changeset 12:23379b0fd11b draft

planemo upload for repository https://github.com/galaxyproteomics/tools-galaxyp/tree/master/tools/openms commit f608f41d45664d04d3124c6ebc791bf8a566b3c5
author galaxyp
date Wed, 15 May 2019 06:41:00 -0400
parents 754832e83c92
children c07264412b03
files FileConverter.xml SKIP_TOOLS_FILE.txt macros.xml readme.md test-data/FileFilter_1_input.mzML test-data/MetaProSIP_1_input.fasta test-data/MetaProSIP_1_input.featureXML test-data/MetaProSIP_1_input.mzML test-data/MetaProSIP_1_output_1.csv test-data/MetaProSIP_1_output_2.csv test-data/MzMLSplitter_output_part1.mzML test-data/MzMLSplitter_output_part2.mzML test-data/OpenPepXL_input.fasta test-data/SequenceCoverageCalculator.fasta test-data/SequenceCoverageCalculator.idXML test-data/SequenceCoverageCalculator.tsv tools_blacklist.txt
diffstat 17 files changed, 153286 insertions(+), 35 deletions(-) [+]
line wrap: on
line diff
--- a/FileConverter.xml	Mon Feb 12 08:54:03 2018 -0500
+++ b/FileConverter.xml	Wed May 15 06:41:00 2019 -0400
@@ -1,7 +1,7 @@
 <?xml version='1.0' encoding='UTF-8'?>
-<!--This is a configuration file for the integration of a tools into Galaxy (https://galaxyproject.org/). This file was automatically generated using CTD2Galaxy.-->
+<!--This is a configuration file for the integration of a tools into Galaxy (https://galaxyproject.org/). This file was automatically generated using CTDConverter.-->
 <!--Proposed Tool Section: [File Handling]-->
-<tool id="FileConverter" name="FileConverter" version="2.2.0">
+<tool id="FileConverter" name="FileConverter" version="2.3.0">
   <description>Converts between different MS file formats.</description>
   <macros>
     <token name="@EXECUTABLE@">FileConverter</token>
@@ -10,7 +10,7 @@
   <expand macro="references"/>
   <expand macro="stdio"/>
   <expand macro="requirements"/>
-  <command>FileConverter
+  <command detect_errors="aggressive"><![CDATA[FileConverter
 
 #if $param_in:
   -in $param_in
@@ -44,6 +44,9 @@
     #if $adv_opts.param_force_MaxQuant_compatibility:
   -force_MaxQuant_compatibility
 #end if
+    #if $adv_opts.param_force_TPP_compatibility:
+  -force_TPP_compatibility
+#end if
     #if $adv_opts.param_write_scan_index:
   -write_scan_index
   #if " " in str($adv_opts.param_write_scan_index):
@@ -65,7 +68,7 @@
   -force
 #end if
 #end if
-</command>
+]]></command>
   <inputs>
     <param name="param_in" type="data" format="mzData,mzxml,mzml,cachedMzML,dta,dta2d,mgf,featurexml,consensusxml,ms2,fid,tabular,peplist,kroenik,tabular" optional="False" label="Input file to convert" help="(-in) "/>
     <expand macro="advanced_options">
@@ -89,6 +92,7 @@
       <param name="param_TIC_DTA2D" display="radio" type="boolean" truevalue="-TIC_DTA2D" falsevalue="" checked="false" optional="True" label="Export the TIC instead of the entire experiment in mzML/mzData/mzXML -&gt; DTA2D conversions" help="(-TIC_DTA2D) "/>
       <param name="param_MGF_compact" display="radio" type="boolean" truevalue="-MGF_compact" falsevalue="" checked="false" optional="True" label="Use a more compact format when writing MGF (no zero-intensity peaks, limited number of decimal places)" help="(-MGF_compact) "/>
       <param name="param_force_MaxQuant_compatibility" display="radio" type="boolean" truevalue="-force_MaxQuant_compatibility" falsevalue="" checked="false" optional="True" label="[mzXML output only] Make sure that MaxQuant can read the mzXML and set the msManufacturer to 'Thermo Scientific'" help="(-force_MaxQuant_compatibility) "/>
+      <param name="param_force_TPP_compatibility" display="radio" type="boolean" truevalue="-force_TPP_compatibility" falsevalue="" checked="false" optional="True" label="[mXML output only] Make sure that TPP parsers can read the mzML and the precursor ion m/z in the file (otherwise it will be set to zero by the TPP)" help="(-force_TPP_compatibility) "/>
       <param name="param_write_scan_index" display="radio" type="select" optional="False" value="true" label="Append an index when writing mzML or mzXML files" help="(-write_scan_index) Some external tools might rely on it">
         <option value="true" selected="true">true</option>
         <option value="false">false</option>
@@ -105,5 +109,5 @@
   <help>Converts between different MS file formats.
 
 
-For more information, visit http://ftp.mi.fu-berlin.de/OpenMS/release-documentation/html/TOPP_FileConverter.html</help>
+For more information, visit https://abibuilder.informatik.uni-tuebingen.de/archive/openms/Documentation/release/2.3.0/html/TOPP_FileConverter.html</help>
 </tool>
--- a/SKIP_TOOLS_FILE.txt	Mon Feb 12 08:54:03 2018 -0500
+++ /dev/null	Thu Jan 01 00:00:00 1970 +0000
@@ -1,9 +0,0 @@
-OMSSAAdapter
-MyriMatchAdapter
-PepNovoAdapter
-SeedListGenerator
-SpecLibSearcher
-MapAlignerIdentification
-MapAlignerPoseClustering
-MapAlignerSpectrum
-MapAlignerRTTransformer
--- a/macros.xml	Mon Feb 12 08:54:03 2018 -0500
+++ b/macros.xml	Wed May 15 06:41:00 2019 -0400
@@ -2,18 +2,18 @@
 <macros>
   <xml name="requirements">
     <requirements>
-      <requirement type="package" version="2.2">openms</requirement>
+      <requirement type="package" version="2.3">openms</requirement>
       <requirement type="package" version="15.12.15.2">xtandem</requirement>
       <requirement type="package" version="1.0">fido</requirement>
-      <requirement type="package" version="2016.10.26">msgf_plus</requirement>
+      <requirement type="package" version="2017.07.21">msgf_plus</requirement>
       <yield/>
     </requirements>
   </xml>
   <xml name="stdio">
     <stdio>
-      <exit_code range="1:"/>
-      <exit_code range=":-1"/>
-      <regex match="Exception:"/>
+      <regex match="Could not allocate metaspace" level="fatal_oom" description="Java memory Exception"/>
+      <regex match="Cannot create VM thread" level="fatal_oom" description="Java memory Exception"/>
+      <regex match="qUncompress: could not allocate enough memory to uncompress data" level="fatal_oom" description="Java memory Exception"/>
     </stdio>
   </xml>
   <xml name="references">
--- a/readme.md	Mon Feb 12 08:54:03 2018 -0500
+++ b/readme.md	Wed May 15 06:41:00 2019 -0400
@@ -53,29 +53,29 @@
  * clone or install CTD2Galaxy
 
     ```bash
-    git clone https://github.com/WorkflowConversion/CTD2Galaxy.git
+    git clone https://github.com/WorkflowConversion/CTDConverter.git
     ```
     
- * If you have CTDopts and CTD2Galaxy installed you are ready to generate Galaxy Tools from CTD definitions. Change the following command according to your needs, especially the `/PATH/TO` parts. The default files are provided in this repository. You might have to install `libxslt` and `lxml` to run it.
+ * If you have CTDopts and CTDConverter installed you are ready to generate Galaxy Tools from CTD definitions. Change the following command according to your needs, especially the `/PATH/TO` parts. The default files are provided in this repository. You might have to install `libxslt` and `lxml` to run it. Further information can be found on the CTDConverter page.
 
     ```bash
-    python generator.py \ 
+    python convert.py galaxy \ 
     -i /PATH/TO/YOUR/CTD/*.ctd \
     -o ./PATH/TO/YOUR/WRAPPERS/ -t tool.conf \
     -d datatypes_conf.xml -g openms \
     -b version log debug test no_progress threads \
      in_type executable myrimatch_executable \
      fido_executable fidocp_executable \
-     omssa_executable pepnovo_executable \
+     omssa_executable pepnovo_e xecutable \
      xtandem_executable param_model_directory \
      java_executable java_memory java_permgen \
      r_executable rt_concat_trafo_out param_id_pool \
     -f /PATH/TO/filetypes.txt -m /PATH/TO/macros.xml \
-    -s PATH/TO/SKIP_TOOLS_FILES.txt
+    -s PATH/TO/tools_blacklist.txt
     ```
 
 
- * As last step you need to change manually the binary names of all external binaries you want to use in OpenMS. For example:
+ * As last step you need to change manually the binary names of all external binaries you want to use in OpenMS. Some of these tools might already be deprecated and the files might not exist:
 
     ```
     sed -i '13 a\-fido_executable Fido' wrappers/FidoAdapter.xml
@@ -170,16 +170,6 @@
     </tests>
     ```
     
-
- * These tools have multiple outputs (number of inputs = number of outputs) which is not yet supported in
-   by the automatic conversion step and are therefore in `SKIP_TOOLS_FILES.txt`:
-    * SeedListGenerator
-    * SpecLibSearcher
-    * MapAlignerIdentification
-    * MapAlignerPoseClustering
-    * MapAlignerSpectrum
-    * MapAlignerRTTransformer
-    
  * Additionally cause of lacking dependencies, the following adapters have been removed in `SKIP_TOOLS_FILES.txt` as well:
     * OMSSAAdapter
     * MyrimatchAdapter
--- /dev/null	Thu Jan 01 00:00:00 1970 +0000
+++ b/test-data/FileFilter_1_input.mzML	Wed May 15 06:41:00 2019 -0400
@@ -0,0 +1,336 @@
+<?xml version="1.0" encoding="ISO-8859-1"?>
+<mzML xmlns="http://psi.hupo.org/ms/mzml" xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance" xsi:schemaLocation="http://psi.hupo.org/ms/mzml http://psidev.info/files/ms/mzML/xsd/mzML1.1.0.xsd" accession="" version="1.1.0">
+	<cvList count="2">
+		<cv id="MS" fullName="Proteomics Standards Initiative Mass Spectrometry Ontology" URI="http://psidev.cvs.sourceforge.net/*checkout*/psidev/psi/psi-ms/mzML/controlledVocabulary/psi-ms.obo"/>
+		<cv id="UO" fullName="Unit Ontology" URI="http://obo.cvs.sourceforge.net/obo/obo/ontology/phenotype/unit.obo"/>
+	</cvList>
+	<fileDescription>
+		<fileContent>
+			<cvParam cvRef="MS" accession="MS:1000294" name="mass spectrum" />
+		</fileContent>
+		<contact>
+			<cvParam cvRef="MS" accession="MS:1000586" name="contact name" value=", " />
+			<cvParam cvRef="MS" accession="MS:1000590" name="contact affiliation" value="" />
+		</contact>
+	</fileDescription>
+	<sampleList count="1">
+		<sample id="sa_0" name="">
+			<cvParam cvRef="MS" accession="MS:1000004" name="sample mass" value="0"  unitAccession="UO:0000021" unitName="gram" unitCvRef="UO" />
+			<cvParam cvRef="MS" accession="MS:1000005" name="sample volume" value="0" unitAccession="UO:0000098" unitName="milliliter" unitCvRef="UO" />
+			<cvParam cvRef="MS" accession="MS:1000006" name="sample concentration" value="0" unitAccession="UO:0000175" unitName="gram per liter" unitCvRef="UO" />
+		</sample>
+	</sampleList>
+	<softwareList count="12">
+		<software id="so_in_0" version="" >
+			<cvParam cvRef="MS" accession="MS:1000799" name="custom unreleased software tool" value="" />
+		</software>
+		<software id="so_default" version="" >
+			<cvParam cvRef="MS" accession="MS:1000799" name="custom unreleased software tool" value="" />
+		</software>
+		<software id="so_dp_sp_0_pm_0" version="" >
+			<cvParam cvRef="MS" accession="MS:1000799" name="custom unreleased software tool" value="" />
+		</software>
+		<software id="so_dp_sp_0_pm_1" version="version_string" >
+			<cvParam cvRef="MS" accession="MS:1000756" name="FileConverter" />
+		</software>
+	</softwareList>
+	<instrumentConfigurationList count="1">
+		<instrumentConfiguration id="ic_0">
+			<cvParam cvRef="MS" accession="MS:1000031" name="instrument model" />
+			<componentList count="3">
+				<source order="0">
+					<cvParam cvRef="MS" accession="MS:1000008" name="ionization type" />
+				</source>
+				<analyzer order="0">
+					<cvParam cvRef="MS" accession="MS:1000014" name="accuracy" value="0" unitAccession="UO:0000169" unitName="parts per million" unitCvRef="UO" />
+					<cvParam cvRef="MS" accession="MS:1000022" name="TOF Total Path Length" value="0" unitAccession="UO:0000008" unitName="meter" unitCvRef="UO" />
+					<cvParam cvRef="MS" accession="MS:1000024" name="final MS exponent" value="0" />
+					<cvParam cvRef="MS" accession="MS:1000025" name="magnetic field strength" value="0" unitAccession="UO:0000228" unitName="tesla" unitCvRef="UO" />
+					<cvParam cvRef="MS" accession="MS:1000443" name="mass analyzer type" />
+				</analyzer>
+				<detector order="0">
+					<cvParam cvRef="MS" accession="MS:1000028" name="detector resolution" value="0" />
+					<cvParam cvRef="MS" accession="MS:1000029" name="sampling frequency" value="0" unitAccession="UO:0000106" unitName="hertz" unitCvRef="UO" />
+					<cvParam cvRef="MS" accession="MS:1000026" name="detector type" />
+				</detector>
+			</componentList>
+			<softwareRef ref="so_in_0" />
+		</instrumentConfiguration>
+	</instrumentConfigurationList>
+	<dataProcessingList count="10">
+		<dataProcessing id="dp_sp_0">
+			<processingMethod order="0" softwareRef="so_dp_sp_0_pm_0">
+				<cvParam cvRef="MS" accession="MS:1000543" name="data processing action" />
+			</processingMethod>
+			<processingMethod order="0" softwareRef="so_dp_sp_0_pm_1">
+				<cvParam cvRef="MS" accession="MS:1000544" name="Conversion to mzML" />
+				<cvParam cvRef="MS" accession="MS:1000747" name="completion time" value="1999-12-31+23:59" />
+				<userParam name="parameter: mode" type="xsd:string" value="test_mode"/>
+			</processingMethod>
+		</dataProcessing>
+	</dataProcessingList>
+	<run id="ru_0" defaultInstrumentConfigurationRef="ic_0" sampleRef="sa_0">
+		<spectrumList count="10" defaultDataProcessingRef="dp_sp_0">
+			<spectrum id="spectrum=1" index="0" defaultArrayLength="787" dataProcessingRef="dp_sp_0">
+				<cvParam cvRef="MS" accession="MS:1000525" name="spectrum representation" />
+				<cvParam cvRef="MS" accession="MS:1000511" name="ms level" value="1" />
+				<cvParam cvRef="MS" accession="MS:1000294" name="mass spectrum" />
+				<cvParam cvRef="MS" accession="MS:1000130" name="positive scan" />
+				<scanList count="1">
+					<cvParam cvRef="MS" accession="MS:1000795" name="no combination" />
+					<scan>
+						<cvParam cvRef="MS" accession="MS:1000016" name="scan start time" value="20.8713" unitAccession="UO:0000010" unitName="second" unitCvRef="UO" />
+					</scan>
+				</scanList>
+				<binaryDataArrayList count="2">
+					<binaryDataArray encodedLength="8396">
+						<cvParam cvRef="MS" accession="MS:1000514" name="m/z array" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+						<cvParam cvRef="MS" accession="MS:1000523" name="64-bit float" />
+						<cvParam cvRef="MS" accession="MS:1000576" name="no compression" />
+						<binary>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</binary>
+					</binaryDataArray>
+					<binaryDataArray encodedLength="4200">
+						<cvParam cvRef="MS" accession="MS:1000515" name="intensity array" unitAccession="MS:1000131" unitName="number of detector counts" unitCvRef="MS"/>
+						<cvParam cvRef="MS" accession="MS:1000521" name="32-bit float" />
+						<cvParam cvRef="MS" accession="MS:1000576" name="no compression" />
+						<binary>zdyHRNqhr0P/nO1Cn6CARdDZyESpLhFE62w+RNtEIEPszk9EdDyKRK5js0OH1uhCOy17Q7vxskQVNwtEXxUBRJ63lkN7Jw9E/fWcRAIRrUPBjZ5Dv3bRQjuSP0OdSyZEn1WnQoLA/kMTigxEa3wOQ5jwvUOZ4RhEt8gkRPnrJkXkrwFFuuAiQ/cB3ULPZmFDZAPEQ+JPkEMphxJEzChCRI2QKEPdDjJDno/+Qjn2dUQalABED1lRRJ+aFkNLQQZFhESSRSMvekTMOo1EKMkwRPnDNER5ucxEiLAwRJZ/oERdI6ZEJiIaQ+ggv0MxXQpEV8gYRNO8xUN+mpVDgTToQ94ck0RZGOdDI0RXRK1s4EMyppRDdXwVRIY7+UPNjydEDvGgRJF7hUQzVx1FWJKkRGDHzEOxWgVFW6EhRFEOg0RcMtJC8DcqRO9vqUM9U3xD5TVxRKc+X0SWw21DPDjDQ9cOxUFbAqNDpMVOQ493v0M+cFJCSF4hQ8lgvUPBAcBD10WHRKgbgEOez+1CQJN5RIIZqEM0ZwJERrAcQ0zceULISYBDuX5cRWm0jUSwdYhEYR16RIU07kMEueFD7pjmQngrykPa7wBEIrNTRIf4MESYsc5D44wIQ9EtqEMYFNdD4gMxQ93NikOn9A5DWO6+QwHSg0NY4SVD1ccwRL+nKESCDaxDPcoxRFCgIUOt+QNE+NMCQxNXCURmMoxEiRtPQ0ocTENO8IlC4bwERIenZEPEpwVD4g9oQ9bYtkIs881CPKf9QzugI0SKlyNDV2cRRFoUBkSq/EtE4JpXQ39XkEMmGCdDufsuQ6mTb0Rsw8lCBiyWQoPBTUIBRolDfEPtQoXUfUJg3yZD2oWBQ3asmkOk09NDj1QrRZXAAEO0xVlDNQOfQy4Nt0M58x1DGyhFRBnbyUOQSXdC5WCXQ05R3ULEWw1ENqG1RKORbUPKUbxD7wWQQ1cvs0N73GlE3dstRI0+30MQnJxC5NSBQ9ouwUJyoxxDffAERNMqiENTNglEwE14Q1D5G0SEmbZDgt2iQ8GsekI23BZEAChLQu3O8EOM3MhDX4vmQ65jgUP19IJEn2UDRKSQCUQ93D1D734/QycCIUSEAPtCOTubQrLr40P97iJEbNYkQ7amMESk+ZJDvXUaQ6EGlEKUYctC+vK4Qy0KB0SyndNDWXr+Q6MWNkNsOpdDfdNHQwlTY0MEDGpDarsJQ9vQIEM0zr1Cmcf3Q5sBEEPqDHBDWSbQQ8v2wkPGq4dCgFcnRN+nt0MebbBDwMn+Q/hd7EMLRK1DjtkXQ8ttgEOnfu1DR1YcROJ140OmeGND4reJQniO/ERh0EVEu0wHRJgP0kPFeJ1DzfehQyCpjkPFQydDN/K7Qmp9iUMyME9DHWbwQvE6z0ISS8pDFH3zQ0xn9ENHx4VDcYkYQ7idEUQ5yAtDlnlXRDBmGUMAL55DKvyOQ07E+kNmd5NDu88VRFJHk0LvDw9EhM1URByU9kMNyBZDfTuRQxidQEQHgWtDRJgbQxq5GkNbgKdCOnqkQljis0Nl0dpDbqkOQ0RGrUPjs89DaHlkQ8cKlkIAUbJDygJAQ2BEp0Tqf2RDq+B/QwFDJkMH21tC6/fyQuiKhkLFdRpE8gwjQ+qhFUTUEy1DpjGmQ90tAESovqFD8vXPQrajOUTG+S9DI7gAQ8va+EJ43nxCUYfSQ/bzSkTEH+pDQU8bRG20ekNAEK1D+kn3QgX6WEKSsuFBAuxxQ2HwHkM8RvBCw2eoQ9saBkPlJN9C+RukQ32NAkTzU2JDBbyPQ9SqzUKmM71C9I6VQj3KhUOvfsdDtq7FQid5qkOJ1AdDyL3MQ6dwRkNm0SVDaZG7Qw2irUMBBvRClQwAQnWRtUPOYFZC7OakQ0U5sEN6ygFDqk2PQ38crkIPoGBDwR6bQjlZv0NPn35DWpzGQx4tu0MLpmZDt3LIQw1u/0O00IhDiPmwQ5XeMUNiro9CI4dWQ1pBJkPwKDtEYVcXRFWCWUPMHVdDY50iQiUxa0P8DRBEqTuCQ59ggkEMuLJC2PdgQ3AuqkNqMDZEmO5TQwqAsEM74Q9DdngLRPYZMEMkjwJErYrPQona60NGlwBEM3o0Q/FrmEOPrUFD6Y5oQnQ++0LOaYxDLfStQvgEx0L+yUNDQ3IFQ9MUpkM2cEZDt/ynQxZBo0MDy6tC07E6Q37DCETQi5hDJ4yiQ/ukMENBkm9DaXMoRPM8B0RZqrJEb2HuQ1ZlhkQRLHlDi00XRNf+KUTevNtDXpN0Q7tFHEPTBGtDjXkWQtmteERWmB9D/AYxRE00NEPUrDJDEcgnQ/MER0RT8dhDRDy0Q37ddkPkDSdE4NSUQ0DmEEPsnEZEtLIpRIyMSEPK8GpD7WdjQoN4hEO7tQhDXx5rQ8NOXEQlpY1CYNVpQ67lIUOH7VNCUHTVQ5I5iEOvrR1DC+jWQ8MzqUICEi5D6tGGRF/0XULbP7tDzdnXQt5ODESc4IpDdOLuQ76oP0RQ5J5DAoQOQzE5QkIT2UZDwhkUQlMjSUP5k1RDAUHmQcglk0LmRpdCGPghQ1MYLEJJM3BDLhrkQ3qobEJnMiNELGorQhfOL0SYipxDdVIIQygrLUOqK5ZDp/E7Q1YYXENRmKVCArwsRAEQAkSmL6VDHPk3Q4vOY0LehzlDe2BLQ6xRfEJjGEFC+4PsQzJSPURd6IBDNAyoQ9ZRr0IoQ8xC132DQgdpyENYs05CTuvYQjmvp0Os1RFE6iGkQlRn/ULV+mBCEfDdQzlWrUPaAYVDRiiHQqQG9ENYsMpCbzWTQjqRlkJMhjRCypocQ16BokP4SvdC5QsBRGzR2kOCfrhC9a6yQ2gr9kOko7pCfCEzQ3oKCUMhGP9CIUmVQp09lUPTu1pDdJ5YQ0PxIUJ8Oa9DIfynQhFOb0LEwcNCucGjQ5e1o0O4Mm1DZf4CQ940NEI4BpRC8i5oQ5bz3kLqATZCT5B0QidKUUP2WdBCB5+MQapK0ELOJUJDEZgNQrnMhUP8eWxEDh+CQ2dcOkMZzUtDX/1WQx93GkJYZyhESs0bRJ2Yn0MuwMFDrpdTQ26nF0MSrFhDi0bRQqPcAkSIXDhD77R2Q02Wl0HRHSJC2kVwQj99vkJQKxBDIdhUQn8vJUMlFqtDseZUQwjIEEPSfoFD+5fBQmAraUINBU9DPeLUQin2LEOGE0FCtYZRQhcQE0L8kYxD617qQ+mLZUPoLyVDRrFnQo5+uENflg1DulWCQzHNS0O5qgNEAoqJQxQxT0Pp09pC8mbMQz3EaENNKn5DKVITRIuXDEOLfxxDDAnuQiogPkMaVP1BwY90QsfSn0N37+NDYvwwQ/p2R0M2CGZDW6B4QpOx50Gx8pxDEP2TQ/BQoUKn+Q1DGxq6Qyi19kKHxK1CrShsQk4lBUJMRfBCOHOPQ6xGxEMQjxVDsGwwQsj6a0ODlw1Cz/84QkX1t0Ol1elDRIgbQ7YTQUJ/4bdD3r9iQibDnUN8RDRCX8gLQpBuzkPKTHRDq/mFQwnpEEM/VSdCOdr4QhFZIUM72i9D5NEcQy7GOUMllwpDMaqkQdgzNEPZ9yFD5yYHQ4axrENDXwVCKNgFQ/YH1kI3r4tCRQojQ2WsaUNJcCNELNmwQWQsFkIb/LpCg379QsAfBULRMo9D4GQpQ4kYWUPgvgxDzZK9Qcftk0KqWgtCeeERQr6VlkJNV05DJ+GRQiU0H0JHt/ZCYVQ1RGsujEL+LwFCaxzfQRENjUPxtQtEidHaQiAnh0JJ71ZD2rX2QrADnUK8NqRBgRc0Qt2AlUPVgttBO+UgQ6uHVkLjr9BCQqq4QuewzkKtB9dC636hQu6G1kLawMNCmLeAQotq20ICa49DX2mVQiOI1kHNX+NBurcAQrVVoULzEsFC3VrzQUC/EkLyOnVCqbuPQjJZtkJxqihCgDr1Q5RMCULaCiNCYLcAQ2lHoUJWlg1DirT2Ql48A0NkTAlC7bsPQyBMiULoHF9CEB0kQ4t6vUHvUuhBm344Qiwyj0PvkDlDgIuyQpdpq0KXIJBCckEyQ80I1kLgSidCgLVJQ2wkfUKRuw9DPqP8Qepl40I2avJCmzQcQkN+uEKyJH1CX2ZjQrDV6UIRJ4dCxzp1QvdpkkN7ogRCzPLNQbeCx0K9dZpCzcIEQjYGlELF6S9CawqjQkLdKEOTo7pCPZkWQg==</binary>
+					</binaryDataArray>
+				</binaryDataArrayList>
+			</spectrum>
+			<spectrum id="spectrum=2" index="1" defaultArrayLength="683">
+				<cvParam cvRef="MS" accession="MS:1000525" name="spectrum representation" />
+				<cvParam cvRef="MS" accession="MS:1000511" name="ms level" value="1" />
+				<cvParam cvRef="MS" accession="MS:1000294" name="mass spectrum" />
+				<cvParam cvRef="MS" accession="MS:1000130" name="positive scan" />
+				<scanList count="1">
+					<cvParam cvRef="MS" accession="MS:1000795" name="no combination" />
+					<scan>
+						<cvParam cvRef="MS" accession="MS:1000016" name="scan start time" value="22.4373" unitAccession="UO:0000010" unitName="second" unitCvRef="UO" />
+					</scan>
+				</scanList>
+				<binaryDataArrayList count="2">
+					<binaryDataArray encodedLength="7288">
+						<cvParam cvRef="MS" accession="MS:1000514" name="m/z array" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+						<cvParam cvRef="MS" accession="MS:1000523" name="64-bit float" />
+						<cvParam cvRef="MS" accession="MS:1000576" name="no compression" />
+						<binary>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</binary>
+					</binaryDataArray>
+					<binaryDataArray encodedLength="3644">
+						<cvParam cvRef="MS" accession="MS:1000515" name="intensity array" unitAccession="MS:1000131" unitName="number of detector counts" unitCvRef="MS"/>
+						<cvParam cvRef="MS" accession="MS:1000521" name="32-bit float" />
+						<cvParam cvRef="MS" accession="MS:1000576" name="no compression" />
+						<binary>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</binary>
+					</binaryDataArray>
+				</binaryDataArrayList>
+			</spectrum>
+			<spectrum id="spectrum=3" index="2" defaultArrayLength="3">
+				<cvParam cvRef="MS" accession="MS:1000525" name="spectrum representation" />
+				<cvParam cvRef="MS" accession="MS:1000511" name="ms level" value="2" />
+				<cvParam cvRef="MS" accession="MS:1000294" name="mass spectrum" />
+				<cvParam cvRef="MS" accession="MS:1000130" name="positive scan" />
+				<scanList count="1">
+					<cvParam cvRef="MS" accession="MS:1000795" name="no combination" />
+					<scan>
+						<cvParam cvRef="MS" accession="MS:1000016" name="scan start time" value="30.2243" unitAccession="UO:0000010" unitName="second" unitCvRef="UO" />
+					</scan>
+				</scanList>
+				<binaryDataArrayList count="2">
+					<binaryDataArray encodedLength="32">
+						<cvParam cvRef="MS" accession="MS:1000514" name="m/z array" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+						<cvParam cvRef="MS" accession="MS:1000523" name="64-bit float" />
+						<cvParam cvRef="MS" accession="MS:1000576" name="no compression" />
+						<binary>AAAAQJAwgUAAAADAfaiBQAAAACAjJ4JA</binary>
+					</binaryDataArray>
+					<binaryDataArray encodedLength="16">
+						<cvParam cvRef="MS" accession="MS:1000515" name="intensity array" unitAccession="MS:1000131" unitName="number of detector counts" unitCvRef="MS"/>
+						<cvParam cvRef="MS" accession="MS:1000521" name="32-bit float" />
+						<cvParam cvRef="MS" accession="MS:1000576" name="no compression" />
+						<binary>Q1AYQ2XIvEL9I51D</binary>
+					</binaryDataArray>
+				</binaryDataArrayList>
+			</spectrum>
+			<spectrum id="spectrum=4" index="3" defaultArrayLength="5">
+				<cvParam cvRef="MS" accession="MS:1000525" name="spectrum representation" />
+				<cvParam cvRef="MS" accession="MS:1000511" name="ms level" value="2" />
+				<cvParam cvRef="MS" accession="MS:1000294" name="mass spectrum" />
+				<cvParam cvRef="MS" accession="MS:1000130" name="positive scan" />
+				<scanList count="1">
+					<cvParam cvRef="MS" accession="MS:1000795" name="no combination" />
+					<scan>
+						<cvParam cvRef="MS" accession="MS:1000016" name="scan start time" value="27.8168" unitAccession="UO:0000010" unitName="second" unitCvRef="UO" />
+					</scan>
+				</scanList>
+				<binaryDataArrayList count="2">
+					<binaryDataArray encodedLength="56">
+						<cvParam cvRef="MS" accession="MS:1000514" name="m/z array" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+						<cvParam cvRef="MS" accession="MS:1000523" name="64-bit float" />
+						<cvParam cvRef="MS" accession="MS:1000576" name="no compression" />
+						<binary>AAAAAAA4gEAAAACg76CHQAAAAGByuIdAAAAAAADIh0AAAABg+26IQA==</binary>
+					</binaryDataArray>
+					<binaryDataArray encodedLength="28">
+						<cvParam cvRef="MS" accession="MS:1000515" name="intensity array" unitAccession="MS:1000131" unitName="number of detector counts" unitCvRef="MS"/>
+						<cvParam cvRef="MS" accession="MS:1000521" name="32-bit float" />
+						<cvParam cvRef="MS" accession="MS:1000576" name="no compression" />
+						<binary>cnq9QXJQmEPn4JFCfnq9QY1f/0E=</binary>
+					</binaryDataArray>
+				</binaryDataArrayList>
+			</spectrum>
+			<spectrum id="spectrum=5" index="4" defaultArrayLength="8">
+				<cvParam cvRef="MS" accession="MS:1000525" name="spectrum representation" />
+				<cvParam cvRef="MS" accession="MS:1000511" name="ms level" value="2" />
+				<cvParam cvRef="MS" accession="MS:1000294" name="mass spectrum" />
+				<cvParam cvRef="MS" accession="MS:1000130" name="positive scan" />
+				<scanList count="1">
+					<cvParam cvRef="MS" accession="MS:1000795" name="no combination" />
+					<scan>
+						<cvParam cvRef="MS" accession="MS:1000016" name="scan start time" value="25.3972" unitAccession="UO:0000010" unitName="second" unitCvRef="UO" />
+					</scan>
+				</scanList>
+				<binaryDataArrayList count="2">
+					<binaryDataArray encodedLength="88">
+						<cvParam cvRef="MS" accession="MS:1000514" name="m/z array" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+						<cvParam cvRef="MS" accession="MS:1000523" name="64-bit float" />
+						<cvParam cvRef="MS" accession="MS:1000576" name="no compression" />
+						<binary>AAAAgGlaf0AAAAAAxmp/QAAAAKAOin9AAAAAQKXDf0AAAABAsjOAQAAAAAAfeoBAAAAAAJQigUAAAAAg0/aJQA==</binary>
+					</binaryDataArray>
+					<binaryDataArray encodedLength="44">
+						<cvParam cvRef="MS" accession="MS:1000515" name="intensity array" unitAccession="MS:1000131" unitName="number of detector counts" unitCvRef="MS"/>
+						<cvParam cvRef="MS" accession="MS:1000521" name="32-bit float" />
+						<cvParam cvRef="MS" accession="MS:1000576" name="no compression" />
+						<binary>dFNiQxQQLkIcK5ZCCBdQQ2L91UOKGBVDhPZcQ0KtHUM=</binary>
+					</binaryDataArray>
+				</binaryDataArrayList>
+			</spectrum>
+			<spectrum id="spectrum=6" index="5" defaultArrayLength="885">
+				<cvParam cvRef="MS" accession="MS:1000525" name="spectrum representation" />
+				<cvParam cvRef="MS" accession="MS:1000511" name="ms level" value="1" />
+				<cvParam cvRef="MS" accession="MS:1000294" name="mass spectrum" />
+				<cvParam cvRef="MS" accession="MS:1000130" name="positive scan" />
+				<scanList count="1">
+					<cvParam cvRef="MS" accession="MS:1000795" name="no combination" />
+					<scan>
+						<cvParam cvRef="MS" accession="MS:1000016" name="scan start time" value="31.2627" unitAccession="UO:0000010" unitName="second" unitCvRef="UO" />
+					</scan>
+				</scanList>
+				<binaryDataArrayList count="2">
+					<binaryDataArray encodedLength="9440">
+						<cvParam cvRef="MS" accession="MS:1000514" name="m/z array" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+						<cvParam cvRef="MS" accession="MS:1000523" name="64-bit float" />
+						<cvParam cvRef="MS" accession="MS:1000576" name="no compression" />
+						<binary>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</binary>
+					</binaryDataArray>
+					<binaryDataArray encodedLength="4720">
+						<cvParam cvRef="MS" accession="MS:1000515" name="intensity array" unitAccession="MS:1000131" unitName="number of detector counts" unitCvRef="MS"/>
+						<cvParam cvRef="MS" accession="MS:1000521" name="32-bit float" />
+						<cvParam cvRef="MS" accession="MS:1000576" name="no compression" />
+						<binary>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</binary>
+					</binaryDataArray>
+				</binaryDataArrayList>
+			</spectrum>
+			<spectrum id="spectrum=7" index="6" defaultArrayLength="5">
+				<cvParam cvRef="MS" accession="MS:1000525" name="spectrum representation" />
+				<cvParam cvRef="MS" accession="MS:1000511" name="ms level" value="2" />
+				<cvParam cvRef="MS" accession="MS:1000294" name="mass spectrum" />
+				<cvParam cvRef="MS" accession="MS:1000130" name="positive scan" />
+				<scanList count="1">
+					<cvParam cvRef="MS" accession="MS:1000795" name="no combination" />
+					<scan>
+						<cvParam cvRef="MS" accession="MS:1000016" name="scan start time" value="36.7164" unitAccession="UO:0000010" unitName="second" unitCvRef="UO" />
+					</scan>
+				</scanList>
+				<binaryDataArrayList count="2">
+					<binaryDataArray encodedLength="56">
+						<cvParam cvRef="MS" accession="MS:1000514" name="m/z array" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+						<cvParam cvRef="MS" accession="MS:1000523" name="64-bit float" />
+						<cvParam cvRef="MS" accession="MS:1000576" name="no compression" />
+						<binary>AAAA4MxLf0AAAADgQIx/QAAAAEAzO4BAAAAAgEoIgkAAAAAg7HmJQA==</binary>
+					</binaryDataArray>
+					<binaryDataArray encodedLength="28">
+						<cvParam cvRef="MS" accession="MS:1000515" name="intensity array" unitAccession="MS:1000131" unitName="number of detector counts" unitCvRef="MS"/>
+						<cvParam cvRef="MS" accession="MS:1000521" name="32-bit float" />
+						<cvParam cvRef="MS" accession="MS:1000576" name="no compression" />
+						<binary>CkwJQr2To0MYvdZBymzcQ1d1GkM=</binary>
+					</binaryDataArray>
+				</binaryDataArrayList>
+			</spectrum>
+			<spectrum id="spectrum=8" index="7" defaultArrayLength="7">
+				<cvParam cvRef="MS" accession="MS:1000525" name="spectrum representation" />
+				<cvParam cvRef="MS" accession="MS:1000511" name="ms level" value="2" />
+				<cvParam cvRef="MS" accession="MS:1000294" name="mass spectrum" />
+				<cvParam cvRef="MS" accession="MS:1000130" name="positive scan" />
+				<scanList count="1">
+					<cvParam cvRef="MS" accession="MS:1000795" name="no combination" />
+					<scan>
+						<cvParam cvRef="MS" accession="MS:1000016" name="scan start time" value="34.2968" unitAccession="UO:0000010" unitName="second" unitCvRef="UO" />
+					</scan>
+				</scanList>
+				<binaryDataArrayList count="2">
+					<binaryDataArray encodedLength="76">
+						<cvParam cvRef="MS" accession="MS:1000514" name="m/z array" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+						<cvParam cvRef="MS" accession="MS:1000523" name="64-bit float" />
+						<cvParam cvRef="MS" accession="MS:1000576" name="no compression" />
+						<binary>AAAAAJpBf0AAAACAYFWAQAAAAACs8IBAAAAA4HC4gUAAAABgICSHQAAAAOCla4pAAAAAYJ+QikA=</binary>
+					</binaryDataArray>
+					<binaryDataArray encodedLength="40">
+						<cvParam cvRef="MS" accession="MS:1000515" name="intensity array" unitAccession="MS:1000131" unitName="number of detector counts" unitCvRef="MS"/>
+						<cvParam cvRef="MS" accession="MS:1000521" name="32-bit float" />
+						<cvParam cvRef="MS" accession="MS:1000576" name="no compression" />
+						<binary>Vms2RKnjW0PIhlZCRDzSQj3R2kLlvhJCL3HQQQ==</binary>
+					</binaryDataArray>
+				</binaryDataArrayList>
+			</spectrum>
+			<spectrum id="spectrum=9" index="8" defaultArrayLength="3">
+				<cvParam cvRef="MS" accession="MS:1000525" name="spectrum representation" />
+				<cvParam cvRef="MS" accession="MS:1000511" name="ms level" value="2" />
+				<cvParam cvRef="MS" accession="MS:1000294" name="mass spectrum" />
+				<cvParam cvRef="MS" accession="MS:1000130" name="positive scan" />
+				<scanList count="1">
+					<cvParam cvRef="MS" accession="MS:1000795" name="no combination" />
+					<scan>
+						<cvParam cvRef="MS" accession="MS:1000016" name="scan start time" value="39.1126" unitAccession="UO:0000010" unitName="second" unitCvRef="UO" />
+					</scan>
+				</scanList>
+				<binaryDataArrayList count="2">
+					<binaryDataArray encodedLength="32">
+						<cvParam cvRef="MS" accession="MS:1000514" name="m/z array" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+						<cvParam cvRef="MS" accession="MS:1000523" name="64-bit float" />
+						<cvParam cvRef="MS" accession="MS:1000576" name="no compression" />
+						<binary>AAAA4Lbrf0AAAABAJkeBQAAAAMD+poFA</binary>
+					</binaryDataArray>
+					<binaryDataArray encodedLength="16">
+						<cvParam cvRef="MS" accession="MS:1000515" name="intensity array" unitAccession="MS:1000131" unitName="number of detector counts" unitCvRef="MS"/>
+						<cvParam cvRef="MS" accession="MS:1000521" name="32-bit float" />
+						<cvParam cvRef="MS" accession="MS:1000576" name="no compression" />
+						<binary>L0VwQqCnSUIOfjhC</binary>
+					</binaryDataArray>
+				</binaryDataArrayList>
+			</spectrum>
+			<spectrum id="spectrum=10" index="9" defaultArrayLength="763">
+				<cvParam cvRef="MS" accession="MS:1000525" name="spectrum representation" />
+				<cvParam cvRef="MS" accession="MS:1000511" name="ms level" value="1" />
+				<cvParam cvRef="MS" accession="MS:1000294" name="mass spectrum" />
+				<cvParam cvRef="MS" accession="MS:1000130" name="positive scan" />
+				<scanList count="1">
+					<cvParam cvRef="MS" accession="MS:1000795" name="no combination" />
+					<scan>
+						<cvParam cvRef="MS" accession="MS:1000016" name="scan start time" value="39.9708" unitAccession="UO:0000010" unitName="second" unitCvRef="UO" />
+					</scan>
+				</scanList>
+				<binaryDataArrayList count="2">
+					<binaryDataArray encodedLength="8140">
+						<cvParam cvRef="MS" accession="MS:1000514" name="m/z array" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+						<cvParam cvRef="MS" accession="MS:1000523" name="64-bit float" />
+						<cvParam cvRef="MS" accession="MS:1000576" name="no compression" />
+						<binary>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</binary>
+					</binaryDataArray>
+					<binaryDataArray encodedLength="4072">
+						<cvParam cvRef="MS" accession="MS:1000515" name="intensity array" unitAccession="MS:1000131" unitName="number of detector counts" unitCvRef="MS"/>
+						<cvParam cvRef="MS" accession="MS:1000521" name="32-bit float" />
+						<cvParam cvRef="MS" accession="MS:1000576" name="no compression" />
+						<binary>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</binary>
+					</binaryDataArray>
+				</binaryDataArrayList>
+			</spectrum>
+		</spectrumList>
+	</run>
+</mzML>
--- /dev/null	Thu Jan 01 00:00:00 1970 +0000
+++ b/test-data/MetaProSIP_1_input.fasta	Wed May 15 06:41:00 2019 -0400
@@ -0,0 +1,6 @@
+>contig23640_802236  length=2326  numreads=28 strand:-1 frame:0 orf_location:136:990
+GGIPNEENWNFGSSDGSGLPVRGSGQRRDVLGRLCRRNFTSSIGQSVNVRDVEASGFAGG
+MSRYHFKYGGAVDPTVLGGVKLGTWFVKEGFAGWSGYPDWCKYFGFYTDFSYHRFYTRDN
+RISGTDFFAAYGGGSAALGDVGFMKTEGMVATWAFMLAARYGFFQDSEVPFGRLQPYVAV
+GPAIMFSSMKPKIWTQFNEPNVGFPNPDLVYSPGNQSSTDLGLAVDTGIRYMCLKNVSLD
+ISFKYRYAQPHYNFSGQDGSVMVPAHMSLSPALNLYSFQAGVAYHF
--- /dev/null	Thu Jan 01 00:00:00 1970 +0000
+++ b/test-data/MetaProSIP_1_input.featureXML	Wed May 15 06:41:00 2019 -0400
@@ -0,0 +1,129 @@
+<?xml version="1.0" encoding="ISO-8859-1"?>
+<featureMap version="1.9" xsi:noNamespaceSchemaLocation="http://open-ms.sourceforge.net/schemas/FeatureXML_1_9.xsd" xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance">
+	<dataProcessing completion_time="2017-12-08T12:44:40">
+		<software name="FileFilter" version="2.3.0" />
+		<processingAction name="Data filtering" />
+		<UserParam type="string" name="parameter: in" value="MetaProSIP_1_input.featureXML"/>
+		<UserParam type="string" name="parameter: in_type" value=""/>
+		<UserParam type="string" name="parameter: out" value="MetaProSIP_1_input.featureXML"/>
+		<UserParam type="string" name="parameter: out_type" value=""/>
+		<UserParam type="string" name="parameter: rt" value=":"/>
+		<UserParam type="string" name="parameter: mz" value=":"/>
+		<UserParam type="string" name="parameter: int" value=":"/>
+		<UserParam type="string" name="parameter: sort" value="false"/>
+		<UserParam type="string" name="parameter: log" value=""/>
+		<UserParam type="int" name="parameter: debug" value="0"/>
+		<UserParam type="int" name="parameter: threads" value="1"/>
+		<UserParam type="string" name="parameter: no_progress" value="false"/>
+		<UserParam type="string" name="parameter: force" value="false"/>
+		<UserParam type="string" name="parameter: test" value="false"/>
+		<UserParam type="float" name="parameter: peak_options:sn" value="0"/>
+		<UserParam type="intList" name="parameter: peak_options:rm_pc_charge" value="[]"/>
+		<UserParam type="string" name="parameter: peak_options:pc_mz_range" value=":"/>
+		<UserParam type="floatList" name="parameter: peak_options:pc_mz_list" value="[]"/>
+		<UserParam type="intList" name="parameter: peak_options:level" value="[1, 2, 3]"/>
+		<UserParam type="string" name="parameter: peak_options:sort_peaks" value="false"/>
+		<UserParam type="string" name="parameter: peak_options:no_chromatograms" value="false"/>
+		<UserParam type="string" name="parameter: peak_options:remove_chromatograms" value="false"/>
+		<UserParam type="string" name="parameter: peak_options:mz_precision" value="64"/>
+		<UserParam type="string" name="parameter: peak_options:int_precision" value="32"/>
+		<UserParam type="string" name="parameter: peak_options:indexed_file" value="false"/>
+		<UserParam type="string" name="parameter: peak_options:zlib_compression" value="false"/>
+		<UserParam type="string" name="parameter: peak_options:numpress:masstime" value="none"/>
+		<UserParam type="float" name="parameter: peak_options:numpress:masstime_error" value="0.0001"/>
+		<UserParam type="string" name="parameter: peak_options:numpress:intensity" value="none"/>
+		<UserParam type="float" name="parameter: peak_options:numpress:intensity_error" value="0.0001"/>
+		<UserParam type="string" name="parameter: spectra:remove_zoom" value="false"/>
+		<UserParam type="string" name="parameter: spectra:remove_mode" value=""/>
+		<UserParam type="string" name="parameter: spectra:remove_activation" value=""/>
+		<UserParam type="string" name="parameter: spectra:remove_collision_energy" value=":"/>
+		<UserParam type="string" name="parameter: spectra:remove_isolation_window_width" value=":"/>
+		<UserParam type="string" name="parameter: spectra:select_zoom" value="false"/>
+		<UserParam type="string" name="parameter: spectra:select_mode" value=""/>
+		<UserParam type="string" name="parameter: spectra:select_activation" value=""/>
+		<UserParam type="string" name="parameter: spectra:select_collision_energy" value=":"/>
+		<UserParam type="string" name="parameter: spectra:select_isolation_window_width" value=":"/>
+		<UserParam type="string" name="parameter: spectra:select_polarity" value=""/>
+		<UserParam type="string" name="parameter: feature:q" value=":"/>
+		<UserParam type="intList" name="parameter: consensus:map" value="[]"/>
+		<UserParam type="string" name="parameter: consensus:map_and" value="false"/>
+		<UserParam type="string" name="parameter: consensus:blackorwhitelist:blacklist" value="true"/>
+		<UserParam type="string" name="parameter: consensus:blackorwhitelist:file" value=""/>
+		<UserParam type="intList" name="parameter: consensus:blackorwhitelist:maps" value="[]"/>
+		<UserParam type="float" name="parameter: consensus:blackorwhitelist:rt" value="60"/>
+		<UserParam type="float" name="parameter: consensus:blackorwhitelist:mz" value="0.01"/>
+		<UserParam type="string" name="parameter: consensus:blackorwhitelist:use_ppm_tolerance" value="false"/>
+		<UserParam type="string" name="parameter: f_and_c:charge" value=":"/>
+		<UserParam type="string" name="parameter: f_and_c:size" value=":"/>
+		<UserParam type="stringList" name="parameter: f_and_c:remove_meta" value="[]"/>
+		<UserParam type="string" name="parameter: id:remove_clashes" value="false"/>
+		<UserParam type="string" name="parameter: id:keep_best_score_id" value="false"/>
+		<UserParam type="stringList" name="parameter: id:sequences_whitelist" value="[]"/>
+		<UserParam type="stringList" name="parameter: id:accessions_whitelist" value="[]"/>
+		<UserParam type="string" name="parameter: id:remove_annotated_features" value="false"/>
+		<UserParam type="string" name="parameter: id:remove_unannotated_features" value="true"/>
+		<UserParam type="string" name="parameter: id:remove_unassigned_ids" value="false"/>
+		<UserParam type="string" name="parameter: id:blacklist" value=""/>
+		<UserParam type="float" name="parameter: id:rt" value="0.1"/>
+		<UserParam type="float" name="parameter: id:mz" value="0.001"/>
+		<UserParam type="string" name="parameter: id:blacklist_imperfect" value="false"/>
+		<UserParam type="int" name="parameter: algorithm:SignalToNoise:max_intensity" value="-1"/>
+		<UserParam type="float" name="parameter: algorithm:SignalToNoise:auto_max_stdev_factor" value="3"/>
+		<UserParam type="int" name="parameter: algorithm:SignalToNoise:auto_max_percentile" value="95"/>
+		<UserParam type="int" name="parameter: algorithm:SignalToNoise:auto_mode" value="0"/>
+		<UserParam type="float" name="parameter: algorithm:SignalToNoise:win_len" value="200"/>
+		<UserParam type="int" name="parameter: algorithm:SignalToNoise:bin_count" value="30"/>
+		<UserParam type="int" name="parameter: algorithm:SignalToNoise:min_required_elements" value="10"/>
+		<UserParam type="float" name="parameter: algorithm:SignalToNoise:noise_for_empty_window" value="1e+20"/>
+		<UserParam type="string" name="parameter: algorithm:SignalToNoise:write_log_messages" value="true"/>
+	</dataProcessing>
+	<IdentificationRun id="PI_0" date="2011-03-11T14:53:29" search_engine="OMSSA" search_engine_version="2.1.8">
+		<SearchParameters db="/raid/sachsenb/Jana/fasta/Zeitz_1and2_454AllContigs_HEX.fasta.psq" db_version="" taxonomy="0" mass_type="monoisotopic" charges="+2-+3" enzyme="trypsin" missed_cleavages="2" precursor_peak_tolerance="10" precursor_peak_tolerance_ppm="false" peak_mass_tolerance="0.5" peak_mass_tolerance_ppm="false" >
+			<FixedModification name="Carbamidomethyl (C)" />
+			<VariableModification name="Oxidation (M)" />
+		</SearchParameters>
+		<ProteinIdentification score_type="OMSSA" higher_score_better="false" significance_threshold="0">
+			<ProteinHit id="PH_0" accession="contig23640_802236" score="0" sequence="">
+			</ProteinHit>
+		</ProteinIdentification>
+	</IdentificationRun>
+	<featureList count="1">
+		<feature id="f_988449706551050085">
+			<position dim="0">4831.43346884947</position>
+			<position dim="1">666.862287171363</position>
+			<intensity>3.25608e+06</intensity>
+			<quality dim="0">0</quality>
+			<quality dim="1">0</quality>
+			<overallquality>0.882314</overallquality>
+			<charge>2</charge>
+			<convexhull nr="0">
+				<pt x="4803.8473" y="666.862043575291" />
+				<pt x="4805.8211" y="666.862135109963" />
+			</convexhull>
+			<convexhull nr="1">
+				<pt x="4803.8473" y="667.364150217852" />
+				<pt x="4805.8211" y="667.363529666672" />
+			</convexhull>
+			<convexhull nr="2">
+				<pt x="4805.8211" y="667.865608520739" />
+				<pt x="4807.3928" y="667.864992849711" />
+			</convexhull>
+			<convexhull nr="3">
+				<pt x="4810.5312" y="668.364801015548" />
+				<pt x="4812.1021" y="668.365292284748" />
+			</convexhull>
+			<PeptideIdentification identification_run_ref="PI_0" score_type="OMSSA" higher_score_better="false" significance_threshold="0" MZ="666.861999511719" RT="4808.4946" >
+				<PeptideHit score="0.174659044235501" sequence="YGGAVDPTVLGGVK" charge="2" aa_before="K" aa_after="L" protein_refs="PH_0">
+					<UserParam type="string" name="target_decoy" value="target"/>
+					<UserParam type="string" name="protein_references" value="unique"/>
+				</PeptideHit>
+			</PeptideIdentification>
+			<UserParam type="int" name="label" value="453"/>
+			<UserParam type="float" name="score_fit" value="0.783165906030983"/>
+			<UserParam type="float" name="score_correlation" value="0.994014816652796"/>
+			<UserParam type="float" name="FWHM" value="23.5379981994629"/>
+			<UserParam type="int" name="spectrum_index" value="1569"/>
+			<UserParam type="string" name="spectrum_native_id" value="controllerType=0 controllerNumber=1 scan=6112"/>
+		</feature>
+	</featureList>
+</featureMap>
--- /dev/null	Thu Jan 01 00:00:00 1970 +0000
+++ b/test-data/MetaProSIP_1_input.mzML	Wed May 15 06:41:00 2019 -0400
@@ -0,0 +1,2621 @@
+<?xml version="1.0" encoding="ISO-8859-1"?>
+<indexedmzML xmlns="http://psi.hupo.org/ms/mzml" xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance" xsi:schemaLocation="http://psi.hupo.org/ms/mzml http://psidev.info/files/ms/mzML/xsd/mzML1.1.0_idx.xsd">
+<mzML xmlns="http://psi.hupo.org/ms/mzml" xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance" xsi:schemaLocation="http://psi.hupo.org/ms/mzml http://psidev.info/files/ms/mzML/xsd/mzML1.1.0.xsd" accession="" version="1.1.0">
+	<cvList count="5">
+		<cv id="MS" fullName="Proteomics Standards Initiative Mass Spectrometry Ontology" URI="http://psidev.cvs.sourceforge.net/*checkout*/psidev/psi/psi-ms/mzML/controlledVocabulary/psi-ms.obo"/>
+		<cv id="UO" fullName="Unit Ontology" URI="http://obo.cvs.sourceforge.net/obo/obo/ontology/phenotype/unit.obo"/>
+		<cv id="BTO" fullName="BrendaTissue545" version="unknown" URI="http://www.brenda-enzymes.info/ontology/tissue/tree/update/update_files/BrendaTissueOBO"/>
+		<cv id="GO" fullName="Gene Ontology - Slim Versions" version="unknown" URI="http://www.geneontology.org/GO_slims/goslim_goa.obo"/>
+		<cv id="PATO" fullName="Quality ontology" version="unknown" URI="http://obo.cvs.sourceforge.net/*checkout*/obo/obo/ontology/phenotype/quality.obo"/>
+	</cvList>
+	<fileDescription>
+		<fileContent>
+			<cvParam cvRef="MS" accession="MS:1000294" name="mass spectrum" />
+		</fileContent>
+		<sourceFileList count="1">
+			<sourceFile id="sf_ru_0" name="Zeitz_SIP_13-II_020_picked.mzML" location="file:///nfs/wsi/abi/old-data/sachsenb/OpenMS_IDE/MetaProSIP/Zeitz">
+				<cvParam cvRef="MS" accession="MS:1000569" name="SHA-1" value="cda64713a3466f7bf74af2a42da97351aaff691b" />
+				<cvParam cvRef="MS" accession="MS:1000584" name="mzML format" />
+				<cvParam cvRef="MS" accession="MS:1000777" name="spectrum identifier nativeID format" />
+			</sourceFile>
+		</sourceFileList>
+	</fileDescription>
+	<sampleList count="1">
+		<sample id="sa_0" name="">
+			<cvParam cvRef="MS" accession="MS:1000004" name="sample mass" value="0" unitAccession="UO:0000021" unitName="gram" unitCvRef="UO" />
+			<cvParam cvRef="MS" accession="MS:1000005" name="sample volume" value="0" unitAccession="UO:0000098" unitName="milliliter" unitCvRef="UO" />
+			<cvParam cvRef="MS" accession="MS:1000006" name="sample concentration" value="0" unitAccession="UO:0000175" unitName="gram per liter" unitCvRef="UO" />
+		</sample>
+	</sampleList>
+	<softwareList count="5">
+		<software id="so_in_0" version="2.5.5" >
+			<cvParam cvRef="MS" accession="MS:1000532" name="Xcalibur" />
+		</software>
+		<software id="so_default" version="" >
+			<cvParam cvRef="MS" accession="MS:1000799" name="custom unreleased software tool" value="" />
+		</software>
+		<software id="so_dp_sp_0_pm_0" version="2.0.1885" >
+			<cvParam cvRef="MS" accession="MS:1000615" name="ProteoWizard software" />
+		</software>
+		<software id="so_dp_sp_0_pm_1" version="1.8.0" >
+			<cvParam cvRef="MS" accession="MS:1000763" name="PeakPicker" />
+		</software>
+		<software id="so_dp_sp_0_pm_2" version="2.3.0" >
+			<cvParam cvRef="MS" accession="MS:1000799" name="custom unreleased software tool" value="SpectrumCanvas" />
+		</software>
+	</softwareList>
+	<instrumentConfigurationList count="1">
+		<instrumentConfiguration id="ic_0">
+			<cvParam cvRef="MS" accession="MS:1000556" name="LTQ Orbitrap XL" />
+			<cvParam cvRef="MS" accession="MS:1000529" name="instrument serial number" value="SN01757B"/>
+			<componentList count="3">
+				<source order="1">
+					<cvParam cvRef="MS" accession="MS:1000485" name="nanospray inlet" />
+					<cvParam cvRef="MS" accession="MS:1000398" name="nanoelectrospray" />
+				</source>
+				<analyzer order="2">
+					<cvParam cvRef="MS" accession="MS:1000014" name="accuracy" value="0" unitAccession="UO:0000169" unitName="parts per million" unitCvRef="UO" />
+					<cvParam cvRef="MS" accession="MS:1000022" name="TOF Total Path Length" value="0" unitAccession="UO:0000008" unitName="meter" unitCvRef="UO" />
+					<cvParam cvRef="MS" accession="MS:1000024" name="final MS exponent" value="0" />
+					<cvParam cvRef="MS" accession="MS:1000025" name="magnetic field strength" value="0" unitAccession="UO:0000228" unitName="tesla" unitCvRef="UO" />
+					<cvParam cvRef="MS" accession="MS:1000484" name="orbitrap" />
+				</analyzer>
+				<detector order="3">
+					<cvParam cvRef="MS" accession="MS:1000028" name="detector resolution" value="0" />
+					<cvParam cvRef="MS" accession="MS:1000029" name="sampling frequency" value="0" unitAccession="UO:0000106" unitName="hertz" unitCvRef="UO" />
+					<cvParam cvRef="MS" accession="MS:1000624" name="inductive detector" />
+				</detector>
+			</componentList>
+			<softwareRef ref="so_in_0" />
+		</instrumentConfiguration>
+	</instrumentConfigurationList>
+	<dataProcessingList count="1">
+		<dataProcessing id="dp_sp_0">
+			<processingMethod order="0" softwareRef="so_dp_sp_0_pm_0">
+				<cvParam cvRef="MS" accession="MS:1000544" name="Conversion to mzML" />
+			</processingMethod>
+			<processingMethod order="0" softwareRef="so_dp_sp_0_pm_1">
+				<cvParam cvRef="MS" accession="MS:1000035" name="peak picking" />
+				<cvParam cvRef="MS" accession="MS:1000747" name="completion time" value="2011-03-10+11:54" />
+				<userParam name="parameter: no_progress" type="xsd:string" value="true"/>
+				<userParam name="parameter: type" type="xsd:string" value="high_res"/>
+				<userParam name="parameter: in" type="xsd:string" value="mzML/Zeitz_SIP_13-II_020.mzML"/>
+				<userParam name="parameter: out" type="xsd:string" value="/tmp/TOPPAS_tmp/signal_processing/002_PeakPicker_high_res/out/Zeitz_SIP_13-II_020.mzML_tmp1"/>
+				<userParam name="parameter: ini" type="xsd:string" value="/tmp/TOPPAS_tmp/signal_processing/002_PeakPicker_high_res/PeakPicker_high_res.ini1"/>
+				<userParam name="parameter: log" type="xsd:string" value=""/>
+				<userParam name="parameter: debug" type="xsd:integer" value="0"/>
+				<userParam name="parameter: threads" type="xsd:integer" value="1"/>
+				<userParam name="parameter: test" type="xsd:string" value="false"/>
+				<userParam name="parameter: algorithm:signal_to_noise" type="xsd:double" value="1"/>
+				<userParam name="parameter: algorithm:ms1_only" type="xsd:string" value="true"/>
+			</processingMethod>
+			<processingMethod order="0" softwareRef="so_dp_sp_0_pm_2">
+				<cvParam cvRef="MS" accession="MS:1001486" name="data filtering" />
+				<cvParam cvRef="MS" accession="MS:1000747" name="completion time" value="2017-12-08+12:43" />
+			</processingMethod>
+		</dataProcessing>
+	</dataProcessingList>
+	<run id="ru_0" defaultInstrumentConfigurationRef="ic_0" sampleRef="sa_0" startTimeStamp="2010-09-30T09:34:23" defaultSourceFileRef="sf_ru_0">
+		<userParam name="mzml_id" type="xsd:string" value="Zeitz_SIP_13-II_020"/>
+		<spectrumList count="57" defaultDataProcessingRef="dp_sp_0">
+			<spectrum id="controllerType=0 controllerNumber=1 scan=6048" index="0" defaultArrayLength="24" dataProcessingRef="dp_sp_0">
+				<cvParam cvRef="MS" accession="MS:1000127" name="centroid spectrum" />
+				<cvParam cvRef="MS" accession="MS:1000511" name="ms level" value="1" />
+				<cvParam cvRef="MS" accession="MS:1000294" name="mass spectrum" />
+				<cvParam cvRef="MS" accession="MS:1000130" name="positive scan" />
+				<cvParam cvRef="MS" accession="MS:1000504" name="base peak m/z" value="640.6875"/>
+				<cvParam cvRef="MS" accession="MS:1000505" name="base peak intensity" value="520510.875"/>
+				<cvParam cvRef="MS" accession="MS:1000285" name="total ion current" value="9311861"/>
+				<cvParam cvRef="MS" accession="MS:1000528" name="lowest observed m/z" value="399.995900105911"/>
+				<cvParam cvRef="MS" accession="MS:1000527" name="highest observed m/z" value="1404.93721264194"/>
+				<userParam name="filter string" type="xsd:string" value="FTMS + p NSI Full ms [400.00-1400.00]"/>
+				<userParam name="preset scan configuration" type="xsd:string" value="1"/>
+				<scanList count="1">
+					<cvParam cvRef="MS" accession="MS:1000795" name="no combination" />
+					<scan >
+						<cvParam cvRef="MS" accession="MS:1000016" name="scan start time" value="4786.057" unitAccession="UO:0000010" unitName="second" unitCvRef="UO" />
+						<scanWindowList count="1">
+							<scanWindow>
+								<cvParam cvRef="MS" accession="MS:1000501" name="scan window lower limit" value="400" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+								<cvParam cvRef="MS" accession="MS:1000500" name="scan window upper limit" value="1400" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+							</scanWindow>
+						</scanWindowList>
+					</scan>
+				</scanList>
+				<binaryDataArrayList count="2">
+					<binaryDataArray encodedLength="256">
+						<cvParam cvRef="MS" accession="MS:1000514" name="m/z array" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+						<cvParam cvRef="MS" accession="MS:1000523" name="64-bit float" />
+						<cvParam cvRef="MS" accession="MS:1000576" name="no compression" />
+						<binary>gOdgBXyyhEDEJGrxFLSEQAjkL32vtYRAgvKzL0m3hEAfL9+J5LiEQFL3Ifk1u4RAWiOiLbrDhED+cO5uW9OEQO4hMVEW2oRAtFIUis/6hECBAlKqNTiFQE/hYYFOPIVAsjQdTfBNhUA0X7dRomGFQFfiVgsga4VAOE2KtihzhUDgdep88JmFQJyr+Zzry4VAsZ2tKg/PhUAc5AqRFNOFQC6PeTpC24VAvAOcTUXdhUC+0bJu9d+FQEaxbKZL44VA</binary>
+					</binaryDataArray>
+					<binaryDataArray encodedLength="128">
+						<cvParam cvRef="MS" accession="MS:1000515" name="intensity array" unitAccession="MS:1000131" unitName="number of detector counts" unitCvRef="MS"/>
+						<cvParam cvRef="MS" accession="MS:1000521" name="32-bit float" />
+						<cvParam cvRef="MS" accession="MS:1000576" name="no compression" />
+						<binary>ePCDRSdCJEaBaBBGNXSZRbWC1ET6dZdEjx6XRF1xSUUfcshEK4iPRD97fkTLaAVFgVRuRF9HCkUe3+lFWWTaREeo0UTxwahEvBaJROZBvEQQjQhGBiWYREbGlUSJxydF</binary>
+					</binaryDataArray>
+				</binaryDataArrayList>
+			</spectrum>
+			<spectrum id="controllerType=0 controllerNumber=1 scan=6051" index="1" defaultArrayLength="16">
+				<cvParam cvRef="MS" accession="MS:1000127" name="centroid spectrum" />
+				<cvParam cvRef="MS" accession="MS:1000511" name="ms level" value="1" />
+				<cvParam cvRef="MS" accession="MS:1000294" name="mass spectrum" />
+				<cvParam cvRef="MS" accession="MS:1000130" name="positive scan" />
+				<cvParam cvRef="MS" accession="MS:1000504" name="base peak m/z" value="640.687316894531"/>
+				<cvParam cvRef="MS" accession="MS:1000505" name="base peak intensity" value="604046.375"/>
+				<cvParam cvRef="MS" accession="MS:1000285" name="total ion current" value="9888664"/>
+				<cvParam cvRef="MS" accession="MS:1000528" name="lowest observed m/z" value="399.99604960291"/>
+				<cvParam cvRef="MS" accession="MS:1000527" name="highest observed m/z" value="1404.9377377432"/>
+				<userParam name="filter string" type="xsd:string" value="FTMS + p NSI Full ms [400.00-1400.00]"/>
+				<userParam name="preset scan configuration" type="xsd:string" value="1"/>
+				<scanList count="1">
+					<cvParam cvRef="MS" accession="MS:1000795" name="no combination" />
+					<scan >
+						<cvParam cvRef="MS" accession="MS:1000016" name="scan start time" value="4788.0474" unitAccession="UO:0000010" unitName="second" unitCvRef="UO" />
+						<scanWindowList count="1">
+							<scanWindow>
+								<cvParam cvRef="MS" accession="MS:1000501" name="scan window lower limit" value="400" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+								<cvParam cvRef="MS" accession="MS:1000500" name="scan window upper limit" value="1400" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+							</scanWindow>
+						</scanWindowList>
+					</scan>
+				</scanList>
+				<binaryDataArrayList count="2">
+					<binaryDataArray encodedLength="172">
+						<cvParam cvRef="MS" accession="MS:1000514" name="m/z array" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+						<cvParam cvRef="MS" accession="MS:1000523" name="64-bit float" />
+						<cvParam cvRef="MS" accession="MS:1000576" name="no compression" />
+						<binary>pLj62nmyhEDvalRiFbSEQBAwcbavtYRAZhYWUEq3hEBkrMX95LiEQODKKi1b04RAYsW9HzQ8hUBEhjFls1qFQNRk94gga4VAqnrY1ylzhUCEG9QZOJWFQJvLuKAcxoVAgmqAKwvHhUD0OVnBGteFQFM8RiBC24VAjNF8qkzjhUA=</binary>
+					</binaryDataArray>
+					<binaryDataArray encodedLength="88">
+						<cvParam cvRef="MS" accession="MS:1000515" name="intensity array" unitAccession="MS:1000131" unitName="number of detector counts" unitCvRef="MS"/>
+						<cvParam cvRef="MS" accession="MS:1000521" name="32-bit float" />
+						<cvParam cvRef="MS" accession="MS:1000576" name="no compression" />
+						<binary>XsN8RQSozkWM+wZG4Y2nRaekVkV12ixFeZYeRSAk2kSDlwxG+4ETRUDSnES3yrtE2sKZRDYnrUTZfBdGOMQwRQ==</binary>
+					</binaryDataArray>
+				</binaryDataArrayList>
+			</spectrum>
+			<spectrum id="controllerType=0 controllerNumber=1 scan=6053" index="2" defaultArrayLength="21">
+				<cvParam cvRef="MS" accession="MS:1000127" name="centroid spectrum" />
+				<cvParam cvRef="MS" accession="MS:1000511" name="ms level" value="1" />
+				<cvParam cvRef="MS" accession="MS:1000294" name="mass spectrum" />
+				<cvParam cvRef="MS" accession="MS:1000130" name="positive scan" />
+				<cvParam cvRef="MS" accession="MS:1000504" name="base peak m/z" value="640.687377929688"/>
+				<cvParam cvRef="MS" accession="MS:1000505" name="base peak intensity" value="662844.625"/>
+				<cvParam cvRef="MS" accession="MS:1000285" name="total ion current" value="10365486"/>
+				<cvParam cvRef="MS" accession="MS:1000528" name="lowest observed m/z" value="399.995837404034"/>
+				<cvParam cvRef="MS" accession="MS:1000527" name="highest observed m/z" value="1404.9369924085"/>
+				<userParam name="filter string" type="xsd:string" value="FTMS + p NSI Full ms [400.00-1400.00]"/>
+				<userParam name="preset scan configuration" type="xsd:string" value="1"/>
+				<scanList count="1">
+					<cvParam cvRef="MS" accession="MS:1000795" name="no combination" />
+					<scan >
+						<cvParam cvRef="MS" accession="MS:1000016" name="scan start time" value="4789.5807" unitAccession="UO:0000010" unitName="second" unitCvRef="UO" />
+						<scanWindowList count="1">
+							<scanWindow>
+								<cvParam cvRef="MS" accession="MS:1000501" name="scan window lower limit" value="400" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+								<cvParam cvRef="MS" accession="MS:1000500" name="scan window upper limit" value="1400" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+							</scanWindow>
+						</scanWindowList>
+					</scan>
+				</scanList>
+				<binaryDataArrayList count="2">
+					<binaryDataArray encodedLength="224">
+						<cvParam cvRef="MS" accession="MS:1000514" name="m/z array" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+						<cvParam cvRef="MS" accession="MS:1000523" name="64-bit float" />
+						<cvParam cvRef="MS" accession="MS:1000576" name="no compression" />
+						<binary>OpV2jXqyhEDkAjI0FbSEQIjuh72vtYRA5zN7GUy3hEDS5OOj5LiEQDgZxcBa04RA10OweuL8hEAtaS50tTOFQDIe6qkEPIVAhoeWlio8hUCLyC11sFqFQIIATTyjYYVABsf7cyBrhUAqkt6sH2+FQCgbiawnc4VAwF9EFxSrhUDhkHRsDreFQPDmPUMQz4VAfe+/IELbhUAggc3ASeOFQCyuqkGg64VA</binary>
+					</binaryDataArray>
+					<binaryDataArray encodedLength="112">
+						<cvParam cvRef="MS" accession="MS:1000515" name="intensity array" unitAccession="MS:1000131" unitName="number of detector counts" unitCvRef="MS"/>
+						<cvParam cvRef="MS" accession="MS:1000521" name="32-bit float" />
+						<cvParam cvRef="MS" accession="MS:1000576" name="no compression" />
+						<binary>G486RUDBDEaRFiRGYuSXRatiF0US00tFIiumRJX/vURdcqNEgNoTRd0hzEQToNVEgQf3RUEYm0RLPDFFMbLCRMRhmESYtbhEQNgHRhQlT0UntstE</binary>
+					</binaryDataArray>
+				</binaryDataArrayList>
+			</spectrum>
+			<spectrum id="controllerType=0 controllerNumber=1 scan=6057" index="3" defaultArrayLength="17">
+				<cvParam cvRef="MS" accession="MS:1000127" name="centroid spectrum" />
+				<cvParam cvRef="MS" accession="MS:1000511" name="ms level" value="1" />
+				<cvParam cvRef="MS" accession="MS:1000294" name="mass spectrum" />
+				<cvParam cvRef="MS" accession="MS:1000130" name="positive scan" />
+				<cvParam cvRef="MS" accession="MS:1000504" name="base peak m/z" value="640.687133789062"/>
+				<cvParam cvRef="MS" accession="MS:1000505" name="base peak intensity" value="736545.75"/>
+				<cvParam cvRef="MS" accession="MS:1000285" name="total ion current" value="11137286"/>
+				<cvParam cvRef="MS" accession="MS:1000528" name="lowest observed m/z" value="399.995952182958"/>
+				<cvParam cvRef="MS" accession="MS:1000527" name="highest observed m/z" value="1404.93739555911"/>
+				<userParam name="filter string" type="xsd:string" value="FTMS + p NSI Full ms [400.00-1400.00]"/>
+				<userParam name="preset scan configuration" type="xsd:string" value="1"/>
+				<scanList count="1">
+					<cvParam cvRef="MS" accession="MS:1000795" name="no combination" />
+					<scan >
+						<cvParam cvRef="MS" accession="MS:1000016" name="scan start time" value="4792.0939" unitAccession="UO:0000010" unitName="second" unitCvRef="UO" />
+						<scanWindowList count="1">
+							<scanWindow>
+								<cvParam cvRef="MS" accession="MS:1000501" name="scan window lower limit" value="400" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+								<cvParam cvRef="MS" accession="MS:1000500" name="scan window upper limit" value="1400" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+							</scanWindow>
+						</scanWindowList>
+					</scan>
+				</scanList>
+				<binaryDataArrayList count="2">
+					<binaryDataArray encodedLength="184">
+						<cvParam cvRef="MS" accession="MS:1000514" name="m/z array" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+						<cvParam cvRef="MS" accession="MS:1000523" name="64-bit float" />
+						<cvParam cvRef="MS" accession="MS:1000576" name="no compression" />
+						<binary>qnbHS3uyhEA8V3V6E7SEQD2U4kOwtYRAXl0m5Um3hEDFr4hd4riEQGjk9FVc04RAKcGBN2fkhECWaoEXLzyFQMA8feqgYYVA9hFnxSBrhUBI9AKvHm+FQOhq3x0lc4VAhaJTQBHLhUCwCbilGNOFQIRbOUhC24VAiN4wUvTfhUAAb2kXSeOFQA==</binary>
+					</binaryDataArray>
+					<binaryDataArray encodedLength="92">
+						<cvParam cvRef="MS" accession="MS:1000515" name="intensity array" unitAccession="MS:1000131" unitName="number of detector counts" unitCvRef="MS"/>
+						<cvParam cvRef="MS" accession="MS:1000521" name="32-bit float" />
+						<cvParam cvRef="MS" accession="MS:1000576" name="no compression" />
+						<binary>As6ARfpd60UrfdpFVWppRZAEzkSNOBxFS2FHRa/kHkW8wMlETZ/iRcp20kST5yRFGEfPROxNu0QMfO5F0uK/RC2sFEU=</binary>
+					</binaryDataArray>
+				</binaryDataArrayList>
+			</spectrum>
+			<spectrum id="controllerType=0 controllerNumber=1 scan=6061" index="4" defaultArrayLength="14">
+				<cvParam cvRef="MS" accession="MS:1000127" name="centroid spectrum" />
+				<cvParam cvRef="MS" accession="MS:1000511" name="ms level" value="1" />
+				<cvParam cvRef="MS" accession="MS:1000294" name="mass spectrum" />
+				<cvParam cvRef="MS" accession="MS:1000130" name="positive scan" />
+				<cvParam cvRef="MS" accession="MS:1000504" name="base peak m/z" value="640.687255859375"/>
+				<cvParam cvRef="MS" accession="MS:1000505" name="base peak intensity" value="741715.875"/>
+				<cvParam cvRef="MS" accession="MS:1000285" name="total ion current" value="10919192"/>
+				<cvParam cvRef="MS" accession="MS:1000528" name="lowest observed m/z" value="399.996020043301"/>
+				<cvParam cvRef="MS" accession="MS:1000527" name="highest observed m/z" value="1404.93763390763"/>
+				<userParam name="filter string" type="xsd:string" value="FTMS + p NSI Full ms [400.00-1400.00]"/>
+				<userParam name="preset scan configuration" type="xsd:string" value="1"/>
+				<scanList count="1">
+					<cvParam cvRef="MS" accession="MS:1000795" name="no combination" />
+					<scan >
+						<cvParam cvRef="MS" accession="MS:1000016" name="scan start time" value="4794.4545" unitAccession="UO:0000010" unitName="second" unitCvRef="UO" />
+						<scanWindowList count="1">
+							<scanWindow>
+								<cvParam cvRef="MS" accession="MS:1000501" name="scan window lower limit" value="400" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+								<cvParam cvRef="MS" accession="MS:1000500" name="scan window upper limit" value="1400" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+							</scanWindow>
+						</scanWindowList>
+					</scan>
+				</scanList>
+				<binaryDataArrayList count="2">
+					<binaryDataArray encodedLength="152">
+						<cvParam cvRef="MS" accession="MS:1000514" name="m/z array" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+						<cvParam cvRef="MS" accession="MS:1000523" name="64-bit float" />
+						<cvParam cvRef="MS" accession="MS:1000576" name="no compression" />
+						<binary>njH1u3myhEAdqiw/FbSEQAx7JQywtYRACKc2mEq3hEAWPKpj5biEQCfRyjNb04RAOCXL7h48hUCgXpQTsVqFQH/1cx6iYYVAxvztmCBrhUDIEcLaIG+FQI7ywn0pc4VAj2DmTEHbhUCGMinOS+OFQA==</binary>
+					</binaryDataArray>
+					<binaryDataArray encodedLength="76">
+						<cvParam cvRef="MS" accession="MS:1000515" name="intensity array" unitAccession="MS:1000131" unitName="number of detector counts" unitCvRef="MS"/>
+						<cvParam cvRef="MS" accession="MS:1000521" name="32-bit float" />
+						<cvParam cvRef="MS" accession="MS:1000576" name="no compression" />
+						<binary>9se4Rao8CEbetOtFdAKLRbAYLkU5XudEXl0ZRatF0kRI7OZEia3uRWIt9ESraxtFVhf/RfH2OUU=</binary>
+					</binaryDataArray>
+				</binaryDataArrayList>
+			</spectrum>
+			<spectrum id="controllerType=0 controllerNumber=1 scan=6063" index="5" defaultArrayLength="17">
+				<cvParam cvRef="MS" accession="MS:1000127" name="centroid spectrum" />
+				<cvParam cvRef="MS" accession="MS:1000511" name="ms level" value="1" />
+				<cvParam cvRef="MS" accession="MS:1000294" name="mass spectrum" />
+				<cvParam cvRef="MS" accession="MS:1000130" name="positive scan" />
+				<cvParam cvRef="MS" accession="MS:1000504" name="base peak m/z" value="640.687133789062"/>
+				<cvParam cvRef="MS" accession="MS:1000505" name="base peak intensity" value="762923.9375"/>
+				<cvParam cvRef="MS" accession="MS:1000285" name="total ion current" value="11310401"/>
+				<cvParam cvRef="MS" accession="MS:1000528" name="lowest observed m/z" value="399.995681911213"/>
+				<cvParam cvRef="MS" accession="MS:1000527" name="highest observed m/z" value="1404.93644625502"/>
+				<userParam name="filter string" type="xsd:string" value="FTMS + p NSI Full ms [400.00-1400.00]"/>
+				<userParam name="preset scan configuration" type="xsd:string" value="1"/>
+				<scanList count="1">
+					<cvParam cvRef="MS" accession="MS:1000795" name="no combination" />
+					<scan >
+						<cvParam cvRef="MS" accession="MS:1000016" name="scan start time" value="4795.9436" unitAccession="UO:0000010" unitName="second" unitCvRef="UO" />
+						<scanWindowList count="1">
+							<scanWindow>
+								<cvParam cvRef="MS" accession="MS:1000501" name="scan window lower limit" value="400" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+								<cvParam cvRef="MS" accession="MS:1000500" name="scan window upper limit" value="1400" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+							</scanWindow>
+						</scanWindowList>
+					</scan>
+				</scanList>
+				<binaryDataArrayList count="2">
+					<binaryDataArray encodedLength="184">
+						<cvParam cvRef="MS" accession="MS:1000514" name="m/z array" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+						<cvParam cvRef="MS" accession="MS:1000523" name="64-bit float" />
+						<cvParam cvRef="MS" accession="MS:1000576" name="no compression" />
+						<binary>UsC9I3qyhEBSLFOeFLSEQLZYTFmwtYRASmM7C0m3hEBejJ3Y5biEQDo7lJOBuoRABocXQ1nThEAE9QheQDyFQLBCUNe1WoVAKtCSj6BhhUDThWmsIGuFQAO1g2seb4VAslG9syhzhUD0H8XIQduFQOXCQjry34VAbu25HunihUAYuQ8gSuOFQA==</binary>
+					</binaryDataArray>
+					<binaryDataArray encodedLength="92">
+						<cvParam cvRef="MS" accession="MS:1000515" name="intensity array" unitAccession="MS:1000131" unitName="number of detector counts" unitCvRef="MS"/>
+						<cvParam cvRef="MS" accession="MS:1000521" name="32-bit float" />
+						<cvParam cvRef="MS" accession="MS:1000576" name="no compression" />
+						<binary>hArARaEn10WuIRNGUR5qRaD1OUVkEdBERp0QRY3L9ERiw99EU5nURDyL9UXu7ilFcrsSRVG/60UUQwVFRM4lRS7tLUU=</binary>
+					</binaryDataArray>
+				</binaryDataArrayList>
+			</spectrum>
+			<spectrum id="controllerType=0 controllerNumber=1 scan=6064" index="6" defaultArrayLength="21">
+				<cvParam cvRef="MS" accession="MS:1000127" name="centroid spectrum" />
+				<cvParam cvRef="MS" accession="MS:1000511" name="ms level" value="1" />
+				<cvParam cvRef="MS" accession="MS:1000294" name="mass spectrum" />
+				<cvParam cvRef="MS" accession="MS:1000130" name="positive scan" />
+				<cvParam cvRef="MS" accession="MS:1000504" name="base peak m/z" value="640.687194824219"/>
+				<cvParam cvRef="MS" accession="MS:1000505" name="base peak intensity" value="849612.375"/>
+				<cvParam cvRef="MS" accession="MS:1000285" name="total ion current" value="12450395"/>
+				<cvParam cvRef="MS" accession="MS:1000528" name="lowest observed m/z" value="399.995535290289"/>
+				<cvParam cvRef="MS" accession="MS:1000527" name="highest observed m/z" value="1404.93593126058"/>
+				<userParam name="filter string" type="xsd:string" value="FTMS + p NSI Full ms [400.00-1400.00]"/>
+				<userParam name="preset scan configuration" type="xsd:string" value="1"/>
+				<scanList count="1">
+					<cvParam cvRef="MS" accession="MS:1000795" name="no combination" />
+					<scan >
+						<cvParam cvRef="MS" accession="MS:1000016" name="scan start time" value="4797.7533" unitAccession="UO:0000010" unitName="second" unitCvRef="UO" />
+						<scanWindowList count="1">
+							<scanWindow>
+								<cvParam cvRef="MS" accession="MS:1000501" name="scan window lower limit" value="400" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+								<cvParam cvRef="MS" accession="MS:1000500" name="scan window upper limit" value="1400" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+							</scanWindow>
+						</scanWindowList>
+					</scan>
+				</scanList>
+				<binaryDataArrayList count="2">
+					<binaryDataArray encodedLength="224">
+						<cvParam cvRef="MS" accession="MS:1000514" name="m/z array" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+						<cvParam cvRef="MS" accession="MS:1000523" name="64-bit float" />
+						<cvParam cvRef="MS" accession="MS:1000576" name="no compression" />
+						<binary>S2ZIlHuyhEDXcrRBFbSEQHxcWz2wtYRAmelx/Ue3hEDT4h/x5LiEQDPjSYla04RAOgL0IujWhEBWXdsoDjiFQJnL6ZgsPIVAPqZfAq9ahUBKzWDLVF2FQBmXcjuhYYVAsVSkRh5rhUDWSYyfIG+FQKAdhDkpc4VAndKj8vPGhUAFpH2ZMtiFQDqcxp5C24VAOH4dykjdhUDgqDvhSOOFQO49+NFC6IVA</binary>
+					</binaryDataArray>
+					<binaryDataArray encodedLength="112">
+						<cvParam cvRef="MS" accession="MS:1000515" name="intensity array" unitAccession="MS:1000131" unitName="number of detector counts" unitCvRef="MS"/>
+						<cvParam cvRef="MS" accession="MS:1000521" name="32-bit float" />
+						<cvParam cvRef="MS" accession="MS:1000576" name="no compression" />
+						<binary>jxTLRfvkJ0agtxxG6CgBRr5TVUWeseFEaIDgRNsfwETMNjhFiwYqRRGYrUSeUh1FTrAyRtpfCUUkFltFnA/IREPtyURx6hFGZsncREFHR0X5fQlF</binary>
+					</binaryDataArray>
+				</binaryDataArrayList>
+			</spectrum>
+			<spectrum id="controllerType=0 controllerNumber=1 scan=6067" index="7" defaultArrayLength="23">
+				<cvParam cvRef="MS" accession="MS:1000127" name="centroid spectrum" />
+				<cvParam cvRef="MS" accession="MS:1000511" name="ms level" value="1" />
+				<cvParam cvRef="MS" accession="MS:1000294" name="mass spectrum" />
+				<cvParam cvRef="MS" accession="MS:1000130" name="positive scan" />
+				<cvParam cvRef="MS" accession="MS:1000504" name="base peak m/z" value="640.353332519531"/>
+				<cvParam cvRef="MS" accession="MS:1000505" name="base peak intensity" value="722095.25"/>
+				<cvParam cvRef="MS" accession="MS:1000285" name="total ion current" value="10733212"/>
+				<cvParam cvRef="MS" accession="MS:1000528" name="lowest observed m/z" value="399.995816567276"/>
+				<cvParam cvRef="MS" accession="MS:1000527" name="highest observed m/z" value="1404.93691922364"/>
+				<userParam name="filter string" type="xsd:string" value="FTMS + p NSI Full ms [400.00-1400.00]"/>
+				<userParam name="preset scan configuration" type="xsd:string" value="1"/>
+				<scanList count="1">
+					<cvParam cvRef="MS" accession="MS:1000795" name="no combination" />
+					<scan >
+						<cvParam cvRef="MS" accession="MS:1000016" name="scan start time" value="4799.6359" unitAccession="UO:0000010" unitName="second" unitCvRef="UO" />
+						<scanWindowList count="1">
+							<scanWindow>
+								<cvParam cvRef="MS" accession="MS:1000501" name="scan window lower limit" value="400" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+								<cvParam cvRef="MS" accession="MS:1000500" name="scan window upper limit" value="1400" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+							</scanWindow>
+						</scanWindowList>
+					</scan>
+				</scanList>
+				<binaryDataArrayList count="2">
+					<binaryDataArray encodedLength="248">
+						<cvParam cvRef="MS" accession="MS:1000514" name="m/z array" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+						<cvParam cvRef="MS" accession="MS:1000523" name="64-bit float" />
+						<cvParam cvRef="MS" accession="MS:1000576" name="no compression" />
+						<binary>VDlRhXmyhEC3OjJqFLSEQN4+vDGvtYRAVvPTTEu3hECOGqXg5LiEQJhsDddX3oRAxMHPPurihEDS62fKLjyFQGC2pvSxWoVAnXH3jp9hhUAJxJuTHmuFQAgiOxjLbYVA/hOnvx5vhUC+WkN1J3OFQJ8HdMtB24VA1Ioit0XdhUAWkNsx89+FQNAHtQek4oVAW9lLg+bihUCmyG8yUOOFQCyUFX5E6IVAnk8WVnPvhUBFRqBdAvGFQA==</binary>
+					</binaryDataArray>
+					<binaryDataArray encodedLength="124">
+						<cvParam cvRef="MS" accession="MS:1000515" name="intensity array" unitAccession="MS:1000131" unitName="number of detector counts" unitCvRef="MS"/>
+						<cvParam cvRef="MS" accession="MS:1000521" name="32-bit float" />
+						<cvParam cvRef="MS" accession="MS:1000576" name="no compression" />
+						<binary>joqNRaXn+UWs/StG3CmXRQFlLUWDdrFEhvbxRBIw9kTrXzBFPg/pRNziGEZ4japE8YPHRG33VUXEK99Fim64RIvvsERrAclE/7QVRZI1F0XnGcBETCsKRckvMEU=</binary>
+					</binaryDataArray>
+				</binaryDataArrayList>
+			</spectrum>
+			<spectrum id="controllerType=0 controllerNumber=1 scan=6068" index="8" defaultArrayLength="23">
+				<cvParam cvRef="MS" accession="MS:1000127" name="centroid spectrum" />
+				<cvParam cvRef="MS" accession="MS:1000511" name="ms level" value="1" />
+				<cvParam cvRef="MS" accession="MS:1000294" name="mass spectrum" />
+				<cvParam cvRef="MS" accession="MS:1000130" name="positive scan" />
+				<cvParam cvRef="MS" accession="MS:1000504" name="base peak m/z" value="640.353332519531"/>
+				<cvParam cvRef="MS" accession="MS:1000505" name="base peak intensity" value="813564.9375"/>
+				<cvParam cvRef="MS" accession="MS:1000285" name="total ion current" value="11842580"/>
+				<cvParam cvRef="MS" accession="MS:1000528" name="lowest observed m/z" value="399.995906856811"/>
+				<cvParam cvRef="MS" accession="MS:1000527" name="highest observed m/z" value="1404.93723635613"/>
+				<userParam name="filter string" type="xsd:string" value="FTMS + p NSI Full ms [400.00-1400.00]"/>
+				<userParam name="preset scan configuration" type="xsd:string" value="1"/>
+				<scanList count="1">
+					<cvParam cvRef="MS" accession="MS:1000795" name="no combination" />
+					<scan >
+						<cvParam cvRef="MS" accession="MS:1000016" name="scan start time" value="4801.4689" unitAccession="UO:0000010" unitName="second" unitCvRef="UO" />
+						<scanWindowList count="1">
+							<scanWindow>
+								<cvParam cvRef="MS" accession="MS:1000501" name="scan window lower limit" value="400" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+								<cvParam cvRef="MS" accession="MS:1000500" name="scan window upper limit" value="1400" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+							</scanWindow>
+						</scanWindowList>
+					</scan>
+				</scanList>
+				<binaryDataArrayList count="2">
+					<binaryDataArray encodedLength="248">
+						<cvParam cvRef="MS" accession="MS:1000514" name="m/z array" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+						<cvParam cvRef="MS" accession="MS:1000523" name="64-bit float" />
+						<cvParam cvRef="MS" accession="MS:1000576" name="no compression" />
+						<binary>/omDhHqyhEDoSM9xFrSEQGRS48uutYRAjGsNH0u3hEB6+xHH5biEQJhz5TYO0oRAKrHyV+XWhEBkuOecKzyFQHzee8CxWoVAzQ86PrRehUCmWm3+oGGFQEwE5c8ea4VA/D+bax9vhUDqT45LKHOFQKJI7J1bsoVAptqWZDHYhUCUBziqQtuFQFGZEBz034VAtZAbejzghUA+BBUw5OKFQBXfXTlM44VAQJ9oP0DohUBzYvgy6uqFQA==</binary>
+					</binaryDataArray>
+					<binaryDataArray encodedLength="124">
+						<cvParam cvRef="MS" accession="MS:1000515" name="intensity array" unitAccession="MS:1000131" unitName="number of detector counts" unitCvRef="MS"/>
+						<cvParam cvRef="MS" accession="MS:1000521" name="32-bit float" />
+						<cvParam cvRef="MS" accession="MS:1000576" name="no compression" />
+						<binary>+qmrRXkwD0bSOg1GAa6VRTLNdkXMYbpEaV8xRWDiGkWfSUlFtbkSRYUCGEUbOSBG1sEkRYQdQkXQj6xEAhHnRPE1/EU+kRtFL5XuRN/s3UTNoktFQ2XpRMfqw0Q=</binary>
+					</binaryDataArray>
+				</binaryDataArrayList>
+			</spectrum>
+			<spectrum id="controllerType=0 controllerNumber=1 scan=6072" index="9" defaultArrayLength="24">
+				<cvParam cvRef="MS" accession="MS:1000127" name="centroid spectrum" />
+				<cvParam cvRef="MS" accession="MS:1000511" name="ms level" value="1" />
+				<cvParam cvRef="MS" accession="MS:1000294" name="mass spectrum" />
+				<cvParam cvRef="MS" accession="MS:1000130" name="positive scan" />
+				<cvParam cvRef="MS" accession="MS:1000504" name="base peak m/z" value="640.353332519531"/>
+				<cvParam cvRef="MS" accession="MS:1000505" name="base peak intensity" value="655486.6875"/>
+				<cvParam cvRef="MS" accession="MS:1000285" name="total ion current" value="9942251"/>
+				<cvParam cvRef="MS" accession="MS:1000528" name="lowest observed m/z" value="399.995903910431"/>
+				<cvParam cvRef="MS" accession="MS:1000527" name="highest observed m/z" value="1404.93722600682"/>
+				<userParam name="filter string" type="xsd:string" value="FTMS + p NSI Full ms [400.00-1400.00]"/>
+				<userParam name="preset scan configuration" type="xsd:string" value="1"/>
+				<scanList count="1">
+					<cvParam cvRef="MS" accession="MS:1000795" name="no combination" />
+					<scan >
+						<cvParam cvRef="MS" accession="MS:1000016" name="scan start time" value="4803.8473" unitAccession="UO:0000010" unitName="second" unitCvRef="UO" />
+						<scanWindowList count="1">
+							<scanWindow>
+								<cvParam cvRef="MS" accession="MS:1000501" name="scan window lower limit" value="400" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+								<cvParam cvRef="MS" accession="MS:1000500" name="scan window upper limit" value="1400" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+							</scanWindow>
+						</scanWindowList>
+					</scan>
+				</scanList>
+				<binaryDataArrayList count="2">
+					<binaryDataArray encodedLength="256">
+						<cvParam cvRef="MS" accession="MS:1000514" name="m/z array" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+						<cvParam cvRef="MS" accession="MS:1000523" name="64-bit float" />
+						<cvParam cvRef="MS" accession="MS:1000576" name="no compression" />
+						<binary>dtER+XqyhEBeCZS6FLSEQGCIxxWytYRA/myMgkq3hECZqI2/5LiEQPCS8tYR1oRA0hwad+XWhEAK5JbH6dqEQDYZDEQqPIVApmbocutShUCfu+CcsFqFQASc1xSjYYVA8iC+Bb5ihUD8JaPKH2uFQOLiMlAfb4VAwo8rUyNzhUCehI3zQtuFQGXfQEJI3YVAfF/ACJDdhUCmtlft9d+FQOlLDYBO44VApsSleJXlhUDM2uxkQeiFQLYAbb357IVA</binary>
+					</binaryDataArray>
+					<binaryDataArray encodedLength="128">
+						<cvParam cvRef="MS" accession="MS:1000515" name="intensity array" unitAccession="MS:1000131" unitName="number of detector counts" unitCvRef="MS"/>
+						<cvParam cvRef="MS" accession="MS:1000521" name="32-bit float" />
+						<cvParam cvRef="MS" accession="MS:1000576" name="no compression" />
+						<binary>D/SgRSymMEbrpK5FtO+IRbceO0WXRM5EuGRhRfvZLEUGtQ5FtuTPRMeqQUXJMxhFp6SqRDglG0bxgTZFgXcrRYMj0EUpgdBEQCTDRF1xuERYcAhFS23eRHDB1ESxLLJE</binary>
+					</binaryDataArray>
+				</binaryDataArrayList>
+			</spectrum>
+			<spectrum id="controllerType=0 controllerNumber=1 scan=6074" index="10" defaultArrayLength="672">
+				<cvParam cvRef="MS" accession="MS:1000127" name="centroid spectrum" />
+				<cvParam cvRef="MS" accession="MS:1000511" name="ms level" value="2" />
+				<cvParam cvRef="MS" accession="MS:1000294" name="mass spectrum" />
+				<cvParam cvRef="MS" accession="MS:1000130" name="positive scan" />
+				<cvParam cvRef="MS" accession="MS:1000504" name="base peak m/z" value="885.44873046875"/>
+				<cvParam cvRef="MS" accession="MS:1000505" name="base peak intensity" value="467.772338867188"/>
+				<cvParam cvRef="MS" accession="MS:1000285" name="total ion current" value="12922.94921875"/>
+				<cvParam cvRef="MS" accession="MS:1000528" name="lowest observed m/z" value="188.987380981445"/>
+				<cvParam cvRef="MS" accession="MS:1000527" name="highest observed m/z" value="1330.70910644531"/>
+				<userParam name="filter string" type="xsd:string" value="ITMS + c NSI d w Full ms2 666.86@cid35.00 [170.00-1345.00]"/>
+				<userParam name="preset scan configuration" type="xsd:string" value="3"/>
+				<scanList count="1">
+					<cvParam cvRef="MS" accession="MS:1000795" name="no combination" />
+					<scan >
+						<cvParam cvRef="MS" accession="MS:1000016" name="scan start time" value="4805.367" unitAccession="UO:0000010" unitName="second" unitCvRef="UO" />
+						<userParam name="[Thermo Trailer Extra]Monoisotopic M/Z:" type="xsd:double" value="666.861328125"/>
+						<scanWindowList count="1">
+							<scanWindow>
+								<cvParam cvRef="MS" accession="MS:1000501" name="scan window lower limit" value="170" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+								<cvParam cvRef="MS" accession="MS:1000500" name="scan window upper limit" value="1345" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+							</scanWindow>
+						</scanWindowList>
+					</scan>
+				</scanList>
+				<precursorList count="1">
+					<precursor>
+						<isolationWindow>
+							<cvParam cvRef="MS" accession="MS:1000827" name="isolation window target m/z" value="666.861328125" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+							<cvParam cvRef="MS" accession="MS:1000828" name="isolation window lower offset" value="2" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+							<cvParam cvRef="MS" accession="MS:1000829" name="isolation window upper offset" value="2" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+						</isolationWindow>
+						<selectedIonList count="1">
+							<selectedIon>
+								<cvParam cvRef="MS" accession="MS:1000744" name="selected ion m/z" value="666.861328125" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+								<cvParam cvRef="MS" accession="MS:1000041" name="charge state" value="2" />
+								<cvParam cvRef="MS" accession="MS:1000042" name="peak intensity" value="3603.97094726562" unitAccession="MS:1000132" unitName="percent of base peak" unitCvRef="MS" />
+							</selectedIon>
+						</selectedIonList>
+						<activation>
+							<cvParam cvRef="MS" accession="MS:1000133" name="collision-induced dissociation" />
+							<cvParam cvRef="MS" accession="MS:1000045" name="collision energy" value="35"/>
+						</activation>
+					</precursor>
+				</precursorList>
+				<binaryDataArrayList count="2">
+					<binaryDataArray encodedLength="7168">
+						<cvParam cvRef="MS" accession="MS:1000514" name="m/z array" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+						<cvParam cvRef="MS" accession="MS:1000523" name="64-bit float" />
+						<cvParam cvRef="MS" accession="MS:1000576" name="no compression" />
+						<binary>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</binary>
+					</binaryDataArray>
+					<binaryDataArray encodedLength="3584">
+						<cvParam cvRef="MS" accession="MS:1000515" name="intensity array" unitAccession="MS:1000131" unitName="number of detector counts" unitCvRef="MS"/>
+						<cvParam cvRef="MS" accession="MS:1000521" name="32-bit float" />
+						<cvParam cvRef="MS" accession="MS:1000576" name="no compression" />
+						<binary>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</binary>
+					</binaryDataArray>
+				</binaryDataArrayList>
+			</spectrum>
+			<spectrum id="controllerType=0 controllerNumber=1 scan=6075" index="11" defaultArrayLength="32">
+				<cvParam cvRef="MS" accession="MS:1000127" name="centroid spectrum" />
+				<cvParam cvRef="MS" accession="MS:1000511" name="ms level" value="1" />
+				<cvParam cvRef="MS" accession="MS:1000294" name="mass spectrum" />
+				<cvParam cvRef="MS" accession="MS:1000130" name="positive scan" />
+				<cvParam cvRef="MS" accession="MS:1000504" name="base peak m/z" value="640.353210449219"/>
+				<cvParam cvRef="MS" accession="MS:1000505" name="base peak intensity" value="637941.3125"/>
+				<cvParam cvRef="MS" accession="MS:1000285" name="total ion current" value="9854470"/>
+				<cvParam cvRef="MS" accession="MS:1000528" name="lowest observed m/z" value="399.995493848101"/>
+				<cvParam cvRef="MS" accession="MS:1000527" name="highest observed m/z" value="1404.93578569664"/>
+				<userParam name="filter string" type="xsd:string" value="FTMS + p NSI Full ms [400.00-1400.00]"/>
+				<userParam name="preset scan configuration" type="xsd:string" value="1"/>
+				<scanList count="1">
+					<cvParam cvRef="MS" accession="MS:1000795" name="no combination" />
+					<scan >
+						<cvParam cvRef="MS" accession="MS:1000016" name="scan start time" value="4805.8211" unitAccession="UO:0000010" unitName="second" unitCvRef="UO" />
+						<scanWindowList count="1">
+							<scanWindow>
+								<cvParam cvRef="MS" accession="MS:1000501" name="scan window lower limit" value="400" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+								<cvParam cvRef="MS" accession="MS:1000500" name="scan window upper limit" value="1400" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+							</scanWindow>
+						</scanWindowList>
+					</scan>
+				</scanList>
+				<binaryDataArrayList count="2">
+					<binaryDataArray encodedLength="344">
+						<cvParam cvRef="MS" accession="MS:1000514" name="m/z array" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+						<cvParam cvRef="MS" accession="MS:1000523" name="64-bit float" />
+						<cvParam cvRef="MS" accession="MS:1000576" name="no compression" />
+						<binary>fj1nZHqyhEDwfu06FLSEQIs3zk6vtYRAz/nX1ki3hEB/6l3C47iEQCKEqUx+uoRAyOo+bwvShEAusBen5daEQN7rPYLo2oRAsP0oxOzehEA+sWqCQDyFQCr0w3juVoVAAA9KULBahUAKcw0ytl6FQNJv4bGgYYVAIqrsscVihUACkFIVnmmFQAyW7ksea4VAjsAjCx1vhUA2e5dyKXOFQJDQDc5edYVAFWLDhFB9hUBuMUiv1b6FQEy9Fm/h2oVAkC18vEHbhUCOQsX6it2FQKzouwv234VAmVgk4TrghUBijbGn6uKFQK+ZwL1K44VAdJMUP5LlhUBjfdJ96uqFQA==</binary>
+					</binaryDataArray>
+					<binaryDataArray encodedLength="172">
+						<cvParam cvRef="MS" accession="MS:1000515" name="intensity array" unitAccession="MS:1000131" unitName="number of detector counts" unitCvRef="MS"/>
+						<cvParam cvRef="MS" accession="MS:1000521" name="32-bit float" />
+						<cvParam cvRef="MS" accession="MS:1000576" name="no compression" />
+						<binary>6jTiRW/fCEZ+lwtGBG2fRQpz3ETcI/ZEJ7DyRHYPrUXzRIVFiHGnRPExEEUHQbZEAm6SRYPtGEV2h7JEG5TgRK+ilUSsiCZGaAV1RU5sRUWgD6dEvZWSRGShiURIBcREaaPERQRzl0SWpthEyiOyRLvm5ERi1AtF4sbCRK0O50Q=</binary>
+					</binaryDataArray>
+				</binaryDataArrayList>
+			</spectrum>
+			<spectrum id="controllerType=0 controllerNumber=1 scan=6077" index="12" defaultArrayLength="34">
+				<cvParam cvRef="MS" accession="MS:1000127" name="centroid spectrum" />
+				<cvParam cvRef="MS" accession="MS:1000511" name="ms level" value="1" />
+				<cvParam cvRef="MS" accession="MS:1000294" name="mass spectrum" />
+				<cvParam cvRef="MS" accession="MS:1000130" name="positive scan" />
+				<cvParam cvRef="MS" accession="MS:1000504" name="base peak m/z" value="640.687255859375"/>
+				<cvParam cvRef="MS" accession="MS:1000505" name="base peak intensity" value="592267.875"/>
+				<cvParam cvRef="MS" accession="MS:1000285" name="total ion current" value="9257318"/>
+				<cvParam cvRef="MS" accession="MS:1000528" name="lowest observed m/z" value="399.995665757315"/>
+				<cvParam cvRef="MS" accession="MS:1000527" name="highest observed m/z" value="1404.93638951374"/>
+				<userParam name="filter string" type="xsd:string" value="FTMS + p NSI Full ms [400.00-1400.00]"/>
+				<userParam name="preset scan configuration" type="xsd:string" value="1"/>
+				<scanList count="1">
+					<cvParam cvRef="MS" accession="MS:1000795" name="no combination" />
+					<scan >
+						<cvParam cvRef="MS" accession="MS:1000016" name="scan start time" value="4807.3928" unitAccession="UO:0000010" unitName="second" unitCvRef="UO" />
+						<scanWindowList count="1">
+							<scanWindow>
+								<cvParam cvRef="MS" accession="MS:1000501" name="scan window lower limit" value="400" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+								<cvParam cvRef="MS" accession="MS:1000500" name="scan window upper limit" value="1400" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+							</scanWindow>
+						</scanWindowList>
+					</scan>
+				</scanList>
+				<binaryDataArrayList count="2">
+					<binaryDataArray encodedLength="364">
+						<cvParam cvRef="MS" accession="MS:1000514" name="m/z array" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+						<cvParam cvRef="MS" accession="MS:1000523" name="64-bit float" />
+						<cvParam cvRef="MS" accession="MS:1000576" name="no compression" />
+						<binary>iMiWCnqyhECwG9MMFbSEQA5QjequtYRAEiC9T0m3hEDiwGtS5riEQDiDRNd9uoRA+ijAwQzShEAkNHAb5NaEQBzdPB3o2oRAPwZfgevehEC3u23HPDyFQOYbD8LoUoVAi16JzetWhUCOQ36IslqFQFsv9Ja2XoVAR8vKgvFghUCYfKhjoGGFQJ+naYvLYoVAwdfrxtJmhUAyOaGSHmuFQIvMTwAfb4VAzZqpeCpzhUC01LpiUqiFQKTpO41B24VA4zyjXEjdhUC6M6X4jN2FQH9zJIv034VAWppg3TvghUCSvynk6+KFQO1VsSFM44VA8Gx2KpLlhUDgVVE1ReiFQF8JqBDt6oVAMuhdmZvthUA=</binary>
+					</binaryDataArray>
+					<binaryDataArray encodedLength="184">
+						<cvParam cvRef="MS" accession="MS:1000515" name="intensity array" unitAccession="MS:1000131" unitName="number of detector counts" unitCvRef="MS"/>
+						<cvParam cvRef="MS" accession="MS:1000521" name="32-bit float" />
+						<cvParam cvRef="MS" accession="MS:1000576" name="no compression" />
+						<binary>gA7VRbTBPEYkfxdGGIS4RY5mNEX5hZxEvPPhRMr75UVoYnVFYQ0FRYPi/kQb0uREG2WpRNS0TEVOzxhF6sKiRKzR8EQKLN5EV8KORNAoHEb07yNFeYojRaWSq0RTTrZFEiC2RDyF+UT6sgFFCv78REJloUS9CwxFSXLJRORDlkSrxKZEm0KNRA==</binary>
+					</binaryDataArray>
+				</binaryDataArrayList>
+			</spectrum>
+			<spectrum id="controllerType=0 controllerNumber=1 scan=6078" index="13" defaultArrayLength="693">
+				<cvParam cvRef="MS" accession="MS:1000127" name="centroid spectrum" />
+				<cvParam cvRef="MS" accession="MS:1000511" name="ms level" value="2" />
+				<cvParam cvRef="MS" accession="MS:1000294" name="mass spectrum" />
+				<cvParam cvRef="MS" accession="MS:1000130" name="positive scan" />
+				<cvParam cvRef="MS" accession="MS:1000504" name="base peak m/z" value="885.349609375"/>
+				<cvParam cvRef="MS" accession="MS:1000505" name="base peak intensity" value="611.509033203125"/>
+				<cvParam cvRef="MS" accession="MS:1000285" name="total ion current" value="14984.4296875"/>
+				<cvParam cvRef="MS" accession="MS:1000528" name="lowest observed m/z" value="187.231414794922"/>
+				<cvParam cvRef="MS" accession="MS:1000527" name="highest observed m/z" value="1285.7685546875"/>
+				<userParam name="filter string" type="xsd:string" value="ITMS + c NSI d w Full ms2 666.86@cid35.00 [170.00-1345.00]"/>
+				<userParam name="preset scan configuration" type="xsd:string" value="2"/>
+				<scanList count="1">
+					<cvParam cvRef="MS" accession="MS:1000795" name="no combination" />
+					<scan >
+						<cvParam cvRef="MS" accession="MS:1000016" name="scan start time" value="4808.4946" unitAccession="UO:0000010" unitName="second" unitCvRef="UO" />
+						<userParam name="[Thermo Trailer Extra]Monoisotopic M/Z:" type="xsd:double" value="666.861999511719"/>
+						<scanWindowList count="1">
+							<scanWindow>
+								<cvParam cvRef="MS" accession="MS:1000501" name="scan window lower limit" value="170" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+								<cvParam cvRef="MS" accession="MS:1000500" name="scan window upper limit" value="1345" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+							</scanWindow>
+						</scanWindowList>
+					</scan>
+				</scanList>
+				<precursorList count="1">
+					<precursor>
+						<isolationWindow>
+							<cvParam cvRef="MS" accession="MS:1000827" name="isolation window target m/z" value="666.861999511719" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+							<cvParam cvRef="MS" accession="MS:1000828" name="isolation window lower offset" value="2" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+							<cvParam cvRef="MS" accession="MS:1000829" name="isolation window upper offset" value="2" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+						</isolationWindow>
+						<selectedIonList count="1">
+							<selectedIon>
+								<cvParam cvRef="MS" accession="MS:1000744" name="selected ion m/z" value="666.861999511719" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+								<cvParam cvRef="MS" accession="MS:1000041" name="charge state" value="2" />
+								<cvParam cvRef="MS" accession="MS:1000042" name="peak intensity" value="7359.283203125" unitAccession="MS:1000132" unitName="percent of base peak" unitCvRef="MS" />
+							</selectedIon>
+						</selectedIonList>
+						<activation>
+							<cvParam cvRef="MS" accession="MS:1000133" name="collision-induced dissociation" />
+							<cvParam cvRef="MS" accession="MS:1000045" name="collision energy" value="35"/>
+						</activation>
+					</precursor>
+				</precursorList>
+				<binaryDataArrayList count="2">
+					<binaryDataArray encodedLength="7392">
+						<cvParam cvRef="MS" accession="MS:1000514" name="m/z array" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+						<cvParam cvRef="MS" accession="MS:1000523" name="64-bit float" />
+						<cvParam cvRef="MS" accession="MS:1000576" name="no compression" />
+						<binary>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</binary>
+					</binaryDataArray>
+					<binaryDataArray encodedLength="3696">
+						<cvParam cvRef="MS" accession="MS:1000515" name="intensity array" unitAccession="MS:1000131" unitName="number of detector counts" unitCvRef="MS"/>
+						<cvParam cvRef="MS" accession="MS:1000521" name="32-bit float" />
+						<cvParam cvRef="MS" accession="MS:1000576" name="no compression" />
+						<binary>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</binary>
+					</binaryDataArray>
+				</binaryDataArrayList>
+			</spectrum>
+			<spectrum id="controllerType=0 controllerNumber=1 scan=6079" index="14" defaultArrayLength="36">
+				<cvParam cvRef="MS" accession="MS:1000127" name="centroid spectrum" />
+				<cvParam cvRef="MS" accession="MS:1000511" name="ms level" value="1" />
+				<cvParam cvRef="MS" accession="MS:1000294" name="mass spectrum" />
+				<cvParam cvRef="MS" accession="MS:1000130" name="positive scan" />
+				<cvParam cvRef="MS" accession="MS:1000504" name="base peak m/z" value="640.6875"/>
+				<cvParam cvRef="MS" accession="MS:1000505" name="base peak intensity" value="536995.875"/>
+				<cvParam cvRef="MS" accession="MS:1000285" name="total ion current" value="8728008"/>
+				<cvParam cvRef="MS" accession="MS:1000528" name="lowest observed m/z" value="399.995653044409"/>
+				<cvParam cvRef="MS" accession="MS:1000527" name="highest observed m/z" value="1404.93634485635"/>
+				<userParam name="filter string" type="xsd:string" value="FTMS + p NSI Full ms [400.00-1400.00]"/>
+				<userParam name="preset scan configuration" type="xsd:string" value="1"/>
+				<scanList count="1">
+					<cvParam cvRef="MS" accession="MS:1000795" name="no combination" />
+					<scan >
+						<cvParam cvRef="MS" accession="MS:1000016" name="scan start time" value="4808.9517" unitAccession="UO:0000010" unitName="second" unitCvRef="UO" />
+						<scanWindowList count="1">
+							<scanWindow>
+								<cvParam cvRef="MS" accession="MS:1000501" name="scan window lower limit" value="400" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+								<cvParam cvRef="MS" accession="MS:1000500" name="scan window upper limit" value="1400" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+							</scanWindow>
+						</scanWindowList>
+					</scan>
+				</scanList>
+				<binaryDataArrayList count="2">
+					<binaryDataArray encodedLength="384">
+						<cvParam cvRef="MS" accession="MS:1000514" name="m/z array" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+						<cvParam cvRef="MS" accession="MS:1000523" name="64-bit float" />
+						<cvParam cvRef="MS" accession="MS:1000576" name="no compression" />
+						<binary>IP35SnqyhECmdeVMFrSEQD7lw+ivtYRAJDBB7Em3hECyVFpt47iEQIyU4Rp8uoRAHK3OWd+6hECCjmmCDdKEQIiOJyjl1oRAomEiNejahEDBxeMK6d6EQO191d6Y5oRAzryAFDg3hUDLjKzvIDiFQB+ZspQ8PIVAnJvx4D8/hUD6V4+k51KFQHyFOp+yWoVAbovpDLFehUC+KYx7n2GFQLLnkqUfa4VAa+IfZBxvhUDzqv7kKHOFQK32zE/Uu4VAhCUddzbYhUC2GTQS4dqFQGx519ZC24VA9tsHWkTdhUBCiIffkd2FQFvq5GHx34VAlMun8z3ghUAc3nUm5+KFQL9i6iNM44VAysmC5JblhUDUYkN6PuiFQFX4Vz/s6oVA</binary>
+					</binaryDataArray>
+					<binaryDataArray encodedLength="192">
+						<cvParam cvRef="MS" accession="MS:1000515" name="intensity array" unitAccession="MS:1000131" unitName="number of detector counts" unitCvRef="MS"/>
+						<cvParam cvRef="MS" accession="MS:1000521" name="32-bit float" />
+						<cvParam cvRef="MS" accession="MS:1000576" name="no compression" />
+						<binary>yK6URYx9yUUILvRFPDy1RftUREUcobhE89dwRFVWm0S+Dx5G4xTCRTluFUU9pIhEcXSbRHLce0TWsARF/SeTRBJTxUQm/YNF108BRUqW90TgxhhGGyIdRfigCkUMRq5EORCPRHh9iESRAr9FkrOBRGCcyUSR7MxExkHkRJyLq0QEQRdFf9PBRINrlkTcVY5E</binary>
+					</binaryDataArray>
+				</binaryDataArrayList>
+			</spectrum>
+			<spectrum id="controllerType=0 controllerNumber=1 scan=6081" index="15" defaultArrayLength="38">
+				<cvParam cvRef="MS" accession="MS:1000127" name="centroid spectrum" />
+				<cvParam cvRef="MS" accession="MS:1000511" name="ms level" value="1" />
+				<cvParam cvRef="MS" accession="MS:1000294" name="mass spectrum" />
+				<cvParam cvRef="MS" accession="MS:1000130" name="positive scan" />
+				<cvParam cvRef="MS" accession="MS:1000504" name="base peak m/z" value="640.687377929688"/>
+				<cvParam cvRef="MS" accession="MS:1000505" name="base peak intensity" value="526965.375"/>
+				<cvParam cvRef="MS" accession="MS:1000285" name="total ion current" value="8615628"/>
+				<cvParam cvRef="MS" accession="MS:1000528" name="lowest observed m/z" value="399.995749456041"/>
+				<cvParam cvRef="MS" accession="MS:1000527" name="highest observed m/z" value="1404.93668350334"/>
+				<userParam name="filter string" type="xsd:string" value="FTMS + p NSI Full ms [400.00-1400.00]"/>
+				<userParam name="preset scan configuration" type="xsd:string" value="1"/>
+				<scanList count="1">
+					<cvParam cvRef="MS" accession="MS:1000795" name="no combination" />
+					<scan >
+						<cvParam cvRef="MS" accession="MS:1000016" name="scan start time" value="4810.5312" unitAccession="UO:0000010" unitName="second" unitCvRef="UO" />
+						<scanWindowList count="1">
+							<scanWindow>
+								<cvParam cvRef="MS" accession="MS:1000501" name="scan window lower limit" value="400" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+								<cvParam cvRef="MS" accession="MS:1000500" name="scan window upper limit" value="1400" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+							</scanWindow>
+						</scanWindowList>
+					</scan>
+				</scanList>
+				<binaryDataArrayList count="2">
+					<binaryDataArray encodedLength="408">
+						<cvParam cvRef="MS" accession="MS:1000514" name="m/z array" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+						<cvParam cvRef="MS" accession="MS:1000523" name="64-bit float" />
+						<cvParam cvRef="MS" accession="MS:1000576" name="no compression" />
+						<binary>Ge5nPXqyhEAXyGcRFLSEQNzR652utYRAJKA5+Uu3hEBORb3A4riEQGdSTbp8uoRAnaQsvQrShECn2nDf5NaEQFCkooPo2oRAWn9H5u3ehECcessc6+KEQA7tro/NEoVAEpsGITAvhUCyJNIcDTiFQGS3PWgpPIVAGDaxkE48hUAl36FT6lKFQP4DCGjuVoVAZrH3OLNahUDMiDNns16FQEa94r6jYYVAuP1iGLtihUBPkdCiHmuFQHRs5PAgb4VAthOeCCdzhUDyanQ3lYyFQOhU4cuB1YVAcJb1ZULbhUB49DCmS92FQA0PmsOP3YVAyNzKY/PfhUB0vVuwO+CFQGWj+93n4oVA/FcIjkrjhUCV4ezuleWFQGC9vaVC6IVAfcxD3JrthUCaL4u7+/KFQA==</binary>
+					</binaryDataArray>
+					<binaryDataArray encodedLength="204">
+						<cvParam cvRef="MS" accession="MS:1000515" name="intensity array" unitAccession="MS:1000131" unitName="number of detector counts" unitCvRef="MS"/>
+						<cvParam cvRef="MS" accession="MS:1000521" name="32-bit float" />
+						<cvParam cvRef="MS" accession="MS:1000576" name="no compression" />
+						<binary>oythRUb6BkbowBVGHExxRSO4G0UiEfdEPfKuRJVKXEbJXhFGHKpCRYq2qERPa3BEwXyvRBECi0TWpO1EBseGRLSCj0SY2JBEKGCXRZ5m/0TfMvdE19faRL+iDkbgHGhFskrxRFodpkS1aY5EPsypRXk3gUS3q51EliuTRAzb80Rrr9lEd5PQRN2i30Spz6VEOFyaRF6tnUQ=</binary>
+					</binaryDataArray>
+				</binaryDataArrayList>
+			</spectrum>
+			<spectrum id="controllerType=0 controllerNumber=1 scan=6083" index="16" defaultArrayLength="48">
+				<cvParam cvRef="MS" accession="MS:1000127" name="centroid spectrum" />
+				<cvParam cvRef="MS" accession="MS:1000511" name="ms level" value="1" />
+				<cvParam cvRef="MS" accession="MS:1000294" name="mass spectrum" />
+				<cvParam cvRef="MS" accession="MS:1000130" name="positive scan" />
+				<cvParam cvRef="MS" accession="MS:1000504" name="base peak m/z" value="640.353210449219"/>
+				<cvParam cvRef="MS" accession="MS:1000505" name="base peak intensity" value="460154.375"/>
+				<cvParam cvRef="MS" accession="MS:1000285" name="total ion current" value="8053143.5"/>
+				<cvParam cvRef="MS" accession="MS:1000528" name="lowest observed m/z" value="399.995646176642"/>
+				<cvParam cvRef="MS" accession="MS:1000527" name="highest observed m/z" value="1404.93632074317"/>
+				<userParam name="filter string" type="xsd:string" value="FTMS + p NSI Full ms [400.00-1400.00]"/>
+				<userParam name="preset scan configuration" type="xsd:string" value="1"/>
+				<scanList count="1">
+					<cvParam cvRef="MS" accession="MS:1000795" name="no combination" />
+					<scan >
+						<cvParam cvRef="MS" accession="MS:1000016" name="scan start time" value="4812.1021" unitAccession="UO:0000010" unitName="second" unitCvRef="UO" />
+						<scanWindowList count="1">
+							<scanWindow>
+								<cvParam cvRef="MS" accession="MS:1000501" name="scan window lower limit" value="400" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+								<cvParam cvRef="MS" accession="MS:1000500" name="scan window upper limit" value="1400" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+							</scanWindow>
+						</scanWindowList>
+					</scan>
+				</scanList>
+				<binaryDataArrayList count="2">
+					<binaryDataArray encodedLength="512">
+						<cvParam cvRef="MS" accession="MS:1000514" name="m/z array" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+						<cvParam cvRef="MS" accession="MS:1000523" name="64-bit float" />
+						<cvParam cvRef="MS" accession="MS:1000576" name="no compression" />
+						<binary>ckOEzHyyhECC2vflFLSEQPw7suawtYRA5DnETUu3hEBkfyeT5LiEQKZajROCuoRAYFRVAAzShEDMTbBx5daEQFq8hqro2oRAJezK+uvehEDKg1we7OKEQPq0eOUbE4VAMkXwnWIUhUAB32AfJSOFQO9LmYQpJ4VAkrNsti4rhUBsfBjxMy+FQBLcrS3aL4VA0j2rOjk3hUDUvLOwFziFQNvkl208O4VAvJNhADA8hUBuvvpOTzyFQOCuqeZCQ4VAunj2zkhPhUA8xu6b61KFQGATCKTtVoVAoR1JV7FahUAx2zlwtV6FQLyVe8OgYYVAoovOUsZihUBsYtQyzmaFQJ0CAEWgaYVABhc3Ph9rhUCWHI0ZIG+FQPqchmwlc4VAdiNqIN/ahUDV2b+GQtuFQDAokdhH3YVAFjmqN5LdhUAppktr9N+FQLJYCg/o4oVApCfMokvjhUC8yiU/k+WFQOSiqRE+6IVAxafMZ/DqhUA0zovVAu2FQEBLkYOg94VA</binary>
+					</binaryDataArray>
+					<binaryDataArray encodedLength="256">
+						<cvParam cvRef="MS" accession="MS:1000515" name="intensity array" unitAccession="MS:1000131" unitName="number of detector counts" unitCvRef="MS"/>
+						<cvParam cvRef="MS" accession="MS:1000521" name="32-bit float" />
+						<cvParam cvRef="MS" accession="MS:1000576" name="no compression" />
+						<binary>ltxwReT9/EXWC+VFZ5V/RTxwD0V+Oo9ExUcIRVcGo0YiSlRGv9KhRcmFv0Svo4ZEN/qUROF1c0TXb9lE0rq3RCcBdURwi1BElO6SRGyqekRZY2BEpjbqRMCFY0SO6KhEx6VXRCkNmUTDbm9EBUGhRfPlC0Vq4OhEFL20RHk+iER9lnZEl8gcRhsGcEUp7SZF6T6pRMag10VZYNZERGGWRFzwnEQjuRNFWekIRSmzvEQFs5JEQF6qRHr6aUR5zl5E</binary>
+					</binaryDataArray>
+				</binaryDataArrayList>
+			</spectrum>
+			<spectrum id="controllerType=0 controllerNumber=1 scan=6086" index="17" defaultArrayLength="61">
+				<cvParam cvRef="MS" accession="MS:1000127" name="centroid spectrum" />
+				<cvParam cvRef="MS" accession="MS:1000511" name="ms level" value="1" />
+				<cvParam cvRef="MS" accession="MS:1000294" name="mass spectrum" />
+				<cvParam cvRef="MS" accession="MS:1000130" name="positive scan" />
+				<cvParam cvRef="MS" accession="MS:1000504" name="base peak m/z" value="640.687622070312"/>
+				<cvParam cvRef="MS" accession="MS:1000505" name="base peak intensity" value="398556.0625"/>
+				<cvParam cvRef="MS" accession="MS:1000285" name="total ion current" value="7660257.5"/>
+				<cvParam cvRef="MS" accession="MS:1000528" name="lowest observed m/z" value="399.995625048512"/>
+				<cvParam cvRef="MS" accession="MS:1000527" name="highest observed m/z" value="1404.93624652514"/>
+				<userParam name="filter string" type="xsd:string" value="FTMS + p NSI Full ms [400.00-1400.00]"/>
+				<userParam name="preset scan configuration" type="xsd:string" value="1"/>
+				<scanList count="1">
+					<cvParam cvRef="MS" accession="MS:1000795" name="no combination" />
+					<scan >
+						<cvParam cvRef="MS" accession="MS:1000016" name="scan start time" value="4814.1546" unitAccession="UO:0000010" unitName="second" unitCvRef="UO" />
+						<scanWindowList count="1">
+							<scanWindow>
+								<cvParam cvRef="MS" accession="MS:1000501" name="scan window lower limit" value="400" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+								<cvParam cvRef="MS" accession="MS:1000500" name="scan window upper limit" value="1400" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+							</scanWindow>
+						</scanWindowList>
+					</scan>
+				</scanList>
+				<binaryDataArrayList count="2">
+					<binaryDataArray encodedLength="652">
+						<cvParam cvRef="MS" accession="MS:1000514" name="m/z array" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+						<cvParam cvRef="MS" accession="MS:1000523" name="64-bit float" />
+						<cvParam cvRef="MS" accession="MS:1000576" name="no compression" />
+						<binary>nsS633uyhEBcQEPMFbSEQJ5ZpqGutYRAHjcKbEi3hEBot9yv5biEQEONuTx/uoRAh2t2GefChEAnW7pNz8yEQO4qQ4MK0oRAtj1CHuXWhEAvw8cg6dqEQA+xv5bs3oRAzF4V9u7ihECDftFJ9OaEQFALP3n+9oRAguHeU84ShUC4jLvYGROFQHTKY3cgG4VAK6SDiCIfhUAMb4aiJyOFQLJgnFgqK4VAMDLjTi0vhUCjVneX5y+FQK0E2OE1M4VAHhcz7Dk3hUByn8IVOzuFQELPmJ81PIVAIlBGQV08hUCK5sSeQD+FQHJ0HxNCQ4VAeiq7SUpHhUAwveL3R0uFQCLfK91MT4VAZsrXTJBRhUBOY4dz71aFQK/sLr5VV4VAHCfpG69ahUDGuNvstF6FQFS6rcpcX4VAqMUdBaJhhUDegNt40mKFQCpcDIxeY4VA1pO4AdNmhUBWMAyFH2uFQAjqlJ4gb4VA+sttpCZzhUBGBvzG3oaFQKmy/WoMm4VAOHKEf/bRhUAYUbPzMdiFQDQxtTzg2oVAY12YykLbhUDuwVdKj92FQFoOZpPr34VARgWzxzvghUCyh9o/5+KFQGBwZNBL44VAQvNOnZTlhUB0URc5Q+iFQJSzeLzo6oVA4L79E5rthUA=</binary>
+					</binaryDataArray>
+					<binaryDataArray encodedLength="328">
+						<cvParam cvRef="MS" accession="MS:1000515" name="intensity array" unitAccession="MS:1000131" unitName="number of detector counts" unitCvRef="MS"/>
+						<cvParam cvRef="MS" accession="MS:1000521" name="32-bit float" />
+						<cvParam cvRef="MS" accession="MS:1000576" name="no compression" />
+						<binary>X9lZRahU60U3Ps9F8txzRZ8YrkRkcKREKX5IRDw8oETaWLNEt7TvRpbSqEYa1rZFnJQPRSZCU0TMUl5E5DpFRAQEjkTMGKZE5Z6MRFTou0SNiXVEXXSKRHrvUEQJrQFFz2JoRJU6YUTsS/BEzX5NRDe0YUR4XItEL9l7RI5urUSAca9EUNBiREattUQtT7lEaaKCRVjPOkVW34NE0jHLRG/j2EROwYxEc+PRRJkEH0af0XFFj1A3RX1EP0RJkJdESdqHRCM3dUTVT8lEkdWzRZ1U1URCZnREQ2bLRIgfTEV6sg1F4g+vRDuX2UQtBotEu1h6RA==</binary>
+					</binaryDataArray>
+				</binaryDataArrayList>
+			</spectrum>
+			<spectrum id="controllerType=0 controllerNumber=1 scan=6089" index="18" defaultArrayLength="84">
+				<cvParam cvRef="MS" accession="MS:1000127" name="centroid spectrum" />
+				<cvParam cvRef="MS" accession="MS:1000511" name="ms level" value="1" />
+				<cvParam cvRef="MS" accession="MS:1000294" name="mass spectrum" />
+				<cvParam cvRef="MS" accession="MS:1000130" name="positive scan" />
+				<cvParam cvRef="MS" accession="MS:1000504" name="base peak m/z" value="640.353271484375"/>
+				<cvParam cvRef="MS" accession="MS:1000505" name="base peak intensity" value="348408.3125"/>
+				<cvParam cvRef="MS" accession="MS:1000285" name="total ion current" value="7069844.5"/>
+				<cvParam cvRef="MS" accession="MS:1000528" name="lowest observed m/z" value="399.995894646476"/>
+				<cvParam cvRef="MS" accession="MS:1000527" name="highest observed m/z" value="1404.93719347107"/>
+				<userParam name="filter string" type="xsd:string" value="FTMS + p NSI Full ms [400.00-1400.00]"/>
+				<userParam name="preset scan configuration" type="xsd:string" value="1"/>
+				<scanList count="1">
+					<cvParam cvRef="MS" accession="MS:1000795" name="no combination" />
+					<scan >
+						<cvParam cvRef="MS" accession="MS:1000016" name="scan start time" value="4816.2318" unitAccession="UO:0000010" unitName="second" unitCvRef="UO" />
+						<scanWindowList count="1">
+							<scanWindow>
+								<cvParam cvRef="MS" accession="MS:1000501" name="scan window lower limit" value="400" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+								<cvParam cvRef="MS" accession="MS:1000500" name="scan window upper limit" value="1400" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+							</scanWindow>
+						</scanWindowList>
+					</scan>
+				</scanList>
+				<binaryDataArrayList count="2">
+					<binaryDataArray encodedLength="896">
+						<cvParam cvRef="MS" accession="MS:1000514" name="m/z array" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+						<cvParam cvRef="MS" accession="MS:1000523" name="64-bit float" />
+						<cvParam cvRef="MS" accession="MS:1000576" name="no compression" />
+						<binary>+uXJt3myhEB224CSFrSEQL40XWmwtYRAFiqXbUu3hEAqJ1Me6biEQMHhToWAuoRA6GJxc0HIhEAqDqC8DNKEQD569oUQ1oRANmErc+XWhEDwFNek6NqEQOc/H5zr3oRAoON7fO3ihEA2d+bB8uaEQKIG5PP16oRAXnV6H+nwhECCjZN9Q/mEQOiQcLMTA4VAvihxjxAHhUDcHeK1vAiFQInqH70PC4VAyrvupRcPhUBQashHGxOFQHTI59YbF4VAnQle7iAbhUC0vDBzqR6FQAn9/TYmH4VABXVSBSojhUB9SVKdLSeFQDbTeIMuK4VAhviZ5zAvhUBycb86NjOFQHabWqI4N4VAoI3dNxU4hUClsKs1QDuFQMYBd2sxPIVAZPmjclw8hUAOJCIalTyFQNIwlDpBP4VAiK+7sEJDhUCu18O0REeFQCgNA85IS4VA9TB6+hVMhUDu7XigT0+FQMBMxJjsUoVAMuCpf1ZThUBPoFWPVFeFQAEIjGuwWoVA0luhmrRehUDGDKmOYV+FQDhOwE4iYYVAZpbaN6FhhUBS/nIa1GKFQOZeDgnWZYVA1Tjd8tNmhUAiDIeNYmeFQBh79wvWaoVAVEhHvx9rhUAw5WqlX2uFQEfPQ0Ufb4VAYArqHChzhUDneFpq75qFQK/JdaQHq4VA08A1j9O6hUCxrWEXCLuFQPgfG6T8xoVA2QY827HJhUDq5PoDhNSFQBLjkyXm1oVARbgL2hnXhUAsuFykV9eFQCTUl8Yz2IVAAMRQvOPahUBCn/mWQtuFQJ71xDuS3YVAPhJzomffhUDvZ3K+O+CFQMqnji7m4oVAdbJmMlDjhUDqI5QZleWFQLwuYstD6IVAyTCF9e/qhUDtppy1TfCFQODZHKTv8oVA</binary>
+					</binaryDataArray>
+					<binaryDataArray encodedLength="448">
+						<cvParam cvRef="MS" accession="MS:1000515" name="intensity array" unitAccession="MS:1000131" unitName="number of detector counts" unitCvRef="MS"/>
+						<cvParam cvRef="MS" accession="MS:1000521" name="32-bit float" />
+						<cvParam cvRef="MS" accession="MS:1000576" name="no compression" />
+						<binary>sumCRTnJCkbokfxFHHFLRdC4mkS7SlBEk1mBRD3iuUSQ6TFEo+cQRzLfxka4WPhFuZNXRd+aSUQ0HCBE5ZmWRN/dQkTc2zxE6gVARDqBQUQNp7ZEDPknRGoym0QDNmdEzXcRRSdAJkSxWoFEBhflRF8J20SKzV9Eq5a8RP37w0RTncxEQNw5RGIwOkTJ5NtEq1E4RIAcOURSwKZEDMi6RO8On0Tscp9EqvtaRMXCTkQpV0NEZEVIRF7lsUTVVlBFO5koRahhLUTPKW9EgUfQRMl5tkRfQXFEOZ6XRHfpi0TKwz1ES4MDRjr+VkTqPelEyJIvRVqzQET0w5pERG0YRHS7QUTXwzxEP+SORBPrEkSnpSBEqb+EREUqh0StjTVE0YfDRP/gkUVAwoZEBsY+RHRFk0QdtL1EwzADRSwYAUW4EsREjqnRRELPP0TGjUJE</binary>
+					</binaryDataArray>
+				</binaryDataArrayList>
+			</spectrum>
+			<spectrum id="controllerType=0 controllerNumber=1 scan=6093" index="19" defaultArrayLength="92">
+				<cvParam cvRef="MS" accession="MS:1000127" name="centroid spectrum" />
+				<cvParam cvRef="MS" accession="MS:1000511" name="ms level" value="1" />
+				<cvParam cvRef="MS" accession="MS:1000294" name="mass spectrum" />
+				<cvParam cvRef="MS" accession="MS:1000130" name="positive scan" />
+				<cvParam cvRef="MS" accession="MS:1000504" name="base peak m/z" value="640.68798828125"/>
+				<cvParam cvRef="MS" accession="MS:1000505" name="base peak intensity" value="286773"/>
+				<cvParam cvRef="MS" accession="MS:1000285" name="total ion current" value="6588202"/>
+				<cvParam cvRef="MS" accession="MS:1000528" name="lowest observed m/z" value="399.995936473073"/>
+				<cvParam cvRef="MS" accession="MS:1000527" name="highest observed m/z" value="1404.93734037944"/>
+				<userParam name="filter string" type="xsd:string" value="FTMS + p NSI Full ms [400.00-1400.00]"/>
+				<userParam name="preset scan configuration" type="xsd:string" value="1"/>
+				<scanList count="1">
+					<cvParam cvRef="MS" accession="MS:1000795" name="no combination" />
+					<scan >
+						<cvParam cvRef="MS" accession="MS:1000016" name="scan start time" value="4818.7819" unitAccession="UO:0000010" unitName="second" unitCvRef="UO" />
+						<scanWindowList count="1">
+							<scanWindow>
+								<cvParam cvRef="MS" accession="MS:1000501" name="scan window lower limit" value="400" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+								<cvParam cvRef="MS" accession="MS:1000500" name="scan window upper limit" value="1400" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+							</scanWindow>
+						</scanWindowList>
+					</scan>
+				</scanList>
+				<binaryDataArrayList count="2">
+					<binaryDataArray encodedLength="984">
+						<cvParam cvRef="MS" accession="MS:1000514" name="m/z array" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+						<cvParam cvRef="MS" accession="MS:1000523" name="64-bit float" />
+						<cvParam cvRef="MS" accession="MS:1000576" name="no compression" />
+						<binary>jFg2f3yyhEDqj1w6F7SEQLmTNwixtYRAihgno0y3hEBkH3Au5riEQOxqgIaDuoRAwVI/Lhi8hEBc+QZGmsWEQFcKXNC7yoRA4lZFRhDShEA8cxGe29KEQFvwYWwN1oRAnKSW9OXWhEDwALLt6NqEQBLMxj7s3oRA2qlQ1u7ihEAWIZXU8uaEQDQD8Ijy6oRAPy+i2wT3hEDu1GI2lvmEQOZM+o8B+4RADXzL6Af/hED4wVp1DQOFQPZttV0VC4VALCZ4iRUPhUBIEkaaHBOFQPUbpKodF4VAYhZlA6oahUCjjGwXHhuFQEYcYxohH4VAkz4o2CcjhUB5Cjv/KCeFQCqcZm4rK4VA/FP4TdsrhUCCLG/VMi+FQMr06d/vL4VAtqw1fjczhUCgK1F3CTSFQFrnBis6N4VAHvVmISU4hUCqaZpyPDuFQJLdnFVAPIVAIVwPqLY8hUAoYtLwQD+FQI7G6utEQ4VA1i8c2kpEhUCb3c3bb0SFQOXMPk5zRoVAhaWyskZHhUAjCRpUr0qFQIgP4XBKS4VAUL5p201PhUA2HT+66lKFQNICOstSU4VAkHgFcVRXhUDGEMOEslqFQO6z82lbW4VAxp7OTrZehUD4FTZIWl+FQIyqk62kYYVALhVBuMxihUAW1TUgY2OFQO4o5KrUZoVACPSG6p5phUBefeNp2GqFQAH3f6Uga4VArKlRGGdrhUBUqMaNHm+FQBDCmU3KcoVAitn4EChzhUAGcaoLu3qFQPY62y8ze4VAvPSWytaOhUABVJyWuZmFQKpc50MvnYVA7G46vgq7hUBUcPeS18aFQFGOkAD5xoVA6K3InYjVhUDYBMXSNtiFQJpzGtBC24VAQJ71pEvdhUDgfyZzj92FQLIx+LH334VAdbPUAjzghUBIicoH7OKFQFmDXpNL44VAHG3w5ZXlhUAKWYvEQOiFQMaxtYPv6oVAbXoSrZ3thUDV7R+IS/CFQA==</binary>
+					</binaryDataArray>
+					<binaryDataArray encodedLength="492">
+						<cvParam cvRef="MS" accession="MS:1000515" name="intensity array" unitAccession="MS:1000131" unitName="number of detector counts" unitCvRef="MS"/>
+						<cvParam cvRef="MS" accession="MS:1000521" name="32-bit float" />
+						<cvParam cvRef="MS" accession="MS:1000576" name="no compression" />
+						<binary>R3GGRd0AAkZ7ZcVFOQdiRXwV9EQ25xxE61eORFDHIESaywtEhl9sRDynEER3CDlEc6wzRxDc+kYoQitGM+IKRS2UXETNfW1EMFKBRLQEPUTBKVpEIK5KRGNnaESb06BEFyekRLhBSEQ6maNE6qhJRGeYoURmIbdETcoERTKSvUS88gVFJ1EpRLUKfERn/jhEPUsHRfmNZ0Shda1EedxdRArQ40SRWwBFBtZeRB1dvETkSEJE7z6CRM6PTkSu+C1EB6sNRefJGkQKDqBEa+uXRHh1PETPyp5E17FaRI96gkUWKKVEUfwtRTlzaER9Z8JErFQtRbDYMkSi0/JERKgORBCjRUQ3xwBGIIw3RICyEkXSABZEomQYRcG4CUSDFhZEP4MCRA3vKkTKka1E3ogdRLClDUTt9SFEe9RsREV5U0RA3K9FpBSeROzU8kR114BETYYcRZzK3USrEOhEyCXrRPge3ERQV8pEogsfRAexaUQ=</binary>
+					</binaryDataArray>
+				</binaryDataArrayList>
+			</spectrum>
+			<spectrum id="controllerType=0 controllerNumber=1 scan=6095" index="20" defaultArrayLength="96">
+				<cvParam cvRef="MS" accession="MS:1000127" name="centroid spectrum" />
+				<cvParam cvRef="MS" accession="MS:1000511" name="ms level" value="1" />
+				<cvParam cvRef="MS" accession="MS:1000294" name="mass spectrum" />
+				<cvParam cvRef="MS" accession="MS:1000130" name="positive scan" />
+				<cvParam cvRef="MS" accession="MS:1000504" name="base peak m/z" value="640.687927246094"/>
+				<cvParam cvRef="MS" accession="MS:1000505" name="base peak intensity" value="243145.09375"/>
+				<cvParam cvRef="MS" accession="MS:1000285" name="total ion current" value="6157955"/>
+				<cvParam cvRef="MS" accession="MS:1000528" name="lowest observed m/z" value="399.996011280615"/>
+				<cvParam cvRef="MS" accession="MS:1000527" name="highest observed m/z" value="1404.93760312876"/>
+				<userParam name="filter string" type="xsd:string" value="FTMS + p NSI Full ms [400.00-1400.00]"/>
+				<userParam name="preset scan configuration" type="xsd:string" value="1"/>
+				<scanList count="1">
+					<cvParam cvRef="MS" accession="MS:1000795" name="no combination" />
+					<scan >
+						<cvParam cvRef="MS" accession="MS:1000016" name="scan start time" value="4820.4725" unitAccession="UO:0000010" unitName="second" unitCvRef="UO" />
+						<scanWindowList count="1">
+							<scanWindow>
+								<cvParam cvRef="MS" accession="MS:1000501" name="scan window lower limit" value="400" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+								<cvParam cvRef="MS" accession="MS:1000500" name="scan window upper limit" value="1400" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+							</scanWindow>
+						</scanWindowList>
+					</scan>
+				</scanList>
+				<binaryDataArrayList count="2">
+					<binaryDataArray encodedLength="1024">
+						<cvParam cvRef="MS" accession="MS:1000514" name="m/z array" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+						<cvParam cvRef="MS" accession="MS:1000523" name="64-bit float" />
+						<cvParam cvRef="MS" accession="MS:1000576" name="no compression" />
+						<binary>0ppg/HuyhEBj16oiF7SEQNj4F26ytYRAm96OF0u3hEBeQAS75biEQERPLHqCuoRAzV/1iYW9hEB6NhGjXsKEQJued3iTxYRAE+tYkQzShEDmc22ZD9aEQBVQg/fl1oRAi80+yujahECgI1hN7N6EQDf5/gHu4oRAntSJDPXmhECEfLr79+qEQI7IUm367oRA7L8mRQDzhEC64qAtlfaEQMni0JIE+4RALvmB+wf/hEDqh8v8CQOFQLSfTZsTB4VA3DtzXxQLhUCSh2PGFg+FQJp3XMkYE4VAlImfx8UWhUBCM12aGheFQMrCnKYiG4VAxe4FONEehUD4tYnfJR+FQPYegiApI4VAulDmzygnhUCuQPUGMCuFQMs22g+4K4VAYD0EyDEvhUBKjFTWzC+FQJik3gE1M4VANMsZ8OgzhUDedNu+ODeFQPbJpFoFOIVACNdsk346hUD8F5ISPTuFQPL+aoThO4VAOrq6oiA8hUDd2MZAQT+FQIhYTNdDQ4VAJrALWEpHhUBhF0TWSEuFQCTocUJOT4VA0JlYoepShUBmUav3UVOFQCFWNu96VYVATCXGlVRXhUAAOyJlWliFQJLcxqSyWoVAqvNekVlbhUBo3saYtl6FQAogR4xeX4VAsY723qJhhUAnqvP00WKFQJ6PtuxeY4VAClajydRmhUB8mShVY2eFQBQO5++gaYVAK75KJdhqhUDdyO9MIGuFQJSsz3Nma4VAFCBq5B9vhUDC7gkFa2+FQAGtkDgpc4VA85nNL3FzhUCUEXbA5IqFQJWQF7INo4VASMUimZGqhUBc/4UTZq+FQAxTayK/soVArgaaQne1hUB6oHKng9WFQKtw9u/i2oVAMdHrBUPbhUAsbz/yAdyFQAwyqShM3YVA23vx8Y7dhUDK1HwE+N+FQMZ+R2A94IVAC8hASp3ihUADzRd/5+KFQPAO6bpL44VAg8PeF5LlhUDk8LvWP+iFQDJzEgHw6oVAXomMgqDthUD8nxj/SPCFQEhG8+Tx8oVA</binary>
+					</binaryDataArray>
+					<binaryDataArray encodedLength="512">
+						<cvParam cvRef="MS" accession="MS:1000515" name="intensity array" unitAccession="MS:1000131" unitName="number of detector counts" unitCvRef="MS"/>
+						<cvParam cvRef="MS" accession="MS:1000521" name="32-bit float" />
+						<cvParam cvRef="MS" accession="MS:1000576" name="no compression" />
+						<binary>jKRIRa+pk0WghcdFRd8qRTDGsETbqUZEZ7wRREQbr0Tb5zBEWxyjRLAEgETvWExHT4QKR/49Y0YHcX5FrsSTRMLgtET0jV1Ei9ggRBnWBEQ+U2READ+MRK0EZ0Tp84VEJVacRANugkQuJMhEZ68PROXcz0RGt8FEAtYKRNIMvkTis+hEyRb1RBycCEXO8SlE0Y7rRAD19ENX4AFFOlYkRMOJ8kTC1yxEw5cMRLBVBEUbLgVEu7m7RNqpvESjL8ZEXaO6ROVopkTLralECAp0RKiizEQ1S+1DLvGNRMwBKUTzel9FGjcuRLMBAUUdE5NE9VrcRPFc5kTnNU9EwKf0RKyOV0Rsz0pEQUs7RGDqCUYX429E2MnzRBPkcETR081EZw0URKuMAUQzLxRE++cAREMoBESElidECkwJRKFtK0R5cZBEe/2oRcu6KURNDvxDauawRKWfHESCYuVE3l0GRE/Y50Rod/9EySeeRMVeqUR4HnZE7PsyRJgq/0MqKhZE</binary>
+					</binaryDataArray>
+				</binaryDataArrayList>
+			</spectrum>
+			<spectrum id="controllerType=0 controllerNumber=1 scan=6097" index="21" defaultArrayLength="101">
+				<cvParam cvRef="MS" accession="MS:1000127" name="centroid spectrum" />
+				<cvParam cvRef="MS" accession="MS:1000511" name="ms level" value="1" />
+				<cvParam cvRef="MS" accession="MS:1000294" name="mass spectrum" />
+				<cvParam cvRef="MS" accession="MS:1000130" name="positive scan" />
+				<cvParam cvRef="MS" accession="MS:1000504" name="base peak m/z" value="640.688049316406"/>
+				<cvParam cvRef="MS" accession="MS:1000505" name="base peak intensity" value="208889.28125"/>
+				<cvParam cvRef="MS" accession="MS:1000285" name="total ion current" value="6106165.5"/>
+				<cvParam cvRef="MS" accession="MS:1000528" name="lowest observed m/z" value="399.995797269016"/>
+				<cvParam cvRef="MS" accession="MS:1000527" name="highest observed m/z" value="1404.93685143266"/>
+				<userParam name="filter string" type="xsd:string" value="FTMS + p NSI Full ms [400.00-1400.00]"/>
+				<userParam name="preset scan configuration" type="xsd:string" value="1"/>
+				<scanList count="1">
+					<cvParam cvRef="MS" accession="MS:1000795" name="no combination" />
+					<scan >
+						<cvParam cvRef="MS" accession="MS:1000016" name="scan start time" value="4822.1517" unitAccession="UO:0000010" unitName="second" unitCvRef="UO" />
+						<scanWindowList count="1">
+							<scanWindow>
+								<cvParam cvRef="MS" accession="MS:1000501" name="scan window lower limit" value="400" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+								<cvParam cvRef="MS" accession="MS:1000500" name="scan window upper limit" value="1400" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+							</scanWindow>
+						</scanWindowList>
+					</scan>
+				</scanList>
+				<binaryDataArrayList count="2">
+					<binaryDataArray encodedLength="1080">
+						<cvParam cvRef="MS" accession="MS:1000514" name="m/z array" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+						<cvParam cvRef="MS" accession="MS:1000523" name="64-bit float" />
+						<cvParam cvRef="MS" accession="MS:1000576" name="no compression" />
+						<binary>6HiWc3yyhEBZ38TjF7SEQEphLc2wtYRA7NE04Ey3hEAlOddu5riEQPoPhp2CuoRAutGYed/ChEAwt/UYjMWEQGK1SaIP0oRAQA6ETQ7WhEBcBO7l5daEQJpAg3jo2oRARqeSVuzehEAcqIio7+KEQI6QKdL15oRAYkpbffLqhEBHnXIj+u6EQDxZ4FL78oRAQDIaugL3hECgTiX0/fqEQPS2SBsI/4RASrZ/+QsDhUCkC4qgEAeFQD5DTCUXC4VA+p3gkBQPhUBWSDZKGxOFQCwl3HcbF4VA4GqXvCEbhUAgJ/mZJB+FQCwyZF0qI4VAkE5EtionhUABpSIgDiiFQDVV8MK1KoVAsNlRKC4rhUA+BUqZzyuFQAlJ8VkvL4VAYvXWTecvhUA8durEtTCFQBxxLJo2M4VAXOJ7FgQ0hUCYiRpYODeFQCgbGT8AOIVA/kbmJhs4hUCAWIoYPTuFQPBwCt43PIVA3jgw1Fc8hUDzKWZVQT+FQKKt8yVEQ4VARqfdhkdHhUBKE5jWGkiFQK8a5wdKS4VAPBsAjExPhUAldW/351KFQFttv3ZRU4VAc8zPeedWhUCW6gLjWVeFQALvaTASWIVAksICtrFahUBwDzD8WVuFQEILSjC3XoVARPOJ6utehUAAoA7uWl+FQEbig0HdX4VARff5GKJhhUCFHn7n0GKFQPCyTr1iY4VA8kaL59VmhUAe58OhY2eFQMq37UmiaYVADU1VEdZqhUB8/jojIWuFQC9QoaVja4VADiVwbB1vhUD0JzXKKHOFQJlAtea6eoVAgaRlKzR7hUAqkmB424KFQJK5vE93hIVApt9rPc+OhUDJ6rPRKJeFQOgq4weVmIVAyFwwHH6bhUDxGxh2zaKFQJKLfnOIqoVAchb3loauhUD4YM2uArOFQJKgcdL7woVAAMJOlBXLhUCSRzUNONiFQG6G0wrm2oVAbOzAKkPbhUB0cO9Ej92FQEzPDsf134VAlJEIYzzghUA+YE6l6eKFQAz7QfhR44VAqzna95XlhUBUhVN1ROiFQM2Tzf/D6oVAGHzx8PPqhUASegjdnO2FQA==</binary>
+					</binaryDataArray>
+					<binaryDataArray encodedLength="540">
+						<cvParam cvRef="MS" accession="MS:1000515" name="intensity array" unitAccession="MS:1000131" unitName="number of detector counts" unitCvRef="MS"/>
+						<cvParam cvRef="MS" accession="MS:1000521" name="32-bit float" />
+						<cvParam cvRef="MS" accession="MS:1000576" name="no compression" />
+						<binary>ng4mRWhJr0XzfLtFt3OCRSHfDEWEhy9EjFz0QyC4DETqLaVEbVhKREyBcEcidCpHHYhrRps0gkXb3XZE+N+5RLqCgUQYDUxEKpKURDxv5EMsgsREyUWSRPd0j0QI/YVEnqbhRKjkvERaYqxEp+0GRVvz50RnOA1F+vcSRYxUZUQmrORDZaPVRE/dBETlq5BEUvMURJj++ENafyNF1d09RPrJyUSxrh1ElEAxROa+B0XFGuVEztdARE0ypETn2BBFFWXpRABT2kP+wN5EaCjuRLYroEQH2LtEl8YRRJzfo0SBoAFEVpOORQu1p0STItBEqcwARNrZWURTKhdE9P3NRIEDMUXIiyZEdgYCRc9heERNwYhEM9iQROiTH0Yo2qhE8g5sRI7dAUUZWfJDn0QORN9l/EMvzyNEJwQNRPDaIEV73ydETkLnQ2yPTkTXPQxEIA0RRJSJUEQAjd9D8DlaRBv/c0STabVEH1aJRSaUB0XgVGdEQ9oYReaHFkUEafBEss3ZRFwo4EQIHAhEkcSVRMi4GkQ=</binary>
+					</binaryDataArray>
+				</binaryDataArrayList>
+			</spectrum>
+			<spectrum id="controllerType=0 controllerNumber=1 scan=6100" index="22" defaultArrayLength="129">
+				<cvParam cvRef="MS" accession="MS:1000127" name="centroid spectrum" />
+				<cvParam cvRef="MS" accession="MS:1000511" name="ms level" value="1" />
+				<cvParam cvRef="MS" accession="MS:1000294" name="mass spectrum" />
+				<cvParam cvRef="MS" accession="MS:1000130" name="positive scan" />
+				<cvParam cvRef="MS" accession="MS:1000504" name="base peak m/z" value="640.353149414062"/>
+				<cvParam cvRef="MS" accession="MS:1000505" name="base peak intensity" value="169081.546875"/>
+				<cvParam cvRef="MS" accession="MS:1000285" name="total ion current" value="5841684"/>
+				<cvParam cvRef="MS" accession="MS:1000528" name="lowest observed m/z" value="399.995521807575"/>
+				<cvParam cvRef="MS" accession="MS:1000527" name="highest observed m/z" value="1404.93588391259"/>
+				<userParam name="filter string" type="xsd:string" value="FTMS + p NSI Full ms [400.00-1400.00]"/>
+				<userParam name="preset scan configuration" type="xsd:string" value="1"/>
+				<scanList count="1">
+					<cvParam cvRef="MS" accession="MS:1000795" name="no combination" />
+					<scan >
+						<cvParam cvRef="MS" accession="MS:1000016" name="scan start time" value="4824.2833" unitAccession="UO:0000010" unitName="second" unitCvRef="UO" />
+						<scanWindowList count="1">
+							<scanWindow>
+								<cvParam cvRef="MS" accession="MS:1000501" name="scan window lower limit" value="400" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+								<cvParam cvRef="MS" accession="MS:1000500" name="scan window upper limit" value="1400" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+							</scanWindow>
+						</scanWindowList>
+					</scan>
+				</scanList>
+				<binaryDataArrayList count="2">
+					<binaryDataArray encodedLength="1376">
+						<cvParam cvRef="MS" accession="MS:1000514" name="m/z array" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+						<cvParam cvRef="MS" accession="MS:1000523" name="64-bit float" />
+						<cvParam cvRef="MS" accession="MS:1000576" name="no compression" />
+						<binary>LMjq8nuyhEDOvE60F7SEQDo8/IWxtYRAOpVDsrK2hEDA+8oCTreEQPQwxurjuIRAOO0XioG6hEBYY/LK3MKEQGHZk0ePxYRATj6aC+rKhEALS26nDNKEQEpWTkdg04RAHGPZLDbWhECYktZm5daEQKCBfkvo2oRAqolBwevehEAO2OLiZuGEQNwEWV7v4oRAxl0/t/bmhECucjys+OqEQOR9dqD97oRA5H5Yx7zyhECqTNCc+PKEQCChXpEA94RA0ihMQgj5hEBLzfIxTfmEQLimxhID+4RAHjKSlgb/hEBmDNkWCgOFQKT2cWzpBYVANRUfMdQGhUDvhOXhEQeFQPWoy5vRCoVARrtmXRELhUA6Db3vFw+FQNXGAy0ZE4VAUceEX4gUhUB2eZgapxaFQMSwZOAdF4VAtGVe0R4bhUBgZ2a7JB+FQCBbwELuIoVAEv/DzigjhUBMa1Pj1CaFQDbpmAQsJ4VAaSZuvLsnhUA3Yb93LiuFQCLtwxTSK4VA/ZbhSzIvhUACJG9g5y+FQLTgz6Y3M4VAvtao5QQ0hUA13nj+ODeFQDA0EGQeOIVAvyQoVUg4hUCEqooPPDuFQDsJrEQUPIVAlqE3RDk8hUDYkK55XjyFQIYliPtAP4VAau74ZcNChUAbEx21REOFQJQdN/GSRoVAUWgFOEVHhUCgYr05PEiFQMxGQc1cSIVABKG7T0pLhUA0Wmbxz0yFQInuR/FMT4VAfetvKO1ShUCkEKoXUVOFQMxCIkpUV4VAE1fO3bJahUBwfYKJVluFQNBAwaC0XoVAz/celFpfhUCGAvlzoGGFQLWJGUqnYoVAef6gz9FihUAgJ2ZFX2OFQGTfB4DUZoVAFgsKImBnhUAVx7hlpGmFQMo1NLXVaoVAhnl0byBrhUACUc/HZmuFQDbrZBEhb4VAlhLvH2hvhUBUTt9/mHGFQAD7mgPJcoVA4qiA8SlzhUBZqp1riX6FQJrVU6vCfoVAAxtl/NmChUCnYWIw/oKFQCM4jEfNjoVA6hlsAt2WhUBoP5QeDJuFQMg293gDpoVACHVxb/OmhUC5J5p4cbKFQFJjZ7qDsoVAdADBabeyhUCf8yWpYreFQFS+yYCwwoVA84mn3fTGhUBcxKSaEMeFQHKS33HVyoVADNgXtNjShUB84Ihxi9WFQIaQNHYZ14VAcfK3CjPYhUAaKXKe4tqFQIqbWJBB24VAJWigwkrdhUA6KbiRjN2FQIafTtTy34VAQJwn7zrghUAcPi8T4+KFQGh8XrJP44VAEAjXl5XlhUCq10XCQ+iFQJyO1Ffw6oVAe3gvPW7rhUBaOeJ+oe2FQJg26AtK8IVAwIImLvHyhUCQAatol/WFQDSCnXhm9oVA</binary>
+					</binaryDataArray>
+					<binaryDataArray encodedLength="688">
+						<cvParam cvRef="MS" accession="MS:1000515" name="intensity array" unitAccession="MS:1000131" unitName="number of detector counts" unitCvRef="MS"/>
+						<cvParam cvRef="MS" accession="MS:1000521" name="32-bit float" />
+						<cvParam cvRef="MS" accession="MS:1000576" name="no compression" />
+						<binary>sphKRawrcEU2rpZF5GDRQyvgCUX81uBEDE+QRNPk4ENSLxFEXdQzRMWmxURaaA9EVsnMQzZigUc7MzZHQmaRRlrYFERGGqpFXgmcRIxn4UShvGZEWegNRNx7e0QnR+JEzVIVRGeEBURA155Er6uIRPcxZUSW5MtDkInuQ1Xv/UTaJvNDFvLURBXuvkS6CalEZwgGRPIj60MKMgtF8uQKRVzYHUX0BBVEG4ztRNbMBkTqoeNESa0BRGmlPUVVs+dDTucURXQ+IESmqfBExBcbRPooQEVkJ1hETo4tRDm1FkXZ+lZEc+XGRLj/YEQlQgJFcx7tQx7FJkWtHAFE6acHRV5RLUSdsAlE8GTGRIoWP0QKxOREjrFrRF0nwkSBPJ5EUWWNRbxe1URUCB1F8d2rRPuQzkTJggNENqgqRUIqr0ShChxFadhmRMAGOET54ChE7bwbRpnmZ0R8sqFEfoiVRBHIz0OjQPlDmjIeRbxoykOKBABEKAjrQ4XGw0M2umJEiaZBRGLpAEQ3JcRDKL5lRNr5zkPRSN5D/9ZDRIeKxUMI9GlEEaQCRPANHETg/y5ETRIcRKsWS0R7DgxEMxJpRHL4w0TVIbVF0KzRQ9TY30QK/UVEUN6kRBmPhETdRvpEgGO3RE2iqERj3c9E+SUVRESyKESiWW9EgOklRGwS6kPC965E</binary>
+					</binaryDataArray>
+				</binaryDataArrayList>
+			</spectrum>
+			<spectrum id="controllerType=0 controllerNumber=1 scan=6104" index="23" defaultArrayLength="142">
+				<cvParam cvRef="MS" accession="MS:1000127" name="centroid spectrum" />
+				<cvParam cvRef="MS" accession="MS:1000511" name="ms level" value="1" />
+				<cvParam cvRef="MS" accession="MS:1000294" name="mass spectrum" />
+				<cvParam cvRef="MS" accession="MS:1000130" name="positive scan" />
+				<cvParam cvRef="MS" accession="MS:1000504" name="base peak m/z" value="544.296813964844"/>
+				<cvParam cvRef="MS" accession="MS:1000505" name="base peak intensity" value="150615.140625"/>
+				<cvParam cvRef="MS" accession="MS:1000285" name="total ion current" value="5249704"/>
+				<cvParam cvRef="MS" accession="MS:1000528" name="lowest observed m/z" value="399.995969189033"/>
+				<cvParam cvRef="MS" accession="MS:1000527" name="highest observed m/z" value="1404.93745529441"/>
+				<userParam name="filter string" type="xsd:string" value="FTMS + p NSI Full ms [400.00-1400.00]"/>
+				<userParam name="preset scan configuration" type="xsd:string" value="1"/>
+				<scanList count="1">
+					<cvParam cvRef="MS" accession="MS:1000795" name="no combination" />
+					<scan >
+						<cvParam cvRef="MS" accession="MS:1000016" name="scan start time" value="4826.8" unitAccession="UO:0000010" unitName="second" unitCvRef="UO" />
+						<scanWindowList count="1">
+							<scanWindow>
+								<cvParam cvRef="MS" accession="MS:1000501" name="scan window lower limit" value="400" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+								<cvParam cvRef="MS" accession="MS:1000500" name="scan window upper limit" value="1400" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+							</scanWindow>
+						</scanWindowList>
+					</scan>
+				</scanList>
+				<binaryDataArrayList count="2">
+					<binaryDataArray encodedLength="1516">
+						<cvParam cvRef="MS" accession="MS:1000514" name="m/z array" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+						<cvParam cvRef="MS" accession="MS:1000523" name="64-bit float" />
+						<cvParam cvRef="MS" accession="MS:1000576" name="no compression" />
+						<binary>Zr5BWXyyhEB8Nhor37OEQOslwhAStIRAE4e3b7G1hECyy3R3braEQKNJjmxJt4RAzCjypt+4hEAKtSW4drqEQNpoEvqNuoRAJqfWbOG6hEDrfouPOryEQMTWThbovoRAhjjs/IHChEDijew158KEQKti+8QQ0oRAolwaujvVhEAZmCsbENaEQAi3CDtH1oRAHLFX47XWhEArQMcB5taEQOwuVLlu2YRAJh7TNk3ahECW1qL06NqEQOKFn+Au24RAVvzt/evehEC1RE7nc+KEQOH+L+Tw4oRAJtakPvXmhEDWwiup+uqEQDKPXfoB74RAUG4NwT7yhEBE3gNQAPOEQNe5kpUB94RAeWL+fSj5hEDgZTZRCfuEQC1hGosI/4RAisTDqOQChUAO3+nkDAOFQG1FZo6LBoVALQTK/BUHhUBWcVScFAuFQIosN4sWD4VATRqpIBkThUBHCiZbQRWFQHVUamLPFoVAIHdish0XhUA2muspIhuFQChmQQPoHoVAjhAesSYfhUCs8FTYiCKFQAxZHjUqI4VAYSrCq6ImhUDmsz+lKyeFQCigQnPKJ4VAo2PSly0rhUDygXYD4CuFQPYBDaQzL4VAa2dbyfkvhUA/HR1JVzGFQPnWTXKPMoVAeGkByjUzhUADrJoxGDSFQLG8Vk45N4VAGNqeqDE4hUBIctCMPTuFQNmU3PZKPIVAKh06LHk8hUCKPLQ2QT+FQJrJiVFPQoVAXaDs9ERDhUD6SG1dh0SFQP19RDBJR4VAUwVfi+hKhUDBWJigSkuFQLTgJPBsTYVAuEY5309PhUATwxT7flKFQGCGoy21UoVA89Phn+lShUCiYmGLUlOFQMZSLrXuVoVAqESyc1dXhUAvZOc7iVeFQIi+4fexWoVAIibuVeZahUA+F9D9VVuFQAAccyC3XoVAApzK51xfhUCIx+9IoWGFQPeNpF7RYoVA8xs4o2BjhUCO1fdW1maFQFSGCjZkZ4VAyCGTK6JphUC2ZzV71WqFQEK/CGYha4VATFMUOmlrhUCU7zxz226FQD67HTIgb4VAXjkE851xhUCcAw/XK3OFQODqQxVrc4VAhmlxv3F3hUDUIz5VenuFQAPyFuyyfoVALgzF8NmGhUAc5x5h14qFQK/yrdvRjoVAyzWzedaShUDaha3Y0ZaFQDpwb8xsn4VAeuDjq+SihUB1mWkIxaaFQOoyrlWPqoVA0ocIJfmqhUBmF5R67LKFQAiSjqtrtYVAWXeAyRa4hUA0XdrKGLuFQDx2yuohvIVAgSGCsQi/hUCCJLUz7cKFQI5Uz6zCxoVA3P4H5trKhUBuBhVL29KFQOCJDacW14VAzvEZAjbYhUBxzYxV4tqFQNgZ8VBD24VAgH5HhUjdhUDS0gWOj92FQIBFf2k64IVATRvnAp3ihUBVz4ct6+KFQFQcB1ZK44VAjhZ3F5jlhUDjtL1yPuiFQPLPWiLK6oVAhiAn/PXqhUAA6W2rYuuFQKrwHkub7YVAQXP3zkHwhUA=</binary>
+					</binaryDataArray>
+					<binaryDataArray encodedLength="760">
+						<cvParam cvRef="MS" accession="MS:1000515" name="intensity array" unitAccession="MS:1000131" unitName="number of detector counts" unitCvRef="MS"/>
+						<cvParam cvRef="MS" accession="MS:1000521" name="32-bit float" />
+						<cvParam cvRef="MS" accession="MS:1000576" name="no compression" />
+						<binary>sPQtRayh5UMKwNJEjV6GRZA3tkMpP/pEglk0RFfm9UOdMLpDmC3oQ1pFDkQXhtpDIrYfRB6SDkQ6JTZEOWfEQ3JcBER7AtJDIIrLQyjXikdfBs9DVovwQ5tMS0fuW91D2yGKRhOt60OpPa5FIwkERZgXq0RSRxxEqQ+5Q2SVtkSEuZJEGOnyQ9/FsUTutsNEJomkQ1Kai0TnurxDyy2QRHOmc0RoqdFEYeYZRdMZrkOWjAZE7BcqRYk8BEXpc85DaRXiREiQwENwbhZFOC+oQ+OoOUX0ZNVDHdATRSDqwUMseglFj9kaRHC5ykMv8qtDKHHxRHt0GETTjFNFXAxLRBHc1ETcWs9EOSD1Q/M+4EQAtMBDvi7gRC1c2EOtI8NE7XeqQzgu10SUa7ZD+mfYRNvSwkMk0+hDqtxCRKgfyUTn0EdE7fbQRANyz0NLhYRFby4IRA5duUQrUOFEkMVdRCX690StFlNFGlF/RGw9+EQwnxlEP3iARKSze0QZTc9Fuu0bRL+B6ENeRMdEFbv1Q59+A0WM3A5ECV7JQxpDukPS/hVEvQ7FQyWiuENBD3tE2K7GQ4+AtEPHW6JD4DrvQ6y2zUPbHg5EN07mQzwS9UN0A0BEAGfEQ3Pg2EPO9rBDqJBDRNG00ENg+q1DkIHjQ6JBTkSxWbpDfJyQRBwwn0TsS6NF55eaRFvVbkQQJdREQwMBRG6uBEX0gIZEDkXVRClIeETKYBFEhU8uRMv50UPWekxER1/1Qw==</binary>
+					</binaryDataArray>
+				</binaryDataArrayList>
+			</spectrum>
+			<spectrum id="controllerType=0 controllerNumber=1 scan=6107" index="24" defaultArrayLength="138">
+				<cvParam cvRef="MS" accession="MS:1000127" name="centroid spectrum" />
+				<cvParam cvRef="MS" accession="MS:1000511" name="ms level" value="1" />
+				<cvParam cvRef="MS" accession="MS:1000294" name="mass spectrum" />
+				<cvParam cvRef="MS" accession="MS:1000130" name="positive scan" />
+				<cvParam cvRef="MS" accession="MS:1000504" name="base peak m/z" value="544.296691894531"/>
+				<cvParam cvRef="MS" accession="MS:1000505" name="base peak intensity" value="154197.328125"/>
+				<cvParam cvRef="MS" accession="MS:1000285" name="total ion current" value="4956649"/>
+				<cvParam cvRef="MS" accession="MS:1000528" name="lowest observed m/z" value="399.99596853513"/>
+				<cvParam cvRef="MS" accession="MS:1000527" name="highest observed m/z" value="1404.93745299477"/>
+				<userParam name="filter string" type="xsd:string" value="FTMS + p NSI Full ms [400.00-1400.00]"/>
+				<userParam name="preset scan configuration" type="xsd:string" value="1"/>
+				<scanList count="1">
+					<cvParam cvRef="MS" accession="MS:1000795" name="no combination" />
+					<scan >
+						<cvParam cvRef="MS" accession="MS:1000016" name="scan start time" value="4828.9424" unitAccession="UO:0000010" unitName="second" unitCvRef="UO" />
+						<scanWindowList count="1">
+							<scanWindow>
+								<cvParam cvRef="MS" accession="MS:1000501" name="scan window lower limit" value="400" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+								<cvParam cvRef="MS" accession="MS:1000500" name="scan window upper limit" value="1400" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+							</scanWindow>
+						</scanWindowList>
+					</scan>
+				</scanList>
+				<binaryDataArrayList count="2">
+					<binaryDataArray encodedLength="1472">
+						<cvParam cvRef="MS" accession="MS:1000514" name="m/z array" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+						<cvParam cvRef="MS" accession="MS:1000523" name="64-bit float" />
+						<cvParam cvRef="MS" accession="MS:1000576" name="no compression" />
+						<binary>Mq/lsnOqhEBvd94VfbKEQE5Q4O8VtIRAOKAUK7G1hECCrVRNSreEQFpB1GR8uoRAJFnyT4u+hEB/cYIZZcKEQKqucDvNxoRAOsIgXXnOhEDB0Sn0DdKEQAAOg8pf04RAwEQT2xTWhEABgPb15daEQDbHwuVu2YRAanozvOjahEAAtCQLwN2EQDyVpOa23oRA6OnRgevehECoJTVC7+KEQNzbGnH05oRANqmlYvfqhEDXafih/e6EQAS1pCn/8oRA684mFQL3hEBcTYhLC/mEQHB8dfos+YRAlk17SFT5hEDoqXcgA/uEQPK0/sGJ/oRAdn5WDgn/hEB4tfDtDQOFQIn06UAPB4VAaRE1r9kKhUACIc6vEAuFQIoEyaBVDYVAMhoTPmAOhUBWhEycEg+FQFSItM+QEIVAJbYPFRsThUDTSS1vHBeFQFx8dqU/F4VAtronLbEXhUDARMbJIhuFQJDnyJIiH4VA2Wr6uvIihUBybA9pJyOFQJJZ+30qJ4VAxSoExC8rhUC6vWt6Mi+FQBAOEM3sL4VAureLlQMzhUC4tYOrNTOFQLB3vcYDNIVAiZQQGzg3hUCuACaHGTiFQCpn5uOOOoVA7gl1ezw7hUBcXuuF9DuFQLZ8eEQ4PIVAgzGA7mM8hUCybSrZPz+FQHleBw2mQoVAatdV1MVChUBVqS4eRkOFQBwjizswRIVAZHm2Ym5EhUDv+p0/Q0eFQGREBd9hSoVAykK0a51KhUDHLAimSkuFQDwabvlKTYVAuP3VjkpPhUC+CKypT1OFQKjExfd6VoVA9smvWO5WhUDQ5vCYVFeFQICsEZKzWoVA6AKE4VdbhUDomDbtd1uFQOzHUgO3XoVAlIoQXVdfhUBaioITo2GFQDMDJvTPYoVAdV0YV15jhUAJpTJk2WaFQHAEql9lZ4VAKto4z9VqhUCi8YFHIWuFQEDLRu9na4VA87/LOxhshUDFFtLWpGyFQGBwl+CCboVAWqCxdCFvhUAZCKR1bG+FQHZzjSqncIVAFsxxn8twhUAQpQGl/XCFQLh7IpeccYVAaQig5ihzhUCsRELGaXOFQNAHwddrd4VAi7K5sS97hUAPCmZJOYOFQNTrZBPXjoVAHpYTdLOShUAN5xUU2JKFQFbAbTPbmoVABnNK6HOghUCnJgar6aKFQHABt3qSqoVAHjlw762qhUDw2qCoHKuFQBYKBnu6soVAfr7R9kO0hUByduOVybeFQBX2OlSluoVA+01TUMe+hUBwDjjuB8OFQF0O+SMryoVAwF0cruHKhUC4HqGgkMuFQHMzzIEC0oVA1tMnj4bVhUBdRZ6+MdiFQPr1MIjj2oVApHdurkLbhUCKSF5Fj92FQHSPFXQ/4IVAsvQ24JTihUBuYU+k5OKFQLL7CT1N44VANiXaL5LlhUDj97YqQeiFQCA7DLWz6oVAUr1U0O7qhUDsfI+uUOuFQPAXaH4W84VA</binary>
+					</binaryDataArray>
+					<binaryDataArray encodedLength="736">
+						<cvParam cvRef="MS" accession="MS:1000515" name="intensity array" unitAccession="MS:1000131" unitName="number of detector counts" unitCvRef="MS"/>
+						<cvParam cvRef="MS" accession="MS:1000521" name="32-bit float" />
+						<cvParam cvRef="MS" accession="MS:1000576" name="no compression" />
+						<binary>89TfQ20iB0XW+VJFi7ltRVFs3UQNeedDPU+jQ0A8qENkyAFEHp63Q17tUkSTx+hDBZzVQ8g/jkeGr8FD6BJMR4gQLETjSq1DlXaaRhaLukXSwg1F0vLFRIPCeUSYb4ZEAru/RE9GokPYNbBDCSasQ/UXmEStDqFDZsxoRKUuu0RNKphEqIUERBNaqUQ+UdVD2C+7QweKm0RAN61DcnfVRE/9BkVimuND/Dy1QzwD2ET6dhdF3b7XQxzaN0WqmyBF7RwDRTZJEUVKlAtEiX+5Q5W9H0WmpxlE4lIjRXrrcUQC+b9DXHwWRYvZrEMJdABFtA1SRI/r60Rj7bRDXAGdQ+KV0kSakK1DLTfOQ/vDskSqAadDlu27Q+f7wETGvOlDlYXTREOGvUSCKahDOsgfRHqhC0XNW3NFpjlHRL8C5EOcALVEcKcvREN+qkQDg0pFWGSeRCh0kkTt/QFEBwW0RPYn/UUhZjhElGC2Q+tXiER8wdJDvFVYRAAtzkM4PuxDF8YZRG4fKkRmre9DsB7iRNeQLkQLjsVDG/M0RKeqBURxpEBES7sdRCprCETQP7ZDMd6bRIccCEQ/FBZEluDvQ97b2EPavf5D2OcdRN2FyUNvvrFD8EbEQycQv0NKQ7lDahr+Q24cQERcmMFDtO/nQ4d2IUTDwcxEU7qURfG7tESeTkVEwUjiQzwqgUQFE+tErBWmRIdomkTtnfZD/GOSRGC9EESWB9hD</binary>
+					</binaryDataArray>
+				</binaryDataArrayList>
+			</spectrum>
+			<spectrum id="controllerType=0 controllerNumber=1 scan=6112" index="25" defaultArrayLength="151">
+				<cvParam cvRef="MS" accession="MS:1000127" name="centroid spectrum" />
+				<cvParam cvRef="MS" accession="MS:1000511" name="ms level" value="1" />
+				<cvParam cvRef="MS" accession="MS:1000294" name="mass spectrum" />
+				<cvParam cvRef="MS" accession="MS:1000130" name="positive scan" />
+				<cvParam cvRef="MS" accession="MS:1000504" name="base peak m/z" value="544.296752929688"/>
+				<cvParam cvRef="MS" accession="MS:1000505" name="base peak intensity" value="164801.53125"/>
+				<cvParam cvRef="MS" accession="MS:1000285" name="total ion current" value="5027077.5"/>
+				<cvParam cvRef="MS" accession="MS:1000528" name="lowest observed m/z" value="399.996023258941"/>
+				<cvParam cvRef="MS" accession="MS:1000527" name="highest observed m/z" value="1404.93764520432"/>
+				<userParam name="filter string" type="xsd:string" value="FTMS + p NSI Full ms [400.00-1400.00]"/>
+				<userParam name="preset scan configuration" type="xsd:string" value="1"/>
+				<scanList count="1">
+					<cvParam cvRef="MS" accession="MS:1000795" name="no combination" />
+					<scan >
+						<cvParam cvRef="MS" accession="MS:1000016" name="scan start time" value="4832.0257" unitAccession="UO:0000010" unitName="second" unitCvRef="UO" />
+						<scanWindowList count="1">
+							<scanWindow>
+								<cvParam cvRef="MS" accession="MS:1000501" name="scan window lower limit" value="400" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+								<cvParam cvRef="MS" accession="MS:1000500" name="scan window upper limit" value="1400" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+							</scanWindow>
+						</scanWindowList>
+					</scan>
+				</scanList>
+				<binaryDataArrayList count="2">
+					<binaryDataArray encodedLength="1612">
+						<cvParam cvRef="MS" accession="MS:1000514" name="m/z array" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+						<cvParam cvRef="MS" accession="MS:1000523" name="64-bit float" />
+						<cvParam cvRef="MS" accession="MS:1000576" name="no compression" />
+						<binary>Eu2n5sashEBDBlPce7KEQLYTEn3lsoRAwGT5Fxa0hEAgpYUXq7WEQJ6HYy1Ft4RADTMR0+q4hEDhdhipkbqEQFzQOmPeuoRALCJmoC7IhEDY/9wps86EQFmzmFkO0oRADpwuf4rShEC+DQLo3NKEQDia2sQR1oRA3PK/q1HWhECyWtPz5daEQISiPqBt2YRAdYNrDenahEDoD1DTHtuEQIDAvfo73YRABHx2dezehEB0blY+7+KEQLmEF+GS5oRACgNhbPPmhEBWyq679OqEQM4A0M9D7YRAf78SgPnuhEBOKEb7XvCEQMZ8F2WI8IRAjBvy5/zyhEB2j/Zs0vaEQF5KKkkB94RADiASSjX5hEBXWkr2BfuEQGXJ23t7+4RAFpKq+An/hEBNf1ONCwOFQFCLdZ0RB4VAHL9c6BQLhUD9jYHeFg+FQE5G6vqYEoVACOv6HtAShUA4lN90GROFQPoSQE3aFoVAUP1SEx0XhUDhS6P/whqFQBRQ2vofG4VA3ooNe/EehUB2sQNEJR+FQKaWJtMDIoVALnsKBC0ihUAku7RFQiKFQHQ9my4qI4VADHt62SsnhUB2S54eryeFQDo2Oo0wK4VACifiosUrhUDLvZbBMS+FQK5/GcPhL4VAdmHQUAYwhUDKFhPONTOFQPW7uxP5M4VApPPD3Dg3hUDw5YVAEziFQFLQcng9O4VASF7dyLM7hUACON3xCTyFQFFbMa0uPIVAWl2Y31k8hUAkvaMsCj+FQGXfRL0+P4VAG+sjhkNDhUBYQAR2FEaFQIbwD4NFR4VABEReTGlKhUB87gMi6UqFQEjd2VRMS4VAumx6DGRNhUAEJPtxTU+FQCr/MhRIUIVACFk+helShUD1Vv1LUFOFQEQyIHbvVoVAQARV8FRXhUCv9iuqtFqFQFotHgdXW4VAgGQN4LZehUA8y2+FVl+FQAlJZdiiYYVATRInkdJihUBmutTxXmOFQMbNoPbUZoVAPL8BRGJnhUA6ptdDpmmFQL1ovsWuaoVAl/Hq1NhqhUCK4TthImuFQGgXalgib4VAN7XbMHFvhUASOgVPl3GFQAI/nuCHcoVAChA9NStzhUD6o62+4HeFQLLT2fcMeIVAzTBj1Nt+hUAEHgjWyoKFQGqtjFTZhoVAj5m3otOOhUBMBmNu1ZKFQOTFTeByk4VAFk1b4liVhUC2LxVD2JaFQH1yzDXDl4VAIC/F5OyXhUC6qsmnLZuFQOV5bMgYooVA9I3bLnilhUBUv76sB6eFQGTHrHCNqoVAhFX5aNiqhUCYq5rf+6qFQGbXYtT6rYVAGvJQX+KuhUDS8nZPxbKFQOPeWO9/s4VAQvUSQga1hUBims4bb7WFQL2YCiXvtYVAMpIZwAO3hUCdOtu3ZLiFQH6S940Rw4VAumb+CXfOhUBKd22n3tKFQAb/hGqD1YVA0N2kgvLWhUDwoTMSHdeFQHwUhF8x2IVAghIpR+LahUCXJRWyQtuFQEcZdueM3YVAhF0YUvTehUB0zQSCP+CFQDD5s/jo4oVAIvG3HkvjhUCmK5Xhk+WFQNSDaehC6IVAxqKqQanqhUBqKnaM6uqFQFw7SQec7YVASGJi9/L2hUA=</binary>
+					</binaryDataArray>
+					<binaryDataArray encodedLength="808">
+						<cvParam cvRef="MS" accession="MS:1000515" name="intensity array" unitAccession="MS:1000131" unitName="number of detector counts" unitCvRef="MS"/>
+						<cvParam cvRef="MS" accession="MS:1000521" name="32-bit float" />
+						<cvParam cvRef="MS" accession="MS:1000576" name="no compression" />
+						<binary>x6m/Qw5ppER0bMNDH94CRds51UQvWaNER1WSRD7FGESQTdJDbRXSQzhmvUPyZKNEwHHJQzKxq0Mbp0JENXnTQ2fmmEclcwhEuQdTR5mrtUMT6v9DE2ijRq4Gw0VyX79D38kiRXONvUTsfStEJw90RJQH/kNu3PxD/GyjRFJiBUTBXOZEBAcLROnmqUTzCfhDfuWlRAs/lUS1+MpE7EnJROmSskT1BspDoQn0Q1IQ1ETEMc1D8oABRfnj0kOXFzBF6pO0Q1RwQEVSiSFE+nvyQwCEAUSPswpF/z/fRJPLLkSenRFFq68aRK0XMkVl+R5EjCzoQzilK0WzT0ZE7i4QRbKaC0TLO9lEkmv0Q2Jy5EPpf/ZE8c3wQzW8sENL3wpFe5EKRU6QMETfuTFFniOtQ34N10Phi/BEtYgaRA7ozERFmrVD0KoNRA95uEQ8eBhEzN2tRD01TEUd0LlEh5QHRcdhLkRLQNlEMHpDRWKEgkQVF/VEd4MmRAaSQ0S9YMZDiS/kQ4ki+kUNdpZECBDJQ7zi+EM3Jr9D0tjhRLsxlUTIUEBESfoZRJv1IETrC6tD94X8RHU0eUQRSL5DwXTLQ6uz10M9oQlEMDerQyaUsUOxXIFEqXDOQ3ubC0TpOOpDzwIGREnEw0PH2s9Dvlw1RCWE2kPvxylFl1TxQ7g6w0PgAfJDamEJROWEskNxHOFDBHmpQ950dkTRWC9EVjrbQ0iqIURw1+1DGy1cRGz+oUWIYYFEi7sERDtmjkQxmRdE1y0RRV4yb0Skc0pE2AoTRGDijETf3itEqmiuQw==</binary>
+					</binaryDataArray>
+				</binaryDataArrayList>
+			</spectrum>
+			<spectrum id="controllerType=0 controllerNumber=1 scan=6114" index="26" defaultArrayLength="134">
+				<cvParam cvRef="MS" accession="MS:1000127" name="centroid spectrum" />
+				<cvParam cvRef="MS" accession="MS:1000511" name="ms level" value="1" />
+				<cvParam cvRef="MS" accession="MS:1000294" name="mass spectrum" />
+				<cvParam cvRef="MS" accession="MS:1000130" name="positive scan" />
+				<cvParam cvRef="MS" accession="MS:1000504" name="base peak m/z" value="544.296752929688"/>
+				<cvParam cvRef="MS" accession="MS:1000505" name="base peak intensity" value="160305.59375"/>
+				<cvParam cvRef="MS" accession="MS:1000285" name="total ion current" value="4895064"/>
+				<cvParam cvRef="MS" accession="MS:1000528" name="lowest observed m/z" value="399.995873456248"/>
+				<cvParam cvRef="MS" accession="MS:1000527" name="highest observed m/z" value="1404.93711904136"/>
+				<userParam name="filter string" type="xsd:string" value="FTMS + p NSI Full ms [400.00-1400.00]"/>
+				<userParam name="preset scan configuration" type="xsd:string" value="1"/>
+				<scanList count="1">
+					<cvParam cvRef="MS" accession="MS:1000795" name="no combination" />
+					<scan >
+						<cvParam cvRef="MS" accession="MS:1000016" name="scan start time" value="4833.7676" unitAccession="UO:0000010" unitName="second" unitCvRef="UO" />
+						<scanWindowList count="1">
+							<scanWindow>
+								<cvParam cvRef="MS" accession="MS:1000501" name="scan window lower limit" value="400" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+								<cvParam cvRef="MS" accession="MS:1000500" name="scan window upper limit" value="1400" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+							</scanWindow>
+						</scanWindowList>
+					</scan>
+				</scanList>
+				<binaryDataArrayList count="2">
+					<binaryDataArray encodedLength="1432">
+						<cvParam cvRef="MS" accession="MS:1000514" name="m/z array" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+						<cvParam cvRef="MS" accession="MS:1000523" name="64-bit float" />
+						<cvParam cvRef="MS" accession="MS:1000576" name="no compression" />
+						<binary>rvOuf0SwhECDv8KHTbKEQO6xnzR+soRANcRIPxu0hEBwJABMsbWEQIKjTeBJt4RAhIQOMeK4hEAKXT5PhrqEQDwANOoevIRAYmO2qa+9hEDs7xNUy8KEQDTAj2W70oRArrHSfhTWhECmV7feUNaEQCndMeS11oRAnMGFLebWhEBkTtnubdmEQJgK8cbq2YRAisHntOjahEANP1+l7N6EQEto4oqB34RAMuT3oWrhhEDpvHL5xeGEQCbJDBfg4YRAHE6C8vDihECnJfU99OaEQBX8IO1J6oRAe+L2lPnqhEAizv0j/O6EQDlIAbL+8oRAQLjjLAT3hEAPNc+7C/mEQILaH0oK+4RAemTZ9Av/hEBFZoftDgOFQHb8ZNEPB4VAY5CGORULhUBwsK4ghQ2FQNaEe7AWD4VAhK6BfM8ShUCC7JtmGROFQL6G37SXFYVA0qkg1dwVhUC8/my4HReFQN3tjJbuGYVAr7An9CEbhUCUIaUX9h6FQAtTjrIkH4VADazPuikjhUAifrnowCWFQI2DBn0rJ4VA8nRmUjArhUBsFUnL2CuFQEpetdYzL4VAUpIF8vEvhUClqMiXNzOFQKwt1g8JNIVAVqjCCzo3hUALB7G0JDiFQOZhcsQtOoVAT+ZNwj07hUCBguY1QDyFQNrIo2RAP4VA+NZrs7ZChUAkfaa1Q0OFQCixMPpIR4VADHF2eUtLhUBo+2noTU+FQMwMY5MyUYVAjA7oqu1ShUDWJQCrUlOFQCwcfp7uVoVADiGB1VZXhUBUcaFZ/ViFQNux9sKxWoVAcO0PueZahUBoBfX+WluFQN1GIyzIXYVAcj3UE39ehUAwB9yStl6FQOBaXFJbX4VAVPwUpKFhhUBGQg1e0WKFQBIWoJZfY4VA94FjXtRmhUA8d5/EYmeFQCj9GE6XaYVATJff9NtqhUCd5vKJImuFQHbzo2Zma4VAWCywMMFuhUCAswJT4G6FQDyxI1Aib4VAbusY3GpvhUBgnhX2a3GFQBKx6D+ecYVAkzHuvSxzhUA9scev+3aFQGZf5PVvd4VAvrjs5956hUDQn+3jKoOFQMRAnVTYioVAXIg5J9KOhUDAvTxv0pKFQGhL4YUbloVAvunaFcKihUA5wVNEjKqFQMY1eX8Gq4VAUBqWD5SuhUBAOnv5BK+FQGKxcQDBsoVAukO5iAWzhUAu7CDBBL+FQO6mJewUy4VA+pHco7nLhUA4ucTSaM2FQEI6qmq71oVAYDfi/TTYhUDGugvy5NqFQGj9ykhD24VAoEMRq4rdhUCy7A6S7t6FQLs+79MC4IVANIIniD7ghUCGRglg5+KFQIC7OaZK44VAvm2Wk5flhUAweImiQuiFQAzfLRuy6oVAkjup6e7qhUBexxdJle2FQDSDsn4H74VAViWAOybvhUAj8RakS/OFQA==</binary>
+					</binaryDataArray>
+					<binaryDataArray encodedLength="716">
+						<cvParam cvRef="MS" accession="MS:1000515" name="intensity array" unitAccession="MS:1000131" unitName="number of detector counts" unitCvRef="MS"/>
+						<cvParam cvRef="MS" accession="MS:1000521" name="32-bit float" />
+						<cvParam cvRef="MS" accession="MS:1000576" name="no compression" />
+						<binary>19/nQ4qz6kNLmL9EKM8lRUhF2UQcL4pE7A9xRNKrHERktvdDFkDcQ4GuC0QpisBDle9cRAqcP0Sr89VDRSqXR1qmBkTfWcpDvPRiR+ujmUYB8sFDC9LuQyzSv0MOAcxDQ9yZRZid5kSD+81DptFoRO7ciERSo4lEelauRLsM1UNoF19ESrJ9RBWCg0RMsZREYyHuRMCJwENV7LRE+5PxQ6qBm0RqRgFEZOuzQ2H75ERTT69DlhsQRYik9kOFTRdFWGIJRQaixkMYdi9FNbwTRcflK0TNfBtFUxwSRJudEkVHEipEt4ApRc+flUR2gxREBS4FRbVNCUV3P9xEuw+rQ/x2AEVedfZEK7XgRO0To0Sve61D0n00RA5uIkVlBxREoLinRGO+IkQmP2lFqjr1QwMcnUSp3O9DNOSsRDZeBkU/BYREXq8ARRP6JEV9Q49EhRYFRVTRx0TG29JE/bUeRJGR6EWgGO5DsUqbQwEEn0N5V59EbyMGRBuD4EOx4jREedvkRClc0UM5KglEnHsaRDSco0MceuhDpSoNReDalUS6g99DJvfBQ64sEESotM5DyFrnQ7hz2kPuKs9DOR6xQ39LskNYuwFEnQ0TRDxS9EOSaMJDl/u/QwJ9FkQtuapFosGCROMNHUTTh9ZDvq1FRLrjkkS67t9EMJVaRMGjZETnOcFD4MVwRHy4w0NL0QNEcMCZQ8ycs0M=</binary>
+					</binaryDataArray>
+				</binaryDataArrayList>
+			</spectrum>
+			<spectrum id="controllerType=0 controllerNumber=1 scan=6116" index="27" defaultArrayLength="695">
+				<cvParam cvRef="MS" accession="MS:1000127" name="centroid spectrum" />
+				<cvParam cvRef="MS" accession="MS:1000511" name="ms level" value="2" />
+				<cvParam cvRef="MS" accession="MS:1000294" name="mass spectrum" />
+				<cvParam cvRef="MS" accession="MS:1000130" name="positive scan" />
+				<cvParam cvRef="MS" accession="MS:1000504" name="base peak m/z" value="654.767150878906"/>
+				<cvParam cvRef="MS" accession="MS:1000505" name="base peak intensity" value="211.428817749023"/>
+				<cvParam cvRef="MS" accession="MS:1000285" name="total ion current" value="11239.890625"/>
+				<cvParam cvRef="MS" accession="MS:1000528" name="lowest observed m/z" value="197.162185668945"/>
+				<cvParam cvRef="MS" accession="MS:1000527" name="highest observed m/z" value="1317.21520996094"/>
+				<userParam name="filter string" type="xsd:string" value="ITMS + c NSI d w Full ms2 689.85@cid35.00 [175.00-1390.00]"/>
+				<userParam name="preset scan configuration" type="xsd:string" value="3"/>
+				<scanList count="1">
+					<cvParam cvRef="MS" accession="MS:1000795" name="no combination" />
+					<scan >
+						<cvParam cvRef="MS" accession="MS:1000016" name="scan start time" value="4835.501" unitAccession="UO:0000010" unitName="second" unitCvRef="UO" />
+						<userParam name="[Thermo Trailer Extra]Monoisotopic M/Z:" type="xsd:double" value="689.8505859375"/>
+						<scanWindowList count="1">
+							<scanWindow>
+								<cvParam cvRef="MS" accession="MS:1000501" name="scan window lower limit" value="175" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+								<cvParam cvRef="MS" accession="MS:1000500" name="scan window upper limit" value="1390" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+							</scanWindow>
+						</scanWindowList>
+					</scan>
+				</scanList>
+				<precursorList count="1">
+					<precursor>
+						<isolationWindow>
+							<cvParam cvRef="MS" accession="MS:1000827" name="isolation window target m/z" value="689.8505859375" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+							<cvParam cvRef="MS" accession="MS:1000828" name="isolation window lower offset" value="2" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+							<cvParam cvRef="MS" accession="MS:1000829" name="isolation window upper offset" value="2" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+						</isolationWindow>
+						<selectedIonList count="1">
+							<selectedIon>
+								<cvParam cvRef="MS" accession="MS:1000744" name="selected ion m/z" value="689.8505859375" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+								<cvParam cvRef="MS" accession="MS:1000041" name="charge state" value="2" />
+								<cvParam cvRef="MS" accession="MS:1000042" name="peak intensity" value="2199.90356445312" unitAccession="MS:1000132" unitName="percent of base peak" unitCvRef="MS" />
+							</selectedIon>
+						</selectedIonList>
+						<activation>
+							<cvParam cvRef="MS" accession="MS:1000133" name="collision-induced dissociation" />
+							<cvParam cvRef="MS" accession="MS:1000045" name="collision energy" value="35"/>
+						</activation>
+					</precursor>
+				</precursorList>
+				<binaryDataArrayList count="2">
+					<binaryDataArray encodedLength="7416">
+						<cvParam cvRef="MS" accession="MS:1000514" name="m/z array" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+						<cvParam cvRef="MS" accession="MS:1000523" name="64-bit float" />
+						<cvParam cvRef="MS" accession="MS:1000576" name="no compression" />
+						<binary>AAAAoDClaEAAAADgvv5oQAAAAMDMImlAAAAAwBlBa0AAAADA/GZrQAAAAIDEg2tAAAAAgOFAbEAAAADAFWxsQAAAAEAspGxAAAAAgGC+bEAAAACgqEltQAAAAAAEZG1AAAAAAMGCbUAAAACgZcltQAAAAED6O25AAAAAoNhgbkAAAABAQ4RuQAAAAMCaKm9AAAAAQG1ib0AAAACA38lvQAAAAIAU/m9AAAAAgDQhcEAAAABARlVwQAAAAID5YnBAAAAAQM9tcEAAAACAFsFwQAAAAEAa1XBAAAAAQBzkcEAAAACgpvRwQAAAAMA8AXFAAAAAoHk1cUAAAADgUUNxQAAAAMAKUnFAAAAA4OJicUAAAADAtKBxQAAAAAD1wHFAAAAA4H3TcUAAAABA9eRxQAAAAMCX8XFAAAAAYEQAckAAAABAzRNyQAAAAMBEQHJAAAAAQHdWckAAAADAxWNyQAAAAECxcHJAAAAAwO6GckAAAABgsZJyQAAAAEBwtHJAAAAAgDHjckAAAAAA5fNyQAAAAEBZAXNAAAAAACojc0AAAABgUS5zQAAAAABuQHNAAAAAAF5oc0AAAAAAvYlzQAAAAIA6o3NAAAAAQAnNc0AAAABArgF0QAAAAEAqTnRAAAAAwOZgdEAAAACASnB0QAAAAEB/f3RAAAAAINiPdEAAAAAAjqN0QAAAAEDNuHRAAAAAIC3EdEAAAACgMhF1QAAAAOCqJXVAAAAAoMhVdUAAAABAU2Z1QAAAAEBic3VAAAAAQO9/dUAAAADAto91QAAAAAA7pnVAAAAAQFbKdUAAAADABe91QAAAAIDHBXZAAAAAwBISdkAAAAAA+S92QAAAAED3QXZAAAAAAIBndkAAAABAnHN2QAAAAMA8hXZAAAAAwDGRdkAAAACARKt2QAAAAAD0tnZAAAAAAGnRdkAAAACAVOl2QAAAAECI/nZAAAAAgMcUd0AAAABA3iR3QAAAAMABM3dAAAAAwJpFd0AAAACAl1Z3QAAAAMAbZndAAAAAAIeCd0AAAACAvZl3QAAAAMAqpndAAAAAgATTd0AAAABAq+F3QAAAAEDZ83dAAAAAAGMCeEAAAADAETF4QAAAAIBAO3hAAAAAwAFGeEAAAADAHmJ4QAAAAMB+cnhAAAAAgAWkeEAAAADAj7J4QAAAAIDBxXhAAAAAgPnheEAAAACA9PN4QAAAAACuAHlAAAAAAPMQeUAAAABABiV5QAAAAMB0MnlAAAAAwKFCeUAAAADA8VB5QAAAAIBUXnlAAAAAQL5teUAAAABAoHl5QAAAAIDgsHlAAAAAADDKeUAAAADAdNV5QAAAAAAk6XlAAAAAQLsEekAAAAAAJRV6QAAAAIDsKHpAAAAAgGxIekAAAACAAVN6QAAAAACRYnpAAAAAAB6DekAAAABA87B6QAAAAACk0HpAAAAAwArtekAAAABA/gJ7QAAAAMCgFXtAAAAAwKcje0AAAAAAFkR7QAAAAAADUXtAAAAAQKZie0AAAABAoIN7QAAAAIBTkXtAAAAAwOCqe0AAAABAKbZ7QAAAAIDwyXtAAAAAQAHYe0AAAACAWO57QAAAAMCC/HtAAAAAwNYGfEAAAACATR18QAAAAADgLXxAAAAAQP0/fEAAAACAXWF8QAAAAMBfdHxAAAAAAEV+fEAAAACAcKB8QAAAAAAUtXxAAAAAwOXDfEAAAAAAT9l8QAAAAAAf43xAAAAAgHL0fEAAAAAAggF9QAAAAMCnEX1AAAAAwAogfUAAAADAYjJ9QAAAAICBSn1AAAAAQPNbfUAAAACAm2h9QAAAAICyhX1AAAAAAOmTfUAAAAAAAqt9QAAAAECftH1AAAAAgLjBfUAAAAAApNd9QAAAAAAQ7n1AAAAAAFIEfkAAAADAmSR+QAAAAEB/NX5AAAAAwC1DfkAAAACAc1l+QAAAAIACan5AAAAAwOV+fkAAAACATpd+QAAAAAARsH5AAAAAwF7WfkAAAADAqu5+QAAAAAA3/35AAAAAQLMOf0AAAAAAGR5/QAAAAABSNH9AAAAAQN5Ff0AAAAAAEGB/QAAAAICfcn9AAAAAwJyUf0AAAADAhLJ/QAAAAIBZwX9AAAAAQGvTf0AAAAAAzeV/QAAAAMCJ8n9AAAAAgFIBgEAAAACARA2AQAAAAKBSE4BAAAAAwKoYgEAAAABgKyGAQAAAAECfKYBAAAAA4JYygEAAAAAgsDeAQAAAACDgP4BAAAAAIMlJgEAAAAAgP1KAQAAAAGD1WoBAAAAAIJhhgEAAAAAg1myAQAAAAAD0coBAAAAAYBl5gEAAAACAkn6AQAAAACA4iYBAAAAAYMGRgEAAAADAQZeAQAAAAODXn4BAAAAA4AWsgEAAAAAgyLKAQAAAAIBMuIBAAAAA4Ou9gEAAAADgt8OAQAAAAKCTzoBAAAAAYKXVgEAAAAAAg9yAQAAAAGB044BAAAAAoAvvgEAAAACgRPaAQAAAAKDGEIFAAAAA4MkWgUAAAACAUByBQAAAAGDbIYFAAAAAAG0rgUAAAACg/TKBQAAAAICEOYFAAAAAQCZBgUAAAAAgFkuBQAAAAKDcU4FAAAAAYOVYgUAAAABg/2eBQAAAAOCfcoFAAAAAIJN9gUAAAACA+oKBQAAAAGBBi4FAAAAAwKuRgUAAAABA25qBQAAAAEDGooFAAAAAoEOpgUAAAACgm7GBQAAAACBcuYFAAAAAoPW/gUAAAABgRseBQAAAAODyzYFAAAAAAN3XgUAAAABAgt+BQAAAAOAn7IFAAAAAYA/ygUAAAAAAmvmBQAAAACAJBIJAAAAAYJIKgkAAAAAArBCCQAAAACANG4JAAAAA4AQogkAAAAAA6i2CQAAAAGB+PoJAAAAAYP5DgkAAAABA90mCQAAAAKAiUoJAAAAAYN1ggkAAAACgpWyCQAAAAGBJdIJAAAAAIG58gkAAAACg1YOCQAAAAGBBiYJAAAAAoNyQgkAAAAAgKpmCQAAAACAZo4JAAAAA4AytgkAAAABA8LSCQAAAAABIvIJAAAAAwPfDgkAAAACgKMmCQAAAAOAe0YJAAAAAoC7dgkAAAACg7OOCQAAAAGDO6oJAAAAAIBP3gkAAAADAO/+CQAAAAMCCB4NAAAAAQEUQg0AAAACAuByDQAAAACA4IoNAAAAAoBwng0AAAABgPC+DQAAAAOBKN4NAAAAA4CtCg0AAAADg0UiDQAAAAICKUYNAAAAAoDpbg0AAAABgKWWDQAAAAEDNcYNAAAAAoDJ5g0AAAACgrYCDQAAAAGAYiINAAAAAIEKRg0AAAADg25eDQAAAAKDdnoNAAAAAgGqlg0AAAABgdq2DQAAAACDUsoNAAAAAICq8g0AAAABg3MGDQAAAAGDByYNAAAAAIAbTg0AAAABgoNqDQAAAAODG44NAAAAA4Abqg0AAAAAAFvODQAAAAEBQ+4NAAAAAgIIChEAAAADgOgyEQAAAAEBUEoRAAAAAINUYhEAAAAAgPCGEQAAAAEB8KYRAAAAAYBQwhEAAAACgmTWEQAAAAABTPoRAAAAA4CJJhEAAAACgTVGEQAAAAGDDVoRAAAAAgKJchEAAAABAG2WEQAAAAEB0boRAAAAAICN2hEAAAACA6H2EQAAAAOA3hoRAAAAAYM2OhEAAAADgfZiEQAAAAGCqooRAAAAAQIWqhEAAAAAAVreEQAAAACAby4RAAAAAwDTqhEAAAAAgQ0OFQAAAAMDbgIVAAAAAoLiVhUAAAADArKaFQAAAAMD5r4VAAAAAAKLThUAAAAAgbO+FQAAAAKC1/YVAAAAAIH4DhkAAAAAAtAqGQAAAAGBnH4ZAAAAA4BMohkAAAAAAYjSGQAAAAACoO4ZAAAAAYKJEhkAAAACgw06GQAAAAADPVIZAAAAAQGVhhkAAAACge2uGQAAAAKDBfoZAAAAAQMCKhkAAAABgMZOGQAAAACDJmoZAAAAAAKCrhkAAAADgWryGQAAAACBZw4ZAAAAAoE3JhkAAAAAgwNGGQAAAAGCA4oZAAAAAwAzrhkAAAAAgevOGQAAAAKAD/4ZAAAAAILsJh0AAAACgvhGHQAAAAMCIGYdAAAAAQIcjh0AAAADgjTOHQAAAAKDzQYdAAAAAgOFIh0AAAACApVOHQAAAAGDRYodAAAAAoOhyh0AAAADgM5GHQAAAAMBumIdAAAAA4Aeih0AAAADgKbWHQAAAAADMyodAAAAA4DbSh0AAAADg/NqHQAAAAADP4IdAAAAAILfxh0AAAADAJQSIQAAAAGCVCYhAAAAAYIgYiEAAAAAgFx6IQAAAAADcKYhAAAAA4Og3iEAAAADAyj6IQAAAAIC9S4hAAAAAgHFRiEAAAADAP1yIQAAAAGCKZIhAAAAAAN9qiEAAAAAgPnOIQAAAAECDeYhAAAAAIPuBiEAAAABgio+IQAAAAGDcmIhAAAAA4AWsiEAAAABguLGIQAAAAKC1tohAAAAAQJ29iEAAAAAg2MSIQAAAACA8zohAAAAAYJ/TiEAAAABg+duIQAAAAOD64YhAAAAAYGbqiEAAAACAtfGIQAAAAIDu+ohAAAAAAC0GiUAAAAAgDxGJQAAAACCKFolAAAAA4MYdiUAAAADA0iOJQAAAAMDgK4lAAAAAIB8yiUAAAABgUTmJQAAAAKAlSIlAAAAAYIlPiUAAAAAgvFqJQAAAAKB3ZYlAAAAAYMBuiUAAAABg8XOJQAAAACAie4lAAAAAINmPiUAAAABgraGJQAAAAABRr4lAAAAAoOa6iUAAAACgV8aJQAAAAGDO0olAAAAA4E3siUAAAABgEfKJQAAAAKA894lAAAAAwEf+iUAAAABAlwmKQAAAAKDvEopAAAAAoIUaikAAAAAAHCKKQAAAAECjNIpAAAAAQG9JikAAAACgOlKKQAAAAADBWIpAAAAAgOZiikAAAADgx2qKQAAAAOAqdYpAAAAAIAx9ikAAAAAA1IOKQAAAAKAomYpAAAAAwLmrikAAAADg3dKKQAAAAAB624pAAAAAYE/iikAAAAAgyfCKQAAAACAGA4tAAAAAYAgNi0AAAABgOhuLQAAAAKAfJItAAAAA4Goqi0AAAADgfTOLQAAAAKBGPItAAAAAIDhDi0AAAACAHEuLQAAAACAhU4tAAAAAoGVZi0AAAADgj2SLQAAAACD4a4tAAAAAYLZ1i0AAAADg732LQAAAAGA2hYtAAAAA4KKNi0AAAABgaZmLQAAAAOCYnotAAAAAgOWoi0AAAABgoryLQAAAAKDewotAAAAAAKTQi0AAAACAu9mLQAAAAICv4otAAAAAgDHpi0AAAADgE/eLQAAAACBVFoxAAAAAoMQejEAAAADgbyqMQAAAAGC0MYxAAAAAgPs5jEAAAAAA7EOMQAAAAIAIS4xAAAAAIOxWjEAAAACAsW6MQAAAAGCWc4xAAAAAIE57jEAAAAAgSYKMQAAAACAlioxAAAAAYPKSjEAAAABgtp2MQAAAACA3qIxAAAAAID2yjEAAAAAAmbqMQAAAAGBZw4xAAAAAIKrKjEAAAADg6dSMQAAAACCG3IxAAAAAoCDijEAAAACgpfKMQAAAAODL+IxAAAAA4L4XjUAAAACgHR6NQAAAAKDwJo1AAAAA4Nw4jUAAAABg9UCNQAAAAICiWo1AAAAAYJBkjUAAAAAAxHONQAAAAADMfo1AAAAAoGyHjUAAAABgoJSNQAAAAOD6m41AAAAA4GqijUAAAAAg1qiNQAAAAGCpt41AAAAAABvJjUAAAACgJd6NQAAAAGBJ6Y1AAAAAIDXyjUAAAABgbvmNQAAAAIAAAo5AAAAAYAkMjkAAAABgNBWOQAAAAGCvN45AAAAAYCZRjkAAAAAAl2KOQAAAAIDLco5AAAAAYEeBjkAAAADg/ouOQAAAAOBvlo5AAAAAYIKbjkAAAACgQcaOQAAAAKDk2o5AAAAAgFPpjkAAAACgcPKOQAAAAOCs945AAAAA4KP/jkAAAAAA2QSPQAAAAOC1DI9AAAAAgI0Sj0AAAAAAxxuPQAAAAKDtK49AAAAAINUxj0AAAACAODiPQAAAAOACRI9AAAAAILtQj0AAAABgMliPQAAAAACPaY9AAAAAYIp3j0AAAABgN4OPQAAAAACljI9AAAAAoDSZj0AAAACgtKSPQAAAAODArY9AAAAA4IKzj0AAAACA0rmPQAAAAIBrwY9AAAAAgBXIj0AAAADguNCPQAAAAACW149AAAAA4Jbej0AAAACAm+yPQAAAAGAK/o9AAAAAYK0CkEAAAADAGBqQQAAAACB7HZBAAAAAgOwhkEAAAABAQiaQQAAAAECwKZBAAAAAoFYwkEAAAAAA8zKQQAAAAMA6OZBAAAAAYNw+kEAAAADAnk2QQAAAAGBYUJBAAAAAABxdkEAAAACAo2KQQAAAAMBiZ5BAAAAAwCpqkEAAAADAQIOQQAAAAECbiZBAAAAAwG6OkEAAAAAguJGQQAAAAAArmZBAAAAAQLCokEAAAAAAw6yQQAAAAIDYsZBAAAAAwJ25kEAAAADA872QQAAAACDIyJBAAAAAAPHQkEAAAABAddOQQAAAAOAV3ZBAAAAAAHrmkEAAAABAAu2QQAAAAEA89JBAAAAAoPL4kEAAAABAG/2QQAAAAACZBpFAAAAAAFgOkUAAAADg8RCRQAAAAMAZHpFAAAAAAIMpkUAAAAAAnC2RQAAAAEB/OpFAAAAAgII+kUAAAACAJ0WRQAAAAACDSZFAAAAAAMBOkUAAAADAkVKRQAAAAKDSX5FAAAAAQG9ikUAAAACATWeRQAAAAEBwcpFAAAAAwPB3kUAAAABAFHuRQAAAAAAShZFAAAAAANmJkUAAAACAPI2RQAAAAGANoJFAAAAA4BKlkUAAAAAglKuRQAAAAEAIspFAAAAAoHK1kUAAAADgM72RQAAAAICoxZFAAAAAQLTJkUAAAABAXs2RQAAAAIDL1ZFAAAAAQB/ekUAAAACgo+GRQAAAAKAj5pFAAAAAAMrpkUAAAACgZfKRQAAAAMBc9ZFAAAAAACoBkkAAAABAEwiSQAAAAOAiE5JAAAAAAFsdkkAAAAAATCOSQAAAAEClKpJAAAAAQNw0kkAAAADgKD+SQAAAAMDOSJJAAAAAgMxZkkAAAADAu16SQAAAAED0ZZJAAAAAQIBqkkAAAACgH22SQAAAACAdcZJAAAAAwBCVkkAAAACAzaWSQAAAAGCRq5JAAAAAAAmykkAAAADgs8KSQAAAAEAp0pJAAAAA4BPVkkAAAADgituSQAAAAKC56pJAAAAA4HLukkAAAADgiSiTQAAAAABuMZNAAAAA4Mw4k0AAAABg7D2TQAAAAEC7SZNAAAAAYOuIk0AAAADgqu6TQAAAAGAAD5RAAAAAIF0mlEAAAABg3JSUQA==</binary>
+					</binaryDataArray>
+					<binaryDataArray encodedLength="3708">
+						<cvParam cvRef="MS" accession="MS:1000515" name="intensity array" unitAccession="MS:1000131" unitName="number of detector counts" unitCvRef="MS"/>
+						<cvParam cvRef="MS" accession="MS:1000521" name="32-bit float" />
+						<cvParam cvRef="MS" accession="MS:1000576" name="no compression" />
+						<binary>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</binary>
+					</binaryDataArray>
+				</binaryDataArrayList>
+			</spectrum>
+			<spectrum id="controllerType=0 controllerNumber=1 scan=6117" index="28" defaultArrayLength="145">
+				<cvParam cvRef="MS" accession="MS:1000127" name="centroid spectrum" />
+				<cvParam cvRef="MS" accession="MS:1000511" name="ms level" value="1" />
+				<cvParam cvRef="MS" accession="MS:1000294" name="mass spectrum" />
+				<cvParam cvRef="MS" accession="MS:1000130" name="positive scan" />
+				<cvParam cvRef="MS" accession="MS:1000504" name="base peak m/z" value="544.296691894531"/>
+				<cvParam cvRef="MS" accession="MS:1000505" name="base peak intensity" value="165069.96875"/>
+				<cvParam cvRef="MS" accession="MS:1000285" name="total ion current" value="4772578.5"/>
+				<cvParam cvRef="MS" accession="MS:1000528" name="lowest observed m/z" value="399.995910453777"/>
+				<cvParam cvRef="MS" accession="MS:1000527" name="highest observed m/z" value="1404.93724898724"/>
+				<userParam name="filter string" type="xsd:string" value="FTMS + p NSI Full ms [400.00-1400.00]"/>
+				<userParam name="preset scan configuration" type="xsd:string" value="1"/>
+				<scanList count="1">
+					<cvParam cvRef="MS" accession="MS:1000795" name="no combination" />
+					<scan >
+						<cvParam cvRef="MS" accession="MS:1000016" name="scan start time" value="4835.9579" unitAccession="UO:0000010" unitName="second" unitCvRef="UO" />
+						<scanWindowList count="1">
+							<scanWindow>
+								<cvParam cvRef="MS" accession="MS:1000501" name="scan window lower limit" value="400" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+								<cvParam cvRef="MS" accession="MS:1000500" name="scan window upper limit" value="1400" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+							</scanWindow>
+						</scanWindowList>
+					</scan>
+				</scanList>
+				<binaryDataArrayList count="2">
+					<binaryDataArray encodedLength="1548">
+						<cvParam cvRef="MS" accession="MS:1000514" name="m/z array" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+						<cvParam cvRef="MS" accession="MS:1000523" name="64-bit float" />
+						<cvParam cvRef="MS" accession="MS:1000576" name="no compression" />
+						<binary>GEdZw3uyhECq9VrwGLSEQHWzFpyttYRAcHt+F0e3hECPIsSv4LiEQJYnqj16uoRA8U61CsvChEAJ9S/WUcaEQHC/qC7CxoRAYF5Fd17KhECW7G9jEtKEQDqOOFV70oRA8T9kCQvWhECgikShTtaEQKQHNZGw1oRA9G/U9uXWhECLlBSWbNmEQKCy3UhV2oRA/rlUr+jahEBfEgUC7N6EQNPRL9LI34RAdHkuuWrhhECSl8/vqOKEQNgkA1Pv4oRAULnJJbnmhEBof+pl9uaEQML2wk2n6oRAmT7GaPbqhEDxFZAqZu2EQKqL/pH57oRAVQMnSgDzhEAsHpvz5/SEQMPOMSUD94RApIwI0Qb7hECAkBP0Cf+EQKAXLUINA4VAmOMbrxAHhUBMs8XGFQuFQLpjGDq4DYVA8t6fwxYPhUAc1amKXRKFQDicbpsYE4VA/bT0oh4XhUC/K/LkIRuFQOi9oFLvHoVAdms59x8fhUAbGSQ2KSOFQNY1O0nJJoVAfZ47hCwnhUCOx5FRMCuFQIRRPevTK4VAdJPk7jEvhUB6SMdF7C+FQDAECOuIMoVAEC9IUTczhUBOk3jCCTSFQKjtIUA3N4VAlJHC6wg4hUDVbe3HJDiFQAvoImlUOIVAikwh/EY6hUDWsEDgPTuFQJdTNsQ7PIVA9GLa+WE8hUBOhpKrjzyFQOqktwZAP4VAnlLzCSlAhUDk6GtmQkOFQLS3BHyeRIVACilHpbdEhUBgpNSl3USFQG6P49NIR4VAuvZ3rEdLhUAG+4If1EyFQGSju0hKTYVAOlqHsHlNhUDaTiNbzE6FQAK3pB9PT4VAikf86IxShUC5Ak9j71KFQDy3q55PU4VA4NyEZFRXhUCc7MnMrlqFQMX9EXYPW4VAlLYSWFpbhUBUC6SMuV6FQEJ9/LlaX4VA9IPIyaJhhUBgshjTz2KFQAgWhZ1eY4VA6u/e89VmhUDA1M3kYWeFQNTb4uagaYVA3ksGF9pqhUAv25hMImuFQDeXqutla4VAfr12lyNvhUAuu2JpbG+FQB4RIRHOcIVAaYRa4ZpxhUBRIxErK3OFQLdYUNBtc4VAkVUsbYZ6hUAozRERLnuFQI4fhNJwe4VAlN9WAat7hUCkC5ocsH6FQPwqVNrTfoVAk3lirY6ChUDcDHuN8oKFQAJ/k+cdhIVAcPtTQlOEhUBEnwqip42FQD7hNVvRjoVARmyKiT2RhUCY5ovm15KFQGdasZLaloVAJLNoPDGnhUAg9xvzd62FQCzlGoOhroVAhvx4uwSzhUARg5R5DraFQD5ZwivIuoVAntKezG3BhUAbCDceicGFQKLmJHANx4VAU5wtesrKhUA0jFtkG9OFQPr2CvWJ1YVAisEs0q3YhUAotvVfX9qFQCh6nLKx2oVAUP38sULbhUA4RKEPQN2FQPpB/oOT3YVAoeA2VDfghUAwGdkH7+KFQLeS21FK44VAFFRhV5flhUAW6M6aOuiFQNDUxv3w6oVA4xvyyyDshUAuvSM5nfOFQNdbSl5K9IVA9TDd+fH2hUA=</binary>
+					</binaryDataArray>
+					<binaryDataArray encodedLength="776">
+						<cvParam cvRef="MS" accession="MS:1000515" name="intensity array" unitAccession="MS:1000131" unitName="number of detector counts" unitCvRef="MS"/>
+						<cvParam cvRef="MS" accession="MS:1000521" name="32-bit float" />
+						<cvParam cvRef="MS" accession="MS:1000576" name="no compression" />
+						<binary>7mygRAXC4ESDMQ9F0yvARFSvCERA8VtENBtWRJ0TwUO1ZNZDi6LNQ7eu3ENRXPND4OjQQ2gYOETgz8FDg0WHR34vQkTDSmtEmIo+R9hWlkbmZ69EhDXkQ5I9B0REI45FGN8iRHOEskRjv+pD7fKPRH503EMp+SdEVLt4RCYqwEPEudJEFCG2RHsmj0RZwLtESFu7RM5voEShDPJDuqjJRGQRq0OCwcxEdRXoREUH4UTiFeZDZIMWRcwnvETri7lDZCHhRGMa40RvMidE7h7JRFj7y0MnZANEAgz9RD+mFESXB/5ELRMJROE1I0Qq6KZE2hzkQ4omtkSBXqRETARKREjFwkORLwBFKJPeQ/9g4UQSirND30W0Q5/U3kNyweNEn+m3RFExtkM3aRJEL0KnQ3vu3EM840FEGsgNREVkE0T4E+JElg2NRDqJJ0W6Z9ZDlkwyRDPWjUTPglxEyVfKRHo5IkXedp1EuGcBRQKGgUQCowREH2sXREX67EVEYDpEsYAMRGoV0EPp47lDe47iQ9HHBEXIguxDpjnpQ1UvDURnH+hDVXXKQ8j11EMDWyZEq9L+Q0vN20Mn2kFEa7jHQ8rLw0Pl3nVFJ89yRASVy0Se/VJEyzI8RHijrkMrtstDEHrTQxEgv0P6cb5Dp7RIRMo/XkRHEvZDXK8CRFmGr0NZ1wlEDWWyQ3zFKkQ40fFDMOSiRWaNJUS9ND1ETRqGRB9pVET7VO5ETKckROn230NkOtpD8BXwQySKqkMUKc9Dj7vCQw==</binary>
+					</binaryDataArray>
+				</binaryDataArrayList>
+			</spectrum>
+			<spectrum id="controllerType=0 controllerNumber=1 scan=6118" index="29" defaultArrayLength="142">
+				<cvParam cvRef="MS" accession="MS:1000127" name="centroid spectrum" />
+				<cvParam cvRef="MS" accession="MS:1000511" name="ms level" value="1" />
+				<cvParam cvRef="MS" accession="MS:1000294" name="mass spectrum" />
+				<cvParam cvRef="MS" accession="MS:1000130" name="positive scan" />
+				<cvParam cvRef="MS" accession="MS:1000504" name="base peak m/z" value="544.296752929688"/>
+				<cvParam cvRef="MS" accession="MS:1000505" name="base peak intensity" value="190456.671875"/>
+				<cvParam cvRef="MS" accession="MS:1000285" name="total ion current" value="5205277.5"/>
+				<cvParam cvRef="MS" accession="MS:1000528" name="lowest observed m/z" value="399.996209300541"/>
+				<cvParam cvRef="MS" accession="MS:1000527" name="highest observed m/z" value="1404.9382986567"/>
+				<userParam name="filter string" type="xsd:string" value="FTMS + p NSI Full ms [400.00-1400.00]"/>
+				<userParam name="preset scan configuration" type="xsd:string" value="1"/>
+				<scanList count="1">
+					<cvParam cvRef="MS" accession="MS:1000795" name="no combination" />
+					<scan >
+						<cvParam cvRef="MS" accession="MS:1000016" name="scan start time" value="4838.023" unitAccession="UO:0000010" unitName="second" unitCvRef="UO" />
+						<scanWindowList count="1">
+							<scanWindow>
+								<cvParam cvRef="MS" accession="MS:1000501" name="scan window lower limit" value="400" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+								<cvParam cvRef="MS" accession="MS:1000500" name="scan window upper limit" value="1400" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+							</scanWindow>
+						</scanWindowList>
+					</scan>
+				</scanList>
+				<binaryDataArrayList count="2">
+					<binaryDataArray encodedLength="1516">
+						<cvParam cvRef="MS" accession="MS:1000514" name="m/z array" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+						<cvParam cvRef="MS" accession="MS:1000523" name="64-bit float" />
+						<cvParam cvRef="MS" accession="MS:1000576" name="no compression" />
+						<binary>Elk7qH2yhEC0FmRiFLSEQOJzViWvtYRAIzwCj7e2hEAmf1ntR7eEQIY8nkPluIRAUNSsD4C6hEB8ljOpwLqEQIgvcX1fwoRA1E1J8sjChEDFjIHksMaEQETHmXBtyoRAVKJYV3zOhEBGo2MKC9KEQNiRiQJS0oRA2H7X3EzWhEDrYi8W5taEQD4/3T4p14RAsId9IG3ZhED6nTyZUdqEQJIBfQvp2oRAao/n3MndhECrl1tert6EQMKqGmHs3oRAYFvmcHDhhEDP5u3q7+KEQOaWEA/05oRA9j+yqGLphEAqUdCH9uqEQNiBgIho7YRApXwuHPzuhEDmjAZ5wfKEQKJcBdb48oRAZIbyGHL1hEAnQQ1wBPeEQPYzeZWQ+oRA/mKBNwj7hEBKEFr0Bv+EQD6lfvwMA4VARysHlxEHhUAqDPlPEguFQEbwoMIYD4VApx3G4BwThUA6xSOkSBaFQFJaVDfJFoVAph+DAh4XhUB40GmXIRuFQJ/qQoWjHoVA5s+iKfIehUBe/TKdJR+FQJ7PNI6YIoVA3IKmqfwihUByRf7PKCOFQBOW91gqJIVA6PEKK2smhUDMzvaTKieFQHqn6iMxK4VAfmp+g6wrhUB6qom42SuFQJGcsUIzL4VAauArc/AvhUCGl8hZpzKFQL2y4ejJMoVAVkEotjYzhUC2Czcl5DOFQNBqPGgLNIVAbsEcVDc3hUAjaKYZwzeFQJAUIE0mOIVA1GV4n0M4hUATJNb7kTqFQL46RRc+O4VAuCNKXMk7hUC5wiGPGDyFQHwFhvBBPIVALTf0rG0+hUD+XAS3QD+FQBj1Px1FQ4VA8sEXKkhHhUDg1Y0bn0iFQN5XVnDiSoVAOGkRCk1LhUC7P6K1T0+FQLID5HdxUYVAIcfDKFJThUAGGyJI41aFQAktSOhSV4VAKDD4d7RahUBeak5SWluFQAp498i3XoVAlruesFlfhUDE9eYDo2GFQBQ90+mZYoVAYfrdMtBihUBkavzhYmOFQN7ZfWrVZoVAfj2QYV9nhUDLT2/6p2mFQId2xeOuaoVATvaWQ9tqhUDQ5nhWImuFQNgx12lna4VAjNMf2BpvhUAgYQ8ZLHOFQC+VWzh0c4VAUun6Q4t2hUAv3dxo1nmFQNii3nB2foVAGGgi2fOChUCvRuzpGYSFQJAMWRvVioVAgkU4wlmNhUBjD25B046FQFfBzZzYkoVA08OBxFeVhUCQ7x870ZaFQGwEOiUImIVAzvMnbaqahUAMr3Sk2ZqFQCzFsEbfooVAltF4Sf2mhUDip6/q+6eFQMChlbKRqoVAkEH7NgazhUCbbkAJdrWFQF7yPvsCt4VAMCa9ctu6hUA7lBdOU8WFQAjusPPZ0oVAKEjU1oLWhUDypmjoJdeFQGuhL17k2oVAXLiQLkPbhUC9r6Yzjd2FQMgiFcn234VADIyBqTrghUAE36Fr4uKFQLQnLK5L44VAWbX7oJPlhUA7meaZuumFQApYrgze6oVA/zKgg9PuhUA=</binary>
+					</binaryDataArray>
+					<binaryDataArray encodedLength="760">
+						<cvParam cvRef="MS" accession="MS:1000515" name="intensity array" unitAccession="MS:1000131" unitName="number of detector counts" unitCvRef="MS"/>
+						<cvParam cvRef="MS" accession="MS:1000521" name="32-bit float" />
+						<cvParam cvRef="MS" accession="MS:1000576" name="no compression" />
+						<binary>MKpWROIMqURfi8NE4yq6Qzm/c0SgYkxEqT4QRElFMEQZTgJEU25aRBbl0UPxNMRDV3/HQ02mRkTBkblDObFFRKZRjEdyPdpDC2DMRBok3kMykFZHU3S8Q/feo0OghJFGjPIyRKonm0WODhxFl3sORBfyfURCjoJEGDZLRIWA/0Pu1xREmt/9Q35izERUIslDXyi/RDm19ESwIOtEHZ26REkW10SiZclEjMPrRCbe3kOi0cdD7lnNRNbAF0XG4MVDPoAjRLeN20SairZDB1n0Q4Sb1kShdwpEFV+8Q6uR/UT15OlEfNrDQ4bUWES+GBdFoSUPRN18A0TYSOhDF/f1RJaOw0NRUV1EjXoDRZq5sUMZ4CtEOl/7Q5hLyUP5WABFD43VQzLQ7EPrhwZFaZrbQwQO+UTPD8JEMPd/ROnWu0NKovFDwrKHRMl59EQ9zMRD+C0wRGZYFUSXcE1ElvLhRJrAWEQox4REOpWJRG4q2ERA6uxDXb8aRd6aL0RMsbRE6zz/Q43DKkSaw9xDAv+YRHKr7UWSRihE+FewQ792M0UznetDsMfQQ1nG8ENgsw9EFEe7QxYkxUOaCdBD3eIPRCQyPEUs2uNEZBjSQ5XhWkTnOMdDLLYKRMV+AkS/uktEM00VRHUV2EOuPyhEoUIBRGqwwUPStKxDlXTaQ5wPz0MwMd9DonfXQwJ57ENn9tVD1zHYRW7ec0Qo+ytELj9MREucDkRebtZEglbqQyDE/EM6hBNEQbnBQw==</binary>
+					</binaryDataArray>
+				</binaryDataArrayList>
+			</spectrum>
+			<spectrum id="controllerType=0 controllerNumber=1 scan=6119" index="30" defaultArrayLength="707">
+				<cvParam cvRef="MS" accession="MS:1000127" name="centroid spectrum" />
+				<cvParam cvRef="MS" accession="MS:1000511" name="ms level" value="2" />
+				<cvParam cvRef="MS" accession="MS:1000294" name="mass spectrum" />
+				<cvParam cvRef="MS" accession="MS:1000130" name="positive scan" />
+				<cvParam cvRef="MS" accession="MS:1000504" name="base peak m/z" value="656.035949707031"/>
+				<cvParam cvRef="MS" accession="MS:1000505" name="base peak intensity" value="1371.302734375"/>
+				<cvParam cvRef="MS" accession="MS:1000285" name="total ion current" value="12626.75"/>
+				<cvParam cvRef="MS" accession="MS:1000528" name="lowest observed m/z" value="200.24267578125"/>
+				<cvParam cvRef="MS" accession="MS:1000527" name="highest observed m/z" value="1279.3720703125"/>
+				<userParam name="filter string" type="xsd:string" value="ITMS + c NSI d w Full ms2 689.85@cid35.00 [175.00-1390.00]"/>
+				<userParam name="preset scan configuration" type="xsd:string" value="2"/>
+				<scanList count="1">
+					<cvParam cvRef="MS" accession="MS:1000795" name="no combination" />
+					<scan >
+						<cvParam cvRef="MS" accession="MS:1000016" name="scan start time" value="4839.2392" unitAccession="UO:0000010" unitName="second" unitCvRef="UO" />
+						<userParam name="[Thermo Trailer Extra]Monoisotopic M/Z:" type="xsd:double" value="689.851806640625"/>
+						<scanWindowList count="1">
+							<scanWindow>
+								<cvParam cvRef="MS" accession="MS:1000501" name="scan window lower limit" value="175" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+								<cvParam cvRef="MS" accession="MS:1000500" name="scan window upper limit" value="1390" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+							</scanWindow>
+						</scanWindowList>
+					</scan>
+				</scanList>
+				<precursorList count="1">
+					<precursor>
+						<isolationWindow>
+							<cvParam cvRef="MS" accession="MS:1000827" name="isolation window target m/z" value="689.851806640625" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+							<cvParam cvRef="MS" accession="MS:1000828" name="isolation window lower offset" value="2" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+							<cvParam cvRef="MS" accession="MS:1000829" name="isolation window upper offset" value="2" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+						</isolationWindow>
+						<selectedIonList count="1">
+							<selectedIon>
+								<cvParam cvRef="MS" accession="MS:1000744" name="selected ion m/z" value="689.851806640625" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+								<cvParam cvRef="MS" accession="MS:1000041" name="charge state" value="2" />
+								<cvParam cvRef="MS" accession="MS:1000042" name="peak intensity" value="2939.42016601562" unitAccession="MS:1000132" unitName="percent of base peak" unitCvRef="MS" />
+							</selectedIon>
+						</selectedIonList>
+						<activation>
+							<cvParam cvRef="MS" accession="MS:1000133" name="collision-induced dissociation" />
+							<cvParam cvRef="MS" accession="MS:1000045" name="collision energy" value="35"/>
+						</activation>
+					</precursor>
+				</precursorList>
+				<binaryDataArrayList count="2">
+					<binaryDataArray encodedLength="7544">
+						<cvParam cvRef="MS" accession="MS:1000514" name="m/z array" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+						<cvParam cvRef="MS" accession="MS:1000523" name="64-bit float" />
+						<cvParam cvRef="MS" accession="MS:1000576" name="no compression" />
+						<binary>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</binary>
+					</binaryDataArray>
+					<binaryDataArray encodedLength="3772">
+						<cvParam cvRef="MS" accession="MS:1000515" name="intensity array" unitAccession="MS:1000131" unitName="number of detector counts" unitCvRef="MS"/>
+						<cvParam cvRef="MS" accession="MS:1000521" name="32-bit float" />
+						<cvParam cvRef="MS" accession="MS:1000576" name="no compression" />
+						<binary>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</binary>
+					</binaryDataArray>
+				</binaryDataArrayList>
+			</spectrum>
+			<spectrum id="controllerType=0 controllerNumber=1 scan=6123" index="31" defaultArrayLength="159">
+				<cvParam cvRef="MS" accession="MS:1000127" name="centroid spectrum" />
+				<cvParam cvRef="MS" accession="MS:1000511" name="ms level" value="1" />
+				<cvParam cvRef="MS" accession="MS:1000294" name="mass spectrum" />
+				<cvParam cvRef="MS" accession="MS:1000130" name="positive scan" />
+				<cvParam cvRef="MS" accession="MS:1000504" name="base peak m/z" value="544.296630859375"/>
+				<cvParam cvRef="MS" accession="MS:1000505" name="base peak intensity" value="175930.09375"/>
+				<cvParam cvRef="MS" accession="MS:1000285" name="total ion current" value="4413871.5"/>
+				<cvParam cvRef="MS" accession="MS:1000528" name="lowest observed m/z" value="399.996199999193"/>
+				<cvParam cvRef="MS" accession="MS:1000527" name="highest observed m/z" value="1404.93826598578"/>
+				<userParam name="filter string" type="xsd:string" value="FTMS + p NSI Full ms [400.00-1400.00]"/>
+				<userParam name="preset scan configuration" type="xsd:string" value="1"/>
+				<scanList count="1">
+					<cvParam cvRef="MS" accession="MS:1000795" name="no combination" />
+					<scan >
+						<cvParam cvRef="MS" accession="MS:1000016" name="scan start time" value="4840.9901" unitAccession="UO:0000010" unitName="second" unitCvRef="UO" />
+						<scanWindowList count="1">
+							<scanWindow>
+								<cvParam cvRef="MS" accession="MS:1000501" name="scan window lower limit" value="400" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+								<cvParam cvRef="MS" accession="MS:1000500" name="scan window upper limit" value="1400" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+							</scanWindow>
+						</scanWindowList>
+					</scan>
+				</scanList>
+				<binaryDataArrayList count="2">
+					<binaryDataArray encodedLength="1696">
+						<cvParam cvRef="MS" accession="MS:1000514" name="m/z array" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+						<cvParam cvRef="MS" accession="MS:1000523" name="64-bit float" />
+						<cvParam cvRef="MS" accession="MS:1000576" name="no compression" />
+						<binary>STMM1K6yhECWxqv1PrOEQC6GKrMXtIRAEZXxTrC1hEAwnb97TbeEQC5a86jiuIRA5qyEUc26hEBrAw1LzcKEQGhCNIiGxYRA2inE+MvGhEBgv1umPsiEQGw71WR+yoRAT58gE+TQhEAu91SEDdKEQNJDuvSo0oRADHuUD0jWhEDqWjm8XdaEQGZNix/m1oRAcqeWnG/ZhED+hOoZRtqEQFAwMAnp2oRAZK5bf+zehEBwOx+zZuCEQGx6lxuL4oRAW3+ILvHihEBYkaJgmuaEQCy+U6vu5oRApHLp9fvqhEAkX394a+2EQBjPP0r97oRAql5IMBfwhEDMSts9WvKEQCT84ArD8oRAXrEeoPnyhEBIXLtk1/aEQFldYYD/9oRA7cfbAUr5hEA04+QQKPqEQN6o8ESN+oRAlFA9qf76hEDMbIBLC/+EQEhdADKbAoVAKO8/gbcChUBQRQDHDgOFQFuZzEkSB4VAJjXLPRULhUCasOnO6Q6FQDYfO2UaD4VANWAIKRkThUA4UOxwHReFQDPxK4U9GIVA9Id5aSAbhUBKLpXZJh+FQB6prGCcIoVA/knMqyQjhUDeZS/40ySFQFQDCX0tJ4VA1wCzZY0ohUAMBXrmFSmFQID0NCvvKoVA5ml23i0rhUCNfoxU3CuFQPo0C9kxL4VAFaj+qvcvhUC8Su2L0zKFQBT9F4M3M4VAgHzL+Q40hUDTC51RODeFQMyE81gpOIVAvNW/4lE4hUBssmYnOjqFQP5HX5E7O4VABM316wg8hUAbBQUNHTyFQFw0/bpFPIVASMGVo4g8hUCTUDiIyj6FQA5a/mdAP4VAqpAQHbE/hUDf3+gbYECFQEwlTaOXQoVAGUu2+UFDhUC7m+3cf0SFQJaAXUxCR4VAXlG2+JxIhUA4yLtmSUuFQCLp1t5SToVAEFkhi0xPhUDE0e9uyFKFQJxWSQ5RU4VAXsLD7+5WhUDW2/EjVVeFQBJ4/4udWIVA3hts1LVahUDSnGRLVluFQL5fUMnEXIVAg9yfE7tehUBCa6CDXF+FQMRMBVOhYYVA40tt29FihUBsMxPoXWOFQB7v/xuyZoVAfgGBNdVmhUAgz+BxZGeFQJwAzMGBZ4VAXnR4/aJphUCuKS1N1WqFQGQSwdMia4VAiOXrpSNvhUD6Ovt843KFQCea4Pwpc4VAQOB0BW51hUDLNctxsneFQFSJk4mYeYVAj1vc9e16hUAaAAXl2n6FQGG+/Qz9f4VAWTTt0rmChUD8SdurgoaFQDx2jrSyhoVAbr2Ddu+GhUB9gtJd046FQKlsjObWkoVAsbtRItyWhUC4Vu/I6JqFQLSULAJpnoVA5Y5v8TWnhUAm/SQrZ6eFQOq9niD/qoVA1MgU8rKuhUCSxjKdxa6FQKeCBQCRr4VA2kJcqpSyhUCwDH6xxbKFQGVuFV0Os4VA+kcZSPK2hUB+yZOI2rqFQP6QUQjQvoVArmJcEdbGhUAemI+dqcqFQPxul+5S04VAdXV+bjLYhUAv0fLE4tqFQJaPKuIN24VA2skTRkTbhUC6/kRrat6FQGG8HB623oVAgr54KOHihUC2qi4ITeOFQEBCuRyP5YVAPvCzvaLnhUDe5TOx3eeFQKlZDa4/6IVASrFyp/XqhUCYm4diUOuFQPT/k99J8IVAOXtE+OjwhUBGLrZcQPKFQC6H9yg7+IVA</binary>
+					</binaryDataArray>
+					<binaryDataArray encodedLength="848">
+						<cvParam cvRef="MS" accession="MS:1000515" name="intensity array" unitAccession="MS:1000131" unitName="number of detector counts" unitCvRef="MS"/>
+						<cvParam cvRef="MS" accession="MS:1000521" name="32-bit float" />
+						<cvParam cvRef="MS" accession="MS:1000576" name="no compression" />
+						<binary>DVLlQ+13VkSYdJdEJa0eRRuvc0TStmhEqY0ERFWSQETLsaZD2OgpRAabqENMHtpDyA3AQzSOGUSQ2rpDbCjJQ0RY3EP39V9Hor/YQ0uNwEMFJh5H2nVNRsFNtUN6CfFDHeF2RS/tzUMLaZ1Ey3xgRHngl0R+83tElV7QRH5DoENQVWxE4KTMQ3epkEP793xE1DrrQxE90kNuCPBDPCJ6RGUiZETtk59D6QGkQyDXk0R02ohEOPRNRLROk0MQqq1E+NCkREsaG0ToXppDErapRGd5h0ReaJZDgoSDRK+lkUMF1p5E22p/RML0tkM7bdtD+eF/RJL2MERGWuFE2UDkQ3/yxEOpSHFEyAkJRFmezUTaYlZEffGxQ6ck0kMWJdZEHRwBROmW90OvxuBEY4XxQ/HX6EOA9qxEamPKQ8ZL70P+TLNDmMSNRB4qzkPc0lBEJ5K+Q7hsJkSx7rFDSzEkRFe1AkQF0i9EPJMaRH95jkTPOclDoT2nROpMM0SEXOdDjeFtRM+aDUR1I6pETJEXRYqSMUTAZxRE13LqRMUBMEQ8NqlDCAKXRDxQk0S6m8BFUL7XQ5Klx0NGR/FEmeDKQ7uXuUPc3a9DUgL7Q58UtUOs9r5DtyK/Q96zrkNNo9RDc7fEQ61flEUcNzJFCJlNRF517kP99q9D1zTdQyhEr0PcUlVEkF2SQ5GLn0O5nA5Ei1XuQ08CG0SYGLZDVAjKQ5NX3UOko+ZDaUXHQ4ep7UPl5Z5DrqIVRM5o4kOLEfdDm2uLRTeZy0PFJBdE6NwmRKsm6USL2OVD2c+iQ96Np0Ont9FDtuY2RJ1Q1kO7r8ND732ERHsqpkOEiB1E</binary>
+					</binaryDataArray>
+				</binaryDataArrayList>
+			</spectrum>
+			<spectrum id="controllerType=0 controllerNumber=1 scan=6125" index="32" defaultArrayLength="142">
+				<cvParam cvRef="MS" accession="MS:1000127" name="centroid spectrum" />
+				<cvParam cvRef="MS" accession="MS:1000511" name="ms level" value="1" />
+				<cvParam cvRef="MS" accession="MS:1000294" name="mass spectrum" />
+				<cvParam cvRef="MS" accession="MS:1000130" name="positive scan" />
+				<cvParam cvRef="MS" accession="MS:1000504" name="base peak m/z" value="544.296630859375"/>
+				<cvParam cvRef="MS" accession="MS:1000505" name="base peak intensity" value="177695.21875"/>
+				<cvParam cvRef="MS" accession="MS:1000285" name="total ion current" value="4361086"/>
+				<cvParam cvRef="MS" accession="MS:1000528" name="lowest observed m/z" value="399.996163780961"/>
+				<cvParam cvRef="MS" accession="MS:1000527" name="highest observed m/z" value="1404.93813877435"/>
+				<userParam name="filter string" type="xsd:string" value="FTMS + p NSI Full ms [400.00-1400.00]"/>
+				<userParam name="preset scan configuration" type="xsd:string" value="1"/>
+				<scanList count="1">
+					<cvParam cvRef="MS" accession="MS:1000795" name="no combination" />
+					<scan >
+						<cvParam cvRef="MS" accession="MS:1000016" name="scan start time" value="4842.7623" unitAccession="UO:0000010" unitName="second" unitCvRef="UO" />
+						<scanWindowList count="1">
+							<scanWindow>
+								<cvParam cvRef="MS" accession="MS:1000501" name="scan window lower limit" value="400" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+								<cvParam cvRef="MS" accession="MS:1000500" name="scan window upper limit" value="1400" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+							</scanWindow>
+						</scanWindowList>
+					</scan>
+				</scanList>
+				<binaryDataArrayList count="2">
+					<binaryDataArray encodedLength="1516">
+						<cvParam cvRef="MS" accession="MS:1000514" name="m/z array" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+						<cvParam cvRef="MS" accession="MS:1000523" name="64-bit float" />
+						<cvParam cvRef="MS" accession="MS:1000576" name="no compression" />
+						<binary>1A3cDA2yhEAa45A0e7KEQB/neMTPsoRA2pe1bxW0hEAXw3VCsLWEQNZO1P9Mt4RA+IFaOlC6hECEiHM9ir2EQGYf/LzIwoRAhLmyWOjChEC/7ZNBjsWEQLjri7TKxoRAKXNXrxrIhEAoDS25x8qEQCbRMalA0YRAMz5uSwvShEAiXYJTJtOEQIowto1Q1oRA1O+8a+bWhEBc02jQaNmEQFDnrVVE2oRA6OZrhenahEBaAP0t7N6EQPA16bzw4oRAE+VSXRPkhEDuwBHO8eaEQMuhfzcq6oRAJAn9x/HqhEDl7UJmcuuEQHRs319s7YRAGhVfzv3uhEABKOv+oO+EQFxI5I4W8IRAqPX66MbyhEBmAo7OAvOEQKoEPepv9YRAZRrjdgL3hEAEM2fQQvmEQH4bPsJ6+oRAtUPhH6b6hEAq48yfBvuEQBMgq6cH/4RA0EvV1NkChUByrevBCwOFQK7qzCrmBIVAatMoJbwGhUAYo67vDQeFQFILDhIWC4VABKD7lLcOhUBn2yRGyQ6FQNyP45gWD4VAMTBKIlQPhUDHTesKGhOFQICQdLkhF4VAgP9KuKoYhUCWjCAsIBuFQComIXokHoVAdjlPSSAfhUDBM+7ZMSKFQDPekXcoI4VApt80tY8mhUDmjwiUKieFQI6NwQa7J4VA498CjC8rhUA6Qgbx0yuFQFKVJOSMLIVAA2J2tzUvhUB4XRND7S+FQJLAt5QzM4VAVpdjpgM0hUAjsmTnOTeFQMYzgxseOIVAtKgJ1Ds7hUAkVXcpDDyFQLqQTHg7PIVAXjgk2Gg8hUC5/CqgsTyFQHii/AtCP4VAy1O80ghChUAPhwcDRkOFQISohJx7RIVAh0bf/ElHhUCgqOYOSUuFQIznal5RT4VAnvuH1ulShUAu7lRAU1OFQOQ5bDJbV4VAnm/rX+hXhUCg4qlRsFqFQMYvMrBXW4VAkCPMpbZehUAHndmS/F+FQGJ+DdOjYYVArIvaMtJihUBa8TX3XWOFQKg85/zSZoVAo1hPCKdphUCLr4IB3GqFQAQMeI0ia4VAFhl4cmtrhUA0e2YsuWuFQOTUORuZcYVAcgy8QStzhUAY7E7sxXeFQFvH/P/9d4VAmx+ueSt7hUAkVrVbtn2FQOW0Fu7qf4VA3vh+6MGChUCtiKEHVIWFQPKh/GbSioVAaIPTPtOOhUCxMw0j1pKFQNITx2iXloVAizE7w9aWhUDQvFUs0JqFQNzW/fPpmoVAaPvNzx+chUApR7HhjJyFQIb/9en9oIVAhbS1I+SihUB28Ll9AquFQMxstLMjq4VAudqcDt2uhUD9+OCkwLaFQPMJmjCWuIVAoUHSecDDhUBR/mRRKMSFQKjNz3pZxIVAQcUuMprShUBu4gKRi9WFQJzHAJyq2oVAFGhXYN/ahUCuhYktQ9uFQA76STAD3YVAzs7CND7ghUA51PFxTOOFQFJS/YmO5YVAQkKIM7XqhUAGjUSPWOuFQN6Cnu1H8IVA/odyizH4hUA=</binary>
+					</binaryDataArray>
+					<binaryDataArray encodedLength="760">
+						<cvParam cvRef="MS" accession="MS:1000515" name="intensity array" unitAccession="MS:1000131" unitName="number of detector counts" unitCvRef="MS"/>
+						<cvParam cvRef="MS" accession="MS:1000521" name="32-bit float" />
+						<cvParam cvRef="MS" accession="MS:1000576" name="no compression" />
+						<binary>4FDGQ8AjOkT2xfpD69utRBW1o0R3L4FE9dWgQ3UQE0RyFgRE/xAHRKhoAURqkm1E8d4CRLevBESCGw9E382vQ7VVr0M32zVEOVpBRxf7/UNZSLFD+mkJRzr7REYIDjlF3WPPQxIimUTw7LtDq/f3Q5ohx0OKbDdFLMc7RPj0xkMeeiVFzhVgRDoXEUQkYuFDORWERCgRGERsC8RD10zgQ1OqakRAwxhEsKK0Q8DOV0QO6apDjwWkQ1wii0SvtA1E7J6UQ7HskkOuChJEmNvAQycuOETUCEBEV4WhQw9IhUSWerxDu1R/RFQVrkPly5pERqCYQ4qynUQuUwBE2zeWRL4CGkTOXq5D+0+6ROGQKUQxublEfasbRAxKzURQTTVEofaiRDFZ90MPPttEVVvWQ5xZrEMY4GxEvqaqQ9QogUR9Z79D5PqlROmdiER8Y0dEX1nlQzINTkSiyU1EZYK6Q4tnqETMWyJEgtiBRCtQuEOSuqpE+uTDROOEBESoH7NEgvtZRGnTjURy1a9Fr+nWQ5Zo8kO4zgNErnrjREqOvUOMSbBD3uT5Q67210NHpspD8wnJQxkvvkNFuPpDB+qtRVCzTUUeQ+FD7c5mRIonpENRz5lDtDCqQ4UwyENHYSNEthWrQxB6zEO+PwZE+CQNRAIB2kNjpMJDKz/dQyNpy0N2oLZDKuf3Q6b3CETdZstDdys9RCvkfEWaqLhDCVldRNUanUSNq7RDatAGRHsU7UMfMadDVQbLQw==</binary>
+					</binaryDataArray>
+				</binaryDataArrayList>
+			</spectrum>
+			<spectrum id="controllerType=0 controllerNumber=1 scan=6128" index="33" defaultArrayLength="151">
+				<cvParam cvRef="MS" accession="MS:1000127" name="centroid spectrum" />
+				<cvParam cvRef="MS" accession="MS:1000511" name="ms level" value="1" />
+				<cvParam cvRef="MS" accession="MS:1000294" name="mass spectrum" />
+				<cvParam cvRef="MS" accession="MS:1000130" name="positive scan" />
+				<cvParam cvRef="MS" accession="MS:1000504" name="base peak m/z" value="544.296630859375"/>
+				<cvParam cvRef="MS" accession="MS:1000505" name="base peak intensity" value="184166.046875"/>
+				<cvParam cvRef="MS" accession="MS:1000285" name="total ion current" value="4433855"/>
+				<cvParam cvRef="MS" accession="MS:1000528" name="lowest observed m/z" value="399.996033590086"/>
+				<cvParam cvRef="MS" accession="MS:1000527" name="highest observed m/z" value="1404.93768152595"/>
+				<userParam name="filter string" type="xsd:string" value="FTMS + p NSI Full ms [400.00-1400.00]"/>
+				<userParam name="preset scan configuration" type="xsd:string" value="1"/>
+				<scanList count="1">
+					<cvParam cvRef="MS" accession="MS:1000795" name="no combination" />
+					<scan >
+						<cvParam cvRef="MS" accession="MS:1000016" name="scan start time" value="4844.9847" unitAccession="UO:0000010" unitName="second" unitCvRef="UO" />
+						<scanWindowList count="1">
+							<scanWindow>
+								<cvParam cvRef="MS" accession="MS:1000501" name="scan window lower limit" value="400" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+								<cvParam cvRef="MS" accession="MS:1000500" name="scan window upper limit" value="1400" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+							</scanWindow>
+						</scanWindowList>
+					</scan>
+				</scanList>
+				<binaryDataArrayList count="2">
+					<binaryDataArray encodedLength="1612">
+						<cvParam cvRef="MS" accession="MS:1000514" name="m/z array" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+						<cvParam cvRef="MS" accession="MS:1000523" name="64-bit float" />
+						<cvParam cvRef="MS" accession="MS:1000576" name="no compression" />
+						<binary>TOQyzsOqhEDMXneIfbKEQIGIRmMVtIRAzIz/h621hEASo/4I2raEQIUxTd5Jt4RAAj2y3ea4hED4tPotfbqEQPvLI4fNuoRA2KDQbgi7hEDyph58gr2EQBB4U+s4wIRAojEfv8zChEDYofJ/j8WEQMTibC3HxoRAT+cxbZTKhECqW5Cb38qEQBQN6+MG0oRAh9cSTCzThEB+LJZpTNaEQHfkarfm1oRAoraGN23ZhEBYJYx3EtqEQGGpvk7p2oRATnkRBO3ehEDPQJLsWeKEQMnaAg/J4oRAcMsOVPDihEAGPamD9eaEQAB3GmgC6IRAh6MAqmrphEAIYOMD/OqEQIRgOtBq7YRAVWirisHuhECXJaIi/e6EQAQIvZQX8IRATpK9ucXyhEA+fGHQAPOEQOJXPBhw9YRAHpLKW832hECqg97dAPeEQFVGTIRV+YRA6e1TmgL7hEAOgmVk3v+EQLGhUYEQA4VAMl6w3doKhUCarnO2FguFQCoLQkiEC4VAB1HEuJ4OhUBzxSsnFg+FQOoTYr1RD4VANrEEuxUThUDo7YQ3wBaFQHYlSoIeF4VAqnNIVtcahUB+l7chIBuFQOhb7LUoH4VACOT9lLAihUC+ay07KiOFQPCNn0wtJ4VA9Pc2xJ4nhUAMLG0vKyuFQBqv6GS4K4VArBn2Qv8rhUDlgaezMC+FQDzTs5/OL4VArKJcI/wyhUAFrnxLNjOFQIhfl7noM4VANmIQ2zk3hUBUvvDTAjiFQAPBvWTdOoVAUg5ixzw7hUB/XoFz8zuFQLjOc+UePIVANkrX1kg8hUDBmYMvPz+FQLfK/LVDQ4VASreRlqFFhUDGDIOhSEuFQCqVgnMhT4VAftWqZU9PhUC97FMwU1OFQCgSC5jrVoVApNxIGVNXhUBnxpN8tFqFQGg3CVNaW4VA1l4mHcZdhUBqvsyCul6FQBL5pAx9YYVAb7AuIKRhhUB1lueQ0mKFQHl6p0gzZYVA6je4KrhmhUDU7D3q1GaFQE7/8plfZ4VAhBEKEqNphUDqx3FkI2uFQKgFCD/rboVAIk8zvZpxhUCa8jm6KXOFQHp6V5ZCdYVAWuP/CW91hUDCS31kMnaFQO9Tv7DCdoVAoFDyEy57hUCM8NrCcIaFQBrt8gPZioVAczWVttOOhUDwASpY1ZKFQOzLQwLWloVAMPQqzjmZhUDLQVm6mpqFQAhzbErYmoVAOAML0KOehUAhQiqV96KFQAa/ab3bpoVArsA/Yv2mhUAUvRTg1KqFQPaenDYIq4VAyuXELHqthUC4o7Aq/a6FQM9Zu/CGsoVA8P70HwKzhUCcmech3bWFQHKYQQact4VABj1Wise+hUDM97ou6r6FQK+qXoaiwoVA8F+yadTChUACZ5ub1M6FQNiL/Qd90oVA7D1+6q/WhUBuZaWdNtiFQIcDdqtC24VAUgz0yUDdhUAuVyxRjN2FQNCoAjTt3oVA/K7fJqTihUBgRSRh7+KFQAyVD15K44VAuEvO25zlhUDuYZxCcueFQKIh5A2854VAoNjH/z3ohUCIIOsUnOqFQBtMRf/O6oVABfTRHPPqhUDytBc/Iu2FQPb1Fm/z8oVAbcKTayP4hUA=</binary>
+					</binaryDataArray>
+					<binaryDataArray encodedLength="808">
+						<cvParam cvRef="MS" accession="MS:1000515" name="intensity array" unitAccession="MS:1000131" unitName="number of detector counts" unitCvRef="MS"/>
+						<cvParam cvRef="MS" accession="MS:1000521" name="32-bit float" />
+						<cvParam cvRef="MS" accession="MS:1000576" name="no compression" />
+						<binary>zO8CRM3udkTzSgJFivW9ROmb0kOY8o9E/2cjRKGod0Q7EctDmYBhRPOh+0N27AFEeNCiREalGESEpEJExgG7QzfJEUS8r8ZDa2LIQwi6KkSVFR1HtD5ERDFYCESsQNxG2RwdRss/0EOZVeNDOJozRX/mrUQ9qrFDVtzuQ/sBP0Rn/odFkdfiQwWiSEQ6xMdF2ZUfRQ5mPEQT3wFEdXfFQ3pIFEQFvMVD2uoxRJ1dtEMbVxdERA3LQ5B6eUSawdRDbivWQyO/f0QnEQdEWnkgRAf3zkNOOaZE5crUQ7mYgURIgwdEicHMQ1qNNEQDnGJEMnwFRDcDZETR/R5E1Sx7RPvug0ReNChEN9HuQ9+1J0TIrxRE9TWQRPlATkRBPMZDfVCBRFNo4UOYJe5EB8s1RAO8HkRq4VZEUsxcRAbFFUTKVQBEf+MEREJjlUR0RtxDMADfQ6URsURzxwlE3vKrQ4AWa0Q0QVNEbPHERBww+ETqc7tDnLX7Q/RUY0Tr7RlE5ZRZREWfz0W7hNRDG0XlQyTXAkV/BPFDUzWsQ3D4tEMob8VDIvoYRLFp00OtkcJDTKyeRTwNI0UfvKZEBlPmQwi6CETfR7hD437GQ97VxENZB91D6klPRN7Iw0Nu5S1ENF0CRPERAkRN2gdEZHXGQzHe0EMsYlNE9ESsQ6JtxUPlUMBDnr7hQ7+JI0TrSdVDqMm7Q1Ti20MNwXtFxyv0Q9S/7UPX69lDFzTWQ+11v0OhfupEPb3tQ+rvRkSFodJDqv/XQ6UJsEOH0gJEjZXaQ7HsrkNtzptDLMSjQw==</binary>
+					</binaryDataArray>
+				</binaryDataArrayList>
+			</spectrum>
+			<spectrum id="controllerType=0 controllerNumber=1 scan=6130" index="34" defaultArrayLength="741">
+				<cvParam cvRef="MS" accession="MS:1000127" name="centroid spectrum" />
+				<cvParam cvRef="MS" accession="MS:1000511" name="ms level" value="2" />
+				<cvParam cvRef="MS" accession="MS:1000294" name="mass spectrum" />
+				<cvParam cvRef="MS" accession="MS:1000130" name="positive scan" />
+				<cvParam cvRef="MS" accession="MS:1000504" name="base peak m/z" value="745.358825683594"/>
+				<cvParam cvRef="MS" accession="MS:1000505" name="base peak intensity" value="861.211547851562"/>
+				<cvParam cvRef="MS" accession="MS:1000285" name="total ion current" value="19048.876953125"/>
+				<cvParam cvRef="MS" accession="MS:1000528" name="lowest observed m/z" value="190.219467163086"/>
+				<cvParam cvRef="MS" accession="MS:1000527" name="highest observed m/z" value="1429.72058105469"/>
+				<userParam name="filter string" type="xsd:string" value="ITMS + c NSI d w Full ms2 670.01@cid35.00 [170.00-2000.00]"/>
+				<userParam name="preset scan configuration" type="xsd:string" value="3"/>
+				<scanList count="1">
+					<cvParam cvRef="MS" accession="MS:1000795" name="no combination" />
+					<scan >
+						<cvParam cvRef="MS" accession="MS:1000016" name="scan start time" value="4846.7625" unitAccession="UO:0000010" unitName="second" unitCvRef="UO" />
+						<userParam name="[Thermo Trailer Extra]Monoisotopic M/Z:" type="xsd:double" value="669.67578125"/>
+						<scanWindowList count="1">
+							<scanWindow>
+								<cvParam cvRef="MS" accession="MS:1000501" name="scan window lower limit" value="170" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+								<cvParam cvRef="MS" accession="MS:1000500" name="scan window upper limit" value="2000" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+							</scanWindow>
+						</scanWindowList>
+					</scan>
+				</scanList>
+				<precursorList count="1">
+					<precursor>
+						<isolationWindow>
+							<cvParam cvRef="MS" accession="MS:1000827" name="isolation window target m/z" value="669.67578125" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+							<cvParam cvRef="MS" accession="MS:1000828" name="isolation window lower offset" value="2" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+							<cvParam cvRef="MS" accession="MS:1000829" name="isolation window upper offset" value="2" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+						</isolationWindow>
+						<selectedIonList count="1">
+							<selectedIon>
+								<cvParam cvRef="MS" accession="MS:1000744" name="selected ion m/z" value="669.67578125" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+								<cvParam cvRef="MS" accession="MS:1000041" name="charge state" value="3" />
+								<cvParam cvRef="MS" accession="MS:1000042" name="peak intensity" value="6314.66796875" unitAccession="MS:1000132" unitName="percent of base peak" unitCvRef="MS" />
+							</selectedIon>
+						</selectedIonList>
+						<activation>
+							<cvParam cvRef="MS" accession="MS:1000133" name="collision-induced dissociation" />
+							<cvParam cvRef="MS" accession="MS:1000045" name="collision energy" value="35"/>
+						</activation>
+					</precursor>
+				</precursorList>
+				<binaryDataArrayList count="2">
+					<binaryDataArray encodedLength="7904">
+						<cvParam cvRef="MS" accession="MS:1000514" name="m/z array" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+						<cvParam cvRef="MS" accession="MS:1000523" name="64-bit float" />
+						<cvParam cvRef="MS" accession="MS:1000576" name="no compression" />
+						<binary>AAAA4AXHZ0AAAAAgEN1oQAAAAIDaQGlAAAAAQM6IaUAAAACgOAVqQAAAAAD1R2pAAAAAQA++akAAAAAANgFrQAAAAOCvZWtAAAAAIDuia0AAAABAxcdrQAAAACC/42tAAAAAoMwebEAAAAAgaEBsQAAAAICTgWxAAAAA4MelbEAAAAAAi+ZsQAAAAIBVBG1AAAAAQH9nbUAAAACgncZtQAAAAIDN3G1AAAAA4HX5bUAAAABAEiNuQAAAAAC3ZW5AAAAAALuHbkAAAADAvqBuQAAAAMBtxm5AAAAAoFHjbkAAAABAuQVvQAAAAEDLJW9AAAAAIIdYb0AAAAAAZ4VvQAAAAODUu29AAAAAICzgb0AAAADAXRNwQAAAAEBdMXBAAAAAYCBQcEAAAADgtGZwQAAAAMDpcHBAAAAA4KuBcEAAAADAjJNwQAAAAMC2r3BAAAAAwD3CcEAAAADA7tFwQAAAAKDO43BAAAAAQITwcEAAAADgOANxQAAAAMDyFnFAAAAAgKYpcUAAAADAnjVxQAAAAODtP3FAAAAAAF1gcUAAAACAHm9xQAAAAOBbgnFAAAAAgIeQcUAAAADAVKVxQAAAAMBvsnFAAAAAwCDCcUAAAADAMtVxQAAAAMAJ43FAAAAAgFX0cUAAAACg9ANyQAAAAGB2E3JAAAAAgCR1ckAAAAAAIoVyQAAAAIBYonJAAAAAwCy1ckAAAAAA/8NyQAAAAICE0nJAAAAAACLickAAAACApfJyQAAAAACuAXNAAAAAAOUQc0AAAACAqihzQAAAAEDNNHNAAAAAYIhFc0AAAAAAP1JzQAAAAMClYHNAAAAAQAV2c0AAAAAAm4BzQAAAAACRn3NAAAAAwCyzc0AAAABA9cVzQAAAAEDH+HNAAAAAgG0CdEAAAADARhR0QAAAAABRH3RAAAAAAE0wdEAAAABAGk10QAAAAMDiVnRAAAAAQJ1idEAAAACAPXJ0QAAAAID0gnRAAAAAAFOTdEAAAADg3qt0QAAAAIAit3RAAAAAgE7CdEAAAADAvc50QAAAAIA74nRAAAAAwCPzdEAAAACAHhV1QAAAAIB0M3VAAAAAwLJPdUAAAABAzWF1QAAAAIAOcXVAAAAAQHWCdUAAAADALpV1QAAAAEC9o3VAAAAAAJKzdUAAAAAAaMN1QAAAAACa0XVAAAAAAEzjdUAAAACA7/R1QAAAAMBGAnZAAAAAwIEfdkAAAABA/DB2QAAAAAD7QXZAAAAAQFpRdkAAAADAfWR2QAAAAECLcXZAAAAAAB2DdkAAAAAAr5F2QAAAAMA/onZAAAAAQOuudkAAAACAxMx2QAAAAICd43ZAAAAAAOn/dkAAAADAUxN3QAAAAEArIndAAAAAwDc3d0AAAAAAvkR3QAAAAMBqUndAAAAAwEZhd0AAAACA3nd3QAAAAMCxhXdAAAAAAFSPd0AAAAAAZqF3QAAAAEDtwXdAAAAAAIbdd0AAAADA1/R3QAAAAMAUBHhAAAAAwKISeEAAAAAAZiJ4QAAAAACFLXhAAAAAQJE/eEAAAABAM014QAAAAMAmYnhAAAAAwOB1eEAAAAAAxoR4QAAAAABTm3hAAAAAQBureEAAAABABrt4QAAAAMBOxnhAAAAAQCbieEAAAAAAQgR5QAAAAEBME3lAAAAAQN0geUAAAAAAkzJ5QAAAAIBzQnlAAAAAgDNUeUAAAABAT2p5QAAAAAAJdnlAAAAAQIeQeUAAAACA0Kl5QAAAAMCBtHlAAAAAwEXDeUAAAABAEtZ5QAAAAAA54nlAAAAAgEvxeUAAAACAYg96QAAAAEDIInpAAAAAwBIzekAAAADAnEJ6QAAAAAA7VHpAAAAAAAljekAAAADA8HN6QAAAAMDzfnpAAAAAAFuRekAAAADAdqJ6QAAAAAAJtHpAAAAAgArPekAAAABA0d96QAAAAAC38HpAAAAAgC8Be0AAAABAJBZ7QAAAAIClKXtAAAAAAGYze0AAAAAA2EJ7QAAAAIACWXtAAAAAAOJqe0AAAADAEYV7QAAAAEDjkntAAAAAgNi1e0AAAADA4sN7QAAAAMCm0ntAAAAAgCrje0AAAADAZPF7QAAAAEBJAnxAAAAAABgSfEAAAACA+iB8QAAAAECwNXxAAAAAwMNDfEAAAAAAE1V8QAAAAEBmYHxAAAAAQIVzfEAAAABAvIZ8QAAAAEC1o3xAAAAAgGa1fEAAAAAAltZ8QAAAAIB253xAAAAAAMP9fEAAAAAAXxJ9QAAAAAALIH1AAAAAgHA1fUAAAAAArUN9QAAAAMB/UH1AAAAAALBifUAAAABA0nl9QAAAAICvjn1AAAAAAAWZfUAAAADAsaR9QAAAAADxsn1AAAAAwNPDfUAAAADAWtJ9QAAAAMCE4X1AAAAAQET9fUAAAADAYQ1+QAAAAECGIn5AAAAAAAAwfkAAAADAYkR+QAAAAMAgVH5AAAAAQGVkfkAAAAAAcH1+QAAAAEBWi35AAAAAwNmhfkAAAAAA1a1+QAAAAICcun5AAAAAgDXKfkAAAADA39V+QAAAAMCM335AAAAAAJbyfkAAAACAUAJ/QAAAAICyD39AAAAAACAlf0AAAABA7jR/QAAAAEArQn9AAAAAQGJUf0AAAACAomd/QAAAAABGdX9AAAAAgD2Tf0AAAABAzqR/QAAAAID/s39AAAAAQDHHf0AAAADARtl/QAAAAIBg8H9AAAAAQMX+f0AAAAAAJQiAQAAAACCnFYBAAAAAIKcjgEAAAAAgcTCAQAAAAOBkOoBAAAAAYCBDgEAAAABAnkiAQAAAACBIWoBAAAAA4G9ggEAAAADgpWmAQAAAAEB0cYBAAAAAAE18gEAAAADAYIKAQAAAACDAioBAAAAAYOGSgEAAAACgiZqAQAAAACC5pIBAAAAAYA6wgEAAAADg1biAQAAAAKBGwoBAAAAAIDPLgEAAAADg0tGAQAAAACBk14BAAAAAIArigEAAAADgj+mAQAAAAKBr8YBAAAAA4Av4gEAAAABg0v2AQAAAACDpAoFAAAAA4HMNgUAAAAAAqRaBQAAAAODlIIFAAAAAwNgrgUAAAABgnTyBQAAAAKAhTYFAAAAA4O9VgUAAAACgQVuBQAAAAGDvYoFAAAAAoA5sgUAAAADgZXWBQAAAAMB0e4FAAAAAIDSHgUAAAACAHI+BQAAAAECbmIFAAAAAIG2hgUAAAADguKmBQAAAAGDhsYFAAAAAwIi5gUAAAADAq8GBQAAAACBayoFAAAAAoGDSgUAAAACgYtuBQAAAAGB14oFAAAAAYDDwgUAAAABgqfqBQAAAAAASCYJAAAAAwKkPgkAAAAAgvRmCQAAAAOBfIYJAAAAAgH8ogkAAAACg/DGCQAAAAGAhOoJAAAAA4ElBgkAAAACg50uCQAAAAKDNUYJAAAAAAHNagkAAAABglWeCQAAAAOCdboJAAAAAoNN1gkAAAAAgJHyCQAAAAGDFg4JAAAAAQPWOgkAAAAAAYZyCQAAAAID2ooJAAAAAoJuogkAAAABA8rGCQAAAACBCuoJAAAAA4DPCgkAAAABA282CQAAAAECt04JAAAAAAOfZgkAAAABg2OGCQAAAAIAS7IJAAAAAYK/xgkAAAACgg/eCQAAAAMA/AINAAAAAgN0Gg0AAAABgpRGDQAAAAEBDGYNAAAAAYFMig0AAAAAgSCyDQAAAAGDlNINAAAAAIJk+g0AAAADAGUaDQAAAACDeTYNAAAAAAKJTg0AAAACgfVyDQAAAAADgZINAAAAAoAVsg0AAAADAQHGDQAAAACALgINAAAAA4CiHg0AAAABg5Y+DQAAAAGD1mINAAAAAwIGgg0AAAABgrqeDQAAAAGDBr4NAAAAAQPm5g0AAAAAg88iDQAAAAOAo0INAAAAAAA3Xg0AAAAAA+92DQAAAAIC/5oNAAAAAoAfwg0AAAADAnfiDQAAAAMCR/4NAAAAAoBoLhEAAAABgtRGEQAAAAKCQGYRAAAAAgJEfhEAAAACgy2eEQAAAACCqcYRAAAAA4CF5hEAAAACAf4SEQAAAAEBJrYRAAAAAoOzLhEAAAADgXPiEQAAAACDOAYVAAAAAoAEUhUAAAADgjRqFQAAAAGDiL4VAAAAAYMo7hUAAAAAAs1qFQAAAAOByY4VAAAAAQLdohUAAAAAgB3SFQAAAAAB9eoVAAAAAgBGDhUAAAAAg1YuFQAAAAGD3koVAAAAAoLaghUAAAABg5KyFQAAAAADPuIVAAAAAID3DhUAAAACgFMyFQAAAAOAf0oVAAAAAYPjnhUAAAAAgLvCFQAAAAKCY+4VAAAAAgJkBhkAAAACgrwmGQAAAACC8GoZAAAAAII4rhkAAAABgkTCGQAAAACBUN4ZAAAAAIC5EhkAAAADgok+GQAAAAMA2W4ZAAAAAoO1hhkAAAADgRH2GQAAAAECIh4ZAAAAAIAWQhkAAAACAL5mGQAAAAODuoYZAAAAAIKGohkAAAADgbLWGQAAAAOA8vIZAAAAA4MzBhkAAAADAXdeGQAAAAMC23oZAAAAAgFPshkAAAAAg/vSGQAAAAKCS+4ZAAAAAoBwCh0AAAACgsgmHQAAAAMBZEIdAAAAA4JIah0AAAAAgVCKHQAAAACBTJ4dAAAAAgDc0h0AAAAAghjqHQAAAAGD3QodAAAAA4N5Kh0AAAADgXVCHQAAAAKANWIdAAAAAIO1fh0AAAABATmmHQAAAAIDFcIdAAAAA4AB3h0AAAABg4YaHQAAAAMCrkIdAAAAAYKiVh0AAAADAeKaHQAAAAADCrYdAAAAAgH21h0AAAABgncCHQAAAAACJxodAAAAAoFjNh0AAAABgBN2HQAAAACDL5YdAAAAAYL3th0AAAACgufSHQAAAAID++YdAAAAAoJr/h0AAAAAA8wqIQAAAAID3E4hAAAAAoLsbiEAAAAAgkCOIQAAAAABxLIhAAAAAYBE0iEAAAACgxzqIQAAAAIC5P4hAAAAAoOpFiEAAAAAgHk6IQAAAAOCjVohAAAAA4LxfiEAAAACglmSIQAAAAGC2bIhAAAAAYAB4iEAAAACAJ3+IQAAAACASj4hAAAAA4CyUiEAAAABAn5qIQAAAAGBFpYhAAAAAYC60iEAAAADAAcqIQAAAAOC52IhAAAAA4EngiEAAAACgkeWIQAAAAGDA9ohAAAAA4GIAiUAAAABAlQmJQAAAACD3E4lAAAAA4IsgiUAAAAAgiymJQAAAAOBFNYlAAAAA4NE9iUAAAACAUUeJQAAAACBnV4lAAAAAIF9eiUAAAABgYmSJQAAAAECoaolAAAAAYPt/iUAAAACg7oaJQAAAAGCWkIlAAAAAIHeViUAAAAAAA5+JQAAAACC6pIlAAAAAwFmwiUAAAABAOLqJQAAAACB6wIlAAAAAQGvSiUAAAADgoNuJQAAAAGC444lAAAAAYCrsiUAAAADATPGJQAAAAMCg/IlAAAAAQBsIikAAAACgJxeKQAAAAECcHIpAAAAA4MEjikAAAAAgWSqKQAAAAAA5OYpAAAAAYCM+ikAAAACAp0OKQAAAAEDISopAAAAAYI1likAAAADg2mqKQAAAAKAddYpAAAAAoAh7ikAAAADgLYSKQAAAAID2qIpAAAAAIPaxikAAAAAAJrmKQAAAAICdzIpAAAAAgFfXikAAAACgB+GKQAAAAIBf64pAAAAAIFD0ikAAAACgHfqKQAAAAGCdA4tAAAAAoNELi0AAAACAthCLQAAAAKAoHItAAAAAgMgni0AAAADgDy+LQAAAAICYNItAAAAAAO9Gi0AAAAAgqk2LQAAAAGDEUotAAAAAIL5bi0AAAACgaWWLQAAAACCrcYtAAAAAoLd5i0AAAACgGIKLQAAAAOBEjItAAAAAgF+Vi0AAAABgS6mLQAAAAACssotAAAAAAKy7i0AAAADgfsOLQAAAAIDoyotAAAAAgB3Ti0AAAACg4NyLQAAAAOBM5ItAAAAAYP7pi0AAAABgDO+LQAAAAAA++4tAAAAAILUBjEAAAAAAPAqMQAAAAOCIEYxAAAAAgNkWjEAAAACAWCSMQAAAAICEKYxAAAAAYM0vjEAAAADgHkSMQAAAAAAVTYxAAAAAIDdTjEAAAACg0liMQAAAAGDFYoxAAAAAYLF3jEAAAACgMoOMQAAAAODjloxAAAAA4L+kjEAAAAAAQa+MQAAAACA2tIxAAAAAoOG+jEAAAACgJsiMQAAAAADw1YxAAAAAALnujEAAAABg4/SMQAAAAKBJ/IxAAAAAIGcRjUAAAACAdBiNQAAAAOA6KY1AAAAAYHE0jUAAAACAjEWNQAAAAABDWo1AAAAAACBmjUAAAADgCHaNQAAAAADniI1AAAAAIOOQjUAAAABgzMeNQAAAAKCT1I1AAAAAYO7bjUAAAACArOONQAAAAID0641AAAAAoMfyjUAAAACAaf2NQAAAAIAlDI5AAAAAIHERjkAAAACgUx2OQAAAAOCZJY5AAAAAIAIujkAAAAAg5juOQAAAAKB6UI5AAAAA4D1WjkAAAACgRl2OQAAAACCdd45AAAAAIDGCjkAAAACAmo2OQAAAAIDFko5AAAAA4HihjkAAAACACqiOQAAAAIDaxI5AAAAAIIzLjkAAAADg5NKOQAAAAOA9245AAAAAIFnijkAAAAAAhe2OQAAAAGBf945AAAAAgH/9jkAAAAAgMRuPQAAAACA+Ko9AAAAAYAk1j0AAAADg902PQAAAAIDmUo9AAAAAgBdYj0AAAACAH2OPQAAAAGAZeI9AAAAA4Pp8j0AAAACAoIKPQAAAAGBBjY9AAAAAYJCyj0AAAAAg1rePQAAAACC0yo9AAAAAIDzVj0AAAAAAN+uPQAAAAABBAJBAAAAAwK0FkEAAAADAvhCQQAAAAADfFZBAAAAAYEUekEAAAACAmCGQQAAAAIB7JZBAAAAAQCEpkEAAAACg2zGQQAAAAIDTO5BAAAAAoItCkEAAAAAgMkmQQAAAAOC/TZBAAAAAIAJRkEAAAACg0VOQQAAAACDNWJBAAAAAAA5ckEAAAAAA516QQAAAACBIZpBAAAAAgPdtkEAAAADA932QQAAAAAALgpBAAAAAAFKGkEAAAACgHIqQQAAAAMDgjZBAAAAA4JGSkEAAAAAA/JWQQAAAAIDSmZBAAAAAQNqukEAAAAAAFLaQQAAAAOCJu5BAAAAAIOPEkEAAAACAwsiQQAAAAIDZzZBAAAAAgBXRkEAAAADgkdWQQAAAAOCJ2JBAAAAAQLHgkEAAAAAA0uyQQAAAAMAq9ZBAAAAAACsGkUAAAADgRRGRQAAAAICeGJFAAAAAwBYmkUAAAAAAkDWRQAAAAEA1QJFAAAAAQN1IkUAAAACgtkyRQAAAAECkVJFAAAAAoIFdkUAAAACgHWaRQAAAAKDXaZFAAAAAgI1skUAAAABAbnCRQAAAAECjdJFAAAAAgH96kUAAAAAgmYKRQAAAAGBXhZFAAAAAANCJkUAAAABga5CRQAAAAIDfqZFAAAAAANSskUAAAACAA7mRQAAAACBVwZFAAAAAYLDVkUAAAACAPdiRQAAAACDM2pFAAAAAQP3nkUAAAAAA4vCRQAAAACB8AZJAAAAAoBQEkkAAAADAVjaSQAAAAEDwOZJAAAAAgHRNkkAAAACAkVSSQAAAAOCWV5JAAAAAoFBlkkAAAADgcnaSQAAAAEBOgZJAAAAAQCKOkkAAAADAuZGSQAAAAODumZJAAAAAYDWdkkAAAADAY7KSQAAAAMCfzpJAAAAA4BjxkkAAAAAAiCWTQAAAAGAmbZNAAAAAgNB4k0AAAABgBH6TQAAAAIBYEpRAAAAAYBqNlEAAAADgbQyVQAAAACCcFZVAAAAAoJgklUAAAADAz6mVQAAAAODhVpZA</binary>
+					</binaryDataArray>
+					<binaryDataArray encodedLength="3952">
+						<cvParam cvRef="MS" accession="MS:1000515" name="intensity array" unitAccession="MS:1000131" unitName="number of detector counts" unitCvRef="MS"/>
+						<cvParam cvRef="MS" accession="MS:1000521" name="32-bit float" />
+						<cvParam cvRef="MS" accession="MS:1000576" name="no compression" />
+						<binary>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</binary>
+					</binaryDataArray>
+				</binaryDataArrayList>
+			</spectrum>
+			<spectrum id="controllerType=0 controllerNumber=1 scan=6131" index="35" defaultArrayLength="134">
+				<cvParam cvRef="MS" accession="MS:1000127" name="centroid spectrum" />
+				<cvParam cvRef="MS" accession="MS:1000511" name="ms level" value="1" />
+				<cvParam cvRef="MS" accession="MS:1000294" name="mass spectrum" />
+				<cvParam cvRef="MS" accession="MS:1000130" name="positive scan" />
+				<cvParam cvRef="MS" accession="MS:1000504" name="base peak m/z" value="544.296691894531"/>
+				<cvParam cvRef="MS" accession="MS:1000505" name="base peak intensity" value="183400.96875"/>
+				<cvParam cvRef="MS" accession="MS:1000285" name="total ion current" value="4225661"/>
+				<cvParam cvRef="MS" accession="MS:1000528" name="lowest observed m/z" value="399.996147208766"/>
+				<cvParam cvRef="MS" accession="MS:1000527" name="highest observed m/z" value="1404.93808056783"/>
+				<userParam name="filter string" type="xsd:string" value="FTMS + p NSI Full ms [400.00-1400.00]"/>
+				<userParam name="preset scan configuration" type="xsd:string" value="1"/>
+				<scanList count="1">
+					<cvParam cvRef="MS" accession="MS:1000795" name="no combination" />
+					<scan >
+						<cvParam cvRef="MS" accession="MS:1000016" name="scan start time" value="4847.2537" unitAccession="UO:0000010" unitName="second" unitCvRef="UO" />
+						<scanWindowList count="1">
+							<scanWindow>
+								<cvParam cvRef="MS" accession="MS:1000501" name="scan window lower limit" value="400" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+								<cvParam cvRef="MS" accession="MS:1000500" name="scan window upper limit" value="1400" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+							</scanWindow>
+						</scanWindowList>
+					</scan>
+				</scanList>
+				<binaryDataArrayList count="2">
+					<binaryDataArray encodedLength="1432">
+						<cvParam cvRef="MS" accession="MS:1000514" name="m/z array" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+						<cvParam cvRef="MS" accession="MS:1000523" name="64-bit float" />
+						<cvParam cvRef="MS" accession="MS:1000576" name="no compression" />
+						<binary>VgZ3qYWqhEA3UmklyaqEQIKUIQkIq4RA+GrNp3eyhEB/nb9Om7KEQHWzK5fPsoRAJi51dRS0hEA+5F/ArrWEQDFh5N5Bt4RASon+ROu4hEAeqDH9ybqEQJyJ6zvPwoRAUdVs1I/FhEAta1T0x8aEQNPFQ3GpyoRAqOiQBc/KhEAWWxQZEtKEQMqj961K1oRAZn2go+bWhEClOYbebNmEQAJZSd1O2oRACDKTVenahEAGgaeGpNuEQNtdLBd63YRAn61SVO3ehEAQCrCNC+CEQJr/zqBu4YRAZGIBjO/ihEB0h03s8OaEQNHYeoj36oRAPxi4W2rthEAkPu1G/O6EQACh3HkX8IRAqYrnV8fyhECUyzTb//KEQPEygapx9YRAiLAwH832hEBwJh0NBveEQN4sZnRg+YRAtLMjYsr6hEB8xZeLE/yEQGtklXPW/oRAgy035QX/hEC+W2C1BwOFQAkHmvMPB4VAC9mq0e8KhUAEX9ZKFguFQABTREkUD4VAZCL38PUQhUDQ73NBNRWFQNliSO4cF4VAdE5M1iMbhUDEs6R0Jx+FQJ2IzHdnIoVAO1BqdykjhUBxBbPFKSeFQPZcwyP7KIVA7uNkDTArhUDgT6Cn+CuFQG4OszUyL4VA9uCiW5UyhUAmOOmfNTOFQKO/IMOHM4VArQdVPgM0hUB1luZ0NTSFQMyqPIU5N4VAPGTP76Q3hUB//3wJHTiFQHi7TYxFOIVATIB8zDo7hUBdU27XvDuFQAKf6QMIPIVAM8gSqTk8hUB2p+89YTyFQDZD0pqJPoVAfaycr4ZAhUAOB3KqQUOFQP45NAloRYVAL2Afh4xGhUA+CzJznEeFQDYkRZtFS4VAwMpe/0tPhUA44HnSalKFQNyhFUH0UoVA7sjxhVJThUCJ0Zcv8VaFQFqo441VV4VAOmD0irBahUBAm1ghtF6FQJVn+A9cX4VA+uTgt6JhhUDuacw70mKFQA0XMZtaY4VA/ovEQK9mhUAQnR4g1WaFQNzMHqpfZ4VA3IUicaNphUCGa+sFxWqFQPAp3tsia4VApN0WI3xuhUDsJT/nvW6FQKNkUEDpboVAg8zc2R5vhUCkuOBcMHCFQPoy9ZmUcYVAPv3q5ihzhUANGifQ4n6FQDQdhdLSjoVAZjnV8dCQhUC+n7O/15KFQIImKZ/VloVAdGEqx9iehUABZ7GJjp+FQA74FX1+ooVAspFwGtSihUCKdA0e/qqFQPQHQ6X/roVABFjRffSyhUChXxLD/LaFQBgQZIH6uoVAwq//t9zKhUC0fIEXA8+FQEhluHeVz4VAH5ViG43XhUC3atvQNNiFQHCgLs3c2YVAUOWbqULbhUCwHchr8d6FQD5m+MLs4oVA5sEraEvjhUDu/tM86uqFQLyHb+Yv7oVAReqOv23whUCC3F+xgPSFQA==</binary>
+					</binaryDataArray>
+					<binaryDataArray encodedLength="716">
+						<cvParam cvRef="MS" accession="MS:1000515" name="intensity array" unitAccession="MS:1000131" unitName="number of detector counts" unitCvRef="MS"/>
+						<cvParam cvRef="MS" accession="MS:1000521" name="32-bit float" />
+						<cvParam cvRef="MS" accession="MS:1000576" name="no compression" />
+						<binary>dyasQ4TntUOY2stDr5UIRDf7DESRjHZEb1JnRGNmgkTz8htErF/WQwd3uUOtXpJExAUTRM4rlETarcpDcHQHRFQz9UPo9JdEulL8RrMkeUTYOhVEH3KvRn+kx0NSlMtDHKOaRbd/nUMaAFpEuWQoRdydE0QqHNVD8NgJRpPeSESUvvpFLFRFRfsc2EPEOKJEqg4gROWPN0TzDqlDt5b/Q3rOsEP1g7FDCBrfQ0m+LkTD6ylEHhytQ1Zg4EOn8ENEPpOuQ3P6qEO5tTVE7AZrRBRfV0SNMbJDk8VzRKbIR0SGo9BD8G5AREFttEOCLz1ECy2wQ8pCl0REJ65DN1gFRAGh80MxmlBE2ZTDQ4vvIkTksL5DM2SCRB3sqkMkPRdEU5ygRF0UXkRYorpDdkGqQ62BaERuPc9Dov2dQxkPW0Sz8Q9E8RIgRJBP3kN+7wJEn6JxRCUyC0R3yRdEtPiyRPmDGkQ/EvZDsR8XRWqF3kRgxOVDuZrAQ4dalERDw6pDES5FRC8hKURfs6pFuvC9Q9g8rEOZ+KdDLAfzQ7+DpkNq8c9DywktRev23kNZWbdFtKUlRHDEUkWYrYZEV0TnQ3Udr0Nr/aVD6C7WQ4iLB0R4hfpDn9QDRI1f0UOpPw1E0SbgQ0vewkNYONRDISQPRA3z7UP58dJDwbOMRXPe/0MD8bhD8bbbRG0tzUMwI9VDCqKsQ0g4r0M=</binary>
+					</binaryDataArray>
+				</binaryDataArrayList>
+			</spectrum>
+			<spectrum id="controllerType=0 controllerNumber=1 scan=6132" index="36" defaultArrayLength="739">
+				<cvParam cvRef="MS" accession="MS:1000127" name="centroid spectrum" />
+				<cvParam cvRef="MS" accession="MS:1000511" name="ms level" value="2" />
+				<cvParam cvRef="MS" accession="MS:1000294" name="mass spectrum" />
+				<cvParam cvRef="MS" accession="MS:1000130" name="positive scan" />
+				<cvParam cvRef="MS" accession="MS:1000504" name="base peak m/z" value="745.542175292969"/>
+				<cvParam cvRef="MS" accession="MS:1000505" name="base peak intensity" value="1665.59289550781"/>
+				<cvParam cvRef="MS" accession="MS:1000285" name="total ion current" value="21811.66796875"/>
+				<cvParam cvRef="MS" accession="MS:1000528" name="lowest observed m/z" value="190.314758300781"/>
+				<cvParam cvRef="MS" accession="MS:1000527" name="highest observed m/z" value="1498.33508300781"/>
+				<userParam name="filter string" type="xsd:string" value="ITMS + c NSI d w Full ms2 669.68@cid35.00 [170.00-2000.00]"/>
+				<userParam name="preset scan configuration" type="xsd:string" value="2"/>
+				<scanList count="1">
+					<cvParam cvRef="MS" accession="MS:1000795" name="no combination" />
+					<scan >
+						<cvParam cvRef="MS" accession="MS:1000016" name="scan start time" value="4848.5841" unitAccession="UO:0000010" unitName="second" unitCvRef="UO" />
+						<userParam name="[Thermo Trailer Extra]Monoisotopic M/Z:" type="xsd:double" value="669.676452636719"/>
+						<scanWindowList count="1">
+							<scanWindow>
+								<cvParam cvRef="MS" accession="MS:1000501" name="scan window lower limit" value="170" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+								<cvParam cvRef="MS" accession="MS:1000500" name="scan window upper limit" value="2000" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+							</scanWindow>
+						</scanWindowList>
+					</scan>
+				</scanList>
+				<precursorList count="1">
+					<precursor>
+						<isolationWindow>
+							<cvParam cvRef="MS" accession="MS:1000827" name="isolation window target m/z" value="669.676452636719" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+							<cvParam cvRef="MS" accession="MS:1000828" name="isolation window lower offset" value="2" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+							<cvParam cvRef="MS" accession="MS:1000829" name="isolation window upper offset" value="2" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+						</isolationWindow>
+						<selectedIonList count="1">
+							<selectedIon>
+								<cvParam cvRef="MS" accession="MS:1000744" name="selected ion m/z" value="669.676452636719" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+								<cvParam cvRef="MS" accession="MS:1000041" name="charge state" value="3" />
+								<cvParam cvRef="MS" accession="MS:1000042" name="peak intensity" value="8583.7646484375" unitAccession="MS:1000132" unitName="percent of base peak" unitCvRef="MS" />
+							</selectedIon>
+						</selectedIonList>
+						<activation>
+							<cvParam cvRef="MS" accession="MS:1000133" name="collision-induced dissociation" />
+							<cvParam cvRef="MS" accession="MS:1000045" name="collision energy" value="35"/>
+						</activation>
+					</precursor>
+				</precursorList>
+				<binaryDataArrayList count="2">
+					<binaryDataArray encodedLength="7884">
+						<cvParam cvRef="MS" accession="MS:1000514" name="m/z array" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+						<cvParam cvRef="MS" accession="MS:1000523" name="64-bit float" />
+						<cvParam cvRef="MS" accession="MS:1000576" name="no compression" />
+						<binary>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</binary>
+					</binaryDataArray>
+					<binaryDataArray encodedLength="3944">
+						<cvParam cvRef="MS" accession="MS:1000515" name="intensity array" unitAccession="MS:1000131" unitName="number of detector counts" unitCvRef="MS"/>
+						<cvParam cvRef="MS" accession="MS:1000521" name="32-bit float" />
+						<cvParam cvRef="MS" accession="MS:1000576" name="no compression" />
+						<binary>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</binary>
+					</binaryDataArray>
+				</binaryDataArrayList>
+			</spectrum>
+			<spectrum id="controllerType=0 controllerNumber=1 scan=6135" index="37" defaultArrayLength="154">
+				<cvParam cvRef="MS" accession="MS:1000127" name="centroid spectrum" />
+				<cvParam cvRef="MS" accession="MS:1000511" name="ms level" value="1" />
+				<cvParam cvRef="MS" accession="MS:1000294" name="mass spectrum" />
+				<cvParam cvRef="MS" accession="MS:1000130" name="positive scan" />
+				<cvParam cvRef="MS" accession="MS:1000504" name="base peak m/z" value="544.296508789062"/>
+				<cvParam cvRef="MS" accession="MS:1000505" name="base peak intensity" value="185876.5"/>
+				<cvParam cvRef="MS" accession="MS:1000285" name="total ion current" value="4324681.5"/>
+				<cvParam cvRef="MS" accession="MS:1000528" name="lowest observed m/z" value="399.995660723398"/>
+				<cvParam cvRef="MS" accession="MS:1000527" name="highest observed m/z" value="1404.93637183072"/>
+				<userParam name="filter string" type="xsd:string" value="FTMS + p NSI Full ms [400.00-1400.00]"/>
+				<userParam name="preset scan configuration" type="xsd:string" value="1"/>
+				<scanList count="1">
+					<cvParam cvRef="MS" accession="MS:1000795" name="no combination" />
+					<scan >
+						<cvParam cvRef="MS" accession="MS:1000016" name="scan start time" value="4849.9526" unitAccession="UO:0000010" unitName="second" unitCvRef="UO" />
+						<scanWindowList count="1">
+							<scanWindow>
+								<cvParam cvRef="MS" accession="MS:1000501" name="scan window lower limit" value="400" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+								<cvParam cvRef="MS" accession="MS:1000500" name="scan window upper limit" value="1400" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+							</scanWindow>
+						</scanWindowList>
+					</scan>
+				</scanList>
+				<binaryDataArrayList count="2">
+					<binaryDataArray encodedLength="1644">
+						<cvParam cvRef="MS" accession="MS:1000514" name="m/z array" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+						<cvParam cvRef="MS" accession="MS:1000523" name="64-bit float" />
+						<cvParam cvRef="MS" accession="MS:1000576" name="no compression" />
+						<binary>29cGc4uvhEDDsYx3nq+EQHwERZt9soRAs8jTF6GyhEABkSqJuLKEQITq5tz8soRA0Cw2FhG0hECGYuNrrbWEQMgLs8LXtoRAoq8pW0i3hEBLXgVH3LiEQARWOSZruoRAkn4II9q6hEA2GrqN9LqEQLoI/+YVvIRAfOEJp0u9hEAu1j3G276EQN2TZZnIwoRAocyM6ZXEhEDehps8PcWEQKzR5FmLxYRANPultUTGhEDAmXLZycaEQJmOQW/PyoRAH5YutsrNhEC122LDyM6EQFiN/3kFz4RArAi0SO7PhEDUIIunQ9CEQKpzZtpi0oRALzI468PShED8iMeeDNaEQFb5yGFM1oRAHMnTgebWhEDAy0z6UdqEQLil0d7p2oRAf/barVfdhEA4wO3fsN6EQKHG/Yrs3oRA6PsS92fhhEDk2qda8uKEQDTyDDb45oRAue4aHR3qhECiSMOPwOqEQMyh0iER7IRAX4k8fWrthECwul3/9u6EQG5JqrAW8IRAsb2UM8TyhEDMBszxcfWEQH6NeJfP9oRAZNp59f72hEBJPXasGPiEQN1N0LoI+YRA6EZhrj/5hEAzyTxQlvqEQOIP8lXZ+oRAIvKaPAX7hEBW4jk7DwOFQBWf7tOnBYVAjk0597wGhUAyS02bEgeFQO7GK1IaD4VAzGkX/+UQhUCSoP3SGxOFQDqBDW0RFoVA92aBwiEXhUCwA5qlFRqFQNvDUFqqGoVAIBzimCMbhUA/uCCXJB+FQNTsaarIIoVA3l9M0ignhUCEPlL2mCeFQABAnUwvK4VAoEb89v0rhUD8g+hVLi+FQJmbTGkxM4VAxD3ZgdwzhUDJZK5/0jSFQPwThvwxN4VAGtc5thg4hUDVv/LpNjiFQJDxIX1CO4VAyttuWOI7hUBShKqeGDyFQAWvYLQ2PIVAxzFywE48hUBCk4x8fD2FQNRdFc9WPoVANC4U9Ds/hUC+EZ3nREOFQATQXb5FRoVAZO8EwUdHhUAyYOOwCkuFQOabhhjqUoVA8jig1k9ThUCnCpQualqFQDxiQsyzWoVA5nIOZVxbhUDwvV6KHVyFQAaYtphVXYVAYPOf0bFehUC7wTNI9GCFQHghGN6jYYVAlpga765ihUCofp+O0WKFQJkc8ibTZoVAgftyLmVnhUDuIeQ9ommFQHt+5D2oaoVALRID8SJrhUC1Ar43ZG+FQIDbeHCccYVAdLJ2z+VyhUDxZ+OwKXOFQLwiVK2ieYVAHinrqMl6hUAEjqimL3uFQCPE8mide4VA/J9O06B+hUDbBp7bzX6FQDi67rSNgoVAEgfDVuSGhUDOzcuJn46FQKokLcLTjoVAxNb/yAqPhUDGxX2Xl4+FQBIalSrWkoVAggmnDdmWhUBkWNV8GJiFQID7ixykmoVATL4ZxNmihUCtn25R16aFQJgohYL/poVAgcwVFYuqhUB+gUmckK6FQKWT0dH7roVAsDdJ1PyyhUC8Fg4DibiFQDiJgs6XxoVAQniEkaHThUDE7NqjLNiFQECtTd2B2YVAN8L1U0PbhUBCKme+TN2FQN9PL1RA4IVAtqeWGEzjhUAuuhV7uuqFQLLB1PnF7YVAkO/19JryhUD4iLh+CPOFQLboCkps9IVAuKMBRWX3hUA=</binary>
+					</binaryDataArray>
+					<binaryDataArray encodedLength="824">
+						<cvParam cvRef="MS" accession="MS:1000515" name="intensity array" unitAccession="MS:1000131" unitName="number of detector counts" unitCvRef="MS"/>
+						<cvParam cvRef="MS" accession="MS:1000521" name="32-bit float" />
+						<cvParam cvRef="MS" accession="MS:1000576" name="no compression" />
+						<binary>JP+1Q7wfpUOi0QZEWaXOQ2XAoEPJDPlDWD2SRFYkLkTjOAhE2C+cQ+bQyENZiaxDDp7nQw1mrUNs38JDSDmrQ2NfvkOYNRNFoce7Q1ANfER1XEREscuoQ53vgkQ1GA1ETFnTQxmduUNA2rtDCRaiQywZL0S2eshD90KiQyy6EETYMFBEssyuRjRHfkTpe2lGEqnmQyQ0tENn3ptFPKrFQ65biUSxE+lDXu2sQ0Im4kPWbL5DbCtjRhKhpEOAS1ZG0ULlRaEgFUVK95xEchvuQ9vCGkQ1+fRDM6a9QwJ740M2DbhD2fQxRAYDc0ROl5tDJaOzQ0y9FUSnXcBDi678Q3EV40OQJqNDjUsWRMQgx0MsZvNDehfhQ/K+w0PL6upD7YMMRPwJn0MjQyJELHmgQ0+GBkR/pV9EgyGjQ7gjqkN6QOFDbMsbRDmLJUStqw5EJIvIQ0iafES98qBEWJpWRFxdqENqNcJDqiEhRE5vDUSyBbtDbAzUQ1g/5EO5BApEGlkDRKHNk0M63apE2j/WQ0sU2kOLpABE7Gr3Q8R+pUNUywpFijusQ5/arUSWoipEvcCkQwuMA0SXUKNDD8W7RXjpnENZ/SREDsa+Q+bkIEXkLMFDah+0Q08gGkRyF7BDoPLAQ1gk7UNnp7RDwbCmQ1p1NkSQcJRFgVqlQ8JVZERRRDdFMQWERAJErUOObq1D0q1NRNwJ/0O2p0hEjQnPQ7D/okPsibJDFv8CRN9aIUSLJqlDwGtdRI/fAUSrT+ZDfMSURSTDJUSCD65DALK8RJFzCERJ/bFDSRR8RLnLm0O9waxDw7apQw==</binary>
+					</binaryDataArray>
+				</binaryDataArrayList>
+			</spectrum>
+			<spectrum id="controllerType=0 controllerNumber=1 scan=6138" index="38" defaultArrayLength="134">
+				<cvParam cvRef="MS" accession="MS:1000127" name="centroid spectrum" />
+				<cvParam cvRef="MS" accession="MS:1000511" name="ms level" value="1" />
+				<cvParam cvRef="MS" accession="MS:1000294" name="mass spectrum" />
+				<cvParam cvRef="MS" accession="MS:1000130" name="positive scan" />
+				<cvParam cvRef="MS" accession="MS:1000504" name="base peak m/z" value="544.296569824219"/>
+				<cvParam cvRef="MS" accession="MS:1000505" name="base peak intensity" value="184586.59375"/>
+				<cvParam cvRef="MS" accession="MS:1000285" name="total ion current" value="4236897.5"/>
+				<cvParam cvRef="MS" accession="MS:1000528" name="lowest observed m/z" value="399.995879045893"/>
+				<cvParam cvRef="MS" accession="MS:1000527" name="highest observed m/z" value="1404.93713867863"/>
+				<userParam name="filter string" type="xsd:string" value="FTMS + p NSI Full ms [400.00-1400.00]"/>
+				<userParam name="preset scan configuration" type="xsd:string" value="1"/>
+				<scanList count="1">
+					<cvParam cvRef="MS" accession="MS:1000795" name="no combination" />
+					<scan >
+						<cvParam cvRef="MS" accession="MS:1000016" name="scan start time" value="4852.1817" unitAccession="UO:0000010" unitName="second" unitCvRef="UO" />
+						<scanWindowList count="1">
+							<scanWindow>
+								<cvParam cvRef="MS" accession="MS:1000501" name="scan window lower limit" value="400" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+								<cvParam cvRef="MS" accession="MS:1000500" name="scan window upper limit" value="1400" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+							</scanWindow>
+						</scanWindowList>
+					</scan>
+				</scanList>
+				<binaryDataArrayList count="2">
+					<binaryDataArray encodedLength="1432">
+						<cvParam cvRef="MS" accession="MS:1000514" name="m/z array" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+						<cvParam cvRef="MS" accession="MS:1000523" name="64-bit float" />
+						<cvParam cvRef="MS" accession="MS:1000576" name="no compression" />
+						<binary>ZpbbYbaqhECB+LxGhbKEQEqPhv4ItIRAAgBhmay1hEAqhg67YbaEQLIhKoNHt4RA1hFzPdu3hEBs0Q1yL7iEQOJIUULquIRASJxxLH+6hEA6rhmR1LqEQFBPpECLvYRAwsnPjeC+hEAbwQwUh8KEQGhAHljLwoRACjBZD5DFhEDLbWwgOMaEQFj+c3rLxoRAlp3a1THIhECCyHlG1sqEQAzQyB74zoRABMoxZEjQhEBL6dMkntKEQMyXd+jp0oRAaD/Am0PWhEBeSNcZ5taEQKI+WNlu2YRAnjvcd+rahEBqlyZNVN6EQGnoOcfr3oRAEMYFG27hhEBUlyFtVOKEQNi9QcLs4oRAMkyDaPLmhEBPNC72uuqEQPm4Rkv46oRA2hUT3GrthECK1GrIxO6EQFng1hdj74RAnEw+ABjwhEDpdSZQw/KEQEbOOepx9YRACZC9Oc/2hECjVUixHfiEQNYbpGlN+YRA3DgMtsb6hEAiVya9+/qEQPTaJSkH/4RAKKI0ZgcHhUCdnB/Ruw2FQMpmmh0fE4VA0CU7wCEbhUCU+mPUHh+FQBAzuAE5IIVA9kDCLlAghUBmizJxeyqFQOjnqGehK4VAq7m7GSsvhUBuRoY0sy+FQMARbB7cM4VA+bGExwE0hUBOTJCrKzSFQIzAj/xnNYVAUD4WoTc3hUC7IsAb7zeFQECxgygcOIVAFLzIhj84hUDE8b6wmDqFQIkKiPk/O4VA6V4wtQ08hUC5LljpODyFQNAVG+lcPIVAJGhqTow8hUD01Hbb7EKFQIi0jetHQ4VAEhZOHzNHhUBAxzYx3kqFQPzl+QlKS4VAuxR95VRXhUD5uxQgXlqFQIizRky2WoVAtuk+pblehUC8e7wIomGFQDJXWRnPYoVAbBg4UL5mhUD1dFfu1maFQM73/u6laYVArFIaXVpqhUB/tT3fImuFQEY7DnsQboVAvAHVA7xuhUBshlCpnHGFQBdW+yUsc4VA+iPY0lh1hUDmWY/UWnqFQOMN3/OEeoVAkiVBI9t+hUAqbttRGoWFQGbgHETlhoVA5qOAxtKOhUCeVNZ41pKFQOgztByoloVAGTMLoLmWhUDEsRAo3ZaFQKbrblYQl4VAjM7SHtyahUDEfKk8CaCFQAhsdLg6oYVAKP3SrdSihUAbvcWp+qaFQArHA5IwqoVA4vwhCNSqhUBtAdeX/a6FQH5ECR25soVAocoPNrC2hUBw1fmv/LqFQNN2ud/FwoVADHBV+PbFhUBos10Zw8yFQI+rvnnXzoVAsbCCeDHQhUCGU2pXgdqFQLwQl4ND24VA7WqpE0vdhUD107p+8t+FQJhMRH344oVAd62AbUrjhUAmAjIUtuOFQMi1FX3U54VAoodQVcXqhUDqQOmzQPOFQOCiuBY594VAKNnjFHX3hUCIYWjSpPeFQA==</binary>
+					</binaryDataArray>
+					<binaryDataArray encodedLength="716">
+						<cvParam cvRef="MS" accession="MS:1000515" name="intensity array" unitAccession="MS:1000131" unitName="number of detector counts" unitCvRef="MS"/>
+						<cvParam cvRef="MS" accession="MS:1000521" name="32-bit float" />
+						<cvParam cvRef="MS" accession="MS:1000576" name="no compression" />
+						<binary>yQW5Q9ZvD0QqFJ9DxnRaREUA0EO1UTJE/uevQ39m6kNDB8FD5LpPRF14bURcyQlEGi4PRLJD6UOaT+NEU6DSQzsNzEPK3J9E4fe+Q8tzGUQTaq5DfxjVQ840BkTte6FDgcC1Q7l+iEb+TSFEQZYzRjvIHkTRw4BFkUTGQxjhyUObglpER28lREk/AETnPrRDtnB3RmkY30NoG69DL0x6Rg5xAEY8MDlF8MFzRB5XqERAmwdEUuzFQy6J80NkOs9Dx82rQ+girkOdCP9D+cBXRHtM4EMcePhDtUP3Q+flz0OmMadDTaEZRCQ2xEPIS7pDPj7EQ+g/xEPgUK5DUdYzREmxy0N6jQxE/Y7nQ4sBz0OEGGJEN6mLRG53hkT/WIVEjDoIRP08p0PdFQlE5IMfREb/tEMdZwNEqmsQRGhmJ0R3uzxEZHD5Q50p3ESWtWBEq6kORFuJAUSsWlFEHIuxQ8utpUXRntJDn4jaQ8PMG0QhQehEkYbGQ4EWB0Si2rxDBHYERC0zoEPcgt1Dp9abRTJqaEXQgKZDDXK0Q72HbkQLO6xDvegJRFb0yUMWL7xDFMDbQ2JWLETbX6xDxXLfQ4CM80OEmPFDOuKlQzNYo0TlCcFD+MXYQ/oMokP/LwJE+NK/QxV67kNEBYFFht2qQyR8/UMa6pxDObr2RE2+G0SbaaVDpdbCQyHD2UNKUeNDveTSQwPS7EM=</binary>
+					</binaryDataArray>
+				</binaryDataArrayList>
+			</spectrum>
+			<spectrum id="controllerType=0 controllerNumber=1 scan=6140" index="39" defaultArrayLength="111">
+				<cvParam cvRef="MS" accession="MS:1000127" name="centroid spectrum" />
+				<cvParam cvRef="MS" accession="MS:1000511" name="ms level" value="1" />
+				<cvParam cvRef="MS" accession="MS:1000294" name="mass spectrum" />
+				<cvParam cvRef="MS" accession="MS:1000130" name="positive scan" />
+				<cvParam cvRef="MS" accession="MS:1000504" name="base peak m/z" value="544.296447753906"/>
+				<cvParam cvRef="MS" accession="MS:1000505" name="base peak intensity" value="182494.21875"/>
+				<cvParam cvRef="MS" accession="MS:1000285" name="total ion current" value="4375483"/>
+				<cvParam cvRef="MS" accession="MS:1000528" name="lowest observed m/z" value="399.995647644419"/>
+				<cvParam cvRef="MS" accession="MS:1000527" name="highest observed m/z" value="1404.93632589376"/>
+				<userParam name="filter string" type="xsd:string" value="FTMS + p NSI Full ms [400.00-1400.00]"/>
+				<userParam name="preset scan configuration" type="xsd:string" value="1"/>
+				<scanList count="1">
+					<cvParam cvRef="MS" accession="MS:1000795" name="no combination" />
+					<scan >
+						<cvParam cvRef="MS" accession="MS:1000016" name="scan start time" value="4853.9752" unitAccession="UO:0000010" unitName="second" unitCvRef="UO" />
+						<scanWindowList count="1">
+							<scanWindow>
+								<cvParam cvRef="MS" accession="MS:1000501" name="scan window lower limit" value="400" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+								<cvParam cvRef="MS" accession="MS:1000500" name="scan window upper limit" value="1400" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+							</scanWindow>
+						</scanWindowList>
+					</scan>
+				</scanList>
+				<binaryDataArrayList count="2">
+					<binaryDataArray encodedLength="1184">
+						<cvParam cvRef="MS" accession="MS:1000514" name="m/z array" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+						<cvParam cvRef="MS" accession="MS:1000523" name="64-bit float" />
+						<cvParam cvRef="MS" accession="MS:1000576" name="no compression" />
+						<binary>sUf2Whm0hEDUOpoMrLWEQKVsPnbDtoRA5JQ3bUe3hEDS38ms4biEQDYUpdt8uoRA0PCnmdm6hECbu+Y/kL2EQNxyn2rbvoRA6HG3PTnAhEBhTrYDyMKEQJx35a3KxoRAL+fM9j7IhEDsNdjzmsqEQJa9gQ7KyoRAo816SpbNhEDuxAJWlM6EQFy2/FdD1oRAvk6kfObWhEDXoVaT29iEQFcWid1s2YRA8sAe8lLahEDwJw3f6dqEQELb2Djt3oRAkiLnV1bihEBPmXsbs+KEQLbGZpnq4oRA3ObuVvXmhECNIvlEu+qEQO5GSSr76oRAXOS13mrthEBeZAz7+e6EQES/7iex74RAmUIm7hbwhEDSPEj9w/KEQC+tsTP68oRAPrGe5HH1hECnwTaGzvaEQLJU1/D59oRAFwtGnh34hEBANAC0VfmEQEAS8ObU+oRABCMOMnr9hEDTQR4n2P6EQDBZvNqMCoVABQbFQdUShUAm9M1WFxOFQNjz3j/KFoVAs0C1HT0ihUCtr5FVniKFQLC7P5enJoVASKFdGTErhUB4Mbck2SuFQHS1J8omL4VARXmbgu4vhUD/BmkmfjKFQJV3HVUINIVA+qzXvyc0hUDaDohdMjeFQKLJYq0lOIVAXERrch48hUBAFneOPjyFQKACXRc/P4VATLGTSHhEhUBgmDeWREeFQFaj6j5YSoVACPjw0WdKhUC3mdIIGk2FQPXhMEkTUIVAnq6dpFBThUBw/vqKOVeFQJEaoEDvWIVAwHqa5LFehUC+zKGGo2GFQFTuFG7UYoVAGaFoPRRjhUAvmwve1WaFQLRSIu+jaYVAjOIW+iJrhUCUJMfsoG6FQEHfaq64boVAjkp7C5JxhUDll+H/KHOFQFhoDAM4dYVAAETKCzV9hUBeLGQkiYKFQFg0pOnygoVAS8sH6+SKhUDTKxR8046FQAREbW7VkoVAeKj0ANeWhUDsXWxrjqKFQNB3EzTZooVAaE4ULPumhUCk6tC3CauFQDKKSDFsrYVApBzakQ+yhUBOe2l0orqFQFLFLE6avYVAQNnsoci+hUBWEsEWAb+FQNOqIKZMwIVAqAChguTChUD6tGUU1MaFQHHhurPHyoVA6XfJ0kLbhUAkg3Rl6eKFQAr8xn9M44VAEGU18g3ohUBjrCqyPvOFQBDyzyjh9oVA</binary>
+					</binaryDataArray>
+					<binaryDataArray encodedLength="592">
+						<cvParam cvRef="MS" accession="MS:1000515" name="intensity array" unitAccession="MS:1000131" unitName="number of detector counts" unitCvRef="MS"/>
+						<cvParam cvRef="MS" accession="MS:1000521" name="32-bit float" />
+						<cvParam cvRef="MS" accession="MS:1000576" name="no compression" />
+						<binary>LNYNRCXcqESHz7VDpcABRMK0D0SpOjxElAQxRCT45EMjJeVDjpJ+RCFUE0X8M4tEn9NTRFGdn0PAq4VEOxsfRIfRtUNu6cpDObFURu28pENiXYVEnTUPRO7qFUZ1CVNFwPi1Q2Tg10MOzTJE0d6kQ05yH0Q8HBRE3QCYRlg/70P4FSBE7VywRhTrPEb3GqxDfdciRQezkURsjNBDrIV4ROaJDERPtL9DdPrnQ/q0DkQxG6xDkszNQ/eDRUQtiapDPwubQ929vkP88chDo8YXRPR/H0R4KdND9ZfGQwQaq0NFreJDwzGiQy3dvUOBp0xEZbbqQ+YPzkS3B9VD9kvIQ9o34EO75pRDG02TQxjNq0OLKqdDAYkiRKrOHUSaWM5Dl9ffQ0xG+0RAvAhEDhfAQwGMNUQ5LChE/ZG6RVjOsEPD/61D7XjVQ6Sku0SKbLpDh83JQy77+0OUChhEpYq/Q/DRrUUtEAdFu6tmRFNb2EMULAFEh8sbRMoH0EPLJLBDaFO5Q8VKvEN1UhpEjwrqQwy22ENBfTRE0TU2RCiI9UMbQ9tD0uijReFi2kNVvwVFLgGpQxi/vUOQqchD</binary>
+					</binaryDataArray>
+				</binaryDataArrayList>
+			</spectrum>
+			<spectrum id="controllerType=0 controllerNumber=1 scan=6144" index="40" defaultArrayLength="705">
+				<cvParam cvRef="MS" accession="MS:1000127" name="centroid spectrum" />
+				<cvParam cvRef="MS" accession="MS:1000511" name="ms level" value="2" />
+				<cvParam cvRef="MS" accession="MS:1000294" name="mass spectrum" />
+				<cvParam cvRef="MS" accession="MS:1000130" name="positive scan" />
+				<cvParam cvRef="MS" accession="MS:1000504" name="base peak m/z" value="739.11865234375"/>
+				<cvParam cvRef="MS" accession="MS:1000505" name="base peak intensity" value="245.232330322266"/>
+				<cvParam cvRef="MS" accession="MS:1000285" name="total ion current" value="12899.6611328125"/>
+				<cvParam cvRef="MS" accession="MS:1000528" name="lowest observed m/z" value="196.296524047852"/>
+				<cvParam cvRef="MS" accession="MS:1000527" name="highest observed m/z" value="1304.46228027344"/>
+				<userParam name="filter string" type="xsd:string" value="ITMS + c NSI d w Full ms2 664.35@cid35.00 [170.00-1340.00]"/>
+				<userParam name="preset scan configuration" type="xsd:string" value="5"/>
+				<scanList count="1">
+					<cvParam cvRef="MS" accession="MS:1000795" name="no combination" />
+					<scan >
+						<cvParam cvRef="MS" accession="MS:1000016" name="scan start time" value="4856.6746" unitAccession="UO:0000010" unitName="second" unitCvRef="UO" />
+						<userParam name="[Thermo Trailer Extra]Monoisotopic M/Z:" type="xsd:double" value="664.346069335938"/>
+						<scanWindowList count="1">
+							<scanWindow>
+								<cvParam cvRef="MS" accession="MS:1000501" name="scan window lower limit" value="170" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+								<cvParam cvRef="MS" accession="MS:1000500" name="scan window upper limit" value="1340" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+							</scanWindow>
+						</scanWindowList>
+					</scan>
+				</scanList>
+				<precursorList count="1">
+					<precursor>
+						<isolationWindow>
+							<cvParam cvRef="MS" accession="MS:1000827" name="isolation window target m/z" value="664.346069335938" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+							<cvParam cvRef="MS" accession="MS:1000828" name="isolation window lower offset" value="2" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+							<cvParam cvRef="MS" accession="MS:1000829" name="isolation window upper offset" value="2" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+						</isolationWindow>
+						<selectedIonList count="1">
+							<selectedIon>
+								<cvParam cvRef="MS" accession="MS:1000744" name="selected ion m/z" value="664.346069335938" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+								<cvParam cvRef="MS" accession="MS:1000041" name="charge state" value="2" />
+								<cvParam cvRef="MS" accession="MS:1000042" name="peak intensity" value="2353.16625976562" unitAccession="MS:1000132" unitName="percent of base peak" unitCvRef="MS" />
+							</selectedIon>
+						</selectedIonList>
+						<activation>
+							<cvParam cvRef="MS" accession="MS:1000133" name="collision-induced dissociation" />
+							<cvParam cvRef="MS" accession="MS:1000045" name="collision energy" value="35"/>
+						</activation>
+					</precursor>
+				</precursorList>
+				<binaryDataArrayList count="2">
+					<binaryDataArray encodedLength="7520">
+						<cvParam cvRef="MS" accession="MS:1000514" name="m/z array" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+						<cvParam cvRef="MS" accession="MS:1000523" name="64-bit float" />
+						<cvParam cvRef="MS" accession="MS:1000576" name="no compression" />
+						<binary>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</binary>
+					</binaryDataArray>
+					<binaryDataArray encodedLength="3760">
+						<cvParam cvRef="MS" accession="MS:1000515" name="intensity array" unitAccession="MS:1000131" unitName="number of detector counts" unitCvRef="MS"/>
+						<cvParam cvRef="MS" accession="MS:1000521" name="32-bit float" />
+						<cvParam cvRef="MS" accession="MS:1000576" name="no compression" />
+						<binary>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</binary>
+					</binaryDataArray>
+				</binaryDataArrayList>
+			</spectrum>
+			<spectrum id="controllerType=0 controllerNumber=1 scan=6145" index="41" defaultArrayLength="135">
+				<cvParam cvRef="MS" accession="MS:1000127" name="centroid spectrum" />
+				<cvParam cvRef="MS" accession="MS:1000511" name="ms level" value="1" />
+				<cvParam cvRef="MS" accession="MS:1000294" name="mass spectrum" />
+				<cvParam cvRef="MS" accession="MS:1000130" name="positive scan" />
+				<cvParam cvRef="MS" accession="MS:1000504" name="base peak m/z" value="544.296447753906"/>
+				<cvParam cvRef="MS" accession="MS:1000505" name="base peak intensity" value="179249.734375"/>
+				<cvParam cvRef="MS" accession="MS:1000285" name="total ion current" value="4413585"/>
+				<cvParam cvRef="MS" accession="MS:1000528" name="lowest observed m/z" value="399.99556265896"/>
+				<cvParam cvRef="MS" accession="MS:1000527" name="highest observed m/z" value="1404.93602771665"/>
+				<userParam name="filter string" type="xsd:string" value="FTMS + p NSI Full ms [400.00-1400.00]"/>
+				<userParam name="preset scan configuration" type="xsd:string" value="1"/>
+				<scanList count="1">
+					<cvParam cvRef="MS" accession="MS:1000795" name="no combination" />
+					<scan >
+						<cvParam cvRef="MS" accession="MS:1000016" name="scan start time" value="4857.1401" unitAccession="UO:0000010" unitName="second" unitCvRef="UO" />
+						<scanWindowList count="1">
+							<scanWindow>
+								<cvParam cvRef="MS" accession="MS:1000501" name="scan window lower limit" value="400" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+								<cvParam cvRef="MS" accession="MS:1000500" name="scan window upper limit" value="1400" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+							</scanWindow>
+						</scanWindowList>
+					</scan>
+				</scanList>
+				<binaryDataArrayList count="2">
+					<binaryDataArray encodedLength="1440">
+						<cvParam cvRef="MS" accession="MS:1000514" name="m/z array" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+						<cvParam cvRef="MS" accession="MS:1000523" name="64-bit float" />
+						<cvParam cvRef="MS" accession="MS:1000576" name="no compression" />
+						<binary>fs2nI62qhED5Ia7Wx6qEQPat/Wh6soRAiUgpJ7GyhECOFKmvybKEQIzELJMNtIRAjhiqnEG1hEDixmkYprWEQLRyaHvQtoRANLUFg0W3hECMcD8pK7iEQGYFSPLiuIRAMTS5+tW6hEBmkpgO3b6EQK7wiko0wIRACHR9gJfChECGWWFtycKEQNYPubaLxYRAbajdL8vGhEAV4lTZfcqEQBrSGSXmyoRAIBbeql3WhEA6GRONgtaEQJDJWTrm1oRAuDUwkmvZhEDMBMF5UNqEQOhmz1Xq2oRABBWjiFbehEC+A5lF7t6EQMSO1VuM4IRATiY4fG7hhECHJBVv8+KEQI5ahxK/5oRAAH4C68rmhECOrzF8keqEQF7+vmJq7YRA/iySlRfwhEBiaGHBw/KEQLR7l7tx9YRAiNA/C872hEB0OCEPHPiEQAsdqwtX+YRAM2D8tNH6hEBewWJt1QiFQOBXbOgcCoVAfM+LKkwKhUDKiwvG1A6FQNkkeh4YD4VAErZW/lMPhUD1Ay9v9xKFQP+Tlu4MFoVArhL0oqwahUB6EcAL4xqFQEwIbH4jG4VASqx/7SkfhUAAnXUJJyOFQJq/BVKtKoVAUCG3/SwrhUDuj265uyuFQLTXiOcvL4VAt4HNtdUvhUAsb8eILjKFQH98JzCLMoVA6mn4d64yhUC51nWFtTOFQMoTDQ/uM4VA+bEknBE0hUBgFJlIEzeFQLlBAlY2N4VAVNw/OsU3hUDoYpoWBTiFQLIRjFwyOIVAVHuYk4I4hUBMIOHoEjqFQN33u/LiO4VA8UPjdPs7hUAIAe8EJDyFQO1D9NNJPIVAJDdACD1AhUAEAbHDukKFQFAtHO/8QoVAJsTVC0dDhUBEPPYCXkSFQBDdcEtGR4VAum6LcGpLhUBOwdofEFCFQNqsgg30UIVA0PDp7OtShUDMtGtH71aFQGSq5qWxWoVAZW6qluVahUDIyq7VV1uFQAKtaQprXoVAAiLaUrVehUDZO3Oro2GFQEw+B7nGYoVAJgeIe/pihUAc7LK4tGaFQD56VVCCaIVApRuftqVphUAjF3UI1GqFQCt0QVDxaoVAqCUAGSJrhUDVCdK+nm6FQBgfbUKVcYVAvInN8ipzhUCKPC4AdHqFQA26zxHgeoVAJfe4hb6HhUDyXNim3oeFQPh66VyIioVAJFp5FtKOhUBDoaam05KFQLAhK5/nlIVAk65rL9uWhUBx8KcrGZuFQPry9rbxooVAVt/A8hqjhUCaPsmU3qaFQH/5YkbEqoVAOJzjxvSqhUCoYnZiCq+FQBQfH3ibuoVAgNg9W7S6hUBAFzRuBbuFQOT0QkqTvYVAfhFjivbChUBOWb/Jn8WFQCa455Y9y4VAPHbrWkLbhUDwRt5k3OKFQFa4kpRK44VAY0gRhz3ohUCy5Gaj+/CFQJ7jbPFv84VA</binary>
+					</binaryDataArray>
+					<binaryDataArray encodedLength="720">
+						<cvParam cvRef="MS" accession="MS:1000515" name="intensity array" unitAccession="MS:1000131" unitName="number of detector counts" unitCvRef="MS"/>
+						<cvParam cvRef="MS" accession="MS:1000521" name="32-bit float" />
+						<cvParam cvRef="MS" accession="MS:1000576" name="no compression" />
+						<binary>4siqQ98Sl0M9AmhEb0q9Q1cqvkO2bgNEYEm/QwMEMUQi+gtEygGGRAm2B0SUZ8hDzqQlRBUqukOOJfdDmJe0Q2b4tESZDOFDM6CFRGnPvkPEj1VEXvmpQ3NAZEQbAANGtFp7RKBokkQ4GMtFtt8WRLOXy0SPoAxErlUWRIQFSkQaP5pDSJyRQ75svkOJi6VG+OenRhG8F0Z/EDhFg/HQRJXbkkTwJ/5DKjSnRBcLpUMt0axDLvfGQ7pn5EMmKbRD+g7eQ1lmnkPhp8NDt6q5Q0LI0kN3QfFD8zW4Q1bPr0Nf0dlDJ8yxQxv2HEQeA+9D4l/kQ6HUnkRDGpdDKBKgQ8Cs90OUTotEuGPzQ4rFyEM3JcBDT0WWQ1JA8UNVddxDUvbNQy8y3UNj6PFDhoQpROIOwkSvcB9EWUuwQxIvlkNz3LVDMvvBQ5Gg6kM7zahDn7efQzuzmkPlObRDSqL5QzsZy0MNuAFEjGyvQy+oyUMqVKxD2qsDRKQmCkWPJXdEbU36Q6XxwUMMMNdDfiBdRCw/KkRjkARETxysRXa2v0PvwxdEstCYRIwmuUOw4RdERRuzQ3nGxUNvXbVDCu+FRUIfL0XgPI1EYnWSRCn9pUO++JRDENsORKO3nEPy6qxDA0fVQ1VFy0MsANVDzlmjQ7M0A0TTZcND0zpQRAd9qkPsprpDg2GeRUx0AkSr7/pEz6rUQ2ZSckTnBaVD</binary>
+					</binaryDataArray>
+				</binaryDataArrayList>
+			</spectrum>
+			<spectrum id="controllerType=0 controllerNumber=1 scan=6147" index="42" defaultArrayLength="107">
+				<cvParam cvRef="MS" accession="MS:1000127" name="centroid spectrum" />
+				<cvParam cvRef="MS" accession="MS:1000511" name="ms level" value="1" />
+				<cvParam cvRef="MS" accession="MS:1000294" name="mass spectrum" />
+				<cvParam cvRef="MS" accession="MS:1000130" name="positive scan" />
+				<cvParam cvRef="MS" accession="MS:1000504" name="base peak m/z" value="544.296569824219"/>
+				<cvParam cvRef="MS" accession="MS:1000505" name="base peak intensity" value="173064.84375"/>
+				<cvParam cvRef="MS" accession="MS:1000285" name="total ion current" value="4190731"/>
+				<cvParam cvRef="MS" accession="MS:1000528" name="lowest observed m/z" value="399.99596116691"/>
+				<cvParam cvRef="MS" accession="MS:1000527" name="highest observed m/z" value="1404.93742711802"/>
+				<userParam name="filter string" type="xsd:string" value="FTMS + p NSI Full ms [400.00-1400.00]"/>
+				<userParam name="preset scan configuration" type="xsd:string" value="1"/>
+				<scanList count="1">
+					<cvParam cvRef="MS" accession="MS:1000795" name="no combination" />
+					<scan >
+						<cvParam cvRef="MS" accession="MS:1000016" name="scan start time" value="4858.9333" unitAccession="UO:0000010" unitName="second" unitCvRef="UO" />
+						<scanWindowList count="1">
+							<scanWindow>
+								<cvParam cvRef="MS" accession="MS:1000501" name="scan window lower limit" value="400" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+								<cvParam cvRef="MS" accession="MS:1000500" name="scan window upper limit" value="1400" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+							</scanWindow>
+						</scanWindowList>
+					</scan>
+				</scanList>
+				<binaryDataArrayList count="2">
+					<binaryDataArray encodedLength="1144">
+						<cvParam cvRef="MS" accession="MS:1000514" name="m/z array" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+						<cvParam cvRef="MS" accession="MS:1000523" name="64-bit float" />
+						<cvParam cvRef="MS" accession="MS:1000576" name="no compression" />
+						<binary>peUV97auhEDiUNYdzq6EQM0ru1cvr4RAYvvWjISyhEByV/QUDLSEQM8+0rystYRAQYoQX9e2hEAQzBSRPbeEQA5nir7ouIRAsIsjgN26hEAMbUZt176EQJCsyjHMwoRAquu1CwXDhECaxrsDsMSEQABSeJmTxYRATuKUQdDGhEBiqSpnPMiEQHq5m8L1yoRAhslePaLOhEDjZN7cytKEQMru3DBC1oRAiWFafubWhEA81YwsbtmEQJj0KW9N2oRAot3s+unahECWfWaPVd6EQK7Wqfrr3oRAnrME+X3ihEA+mfxQ9eKEQLolY2hn6oRATCjGl2rthEC1SG5b+O6EQAKijf4X8IRA7O72UMTyhEAlP0ylcfWEQH5yAZPN9oRA144sWgf3hEAQ0Qb5H/iEQF7+Q/YF+YRAlkJPsVL5hEBfddV6VPqEQMT8pOKj+oRA0mJRvNb6hEB+CgNWFgSFQLy7QQ2FCoVA3nwlPqwOhUCuOsmnzRKFQDZDYOlMFYVA8iyPE9MahUDy3H1KriKFQKI+ojkiJYVAabU6KZ4nhUBXy+SzPyiFQM8i+K21K4VAt3QpNvYuhUAmDVxeEi+FQCzyoaswL4VA+mNyDcsvhUDAp1bi5jOFQCZWU1+hN4VASfrv6f83hUAu1Zov0zuFQPzKknv4O4VAKPwrGR08hUA09vUERDyFQMZkG9f6QoVA5m7XW55JhUBAbgSKfUuFQIkl/HtdUoVA6GfEH/BShUBI9jiHUlOFQGoWqtmwWoVAQvRQ0KJhhUAgRhrQz2KFQNrUcPC5ZoVAlfmqUc1mhUDUAtykoWmFQG6+JD8ja4VAzDweWPZrhUCGSO6sKnOFQBKHKaLHdoVAG0pUwBt7hUD56IkHyIKFQKr3OCDSjoVATThTHNWShUDcviac3JaFQM56keX/l4VAPrnOw6aqhUAASlLP/66FQOc/FmDesoVAIj1z15u9hUDZ0CG+xb6FQILkVUBJwIVAWlMHKrXChUAbtKHG3MKFQIAb2JTWxoVA+in5w17IhUDU0dMWm9KFQCy2JmDx0oVAZqIKf6vahUCi9GGkQ9uFQFoYduI73IVAxFqoy0vjhUBcGq94C+eFQEGhYKrB6IVAlU9n2G3qhUB2SNf/6eqFQA==</binary>
+					</binaryDataArray>
+					<binaryDataArray encodedLength="572">
+						<cvParam cvRef="MS" accession="MS:1000515" name="intensity array" unitAccession="MS:1000131" unitName="number of detector counts" unitCvRef="MS"/>
+						<cvParam cvRef="MS" accession="MS:1000521" name="32-bit float" />
+						<cvParam cvRef="MS" accession="MS:1000576" name="no compression" />
+						<binary>QMeUQzdhrkPKdt9DGpsFRFJLwEOvicpE01PdQ2aNT0Rqf/ZDZf9IROGHvkP8aZVEJ3sPRJ7p0kMwnt9DcX86RPU7t0MMO/JDc3rRQwHJnEMvsN1DRr7DRdJwFURXa85DpSGhRSxfAUS7jr1EuGzEQzxjiUSud8RDG1tvRoEurkMTMmZG9AwNRuTuE0Xg8RJFQmmrQ6BHj0T9NtRDkOfZQ/qBuUNZ1sNDrgTPRHImmUM+psBDXYMARNxBPETyo7lDzqOpQz31w0N3O75DA5PcQ0ZVw0MqDDVEd9CcQxt09UPaZL1DAfzgQ8wxL0SzhNhDnvpZRHsK4EMsSh9EgPrtRC4bJUTh6W9EHjYbRJPu9kNJlqZDTdQiRAXEJ0Q28cVDDQDhRD1URETQsQtEyMUDRL3kHURTkLdFS35hRMI07EQjvqVDSPbEQ9+b70MeSzlFJn0UReDLrUR3vqBDV5CYQ/4rlkPPXsND0ALbQzUlEUS3cSJEmIHjQxdM7kMNmCZEUtzZQ3Qn70O8Rb1DxFgjRItRg0VxaaxDZRbFRFbxxkOxRrRDmJPVQ4QeN0Q=</binary>
+					</binaryDataArray>
+				</binaryDataArrayList>
+			</spectrum>
+			<spectrum id="controllerType=0 controllerNumber=1 scan=6150" index="43" defaultArrayLength="116">
+				<cvParam cvRef="MS" accession="MS:1000127" name="centroid spectrum" />
+				<cvParam cvRef="MS" accession="MS:1000511" name="ms level" value="1" />
+				<cvParam cvRef="MS" accession="MS:1000294" name="mass spectrum" />
+				<cvParam cvRef="MS" accession="MS:1000130" name="positive scan" />
+				<cvParam cvRef="MS" accession="MS:1000504" name="base peak m/z" value="544.296569824219"/>
+				<cvParam cvRef="MS" accession="MS:1000505" name="base peak intensity" value="166596.5625"/>
+				<cvParam cvRef="MS" accession="MS:1000285" name="total ion current" value="4278237.5"/>
+				<cvParam cvRef="MS" accession="MS:1000528" name="lowest observed m/z" value="399.995729836757"/>
+				<cvParam cvRef="MS" accession="MS:1000527" name="highest observed m/z" value="1404.93661458259"/>
+				<userParam name="filter string" type="xsd:string" value="FTMS + p NSI Full ms [400.00-1400.00]"/>
+				<userParam name="preset scan configuration" type="xsd:string" value="1"/>
+				<scanList count="1">
+					<cvParam cvRef="MS" accession="MS:1000795" name="no combination" />
+					<scan >
+						<cvParam cvRef="MS" accession="MS:1000016" name="scan start time" value="4861.172" unitAccession="UO:0000010" unitName="second" unitCvRef="UO" />
+						<scanWindowList count="1">
+							<scanWindow>
+								<cvParam cvRef="MS" accession="MS:1000501" name="scan window lower limit" value="400" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+								<cvParam cvRef="MS" accession="MS:1000500" name="scan window upper limit" value="1400" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+							</scanWindow>
+						</scanWindowList>
+					</scan>
+				</scanList>
+				<binaryDataArrayList count="2">
+					<binaryDataArray encodedLength="1240">
+						<cvParam cvRef="MS" accession="MS:1000514" name="m/z array" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+						<cvParam cvRef="MS" accession="MS:1000523" name="64-bit float" />
+						<cvParam cvRef="MS" accession="MS:1000576" name="no compression" />
+						<binary>0vBOLK+whECmfd658LKEQPCMteoWtIRAjgXQtKm1hEDEqfQ107aEQClpqSRKt4RADOPzg/O3hECH/9mvfLqEQLvv43DauoRAcvfKqde+hEAzMjzhz8KEQB5xdHsRw4RAzCDWamHFhEAGDC2Uz8aEQJngfkrfyoRAyAPVMabOhEBW8oPEPdCEQLjHb5af0oRAFguL5Y3UhEDoeG6pVNaEQDUcMYDm1oRAs1rygG7ZhEBoC7gcT9qEQG5n5QPr2oRAqsvlgFTehEB3k9kC796EQBRr7Jdt4YRAlEWId4nhhED09yx9GeeEQJSQYJhq7YRAyO43P/7uhECMt/FUF/CEQOL9av/E8oRALb7jX3P1hEC42iiJzvaEQJrY1m4b+IRA+uSDja36hEDuwLWt1PqEQOb0oyXS/oRAfu3mCeoChUDOspY7XQWFQDEcACfGCoVAGElQpfoOhUAOShHtkBKFQN4/WcPWEoVAUY6qWhoXhUAqQw+SLCOFQGqr60k3KYVAmYEHjMIphUCAoRlfKCuFQHi8ZenJK4VAPwAl8eAvhUBjVVHc+jOFQPaG/ttFNYVA0k4A4+w3hUD9JSF0EziFQDIf+q/oO4VAhcM1EzA8hUBkcJtVWTyFQO+1qi2mP4VAKHsCAXZAhUAYJWIm1UKFQBokJJn9QoVAqg7BzABHhUCcxh4KikiFQHY93fwDUIVA7PbWvodShUCg6PqDVlOFQJRcQRi8WoVANfmPh1tbhUBeyIAyvF6FQAAihmOiYYVAsEro97tihUCVd6Qss2aFQONeCV6iaYVAtHJeq2ZqhUCcw4Ob1GqFQP6K49cia4VA0PvAOrluhUBzm/Ku8W+FQJ4o3MqacYVA+FyvYCtzhUByJOO18XaFQFyPJlTSeoVAfVnkPyx7hUCQJMprYYKFQI56IweKioVAIgm68NuKhUAhJa+t0Y6FQFjutS5dj4VAdjVdQdqShUCkj1/9t5qFQIHhnUEdo4VASKJK5BmrhUDgkJrXEbeFQOqNOe2yuoVARovqCJq9hUDz0B1zCb+FQLzZ8vhHwIVAIkC4bZfAhUBmXMn01MKFQC323INBw4VANB4H9NbGhUByUcwz0cqFQNi53J/6zoVALuDSfCHThUBm3E+7CteFQJ1dAhXy2oVAGP+3ekPbhUD0+h3zSd2FQKJjOxrw34VAUgR5tkfjhUAhPtaOqOSFQOYk2bjJ6oVADGwIbA/whUBohYa6mfKFQA==</binary>
+					</binaryDataArray>
+					<binaryDataArray encodedLength="620">
+						<cvParam cvRef="MS" accession="MS:1000515" name="intensity array" unitAccession="MS:1000131" unitName="number of detector counts" unitCvRef="MS"/>
+						<cvParam cvRef="MS" accession="MS:1000521" name="32-bit float" />
+						<cvParam cvRef="MS" accession="MS:1000576" name="no compression" />
+						<binary>Scu8Q9iTrkOcp4VE8RT5Qypoi0SSHSRErzO0Qz+lB0QVjYVErM5EROu1VkQjlt5DKQ7XQ6NUyUPobARErqKkQ39tokP4BLVD7qmfQ4mOGkQm9qFFMgoYRJowTEQ6KVBFjKU2RLdXnUTik5dD79XvQ8p250PHnltG46+rQ2mAakYiVdpFU3eeRDO4aEUTknVELGDKQ1Cbq0QARmJEc5u5Qy0Xr0OfWEFEoUKpQyhd5ENUo6dD02arQzJ8s0P9zw1E9gI5RFzfzUMQEwtEY8LnQ+EGGETX/Z1DwnDeQzEzgkSrJ/hDjGPeRDuBG0T0dAFEPwOdQ6/pq0O+FglEu+wBRH4N80O4NNlDOgTtQzpP8kMkM65DuXbAQ03G+UOvo7dEyvM/RPp2uENbu1tE2gTWQ3/gv0MHF6tFMjrWQwjZvUOwiBFEwn/3RAUzykNgNLtDSTzIQ2Jeu0M+0e1D6j2fQ8eifEVMeJ1D6wwSRUsqo0NkUxdEFz3HQw4hzEOULL1D9j84ROC6/0O9qopE3unIQ5gkJESV/PlDHJwbRCdJoUMEvbZDPDuTQ9jSq0Pk5KpDsuOKRXXuqUOaSwVETJx0RAIZm0M+xfpDuiqTQ71DyUM=</binary>
+					</binaryDataArray>
+				</binaryDataArrayList>
+			</spectrum>
+			<spectrum id="controllerType=0 controllerNumber=1 scan=6155" index="44" defaultArrayLength="678">
+				<cvParam cvRef="MS" accession="MS:1000127" name="centroid spectrum" />
+				<cvParam cvRef="MS" accession="MS:1000511" name="ms level" value="2" />
+				<cvParam cvRef="MS" accession="MS:1000294" name="mass spectrum" />
+				<cvParam cvRef="MS" accession="MS:1000130" name="positive scan" />
+				<cvParam cvRef="MS" accession="MS:1000504" name="base peak m/z" value="654.023620605469"/>
+				<cvParam cvRef="MS" accession="MS:1000505" name="base peak intensity" value="126.774040222168"/>
+				<cvParam cvRef="MS" accession="MS:1000285" name="total ion current" value="9857.943359375"/>
+				<cvParam cvRef="MS" accession="MS:1000528" name="lowest observed m/z" value="194.035232543945"/>
+				<cvParam cvRef="MS" accession="MS:1000527" name="highest observed m/z" value="1316.20080566406"/>
+				<userParam name="filter string" type="xsd:string" value="ITMS + c NSI d w Full ms2 689.86@cid35.00 [175.00-1390.00]"/>
+				<userParam name="preset scan configuration" type="xsd:string" value="6"/>
+				<scanList count="1">
+					<cvParam cvRef="MS" accession="MS:1000795" name="no combination" />
+					<scan >
+						<cvParam cvRef="MS" accession="MS:1000016" name="scan start time" value="4864.3135" unitAccession="UO:0000010" unitName="second" unitCvRef="UO" />
+						<userParam name="[Thermo Trailer Extra]Monoisotopic M/Z:" type="xsd:double" value="689.855041503906"/>
+						<scanWindowList count="1">
+							<scanWindow>
+								<cvParam cvRef="MS" accession="MS:1000501" name="scan window lower limit" value="175" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+								<cvParam cvRef="MS" accession="MS:1000500" name="scan window upper limit" value="1390" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+							</scanWindow>
+						</scanWindowList>
+					</scan>
+				</scanList>
+				<precursorList count="1">
+					<precursor>
+						<isolationWindow>
+							<cvParam cvRef="MS" accession="MS:1000827" name="isolation window target m/z" value="689.855041503906" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+							<cvParam cvRef="MS" accession="MS:1000828" name="isolation window lower offset" value="2" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+							<cvParam cvRef="MS" accession="MS:1000829" name="isolation window upper offset" value="2" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+						</isolationWindow>
+						<selectedIonList count="1">
+							<selectedIon>
+								<cvParam cvRef="MS" accession="MS:1000744" name="selected ion m/z" value="689.855041503906" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+								<cvParam cvRef="MS" accession="MS:1000041" name="charge state" value="2" />
+								<cvParam cvRef="MS" accession="MS:1000042" name="peak intensity" value="3947.72875976562" unitAccession="MS:1000132" unitName="percent of base peak" unitCvRef="MS" />
+							</selectedIon>
+						</selectedIonList>
+						<activation>
+							<cvParam cvRef="MS" accession="MS:1000133" name="collision-induced dissociation" />
+							<cvParam cvRef="MS" accession="MS:1000045" name="collision energy" value="35"/>
+						</activation>
+					</precursor>
+				</precursorList>
+				<binaryDataArrayList count="2">
+					<binaryDataArray encodedLength="7232">
+						<cvParam cvRef="MS" accession="MS:1000514" name="m/z array" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+						<cvParam cvRef="MS" accession="MS:1000523" name="64-bit float" />
+						<cvParam cvRef="MS" accession="MS:1000576" name="no compression" />
+						<binary>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</binary>
+					</binaryDataArray>
+					<binaryDataArray encodedLength="3616">
+						<cvParam cvRef="MS" accession="MS:1000515" name="intensity array" unitAccession="MS:1000131" unitName="number of detector counts" unitCvRef="MS"/>
+						<cvParam cvRef="MS" accession="MS:1000521" name="32-bit float" />
+						<cvParam cvRef="MS" accession="MS:1000576" name="no compression" />
+						<binary>jn71P+sod0A2NhBBj/i1QLSGmT8VOdBAFfpPQPhc/UCcoitAqvcBQa7pVz8SP1VAlCGcQOSwpEDeKS1Aq4SiP/xHsD/E3bdA5SDMP04wv0AwJB5BOBCGQMHpH0HB7AZBteHLQAv5cEB9rC9Bw34TQPS8vkDnKmVB0dnMQFRCzT9o7FY/axQOQSgF9kBz06VA9KVBQYHN80DO7INAvXXTP5fBUkHEULBAFZk+QIpxhkCi3YBA8qjDQMZ08kAr1mhBF3NJQBfg7T8n6tE/qENjQPoR7D++UqtAvZyKQF0t2j9etxNB+mPQQABrMkBsxBZAA/P6QGXmCEA8j0xAv0CgQOLwM0EwfZ5AuYyJQRkHh0AtWytBH1CfQW4B0kBwpaNBHnc4QUoXa0H0vqhBOEhLQd+r6EB4Le1AvQAQQVynSkF+8vhAzLUUQUIabkEAXwJBddbjQAJRMUGGXi5AJqR0QGqrgEHyOUVB66DBP6v2I0HGNr4/kx13QO6NAEK3ZPRACXt3QCB+GECKVA1BIC0iQVL6GUGDs2hBpJuRQJKTlEDW9EFAZFzcP6taX0HKpVBBouTDQDuOLUDIWDdB+mOCQQTSpUFSh5JBbTbXQKTTxkCR0XpBYjiDQDBnQ0CChMxAfZYHQQIrVUAHRxdBlZgkQM0xS0E3kJFAz7oPQJUH50C6i4RBLveOQUman0BSQPtACvDfQI4hrUC57IFA0YUaQYSFe0BzjJFAJuo6QfJWBkGf4k5Bp+UvQZLpm0FgQe9AD1wZQc0QRUA6PxpBEoi4QMJGlD95HzRATR+sQVQ2WkEctvhAemATQcsQmEEd1qhBifcqQSEPKUHsNZ1ASfegQaDsXUCxBRpA7NuUQCgLfEFVvhVBmiAVQH1ngEFp9qNBI0oNQiWeJkF8PstABMOtQdpkZ0ExVChB6q97QUIpYEDuc5hAySrAQLHbuUHED9dAdFRyQZDsDkGpG+1AGfv0QDweg0GlOGBA24mwP0lRTEFgFCtCxI0qQRjZd0EVdW9Aoe0FQtdDkUFCqolBfLs+QZLMDUGkpIRA5BkUQdmb20HmKsw/xp7NQajVf0Ehs4xBi8gmQQYOckGtV84/uG6JQeVYxEDgNoNBom6GPxT4CkJBYZ5AYBZbQCNdQ0Groe9BPmk0Qd5HcEFw9uxBDFwfQWJwKkHpU7A/+hPtQTjSC0EskghAXv64QIQZ40FjiudBfrcAQq/DtkHH0bNBPskLQufExUBBokRBGMAoQbFrfkFKwQhAbgezQUULUEEsymJB2Zi+QEV/rkH2eoJAmiEiQin5SEE+hgVCckleQX69rUGxD9xBB+W3P8zex0F5SONBwre5QIUK2j8+wEdBRtPaQStOCEJEvwVCeFn5QB18qUAGMQBCW65SQTjZPkHU94RC83lPQgB8TkG5XyZBFCNqQRFiE0I5qUlCt+QwQQbhCEKe62hBJuVYQhUc10FAd1BB6eiFQEJZ30HZK81C1sBAQSSL4UHFGppBAoHFQXrQJkEg26NBYtVAQVaYfT+LUH5BgmRLQumGiEGCz5FBfQIpQXi7B0GBHDFBTOMqQc6icEIUfylCL+qCQqlth0GKMypCXVKFQcd55kGY98hBoYG+QeD4PEIU5IZB5KoYQm82e0L8nYVC7wBbQq7KZkKBkmRBAQQOQpiptkA59OFBzhYsQkvMF0JMPi1Cw4qnQpYF8kEwiARCELD4QWgTBUIZ/r5A3gtdQlQ2akKs1rVCKdzPQShwv0LW96dCkB0zQlbt7EEBnLZCEBCZQk+M/UL7UIlC/z1+QoZ8nUJIW1NCklaJQkZA7UKjiIRCM9qpQhn25kFJK3dBDXs5QLIDQkAdmQhAqNM7QE9zC0BIgmJBoxmaQHkbVEEPBaxAhJLnQGzDikBIsSFAq/VWQU5kLkEZCOpAPtzYQX2gAkFJBgVB8fDiQakcHkJ4jShA6iSEQP+CoUEnxk9BCVatQMN0TkIqUV9AT4tYQWD71kLoVrNCCZ5CQe8mukDcG/hAN3brP2spSUBOzZ1Bdwp/QF2kOUHM0v9B7LjyQel2RECDoq9BgdgFQA4GVkEfjzdBiMMWQZ8uVEDt8GpBWvBUQXSaL0AJIC1AUmicQX4WB0GuuoBBJkpVQCAYoEBiRhFBLAAcQaKipUHrFLlASWDsQIpiq0EbtZBB+N6aQeatbECa58w/Z5w4QJdHu0EEfhhA/CGGQcBjs0HwJnpB+mFSQa+GPEEijhJBzC7rQCJlPUHofTlAs4YOQUAhCUDimk1CaPqsQSa4SkFyRVlBCLItQuBT6EE3+7FBxTNAQOVDZUAM4r5APySIQCZ5VEAM1tNBXXcDQlLlqUFeogtBKAtsQSmD2UBptWFBVMfUQHIlJUG+JxJBpg/0QLgRYEE7Gw1BEUAqQQqVGUDwa2tBypmTP3grSkB4ziVBmolaQR9P4UDthm1AKHe7QNbEP0HS4zhC5/MXQsgtdUDzFqVAb55sQKKWlD81OqRAEAGOQEdDCkFu4VpBbp/iQbFizUCEQphBxqhXQIWsbECLjGhA/tnrQah4gkDiV8FBAXspQWbY0UGNheA/JjcXQcAx0UDy9ThBXFqmQRNxxEFcgOBAjr46QiZkzD9RBjdBkYW8QMw6PEHxoWZBVvLPQcPtvj872gxBvLbEQRhwSkEcOGtA+Z2oQRoesT8VqaRAzbmBQVXE4kBDmSBAJv4YQSJeWkFq9MtB0MBfQLZs6UBPAE5BzEznQWFtkEGIW4lAgzKvQPVlq0FUNaNAd5yAQfgeqkIjBR1C1VFzQZIwuEAgCLpAjHuyQE6wgUEjxfBAtDwTQdYqnEHMVoNAiJKQQDLeDkHV4YZB9m2IQUIDd0EM7ddBtCWoQXclB0GkPaZAp6KPQBI4HEEWZR1B8A4pQYzMeEGnAYNAYveJQGYwj0Au8YdBfMmLQRLQLEHmCbpA7vskQGRtQECO1xJANGzGQKMcwEDoXy5A+qrDP27z2T8N3kxBUGMFQVRYJECqlHlA1C+ZQJ7eH0In9q1BobRyQSrY5ECQwpxABdYnQN2SzD8+Tr4/+ALxQHbxokCqKzZAMveUQNP/qkDG1wBCNvdeQSLECEG3wN4/h7S4QWtJpEHwREJBFHAEQXWJLUHXP4FBp5rBQEmugUGyYYtALQTSQJI4vkBbAfVAwG6mQAxciUAooShB5suEQKgR9kD6Y1VAy+UsQWRPokAYyvBAURsgQMCvmEBBXaRATO4uQDYIskBhNS5AMVDvQEo6jkCuURlBUGawP8CR3kH1tkBBfNDDQFho/kCUgXE/QoxkQIURGEF+VjhBPbMNQsDlcUHQMAxB9g0zQWefYkFuJNVAgIKnQDDuJ0G2MRBB/0CcQNUeMUFqzdhBkzX2QBb680BA7dtAvGykQDuyOEAuT9tAzionQHI6qkBJrhlA6TxHQEsXn0AI+JQ/Pll9QZ7ro0CUFqZA47V+QAY+xEAv7QFAKvgdQSPWrz904llBP3RXP92HGUBdkuZAWs6LQeWVlD9XjiNB6ZkuQFT7b0DiUyVBgEh2QTUCL0BCZWVAxYWRQFLfKkHrQ9FAX+6HQN/rKkDnNjBBjlOLQEALlUAEax8/K6YYQL0UnUDZ9QVB</binary>
+					</binaryDataArray>
+				</binaryDataArrayList>
+			</spectrum>
+			<spectrum id="controllerType=0 controllerNumber=1 scan=6157" index="45" defaultArrayLength="118">
+				<cvParam cvRef="MS" accession="MS:1000127" name="centroid spectrum" />
+				<cvParam cvRef="MS" accession="MS:1000511" name="ms level" value="1" />
+				<cvParam cvRef="MS" accession="MS:1000294" name="mass spectrum" />
+				<cvParam cvRef="MS" accession="MS:1000130" name="positive scan" />
+				<cvParam cvRef="MS" accession="MS:1000504" name="base peak m/z" value="504.301544189453"/>
+				<cvParam cvRef="MS" accession="MS:1000505" name="base peak intensity" value="184040.703125"/>
+				<cvParam cvRef="MS" accession="MS:1000285" name="total ion current" value="4333986"/>
+				<cvParam cvRef="MS" accession="MS:1000528" name="lowest observed m/z" value="399.995763137801"/>
+				<cvParam cvRef="MS" accession="MS:1000527" name="highest observed m/z" value="1404.93673155532"/>
+				<userParam name="filter string" type="xsd:string" value="FTMS + p NSI Full ms [400.00-1400.00]"/>
+				<userParam name="preset scan configuration" type="xsd:string" value="1"/>
+				<scanList count="1">
+					<cvParam cvRef="MS" accession="MS:1000795" name="no combination" />
+					<scan >
+						<cvParam cvRef="MS" accession="MS:1000016" name="scan start time" value="4865.1964" unitAccession="UO:0000010" unitName="second" unitCvRef="UO" />
+						<scanWindowList count="1">
+							<scanWindow>
+								<cvParam cvRef="MS" accession="MS:1000501" name="scan window lower limit" value="400" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+								<cvParam cvRef="MS" accession="MS:1000500" name="scan window upper limit" value="1400" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+							</scanWindow>
+						</scanWindowList>
+					</scan>
+				</scanList>
+				<binaryDataArrayList count="2">
+					<binaryDataArray encodedLength="1260">
+						<cvParam cvRef="MS" accession="MS:1000514" name="m/z array" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+						<cvParam cvRef="MS" accession="MS:1000523" name="64-bit float" />
+						<cvParam cvRef="MS" accession="MS:1000576" name="no compression" />
+						<binary>YmmoArSuhEDZboLR1a6EQBGUlgrRsoRA8hMkwhm0hEBfGSz3trWEQMwXiqXVtoRANRg5B0i3hEB+vqra37iEQP+VShl6uoRA+svtJN26hEDu0FFFRr2EQC7SZ2eNvYRAHkfxM9e+hED4TqTz2cKEQE9zk5LNxoRAZjCqUcPKhEBC6W+/GMyEQJAx8GGZzYRAzl9vDgbQhECIPdNBDdOEQFG8albl1oRAGdUSwW7ZhEDG+A9cVNqEQKg0ARXp2oRAkDr6qlLehEBk0ffl6d6EQAA4wPpo4YRARnJCBF7ihEDBP4EMwuKEQOFRx9th6oRAlMLUbmvthEAgVaw7F/CEQF6bt67D8oRAgr9NLHT1hEBwkGJozfaEQBZBZceZ+YRABATdZqP6hEB3sSN00PqEQGTsBfE0/YRAcCm+qdP+hEATVjYxef+EQJCfGVnpAoVAwGTylIQFhUALoEVevQqFQDbGIm0CEIVAHMJ1cb8hhUBwucmhuCKFQFlLtHTrJ4VA3msIndIrhUDqI9DRty2FQJm3A9rRLoVAlNRb8eQvhUDuXo8qBDSFQDS18EvJNoVATCajkfg3hUAeDHprIDiFQDBojVR/OIVAiEF3Zo44hUACz+gY6TqFQPwYdm8RPIVAmNJZzjs8hUD5haRtYTyFQBBIlvn6PIVAcklRn3RAhUBaxdmb+0KFQGZIihZCQ4VASCoDUf5GhUBC3pcm+kqFQNVaDsQ0S4VA5PqQTWZQhUCF5XHVslKFQEoJRrTnUoVABFT2kE5ThUDRgd6AgVaFQOMQLfKrWoVA6k1X8qJhhUAux2ABr2KFQD729Tu4ZoVAq4k7uKJphUCi6A0PtGqFQFxfrrEja4VAMDs0QM9uhUCY8fJsm3GFQOSS4Yspc4VAWtdCsoJ6hUBIpuWuKnuFQOqgUyXQfoVA99HEq5yGhUDuY29d1I6FQGaMEsrXkoVAQ7Ahn9WWhUDcLgKOnZqFQMq+1aL4moVAcclQp6eehUATM43Byp6FQHzJk5PaooVA1+hLMxCrhUCH+hJHr7KFQFSYSCamuoVAkBGx2qC9hUDruku6F7+FQNAx8tZHwIVAQyUKMN3ChUDFF1VSP8OFQFponxThxoVAKLrW4bPOhUCmi3Wfj9KFQGzAcj3k0oVAaiEwTvTWhUAaLuA6Q9uFQHybufZG44VAs8w0SdzqhUDy/NAW+eqFQHbHjN1Q64VALH5YzlfthUA2Tz/viPWFQNKh6Bux9YVAykjL14z2hUA=</binary>
+					</binaryDataArray>
+					<binaryDataArray encodedLength="632">
+						<cvParam cvRef="MS" accession="MS:1000515" name="intensity array" unitAccession="MS:1000131" unitName="number of detector counts" unitCvRef="MS"/>
+						<cvParam cvRef="MS" accession="MS:1000521" name="32-bit float" />
+						<cvParam cvRef="MS" accession="MS:1000576" name="no compression" />
+						<binary>li+2Q4qs9kN8ElxEvL4yRM5MXEQnO0ZEjItMREqpMUQayCBExX+MREt6+UPC1NVD1XBBRIyENEQ3bktE4Mm8Q0VexkP88ONDmFHtQ3Jp/EN5n31FJFuBRDg3PkQTRTlFQ1AFRPECWkQ8rRJEn6u/QzCutkNzwsdDb63IRV9U5EU9WYRF8duIRKf+AUZxV+BDRq6vQyuBWkU8tahDVvPpRFustkMa0sRDygqxQ+AMo0NfYiZE9kmgQ2MWvENUre5DWk4ORF75nUNq/KlDfMEKRN0+MURtEf9DkQilQyldH0Q8CcxDVELMQxD+uUO5j9pD6b/nRNcXMESiSvBDZpvPQ1Pw6UOKA9lDmcgBRC7F50Mt9shDqvi2Qw2UqkP48tNDgporRPSgz0MPxAxEofTLREKoHUTDJ/ND4bkTROnqDUScAIdF/Q61QzfMB0STq8lEjuqjQ2eyskOkSLZDWjzDQ4wGDkUND7tE04MCRJU2zUMXOAJEabf/Q+D//UMbruFDX63gQ++KmUOX+a9DdFkKRNXQxUNjJs9DmII5RPAeKkTytBBEGEK4Q3Z710PXbrZDSZ3DQ5Kri0U+hdlELWuhQ3itoUOyzMJDR7C/QwrI5UO4S69DGNzVQw==</binary>
+					</binaryDataArray>
+				</binaryDataArrayList>
+			</spectrum>
+			<spectrum id="controllerType=0 controllerNumber=1 scan=6163" index="46" defaultArrayLength="114">
+				<cvParam cvRef="MS" accession="MS:1000127" name="centroid spectrum" />
+				<cvParam cvRef="MS" accession="MS:1000511" name="ms level" value="1" />
+				<cvParam cvRef="MS" accession="MS:1000294" name="mass spectrum" />
+				<cvParam cvRef="MS" accession="MS:1000130" name="positive scan" />
+				<cvParam cvRef="MS" accession="MS:1000504" name="base peak m/z" value="504.301544189453"/>
+				<cvParam cvRef="MS" accession="MS:1000505" name="base peak intensity" value="199269.46875"/>
+				<cvParam cvRef="MS" accession="MS:1000285" name="total ion current" value="4323176.5"/>
+				<cvParam cvRef="MS" accession="MS:1000528" name="lowest observed m/z" value="399.995843742889"/>
+				<cvParam cvRef="MS" accession="MS:1000527" name="highest observed m/z" value="1404.93701466621"/>
+				<userParam name="filter string" type="xsd:string" value="FTMS + p NSI Full ms [400.00-1400.00]"/>
+				<userParam name="preset scan configuration" type="xsd:string" value="1"/>
+				<scanList count="1">
+					<cvParam cvRef="MS" accession="MS:1000795" name="no combination" />
+					<scan >
+						<cvParam cvRef="MS" accession="MS:1000016" name="scan start time" value="4868.7821" unitAccession="UO:0000010" unitName="second" unitCvRef="UO" />
+						<scanWindowList count="1">
+							<scanWindow>
+								<cvParam cvRef="MS" accession="MS:1000501" name="scan window lower limit" value="400" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+								<cvParam cvRef="MS" accession="MS:1000500" name="scan window upper limit" value="1400" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+							</scanWindow>
+						</scanWindowList>
+					</scan>
+				</scanList>
+				<binaryDataArrayList count="2">
+					<binaryDataArray encodedLength="1216">
+						<cvParam cvRef="MS" accession="MS:1000514" name="m/z array" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+						<cvParam cvRef="MS" accession="MS:1000523" name="64-bit float" />
+						<cvParam cvRef="MS" accession="MS:1000576" name="no compression" />
+						<binary>uLHh1smuhECGW5yb0LKEQPhxn3QOtIRA6SCzWLG0hEDlBhVh1rSEQNaK3VmmtYRA6G+fb9a2hEAO/1aoQreEQIcYyTXbuIRAeJWxatW6hEClBB+tCbuEQBqe+N2XvoRA1qZEUd6+hECYgHGe3sKEQMFC6BrPxoRAXl7RTb3HhEDaK3d90MiEQMZPWZHvyoRAesCTgkDWhEBAOfkW59aEQHzpk39s2YRAXu9hQ0vahECCbKnOjdqEQO0CL6jq2oRAjLC4+ITehECELO+7696EQFA6XKW64oRApnj1pe3ihEBy4la0ZOmEQH68cYdq7YRAGyFgLRnwhECeDoGfw/KEQASDaLJx9YRAAd3/rc32hEDtndHia/mEQML9OlHR+oRA3EJmt9H+hEBRGsBn1AKFQEoMQpXaBoVAioFis6IKhUCrSaAdAg+FQBwfkUFMD4VAOUqtYIUShUBGuAePvBKFQGxAQ0jkFYVAbKKrLjIWhUDAyOx6DRmFQOCf13UsH4VAIKmO4DYfhUAC74pq8iKFQMyIvgJUI4VA14Z7XbUnhUAQbZIT0CuFQPOFiIGpLoVAnkq8BOcvhUCAtXn7/zOFQDJaS3/iNYVABOM4Nc42hUBH7TLpojeFQEXhfcryN4VAUL02bxo4hUBgDYYgRziFQNVbSJbSOYVALh9QCBI6hUDI9kENzzqFQJp+kt8BPIVA+Kc+XjQ8hUBuIcEiXTyFQEpV4zx7PIVARqQdwCs9hUAIicd49kKFQLdg7+9qRIVAjvkHaN1FhUAYWwKD3kaFQKRHe3uQSIVAPngSdlFThUDSssxtu16FQJIf0t2hYYVAcX9uka1ihUA6d1Et0WKFQHJ6UQEeY4VAqunCPmFmhUDeE2t7yGaFQDGa9qkSaIVAgopKfqRphUBAiPmHlWqFQIAK/Icia4VAiHVi67BuhUDC62OQknGFQGGpQGcpc4VAgn2RAMWChUAaVsyF7oSFQEPo+xhhiIVAeH0lhn+IhUAB0V2W0o6FQFtb5/TbkoVAcDyNygGThUBiV4Crl5aFQDYFS57aloVAsTc12H6ahUDeZLT/vqqFQE46CI3hsoVAiEj46vm0hUAxfRq9mr2FQM5/u0QHv4VAR+15LUDAhUDcBZ1628KFQCThwAo6w4VA8LEQWNfGhUDZkfbhQtuFQKihpkvg4oVAePHfLk3jhUDM8CCe6uaFQD1jEO6x94VA</binary>
+					</binaryDataArray>
+					<binaryDataArray encodedLength="608">
+						<cvParam cvRef="MS" accession="MS:1000515" name="intensity array" unitAccession="MS:1000131" unitName="number of detector counts" unitCvRef="MS"/>
+						<cvParam cvRef="MS" accession="MS:1000521" name="32-bit float" />
+						<cvParam cvRef="MS" accession="MS:1000576" name="no compression" />
+						<binary>WrDAQ3BQs0R4NXRE8PW3Q9DsDkQ1Q4BEpFGJRN50jUSwXgNErfWCRKYgAUS+K89D3liRRAg5gkQ8lSVECw2/Q2PGvUNVy+5Dqp3xQ3mVOkVO5T9EPV3GRD1FHkTXCMJEI5W3Q8RcJEQivsFDviPDQ0UlukO553RFACpzRQa/LkU3DvhDk2FJRtqE2kNLHfJFI4HnRPKKO0RMFthDQfvVQ3/+tUMfs8pDwk24Q0Qpx0MW//5DBdS5Q5H8z0NCUKRD8silQ0NovENbL7xDja7vQ9fDHERR2ahDY3kQRLPwLETCiytEo/XcQ+cBN0Tsoh1EHMqTRAGTFERFWNpDNE4dRK2fJUSAKEdEX7q4RIZLGUS858FDfTmDRNUwYkQad7JDjNqkQzwK5UNogaVDtTHpQzGnr0Ohx+BEMKIgRDd46kPoYrNDFcjAQ8zOpUMMIr1DnjrnQx7ZxEMy9rVFBfbDQ3uwxkNeqsFEFUO4Q8u5JkSYytxDTQfpQ/nu1ETfvX1E+WuWQyJ0tUPSU1VEPdQgRO0TwkNzP+JDzXmqQz45XUQIE8FDVf7/Qwubu0SrSrJDFLtjROfXhUWkb/9D11GkRF9m/0OViqJD</binary>
+					</binaryDataArray>
+				</binaryDataArrayList>
+			</spectrum>
+			<spectrum id="controllerType=0 controllerNumber=1 scan=6165" index="47" defaultArrayLength="710">
+				<cvParam cvRef="MS" accession="MS:1000127" name="centroid spectrum" />
+				<cvParam cvRef="MS" accession="MS:1000511" name="ms level" value="2" />
+				<cvParam cvRef="MS" accession="MS:1000294" name="mass spectrum" />
+				<cvParam cvRef="MS" accession="MS:1000130" name="positive scan" />
+				<cvParam cvRef="MS" accession="MS:1000504" name="base peak m/z" value="364.951110839844"/>
+				<cvParam cvRef="MS" accession="MS:1000505" name="base peak intensity" value="904.755004882812"/>
+				<cvParam cvRef="MS" accession="MS:1000285" name="total ion current" value="15895.0361328125"/>
+				<cvParam cvRef="MS" accession="MS:1000528" name="lowest observed m/z" value="193.209197998047"/>
+				<cvParam cvRef="MS" accession="MS:1000527" name="highest observed m/z" value="1323.5009765625"/>
+				<userParam name="filter string" type="xsd:string" value="ITMS + c NSI d w Full ms2 670.85@cid35.00 [170.00-1355.00]"/>
+				<userParam name="preset scan configuration" type="xsd:string" value="3"/>
+				<scanList count="1">
+					<cvParam cvRef="MS" accession="MS:1000795" name="no combination" />
+					<scan >
+						<cvParam cvRef="MS" accession="MS:1000016" name="scan start time" value="4870.5443" unitAccession="UO:0000010" unitName="second" unitCvRef="UO" />
+						<userParam name="[Thermo Trailer Extra]Monoisotopic M/Z:" type="xsd:double" value="670.849792480469"/>
+						<scanWindowList count="1">
+							<scanWindow>
+								<cvParam cvRef="MS" accession="MS:1000501" name="scan window lower limit" value="170" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+								<cvParam cvRef="MS" accession="MS:1000500" name="scan window upper limit" value="1355" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+							</scanWindow>
+						</scanWindowList>
+					</scan>
+				</scanList>
+				<precursorList count="1">
+					<precursor>
+						<isolationWindow>
+							<cvParam cvRef="MS" accession="MS:1000827" name="isolation window target m/z" value="670.849792480469" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+							<cvParam cvRef="MS" accession="MS:1000828" name="isolation window lower offset" value="2" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+							<cvParam cvRef="MS" accession="MS:1000829" name="isolation window upper offset" value="2" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+						</isolationWindow>
+						<selectedIonList count="1">
+							<selectedIon>
+								<cvParam cvRef="MS" accession="MS:1000744" name="selected ion m/z" value="670.849792480469" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+								<cvParam cvRef="MS" accession="MS:1000041" name="charge state" value="2" />
+								<cvParam cvRef="MS" accession="MS:1000042" name="peak intensity" value="12635.658203125" unitAccession="MS:1000132" unitName="percent of base peak" unitCvRef="MS" />
+							</selectedIon>
+						</selectedIonList>
+						<activation>
+							<cvParam cvRef="MS" accession="MS:1000133" name="collision-induced dissociation" />
+							<cvParam cvRef="MS" accession="MS:1000045" name="collision energy" value="35"/>
+						</activation>
+					</precursor>
+				</precursorList>
+				<binaryDataArrayList count="2">
+					<binaryDataArray encodedLength="7576">
+						<cvParam cvRef="MS" accession="MS:1000514" name="m/z array" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+						<cvParam cvRef="MS" accession="MS:1000523" name="64-bit float" />
+						<cvParam cvRef="MS" accession="MS:1000576" name="no compression" />
+						<binary>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</binary>
+					</binaryDataArray>
+					<binaryDataArray encodedLength="3788">
+						<cvParam cvRef="MS" accession="MS:1000515" name="intensity array" unitAccession="MS:1000131" unitName="number of detector counts" unitCvRef="MS"/>
+						<cvParam cvRef="MS" accession="MS:1000521" name="32-bit float" />
+						<cvParam cvRef="MS" accession="MS:1000576" name="no compression" />
+						<binary>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</binary>
+					</binaryDataArray>
+				</binaryDataArrayList>
+			</spectrum>
+			<spectrum id="controllerType=0 controllerNumber=1 scan=6169" index="48" defaultArrayLength="128">
+				<cvParam cvRef="MS" accession="MS:1000127" name="centroid spectrum" />
+				<cvParam cvRef="MS" accession="MS:1000511" name="ms level" value="1" />
+				<cvParam cvRef="MS" accession="MS:1000294" name="mass spectrum" />
+				<cvParam cvRef="MS" accession="MS:1000130" name="positive scan" />
+				<cvParam cvRef="MS" accession="MS:1000504" name="base peak m/z" value="504.301483154297"/>
+				<cvParam cvRef="MS" accession="MS:1000505" name="base peak intensity" value="226280.8125"/>
+				<cvParam cvRef="MS" accession="MS:1000285" name="total ion current" value="4382270"/>
+				<cvParam cvRef="MS" accession="MS:1000528" name="lowest observed m/z" value="399.995716545006"/>
+				<cvParam cvRef="MS" accession="MS:1000527" name="highest observed m/z" value="1404.93656789946"/>
+				<userParam name="filter string" type="xsd:string" value="FTMS + p NSI Full ms [400.00-1400.00]"/>
+				<userParam name="preset scan configuration" type="xsd:string" value="1"/>
+				<scanList count="1">
+					<cvParam cvRef="MS" accession="MS:1000795" name="no combination" />
+					<scan >
+						<cvParam cvRef="MS" accession="MS:1000016" name="scan start time" value="4872.3836" unitAccession="UO:0000010" unitName="second" unitCvRef="UO" />
+						<scanWindowList count="1">
+							<scanWindow>
+								<cvParam cvRef="MS" accession="MS:1000501" name="scan window lower limit" value="400" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+								<cvParam cvRef="MS" accession="MS:1000500" name="scan window upper limit" value="1400" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+							</scanWindow>
+						</scanWindowList>
+					</scan>
+				</scanList>
+				<binaryDataArrayList count="2">
+					<binaryDataArray encodedLength="1368">
+						<cvParam cvRef="MS" accession="MS:1000514" name="m/z array" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+						<cvParam cvRef="MS" accession="MS:1000523" name="64-bit float" />
+						<cvParam cvRef="MS" accession="MS:1000576" name="no compression" />
+						<binary>DszRu8iqhEBAEUR8za6EQLiWrLvVsoRA2geURRO0hEBg/FzbqrWEQFB3QSfStoRAD6dNpUS3hEBDSFBQ47iEQJBvMmjUuoRA4RVu6BW8hED2nlbo276EQI6BjaywwIRA3risnFTChED8ikuL3cKEQK9t9dvGxoRAgvHJ6N/GhEAEfxx/mcqEQCh2Ey/eyoRARY2jyxbMhECqZ2ct4c6EQCLDvrnCz4RArJmkk0jShEDs0PgrpdKEQPQBQp7S0oRAJ2LEr03WhEBap40O5taEQFo4Glps2YRAQ5MLhU7ahEAkM5bY6tqEQBonl/9T3oRA+GrdkurehEDmknZHcuGEQP4wIMJa4oRALD4tiIXihECUt5Jeae2EQHrW3RwZ8IRAWzZ1WMTyhECUItgizfaEQD9QCnxD+YRA3oMq/9D6hEAieP0R1P6EQNwb5BfaAoVA2Nl6h9gGhUBk1DkwCw6FQLTzeILjEYVAHi/7MyMWhUAa/ilZ4xaFQEokpDNPHYVAiqICmVsghUDW8ju5XiWFQEj8T9i/J4VADLvyhtQrhUDqFpguoy6FQMQJ7/LtL4VAgg4L2wg0hUBHbw+UyDaFQABm8YqfN4VAbjQj3vY3hUBku4pOJTiFQCrl9pHqO4VA/NTekUA8hUCCJhxLajyFQJh4m0+GPIVAxs7no0w+hUAFJ8uhtz6FQDMdA4PkPoVATjQejexAhUAS4wFLFEGFQHZIw3TYQoVANTvLLgFDhUASbPMAUkWFQApKqvN+RYVAMY6sUJlFhUDyBtTCtUWFQL18JTn4RYVA8cCZGkVHhUD8uoQhu1KFQBLNPH5QU4VA0lc/i6xahUAwdo8h5VqFQOCkRrWjYYVAa0XSI7RihUCIZ3IatWaFQO7RIyihaYVAOq21G4RqhUBIit3OuWqFQK6K8mIia4VA7sqc5JFxhUCEDxGtKHOFQPuT7aIoe4VA+15dZJp+hUCGP8Rcr36FQMJLhTgqgIVAb2CPgIWKhUDgostR0o6FQCpEXyrakoVASowLv96WhUBhfjlGIZiFQLK/gf2ZmoVAqkrPrtiahUAeonC6vJ6FQLD73wjUpoVA0lsFWXa6hUA8gFqunb2FQFAroXNFwIVAhi8Yj3bBhUAQ4SIs1sKFQPB95v/6woVA5wktcjrDhUBEI6JS2caFQNwJPj0zyIVA7oC2RObKhUB8WXJOstaFQPi3TISv2oVAZm4UxkHbhUDyOzqmk96FQFC863F84oVAxdNvLknjhUBG+6RktOaFQGBI1k/x5oVANBz1bG3nhUAwEYXEvueFQBybrZrM6oVAGCx9Y/vqhUAhedwcSeuFQPkWsX+U7YVAUciwza/uhUDuj1YgZfWFQA==</binary>
+					</binaryDataArray>
+					<binaryDataArray encodedLength="684">
+						<cvParam cvRef="MS" accession="MS:1000515" name="intensity array" unitAccession="MS:1000131" unitName="number of detector counts" unitCvRef="MS"/>
+						<cvParam cvRef="MS" accession="MS:1000521" name="32-bit float" />
+						<cvParam cvRef="MS" accession="MS:1000576" name="no compression" />
+						<binary>j35ARMNBGEReFjJEXH6ARNq2gkTysqhEqYzvQyd9G0RKX69E0FMARIgyIETDf+RDxJCkQ7t6hERQu+tDuJDvQyO9pkNWVx9EyxC4Q6VbwENl8i9EEQ7pQyOOr0Mx+f5D0Y2nRG1qJ0V/0KpEB/klRH9kAEUsqwZEq6QpRIEs90NgNtNDvtm1QzVe8EQJkzdFPavHRK/BrEZBecJDcI5IRr6fa0UgJmtEKB1ERBzx1UNAl3JEJu2/QwMnpUOGcKZDdNKrQ6boyEP2G+BDrF0dRKQbxUO+PRhE+1wpRIFIoEQp9P9DDgzCQ/CaQkSDF7VDbejiRIHf2UOA9ahDmjPOQ3eIskPU0LtDBtAURMPSMkSEH+VDD71ERGFezUNj9rlD6iqtQ1992ENedMlDpuyxQ88LwkO1OOVD8zPtQ+7JvEN41dhEZpwnRHEdT0RW829EJ1+uQ21GykNiXqJFmNa/Q2+WB0UwnApEoxuWQ+8/nUM+s+NDbnCZQy6Ns0Q5V4VE9BCqQ4WGt0OPwatDzJ+XQzct2UMwQAVEhMa0Q7x4HkQ5PEhEoXYoRPIClES+p/NDtdchRJIyoETXYQlE9rfEQ28F4kNmFqxDE+N+RdCqq0OUF+BDANK2RM0zHkS8d/ZDq2mqQwDlrEPClg1EALP4Q9OdxkOk2aZDjlzGQy+jP0Q=</binary>
+					</binaryDataArray>
+				</binaryDataArrayList>
+			</spectrum>
+			<spectrum id="controllerType=0 controllerNumber=1 scan=6170" index="49" defaultArrayLength="727">
+				<cvParam cvRef="MS" accession="MS:1000127" name="centroid spectrum" />
+				<cvParam cvRef="MS" accession="MS:1000511" name="ms level" value="2" />
+				<cvParam cvRef="MS" accession="MS:1000294" name="mass spectrum" />
+				<cvParam cvRef="MS" accession="MS:1000130" name="positive scan" />
+				<cvParam cvRef="MS" accession="MS:1000504" name="base peak m/z" value="364.920288085938"/>
+				<cvParam cvRef="MS" accession="MS:1000505" name="base peak intensity" value="1029.12817382812"/>
+				<cvParam cvRef="MS" accession="MS:1000285" name="total ion current" value="16937.607421875"/>
+				<cvParam cvRef="MS" accession="MS:1000528" name="lowest observed m/z" value="190.332763671875"/>
+				<cvParam cvRef="MS" accession="MS:1000527" name="highest observed m/z" value="1317.58239746094"/>
+				<userParam name="filter string" type="xsd:string" value="ITMS + c NSI d w Full ms2 670.85@cid35.00 [170.00-1355.00]"/>
+				<userParam name="preset scan configuration" type="xsd:string" value="2"/>
+				<scanList count="1">
+					<cvParam cvRef="MS" accession="MS:1000795" name="no combination" />
+					<scan >
+						<cvParam cvRef="MS" accession="MS:1000016" name="scan start time" value="4873.7264" unitAccession="UO:0000010" unitName="second" unitCvRef="UO" />
+						<userParam name="[Thermo Trailer Extra]Monoisotopic M/Z:" type="xsd:double" value="670.850036621094"/>
+						<scanWindowList count="1">
+							<scanWindow>
+								<cvParam cvRef="MS" accession="MS:1000501" name="scan window lower limit" value="170" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+								<cvParam cvRef="MS" accession="MS:1000500" name="scan window upper limit" value="1355" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+							</scanWindow>
+						</scanWindowList>
+					</scan>
+				</scanList>
+				<precursorList count="1">
+					<precursor>
+						<isolationWindow>
+							<cvParam cvRef="MS" accession="MS:1000827" name="isolation window target m/z" value="670.850036621094" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+							<cvParam cvRef="MS" accession="MS:1000828" name="isolation window lower offset" value="2" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+							<cvParam cvRef="MS" accession="MS:1000829" name="isolation window upper offset" value="2" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+						</isolationWindow>
+						<selectedIonList count="1">
+							<selectedIon>
+								<cvParam cvRef="MS" accession="MS:1000744" name="selected ion m/z" value="670.850036621094" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+								<cvParam cvRef="MS" accession="MS:1000041" name="charge state" value="2" />
+								<cvParam cvRef="MS" accession="MS:1000042" name="peak intensity" value="21642.3984375" unitAccession="MS:1000132" unitName="percent of base peak" unitCvRef="MS" />
+							</selectedIon>
+						</selectedIonList>
+						<activation>
+							<cvParam cvRef="MS" accession="MS:1000133" name="collision-induced dissociation" />
+							<cvParam cvRef="MS" accession="MS:1000045" name="collision energy" value="35"/>
+						</activation>
+					</precursor>
+				</precursorList>
+				<binaryDataArrayList count="2">
+					<binaryDataArray encodedLength="7756">
+						<cvParam cvRef="MS" accession="MS:1000514" name="m/z array" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+						<cvParam cvRef="MS" accession="MS:1000523" name="64-bit float" />
+						<cvParam cvRef="MS" accession="MS:1000576" name="no compression" />
+						<binary>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</binary>
+					</binaryDataArray>
+					<binaryDataArray encodedLength="3880">
+						<cvParam cvRef="MS" accession="MS:1000515" name="intensity array" unitAccession="MS:1000131" unitName="number of detector counts" unitCvRef="MS"/>
+						<cvParam cvRef="MS" accession="MS:1000521" name="32-bit float" />
+						<cvParam cvRef="MS" accession="MS:1000576" name="no compression" />
+						<binary>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</binary>
+					</binaryDataArray>
+				</binaryDataArrayList>
+			</spectrum>
+			<spectrum id="controllerType=0 controllerNumber=1 scan=6173" index="50" defaultArrayLength="127">
+				<cvParam cvRef="MS" accession="MS:1000127" name="centroid spectrum" />
+				<cvParam cvRef="MS" accession="MS:1000511" name="ms level" value="1" />
+				<cvParam cvRef="MS" accession="MS:1000294" name="mass spectrum" />
+				<cvParam cvRef="MS" accession="MS:1000130" name="positive scan" />
+				<cvParam cvRef="MS" accession="MS:1000504" name="base peak m/z" value="504.301544189453"/>
+				<cvParam cvRef="MS" accession="MS:1000505" name="base peak intensity" value="231429.765625"/>
+				<cvParam cvRef="MS" accession="MS:1000285" name="total ion current" value="4115042.5"/>
+				<cvParam cvRef="MS" accession="MS:1000528" name="lowest observed m/z" value="399.996076227625"/>
+				<cvParam cvRef="MS" accession="MS:1000527" name="highest observed m/z" value="1404.93783156114"/>
+				<userParam name="filter string" type="xsd:string" value="FTMS + p NSI Full ms [400.00-1400.00]"/>
+				<userParam name="preset scan configuration" type="xsd:string" value="1"/>
+				<scanList count="1">
+					<cvParam cvRef="MS" accession="MS:1000795" name="no combination" />
+					<scan >
+						<cvParam cvRef="MS" accession="MS:1000016" name="scan start time" value="4875.0857" unitAccession="UO:0000010" unitName="second" unitCvRef="UO" />
+						<scanWindowList count="1">
+							<scanWindow>
+								<cvParam cvRef="MS" accession="MS:1000501" name="scan window lower limit" value="400" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+								<cvParam cvRef="MS" accession="MS:1000500" name="scan window upper limit" value="1400" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+							</scanWindow>
+						</scanWindowList>
+					</scan>
+				</scanList>
+				<binaryDataArrayList count="2">
+					<binaryDataArray encodedLength="1356">
+						<cvParam cvRef="MS" accession="MS:1000514" name="m/z array" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+						<cvParam cvRef="MS" accession="MS:1000523" name="64-bit float" />
+						<cvParam cvRef="MS" accession="MS:1000576" name="no compression" />
+						<binary>Jq1mtcOuhEAMq9s+zrKEQLaK0QwRtIRAWCQjB6q1hEBhYnETfLaEQDg8s0vYtoRAwxZ+C9q4hEBT+G3rg7qEQCj0QErVuoRA+BeMSQ27hEDjFTpOtr2EQF9WUqzbvoRAwMDNp+DChEDbKHCLisWEQKaBClNIxoRA6xAoPOTGhEAWqgxqm8qEQHWU4uwczIRAUqLEcybShEBe9rbqm9KEQHdPknlo1IRAZPiTQ0TWhEAELw4k6NaEQLzYPA9r2YRAG0VCwEjahECXcavI69qEQGn+S1U13YRAq5/jE1LehECW00f3Sd+EQKwFgnBl4oRAFnZmbO/ihEAhIrR6DeiEQIIuePST6oRAb/sWf2nthEAyq3dxFvCEQBwt8+3D8oRAyg6ax2z1hEAZC1hszfaEQBhIvtX/9oRAwCLoil/5hEBu02OhavqEQLwMgorQ+oRASIdINNX+hEA/lU9v1QKFQMADqSWKCoVARnKGA9QKhUDAX6jcvhKFQN53Ix5zI4VAheXukpAmhUArQw3IqyeFQJFnV7unKoVA5c0mIM0rhUAuCSUTki6FQHwQaZTlL4VA8CT3nr0yhUDu1bzW/TOFQBynIsDHNoVABJaNr6E3hUAqpWLeFziFQClhD57UOoVAqFBPgLw7hUCUGgId/juFQPSflOAzPIVABCVl7mI8hUB+ydE6+TyFQO74UFEOPoVAPzk6aMg+hUBs/xM6/kKFQLAxpDW5SIVAtukqkQlLhUC8b9+ke06FQMx/bmZSU4VAztU49ptahUCeXoQqrl6FQOUfjKCiYYVAcS/UFK9ihUCqsJZYsmaFQOiOwoOkaYVAcjiQdLpqhUAuW7SvImuFQGjHRtWacYVAK4TV9ilzhUBXhSBkzHaFQHnMWFX+doVAt+wslQV7hUCVKmyu1YKFQCLIsLXYioVA3kMONNqOhUDQ8WaL2pKFQGQgRX/HlIVAGFpM7habhUAs0MN1Kp6FQGwEOUxwnoVA07Gxa3uihUA9iExPuKKFQMt/YZTHpYVAxiq+fWWqhUAnLYHijLKFQAwaOODQsoVA1KcB/Hi2hUCi4x6T+LqFQOazOEIZu4VA3qE/qkvAhUAi0A5m28KFQMZNLqE9w4VA5oQf353FhUDy6at32MaFQPdaukTiyoVAN1ZoutbOhUA+Tnp+KNKFQHxdHVCv0oVAIlIg30bVhUBXeeXCVdWFQBLGZSJC24VAQhR11i/hhUDOAHHuiOKFQD5plNjv4oVAIgkKpUvjhUBEPvm9sOaFQBJAH/rs5oVAzwB5oq7qhUBK0htg2+qFQIDBvDhC8IVAYH4ttG/whUCt8Woj7fKFQOasUn5184VA8iajxKr2hUA=</binary>
+					</binaryDataArray>
+					<binaryDataArray encodedLength="680">
+						<cvParam cvRef="MS" accession="MS:1000515" name="intensity array" unitAccession="MS:1000131" unitName="number of detector counts" unitCvRef="MS"/>
+						<cvParam cvRef="MS" accession="MS:1000521" name="32-bit float" />
+						<cvParam cvRef="MS" accession="MS:1000576" name="no compression" />
+						<binary>EqkyRPU9I0RG0XNEBk5URMFSm0OyfbtEKCPaQ0BfB0RVoJ1EsEOtQ2/fqUNfurZEaL2ORFsLD0QO/8tDxnIfRMJy9ENYF7NDCmSWQ2Q4H0Snu9JDaLABRDWaIkWZPT9EMwfQQ7RZjkQyHchDB2TnQ1fqE0Tg3KtDoITQQ0q9jkNSYahDp/b+RD038ETpGFVEU8wzRDOI6kbMRatDjo7MQ9cdx0MW74dGYLe0RRpSf0RlAZ9DSRO/Q/J2C0T1l+5DOSTGQ5svu0O+r9VD7oMFRNeZrkPAFStEUgWNQzyILUTCXYBEBW4MRDMgLUQRkwlE+dCwQ9THpkM+jNBE2/K7Q6yw00N79VlE+XSlQ0zxTUQ9UiFE2jeyQ5fwr0Pxjz9Ee2WYQymtxUN/EeZEOiDoQ3CWcEQCRktEDaasQ2lyjEXhJ/RDCsP9RHOA1UO5rclD6g5ARHeKGURi2LhD/I5ARKea6EMzwdRDHnnYQ3tv2UPYzQhED1WRQx/ar0Md3tVDVOrzQ2uFpkNEbO9DGEamQ8jOykNu8ZxDCUYFRPLZvERJA2dEfe3qQ+vXh0RsMhFE4s3zQy1asEPCHhREJ6+SQ20vjkNV12tF04adQ1xb70Ol89VD9tGrRETfL0R/pv1DNCpIRDoFoENcLr5DVrEWRHAdsUMOmgxEkwnqQw==</binary>
+					</binaryDataArray>
+				</binaryDataArrayList>
+			</spectrum>
+			<spectrum id="controllerType=0 controllerNumber=1 scan=6179" index="51" defaultArrayLength="114">
+				<cvParam cvRef="MS" accession="MS:1000127" name="centroid spectrum" />
+				<cvParam cvRef="MS" accession="MS:1000511" name="ms level" value="1" />
+				<cvParam cvRef="MS" accession="MS:1000294" name="mass spectrum" />
+				<cvParam cvRef="MS" accession="MS:1000130" name="positive scan" />
+				<cvParam cvRef="MS" accession="MS:1000504" name="base peak m/z" value="504.301361083984"/>
+				<cvParam cvRef="MS" accession="MS:1000505" name="base peak intensity" value="267265.34375"/>
+				<cvParam cvRef="MS" accession="MS:1000285" name="total ion current" value="4140880.5"/>
+				<cvParam cvRef="MS" accession="MS:1000528" name="lowest observed m/z" value="399.995692513562"/>
+				<cvParam cvRef="MS" accession="MS:1000527" name="highest observed m/z" value="1404.9364838291"/>
+				<userParam name="filter string" type="xsd:string" value="FTMS + p NSI Full ms [400.00-1400.00]"/>
+				<userParam name="preset scan configuration" type="xsd:string" value="1"/>
+				<scanList count="1">
+					<cvParam cvRef="MS" accession="MS:1000795" name="no combination" />
+					<scan >
+						<cvParam cvRef="MS" accession="MS:1000016" name="scan start time" value="4878.7578" unitAccession="UO:0000010" unitName="second" unitCvRef="UO" />
+						<scanWindowList count="1">
+							<scanWindow>
+								<cvParam cvRef="MS" accession="MS:1000501" name="scan window lower limit" value="400" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+								<cvParam cvRef="MS" accession="MS:1000500" name="scan window upper limit" value="1400" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+							</scanWindow>
+						</scanWindowList>
+					</scan>
+				</scanList>
+				<binaryDataArrayList count="2">
+					<binaryDataArray encodedLength="1216">
+						<cvParam cvRef="MS" accession="MS:1000514" name="m/z array" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+						<cvParam cvRef="MS" accession="MS:1000523" name="64-bit float" />
+						<cvParam cvRef="MS" accession="MS:1000576" name="no compression" />
+						<binary>o/YDgMuuhEBW7z44nLKEQBVsmyHLsoRAxC23a661hEAIP+tq0baEQGAtT+5Dt4RAvUNhifa3hEBBSQlm3riEQNRWtj/YuoRAscfXSd6+hECEV9SCMMCEQDWnyMicwoRAV9mlZ+DChEDSvkGehsWEQMjeQ2XoxoRAFvPhKiPIhEDWPEoTe8qEQGPV99vryoRAH/6gZJrOhEC2fRoBL9CEQIG6FSlL1oRA4P7fjebWhECiPk5PDtiEQP3Xx0ht2YRAAEKQSEjahEA8i0Fu6NqEQGOMh55c3oRAF7EpQe7ehEB0wu7RbOGEQIapHn5X4oRA2MHrKVvmhEDUbZFcZOmEQBFt/0BV6oRAz2CZMmvthEC4HjXbGfCEQCnHgRdv8oRApKk6QpXyhEAij3XDKfSEQLxlwJ7N9oRAtZlF4yT5hEAC6Ifhz/qEQGq8Eh2j+4RAJUJzwEL9hEBp93u80/6EQFyrmQLUAoVASo/odmoDhUAk8MKPpgWFQI61jkroBYVAj9zi/d8KhUDg0IwnLR6FQAjqvpluIoVAGKuLL3kmhUCd1z7I2yaFQGxakcC9J4VASnsVeJAqhUDK05yd1CuFQIJMDHnuL4VAWj4vt9MzhUAi4TGFDDSFQOBU6H3INoVAysCJNaE3hUBVgHURIjiFQHoFLHZQOoVApzt9YMw6hUARpRSd/DqFQKBh5lASPIVA9m4anD88hUCg67NZbzyFQGmZUMZpQoVA6mDmWPpChUC0052Ge0SFQEtLHZ8jToVArFNtNXpOhUAgx/b4U1OFQCg3I/gUWIVAsOCOIrNahUCcp4FeoWGFQCYVMDitYoVAJlll1rZmhUBCn4CjoWmFQOXmnc8ha4VABhuFE6FxhUD/DyQAK3OFQHbxF6TJeoVA61ij2hyChUDmed2K0IaFQKTqLYDAh4VAPnUEB9mKhUAw1mNZ5I6FQAqY/BPbkoVAUgpbnuKWhUD0xVwV35qFQD7XWnzGnoVAqfXwWaifhUBS1phqH6uFQG2/2GHHsoVA3FgVItLChUB9+v1uOsOFQHm2yVDZxoVAX0QRb9bKhUCsdeA19s+FQAPHM+qt0oVAQcZc2OzShUAykVVBQ9uFQKZPXBTy3oVAqnMTYUnjhUDMnp/FquaFQFG+6xT15oVAQXOETK/qhUDO+jgG5uqFQL93HLIS64VAcm7ae7HyhUBwQZPJy/KFQL9Tjs/u8oVA</binary>
+					</binaryDataArray>
+					<binaryDataArray encodedLength="608">
+						<cvParam cvRef="MS" accession="MS:1000515" name="intensity array" unitAccession="MS:1000131" unitName="number of detector counts" unitCvRef="MS"/>
+						<cvParam cvRef="MS" accession="MS:1000521" name="32-bit float" />
+						<cvParam cvRef="MS" accession="MS:1000576" name="no compression" />
+						<binary>Oug5RKi67EOCXaREe5mdRFe2ekRdpiJElS8URGQzBEQiugJFfTORRCAup0N1MMBDAScaRMoW70PJ1ilEyy4mRKv/ykNhI+xDCZe/QwbUnEOt8EpE2Eq5RP0U6kN8f5pE6ecERJaLrERy2xZEz3d4RNdNA0RDO/5DKJO7Q0bFoENuH6RD/oehRA2ZuURdi6FDa3T/Q6bl5kPK0BFHnJuqQ4gu0kZu4DpED6CvQzOG1kV/nd9EGzapQ2pZDkTvYIlEv9UaRENgvEOAp6FD8w2jQ0lx8EMJb/pDaFPLQ7uOIkSpbe1Dxy66QzNWCUTuLd9EB37yQyMiJERToFNEoaKDRH2x1UOGqepDs2vSRF9/u0O8yNVDsx9aROwxukOjkrpDCsjHQ6X3CkTU31ZERrvsQ/lFAkV2yd1DqBIbRCWDg0Q5uJ5FVN6yQ1vakkTFgMBDVTMYRPgpxkNk75ZDbiLuQ6zfwEM5UPlDS1jpQ1IUrUOuKapDjqGPQx+JtkNDSR5EMkPIRMN6gES+2JZE6/viQzVn0UN1Z65DKOXZQ4YIU0UGf+xDQraXRJ6IdkTQx8VDA+hBREoF6ENb6RdEoMW1QyNnvUOiz6pD</binary>
+					</binaryDataArray>
+				</binaryDataArrayList>
+			</spectrum>
+			<spectrum id="controllerType=0 controllerNumber=1 scan=6182" index="52" defaultArrayLength="113">
+				<cvParam cvRef="MS" accession="MS:1000127" name="centroid spectrum" />
+				<cvParam cvRef="MS" accession="MS:1000511" name="ms level" value="1" />
+				<cvParam cvRef="MS" accession="MS:1000294" name="mass spectrum" />
+				<cvParam cvRef="MS" accession="MS:1000130" name="positive scan" />
+				<cvParam cvRef="MS" accession="MS:1000504" name="base peak m/z" value="504.301422119141"/>
+				<cvParam cvRef="MS" accession="MS:1000505" name="base peak intensity" value="272765.5625"/>
+				<cvParam cvRef="MS" accession="MS:1000285" name="total ion current" value="4164373.75"/>
+				<cvParam cvRef="MS" accession="MS:1000528" name="lowest observed m/z" value="399.995581247284"/>
+				<cvParam cvRef="MS" accession="MS:1000527" name="highest observed m/z" value="1404.93609301804"/>
+				<userParam name="filter string" type="xsd:string" value="FTMS + p NSI Full ms [400.00-1400.00]"/>
+				<userParam name="preset scan configuration" type="xsd:string" value="1"/>
+				<scanList count="1">
+					<cvParam cvRef="MS" accession="MS:1000795" name="no combination" />
+					<scan >
+						<cvParam cvRef="MS" accession="MS:1000016" name="scan start time" value="4881.0301" unitAccession="UO:0000010" unitName="second" unitCvRef="UO" />
+						<scanWindowList count="1">
+							<scanWindow>
+								<cvParam cvRef="MS" accession="MS:1000501" name="scan window lower limit" value="400" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+								<cvParam cvRef="MS" accession="MS:1000500" name="scan window upper limit" value="1400" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+							</scanWindow>
+						</scanWindowList>
+					</scan>
+				</scanList>
+				<binaryDataArrayList count="2">
+					<binaryDataArray encodedLength="1208">
+						<cvParam cvRef="MS" accession="MS:1000514" name="m/z array" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+						<cvParam cvRef="MS" accession="MS:1000523" name="64-bit float" />
+						<cvParam cvRef="MS" accession="MS:1000576" name="no compression" />
+						<binary>+l7FjsWqhED8Wvw/z66EQLZ6OP+bsoRA/WHJ79KyhEAwpcfsDrSEQPpqAKpPtYRAvu6v4qu1hEBGiAbp17aEQO1zxOBFt4RAyp2Gphm4hEAQrfmZ4biEQDZG7k1zuoRAxEhsy9m6hEBtd9+cGLyEQCr1lfTcvoRAMt1LdbPChECUjwW/3MKEQHzS4ZRvxYRAlwc4y+HGhEA1R5gz5cqEQJoSeP5hzoRAZgqwMunOhECEsi/FT8+EQN4F7erxz4RAJM8Pu7PShEAAnHJ/S9aEQIVEMODn1oRAovDOvWzZhECvAW5+WtqEQPJv/1Hs2oRASDjmnFvehECM07ln7N6EQF2o/jxe4oRA/X24YmTmhEDOPz8+X+2EQCT2Pvhy7YRA6icFd9HuhECJKrH0FfCEQLIO3X/A8oRAQGZuXgbzhEBe8VKMzfaEQArkclzQ+oRArgnB+9L+hECujLJIiQCFQPwrWgOFAoVAsV95RdcChUDAWzbdtw6FQJgQnAxuEoVAn4I7caUShUC3yvEW1ByFQI4y8Yu/HoVACWgYqbInhUC33p9hYCqFQEAaXwnMK4VAM4i1O80uhUAW4K1P4y+FQPTpBwq/MoVAvoGN28k2hUCbI3PVnjeFQHrL9zEYOIVABPeyf0M4hUDW78myUDqFQCC9YnlvOoVAiPvHPMw6hUBPO5qwxDuFQLjTK+vvO4VAzD9wIDI8hUDC8e3xWzyFQOyyf96APIVAj927frI+hUDczyPW7T6FQBgaJpxtRIVACKx5u2tNhUCGObvTSFKFQMwBcNCRUoVAUidJxgpWhUBaX2N6rVqFQKnOEtChYYVAqh8UGKxihUCk8Tzts2aFQJKj0NKjaYVA0NtVryNrhUC6ROQ23nKFQGCpqMUpc4VAQptXOgF3hUAmoVw9oXqFQPx9bNjXeoVAKEcHutSChUBCJXng45KFQCaAM/weq4VA2ne6NnWthUDD4V1ED7eFQAiA/84AuIVAqLYrFTbAhUAardP71cKFQPIXnZo+w4VAMAu4bdjGhUDOgEr34sqFQMImWpdCy4VAKic/UsvShUAkLQYG5tKFQEe0yKV+04VA6iWdFXHYhUAzeIcRltiFQMR4V+i22oVAoDGl6dbahUB7ql7xRNuFQASZVWHw34VAqFHxtEnjhUB0K+ooruaFQCaGL8yu6oVAWAkz4OjqhUC6SGBE9u6FQA==</binary>
+					</binaryDataArray>
+					<binaryDataArray encodedLength="604">
+						<cvParam cvRef="MS" accession="MS:1000515" name="intensity array" unitAccession="MS:1000131" unitName="number of detector counts" unitCvRef="MS"/>
+						<cvParam cvRef="MS" accession="MS:1000521" name="32-bit float" />
+						<cvParam cvRef="MS" accession="MS:1000576" name="no compression" />
+						<binary>bgRCRAR4+0MdcadD/ZaPRBay7UNcv7BDHCiCRKdemEQ95C1EFeCdQ2N+U0SbUaRDFDMQRcVE00MWuJtED47KQ26IlEQOYNFDddyJRA6SHURU7alDHMjFQ16Q8kOC9LBD2y6qQ8nXFESz9tFEm+e3RNHuxUNXm45EQoIXRGN7IkQead1DuhXAQ541uEOLPfhDZ+u/QwzNHURd3RhEX/GwQ7doRUeYtv5GUckIRm0nskP4MA9E7CwFRcgPvUNrDABE1hi4QzL2nEPVDvdDZDfIQ0e2qUNl+PZDWXCcQ8h+AkQlYTNEejAtRSf6ykPP2yZEzZDFQ/DdI0Q9yaZDTsPVRJNXvUP2l65Dmp3FRD4eHEQ0as5D3VzQQ2XkoUPoUqxDPESpQ7QWw0PS7bpDmG/NQyMn30MQarBE8A46RGJ0C0Qaa3FEvtuQRV93vkNImcBEcqOzQynYsEM6eqVDBFj0QxJ1F0TsWthD7E3OQwvTvENcUaFDQmqqQ1k7t0QbqmZENajRRDlzD0QOHuFDY8uXQ8CS4kP3QipEsvuwQ8x0z0PsH7ZDCHUbRCfEOUWG6MBDgQy0ROPUQkRZcCRED9WZQ46ywUM=</binary>
+					</binaryDataArray>
+				</binaryDataArrayList>
+			</spectrum>
+			<spectrum id="controllerType=0 controllerNumber=1 scan=6184" index="53" defaultArrayLength="129">
+				<cvParam cvRef="MS" accession="MS:1000127" name="centroid spectrum" />
+				<cvParam cvRef="MS" accession="MS:1000511" name="ms level" value="1" />
+				<cvParam cvRef="MS" accession="MS:1000294" name="mass spectrum" />
+				<cvParam cvRef="MS" accession="MS:1000130" name="positive scan" />
+				<cvParam cvRef="MS" accession="MS:1000504" name="base peak m/z" value="504.301361083984"/>
+				<cvParam cvRef="MS" accession="MS:1000505" name="base peak intensity" value="284433.28125"/>
+				<cvParam cvRef="MS" accession="MS:1000285" name="total ion current" value="4150721.25"/>
+				<cvParam cvRef="MS" accession="MS:1000528" name="lowest observed m/z" value="399.995691409549"/>
+				<cvParam cvRef="MS" accession="MS:1000527" name="highest observed m/z" value="1404.93647994338"/>
+				<userParam name="filter string" type="xsd:string" value="FTMS + p NSI Full ms [400.00-1400.00]"/>
+				<userParam name="preset scan configuration" type="xsd:string" value="1"/>
+				<scanList count="1">
+					<cvParam cvRef="MS" accession="MS:1000795" name="no combination" />
+					<scan >
+						<cvParam cvRef="MS" accession="MS:1000016" name="scan start time" value="4882.8637" unitAccession="UO:0000010" unitName="second" unitCvRef="UO" />
+						<scanWindowList count="1">
+							<scanWindow>
+								<cvParam cvRef="MS" accession="MS:1000501" name="scan window lower limit" value="400" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+								<cvParam cvRef="MS" accession="MS:1000500" name="scan window upper limit" value="1400" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+							</scanWindow>
+						</scanWindowList>
+					</scan>
+				</scanList>
+				<binaryDataArrayList count="2">
+					<binaryDataArray encodedLength="1376">
+						<cvParam cvRef="MS" accession="MS:1000514" name="m/z array" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+						<cvParam cvRef="MS" accession="MS:1000523" name="64-bit float" />
+						<cvParam cvRef="MS" accession="MS:1000576" name="no compression" />
+						<binary>IkKeqMyqhEAi/t060a6EQG68xtn9r4RANi37hGWyhEAhV7tTibKEQOhgiwHSsoRAlCJmM1O1hEAxR5l9q7WEQCTm3T8ytoRAvbF+OdW2hED53QandrqEQM7KMiPWuoRA2AlvkN6+hEAncgrKs8KEQHxotr/cwoRAePktjOTGhECwRWCVWMqEQMhfWngWzIRAPwKBgJjOhEAYc/RkTNaEQFS2uS/n1oRADxi0QWzZhEDNqGbMStqEQBRTr9/j2oRAX55NT1nehEAsiuK27d6EQKCChK9q4YRAlBoBxVfihEBe/33r5eKEQLoKvJdc5oRAODAW6mXphEBuJ4rJZu2EQLfotl5h7oRAOvRGrxHwhEBUyf+HafGEQL8XCULE8oRAMJepunj1hECeLIwTzfaEQNaQTxt494RAtIcHBDr5hECqdLozefmEQGXYLhrQ+oRA0HMaSV/7hEAkh9mu0v6EQLTbPX/YAoVA+P6z5nQDhUAGSr+33AaFQDr4Cj7wBoVAxVCvjckShUAEQmR9zxWFQCGNc5jNKoVAho6tDrorhUAAITAO7S6FQG5w1ozVL4VA7W73LOwzhUCHMhsmHzSFQMQFZ9XKNoVAlsf7gaE3hUCQ5tG6BTiFQPx/7FhPOoVAJlTP9Xs6hUCOsMDT0TqFQOY1vmC+O4VA6gzogfM7hUBXmQS/IDyFQGQTKwdVPIVARGwd6PY8hUDqBT8rlz6FQPsRwzbSPoVADsl5OotChUAcBh9k8kKFQGNzGy+FSoVADsK/wJBShUCx0LZsyFqFQIrUCpShYYVA6lttea1ihUCOQoAlnmmFQLgvjy5haoVAcpTFLCNrhUCTycVVmHGFQBpZ9IQqc4VAvxdbw952hUD/G6HjCHuFQOSPpsl6gIVAcs5/0fGAhUAUZ8mHGYGFQKcBDMz0goVA2vDT2LiFhUAM4qAtMIiFQBC4AefLioVAep4d1dSOhUCqa64wkpKFQCW6GNLgkoVATIC7XRKbhUCEzYgczZyFQA6uU8axnoVAOEk9NjyfhUBInZrULqqFQBznSNPWqoVA6CHyl9ayhUB7HbMMTriFQNCLDhyouoVA0DtCmgO7hUDAD0DqVcKFQLIaLAbWwoVAU8MhrDzDhUCimzVPjsWFQBPfGUvaxoVAfHPVa53KhUD51KxK/cqFQL4QLs5Cy4VAVheFi0/ShUAadvHyQtuFQIwOTaXT3IVAwhtC4PjchUAEFo6ZGN2FQBF+p1nN3oVAk8T6FUvjhUCj4TwwZ+SFQKJRf3SE5IVA95JuwrLlhUCtTsy0rOaFQPUHLEnh54VAVEvZn07ohUAPjOgSsOqFQKz0qssA64VApnj0/rLuhUDhqtgP+fGFQAmdjsqU8oVA</binary>
+					</binaryDataArray>
+					<binaryDataArray encodedLength="688">
+						<cvParam cvRef="MS" accession="MS:1000515" name="intensity array" unitAccession="MS:1000131" unitName="number of detector counts" unitCvRef="MS"/>
+						<cvParam cvRef="MS" accession="MS:1000521" name="32-bit float" />
+						<cvParam cvRef="MS" accession="MS:1000576" name="no compression" />
+						<binary>+wEKRIzCPUQ3TKpDKyTJQ62Sv0OHpKBE29fFQ5YEEUQfea9DpcbURI+Yy0OOs+ZEb6QMRBWjp0Nu+mZENBUkRIUOtkPGZ/hD8lC7Q0FXdkTJTJ1EszONRMfASUTPJHZETpsKRDsT9kNmFeRDA09aRN5n1UOvdf1DMgjYQ2mOg0SJ9ddDeiwnRHH93kNXRulDD1GrQ6IeZkfI2ZVDHC6wQ6Q6x0MugxFHiZ2vQ9l3G0ZG4CNFzEq3Q3Qr4UO6HNxDx07WQ8FR0kMpOcNDe+kjRCY1kUPRthZEu6XyQ7znrEOndddECsj3Q/izXkRkmJREhu3QQz94g0SkR5lDxF+5Q9GlskSe6dZDyGq2Q/m65kP+5WVEypj9Q81//UNjbrVDXLqdQ1JIp0P1Te5EdeojRCifIURJ3c1DmZV3Re4u0kPk1bJET2mXQ5Nz1EMDLrNDJazNQ/z94kM+X/NDY2OnQ0ILGERP/slDjcM5RFCnB0Ts7tZDuvesQy9roUPNM7lDqGQDRMVOokOCoe9DDs3eQ1gOK0Rfue5D6noORFwZpkOFCNtEXZiVRGv6vEP7335Ets6uQ+MEnUOZ1LlDjLHAQyCTPUXVlsdDHZaxQ4uunUMV2p9Dtaw9RHuYtUM6P7hDCsi+Qx0fO0SloAJEt5VPRGy8oEShwb5D9ZrgQzeG80OIErZD</binary>
+					</binaryDataArray>
+				</binaryDataArrayList>
+			</spectrum>
+			<spectrum id="controllerType=0 controllerNumber=1 scan=6188" index="54" defaultArrayLength="115">
+				<cvParam cvRef="MS" accession="MS:1000127" name="centroid spectrum" />
+				<cvParam cvRef="MS" accession="MS:1000511" name="ms level" value="1" />
+				<cvParam cvRef="MS" accession="MS:1000294" name="mass spectrum" />
+				<cvParam cvRef="MS" accession="MS:1000130" name="positive scan" />
+				<cvParam cvRef="MS" accession="MS:1000504" name="base peak m/z" value="504.301422119141"/>
+				<cvParam cvRef="MS" accession="MS:1000505" name="base peak intensity" value="293731.90625"/>
+				<cvParam cvRef="MS" accession="MS:1000285" name="total ion current" value="3989665.5"/>
+				<cvParam cvRef="MS" accession="MS:1000528" name="lowest observed m/z" value="399.99590535812"/>
+				<cvParam cvRef="MS" accession="MS:1000527" name="highest observed m/z" value="1404.93723112344"/>
+				<userParam name="filter string" type="xsd:string" value="FTMS + p NSI Full ms [400.00-1400.00]"/>
+				<userParam name="preset scan configuration" type="xsd:string" value="1"/>
+				<scanList count="1">
+					<cvParam cvRef="MS" accession="MS:1000795" name="no combination" />
+					<scan >
+						<cvParam cvRef="MS" accession="MS:1000016" name="scan start time" value="4885.6273" unitAccession="UO:0000010" unitName="second" unitCvRef="UO" />
+						<scanWindowList count="1">
+							<scanWindow>
+								<cvParam cvRef="MS" accession="MS:1000501" name="scan window lower limit" value="400" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+								<cvParam cvRef="MS" accession="MS:1000500" name="scan window upper limit" value="1400" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+							</scanWindow>
+						</scanWindowList>
+					</scan>
+				</scanList>
+				<binaryDataArrayList count="2">
+					<binaryDataArray encodedLength="1228">
+						<cvParam cvRef="MS" accession="MS:1000514" name="m/z array" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+						<cvParam cvRef="MS" accession="MS:1000523" name="64-bit float" />
+						<cvParam cvRef="MS" accession="MS:1000576" name="no compression" />
+						<binary>NRT82paqhEB/E+bNxqqEQG4T98yproRA08TZH9CuhEBMl9LtjLGEQHwPC6fRsoRAeJCMgDe1hED4S52brLWEQFhlFxfUtoRAanRA/Tu3hEDSwmXWeLqEQGKv8qXauoRA/ifFzN2+hEA4wQv/kMKEQGY4HKXgwoRAMGNNiXjFhECAM9fq38aEQLhMVH/byoRAOp4YDVjOhEADn00hms6EQLIxnapP1oRAvH4iFejWhECF86d3bdmEQJx4VbBQ2oRAEBRZS+rahED56j/U696EQBSb4uFt4YRAKp6xRl3ihEC6zHcqEeOEQHArPxOG5oRAGJh48mzphEC2J/+CG/CEQNRJ/XvN9oRAVbAAZQj5hEAUahxQZfmEQByLIWl2+YRAfPIUH2P6hEC8P9Mf0PqEQCxI5EdW+4RAh0ugSNL+hEBK7CCG1wKFQNrkf0LfBoVAGB54+AYHhUCeRJ18LwiFQGMQdvTNCoVAGY/altQOhUBqw39wrBKFQJiwpg04F4VAHMgbMHoXhUDnYkKzoRmFQNwHdB/zGoVA1ZfJ3WUihUCGJLP+uCKFQHQy3vycJoVApF1nErAnhUBdyzW6xyuFQE7UEpzhL4VAsBzJYfozhUDMB45SyDaFQM50z6sTOIVAvwZ6olA6hUBu5XJwyjqFQDJPHMcwPIVAtOM5u1Q8hUDiLthnfjyFQKGpfkjTPoVApVD7xcdChUD6QRsIAkOFQIFs8NdtRIVAbtC2/AlHhUB8IcW1wFKFQBo79iaFVoVAvF7p67ZahUAgTZJQo2GFQKL6hPChaYVApguz2hVqhUCA4Du+y2qFQHn0dTEja4VASa1rP5lxhUA0txQV7nKFQGMpi2Urc4VA9/FEBRR7hUCkLcqMqYSFQN58eZYih4VA1NgWCw6IhUBC/tbDUoqFQKSbWzrWjoVAglaee9yShUAJKIagQpaFQKnaNB6MmoVAWnk7mPKbhUAF1lEvPKCFQOhX+Of8qIVAobYaKm2qhUCQSihKvaqFQDBN29ciq4VAnFxFHxuzhUA2XK1O18KFQMZbs1NAw4VAHOsw6VzGhUBd7y7a2caFQMfL6LfeyoVAQT91Gp3ahUCs3WeNQ9uFQB3c6avQ3oVA5MrZX+vihUBduso5TOOFQBp1F1as5oVAPxV1uirnhUAdWjTEreqFQFgSun9X8oVA3weJivDyhUAcWPezHvOFQFf6pOU484VAamMeJP/2hUA=</binary>
+					</binaryDataArray>
+					<binaryDataArray encodedLength="616">
+						<cvParam cvRef="MS" accession="MS:1000515" name="intensity array" unitAccession="MS:1000131" unitName="number of detector counts" unitCvRef="MS"/>
+						<cvParam cvRef="MS" accession="MS:1000521" name="32-bit float" />
+						<cvParam cvRef="MS" accession="MS:1000576" name="no compression" />
+						<binary>8qCxQ1GUpEN0OqtD03IVRMBEg0Rzs5BEOPPUQ3I7JkRWcmNEOpowRLs6UkQXdspEv6h3RHgAsUNVv6tER1DKQxoVbES19+tDWFrHQ+/jokP9iBxECRu5RF7vkUTfTyZEmv+NRFMmwUNViRNEjFsTRNnEpEMJYQREHEO2Q8szlkRhdoFH9PPQQ9xytEOQOLRDUe+8Q/dDK0f1V6BDKhxNRiSJKUWC1LhDbSm3Q39MuEMdY9JDhtXkQyEpy0PreiBETpO3Q2pXH0R/EsdDiXkBRIaqo0O9RsJDR6HkQ5bPEkSXecZD//EORLA3NEUZqjNEEIY/RAyc2kRB1btEEtQaRIL7s0PDwq1E17zkQ6EDv0NM88FDAASdQyOdC0TZqvtDdV/qQ1lW90SXthJEiHpNRFgyDESr1m5FkNi8Q7BEuUNbntpEwhDgQ2D+BkS3Ls1DNC4kRNEjyEPCS99DUXmwQ7y8vEOn4rdDDT/CQy8upUOxMiJE29uvQ0VErkPo7fhDNXyYQ7P3TUTGhIhEYWbgQ2OOYESKqi1ExtOuQwUCIUUhj71DG6rCQzcaikSJ/9NE5xqtQ+CDkkROFsNDOjaoQ1R9w0MlPLlDYuy/Qw==</binary>
+					</binaryDataArray>
+				</binaryDataArrayList>
+			</spectrum>
+			<spectrum id="controllerType=0 controllerNumber=1 scan=6191" index="55" defaultArrayLength="653">
+				<cvParam cvRef="MS" accession="MS:1000127" name="centroid spectrum" />
+				<cvParam cvRef="MS" accession="MS:1000511" name="ms level" value="2" />
+				<cvParam cvRef="MS" accession="MS:1000294" name="mass spectrum" />
+				<cvParam cvRef="MS" accession="MS:1000130" name="positive scan" />
+				<cvParam cvRef="MS" accession="MS:1000504" name="base peak m/z" value="644.114196777344"/>
+				<cvParam cvRef="MS" accession="MS:1000505" name="base peak intensity" value="1083.31726074219"/>
+				<cvParam cvRef="MS" accession="MS:1000285" name="total ion current" value="11100.1015625"/>
+				<cvParam cvRef="MS" accession="MS:1000528" name="lowest observed m/z" value="198.901611328125"/>
+				<cvParam cvRef="MS" accession="MS:1000527" name="highest observed m/z" value="1368.15612792969"/>
+				<userParam name="filter string" type="xsd:string" value="ITMS + c NSI d w Full ms2 678.85@cid35.00 [175.00-1370.00]"/>
+				<userParam name="preset scan configuration" type="xsd:string" value="4"/>
+				<scanList count="1">
+					<cvParam cvRef="MS" accession="MS:1000795" name="no combination" />
+					<scan >
+						<cvParam cvRef="MS" accession="MS:1000016" name="scan start time" value="4887.9487" unitAccession="UO:0000010" unitName="second" unitCvRef="UO" />
+						<userParam name="[Thermo Trailer Extra]Monoisotopic M/Z:" type="xsd:double" value="678.847961425781"/>
+						<scanWindowList count="1">
+							<scanWindow>
+								<cvParam cvRef="MS" accession="MS:1000501" name="scan window lower limit" value="175" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+								<cvParam cvRef="MS" accession="MS:1000500" name="scan window upper limit" value="1370" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+							</scanWindow>
+						</scanWindowList>
+					</scan>
+				</scanList>
+				<precursorList count="1">
+					<precursor>
+						<isolationWindow>
+							<cvParam cvRef="MS" accession="MS:1000827" name="isolation window target m/z" value="678.847961425781" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+							<cvParam cvRef="MS" accession="MS:1000828" name="isolation window lower offset" value="2" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+							<cvParam cvRef="MS" accession="MS:1000829" name="isolation window upper offset" value="2" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+						</isolationWindow>
+						<selectedIonList count="1">
+							<selectedIon>
+								<cvParam cvRef="MS" accession="MS:1000744" name="selected ion m/z" value="678.847961425781" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+								<cvParam cvRef="MS" accession="MS:1000041" name="charge state" value="2" />
+								<cvParam cvRef="MS" accession="MS:1000042" name="peak intensity" value="2822.7861328125" unitAccession="MS:1000132" unitName="percent of base peak" unitCvRef="MS" />
+							</selectedIon>
+						</selectedIonList>
+						<activation>
+							<cvParam cvRef="MS" accession="MS:1000133" name="collision-induced dissociation" />
+							<cvParam cvRef="MS" accession="MS:1000045" name="collision energy" value="35"/>
+						</activation>
+					</precursor>
+				</precursorList>
+				<binaryDataArrayList count="2">
+					<binaryDataArray encodedLength="6968">
+						<cvParam cvRef="MS" accession="MS:1000514" name="m/z array" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+						<cvParam cvRef="MS" accession="MS:1000523" name="64-bit float" />
+						<cvParam cvRef="MS" accession="MS:1000576" name="no compression" />
+						<binary>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</binary>
+					</binaryDataArray>
+					<binaryDataArray encodedLength="3484">
+						<cvParam cvRef="MS" accession="MS:1000515" name="intensity array" unitAccession="MS:1000131" unitName="number of detector counts" unitCvRef="MS"/>
+						<cvParam cvRef="MS" accession="MS:1000521" name="32-bit float" />
+						<cvParam cvRef="MS" accession="MS:1000576" name="no compression" />
+						<binary>9zM8PwEPOkD+tvFAPw73P9gIWEDRKj1AZ5deQFgXy0DK0ItBrR4XQe1q4UA/ATBAiOcUQYDJvUDoI4g/nTHgP/zUjUHEjplB0z6KQFB3VkEy3hFBfyZRQF3m7D8YplZBj1ZEQBbtPj/RIypA4P47QFy0q0CSDm1APv4gQfjSj0BiJnNAo21IQfF2TkGR679AcUrGQJsGZEGPPYpAX8RtQAifM0HVheI/h34JQJhEEkCB0pJA/0UmQSFeCUDRJY9A8b1XQFz3WUHgSqNAEc/6P0eJ0kBEFgpBJAOsQBsSL0BLNA9B+vXeQOzKjkFtbEBBSrKOQdu0lUAI7UVBVhjSPyQGREAdcHJBzX7vQHMKmEFyIG9B07C1QeqlfEAUae9BjSbHQIj8L0HXOGhB7VsLQTtybkACoEtBFC4VQXK660G4mVpAFMHLQFEjaUNOp4NBCbPfQR70KkGueoBBFf/lQUbfLEF9pIhBHgVOQQ0sYUHY1kFBMSmlQFLTnkE0M7NBmjH9P/tQm0BswxlAOM+lQKO7bUC28ulAlqLeP+Wh6UDlIsZAhNP5QEHCFUGuw2xBb2iYQGfhnUCP1BVC6oUbQMipt0DoGUhACBBUQK7gEkAW2FVBAFiHQbiZL0DwIVdBL9KGQfCjcUAdgY1BsdHNQe7cO0F0ZhhB4d14QQPgkUCyxlVAVukxQSK22UB/3uFApBPMQZOwh0DditZAJMzUP4NHH0GKK0NBqhA6QZyJIUHHzKhAcKnIQVRurkAh5TFB6lH7QDi1jkAmmnxBDu6xQLK7mkIu4HBBeToOQTJatkCUE9tBnL6VP5WriED9kLhAgmCbQXoikEDPwUlB8haJQRm/O0FIcapACpCOQfVkFUEIswRB98+AQKYWLULmDpU/zhucQbotm0EBJfxBq8MsQVQSV0AnKrc/Y9GEQFKLvkFuFslBvGtvQeQIV0GezURBnN2/QdFQ+kHIAlY/sCCTQX1s70F5mq0/rNtDQeWASEHvYWVB3+YeQXsEj0An2SFBYE9eQOrrBUIesslBOEjdQPXMlkFqpllAy/7YQBJDfkHj+65BDQEcQuMpmEHxQJNA5h1qQc9qIEA1lItAS5KiQFwvoUCcbKFBoS2aQe4ylkG7NgBC0sk2Qvb1dEBp85dBdN6rQJALBkEzuX5B7RXvQPampkEaj0NB7gqbQaqQIkFrbCtBqNKdQWBcMEGin85AxAWmQVzVgkEq81VAQJEpQkZHsEGchC9C/iusQdeWukCzRvc/3C3nQB0jGkEH5JtBjVMbQcHWtUFJjHxBXbRUQn9+I0IJLM5BGBYOQR0DZkL7RI1BHDVWQqhN1UHXQBVBr5wjQWXdnUJ6t0JBfHpwQjXmPUFc6zVCUoLlQaSri0Efkg1BIoz2QI1Ef0FeLClB0xieQdG8dEJjMD1C3FrxQfKuW0EQqtdAFnSaQbBAG0LvJktCIAh0QUVlrUE/wpFB6scDQtjA20Ho5bRBJvDEQU7L70EuJo1CicSYQR7s2ECUYxlCrg1TQohvZkFLDq1BYlEaQ/gf4UJ7nsRBmq3VQVmfw0GQntdBvkOHQXqTvEBll8xBLOhoQuS8AUKusNhBSbYVQUS2tELRUcFBPJWMQoW0+0E78RRCaSuDQvivEEJ5lIJBnFP+QE+6P0Kn0Y1CZt0eQssBz0JsYjxC2w4hQydqh0T5BthC2ZJNQkbj40JqjOhCLDmsQtZlIEI+BHtABR8AQKXpikHvXYNAux8eQGE5GkL2h85B++keQs1rHUJKdRJB3UKKQP6qXEFR9rtAMBh6QW5MX0HgB8FBeoIGQT7+EEFVy5hBgh06QJWkBEFz2SdABHIVQWCLjUBRzCNCWC/nQN9HN0GzMNxB32fWQCHm3kB/CaVAGEJqQRO3gEBW1odB9oX7QMPXCkEeBkFBH9obQewzVEFxXzVB+gRGQSH5QED63IhBBBofQTEc2UC7DAtBS1nvP3BEukFvUsdBHhvgQRS7TkEisEtBnLoEQpjkK0F1z/VAorBZQcbkx0GCGUlBoTWSQZRJoEF/XcBBnyO+QMuxOUGRIc9A6WUsQUEyGUF+AsJAMH+JQeshUkGIwstAMDyvQM8XxEFMD2VB/BlgQUYmzUB69ZhAxFJ0QaViDkJVlBhCCQieQNLN30C1GzlBWE9/QdFRVUGoH/VBiiykQAN4WUGIL8tBGQeSQTTE9UCWrD1BJTf0Qen5LkF1YZRBzJ5cQQbuB0HdRAFCceYyQXL3lkHZJwhBh8UBQSEplUDlZpZBHpIuQWL4+kBI2YVBuZCSQPk0A0Lt56g/FjQZQPCD3UFsu9pAU/4WQfiaB0I94MtAZHDYQMxuqkHIQDZBPsEwQftX60FT7FxB0EFZQb150EEzL8BABZiSQU3PqUDb/x1BHubfP0/VukH1ucRB1530QYK0zkEseUJA1ACbQVDv4kGT8J5B9BX8PxV4gEDlv+BBCENmQDuWOkHtV6ZB3/2LQBgKWkFRK/FA9iFNQY1IsUHwCc9BtrGWQdiMxkE9Jh9BfMEjQRozMEEwI7pBiRAKQYzfMUF+p8lAyZnYQT3FJEFEKypBRT6oQJ58lEHQeUNAxE3UQQ2p0UHJNFhAP7TBQKzrTULG8wdCfwC/QPjTe0CqlJBACOtJQa+2zUHH2oFBrE/UQJJY0UBOeddAvNCqQQakmEFU+jRBVihmQE9lg0CFBiVAOnPNP4FdBkGh5XtAUlNIQe92zUDznuhAGG66QDICl0Bk2d5A1pvEPx2e2z8xER5A3HOxP3uwC0AShKNARUzKQEmhhkCU0nNAr6AIQa02LED1ObdBX+kjQavo10BMTk1BGLF0QHynwUCCUatB7LoIQZRFg0GVW5FBule8QJVeEUFhB3Q/nGYZQaaZe0CJb8lAbkanQCpKaUHaSjZB1y0IQTx/O0GufRtBjUolQeqH2z8RWSJBMcNJQYT/o0GUixJAEzG2P67gyUBfj5JAVQkpQeqOHEEqMQFBTr+OQcbwskGhHCVAJQIXQRppf0DKGTFA/KzbQNczrkD3lvBA5KACQlkpUkFVpWBBqCANQtw+jkElVShBRCaFQIVei0EIGwxBocunQOzspkBf/aZBmeBJQcAje0BTRWZAJhu5QPE1YUFB8e0/LPKLQQ3TAEH5DspAgHnoP8wq8kDeTrJAUn+kP9CHp0CbZIpAmtUYQeVFMEHIk5ZAxeTbQC/dNUDBq2JBuyakQPM/OEAifbJArX+9QHq3zT+JbMxACsxQQN0BgEHXFhpACM+xQHFZ0UB3wY5AaxQUQRWbhkFWFqBAe5meQLsQF0Cg5n9BxFroQB3Vg0FfuSlBRZmiQDXfCEEZnglAFjRWQMzyJ0AokmNArZozQcgMKkEDj8pAJjAfQZ8XHEGiXNFAcKhkQAUGFUFycmlAgLUbQSkuT0D+G0pBNfOHQP2Nwz9kVHFAYG5fQIpPTEB95GVAfjb0QBElDUF87YxA6TCpP8/ekUA=</binary>
+					</binaryDataArray>
+				</binaryDataArrayList>
+			</spectrum>
+			<spectrum id="controllerType=0 controllerNumber=1 scan=6194" index="56" defaultArrayLength="112">
+				<cvParam cvRef="MS" accession="MS:1000127" name="centroid spectrum" />
+				<cvParam cvRef="MS" accession="MS:1000511" name="ms level" value="1" />
+				<cvParam cvRef="MS" accession="MS:1000294" name="mass spectrum" />
+				<cvParam cvRef="MS" accession="MS:1000130" name="positive scan" />
+				<cvParam cvRef="MS" accession="MS:1000504" name="base peak m/z" value="504.301422119141"/>
+				<cvParam cvRef="MS" accession="MS:1000505" name="base peak intensity" value="297079.0625"/>
+				<cvParam cvRef="MS" accession="MS:1000285" name="total ion current" value="3971968.75"/>
+				<cvParam cvRef="MS" accession="MS:1000528" name="lowest observed m/z" value="399.995739688453"/>
+				<cvParam cvRef="MS" accession="MS:1000527" name="highest observed m/z" value="1404.93664952605"/>
+				<userParam name="filter string" type="xsd:string" value="FTMS + p NSI Full ms [400.00-1400.00]"/>
+				<userParam name="preset scan configuration" type="xsd:string" value="1"/>
+				<scanList count="1">
+					<cvParam cvRef="MS" accession="MS:1000795" name="no combination" />
+					<scan >
+						<cvParam cvRef="MS" accession="MS:1000016" name="scan start time" value="4889.2995" unitAccession="UO:0000010" unitName="second" unitCvRef="UO" />
+						<scanWindowList count="1">
+							<scanWindow>
+								<cvParam cvRef="MS" accession="MS:1000501" name="scan window lower limit" value="400" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+								<cvParam cvRef="MS" accession="MS:1000500" name="scan window upper limit" value="1400" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+							</scanWindow>
+						</scanWindowList>
+					</scan>
+				</scanList>
+				<binaryDataArrayList count="2">
+					<binaryDataArray encodedLength="1196">
+						<cvParam cvRef="MS" accession="MS:1000514" name="m/z array" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+						<cvParam cvRef="MS" accession="MS:1000523" name="64-bit float" />
+						<cvParam cvRef="MS" accession="MS:1000576" name="no compression" />
+						<binary>sKQuj8eqhEAsQFPWzK6EQPid/yFzsoRAEfbBq86yhEDQW9I4GrSEQDC582GutYRAUtJym9O2hEAC4gaiQLeEQEyZPb92uoRAua/ot9e6hEBafbae3b6EQKjqEGsfwIRAbk1FLFjChED0lAr73cKEQLPcnqzfxoRA/ENZ4t/KhEAIxSZm/sqEQFaUS+320oRA5tJY4mDThEBHykj8TdaEQM75wXHo1oRAZO3PR2vZhEADXA9zU9qEQEwAacDn2oRAoJ7jdm7hhECjVAjqmOKEQBqUpl+T5YRA4UjIRUzmhECkcoC9FOeEQKYNKNtf54RArr4bwEXohECuqrTTYemEQOe7I2Vi6oRAtrfg5NjqhEDU6V35Zu2EQORcu8uM7oRAUu4bgU3yhECgLzyeNPOEQN4qGkDN9oRA3sGW71z5hEDxI6SQz/qEQBQ3iUDT/oRAXwSULEEChUB8wIvh1wKFQF1GW/vYBoVAQp7elPgShUBq7BKNhBqFQPa360ZfIYVAVPgT2WYlhUASCxg/bSqFQHzs5RvDK4VANx2K4NcvhUC8VdGSAjCFQKA1ROvxM4VAZkdZtSg2hUDAjpvabDaFQLBpiDzINoVA2L9/qpc3hUBGSDTwqjeFQI50r/kMOIVAKoap2E06hUBu/EAvyzqFQDaFHfwnPIVARkEp81s9hUCoM+c61T6FQMbGwKFCQIVAihEnV/xChUBFmqCpY0SFQO790szPRoVA/JVM4IlShUCMZ3665VKFQD1viaVSWoVAmNbXZb9ahUAOezeGoWGFQE6ceWnhYoVAtKnqW6BphUAcpVFop2qFQBbGlG8ia4VAwkGD2l9rhUBcjLrbe26FQAh9aFuacYVAmpd7yipzhUB83/xR0oKFQEhuEwV6ioVA5IA+XLiShUDpc/zQxJyFQMLyotMyoIVAG1tnr7+qhUAGcBoYv7qFQDin9uGqvIVAEH4mBoy9hUBEl6irwr6FQHNaP43Cv4VAKNl/5i3AhUCnkFhJ1sKFQCM7l5g+w4VAxN4/o9jGhUBZdugnGcuFQIAZzvrWzoVAMkxsSx3UhUAHTOs55NaFQBDNfDpD24VAyC2ruePihUD6KQz5DOOFQCQjFUFJ44VA2P5bDIXlhUAKBKKwqeaFQJmUu0it6oVAn1MqtNnqhUCeyUIWsPKFQFGwk4Vr9IVA3dYgqKv2hUA=</binary>
+					</binaryDataArray>
+					<binaryDataArray encodedLength="600">
+						<cvParam cvRef="MS" accession="MS:1000515" name="intensity array" unitAccession="MS:1000131" unitName="number of detector counts" unitCvRef="MS"/>
+						<cvParam cvRef="MS" accession="MS:1000521" name="32-bit float" />
+						<cvParam cvRef="MS" accession="MS:1000576" name="no compression" />
+						<binary>+tbwQ7var0SkValDAZ/eRHQRVEQbQ9BDuwOvRJ+KJUQl4vBD+FmqRDgAh0T6xOZD7iq/QyqTOES/bSVEMuimQ76Fq0MfSrlDKTrBQ7CE3kMW5KREkAGzRGf9UUQziqpEDYfrQ/RDvkPmUbVDarTQQz5LJUQ936ND/oKtQxPmTkQ22qNDA2QnRGYQ4UNMU59DvSDUQ1Ra4kNtGKZHmdbXQ81+XkeOL6FG1SfOQ4i7gkXG0bhELH3mQ30VwkMV33pEtm+nQ0dGwENVfg5EPL0PRFe5y0NcqTFEaAINRLz6pEOrrzhFFnntQ5zaykPajXNE6kUkRCkkDkUVAPtEJjuwQy8JOkT9EbdD/vgbRDJbu0M3dPlDZJi4Q2oYxkPYlNlDPj3SQ0dyzEQb/btD5AmARGDRvUMODplFxpXeQwV6AkSjiAJEmZmfRG1UaESGUQZEWDKyQ6lBzUNqkKhD6ZLJQxUrtEOrSTFE+yoFRHbO5UPk9zZEqn/PQ3LuoERXUWREe/nMRK6xrENjC6NDg4ILRHqHrEOHKwhFZ1g7RI+doUPsJXpEbCqzQ4+XwkQFdd1E5RbcQwoFCUTx85VEvIjJQw==</binary>
+					</binaryDataArray>
+				</binaryDataArrayList>
+			</spectrum>
+		</spectrumList>
+	</run>
+</mzML>
+<indexList count="1">
+	<index name="spectrum">
+		<offset idRef="controllerType=0 controllerNumber=1 scan=6048">6806</offset>
+		<offset idRef="controllerType=0 controllerNumber=1 scan=6051">9795</offset>
+		<offset idRef="controllerType=0 controllerNumber=1 scan=6053">12638</offset>
+		<offset idRef="controllerType=0 controllerNumber=1 scan=6057">15560</offset>
+		<offset idRef="controllerType=0 controllerNumber=1 scan=6061">18421</offset>
+		<offset idRef="controllerType=0 controllerNumber=1 scan=6063">21235</offset>
+		<offset idRef="controllerType=0 controllerNumber=1 scan=6064">24098</offset>
+		<offset idRef="controllerType=0 controllerNumber=1 scan=6067">27021</offset>
+		<offset idRef="controllerType=0 controllerNumber=1 scan=6068">29979</offset>
+		<offset idRef="controllerType=0 controllerNumber=1 scan=6072">32939</offset>
+		<offset idRef="controllerType=0 controllerNumber=1 scan=6074">35910</offset>
+		<offset idRef="controllerType=0 controllerNumber=1 scan=6075">50741</offset>
+		<offset idRef="controllerType=0 controllerNumber=1 scan=6077">53845</offset>
+		<offset idRef="controllerType=0 controllerNumber=1 scan=6078">56980</offset>
+		<offset idRef="controllerType=0 controllerNumber=1 scan=6079">72151</offset>
+		<offset idRef="controllerType=0 controllerNumber=1 scan=6081">75306</offset>
+		<offset idRef="controllerType=0 controllerNumber=1 scan=6083">78505</offset>
+		<offset idRef="controllerType=0 controllerNumber=1 scan=6086">81862</offset>
+		<offset idRef="controllerType=0 controllerNumber=1 scan=6089">85432</offset>
+		<offset idRef="controllerType=0 controllerNumber=1 scan=6093">89366</offset>
+		<offset idRef="controllerType=0 controllerNumber=1 scan=6095">93424</offset>
+		<offset idRef="controllerType=0 controllerNumber=1 scan=6097">97550</offset>
+		<offset idRef="controllerType=0 controllerNumber=1 scan=6100">101763</offset>
+		<offset idRef="controllerType=0 controllerNumber=1 scan=6104">106419</offset>
+		<offset idRef="controllerType=0 controllerNumber=1 scan=6107">111284</offset>
+		<offset idRef="controllerType=0 controllerNumber=1 scan=6112">116083</offset>
+		<offset idRef="controllerType=0 controllerNumber=1 scan=6114">121096</offset>
+		<offset idRef="controllerType=0 controllerNumber=1 scan=6116">125835</offset>
+		<offset idRef="controllerType=0 controllerNumber=1 scan=6117">141040</offset>
+		<offset idRef="controllerType=0 controllerNumber=1 scan=6118">145957</offset>
+		<offset idRef="controllerType=0 controllerNumber=1 scan=6119">150825</offset>
+		<offset idRef="controllerType=0 controllerNumber=1 scan=6123">166221</offset>
+		<offset idRef="controllerType=0 controllerNumber=1 scan=6125">171358</offset>
+		<offset idRef="controllerType=0 controllerNumber=1 scan=6128">176225</offset>
+		<offset idRef="controllerType=0 controllerNumber=1 scan=6130">181237</offset>
+		<offset idRef="controllerType=0 controllerNumber=1 scan=6131">197169</offset>
+		<offset idRef="controllerType=0 controllerNumber=1 scan=6132">201908</offset>
+		<offset idRef="controllerType=0 controllerNumber=1 scan=6135">217825</offset>
+		<offset idRef="controllerType=0 controllerNumber=1 scan=6138">222882</offset>
+		<offset idRef="controllerType=0 controllerNumber=1 scan=6140">227623</offset>
+		<offset idRef="controllerType=0 controllerNumber=1 scan=6144">231990</offset>
+		<offset idRef="controllerType=0 controllerNumber=1 scan=6145">247361</offset>
+		<offset idRef="controllerType=0 controllerNumber=1 scan=6147">252112</offset>
+		<offset idRef="controllerType=0 controllerNumber=1 scan=6150">256418</offset>
+		<offset idRef="controllerType=0 controllerNumber=1 scan=6155">260869</offset>
+		<offset idRef="controllerType=0 controllerNumber=1 scan=6157">275807</offset>
+		<offset idRef="controllerType=0 controllerNumber=1 scan=6163">280291</offset>
+		<offset idRef="controllerType=0 controllerNumber=1 scan=6165">284708</offset>
+		<offset idRef="controllerType=0 controllerNumber=1 scan=6169">300162</offset>
+		<offset idRef="controllerType=0 controllerNumber=1 scan=6170">304804</offset>
+		<offset idRef="controllerType=0 controllerNumber=1 scan=6173">320528</offset>
+		<offset idRef="controllerType=0 controllerNumber=1 scan=6179">325158</offset>
+		<offset idRef="controllerType=0 controllerNumber=1 scan=6182">329574</offset>
+		<offset idRef="controllerType=0 controllerNumber=1 scan=6184">333979</offset>
+		<offset idRef="controllerType=0 controllerNumber=1 scan=6188">338637</offset>
+		<offset idRef="controllerType=0 controllerNumber=1 scan=6191">343073</offset>
+		<offset idRef="controllerType=0 controllerNumber=1 scan=6194">357613</offset>
+	</index>
+</indexList>
+<indexListOffset>362032</indexListOffset>
+<fileChecksum>0</fileChecksum>
+</indexedmzML>
\ No newline at end of file
--- /dev/null	Thu Jan 01 00:00:00 1970 +0000
+++ b/test-data/MetaProSIP_1_output_1.csv	Wed May 15 06:41:00 2019 -0400
@@ -0,0 +1,7 @@
+Group 1	# Distinct Peptides	# Unambigous Proteins	Median Global LR	median RIA 1	median RIA 2
+	1	1	0.269627132474428	0.603333333333335	36.4733333333347
+	Protein Accession	Description	# Unique Peptides	Median Global LR	median RIA 1	median RIA 2
+	CONTIG23640_802236	 length=2326  numreads=28 strand:-1 frame:0 orf_location:136:990	1	0.269627132474428	0.603333333333335	36.4733333333347
+		Peptide Sequence	RT	Exp. m/z	Theo. m/z	Charge	Score	TIC fraction	#non-natural weights		RIA 1	INT 1	Cor. 1	RIA 2	INT 2	Cor. 2	Peak intensities	Global LR
+		YGGAVDPTVLGGVK	80.52	666.8623	666.861538013921	2	0.174659044235501	0.831887919884232	7		0.6	1432379	1.00	36.5	146376	0.87	659720 461384 165174 49177 14782 11728 6541 7410 10868 8404 9437 9556 10203 12619 12159 15831 16288 19847 19258 22222 21275 21576 21294 22006 24019 19046 17493 19060 17884 15631 15769 13964 14131 10090 9445 9216 7297 6670 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 	0.269627132474428
+Non-Unique Peptides	Accessions	Peptide Sequence	Descriptions	Score	RT	Exp. m/z	Theo. m/z	Charge	#non-natural weights		Peak intensities	Global LR
--- /dev/null	Thu Jan 01 00:00:00 1970 +0000
+++ b/test-data/MetaProSIP_1_output_2.csv	Wed May 15 06:41:00 2019 -0400
@@ -0,0 +1,2 @@
+#Peptide_Sequence	Feature	Quality_Report_Spectrum	Quality_report_scores	Sample_Name	Protein_Accessions	Description	Unique	#Ambiguity_members	Score	RT	Exp._m/z	Theo._m/z	Charge	TIC_fraction	#non-natural_weights	Peak_intensities	Group	Global_Peptide_LR	RIA_1	LR_of_RIA_1	INT_1	Cor._1	RIA_2	LR_of_RIA_2	INT_2	Cor._2	RIA_3	LR_of_RIA_3	INT_3	Cor._3	RIA_4	LR_of_RIA_4	INT_4	Cor._4	RIA_5	LR_of_RIA_5	INT_5	Cor._5	RIA_6	LR_of_RIA_6	INT_6	Cor._6	RIA_7	LR_of_RIA_7	INT_7	Cor._7	RIA_8	LR_of_RIA_8	INT_8	Cor._8	RIA_9	LR_of_RIA_9	INT_9	Cor._9	RIA_10	LR_of_RIA_10	INT_10	Cor._10
+YGGAVDPTVLGGVK	feature	spectrum_dataset_1_575Z_rt_4831.43346884947.png	scores_dataset_1_575Z_rt_4831.43346884947.png	dataset_1.dat	CONTIG23640_802236	length=2326__numreads=28_strand:-1_frame:0_orf_location:136:990	1	1	0.174659044235501	80.52	666.8623	666.8615	2	0.831887919884232	7	659720_461384_165174_49177_14782_11728_6541_7410_10868_8404_9437_9556_10203_12619_12159_15831_16288_19847_19258_22222_21275_21576_21294_22006_24019_19046_17493_19060_17884_15631_15769_13964_14131_10090_9445_9216_7297_6670_0_0_0_0_0_0_0_0_0_0_0_0_0_0_0_0_0_0_0_0_0_0_0_0_0_0_0	0	0.269627132474428	0.6	1.0	1432378.6	1.0	36.5	0.0	146375.7	0.9	0	0	0	0	0	0	0	0	0	0	0	0	0	0	0	0	0	0	0	0	0	0	0	0	0	0	0	0	0	0	0	0
--- /dev/null	Thu Jan 01 00:00:00 1970 +0000
+++ b/test-data/MzMLSplitter_output_part1.mzML	Wed May 15 06:41:00 2019 -0400
@@ -0,0 +1,222 @@
+<?xml version="1.0" encoding="ISO-8859-1"?>
+<indexedmzML xmlns="http://psi.hupo.org/ms/mzml" xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance" xsi:schemaLocation="http://psi.hupo.org/ms/mzml http://psidev.info/files/ms/mzML/xsd/mzML1.1.0_idx.xsd">
+<mzML xmlns="http://psi.hupo.org/ms/mzml" xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance" xsi:schemaLocation="http://psi.hupo.org/ms/mzml http://psidev.info/files/ms/mzML/xsd/mzML1.1.0.xsd" accession="" version="1.1.0">
+	<cvList count="5">
+		<cv id="MS" fullName="Proteomics Standards Initiative Mass Spectrometry Ontology" URI="http://psidev.cvs.sourceforge.net/*checkout*/psidev/psi/psi-ms/mzML/controlledVocabulary/psi-ms.obo"/>
+		<cv id="UO" fullName="Unit Ontology" URI="http://obo.cvs.sourceforge.net/obo/obo/ontology/phenotype/unit.obo"/>
+		<cv id="BTO" fullName="BrendaTissue545" version="unknown" URI="http://www.brenda-enzymes.info/ontology/tissue/tree/update/update_files/BrendaTissueOBO"/>
+		<cv id="GO" fullName="Gene Ontology - Slim Versions" version="unknown" URI="http://www.geneontology.org/GO_slims/goslim_goa.obo"/>
+		<cv id="PATO" fullName="Quality ontology" version="unknown" URI="http://obo.cvs.sourceforge.net/*checkout*/obo/obo/ontology/phenotype/quality.obo"/>
+	</cvList>
+	<fileDescription>
+		<fileContent>
+			<cvParam cvRef="MS" accession="MS:1000294" name="mass spectrum" />
+		</fileContent>
+		<contact>
+			<cvParam cvRef="MS" accession="MS:1000586" name="contact name" value=", " />
+			<cvParam cvRef="MS" accession="MS:1000590" name="contact affiliation" value="" />
+		</contact>
+	</fileDescription>
+	<sampleList count="1">
+		<sample id="sa_0" name="">
+			<cvParam cvRef="MS" accession="MS:1000004" name="sample mass" value="0" unitAccession="UO:0000021" unitName="gram" unitCvRef="UO" />
+			<cvParam cvRef="MS" accession="MS:1000005" name="sample volume" value="0" unitAccession="UO:0000098" unitName="milliliter" unitCvRef="UO" />
+			<cvParam cvRef="MS" accession="MS:1000006" name="sample concentration" value="0" unitAccession="UO:0000175" unitName="gram per liter" unitCvRef="UO" />
+		</sample>
+	</sampleList>
+	<softwareList count="4">
+		<software id="so_in_0" version="" >
+			<cvParam cvRef="MS" accession="MS:1000799" name="custom unreleased software tool" value="" />
+		</software>
+		<software id="so_default" version="" >
+			<cvParam cvRef="MS" accession="MS:1000799" name="custom unreleased software tool" value="" />
+		</software>
+		<software id="so_dp_sp_0_pm_0" version="" >
+			<cvParam cvRef="MS" accession="MS:1000799" name="custom unreleased software tool" value="" />
+		</software>
+		<software id="so_dp_sp_0_pm_1" version="version_string" >
+			<cvParam cvRef="MS" accession="MS:1000756" name="FileConverter" />
+		</software>
+	</softwareList>
+	<instrumentConfigurationList count="1">
+		<instrumentConfiguration id="ic_0">
+			<cvParam cvRef="MS" accession="MS:1000031" name="instrument model" />
+			<componentList count="3">
+				<source order="0">
+					<cvParam cvRef="MS" accession="MS:1000008" name="ionization type" />
+				</source>
+				<analyzer order="0">
+					<cvParam cvRef="MS" accession="MS:1000014" name="accuracy" value="0" unitAccession="UO:0000169" unitName="parts per million" unitCvRef="UO" />
+					<cvParam cvRef="MS" accession="MS:1000022" name="TOF Total Path Length" value="0" unitAccession="UO:0000008" unitName="meter" unitCvRef="UO" />
+					<cvParam cvRef="MS" accession="MS:1000024" name="final MS exponent" value="0" />
+					<cvParam cvRef="MS" accession="MS:1000025" name="magnetic field strength" value="0" unitAccession="UO:0000228" unitName="tesla" unitCvRef="UO" />
+					<cvParam cvRef="MS" accession="MS:1000443" name="mass analyzer type" />
+				</analyzer>
+				<detector order="0">
+					<cvParam cvRef="MS" accession="MS:1000028" name="detector resolution" value="0" />
+					<cvParam cvRef="MS" accession="MS:1000029" name="sampling frequency" value="0" unitAccession="UO:0000106" unitName="hertz" unitCvRef="UO" />
+					<cvParam cvRef="MS" accession="MS:1000026" name="detector type" />
+				</detector>
+			</componentList>
+			<softwareRef ref="so_in_0" />
+		</instrumentConfiguration>
+	</instrumentConfigurationList>
+	<dataProcessingList count="1">
+		<dataProcessing id="dp_sp_0">
+			<processingMethod order="0" softwareRef="so_dp_sp_0_pm_0">
+				<cvParam cvRef="MS" accession="MS:1000543" name="data processing action" />
+			</processingMethod>
+			<processingMethod order="0" softwareRef="so_dp_sp_0_pm_1">
+				<cvParam cvRef="MS" accession="MS:1000544" name="Conversion to mzML" />
+				<cvParam cvRef="MS" accession="MS:1000747" name="completion time" value="1999-12-31+23:59" />
+				<userParam name="parameter: mode" type="xsd:string" value="test_mode"/>
+			</processingMethod>
+		</dataProcessing>
+	</dataProcessingList>
+	<run id="ru_0" defaultInstrumentConfigurationRef="ic_0" sampleRef="sa_0">
+		<spectrumList count="5" defaultDataProcessingRef="dp_sp_0">
+			<spectrum id="spectrum=1" index="0" defaultArrayLength="787" dataProcessingRef="dp_sp_0">
+				<cvParam cvRef="MS" accession="MS:1000525" name="spectrum representation" />
+				<cvParam cvRef="MS" accession="MS:1000511" name="ms level" value="1" />
+				<cvParam cvRef="MS" accession="MS:1000294" name="mass spectrum" />
+				<cvParam cvRef="MS" accession="MS:1000130" name="positive scan" />
+				<scanList count="1">
+					<cvParam cvRef="MS" accession="MS:1000795" name="no combination" />
+					<scan >
+						<cvParam cvRef="MS" accession="MS:1000016" name="scan start time" value="20.8713" unitAccession="UO:0000010" unitName="second" unitCvRef="UO" />
+					</scan>
+				</scanList>
+				<binaryDataArrayList count="2">
+					<binaryDataArray encodedLength="8396">
+						<cvParam cvRef="MS" accession="MS:1000514" name="m/z array" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+						<cvParam cvRef="MS" accession="MS:1000523" name="64-bit float" />
+						<cvParam cvRef="MS" accession="MS:1000576" name="no compression" />
+						<binary>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</binary>
+					</binaryDataArray>
+					<binaryDataArray encodedLength="4200">
+						<cvParam cvRef="MS" accession="MS:1000515" name="intensity array" unitAccession="MS:1000131" unitName="number of detector counts" unitCvRef="MS"/>
+						<cvParam cvRef="MS" accession="MS:1000521" name="32-bit float" />
+						<cvParam cvRef="MS" accession="MS:1000576" name="no compression" />
+						<binary>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</binary>
+					</binaryDataArray>
+				</binaryDataArrayList>
+			</spectrum>
+			<spectrum id="spectrum=2" index="1" defaultArrayLength="683">
+				<cvParam cvRef="MS" accession="MS:1000525" name="spectrum representation" />
+				<cvParam cvRef="MS" accession="MS:1000511" name="ms level" value="1" />
+				<cvParam cvRef="MS" accession="MS:1000294" name="mass spectrum" />
+				<cvParam cvRef="MS" accession="MS:1000130" name="positive scan" />
+				<scanList count="1">
+					<cvParam cvRef="MS" accession="MS:1000795" name="no combination" />
+					<scan >
+						<cvParam cvRef="MS" accession="MS:1000016" name="scan start time" value="22.4373" unitAccession="UO:0000010" unitName="second" unitCvRef="UO" />
+					</scan>
+				</scanList>
+				<binaryDataArrayList count="2">
+					<binaryDataArray encodedLength="7288">
+						<cvParam cvRef="MS" accession="MS:1000514" name="m/z array" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+						<cvParam cvRef="MS" accession="MS:1000523" name="64-bit float" />
+						<cvParam cvRef="MS" accession="MS:1000576" name="no compression" />
+						<binary>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</binary>
+					</binaryDataArray>
+					<binaryDataArray encodedLength="3644">
+						<cvParam cvRef="MS" accession="MS:1000515" name="intensity array" unitAccession="MS:1000131" unitName="number of detector counts" unitCvRef="MS"/>
+						<cvParam cvRef="MS" accession="MS:1000521" name="32-bit float" />
+						<cvParam cvRef="MS" accession="MS:1000576" name="no compression" />
+						<binary>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</binary>
+					</binaryDataArray>
+				</binaryDataArrayList>
+			</spectrum>
+			<spectrum id="spectrum=3" index="2" defaultArrayLength="3">
+				<cvParam cvRef="MS" accession="MS:1000525" name="spectrum representation" />
+				<cvParam cvRef="MS" accession="MS:1000511" name="ms level" value="2" />
+				<cvParam cvRef="MS" accession="MS:1000294" name="mass spectrum" />
+				<cvParam cvRef="MS" accession="MS:1000130" name="positive scan" />
+				<scanList count="1">
+					<cvParam cvRef="MS" accession="MS:1000795" name="no combination" />
+					<scan >
+						<cvParam cvRef="MS" accession="MS:1000016" name="scan start time" value="30.2243" unitAccession="UO:0000010" unitName="second" unitCvRef="UO" />
+					</scan>
+				</scanList>
+				<binaryDataArrayList count="2">
+					<binaryDataArray encodedLength="32">
+						<cvParam cvRef="MS" accession="MS:1000514" name="m/z array" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+						<cvParam cvRef="MS" accession="MS:1000523" name="64-bit float" />
+						<cvParam cvRef="MS" accession="MS:1000576" name="no compression" />
+						<binary>AAAAQJAwgUAAAADAfaiBQAAAACAjJ4JA</binary>
+					</binaryDataArray>
+					<binaryDataArray encodedLength="16">
+						<cvParam cvRef="MS" accession="MS:1000515" name="intensity array" unitAccession="MS:1000131" unitName="number of detector counts" unitCvRef="MS"/>
+						<cvParam cvRef="MS" accession="MS:1000521" name="32-bit float" />
+						<cvParam cvRef="MS" accession="MS:1000576" name="no compression" />
+						<binary>Q1AYQ2XIvEL9I51D</binary>
+					</binaryDataArray>
+				</binaryDataArrayList>
+			</spectrum>
+			<spectrum id="spectrum=4" index="3" defaultArrayLength="5">
+				<cvParam cvRef="MS" accession="MS:1000525" name="spectrum representation" />
+				<cvParam cvRef="MS" accession="MS:1000511" name="ms level" value="2" />
+				<cvParam cvRef="MS" accession="MS:1000294" name="mass spectrum" />
+				<cvParam cvRef="MS" accession="MS:1000130" name="positive scan" />
+				<scanList count="1">
+					<cvParam cvRef="MS" accession="MS:1000795" name="no combination" />
+					<scan >
+						<cvParam cvRef="MS" accession="MS:1000016" name="scan start time" value="27.8168" unitAccession="UO:0000010" unitName="second" unitCvRef="UO" />
+					</scan>
+				</scanList>
+				<binaryDataArrayList count="2">
+					<binaryDataArray encodedLength="56">
+						<cvParam cvRef="MS" accession="MS:1000514" name="m/z array" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+						<cvParam cvRef="MS" accession="MS:1000523" name="64-bit float" />
+						<cvParam cvRef="MS" accession="MS:1000576" name="no compression" />
+						<binary>AAAAAAA4gEAAAACg76CHQAAAAGByuIdAAAAAAADIh0AAAABg+26IQA==</binary>
+					</binaryDataArray>
+					<binaryDataArray encodedLength="28">
+						<cvParam cvRef="MS" accession="MS:1000515" name="intensity array" unitAccession="MS:1000131" unitName="number of detector counts" unitCvRef="MS"/>
+						<cvParam cvRef="MS" accession="MS:1000521" name="32-bit float" />
+						<cvParam cvRef="MS" accession="MS:1000576" name="no compression" />
+						<binary>cnq9QXJQmEPn4JFCfnq9QY1f/0E=</binary>
+					</binaryDataArray>
+				</binaryDataArrayList>
+			</spectrum>
+			<spectrum id="spectrum=5" index="4" defaultArrayLength="8">
+				<cvParam cvRef="MS" accession="MS:1000525" name="spectrum representation" />
+				<cvParam cvRef="MS" accession="MS:1000511" name="ms level" value="2" />
+				<cvParam cvRef="MS" accession="MS:1000294" name="mass spectrum" />
+				<cvParam cvRef="MS" accession="MS:1000130" name="positive scan" />
+				<scanList count="1">
+					<cvParam cvRef="MS" accession="MS:1000795" name="no combination" />
+					<scan >
+						<cvParam cvRef="MS" accession="MS:1000016" name="scan start time" value="25.3972" unitAccession="UO:0000010" unitName="second" unitCvRef="UO" />
+					</scan>
+				</scanList>
+				<binaryDataArrayList count="2">
+					<binaryDataArray encodedLength="88">
+						<cvParam cvRef="MS" accession="MS:1000514" name="m/z array" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+						<cvParam cvRef="MS" accession="MS:1000523" name="64-bit float" />
+						<cvParam cvRef="MS" accession="MS:1000576" name="no compression" />
+						<binary>AAAAgGlaf0AAAAAAxmp/QAAAAKAOin9AAAAAQKXDf0AAAABAsjOAQAAAAAAfeoBAAAAAAJQigUAAAAAg0/aJQA==</binary>
+					</binaryDataArray>
+					<binaryDataArray encodedLength="44">
+						<cvParam cvRef="MS" accession="MS:1000515" name="intensity array" unitAccession="MS:1000131" unitName="number of detector counts" unitCvRef="MS"/>
+						<cvParam cvRef="MS" accession="MS:1000521" name="32-bit float" />
+						<cvParam cvRef="MS" accession="MS:1000576" name="no compression" />
+						<binary>dFNiQxQQLkIcK5ZCCBdQQ2L91UOKGBVDhPZcQ0KtHUM=</binary>
+					</binaryDataArray>
+				</binaryDataArrayList>
+			</spectrum>
+		</spectrumList>
+	</run>
+</mzML>
+<indexList count="1">
+	<index name="spectrum">
+		<offset idRef="spectrum=1">4899</offset>
+		<offset idRef="spectrum=2">19011</offset>
+		<offset idRef="spectrum=3">31431</offset>
+		<offset idRef="spectrum=4">32961</offset>
+		<offset idRef="spectrum=5">34527</offset>
+	</index>
+</indexList>
+<indexListOffset>36171</indexListOffset>
+<fileChecksum>0</fileChecksum>
+</indexedmzML>
\ No newline at end of file
--- /dev/null	Thu Jan 01 00:00:00 1970 +0000
+++ b/test-data/MzMLSplitter_output_part2.mzML	Wed May 15 06:41:00 2019 -0400
@@ -0,0 +1,222 @@
+<?xml version="1.0" encoding="ISO-8859-1"?>
+<indexedmzML xmlns="http://psi.hupo.org/ms/mzml" xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance" xsi:schemaLocation="http://psi.hupo.org/ms/mzml http://psidev.info/files/ms/mzML/xsd/mzML1.1.0_idx.xsd">
+<mzML xmlns="http://psi.hupo.org/ms/mzml" xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance" xsi:schemaLocation="http://psi.hupo.org/ms/mzml http://psidev.info/files/ms/mzML/xsd/mzML1.1.0.xsd" accession="" version="1.1.0">
+	<cvList count="5">
+		<cv id="MS" fullName="Proteomics Standards Initiative Mass Spectrometry Ontology" URI="http://psidev.cvs.sourceforge.net/*checkout*/psidev/psi/psi-ms/mzML/controlledVocabulary/psi-ms.obo"/>
+		<cv id="UO" fullName="Unit Ontology" URI="http://obo.cvs.sourceforge.net/obo/obo/ontology/phenotype/unit.obo"/>
+		<cv id="BTO" fullName="BrendaTissue545" version="unknown" URI="http://www.brenda-enzymes.info/ontology/tissue/tree/update/update_files/BrendaTissueOBO"/>
+		<cv id="GO" fullName="Gene Ontology - Slim Versions" version="unknown" URI="http://www.geneontology.org/GO_slims/goslim_goa.obo"/>
+		<cv id="PATO" fullName="Quality ontology" version="unknown" URI="http://obo.cvs.sourceforge.net/*checkout*/obo/obo/ontology/phenotype/quality.obo"/>
+	</cvList>
+	<fileDescription>
+		<fileContent>
+			<cvParam cvRef="MS" accession="MS:1000294" name="mass spectrum" />
+		</fileContent>
+		<contact>
+			<cvParam cvRef="MS" accession="MS:1000586" name="contact name" value=", " />
+			<cvParam cvRef="MS" accession="MS:1000590" name="contact affiliation" value="" />
+		</contact>
+	</fileDescription>
+	<sampleList count="1">
+		<sample id="sa_0" name="">
+			<cvParam cvRef="MS" accession="MS:1000004" name="sample mass" value="0" unitAccession="UO:0000021" unitName="gram" unitCvRef="UO" />
+			<cvParam cvRef="MS" accession="MS:1000005" name="sample volume" value="0" unitAccession="UO:0000098" unitName="milliliter" unitCvRef="UO" />
+			<cvParam cvRef="MS" accession="MS:1000006" name="sample concentration" value="0" unitAccession="UO:0000175" unitName="gram per liter" unitCvRef="UO" />
+		</sample>
+	</sampleList>
+	<softwareList count="4">
+		<software id="so_in_0" version="" >
+			<cvParam cvRef="MS" accession="MS:1000799" name="custom unreleased software tool" value="" />
+		</software>
+		<software id="so_default" version="" >
+			<cvParam cvRef="MS" accession="MS:1000799" name="custom unreleased software tool" value="" />
+		</software>
+		<software id="so_dp_sp_0_pm_0" version="" >
+			<cvParam cvRef="MS" accession="MS:1000799" name="custom unreleased software tool" value="" />
+		</software>
+		<software id="so_dp_sp_0_pm_1" version="version_string" >
+			<cvParam cvRef="MS" accession="MS:1000756" name="FileConverter" />
+		</software>
+	</softwareList>
+	<instrumentConfigurationList count="1">
+		<instrumentConfiguration id="ic_0">
+			<cvParam cvRef="MS" accession="MS:1000031" name="instrument model" />
+			<componentList count="3">
+				<source order="0">
+					<cvParam cvRef="MS" accession="MS:1000008" name="ionization type" />
+				</source>
+				<analyzer order="0">
+					<cvParam cvRef="MS" accession="MS:1000014" name="accuracy" value="0" unitAccession="UO:0000169" unitName="parts per million" unitCvRef="UO" />
+					<cvParam cvRef="MS" accession="MS:1000022" name="TOF Total Path Length" value="0" unitAccession="UO:0000008" unitName="meter" unitCvRef="UO" />
+					<cvParam cvRef="MS" accession="MS:1000024" name="final MS exponent" value="0" />
+					<cvParam cvRef="MS" accession="MS:1000025" name="magnetic field strength" value="0" unitAccession="UO:0000228" unitName="tesla" unitCvRef="UO" />
+					<cvParam cvRef="MS" accession="MS:1000443" name="mass analyzer type" />
+				</analyzer>
+				<detector order="0">
+					<cvParam cvRef="MS" accession="MS:1000028" name="detector resolution" value="0" />
+					<cvParam cvRef="MS" accession="MS:1000029" name="sampling frequency" value="0" unitAccession="UO:0000106" unitName="hertz" unitCvRef="UO" />
+					<cvParam cvRef="MS" accession="MS:1000026" name="detector type" />
+				</detector>
+			</componentList>
+			<softwareRef ref="so_in_0" />
+		</instrumentConfiguration>
+	</instrumentConfigurationList>
+	<dataProcessingList count="1">
+		<dataProcessing id="dp_sp_0">
+			<processingMethod order="0" softwareRef="so_dp_sp_0_pm_0">
+				<cvParam cvRef="MS" accession="MS:1000543" name="data processing action" />
+			</processingMethod>
+			<processingMethod order="0" softwareRef="so_dp_sp_0_pm_1">
+				<cvParam cvRef="MS" accession="MS:1000544" name="Conversion to mzML" />
+				<cvParam cvRef="MS" accession="MS:1000747" name="completion time" value="1999-12-31+23:59" />
+				<userParam name="parameter: mode" type="xsd:string" value="test_mode"/>
+			</processingMethod>
+		</dataProcessing>
+	</dataProcessingList>
+	<run id="ru_0" defaultInstrumentConfigurationRef="ic_0" sampleRef="sa_0">
+		<spectrumList count="5" defaultDataProcessingRef="dp_sp_0">
+			<spectrum id="spectrum=6" index="0" defaultArrayLength="885" dataProcessingRef="dp_sp_0">
+				<cvParam cvRef="MS" accession="MS:1000525" name="spectrum representation" />
+				<cvParam cvRef="MS" accession="MS:1000511" name="ms level" value="1" />
+				<cvParam cvRef="MS" accession="MS:1000294" name="mass spectrum" />
+				<cvParam cvRef="MS" accession="MS:1000130" name="positive scan" />
+				<scanList count="1">
+					<cvParam cvRef="MS" accession="MS:1000795" name="no combination" />
+					<scan >
+						<cvParam cvRef="MS" accession="MS:1000016" name="scan start time" value="31.2627" unitAccession="UO:0000010" unitName="second" unitCvRef="UO" />
+					</scan>
+				</scanList>
+				<binaryDataArrayList count="2">
+					<binaryDataArray encodedLength="9440">
+						<cvParam cvRef="MS" accession="MS:1000514" name="m/z array" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+						<cvParam cvRef="MS" accession="MS:1000523" name="64-bit float" />
+						<cvParam cvRef="MS" accession="MS:1000576" name="no compression" />
+						<binary>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</binary>
+					</binaryDataArray>
+					<binaryDataArray encodedLength="4720">
+						<cvParam cvRef="MS" accession="MS:1000515" name="intensity array" unitAccession="MS:1000131" unitName="number of detector counts" unitCvRef="MS"/>
+						<cvParam cvRef="MS" accession="MS:1000521" name="32-bit float" />
+						<cvParam cvRef="MS" accession="MS:1000576" name="no compression" />
+						<binary>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</binary>
+					</binaryDataArray>
+				</binaryDataArrayList>
+			</spectrum>
+			<spectrum id="spectrum=7" index="1" defaultArrayLength="5">
+				<cvParam cvRef="MS" accession="MS:1000525" name="spectrum representation" />
+				<cvParam cvRef="MS" accession="MS:1000511" name="ms level" value="2" />
+				<cvParam cvRef="MS" accession="MS:1000294" name="mass spectrum" />
+				<cvParam cvRef="MS" accession="MS:1000130" name="positive scan" />
+				<scanList count="1">
+					<cvParam cvRef="MS" accession="MS:1000795" name="no combination" />
+					<scan >
+						<cvParam cvRef="MS" accession="MS:1000016" name="scan start time" value="36.7164" unitAccession="UO:0000010" unitName="second" unitCvRef="UO" />
+					</scan>
+				</scanList>
+				<binaryDataArrayList count="2">
+					<binaryDataArray encodedLength="56">
+						<cvParam cvRef="MS" accession="MS:1000514" name="m/z array" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+						<cvParam cvRef="MS" accession="MS:1000523" name="64-bit float" />
+						<cvParam cvRef="MS" accession="MS:1000576" name="no compression" />
+						<binary>AAAA4MxLf0AAAADgQIx/QAAAAEAzO4BAAAAAgEoIgkAAAAAg7HmJQA==</binary>
+					</binaryDataArray>
+					<binaryDataArray encodedLength="28">
+						<cvParam cvRef="MS" accession="MS:1000515" name="intensity array" unitAccession="MS:1000131" unitName="number of detector counts" unitCvRef="MS"/>
+						<cvParam cvRef="MS" accession="MS:1000521" name="32-bit float" />
+						<cvParam cvRef="MS" accession="MS:1000576" name="no compression" />
+						<binary>CkwJQr2To0MYvdZBymzcQ1d1GkM=</binary>
+					</binaryDataArray>
+				</binaryDataArrayList>
+			</spectrum>
+			<spectrum id="spectrum=8" index="2" defaultArrayLength="7">
+				<cvParam cvRef="MS" accession="MS:1000525" name="spectrum representation" />
+				<cvParam cvRef="MS" accession="MS:1000511" name="ms level" value="2" />
+				<cvParam cvRef="MS" accession="MS:1000294" name="mass spectrum" />
+				<cvParam cvRef="MS" accession="MS:1000130" name="positive scan" />
+				<scanList count="1">
+					<cvParam cvRef="MS" accession="MS:1000795" name="no combination" />
+					<scan >
+						<cvParam cvRef="MS" accession="MS:1000016" name="scan start time" value="34.2968" unitAccession="UO:0000010" unitName="second" unitCvRef="UO" />
+					</scan>
+				</scanList>
+				<binaryDataArrayList count="2">
+					<binaryDataArray encodedLength="76">
+						<cvParam cvRef="MS" accession="MS:1000514" name="m/z array" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+						<cvParam cvRef="MS" accession="MS:1000523" name="64-bit float" />
+						<cvParam cvRef="MS" accession="MS:1000576" name="no compression" />
+						<binary>AAAAAJpBf0AAAACAYFWAQAAAAACs8IBAAAAA4HC4gUAAAABgICSHQAAAAOCla4pAAAAAYJ+QikA=</binary>
+					</binaryDataArray>
+					<binaryDataArray encodedLength="40">
+						<cvParam cvRef="MS" accession="MS:1000515" name="intensity array" unitAccession="MS:1000131" unitName="number of detector counts" unitCvRef="MS"/>
+						<cvParam cvRef="MS" accession="MS:1000521" name="32-bit float" />
+						<cvParam cvRef="MS" accession="MS:1000576" name="no compression" />
+						<binary>Vms2RKnjW0PIhlZCRDzSQj3R2kLlvhJCL3HQQQ==</binary>
+					</binaryDataArray>
+				</binaryDataArrayList>
+			</spectrum>
+			<spectrum id="spectrum=9" index="3" defaultArrayLength="3">
+				<cvParam cvRef="MS" accession="MS:1000525" name="spectrum representation" />
+				<cvParam cvRef="MS" accession="MS:1000511" name="ms level" value="2" />
+				<cvParam cvRef="MS" accession="MS:1000294" name="mass spectrum" />
+				<cvParam cvRef="MS" accession="MS:1000130" name="positive scan" />
+				<scanList count="1">
+					<cvParam cvRef="MS" accession="MS:1000795" name="no combination" />
+					<scan >
+						<cvParam cvRef="MS" accession="MS:1000016" name="scan start time" value="39.1126" unitAccession="UO:0000010" unitName="second" unitCvRef="UO" />
+					</scan>
+				</scanList>
+				<binaryDataArrayList count="2">
+					<binaryDataArray encodedLength="32">
+						<cvParam cvRef="MS" accession="MS:1000514" name="m/z array" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+						<cvParam cvRef="MS" accession="MS:1000523" name="64-bit float" />
+						<cvParam cvRef="MS" accession="MS:1000576" name="no compression" />
+						<binary>AAAA4Lbrf0AAAABAJkeBQAAAAMD+poFA</binary>
+					</binaryDataArray>
+					<binaryDataArray encodedLength="16">
+						<cvParam cvRef="MS" accession="MS:1000515" name="intensity array" unitAccession="MS:1000131" unitName="number of detector counts" unitCvRef="MS"/>
+						<cvParam cvRef="MS" accession="MS:1000521" name="32-bit float" />
+						<cvParam cvRef="MS" accession="MS:1000576" name="no compression" />
+						<binary>L0VwQqCnSUIOfjhC</binary>
+					</binaryDataArray>
+				</binaryDataArrayList>
+			</spectrum>
+			<spectrum id="spectrum=10" index="4" defaultArrayLength="763">
+				<cvParam cvRef="MS" accession="MS:1000525" name="spectrum representation" />
+				<cvParam cvRef="MS" accession="MS:1000511" name="ms level" value="1" />
+				<cvParam cvRef="MS" accession="MS:1000294" name="mass spectrum" />
+				<cvParam cvRef="MS" accession="MS:1000130" name="positive scan" />
+				<scanList count="1">
+					<cvParam cvRef="MS" accession="MS:1000795" name="no combination" />
+					<scan >
+						<cvParam cvRef="MS" accession="MS:1000016" name="scan start time" value="39.9708" unitAccession="UO:0000010" unitName="second" unitCvRef="UO" />
+					</scan>
+				</scanList>
+				<binaryDataArrayList count="2">
+					<binaryDataArray encodedLength="8140">
+						<cvParam cvRef="MS" accession="MS:1000514" name="m/z array" unitAccession="MS:1000040" unitName="m/z" unitCvRef="MS" />
+						<cvParam cvRef="MS" accession="MS:1000523" name="64-bit float" />
+						<cvParam cvRef="MS" accession="MS:1000576" name="no compression" />
+						<binary>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</binary>
+					</binaryDataArray>
+					<binaryDataArray encodedLength="4072">
+						<cvParam cvRef="MS" accession="MS:1000515" name="intensity array" unitAccession="MS:1000131" unitName="number of detector counts" unitCvRef="MS"/>
+						<cvParam cvRef="MS" accession="MS:1000521" name="32-bit float" />
+						<cvParam cvRef="MS" accession="MS:1000576" name="no compression" />
+						<binary>mt9dRS5TD0SMs95D8StsRrRFt0WKGIpEYJs0RUetnUQm6mlFcaqlRK2hykWUcYlFHvqdQ4skrUSEYWFFG1FaRQRuXEV+ZQ5FyqbcRPgXFUUpdCtFHggYR/6t+kUqK8BDXdA2ReVxL0XfPRVGduyVRXI4NEWTOAlGdLK4RVhuxkTbDgxEOIgQRZGCkEQx3yNFHAFdRV6w5URC0plFBBhKRROyB0Xh7blD5NEXRMvklUPN/55ESySjRMvyGkWaKzBEftmlRIiDG0aO0ddFg/jPRdDMZUVTAApFGZ65QxJJcURrinpFL++tROkaukQi/pRFVh4DRSa7DkW531JF6R+FQ3PKTUMaHzpEOFYVRUqL10Tpb7JFLuv7RDknA0aKrIxErIStRDCUTESQB01EI/H6Q2v6BUWNrRRGL/q/RAEOgEWuP6NEYTd0RQoGrERHkQNFlcOmRc4IhUVyenRFdACwRCMTAUVClJhDyg+MQ6Z+gkVU7N1C7rsZRSV55EQFfwJFJNg4RDyJR0VYoCZF/ueeRIGr+UQLgRFEdLWbRDrRRkTEOjxEpoZGRZca7UT4RHVFXnLhRZGPKUWLi89EVYNtRYUkDEWb+xBFQ7wpReyKDEU21whEsi03RbgOg0QwXWBExJ/URJf53UQJeGlEg8AYRbZ6CkXaSJ5EAGyFQ6MqxkUcN3NFB2inRCIatUW08aBEPzEORUsSg0TxOVNFYfzNRFtPQUUQKRhF+H/fRd0FvESxzAJFLq6gRAwOQkRweYtEmSuhRCpwTEUw7CZFRzbXRHyK4ETey29EF5MXRY9KVUXmd2VEaWSZQzP7LUTdgh5EDu5jRYYi3kTtbrBEo+RXRS4nAUUeJ4ZEONjjRJ2io0T8/9hE5NBsRcahkUX3F31FX2YqRZ8UPUT7tZ9EmiTjQxbgEURcyxFEe9AqROaDKEXxgzlF41v8RNBk1ERJvbpEIF8zRRwNr0TXdDpEWVOARJUbRkSgZhdEnIMIRYKZbEQOUelEDnYVQ7ANfEQIsnBFx4UZRW+BckVlI4VEXYPDRIbFEkURg0dFF2afRPb3KEWetodDDpbNQ/cZ8EQmZU1ESbMqQ/Zl10P0U15EhcYwRKB740TWvfNEGN2ARMkvF0SpqXBEVkmoRcH+AUUP7EFFii35RQVWLkWuoaNELsb6RHaUHURCa4VFZx7/QzYKXERK3l9EcauaRBqlI0WVYXFDJWyMRFtaTUR9BTVD/n1/RIqJN0VEuRtFboAFRLPGWUUqAvNEc6U1RfjwxUJVdglFbO+KRGcVS0PIsJpE/qPwRNWNSURzY1pE3iUmRbBXn0NBmfBEsflBRA2VWkQM5K5EEU8yRIyWkkRgfMdDe0WYRNvLyUQjXc9Do+GcQ/iK7EQIXdRFSgnOROH0wUTA3cpEyI3cROk3jEQ9OElF62KQRCLuDUXvJOVEoiIWRHXBFkXd4SNFuwGFRU3ajET+8k1F8BghRVamcEQcBkNEurF4RBymLESEUJ5DgfIzRC7cmUV7r55FTvhnRbqdPUQvAW1E1317RCrHB0VR2jFFOzI2RcJDeURgxetDCBXUQ3Z/gESEWddEs2UsRIsAM0Qock9Ey4oLRFiSokQOoK5Dlm1/RH4xIUWPRr9EDm4ZRSA7jEVwixVFf9h2RGhp5USqUtFEwb7sQ5Mbo0Rwq5REjOaPRHZpKkVdktxE01YmRGk14kQa5EZEKVRRRU9nBEQqE+xEqOW7RLOmCUThRllD9b5BRJbZCkVoSrZD3GamRAs9a0WWpG1EywzORC8uV0M0g3JETRWuQ/bzrEWsWqVE4+YtRQ5MFEU2rgdF1SeIRIM3S0SBqoBEtotrRd7uwkOGrcxE1q0XRLPiXETu8c5DAPSIRBtCFUWv6JdEJy1xRKQA6ESrS+xDPnmvQ70uB0X96eBEBsl5Q0vJgES0oj5EgmMSRDRBeESqhixEhNtXRHnGuUO57VtEsyZnRB0Z7kSktCpFTWRDRdhdcUQf9p5FkKioRGWISUQen5ZEbvgwQ3uIOUQTTI9EUZBoRN7Qk0Su23VE5bTMQ3jfJkUTOvpDpQWVQz2AgkTbpE5EwH8KRO3SE0QogYZEZMCLQ0qABEQHyYxDiQ0+Rd09AEUPKN5DZhcUROx0MkQYuFlEeiKsQ83pAEVzmixET/v+Qmi9xES+DmxERZN8ROmeQUUhf8JELmXIRCLuIkSThLFEgWbSRND1uURGmH9DEAL4Qkvh/kJtfOhD61IFRVx6PUTaieBDzez9ROW+DUS82sdDrpR7Q8z5wENtSUFEmGoRRe8/ckRofAJFiGWwRJKRFURRijBEsRuHREv2OES+0QNEGYVZRLZba0NAWKtDOictRMZjQkQBof9DgoELRFrOSkRr5O5ElXIJRfi0mUOtjV5ErubyRCII1kTxIRREv1J0RKXZnkOXyOFEkJ7ARDaCbESZDDVEzq+SQ9oq4UOFIqJDLDOkQzuoEkRvwzREIfhPRDlh4ERcefZDvbViRPeEXURI9YJDL32WREX3n0OjXX1D5YtDRL5B3kRAeSlEqHTDRKaxWEQ2XP5EnVAVQ/cMMkTn/7FD/9XpQsfHN0MR/KBEmZGTRO24qERq6W1EF0FGQx87gkNpvIFEf3EKRDjfhETW+WFE9OO8RNx4WESuWcBE9Pz8Q/B2E0Q9AEdEuJYHRJ6PSUMjgjpDtFWhRArltEM+GxlDK9VsQ0HKAUSL2lJFwf2iRFuCTESNzJ1Ebrp2RC3EV0RSiBREwVaGQxmdCENP0kxDtZx5RJQbEES5DItDzvTaROk0IkMdgLRDLvTxRCMvLURgKdZDI+ErRZSdJkMQAktEtXy5RDVi5kQFIwZFX/vdQ18EVkSG+IVEvCEzRPEusETIUiFEpEwgRKbZ/ESdJgJEjnj+Qx4QREQWPNJEH3yORCmymUQHqS1Eeg1VRFK8/ENnhoRDqKPiQymCHUO1Z1FFIHEuQ1zPo0RonUtEyJD6QlTq20SWqZ9E5ud7RDSjFUQah1tEPsBuRE+cO0QB82pCicUFQyUn/kP8s3ZExCcsRNUrjkRUGJxD+DboQ6eEWUOR+49DIlatRIhxkUS5G+FCoAZtRDDQqkTyYkNEEoLrQ4CgMURyNk9EoiOtRPs8tUPWhQREeqQRRBa1B0SpsFxEQVwkRNPig0SBjQ5EZ3QDRZ5Fo0OQLS9D5O1IRHHcmkO0wyNDQCCdRIr2YkSIlhxEy+JGQ9XUoUMPfZZEEgyeRLDdGEMgvgxEifI+RL06SkOoB8tDl5KURBzX8kKw95lEJDm9Q9Kf/UO+sDxD1GBzRH2+XUTwJkZDad1tRNevXEQX8J1DZmFlQylRyEOlkf9DOC74Q1OnyEOu+LFDZq9rRAo8VkS5c1dEKOsWQ1YPf0R5kaFDcYSQQ6OhKURRwf1C8RKrRABe6EODuOtENoiAQ3xK7UIhxqREQisBQ8iv5EOm9hxD5kxHQ+T2B0RMz+hDZCETRN7zP0TWUOpDKrE6RNVtQERLJRtDQ62NRL/SNUQaCIdEGjKuRN7kBENnVH9D1e/4QzLtNUOeleZCJREaRIbNvEKdOQFDfY1dRKdFAEMYfhhDI+1IQ/JVaUNemY1DGQdtQ6zDPkMdLf5D1P5+Q4/XJURc3YpDPo2uQn+XAEM39xRDh+a3Q0v+n0IT+19DFHUOROSKxEML8MtDmNsxQ1lCcETIHxxD54bdQmU5lEOvN0xDFyW1Qo8Lk0PoJz1Ec5vRRP8TaUPYXkNE6moVQ2qlKkPSjRZDXkjXQwwbYUTsXP5DBpleRGkTOkSDGqZDHKmxQ5uNrkKkb5ZEJVLyQwTqw0MxTN1DYrLJQxlPkkMh5fZCNWpiRCzAn0PM4LlDkbDgQj4mIkM7/p9Cy4/mQyj730PXm1FD69RMRHDPGkNMhQFEhwcdRKuFBETOQhhEmHhdQ0UZ7UK9hFlDIKelREmsvUMpWhBEE3NwQyAmgEQKjUpDlJaBQ95aHURZH5xDDEQTRcV+lkS3kXRDYcnBQ/yPZkRAgutDayibQjWZXkTmzVJDdvg4RFtWhkN81KhDagujQ7hfDkMR6uVDyDb0Qg==</binary>
+					</binaryDataArray>
+				</binaryDataArrayList>
+			</spectrum>
+		</spectrumList>
+	</run>
+</mzML>
+<indexList count="1">
+	<index name="spectrum">
+		<offset idRef="spectrum=6">4899</offset>
+		<offset idRef="spectrum=7">20575</offset>
+		<offset idRef="spectrum=8">22141</offset>
+		<offset idRef="spectrum=9">23739</offset>
+		<offset idRef="spectrum=10">25269</offset>
+	</index>
+</indexList>
+<indexListOffset>39000</indexListOffset>
+<fileChecksum>0</fileChecksum>
+</indexedmzML>
\ No newline at end of file
--- /dev/null	Thu Jan 01 00:00:00 1970 +0000
+++ b/test-data/OpenPepXL_input.fasta	Wed May 15 06:41:00 2019 -0400
@@ -0,0 +1,39 @@
+>Protein1
+MSERDTALEKYKSYLLQHREWDSKLKDLRFGNRDLVKKYDKTEDDIKSLQSVGQIIGEVL
+KQLDSERFIVKASSGPRYVVGCRNNVDQSHLVQGVRVSLDMTTLTIMRILPREVDPLVYN
+MSIEDPGDISFAGVGGLNEQIRELREVIELPLKNPELFLRVGIKPPKGVLLYGPPGTGKT
+LLARAVAASLGVNFLKVVSSAIVDKYIGESARIIREMFGYAKEHEPCVIFMDEIDAIGGR
+RFSEGTSADREIQRTLMELLNQMDGFDYLGQTKIIMATNRPDTLDPALLRPGRLDRKIEI
+PLPNEVGRMEILKIHLEKVSKQGEIDYEALVKLTDGTNGADLRNVVTEAGFIAIKEDRDY
+VIQSDLMSAARKVADLKKLEGTIDYQKL
+>Protein2
+MSTLEELDALDQSQQGGSSNNEGLDGIEQEILAAGIDELNSRTRLLENDIKVMKSEFQRL
+THEKSTMLEKIKENQEKISNNKMLPYLVGNVVEILDMQPDEVDVQESANQNSEATRVGKS
+AVIKTSTRQTIFLPLIGLVEPEELHPGDLIGVNKDSYLIIDKLPSEYDSRVKAMEVDEKP
+TERYSDIGGLSKQIEELFEAIVLPMQQADKFRKLGVKPPKGCLMFGPPGTGKTLLARACA
+AQSNATFLKLAAPQLVQMFIGDGAKLVRDAFALAKEKSPAIIFIDELDAIGTKRFDSEKA
+GDREVQRTMLELLNQLDGFSSDDRVKVIAATNRVDTLDPALLRSGRLDRKLEFPLPNEEA
+RVGILRIHSRKMAIDDDINWEELARSTDEYNGAMLKSVCVEAGMIALRQGDTKINHEHFM
+DGILEVQMRKSKTLQYFA
+>Protein3
+MPFGNTHNKFKLNYKPEEEYPDLSKHNNHMAKVLTLELYKKLRDKETPSGFTVDDVIQTG
+VDNPGHPFIMTVGCVAGDEESYEVFKELFDPIISDRHGGYKPTDKHKTDLNHENLKGGDD
+LDPNYVLSSRVRTGRSIKGYTLPPHCSRGERRAVEKLSVEALNSLTGEFKGKYYPLKSMT
+EKEQQQLIDDHFLFDKPVSPLLLASGMARDWPDARGIWHNDNKSFLVWVNEEDHLRVISM
+EKGGNMKEVFRRFCVGLQKIEEIFKKAGHPFMWNQHLGYVLTCPSNLGTGLRGGVHVKLA
+HLSKHPKFEEILTRLRLQKRGTGGVDTAAVGSVFDVSNADRLGSSEVEQVQLVVDGVKLM
+VEMEKKLEKGQSIDDMIPAQK
+>Protein4
+MSTLDLNHLADLYDRKDWNACKKELLKLKVELAKQNLFVPTSDKEKASFARNVFEYGVLV
+SIQTCDIESFARYASQVIPFYHDSLVPSSRMGLVTGLNLLYLLSENRIAEFHTALESVPD
+KSLFERDPYVEWVISLEQNVMEGAFDKVASMIRSCNFPEFSYFMKIVMSMVRNEIATCAE
+KVYSEIPLSNATSLLYLENTKETEKLAEERGWDIRDGVIYFPKEANALETEDGMLIDEED
+ELELPPTASKHTISSIRQLLSYTSELEQIV
+>Protein5
+MCSPSATLTHRTMTEKARTVSDLTISQAIFELSSPFLENKSQKALDTLFSAIRDHDLAPL
+YKYLSENPKTSASIDFDSNFLNSMIKKNEEKLAEFDKAIEDAQELNGEHEILEAMKNKAD
+YYTNICDRERGVQLCDETFERATLTGMKIDVLFSKIRLAYVYADMRVVGQLLEKLKPLIE
+KGGDWERKNRLKAYQGIYLMSIRNFSGAADLLLDCMSTFSSTELLPYYDVVRYAVISGAI
+SLDRVDVKTKIVDSPEVLAVLPQNESMSSLEACINSLYLCDYSGFFRTLADVEVNHLKCD
+QFLVAHYRYYVREMRRRAYAQLLESYRALSIDSMAASFGVSVDYIDRDLASFIPDNKLNC
+VIDRVNGVVFTNRPDEKNRQYQEVVKQGDVLLNKLQKYQATVMRGAFKV
\ No newline at end of file
--- /dev/null	Thu Jan 01 00:00:00 1970 +0000
+++ b/test-data/SequenceCoverageCalculator.fasta	Wed May 15 06:41:00 2019 -0400
@@ -0,0 +1,149508 @@
+>sp|Q16827|PTPRO_HUMAN Receptor-type tyrosine-protein phosphatase O OS=Homo sapiens OX=9606 GN=PTPRO PE=1 SV=2
+MGHLPTGIHGARRLLPLLWLFVLFKNATAFHVTVQDDNNIVVSLEASDVISPASVYVVKITGESKNYFFEFEEFNSTLPPPVIFKASYHGLYYIITLVVVNGNVVTKPSRSITVLTKPLPVTSVSIYDYKPSPETGVLFEIHYPEKYNVFTRVNISYWEGKDFRTMLYKDFFKGKTVFNHWLPGMCYSNITFQLVSEATFNKSTLVEYSGVSHEPKQHRTAPYPPQNISVRIVNLNKNNWEEQSGNFPEESFMRSQDTIGKEKLFHFTEETPEIPSGNISSGWPDFNSSDYETTSQPYWWDSASAAPESEDEFVSVLPMEYENNSTLSETEKSTSGSFSFFPVQMILTWLPPKPPTAFDGFHIHIEREENFTEYLMVDEEAHEFVAELKEPGKYKLSVTTFSSSGSCETRKSQSAKSLSFYISPSGEWIEELTEKPQHVSVHVLSSTTALMSWTSSQENYNSTIVSVVSLTCQKQKESQRLEKQYCTQVNSSKPIIENLVPGAQYQVVIYLRKGPLIGPPSDPVTFAIVPTGIKDLMLYPLGPTAVVLSWTRPYLGVFRKYVVEMFYFNPATMTSEWTTYYEIAATVSLTASVRIANLLPAWYYNFRVTMVTWGDPELSCCDSSTISFITAPVAPEITSVEYFNSLLYISWTYGDDTTDLSHSRMLHWMVVAEGKKKIKKSVTRNVMTAILSLPPGDIYNLSVTACTERGSNTSMLRLVKLEPAPPKSLFAVNKTQTSVTLLWVEEGVADFFEVFCQQVGSSQKTKLQEPVAVSSHVVTISSLLPATAYNCSVTSFSHDSPSVPTFIAVSTMVTEMNPNVVVISVLAILSTLLIGLLLVTLIILRKKHLQMARECGAGTFVNFASLERDGKLPYNWRRSIFAFLTLLPSCLWTDYLLAFYINPWSKNGLKKRKLTNPVQLDDFDAYIKDMAKDSDYKFSLQFEELKLIGLDIPHFAADLPLNRCKNRYTNILPYDFSRVRLVSMNEEEGADYINANYIPGYNSPQEYIATQGPLPETRNDFWKMVLQQKSQIIVMLTQCNEKRRVKCDHYWPFTEEPIAYGDITVEMISEEEQDDWACRHFRINYADEMQDVMHFNYTAWPDHGVPTANAAESILQFVHMVRQQATKSKGPMIIHCSAGVGRTGTFIALDRLLQHIRDHEFVDILGLVSEMRSYRMSMVQTEEQYIFIHQCVQLMWMKKKQQFCISDVIYENVSKS
+>DECOY_sp|Q16827|PTPRO_HUMAN Receptor-type tyrosine-protein phosphatase O OS=Homo sapiens OX=9606 GN=PTPRO PE=1 SV=2
+SKSVNEYIVDSICFQQKKKMWMLQVCQHIFIYQEETQVMSMRYSRMESVLGLIDVFEHDRIHQLLRDLAIFTGTRGVGASCHIIMPGKSKTAQQRVMHVFQLISEAANATPVGHDPWATYNFHMVDQMEDAYNIRFHRCAWDDQEEESIMEVTIDGYAIPEETFPWYHDCKVRRKENCQTLMVIIQSKQQLVMKWFDNRTEPLPGQTAIYEQPSNYGPIYNANIYDAGEEENMSVLRVRSFDYPLINTYRNKCRNLPLDAAFHPIDLGILKLEEFQLSFKYDSDKAMDKIYADFDDLQVPNTLKRKKLGNKSWPNIYFALLYDTWLCSPLLTLFAFISRRWNYPLKGDRELSAFNVFTGAGCERAMQLHKKRLIILTVLLLGILLTSLIALVSIVVVNPNMETVMTSVAIFTPVSPSDHSFSTVSCNYATAPLLSSITVVHSSVAVPEQLKTKQSSGVQQCFVEFFDAVGEEVWLLTVSTQTKNVAFLSKPPAPELKVLRLMSTNSGRETCATVSLNYIDGPPLSLIATMVNRTVSKKIKKKGEAVVMWHLMRSHSLDTTDDGYTWSIYLLSNFYEVSTIEPAVPATIFSITSSDCCSLEPDGWTVMTVRFNYYWAPLLNAIRVSATLSVTAAIEYYTTWESTMTAPNFYFMEVVYKRFVGLYPRTWSLVVATPGLPYLMLDKIGTPVIAFTVPDSPPGILPGKRLYIVVQYQAGPVLNEIIPKSSNVQTCYQKELRQSEKQKQCTLSVVSVITSNYNEQSSTWSMLATTSSLVHVSVHQPKETLEEIWEGSPSIYFSLSKASQSKRTECSGSSSFTTVSLKYKGPEKLEAVFEHAEEDVMLYETFNEEREIHIHFGDFATPPKPPLWTLIMQVPFFSFSGSTSKETESLTSNNEYEMPLVSVFEDESEPAASASDWWYPQSTTEYDSSNFDPWGSSINGSPIEPTEETFHFLKEKGITDQSRMFSEEPFNGSQEEWNNKNLNVIRVSINQPPYPATRHQKPEHSVGSYEVLTSKNFTAESVLQFTINSYCMGPLWHNFVTKGKFFDKYLMTRFDKGEWYSINVRTFVNYKEPYHIEFLVGTEPSPKYDYISVSTVPLPKTLVTISRSPKTVVNGNVVVLTIIYYLGHYSAKFIVPPPLTSNFEEFEFFYNKSEGTIKVVYVSAPSIVDSAELSVVINNDDQVTVHFATANKFLVFLWLLPLLRRAGHIGTPLHGM
+>sp|Q15256|PTPRR_HUMAN Receptor-type tyrosine-protein phosphatase R OS=Homo sapiens OX=9606 GN=PTPRR PE=1 SV=2
+MRRAVCFPALCLLLNLHAAGCFSGNNDHFLAINQKKSGKPVFIYKHSQDIEKSLDIAPQKIYRHSYHSSSEAQVSKRHQIVNSAFPRPAYDPSLNLLAMDGQDLEVENLPIPAANVIVVTLQMDVNKLNITLLRIFRQGVAAALGLLPQQVHINRLIGKKNSIELFVSPINRKTGISDALPSEEVLRSLNINVLHQSLSQFGITEVSPEKNVLQGQHEADKIWSKEGFYAVVIFLSIFVIIVTCLMILYRLKERFQLSLRQDKEKNQEIHLSPITLQPALSEAKTVHSMVQPEQAPKVLNVVVDPQGRGAPEIKATTATSVCPSPFKMKPIGLQERRGSNVSLTLDMSSLGNIEPFVSIPTPREKVAMEYLQSASRILTRSQLRDVVASSHLLQSEFMEIPMNFVDPKEIDIPRHGTKNRYKTILPNPLSRVCLRPKNVTDSLSTYINANYIRGYSGKEKAFIATQGPMINTVDDFWQMVWQEDSPVIVMITKLKEKNEKCVLYWPEKRGIYGKVEVLVISVNECDNYTIRNLVLKQGSHTQHVKHYWYTSWPDHKTPDSAQPLLQLMLDVEEDRLASQGRGPVVVHCSAGIGRTGCFIATSIGCQQLKEEGVVDALSIVCQLRMDRGGMVQTSEQYEFVHHALCLYESRLSAETVQ
+>DECOY_sp|Q15256|PTPRR_HUMAN Receptor-type tyrosine-protein phosphatase R OS=Homo sapiens OX=9606 GN=PTPRR PE=1 SV=2
+QVTEASLRSEYLCLAHHVFEYQESTQVMGGRDMRLQCVISLADVVGEEKLQQCGISTAIFCGTRGIGASCHVVVPGRGQSALRDEEVDLMLQLLPQASDPTKHDPWSTYWYHKVHQTHSGQKLVLNRITYNDCENVSIVLVEVKGYIGRKEPWYLVCKENKEKLKTIMVIVPSDEQWVMQWFDDVTNIMPGQTAIFAKEKGSYGRIYNANIYTSLSDTVNKPRLCVRSLPNPLITKYRNKTGHRPIDIEKPDVFNMPIEMFESQLLHSSAVVDRLQSRTLIRSASQLYEMAVKERPTPISVFPEINGLSSMDLTLSVNSGRREQLGIPKMKFPSPCVSTATTAKIEPAGRGQPDVVVNLVKPAQEPQVMSHVTKAESLAPQLTIPSLHIEQNKEKDQRLSLQFREKLRYLIMLCTVIIVFISLFIVVAYFGEKSWIKDAEHQGQLVNKEPSVETIGFQSLSQHLVNINLSRLVEESPLADSIGTKRNIPSVFLEISNKKGILRNIHVQQPLLGLAAAVGQRFIRLLTINLKNVDMQLTVVIVNAAPIPLNEVELDQGDMALLNLSPDYAPRPFASNVIQHRKSVQAESSSHYSHRYIKQPAIDLSKEIDQSHKYIFVPKGSKKQNIALFHDNNGSFCGAAHLNLLLCLAPFCVARRM
+>sp|Q92729|PTPRU_HUMAN Receptor-type tyrosine-protein phosphatase U OS=Homo sapiens OX=9606 GN=PTPRU PE=1 SV=2
+MARAQALVLALTFQLCAPETETPAAGCTFEEASDPAVPCEYSQAQYDDFQWEQVRIHPGTRAPADLPHGSYLMVNTSQHAPGQRAHVIFQSLSENDTHCVQFSYFLYSRDGHSPGTLGVYVRVNGGPLGSAVWNMTGSHGRQWHQAELAVSTFWPNEYQVLFEALISPDRRGYMGLDDILLLSYPCAKAPHFSRLGDVEVNAGQNASFQCMAAGRAAEAERFLLQRQSGALVPAAGVRHISHRRFLATFPLAAVSRAEQDLYRCVSQAPRGAGVSNFAELIVKEPPTPIAPPQLLRAGPTYLIIQLNTNSIIGDGPIVRKEIEYRMARGPWAEVHAVSLQTYKLWHLDPDTEYEISVLLTRPGDGGTGRPGPPLISRTKCAEPMRAPKGLAFAEIQARQLTLQWEPLGYNVTRCHTYTVSLCYHYTLGSSHNQTIRECVKTEQGVSRYTIKNLLPYRNVHVRLVLTNPEGRKEGKEVTFQTDEDVPSGIAAESLTFTPLEDMIFLKWEEPQEPNGLITQYEISYQSIESSDPAVNVPGPRRTISKLRNETYHVFSNLHPGTTYLFSVRARTGKGFGQAALTEITTNISAPSFDYADMPSPLGESENTITVLLRPAQGRGAPISVYQVIVEEERARRLRREPGGQDCFPVPLTFEAALARGLVHYFGAELAASSLPEAMPFTVGDNQTYRGFWNPPLEPRKAYLIYFQAASHLKGETRLNCIRIARKAACKESKRPLEVSQRSEEMGLILGICAGGLAVLILLLGAIIVIIRKGRDHYAYSYYPKPVNMTKATVNYRQEKTHMMSAVDRSFTDQSTLQEDERLGLSFMDTHGYSTRGDQRSGGVTEASSLLGGSPRRPCGRKGSPYHTGQLHPAVRVADLLQHINQMKTAEGYGFKQEYESFFEGWDATKKKDKVKGSRQEPMPAYDRHRVKLHPMLGDPNADYINANYIDGYHRSNHFIATQGPKPEMVYDFWRMVWQEHCSSIVMITKLVEVGRVKCSRYWPEDSDTYGDIKIMLVKTETLAEYVVRTFALERRGYSARHEVRQFHFTAWPEHGVPYHATGLLAFIRRVKASTPPDAGPIVIHCSAGTGRTGCYIVLDVMLDMAECEGVVDIYNCVKTLCSRRVNMIQTEEQYIFIHDAILEACLCGETTIPVSEFKATYKEMIRIDPQSNSSQLREEFQTLNSVTPPLDVEECSIALLPRNRDKNRSMDVLPPDRCLPFLISTDGDSNNYINAALTDSYTRSAAFIVTLHPLQSTTPDFWRLVYDYGCTSIVMLNQLNQSNSAWPCLQYWPEPGRQQYGLMEVEFMSGTADEDLVARVFRVQNISRLQEGHLLVRHFQFLRWSAYRDTPDSKKAFLHLLAEVDKWQAESGDGRTIVHCLNGGGRSGTFCACATVLEMIRCHNLVDVFFAAKTLRNYKPNMVETMDQYHFCYDVALEYLEGLESR
+>DECOY_sp|Q92729|PTPRU_HUMAN Receptor-type tyrosine-protein phosphatase U OS=Homo sapiens OX=9606 GN=PTPRU PE=1 SV=2
+RSELGELYELAVDYCFHYQDMTEVMNPKYNRLTKAAFFVDVLNHCRIMELVTACACFTGSRGGGNLCHVITRGDGSEAQWKDVEALLHLFAKKSDPTDRYASWRLFQFHRVLLHGEQLRSINQVRFVRAVLDEDATGSMFEVEMLGYQQRGPEPWYQLCPWASNSQNLQNLMVISTCGYDYVLRWFDPTTSQLPHLTVIFAASRTYSDTLAANIYNNSDGDTSILFPLCRDPPLVDMSRNKDRNRPLLAISCEEVDLPPTVSNLTQFEERLQSSNSQPDIRIMEKYTAKFESVPITTEGCLCAELIADHIFIYQEETQIMNVRRSCLTKVCNYIDVVGECEAMDLMVDLVIYCGTRGTGASCHIVIPGADPPTSAKVRRIFALLGTAHYPVGHEPWATFHFQRVEHRASYGRRELAFTRVVYEALTETKVLMIKIDGYTDSDEPWYRSCKVRGVEVLKTIMVISSCHEQWVMRWFDYVMEPKPGQTAIFHNSRHYGDIYNANIYDANPDGLMPHLKVRHRDYAPMPEQRSGKVKDKKKTADWGEFFSEYEQKFGYGEATKMQNIHQLLDAVRVAPHLQGTHYPSGKRGCPRRPSGGLLSSAETVGGSRQDGRTSYGHTDMFSLGLREDEQLTSQDTFSRDVASMMHTKEQRYNVTAKTMNVPKPYYSYAYHDRGKRIIVIIAGLLLILVALGGACIGLILGMEESRQSVELPRKSEKCAAKRAIRICNLRTEGKLHSAAQFYILYAKRPELPPNWFGRYTQNDGVTFPMAEPLSSAALEAGFYHVLGRALAAEFTLPVPFCDQGGPERRLRRAREEEVIVQYVSIPAGRGQAPRLLVTITNESEGLPSPMDAYDFSPASINTTIETLAAQGFGKGTRARVSFLYTTGPHLNSFVHYTENRLKSITRRPGPVNVAPDSSEISQYSIEYQTILGNPEQPEEWKLFIMDELPTFTLSEAAIGSPVDEDTQFTVEKGEKRGEPNTLVLRVHVNRYPLLNKITYRSVGQETKVCERITQNHSSGLTYHYCLSVTYTHCRTVNYGLPEWQLTLQRAQIEAFALGKPARMPEACKTRSILPPGPRGTGGDGPRTLLVSIEYETDPDLHWLKYTQLSVAHVEAWPGRAMRYEIEKRVIPGDGIISNTNLQIILYTPGARLLQPPAIPTPPEKVILEAFNSVGAGRPAQSVCRYLDQEARSVAALPFTALFRRHSIHRVGAAPVLAGSQRQLLFREAEAARGAAMCQFSANQGANVEVDGLRSFHPAKACPYSLLLIDDLGMYGRRDPSILAEFLVQYENPWFTSVALEAQHWQRGHSGTMNWVASGLPGGNVRVYVGLTGPSHGDRSYLFYSFQVCHTDNESLSQFIVHARQGPAHQSTNVMLYSGHPLDAPARTGPHIRVQEWQFDDYQAQSYECPVAPDSAEEFTCGAAPTETEPACLQFTLALVLAQARAM
+>sp|P23471|PTPRZ_HUMAN Receptor-type tyrosine-protein phosphatase zeta OS=Homo sapiens OX=9606 GN=PTPRZ1 PE=1 SV=4
+MRILKRFLACIQLLCVCRLDWANGYYRQQRKLVEEIGWSYTGALNQKNWGKKYPTCNSPKQSPINIDEDLTQVNVNLKKLKFQGWDKTSLENTFIHNTGKTVEINLTNDYRVSGGVSEMVFKASKITFHWGKCNMSSDGSEHSLEGQKFPLEMQIYCFDADRFSSFEEAVKGKGKLRALSILFEVGTEENLDFKAIIDGVESVSRFGKQAALDPFILLNLLPNSTDKYYIYNGSLTSPPCTDTVDWIVFKDTVSISESQLAVFCEVLTMQQSGYVMLMDYLQNNFREQQYKFSRQVFSSYTGKEEIHEAVCSSEPENVQADPENYTSLLVTWERPRVVYDTMIEKFAVLYQQLDGEDQTKHEFLTDGYQDLGAILNNLLPNMSYVLQIVAICTNGLYGKYSDQLIVDMPTDNPELDLFPELIGTEEIIKEEEEGKDIEEGAIVNPGRDSATNQIRKKEPQISTTTHYNRIGTKYNEAKTNRSPTRGSEFSGKGDVPNTSLNSTSQPVTKLATEKDISLTSQTVTELPPHTVEGTSASLNDGSKTVLRSPHMNLSGTAESLNTVSITEYEEESLLTSFKLDTGAEDSSGSSPATSAIPFISENISQGYIFSSENPETITYDVLIPESARNASEDSTSSGSEESLKDPSMEGNVWFPSSTDITAQPDVGSGRESFLQTNYTEIRVDESEKTTKSFSAGPVMSQGPSVTDLEMPHYSTFAYFPTEVTPHAFTPSSRQQDLVSTVNVVYSQTTQPVYNGETPLQPSYSSEVFPLVTPLLLDNQILNTTPAASSSDSALHATPVFPSVDVSFESILSSYDGAPLLPFSSASFSSELFRHLHTVSQILPQVTSATESDKVPLHASLPVAGGDLLLEPSLAQYSDVLSTTHAASETLEFGSESGVLYKTLMFSQVEPPSSDAMMHARSSGPEPSYALSDNEGSQHIFTVSYSSAIPVHDSVGVTYQGSLFSGPSHIPIPKSSLITPTASLLQPTHALSGDGEWSGASSDSEFLLPDTDGLTALNISSPVSVAEFTYTTSVFGDDNKALSKSEIIYGNETELQIPSFNEMVYPSESTVMPNMYDNVNKLNASLQETSVSISSTKGMFPGSLAHTTTKVFDHEISQVPENNFSVQPTHTVSQASGDTSLKPVLSANSEPASSDPASSEMLSPSTQLLFYETSASFSTEVLLQPSFQASDVDTLLKTVLPAVPSDPILVETPKVDKISSTMLHLIVSNSASSENMLHSTSVPVFDVSPTSHMHSASLQGLTISYASEKYEPVLLKSESSHQVVPSLYSNDELFQTANLEINQAHPPKGRHVFATPVLSIDEPLNTLINKLIHSDEILTSTKSSVTGKVFAGIPTVASDTFVSTDHSVPIGNGHVAITAVSPHRDGSVTSTKLLFPSKATSELSHSAKSDAGLVGGGEDGDTDDDGDDDDDDRGSDGLSIHKCMSCSSYRESQEKVMNDSDTHENSLMDQNNPISYSLSENSEEDNRVTSVSSDSQTGMDRSPGKSPSANGLSQKHNDGKEENDIQTGSALLPLSPESKAWAVLTSDEESGSGQGTSDSLNENETSTDFSFADTNEKDADGILAAGDSEITPGFPQSPTSSVTSENSEVFHVSEAEASNSSHESRIGLAEGLESEKKAVIPLVIVSALTFICLVVLVGILIYWRKCFQTAHFYLEDSTSPRVISTPPTPIFPISDDVGAIPIKHFPKHVADLHASSGFTEEFETLKEFYQEVQSCTVDLGITADSSNHPDNKHKNRYINIVAYDHSRVKLAQLAEKDGKLTDYINANYVDGYNRPKAYIAAQGPLKSTAEDFWRMIWEHNVEVIVMITNLVEKGRRKCDQYWPADGSEEYGNFLVTQKSVQVLAYYTVRNFTLRNTKIKKGSQKGRPSGRVVTQYHYTQWPDMGVPEYSLPVLTFVRKAAYAKRHAVGPVVVHCSAGVGRTGTYIVLDSMLQQIQHEGTVNIFGFLKHIRSQRNYLVQTEEQYVFIHDTLVEAILSKETEVLDSHIHAYVNALLIPGPAGKTKLEKQFQLLSQSNIQQSDYSAALKQCNREKNRTSSIIPVERSRVGISSLSGEGTDYINASYIMGYYQSNEFIITQHPLLHTIKDFWRMIWDHNAQLVVMIPDGQNMAEDEFVYWPNKDEPINCESFKVTLMAEEHKCLSNEEKLIIQDFILEATQDDYVLEVRHFQCPKWPNPDSPISKTFELISVIKEEAANRDGPMIVHDEHGGVTAGTFCALTTLMHQLEKENSVDVYQVAKMINLMRPGVFADIEQYQFLYKVILSLVSTRQEENPSTSLDSNGAALPDGNIAESLESLV
+>DECOY_sp|P23471|PTPRZ_HUMAN Receptor-type tyrosine-protein phosphatase zeta OS=Homo sapiens OX=9606 GN=PTPRZ1 PE=1 SV=4
+VLSELSEAINGDPLAAGNSDLSTSPNEEQRTSVLSLIVKYLFQYQEIDAFVGPRMLNIMKAVQYVDVSNEKELQHMLTTLACFTGATVGGHEDHVIMPGDRNAAEEKIVSILEFTKSIPSDPNPWKPCQFHRVELVYDDQTAELIFDQIILKEENSLCKHEEAMLTVKFSECNIPEDKNPWYVFEDEAMNQGDPIMVVLQANHDWIMRWFDKITHLLPHQTIIFENSQYYGMIYSANIYDTGEGSLSSIGVRSREVPIISSTRNKERNCQKLAASYDSQQINSQSLLQFQKELKTKGAPGPILLANVYAHIHSDLVETEKSLIAEVLTDHIFVYQEETQVLYNRQSRIHKLFGFINVTGEHQIQQLMSDLVIYTGTRGVGASCHVVVPGVAHRKAYAAKRVFTLVPLSYEPVGMDPWQTYHYQTVVRGSPRGKQSGKKIKTNRLTFNRVTYYALVQVSKQTVLFNGYEESGDAPWYQDCKRRGKEVLNTIMVIVEVNHEWIMRWFDEATSKLPGQAAIYAKPRNYGDVYNANIYDTLKGDKEALQALKVRSHDYAVINIYRNKHKNDPHNSSDATIGLDVTCSQVEQYFEKLTEFEETFGSSAHLDAVHKPFHKIPIAGVDDSIPFIPTPPTSIVRPSTSDELYFHATQFCKRWYILIGVLVVLCIFTLASVIVLPIVAKKESELGEALGIRSEHSSNSAEAESVHFVESNESTVSSTPSQPFGPTIESDGAALIGDADKENTDAFSFDTSTENENLSDSTGQGSGSEEDSTLVAWAKSEPSLPLLASGTQIDNEEKGDNHKQSLGNASPSKGPSRDMGTQSDSSVSTVRNDEESNESLSYSIPNNQDMLSNEHTDSDNMVKEQSERYSSCSMCKHISLGDSGRDDDDDDGDDDTDGDEGGGVLGADSKASHSLESTAKSPFLLKTSTVSGDRHPSVATIAVHGNGIPVSHDTSVFTDSAVTPIGAFVKGTVSSKTSTLIEDSHILKNILTNLPEDISLVPTAFVHRGKPPHAQNIELNATQFLEDNSYLSPVVQHSSESKLLVPEYKESAYSITLGQLSASHMHSTPSVDFVPVSTSHLMNESSASNSVILHLMTSSIKDVKPTEVLIPDSPVAPLVTKLLTDVDSAQFSPQLLVETSFSASTEYFLLQTSPSLMESSAPDSSAPESNASLVPKLSTDGSAQSVTHTPQVSFNNEPVQSIEHDFVKTTTHALSGPFMGKTSSISVSTEQLSANLKNVNDYMNPMVTSESPYVMENFSPIQLETENGYIIESKSLAKNDDGFVSTTYTFEAVSVPSSINLATLGDTDPLLFESDSSAGSWEGDGSLAHTPQLLSATPTILSSKPIPIHSPGSFLSGQYTVGVSDHVPIASSYSVTFIHQSGENDSLAYSPEPGSSRAHMMADSSPPEVQSFMLTKYLVGSESGFELTESAAHTTSLVDSYQALSPELLLDGGAVPLSAHLPVKDSETASTVQPLIQSVTHLHRFLESSFSASSFPLLPAGDYSSLISEFSVDVSPFVPTAHLASDSSSAAPTTNLIQNDLLLPTVLPFVESSYSPQLPTEGNYVPQTTQSYVVNVTSVLDQQRSSPTFAHPTVETPFYAFTSYHPMELDTVSPGQSMVPGASFSKTTKESEDVRIETYNTQLFSERGSGVDPQATIDTSSPFWVNGEMSPDKLSEESGSSTSDESANRASEPILVDYTITEPNESSFIYGQSINESIFPIASTAPSSGSSDEAGTDLKFSTLLSEEEYETISVTNLSEATGSLNMHPSRLVTKSGDNLSASTGEVTHPPLETVTQSTLSIDKETALKTVPQSTSNLSTNPVDGKGSFESGRTPSRNTKAENYKTGIRNYHTTTSIQPEKKRIQNTASDRGPNVIAGEEIDKGEEEEKIIEETGILEPFLDLEPNDTPMDVILQDSYKGYLGNTCIAVIQLVYSMNPLLNNLIAGLDQYGDTLFEHKTQDEGDLQQYLVAFKEIMTDYVVRPREWTVLLSTYNEPDAQVNEPESSCVAEHIEEKGTYSSFVQRSFKYQQERFNNQLYDMLMVYGSQQMTLVECFVALQSESISVTDKFVIWDVTDTCPPSTLSGNYIYYKDTSNPLLNLLIFPDLAAQKGFRSVSEVGDIIAKFDLNEETGVEFLISLARLKGKGKVAEEFSSFRDADFCYIQMELPFKQGELSHESGDSSMNCKGWHFTIKSAKFVMESVGGSVRYDNTLNIEVTKGTNHIFTNELSTKDWGQFKLKKLNVNVQTLDEDINIPSQKPSNCTPYKKGWNKQNLAGTYSWGIEEVLKRQQRYYGNAWDLRCVCLLQICALFRKLIRM
+>sp|O95997|PTTG1_HUMAN Securin OS=Homo sapiens OX=9606 GN=PTTG1 PE=1 SV=1
+MATLIYVDKENGEPGTRVVAKDGLKLGSGPSIKALDGRSQVSTPRFGKTFDAPPALPKATRKALGTVNRATEKSVKTKGPLKQKQPSFSAKKMTEKTVKAKSSVPASDDAYPEIEKFFPFNPLDFESFDLPEEHQIAHLPLSGVPLMILDEERELEKLFQLGPPSPVKMPSPPWESNLLQSPSSILSTLDVELPPVCCDIDI
+>DECOY_sp|O95997|PTTG1_HUMAN Securin OS=Homo sapiens OX=9606 GN=PTTG1 PE=1 SV=1
+IDIDCCVPPLEVDLTSLISSPSQLLNSEWPPSPMKVPSPPGLQFLKELEREEDLIMLPVGSLPLHAIQHEEPLDFSEFDLPNFPFFKEIEPYADDSAPVSSKAKVTKETMKKASFSPQKQKLPGKTKVSKETARNVTGLAKRTAKPLAPPADFTKGFRPTSVQSRGDLAKISPGSGLKLGDKAVVRTGPEGNEKDVYILTAM
+>sp|Q8N142|PURA1_HUMAN Adenylosuccinate synthetase isozyme 1 OS=Homo sapiens OX=9606 GN=ADSSL1 PE=1 SV=1
+MSGTRASNDRPPGAGGVKRGRLQQEAAATGSRVTVVLGAQWGDEGKGKVVDLLATDADIISRCQGGNNAGHTVVVDGKEYDFHLLPSGIINTKAVSFIGNGVVIHLPGLFEEAEKNEKKGLKDWEKRLIISDRAHLVFDFHQAVDGLQEVQRQAQEGKNIGTTKKGIGPTYSSKAARTGLRICDLLSDFDEFSSRFKNLAHQHQSMFPTLEIDIEGQLKRLKGFAERIRPMVRDGVYFMYEALHGPPKKILVEGANAALLDIDFGTYPFVTSSNCTVGGVCTGLGIPPQNIGDVYGVVKAYTTRVGIGAFPTEQINEIGGLLQTRGHEWGVTTGRKRRCGWLDLMILRYAHMVNGFTALALTKLDILDVLGEVKVGVSYKLNGKRIPYFPANQEMLQKVEVEYETLPGWKADTTGARRWEDLPPQAQNYIRFVENHVGVAVKWVGVGKSRESMIQLF
+>DECOY_sp|Q8N142|PURA1_HUMAN Adenylosuccinate synthetase isozyme 1 OS=Homo sapiens OX=9606 GN=ADSSL1 PE=1 SV=1
+FLQIMSERSKGVGVWKVAVGVHNEVFRIYNQAQPPLDEWRRAGTTDAKWGPLTEYEVEVKQLMEQNAPFYPIRKGNLKYSVGVKVEGLVDLIDLKTLALATFGNVMHAYRLIMLDLWGCRRKRGTTVGWEHGRTQLLGGIENIQETPFAGIGVRTTYAKVVGYVDGINQPPIGLGTCVGGVTCNSSTVFPYTGFDIDLLAANAGEVLIKKPPGHLAEYMFYVGDRVMPRIREAFGKLRKLQGEIDIELTPFMSQHQHALNKFRSSFEDFDSLLDCIRLGTRAAKSSYTPGIGKKTTGINKGEQAQRQVEQLGDVAQHFDFVLHARDSIILRKEWDKLGKKENKEAEEFLGPLHIVVGNGIFSVAKTNIIGSPLLHFDYEKGDVVVTHGANNGGQCRSIIDADTALLDVVKGKGEDGWQAGLVVTVRSGTAAAEQQLRGRKVGGAGPPRDNSARTGSM
+>sp|P30520|PURA2_HUMAN Adenylosuccinate synthetase isozyme 2 OS=Homo sapiens OX=9606 GN=ADSS PE=1 SV=3
+MAFAETYPAASSLPNGDCGRPRARPGGNRVTVVLGAQWGDEGKGKVVDLLAQDADIVCRCQGGNNAGHTVVVDSVEYDFHLLPSGIINPNVTAFIGNGVVIHLPGLFEEAEKNVQKGKGLEGWEKRLIISDRAHIVFDFHQAADGIQEQQRQEQAGKNLGTTKKGIGPVYSSKAARSGLRMCDLVSDFDGFSERFKVLANQYKSIYPTLEIDIEGELQKLKGYMEKIKPMVRDGVYFLYEALHGPPKKILVEGANAALLDIDFGTYPFVTSSNCTVGGVCTGLGMPPQNVGEVYGVVKAYTTRVGIGAFPTEQDNEIGELLQTRGREFGVTTGRKRRCGWLDLVLLKYAHMINGFTALALTKLDILDMFTEIKVGVAYKLDGEIIPHIPANQEVLNKVEVQYKTLPGWNTDISNARAFKELPVNAQNYVRFIEDELQIPVKWIGVGKSRESMIQLF
+>DECOY_sp|P30520|PURA2_HUMAN Adenylosuccinate synthetase isozyme 2 OS=Homo sapiens OX=9606 GN=ADSS PE=1 SV=3
+FLQIMSERSKGVGIWKVPIQLEDEIFRVYNQANVPLEKFARANSIDTNWGPLTKYQVEVKNLVEQNAPIHPIIEGDLKYAVGVKIETFMDLIDLKTLALATFGNIMHAYKLLVLDLWGCRRKRGTTVGFERGRTQLLEGIENDQETPFAGIGVRTTYAKVVGYVEGVNQPPMGLGTCVGGVTCNSSTVFPYTGFDIDLLAANAGEVLIKKPPGHLAEYLFYVGDRVMPKIKEMYGKLKQLEGEIDIELTPYISKYQNALVKFRESFGDFDSVLDCMRLGSRAAKSSYVPGIGKKTTGLNKGAQEQRQQEQIGDAAQHFDFVIHARDSIILRKEWGELGKGKQVNKEAEEFLGPLHIVVGNGIFATVNPNIIGSPLLHFDYEVSDVVVTHGANNGGQCRCVIDADQALLDVVKGKGEDGWQAGLVVTVRNGGPRARPRGCDGNPLSSAAPYTEAFAM
+>sp|Q00577|PURA_HUMAN Transcriptional activator protein Pur-alpha OS=Homo sapiens OX=9606 GN=PURA PE=1 SV=2
+MADRDSGSEQGGAALGSGGSLGHPGSGSGSGGGGGGGGGGGGSGGGGGGAPGGLQHETQELASKRVDIQNKRFYLDVKQNAKGRFLKIAEVGAGGNKSRLTLSMSVAVEFRDYLGDFIEHYAQLGPSQPPDLAQAQDEPRRALKSEFLVRENRKYYMDLKENQRGRFLRIRQTVNRGPGLGSTQGQTIALPAQGLIEFRDALAKLIDDYGVEEEPAELPEGTSLTVDNKRFFFDVGSNKYGVFMRVSEVKPTYRNSITVPYKVWAKFGHTFCKYSEEMKKIQEKQREKRAACEQLHQQQQQQQEETAAATLLLQGEEEGEED
+>DECOY_sp|Q00577|PURA_HUMAN Transcriptional activator protein Pur-alpha OS=Homo sapiens OX=9606 GN=PURA PE=1 SV=2
+DEEGEEEGQLLLTAAATEEQQQQQQQHLQECAARKERQKEQIKKMEESYKCFTHGFKAWVKYPVTISNRYTPKVESVRMFVGYKNSGVDFFFRKNDVTLSTGEPLEAPEEEVGYDDILKALADRFEILGQAPLAITQGQTSGLGPGRNVTQRIRLFRGRQNEKLDMYYKRNERVLFESKLARRPEDQAQALDPPQSPGLQAYHEIFDGLYDRFEVAVSMSLTLRSKNGGAGVEAIKLFRGKANQKVDLYFRKNQIDVRKSALEQTEHQLGGPAGGGGGGSGGGGGGGGGGGGSGSGSGPHGLSGGSGLAAGGQESGSDRDAM
+>sp|Q9UJV8|PURG_HUMAN Purine-rich element-binding protein gamma OS=Homo sapiens OX=9606 GN=PURG PE=2 SV=1
+MERARRRGGGGGRGRGGKNVGGSGLSKSRLYPQAQHSHYPHYAASATPNQAGGAAEIQELASKRVDIQKKRFYLDVKQSSRGRFLKIAEVWIGRGRQDNIRKSKLTLSLSVAAELKDCLGDFIEHYAHLGLKGHRQEHGHSKEQGSRRRQKHSAPSPPVSVGSEEHPHSVLKTDYIERDNRKYYLDLKENQRGRFLRIRQTMMRGTGMIGYFGHSLGQEQTIVLPAQGMIEFRDALVQLIEDYGEGDIEERRGGDDDPLELPEGTSFRVDNKRFYFDVGSNKYGIFLKVSEVRPPYRNTITVPFKAWTRFGENFIKYEEEMRKICNSHKEKRMDGRKASGEEQECLD
+>DECOY_sp|Q9UJV8|PURG_HUMAN Purine-rich element-binding protein gamma OS=Homo sapiens OX=9606 GN=PURG PE=2 SV=1
+DLCEQEEGSAKRGDMRKEKHSNCIKRMEEEYKIFNEGFRTWAKFPVTITNRYPPRVESVKLFIGYKNSGVDFYFRKNDVRFSTGEPLELPDDDGGRREEIDGEGYDEILQVLADRFEIMGQAPLVITQEQGLSHGFYGIMGTGRMMTQRIRLFRGRQNEKLDLYYKRNDREIYDTKLVSHPHEESGVSVPPSPASHKQRRRSGQEKSHGHEQRHGKLGLHAYHEIFDGLCDKLEAAVSLSLTLKSKRINDQRGRGIWVEAIKLFRGRSSQKVDLYFRKKQIDVRKSALEQIEAAGGAQNPTASAAYHPYHSHQAQPYLRSKSLGSGGVNKGGRGRGGGGGRRRAREM
+>sp|Q9Y6I8|PXMP4_HUMAN Peroxisomal membrane protein 4 OS=Homo sapiens OX=9606 GN=PXMP4 PE=1 SV=3
+MAAPPQLRALLVVVNALLRKRRYHAALAVLKGFRNGAVYGAKIRAPHALVMTFLFRNGSLQEKLWAILQATYIHSWNLARFVFTYKGLRALQSYIQGKTYPAHAFLAAFLGGILVFGENNNINSQINMYLLSRVLFALSRLAVEKGYIPEPRWDPFPLLTAVVWGLVLWLFEYHRSTLQPSLQSSMTYLYEDSNVWHDISDFLVYNKSRPSN
+>DECOY_sp|Q9Y6I8|PXMP4_HUMAN Peroxisomal membrane protein 4 OS=Homo sapiens OX=9606 GN=PXMP4 PE=1 SV=3
+NSPRSKNYVLFDSIDHWVNSDEYLYTMSSQLSPQLTSRHYEFLWLVLGWVVATLLPFPDWRPEPIYGKEVALRSLAFLVRSLLYMNIQSNINNNEGFVLIGGLFAALFAHAPYTKGQIYSQLARLGKYTFVFRALNWSHIYTAQLIAWLKEQLSGNRFLFTMVLAHPARIKAGYVAGNRFGKLVALAAHYRRKRLLANVVVLLARLQPPAAM
+>sp|Q8WXC3|PYDC1_HUMAN Pyrin domain-containing protein 1 OS=Homo sapiens OX=9606 GN=PYDC1 PE=1 SV=1
+MGTKREAILKVLENLTPEELKKFKMKLGTVPLREGFERIPRGALGQLDIVDLTDKLVASYYEDYAAELVVAVLRDMRMLEEAARLQRAA
+>DECOY_sp|Q8WXC3|PYDC1_HUMAN Pyrin domain-containing protein 1 OS=Homo sapiens OX=9606 GN=PYDC1 PE=1 SV=1
+AARQLRAAEELMRMDRLVAVVLEAAYDEYYSAVLKDTLDVIDLQGLAGRPIREFGERLPVTGLKMKFKKLEEPTLNELVKLIAERKTGM
+>sp|P27708|PYR1_HUMAN CAD protein OS=Homo sapiens OX=9606 GN=CAD PE=1 SV=3
+MAALVLEDGSVLRGQPFGAAVSTAGEVVFQTGMVGYPEALTDPSYKAQILVLTYPLIGNYGIPPDEMDEFGLCKWFESSGIHVAALVVGECCPTPSHWSATRTLHEWLQQHGIPGLQGVDTRELTKKLREQGSLLGKLVQNGTEPSSLPFLDPNARPLVPEVSIKTPRVFNTGGAPRILALDCGLKYNQIRCLCQRGAEVTVVPWDHALDSQEYEGLFLSNGPGDPASYPSVVSTLSRVLSEPNPRPVFGICLGHQLLALAIGAKTYKMRYGNRGHNQPCLLVGSGRCFLTSQNHGFAVETDSLPADWAPLFTNANDGSNEGIVHNSLPFFSVQFHPEHQAGPSDMELLFDIFLETVKEATAGNPGGQTVRERLTERLCPPGIPTPGSGLPPPRKVLILGSGGLSIGQAGEFDYSGSQAIKALKEENIQTLLINPNIATVQTSQGLADKVYFLPITPHYVTQVIRNERPDGVLLTFGGQTALNCGVELTKAGVLARYGVRVLGTPVETIELTEDRRAFAARMAEIGEHVAPSEAANSLEQAQAAAERLGYPVLVRAAFALGGLGSGFASNREELSALVAPAFAHTSQVLVDKSLKGWKEIEYEVVRDAYGNCVTVCNMENLDPLGIHTGESIVVAPSQTLNDREYQLLRQTAIKVTQHLGIVGECNVQYALNPESEQYYIIEVNARLSRSSALASKATGYPLAYVAAKLALGIPLPELRNSVTGGTAAFEPSVDYCVVKIPRWDLSKFLRVSTKIGSCMKSVGEVMGIGRSFEEAFQKALRMVDENCVGFDHTVKPVSDMELETPTDKRIFVVAAALWAGYSVDRLYELTRIDRWFLHRMKRIIAHAQLLEQHRGQPLPPDLLQQAKCLGFSDKQIALAVLSTELAVRKLRQELGICPAVKQIDTVAAEWPAQTNYLYLTYWGTTHDLTFRTPHVLVLGSGVYRIGSSVEFDWCAVGCIQQLRKMGYKTIMVNYNPETVSTDYDMCDRLYFDEISFEVVMDIYELENPEGVILSMGGQLPNNMAMALHRQQCRVLGTSPEAIDSAENRFKFSRLLDTIGISQPQWRELSDLESARQFCQTVGYPCVVRPSYVLSGAAMNVAYTDGDLERFLSSAAAVSKEHPVVISKFIQEAKEIDVDAVASDGVVAAIAISEHVENAGVHSGDATLVTPPQDITAKTLERIKAIVHAVGQELQVTGPFNLQLIAKDDQLKVIECNVRVSRSFPFVSKTLGVDLVALATRVIMGEEVEPVGLMTGSGVVGVKVPQFSFSRLAGADVVLGVEMTSTGEVAGFGESRCEAYLKAMLSTGFKIPKKNILLTIGSYKNKSELLPTVRLLESLGYSLYASLGTADFYTEHGVKVTAVDWHFEEAVDGECPPQRSILEQLAEKNFELVINLSMRGAGGRRLSSFVTKGYRTRRLAADFSVPLIIDIKCTKLFVEALGQIGPAPPLKVHVDCMTSQKLVRLPGLIDVHVHLREPGGTHKEDFASGTAAALAGGITMVCAMPNTRPPIIDAPALALAQKLAEAGARCDFALFLGASSENAGTLGTVAGSAAGLKLYLNETFSELRLDSVVQWMEHFETWPSHLPIVAHAEQQTVAAVLMVAQLTQRSVHICHVARKEEILLIKAAKARGLPVTCEVAPHHLFLSHDDLERLGPGKGEVRPELGSRQDVEALWENMAVIDCFASDHAPHTLEEKCGSRPPPGFPGLETMLPLLLTAVSEGRLSLDDLLQRLHHNPRRIFHLPPQEDTYVEVDLEHEWTIPSHMPFSKAHWTPFEGQKVKGTVRRVVLRGEVAYIDGQVLVPPGYGQDVRKWPQGAVPQLPPSAPATSEMTTTPERPRRGIPGLPDGRFHLPPRIHRASDPGLPAEEPKEKSSRKVAEPELMGTPDGTCYPPPPVPRQASPQNLGTPGLLHPQTSPLLHSLVGQHILSVQQFTKDQMSHLFNVAHTLRMMVQKERSLDILKGKVMASMFYEVSTRTSSSFAAAMARLGGAVLSFSEATSSVQKGESLADSVQTMSCYADVVVLRHPQPGAVELAAKHCRRPVINAGDGVGEHPTQALLDIFTIREELGTVNGMTITMVGDLKHGRTVHSLACLLTQYRVSLRYVAPPSLRMPPTVRAFVASRGTKQEEFESIEEALPDTDVLYMTRIQKERFGSTQEYEACFGQFILTPHIMTRAKKKMVVMHPMPRVNEISVEVDSDPRAAYFRQAENGMYIRMALLATVLGRF
+>DECOY_sp|P27708|PYR1_HUMAN CAD protein OS=Homo sapiens OX=9606 GN=CAD PE=1 SV=3
+FRGLVTALLAMRIYMGNEAQRFYAARPDSDVEVSIENVRPMPHMVVMKKKARTMIHPTLIFQGFCAEYEQTSGFREKQIRTMYLVDTDPLAEEISEFEEQKTGRSAVFARVTPPMRLSPPAVYRLSVRYQTLLCALSHVTRGHKLDGVMTITMGNVTGLEERITFIDLLAQTPHEGVGDGANIVPRRCHKAALEVAGPQPHRLVVVDAYCSMTQVSDALSEGKQVSSTAESFSLVAGGLRAMAAAFSSSTRTSVEYFMSAMVKGKLIDLSREKQVMMRLTHAVNFLHSMQDKTFQQVSLIHQGVLSHLLPSTQPHLLGPTGLNQPSAQRPVPPPPYCTGDPTGMLEPEAVKRSSKEKPEEAPLGPDSARHIRPPLHFRGDPLGPIGRRPREPTTTMESTAPASPPLQPVAGQPWKRVDQGYGPPVLVQGDIYAVEGRLVVRRVTGKVKQGEFPTWHAKSFPMHSPITWEHELDVEVYTDEQPPLHFIRRPNHHLRQLLDDLSLRGESVATLLLPLMTELGPFGPPPRSGCKEELTHPAHDSAFCDIVAMNEWLAEVDQRSGLEPRVEGKGPGLRELDDHSLFLHHPAVECTVPLGRAKAAKILLIEEKRAVHCIHVSRQTLQAVMLVAAVTQQEAHAVIPLHSPWTEFHEMWQVVSDLRLESFTENLYLKLGAASGAVTGLTGANESSAGLFLAFDCRAGAEALKQALALAPADIIPPRTNPMACVMTIGGALAAATGSAFDEKHTGGPERLHVHVDILGPLRVLKQSTMCDVHVKLPPAPGIQGLAEVFLKTCKIDIILPVSFDAALRRTRYGKTVFSSLRRGGAGRMSLNIVLEFNKEALQELISRQPPCEGDVAEEFHWDVATVKVGHETYFDATGLSAYLSYGLSELLRVTPLLESKNKYSGITLLINKKPIKFGTSLMAKLYAECRSEGFGAVEGTSTMEVGLVVDAGALRSFSFQPVKVGVVGSGTMLGVPEVEEGMIVRTALAVLDVGLTKSVFPFSRSVRVNCEIVKLQDDKAILQLNFPGTVQLEQGVAHVIAKIRELTKATIDQPPTVLTADGSHVGANEVHESIAIAAVVGDSAVADVDIEKAEQIFKSIVVPHEKSVAAASSLFRELDGDTYAVNMAAGSLVYSPRVVCPYGVTQCFQRASELDSLERWQPQSIGITDLLRSFKFRNEASDIAEPSTGLVRCQQRHLAMAMNNPLQGGMSLIVGEPNELEYIDMVVEFSIEDFYLRDCMDYDTSVTEPNYNVMITKYGMKRLQQICGVACWDFEVSSGIRYVGSGLVLVHPTRFTLDHTTGWYTLYLYNTQAPWEAAVTDIQKVAPCIGLEQRLKRVALETSLVALAIQKDSFGLCKAQQLLDPPLPQGRHQELLQAHAIIRKMRHLFWRDIRTLEYLRDVSYGAWLAAAVVFIRKDTPTELEMDSVPKVTHDFGVCNEDVMRLAKQFAEEFSRGIGMVEGVSKMCSGIKTSVRLFKSLDWRPIKVVCYDVSPEFAATGGTVSNRLEPLPIGLALKAAVYALPYGTAKSALASSRSLRANVEIIYYQESEPNLAYQVNCEGVIGLHQTVKIATQRLLQYERDNLTQSPAVVISEGTHIGLPDLNEMNCVTVCNGYADRVVEYEIEKWGKLSKDVLVQSTHAFAPAVLASLEERNSAFGSGLGGLAFAARVLVPYGLREAAAQAQELSNAAESPAVHEGIEAMRAAFARRDETLEITEVPTGLVRVGYRALVGAKTLEVGCNLATQGGFTLLVGDPRENRIVQTVYHPTIPLFYVKDALGQSTQVTAINPNILLTQINEEKLAKIAQSGSYDFEGAQGISLGGSGLILVKRPPPLGSGPTPIGPPCLRETLRERVTQGGPNGATAEKVTELFIDFLLEMDSPGAQHEPHFQVSFFPLSNHVIGENSGDNANTFLPAWDAPLSDTEVAFGHNQSTLFCRGSGVLLCPQNHGRNGYRMKYTKAGIALALLQHGLCIGFVPRPNPESLVRSLTSVVSPYSAPDGPGNSLFLGEYEQSDLAHDWPVVTVEAGRQCLCRIQNYKLGCDLALIRPAGGTNFVRPTKISVEPVLPRANPDLFPLSSPETGNQVLKGLLSGQERLKKTLERTDVGQLGPIGHQQLWEHLTRTASWHSPTPCCEGVVLAAVHIGSSEFWKCLGFEDMEDPPIGYNGILPYTLVLIQAKYSPDTLAEPYGVMGTQFVVEGATSVAAGFPQGRLVSGDELVLAAM
+>sp|P17812|PYRG1_HUMAN CTP synthase 1 OS=Homo sapiens OX=9606 GN=CTPS1 PE=1 SV=2
+MKYILVTGGVISGIGKGIIASSVGTILKSCGLHVTSIKIDPYINIDAGTFSPYEHGEVFVLDDGGEVDLDLGNYERFLDIRLTKDNNLTTGKIYQYVINKERKGDYLGKTVQVVPHITDAIQEWVMRQALIPVDEDGLEPQVCVIELGGTVGDIESMPFIEAFRQFQFKVKRENFCNIHVSLVPQPSSTGEQKTKPTQNSVRELRGLGLSPDLVVCRCSNPLDTSVKEKISMFCHVEPEQVICVHDVSSIYRVPLLLEEQGVVDYFLRRLDLPIERQPRKMLMKWKEMADRYDRLLETCSIALVGKYTKFSDSYASVIKALEHSALAINHKLEIKYIDSADLEPITSQEEPVRYHEAWQKLCSAHGVLVPGGFGVRGTEGKIQAIAWARNQKKPFLGVCLGMQLAVVEFSRNVLGWQDANSTEFDPTTSHPVVVDMPEHNPGQMGGTMRLGKRRTLFQTKNSVMRKLYGDADYLEERHRHRFEVNPVWKKCLEEQGLKFVGQDVEGERMEIVELEDHPFFVGVQYHPEFLSRPIKPSPPYFGLLLASVGRLSHYLQKGCRLSPRDTYSDRSGSSSPDSEITELKFPSINHD
+>DECOY_sp|P17812|PYRG1_HUMAN CTP synthase 1 OS=Homo sapiens OX=9606 GN=CTPS1 PE=1 SV=2
+DHNISPFKLETIESDPSSSGSRDSYTDRPSLRCGKQLYHSLRGVSALLLGFYPPSPKIPRSLFEPHYQVGVFFPHDELEVIEMREGEVDQGVFKLGQEELCKKWVPNVEFRHRHREELYDADGYLKRMVSNKTQFLTRRKGLRMTGGMQGPNHEPMDVVVPHSTTPDFETSNADQWGLVNRSFEVVALQMGLCVGLFPKKQNRAWAIAQIKGETGRVGFGGPVLVGHASCLKQWAEHYRVPEEQSTIPELDASDIYKIELKHNIALASHELAKIVSAYSDSFKTYKGVLAISCTELLRDYRDAMEKWKMLMKRPQREIPLDLRRLFYDVVGQEELLLPVRYISSVDHVCIVQEPEVHCFMSIKEKVSTDLPNSCRCVVLDPSLGLGRLERVSNQTPKTKQEGTSSPQPVLSVHINCFNERKVKFQFQRFAEIFPMSEIDGVTGGLEIVCVQPELGDEDVPILAQRMVWEQIADTIHPVVQVTKGLYDGKREKNIVYQYIKGTTLNNDKTLRIDLFREYNGLDLDVEGGDDLVFVEGHEYPSFTGADINIYPDIKISTVHLGCSKLITGVSSAIIGKGIGSIVGGTVLIYKM
+>sp|Q9NXS2|QPCTL_HUMAN Glutaminyl-peptide cyclotransferase-like protein OS=Homo sapiens OX=9606 GN=QPCTL PE=1 SV=2
+MRSGGRGRPRLRLGERGLMEPLLPPKRRLLPRVRLLPLLLALAVGSAFYTIWSGWHRRTEELPLGRELRVPLIGSLPEARLRRVVGQLDPQRLWSTYLRPLLVVRTPGSPGNLQVRKFLEATLRSLTAGWHVELDPFTASTPLGPVDFGNVVATLDPRAARHLTLACHYDSKLFPPGSTPFVGATDSAVPCALLLELAQALDLELSRAKKQAAPVTLQLLFLDGEEALKEWGPKDSLYGSRHLAQLMESIPHSPGPTRIQAIELFMLLDLLGAPNPTFYSHFPRTVRWFHRLRSIEKRLHRLNLLQSHPQEVMYFQPGEPFGSVEDDHIPFLRRGVPVLHLISTPFPAVWHTPADTEVNLHPPTVHNLCRILAVFLAEYLGL
+>DECOY_sp|Q9NXS2|QPCTL_HUMAN Glutaminyl-peptide cyclotransferase-like protein OS=Homo sapiens OX=9606 GN=QPCTL PE=1 SV=2
+LGLYEALFVALIRCLNHVTPPHLNVETDAPTHWVAPFPTSILHLVPVGRRLFPIHDDEVSGFPEGPQFYMVEQPHSQLLNLRHLRKEISRLRHFWRVTRPFHSYFTPNPAGLLDLLMFLEIAQIRTPGPSHPISEMLQALHRSGYLSDKPGWEKLAEEGDLFLLQLTVPAAQKKARSLELDLAQALELLLACPVASDTAGVFPTSGPPFLKSDYHCALTLHRAARPDLTAVVNGFDVPGLPTSATFPDLEVHWGATLSRLTAELFKRVQLNGPSGPTRVVLLPRLYTSWLRQPDLQGVVRRLRAEPLSGILPVRLERGLPLEETRRHWGSWITYFASGVALALLLPLLRVRPLLRRKPPLLPEMLGREGLRLRPRGRGGSRM
+>sp|O00391|QSOX1_HUMAN Sulfhydryl oxidase 1 OS=Homo sapiens OX=9606 GN=QSOX1 PE=1 SV=3
+MRRCNSGSGPPPSLLLLLLWLLAVPGANAAPRSALYSPSDPLTLLQADTVRGAVLGSRSAWAVEFFASWCGHCIAFAPTWKALAEDVKAWRPALYLAALDCAEETNSAVCRDFNIPGFPTVRFFKAFTKNGSGAVFPVAGADVQTLRERLIDALESHHDTWPPACPPLEPAKLEEIDGFFARNNEEYLALIFEKGGSYLGREVALDLSQHKGVAVRRVLNTEANVVRKFGVTDFPSCYLLFRNGSVSRVPVLMESRSFYTAYLQRLSGLTREAAQTTVAPTTANKIAPTVWKLADRSKIYMADLESALHYILRIEVGRFPVLEGQRLVALKKFVAVLAKYFPGRPLVQNFLHSVNEWLKRQKRNKIPYSFFKTALDDRKEGAVLAKKVNWIGCQGSEPHFRGFPCSLWVLFHFLTVQAARQNVDHSQEAAKAKEVLPAIRGYVHYFFGCRDCASHFEQMAAASMHRVGSPNAAVLWLWSSHNRVNARLAGAPSEDPQFPKVQWPPRELCSACHNERLDVPVWDVEATLNFLKAHFSPSNIILDFPAAGSAARRDVQNVAAAPELAMGALELESRNSTLDPGKPEMMKSPTNTTPHVPAEGPEASRPPKLHPGLRAAPGQEPPEHMAELQRNEQEQPLGQWHLSKRDTGAALLAESRAEKNRLWGPLEVRRVGRSSKQLVDIPEGQLEARAGRGRGQWLQVLGGGFSYLDISLCVGLYSLSFMGLLAMYTYFQAKIRALKGHAGHPAA
+>DECOY_sp|O00391|QSOX1_HUMAN Sulfhydryl oxidase 1 OS=Homo sapiens OX=9606 GN=QSOX1 PE=1 SV=3
+AAPHGAHGKLARIKAQFYTYMALLGMFSLSYLGVCLSIDLYSFGGGLVQLWQGRGRGARAELQGEPIDVLQKSSRGVRRVELPGWLRNKEARSEALLAAGTDRKSLHWQGLPQEQENRQLEAMHEPPEQGPAARLGPHLKPPRSAEPGEAPVHPTTNTPSKMMEPKGPDLTSNRSELELAGMALEPAAAVNQVDRRAASGAAPFDLIINSPSFHAKLFNLTAEVDWVPVDLRENHCASCLERPPWQVKPFQPDESPAGALRANVRNHSSWLWLVAANPSGVRHMSAAAMQEFHSACDRCGFFYHVYGRIAPLVEKAKAAEQSHDVNQRAAQVTLFHFLVWLSCPFGRFHPESGQCGIWNVKKALVAGEKRDDLATKFFSYPIKNRKQRKLWENVSHLFNQVLPRGPFYKALVAVFKKLAVLRQGELVPFRGVEIRLIYHLASELDAMYIKSRDALKWVTPAIKNATTPAVTTQAAERTLGSLRQLYATYFSRSEMLVPVRSVSGNRFLLYCSPFDTVGFKRVVNAETNLVRRVAVGKHQSLDLAVERGLYSGGKEFILALYEENNRAFFGDIEELKAPELPPCAPPWTDHHSELADILRERLTQVDAGAVPFVAGSGNKTFAKFFRVTPFGPINFDRCVASNTEEACDLAALYLAPRWAKVDEALAKWTPAFAICHGCWSAFFEVAWASRSGLVAGRVTDAQLLTLPDSPSYLASRPAANAGPVALLWLLLLLLSPPPGSGSNCRRM
+>sp|Q13308|PTK7_HUMAN Inactive tyrosine-protein kinase 7 OS=Homo sapiens OX=9606 GN=PTK7 PE=1 SV=2
+MGAARGSPARPRRLPLLSVLLLPLLGGTQTAIVFIKQPSSQDALQGRRALLRCEVEAPGPVHVYWLLDGAPVQDTERRFAQGSSLSFAAVDRLQDSGTFQCVARDDVTGEEARSANASFNIKWIEAGPVVLKHPASEAEIQPQTQVTLRCHIDGHPRPTYQWFRDGTPLSDGQSNHTVSSKERNLTLRPAGPEHSGLYSCCAHSAFGQACSSQNFTLSIADESFARVVLAPQDVVVARYEEAMFHCQFSAQPPPSLQWLFEDETPITNRSRPPHLRRATVFANGSLLLTQVRPRNAGIYRCIGQGQRGPPIILEATLHLAEIEDMPLFEPRVFTAGSEERVTCLPPKGLPEPSVWWEHAGVRLPTHGRVYQKGHELVLANIAESDAGVYTCHAANLAGQRRQDVNITVATVPSWLKKPQDSQLEEGKPGYLDCLTQATPKPTVVWYRNQMLISEDSRFEVFKNGTLRINSVEVYDGTWYRCMSSTPAGSIEAQARVQVLEKLKFTPPPQPQQCMEFDKEATVPCSATGREKPTIKWERADGSSLPEWVTDNAGTLHFARVTRDDAGNYTCIASNGPQGQIRAHVQLTVAVFITFKVEPERTTVYQGHTALLQCEAQGDPKPLIQWKGKDRILDPTKLGPRMHIFQNGSLVIHDVAPEDSGRYTCIAGNSCNIKHTEAPLYVVDKPVPEESEGPGSPPPYKMIQTIGLSVGAAVAYIIAVLGLMFYCKKRCKAKRLQKQPEGEEPEMECLNGGPLQNGQPSAEIQEEVALTSLGSGPAATNKRHSTSDKMHFPRSSLQPITTLGKSEFGEVFLAKAQGLEEGVAETLVLVKSLQSKDEQQQLDFRRELEMFGKLNHANVVRLLGLCREAEPHYMVLEYVDLGDLKQFLRISKSKDEKLKSQPLSTKQKVALCTQVALGMEHLSNNRFVHKDLAARNCLVSAQRQVKVSALGLSKDVYNSEYYHFRQAWVPLRWMSPEAILEGDFSTKSDVWAFGVLMWEVFTHGEMPHGGQADDEVLADLQAGKARLPQPEGCPSKLYRLMQRCWALSPKDRPSFSEIASALGDSTVDSKP
+>DECOY_sp|Q13308|PTK7_HUMAN Inactive tyrosine-protein kinase 7 OS=Homo sapiens OX=9606 GN=PTK7 PE=1 SV=2
+PKSDVTSDGLASAIESFSPRDKPSLAWCRQMLRYLKSPCGEPQPLRAKGAQLDALVEDDAQGGHPMEGHTFVEWMLVGFAWVDSKTSFDGELIAEPSMWRLPVWAQRFHYYESNYVDKSLGLASVKVQRQASVLCNRAALDKHVFRNNSLHEMGLAVQTCLAVKQKTSLPQSKLKEDKSKSIRLFQKLDGLDVYELVMYHPEAERCLGLLRVVNAHNLKGFMELERRFDLQQQEDKSQLSKVLVLTEAVGEELGQAKALFVEGFESKGLTTIPQLSSRPFHMKDSTSHRKNTAAPGSGLSTLAVEEQIEASPQGNQLPGGNLCEMEPEEGEPQKQLRKAKCRKKCYFMLGLVAIIYAVAAGVSLGITQIMKYPPPSGPGESEEPVPKDVVYLPAETHKINCSNGAICTYRGSDEPAVDHIVLSGNQFIHMRPGLKTPDLIRDKGKWQILPKPDGQAECQLLATHGQYVTTREPEVKFTIFVAVTLQVHARIQGQPGNSAICTYNGADDRTVRAFHLTGANDTVWEPLSSGDAREWKITPKERGTASCPVTAEKDFEMCQQPQPPPTFKLKELVQVRAQAEISGAPTSSMCRYWTGDYVEVSNIRLTGNKFVEFRSDESILMQNRYWVVTPKPTAQTLCDLYGPKGEELQSDQPKKLWSPVTAVTINVDQRRQGALNAAHCTYVGADSEAINALVLEHGKQYVRGHTPLRVGAHEWWVSPEPLGKPPLCTVREESGATFVRPEFLPMDEIEALHLTAELIIPPGRQGQGICRYIGANRPRVQTLLLSGNAFVTARRLHPPRSRNTIPTEDEFLWQLSPPPQASFQCHFMAEEYRAVVVDQPALVVRAFSEDAISLTFNQSSCAQGFASHACCSYLGSHEPGAPRLTLNREKSSVTHNSQGDSLPTGDRFWQYTPRPHGDIHCRLTVQTQPQIEAESAPHKLVVPGAEIWKINFSANASRAEEGTVDDRAVCQFTGSDQLRDVAAFSLSSGQAFRRETDQVPAGDLLWYVHVPGPAEVECRLLARRGQLADQSSPQKIFVIATQTGGLLPLLLVSLLPLRRPRAPSGRAAGM
+>sp|P35236|PTN7_HUMAN Tyrosine-protein phosphatase non-receptor type 7 OS=Homo sapiens OX=9606 GN=PTPN7 PE=1 SV=3
+MVQAHGGRSRAQPLTLSLGAAMTQPPPEKTPAKKHVRLQERRGSNVALMLDVRSLGAVEPICSVNTPREVTLHFLRTAGHPLTRWALQRQPPSPKQLEEEFLKIPSNFVSPEDLDIPGHASKDRYKTILPNPQSRVCLGRAQSQEDGDYINANYIRGYDGKEKVYIATQGPMPNTVSDFWEMVWQEEVSLIVMLTQLREGKEKCVHYWPTEEETYGPFQIRIQDMKECPEYTVRQLTIQYQEERRSVKHILFSAWPDHQTPESAGPLLRLVAEVEESPETAAHPGPIVVHCSAGIGRTGCFIATRIGCQQLKARGEVDILGIVCQLRLDRGGMIQTAEQYQFLHHTLALYAGQLPEEPSP
+>DECOY_sp|P35236|PTN7_HUMAN Tyrosine-protein phosphatase non-receptor type 7 OS=Homo sapiens OX=9606 GN=PTPN7 PE=1 SV=3
+PSPEEPLQGAYLALTHHLFQYQEATQIMGGRDLRLQCVIGLIDVEGRAKLQQCGIRTAIFCGTRGIGASCHVVIPGPHAATEPSEEVEAVLRLLPGASEPTQHDPWASFLIHKVSRREEQYQITLQRVTYEPCEKMDQIRIQFPGYTEEETPWYHVCKEKGERLQTLMVILSVEEQWVMEWFDSVTNPMPGQTAIYVKEKGDYGRIYNANIYDGDEQSQARGLCVRSQPNPLITKYRDKSAHGPIDLDEPSVFNSPIKLFEEELQKPSPPQRQLAWRTLPHGATRLFHLTVERPTNVSCIPEVAGLSRVDLMLAVNSGRREQLRVHKKAPTKEPPPQTMAAGLSLTLPQARSRGGHAQVM
+>sp|Q6GMV3|PTRD1_HUMAN Putative peptidyl-tRNA hydrolase PTRHD1 OS=Homo sapiens OX=9606 GN=PTRHD1 PE=1 SV=1
+MHRGVGPAFRVVRKMAASGAEPQVLVQYLVLRKDLSQAPFSWPAGALVAQACHAATAALHTHRDHPHTAAYLQELGRMRKVVLEAPDETTLKELAETLQQKNIDHMLWLEQPENIATCIALRPYPKEEVGQYLKKFRLFK
+>DECOY_sp|Q6GMV3|PTRD1_HUMAN Putative peptidyl-tRNA hydrolase PTRHD1 OS=Homo sapiens OX=9606 GN=PTRHD1 PE=1 SV=1
+KFLRFKKLYQGVEEKPYPRLAICTAINEPQELWLMHDINKQQLTEALEKLTTEDPAELVVKRMRGLEQLYAATHPHDRHTHLAATAAHCAQAVLAGAPWSFPAQSLDKRLVLYQVLVQPEAGSAAMKRVVRFAPGVGRHM
+>sp|P48651|PTSS1_HUMAN Phosphatidylserine synthase 1 OS=Homo sapiens OX=9606 GN=PTDSS1 PE=1 SV=1
+MASCVGSRTLSKDDVNYKMHFRMINEQQVEDITIDFFYRPHTITLLSFTIVSLMYFAFTRDDSVPEDNIWRGILSVIFFFLIISVLAFPNGPFTRPHPALWRMVFGLSVLYFLFLVFLLFLNFEQVKSLMYWLDPNLRYATREADVMEYAVNCHVITWERIISHFDIFAFGHFWGWAMKALLIRSYGLCWTISITWELTELFFMHLLPNFAECWWDQVILDILLCNGGGIWLGMVVCRFLEMRTYHWASFKDIHTTTGKIKRAVLQFTPASWTYVRWFDPKSSFQRVAGVYLFMIIWQLTELNTFFLKHIFVFQASHPLSWGRILFIGGITAPTVRQYYAYLTDTQCKRVGTQCWVFGVIGFLEAIVCIKFGQDLFSKTQILYVVLWLLCVAFTTFLCLYGMIWYAEHYGHREKTYSECEDGTYSPEISWHHRKGTKGSEDSPPKHAGNNESHSSRRRNRHSKSKVTNGVGKK
+>DECOY_sp|P48651|PTSS1_HUMAN Phosphatidylserine synthase 1 OS=Homo sapiens OX=9606 GN=PTDSS1 PE=1 SV=1
+KKGVGNTVKSKSHRNRRRSSHSENNGAHKPPSDESGKTGKRHHWSIEPSYTGDECESYTKERHGYHEAYWIMGYLCLFTTFAVCLLWLVVYLIQTKSFLDQGFKICVIAELFGIVGFVWCQTGVRKCQTDTLYAYYQRVTPATIGGIFLIRGWSLPHSAQFVFIHKLFFTNLETLQWIIMFLYVGAVRQFSSKPDFWRVYTWSAPTFQLVARKIKGTTTHIDKFSAWHYTRMELFRCVVMGLWIGGGNCLLIDLIVQDWWCEAFNPLLHMFFLETLEWTISITWCLGYSRILLAKMAWGWFHGFAFIDFHSIIREWTIVHCNVAYEMVDAERTAYRLNPDLWYMLSKVQEFNLFLLFVLFLFYLVSLGFVMRWLAPHPRTFPGNPFALVSIILFFFIVSLIGRWINDEPVSDDRTFAFYMLSVITFSLLTITHPRYFFDITIDEVQQENIMRFHMKYNVDDKSLTRSGVCSAM
+>sp|Q9BVG9|PTSS2_HUMAN Phosphatidylserine synthase 2 OS=Homo sapiens OX=9606 GN=PTDSS2 PE=1 SV=1
+MRRGERRDAGGPRPESPVPAGRASLEEPPDGPSAGQATGPGEGRRSTESEVYDDGTNTFFWRAHTLTVLFILTCTLGYVTLLEETPQDTAYNTKRGIVASILVFLCFGVTQAKDGPFSRPHPAYWRFWLCVSVVYELFLIFILFQTVQDGRQFLKYVDPKLGVPLPERDYGGNCLIYDPDNETDPFHNIWDKLDGFVPAHFLGWYLKTLMIRDWWMCMIISVMFEFLEYSLEHQLPNFSECWWDHWIMDVLVCNGLGIYCGMKTLEWLSLKTYKWQGLWNIPTYKGKMKRIAFQFTPYSWVRFEWKPASSLRRWLAVCGIILVFLLAELNTFYLKFVLWMPPEHYLVLLRLVFFVNVGGVAMREIYDFMDDPKPHKKLGPQAWLVAAITATELLIVVKYDPHTLTLSLPFYISQCWTLGSVLALTWTVWRFFLRDITLRYKETRWQKWQNKDDQGSTVGNGDQHPLGLDEDLLGPGVAEGEGAPTPN
+>DECOY_sp|Q9BVG9|PTSS2_HUMAN Phosphatidylserine synthase 2 OS=Homo sapiens OX=9606 GN=PTDSS2 PE=1 SV=1
+NPTPAGEGEAVGPGLLDEDLGLPHQDGNGVTSGQDDKNQWKQWRTEKYRLTIDRLFFRWVTWTLALVSGLTWCQSIYFPLSLTLTHPDYKVVILLETATIAAVLWAQPGLKKHPKPDDMFDYIERMAVGGVNVFFVLRLLVLYHEPPMWLVFKLYFTNLEALLFVLIIGCVALWRRLSSAPKWEFRVWSYPTFQFAIRKMKGKYTPINWLGQWKYTKLSLWELTKMGCYIGLGNCVLVDMIWHDWWCESFNPLQHELSYELFEFMVSIIMCMWWDRIMLTKLYWGLFHAPVFGDLKDWINHFPDTENDPDYILCNGGYDREPLPVGLKPDVYKLFQRGDQVTQFLIFILFLEYVVSVCLWFRWYAPHPRSFPGDKAQTVGFCLFVLISAVIGRKTNYATDQPTEELLTVYGLTCTLIFLVTLTHARWFFTNTGDDYVESETSRRGEGPGTAQGASPGDPPEELSARGAPVPSEPRPGGADRREGRRM
+>sp|Q8TB72|PUM2_HUMAN Pumilio homolog 2 OS=Homo sapiens OX=9606 GN=PUM2 PE=1 SV=2
+MNHDFQALALESRGMGELLPTKKFWEPDDSTKDGQKGIFLGDDEWRETAWGASHHSMSQPIMVQRRSGQGFHGNSEVNAILSPRSESGGLGVSMVEYVLSSSPADKLDSRFRKGNFGTRDAETDGPEKGDQKGKASPFEEDQNRDLKQGDDDDSKINGRGLPNGMDADCKDFNRTPGSRQASPTEVVERLGPNTNPSEGLGPLPNPTANKPLVEEFSNPETQNLDAMEQVGLESLQFDYPGNQVPMDSSGATVGLFDYNSQQQLFQRTNALTVQQLTAAQQQQYALAAAQQPHIAGVFSAGLAPAAFVPNPYIISAAPPGTDPYTAAGLAAAATLAGPAVVPPQYYGVPWGVYPANLFQQQAAAAANNTASQQAASQAQPGQQQVLRAGAGQRPLTPNQGQQGQQAESLAAAAAANPTLAFGQGLATGMPGYQVLAPTAYYDQTGALVVGPGARTGLGAPVRLMAPTPVLISSAAAQAAAAAAAGGTASSLTGSTNGLFRPIGTQPPQQQQQQPSTNLQSNSFYGSSSLTNSSQSSSLFSHGPGQPGSTSLGFGSGNSLGAAIGSALSGFGSSVGSSASSSATRRESLSTSSDLYKRSSSSLAPIGQPFYNSLGFSSSPSPIGMPLPSQTPGHSLTPPPSLSSHGSSSSLHLGGLTNGSGRYISAAPGAEAKYRSASSTSSLFSSSSQLFPPSRLRYNRSDIMPSGRSRLLEDFRNNRFPNLQLRDLIGHIVEFSQDQHGSRFIQQKLERATPAERQMVFNEILQAAYQLMTDVFGNYVIQKFFEFGSLDQKLALATRIRGHVLPLALQMYGCRVIQKALESISSDQQVISEMVKELDGHVLKCVKDQNGNHVVQKCIECVQPQSLQFIIDAFKGQVFVLSTHPYGCRVIQRILEHCTAEQTLPILEELHQHTEQLVQDQYGNYVIQHVLEHGRPEDKSKIVSEIRGKVLALSQHKFASNVVEKCVTHASRAERALLIDEVCCQNDGPHSALYTMMKDQYANYVVQKMIDMAEPAQRKIIMHKIRPHITTLRKYTYGKHILAKLEKYYLKNSPDLGPIGGPPNGML
+>DECOY_sp|Q8TB72|PUM2_HUMAN Pumilio homolog 2 OS=Homo sapiens OX=9606 GN=PUM2 PE=1 SV=2
+LMGNPPGGIPGLDPSNKLYYKELKALIHKGYTYKRLTTIHPRIKHMIIKRQAPEAMDIMKQVVYNAYQDKMMTYLASHPGDNQCCVEDILLAREARSAHTVCKEVVNSAFKHQSLALVKGRIESVIKSKDEPRGHELVHQIVYNGYQDQVLQETHQHLEELIPLTQEATCHELIRQIVRCGYPHTSLVFVQGKFADIIFQLSQPQVCEICKQVVHNGNQDKVCKLVHGDLEKVMESIVQQDSSISELAKQIVRCGYMQLALPLVHGRIRTALALKQDLSGFEFFKQIVYNGFVDTMLQYAAQLIENFVMQREAPTARELKQQIFRSGHQDQSFEVIHGILDRLQLNPFRNNRFDELLRSRGSPMIDSRNYRLRSPPFLQSSSSFLSSTSSASRYKAEAGPAASIYRGSGNTLGGLHLSSSSGHSSLSPPPTLSHGPTQSPLPMGIPSPSSSFGLSNYFPQGIPALSSSSRKYLDSSTSLSERRTASSSASSGVSSGFGSLASGIAAGLSNGSGFGLSTSGPQGPGHSFLSSSQSSNTLSSSGYFSNSQLNTSPQQQQQQPPQTGIPRFLGNTSGTLSSATGGAAAAAAAQAAASSILVPTPAMLRVPAGLGTRAGPGVVLAGTQDYYATPALVQYGPMGTALGQGFALTPNAAAAAALSEAQQGQQGQNPTLPRQGAGARLVQQQGPQAQSAAQQSATNNAAAAAQQQFLNAPYVGWPVGYYQPPVVAPGALTAAAALGAATYPDTGPPAASIIYPNPVFAAPALGASFVGAIHPQQAAALAYQQQQAATLQQVTLANTRQFLQQQSNYDFLGVTAGSSDMPVQNGPYDFQLSELGVQEMADLNQTEPNSFEEVLPKNATPNPLPGLGESPNTNPGLREVVETPSAQRSGPTRNFDKCDADMGNPLGRGNIKSDDDDGQKLDRNQDEEFPSAKGKQDGKEPGDTEADRTGFNGKRFRSDLKDAPSSSLVYEVMSVGLGGSESRPSLIANVESNGHFGQGSRRQVMIPQSMSHHSAGWATERWEDDGLFIGKQGDKTSDDPEWFKKTPLLEGMGRSELALAQFDHNM
+>sp|Q96QR8|PURB_HUMAN Transcriptional activator protein Pur-beta OS=Homo sapiens OX=9606 GN=PURB PE=1 SV=3
+MADGDSGSERGGGGGPCGFQPASRGGGEQETQELASKRLDIQNKRFYLDVKQNAKGRFLKIAEVGAGGSKSRLTLSMAVAAEFRDSLGDFIEHYAQLGPSSPEQLAAGAEEGGGPRRALKSEFLVRENRKYYLDLKENQRGRFLRIRQTVNRGGGGFGAGPGPGGLQSGQTIALPAQGLIEFRDALAKLIDDYGGEDDELAGGPGGGAGGPGGGLYGELPEGTSITVDSKRFFFDVGCNKYGVFLRVSEVKPSYRNAITVPFKAWGKFGGAFCRYADEMKEIQERQRDKLYERRGGGSGGGEESEGEEVDED
+>DECOY_sp|Q96QR8|PURB_HUMAN Transcriptional activator protein Pur-beta OS=Homo sapiens OX=9606 GN=PURB PE=1 SV=3
+DEDVEEGESEEGGGSGGGRREYLKDRQREQIEKMEDAYRCFAGGFKGWAKFPVTIANRYSPKVESVRLFVGYKNCGVDFFFRKSDVTISTGEPLEGYLGGGPGGAGGGPGGALEDDEGGYDDILKALADRFEILGQAPLAITQGSQLGGPGPGAGFGGGGRNVTQRIRLFRGRQNEKLDLYYKRNERVLFESKLARRPGGGEEAGAALQEPSSPGLQAYHEIFDGLSDRFEAAVAMSLTLRSKSGGAGVEAIKLFRGKANQKVDLYFRKNQIDLRKSALEQTEQEGGGRSAPQFGCPGGGGGRESGSDGDAM
+>sp|P11217|PYGM_HUMAN Glycogen phosphorylase, muscle form OS=Homo sapiens OX=9606 GN=PYGM PE=1 SV=6
+MSRPLSDQEKRKQISVRGLAGVENVTELKKNFNRHLHFTLVKDRNVATPRDYYFALAHTVRDHLVGRWIRTQQHYYEKDPKRIYYLSLEFYMGRTLQNTMVNLALENACDEATYQLGLDMEELEEIEEDAGLGNGGLGRLAACFLDSMATLGLAAYGYGIRYEFGIFNQKISGGWQMEEADDWLRYGNPWEKARPEFTLPVHFYGHVEHTSQGAKWVDTQVVLAMPYDTPVPGYRNNVVNTMRLWSAKAPNDFNLKDFNVGGYIQAVLDRNLAENISRVLYPNDNFFEGKELRLKQEYFVVAATLQDIIRRFKSSKFGCRDPVRTNFDAFPDKVAIQLNDTHPSLAIPELMRILVDLERMDWDKAWDVTVRTCAYTNHTVLPEALERWPVHLLETLLPRHLQIIYEINQRFLNRVAAAFPGDVDRLRRMSLVEEGAVKRINMAHLCIAGSHAVNGVARIHSEILKKTIFKDFYELEPHKFQNKTNGITPRRWLVLCNPGLAEVIAERIGEDFISDLDQLRKLLSFVDDEAFIRDVAKVKQENKLKFAAYLEREYKVHINPNSLFDIQVKRIHEYKRQLLNCLHVITLYNRIKREPNKFFVPRTVMIGGKAAPGYHMAKMIIRLVTAIGDVVNHDPAVGDRLRVIFLENYRVSLAEKVIPAADLSEQISTAGTEASGTGNMKFMLNGALTIGTMDGANVEMAEEAGEENFFIFGMRVEDVDKLDQRGYNAQEYYDRIPELRQVIEQLSSGFFSPKQPDLFKDIVNMLMHHDRFKVFADYEDYIKCQEKVSALYKNPREWTRMVIRNIATSGKFSSDRTIAQYAREIWGVEPSRQRLPAPDEAI
+>DECOY_sp|P11217|PYGM_HUMAN Glycogen phosphorylase, muscle form OS=Homo sapiens OX=9606 GN=PYGM PE=1 SV=6
+IAEDPAPLRQRSPEVGWIERAYQAITRDSSFKGSTAINRIVMRTWERPNKYLASVKEQCKIYDEYDAFVKFRDHHMLMNVIDKFLDPQKPSFFGSSLQEIVQRLEPIRDYYEQANYGRQDLKDVDEVRMGFIFFNEEGAEEAMEVNAGDMTGITLAGNLMFKMNGTGSAETGATSIQESLDAAPIVKEALSVRYNELFIVRLRDGVAPDHNVVDGIATVLRIIMKAMHYGPAAKGGIMVTRPVFFKNPERKIRNYLTIVHLCNLLQRKYEHIRKVQIDFLSNPNIHVKYERELYAAFKLKNEQKVKAVDRIFAEDDVFSLLKRLQDLDSIFDEGIREAIVEALGPNCLVLWRRPTIGNTKNQFKHPELEYFDKFITKKLIESHIRAVGNVAHSGAICLHAMNIRKVAGEEVLSMRRLRDVDGPFAAAVRNLFRQNIEYIIQLHRPLLTELLHVPWRELAEPLVTHNTYACTRVTVDWAKDWDMRELDVLIRMLEPIALSPHTDNLQIAVKDPFADFNTRVPDRCGFKSSKFRRIIDQLTAAVVFYEQKLRLEKGEFFNDNPYLVRSINEALNRDLVAQIYGGVNFDKLNFDNPAKASWLRMTNVVNNRYGPVPTDYPMALVVQTDVWKAGQSTHEVHGYFHVPLTFEPRAKEWPNGYRLWDDAEEMQWGGSIKQNFIGFEYRIGYGYAALGLTAMSDLFCAALRGLGGNGLGADEEIEELEEMDLGLQYTAEDCANELALNVMTNQLTRGMYFELSLYYIRKPDKEYYHQQTRIWRGVLHDRVTHALAFYYDRPTAVNRDKVLTFHLHRNFNKKLETVNEVGALGRVSIQKRKEQDSLPRSM
+>sp|Q9NR77|PXMP2_HUMAN Peroxisomal membrane protein 2 OS=Homo sapiens OX=9606 GN=PXMP2 PE=1 SV=3
+MAPAASRLRAEAGLGALPRRALAQYLLFLRLYPVLTKAATSGILSALGNFLAQMIEKKRKKENSRSLDVGGPLRYAVYGFFFTGPLSHFFYFFMEHWIPPEVPLAGLRRLLLDRLVFAPAFLMLFFLIMNFLEGKDASAFAAKMRGGFWPALRMNWRVWTPLQFININYVPLKFRVLFANLAALFWYAYLASLGK
+>DECOY_sp|Q9NR77|PXMP2_HUMAN Peroxisomal membrane protein 2 OS=Homo sapiens OX=9606 GN=PXMP2 PE=1 SV=3
+KGLSALYAYWFLAALNAFLVRFKLPVYNINIFQLPTWVRWNMRLAPWFGGRMKAAFASADKGELFNMILFFLMLFAPAFVLRDLLLRRLGALPVEPPIWHEMFFYFFHSLPGTFFFGYVAYRLPGGVDLSRSNEKKRKKEIMQALFNGLASLIGSTAAKTLVPYLRLFLLYQALARRPLAGLGAEARLRSAAPAM
+>sp|P11498|PYC_HUMAN Pyruvate carboxylase, mitochondrial OS=Homo sapiens OX=9606 GN=PC PE=1 SV=2
+MLKFRTVHGGLRLLGIRRTSTAPAASPNVRRLEYKPIKKVMVANRGEIAIRVFRACTELGIRTVAIYSEQDTGQMHRQKADEAYLIGRGLAPVQAYLHIPDIIKVAKENNVDAVHPGYGFLSERADFAQACQDAGVRFIGPSPEVVRKMGDKVEARAIAIAAGVPVVPGTDAPITSLHEAHEFSNTYGFPIIFKAAYGGGGRGMRVVHSYEELEENYTRAYSEALAAFGNGALFVEKFIEKPRHIEVQILGDQYGNILHLYERDCSIQRRHQKVVEIAPAAHLDPQLRTRLTSDSVKLAKQVGYENAGTVEFLVDRHGKHYFIEVNSRLQVEHTVTEEITDVDLVHAQIHVAEGRSLPDLGLRQENIRINGCAIQCRVTTEDPARSFQPDTGRIEVFRSGEGMGIRLDNASAFQGAVISPHYDSLLVKVIAHGKDHPTAATKMSRALAEFRVRGVKTNIAFLQNVLNNQQFLAGTVDTQFIDENPELFQLRPAQNRAQKLLHYLGHVMVNGPTTPIPVKASPSPTDPVVPAVPIGPPPAGFRDILLREGPEGFARAVRNHPGLLLMDTTFRDAHQSLLATRVRTHDLKKIAPYVAHNFSKLFSMENWGGATFDVAMRFLYECPWRRLQELRELIPNIPFQMLLRGANAVGYTNYPDNVVFKFCEVAKENGMDVFRVFDSLNYLPNMLLGMEAAGSAGGVVEAAISYTGDVADPSRTKYSLQYYMGLAEELVRAGTHILCIKDMAGLLKPTACTMLVSSLRDRFPDLPLHIHTHDTSGAGVAAMLACAQAGADVVDVAADSMSGMTSQPSMGALVACTRGTPLDTEVPMERVFDYSEYWEGARGLYAAFDCTATMKSGNSDVYENEIPGGQYTNLHFQAHSMGLGSKFKEVKKAYVEANQMLGDLIKVTPSSKIVGDLAQFMVQNGLSRAEAEAQAEELSFPRSVVEFLQGYIGVPHGGFPEPFRSKVLKDLPRVEGRPGASLPPLDLQALEKELVDRHGEEVTPEDVLSAAMYPDVFAHFKDFTATFGPLDSLNTRLFLQGPKIAEEFEVELERGKTLHIKALAVSDLNRAGQRQVFFELNGQLRSILVKDTQAMKEMHFHPKALKDVKGQIGAPMPGKVIDIKVVAGAKVAKGQPLCVLSAMKMETVVTSPMEGTVRKVHVTKDMTLEGDDLILEIE
+>DECOY_sp|P11498|PYC_HUMAN Pyruvate carboxylase, mitochondrial OS=Homo sapiens OX=9606 GN=PC PE=1 SV=2
+EIELILDDGELTMDKTVHVKRVTGEMPSTVVTEMKMASLVCLPQGKAVKAGAVVKIDIVKGPMPAGIQGKVDKLAKPHFHMEKMAQTDKVLISRLQGNLEFFVQRQGARNLDSVALAKIHLTKGRELEVEFEEAIKPGQLFLRTNLSDLPGFTATFDKFHAFVDPYMAASLVDEPTVEEGHRDVLEKELAQLDLPPLSAGPRGEVRPLDKLVKSRFPEPFGGHPVGIYGQLFEVVSRPFSLEEAQAEAEARSLGNQVMFQALDGVIKSSPTVKILDGLMQNAEVYAKKVEKFKSGLGMSHAQFHLNTYQGGPIENEYVDSNGSKMTATCDFAAYLGRAGEWYESYDFVREMPVETDLPTGRTCAVLAGMSPQSTMGSMSDAAVDVVDAGAQACALMAAVGAGSTDHTHIHLPLDPFRDRLSSVLMTCATPKLLGAMDKICLIHTGARVLEEALGMYYQLSYKTRSPDAVDGTYSIAAEVVGGASGAAEMGLLMNPLYNLSDFVRFVDMGNEKAVECFKFVVNDPYNTYGVANAGRLLMQFPINPILERLEQLRRWPCEYLFRMAVDFTAGGWNEMSFLKSFNHAVYPAIKKLDHTRVRTALLSQHADRFTTDMLLLGPHNRVARAFGEPGERLLIDRFGAPPPGIPVAPVVPDTPSPSAKVPIPTTPGNVMVHGLYHLLKQARNQAPRLQFLEPNEDIFQTDVTGALFQQNNLVNQLFAINTKVGRVRFEALARSMKTAATPHDKGHAIVKVLLSDYHPSIVAGQFASANDLRIGMGEGSRFVEIRGTDPQFSRAPDETTVRCQIACGNIRINEQRLGLDPLSRGEAVHIQAHVLDVDTIEETVTHEVQLRSNVEIFYHKGHRDVLFEVTGANEYGVQKALKVSDSTLRTRLQPDLHAAPAIEVVKQHRRQISCDREYLHLINGYQDGLIQVEIHRPKEIFKEVFLAGNGFAALAESYARTYNEELEEYSHVVRMGRGGGGYAAKFIIPFGYTNSFEHAEHLSTIPADTGPVVPVGAAIAIARAEVKDGMKRVVEPSPGIFRVGADQCAQAFDARESLFGYGPHVADVNNEKAVKIIDPIHLYAQVPALGRGILYAEDAKQRHMQGTDQESYIAVTRIGLETCARFVRIAIEGRNAVMVKKIPKYELRRVNPSAAPATSTRRIGLLRLGGHVTRFKLM
+>sp|Q8N2H3|PYRD2_HUMAN Pyridine nucleotide-disulfide oxidoreductase domain-containing protein 2 OS=Homo sapiens OX=9606 GN=PYROXD2 PE=1 SV=2
+MAASGRGLCKAVAASPFPAWRRDNTEARGGLKPEYDAVVIGAGHNGLVAAAYLQRLGVNTAVFERRHVIGGAAVTEEIIPGFKFSRASYLLSLLRPQIYTDLELKKHGLRLHLRNPYSFTPMLEEGAGSKVPRCLLLGTDMAENQKQIAQFSQKDAQVFPKYEEFMHRLALAIDPLLDAAPVDMAAFQHGSLLQRMRSLSTLKPLLKAGRILGAQLPRYYEVLTAPITKVLDQWFESEPLKATLATDAVIGAMTSPHTPGSGYVLLHHVMGGLEGMQGAWGYVQGGMGALSDAIASSATTHGASIFTEKTVAKVQVNSEGCVQGVVLEDGTEVRSKMVLSNTSPQITFLKLTPQEWLPEEFLERISQLDTRSPVTKINVAVDRLPSFLAAPNAPRGQPLPHHQCSIHLNCEDTLLLHQAFEDAMDGLPSHRPVIELCIPSSLDPTLAPPGCHVVSLFTQYMPYTLAGGKAWDEQERDAYADRVFDCIEVYAPGFKDSVVGRDILTPPDLERIFGLPGGNIFHCAMSLDQLYFARPVPLHSGYRCPLQGLYLCGSGAHPGGGVMGAAGRNAAHVAFRDLKSM
+>DECOY_sp|Q8N2H3|PYRD2_HUMAN Pyridine nucleotide-disulfide oxidoreductase domain-containing protein 2 OS=Homo sapiens OX=9606 GN=PYROXD2 PE=1 SV=2
+MSKLDRFAVHAANRGAAGMVGGGPHAGSGCLYLGQLPCRYGSHLPVPRAFYLQDLSMACHFINGGPLGFIRELDPPTLIDRGVVSDKFGPAYVEICDFVRDAYADREQEDWAKGGALTYPMYQTFLSVVHCGPPALTPDLSSPICLEIVPRHSPLGDMADEFAQHLLLTDECNLHISCQHHPLPQGRPANPAALFSPLRDVAVNIKTVPSRTDLQSIRELFEEPLWEQPTLKLFTIQPSTNSLVMKSRVETGDELVVGQVCGESNVQVKAVTKETFISAGHTTASSAIADSLAGMGGQVYGWAGQMGELGGMVHHLLVYGSGPTHPSTMAGIVADTALTAKLPESEFWQDLVKTIPATLVEYYRPLQAGLIRGAKLLPKLTSLSRMRQLLSGHQFAAMDVPAADLLPDIALALRHMFEEYKPFVQADKQSFQAIQKQNEAMDTGLLLCRPVKSGAGEELMPTFSYPNRLHLRLGHKKLELDTYIQPRLLSLLYSARSFKFGPIIEETVAAGGIVHRREFVATNVGLRQLYAAAVLGNHGAGIVVADYEPKLGGRAETNDRRWAPFPSAAVAKCLGRGSAAM
+>sp|Q9H974|QTRT2_HUMAN Queuine tRNA-ribosyltransferase accessory subunit 2 OS=Homo sapiens OX=9606 GN=QTRT2 PE=1 SV=1
+MKLSLTKVVNGCRLGKIKNLGKTGDHTMDIPGCLLYTKTGSAPHLTHHTLHNIHGVPAMAQLTLSSLAEHHEVLTEYKEGVGKFIGMPESLLYCSLHDPVSPCPAGYVTNKSVSVWSVAGRVEMTVSKFMAIQKALQPDWFQCLSDGEVSCKEATSIKRVRKSVDRSLLFLDNCLRLQEESEVLQKSVIIGVIEGGDVMEERLRSARETAKRPVGGFLLDGFQGNPTTLEARLRLLSSVTAELPEDKPRLISGVSRPDEVLECIERGVDLFESFFPYQVTERGCALTFSFDYQPNPEETLLQQNGTQEEIKCMDQIKKIETTGCNQEITSFEINLKEKKYQEDFNPLVRGCSCYCCKNHTRAYIHHLLVTNELLAGVLLMMHNFEHYFGFFHYIREALKSDKLAQLKELIHRQAS
+>DECOY_sp|Q9H974|QTRT2_HUMAN Queuine tRNA-ribosyltransferase accessory subunit 2 OS=Homo sapiens OX=9606 GN=QTRT2 PE=1 SV=1
+SAQRHILEKLQALKDSKLAERIYHFFGFYHEFNHMMLLVGALLENTVLLHHIYARTHNKCCYCSCGRVLPNFDEQYKKEKLNIEFSTIEQNCGTTEIKKIQDMCKIEEQTGNQQLLTEEPNPQYDFSFTLACGRETVQYPFFSEFLDVGREICELVEDPRSVGSILRPKDEPLEATVSSLLRLRAELTTPNGQFGDLLFGGVPRKATERASRLREEMVDGGEIVGIIVSKQLVESEEQLRLCNDLFLLSRDVSKRVRKISTAEKCSVEGDSLCQFWDPQLAKQIAMFKSVTMEVRGAVSWVSVSKNTVYGAPCPSVPDHLSCYLLSEPMGIFKGVGEKYETLVEHHEALSSLTLQAMAPVGHINHLTHHTLHPASGTKTYLLCGPIDMTHDGTKGLNKIKGLRCGNVVKTLSLKM
+>sp|B2RC85|R10B2_HUMAN Radial spoke head 10 homolog B2 OS=Homo sapiens OX=9606 GN=RSPH10B2 PE=2 SV=2
+MVKEKKKADKKGEKSARSPSSLSDNLDFSKQDGNTTRQEMSPAGVPLLGMQLNEVKPKKDRQNVQQNEDASQYEESILTKLIVESYEGEKVRGLYEGEGFAAFQGGCTYRGMFSEGLMHGQGTYIWADGLKYEGDFVKNVPMNHGVYTWPDGSMYEGEVVNGMRNGFGMFKCSTQPVSYIGHWCNGKRHGKGSIYYNQEGTCWYEGDWVQNIKKGWGIRCYKSGNIYEGQWEDNMRHGEGRMRWLTTNEEYTGRWERGIQNGFGTHTWFLKRIRSSQYPLRNEYIGEFVNGYRHGRGKFYYASGAMYDGEWVSNKKHGMGRLTFKNGRVYEGAFSNDHIAGFPDLEVEFISCLDLSSGVAPRLSRSAELIRKLDGSESHSVLGSSIELDLNLLLDMYPETVQPEEKKQVEYAVLRNITELRRIYSFYSSLGCGHSLDNTFLMTKLHFWRFLKDCKFHHHKLTLADMDRILSANNDIPVEEIHSPFTTILLRTFLNYLLHLAYHIYHEEFQKRSPSLFLCFTKLMTENIRPNACQIKGNLFREQQRTLYSMSYMNKCWEIYLAYCRPSAAPPHEPTMKMRHFLWMLKDFKMINKELTAATFMEVIAEDNRFIYDGIDSNFEPELVFLEFFEALLSFAFICVTDQMTKSYTNVPADDVSGNKHETIYTILNQDAQNKSPSAVMSHESDAAHSDSARSSSSKLELSPDVNKIRKSEPKIKKSVSHERVSKMNFKLTGKGITFFSSESKKYERPKDDREEEFNTWVNNTYVFFVNTLFHAYKREEAIKEKIRADRLRSTAQAQQRKMEDDELEARLNIFILREEEAKRHDYEVDITVLKEPADVSSSHLILDPPKEDVTVSPSSKTITSKKKKK
+>DECOY_sp|B2RC85|R10B2_HUMAN Radial spoke head 10 homolog B2 OS=Homo sapiens OX=9606 GN=RSPH10B2 PE=2 SV=2
+KKKKKSTITKSSPSVTVDEKPPDLILHSSSVDAPEKLVTIDVEYDHRKAEEERLIFINLRAELEDDEMKRQQAQATSRLRDARIKEKIAEERKYAHFLTNVFFVYTNNVWTNFEEERDDKPREYKKSESSFFTIGKGTLKFNMKSVREHSVSKKIKPESKRIKNVDPSLELKSSSSRASDSHAADSEHSMVASPSKNQADQNLITYITEHKNGSVDDAPVNTYSKTMQDTVCIFAFSLLAEFFELFVLEPEFNSDIGDYIFRNDEAIVEMFTAATLEKNIMKFDKLMWLFHRMKMTPEHPPAASPRCYALYIEWCKNMYSMSYLTRQQERFLNGKIQCANPRINETMLKTFCLFLSPSRKQFEEHYIHYALHLLYNLFTRLLITTFPSHIEEVPIDNNASLIRDMDALTLKHHHFKCDKLFRWFHLKTMLFTNDLSHGCGLSSYFSYIRRLETINRLVAYEVQKKEEPQVTEPYMDLLLNLDLEISSGLVSHSESGDLKRILEASRSLRPAVGSSLDLCSIFEVELDPFGAIHDNSFAGEYVRGNKFTLRGMGHKKNSVWEGDYMAGSAYYFKGRGHRYGNVFEGIYENRLPYQSSRIRKLFWTHTGFGNQIGREWRGTYEENTTLWRMRGEGHRMNDEWQGEYINGSKYCRIGWGKKINQVWDGEYWCTGEQNYYISGKGHRKGNCWHGIYSVPQTSCKFMGFGNRMGNVVEGEYMSGDPWTYVGHNMPVNKVFDGEYKLGDAWIYTGQGHMLGESFMGRYTCGGQFAAFGEGEYLGRVKEGEYSEVILKTLISEEYQSADENQQVNQRDKKPKVENLQMGLLPVGAPSMEQRTTNGDQKSFDLNDSLSSPSRASKEGKKDAKKKEKVM
+>sp|O15541|R113A_HUMAN RING finger protein 113A OS=Homo sapiens OX=9606 GN=RNF113A PE=1 SV=1
+MAEQLSPGKAVDQVCTFLFKKPGRKGAAGRRKRPACDPEPGESGSSSDEGCTVVRPEKKRVTHNPMIQKTRDSGKQKAAYGDLSSEEEEENEPESLGVVYKSTRSAKPVGPEDMGATAVYELDTEKERDAQAIFERSQKIQEELRGKEDDKIYRGINNYQKYMKPKDTSMGNASSGMVRKGPIRAPEHLRATVRWDYQPDICKDYKETGFCGFGDSCKFLHDRSDYKHGWQIERELDEGRYGVYEDENYEVGSDDEEIPFKCFICRQSFQNPVVTKCRHYFCESCALQHFRTTPRCYVCDQQTNGVFNPAKELIAKLEKHRATGEGGASDLPEDPDEDAIPIT
+>DECOY_sp|O15541|R113A_HUMAN RING finger protein 113A OS=Homo sapiens OX=9606 GN=RNF113A PE=1 SV=1
+TIPIADEDPDEPLDSAGGEGTARHKELKAILEKAPNFVGNTQQDCVYCRPTTRFHQLACSECFYHRCKTVVPNQFSQRCIFCKFPIEEDDSGVEYNEDEYVGYRGEDLEREIQWGHKYDSRDHLFKCSDGFGCFGTEKYDKCIDPQYDWRVTARLHEPARIPGKRVMGSSANGMSTDKPKMYKQYNNIGRYIKDDEKGRLEEQIKQSREFIAQADREKETDLEYVATAGMDEPGVPKASRTSKYVVGLSEPENEEEEESSLDGYAAKQKGSDRTKQIMPNHTVRKKEPRVVTCGEDSSSGSEGPEPDCAPRKRRGAAGKRGPKKFLFTCVQDVAKGPSLQEAM
+>sp|Q3YEC7|RABL6_HUMAN Rab-like protein 6 OS=Homo sapiens OX=9606 GN=RABL6 PE=1 SV=2
+MFSALKKLVGSDQAPGRDKNIPAGLQSMNQALQRRFAKGVQYNMKIVIRGDRNTGKTALWHRLQGRPFVEEYIPTQEIQVTSIHWSYKTTDDIVKVEVWDVVDKGKCKKRGDGLKMENDPQEAESEMALDAEFLDVYKNCNGVVMMFDITKQWTFNYILRELPKVPTHVPVCVLGNYRDMGEHRVILPDDVRDFIDNLDRPPGSSYFRYAESSMKNSFGLKYLHKFFNIPFLQLQRETLLRQLETNQLDMDATLEELSVQQETEDQNYGIFLEMMEARSRGHASPLAANGQSPSPGSQSPVVPAGAVSTGSSSPGTPQPAPQLPLNAAPPSSVPPVPPSEALPPPACPSAPAPRRSIISRLFGTSPATEAAPPPPEPVPAAEGPATVQSVEDFVPDDRLDRSFLEDTTPARDEKKVGAKAAQQDSDSDGEALGGNPMVAGFQDDVDLEDQPRGSPPLPAGPVPSQDITLSSEEEAEVAAPTKGPAPAPQQCSEPETKWSSIPASKPRRGTAPTRTAAPPWPGGVSVRTGPEKRSSTRPPAEMEPGKGEQASSSESDPEGPIAAQMLSFVMDDPDFESEGSDTQRRADDFPVRDDPSDVTDEDEGPAEPPPPPKLPLPAFRLKNDSDLFGLGLEEAGPKESSEEGKEGKTPSKEKKKKKKKGKEEEEKAAKKKSKHKKSKDKEEGKEERRRRQQRPPRSRERTAADELEAFLGGGAPGGRHPGGGDYEEL
+>DECOY_sp|Q3YEC7|RABL6_HUMAN Rab-like protein 6 OS=Homo sapiens OX=9606 GN=RABL6 PE=1 SV=2
+LEEYDGGGPHRGGPAGGGLFAELEDAATRERSRPPRQQRRRREEKGEEKDKSKKHKSKKKAAKEEEEKGKKKKKKKEKSPTKGEKGEESSEKPGAEELGLGFLDSDNKLRFAPLPLKPPPPPEAPGEDEDTVDSPDDRVPFDDARRQTDSGESEFDPDDMVFSLMQAAIPGEPDSESSSAQEGKGPEMEAPPRTSSRKEPGTRVSVGGPWPPAATRTPATGRRPKSAPISSWKTEPESCQQPAPAPGKTPAAVEAEEESSLTIDQSPVPGAPLPPSGRPQDELDVDDQFGAVMPNGGLAEGDSDSDQQAAKAGVKKEDRAPTTDELFSRDLRDDPVFDEVSQVTAPGEAAPVPEPPPPAAETAPSTGFLRSIISRRPAPASPCAPPPLAESPPVPPVSSPPAANLPLQPAPQPTGPSSSGTSVAGAPVVPSQSGPSPSQGNAALPSAHGRSRAEMMELFIGYNQDETEQQVSLEELTADMDLQNTELQRLLTERQLQLFPINFFKHLYKLGFSNKMSSEAYRFYSSGPPRDLNDIFDRVDDPLIVRHEGMDRYNGLVCVPVHTPVKPLERLIYNFTWQKTIDFMMVVGNCNKYVDLFEADLAMESEAEQPDNEMKLGDGRKKCKGKDVVDWVEVKVIDDTTKYSWHISTVQIEQTPIYEEVFPRGQLRHWLATKGTNRDGRIVIKMNYQVGKAFRRQLAQNMSQLGAPINKDRGPAQDSGVLKKLASFM
+>sp|P29762|RABP1_HUMAN Cellular retinoic acid-binding protein 1 OS=Homo sapiens OX=9606 GN=CRABP1 PE=1 SV=2
+MPNFAGTWKMRSSENFDELLKALGVNAMLRKVAVAAASKPHVEIRQDGDQFYIKTSTTVRTTEINFKVGEGFEEETVDGRKCRSLATWENENKIHCTQTLLEGDGPKTYWTRELANDELILTFGADDVVCTRIYVRE
+>DECOY_sp|P29762|RABP1_HUMAN Cellular retinoic acid-binding protein 1 OS=Homo sapiens OX=9606 GN=CRABP1 PE=1 SV=2
+ERVYIRTCVVDDAGFTLILEDNALERTWYTKPGDGELLTQTCHIKNENEWTALSRCKRGDVTEEEFGEGVKFNIETTRVTTSTKIYFQDGDQRIEVHPKSAAAVAVKRLMANVGLAKLLEDFNESSRMKWTGAFNPM
+>sp|O75943|RAD17_HUMAN Cell cycle checkpoint protein RAD17 OS=Homo sapiens OX=9606 GN=RAD17 PE=1 SV=2
+MSKTFLRPKVSSTKVTDWVDPSFDDFLECSGVSTITATSLGVNNSSHRRKNGPSTLESSRFPARKRGNLSSLEQIYGLENSKEYLSENEPWVDKYKPETQHELAVHKKKIEEVETWLKAQVLERQPKQGGSILLITGPPGCGKTTTLKILSKEHGIQVQEWINPVLPDFQKDDFKGMFNTESSFHMFPYQSQIAVFKEFLLRATKYNKLQMLGDDLRTDKKIILVEDLPNQFYRDSHTLHEVLRKYVRIGRCPLIFIISDSLSGDNNQRLLFPKEIQEECSISNISFNPVAPTIMMKFLNRIVTIEANKNGGKITVPDKTSLELLCQGCSGDIRSAINSLQFSSSKGENNLRPRKKGMSLKSDAVLSKSKRRKKPDRVFENQEVQAIGGKDVSLFLFRALGKILYCKRASLTELDSPRLPSHLSEYERDTLLVEPEEVVEMSHMPGDLFNLYLHQNYIDFFMEIDDIVRASEFLSFADILSGDWNTRSLLREYSTSIATRGVMHSNKARGYAHCQGGGSSFRPLHKPQWFLINKKYRENCLAAKALFPDFCLPALCLQTQLLPYLALLTIPMRNQAQISFIQDIGRLPLKRHFGRLKMEALTDREHGMIDPDSGDEAQLNGGHSAEESLGEPTQATVPETWSLPLSQNSASELPASQPQPFSAQGDMEENIIIEDYESDGT
+>DECOY_sp|O75943|RAD17_HUMAN Cell cycle checkpoint protein RAD17 OS=Homo sapiens OX=9606 GN=RAD17 PE=1 SV=2
+TGDSEYDEIIINEEMDGQASFPQPQSAPLESASNQSLPLSWTEPVTAQTPEGLSEEASHGGNLQAEDGSDPDIMGHERDTLAEMKLRGFHRKLPLRGIDQIFSIQAQNRMPITLLALYPLLQTQLCLAPLCFDPFLAKAALCNERYKKNILFWQPKHLPRFSSGGGQCHAYGRAKNSHMVGRTAISTSYERLLSRTNWDGSLIDAFSLFESARVIDDIEMFFDIYNQHLYLNFLDGPMHSMEVVEEPEVLLTDREYESLHSPLRPSDLETLSARKCYLIKGLARFLFLSVDKGGIAQVEQNEFVRDPKKRRKSKSLVADSKLSMGKKRPRLNNEGKSSSFQLSNIASRIDGSCGQCLLELSTKDPVTIKGGNKNAEITVIRNLFKMMITPAVPNFSINSISCEEQIEKPFLLRQNNDGSLSDSIIFILPCRGIRVYKRLVEHLTHSDRYFQNPLDEVLIIKKDTRLDDGLMQLKNYKTARLLFEKFVAIQSQYPFMHFSSETNFMGKFDDKQFDPLVPNIWEQVQIGHEKSLIKLTTTKGCGPPGTILLISGGQKPQRELVQAKLWTEVEEIKKKHVALEHQTEPKYKDVWPENESLYEKSNELGYIQELSSLNGRKRAPFRSSELTSPGNKRRHSSNNVGLSTATITSVGSCELFDDFSPDVWDTVKTSSVKPRLFTKSM
+>sp|Q99638|RAD9A_HUMAN Cell cycle checkpoint control protein RAD9A OS=Homo sapiens OX=9606 GN=RAD9A PE=1 SV=1
+MKCLVTGGNVKVLGKAVHSLSRIGDELYLEPLEDGLSLRTVNSSRSAYACFLFAPLFFQQYQAATPGQDLLRCKILMKSFLSVFRSLAMLEKTVEKCCISLNGRSSRLVVQLHCKFGVRKTHNLSFQDCESLQAVFDPASCPHMLRAPARVLGEAVLPFSPALAEVTLGIGRGRRVILRSYHEEEADSTAKAMVTEMCLGEEDFQQLQAQEGVAITFCLKEFRGLLSFAESANLNLSIHFDAPGRPAIFTIKDSLLDGHFVLATLSDTDSHSQDLGSPERHQPVPQLQAHSTPHPDDFANDDIDSYMIAMETTIGNEGSRVLPSISLSPGPQPPKSPGPHSEEEDEAEPSTVPGTPPPKKFRSLFFGSILAPVRSPQGPSPVLAEDSEGEG
+>DECOY_sp|Q99638|RAD9A_HUMAN Cell cycle checkpoint control protein RAD9A OS=Homo sapiens OX=9606 GN=RAD9A PE=1 SV=1
+GEGESDEALVPSPGQPSRVPALISGFFLSRFKKPPPTGPVTSPEAEDEEESHPGPSKPPQPGPSLSISPLVRSGENGITTEMAIMYSDIDDNAFDDPHPTSHAQLQPVPQHREPSGLDQSHSDTDSLTALVFHGDLLSDKITFIAPRGPADFHISLNLNASEAFSLLGRFEKLCFTIAVGEQAQLQQFDEEGLCMETVMAKATSDAEEEHYSRLIVRRGRGIGLTVEALAPSFPLVAEGLVRAPARLMHPCSAPDFVAQLSECDQFSLNHTKRVGFKCHLQVVLRSSRGNLSICCKEVTKELMALSRFVSLFSKMLIKCRLLDQGPTAAQYQQFFLPAFLFCAYASRSSNVTRLSLGDELPELYLEDGIRSLSHVAKGLVKVNGGTVLCKM
+>sp|Q6NSI4|RADX_HUMAN RPA-related protein RADX OS=Homo sapiens OX=9606 GN=RADX PE=1 SV=2
+MSGESGQPEAGPSHAGLDWPNPERNRAGVPGGVIRRAGSQGPRSWIQKVLEQIMDSPRQCVTPSEVVPVTVLAVQRYLLEDEPRDTVPKPPLYCYDVTISDGVYQEKCYLDPSLNSLVYQNILKVGIQMRISRVSCLYNEKRIGQGILCIDNVHCGETSDSISLETPFRNRAHQEKPERPLRGGKSHYLALWNNEDPYGDIWLTDKQPEEHNFSDTKIISLSHLEMTWTNRRNFPALLVRILHKSKLRYYGKPDKKMIEPYQTFLEVADSSGTVSVIMWNALCPEWYKSLRVGLVLLLQDYSVKKSYPFRIQPVPVDPQIKLISTMEICLNLRDPPTNIIIIPEKQVKPEWRLPKLNHRFTTRSELDDMPENCICDVIGLLVFVGRVQRSKKKENREDFWSYRWIHIADGTSEQPFIVELFSTSQPEIFENIYPMAYFVCTQLKVVRNDNQVPKLLYLTTTNESGVFITGHRGQPYTYDAKVKNFIQWIRTKSDSGEQKNMVIGGYYPYPPVPETFSKYSSSIKVESLLTAISEVRKEIEDLQYREQKRIAIQGIITAIKYIPHSSATESASASETLRNANRPSTSQAARVEIQERNGKRHQDDEPVNSQYFQTTSTNLSLSNKIRILQGPHANPVAVPQPGASVQTKGIKPGMPSIFNRRANINANLQGKARKTISDRWESQLWREKKFGLIDHLHYSRVYPESIPRKFMFEHRKFLSDQYNSQPAKYVPPEGRPPKLDDFKSARSLGHFEVTILGLNHEIAIDVAFLPMYCPEDIRTSQIDTLLTSMNYSCAYPQDTTGNDRLPGPRAVAGDIIKAATELDRVHIVGILDICNLGNNKVEVYLHKIYSPENTS
+>DECOY_sp|Q6NSI4|RADX_HUMAN RPA-related protein RADX OS=Homo sapiens OX=9606 GN=RADX PE=1 SV=2
+STNEPSYIKHLYVEVKNNGLNCIDLIGVIHVRDLETAAKIIDGAVARPGPLRDNGTTDQPYACSYNMSTLLTDIQSTRIDEPCYMPLFAVDIAIEHNLGLITVEFHGLSRASKFDDLKPPRGEPPVYKAPQSNYQDSLFKRHEFMFKRPISEPYVRSYHLHDILGFKKERWLQSEWRDSITKRAKGQLNANINARRNFISPMGPKIGKTQVSAGPQPVAVPNAHPGQLIRIKNSLSLNTSTTQFYQSNVPEDDQHRKGNREQIEVRAAQSTSPRNANRLTESASASETASSHPIYKIATIIGQIAIRKQERYQLDEIEKRVESIATLLSEVKISSSYKSFTEPVPPYPYYGGIVMNKQEGSDSKTRIWQIFNKVKADYTYPQGRHGTIFVGSENTTTLYLLKPVQNDNRVVKLQTCVFYAMPYINEFIEPQSTSFLEVIFPQESTGDAIHIWRYSWFDERNEKKKSRQVRGVFVLLGIVDCICNEPMDDLESRTTFRHNLKPLRWEPKVQKEPIIIINTPPDRLNLCIEMTSILKIQPDVPVPQIRFPYSKKVSYDQLLLVLGVRLSKYWEPCLANWMIVSVTGSSDAVELFTQYPEIMKKDPKGYYRLKSKHLIRVLLAPFNRRNTWTMELHSLSIIKTDSFNHEEPQKDTLWIDGYPDENNWLALYHSKGGRLPREPKEQHARNRFPTELSISDSTEGCHVNDICLIGQGIRKENYLCSVRSIRMQIGVKLINQYVLSNLSPDLYCKEQYVGDSITVDYCYLPPKPVTDRPEDELLYRQVALVTVPVVESPTVCQRPSDMIQELVKQIWSRPGQSGARRIVGGPVGARNREPNPWDLGAHSPGAEPQGSEGSM
+>sp|A6NC62|RAKDN_HUMAN Putative RBAK downstream neighbor protein OS=Homo sapiens OX=9606 GN=RBAKDN PE=5 SV=2
+MLTPRKAFRTCSKESGLAETESCGQTHTWPRALAVLMGLWWPRDQKAGEEDLRFRERRPGLQATATGSGEHGAFPVHSQGVWASTHWQGTAVCPLQTPPPDAFIRNNKVLS
+>DECOY_sp|A6NC62|RAKDN_HUMAN Putative RBAK downstream neighbor protein OS=Homo sapiens OX=9606 GN=RBAKDN PE=5 SV=2
+SLVKNNRIFADPPPTQLPCVATGQWHTSAWVGQSHVPFAGHEGSGTATAQLGPRRERFRLDEEGAKQDRPWWLGMLVALARPWTHTQGCSETEALGSEKSCTRFAKRPTLM
+>sp|Q86Y79|PTH_HUMAN Probable peptidyl-tRNA hydrolase OS=Homo sapiens OX=9606 GN=PTRH1 PE=1 SV=1
+MRPGGFLGAGQRLSRAMSRCVLEPRPPGKRWMVAGLGNPGLPGTRHSVGMAVLGQLARRLGVAESWTRDRHCAADLALAPLGDAQLVLLRPRRLMNANGRSVARAAELFGLTAEEVYLVHDELDKPLGRLALKLGGSARGHNGVRSCISCLNSNAMPRLRVGIGRPAHPEAVQAHVLGCFSPAEQELLPLLLDRATDLILDHIRERSQGPSLGP
+>DECOY_sp|Q86Y79|PTH_HUMAN Probable peptidyl-tRNA hydrolase OS=Homo sapiens OX=9606 GN=PTRH1 PE=1 SV=1
+PGLSPGQSRERIHDLILDTARDLLLPLLEQEAPSFCGLVHAQVAEPHAPRGIGVRLRPMANSNLCSICSRVGNHGRASGGLKLALRGLPKDLEDHVLYVEEATLGFLEAARAVSRGNANMLRRPRLLVLQADGLPALALDAACHRDRTWSEAVGLRRALQGLVAMGVSHRTGPLGPNGLGAVMWRKGPPRPELVCRSMARSLRQGAGLFGGPRM
+>sp|Q16825|PTN21_HUMAN Tyrosine-protein phosphatase non-receptor type 21 OS=Homo sapiens OX=9606 GN=PTPN21 PE=1 SV=2
+MPLPFGLKLKRTRRYTVSSKSCLVARIQLLNNEFVEFTLSVESTGQESLEAVAQRLELREVTYFSLWYYNKQNQRRWVDLEKPLKKQLDKYALEPTVYFGVVFYVPSVSQLQQEITRYQYYLQLKKDILEGSIPCTLEQAIQLAGLAVQADFGDFDQYESQDFLQKFALFPVGWLQDEKVLEEATQKVALLHQKYRGLTAPDAEMLYMQEVERMDGYGEESYPAKDSQGSDISIGACLEGIFVKHKNGRHPVVFRWHDIANMSHNKSFFALELANKEETIQFQTEDMETAKYIWRLCVARHKFYRLNQCNLQTQTVTVNPIRRRSSSRMSLPKPQPYVMPPPPQLHYNGHYTEPYASSQDNLFVPNQNGYYCHSQTSLDRAQIDLNGRIRNGSVYSAHSTNSLNNPQPYLQPSPMSSNPSITGSDVMRPDYLPSHRHSAVIPPSYRPTPDYETVMKQLNRGLVHAERQSHSLRNLNIGSSYAYSRPAALVYSQPEIREHAQLPSPAAAHCPFSLSYSFHSPSPYPYPAERRPVVGAVSVPELTNAQLQAQDYPSPNIMRTQVYRPPPPYPPPRPANSTPDLSRHLYISSSNPDLITRRVHHSVQTFQEDSLPVAHSLQEVSEPLTAARHAQLHKRNSIEVAGLSHGLEGLRLKERTLSASAAEVAPRAVSVGSQPSVFTERTQREGPEEAEGLRYGHKKSLSDATMLIHSSEEEEDEDFEEESGARAPPARAREPRPGLAQDPPGCPRVLLAGPLHILEPKAHVPDAEKRMMDSSPVRTTAEAQRPWRDGLLMPSMSESDLTTSGRYRARRDSLKKRPVSDLLSGKKNIVEGLPPLGGMKKTRVDAKKIGPLKLAALNGLSLSRVPLPDEGKEVATRATNDERCKILEQRLEQGMVFTEYERILKKRLVDGECSTARLPENAERNRFQDVLPYDDVRVELVPTKENNTGYINASHIKVSVSGIEWDYIATQGPLQNTCQDFWQMVWEQGIAIIAMVTAEEEGGREKSFRYWPRLGSRHNTVTYGRFKITTRFRTDSGCYATTGLKMKHLLTGQERTVWHLQYTDWPEHGCPEDLKGFLSYLEEIQSVRRHTNSTSDPQSPNPPLLVHCSAGVGRTGVVILSEIMIACLEHNEVLDIPRVLDMLRQQRMMLVQTLCQYTFVYRVLIQFLKSSRLI
+>DECOY_sp|Q16825|PTN21_HUMAN Tyrosine-protein phosphatase non-receptor type 21 OS=Homo sapiens OX=9606 GN=PTPN21 PE=1 SV=2
+ILRSSKLFQILVRYVFTYQCLTQVLMMRQQRLMDLVRPIDLVENHELCAIMIESLIVVGTRGVGASCHVLLPPNPSQPDSTSNTHRRVSQIEELYSLFGKLDEPCGHEPWDTYQLHWVTREQGTLLHKMKLGTTAYCGSDTRFRTTIKFRGYTVTNHRSGLRPWYRFSKERGGEEEATVMAIIAIGQEWVMQWFDQCTNQLPGQTAIYDWEIGSVSVKIHSANIYGTNNEKTPVLEVRVDDYPLVDQFRNREANEPLRATSCEGDVLRKKLIREYETFVMGQELRQELIKCREDNTARTAVEKGEDPLPVRSLSLGNLAALKLPGIKKADVRTKKMGGLPPLGEVINKKGSLLDSVPRKKLSDRRARYRGSTTLDSESMSPMLLGDRWPRQAEATTRVPSSDMMRKEADPVHAKPELIHLPGALLVRPCGPPDQALGPRPERARAPPARAGSEEEFDEDEEEESSHILMTADSLSKKHGYRLGEAEEPGERQTRETFVSPQSGVSVARPAVEAASASLTREKLRLGELGHSLGAVEISNRKHLQAHRAATLPESVEQLSHAVPLSDEQFTQVSHHVRRTILDPNSSSIYLHRSLDPTSNAPRPPPYPPPPRYVQTRMINPSPYDQAQLQANTLEPVSVAGVVPRREAPYPYPSPSHFSYSLSFPCHAAAPSPLQAHERIEPQSYVLAAPRSYAYSSGINLNRLSHSQREAHVLGRNLQKMVTEYDPTPRYSPPIVASHRHSPLYDPRMVDSGTISPNSSMPSPQLYPQPNNLSNTSHASYVSGNRIRGNLDIQARDLSTQSHCYYGNQNPVFLNDQSSAYPETYHGNYHLQPPPPMVYPQPKPLSMRSSSRRRIPNVTVTQTQLNCQNLRYFKHRAVCLRWIYKATEMDETQFQITEEKNALELAFFSKNHSMNAIDHWRFVVPHRGNKHKVFIGELCAGISIDSGQSDKAPYSEEGYGDMREVEQMYLMEADPATLGRYKQHLLAVKQTAEELVKEDQLWGVPFLAFKQLFDQSEYQDFDGFDAQVALGALQIAQELTCPISGELIDKKLQLYYQYRTIEQQLQSVSPVYFVVGFYVTPELAYKDLQKKLPKELDVWRRQNQKNYYWLSFYTVERLELRQAVAELSEQGTSEVSLTFEVFENNLLQIRAVLCSKSSVTYRRTRKLKLGFPLPM
+>sp|Q15257|PTPA_HUMAN Serine/threonine-protein phosphatase 2A activator OS=Homo sapiens OX=9606 GN=PTPA PE=1 SV=3
+MAEGERQPPPDSSEEAPPATQNFIIPKKEIHTVPDMGKWKRSQAYADYIGFILTLNEGVKGKKLTFEYRVSEMWNEVHEEKEQAAKQSVSCDECIPLPRAGHCAPSEAIEKLVALLNTLDRWIDETPPVDQPSRFGNKAYRTWYAKLDEEAENLVATVVPTHLAAAVPEVAVYLKESVGNSTRIDYGTGHEAAFAAFLCCLCKIGVLRVDDQIAIVFKVFNRYLEVMRKLQKTYRMEPAGSQGVWGLDDFQFLPFIWGSSQLIDHPYLEPRHFVDEKAVNENHKDYMFLECILFITEMKTGPFAEHSNQLWNISAVPSWSKVNQGLIRMYKAECLEKFPVIQHFKFGSLLPIHPVTSG
+>DECOY_sp|Q15257|PTPA_HUMAN Serine/threonine-protein phosphatase 2A activator OS=Homo sapiens OX=9606 GN=PTPA PE=1 SV=3
+GSTVPHIPLLSGFKFHQIVPFKELCEAKYMRILGQNVKSWSPVASINWLQNSHEAFPGTKMETIFLICELFMYDKHNENVAKEDVFHRPELYPHDILQSSGWIFPLFQFDDLGWVGQSGAPEMRYTKQLKRMVELYRNFVKFVIAIQDDVRLVGIKCLCCLFAAFAAEHGTGYDIRTSNGVSEKLYVAVEPVAAALHTPVVTAVLNEAEEDLKAYWTRYAKNGFRSPQDVPPTEDIWRDLTNLLAVLKEIAESPACHGARPLPICEDCSVSQKAAQEKEEHVENWMESVRYEFTLKKGKVGENLTLIFGIYDAYAQSRKWKGMDPVTHIEKKPIIFNQTAPPAEESSDPPPQREGEAM
+>sp|Q03393|PTPS_HUMAN 6-pyruvoyl tetrahydrobiopterin synthase OS=Homo sapiens OX=9606 GN=PTS PE=1 SV=1
+MSTEGGGRRCQAQVSRRISFSASHRLYSKFLSDEENLKLFGKCNNPNGHGHNYKVVVTVHGEIDPATGMVMNLADLKKYMEEAIMQPLDHKNLDMDVPYFADVVSTTENVAVYIWDNLQKVLPVGVLYKVKVYETDNNIVVYKGE
+>DECOY_sp|Q03393|PTPS_HUMAN 6-pyruvoyl tetrahydrobiopterin synthase OS=Homo sapiens OX=9606 GN=PTS PE=1 SV=1
+EGKYVVINNDTEYVKVKYLVGVPLVKQLNDWIYVAVNETTSVVDAFYPVDMDLNKHDLPQMIAEEMYKKLDALNMVMGTAPDIEGHVTVVVKYNHGHGNPNNCKGFLKLNEEDSLFKSYLRHSASFSIRRSVQAQCRRGGGETSM
+>sp|Q9NZH5|PTTG2_HUMAN Securin-2 OS=Homo sapiens OX=9606 GN=PTTG2 PE=2 SV=2
+MATLIYVDKEIGEPGTRVAAKDVLKLESRPSIKALDGISQVLTRRFGKTYDAPSALPKATRKALGTVNRATEKSVKTNGPRKQKQPSFSAKKMTEKTVKTKSSVPASDDAYPEIEKFFPFNLLDFESFDLPEERQIAHLPLSGVPLMILDEEGELEKLFQLGPPSPVKMPSPPWECNLLQSPSSILSTLDVELPAVCYDIDI
+>DECOY_sp|Q9NZH5|PTTG2_HUMAN Securin-2 OS=Homo sapiens OX=9606 GN=PTTG2 PE=2 SV=2
+IDIDYCVAPLEVDLTSLISSPSQLLNCEWPPSPMKVPSPPGLQFLKELEGEEDLIMLPVGSLPLHAIQREEPLDFSEFDLLNFPFFKEIEPYADDSAPVSSKTKVTKETMKKASFSPQKQKRPGNTKVSKETARNVTGLAKRTAKPLASPADYTKGFRRTLVQSIGDLAKISPRSELKLVDKAAVRTGPEGIEKDVYILTAM
+>sp|P22234|PUR6_HUMAN Multifunctional protein ADE2 OS=Homo sapiens OX=9606 GN=PAICS PE=1 SV=3
+MATAEVLNIGKKLYEGKTKEVYELLDSPGKVLLQSKDQITAGNAARKNHLEGKAAISNKITSCIFQLLQEAGIKTAFTRKCGETAFIAPQCEMIPIEWVCRRIATGSFLKRNPGVKEGYKFYPPKVELFFKDDANNDPQWSEEQLIAAKFCFAGLLIGQTEVDIMSHATQAIFEILEKSWLPQNCTLVDMKIEFGVDVTTKEIVLADVIDNDSWRLWPSGDRSQQKDKQSYRDLKEVTPEGLQMVKKNFEWVAERVELLLKSESQCRVVVLMGSTSDLGHCEKIKKACGNFGIPCELRVTSAHKGPDETLRIKAEYEGDGIPTVFVAVAGRSNGLGPVMSGNTAYPVISCPPLTPDWGVQDVWSSLRLPSGLGCSTVLSPEGSAQFAAQIFGLSNHLVWSKLRASILNTWISLKQADKKIRECNL
+>DECOY_sp|P22234|PUR6_HUMAN Multifunctional protein ADE2 OS=Homo sapiens OX=9606 GN=PAICS PE=1 SV=3
+LNCERIKKDAQKLSIWTNLISARLKSWVLHNSLGFIQAAFQASGEPSLVTSCGLGSPLRLSSWVDQVGWDPTLPPCSIVPYATNGSMVPGLGNSRGAVAVFVTPIGDGEYEAKIRLTEDPGKHASTVRLECPIGFNGCAKKIKECHGLDSTSGMLVVVRCQSESKLLLEVREAVWEFNKKVMQLGEPTVEKLDRYSQKDKQQSRDGSPWLRWSDNDIVDALVIEKTTVDVGFEIKMDVLTCNQPLWSKELIEFIAQTAHSMIDVETQGILLGAFCFKAAILQEESWQPDNNADDKFFLEVKPPYFKYGEKVGPNRKLFSGTAIRRCVWEIPIMECQPAIFATEGCKRTFATKIGAEQLLQFICSTIKNSIAAKGELHNKRAANGATIQDKSQLLVKGPSDLLEYVEKTKGEYLKKGINLVEATAM
+>sp|Q96HA9|PX11C_HUMAN Peroxisomal membrane protein 11C OS=Homo sapiens OX=9606 GN=PEX11G PE=1 SV=1
+MASLSGLASALESYRGRDRLIRVLGYCCQLVGGVLVEQCPARSEVGTRLLVVSTQLSHCRTILRLFDDLAMFVYTKQYGLGAQEEDAFVRCVSVLGNLADQLYYPCEHVAWAADARVLHVDSSRWWTLSTTLWALSLLLGVARSLWMLLKLRQRLRSPTAPFTSPLPRGKRRAMEAQMQSEALSLLSNLADLANAVHWLPRGVLWAGRFPPWLVGLMGTISSILSMYQAARAGGQAEATTP
+>DECOY_sp|Q96HA9|PX11C_HUMAN Peroxisomal membrane protein 11C OS=Homo sapiens OX=9606 GN=PEX11G PE=1 SV=1
+PTTAEAQGGARAAQYMSLISSITGMLGVLWPPFRGAWLVGRPLWHVANALDALNSLLSLAESQMQAEMARRKGRPLPSTFPATPSRLRQRLKLLMWLSRAVGLLLSLAWLTTSLTWWRSSDVHLVRADAAWAVHECPYYLQDALNGLVSVCRVFADEEQAGLGYQKTYVFMALDDFLRLITRCHSLQTSVVLLRTGVESRAPCQEVLVGGVLQCCYGLVRILRDRGRYSELASALGSLSAM
+>sp|Q6UX71|PXDC2_HUMAN Plexin domain-containing protein 2 OS=Homo sapiens OX=9606 GN=PLXDC2 PE=1 SV=1
+MARFPKADLAAAGVMLLCHFFTDQFQFADGKPGDQILDWQYGVTQAFPHTEEEVEVDSHAYSHRWKRNLDFLKAVDTNRASVGQDSPEPRSFTDLLLDDGQDNNTQIEEDTDHNYYISRIYGPSDSASRDLWVNIDQMEKDKVKIHGILSNTHRQAARVNLSFDFPFYGHFLREITVATGGFIYTGEVVHRMLTATQYIAPLMANFDPSVSRNSTVRYFDNGTALVVQWDHVHLQDNYNLGSFTFQATLLMDGRIIFGYKEIPVLVTQISSTNHPVKVGLSDAFVVVHRIQQIPNVRRRTIYEYHRVELQMSKITNISAVEMTPLPTCLQFNRCGPCVSSQIGFNCSWCSKLQRCSSGFDRHRQDWVDSGCPEESKEKMCENTEPVETSSRTTTTVGATTTQFRVLTTTRRAVTSQFPTSLPTEDDTKIALHLKDNGASTDDSAAEKKGGTLHAGLIIGILILVLIVATAILVTVYMYHHPTSAASIFFIERRPSRWPAMKFRRGSGHPAYAEVEPVGEKEGFIVSEQC
+>DECOY_sp|Q6UX71|PXDC2_HUMAN Plexin domain-containing protein 2 OS=Homo sapiens OX=9606 GN=PLXDC2 PE=1 SV=1
+CQESVIFGEKEGVPEVEAYAPHGSGRRFKMAPWRSPRREIFFISAASTPHHYMYVTVLIATAVILVLILIGIILGAHLTGGKKEAASDDTSAGNDKLHLAIKTDDETPLSTPFQSTVARRTTTLVRFQTTTAGVTTTTRSSTEVPETNECMKEKSEEPCGSDVWDQRHRDFGSSCRQLKSCWSCNFGIQSSVCPGCRNFQLCTPLPTMEVASINTIKSMQLEVRHYEYITRRRVNPIQQIRHVVVFADSLGVKVPHNTSSIQTVLVPIEKYGFIIRGDMLLTAQFTFSGLNYNDQLHVHDWQVVLATGNDFYRVTSNRSVSPDFNAMLPAIYQTATLMRHVVEGTYIFGGTAVTIERLFHGYFPFDFSLNVRAAQRHTNSLIGHIKVKDKEMQDINVWLDRSASDSPGYIRSIYYNHDTDEEIQTNNDQGDDLLLDTFSRPEPSDQGVSARNTDVAKLFDLNRKWRHSYAHSDVEVEEETHPFAQTVGYQWDLIQDGPKGDAFQFQDTFFHCLLMVGAAALDAKPFRAM
+>sp|Q8WU10|PYRD1_HUMAN Pyridine nucleotide-disulfide oxidoreductase domain-containing protein 1 OS=Homo sapiens OX=9606 GN=PYROXD1 PE=1 SV=1
+MEAARPPPTAGKFVVVGGGIAGVTCAEQLATHFPSEDILLVTASPVIKAVTNFKQISKILEEFDVEEQSSTMLGKRFPNIKVIESGVKQLKSEEHCIVTEDGNQHVYKKLCLCAGAKPKLICEGNPYVLGIRDTDSAQEFQKQLTKAKRIMIIGNGGIALELVYEIEGCEVIWAIKDKAIGNTFFDAGAAEFLTSKLIAEKSEAKIAHKRTRYTTEGRKKEARSKSKADNVGSALGPDWHEGLNLKGTKEFSHKIHLETMCEVKKIYLQDEFRILKKKSFTFPRDHKSVTADTEMWPVYVELTNEKIYGCDFIVSATGVTPNVEPFLHGNSFDLGEDGGLKVDDHMHTSLPDIYAAGDICTTSWQLSPVWQQMRLWTQARQMGWYAAKCMAAASSGDSIDMDFSFELFAHVTKFFNYKVVLLGKYNAQGLGSDHELMLRCTKGREYIKVVMQNGRMMGAVLIGETDLEETFENLILNQMNLSSYGEDLLDPNIDIEDYFD
+>DECOY_sp|Q8WU10|PYRD1_HUMAN Pyridine nucleotide-disulfide oxidoreductase domain-containing protein 1 OS=Homo sapiens OX=9606 GN=PYROXD1 PE=1 SV=1
+DFYDEIDINPDLLDEGYSSLNMQNLILNEFTEELDTEGILVAGMMRGNQMVVKIYERGKTCRLMLEHDSGLGQANYKGLLVVKYNFFKTVHAFLEFSFDMDISDGSSAAAMCKAAYWGMQRAQTWLRMQQWVPSLQWSTTCIDGAAYIDPLSTHMHDDVKLGGDEGLDFSNGHLFPEVNPTVGTASVIFDCGYIKENTLEVYVPWMETDATVSKHDRPFTFSKKKLIRFEDQLYIKKVECMTELHIKHSFEKTGKLNLGEHWDPGLASGVNDAKSKSRAEKKRGETTYRTRKHAIKAESKEAILKSTLFEAAGADFFTNGIAKDKIAWIVECGEIEYVLELAIGGNGIIMIRKAKTLQKQFEQASDTDRIGLVYPNGECILKPKAGACLCLKKYVHQNGDETVICHEESKLQKVGSEIVKINPFRKGLMTSSQEEVDFEELIKSIQKFNTVAKIVPSATVLLIDESPFHTALQEACTVGAIGGGVVVFKGATPPPRAAEM
+>sp|Q5JQD4|PYY3_HUMAN Putative peptide YY-3 OS=Homo sapiens OX=9606 GN=PYY3 PE=5 SV=1
+MVSVCRPWPAVAIALLALLVCLGALVDTCPIKPEAPGEDESLEELSHYYASLCHYLNVVTRQWWEGADMW
+>DECOY_sp|Q5JQD4|PYY3_HUMAN Putative peptide YY-3 OS=Homo sapiens OX=9606 GN=PYY3 PE=5 SV=1
+WMDAGEWWQRTVVNLYHCLSAYYHSLEELSEDEGPAEPKIPCTDVLAGLCVLLALLAIAVAPWPRCVSVM
+>sp|Q8TBN0|R3GEF_HUMAN Guanine nucleotide exchange factor for Rab-3A OS=Homo sapiens OX=9606 GN=RAB3IL1 PE=1 SV=1
+MWSGPPQPDQGLPPPLAAVPVPWKSTDPCQGHRESPGALVETSAGEEAQGQEGPAAAQLDVLRLRSSSMEIREKGSEFLKEELHRAQKELKLKDEECERLSKVREQLEQELEELTASLFEEAHKMVREANMKQAASEKQLKEARGKIDMLQAEVTALKTLVITSTPASPNRELHPQLLSPTKAGPRKGHSRHKSTSSTLCPAVCPAAGHTLTPDREGKEVDTILFAEFQAWRESPTLDKTCPFLERVYREDVGPCLDFTMQELSVLVRAAVEDNTLTIEPVASQTLPTVKVAEVDCSSTNTCALSGLTRTCRHRIRLGDSKSHYYISPSSRARITAVCNFFTYIRYIQQGLVRQDAEPMFWEIMRLRKEMSLAKLGFFPQEA
+>DECOY_sp|Q8TBN0|R3GEF_HUMAN Guanine nucleotide exchange factor for Rab-3A OS=Homo sapiens OX=9606 GN=RAB3IL1 PE=1 SV=1
+AEQPFFGLKALSMEKRLRMIEWFMPEADQRVLGQQIYRIYTFFNCVATIRARSSPSIYYHSKSDGLRIRHRCTRTLGSLACTNTSSCDVEAVKVTPLTQSAVPEITLTNDEVAARVLVSLEQMTFDLCPGVDERYVRELFPCTKDLTPSERWAQFEAFLITDVEKGERDPTLTHGAAPCVAPCLTSSTSKHRSHGKRPGAKTPSLLQPHLERNPSAPTSTIVLTKLATVEAQLMDIKGRAEKLQKESAAQKMNAERVMKHAEEFLSATLEELEQELQERVKSLRECEEDKLKLEKQARHLEEKLFESGKERIEMSSSRLRLVDLQAAAPGEQGQAEEGASTEVLAGPSERHGQCPDTSKWPVPVAALPPPLGQDPQPPGSWM
+>sp|Q7Z5L2|R3HCL_HUMAN Coiled-coil domain-containing protein R3HCC1L OS=Homo sapiens OX=9606 GN=R3HCC1L PE=1 SV=2
+MQQESERCRVRARRPDMALYVPKARRGAVLLKTGDEEESCGSPNSVVKEKQKESSLSQKEVFKDKPEARRLNINPDRKEHNCREEKKSSTKLRMDTCLQKTNRVCSKRGTTESKEVLSQGQQQGAPNAGVITNAPLQRHFKPKKVECLEVETTDVTGHERILLSQACLEISEAQVPSKPFQNVEFCDFSRHEPDGEAFEDKDLEGRIETDTKVLEILYEFPRVFSSVMKPENMIVPIKLSSDSEIVQQSMQTSDGILNPSSGGITTTSVPGSPDGVFDQTCVDFEVESVGGIANSTGFILDQKDTDSIPATMGHISLSESTNDTVSPVMIRECEKNDSTADELHVKHEPPDTAVLAHETHRDSGFKNVGDITNKACMMDTTGMSCSDHVTVDSPYVVAVRIADETSINTRSFSKFVGMSADATPLHVARSGNDTEDFSNPSACSDIYGESISSHFTESTGKLIESLSDCASSLPIKKIAGSNYNTFLDSELSMLNGTKVLSDSAVGIDLGSTGDTTEALHELRTAEEFKTEEQDDSGSIEFGVSFPDRESSSMETSIEPKATETSHTEGITAIEESWESMFNDDGDCLDPRLLQEGILMHIKPENHCSELSGNTKSRESIQEPRSDYYNHEVPDIDLSDCEFPHVIEIYDFPQEFHTEDLLRVFCSYQKKGFDIKWVDDTHALGVFSSPITARDALGIKHTMVKIRPLSQATRAAKAKARAYAEFLQPAKERPETSAALARRLVISALGVRSKQSKTEREAELKKLQEARERKRLEAKQREDIWEGRDQSTV
+>DECOY_sp|Q7Z5L2|R3HCL_HUMAN Coiled-coil domain-containing protein R3HCC1L OS=Homo sapiens OX=9606 GN=R3HCC1L PE=1 SV=2
+VTSQDRGEWIDERQKAELRKRERAEQLKKLEAERETKSQKSRVGLASIVLRRALAASTEPREKAPQLFEAYARAKAKAARTAQSLPRIKVMTHKIGLADRATIPSSFVGLAHTDDVWKIDFGKKQYSCFVRLLDETHFEQPFDYIEIVHPFECDSLDIDPVEHNYYDSRPEQISERSKTNGSLESCHNEPKIHMLIGEQLLRPDLCDGDDNFMSEWSEEIATIGETHSTETAKPEISTEMSSSERDPFSVGFEISGSDDQEETKFEEATRLEHLAETTDGTSGLDIGVASDSLVKTGNLMSLESDLFTNYNSGAIKKIPLSSACDSLSEILKGTSETFHSSISEGYIDSCASPNSFDETDNGSRAVHLPTADASMGVFKSFSRTNISTEDAIRVAVVYPSDVTVHDSCSMGTTDMMCAKNTIDGVNKFGSDRHTEHALVATDPPEHKVHLEDATSDNKECERIMVPSVTDNTSESLSIHGMTAPISDTDKQDLIFGTSNAIGGVSEVEFDVCTQDFVGDPSGPVSTTTIGGSSPNLIGDSTQMSQQVIESDSSLKIPVIMNEPKMVSSFVRPFEYLIELVKTDTEIRGELDKDEFAEGDPEHRSFDCFEVNQFPKSPVQAESIELCAQSLLIREHGTVDTTEVELCEVKKPKFHRQLPANTIVGANPAGQQQGQSLVEKSETTGRKSCVRNTKQLCTDMRLKTSSKKEERCNHEKRDPNINLRRAEPKDKFVEKQSLSSEKQKEKVVSNPSGCSEEEDGTKLLVAGRRAKPVYLAMDPRRARVRCRESEQQM
+>sp|Q9Y2K5|R3HD2_HUMAN R3H domain-containing protein 2 OS=Homo sapiens OX=9606 GN=R3HDM2 PE=1 SV=3
+MSNSNTTQETLEIMKESEKKLVEESVNKNKFISKTPSKEEIEKECEDTSLRQETQRRTSNHGHARKRAKSNSKLKLVRSLAVCEESSTPFADGPLETQDIIQLHISCPSDKEEEKSTKDVSEKEDKDKNKEKIPRKMLSRDSSQEYTDSTGIDLHEFLVNTLKKNPRDRMMLLKLEQEILEFINDNNNQFKKFPQMTSYHRMLLHRVAAYFGMDHNVDQTGKAVIINKTSNTRIPEQRFSEHIKDEKNTEFQQRFILKRDDASMDRDDNQTGQNGYLNDIRLSKEAFSSSSHKRRQIFRGNREGLSRTSSSRQSSTDSELKSLEPRPWSSTDSDGSVRSMRPPVTKASSFSGISILTRGDSIGSSKGGSAGRISRPGMALGAPEVCNQVTSSQSVRGLLPCTAQQQQQQQQQQLPALPPTPQQQPPLNNHMISQADDLSNPFGQMSLSRQGSTEAADPSAALFQTPLISQHPQQTSFIMASTGQPLPTSNYSTSSHAPPTQQVLPPQGYMQPPQQIQVSYYPPGQYPNSNQQYRPLSHPVAYSPQRGQQLPQPSQQPGLQPMMPNQQQAAYQGMIGVQQPQNQGLLSSQRSSMGGQMQGLVVQYTPLPSYQVPVGSDSQNVVQPPFQQPMLVPVSQSVQGGLPAAGVPVYYSMIPPAQQNGTSPSVGFLQPPGSEQYQMPQSPSPCSPPQMPQQYSGVSPSGPGVVVMQLNVPNGPQPPQNPSMVQWSHCKYYSMDQRGQKPGDLYSPDSSPQANTQMSSSPVTSPTQSPAPSPVTSLSSVCTGLSPLPVLTQFPRPGGPAQGDGRYSLLGQPLQYNLSICPPLLHGQSTYTVHQGQSGLKHGNRGKRQALKSASTDLGTADVVLGRVLEVTDLPEGITRTEADKLFTQLAMSGAKIQWLKDAQGLPGGGGGDNSGTAENGRHSDLAALYTIVAVFPSPLAAQNASLRLNNSVSRFKLRMAKKNYDLRILERASSQ
+>DECOY_sp|Q9Y2K5|R3HD2_HUMAN R3H domain-containing protein 2 OS=Homo sapiens OX=9606 GN=R3HDM2 PE=1 SV=3
+QSSARELIRLDYNKKAMRLKFRSVSNNLRLSANQAALPSPFVAVITYLAALDSHRGNEATGSNDGGGGGPLGQADKLWQIKAGSMALQTFLKDAETRTIGEPLDTVELVRGLVVDATGLDTSASKLAQRKGRNGHKLGSQGQHVTYTSQGHLLPPCISLNYQLPQGLLSYRGDGQAPGGPRPFQTLVPLPSLGTCVSSLSTVPSPAPSQTPSTVPSSSMQTNAQPSSDPSYLDGPKQGRQDMSYYKCHSWQVMSPNQPPQPGNPVNLQMVVVGPGSPSVGSYQQPMQPPSCPSPSQPMQYQESGPPQLFGVSPSTGNQQAPPIMSYYVPVGAAPLGGQVSQSVPVLMPQQFPPQVVNQSDSGVPVQYSPLPTYQVVLGQMQGGMSSRQSSLLGQNQPQQVGIMGQYAAQQQNPMMPQLGPQQSPQPLQQGRQPSYAVPHSLPRYQQNSNPYQGPPYYSVQIQQPPQMYGQPPLVQQTPPAHSSTSYNSTPLPQGTSAMIFSTQQPHQSILPTQFLAASPDAAETSGQRSLSMQGFPNSLDDAQSIMHNNLPPQQQPTPPLAPLQQQQQQQQQQATCPLLGRVSQSSTVQNCVEPAGLAMGPRSIRGASGGKSSGISDGRTLISIGSFSSAKTVPPRMSRVSGDSDTSSWPRPELSKLESDTSSQRSSSTRSLGERNGRFIQRRKHSSSSFAEKSLRIDNLYGNQGTQNDDRDMSADDRKLIFRQQFETNKEDKIHESFRQEPIRTNSTKNIIVAKGTQDVNHDMGFYAAVRHLLMRHYSTMQPFKKFQNNNDNIFELIEQELKLLMMRDRPNKKLTNVLFEHLDIGTSDTYEQSSDRSLMKRPIKEKNKDKDEKESVDKTSKEEEKDSPCSIHLQIIDQTELPGDAFPTSSEECVALSRVLKLKSNSKARKRAHGHNSTRRQTEQRLSTDECEKEIEEKSPTKSIFKNKNVSEEVLKKESEKMIELTEQTTNSNSM
+>sp|O75771|RA51D_HUMAN DNA repair protein RAD51 homolog 4 OS=Homo sapiens OX=9606 GN=RAD51D PE=1 SV=1
+MGVLRVGLCPGLTEEMIQLLRSHRIKTVVDLVSADLEEVAQKCGLSYKALVALRRVLLAQFSAFPVNGADLYEELKTSTAILSTGIGSLDKLLDAGLYTGEVTEIVGGPGSGKTQVCLCMAANVAHGLQQNVLYVDSNGGLTASRLLQLLQAKTQDEEEQAEALRRIQVVHAFDIFQMLDVLQELRGTVAQQVTGSSGTVKVVVVDSVTAVVSPLLGGQQREGLALMMQLARELKTLARDLGMAVVVTNHITRDRDSGRLKPALGRSWSFVPSTRILLDTIEGAGASGGRRMACLAKSSRQPTGFQEMVDIGTWGTSEQSATLQGDQT
+>DECOY_sp|O75771|RA51D_HUMAN DNA repair protein RAD51 homolog 4 OS=Homo sapiens OX=9606 GN=RAD51D PE=1 SV=1
+TQDGQLTASQESTGWTGIDVMEQFGTPQRSSKALCAMRRGGSAGAGEITDLLIRTSPVFSWSRGLAPKLRGSDRDRTIHNTVVVAMGLDRALTKLERALQMMLALGERQQGGLLPSVVATVSDVVVVKVTGSSGTVQQAVTGRLEQLVDLMQFIDFAHVVQIRRLAEAQEEEDQTKAQLLQLLRSATLGGNSDVYLVNQQLGHAVNAAMCLCVQTKGSGPGGVIETVEGTYLGADLLKDLSGIGTSLIATSTKLEEYLDAGNVPFASFQALLVRRLAVLAKYSLGCKQAVEELDASVLDVVTKIRHSRLLQIMEETLGPCLGVRLVGM
+>sp|P59190|RAB15_HUMAN Ras-related protein Rab-15 OS=Homo sapiens OX=9606 GN=RAB15 PE=1 SV=1
+MAKQYDVLFRLLLIGDSGVGKTCLLCRFTDNEFHSSHISTIGVDFKMKTIEVDGIKVRIQIWDTAGQERYQTITKQYYRRAQGIFLVYDISSERSYQHIMKWVSDVDEYAPEGVQKILIGNKADEEQKRQVGREQGQQLAKEYGMDFYETSACTNLNIKESFTRLTELVLQAHRKELEGLRMRASNELALAELEEEEGKPEGPANSSKTCWC
+>DECOY_sp|P59190|RAB15_HUMAN Ras-related protein Rab-15 OS=Homo sapiens OX=9606 GN=RAB15 PE=1 SV=1
+CWCTKSSNAPGEPKGEEEELEALALENSARMRLGELEKRHAQLVLETLRTFSEKINLNTCASTEYFDMGYEKALQQGQERGVQRKQEEDAKNGILIKQVGEPAYEDVDSVWKMIHQYSRESSIDYVLFIGQARRYYQKTITQYREQGATDWIQIRVKIGDVEITKMKFDVGITSIHSSHFENDTFRCLLCTKGVGSDGILLLRFLVDYQKAM
+>sp|Q9UL25|RAB21_HUMAN Ras-related protein Rab-21 OS=Homo sapiens OX=9606 GN=RAB21 PE=1 SV=3
+MAAAGGGGGGAAAAGRAYSFKVVLLGEGCVGKTSLVLRYCENKFNDKHITTLQASFLTKKLNIGGKRVNLAIWDTAGQERFHALGPIYYRDSNGAILVYDITDEDSFQKVKNWVKELRKMLGNEICLCIVGNKIDLEKERHVSIQEAESYAESVGAKHYHTSAKQNKGIEELFLDLCKRMIETAQVDERAKGNGSSQPGTARRGVQIIDDEPQAQTSGGGCCSSG
+>DECOY_sp|Q9UL25|RAB21_HUMAN Ras-related protein Rab-21 OS=Homo sapiens OX=9606 GN=RAB21 PE=1 SV=3
+GSSCCGGGSTQAQPEDDIIQVGRRATGPQSSGNGKAREDVQATEIMRKCLDLFLEEIGKNQKASTHYHKAGVSEAYSEAEQISVHREKELDIKNGVICLCIENGLMKRLEKVWNKVKQFSDEDTIDYVLIAGNSDRYYIPGLAHFREQGATDWIALNVRKGGINLKKTLFSAQLTTIHKDNFKNECYRLVLSTKGVCGEGLLVVKFSYARGAAAAGGGGGGAAAM
+>sp|P57735|RAB25_HUMAN Ras-related protein Rab-25 OS=Homo sapiens OX=9606 GN=RAB25 PE=1 SV=2
+MGNGTEEDYNFVFKVVLIGESGVGKTNLLSRFTRNEFSHDSRTTIGVEFSTRTVMLGTAAVKAQIWDTAGLERYRAITSAYYRGAVGALLVFDLTKHQTYAVVERWLKELYDHAEATIVVMLVGNKSDLSQAREVPTEEARMFAENNGLLFLETSALDSTNVELAFETVLKEIFAKVSKQRQNSIRTNAITLGSAQAGQEPGPGEKRACCISL
+>DECOY_sp|P57735|RAB25_HUMAN Ras-related protein Rab-25 OS=Homo sapiens OX=9606 GN=RAB25 PE=1 SV=2
+LSICCARKEGPGPEQGAQASGLTIANTRISNQRQKSVKAFIEKLVTEFALEVNTSDLASTELFLLGNNEAFMRAEETPVERAQSLDSKNGVLMVVITAEAHDYLEKLWREVVAYTQHKTLDFVLLAGVAGRYYASTIARYRELGATDWIQAKVAATGLMVTRTSFEVGITTRSDHSFENRTFRSLLNTKGVGSEGILVVKFVFNYDEETGNGM
+>sp|P61019|RAB2A_HUMAN Ras-related protein Rab-2A OS=Homo sapiens OX=9606 GN=RAB2A PE=1 SV=1
+MAYAYLFKYIIIGDTGVGKSCLLLQFTDKRFQPVHDLTIGVEFGARMITIDGKQIKLQIWDTAGQESFRSITRSYYRGAAGALLVYDITRRDTFNHLTTWLEDARQHSNSNMVIMLIGNKSDLESRREVKKEEGEAFAREHGLIFMETSAKTASNVEEAFINTAKEIYEKIQEGVFDINNEANGIKIGPQHAATNATHAGNQGGQQAGGGCC
+>DECOY_sp|P61019|RAB2A_HUMAN Ras-related protein Rab-2A OS=Homo sapiens OX=9606 GN=RAB2A PE=1 SV=1
+CCGGGAQQGGQNGAHTANTAAHQPGIKIGNAENNIDFVGEQIKEYIEKATNIFAEEVNSATKASTEMFILGHERAFAEGEEKKVERRSELDSKNGILMIVMNSNSHQRADELWTTLHNFTDRRTIDYVLLAGAAGRYYSRTISRFSEQGATDWIQLKIQKGDITIMRAGFEVGITLDHVPQFRKDTFQLLLCSKGVGTDGIIIYKFLYAYAM
+>sp|Q8WUD1|RAB2B_HUMAN Ras-related protein Rab-2B OS=Homo sapiens OX=9606 GN=RAB2B PE=1 SV=1
+MTYAYLFKYIIIGDTGVGKSCLLLQFTDKRFQPVHDLTIGVEFGARMVNIDGKQIKLQIWDTAGQESFRSITRSYYRGAAGALLVYDITRRETFNHLTSWLEDARQHSSSNMVIMLIGNKSDLESRRDVKREEGEAFAREHGLIFMETSAKTACNVEEAFINTAKEIYRKIQQGLFDVHNEANGIKIGPQQSISTSVGPSASQRNSRDIGSNSGCC
+>DECOY_sp|Q8WUD1|RAB2B_HUMAN Ras-related protein Rab-2B OS=Homo sapiens OX=9606 GN=RAB2B PE=1 SV=1
+CCGSNSGIDRSNRQSASPGVSTSISQQPGIKIGNAENHVDFLGQQIKRYIEKATNIFAEEVNCATKASTEMFILGHERAFAEGEERKVDRRSELDSKNGILMIVMNSSSHQRADELWSTLHNFTERRTIDYVLLAGAAGRYYSRTISRFSEQGATDWIQLKIQKGDINVMRAGFEVGITLDHVPQFRKDTFQLLLCSKGVGTDGIIIYKFLYAYTM
+>sp|Q13636|RAB31_HUMAN Ras-related protein Rab-31 OS=Homo sapiens OX=9606 GN=RAB31 PE=1 SV=1
+MAIRELKVCLLGDTGVGKSSIVCRFVQDHFDHNISPTIGASFMTKTVPCGNELHKFLIWDTAGQERFHSLAPMYYRGSAAAVIVYDITKQDSFYTLKKWVKELKEHGPENIVMAIAGNKCDLSDIREVPLKDAKEYAESIGAIVVETSAKNAINIEELFQGISRQIPPLDPHENGNNGTIKVEKPTMQASRRCC
+>DECOY_sp|Q13636|RAB31_HUMAN Ras-related protein Rab-31 OS=Homo sapiens OX=9606 GN=RAB31 PE=1 SV=1
+CCRRSAQMTPKEVKITGNNGNEHPDLPPIQRSIGQFLEEINIANKASTEVVIAGISEAYEKADKLPVERIDSLDCKNGAIAMVINEPGHEKLEKVWKKLTYFSDQKTIDYVIVAAASGRYYMPALSHFREQGATDWILFKHLENGCPVTKTMFSAGITPSINHDFHDQVFRCVISSKGVGTDGLLCVKLERIAM
+>sp|P20339|RAB5A_HUMAN Ras-related protein Rab-5A OS=Homo sapiens OX=9606 GN=RAB5A PE=1 SV=2
+MASRGATRPNGPNTGNKICQFKLVLLGESAVGKSSLVLRFVKGQFHEFQESTIGAAFLTQTVCLDDTTVKFEIWDTAGQERYHSLAPMYYRGAQAAIVVYDITNEESFARAKNWVKELQRQASPNIVIALSGNKADLANKRAVDFQEAQSYADDNSLLFMETSAKTSMNVNEIFMAIAKKLPKNEPQNPGANSARGRGVDLTEPTQPTRNQCCSN
+>DECOY_sp|P20339|RAB5A_HUMAN Ras-related protein Rab-5A OS=Homo sapiens OX=9606 GN=RAB5A PE=1 SV=2
+NSCCQNRTPQTPETLDVGRGRASNAGPNQPENKPLKKAIAMFIENVNMSTKASTEMFLLSNDDAYSQAEQFDVARKNALDAKNGSLAIVINPSAQRQLEKVWNKARAFSEENTIDYVVIAAQAGRYYMPALSHYREQGATDWIEFKVTTDDLCVTQTLFAAGITSEQFEHFQGKVFRLVLSSKGVASEGLLVLKFQCIKNGTNPGNPRTAGRSAM
+>sp|P51148|RAB5C_HUMAN Ras-related protein Rab-5C OS=Homo sapiens OX=9606 GN=RAB5C PE=1 SV=2
+MAGRGGAARPNGPAAGNKICQFKLVLLGESAVGKSSLVLRFVKGQFHEYQESTIGAAFLTQTVCLDDTTVKFEIWDTAGQERYHSLAPMYYRGAQAAIVVYDITNTDTFARAKNWVKELQRQASPNIVIALAGNKADLASKRAVEFQEAQAYADDNSLLFMETSAKTAMNVNEIFMAIAKKLPKNEPQNATGAPGRNRGVDLQENNPASRSQCCSN
+>DECOY_sp|P51148|RAB5C_HUMAN Ras-related protein Rab-5C OS=Homo sapiens OX=9606 GN=RAB5C PE=1 SV=2
+NSCCQSRSAPNNEQLDVGRNRGPAGTANQPENKPLKKAIAMFIENVNMATKASTEMFLLSNDDAYAQAEQFEVARKSALDAKNGALAIVINPSAQRQLEKVWNKARAFTDTNTIDYVVIAAQAGRYYMPALSHYREQGATDWIEFKVTTDDLCVTQTLFAAGITSEQYEHFQGKVFRLVLSSKGVASEGLLVLKFQCIKNGAAPGNPRAAGGRGAM
+>sp|P61006|RAB8A_HUMAN Ras-related protein Rab-8A OS=Homo sapiens OX=9606 GN=RAB8A PE=1 SV=1
+MAKTYDYLFKLLLIGDSGVGKTCVLFRFSEDAFNSTFISTIGIDFKIRTIELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGIMLVYDITNEKSFDNIRNWIRNIEEHASADVEKMILGNKCDVNDKRQVSKERGEKLALDYGIKFMETSAKANINVENAFFTLARDIKAKMDKKLEGNSPQGSNQGVKITPDQQKRSSFFRCVLL
+>DECOY_sp|P61006|RAB8A_HUMAN Ras-related protein Rab-8A OS=Homo sapiens OX=9606 GN=RAB8A PE=1 SV=1
+LLVCRFFSSRKQQDPTIKVGQNSGQPSNGELKKDMKAKIDRALTFFANEVNINAKASTEMFKIGYDLALKEGREKSVQRKDNVDCKNGLIMKEVDASAHEEINRIWNRINDFSKENTIDYVLMIGMAGRYYATTITRFREQGATDWIQLKIRKGDLEITRIKFDIGITSIFTSNFADESFRFLVCTKGVGSDGILLLKFLYDYTKAM
+>sp|P25105|PTAFR_HUMAN Platelet-activating factor receptor OS=Homo sapiens OX=9606 GN=PTAFR PE=1 SV=1
+MEPHDSSHMDSEFRYTLFPIVYSIIFVLGVIANGYVLWVFARLYPCKKFNEIKIFMVNLTMADMLFLITLPLWIVYYQNQGNWILPKFLCNVAGCLFFINTYCSVAFLGVITYNRFQAVTRPIKTAQANTRKRGISLSLVIWVAIVGAASYFLILDSTNTVPDSAGSGNVTRCFEHYEKGSVPVLIIHIFIVFSFFLVFLIILFCNLVIIRTLLMQPVQQQRNAEVKRRALWMVCTVLAVFIICFVPHHVVQLPWTLAELGFQDSKFHQAINDAHQVTLCLLSTNCVLDPVIYCFLTKKFRKHLTEKFYSMRSSRKCSRATTDTVTEVVVPFNQIPGNSLKN
+>DECOY_sp|P25105|PTAFR_HUMAN Platelet-activating factor receptor OS=Homo sapiens OX=9606 GN=PTAFR PE=1 SV=1
+NKLSNGPIQNFPVVVETVTDTTARSCKRSSRMSYFKETLHKRFKKTLFCYIVPDLVCNTSLLCLTVQHADNIAQHFKSDQFGLEALTWPLQVVHHPVFCIIFVALVTCVMWLARRKVEANRQQQVPQMLLTRIIVLNCFLIILFVLFFSFVIFIHIILVPVSGKEYHEFCRTVNGSGASDPVTNTSDLILFYSAAGVIAVWIVLSLSIGRKRTNAQATKIPRTVAQFRNYTIVGLFAVSCYTNIFFLCGAVNCLFKPLIWNGQNQYYVIWLPLTILFLMDAMTLNVMFIKIENFKKCPYLRAFVWLVYGNAIVGLVFIISYVIPFLTYRFESDMHSSDHPEM
+>sp|P49190|PTH2R_HUMAN Parathyroid hormone 2 receptor OS=Homo sapiens OX=9606 GN=PTH2R PE=1 SV=1
+MAGLGASLHVWGWLMLGSCLLARAQLDSDGTITIEEQIVLVLKAKVQCELNITAQLQEGEGNCFPEWDGLICWPRGTVGKISAVPCPPYIYDFNHKGVAFRHCNPNGTWDFMHSLNKTWANYSDCLRFLQPDISIGKQEFFERLYVMYTVGYSISFGSLAVAILIIGYFRRLHCTRNYIHMHLFVSFMLRATSIFVKDRVVHAHIGVKELESLIMQDDPQNSIEATSVDKSQYIGCKIAVVMFIYFLATNYYWILVEGLYLHNLIFVAFFSDTKYLWGFILIGWGFPAAFVAAWAVARATLADARCWELSAGDIKWIYQAPILAAIGLNFILFLNTVRVLATKIWETNAVGHDTRKQYRKLAKSTLVLVLVFGVHYIVFVCLPHSFTGLGWEIRMHCELFFNSFQGFFVSIIYCYCNGEVQAEVKKMWSRWNLSVDWKRTPPCGSRRCGSVLTTVTHSTSSQSQVAASTRMVLISGKAAKIASRQPDSHITLPGYVWSNSEQDCLPHSFHEETKEDSGRQGDDILMEKPSRPMESNPDTEGCQGETEDVL
+>DECOY_sp|P49190|PTH2R_HUMAN Parathyroid hormone 2 receptor OS=Homo sapiens OX=9606 GN=PTH2R PE=1 SV=1
+LVDETEGQCGETDPNSEMPRSPKEMLIDDGQRGSDEKTEEHFSHPLCDQESNSWVYGPLTIHSDPQRSAIKAAKGSILVMRTSAAVQSQSSTSHTVTTLVSGCRRSGCPPTRKWDVSLNWRSWMKKVEAQVEGNCYCYIISVFFGQFSNFFLECHMRIEWGLGTFSHPLCVFVIYHVGFVLVLVLTSKALKRYQKRTDHGVANTEWIKTALVRVTNLFLIFNLGIAALIPAQYIWKIDGASLEWCRADALTARAVAWAAVFAAPFGWGILIFGWLYKTDSFFAVFILNHLYLGEVLIWYYNTALFYIFMVVAIKCGIYQSKDVSTAEISNQPDDQMILSELEKVGIHAHVVRDKVFISTARLMFSVFLHMHIYNRTCHLRRFYGIILIAVALSGFSISYGVTYMVYLREFFEQKGISIDPQLFRLCDSYNAWTKNLSHMFDWTGNPNCHRFAVGKHNFDYIYPPCPVASIKGVTGRPWCILGDWEPFCNGEGEQLQATINLECQVKAKLVLVIQEEITITGDSDLQARALLCSGLMLWGWVHLSAGLGAM
+>sp|P12272|PTHR_HUMAN Parathyroid hormone-related protein OS=Homo sapiens OX=9606 GN=PTHLH PE=1 SV=1
+MQRRLVQQWSVAVFLLSYAVPSCGRSVEGLSRRLKRAVSEHQLLHDKGKSIQDLRRRFFLHHLIAEIHTAEIRATSEVSPNSKPSPNTKNHPVRFGSDDEGRYLTQETNKVETYKEQPLKTPGKKKKGKPGKRKEQEKKKRRTRSAWLDSGVTGSGLEGDHLSDTSTTSLELDSRRH
+>DECOY_sp|P12272|PTHR_HUMAN Parathyroid hormone-related protein OS=Homo sapiens OX=9606 GN=PTHLH PE=1 SV=1
+HRRSDLELSTTSTDSLHDGELGSGTVGSDLWASRTRRKKKEQEKRKGPKGKKKKGPTKLPQEKYTEVKNTEQTLYRGEDDSGFRVPHNKTNPSPKSNPSVESTARIEATHIEAILHHLFFRRRLDQISKGKDHLLQHESVARKLRRSLGEVSRGCSPVAYSLLFVAVSWQQVLRRQM
+>sp|A2A3K4|PTPC1_HUMAN Protein tyrosine phosphatase domain-containing protein 1 OS=Homo sapiens OX=9606 GN=PTPDC1 PE=1 SV=1
+MAAGVLPQNEQPYSTLVNNSECVANMKGNLERPTPKYTKVGERLRHVIPGHMACSMACGGRACKYENPARWSEQEQAIKGVYSSWVTDNILAMARPSSELLEKYHIIDQFLSHGIKTIINLQRPGEHASCGNPLEQESGFTYLPEAFMEAGIYFYNFGWKDYGVASLTTILDMVKVMTFALQEGKVAIHCHAGLGRTGVLIACYLVFATRMTADQAIIFVRAKRPNSIQTRGQLLCVREFTQFLTPLRNIFSCCDPKAHAVTLPQYLIRQRHLLHGYEARLLKHVPKIIHLVCKLLLDLAENRPVMMKDVSEGPGLSAEIEKTMSEMVTMQLDKELLRHDSDVSNPPNPTAVAADFDNRGMIFSNEQQFDPLWKRRNVECLQPLTHLKRRLSYSDSDLKRAENLLEQGETPQTVPAQILVGHKPRQQKLISHCYIPQSPEPDLHKEALVRSTLSFWSQSKFGGLEGLKDNGSPIFHGRIIPKEAQQSGAFSADVSGSHSPGEPVSPSFANVHKDPNPAHQQVSHCQCKTHGVGSPGSVRQNSRTPRSPLDCGSSPKAQFLVEHETQDSKDLSEAASHSALQSELSAEARRILAAKALANLNESVEKEELKRKVEMWQKELNSRDGAWERICGERDPFILCSLMWSWVEQLKEPVITKEDVDMLVDRRADAAEALFLLEKGQHQTILCVLHCIVNLQTIPVDVEEAFLAHAIKAFTKVNFDSENGPTVYNTLKKIFKHTLEEKRKMTKDGPKPGL
+>DECOY_sp|A2A3K4|PTPC1_HUMAN Protein tyrosine phosphatase domain-containing protein 1 OS=Homo sapiens OX=9606 GN=PTPDC1 PE=1 SV=1
+LGPKPGDKTMKRKEELTHKFIKKLTNYVTPGNESDFNVKTFAKIAHALFAEEVDVPITQLNVICHLVCLITQHQGKELLFLAEAADARRDVLMDVDEKTIVPEKLQEVWSWMLSCLIFPDREGCIREWAGDRSNLEKQWMEVKRKLEEKEVSENLNALAKAALIRRAEASLESQLASHSAAESLDKSDQTEHEVLFQAKPSSGCDLPSRPTRSNQRVSGPSGVGHTKCQCHSVQQHAPNPDKHVNAFSPSVPEGPSHSGSVDASFAGSQQAEKPIIRGHFIPSGNDKLGELGGFKSQSWFSLTSRVLAEKHLDPEPSQPIYCHSILKQQRPKHGVLIQAPVTQPTEGQELLNEARKLDSDSYSLRRKLHTLPQLCEVNRRKWLPDFQQENSFIMGRNDFDAAVATPNPPNSVDSDHRLLEKDLQMTVMESMTKEIEASLGPGESVDKMMVPRNEALDLLLKCVLHIIKPVHKLLRAEYGHLLHRQRILYQPLTVAHAKPDCCSFINRLPTLFQTFERVCLLQGRTQISNPRKARVFIIAQDATMRTAFVLYCAILVGTRGLGAHCHIAVKGEQLAFTMVKVMDLITTLSAVGYDKWGFNYFYIGAEMFAEPLYTFGSEQELPNGCSAHEGPRQLNIITKIGHSLFQDIIHYKELLESSPRAMALINDTVWSSYVGKIAQEQESWRAPNEYKCARGGCAMSCAMHGPIVHRLREGVKTYKPTPRELNGKMNAVCESNNVLTSYPQENQPLVGAAM
+>sp|Q8WUK0|PTPM1_HUMAN Phosphatidylglycerophosphatase and protein-tyrosine phosphatase 1 OS=Homo sapiens OX=9606 GN=PTPMT1 PE=1 SV=1
+MAATALLEAGLARVLFYPTLLYTLFRGKVPGRAHRDWYHRIDPTVLLGALPLRSLTRQLVQDENVRGVITMNEEYETRFLCNSSQEWKRLGVEQLRLSTVDMTGIPTLDNLQKGVQFALKYQSLGQCVYVHCKAGRSRSATMVAAYLIQVHKWSPEEAVRAIAKIRSYIHIRPGQLDVLKEFHKQITARATKDGTFVISKT
+>DECOY_sp|Q8WUK0|PTPM1_HUMAN Phosphatidylglycerophosphatase and protein-tyrosine phosphatase 1 OS=Homo sapiens OX=9606 GN=PTPMT1 PE=1 SV=1
+TKSIVFTGDKTARATIQKHFEKLVDLQGPRIHIYSRIKAIARVAEEPSWKHVQILYAAVMTASRSRGAKCHVYVCQGLSQYKLAFQVGKQLNDLTPIGTMDVTSLRLQEVGLRKWEQSSNCLFRTEYEENMTIVGRVNEDQVLQRTLSRLPLAGLLVTPDIRHYWDRHARGPVKGRFLTYLLTPYFLVRALGAELLATAAM
+>sp|P18433|PTPRA_HUMAN Receptor-type tyrosine-protein phosphatase alpha OS=Homo sapiens OX=9606 GN=PTPRA PE=1 SV=3
+MDSWFILVLLGSGLICVSANNATTVAPSVGITRLINSSTAEPVKEEAKTSNPTSSLTSLSVAPTFSPNITLGPTYLTTVNSSDSDNGTTRTASTNSIGITISPNGTWLPDNQFTDARTEPWEGNSSTAATTPETFPPSGNSDSKDRRDETPIIAVMVALSSLLVIVFIIIVLYMLRFKKYKQAGSHSNSFRLSNGRTEDVEPQSVPLLARSPSTNRKYPPLPVDKLEEEINRRMADDNKLFREEFNALPACPIQATCEAASKEENKEKNRYVNILPYDHSRVHLTPVEGVPDSDYINASFINGYQEKNKFIAAQGPKEETVNDFWRMIWEQNTATIVMVTNLKERKECKCAQYWPDQGCWTYGNIRVSVEDVTVLVDYTVRKFCIQQVGDMTNRKPQRLITQFHFTSWPDFGVPFTPIGMLKFLKKVKACNPQYAGAIVVHCSAGVGRTGTFVVIDAMLDMMHTERKVDVYGFVSRIRAQRCQMVQTDMQYVFIYQALLEHYLYGDTELEVTSLETHLQKIYNKIPGTSNNGLEEEFKKLTSIKIQNDKMRTGNLPANMKKNRVLQIIPYEFNRVIIPVKRGEENTDYVNASFIDGYRQKDSYIASQGPLLHTIEDFWRMIWEWKSCSIVMLTELEERGQEKCAQYWPSDGLVSYGDITVELKKEEECESYTVRDLLVTNTRENKSRQIRQFHFHGWPEVGIPSDGKGMISIIAAVQKQQQQSGNHPITVHCSAGAGRTGTFCALSTVLERVKAEGILDVFQTVKSLRLQRPHMVQTLEQYEFCYKVVQEYIDAFSDYANFK
+>DECOY_sp|P18433|PTPRA_HUMAN Receptor-type tyrosine-protein phosphatase alpha OS=Homo sapiens OX=9606 GN=PTPRA PE=1 SV=3
+KFNAYDSFADIYEQVVKYCFEYQELTQVMHPRQLRLSKVTQFVDLIGEAKVRELVTSLACFTGTRGAGASCHVTIPHNGSQQQQKQVAAIISIMGKGDSPIGVEPWGHFHFQRIQRSKNERTNTVLLDRVTYSECEEEKKLEVTIDGYSVLGDSPWYQACKEQGREELETLMVISCSKWEWIMRWFDEITHLLPGQSAIYSDKQRYGDIFSANVYDTNEEGRKVPIIVRNFEYPIIQLVRNKKMNAPLNGTRMKDNQIKISTLKKFEEELGNNSTGPIKNYIKQLHTELSTVELETDGYLYHELLAQYIFVYQMDTQVMQCRQARIRSVFGYVDVKRETHMMDLMADIVVFTGTRGVGASCHVVIAGAYQPNCAKVKKLFKLMGIPTFPVGFDPWSTFHFQTILRQPKRNTMDGVQQICFKRVTYDVLVTVDEVSVRINGYTWCGQDPWYQACKCEKREKLNTVMVITATNQEWIMRWFDNVTEEKPGQAAIFKNKEQYGNIFSANIYDSDPVGEVPTLHVRSHDYPLINVYRNKEKNEEKSAAECTAQIPCAPLANFEERFLKNDDAMRRNIEEELKDVPLPPYKRNTSPSRALLPVSQPEVDETRGNSLRFSNSHSGAQKYKKFRLMYLVIIIFVIVLLSSLAVMVAIIPTEDRRDKSDSNGSPPFTEPTTAATSSNGEWPETRADTFQNDPLWTGNPSITIGISNTSATRTTGNDSDSSNVTTLYTPGLTINPSFTPAVSLSTLSSTPNSTKAEEKVPEATSSNILRTIGVSPAVTTANNASVCILGSGLLVLIFWSDM
+>sp|P08575|PTPRC_HUMAN Receptor-type tyrosine-protein phosphatase C OS=Homo sapiens OX=9606 GN=PTPRC PE=1 SV=3
+MTMYLWLKLLAFGFAFLDTEVFVTGQSPTPSPTGLTTAKMPSVPLSSDPLPTHTTAFSPASTFERENDFSETTTSLSPDNTSTQVSPDSLDNASAFNTTGVSSVQTPHLPTHADSQTPSAGTDTQTFSGSAANAKLNPTPGSNAISDVPGERSTASTFPTDPVSPLTTTLSLAHHSSAALPARTSNTTITANTSDAYLNASETTTLSPSGSAVISTTTIATTPSKPTCDEKYANITVDYLYNKETKLFTAKLNVNENVECGNNTCTNNEVHNLTECKNASVSISHNSCTAPDKTLILDVPPGVEKFQLHDCTQVEKADTTICLKWKNIETFTCDTQNITYRFQCGNMIFDNKEIKLENLEPEHEYKCDSEILYNNHKFTNASKIIKTDFGSPGEPQIIFCRSEAAHQGVITWNPPQRSFHNFTLCYIKETEKDCLNLDKNLIKYDLQNLKPYTKYVLSLHAYIIAKVQRNGSAAMCHFTTKSAPPSQVWNMTVSMTSDNSMHVKCRPPRDRNGPHERYHLEVEAGNTLVRNESHKNCDFRVKDLQYSTDYTFKAYFHNGDYPGEPFILHHSTSYNSKALIAFLAFLIIVTSIALLVVLYKIYDLHKKRSCNLDEQQELVERDDEKQLMNVEPIHADILLETYKRKIADEGRLFLAEFQSIPRVFSKFPIKEARKPFNQNKNRYVDILPYDYNRVELSEINGDAGSNYINASYIDGFKEPRKYIAAQGPRDETVDDFWRMIWEQKATVIVMVTRCEEGNRNKCAEYWPSMEEGTRAFGDVVVKINQHKRCPDYIIQKLNIVNKKEKATGREVTHIQFTSWPDHGVPEDPHLLLKLRRRVNAFSNFFSGPIVVHCSAGVGRTGTYIGIDAMLEGLEAENKVDVYGYVVKLRRQRCLMVQVEAQYILIHQALVEYNQFGETEVNLSELHPYLHNMKKRDPPSEPSPLEAEFQRLPSYRSWRTQHIGNQEENKSKNRNSNVIPYDYNRVPLKHELEMSKESEHDSDESSDDDSDSEEPSKYINASFIMSYWKPEVMIAAQGPLKETIGDFWQMIFQRKVKVIVMLTELKHGDQEICAQYWGEGKQTYGDIEVDLKDTDKSSTYTLRVFELRHSKRKDSRTVYQYQYTNWSVEQLPAEPKELISMIQVVKQKLPQKNSSEGNKHHKSTPLLIHCRDGSQQTGIFCALLNLLESAETEEVVDIFQVVKALRKARPGMVSTFEQYQFLYDVIASTYPAQNGQVKKNNHQEDKIEFDNEVDKVKQDANCVNPLGAPEKLPEAKEQAEGSEPTSGTEGPEHSVNGPASPALNQGS
+>DECOY_sp|P08575|PTPRC_HUMAN Receptor-type tyrosine-protein phosphatase C OS=Homo sapiens OX=9606 GN=PTPRC PE=1 SV=3
+SGQNLAPSAPGNVSHEPGETGSTPESGEAQEKAEPLKEPAGLPNVCNADQKVKDVENDFEIKDEQHNNKKVQGNQAPYTSAIVDYLFQYQEFTSVMGPRAKRLAKVVQFIDVVEETEASELLNLLACFIGTQQSGDRCHILLPTSKHHKNGESSNKQPLKQKVVQIMSILEKPEAPLQEVSWNTYQYQYVTRSDKRKSHRLEFVRLTYTSSKDTDKLDVEIDGYTQKGEGWYQACIEQDGHKLETLMVIVKVKRQFIMQWFDGITEKLPGQAAIMVEPKWYSMIFSANIYKSPEESDSDDDSSEDSDHESEKSMELEHKLPVRNYDYPIVNSNRNKSKNEEQNGIHQTRWSRYSPLRQFEAELPSPESPPDRKKMNHLYPHLESLNVETEGFQNYEVLAQHILIYQAEVQVMLCRQRRLKVVYGYVDVKNEAELGELMADIGIYTGTRGVGASCHVVIPGSFFNSFANVRRRLKLLLHPDEPVGHDPWSTFQIHTVERGTAKEKKNVINLKQIIYDPCRKHQNIKVVVDGFARTGEEMSPWYEACKNRNGEECRTVMVIVTAKQEWIMRWFDDVTEDRPGQAAIYKRPEKFGDIYSANIYNSGADGNIESLEVRNYDYPLIDVYRNKNQNFPKRAEKIPFKSFVRPISQFEALFLRGEDAIKRKYTELLIDAHIPEVNMLQKEDDREVLEQQEDLNCSRKKHLDYIKYLVVLLAISTVIILFALFAILAKSNYSTSHHLIFPEGPYDGNHFYAKFTYDTSYQLDKVRFDCNKHSENRVLTNGAEVELHYREHPGNRDRPPRCKVHMSNDSTMSVTMNWVQSPPASKTTFHCMAASGNRQVKAIIYAHLSLVYKTYPKLNQLDYKILNKDLNLCDKETEKIYCLTFNHFSRQPPNWTIVGQHAAESRCFIIQPEGPSGFDTKIIKSANTFKHNNYLIESDCKYEHEPELNELKIEKNDFIMNGCQFRYTINQTDCTFTEINKWKLCITTDAKEVQTCDHLQFKEVGPPVDLILTKDPATCSNHSISVSANKCETLNHVENNTCTNNGCEVNENVNLKATFLKTEKNYLYDVTINAYKEDCTPKSPTTAITTTSIVASGSPSLTTTESANLYADSTNATITTNSTRAPLAASSHHALSLTTTLPSVPDTPFTSATSREGPVDSIANSGPTPNLKANAASGSFTQTDTGASPTQSDAHTPLHPTQVSSVGTTNFASANDLSDPSVQTSTNDPSLSTTTESFDNEREFTSAPSFATTHTPLPDSSLPVSPMKATTLGTPSPTPSQGTVFVETDLFAFGFALLKLWLYMTM
+>sp|Q9HD43|PTPRH_HUMAN Receptor-type tyrosine-protein phosphatase H OS=Homo sapiens OX=9606 GN=PTPRH PE=1 SV=4
+MAGAGGGLGVWGNLVLLGLCSWTGARAPAPNPGRNLTVETQTTSSISLSWEVPDGLDSQNSNYWVQCTGDGGTTETRNTTATNVTVDGLGPGSLYTCSVWVEKDGVNSSVGTVTTATAPNPVRNLRVEAQTNSSIALTWEVPDGPDPQNSTYGVEYTGDGGRAGTRSTAHTNITVDGLEPGCLYAFSMWVGKNGINSSRETRNATTAHNPVRNLRVEAQTTSSISLSWEVPDGTDPQNSTYCVQCTGDGGRTETRNTTDTRVTVDGLGPGSLYTCSVWVEKDGVNSSVEIVTSATAPNPVRNLTVEAQTNSSIALTWEVPDGPDPQNSTYGVEYTGDGGRAGTRSTAHTNITVDRLEPGCLYVFSVWVGKNGINSSRETRNATTAPNPVRNLHMETQTNSSIALCWEVPDGPYPQDYTYWVEYTGDGGGTETRNTTNTSVTAERLEPGTLYTFSVWAEKNGARGSRQNVSISTVPNAVTSLSKQDWTNSTIALRWTAPQGPGQSSYSYWVSWVREGMTDPRTQSTSGTDITLKELEAGSLYHLTVWAERNEVRGYNSTLTAATAPNEVTDLQNETQTKNSVMLWWKAPGDPHSQLYVYWVQWASKGHPRRGQDPQANWVNQTSRTNETWYKVEALEPGTLYNFTVWAERNDVASSTQSLCASTYPDTVTITSCVSTSAGYGVNLIWSCPQGGYEAFELEVGGQRGSQDRSSCGEAVSVLGLGPARSYPATITTIWDGMKVVSHSVVCHTESAGVIAGAFVGILLFLILVGLLIFFLKRRNKKKQQKPELRDLVFSSPGDIPAEDFADHVRKNERDSNCGFADEYQQLSLVGHSQSQMVASASENNAKNRYRNVLPYDWSRVPLKPIHEEPGSDYINASFMPGLWSPQEFIATQGPLPQTVGDFWRLVWEQQSHTLVMLTNCMEAGRVKCEHYWPLDSQPCTHGHLRVTLVGEEVMENWTVRELLLLQVEEQKTLSVRQFHYQAWPDHGVPSSPDTLLAFWRMLRQWLDQTMEGGPPIVHCSAGVGRTGTLIALDVLLRQLQSEGLLGPFSFVRKMRESRPLMVQTEAQYVFLHQCILRFLQQSAQAPAEKEVPYEDVENLIYENVAAIQAHKLEV
+>DECOY_sp|Q9HD43|PTPRH_HUMAN Receptor-type tyrosine-protein phosphatase H OS=Homo sapiens OX=9606 GN=PTPRH PE=1 SV=4
+VELKHAQIAAVNEYILNEVDEYPVEKEAPAQASQQLFRLICQHLFVYQAETQVMLPRSERMKRVFSFPGLLGESQLQRLLVDLAILTGTRGVGASCHVIPPGGEMTQDLWQRLMRWFALLTDPSSPVGHDPWAQYHFQRVSLTKQEEVQLLLLERVTWNEMVEEGVLTVRLHGHTCPQSDLPWYHECKVRGAEMCNTLMVLTHSQQEWVLRWFDGVTQPLPGQTAIFEQPSWLGPMFSANIYDSGPEEHIPKLPVRSWDYPLVNRYRNKANNESASAVMQSQSHGVLSLQQYEDAFGCNSDRENKRVHDAFDEAPIDGPSSFVLDRLEPKQQKKKNRRKLFFILLGVLILFLLIGVFAGAIVGASETHCVVSHSVVKMGDWITTITAPYSRAPGLGLVSVAEGCSSRDQSGRQGGVELEFAEYGGQPCSWILNVGYGASTSVCSTITVTDPYTSACLSQTSSAVDNREAWVTFNYLTGPELAEVKYWTENTRSTQNVWNAQPDQGRRPHGKSAWQVWYVYLQSHPDGPAKWWLMVSNKTQTENQLDTVENPATAATLTSNYGRVENREAWVTLHYLSGAELEKLTIDTGSTSQTRPDTMGERVWSVWYSYSSQGPGQPATWRLAITSNTWDQKSLSTVANPVTSISVNQRSGRAGNKEAWVSFTYLTGPELREATVSTNTTNRTETGGGDGTYEVWYTYDQPYPGDPVEWCLAISSNTQTEMHLNRVPNPATTANRTERSSNIGNKGVWVSFVYLCGPELRDVTINTHATSRTGARGGDGTYEVGYTSNQPDPGDPVEWTLAISSNTQAEVTLNRVPNPATASTVIEVSSNVGDKEVWVSCTYLSGPGLGDVTVRTDTTNRTETRGGDGTCQVCYTSNQPDTGDPVEWSLSISSTTQAEVRLNRVPNHATTANRTERSSNIGNKGVWMSFAYLCGPELGDVTINTHATSRTGARGGDGTYEVGYTSNQPDPGDPVEWTLAISSNTQAEVRLNRVPNPATATTVTGVSSNVGDKEVWVSCTYLSGPGLGDVTVNTATTNRTETTGGDGTCQVWYNSNQSDLGDPVEWSLSISSTTQTEVTLNRGPNPAPARAGTWSCLGLLVLNGWVGLGGGAGAM
+>sp|Q12913|PTPRJ_HUMAN Receptor-type tyrosine-protein phosphatase eta OS=Homo sapiens OX=9606 GN=PTPRJ PE=1 SV=3
+MKPAAREARLPPRSPGLRWALPLLLLLLRLGQILCAGGTPSPIPDPSVATVATGENGITQISSTAESFHKQNGTGTPQVETNTSEDGESSGANDSLRTPEQGSNGTDGASQKTPSSTGPSPVFDIKAVSISPTNVILTWKSNDTAASEYKYVVKHKMENEKTITVVHQPWCNITGLRPATSYVFSITPGIGNETWGDPRVIKVITEPIPVSDLRVALTGVRKAALSWSNGNGTASCRVLLESIGSHEELTQDSRLQVNISGLKPGVQYNINPYLLQSNKTKGDPLGTEGGLDASNTERSRAGSPTAPVHDESLVGPVDPSSGQQSRDTEVLLVGLEPGTRYNATVYSQAANGTEGQPQAIEFRTNAIQVFDVTAVNISATSLTLIWKVSDNESSSNYTYKIHVAGETDSSNLNVSEPRAVIPGLRSSTFYNITVCPVLGDIEGTPGFLQVHTPPVPVSDFRVTVVSTTEIGLAWSSHDAESFQMHITQEGAGNSRVEITTNQSIIIGGLFPGTKYCFEIVPKGPNGTEGASRTVCNRTVPSAVFDIHVVYVTTTEMWLDWKSPDGASEYVYHLVIESKHGSNHTSTYDKAITLQGLIPGTLYNITISPEVDHVWGDPNSTAQYTRPSNVSNIDVSTNTTAATLSWQNFDDASPTYSYCLLIEKAGNSSNATQVVTDIGITDATVTELIPGSSYTVEIFAQVGDGIKSLEPGRKSFCTDPASMASFDCEVVPKEPALVLKWTCPPGANAGFELEVSSGAWNNATHLESCSSENGTEYRTEVTYLNFSTSYNISITTVSCGKMAAPTRNTCTTGITDPPPPDGSPNITSVSHNSVKVKFSGFEASHGPIKAYAVILTTGEAGHPSADVLKYTYEDFKKGASDTYVTYLIRTEEKGRSQSLSEVLKYEIDVGNESTTLGYYNGKLEPLGSYRACVAGFTNITFHPQNKGLIDGAESYVSFSRYSDAVSLPQDPGVICGAVFGCIFGALVIVTVGGFIFWRKKRKDAKNNEVSFSQIKPKKSKLIRVENFEAYFKKQQADSNCGFAEEYEDLKLVGISQPKYAAELAENRGKNRYNNVLPYDISRVKLSVQTHSTDDYINANYMPGYHSKKDFIATQGPLPNTLKDFWRMVWEKNVYAIIMLTKCVEQGRTKCEEYWPSKQAQDYGDITVAMTSEIVLPEWTIRDFTVKNIQTSESHPLRQFHFTSWPDHGVPDTTDLLINFRYLVRDYMKQSPPESPILVHCSAGVGRTGTFIAIDRLIYQIENENTVDVYGIVYDLRMHRPLMVQTEDQYVFLNQCVLDIVRSQKDSKVDLIYQNTTAMTIYENLAPVTTFGKTNGYIA
+>DECOY_sp|Q12913|PTPRJ_HUMAN Receptor-type tyrosine-protein phosphatase eta OS=Homo sapiens OX=9606 GN=PTPRJ PE=1 SV=3
+AIYGNTKGFTTVPALNEYITMATTNQYILDVKSDKQSRVIDLVCQNLFVYQDETQVMLPRHMRLDYVIGYVDVTNENEIQYILRDIAIFTGTRGVGASCHVLIPSEPPSQKMYDRVLYRFNILLDTTDPVGHDPWSTFHFQRLPHSESTQINKVTFDRITWEPLVIESTMAVTIDGYDQAQKSPWYEECKTRGQEVCKTLMIIAYVNKEWVMRWFDKLTNPLPGQTAIFDKKSHYGPMYNANIYDDTSHTQVSLKVRSIDYPLVNNYRNKGRNEALEAAYKPQSIGVLKLDEYEEAFGCNSDAQQKKFYAEFNEVRILKSKKPKIQSFSVENNKADKRKKRWFIFGGVTVIVLAGFICGFVAGCIVGPDQPLSVADSYRSFSVYSEAGDILGKNQPHFTINTFGAVCARYSGLPELKGNYYGLTTSENGVDIEYKLVESLSQSRGKEETRILYTVYTDSAGKKFDEYTYKLVDASPHGAEGTTLIVAYAKIPGHSAEFGSFKVKVSNHSVSTINPSGDPPPPDTIGTTCTNRTPAAMKGCSVTTISINYSTSFNLYTVETRYETGNESSCSELHTANNWAGSSVELEFGANAGPPCTWKLVLAPEKPVVECDFSAMSAPDTCFSKRGPELSKIGDGVQAFIEVTYSSGPILETVTADTIGIDTVVQTANSSNGAKEILLCYSYTPSADDFNQWSLTAATTNTSVDINSVNSPRTYQATSNPDGWVHDVEPSITINYLTGPILGQLTIAKDYTSTHNSGHKSEIVLHYVYESAGDPSKWDLWMETTTVYVVHIDFVASPVTRNCVTRSAGETGNPGKPVIEFCYKTGPFLGGIIISQNTTIEVRSNGAGEQTIHMQFSEADHSSWALGIETTSVVTVRFDSVPVPPTHVQLFGPTGEIDGLVPCVTINYFTSSRLGPIVARPESVNLNSSDTEGAVHIKYTYNSSSENDSVKWILTLSTASINVATVDFVQIANTRFEIAQPQGETGNAAQSYVTANYRTGPELGVLLVETDRSQQGSSPDVPGVLSEDHVPATPSGARSRETNSADLGGETGLPDGKTKNSQLLYPNINYQVGPKLGSINVQLRSDQTLEEHSGISELLVRCSATGNGNSWSLAAKRVGTLAVRLDSVPIPETIVKIVRPDGWTENGIGPTISFVYSTAPRLGTINCWPQHVVTITKENEMKHKVVYKYESAATDNSKWTLIVNTPSISVAKIDFVPSPGTSSPTKQSAGDTGNSGQEPTRLSDNAGSSEGDESTNTEVQPTGTGNQKHFSEATSSIQTIGNEGTAVTAVSPDPIPSPTGGACLIQGLRLLLLLLPLAWRLGPSRPPLRAERAAPKM
+>sp|P28827|PTPRM_HUMAN Receptor-type tyrosine-protein phosphatase mu OS=Homo sapiens OX=9606 GN=PTPRM PE=1 SV=2
+MRGLGTCLATLAGLLLTAAGETFSGGCLFDEPYSTCGYSQSEGDDFNWEQVNTLTKPTSDPWMPSGSFMLVNASGRPEGQRAHLLLPQLKENDTHCIDFHYFVSSKSNSPPGLLNVYVKVNNGPLGNPIWNISGDPTRTWNRAELAISTFWPNFYQVIFEVITSGHQGYLAIDEVKVLGHPCTRTPHFLRIQNVEVNAGQFATFQCSAIGRTVAGDRLWLQGIDVRDAPLKEIKVTSSRRFIASFNVVNTTKRDAGKYRCMIRTEGGVGISNYAELVVKEPPVPIAPPQLASVGATYLWIQLNANSINGDGPIVAREVEYCTASGSWNDRQPVDSTSYKIGHLDPDTEYEISVLLTRPGEGGTGSPGPALRTRTKCADPMRGPRKLEVVEVKSRQITIRWEPFGYNVTRCHSYNLTVHYCYQVGGQEQVREEVSWDTENSHPQHTITNLSPYTNVSVKLILMNPEGRKESQELIVQTDEDLPGAVPTESIQGSTFEEKIFLQWREPTQTYGVITLYEITYKAVSSFDPEIDLSNQSGRVSKLGNETHFLFFGLYPGTTYSFTIRASTAKGFGPPATNQFTTKISAPSMPAYELETPLNQTDNTVTVMLKPAHSRGAPVSVYQIVVEEERPRRTKKTTEILKCYPVPIHFQNASLLNSQYYFAAEFPADSLQAAQPFTIGDNKTYNGYWNTPLLPYKSYRIYFQAASRANGETKIDCVQVATKGAATPKPVPEPEKQTDHTVKIAGVIAGILLFVIIFLGVVLVMKKRKLAKKRKETMSSTRQEMTVMVNSMDKSYAEQGTNCDEAFSFMDTHNLNGRSVSSPSSFTMKTNTLSTSVPNSYYPDETHTMASDTSSLVQSHTYKKREPADVPYQTGQLHPAIRVADLLQHITQMKCAEGYGFKEEYESFFEGQSAPWDSAKKDENRMKNRYGNIIAYDHSRVRLQTIEGDTNSDYINGNYIDGYHRPNHYIATQGPMQETIYDFWRMVWHENTASIIMVTNLVEVGRVKCCKYWPDDTEIYKDIKVTLIETELLAEYVIRTFAVEKRGVHEIREIRQFHFTGWPDHGVPYHATGLLGFVRQVKSKSPPSAGPLVVHCSAGAGRTGCFIVIDIMLDMAEREGVVDIYNCVRELRSRRVNMVQTEEQYVFIHDAILEACLCGDTSVPASQVRSLYYDMNKLDPQTNSSQIKEEFRTLNMVTPTLRVEDCSIALLPRNHEKNRCMDILPPDRCLPFLITIDGESSNYINAALMDSYKQPSAFIVTQHPLPNTVKDFWRLVLDYHCTSVVMLNDVDPAQLCPQYWPENGVHRHGPIQVEFVSADLEEDIISRIFRIYNAARPQDGYRMVQQFQFLGWPMYRDTPVSKRSFLKLIRQVDKWQEEYNGGEGRTVVHCLNGGGRSGTFCAISIVCEMLRHQRTVDVFHAVKTLRNNKPNMVDLLDQYKFCYEVALEYLNSG
+>DECOY_sp|P28827|PTPRM_HUMAN Receptor-type tyrosine-protein phosphatase mu OS=Homo sapiens OX=9606 GN=PTPRM PE=1 SV=2
+GSNLYELAVEYCFKYQDLLDVMNPKNNRLTKVAHFVDVTRQHRLMECVISIACFTGSRGGGNLCHVVTRGEGGNYEEQWKDVQRILKLFSRKSVPTDRYMPWGLFQFQQVMRYGDQPRAANYIRFIRSIIDEELDASVFEVQIPGHRHVGNEPWYQPCLQAPDVDNLMVVSTCHYDLVLRWFDKVTNPLPHQTVIFASPQKYSDMLAANIYNSSEGDITILFPLCRDPPLIDMCRNKEHNRPLLAISCDEVRLTPTVMNLTRFEEKIQSSNTQPDLKNMDYYLSRVQSAPVSTDGCLCAELIADHIFVYQEETQVMNVRRSRLERVCNYIDVVGEREAMDLMIDIVIFCGTRGAGASCHVVLPGASPPSKSKVQRVFGLLGTAHYPVGHDPWGTFHFQRIERIEHVGRKEVAFTRIVYEALLETEILTVKIDKYIETDDPWYKCCKVRGVEVLNTVMIISATNEHWVMRWFDYITEQMPGQTAIYHNPRHYGDIYNGNIYDSNTDGEITQLRVRSHDYAIINGYRNKMRNEDKKASDWPASQGEFFSEYEEKFGYGEACKMQTIHQLLDAVRIAPHLQGTQYPVDAPERKKYTHSQVLSSTDSAMTHTEDPYYSNPVSTSLTNTKMTFSSPSSVSRGNLNHTDMFSFAEDCNTGQEAYSKDMSNVMVTMEQRTSSMTEKRKKALKRKKMVLVVGLFIIVFLLIGAIVGAIKVTHDTQKEPEPVPKPTAAGKTAVQVCDIKTEGNARSAAQFYIRYSKYPLLPTNWYGNYTKNDGITFPQAAQLSDAPFEAAFYYQSNLLSANQFHIPVPYCKLIETTKKTRRPREEEVVIQYVSVPAGRSHAPKLMVTVTNDTQNLPTELEYAPMSPASIKTTFQNTAPPGFGKATSARITFSYTTGPYLGFFLFHTENGLKSVRGSQNSLDIEPDFSSVAKYTIEYLTIVGYTQTPERWQLFIKEEFTSGQISETPVAGPLDEDTQVILEQSEKRGEPNMLILKVSVNTYPSLNTITHQPHSNETDWSVEERVQEQGGVQYCYHVTLNYSHCRTVNYGFPEWRITIQRSKVEVVELKRPGRMPDACKTRTRLAPGPSGTGGEGPRTLLVSIEYETDPDLHGIKYSTSDVPQRDNWSGSATCYEVERAVIPGDGNISNANLQIWLYTAGVSALQPPAIPVPPEKVVLEAYNSIGVGGETRIMCRYKGADRKTTNVVNFSAIFRRSSTVKIEKLPADRVDIGQLWLRDGAVTRGIASCQFTAFQGANVEVNQIRLFHPTRTCPHGLVKVEDIALYGQHGSTIVEFIVQYFNPWFTSIALEARNWTRTPDGSINWIPNGLPGNNVKVYVNLLGPPSNSKSSVFYHFDICHTDNEKLQPLLLHARQGEPRGSANVLMFSGSPMWPDSTPKTLTNVQEWNFDDGESQSYGCTSYPEDFLCGGSFTEGAATLLLGALTALCTGLGRM
+>sp|Q16849|PTPRN_HUMAN Receptor-type tyrosine-protein phosphatase-like N OS=Homo sapiens OX=9606 GN=PTPRN PE=1 SV=1
+MRRPRRPGGLGGSGGLRLLLCLLLLSSRPGGCSAVSAHGCLFDRRLCSHLEVCIQDGLFGQCQVGVGQARPLLQVTSPVLQRLQGVLRQLMSQGLSWHDDLTQYVISQEMERIPRLRPPEPRPRDRSGLAPKRPGPAGELLLQDIPTGSAPAAQHRLPQPPVGKGGAGASSSLSPLQAELLPPLLEHLLLPPQPPHPSLSYEPALLQPYLFHQFGSRDGSRVSEGSPGMVSVGPLPKAEAPALFSRTASKGIFGDHPGHSYGDLPGPSPAQLFQDSGLLYLAQELPAPSRARVPRLPEQGSSSRAEDSPEGYEKEGLGDRGEKPASPAVQPDAALQRLAAVLAGYGVELRQLTPEQLSTLLTLLQLLPKGAGRNPGGVVNVGADIKKTMEGPVEGRDTAELPARTSPMPGHPTASPTSSEVQQVPSPVSSEPPKAARPPVTPVLLEKKSPLGQSQPTVAGQPSARPAAEEYGYIVTDQKPLSLAAGVKLLEILAEHVHMSSGSFINISVVGPALTFRIRHNEQNLSLADVTQQAGLVKSELEAQTGLQILQTGVGQREEAAAVLPQTAHSTSPMRSVLLTLVALAGVAGLLVALAVALCVRQHARQQDKERLAALGPEGAHGDTTFEYQDLCRQHMATKSLFNRAEGPPEPSRVSSVSSQFSDAAQASPSSHSSTPSWCEEPAQANMDISTGHMILAYMEDHLRNRDRLAKEWQALCAYQAEPNTCATAQGEGNIKKNRHPDFLPYDHARIKLKVESSPSRSDYINASPIIEHDPRMPAYIATQGPLSHTIADFWQMVWESGCTVIVMLTPLVEDGVKQCDRYWPDEGASLYHVYEVNLVSEHIWCEDFLVRSFYLKNVQTQETRTLTQFHFLSWPAEGTPASTRPLLDFRRKVNKCYRGRSCPIIVHCSDGAGRTGTYILIDMVLNRMAKGVKEIDIAATLEHVRDQRPGLVRSKDQFEFALTAVAEEVNAILKALPQ
+>DECOY_sp|Q16849|PTPRN_HUMAN Receptor-type tyrosine-protein phosphatase-like N OS=Homo sapiens OX=9606 GN=PTPRN PE=1 SV=1
+QPLAKLIANVEEAVATLAFEFQDKSRVLGPRQDRVHELTAAIDIEKVGKAMRNLVMDILIYTGTRGAGDSCHVIIPCSRGRYCKNVKRRFDLLPRTSAPTGEAPWSLFHFQTLTRTEQTQVNKLYFSRVLFDECWIHESVLNVEYVHYLSAGEDPWYRDCQKVGDEVLPTLMVIVTCGSEWVMQWFDAITHSLPGQTAIYAPMRPDHEIIPSANIYDSRSPSSEVKLKIRAHDYPLFDPHRNKKINGEGQATACTNPEAQYACLAQWEKALRDRNRLHDEMYALIMHGTSIDMNAQAPEECWSPTSSHSSPSAQAADSFQSSVSSVRSPEPPGEARNFLSKTAMHQRCLDQYEFTTDGHAGEPGLAALREKDQQRAHQRVCLAVALAVLLGAVGALAVLTLLVSRMPSTSHATQPLVAAAEERQGVGTQLIQLGTQAELESKVLGAQQTVDALSLNQENHRIRFTLAPGVVSINIFSGSSMHVHEALIELLKVGAALSLPKQDTVIYGYEEAAPRASPQGAVTPQSQGLPSKKELLVPTVPPRAAKPPESSVPSPVQQVESSTPSATPHGPMPSTRAPLEATDRGEVPGEMTKKIDAGVNVVGGPNRGAGKPLLQLLTLLTSLQEPTLQRLEVGYGALVAALRQLAADPQVAPSAPKEGRDGLGEKEYGEPSDEARSSSGQEPLRPVRARSPAPLEQALYLLGSDQFLQAPSPGPLDGYSHGPHDGFIGKSATRSFLAPAEAKPLPGVSVMGPSGESVRSGDRSGFQHFLYPQLLAPEYSLSPHPPQPPLLLHELLPPLLEAQLPSLSSSAGAGGKGVPPQPLRHQAAPASGTPIDQLLLEGAPGPRKPALGSRDRPRPEPPRLRPIREMEQSIVYQTLDDHWSLGQSMLQRLVGQLRQLVPSTVQLLPRAQGVGVQCQGFLGDQICVELHSCLRRDFLCGHASVASCGGPRSSLLLLCLLLRLGGSGGLGGPRRPRRM
+>sp|Q9UMZ3|PTPRQ_HUMAN Phosphatidylinositol phosphatase PTPRQ OS=Homo sapiens OX=9606 GN=PTPRQ PE=1 SV=2
+MKKVPIKPEQPEKLRAFNISTHSFSLHWSLPSGHVERYQVDLVPDSGFVTIRDLGGGEYQVDVSNVVPGTRYDITISSISTTYTSPVTRIVTTNVTKPGPPVFLAGERVGSAGILLSWNTPPNPNGRIISYIVKYKEVCPWMQTVYTQVRSKPDSLEVLLTNLNPGTTYEIKVAAENSAGIGVFSDPFLFQTAESAPGKVVNLTVEAYNASAVKLIWYLPRQPNGKITSFKISVKHARSGIVVKDVSIRVEDILTGKLPECNENSESFLWSTASPSPTLGRVTPPSRTTHSSSTLTQNEISSVWKEPISFVVTHLRPYTTYLFEVSAVTTEAGYIDSTIVRTPESVPEGPPQNCVTGNITGKSFSILWDPPTIVTGKFSYRVELYGPSGRILDNSTKDLKFAFTNLTPFTMYDVYIAAETSAGTGPKSNISVFTPPDVPGAVFDLQLAEVESTQVRITWKKPRQPNGIINQYRVKVLVPETGIILENTLLTGNNEYINDPMAPEIVNIVEPMVGLYEGSAEMSSDLHSLATFIYNSHPDKNFPARNRAEDQTSPVVTTRNQYITDIAAEQLSYVIRRLVPFTEHMISVSAFTIMGEGPPTVLSVRTRQQVPSSIKIINYKNISSSSILLYWDPPEYPNGKITHYTIYAMELDTNRAFQITTIDNSFLITGLKKYTKYKMRVAASTHVGESSLSEENDIFVRTSEDEPESSPQDVEVIDVTADEIRLKWSPPEKPNGIIIAYEVLYKNIDTLYMKNTSTTDIILRNLRPHTLYNISVRSYTRFGHGNQVSSLLSVRTSETVPDSAPENITYKNISSGEIELSFLPPSSPNGIIKKYTIYLKRSNGNEERTINTTSLTQNIKVLKKYTQYIIEVSASTLKGEGVRSAPISILTEEDAPDSPPQDFSVKQLSGVTVKLSWQPPLEPNGIILYYTVYVWNRSSLKTINVTETSLELSDLDYNVEYSAYVTASTRFGDGKTRSNIISFQTPEGAPSDPPKDVYYANLSSSSIILFWTPPSKPNGIIQYYSVYYRNTSGTFMQNFTLHEVTNDFDNMTVSTIIDKLTIFSYYTFWLTASTSVGNGNKSSDIIEVYTDQDIPEGFVGNLTYESISSTAINVSWVPPAQPNGLVFYYVSLILQQTPRHVRPPLVTYERSIYFDNLEKYTDYILKITPSTEKGFSDTYTAQLYIKTEEDVPETSPIINTFKNLSSTSVLLSWDPPVKPNGAIISYDLTLQGPNENYSFITSDNYIILEELSPFTLYSFFAAARTRKGLGPSSILFFYTDESVPLAPPQNLTLINCTSDFVWLKWSPSPLPGGIVKVYSFKIHEHETDTIYYKNISGFKTEAKLVGLEPVSTYSIRVSAFTKVGNGNQFSNVVKFTTQESVPDVVQNMQCMATSWQSVLVKWDPPKKANGIITQYMVTVERNSTKVSPQDHMYTFIKLLANTSYVFKVRASTSAGEGDESTCHVSTLPETVPSVPTNIAFSDVQSTSATLTWIRPDTILGYFQNYKITTQLRAQKCKEWESEECVEYQKIQYLYEAHLTEETVYGLKKFRWYRFQVAASTNAGYGNASNWISTKTLPGPPDGPPENVHVVATSPFSISISWSEPAVITGPTCYLIDVKSVDNDEFNISFIKSNEENKTIEIKDLEIFTRYSVVITAFTGNISAAYVEGKSSAEMIVTTLESAPKDPPNNMTFQKIPDEVTKFQLTFLPPSQPNGNIQVYQALVYREDDPTAVQIHNLSIIQKTNTFVIAMLEGLKGGHTYNISVYAVNSAGAGPKVPMRITMDIKAPARPKTKPTPIYDATGKLLVTSTTITIRMPICYYSDDHGPIKNVQVLVTETGAQHDGNVTKWYDAYFNKARPYFTNEGFPNPPCTEGKTKFSGNEEIYIIGADNACMIPGNEDKICNGPLKPKKQYLFKFRATNIMGQFTDSDYSDPVKTLGEGLSERTVEIILSVTLCILSIILLGTAIFAFARIRQKQKEGGTYSPQDAEIIDTKLKLDQLITVADLELKDERLTRPISKKSFLQHVEELCTNNNLKFQEEFSELPKFLQDLSSTDADLPWNRAKNRFPNIKPYNNNRVKLIADASVPGSDYINASYISGYLCPNEFIATQGPLPGTVGDFWRMVWETRAKTLVMLTQCFEKGRIRCHQYWPEDNKPVTVFGDIVITKLMEDVQIDWTIRDLKIERHGDCMTVRQCNFTAWPEHGVPENSAPLIHFVKLVRASRAHDTTPMIVHCSAGVGRTGVFIALDHLTQHINDHDFVDIYGLVAELRSERMCMVQNLAQYIFLHQCILDLLSNKGSNQPICFVNYSALQKMDSLDAMEGDVELEWEETTM
+>DECOY_sp|Q9UMZ3|PTPRQ_HUMAN Phosphatidylinositol phosphatase PTPRQ OS=Homo sapiens OX=9606 GN=PTPRQ PE=1 SV=2
+MTTEEWELEVDGEMADLSDMKQLASYNVFCIPQNSGKNSLLDLICQHLFIYQALNQVMCMRESRLEAVLGYIDVFDHDNIHQTLHDLAIFVGTRGVGASCHVIMPTTDHARSARVLKVFHILPASNEPVGHEPWATFNCQRVTMCDGHREIKLDRITWDIQVDEMLKTIVIDGFVTVPKNDEPWYQHCRIRGKEFCQTLMVLTKARTEWVMRWFDGVTGPLPGQTAIFENPCLYGSIYSANIYDSGPVSADAILKVRNNNYPKINPFRNKARNWPLDADTSSLDQLFKPLESFEEQFKLNNNTCLEEVHQLFSKKSIPRTLREDKLELDAVTILQDLKLKTDIIEADQPSYTGGEKQKQRIRAFAFIATGLLIISLICLTVSLIIEVTRESLGEGLTKVPDSYDSDTFQGMINTARFKFLYQKKPKLPGNCIKDENGPIMCANDAGIIYIEENGSFKTKGETCPPNPFGENTFYPRAKNFYADYWKTVNGDHQAGTETVLVQVNKIPGHDDSYYCIPMRITITTSTVLLKGTADYIPTPKTKPRAPAKIDMTIRMPVKPGAGASNVAYVSINYTHGGKLGELMAIVFTNTKQIISLNHIQVATPDDERYVLAQYVQINGNPQSPPLFTLQFKTVEDPIKQFTMNNPPDKPASELTTVIMEASSKGEVYAASINGTFATIVVSYRTFIELDKIEITKNEENSKIFSINFEDNDVSKVDILYCTPGTIVAPESWSISISFPSTAVVHVNEPPGDPPGPLTKTSIWNSANGYGANTSAAVQFRYWRFKKLGYVTEETLHAEYLYQIKQYEVCEESEWEKCKQARLQTTIKYNQFYGLITDPRIWTLTASTSQVDSFAINTPVSPVTEPLTSVHCTSEDGEGASTSARVKFVYSTNALLKIFTYMHDQPSVKTSNREVTVMYQTIIGNAKKPPDWKVLVSQWSTAMCQMNQVVDPVSEQTTFKVVNSFQNGNGVKTFASVRISYTSVPELGVLKAETKFGSINKYYITDTEHEHIKFSYVKVIGGPLPSPSWKLWVFDSTCNILTLNQPPALPVSEDTYFFLISSPGLGKRTRAAAFFSYLTFPSLEELIIYNDSTIFSYNENPGQLTLDYSIIAGNPKVPPDWSLLVSTSSLNKFTNIIPSTEPVDEETKIYLQATYTDSFGKETSPTIKLIYDTYKELNDFYISREYTVLPPRVHRPTQQLILSVYYFVLGNPQAPPVWSVNIATSSISEYTLNGVFGEPIDQDTYVEIIDSSKNGNGVSTSATLWFTYYSFITLKDIITSVTMNDFDNTVEHLTFNQMFTGSTNRYYVSYYQIIGNPKSPPTWFLIISSSSLNAYYVDKPPDSPAGEPTQFSIINSRTKGDGFRTSATVYASYEVNYDLDSLELSTETVNITKLSSRNWVYVTYYLIIGNPELPPQWSLKVTVGSLQKVSFDQPPSDPADEETLISIPASRVGEGKLTSASVEIIYQTYKKLVKINQTLSTTNITREENGNSRKLYITYKKIIGNPSSPPLFSLEIEGSSINKYTINEPASDPVTESTRVSLLSSVQNGHGFRTYSRVSINYLTHPRLNRLIIDTTSTNKMYLTDINKYLVEYAIIIGNPKEPPSWKLRIEDATVDIVEVDQPSSEPEDESTRVFIDNEESLSSEGVHTSAAVRMKYKTYKKLGTILFSNDITTIQFARNTDLEMAYITYHTIKGNPYEPPDWYLLISSSSINKYNIIKISSPVQQRTRVSLVTPPGEGMITFASVSIMHETFPVLRRIVYSLQEAAIDTIYQNRTTVVPSTQDEARNRAPFNKDPHSNYIFTALSHLDSSMEASGEYLGVMPEVINVIEPAMPDNIYENNGTLLTNELIIGTEPVLVKVRYQNIIGNPQRPKKWTIRVQTSEVEALQLDFVAGPVDPPTFVSINSKPGTGASTEAAIYVDYMTFPTLNTFAFKLDKTSNDLIRGSPGYLEVRYSFKGTVITPPDWLISFSKGTINGTVCNQPPGEPVSEPTRVITSDIYGAETTVASVEFLYTTYPRLHTVVFSIPEKWVSSIENQTLTSSSHTTRSPPTVRGLTPSPSATSWLFSESNENCEPLKGTLIDEVRISVDKVVIGSRAHKVSIKFSTIKGNPQRPLYWILKVASANYAEVTLNVVKGPASEATQFLFPDSFVGIGASNEAAVKIEYTTGPNLNTLLVELSDPKSRVQTYVTQMWPCVEKYKVIYSIIRGNPNPPTNWSLLIGASGVREGALFVPPGPKTVNTTVIRTVPSTYTTSISSITIDYRTGPVVNSVDVQYEGGGLDRITVFGSDPVLDVQYREVHGSPLSWHLSFSHTSINFARLKEPQEPKIPVKKM
+>sp|Q13332|PTPRS_HUMAN Receptor-type tyrosine-protein phosphatase S OS=Homo sapiens OX=9606 GN=PTPRS PE=1 SV=3
+MAPTWGPGMVSVVGPMGLLVVLLVGGCAAEEPPRFIKEPKDQIGVSGGVASFVCQATGDPKPRVTWNKKGKKVNSQRFETIEFDESAGAVLRIQPLRTPRDENVYECVAQNSVGEITVHAKLTVLREDQLPSGFPNIDMGPQLKVVERTRTATMLCAASGNPDPEITWFKDFLPVDPSASNGRIKQLRSETFESTPIRGALQIESSEETDQGKYECVATNSAGVRYSSPANLYVRELREVRRVAPRFSILPMSHEIMPGGNVNITCVAVGSPMPYVKWMQGAEDLTPEDDMPVGRNVLELTDVKDSANYTCVAMSSLGVIEAVAQITVKSLPKAPGTPMVTENTATSITITWDSGNPDPVSYYVIEYKSKSQDGPYQIKEDITTTRYSIGGLSPNSEYEIWVSAVNSIGQGPPSESVVTRTGEQAPASAPRNVQARMLSATTMIVQWEEPVEPNGLIRGYRVYYTMEPEHPVGNWQKHNVDDSLLTTVGSLLEDETYTVRVLAFTSVGDGPLSDPIQVKTQQGVPGQPMNLRAEARSETSITLSWSPPRQESIIKYELLFREGDHGREVGRTFDPTTSYVVEDLKPNTEYAFRLAARSPQGLGAFTPVVRQRTLQSKPSAPPQDVKCVSVRSTAILVSWRPPPPETHNGALVGYSVRYRPLGSEDPEPKEVNGIPPTTTQILLEALEKWTQYRITTVAHTEVGPGPESSPVVVRTDEDVPSAPPRKVEAEALNATAIRVLWRSPAPGRQHGQIRGYQVHYVRMEGAEARGPPRIKDVMLADAQWETDDTAEYEMVITNLQPETAYSITVAAYTMKGDGARSKPKVVVTKGAVLGRPTLSVQQTPEGSLLARWEPPAGTAEDQVLGYRLQFGREDSTPLATLEFPPSEDRYTASGVHKGATYVFRLAARSRGGLGEEAAEVLSIPEDTPRGHPQILEAAGNASAGTVLLRWLPPVPAERNGAIVKYTVAVREAGALGPARETELPAAAEPGAENALTLQGLKPDTAYDLQVRAHTRRGPGPFSPPVRYRTFLRDQVSPKNFKVKMIMKTSVLLSWEFPDNYNSPTPYKIQYNGLTLDVDGRTTKKLITHLKPHTFYNFVLTNRGSSLGGLQQTVTAWTAFNLLNGKPSVAPKPDADGFIMVYLPDGQSPVPVQSYFIVMVPLRKSRGGQFLTPLGSPEDMDLEELIQDISRLQRRSLRHSRQLEVPRPYIAARFSVLPPTFHPGDQKQYGGFDNRGLEPGHRYVLFVLAVLQKSEPTFAASPFSDPFQLDNPDPQPIVDGEEGLIWVIGPVLAVVFIICIVIAILLYKNKPDSKRKDSEPRTKCLLNNADLAPHHPKDPVEMRRINFQTPDSGLRSPLREPGFHFESMLSHPPIPIADMAEHTERLKANDSLKLSQEYESIDPGQQFTWEHSNLEVNKPKNRYANVIAYDHSRVILQPIEGIMGSDYINANYVDGYRCQNAYIATQGPLPETFGDFWRMVWEQRSATIVMMTRLEEKSRIKCDQYWPNRGTETYGFIQVTLLDTIELATFCVRTFSLHKNGSSEKREVRQFQFTAWPDHGVPEYPTPFLAFLRRVKTCNPPDAGPIVVHCSAGVGRTGCFIVIDAMLERIKPEKTVDVYGHVTLMRSQRNYMVQTEDQYSFIHEALLEAVGCGNTEVPARSLYAYIQKLAQVEPGEHVTGMELEFKRLANSKAHTSRFISANLPCNKFKNRLVNIMPYESTRVCLQPIRGVEGSDYINASFIDGYRQQKAYIATQGPLAETTEDFWRMLWENNSTIVVMLTKLREMGREKCHQYWPAERSARYQYFVVDPMAEYNMPQYILREFKVTDARDGQSRTVRQFQFTDWPEQGVPKSGEGFIDFIGQVHKTKEQFGQDGPISVHCSAGVGRTGVFITLSIVLERMRYEGVVDIFQTVKMLRTQRPAMVQTEDEYQFCYQAALEYLGSFDHYAT
+>DECOY_sp|Q13332|PTPRS_HUMAN Receptor-type tyrosine-protein phosphatase S OS=Homo sapiens OX=9606 GN=PTPRS PE=1 SV=3
+TAYHDFSGLYELAAQYCFQYEDETQVMAPRQTRLMKVTQFIDVVGEYRMRELVISLTIFVGTRGVGASCHVSIPGDQGFQEKTKHVQGIFDIFGEGSKPVGQEPWDTFQFQRVTRSQGDRADTVKFERLIYQPMNYEAMPDVVFYQYRASREAPWYQHCKERGMERLKTLMVVITSNNEWLMRWFDETTEALPGQTAIYAKQQRYGDIFSANIYDSGEVGRIPQLCVRTSEYPMINVLRNKFKNCPLNASIFRSTHAKSNALRKFELEMGTVHEGPEVQALKQIYAYLSRAPVETNGCGVAELLAEHIFSYQDETQVMYNRQSRMLTVHGYVDVTKEPKIRELMADIVIFCGTRGVGASCHVVIPGADPPNCTKVRRLFALFPTPYEPVGHDPWATFQFQRVERKESSGNKHLSFTRVCFTALEITDLLTVQIFGYTETGRNPWYQDCKIRSKEELRTMMVITASRQEWVMRWFDGFTEPLPGQTAIYANQCRYGDVYNANIYDSGMIGEIPQLIVRSHDYAIVNAYRNKPKNVELNSHEWTFQQGPDISEYEQSLKLSDNAKLRETHEAMDAIPIPPHSLMSEFHFGPERLPSRLGSDPTQFNIRRMEVPDKPHHPALDANNLLCKTRPESDKRKSDPKNKYLLIAIVICIIFVVALVPGIVWILGEEGDVIPQPDPNDLQFPDSFPSAAFTPESKQLVALVFLVYRHGPELGRNDFGGYQKQDGPHFTPPLVSFRAAIYPRPVELQRSHRLSRRQLRSIDQILEELDMDEPSGLPTLFQGGRSKRLPVMVIFYSQVPVPSQGDPLYVMIFGDADPKPAVSPKGNLLNFATWATVTQQLGGLSSGRNTLVFNYFTHPKLHTILKKTTRGDVDLTLGNYQIKYPTPSNYNDPFEWSLLVSTKMIMKVKFNKPSVQDRLFTRYRVPPSFPGPGRRTHARVQLDYATDPKLGQLTLANEAGPEAAAPLETERAPGLAGAERVAVTYKVIAGNREAPVPPLWRLLVTGASANGAAELIQPHGRPTDEPISLVEAAEEGLGGRSRAALRFVYTAGKHVGSATYRDESPPFELTALPTSDERGFQLRYGLVQDEATGAPPEWRALLSGEPTQQVSLTPRGLVAGKTVVVKPKSRAGDGKMTYAAVTISYATEPQLNTIVMEYEATDDTEWQADALMVDKIRPPGRAEAGEMRVYHVQYGRIQGHQRGPAPSRWLVRIATANLAEAEVKRPPASPVDEDTRVVVPSSEPGPGVETHAVTTIRYQTWKELAELLIQTTTPPIGNVEKPEPDESGLPRYRVSYGVLAGNHTEPPPPRWSVLIATSRVSVCKVDQPPASPKSQLTRQRVVPTFAGLGQPSRAALRFAYETNPKLDEVVYSTTPDFTRGVERGHDGERFLLEYKIISEQRPPSWSLTISTESRAEARLNMPQGPVGQQTKVQIPDSLPGDGVSTFALVRVTYTEDELLSGVTTLLSDDVNHKQWNGVPHEPEMTYYVRYGRILGNPEVPEEWQVIMTTASLMRAQVNRPASAPAQEGTRTVVSESPPGQGISNVASVWIEYESNPSLGGISYRTTTIDEKIQYPGDQSKSKYEIVYYSVPDPNGSDWTITISTATNETVMPTGPAKPLSKVTIQAVAEIVGLSSMAVCTYNASDKVDTLELVNRGVPMDDEPTLDEAGQMWKVYPMPSGVAVCTINVNGGPMIEHSMPLISFRPAVRRVERLERVYLNAPSSYRVGASNTAVCEYKGQDTEESSEIQLAGRIPTSEFTESRLQKIRGNSASPDVPLFDKFWTIEPDPNGSAACLMTATRTREVVKLQPGMDINPFGSPLQDERLVTLKAHVTIEGVSNQAVCEYVNEDRPTRLPQIRLVAGASEDFEITEFRQSNVKKGKKNWTVRPKPDGTAQCVFSAVGGSVGIQDKPEKIFRPPEEAACGGVLLVVLLGMPGVVSVMGPGWTPAM
+>sp|Q15397|PUM3_HUMAN Pumilio homolog 3 OS=Homo sapiens OX=9606 GN=PUM3 PE=1 SV=3
+MEVKGKKQFTGKSTKTAQEKNRFHKNSDSGSSKTFPTRKVAKEGGPKVTSRNFEKSITKLGKKGVKQFKNKQQGDKSPKNKFQPANKFNKKRKFQPDGRSDESAAKKPKWDDFKKKKKELKQSRQLSDKTNYDIVVRAKQMWEILRRKDCDKEKRVKLMSDLQKLIQGKIKTIAFAHDSTRVIQCYIQYGNEEQRKQAFEELRDDLVELSKAKYSRNIVKKFLMYGSKPQIAEIIRSFKGHVRKMLRHAEASAIVEYAYNDKAILEQRNMLTEELYGNTFQLYKSADHRTLDKVLEVQPEKLELIMDEMKQILTPMAQKEAVIKHSLVHKVFLDFFTYAPPKLRSEMIEAIREAVVYLAHTHDGARVAMHCLWHGTPKDRKVIVKTMKTYVEKVANGQYSHLVLLAAFDCIDDTKLVKQIIISEIISSLPSIVNDKYGRKVLLYLLSPRDPAHTVREIIEVLQKGDGNAHSKKDTEVRRRELLESISPALLSYLQEHAQEVVLDKSACVLVSDILGSATGDVQPTMNAIASLAATGLHPGGKDGELHIAEHPAGHLVLKWLIEQDKKMKENGREGCFAKTLVEHVGMKNLKSWASVNRGAIILSSLLQSCDLEVANKVKAALKSLIPTLEKTKSTSKGIEILLEKLST
+>DECOY_sp|Q15397|PUM3_HUMAN Pumilio homolog 3 OS=Homo sapiens OX=9606 GN=PUM3 PE=1 SV=3
+TSLKELLIEIGKSTSKTKELTPILSKLAAKVKNAVELDCSQLLSSLIIAGRNVSAWSKLNKMGVHEVLTKAFCGERGNEKMKKDQEILWKLVLHGAPHEAIHLEGDKGGPHLGTAALSAIANMTPQVDGTASGLIDSVLVCASKDLVVEQAHEQLYSLLAPSISELLERRRVETDKKSHANGDGKQLVEIIERVTHAPDRPSLLYLLVKRGYKDNVISPLSSIIESIIIQKVLKTDDICDFAALLVLHSYQGNAVKEVYTKMTKVIVKRDKPTGHWLCHMAVRAGDHTHALYVVAERIAEIMESRLKPPAYTFFDLFVKHVLSHKIVAEKQAMPTLIQKMEDMILELKEPQVELVKDLTRHDASKYLQFTNGYLEETLMNRQELIAKDNYAYEVIASAEAHRLMKRVHGKFSRIIEAIQPKSGYMLFKKVINRSYKAKSLEVLDDRLEEFAQKRQEENGYQIYCQIVRTSDHAFAITKIKGQILKQLDSMLKVRKEKDCDKRRLIEWMQKARVVIDYNTKDSLQRSQKLEKKKKKFDDWKPKKAASEDSRGDPQFKRKKNFKNAPQFKNKPSKDGQQKNKFQKVGKKGLKTISKEFNRSTVKPGGEKAVKRTPFTKSSGSDSNKHFRNKEQATKTSKGTFQKKGKVEM
+>sp|Q3MIT2|PUS10_HUMAN Putative tRNA pseudouridine synthase Pus10 OS=Homo sapiens OX=9606 GN=PUS10 PE=1 SV=1
+MFPLTEENKHVAQLLLNTGTCPRCIFRFCGVDFHAPYKLPYKELLNELQKFLETEKDELILEVMNPPPKKIRLQELEDSIDNLSQNGEGRISVSHVGSTASKNSNLNVCNVCLGILQEFCEKDFIKKVCQKVEASGFEFTSLVFSVSFPPQLSVREHAAWLLVKQEMGKQSLSLGRDDIVQLKEAYKWITHPLFSEELGVPIDGKSLFEVSVVFAHPETVEDCHFLAAICPDCFKPAKNKQSVFTRMAVMKALNKIKEEDFLKQFPCPPNSPKAVCAVLEIECAHGAVFVAGRYNKYSRNLPQTPWIIDGERKLESSVEELISDHLLAVFKAESFNFSSSGREDVDVRTLGNGRPFAIELVNPHRVHFTSQEIKELQQKINNSSNKIQVRDLQLVTREAIGHMKEGEEEKTKTYSALIWTNKAIQKKDIEFLNDIKDLKIDQKTPLRVLHRRPLAVRARVIHFMETQYVDEHHFRLHLKTQAGTYIKEFVHGDFGRTKPNIGSLMNVTADILELDVESVDVDWPPALDD
+>DECOY_sp|Q3MIT2|PUS10_HUMAN Putative tRNA pseudouridine synthase Pus10 OS=Homo sapiens OX=9606 GN=PUS10 PE=1 SV=1
+DDLAPPWDVDVSEVDLELIDATVNMLSGINPKTRGFDGHVFEKIYTGAQTKLHLRFHHEDVYQTEMFHIVRARVALPRRHLVRLPTKQDIKLDKIDNLFEIDKKQIAKNTWILASYTKTKEEEGEKMHGIAERTVLQLDRVQIKNSSNNIKQQLEKIEQSTFHVRHPNVLEIAFPRGNGLTRVDVDERGSSSFNFSEAKFVALLHDSILEEVSSELKREGDIIWPTQPLNRSYKNYRGAVFVAGHACEIELVACVAKPSNPPCPFQKLFDEEKIKNLAKMVAMRTFVSQKNKAPKFCDPCIAALFHCDEVTEPHAFVVSVEFLSKGDIPVGLEESFLPHTIWKYAEKLQVIDDRGLSLSQKGMEQKVLLWAAHERVSLQPPFSVSFVLSTFEFGSAEVKQCVKKIFDKECFEQLIGLCVNCVNLNSNKSATSGVHSVSIRGEGNQSLNDISDELEQLRIKKPPPNMVELILEDKETELFKQLENLLEKYPLKYPAHFDVGCFRFICRPCTGTNLLLQAVHKNEETLPFM
+>sp|Q9BZE2|PUS3_HUMAN tRNA pseudouridine(38/39) synthase OS=Homo sapiens OX=9606 GN=PUS3 PE=1 SV=3
+MAYNDTDRNQTEKLLKRVRELEQEVQRLKKEQAKNKEDSNIRENSAGAGKTKRAFDFSAHGRRHVALRIAYMGWGYQGFASQENTNNTIEEKLFEALTKTRLVESRQTSNYHRCGRTDKGVSAFGQVISLDLRSQFPRGRDSEDFNVKEEANAAAEEIRYTHILNRVLPPDIRILAWAPVEPSFSARFSCLERTYRYFFPRADLDIVTMDYAAQKYVGTHDFRNLCKMDVANGVINFQRTILSAQVQLVGQSPGEGRWQEPFQLCQFEVTGQAFLYHQVRCMMAILFLIGQGMEKPEIIDELLNIEKNPQKPQYSMAVEFPLVLYDCKFENVKWIYDQEAQEFNITHLQQLWANHAVKTHMLYSMLQGLDTVPVPCGIGPKMDGMTEWGNVKPSVIKQTSAFVEGVKMRTYKPLMDRPKCQGLESRIQHFVRRGRIEHPHLFHEEETKAKRDCNDTLEEENTNLETPTKRVCVDTEIKSII
+>DECOY_sp|Q9BZE2|PUS3_HUMAN tRNA pseudouridine(38/39) synthase OS=Homo sapiens OX=9606 GN=PUS3 PE=1 SV=3
+IISKIETDVCVRKTPTELNTNEEELTDNCDRKAKTEEEHFLHPHEIRGRRVFHQIRSELGQCKPRDMLPKYTRMKVGEVFASTQKIVSPKVNGWETMGDMKPGIGCPVPVTDLGQLMSYLMHTKVAHNAWLQQLHTINFEQAEQDYIWKVNEFKCDYLVLPFEVAMSYQPKQPNKEINLLEDIIEPKEMGQGILFLIAMMCRVQHYLFAQGTVEFQCLQFPEQWRGEGPSQGVLQVQASLITRQFNIVGNAVDMKCLNRFDHTGVYKQAAYDMTVIDLDARPFFYRYTRELCSFRASFSPEVPAWALIRIDPPLVRNLIHTYRIEEAAANAEEKVNFDESDRGRPFQSRLDLSIVQGFASVGKDTRGCRHYNSTQRSEVLRTKTLAEFLKEEITNNTNEQSAFGQYGWGMYAIRLAVHRRGHASFDFARKTKGAGASNERINSDEKNKAQEKKLRQVEQELERVRKLLKETQNRDTDNYAM
+>sp|Q96PZ0|PUS7_HUMAN Pseudouridylate synthase 7 homolog OS=Homo sapiens OX=9606 GN=PUS7 PE=1 SV=2
+MEMTEMTGVSLKRGALVVEDNDSGVPVEETKKQKLSECSLTKGQDGLQNDFLSISEDVPRPPDTVSTGKGGKNSEAQLEDEEEEEEDGLSEECEEEESESFADMMKHGLTEADVGITKFVSSHQGFSGILKERYSDFVVHEIGKDGRISHLNDLSIPVDEEDPSEDIFTVLTAEEKQRLEELQLFKNKETSVAIEVIEDTKEKRTIIHQAIKSLFPGLETKTEDREGKKYIVAYHAAGKKALANPRKHSWPKSRGSYCHFVLYKENKDTMDAINVLSKYLRVKPNIFSYMGTKDKRAITVQEIAVLKITAQRLAHLNKCLMNFKLGNFSYQKNPLKLGELQGNHFTVVLRNITGTDDQVQQAMNSLKEIGFINYYGMQRFGTTAVPTYQVGRAILQNSWTEVMDLILKPRSGAEKGYLVKCREEWAKTKDPTAALRKLPVKRCVEGQLLRGLSKYGMKNIVSAFGIIPRNNRLMYIHSYQSYVWNNMVSKRIEDYGLKPVPGDLVLKGATATYIEEDDVNNYSIHDVVMPLPGFDVIYPKHKIQEAYREMLTADNLDIDNMRHKIRDYSLSGAYRKIIIRPQNVSWEVVAYDDPKIPLFNTDVDNLEGKTPPVFASEGKYRALKMDFSLPPSTYATMAIREVLKMDTSIKNQTQLNTTWLR
+>DECOY_sp|Q96PZ0|PUS7_HUMAN Pseudouridylate synthase 7 homolog OS=Homo sapiens OX=9606 GN=PUS7 PE=1 SV=2
+RLWTTNLQTQNKISTDMKLVERIAMTAYTSPPLSFDMKLARYKGESAFVPPTKGELNDVDTNFLPIKPDDYAVVEWSVNQPRIIIKRYAGSLSYDRIKHRMNDIDLNDATLMERYAEQIKHKPYIVDFGPLPMVVDHISYNNVDDEEIYTATAGKLVLDGPVPKLGYDEIRKSVMNNWVYSQYSHIYMLRNNRPIIGFASVINKMGYKSLGRLLQGEVCRKVPLKRLAATPDKTKAWEERCKVLYGKEAGSRPKLILDMVETWSNQLIARGVQYTPVATTGFRQMGYYNIFGIEKLSNMAQQVQDDTGTINRLVVTFHNGQLEGLKLPNKQYSFNGLKFNMLCKNLHALRQATIKLVAIEQVTIARKDKTGMYSFINPKVRLYKSLVNIADMTDKNEKYLVFHCYSGRSKPWSHKRPNALAKKGAAHYAVIYKKGERDETKTELGPFLSKIAQHIITRKEKTDEIVEIAVSTEKNKFLQLEELRQKEEATLVTFIDESPDEEDVPISLDNLHSIRGDKGIEHVVFDSYREKLIGSFGQHSSVFKTIGVDAETLGHKMMDAFSESEEEECEESLGDEEEEEEDELQAESNKGGKGTSVTDPPRPVDESISLFDNQLGDQGKTLSCESLKQKKTEEVPVGSDNDEVVLAGRKLSVGTMETMEM
+>sp|Q02127|PYRD_HUMAN Dihydroorotate dehydrogenase (quinone), mitochondrial OS=Homo sapiens OX=9606 GN=DHODH PE=1 SV=3
+MAWRHLKKRAQDAVIILGGGGLLFASYLMATGDERFYAEHLMPTLQGLLDPESAHRLAVRFTSLGLLPRARFQDSDMLEVRVLGHKFRNPVGIAAGFDKHGEAVDGLYKMGFGFVEIGSVTPKPQEGNPRPRVFRLPEDQAVINRYGFNSHGLSVVEHRLRARQQKQAKLTEDGLPLGVNLGKNKTSVDAAEDYAEGVRVLGPLADYLVVNVSSPNTAGLRSLQGKAELRRLLTKVLQERDGLRRVHRPAVLVKIAPDLTSQDKEDIASVVKELGIDGLIVTNTTVSRPAGLQGALRSETGGLSGKPLRDLSTQTIREMYALTQGRVPIIGVGGVSSGQDALEKIRAGASLVQLYTALTFWGPPVVGKVKRELEALLKEQGFGGVTDAIGADHRR
+>DECOY_sp|Q02127|PYRD_HUMAN Dihydroorotate dehydrogenase (quinone), mitochondrial OS=Homo sapiens OX=9606 GN=DHODH PE=1 SV=3
+RRHDAGIADTVGGFGQEKLLAELERKVKGVVPPGWFTLATYLQVLSAGARIKELADQGSSVGGVGIIPVRGQTLAYMERITQTSLDRLPKGSLGGTESRLAGQLGAPRSVTTNTVILGDIGLEKVVSAIDEKDQSTLDPAIKVLVAPRHVRRLGDREQLVKTLLRRLEAKGQLSRLGATNPSSVNVVLYDALPGLVRVGEAYDEAADVSTKNKGLNVGLPLGDETLKAQKQQRARLRHEVVSLGHSNFGYRNIVAQDEPLRFVRPRPNGEQPKPTVSGIEVFGFGMKYLGDVAEGHKDFGAAIGVPNRFKHGLVRVELMDSDQFRARPLLGLSTFRVALRHASEPDLLGQLTPMLHEAYFREDGTAMLYSAFLLGGGGLIIVADQARKKLHRWAM
+>sp|Q9NRI6|PYY2_HUMAN Putative peptide YY-2 OS=Homo sapiens OX=9606 GN=PYY2 PE=5 SV=1
+MATVLLALLVYLGALVDAYPIKPEAPGEDAFLG
+>DECOY_sp|Q9NRI6|PYY2_HUMAN Putative peptide YY-2 OS=Homo sapiens OX=9606 GN=PYY2 PE=5 SV=1
+GLFADEGPAEPKIPYADVLAGLYVLLALLVTAM
+>sp|Q9H0J4|QRIC2_HUMAN Glutamine-rich protein 2 OS=Homo sapiens OX=9606 GN=QRICH2 PE=1 SV=1
+MKDAAEELSFARVLLQRVDELEKLFKDREQFLELVSRKLSLVPGAEEVTMVTWEELEQAITDGWRASQAGSETLMGFSKHGGFTSLTSPEGTLSGDSTKQPSIEQALDSASGLGPDRTASGSGGTAHPSDGVSSREQSKVPSGTGRQQQPRARDEAGVPRLHQSSTFQFKSDSDRHRSREKLTSTQPRRNARPGPVQQDLPLARDQPSSVPASQSQVHLRPDRRGLEPTGMNQPGLVPASTYPHGVVPLSMGQLGVPPPEMDDRELIPFVVDEQRMLPPSVPGRDQQGLELPSTDQHGLVSVSAYQHGMTFPGTDQRSMEPLGMDQRGCVISGMGQQGLVPPGIDQQGLTLPVVDQHGLVLPFTDQHGLVSPGLMPISADQQGFVQPSLEATGFIQPGTEQHDLIQSGRFQRALVQRGAYQPGLVQPGADQRGLVRPGMDQSGLAQPGADQRGLVWPGMDQSGLAQPGRDQHGLIQPGTGQHDLVQSGTGQGVLVQPGVDQPGMVQPGRFQRALVQPGAYQPGLVQPGADQIDVVQPGADQHGLVQSGADQSDLAQPGAVQHGLVQPGVDQRGLAQPRADHQRGLVPPGADQRGLVQPGADQHGLVQPGVDQHGLAQPGEVQRSLVQPGIVQRGLVQPGAVQRGLVQPGAVQRGLVQPGVDQRGLVQPGAVQRGLVQPGAVQHGLVQPGADQRGLVQPGVDQRGLVQPGVDQRGLVQPGMDQRGLIQPGADQPGLVQPGAGQLGMVQPGIGQQGMVQPQADPHGLVQPGAYPLGLVQPGAYLHDLSQSGTYPRGLVQPGMDQYGLRQPGAYQPGLIAPGTKLRGSSTFQADSTGFISVRPYQHGMVPPGREQYGQVSPLLASQGLASPGIDRRSLVPPETYQQGLMHPGTDQHSPIPLSTGLGSTHPDQQHVASPGPGEHDQVYPDAAQHGHAFSLFDSHDSMYPGYRGPGYLSADQHGQEGLDPNRTRASDRHGIPAQKAPGQDVTLFRSPDSVDRVLSEGSEVSSEVLSERRNSLRRMSSSFPTAVETFHLMGELSSLYVGLKESMKDLDEEQAGQTDLEKIQFLLAQMVKRTIPPELQEQLKTVKTLAKEVWQEKAKVERLQRILEGEGNQEAGKELKAGELRLQLGVLRVTVADIEKELAELRESQDRGKAAMENSVSEASLYLQDQLDKLRMIIESMLTSSSTLLSMSMAPHKAHTLAPGQIDPEATCPACSLDVSHQVSTLVRRYEQLQDMVNSLAVSRPSKKAKLQRQDEELLGRVQSAILQVQGDCEKLNITTSNLIEDHRQKQKDIAMLYQGLEKLEKEKANREHLEMEIDVKADKSALATKVSRVQFDATTEQLNHMMQELVAKMSGQEQDWQKMLDRLLTEMDNKLDRLELDPVKQLLEDRWKSLRQQLRERPPLYQADEAAAMRRQLLAHFHCLSCDRPLETPVTGHAIPVTPAGPGLPGHHSIRPYTVFELEQVRQHSRNLKLGSAFPRGDLAQMEQSVGRLRSMHSKMLMNIEKVQIHFGGSTKASSQIIRELLHAQCLGSPCYKRVTDMADYTYSTVPRRCGGSHTLTYPYHRSRPQHLPRGLYPTEEIQIAMKHDEVDILGLDGHIYKGRMDTRLPGILRKDSSGTSKRKSQQPRPHVHRPPSLSSNGQLPSRPQSAQISAGNTSER
+>DECOY_sp|Q9H0J4|QRIC2_HUMAN Glutamine-rich protein 2 OS=Homo sapiens OX=9606 GN=QRICH2 PE=1 SV=1
+RESTNGASIQASQPRSPLQGNSSLSPPRHVHPRPQQSKRKSTGSSDKRLIGPLRTDMRGKYIHGDLGLIDVEDHKMAIQIEETPYLGRPLHQPRSRHYPYTLTHSGGCRRPVTSYTYDAMDTVRKYCPSGLCQAHLLERIIQSSAKTSGGFHIQVKEINMLMKSHMSRLRGVSQEMQALDGRPFASGLKLNRSHQRVQELEFVTYPRISHHGPLGPGAPTVPIAHGTVPTELPRDCSLCHFHALLQRRMAAAEDAQYLPPRERLQQRLSKWRDELLQKVPDLELRDLKNDMETLLRDLMKQWDQEQGSMKAVLEQMMHNLQETTADFQVRSVKTALASKDAKVDIEMELHERNAKEKELKELGQYLMAIDKQKQRHDEILNSTTINLKECDGQVQLIASQVRGLLEEDQRQLKAKKSPRSVALSNVMDQLQEYRRVLTSVQHSVDLSCAPCTAEPDIQGPALTHAKHPAMSMSLLTSSSTLMSEIIMRLKDLQDQLYLSAESVSNEMAAKGRDQSERLEALEKEIDAVTVRLVGLQLRLEGAKLEKGAEQNGEGELIRQLREVKAKEQWVEKALTKVTKLQEQLEPPITRKVMQALLFQIKELDTQGAQEEDLDKMSEKLGVYLSSLEGMLHFTEVATPFSSSMRRLSNRRESLVESSVESGESLVRDVSDPSRFLTVDQGPAKQAPIGHRDSARTRNPDLGEQGHQDASLYGPGRYGPYMSDHSDFLSFAHGHQAADPYVQDHEGPGPSAVHQQDPHTSGLGTSLPIPSHQDTGPHMLGQQYTEPPVLSRRDIGPSALGQSALLPSVQGYQERGPPVMGHQYPRVSIFGTSDAQFTSSGRLKTGPAILGPQYAGPQRLGYQDMGPQVLGRPYTGSQSLDHLYAGPQVLGLPYAGPQVLGHPDAQPQVMGQQGIGPQVMGLQGAGPQVLGPQDAGPQILGRQDMGPQVLGRQDVGPQVLGRQDVGPQVLGRQDAGPQVLGHQVAGPQVLGRQVAGPQVLGRQDVGPQVLGRQVAGPQVLGRQVAGPQVLGRQVIGPQVLSRQVEGPQALGHQDVGPQVLGHQDAGPQVLGRQDAGPPVLGRQHDARPQALGRQDVGPQVLGHQVAGPQALDSQDAGSQVLGHQDAGPQVVDIQDAGPQVLGPQYAGPQVLARQFRGPQVMGPQDVGPQVLVGQGTGSQVLDHQGTGPQILGHQDRGPQALGSQDMGPWVLGRQDAGPQALGSQDMGPRVLGRQDAGPQVLGPQYAGRQVLARQFRGSQILDHQETGPQIFGTAELSPQVFGQQDASIPMLGPSVLGHQDTFPLVLGHQDVVPLTLGQQDIGPPVLGQQGMGSIVCGRQDMGLPEMSRQDTGPFTMGHQYASVSVLGHQDTSPLELGQQDRGPVSPPLMRQEDVVFPILERDDMEPPPVGLQGMSLPVVGHPYTSAPVLGPQNMGTPELGRRDPRLHVQSQSAPVSSPQDRALPLDQQVPGPRANRRPQTSTLKERSRHRDSDSKFQFTSSQHLRPVGAEDRARPQQQRGTGSPVKSQERSSVGDSPHATGGSGSATRDPGLGSASDLAQEISPQKTSDGSLTGEPSTLSTFGGHKSFGMLTESGAQSARWGDTIAQELEEWTVMTVEEAGPVLSLKRSVLELFQERDKFLKELEDVRQLLVRAFSLEEAADKM
+>sp|Q13882|PTK6_HUMAN Protein-tyrosine kinase 6 OS=Homo sapiens OX=9606 GN=PTK6 PE=1 SV=1
+MVSRDQAHLGPKYVGLWDFKSRTDEELSFRAGDVFHVARKEEQWWWATLLDEAGGAVAQGYVPHNYLAERETVESEPWFFGCISRSEAVRRLQAEGNATGAFLIRVSEKPSADYVLSVRDTQAVRHYKIWRRAGGRLHLNEAVSFLSLPELVNYHRAQSLSHGLRLAAPCRKHEPEPLPHWDDWERPREEFTLCRKLGSGYFGEVFEGLWKDRVQVAIKVISRDNLLHQQMLQSEIQAMKKLRHKHILALYAVVSVGDPVYIITELMAKGSLLELLRDSDEKVLPVSELLDIAWQVAEGMCYLESQNYIHRDLAARNILVGENTLCKVGDFGLARLIKEDVYLSHDHNIPYKWTAPEALSRGHYSTKSDVWSFGILLHEMFSRGQVPYPGMSNHEAFLRVDAGYRMPCPLECPPSVHKLMLTCWCRDPEQRPCFKALRERLSSFTSYENPT
+>DECOY_sp|Q13882|PTK6_HUMAN Protein-tyrosine kinase 6 OS=Homo sapiens OX=9606 GN=PTK6 PE=1 SV=1
+TPNEYSTFSSLRERLAKFCPRQEPDRCWCTLMLKHVSPPCELPCPMRYGADVRLFAEHNSMGPYPVQGRSFMEHLLIGFSWVDSKTSYHGRSLAEPATWKYPINHDHSLYVDEKILRALGFDGVKCLTNEGVLINRAALDRHIYNQSELYCMGEAVQWAIDLLESVPLVKEDSDRLLELLSGKAMLETIIYVPDGVSVVAYLALIHKHRLKKMAQIESQLMQQHLLNDRSIVKIAVQVRDKWLGEFVEGFYGSGLKRCLTFEERPREWDDWHPLPEPEHKRCPAALRLGHSLSQARHYNVLEPLSLFSVAENLHLRGGARRWIKYHRVAQTDRVSLVYDASPKESVRILFAGTANGEAQLRRVAESRSICGFFWPESEVTEREALYNHPVYGQAVAGGAEDLLTAWWWQEEKRAVHFVDGARFSLEEDTRSKFDWLGVYKPGLHAQDRSVM
+>sp|Q06124|PTN11_HUMAN Tyrosine-protein phosphatase non-receptor type 11 OS=Homo sapiens OX=9606 GN=PTPN11 PE=1 SV=2
+MTSRRWFHPNITGVEAENLLLTRGVDGSFLARPSKSNPGDFTLSVRRNGAVTHIKIQNTGDYYDLYGGEKFATLAELVQYYMEHHGQLKEKNGDVIELKYPLNCADPTSERWFHGHLSGKEAEKLLTEKGKHGSFLVRESQSHPGDFVLSVRTGDDKGESNDGKSKVTHVMIRCQELKYDVGGGERFDSLTDLVEHYKKNPMVETLGTVLQLKQPLNTTRINAAEIESRVRELSKLAETTDKVKQGFWEEFETLQQQECKLLYSRKEGQRQENKNKNRYKNILPFDHTRVVLHDGDPNEPVSDYINANIIMPEFETKCNNSKPKKSYIATQGCLQNTVNDFWRMVFQENSRVIVMTTKEVERGKSKCVKYWPDEYALKEYGVMRVRNVKESAAHDYTLRELKLSKVGQALLQGNTERTVWQYHFRTWPDHGVPSDPGGVLDFLEEVHHKQESIMDAGPVVVHCSAGIGRTGTFIVIDILIDIIREKGVDCDIDVPKTIQMVRSQRSGMVQTEAQYRFIYMAVQHYIETLQRRIEEEQKSKRKGHEYTNIKYSLADQTSGDQSPLPPCTPTPPCAEMREDSARVYENVGLMQQQKSFR
+>DECOY_sp|Q06124|PTN11_HUMAN Tyrosine-protein phosphatase non-receptor type 11 OS=Homo sapiens OX=9606 GN=PTPN11 PE=1 SV=2
+RFSKQQQMLGVNEYVRASDERMEACPPTPTCPPLPSQDGSTQDALSYKINTYEHGKRKSKQEEEIRRQLTEIYHQVAMYIFRYQAETQVMGSRQSRVMQITKPVDIDCDVGKERIIDILIDIVIFTGTRGIGASCHVVVPGADMISEQKHHVEELFDLVGGPDSPVGHDPWTRFHYQWVTRETNGQLLAQGVKSLKLERLTYDHAASEKVNRVRMVGYEKLAYEDPWYKVCKSKGREVEKTTMVIVRSNEQFVMRWFDNVTNQLCGQTAIYSKKPKSNNCKTEFEPMIINANIYDSVPENPDGDHLVVRTHDFPLINKYRNKNKNEQRQGEKRSYLLKCEQQQLTEFEEWFGQKVKDTTEALKSLERVRSEIEAANIRTTNLPQKLQLVTGLTEVMPNKKYHEVLDTLSDFREGGGVDYKLEQCRIMVHTVKSKGDNSEGKDDGTRVSLVFDGPHSQSERVLFSGHKGKETLLKEAEKGSLHGHFWRESTPDACNLPYKLEIVDGNKEKLQGHHEMYYQVLEALTAFKEGGYLDYYDGTNQIKIHTVAGNRRVSLTFDGPNSKSPRALFSGDVGRTLLLNEAEVGTINPHFWRRSTM
+>sp|P17706|PTN2_HUMAN Tyrosine-protein phosphatase non-receptor type 2 OS=Homo sapiens OX=9606 GN=PTPN2 PE=1 SV=2
+MPTTIEREFEELDTQRRWQPLYLEIRNESHDYPHRVAKFPENRNRNRYRDVSPYDHSRVKLQNAENDYINASLVDIEEAQRSYILTQGPLPNTCCHFWLMVWQQKTKAVVMLNRIVEKESVKCAQYWPTDDQEMLFKETGFSVKLLSEDVKSYYTVHLLQLENINSGETRTISHFHYTTWPDFGVPESPASFLNFLFKVRESGSLNPDHGPAVIHCSAGIGRSGTFSLVDTCLVLMEKGDDINIKQVLLNMRKYRMGLIQTPDQLRFSYMAIIEGAKCIKGDSSIQKRWKELSKEDLSPAFDHSPNKIMTEKYNGNRIGLEEEKLTGDRCTGLSSKMQDTMEENSESALRKRIREDRKATTAQKVQQMKQRLNENERKRKRWLYWQPILTKMGFMSVILVGAFVGWTLFFQQNAL
+>DECOY_sp|P17706|PTN2_HUMAN Tyrosine-protein phosphatase non-receptor type 2 OS=Homo sapiens OX=9606 GN=PTPN2 PE=1 SV=2
+LANQQFFLTWGVFAGVLIVSMFGMKTLIPQWYLWRKRKRENENLRQKMQQVKQATTAKRDERIRKRLASESNEEMTDQMKSSLGTCRDGTLKEEELGIRNGNYKETMIKNPSHDFAPSLDEKSLEKWRKQISSDGKICKAGEIIAMYSFRLQDPTQILGMRYKRMNLLVQKINIDDGKEMLVLCTDVLSFTGSRGIGASCHIVAPGHDPNLSGSERVKFLFNLFSAPSEPVGFDPWTTYHFHSITRTEGSNINELQLLHVTYYSKVDESLLKVSFGTEKFLMEQDDTPWYQACKVSEKEVIRNLMVVAKTKQQWVMLWFHCCTNPLPGQTLIYSRQAEEIDVLSANIYDNEANQLKVRSHDYPSVDRYRNRNRNEPFKAVRHPYDHSENRIELYLPQWRRQTDLEEFEREITTPM
+>sp|P29074|PTN4_HUMAN Tyrosine-protein phosphatase non-receptor type 4 OS=Homo sapiens OX=9606 GN=PTPN4 PE=1 SV=1
+MTSRFRLPAGRTYNVRASELARDRQHTEVVCNILLLDNTVQAFKVNKHDQGQVLLDVVFKHLDLTEQDYFGLQLADDSTDNPRWLDPNKPIRKQLKRGSPYSLNFRVKFFVSDPNKLQEEYTRYQYFLQIKQDILTGRLPCPSNTAALLASFAVQSELGDYDQSENLSGYLSDYSFIPNQPQDFEKEIAKLHQQHIGLSPAEAEFNYLNTARTLELYGVEFHYARDQSNNEIMIGVMSGGILIYKNRVRMNTFPWLKIVKISFKCKQFFIQLRKELHESRETLLGFNMVNYRACKNLWKACVEHHTFFRLDRPLPPQKNFFAHYFTLGSKFRYCGRTEVQSVQYGKEKANKDRVFARSPSKPLARKLMDWEVVSRNSISDDRLETQSLPSRSPPGTPNHRNSTFTQEGTRLRPSSVGHLVDHMVHTSPSEVFVNQRSPSSTQANSIVLESSPSQETPGDGKPPALPPKQSKKNSWNQIHYSHSQQDLESHINETFDIPSSPEKPTPNGGIPHDNLVLIRMKPDENGRFGFNVKGGYDQKMPVIVSRVAPGTPADLCVPRLNEGDQVVLINGRDIAEHTHDQVVLFIKASCERHSGELMLLVRPNAVYDVVEEKLENEPDFQYIPEKAPLDSVHQDDHSLRESMIQLAEGLITGTVLTQFDQLYRKKPGMTMSCAKLPQNISKNRYRDISPYDATRVILKGNEDYINANYINMEIPSSSIINQYIACQGPLPHTCTDFWQMTWEQGSSMVVMLTTQVERGRVKCHQYWPEPTGSSSYGCYQVTCHSEEGNTAYIFRKMTLFNQEKNESRPLTQIQYIAWPDHGVPDDSSDFLDFVCHVRNKRAGKEEPVVVHCSAGIGRTGVLITMETAMCLIECNQPVYPLDIVRTMRDQRAMMIQTPSQYRFVCEAILKVYEEGFVKPLTTSTNK
+>DECOY_sp|P29074|PTN4_HUMAN Tyrosine-protein phosphatase non-receptor type 4 OS=Homo sapiens OX=9606 GN=PTPN4 PE=1 SV=1
+KNTSTTLPKVFGEEYVKLIAECVFRYQSPTQIMMARQDRMTRVIDLPYVPQNCEILCMATEMTILVGTRGIGASCHVVVPEEKGARKNRVHCVFDLFDSSDDPVGHDPWAIYQIQTLPRSENKEQNFLTMKRFIYATNGEESHCTVQYCGYSSSGTPEPWYQHCKVRGREVQTTLMVVMSSGQEWTMQWFDTCTHPLPGQCAIYQNIISSSPIEMNIYNANIYDENGKLIVRTADYPSIDRYRNKSINQPLKACSMTMGPKKRYLQDFQTLVTGTILGEALQIMSERLSHDDQHVSDLPAKEPIYQFDPENELKEEVVDYVANPRVLLMLEGSHRECSAKIFLVVQDHTHEAIDRGNILVVQDGENLRPVCLDAPTGPAVRSVIVPMKQDYGGKVNFGFRGNEDPKMRILVLNDHPIGGNPTPKEPSSPIDFTENIHSELDQQSHSYHIQNWSNKKSQKPPLAPPKGDGPTEQSPSSELVISNAQTSSPSRQNVFVESPSTHVMHDVLHGVSSPRLRTGEQTFTSNRHNPTGPPSRSPLSQTELRDDSISNRSVVEWDMLKRALPKSPSRAFVRDKNAKEKGYQVSQVETRGCYRFKSGLTFYHAFFNKQPPLPRDLRFFTHHEVCAKWLNKCARYNVMNFGLLTERSEHLEKRLQIFFQKCKFSIKVIKLWPFTNMRVRNKYILIGGSMVGIMIENNSQDRAYHFEVGYLELTRATNLYNFEAEAPSLGIHQQHLKAIEKEFDQPQNPIFSYDSLYGSLNESQDYDGLESQVAFSALLAATNSPCPLRGTLIDQKIQLFYQYRTYEEQLKNPDSVFFKVRFNLSYPSGRKLQKRIPKNPDLWRPNDTSDDALQLGFYDQETLDLHKFVVDLLVQGQDHKNVKFAQVTNDLLLINCVVETHQRDRALESARVNYTRGAPLRFRSTM
+>sp|P29350|PTN6_HUMAN Tyrosine-protein phosphatase non-receptor type 6 OS=Homo sapiens OX=9606 GN=PTPN6 PE=1 SV=1
+MVRWFHRDLSGLDAETLLKGRGVHGSFLARPSRKNQGDFSLSVRVGDQVTHIRIQNSGDFYDLYGGEKFATLTELVEYYTQQQGVLQDRDGTIIHLKYPLNCSDPTSERWYHGHMSGGQAETLLQAKGEPWTFLVRESLSQPGDFVLSVLSDQPKAGPGSPLRVTHIKVMCEGGRYTVGGLETFDSLTDLVEHFKKTGIEEASGAFVYLRQPYYATRVNAADIENRVLELNKKQESEDTAKAGFWEEFESLQKQEVKNLHQRLEGQRPENKGKNRYKNILPFDHSRVILQGRDSNIPGSDYINANYIKNQLLGPDENAKTYIASQGCLEATVNDFWQMAWQENSRVIVMTTREVEKGRNKCVPYWPEVGMQRAYGPYSVTNCGEHDTTEYKLRTLQVSPLDNGDLIREIWHYQYLSWPDHGVPSEPGGVLSFLDQINQRQESLPHAGPIIVHCSAGIGRTGTIIVIDMLMENISTKGLDCDIDIQKTIQMVRAQRSGMVQTEAQYKFIYVAIAQFIETTKKKLEVLQSQKGQESEYGNITYPPAMKNAHAKASRTSSKHKEDVYENLHTKNKREEKVKKQRSADKEKSKGSLKRK
+>DECOY_sp|P29350|PTN6_HUMAN Tyrosine-protein phosphatase non-receptor type 6 OS=Homo sapiens OX=9606 GN=PTPN6 PE=1 SV=1
+KRKLSGKSKEKDASRQKKVKEERKNKTHLNEYVDEKHKSSTRSAKAHANKMAPPYTINGYESEQGKQSQLVELKKKTTEIFQAIAVYIFKYQAETQVMGSRQARVMQITKQIDIDCDLGKTSINEMLMDIVIITGTRGIGASCHVIIPGAHPLSEQRQNIQDLFSLVGGPESPVGHDPWSLYQYHWIERILDGNDLPSVQLTRLKYETTDHEGCNTVSYPGYARQMGVEPWYPVCKNRGKEVERTTMVIVRSNEQWAMQWFDNVTAELCGQSAIYTKANEDPGLLQNKIYNANIYDSGPINSDRGQLIVRSHDFPLINKYRNKGKNEPRQGELRQHLNKVEQKQLSEFEEWFGAKATDESEQKKNLELVRNEIDAANVRTAYYPQRLYVFAGSAEEIGTKKFHEVLDTLSDFTELGGVTYRGGECMVKIHTVRLPSGPGAKPQDSLVSLVFDGPQSLSERVLFTWPEGKAQLLTEAQGGSMHGHYWRESTPDSCNLPYKLHIITGDRDQLVGQQQTYYEVLETLTAFKEGGYLDYFDGSNQIRIHTVQDGVRVSLSFDGQNKRSPRALFSGHVGRGKLLTEADLGSLDRHFWRVM
+>sp|Q96A99|PTX4_HUMAN Pentraxin-4 OS=Homo sapiens OX=9606 GN=PTX4 PE=2 SV=2
+MGCSWRKTLSFFLVFVPIYLHGASSQEAAPVGPRKPFFERLRRLEEQFRRFQEVTWTHLQNIASNYNVSYNVDVRFRSLAEESQAVAQAVNRSQASVQGELAQLKAWVRKLQRRGRKVDTRLRALDLTLGERSQQRARERKAHKAQRDALQDSLARLEGLVHSQGARLAALEGRLPVAHPGTAALGPALVPTPTQPEELGPTSLKLQRDRQELRAASEHRGPPQDSSAPLQGRREPPASGSHRVLSGTAPKDPRQQAWSPQVPGEICGVGPTLVFPNASTRNVVFLSPGFVTALRALSFCSWVRTASGRLGTLLSYATEDNDNKLVLHGRDSLLPGSIHFVIGDPAFRELPLQLLLDGQWHHICVIWTSTQGRYWLHVDRRLVATGSRFREGYEIPPGGSLVLGQEQDSVGGGFDSSEAFVGSMSGLAIWDRALVPGEVANLAIGKEFPTGAILTLANAALAGGFVQGANCTCLERCP
+>DECOY_sp|Q96A99|PTX4_HUMAN Pentraxin-4 OS=Homo sapiens OX=9606 GN=PTX4 PE=2 SV=2
+PCRELCTCNAGQVFGGALAANALTLIAGTPFEKGIALNAVEGPVLARDWIALGSMSGVFAESSDFGGGVSDQEQGLVLSGGPPIEYGERFRSGTAVLRRDVHLWYRGQTSTWIVCIHHWQGDLLLQLPLERFAPDGIVFHISGPLLSDRGHLVLKNDNDETAYSLLTGLRGSATRVWSCFSLARLATVFGPSLFVVNRTSANPFVLTPGVGCIEGPVQPSWAQQRPDKPATGSLVRHSGSAPPERRGQLPASSDQPPGRHESAARLEQRDRQLKLSTPGLEEPQTPTPVLAPGLAATGPHAVPLRGELAALRAGQSHVLGELRALSDQLADRQAKHAKRERARQQSREGLTLDLARLRTDVKRGRRQLKRVWAKLQALEGQVSAQSRNVAQAVAQSEEALSRFRVDVNYSVNYNSAINQLHTWTVEQFRRFQEELRRLREFFPKRPGVPAAEQSSAGHLYIPVFVLFFSLTKRWSCGM
+>sp|O15067|PUR4_HUMAN Phosphoribosylformylglycinamidine synthase OS=Homo sapiens OX=9606 GN=PFAS PE=1 SV=4
+MSPVLHFYVRPSGHEGAAPGHTRRKLQGKLPELQGVETELCYNVNWTAEALPSAEETKKLMWLFGCPLLLDDVARESWLLPGSNDLLLEVGPRLNFSTPTSTNIVSVCRATGLGPVDRVETTRRYRLSFAHPPSAEVEAIALATLHDRMTEQHFPHPIQSFSPESMPEPLNGPINILGEGRLALEKANQELGLALDSWDLDFYTKRFQELQRNPSTVEAFDLAQSNSEHSRHWFFKGQLHVDGQKLVHSLFESIMSTQESSNPNNVLKFCDNSSAIQGKEVRFLRPEDPTRPSRFQQQQGLRHVVFTAETHNFPTGVCPFSGATTGTGGRIRDVQCTGRGAHVVAGTAGYCFGNLHIPGYNLPWEDPSFQYPGNFARPLEVAIEASNGASDYGNKFGEPVLAGFARSLGLQLPDGQRREWIKPIMFSGGIGSMEADHISKEAPEPGMEVVKVGGPVYRIGVGGGAASSVQVQGDNTSDLDFGAVQRGDPEMEQKMNRVIRACVEAPKGNPICSLHDQGAGGNGNVLKELSDPAGAIIYTSRFQLGDPTLNALEIWGAEYQESNALLLRSPNRDFLTHVSARERCPACFVGTITGDRRIVLVDDRECPVRRNGQGDAPPTPLPTPVDLELEWVLGKMPRKEFFLQRKPPMLQPLALPPGLSVHQALERVLRLPAVASKRYLTNKVDRSVGGLVAQQQCVGPLQTPLADVAVVALSHEELIGAATALGEQPVKSLLDPKVAARLAVAEALTNLVFALVTDLRDVKCSGNWMWAAKLPGEGAALADACEAMVAVMAALGVAVDGGKDSLSMAARVGTETVRAPGSLVISAYAVCPDITATVTPDLKHPEGRGHLLYVALSPGQHRLGGTALAQCFSQLGEHPPDLDLPENLVRAFSITQGLLKDRLLCSGHDVSDGGLVTCLLEMAFAGNCGLQVDVPVPRVDVLSVLFAEEPGLVLEVQEPDLAQVLKRYRDAGLHCLELGHTGEAGPHAMVRVSVNGAVVLEEPVGELRALWEETSFQLDRLQAEPRCVAEEERGLRERMGPSYCLPPTFPKASVPREPGGPSPRVAILREEGSNGDREMADAFHLAGFEVWDVTMQDLCSGAIGLDTFRGVAFVGGFSYADVLGSAKGWAAAVTFHPRAGAELRRFRKRPDTFSLGVCNGCQLLALLGWVGGDPNEDAAEMGPDSQPARPGLLLRHNLSGRYESRWASVRVGPGPALMLRGMEGAVLPVWSAHGEGYVAFSSPELQAQIEARGLAPLHWADDDGNPTEQYPLNPNGSPGGVAGICSCDGRHLAVMPHPERAVRPWQWAWRPPPFDTLTTSPWLQLFINARNWTLEGSC
+>DECOY_sp|O15067|PUR4_HUMAN Phosphoribosylformylglycinamidine synthase OS=Homo sapiens OX=9606 GN=PFAS PE=1 SV=4
+CSGELTWNRANIFLQLWPSTTLTDFPPPRWAWQWPRVAREPHPMVALHRGDCSCIGAVGGPSGNPNLPYQETPNGDDDAWHLPALGRAEIQAQLEPSSFAVYGEGHASWVPLVAGEMGRLMLAPGPGVRVSAWRSEYRGSLNHRLLLGPRAPQSDPGMEAADENPDGGVWGLLALLQCGNCVGLSFTDPRKRFRRLEAGARPHFTVAAAWGKASGLVDAYSFGGVFAVGRFTDLGIAGSCLDQMTVDWVEFGALHFADAMERDGNSGEERLIAVRPSPGGPERPVSAKPFTPPLCYSPGMRERLGREEEAVCRPEAQLRDLQFSTEEWLARLEGVPEELVVAGNVSVRVMAHPGAEGTHGLELCHLGADRYRKLVQALDPEQVELVLGPEEAFLVSLVDVRPVPVDVQLGCNGAFAMELLCTVLGGDSVDHGSCLLRDKLLGQTISFARVLNEPLDLDPPHEGLQSFCQALATGGLRHQGPSLAVYLLHGRGEPHKLDPTVTATIDPCVAYASIVLSGPARVTETGVRAAMSLSDKGGDVAVGLAAMVAVMAECADALAAGEGPLKAAWMWNGSCKVDRLDTVLAFVLNTLAEAVALRAAVKPDLLSKVPQEGLATAAGILEEHSLAVVAVDALPTQLPGVCQQQAVLGGVSRDVKNTLYRKSAVAPLRLVRELAQHVSLGPPLALPQLMPPKRQLFFEKRPMKGLVWELELDVPTPLPTPPADGQGNRRVPCERDDVLVIRRDGTITGVFCAPCRERASVHTLFDRNPSRLLLANSEQYEAGWIELANLTPDGLQFRSTYIIAGAPDSLEKLVNGNGGAGQDHLSCIPNGKPAEVCARIVRNMKQEMEPDGRQVAGFDLDSTNDGQVQVSSAAGGGVGIRYVPGGVKVVEMGPEPAEKSIHDAEMSGIGGSFMIPKIWERRQGDPLQLGLSRAFGALVPEGFKNGYDSAGNSAEIAVELPRAFNGPYQFSPDEWPLNYGPIHLNGFCYGATGAVVHAGRGTCQVDRIRGGTGTTAGSFPCVGTPFNHTEATFVVHRLGQQQQFRSPRTPDEPRLFRVEKGQIASSNDCFKLVNNPNSSEQTSMISEFLSHVLKQGDVHLQGKFFWHRSHESNSQALDFAEVTSPNRQLEQFRKTYFDLDWSDLALGLEQNAKELALRGEGLINIPGNLPEPMSEPSFSQIPHPFHQETMRDHLTALAIAEVEASPPHAFSLRYRRTTEVRDVPGLGTARCVSVINTSTPTSFNLRPGVELLLDNSGPLLWSERAVDDLLLPCGFLWMLKKTEEASPLAEATWNVNYCLETEVGQLEPLKGQLKRRTHGPAAGEHGSPRVYFHLVPSM
+>sp|P30566|PUR8_HUMAN Adenylosuccinate lyase OS=Homo sapiens OX=9606 GN=ADSL PE=1 SV=2
+MAAGGDHGSPDSYRSPLASRYASPEMCFVFSDRYKFRTWRQLWLWLAEAEQTLGLPITDEQIQEMKSNLENIDFKMAAEEEKRLRHDVMAHVHTFGHCCPKAAGIIHLGATSCYVGDNTDLIILRNALDLLLPKLARVISRLADFAKERASLPTLGFTHFQPAQLTTVGKRCCLWIQDLCMDLQNLKRVRDDLRFRGVKGTTGTQASFLQLFEGDDHKVEQLDKMVTEKAGFKRAFIITGQTYTRKVDIEVLSVLASLGASVHKICTDIRLLANLKEMEEPFEKQQIGSSAMPYKRNPMRSERCCSLARHLMTLVMDPLQTASVQWFERTLDDSANRRICLAEAFLTADTILNTLQNISEGLVVYPKVIERRIRQELPFMATENIIMAMVKAGGSRQDCHEKIRVLSQQAASVVKQEGGDNDLIERIQVDAYFSPIHSQLDHLLDPSSFTGRASQQVQRFLEEEVYPLLKPYESVMKVKAELCL
+>DECOY_sp|P30566|PUR8_HUMAN Adenylosuccinate lyase OS=Homo sapiens OX=9606 GN=ADSL PE=1 SV=2
+LCLEAKVKMVSEYPKLLPYVEEELFRQVQQSARGTFSSPDLLHDLQSHIPSFYADVQIREILDNDGGEQKVVSAAQQSLVRIKEHCDQRSGGAKVMAMIINETAMFPLEQRIRREIVKPYVVLGESINQLTNLITDATLFAEALCIRRNASDDLTREFWQVSATQLPDMVLTMLHRALSCCRESRMPNRKYPMASSGIQQKEFPEEMEKLNALLRIDTCIKHVSAGLSALVSLVEIDVKRTYTQGTIIFARKFGAKETVMKDLQEVKHDDGEFLQLFSAQTGTTGKVGRFRLDDRVRKLNQLDMCLDQIWLCCRKGVTTLQAPQFHTFGLTPLSAREKAFDALRSIVRALKPLLLDLANRLIILDTNDGVYCSTAGLHIIGAAKPCCHGFTHVHAMVDHRLRKEEEAAMKFDINELNSKMEQIQEDTIPLGLTQEAEALWLWLQRWTRFKYRDSFVFCMEPSAYRSALPSRYSDPSGHDGGAAM
+>sp|Q96N64|PWP2A_HUMAN PWWP domain-containing protein 2A OS=Homo sapiens OX=9606 GN=PWWP2A PE=1 SV=2
+MAAVAAEAAATAASPGEGGAGEAEPEMEPIPGSEAGTDPLPVTATEASVPDGETDGQQSAPQADEPPLPPPPPPPGELARSPEAVGPELEAEEKLSVRVAESAAAAPQGGPELPPSPASPPEQPPAPEEREEPPLPQPVAPALVPPAGGDSTVSQLIPGSEVRVTLDHIIEDALVVSFRFGEKLFSGVLMDLSKRFGPHGIPVTVFPKREYKDKPEAMPLQSNTFQEGTEVKCEANGAVPDDPSPVPHPELSLAESLWTSKPPPLFHEGAPYPPPLFIRDTYNQSIPQPPPRKIKRPKRKMYREEPTSIMNAIKLRPRQVLCDKCKNSVVAEKKEIRKGSSATDSSKYEDKKRRNESVTTVNKKLKTDHKVDGKNQNESQKRNAVVKVSNIAHSRGRVVKVSAQANTSKAQLSTKKVLQSKNMDHAKAREVLKIAKEKAQKKQNETSTSKNAHSKVHFTRRYQNPSSGSLPPRVRLKPQRYRNEENDSSLKTGLEKMRSGKMAPKPQSRCTSTRSAGEAPSENQSPSKGPEEASSEVQDTNEVHVPGDQDEPQTLGKKGSKNNISVYMTLNQKKSDSSSASVCSIDSTDDLKSSNSECSSSESFDFPPGSMHAPSTSSTSSSSKEEKKLSNSLKMKVFSKNVSKCVTPDGRTICVGDIVWAKIYGFPWWPARILTITVSRKDNGLLVRQEARISWFGSPTTSFLALSQLSPFLENFQSRFNKKRKGLYRKAITEAAKAAKQLTPEVRALLTQFET
+>DECOY_sp|Q96N64|PWP2A_HUMAN PWWP domain-containing protein 2A OS=Homo sapiens OX=9606 GN=PWWP2A PE=1 SV=2
+TEFQTLLARVEPTLQKAAKAAETIAKRYLGKRKKNFRSQFNELFPSLQSLALFSTTPSGFWSIRAEQRVLLGNDKRSVTITLIRAPWWPFGYIKAWVIDGVCITRGDPTVCKSVNKSFVKMKLSNSLKKEEKSSSSTSSTSPAHMSGPPFDFSESSSCESNSSKLDDTSDISCVSASSSDSKKQNLTMYVSINNKSGKKGLTQPEDQDGPVHVENTDQVESSAEEPGKSPSQNESPAEGASRTSTCRSQPKPAMKGSRMKELGTKLSSDNEENRYRQPKLRVRPPLSGSSPNQYRRTFHVKSHANKSTSTENQKKQAKEKAIKLVERAKAHDMNKSQLVKKTSLQAKSTNAQASVKVVRGRSHAINSVKVVANRKQSENQNKGDVKHDTKLKKNVTTVSENRRKKDEYKSSDTASSGKRIEKKEAVVSNKCKDCLVQRPRLKIANMISTPEERYMKRKPRKIKRPPPQPISQNYTDRIFLPPPYPAGEHFLPPPKSTWLSEALSLEPHPVPSPDDPVAGNAECKVETGEQFTNSQLPMAEPKDKYERKPFVTVPIGHPGFRKSLDMLVGSFLKEGFRFSVVLADEIIHDLTVRVESGPILQSVTSDGGAPPVLAPAVPQPLPPEEREEPAPPQEPPSAPSPPLEPGGQPAAAASEAVRVSLKEEAELEPGVAEPSRALEGPPPPPPPLPPEDAQPASQQGDTEGDPVSAETATVPLPDTGAESGPIPEMEPEAEGAGGEGPSAATAAAEAAVAAM
+>sp|O96011|PX11B_HUMAN Peroxisomal membrane protein 11B OS=Homo sapiens OX=9606 GN=PEX11B PE=1 SV=1
+MDAWVRFSAQSQARERLCRAAQYACSLLGHALQRHGASPELQKQIRQLESHLSLGRKLLRLGNSADALESAKRAVHLSDVVLRFCITVSHLNRALYFACDNVLWAGKSGLAPRVDQEKWAQRSFRYYLFSLIMNLSRDAYEIRLLMEQESSACSRRLKGSGGGVPGGSETGGLGGPGTPGGGLPQLALKLRLQVLLLARVLRGHPPLLLDVVRNACDLFIPLDKLGLWRCGPGIVGLCGLVSSILSILTLIYPWLRLKP
+>DECOY_sp|O96011|PX11B_HUMAN Peroxisomal membrane protein 11B OS=Homo sapiens OX=9606 GN=PEX11B PE=1 SV=1
+PKLRLWPYILTLISLISSVLGCLGVIGPGCRWLGLKDLPIFLDCANRVVDLLLPPHGRLVRALLLVQLRLKLALQPLGGGPTGPGGLGGTESGGPVGGGSGKLRRSCASSEQEMLLRIEYADRSLNMILSFLYYRFSRQAWKEQDVRPALGSKGAWLVNDCAFYLARNLHSVTICFRLVVDSLHVARKASELADASNGLRLLKRGLSLHSELQRIQKQLEPSAGHRQLAHGLLSCAYQAARCLRERAQSQASFRVWADM
+>sp|P11216|PYGB_HUMAN Glycogen phosphorylase, brain form OS=Homo sapiens OX=9606 GN=PYGB PE=1 SV=5
+MAKPLTDSEKRKQISVRGLAGLGDVAEVRKSFNRHLHFTLVKDRNVATPRDYFFALAHTVRDHLVGRWIRTQQHYYERDPKRIYYLSLEFYMGRTLQNTMVNLGLQNACDEAIYQLGLDLEELEEIEEDAGLGNGGLGRLAACFLDSMATLGLAAYGYGIRYEFGIFNQKIVNGWQVEEADDWLRYGNPWEKARPEYMLPVHFYGRVEHTPDGVKWLDTQVVLAMPYDTPVPGYKNNTVNTMRLWSAKAPNDFKLQDFNVGDYIEAVLDRNLAENISRVLYPNDNFFEGKELRLKQEYFVVAATLQDIIRRFKSSKFGCRDPVRTCFETFPDKVAIQLNDTHPALSIPELMRILVDVEKVDWDKAWEITKKTCAYTNHTVLPEALERWPVSMFEKLLPRHLEIIYAINQRHLDHVAALFPGDVDRLRRMSVIEEGDCKRINMAHLCVIGSHAVNGVARIHSEIVKQSVFKDFYELEPEKFQNKTNGITPRRWLLLCNPGLADTIVEKIGEEFLTDLSQLKKLLPLVSDEVFIRDVAKVKQENKLKFSAFLEKEYKVKINPSSMFDVHVKRIHEYKRQLLNCLHVVTLYNRIKRDPAKAFVPRTVMIGGKAAPGYHMAKLIIKLVTSIGDVVNHDPVVGDRLKVIFLENYRVSLAEKVIPAADLSQQISTAGTEASGTGNMKFMLNGALTIGTMDGANVEMAEEAGAENLFIFGLRVEDVEALDRKGYNAREYYDHLPELKQAVDQISSGFFSPKEPDCFKDIVNMLMHHDRFKVFADYEAYMQCQAQVDQLYRNPKEWTKKVIRNIACSGKFSSDRTITEYAREIWGVEPSDLQIPPPNIPRD
+>DECOY_sp|P11216|PYGB_HUMAN Glycogen phosphorylase, brain form OS=Homo sapiens OX=9606 GN=PYGB PE=1 SV=5
+DRPINPPPIQLDSPEVGWIERAYETITRDSSFKGSCAINRIVKKTWEKPNRYLQDVQAQCQMYAEYDAFVKFRDHHMLMNVIDKFCDPEKPSFFGSSIQDVAQKLEPLHDYYERANYGKRDLAEVDEVRLGFIFLNEAGAEEAMEVNAGDMTGITLAGNLMFKMNGTGSAETGATSIQQSLDAAPIVKEALSVRYNELFIVKLRDGVVPDHNVVDGISTVLKIILKAMHYGPAAKGGIMVTRPVFAKAPDRKIRNYLTVVHLCNLLQRKYEHIRKVHVDFMSSPNIKVKYEKELFASFKLKNEQKVKAVDRIFVEDSVLPLLKKLQSLDTLFEEGIKEVITDALGPNCLLLWRRPTIGNTKNQFKEPELEYFDKFVSQKVIESHIRAVGNVAHSGIVCLHAMNIRKCDGEEIVSMRRLRDVDGPFLAAVHDLHRQNIAYIIELHRPLLKEFMSVPWRELAEPLVTHNTYACTKKTIEWAKDWDVKEVDVLIRMLEPISLAPHTDNLQIAVKDPFTEFCTRVPDRCGFKSSKFRRIIDQLTAAVVFYEQKLRLEKGEFFNDNPYLVRSINEALNRDLVAEIYDGVNFDQLKFDNPAKASWLRMTNVTNNKYGPVPTDYPMALVVQTDLWKVGDPTHEVRGYFHVPLMYEPRAKEWPNGYRLWDDAEEVQWGNVIKQNFIGFEYRIGYGYAALGLTAMSDLFCAALRGLGGNGLGADEEIEELEELDLGLQYIAEDCANQLGLNVMTNQLTRGMYFELSLYYIRKPDREYYHQQTRIWRGVLHDRVTHALAFFYDRPTAVNRDKVLTFHLHRNFSKRVEAVDGLGALGRVSIQKRKESDTLPKAM
+>sp|P06737|PYGL_HUMAN Glycogen phosphorylase, liver form OS=Homo sapiens OX=9606 GN=PYGL PE=1 SV=4
+MAKPLTDQEKRRQISIRGIVGVENVAELKKSFNRHLHFTLVKDRNVATTRDYYFALAHTVRDHLVGRWIRTQQHYYDKCPKRVYYLSLEFYMGRTLQNTMINLGLQNACDEAIYQLGLDIEELEEIEEDAGLGNGGLGRLAACFLDSMATLGLAAYGYGIRYEYGIFNQKIRDGWQVEEADDWLRYGNPWEKSRPEFMLPVHFYGKVEHTNTGTKWIDTQVVLALPYDTPVPGYMNNTVNTMRLWSARAPNDFNLRDFNVGDYIQAVLDRNLAENISRVLYPNDNFFEGKELRLKQEYFVVAATLQDIIRRFKASKFGSTRGAGTVFDAFPDQVAIQLNDTHPALAIPELMRIFVDIEKLPWSKAWELTQKTFAYTNHTVLPEALERWPVDLVEKLLPRHLEIIYEINQKHLDRIVALFPKDVDRLRRMSLIEEEGSKRINMAHLCIVGSHAVNGVAKIHSDIVKTKVFKDFSELEPDKFQNKTNGITPRRWLLLCNPGLAELIAEKIGEDYVKDLSQLTKLHSFLGDDVFLRELAKVKQENKLKFSQFLETEYKVKINPSSMFDVQVKRIHEYKRQLLNCLHVITMYNRIKKDPKKLFVPRTVIIGGKAAPGYHMAKMIIKLITSVADVVNNDPMVGSKLKVIFLENYRVSLAEKVIPATDLSEQISTAGTEASGTGNMKFMLNGALTIGTMDGANVEMAEEAGEENLFIFGMRIDDVAALDKKGYEAKEYYEALPELKLVIDQIDNGFFSPKQPDLFKDIINMLFYHDRFKVFADYEAYVKCQDKVSQLYMNPKAWNTMVLKNIAASGKFSSDRTIKEYAQNIWNVEPSDLKISLSNESNKVNGN
+>DECOY_sp|P06737|PYGL_HUMAN Glycogen phosphorylase, liver form OS=Homo sapiens OX=9606 GN=PYGL PE=1 SV=4
+NGNVKNSENSLSIKLDSPEVNWINQAYEKITRDSSFKGSAAINKLVMTNWAKPNMYLQSVKDQCKVYAEYDAFVKFRDHYFLMNIIDKFLDPQKPSFFGNDIQDIVLKLEPLAEYYEKAEYGKKDLAAVDDIRMGFIFLNEEGAEEAMEVNAGDMTGITLAGNLMFKMNGTGSAETGATSIQESLDTAPIVKEALSVRYNELFIVKLKSGVMPDNNVVDAVSTILKIIMKAMHYGPAAKGGIIVTRPVFLKKPDKKIRNYMTIVHLCNLLQRKYEHIRKVQVDFMSSPNIKVKYETELFQSFKLKNEQKVKALERLFVDDGLFSHLKTLQSLDKVYDEGIKEAILEALGPNCLLLWRRPTIGNTKNQFKDPELESFDKFVKTKVIDSHIKAVGNVAHSGVICLHAMNIRKSGEEEILSMRRLRDVDKPFLAVIRDLHKQNIEYIIELHRPLLKEVLDVPWRELAEPLVTHNTYAFTKQTLEWAKSWPLKEIDVFIRMLEPIALAPHTDNLQIAVQDPFADFVTGAGRTSGFKSAKFRRIIDQLTAAVVFYEQKLRLEKGEFFNDNPYLVRSINEALNRDLVAQIYDGVNFDRLNFDNPARASWLRMTNVTNNMYGPVPTDYPLALVVQTDIWKTGTNTHEVKGYFHVPLMFEPRSKEWPNGYRLWDDAEEVQWGDRIKQNFIGYEYRIGYGYAALGLTAMSDLFCAALRGLGGNGLGADEEIEELEEIDLGLQYIAEDCANQLGLNIMTNQLTRGMYFELSLYYVRKPCKDYYHQQTRIWRGVLHDRVTHALAFYYDRTTAVNRDKVLTFHLHRNFSKKLEAVNEVGVIGRISIQRRKEQDTLPKAM
+>sp|Q9BRP8|PYM1_HUMAN Partner of Y14 and mago OS=Homo sapiens OX=9606 GN=PYM1 PE=1 SV=1
+MEAAGSPAATETGKYIASTQRPDGTWRKQRRVKEGYVPQEEVPVYENKYVKFFKSKPELPPGLSPEATAPVTPSRPEGGEPGLSKTAKRNLKRKEKRRQQQEKGEAEALSRTLDKVSLEETAQLPSAPQGSRAAPTAASDQPDSAATTEKAKKIKNLKKKLRQVEELQQRIQAGEVSQPSKEQLEKLARRRALEEELEDLELGL
+>DECOY_sp|Q9BRP8|PYM1_HUMAN Partner of Y14 and mago OS=Homo sapiens OX=9606 GN=PYM1 PE=1 SV=1
+LGLELDELEEELARRRALKELQEKSPQSVEGAQIRQQLEEVQRLKKKLNKIKKAKETTAASDPQDSAATPAARSGQPASPLQATEELSVKDLTRSLAEAEGKEQQQRRKEKRKLNRKATKSLGPEGGEPRSPTVPATAEPSLGPPLEPKSKFFKVYKNEYVPVEEQPVYGEKVRRQKRWTGDPRQTSAIYKGTETAAPSGAAEM
+>sp|Q9H606|PRORY_HUMAN Proline-rich protein, Y-linked OS=Homo sapiens OX=9606 GN=PRORY PE=2 SV=1
+MMRRSPSGLKSPRVSQGRKPRDPESLLFLRCCLGSEPHNLSSLLSPEAGQEPLPKLLPQPLAGHAAWGIHGVPTSLLLAGECWGQGMAVPADPPPASPYRTSPRPPPGPLPRYRPQQHLLLPLGRLHALCPGCPLQQSLQFERGTLSAPRLWSWMKLETIILSKLSQGQKTKHRMFSLISES
+>DECOY_sp|Q9H606|PRORY_HUMAN Proline-rich protein, Y-linked OS=Homo sapiens OX=9606 GN=PRORY PE=2 SV=1
+SESILSFMRHKTKQGQSLKSLIITELKMWSWLRPASLTGREFQLSQQLPCGPCLAHLRGLPLLLHQQPRYRPLPGPPPRPSTRYPSAPPPDAPVAMGQGWCEGALLLSTPVGHIGWAAHGALPQPLLKPLPEQGAEPSLLSSLNHPESGLCCRLFLLSEPDRPKRGQSVRPSKLGSPSRRMM
+>sp|O94906|PRP6_HUMAN Pre-mRNA-processing factor 6 OS=Homo sapiens OX=9606 GN=PRPF6 PE=1 SV=1
+MNKKKKPFLGMPAPLGYVPGLGRGATGFTTRSDIGPARDANDPVDDRHAPPGKRTVGDQMKKNQAADDDDEDLNDTNYDEFNGYAGSLFSSGPYEKDDEEADAIYAALDKRMDERRKERREQREKEEIEKYRMERPKIQQQFSDLKRKLAEVTEEEWLSIPEVGDARNKRQRNPRYEKLTPVPDSFFAKHLQTGENHTSVDPRQTQFGGLNTPYPGGLNTPYPGGMTPGLMTPGTGELDMRKIGQARNTLMDMRLSQVSDSVSGQTVVDPKGYLTDLNSMIPTHGGDINDIKKARLLLKSVRETNPHHPPAWIASARLEEVTGKLQVARNLIMKGTEMCPKSEDVWLEAARLQPGDTAKAVVAQAVRHLPQSVRIYIRAAELETDIRAKKRVLRKALEHVPNSVRLWKAAVELEEPEDARIMLSRAVECCPTSVELWLALARLETYENARKVLNKARENIPTDRHIWITAAKLEEANGNTQMVEKIIDRAITSLRANGVEINREQWIQDAEECDRAGSVATCQAVMRAVIGIGIEEEDRKHTWMEDADSCVAHNALECARAIYAYALQVFPSKKSVWLRAAYFEKNHGTRESLEALLQRAVAHCPKAEVLWLMGAKSKWLAGDVPAARSILALAFQANPNSEEIWLAAVKLESENDEYERARRLLAKARSSAPTARVFMKSVKLEWVQDNIRAAQDLCEEALRHYEDFPKLWMMKGQIEEQKEMMEKAREAYNQGLKKCPHSTPLWLLLSRLEEKIGQLTRARAILEKSRLKNPKNPGLWLESVRLEYRAGLKNIANTLMAKALQECPNSGILWSEAIFLEARPQRRTKSVDALKKCEHDPHVLLAVAKLFWSQRKITKAREWFHRTVKIDSDLGDAWAFFYKFELQHGTEEQQEEVRKRCESAEPRHGELWCAVSKDIANWQKKIGDILRLVAGRIKNTF
+>DECOY_sp|O94906|PRP6_HUMAN Pre-mRNA-processing factor 6 OS=Homo sapiens OX=9606 GN=PRPF6 PE=1 SV=1
+FTNKIRGAVLRLIDGIKKQWNAIDKSVACWLEGHRPEASECRKRVEEQQEETGHQLEFKYFFAWADGLDSDIKVTRHFWERAKTIKRQSWFLKAVALLVHPDHECKKLADVSKTRRQPRAELFIAESWLIGSNPCEQLAKAMLTNAINKLGARYELRVSELWLGPNKPNKLRSKELIARARTLQGIKEELRSLLLWLPTSHPCKKLGQNYAERAKEMMEKQEEIQGKMMWLKPFDEYHRLAEECLDQAARINDQVWELKVSKMFVRATPASSRAKALLRRAREYEDNESELKVAALWIEESNPNAQFALALISRAAPVDGALWKSKAGMLWLVEAKPCHAVARQLLAELSERTGHNKEFYAARLWVSKKSPFVQLAYAYIARACELANHAVCSDADEMWTHKRDEEEIGIGIVARMVAQCTAVSGARDCEEADQIWQERNIEVGNARLSTIARDIIKEVMQTNGNAEELKAATIWIHRDTPINERAKNLVKRANEYTELRALALWLEVSTPCCEVARSLMIRADEPEELEVAAKWLRVSNPVHELAKRLVRKKARIDTELEAARIYIRVSQPLHRVAQAVVAKATDGPQLRAAELWVDESKPCMETGKMILNRAVQLKGTVEELRASAIWAPPHHPNTERVSKLLLRAKKIDNIDGGHTPIMSNLDTLYGKPDVVTQGSVSDSVQSLRMDMLTNRAQGIKRMDLEGTGPTMLGPTMGGPYPTNLGGPYPTNLGGFQTQRPDVSTHNEGTQLHKAFFSDPVPTLKEYRPNRQRKNRADGVEPISLWEEETVEALKRKLDSFQQQIKPREMRYKEIEEKERQERREKRREDMRKDLAAYIADAEEDDKEYPGSSFLSGAYGNFEDYNTDNLDEDDDDAAQNKKMQDGVTRKGPPAHRDDVPDNADRAPGIDSRTTFGTAGRGLGPVYGLPAPMGLFPKKKKNM
+>sp|Q6P2Q9|PRP8_HUMAN Pre-mRNA-processing-splicing factor 8 OS=Homo sapiens OX=9606 GN=PRPF8 PE=1 SV=2
+MAGVFPYRGPGNPVPGPLAPLPDYMSEEKLQEKARKWQQLQAKRYAEKRKFGFVDAQKEDMPPEHVRKIIRDHGDMTNRKFRHDKRVYLGALKYMPHAVLKLLENMPMPWEQIRDVPVLYHITGAISFVNEIPWVIEPVYISQWGSMWIMMRREKRDRRHFKRMRFPPFDDEEPPLDYADNILDVEPLEAIQLELDPEEDAPVLDWFYDHQPLRDSRKYVNGSTYQRWQFTLPMMSTLYRLANQLLTDLVDDNYFYLFDLKAFFTSKALNMAIPGGPKFEPLVRDINLQDEDWNEFNDINKIIIRQPIRTEYKIAFPYLYNNLPHHVHLTWYHTPNVVFIKTEDPDLPAFYFDPLINPISHRHSVKSQEPLPDDDEEFELPEFVEPFLKDTPLYTDNTANGIALLWAPRPFNLRSGRTRRALDIPLVKNWYREHCPAGQPVKVRVSYQKLLKYYVLNALKHRPPKAQKKRYLFRSFKATKFFQSTKLDWVEVGLQVCRQGYNMLNLLIHRKNLNYLHLDYNFNLKPVKTLTTKERKKSRFGNAFHLCREVLRLTKLVVDSHVQYRLGNVDAFQLADGLQYIFAHVGQLTGMYRYKYKLMRQIRMCKDLKHLIYYRFNTGPVGKGPGCGFWAAGWRVWLFFMRGITPLLERWLGNLLARQFEGRHSKGVAKTVTKQRVESHFDLELRAAVMHDILDMMPEGIKQNKARTILQHLSEAWRCWKANIPWKVPGLPTPIENMILRYVKAKADWWTNTAHYNRERIRRGATVDKTVCKKNLGRLTRLYLKAEQERQHNYLKDGPYITAEEAVAVYTTTVHWLESRRFSPIPFPPLSYKHDTKLLILALERLKEAYSVKSRLNQSQREELGLIEQAYDNPHEALSRIKRHLLTQRAFKEVGIEFMDLYSHLVPVYDVEPLEKITDAYLDQYLWYEADKRRLFPPWIKPADTEPPPLLVYKWCQGINNLQDVWETSEGECNVMLESRFEKMYEKIDLTLLNRLLRLIVDHNIADYMTAKNNVVINYKDMNHTNSYGIIRGLQFASFIVQYYGLVMDLLVLGLHRASEMAGPPQMPNDFLSFQDIATEAAHPIRLFCRYIDRIHIFFRFTADEARDLIQRYLTEHPDPNNENIVGYNNKKCWPRDARMRLMKHDVNLGRAVFWDIKNRLPRSVTTVQWENSFVSVYSKDNPNLLFNMCGFECRILPKCRTSYEEFTHKDGVWNLQNEVTKERTAQCFLRVDDESMQRFHNRVRQILMASGSTTFTKIVNKWNTALIGLMTYFREAVVNTQELLDLLVKCENKIQTRIKIGLNSKMPSRFPPVVFYTPKELGGLGMLSMGHVLIPQSDLRWSKQTDVGITHFRSGMSHEEDQLIPNLYRYIQPWESEFIDSQRVWAEYALKRQEAIAQNRRLTLEDLEDSWDRGIPRINTLFQKDRHTLAYDKGWRVRTDFKQYQVLKQNPFWWTHQRHDGKLWNLNNYRTDMIQALGGVEGILEHTLFKGTYFPTWEGLFWEKASGFEESMKWKKLTNAQRSGLNQIPNRRFTLWWSPTINRANVYVGFQVQLDLTGIFMHGKIPTLKISLIQIFRAHLWQKIHESIVMDLCQVFDQELDALEIETVQKETIHPRKSYKMNSSCADILLFASYKWNVSRPSLLADSKDVMDSTTTQKYWIDIQLRWGDYDSHDIERYARAKFLDYTTDNMSIYPSPTGVLIAIDLAYNLHSAYGNWFPGSKPLIQQAMAKIMKANPALYVLRERIRKGLQLYSSEPTEPYLSSQNYGELFSNQIIWFVDDTNVYRVTIHKTFEGNLTTKPINGAIFIFNPRTGQLFLKIIHTSVWAGQKRLGQLAKWKTAEEVAALIRSLPVEEQPKQIIVTRKGMLDPLEVHLLDFPNIVIKGSELQLPFQACLKVEKFGDLILKATEPQMVLFNLYDDWLKTISSYTAFSRLILILRALHVNNDRAKVILKPDKTTITEPHHIWPTLTDEEWIKVEVQLKDLILADYGKKNNVNVASLTQSEIRDIILGMEISAPSQQRQQIAEIEKQTKEQSQLTATQTRTVNKHGDEIITSTTSNYETQTFSSKTEWRVRAISAANLHLRTNHIYVSSDDIKETGYTYILPKNVLKKFICISDLRAQIAGYLYGVSPPDNPQVKEIRCIVMVPQWGTHQTVHLPGQLPQHEYLKEMEPLGWIHTQPNESPQLSPQDVTTHAKIMADNPSWDGEKTIIITCSFTPGSCTLTAYKLTPSGYEWGRQNTDKGNNPKGYLPSHYERVQMLLSDRFLGFFMVPAQSSWNYNFMGVRHDPNMKYELQLANPKEFYHEVHRPSHFLNFALLQEGEVYSADREDLYA
+>DECOY_sp|Q6P2Q9|PRP8_HUMAN Pre-mRNA-processing-splicing factor 8 OS=Homo sapiens OX=9606 GN=PRPF8 PE=1 SV=2
+AYLDERDASYVEGEQLLAFNLFHSPRHVEHYFEKPNALQLEYKMNPDHRVGMFNYNWSSQAPVMFFGLFRDSLLMQVREYHSPLYGKPNNGKDTNQRGWEYGSPTLKYATLTCSGPTFSCTIIITKEGDWSPNDAMIKAHTTVDQPSLQPSENPQTHIWGLPEMEKLYEHQPLQGPLHVTQHTGWQPVMVICRIEKVQPNDPPSVGYLYGAIQARLDSICIFKKLVNKPLIYTYGTEKIDDSSVYIHNTRLHLNAASIARVRWETKSSFTQTEYNSTTSTIIEDGHKNVTRTQTATLQSQEKTQKEIEAIQQRQQSPASIEMGLIIDRIESQTLSAVNVNNKKGYDALILDKLQVEVKIWEEDTLTPWIHHPETITTKDPKLIVKARDNNVHLARLILILRSFATYSSITKLWDDYLNFLVMQPETAKLILDGFKEVKLCAQFPLQLESGKIVINPFDLLHVELPDLMGKRTVIIQKPQEEVPLSRILAAVEEATKWKALQGLRKQGAWVSTHIIKLFLQGTRPNFIFIAGNIPKTTLNGEFTKHITVRYVNTDDVFWIIQNSFLEGYNQSSLYPETPESSYLQLGKRIRERLVYLAPNAKMIKAMAQQILPKSGPFWNGYASHLNYALDIAILVGTPSPYISMNDTTYDLFKARAYREIDHSDYDGWRLQIDIWYKQTTTSDMVDKSDALLSPRSVNWKYSAFLLIDACSSNMKYSKRPHITEKQVTEIELADLEQDFVQCLDMVISEHIKQWLHARFIQILSIKLTPIKGHMFIGTLDLQVQFGVYVNARNITPSWWLTFRRNPIQNLGSRQANTLKKWKMSEEFGSAKEWFLGEWTPFYTGKFLTHELIGEVGGLAQIMDTRYNNLNWLKGDHRQHTWWFPNQKLVQYQKFDTRVRWGKDYALTHRDKQFLTNIRPIGRDWSDELDELTLRRNQAIAEQRKLAYEAWVRQSDIFESEWPQIYRYLNPILQDEEHSMGSRFHTIGVDTQKSWRLDSQPILVHGMSLMGLGGLEKPTYFVVPPFRSPMKSNLGIKIRTQIKNECKVLLDLLEQTNVVAERFYTMLGILATNWKNVIKTFTTSGSAMLIQRVRNHFRQMSEDDVRLFCQATREKTVENQLNWVGDKHTFEEYSTRCKPLIRCEFGCMNFLLNPNDKSYVSVFSNEWQVTTVSRPLRNKIDWFVARGLNVDHKMLRMRADRPWCKKNNYGVINENNPDPHETLYRQILDRAEDATFRFFIHIRDIYRCFLRIPHAAETAIDQFSLFDNPMQPPGAMESARHLGLVLLDMVLGYYQVIFSAFQLGRIIGYSNTHNMDKYNIVVNNKATMYDAINHDVILRLLRNLLTLDIKEYMKEFRSELMVNCEGESTEWVDQLNNIGQCWKYVLLPPPETDAPKIWPPFLRRKDAEYWLYQDLYADTIKELPEVDYVPVLHSYLDMFEIGVEKFARQTLLHRKIRSLAEHPNDYAQEILGLEERQSQNLRSKVSYAEKLRELALILLKTDHKYSLPPFPIPSFRRSELWHVTTTYVAVAEEATIYPGDKLYNHQREQEAKLYLRTLRGLNKKCVTKDVTAGRRIRERNYHATNTWWDAKAKVYRLIMNEIPTPLGPVKWPINAKWCRWAESLHQLITRAKNQKIGEPMMDLIDHMVAARLELDFHSEVRQKTVTKAVGKSHRGEFQRALLNGLWRELLPTIGRMFFLWVRWGAAWFGCGPGKGVPGTNFRYYILHKLDKCMRIQRMLKYKYRYMGTLQGVHAFIYQLGDALQFADVNGLRYQVHSDVVLKTLRLVERCLHFANGFRSKKREKTTLTKVPKLNFNYDLHLYNLNKRHILLNLMNYGQRCVQLGVEVWDLKTSQFFKTAKFSRFLYRKKQAKPPRHKLANLVYYKLLKQYSVRVKVPQGAPCHERYWNKVLPIDLARRTRGSRLNFPRPAWLLAIGNATNDTYLPTDKLFPEVFEPLEFEEDDDPLPEQSKVSHRHSIPNILPDFYFAPLDPDETKIFVVNPTHYWTLHVHHPLNNYLYPFAIKYETRIPQRIIIKNIDNFENWDEDQLNIDRVLPEFKPGGPIAMNLAKSTFFAKLDFLYFYNDDVLDTLLQNALRYLTSMMPLTFQWRQYTSGNVYKRSDRLPQHDYFWDLVPADEEPDLELQIAELPEVDLINDAYDLPPEEDDFPPFRMRKFHRRDRKERRMMIWMSGWQSIYVPEIVWPIENVFSIAGTIHYLVPVDRIQEWPMPMNELLKLVAHPMYKLAGLYVRKDHRFKRNTMDGHDRIIKRVHEPPMDEKQADVFGFKRKEAYRKAQLQQWKRAKEQLKEESMYDPLPALPGPVPNGPGRYPFVGAM
+>sp|P23942|PRPH2_HUMAN Peripherin-2 OS=Homo sapiens OX=9606 GN=PRPH2 PE=1 SV=1
+MALLKVKFDQKKRVKLAQGLWLMNWFSVLAGIIIFSLGLFLKIELRKRSDVMNNSESHFVPNSLIGMGVLSCVFNSLAGKICYDALDPAKYARWKPWLKPYLAICVLFNIILFLVALCCFLLRGSLENTLGQGLKNGMKYYRDTDTPGRCFMKKTIDMLQIEFKCCGNNGFRDWFEIQWISNRYLDFSSKEVKDRIKSNVDGRYLVDGVPFSCCNPSSPRPCIQYQITNNSAHYSYDHQTEELNLWVRGCRAALLSYYSSLMNSMGVVTLLIWLFEVTITIGLRYLQTSLDGVSNPEESESESEGWLLEKSVPETWKAFLESVKKLGKGNQVEAEGAGAGQAPEAG
+>DECOY_sp|P23942|PRPH2_HUMAN Peripherin-2 OS=Homo sapiens OX=9606 GN=PRPH2 PE=1 SV=1
+GAEPAQGAGAGEAEVQNGKGLKKVSELFAKWTEPVSKELLWGESESESEEPNSVGDLSTQLYRLGITITVEFLWILLTVVGMSNMLSSYYSLLAARCGRVWLNLEETQHDYSYHASNNTIQYQICPRPSSPNCCSFPVGDVLYRGDVNSKIRDKVEKSSFDLYRNSIWQIEFWDRFGNNGCCKFEIQLMDITKKMFCRGPTDTDRYYKMGNKLGQGLTNELSGRLLFCCLAVLFLIINFLVCIALYPKLWPKWRAYKAPDLADYCIKGALSNFVCSLVGMGILSNPVFHSESNNMVDSRKRLEIKLFLGLSFIIIGALVSFWNMLWLGQALKVRKKQDFKVKLLAM
+>sp|P21108|PRPS3_HUMAN Ribose-phosphate pyrophosphokinase 3 OS=Homo sapiens OX=9606 GN=PRPS1L1 PE=1 SV=2
+MPNIKIFSGSSHQDLSQKIADRLGLELGKVVTKKFSNQETCVEIDESVRGEDVYIVQSGCGEINDSLMELLIMINACKIASASRVTAVIPCFPYARQDKKDKSRSPISAKLVANMLSIAGADHIITMDLHASQIQGFFDIPVDNLYAEPTVLKWIRENIPEWKNCIIVSPDAGGAKRVTSIADQLNVDFALIHKERKKANEVDCIVLVGDVNDRVAILVDDMADTCVTICLAADKLLSAGATRVYAILTHGIFSGPAISRINTACFEAVVVTNTIPQDEKMKHCSKIRVIDISMILAEAIRRTHNGESVSYLFSHVPL
+>DECOY_sp|P21108|PRPS3_HUMAN Ribose-phosphate pyrophosphokinase 3 OS=Homo sapiens OX=9606 GN=PRPS1L1 PE=1 SV=2
+LPVHSFLYSVSEGNHTRRIAEALIMSIDIVRIKSCHKMKEDQPITNTVVVAEFCATNIRSIAPGSFIGHTLIAYVRTAGASLLKDAALCITVCTDAMDDVLIAVRDNVDGVLVICDVENAKKREKHILAFDVNLQDAISTVRKAGGADPSVIICNKWEPINERIWKLVTPEAYLNDVPIDFFGQIQSAHLDMTIIHDAGAISLMNAVLKASIPSRSKDKKDQRAYPFCPIVATVRSASAIKCANIMILLEMLSDNIEGCGSQVIYVDEGRVSEDIEVCTEQNSFKKTVVKGLELGLRDAIKQSLDQHSSGSFIKINPM
+>sp|Q96HE9|PRR11_HUMAN Proline-rich protein 11 OS=Homo sapiens OX=9606 GN=PRR11 PE=1 SV=1
+MPKFKQRRRKLKAKAERLFKKKEASHFQSKLITPPPPPPSPERVGISSIDISQSRSWLTSSWNFNFPNIRDAIKLWTNRVWSIYSWCQNCITQSLEVLKDTIFPSRICHRELYSVKQQFCILESKLCKLQEALKTISESSSCPSCGQTCHMSGKLTNVPACVLITPGDSKAVLPPTLPQPASHFPPPPPPPPLPPPPPPLAPVLLRKPSLAKALQAGPLKKDGPMQITVKDLLTVKLKKTQSLDEKRKLIPSPKARNPLVTVSDLQHVTLKPNSKVLSTRVTNVLITPGKSQMDLRKLLRKVDVERSPGGTPLTNKENMETGTGLTPVMTQALRRKFQLAHPRSPTPTLPLSTSSFDEQN
+>DECOY_sp|Q96HE9|PRR11_HUMAN Proline-rich protein 11 OS=Homo sapiens OX=9606 GN=PRR11 PE=1 SV=1
+NQEDFSSTSLPLTPTPSRPHALQFKRRLAQTMVPTLGTGTEMNEKNTLPTGGPSREVDVKRLLKRLDMQSKGPTILVNTVRTSLVKSNPKLTVHQLDSVTVLPNRAKPSPILKRKEDLSQTKKLKVTLLDKVTIQMPGDKKLPGAQLAKALSPKRLLVPALPPPPPPLPPPPPPPPFHSAPQPLTPPLVAKSDGPTILVCAPVNTLKGSMHCTQGCSPCSSSESITKLAEQLKCLKSELICFQQKVSYLERHCIRSPFITDKLVELSQTICNQCWSYISWVRNTWLKIADRINPFNFNWSSTLWSRSQSIDISSIGVREPSPPPPPPTILKSQFHSAEKKKFLREAKAKLKRRRQKFKPM
+>sp|Q9BWN1|PRR14_HUMAN Proline-rich protein 14 OS=Homo sapiens OX=9606 GN=PRR14 PE=1 SV=1
+MDLPGDSSPPGQPRLCRQPLTRALWGARSPKRPRLQLPGAPSPLEKASRRVLAVVLEDVMAVHMVPVVPSKQTSIPQHHSYHQDPVHRQPPASPPRQAGWSSQARPPDPLCLCREPLSRIHRTSSTLRRRSRTTPGPEEGPSQKVDRAPQPTLVVMLEDIASPRPPAEGFIDETPNFIIPAQRAEPMRIVRQPTPPPGDLEPPFQPSALPADPLESPPTAPDPALELPSTPPPSSLLRPRLSPWGLAPLFRSVRSKLESFADIFLTPNKTPQPPPPSPPMKLELKIAISEAEQSGAAEGTASVSPRPPIRQWRTQDHNTPALLPKPSLGRSYSCPDLGPPGPGTCTWPPAPPQPSRPRPRRHTVGGGEMARAPPPPRPCLRKEVFPLGGVGASPSLTTSCSSTASTSFSEPAEPRLGSTKGKEPRASKDQVLSEPETKTMGKVSRFRIRRTPARPQLNLTPMGLPRPIRLNKKEFSLEEIYTNKNYQSPTTRRTFETIFEEPRERNGTLIFTSSRKLRRAVEFRDSSLPRSRRPSRGVRAAGGRTVPPNVAPSPDVGPLLQQRLEELDALLLEEETVDREQPHWT
+>DECOY_sp|Q9BWN1|PRR14_HUMAN Proline-rich protein 14 OS=Homo sapiens OX=9606 GN=PRR14 PE=1 SV=1
+TWHPQERDVTEEELLLADLEELRQQLLPGVDPSPAVNPPVTRGGAARVGRSPRRSRPLSSDRFEVARRLKRSSTFILTGNRERPEEFITEFTRRTTPSQYNKNTYIEELSFEKKNLRIPRPLGMPTLNLQPRAPTRRIRFRSVKGMTKTEPESLVQDKSARPEKGKTSGLRPEAPESFSTSATSSCSTTLSPSAGVGGLPFVEKRLCPRPPPPARAMEGGGVTHRRPRPRSPQPPAPPWTCTGPGPPGLDPCSYSRGLSPKPLLAPTNHDQTRWQRIPPRPSVSATGEAAGSQEAESIAIKLELKMPPSPPPPQPTKNPTLFIDAFSELKSRVSRFLPALGWPSLRPRLLSSPPPTSPLELAPDPATPPSELPDAPLASPQFPPELDGPPPTPQRVIRMPEARQAPIIFNPTEDIFGEAPPRPSAIDELMVVLTPQPARDVKQSPGEEPGPTTRSRRRLTSSTRHIRSLPERCLCLPDPPRAQSSWGAQRPPSAPPQRHVPDQHYSHHQPISTQKSPVVPVMHVAMVDELVVALVRRSAKELPSPAGPLQLRPRKPSRAGWLARTLPQRCLRPQGPPSSDGPLDM
+>sp|Q8N8Z3|PRR26_HUMAN Proline-rich protein 26 OS=Homo sapiens OX=9606 GN=PRR26 PE=2 SV=1
+MESSRWDKDPPGERRPQQSQHWRARDHGARGCGPRQPTATASPRPGLWITPAHGSHTPQTNTRRTQADNIFIYESWLIHHGTQMSSVLPQPPLVRGPWHNTNSPWDSWASRGKLRVCPCRTPRLHSSGCFSSKAGTALSPSLPVPGLRPQPPFLQKPLSILAPATPPALVSPTPPKLSPGQLSPHSVNVHWGPQGHLHLPRSGTTVLHAYLQTLSSPASHQ
+>DECOY_sp|Q8N8Z3|PRR26_HUMAN Proline-rich protein 26 OS=Homo sapiens OX=9606 GN=PRR26 PE=2 SV=1
+QHSAPSSLTQLYAHLVTTGSRPLHLHGQPGWHVNVSHPSLQGPSLKPPTPSVLAPPTAPALISLPKQLFPPQPRLGPVPLSPSLATGAKSSFCGSSHLRPTRCPCVRLKGRSAWSDWPSNTNHWPGRVLPPQPLVSSMQTGHHILWSEYIFINDAQTRRTNTQPTHSGHAPTIWLGPRPSATATPQRPGCGRAGHDRARWHQSQQPRREGPPDKDWRSSEM
+>sp|A8MZF0|PRR33_HUMAN Proline-rich protein 33 OS=Homo sapiens OX=9606 GN=PRR33 PE=4 SV=2
+MGPQVVASAPEPTRPPSGFVPVSGGGGTHVTQVHIQLAPSPHNGTPEPPRTAPEVGSNSQDGDATPSPPRAQPLVPVAHIRPLPTTVQAASPLPEEPPVPRPPPGFQASVPREASARVVVPIAPTCRSLESSPHSLVPMGPGREHLEEPPMAGPAAEAERVSSPAWASSPTPPSGPHPCPVPKVAPKPRLSGWTWLKKQLLEEAPEPPCPEPRQSLEPEVPTPTEQEVPAPTEQEVPALTAPRAPASRTSRMWDAVLYRMSVAEAQGRLAGPSGGEHTPASLTRLPFLYRPRFNARKLQEATRPPPTVRSILELSPQPKNFNRTATGWRLQ
+>DECOY_sp|A8MZF0|PRR33_HUMAN Proline-rich protein 33 OS=Homo sapiens OX=9606 GN=PRR33 PE=4 SV=2
+QLRWGTATRNFNKPQPSLELISRVTPPPRTAEQLKRANFRPRYLFPLRTLSAPTHEGGSPGALRGQAEAVSMRYLVADWMRSTRSAPARPATLAPVEQETPAPVEQETPTPVEPELSQRPEPCPPEPAEELLQKKLWTWGSLRPKPAVKPVPCPHPGSPPTPSSAWAPSSVREAEAAPGAMPPEELHERGPGMPVLSHPSSELSRCTPAIPVVVRASAERPVSAQFGPPPRPVPPEEPLPSAAQVTTPLPRIHAVPVLPQARPPSPTADGDQSNSGVEPATRPPEPTGNHPSPALQIHVQTVHTGGGGSVPVFGSPPRTPEPASAVVQPGM
+>sp|P85299|PRR5_HUMAN Proline-rich protein 5 OS=Homo sapiens OX=9606 GN=PRR5 PE=1 SV=1
+MRTLRRLKFMSSPSLSDLGKREPAAAADERGTQQRRACANATWNSIHNGVIAVFQRKGLPDQELFSLNEGVRQLLKTELGSFFTEYLQNQLLTKGMVILRDKIRFYEGQKLLDSLAETWDFFFSDVLPMLQAIFYPVQGKEPSVRQLALLHFRNAITLSVKLEDALARAHARVPPAIVQMLLVLQGVHESRGVTEDYLRLETLVQKVVSPYLGTYGLHSSEGPFTHSCILEKRLLRRSRSGDVLAKNPVVRSKSYNTPLLNPVQEHEAEGAAAGGTSIRRHSVSEMTSCPEPQGFSDPPGQGPTGTFRSSPAPHSGPCPSRLYPTTQPPEQGLDPTRSSLPRSSPENLVDQILESVDSDSEGIFIDFGRGRGSGMSDLEGSGGRQSVV
+>DECOY_sp|P85299|PRR5_HUMAN Proline-rich protein 5 OS=Homo sapiens OX=9606 GN=PRR5 PE=1 SV=1
+VVSQRGGSGELDSMGSGRGRGFDIFIGESDSDVSELIQDVLNEPSSRPLSSRTPDLGQEPPQTTPYLRSPCPGSHPAPSSRFTGTPGQGPPDSFGQPEPCSTMESVSHRRISTGGAAAGEAEHEQVPNLLPTNYSKSRVVPNKALVDGSRSRRLLRKELICSHTFPGESSHLGYTGLYPSVVKQVLTELRLYDETVGRSEHVGQLVLLMQVIAPPVRAHARALADELKVSLTIANRFHLLALQRVSPEKGQVPYFIAQLMPLVDSFFFDWTEALSDLLKQGEYFRIKDRLIVMGKTLLQNQLYETFFSGLETKLLQRVGENLSFLEQDPLGKRQFVAIVGNHISNWTANACARRQQTGREDAAAAPERKGLDSLSPSSMFKLRRLTRM
+>sp|P81277|PRRP_HUMAN Prolactin-releasing peptide OS=Homo sapiens OX=9606 GN=PRLH PE=2 SV=1
+MKVLRAWLLCLLMLGLALRGAASRTHRHSMEIRTPDINPAWYASRGIRPVGRFGRRRATLGDVPKPGLRPRLTCFPLEGGAMSSQDG
+>DECOY_sp|P81277|PRRP_HUMAN Prolactin-releasing peptide OS=Homo sapiens OX=9606 GN=PRLH PE=2 SV=1
+GDQSSMAGGELPFCTLRPRLGPKPVDGLTARRRGFRGVPRIGRSAYWAPNIDPTRIEMSHRHTRSAAGRLALGLMLLCLLWARLVKM
+>sp|Q99811|PRRX2_HUMAN Paired mesoderm homeobox protein 2 OS=Homo sapiens OX=9606 GN=PRRX2 PE=2 SV=2
+MDSAAAAFALDKPALGPGPPPPPPALGPGDCAQARKNFSVSHLLDLEEVAAAGRLAARPGARAEAREGAAREPSGGSSGSEAAPQDGECPSPGRGSAAKRKKKQRRNRTTFNSSQLQALERVFERTHYPDAFVREELARRVNLSEARVQVWFQNRRAKFRRNERAMLASRSASLLKSYSQEAAIEQPVAPRPTALSPDYLSWTASSPYSTVPPYSPGSSGPATPGVNMANSIASLRLKAKEFSLHHSQVPTVN
+>DECOY_sp|Q99811|PRRX2_HUMAN Paired mesoderm homeobox protein 2 OS=Homo sapiens OX=9606 GN=PRRX2 PE=2 SV=2
+NVTPVQSHHLSFEKAKLRLSAISNAMNVGPTAPGSSGPSYPPVTSYPSSATWSLYDPSLATPRPAVPQEIAAEQSYSKLLSASRSALMARENRRFKARRNQFWVQVRAESLNVRRALEERVFADPYHTREFVRELAQLQSSNFTTRNRRQKKKRKAASGRGPSPCEGDQPAAESGSSGGSPERAAGERAEARAGPRAALRGAAAVEELDLLHSVSFNKRAQACDGPGLAPPPPPPGPGLAPKDLAFAAAASDM
+>sp|P62333|PRS10_HUMAN 26S proteasome regulatory subunit 10B OS=Homo sapiens OX=9606 GN=PSMC6 PE=1 SV=1
+MADPRDKALQDYRKKLLEHKEIDGRLKELREQLKELTKQYEKSENDLKALQSVGQIVGEVLKQLTEEKFIVKATNGPRYVVGCRRQLDKSKLKPGTRVALDMTTLTIMRYLPREVDPLVYNMSHEDPGNVSYSEIGGLSEQIRELREVIELPLTNPELFQRVGIIPPKGCLLYGPPGTGKTLLARAVASQLDCNFLKVVSSSIVDKYIGESARLIREMFNYARDHQPCIIFMDEIDAIGGRRFSEGTSADREIQRTLMELLNQMDGFDTLHRVKMIMATNRPDTLDPALLRPGRLDRKIHIDLPNEQARLDILKIHAGPITKHGEIDYEAIVKLSDGFNGADLRNVCTEAGMFAIRADHDFVVQEDFMKAVRKVADSKKLESKLDYKPV
+>DECOY_sp|P62333|PRS10_HUMAN 26S proteasome regulatory subunit 10B OS=Homo sapiens OX=9606 GN=PSMC6 PE=1 SV=1
+VPKYDLKSELKKSDAVKRVAKMFDEQVVFDHDARIAFMGAETCVNRLDAGNFGDSLKVIAEYDIEGHKTIPGAHIKLIDLRAQENPLDIHIKRDLRGPRLLAPDLTDPRNTAMIMKVRHLTDFGDMQNLLEMLTRQIERDASTGESFRRGGIADIEDMFIICPQHDRAYNFMERILRASEGIYKDVISSSVVKLFNCDLQSAVARALLTKGTGPPGYLLCGKPPIIGVRQFLEPNTLPLEIVERLERIQESLGGIESYSVNGPDEHSMNYVLPDVERPLYRMITLTTMDLAVRTGPKLKSKDLQRRCGVVYRPGNTAKVIFKEETLQKLVEGVIQGVSQLAKLDNESKEYQKTLEKLQERLEKLRGDIEKHELLKKRYDQLAKDRPDAM
+>sp|P25789|PSA4_HUMAN Proteasome subunit alpha type-4 OS=Homo sapiens OX=9606 GN=PSMA4 PE=1 SV=1
+MSRRYDSRTTIFSPEGRLYQVEYAMEAIGHAGTCLGILANDGVLLAAERRNIHKLLDEVFFSEKIYKLNEDMACSVAGITSDANVLTNELRLIAQRYLLQYQEPIPCEQLVTALCDIKQAYTQFGGKRPFGVSLLYIGWDKHYGFQLYQSDPSGNYGGWKATCIGNNSAAAVSMLKQDYKEGEMTLKSALALAIKVLNKTMDVSKLSAEKVEIATLTRENGKTVIRVLKQKEVEQLIKKHEEEEAKAEREKKEKEQKEKDK
+>DECOY_sp|P25789|PSA4_HUMAN Proteasome subunit alpha type-4 OS=Homo sapiens OX=9606 GN=PSMA4 PE=1 SV=1
+KDKEKQEKEKKEREAKAEEEEHKKILQEVEKQKLVRIVTKGNERTLTAIEVKEASLKSVDMTKNLVKIALALASKLTMEGEKYDQKLMSVAAASNNGICTAKWGGYNGSPDSQYLQFGYHKDWGIYLLSVGFPRKGGFQTYAQKIDCLATVLQECPIPEQYQLLYRQAILRLENTLVNADSTIGAVSCAMDENLKYIKESFFVEDLLKHINRREAALLVGDNALIGLCTGAHGIAEMAYEVQYLRGEPSFITTRSDYRRSM
+>sp|P28066|PSA5_HUMAN Proteasome subunit alpha type-5 OS=Homo sapiens OX=9606 GN=PSMA5 PE=1 SV=3
+MFLTRSEYDRGVNTFSPEGRLFQVEYAIEAIKLGSTAIGIQTSEGVCLAVEKRITSPLMEPSSIEKIVEIDAHIGCAMSGLIADAKTLIDKARVETQNHWFTYNETMTVESVTQAVSNLALQFGEEDADPGAMSRPFGVALLFGGVDEKGPQLFHMDPSGTFVQCDARAIGSASEGAQSSLQEVYHKSMTLKEAIKSSLIILKQVMEEKLNATNIELATVQPGQNFHMFTKEELEEVIKDI
+>DECOY_sp|P28066|PSA5_HUMAN Proteasome subunit alpha type-5 OS=Homo sapiens OX=9606 GN=PSMA5 PE=1 SV=3
+IDKIVEELEEKTFMHFNQGPQVTALEINTANLKEEMVQKLIILSSKIAEKLTMSKHYVEQLSSQAGESASGIARADCQVFTGSPDMHFLQPGKEDVGGFLLAVGFPRSMAGPDADEEGFQLALNSVAQTVSEVTMTENYTFWHNQTEVRAKDILTKADAILGSMACGIHADIEVIKEISSPEMLPSTIRKEVALCVGESTQIGIATSGLKIAEIAYEVQFLRGEPSFTNVGRDYESRTLFM
+>sp|Q06323|PSME1_HUMAN Proteasome activator complex subunit 1 OS=Homo sapiens OX=9606 GN=PSME1 PE=1 SV=1
+MAMLRVQPEAQAKVDVFREDLCTKTENLLGSYFPKKISELDAFLKEPALNEANLSNLKAPLDIPVPDPVKEKEKEERKKQQEKEDKDEKKKGEDEDKGPPCGPVNCNEKIVVLLQRLKPEIKDVIEQLNLVTTWLQLQIPRIEDGNNFGVAVQEKVFELMTSLHTKLEGFHTQISKYFSERGDAVTKAAKQPHVGDYRQLVHELDEAEYRDIRLMVMEIRNAYAVLYDIILKNFEKLKKPRGETKGMIY
+>DECOY_sp|Q06323|PSME1_HUMAN Proteasome activator complex subunit 1 OS=Homo sapiens OX=9606 GN=PSME1 PE=1 SV=1
+YIMGKTEGRPKKLKEFNKLIIDYLVAYANRIEMVMLRIDRYEAEDLEHVLQRYDGVHPQKAAKTVADGRESFYKSIQTHFGELKTHLSTMLEFVKEQVAVGFNNGDEIRPIQLQLWTTVLNLQEIVDKIEPKLRQLLVVIKENCNVPGCPPGKDEDEGKKKEDKDEKEQQKKREEKEKEKVPDPVPIDLPAKLNSLNAENLAPEKLFADLESIKKPFYSGLLNETKTCLDERFVDVKAQAEPQVRLMAM
+>sp|P60484|PTEN_HUMAN Phosphatidylinositol 3,4,5-trisphosphate 3-phosphatase and dual-specificity protein phosphatase PTEN OS=Homo sapiens OX=9606 GN=PTEN PE=1 SV=1
+MTAIIKEIVSRNKRRYQEDGFDLDLTYIYPNIIAMGFPAERLEGVYRNNIDDVVRFLDSKHKNHYKIYNLCAERHYDTAKFNCRVAQYPFEDHNPPQLELIKPFCEDLDQWLSEDDNHVAAIHCKAGKGRTGVMICAYLLHRGKFLKAQEALDFYGEVRTRDKKGVTIPSQRRYVYYYSYLLKNHLDYRPVALLFHKMMFETIPMFSGGTCNPQFVVCQLKVKIYSSNSGPTRREDKFMYFEFPQPLPVCGDIKVEFFHKQNKMLKKDKMFHFWVNTFFIPGPEETSEKVENGSLCDQEIDSICSIERADNDKEYLVLTLTKNDLDKANKDKANRYFSPNFKVKLYFTKTVEEPSNPEASSSTSVTPDVSDNEPDHYRYSDTTDSDPENEPFDEDQHTQITKV
+>DECOY_sp|P60484|PTEN_HUMAN Phosphatidylinositol 3,4,5-trisphosphate 3-phosphatase and dual-specificity protein phosphatase PTEN OS=Homo sapiens OX=9606 GN=PTEN PE=1 SV=1
+VKTIQTHQDEDFPENEPDSDTTDSYRYHDPENDSVDPTVSTSSSAEPNSPEEVTKTFYLKVKFNPSFYRNAKDKNAKDLDNKTLTLVLYEKDNDAREISCISDIEQDCLSGNEVKESTEEPGPIFFTNVWFHFMKDKKLMKNQKHFFEVKIDGCVPLPQPFEFYMFKDERRTPGSNSSYIKVKLQCVVFQPNCTGGSFMPITEFMMKHFLLAVPRYDLHNKLLYSYYYVYRRQSPITVGKKDRTRVEGYFDLAEQAKLFKGRHLLYACIMVGTRGKGAKCHIAAVHNDDESLWQDLDECFPKILELQPPNHDEFPYQAVRCNFKATDYHREACLNYIKYHNKHKSDLFRVVDDINNRYVGELREAPFGMAIINPYIYTLDLDFGDEQYRRKNRSVIEKIIATM
+>sp|O14684|PTGES_HUMAN Prostaglandin E synthase OS=Homo sapiens OX=9606 GN=PTGES PE=1 SV=2
+MPAHSLVMSSPALPAFLLCSTLLVIKMYVVAIITGQVRLRKKAFANPEDALRHGGPQYCRSDPDVERCLRAHRNDMETIYPFLFLGFVYSFLGPNPFVAWMHFLVFLVGRVAHTVAYLGKLRAPIRSVTYTLAQLPCASMALQILWEAARHL
+>DECOY_sp|O14684|PTGES_HUMAN Prostaglandin E synthase OS=Homo sapiens OX=9606 GN=PTGES PE=1 SV=2
+LHRAAEWLIQLAMSACPLQALTYTVSRIPARLKGLYAVTHAVRGVLFVLFHMWAVFPNPGLFSYVFGLFLFPYITEMDNRHARLCREVDPDSRCYQPGGHRLADEPNAFAKKRLRVQGTIIAVVYMKIVLLTSCLLFAPLAPSSMVLSHAPM
+>sp|Q03431|PTH1R_HUMAN Parathyroid hormone/parathyroid hormone-related peptide receptor OS=Homo sapiens OX=9606 GN=PTH1R PE=1 SV=1
+MGTARIAPGLALLLCCPVLSSAYALVDADDVMTKEEQIFLLHRAQAQCEKRLKEVLQRPASIMESDKGWTSASTSGKPRKDKASGKLYPESEEDKEAPTGSRYRGRPCLPEWDHILCWPLGAPGEVVAVPCPDYIYDFNHKGHAYRRCDRNGSWELVPGHNRTWANYSECVKFLTNETREREVFDRLGMIYTVGYSVSLASLTVAVLILAYFRRLHCTRNYIHMHLFLSFMLRAVSIFVKDAVLYSGATLDEAERLTEEELRAIAQAPPPPATAAAGYAGCRVAVTFFLYFLATNYYWILVEGLYLHSLIFMAFFSEKKYLWGFTVFGWGLPAVFVAVWVSVRATLANTGCWDLSSGNKKWIIQVPILASIVLNFILFINIVRVLATKLRETNAGRCDTRQQYRKLLKSTLVLMPLFGVHYIVFMATPYTEVSGTLWQVQMHYEMLFNSFQGFFVAIIYCFCNGEVQAEIKKSWSRWTLALDFKRKARSGSSSYSYGPMVSHTSVTNVGPRVGLGLPLSPRLLPTATTNGHPQLPGHAKPGTPALETLETTPPAMAAPKDDGFLNGSCSGLDEEASGPERPPALLQEEWETVM
+>DECOY_sp|Q03431|PTH1R_HUMAN Parathyroid hormone/parathyroid hormone-related peptide receptor OS=Homo sapiens OX=9606 GN=PTH1R PE=1 SV=1
+MVTEWEEQLLAPPREPGSAEEDLGSCSGNLFGDDKPAAMAPPTTELTELAPTGPKAHGPLQPHGNTTATPLLRPSLPLGLGVRPGVNTVSTHSVMPGYSYSSSGSRAKRKFDLALTWRSWSKKIEAQVEGNCFCYIIAVFFGQFSNFLMEYHMQVQWLTGSVETYPTAMFVIYHVGFLPMLVLTSKLLKRYQQRTDCRGANTERLKTALVRVINIFLIFNLVISALIPVQIIWKKNGSSLDWCGTNALTARVSVWVAVFVAPLGWGFVTFGWLYKKESFFAMFILSHLYLGEVLIWYYNTALFYLFFTVAVRCGAYGAAATAPPPPAQAIARLEEETLREAEDLTAGSYLVADKVFISVARLMFSLFLHMHIYNRTCHLRRFYALILVAVTLSALSVSYGVTYIMGLRDFVERERTENTLFKVCESYNAWTRNHGPVLEWSGNRDCRRYAHGKHNFDYIYDPCPVAVVEGPAGLPWCLIHDWEPLCPRGRYRSGTPAEKDEESEPYLKGSAKDKRPKGSTSASTWGKDSEMISAPRQLVEKLRKECQAQARHLLFIQEEKTMVDDADVLAYASSLVPCCLLLALGPAIRATGM
+>sp|Q06203|PUR1_HUMAN Amidophosphoribosyltransferase OS=Homo sapiens OX=9606 GN=PPAT PE=1 SV=1
+MELEELGIREECGVFGCIASGEWPTQLDVPHVITLGLVGLQHRGQESAGIVTSDGSSVPTFKSHKGMGLVNHVFTEDNLKKLYVSNLGIGHTRYATTGKCELENCQPFVVETLHGKIAVAHNGELVNAARLRKKLLRHGIGLSTSSDSEMITQLLAYTPPQEQDDTPDWVARIKNLMKEAPTAYSLLIMHRDVIYAVRDPYGNRPLCIGRLIPVSDINDKEKKTSETEGWVVSSESCSFLSIGARYYREVLPGEIVEISRHNVQTLDIISRSEGNPVAFCIFEYVYFARPDSMFEDQMVYTVRYRCGQQLAIEAPVDADLVSTVPESATPAALAYAGKCGLPYVEVLCKNRYVGRTFIQPNMRLRQLGVAKKFGVLSDNFKGKRIVLVDDSIVRGNTISPIIKLLKESGAKEVHIRVASPPIKYPCFMGINIPTKEELIANKPEFDHLAEYLGANSVVYLSVEGLVSSVQEGIKFKKQKEKKHDIMIQENGNGLECFEKSGHCTACLTGKYPVELEW
+>DECOY_sp|Q06203|PUR1_HUMAN Amidophosphoribosyltransferase OS=Homo sapiens OX=9606 GN=PPAT PE=1 SV=1
+WELEVPYKGTLCATCHGSKEFCELGNGNEQIMIDHKKEKQKKFKIGEQVSSVLGEVSLYVVSNAGLYEALHDFEPKNAILEEKTPINIGMFCPYKIPPSAVRIHVEKAGSEKLLKIIPSITNGRVISDDVLVIRKGKFNDSLVGFKKAVGLQRLRMNPQIFTRGVYRNKCLVEVYPLGCKGAYALAAPTASEPVTSVLDADVPAEIALQQGCRYRVTYVMQDEFMSDPRAFYVYEFICFAVPNGESRSIIDLTQVNHRSIEVIEGPLVERYYRAGISLFSCSESSVVWGETESTKKEKDNIDSVPILRGICLPRNGYPDRVAYIVDRHMILLSYATPAEKMLNKIRAVWDPTDDQEQPPTYALLQTIMESDSSTSLGIGHRLLKKRLRAANVLEGNHAVAIKGHLTEVVFPQCNELECKGTTAYRTHGIGLNSVYLKKLNDETFVHNVLGMGKHSKFTPVSSGDSTVIGASEQGRHQLGVLGLTIVHPVDLQTPWEGSAICGFVGCEERIGLEELEM
+>sp|P22102|PUR2_HUMAN Trifunctional purine biosynthetic protein adenosine-3 OS=Homo sapiens OX=9606 GN=GART PE=1 SV=1
+MAARVLIIGSGGREHTLAWKLAQSHHVKQVLVAPGNAGTACSEKISNTAISISDHTALAQFCKEKKIEFVVVGPEAPLAAGIVGNLRSAGVQCFGPTAEAAQLESSKRFAKEFMDRHGIPTAQWKAFTKPEEACSFILSADFPALVVKASGLAAGKGVIVAKSKEEACKAVQEIMQEKAFGAAGETIVIEELLDGEEVSCLCFTDGKTVAPMPPAQDHKRLLEGDGGPNTGGMGAYCPAPQVSNDLLLKIKDTVLQRTVDGMQQEGTPYTGILYAGIMLTKNGPKVLEFNCRFGDPECQVILPLLKSDLYEVIQSTLDGLLCTSLPVWLENHTALTVVMASKGYPGDYTKGVEITGFPEAQALGLEVFHAGTALKNGKVVTHGGRVLAVTAIRENLISALEEAKKGLAAIKFEGAIYRKDVGFRAIAFLQQPRSLTYKESGVDIAAGNMLVKKIQPLAKATSRSGCKVDLGGFAGLFDLKAAGFKDPLLASGTDGVGTKLKIAQLCNKHDTIGQDLVAMCVNDILAQGAEPLFFLDYFSCGKLDLSVTEAVVAGIAKACGKAGCALLGGETAEMPDMYPPGEYDLAGFAVGAMERDQKLPHLERITEGDVVVGIASSGLHSNGFSLVRKIVAKSSLQYSSPAPDGCGDQTLGDLLLTPTRIYSHSLLPVLRSGHVKAFAHITGGGLLENIPRVLPEKLGVDLDAQTWRIPRVFSWLQQEGHLSEEEMARTFNCGVGAVLVVSKEQTEQILRDIQQHKEEAWVIGSVVARAEGSPRVKVKNLIESMQINGSVLKNGSLTNHFSFEKKKARVAVLISGTGSNLQALIDSTREPNSSAQIDIVISNKAAVAGLDKAERAGIPTRVINHKLYKNRVEFDSAIDLVLEEFSIDIVCLAGFMRILSGPFVQKWNGKMLNIHPSLLPSFKGSNAHEQALETGVTVTGCTVHFVAEDVDAGQIILQEAVPVKRGDTVATLSERVKLAEHKIFPAALQLVASGTVQLGENGKICWVKEE
+>DECOY_sp|P22102|PUR2_HUMAN Trifunctional purine biosynthetic protein adenosine-3 OS=Homo sapiens OX=9606 GN=GART PE=1 SV=1
+EEKVWCIKGNEGLQVTGSAVLQLAAPFIKHEALKVRESLTAVTDGRKVPVAEQLIIQGADVDEAVFHVTCGTVTVGTELAQEHANSGKFSPLLSPHINLMKGNWKQVFPGSLIRMFGALCVIDISFEELVLDIASDFEVRNKYLKHNIVRTPIGAREAKDLGAVAAKNSIVIDIQASSNPERTSDILAQLNSGTGSILVAVRAKKKEFSFHNTLSGNKLVSGNIQMSEILNKVKVRPSGEARAVVSGIVWAEEKHQQIDRLIQETQEKSVVLVAGVGCNFTRAMEEESLHGEQQLWSFVRPIRWTQADLDVGLKEPLVRPINELLGGGTIHAFAKVHGSRLVPLLSHSYIRTPTLLLDGLTQDGCGDPAPSSYQLSSKAVIKRVLSFGNSHLGSSAIGVVVDGETIRELHPLKQDREMAGVAFGALDYEGPPYMDPMEATEGGLLACGAKGCAKAIGAVVAETVSLDLKGCSFYDLFFLPEAGQALIDNVCMAVLDQGITDHKNCLQAIKLKTGVGDTGSALLPDKFGAAKLDFLGAFGGLDVKCGSRSTAKALPQIKKVLMNGAAIDVGSEKYTLSRPQQLFAIARFGVDKRYIAGEFKIAALGKKAEELASILNERIATVALVRGGHTVVKGNKLATGAHFVELGLAQAEPFGTIEVGKTYDGPYGKSAMVVTLATHNELWVPLSTCLLGDLTSQIVEYLDSKLLPLIVQCEPDGFRCNFELVKPGNKTLMIGAYLIGTYPTGEQQMGDVTRQLVTDKIKLLLDNSVQPAPCYAGMGGTNPGGDGELLRKHDQAPPMPAVTKGDTFCLCSVEEGDLLEEIVITEGAAGFAKEQMIEQVAKCAEEKSKAVIVGKGAALGSAKVVLAPFDASLIFSCAEEPKTFAKWQATPIGHRDMFEKAFRKSSELQAAEATPGFCQVGASRLNGVIGAALPAEPGVVVFEIKKEKCFQALATHDSISIATNSIKESCATGANGPAVLVQKVHHSQALKWALTHERGGSGIILVRAAM
+>sp|P31939|PUR9_HUMAN Bifunctional purine biosynthesis protein PURH OS=Homo sapiens OX=9606 GN=ATIC PE=1 SV=3
+MAPGQLALFSVSDKTGLVEFARNLTALGLNLVASGGTAKALRDAGLAVRDVSELTGFPEMLGGRVKTLHPAVHAGILARNIPEDNADMARLDFNLIRVVACNLYPFVKTVASPGVTVEEAVEQIDIGGVTLLRAAAKNHARVTVVCEPEDYVVVSTEMQSSESKDTSLETRRQLALKAFTHTAQYDEAISDYFRKQYSKGVSQMPLRYGMNPHQTPAQLYTLQPKLPITVLNGAPGFINLCDALNAWQLVKELKEALGIPAAASFKHVSPAGAAVGIPLSEDEAKVCMVYDLYKTLTPISAAYARARGADRMSSFGDFVALSDVCDVPTAKIISREVSDGIIAPGYEEEALTILSKKKNGNYCVLQMDQSYKPDENEVRTLFGLHLSQKRNNGVVDKSLFSNVVTKNKDLPESALRDLIVATIAVKYTQSNSVCYAKNGQVIGIGAGQQSRIHCTRLAGDKANYWWLRHHPQVLSMKFKTGVKRAEISNAIDQYVTGTIGEDEDLIKWKALFEEVPELLTEAEKKEWVEKLTEVSISSDAFFPFRDNVDRAKRSGVAYIAAPSGSAADKVVIEACDELGIILAHTNLRLFHH
+>DECOY_sp|P31939|PUR9_HUMAN Bifunctional purine biosynthesis protein PURH OS=Homo sapiens OX=9606 GN=ATIC PE=1 SV=3
+HHFLRLNTHALIIGLEDCAEIVVKDAASGSPAAIYAVGSRKARDVNDRFPFFADSSISVETLKEVWEKKEAETLLEPVEEFLAKWKILDEDEGITGTVYQDIANSIEARKVGTKFKMSLVQPHHRLWWYNAKDGALRTCHIRSQQGAGIGIVQGNKAYCVSNSQTYKVAITAVILDRLASEPLDKNKTVVNSFLSKDVVGNNRKQSLHLGFLTRVENEDPKYSQDMQLVCYNGNKKKSLITLAEEEYGPAIIGDSVERSIIKATPVDCVDSLAVFDGFSSMRDAGRARAYAASIPTLTKYLDYVMCVKAEDESLPIGVAAGAPSVHKFSAAAPIGLAEKLEKVLQWANLADCLNIFGPAGNLVTIPLKPQLTYLQAPTQHPNMGYRLPMQSVGKSYQKRFYDSIAEDYQATHTFAKLALQRRTELSTDKSESSQMETSVVVYDEPECVVTVRAHNKAAARLLTVGGIDIQEVAEEVTVGPSAVTKVFPYLNCAVVRILNFDLRAMDANDEPINRALIGAHVAPHLTKVRGGLMEPFGTLESVDRVALGADRLAKATGGSAVLNLGLATLNRAFEVLGTKDSVSFLALQGPAM
+>sp|Q9H0K6|PUS7L_HUMAN Pseudouridylate synthase 7 homolog-like protein OS=Homo sapiens OX=9606 GN=PUS7L PE=1 SV=1
+MEEDTDYRIRFSSLCFFNDHVGFHGTIKSSPSDFIVIEIDEQGQLVNKTIDEPIFKISEIQLEPNNFPKKPKLDLQNLSLEDGRNQEVHTLIKYTDGDQNHQSGSEKEDTIVDGTSKCEEKADVLSSFLDEKTHELLNNFACDVREKWLSKTELIGLPPEFSIGRILDKNQRASLHSAIRQKFPFLVTVGKNSEIVVKPNLEYKELCHLVSEEEAFDFFKYLDAKKENSKFTFKPDTNKDHRKAVHHFVNKKFGNLVETKSFSKMNCSAGNPNVVVTVRFREKAHKRGKRPLSECQEGKVIYTAFTLRKENLEMFEAIGFLAIKLGVIPSDFSYAGLKDKKAITYQAMVVRKVTPERLKNIEKEIEKKRMNVFNIRSVDDSLRLGQLKGNHFDIVIRNLKKQINDSANLRERIMEAIENVKKKGFVNYYGPQRFGKGRKVHTDQIGLALLKNEMMKAIKLFLTPEDLDDPVNRAKKYFLQTEDAKGTLSLMPEFKVRERALLEALHRFGMTEEGCIQAWFSLPHSMRIFYVHAYTSKIWNEAVSYRLETYGARVVQGDLVCLDEDIDDENFPNSKIHLVTEEEGSANMYAIHQVVLPVLGYNIQYPKNKVGQWYHDILSRDGLQTCRFKVPTLKLNIPGCYRQILKHPCNLSYQLMEDHDIDVKTKGSHIDETALSLLISFDLDASCYATVCLKEIMKHDV
+>DECOY_sp|Q9H0K6|PUS7L_HUMAN Pseudouridylate synthase 7 homolog-like protein OS=Homo sapiens OX=9606 GN=PUS7L PE=1 SV=1
+VDHKMIEKLCVTAYCSADLDFSILLSLATEDIHSGKTKVDIDHDEMLQYSLNCPHKLIQRYCGPINLKLTPVKFRCTQLGDRSLIDHYWQGVKNKPYQINYGLVPLVVQHIAYMNASGEEETVLHIKSNPFNEDDIDEDLCVLDGQVVRAGYTELRYSVAENWIKSTYAHVYFIRMSHPLSFWAQICGEETMGFRHLAELLARERVKFEPMLSLTGKADETQLFYKKARNVPDDLDEPTLFLKIAKMMENKLLALGIQDTHVKRGKGFRQPGYYNVFGKKKVNEIAEMIRERLNASDNIQKKLNRIVIDFHNGKLQGLRLSDDVSRINFVNMRKKEIEKEINKLREPTVKRVVMAQYTIAKKDKLGAYSFDSPIVGLKIALFGIAEFMELNEKRLTFATYIVKGEQCESLPRKGRKHAKERFRVTVVVNPNGASCNMKSFSKTEVLNGFKKNVFHHVAKRHDKNTDPKFTFKSNEKKADLYKFFDFAEEESVLHCLEKYELNPKVVIESNKGVTVLFPFKQRIASHLSARQNKDLIRGISFEPPLGILETKSLWKERVDCAFNNLLEHTKEDLFSSLVDAKEECKSTGDVITDEKESGSQHNQDGDTYKILTHVEQNRGDELSLNQLDLKPKKPFNNPELQIESIKFIPEDITKNVLQGQEDIEIVIFDSPSSKITGHFGVHDNFFCLSSFRIRYDTDEEM
+>sp|Q8N0Z8|PUSL1_HUMAN tRNA pseudouridine synthase-like 1 OS=Homo sapiens OX=9606 GN=PUSL1 PE=1 SV=1
+MSSAPASGSVRARYLVYFQYVGTDFNGVAAVRGTQRAVGVQNYLEEAAERLNSVEPVRFTISSRTDAGVHALSNAAHLDVQRRSGRPPFPPEVLAEALNTHLRHPAIRVLRAFRVPSDFHARHAATSRTYLYRLATGCHRRDELPVFERNLCWTLPADCLDMVAMQEAAQHLLGTHDFSAFQSAGSPVPSPVRTLRRVSVSPGQASPLVTPEESRKLRFWNLEFESQSFLYRQVRRMTAVLVAVGLGALAPAQVKTILESQDPLGKHQTRVAPAHGLFLKSVLYGNLGAASCTLQGPQFGSHG
+>DECOY_sp|Q8N0Z8|PUSL1_HUMAN tRNA pseudouridine synthase-like 1 OS=Homo sapiens OX=9606 GN=PUSL1 PE=1 SV=1
+GHSGFQPGQLTCSAAGLNGYLVSKLFLGHAPAVRTQHKGLPDQSELITKVQAPALAGLGVAVLVATMRRVQRYLFSQSEFELNWFRLKRSEEPTVLPSAQGPSVSVRRLTRVPSPVPSGASQFASFDHTGLLHQAAEQMAVMDLCDAPLTWCLNREFVPLEDRRHCGTALRYLYTRSTAAHRAHFDSPVRFARLVRIAPHRLHTNLAEALVEPPFPPRGSRRQVDLHAANSLAHVGADTRSSITFRVPEVSNLREAAEELYNQVGVARQTGRVAAVGNFDTGVYQFYVLYRARVSGSAPASSM
+>sp|O75192|PX11A_HUMAN Peroxisomal membrane protein 11A OS=Homo sapiens OX=9606 GN=PEX11A PE=1 SV=1
+MDAFTRFTNQTQGRDRLFRATQYTCMLLRYLLEPKAGKEKVVMKLKKLESSVSTGRKWFRLGNVVHAIQATEQSIHATDLVPRLCLTLANLNRVIYFICDTILWVRSVGLTSGINKEKWRTRAAHHYYYSLLLSLVRDLYEISLQMKRVTCDRAKKEKSASQDPLWFSVAEEETEWLQSFLLLLFRSLKQHPPLLLDTVKNLCDILNPLDQLGIYKSNPGIIGLGGLVSSIAGMITVAYPQMKLKTR
+>DECOY_sp|O75192|PX11A_HUMAN Peroxisomal membrane protein 11A OS=Homo sapiens OX=9606 GN=PEX11A PE=1 SV=1
+RTKLKMQPYAVTIMGAISSVLGGLGIIGPNSKYIGLQDLPNLIDCLNKVTDLLLPPHQKLSRFLLLLFSQLWETEEEAVSFWLPDQSASKEKKARDCTVRKMQLSIEYLDRVLSLLLSYYYHHAARTRWKEKNIGSTLGVSRVWLITDCIFYIVRNLNALTLCLRPVLDTAHISQETAQIAHVVNGLRFWKRGTSVSSELKKLKMVVKEKGAKPELLYRLLMCTYQTARFLRDRGQTQNTFRTFADM
+>sp|Q5TGL8|PXDC1_HUMAN PX domain-containing protein 1 OS=Homo sapiens OX=9606 GN=PXDC1 PE=2 SV=3
+MASAVFEGTSLVNMFVRGCWVNGIRRLIVSRRGDEEEFFEIRTEWSDRSVLYLHRSLADLGRLWQRLRDAFPEDRSELAQGPLRQGLVAIKEAHDIETRLNEVEKLLKTIISMPCKYSRSEVVLTFFERSPLDQVLKNDNVHKIQPSFQSPVKISEIMRSNGFCLANTETIVIDHSIPNGRDQQLGVDPTEHLFENGSEFPSELEDGDDPAAYVTNLSYYHLVPFETDIWD
+>DECOY_sp|Q5TGL8|PXDC1_HUMAN PX domain-containing protein 1 OS=Homo sapiens OX=9606 GN=PXDC1 PE=2 SV=3
+DWIDTEFPVLHYYSLNTVYAAPDDGDELESPFESGNEFLHETPDVGLQQDRGNPISHDIVITETNALCFGNSRMIESIKVPSQFSPQIKHVNDNKLVQDLPSREFFTLVVESRSYKCPMSIITKLLKEVENLRTEIDHAEKIAVLGQRLPGQALESRDEPFADRLRQWLRGLDALSRHLYLVSRDSWETRIEFFEEEDGRRSVILRRIGNVWCGRVFMNVLSTGEFVASAM
+>sp|Q7Z7A4|PXK_HUMAN PX domain-containing protein kinase-like protein OS=Homo sapiens OX=9606 GN=PXK PE=1 SV=1
+MAFMEKPPAGKVLLDDTVPLTAAIEASQSLQSHTEYIIRVQRGISVENSWQIVRRYSDFDLLNNSLQIAGLSLPLPPKKLIGNMDREFIAERQKGLQNYLNVITTNHILSNCELVKKFLDPNNYSANYTEIALQQVSMFFRSEPKWEVVEPLKDIGWRIRKKYFLMKIKNQPKERLVLSWADLGPDKYLSDKDFQCLIKLLPSCLHPYIYRVTFATANESSALLIRMFNEKGTLKDLIYKAKPKDPFLKKYCNPKKIQGLELQQIKTYGRQILEVLKFLHDKGFPYGHLHASNVMLDGDTCRLLDLENSLLGLPSFYRSYFSQFRKINTLESVDVHCFGHLLYEMTYGRPPDSVPVDSFPPAPSMAVVAVLESTLSCEACKNGMPTISRLLQMPLFSDVLLTTSEKPQFKIPTKLKEALRIAKECIEKRLIEEQKQIHQHRRLTRAQSHHGSEEERKKRKILARKKSKRSALENSEEHSAKYSNSNNSAGSGASSPLTSPSSPTPPSTSGISALPPPPPPPPPPAAPLPPASTEAPAQLSSQAVNGMSRGALLSSIQNFQKGTLRKAKTCDHSAPKIG
+>DECOY_sp|Q7Z7A4|PXK_HUMAN PX domain-containing protein kinase-like protein OS=Homo sapiens OX=9606 GN=PXK PE=1 SV=1
+GIKPASHDCTKAKRLTGKQFNQISSLLAGRSMGNVAQSSLQAPAETSAPPLPAAPPPPPPPPPPLASIGSTSPPTPSSPSTLPSSAGSGASNNSNSYKASHEESNELASRKSKKRALIKRKKREEESGHHSQARTLRRHQHIQKQEEILRKEICEKAIRLAEKLKTPIKFQPKESTTLLVDSFLPMQLLRSITPMGNKCAECSLTSELVAVVAMSPAPPFSDVPVSDPPRGYTMEYLLHGFCHVDVSELTNIKRFQSFYSRYFSPLGLLSNELDLLRCTDGDLMVNSAHLHGYPFGKDHLFKLVELIQRGYTKIQQLELGQIKKPNCYKKLFPDKPKAKYILDKLTGKENFMRILLASSENATAFTVRYIYPHLCSPLLKILCQFDKDSLYKDPGLDAWSLVLREKPQNKIKMLFYKKRIRWGIDKLPEVVEWKPESRFFMSVQQLAIETYNASYNNPDLFKKVLECNSLIHNTTIVNLYNQLGKQREAIFERDMNGILKKPPLPLSLGAIQLSNNLLDFDSYRRVIQWSNEVSIGRQVRIIYETHSQLSQSAEIAATLPVTDDLLVKGAPPKEMFAM
+>sp|I3L0S3|PYAS1_HUMAN Putative uncharacterized protein PYCARD-AS1 OS=Homo sapiens OX=9606 GN=PYCARD-AS1 PE=5 SV=1
+MRAHVAQHVSGELGAVGLQVEADQLVGEVQGVHGQQRAPRDAPVALAQRHRQQLQLELLELLGGQVLQRIQDGVARAPHGSRIPGRCRRSPRCSRRPGGSRLRGGTWTPRLPPTLVSRLPAPVRCPPAKGASLLHPWSPPTQASGLGPQAVGGRQDRALQLACEVGPGRGPQRGSWVAPACLWACTSGYRPETWAGSHWVYWST
+>DECOY_sp|I3L0S3|PYAS1_HUMAN Putative uncharacterized protein PYCARD-AS1 OS=Homo sapiens OX=9606 GN=PYCARD-AS1 PE=5 SV=1
+TSWYVWHSGAWTEPRYGSTCAWLCAPAVWSGRQPGRGPGVECALQLARDQRGGVAQPGLGSAQTPPSWPHLLSAGKAPPCRVPAPLRSVLTPPLRPTWTGGRLRSGGPRRSCRPSRRCRGPIRSGHPARAVGDQIRQLVQGGLLELLELQLQQRHRQALAVPADRPARQQGHVGQVEGVLQDAEVQLGVAGLEGSVHQAVHARM
+>sp|Q9Y3Y4|PYGO1_HUMAN Pygopus homolog 1 OS=Homo sapiens OX=9606 GN=PYGO1 PE=1 SV=2
+MPAENSPAPAYKVSSHGGDSGLDGLGGPGVQLGSPDKKKRKANTQGPSFPPLSEYAPPPNPNSDHLVAANPFDDNYNTISYKPLPSSNPYLGPGYPGFGGYSTFRMPPHVPPRMSSPYCGPYSLRNQPHPFPQNPLGMGFNRPHAFNFGPHDNSSFGNPSYNNALSQNVNMPNQHFRQNPAENFSQIPPQNASQVSNPDLASNFVPGNNSNFTSPLESNHSFIPPPNTFGQAKAPPPKQDFTQGATKNTNQNSSAHPPHLNMDDTVNQSNIELKNVNRNNAVNQENSRSSSTEATNNNPANGTQNKPRQPRGAADACTTEKSNKSSLHPNRHGHSSSDPVYPCGICTNEVNDDQDAILCEASCQKWFHRICTGMTETAYGLLTAEASAVWGCDTCMADKDVQLMRTRETFGPSAVGSDA
+>DECOY_sp|Q9Y3Y4|PYGO1_HUMAN Pygopus homolog 1 OS=Homo sapiens OX=9606 GN=PYGO1 PE=1 SV=2
+ADSGVASPGFTERTRMLQVDKDAMCTDCGWVASAEATLLGYATETMGTCIRHFWKQCSAECLIADQDDNVENTCIGCPYVPDSSSHGHRNPHLSSKNSKETTCADAAGRPQRPKNQTGNAPNNNTAETSSSRSNEQNVANNRNVNKLEINSQNVTDDMNLHPPHASSNQNTNKTAGQTFDQKPPPAKAQGFTNPPPIFSHNSELPSTFNSNNGPVFNSALDPNSVQSANQPPIQSFNEAPNQRFHQNPMNVNQSLANNYSPNGFSSNDHPGFNFAHPRNFGMGLPNQPFPHPQNRLSYPGCYPSSMRPPVHPPMRFTSYGGFGPYGPGLYPNSSPLPKYSITNYNDDFPNAAVLHDSNPNPPPAYESLPPFSPGQTNAKRKKKDPSGLQVGPGGLGDLGSDGGHSSVKYAPAPSNEAPM
+>sp|P11464|PSG1_HUMAN Pregnancy-specific beta-1-glycoprotein 1 OS=Homo sapiens OX=9606 GN=PSG1 PE=1 SV=1
+MGTLSAPPCTQRIKWKGLLLTASLLNFWNLPTTAQVTIEAEPTKVSEGKDVLLLVHNLPQNLTGYIWYKGQMRDLYHYITSYVVDGEIIIYGPAYSGRETAYSNASLLIQNVTREDAGSYTLHIIKGDDGTRGVTGRFTFTLHLETPKPSISSSNLNPRETMEAVSLTCDPETPDASYLWWMNGQSLPMTHSLKLSETNRTLFLLGVTKYTAGPYECEIRNPVSASRSDPVTLNLLPKLPKPYITINNLNPRENKDVLNFTCEPKSENYTYIWWLNGQSLPVSPRVKRPIENRILILPSVTRNETGPYQCEIRDRYGGIRSDPVTLNVLYGPDLPRIYPSFTYYRSGEVLYLSCSADSNPPAQYSWTINEKFQLPGQKLFIRHITTKHSGLYVCSVRNSATGKESSKSMTVEVSDWTVP
+>DECOY_sp|P11464|PSG1_HUMAN Pregnancy-specific beta-1-glycoprotein 1 OS=Homo sapiens OX=9606 GN=PSG1 PE=1 SV=1
+PVTWDSVEVTMSKSSEKGTASNRVSCVYLGSHKTTIHRIFLKQGPLQFKENITWSYQAPPNSDASCSLYLVEGSRYYTFSPYIRPLDPGYLVNLTVPDSRIGGYRDRIECQYPGTENRTVSPLILIRNEIPRKVRPSVPLSQGNLWWIYTYNESKPECTFNLVDKNERPNLNNITIYPKPLKPLLNLTVPDSRSASVPNRIECEYPGATYKTVGLLFLTRNTESLKLSHTMPLSQGNMWWLYSADPTEPDCTLSVAEMTERPNLNSSSISPKPTELHLTFTFRGTVGRTGDDGKIIHLTYSGADERTVNQILLSANSYATERGSYAPGYIIIEGDVVYSTIYHYLDRMQGKYWIYGTLNQPLNHVLLLVDKGESVKTPEAEITVQATTPLNWFNLLSATLLLGKWKIRQTCPPASLTGM
+>sp|Q00889|PSG6_HUMAN Pregnancy-specific beta-1-glycoprotein 6 OS=Homo sapiens OX=9606 GN=PSG6 PE=2 SV=1
+MGPLSAPPCTQHITWKGLLLTASLLNFWNLPTTAQVIIEAKPPKVSEGKDVLLLVHNLPQNLTGYIWYKGQMTDLYHYITSYVVHGQIIYGPAYSGRETVYSNASLLIQNVTQEDAGSYTLHIIKRGDGTGGVTGYFTVTLYSETPKPSISSSNLNPREVMEAVRLICDPETPDASYLWLLNGQNLPMTHRLQLSKTNRTLYLFGVTKYIAGPYECEIRNPVSASRSDPVTLNLLPKLPMPYITINNLNPREKKDVLAFTCEPKSRNYTYIWWLNGQSLPVSPRVKRPIENRILILPSVTRNETGPYQCEIRDRYGGIRSNPVTLNVLYGPDLPRIYPSFTYYRSGENLDLSCFADSNPPAEYSWTINGKFQLSGQKLFIPQITTNHSGLYACSVRNSATGKEISKSMIVKVSETASPQVTYAGPNTWFQEILLL
+>DECOY_sp|Q00889|PSG6_HUMAN Pregnancy-specific beta-1-glycoprotein 6 OS=Homo sapiens OX=9606 GN=PSG6 PE=2 SV=1
+LLLIEQFWTNPGAYTVQPSATESVKVIMSKSIEKGTASNRVSCAYLGSHNTTIQPIFLKQGSLQFKGNITWSYEAPPNSDAFCSLDLNEGSRYYTFSPYIRPLDPGYLVNLTVPNSRIGGYRDRIECQYPGTENRTVSPLILIRNEIPRKVRPSVPLSQGNLWWIYTYNRSKPECTFALVDKKERPNLNNITIYPMPLKPLLNLTVPDSRSASVPNRIECEYPGAIYKTVGFLYLTRNTKSLQLRHTMPLNQGNLLWLYSADPTEPDCILRVAEMVERPNLNSSSISPKPTESYLTVTFYGTVGGTGDGRKIIHLTYSGADEQTVNQILLSANSYVTERGSYAPGYIIQGHVVYSTIYHYLDTMQGKYWIYGTLNQPLNHVLLLVDKGESVKPPKAEIIVQATTPLNWFNLLSATLLLGKWTIHQTCPPASLPGM
+>sp|Q00887|PSG9_HUMAN Pregnancy-specific beta-1-glycoprotein 9 OS=Homo sapiens OX=9606 GN=PSG9 PE=2 SV=2
+MGPLPAPSCTQRITWKGLLLTASLLNFWNPPTTAEVTIEAQPPKVSEGKDVLLLVHNLPQNLPGYFWYKGEMTDLYHYIISYIVDGKIIIYGPAYSGRETVYSNASLLIQNVTRKDAGTYTLHIIKRGDETREEIRHFTFTLYLETPKPYISSSNLNPREAMEAVRLICDPETLDASYLWWMNGQSLPVTHRLQLSKTNRTLYLFGVTKYIAGPYECEIRNPVSASRSDPVTLNLLPKLPIPYITINNLNPRENKDVLAFTCEPKSENYTYIWWLNGQSLPVSPGVKRPIENRILILPSVTRNETGPYQCEIRDRYGGLRSNPVILNVLYGPDLPRIYPSFTYYRSGENLDLSCFTESNPPAEYFWTINGKFQQSGQKLFIPQITRNHSGLYACSVHNSATGKEISKSMTVKVSGPCHGDLTESQS
+>DECOY_sp|Q00887|PSG9_HUMAN Pregnancy-specific beta-1-glycoprotein 9 OS=Homo sapiens OX=9606 GN=PSG9 PE=2 SV=2
+SQSETLDGHCPGSVKVTMSKSIEKGTASNHVSCAYLGSHNRTIQPIFLKQGSQQFKGNITWFYEAPPNSETFCSLDLNEGSRYYTFSPYIRPLDPGYLVNLIVPNSRLGGYRDRIECQYPGTENRTVSPLILIRNEIPRKVGPSVPLSQGNLWWIYTYNESKPECTFALVDKNERPNLNNITIYPIPLKPLLNLTVPDSRSASVPNRIECEYPGAIYKTVGFLYLTRNTKSLQLRHTVPLSQGNMWWLYSADLTEPDCILRVAEMAERPNLNSSSIYPKPTELYLTFTFHRIEERTEDGRKIIHLTYTGADKRTVNQILLSANSYVTERGSYAPGYIIIKGDVIYSIIYHYLDTMEGKYWFYGPLNQPLNHVLLLVDKGESVKPPQAEITVEATTPPNWFNLLSATLLLGKWTIRQTCSPAPLPGM
+>sp|O75475|PSIP1_HUMAN PC4 and SFRS1-interacting protein OS=Homo sapiens OX=9606 GN=PSIP1 PE=1 SV=1
+MTRDFKPGDLIFAKMKGYPHWPARVDEVPDGAVKPPTNKLPIFFFGTHETAFLGPKDIFPYSENKEKYGKPNKRKGFNEGLWEIDNNPKVKFSSQQAATKQSNASSDVEVEEKETSVSKEDTDHEEKASNEDVTKAVDITTPKAARRGRKRKAEKQVETEEAGVVTTATASVNLKVSPKRGRPAATEVKIPKPRGRPKMVKQPCPSESDIITEEDKSKKKGQEEKQPKKQPKKDEEGQKEEDKPRKEPDKKEGKKEVESKRKNLAKTGVTSTSDSEEEGDDQEGEKKRKGGRNFQTAHRRNMLKGQHEKEAADRKRKQEEQMETEQQNKDEGKKPEVKKVEKKRETSMDSRLQRIHAEIKNSLKIDNLDVNRCIEALDELASLQVTMQQAQKHTEMITTLKKIRRFKVSQVIMEKSTMLYNKFKNMFLVGEGDSVITQVLNKSLAEQRQHEEANKTKDQGKKGPNKKLEKEQTGSKTLNGGSDAQDGNQPQHNGESNEDSKDNHEASTKKKPSSEERETEISLKDSTLDN
+>DECOY_sp|O75475|PSIP1_HUMAN PC4 and SFRS1-interacting protein OS=Homo sapiens OX=9606 GN=PSIP1 PE=1 SV=1
+NDLTSDKLSIETEREESSPKKKTSAEHNDKSDENSEGNHQPQNGDQADSGGNLTKSGTQEKELKKNPGKKGQDKTKNAEEHQRQEALSKNLVQTIVSDGEGVLFMNKFKNYLMTSKEMIVQSVKFRRIKKLTTIMETHKQAQQMTVQLSALEDLAEICRNVDLNDIKLSNKIEAHIRQLRSDMSTERKKEVKKVEPKKGEDKNQQETEMQEEQKRKRDAAEKEHQGKLMNRRHATQFNRGGKRKKEGEQDDGEEESDSTSTVGTKALNKRKSEVEKKGEKKDPEKRPKDEEKQGEEDKKPQKKPQKEEQGKKKSKDEETIIDSESPCPQKVMKPRGRPKPIKVETAAPRGRKPSVKLNVSATATTVVGAEETEVQKEAKRKRGRRAAKPTTIDVAKTVDENSAKEEHDTDEKSVSTEKEEVEVDSSANSQKTAAQQSSFKVKPNNDIEWLGENFGKRKNPKGYKEKNESYPFIDKPGLFATEHTGFFFIPLKNTPPKVAGDPVEDVRAPWHPYGKMKAFILDGPKFDRTM
+>sp|Q99460|PSMD1_HUMAN 26S proteasome non-ATPase regulatory subunit 1 OS=Homo sapiens OX=9606 GN=PSMD1 PE=1 SV=2
+MITSAAGIISLLDEDEPQLKEFALHKLNAVVNDFWAEISESVDKIEVLYEDEGFRSRQFAALVASKVFYHLGAFEESLNYALGAGDLFNVNDNSEYVETIIAKCIDHYTKQCVENADLPEGEKKPIDQRLEGIVNKMFQRCLDDHKYKQAIGIALETRRLDVFEKTILESNDVPGMLAYSLKLCMSLMQNKQFRNKVLRVLVKIYMNLEKPDFINVCQCLIFLDDPQAVSDILEKLVKEDNLLMAYQICFDLYESASQQFLSSVIQNLRTVGTPIASVPGSTNTGTVPGSEKDSDSMETEEKTSSAFVGKTPEASPEPKDQTLKMIKILSGEMAIELHLQFLIRNNNTDLMILKNTKDAVRNSVCHTATVIANSFMHCGTTSDQFLRDNLEWLARATNWAKFTATASLGVIHKGHEKEALQLMATYLPKDTSPGSAYQEGGGLYALGLIHANHGGDIIDYLLNQLKNASNDIVRHGGSLGLGLAAMGTARQDVYDLLKTNLYQDDAVTGEAAGLALGLVMLGSKNAQAIEDMVGYAQETQHEKILRGLAVGIALVMYGRMEEADALIESLCRDKDPILRRSGMYTVAMAYCGSGNNKAIRRLLHVAVSDVNDDVRRAAVESLGFILFRTPEQCPSVVSLLSESYNPHVRYGAAMALGICCAGTGNKEAINLLEPMTNDPVNYVRQGALIASALIMIQQTEITCPKVNQFRQLYSKVINDKHDDVMAKFGAILAQGILDAGGHNVTISLQSRTGHTHMPSVVGVLVFTQFWFWFPLSHFLSLAYTPTCVIGLNKDLKMPKVQYKSNCKPSTFAYPAPLEVPKEKEKEKVSTAVLSITAKAKKKEKEKEKKEEEKMEVDEAEKKEEKEKKKEPEPNFQLLDNPARVMPAQLKVLTMPETCRYQPFKPLSIGGIIILKDTSEDIEELVEPVAAHGPKIEEEEQEPEPPEPFEYIDD
+>DECOY_sp|Q99460|PSMD1_HUMAN 26S proteasome non-ATPase regulatory subunit 1 OS=Homo sapiens OX=9606 GN=PSMD1 PE=1 SV=2
+DDIYEFPEPPEPEQEEEEIKPGHAAVPEVLEEIDESTDKLIIIGGISLPKFPQYRCTEPMTLVKLQAPMVRAPNDLLQFNPEPEKKKEKEEKKEAEDVEMKEEEKKEKEKEKKKAKATISLVATSVKEKEKEKPVELPAPYAFTSPKCNSKYQVKPMKLDKNLGIVCTPTYALSLFHSLPFWFWFQTFVLVGVVSPMHTHGTRSQLSITVNHGGADLIGQALIAGFKAMVDDHKDNIVKSYLQRFQNVKPCTIETQQIMILASAILAGQRVYNVPDNTMPELLNIAEKNGTGACCIGLAMAAGYRVHPNYSESLLSVVSPCQEPTRFLIFGLSEVAARRVDDNVDSVAVHLLRRIAKNNGSGCYAMAVTYMGSRRLIPDKDRCLSEILADAEEMRGYMVLAIGVALGRLIKEHQTEQAYGVMDEIAQANKSGLMVLGLALGAAEGTVADDQYLNTKLLDYVDQRATGMAALGLGLSGGHRVIDNSANKLQNLLYDIIDGGHNAHILGLAYLGGGEQYASGPSTDKPLYTAMLQLAEKEHGKHIVGLSATATFKAWNTARALWELNDRLFQDSTTGCHMFSNAIVTATHCVSNRVADKTNKLIMLDTNNNRILFQLHLEIAMEGSLIKIMKLTQDKPEPSAEPTKGVFASSTKEETEMSDSDKESGPVTGTNTSGPVSAIPTGVTRLNQIVSSLFQQSASEYLDFCIQYAMLLNDEKVLKELIDSVAQPDDLFILCQCVNIFDPKELNMYIKVLVRLVKNRFQKNQMLSMCLKLSYALMGPVDNSELITKEFVDLRRTELAIGIAQKYKHDDLCRQFMKNVIGELRQDIPKKEGEPLDANEVCQKTYHDICKAIITEVYESNDNVNFLDGAGLAYNLSEEFAGLHYFVKSAVLAAFQRSRFGEDEYLVEIKDVSESIEAWFDNVVANLKHLAFEKLQPEDEDLLSIIGAASTIM
+>sp|Q969U7|PSMG2_HUMAN Proteasome assembly chaperone 2 OS=Homo sapiens OX=9606 GN=PSMG2 PE=1 SV=1
+MFVPCGESAPDLAGFTLLMPAVSVGNVGQLAMDLIISTLNMSKIGYFYTDCLVPMVGNNPYATTEGNSTELSINAEVYSLPSRKLVALQLRSIFIKYKSKPFCEKLLSWVKSSGCARVIVLSSSHSYQRNDLQLRSTPFRYLLTPSMQKSVQNKIKSLNWEEMEKSRCIPEIDDSEFCIRIPGGGITKTLYDESCSKEIQMAVLLKFVSEGDNIPDALGLVEYLNEWLQILKPLSDDPTVSASRWKIPSSWRLLFGSGLPPALF
+>DECOY_sp|Q969U7|PSMG2_HUMAN Proteasome assembly chaperone 2 OS=Homo sapiens OX=9606 GN=PSMG2 PE=1 SV=1
+FLAPPLGSGFLLRWSSPIKWRSASVTPDDSLPKLIQLWENLYEVLGLADPINDGESVFKLLVAMQIEKSCSEDYLTKTIGGGPIRICFESDDIEPICRSKEMEEWNLSKIKNQVSKQMSPTLLYRFPTSRLQLDNRQYSHSSSLVIVRACGSSKVWSLLKECFPKSKYKIFISRLQLAVLKRSPLSYVEANISLETSNGETTAYPNNGVMPVLCDTYFYGIKSMNLTSIILDMALQGVNGVSVAPMLLTFGALDPASEGCPVFM
+>sp|P49810|PSN2_HUMAN Presenilin-2 OS=Homo sapiens OX=9606 GN=PSEN2 PE=1 SV=1
+MLTFMASDSEEEVCDERTSLMSAESPTPRSCQEGRQGPEDGENTAQWRSQENEEDGEEDPDRYVCSGVPGRPPGLEEELTLKYGAKHVIMLFVPVTLCMIVVVATIKSVRFYTEKNGQLIYTPFTEDTPSVGQRLLNSVLNTLIMISVIVVMTIFLVVLYKYRCYKFIHGWLIMSSLMLLFLFTYIYLGEVLKTYNVAMDYPTLLLTVWNFGAVGMVCIHWKGPLVLQQAYLIMISALMALVFIKYLPEWSAWVILGAISVYDLVAVLCPKGPLRMLVETAQERNEPIFPALIYSSAMVWTVGMAKLDPSSQGALQLPYDPEMEEDSYDSFGEPSYPEVFEPPLTGYPGEELEEEEERGVKLGLGDFIFYSVLVGKAAATGSGDWNTTLACFVAILIGLCLTLLLLAVFKKALPALPISITFGLIFYFSTDNLVRPFMDTLASHQLYI
+>DECOY_sp|P49810|PSN2_HUMAN Presenilin-2 OS=Homo sapiens OX=9606 GN=PSEN2 PE=1 SV=1
+IYLQHSALTDMFPRVLNDTSFYFILGFTISIPLAPLAKKFVALLLLTLCLGILIAVFCALTTNWDGSGTAAAKGVLVSYFIFDGLGLKVGREEEEELEEGPYGTLPPEFVEPYSPEGFSDYSDEEMEPDYPLQLAGQSSPDLKAMGVTWVMASSYILAPFIPENREQATEVLMRLPGKPCLVAVLDYVSIAGLIVWASWEPLYKIFVLAMLASIMILYAQQLVLPGKWHICVMGVAGFNWVTLLLTPYDMAVNYTKLVEGLYIYTFLFLLMLSSMILWGHIFKYCRYKYLVVLFITMVVIVSIMILTNLVSNLLRQGVSPTDETFPTYILQGNKETYFRVSKITAVVVIMCLTVPVFLMIVHKAGYKLTLEEELGPPRGPVGSCVYRDPDEEGDEENEQSRWQATNEGDEPGQRGEQCSRPTPSEASMLSTREDCVEEESDSAMFTLM
+>sp|Q9UKA9|PTBP2_HUMAN Polypyrimidine tract-binding protein 2 OS=Homo sapiens OX=9606 GN=PTBP2 PE=1 SV=1
+MDGIVTEVAVGVKRGSDELLSGSVLSSPNSNMSSMVVTANGNDSKKFKGEDKMDGAPSRVLHIRKLPGEVTETEVIALGLPFGKVTNILMLKGKNQAFLELATEEAAITMVNYYSAVTPHLRNQPIYIQYSNHKELKTDNTLNQRAQAVLQAVTAVQTANTPLSGTTVSESAVTPAQSPVLRIIIDNMYYPVTLDVLHQIFSKFGAVLKIITFTKNNQFQALLQYGDPVNAQQAKLALDGQNIYNACCTLRIDFSKLVNLNVKYNNDKSRDYTRPDLPSGDGQPALDPAIAAAFAKETSLLAVPGALSPLAIPNAAAAAAAAAAGRVGMPGVSAGGNTVLLVSNLNEEMVTPQSLFTLFGVYGDVQRVKILYNKKDSALIQMADGNQSQLAMNHLNGQKMYGKIIRVTLSKHQTVQLPREGLDDQGLTKDFGNSPLHRFKKPGSKNFQNIFPPSATLHLSNIPPSVAEEDLRTLFANTGGTVKAFKFFQDHKMALLQMATVEEAIQALIDLHNYNLGENHHLRVSFSKSTI
+>DECOY_sp|Q9UKA9|PTBP2_HUMAN Polypyrimidine tract-binding protein 2 OS=Homo sapiens OX=9606 GN=PTBP2 PE=1 SV=1
+ITSKSFSVRLHHNEGLNYNHLDILAQIAEEVTAMQLLAMKHDQFFKFAKVTGGTNAFLTRLDEEAVSPPINSLHLTASPPFINQFNKSGPKKFRHLPSNGFDKTLGQDDLGERPLQVTQHKSLTVRIIKGYMKQGNLHNMALQSQNGDAMQILASDKKNYLIKVRQVDGYVGFLTFLSQPTVMEENLNSVLLVTNGGASVGPMGVRGAAAAAAAAAANPIALPSLAGPVALLSTEKAFAAAIAPDLAPQGDGSPLDPRTYDRSKDNNYKVNLNVLKSFDIRLTCCANYINQGDLALKAQQANVPDGYQLLAQFQNNKTFTIIKLVAGFKSFIQHLVDLTVPYYMNDIIIRLVPSQAPTVASESVTTGSLPTNATQVATVAQLVAQARQNLTNDTKLEKHNSYQIYIPQNRLHPTVASYYNVMTIAAEETALELFAQNKGKLMLINTVKGFPLGLAIVETETVEGPLKRIHLVRSPAGDMKDEGKFKKSDNGNATVVMSSMNSNPSSLVSGSLLEDSGRKVGVAVETVIGDM
+>sp|Q9Y6C5|PTC2_HUMAN Protein patched homolog 2 OS=Homo sapiens OX=9606 GN=PTCH2 PE=2 SV=2
+MTRSPPLRELPPSYTPPARTAAPQILAGSLKAPLWLRAYFQGLLFSLGCGIQRHCGKVLFLGLLAFGALALGLRMAIIETNLEQLWVEVGSRVSQELHYTKEKLGEEAAYTSQMLIQTARQEGENILTPEALGLHLQAALTASKVQVSLYGKSWDLNKICYKSGVPLIENGMIERMIEKLFPCVILTPLDCFWEGAKLQGGSAYLPGRPDIQWTNLDPEQLLEELGPFASLEGFRELLDKAQVGQAYVGRPCLHPDDLHCPPSAPNHHSRQAPNVAHELSGGCHGFSHKFMHWQEELLLGGMARDPQGELLRAEALQSTFLLMSPRQLYEHFRGDYQTHDIGWSEEQASTVLQAWQRRFVQLAQEALPENASQQIHAFSSTTLDDILHAFSEVSAARVVGGYLLMLAYACVTMLRWDCAQSQGSVGLAGVLLVALAVASGLGLCALLGITFNAATTQVLPFLALGIGVDDVFLLAHAFTEALPGTPLQERMGECLQRTGTSVVLTSINNMAAFLMAALVPIPALRAFSLQAAIVVGCTFVAVMLVFPAILSLDLRRRHCQRLDVLCCFSSPCSAQVIQILPQELGDGTVPVGIAHLTATVQAFTHCEASSQHVVTILPPQAHLVPPPSDPLGSELFSPGGSTRDLLGQEEETRQKAACKSLPCARWNLAHFARYQFAPLLLQSHAKAIVLVLFGALLGLSLYGATLVQDGLALTDVVPRGTKEHAFLSAQLRYFSLYEVALVTQGGFDYAHSQRALFDLHQRFSSLKAVLPPPATQAPRTWLHYYRNWLQGIQAAFDQDWASGRITRHSYRNGSEDGALAYKLLIQTGDAQEPLDFSQLTTRKLVDREGLIPPELFYMGLTVWVSSDPLGLAASQANFYPPPPEWLHDKYDTTGENLRIPPAQPLEFAQFPFLLRGLQKTADFVEAIEGARAACAEAGQAGVHAYPSGSPFLFWEQYLGLRRCFLLAVCILLVCTFLVCALLLLNPWTAGLIVLVLAMMTVELFGIMGFLGIKLSAIPVVILVASVGIGVEFTVHVALGFLTTQGSRNLRAAHALEHTFAPVTDGAISTLLGLLMLAGSHFDFIVRYFFAALTVLTLLGLLHGLVLLPVLLSILGPPPEVIQMYKESPEILSPPAPQGGGLRWGASSSLPQSFARVTTSMTVAIHPPPLPGAYIHPAPDEPPWSPAATSSGNLSSRGPGPATG
+>DECOY_sp|Q9Y6C5|PTC2_HUMAN Protein patched homolog 2 OS=Homo sapiens OX=9606 GN=PTCH2 PE=2 SV=2
+GTAPGPGRSSLNGSSTAAPSWPPEDPAPHIYAGPLPPPHIAVTMSTTVRAFSQPLSSSAGWRLGGGQPAPPSLIEPSEKYMQIVEPPPGLISLLVPLLVLGHLLGLLTLVTLAAFFYRVIFDFHSGALMLLGLLTSIAGDTVPAFTHELAHAARLNRSGQTTLFGLAVHVTFEVGIGVSAVLIVVPIASLKIGLFGMIGFLEVTMMALVLVILGATWPNLLLLACVLFTCVLLICVALLFCRRLGLYQEWFLFPSGSPYAHVGAQGAEACAARAGEIAEVFDATKQLGRLLFPFQAFELPQAPPIRLNEGTTDYKDHLWEPPPPYFNAQSAALGLPDSSVWVTLGMYFLEPPILGERDVLKRTTLQSFDLPEQADGTQILLKYALAGDESGNRYSHRTIRGSAWDQDFAAQIGQLWNRYYHLWTRPAQTAPPPLVAKLSSFRQHLDFLARQSHAYDFGGQTVLAVEYLSFYRLQASLFAHEKTGRPVVDTLALGDQVLTAGYLSLGLLAGFLVLVIAKAHSQLLLPAFQYRAFHALNWRACPLSKCAAKQRTEEEQGLLDRTSGGPSFLESGLPDSPPPVLHAQPPLITVVHQSSAECHTFAQVTATLHAIGVPVTGDGLEQPLIQIVQASCPSSFCCLVDLRQCHRRRLDLSLIAPFVLMVAVFTCGVVIAAQLSFARLAPIPVLAAMLFAAMNNISTLVVSTGTRQLCEGMREQLPTGPLAETFAHALLFVDDVGIGLALFPLVQTTAANFTIGLLACLGLGSAVALAVLLVGALGVSGQSQACDWRLMTVCAYALMLLYGGVVRAASVESFAHLIDDLTTSSFAHIQQSANEPLAEQALQVFRRQWAQLVTSAQEESWGIDHTQYDGRFHEYLQRPSMLLFTSQLAEARLLEGQPDRAMGGLLLEEQWHMFKHSFGHCGGSLEHAVNPAQRSHHNPASPPCHLDDPHLCPRGVYAQGVQAKDLLERFGELSAFPGLEELLQEPDLNTWQIDPRGPLYASGGQLKAGEWFCDLPTLIVCPFLKEIMREIMGNEILPVGSKYCIKNLDWSKGYLSVQVKSATLAAQLHLGLAEPTLINEGEQRATQILMQSTYAAEEGLKEKTYHLEQSVRSGVEVWLQELNTEIIAMRLGLALAGFALLGLFLVKGCHRQIGCGLSFLLGQFYARLWLPAKLSGALIQPAATRAPPTYSPPLERLPPSRTM
+>sp|O75127|PTCD1_HUMAN Pentatricopeptide repeat-containing protein 1, mitochondrial OS=Homo sapiens OX=9606 GN=PTCD1 PE=1 SV=2
+MDFVRLARLFARARPMGLFILQHLDPCRARWAGGREGLMRPMWAPFSSSSSQLPLGQERQENTGSLGSDPSHSNSTATQEEDEEEEESFGTLSDKYSSRRLFRKSAAQFHNLRFGERRDEQMEPEPKLWRGRRNTPYWYFLQCKHLIKEGKLVEALDLFERQMLKEERLQPMESNYTVLIGGCGRVGYLKKAFNLYNQMKKRDLEPSDATYTALFNVCAESPWKDSALQSALKLRQQLQAKNFELNLKTYHALLKMAAKCADLRMCLDVFKEIIHKGHVVTEETFSFLLMGCIQDKKTGFRYALQVWRLMLSLGLQPSRDSYNLLLVAARDCGLGDPQVASELLLKPREEATVLQPPVSRQRPRRTAQAKAGNLMSAMLHVEALERQLFLEPSQALGPPEPPEARVPGKAQPEVDTKAEPSHTAALTAVALKPPPVELEVNLLTPGAVPPTVVSFGTVTTPADRLALIGGLEGFLSKMAEHRQQPDIRTLTLLAEVVESGSPAESLLLALLDEHQVEADLTFFNTLVRKKSKLGDLEGAKALLPVLAKRGLVPNLQTFCNLAIGCHRPKDGLQLLTDMKKSQVTPNTHIYSALINAAIRKLNYTYLISILKDMKQNRVPVNEVVIRQLEFAAQYPPTFDRYQGKNTYLEKIDGFRAYYKQWLTVMPAEETPHPWQKFRTKPQGDQDTGKEADDGCALGGR
+>DECOY_sp|O75127|PTCD1_HUMAN Pentatricopeptide repeat-containing protein 1, mitochondrial OS=Homo sapiens OX=9606 GN=PTCD1 PE=1 SV=2
+RGGLACGDDAEKGTDQDGQPKTRFKQWPHPTEEAPMVTLWQKYYARFGDIKELYTNKGQYRDFTPPYQAAFELQRIVVENVPVRNQKMDKLISILYTYNLKRIAANILASYIHTNPTVQSKKMDTLLQLGDKPRHCGIALNCFTQLNPVLGRKALVPLLAKAGELDGLKSKKRVLTNFFTLDAEVQHEDLLALLLSEAPSGSEVVEALLTLTRIDPQQRHEAMKSLFGELGGILALRDAPTTVTGFSVVTPPVAGPTLLNVELEVPPPKLAVATLAATHSPEAKTDVEPQAKGPVRAEPPEPPGLAQSPELFLQRELAEVHLMASMLNGAKAQATRRPRQRSVPPQLVTAEERPKLLLESAVQPDGLGCDRAAVLLLNYSDRSPQLGLSLMLRWVQLAYRFGTKKDQICGMLLFSFTEETVVHGKHIIEKFVDLCMRLDACKAAMKLLAHYTKLNLEFNKAQLQQRLKLASQLASDKWPSEACVNFLATYTADSPELDRKKMQNYLNFAKKLYGVRGCGGILVTYNSEMPQLREEKLMQREFLDLAEVLKGEKILHKCQLFYWYPTNRRGRWLKPEPEMQEDRREGFRLNHFQAASKRFLRRSSYKDSLTGFSEEEEEDEEQTATSNSHSPDSGLSGTNEQREQGLPLQSSSSSFPAWMPRMLGERGGAWRARCPDLHQLIFLGMPRARAFLRALRVFDM
+>sp|Q6ISU1|PTCRA_HUMAN Pre T-cell antigen receptor alpha OS=Homo sapiens OX=9606 GN=PTCRA PE=1 SV=1
+MAGTWLLLLLALGCPALPTGVGGTPFPSLAPPIMLLVDGKQQMVVVCLVLDVAPPGLDSPIWFSAGNGSALDAFTYGPSPATDGTWTNLAHLSLPSEELASWEPLVCHTGPGAEGHSRSTQPMHLSGEASTARTCPQEPLRGTPGGALWLGVLRLLLFKLLLFDLLLTCSCLCDPAGPLPSPATTTRLRALGSHRLHPATETGGREATSSPRPQPRDRRWGDTPPGRKPGSPVWGEGSYLSSYPTCPAQAWCSRSALRAPSSSLGAFFAGDLPPPLQAGAA
+>DECOY_sp|Q6ISU1|PTCRA_HUMAN Pre T-cell antigen receptor alpha OS=Homo sapiens OX=9606 GN=PTCRA PE=1 SV=1
+AAGAQLPPPLDGAFFAGLSSSPARLASRSCWAQAPCTPYSSLYSGEGWVPSGPKRGPPTDGWRRDRPQPRPSSTAERGGTETAPHLRHSGLARLRTTTAPSPLPGAPDCLCSCTLLLDFLLLKFLLLRLVGLWLAGGPTGRLPEQPCTRATSAEGSLHMPQTSRSHGEAGPGTHCVLPEWSALEESPLSLHALNTWTGDTAPSPGYTFADLASGNGASFWIPSDLGPPAVDLVLCVVVMQQKGDVLLMIPPALSPFPTGGVGTPLAPCGLALLLLLWTGAM
+>sp|Q96BW5|PTER_HUMAN Phosphotriesterase-related protein OS=Homo sapiens OX=9606 GN=PTER PE=1 SV=1
+MSSLSGKVQTVLGLVEPSKLGRTLTHEHLAMTFDCCYCPPPPCQEAISKEPIVMKNLYWIQKNAYSHKENLQLNQETEAIKEELLYFKANGGGALVENTTTGISRDTQTLKRLAEETGVHIISGAGFYVDATHSSETRAMSVEQLTDVLMNEILHGADGTSIKCGIIGEIGCSWPLTESERKVLQATAHAQAQLGCPVIIHPGRSSRAPFQIIRILQEAGADISKTVMSHLDRTILDKKELLEFAQLGCYLEYDLFGTELLHYQLGPDIDMPDDNKRIRRVRLLVEEGCEDRILVAHDIHTKTRLMKYGGHGYSHILTNVVPKMLLRGITENVLDKILIENPKQWLTFK
+>DECOY_sp|Q96BW5|PTER_HUMAN Phosphotriesterase-related protein OS=Homo sapiens OX=9606 GN=PTER PE=1 SV=1
+KFTLWQKPNEILIKDLVNETIGRLLMKPVVNTLIHSYGHGGYKMLRTKTHIDHAVLIRDECGEEVLLRVRRIRKNDDPMDIDPGLQYHLLETGFLDYELYCGLQAFELLEKKDLITRDLHSMVTKSIDAGAEQLIRIIQFPARSSRGPHIIVPCGLQAQAHATAQLVKRESETLPWSCGIEGIIGCKISTGDAGHLIENMLVDTLQEVSMARTESSHTADVYFGAGSIIHVGTEEALRKLTQTDRSIGTTTNEVLAGGGNAKFYLLEEKIAETEQNLQLNEKHSYANKQIWYLNKMVIPEKSIAEQCPPPPCYCCDFTMALHEHTLTRGLKSPEVLGLVTQVKGSLSSM
+>sp|P41222|PTGDS_HUMAN Prostaglandin-H2 D-isomerase OS=Homo sapiens OX=9606 GN=PTGDS PE=1 SV=1
+MATHHTLWMGLALLGVLGDLQAAPEAQVSVQPNFQQDKFLGRWFSAGLASNSSWLREKKAALSMCKSVVAPATDGGLNLTSTFLRKNQCETRTMLLQPAGSLGSYSYRSPHWGSTYSVSVVETDYDQYALLYSQGSKGPGEDFRMATLYSRTQTPRAELKEKFTAFCKAQGFTEDTIVFLPQTDKCMTEQ
+>DECOY_sp|P41222|PTGDS_HUMAN Prostaglandin-H2 D-isomerase OS=Homo sapiens OX=9606 GN=PTGDS PE=1 SV=1
+QETMCKDTQPLFVITDETFGQAKCFATFKEKLEARPTQTRSYLTAMRFDEGPGKSGQSYLLAYQDYDTEVVSVSYTSGWHPSRYSYSGLSGAPQLLMTRTECQNKRLFTSTLNLGGDTAPAVVSKCMSLAAKKERLWSSNSALGASFWRGLFKDQQFNPQVSVQAEPAAQLDGLVGLLALGMWLTHHTAM
+>sp|Q3SYG4|PTHB1_HUMAN Protein PTHB1 OS=Homo sapiens OX=9606 GN=BBS9 PE=1 SV=1
+MSLFKARDWWSTILGDKEEFDQGCLCLANVDNSGNGQDKIIVGSFMGYLRIFSPHPAKTGDGAQAEDLLLEVDLRDPVLQVEVGKFVSGTEMLHLAVLHSRKLCVYSVSGTLGNVEHGNQCQMKLMYEHNLQRTACNMTYGSFGGVKGRDLICIQSMDGMLMVFEQESYAFGRFLPGFLLPGPLAYSSRTDSFLTVSSCQQVESYKYQVLAFATDADKRQETEQQKLGSGKRLVVDWTLNIGEQALDICIVSFNQSASSVFVLGERNFFCLKDNGQIRFMKKLDWSPSCFLPYCSVSEGTINTLIGNHNNMLHIYQDVTLKWATQLPHIPVAVRVGCLHDLKGVIVTLSDDGHLQCSYLGTDPSLFQAPNVQSRELNYDELDVEMKELQKIIKDVNKSQGVWPMTEREDDLNVSVVVSPNFDSVSQATDVEVGTDLVPSVTVKVTLQNRVILQKAKLSVYVQPPLELTCDQFTFEFMTPDLTRTVSFSVYLKRSYTPSELEGNAVVSYSRPTDRNPDGIPRVIQCKFRLPLKLICLPGQPSKTASHKITIDTNKSPVSLLSLFPGFASQSDDDQVNVMGFHFLGGARITVLASKTSQRYRIQSEQFEDLWLITNELILRLQEYFEKQGVKDFACSFSGSIPLQEYFELIDHHFELRINGEKLEELLSERAVQFRAIQRRLLARFKDKTPAPLQHLDTLLDGTYKQVIALADAVEENQGNLFQSFTRLKSATHLVILLIALWQKLSADQVAILEAAFLPLQEDTQELGWEETVDAAISHLLKTCLSKSSKEQALNLNSQLNIPKDTSQLKKHITLLCDRLSKGGRLCLSTDAAAPQTMVMPGGCTTIPESDLEERSVEQDSTELFTNHRHLTAETPRPEVSPLQGVSE
+>DECOY_sp|Q3SYG4|PTHB1_HUMAN Protein PTHB1 OS=Homo sapiens OX=9606 GN=BBS9 PE=1 SV=1
+ESVGQLPSVEPRPTEATLHRHNTFLETSDQEVSREELDSEPITTCGGPMVMTQPAAADTSLCLRGGKSLRDCLLTIHKKLQSTDKPINLQSNLNLAQEKSSKSLCTKLLHSIAADVTEEWGLEQTDEQLPLFAAELIAVQDASLKQWLAILLIVLHTASKLRTFSQFLNGQNEEVADALAIVQKYTGDLLTDLHQLPAPTKDKFRALLRRQIARFQVARESLLEELKEGNIRLEFHHDILEFYEQLPISGSFSCAFDKVGQKEFYEQLRLILENTILWLDEFQESQIRYRQSTKSALVTIRAGGLFHFGMVNVQDDDSQSAFGPFLSLLSVPSKNTDITIKHSATKSPQGPLCILKLPLRFKCQIVRPIGDPNRDTPRSYSVVANGELESPTYSRKLYVSFSVTRTLDPTMFEFTFQDCTLELPPQVYVSLKAKQLIVRNQLTVKVTVSPVLDTGVEVDTAQSVSDFNPSVVVSVNLDDERETMPWVGQSKNVDKIIKQLEKMEVDLEDYNLERSQVNPAQFLSPDTGLYSCQLHGDDSLTVIVGKLDHLCGVRVAVPIHPLQTAWKLTVDQYIHLMNNHNGILTNITGESVSCYPLFCSPSWDLKKMFRIQGNDKLCFFNREGLVFVSSASQNFSVICIDLAQEGINLTWDVVLRKGSGLKQQETEQRKDADTAFALVQYKYSEVQQCSSVTLFSDTRSSYALPGPLLFGPLFRGFAYSEQEFVMLMGDMSQICILDRGKVGGFSGYTMNCATRQLNHEYMLKMQCQNGHEVNGLTGSVSYVCLKRSHLVALHLMETGSVFKGVEVQLVPDRLDVELLLDEAQAGDGTKAPHPSFIRLYGMFSGVIIKDQGNGSNDVNALCLCGQDFEEKDGLITSWWDRAKFLSM
+>sp|Q3KNS1|PTHD3_HUMAN Patched domain-containing protein 3 OS=Homo sapiens OX=9606 GN=PTCHD3 PE=1 SV=3
+MPWVEPKPRPGPEQKPKLTKPDSATGPQWYQESQESESEGKQPPPGPLAPPKSPEPSGPLASEQDAPLPEGDDAPPRPSMLDDAPRLPLELDDAPLPEEETPEPTAICRHRHRCHTDCLEGLLSRTFQWLGWQVGAHPWIFLLAPLMLTAALGTGFLYLPKDEEEDLEEHYTPVGSPAKAERRFVQGHFTTNDSYRFSASRRSTEANFVSLLVVSYSDSLLDPATFAEVSKLDGAVQDLRVAREKGSQIQYQQVCARYRALCVPPNPILYAWQVNKTLNLSSISFPAYNHGRHPLYLTGFFGGYILGGSLGMGQLLLRAKAMRLLYYLKTEDPEYDVQSKQWLTHLLDQFTNIKNILALKKIEVVHFTSLSRQLEFEATSVTVIPVFHLAYILIILFAVTSCFRFDCIRNKMCVAAFGVISAFLAVVSGFGLLLHIGVPFVIIVANSPFLILGVGVDDMFIMISAWHKTNLADDIRERMSNVYSKAAVSITITTITNILALYTGIMSSFRSVQCFCIYTGMTLLFCYFYNITCFGAFMALDGKREVVCLCWLKKADPKWPSFKKFCCFPFGSVPDEHGTDIHPISLFFRDYFGPFLTRSESKYFVVFIYVLYIISSIYGCFHVQEGLDLRNLASDDSYITPYFNVEENYFSDYGPRVMVIVTKKVDYWDKDVRQKLENCTKIFEKNVYVDKNLTEFWLDAYVQYLKGNSQDPNEKNTFMNNIPDFLSNFPNFQHDINISSSNEIISSRGFIQTTDVSSSAKKKILLF
+>DECOY_sp|Q3KNS1|PTHD3_HUMAN Patched domain-containing protein 3 OS=Homo sapiens OX=9606 GN=PTCHD3 PE=1 SV=3
+FLLIKKKASSSVDTTQIFGRSSIIENSSSINIDHQFNPFNSLFDPINNMFTNKENPDQSNGKLYQVYADLWFETLNKDVYVNKEFIKTCNELKQRVDKDWYDVKKTVIVMVRPGYDSFYNEEVNFYPTIYSDDSALNRLDLGEQVHFCGYISSIIYLVYIFVVFYKSESRTLFPGFYDRFFLSIPHIDTGHEDPVSGFPFCCFKKFSPWKPDAKKLWCLCVVERKGDLAMFAGFCTINYFYCFLLTMGTYICFCQVSRFSSMIGTYLALINTITTITISVAAKSYVNSMRERIDDALNTKHWASIMIFMDDVGVGLILFPSNAVIIVFPVGIHLLLGFGSVVALFASIVGFAAVCMKNRICDFRFCSTVAFLIILIYALHFVPIVTVSTAEFELQRSLSTFHVVEIKKLALINKINTFQDLLHTLWQKSQVDYEPDETKLYYLLRMAKARLLLQGMGLSGGLIYGGFFGTLYLPHRGHNYAPFSISSLNLTKNVQWAYLIPNPPVCLARYRACVQQYQIQSGKERAVRLDQVAGDLKSVEAFTAPDLLSDSYSVVLLSVFNAETSRRSASFRYSDNTTFHGQVFRREAKAPSGVPTYHEELDEEEDKPLYLFGTGLAATLMLPALLFIWPHAGVQWGLWQFTRSLLGELCDTHCRHRHRCIATPEPTEEEPLPADDLELPLRPADDLMSPRPPADDGEPLPADQESALPGSPEPSKPPALPGPPPQKGESESEQSEQYWQPGTASDPKTLKPKQEPGPRPKPEVWPM
+>sp|P06454|PTMA_HUMAN Prothymosin alpha OS=Homo sapiens OX=9606 GN=PTMA PE=1 SV=2
+MSDAAVDTSSEITTKDLKEKKEVVEEAENGRDAPANGNAENEENGEQEADNEVDEEEEEGGEEEEEEEEGDGEEEDGDEDEEAESATGKRAAEDDEDDDVDTKKQKTDEDD
+>DECOY_sp|P06454|PTMA_HUMAN Prothymosin alpha OS=Homo sapiens OX=9606 GN=PTMA PE=1 SV=2
+DDEDTKQKKTDVDDDEDDEAARKGTASEAEEDEDGDEEEGDGEEEEEEEEGGEEEEEDVENDAEQEGNEENEANGNAPADRGNEAEEVVEKKEKLDKTTIESSTDVAADSM
+>sp|Q05209|PTN12_HUMAN Tyrosine-protein phosphatase non-receptor type 12 OS=Homo sapiens OX=9606 GN=PTPN12 PE=1 SV=3
+MEQVEILRKFIQRVQAMKSPDHNGEDNFARDFMRLRRLSTKYRTEKIYPTATGEKEENVKKNRYKDILPFDHSRVKLTLKTPSQDSDYINANFIKGVYGPKAYVATQGPLANTVIDFWRMIWEYNVVIIVMACREFEMGRKKCERYWPLYGEDPITFAPFKISCEDEQARTDYFIRTLLLEFQNESRRLYQFHYVNWPDHDVPSSFDSILDMISLMRKYQEHEDVPICIHCSAGCGRTGAICAIDYTWNLLKAGKIPEEFNVFNLIQEMRTQRHSAVQTKEQYELVHRAIAQLFEKQLQLYEIHGAQKIADGVNEINTENMVSSIEPEKQDSPPPKPPRTRSCLVEGDAKEEILQPPEPHPVPPILTPSPPSAFPTVTTVWQDNDRYHPKPVLHMVSSEQHSADLNRNYSKSTELPGKNESTIEQIDKKLERNLSFEIKKVPLQEGPKSFDGNTLLNRGHAIKIKSASPCIADKISKPQELSSDLNVGDTSQNSCVDCSVTQSNKVSVTPPEESQNSDTPPRPDRLPLDEKGHVTWSFHGPENAIPIPDLSEGNSSDINYQTRKTVSLTPSPTTQVETPDLVDHDNTSPLFRTPLSFTNPLHSDDSDSDERNSDGAVTQNKTNISTASATVSAATSTESISTRKVLPMSIARHNIAGTTHSGAEKDVDVSEDSPPPLPERTPESFVLASEHNTPVRSEWSELQSQERSEQKKSEGLITSENEKCDHPAGGIHYEMCIECPPTFSDKREQISENPTEATDIGFGNRCGKPKGPRDPPSEWT
+>DECOY_sp|Q05209|PTN12_HUMAN Tyrosine-protein phosphatase non-receptor type 12 OS=Homo sapiens OX=9606 GN=PTPN12 PE=1 SV=3
+TWESPPDRPGKPKGCRNGFGIDTAETPNESIQERKDSFTPPCEICMEYHIGGAPHDCKENESTILGESKKQESREQSQLESWESRVPTNHESALVFSEPTREPLPPPSDESVDVDKEAGSHTTGAINHRAISMPLVKRTSISETSTAASVTASATSINTKNQTVAGDSNREDSDSDDSHLPNTFSLPTRFLPSTNDHDVLDPTEVQTTPSPTLSVTKRTQYNIDSSNGESLDPIPIANEPGHFSWTVHGKEDLPLRDPRPPTDSNQSEEPPTVSVKNSQTVSCDVCSNQSTDGVNLDSSLEQPKSIKDAICPSASKIKIAHGRNLLTNGDFSKPGEQLPVKKIEFSLNRELKKDIQEITSENKGPLETSKSYNRNLDASHQESSVMHLVPKPHYRDNDQWVTTVTPFASPPSPTLIPPVPHPEPPQLIEEKADGEVLCSRTRPPKPPPSDQKEPEISSVMNETNIENVGDAIKQAGHIEYLQLQKEFLQAIARHVLEYQEKTQVASHRQTRMEQILNFVNFEEPIKGAKLLNWTYDIACIAGTRGCGASCHICIPVDEHEQYKRMLSIMDLISDFSSPVDHDPWNVYHFQYLRRSENQFELLLTRIFYDTRAQEDECSIKFPAFTIPDEGYLPWYRECKKRGMEFERCAMVIIVVNYEWIMRWFDIVTNALPGQTAVYAKPGYVGKIFNANIYDSDQSPTKLTLKVRSHDFPLIDKYRNKKVNEEKEGTATPYIKETRYKTSLRRLRMFDRAFNDEGNHDPSKMAQVRQIFKRLIEVQEM
+>sp|Q4JDL3|PTN20_HUMAN Tyrosine-protein phosphatase non-receptor type 20 OS=Homo sapiens OX=9606 GN=PTPN20 PE=1 SV=1
+MSSPRDFRAEPVNDYEGNDSEAEDLNFRETLPSSSQENTPRSKVFENKVNSEKVKLSLRNFPHNDYEDVFEEPSESGSDPSMWTARGPFRRDRWSSEDEEAAGPSQALSPLLSDTRKIVSEGELDQLAQIRPLIFNFHEQTAIKDCLKILEEKTAAYDIMQEFMALELKNLPGEFNSGNQPSNREKNRYRDILPYDSTRVPLGKSKDYINASYIRIVNCGEEYFYIATQGPLLSTIDDFWQMVLENNSNVIAMITREIEGGIIKCYHYWPISLKKPLELKHFRVFLENYQILQYFIIRMFQVVEKSTGTSHSVKQLQFTKWPDHGTPASADSFIKYIRYARKSHLTGPMVVHCSAGIGRTGVFLCVDVVFCAIVKNCSFNIMDIVAQMREQRSGMVQTKEQYHFCYDIVLEVLRKLLTLD
+>DECOY_sp|Q4JDL3|PTN20_HUMAN Tyrosine-protein phosphatase non-receptor type 20 OS=Homo sapiens OX=9606 GN=PTPN20 PE=1 SV=1
+DLTLLKRLVELVIDYCFHYQEKTQVMGSRQERMQAVIDMINFSCNKVIACFVVDVCLFVGTRGIGASCHVVMPGTLHSKRAYRIYKIFSDASAPTGHDPWKTFQLQKVSHSTGTSKEVVQFMRIIFYQLIQYNELFVRFHKLELPKKLSIPWYHYCKIIGGEIERTIMAIVNSNNELVMQWFDDITSLLPGQTAIYFYEEGCNVIRIYSANIYDKSKGLPVRTSDYPLIDRYRNKERNSPQNGSNFEGPLNKLELAMFEQMIDYAATKEELIKLCDKIATQEHFNFILPRIQALQDLEGESVIKRTDSLLPSLAQSPGAAEEDESSWRDRRFPGRATWMSPDSGSESPEEFVDEYDNHPFNRLSLKVKESNVKNEFVKSRPTNEQSSSPLTERFNLDEAESDNGEYDNVPEARFDRPSSM
+>sp|P26045|PTN3_HUMAN Tyrosine-protein phosphatase non-receptor type 3 OS=Homo sapiens OX=9606 GN=PTPN3 PE=1 SV=2
+MTSRLRALGGRINNIRTSELPKEKTRSEVICSIHFLDGVVQTFKVTKQDTGQVLLDMVHNHLGVTEKEYFGLQHDDDSVDSPRWLEASKAIRKQLKGGFPCTLHFRVRFFIPDPNTLQQEQTRHLYFLQLKMDICEGRLTCPLNSAVVLASYAVQSHFGDYNSSIHHPGYLSDSHFIPDQNEDFLTKVESLHEQHSGLKQSEAESCYINIARTLDFYGVELHSGRDLHNLDLMIGIASAGVAVYRKYICTSFYPWVNILKISFKRKKFFIHQRQKQAESREHIVAFNMLNYRSCKNLWKSCVEHHTFFQAKKLLPQEKNVLSQYWTMGSRNTKKSVNNQYCKKVIGGMVWNPAMRRSLSVEHLETKSLPSRSPPITPNWRSPRLRHEIRKPRHSSADNLANEMTYITETEDVFYTYKGSLAPQDSDSEVSQNRSPHQESLSENNPAQSYLTQKSSSSVSPSSNAPGSCSPDGVDQQLLDDFHRVTKGGSTEDASQYYCDKNDNGDSYLVLIRITPDEDGKFGFNLKGGVDQKMPLVVSRINPESPADTCIPKLNEGDQIVLINGRDISEHTHDQVVMFIKASRESHSRELALVIRRRAVRSFADFKSEDELNQLFPEAIFPMCPEGGDTLEGSMAQLKKGLESGTVLIQFEQLYRKKPGLAITFAKLPQNLDKNRYKDVLPYDTTRVLLQGNEDYINASYVNMEIPAANLVNKYIATQGPLPHTCAQFWQVVWDQKLSLIVMLTTLTERGRTKCHQYWPDPPDVMNHGGFHIQCQSEDCTIAYVSREMLVTNTQTGEEHTVTHLQYVAWPDHGVPDDSSDFLEFVNYVRSLRVDSEPVLVHCSAGIGRTGVLVTMETAMCLTERNLPIYPLDIVRKMRDQRAMMVQTSSQYKFVCEAILRVYEEGLVQMLDPS
+>DECOY_sp|P26045|PTN3_HUMAN Tyrosine-protein phosphatase non-receptor type 3 OS=Homo sapiens OX=9606 GN=PTPN3 PE=1 SV=2
+SPDLMQVLGEEYVRLIAECVFKYQSSTQVMMARQDRMKRVIDLPYIPLNRETLCMATEMTVLVGTRGIGASCHVLVPESDVRLSRVYNVFELFDSSDDPVGHDPWAVYQLHTVTHEEGTQTNTVLMERSVYAITCDESQCQIHFGGHNMVDPPDPWYQHCKTRGRETLTTLMVILSLKQDWVVQWFQACTHPLPGQTAIYKNVLNAAPIEMNVYSANIYDENGQLLVRTTDYPLVDKYRNKDLNQPLKAFTIALGPKKRYLQEFQILVTGSELGKKLQAMSGELTDGGEPCMPFIAEPFLQNLEDESKFDAFSRVARRRIVLALERSHSERSAKIFMVVQDHTHESIDRGNILVIQDGENLKPICTDAPSEPNIRSVVLPMKQDVGGKLNFGFKGDEDPTIRILVLYSDGNDNKDCYYQSADETSGGKTVRHFDDLLQQDVGDPSCSGPANSSPSVSSSSKQTLYSQAPNNESLSEQHPSRNQSVESDSDQPALSGKYTYFVDETETIYTMENALNDASSHRPKRIEHRLRPSRWNPTIPPSRSPLSKTELHEVSLSRRMAPNWVMGGIVKKCYQNNVSKKTNRSGMTWYQSLVNKEQPLLKKAQFFTHHEVCSKWLNKCSRYNLMNFAVIHERSEAQKQRQHIFFKKRKFSIKLINVWPYFSTCIYKRYVAVGASAIGIMLDLNHLDRGSHLEVGYFDLTRAINIYCSEAESQKLGSHQEHLSEVKTLFDENQDPIFHSDSLYGPHHISSNYDGFHSQVAYSALVVASNLPCTLRGECIDMKLQLFYLHRTQEQQLTNPDPIFFRVRFHLTCPFGGKLQKRIAKSAELWRPSDVSDDDHQLGFYEKETVGLHNHVMDLLVQGTDQKTVKFTQVVGDLFHISCIVESRTKEKPLESTRINNIRGGLARLRSTM
+>sp|P54829|PTN5_HUMAN Tyrosine-protein phosphatase non-receptor type 5 OS=Homo sapiens OX=9606 GN=PTPN5 PE=1 SV=4
+MNYEGARSERENHAADDSEGGALDMCCSERLPGLPQPIVMEALDEAEGLQDSQREMPPPPPPSPPSDPAQKPPPRGAGSHSLTVRSSLCLFAASQFLLACGVLWFSGYGHIWSQNATNLVSSLLTLLKQLEPTAWLDSGTWGVPSLLLVFLSVGLVLVTTLVWHLLRTPPEPPTPLPPEDRRQSVSRQPSFTYSEWMEEKIEDDFLDLDPVPETPVFDCVMDIKPEADPTSLTVKSMGLQERRGSNVSLTLDMCTPGCNEEGFGYLMSPREESAREYLLSASRVLQAEELHEKALDPFLLQAEFFEIPMNFVDPKEYDIPGLVRKNRYKTILPNPHSRVCLTSPDPDDPLSSYINANYIRGYGGEEKVYIATQGPIVSTVADFWRMVWQEHTPIIVMITNIEEMNEKCTEYWPEEQVAYDGVEITVQKVIHTEDYRLRLISLKSGTEERGLKHYWFTSWPDQKTPDRAPPLLHLVREVEEAAQQEGPHCAPIIVHCSAGIGRTGCFIATSICCQQLRQEGVVDILKTTCQLRQDRGGMIQTCEQYQFVHHVMSLYEKQLSHQSPE
+>DECOY_sp|P54829|PTN5_HUMAN Tyrosine-protein phosphatase non-receptor type 5 OS=Homo sapiens OX=9606 GN=PTPN5 PE=1 SV=4
+EPSQHSLQKEYLSMVHHVFQYQECTQIMGGRDQRLQCTTKLIDVVGEQRLQQCCISTAIFCGTRGIGASCHVIIPACHPGEQQAAEEVERVLHLLPPARDPTKQDPWSTFWYHKLGREETGSKLSILRLRYDETHIVKQVTIEVGDYAVQEEPWYETCKENMEEINTIMVIIPTHEQWVMRWFDAVTSVIPGQTAIYVKEEGGYGRIYNANIYSSLPDDPDPSTLCVRSHPNPLITKYRNKRVLGPIDYEKPDVFNMPIEFFEAQLLFPDLAKEHLEEAQLVRSASLLYERASEERPSMLYGFGEENCGPTCMDLTLSVNSGRREQLGMSKVTLSTPDAEPKIDMVCDFVPTEPVPDLDLFDDEIKEEMWESYTFSPQRSVSQRRDEPPLPTPPEPPTRLLHWVLTTVLVLGVSLFVLLLSPVGWTGSDLWATPELQKLLTLLSSVLNTANQSWIHGYGSFWLVGCALLFQSAAFLCLSSRVTLSHSGAGRPPPKQAPDSPPSPPPPPPMERQSDQLGEAEDLAEMVIPQPLGPLRESCCMDLAGGESDDAAHNERESRAGEYNM
+>sp|P21246|PTN_HUMAN Pleiotrophin OS=Homo sapiens OX=9606 GN=PTN PE=1 SV=1
+MQAQQYQQQRRKFAAAFLAFIFILAAVDTAEAGKKEKPEKKVKKSDCGEWQWSVCVPTSGDCGLGTREGTRTGAECKQTMKTQRCKIPCNWKKQFGAECKYQFQAWGECDLNTALKTRTGSLKRALHNAECQKTVTISKPCGKLTKPKPQAESKKKKKEGKKQEKMLD
+>DECOY_sp|P21246|PTN_HUMAN Pleiotrophin OS=Homo sapiens OX=9606 GN=PTN PE=1 SV=1
+DLMKEQKKGEKKKKKSEAQPKPKTLKGCPKSITVTKQCEANHLARKLSGTRTKLATNLDCEGWAQFQYKCEAGFQKKWNCPIKCRQTKMTQKCEAGTRTGERTGLGCDGSTPVCVSWQWEGCDSKKVKKEPKEKKGAEATDVAALIFIFALFAAAFKRRQQQYQQAQM
+>sp|P10586|PTPRF_HUMAN Receptor-type tyrosine-protein phosphatase F OS=Homo sapiens OX=9606 GN=PTPRF PE=1 SV=2
+MAPEPAPGRTMVPLVPALVMLGLVAGAHGDSKPVFIKVPEDQTGLSGGVASFVCQATGEPKPRITWMKKGKKVSSQRFEVIEFDDGAGSVLRIQPLRVQRDEAIYECTATNSLGEINTSAKLSVLEEEQLPPGFPSIDMGPQLKVVEKARTATMLCAAGGNPDPEISWFKDFLPVDPATSNGRIKQLRSGALQIESSEESDQGKYECVATNSAGTRYSAPANLYVRVRRVAPRFSIPPSSQEVMPGGSVNLTCVAVGAPMPYVKWMMGAEELTKEDEMPVGRNVLELSNVVRSANYTCVAISSLGMIEATAQVTVKALPKPPIDLVVTETTATSVTLTWDSGNSEPVTYYGIQYRAAGTEGPFQEVDGVATTRYSIGGLSPFSEYAFRVLAVNSIGRGPPSEAVRARTGEQAPSSPPRRVQARMLSASTMLVQWEPPEEPNGLVRGYRVYYTPDSRRPPNAWHKHNTDAGLLTTVGSLLPGITYSLRVLAFTAVGDGPPSPTIQVKTQQGVPAQPADFQAEVESDTRIQLSWLLPPQERIIMYELVYWAAEDEDQQHKVTFDPTSSYTLEDLKPDTLYRFQLAARSDMGVGVFTPTIEARTAQSTPSAPPQKVMCVSMGSTTVRVSWVPPPADSRNGVITQYSVAYEAVDGEDRGRHVVDGISREHSSWDLVGLEKWTEYRVWVRAHTDVGPGPESSPVLVRTDEDVPSGPPRKVEVEPLNSTAVHVYWKLPVPSKQHGQIRGYQVTYVRLENGEPRGLPIIQDVMLAEAQWRPEESEDYETTISGLTPETTYSVTVAAYTTKGDGARSKPKIVTTTGAVPGRPTMMISTTAMNTALLQWHPPKELPGELLGYRLQYCRADEARPNTIDFGKDDQHFTVTGLHKGTTYIFRLAAKNRAGLGEEFEKEIRTPEDLPSGFPQNLHVTGLTTSTTELAWDPPVLAERNGRIISYTVVFRDINSQQELQNITTDTRFTLTGLKPDTTYDIKVRAWTSKGSGPLSPSIQSRTMPVEQVFAKNFRVAAAMKTSVLLSWEVPDSYKSAVPFKILYNGQSVEVDGHSMRKLIADLQPNTEYSFVLMNRGSSAGGLQHLVSIRTAPDLLPHKPLPASAYIEDGRFDLSMPHVQDPSLVRWFYIVVVPIDRVGGSMLTPRWSTPEELELDELLEAIEQGGEEQRRRRRQAERLKPYVAAQLDVLPETFTLGDKKNYRGFYNRPLSPDLSYQCFVLASLKEPMDQKRYASSPYSDEIVVQVTPAQQQEEPEMLWVTGPVLAVILIILIVIAILLFKRKRTHSPSSKDEQSIGLKDSLLAHSSDPVEMRRLNYQTPGMRDHPPIPITDLADNIERLKANDGLKFSQEYESIDPGQQFTWENSNLEVNKPKNRYANVIAYDHSRVILTSIDGVPGSDYINANYIDGYRKQNAYIATQGPLPETMGDFWRMVWEQRTATVVMMTRLEEKSRVKCDQYWPARGTETCGLIQVTLLDTVELATYTVRTFALHKSGSSEKRELRQFQFMAWPDHGVPEYPTPILAFLRRVKACNPLDAGPMVVHCSAGVGRTGCFIVIDAMLERMKHEKTVDIYGHVTCMRSQRNYMVQTEDQYVFIHEALLEAATCGHTEVPARNLYAHIQKLGQVPPGESVTAMELEFKLLASSKAHTSRFISANLPCNKFKNRLVNIMPYELTRVCLQPIRGVEGSDYINASFLDGYRQQKAYIATQGPLAESTEDFWRMLWEHNSTIIVMLTKLREMGREKCHQYWPAERSARYQYFVVDPMAEYNMPQYILREFKVTDARDGQSRTIRQFQFTDWPEQGVPKTGEGFIDFIGQVHKTKEQFGQDGPITVHCSAGVGRTGVFITLSIVLERMRYEGVVDMFQTVKTLRTQRPAMVQTEDQYQLCYRAALEYLGSFDHYAT
+>DECOY_sp|P10586|PTPRF_HUMAN Receptor-type tyrosine-protein phosphatase F OS=Homo sapiens OX=9606 GN=PTPRF PE=1 SV=2
+TAYHDFSGLYELAARYCLQYQDETQVMAPRQTRLTKVTQFMDVVGEYRMRELVISLTIFVGTRGVGASCHVTIPGDQGFQEKTKHVQGIFDIFGEGTKPVGQEPWDTFQFQRITRSQGDRADTVKFERLIYQPMNYEAMPDVVFYQYRASREAPWYQHCKERGMERLKTLMVIITSNHEWLMRWFDETSEALPGQTAIYAKQQRYGDLFSANIYDSGEVGRIPQLCVRTLEYPMINVLRNKFKNCPLNASIFRSTHAKSSALLKFELEMATVSEGPPVQGLKQIHAYLNRAPVETHGCTAAELLAEHIFVYQDETQVMYNRQSRMCTVHGYIDVTKEHKMRELMADIVIFCGTRGVGASCHVVMPGADLPNCAKVRRLFALIPTPYEPVGHDPWAMFQFQRLERKESSGSKHLAFTRVTYTALEVTDLLTVQILGCTETGRAPWYQDCKVRSKEELRTMMVVTATRQEWVMRWFDGMTEPLPGQTAIYANQKRYGDIYNANIYDSGPVGDISTLIVRSHDYAIVNAYRNKPKNVELNSNEWTFQQGPDISEYEQSFKLGDNAKLREINDALDTIPIPPHDRMGPTQYNLRRMEVPDSSHALLSDKLGISQEDKSSPSHTRKRKFLLIAIVILIILIVALVPGTVWLMEPEEQQQAPTVQVVIEDSYPSSAYRKQDMPEKLSALVFCQYSLDPSLPRNYFGRYNKKDGLTFTEPLVDLQAAVYPKLREAQRRRRRQEEGGQEIAELLEDLELEEPTSWRPTLMSGGVRDIPVVVIYFWRVLSPDQVHPMSLDFRGDEIYASAPLPKHPLLDPATRISVLHQLGGASSGRNMLVFSYETNPQLDAILKRMSHGDVEVSQGNYLIKFPVASKYSDPVEWSLLVSTKMAAAVRFNKAFVQEVPMTRSQISPSLPGSGKSTWARVKIDYTTDPKLGTLTFRTDTTINQLEQQSNIDRFVVTYSIIRGNREALVPPDWALETTSTTLGTVHLNQPFGSPLDEPTRIEKEFEEGLGARNKAALRFIYTTGKHLGTVTFHQDDKGFDITNPRAEDARCYQLRYGLLEGPLEKPPHWQLLATNMATTSIMMTPRGPVAGTTTVIKPKSRAGDGKTTYAAVTVSYTTEPTLGSITTEYDESEEPRWQAEALMVDQIIPLGRPEGNELRVYTVQYGRIQGHQKSPVPLKWYVHVATSNLPEVEVKRPPGSPVDEDTRVLVPSSEPGPGVDTHARVWVRYETWKELGVLDWSSHERSIGDVVHRGRDEGDVAEYAVSYQTIVGNRSDAPPPVWSVRVTTSGMSVCMVKQPPASPTSQATRAEITPTFVGVGMDSRAALQFRYLTDPKLDELTYSSTPDFTVKHQQDEDEAAWYVLEYMIIREQPPLLWSLQIRTDSEVEAQFDAPQAPVGQQTKVQITPSPPGDGVATFALVRLSYTIGPLLSGVTTLLGADTNHKHWANPPRRSDPTYYVRYGRVLGNPEEPPEWQVLMTSASLMRAQVRRPPSSPAQEGTRARVAESPPGRGISNVALVRFAYESFPSLGGISYRTTAVGDVEQFPGETGAARYQIGYYTVPESNGSDWTLTVSTATTETVVLDIPPKPLAKVTVQATAEIMGLSSIAVCTYNASRVVNSLELVNRGVPMEDEKTLEEAGMMWKVYPMPAGVAVCTLNVSGGPMVEQSSPPISFRPAVRRVRVYLNAPASYRTGASNTAVCEYKGQDSEESSEIQLAGSRLQKIRGNSTAPDVPLFDKFWSIEPDPNGGAACLMTATRAKEVVKLQPGMDISPFGPPLQEEELVSLKASTNIEGLSNTATCEYIAEDRQVRLPQIRLVSGAGDDFEIVEFRQSSVKKGKKMWTIRPKPEGTAQCVFSAVGGSLGTQDEPVKIFVPKSDGHAGAVLGLMVLAPVLPVMTRGPAPEPAM
+>sp|O14522|PTPRT_HUMAN Receptor-type tyrosine-protein phosphatase T OS=Homo sapiens OX=9606 GN=PTPRT PE=1 SV=6
+MASLAALALSLLLRLQLPPLPGARAQSAAGGCSFDEHYSNCGYSVALGTNGFTWEQINTWEKPMLDQAVPTGSFMMVNSSGRASGQKAHLLLPTLKENDTHCIDFHYYFSSRDRSSPGALNVYVKVNGGPQGNPVWNVSGVVTEGWVKAELAISTFWPHFYQVIFESVSLKGHPGYIAVDEVRVLAHPCRKAPHFLRLQNVEVNVGQNATFQCIAGGKWSQHDKLWLQQWNGRDTALMVTRVVNHRRFSATVSVADTAQRSVSKYRCVIRSDGGSGVSNYAELIVKEPPTPIAPPELLAVGATYLWIKPNANSIIGDGPIILKEVEYRTTTGTWAETHIVDSPNYKLWHLDPDVEYEIRVLLTRPGEGGTGPPGPPLTTRTKCADPVHGPQNVEIVDIRARQLTLQWEPFGYAVTRCHSYNLTVQYQYVFNQQQYEAEEVIQTSSHYTLRGLRPFMTIRLRLLLSNPEGRMESEELVVQTEEDVPGAVPLESIQGGPFEEKIYIQWKPPNETNGVITLYEINYKAVGSLDPSADLSSQRGKVFKLRNETHHLFVGLYPGTTYSFTIKASTAKGFGPPVTTRIATKISAPSMPEYDTDTPLNETDTTITVMLKPAQSRGAPVSVYQLVVKEERLQKSRRAADIIECFSVPVSYRNASSLDSLHYFAAELKPANLPVTQPFTVGDNKTYNGYWNPPLSPLKSYSIYFQALSKANGETKINCVRLATKGASTQNSNTVEPEKQVDNTVKMAGVIAGLLMFIIILLGVMLTIKRRRNAYSYSYYLKLAKKQKETQSGAQREMGPVASADKPTTKLSASRNDEGFSSSSQDVNGFTDGSRGELSQPTLTIQTHPYRTCDPVEMSYPRDQFQPAIRVADLLQHITQMKRGQGYGFKEEYEALPEGQTASWDTAKEDENRNKNRYGNIISYDHSRVRLLVLDGDPHSDYINANYIDGYHRPRHYIATQGPMQETVKDFWRMIWQENSASIVMVTNLVEVGRVKCVRYWPDDTEVYGDIKVTLIETEPLAEYVIRTFTVQKKGYHEIRELRLFHFTSWPDHGVPCYATGLLGFVRQVKFLNPPEAGPIVVHCSAGAGRTGCFIAIDTMLDMAENEGVVDIFNCVRELRAQRVNLVQTEEQYVFVHDAILEACLCGNTAIPVCEFRSLYYNISRLDPQTNSSQIKDEFQTLNIVTPRVRPEDCSIGLLPRNHDKNRSMDVLPLDRCLPFLISVDGESSNYINAALMDSHKQPAAFVVTQHPLPNTVADFWRLVFDYNCSSVVMLNEMDTAQFCMQYWPEKTSGCYGPIQVEFVSADIDEDIIHRIFRICNMARPQDGYRIVQHLQYIGWPAYRDTPPSKRSLLKVVRRLEKWQEQYDGREGRTVVHCLNGGGRSGTFCAICSVCEMIQQQNIIDVFHIVKTLRNNKSNMVETLEQYKFVYEVALEYLSSF
+>DECOY_sp|O14522|PTPRT_HUMAN Receptor-type tyrosine-protein phosphatase T OS=Homo sapiens OX=9606 GN=PTPRT PE=1 SV=6
+FSSLYELAVEYVFKYQELTEVMNSKNNRLTKVIHFVDIINQQQIMECVSCIACFTGSRGGGNLCHVVTRGERGDYQEQWKELRRVVKLLSRKSPPTDRYAPWGIYQLHQVIRYGDQPRAMNCIRFIRHIIDEDIDASVFEVQIPGYCGSTKEPWYQMCFQATDMENLMVVSSCNYDFVLRWFDAVTNPLPHQTVVFAAPQKHSDMLAANIYNSSEGDVSILFPLCRDLPLVDMSRNKDHNRPLLGISCDEPRVRPTVINLTQFEDKIQSSNTQPDLRSINYYLSRFECVPIATNGCLCAELIADHVFVYQEETQVLNVRQARLERVCNFIDVVGENEAMDLMTDIAIFCGTRGAGASCHVVIPGAEPPNLFKVQRVFGLLGTAYCPVGHDPWSTFHFLRLERIEHYGKKQVTFTRIVYEALPETEILTVKIDGYVETDDPWYRVCKVRGVEVLNTVMVISASNEQWIMRWFDKVTEQMPGQTAIYHRPRHYGDIYNANIYDSHPDGDLVLLRVRSHDYSIINGYRNKNRNEDEKATDWSATQGEPLAEYEEKFGYGQGRKMQTIHQLLDAVRIAPQFQDRPYSMEVPDCTRYPHTQITLTPQSLEGRSGDTFGNVDQSSSSFGEDNRSASLKTTPKDASAVPGMERQAGSQTEKQKKALKLYYSYSYANRRRKITLMVGLLIIIFMLLGAIVGAMKVTNDVQKEPEVTNSNQTSAGKTALRVCNIKTEGNAKSLAQFYISYSKLPSLPPNWYGNYTKNDGVTFPQTVPLNAPKLEAAFYHLSDLSSANRYSVPVSFCEIIDAARRSKQLREEKVVLQYVSVPAGRSQAPKLMVTITTDTENLPTDTDYEPMSPASIKTAIRTTVPPGFGKATSAKITFSYTTGPYLGVFLHHTENRLKFVKGRQSSLDASPDLSGVAKYNIEYLTIVGNTENPPKWQIYIKEEFPGGQISELPVAGPVDEETQVVLEESEMRGEPNSLLLRLRITMFPRLGRLTYHSSTQIVEEAEYQQQNFVYQYQVTLNYSHCRTVAYGFPEWQLTLQRARIDVIEVNQPGHVPDACKTRTTLPPGPPGTGGEGPRTLLVRIEYEVDPDLHWLKYNPSDVIHTEAWTGTTTRYEVEKLIIPGDGIISNANPKIWLYTAGVALLEPPAIPTPPEKVILEAYNSVGSGGDSRIVCRYKSVSRQATDAVSVTASFRRHNVVRTVMLATDRGNWQQLWLKDHQSWKGGAICQFTANQGVNVEVNQLRLFHPAKRCPHALVRVEDVAIYGPHGKLSVSEFIVQYFHPWFTSIALEAKVWGETVVGSVNWVPNGQPGGNVKVYVNLAGPSSRDRSSFYYHFDICHTDNEKLTPLLLHAKQGSARGSSNVMMFSGTPVAQDLMPKEWTNIQEWTFGNTGLAVSYGCNSYHEDFSCGGAASQARAGPLPPLQLRLLLSLALAALSAM
+>sp|P53801|PTTG_HUMAN Pituitary tumor-transforming gene 1 protein-interacting protein OS=Homo sapiens OX=9606 GN=PTTG1IP PE=1 SV=1
+MAPGVARGPTPYWRLRLGGAALLLLLIPVAAAQEPPGAACSQNTNKTCEECLKNVSCLWCNTNKACLDYPVTSVLPPASLCKLSSARWGVCWVNFEALIITMSVVGGTLLLGIAICCCCCCRRKRSRKPDRSEEKAMREREERRIRQEERRAEMKTRHDEIRKKYGLFKEENPYARFENN
+>DECOY_sp|P53801|PTTG_HUMAN Pituitary tumor-transforming gene 1 protein-interacting protein OS=Homo sapiens OX=9606 GN=PTTG1IP PE=1 SV=1
+NNEFRAYPNEEKFLGYKKRIEDHRTKMEARREEQRIRREERERMAKEESRDPKRSRKRRCCCCCCIAIGLLLTGGVVSMTIILAEFNVWCVGWRASSLKCLSAPPLVSTVPYDLCAKNTNCWLCSVNKLCEECTKNTNQSCAAGPPEQAAAVPILLLLLAAGGLRLRWYPTPGRAVGPAM
+>sp|P55036|PSMD4_HUMAN 26S proteasome non-ATPase regulatory subunit 4 OS=Homo sapiens OX=9606 GN=PSMD4 PE=1 SV=1
+MVLESTMVCVDNSEYMRNGDFLPTRLQAQQDAVNIVCHSKTRSNPENNVGLITLANDCEVLTTLTPDTGRILSKLHTVQPKGKITFCTGIRVAHLALKHRQGKNHKMRIIAFVGSPVEDNEKDLVKLAKRLKKEKVNVDIINFGEEEVNTEKLTAFVNTLNGKDGTGSHLVTVPPGPSLADALISSPILAGEGGAMLGLGASDFEFGVDPSADPELALALRVSMEEQRQRQEEEARRAAAASAAEAGIATTGTEDSDDALLKMTISQQEFGRTGLPDLSSMTEEEQIAYAMQMSLQGAEFGQAESADIDASSAMDTSEPAKEEDDYDVMQDPEFLQSVLENLPGVDPNNEAIRNAMGSLASQATKDGKKDKKEEDKK
+>DECOY_sp|P55036|PSMD4_HUMAN 26S proteasome non-ATPase regulatory subunit 4 OS=Homo sapiens OX=9606 GN=PSMD4 PE=1 SV=1
+KKDEEKKDKKGDKTAQSALSGMANRIAENNPDVGPLNELVSQLFEPDQMVDYDDEEKAPESTDMASSADIDASEAQGFEAGQLSMQMAYAIQEEETMSSLDPLGTRGFEQQSITMKLLADDSDETGTTAIGAEAASAAAARRAEEEQRQRQEEMSVRLALALEPDASPDVGFEFDSAGLGLMAGGEGALIPSSILADALSPGPPVTVLHSGTGDKGNLTNVFATLKETNVEEEGFNIIDVNVKEKKLRKALKVLDKENDEVPSGVFAIIRMKHNKGQRHKLALHAVRIGTCFTIKGKPQVTHLKSLIRGTDPTLTTLVECDNALTILGVNNEPNSRTKSHCVINVADQQAQLRTPLFDGNRMYESNDVCVMTSELVM
+>sp|P48556|PSMD8_HUMAN 26S proteasome non-ATPase regulatory subunit 8 OS=Homo sapiens OX=9606 GN=PSMD8 PE=1 SV=2
+MFIKGRAPRAPPRERRRATRGGLRQVVAPPRALGSTSRPHFRRASVCRRRCRKSGGLLAASRKMAAAAVNGAAGFSSSGPAATSGAVLQAATGMYEQLKGEWNRKSPNLSKCGEELGRLKLVLLELNFLPTTGTKLTKQQLILARDILEIGAQWSILRKDIPSFERYMAQLKCYYFDYKEQLPESAYMHQLLGLNLLFLLSQNRVAEFHTELERLPAKDIQTNVYIKHPVSLEQYLMEGSYNKVFLAKGNIPAESYTFFIDILLDTIRDEIAGCIEKAYEKILFTEATRILFFNTPKKMTDYAKKRGWVLGPNNYYSFASQQQKPEDTTIPSTELAKQVIEYARQLEMIV
+>DECOY_sp|P48556|PSMD8_HUMAN 26S proteasome non-ATPase regulatory subunit 8 OS=Homo sapiens OX=9606 GN=PSMD8 PE=1 SV=2
+VIMELQRAYEIVQKALETSPITTDEPKQQQSAFSYYNNPGLVWGRKKAYDTMKKPTNFFLIRTAETFLIKEYAKEICGAIEDRITDLLIDIFFTYSEAPINGKALFVKNYSGEMLYQELSVPHKIYVNTQIDKAPLRELETHFEAVRNQSLLFLLNLGLLQHMYASEPLQEKYDFYYCKLQAMYREFSPIDKRLISWQAGIELIDRALILQQKTLKTGTTPLFNLELLVLKLRGLEEGCKSLNPSKRNWEGKLQEYMGTAAQLVAGSTAAPGSSSFGAAGNVAAAAMKRSAALLGGSKRCRRRCVSARRFHPRSTSGLARPPAVVQRLGGRTARRRERPPARPARGKIFM
+>sp|O95456|PSMG1_HUMAN Proteasome assembly chaperone 1 OS=Homo sapiens OX=9606 GN=PSMG1 PE=1 SV=1
+MAATFFGEVVKAPCRAGTEDEEEEEEGRRETPEDREVRLQLARKREVRLLRRQTKTSLEVSLLEKYPCSKFIIAIGNNAVAFLSSFVMNSGVWEEVGCAKLWNEWCRTTDTTHLSSTEAFCVFYHLKSNPSVFLCQCSCYVAEDQQYQWLEKVFGSCPRKNMQITILTCRHVTDYKTSESTGSLPSPFLRALKTQNFKDSACCPLLEQPNIVHDLPAAVLSYCQVWKIPAILYLCYTDVMKLDLITVEAFKPILSTRSLKGLVKNIPQSTEILKKLMTTNEIQSNIYT
+>DECOY_sp|O95456|PSMG1_HUMAN Proteasome assembly chaperone 1 OS=Homo sapiens OX=9606 GN=PSMG1 PE=1 SV=1
+TYINSQIENTTMLKKLIETSQPINKVLGKLSRTSLIPKFAEVTILDLKMVDTYCLYLIAPIKWVQCYSLVAAPLDHVINPQELLPCCASDKFNQTKLARLFPSPLSGTSESTKYDTVHRCTLITIQMNKRPCSGFVKELWQYQQDEAVYCSCQCLFVSPNSKLHYFVCFAETSSLHTTDTTRCWENWLKACGVEEWVGSNMVFSSLFAVANNGIAIIFKSCPYKELLSVELSTKTQRRLLRVERKRALQLRVERDEPTERRGEEEEEEDETGARCPAKVVEGFFTAAM
+>sp|Q9BT73|PSMG3_HUMAN Proteasome assembly chaperone 3 OS=Homo sapiens OX=9606 GN=PSMG3 PE=1 SV=1
+MEDTPLVISKQKTEVVCGVPTQVVCTAFSSHILVVVTQFGKMGTLVSLEPSSVASDVSKPVLTTKVLLGQDEPLIHVFAKNLVAFVSQEAGNRAVLLAVAVKDKSMEGLKALREVIRVCQVW
+>DECOY_sp|Q9BT73|PSMG3_HUMAN Proteasome assembly chaperone 3 OS=Homo sapiens OX=9606 GN=PSMG3 PE=1 SV=1
+WVQCVRIVERLAKLGEMSKDKVAVALLVARNGAEQSVFAVLNKAFVHILPEDQGLLVKTTLVPKSVDSAVSSPELSVLTGMKGFQTVVVLIHSSFATCVVQTPVGCVVETKQKSIVLPTDEM
+>sp|P11686|PSPC_HUMAN Pulmonary surfactant-associated protein C OS=Homo sapiens OX=9606 GN=SFTPC PE=1 SV=2
+MDVGSKEVLMESPPDYSAAPRGRFGIPCCPVHLKRLLIVVVVVVLIVVVIVGALLMGLHMSQKHTEMVLEMSIGAPEAQQRLALSEHLVTTATFSIGSTGLVVYDYQQLLIAYKPAPGTCCYIMKIAPESIPSLEALNRKVHNFQMECSLQAKPAVPTSKLGQAEGRDAGSAPSGGDPAFLGMAVNTLCGEVPLYYI
+>DECOY_sp|P11686|PSPC_HUMAN Pulmonary surfactant-associated protein C OS=Homo sapiens OX=9606 GN=SFTPC PE=1 SV=2
+IYYLPVEGCLTNVAMGLFAPDGGSPASGADRGEAQGLKSTPVAPKAQLSCEMQFNHVKRNLAELSPISEPAIKMIYCCTGPAPKYAILLQQYDYVVLGTSGISFTATTVLHESLALRQQAEPAGISMELVMETHKQSMHLGMLLAGVIVVVILVVVVVVILLRKLHVPCCPIGFRGRPAASYDPPSEMLVEKSGVDM
+>sp|O15172|PSPHL_HUMAN Putative phosphoserine phosphatase-like protein OS=Homo sapiens OX=9606 GN=PSPHP1 PE=5 SV=1
+MASASCSPGGALASPEPGRKILPRMISHSELRKLFYSADAVCFDVDSTVISEEGIGCFHWIWRKCDQATSQG
+>DECOY_sp|O15172|PSPHL_HUMAN Putative phosphoserine phosphatase-like protein OS=Homo sapiens OX=9606 GN=PSPHP1 PE=5 SV=1
+GQSTAQDCKRWIWHFCGIGEESIVTSDVDFCVADASYFLKRLESHSIMRPLIKRGPEPSALAGGPSCSASAM
+>sp|O60542|PSPN_HUMAN Persephin OS=Homo sapiens OX=9606 GN=PSPN PE=2 SV=1
+MAVGKFLLGSLLLLSLQLGQGWGPDARGVPVADGEFSSEQVAKAGGTWLGTHRPLARLRRALSGPCQLWSLTLSVAELGLGYASEEKVIFRYCAGSCPRGARTQHGLALARLQGQGRAHGGPCCRPTRYTDVAFLDDRHRWQRLPQLSAAACGCGG
+>DECOY_sp|O60542|PSPN_HUMAN Persephin OS=Homo sapiens OX=9606 GN=PSPN PE=2 SV=1
+GGCGCAAASLQPLRQWRHRDDLFAVDTYRTPRCCPGGHARGQGQLRALALGHQTRAGRPCSGACYRFIVKEESAYGLGLEAVSLTLSWLQCPGSLARRLRALPRHTGLWTGGAKAVQESSFEGDAVPVGRADPGWGQGLQLSLLLLSGLLFKGVAM
+>sp|Q8IV42|PSTK_HUMAN L-seryl-tRNA(Sec) kinase OS=Homo sapiens OX=9606 GN=PSTK PE=1 SV=2
+MKTAENIRGTGSDGPRKRGLCVLCGLPAAGKSTFARALAHRLQQEQGWAIGVVAYDDVMPDAFLAGARARPAPSQWKLLRQELLKYLEYFLMAVINGCQMSVPPNRTEAMWEDFITCLKDQDLIFSAAFEAQSCYLLTKTAVSRPLFLVLDDNFYYQSMRYEVYQLARKYSLGFCQLFLDCPLETCLQRNGQRPQALPPETIHLMGRKLEKPNPEKNAWEHNSLTIPSPACASEASLEVTDLLLTALENPVKYAEDNMEQKDTDRIICSTNILHKTDQTLRRIVSQTMKEAKGNQEAFSEMTFKQRWVRANHAAIWRIILGNEHIKCRSAKVGWLQCCRIEKRPLSTG
+>DECOY_sp|Q8IV42|PSTK_HUMAN L-seryl-tRNA(Sec) kinase OS=Homo sapiens OX=9606 GN=PSTK PE=1 SV=2
+GTSLPRKEIRCCQLWGVKASRCKIHENGLIIRWIAAHNARVWRQKFTMESFAEQNGKAEKMTQSVIRRLTQDTKHLINTSCIIRDTDKQEMNDEAYKVPNELATLLLDTVELSAESACAPSPITLSNHEWANKEPNPKELKRGMLHITEPPLAQPRQGNRQLCTELPCDLFLQCFGLSYKRALQYVEYRMSQYYFNDDLVLFLPRSVATKTLLYCSQAEFAASFILDQDKLCTIFDEWMAETRNPPVSMQCGNIVAMLFYELYKLLEQRLLKWQSPAPRARAGALFADPMVDDYAVVGIAWGQEQQLRHALARAFTSKGAAPLGCLVCLGRKRPGDSGTGRINEATKM
+>sp|Q8IYL9|PSYR_HUMAN Psychosine receptor OS=Homo sapiens OX=9606 GN=GPR65 PE=1 SV=1
+MNSTCIEEQHDLDHYLFPIVYIFVIIVSIPANIGSLCVSFLQAKKESELGIYLFSLSLSDLLYALTLPLWIDYTWNKDNWTFSPALCKGSAFLMYMNFYSSTAFLTCIAVDRYLAVVYPLKFFFLRTRRFALMVSLSIWILETIFNAVMLWEDETVVEYCDAEKSNFTLCYDKYPLEKWQINLNLFRTCTGYAIPLVTILICNRKVYQAVRHNKATENKEKKRIIKLLVSITVTFVLCFTPFHVMLLIRCILEHAVNFEDHSNSGKRTYTMYRITVALTSLNCVADPILYCFVTETGRYDMWNILKFCTGRCNTSQRQRKRILSVSTKDTMELEVLE
+>DECOY_sp|Q8IYL9|PSYR_HUMAN Psychosine receptor OS=Homo sapiens OX=9606 GN=GPR65 PE=1 SV=1
+ELVELEMTDKTSVSLIRKRQRQSTNCRGTCFKLINWMDYRGTETVFCYLIPDAVCNLSTLAVTIRYMTYTRKGSNSHDEFNVAHELICRILLMVHFPTFCLVFTVTISVLLKIIRKKEKNETAKNHRVAQYVKRNCILITVLPIAYGTCTRFLNLNIQWKELPYKDYCLTFNSKEADCYEVVTEDEWLMVANFITELIWISLSVMLAFRRTRLFFFKLPYVVALYRDVAICTLFATSSYFNMYMLFASGKCLAPSFTWNDKNWTYDIWLPLTLAYLLDSLSLSFLYIGLESEKKAQLFSVCLSGINAPISVIIVFIYVIPFLYHDLDHQEEICTSNM
+>sp|P0DJ07|PT100_HUMAN Protein PET100 homolog, mitochondrial OS=Homo sapiens OX=9606 GN=PET100 PE=1 SV=1
+MGVKLEIFRMIIYLTFPVAMFWVSNQAEWFEDDVIQRKRELWPPEKLQEIEEFKERLRKRREEKLLRDAQQNS
+>DECOY_sp|P0DJ07|PT100_HUMAN Protein PET100 homolog, mitochondrial OS=Homo sapiens OX=9606 GN=PET100 PE=1 SV=1
+SNQQADRLLKEERRKRLREKFEEIEQLKEPPWLERKRQIVDDEFWEAQNSVWFMAVPFTLYIIMRFIELKVGM
+>sp|Q6UWS5|PT117_HUMAN Protein PET117 homolog, mitochondrial OS=Homo sapiens OX=9606 GN=PET117 PE=3 SV=1
+MSRSSKVVLGLSVLLTAATVAGVHVKQQWDQQRLRDGVIRDIERQIRKKENIRLLGEQIILTEQLEAEREKMLLAKGSQKS
+>DECOY_sp|Q6UWS5|PT117_HUMAN Protein PET117 homolog, mitochondrial OS=Homo sapiens OX=9606 GN=PET117 PE=3 SV=1
+SKQSGKALLMKEREAELQETLIIQEGLLRINEKKRIQREIDRIVGDRLRQQDWQQKVHVGAVTAATLLVSLGLVVKSSRSM
+>sp|P26599|PTBP1_HUMAN Polypyrimidine tract-binding protein 1 OS=Homo sapiens OX=9606 GN=PTBP1 PE=1 SV=1
+MDGIVPDIAVGTKRGSDELFSTCVTNGPFIMSSNSASAANGNDSKKFKGDSRSAGVPSRVIHIRKLPIDVTEGEVISLGLPFGKVTNLLMLKGKNQAFIEMNTEEAANTMVNYYTSVTPVLRGQPIYIQFSNHKELKTDSSPNQARAQAALQAVNSVQSGNLALAASAAAVDAGMAMAGQSPVLRIIVENLFYPVTLDVLHQIFSKFGTVLKIITFTKNNQFQALLQYADPVSAQHAKLSLDGQNIYNACCTLRIDFSKLTSLNVKYNNDKSRDYTRPDLPSGDSQPSLDQTMAAAFGLSVPNVHGALAPLAIPSAAAAAAAAGRIAIPGLAGAGNSVLLVSNLNPERVTPQSLFILFGVYGDVQRVKILFNKKENALVQMADGNQAQLAMSHLNGHKLHGKPIRITLSKHQNVQLPREGQEDQGLTKDYGNSPLHRFKKPGSKNFQNIFPPSATLHLSNIPPSVSEEDLKVLFSSNGGVVKGFKFFQKDRKMALIQMGSVEEAVQALIDLHNHDLGENHHLRVSFSKSTI
+>DECOY_sp|P26599|PTBP1_HUMAN Polypyrimidine tract-binding protein 1 OS=Homo sapiens OX=9606 GN=PTBP1 PE=1 SV=1
+ITSKSFSVRLHHNEGLDHNHLDILAQVAEEVSGMQILAMKRDKQFFKFGKVVGGNSSFLVKLDEESVSPPINSLHLTASPPFINQFNKSGPKKFRHLPSNGYDKTLGQDEQGERPLQVNQHKSLTIRIPKGHLKHGNLHSMALQAQNGDAMQVLANEKKNFLIKVRQVDGYVGFLIFLSQPTVREPNLNSVLLVSNGAGALGPIAIRGAAAAAAAASPIALPALAGHVNPVSLGFAAAMTQDLSPQSDGSPLDPRTYDRSKDNNYKVNLSTLKSFDIRLTCCANYINQGDLSLKAHQASVPDAYQLLAQFQNNKTFTIIKLVTGFKSFIQHLVDLTVPYFLNEVIIRLVPSQGAMAMGADVAAASAALALNGSQVSNVAQLAAQARAQNPSSDTKLEKHNSFQIYIPQGRLVPTVSTYYNVMTNAAEETNMEIFAQNKGKLMLLNTVKGFPLGLSIVEGETVDIPLKRIHIVRSPVGASRSDGKFKKSDNGNAASASNSSMIFPGNTVCTSFLEDSGRKTGVAIDPVIGDM
+>sp|Q13635|PTC1_HUMAN Protein patched homolog 1 OS=Homo sapiens OX=9606 GN=PTCH1 PE=1 SV=2
+MASAGNAAEPQDRGGGGSGCIGAPGRPAGGGRRRRTGGLRRAAAPDRDYLHRPSYCDAAFALEQISKGKATGRKAPLWLRAKFQRLLFKLGCYIQKNCGKFLVVGLLIFGAFAVGLKAANLETNVEELWVEVGGRVSRELNYTRQKIGEEAMFNPQLMIQTPKEEGANVLTTEALLQHLDSALQASRVHVYMYNRQWKLEHLCYKSGELITETGYMDQIIEYLYPCLIITPLDCFWEGAKLQSGTAYLLGKPPLRWTNFDPLEFLEELKKINYQVDSWEEMLNKAEVGHGYMDRPCLNPADPDCPATAPNKNSTKPLDMALVLNGGCHGLSRKYMHWQEELIVGGTVKNSTGKLVSAHALQTMFQLMTPKQMYEHFKGYEYVSHINWNEDKAAAILEAWQRTYVEVVHQSVAQNSTQKVLSFTTTTLDDILKSFSDVSVIRVASGYLLMLAYACLTMLRWDCSKSQGAVGLAGVLLVALSVAAGLGLCSLIGISFNAATTQVLPFLALGVGVDDVFLLAHAFSETGQNKRIPFEDRTGECLKRTGASVALTSISNVTAFFMAALIPIPALRAFSLQAAVVVVFNFAMVLLIFPAILSMDLYRREDRRLDIFCCFTSPCVSRVIQVEPQAYTDTHDNTRYSPPPPYSSHSFAHETQITMQSTVQLRTEYDPHTHVYYTTAEPRSEISVQPVTVTQDTLSCQSPESTSSTRDLLSQFSDSSLHCLEPPCTKWTLSSFAEKHYAPFLLKPKAKVVVIFLFLGLLGVSLYGTTRVRDGLDLTDIVPRETREYDFIAAQFKYFSFYNMYIVTQKADYPNIQHLLYDLHRSFSNVKYVMLEENKQLPKMWLHYFRDWLQGLQDAFDSDWETGKIMPNNYKNGSDDGVLAYKLLVQTGSRDKPIDISQLTKQRLVDADGIINPSAFYIYLTAWVSNDPVAYAASQANIRPHRPEWVHDKADYMPETRLRIPAAEPIEYAQFPFYLNGLRDTSDFVEAIEKVRTICSNYTSLGLSSYPNGYPFLFWEQYIGLRHWLLLFISVVLACTFLVCAVFLLNPWTAGIIVMVLALMTVELFGMMGLIGIKLSAVPVVILIASVGIGVEFTVHVALAFLTAIGDKNRRAVLALEHMFAPVLDGAVSTLLGVLMLAGSEFDFIVRYFFAVLAILTILGVLNGLVLLPVLLSFFGPYPEVSPANGLNRLPTPSPEPPPSVVRFAMPPGHTHSGSDSSDSEYSSQTTVSGLSEELRHYEAQQGAGGPAHQVIVEATENPVFAHSTVVHPESRHHPPSNPRQQPHLDSGSLPPGRQGQQPRRDPPREGLWPPPYRPRRDAFEISTEGHSGPSNRARWGPRGARSHNPRNPASTAMGSSVPGYCQPITTVTASASVTVAVHPPPVPGPGRNPRGGLCPGYPETDHGLFEDPHVPFHVRCERRDSKVEVIELQDVECEERPRGSSSN
+>DECOY_sp|Q13635|PTC1_HUMAN Protein patched homolog 1 OS=Homo sapiens OX=9606 GN=PTCH1 PE=1 SV=2
+NSSSGRPREECEVDQLEIVEVKSDRRECRVHFPVHPDEFLGHDTEPYGPCLGGRPNRGPGPVPPPHVAVTVSASATVTTIPQCYGPVSSGMATSAPNRPNHSRAGRPGWRARNSPGSHGETSIEFADRRPRYPPPWLGERPPDRRPQQGQRGPPLSGSDLHPQQRPNSPPHHRSEPHVVTSHAFVPNETAEVIVQHAPGGAGQQAEYHRLEESLGSVTTQSSYESDSSDSGSHTHGPPMAFRVVSPPPEPSPTPLRNLGNAPSVEPYPGFFSLLVPLLVLGNLVGLITLIALVAFFYRVIFDFESGALMLVGLLTSVAGDLVPAFMHELALVARRNKDGIATLFALAVHVTFEVGIGVSAILIVVPVASLKIGILGMMGFLEVTMLALVMVIIGATWPNLLFVACVLFTCALVVSIFLLLWHRLGIYQEWFLFPYGNPYSSLGLSTYNSCITRVKEIAEVFDSTDRLGNLYFPFQAYEIPEAAPIRLRTEPMYDAKDHVWEPRHPRINAQSAAYAVPDNSVWATLYIYFASPNIIGDADVLRQKTLQSIDIPKDRSGTQVLLKYALVGDDSGNKYNNPMIKGTEWDSDFADQLGQLWDRFYHLWMKPLQKNEELMVYKVNSFSRHLDYLLHQINPYDAKQTVIYMNYFSFYKFQAAIFDYERTERPVIDTLDLGDRVRTTGYLSVGLLGLFLFIVVVKAKPKLLFPAYHKEAFSSLTWKTCPPELCHLSSDSFQSLLDRTSSTSEPSQCSLTDQTVTVPQVSIESRPEATTYYVHTHPDYETRLQVTSQMTIQTEHAFSHSSYPPPPSYRTNDHTDTYAQPEVQIVRSVCPSTFCCFIDLRRDERRYLDMSLIAPFILLVMAFNFVVVVAAQLSFARLAPIPILAAMFFATVNSISTLAVSAGTRKLCEGTRDEFPIRKNQGTESFAHALLFVDDVGVGLALFPLVQTTAANFSIGILSCLGLGAAVSLAVLLVGALGVAGQSKSCDWRLMTLCAYALMLLYGSAVRIVSVDSFSKLIDDLTTTTFSLVKQTSNQAVSQHVVEVYTRQWAELIAAAKDENWNIHSVYEYGKFHEYMQKPTMLQFMTQLAHASVLKGTSNKVTGGVILEEQWHMYKRSLGHCGGNLVLAMDLPKTSNKNPATAPCDPDAPNLCPRDMYGHGVEAKNLMEEWSDVQYNIKKLEELFELPDFNTWRLPPKGLLYATGSQLKAGEWFCDLPTIILCPYLYEIIQDMYGTETILEGSKYCLHELKWQRNYMYVHVRSAQLASDLHQLLAETTLVNAGEEKPTQIMLQPNFMAEEGIKQRTYNLERSVRGGVEVWLEEVNTELNAAKLGVAFAGFILLGVVLFKGCNKQIYCGLKFLLRQFKARLWLPAKRGTAKGKSIQELAFAADCYSPRHLYDRDPAAARRLGGTRRRRGGGAPRGPAGICGSGGGGRDQPEAANGASAM
+>sp|Q96EY7|PTCD3_HUMAN Pentatricopeptide repeat domain-containing protein 3, mitochondrial OS=Homo sapiens OX=9606 GN=PTCD3 PE=1 SV=3
+MAVVSAVRWLGLRSRLGQPLTGRRAGLCEQARSCRFYSGSATLSKVEGTDVTGIEEVVIPKKKTWDKVAVLQALASTVNRDTTAVPYVFQDDPYLMPASSLESRSFLLAKKSGENVAKFIINSYPKYFQKDIAEPHIPCLMPEYFEPQIKDISEAALKERIELRKVKASVDMFDQLLQAGTTVSLETTNSLLDLLCYYGDQEPSTDYHFQQTGQSEALEEENDETSRRKAGHQFGVTWRAKNNAERIFSLMPEKNEHSYCTMIRGMVKHRAYEQALNLYTELLNNRLHADVYTFNALIEATVCAINEKFEEKWSKILELLRHMVAQKVKPNLQTFNTILKCLRRFHVFARSPALQVLREMKAIGIEPSLATYHHIIRLFDQPGDPLKRSSFIIYDIMNELMGKRFSPKDPDDDKFFQSAMSICSSLRDLELAYQVHGLLKTGDNWKFIGPDQHRNFYYSKFFDLICLMEQIDVTLKWYEDLIPSAYFPHSQTMIHLLQALDVANRLEVIPKIWKDSKEYGHTFRSDLREEILMLMARDKHPPELQVAFADCAADIKSAYESQPIRQTAQDWPATSLNCIAILFLRAGRTQEAWKMLGLFRKHNKIPRSELLNELMDSAKVSNSPSQAIEVVELASAFSLPICEGLTQRVMSDFAINQEQKEALSNLTALTSDSDTDSSSDSDSDTSEGK
+>DECOY_sp|Q96EY7|PTCD3_HUMAN Pentatricopeptide repeat domain-containing protein 3, mitochondrial OS=Homo sapiens OX=9606 GN=PTCD3 PE=1 SV=3
+KGESTDSDSDSSSDTDSDSTLATLNSLAEKQEQNIAFDSMVRQTLGECIPLSFASALEVVEIAQSPSNSVKASDMLENLLESRPIKNHKRFLGLMKWAEQTRGARLFLIAICNLSTAPWDQATQRIPQSEYASKIDAACDAFAVQLEPPHKDRAMLMLIEERLDSRFTHGYEKSDKWIKPIVELRNAVDLAQLLHIMTQSHPFYASPILDEYWKLTVDIQEMLCILDFFKSYYFNRHQDPGIFKWNDGTKLLGHVQYALELDRLSSCISMASQFFKDDDPDKPSFRKGMLENMIDYIIFSSRKLPDGPQDFLRIIHHYTALSPEIGIAKMERLVQLAPSRAFVHFRRLCKLITNFTQLNPKVKQAVMHRLLELIKSWKEEFKENIACVTAEILANFTYVDAHLRNNLLETYLNLAQEYARHKVMGRIMTCYSHENKEPMLSFIREANNKARWTVGFQHGAKRRSTEDNEEELAESQGTQQFHYDTSPEQDGYYCLLDLLSNTTELSVTTGAQLLQDFMDVSAKVKRLEIREKLAAESIDKIQPEFYEPMLCPIHPEAIDKQFYKPYSNIIFKAVNEGSKKALLFSRSELSSAPMLYPDDQFVYPVATTDRNVTSALAQLVAVKDWTKKKPIVVEEIGTVDTGEVKSLTASGSYFRCSRAQECLGARRGTLPQGLRSRLGLWRVASVVAM
+>sp|Q16647|PTGIS_HUMAN Prostacyclin synthase OS=Homo sapiens OX=9606 GN=PTGIS PE=1 SV=1
+MAWAALLGLLAALLLLLLLSRRRTRRPGEPPLDLGSIPWLGYALDFGKDAASFLTRMKEKHGDIFTILVGGRYVTVLLDPHSYDAVVWEPRTRLDFHAYAIFLMERIFDVQLPHYSPSDEKARMKLTLLHRELQALTEAMYTNLHAVLLGDATEAGSGWHEMGLLDFSYSFLLRAGYLTLYGIEALPRTHESQAQDRVHSADVFHTFRQLDRLLPKLARGSLSVGDKDHMCSVKSRLWKLLSPARLARRAHRSKWLESYLLHLEEMGVSEEMQARALVLQLWATQGNMGPAAFWLLLFLLKNPEALAAVRGELESILWQAEQPVSQTTTLPQKVLDSTPVLDSVLSESLRLTAAPFITREVVVDLAMPMADGREFNLRRGDRLLLFPFLSPQRDPEIYTDPEVFKYNRFLNPDGSEKKDFYKDGKRLKNYNMPWGAGHNHCLGRSYAVNSIKQFVFLVLVHLDLELINADVEIPEFDLSRYGFGLMQPEHDVPVRYRIRP
+>DECOY_sp|Q16647|PTGIS_HUMAN Prostacyclin synthase OS=Homo sapiens OX=9606 GN=PTGIS PE=1 SV=1
+PRIRYRVPVDHEPQMLGFGYRSLDFEPIEVDANILELDLHVLVLFVFQKISNVAYSRGLCHNHGAGWPMNYNKLRKGDKYFDKKESGDPNLFRNYKFVEPDTYIEPDRQPSLFPFLLLRDGRRLNFERGDAMPMALDVVVERTIFPAATLRLSESLVSDLVPTSDLVKQPLTTTQSVPQEAQWLISELEGRVAALAEPNKLLFLLLWFAAPGMNGQTAWLQLVLARAQMEESVGMEELHLLYSELWKSRHARRALRAPSLLKWLRSKVSCMHDKDGVSLSGRALKPLLRDLQRFTHFVDASHVRDQAQSEHTRPLAEIGYLTLYGARLLFSYSFDLLGMEHWGSGAETADGLLVAHLNTYMAETLAQLERHLLTLKMRAKEDSPSYHPLQVDFIREMLFIAYAHFDLRTRPEWVVADYSHPDLLVTVYRGGVLITFIDGHKEKMRTLFSAADKGFDLAYGLWPISGLDLPPEGPRRTRRRSLLLLLLLAALLGLLAAWAM
+>sp|Q14914|PTGR1_HUMAN Prostaglandin reductase 1 OS=Homo sapiens OX=9606 GN=PTGR1 PE=1 SV=2
+MVRTKTWTLKKHFVGYPTNSDFELKTAELPPLKNGEVLLEALFLTVDPYMRVAAKRLKEGDTMMGQQVAKVVESKNVALPKGTIVLASPGWTTHSISDGKDLEKLLTEWPDTIPLSLALGTVGMPGLTAYFGLLEICGVKGGETVMVNAAAGAVGSVVGQIAKLKGCKVVGAVGSDEKVAYLQKLGFDVVFNYKTVESLEETLKKASPDGYDCYFDNVGGEFSNTVIGQMKKFGRIAICGAISTYNRTGPLPPGPPPEIVIYQELRMEAFVVYRWQGDARQKALKDLLKWVLEGKIQYKEYIIEGFENMPAAFMGMLKGDNLGKTIVKA
+>DECOY_sp|Q14914|PTGR1_HUMAN Prostaglandin reductase 1 OS=Homo sapiens OX=9606 GN=PTGR1 PE=1 SV=2
+AKVITKGLNDGKLMGMFAAPMNEFGEIIYEKYQIKGELVWKLLDKLAKQRADGQWRYVVFAEMRLEQYIVIEPPPGPPLPGTRNYTSIAGCIAIRGFKKMQGIVTNSFEGGVNDFYCDYGDPSAKKLTEELSEVTKYNFVVDFGLKQLYAVKEDSGVAGVVKCGKLKAIQGVVSGVAGAAANVMVTEGGKVGCIELLGFYATLGPMGVTGLALSLPITDPWETLLKELDKGDSISHTTWGPSALVITGKPLAVNKSEVVKAVQQGMMTDGEKLRKAAVRMYPDVTLFLAELLVEGNKLPPLEATKLEFDSNTPYGVFHKKLTWTKTRVM
+>sp|Q8N4Q0|PTGR3_HUMAN Prostaglandin reductase 3 OS=Homo sapiens OX=9606 GN=ZADH2 PE=1 SV=1
+MLRLVPTGARAIVDMSYARHFLDFQGSAIPQAMQKLVVTRLSPNFREAVTLSRDCPVPLPGDGDLLVRNRFVGVNASDINYSAGRYDPSVKPPFDIGFEGIGEVVALGLSASARYTVGQAVAYMAPGSFAEYTVVPASIATPVPSVKPEYLTLLVSGTTAYISLKELGGLSEGKKVLVTAAAGGTGQFAMQLSKKAKCHVIGTCSSDEKSAFLKSLGCDRPINYKTEPVGTVLKQEYPEGVDVVYESVGGAMFDLAVDALATKGRLIVIGFISGYQTPTGLSPVKAGTLPAKLLKKSASVQGFFLNHYLSKYQAAMSHLLEMCVSGDLVCEVDLGDLSPEGRFTGLESIFRAVNYMYMGKNTGKIVVELPHSVNSKL
+>DECOY_sp|Q8N4Q0|PTGR3_HUMAN Prostaglandin reductase 3 OS=Homo sapiens OX=9606 GN=ZADH2 PE=1 SV=1
+LKSNVSHPLEVVIKGTNKGMYMYNVARFISELGTFRGEPSLDGLDVECVLDGSVCMELLHSMAAQYKSLYHNLFFGQVSASKKLLKAPLTGAKVPSLGTPTQYGSIFGIVILRGKTALADVALDFMAGGVSEYVVDVGEPYEQKLVTGVPETKYNIPRDCGLSKLFASKEDSSCTGIVHCKAKKSLQMAFQGTGGAAATVLVKKGESLGGLEKLSIYATTGSVLLTLYEPKVSPVPTAISAPVVTYEAFSGPAMYAVAQGVTYRASASLGLAVVEGIGEFGIDFPPKVSPDYRGASYNIDSANVGVFRNRVLLDGDGPLPVPCDRSLTVAERFNPSLRTVVLKQMAQPIASGQFDLFHRAYSMDVIARAGTPVLRLM
+>sp|Q96NR3|PTHD1_HUMAN Patched domain-containing protein 1 OS=Homo sapiens OX=9606 GN=PTCHD1 PE=2 SV=2
+MLRQVLHRGLRTCFSRLGHFIASHPVFFASAPVLISILLGASFSRYQVEESVEHLLAPQHSLAKIERNLVNSLFPVNRSKHRLYSDLQTPGRYGRVIVTSFQKANMLDQHHTDLILKLHAAVTKIQVPRPGFNYTFAHICILNNDKTCIVDDIVHVLEELKNARATNRTNFAITYPITHLKDGRAVYNGHQLGGVTVHSKDRVKSAEAIQLTYYLQSINSLNDMVAERWESSFCDTVRLFQKSNSKVKMYPYTSSSLREDFQKTSRVSERYLVTSLILVVTMAILCCSMQDCVRSKPWLGLLGLVTISLATLTAAGIINLTGGKYNSTFLGVPFVMLGHGLYGTFEMLSSWRKTREDQHVKERTAAVYADSMLSFSLTTAMYLVTFGIGASPFTNIEAARIFCCNSCIAIFFNYLYVLSFYGSSLVFTGYIENNYQHSIFCRKVPKPEALQEKPAWYRFLLTARFSEDTAEGEEANTYESHLLVCFLKRYYCDWITNTYVKPFVVLFYLIYISFALMGYLQVSEGSDLSNIVATATQTIEYTTAQQKYFSNYSPVIGFYIYESIEYWNTSVQEDVLEYTKGFVRISWFESYLNYLRKLNVSTGLPKKNFTDMLRNSFLKAPQFSHFQEDIIFSKKYNDEVDVVASRMFLVAKTMETNREELYDLLETLRRLSVTSKVKFIVFNPSFVYMDRYASSLGAPLHNSCISALFLLFFSAFLVADSLINVWITLTVVSVEFGVIGFMTLWKVELDCISVLCLIYGINYTIDNCAPMLSTFVLGKDFTRTKWVKNALEVHGVAILQSYLCYIVGLIPLAAVPSNLTCTLFRCLFLIAFVTFFHCFAILPVILTFLPPSKKKRKEKKNPENREEIECVEMVDIDSTRVVDQITTV
+>DECOY_sp|Q96NR3|PTHD1_HUMAN Patched domain-containing protein 1 OS=Homo sapiens OX=9606 GN=PTCHD1 PE=2 SV=2
+VTTIQDVVRTSDIDVMEVCEIEERNEPNKKEKRKKKSPPLFTLIVPLIAFCHFFTVFAILFLCRFLTCTLNSPVAALPILGVIYCLYSQLIAVGHVELANKVWKTRTFDKGLVFTSLMPACNDITYNIGYILCLVSICDLEVKWLTMFGIVGFEVSVVTLTIWVNILSDAVLFASFFLLFLASICSNHLPAGLSSAYRDMYVFSPNFVIFKVKSTVSLRRLTELLDYLEERNTEMTKAVLFMRSAVVDVEDNYKKSFIIDEQFHSFQPAKLFSNRLMDTFNKKPLGTSVNLKRLYNLYSEFWSIRVFGKTYELVDEQVSTNWYEISEYIYFGIVPSYNSFYKQQATTYEITQTATAVINSLDSGESVQLYGMLAFSIYILYFLVVFPKVYTNTIWDCYYRKLFCVLLHSEYTNAEEGEATDESFRATLLFRYWAPKEQLAEPKPVKRCFISHQYNNEIYGTFVLSSGYFSLVYLYNFFIAICSNCCFIRAAEINTFPSAGIGFTVLYMATTLSFSLMSDAYVAATREKVHQDERTKRWSSLMEFTGYLGHGLMVFPVGLFTSNYKGGTLNIIGAATLTALSITVLGLLGLWPKSRVCDQMSCCLIAMTVVLILSTVLYRESVRSTKQFDERLSSSTYPYMKVKSNSKQFLRVTDCFSSEWREAVMDNLSNISQLYYTLQIAEASKVRDKSHVTVGGLQHGNYVARGDKLHTIPYTIAFNTRNTARANKLEELVHVIDDVICTKDNNLICIHAFTYNFGPRPVQIKTVAAHLKLILDTHHQDLMNAKQFSTVIVRGYRGPTQLDSYLRHKSRNVPFLSNVLNREIKALSHQPALLHEVSEEVQYRSFSAGLLISILVPASAFFVPHSAIFHGLRSFCTRLGRHLVQRLM
+>sp|Q6ZW05|PTHD4_HUMAN Patched domain-containing protein 4 OS=Homo sapiens OX=9606 GN=PTCHD4 PE=2 SV=3
+MCFLRRPGAPASWIWWRMLRQVLRRGLQSFCHRLGLCVSRHPVFFLTVPAVLTITFGLSALNRFQPEGDLERLVAPSHSLAKIERSLASSLFPLDQSKSQLYSDLHTPGRYGRVILLSPTGDNILLQAEGILQTHRAVLEMKDGRNSFIGHQLGGVVEVPNSKDQRVKSARAIQITYYLQTYGSATQDLIGEKWENEFCKLIRKLQEEHQELQLYSLASFSLWRDFHKTSILARSKVLVSLVLILTTATLSSSMKDCLRSKPFLGLLGVLTVCISIITAAGIFFITDGKYNSTLLGIPFFAMGHGTKGVFELLSGWRRTKENLPFKDRIADAYSDVMVTYTMTSSLYFITFGMGASPFTNIEAVKVFCQNMCVSILLNYFYIFSFFGSCLVFAGQLEQNRYHSIFCCKIPSAEYLDRKPVWFQTVMSDGHQQTSHHETNPYQHHFIQHFLREHYNEWITNIYVKPFVVILYLIYASFSFMGCLQISDGANIINLLASDSPSVSYAMVQQKYFSNYSPVIGFYVYEPLEYWNSSVQDDLRRLCSGFTAVSWVEQYYQFLKVSNVSANNKSDFISVLQSSFLKKPEFQHFRNDIIFSKAGDESNIIASRLYLVARTSRDKQKEITEVLEKLRPLSLSKSIRFIVFNPSFVFMDHYSLSVTVPVLIAGFGVLLVLILTFFLVIHPLGNFWLILSVTSIELGVLGLMTLWNVDMDCISILCLIYTLNFAIDHCAPLLFTFVLATEHTRTQCIKSSLQDHGTAILQNVTSFLIGLVPLLFVPSNLTFTLFKCLLLTGGCTLLHCFVILPVFLTFFPPSKKHHKKKKRAKRKEREEIECIEIQENPDHVTTV
+>DECOY_sp|Q6ZW05|PTHD4_HUMAN Patched domain-containing protein 4 OS=Homo sapiens OX=9606 GN=PTCHD4 PE=2 SV=3
+VTTVHDPNEQIEICEIEEREKRKARKKKKHHKKSPPFFTLFVPLIVFCHLLTCGGTLLLCKFLTFTLNSPVFLLPVLGILFSTVNQLIATGHDQLSSKICQTRTHETALVFTFLLPACHDIAFNLTYILCLISICDMDVNWLTMLGLVGLEISTVSLILWFNGLPHIVLFFTLILVLLVGFGAILVPVTVSLSYHDMFVFSPNFVIFRISKSLSLPRLKELVETIEKQKDRSTRAVLYLRSAIINSEDGAKSFIIDNRFHQFEPKKLFSSQLVSIFDSKNNASVNSVKLFQYYQEVWSVATFGSCLRRLDDQVSSNWYELPEYVYFGIVPSYNSFYKQQVMAYSVSPSDSALLNIINAGDSIQLCGMFSFSAYILYLIVVFPKVYINTIWENYHERLFHQIFHHQYPNTEHHSTQQHGDSMVTQFWVPKRDLYEASPIKCCFISHYRNQELQGAFVLCSGFFSFIYFYNLLISVCMNQCFVKVAEINTFPSAGMGFTIFYLSSTMTYTVMVDSYADAIRDKFPLNEKTRRWGSLLEFVGKTGHGMAFFPIGLLTSNYKGDTIFFIGAATIISICVTLVGLLGLFPKSRLCDKMSSSLTATTLILVLSVLVKSRALISTKHFDRWLSFSALSYLQLEQHEEQLKRILKCFENEWKEGILDQTASGYTQLYYTIQIARASKVRQDKSNPVEVVGGLQHGIFSNRGDKMELVARHTQLIGEAQLLINDGTPSLLIVRGYRGPTHLDSYLQSKSQDLPFLSSALSREIKALSHSPAVLRELDGEPQFRNLASLGFTITLVAPVTLFFVPHRSVCLGLRHCFSQLGRRLVQRLMRWWIWSAPAGPRRLFCM
+>sp|P01270|PTHY_HUMAN Parathyroid hormone OS=Homo sapiens OX=9606 GN=PTH PE=1 SV=1
+MIPAKDMAKVMIVMLAICFLTKSDGKSVKKRSVSEIQLMHNLGKHLNSMERVEWLRKKLQDVHNFVALGAPLAPRDAGSQRPRKKEDNVLVESHEKSLGEADKADVNVLTKAKSQ
+>DECOY_sp|P01270|PTHY_HUMAN Parathyroid hormone OS=Homo sapiens OX=9606 GN=PTH PE=1 SV=1
+QSKAKTLVNVDAKDAEGLSKEHSEVLVNDEKKRPRQSGADRPALPAGLAVFNHVDQLKKRLWEVREMSNLHKGLNHMLQIESVSRKKVSKGDSKTLFCIALMVIMVKAMDKAPIM
+>sp|P20962|PTMS_HUMAN Parathymosin OS=Homo sapiens OX=9606 GN=PTMS PE=1 SV=2
+MSEKSVEAAAELSAKDLKEKKEKVEEKASRKERKKEVVEEEENGAEEEEEETAEDGEEEDEGEEEDEEEEEEDDEGPALKRAAEEEDEADPKRQKTENGASA
+>DECOY_sp|P20962|PTMS_HUMAN Parathymosin OS=Homo sapiens OX=9606 GN=PTMS PE=1 SV=2
+ASAGNETKQRKPDAEDEEEAARKLAPGEDDEEEEEEDEEEGEDEEEGDEATEEEEEEAGNEEEEVVEKKREKRSAKEEVKEKKEKLDKASLEAAAEVSKESM
+>sp|Q12923|PTN13_HUMAN Tyrosine-protein phosphatase non-receptor type 13 OS=Homo sapiens OX=9606 GN=PTPN13 PE=1 SV=2
+MHVSLAEALEVRGGPLQEEEIWAVLNQSAESLQELFRKVSLADPAALGFIISPWSLLLLPSGSVSFTDENISNQDLRAFTAPEVLQNQSLTSLSDVEKIHIYSLGMTLYWGADYEVPQSQPIKLGDHLNSILLGMCEDVIYARVSVRTVLDACSAHIRNSNCAPSFSYVKHLVKLVLGNLSGTDQLSCNSEQKPDRSQAIRDRLRGKGLPTGRSSTSDVLDIQKPPLSHQTFLNKGLSKSMGFLSIKDTQDENYFKDILSDNSGREDSENTFSPYQFKTSGPEKKPIPGIDVLSKKKIWASSMDLLCTADRDFSSGETATYRRCHPEAVTVRTSTTPRKKEARYSDGSIALDIFGPQKMDPIYHTRELPTSSAISSALDRIRERQKKLQVLREAMNVEEPVRRYKTYHGDVFSTSSESPSIISSESDFRQVRRSEASKRFESSSGLPGVDETLSQGQSQRPSRQYETPFEGNLINQEIMLKRQEEELMQLQAKMALRQSRLSLYPGDTIKASMLDITRDPLREIALETAMTQRKLRNFFGPEFVKMTIEPFISLDLPRSILTKKGKNEDNRRKVNIMLLNGQRLELTCDTKTICKDVFDMVVAHIGLVEHHLFALATLKDNEYFFVDPDLKLTKVAPEGWKEEPKKKTKATVNFTLFFRIKFFMDDVSLIQHTLTCHQYYLQLRKDILEERMHCDDETSLLLASLALQAEYGDYQPEVHGVSYFRMEHYLPARVMEKLDLSYIKEELPKLHNTYVGASEKETELEFLKVCQRLTEYGVHFHRVHPEKKSQTGILLGVCSKGVLVFEVHNGVRTLVLRFPWRETKKISFSKKKITLQNTSDGIKHGFQTDNSKICQYLLHLCSYQHKFQLQMRARQSNQDAQDIERASFRSLNLQAESVRGFNMGRAISTGSLASSTLNKLAVRPLSVQAEILKRLSCSELSLYQPLQNSSKEKNDKASWEEKPREMSKSYHDLSQASLYPHRKNVIVNMEPPPQTVAELVGKPSHQMSRSDAESLAGVTKLNNSKSVASLNRSPERRKHESDSSSIEDPGQAYVLGMTMHSSGNSSSQVPLKENDVLHKRWSIVSSPEREITLVNLKKDAKYGLGFQIIGGEKMGRLDLGIFISSVAPGGPADLDGCLKPGDRLISVNSVSLEGVSHHAAIEILQNAPEDVTLVISQPKEKISKVPSTPVHLTNEMKNYMKKSSYMQDSAIDSSSKDHHWSRGTLRHISENSFGPSGGLREGSLSSQDSRTESASLSQSQVNGFFASHLGDQTWQESQHGSPSPSVISKATEKETFTDSNQSKTKKPGISDVTDYSDRGDSDMDEATYSSSQDHQTPKQESSSSVNTSNKMNFKTFSSSPPKPGDIFEVELAKNDNSLGISVTGGVNTSVRHGGIYVKAVIPQGAAESDGRIHKGDRVLAVNGVSLEGATHKQAVETLRNTGQVVHLLLEKGQSPTSKEHVPVTPQCTLSDQNAQGQGPEKVKKTTQVKDYSFVTEENTFEVKLFKNSSGLGFSFSREDNLIPEQINASIVRVKKLFPGQPAAESGKIDVGDVILKVNGASLKGLSQQEVISALRGTAPEVFLLLCRPPPGVLPEIDTALLTPLQSPAQVLPNSSKDSSQPSCVEQSTSSDENEMSDKSKKQCKSPSRRDSYSDSSGSGEDDLVTAPANISNSTWSSALHQTLSNMVSQAQSHHEAPKSQEDTICTMFYYPQKIPNKPEFEDSNPSPLPPDMAPGQSYQPQSESASSSSMDKYHIHHISEPTRQENWTPLKNDLENHLEDFELEVELLITLIKSEKGSLGFTVTKGNQRIGCYVHDVIQDPAKSDGRLKPGDRLIKVNDTDVTNMTHTDAVNLLRAASKTVRLVIGRVLELPRIPMLPHLLPDITLTCNKEELGFSLCGGHDSLYQVVYISDINPRSVAAIEGNLQLLDVIHYVNGVSTQGMTLEEVNRALDMSLPSLVLKATRNDLPVVPSSKRSAVSAPKSTKGNGSYSVGSCSQPALTPNDSFSTVAGEEINEISYPKGKCSTYQIKGSPNLTLPKESYIQEDDIYDDSQEAEVIQSLLDVVDEEAQNLLNENNAAGYSCGPGTLKMNGKLSEERTEDTDCDGSPLPEYFTEATKMNGCEEYCEEKVKSESLIQKPQEKKTDDDEITWGNDELPIERTNHEDSDKDHSFLTNDELAVLPVVKVLPSGKYTGANLKSVIRVLRGLLDQGIPSKELENLQELKPLDQCLIGQTKENRRKNRYKNILPYDATRVPLGDEGGYINASFIKIPVGKEEFVYIACQGPLPTTVGDFWQMIWEQKSTVIAMMTQEVEGEKIKCQRYWPNILGKTTMVSNRLRLALVRMQQLKGFVVRAMTLEDIQTREVRHISHLNFTAWPDHDTPSQPDDLLTFISYMRHIHRSGPIITHCSAGIGRSGTLICIDVVLGLISQDLDFDISDLVRCMRLQRHGMVQTEDQYIFCYQVILYVLTRLQAEEEQKQQPQLLK
+>DECOY_sp|Q12923|PTN13_HUMAN Tyrosine-protein phosphatase non-receptor type 13 OS=Homo sapiens OX=9606 GN=PTPN13 PE=1 SV=2
+KLLQPQQKQEEEAQLRTLVYLIVQYCFIYQDETQVMGHRQLRMCRVLDSIDFDLDQSILGLVVDICILTGSRGIGASCHTIIPGSRHIHRMYSIFTLLDDPQSPTDHDPWATFNLHSIHRVERTQIDELTMARVVFGKLQQMRVLALRLRNSVMTTKGLINPWYRQCKIKEGEVEQTMMAIVTSKQEWIMQWFDGVTTPLPGQCAIYVFEEKGVPIKIFSANIYGGEDGLPVRTADYPLINKYRNKRRNEKTQGILCQDLPKLEQLNELEKSPIGQDLLGRLVRIVSKLNAGTYKGSPLVKVVPLVALEDNTLFSHDKDSDEHNTREIPLEDNGWTIEDDDTKKEQPKQILSESKVKEECYEECGNMKTAETFYEPLPSGDCDTDETREESLKGNMKLTGPGCSYGAANNENLLNQAEEDVVDLLSQIVEAEQSDDYIDDEQIYSEKPLTLNPSGKIQYTSCKGKPYSIENIEEGAVTSFSDNPTLAPQSCSGVSYSGNGKTSKPASVASRKSSPVVPLDNRTAKLVLSPLSMDLARNVEELTMGQTSVGNVYHIVDLLQLNGEIAAVSRPNIDSIYVVQYLSDHGGCLSFGLEEKNCTLTIDPLLHPLMPIRPLELVRGIVLRVTKSAARLLNVADTHTMNTVDTDNVKILRDGPKLRGDSKAPDQIVDHVYCGIRQNGKTVTFGLSGKESKILTILLEVELEFDELHNELDNKLPTWNEQRTPESIHHIHYKDMSSSSASESQPQYSQGPAMDPPLPSPNSDEFEPKNPIKQPYYFMTCITDEQSKPAEHHSQAQSVMNSLTQHLASSWTSNSINAPATVLDDEGSGSSDSYSDRRSPSKCQKKSKDSMENEDSSTSQEVCSPQSSDKSSNPLVQAPSQLPTLLATDIEPLVGPPPRCLLLFVEPATGRLASIVEQQSLGKLSAGNVKLIVDGVDIKGSEAAPQGPFLKKVRVISANIQEPILNDERSFSFGLGSSNKFLKVEFTNEETVFSYDKVQTTKKVKEPGQGQANQDSLTCQPTVPVHEKSTPSQGKELLLHVVQGTNRLTEVAQKHTAGELSVGNVALVRDGKHIRGDSEAAGQPIVAKVYIGGHRVSTNVGGTVSIGLSNDNKALEVEFIDGPKPPSSSFTKFNMKNSTNVSSSSEQKPTQHDQSSSYTAEDMDSDGRDSYDTVDSIGPKKTKSQNSDTFTEKETAKSIVSPSPSGHQSEQWTQDGLHSAFFGNVQSQSLSASETRSDQSSLSGERLGGSPGFSNESIHRLTGRSWHHDKSSSDIASDQMYSSKKMYNKMENTLHVPTSPVKSIKEKPQSIVLTVDEPANQLIEIAAHHSVGELSVSNVSILRDGPKLCGDLDAPGGPAVSSIFIGLDLRGMKEGGIIQFGLGYKADKKLNVLTIEREPSSVISWRKHLVDNEKLPVQSSSNGSSHMTMGLVYAQGPDEISSSDSEHKRREPSRNLSAVSKSNNLKTVGALSEADSRSMQHSPKGVLEAVTQPPPEMNVIVNKRHPYLSAQSLDHYSKSMERPKEEWSAKDNKEKSSNQLPQYLSLESCSLRKLIEAQVSLPRVALKNLTSSALSGTSIARGMNFGRVSEAQLNLSRFSAREIDQADQNSQRARMQLQFKHQYSCLHLLYQCIKSNDTQFGHKIGDSTNQLTIKKKSFSIKKTERWPFRLVLTRVGNHVEFVLVGKSCVGLLIGTQSKKEPHVRHFHVGYETLRQCVKLFELETEKESAGVYTNHLKPLEEKIYSLDLKEMVRAPLYHEMRFYSVGHVEPQYDGYEAQLALSALLLSTEDDCHMREELIDKRLQLYYQHCTLTHQILSVDDMFFKIRFFLTFNVTAKTKKKPEEKWGEPAVKTLKLDPDVFFYENDKLTALAFLHHEVLGIHAVVMDFVDKCITKTDCTLELRQGNLLMINVKRRNDENKGKKTLISRPLDLSIFPEITMKVFEPGFFNRLKRQTMATELAIERLPDRTIDLMSAKITDGPYLSLRSQRLAMKAQLQMLEEEQRKLMIEQNILNGEFPTEYQRSPRQSQGQSLTEDVGPLGSSSEFRKSAESRRVQRFDSESSIISPSESSTSFVDGHYTKYRRVPEEVNMAERLVQLKKQRERIRDLASSIASSTPLERTHYIPDMKQPGFIDLAISGDSYRAEKKRPTTSTRVTVAEPHCRRYTATEGSSFDRDATCLLDMSSAWIKKKSLVDIGPIPKKEPGSTKFQYPSFTNESDERGSNDSLIDKFYNEDQTDKISLFGMSKSLGKNLFTQHSLPPKQIDLVDSTSSRGTPLGKGRLRDRIAQSRDPKQESNCSLQDTGSLNGLVLKVLHKVYSFSPACNSNRIHASCADLVTRVSVRAYIVDECMGLLISNLHDGLKIPQSQPVEYDAGWYLTMGLSYIHIKEVDSLSTLSQNQLVEPATFARLDQNSINEDTFSVSGSPLLLLSWPSIIFGLAAPDALSVKRFLEQLSEASQNLVAWIEEEQLPGGRVELAEALSVHM
+>sp|Q99952|PTN18_HUMAN Tyrosine-protein phosphatase non-receptor type 18 OS=Homo sapiens OX=9606 GN=PTPN18 PE=1 SV=2
+MSRSLDSARSFLERLEARGGREGAVLAGEFSDIQACSAAWKADGVCSTVAGSRPENVRKNRYKDVLPYDQTRVILSLLQEEGHSDYINGNFIRGVDGSLAYIATQGPLPHTLLDFWRLVWEFGVKVILMACREIENGRKRCERYWAQEQEPLQTGLFCITLIKEKWLNEDIMLRTLKVTFQKESRSVYQLQYMSWPDRGVPSSPDHMLAMVEEARRLQGSGPEPLCVHCSAGCGRTGVLCTVDYVRQLLLTQMIPPDFSLFDVVLKMRKQRPAAVQTEEQYRFLYHTVAQMFCSTLQNASPHYQNIKENCAPLYDDALFLRTPQALLAIPRPPGGVLRSISVPGSPGHAMADTYAVVQKRGAPAGAGSGTQTGTGTGTGARSAEEAPLYSKVTPRAQRPGAHAEDARGTLPGRVPADQSPAGSGAYEDVAGGAQTGGLGFNLRIGRPKGPRDPPAEWTRV
+>DECOY_sp|Q99952|PTN18_HUMAN Tyrosine-protein phosphatase non-receptor type 18 OS=Homo sapiens OX=9606 GN=PTPN18 PE=1 SV=2
+VRTWEAPPDRPGKPRGIRLNFGLGGTQAGGAVDEYAGSGAPSQDAPVRGPLTGRADEAHAGPRQARPTVKSYLPAEEASRAGTGTGTGTQTGSGAGAPAGRKQVVAYTDAMAHGPSGPVSISRLVGGPPRPIALLAQPTRLFLADDYLPACNEKINQYHPSANQLTSCFMQAVTHYLFRYQEETQVAAPRQKRMKLVVDFLSFDPPIMQTLLLQRVYDVTCLVGTRGCGASCHVCLPEPGSGQLRRAEEVMALMHDPSSPVGRDPWSMYQLQYVSRSEKQFTVKLTRLMIDENLWKEKILTICFLGTQLPEQEQAWYRECRKRGNEIERCAMLIVKVGFEWVLRWFDLLTHPLPGQTAIYALSGDVGRIFNGNIYDSHGEEQLLSLIVRTQDYPLVDKYRNKRVNEPRSGAVTSCVGDAKWAASCAQIDSFEGALVAGERGGRAELRELFSRASDLSRSM
+>sp|Q9H3S7|PTN23_HUMAN Tyrosine-protein phosphatase non-receptor type 23 OS=Homo sapiens OX=9606 GN=PTPN23 PE=1 SV=1
+MEAVPRMPMIWLDLKEAGDFHFQPAVKKFVLKNYGENPEAYNEELKKLELLRQNAVRVPRDFEGCSVLRKYLGQLHYLQSRVPMGSGQEAAVPVTWTEIFSGKSVAHEDIKYEQACILYNLGALHSMLGAMDKRVSEEGMKVSCTHFQCAAGAFAYLREHFPQAYSVDMSRQILTLNVNLMLGQAQECLLEKSMLDNRKSFLVARISAQVVDYYKEACRALENPDTASLLGRIQKDWKKLVQMKIYYFAAVAHLHMGKQAEEQQKFGERVAYFQSALDKLNEAIKLAKGQPDTVQDALRFTMDVIGGKYNSAKKDNDFIYHEAVPALDTLQPVKGAPLVKPLPVNPTDPAVTGPDIFAKLVPMAAHEASSLYSEEKAKLLREMMAKIEDKNEVLDQFMDSMQLDPETVDNLDAYSHIPPQLMEKCAALSVRPDTVRNLVQSMQVLSGVFTDVEASLKDIRDLLEEDELLEQKFQEAVGQAGAISITSKAELAEVRREWAKYMEVHEKASFTNSELHRAMNLHVGNLRLLSGPLDQVRAALPTPALSPEDKAVLQNLKRILAKVQEMRDQRVSLEQQLRELIQKDDITASLVTTDHSEMKKLFEEQLKKYDQLKVYLEQNLAAQDRVLCALTEANVQYAAVRRVLSDLDQKWNSTLQTLVASYEAYEDLMKKSQEGRDFYADLESKVAALLERTQSTCQAREAARQQLLDRELKKKPPPRPTAPKPLLPRREESEAVEAGDPPEELRSLPPDMVAGPRLPDTFLGSATPLHFPPSPFPSSTGPGPHYLSGPLPPGTYSGPTQLIQPRAPGPHAMPVAPGPALYPAPAYTPELGLVPRSSPQHGVVSSPYVGVGPAPPVAGLPSAPPPQFSGPELAMAVRPATTTVDSIQAPIPSHTAPRPNPTPAPPPPCFPVPPPQPLPTPYTYPAGAKQPIPAQHHFSSGIPAGFPAPRIGPQPQPHPQPHPSQAFGPQPPQQPLPLQHPHLFPPQAPGLLPPQSPYPYAPQPGVLGQPPPPLHTQLYPGPAQDPLPAHSGALPFPSPGPPQPPHPPLAYGPAPSTRPMGPQAAPLTIRGPSSAGQSTPSPHLVPSPAPSPGPGPVPPRPPAAEPPPCLRRGAAAADLLSSSPESQHGGTQSPGGGQPLLQPTKVDAAEGRRPQALRLIERDPYEHPERLRQLQQELEAFRGQLGDVGALDTVWRELQDAQEHDARGRSIAIARCYSLKNRHQDVMPYDSNRVVLRSGKDDYINASCVEGLSPYCPPLVATQAPLPGTAADFWLMVHEQKVSVIVMLVSEAEMEKQKVARYFPTERGQPMVHGALSLALSSVRSTETHVERVLSLQFRDQSLKRSLVHLHFPTWPELGLPDSPSNLLRFIQEVHAHYLHQRPLHTPIIVHCSSGVGRTGAFALLYAAVQEVEAGNGIPELPQLVRRMRQQRKHMLQEKLHLRFCYEAVVRHVEQVLQRHGVPPPCKPLASASISQKNHLPQDSQDLVLGGDVPISSIQATIAKLSIRPPGGLESPVASLPGPAEPPGLPPASLPESTPIPSSSPPPLSSPLPEAPQPKEEPPVPEAPSSGPPSSSLELLASLTPEAFSLDSSLRGKQRMSKHNFLQAHNGQGLRATRPSDDPLSLLDPLWTLNKT
+>DECOY_sp|Q9H3S7|PTN23_HUMAN Tyrosine-protein phosphatase non-receptor type 23 OS=Homo sapiens OX=9606 GN=PTPN23 PE=1 SV=1
+TKNLTWLPDLLSLPDDSPRTARLGQGNHAQLFNHKSMRQKGRLSSDLSFAEPTLSALLELSSSPPGSSPAEPVPPEEKPQPAEPLPSSLPPPSSSPIPTSEPLSAPPLGPPEAPGPLSAVPSELGGPPRISLKAITAQISSIPVDGGLVLDQSDQPLHNKQSISASALPKCPPPVGHRQLVQEVHRVVAEYCFRLHLKEQLMHKRQQRMRRVLQPLEPIGNGAEVEQVAAYLLAFAGTRGVGSSCHVIIPTHLPRQHLYHAHVEQIFRLLNSPSDPLGLEPWTPFHLHVLSRKLSQDRFQLSLVREVHTETSRVSSLALSLAGHVMPQGRETPFYRAVKQKEMEAESVLMVIVSVKQEHVMLWFDAATGPLPAQTAVLPPCYPSLGEVCSANIYDDKGSRLVVRNSDYPMVDQHRNKLSYCRAIAISRGRADHEQADQLERWVTDLAGVDGLQGRFAELEQQLQRLREPHEYPDREILRLAQPRRGEAADVKTPQLLPQGGGPSQTGGHQSEPSSSLLDAAAAGRRLCPPPEAAPPRPPVPGPGPSPAPSPVLHPSPTSQGASSPGRITLPAAQPGMPRTSPAPGYALPPHPPQPPGPSPFPLAGSHAPLPDQAPGPYLQTHLPPPPQGLVGPQPAYPYPSQPPLLGPAQPPFLHPHQLPLPQQPPQPGFAQSPHPQPHPQPQPGIRPAPFGAPIGSSFHHQAPIPQKAGAPYTYPTPLPQPPPVPFCPPPPAPTPNPRPATHSPIPAQISDVTTTAPRVAMALEPGSFQPPPASPLGAVPPAPGVGVYPSSVVGHQPSSRPVLGLEPTYAPAPYLAPGPAVPMAHPGPARPQILQTPGSYTGPPLPGSLYHPGPGTSSPFPSPPFHLPTASGLFTDPLRPGAVMDPPLSRLEEPPDGAEVAESEERRPLLPKPATPRPPPKKKLERDLLQQRAAERAQCTSQTRELLAAVKSELDAYFDRGEQSKKMLDEYAEYSAVLTQLTSNWKQDLDSLVRRVAAYQVNAETLACLVRDQAALNQELYVKLQDYKKLQEEFLKKMESHDTTVLSATIDDKQILERLQQELSVRQDRMEQVKALIRKLNQLVAKDEPSLAPTPLAARVQDLPGSLLRLNGVHLNMARHLESNTFSAKEHVEMYKAWERRVEALEAKSTISIAGAQGVAEQFKQELLEDEELLDRIDKLSAEVDTFVGSLVQMSQVLNRVTDPRVSLAACKEMLQPPIHSYADLNDVTEPDLQMSDMFQDLVENKDEIKAMMERLLKAKEESYLSSAEHAAMPVLKAFIDPGTVAPDTPNVPLPKVLPAGKVPQLTDLAPVAEHYIFDNDKKASNYKGGIVDMTFRLADQVTDPQGKALKIAENLKDLASQFYAVREGFKQQEEAQKGMHLHAVAAFYYIKMQVLKKWDKQIRGLLSATDPNELARCAEKYYDVVQASIRAVLFSKRNDLMSKELLCEQAQGLMLNVNLTLIQRSMDVSYAQPFHERLYAFAGAACQFHTCSVKMGEESVRKDMAGLMSHLAGLNYLICAQEYKIDEHAVSKGSFIETWTVPVAAEQGSGMPVRSQLYHLQGLYKRLVSCGEFDRPVRVANQRLLELKKLEENYAEPNEGYNKLVFKKVAPQFHFDGAEKLDLWIMPMRPVAEM
+>sp|P43378|PTN9_HUMAN Tyrosine-protein phosphatase non-receptor type 9 OS=Homo sapiens OX=9606 GN=PTPN9 PE=1 SV=1
+MEPATAPRPDMAPELTPEEEQATKQFLEEINKWTVQYNVSPLSWNVAVKFLMARKFDVLRAIELFHSYRETRRKEGIVKLKPHEEPLRSEILSGKFTILNVRDPTGASIALFTARLHHPHKSVQHVVLQALFYLLDRAVDSFETQRNGLVFIYDMCGSNYANFELDLGKKVLNLLKGAFPARLKKVLIVGAPIWFRVPYSIISLLLKDKVRERIQILKTSEVTQHLPRECLPENLGGYVKIDLATWNFQFLPQVNGHPDPFDEIILFSLPPALDWDSVHVPGPHAMTIQELVDYVNARQKQGIYEEYEDIRRENPVGTFHCSMSPGNLEKNRYGDVPCLDQTRVKLTKRSGHTQTDYINASFMDGYKQKNAYIGTQGPLENTYRDFWLMVWEQKVLVIVMTTRFEEGGRRKCGQYWPLEKDSRIRFGFLTVTNLGVENMNHYKKTTLEIHNTEERQKRQVTHFQFLSWPDYGVPSSAASLIDFLRVVRNQQSLAVSNMGARSKGQCPEPPIVVHCSAGIGRTGTFCSLDICLAQLEELGTLNVFQTVSRMRTQRAFSIQTPEQYYFCYKAILEFAEKEGMVSSGQNLLAVESQ
+>DECOY_sp|P43378|PTN9_HUMAN Tyrosine-protein phosphatase non-receptor type 9 OS=Homo sapiens OX=9606 GN=PTPN9 PE=1 SV=1
+QSEVALLNQGSSVMGEKEAFELIAKYCFYYQEPTQISFARQTRMRSVTQFVNLTGLEELQALCIDLSCFTGTRGIGASCHVVIPPEPCQGKSRAGMNSVALSQQNRVVRLFDILSAASSPVGYDPWSLFQFHTVQRKQREETNHIELTTKKYHNMNEVGLNTVTLFGFRIRSDKELPWYQGCKRRGGEEFRTTMVIVLVKQEWVMLWFDRYTNELPGQTGIYANKQKYGDMFSANIYDTQTHGSRKTLKVRTQDLCPVDGYRNKELNGPSMSCHFTGVPNERRIDEYEEYIGQKQRANVYDVLEQITMAHPGPVHVSDWDLAPPLSFLIIEDFPDPHGNVQPLFQFNWTALDIKVYGGLNEPLCERPLHQTVESTKLIQIRERVKDKLLLSIISYPVRFWIPAGVILVKKLRAPFAGKLLNLVKKGLDLEFNAYNSGCMDYIFVLGNRQTEFSDVARDLLYFLAQLVVHQVSKHPHHLRATFLAISAGTPDRVNLITFKGSLIESRLPEEHPKLKVIGEKRRTERYSHFLEIARLVDFKRAMLFKVAVNWSLPSVNYQVTWKNIEELFQKTAQEEEPTLEPAMDPRPATAPEM
+>sp|P23468|PTPRD_HUMAN Receptor-type tyrosine-protein phosphatase delta OS=Homo sapiens OX=9606 GN=PTPRD PE=1 SV=2
+MVHVARLLLLLLTFFLRTDAETPPRFTRTPVDQTGVSGGVASFICQATGDPRPKIVWNKKGKKVSNQRFEVIEFDDGSGSVLRIQPLRTPRDEAIYECVASNNVGEISVSTRLTVLREDQIPRGFPTIDMGPQLKVVERTRTATMLCAASGNPDPEITWFKDFLPVDTSNNNGRIKQLRSESIGGTPIRGALQIEQSEESDQGKYECVATNSAGTRYSAPANLYVRELREVRRVPPRFSIPPTNHEIMPGGSVNITCVAVGSPMPYVKWMLGAEDLTPEDDMPIGRNVLELNDVRQSANYTCVAMSTLGVIEAIAQITVKALPKPPGTPVVTESTATSITLTWDSGNPEPVSYYIIQHKPKNSEELYKEIDGVATTRYSVAGLSPYSDYEFRVVAVNNIGRGPPSEPVLTQTSEQAPSSAPRDVQARMLSSTTILVQWKEPEEPNGQIQGYRVYYTMDPTQHVNNWMKHNVADSQITTIGNLVPQKTYSVKVLAFTSIGDGPLSSDIQVITQTGVPGQPLNFKAEPESETSILLSWTPPRSDTIANYELVYKDGEHGEEQRITIEPGTSYRLQGLKPNSLYYFRLAARSPQGLGASTAEISARTMQSKPSAPPQDISCTSPSSTSILVSWQPPPVEKQNGIITEYSIKYTAVDGEDDKPHEILGIPSDTTKYLLEQLEKWTEYRITVTAHTDVGPGPESLSVLIRTNEDVPSGPPRKVEVEAVNSTSVKVSWRSPVPNKQHGQIRGYQVHYVRMENGEPKGQPMLKDVMLADAQWEFDDTTEHDMIISGLQPETSYSLTVTAYTTKGDGARSKPKLVSTTGAVPGKPRLVINHTQMNTALIQWHPPVDTFGPLQGYRLKFGRKDMEPLTTLEFSEKEDHFTATDIHKGASYVFRLSARNKVGFGEEMVKEISIPEEVPTGFPQNLHSEGTTSTSVQLSWQPPVLAERNGIITKYTLLYRDINIPLLPMEQLIVPADTTMTLTGLKPDTTYDVKVRAHTSKGPGPYSPSVQFRTLPVDQVFAKNFHVKAVMKTSVLLSWEIPENYNSAMPFKILYDDGKMVEEVDGRATQKLIVNLKPEKSYSFVLTNRGNSAGGLQHRVTAKTAPDVLRTKPAFIGKTNLDGMITVQLPEVPANENIKGYYIIIVPLKKSRGKFIKPWESPDEMELDELLKEISRKRRSIRYGREVELKPYIAAHFDVLPTEFTLGDDKHYGGFTNKQLQSGQEYVFFVLAVMEHAESKMYATSPYSDPVVSMDLDPQPITDEEEGLIWVVGPVLAVVFIICIVIAILLYKRKRAESDSRKSSIPNNKEIPSHHPTDPVELRRLNFQTPGMASHPPIPILELADHIERLKANDNLKFSQEYESIDPGQQFTWEHSNLEVNKPKNRYANVIAYDHSRVLLSAIEGIPGSDYVNANYIDGYRKQNAYIATQGSLPETFGDFWRMIWEQRSATVVMMTKLEERSRVKCDQYWPSRGTETHGLVQVTLLDTVELATYCVRTFALYKNGSSEKREVRQFQFTAWPDHGVPEHPTPFLAFLRRVKTCNPPDAGPMVVHCSAGVGRTGCFIVIDAMLERIKHEKTVDIYGHVTLMRAQRNYMVQTEDQYIFIHDALLEAVTCGNTEVPARNLYAYIQKLTQIETGENVTGMELEFKRLASSKAHTSRFISANLPCNKFKNRLVNIMPYESTRVCLQPIRGVEGSDYINASFIDGYRQQKAYIATQGPLAETTEDFWRMLWEHNSTIVVMLTKLREMGREKCHQYWPAERSARYQYFVVDPMAEYNMPQYILREFKVTDARDGQSRTVRQFQFTDWPEQGVPKSGEGFIDFIGQVHKTKEQFGQDGPISVHCSAGVGRTGVFITLSIVLERMRYEGVVDIFQTVKMLRTQRPAMVQTEDQYQFSYRAALEYLGSFDHYAT
+>DECOY_sp|P23468|PTPRD_HUMAN Receptor-type tyrosine-protein phosphatase delta OS=Homo sapiens OX=9606 GN=PTPRD PE=1 SV=2
+TAYHDFSGLYELAARYSFQYQDETQVMAPRQTRLMKVTQFIDVVGEYRMRELVISLTIFVGTRGVGASCHVSIPGDQGFQEKTKHVQGIFDIFGEGSKPVGQEPWDTFQFQRVTRSQGDRADTVKFERLIYQPMNYEAMPDVVFYQYRASREAPWYQHCKERGMERLKTLMVVITSNHEWLMRWFDETTEALPGQTAIYAKQQRYGDIFSANIYDSGEVGRIPQLCVRTSEYPMINVLRNKFKNCPLNASIFRSTHAKSSALRKFELEMGTVNEGTEIQTLKQIYAYLNRAPVETNGCTVAELLADHIFIYQDETQVMYNRQARMLTVHGYIDVTKEHKIRELMADIVIFCGTRGVGASCHVVMPGADPPNCTKVRRLFALFPTPHEPVGHDPWATFQFQRVERKESSGNKYLAFTRVCYTALEVTDLLTVQVLGHTETGRSPWYQDCKVRSREELKTMMVVTASRQEWIMRWFDGFTEPLSGQTAIYANQKRYGDIYNANVYDSGPIGEIASLLVRSHDYAIVNAYRNKPKNVELNSHEWTFQQGPDISEYEQSFKLNDNAKLREIHDALELIPIPPHSAMGPTQFNLRRLEVPDTPHHSPIEKNNPISSKRSDSEARKRKYLLIAIVICIIFVVALVPGVVWILGEEEDTIPQPDLDMSVVPDSYPSTAYMKSEAHEMVALVFFVYEQGSQLQKNTFGGYHKDDGLTFETPLVDFHAAIYPKLEVERGYRISRRKRSIEKLLEDLEMEDPSEWPKIFKGRSKKLPVIIIYYGKINENAPVEPLQVTIMGDLNTKGIFAPKTRLVDPATKATVRHQLGGASNGRNTLVFSYSKEPKLNVILKQTARGDVEEVMKGDDYLIKFPMASNYNEPIEWSLLVSTKMVAKVHFNKAFVQDVPLTRFQVSPSYPGPGKSTHARVKVDYTTDPKLGTLTMTTDAPVILQEMPLLPINIDRYLLTYKTIIGNREALVPPQWSLQVSTSTTGESHLNQPFGTPVEEPISIEKVMEEGFGVKNRASLRFVYSAGKHIDTATFHDEKESFELTTLPEMDKRGFKLRYGQLPGFTDVPPHWQILATNMQTHNIVLRPKGPVAGTTSVLKPKSRAGDGKTTYATVTLSYSTEPQLGSIIMDHETTDDFEWQADALMVDKLMPQGKPEGNEMRVYHVQYGRIQGHQKNPVPSRWSVKVSTSNVAEVEVKRPPGSPVDENTRILVSLSEPGPGVDTHATVTIRYETWKELQELLYKTTDSPIGLIEHPKDDEGDVATYKISYETIIGNQKEVPPPQWSVLISTSSPSTCSIDQPPASPKSQMTRASIEATSAGLGQPSRAALRFYYLSNPKLGQLRYSTGPEITIRQEEGHEGDKYVLEYNAITDSRPPTWSLLISTESEPEAKFNLPQGPVGTQTIVQIDSSLPGDGISTFALVKVSYTKQPVLNGITTIQSDAVNHKMWNNVHQTPDMTYYVRYGQIQGNPEEPEKWQVLITTSSLMRAQVDRPASSPAQESTQTLVPESPPGRGINNVAVVRFEYDSYPSLGAVSYRTTAVGDIEKYLEESNKPKHQIIYYSVPEPNGSDWTLTISTATSETVVPTGPPKPLAKVTIQAIAEIVGLTSMAVCTYNASQRVDNLELVNRGIPMDDEPTLDEAGLMWKVYPMPSGVAVCTINVSGGPMIEHNTPPISFRPPVRRVERLERVYLNAPASYRTGASNTAVCEYKGQDSEESQEIQLAGRIPTGGISESRLQKIRGNNNSTDVPLFDKFWTIEPDPNGSAACLMTATRTREVVKLQPGMDITPFGRPIQDERLVTLRTSVSIEGVNNSAVCEYIAEDRPTRLPQIRLVSGSGDDFEIVEFRQNSVKKGKKNWVIKPRPDGTAQCIFSAVGGSVGTQDVPTRTFRPPTEADTRLFFTLLLLLLRAVHVM
+>sp|P23469|PTPRE_HUMAN Receptor-type tyrosine-protein phosphatase epsilon OS=Homo sapiens OX=9606 GN=PTPRE PE=1 SV=1
+MEPLCPLLLVGFSLPLARALRGNETTADSNETTTTSGPPDPGASQPLLAWLLLPLLLLLLVLLLAAYFFRFRKQRKAVVSTSDKKMPNGILEEQEQQRVMLLSRSPSGPKKYFPIPVEHLEEEIRIRSADDCKQFREEFNSLPSGHIQGTFELANKEENREKNRYPNILPNDHSRVILSQLDGIPCSDYINASYIDGYKEKNKFIAAQGPKQETVNDFWRMVWEQKSATIVMLTNLKERKEEKCHQYWPDQGCWTYGNIRVCVEDCVVLVDYTIRKFCIQPQLPDGCKAPRLVSQLHFTSWPDFGVPFTPIGMLKFLKKVKTLNPVHAGPIVVHCSAGVGRTGTFIVIDAMMAMMHAEQKVDVFEFVSRIRNQRPQMVQTDMQYTFIYQALLEYYLYGDTELDVSSLEKHLQTMHGTTTHFDKIGLEEEFRKLTNVRIMKENMRTGNLPANMKKARVIQIIPYDFNRVILSMKRGQEYTDYINASFIDGYRQKDYFIATQGPLAHTVEDFWRMIWEWKSHTIVMLTEVQEREQDKCYQYWPTEGSVTHGEITIEIKNDTLSEAISIRDFLVTLNQPQARQEEQVRVVRQFHFHGWPEIGIPAEGKGMIDLIAAVQKQQQQTGNHPITVHCSAGAGRTGTFIALSNILERVKAEGLLDVFQAVKSLRLQRPHMVQTLEQYEFCYKVVQDFIDIFSDYANFK
+>DECOY_sp|P23469|PTPRE_HUMAN Receptor-type tyrosine-protein phosphatase epsilon OS=Homo sapiens OX=9606 GN=PTPRE PE=1 SV=1
+KFNAYDSFIDIFDQVVKYCFEYQELTQVMHPRQLRLSKVAQFVDLLGEAKVRELINSLAIFTGTRGAGASCHVTIPHNGTQQQQKQVAAILDIMGKGEAPIGIEPWGHFHFQRVVRVQEEQRAQPQNLTVLFDRISIAESLTDNKIEITIEGHTVSGETPWYQYCKDQEREQVETLMVITHSKWEWIMRWFDEVTHALPGQTAIFYDKQRYGDIFSANIYDTYEQGRKMSLIVRNFDYPIIQIVRAKKMNAPLNGTRMNEKMIRVNTLKRFEEELGIKDFHTTTGHMTQLHKELSSVDLETDGYLYYELLAQYIFTYQMDTQVMQPRQNRIRSVFEFVDVKQEAHMMAMMADIVIFTGTRGVGASCHVVIPGAHVPNLTKVKKLFKLMGIPTFPVGFDPWSTFHLQSVLRPAKCGDPLQPQICFKRITYDVLVVCDEVCVRINGYTWCGQDPWYQHCKEEKREKLNTLMVITASKQEWVMRWFDNVTEQKPGQAAIFKNKEKYGDIYSANIYDSCPIGDLQSLIVRSHDNPLINPYRNKERNEEKNALEFTGQIHGSPLSNFEERFQKCDDASRIRIEEELHEVPIPFYKKPGSPSRSLLMVRQQEQEELIGNPMKKDSTSVVAKRQKRFRFFYAALLLVLLLLLLPLLLWALLPQSAGPDPPGSTTTTENSDATTENGRLARALPLSFGVLLLPCLPEM
+>sp|P23470|PTPRG_HUMAN Receptor-type tyrosine-protein phosphatase gamma OS=Homo sapiens OX=9606 GN=PTPRG PE=1 SV=4
+MRRLLEPCWWILFLKITSSVLHYVVCFPALTEGYVGALHENRHGSAVQIRRRKASGDPYWAYSGAYGPEHWVTSSVSCGGRHQSPIDILDQYARVGEEYQELQLDGFDNESSNKTWMKNTGKTVAILLKDDYFVSGAGLPGRFKAEKVEFHWGHSNGSAGSEHSINGRRFPVEMQIFFYNPDDFDSFQTAISENRIIGAMAIFFQVSPRDNSALDPIIHGLKGVVHHEKETFLDPFVLRDLLPASLGSYYRYTGSLTTPPCSEIVEWIVFRRPVPISYHQLEAFYSIFTTEQQDHVKSVEYLRNNFRPQQRLHDRVVSKSAVRDSWNHDMTDFLENPLGTEASKVCSSPPIHMKVQPLNQTALQVSWSQPETIYHPPIMNYMISYSWTKNEDEKEKTFTKDSDKDLKATISHVSPDSLYLFRVQAVCRNDMRSDFSQTMLFQANTTRIFQGTRIVKTGVPTASPASSADMAPISSGSSTWTSSGIPFSFVSMATGMGPSSSGSQATVASVVTSTLLAGLGFGGGGISSFPSTVWPTRLPTAASASKQAARPVLATTEALASPGPDGDSSPTKDGEGTEEGEKDEKSESEDGEREHEEDGEKDSEKKEKSGVTHAAEERNQTEPSPTPSSPNRTAEGGHQTIPGHEQDHTAVPTDQTGGRRDAGPGLDPDMVTSTQVPPTATEEQYAGSDPKRPEMPSKKPMSRGDRFSEDSRFITVNPAEKNTSGMISRPAPGRMEWIIPLIVVSALTFVCLILLIAVLVYWRGCNKIKSKGFPRRFREVPSSGERGEKGSRKCFQTAHFYVEDSSSPRVVPNESIPIIPIPDDMEAIPVKQFVKHIGELYSNNQHGFSEDFEEVQRCTADMNITAEHSNHPENKHKNRYINILAYDHSRVKLRPLPGKDSKHSDYINANYVDGYNKAKAYIATQGPLKSTFEDFWRMIWEQNTGIIVMITNLVEKGRRKCDQYWPTENSEEYGNIIVTLKSTKIHACYTVRRFSIRNTKVKKGQKGNPKGRQNERVVIQYHYTQWPDMGVPEYALPVLTFVRRSSAARMPETGPVLVHCSAGVGRTGTYIVIDSMLQQIKDKSTVNVLGFLKHIRTQRNYLVQTEEQYIFIHDALLEAILGKETEVSSNQLHSYVNSILIPGVGGKTRLEKQFKLVTQCNAKYVECFSAQKECNKEKNRNSSVVPSERARVGLAPLPGMKGTDYINASYIMGYYRSNEFIITQHPLPHTTKDFWRMIWDHNAQIIVMLPDNQSLAEDEFVYWPSREESMNCEAFTVTLISKDRLCLSNEEQIIIHDFILEATQDDYVLEVRHFQCPKWPNPDAPISSTFELINVIKEEALTRDGPTIVHDEYGAVSAGMLCALTTLSQQLENENAVDVFQVAKMINLMRPGVFTDIEQYQFIYKAMLSLVSTKENGNGPMTVDKNGAVLIADESDPAESMESLV
+>DECOY_sp|P23470|PTPRG_HUMAN Receptor-type tyrosine-protein phosphatase gamma OS=Homo sapiens OX=9606 GN=PTPRG PE=1 SV=4
+VLSEMSEAPDSEDAILVAGNKDVTMPGNGNEKTSVLSLMAKYIFQYQEIDTFVGPRMLNIMKAVQFVDVANENELQQSLTTLACLMGASVAGYEDHVITPGDRTLAEEKIVNILEFTSSIPADPNPWKPCQFHRVELVYDDQTAELIFDHIIIQEENSLCLRDKSILTVTFAECNMSEERSPWYVFEDEALSQNDPLMVIIQANHDWIMRWFDKTTHPLPHQTIIFENSRYYGMIYSANIYDTGKMGPLPALGVRARESPVVSSNRNKEKNCEKQASFCEVYKANCQTVLKFQKELRTKGGVGPILISNVYSHLQNSSVETEKGLIAELLADHIFIYQEETQVLYNRQTRIHKLFGLVNVTSKDKIQQLMSDIVIYTGTRGVGASCHVLVPGTEPMRAASSRRVFTLVPLAYEPVGMDPWQTYHYQIVVRENQRGKPNGKQGKKVKTNRISFRRVTYCAHIKTSKLTVIINGYEESNETPWYQDCKRRGKEVLNTIMVIIGTNQEWIMRWFDEFTSKLPGQTAIYAKAKNYGDVYNANIYDSHKSDKGPLPRLKVRSHDYALINIYRNKHKNEPHNSHEATINMDATCRQVEEFDESFGHQNNSYLEGIHKVFQKVPIAEMDDPIPIIPISENPVVRPSSSDEVYFHATQFCKRSGKEGREGSSPVERFRRPFGKSKIKNCGRWYVLVAILLILCVFTLASVVILPIIWEMRGPAPRSIMGSTNKEAPNVTIFRSDESFRDGRSMPKKSPMEPRKPDSGAYQEETATPPVQTSTVMDPDLGPGADRRGGTQDTPVATHDQEHGPITQHGGEATRNPSSPTPSPETQNREEAAHTVGSKEKKESDKEGDEEHEREGDESESKEDKEGEETGEGDKTPSSDGDPGPSALAETTALVPRAAQKSASAATPLRTPWVTSPFSSIGGGGFGLGALLTSTVVSAVTAQSGSSSPGMGTAMSVFSFPIGSSTWTSSGSSIPAMDASSAPSATPVGTKVIRTGQFIRTTNAQFLMTQSFDSRMDNRCVAQVRFLYLSDPSVHSITAKLDKDSDKTFTKEKEDENKTWSYSIMYNMIPPHYITEPQSWSVQLATQNLPQVKMHIPPSSCVKSAETGLPNELFDTMDHNWSDRVASKSVVRDHLRQQPRFNNRLYEVSKVHDQQETTFISYFAELQHYSIPVPRRFVIWEVIESCPPTTLSGTYRYYSGLSAPLLDRLVFPDLFTEKEHHVVGKLGHIIPDLASNDRPSVQFFIAMAGIIRNESIATQFSDFDDPNYFFIQMEVPFRRGNISHESGASGNSHGWHFEVKEAKFRGPLGAGSVFYDDKLLIAVTKGTNKMWTKNSSENDFGDLQLEQYEEGVRAYQDLIDIPSQHRGGCSVSSTVWHEPGYAGSYAWYPDGSAKRRRIQVASGHRNEHLAGVYGETLAPFCVVYHLVSSTIKLFLIWWCPELLRRM
+>sp|Q15262|PTPRK_HUMAN Receptor-type tyrosine-protein phosphatase kappa OS=Homo sapiens OX=9606 GN=PTPRK PE=1 SV=2
+MDTTAAAALPAFVALLLLSPWPLLGSAQGQFSAGGCTFDDGPGACDYHQDLYDDFEWVHVSAQEPHYLPPEMPQGSYMIVDSSDHDPGEKARLQLPTMKENDTHCIDFSYLLYSQKGLNPGTLNILVRVNKGPLANPIWNVTGFTGRDWLRAELAVSTFWPNEYQVIFEAEVSGGRSGYIAIDDIQVLSYPCDKSPHFLRLGDVEVNAGQNATFQCIATGRDAVHNKLWLQRRNGEDIPVAQTKNINHRRFAASFRLQEVTKTDQDLYRCVTQSERGSGVSNFAQLIVREPPRPIAPPQLLGVGPTYLLIQLNANSIIGDGPIILKEVEYRMTSGSWTETHAVNAPTYKLWHLDPDTEYEIRVLLTRPGEGGTGLPGPPLITRTKCAEPMRTPKTLKIAEIQARRIAVDWESLGYNITRCHTFNVTICYHYFRGHNESKADCLDMDPKAPQHVVNHLPPYTNVSLKMILTNPEGRKESEETIIQTDEDVPGPVPVKSLQGTSFENKIFLNWKEPLDPNGIITQYEISYSSIRSFDPAVPVAGPPQTVSNLWNSTHHVFMHLHPGTTYQFFIRASTVKGFGPATAINVTTNISAPTLPDYEGVDASLNETATTITVLLRPAQAKGAPISAYQIVVEELHPHRTKREAGAMECYQVPVTYQNAMSGGAPYYFAAELPPGNLPEPAPFTVGDNRTYQGFWNPPLAPRKGYNIYFQAMSSVEKETKTQCVRIATKAATEEPEVIPDPAKQTDRVVKIAGISAGILVFILLLLVVILIVKKSKLAKKRKDAMGNTRQEMTHMVNAMDRSYADQSTLHAEDPLSITFMDQHNFSPRYENHSATAESSRLLDVPRYLCEGTESPYQTGQLHPAIRVADLLQHINLMKTSDSYGFKEEYESFFEGQSASWDVAKKDQNRAKNRYGNIIAYDHSRVILQPVEDDPSSDYINANYIDGYQRPSHYIATQGPVHETVYDFWRMIWQEQSACIVMVTNLVEVGRVKCYKYWPDDTEVYGDFKVTCVEMEPLAEYVVRTFTLERRGYNEIREVKQFHFTGWPDHGVPYHATGLLSFIRRVKLSNPPSAGPIVVHCSAGAGRTGCYIVIDIMLDMAEREGVVDIYNCVKALRSRRINMVQTEEQYIFIHDAILEACLCGETAIPVCEFKAAYFDMIRIDSQTNSSHLKDEFQTLNSVTPRLQAEDCSIACLPRNHDKNRFMDMLPPDRCLPFLITIDGESSNYINAALMDSYRQPAAFIVTQYPLPNTVKDFWRLVYDYGCTSIVMLNEVDLSQGCPQYWPEEGMLRYGPIQVECMSCSMDCDVINRIFRICNLTRPQEGYLMVQQFQYLGWASHREVPGSKRSFLKLILQVEKWQEECEEGEGRTIIHCLNGGGRSGMFCAIGIVVEMVKRQNVVDVFHAVKTLRNSKPNMVEAPEQYRFCYDVALEYLESS
+>DECOY_sp|Q15262|PTPRK_HUMAN Receptor-type tyrosine-protein phosphatase kappa OS=Homo sapiens OX=9606 GN=PTPRK PE=1 SV=2
+SSELYELAVDYCFRYQEPAEVMNPKSNRLTKVAHFVDVVNQRKVMEVVIGIACFMGSRGGGNLCHIITRGEGEECEEQWKEVQLILKLFSRKSGPVERHSAWGLYQFQQVMLYGEQPRTLNCIRFIRNIVDCDMSCSMCEVQIPGYRLMGEEPWYQPCGQSLDVENLMVISTCGYDYVLRWFDKVTNPLPYQTVIFAAPQRYSDMLAANIYNSSEGDITILFPLCRDPPLMDMFRNKDHNRPLCAISCDEAQLRPTVSNLTQFEDKLHSSNTQSDIRIMDFYAAKFECVPIATEGCLCAELIADHIFIYQEETQVMNIRRSRLAKVCNYIDVVGEREAMDLMIDIVIYCGTRGAGASCHVVIPGASPPNSLKVRRIFSLLGTAHYPVGHDPWGTFHFQKVERIENYGRRELTFTRVVYEALPEMEVCTVKFDGYVETDDPWYKYCKVRGVEVLNTVMVICASQEQWIMRWFDYVTEHVPGQTAIYHSPRQYGDIYNANIYDSSPDDEVPQLIVRSHDYAIINGYRNKARNQDKKAVDWSASQGEFFSEYEEKFGYSDSTKMLNIHQLLDAVRIAPHLQGTQYPSETGECLYRPVDLLRSSEATASHNEYRPSFNHQDMFTISLPDEAHLTSQDAYSRDMANVMHTMEQRTNGMADKRKKALKSKKVILIVVLLLLIFVLIGASIGAIKVVRDTQKAPDPIVEPEETAAKTAIRVCQTKTEKEVSSMAQFYINYGKRPALPPNWFGQYTRNDGVTFPAPEPLNGPPLEAAFYYPAGGSMANQYTVPVQYCEMAGAERKTRHPHLEEVVIQYASIPAGKAQAPRLLVTITTATENLSADVGEYDPLTPASINTTVNIATAPGFGKVTSARIFFQYTTGPHLHMFVHHTSNWLNSVTQPPGAVPVAPDFSRISSYSIEYQTIIGNPDLPEKWNLFIKNEFSTGQLSKVPVPGPVDEDTQIITEESEKRGEPNTLIMKLSVNTYPPLHNVVHQPAKPDMDLCDAKSENHGRFYHYCITVNFTHCRTINYGLSEWDVAIRRAQIEAIKLTKPTRMPEACKTRTILPPGPLGTGGEGPRTLLVRIEYETDPDLHWLKYTPANVAHTETWSGSTMRYEVEKLIIPGDGIISNANLQILLYTPGVGLLQPPAIPRPPERVILQAFNSVGSGRESQTVCRYLDQDTKTVEQLRFSAAFRRHNINKTQAVPIDEGNRRQLWLKNHVADRGTAICQFTANQGANVEVDGLRLFHPSKDCPYSLVQIDDIAIYGSRGGSVEAEFIVQYENPWFTSVALEARLWDRGTFGTVNWIPNALPGKNVRVLINLTGPNLGKQSYLLYSFDICHTDNEKMTPLQLRAKEGPDHDSSDVIMYSGQPMEPPLYHPEQASVHVWEFDDYLDQHYDCAGPGDDFTCGGASFQGQASGLLPWPSLLLLAVFAPLAAAATTDM
+>sp|Q9NZH4|PTTG3_HUMAN Putative pituitary tumor-transforming gene 3 protein OS=Homo sapiens OX=9606 GN=PTTG3P PE=5 SV=1
+MATLIYVDKENEEPGILVATKDGLKLGSGPSIKALDGRSQVSISCFGKTFDAPTSLPKATRKALGTVNRATEKSVKTNGPLKQKQPSFSAKKMTEKTVKAKNSVPASDDGYPEIEKLFPFNPLGFESFDLPEEHQIAHLPLSEVPLMILDEERELEKLFQLGPPSPLKMPSPPWKSNLLQSPLSILLTLDVELPPVCSDIDI
+>DECOY_sp|Q9NZH4|PTTG3_HUMAN Putative pituitary tumor-transforming gene 3 protein OS=Homo sapiens OX=9606 GN=PTTG3P PE=5 SV=1
+IDIDSCVPPLEVDLTLLISLPSQLLNSKWPPSPMKLPSPPGLQFLKELEREEDLIMLPVESLPLHAIQHEEPLDFSEFGLPNFPFLKEIEPYGDDSAPVSNKAKVTKETMKKASFSPQKQKLPGNTKVSKETARNVTGLAKRTAKPLSTPADFTKGFCSISVQSRGDLAKISPGSGLKLGDKTAVLIGPEENEKDVYILTAM
+>sp|P26022|PTX3_HUMAN Pentraxin-related protein PTX3 OS=Homo sapiens OX=9606 GN=PTX3 PE=1 SV=3
+MHLLAILFCALWSAVLAENSDDYDLMYVNLDNEIDNGLHPTEDPTPCACGQEHSEWDKLFIMLENSQMRERMLLQATDDVLRGELQRLREELGRLAESLARPCAPGAPAEARLTSALDELLQATRDAGRRLARMEGAEAQRPEEAGRALAAVLEELRQTRADLHAVQGWAARSWLPAGCETAILFPMRSKKIFGSVHPVRPMRLESFSACIWVKATDVLNKTILFSYGTKRNPYEIQLYLSYQSIVFVVGGEENKLVAEAMVSLGRWTHLCGTWNSEEGLTSLWVNGELAATTVEMATGHIVPEGGILQIGQEKNGCCVGGGFDETLAFSGRLTGFNIWDSVLSNEEIRETGGAESCHIRGNIVGWGVTEIQPHGGAQYVS
+>DECOY_sp|P26022|PTX3_HUMAN Pentraxin-related protein PTX3 OS=Homo sapiens OX=9606 GN=PTX3 PE=1 SV=3
+SVYQAGGHPQIETVGWGVINGRIHCSEAGGTERIEENSLVSDWINFGTLRGSFALTEDFGGGVCCGNKEQGIQLIGGEPVIHGTAMEVTTAALEGNVWLSTLGEESNWTGCLHTWRGLSVMAEAVLKNEEGGVVFVISQYSLYLQIEYPNRKTGYSFLITKNLVDTAKVWICASFSELRMPRVPHVSGFIKKSRMPFLIATECGAPLWSRAAWGQVAHLDARTQRLEELVAALARGAEEPRQAEAGEMRALRRGADRTAQLLEDLASTLRAEAPAGPACPRALSEALRGLEERLRQLEGRLVDDTAQLLMRERMQSNELMIFLKDWESHEQGCACPTPDETPHLGNDIENDLNVYMLDYDDSNEALVASWLACFLIALLHM
+>sp|Q9UHX1|PUF60_HUMAN Poly(U)-binding-splicing factor PUF60 OS=Homo sapiens OX=9606 GN=PUF60 PE=1 SV=1
+MATATIALQVNGQQGGGSEPAAAAAVVAAGDKWKPPQGTDSIKMENGQSTAAKLGLPPLTPEQQEALQKAKKYAMEQSIKSVLVKQTIAHQQQQLTNLQMAAVTMGFGDPLSPLQSMAAQRQRALAIMCRVYVGSIYYELGEDTIRQAFAPFGPIKSIDMSWDSVTMKHKGFAFVEYEVPEAAQLALEQMNSVMLGGRNIKVGRPSNIGQAQPIIDQLAEEARAFNRIYVASVHQDLSDDDIKSVFEAFGKIKSCTLARDPTTGKHKGYGFIEYEKAQSSQDAVSSMNLFDLGGQYLRVGKAVTPPMPLLTPATPGGLPPAAAVAAAAATAKITAQEAVAGAAVLGTLGTPGLVSPALTLAQPLGTLPQAVMAAQAPGVITGVTPARPPIPVTIPSVGVVNPILASPPTLGLLEPKKEKEEEELFPESERPEMLSEQEHMSISGSSARHMVMQKLLRKQESTVMVLRNMVDPKDIDDDLEGEVTEECGKFGAVNRVIIYQEKQGEEEDAEIIVKIFVEFSIASETHKAIQALNGRWFAGRKVVAEVYDQERFDNSDLSA
+>DECOY_sp|Q9UHX1|PUF60_HUMAN Poly(U)-binding-splicing factor PUF60 OS=Homo sapiens OX=9606 GN=PUF60 PE=1 SV=1
+ASLDSNDFREQDYVEAVVKRGAFWRGNLAQIAKHTESAISFEVFIKVIIEADEEEGQKEQYIIVRNVAGFKGCEETVEGELDDDIDKPDVMNRLVMVTSEQKRLLKQMVMHRASSGSISMHEQESLMEPRESEPFLEEEEKEKKPELLGLTPPSALIPNVVGVSPITVPIPPRAPTVGTIVGPAQAAMVAQPLTGLPQALTLAPSVLGPTGLTGLVAAGAVAEQATIKATAAAAAVAAAPPLGGPTAPTLLPMPPTVAKGVRLYQGGLDFLNMSSVADQSSQAKEYEIFGYGKHKGTTPDRALTCSKIKGFAEFVSKIDDDSLDQHVSAVYIRNFARAEEALQDIIPQAQGINSPRGVKINRGGLMVSNMQELALQAAEPVEYEVFAFGKHKMTVSDWSMDISKIPGFPAFAQRITDEGLEYYISGVYVRCMIALARQRQAAMSQLPSLPDGFGMTVAAMQLNTLQQQQHAITQKVLVSKISQEMAYKKAKQLAEQQEPTLPPLGLKAATSQGNEMKISDTGQPPKWKDGAAVVAAAAAPESGGGQQGNVQLAITATAM
+>sp|Q14671|PUM1_HUMAN Pumilio homolog 1 OS=Homo sapiens OX=9606 GN=PUM1 PE=1 SV=3
+MSVACVLKRKAVLWQDSFSPHLKHHPQEPANPNMPVVLTSGTGSQAQPQPAANQALAAGTHSSPVPGSIGVAGRSQDDAMVDYFFQRQHGEQLGGGGSGGGGYNNSKHRWPTGDNIHAEHQVRSMDELNHDFQALALEGRAMGEQLLPGKKFWETDESSKDGPKGIFLGDQWRDSAWGTSDHSVSQPIMVQRRPGQSFHVNSEVNSVLSPRSESGGLGVSMVEYVLSSSPGDSCLRKGGFGPRDADSDENDKGEKKNKGTFDGDKLGDLKEEGDVMDKTNGLPVQNGIDADVKDFSRTPGNCQNSANEVDLLGPNQNGSEGLAQLTSTNGAKPVEDFSNMESQSVPLDPMEHVGMEPLQFDYSGTQVPVDSAAATVGLFDYNSQQQLFQRPNALAVQQLTAAQQQQYALAAAHQPHIGLAPAAFVPNPYIISAAPPGTDPYTAGLAAAATLGPAVVPHQYYGVTPWGVYPASLFQQQAAAAAAATNSANQQTTPQAQQGQQQVLRGGASQRPLTPNQNQQGQQTDPLVAAAAVNSALAFGQGLAAGMPGYPVLAPAAYYDQTGALVVNAGARNGLGAPVRLVAPAPVIISSSAAQAAVAAAAASANGAAGGLAGTTNGPFRPLGTQQPQPQPQQQPNNNLASSSFYGNNSLNSNSQSSSLFSQGSAQPANTSLGFGSSSSLGATLGSALGGFGTAVANSNTGSGSRRDSLTGSSDLYKRTSSSLTPIGHSFYNGLSFSSSPGPVGMPLPSQGPGHSQTPPPSLSSHGSSSSLNLGGLTNGSGRYISAAPGAEAKYRSASSASSLFSPSSTLFSSSRLRYGMSDVMPSGRSRLLEDFRNNRYPNLQLREIAGHIMEFSQDQHGSRFIQLKLERATPAERQLVFNEILQAAYQLMVDVFGNYVIQKFFEFGSLEQKLALAERIRGHVLSLALQMYGCRVIQKALEFIPSDQQNEMVRELDGHVLKCVKDQNGNHVVQKCIECVQPQSLQFIIDAFKGQVFALSTHPYGCRVIQRILEHCLPDQTLPILEELHQHTEQLVQDQYGNYVIQHVLEHGRPEDKSKIVAEIRGNVLVLSQHKFASNVVEKCVTHASRTERAVLIDEVCTMNDGPHSALYTMMKDQYANYVVQKMIDVAEPGQRKIVMHKIRPHIATLRKYTYGKHILAKLEKYYMKNGVDLGPICGPPNGII
+>DECOY_sp|Q14671|PUM1_HUMAN Pumilio homolog 1 OS=Homo sapiens OX=9606 GN=PUM1 PE=1 SV=3
+IIGNPPGCIPGLDVGNKMYYKELKALIHKGYTYKRLTAIHPRIKHMVIKRQGPEAVDIMKQVVYNAYQDKMMTYLASHPGDNMTCVEDILVARETRSAHTVCKEVVNSAFKHQSLVLVNGRIEAVIKSKDEPRGHELVHQIVYNGYQDQVLQETHQHLEELIPLTQDPLCHELIRQIVRCGYPHTSLAFVQGKFADIIFQLSQPQVCEICKQVVHNGNQDKVCKLVHGDLERVMENQQDSPIFELAKQIVRCGYMQLALSLVHGRIREALALKQELSGFEFFKQIVYNGFVDVMLQYAAQLIENFVLQREAPTARELKLQIFRSGHQDQSFEMIHGAIERLQLNPYRNNRFDELLRSRGSPMVDSMGYRLRSSSFLTSSPSFLSSASSASRYKAEAGPAASIYRGSGNTLGGLNLSSSSGHSSLSPPPTQSHGPGQSPLPMGVPGPSSSFSLGNYFSHGIPTLSSSTRKYLDSSGTLSDRRSGSGTNSNAVATGFGGLASGLTAGLSSSSGFGLSTNAPQASGQSFLSSSQSNSNLSNNGYFSSSALNNNPQQQPQPQPQQTGLPRFPGNTTGALGGAAGNASAAAAAVAAQAASSSIIVPAPAVLRVPAGLGNRAGANVVLAGTQDYYAAPALVPYGPMGAALGQGFALASNVAAAAVLPDTQQGQQNQNPTLPRQSAGGRLVQQQGQQAQPTTQQNASNTAAAAAAAQQQFLSAPYVGWPTVGYYQHPVVAPGLTAAAALGATYPDTGPPAASIIYPNPVFAAPALGIHPQHAAALAYQQQQAATLQQVALANPRQFLQQQSNYDFLGVTAAASDVPVQTGSYDFQLPEMGVHEMPDLPVSQSEMNSFDEVPKAGNTSTLQALGESGNQNPGLLDVENASNQCNGPTRSFDKVDADIGNQVPLGNTKDMVDGEEKLDGLKDGDFTGKNKKEGKDNEDSDADRPGFGGKRLCSDGPSSSLVYEVMSVGLGGSESRPSLVSNVESNVHFSQGPRRQVMIPQSVSHDSTGWASDRWQDGLFIGKPGDKSSEDTEWFKKGPLLQEGMARGELALAQFDHNLEDMSRVQHEAHINDGTPWRHKSNNYGGGGSGGGGLQEGHQRQFFYDVMADDQSRGAVGISGPVPSSHTGAALAQNAAPQPQAQSGTGSTLVVPMNPNAPEQPHHKLHPSFSDQWLVAKRKLVCAVSM
+>sp|P16298|PP2BB_HUMAN Serine/threonine-protein phosphatase 2B catalytic subunit beta isoform OS=Homo sapiens OX=9606 GN=PPP3CB PE=1 SV=2
+MAAPEPARAAPPPPPPPPPPPGADRVVKAVPFPPTHRLTSEEVFDLDGIPRVDVLKNHLVKEGRVDEEIALRIINEGAAILRREKTMIEVEAPITVCGDIHGQFFDLMKLFEVGGSPANTRYLFLGDYVDRGYFSIECVLYLWVLKILYPSTLFLLRGNHECRHLTEYFTFKQECKIKYSERVYEACMEAFDSLPLAALLNQQFLCVHGGLSPEIHTLDDIRRLDRFKEPPAFGPMCDLLWSDPSEDFGNEKSQEHFSHNTVRGCSYFYNYPAVCEFLQNNNLLSIIRAHEAQDAGYRMYRKSQTTGFPSLITIFSAPNYLDVYNNKAAVLKYENNVMNIRQFNCSPHPYWLPNFMDVFTWSLPFVGEKVTEMLVNVLSICSDDELMTEGEDQFDGSAAARKEIIRNKIRAIGKMARVFSVLREESESVLTLKGLTPTGMLPSGVLAGGRQTLQSATVEAIEAEKAIRGFSPPHRICSFEEAKGLDRINERMPPRKDAVQQDGFNSLNTAHATENHGTGNHTAQ
+>DECOY_sp|P16298|PP2BB_HUMAN Serine/threonine-protein phosphatase 2B catalytic subunit beta isoform OS=Homo sapiens OX=9606 GN=PPP3CB PE=1 SV=2
+QATHNGTGHNETAHATNLSNFGDQQVADKRPPMRENIRDLGKAEEFSCIRHPPSFGRIAKEAEIAEVTASQLTQRGGALVGSPLMGTPTLGKLTLVSESEERLVSFVRAMKGIARIKNRIIEKRAAASGDFQDEGETMLEDDSCISLVNVLMETVKEGVFPLSWTFVDMFNPLWYPHPSCNFQRINMVNNEYKLVAAKNNYVDLYNPASFITILSPFGTTQSKRYMRYGADQAEHARIISLLNNNQLFECVAPYNYFYSCGRVTNHSFHEQSKENGFDESPDSWLLDCMPGFAPPEKFRDLRRIDDLTHIEPSLGGHVCLFQQNLLAALPLSDFAEMCAEYVRESYKIKCEQKFTFYETLHRCEHNGRLLFLTSPYLIKLVWLYLVCEISFYGRDVYDGLFLYRTNAPSGGVEFLKMLDFFQGHIDGCVTIPAEVEIMTKERRLIAAGENIIRLAIEEDVRGEKVLHNKLVDVRPIGDLDFVEESTLRHTPPFPVAKVVRDAGPPPPPPPPPPPAARAPEPAAM
+>sp|Q9UPN7|PP6R1_HUMAN Serine/threonine-protein phosphatase 6 regulatory subunit 1 OS=Homo sapiens OX=9606 GN=PPP6R1 PE=1 SV=5
+MFWKFDLHTSSHLDTLLEREDLSLPELLDEEDVLQECKVVNRKLLDFLLQPPHLQAMVAWVTQEPPDSGEERLRYKYPSVACEILTSDVPQINDALGADESLLNRLYGFLQSTGSLNPLLASFFSKVMGILINRKTDQLVSFLRKKDDFVDLLLQHIGTSAIMDLLLRLLTCVERPQLRQDVVNWLNEEKIVQRLIEQIHPSKDENQHSNASQSLCDIIRLSREQMIQVQDSPEPDQLLATLEKQETIEQLLSNMFEGEQSQSVIVSGIQVLLTLLEPRRPRSESVTVNSFFSSVDGQLELLAQGALESTVSSVGALHALRPRLSCFHQLLLEPPKLEPLQMTWGMLAPPLGNTRLHVVKLLASALSANDAALTHELLALDVPNTMLDLFFHYVFNNFLHAQVEGCVSTMLSLGPPPDSSPETPIQNPVVKHLLQQCRLVERILTSWEENDRVQCAGGPRKGYMGHLTRVAGALVQNTEKGPNAEQLRQLLKELPSEQQEQWEAFVSGPLAETNKKNMVDLVNTHHLHSSSDDEDDRLKEFNFPEEAVLQQAFMDFQMQRMTSAFIDHFGFNDEEFGEQEESVNAPFDKTANITFSLNADDENPNANLLEICYKDRIQQFDDDEEEEDEEEAQGSGESDGEDGAWQGSQLARGARLGQPPGVRSGGSTDSEDEEEEDEEEEEDEEGIGCAARGGATPLSYPSPGPQPPGPSWTATFDPVPTDAPTSPRVSGEEELHTGPPAPQGPLSVPQGLPTQSLASPPARDALQLRSQDPTPPSAPQEATEGSKVTEPSAPCQALVSIGDLQATFHGIRSAPSSSDSATRDPSTSVPASGAHQPPQTTEGEKSPEPLGLPQSQSAQALTPPPIPNGSAPEGPASPGSQ
+>DECOY_sp|Q9UPN7|PP6R1_HUMAN Serine/threonine-protein phosphatase 6 regulatory subunit 1 OS=Homo sapiens OX=9606 GN=PPP6R1 PE=1 SV=5
+QSGPSAPGEPASGNPIPPPTLAQASQSQPLGLPEPSKEGETTQPPQHAGSAPVSTSPDRTASDSSSPASRIGHFTAQLDGISVLAQCPASPETVKSGETAEQPASPPTPDQSRLQLADRAPPSALSQTPLGQPVSLPGQPAPPGTHLEEEGSVRPSTPADTPVPDFTATWSPGPPQPGPSPYSLPTAGGRAACGIGEEDEEEEEDEEEEDESDTSGGSRVGPPQGLRAGRALQSGQWAGDEGDSEGSGQAEEEDEEEEDDDFQQIRDKYCIELLNANPNEDDANLSFTINATKDFPANVSEEQEGFEEDNFGFHDIFASTMRQMQFDMFAQQLVAEEPFNFEKLRDDEDDSSSHLHHTNVLDVMNKKNTEALPGSVFAEWQEQQESPLEKLLQRLQEANPGKETNQVLAGAVRTLHGMYGKRPGGACQVRDNEEWSTLIREVLRCQQLLHKVVPNQIPTEPSSDPPPGLSLMTSVCGEVQAHLFNNFVYHFFLDLMTNPVDLALLEHTLAADNASLASALLKVVHLRTNGLPPALMGWTMQLPELKPPELLLQHFCSLRPRLAHLAGVSSVTSELAGQALLELQGDVSSFFSNVTVSESRPRRPELLTLLVQIGSVIVSQSQEGEFMNSLLQEITEQKELTALLQDPEPSDQVQIMQERSLRIIDCLSQSANSHQNEDKSPHIQEILRQVIKEENLWNVVDQRLQPREVCTLLRLLLDMIASTGIHQLLLDVFDDKKRLFSVLQDTKRNILIGMVKSFFSALLPNLSGTSQLFGYLRNLLSEDAGLADNIQPVDSTLIECAVSPYKYRLREEGSDPPEQTVWAVMAQLHPPQLLFDLLKRNVVKCEQLVDEEDLLEPLSLDERELLTDLHSSTHLDFKWFM
+>sp|O43447|PPIH_HUMAN Peptidyl-prolyl cis-trans isomerase H OS=Homo sapiens OX=9606 GN=PPIH PE=1 SV=1
+MAVANSSPVNPVVFFDVSIGGQEVGRMKIELFADVVPKTAENFRQFCTGEFRKDGVPIGYKGSTFHRVIKDFMIQGGDFVNGDGTGVASIYRGPFADENFKLRHSAPGLLSMANSGPSTNGCQFFITCSKCDWLDGKHVVFGKIIDGLLVMRKIENVPTGPNNKPKLPVVISQCGEM
+>DECOY_sp|O43447|PPIH_HUMAN Peptidyl-prolyl cis-trans isomerase H OS=Homo sapiens OX=9606 GN=PPIH PE=1 SV=1
+MEGCQSIVVPLKPKNNPGTPVNEIKRMVLLGDIIKGFVVHKGDLWDCKSCTIFFQCGNTSPGSNAMSLLGPASHRLKFNEDAFPGRYISAVGTGDGNVFDGGQIMFDKIVRHFTSGKYGIPVGDKRFEGTCFQRFNEATKPVVDAFLEIKMRGVEQGGISVDFFVVPNVPSSNAVAM
+>sp|Q9H2H8|PPIL3_HUMAN Peptidyl-prolyl cis-trans isomerase-like 3 OS=Homo sapiens OX=9606 GN=PPIL3 PE=1 SV=1
+MSVTLHTDVGDIKIEVFCERTPKTCENFLALCASNYYNGCIFHRNIKGFMVQTGDPTGTGRGGNSIWGKKFEDEYSEYLKHNVRGVVSMANNGPNTNGSQFFITYGKQPHLDMKYTVFGKVIDGLETLDELEKLPVNEKTYRPLNDVHIKDITIHANPFAQ
+>DECOY_sp|Q9H2H8|PPIL3_HUMAN Peptidyl-prolyl cis-trans isomerase-like 3 OS=Homo sapiens OX=9606 GN=PPIL3 PE=1 SV=1
+QAFPNAHITIDKIHVDNLPRYTKENVPLKELEDLTELGDIVKGFVTYKMDLHPQKGYTIFFQSGNTNPGNNAMSVVGRVNHKLYESYEDEFKKGWISNGGRGTGTPDGTQVMFGKINRHFICGNYYNSACLALFNECTKPTRECFVEIKIDGVDTHLTVSM
+>sp|P53041|PPP5_HUMAN Serine/threonine-protein phosphatase 5 OS=Homo sapiens OX=9606 GN=PPP5C PE=1 SV=1
+MAMAEGERTECAEPPRDEPPADGALKRAEELKTQANDYFKAKDYENAIKFYSQAIELNPSNAIYYGNRSLAYLRTECYGYALGDATRAIELDKKYIKGYYRRAASNMALGKFRAALRDYETVVKVKPHDKDAKMKYQECNKIVKQKAFERAIAGDEHKRSVVDSLDIESMTIEDEYSGPKLEDGKVTISFMKELMQWYKDQKKLHRKCAYQILVQVKEVLSKLSTLVETTLKETEKITVCGDTHGQFYDLLNIFELNGLPSETNPYIFNGDFVDRGSFSVEVILTLFGFKLLYPDHFHLLRGNHETDNMNQIYGFEGEVKAKYTAQMYELFSEVFEWLPLAQCINGKVLIMHGGLFSEDGVTLDDIRKIERNRQPPDSGPMCDLLWSDPQPQNGRSISKRGVSCQFGPDVTKAFLEENNLDYIIRSHEVKAEGYEVAHGGRCVTVFSAPNYCDQMGNKASYIHLQGSDLRPQFHQFTAVPHPNVKPMAYANTLLQLGMM
+>DECOY_sp|P53041|PPP5_HUMAN Serine/threonine-protein phosphatase 5 OS=Homo sapiens OX=9606 GN=PPP5C PE=1 SV=1
+MMGLQLLTNAYAMPKVNPHPVATFQHFQPRLDSGQLHIYSAKNGMQDCYNPASFVTVCRGGHAVEYGEAKVEHSRIIYDLNNEELFAKTVDPGFQCSVGRKSISRGNQPQPDSWLLDCMPGSDPPQRNREIKRIDDLTVGDESFLGGHMILVKGNICQALPLWEFVESFLEYMQATYKAKVEGEFGYIQNMNDTEHNGRLLHFHDPYLLKFGFLTLIVEVSFSGRDVFDGNFIYPNTESPLGNLEFINLLDYFQGHTDGCVTIKETEKLTTEVLTSLKSLVEKVQVLIQYACKRHLKKQDKYWQMLEKMFSITVKGDELKPGSYEDEITMSEIDLSDVVSRKHEDGAIAREFAKQKVIKNCEQYKMKADKDHPKVKVVTEYDRLAARFKGLAMNSAARRYYGKIYKKDLEIARTADGLAYGYCETRLYALSRNGYYIANSPNLEIAQSYFKIANEYDKAKFYDNAQTKLEEARKLAGDAPPEDRPPEACETREGEAMAM
+>sp|Q96LQ0|PPR36_HUMAN Protein phosphatase 1 regulatory subunit 36 OS=Homo sapiens OX=9606 GN=PPP1R36 PE=1 SV=1
+MYRVPEFYARRKRLGGQTPYLMDQLGLRLGMWYWKDETRTLEFRRFAAEDSVQWLLKHHPHFTPAAEVKEKGKKGKAVHFAETDGPASDRLTDKRLAAKDDKSAKAVEKRGQQGTITLDDVKFVTLLLLQDTEMQRICSFTTFMRNKNLDNFLMALLYYLSHYLEKNSLEKKPKSYMVGLVEKKEMELVLSELEAAQRYLAQKYCILVLGLAVPDKHHMCCGKEKISDTQKDWKFFESFYTFCTYVAWIVFRRQHLTEIEEEVGRLFRTNMFNIPRRRREDEESGGEKKRMTFVQFRRMMAKRPAIKKAINMRSPVMSTLLPSLREKAQNVFEKKYHQVDVRFPAEMQKHVGTLDSVPMPVVGILGEPRCLFNPHTLHPLDPEENTKSFGRYPSLMENNNMRIQDTLDLVMKTLSSHTSCPK
+>DECOY_sp|Q96LQ0|PPR36_HUMAN Protein phosphatase 1 regulatory subunit 36 OS=Homo sapiens OX=9606 GN=PPP1R36 PE=1 SV=1
+KPCSTHSSLTKMVLDLTDQIRMNNNEMLSPYRGFSKTNEEPDLPHLTHPNFLCRPEGLIGVVPMPVSDLTGVHKQMEAPFRVDVQHYKKEFVNQAKERLSPLLTSMVPSRMNIAKKIAPRKAMMRRFQVFTMRKKEGGSEEDERRRRPINFMNTRFLRGVEEEIETLHQRRFVIWAVYTCFTYFSEFFKWDKQTDSIKEKGCCMHHKDPVALGLVLICYKQALYRQAAELESLVLEMEKKEVLGVMYSKPKKELSNKELYHSLYYLLAMLFNDLNKNRMFTTFSCIRQMETDQLLLLTVFKVDDLTITGQQGRKEVAKASKDDKAALRKDTLRDSAPGDTEAFHVAKGKKGKEKVEAAPTFHPHHKLLWQVSDEAAFRRFELTRTEDKWYWMGLRLGLQDMLYPTQGGLRKRRAYFEPVRYM
+>sp|Q8N755|PQLC3_HUMAN PQ-loop repeat-containing protein 3 OS=Homo sapiens OX=9606 GN=PQLC3 PE=2 SV=1
+MEAALLGLCNWSTLGVCAALKLPQISAVLAARSARGLSLPSLLLELAGFLVFLRYQCYYGYPPLTYLEYPILIAQDVILLLCIFHFNGNVKQATPYIAVLVSSWFILALQKWIIDLAMNLCTFISAASKFAQLQCLWKTRDSGTVSALTWSLSSYTCATRIITTLMTTNDFTILLRFVIMLALNIWVTVTVLRYRKTAIKAE
+>DECOY_sp|Q8N755|PQLC3_HUMAN PQ-loop repeat-containing protein 3 OS=Homo sapiens OX=9606 GN=PQLC3 PE=2 SV=1
+EAKIATKRYRLVTVTVWINLALMIVFRLLITFDNTTMLTTIIRTACTYSSLSWTLASVTGSDRTKWLCQLQAFKSAASIFTCLNMALDIIWKQLALIFWSSVLVAIYPTAQKVNGNFHFICLLLIVDQAILIPYELYTLPPYGYYCQYRLFVLFGALELLLSPLSLGRASRAALVASIQPLKLAACVGLTSWNCLGLLAAEM
+>sp|O75807|PR15A_HUMAN Protein phosphatase 1 regulatory subunit 15A OS=Homo sapiens OX=9606 GN=PPP1R15A PE=1 SV=1
+MAPGQAPHQATPWRDAHPFFLLSPVMGLLSRAWSRLRGLGPLEPWLVEAVKGAALVEAGLEGEARTPLAIPHTPWGRRPEEEAEDSGGPGEDRETLGLKTSSSLPEAWGLLDDDDGMYGEREATSVPRGQGSQFADGQRAPLSPSLLIRTLQGSDKNPGEEKAEEEGVAEEEGVNKFSYPPSHRECCPAVEEEDDEEAVKKEAHRTSTSALSPGSKPSTWVSCPGEEENQATEDKRTERSKGARKTSVSPRSSGSDPRSWEYRSGEASEEKEEKAHKETGKGEAAPGPQSSAPAQRPQLKSWWCQPSDEEEGEVKALGAAEKDGEAECPPCIPPPSAFLKAWVYWPGEDTEEEEDEEEDEDSDSGSDEEEGEAEASSSTPATGVFLKSWVYQPGEDTEEEEDEDSDTGSAEDEREAETSASTPPASAFLKAWVYRPGEDTEEEEDEDVDSEDKEDDSEAALGEAESDPHPSHPDQRAHFRGWGYRPGKETEEEEAAEDWGEAEPCPFRVAIYVPGEKPPPPWAPPRLPLRLQRRLKRPETPTHDPDPETPLKARKVRFSEKVTVHFLAVWAGPAQAARQGPWEQLARDRSRFARRITQAQEELSPCLTPAARARAWARLRNPPLAPIPALTQTLPSSSVPSSPVQTTPLSQAVATPSRSSAAAAAALDLSGRRG
+>DECOY_sp|O75807|PR15A_HUMAN Protein phosphatase 1 regulatory subunit 15A OS=Homo sapiens OX=9606 GN=PPP1R15A PE=1 SV=1
+GRRGSLDLAAAAAASSRSPTAVAQSLPTTQVPSSPVSSSPLTQTLAPIPALPPNRLRAWARARAAPTLCPSLEEQAQTIRRAFRSRDRALQEWPGQRAAQAPGAWVALFHVTVKESFRVKRAKLPTEPDPDHTPTEPRKLRRQLRLPLRPPAWPPPPKEGPVYIAVRFPCPEAEGWDEAAEEEETEKGPRYGWGRFHARQDPHSPHPDSEAEGLAAESDDEKDESDVDEDEEEETDEGPRYVWAKLFASAPPTSASTEAEREDEASGTDSDEDEEEETDEGPQYVWSKLFVGTAPTSSSAEAEGEEEDSGSDSDEDEEEDEEEETDEGPWYVWAKLFASPPPICPPCEAEGDKEAAGLAKVEGEEEDSPQCWWSKLQPRQAPASSQPGPAAEGKGTEKHAKEEKEESAEGSRYEWSRPDSGSSRPSVSTKRAGKSRETRKDETAQNEEEGPCSVWTSPKSGPSLASTSTRHAEKKVAEEDDEEEVAPCCERHSPPYSFKNVGEEEAVGEEEAKEEGPNKDSGQLTRILLSPSLPARQGDAFQSGQGRPVSTAEREGYMGDDDDLLGWAEPLSSSTKLGLTERDEGPGGSDEAEEEPRRGWPTHPIALPTRAEGELGAEVLAAGKVAEVLWPELPGLGRLRSWARSLLGMVPSLLFFPHADRWPTAQHPAQGPAM
+>sp|P86479|PR20C_HUMAN Proline-rich protein 20C OS=Homo sapiens OX=9606 GN=PRR20C PE=1 SV=1
+MEEPRPSKRLRSMAPNQASGGPPPEPGCCVADPEGSVEADGPAQPAQPAKPIAYVKPFRRQPPARPESPPPAERGRRRGGSRRPGRGRGRRAGPRGDAGQRQGAEGLMAPDVHIQLDHHGEPGHQGEPEITETAAFSLSETGPPPGTVQEGPGPDVAQPELGFQEPPAAPGPQAVDWQPVLTLYPCIGFRALGDSAVLQVIQTPQGTYVQGVPVFLTDIAY
+>DECOY_sp|P86479|PR20C_HUMAN Proline-rich protein 20C OS=Homo sapiens OX=9606 GN=PRR20C PE=1 SV=1
+YAIDTLFVPVGQVYTGQPTQIVQLVASDGLARFGICPYLTLVPQWDVAQPGPAAPPEQFGLEPQAVDPGPGEQVTGPPPGTESLSFAATETIEPEGQHGPEGHHDLQIHVDPAMLGEAGQRQGADGRPGARRGRGRGPRRSGGRRRGREAPPPSEPRAPPQRRFPKVYAIPKAPQAPQAPGDAEVSGEPDAVCCGPEPPPGGSAQNPAMSRLRKSPRPEEM
+>sp|O60809|PRA10_HUMAN PRAME family member 10 OS=Homo sapiens OX=9606 GN=PRAMEF10 PE=2 SV=4
+MSLQAPSRLLELAGQSLLRNQFLTIFTLDELPREVFPLMFMEAFSMRRFEALKLMVQAWPFLRLPLGSLMKTPHLETLQAVLRGLDTLVAQKVRPRRWKLQVLDLRDVDENFWTIWSGARVLSCSPEAMSKRQTVEDCPRMGERQPLKVFIDLCLKESTLDECLSYLFGWIHYRRGLVHLCCSKVQNYSMPTSSFRNLLERIYPDSIQELEVWKKCSLNKTGKFAPYLSQMSNLRELFLAFGYERELYVSVQWPCIPDLDSPFLCLYYPQMLYIKKISNIKEHLEHLLRYLKNPLGAFIFSDAYLTDRDMECLSQYPSLSQLKELRLIHILMWTTNLEPLGVLLEKVAATLKTLVLKDCRIQDPQLRVLLPALSHCSQLTTFNFHGNETSMNALKDLLRHTRGLSKLGLELYPAPLESLDYKGHVNWEILTPIRAELMRTLREVRQPKRIFFGPVPCPNCGSWPSEKVDFHLCS
+>DECOY_sp|O60809|PRA10_HUMAN PRAME family member 10 OS=Homo sapiens OX=9606 GN=PRAMEF10 PE=2 SV=4
+SCLHFDVKESPWSGCNPCPVPGFFIRKPQRVERLTRMLEARIPTLIEWNVHGKYDLSELPAPYLELGLKSLGRTHRLLDKLANMSTENGHFNFTTLQSCHSLAPLLVRLQPDQIRCDKLVLTKLTAAVKELLVGLPELNTTWMLIHILRLEKLQSLSPYQSLCEMDRDTLYADSFIFAGLPNKLYRLLHELHEKINSIKKIYLMQPYYLCLFPSDLDPICPWQVSVYLEREYGFALFLERLNSMQSLYPAFKGTKNLSCKKWVELEQISDPYIRELLNRFSSTPMSYNQVKSCCLHVLGRRYHIWGFLYSLCEDLTSEKLCLDIFVKLPQREGMRPCDEVTQRKSMAEPSCSLVRAGSWITWFNEDVDRLDLVQLKWRRPRVKQAVLTDLGRLVAQLTELHPTKMLSGLPLRLFPWAQVMLKLAEFRRMSFAEMFMLPFVERPLEDLTFITLFQNRLLSQGALELLRSPAQLSM
+>sp|Q5SWL7|PRA14_HUMAN PRAME family member 14 OS=Homo sapiens OX=9606 GN=PRAMEF14 PE=3 SV=1
+MSIQAPPRLLELAGQSLLRDQALSISAMEELPRVLYLPLFMEAFRRRHFQTLTVMVQAWPFTCLPLGSLMKTLHLETLKALLEGLHMLLTQKDRPRRWKLQVLDLRDVDENFWARWPGAWALSCFPETMSKRQTAEDCPRMGEHQPLKVFIDICLKEIPQDECLRYLFQWVYQRRGLVHLCCSKLVNYLTPIKHLRKSLKIIYLNSIQQLEIRNMSWPRLIRKLRCYLKEMKNLRKLVFSRCLQNPLENLELTYGYLLEEDMKCLSQYPSLGYLKHLNLSYVLLFRISLEPLGALLEKIAASLETLILEGCQIHYSQLSAILPGLSHCSQLTTFYFGRNCMSMGALKDLLCHTSGLSKLSLETYPAPEESLNSLVRVDWEIFALLRAELMCTLREVRQPKRIFIGPTPCPSCGSSPSEELELHLCC
+>DECOY_sp|Q5SWL7|PRA14_HUMAN PRAME family member 14 OS=Homo sapiens OX=9606 GN=PRAMEF14 PE=3 SV=1
+CCLHLELEESPSSGCSPCPTPGIFIRKPQRVERLTCMLEARLLAFIEWDVRVLSNLSEEPAPYTELSLKSLGSTHCLLDKLAGMSMCNRGFYFTTLQSCHSLGPLIASLQSYHIQCGELILTELSAAIKELLAGLPELSIRFLLVYSLNLHKLYGLSPYQSLCKMDEELLYGYTLELNELPNQLCRSFVLKRLNKMEKLYCRLKRILRPWSMNRIELQQISNLYIIKLSKRLHKIPTLYNVLKSCCLHVLGRRQYVWQFLYRLCEDQPIEKLCIDIFVKLPQHEGMRPCDEATQRKSMTEPFCSLAWAGPWRAWFNEDVDRLDLVQLKWRRPRDKQTLLMHLGELLAKLTELHLTKMLSGLPLCTFPWAQVMVTLTQFHRRRFAEMFLPLYLVRPLEEMASISLAQDRLLSQGALELLRPPAQISM
+>sp|Q5VWM3|PRA18_HUMAN PRAME family member 18 OS=Homo sapiens OX=9606 GN=PRAMEF18 PE=3 SV=2
+MSFQAPRRLLELAGQSLLRDQALAISVLDELPRELFPPLFVEAFTSRRCEVLKVMVQAWPFPCLPLGSLMKTPDLEILHYVVDGIDCLLAQKVRPRRWKLQVLEMRDVDENFWTIWSGARLLSCSPEAMSKRQTVEDCPRTGEKQPLKVFMDVCLKEKFMDEDLSFFSGWVQHRRGSVHLCCTKVVNYSMSILNFRNILETVYPDSIQVLEIWNMCWLCMIVEFSRYLSQMRNLRKLFISDGCRYLLSSDSQEQLVAEFSSVLLRLENLQMLYVRRVCFFRGHLDQLIRCLRSPLETLALTYGFLEEEDLKCLPRYPSLSQLKQLNLSHGALRFIRLEPLRALLEKVAATLQTLFLVDCGIGYSKLRVILPALSRCSNLTTFCFHGNDTSMDALKDLLRHTGRLSNLSLETYPAPRESLDNRGRVILELLTPLQAELMRILREVREPKRIFFGPVSCPCCGTSPTEQLESNFCLWGRPA
+>DECOY_sp|Q5VWM3|PRA18_HUMAN PRAME family member 18 OS=Homo sapiens OX=9606 GN=PRAMEF18 PE=3 SV=2
+APRGWLCFNSELQETPSTGCCPCSVPGFFIRKPERVERLIRMLEAQLPTLLELIVRGRNDLSERPAPYTELSLNSLRGTHRLLDKLADMSTDNGHFCFTTLNSCRSLAPLIVRLKSYGIGCDVLFLTQLTAAVKELLARLPELRIFRLAGHSLNLQKLQSLSPYRPLCKLDEEELFGYTLALTELPSRLCRILQDLHGRFFCVRRVYLMQLNELRLLVSSFEAVLQEQSDSSLLYRCGDSIFLKRLNRMQSLYRSFEVIMCLWCMNWIELVQISDPYVTELINRFNLISMSYNVVKTCCLHVSGRRHQVWGSFFSLDEDMFKEKLCVDMFVKLPQKEGTRPCDEVTQRKSMAEPSCSLLRAGSWITWFNEDVDRMELVQLKWRRPRVKQALLCDIGDVVYHLIELDPTKMLSGLPLCPFPWAQVMVKLVECRRSTFAEVFLPPFLERPLEDLVSIALAQDRLLSQGALELLRRPAQFSM
+>sp|Q86YV5|PRAG1_HUMAN Tyrosine-protein kinase PRAG1 OS=Homo sapiens OX=9606 GN=PRAG1 PE=1 SV=4
+MHQTLCLNPESLKMSACSDFVEHIWKPGSCKNCFCLRSDHQLVAGPPQPRAGSLPPPPRLPPRPENCRLEDEGVNSSPYSKPTIAVKPTMMSSEASDVWTEANLSAEVSQVIWRRAPGKLPLPKQEDAPVVYLGSFRGVQKPAGPSTSPDGNSRCPPAYTMVGLHNLEPRGERNIAFHPVSFPEEKAVHKEKPSFPYQDRPSTQESFRQKLAAFAGTTSGCHQGPGPLRESLPSEDDSDQRCSPSGDSEGGEYCSILDCCPGSPVAKAASQTAGSRGRHGGRDCSPTCWEQGKCSGPAEQEKRGPSFPKECCSQGPTAHPSCLGPKKLSLTSEAAISSDGLSCGSGSGSGSGASSPFVPHLESDYCSLMKEPAPEKQQDPGCPGVTPSRCLGLTGEPQPPAHPREATQPEPIYAESTKRKKAAPVPSKSQAKIEHAAAAQGQGQVCTGNAWAQKAASGWGRDSPDPTPQVSATITVMAAHPEEDHRTIYLSSPDSAVGVQWPRGPVSQNSEVGEEETSAGQGLSSRESHAHSASESKPKERPAIPPKLSKSSPVGSPVSPSAGGPPVSPLADLSDGSSGGSSIGPQPPSQGPADPAPSCRTNGVAISDPSRCPQPAASSASEQRRPRFQAGTWSRQCRIEEEEEVEQELLSHSWGRETKNGPTDHSNSTTWHRLHPTDGSSGQNSKVGTGMSKSASFAFEFPKDRSGIETFSPPPPPPKSRHLLKMNKSSSDLEKVSQGSAESLSPSFRGVHVSFTTGSTDSLASDSRTCSDGGPSSELAHSPTNSGKKLFAPVPFPSGSTEDVSPSGPQQPPPLPQKKIVSRAASSPDGFFWTQGSPKPGTASPKLNLSHSETNVHDESHFSYSLSPGNRHHPVFSSSDPLEKAFKGSGHWLPAAGLAGNRGGCGSPGLQCKGAPSASSSQLSVSSQASTGSTQLQLHGLLSNISSKEGTYAKLGGLYTQSLARLVAKCEDLFMGGQKKELHFNENNWSLFKLTCNKPCCDSGDAIYYCATCSEDPGSTYAVKICKAPEPKTVSYCSPSVPVHFNIQQDCGHFVASVPSSMLSSPDAPKDPVPALPTHPPAQEQDCVVVITREVPHQTASDFVRDSAASHQAEPEAYERRVCFLLLQLCNGLEHLKEHGIIHRDLCLENLLLVHCTLQAGPGPAPAPAPAPAPAAAAPPCSSAAPPAGGTLSPAAGPASPEGPREKQLPRLIISNFLKAKQKPGGTPNLQQKKSQARLAPEIVSASQYRKFDEFQTGILIYELLHQPNPFEVRAQLRERDYRQEDLPPLPALSLYSPGLQQLAHLLLEADPIKRIRIGEAKRVLQCLLWGPRRELVQQPGTSEEALCGTLHNWIDMKRALMMMKFAEKAVDRRRGVELEDWLCCQYLASAEPGALLQSLKLLQLL
+>DECOY_sp|Q86YV5|PRAG1_HUMAN Tyrosine-protein kinase PRAG1 OS=Homo sapiens OX=9606 GN=PRAG1 PE=1 SV=4
+LLQLLKLSQLLAGPEASALYQCCLWDELEVGRRRDVAKEAFKMMMLARKMDIWNHLTGCLAEESTGPQQVLERRPGWLLCQLVRKAEGIRIRKIPDAELLLHALQQLGPSYLSLAPLPPLDEQRYDRERLQARVEFPNPQHLLEYILIGTQFEDFKRYQSASVIEPALRAQSKKQQLNPTGGPKQKAKLFNSIILRPLQKERPGEPSAPGAAPSLTGGAPPAASSCPPAAAAPAPAPAPAPAPGPGAQLTCHVLLLNELCLDRHIIGHEKLHELGNCLQLLLFCVRREYAEPEAQHSAASDRVFDSATQHPVERTIVVVCDQEQAPPHTPLAPVPDKPADPSSLMSSPVSAVFHGCDQQINFHVPVSPSCYSVTKPEPAKCIKVAYTSGPDESCTACYYIADGSDCCPKNCTLKFLSWNNENFHLEKKQGGMFLDECKAVLRALSQTYLGGLKAYTGEKSSINSLLGHLQLQTSGTSAQSSVSLQSSSASPAGKCQLGPSGCGGRNGALGAAPLWHGSGKFAKELPDSSSFVPHHRNGPSLSYSFHSEDHVNTESHSLNLKPSATGPKPSGQTWFFGDPSSAARSVIKKQPLPPPQQPGSPSVDETSGSPFPVPAFLKKGSNTPSHALESSPGGDSCTRSDSALSDTSGTTFSVHVGRFSPSLSEASGQSVKELDSSSKNMKLLHRSKPPPPPPSFTEIGSRDKPFEFAFSASKSMGTGVKSNQGSSGDTPHLRHWTTSNSHDTPGNKTERGWSHSLLEQEVEEEEEIRCQRSWTGAQFRPRRQESASSAAPQPCRSPDSIAVGNTRCSPAPDAPGQSPPQPGISSGGSSGDSLDALPSVPPGGASPSVPSGVPSSKSLKPPIAPREKPKSESASHAHSERSSLGQGASTEEEGVESNQSVPGRPWQVGVASDPSSLYITRHDEEPHAAMVTITASVQPTPDPSDRGWGSAAKQAWANGTCVQGQGQAAAAHEIKAQSKSPVPAAKKRKTSEAYIPEPQTAERPHAPPQPEGTLGLCRSPTVGPCGPDQQKEPAPEKMLSCYDSELHPVFPSSAGSGSGSGSGCSLGDSSIAAESTLSLKKPGLCSPHATPGQSCCEKPFSPGRKEQEAPGSCKGQEWCTPSCDRGGHRGRSGATQSAAKAVPSGPCCDLISCYEGGESDGSPSCRQDSDDESPLSERLPGPGQHCGSTTGAFAALKQRFSEQTSPRDQYPFSPKEKHVAKEEPFSVPHFAINREGRPELNHLGVMTYAPPCRSNGDPSTSPGAPKQVGRFSGLYVVPADEQKPLPLKGPARRWIVQSVEASLNAETWVDSAESSMMTPKVAITPKSYPSSNVGEDELRCNEPRPPLRPPPPLSGARPQPPGAVLQHDSRLCFCNKCSGPKWIHEVFDSCASMKLSEPNLCLTQHM
+>sp|O95521|PRAM1_HUMAN PRAME family member 1 OS=Homo sapiens OX=9606 GN=PRAMEF1 PE=2 SV=3
+MSIQAPPRLLELAGQSLLRDQALSISAMEELPRVLYLPLFMEAFSRRHFQTLTVMVQAWPFTCLPLGSLMKTLHLETLKALLEGLHMLLTQKDRPRRWKLQVLDLRDVDENFWARWPGAWALSCFPETTSKRQTAEDCPRMGEHQPLKVFIDICLKEIPQDECLRYLFQWVYQRRGLVHLCCSKLVNYLTPIKYLRKSLKIIYLNSIQELEIRNMSWPRLIRKLRCYLKEMKNLRKLVFSRCHHYTSDNELEGRLVAKFSSVFLRLEHLQLLKIKLITFFSGHLEQLIRCLQNPLENLELTYGYLLEEDMKCLSQYPSLGYLKHLNLSYVLLFRISLEPLGALLEKIAASLKTLILEGCQIHYSQLSAILPGLSRCSQLTTFYFGRNCMSIDALKDLLRHTSGLSKLSLETYPAPEESLNSLVRVNWEIFTPLRAELMCTLREVRQPKRIFIGPTPCPSCGSSPSEELELHLCC
+>DECOY_sp|O95521|PRAM1_HUMAN PRAME family member 1 OS=Homo sapiens OX=9606 GN=PRAMEF1 PE=2 SV=3
+CCLHLELEESPSSGCSPCPTPGIFIRKPQRVERLTCMLEARLPTFIEWNVRVLSNLSEEPAPYTELSLKSLGSTHRLLDKLADISMCNRGFYFTTLQSCRSLGPLIASLQSYHIQCGELILTKLSAAIKELLAGLPELSIRFLLVYSLNLHKLYGLSPYQSLCKMDEELLYGYTLELNELPNQLCRILQELHGSFFTILKIKLLQLHELRLFVSSFKAVLRGELENDSTYHHCRSFVLKRLNKMEKLYCRLKRILRPWSMNRIELEQISNLYIIKLSKRLYKIPTLYNVLKSCCLHVLGRRQYVWQFLYRLCEDQPIEKLCIDIFVKLPQHEGMRPCDEATQRKSTTEPFCSLAWAGPWRAWFNEDVDRLDLVQLKWRRPRDKQTLLMHLGELLAKLTELHLTKMLSGLPLCTFPWAQVMVTLTQFHRRSFAEMFLPLYLVRPLEEMASISLAQDRLLSQGALELLRPPAQISM
+>sp|O60810|PRAM4_HUMAN PRAME family member 4 OS=Homo sapiens OX=9606 GN=PRAMEF4 PE=2 SV=5
+MKMSIWTPPRLLELAGRSLLRDQALAMSTLEELPTELFPPLFMEAFSRRRCEALKLMVQSWPFRRLPLRPLIKMPCLEAFQAVLDGLDALLNLGVRPRRWKLQVLDLQDVCENFWMVWSEAMAHGCFLNAKRNKKPVEDCPRMKGRQPLTVFVELWLKNRTLDEYLTCLLLWVKQRKDLLHLCCKKLKILGMPFRNIRSILKMVNLDCIQEVEVNCKWVLPILTQFTPYLGHMRNLQKLILSHMDVSRYVSPEQKKEIVTQFTTQFLKLRCLQKLYMNSVSFLEGHLDQLLSCLKTSLKFLTITNCVLLESDLKHLSQCPSISQLKTLDLSGIRLTNYSLVPLQILLEKVAATLEYLDLDDCGIIDSQVNAILPALSRCFELNTFSFCGNPICMATLENLLSHTIILKNLCVELYPAPRESYGADGTLCWSRFAQIRAELMNRVRDLRHPKRILFCTDYCPDCGNRSFYDLEADQYCC
+>DECOY_sp|O60810|PRAM4_HUMAN PRAME family member 4 OS=Homo sapiens OX=9606 GN=PRAMEF4 PE=2 SV=5
+CCYQDAELDYFSRNGCDPCYDTCFLIRKPHRLDRVRNMLEARIQAFRSWCLTGDAGYSERPAPYLEVCLNKLIITHSLLNELTAMCIPNGCFSFTNLEFCRSLAPLIANVQSDIIGCDDLDLYELTAAVKELLIQLPVLSYNTLRIGSLDLTKLQSISPCQSLHKLDSELLVCNTITLFKLSTKLCSLLQDLHGELFSVSNMYLKQLCRLKLFQTTFQTVIEKKQEPSVYRSVDMHSLILKQLNRMHGLYPTFQTLIPLVWKCNVEVEQICDLNVMKLISRINRFPMGLIKLKKCCLHLLDKRQKVWLLLCTLYEDLTRNKLWLEVFVTLPQRGKMRPCDEVPKKNRKANLFCGHAMAESWVMWFNECVDQLDLVQLKWRRPRVGLNLLADLGDLVAQFAELCPMKILPRLPLRRFPWSQVMLKLAECRRRSFAEMFLPPFLETPLEELTSMALAQDRLLSRGALELLRPPTWISMKM
+>sp|Q5VXH5|PRAM7_HUMAN PRAME family member 7 OS=Homo sapiens OX=9606 GN=PRAMEF7 PE=2 SV=2
+MSIRAPPRLLELARQRLLRDQALAISTMEELPRELFPTLFMEAFSRRRCETLKTMVQAWPFTRLPLGSLMKSPHLESLKSVLEGVDVLLTQEVRPRQSKLQVLDLRNVDENFCDIFSGATASFPEALSQKQTADNCPGTGRQQPFMVFIDLCLKNRTLDECLTHLLEWGKQRKGLLHVCCKELQVFGMPIHSIIEVLNMVELDCIQEVEVCCPWELSTLVKFAPYLGQMRNLRKLVLFNIRASACIPPDNKGQFIARFTSQFLKLDYFQNLSMHSVSFLEGHLDQLLRCLQASLEMVVMTDCLLSESDLKHLSWCPSIRQLKELDLRGVTLTHFSPEPLTGLLEQAVATLQTLDLEDCGIMDSQLSAILPVLSRCSQLSTFSFCGNLISMAALENLLRHTVGLSKLSLELYPAPLESYDTQGALCWGRFAELGAELMNTLRDLRQPKIIVFCTVPCPRCGIRASYDLEPSHCLC
+>DECOY_sp|Q5VXH5|PRAM7_HUMAN PRAME family member 7 OS=Homo sapiens OX=9606 GN=PRAMEF7 PE=2 SV=2
+CLCHSPELDYSARIGCRPCPVTCFVIIKPQRLDRLTNMLEAGLEAFRGWCLAGQTDYSELPAPYLELSLKSLGVTHRLLNELAAMSILNGCFSFTSLQSCRSLVPLIASLQSDMIGCDELDLTQLTAVAQELLGTLPEPSFHTLTVGRLDLEKLQRISPCWSLHKLDSESLLCDTMVVMELSAQLCRLLQDLHGELFSVSHMSLNQFYDLKLFQSTFRAIFQGKNDPPICASARINFLVLKRLNRMQGLYPAFKVLTSLEWPCCVEVEQICDLEVMNLVEIISHIPMGFVQLEKCCVHLLGKRQKGWELLHTLCEDLTRNKLCLDIFVMFPQQRGTGPCNDATQKQSLAEPFSATAGSFIDCFNEDVNRLDLVQLKSQRPRVEQTLLVDVGELVSKLSELHPSKMLSGLPLRTFPWAQVMTKLTECRRRSFAEMFLTPFLERPLEEMTSIALAQDRLLRQRALELLRPPARISM
+>sp|Q5VWM5|PRAM9_HUMAN PRAME family member 9/15 OS=Homo sapiens OX=9606 GN=PRAMEF9 PE=2 SV=1
+MKMSIRTPPRLLELAGRSLLRDQALAMSTLEELPTELFPPLFMEAFSRRRCEALKLMVQAWPFRRLPLRPLIKMPCLEAFQAVLDGLDALLTQGVRPRRWKLQVLDLQDVCENFWMVWSEAMAHGCFLNAKRNKKPVQDCPRMRGRQPLTVFVELWLKNRTLDEYLTYLLLWVKQRKDLLHLCCKKLKILGMPFRNIRSILKMVNLDCIQEVEVNCKWVLPILTQFTPYLGHMRNLQKLVLSHMDVSRYVSPEQKKEIVTQFTTQFLKLRCLQKLYMNSVSFLEGHLDQLLSCLKTSLKVLTITNCVLLESDLKHLSQCPSISQLKTLDLSGIRLTNYSLVPLQILLEKVAATLEYLDLDDCGIIDSQVNAILPALSRCFELNTFSFCGNPICMATLENLLSHTIILKNLCVELYPAPRESYGADGTLCWSRFAQIRAELMNRVRDLRHPKRILFCTDYCPDCGNRSFYDLEADQYCC
+>DECOY_sp|Q5VWM5|PRAM9_HUMAN PRAME family member 9/15 OS=Homo sapiens OX=9606 GN=PRAMEF9 PE=2 SV=1
+CCYQDAELDYFSRNGCDPCYDTCFLIRKPHRLDRVRNMLEARIQAFRSWCLTGDAGYSERPAPYLEVCLNKLIITHSLLNELTAMCIPNGCFSFTNLEFCRSLAPLIANVQSDIIGCDDLDLYELTAAVKELLIQLPVLSYNTLRIGSLDLTKLQSISPCQSLHKLDSELLVCNTITLVKLSTKLCSLLQDLHGELFSVSNMYLKQLCRLKLFQTTFQTVIEKKQEPSVYRSVDMHSLVLKQLNRMHGLYPTFQTLIPLVWKCNVEVEQICDLNVMKLISRINRFPMGLIKLKKCCLHLLDKRQKVWLLLYTLYEDLTRNKLWLEVFVTLPQRGRMRPCDQVPKKNRKANLFCGHAMAESWVMWFNECVDQLDLVQLKWRRPRVGQTLLADLGDLVAQFAELCPMKILPRLPLRRFPWAQVMLKLAECRRRSFAEMFLPPFLETPLEELTSMALAQDRLLSRGALELLRPPTRISMKM
+>sp|Q96QH2|PRAM_HUMAN PML-RARA-regulated adapter molecule 1 OS=Homo sapiens OX=9606 GN=PRAM1 PE=1 SV=3
+MAHHLPAAMESHQDFRSIKAKFQASQPEPSDLPKKPPKPEFGKLKKFSQPELSEHPKKAPLPEFGAVSLKPPPPEVTDLPKKPPPPEVTDLPKKPPPPEVTDLPKKPPPPEVTDLPKKPSKLELSDLSKKFPQLGATPFPRKPLQPEVGEAPLKASLPEPGAPARKPLQPDELSHPARPPSEPKSGAFPRKLWQPEAGEATPRSPQPELSTFPKKPAQPEFNVYPKKPPQPQVGGLPKKSVPQPEFSEAAQTPLWKPQSSEPKRDSSAFPKKASQPPLSDFPKKPPQPELGDLTRTSSEPEVSVLPKRPRPAEFKALSKKPPQPELGGLPRTSSEPEFNSLPRKLLQPERRGPPRKFSQPEPSAVLKRHPQPEFFGDLPRKPPLPSSASESSLPAAVAGFSSRHPLSPGFGAAGTPRWRSGGLVHSGGARPGLRPSHPPRRRPLPPASSLGHPPAKPPLPPGPVDMQSFRRPSAASIDLRRTRSAAGLHFQDRQPEDIPQVPDEIYELYDDVEPRDDSSPSPKGRDEAPSVQQAARRPPQDPALRKEKDPQPQQLPPMDPKLLKQLRKAEKAEREFRKKFKFEGEIVVHTKMMIDPNAKTRRGGGKHLGIRRGEILEVIEFTSNEEMLCRDPKGKYGYVPRTALLPLETEVYDDVDFCDPLENQPLPLGR
+>DECOY_sp|Q96QH2|PRAM_HUMAN PML-RARA-regulated adapter molecule 1 OS=Homo sapiens OX=9606 GN=PRAM1 PE=1 SV=3
+RGLPLPQNELPDCFDVDDYVETELPLLATRPVYGYKGKPDRCLMEENSTFEIVELIEGRRIGLHKGGGRRTKANPDIMMKTHVVIEGEFKFKKRFEREAKEAKRLQKLLKPDMPPLQQPQPDKEKRLAPDQPPRRAAQQVSPAEDRGKPSPSSDDRPEVDDYLEYIEDPVQPIDEPQRDQFHLGAASRTRRLDISAASPRRFSQMDVPGPPLPPKAPPHGLSSAPPLPRRRPPHSPRLGPRAGGSHVLGGSRWRPTGAAGFGPSLPHRSSFGAVAAPLSSESASSPLPPKRPLDGFFEPQPHRKLVASPEPQSFKRPPGRREPQLLKRPLSNFEPESSTRPLGGLEPQPPKKSLAKFEAPRPRKPLVSVEPESSTRTLDGLEPQPPKKPFDSLPPQSAKKPFASSDRKPESSQPKWLPTQAAESFEPQPVSKKPLGGVQPQPPKKPYVNFEPQAPKKPFTSLEPQPSRPTAEGAEPQWLKRPFAGSKPESPPRAPHSLEDPQLPKRAPAGPEPLSAKLPAEGVEPQLPKRPFPTAGLQPFKKSLDSLELKSPKKPLDTVEPPPPKKPLDTVEPPPPKKPLDTVEPPPPKKPLDTVEPPPPKLSVAGFEPLPAKKPHESLEPQSFKKLKGFEPKPPKKPLDSPEPQSAQFKAKISRFDQHSEMAAPLHHAM
+>sp|P02812|PRB2_HUMAN Basic salivary proline-rich protein 2 OS=Homo sapiens OX=9606 GN=PRB2 PE=1 SV=3
+MLLILLSVALLALSSAQNLNEDVSQEESPSLIAGNPQGAPPQGGNKPQGPPSPPGKPQGPPPQGGNQPQGPPPPPGKPQGPPPQGGNKPQGPPPPGKPQGPPPQGDKSRSPRSPPGKPQGPPPQGGNQPQGPPPPPGKPQGPPPQGGNKPQGPPPPGKPQGPPPQGDNKSRSSRSPPGKPQGPPPQGGNQPQGPPPPPGKPQGPPPQGGNKPQGPPPPGKPQGPPPQGDNKSQSARSPPGKPQGPPPQGGNQPQGPPPPPGKPQGPPPQGGNKSQGPPPPGKPQGPPPQGGSKSRSSRSPPGKPQGPPPQGGNQPQGPPPPPGKPQGPPPQGGNKPQGPPPPGKPQGPPPQGGSKSRSARSPPGKPQGPPQQEGNNPQGPPPPAGGNPQQPQAPPAGQPQGPPRPPQGGRPSRPPQ
+>DECOY_sp|P02812|PRB2_HUMAN Basic salivary proline-rich protein 2 OS=Homo sapiens OX=9606 GN=PRB2 PE=1 SV=3
+QPPRSPRGGQPPRPPGQPQGAPPAQPQQPNGGAPPPPGQPNNGEQQPPGQPKGPPSRASRSKSGGQPPPGQPKGPPPPGQPKNGGQPPPGQPKGPPPPPGQPQNGGQPPPGQPKGPPSRSSRSKSGGQPPPGQPKGPPPPGQSKNGGQPPPGQPKGPPPPPGQPQNGGQPPPGQPKGPPSRASQSKNDGQPPPGQPKGPPPPGQPKNGGQPPPGQPKGPPPPPGQPQNGGQPPPGQPKGPPSRSSRSKNDGQPPPGQPKGPPPPGQPKNGGQPPPGQPKGPPPPPGQPQNGGQPPPGQPKGPPSRPSRSKDGQPPPGQPKGPPPPGQPKNGGQPPPGQPKGPPPPPGQPQNGGQPPPGQPKGPPSPPGQPKNGGQPPAGQPNGAILSPSEEQSVDENLNQASSLALLAVSLLILLM
+>sp|O43663|PRC1_HUMAN Protein regulator of cytokinesis 1 OS=Homo sapiens OX=9606 GN=PRC1 PE=1 SV=2
+MRRSEVLAEESIVCLQKALNHLREIWELIGIPEDQRLQRTEVVKKHIKELLDMMIAEEESLKERLIKSISVCQKELNTLCSELHVEPFQEEGETTILQLEKDLRTQVELMRKQKKERKQELKLLQEQDQELCEILCMPHYDIDSASVPSLEELNQFRQHVTTLRETKASRREEFVSIKRQIILCMEALDHTPDTSFERDVVCEDEDAFCLSLENIATLQKLLRQLEMQKSQNEAVCEGLRTQIRELWDRLQIPEEEREAVATIMSGSKAKVRKALQLEVDRLEELKMQNMKKVIEAIRVELVQYWDQCFYSQEQRQAFAPFCAEDYTESLLQLHDAEIVRLKNYYEVHKELFEGVQKWEETWRLFLEFERKASDPNRFTNRGGNLLKEEKQRAKLQKMLPKLEEELKARIELWEQEHSKAFMVNGQKFMEYVAEQWEMHRLEKERAKQERQLKNKKQTETEMLYGSAPRTPSKRRGLAPNTPGKARKLNTTTMSNATANSSIRPIFGGTVYHSPVSRLPPSGSKPVAASTCSGKKTPRTGRHGANKENLELNGSILSGGYPGSAPLQRNFSINSVASTYSEFAKDPSLSDSSTVGLQRELSKASKSDATSGILNSTNIQS
+>DECOY_sp|O43663|PRC1_HUMAN Protein regulator of cytokinesis 1 OS=Homo sapiens OX=9606 GN=PRC1 PE=1 SV=2
+SQINTSNLIGSTADSKSAKSLERQLGVTSSDSLSPDKAFESYTSAVSNISFNRQLPASGPYGGSLISGNLELNEKNAGHRGTRPTKKGSCTSAAVPKSGSPPLRSVPSHYVTGGFIPRISSNATANSMTTTNLKRAKGPTNPALGRRKSPTRPASGYLMETETQKKNKLQREQKAREKELRHMEWQEAVYEMFKQGNVMFAKSHEQEWLEIRAKLEEELKPLMKQLKARQKEEKLLNGGRNTFRNPDSAKREFELFLRWTEEWKQVGEFLEKHVEYYNKLRVIEADHLQLLSETYDEACFPAFAQRQEQSYFCQDWYQVLEVRIAEIVKKMNQMKLEELRDVELQLAKRVKAKSGSMITAVAEREEEPIQLRDWLERIQTRLGECVAENQSKQMELQRLLKQLTAINELSLCFADEDECVVDREFSTDPTHDLAEMCLIIQRKISVFEERRSAKTERLTTVHQRFQNLEELSPVSASDIDYHPMCLIECLEQDQEQLLKLEQKREKKQKRMLEVQTRLDKELQLITTEGEEQFPEVHLESCLTNLEKQCVSISKILREKLSEEEAIMMDLLEKIHKKVVETRQLRQDEPIGILEWIERLHNLAKQLCVISEEALVESRRM
+>sp|Q8NCQ7|PRCA1_HUMAN Protein PROCA1 OS=Homo sapiens OX=9606 GN=PROCA1 PE=2 SV=3
+MWVRTTLTIERWTKEKTEPKARSWDEALSDVNRLPSWERGHLLAGVASSTDVSTFSEGGDCKEPDKCCWRHKQCTGHIIYPFASDCVRHSLHLHSVNHCNCNSRLKDSSEDSSSSRGAGPTCSHVIESPCFELTPEEEHVERFRYGWCKSYRPVSVAVIHHPLYHECGADDLNEEEEEEEEESKPPIPTQVGPATASPDLGTSMATGTPDSTAPITIWRSESPTGKGQGSKVIKKVKKKKEKEKDKEEMDEKAKLKKKAKKGQLTKKKSPVKLEPSPPDVSRSLSARQLARMSESSPESREELESEDSYNGRGQGELSSEDIVESSSPRKRENTVQAKKTGAKPSQARKVNKRKSPPGSNPNLS
+>DECOY_sp|Q8NCQ7|PRCA1_HUMAN Protein PROCA1 OS=Homo sapiens OX=9606 GN=PROCA1 PE=2 SV=3
+SLNPNSGPPSKRKNVKRAQSPKAGTKKAQVTNERKRPSSSEVIDESSLEGQGRGNYSDESELEERSEPSSESMRALQRASLSRSVDPPSPELKVPSKKKTLQGKKAKKKLKAKEDMEEKDKEKEKKKKVKKIVKSGQGKGTPSESRWITIPATSDPTGTAMSTGLDPSATAPGVQTPIPPKSEEEEEEEEENLDDAGCEHYLPHHIVAVSVPRYSKCWGYRFREVHEEEPTLEFCPSEIVHSCTPGAGRSSSSDESSDKLRSNCNCHNVSHLHLSHRVCDSAFPYIIHGTCQKHRWCCKDPEKCDGGESFTSVDTSSAVGALLHGREWSPLRNVDSLAEDWSRAKPETKEKTWREITLTTRVWM
+>sp|Q9H4Q3|PRD13_HUMAN PR domain zinc finger protein 13 OS=Homo sapiens OX=9606 GN=PRDM13 PE=2 SV=2
+MHGAARAPATSVSADCCIPAGLRLGPVPGTFKLGKYLSDRREPGPKKKVRMVRGELVDESGGSPLEWIGLIRAARNSQEQTLEAIADLPGGQIFYRALRDVQPGEELTVWYSNSLAQWFDIPTTATPTHDEKGEERYICWYCWRTFRYPNSLKAHLRFHCVFSGGGGGAFLHHEHAARQGAVPAADGLGLSPKPPAPDFAAPSQAGTLRPHPLGPPPVQACGAREGIKREASSAPSATSPTPGKWGQPKKGKEQLDRALDMSGAARGQGHFLGIVGGSSAGVGSLAFYPGVRSAFKPAGLARAAAAAHGDPYREESSSKQGAGLALGRLLGGGRACGRPGSGENSAAGGAGHHHHHHAHHHHHPKCLLAGDPPPPPPPGLPCSGALRGFPLLSVPPEEASAFKHVERAPPAAAALPGARYAQLPPAPGLPLERCALPPLDPGGLKAYPGGECSHLPAVMPAFTVYNGELLYGSPATTAYYPLKLHFGGLLKYPESISYFSGPAAAALSPAELGSLASIDREIAMHNQQLSEMAAGKGRGRLDSGTLPPAVAAAGGTGGGGSGGSGAGKPKTGHLCLYCGKLYSRKYGLKIHMRTHTGYKPLKCKVCLRPFGDPSNLNKHIRLHAEGNTPYRCEFCGKVLVRRRDLERHVKSRHPGQSLLAKAGDGPGAEPGYPPEPGDPKSDDSDVDVCFTDDQSDPEVGGGGERDL
+>DECOY_sp|Q9H4Q3|PRD13_HUMAN PR domain zinc finger protein 13 OS=Homo sapiens OX=9606 GN=PRDM13 PE=2 SV=2
+LDREGGGGVEPDSQDDTFCVDVDSDDSKPDGPEPPYGPEAGPGDGAKALLSQGPHRSKVHRELDRRRVLVKGCFECRYPTNGEAHLRIHKNLNSPDGFPRLCVKCKLPKYGTHTRMHIKLGYKRSYLKGCYLCLHGTKPKGAGSGGSGGGGTGGAAAVAPPLTGSDLRGRGKGAAMESLQQNHMAIERDISALSGLEAPSLAAAAPGSFYSISEPYKLLGGFHLKLPYYATTAPSGYLLEGNYVTFAPMVAPLHSCEGGPYAKLGGPDLPPLACRELPLGPAPPLQAYRAGPLAAAAPPAREVHKFASAEEPPVSLLPFGRLAGSCPLGPPPPPPPDGALLCKPHHHHHAHHHHHHGAGGAASNEGSGPRGCARGGGLLRGLALGAGQKSSSEERYPDGHAAAAARALGAPKFASRVGPYFALSGVGASSGGVIGLFHGQGRAAGSMDLARDLQEKGKKPQGWKGPTPSTASPASSAERKIGERAGCAQVPPPGLPHPRLTGAQSPAAFDPAPPKPSLGLGDAAPVAGQRAAHEHHLFAGGGGGSFVCHFRLHAKLSNPYRFTRWCYWCIYREEGKEDHTPTATTPIDFWQALSNSYWVTLEEGPQVDRLARYFIQGGPLDAIAELTQEQSNRAARILGIWELPSGGSEDVLEGRVMRVKKKPGPERRDSLYKGLKFTGPVPGLRLGAPICCDASVSTAPARAAGHM
+>sp|P57071|PRD15_HUMAN PR domain zinc finger protein 15 OS=Homo sapiens OX=9606 GN=PRDM15 PE=1 SV=4
+MPRRRPPASGAAQFPERIATRSPDPIPLCTFQRQPRAAPVQPPCRLFFVTFAGCGHRWRSESKPGWISRSRSGIALRAARPPGSSPPRPAAPRPPPPGGVVAEAPGDVVIPRPRVQPMRVARGGPWTPNPAFREAESWSQIGNQRVSEQLLETSLGNEVSDTEPLSPASAGLRRNPALPPGPFAQNFSWGNQENLPPALGKIANGGGTGAGKAECGYETESHLLEPHEIPLNVNTHKFSDCEFPYEFCTVCFSPFKLLGMSGVEGVWNQHSRSASMHTFLNHSATGIREAGCRKDMPVSEMAEDGSEEIMFIWCEDCSQYHDSECPELGPVVMVKDSFVLSRARSWPASGHVHTQAGQGMRGYEDRDRADPQQLPEAVPAGLVRRLSGQQLPCRSTLTWGRLCHLVAQGRSSLPPNLEIRRLEDGAEGVFAITQLVKRTQFGPFESRRVAKWEKESAFPLKVFQKDGHPVCFDTSNEDDCNWMMLVRPAAEAEHQNLTAYQHGSDVYFTTSRDIPPGTELRVWYAAFYAKKMDKPMLKQAGSGVHAAGTPENSAPVESEPSQWACKVCSATFLELQLLNEHLLGHLEQAKSLPPGSQSEAAAPEKEQDTPRGEPPAVPESENVATKEQKKKPRRGRKPKVSKAEQPLVIVEDKEPTEQVAEIITEVPPDEPVSATPDERIMELVLGKLATTTTDTSSVPKFTHHQNNTITLKRSLILSSRHGIRRKLIKQLGEHKRVYQCNICSKIFQNSSNLSRHVRSHGDKLFKCEECAKLFSRKESLKQHVSYKHSRNEVDGEYRYRCGTCEKTFRIESALEFHNCRTDDKTFQCEMCFRFFSTNSNLSKHKKKHGDKKFACEVCSKMFYRKDVMLDHQRRHLEGVRRVKREDLEAGGENLVRYKKEPSGCPVCGKVFSCRSNMNKHLLTHGDKKYTCEICGRKFFRVDVLRDHIHVHFKDIALMDDHQREEFIGKIGISSEENDDNSDESADSEPHKYSCKRCQLTFGRGKEYLKHIMEVHKEKGYGCSICNRRFALKATYHAHMVIHRENLPDPNVQKYIHPCEICGRIFNSIGNLERHKLIHTGVKSHACEQCGKSFARKDMLKEHMRVHDNVREYLCAECGKGMKTKHALRHHMKLHKGIKEYECKECHRRFAQKVNMLKHCKRHTGIKDFMCELCGKTFSERNTMETHKLIHTVGKQWTCSVCDKKYVTEYMLQKHVQLTHDKVEAQSCQLCGTKVSTRASMSRHMRRKHPEVLAVRIDDLDHLPETTTIDASSIGIVQPELTLEQEDLAEGKHGKAAKRSHKRKQKPEEEAGAPVPEDATFSEYSEKETEFTGSVGDETNSAVQSIQQVVVTLGDPNVTTPSSSVGLTNITVTPITTAAATQFTNLQPVAVGHLTTPERQLQLDNSILTVTFDTVSGSAMLHNRQNDVQIHPQPEASNPQSVAHFINLTTLVNSITPLGSQLSDQHPLTWRAVPQTDVLPPSQPQAPPQQAAQPQVQAEQQQQQMYSY
+>DECOY_sp|P57071|PRD15_HUMAN PR domain zinc finger protein 15 OS=Homo sapiens OX=9606 GN=PRDM15 PE=1 SV=4
+YSYMQQQQQEAQVQPQAAQQPPAQPQSPPLVDTQPVARWTLPHQDSLQSGLPTISNVLTTLNIFHAVSQPNSAEPQPHIQVDNQRNHLMASGSVTDFTVTLISNDLQLQREPTTLHGVAVPQLNTFQTAAATTIPTVTINTLGVSSSPTTVNPDGLTVVVQQISQVASNTEDGVSGTFETEKESYESFTADEPVPAGAEEEPKQKRKHSRKAAKGHKGEALDEQELTLEPQVIGISSADITTTEPLHDLDDIRVALVEPHKRRMHRSMSARTSVKTGCLQCSQAEVKDHTLQVHKQLMYETVYKKDCVSCTWQKGVTHILKHTEMTNRESFTKGCLECMFDKIGTHRKCHKLMNVKQAFRRHCEKCEYEKIGKHLKMHHRLAHKTKMGKGCEACLYERVNDHVRMHEKLMDKRAFSKGCQECAHSKVGTHILKHRELNGISNFIRGCIECPHIYKQVNPDPLNERHIVMHAHYTAKLAFRRNCISCGYGKEKHVEMIHKLYEKGRGFTLQCRKCSYKHPESDASEDSNDDNEESSIGIKGIFEERQHDDMLAIDKFHVHIHDRLVDVRFFKRGCIECTYKKDGHTLLHKNMNSRCSFVKGCVPCGSPEKKYRVLNEGGAELDERKVRRVGELHRRQHDLMVDKRYFMKSCVECAFKKDGHKKKHKSLNSNTSFFRFCMECQFTKDDTRCNHFELASEIRFTKECTGCRYRYEGDVENRSHKYSVHQKLSEKRSFLKACEECKFLKDGHSRVHRSLNSSNQFIKSCINCQYVRKHEGLQKILKRRIGHRSSLILSRKLTITNNQHHTFKPVSSTDTTTTALKGLVLEMIREDPTASVPEDPPVETIIEAVQETPEKDEVIVLPQEAKSVKPKRGRRPKKKQEKTAVNESEPVAPPEGRPTDQEKEPAAAESQSGPPLSKAQELHGLLHENLLQLELFTASCVKCAWQSPESEVPASNEPTGAAHVGSGAQKLMPKDMKKAYFAAYWVRLETGPPIDRSTTFYVDSGHQYATLNQHEAEAAPRVLMMWNCDDENSTDFCVPHGDKQFVKLPFASEKEWKAVRRSEFPGFQTRKVLQTIAFVGEAGDELRRIELNPPLSSRGQAVLHCLRGWTLTSRCPLQQGSLRRVLGAPVAEPLQQPDARDRDEYGRMGQGAQTHVHGSAPWSRARSLVFSDKVMVVPGLEPCESDHYQSCDECWIFMIEESGDEAMESVPMDKRCGAERIGTASHNLFTHMSASRSHQNWVGEVGSMGLLKFPSFCVTCFEYPFECDSFKHTNVNLPIEHPELLHSETEYGCEAKGAGTGGGNAIKGLAPPLNEQNGWSFNQAFPGPPLAPNRRLGASAPSLPETDSVENGLSTELLQESVRQNGIQSWSEAERFAPNPTWPGGRAVRMPQVRPRPIVVDGPAEAVVGGPPPPRPAAPRPPSSGPPRAARLAIGSRSRSIWGPKSESRWRHGCGAFTVFFLRCPPQVPAARPQRQFTCLPIPDPSRTAIREPFQAAGSAPPRRRPM
+>sp|Q96I23|PREY_HUMAN Protein preY, mitochondrial OS=Homo sapiens OX=9606 GN=PYURF PE=1 SV=1
+MLSGARCRLASALRGTRAPPSAVARRCLHASGSRPLADRGKKTEEPPRDFDPALLEFLVCPLSKKPLRYEASTNELINEELGIAYPIIDGIPNMIPQAARMTRQSKKQEEVEQR
+>DECOY_sp|Q96I23|PREY_HUMAN Protein preY, mitochondrial OS=Homo sapiens OX=9606 GN=PYURF PE=1 SV=1
+RQEVEEQKKSQRTMRAAQPIMNPIGDIIPYAIGLEENILENTSAEYRLPKKSLPCVLFELLAPDFDRPPEETKKGRDALPRSGSAHLCRRAVASPPARTGRLASALRCRAGSLM
+>sp|P49642|PRI1_HUMAN DNA primase small subunit OS=Homo sapiens OX=9606 GN=PRIM1 PE=1 SV=1
+METFDPTELPELLKLYYRRLFPYSQYYRWLNYGGVIKNYFQHREFSFTLKDDIYIRYQSFNNQSDLEKEMQKMNPYKIDIGAVYSHRPNQHNTVKLGAFQAQEKELVFDIDMTDYDDVRRCCSSADICPKCWTLMTMAIRIIDRALKEDFGFKHRLWVYSGRRGVHCWVCDESVRKLSSAVRSGIVEYLSLVKGGQDVKKKVHLSEKIHPFIRKSINIIKKYFEEYALVNQDILENKESWDKILALVPETIHDELQQSFQKSHNSLQRWEHLKKVASRYQNNIKNDKYGPWLEWEIMLQYCFPRLDINVSKGINHLLKSPFSVHPKTGRISVPIDLQKVDQFDPFTVPTISFICRELDAISTNEEEKEENEAESDVKHRTRDYKKTSLAPYVKVFEHFLENLDKSRKGELLKKSDLQKDF
+>DECOY_sp|P49642|PRI1_HUMAN DNA primase small subunit OS=Homo sapiens OX=9606 GN=PRIM1 PE=1 SV=1
+FDKQLDSKKLLEGKRSKDLNELFHEFVKVYPALSTKKYDRTRHKVDSEAENEEKEEENTSIADLERCIFSITPVTFPDFQDVKQLDIPVSIRGTKPHVSFPSKLLHNIGKSVNIDLRPFCYQLMIEWELWPGYKDNKINNQYRSAVKKLHEWRQLSNHSKQFSQQLEDHITEPVLALIKDWSEKNELIDQNVLAYEEFYKKIINISKRIFPHIKESLHVKKKVDQGGKVLSLYEVIGSRVASSLKRVSEDCVWCHVGRRGSYVWLRHKFGFDEKLARDIIRIAMTMLTWCKPCIDASSCCRRVDDYDTMDIDFVLEKEQAQFAGLKVTNHQNPRHSYVAGIDIKYPNMKQMEKELDSQNNFSQYRIYIDDKLTFSFERHQFYNKIVGGYNLWRYYQSYPFLRRYYLKLLEPLETPDFTEM
+>sp|Q96LW4|PRIPO_HUMAN DNA-directed primase/polymerase protein OS=Homo sapiens OX=9606 GN=PRIMPOL PE=1 SV=3
+MNRKWEAKLKQIEERASHYERKPLSSVYRPRLSKPEEPPSIWRLFHRQAQAFNFVKSCKEDVHVFALECKVGDGQRIYLVTTYAEFWFYYKSRKNLLHCYEVIPENAVCKLYFDLEFNKPANPGADGKKMVALLIEYVCKALQELYGVNCSAEDVLNLDSSTDEKFSRHLIFQLHDVAFKDNIHVGNFLRKILQPALDLLGSEDDDSAPETTGHGFPHFSEAPARQGFSFNKMFTEKATEESWTSNSKKLERLGSAEQSSPDLSFLVVKNNMGEKHLFVDLGVYTRNRNFRLYKSSKIGKRVALEVTEDNKFFPIQSKDVSDEYQYFLSSLVSNVRFSDTLRILTCEPSQNKQKGVGYFNSIGTSVETIEGFQCSPYPEVDHFVLSLVNKDGIKGGIRRWNYFFPEELLVYDICKYRWCENIGRAHKSNNIMILVDLKNEVWYQKCHDPVCKAENFKSDCFPLPAEVCLLFLFKEEEEFTTDEADETRSNETQNPHKPSPSRLSTGASADAVWDNGIDDAYFLEATEDAELAEAAENSLLSYNSEVDEIPDELIIEVLQE
+>DECOY_sp|Q96LW4|PRIPO_HUMAN DNA-directed primase/polymerase protein OS=Homo sapiens OX=9606 GN=PRIMPOL PE=1 SV=3
+EQLVEIILEDPIEDVESNYSLLSNEAAEALEADETAELFYADDIGNDWVADASAGTSLRSPSPKHPNQTENSRTEDAEDTTFEEEEKFLFLLCVEAPLPFCDSKFNEAKCVPDHCKQYWVENKLDVLIMINNSKHARGINECWRYKCIDYVLLEEPFFYNWRRIGGKIGDKNVLSLVFHDVEPYPSCQFGEITEVSTGISNFYGVGKQKNQSPECTLIRLTDSFRVNSVLSSLFYQYEDSVDKSQIPFFKNDETVELAVRKGIKSSKYLRFNRNRTYVGLDVFLHKEGMNNKVVLFSLDPSSQEASGLRELKKSNSTWSEETAKETFMKNFSFGQRAPAESFHPFGHGTTEPASDDDESGLLDLAPQLIKRLFNGVHINDKFAVDHLQFILHRSFKEDTSSDLNLVDEASCNVGYLEQLAKCVYEILLAVMKKGDAGPNAPKNFELDFYLKCVANEPIVEYCHLLNKRSKYYFWFEAYTTVLYIRQGDGVKCELAFVHVDEKCSKVFNFAQAQRHFLRWISPPEEPKSLRPRYVSSLPKREYHSAREEIQKLKAEWKRNM
+>sp|O75569|PRKRA_HUMAN Interferon-inducible double-stranded RNA-dependent protein kinase activator A OS=Homo sapiens OX=9606 GN=PRKRA PE=1 SV=1
+MSQSRHRAEAPPLEREDSGTFSLGKMITAKPGKTPIQVLHEYGMKTKNIPVYECERSDVQIHVPTFTFRVTVGDITCTGEGTSKKLAKHRAAEAAINILKANASICFAVPDPLMPDPSKQPKNQLNPIGSLQELAIHHGWRLPEYTLSQEGGPAHKREYTTICRLESFMETGKGASKKQAKRNAAEKFLAKFSNISPENHISLTNVVGHSLGCTWHSLRNSPGEKINLLKRSLLSIPNTDYIQLLSEIAKEQGFNITYLDIDELSANGQYQCLAELSTSPITVCHGSGISCGNAQSDAAHNALQYLKIIAERK
+>DECOY_sp|O75569|PRKRA_HUMAN Interferon-inducible double-stranded RNA-dependent protein kinase activator A OS=Homo sapiens OX=9606 GN=PRKRA PE=1 SV=1
+KREAIIKLYQLANHAADSQANGCSIGSGHCVTIPSTSLEALCQYQGNASLEDIDLYTINFGQEKAIESLLQIYDTNPISLLSRKLLNIKEGPSNRLSHWTCGLSHGVVNTLSIHNEPSINSFKALFKEAANRKAQKKSAGKGTEMFSELRCITTYERKHAPGGEQSLTYEPLRWGHHIALEQLSGIPNLQNKPQKSPDPMLPDPVAFCISANAKLINIAAEAARHKALKKSTGEGTCTIDGVTVRFTFTPVHIQVDSRECEYVPINKTKMGYEHLVQIPTKGPKATIMKGLSFTGSDERELPPAEARHRSQSM
+>sp|Q9Y255|PRLD1_HUMAN PRELI domain-containing protein 1, mitochondrial OS=Homo sapiens OX=9606 GN=PRELID1 PE=1 SV=1
+MVKYFLGQSVLRSSWDQVFAAFWQRYPNPYSKHVLTEDIVHREVTPDQKLLSRRLLTKTNRMPRWAERLFPANVAHSVYVLEDSIVDPQNQTMTTFTWNINHARLMVVEERCVYCVNSDNSGWTEIRREAWVSSSLFGVSRAVQEFGLARFKSNVTKTMKGFEYILAKLQGEAPSKTLVETAKEAKEKAKETALAATEKAKDLASKAATKKQQQQQQFV
+>DECOY_sp|Q9Y255|PRLD1_HUMAN PRELI domain-containing protein 1, mitochondrial OS=Homo sapiens OX=9606 GN=PRELID1 PE=1 SV=1
+VFQQQQQQKKTAAKSALDKAKETAALATEKAKEKAEKATEVLTKSPAEGQLKALIYEFGKMTKTVNSKFRALGFEQVARSVGFLSSSVWAERRIETWGSNDSNVCYVCREEVVMLRAHNINWTFTTMTQNQPDVISDELVYVSHAVNAPFLREAWRPMRNTKTLLRRSLLKQDPTVERHVIDETLVHKSYPNPYRQWFAAFVQDWSSRLVSQGLFYKVM
+>sp|O43272|PROD_HUMAN Proline dehydrogenase 1, mitochondrial OS=Homo sapiens OX=9606 GN=PRODH PE=1 SV=3
+MALRRALPALRPCIPRFVQLSTAPASREQPAAGPAAVPGGGSATAVRPPVPAVDFGNAQEAYRSRRTWELARSLLVLRLCAWPALLARHEQLLYVSRKLLGQRLFNKLMKMTFYGHFVAGEDQESIQPLLRHYRAFGVSAILDYGVEEDLSPEEAEHKEMESCTSAAERDGSGTNKRDKQYQAHRAFGDRRNGVISARTYFYANEAKCDSHMETFLRCIEASGRVSDDGFIAIKLTALGRPQFLLQFSEVLAKWRCFFHQMAVEQGQAGLAAMDTKLEVAVLQESVAKLGIASRAEIEDWFTAETLGVSGTMDLLDWSSLIDSRTKLSKHLVVPNAQTGQLEPLLSRFTEEEELQMTRMLQRMDVLAKKATEMGVRLMVDAEQTYFQPAISRLTLEMQRKFNVEKPLIFNTYQCYLKDAYDNVTLDVELARREGWCFGAKLVRGAYLAQERARAAEIGYEDPINPTYEATNAMYHRCLDYVLEELKHNAKAKVMVASHNEDTVRFALRRMEELGLHPADHQVYFGQLLGMCDQISFPLGQAGYPVYKYVPYGPVMEVLPYLSRRALENSSLMKGTHRERQLLWLELLRRLRTGNLFHRPA
+>DECOY_sp|O43272|PROD_HUMAN Proline dehydrogenase 1, mitochondrial OS=Homo sapiens OX=9606 GN=PRODH PE=1 SV=3
+APRHFLNGTRLRRLLELWLLQRERHTGKMLSSNELARRSLYPLVEMVPGYPVYKYVPYGAQGLPFSIQDCMGLLQGFYVQHDAPHLGLEEMRRLAFRVTDENHSAVMVKAKANHKLEELVYDLCRHYMANTAEYTPNIPDEYGIEAARAREQALYAGRVLKAGFCWGERRALEVDLTVNDYADKLYCQYTNFILPKEVNFKRQMELTLRSIAPQFYTQEADVMLRVGMETAKKALVDMRQLMRTMQLEEEETFRSLLPELQGTQANPVVLHKSLKTRSDILSSWDLLDMTGSVGLTEATFWDEIEARSAIGLKAVSEQLVAVELKTDMAALGAQGQEVAMQHFFCRWKALVESFQLLFQPRGLATLKIAIFGDDSVRGSAEICRLFTEMHSDCKAENAYFYTRASIVGNRRDGFARHAQYQKDRKNTGSGDREAASTCSEMEKHEAEEPSLDEEVGYDLIASVGFARYHRLLPQISEQDEGAVFHGYFTMKMLKNFLRQGLLKRSVYLLQEHRALLAPWACLRLVLLSRALEWTRRSRYAEQANGFDVAPVPPRVATASGGGPVAAPGAAPQERSAPATSLQVFRPICPRLAPLARRLAM
+>sp|Q9HC23|PROK2_HUMAN Prokineticin-2 OS=Homo sapiens OX=9606 GN=PROK2 PE=1 SV=2
+MRSLCCAPLLLLLLLPPLLLTPRAGDAAVITGACDKDSQCGGGMCCAVSIWVKSIRICTPMGKLGDSCHPLTRKNNFGNGRQERRKRKRSKRKKEVPFFGRRMHHTCPCLPGLACLRTSFNRFICLAQK
+>DECOY_sp|Q9HC23|PROK2_HUMAN Prokineticin-2 OS=Homo sapiens OX=9606 GN=PROK2 PE=1 SV=2
+KQALCIFRNFSTRLCALGPLCPCTHHMRRGFFPVEKKRKSRKRKRREQRGNGFNNKRTLPHCSDGLKGMPTCIRISKVWISVACCMGGGCQSDKDCAGTIVAADGARPTLLLPPLLLLLLLPACCLSRM
+>sp|Q16378|PROL4_HUMAN Proline-rich protein 4 OS=Homo sapiens OX=9606 GN=PRR4 PE=1 SV=3
+MLLVLLSVVLLALSSAQSTDNDVNYEDFTFTIPDVEDSSQRPDQGPQRPPPEGLLPRPPGDSGNQDDGPQQRPPKPGGHHRHPPPPPFQNQQRPPRRGHRQLSLPRFPSVSLQEASSFFQRDRPARHPQEQPLW
+>DECOY_sp|Q16378|PROL4_HUMAN Proline-rich protein 4 OS=Homo sapiens OX=9606 GN=PRR4 PE=1 SV=3
+WLPQEQPHRAPRDRQFFSSAEQLSVSPFRPLSLQRHGRRPPRQQNQFPPPPPHRHHGGPKPPRQQPGDDQNGSDGPPRPLLGEPPPRQPGQDPRQSSDEVDPITFTFDEYNVDNDTSQASSLALLVVSLLVLLM
+>sp|P22891|PROZ_HUMAN Vitamin K-dependent protein Z OS=Homo sapiens OX=9606 GN=PROZ PE=1 SV=2
+MAGCVPLLQGLVLVLALHRVEPSVFLPASKANDVLVRWKRAGSYLLEELFEGNLEKECYEEICVYEEAREVFENEVVTDEFWRRYKGGSPCISQPCLHNGSCQDSIWGYTCTCSPGYEGSNCELAKNECHPERTDGCQHFCLPGQESYTCSCAQGYRLGEDHKQCVPHDQCACGVLTSEKRAPDLQDLPWQVKLTNSEGKDFCGGVIIRENFVLTTAKCSLLHRNITVKTYFNRTSQDPLMIKITHVHVHMRYDADAGENDLSLLELEWPIQCPGAGLPVCTPEKDFAEHLLIPRTRGLLSGWARNGTDLGNSLTTRPVTLVEGEECGQVLNVTVTTRTYCERSSVAAMHWMDGSVVTREHRGSWFLTGVLGSQPVGGQAHMVLVTKVSRYSLWFKQIMN
+>DECOY_sp|P22891|PROZ_HUMAN Vitamin K-dependent protein Z OS=Homo sapiens OX=9606 GN=PROZ PE=1 SV=2
+NMIQKFWLSYRSVKTVLVMHAQGGVPQSGLVGTLFWSGRHERTVVSGDMWHMAAVSSRECYTRTTVTVNLVQGCEEGEVLTVPRTTLSNGLDTGNRAWGSLLGRTRPILLHEAFDKEPTCVPLGAGPCQIPWELELLSLDNEGADADYRMHVHVHTIKIMLPDQSTRNFYTKVTINRHLLSCKATTLVFNERIIVGGCFDKGESNTLKVQWPLDQLDPARKESTLVGCACQDHPVCQKHDEGLRYGQACSCTYSEQGPLCFHQCGDTREPHCENKALECNSGEYGPSCTCTYGWISDQCSGNHLCPQSICPSGGKYRRWFEDTVVENEFVERAEEYVCIEEYCEKELNGEFLEELLYSGARKWRVLVDNAKSAPLFVSPEVRHLALVLVLGQLLPVCGAM
+>sp|O60508|PRP17_HUMAN Pre-mRNA-processing factor 17 OS=Homo sapiens OX=9606 GN=CDC40 PE=1 SV=1
+MSAAIAALAASYGSGSGSESDSDSESSRCPLPAADSLMHLTKSPSSKPSLAVAVDSAPEVAVKEDLETGVHLDPAVKEVQYNPTYETMFAPEFGPENPFRTQQMAAPRNMLSGYAEPAHINDFMFEQQRRTFATYGYALDPSLDNHQVSAKYIGSVEEAEKNQGLTVFETGQKKTEKRKKFKENDASNIDGFLGPWAKYVDEKDVAKPSEEEQKELDEITAKRQKKGKQEEEKPGEEKTILHVKEMYDYQGRSYLHIPQDVGVNLRSTMPPEKCYLPKKQIHVWSGHTKGVSAVRLFPLSGHLLLSCSMDCKIKLWEVYGERRCLRTFIGHSKAVRDICFNTAGTQFLSAAYDRYLKLWDTETGQCISRFTNRKVPYCVKFNPDEDKQNLFVAGMSDKKIVQWDIRSGEIVQEYDRHLGAVNTIVFVDENRRFVSTSDDKSLRVWEWDIPVDFKYIAEPSMHSMPAVTLSPNGKWLACQSMDNQILIFGAQNRFRLNKKKIFKGHMVAGYACQVDFSPDMSYVISGDGNGKLNIWDWKTTKLYSRFKAHDKVCIGAVWHPHETSKVITCGWDGLIKLWD
+>DECOY_sp|O60508|PRP17_HUMAN Pre-mRNA-processing factor 17 OS=Homo sapiens OX=9606 GN=CDC40 PE=1 SV=1
+DWLKILGDWGCTIVKSTEHPHWVAGICVKDHAKFRSYLKTTKWDWINLKGNGDGSIVYSMDPSFDVQCAYGAVMHGKFIKKKNLRFRNQAGFILIQNDMSQCALWKGNPSLTVAPMSHMSPEAIYKFDVPIDWEWVRLSKDDSTSVFRRNEDVFVITNVAGLHRDYEQVIEGSRIDWQVIKKDSMGAVFLNQKDEDPNFKVCYPVKRNTFRSICQGTETDWLKLYRDYAASLFQTGATNFCIDRVAKSHGIFTRLCRREGYVEWLKIKCDMSCSLLLHGSLPFLRVASVGKTHGSWVHIQKKPLYCKEPPMTSRLNVGVDQPIHLYSRGQYDYMEKVHLITKEEGPKEEEQKGKKQRKATIEDLEKQEEESPKAVDKEDVYKAWPGLFGDINSADNEKFKKRKETKKQGTEFVTLGQNKEAEEVSGIYKASVQHNDLSPDLAYGYTAFTRRQQEFMFDNIHAPEAYGSLMNRPAAMQQTRFPNEPGFEPAFMTEYTPNYQVEKVAPDLHVGTELDEKVAVEPASDVAVALSPKSSPSKTLHMLSDAAPLPCRSSESDSDSESGSGSGYSAALAAIAASM
+>sp|Q6MZM9|PRR27_HUMAN Proline-rich protein 27 OS=Homo sapiens OX=9606 GN=PRR27 PE=2 SV=1
+MKLLLWACIVCVAFARKRRFPFIGEDDNDDGHPLHPSLNIPYGIRNLPPPLYYRPVNTVPSYPGNTYTDTGLPSYPWILTSPGFPYVYHIRGFPLATQLNVPPLPPRGFPFVPPSRFFSAAAAPAAPPIAAEPAAAAPLTATPVAAEPAAGAPVAAEPAAEAPVGAEPAAEAPVAAEPAAEAPVGVEPAAEEPSPAEPATAKPAAPEPHPSPSLEQANQ
+>DECOY_sp|Q6MZM9|PRR27_HUMAN Proline-rich protein 27 OS=Homo sapiens OX=9606 GN=PRR27 PE=2 SV=1
+QNAQELSPSPHPEPAAPKATAPEAPSPEEAAPEVGVPAEAAPEAAVPAEAAPEAGVPAEAAPEAAVPAGAAPEAAVPTATLPAAAAPEAAIPPAAPAAAASFFRSPPVFPFGRPPLPPVNLQTALPFGRIHYVYPFGPSTLIWPYSPLGTDTYTNGPYSPVTNVPRYYLPPPLNRIGYPINLSPHLPHGDDNDDEGIFPFRRKRAFAVCVICAWLLLKM
+>sp|Q5SQ13|PRR31_HUMAN Proline-rich protein 31 OS=Homo sapiens OX=9606 GN=PRR31 PE=4 SV=1
+MLGTQWTLARCLLPSHPDPGLAGSLRGDSSEDGRRGQLLPQSPAPCSPVWSPGTPVGGPHPAGDGPATAPGPPEGPELAFPQDLSPAWPQKGAGTHPANAGPGPLSRRSSQLRTPLEAGRKWGWKMTRWRLRRDQAPGEGTQAKLLGEALSTAGRGHAGPPEGWAGEGGVSPGLTPLLGTGQGKELDLDLREKFIRFDQILGISLDHIPFLPQTGPGRPWGCGVGKQRPH
+>DECOY_sp|Q5SQ13|PRR31_HUMAN Proline-rich protein 31 OS=Homo sapiens OX=9606 GN=PRR31 PE=4 SV=1
+HPRQKGVGCGWPRGPGTQPLFPIHDLSIGLIQDFRIFKERLDLDLEKGQGTGLLPTLGPSVGGEGAWGEPPGAHGRGATSLAEGLLKAQTGEGPAQDRRLRWRTMKWGWKRGAELPTRLQSSRRSLPGPGANAPHTGAGKQPWAPSLDQPFALEPGEPPGPATAPGDGAPHPGGVPTGPSWVPSCPAPSQPLLQGRRGDESSDGRLSGALGPDPHSPLLCRALTWQTGLM
+>sp|P0CG20|PRR35_HUMAN Proline-rich protein 35 OS=Homo sapiens OX=9606 GN=PRR35 PE=1 SV=1
+MSREAGSCRVGTGARARSRKPKKPHYIPRPWGKPYNYKCFQCPFTCLEKSHLYNHMKYSLCKDSLSLLLDSPDWACRRGSTTPRPHAPTPDRPGESDPGRQPQGARPTGAAPAPDLVVADIHSLHCGGGPKSRAKGSPGPPPPVARATRKGPGPSGLLPESWKPGMGGDPRGVGAGDMASAGPEGSVPCYPPPAPGEFPEAHSLHLSLLGVNYPLSPGLFSYLGPSLAAAAHVPFLASASPLLPPATAFPAVQPPQRPTPAPRLYYPLLLEHTLGLPAGKAALAKAPVSPRSPSGTPAPGLLKVPVPGLGPWPRVTPRDPGQEGELERAAQSDPRRRLSLGSRLELPKASPSLTRFCSRSSLPTGSSVMLWPEDGDPGGPETPGPEGPLPLQPRGPVPGSPEHVGEDLTRALGDYARVEQRLGQLGPAGGLAPRPLREQLGKIRLELLTIHQALEQAVRPPDAPLDLSVKRAPAKGPQALGEAWGRPELGPVLTGGTPEPPGMLGPAAPQPFSGHTTKCEADSSVPPPGLPLAAPDDPVIPGSGWGTCVATRSSQTPEAVCGLQSPQGAEV
+>DECOY_sp|P0CG20|PRR35_HUMAN Proline-rich protein 35 OS=Homo sapiens OX=9606 GN=PRR35 PE=1 SV=1
+VEAGQPSQLGCVAEPTQSSRTAVCTGWGSGPIVPDDPAALPLGPPPVSSDAECKTTHGSFPQPAAPGLMGPPEPTGGTLVPGLEPRGWAEGLAQPGKAPARKVSLDLPADPPRVAQELAQHITLLELRIKGLQERLPRPALGGAPGLQGLRQEVRAYDGLARTLDEGVHEPSGPVPGRPQLPLPGEPGPTEPGGPDGDEPWLMVSSGTPLSSRSCFRTLSPSAKPLELRSGLSLRRRPDSQAARELEGEQGPDRPTVRPWPGLGPVPVKLLGPAPTGSPSRPSVPAKALAAKGAPLGLTHELLLPYYLRPAPTPRQPPQVAPFATAPPLLPSASALFPVHAAAALSPGLYSFLGPSLPYNVGLLSLHLSHAEPFEGPAPPPYCPVSGEPGASAMDGAGVGRPDGGMGPKWSEPLLGSPGPGKRTARAVPPPPGPSGKARSKPGGGCHLSHIDAVVLDPAPAAGTPRAGQPQRGPDSEGPRDPTPAHPRPTTSGRRCAWDPSDLLLSLSDKCLSYKMHNYLHSKELCTFPCQFCKYNYPKGWPRPIYHPKKPKRSRARAGTGVRCSGAERSM
+>sp|Q5T870|PRR9_HUMAN Proline-rich protein 9 OS=Homo sapiens OX=9606 GN=PRR9 PE=4 SV=1
+MSFSEQQCKQPCVPPPCLPKTQEQCQAKAEEVCLPTCQHPCQDKCLVQAQEVCLSQCQESSQEKCPQQGQEPYLPPCQDQCPPQCAEPCQELFQTKCVEVCPQKVQEKCSSPGKGK
+>DECOY_sp|Q5T870|PRR9_HUMAN Proline-rich protein 9 OS=Homo sapiens OX=9606 GN=PRR9 PE=4 SV=1
+KGKGPSSCKEQVKQPCVEVCKTQFLEQCPEACQPPCQDQCPPLYPEQGQQPCKEQSSEQCQSLCVEQAQVLCKDQCPHQCTPLCVEEAKAQCQEQTKPLCPPPVCPQKCQQESFSM
+>sp|C9JH25|PRRT4_HUMAN Proline-rich transmembrane protein 4 OS=Homo sapiens OX=9606 GN=PRRT4 PE=2 SV=1
+MARHGCLGLGLFCCVLFAATVGPQPTPSIPGAPATTLTPVPQSEASMLSLNLGLNFKFHLRGPAAVWGSPVTETQPLSLGPGQEPGEEVASGLRTDPLWELLVGSSGNSLTEWGSTEGGSKPRASSLLPESTSRRSGPSDGPTAPYQPRRSTVTWDTALMVTALPSSAPRPHQSELELKFDMALRAGAAPTLGHRTLPLLPSLRASLAEIAGRLGPFGFFGTTLSPLRNFSGLSPPGETTSTSSASGVSGSLGFLGTTLSLPPYSLERKLSSPSPLDPAASLSFASIATTSLDPTVPISGPDDLSPPASLGNPSGQPECGPGSCSVGELPEREGQPPEAPRPLFFLTLEADWAEARARWGLAWEAHVYGVGALFGLVALLALLALALLPWRCPPGAPCLALLDLLLLSAGTTRAFPLFYDAYGHRDRLPALAWLLLQDLPLPCLAAGLGLACLLLARPRPPRCPTGLAALLLLGLGLAAAAALGSAAHRPLRPLRLASRGLHAFLAAFLSGLLLALSCWGGRRRRAGAPLGGSGFKGATPLPQGRSPFAPRESWRRAARTAPVAGTFGLLSGALQGYEVLHALGYGGQSGLEGPWPWWAFQLGLRLGEVGVALPLALLGLYPALCSPRVPPRCWAKLFRLSPGHAAPLLPGGWVTGPPDKEPLGSAIARGDAELLQLCALAGPGPDLLLQGGGCRGFEGAAANPAPSPASSPCSDYTVDFRPPSPINLRRSIEEALCSEALLAPGLFQGPAFEDALPGLGLYRTASLGTGGRASERSGEASGPAAPPELPSPGAWPAGSSVSSGSFCGLSRDSSSMLLCSSPDRPPRCPLVCVLSPPRPSGSSPSLPASGSYQALSPPSRDSPEPASELQAEEALLQEQFLDACRQIDELSVGSDTIDL
+>DECOY_sp|C9JH25|PRRT4_HUMAN Proline-rich transmembrane protein 4 OS=Homo sapiens OX=9606 GN=PRRT4 PE=2 SV=1
+LDITDSGVSLEDIQRCADLFQEQLLAEEAQLESAPEPSDRSPPSLAQYSGSAPLSPSSGSPRPPSLVCVLPCRPPRDPSSCLLMSSSDRSLGCFSGSSVSSGAPWAGPSPLEPPAAPGSAEGSRESARGGTGLSATRYLGLGPLADEFAPGQFLGPALLAESCLAEEISRRLNIPSPPRFDVTYDSCPSSAPSPAPNAAAGEFGRCGGGQLLLDPGPGALACLQLLEADGRAIASGLPEKDPPGTVWGGPLLPAAHGPSLRFLKAWCRPPVRPSCLAPYLGLLALPLAVGVEGLRLGLQFAWWPWPGELGSQGGYGLAHLVEYGQLAGSLLGFTGAVPATRAARRWSERPAFPSRGQPLPTAGKFGSGGLPAGARRRRGGWCSLALLLGSLFAALFAHLGRSALRLPRLPRHAASGLAAAAALGLGLLLLAALGTPCRPPRPRALLLCALGLGAALCPLPLDQLLLWALAPLRDRHGYADYFLPFARTTGASLLLLDLLALCPAGPPCRWPLLALALLALLAVLGFLAGVGYVHAEWALGWRARAEAWDAELTLFFLPRPAEPPQGEREPLEGVSCSGPGCEPQGSPNGLSAPPSLDDPGSIPVTPDLSTTAISAFSLSAAPDLPSPSSLKRELSYPPLSLTTGLFGLSGSVGSASSTSTTEGPPSLGSFNRLPSLTTGFFGFPGLRGAIEALSARLSPLLPLTRHGLTPAAGARLAMDFKLELESQHPRPASSPLATVMLATDWTVTSRRPQYPATPGDSPGSRRSTSEPLLSSARPKSGGETSGWETLSNGSSGVLLEWLPDTRLGSAVEEGPEQGPGLSLPQTETVPSGWVAAPGRLHFKFNLGLNLSLMSAESQPVPTLTTAPAGPISPTPQPGVTAAFLVCCFLGLGLCGHRAM
+>sp|E7EML9|PRS44_HUMAN Serine protease 44 OS=Homo sapiens OX=9606 GN=PRSS44 PE=3 SV=3
+MASQSGSSLGLLAWFLLLQPWLEEARAGRVGAQGGVALLFPSALPSGPGGQDPGASGWEPPPVGAPGSPAAPQSRGNAVRPASVLLPSACGQRTSRITGGLPAPDRKWPWQVSLQTSNRHICGGSLIARHWVLTAAHCISGHLEYTVKLGDTNVHHRSKTALVVPVRDVVIHRYFTSPGIIENDIALALLDFPVNYSTHIQLVCLPEQAFMVQAGTNCWVTGWGKVNETEKIVTEPQEAELSIILHDKCNEVLKEKIRMRSEMVKKGTICGYNDQGKDACQGDSGGPLVCELNGTWVQVGIVSWGIGCGRKGYPGVYTEVSFYKKWIIDHLRQASCLNSKTSSS
+>DECOY_sp|E7EML9|PRS44_HUMAN Serine protease 44 OS=Homo sapiens OX=9606 GN=PRSS44 PE=3 SV=3
+SSSTKSNLCSAQRLHDIIWKKYFSVETYVGPYGKRGCGIGWSVIGVQVWTGNLECVLPGGSDGQCADKGQDNYGCITGKKVMESRMRIKEKLVENCKDHLIISLEAEQPETVIKETENVKGWGTVWCNTGAQVMFAQEPLCVLQIHTSYNVPFDLLALAIDNEIIGPSTFYRHIVVDRVPVVLATKSRHHVNTDGLKVTYELHGSICHAATLVWHRAILSGGCIHRNSTQLSVQWPWKRDPAPLGGTIRSTRQGCASPLLVSAPRVANGRSQPAAPSGPAGVPPPEWGSAGPDQGGPGSPLASPFLLAVGGQAGVRGARAEELWPQLLLFWALLGLSSGSQSAM
+>sp|Q6PEW0|PRS54_HUMAN Inactive serine protease 54 OS=Homo sapiens OX=9606 GN=PRSS54 PE=2 SV=3
+MVSAAGLSGDGKMRGVLLVLLGLLYSSTSCGVQKASVFYGPDPKEGLVSSMEFPWVVSLQDSQYTHLAFGCILSEFWVLSIASAIQNRKDIVVIVGISNMDPSKIAHTEYPVNTIIIHEDFDNNSMSNNIALLKTDTAMHFGNLVQSICFLGRMLHTPPVLQNCWVSGWNPTSATGNHMTMSVLRKIFVKDLDMCPLYKLQKTECGSHTKEETKTACLGDPGSPMMCQLQQFDLWVLRGVLNFGGETCPGLFLYTKVEDYSKWITSKAERAGPPLSSLHHWEKLISFSHHGPNATMTQKTYSDSELGHVGSYLQGQRRTITHSRLGNSSRDSLDVREKDVKESGRSPEASVQPLYYDYYGGEVGEGRIFAGQNRLYQPEEIILVSFVLVFFCSSI
+>DECOY_sp|Q6PEW0|PRS54_HUMAN Inactive serine protease 54 OS=Homo sapiens OX=9606 GN=PRSS54 PE=2 SV=3
+ISSCFFVLVFSVLIIEEPQYLRNQGAFIRGEGVEGGYYDYYLPQVSAEPSRGSEKVDKERVDLSDRSSNGLRSHTITRRQGQLYSGVHGLESDSYTKQTMTANPGHHSFSILKEWHHLSSLPPGAREAKSTIWKSYDEVKTYLFLGPCTEGGFNLVGRLVWLDFQQLQCMMPSGPDGLCATKTEEKTHSGCETKQLKYLPCMDLDKVFIKRLVSMTMHNGTASTPNWGSVWCNQLVPPTHLMRGLFCISQVLNGFHMATDTKLLAINNSMSNNDFDEHIIITNVPYETHAIKSPDMNSIGVIVVIDKRNQIASAISLVWFESLICGFALHTYQSDQLSVVWPFEMSSVLGEKPDPGYFVSAKQVGCSTSSYLLGLLVLLVGRMKGDGSLGAASVM
+>sp|Q6UWB4|PRS55_HUMAN Serine protease 55 OS=Homo sapiens OX=9606 GN=PRSS55 PE=1 SV=2
+MLLFSVLLLLSLVTGTQLGPRTPLPEAGVAILGRARGAHRPQPPHPPSPVSECGDRSIFEGRTRYSRITGGMEAEVGEFPWQVSIQARSEPFCGGSILNKWWILTAAHCLYSEELFPEELSVVLGTNDLTSPSMEIKEVASIILHKDFKRANMDNDIALLLLASPIKLDDLKVPICLPTQPGPATWRECWVAGWGQTNAADKNSVKTDLMKAPMVIMDWEECSKMFPKLTKNMLCAGYKNESYDACKGDSGGPLVCTPEPGEKWYQVGIISWGKSCGEKNTPGIYTSLVNYNLWIEKVTQLEGRPFNAEKRRTSVKQKPMGSPVSGVPEPGSPRSWLLLCPLSHVLFRAILY
+>DECOY_sp|Q6UWB4|PRS55_HUMAN Serine protease 55 OS=Homo sapiens OX=9606 GN=PRSS55 PE=1 SV=2
+YLIARFLVHSLPCLLLWSRPSGPEPVGSVPSGMPKQKVSTRRKEANFPRGELQTVKEIWLNYNVLSTYIGPTNKEGCSKGWSIIGVQYWKEGPEPTCVLPGGSDGKCADYSENKYGACLMNKTLKPFMKSCEEWDMIVMPAKMLDTKVSNKDAANTQGWGAVWCERWTAPGPQTPLCIPVKLDDLKIPSALLLLAIDNDMNARKFDKHLIISAVEKIEMSPSTLDNTGLVVSLEEPFLEESYLCHAATLIWWKNLISGGCFPESRAQISVQWPFEGVEAEMGGTIRSYRTRGEFISRDGCESVPSPPHPPQPRHAGRARGLIAVGAEPLPTRPGLQTGTVLSLLLLVSFLLM
+>sp|Q6UWY2|PRS57_HUMAN Serine protease 57 OS=Homo sapiens OX=9606 GN=PRSS57 PE=1 SV=2
+MGLGLRGWGRPLLTVATALMLPVKPPAGSWGAQIIGGHEVTPHSRPYMASVRFGGQHHCGGFLLRARWVVSAAHCFSHRDLRTGLVVLGAHVLSTAEPTQQVFGIDALTTHPDYHPMTHANDICLLRLNGSAVLGPAVGLLRPPGRRARPPTAGTRCRVAGWGFVSDFEELPPGLMEAKVRVLDPDVCNSSWKGHLTLTMLCTRSGDSHRRGFCSADSGGPLVCRNRAHGLVSFSGLWCGDPKTPDVYTQVSAFVAWIWDVVRRSSPQPGPLPGTTRPPGEAA
+>DECOY_sp|Q6UWY2|PRS57_HUMAN Serine protease 57 OS=Homo sapiens OX=9606 GN=PRSS57 PE=1 SV=2
+AAEGPPRTTGPLPGPQPSSRRVVDWIWAVFASVQTYVDPTKPDGCWLGSFSVLGHARNRCVLPGGSDASCFGRRHSDGSRTCLMTLTLHGKWSSNCVDPDLVRVKAEMLGPPLEEFDSVFGWGAVRCRTGATPPRARRGPPRLLGVAPGLVASGNLRLLCIDNAHTMPHYDPHTTLADIGFVQQTPEATSLVHAGLVVLGTRLDRHSFCHAASVVWRARLLFGGCHHQGGFRVSAMYPRSHPTVEHGGIIQAGWSGAPPKVPLMLATAVTLLPRGWGRLGLGM
+>sp|P43686|PRS6B_HUMAN 26S proteasome regulatory subunit 6B OS=Homo sapiens OX=9606 GN=PSMC4 PE=1 SV=2
+MEEIGILVEKAQDEIPALSVSRPQTGLSFLGPEPEDLEDLYSRYKKLQQELEFLEVQEEYIKDEQKNLKKEFLHAQEEVKRIQSIPLVIGQFLEAVDQNTAIVGSTTGSNYYVRILSTIDRELLKPNASVALHKHSNALVDVLPPEADSSIMMLTSDQKPDVMYADIGGMDIQKQEVREAVELPLTHFELYKQIGIDPPRGVLMYGPPGCGKTMLAKAVAHHTTAAFIRVVGSEFVQKYLGEGPRMVRDVFRLAKENAPAIIFIDEIDAIATKRFDAQTGADREVQRILLELLNQMDGFDQNVNVKVIMATNRADTLDPALLRPGRLDRKIEFPLPDRRQKRLIFSTITSKMNLSEEVDLEDYVARPDKISGADINSICQESGMLAVRENRYIVLAKDFEKAYKTVIKKDEQEHEFYK
+>DECOY_sp|P43686|PRS6B_HUMAN 26S proteasome regulatory subunit 6B OS=Homo sapiens OX=9606 GN=PSMC4 PE=1 SV=2
+KYFEHEQEDKKIVTKYAKEFDKALVIYRNERVALMGSEQCISNIDAGSIKDPRAVYDELDVEESLNMKSTITSFILRKQRRDPLPFEIKRDLRGPRLLAPDLTDARNTAMIVKVNVNQDFGDMQNLLELLIRQVERDAGTQADFRKTAIADIEDIFIIAPANEKALRFVDRVMRPGEGLYKQVFESGVVRIFAATTHHAVAKALMTKGCGPPGYMLVGRPPDIGIQKYLEFHTLPLEVAERVEQKQIDMGGIDAYMVDPKQDSTLMMISSDAEPPLVDVLANSHKHLAVSANPKLLERDITSLIRVYYNSGTTSGVIATNQDVAELFQGIVLPISQIRKVEEQAHLFEKKLNKQEDKIYEEQVELFELEQQLKKYRSYLDELDEPEPGLFSLGTQPRSVSLAPIEDQAKEVLIGIEEM
+>sp|Q86XN7|PRSR1_HUMAN Proline and serine-rich protein 1 OS=Homo sapiens OX=9606 GN=PROSER1 PE=1 SV=2
+MDKKSFEMVLDEIRKAVLTEYKLKAIEYVHGYFSSEQVVDLLRYFSWAEPQLKAMKALQHKMVAVQPTEVVNILNCFTFSKDKLVALELLASNIIDAQNSRPIEDLFRVNMSEKKRCKRILEQAFKGGCKAPHAMISSCGTIPGNPYPKGRPSRINGIFPGTPLKKDGEECTNEGKGIAARILGPSKPPPSTYNPHKPVPYPIPPCRPHATIAPSAYNNAGLVPLANVIAPPPPPYTPNPVGTENEDLSNPSKPIQNQTFSTPASQLFSPHGSNPSTPAATPVPTASPVKAINHPSASAAATVSGMNLLNTVLPVFPGQVSSAVHTPQPSIPNPTVIRTPSLPTAPVTSIHSTTTTPVPSIFSGLVSLPGPSATPTAATPTPGPTPRSTLGSSEAFASTSAPFTSLPFSTSSSAASTSNPNSASLSSVFAGLPLPLPPTSQGLSNPTPVIAGGSTPSVAGPLGVNSPLLSALKGFLTSNDTNLINSSALSSAVTSGLASLSSLTLQNSDSSASAPNKCYAPSAIPTPQRTSTPGLALFPGLPSPVANSTSTPLTLPVQSPLATAASASTSVPVSCGSSASLLRGPHPGTSDLHISSTPAATTLPVMIKTEPTSPTPSAFKGPSHSGNPSHGTLGLSGTLGRAYTSTSVPISLSACLNPALSGLSSLSTPLNGSNPLSSISLPPHGSSTPIAPVFTALPSFTSLTNNFPLTGNPSLNPSVSLPGSLIATSSTAATSTSLPHPSSTAAVLSGLSASAPVSAAPFPLNLSTAVPSLFSVTQGPLSSSNPSYPGFSVSNTPSVTPALPSFPGLQAPSTVAAVTPLPVAATAPSPAPVLPGFASAFSSNFNSALVAQAGLSSGLQAAGSSVFPGLLSLPGIPGFPQNPSQSSLQELQHNAAAQSALLQQVHSASALESYPAQPDGFPSYPSAPGTPFSLQPSLSQSGWQ
+>DECOY_sp|Q86XN7|PRSR1_HUMAN Proline and serine-rich protein 1 OS=Homo sapiens OX=9606 GN=PROSER1 PE=1 SV=2
+QWGSQSLSPQLSFPTGPASPYSPFGDPQAPYSELASASHVQQLLASQAAANHQLEQLSSQSPNQPFGPIGPLSLLGPFVSSGAAQLGSSLGAQAVLASNFNSSFASAFGPLVPAPSPATAAVPLPTVAAVTSPAQLGPFSPLAPTVSPTNSVSFGPYSPNSSSLPGQTVSFLSPVATSLNLPFPAASVPASASLGSLVAATSSPHPLSTSTAATSSTAILSGPLSVSPNLSPNGTLPFNNTLSTFSPLATFVPAIPTSSGHPPLSISSLPNSGNLPTSLSSLGSLAPNLCASLSIPVSTSTYARGLTGSLGLTGHSPNGSHSPGKFASPTPSTPETKIMVPLTTAAPTSSIHLDSTGPHPGRLLSASSGCSVPVSTSASAATALPSQVPLTLPTSTSNAVPSPLGPFLALGPTSTRQPTPIASPAYCKNPASASSDSNQLTLSSLSALGSTVASSLASSNILNTDNSTLFGKLASLLPSNVGLPGAVSPTSGGAIVPTPNSLGQSTPPLPLPLGAFVSSLSASNPNSTSAASSSTSFPLSTFPASTSAFAESSGLTSRPTPGPTPTAATPTASPGPLSVLGSFISPVPTTTTSHISTVPATPLSPTRIVTPNPISPQPTHVASSVQGPFVPLVTNLLNMGSVTAAASASPHNIAKVPSATPVPTAAPTSPNSGHPSFLQSAPTSFTQNQIPKSPNSLDENETGVPNPTYPPPPPAIVNALPVLGANNYASPAITAHPRCPPIPYPVPKHPNYTSPPPKSPGLIRAAIGKGENTCEEGDKKLPTGPFIGNIRSPRGKPYPNGPITGCSSIMAHPAKCGGKFAQELIRKCRKKESMNVRFLDEIPRSNQADIINSALLELAVLKDKSFTFCNLINVVETPQVAVMKHQLAKMAKLQPEAWSFYRLLDVVQESSFYGHVYEIAKLKYETLVAKRIEDLVMEFSKKDM
+>sp|Q2NL68|PRSR3_HUMAN Proline and serine-rich protein 3 OS=Homo sapiens OX=9606 GN=PROSER3 PE=2 SV=1
+MDRSLPVFSIQDSPFGDAPLGRSHYWPSQSQTWCPKTLSPSRSQRSRLPQAPKALATGPNSPELFEESWPSSSGTPSLPSTTEGQMWASPAPTLIDSGDSVVAKYINRFRQAQPTSREERQPAGPTPADFWWLQSDSPDPSSQSAAAGANKPEGRPHTAVPTAVNVTSASHAVAPLQEIKQNLHTWNSSLLDLETLSLQSRAARLLKRSKASISSSSSLSPSDASTSSFPTSSDGLSPFSETFIPDSSKGLGPRAPASPAPAQAQTPTPAPAPASSQAPLRPEDDILYQWRQRRKLEQAQGSKGDRAWVPPLTPALRTLAESLKAKALPPAAGSVIRKSEATPSPGACLQPEVPLSPAEQATTVKASPPAFQVGSPEALAPPPPAADHAPSEALLAQAALLLQAAEDSDGSEFQDDPVLQVLRAHRAELSRQKREADARLSFLLDQAEDLGSWSPPAGSPPRSPRRLLRREGDSLEARRL
+>DECOY_sp|Q2NL68|PRSR3_HUMAN Proline and serine-rich protein 3 OS=Homo sapiens OX=9606 GN=PROSER3 PE=2 SV=1
+LRRAELSDGERRLLRRPSRPPSGAPPSWSGLDEAQDLLFSLRADAERKQRSLEARHARLVQLVPDDQFESGDSDEAAQLLLAAQALLAESPAHDAAPPPPALAEPSGVQFAPPSAKVTTAQEAPSLPVEPQLCAGPSPTAESKRIVSGAAPPLAKAKLSEALTRLAPTLPPVWARDGKSGQAQELKRRQRWQYLIDDEPRLPAQSSAPAPAPTPTQAQAPAPSAPARPGLGKSSDPIFTESFPSLGDSSTPFSSTSADSPSLSSSSSISAKSRKLLRAARSQLSLTELDLLSSNWTHLNQKIEQLPAVAHSASTVNVATPVATHPRGEPKNAGAAASQSSPDPSDSQLWWFDAPTPGAPQREERSTPQAQRFRNIYKAVVSDGSDILTPAPSAWMQGETTSPLSPTGSSSPWSEEFLEPSNPGTALAKPAQPLRSRQSRSPSLTKPCWTQSQSPWYHSRGLPADGFPSDQISFVPLSRDM
+>sp|Q16651|PRSS8_HUMAN Prostasin OS=Homo sapiens OX=9606 GN=PRSS8 PE=1 SV=1
+MAQKGVLGPGQLGAVAILLYLGLLRSGTGAEGAEAPCGVAPQARITGGSSAVAGQWPWQVSITYEGVHVCGGSLVSEQWVLSAAHCFPSEHHKEAYEVKLGAHQLDSYSEDAKVSTLKDIIPHPSYLQEGSQGDIALLQLSRPITFSRYIRPICLPAANASFPNGLHCTVTGWGHVAPSVSLLTPKPLQQLEVPLISRETCNCLYNIDAKPEEPHFVQEDMVCAGYVEGGKDACQGDSGGPLSCPVEGLWYLTGIVSWGDACGARNRPGVYTLASSYASWIQSKVTELQPRVVPQTQESQPDSNLCGSHLAFSSAPAQGLLRPILFLPLGLALGLLSPWLSEH
+>DECOY_sp|Q16651|PRSS8_HUMAN Prostasin OS=Homo sapiens OX=9606 GN=PRSS8 PE=1 SV=1
+HESLWPSLLGLALGLPLFLIPRLLGQAPASSFALHSGCLNSDPQSEQTQPVVRPQLETVKSQIWSAYSSALTYVGPRNRAGCADGWSVIGTLYWLGEVPCSLPGGSDGQCADKGGEVYGACVMDEQVFHPEEPKADINYLCNCTERSILPVELQQLPKPTLLSVSPAVHGWGTVTCHLGNPFSANAAPLCIPRIYRSFTIPRSLQLLAIDGQSGEQLYSPHPIIDKLTSVKADESYSDLQHAGLKVEYAEKHHESPFCHAASLVWQESVLSGGCVHVGEYTISVQWPWQGAVASSGGTIRAQPAVGCPAEAGEAGTGSRLLGLYLLIAVAGLQGPGLVGKQAM
+>sp|Q15532|SSXT_HUMAN Protein SSXT OS=Homo sapiens OX=9606 GN=SS18 PE=1 SV=3
+MSVAFAAPRQRGKGEITPAAIQKMLDDNNHLIQCIMDSQNKGKTSECSQYQQMLHTNLVYLATIADSNQNMQSLLPAPPTQNMPMGPGGMNQSGPPPPPRSHNMPSDGMVGGGPPAPHMQNQMNGQMPGPNHMPMQGPGPNQLNMTNSSMNMPSSSHGSMGGYNHSVPSSQSMPVQNQMTMSQGQPMGNYGPRPNMSMQPNQGPMMHQQPPSQQYNMPQGGGQHYQGQQPPMGMMGQVNQGNHMMGQRQIPPYRPPQQGPPQQYSGQEDYYGDQYSHGGQGPPEGMNQQYYPDGHNDYGYQQPSYPEQGYDRPYEDSSQHYYEGGNSQYGQQQDAYQGPPPQQGYPPQQQQYPGQQGYPGQQQGYGPSQGGPGPQYPNYPQGQGQQYGGYRPTQPGPPQPPQQRPYGYDQGQYGNYQQ
+>DECOY_sp|Q15532|SSXT_HUMAN Protein SSXT OS=Homo sapiens OX=9606 GN=SS18 PE=1 SV=3
+QQYNGYQGQDYGYPRQQPPQPPGPQTPRYGGYQQGQGQPYNPYQPGPGGQSPGYGQQQGPYGQQGPYQQQQPPYGQQPPPGQYADQQQGYQSNGGEYYHQSSDEYPRDYGQEPYSPQQYGYDNHGDPYYQQNMGEPPGQGGHSYQDGYYDEQGSYQQPPGQQPPRYPPIQRQGMMHNGQNVQGMMGMPPQQGQYHQGGGQPMNYQQSPPQQHMMPGQNPQMSMNPRPGYNGMPQGQSMTMQNQVPMSQSSPVSHNYGGMSGHSSSPMNMSSNTMNLQNPGPGQMPMHNPGPMQGNMQNQMHPAPPGGGVMGDSPMNHSRPPPPPGSQNMGGPGMPMNQTPPAPLLSQMNQNSDAITALYVLNTHLMQQYQSCESTKGKNQSDMICQILHNNDDLMKQIAAPTIEGKGRQRPAAFAVSM
+>sp|Q6IMI6|ST1C3_HUMAN Sulfotransferase 1C3 OS=Homo sapiens OX=9606 GN=SULT1C3 PE=1 SV=1
+MAKIEKNAPTMEKKPELFNIMEVDGVPTLILSKEWWEKVCNFQAKPDDLILATYPKSGTTWMHEILDMILNDGDVEKCKRAQTLDRHAFLELKFPHKEKPDLEFVLEMSSPQLIKTHLPSHLIPPSIWKENCKIVYVARNPKDCLVSYYHFHRMASFMPDPQNLEEFYEKFMSGKVVGGSWFDHVKGWWAAKDMHRILYLFYEDIKKDPKREIEKILKFLEKDISEEILNKIIYHTSFDVMKQNPMTNYTTLPTSIMDHSISPFMRKGMPGDWKNYFTVAQNEEFDKDYQKKMAGSTLTFRTEI
+>DECOY_sp|Q6IMI6|ST1C3_HUMAN Sulfotransferase 1C3 OS=Homo sapiens OX=9606 GN=SULT1C3 PE=1 SV=1
+IETRFTLTSGAMKKQYDKDFEENQAVTFYNKWDGPMGKRMFPSISHDMISTPLTTYNTMPNQKMVDFSTHYIIKNLIEESIDKELFKLIKEIERKPDKKIDEYFLYLIRHMDKAAWWGKVHDFWSGGVVKGSMFKEYFEELNQPDPMFSAMRHFHYYSVLCDKPNRAVYVIKCNEKWISPPILHSPLHTKILQPSSMELVFELDPKEKHPFKLELFAHRDLTQARKCKEVDGDNLIMDLIEHMWTTGSKPYTALILDDPKAQFNCVKEWWEKSLILTPVGDVEMINFLEPKKEMTPANKEIKAM
+>sp|O75897|ST1C4_HUMAN Sulfotransferase 1C4 OS=Homo sapiens OX=9606 GN=SULT1C4 PE=1 SV=2
+MALHDMEDFTFDGTKRLSVNYVKGILQPTDTCDIWDKIWNFQAKPDDLLISTYPKAGTTWTQEIVELIQNEGDVEKSKRAPTHQRFPFLEMKIPSLGSGLEQAHAMPSPRILKTHLPFHLLPPSLLEKNCKIIYVARNPKDNMVSYYHFQRMNKALPAPGTWEEYFETFLAGKVCWGSWHEHVKGWWEAKDKHRILYLFYEDMKKNPKHEIQKLAEFIGKKLDDKVLDKIVHYTSFDVMKQNPMANYSSIPAEIMDHSISPFMRKGAVGDWKKHFTVAQNERFDEDYKKKMTDTRLTFHFQF
+>DECOY_sp|O75897|ST1C4_HUMAN Sulfotransferase 1C4 OS=Homo sapiens OX=9606 GN=SULT1C4 PE=1 SV=2
+FQFHFTLRTDTMKKKYDEDFRENQAVTFHKKWDGVAGKRMFPSISHDMIEAPISSYNAMPNQKMVDFSTYHVIKDLVKDDLKKGIFEALKQIEHKPNKKMDEYFLYLIRHKDKAEWWGKVHEHWSGWCVKGALFTEFYEEWTGPAPLAKNMRQFHYYSVMNDKPNRAVYIIKCNKELLSPPLLHFPLHTKLIRPSPMAHAQELGSGLSPIKMELFPFRQHTPARKSKEVDGENQILEVIEQTWTTGAKPYTSILLDDPKAQFNWIKDWIDCTDTPQLIGKVYNVSLRKTGDFTFDEMDHLAM
+>sp|Q9NY57|ST32B_HUMAN Serine/threonine-protein kinase 32B OS=Homo sapiens OX=9606 GN=STK32B PE=2 SV=1
+MGGNHSHKPPVFDENEEVNFDHFQILRAIGKGSFGKVCIVQKRDTKKMYAMKYMNKQKCIERDEVRNVFRELQIMQGLEHPFLVNLWYSFQDEEDMFMVVDLLLGGDLRYHLQQNVHFTEGTVKLYICELALALEYLQRYHIIHRDIKPDNILLDEHGHVHITDFNIATVVKGAERASSMAGTKPYMAPEVFQVYMDRGPGYSYPVDWWSLGITAYELLRGWRPYEIHSVTPIDEILNMFKVERVHYSSTWCKGMVALLRKLLTKDPESRVSSLHDIQSVPYLADMNWDAVFKKALMPGFVPNKGRLNCDPTFELEEMILESKPLHKKKKRLAKNRSRDGTKDSCPLNGHLQHCLETVREEFIIFNREKLRRQQGQGSQLLDTDSRGGGQAQSKLQDGCNNNLLTHTCTRGCSS
+>DECOY_sp|Q9NY57|ST32B_HUMAN Serine/threonine-protein kinase 32B OS=Homo sapiens OX=9606 GN=STK32B PE=2 SV=1
+SSCGRTCTHTLLNNNCGDQLKSQAQGGGRSDTDLLQSGQGQQRRLKERNFIIFEERVTELCHQLHGNLPCSDKTGDRSRNKALRKKKKHLPKSELIMEELEFTPDCNLRGKNPVFGPMLAKKFVADWNMDALYPVSQIDHLSSVRSEPDKTLLKRLLAVMGKCWTSSYHVREVKFMNLIEDIPTVSHIEYPRWGRLLEYATIGLSWWDVPYSYGPGRDMYVQFVEPAMYPKTGAMSSAREAGKVVTAINFDTIHVHGHEDLLINDPKIDRHIIHYRQLYELALALECIYLKVTGETFHVNQQLHYRLDGGLLLDVVMFMDEEDQFSYWLNVLFPHELGQMIQLERFVNRVEDREICKQKNMYKMAYMKKTDRKQVICVKGFSGKGIARLIQFHDFNVEENEDFVPPKHSHNGGM
+>sp|P0CL85|ST3L3_HUMAN STAG3-like protein 3 OS=Homo sapiens OX=9606 GN=STAG3L3 PE=2 SV=1
+MIFSMLRKLPKVTCRDVLPEIRAICIEEIGCWMQSYSTSFLTDSYLKYIGWTLHDKHREVRVKCVKALKGLYGNRDLTARLELFTGCFKDWMVSMIMDREYSVAVEAVRLLILILKNMEGVLMDVDCESVYPIV
+>DECOY_sp|P0CL85|ST3L3_HUMAN STAG3-like protein 3 OS=Homo sapiens OX=9606 GN=STAG3L3 PE=2 SV=1
+VIPYVSECDVDMLVGEMNKLILILLRVAEVAVSYERDMIMSVMWDKFCGTFLELRATLDRNGYLGKLAKVCKVRVERHKDHLTWGIYKLYSDTLFSTSYSQMWCGIEEICIARIEPLVDRCTVKPLKRLMSFIM
+>sp|Q9NRC1|ST7_HUMAN Suppressor of tumorigenicity 7 protein OS=Homo sapiens OX=9606 GN=ST7 PE=1 SV=1
+MAEAATGFLEQLKSCIVWSWTYLWTVWFFIVLFLVYILRVPLKINDNLSTVSMFLNTLTPKFYVALTGTSSLISGLILIFEWWYFRKYGTSFIEQVSVSHLRPLLGGVDNNSSNNSNSSNGDSDSNRQSVSECKVWRNPLNLFRGAEYNRYTWVTGREPLTYYDMNLSAQDHQTFFTCDSDHLRPADAIMQKAWRERNPQARISAAHEALEINEIRSRVEVPLIASSTIWEIKLLPKCATAYILLAEEEATTIAEAEKLFKQALKAGDGCYRRSQQLQHHGSQYEAQHRRDTNVLVYIKRRLAMCARRLGRTREAVKMMRDLMKEFPLLSMFNIHENLLEALLELQAYADVQAVLAKYDDISLPKSATICYTAALLKARAVSDKFSPEAASRRGLSTAEMNAVEAIHRAVEFNPHVPKYLLEMKSLILPPEHILKRGDSEAIAYAFFHLAHWKRVEGALNLLHCTWEGTFRMIPYPLEKGHLFYPYPICTETADRELLPSFHEVSVYPKKELPFFILFTAGLCSFTAMLALLTHQFPELMGVFAKAMIDIFCSAEFRDWNCKSIFMRVEDELEIPPAPQSQHFQN
+>DECOY_sp|Q9NRC1|ST7_HUMAN Suppressor of tumorigenicity 7 protein OS=Homo sapiens OX=9606 GN=ST7 PE=1 SV=1
+NQFHQSQPAPPIELEDEVRMFISKCNWDRFEASCFIDIMAKAFVGMLEPFQHTLLALMATFSCLGATFLIFFPLEKKPYVSVEHFSPLLERDATETCIPYPYFLHGKELPYPIMRFTGEWTCHLLNLAGEVRKWHALHFFAYAIAESDGRKLIHEPPLILSKMELLYKPVHPNFEVARHIAEVANMEATSLGRRSAAEPSFKDSVARAKLLAATYCITASKPLSIDDYKALVAQVDAYAQLELLAELLNEHINFMSLLPFEKMLDRMMKVAERTRGLRRACMALRRKIYVLVNTDRRHQAEYQSGHHQLQQSRRYCGDGAKLAQKFLKEAEAITTAEEEALLIYATACKPLLKIEWITSSAILPVEVRSRIENIELAEHAASIRAQPNRERWAKQMIADAPRLHDSDCTFFTQHDQASLNMDYYTLPERGTVWTYRNYEAGRFLNLPNRWVKCESVSQRNSDSDGNSSNSNNSSNNDVGGLLPRLHSVSVQEIFSTGYKRFYWWEFILILGSILSSTGTLAVYFKPTLTNLFMSVTSLNDNIKLPVRLIYVLFLVIFFWVTWLYTWSWVICSKLQELFGTAAEAM
+>sp|P42229|STA5A_HUMAN Signal transducer and activator of transcription 5A OS=Homo sapiens OX=9606 GN=STAT5A PE=1 SV=1
+MAGWIQAQQLQGDALRQMQVLYGQHFPIEVRHYLAQWIESQPWDAIDLDNPQDRAQATQLLEGLVQELQKKAEHQVGEDGFLLKIKLGHYATQLQKTYDRCPLELVRCIRHILYNEQRLVREANNCSSPAGILVDAMSQKHLQINQTFEELRLVTQDTENELKKLQQTQEYFIIQYQESLRIQAQFAQLAQLSPQERLSRETALQQKQVSLEAWLQREAQTLQQYRVELAEKHQKTLQLLRKQQTIILDDELIQWKRRQQLAGNGGPPEGSLDVLQSWCEKLAEIIWQNRQQIRRAEHLCQQLPIPGPVEEMLAEVNATITDIISALVTSTFIIEKQPPQVLKTQTKFAATVRLLVGGKLNVHMNPPQVKATIISEQQAKSLLKNENTRNECSGEILNNCCVMEYHQATGTLSAHFRNMSLKRIKRADRRGAESVTEEKFTVLFESQFSVGSNELVFQVKTLSLPVVVIVHGSQDHNATATVLWDNAFAEPGRVPFAVPDKVLWPQLCEALNMKFKAEVQSNRGLTKENLVFLAQKLFNNSSSHLEDYSGLSVSWSQFNRENLPGWNYTFWQWFDGVMEVLKKHHKPHWNDGAILGFVNKQQAHDLLINKPDGTFLLRFSDSEIGGITIAWKFDSPERNLWNLKPFTTRDFSIRSLADRLGDLSYLIYVFPDRPKDEVFSKYYTPVLAKAVDGYVKPQIKQVVPEFVNASADAGGSSATYMDQAPSPAVCPQAPYNMYPQNPDHVLDQDGEFDLDETMDVARHVEELLRRPMDSLDSRLSPPAGLFTSARGSLS
+>DECOY_sp|P42229|STA5A_HUMAN Signal transducer and activator of transcription 5A OS=Homo sapiens OX=9606 GN=STAT5A PE=1 SV=1
+SLSGRASTFLGAPPSLRSDLSDMPRRLLEEVHRAVDMTEDLDFEGDQDLVHDPNQPYMNYPAQPCVAPSPAQDMYTASSGGADASANVFEPVVQKIQPKVYGDVAKALVPTYYKSFVEDKPRDPFVYILYSLDGLRDALSRISFDRTTFPKLNWLNREPSDFKWAITIGGIESDSFRLLFTGDPKNILLDHAQQKNVFGLIAGDNWHPKHHKKLVEMVGDFWQWFTYNWGPLNERNFQSWSVSLGSYDELHSSSNNFLKQALFVLNEKTLGRNSQVEAKFKMNLAECLQPWLVKDPVAFPVRGPEAFANDWLVTATANHDQSGHVIVVVPLSLTKVQFVLENSGVSFQSEFLVTFKEETVSEAGRRDARKIRKLSMNRFHASLTGTAQHYEMVCCNNLIEGSCENRTNENKLLSKAQQESIITAKVQPPNMHVNLKGGVLLRVTAAFKTQTKLVQPPQKEIIFTSTVLASIIDTITANVEALMEEVPGPIPLQQCLHEARRIQQRNQWIIEALKECWSQLVDLSGEPPGGNGALQQRRKWQILEDDLIITQQKRLLQLTKQHKEALEVRYQQLTQAERQLWAELSVQKQQLATERSLREQPSLQALQAFQAQIRLSEQYQIIFYEQTQQLKKLENETDQTVLRLEEFTQNIQLHKQSMADVLIGAPSSCNNAERVLRQENYLIHRICRVLELPCRDYTKQLQTAYHGLKIKLLFGDEGVQHEAKKQLEQVLGELLQTAQARDQPNDLDIADWPQSEIWQALYHRVEIPFHQGYLVQMQRLADGQLQQAQIWGAM
+>sp|Q96MF2|STAC3_HUMAN SH3 and cysteine-rich domain-containing protein 3 OS=Homo sapiens OX=9606 GN=STAC3 PE=1 SV=1
+MTEKEVLESPKPSFPAETRQSGLQRLKQLLRKGSTGTKEMELPPEPQANGEAVGAGGGPIYYIYEEEEEEEEEEEEPPPEPPKLVNDKPHKFKDHFFKKPKFCDVCARMIVLNNKFGLRCKNCKTNIHEHCQSYVEMQRCFGKIPPGFHRAYSSPLYSNQQYACVKDLSAANRNDPVFETLRTGVIMANKERKKGQADKKNPVAAMMEEEPESARPEEGKPQDGNPEGDKKAEKKTPDDKHKQPGFQQSHYFVALYRFKALEKDDLDFPPGEKITVIDDSNEEWWRGKIGEKVGFFPPNFIIRVRAGERVHRVTRSFVGNREIGQITLKKDQIVVQKGDEAGGYVKVYTGRKVGLFPTDFLEEI
+>DECOY_sp|Q96MF2|STAC3_HUMAN SH3 and cysteine-rich domain-containing protein 3 OS=Homo sapiens OX=9606 GN=STAC3 PE=1 SV=1
+IEELFDTPFLGVKRGTYVKVYGGAEDGKQVVIQDKKLTIQGIERNGVFSRTVRHVREGARVRIIFNPPFFGVKEGIKGRWWEENSDDIVTIKEGPPFDLDDKELAKFRYLAVFYHSQQFGPQKHKDDPTKKEAKKDGEPNGDQPKGEEPRASEPEEEMMAAVPNKKDAQGKKREKNAMIVGTRLTEFVPDNRNAASLDKVCAYQQNSYLPSSYARHFGPPIKGFCRQMEVYSQCHEHINTKCNKCRLGFKNNLVIMRACVDCFKPKKFFHDKFKHPKDNVLKPPEPPPEEEEEEEEEEEEYIYYIPGGGAGVAEGNAQPEPPLEMEKTGTSGKRLLQKLRQLGSQRTEAPFSPKPSELVEKETM
+>sp|Q9UJ98|STAG3_HUMAN Cohesin subunit SA-3 OS=Homo sapiens OX=9606 GN=STAG3 PE=1 SV=2
+MSSPLQRAVGDTKRALSASSSSSASLPFDDRDSNHTSEGNGDSLLADEDTDFEDSLNRNVKKRAAKRPPKTTPVAKHPKKGSRVVHRHSRKQSEPPANDLFNAVKAAKSDMQSLVDEWLDSYKQDQDAGFLELVNFFIQSCGCKGIVTPEMFKKMSNSEIIQHLTEQFNEDSGDYPLIAPGPSWKKFQGSFCEFVRTLVCQCQYSLLYDGFPMDDLISLLTGLSDSQVRAFRHTSTLAAMKLMTSLVKVALQLSVHQDNNQRQYEAERNKGPGQRAPERLESLLEKRKELQEHQEEIEGMMNALFRGVFVHRYRDVLPEIRAICIEEIGCWMQSYSTSFLTDSYLKYIGWTLHDKHREVRLKCVKALKGLYGNRDLTTRLELFTSRFKDRMVSMVMDREYDVAVEAVRLLILILKNMEGVLTDADCESVYPVVYASHRGLASAAGEFLYWKLFYPECEIRMMGGREQRQSPGAQRTFFQLLLSFFVESELHDHAAYLVDSLWDCAGARLKDWEGLTSLLLEKDQNLGDVQESTLIEILVSSARQASEGHPPVGRVTGRKGLTSKERKTQADDRVKLTEHLIPLLPQLLAKFSADAEKVTPLLQLLSCFDLHIYCTGRLEKHLELFLQQLQEVVVKHAEPAVLEAGAHALYLLCNPEFTFFSRADFARSQLVDLLTDRFQQELEELLQSSFLDEDEVYNLAATLKRLSAFYNTHDLTRWELYEPCCQLLQKAVDTGEVPHQVILPALTLVYFSILWTLTHISKSDASQKQLSSLRDRMVAFCELCQSCLSDVDTEIQEQAFVLLSDLLLIFSPQMIVGGRDFLRPLVFFPEATLQSELASFLMDHVFIQPGDLGSGDSQEDHLQIERLHQRRRLLAGFCKLLLYGVLEMDAASDVFKHYNKFYNDYGDIIKETLTRARQIDRSHCSRILLLSLKQLYTELLQEHGPQGLNELPAFIEMRDLARRFALSFGPQQLQNRDLVVMLHKEGIQFSLSELPPAGSSNQPPNLAFLELLSEFSPRLFHQDKQLLLSYLEKCLQHVSQAPGHPWGPVTTYCHSLSPVENTAETSPQVLPSSKRRRVEGPAKPNREDVSSSQEESLQLNSIPPTPTLTSTAVKSRQPLWGLKEMEEEDGSELDFAQGQPVAGTERSRFLGPQYFQTPHNPSGPGLGNQLMRLSLMEEDEEEELEIQDESNEERQDTDMQASSYSSTSERGLDLLDSTELDIEDF
+>DECOY_sp|Q9UJ98|STAG3_HUMAN Cohesin subunit SA-3 OS=Homo sapiens OX=9606 GN=STAG3 PE=1 SV=2
+FDEIDLETSDLLDLGRESTSSYSSAQMDTDQREENSEDQIELEEEEDEEMLSLRMLQNGLGPGSPNHPTQFYQPGLFRSRETGAVPQGQAFDLESGDEEEMEKLGWLPQRSKVATSTLTPTPPISNLQLSEEQSSSVDERNPKAPGEVRRRKSSPLVQPSTEATNEVPSLSHCYTTVPGWPHGPAQSVHQLCKELYSLLLQKDQHFLRPSFESLLELFALNPPQNSSGAPPLESLSFQIGEKHLMVVLDRNQLQQPGFSLAFRRALDRMEIFAPLENLGQPGHEQLLETYLQKLSLLLIRSCHSRDIQRARTLTEKIIDGYDNYFKNYHKFVDSAADMELVGYLLLKCFGALLRRRQHLREIQLHDEQSDGSGLDGPQIFVHDMLFSALESQLTAEPFFVLPRLFDRGGVIMQPSFILLLDSLLVFAQEQIETDVDSLCSQCLECFAVMRDRLSSLQKQSADSKSIHTLTWLISFYVLTLAPLIVQHPVEGTDVAKQLLQCCPEYLEWRTLDHTNYFASLRKLTAALNYVEDEDLFSSQLLEELEQQFRDTLLDVLQSRAFDARSFFTFEPNCLLYLAHAGAELVAPEAHKVVVEQLQQLFLELHKELRGTCYIHLDFCSLLQLLPTVKEADASFKALLQPLLPILHETLKVRDDAQTKREKSTLGKRGTVRGVPPHGESAQRASSVLIEILTSEQVDGLNQDKELLLSTLGEWDKLRAGACDWLSDVLYAAHDHLESEVFFSLLLQFFTRQAGPSQRQERGGMMRIECEPYFLKWYLFEGAASALGRHSAYVVPYVSECDADTLVGEMNKLILILLRVAEVAVDYERDMVMSVMRDKFRSTFLELRTTLDRNGYLGKLAKVCKLRVERHKDHLTWGIYKLYSDTLFSTSYSQMWCGIEEICIARIEPLVDRYRHVFVGRFLANMMGEIEEQHEQLEKRKELLSELREPARQGPGKNREAEYQRQNNDQHVSLQLAVKVLSTMLKMAALTSTHRFARVQSDSLGTLLSILDDMPFGDYLLSYQCQCVLTRVFECFSGQFKKWSPGPAILPYDGSDENFQETLHQIIESNSMKKFMEPTVIGKCGCSQIFFNVLELFGADQDQKYSDLWEDVLSQMDSKAAKVANFLDNAPPESQKRSHRHVVRSGKKPHKAVPTTKPPRKAARKKVNRNLSDEFDTDEDALLSDGNGESTHNSDRDDFPLSASSSSSASLARKTDGVARQLPSSM
+>sp|Q9UGK3|STAP2_HUMAN Signal-transducing adaptor protein 2 OS=Homo sapiens OX=9606 GN=STAP2 PE=1 SV=2
+MASALRPPRVPKPKGVLPSHYYESFLEKKGPCDRDYKKFWAGLQGLTIYFYNSNRDFQHVEKLNLGAFEKLTDEIPWGSSRDPGTHFSLILRDQEIKFKVETLECREMWKGFILTVVELRVPTDLTLLPGHLYMMSEVLAKEEARRALETPSCFLKVSRLEAQLLLERYPECGNLLLRPSGDGADGVSVTTRQMHNGTHVVRHYKVKREGPKYVIDVEQPFSCTSLDAVVNYFVSHTKKALVPFLLDEDYEKVLGYVEADKENGENVWVAPSAPGPGPAPCTGGPKPLSPASSQDKLPPLPPLPNQEENYVTPIGDGPAVDYENQDVASSSWPVILKPKKLPKPPAKLPKPPVGPKPEPKVFNGGLGRKLPVSSAQPLFPTAGLADMTAELQKKLEKRRALEH
+>DECOY_sp|Q9UGK3|STAP2_HUMAN Signal-transducing adaptor protein 2 OS=Homo sapiens OX=9606 GN=STAP2 PE=1 SV=2
+HELARRKELKKQLEATMDALGATPFLPQASSVPLKRGLGGNFVKPEPKPGVPPKPLKAPPKPLKKPKLIVPWSSSAVDQNEYDVAPGDGIPTVYNEEQNPLPPLPPLKDQSSAPSLPKPGGTCPAPGPGPASPAVWVNEGNEKDAEVYGLVKEYDEDLLFPVLAKKTHSVFYNVVADLSTCSFPQEVDIVYKPGERKVKYHRVVHTGNHMQRTTVSVGDAGDGSPRLLLNGCEPYRELLLQAELRSVKLFCSPTELARRAEEKALVESMMYLHGPLLTLDTPVRLEVVTLIFGKWMERCELTEVKFKIEQDRLILSFHTGPDRSSGWPIEDTLKEFAGLNLKEVHQFDRNSNYFYITLGQLGAWFKKYDRDCPGKKELFSEYYHSPLVGKPKPVRPPRLASAM
+>sp|Q9Y2K9|STB5L_HUMAN Syntaxin-binding protein 5-like OS=Homo sapiens OX=9606 GN=STXBP5L PE=1 SV=2
+MKKFNFRKVLDGLTASSPGSGSSSGSNSGGGAGSGSVHPAGTAGVLREEIQETLTSEYFQICKTVRHGFPHQPTALAFDPVQKILAIGTRTGAIRILGRPGVDCYCQHESGAAVLQLQFLINEGALVSASSDDTLHLWNLRQKRPAILHSLKFNRERITYCHLPFQSKWLYVGTERGNTHIVNIESFILSGYVIMWNKAIELSTKTHPGPVVHLSDSPRDEGKLLIGYENGTVVFWDLKSKRAELRVYYDEAIHSIDWHHEGKQFMCSHSDGSLTLWNLKSPSRPFQTTIPHGKSQREGRKSESCKPILKVEYKTCKNSEPFIIFSGGLSYDKACRRPSLTIMHGKAITVLEMDHPIVEFLTLCETPYPNEFQEPYAVVVLLEKDLIVVDLTQSNFPIFENPYPMDIHESPVTCTAYFADCPPDLILVLYSIGVKHKKQGYSNKEWPISGGAWNLGAQTYPEIIITGHADGSIKFWDASAITLQMLYKLKTSKVFEKQKVGEGKQTCEIVEEDPFAIQMIYWCPESRIFCVSGVSAYVIIYKFSRHEITTEIVSLEVRLQYDVEDIITPEPETSPPFPDLSAQLPSSRSLSGSTNTVASEGVTKDSIPCLNVKTRPVRMPPGYQAELVIQLVWVDGEPPQQITSLAVSSAYGIVAFGNCNGLAVVDFIQKTVLLSMGTIDLYRSSDLYQRQPRSPRKNKQFIADNFCMRGLSNFYPDLTKRIRTSYQSLTELNDSPVPLELERCKSPTSDHVNGHCTSPTSQSCSSGKRLSSADVSKVNRWGPGRPPFRKAQSAACMEISLPVTTEENRENSYNRSRSSSISSIDKDSKEAITALYFMDSFARKNDSTISPCLFVGTSLGMVLIISLNLPLADEQRFTEPVMVLPSGTFLSLKGAVLTFSCMDRMGGLMQPPYEVWRDPNNIDENEKSWRRKVVMNSSSASQEIGDHQYTIICSEKQAKVFSLPSQTCLYVHNITETSFILQANVVVMCSSACLACFCANGHIMIMSLPSLRPMLDVNYLPLTDMRIARTFCFTNEGQALYLVSPTEIQRLTYSQEMCDNLQDMLGDLFTPIETPEAQNRGFLKGLFGGSGQTFDREELFGEASAGKASRSLAQHIPGPGSIEGMKGAAGGVMGELTRARIALDERGQRLGELEEKTAGMMTSAEAFSKHAHELMLKYKDKKWYQF
+>DECOY_sp|Q9Y2K9|STB5L_HUMAN Syntaxin-binding protein 5-like OS=Homo sapiens OX=9606 GN=STXBP5L PE=1 SV=2
+FQYWKKDKYKLMLEHAHKSFAEASTMMGATKEELEGLRQGREDLAIRARTLEGMVGGAAGKMGEISGPGPIHQALSRSAKGASAEGFLEERDFTQGSGGFLGKLFGRNQAEPTEIPTFLDGLMDQLNDCMEQSYTLRQIETPSVLYLAQGENTFCFTRAIRMDTLPLYNVDLMPRLSPLSMIMIHGNACFCALCASSCMVVVNAQLIFSTETINHVYLCTQSPLSFVKAQKESCIITYQHDGIEQSASSSNMVVKRRWSKENEDINNPDRWVEYPPQMLGGMRDMCSFTLVAGKLSLFTGSPLVMVPETFRQEDALPLNLSIILVMGLSTGVFLCPSITSDNKRAFSDMFYLATIAEKSDKDISSISSSRSRNYSNERNEETTVPLSIEMCAASQAKRFPPRGPGWRNVKSVDASSLRKGSSCSQSTPSTCHGNVHDSTPSKCRELELPVPSDNLETLSQYSTRIRKTLDPYFNSLGRMCFNDAIFQKNKRPSRPQRQYLDSSRYLDITGMSLLVTKQIFDVVALGNCNGFAVIGYASSVALSTIQQPPEGDVWVLQIVLEAQYGPPMRVPRTKVNLCPISDKTVGESAVTNTSGSLSRSSPLQASLDPFPPSTEPEPTIIDEVDYQLRVELSVIETTIEHRSFKYIIVYASVGSVCFIRSEPCWYIMQIAFPDEEVIECTQKGEGVKQKEFVKSTKLKYLMQLTIASADWFKISGDAHGTIIIEPYTQAGLNWAGGSIPWEKNSYGQKKHKVGISYLVLILDPPCDAFYATCTVPSEHIDMPYPNEFIPFNSQTLDVVILDKELLVVVAYPEQFENPYPTECLTLFEVIPHDMELVTIAKGHMITLSPRRCAKDYSLGGSFIIFPESNKCTKYEVKLIPKCSESKRGERQSKGHPITTQFPRSPSKLNWLTLSGDSHSCMFQKGEHHWDISHIAEDYYVRLEARKSKLDWFVVTGNEYGILLKGEDRPSDSLHVVPGPHTKTSLEIAKNWMIVYGSLIFSEINVIHTNGRETGVYLWKSQFPLHCYTIRERNFKLSHLIAPRKQRLNWLHLTDDSSASVLAGENILFQLQLVAAGSEHQCYCDVGPRGLIRIAGTRTGIALIKQVPDFALATPQHPFGHRVTKCIQFYESTLTEQIEERLVGATGAPHVSGSGAGGGSNSGSSSGSGPSSATLGDLVKRFNFKKM
+>sp|O95210|STBD1_HUMAN Starch-binding domain-containing protein 1 OS=Homo sapiens OX=9606 GN=STBD1 PE=1 SV=1
+MGAVWSALLVGGGLAGALFVWLLRGGPGDTGKDGDAEQEKDAPLGGAAIPGGHQSGSSGLSPGPSGQELVTKPEHLQESNGHLISKTKDLGKLQAASWRLQNPSREVCDNSREHVPSGQFPDTEAPATSETSNSRSYSEVSRNESLESPMGEWGFQKGQEISAKAATCFAEKLPSSNLLKNRAKEEMSLSDLNSQDRVDHEEWEMVPRHSSWGDVGVGGSLKAPVLNLNQGMDNGRSTLVEARGQQVHGKMERVAVMPAGSQQVSVRFQVHYVTSTDVQFIAVTGDHECLGRWNTYIPLHYNKDGFWSHSIFLPADTVVEWKFVLVENGGVTRWEECSNRFLETGHEDKVVHAWWGIH
+>DECOY_sp|O95210|STBD1_HUMAN Starch-binding domain-containing protein 1 OS=Homo sapiens OX=9606 GN=STBD1 PE=1 SV=1
+HIGWWAHVVKDEHGTELFRNSCEEWRTVGGNEVLVFKWEVVTDAPLFISHSWFGDKNYHLPIYTNWRGLCEHDGTVAIFQVDTSTVYHVQFRVSVQQSGAPMVAVREMKGHVQQGRAEVLTSRGNDMGQNLNLVPAKLSGGVGVDGWSSHRPVMEWEEHDVRDQSNLDSLSMEEKARNKLLNSSPLKEAFCTAAKASIEQGKQFGWEGMPSELSENRSVESYSRSNSTESTAPAETDPFQGSPVHERSNDCVERSPNQLRWSAAQLKGLDKTKSILHGNSEQLHEPKTVLEQGSPGPSLGSSGSQHGGPIAAGGLPADKEQEADGDKGTDGPGGRLLWVFLAGALGGGVLLASWVAGM
+>sp|Q687X5|STEA4_HUMAN Metalloreductase STEAP4 OS=Homo sapiens OX=9606 GN=STEAP4 PE=1 SV=1
+MEKTCIDALPLTMNSSEKQETVCIFGTGDFGRSLGLKMLQCGYSVVFGSRNPQKTTLLPSGAEVLSYSEAAKKSGIIIIAIHREHYDFLTELTEVLNGKILVDISNNLKINQYPESNAEYLAHLVPGAHVVKAFNTISAWALQSGALDASRQVFVCGNDSKAKQRVMDIVRNLGLTPMDQGSLMAAKEIEKYPLQLFPMWRFPFYLSAVLCVFLFFYCVIRDVIYPYVYEKKDNTFRMAISIPNRIFPITALTLLALVYLPGVIAAILQLYRGTKYRRFPDWLDHWMLCRKQLGLVALGFAFLHVLYTLVIPIRYYVRWRLGNLTVTQAILKKENPFSTSSAWLSDSYVALGILGFFLFVLLGITSLPSVSNAVNWREFRFVQSKLGYLTLILCTAHTLVYGGKRFLSPSNLRWYLPAAYVLGLIIPCTVLVIKFVLIMPCVDNTLTRIRQGWERNSKH
+>DECOY_sp|Q687X5|STEA4_HUMAN Metalloreductase STEAP4 OS=Homo sapiens OX=9606 GN=STEAP4 PE=1 SV=1
+HKSNREWGQRIRTLTNDVCPMILVFKIVLVTCPIILGLVYAAPLYWRLNSPSLFRKGGYVLTHATCLILTLYGLKSQVFRFERWNVANSVSPLSTIGLLVFLFFGLIGLAVYSDSLWASSTSFPNEKKLIAQTVTLNGLRWRVYYRIPIVLTYLVHLFAFGLAVLGLQKRCLMWHDLWDPFRRYKTGRYLQLIAAIVGPLYVLALLTLATIPFIRNPISIAMRFTNDKKEYVYPYIVDRIVCYFFLFVCLVASLYFPFRWMPFLQLPYKEIEKAAMLSGQDMPTLGLNRVIDMVRQKAKSDNGCVFVQRSADLAGSQLAWASITNFAKVVHAGPVLHALYEANSEPYQNIKLNNSIDVLIKGNLVETLETLFDYHERHIAIIIIGSKKAAESYSLVEAGSPLLTTKQPNRSGFVVSYGCQLMKLGLSRGFDGTGFICVTEQKESSNMTLPLADICTKEM
+>sp|O94804|STK10_HUMAN Serine/threonine-protein kinase 10 OS=Homo sapiens OX=9606 GN=STK10 PE=1 SV=1
+MAFANFRRILRLSTFEKRKSREYEHVRRDLDPNEVWEIVGELGDGAFGKVYKAKNKETGALAAAKVIETKSEEELEDYIVEIEILATCDHPYIVKLLGAYYHDGKLWIMIEFCPGGAVDAIMLELDRGLTEPQIQVVCRQMLEALNFLHSKRIIHRDLKAGNVLMTLEGDIRLADFGVSAKNLKTLQKRDSFIGTPYWMAPEVVMCETMKDTPYDYKADIWSLGITLIEMAQIEPPHHELNPMRVLLKIAKSDPPTLLTPSKWSVEFRDFLKIALDKNPETRPSAAQLLEHPFVSSITSNKALRELVAEAKAEVMEEIEDGRDEGEEEDAVDAASTLENHTQNSSEVSPPSLNADKPLEESPSTPLAPSQSQDSVNEPCSQPSGDRSLQTTSPPVVAPGNENGLAVPVPLRKSRPVSMDARIQVAQEKQVAEQGGDLSPAANRSQKASQSRPNSSALETLGGEKLANGSLEPPAQAAPGPSKRDSDCSSLCTSESMDYGTNLSTDLSLNKEMGSLSIKDPKLYKKTLKRTRKFVVDGVEVSITTSKIISEDEKKDEEMRFLRRQELRELRLLQKEEHRNQTQLSNKHELQLEQMHKRFEQEINAKKKFFDTELENLERQQKQQVEKMEQDHAVRRREEARRIRLEQDRDYTRFQEQLKLMKKEVKNEVEKLPRQQRKESMKQKMEEHTQKKQLLDRDFVAKQKEDLELAMKRLTTDNRREICDKERECLMKKQELLRDREAALWEMEEHQLQERHQLVKQQLKDQYFLQRHELLRKHEKEREQMQRYNQRMIEQLKVRQQQEKARLPKIQRSEGKTRMAMYKKSLHINGGGSAAEQREKIKQFSQQEEKRQKSERLQQQQKHENQMRDMLAQCESNMSELQQLQNEKCHLLVEHETQKLKALDESHNQNLKEWRDKLRPRKKALEEDLNQKKREQEMFFKLSEEAECPNPSTPSKAAKFFPYSSADAS
+>DECOY_sp|O94804|STK10_HUMAN Serine/threonine-protein kinase 10 OS=Homo sapiens OX=9606 GN=STK10 PE=1 SV=1
+SADASSYPFFKAAKSPTSPNPCEAEESLKFFMEQERKKQNLDEELAKKRPRLKDRWEKLNQNHSEDLAKLKQTEHEVLLHCKENQLQQLESMNSECQALMDRMQNEHKQQQQLRESKQRKEEQQSFQKIKERQEAASGGGNIHLSKKYMAMRTKGESRQIKPLRAKEQQQRVKLQEIMRQNYRQMQEREKEHKRLLEHRQLFYQDKLQQKVLQHREQLQHEEMEWLAAERDRLLEQKKMLCEREKDCIERRNDTTLRKMALELDEKQKAVFDRDLLQKKQTHEEMKQKMSEKRQQRPLKEVENKVEKKMLKLQEQFRTYDRDQELRIRRAEERRRVAHDQEMKEVQQKQQRELNELETDFFKKKANIEQEFRKHMQELQLEHKNSLQTQNRHEEKQLLRLERLEQRRLFRMEEDKKEDESIIKSTTISVEVGDVVFKRTRKLTKKYLKPDKISLSGMEKNLSLDTSLNTGYDMSESTCLSSCDSDRKSPGPAAQAPPELSGNALKEGGLTELASSNPRSQSAKQSRNAAPSLDGGQEAVQKEQAVQIRADMSVPRSKRLPVPVALGNENGPAVVPPSTTQLSRDGSPQSCPENVSDQSQSPALPTSPSEELPKDANLSPPSVESSNQTHNELTSAADVADEEEGEDRGDEIEEMVEAKAEAVLERLAKNSTISSVFPHELLQAASPRTEPNKDLAIKLFDRFEVSWKSPTLLTPPDSKAIKLLVRMPNLEHHPPEIQAMEILTIGLSWIDAKYDYPTDKMTECMVVEPAMWYPTGIFSDRKQLTKLNKASVGFDALRIDGELTMLVNGAKLDRHIIRKSHLFNLAELMQRCVVQIQPETLGRDLELMIADVAGGPCFEIMIWLKGDHYYAGLLKVIYPHDCTALIEIEVIYDELEEESKTEIVKAAALAGTEKNKAKYVKGFAGDGLEGVIEWVENPDLDRRVHEYERSKRKEFTSLRLIRRFNAFAM
+>sp|Q8TAV4|STML3_HUMAN Stomatin-like protein 3 OS=Homo sapiens OX=9606 GN=STOML3 PE=1 SV=1
+MDSRVSSPEKQDKENFVGVNNKRLGVCGWILFSLSFLLVIITFPISIWMCLKIIKEYERAVVFRLGRIQADKAKGPGLILVLPCIDVFVKVDLRTVTCNIPPQEILTRDSVTTQVDGVVYYRIYSAVSAVANVNDVHQATFLLAQTTLRNVLGTQTLSQILAGREEIAHSIQTLLDDATELWGIRVARVEIKDVRIPVQLQRSMAAEAEATREARAKVLAAEGEMNASKSLKSASMVLAESPIALQLRYLQTLSTVATEKNSTIVFPLPMNILEGIGGVSYDNHKKLPNKA
+>DECOY_sp|Q8TAV4|STML3_HUMAN Stomatin-like protein 3 OS=Homo sapiens OX=9606 GN=STOML3 PE=1 SV=1
+AKNPLKKHNDYSVGGIGELINMPLPFVITSNKETAVTSLTQLYRLQLAIPSEALVMSASKLSKSANMEGEAALVKARAERTAEAEAAMSRQLQVPIRVDKIEVRAVRIGWLETADDLLTQISHAIEERGALIQSLTQTGLVNRLTTQALLFTAQHVDNVNAVASVASYIRYYVVGDVQTTVSDRTLIEQPPINCTVTRLDVKVFVDICPLVLILGPGKAKDAQIRGLRFVVAREYEKIIKLCMWISIPFTIIVLLFSLSFLIWGCVGLRKNNVGVFNEKDQKEPSSVRSDM
+>sp|Q8N4C7|STX19_HUMAN Syntaxin-19 OS=Homo sapiens OX=9606 GN=STX19 PE=1 SV=1
+MKDRLQELKQRTKEIELSRDSHVSTTETEEQGVFLQQAVIYEREPVAERHLHEIQKLQESINNLADNVQKFGQQQKSLVASMRRFSLLKRESTITKEIKIQAEYINRSLNDLVKEVKKSEVENGPSSVVTRILKSQHAAMFRHFQQIMFIYNDTIAAKQEKCKTFILRQLEVAGKEMSEEDVNDMLHQGKWEVFNESLLTEINITKAQLSEIEQRHKELVNLENQIKDLRDLFIQISLLVEEQGESINNIEMTVNSTKEYVNNTKEKFGLAVKYKKRNPCRVLCCWCCPCCSSK
+>DECOY_sp|Q8N4C7|STX19_HUMAN Syntaxin-19 OS=Homo sapiens OX=9606 GN=STX19 PE=1 SV=1
+KSSCCPCCWCCLVRCPNRKKYKVALGFKEKTNNVYEKTSNVTMEINNISEGQEEVLLSIQIFLDRLDKIQNELNVLEKHRQEIESLQAKTINIETLLSENFVEWKGQHLMDNVDEESMEKGAVELQRLIFTKCKEQKAAITDNYIFMIQQFHRFMAAHQSKLIRTVVSSPGNEVESKKVEKVLDNLSRNIYEAQIKIEKTITSERKLLSFRRMSAVLSKQQQGFKQVNDALNNISEQLKQIEHLHREAVPEREYIVAQQLFVGQEETETTSVHSDRSLEIEKTRQKLEQLRDKM
+>sp|Q12846|STX4_HUMAN Syntaxin-4 OS=Homo sapiens OX=9606 GN=STX4 PE=1 SV=2
+MRDRTHELRQGDDSSDEEDKERVALVVHPGTARLGSPDEEFFHKVRTIRQTIVKLGNKVQELEKQQVTILATPLPEESMKQELQNLRDEIKQLGREIRLQLKAIEPQKEEADENYNSVNTRMRKTQHGVLSQQFVELINKCNSMQSEYREKNVERIRRQLKITNAGMVSDEELEQMLDSGQSEVFVSNILKDTQVTRQALNEISARHSEIQQLERSIRELHDIFTFLATEVEMQGEMINRIEKNILSSADYVERGQEHVKTALENQKKARKKKVLIAICVSITVVLLAVIIGVTVVG
+>DECOY_sp|Q12846|STX4_HUMAN Syntaxin-4 OS=Homo sapiens OX=9606 GN=STX4 PE=1 SV=2
+GVVTVGIIVALLVVTISVCIAILVKKKRAKKQNELATKVHEQGREVYDASSLINKEIRNIMEGQMEVETALFTFIDHLERISRELQQIESHRASIENLAQRTVQTDKLINSVFVESQGSDLMQELEEDSVMGANTIKLQRRIREVNKERYESQMSNCKNILEVFQQSLVGHQTKRMRTNVSNYNEDAEEKQPEIAKLQLRIERGLQKIEDRLNQLEQKMSEEPLPTALITVQQKELEQVKNGLKVITQRITRVKHFFEEDPSGLRATGPHVVLAVREKDEEDSSDDGQRLEHTRDRM
+>sp|Q9UGT4|SUSD2_HUMAN Sushi domain-containing protein 2 OS=Homo sapiens OX=9606 GN=SUSD2 PE=1 SV=1
+MKPALLPWALLLLATALGPGPGPTADAQESCSMRCGALDGPCSCHPTCSGLGTCCLDFRDFCLEILPYSGSMMGGKDFVVRHFKMSSPTDASVICRFKDSIQTLGHVDSSGQVHCVSPLLYESGRIPFTVSLDNGHSFPRAGTWLAVHPNKVSMMEKSELVNETRWQYYGTANTSGNLSLTWHVKSLPTQTITIELWGYEETGMPYSQEWTAKWSYLYPLATHIPNSGSFTFTPKPAPPSYQRWRVGALRIIDSKNYAGQKDVQALWTNDHALAWHLSDDFREDPVAWARTQCQAWEELEDQLPNFLEELPDCPCTLTQARADSGRFFTDYGCDMEQGSVCTYHPGAVHCVRSVQASLRYGSGQQCCYTADGTQLLTADSSGGSTPDRGHDWGAPPFRTPPRVPSMSHWLYDVLSFYYCCLWAPDCPRYMQRRPSNDCRNYRPPRLASAFGDPHFVTFDGTNFTFNGRGEYVLLEAALTDLRVQARAQPGTMSNGTETRGTGLTAVAVQEGNSDVVEVRLANRTGGLEVLLNQEVLSFTEQSWMDLKGMFLSVAAGDRVSIMLASGAGLEVSVQGPFLSVSVLLPEKFLTHTHGLLGTLNNDPTDDFTLHSGRVLPPGTSPQELFLFGANWTVHNASSLLTYDSWFLVHNFLYQPKHDPTFEPLFPSETTLNPSLAQEAAKLCGDDHFCNFDVAATGSLSTGTATRVAHQLHQRRMQSLQPVVSCGWLAPPPNGQKEGNRYLAGSTIYFHCDNGYSLAGAETSTCQADGTWSSPTPKCQPGRSYAVLLGIIFGGLAVVAAVALVYVLLRRRKGNTHVWGAQP
+>DECOY_sp|Q9UGT4|SUSD2_HUMAN Sushi domain-containing protein 2 OS=Homo sapiens OX=9606 GN=SUSD2 PE=1 SV=1
+PQAGWVHTNGKRRRLLVYVLAVAAVVALGGFIIGLLVAYSRGPQCKPTPSSWTGDAQCTSTEAGALSYGNDCHFYITSGALYRNGEKQGNPPPALWGCSVVPQLSQMRRQHLQHAVRTATGTSLSGTAAVDFNCFHDDGCLKAAEQALSPNLTTESPFLPEFTPDHKPQYLFNHVLFWSDYTLLSSANHVTWNAGFLFLEQPSTGPPLVRGSHLTFDDTPDNNLTGLLGHTHTLFKEPLLVSVSLFPGQVSVELGAGSALMISVRDGAAVSLFMGKLDMWSQETFSLVEQNLLVELGGTRNALRVEVVDSNGEQVAVATLGTGRTETGNSMTGPQARAQVRLDTLAAELLVYEGRGNFTFNTGDFTVFHPDGFASALRPPRYNRCDNSPRRQMYRPCDPAWLCCYYFSLVDYLWHSMSPVRPPTRFPPAGWDHGRDPTSGGSSDATLLQTGDATYCCQQGSGYRLSAQVSRVCHVAGPHYTCVSGQEMDCGYDTFFRGSDARAQTLTCPCDPLEELFNPLQDELEEWAQCQTRAWAVPDERFDDSLHWALAHDNTWLAQVDKQGAYNKSDIIRLAGVRWRQYSPPAPKPTFTFSGSNPIHTALPYLYSWKATWEQSYPMGTEEYGWLEITITQTPLSKVHWTLSLNGSTNATGYYQWRTENVLESKEMMSVKNPHVALWTGARPFSHGNDLSVTFPIRGSEYLLPSVCHVQGSSDVHGLTQISDKFRCIVSADTPSSMKFHRVVFDKGGMMSGSYPLIELCFDRFDLCCTGLGSCTPHCSCPGDLAGCRMSCSEQADATPGPGPGLATALLLLAWPLLAPKM
+>sp|Q8N434|SVOPL_HUMAN Putative transporter SVOPL OS=Homo sapiens OX=9606 GN=SVOPL PE=2 SV=2
+MATKPTEPVTILSLRKLSLGTAEPQVKEPKTFTVEDAVETIGFGRFHIALFLIMGSTGVVEAMEIMLIAVVSPVIRCEWQLENWQVALVTTMVFFGYMVFSILFGLLADRYGRWKILLISFLWGAYFSLLTSFAPSYIWFVFLRTMVGCGVSGHSQGLIIKTEFLPTKYRGYMLPLSQVFWLAGSLLIIGLASVIIPTIGWRWLIRVASIPGIILIVAFKFIPESARFNVSTGNTRAALATLERVAKMNRSVMPEGKLVEPVLEKRGRFADLLDAKYLRTTLQIWVIWLGISFAYYGVILASAELLERDLVCGSKSDSAVVVTGGDSGESQSPCYCHMFAPSDYRTMIISTIGEIALNPLNILGINFLGRRLSLSITMGCTALFFLLLNICTSSAGLIGFLFMLRALVAANFNTVYIYTAEVYPTTMRALGMGTSGSLCRIGAMVAPFISQVLMSASILGALCLFSSVCVVCAISAFTLPIETKGRALQQIK
+>DECOY_sp|Q8N434|SVOPL_HUMAN Putative transporter SVOPL OS=Homo sapiens OX=9606 GN=SVOPL PE=2 SV=2
+KIQQLARGKTEIPLTFASIACVVCVSSFLCLAGLISASMLVQSIFPAVMAGIRCLSGSTGMGLARMTTPYVEATYIYVTNFNAAVLARLMFLFGILGASSTCINLLLFFLATCGMTISLSLRRGLFNIGLINLPNLAIEGITSIIMTRYDSPAFMHCYCPSQSEGSDGGTVVVASDSKSGCVLDRELLEASALIVGYYAFSIGLWIVWIQLTTRLYKADLLDAFRGRKELVPEVLKGEPMVSRNMKAVRELTALAARTNGTSVNFRASEPIFKFAVILIIGPISAVRILWRWGITPIIVSALGIILLSGALWFVQSLPLMYGRYKTPLFETKIILGQSHGSVGCGVMTRLFVFWIYSPAFSTLLSFYAGWLFSILLIKWRGYRDALLGFLISFVMYGFFVMTTVLAVQWNELQWECRIVPSVVAILMIEMAEVVGTSGMILFLAIHFRGFGITEVADEVTFTKPEKVQPEATGLSLKRLSLITVPETPKTAM
+>sp|Q8N4V2|SVOP_HUMAN Synaptic vesicle 2-related protein OS=Homo sapiens OX=9606 GN=SVOP PE=2 SV=1
+MEEDLFQLRQLPVVKFRRTGESARSEDDTASGEHEVQIEGVHVGLEAVELDDGAAVPKEFANPTDDTFMVEDAVEAIGFGKFQWKLSVLTGLAWMADAMEMMILSILAPQLHCEWRLPSWQVALLTSVVFVGMMSSSTLWGNISDQYGRKTGLKISVLWTLYYGILSAFAPVYSWILVLRGLVGFGIGGVPQSVTLYAEFLPMKARAKCILLIEVFWAIGTVFEVVLAVFVMPSLGWRWLLILSAVPLLLFAVLCFWLPESARYDVLSGNQEKAIATLKRIATENGAPMPLGKLIISRQEDRGKMRDLFTPHFRWTTLLLWFIWFSNAFSYYGLVLLTTELFQAGDVCGISSRKKAVEAKCSLACEYLSEEDYMDLLWTTLSEFPGVLVTLWIIDRLGRKKTMALCFVIFSFCSLLLFICVGRNVLTLLLFIARAFISGGFQAAYVYTPEVYPTATRALGLGTCSGMARVGALITPFIAQVMLESSVYLTLAVYSGCCLLAALASCFLPIETKGRGLQESSHREWGQEMVGRGMHGAGVTRSNSGSQE
+>DECOY_sp|Q8N4V2|SVOP_HUMAN Synaptic vesicle 2-related protein OS=Homo sapiens OX=9606 GN=SVOP PE=2 SV=1
+EQSGSNSRTVGAGHMGRGVMEQGWERHSSEQLGRGKTEIPLFCSALAALLCCGSYVALTLYVSSELMVQAIFPTILAGVRAMGSCTGLGLARTATPYVEPTYVYAAQFGGSIFARAIFLLLTLVNRGVCIFLLLSCFSFIVFCLAMTKKRGLRDIIWLTVLVGPFESLTTWLLDMYDEESLYECALSCKAEVAKKRSSIGCVDGAQFLETTLLVLGYYSFANSFWIFWLLLTTWRFHPTFLDRMKGRDEQRSIILKGLPMPAGNETAIRKLTAIAKEQNGSLVDYRASEPLWFCLVAFLLLPVASLILLWRWGLSPMVFVALVVEFVTGIAWFVEILLICKARAKMPLFEAYLTVSQPVGGIGFGVLGRLVLIWSYVPAFASLIGYYLTWLVSIKLGTKRGYQDSINGWLTSSSMMGVFVVSTLLAVQWSPLRWECHLQPALISLIMMEMADAMWALGTLVSLKWQFKGFGIAEVADEVMFTDDTPNAFEKPVAAGDDLEVAELGVHVGEIQVEHEGSATDDESRASEGTRRFKVVPLQRLQFLDEEM
+>sp|A6NJG2|SWAHD_HUMAN Ankyrin repeat domain-containing protein SOWAHD OS=Homo sapiens OX=9606 GN=SOWAHD PE=2 SV=1
+MAQLGGAANRAPTASLAPTSQSLRCAPQPRPSRADTGSLGRYWGKAAAAASREHPFPGTLMHSAAGSGRRRGALRELLGLQRAAPAGWLSEERAEELGGPSGPGSSRLCLEPREHAWILAAAEGRYEVLRELLEAEPELLLRGDPITGYSVLHWLAKHGRHEELILVHDFALRRGLRLDVSAPGSGGLTPLHLAALQGHDMVIKVLVGALGADATRRDHSGHRACHYLRPDAPWRLRELSGAEEWEMESGSGCTNLNNNSSGTTAWRAASAVGATAVETSRRVAASRTKAKDTAGSRVAQMHSLFRHLFPSFQDR
+>DECOY_sp|A6NJG2|SWAHD_HUMAN Ankyrin repeat domain-containing protein SOWAHD OS=Homo sapiens OX=9606 GN=SOWAHD PE=2 SV=1
+RDQFSPFLHRFLSHMQAVRSGATDKAKTRSAAVRRSTEVATAGVASAARWATTGSSNNNLNTCGSGSEMEWEEAGSLERLRWPADPRLYHCARHGSHDRRTADAGLAGVLVKIVMDHGQLAALHLPTLGGSGPASVDLRLGRRLAFDHVLILEEHRGHKALWHLVSYGTIPDGRLLLEPEAELLERLVEYRGEAAALIWAHERPELCLRSSGPGSPGGLEEAREESLWGAPAARQLGLLERLAGRRRGSGAASHMLTGPFPHERSAAAAAKGWYRGLSGTDARSPRPQPACRLSQSTPALSATPARNAAGGLQAM
+>sp|Q6NVH7|SWAP1_HUMAN ATPase SWSAP1 OS=Homo sapiens OX=9606 GN=SWSAP1 PE=1 SV=1
+MPAAGPPLLLLGTPGSGKTALLFAAALEAAGEGQGPVLFLTRRPLQSMPRGTGTTLDPMRLQKIRFQYPPSTRELFRLLCSAHEAPGPAPSLLLLDGLEEYLAEDPEPQEAAYLIALLLDTAAHFSHRLGPGRDCGLMVALQTQEEAGSGDVLHLALLQRYFPAQCWLQPDAPGPGEHGLRACLEPGGLGPRTEWWVTFRSDGEMMIAPWPTQAGDPSSGKGSSSGGQP
+>DECOY_sp|Q6NVH7|SWAP1_HUMAN ATPase SWSAP1 OS=Homo sapiens OX=9606 GN=SWSAP1 PE=1 SV=1
+PQGGSSSGKGSSPDGAQTPWPAIMMEGDSRFTVWWETRPGLGGPELCARLGHEGPGPADPQLWCQAPFYRQLLALHLVDGSGAEEQTQLAVMLGCDRGPGLRHSFHAATDLLLAILYAAEQPEPDEALYEELGDLLLLSPAPGPAEHASCLLRFLERTSPPYQFRIKQLRMPDLTTGTGRPMSQLPRRTLFLVPGQGEGAAELAAAFLLATKGSGPTGLLLLPPGAAPM
+>sp|Q58G82|SY14L_HUMAN Putative synaptotagmin-14-like protein OS=Homo sapiens OX=9606 GN=SYT14P1 PE=5 SV=2
+MLEGFQILVQNTVQGTIHKIKCVCDSQMSVSEMSCSESTSACQSLEDGSVPEILISLLYNATNGRLSAEVIKGSHLKNWAANRPPNTYVKLTLRKSTDQEMSKCKISIRRGRPNPVYKETFVFKVTLFQLSHVTLMLSVYNKSSMRRKMIGWIYLGLNSSGEELNHWTEMKESKGRQVRRWQALLESR
+>DECOY_sp|Q58G82|SY14L_HUMAN Putative synaptotagmin-14-like protein OS=Homo sapiens OX=9606 GN=SYT14P1 PE=5 SV=2
+RSELLAQWRRVQRGKSEKMETWHNLEEGSSNLGLYIWGIMKRRMSSKNYVSLMLTVHSLQFLTVKFVFTEKYVPNPRGRRISIKCKSMEQDTSKRLTLKVYTNPPRNAAWNKLHSGKIVEASLRGNTANYLLSILIEPVSGDELSQCASTSESCSMESVSMQSDCVCKIKHITGQVTNQVLIQFGELM
+>sp|A8MT33|SYC1L_HUMAN Synaptonemal complex central element protein 1-like OS=Homo sapiens OX=9606 GN=SYCE1L PE=2 SV=3
+MAGKLKPLNVEAPEATEEAEGQAKSLKTEDLLAMVIKLQKEGSLEPQIEDLISRINDLQQAKKKSSEELRETHSLWEALHRELDSLNGEKVHLEEVLGKKQEALRILQMHCQEKESEAQRLDVRGQLEDLMGQHKDLWEFHMLEQRLAREIRALERSKEQLLSERRLVRAKLREVERRLHSPPEVEGAMAVNDGLKAELEIFGEQVRSAPEVGAGEGEAGPELPRARDEEDPEPPVAAPDAL
+>DECOY_sp|A8MT33|SYC1L_HUMAN Synaptonemal complex central element protein 1-like OS=Homo sapiens OX=9606 GN=SYCE1L PE=2 SV=3
+LADPAAVPPEPDEEDRARPLEPGAEGEGAGVEPASRVQEGFIELEAKLGDNVAMAGEVEPPSHLRREVERLKARVLRRESLLQEKSRELARIERALRQELMHFEWLDKHQGMLDELQGRVDLRQAESEKEQCHMQLIRLAEQKKGLVEELHVKEGNLSDLERHLAEWLSHTERLEESSKKKAQQLDNIRSILDEIQPELSGEKQLKIVMALLDETKLSKAQGEAEETAEPAEVNLPKLKGAM
+>sp|A1L190|SYCE3_HUMAN Synaptonemal complex central element protein 3 OS=Homo sapiens OX=9606 GN=SYCE3 PE=1 SV=1
+MDDADPEERNYDNMLKMLSDLNKDLEKLLEEMEKISVQATWMAYDMVVMRTNPTLAESMRRLEDAFVNCKEEMEKNWQELLHETKQRL
+>DECOY_sp|A1L190|SYCE3_HUMAN Synaptonemal complex central element protein 3 OS=Homo sapiens OX=9606 GN=SYCE3 PE=1 SV=1
+LRQKTEHLLEQWNKEMEEKCNVFADELRRMSEALTPNTRMVVMDYAMWTAQVSIKEMEELLKELDKNLDSLMKLMNDYNREEPDADDM
+>sp|Q9HA77|SYCM_HUMAN Probable cysteine--tRNA ligase, mitochondrial OS=Homo sapiens OX=9606 GN=CARS2 PE=1 SV=1
+MLRTTRGPGLGPPLLQAALGLGRAGWHWPAGRAASGGRGRAWLQPTGRETGVQVYNSLTGRKEPLIVAHAEAASWYSCGPTVYDHAHLGHACSYVRFDIIRRILTKVFGCSIVMVMGITDVDDKIIKRANEMNISPASLASLYEEDFKQDMAALKVLPPTVYLRVTENIPQIISFIEGIIARGNAYSTAKGNVYFDLKSRGDKYGKLVGVVPGPVGEPADSDKRHASDFALWKAAKPQEVFWASPWGPGRPGWHIECSAIASMVFGSQLDIHSGGIDLAFPHHENEIAQCEVFHQCEQWGNYFLHSGHLHAKGKEEKMSKSLKNYITIKDFLKTFSPDVFRFFCLRSSYRSAIDYSDSAMLQAQQLLLGLGSFLEDARAYMKGQLACGSVREAMLWERLSSTKRAVKAALADDFDTPRVVDAILGLAHHGNGQLRASLKEPEGPRSPAVFGAIISYFEQFFETVGISLANQQYVSGDGSEATLHGVVDELVRFRQKVRQFALAMPEATGDARRQQLLERQPLLEACDTLRRGLTAHGINIKDRSSTTSTWELLDQRTKDQKSAG
+>DECOY_sp|Q9HA77|SYCM_HUMAN Probable cysteine--tRNA ligase, mitochondrial OS=Homo sapiens OX=9606 GN=CARS2 PE=1 SV=1
+GASKQDKTRQDLLEWTSTTSSRDKINIGHATLGRRLTDCAELLPQRELLQQRRADGTAEPMALAFQRVKQRFRVLEDVVGHLTAESGDGSVYQQNALSIGVTEFFQEFYSIIAGFVAPSRPGEPEKLSARLQGNGHHALGLIADVVRPTDFDDALAAKVARKTSSLREWLMAERVSGCALQGKMYARADELFSGLGLLLQQAQLMASDSYDIASRYSSRLCFFRFVDPSFTKLFDKITIYNKLSKSMKEEKGKAHLHGSHLFYNGWQECQHFVECQAIENEHHPFALDIGGSHIDLQSGFVMSAIASCEIHWGPRGPGWPSAWFVEQPKAAKWLAFDSAHRKDSDAPEGVPGPVVGVLKGYKDGRSKLDFYVNGKATSYANGRAIIGEIFSIIQPINETVRLYVTPPLVKLAAMDQKFDEEYLSALSAPSINMENARKIIKDDVDTIGMVMVISCGFVKTLIRRIIDFRVYSCAHGLHAHDYVTPGCSYWSAAEAHAVILPEKRGTLSNYVQVGTERGTPQLWARGRGGSAARGAPWHWGARGLGLAAQLLPPGLGPGRTTRLM
+>sp|P60508|SYCY2_HUMAN Syncytin-2 OS=Homo sapiens OX=9606 GN=ERVFRD-1 PE=1 SV=1
+MGLLLLVLILTPSLAAYRHPDFPLLEKAQQLLQSTGSPYSTNCWLCTSSSTETPGTAYPASPREWTSIEAELHISYRWDPNLKGLMRPANSLLSTVKQDFPDIRQKPPIFGPIFTNINLMGIAPICVMAKRKNGTNVGTLPSTVCNVTFTVDSNQQTYQTYTHNQFRHQPRFPKPPNITFPQGTLLDKSSRFCQGRPSSCSTRNFWFRPADYNQCLQISNLSSTAEWVLLDQTRNSLFWENKTKGANQSQTPCVQVLAGMTIATSYLGISAVSEFFGTSLTPLFHFHISTCLKTQGAFYICGQSIHQCLPSNWTGTCTIGYVTPDIFIAPGNLSLPIPIYGNSPLPRVRRAIHFIPLLAGLGILAGTGTGIAGITKASLTYSQLSKEIANNIDTMAKALTTMQEQIDSLAAVVLQNRRGLDMLTAAQGGICLALDEKCCFWVNQSGKVQDNIRQLLNQASSLRERATQGWLNWEGTWKWFSWVLPLTGPLVSLLLLLLFGPCLLNLITQFVSSRLQAIKLQTNLSAGRHPRNIQESPF
+>DECOY_sp|P60508|SYCY2_HUMAN Syncytin-2 OS=Homo sapiens OX=9606 GN=ERVFRD-1 PE=1 SV=1
+FPSEQINRPHRGASLNTQLKIAQLRSSVFQTILNLLCPGFLLLLLLSVLPGTLPLVWSFWKWTGEWNLWGQTARERLSSAQNLLQRINDQVKGSQNVWFCCKEDLALCIGGQAATLMDLGRRNQLVVAALSDIQEQMTTLAKAMTDINNAIEKSLQSYTLSAKTIGAIGTGTGALIGLGALLPIFHIARRVRPLPSNGYIPIPLSLNGPAIFIDPTVYGITCTGTWNSPLCQHISQGCIYFAGQTKLCTSIHFHFLPTLSTGFFESVASIGLYSTAITMGALVQVCPTQSQNAGKTKNEWFLSNRTQDLLVWEATSSLNSIQLCQNYDAPRFWFNRTSCSSPRGQCFRSSKDLLTGQPFTINPPKPFRPQHRFQNHTYTQYTQQNSDVTFTVNCVTSPLTGVNTGNKRKAMVCIPAIGMLNINTFIPGFIPPKQRIDPFDQKVTSLLSNAPRMLGKLNPDWRYSIHLEAEISTWERPSAPYATGPTETSSSTCLWCNTSYPSGTSQLLQQAKELLPFDPHRYAALSPTLILVLLLLGM
+>sp|O95926|SYF2_HUMAN Pre-mRNA-splicing factor SYF2 OS=Homo sapiens OX=9606 GN=SYF2 PE=1 SV=1
+MAAIAASEVLVDSAEEGSLAAAAELAAQKREQRLRKFRELHLMRNEARKLNHQEVVEEDKRLKLPANWEAKKARLEWELKEEEKKKECAARGEDYEKVKLLEISAEDAERWERKKKRKNPDLGFSDYAAAQLRQYHRLTKQIKPDMETYERLREKHGEEFFPTSNSLLHGTHVPSTEEIDRMVIDLEKQIEKRDKYSRRRPYNDDADIDYINERNAKFNKKAERFYGKYTAEIKQNLERGTAV
+>DECOY_sp|O95926|SYF2_HUMAN Pre-mRNA-splicing factor SYF2 OS=Homo sapiens OX=9606 GN=SYF2 PE=1 SV=1
+VATGRELNQKIEATYKGYFREAKKNFKANRENIYDIDADDNYPRRRSYKDRKEIQKELDIVMRDIEETSPVHTGHLLSNSTPFFEEGHKERLREYTEMDPKIQKTLRHYQRLQAAAYDSFGLDPNKRKKKREWREADEASIELLKVKEYDEGRAACEKKKEEEKLEWELRAKKAEWNAPLKLRKDEEVVEQHNLKRAENRMLHLERFKRLRQERKQAALEAAAALSGEEASDVLVESAAIAAM
+>sp|O95363|SYFM_HUMAN Phenylalanine--tRNA ligase, mitochondrial OS=Homo sapiens OX=9606 GN=FARS2 PE=1 SV=1
+MVGSALRRGAHAYVYLVSKASHISRGHQHQAWGSRPPAAECATQRAPGSVVELLGKSYPQDDHSNLTRKVLTRVGRNLHNQQHHPLWLIKERVKEHFYKQYVGRFGTPLFSVYDNLSPVVTTWQNFDSLLIPADHPSRKKGDNYYLNRTHMLRAHTSAHQWDLLHAGLDAFLVVGDVYRRDQIDSQHYPIFHQLEAVRLFSKHELFAGIKDGESLQLFEQSSRSAHKQETHTMEAVKLVEFDLKQTLTRLMAHLFGDELEIRWVDCYFPFTHPSFEMEINFHGEWLEVLGCGVMEQQLVNSAGAQDRIGWAFGLGLERLAMILYDIPDIRLFWCEDERFLKQFCVSNINQKVKFQPLSKYPAVINDISFWLPSENYAENDFYDLVRTIGGDLVEKVDLIDKFVHPKTHKTSHCYRITYRHMERTLSQREVRHIHQALQEAAVQLLGVEGRF
+>DECOY_sp|O95363|SYFM_HUMAN Phenylalanine--tRNA ligase, mitochondrial OS=Homo sapiens OX=9606 GN=FARS2 PE=1 SV=1
+FRGEVGLLQVAAEQLAQHIHRVERQSLTREMHRYTIRYCHSTKHTKPHVFKDILDVKEVLDGGITRVLDYFDNEAYNESPLWFSIDNIVAPYKSLPQFKVKQNINSVCFQKLFREDECWFLRIDPIDYLIMALRELGLGFAWGIRDQAGASNVLQQEMVGCGLVELWEGHFNIEMEFSPHTFPFYCDVWRIELEDGFLHAMLRTLTQKLDFEVLKVAEMTHTEQKHASRSSQEFLQLSEGDKIGAFLEHKSFLRVAELQHFIPYHQSDIQDRRYVDGVVLFADLGAHLLDWQHASTHARLMHTRNLYYNDGKKRSPHDAPILLSDFNQWTTVVPSLNDYVSFLPTGFRGVYQKYFHEKVREKILWLPHHQQNHLNRGVRTLVKRTLNSHDDQPYSKGLLEVVSGPARQTACEAAPPRSGWAQHQHGRSIHSAKSVLYVYAHAGRRLASGVM
+>sp|Q96PV0|SYGP1_HUMAN Ras/Rap GTPase-activating protein SynGAP OS=Homo sapiens OX=9606 GN=SYNGAP1 PE=1 SV=4
+MSRSRASIHRGSIPAMSYAPFRDVRGPSMHRTQYVHSPYDRPGWNPRFCIISGNQLLMLDEDEIHPLLIRDRRSESSRNKLLRRTVSVPVEGRPHGEHEYHLGRSRRKSVPGGKQYSMEGAPAAPFRPSQGFLSRRLKSSIKRTKSQPKLDRTSSFRQILPRFRSADHDRARLMQSFKESHSHESLLSPSSAAEALELNLDEDSIIKPVHSSILGQEFCFEVTTSSGTKCFACRSAAERDKWIENLQRAVKPNKDNSRRVDNVLKLWIIEARELPPKKRYYCELCLDDMLYARTTSKPRSASGDTVFWGEHFEFNNLPAVRALRLHLYRDSDKKRKKDKAGYVGLVTVPVATLAGRHFTEQWYPVTLPTGSGGSGGMGSGGGGGSGGGSGGKGKGGCPAVRLKARYQTMSILPMELYKEFAEYVTNHYRMLCAVLEPALNVKGKEEVASALVHILQSTGKAKDFLSDMAMSEVDRFMEREHLIFRENTLATKAIEEYMRLIGQKYLKDAIGEFIRALYESEENCEVDPIKCTASSLAEHQANLRMCCELALCKVVNSHCVFPRELKEVFASWRLRCAERGREDIADRLISASLFLRFLCPAIMSPSLFGLMQEYPDEQTSRTLTLIAKVIQNLANFSKFTSKEDFLGFMNEFLELEWGSMQQFLYEISNLDTLTNSSSFEGYIDLGRELSTLHALLWEVLPQLSKEALLKLGPLPRLLNDISTALRNPNIQRQPSRQSERPRPQPVVLRGPSAEMQGYMMRDLNSSIDLQSFMARGLNSSMDMARLPSPTKEKPPPPPPGGGKDLFYVSRPPLARSSPAYCTSSSDITEPEQKMLSVNKSVSMLDLQGDGPGGRLNSSSVSNLAAVGDLLHSSQASLTAALGLRPAPAGRLSQGSGSSITAAGMRLSQMGVTTDGVPAQQLRIPLSFQNPLFHMAADGPGPPGGHGGGGGHGPPSSHHHHHHHHHHRGGEPPGDTFAPFHGYSKSEDLSSGVPKPPAASILHSHSYSDEFGPSGTDFTRRQLSLQDNLQHMLSPPQITIGPQRPAPSGPGGGSGGGSGGGGGGQPPPLQRGKSQQLTVSAAQKPRPSSGNLLQSPEPSYGPARPRQQSLSKEGSIGGSGGSGGGGGGGLKPSITKQHSQTPSTLNPTMPASERTVAWVSNMPHLSADIESAHIEREEYKLKEYSKSMDESRLDRVKEYEEEIHSLKERLHMSNRKLEEYERRLLSQEEQTSKILMQYQARLEQSEKRLRQQQAEKDSQIKSIIGRLMLVEEELRRDHPAMAEPLPEPKKRLLDAQERQLPPLGPTNPRVTLAPPWNGLAPPAPPPPPRLQITENGEFRNTADH
+>DECOY_sp|Q96PV0|SYGP1_HUMAN Ras/Rap GTPase-activating protein SynGAP OS=Homo sapiens OX=9606 GN=SYNGAP1 PE=1 SV=4
+HDATNRFEGNETIQLRPPPPPAPPALGNWPPALTVRPNTPGLPPLQREQADLLRKKPEPLPEAMAPHDRRLEEEVLMLRGIISKIQSDKEAQQQRLRKESQELRAQYQMLIKSTQEEQSLLRREYEELKRNSMHLREKLSHIEEEYEKVRDLRSEDMSKSYEKLKYEEREIHASEIDASLHPMNSVWAVTRESAPMTPNLTSPTQSHQKTISPKLGGGGGGGSGGSGGISGEKSLSQQRPRAPGYSPEPSQLLNGSSPRPKQAASVTLQQSKGRQLPPPQGGGGGGSGGGSGGGPGSPAPRQPGITIQPPSLMHQLNDQLSLQRRTFDTGSPGFEDSYSHSHLISAAPPKPVGSSLDESKSYGHFPAFTDGPPEGGRHHHHHHHHHHSSPPGHGGGGGHGGPPGPGDAAMHFLPNQFSLPIRLQQAPVGDTTVGMQSLRMGAATISSGSGQSLRGAPAPRLGLAATLSAQSSHLLDGVAALNSVSSSNLRGGPGDGQLDLMSVSKNVSLMKQEPETIDSSSTCYAPSSRALPPRSVYFLDKGGGPPPPPPKEKTPSPLRAMDMSSNLGRAMFSQLDISSNLDRMMYGQMEASPGRLVVPQPRPRESQRSPQRQINPNRLATSIDNLLRPLPGLKLLAEKSLQPLVEWLLAHLTSLERGLDIYGEFSSSNTLTDLNSIEYLFQQMSGWELELFENMFGLFDEKSTFKSFNALNQIVKAILTLTRSTQEDPYEQMLGFLSPSMIAPCLFRLFLSASILRDAIDERGREACRLRWSAFVEKLERPFVCHSNVVKCLALECCMRLNAQHEALSSATCKIPDVECNEESEYLARIFEGIADKLYKQGILRMYEEIAKTALTNERFILHEREMFRDVESMAMDSLFDKAKGTSQLIHVLASAVEEKGKVNLAPELVACLMRYHNTVYEAFEKYLEMPLISMTQYRAKLRVAPCGGKGKGGSGGGSGGGGGSGMGGSGGSGTPLTVPYWQETFHRGALTAVPVTVLGVYGAKDKKRKKDSDRYLHLRLARVAPLNNFEFHEGWFVTDGSASRPKSTTRAYLMDDLCLECYYRKKPPLERAEIIWLKLVNDVRRSNDKNPKVARQLNEIWKDREAASRCAFCKTGSSTTVEFCFEQGLISSHVPKIISDEDLNLELAEAASSPSLLSEHSHSEKFSQMLRARDHDASRFRPLIQRFSSTRDLKPQSKTRKISSKLRRSLFGQSPRFPAAPAGEMSYQKGGPVSKRRSRGLHYEHEGHPRGEVPVSVTRRLLKNRSSESRRDRILLPHIEDEDLMLLQNGSIICFRPNWGPRDYPSHVYQTRHMSPGRVDRFPAYSMAPISGRHISARSRSM
+>sp|P57105|SYJ2B_HUMAN Synaptojanin-2-binding protein OS=Homo sapiens OX=9606 GN=SYNJ2BP PE=1 SV=2
+MNGRVDYLVTEEEINLTRGPSGLGFNIVGGTDQQYVSNDSGIYVSRIKENGAAALDGRLQEGDKILSVNGQDLKNLLHQDAVDLFRNAGYAVSLRVQHRLQVQNGPIGHRGEGDPSGIPIFMVLVPVFALTMVAAWAFMRYRQQL
+>DECOY_sp|P57105|SYJ2B_HUMAN Synaptojanin-2-binding protein OS=Homo sapiens OX=9606 GN=SYNJ2BP PE=1 SV=2
+LQQRYRMFAWAAVMTLAFVPVLVMFIPIGSPDGEGRHGIPGNQVQLRHQVRLSVAYGANRFLDVADQHLLNKLDQGNVSLIKDGEQLRGDLAAAGNEKIRSVYIGSDNSVYQQDTGGVINFGLGSPGRTLNIEEETVLYDVRGNM
+>sp|Q9H7C4|SYNCI_HUMAN Syncoilin OS=Homo sapiens OX=9606 GN=SYNC PE=1 SV=3
+MASPEPRRGGDGAAQAARKTRVEANSPLPKNSGSLNEAEALNPEVTLSSEGSLNLEDILYLEDTGDLDETLYVQETEKAEEALYIEEAMQPDEALHVEEPGNPEETVCVEETTEPDRIQFVEGPVEPGKPTSPEHVVYEGETVTRAEKSNPEESLRAEQSPSMEENLSIEDLELLEGRFQQCVQAVAQLEEERDQLIHELVLLREPALQEVQQVHQDILAAYKLHAQAELERDGLREEIRLVKQKLFKVTKECVAYQYQLECRQQDVAQFADFREVLTTRATQLSEELAQLRDAYQKQKEQLRQQLEAPPSQRDGHFLQESRRLSAQFENLMAESRQDLEEEYEPQFLRLLERKEAGTKALQRTQAEIQEMKEALRPLQAEARQLRLQNRNLEDQIALVRQKRDEEVQQYREQLEEMEERQRQLRNGVQLQQQKNKEMEQLRLSLAEELSTYKAMLLPKSLEQADAPTSQAGGMETQSQGAV
+>DECOY_sp|Q9H7C4|SYNCI_HUMAN Syncoilin OS=Homo sapiens OX=9606 GN=SYNC PE=1 SV=3
+VAGQSQTEMGGAQSTPADAQELSKPLLMAKYTSLEEALSLRLQEMEKNKQQQLQVGNRLQRQREEMEELQERYQQVEEDRKQRVLAIQDELNRNQLRLQRAEAQLPRLAEKMEQIEAQTRQLAKTGAEKRELLRLFQPEYEEELDQRSEAMLNEFQASLRRSEQLFHGDRQSPPAELQQRLQEKQKQYADRLQALEESLQTARTTLVERFDAFQAVDQQRCELQYQYAVCEKTVKFLKQKVLRIEERLGDRELEAQAHLKYAALIDQHVQQVEQLAPERLLVLEHILQDREEELQAVAQVCQQFRGELLELDEISLNEEMSPSQEARLSEEPNSKEARTVTEGEYVVHEPSTPKGPEVPGEVFQIRDPETTEEVCVTEEPNGPEEVHLAEDPQMAEEIYLAEEAKETEQVYLTEDLDGTDELYLIDELNLSGESSLTVEPNLAEAENLSGSNKPLPSNAEVRTKRAAQAAGDGGRRPEPSAM
+>sp|Q8NF91|SYNE1_HUMAN Nesprin-1 OS=Homo sapiens OX=9606 GN=SYNE1 PE=1 SV=4
+MATSRGASRCPRDIANVMQRLQDEQEIVQKRTFTKWINSHLAKRKPPMVVDDLFEDMKDGVKLLALLEVLSGQKLPCEQGRRMKRIHAVANIGTALKFLEGRKIKLVNINSTDIADGRPSIVLGLMWTIILYFQIEELTSNLPQLQSLSSSASSVDSIVSSETPSPPSKRKVTTKIQGNAKKALLKWVQYTAGKQTGIEVKDFGKSWRSGVAFHSVIHAIRPELVDLETVKGRSNRENLEDAFTIAETELGIPRLLDPEDVDVDKPDEKSIMTYVAQFLKHYPDIHNASTDGQEDDEILPGFPSFANSVQNFKREDRVIFKEMKVWIEQFERDLTRAQMVESNLQDKYQSFKHFRVQYEMKRKQIEHLIQPLHRDGKLSLDQALVKQSWDRVTSRLFDWHIQLDKSLPAPLGTIGAWLYRAEVALREEITVQQVHEETANTIQRKLEQHKDLLQNTDAHKRAFHEIYRTRSVNGIPVPPDQLEDMAERFHFVSSTSELHLMKMEFLELKYRLLSLLVLAESKLKSWIIKYGRRESVEQLLQNYVSFIENSKFFEQYEVTYQILKQTAEMYVKADGSVEEAENVMKFMNETTAQWRNLSVEVRSVRSMLEEVISNWDRYGNTVASLQAWLEDAEKMLNQSENAKKDFFRNLPHWIQQHTAMNDAGNFLIETCDEMVSRDLKQQLLLLNGRWRELFMEVKQYAQADEMDRMKKEYTDCVVTLSAFATEAHKKLSEPLEVSFMNVKLLIQDLEDIEQRVPVMDAQYKIITKTAHLITKESPQEEGKEMFATMSKLKEQLTKVKECYSPLLYESQQLLIPLEELEKQMTSFYDSLGKINEIITVLEREAQSSALFKQKHQELLACQENCKKTLTLIEKGSQSVQKFVTLSNVLKHFDQTRLQRQIADIHVAFQSMVKKTGDWKKHVETNSRLMKKFEESRAELEKVLRIAQEGLEEKGDPEELLRRHTEFFSQLDQRVLNAFLKACDELTDILPEQEQQGLQEAVRKLHKQWKDLQGEAPYHLLHLKIDVEKNRFLASVEECRTELDRETKLMPQEGSEKIIKEHRVFFSDKGPHHLCEKRLQLIEELCVKLPVRDPVRDTPGTCHVTLKELRAAIDSTYRKLMEDPDKWKDYTSRFSEFSSWISTNETQLKGIKGEAIDTANHGEVKRAVEEIRNGVTKRGETLSWLKSRLKVLTEVSSENEAQKQGDELAKLSSSFKALVTLLSEVEKMLSNFGDCVQYKEIVKNSLEELISGSKEVQEQAEKILDTENLFEAQQLLLHHQQKTKRISAKKRDVQQQIAQAQQGEGGLPDRGHEELRKLESTLDGLERSRERQERRIQVTLRKWERFETNKETVVRYLFQTGSSHERFLSFSSLESLSSELEQTKEFSKRTESIAVQAENLVKEASEIPLGPQNKQLLQQQAKSIKEQVKKLEDTLEEDIKTMEMVKTKWDHFGSNFETLSVWITEKEKELNALETSSSAMDMQISQIKVTIQEIESKLSSIVGLEEEAQSFAQFVTTGESARIKAKLTQIRRYGEELREHAQCLEGTILGHLSQQQKFEENLRKIQQSVSEFEDKLAVPIKICSSATETYKVLQEHMDLCQALESLSSAITAFSASARKVVNRDSCVQEAAALQQQYEDILRRAKERQTALENLLAHWQRLEKELSSFLTWLERGEAKASSPEMDISADRVKVEGELQLIQALQNEVVSQASFYSKLLQLKESLFSVASKDDVKMMKLHLEQLDERWRDLPQIINKRINFLQSVVAEHQQFDELLLSFSVWIKLFLSELQTTSEISIMDHQVALTRHKDHAAEVESKKGELQSLQGHLAKLGSLGRAEDLHLLQGKAEDCFQLFEEASQVVERRQLALSHLAEFLQSHASLSGILRQLRQTVEATNSMNKNESDLIEKDLNDALQNAKALESAAVSLDGILSKAQYHLKIGSSEQRTSCRATADQLCGEVERIQNLLGTKQSEADALAVLKKAFQDQKEELLKSIEDIEERTDKERLKEPTRQALQQRLRVFNQLEDELNSHEHELCWLKDKAKQIAQKDVAFAPEVDREINRLEVTWDDTKRLIHENQGQCCGLIDLMREYQNLKSAVSKVLENASSVIVTRTTIKDQEDLKWAFSKHETAKNKMNYKQKDLDNFTSKGKHLLSELKKIHSSDFSLVKTDMESTVDKWLDVSEKLEENMDRLRVSLSIWDDVLSTRDEIEGWSNNCVPQMAENISNLDNHLRAEELLKEFESEVKNKALRLEELHSKVNDLKELTKNLETPPDLQFIEADLMQKLEHAKEITEVAKGTLKDFTAQSTQVEKFINDITTWFTKVEESLMNCAQNETCEALKKVKDIQKELQSQQSNISSTQENLNSLCRKYHSAELESLGRAMTGLIKKHEAVSQLCSKTQASLQESLEKHFSESMQEFQEWFLGAKAAAKESSDRTGDSKVLEAKLHDLQNILDSVSDGQSKLDAVTQEGQTLYAHLSKQIVSSIQEQITKANEEFQAFLKQCLKDKQALQDCASELGSFEDQHRKLNLWIHEMEERFNTENLGESKQHIPEKKNEVHKVEMFLGELLAARESLDKLSQRGQLLSEEGHGAGQEGRLCSQLLTSHQNLLRMTKEKLRSCQVALQEHEALEEALQSMWFWVKAIQDRLACAESTLGSKDTLEKRLSQIQDILLMKGEGEVKLNMAIGKGEQALRSSNKEGQRVIQTQLETLKEVWADIMSSSVHAQSTLESVISQWNDYVERKNQLEQWMESVDQKIEHPLQPQPGLKEKFVLLDHLQSILSEAEDHTRALHRLIAKSRELYEKTEDESFKDTAQEELKTQFNDIMTVAKEKMRKVEEIVKDHLMYLDAVHEFTDWLHSAKEELHRWSDMSGDSSATQKKLSKIKELIDSREIGASRLSRVESLAPEVKQNTTASGCELMHTEMQALRADWKQWEDSVFQTQSCLENLVSQMALSEQEFSGQVAQLEQALEQFSALLKTWAQQLTLLEGKNTDEEIVECWHKGQEILDALQKAEPRTEDLKSQLNELCRFSRDLSTYSGKVSGLIKEYNCLCLQASKGCQNKEQILQQRFRKAFRDFQQWLVNAKITTAKCFDIPQNISEVSTSLQKIQEFLSESENGQHKLNMMLSKGELLSTLLTKEKAKGIQAKVTAAKEDWKNFHSNLHQKESALENLKIQMKDFEVSAEPIQDWLSKTEKMVHESSNRLYDLPAKRREQQKLQSVLEEIHCYEPQLNRLKEKAQQLWEGQAASKSFRHRVSQLSSQYLALSNLTKEKVSRLDRIVAEHNQFSLGIKELQDWMTDAIHMLDSYCHPTSDKSVLDSRTLKLEALLSVKQEKEIQMKMIVTRGESVLQNTSPEGIPTIQQQLQSVKDMWASLLSAGIRCKSQLEGALSKWTSYQDGVRQFSGWMDSMEANLNESERQHAELRDKTTMLGKAKLLNEEVLSYSSLLETIEVKGAGMTEHYVTQLELQDLQERYRAIQERAKEAVTKSEKLVRLHQEYQRDLKAFEVWLGQEQEKLDQYSVLEGDAHTHETTLRDLQELQVHCAEGQALLNSVLHTREDVIPSGIPQAEDRALESLRQDWQAYQHRLSETRTQFNNVVNKLRLMEQKFQQVDEWLKTAEEKVSPRTRRQSNRATKEIQLHQMKKWHEEVTAYRDEVEEVGARAQEILDESHVNSRMGCQATQLTSRYQALLLQVLEQIKFLEEEIQSLEESESSLSSYSDWYGSTHKNFKNVATKIDKVDTVMMGKKLKTLEVLLKDMEKGHSLLKSAREKGERAVKYLEEGEAERLRKEIHDHMEQLKELTSTVRKEHMTLEKGLHLAKEFSDKCKALTQWIAEYQEILHVPEEPKMELYEKKAQLSKYKSLQQTVLSHEPSVKSVREKGEALLELVQDVTLKDKIDQLQSDYQDLCSIGKEHVFSLEAKVKDHEDYNSELQEVEKWLLQMSGRLVAPDLLETSSLETITQQLAHHKAMMEEIAGFEDRLNNLQMKGDTLIGQCADHLQAKLKQNVHAHLQGTKDSYSAICSTAQRMYQSLEHELQKHVSRQDTLQQCQAWLSAVQPDLEPSPQPPLSRAEAIKQVKHFRALQEQARTYLDLLCSMCDLSNASVKTTAKDIQQTEQTIEQKLVQAQNLTQGWEEIKHLKSELWIYLQDADQQLQNMKRRHSELELNIAQNMVSQVKDFVKKLQSKQASVNTIIEKVNKLTKKEESPEHKEINHLNDQWLDLCRQSNNLCLQREEDLQRTRDYHDCMNVVEVFLEKFTTEWDNLARSDAESTAVHLEALKKLALALQERKYAIEDLKDQKQKMIEHLNLDDKELVKEQTSHLEQRWFQLEDLIKRKIQVSVTNLEELNVVQSRFQELMEWAEEQQPNIAEALKQSPPPDMAQNLLMDHLAICSELEAKQMLLKSLIKDADRVMADLGLNERQVIQKALSDAQSHVNCLSDLVGQRRKYLNKALSEKTQFLMAVFQATSQIQQHERKIMFREHICLLPDDVSKQVKTCKSAQASLKTYQNEVTGLWAQGRELMKEVTEQEKSEVLGKLQELQSVYDSVLQKCSHRLQELEKNLVSRKHFKEDFDKACHWLKQADIVTFPEINLMNESSELHTQLAKYQNILEQSPEYENLLLTLQRTGQTILPSLNEVDHSYLSEKLNALPRQFNVIVALAKDKFYKVQEAILARKEYASLIELTTQSLSELEAQFLRMSKVPTDLAVEEALSLQDGCRAILDEVAGLGEAVDELNQKKEGFRSTGQPWQPDKMLHLVTLYHRLKRQTEQRVSLLEDTTSAYQEHEKMCQQLERQLKSVKEEQSKVNEETLPAEEKLKMYHSLAGSLQDSGIVLKRVTIHLEDLAPHLDPLAYEKARHQIQSWQGELKLLTSAIGETVTECESRMVQSIDFQTEMSRSLDWLRRVKAELSGPVYLDLNLQDIQEEIRKIQIHQEEVQSSLRIMNALSHKEKEKFTKAKELISADLEHSLAELSELDGDIQEALRTRQATLTEIYSQCQRYYQVFQAANDWLEDAQELLQLAGNGLDVESAEENLKSHMEFFSTEDQFHSNLEELHSLVATLDPLIKPTGKEDLEQKVASLELRSQRMSRDSGAQVDLLQRCTAQWHDYQKAREEVIELMNDTEKKLSEFSLLKTSSSHEAEEKLSEHKALVSVVNSFHEKIVALEEKASQLEKTGNDASKATLSRSMTTVWQRWTRLRAVAQDQEKILEDAVDEWTGFNNKVKKATEMIDQLQDKLPGSSAEKASKAELLTLLEYHDTFVLELEQQQSALGMLRQQTLSMLQDGAAPTPGEEPPLMQEITAMQDRCLNMQEKVKTNGKLVKQELKDREMVETQINSVKCWVQETKEYLGNPTIEIDAQLEELQILLTEATNHRQNIEKMAEEQKEKYLGLYTILPSELSLQLAEVALDLKIRDQIQDKIKEVEQSKATSQELSRQIQKLAKDLTTILTKLKAKTDNVVQAKTDQKVLGEELDGCNSKLMELDAAVQKFLEQNGQLGKPLAKKIGKLTELHQQTIRQAENRLSKLNQAASHLEEYNEMLELILKWIEKAKVLAHGTIAWNSASQLREQYILHQTLLEESKEIDSELEAMTEKLQYLTSVYCTEKMSQQVAELGRETEELRQMIKIRLQNLQDAAKDMKKFEAELKKLQAALEQAQATLTSPEVGRLSLKEQLSHRQHLLSEMESLKPKVQAVQLCQSALRIPEDVVASLPLCHAALRLQEEASRLQHTAIQQCNIMQEAVVQYEQYEQEMKHLQQLIEGAHREIEDKPVATSNIQELQAQISRHEELAQKIKGYQEQIASLNSKCKMLTMKAKHATMLLTVTEVEGLAEGTEDLDGELLPTPSAHPSVVMMTAGRCHTLLSPVTEESGEEGTNSEISSPPACRSPSPVANTDASVNQDIAYYQALSAERLQTDAAKIHPSTSASQEFYEPGLEPSATAKLGDLQRSWETLKNVISEKQRTLYEALERQQKYQDSLQSISTKMEAIELKLSESPEPGRSPESQMAEHQALMDEILMLQDEINELQSSLAEELVSESCEADPAEQLALQSTLTVLAERMSTIRMKASGKRQLLEEKLNDQLEEQRQEQALQRYRCEADELDSWLLSTKATLDTALSPPKEPMDMEAQLMDCQNMLVEIEQKVVALSELSVHNENLLLEGKAHTKDEAEQLAGKLRRLKGSLLELQRALHDKQLNMQGTAQEKEESDVDLTATQSPGVQEWLAQARTTWTQQRQSSLQQQKELEQELAEQKSLLRSVASRGEEILIQHSAAETSGDAGEKPDVLSQELGMEGEKSSAEDQMRMKWESLHQEFSTKQKLLQNVLEQEQEQVLYSRPNRLLSGVPLYKGDVPTQDKSAVTSLLDGLNQAFEEVSSQSGGAKRQSIHLEQKLYDGVSATSTWLDDVEERLFVATALLPEETETCLFNQEILAKDIKEMSEEMDKNKNLFSQAFPENGDNRDVIEDTLGCLLGRLSLLDSVVNQRCHQMKERLQQILNFQNDLKVLFTSLADNKYIILQKLANVFEQPVAEQIEAIQQAEDGLKEFDAGIIELKRRGDKLQVEQPSMQELSKLQDMYDELMMIIGSRRSGLNQNLTLKSQYERALQDLADLLETGQEKMAGDQKIIVSSKEEIQQLLDKHKEYFQGLESHMILTETLFRKIISFAVQKETQFHTELMAQASAVLKRAHKRGVELEYILETWSHLDEDQQELSRQLEVVESSIPSVGLVEENEDRLIDRITLYQHLKSSLNEYQPKLYQVLDDGKRLLISISCSDLESQLNQLGECWLSNTNKMSKELHRLETILKHWTRYQSESADLIHWLQSAKDRLEFWTQQSVTVPQELEMVRDHLNAFLEFSKEVDAQSSLKSSVLSTGNQLLRLKKVDTATLRSELSRIDSQWTDLLTNIPAVQEKLHQLQMDKLPSRHAISEVMSWISLMENVIQKDEDNIKNSIGYKAIHEYLQKYKGFKIDINCKQLTVDFVNQSVLQISSQDVESKRSDKTDFAEQLGAMNKSWQILQGLVTEKIQLLEGLLESWSEYENNVQCLKTWFETQEKRLKQQHRIGDQASVQNALKDCQDLEDLIKAKEKEVEKIEQNGLALIQNKKEDVSSIVMSTLRELGQTWANLDHMVGQLKILLKSVLDQWSSHKVAFDKINSYLMEARYSLSRFRLLTGSLEAVQVQVDNLQNLQDDLEKQERSLQKFGSITNQLLKECHPPVTETLTNTLKEVNMRWNNLLEEIAEQLQSSKALLQLWQRYKDYSKQCASTVQQQEDRTNELLKAATNKDIADDEVATWIQDCNDLLKGLGTVKDSLFFLHELGEQLKQQVDASAASAIQSDQLSLSQHLCALEQALCKQQTSLQAGVLDYETFAKSLEALEAWIVEAEEILQGQDPSHSSDLSTIQERMEELKGQMLKFSSMAPDLDRLNELGYRLPLNDKEIKRMQNLNRHWSLISSQTTERFSKLQSFLLQHQTFLEKCETWMEFLVQTEQKLAVEISGNYQHLLEQQRAHELFQAEMFSRQQILHSIIIDGQRLLEQGQVDDRDEFNLKLTLLSNQWQGVIRRAQQRRGIIDSQIRQWQRYREMAEKLRKWLVEVSYLPMSGLGSVPIPLQQARTLFDEVQFKEKVFLRQQGSYILTVEAGKQLLLSADSGAEAALQAELAEIQEKWKSASMRLEEQKKKLAFLLKDWEKCEKGIADSLEKLRTFKKKLSQSLPDHHEELHAEQMRCKELENAVGSWTDDLTQLSLLKDTLSAYISADDISILNERVELLQRQWEELCHQLSLRRQQIGERLNEWAVFSEKNKELCEWLTQMESKVSQNGDILIEEMIEKLKKDYQEEIAIAQENKIQLQQMGERLAKASHESKASEIEYKLGKVNDRWQHLLDLIAARVKKLKETLVAVQQLDKNMSSLRTWLAHIESELAKPIVYDSCNSEEIQRKLNEQQELQRDIEKHSTGVASVLNLCEVLLHDCDACATDAECDSIQQATRNLDRRWRNICAMSMERRLKIEETWRLWQKFLDDYSRFEDWLKSSERTAAFPSSSGVIYTVAKEELKKFEAFQRQVHECLTQLELINKQYRRLARENRTDSACSLKQMVHEGNQRWDNLQKRVTSILRRLKHFIGQREEFETARDSILVWLTEMDLQLTNIEHFSECDVQAKIKQLKAFQQEISLNHNKIEQIIAQGEQLIEKSEPLDAAIIEEELDELRRYCQEVFGRVERYHKKLIRLPLPDDEHDLSDRELELEDSAALSDLHWHDRSADSLLSPQPSSNLSLSLAQPLRSERSGRDTPASVDSIPLEWDHDYDLSRDLESAMSRALPSEDEEGQDDKDFYLRGAVGLSGDHSALESQIRQLGKALDDSRFQIQQTENIIRSKTPTGPELDTSYKGYMKLLGECSSSIDSVKRLEHKLKEEEESLPGFVNLHSTETQTAGVIDRWELLQAQALSKELRMKQNLQKWQQFNSDLNSIWAWLGDTEEELEQLQRLELSTDIQTIELQIKKLKELQKAVDHRKAIILSINLCSPEFTQADSKESRDLQDRLSQMNGRWDRVCSLLEEWRGLLQDALMQCQGFHEMSHGLLLMLENIDRRKNEIVPIDSNLDAEILQDHHKQLMQIKHELLESQLRVASLQDMSCQLLVNAEGTDCLEAKEKVHVIGNRLKLLLKEVSRHIKELEKLLDVSSSQQDLSSWSSADELDTSGSVSPTSGRSTPNRQKTPRGKCSLSQPGPSVSSPHSRSTKGGSDSSLSEPGPGRSGRGFLFRVLRAALPLQLLLLLLIGLACLVPMSEEDYSCALSNNFARSFHPMLRYTNGPPPL
+>DECOY_sp|Q8NF91|SYNE1_HUMAN Nesprin-1 OS=Homo sapiens OX=9606 GN=SYNE1 PE=1 SV=4
+LPPPGNTYRLMPHFSRAFNNSLACSYDEESMPVLCALGILLLLLLQLPLAARLVRFLFGRGSRGPGPESLSSDSGGKTSRSHPSSVSPGPQSLSCKGRPTKQRNPTSRGSTPSVSGSTDLEDASSWSSLDQQSSSVDLLKELEKIHRSVEKLLLKLRNGIVHVKEKAELCDTGEANVLLQCSMDQLSAVRLQSELLEHKIQMLQKHHDQLIEADLNSDIPVIENKRRDINELMLLLGHSMEHFGQCQMLADQLLGRWEELLSCVRDWRGNMQSLRDQLDRSEKSDAQTFEPSCLNISLIIAKRHDVAKQLEKLKKIQLEITQIDTSLELRQLQELEEETDGLWAWISNLDSNFQQWKQLNQKMRLEKSLAQAQLLEWRDIVGATQTETSHLNVFGPLSEEEEKLKHELRKVSDISSSCEGLLKMYGKYSTDLEPGTPTKSRIINETQQIQFRSDDLAKGLQRIQSELASHDGSLGVAGRLYFDKDDQGEEDESPLARSMASELDRSLDYDHDWELPISDVSAPTDRGSRESRLPQALSLSLNSSPQPSLLSDASRDHWHLDSLAASDELELERDSLDHEDDPLPLRILKKHYREVRGFVEQCYRRLEDLEEEIIAADLPESKEILQEGQAIIQEIKNHNLSIEQQFAKLQKIKAQVDCESFHEINTLQLDMETLWVLISDRATEFEERQGIFHKLRRLISTVRKQLNDWRQNGEHVMQKLSCASDTRNERALRRYQKNILELQTLCEHVQRQFAEFKKLEEKAVTYIVGSSSPFAATRESSKLWDEFRSYDDLFKQWLRWTEEIKLRREMSMACINRWRRDLNRTAQQISDCEADTACADCDHLLVECLNLVSAVGTSHKEIDRQLEQQENLKRQIEESNCSDYVIPKALESEIHALWTRLSSMNKDLQQVAVLTEKLKKVRAAILDLLHQWRDNVKGLKYEIESAKSEHSAKALREGMQQLQIKNEQAIAIEEQYDKKLKEIMEEILIDGNQSVKSEMQTLWECLEKNKESFVAWENLREGIQQRRLSLQHCLEEWQRQLLEVRENLISIDDASIYASLTDKLLSLQTLDDTWSGVANELEKCRMQEAHLEEHHDPLSQSLKKKFTRLKELSDAIGKECKEWDKLLFALKKKQEELRMSASKWKEQIEALEAQLAAEAGSDASLLLQKGAEVTLIYSGQQRLFVKEKFQVEDFLTRAQQLPIPVSGLGSMPLYSVEVLWKRLKEAMERYRQWQRIQSDIIGRRQQARRIVGQWQNSLLTLKLNFEDRDDVQGQELLRQGDIIISHLIQQRSFMEAQFLEHARQQELLHQYNGSIEVALKQETQVLFEMWTECKELFTQHQLLFSQLKSFRETTQSSILSWHRNLNQMRKIEKDNLPLRYGLENLRDLDPAMSSFKLMQGKLEEMREQITSLDSSHSPDQGQLIEEAEVIWAELAELSKAFTEYDLVGAQLSTQQKCLAQELACLHQSLSLQDSQIASAASADVQQKLQEGLEHLFFLSDKVTGLGKLLDNCDQIWTAVEDDAIDKNTAAKLLENTRDEQQQVTSACQKSYDKYRQWLQLLAKSSQLQEAIEELLNNWRMNVEKLTNTLTETVPPHCEKLLQNTISGFKQLSREQKELDDQLNQLNDVQVQVAELSGTLLRFRSLSYRAEMLYSNIKDFAVKHSSWQDLVSKLLIKLQGVMHDLNAWTQGLERLTSMVISSVDEKKNQILALGNQEIKEVEKEKAKILDELDQCDKLANQVSAQDGIRHQQKLRKEQTEFWTKLCQVNNEYESWSELLGELLQIKETVLGQLIQWSKNMAGLQEAFDTKDSRKSEVDQSSIQLVSQNVFDVTLQKCNIDIKFGKYKQLYEHIAKYGISNKINDEDKQIVNEMLSIWSMVESIAHRSPLKDMQLQHLKEQVAPINTLLDTWQSDIRSLESRLTATDVKKLRLLQNGTSLVSSKLSSQADVEKSFELFANLHDRVMELEQPVTVSQQTWFELRDKASQLWHILDASESQYRTWHKLITELRHLEKSMKNTNSLWCEGLQNLQSELDSCSISILLRKGDDLVQYLKPQYENLSSKLHQYLTIRDILRDENEEVLGVSPISSEVVELQRSLEQQDEDLHSWTELIYELEVGRKHARKLVASAQAMLETHFQTEKQVAFSIIKRFLTETLIMHSELGQFYEKHKDLLQQIEEKSSVIIKQDGAMKEQGTELLDALDQLAREYQSKLTLNQNLGSRRSGIIMMLEDYMDQLKSLEQMSPQEVQLKDGRRKLEIIGADFEKLGDEAQQIAEIQEAVPQEFVNALKQLIIYKNDALSTFLVKLDNQFNLIQQLREKMQHCRQNVVSDLLSLRGLLCGLTDEIVDRNDGNEPFAQSFLNKNKDMEESMEKIDKALIEQNFLCTETEEPLLATAVFLREEVDDLWTSTASVGDYLKQELHISQRKAGGSQSSVEEFAQNLGDLLSTVASKDQTPVDGKYLPVGSLLRNPRSYLVQEQEQELVNQLLKQKTSFEQHLSEWKMRMQDEASSKEGEMGLEQSLVDPKEGADGSTEAASHQILIEEGRSAVSRLLSKQEALEQELEKQQQLSSQRQQTWTTRAQALWEQVGPSQTATLDVDSEEKEQATGQMNLQKDHLARQLELLSGKLRRLKGALQEAEDKTHAKGELLLNENHVSLESLAVVKQEIEVLMNQCDMLQAEMDMPEKPPSLATDLTAKTSLLWSDLEDAECRYRQLAQEQRQEELQDNLKEELLQRKGSAKMRITSMREALVTLTSQLALQEAPDAECSESVLEEALSSQLENIEDQLMLIEDMLAQHEAMQSEPSRGPEPSESLKLEIAEMKTSISQLSDQYKQQRELAEYLTRQKESIVNKLTEWSRQLDGLKATASPELGPEYFEQSASTSPHIKAADTQLREASLAQYYAIDQNVSADTNAVPSPSRCAPPSSIESNTGEEGSEETVPSLLTHCRGATMMVVSPHASPTPLLEGDLDETGEALGEVETVTLLMTAHKAKMTLMKCKSNLSAIQEQYGKIKQALEEHRSIQAQLEQINSTAVPKDEIERHAGEILQQLHKMEQEYQEYQVVAEQMINCQQIATHQLRSAEEQLRLAAHCLPLSAVVDEPIRLASQCLQVAQVKPKLSEMESLLHQRHSLQEKLSLRGVEPSTLTAQAQELAAQLKKLEAEFKKMDKAADQLNQLRIKIMQRLEETERGLEAVQQSMKETCYVSTLYQLKETMAELESDIEKSEELLTQHLIYQERLQSASNWAITGHALVKAKEIWKLILELMENYEELHSAAQNLKSLRNEAQRITQQHLETLKGIKKALPKGLQGNQELFKQVAADLEMLKSNCGDLEEGLVKQDTKAQVVNDTKAKLKTLITTLDKALKQIQRSLEQSTAKSQEVEKIKDQIQDRIKLDLAVEALQLSLESPLITYLGLYKEKQEEAMKEINQRHNTAETLLIQLEELQADIEITPNGLYEKTEQVWCKVSNIQTEVMERDKLEQKVLKGNTKVKEQMNLCRDQMATIEQMLPPEEGPTPAAGDQLMSLTQQRLMGLASQQQELELVFTDHYELLTLLEAKSAKEASSGPLKDQLQDIMETAKKVKNNFGTWEDVADELIKEQDQAVARLRTWRQWVTTMSRSLTAKSADNGTKELQSAKEELAVIKEHFSNVVSVLAKHESLKEEAEHSSSTKLLSFESLKKETDNMLEIVEERAKQYDHWQATCRQLLDVQAGSDRSMRQSRLELSAVKQELDEKGTPKILPDLTAVLSHLEELNSHFQDETSFFEMHSKLNEEASEVDLGNGALQLLEQADELWDNAAQFVQYYRQCQSYIETLTAQRTRLAEQIDGDLESLEALSHELDASILEKAKTFKEKEKHSLANMIRLSSQVEEQHIQIKRIEEQIDQLNLDLYVPGSLEAKVRRLWDLSRSMETQFDISQVMRSECETVTEGIASTLLKLEGQWSQIQHRAKEYALPDLHPALDELHITVRKLVIGSDQLSGALSHYMKLKEEAPLTEENVKSQEEKVSKLQRELQQCMKEHEQYASTTDELLSVRQETQRKLRHYLTVLHLMKDPQWPQGTSRFGEKKQNLEDVAEGLGAVEDLIARCGDQLSLAEEVALDTPVKSMRLFQAELESLSQTTLEILSAYEKRALIAEQVKYFKDKALAVIVNFQRPLANLKESLYSHDVENLSPLITQGTRQLTLLLNEYEPSQELINQYKALQTHLESSENMLNIEPFTVIDAQKLWHCAKDFDEKFHKRSVLNKELEQLRHSCKQLVSDYVSQLEQLKGLVESKEQETVEKMLERGQAWLGTVENQYTKLSAQASKCTKVQKSVDDPLLCIHERFMIKREHQQIQSTAQFVAMLFQTKESLAKNLYKRRQGVLDSLCNVHSQADSLAKQIVQRENLGLDAMVRDADKILSKLLMQKAELESCIALHDMLLNQAMDPPPSQKLAEAINPQQEEAWEMLEQFRSQVVNLEELNTVSVQIKRKILDELQFWRQELHSTQEKVLEKDDLNLHEIMKQKQDKLDEIAYKREQLALALKKLAELHVATSEADSRALNDWETTFKELFVEVVNMCDHYDRTRQLDEERQLCLNNSQRCLDLWQDNLHNIEKHEPSEEKKTLKNVKEIITNVSAQKSQLKKVFDKVQSVMNQAINLELESHRRKMNQLQQDADQLYIWLESKLHKIEEWGQTLNQAQVLKQEITQETQQIDKATTKVSANSLDCMSCLLDLYTRAQEQLARFHKVQKIAEARSLPPQPSPELDPQVASLWAQCQQLTDQRSVHKQLEHELSQYMRQATSCIASYSDKTGQLHAHVNQKLKAQLHDACQGILTDGKMQLNNLRDEFGAIEEMMAKHHALQQTITELSSTELLDPAVLRGSMQLLWKEVEQLESNYDEHDKVKAELSFVHEKGISCLDQYDSQLQDIKDKLTVDQVLELLAEGKERVSKVSPEHSLVTQQLSKYKSLQAKKEYLEMKPEEPVHLIEQYEAIWQTLAKCKDSFEKALHLGKELTMHEKRVTSTLEKLQEMHDHIEKRLREAEGEELYKVAREGKERASKLLSHGKEMDKLLVELTKLKKGMMVTDVKDIKTAVNKFNKHTSGYWDSYSSLSSESEELSQIEEELFKIQELVQLLLAQYRSTLQTAQCGMRSNVHSEDLIEQARAGVEEVEDRYATVEEHWKKMQHLQIEKTARNSQRRTRPSVKEEATKLWEDVQQFKQEMLRLKNVVNNFQTRTESLRHQYAQWDQRLSELARDEAQPIGSPIVDERTHLVSNLLAQGEACHVQLEQLDRLTTEHTHADGELVSYQDLKEQEQGLWVEFAKLDRQYEQHLRVLKESKTVAEKAREQIARYREQLDQLELQTVYHETMGAGKVEITELLSSYSLVEENLLKAKGLMTTKDRLEAHQRESENLNAEMSDMWGSFQRVGDQYSTWKSLAGELQSKCRIGASLLSAWMDKVSQLQQQITPIGEPSTNQLVSEGRTVIMKMQIEKEQKVSLLAELKLTRSDLVSKDSTPHCYSDLMHIADTMWDQLEKIGLSFQNHEAVIRDLRSVKEKTLNSLALYQSSLQSVRHRFSKSAAQGEWLQQAKEKLRNLQPEYCHIEELVSQLKQQERRKAPLDYLRNSSEHVMKETKSLWDQIPEASVEFDKMQIKLNELASEKQHLNSHFNKWDEKAATVKAQIGKAKEKTLLTSLLEGKSLMMNLKHQGNESESLFEQIKQLSTSVESINQPIDFCKATTIKANVLWQQFDRFAKRFRQQLIQEKNQCGKSAQLCLCNYEKILGSVKGSYTSLDRSFRCLENLQSKLDETRPEAKQLADLIEQGKHWCEVIEEDTNKGELLTLQQAWTKLLASFQELAQELQAVQGSFEQESLAMQSVLNELCSQTQFVSDEWQKWDARLAQMETHMLECGSATTNQKVEPALSEVRSLRSAGIERSDILEKIKSLKKQTASSDGSMDSWRHLEEKASHLWDTFEHVADLYMLHDKVIEEVKRMKEKAVTMIDNFQTKLEEQATDKFSEDETKEYLERSKAILRHLARTHDEAESLISQLHDLLVFKEKLGPQPQLPHEIKQDVSEMWQELQNKREVYDNWQSIVSELTSQAHVSSSMIDAWVEKLTELQTQIVRQGEKNSSRLAQEGKGIAMNLKVEGEGKMLLIDQIQSLRKELTDKSGLTSEACALRDQIAKVWFWMSQLAEELAEHEQLAVQCSRLKEKTMRLLNQHSTLLQSCLRGEQGAGHGEESLLQGRQSLKDLSERAALLEGLFMEVKHVENKKEPIHQKSEGLNETNFREEMEHIWLNLKRHQDEFSGLESACDQLAQKDKLCQKLFAQFEENAKTIQEQISSVIQKSLHAYLTQGEQTVADLKSQGDSVSDLINQLDHLKAELVKSDGTRDSSEKAAAKAGLFWEQFEQMSESFHKELSEQLSAQTKSCLQSVAEHKKILGTMARGLSELEASHYKRCLSNLNEQTSSINSQQSQLEKQIDKVKKLAECTENQACNMLSEEVKTFWTTIDNIFKEVQTSQATFDKLTGKAVETIEKAHELKQMLDAEIFQLDPPTELNKTLEKLDNVKSHLEELRLAKNKVESEFEKLLEEARLHNDLNSINEAMQPVCNNSWGEIEDRTSLVDDWISLSVRLRDMNEELKESVDLWKDVTSEMDTKVLSFDSSHIKKLESLLHKGKSTFNDLDKQKYNMKNKATEHKSFAWKLDEQDKITTRTVIVSSANELVKSVASKLNQYERMLDILGCCQGQNEHILRKTDDWTVELRNIERDVEPAFAVDKQAIQKAKDKLWCLEHEHSNLEDELQNFVRLRQQLAQRTPEKLREKDTREEIDEISKLLEEKQDQFAKKLVALADAESQKTGLLNQIREVEGCLQDATARCSTRQESSGIKLHYQAKSLIGDLSVAASELAKANQLADNLDKEILDSENKNMSNTAEVTQRLQRLIGSLSAHSQLFEALHSLALQRREVVQSAEEFLQFCDEAKGQLLHLDEARGLSGLKALHGQLSQLEGKKSEVEAAHDKHRTLAVQHDMISIESTTQLESLFLKIWVSFSLLLEDFQQHEAVVSQLFNIRKNIIQPLDRWREDLQELHLKMMKVDDKSAVSFLSEKLQLLKSYFSAQSVVENQLAQILQLEGEVKVRDASIDMEPSSAKAEGRELWTLFSSLEKELRQWHALLNELATQREKARRLIDEYQQQLAAAEQVCSDRNVVKRASASFATIASSLSELAQCLDMHEQLVKYTETASSCIKIPVALKDEFESVSQQIKRLNEEFKQQQSLHGLITGELCQAHERLEEGYRRIQTLKAKIRASEGTTVFQAFSQAEEELGVISSLKSEIEQITVKIQSIQMDMASSSTELANLEKEKETIWVSLTEFNSGFHDWKTKVMEMTKIDEELTDELKKVQEKISKAQQQLLQKNQPGLPIESAEKVLNEAQVAISETRKSFEKTQELESSLSELSSFSLFREHSSGTQFLYRVVTEKNTEFREWKRLTVQIRREQRERSRELGDLTSELKRLEEHGRDPLGGEGQQAQAIQQQVDRKKASIRKTKQQHHLLLQQAEFLNETDLIKEAQEQVEKSGSILEELSNKVIEKYQVCDGFNSLMKEVESLLTVLAKFSSSLKALEDGQKQAENESSVETLVKLRSKLWSLTEGRKTVGNRIEEVARKVEGHNATDIAEGKIGKLQTENTSIWSSFESFRSTYDKWKDPDEMLKRYTSDIAARLEKLTVHCTGPTDRVPDRVPLKVCLEEILQLRKECLHHPGKDSFFVRHEKIIKESGEQPMLKTERDLETRCEEVSALFRNKEVDIKLHLLHYPAEGQLDKWQKHLKRVAEQLGQQEQEPLIDTLEDCAKLFANLVRQDLQSFFETHRRLLEEPDGKEELGEQAIRLVKELEARSEEFKKMLRSNTEVHKKWDGTKKVMSQFAVHIDAIQRQLRTQDFHKLVNSLTVFKQVSQSGKEILTLTKKCNEQCALLEQHKQKFLASSQAERELVTIIENIKGLSDYFSTMQKELEELPILLQQSEYLLPSYCEKVKTLQEKLKSMTAFMEKGEEQPSEKTILHATKTIIKYQADMVPVRQEIDELDQILLKVNMFSVELPESLKKHAETAFASLTVVCDTYEKKMRDMEDAQAYQKVEMFLERWRGNLLLLQQKLDRSVMEDCTEILFNGADNMATHQQIWHPLNRFFDKKANESQNLMKEADELWAQLSAVTNGYRDWNSIVEELMSRVSRVEVSLNRWQATTENMFKMVNEAEEVSGDAKVYMEATQKLIQYTVEYQEFFKSNEIFSVYNQLLQEVSERRGYKIIWSKLKSEALVLLSLLRYKLELFEMKMLHLESTSSVFHFREAMDELQDPPVPIGNVSRTRYIEHFARKHADTNQLLDKHQELKRQITNATEEHVQQVTIEERLAVEARYLWAGITGLPAPLSKDLQIHWDFLRSTVRDWSQKVLAQDLSLKGDRHLPQILHEIQKRKMEYQVRFHKFSQYKDQLNSEVMQARTLDREFQEIWVKMEKFIVRDERKFNQVSNAFSPFGPLIEDDEQGDTSANHIDPYHKLFQAVYTMISKEDPKDVDVDEPDLLRPIGLETEAITFADELNERNSRGKVTELDVLEPRIAHIVSHFAVGSRWSKGFDKVEIGTQKGATYQVWKLLAKKANGQIKTTVKRKSPPSPTESSVISDVSSASSSLSQLQPLNSTLEEIQFYLIITWMLGLVISPRGDAIDTSNINVLKIKRGELFKLATGINAVAHIRKMRRGQECPLKQGSLVELLALLKVGDKMDEFLDDVVMPPKRKALHSNIWKTFTRKQVIEQEDQLRQMVNAIDRPCRSAGRSTAM
+>sp|O15061|SYNEM_HUMAN Synemin OS=Homo sapiens OX=9606 GN=SYNM PE=1 SV=2
+MLSWRLQTGPEKAELQELNARLYDYVCRVRELERENLLLEEELRGRRGREGLWAEGQARCAEEARSLRQQLDELSWATALAEGERDALRRELRELQRLDAEERAARGRLDAELGAQQRELQEALGARAALEALLGRLQAERRGLDAAHERDVRELRARAASLTMHFRARATGPAAPPPRLREVHDSYALLVAESWRETVQLYEDEVRELEEALRRGQESRLQAEEETRLCAQEAEALRREALGLEQLRARLEDALLRMREEYGIQAEERQRAIDCLEDEKATLTLAMADWLRDYQDLLQVKTGLSLEVATYRALLEGESNPEIVIWAEHVENMPSEFRNKSYHYTDSLLQRENERNLFSRQKAPLASFNHSSALYSNLSGHRGSQTGTSIGGDARRGFLGSGYSSSATTQQENSYGKAVSSQTNVRTFSPTYGLLRNTEAQVKTFPDRPKAGDTREVPVYIGEDSTIARESYRDRRDKVAAGASESTRSNERTVILGKKTEVKATREQERNRPETIRTKPEEKMFDSKEKASEERNLRWEELTKLDKEARQRESQQMKEKAKEKDSPKEKSVREREVPISLEVSQDRRAEVSPKGLQTPVKDAGGGTGREAEARELRFRLGTSDATGSLQGDSMTETVAENIVTSILKQFTQSPETEASADSFPDTKVTYVDRKELPGERKTKTEIVVESKLTEDVDVSDEAGLDYLLSKDIKEVGLKGKSAEQMIGDIINLGLKGREGRAKVVNVEIVEEPVSYVSGEKPEEFSVPFKVEEVEDVSPGPWGLVKEEEGYGESDVTFSVNQHRRTKQPQENTTHVEEVTEAGDSEGEQSYFVSTPDEHPGGHDRDDGSVYGQIHIEEESTIRYSWQDEIVQGTRRRTQKDGAVGEKVVKPLDVPAPSLEGDLGSTHWKEQARSGEFHAEPTVIEKEIKIPHEFHTSMKGISSKEPRQQLVEVIGQLEETLPERMREELSALTREGQGGPGSVSVDVKKVQGAGGSSVTLVAEVNVSQTVDADRLDLEELSKDEASEMEKAVESVVRESLSRQRSPAPGSPDEEGGAEAPAAGIRFRRWATRELYIPSGESEVAGGASHSSGQRTPQGPVSATVEVSSPTGFAQSQVLEDVSQAARHIKLGPSEVWRTERMSYEGPTAEVVEVSAGGDLSQAASPTGASRSVRHVTLGPGQSPLSREVIFLGPAPACPEAWGSPEPGPAESSADMDGSGRHSTFGCRQFHAEKEIIFQGPISAAGKVGDYFATEESVGTQTSVRQLQLGPKEGFSGQIQFTAPLSDKVELGVIGDSVHMEGLPGSSTSIRHISIGPQRHQTTQQIVYHGLVPQLGESGDSESTVHGEGSADVHQATHSHTSGRQTVMTEKSTFQSVVSESPQEDSAGDTSGAEMTSGVSRSFRHIRLGPTETETSEHIAIRGPVSRTFVLAGSADSPELGKLADSSRTLRHIAPGPKETSFTFQMDVSNVEAIRSRTQEAGALGVSDRGSWRDADSRNDQAVGVSFKASAGEGDQAHREQGKEQAMFDKKVQLQRMVDQRSVISDEKKVALLYLDNEEEENDGHWF
+>DECOY_sp|O15061|SYNEM_HUMAN Synemin OS=Homo sapiens OX=9606 GN=SYNM PE=1 SV=2
+FWHGDNEEEENDLYLLAVKKEDSIVSRQDVMRQLQVKKDFMAQEKGQERHAQDGEGASAKFSVGVAQDNRSDADRWSGRDSVGLAGAEQTRSRIAEVNSVDMQFTFSTEKPGPAIHRLTRSSDALKGLEPSDASGALVFTRSVPGRIAIHESTETETPGLRIHRFSRSVGSTMEAGSTDGASDEQPSESVVSQFTSKETMVTQRGSTHSHTAQHVDASGEGHVTSESDGSEGLQPVLGHYVIQQTTQHRQPGISIHRISTSSGPLGEMHVSDGIVGLEVKDSLPATFQIQGSFGEKPGLQLQRVSTQTGVSEETAFYDGVKGAASIPGQFIIEKEAHFQRCGFTSHRGSGDMDASSEAPGPEPSGWAEPCAPAPGLFIVERSLPSQGPGLTVHRVSRSAGTPSAAQSLDGGASVEVVEATPGEYSMRETRWVESPGLKIHRAAQSVDELVQSQAFGTPSSVEVTASVPGQPTRQGSSHSAGGAVESEGSPIYLERTAWRRFRIGAAPAEAGGEEDPSGPAPSRQRSLSERVVSEVAKEMESAEDKSLEELDLRDADVTQSVNVEAVLTVSSGGAGQVKKVDVSVSGPGGQGERTLASLEERMREPLTEELQGIVEVLQQRPEKSSIGKMSTHFEHPIKIEKEIVTPEAHFEGSRAQEKWHTSGLDGELSPAPVDLPKVVKEGVAGDKQTRRRTGQVIEDQWSYRITSEEEIHIQGYVSGDDRDHGGPHEDPTSVFYSQEGESDGAETVEEVHTTNEQPQKTRRHQNVSFTVDSEGYGEEEKVLGWPGPSVDEVEEVKFPVSFEEPKEGSVYSVPEEVIEVNVVKARGERGKLGLNIIDGIMQEASKGKLGVEKIDKSLLYDLGAEDSVDVDETLKSEVVIETKTKREGPLEKRDVYTVKTDPFSDASAETEPSQTFQKLISTVINEAVTETMSDGQLSGTADSTGLRFRLERAEAERGTGGGADKVPTQLGKPSVEARRDQSVELSIPVERERVSKEKPSDKEKAKEKMQQSERQRAEKDLKTLEEWRLNREESAKEKSDFMKEEPKTRITEPRNREQERTAKVETKKGLIVTRENSRTSESAGAAVKDRRDRYSERAITSDEGIYVPVERTDGAKPRDPFTKVQAETNRLLGYTPSFTRVNTQSSVAKGYSNEQQTTASSSYGSGLFGRRADGGISTGTQSGRHGSLNSYLASSHNFSALPAKQRSFLNRENERQLLSDTYHYSKNRFESPMNEVHEAWIVIEPNSEGELLARYTAVELSLGTKVQLLDQYDRLWDAMALTLTAKEDELCDIARQREEAQIGYEERMRLLADELRARLQELGLAERRLAEAEQACLRTEEEAQLRSEQGRRLAEELERVEDEYLQVTERWSEAVLLAYSDHVERLRPPPAAPGTARARFHMTLSAARARLERVDREHAADLGRREAQLRGLLAELAARAGLAEQLERQQAGLEADLRGRAAREEADLRQLERLERRLADREGEALATAWSLEDLQQRLSRAEEACRAQGEAWLGERGRRGRLEEELLLNERELERVRCVYDYLRANLEQLEAKEPGTQLRWSLM
+>sp|Q9UMS6|SYNP2_HUMAN Synaptopodin-2 OS=Homo sapiens OX=9606 GN=SYNPO2 PE=1 SV=2
+MGTGDFICISMTGGAPWGFRLQGGKEQKQPLQVAKIRNQSKASGSGLCEGDEVVSINGNPCADLTYPEVIKLMESITDSLQMLIKRPSSGISEALISENENKNLEHLTHGGYVESTTLQIRPATKTQCTEFFLAPVKTEVPLAENQRSGPDCAGSLKEETGPSYQRAPQMPDSQRGRVAEELILREKVEAVQPGPVVELQLSLSQERHKGASGPLVALPGAEKSKSPDPDPNLSHDRIVHINSIPTNEKADPFLRSSKIIQISSGRELRVIQESEAGDAGLPRVEVILDCSDRQKTEGCRLQAGKECVDSPVEGGQSEAPPSLVSFAVSSEGTEQGEDPRSEKDHSRPHKHRARHARLRRSESLSEKQVKEAKSKCKSIALLLTDAPNPNSKGVLMFKKRRRRARKYTLVSYGTGELEREADEEEEGDKEDTCEVAFLGASESEVDEELLSDVDDNTQVVNFDWDSGLVDIEKKLNRGDKMEMLPDTTGKGALMFAKRRERMDQITAQKEEDKVGGTPSREQDAAQTDGLRTTTSYQRKEEESVRTQSSVSKSYIEVSHGLGHVPQQNGFSGTSETANIQRMVPMNRTAKPFPGSVNQPATPFSPTRNMTSPIADFPAPPPYSAVTPPPDAFSRGVSSPIAGPAQPPPWPQPAPWSQPAFYDSSERIASRDERISVPAKRTGILQEAKRRSTTKPMFTFKEPKVSPNPELLSLLQNSEGKRGTGAGGDSGPEEDYLSLGAEACNFMQSSSAKQKTPPPVAPKPAVKSSSSQPVTPVSPVWSPGVAPTQPPAFPTSNPSKGTVVSSIKIAQPSYPPARPASTLNVAGPFKGPQAAVASQNYTPKPTVSTPTVNAVQPGAVGPSNELPGMSGRGAQLFAKRQSRMEKYVVDSDTVQAHAARAQSPTPSLPASWKYSSNVRAPPPVAYNPIHSPSYPLAALKSQPSAAQPSKMGKKKGKKPLNALDVMKHQPYQLNASLFTFQPPDAKDGLPQKSSVKVNSALAMKQALPPRPVNAASPTNVQASSVYSVPAYTSPPSFFAEASSPVSASPVPVGIPTSPKQESASSSYFVAPRPKFSAKKSGVTIQVWKPSVVEE
+>DECOY_sp|Q9UMS6|SYNP2_HUMAN Synaptopodin-2 OS=Homo sapiens OX=9606 GN=SYNPO2 PE=1 SV=2
+EEVVSPKWVQITVGSKKASFKPRPAVFYSSSASEQKPSTPIGVPVPSASVPSSAEAFFSPPSTYAPVSYVSSAQVNTPSAANVPRPPLAQKMALASNVKVSSKQPLGDKADPPQFTFLSANLQYPQHKMVDLANLPKKGKKKGMKSPQAASPQSKLAALPYSPSHIPNYAVPPPARVNSSYKWSAPLSPTPSQARAAHAQVTDSDVVYKEMRSQRKAFLQAGRGSMGPLENSPGVAGPQVANVTPTSVTPKPTYNQSAVAAQPGKFPGAVNLTSAPRAPPYSPQAIKISSVVTGKSPNSTPFAPPQTPAVGPSWVPSVPTVPQSSSSKVAPKPAVPPPTKQKASSSQMFNCAEAGLSLYDEEPGSDGGAGTGRKGESNQLLSLLEPNPSVKPEKFTFMPKTTSRRKAEQLIGTRKAPVSIREDRSAIRESSDYFAPQSWPAPQPWPPPQAPGAIPSSVGRSFADPPPTVASYPPPAPFDAIPSTMNRTPSFPTAPQNVSGPFPKATRNMPVMRQINATESTGSFGNQQPVHGLGHSVEIYSKSVSSQTRVSEEEKRQYSTTTRLGDTQAADQERSPTGGVKDEEKQATIQDMRERRKAFMLAGKGTTDPLMEMKDGRNLKKEIDVLGSDWDFNVVQTNDDVDSLLEEDVESESAGLFAVECTDEKDGEEEEDAERELEGTGYSVLTYKRARRRRKKFMLVGKSNPNPADTLLLAISKCKSKAEKVQKESLSESRRLRAHRARHKHPRSHDKESRPDEGQETGESSVAFSVLSPPAESQGGEVPSDVCEKGAQLRCGETKQRDSCDLIVEVRPLGADGAESEQIVRLERGSSIQIIKSSRLFPDAKENTPISNIHVIRDHSLNPDPDPSKSKEAGPLAVLPGSAGKHREQSLSLQLEVVPGPQVAEVKERLILEEAVRGRQSDPMQPARQYSPGTEEKLSGACDPGSRQNEALPVETKVPALFFETCQTKTAPRIQLTTSEVYGGHTLHELNKNENESILAESIGSSPRKILMQLSDTISEMLKIVEPYTLDACPNGNISVVEDGECLGSGSAKSQNRIKAVQLPQKQEKGGQLRFGWPAGGTMSICIFDGTGM
+>sp|Q8N3V7|SYNPO_HUMAN Synaptopodin OS=Homo sapiens OX=9606 GN=SYNPO PE=1 SV=2
+MLGPHLPPPPLAPSEGRPTPCAFQIPDGSYRCLALEAEESSGEEGLQGEVGPTDLEEDEGVSRSGDDSACRVTQGTPQLPKALGIQPPSCSREEQGASQHDDRASQDWDVVKAGQMMTASPSPGPGPRVAQKPALGRSTSLTEKDLKEAKARSQQIAAQLTTPPSSNSRGVQLFNRRRQRVNEFTLESHGQRGQKPSQESLRVLPSSLPGHAPGLSLSSTSLPEPGPPRHPSPQSPDRGVPGHSMEGYSEEASLLRHLEKVASEEEEVPLVVYLKENAALLTANGLHLSQNREAQQSSPAPPPAEVHSPAADVNQNLASPSATLTTPTSNSSHNPPATDVNQNPPATVVPQSLPLSSIQQNSSEAQLPSNGTGPASKPSTLCADGQPQAPAEEVRCSTLLIDKVSTPATTTSTFSREATLIPSSRPPASDFMSSSLLIDIQPNTLVVSADQEMSGRAAATTPTKVYSEVHFTLAKPPSVVNRTARPFGIQAPGGTSQMERSPMLERRHFGEKAPAPQPPSLPDRSPRPQRHIMSRSPMVERRMMGQRSPASERRPLGNFTAPPTYTETLSTAPLASWVRSPPSYSVLYPSSDPKSSHLKGQAVPASKTGILEESMARRGSRKSMFTFVEKPKVTPNPDLLDLVQTADEKRRQRDQGEVGVEEEPFALGAEASNFQQEPAPRDRASPAAAEEVVPEWASCLKSPRIQAKPKPKPNQNLSEASGKGAELYARRQSRMEKYVIESSSHTPELARCPSPTMSLPSSWKYPTNAPGAFRVASRSPARTPPASLYHGYLPENGVLRPEPTKQPPYQLRPSLFVLSPIKEPAKVSPRAASPAKPSSLDLVPNLPKGALPPSPALPRPSRSSPGLYTSPGQDSLQPTAVSPPYGGDISPVSPSRAWSPRAKQAPRPSFSTRNAGIEAQVWKPSFCFK
+>DECOY_sp|Q8N3V7|SYNPO_HUMAN Synaptopodin OS=Homo sapiens OX=9606 GN=SYNPO PE=1 SV=2
+KFCFSPKWVQAEIGANRTSFSPRPAQKARPSWARSPSVPSIDGGYPPSVATPQLSDQGPSTYLGPSSRSPRPLAPSPPLAGKPLNPVLDLSSPKAPSAARPSVKAPEKIPSLVFLSPRLQYPPQKTPEPRLVGNEPLYGHYLSAPPTRAPSRSAVRFAGPANTPYKWSSPLSMTPSPCRALEPTHSSSEIVYKEMRSQRRAYLEAGKGSAESLNQNPKPKPKAQIRPSKLCSAWEPVVEEAAAPSARDRPAPEQQFNSAEAGLAFPEEEVGVEGQDRQRRKEDATQVLDLLDPNPTVKPKEVFTFMSKRSGRRAMSEELIGTKSAPVAQGKLHSSKPDSSPYLVSYSPPSRVWSALPATSLTETYTPPATFNGLPRRESAPSRQGMMRREVMPSRSMIHRQPRPSRDPLSPPQPAPAKEGFHRRELMPSREMQSTGGPAQIGFPRATRNVVSPPKALTFHVESYVKTPTTAAARGSMEQDASVVLTNPQIDILLSSSMFDSAPPRSSPILTAERSFTSTTTAPTSVKDILLTSCRVEEAPAQPQGDACLTSPKSAPGTGNSPLQAESSNQQISSLPLSQPVVTAPPNQNVDTAPPNHSSNSTPTTLTASPSALNQNVDAAPSHVEAPPPAPSSQQAERNQSLHLGNATLLAANEKLYVVLPVEEEESAVKELHRLLSAEESYGEMSHGPVGRDPSQPSPHRPPGPEPLSTSSLSLGPAHGPLSSPLVRLSEQSPKQGRQGHSELTFENVRQRRRNFLQVGRSNSSPPTTLQAAIQQSRAKAEKLDKETLSTSRGLAPKQAVRPGPGPSPSATMMQGAKVVDWDQSARDDHQSAGQEERSCSPPQIGLAKPLQPTGQTVRCASDDGSRSVGEDEELDTPGVEGQLGEEGSSEEAELALCRYSGDPIQFACPTPRGESPALPPPPLHPGLM
+>sp|Q9UMZ2|SYNRG_HUMAN Synergin gamma OS=Homo sapiens OX=9606 GN=SYNRG PE=1 SV=2
+MALRPGAGSGGGGAAGAGAGSAGGGGFMFPVAGGIRPPQAGLMPMQQQGFPMVSVMQPNMQGIMGMNYSSQMSQGPIAMQAGIPMGPMPAAGMPYLGQAPFLGMRPPGPQYTPDMQKQFAEEQQKRFEQQQKLLEEERKRRQFEEQKQKLRLLSSVKPKTGEKSRDDALEAIKGNLDGFSRDAKMHPTPASHPKKPGPSLEEKFLVSCDISTSGQEQIKLNTSEVGHKALGPGSSKKYPSLMASNGVAVDGCVSGTTTAEAENTSDQNLSIEESGVGVFPSQDPAQPRMPPWIYNESLVPDAYKKILETTMTPTGIDTAKLYPILMSSGLPRETLGQIWALANRTTPGKLTKEELYTVLAMIAVTQRGVPAMSPDALNQFPAAPIPTLSGFSMTLPTPVSQPTVIPSGPAGSMPLSLGQPVMGINLVGPVGGAAAQASSGFIPTYPANQVVKPEEDDFQDFQDASKSGSLDDSFSDFQELPASSKTSNSQHGNSAPSLLMPLPGTKALPSMDKYAVFKGIAADKSSENTVPPGDPGDKYSAFRELEQTAENKPLGESFAEFRSAGTDDGFTDFKTADSVSPLEPPTKDKTFPPSFPSGTIQQKQQTQVKNPLNLADLDMFSSVNCSSEKPLSFSAVFSTSKSVSTPQSTGSAATMTALAATKTSSLADDFGEFSLFGEYSGLAPVGEQDDFADFMAFSNSSISSEQKPDDKYDALKEEASPVPLTSNVGSTVKGGQNSTAASTKYDVFRQLSLEGSGLGVEDLKDNTPSGKSDDDFADFHSSKFSSINSDKSLGEKAVAFRHTKEDSASVKSLDLPSIGGSSVGKEDSEDALSVQFDMKLADVGGDLKHVMSDSSLDLPTVSGQHPPAADIEDLKYAAFGSYSSNFAVSTLTSYDWSDRDDATQGRKLSPFVLSAGSGSPSATSILQKKETSFGSSENITMTSLSKVTTFVSEDALPETTFPALASFKDTIPQTSEQKEYENRDYKDFTKQDLPTAERSQEATCPSPASSGASQETPNECSDDFGEFQSEKPKISKFDFLVATSQSKMKSSEEMIKSELATFDLSVQGSHKRSLSLGDKEISRSSPSPALEQPFRDRSNTLNEKPALPVIRDKYKDLTGEVEENERYAYEWQRCLGSALNVIKKANDTLNGISSSSVCTEVIQSAQGMEYLLGVVEVYRVTKRVELGIKATAVCSEKLQQLLKDIDKVWNNLIGFMSLATLTPDENSLDFSSCMLRPGIKNAQELACGVCLLNVDSRSRKEEKPAEEHPKKAFNSETDSFKLAYGGHQYHASCANFWINCVEPKPPGLVLPDLL
+>DECOY_sp|Q9UMZ2|SYNRG_HUMAN Synergin gamma OS=Homo sapiens OX=9606 GN=SYNRG PE=1 SV=2
+LLDPLVLGPPKPEVCNIWFNACSAHYQHGGYALKFSDTESNFAKKPHEEAPKEEKRSRSDVNLLCVGCALEQANKIGPRLMCSSFDLSNEDPTLTALSMFGILNNWVKDIDKLLQQLKESCVATAKIGLEVRKTVRYVEVVGLLYEMGQASQIVETCVSSSSIGNLTDNAKKIVNLASGLCRQWEYAYRENEEVEGTLDKYKDRIVPLAPKENLTNSRDRFPQELAPSPSSRSIEKDGLSLSRKHSGQVSLDFTALESKIMEESSKMKSQSTAVLFDFKSIKPKESQFEGFDDSCENPTEQSAGSSAPSPCTAEQSREATPLDQKTFDKYDRNEYEKQESTQPITDKFSALAPFTTEPLADESVFTTVKSLSTMTINESSGFSTEKKQLISTASPSGSGASLVFPSLKRGQTADDRDSWDYSTLTSVAFNSSYSGFAAYKLDEIDAAPPHQGSVTPLDLSSDSMVHKLDGGVDALKMDFQVSLADESDEKGVSSGGISPLDLSKVSASDEKTHRFAVAKEGLSKDSNISSFKSSHFDAFDDDSKGSPTNDKLDEVGLGSGELSLQRFVDYKTSAATSNQGGKVTSGVNSTLPVPSAEEKLADYKDDPKQESSISSNSFAMFDAFDDQEGVPALGSYEGFLSFEGFDDALSSTKTAALATMTAASGTSQPTSVSKSTSFVASFSLPKESSCNVSSFMDLDALNLPNKVQTQQKQQITGSPFSPPFTKDKTPPELPSVSDATKFDTFGDDTGASRFEAFSEGLPKNEATQELERFASYKDGPDGPPVTNESSKDAAIGKFVAYKDMSPLAKTGPLPMLLSPASNGHQSNSTKSSAPLEQFDSFSDDLSGSKSADQFDQFDDEEPKVVQNAPYTPIFGSSAQAAAGGVPGVLNIGMVPQGLSLPMSGAPGSPIVTPQSVPTPLTMSFGSLTPIPAAPFQNLADPSMAPVGRQTVAIMALVTYLEEKTLKGPTTRNALAWIQGLTERPLGSSMLIPYLKATDIGTPTMTTELIKKYADPVLSENYIWPPMRPQAPDQSPFVGVGSEEISLNQDSTNEAEATTTGSVCGDVAVGNSAMLSPYKKSSGPGLAKHGVESTNLKIQEQGSTSIDCSVLFKEELSPGPKKPHSAPTPHMKADRSFGDLNGKIAELADDRSKEGTKPKVSSLLRLKQKQEEFQRRKREEELLKQQQEFRKQQEEAFQKQMDPTYQPGPPRMGLFPAQGLYPMGAAPMPGMPIGAQMAIPGQSMQSSYNMGMIGQMNPQMVSVMPFGQQQMPMLGAQPPRIGGAVPFMFGGGGASGAGAGAAGGGGSGAGPRLAM
+>sp|Q16563|SYPL1_HUMAN Synaptophysin-like protein 1 OS=Homo sapiens OX=9606 GN=SYPL1 PE=1 SV=1
+MAPNIYLVRQRISRLGQRMSGFQINLNPLKEPLGFIKVLEWIASIFAFATCGGFKGQTEIQVNCPPAVTENKTVTATFGYPFRLNEASFQPPPGVNICDVNWKDYVLIGDYSSSAQFYVTFAVFVFLYCIAALLLYVGYTSLYLDSRKLPMIDFVVTLVATFLWLVSTSAWAKALTDIKIATGHNIIDELPPCKKKAVLCYFGSVTSMGSLNVSVIFGFLNMILWGGNAWFVYKETSLHSPSNTSAPHSQGGIPPPTGI
+>DECOY_sp|Q16563|SYPL1_HUMAN Synaptophysin-like protein 1 OS=Homo sapiens OX=9606 GN=SYPL1 PE=1 SV=1
+IGTPPPIGGQSHPASTNSPSHLSTEKYVFWANGGWLIMNLFGFIVSVNLSGMSTVSGFYCLVAKKKCPPLEDIINHGTAIKIDTLAKAWASTSVLWLFTAVLTVVFDIMPLKRSDLYLSTYGVYLLLAAICYLFVFVAFTVYFQASSSYDGILVYDKWNVDCINVGPPPQFSAENLRFPYGFTATVTKNETVAPPCNVQIETQGKFGGCTAFAFISAIWELVKIFGLPEKLPNLNIQFGSMRQGLRSIRQRVLYINPAM
+>sp|P47897|SYQ_HUMAN Glutamine--tRNA ligase OS=Homo sapiens OX=9606 GN=QARS PE=1 SV=1
+MAALDSLSLFTSLGLSEQKARETLKNSALSAQLREAATQAQQTLGSTIDKATGILLYGLASRLRDTRRLSFLVSYIASKKIHTEPQLSAALEYVRSHPLDPIDTVDFERECGVGVIVTPEQIEEAVEAAINRHRPQLLVERYHFNMGLLMGEARAVLKWADGKMIKNEVDMQVLHLLGPKLEADLEKKFKVAKARLEETDRRTAKDVVENGETADQTLSLMEQLRGEALKFHKPGENYKTPGYVVTPHTMNLLKQHLEITGGQVRTRFPPEPNGILHIGHAKAINFNFGYAKANNGICFLRFDDTNPEKEEAKFFTAICDMVAWLGYTPYKVTYASDYFDQLYAWAVELIRRGLAYVCHQRGEELKGHNTLPSPWRDRPMEESLLLFEAMRKGKFSEGEATLRMKLVMEDGKMDPVAYRVKYTPHHRTGDKWCIYPTYDYTHCLCDSIEHITHSLCTKEFQARRSSYFWLCNALDVYCPVQWEYGRLNLHYAVVSKRKILQLVATGAVRDWDDPRLFTLTALRRRGFPPEAINNFCARVGVTVAQTTMEPHLLEACVRDVLNDTAPRAMAVLESLRVIITNFPAAKSLDIQVPNFPADETKGFHQVPFAPIVFIERTDFKEEPEPGFKRLAWGQPVGLRHTGYVIELQHVVKGPSGCVESLEVTCRRADAGEKPKAFIHWVSQPLMCEVRLYERLFQHKNPEDPTEVPGGFLSDLNLASLHVVDAALVDCSVALAKPFDKFQFERLGYFSVDPDSHQGKLVFNRTVTLKEDPGKV
+>DECOY_sp|P47897|SYQ_HUMAN Glutamine--tRNA ligase OS=Homo sapiens OX=9606 GN=QARS PE=1 SV=1
+VKGPDEKLTVTRNFVLKGQHSDPDVSFYGLREFQFKDFPKALAVSCDVLAADVVHLSALNLDSLFGGPVETPDEPNKHQFLREYLRVECMLPQSVWHIFAKPKEGADARRCTVELSEVCGSPGKVVHQLEIVYGTHRLGVPQGWALRKFGPEPEEKFDTREIFVIPAFPVQHFGKTEDAPFNPVQIDLSKAAPFNTIIVRLSELVAMARPATDNLVDRVCAELLHPEMTTQAVTVGVRACFNNIAEPPFGRRRLATLTFLRPDDWDRVAGTAVLQLIKRKSVVAYHLNLRGYEWQVPCYVDLANCLWFYSSRRAQFEKTCLSHTIHEISDCLCHTYDYTPYICWKDGTRHHPTYKVRYAVPDMKGDEMVLKMRLTAEGESFKGKRMAEFLLLSEEMPRDRWPSPLTNHGKLEEGRQHCVYALGRRILEVAWAYLQDFYDSAYTVKYPTYGLWAVMDCIATFFKAEEKEPNTDDFRLFCIGNNAKAYGFNFNIAKAHGIHLIGNPEPPFRTRVQGGTIELHQKLLNMTHPTVVYGPTKYNEGPKHFKLAEGRLQEMLSLTQDATEGNEVVDKATRRDTEELRAKAVKFKKELDAELKPGLLHLVQMDVENKIMKGDAWKLVARAEGMLLGMNFHYREVLLQPRHRNIAAEVAEEIQEPTVIVGVGCEREFDVTDIPDLPHSRVYELAASLQPETHIKKSAIYSVLFSLRRTDRLRSALGYLLIGTAKDITSGLTQQAQTAAERLQASLASNKLTERAKQESLGLSTFLSLSDLAAM
+>sp|Q7RTT3|SSX9_HUMAN Protein SSX9 OS=Homo sapiens OX=9606 GN=SSX9 PE=2 SV=1
+MNGDDAFARRPRAGSQIPEKIQKAFDDIAKYFSKKEWEKMKSSEKIIYVYMKRKYEAMTKLGFKATLPPFMCNTGATDLQGNDFDNDRNHRNQVERSQMTFGRLQGIFPKIMPKKPAEVGNDSKEVPEASGLQNDGKQLCPPGKPTTSEKINKASGPKRGKHAWTHRLRERKQLVIYEEISDPEEDDE
+>DECOY_sp|Q7RTT3|SSX9_HUMAN Protein SSX9 OS=Homo sapiens OX=9606 GN=SSX9 PE=2 SV=1
+EDDEEPDSIEEYIVLQKRERLRHTWAHKGRKPGSAKNIKESTTPKGPPCLQKGDNQLGSAEPVEKSDNGVEAPKKPMIKPFIGQLRGFTMQSREVQNRHNRDNDFDNGQLDTAGTNCMFPPLTAKFGLKTMAEYKRKMYVYIIKESSKMKEWEKKSFYKAIDDFAKQIKEPIQSGARPRRAFADDGNM
+>sp|O00338|ST1C2_HUMAN Sulfotransferase 1C2 OS=Homo sapiens OX=9606 GN=SULT1C2 PE=1 SV=1
+MALTSDLGKQIKLKEVEGTLLQPATVDNWSQIQSFEAKPDDLLICTYPKAGTTWIQEIVDMIEQNGDVEKCQRAIIQHRHPFIEWARPPQPSGVEKAKAMPSPRILKTHLSTQLLPPSFWENNCKFLYVARNAKDCMVSYYHFQRMNHMLPDPGTWEEYFETFINGKVVWGSWFDHVKGWWEMKDRHQILFLFYEDIKRDPKHEIRKVMQFMGKKVDETVLDKIVQETSFEKMKENPMTNRSTVSKSILDQSISSFMRKGTVGDWKNHFTVAQNERFDEIYRRKMEGTSINFCMEL
+>DECOY_sp|O00338|ST1C2_HUMAN Sulfotransferase 1C2 OS=Homo sapiens OX=9606 GN=SULT1C2 PE=1 SV=1
+LEMCFNISTGEMKRRYIEDFRENQAVTFHNKWDGVTGKRMFSSISQDLISKSVTSRNTMPNEKMKEFSTEQVIKDLVTEDVKKGMFQMVKRIEHKPDRKIDEYFLFLIQHRDKMEWWGKVHDFWSGWVVKGNIFTEFYEEWTGPDPLMHNMRQFHYYSVMCDKANRAVYLFKCNNEWFSPPLLQTSLHTKLIRPSPMAKAKEVGSPQPPRAWEIFPHRHQIIARQCKEVDGNQEIMDVIEQIWTTGAKPYTCILLDDPKAEFSQIQSWNDVTAPQLLTGEVEKLKIQKGLDSTLAM
+>sp|O00204|ST2B1_HUMAN Sulfotransferase family cytosolic 2B member 1 OS=Homo sapiens OX=9606 GN=SULT2B1 PE=1 SV=2
+MDGPAEPQIPGLWDTYEDDISEISQKLPGEYFRYKGVPFPVGLYSLESISLAENTQDVRDDDIFIITYPKSGTTWMIEIICLILKEGDPSWIRSVPIWERAPWCETIVGAFSLPDQYSPRLMSSHLPIQIFTKAFFSSKAKVIYMGRNPRDVVVSLYHYSKIAGQLKDPGTPDQFLRDFLKGEVQFGSWFDHIKGWLRMKGKDNFLFITYEELQQDLQGSVERICGFLGRPLGKEALGSVVAHSTFSAMKANTMSNYTLLPPSLLDHRRGAFLRKGVCGDWKNHFTVAQSEAFDRAYRKQMRGMPTFPWDEDPEEDGSPDPEPSPEPEPKPSLEPNTSLEREPRPNSSPSPSPGQASETPHPRPS
+>DECOY_sp|O00204|ST2B1_HUMAN Sulfotransferase family cytosolic 2B member 1 OS=Homo sapiens OX=9606 GN=SULT2B1 PE=1 SV=2
+SPRPHPTESAQGPSPSPSSNPRPERELSTNPELSPKPEPEPSPEPDPSGDEEPDEDWPFTPMGRMQKRYARDFAESQAVTFHNKWDGCVGKRLFAGRRHDLLSPPLLTYNSMTNAKMASFTSHAVVSGLAEKGLPRGLFGCIREVSGQLDQQLEEYTIFLFNDKGKMRLWGKIHDFWSGFQVEGKLFDRLFQDPTGPDKLQGAIKSYHYLSVVVDRPNRGMYIVKAKSSFFAKTFIQIPLHSSMLRPSYQDPLSFAGVITECWPAREWIPVSRIWSPDGEKLILCIIEIMWTTGSKPYTIIFIDDDRVDQTNEALSISELSYLGVPFPVGKYRFYEGPLKQSIESIDDEYTDWLGPIQPEAPGDM
+>sp|Q9BR01|ST4A1_HUMAN Sulfotransferase 4A1 OS=Homo sapiens OX=9606 GN=SULT4A1 PE=1 SV=2
+MAESEAETPSTPGEFESKYFEFHGVRLPPFCRGKMEEIANFPVRPSDVWIVTYPKSGTSLLQEVVYLVSQGADPDEIGLMNIDEQLPVLEYPQPGLDIIKELTSPRLIKSHLPYRFLPSDLHNGDSKVIYMARNPKDLVVSYYQFHRSLRTMSYRGTFQEFCRRFMNDKLGYGSWFEHVQEFWEHRMDSNVLFLKYEDMHRDLVTMVEQLARFLGVSCDKAQLEALTEHCHQLVDQCCNAEALPVGRGRVGLWKDIFTVSMNEKFDLVYKQKMGKCDLTFDFYL
+>DECOY_sp|Q9BR01|ST4A1_HUMAN Sulfotransferase 4A1 OS=Homo sapiens OX=9606 GN=SULT4A1 PE=1 SV=2
+LYFDFTLDCKGMKQKYVLDFKENMSVTFIDKWLGVRGRGVPLAEANCCQDVLQHCHETLAELQAKDCSVGLFRALQEVMTVLDRHMDEYKLFLVNSDMRHEWFEQVHEFWSGYGLKDNMFRRCFEQFTGRYSMTRLSRHFQYYSVVLDKPNRAMYIVKSDGNHLDSPLFRYPLHSKILRPSTLEKIIDLGPQPYELVPLQEDINMLGIEDPDAGQSVLYVVEQLLSTGSKPYTVIWVDSPRVPFNAIEEMKGRCFPPLRVGHFEFYKSEFEGPTSPTEAESEAM
+>sp|P59095|STAR6_HUMAN StAR-related lipid transfer protein 6 OS=Homo sapiens OX=9606 GN=STARD6 PE=1 SV=1
+MDFKAIAQQTAQEVLGYNRDTSGWKVVKTSKKITVSSKASRKFHGNLYRVEGIIPESPAKLSDFLYQTGDRITWDKSLQVYNMVHRIDSDTFICHTITQSFAVGSISPRDFIDLVYIKRYEGNMNIISSKSVDFPEYPPSSNYIRGYNHPCGFVCSPMEENPAYSKLVMFVQTEMRGKLSPSIIEKTMPSNLVNFILNAKDGIKAHRTPSRRGFHHNSHS
+>DECOY_sp|P59095|STAR6_HUMAN StAR-related lipid transfer protein 6 OS=Homo sapiens OX=9606 GN=STARD6 PE=1 SV=1
+SHSNHHFGRRSPTRHAKIGDKANLIFNVLNSPMTKEIISPSLKGRMETQVFMVLKSYAPNEEMPSCVFGCPHNYGRIYNSSPPYEPFDVSKSSIINMNGEYRKIYVLDIFDRPSISGVAFSQTITHCIFTDSDIRHVMNYVQLSKDWTIRDGTQYLFDSLKAPSEPIIGEVRYLNGHFKRSAKSSVTIKKSTKVVKWGSTDRNYGLVEQATQQAIAKFDM
+>sp|Q9NQZ5|STAR7_HUMAN StAR-related lipid transfer protein 7, mitochondrial OS=Homo sapiens OX=9606 GN=STARD7 PE=1 SV=2
+MLPRRLLAAWLAGTRGGGLLALLANQCRFVTGLRVRRAQQIAQLYGRLYSESSRRVLLGRLWRRLHGRPGHASALMAALAGVFVWDEERIQEEELQRSINEMKRLEEMSNMFQSSGVQHHPPEPKAQTEGNEDSEGKEQRWEMVMDKKHFKLWRRPITGTHLYQYRVFGTYTDVTPRQFFNVQLDTEYRKKWDALVIKLEVIERDVVSGSEVLHWVTHFPYPMYSRDYVYVRRYSVDQENNMMVLVSRAVEHPSVPESPEFVRVRSYESQMVIRPHKSFDENGFDYLLTYSDNPQTVFPRYCVSWMVSSGMPDFLEKLHMATLKAKNMEIKVKDYISAKPLEMSSEAKATSQSSERKNEGSCGPARIEYA
+>DECOY_sp|Q9NQZ5|STAR7_HUMAN StAR-related lipid transfer protein 7, mitochondrial OS=Homo sapiens OX=9606 GN=STARD7 PE=1 SV=2
+AYEIRAPGCSGENKRESSQSTAKAESSMELPKASIYDKVKIEMNKAKLTAMHLKELFDPMGSSVMWSVCYRPFVTQPNDSYTLLYDFGNEDFSKHPRIVMQSEYSRVRVFEPSEPVSPHEVARSVLVMMNNEQDVSYRRVYVYDRSYMPYPFHTVWHLVESGSVVDREIVELKIVLADWKKRYETDLQVNFFQRPTVDTYTGFVRYQYLHTGTIPRRWLKFHKKDMVMEWRQEKGESDENGETQAKPEPPHHQVGSSQFMNSMEELRKMENISRQLEEEQIREEDWVFVGALAAMLASAHGPRGHLRRWLRGLLVRRSSESYLRGYLQAIQQARRVRLGTVFRCQNALLALLGGGRTGALWAALLRRPLM
+>sp|Q92502|STAR8_HUMAN StAR-related lipid transfer protein 8 OS=Homo sapiens OX=9606 GN=STARD8 PE=1 SV=2
+MTLNNCASMKLEVHFQSKQNEDSEEEEQCTISSHWAFQQESKCWSPMGSSDLLAPPSPGLPATSSCESVLTELSATSLPVITVSLPPEPADLPLPGRAPSSSDRPLLSPTQGQEGPQDKAKKRHRNRSFLKHLESLRRKEKSGSQQAEPKHSPATSEKVSKASSFRSCRGFLSAGFYRAKNWAATSAGGSGANTRKAWEAWPVASFRHPQWTHRGDCLVHVPGDHKPGTFPRSLSIESLCPEDGHRLADWQPGRRWGCEGRRGSCGSTGSHASTYDNLPELYPAEPVMVGAEAEDEDDEESGGSYAHLDDILQHVWGLQQRVELWSRAMYPDLGPGDEEEEEATSSVEIATVEVKCQAEALSQMEVPAHGESPAWAQAEVQPAVLAPAQAPAEAEPVAQEEAEAPAPAPAPAPAQDSEQEAHSGGEPTFASSLSVEEGHSISDTVASSSELDSSGNSMNEAEAAGPLAGLQASMPRERRDSGVGASLTRPCRKLRWHSFQNSHRPSLNSESLEINRQFAGQINLLHKGSLLRLTAFMEKYTVPHKQGWVWSMPKFMRRNKTPDYRGQHVFGVPPLIHVQRTGQPLPQSIQQAMRYLRSQCLDQVGIFRKSGVKSRIQNLRQMNETSPDNVCYEGQSAYDVADLLKQYFRDLPEPIFTSKLTTTFLQIYQLLPKDQWLAAAQAATLLLPDENREVLQTLLYFLSDIASAEENQMTAGNLAVCLAPSIFHLNVSKKDSPSPRIKSKRSLIGRPGPRDLSDNMAATQGLSHMISDCKKLFQVPQDMVLQLCSSYSAAELSPPGPALAELRQAQAAGVSLSLYMEENIQDLLRDAAERFKGWMSVPGPQHTELACRKAPDGHPLRLWKASTEVAAPPAVVLHRVLRERALWDEDLLRAQVLEALMPGVELYHYVTDSMAPHPCRDFVVLRMWRSDLPRGGCLLVSQSLDPEQPVPESGVRALMLTSQYLMEPCGLGRSRLTHICRADLRGRSPDWYNKVFGHLCAMEVAKIRDSFPTLQAAGPETKL
+>DECOY_sp|Q92502|STAR8_HUMAN StAR-related lipid transfer protein 8 OS=Homo sapiens OX=9606 GN=STARD8 PE=1 SV=2
+LKTEPGAAQLTPFSDRIKAVEMACLHGFVKNYWDPSRGRLDARCIHTLRSRGLGCPEMLYQSTLMLARVGSEPVPQEPDLSQSVLLCGGRPLDSRWMRLVVFDRCPHPAMSDTVYHYLEVGPMLAELVQARLLDEDWLARERLVRHLVVAPPAAVETSAKWLRLPHGDPAKRCALETHQPGPVSMWGKFREAADRLLDQINEEMYLSLSVGAAQAQRLEALAPGPPSLEAASYSSCLQLVMDQPVQFLKKCDSIMHSLGQTAAMNDSLDRPGPRGILSRKSKIRPSPSDKKSVNLHFISPALCVALNGATMQNEEASAIDSLFYLLTQLVERNEDPLLLTAAQAAALWQDKPLLQYIQLFTTTLKSTFIPEPLDRFYQKLLDAVDYASQGEYCVNDPSTENMQRLNQIRSKVGSKRFIGVQDLCQSRLYRMAQQISQPLPQGTRQVHILPPVGFVHQGRYDPTKNRRMFKPMSWVWGQKHPVTYKEMFATLRLLSGKHLLNIQGAFQRNIELSESNLSPRHSNQFSHWRLKRCPRTLSAGVGSDRRERPMSAQLGALPGAAEAENMSNGSSDLESSSAVTDSISHGEEVSLSSAFTPEGGSHAEQESDQAPAPAPAPAPAEAEEQAVPEAEAPAQAPALVAPQVEAQAWAPSEGHAPVEMQSLAEAQCKVEVTAIEVSSTAEEEEEDGPGLDPYMARSWLEVRQQLGWVHQLIDDLHAYSGGSEEDDEDEAEAGVMVPEAPYLEPLNDYTSAHSGTSGCSGRRGECGWRRGPQWDALRHGDEPCLSEISLSRPFTGPKHDGPVHVLCDGRHTWQPHRFSAVPWAEWAKRTNAGSGGASTAAWNKARYFGASLFGRCSRFSSAKSVKESTAPSHKPEAQQSGSKEKRRLSELHKLFSRNRHRKKAKDQPGEQGQTPSLLPRDSSSPARGPLPLDAPEPPLSVTIVPLSTASLETLVSECSSTAPLGPSPPALLDSSGMPSWCKSEQQFAWHSSITCQEEEESDENQKSQFHVELKMSACNNLTM
+>sp|P49675|STAR_HUMAN Steroidogenic acute regulatory protein, mitochondrial OS=Homo sapiens OX=9606 GN=STAR PE=1 SV=2
+MLLATFKLCAGSSYRHMRNMKGLRQQAVMAISQELNRRALGGPTPSTWINQVRRRSSLLGSRLEETLYSDQELAYLQQGEEAMQKALGILSNQEGWKKESQQDNGDKVMSKVVPDVGKVFRLEVVVDQPMERLYEELVERMEAMGEWNPNVKEIKVLQKIGKDTFITHELAAEAAGNLVGPRDFVSVRCAKRRGSTCVLAGMATDFGNMPEQKGVIRAEHGPTCMVLHPLAGSPSKTKLTWLLSIDLKGWLPKSIINQVLSQTQVDFANHLRKRLESHPASEARC
+>DECOY_sp|P49675|STAR_HUMAN Steroidogenic acute regulatory protein, mitochondrial OS=Homo sapiens OX=9606 GN=STAR PE=1 SV=2
+CRAESAPHSELRKRLHNAFDVQTQSLVQNIISKPLWGKLDISLLWTLKTKSPSGALPHLVMCTPGHEARIVGKQEPMNGFDTAMGALVCTSGRRKACRVSVFDRPGVLNGAAEAALEHTIFTDKGIKQLVKIEKVNPNWEGMAEMREVLEEYLREMPQDVVVELRFVKGVDPVVKSMVKDGNDQQSEKKWGEQNSLIGLAKQMAEEGQQLYALEQDSYLTEELRSGLLSSRRRVQNIWTSPTPGGLARRNLEQSIAMVAQQRLGKMNRMHRYSSGACLKFTALLM
+>sp|Q14765|STAT4_HUMAN Signal transducer and activator of transcription 4 OS=Homo sapiens OX=9606 GN=STAT4 PE=1 SV=1
+MSQWNQVQQLEIKFLEQVDQFYDDNFPMEIRHLLAQWIENQDWEAASNNETMATILLQNLLIQLDEQLGRVSKEKNLLLIHNLKRIRKVLQGKFHGNPMHVAVVISNCLREERRILAAANMPVQGPLEKSLQSSSVSERQRNVEHKVAAIKNSVQMTEQDTKYLEDLQDEFDYRYKTIQTMDQSDKNSAMVNQEVLTLQEMLNSLDFKRKEALSKMTQIIHETDLLMNTMLIEELQDWKRRQQIACIGGPLHNGLDQLQNCFTLLAESLFQLRRQLEKLEEQSTKMTYEGDPIPMQRTHMLERVTFLIYNLFKNSFVVERQPCMPTHPQRPLVLKTLIQFTVKLRLLIKLPELNYQVKVKASIDKNVSTLSNRRFVLCGTNVKAMSIEESSNGSLSVEFRHLQPKEMKSSAGGKGNEGCHMVTEELHSITFETQICLYGLTIDLETSSLPVVMISNVSQLPNAWASIIWYNVSTNDSQNLVFFNNPPPATLSQLLEVMSWQFSSYVGRGLNSDQLHMLAEKLTVQSSYSDGHLTWAKFCKEHLPGKSFTFWTWLEAILDLIKKHILPLWIDGYVMGFVSKEKERLLLKDKMPGTFLLRFSESHLGGITFTWVDHSESGEVRFHSVEPYNKGRLSALPFADILRDYKVIMAENIPENPLKYLYPDIPKDKAFGKHYSSQPCEVSRPTERGDKGYVPSVFIPISTIRSDSTEPHSPSDLLPMSPSVYAVLRENLSPTTIETAMKSPYSAE
+>DECOY_sp|Q14765|STAT4_HUMAN Signal transducer and activator of transcription 4 OS=Homo sapiens OX=9606 GN=STAT4 PE=1 SV=1
+EASYPSKMATEITTPSLNERLVAYVSPSMPLLDSPSHPETSDSRITSIPIFVSPVYGKDGRETPRSVECPQSSYHKGFAKDKPIDPYLYKLPNEPINEAMIVKYDRLIDAFPLASLRGKNYPEVSHFRVEGSESHDVWTFTIGGLHSESFRLLFTGPMKDKLLLREKEKSVFGMVYGDIWLPLIHKKILDLIAELWTWFTFSKGPLHEKCFKAWTLHGDSYSSQVTLKEALMHLQDSNLGRGVYSSFQWSMVELLQSLTAPPPNNFFVLNQSDNTSVNYWIISAWANPLQSVNSIMVVPLSSTELDITLGYLCIQTEFTISHLEETVMHCGENGKGGASSKMEKPQLHRFEVSLSGNSSEEISMAKVNTGCLVFRRNSLTSVNKDISAKVKVQYNLEPLKILLRLKVTFQILTKLVLPRQPHTPMCPQREVVFSNKFLNYILFTVRELMHTRQMPIPDGEYTMKTSQEELKELQRRLQFLSEALLTFCNQLQDLGNHLPGGICAIQQRRKWDQLEEILMTNMLLDTEHIIQTMKSLAEKRKFDLSNLMEQLTLVEQNVMASNKDSQDMTQITKYRYDFEDQLDELYKTDQETMQVSNKIAAVKHEVNRQRESVSSSQLSKELPGQVPMNAAALIRREERLCNSIVVAVHMPNGHFKGQLVKRIRKLNHILLLNKEKSVRGLQEDLQILLNQLLITAMTENNSAAEWDQNEIWQALLHRIEMPFNDDYFQDVQELFKIELQQVQNWQSM
+>sp|P42226|STAT6_HUMAN Signal transducer and activator of transcription 6 OS=Homo sapiens OX=9606 GN=STAT6 PE=1 SV=1
+MSLWGLVSKMPPEKVQRLYVDFPQHLRHLLGDWLESQPWEFLVGSDAFCCNLASALLSDTVQHLQASVGEQGEGSTILQHISTLESIYQRDPLKLVATFRQILQGEKKAVMEQFRHLPMPFHWKQEELKFKTGLRRLQHRVGEIHLLREALQKGAEAGQVSLHSLIETPANGTGPSEALAMLLQETTGELEAAKALVLKRIQIWKRQQQLAGNGAPFEESLAPLQERCESLVDIYSQLQQEVGAAGGELEPKTRASLTGRLDEVLRTLVTSCFLVEKQPPQVLKTQTKFQAGVRFLLGLRFLGAPAKPPLVRADMVTEKQARELSVPQGPGAGAESTGEIINNTVPLENSIPGNCCSALFKNLLLKKIKRCERKGTESVTEEKCAVLFSASFTLGPGKLPIQLQALSLPLVVIVHGNQDNNAKATILWDNAFSEMDRVPFVVAERVPWEKMCETLNLKFMAEVGTNRGLLPEHFLFLAQKIFNDNSLSMEAFQHRSVSWSQFNKEILLGRGFTFWQWFDGVLDLTKRCLRSYWSDRLIIGFISKQYVTSLLLNEPDGTFLLRFSDSEIGGITIAHVIRGQDGSPQIENIQPFSAKDLSIRSLGDRIRDLAQLKNLYPKKPKDEAFRSHYKPEQMGKDGRGYVPATIKMTVERDQPLPTPELQMPTMVPSYDLGMAPDSSMSMQLGPDMVPQVYPPHSHSIPPYQGLSPEESVNVLSAFQEPHLQMPPSLGQMSLPFDQPHPQGLLPCQPQEHAVSSPDPLLCSDVTMVEDSCLSQPVTAFPQGTWIGEDIFPPLLPPTEQDLTKLLLEGQGESGGGSLGAQPLLQPSHYGQSGISMSHMDLRANPSW
+>DECOY_sp|P42226|STAT6_HUMAN Signal transducer and activator of transcription 6 OS=Homo sapiens OX=9606 GN=STAT6 PE=1 SV=1
+WSPNARLDMHSMSIGSQGYHSPQLLPQAGLSGGGSEGQGELLLKTLDQETPPLLPPFIDEGIWTGQPFATVPQSLCSDEVMTVDSCLLPDPSSVAHEQPQCPLLGQPHPQDFPLSMQGLSPPMQLHPEQFASLVNVSEEPSLGQYPPISHSHPPYVQPVMDPGLQMSMSSDPAMGLDYSPVMTPMQLEPTPLPQDREVTMKITAPVYGRGDKGMQEPKYHSRFAEDKPKKPYLNKLQALDRIRDGLSRISLDKASFPQINEIQPSGDQGRIVHAITIGGIESDSFRLLFTGDPENLLLSTVYQKSIFGIILRDSWYSRLCRKTLDLVGDFWQWFTFGRGLLIEKNFQSWSVSRHQFAEMSLSNDNFIKQALFLFHEPLLGRNTGVEAMFKLNLTECMKEWPVREAVVFPVRDMESFANDWLITAKANNDQNGHVIVVLPLSLAQLQIPLKGPGLTFSASFLVACKEETVSETGKRECRKIKKLLLNKFLASCCNGPISNELPVTNNIIEGTSEAGAGPGQPVSLERAQKETVMDARVLPPKAPAGLFRLGLLFRVGAQFKTQTKLVQPPQKEVLFCSTVLTRLVEDLRGTLSARTKPELEGGAAGVEQQLQSYIDVLSECREQLPALSEEFPAGNGALQQQRKWIQIRKLVLAKAAELEGTTEQLLMALAESPGTGNAPTEILSHLSVQGAEAGKQLAERLLHIEGVRHQLRRLGTKFKLEEQKWHFPMPLHRFQEMVAKKEGQLIQRFTAVLKLPDRQYISELTSIHQLITSGEGQEGVSAQLHQVTDSLLASALNCCFADSGVLFEWPQSELWDGLLHRLHQPFDVYLRQVKEPPMKSVLGWLSM
+>sp|P02808|STAT_HUMAN Statherin OS=Homo sapiens OX=9606 GN=STATH PE=1 SV=2
+MKFLVFAFILALMVSMIGADSSEEKFLRRIGRFGYGYGPYQPVPEQPLYPQPYQPQYQQYTF
+>DECOY_sp|P02808|STAT_HUMAN Statherin OS=Homo sapiens OX=9606 GN=STATH PE=1 SV=2
+FTYQQYQPQYPQPYLPQEPVPQYPGYGYGFRGIRRLFKEESSDAGIMSVMLALIFAFVLFKM
+>sp|Q9UHE8|STEA1_HUMAN Metalloreductase STEAP1 OS=Homo sapiens OX=9606 GN=STEAP1 PE=2 SV=1
+MESRKDITNQEELWKMKPRRNLEEDDYLHKDTGETSMLKRPVLLHLHQTAHADEFDCPSELQHTQELFPQWHLPIKIAAIIASLTFLYTLLREVIHPLATSHQQYFYKIPILVINKVLPMVSITLLALVYLPGVIAAIVQLHNGTKYKKFPHWLDKWMLTRKQFGLLSFFFAVLHAIYSLSYPMRRSYRYKLLNWAYQQVQQNKEDAWIEHDVWRMEIYVSLGIVGLAILALLAVTSIPSVSDSLTWREFHYIQSKLGIVSLLLGTIHALIFAWNKWIDIKQFVWYTPPTFMIAVFLPIVVLIFKSILFLPCLRKKILKIRHGWEDVTKINKTEICSQL
+>DECOY_sp|Q9UHE8|STEA1_HUMAN Metalloreductase STEAP1 OS=Homo sapiens OX=9606 GN=STEAP1 PE=2 SV=1
+LQSCIETKNIKTVDEWGHRIKLIKKRLCPLFLISKFILVVIPLFVAIMFTPPTYWVFQKIDIWKNWAFILAHITGLLLSVIGLKSQIYHFERWTLSDSVSPISTVALLALIALGVIGLSVYIEMRWVDHEIWADEKNQQVQQYAWNLLKYRYSRRMPYSLSYIAHLVAFFFSLLGFQKRTLMWKDLWHPFKKYKTGNHLQVIAAIVGPLYVLALLTISVMPLVKNIVLIPIKYFYQQHSTALPHIVERLLTYLFTLSAIIAAIKIPLHWQPFLEQTHQLESPCDFEDAHATQHLHLLVPRKLMSTEGTDKHLYDDEELNRRPKMKWLEEQNTIDKRSEM
+>sp|Q6NZ63|STEAL_HUMAN STEAP family member 1B OS=Homo sapiens OX=9606 GN=STEAP1B PE=2 SV=1
+MESRKDITNQEEIWKMKPRRNLEDNDYLQTAHADEFDCPSELQHAQELFPQWHLPIKIAAVMASLTFLYTLLREVIHPLATSHQQYFYKIPILVINKVLPMVSITLLALVYLPGVIAAIVQVHNGTKYKKFPHWLDKWMLTRKQFGLLSLFFAVLHAIYTLSYAMRRSYRYKLLNWAYQQVQQNKEDAWIEHDVWRMEIYVSLGIVGLAILALLAVTSIPSVSDSLTWREFHYIQVHGRINFLTL
+>DECOY_sp|Q6NZ63|STEAL_HUMAN STEAP family member 1B OS=Homo sapiens OX=9606 GN=STEAP1B PE=2 SV=1
+LTLFNIRGHVQIYHFERWTLSDSVSPISTVALLALIALGVIGLSVYIEMRWVDHEIWADEKNQQVQQYAWNLLKYRYSRRMAYSLTYIAHLVAFFLSLLGFQKRTLMWKDLWHPFKKYKTGNHVQVIAAIVGPLYVLALLTISVMPLVKNIVLIPIKYFYQQHSTALPHIVERLLTYLFTLSAMVAAIKIPLHWQPFLEQAHQLESPCDFEDAHATQLYDNDELNRRPKMKWIEEQNTIDKRSEM
+>sp|Q8IWL8|STH_HUMAN Saitohin OS=Homo sapiens OX=9606 GN=STH PE=1 SV=1
+MSEGGGQVSCIFAAPTRLCRWPALIECGVNLTQPLCEWMIQVARDRTLSLAWEVASLLTLSSSEVGLEGVGTIWPSSYSSEESSRNGAEQGRQLSIEGPFQGQNCPSHPAAALPLPMRGESQATSCQV
+>DECOY_sp|Q8IWL8|STH_HUMAN Saitohin OS=Homo sapiens OX=9606 GN=STH PE=1 SV=1
+VQCSTAQSEGRMPLPLAAAPHSPCNQGQFPGEISLQRGQEAGNRSSEESSYSSPWITGVGELGVESSSLTLLSAVEWALSLTRDRAVQIMWECLPQTLNVGCEILAPWRCLRTPAAFICSVQGGGESM
+>sp|Q9P246|STIM2_HUMAN Stromal interaction molecule 2 OS=Homo sapiens OX=9606 GN=STIM2 PE=1 SV=2
+MLVLGLLVAGAADGCELVPRHLRGRRATGSAATAASSPAAAAGDSPALMTDPCMSLSPPCFTEEDRFSLEALQTIHKQMDDDKDGGIEVEESDEFIREDMKYKDATNKHSHLHREDKHITIEDLWKRWKTSEVHNWTLEDTLQWLIEFVELPQYEKNFRDNNVKGTTLPRIAVHEPSFMISQLKISDRSHRQKLQLKALDVVLFGPLTRPPHNWMKDFILTVSIVIGVGGCWFAYTQNKTSKEHVAKMMKDLESLQTAEQSLMDLQERLEKAQEENRNVAVEKQNLERKMMDEINYAKEEACRLRELREGAECELSRRQYAEQELEQVRMALKKAEKEFELRSSWSVPDALQKWLQLTHEVEVQYYNIKRQNAEMQLAIAKDEAEKIKKKRSTVFGTLHVAHSSSLDEVDHKILEAKKALSELTTCLRERLFRWQQIEKICGFQIAHNSGLPSLTSSLYSDHSWVVMPRVSIPPYPIAGGVDDLDEDTPPIVSQFPGTMAKPPGSLARSSSLCRSRRSIVPSSPQPQRAQLAPHAPHPSHPRHPHHPQHTPHSLPSPDPDILSVSSCPALYRNEEEEEAIYFSAEKQWEVPDTASECDSLNSSIGRKQSPPLSLEIYQTLSPRKISRDEVSLEDSSRGDSPVTVDVSWGSPDCVGLTETKSMIFSPASKVYNGILEKSCSMNQLSSGIPVPKPRHTSCSSAGNDSKPVQEAPSVARISSIPHDLCHNGEKSKKPSKIKSLFKKKSK
+>DECOY_sp|Q9P246|STIM2_HUMAN Stromal interaction molecule 2 OS=Homo sapiens OX=9606 GN=STIM2 PE=1 SV=2
+KSKKKFLSKIKSPKKSKEGNHCLDHPISSIRAVSPAEQVPKSDNGASSCSTHRPKPVPIGSSLQNMSCSKELIGNYVKSAPSFIMSKTETLGVCDPSGWSVDVTVPSDGRSSDELSVEDRSIKRPSLTQYIELSLPPSQKRGISSNLSDCESATDPVEWQKEASFYIAEEEEENRYLAPCSSVSLIDPDPSPLSHPTHQPHHPHRPHSPHPAHPALQARQPQPSSPVISRRSRCLSSSRALSGPPKAMTGPFQSVIPPTDEDLDDVGGAIPYPPISVRPMVVWSHDSYLSSTLSPLGSNHAIQFGCIKEIQQWRFLRERLCTTLESLAKKAELIKHDVEDLSSSHAVHLTGFVTSRKKKIKEAEDKAIALQMEANQRKINYYQVEVEHTLQLWKQLADPVSWSSRLEFEKEAKKLAMRVQELEQEAYQRRSLECEAGERLERLRCAEEKAYNIEDMMKRELNQKEVAVNRNEEQAKELREQLDMLSQEATQLSELDKMMKAVHEKSTKNQTYAFWCGGVGIVISVTLIFDKMWNHPPRTLPGFLVVDLAKLQLKQRHSRDSIKLQSIMFSPEHVAIRPLTTGKVNNDRFNKEYQPLEVFEILWQLTDELTWNHVESTKWRKWLDEITIHKDERHLHSHKNTADKYKMDERIFEDSEEVEIGGDKDDDMQKHITQLAELSFRDEETFCPPSLSMCPDTMLAPSDGAAAAPSSAATAASGTARRGRLHRPVLECGDAAGAVLLGLVLM
+>sp|O75716|STK16_HUMAN Serine/threonine-protein kinase 16 OS=Homo sapiens OX=9606 GN=STK16 PE=1 SV=4
+MGHALCVCSRGTVIIDNKRYLFIQKLGEGGFSYVDLVEGLHDGHFYALKRILCHEQQDREEAQREADMHRLFNHPNILRLVAYCLRERGAKHEAWLLLPFFKRGTLWNEIERLKDKGNFLTEDQILWLLLGICRGLEAIHAKGYAHRDLKPTNILLGDEGQPVLMDLGSMNQACIHVEGSRQALTLQDWAAQRCTISYRAPELFSVQSHCVIDERTDVWSLGCVLYAMMFGEGPYDMVFQKGDSVALAVQNQLSIPQSPRHSSALRQLLNSMMTVDPHQRPHIPLLLSQLEALQPPAPGQHTTQI
+>DECOY_sp|O75716|STK16_HUMAN Serine/threonine-protein kinase 16 OS=Homo sapiens OX=9606 GN=STK16 PE=1 SV=4
+IQTTHQGPAPPQLAELQSLLLPIHPRQHPDVTMMSNLLQRLASSHRPSQPISLQNQVALAVSDGKQFVMDYPGEGFMMAYLVCGLSWVDTREDIVCHSQVSFLEPARYSITCRQAAWDQLTLAQRSGEVHICAQNMSGLDMLVPQGEDGLLINTPKLDRHAYGKAHIAELGRCIGLLLWLIQDETLFNGKDKLREIENWLTGRKFFPLLLWAEHKAGRERLCYAVLRLINPHNFLRHMDAERQAEERDQQEHCLIRKLAYFHGDHLGEVLDVYSFGGEGLKQIFLYRKNDIIVTGRSCVCLAHGM
+>sp|Q9BYT3|STK33_HUMAN Serine/threonine-protein kinase 33 OS=Homo sapiens OX=9606 GN=STK33 PE=1 SV=1
+MADSGLDKKSTKCPDCSSASQKDVLCVCSSKTRVPPVLVVEMSQTSSIGSAESLISLERKKEKNINRDITSRKDLPSRTSNVERKASQQQWGRGNFTEGKVPHIRIENGAAIEEIYTFGRILGKGSFGIVIEATDKETETKWAIKKVNKEKAGSSAVKLLEREVNILKSVKHEHIIHLEQVFETPKKMYLVMELCEDGELKEILDRKGHFSENETRWIIQSLASAIAYLHNNDIVHRDLKLENIMVKSSLIDDNNEINLNIKVTDFGLAVKKQSRSEAMLQATCGTPIYMAPEVISAHDYSQQCDIWSIGVVMYMLLRGEPPFLASSEEKLFELIRKGELHFENAVWNSISDCAKSVLKQLMKVDPAHRITAKELLDNQWLTGNKLSSVRPTNVLEMMKEWKNNPESVEENTTEEKNKPSTEEKLKSYQPWGNVPDANYTSDEEEEKQSTAYEKQFPATSKDNFDMCSSSFTSSKLLPAEIKGEMEKTPVTPSQGTATKYPAKSGALSRTKKKL
+>DECOY_sp|Q9BYT3|STK33_HUMAN Serine/threonine-protein kinase 33 OS=Homo sapiens OX=9606 GN=STK33 PE=1 SV=1
+LKKKTRSLAGSKAPYKTATGQSPTVPTKEMEGKIEAPLLKSSTFSSSCMDFNDKSTAPFQKEYATSQKEEEEDSTYNADPVNGWPQYSKLKEETSPKNKEETTNEEVSEPNNKWEKMMELVNTPRVSSLKNGTLWQNDLLEKATIRHAPDVKMLQKLVSKACDSISNWVANEFHLEGKRILEFLKEESSALFPPEGRLLMYMVVGISWIDCQQSYDHASIVEPAMYIPTGCTAQLMAESRSQKKVALGFDTVKINLNIENNDDILSSKVMINELKLDRHVIDNNHLYAIASALSQIIWRTENESFHGKRDLIEKLEGDECLEMVLYMKKPTEFVQELHIIHEHKVSKLINVERELLKVASSGAKEKNVKKIAWKTETEKDTAEIVIGFSGKGLIRGFTYIEEIAAGNEIRIHPVKGETFNGRGWQQQSAKREVNSTRSPLDKRSTIDRNINKEKKRELSILSEASGISSTQSMEVVLVPPVRTKSSCVCLVDKQSASSCDPCKTSKKDLGSDAM
+>sp|P27105|STOM_HUMAN Erythrocyte band 7 integral membrane protein OS=Homo sapiens OX=9606 GN=STOM PE=1 SV=3
+MAEKRHTRDSEAQRLPDSFKDSPSKGLGPCGWILVAFSFLFTVITFPISIWMCIKIIKEYERAIIFRLGRILQGGAKGPGLFFILPCTDSFIKVDMRTISFDIPPQEILTKDSVTISVDGVVYYRVQNATLAVANITNADSATRLLAQTTLRNVLGTKNLSQILSDREEIAHNMQSTLDDATDAWGIKVERVEIKDVKLPVQLQRAMAAEAEASREARAKVIAAEGEMNASRALKEASMVITESPAALQLRYLQTLTTIAAEKNSTIVFPLPIDMLQGIIGAKHSHLG
+>DECOY_sp|P27105|STOM_HUMAN Erythrocyte band 7 integral membrane protein OS=Homo sapiens OX=9606 GN=STOM PE=1 SV=3
+GLHSHKAGIIGQLMDIPLPFVITSNKEAAITTLTQLYRLQLAAPSETIVMSAEKLARSANMEGEAAIVKARAERSAEAEAAMARQLQVPLKVDKIEVREVKIGWADTADDLTSQMNHAIEERDSLIQSLNKTGLVNRLTTQALLRTASDANTINAVALTANQVRYYVVGDVSITVSDKTLIEQPPIDFSITRMDVKIFSDTCPLIFFLGPGKAGGQLIRGLRFIIAREYEKIIKICMWISIPFTIVTFLFSFAVLIWGCPGLGKSPSDKFSDPLRQAESDRTHRKEAM
+>sp|Q9P2F5|STOX2_HUMAN Storkhead-box protein 2 OS=Homo sapiens OX=9606 GN=STOX2 PE=2 SV=2
+MKKTRSTTLRRAWPSSDFSDRASDRMRSRSEKDYRLHKRFPAAFAPQASRGYMTSGDVSPISMSPISQSQFIPLGEILCLAISAMNSARKPVTQEALMEHLTTCFPGVPTPSQEILRHTLNTLVRERKIYPTPDGYFIVTPQTYFITPSLIRTNSKWYHLDERIPDRSQCTSPQPGTITPSASGCVRERTLPRNHCDSCHCCREDVHSTHAPTLQRKSAKDCKDPYCPPSLCQVPPTEKSKSTVNFSYKTETLSKPKDSEKQSKKFGLKLFRLSFKKDKTKQLANFSAQFPPEEWPLRDEDTPATIPREVEMEIIRRINPDLTVENVMRHTALMKKLEEEKAQRSKAGSSAHHSGRSKKSRTHRKSHGKSRSHSKTRVSKGDPSDGSHLDIPAEREYDFCDPLTRVPREGCFIIEHKGDNFIMHSNTNVLESHFPMTPEWDVSGELAKRRTEMPFPEPSRGSSHSKVHRSHSHTQDRRSRNERSNKAKERSRSMDNSKGPLGASSLGTPEDLAEGCSQDDQTPSQSYIDDSTLRPAQTVSLQRAHISSTSYKEVCIPEIVSGSKEPSSACSLLEPGKPPESLPSYGELNSCPTKTATDDYFQCNTSSETVLTAPSPLGKNKEDHDTLTLAEGVKKLSPSDRQVPHSSREPVGHKEESPKGPGGGPAASGGVAEGIANGRLVQHHGAEPSSLDKRKEIFSKDTLFKPLHSTLSVNSYHKSSLSLLKSHPKTPADTLPGRCEKLEPSLGTSAAQAMPASQRQQESGGNQEASFDYYNVSDDDDSEEGANKNTEEEKNREDVGTMQWLLEREKERDLQRKFEKNLTLLAPKETDSSSNQRATHSARLDSMDSSSITVDSGFNSPRTRESLASNTSSIVESNRRQNPALSPAHGGAGPAFNFRASAEPPTNEAEKLQKPSNCLQASVTSV
+>DECOY_sp|Q9P2F5|STOX2_HUMAN Storkhead-box protein 2 OS=Homo sapiens OX=9606 GN=STOX2 PE=2 SV=2
+VSTVSAQLCNSPKQLKEAENTPPEASARFNFAPGAGGHAPSLAPNQRRNSEVISSTNSALSERTRPSNFGSDVTISSSDMSDLRASHTARQNSSSDTEKPALLTLNKEFKRQLDREKERELLWQMTGVDERNKEEETNKNAGEESDDDDSVNYYDFSAEQNGGSEQQRQSAPMAQAASTGLSPELKECRGPLTDAPTKPHSKLLSLSSKHYSNVSLTSHLPKFLTDKSFIEKRKDLSSPEAGHHQVLRGNAIGEAVGGSAAPGGGPGKPSEEKHGVPERSSHPVQRDSPSLKKVGEALTLTDHDEKNKGLPSPATLVTESSTNCQFYDDTATKTPCSNLEGYSPLSEPPKGPELLSCASSPEKSGSVIEPICVEKYSTSSIHARQLSVTQAPRLTSDDIYSQSPTQDDQSCGEALDEPTGLSSAGLPGKSNDMSRSREKAKNSRENRSRRDQTHSHSRHVKSHSSGRSPEPFPMETRRKALEGSVDWEPTMPFHSELVNTNSHMIFNDGKHEIIFCGERPVRTLPDCFDYEREAPIDLHSGDSPDGKSVRTKSHSRSKGHSKRHTRSKKSRGSHHASSGAKSRQAKEEELKKMLATHRMVNEVTLDPNIRRIIEMEVERPITAPTDEDRLPWEEPPFQASFNALQKTKDKKFSLRFLKLGFKKSQKESDKPKSLTETKYSFNVTSKSKETPPVQCLSPPCYPDKCDKASKRQLTPAHTSHVDERCCHCSDCHNRPLTRERVCGSASPTITGPQPSTCQSRDPIREDLHYWKSNTRILSPTIFYTQPTVIFYGDPTPYIKRERVLTNLTHRLIEQSPTPVGPFCTTLHEMLAEQTVPKRASNMASIALCLIEGLPIFQSQSIPSMSIPSVDGSTMYGRSAQPAFAAPFRKHLRYDKESRSRMRDSARDSFDSSPWARRLTTSRTKKM
+>sp|A6NGW2|STRCL_HUMAN Putative stereocilin-like protein OS=Homo sapiens OX=9606 GN=STRCP1 PE=5 SV=1
+MALSLWPLLLLLLLLLLLSFAVTLAPTGPHSLDPGLSFLKSLLSTLDQAPQGSLSRSRFFTFLANISSSFEPGRMGEGPVGEPPPLQPPALRLHDFLVTLRGSPDWEPMLGLLGDMLALLGQEQTPRDFLVHQAGVLGGLVEVLLGALVPGGPPTPTQPPCTRDGPSDCVLAADWLPSLLLLLEGTRWQALVQVQPSVDPTNATGLDGREAAPHFLQGLLGLLTPTGELGSKEALWGGLLRTVGAPLYAAFQEGLLRVTHSLQDEVFSILGQPEPDTNGQCQGGNLQQLLLWGVRHNLSWDVQALGFLSGSPPPPPALLHCLSTGVPLPRASQPSAHISPRQRRAITVEALCENHLGPAPPYSISNFSIHLLCQHTKPATPQPHPSTTAICQTAVWYAVSWAPGAQGWLQACHDQFPDEFLDAICSNLSFSALSGSNRRLVKRLCAGLLPPPTSCPEGLPPVPLTPDIFWGCFLENETLWAERLCGEASLQAVPPSNQAWVQHVCQGPTPDVTASPPCHIGPCGERCPDGGSFLVMVCANDTMYEVLVPFWPWLAGQCRISRGGNDTCFLEGLLGPLLPSLPPLGPSPLCLTPGPFLLGMLSQLPRCQSSVPALAHPTRLHYLLRLLTFLLGPGAGGAEAQGMLGRALLLSSLPDNCSFWDAFRPEGRRSVLRTIGEYLEQDEEQPTPSGFEPTVNPSSGISKMELLACFSPVLWDLLQREKSVWALQILVQAYLHMPPENLQQLVLSAEREAAQGFLTLMLQGKLQGKLQVPPSEEQALGRLTALLLQRYPRLTSQLFIDLSPLIPFLAVSDLMRFPPSLLANDSVLAAIRDYSPGMRPEQKEALAKRLLAPELFGEVPAWPQELLWAVLPLLPHLPLENFLQLSPHQIQALEDSWPAAGLGPGHARHVLRSLVNQSVQDGEEQVRRLGPLACFLSPEELQSLVPLSDPTGPVERGLLECAANGTLSPEGRVAYELLGVLRSSGGAVLSPRELRVWAPLFSQLGLRFLQELSEPQLRAMLPVLQGTSVTPAQAVLLLGRLLPRHDLSLEELCSLHLLLPGLSPQTLQAIPRRVLVGACSCLAPELSRLSACQTAALLQTFRVKDGVKNMGTTGAGPAVCIPGQQPIPTTWPDCLLPLLPLKLLQLDSLALLANRRRYWELPWSEQQAQFLWKKMQVPTNLTLRNLQALGTLAGGMSCEFLQQINSMVDFLEVVHMIYQLPTRVRGSLRACIWAELQRRMAMPEPEWTTVGPELNGLDSKLLLDLPIQLMDRLSNESIMLVVELVQRAPEQLLALTPLHQAALAERALQNLAPKETPVSGEVLETLGPLVGFLGTESTRQIPLQILLSHLSFCLGETFATELGWLLLQESVLGKPELWSQDEVEQAGRLVFTLSTEAISLIPREALGPETLERLLEKQQSWEQSRVGQLCRGPQLAAKKAALVAGVVRPAAEDLPEPVPNCADVRGTFPAACSATQIAEMELSDFKDCLTLFAGDPGLGPEEPRAAMGKAKWLWGPPRGFGPEQILQLGRLLIGLGDQELQELILVDWGVLSTLGQIDGWSSTQLRIVVSSFLRQSGRHVSHLDFVHLTALGYTLCGLRPEELQHISSWEFSQAALFLGTLHLQCSEEQLEFLAHLFVLPGGFGPISNWGPEIFTEIGTIAAGIPDLALSALLRGQIQGVTPLAISVIPPPKFAVVFSPIQLSSLASAQAVAVTPEQMAFLSPEQRRAVAWAQHEGKESPEQQGRSTAWGLQDWSRPSWSLVLTISFLGHLL
+>DECOY_sp|A6NGW2|STRCL_HUMAN Putative stereocilin-like protein OS=Homo sapiens OX=9606 GN=STRCP1 PE=5 SV=1
+LLHGLFSITLVLSWSPRSWDQLGWATSRGQQEPSEKGEHQAWAVARRQEPSLFAMQEPTVAVAQASALSSLQIPSFVVAFKPPPIVSIALPTVGQIQGRLLASLALDPIGAAITGIETFIEPGWNSIPGFGGPLVFLHALFELQEESCQLHLTGLFLAAQSFEWSSIHQLEEPRLGCLTYGLATLHVFDLHSVHRGSQRLFSSVVIRLQTSSWGDIQGLTSLVGWDVLILEQLEQDGLGILLRGLQLIQEPGFGRPPGWLWKAKGMAARPEEPGLGPDGAFLTLCDKFDSLEMEAIQTASCAAPFTGRVDACNPVPEPLDEAAPRVVGAVLAAKKAALQPGRCLQGVRSQEWSQQKELLRELTEPGLAERPILSIAETSLTFVLRGAQEVEDQSWLEPKGLVSEQLLLWGLETAFTEGLCFSLHSLLIQLPIQRTSETGLFGVLPGLTELVEGSVPTEKPALNQLAREALAAQHLPTLALLQEPARQVLEVVLMISENSLRDMLQIPLDLLLKSDLGNLEPGVTTWEPEPMAMRRQLEAWICARLSGRVRTPLQYIMHVVELFDVMSNIQQLFECSMGGALTGLAQLNRLTLNTPVQMKKWLFQAQQESWPLEWYRRRNALLALSDLQLLKLPLLPLLCDPWTTPIPQQGPICVAPGAGTTGMNKVGDKVRFTQLLAATQCASLRSLEPALCSCAGVLVRRPIAQLTQPSLGPLLLHLSCLEELSLDHRPLLRGLLLVAQAPTVSTGQLVPLMARLQPESLEQLFRLGLQSFLPAWVRLERPSLVAGGSSRLVGLLEYAVRGEPSLTGNAACELLGREVPGTPDSLPVLSQLEEPSLFCALPGLRRVQEEGDQVSQNVLSRLVHRAHGPGLGAAPWSDELAQIQHPSLQLFNELPLHPLLPLVAWLLEQPWAPVEGFLEPALLRKALAEKQEPRMGPSYDRIAALVSDNALLSPPFRMLDSVALFPILPSLDIFLQSTLRPYRQLLLATLRGLAQEESPPVQLKGQLKGQLMLTLFGQAAEREASLVLQQLNEPPMHLYAQVLIQLAWVSKERQLLDWLVPSFCALLEMKSIGSSPNVTPEFGSPTPQEEDQELYEGITRLVSRRGEPRFADWFSCNDPLSSLLLARGLMGQAEAGGAGPGLLFTLLRLLYHLRTPHALAPVSSQCRPLQSLMGLLFPGPTLCLPSPGLPPLSPLLPGLLGELFCTDNGGRSIRCQGALWPWFPVLVEYMTDNACVMVLFSGGDPCREGCPGIHCPPSATVDPTPGQCVHQVWAQNSPPVAQLSAEGCLREAWLTENELFCGWFIDPTLPVPPLGEPCSTPPPLLGACLRKVLRRNSGSLASFSLNSCIADLFEDPFQDHCAQLWGQAGPAWSVAYWVATQCIATTSPHPQPTAPKTHQCLLHISFNSISYPPAPGLHNECLAEVTIARRQRPSIHASPQSARPLPVGTSLCHLLAPPPPPSGSLFGLAQVDWSLNHRVGWLLLQQLNGGQCQGNTDPEPQGLISFVEDQLSHTVRLLGEQFAAYLPAGVTRLLGGWLAEKSGLEGTPTLLGLLGQLFHPAAERGDLGTANTPDVSPQVQVLAQWRTGELLLLLSPLWDAALVCDSPGDRTCPPQTPTPPGGPVLAGLLVEVLGGLVGAQHVLFDRPTQEQGLLALMDGLLGLMPEWDPSGRLTVLFDHLRLAPPQLPPPEGVPGEGMRGPEFSSSINALFTFFRSRSLSGQPAQDLTSLLSKLFSLGPDLSHPGTPALTVAFSLLLLLLLLLLLPWLSLAM
+>sp|Q7RTU9|STRC_HUMAN Stereocilin OS=Homo sapiens OX=9606 GN=STRC PE=2 SV=1
+MALSLWPLLLLLLLLLLLSFAVTLAPTGPHSLDPGLSFLKSLLSTLDQAPQGSLSRSRFFTFLANISSSFEPGRMGEGPVGEPPPLQPPALRLHDFLVTLRGSPDWEPMLGLLGDMLALLGQEQTPRDFLVHQAGVLGGLVEVLLGALVPGGPPTPTRPPCTRDGPSDCVLAADWLPSLLLLLEGTRWQALVQVQPSVDPTNATGLDGREAAPHFLQGLLGLLTPTGELGSKEALWGGLLRTVGAPLYAAFQEGLLRVTHSLQDEVFSILGQPEPDTNGQCQGGNLQQLLLWGVRHNLSWDVQALGFLSGSPPPPPALLHCLSTGVPLPRASQPSAHISPRQRRAITVEALCENHLGPAPPYSISNFSIHLLCQHTKPATPQPHPSTTAICQTAVWYAVSWAPGAQGWLQACHDQFPDEFLDAICSNLSFSALSGSNRRLVKRLCAGLLPPPTSCPEGLPPVPLTPDIFWGCFLENETLWAERLCGEASLQAVPPSNQAWVQHVCQGPTPDVTASPPCHIGPCGERCPDGGSFLVMVCANDTMYEVLVPFWPWLAGQCRISRGGNDTCFLEGLLGPLLPSLPPLGPSPLCLTPGPFLLGMLSQLPRCQSSVPALAHPTRLHYLLRLLTFLLGPGAGGAEAQGMLGRALLLSSLPDNCSFWDAFRPEGRRSVLRTIGEYLEQDEEQPTPSGFEPTVNPSSGISKMELLACFSPVLWDLLQREKSVWALQILVQAYLHMPPENLQQLVLSAEREAAQGFLTLMLQGKLQGKLQVPPSEEQALGRLTALLLQRYPRLTSQLFIDLSPLIPFLAVSDLMRFPPSLLANDSVLAAIRDYSPGMRPEQKEALAKRLLAPELFGEVPAWPQELLWAVLPLLPHLPLENFLQLSPHQIQALEDSWPAAGLGPGHARHVLRSLVNQSVQDGEEQVRRLGPLACFLSPEELQSLVPLSDPTGPVERGLLECAANGTLSPEGRVAYELLGVLRSSGGAVLSPRELRVWAPLFSQLGLRFLQELSEPQLRAMLPVLQGTSVTPAQAVLLLGRLLPRHDLSLEELCSLHLLLPGLSPQTLQAIPRRVLVGACSCLAPELSRLSACQTAALLQTFRVKDGVKNMGTTGAGPAVCIPGQPIPTTWPDCLLPLLPLKLLQLDSLALLANRRRYWELPWSEQQAQFLWKKMQVPTNLTLRNLQALGTLAGGMSCEFLQQINSMVDFLEVVHMIYQLPTRVRGSLRACIWAELQRRMAMPEPEWTTVGPELNGLDSKLLLDLPIQLMDRLSNESIMLVVELVQRAPEQLLALTPLHQAALAERALQNLAPKETPVSGEVLETLGPLVGFLGTESTRQIPLQILLSHLSQLQGFCLGETFATELGWLLLQESVLGKPELWSQDEVEQAGRLVFTLSTEAISLIPREALGPETLERLLEKQQSWEQSRVGQLCREPQLAAKKAALVAGVVRPAAEDLPEPVPNCADVRGTFPAAWSATQIAEMELSDFEDCLTLFAGDPGLGPEELRAAMGKAKQLWGPPRGFRPEQILQLGRLLIGLGDRELQELILVDWGVLSTLGQIDGWSTTQLRIVVSSFLRQSGRHVSHLDFVHLTALGYTLCGLRPEELQHISSWEFSQAALFLGTLHLQCSEEQLEVLAHLLVLPGGFGPISNWGPEIFTEIGTIAAGIPDLALSALLRGQIQGVTPLAISVIPPPKFAVVFSPIQLSSLTSAQAVAVTPEQMAFLSPEQRRAVAWAQHEGKESPEQQGRSTAWGLQDWSRPSWSLVLTISFLGHLL
+>DECOY_sp|Q7RTU9|STRC_HUMAN Stereocilin OS=Homo sapiens OX=9606 GN=STRC PE=2 SV=1
+LLHGLFSITLVLSWSPRSWDQLGWATSRGQQEPSEKGEHQAWAVARRQEPSLFAMQEPTVAVAQASTLSSLQIPSFVVAFKPPPIVSIALPTVGQIQGRLLASLALDPIGAAITGIETFIEPGWNSIPGFGGPLVLLHALVELQEESCQLHLTGLFLAAQSFEWSSIHQLEEPRLGCLTYGLATLHVFDLHSVHRGSQRLFSSVVIRLQTTSWGDIQGLTSLVGWDVLILEQLERDGLGILLRGLQLIQEPRFGRPPGWLQKAKGMAARLEEPGLGPDGAFLTLCDEFDSLEMEAIQTASWAAPFTGRVDACNPVPEPLDEAAPRVVGAVLAAKKAALQPERCLQGVRSQEWSQQKELLRELTEPGLAERPILSIAETSLTFVLRGAQEVEDQSWLEPKGLVSEQLLLWGLETAFTEGLCFGQLQSLHSLLIQLPIQRTSETGLFGVLPGLTELVEGSVPTEKPALNQLAREALAAQHLPTLALLQEPARQVLEVVLMISENSLRDMLQIPLDLLLKSDLGNLEPGVTTWEPEPMAMRRQLEAWICARLSGRVRTPLQYIMHVVELFDVMSNIQQLFECSMGGALTGLAQLNRLTLNTPVQMKKWLFQAQQESWPLEWYRRRNALLALSDLQLLKLPLLPLLCDPWTTPIPQGPICVAPGAGTTGMNKVGDKVRFTQLLAATQCASLRSLEPALCSCAGVLVRRPIAQLTQPSLGPLLLHLSCLEELSLDHRPLLRGLLLVAQAPTVSTGQLVPLMARLQPESLEQLFRLGLQSFLPAWVRLERPSLVAGGSSRLVGLLEYAVRGEPSLTGNAACELLGREVPGTPDSLPVLSQLEEPSLFCALPGLRRVQEEGDQVSQNVLSRLVHRAHGPGLGAAPWSDELAQIQHPSLQLFNELPLHPLLPLVAWLLEQPWAPVEGFLEPALLRKALAEKQEPRMGPSYDRIAALVSDNALLSPPFRMLDSVALFPILPSLDIFLQSTLRPYRQLLLATLRGLAQEESPPVQLKGQLKGQLMLTLFGQAAEREASLVLQQLNEPPMHLYAQVLIQLAWVSKERQLLDWLVPSFCALLEMKSIGSSPNVTPEFGSPTPQEEDQELYEGITRLVSRRGEPRFADWFSCNDPLSSLLLARGLMGQAEAGGAGPGLLFTLLRLLYHLRTPHALAPVSSQCRPLQSLMGLLFPGPTLCLPSPGLPPLSPLLPGLLGELFCTDNGGRSIRCQGALWPWFPVLVEYMTDNACVMVLFSGGDPCREGCPGIHCPPSATVDPTPGQCVHQVWAQNSPPVAQLSAEGCLREAWLTENELFCGWFIDPTLPVPPLGEPCSTPPPLLGACLRKVLRRNSGSLASFSLNSCIADLFEDPFQDHCAQLWGQAGPAWSVAYWVATQCIATTSPHPQPTAPKTHQCLLHISFNSISYPPAPGLHNECLAEVTIARRQRPSIHASPQSARPLPVGTSLCHLLAPPPPPSGSLFGLAQVDWSLNHRVGWLLLQQLNGGQCQGNTDPEPQGLISFVEDQLSHTVRLLGEQFAAYLPAGVTRLLGGWLAEKSGLEGTPTLLGLLGQLFHPAAERGDLGTANTPDVSPQVQVLAQWRTGELLLLLSPLWDAALVCDSPGDRTCPPRTPTPPGGPVLAGLLVEVLGGLVGAQHVLFDRPTQEQGLLALMDGLLGLMPEWDPSGRLTVLFDHLRLAPPQLPPPEGVPGEGMRGPEFSSSINALFTFFRSRSLSGQPAQDLTSLLSKLFSLGPDLSHPGTPALTVAFSLLLLLLLLLLLPWLSLAM
+>sp|Q9NRL3|STRN4_HUMAN Striatin-4 OS=Homo sapiens OX=9606 GN=STRN4 PE=1 SV=2
+MMEERAAAAVAAAASSCRPLGSGAGPGPTGAAPVSAPAPGPGPAGKGGGGGGSPGPTAGPEPLSLPGILHFIQHEWARFEAEKARWEAERAELQAQVAFLQGERKGQENLKTDLVRRIKMLEYALKQERAKYHKLKFGTDLNQGEKKADVSEQVSNGPVESVTLENSPLVWKEGRQLLRQYLEEVGYTDTILDMRSKRVRSLLGRSLELNGAVEPSEGAPRAPPGPAGLSGGESLLVKQIEEQIKRNAAGKDGKERLGGSVLGQIPFLQNCEDEDSDEDDELDSVQHKKQRVKLPSKALVPEMEDEDEEDDSEDAINEFDFLGSGEDGEGAPDPRRCTVDGSPHELESRRVKLQGILADLRDVDGLPPKVTGPPPGTPQPRPHEDVFIMDTIGGGEVSLGDLADLTVTNDNDLSCDLSDSKDAFKKTWNPKFTLRSHYDGIRSLAFHHSQSALLTASEDGTLKLWNLQKAVTAKKNAALDVEPIHAFRAHRGPVLAVAMGSNSEYCYSGGADACIHSWKIPDLSMDPYDGYDPSVLSHVLEGHGDAVWGLAFSPTSQRLASCSADGTVRIWDPSSSSPACLCTFPTASEHGVPTSVAFTSTEPAHIVASFRSGDTVLYDMEVGSALLTLESRGSSGPTQINQVVSHPNQPLTITAHDDRGIRFLDNRTGKPVHSMVAHLDAVTCLAVDPNGAFLMSGSHDCSLRLWSLDNKTCVQEITAHRKKHEEAIHAVACHPSKALIASAGADALAKVFV
+>DECOY_sp|Q9NRL3|STRN4_HUMAN Striatin-4 OS=Homo sapiens OX=9606 GN=STRN4 PE=1 SV=2
+VFVKALADAGASAILAKSPHCAVAHIAEEHKKRHATIEQVCTKNDLSWLRLSCDHSGSMLFAGNPDVALCTVADLHAVMSHVPKGTRNDLFRIGRDDHATITLPQNPHSVVQNIQTPGSSGRSELTLLASGVEMDYLVTDGSRFSAVIHAPETSTFAVSTPVGHESATPFTCLCAPSSSSPDWIRVTGDASCSALRQSTPSFALGWVADGHGELVHSLVSPDYGDYPDMSLDPIKWSHICADAGGSYCYESNSGMAVALVPGRHARFAHIPEVDLAANKKATVAKQLNWLKLTGDESATLLASQSHHFALSRIGDYHSRLTFKPNWTKKFADKSDSLDCSLDNDNTVTLDALDGLSVEGGGITDMIFVDEHPRPQPTGPPPGTVKPPLGDVDRLDALIGQLKVRRSELEHPSGDVTCRRPDPAGEGDEGSGLFDFENIADESDDEEDEDEMEPVLAKSPLKVRQKKHQVSDLEDDEDSDEDECNQLFPIQGLVSGGLREKGDKGAANRKIQEEIQKVLLSEGGSLGAPGPPARPAGESPEVAGNLELSRGLLSRVRKSRMDLITDTYGVEELYQRLLQRGEKWVLPSNELTVSEVPGNSVQESVDAKKEGQNLDTGFKLKHYKAREQKLAYELMKIRRVLDTKLNEQGKREGQLFAVQAQLEAREAEWRAKEAEFRAWEHQIFHLIGPLSLPEPGATPGPSGGGGGGKGAPGPGPAPASVPAAGTPGPGAGSGLPRCSSAAAAVAAAAREEMM
+>sp|Q9P2W9|STX18_HUMAN Syntaxin-18 OS=Homo sapiens OX=9606 GN=STX18 PE=1 SV=1
+MAVDITLLFRASVKTVKTRNKALGVAVGGGVDGSRDELFRRSPRPKGDFSSRAREVISHIGKLRDFLLEHRKDYINAYSHTMSEYGRMTDTERDQIDQDAQIFMRTCSEAIQQLRTEAHKEIHSQQVKEHRTAVLDFIEDYLKRVCKLYSEQRAIRVKRVVDKKRLSKLEPEPNTKTRESTSSEKVSQSPSKDSEENPATEERPEKILAETQPELGTWGDGKGEDELSPEEIQMFEQENQRLIGEMNSLFDEVRQIEGRVVEISRLQEIFTEKVLQQEAEIDSIHQLVVGATENIKEGNEDIREAIKNNAGFRVWILFFLVMCSFSLLFLDWYDS
+>DECOY_sp|Q9P2W9|STX18_HUMAN Syntaxin-18 OS=Homo sapiens OX=9606 GN=STX18 PE=1 SV=1
+SDYWDLFLLSFSCMVLFFLIWVRFGANNKIAERIDENGEKINETAGVVLQHISDIEAEQQLVKETFIEQLRSIEVVRGEIQRVEDFLSNMEGILRQNEQEFMQIEEPSLEDEGKGDGWTGLEPQTEALIKEPREETAPNEESDKSPSQSVKESSTSERTKTNPEPELKSLRKKDVVRKVRIARQESYLKCVRKLYDEIFDLVATRHEKVQQSHIEKHAETRLQQIAESCTRMFIQADQDIQDRETDTMRGYESMTHSYANIYDKRHELLFDRLKGIHSIVERARSSFDGKPRPSRRFLEDRSGDVGGGVAVGLAKNRTKVTKVSARFLLTIDVAM
+>sp|P32856|STX2_HUMAN Syntaxin-2 OS=Homo sapiens OX=9606 GN=STX2 PE=1 SV=3
+MRDRLPDLTACRKNDDGDTVVVVEKDHFMDDFFHQVEEIRNSIDKITQYVEEVKKNHSIILSAPNPEGKIKEELEDLNKEIKKTANKIRAKLKAIEQSFDQDESGNRTSVDLRIRRTQHSVLSRKFVEAMAEYNEAQTLFRERSKGRIQRQLEITGRTTTDDELEEMLESGKPSIFTSDIISDSQITRQALNEIESRHKDIMKLETSIRELHEMFMDMAMFVETQGEMINNIERNVMNATDYVEHAKEETKKAIKYQSKARRKKWIIIAVSVVLVAIIALIIGLSVGK
+>DECOY_sp|P32856|STX2_HUMAN Syntaxin-2 OS=Homo sapiens OX=9606 GN=STX2 PE=1 SV=3
+KGVSLGIILAIIAVLVVSVAIIIWKKRRAKSQYKIAKKTEEKAHEVYDTANMVNREINNIMEGQTEVFMAMDMFMEHLERISTELKMIDKHRSEIENLAQRTIQSDSIIDSTFISPKGSELMEELEDDTTTRGTIELQRQIRGKSRERFLTQAENYEAMAEVFKRSLVSHQTRRIRLDVSTRNGSEDQDFSQEIAKLKARIKNATKKIEKNLDELEEKIKGEPNPASLIISHNKKVEEVYQTIKDISNRIEEVQHFFDDMFHDKEVVVVTDGDDNKRCATLDPLRDRM
+>sp|O43752|STX6_HUMAN Syntaxin-6 OS=Homo sapiens OX=9606 GN=STX6 PE=1 SV=1
+MSMEDPFFVVKGEVQKAVNTAQGLFQRWTELLQDPSTATREEIDWTTNELRNNLRSIEWDLEDLDETISIVEANPRKFNLDATELSIRKAFITSTRQVVRDMKDQMSTSSVQALAERKNRQALLGDSGSQNWSTGTTDKYGRLDRELQRANSHFIEEQQAQQQLIVEQQDEQLELVSGSIGVLKNMSQRIGGELEEQAVMLEDFSHELESTQSRLDNVMKKLAKVSHMTSDRRQWCAIAILFAVLLVVLILFLVL
+>DECOY_sp|O43752|STX6_HUMAN Syntaxin-6 OS=Homo sapiens OX=9606 GN=STX6 PE=1 SV=1
+LVLFLILVVLLVAFLIAIACWQRRDSTMHSVKALKKMVNDLRSQTSELEHSFDELMVAQEELEGGIRQSMNKLVGISGSVLELQEDQQEVILQQQAQQEEIFHSNARQLERDLRGYKDTTGTSWNQSGSDGLLAQRNKREALAQVSSTSMQDKMDRVVQRTSTIFAKRISLETADLNFKRPNAEVISITEDLDELDWEISRLNNRLENTTWDIEERTATSPDQLLETWRQFLGQATNVAKQVEGKVVFFPDEMSM
+>sp|Q6J9G0|STYK1_HUMAN Tyrosine-protein kinase STYK1 OS=Homo sapiens OX=9606 GN=STYK1 PE=1 SV=4
+MGMTRMLLECSLSDKLCVIQEKQYEVIIVPTLLVTIFLILLGVILWLFIREQRTQQQRSGPQGIAPVPPPRDLSWEAGHGGNVALPLKETSVENFLGATTPALAKLQVPREQLSEVLEQICSGSCGPIFRANMNTGDPSKPKSVILKALKEPAGLHEVQDFLGRIQFHQYLGKHKNLVQLEGCCTEKLPLYMVLEDVAQGDLLSFLWTCRRDVMTMDGLLYDLTEKQVYHIGKQVLLALEFLQEKHLFHGDVAARNILMQSDLTAKLCGLGLAYEVYTRGAISSTQTIPLKWLAPERLLLRPASIRADVWSFGILLYEMVTLGAPPYPEVPPTSILEHLQRRKIMKRPSSCTHTMYSIMKSCWRWREADRPSPRELRLRLEAAIKTADDEAVLQVPELVVPELYAAVAGIRVESLFYNYSML
+>DECOY_sp|Q6J9G0|STYK1_HUMAN Tyrosine-protein kinase STYK1 OS=Homo sapiens OX=9606 GN=STYK1 PE=1 SV=4
+LMSYNYFLSEVRIGAVAAYLEPVVLEPVQLVAEDDATKIAAELRLRLERPSPRDAERWRWCSKMISYMTHTCSSPRKMIKRRQLHELISTPPVEPYPPAGLTVMEYLLIGFSWVDARISAPRLLLREPALWKLPITQTSSIAGRTYVEYALGLGCLKATLDSQMLINRAAVDGHFLHKEQLFELALLVQKGIHYVQKETLDYLLGDMTMVDRRCTWLFSLLDGQAVDELVMYLPLKETCCGELQVLNKHKGLYQHFQIRGLFDQVEHLGAPEKLAKLIVSKPKSPDGTNMNARFIPGCSGSCIQELVESLQERPVQLKALAPTTAGLFNEVSTEKLPLAVNGGHGAEWSLDRPPPVPAIGQPGSRQQQTRQERIFLWLIVGLLILFITVLLTPVIIVEYQKEQIVCLKDSLSCELLMRTMGM
+>sp|P53597|SUCA_HUMAN Succinate--CoA ligase [ADP/GDP-forming] subunit alpha, mitochondrial OS=Homo sapiens OX=9606 GN=SUCLG1 PE=1 SV=4
+MTATLAAAADIATMVSGSSGLAAARLLSRSFLLPQNGIRHCSYTASRQHLYVDKNTKIICQGFTGKQGTFHSQQALEYGTKLVGGTTPGKGGQTHLGLPVFNTVKEAKEQTGATASVIYVPPPFAAAAINEAIEAEIPLVVCITEGIPQQDMVRVKHKLLRQEKTRLIGPNCPGVINPGECKIGIMPGHIHKKGRIGIVSRSGTLTYEAVHQTTQVGLGQSLCVGIGGDPFNGTDFIDCLEIFLNDSATEGIILIGEIGGNAEENAAEFLKQHNSGPNSKPVVSFIAGLTAPPGRRMGHAGAIIAGGKGGAKEKISALQSAGVVVSMSPAQLGTTIYKEFEKRKML
+>DECOY_sp|P53597|SUCA_HUMAN Succinate--CoA ligase [ADP/GDP-forming] subunit alpha, mitochondrial OS=Homo sapiens OX=9606 GN=SUCLG1 PE=1 SV=4
+LMKRKEFEKYITTGLQAPSMSVVVGASQLASIKEKAGGKGGAIIAGAHGMRRGPPATLGAIFSVVPKSNPGSNHQKLFEAANEEANGGIEGILIIGETASDNLFIELCDIFDTGNFPDGGIGVCLSQGLGVQTTQHVAEYTLTGSRSVIGIRGKKHIHGPMIGIKCEGPNIVGPCNPGILRTKEQRLLKHKVRVMDQQPIGETICVVLPIEAEIAENIAAAAFPPPVYIVSATAGTQEKAEKVTNFVPLGLHTQGGKGPTTGGVLKTGYELAQQSHFTGQKGTFGQCIIKTNKDVYLHQRSATYSCHRIGNQPLLFSRSLLRAAALGSSGSVMTAIDAAAALTATM
+>sp|Q96I99|SUCB2_HUMAN Succinate--CoA ligase [GDP-forming] subunit beta, mitochondrial OS=Homo sapiens OX=9606 GN=SUCLG2 PE=1 SV=2
+MASPVAAQAGKLLRALALRPRFLAAGSQAVQLTSRRWLNLQEYQSKKLMSDNGVRVQRFFVADTANEALEAAKRLNAKEIVLKAQILAGGRGKGVFNSGLKGGVHLTKDPNVVGQLAKQMIGYNLATKQTPKEGVKVNKVMVAEALDISRETYLAILMDRSCNGPVLVGSPQGGVDIEEVAASNPELIFKEQIDIFEGIKDSQAQRMAENLGFVGPLKSQAADQITKLYNLFLKIDATQVEVNPFGETPEGQVVCFDAKINFDDNAEFRQKDIFAMDDKSENEPIENEAAKYDLKYIGLDGNIACFVNGAGLAMATCDIIFLNGGKPANFLDLGGGVKEAQVYQAFKLLTADPKVEAILVNIFGGIVNCAIIANGITKACRELELKVPLVVRLEGTNVQEAQKILNNSGLPITSAIDLEDAAKKAVASVAKK
+>DECOY_sp|Q96I99|SUCB2_HUMAN Succinate--CoA ligase [GDP-forming] subunit beta, mitochondrial OS=Homo sapiens OX=9606 GN=SUCLG2 PE=1 SV=2
+KKAVSAVAKKAADELDIASTIPLGSNNLIKQAEQVNTGELRVVLPVKLELERCAKTIGNAIIACNVIGGFINVLIAEVKPDATLLKFAQYVQAEKVGGGLDLFNAPKGGNLFIIDCTAMALGAGNVFCAINGDLGIYKLDYKAAENEIPENESKDDMAFIDKQRFEANDDFNIKADFCVVQGEPTEGFPNVEVQTADIKLFLNYLKTIQDAAQSKLPGVFGLNEAMRQAQSDKIGEFIDIQEKFILEPNSAAVEEIDVGGQPSGVLVPGNCSRDMLIALYTERSIDLAEAVMVKNVKVGEKPTQKTALNYGIMQKALQGVVNPDKTLHVGGKLGSNFVGKGRGGALIQAKLVIEKANLRKAAELAENATDAVFFRQVRVGNDSMLKKSQYEQLNLWRRSTLQVAQSGAALFRPRLALARLLKGAQAAVPSAM
+>sp|Q8IWZ8|SUGP1_HUMAN SURP and G-patch domain-containing protein 1 OS=Homo sapiens OX=9606 GN=SUGP1 PE=1 SV=2
+MSLKMDNRDVAGKANRWFGVAPPKSGKMNMNILHQEELIAQKKREIEAKMEQKAKQNQVASPQPPHPGEITNAHNSSCISNKFANDGSFLQQFLKLQKAQTSTDAPTSAPSAPPSTPTPSAGKRSLLISRRTGLGLASLPGPVKSYSHAKQLPVAHRPSVFQSPDEDEEEDYEQWLEIKVSPPEGAETRKVIEKLARFVAEGGPELEKVAMEDYKDNPAFAFLHDKNSREFLYYRKKVAEIRKEAQKSQAASQKVSPPEDEEVKNLAEKLARFIADGGPEVETIALQNNRENQAFSFLYEPNSQGYKYYRQKLEEFRKAKASSTGSFTAPDPGLKRKSPPEALSGSLPPATTCPASSTPAPTIIPAPAAPGKPASAATVKRKRKSRWGPEEDKVELPPAELVQRDVDASPSPLSVQDLKGLGYEKGKPVGLVGVTELSDAQKKQLKEQQEMQQMYDMIMQHKRAMQDMQLLWEKAVQQHQHGYDSDEEVDSELGTWEHQLRRMEMDKTREWAEQLTKMGRGKHFIGDFLPPDELEKFMETFKALKEGREPDYSEYKEFKLTVENIGYQMLMKMGWKEGEGLGSEGQGIKNPVNKGTTTVDGAGFGIDRPAELSKEDDEYEAFRKRMMLAYRFRPNPLNNPRRPYY
+>DECOY_sp|Q8IWZ8|SUGP1_HUMAN SURP and G-patch domain-containing protein 1 OS=Homo sapiens OX=9606 GN=SUGP1 PE=1 SV=2
+YYPRRPNNLPNPRFRYALMMRKRFAEYEDDEKSLEAPRDIGFGAGDVTTTGKNVPNKIGQGESGLGEGEKWGMKMLMQYGINEVTLKFEKYESYDPERGEKLAKFTEMFKELEDPPLFDGIFHKGRGMKTLQEAWERTKDMEMRRLQHEWTGLESDVEEDSDYGHQHQQVAKEWLLQMDQMARKHQMIMDYMQQMEQQEKLQKKQADSLETVGVLGVPKGKEYGLGKLDQVSLPSPSADVDRQVLEAPPLEVKDEEPGWRSKRKRKVTAASAPKGPAAPAPIITPAPTSSAPCTTAPPLSGSLAEPPSKRKLGPDPATFSGTSSAKAKRFEELKQRYYKYGQSNPEYLFSFAQNERNNQLAITEVEPGGDAIFRALKEALNKVEEDEPPSVKQSAAQSKQAEKRIEAVKKRYYLFERSNKDHLFAFAPNDKYDEMAVKELEPGGEAVFRALKEIVKRTEAGEPPSVKIELWQEYDEEEDEDPSQFVSPRHAVPLQKAHSYSKVPGPLSALGLGTRRSILLSRKGASPTPTSPPASPASTPADTSTQAKQLKLFQQLFSGDNAFKNSICSSNHANTIEGPHPPQPSAVQNQKAKQEMKAEIERKKQAILEEQHLINMNMKGSKPPAVGFWRNAKGAVDRNDMKLSM
+>sp|Q6EEV6|SUMO4_HUMAN Small ubiquitin-related modifier 4 OS=Homo sapiens OX=9606 GN=SUMO4 PE=1 SV=2
+MANEKPTEEVKTENNNHINLKVAGQDGSVVQFKIKRQTPLSKLMKAYCEPRGLSMKQIRFRFGGQPISGTDKPAQLEMEDEDTIDVFQQPTGGVY
+>DECOY_sp|Q6EEV6|SUMO4_HUMAN Small ubiquitin-related modifier 4 OS=Homo sapiens OX=9606 GN=SUMO4 PE=1 SV=2
+YVGGTPQQFVDITDEDEMELQAPKDTGSIPQGGFRFRIQKMSLGRPECYAKMLKSLPTQRKIKFQVVSGDQGAVKLNIHNNNETKVEETPKENAM
+>sp|O94901|SUN1_HUMAN SUN domain-containing protein 1 OS=Homo sapiens OX=9606 GN=SUN1 PE=1 SV=3
+MDFSRLHMYSPPQCVPENTGYTYALSSSYSSDALDFETEHKLDPVFDSPRMSRRSLRLATTACTLGDGEAVGADSGTSSAVSLKNRAARTTKQRRSTNKSAFSINHVSRQVTSSGVSHGGTVSLQDAVTRRPPVLDESWIREQTTVDHFWGLDDDGDLKGGNKAAIQGNGDVGAAAATAHNGFSCSNCSMLSERKDVLTAHPAAPGPVSRVYSRDRNQKCDDCKGKRHLDAHPGRAGTLWHIWACAGYFLLQILRRIGAVGQAVSRTAWSALWLAVVAPGKAASGVFWWLGIGWYQFVTLISWLNVFLLTRCLRNICKFLVLLIPLFLLLAGLSLRGQGNFFSFLPVLNWASMHRTQRVDDPQDVFKPTTSRLKQPLQGDSEAFPWHWMSGVEQQVASLSGQCHHHGENLRELTTLLQKLQARVDQMEGGAAGPSASVRDAVGQPPRETDFMAFHQEHEVRMSHLEDILGKLREKSEAIQKELEQTKQKTISAVGEQLLPTVEHLQLELDQLKSELSSWRHVKTGCETVDAVQERVDVQVREMVKLLFSEDQQGGSLEQLLQRFSSQFVSKGDLQTMLRDLQLQILRNVTHHVSVTKQLPTSEAVVSAVSEAGASGITEAQARAIVNSALKLYSQDKTGMVDFALESGGGSILSTRCSETYETKTALMSLFGIPLWYFSQSPRVVIQPDIYPGNCWAFKGSQGYLVVRLSMMIHPAAFTLEHIPKTLSPTGNISSAPKDFAVYGLENEYQEEGQLLGQFTYDQDGESLQMFQALKRPDDTAFQIVELRIFSNWGHPEYTCLYRFRVHGEPVK
+>DECOY_sp|O94901|SUN1_HUMAN SUN domain-containing protein 1 OS=Homo sapiens OX=9606 GN=SUN1 PE=1 SV=3
+KVPEGHVRFRYLCTYEPHGWNSFIRLEVIQFATDDPRKLAQFMQLSEGDQDYTFQGLLQGEEQYENELGYVAFDKPASSINGTPSLTKPIHELTFAAPHIMMSLRVVLYGQSGKFAWCNGPYIDPQIVVRPSQSFYWLPIGFLSMLATKTEYTESCRTSLISGGGSELAFDVMGTKDQSYLKLASNVIARAQAETIGSAGAESVASVVAESTPLQKTVSVHHTVNRLIQLQLDRLMTQLDGKSVFQSSFRQLLQELSGGQQDESFLLKVMERVQVDVREQVADVTECGTKVHRWSSLESKLQDLELQLHEVTPLLQEGVASITKQKTQELEKQIAESKERLKGLIDELHSMRVEHEQHFAMFDTERPPQGVADRVSASPGAAGGEMQDVRAQLKQLLTTLERLNEGHHHCQGSLSAVQQEVGSMWHWPFAESDGQLPQKLRSTTPKFVDQPDDVRQTRHMSAWNLVPLFSFFNGQGRLSLGALLLFLPILLVLFKCINRLCRTLLFVNLWSILTVFQYWGIGLWWFVGSAAKGPAVVALWLASWATRSVAQGVAGIRRLIQLLFYGACAWIHWLTGARGPHADLHRKGKCDDCKQNRDRSYVRSVPGPAAPHATLVDKRESLMSCNSCSFGNHATAAAAGVDGNGQIAAKNGGKLDGDDDLGWFHDVTTQERIWSEDLVPPRRTVADQLSVTGGHSVGSSTVQRSVHNISFASKNTSRRQKTTRAARNKLSVASSTGSDAGVAEGDGLTCATTALRLSRRSMRPSDFVPDLKHETEFDLADSSYSSSLAYTYGTNEPVCQPPSYMHLRSFDM
+>sp|Q8TAQ9|SUN3_HUMAN SUN domain-containing protein 3 OS=Homo sapiens OX=9606 GN=SUN3 PE=1 SV=4
+MSGKTKARRAAMFFRRCSEDASGSASGNALLSEDENPDANGVTRSWKIILSTMLTLTFLLVGLLNHQWLKETDVPQKSRQLYAIIAEYGSRLYKYQARLRMPKEQLELLKKESQNLENNFRQILFLIEQIDVLKALLRDMKDGMDNNHNWNTHGDPVEDPDHTEEVSNLVNYVLKKLREDQVEMADYALKSAGASIIEAGTSESYKNNKAKLYWHGIGFLNHEMPPDIILQPDVYPGKCWAFPGSQGHTLIKLATKIIPTAVTMEHISEKVSPSGNISSAPKEFSVYGITKKCEGEEIFLGQFIYNKTGTTVQTFELQHAVSEYLLCVKLNIFSNWGHPKYTCLYRFRVHGTPGKHI
+>DECOY_sp|Q8TAQ9|SUN3_HUMAN SUN domain-containing protein 3 OS=Homo sapiens OX=9606 GN=SUN3 PE=1 SV=4
+IHKGPTGHVRFRYLCTYKPHGWNSFINLKVCLLYESVAHQLEFTQVTTGTKNYIFQGLFIEEGECKKTIGYVSFEKPASSINGSPSVKESIHEMTVATPIIKTALKILTHGQSGPFAWCKGPYVDPQLIIDPPMEHNLFGIGHWYLKAKNNKYSESTGAEIISAGASKLAYDAMEVQDERLKKLVYNVLNSVEETHDPDEVPDGHTNWNHNNDMGDKMDRLLAKLVDIQEILFLIQRFNNELNQSEKKLLELQEKPMRLRAQYKYLRSGYEAIIAYLQRSKQPVDTEKLWQHNLLGVLLFTLTLMTSLIIKWSRTVGNADPNEDESLLANGSASGSADESCRRFFMAARRAKTKGSM
+>sp|Q9UH65|SWP70_HUMAN Switch-associated protein 70 OS=Homo sapiens OX=9606 GN=SWAP70 PE=1 SV=1
+MGSLKEELLKAIWHAFTALDQDHSGKVSKSQLKVLSHNLCTVLKVPHDPVALEEHFRDDDEGPVSNQGYMPYLNRFILEKVQDNFDKIEFNRMCWTLCVKKNLTKNPLLITEEDAFKIWVIFNFLSEDKYPLIIVSEEIEYLLKKLTEAMGGGWQQEQFEHYKINFDDSKNGLSAWELIELIGNGQFSKGMDRQTVSMAINEVFNELILDVLKQGYMMKKGHRRKNWTERWFVLKPNIISYYVSEDLKDKKGDILLDENCCVESLPDKDGKKCLFLVKCFDKTFEISASDKKKKQEWIQAIHSTIHLLKLGSPPPHKEARQRRKELRKKQLAEQEELERQMKELQAANESKQQELEAVRKKLEEAASRAAEEEKKRLQTQVELQARFSTELEREKLIRQQMEEQVAQKSSELEQYLQRVRELEDMYLKLQEALEDERQARQDEETVRKLQARLLEEESSKRAELEKWHLEQQQAIQTTEAEKQELENQRVLKEQALQEAMEQLEQLELERKQALEQYEEVKKKLEMATNKTKSWKDKVAHHEGLIRLIEPGSKNPHLITNWGPAAFTEAELEEREKNWKEKKTTE
+>DECOY_sp|Q9UH65|SWP70_HUMAN Switch-associated protein 70 OS=Homo sapiens OX=9606 GN=SWAP70 PE=1 SV=1
+ETTKKEKWNKEREELEAETFAAPGWNTILHPNKSGPEILRILGEHHAVKDKWSKTKNTAMELKKKVEEYQELAQKRELELQELQEMAEQLAQEKLVRQNELEQKEAETTQIAQQQELHWKELEARKSSEEELLRAQLKRVTEEDQRAQREDELAEQLKLYMDELERVRQLYQELESSKQAVQEEMQQRILKERELETSFRAQLEVQTQLRKKEEEAARSAAEELKKRVAELEQQKSENAAQLEKMQRELEEQEALQKKRLEKRRQRAEKHPPPSGLKLLHITSHIAQIWEQKKKKDSASIEFTKDFCKVLFLCKKGDKDPLSEVCCNEDLLIDGKKDKLDESVYYSIINPKLVFWRETWNKRRHGKKMMYGQKLVDLILENFVENIAMSVTQRDMGKSFQGNGILEILEWASLGNKSDDFNIKYHEFQEQQWGGGMAETLKKLLYEIEESVIILPYKDESLFNFIVWIKFADEETILLPNKTLNKKVCLTWCMRNFEIKDFNDQVKELIFRNLYPMYGQNSVPGEDDDRFHEELAVPDHPVKLVTCLNHSLVKLQSKSVKGSHDQDLATFAHWIAKLLEEKLSGM
+>sp|Q9NX95|SYBU_HUMAN Syntabulin OS=Homo sapiens OX=9606 GN=SYBU PE=1 SV=2
+MGPLRESKKEHRVQHHDKEISRSRIPRLILRPHMPQQQHKVSPASESPFSEEESREFNPSSSGRSARTVSSNSFCSDDTGCPSSQSVSPVKTPSDAGNSPIGFCPGSDEGFTRKKCTIGMVGEGSIQSSRYKKESKSGLVKPGSEADFSSSSSTGSISAPEVHMSTAGSKRSSSSRNRGPHGRSNGASSHKPGSSPSSPREKDLLSMLCRNQLSPVNIHPSYAPSSPSSSNSGSYKGSDCSPIMRRSGRYMSCGENHGVRPPNPEQYLTPLQQKEVTVRHLKTKLKESERRLHERESEIVELKSQLARMREDWIEEECHRVEAQLALKEARKEIKQLKQVIETMRSSLADKDKGIQKYFVDINIQNKKLESLLQSMEMAHSGSLRDELCLDFPCDSPEKSLTLNPPLDTMADGLSLEEQVTGEGADRELLVGDSIANSTDLFDEIVTATTTESGDLELVHSTPGANVLELLPIVMGQEEGSVVVERAVQTDVVPYSPAISELIQSVLQKLQDPCPSSLASPDESEPDSMESFPESLSALVVDLTPRNPNSAILLSPVETPYANVDAEVHANRLMRELDFAACVEERLDGVIPLARGGVVRQYWSSSFLVDLLAVAAPVVPTVLWAFSTQRGGTDPVYNIGALLRGCCVVALHSLRRTAFRIKT
+>DECOY_sp|Q9NX95|SYBU_HUMAN Syntabulin OS=Homo sapiens OX=9606 GN=SYBU PE=1 SV=2
+TKIRFATRRLSHLAVVCCGRLLAGINYVPDTGGRQTSFAWLVTPVVPAAVALLDVLFSSSWYQRVVGGRALPIVGDLREEVCAAFDLERMLRNAHVEADVNAYPTEVPSLLIASNPNRPTLDVVLASLSEPFSEMSDPESEDPSALSSPCPDQLKQLVSQILESIAPSYPVVDTQVAREVVVSGEEQGMVIPLLELVNAGPTSHVLELDGSETTTATVIEDFLDTSNAISDGVLLERDAGEGTVQEELSLGDAMTDLPPNLTLSKEPSDCPFDLCLEDRLSGSHAMEMSQLLSELKKNQINIDVFYKQIGKDKDALSSRMTEIVQKLQKIEKRAEKLALQAEVRHCEEEIWDERMRALQSKLEVIESEREHLRRESEKLKTKLHRVTVEKQQLPTLYQEPNPPRVGHNEGCSMYRGSRRMIPSCDSGKYSGSNSSSPSSPAYSPHINVPSLQNRCLMSLLDKERPSSPSSGPKHSSAGNSRGHPGRNRSSSSRKSGATSMHVEPASISGTSSSSSFDAESGPKVLGSKSEKKYRSSQISGEGVMGITCKKRTFGEDSGPCFGIPSNGADSPTKVPSVSQSSPCGTDDSCFSNSSVTRASRGSSSPNFERSEEESFPSESAPSVKHQQQPMHPRLILRPIRSRSIEKDHHQVRHEKKSERLPGM
+>sp|Q5T4T6|SYC2L_HUMAN Synaptonemal complex protein 2-like OS=Homo sapiens OX=9606 GN=SYCP2L PE=1 SV=2
+MQAKNKDALQPIKEDRTGKAQDDAFWLQSLITDAFHDKGFQKIKEYFQQKESHFPQKYNRLLLYRLDRSINKELDKNEFQSVSLLLKCIQRFLVDGLKEDEPLLIRQGLIPKLVSWFERTTGILTSEGLASDTSLICVIEDFFDTALIISRSSSEGKIQMLDSFLLSLGFLVTEKTVNHLLQQEGLKTFNCILHAVPREERKKFPLSEGMCHLMKDLARTLLTVGDYDQQVAISEALCRLTIKKSRDELVHKWFDDEVIAEAFKEIKDREFETDSRRFLNHLNNRLGDQRRVYSFPCIAAFADEHEMRKPADEKLEKFWIDFNLGSQSVTFYIDNAENTLWDSVTLPKEAVMNFSITETEKIKIFIIYLKKPMIISYKEVMKIEIHFDLQFNISQVSIQALGEDKQMLPDQTKISSELFSKSDKEDRESPSGLERETEQAEESTNMVEFMSAEDDRCLITLHLNDQSEPPVIGEPASDSHLQPVPPFGVPDFPQQPKSHYRKHLFSESNQDSSTSELSWTSNQKKKSLKSYSSRKKTRTRSNLRILPVFPPSSGSGHEKDQAKLLSPSEKEIPEQNNTTSPKTSEQKFQDSFAFLTAEDSAQKTELQDPHSLSELSSLKHSEDEEKPKIVNQESLTESTSLKHKLRNLEDKDIPEGSFAKSQQSRLEEEVAPGSPFSITEERELPEGISTSSLEVVPENLNGSAILPTFENFTKKRKRKYELRYRKRPFNSENAKKAPDCLIKLLNQMQLFRLNKLERFQNLVLQELSSLKQDIQALEHLEKEVLEFWGKQSADLQSFCDLQVLRFNSTQTS
+>DECOY_sp|Q5T4T6|SYC2L_HUMAN Synaptonemal complex protein 2-like OS=Homo sapiens OX=9606 GN=SYCP2L PE=1 SV=2
+STQTSNFRLVQLDCFSQLDASQKGWFELVEKELHELAQIDQKLSSLEQLVLNQFRELKNLRFLQMQNLLKILCDPAKKANESNFPRKRYRLEYKRKRKKTFNEFTPLIASGNLNEPVVELSSTSIGEPLEREETISFPSGPAVEEELRSQQSKAFSGEPIDKDELNRLKHKLSTSETLSEQNVIKPKEEDESHKLSSLESLSHPDQLETKQASDEATLFAFSDQFKQESTKPSTTNNQEPIEKESPSLLKAQDKEHGSGSSPPFVPLIRLNSRTRTKKRSSYSKLSKKKQNSTWSLESTSSDQNSESFLHKRYHSKPQQPFDPVGFPPVPQLHSDSAPEGIVPPESQDNLHLTILCRDDEASMFEVMNTSEEAQETERELGSPSERDEKDSKSFLESSIKTQDPLMQKDEGLAQISVQSINFQLDFHIEIKMVEKYSIIMPKKLYIIFIKIKETETISFNMVAEKPLTVSDWLTNEANDIYFTVSQSGLNFDIWFKELKEDAPKRMEHEDAFAAICPFSYVRRQDGLRNNLHNLFRRSDTEFERDKIEKFAEAIVEDDFWKHVLEDRSKKITLRCLAESIAVQQDYDGVTLLTRALDKMLHCMGESLPFKKREERPVAHLICNFTKLGEQQLLHNVTKETVLFGLSLLFSDLMQIKGESSSRSIILATDFFDEIVCILSTDSALGESTLIGTTREFWSVLKPILGQRILLPEDEKLGDVLFRQICKLLLSVSQFENKDLEKNISRDLRYLLLRNYKQPFHSEKQQFYEKIKQFGKDHFADTILSQLWFADDQAKGTRDEKIPQLADKNKAQM
+>sp|Q8N0S2|SYCE1_HUMAN Synaptonemal complex central element protein 1 OS=Homo sapiens OX=9606 GN=SYCE1 PE=1 SV=2
+MAGRSLTSKAEPTAGAVDRAEKAGGQDTSSQKIEDLMEMVQKLQKVGSLEPRVEVLINRINEVQQAKKKANKDLGEARTICEALQKELDSLHGEKVHLKEILSKKQETLRILRLHCQEKESEAHRKHTMLQECKERISALNLQIEEEKNKQRQLRLAFEEQLEDLMGQHKDLWDFHMPERLAKEICALDSSKEQLLKEEKLVKATLEDVKHQLCSLCGAEGPSTLDEGLFLRSQEAAATVQLFQEEHRKAEELLAAAAQRHQQLQQKCQQQQQKRQRLKEELEKHGMQVPAQAQSTQEEEAGPGDVASPKPLKGERPGAAHQAGPDVLIGQEDTLHPDLSPRGFQEIKELF
+>DECOY_sp|Q8N0S2|SYCE1_HUMAN Synaptonemal complex central element protein 1 OS=Homo sapiens OX=9606 GN=SYCE1 PE=1 SV=2
+FLEKIEQFGRPSLDPHLTDEQGILVDPGAQHAAGPREGKLPKPSAVDGPGAEEEQTSQAQAPVQMGHKELEEKLRQRKQQQQQCKQQLQQHRQAAAALLEEAKRHEEQFLQVTAAAEQSRLFLGEDLTSPGEAGCLSCLQHKVDELTAKVLKEEKLLQEKSSDLACIEKALREPMHFDWLDKHQGMLDELQEEFALRLQRQKNKEEEIQLNLASIREKCEQLMTHKRHAESEKEQCHLRLIRLTEQKKSLIEKLHVKEGHLSDLEKQLAECITRAEGLDKNAKKKAQQVENIRNILVEVRPELSGVKQLKQVMEMLDEIKQSSTDQGGAKEARDVAGATPEAKSTLSRGAM
+>sp|Q0VAF6|SYCN_HUMAN Syncollin OS=Homo sapiens OX=9606 GN=SYCN PE=2 SV=1
+MSPLRPLLLALALASVPCAQGACPASADLKHSDGTRTCAKLYDKSDPYYENCCGGAELSLESGADLPYLPSNWANTASSLVVAPRCELTVWSRQGKAGKTHKFSAGTYPRLEEYRRGILGDWSNAISALYCRCS
+>DECOY_sp|Q0VAF6|SYCN_HUMAN Syncollin OS=Homo sapiens OX=9606 GN=SYCN PE=2 SV=1
+SCRCYLASIANSWDGLIGRRYEELRPYTGASFKHTKGAKGQRSWVTLECRPAVVLSSATNAWNSPLYPLDAGSELSLEAGGCCNEYYPDSKDYLKACTRTGDSHKLDASAPCAGQACPVSALALALLLPRLPSM
+>sp|Q15431|SYCP1_HUMAN Synaptonemal complex protein 1 OS=Homo sapiens OX=9606 GN=SYCP1 PE=1 SV=2
+MEKQKPFALFVPPRSSSSQVSAVKPQTLGGDSTFFKSFNKCTEDDFEFPFAKTNLSKNGENIDSDPALQKVNFLPVLEQVGNSDCHYQEGLKDSDLENSEGLSRVYSKLYKEAEKIKKWKVSTEAELRQKESKLQENRKIIEAQRKAIQELQFGNEKVSLKLEEGIQENKDLIKENNATRHLCNLLKETCARSAEKTKKYEYEREETRQVYMDLNNNIEKMITAFEELRVQAENSRLEMHFKLKEDYEKIQHLEQEYKKEINDKEKQVSLLLIQITEKENKMKDLTFLLEESRDKVNQLEEKTKLQSENLKQSIEKQHHLTKELEDIKVSLQRSVSTQKALEEDLQIATKTICQLTEEKETQMEESNKARAAHSFVVTEFETTVCSLEELLRTEQQRLEKNEDQLKILTMELQKKSSELEEMTKLTNNKEVELEELKKVLGEKETLLYENKQFEKIAEELKGTEQELIGLLQAREKEVHDLEIQLTAITTSEQYYSKEVKDLKTELENEKLKNTELTSHCNKLSLENKELTQETSDMTLELKNQQEDINNNKKQEERMLKQIENLQETETQLRNELEYVREELKQKRDEVKCKLDKSEENCNNLRKQVENKNKYIEELQQENKALKKKGTAESKQLNVYEIKVNKLELELESAKQKFGEITDTYQKEIEDKKISEENLLEEVEKAKVIADEAVKLQKEIDKRCQHKIAEMVALMEKHKHQYDKIIEERDSELGLYKSKEQEQSSLRASLEIELSNLKAELLSVKKQLEIEREEKEKLKREAKENTATLKEKKDKKTQTFLLETPEIYWKLDSKAVPSQTVSRNFTSVDHGISKDKRDYLWTSAKNTLSTPLPKAYTVKTPTKPKLQQRENLNIPIEESKKKRKMAFEFDINSDSSETTDLLSMVSEEETLKTLYRNNNPPASHLCVKTPKKAPSSLTTPGSTLKFGAIRKMREDRWAVIAKMDRKKKLKEAEKLFV
+>DECOY_sp|Q15431|SYCP1_HUMAN Synaptonemal complex protein 1 OS=Homo sapiens OX=9606 GN=SYCP1 PE=1 SV=2
+VFLKEAEKLKKKRDMKAIVAWRDERMKRIAGFKLTSGPTTLSSPAKKPTKVCLHSAPPNNNRYLTKLTEEESVMSLLDTTESSDSNIDFEFAMKRKKKSEEIPINLNERQQLKPKTPTKVTYAKPLPTSLTNKASTWLYDRKDKSIGHDVSTFNRSVTQSPVAKSDLKWYIEPTELLFTQTKKDKKEKLTATNEKAERKLKEKEEREIELQKKVSLLEAKLNSLEIELSARLSSQEQEKSKYLGLESDREEIIKDYQHKHKEMLAVMEAIKHQCRKDIEKQLKVAEDAIVKAKEVEELLNEESIKKDEIEKQYTDTIEGFKQKASELELELKNVKIEYVNLQKSEATGKKKLAKNEQQLEEIYKNKNEVQKRLNNCNEESKDLKCKVEDRKQKLEERVYELENRLQTETEQLNEIQKLMREEQKKNNNIDEQQNKLELTMDSTEQTLEKNELSLKNCHSTLETNKLKENELETKLDKVEKSYYQESTTIATLQIELDHVEKERAQLLGILEQETGKLEEAIKEFQKNEYLLTEKEGLVKKLEELEVEKNNTLKTMEELESSKKQLEMTLIKLQDENKELRQQETRLLEELSCVTTEFETVVFSHAARAKNSEEMQTEKEETLQCITKTAIQLDEELAKQTSVSRQLSVKIDELEKTLHHQKEISQKLNESQLKTKEELQNVKDRSEELLFTLDKMKNEKETIQILLLSVQKEKDNIEKKYEQELHQIKEYDEKLKFHMELRSNEAQVRLEEFATIMKEINNNLDMYVQRTEEREYEYKKTKEASRACTEKLLNCLHRTANNEKILDKNEQIGEELKLSVKENGFQLEQIAKRQAEIIKRNEQLKSEKQRLEAETSVKWKKIKEAEKYLKSYVRSLGESNELDSDKLGEQYHCDSNGVQELVPLFNVKQLAPDSDINEGNKSLNTKAFPFEFDDETCKNFSKFFTSDGGLTQPKVASVQSSSSRPPVFLAFPKQKEM
+>sp|Q9BX26|SYCP2_HUMAN Synaptonemal complex protein 2 OS=Homo sapiens OX=9606 GN=SYCP2 PE=2 SV=2
+MPIRPDLQQLEKCIDDALRKNDFKPLKTLLQIDICEDVKIKCSKQFFHKVDNLICRELNKEDIHNVSAILVSVGRCGKNISVLGQAGLLTMIKQGLIQKMVAWFEKSKDIIQSQGNSKDEAVLNMIEDLVDLLLVIHDVSDEGKKQVVESFVPRICSLVIDSRVNICIQQEIIKKMNAMLDKMPQDARKILSNQEMLILMSSMGERILDAGDYDLQVGIVEALCRMTTEKQRQELAHQWFSMDFIAKAFKRIKDSEFETDCRIFLNLVNGMLGDKRRVFTFPCLSAFLDKYELQIPSDEKLEEFWIDFNLGSQTLSFYIAGDNDDHQWEAVTVPEEKVQIYSIEVRESKKLLTIILKNTVKISKREGKELLLYFDASLEITNVTQKIFGATKHRESIRKQGISVAKTSLHILFDASGSQILVPESQISPVGEELVSLKEKSKSPKEFAKPSKYIKNSDKGNRNNSQLEKTTPSKRKMSEASMIVSGADRYTMRSPVLFSNTSIPPRRRRIKPPLQMTSSAEKPSVSQTSENRVDNAASLKSRSSEGRHRRDNIDKHIKTAKCVENTENKNVEFPNQNFSELQDVIPDSQAAEKRDHTILPGVLDNICGNKIHSKWACWTPVTNIELCNNQRASTSSGDTLNQDIVINKKLTKQKSSSSISDHNSEGTGKVKYKKEQTDHIKIDKAEVEVCKKHNQQQNHPKYSGQKNTENAKQSDWPVESETTFKSVLLNKTIEESLIYRKKYILSKDVNTATCDKNPSASKNVQSHRKAEKELTSELNSWDSKQKKMREKSKGKEFTNVAESLISQINKRYKTKDDIKSTRKLKESLINSGFSNKPVVQLSKEKVQKKSYRKLKTTFVNVTSECPVNDVYNFNLNGADDPIIKLGIQEFQATAKEACADRSIRLVGPRNHDELKSSVKTKDKKIITNHQKKNLFSDTETEYRCDDSKTDISWLREPKSKPQLIDYSRNKNVKNHKSGKSRSSLEKGQPSSKMTPSKNITKKMDKTIPEGRIRLPRKATKTKKNYKDLSNSESECEQEFSHSFKENIPVKEENIHSRMKTVKLPKKQQKVFCAETEKELSKQWKNSSLLKDAIRDNCLDLSPRSLSGSPSSIEVTRCIEKITEKDFTQDYDCITKSISPYPKTSSLESLNSNSGVGGTIKSPKNNEKNFLCASESCSPIPRPLFLPRHTPTKSNTIVNRKKISSLVLTQETQNSNSYSDVSSYSSEERFMEIESPHINENYIQSKREESHLASSLSKSSEGREKTWFDMPCDATHVSGPTQHLSRKRIYIEDNLSNSNEVEMEEKGERRANLLPKKLCKIEDADHHIHKMSESVSSLSTNDFSIPWETWQNEFAGIEMTYETYERLNSEFKRRNNIRHKMLSYFTTQSWKTAQQHLRTMNHQSQDSRIKKLDKFQFIIIEELENFEKDSQSLKDLEKEFVDFWEKIFQKFSAYQKSEQQRLHLLKTSLAKSVFCNTDSEETVFTSEMCLMKEDMKVLQDRLLKDMLEEELLNVRRELMSVFMSHERNANV
+>DECOY_sp|Q9BX26|SYCP2_HUMAN Synaptonemal complex protein 2 OS=Homo sapiens OX=9606 GN=SYCP2 PE=2 SV=2
+VNANREHSMFVSMLERRVNLLEEELMDKLLRDQLVKMDEKMLCMESTFVTEESDTNCFVSKALSTKLLHLRQQESKQYASFKQFIKEWFDVFEKELDKLSQSDKEFNELEEIIIFQFKDLKKIRSDQSQHNMTRLHQQATKWSQTTFYSLMKHRINNRRKFESNLREYTEYTMEIGAFENQWTEWPISFDNTSLSSVSESMKHIHHDADEIKCLKKPLLNARREGKEEMEVENSNSLNDEIYIRKRSLHQTPGSVHTADCPMDFWTKERGESSKSLSSALHSEERKSQIYNENIHPSEIEMFREESSYSSVDSYSNSNQTEQTLVLSSIKKRNVITNSKTPTHRPLFLPRPIPSCSESACLFNKENNKPSKITGGVGSNSNLSELSSTKPYPSISKTICDYDQTFDKETIKEICRTVEISSPSGSLSRPSLDLCNDRIADKLLSSNKWQKSLEKETEACFVKQQKKPLKVTKMRSHINEEKVPINEKFSHSFEQECESESNSLDKYNKKTKTAKRPLRIRGEPITKDMKKTINKSPTMKSSPQGKELSSRSKGSKHNKVNKNRSYDILQPKSKPERLWSIDTKSDDCRYETETDSFLNKKQHNTIIKKDKTKVSSKLEDHNRPGVLRISRDACAEKATAQFEQIGLKIIPDDAGNLNFNYVDNVPCESTVNVFTTKLKRYSKKQVKEKSLQVVPKNSFGSNILSEKLKRTSKIDDKTKYRKNIQSILSEAVNTFEKGKSKERMKKQKSDWSNLESTLEKEAKRHSQVNKSASPNKDCTATNVDKSLIYKKRYILSEEITKNLLVSKFTTESEVPWDSQKANETNKQGSYKPHNQQQNHKKCVEVEAKDIKIHDTQEKKYKVKGTGESNHDSISSSSKQKTLKKNIVIDQNLTDGSSTSARQNNCLEINTVPTWCAWKSHIKNGCINDLVGPLITHDRKEAAQSDPIVDQLESFNQNPFEVNKNETNEVCKATKIHKDINDRRHRGESSRSKLSAANDVRNESTQSVSPKEASSTMQLPPKIRRRRPPISTNSFLVPSRMTYRDAGSVIMSAESMKRKSPTTKELQSNNRNGKDSNKIYKSPKAFEKPSKSKEKLSVLEEGVPSIQSEPVLIQSGSADFLIHLSTKAVSIGQKRISERHKTAGFIKQTVNTIELSADFYLLLEKGERKSIKVTNKLIITLLKKSERVEISYIQVKEEPVTVAEWQHDDNDGAIYFSLTQSGLNFDIWFEELKEDSPIQLEYKDLFASLCPFTFVRRKDGLMGNVLNLFIRCDTEFESDKIRKFAKAIFDMSFWQHALEQRQKETTMRCLAEVIGVQLDYDGADLIREGMSSMLILMEQNSLIKRADQPMKDLMANMKKIIEQQICINVRSDIVLSCIRPVFSEVVQKKGEDSVDHIVLLLDVLDEIMNLVAEDKSNGQSQIIDKSKEFWAVMKQILGQKIMTLLGAQGLVSINKGCRGVSVLIASVNHIDEKNLERCILNDVKHFFQKSCKIKVDECIDIQLLTKLPKFDNKRLADDICKELQQLDPRIPM
+>sp|P14868|SYDC_HUMAN Aspartate--tRNA ligase, cytoplasmic OS=Homo sapiens OX=9606 GN=DARS PE=1 SV=2
+MPSASASRKSQEKPREIMDAAEDYAKERYGISSMIQSQEKPDRVLVRVRDLTIQKADEVVWVRARVHTSRAKGKQCFLVLRQQQFNVQALVAVGDHASKQMVKFAANINKESIVDVEGVVRKVNQKIGSCTQQDVELHVQKIYVISLAEPRLPLQLDDAVRPEAEGEEEGRATVNQDTRLDNRVIDLRTSTSQAVFRLQSGICHLFRETLINKGFVEIQTPKIISAASEGGANVFTVSYFKNNAYLAQSPQLYKQMCICADFEKVFSIGPVFRAEDSNTHRHLTEFVGLDIEMAFNYHYHEVMEEIADTMVQIFKGLQERFQTEIQTVNKQFPCEPFKFLEPTLRLEYCEALAMLREAGVEMGDEDDLSTPNEKLLGHLVKEKYDTDFYILDKYPLAVRPFYTMPDPRNPKQSNSYDMFMRGEEILSGAQRIHDPQLLTERALHHGIDLEKIKAYIDSFRFGAPPHAGGGIGLERVTMLFLGLHNVRQTSMFPRDPKRLTP
+>DECOY_sp|P14868|SYDC_HUMAN Aspartate--tRNA ligase, cytoplasmic OS=Homo sapiens OX=9606 GN=DARS PE=1 SV=2
+PTLRKPDRPFMSTQRVNHLGLFLMTVRELGIGGGAHPPAGFRFSDIYAKIKELDIGHHLARETLLQPDHIRQAGSLIEEGRMFMDYSNSQKPNRPDPMTYFPRVALPYKDLIYFDTDYKEKVLHGLLKENPTSLDDEDGMEVGAERLMALAECYELRLTPELFKFPECPFQKNVTQIETQFREQLGKFIQVMTDAIEEMVEHYHYNFAMEIDLGVFETLHRHTNSDEARFVPGISFVKEFDACICMQKYLQPSQALYANNKFYSVTFVNAGGESAASIIKPTQIEVFGKNILTERFLHCIGSQLRFVAQSTSTRLDIVRNDLRTDQNVTARGEEEGEAEPRVADDLQLPLRPEALSIVYIKQVHLEVDQQTCSGIKQNVKRVVGEVDVISEKNINAAFKVMQKSAHDGVAVLAQVNFQQQRLVLFCQKGKARSTHVRARVWVVEDAKQITLDRVRVLVRDPKEQSQIMSSIGYREKAYDEAADMIERPKEQSKRSASASPM
+>sp|P12081|SYHC_HUMAN Histidine--tRNA ligase, cytoplasmic OS=Homo sapiens OX=9606 GN=HARS PE=1 SV=2
+MAERAALEELVKLQGERVRGLKQQKASAELIEEEVAKLLKLKAQLGPDESKQKFVLKTPKGTRDYSPRQMAVREKVFDVIIRCFKRHGAEVIDTPVFELKETLMGKYGEDSKLIYDLKDQGGELLSLRYDLTVPFARYLAMNKLTNIKRYHIAKVYRRDNPAMTRGRYREFYQCDFDIAGNFDPMIPDAECLKIMCEILSSLQIGDFLVKVNDRRILDGMFAICGVSDSKFRTICSSVDKLDKVSWEEVKNEMVGEKGLAPEVADRIGDYVQQHGGVSLVEQLLQDPKLSQNKQALEGLGDLKLLFEYLTLFGIDDKISFDLSLARGLDYYTGVIYEAVLLQTPAQAGEEPLGVGSVAAGGRYDGLVGMFDPKGRKVPCVGLSIGVERIFSIVEQRLEALEEKIRTTETQVLVASAQKKLLEERLKLVSELWDAGIKAELLYKKNPKLLNQLQYCEEAGIPLVAIIGEQELKDGVIKLRSVTSREEVDVRREDLVEEIKRRTGQPLCIC
+>DECOY_sp|P12081|SYHC_HUMAN Histidine--tRNA ligase, cytoplasmic OS=Homo sapiens OX=9606 GN=HARS PE=1 SV=2
+CICLPQGTRRKIEEVLDERRVDVEERSTVSRLKIVGDKLEQEGIIAVLPIGAEECYQLQNLLKPNKKYLLEAKIGADWLESVLKLREELLKKQASAVLVQTETTRIKEELAELRQEVISFIREVGISLGVCPVKRGKPDFMGVLGDYRGGAAVSGVGLPEEGAQAPTQLLVAEYIVGTYYDLGRALSLDFSIKDDIGFLTLYEFLLKLDGLGELAQKNQSLKPDQLLQEVLSVGGHQQVYDGIRDAVEPALGKEGVMENKVEEWSVKDLKDVSSCITRFKSDSVGCIAFMGDLIRRDNVKVLFDGIQLSSLIECMIKLCEADPIMPDFNGAIDFDCQYFERYRGRTMAPNDRRYVKAIHYRKINTLKNMALYRAFPVTLDYRLSLLEGGQDKLDYILKSDEGYKGMLTEKLEFVPTDIVEAGHRKFCRIIVDFVKERVAMQRPSYDRTGKPTKLVFKQKSEDPGLQAKLKLLKAVEEEILEASAKQQKLGRVREGQLKVLEELAAREAM
+>sp|Q15046|SYK_HUMAN Lysine--tRNA ligase OS=Homo sapiens OX=9606 GN=KARS PE=1 SV=3
+MAAVQAAEVKVDGSEPKLSKNELKRRLKAEKKVAEKEAKQKELSEKQLSQATAAATNHTTDNGVGPEEESVDPNQYYKIRSQAIHQLKVNGEDPYPHKFHVDISLTDFIQKYSHLQPGDHLTDITLKVAGRIHAKRASGGKLIFYDLRGEGVKLQVMANSRNYKSEEEFIHINNKLRRGDIIGVQGNPGKTKKGELSIIPYEITLLSPCLHMLPHLHFGLKDKETRYRQRYLDLILNDFVRQKFIIRSKIITYIRSFLDELGFLEIETPMMNIIPGGAVAKPFITYHNELDMNLYMRIAPELYHKMLVVGGIDRVYEIGRQFRNEGIDLTHNPEFTTCEFYMAYADYHDLMEITEKMVSGMVKHITGSYKVTYHPDGPEGQAYDVDFTPPFRRINMVEELEKALGMKLPETNLFETEETRKILDDICVAKAVECPPPRTTARLLDKLVGEFLEVTCINPTFICDHPQIMSPLAKWHRSKEGLTERFELFVMKKEICNAYTELNDPMRQRQLFEEQAKAKAAGDDEAMFIDENFCTALEYGLPPTAGWGMGIDRVAMFLTDSNNIKEVLLFPAMKPEDKKENVATTDTLESTTVGTSV
+>DECOY_sp|Q15046|SYK_HUMAN Lysine--tRNA ligase OS=Homo sapiens OX=9606 GN=KARS PE=1 SV=3
+VSTGVTTSELTDTTAVNEKKDEPKMAPFLLVEKINNSDTLFMAVRDIGMGWGATPPLGYELATCFNEDIFMAEDDGAAKAKAQEEFLQRQRMPDNLETYANCIEKKMVFLEFRETLGEKSRHWKALPSMIQPHDCIFTPNICTVELFEGVLKDLLRATTRPPPCEVAKAVCIDDLIKRTEETEFLNTEPLKMGLAKELEEVMNIRRFPPTFDVDYAQGEPGDPHYTVKYSGTIHKVMGSVMKETIEMLDHYDAYAMYFECTTFEPNHTLDIGENRFQRGIEYVRDIGGVVLMKHYLEPAIRMYLNMDLENHYTIFPKAVAGGPIINMMPTEIELFGLEDLFSRIYTIIKSRIIFKQRVFDNLILDLYRQRYRTEKDKLGFHLHPLMHLCPSLLTIEYPIISLEGKKTKGPNGQVGIIDGRRLKNNIHIFEEESKYNRSNAMVQLKVGEGRLDYFILKGGSARKAHIRGAVKLTIDTLHDGPQLHSYKQIFDTLSIDVHFKHPYPDEGNVKLQHIAQSRIKYYQNPDVSEEEPGVGNDTTHNTAAATAQSLQKESLEKQKAEKEAVKKEAKLRRKLENKSLKPESGDVKVEAAQVAAM
+>sp|Q15031|SYLM_HUMAN Probable leucine--tRNA ligase, mitochondrial OS=Homo sapiens OX=9606 GN=LARS2 PE=1 SV=2
+MASVWQRLGFYASLLKRQLNGGPDVIKWERRVIPGCTRSIYSATGKWTKEYTLQTRKDVEKWWHQRIKEQASKISEADKSKPKFYVLSMFPYPSGKLHMGHVRVYTISDTIARFQKMRGMQVINPMGWDAFGLPAENAAVERNLHPQSWTQSNIKHMRKQLDRLGLCFSWDREITTCLPDYYKWTQYLFIKLYEAGLAYQKEALVNWDPVDQTVLANEQVDEHGCSWRSGAKVEQKYLRQWFIKTTAYAKAMQDALADLPEWYGIKGMQAHWIGDCVGCHLDFTLKVHGQATGEKLTAYTATPEAIYGTSHVAISPSHRLLHGHSSLKEALRMALVPGKDCLTPVMAVNMLTQQEVPVVILAKADLEGSLDSKIGIPSTSSEDTILAQTLGLAYSEVIETLPDGTERLSSSAEFTGMTRQDAFLALTQKARGKRVGGDVTSDKLKDWLISRQRYWGTPIPIVHCPVCGPTPVPLEDLPVTLPNIASFTGKGGPPLAMASEWVNCSCPRCKGAAKRETDTMDTFVDSAWYYFRYTDPHNPHSPFNTAVADYWMPVDLYIGGKEHAVMHLFYARFFSHFCHDQKMVKHREPFHKLLAQGLIKGQTFRLPSGQYLQREEVDLTGSVPVHAKTKEKLEVTWEKMSKSKHNGVDPEEVVEQYGIDTIRLYILFAAPPEKDILWDVKTDALPGVLRWQQRLWTLTTRFIEARASGKSPQPQLLSNKEKAEARKLWEYKNSVISQVTTHFTEDFSLNSAISQLMGLSNALSQASQSVILHSPEFEDALCALMVMAAPLAPHVTSEIWAGLALVPRKLCAHYTWDASVLLQAWPAVDPEFLQQPEVVQMAVLINNKACGKIPVPQQVARDQDKVHEFVLQSELGVRLLQGRSIKKSFLSPRTALINFLVQD
+>DECOY_sp|Q15031|SYLM_HUMAN Probable leucine--tRNA ligase, mitochondrial OS=Homo sapiens OX=9606 GN=LARS2 PE=1 SV=2
+DQVLFNILATRPSLFSKKISRGQLLRVGLESQLVFEHVKDQDRAVQQPVPIKGCAKNNILVAMQVVEPQQLFEPDVAPWAQLLVSADWTYHACLKRPVLALGAWIESTVHPALPAAMVMLACLADEFEPSHLIVSQSAQSLANSLGMLQSIASNLSFDETFHTTVQSIVSNKYEWLKRAEAKEKNSLLQPQPSKGSARAEIFRTTLTWLRQQWRLVGPLADTKVDWLIDKEPPAAFLIYLRITDIGYQEVVEEPDVGNHKSKSMKEWTVELKEKTKAHVPVSGTLDVEERQLYQGSPLRFTQGKILGQALLKHFPERHKVMKQDHCFHSFFRAYFLHMVAHEKGGIYLDVPMWYDAVATNFPSHPNHPDTYRFYYWASDVFTDMTDTERKAAGKCRPCSCNVWESAMALPPGGKGTFSAINPLTVPLDELPVPTPGCVPCHVIPIPTGWYRQRSILWDKLKDSTVDGGVRKGRAKQTLALFADQRTMGTFEASSSLRETGDPLTEIVESYALGLTQALITDESSTSPIGIKSDLSGELDAKALIVVPVEQQTLMNVAMVPTLCDKGPVLAMRLAEKLSSHGHLLRHSPSIAVHSTGYIAEPTATYATLKEGTAQGHVKLTFDLHCGVCDGIWHAQMGKIGYWEPLDALADQMAKAYATTKIFWQRLYKQEVKAGSRWSCGHEDVQENALVTQDVPDWNVLAEKQYALGAEYLKIFLYQTWKYYDPLCTTIERDWSFCLGLRDLQKRMHKINSQTWSQPHLNREVAANEAPLGFADWGMPNIVQMGRMKQFRAITDSITYVRVHGMHLKGSPYPFMSLVYFKPKSKDAESIKSAQEKIRQHWWKEVDKRTQLTYEKTWKGTASYISRTCGPIVRREWKIVDPGGNLQRKLLSAYFGLRQWVSAM
+>sp|Q15527|SURF2_HUMAN Surfeit locus protein 2 OS=Homo sapiens OX=9606 GN=SURF2 PE=1 SV=3
+MSELPGDVRAFLREHPSLRLQTDARKVRCILTGHELPCRLPELQVYTRGKKYQRLVRASPAFDYAEFEPHIVPSTKNPHQLFCKLTLRHINKCPEHVLRHTQGRRYQRALCKYEECQKQGVEYVPACLVHRRRRREDQMDGDGPRPREAFWEPTSSDEGGAASDDSMTDLYPPELFTRKDLGSTEDGDGTDDFLTDKEDEKAKPPREKATDESRRETTVYRGLVQKRGKKQLGSLKKKFKSHHRKPKSFSSCKQPG
+>DECOY_sp|Q15527|SURF2_HUMAN Surfeit locus protein 2 OS=Homo sapiens OX=9606 GN=SURF2 PE=1 SV=3
+GPQKCSSFSKPKRHHSKFKKKLSGLQKKGRKQVLGRYVTTERRSEDTAKERPPKAKEDEKDTLFDDTGDGDETSGLDKRTFLEPPYLDTMSDDSAAGGEDSSTPEWFAERPRPGDGDMQDERRRRRHVLCAPVYEVGQKQCEEYKCLARQYRRGQTHRLVHEPCKNIHRLTLKCFLQHPNKTSPVIHPEFEAYDFAPSARVLRQYKKGRTYVQLEPLRCPLEHGTLICRVKRADTQLRLSPHERLFARVDGPLESM
+>sp|O43463|SUV91_HUMAN Histone-lysine N-methyltransferase SUV39H1 OS=Homo sapiens OX=9606 GN=SUV39H1 PE=1 SV=1
+MAENLKGCSVCCKSSWNQLQDLCRLAKLSCPALGISKRNLYDFEVEYLCDYKKIREQEYYLVKWRGYPDSESTWEPRQNLKCVRILKQFHKDLERELLRRHHRSKTPRHLDPSLANYLVQKAKQRRALRRWEQELNAKRSHLGRITVENEVDLDGPPRAFVYINEYRVGEGITLNQVAVGCECQDCLWAPTGGCCPGASLHKFAYNDQGQVRLRAGLPIYECNSRCRCGYDCPNRVVQKGIRYDLCIFRTDDGRGWGVRTLEKIRKNSFVMEYVGEIITSEEAERRGQIYDRQGATYLFDLDYVEDVYTVDAAYYGNISHFVNHSCDPNLQVYNVFIDNLDERLPRIAFFATRTIRAGEELTFDYNMQVDPVDMESTRMDSNFGLAGLPGSPKKRVRIECKCGTESCRKYLF
+>DECOY_sp|O43463|SUV91_HUMAN Histone-lysine N-methyltransferase SUV39H1 OS=Homo sapiens OX=9606 GN=SUV39H1 PE=1 SV=1
+FLYKRCSETGCKCEIRVRKKPSGPLGALGFNSDMRTSEMDVPDVQMNYDFTLEEGARITRTAFFAIRPLREDLNDIFVNYVQLNPDCSHNVFHSINGYYAADVTYVDEVYDLDFLYTAGQRDYIQGRREAEESTIIEGVYEMVFSNKRIKELTRVGWGRGDDTRFICLDYRIGKQVVRNPCDYGCRCRSNCEYIPLGARLRVQGQDNYAFKHLSAGPCCGGTPAWLCDQCECGVAVQNLTIGEGVRYENIYVFARPPGDLDVENEVTIRGLHSRKANLEQEWRRLARRQKAKQVLYNALSPDLHRPTKSRHHRRLLERELDKHFQKLIRVCKLNQRPEWTSESDPYGRWKVLYYEQERIKKYDCLYEVEFDYLNRKSIGLAPCSLKALRCLDQLQNWSSKCCVSCGKLNEAM
+>sp|Q5JPH6|SYEM_HUMAN Probable glutamate--tRNA ligase, mitochondrial OS=Homo sapiens OX=9606 GN=EARS2 PE=1 SV=2
+MAALLRRLLQRERPSAASGRPVGRREANLGTDAGVAVRVRFAPSPTGFLHLGGLRTALYNYIFAKKYQGSFILRLEDTDQTRVVPGAAENIEDMLEWAGIPPDESPRRGGPAGPYQQSQRLELYAQATEALLKTGAAYPCFCSPQRLELLKKEALRNHQTPRYDNRCRNMSQEQVAQKLAKDPKPAIRFRLEQVVPAFQDLVYGWNRHEVASVEGDPVIMKSDGFPTYHLACVVDDHHMGISHVLRGSEWLVSTAKHLLLYQALGWQPPHFAHLPLLLNRDGSKLSKRQGDVFLEHFAADGFLPDSLLDIITNCGSGFAENQMGRTLPELITQFNLTQVTCHSALLDLEKLPEFNRLHLQRLVSNESQRRQLVGKLQVLVEEAFGCQLQNRDVLNPVYVERILLLRQGHICRLQDLVSPVYSYLWTRPAVGRAQLDAISEKVDVIAKRVLGLLERSSMSLTQDMLNGELKKLSEGLEGTKYSNVMKLLRMALSGQQQGPPVAEMMLALGPKEVRERIQKVVSS
+>DECOY_sp|Q5JPH6|SYEM_HUMAN Probable glutamate--tRNA ligase, mitochondrial OS=Homo sapiens OX=9606 GN=EARS2 PE=1 SV=2
+SSVVKQIRERVEKPGLALMMEAVPPGQQQGSLAMRLLKMVNSYKTGELGESLKKLEGNLMDQTLSMSSRELLGLVRKAIVDVKESIADLQARGVAPRTWLYSYVPSVLDQLRCIHGQRLLLIREVYVPNLVDRNQLQCGFAEEVLVQLKGVLQRRQSENSVLRQLHLRNFEPLKELDLLASHCTVQTLNFQTILEPLTRGMQNEAFGSGCNTIIDLLSDPLFGDAAFHELFVDGQRKSLKSGDRNLLLPLHAFHPPQWGLAQYLLLHKATSVLWESGRLVHSIGMHHDDVVCALHYTPFGDSKMIVPDGEVSAVEHRNWGYVLDQFAPVVQELRFRIAPKPDKALKQAVQEQSMNRCRNDYRPTQHNRLAEKKLLELRQPSCFCPYAAGTKLLAETAQAYLELRQSQQYPGAPGGRRPSEDPPIGAWELMDEINEAAGPVVRTQDTDELRLIFSGQYKKAFIYNYLATRLGGLHLFGTPSPAFRVRVAVGADTGLNAERRGVPRGSAASPRERQLLRRLLAAM
+>sp|P56192|SYMC_HUMAN Methionine--tRNA ligase, cytoplasmic OS=Homo sapiens OX=9606 GN=MARS PE=1 SV=2
+MRLFVSDGVPGCLPVLAAAGRARGRAEVLISTVGPEDCVVPFLTRPKVPVLQLDSGNYLFSTSAICRYFFLLSGWEQDDLTNQWLEWEATELQPALSAALYYLVVQGKKGEDVLGSVRRALTHIDHSLSRQNCPFLAGETESLADIVLWGALYPLLQDPAYLPEELSALHSWFQTLSTQEPCQRAAETVLKQQGVLALRPYLQKQPQPSPAEGRAVTNEPEEEELATLSEEEIAMAVTAWEKGLESLPPLRPQQNPVLPVAGERNVLITSALPYVNNVPHLGNIIGCVLSADVFARYSRLRQWNTLYLCGTDEYGTATETKALEEGLTPQEICDKYHIIHADIYRWFNISFDIFGRTTTPQQTKITQDIFQQLLKRGFVLQDTVEQLRCEHCARFLADRFVEGVCPFCGYEEARGDQCDKCGKLINAVELKKPQCKVCRSCPVVQSSQHLFLDLPKLEKRLEEWLGRTLPGSDWTPNAQFITRSWLRDGLKPRCITRDLKWGTPVPLEGFEDKVFYVWFDATIGYLSITANYTDQWERWWKNPEQVDLYQFMAKDNVPFHSLVFPCSALGAEDNYTLVSHLIATEYLNYEDGKFSKSRGVGVFGDMAQDTGIPADIWRFYLLYIRPEGQDSAFSWTDLLLKNNSELLNNLGNFINRAGMFVSKFFGGYVPEMVLTPDDQRLLAHVTLELQHYHQLLEKVRIRDALRSILTISRHGNQYIQVNEPWKRIKGSEADRQRAGTVTGLAVNIAALLSVMLQPYMPTVSATIQAQLQLPPPACSILLTNFLCTLPAGHQIGTVSPLFQKLENDQIESLRQRFGGGQAKTSPKPAVVETVTTAKPQQIQALMDEVTKQGNIVRELKAQKADKNEVAAEVAKLLDLKKQLAVAEGKPPEAPKGKKKK
+>DECOY_sp|P56192|SYMC_HUMAN Methionine--tRNA ligase, cytoplasmic OS=Homo sapiens OX=9606 GN=MARS PE=1 SV=2
+KKKKGKPAEPPKGEAVALQKKLDLLKAVEAAVENKDAKQAKLERVINGQKTVEDMLAQIQQPKATTVTEVVAPKPSTKAQGGGFRQRLSEIQDNELKQFLPSVTGIQHGAPLTCLFNTLLISCAPPPLQLQAQITASVTPMYPQLMVSLLAAINVALGTVTGARQRDAESGKIRKWPENVQIYQNGHRSITLISRLADRIRVKELLQHYHQLELTVHALLRQDDPTLVMEPVYGGFFKSVFMGARNIFNGLNNLLESNNKLLLDTWSFASDQGEPRIYLLYFRWIDAPIGTDQAMDGFVGVGRSKSFKGDEYNLYETAILHSVLTYNDEAGLASCPFVLSHFPVNDKAMFQYLDVQEPNKWWREWQDTYNATISLYGITADFWVYFVKDEFGELPVPTGWKLDRTICRPKLGDRLWSRTIFQANPTWDSGPLTRGLWEELRKELKPLDLFLHQSSQVVPCSRCVKCQPKKLEVANILKGCKDCQDGRAEEYGCFPCVGEVFRDALFRACHECRLQEVTDQLVFGRKLLQQFIDQTIKTQQPTTTRGFIDFSINFWRYIDAHIIHYKDCIEQPTLGEELAKTETATGYEDTGCLYLTNWQRLRSYRAFVDASLVCGIINGLHPVNNVYPLASTILVNREGAVPLVPNQQPRLPPLSELGKEWATVAMAIEEESLTALEEEEPENTVARGEAPSPQPQKQLYPRLALVGQQKLVTEAARQCPEQTSLTQFWSHLASLEEPLYAPDQLLPYLAGWLVIDALSETEGALFPCNQRSLSHDIHTLARRVSGLVDEGKKGQVVLYYLAASLAPQLETAEWELWQNTLDDQEWGSLLFFYRCIASTSFLYNGSDLQLVPVKPRTLFPVVCDEPGVTSILVEARGRARGAAALVPLCGPVGDSVFLRM
+>sp|O43776|SYNC_HUMAN Asparagine--tRNA ligase, cytoplasmic OS=Homo sapiens OX=9606 GN=NARS PE=1 SV=1
+MVLAELYVSDREGSDATGDGTKEKPFKTGLKALMTVGKEPFPTIYVDSQKENERWNVISKSQLKNIKKMWHREQMKSESREKKEAEDSLRREKNLEEAKKITIKNDPSLPEPKCVKIGALEGYRGQRVKVFGWVHRLRRQGKNLMFLVLRDGTGYLQCVLADELCQCYNGVLLSTESSVAVYGMLNLTPKGKQAPGGHELSCDFWELIGLAPAGGADNLINEESDVDVQLNNRHMMIRGENMSKILKARSMVTRCFRDHFFDRGYYEVTPPTLVQTQVEGGATLFKLDYFGEEAFLTQSSQLYLETCLPALGDVFCIAQSYRAEQSRTRRHLAEYTHVEAECPFLTFDDLLNRLEDLVCDVVDRILKSPAGSIVHELNPNFQPPKRPFKRMNYSDAIVWLKEHDVKKEDGTFYEFGEDIPEAPERLMTDTINEPILLCRFPVEIKSFYMQRCPEDSRLTESVDVLMPNVGEIVGGSMRIFDSEEILAGYKREGIDPTPYYWYTDQRKYGTCPHGGYGLGLERFLTWILNRYHIRDVCLYPRFVQRCTP
+>DECOY_sp|O43776|SYNC_HUMAN Asparagine--tRNA ligase, cytoplasmic OS=Homo sapiens OX=9606 GN=NARS PE=1 SV=1
+PTCRQVFRPYLCVDRIHYRNLIWTLFRELGLGYGGHPCTGYKRQDTYWYYPTPDIGERKYGALIEESDFIRMSGGVIEGVNPMLVDVSETLRSDEPCRQMYFSKIEVPFRCLLIPENITDTMLREPAEPIDEGFEYFTGDEKKVDHEKLWVIADSYNMRKFPRKPPQFNPNLEHVISGAPSKLIRDVVDCVLDELRNLLDDFTLFPCEAEVHTYEALHRRTRSQEARYSQAICFVDGLAPLCTELYLQSSQTLFAEEGFYDLKFLTAGGEVQTQVLTPPTVEYYGRDFFHDRFCRTVMSRAKLIKSMNEGRIMMHRNNLQVDVDSEENILNDAGGAPALGILEWFDCSLEHGGPAQKGKPTLNLMGYVAVSSETSLLVGNYCQCLEDALVCQLYGTGDRLVLFMLNKGQRRLRHVWGFVKVRQGRYGELAGIKVCKPEPLSPDNKITIKKAEELNKERRLSDEAEKKERSESKMQERHWMKKINKLQSKSIVNWRENEKQSDVYITPFPEKGVTMLAKLGTKFPKEKTGDGTADSGERDSVYLEALVM
+>sp|Q6ZMZ3|SYNE3_HUMAN Nesprin-3 OS=Homo sapiens OX=9606 GN=SYNE3 PE=1 SV=2
+MTQQPQDDFDRSVEDAQAWMKAVQDQLQVNDNTQGPRAALEARLWETEKICQLEPEGRVRVDLVLRMAEALLACCPGDQKPGILARLKDIKAQWEETVTYMTHCHSRIEWVWLHWSEYLLARDEFYRWFQKMMVTLEPHIELQLGLKEKQWQLSHAQVLLHNVDNQAVLLDRLLEEAASLFNRIGDPSVDEDAQKRMKAEYDAVKAKAQKRVDLLEQVAREHEEYQAGVDEFQLWLKAVVEKVNGCLGRNCKLPITQRLSTLQDIAKDFPRGEESLETLEEQSAGVIRNTSPLGAEKITGELEEMRKVLEKLRALWEEEEERLRGLLRSRGAWEQQIKQLEAELSEFRMVLQRLAQEGLQPAAKAGTEDELVAHWRRYSATRAALASEEPRVDRLQAQLKELIVFPHNLKPLSDSVIATIQEYQSLKVKSARLRNAAAVELWQHFQRPLQDLQLWKALAQRLLEVTASLPDLPSLHTFLPQIEAALMESSRLKELLTMLQLKKDLLIGIFGQERATALLEQVAGSMRDRDLLHNSLLQRKSKLQSLLAQHKDFGAAFEPLQRKLLDLQVRVQAEKGLQRDLPGKQAQLSRLQGLQEEGLDLGAQMEAARPLVQENPNHQHKMDQLSSDFQALQRSLEDLVDRCRQSVQEHCTFSHQLLELRQWIVVTTQKLEAHRGEAGPGDAESQEAEFERLVAEFPEKEAQLSLVEAQGWLVMEKSSPEGAAVVQEELRELAESWRALRLLEESLLSLIRNWHLQRMEVDSGKKMVFTNNIPKSGFLINPMDPIPRHRRRANLLQEEEGSHEDFSQLLRNFGQWLQVENSKLVRIIAMRTSTAEDLRTRKSKLQELEARVPEGQHLFENLLRLGPARGTSDELEDLRYQWMLYKSKLKDSGHLLTQSSPGEPTGFQKTRRWRGLGSLFRRACCVALPLQLLLLLFLLLLFLLPIREEDRSCTLANNFARSFTLMLRYNGPPPT
+>DECOY_sp|Q6ZMZ3|SYNE3_HUMAN Nesprin-3 OS=Homo sapiens OX=9606 GN=SYNE3 PE=1 SV=2
+TPPPGNYRLMLTFSRAFNNALTCSRDEERIPLLFLLLLFLLLLLQLPLAVCCARRFLSGLGRWRRTKQFGTPEGPSSQTLLHGSDKLKSKYLMWQYRLDELEDSTGRAPGLRLLNEFLHQGEPVRAELEQLKSKRTRLDEATSTRMAIIRVLKSNEVQLWQGFNRLLQSFDEHSGEEEQLLNARRRHRPIPDMPNILFGSKPINNTFVMKKGSDVEMRQLHWNRILSLLSEELLRLARWSEALERLEEQVVAAGEPSSKEMVLWGQAEVLSLQAEKEPFEAVLREFEAEQSEADGPGAEGRHAELKQTTVVIWQRLELLQHSFTCHEQVSQRCRDVLDELSRQLAQFDSSLQDMKHQHNPNEQVLPRAAEMQAGLDLGEEQLGQLRSLQAQKGPLDRQLGKEAQVRVQLDLLKRQLPEFAAGFDKHQALLSQLKSKRQLLSNHLLDRDRMSGAVQELLATAREQGFIGILLDKKLQLMTLLEKLRSSEMLAAEIQPLFTHLSPLDPLSATVELLRQALAKWLQLDQLPRQFHQWLEVAAANRLRASKVKLSQYEQITAIVSDSLPKLNHPFVILEKLQAQLRDVRPEESALAARTASYRRWHAVLEDETGAKAAPQLGEQALRQLVMRFESLEAELQKIQQEWAGRSRLLGRLREEEEEWLARLKELVKRMEELEGTIKEAGLPSTNRIVGASQEELTELSEEGRPFDKAIDQLTSLRQTIPLKCNRGLCGNVKEVVAKLWLQFEDVGAQYEEHERAVQELLDVRKQAKAKVADYEAKMRKQADEDVSPDGIRNFLSAAEELLRDLLVAQNDVNHLLVQAHSLQWQKEKLGLQLEIHPELTVMMKQFWRYFEDRALLYESWHLWVWEIRSHCHTMYTVTEEWQAKIDKLRALIGPKQDGPCCALLAEAMRLVLDVRVRGEPELQCIKETEWLRAELAARPGQTNDNVQLQDQVAKMWAQADEVSRDFDDQPQQTM
+>sp|Q9H7V2|SYNG1_HUMAN Synapse differentiation-inducing gene protein 1 OS=Homo sapiens OX=9606 GN=SYNDIG1 PE=1 SV=1
+MDGIIEQKSMLVHSKISDAGKRNGLINTRNLMAESRDGLVSVYPAPQYQSHRVGASTVPASLDSSRSEPMQQLLDPNTLQQSVESRYRPNIILYSEGVLRSWGDGVAADCCETTFIEDRSPTKDSLEYPDGKFIDLSADDIKIHTLSYDVEEEEEFQELESDYSSDTESEDNFLMMPPRDHLGLSVFSMLCCFWPLGIAAFYLSHETNKAVAKGDLHQASTSSRRALFLAVLSITIGTGVYVGVAVALIAYLSKNNHL
+>DECOY_sp|Q9H7V2|SYNG1_HUMAN Synapse differentiation-inducing gene protein 1 OS=Homo sapiens OX=9606 GN=SYNDIG1 PE=1 SV=1
+LHNNKSLYAILAVAVGVYVGTGITISLVALFLARRSSTSAQHLDGKAVAKNTEHSLYFAAIGLPWFCCLMSFVSLGLHDRPPMMLFNDESETDSSYDSELEQFEEEEEVDYSLTHIKIDDASLDIFKGDPYELSDKTPSRDEIFTTECCDAAVGDGWSRLVGESYLIINPRYRSEVSQQLTNPDLLQQMPESRSSDLSAPVTSAGVRHSQYQPAPYVSVLGDRSEAMLNRTNILGNRKGADSIKSHVLMSKQEIIGDM
+>sp|O43426|SYNJ1_HUMAN Synaptojanin-1 OS=Homo sapiens OX=9606 GN=SYNJ1 PE=1 SV=2
+MAFSKGFRIYHKLDPPPFSLIVETRHKEECLMFESGAVAVLSSAEKEAIKGTYSKVLDAYGLLGVLRLNLGDTMLHYLVLVTGCMSVGKIQESEVFRVTSTEFISLRIDSSDEDRISEVRKVLNSGNFYFAWSASGISLDLSLNAHRSMQEQTTDNRFFWNQSLHLHLKHYGVNCDDWLLRLMCGGVEIRTIYAAHKQAKACLISRLSCERAGTRFNVRGTNDDGHVANFVETEQVVYLDDSVSSFIQIRGSVPLFWEQPGLQVGSHRVRMSRGFEANAPAFDRHFRTLKNLYGKQIIVNLLGSKEGEHMLSKAFQSHLKASEHAADIQMVNFDYHQMVKGGKAEKLHSVLKPQVQKFLDYGFFYFNGSEVQRCQSGTVRTNCLDCLDRTNSVQAFLGLEMLAKQLEALGLAEKPQLVTRFQEVFRSMWSVNGDSISKIYAGTGALEGKAKLKDGARSVTRTIQNNFFDSSKQEAIDVLLLGNTLNSDLADKARALLTTGSLRVSEQTLQSASSKVLKSMCENFYKYSKPKKIRVCVGTWNVNGGKQFRSIAFKNQTLTDWLLDAPKLAGIQEFQDKRSKPTDIFAIGFEEMVELNAGNIVSASTTNQKLWAVELQKTISRDNKYVLLASEQLVGVCLFVFIRPQHAPFIRDVAVDTVKTGMGGATGNKGAVAIRMLFHTTSLCFVCSHFAAGQSQVKERNEDFIEIARKLSFPMGRMLFSHDYVFWCGDFNYRIDLPNEEVKELIRQQNWDSLIAGDQLINQKNAGQVFRGFLEGKVTFAPTYKYDLFSDDYDTSEKCRTPAWTDRVLWRRRKWPFDRSAEDLDLLNASFQDESKILYTWTPGTLLHYGRAELKTSDHRPVVALIDIDIFEVEAEERQNIYKEVIAVQGPPDGTVLVSIKSSLPENNFFDDALIDELLQQFASFGEVILIRFVEDKMWVTFLEGSSALNVLSLNGKELLNRTITIALKSPDWIKNLEEEMSLEKISIALPSSTSSTLLGEDAEVAADFDMEGDVDDYSAEVEELLPQHLQPSSSSGLGTSPSSSPRTSPCQSPTISEGPVPSLPIRPSRAPSRTPGPPSAQSSPIDAQPATPLPQKDPAQPLEPKRPPPPRPVAPPTRPAPPQRPPPPSGARSPAPTRKEFGGIGAPPSPGVARREMEAPKSPGTTRKDNIGRSQPSPQAGLAGPGPAGYSTARPTIPPRAGVISAPQSHARASAGRLTPESQSKTSETSKGSTFLPEPLKPQAAFPPQSSLPPPAQRLQEPLVPVAAPMPQSGPQPNLETPPQPPPRSRSSHSLPSEASSQPQVKTNGISDGKRESPLKIDPFEDLSFNLLAVSKAQLSVQTSPVPTPDPKRLIQLPSATQSNVLSSVSCMPTMPPIPARSQSQENMRSSPNPFITGLTRTNPFSDRTAAPGNPFRAKSEESEATSWFSKEEPVTISPFPSLQPLGHNKSRASSSLDGFKDSFDLQGQSTLKISNPKGWVTFEEEEDFGVKGKSKSACSDLLGNQPSSFSGSNLTLNDDWNKGTNVSFCVLPSRRPPPPPVPLLPPGTSPPVDPFTTLASKASPTLDFTER
+>DECOY_sp|O43426|SYNJ1_HUMAN Synaptojanin-1 OS=Homo sapiens OX=9606 GN=SYNJ1 PE=1 SV=2
+RETFDLTPSAKSALTTFPDVPPSTGPPLLPVPPPPPRRSPLVCFSVNTGKNWDDNLTLNSGSFSSPQNGLLDSCASKSKGKVGFDEEEEFTVWGKPNSIKLTSQGQLDFSDKFGDLSSSARSKNHGLPQLSPFPSITVPEEKSFWSTAESEESKARFPNGPAATRDSFPNTRTLGTIFPNPSSRMNEQSQSRAPIPPMTPMCSVSSLVNSQTASPLQILRKPDPTPVPSTQVSLQAKSVALLNFSLDEFPDIKLPSERKGDSIGNTKVQPQSSAESPLSHSSRSRPPPQPPTELNPQPGSQPMPAAVPVLPEQLRQAPPPLSSQPPFAAQPKLPEPLFTSGKSTESTKSQSEPTLRGASARAHSQPASIVGARPPITPRATSYGAPGPGALGAQPSPQSRGINDKRTTGPSKPAEMERRAVGPSPPAGIGGFEKRTPAPSRAGSPPPPRQPPAPRTPPAVPRPPPPRKPELPQAPDKQPLPTAPQADIPSSQASPPGPTRSPARSPRIPLSPVPGESITPSQCPSTRPSSSPSTGLGSSSSPQLHQPLLEEVEASYDDVDGEMDFDAAVEADEGLLTSSTSSPLAISIKELSMEEELNKIWDPSKLAITITRNLLEKGNLSLVNLASSGELFTVWMKDEVFRILIVEGFSAFQQLLEDILADDFFNNEPLSSKISVLVTGDPPGQVAIVEKYINQREEAEVEFIDIDILAVVPRHDSTKLEARGYHLLTGPTWTYLIKSEDQFSANLLDLDEASRDFPWKRRRWLVRDTWAPTRCKESTDYDDSFLDYKYTPAFTVKGELFGRFVQGANKQNILQDGAILSDWNQQRILEKVEENPLDIRYNFDGCWFVYDHSFLMRGMPFSLKRAIEIFDENREKVQSQGAAFHSCVFCLSTTHFLMRIAVAGKNGTAGGMGTKVTDVAVDRIFPAHQPRIFVFLCVGVLQESALLVYKNDRSITKQLEVAWLKQNTTSASVINGANLEVMEEFGIAFIDTPKSRKDQFEQIGALKPADLLWDTLTQNKFAISRFQKGGNVNWTGVCVRIKKPKSYKYFNECMSKLVKSSASQLTQESVRLSGTTLLARAKDALDSNLTNGLLLVDIAEQKSSDFFNNQITRTVSRAGDKLKAKGELAGTGAYIKSISDGNVSWMSRFVEQFRTVLQPKEALGLAELQKALMELGLFAQVSNTRDLCDLCNTRVTGSQCRQVESGNFYFFGYDLFKQVQPKLVSHLKEAKGGKVMQHYDFNVMQIDAAHESAKLHSQFAKSLMHEGEKSGLLNVIIQKGYLNKLTRFHRDFAPANAEFGRSMRVRHSGVQLGPQEWFLPVSGRIQIFSSVSDDLYVVQETEVFNAVHGDDNTGRVNFRTGARECSLRSILCAKAQKHAAYITRIEVGGCMLRLLWDDCNVGYHKLHLHLSQNWFFRNDTTQEQMSRHANLSLDLSIGSASWAFYFNGSNLVKRVESIRDEDSSDIRLSIFETSTVRFVESEQIKGVSMCGTVLVLYHLMTDGLNLRLVGLLGYADLVKSYTGKIAEKEASSLVAVAGSEFMLCEEKHRTEVILSFPPPDLKHYIRFGKSFAM
+>sp|Q96I59|SYNM_HUMAN Probable asparagine--tRNA ligase, mitochondrial OS=Homo sapiens OX=9606 GN=NARS2 PE=1 SV=3
+MLGVRCLLRSVRFCSSAPFPKHKPSAKLSVRDALGAQNASGERIKIQGWIRSVRSQKEVLFLHVNDGSSLESLQVVADSGLDSRELNFGSSVEVQGQLIKSPSKRQNVELKAEKIKVIGNCDAKDFPIKYKERHPLEYLRQYPHFRCRTNVLGSILRIRSEATAAIHSFFKDSGFVHIHTPIITSNDSEGAGELFQLEPSGKLKVPEENFFNVPAFLTVSGQLHLEVMSGAFTQVFTFGPTFRAENSQSRRHLAEFYMIEAEISFVDSLQDLMQVIEELFKATTMMVLSKCPEDVELCHKFIAPGQKDRLEHMLKNNFLIISYTEAVEILKQASQNFTFTPEWGADLRTEHEKYLVKHCGNIPVFVINYPLTLKPFYMRDNEDGPQHTVAAVDLLVPGVGELFGGGLREERYHFLEERLARSGLTEVYQWYLDLRRFGSVPHGGFGMGFERYLQCILGVDNIKDVIPFPRFPHSCLL
+>DECOY_sp|Q96I59|SYNM_HUMAN Probable asparagine--tRNA ligase, mitochondrial OS=Homo sapiens OX=9606 GN=NARS2 PE=1 SV=3
+LLCSHPFRPFPIVDKINDVGLICQLYREFGMGFGGHPVSGFRRLDLYWQYVETLGSRALREELFHYREERLGGGFLEGVGPVLLDVAAVTHQPGDENDRMYFPKLTLPYNIVFVPINGCHKVLYKEHETRLDAGWEPTFTFNQSAQKLIEVAETYSIILFNNKLMHELRDKQGPAIFKHCLEVDEPCKSLVMMTTAKFLEEIVQMLDQLSDVFSIEAEIMYFEALHRRSQSNEARFTPGFTFVQTFAGSMVELHLQGSVTLFAPVNFFNEEPVKLKGSPELQFLEGAGESDNSTIIPTHIHVFGSDKFFSHIAATAESRIRLISGLVNTRCRFHPYQRLYELPHREKYKIPFDKADCNGIVKIKEAKLEVNQRKSPSKILQGQVEVSSGFNLERSDLGSDAVVQLSELSSGDNVHLFLVEKQSRVSRIWGQIKIREGSANQAGLADRVSLKASPKHKPFPASSCFRVSRLLCRVGLM
+>sp|P08247|SYPH_HUMAN Synaptophysin OS=Homo sapiens OX=9606 GN=SYP PE=1 SV=3
+MLLLADMDVVNQLVAGGQFRVVKEPLGFVKVLQWVFAIFAFATCGSYSGELQLSVDCANKTESDLSIEVEFEYPFRLHQVYFDAPTCRGGTTKVFLVGDYSSSAEFFVTVAVFAFLYSMGALATYIFLQNKYRENNKGPMLDFLATAVFAFMWLVSSSAWAKGLSDVKMATDPENIIKEMPVCRQTGNTCKELRDPVTSGLNTSVVFGFLNLVLWVGNLWFVFKETGWAAPFLRAPPGAPEKQPAPGDAYGDAGYGQGPGGYGPQDSYGPQGGYQPDYGQPAGSGGSGYGPQGDYGQQGYGPQGAPTSFSNQM
+>DECOY_sp|P08247|SYPH_HUMAN Synaptophysin OS=Homo sapiens OX=9606 GN=SYP PE=1 SV=3
+MQNSFSTPAGQPGYGQQGYDGQPGYGSGGSGAPQGYDPQYGGQPGYSDQPGYGGPGQGYGADGYADGPAPQKEPAGPPARLFPAAWGTEKFVFWLNGVWLVLNLFGFVVSTNLGSTVPDRLEKCTNGTQRCVPMEKIINEPDTAMKVDSLGKAWASSSVLWMFAFVATALFDLMPGKNNERYKNQLFIYTALAGMSYLFAFVAVTVFFEASSSYDGVLFVKTTGGRCTPADFYVQHLRFPYEFEVEISLDSETKNACDVSLQLEGSYSGCTAFAFIAFVWQLVKVFGLPEKVVRFQGGAVLQNVVDMDALLLM
+>sp|Q5VXT5|SYPL2_HUMAN Synaptophysin-like protein 2 OS=Homo sapiens OX=9606 GN=SYPL2 PE=2 SV=1
+MSSTESAGRTADKSPRQQVDRLLVGLRWRRLEEPLGFIKVLQWLFAIFAFGSCGSYSGETGAMVRCNNEAKDVSSIIVAFGYPFRLHRIQYEMPLCDEESSSKTMHLMGDFSAPAEFFVTLGIFSFFYTMAALVIYLRFHNLYTENKRFPLVDFCVTVSFTFFWLVAAAAWGKGLTDVKGATRPSSLTAAMSVCHGEEAVCSAGATPSMGLANISVLFGFINFFLWAGNCWFVFKETPWHGQGQGQDQDQDQDQGQGPSQESAAEQGAVEKQ
+>DECOY_sp|Q5VXT5|SYPL2_HUMAN Synaptophysin-like protein 2 OS=Homo sapiens OX=9606 GN=SYPL2 PE=2 SV=1
+QKEVAGQEAASEQSPGQGQDQDQDQDQGQGQGHWPTEKFVFWCNGAWLFFNIFGFLVSINALGMSPTAGASCVAEEGHCVSMAATLSSPRTAGKVDTLGKGWAAAAVLWFFTFSVTVCFDVLPFRKNETYLNHFRLYIVLAAMTYFFSFIGLTVFFEAPASFDGMLHMTKSSSEEDCLPMEYQIRHLRFPYGFAVIISSVDKAENNCRVMAGTEGSYSGCSGFAFIAFLWQLVKIFGLPEELRRWRLGVLLRDVQQRPSKDATRGASETSSM
+>sp|Q6XYQ8|SYT10_HUMAN Synaptotagmin-10 OS=Homo sapiens OX=9606 GN=SYT10 PE=2 SV=1
+MSFHKEDGVNSLCQKALHIVTELCFAGQVEWEKCSGIFPRDRGSQGGSSTDISVSLLAVVVSFCGLALLVVSLFVFWKLCWPCWKSKPVTSNITTLPQSISSAPTEVFETEEKKEIKENEKPAVKAIEPAIKISHTSPDIPAEVQTALKEHLIKHARVQRQITEPTSSTRHSSFRRHLPRQMQVSSVDFSMGTEPVLQRGETTTSIGRIKPELYKQKSVDSEGNQNEDVKICGKLNFTLQYDYENELLVVKIIKALDLPAKDFTGTSDPYVKMYLLPDRKKKFQTRVHRKTLNPLFDETFQFPVAYDQLSNRKLHFSVYDFDRFSRHDMIGEVILDNLFEVSDLSREATVWKDIHCATTESIDLGEIMFSLCYLPTAGRMTLTVIKCRNLKAMDITGSSDPYVKVSLMCEGRRLKKRKTTTKKNTLNPVYNEAIIFDIPPENVDQVSLSIAVMDYDRVGHNEVIGVCRTGLDAEGLGRDHWNEMLAYHRKPITHWHPLLELPGRATSFDSQGSCPSPKPPSTP
+>DECOY_sp|Q6XYQ8|SYT10_HUMAN Synaptotagmin-10 OS=Homo sapiens OX=9606 GN=SYT10 PE=2 SV=1
+PTSPPKPSPCSGQSDFSTARGPLELLPHWHTIPKRHYALMENWHDRGLGEADLGTRCVGIVENHGVRDYDMVAISLSVQDVNEPPIDFIIAENYVPNLTNKKTTTKRKKLRRGECMLSVKVYPDSSGTIDMAKLNRCKIVTLTMRGATPLYCLSFMIEGLDISETTACHIDKWVTAERSLDSVEFLNDLIVEGIMDHRSFRDFDYVSFHLKRNSLQDYAVPFQFTEDFLPNLTKRHVRTQFKKKRDPLLYMKVYPDSTGTFDKAPLDLAKIIKVVLLENEYDYQLTFNLKGCIKVDENQNGESDVSKQKYLEPKIRGISTTTEGRQLVPETGMSFDVSSVQMQRPLHRRFSSHRTSSTPETIQRQVRAHKILHEKLATQVEAPIDPSTHSIKIAPEIAKVAPKENEKIEKKEETEFVETPASSISQPLTTINSTVPKSKWCPWCLKWFVFLSVVLLALGCFSVVVALLSVSIDTSSGGQSGRDRPFIGSCKEWEVQGAFCLETVIHLAKQCLSNVGDEKHFSM
+>sp|Q9BSW7|SYT17_HUMAN Synaptotagmin-17 OS=Homo sapiens OX=9606 GN=SYT17 PE=1 SV=1
+MAYIQLEPLNEGFLSRISGLLLCRWTCRHCCQKCYESSCCQSSEDEVEILGPFPAQTPPWLMASRSSDKDGDSVHTASEVPLTPRTNSPDGRRSSSDTSKSTYSLTRRISSLESRRPSSPLIDIKPIEFGVLSAKKEPIQPSVLRRTYNPDDYFRKFEPHLYSLDSNSDDVDSLTDEEILSKYQLGMLHFSTQYDLLHNHLTVRVIEARDLPPPISHDGSRQDMAHSNPYVKICLLPDQKNSKQTGVKRKTQKPVFEERYTFEIPFLEAQRRTLLLTVVDFDKFSRHCVIGKVSVPLCEVDLVKGGHWWKALIPSSQNEVELGELLLSLNYLPSAGRLNVDVIRAKQLLQTDVSQGSDPFVKIQLVHGLKLVKTKKTSFLRGTIDPFYNESFSFKVPQEELENASLVFTVFGHNMKSSNDFIGRIVIGQYSSGPSETNHWRRMLNTHRTAVEQWHSLRSRAECDRVSPASLEVT
+>DECOY_sp|Q9BSW7|SYT17_HUMAN Synaptotagmin-17 OS=Homo sapiens OX=9606 GN=SYT17 PE=1 SV=1
+TVELSAPSVRDCEARSRLSHWQEVATRHTNLMRRWHNTESPGSSYQGIVIRGIFDNSSKMNHGFVTFVLSANELEEQPVKFSFSENYFPDITGRLFSTKKTKVLKLGHVLQIKVFPDSGQSVDTQLLQKARIVDVNLRGASPLYNLSLLLEGLEVENQSSPILAKWWHGGKVLDVECLPVSVKGIVCHRSFKDFDVVTLLLTRRQAELFPIEFTYREEFVPKQTKRKVGTQKSNKQDPLLCIKVYPNSHAMDQRSGDHSIPPPLDRAEIVRVTLHNHLLDYQTSFHLMGLQYKSLIEEDTLSDVDDSNSDLSYLHPEFKRFYDDPNYTRRLVSPQIPEKKASLVGFEIPKIDILPSSPRRSELSSIRRTLSYTSKSTDSSSRRGDPSNTRPTLPVESATHVSDGDKDSSRSAMLWPPTQAPFPGLIEVEDESSQCCSSEYCKQCCHRCTWRCLLLGSIRSLFGENLPELQIYAM
+>sp|Q9HCH5|SYTL2_HUMAN Synaptotagmin-like protein 2 OS=Homo sapiens OX=9606 GN=SYTL2 PE=1 SV=3
+MIDLSFLTEEEQEAIMKVLQRDAALKRAEEERVRHLPEKIKDDQQLKNMSGQWFYEAKAKRHRDKIHGADIIRASMRKKRPQIAAEQSKDRENGAKESWVNNVNKDAFLPPELAGVVEEPEEDAAPASPSSSVVNPASSVIDMSQENTRKPNVSPEKRKNPFNSSKLPEGHSSQQTKNEQSKNGRTGLFQTSKEDELSESKEKSTVADTSIQKLEKSKQTLPGLSNGSQIKAPIPKARKMIYKSTDLNKDDNQSFPRQRTDSLKARGAPRGILKRNSSSSSTDSETLRYNHNFEPKSKIVSPGLTIHERISEKEHSLEDNSSPNSLEPLKHVRFSAVKDELPQSPGLIHGREVGEFSVLESDRLKNGMEDAGDTEEFQSDPKPSQYRKPSLFHQSTSSPYVSKSETHQPMTSGSFPINGLHSHSEVLTARPQSMENSPTINEPKDKSSELTRLESVLPRSPADELSHCVEPEPSQVPGGSSRDRQQGSEEEPSPVLKTLERSAARKMPSKSLEDISSDSSNQAKVDNQPEELVRSAEDVSTVPTQPDNPFSHPDKLKRMSKSVPAFLQDESDDRETDTASESSYQLSRHKKSPSSLTNLSSSSGMTSLSSVSGSVMSVYSGDFGNLEVKGNIQFAIEYVESLKELHVFVAQCKDLAAADVKKQRSDPYVKAYLLPDKGKMGKKKTLVVKKTLNPVYNEILRYKIEKQILKTQKLNLSIWHRDTFKRNSFLGEVELDLETWDWDNKQNKQLRWYPLKRKTAPVALEAENRGEMKLALQYVPEPVPGKKLPTTGEVHIWVKECLDLPLLRGSHLNSFVKCTILPDTSRKSRQKTRAVGKTTNPIFNHTMVYDGFRPEDLMEACVELTVWDHYKLTNQFLGGLRIGFGTGKSYGTEVDWMDSTSEEVALWEKMVNSPNTWIEATLPLRMLLIAKISK
+>DECOY_sp|Q9HCH5|SYTL2_HUMAN Synaptotagmin-like protein 2 OS=Homo sapiens OX=9606 GN=SYTL2 PE=1 SV=3
+KSIKAILLMRLPLTAEIWTNPSNVMKEWLAVEESTSDMWDVETGYSKGTGFGIRLGGLFQNTLKYHDWVTLEVCAEMLDEPRFGDYVMTHNFIPNTTKGVARTKQRSKRSTDPLITCKVFSNLHSGRLLPLDLCEKVWIHVEGTTPLKKGPVPEPVYQLALKMEGRNEAELAVPATKRKLPYWRLQKNQKNDWDWTELDLEVEGLFSNRKFTDRHWISLNLKQTKLIQKEIKYRLIENYVPNLTKKVVLTKKKGMKGKDPLLYAKVYPDSRQKKVDAAALDKCQAVFVHLEKLSEVYEIAFQINGKVELNGFDGSYVSMVSGSVSSLSTMGSSSSLNTLSSPSKKHRSLQYSSESATDTERDDSEDQLFAPVSKSMRKLKDPHSFPNDPQTPVTSVDEASRVLEEPQNDVKAQNSSDSSIDELSKSPMKRAASRELTKLVPSPEEESGQQRDRSSGGPVQSPEPEVCHSLEDAPSRPLVSELRTLESSKDKPENITPSNEMSQPRATLVESHSHLGNIPFSGSTMPQHTESKSVYPSSTSQHFLSPKRYQSPKPDSQFEETDGADEMGNKLRDSELVSFEGVERGHILGPSQPLEDKVASFRVHKLPELSNPSSNDELSHEKESIREHITLGPSVIKSKPEFNHNYRLTESDTSSSSSNRKLIGRPAGRAKLSDTRQRPFSQNDDKNLDTSKYIMKRAKPIPAKIQSGNSLGPLTQKSKELKQISTDAVTSKEKSESLEDEKSTQFLGTRGNKSQENKTQQSSHGEPLKSSNFPNKRKEPSVNPKRTNEQSMDIVSSAPNVVSSSPSAPAADEEPEEVVGALEPPLFADKNVNNVWSEKAGNERDKSQEAAIQPRKKRMSARIIDAGHIKDRHRKAKAEYFWQGSMNKLQQDDKIKEPLHRVREEEARKLAADRQLVKMIAEQEEETLFSLDIM
+>sp|P26640|SYVC_HUMAN Valine--tRNA ligase OS=Homo sapiens OX=9606 GN=VARS PE=1 SV=4
+MSTLYVSPHPDAFPSLRALIAARYGEAGEGPGWGGAHPRICLQPPPTSRTPFPPPRLPALEQGPGGLWVWGATAVAQLLWPAGLGGPGGSRAAVLVQQWVSYADTELIPAACGATLPALGLRSSAQDPQAVLGALGRALSPLEEWLRLHTYLAGEAPTLADLAAVTALLLPFRYVLDPPARRIWNNVTRWFVTCVRQPEFRAVLGEVVLYSGARPLSHQPGPEAPALPKTAAQLKKEAKKREKLEKFQQKQKIQQQQPPPGEKKPKPEKREKRDPGVITYDLPTPPGEKKDVSGPMPDSYSPRYVEAAWYPWWEQQGFFKPEYGRPNVSAANPRGVFMMCIPPPNVTGSLHLGHALTNAIQDSLTRWHRMRGETTLWNPGCDHAGIATQVVVEKKLWREQGLSRHQLGREAFLQEVWKWKEEKGDRIYHQLKKLGSSLDWDRACFTMDPKLSAAVTEAFVRLHEEGIIYRSTRLVNWSCTLNSAISDIEVDKKELTGRTLLSVPGYKEKVEFGVLVSFAYKVQGSDSDEEVVVATTRIETMLGDVAVAVHPKDTRYQHLKGKNVIHPFLSRSLPIVFDEFVDMDFGTGAVKITPAHDQNDYEVGQRHGLEAISIMDSRGALINVPPPFLGLPRFEARKAVLVALKERGLFRGIEDNPMVVPLCNRSKDVVEPLLRPQWYVRCGEMAQAASAAVTRGDLRILPEAHQRTWHAWMDNIREWCISRQLWWGHRIPAYFVTVSDPAVPPGEDPDGRYWVSGRNEAEAREKAAKEFGVSPDKISLQQDEDVLDTWFSSGLFPLSILGWPNQSEDLSVFYPGTLLETGHDILFFWVARMVMLGLKLTGRLPFREVYLHAIVRDAHGRKMSKSLGNVIDPLDVIYGISLQGLHNQLLNSNLDPSEVEKAKEGQKADFPAGIPECGTDALRFGLCAYMSQGRDINLDVNRILGYRHFCNKLWNATKFALRGLGKGFVPSPTSQPGGHESLVDRWIRSRLTEAVRLSNQGFQAYDFPAVTTAQYSFWLYELCDVYLECLKPVLNGVDQVAAECARQTLYTCLDVGLRLLSPFMPFVTEELFQRLPRRMPQAPPSLCVTPYPEPSECSWKDPEAEAALELALSITRAVRSLRADYNLTRIRPDCFLEVADEATGALASAVSGYVQALASAGVVAVLALGAPAPQGCAVALASDRCSIHLQLQGLVDPARELGKLQAKRVEAQRQAQRLRERRAASGYPVKVPLEVQEADEAKLQQTEAELRKVDEAIALFQKML
+>DECOY_sp|P26640|SYVC_HUMAN Valine--tRNA ligase OS=Homo sapiens OX=9606 GN=VARS PE=1 SV=4
+LMKQFLAIAEDVKRLEAETQQLKAEDAEQVELPVKVPYGSAARRERLRQAQRQAEVRKAQLKGLERAPDVLGQLQLHISCRDSALAVACGQPAPAGLALVAVVGASALAQVYGSVASALAGTAEDAVELFCDPRIRTLNYDARLSRVARTISLALELAAEAEPDKWSCESPEPYPTVCLSPPAQPMRRPLRQFLEETVFPMFPSLLRLGVDLCTYLTQRACEAAVQDVGNLVPKLCELYVDCLEYLWFSYQATTVAPFDYAQFGQNSLRVAETLRSRIWRDVLSEHGGPQSTPSPVFGKGLGRLAFKTANWLKNCFHRYGLIRNVDLNIDRGQSMYACLGFRLADTGCEPIGAPFDAKQGEKAKEVESPDLNSNLLQNHLGQLSIGYIVDLPDIVNGLSKSMKRGHADRVIAHLYVERFPLRGTLKLGLMVMRAVWFFLIDHGTELLTGPYFVSLDESQNPWGLISLPFLGSSFWTDLVDEDQQLSIKDPSVGFEKAAKERAEAENRGSVWYRGDPDEGPPVAPDSVTVFYAPIRHGWWLQRSICWERINDMWAHWTRQHAEPLIRLDGRTVAASAAQAMEGCRVYWQPRLLPEVVDKSRNCLPVVMPNDEIGRFLGREKLAVLVAKRAEFRPLGLFPPPVNILAGRSDMISIAELGHRQGVEYDNQDHAPTIKVAGTGFDMDVFEDFVIPLSRSLFPHIVNKGKLHQYRTDKPHVAVAVDGLMTEIRTTAVVVEEDSDSGQVKYAFSVLVGFEVKEKYGPVSLLTRGTLEKKDVEIDSIASNLTCSWNVLRTSRYIIGEEHLRVFAETVAASLKPDMTFCARDWDLSSGLKKLQHYIRDGKEEKWKWVEQLFAERGLQHRSLGQERWLKKEVVVQTAIGAHDCGPNWLTTEGRMRHWRTLSDQIANTLAHGLHLSGTVNPPPICMMFVGRPNAASVNPRGYEPKFFGQQEWWPYWAAEVYRPSYSDPMPGSVDKKEGPPTPLDYTIVGPDRKERKEPKPKKEGPPPQQQQIKQKQQFKELKERKKAEKKLQAATKPLAPAEPGPQHSLPRAGSYLVVEGLVARFEPQRVCTVFWRTVNNWIRRAPPDLVYRFPLLLATVAALDALTPAEGALYTHLRLWEELPSLARGLAGLVAQPDQASSRLGLAPLTAGCAAPILETDAYSVWQQVLVAARSGGPGGLGAPWLLQAVATAGWVWLGGPGQELAPLRPPPFPTRSTPPPQLCIRPHAGGWGPGEGAEGYRAAILARLSPFADPHPSVYLTSM
+>sp|P23381|SYWC_HUMAN Tryptophan--tRNA ligase, cytoplasmic OS=Homo sapiens OX=9606 GN=WARS PE=1 SV=2
+MPNSEPASLLELFNSIATQGELVRSLKAGNASKDEIDSAVKMLVSLKMSYKAAAGEDYKADCPPGNPAPTSNHGPDATEAEEDFVDPWTVQTSSAKGIDYDKLIVRFGSSKIDKELINRIERATGQRPHHFLRRGIFFSHRDMNQVLDAYENKKPFYLYTGRGPSSEAMHVGHLIPFIFTKWLQDVFNVPLVIQMTDDEKYLWKDLTLDQAYSYAVENAKDIIACGFDINKTFIFSDLDYMGMSSGFYKNVVKIQKHVTFNQVKGIFGFTDSDCIGKISFPAIQAAPSFSNSFPQIFRDRTDIQCLIPCAIDQDPYFRMTRDVAPRIGYPKPALLHSTFFPALQGAQTKMSASDPNSSIFLTDTAKQIKTKVNKHAFSGGRDTIEEHRQFGGNCDVDVSFMYLTFFLEDDDKLEQIRKDYTSGAMLTGELKKALIEVLQPLIAEHQARRKEVTDEIVKEFMTPRKLSFDFQ
+>DECOY_sp|P23381|SYWC_HUMAN Tryptophan--tRNA ligase, cytoplasmic OS=Homo sapiens OX=9606 GN=WARS PE=1 SV=2
+QFDFSLKRPTMFEKVIEDTVEKRRAQHEAILPQLVEILAKKLEGTLMAGSTYDKRIQELKDDDELFFTLYMFSVDVDCNGGFQRHEEITDRGGSFAHKNVKTKIQKATDTLFISSNPDSASMKTQAGQLAPFFTSHLLAPKPYGIRPAVDRTMRFYPDQDIACPILCQIDTRDRFIQPFSNSFSPAAQIAPFSIKGICDSDTFGFIGKVQNFTVHKQIKVVNKYFGSSMGMYDLDSFIFTKNIDFGCAIIDKANEVAYSYAQDLTLDKWLYKEDDTMQIVLPVNFVDQLWKTFIFPILHGVHMAESSPGRGTYLYFPKKNEYADLVQNMDRHSFFIGRRLFHHPRQGTAREIRNILEKDIKSSGFRVILKDYDIGKASSTQVTWPDVFDEEAETADPGHNSTPAPNGPPCDAKYDEGAAAKYSMKLSVLMKVASDIEDKSANGAKLSRVLEGQTAISNFLELLSAPESNPM
+>sp|P54577|SYYC_HUMAN Tyrosine--tRNA ligase, cytoplasmic OS=Homo sapiens OX=9606 GN=YARS PE=1 SV=4
+MGDAPSPEEKLHLITRNLQEVLGEEKLKEILKERELKIYWGTATTGKPHVAYFVPMSKIADFLKAGCEVTILFADLHAYLDNMKAPWELLELRVSYYENVIKAMLESIGVPLEKLKFIKGTDYQLSKEYTLDVYRLSSVVTQHDSKKAGAEVVKQVEHPLLSGLLYPGLQALDEEYLKVDAQFGGIDQRKIFTFAEKYLPALGYSKRVHLMNPMVPGLTGSKMSSSEEESKIDLLDRKEDVKKKLKKAFCEPGNVENNGVLSFIKHVLFPLKSEFVILRDEKWGGNKTYTAYVDLEKDFAAEVVHPGDLKNSVEVALNKLLDPIREKFNTPALKKLASAAYPDPSKQKPMAKGPAKNSEPEEVIPSRLDIRVGKIITVEKHPDADSLYVEKIDVGEAEPRTVVSGLVQFVPKEELQDRLVVVLCNLKPQKMRGVESQGMLLCASIEGINRQVEPLDPPAGSAPGEHVFVKGYEKGQPDEELKPKKKVFEKLQADFKISEECIAQWKQTNFMTKLGSISCKSLKGGNIS
+>DECOY_sp|P54577|SYYC_HUMAN Tyrosine--tRNA ligase, cytoplasmic OS=Homo sapiens OX=9606 GN=YARS PE=1 SV=4
+SINGGKLSKCSISGLKTMFNTQKWQAICEESIKFDAQLKEFVKKKPKLEEDPQGKEYGKVFVHEGPASGAPPDLPEVQRNIGEISACLLMGQSEVGRMKQPKLNCLVVVLRDQLEEKPVFQVLGSVVTRPEAEGVDIKEVYLSDADPHKEVTIIKGVRIDLRSPIVEEPESNKAPGKAMPKQKSPDPYAASALKKLAPTNFKERIPDLLKNLAVEVSNKLDGPHVVEAAFDKELDVYATYTKNGGWKEDRLIVFESKLPFLVHKIFSLVGNNEVNGPECFAKKLKKKVDEKRDLLDIKSEEESSSMKSGTLGPVMPNMLHVRKSYGLAPLYKEAFTFIKRQDIGGFQADVKLYEEDLAQLGPYLLGSLLPHEVQKVVEAGAKKSDHQTVVSSLRYVDLTYEKSLQYDTGKIFKLKELPVGISELMAKIVNEYYSVRLELLEWPAKMNDLYAHLDAFLITVECGAKLFDAIKSMPVFYAVHPKGTTATGWYIKLEREKLIEKLKEEGLVEQLNRTILHLKEEPSPADGM
+>sp|Q9NUJ3|T11L1_HUMAN T-complex protein 11-like protein 1 OS=Homo sapiens OX=9606 GN=TCP11L1 PE=1 SV=1
+MSENLDKSNVNEAGKSKSNDSEEGLEDAVEGADEALQKAIKSDSSSPQRVQRPHSSPPRFVTVEELLETARGVTNMALAHEIVVNGDFQIKPVELPENSLKKRVKEIVHKAFWDCLSVQLSEDPPAYDHAIKLVGEIKETLLSFLLPGHTRLRNQITEVLDLDLIKQEAENGALDISKLAEFIIGMMGTLCAPARDEEVKKLKDIKEIVPLFREIFSVLDLMKVDMANFAISSIRPHLMQQSVEYERKKFQEILERQPNSLDFVTQWLEEASEDLMTQKYKHALPVGGMAAGSGDMPRLSPVAVQNYAYLKLLKWDHLQRPFPETVLMDQSRFHELQLQLEQLTILGAVLLVTFSMAAPGISSQADFAEKLKMIVKILLTDMHLPSFHLKDVLTTIGEKVCLEVSSCLSLCGSSPFTTDKETVLKGQIQAVASPDDPIRRIMESRILTFLETYLASGHQKPLPTVPGGLSPVQRELEEVAIKFARLVNYNKMVFCPYYDAILSKILVRS
+>DECOY_sp|Q9NUJ3|T11L1_HUMAN T-complex protein 11-like protein 1 OS=Homo sapiens OX=9606 GN=TCP11L1 PE=1 SV=1
+SRVLIKSLIADYYPCFVMKNYNVLRAFKIAVEELERQVPSLGGPVTPLPKQHGSALYTELFTLIRSEMIRRIPDDPSAVAQIQGKLVTEKDTTFPSSGCLSLCSSVELCVKEGITTLVDKLHFSPLHMDTLLIKVIMKLKEAFDAQSSIGPAAMSFTVLLVAGLITLQELQLQLEHFRSQDMLVTEPFPRQLHDWKLLKLYAYNQVAVPSLRPMDGSGAAMGGVPLAHKYKQTMLDESAEELWQTVFDLSNPQRELIEQFKKREYEVSQQMLHPRISSIAFNAMDVKMLDLVSFIERFLPVIEKIDKLKKVEEDRAPACLTGMMGIIFEALKSIDLAGNEAEQKILDLDLVETIQNRLRTHGPLLFSLLTEKIEGVLKIAHDYAPPDESLQVSLCDWFAKHVIEKVRKKLSNEPLEVPKIQFDGNVVIEHALAMNTVGRATELLEEVTVFRPPSSHPRQVRQPSSSDSKIAKQLAEDAGEVADELGEESDNSKSKGAENVNSKDLNESM
+>sp|Q14DG7|T132B_HUMAN Transmembrane protein 132B OS=Homo sapiens OX=9606 GN=TMEM132B PE=2 SV=2
+MFGAASRMDTTAVCTGGVTESRGIVDSLQKFSSLPAYLPTNLHISNAEESFFLKEANQDLTRNSSLQARVEPFFIYRARTPPIINASYGPFSVEKIIPQELLLTSTAFGNMDKFPFNWKLKSHILDSSIYSNRPKVQTLFYVTGMGWDDSDLTEDLPCVKMFAFPEAREVAASCRLQGAPGLCVAELELLPEWFSSGLDLEPEEEIPALLGGTTMELFFTLYPADKAGQCPLEEEGKWENNIHSGLESPQQAFPARERIGSVVVYPTQDDLKWSLVSLDENVVISVPLNLVREGDTATFLVSLTSSSVADQFTLRIKAAAGVKITAVRVSSEDQWAVQEEIDNGSTQTSATLTCMGHRPDTQSRVNGSFYEILQVDFGIDNSSDLAGAQQITWQVEYPIEDSMSELVVSEIFVSQTTFVGIVPLAMDTEVLNTAILTGKPVSVPVKVVGVQEDGSVVDVSESVECKSADEDVIKVSNNCDSIFVNGKEMKSKVDTIVNFTHQHFTSQFEVTVWAPRLPLQIEISDTELSQIKGWRIPVAANRRPTRESDDEDDEEKKGRGCSLQYQHATVRVLTQFVAESPDLGQLTYMLGPDWQFDITDLVTEFMKVEEPKIAQLQDGRTLAGREPGITTVQVLSPLSDSILAEKTVIVLDDRVTIAELGVQLVAGMSLSLQPHRADKRAIVSTAAALDVLQSPQQEAIVSSWILFSDGSVTPLDIYDPKDYSVTVSSLDEMVVSVQANLESKWPIVVAEGEGQGPLIKLEMMISEPCQKTKRKSVLAVGKGNVKVKFEPSSDEHQGGSNDIEGINREYKDHLSNSIEREGNQERAVQEWFHRGTPVGQEESTNKSTTPQSPMEGKNKLLKSGGPDAFTSFPTQGKSPDPNNPSDLTVTSRGLTDLEIGMYALLCVFCLAILVFLINCVAFAWKYRHKRFAVSEQGNIPHSHDWVWLGNEVELLENPVDITLPSEECTTMIDRGLQFEERNFLLNGSSQKTFHSQLLRPSDYVYEKEIKNEPMNSSGPKRKRVKFTSYTTILPEDGGPYTNSILFDSDDNIKWVCQDMGLGDSQDFRDYMESLQDQM
+>DECOY_sp|Q14DG7|T132B_HUMAN Transmembrane protein 132B OS=Homo sapiens OX=9606 GN=TMEM132B PE=2 SV=2
+MQDQLSEMYDRFDQSDGLGMDQCVWKINDDSDFLISNTYPGGDEPLITTYSTFKVRKRKPGSSNMPENKIEKEYVYDSPRLLQSHFTKQSSGNLLFNREEFQLGRDIMTTCEESPLTIDVPNELLEVENGLWVWDHSHPINGQESVAFRKHRYKWAFAVCNILFVLIALCFVCLLAYMGIELDTLGRSTVTLDSPNNPDPSKGQTPFSTFADPGGSKLLKNKGEMPSQPTTSKNTSEEQGVPTGRHFWEQVAREQNGEREISNSLHDKYERNIGEIDNSGGQHEDSSPEFKVKVNGKGVALVSKRKTKQCPESIMMELKILPGQGEGEAVVIPWKSELNAQVSVVMEDLSSVTVSYDKPDYIDLPTVSGDSFLIWSSVIAEQQPSQLVDLAAATSVIARKDARHPQLSLSMGAVLQVGLEAITVRDDLVIVTKEALISDSLPSLVQVTTIGPERGALTRGDQLQAIKPEEVKMFETVLDTIDFQWDPGLMYTLQGLDPSEAVFQTLVRVTAHQYQLSCGRGKKEEDDEDDSERTPRRNAAVPIRWGKIQSLETDSIEIQLPLRPAWVTVEFQSTFHQHTFNVITDVKSKMEKGNVFISDCNNSVKIVDEDASKCEVSESVDVVSGDEQVGVVKVPVSVPKGTLIATNLVETDMALPVIGVFTTQSVFIESVVLESMSDEIPYEVQWTIQQAGALDSSNDIGFDVQLIEYFSGNVRSQTDPRHGMCTLTASTQTSGNDIEEQVAWQDESSVRVATIKVGAAAKIRLTFQDAVSSSTLSVLFTATDGERVLNLPVSIVVNEDLSVLSWKLDDQTPYVVVSGIRERAPFAQQPSELGSHINNEWKGEEELPCQGAKDAPYLTFFLEMTTGGLLAPIEEEPELDLGSSFWEPLLELEAVCLGPAGQLRCSAAVERAEPFAFMKVCPLDETLDSDDWGMGTVYFLTQVKPRNSYISSDLIHSKLKWNFPFKDMNGFATSTLLLEQPIIKEVSFPGYSANIIPPTRARYIFFPEVRAQLSSNRTLDQNAEKLFFSEEANSIHLNTPLYAPLSSFKQLSDVIGRSETVGGTCVATTDMRSAAGFM
+>sp|Q86TG1|T150A_HUMAN Transmembrane protein 150A OS=Homo sapiens OX=9606 GN=TMEM150A PE=1 SV=1
+MTAWILLPVSLSAFSITGIWTVYAMAVMNHHVCPVENWSYNESCPPDPAEQGGPKTCCTLDDVPLISKCGSYPPESCLFSLIGNMGAFMVALICLLRYGQLLEQSRHSWVNTTALITGCTNAAGLLVVGNFQVDHARSLHYVGAGVAFPAGLLFVCLHCALSYQGATAPLDLAVAYLRSVLAVIAFITLVLSGVFFVHESSQLQHGAALCEWVCVIDILIFYGTFSYEFGAVSSDTLVAALQPTPGRACKSSGSSSTSTHLNCAPESIAMI
+>DECOY_sp|Q86TG1|T150A_HUMAN Transmembrane protein 150A OS=Homo sapiens OX=9606 GN=TMEM150A PE=1 SV=1
+IMAISEPACNLHTSTSSSGSSKCARGPTPQLAAVLTDSSVAGFEYSFTGYFILIDIVCVWECLAAGHQLQSSEHVFFVGSLVLTIFAIVALVSRLYAVALDLPATAGQYSLACHLCVFLLGAPFAVGAGVYHLSRAHDVQFNGVVLLGAANTCGTILATTNVWSHRSQELLQGYRLLCILAVMFAGMNGILSFLCSEPPYSGCKSILPVDDLTCCTKPGGQEAPDPPCSENYSWNEVPCVHHNMVAMAYVTWIGTISFASLSVPLLIWATM
+>sp|Q5T4T1|T170B_HUMAN Transmembrane protein 170B OS=Homo sapiens OX=9606 GN=TMEM170B PE=1 SV=1
+MKAEGGDHSMINLSVQQVLSLWAHGTVLRNLTEMWYWIFLWALFSSLFVHGAAGVLMFVMLQRHRQGRVISVIAVSIGFLASVTGAMITSAAVAGIYRVAGKNMAPLEALVWGVGQTVLTLIISFSRILATL
+>DECOY_sp|Q5T4T1|T170B_HUMAN Transmembrane protein 170B OS=Homo sapiens OX=9606 GN=TMEM170B PE=1 SV=1
+LTALIRSFSIILTLVTQGVGWVLAELPAMNKGAVRYIGAVAASTIMAGTVSALFGISVAIVSIVRGQRHRQLMVFMLVGAAGHVFLSSFLAWLFIWYWMETLNRLVTGHAWLSLVQQVSLNIMSHDGGEAKM
+>sp|Q96HP8|T176A_HUMAN Transmembrane protein 176A OS=Homo sapiens OX=9606 GN=TMEM176A PE=2 SV=1
+MGTADSDEMAPEAPQHTHIDVHIHQESALAKLLLTCCSALRPRATQARGSSRLLVASWVMQIVLGILSAVLGGFFYIRDYTLLVTSGAAIWTGAVAVLAGAAAFIYEKRGGTYWALLRTLLTLAAFSTAIAALKLWNEDFRYGYSYYNSACRISSSSDWNTPAPTQSPEEVRRLHLCTSFMDMLKALFRTLQAMLLGVWILLLLASLTPLWLYCWRMFPTKGKRDQKEMLEVSGI
+>DECOY_sp|Q96HP8|T176A_HUMAN Transmembrane protein 176A OS=Homo sapiens OX=9606 GN=TMEM176A PE=2 SV=1
+IGSVELMEKQDRKGKTPFMRWCYLWLPTLSALLLLIWVGLLMAQLTRFLAKLMDMFSTCLHLRRVEEPSQTPAPTNWDSSSSIRCASNYYSYGYRFDENWLKLAAIATSFAALTLLTRLLAWYTGGRKEYIFAAAGALVAVAGTWIAAGSTVLLTYDRIYFFGGLVASLIGLVIQMVWSAVLLRSSGRAQTARPRLASCCTLLLKALASEQHIHVDIHTHQPAEPAMEDSDATGM
+>sp|Q7Z7N9|T179B_HUMAN Transmembrane protein 179B OS=Homo sapiens OX=9606 GN=TMEM179B PE=1 SV=1
+MALSWLQRVELALFAAAFLCGAVAAAAMTRTQGSFSGRCPLYGVATLNGSSLALSRPSAPSLCYFVAGASGLLALYCLLLLLFWIYSSCIEDSHRGAIGLRIALAISAIAVFLVLVSACILRFGTRSLCNSIISLNTTISCSEAQKIPWTPPGTALQFYSNLHNAETSSWVNLVLWCVVLVLQVVQWKSEATPYRPLERGDPEWSSETDALVGSRLSHS
+>DECOY_sp|Q7Z7N9|T179B_HUMAN Transmembrane protein 179B OS=Homo sapiens OX=9606 GN=TMEM179B PE=1 SV=1
+SHSLRSGVLADTESSWEPDGRELPRYPTAESKWQVVQLVLVVCWLVLNVWSSTEANHLNSYFQLATGPPTWPIKQAESCSITTNLSIISNCLSRTGFRLICASVLVLFVAIASIALAIRLGIAGRHSDEICSSYIWFLLLLLCYLALLGSAGAVFYCLSPASPRSLALSSGNLTAVGYLPCRGSFSGQTRTMAAAAVAGCLFAAAFLALEVRQLWSLAM
+>sp|Q1AE95|T183B_HUMAN Transmembrane protein 183B OS=Homo sapiens OX=9606 GN=TMEM183B PE=2 SV=1
+MARGPGPLGRPRPDTVAMPKRGKRLKFRAHDACSGRVTVADYADSDLAVVRSGRVKKAVANAVRQEVKSLCGLEASQVPAEEALSGAGEPYDIIDSSDEMDAQEENIHERTVSRKKKSKRHKEELDGAGGEEYPMDIWLLLASYIRPEDIVNFSLICKNAWTVTCTAAFWTRLYRRHYTLDASLPLRLRPESMEKLHCLRACVIRSLYHMYEPFAARISKNPAIPESTPSTLKNSKCLLFWCRKIVGNRQEPMWEFNFKFKKQSPRLKSKCTGGLQPPVQYEDVHTNPDQDCCLLQVTTLNFIFIPIVMGMIFTLFTINVSTDMRHHRVRLVFQDSPVHGGRKLRSEQGVQVILDPVHSVRLFDWWHPQYPFSLRA
+>DECOY_sp|Q1AE95|T183B_HUMAN Transmembrane protein 183B OS=Homo sapiens OX=9606 GN=TMEM183B PE=2 SV=1
+ARLSFPYQPHWWDFLRVSHVPDLIVQVGQESRLKRGGHVPSDQFVLRVRHHRMDTSVNITFLTFIMGMVIPIFIFNLTTVQLLCCDQDPNTHVDEYQVPPQLGGTCKSKLRPSQKKFKFNFEWMPEQRNGVIKRCWFLLCKSNKLTSPTSEPIAPNKSIRAAFPEYMHYLSRIVCARLCHLKEMSEPRLRLPLSADLTYHRRYLRTWFAATCTVTWANKCILSFNVIDEPRIYSALLLWIDMPYEEGGAGDLEEKHRKSKKKRSVTREHINEEQADMEDSSDIIDYPEGAGSLAEEAPVQSAELGCLSKVEQRVANAVAKKVRGSRVVALDSDAYDAVTVRGSCADHARFKLRKGRKPMAVTDPRPRGLPGPGRAM
+>sp|Q9H7F4|T185B_HUMAN Transmembrane protein 185B OS=Homo sapiens OX=9606 GN=TMEM185B PE=1 SV=2
+MNPRGLFQDFNPSKFLIYTCLLLFSVLLPLRLDGIIQWSYWAVFAPIWLWKLLVVAGASVGAGVWARNPRYRTEGEACVEFKAMLIAVGIHLLLLMFEVLVCDRVERGTHFWLLVFMPLFFVSPVSVAACVWGFRHDRSLELEILCSVNILQFIFIALKLDRIIHWPWLVVFVPLWILMSFLCLVVLYYIVWSLLFLRSLDVVAEQRRTHVTMAISWITIVVPLLTFEVLLVHRLDGHNTFSYVSIFVPLWLSLLTLMATTFRRKGGNHWWFGIRRDFCQFLLEIFPFLREYGNISYDLHHEDSEDAEETSVPEAPKIAPIFGKKARVVITQSPGKYVPPPPKLNIDMPD
+>DECOY_sp|Q9H7F4|T185B_HUMAN Transmembrane protein 185B OS=Homo sapiens OX=9606 GN=TMEM185B PE=1 SV=2
+DPMDINLKPPPPVYKGPSQTIVVRAKKGFIPAIKPAEPVSTEEADESDEHHLDYSINGYERLFPFIELLFQCFDRRIGFWWHNGGKRRFTTAMLTLLSLWLPVFISVYSFTNHGDLRHVLLVEFTLLPVVITIWSIAMTVHTRRQEAVVDLSRLFLLSWVIYYLVVLCLFSMLIWLPVFVVLWPWHIIRDLKLAIFIFQLINVSCLIELELSRDHRFGWVCAAVSVPSVFFLPMFVLLWFHTGREVRDCVLVEFMLLLLHIGVAILMAKFEVCAEGETRYRPNRAWVGAGVSAGAVVLLKWLWIPAFVAWYSWQIIGDLRLPLLVSFLLLCTYILFKSPNFDQFLGRPNM
+>sp|Q69YZ2|T200B_HUMAN Transmembrane protein 200B OS=Homo sapiens OX=9606 GN=TMEM200B PE=2 SV=1
+MTAGSPEECGEVRRSPEGRVSRLGRRLGRRRRPRSPPEPLRVRARLRLRSPSGAFAALGALVVLVGMGIAVAGYWPHRAGAPGSRAANASSPQMSELRREGRGGGRAHGPHERLRLLGPVIMGVGLFVFICANTLLYENRDLETRRLRQGVLRAQALRPPDGPGWDCALLPSPGPRSPRAVGCAEPEIWDPSPRRGTSPVPSVRSLRSEPANPRLGLPALLNSYPLKGPGLPPPWGPRTQTGHVIITVQPSGSCIEHSKSLDLGLGELLLGAPAARDCAHRSWPRLDRLSLGGYAKLGGGGDLGARV
+>DECOY_sp|Q69YZ2|T200B_HUMAN Transmembrane protein 200B OS=Homo sapiens OX=9606 GN=TMEM200B PE=2 SV=1
+VRAGLDGGGGLKAYGGLSLRDLRPWSRHACDRAAPAGLLLEGLGLDLSKSHEICSGSPQVTIIVHGTQTRPGWPPPLGPGKLPYSNLLAPLGLRPNAPESRLSRVSPVPSTGRRPSPDWIEPEACGVARPSRPGPSPLLACDWGPGDPPRLAQARLVGQRLRRTELDRNEYLLTNACIFVFLGVGMIVPGLLRLREHPGHARGGGRGERRLESMQPSSANAARSGPAGARHPWYGAVAIGMGVLVVLAGLAAFAGSPSRLRLRARVRLPEPPSRPRRRRGLRRGLRSVRGEPSRRVEGCEEPSGATM
+>sp|B2RXF0|T229A_HUMAN Transmembrane protein 229A OS=Homo sapiens OX=9606 GN=TMEM229A PE=2 SV=2
+MAGSDVDSEGPARRGGAARRPGAPGGPGSEAAAGCPEPLSTAEAPAESATLPAWMRLYFYGMHGITLDVLVSSARRFARSPDLRMLGFSSPYRCLLHSLTHFALEKVYLQQRRCPNAFVFNFLLYPSAHVGLQTLAGQALLLSLGGGAGVAVAPGALDLALQYVLALYHCQVFLKRFLRLRYGRQRRRQQQQQQQQQQQQRRGALPVPPGARVPTAAGARRRRPRGPRGAGGAPSQGLPDLPRFLFFGMHGFLDEIFFTFFFNVLGQGDGTTSGHTSLWSFFMYGSCSFVVEKLYFHLHYSRGWGTWKRVPIYVIFIYVWELSWGLGLRTCGACSWDYSHYPLNFMGLITLMYLPGWIFLSVYQDLISNVLWRVQYVPAN
+>DECOY_sp|B2RXF0|T229A_HUMAN Transmembrane protein 229A OS=Homo sapiens OX=9606 GN=TMEM229A PE=2 SV=2
+NAPVYQVRWLVNSILDQYVSLFIWGPLYMLTILGMFNLPYHSYDWSCAGCTRLGLGWSLEWVYIFIVYIPVRKWTGWGRSYHLHFYLKEVVFSCSGYMFFSWLSTHGSTTGDGQGLVNFFFTFFIEDLFGHMGFFLFRPLDPLGQSPAGGAGRPGRPRRRRAGAATPVRAGPPVPLAGRRQQQQQQQQQQQQRRRQRGYRLRLFRKLFVQCHYLALVYQLALDLAGPAVAVGAGGGLSLLLAQGALTQLGVHASPYLLFNFVFANPCRRQQLYVKELAFHTLSHLLCRYPSSFGLMRLDPSRAFRRASSVLVDLTIGHMGYFYLRMWAPLTASEAPAEATSLPEPCGAAAESGPGGPAGPRRAAGGRRAPGESDVDSGAM
+>sp|Q8NBD8|T229B_HUMAN Transmembrane protein 229B OS=Homo sapiens OX=9606 GN=TMEM229B PE=2 SV=1
+MASAEPLTALSRWYLYAIHGYFCEVMFTAAWEFVVNLNWKFPGVTSVWALFIYGTSILIVERMYLRLRGRCPLLLRCLIYTLWTYLWEFTTGFILRQFNACPWDYSQFDFDFMGLITLEYAVPWFCGALIMEQFIIRNTLRLRFDKDAEPGEPSGALALANGHVKTD
+>DECOY_sp|Q8NBD8|T229B_HUMAN Transmembrane protein 229B OS=Homo sapiens OX=9606 GN=TMEM229B PE=2 SV=1
+DTKVHGNALALAGSPEGPEADKDFRLRLTNRIIFQEMILAGCFWPVAYELTILGMFDFDFQSYDWPCANFQRLIFGTTFEWLYTWLTYILCRLLLPCRGRLRLYMREVILISTGYIFLAWVSTVGPFKWNLNVVFEWAATFMVECFYGHIAYLYWRSLATLPEASAM
+>sp|Q9Y3Q8|T22D4_HUMAN TSC22 domain family protein 4 OS=Homo sapiens OX=9606 GN=TSC22D4 PE=1 SV=2
+MSGGKKKSSFQITSVTTDYEGPGSPGASDPPTPQPPTGPPPRLPNGEPSPDPGGKGTPRNGSPPPGAPSSRFRVVKLPHGLGEPYRRGRWTCVDVYERDLEPHSFGGLLEGIRGASGGAGGRSLDSRLELASLGLGAPTPPSGLSQGPTSWLRPPPTSPGPQARSFTGGLGQLVVPSKAKAEKPPLSASSPQQRPPEPETGESAGTSRAATPLPSLRVEAEAGGSGARTPPLSRRKAVDMRLRMELGAPEEMGQVPPLDSRPSSPALYFTHDASLVHKSPDPFGAVAAQKFSLAHSMLAISGHLDSDDDSGSGSLVGIDNKIEQAMDLVKSHLMFAVREEVEVLKEQIRELAERNAALEQENGLLRALASPEQLAQLPSSGVPRLGPPAPNGPSV
+>DECOY_sp|Q9Y3Q8|T22D4_HUMAN TSC22 domain family protein 4 OS=Homo sapiens OX=9606 GN=TSC22D4 PE=1 SV=2
+VSPGNPAPPGLRPVGSSPLQALQEPSALARLLGNEQELAANREALERIQEKLVEVEERVAFMLHSKVLDMAQEIKNDIGVLSGSGSDDDSDLHGSIALMSHALSFKQAAVAGFPDPSKHVLSADHTFYLAPSSPRSDLPPVQGMEEPAGLEMRLRMDVAKRRSLPPTRAGSGGAEAEVRLSPLPTAARSTGASEGTEPEPPRQQPSSASLPPKEAKAKSPVVLQGLGGTFSRAQPGPSTPPPRLWSTPGQSLGSPPTPAGLGLSALELRSDLSRGGAGGSAGRIGELLGGFSHPELDREYVDVCTWRGRRYPEGLGHPLKVVRFRSSPAGPPPSGNRPTGKGGPDPSPEGNPLRPPPGTPPQPTPPDSAGPSGPGEYDTTVSTIQFSSKKKGGSM
+>sp|Q96EM0|T3HPD_HUMAN Trans-3-hydroxy-L-proline dehydratase OS=Homo sapiens OX=9606 GN=L3HYPDH PE=1 SV=2
+MESALAVPRLPPHDPGTPVLSVVDMHTGGEPLRIVLAGCPEVSGPTLLAKRRYMRQHLDHVRRRLMFEPRGHRDMYGAVLVPSELPDAHLGVLFLHNEGYSSMCGHAVLALGRFALDFGLVPAPPAGTREARVNIHCPCGLVTAFVACEDGRSHGPVRFHSVPAFVLATDLMVDVPGHGKVMVDIAYGGAFYAFVTAEKLGLDICSAKTRDLVDAASAVTEAVKAQFKINHPDSEDLAFLYGTILTDGKDAYTKEPTTNICVFADEQVDRSPTGSGVTARIALQYHKGLLELNQMRAFKSSATGSVFTGKAVREAKCGDFKAVIVEVSGQAHYTGTASFIIEDDDPLRDGFLLK
+>DECOY_sp|Q96EM0|T3HPD_HUMAN Trans-3-hydroxy-L-proline dehydratase OS=Homo sapiens OX=9606 GN=L3HYPDH PE=1 SV=2
+KLLFGDRLPDDDEIIFSATGTYHAQGSVEVIVAKFDGCKAERVAKGTFVSGTASSKFARMQNLELLGKHYQLAIRATVGSGTPSRDVQEDAFVCINTTPEKTYADKGDTLITGYLFALDESDPHNIKFQAKVAETVASAADVLDRTKASCIDLGLKEATVFAYFAGGYAIDVMVKGHGPVDVMLDTALVFAPVSHFRVPGHSRGDECAVFATVLGCPCHINVRAERTGAPPAPVLGFDLAFRGLALVAHGCMSSYGENHLFLVGLHADPLESPVLVAGYMDRHGRPEFMLRRRVHDLHQRMYRRKALLTPGSVEPCGALVIRLPEGGTHMDVVSLVPTGPDHPPLRPVALASEM
+>sp|O14894|T4S5_HUMAN Transmembrane 4 L6 family member 5 OS=Homo sapiens OX=9606 GN=TM4SF5 PE=2 SV=2
+MCTGKCARCVGLSLITLCLVCIVANALLLVPNGETSWTNTNHLSLQVWLMGGFIGGGLMVLCPGIAAVRAGGKGCCGAGCCGNRCRMLRSVFSSAFGVLGAIYCLSVSGAGLRNGPRCLMNGEWGYHFEDTAGAYLLNRTLWDRCEAPPRVVPWNVTLFSLLVAASCLEIVLCGIQLVNATIGVFCGDCRKKQDTPH
+>DECOY_sp|O14894|T4S5_HUMAN Transmembrane 4 L6 family member 5 OS=Homo sapiens OX=9606 GN=TM4SF5 PE=2 SV=2
+HPTDQKKRCDGCFVGITANVLQIGCLVIELCSAAVLLSFLTVNWPVVRPPAECRDWLTRNLLYAGATDEFHYGWEGNMLCRPGNRLGAGSVSLCYIAGLVGFASSFVSRLMRCRNGCCGAGCCGKGGARVAAIGPCLVMLGGGIFGGMLWVQLSLHNTNTWSTEGNPVLLLANAVICVLCLTILSLGVCRACKGTCM
+>sp|Q8IXH6|T53I2_HUMAN Tumor protein p53-inducible nuclear protein 2 OS=Homo sapiens OX=9606 GN=TP53INP2 PE=1 SV=2
+MFQRLSSLFFSTPSPPEDPDCPRAFVSEEDEVDGWLIIDLPDSYAAPPSPGAAPAPAGRPPPAPSLMDESWFVTPPACFTAEGPGLGPARLQSSPLEDLLIEHPSMSVYVTGSTIVLEPGSPSPLPDAALPDGDLSEGELTPARREPRAARHAAPLPARAALLEKAGQVRRLQRARQRAERHALSAKAVQRQNRARESRPRRSKNQSSFIYQPCQRQFNY
+>DECOY_sp|Q8IXH6|T53I2_HUMAN Tumor protein p53-inducible nuclear protein 2 OS=Homo sapiens OX=9606 GN=TP53INP2 PE=1 SV=2
+YNFQRQCPQYIFSSQNKSRRPRSERARNQRQVAKASLAHREARQRARQLRRVQGAKELLAARAPLPAAHRAARPERRAPTLEGESLDGDPLAADPLPSPSGPELVITSGTVYVSMSPHEILLDELPSSQLRAPGLGPGEATFCAPPTVFWSEDMLSPAPPPRGAPAPAAGPSPPAAYSDPLDIILWGDVEDEESVFARPCDPDEPPSPTSFFLSSLRQFM
+>sp|Q9NP77|SSU72_HUMAN RNA polymerase II subunit A C-terminal domain phosphatase SSU72 OS=Homo sapiens OX=9606 GN=SSU72 PE=1 SV=1
+MPSSPLRVAVVCSSNQNRSMEAHNILSKRGFSVRSFGTGTHVKLPGPAPDKPNVYDFKTTYDQMYNDLLRKDKELYTQNGILHMLDRNKRIKPRPERFQNCKDLFDLILTCEERVYDQVVEDLNSREQETCQPVHVVNVDIQDNHEEATLGAFLICELCQCIQHTEDMENEIDELLQEFEEKSGRTFLHTVCFY
+>DECOY_sp|Q9NP77|SSU72_HUMAN RNA polymerase II subunit A C-terminal domain phosphatase SSU72 OS=Homo sapiens OX=9606 GN=SSU72 PE=1 SV=1
+YFCVTHLFTRGSKEEFEQLLEDIENEMDETHQICQCLECILFAGLTAEEHNDQIDVNVVHVPQCTEQERSNLDEVVQDYVREECTLILDFLDKCNQFREPRPKIRKNRDLMHLIGNQTYLEKDKRLLDNYMQDYTTKFDYVNPKDPAPGPLKVHTGTGFSRVSFGRKSLINHAEMSRNQNSSCVVAVRLPSSPM
+>sp|O60225|SSX5_HUMAN Protein SSX5 OS=Homo sapiens OX=9606 GN=SSX5 PE=1 SV=3
+MNGDDAFVRRPRVGSQIPEKMQKAFDDIAKYFSEKEWEKMKASEKIIYVYMKRKYEAMTKLGFKATLPPFMRNKRVADFQGNDFDNDPNRGNQVEHPQMTFGRLQGIFPKITPEKPAEEGNDSKGVPEASGPQNNGKQLRPSGKLNTSEKVNKTSGPKRGKHAWTHRVRERKQLVIYEEISDPQEDDE
+>DECOY_sp|O60225|SSX5_HUMAN Protein SSX5 OS=Homo sapiens OX=9606 GN=SSX5 PE=1 SV=3
+EDDEQPDSIEEYIVLQKRERVRHTWAHKGRKPGSTKNVKESTNLKGSPRLQKGNNQPGSAEPVGKSDNGEEAPKEPTIKPFIGQLRGFTMQPHEVQNGRNPDNDFDNGQFDAVRKNRMFPPLTAKFGLKTMAEYKRKMYVYIIKESAKMKEWEKESFYKAIDDFAKQMKEPIQSGVRPRRVFADDGNM
+>sp|Q8TDR2|STK35_HUMAN Serine/threonine-protein kinase 35 OS=Homo sapiens OX=9606 GN=STK35 PE=1 SV=2
+MGHQESPLARAPAGGAAYVKRLCKGLSWREHVESHGSLGAQASPASAAAAEGSATRRARAATSRAARSRRQPGPGADHPQAGAPGGKRAARKWRCAGQVTIQGPAPPRPRAGRRDEAGGARAAPLLLPPPPAAMETGKDGARRGTQSPERKRRSPVPRAPSTKLRPAAAARAMDPVAAEAPGEAFLARRRPEGGGGSARPRYSLLAEIGRGSYGVVYEAVAGRSGARVAVKKIRCDAPENVELALAEFWALTSLKRRHQNVVQFEECVLQRNGLAQRMSHGNKSSQLYLRLVETSLKGERILGYAEEPCYLWFVMEFCEGGDLNQYVLSRRPDPATNKSFMLQLTSAIAFLHKNHIVHRDLKPDNILITERSGTPILKVADFGLSKVCAGLAPRGKEGNQDNKNVNVNKYWLSSACGSDFYMAPEVWEGHYTAKADIFALGIIIWAMIERITFIDSETKKELLGTYIKQGTEIVPVGEALLENPKMELHIPQKRRTSMSEGIKQLLKDMLAANPQDRPDAFELETRMDQVTCAA
+>DECOY_sp|Q8TDR2|STK35_HUMAN Serine/threonine-protein kinase 35 OS=Homo sapiens OX=9606 GN=STK35 PE=1 SV=2
+AACTVQDMRTELEFADPRDQPNAALMDKLLQKIGESMSTRRKQPIHLEMKPNELLAEGVPVIETGQKIYTGLLEKKTESDIFTIREIMAWIIIGLAFIDAKATYHGEWVEPAMYFDSGCASSLWYKNVNVNKNDQNGEKGRPALGACVKSLGFDAVKLIPTGSRETILINDPKLDRHVIHNKHLFAIASTLQLMFSKNTAPDPRRSLVYQNLDGGECFEMVFWLYCPEEAYGLIREGKLSTEVLRLYLQSSKNGHSMRQALGNRQLVCEEFQVVNQHRRKLSTLAWFEALALEVNEPADCRIKKVAVRAGSRGAVAEYVVGYSGRGIEALLSYRPRASGGGGEPRRRALFAEGPAEAAVPDMARAAAAPRLKTSPARPVPSRRKREPSQTGRRAGDKGTEMAAPPPPLLLPAARAGGAEDRRGARPRPPAPGQITVQGACRWKRAARKGGPAGAQPHDAGPGPQRRSRAARSTAARARRTASGEAAAASAPSAQAGLSGHSEVHERWSLGKCLRKVYAAGGAPARALPSEQHGM
+>sp|Q9NRP7|STK36_HUMAN Serine/threonine-protein kinase 36 OS=Homo sapiens OX=9606 GN=STK36 PE=1 SV=2
+MEKYHVLEMIGEGSFGRVYKGRRKYSAQVVALKFIPKLGRSEKELRNLQREIEIMRGLRHPNIVHMLDSFETDKEVVVVTDYAEGELFQILEDDGKLPEDQVQAIAAQLVSALYYLHSHRILHRDMKPQNILLAKGGGIKLCDFGFARAMSTNTMVLTSIKGTPLYMSPELVEERPYDHTADLWSVGCILYELAVGTPPFYATSIFQLVSLILKDPVRWPSTISPCFKNFLQGLLTKDPRQRLSWPDLLYHPFIAGHVTIITEPAGPDLGTPFTSRLPPELQVLKDEQAHRLAPKGNQSRILTQAYKRMAEEAMQKKHQNTGPALEQEDKTSKVAPGTAPLPRLGATPQESSLLAGILASELKSSWAKSGTGEVPSAPRENRTTPDCERAFPEERPEVLGQRSTDVVDLENEEPDSDNEWQHLLETTEPVPIQLKAPLTLLCNPDFCQRIQSQLHEAGGQILKGILEGASHILPAFRVLSSLLSSCSDSVALYSFCREAGLPGLLLSLLRHSQESNSLQQQSWYGTFLQDLMAVIQAYFACTFNLERSQTSDSLQVFQEAANLFLDLLGKLLAQPDDSEQTLRRDSLMCFTVLCEAMDGNSRAISKAFYSSLLTTQQVVLDGLLHGLTVPQLPVHTPQGAPQVSQPLREQSEDIPGAISSALAAICTAPVGLPDCWDAKEQVCWHLANQLTEDSSQLRPSLISGLQHPILCLHLLKVLYSCCLVSEGLCRLLGQEPLALESLFMLIQGKVKVVDWEESTEVTLYFLSLLVFRLQNLPCGMEKLGSDVATLFTHSHVVSLVSAAACLLGQLGQQGVTFDLQPMEWMAAATHALSAPAEVRLTPPGSCGFYDGLLILLLQLLTEQGKASLIRDMSSSEMWTVLWHRFSMVLRLPEEASAQEGELSLSSPPSPEPDWTLISPQGMAALLSLAMATFTQEPQLCLSCLSQHGSILMSILKHLLCPSFLNQLRQAPHGSEFLPVVVLSVCQLLCFPFALDMDADLLIGVLADLRDSEVAAHLLQVCCYHLPLMQVELPISLLTRLALMDPTSLNQFVNTVSASPRTIVSFLSVALLSDQPLLTSDLLSLLAHTARVLSPSHLSFIQELLAGSDESYRPLRSLLGHPENSVRAHTYRLLGHLLQHSMALRGALQSQSGLLSLLLLGLGDKDPVVRCSASFAVGNAAYQAGPLGPALAAAVPSMTQLLGDPQAGIRRNVASALGNLGPEGLGEELLQCEVPQRLLEMACGDPQPNVKEAALIALRSLQQEPGIHQVLVSLGASEKLSLLSLGNQSLPHSSPRPASAKHCRKLIHLLRPAHSM
+>DECOY_sp|Q9NRP7|STK36_HUMAN Serine/threonine-protein kinase 36 OS=Homo sapiens OX=9606 GN=STK36 PE=1 SV=2
+MSHAPRLLHILKRCHKASAPRPSSHPLSQNGLSLLSLKESAGLSVLVQHIGPEQQLSRLAILAAEKVNPQPDGCAMELLRQPVECQLLEEGLGEPGLNGLASAVNRRIGAQPDGLLQTMSPVAAALAPGLPGAQYAANGVAFSASCRVVPDKDGLGLLLLSLLGSQSQLAGRLAMSHQLLHGLLRYTHARVSNEPHGLLSRLPRYSEDSGALLEQIFSLHSPSLVRATHALLSLLDSTLLPQDSLLAVSLFSVITRPSASVTNVFQNLSTPDMLALRTLLSIPLEVQMLPLHYCCVQLLHAAVESDRLDALVGILLDADMDLAFPFCLLQCVSLVVVPLFESGHPAQRLQNLFSPCLLHKLISMLISGHQSLCSLCLQPEQTFTAMALSLLAAMGQPSILTWDPEPSPPSSLSLEGEQASAEEPLRLVMSFRHWLVTWMESSSMDRILSAKGQETLLQLLLILLGDYFGCSGPPTLRVEAPASLAHTAAAMWEMPQLDFTVGQQGLQGLLCAAASVLSVVHSHTFLTAVDSGLKEMGCPLNQLRFVLLSLFYLTVETSEEWDVVKVKGQILMFLSELALPEQGLLRCLGESVLCCSYLVKLLHLCLIPHQLGSILSPRLQSSDETLQNALHWCVQEKADWCDPLGVPATCIAALASSIAGPIDESQERLPQSVQPAGQPTHVPLQPVTLGHLLGDLVVQQTTLLSSYFAKSIARSNGDMAECLVTFCMLSDRRLTQESDDPQALLKGLLDLFLNAAEQFVQLSDSTQSRELNFTCAFYAQIVAMLDQLFTGYWSQQQLSNSEQSHRLLSLLLGPLGAERCFSYLAVSDSCSSLLSSLVRFAPLIHSAGELIGKLIQGGAEHLQSQIRQCFDPNCLLTLPAKLQIPVPETTELLHQWENDSDPEENELDVVDTSRQGLVEPREEPFARECDPTTRNERPASPVEGTGSKAWSSKLESALIGALLSSEQPTAGLRPLPATGPAVKSTKDEQELAPGTNQHKKQMAEEAMRKYAQTLIRSQNGKPALRHAQEDKLVQLEPPLRSTFPTGLDPGAPETIITVHGAIFPHYLLDPWSLRQRPDKTLLGQLFNKFCPSITSPWRVPDKLILSVLQFISTAYFPPTGVALEYLICGVSWLDATHDYPREEVLEPSMYLPTGKISTLVMTNTSMARAFGFDCLKIGGGKALLINQPKMDRHLIRHSHLYYLASVLQAAIAQVQDEPLKGDDELIQFLEGEAYDTVVVVEKDTEFSDLMHVINPHRLGRMIEIERQLNRLEKESRGLKPIFKLAVVQASYKRRGKYVRGFSGEGIMELVHYKEM
+>sp|Q15208|STK38_HUMAN Serine/threonine-protein kinase 38 OS=Homo sapiens OX=9606 GN=STK38 PE=1 SV=1
+MAMTGSTPCSSMSNHTKERVTMTKVTLENFYSNLIAQHEEREMRQKKLEKVMEEEGLKDEEKRLRRSAHARKETEFLRLKRTRLGLEDFESLKVIGRGAFGEVRLVQKKDTGHVYAMKILRKADMLEKEQVGHIRAERDILVEADSLWVVKMFYSFQDKLNLYLIMEFLPGGDMMTLLMKKDTLTEEETQFYIAETVLAIDSIHQLGFIHRDIKPDNLLLDSKGHVKLSDFGLCTGLKKAHRTEFYRNLNHSLPSDFTFQNMNSKRKAETWKRNRRQLAFSTVGTPDYIAPEVFMQTGYNKLCDWWSLGVIMYEMLIGYPPFCSETPQETYKKVMNWKETLTFPPEVPISEKAKDLILRFCCEWEHRIGAPGVEEIKSNSFFEGVDWEHIRERPAAISIEIKSIDDTSNFDEFPESDILKPTVATSNHPETDYKNKDWVFINYTYKRFEGLTARGAIPSYMKAAK
+>DECOY_sp|Q15208|STK38_HUMAN Serine/threonine-protein kinase 38 OS=Homo sapiens OX=9606 GN=STK38 PE=1 SV=1
+KAAKMYSPIAGRATLGEFRKYTYNIFVWDKNKYDTEPHNSTAVTPKLIDSEPFEDFNSTDDISKIEISIAAPRERIHEWDVGEFFSNSKIEEVGPAGIRHEWECCFRLILDKAKESIPVEPPFTLTEKWNMVKKYTEQPTESCFPPYGILMEYMIVGLSWWDCLKNYGTQMFVEPAIYDPTGVTSFALQRRNRKWTEAKRKSNMNQFTFDSPLSHNLNRYFETRHAKKLGTCLGFDSLKVHGKSDLLLNDPKIDRHIFGLQHISDIALVTEAIYFQTEEETLTDKKMLLTMMDGGPLFEMILYLNLKDQFSYFMKVVWLSDAEVLIDREARIHGVQEKELMDAKRLIKMAYVHGTDKKQVLRVEGFAGRGIVKLSEFDELGLRTRKLRLFETEKRAHASRRLRKEEDKLGEEEMVKELKKQRMEREEHQAILNSYFNELTVKTMTVREKTHNSMSSCPTSGTMAM
+>sp|Q13043|STK4_HUMAN Serine/threonine-protein kinase 4 OS=Homo sapiens OX=9606 GN=STK4 PE=1 SV=2
+METVQLRNPPRRQLKKLDEDSLTKQPEEVFDVLEKLGEGSYGSVYKAIHKETGQIVAIKQVPVESDLQEIIKEISIMQQCDSPHVVKYYGSYFKNTDLWIVMEYCGAGSVSDIIRLRNKTLTEDEIATILQSTLKGLEYLHFMRKIHRDIKAGNILLNTEGHAKLADFGVAGQLTDTMAKRNTVIGTPFWMAPEVIQEIGYNCVADIWSLGITAIEMAEGKPPYADIHPMRAIFMIPTNPPPTFRKPELWSDNFTDFVKQCLVKSPEQRATATQLLQHPFVRSAKGVSILRDLINEAMDVKLKRQESQQREVDQDDEENSEEDEMDSGTMVRAVGDEMGTVRVASTMTDGANTMIEHDDTLPSQLGTMVINAEDEEEEGTMKRRDETMQPAKPSFLEYFEQKEKENQINSFGKSVPGPLKNSSDWKIPQDGDYEFLKSWTVEDLQKRLLALDPMMEQEIEEIRQKYQSKRQPILDAIEAKKRRQQNF
+>DECOY_sp|Q13043|STK4_HUMAN Serine/threonine-protein kinase 4 OS=Homo sapiens OX=9606 GN=STK4 PE=1 SV=2
+FNQQRRKKAEIADLIPQRKSQYKQRIEEIEQEMMPDLALLRKQLDEVTWSKLFEYDGDQPIKWDSSNKLPGPVSKGFSNIQNEKEKQEFYELFSPKAPQMTEDRRKMTGEEEEDEANIVMTGLQSPLTDDHEIMTNAGDTMTSAVRVTGMEDGVARVMTGSDMEDEESNEEDDQDVERQQSEQRKLKVDMAENILDRLISVGKASRVFPHQLLQTATARQEPSKVLCQKVFDTFNDSWLEPKRFTPPPNTPIMFIARMPHIDAYPPKGEAMEIATIGLSWIDAVCNYGIEQIVEPAMWFPTGIVTNRKAMTDTLQGAVGFDALKAHGETNLLINGAKIDRHIKRMFHLYELGKLTSQLITAIEDETLTKNRLRIIDSVSGAGCYEMVIWLDTNKFYSGYYKVVHPSDCQQMISIEKIIEQLDSEVPVQKIAVIQGTEKHIAKYVSGYSGEGLKELVDFVEEPQKTLSDEDLKKLQRRPPNRLQVTEM
+>sp|Q9NZ72|STMN3_HUMAN Stathmin-3 OS=Homo sapiens OX=9606 GN=STMN3 PE=1 SV=3
+MASTISAYKEKMKELSVLSLICSCFYTQPHPNTVYQYGDMEVKQLDKRASGQSFEVILKSPSDLSPESPMLSSPPKKKDTSLEELQKRLEAAEERRKTQEAQVLKQLAERREHEREVLHKALEENNNFSRQAEEKLNYKMELSKEIREAHLAALRERLREKELHAAEVRRNKEQREEMSG
+>DECOY_sp|Q9NZ72|STMN3_HUMAN Stathmin-3 OS=Homo sapiens OX=9606 GN=STMN3 PE=1 SV=3
+GSMEERQEKNRRVEAAHLEKERLRERLAALHAERIEKSLEMKYNLKEEAQRSFNNNEELAKHLVEREHERREALQKLVQAEQTKRREEAAELRKQLEELSTDKKKPPSSLMPSEPSLDSPSKLIVEFSQGSARKDLQKVEMDGYQYVTNPHPQTYFCSCILSLVSLEKMKEKYASITSAM
+>sp|E0CX11|STMP1_HUMAN Short transmembrane mitochondrial protein 1 OS=Homo sapiens OX=9606 GN=STMP1 PE=1 SV=1
+MLQFLLGFTLGNVVGMYLAQNYDIPNLAKKLEEIKKDLDAKKKPPSA
+>DECOY_sp|E0CX11|STMP1_HUMAN Short transmembrane mitochondrial protein 1 OS=Homo sapiens OX=9606 GN=STMP1 PE=1 SV=1
+ASPPKKKADLDKKIEELKKALNPIDYNQALYMGVVNGLTFGLLFQLM
+>sp|Q8WXE9|STON2_HUMAN Stonin-2 OS=Homo sapiens OX=9606 GN=STON2 PE=1 SV=1
+MTTLDHVIATHQSEWVSFNEEPPFPAHSQGGTEEHLPGLSSSPDQSESSSGENHVVDGGSQDHSHSEQDDSSEKMGLISEAASPPGSPEQPPPDLASAISNWVQFEDDTPWASTSPPHQETAETALPLTMPCWTCPSFDSLGRCPLTSESSWTTHSEDTSSPSFGCSYTDLQLINAEEQTSGQASGADSTDNSSSLQEDEEVEMEAISWQASSPAMNGHPAPPVTSARFPSWVTFDDNEVSCPLPPVTSPLKPNTPPSASVIPDVPYNSMGSFKKRDRPKSTLMNFSKVQKLDISSLNRTPSVTEASPWRATNPFLNETLQDVQPSPINPFSAFFEEQERRSQNSSISSTTGKSQRDSLIVIYQDAISFDDSSKTQSHSDAVEKLKQLQIDDPDHFGSATLPDDDPVAWIELDAHPPGSARSQPRDGWPMMLRIPEKKNIMSSRHWGPIFVKLTDTGYLQLYYEQGLEKPFREFKLEICHEISEPRLQNYDENGRIHSLRIDRVTYKEKKKYQPKPAVAHTAEREQVIKLGTTNYDDFLSFIHAVQDRLMDLPVLSMDLSTVGLNYLEEEITVDVRDEFSGIVSKGDNQILQHHVLTRIHILSFLSGLAECRLGLNDILVKGNEIVLRQDIMPTTTTKWIKLHECRFHGCVDEDVFHNSRVILFNPLDACRFELMRFRTVFAEKTLPFTLRTATSVNGAEVEVQSWLRMSTGFSANRDPLTQVPCENVMIRYPVPSEWVKNFRRESVLGEKSLKAKVNRGASFGSTSVSGSEPVMRVTLGTAKYEHAFNSIVWRINRLPDKNSASGHPHCFFCHLELGSDREVPSRFANHVNVEFSMPTTSASKASVRSISVEDKTDVRKWVNYSAHYSYQVALGSIWLMLPTPFVHPTTLPLLFLLAMLTMFAW
+>DECOY_sp|Q8WXE9|STON2_HUMAN Stonin-2 OS=Homo sapiens OX=9606 GN=STON2 PE=1 SV=1
+WAFMTLMALLFLLPLTTPHVFPTPLMLWISGLAVQYSYHASYNVWKRVDTKDEVSISRVSAKSASTTPMSFEVNVHNAFRSPVERDSGLELHCFFCHPHGSASNKDPLRNIRWVISNFAHEYKATGLTVRMVPESGSVSTSGFSAGRNVKAKLSKEGLVSERRFNKVWESPVPYRIMVNECPVQTLPDRNASFGTSMRLWSQVEVEAGNVSTATRLTFPLTKEAFVTRFRMLEFRCADLPNFLIVRSNHFVDEDVCGHFRCEHLKIWKTTTTPMIDQRLVIENGKVLIDNLGLRCEALGSLFSLIHIRTLVHHQLIQNDGKSVIGSFEDRVDVTIEEELYNLGVTSLDMSLVPLDMLRDQVAHIFSLFDDYNTTGLKIVQEREATHAVAPKPQYKKKEKYTVRDIRLSHIRGNEDYNQLRPESIEHCIELKFERFPKELGQEYYLQLYGTDTLKVFIPGWHRSSMINKKEPIRLMMPWGDRPQSRASGPPHADLEIWAVPDDDPLTASGFHDPDDIQLQKLKEVADSHSQTKSSDDFSIADQYIVILSDRQSKGTTSSISSNQSRREQEEFFASFPNIPSPQVDQLTENLFPNTARWPSAETVSPTRNLSSIDLKQVKSFNMLTSKPRDRKKFSGMSNYPVDPIVSASPPTNPKLPSTVPPLPCSVENDDFTVWSPFRASTVPPAPHGNMAPSSAQWSIAEMEVEEDEQLSSSNDTSDAGSAQGSTQEEANILQLDTYSCGFSPSSTDESHTTWSSESTLPCRGLSDFSPCTWCPMTLPLATEATEQHPPSTSAWPTDDEFQVWNSIASALDPPPQEPSGPPSAAESILGMKESSDDQESHSHDQSGGDVVHNEGSSSESQDPSSSLGPLHEETGGQSHAPFPPEENFSVWESQHTAIVHDLTTM
+>sp|Q05952|STP2_HUMAN Nuclear transition protein 2 OS=Homo sapiens OX=9606 GN=TNP2 PE=1 SV=1
+MDTQTHSLPITHTQLHSNSQPQSRTCTRHCQTFSQSCRQSHRGSRSQSSSQSPASHRNPTGAHSSSGHQSQSPNTSPPPKRHKKTMNSHHSPMRPTILHCRCPKNRKNLEGKLKKKKMAKRIQQVYKTKTRSSGWKSN
+>DECOY_sp|Q05952|STP2_HUMAN Nuclear transition protein 2 OS=Homo sapiens OX=9606 GN=TNP2 PE=1 SV=1
+NSKWGSSRTKTKYVQQIRKAMKKKKLKGELNKRNKPCRCHLITPRMPSHHSNMTKKHRKPPPSTNPSQSQHGSSSHAGTPNRHSAPSQSSSQSRSGRHSQRCSQSFTQCHRTCTRSQPQSNSHLQTHTIPLSHTQTDM
+>sp|Q9H6E5|STPAP_HUMAN Speckle targeted PIP5K1A-regulated poly(A) polymerase OS=Homo sapiens OX=9606 GN=TUT1 PE=1 SV=2
+MAAVDSDVESLPRGGFRCCLCHVTTANRPSLDAHLGGRKHRHLVELRAARKAQGLRSVFVSGFPRDVDSAQLSEYFLAFGPVASVVMDKDKGVFAIVEMGDVGAREAVLSQSQHSLGGHRLRVRPREQKEFQSPASKSPKGAAPDSHQLAKALAEAADVGAQMIKLVGLRELSEAERQLRSLVVALMQEVFTEFFPGCVVHPFGSSINSFDVHGCDLDLFLDLGDLEEPQPVPKAPESPSLDSALASPLDPQALACTPASPPDSQPPASPQDSEALDFETPSSSLAPQTPDSALASETLASPQSLPPASPLLEDREEGDLGKASELAETPKEEKAEGAAMLELVGSILRGCVPGVYRVQTVPSARRPVVKFCHRPSGLHGDVSLSNRLALHNSRFLSLCSELDGRVRPLVYTLRCWAQGRGLSGSGPLLSNYALTLLVIYFLQTRDPPVLPTVSQLTQKAGEGEQVEVDGWDCSFPRDASRLEPSINVEPLSSLLAQFFSCVSCWDLRGSLLSLREGQALPVAGGLPSNLWEGLRLGPLNLQDPFDLSHNVAANVTSRVAGRLQNCCRAAANYCRSLQYQRRSSRGRDWGLLPLLQPSSPSSLLSATPIPLPLAPFTQLTAALVQVFREALGCHIEQATKRTRSEGGGTGESSQGGTSKRLKVDGQKNCCEEGKEEQQGCAGDGGEDRVEEMVIEVGEMVQDWAMQSPGQPGDLPLTTGKHGAPGEEGQPSHAALAERGPKGHEAAQEWSQGEAGKGASLPSSASWRCALWHRVWQGRRRARRRLQQQTKEGAGGGAGTRAGWLATEAQVTQELKGLSGGEERPETEPLLSFVASVSPADRMLTVTPLQDPQGLFPDLHHFLQVFLPQAIRHLK
+>DECOY_sp|Q9H6E5|STPAP_HUMAN Speckle targeted PIP5K1A-regulated poly(A) polymerase OS=Homo sapiens OX=9606 GN=TUT1 PE=1 SV=2
+KLHRIAQPLFVQLFHHLDPFLGQPDQLPTVTLMRDAPSVSAVFSLLPETEPREEGGSLGKLEQTVQAETALWGARTGAGGGAGEKTQQQLRRRARRRGQWVRHWLACRWSASSPLSAGKGAEGQSWEQAAEHGKPGREALAAHSPQGEEGPAGHKGTTLPLDGPQGPSQMAWDQVMEGVEIVMEEVRDEGGDGACGQQEEKGEECCNKQGDVKLRKSTGGQSSEGTGGGESRTRKTAQEIHCGLAERFVQVLAATLQTFPALPLPIPTASLLSSPSSPQLLPLLGWDRGRSSRRQYQLSRCYNAAARCCNQLRGAVRSTVNAAVNHSLDFPDQLNLPGLRLGEWLNSPLGGAVPLAQGERLSLLSGRLDWCSVCSFFQALLSSLPEVNISPELRSADRPFSCDWGDVEVQEGEGAKQTLQSVTPLVPPDRTQLFYIVLLTLAYNSLLPGSGSLGRGQAWCRLTYVLPRVRGDLESCLSLFRSNHLALRNSLSVDGHLGSPRHCFKVVPRRASPVTQVRYVGPVCGRLISGVLELMAAGEAKEEKPTEALESAKGLDGEERDELLPSAPPLSQPSALTESALASDPTQPALSSSPTEFDLAESDQPSAPPQSDPPSAPTCALAQPDLPSALASDLSPSEPAKPVPQPEELDGLDLFLDLDCGHVDFSNISSGFPHVVCGPFFETFVEQMLAVVLSRLQREAESLERLGVLKIMQAGVDAAEALAKALQHSDPAAGKPSKSAPSQFEKQERPRVRLRHGGLSHQSQSLVAERAGVDGMEVIAFVGKDKDMVVSAVPGFALFYESLQASDVDRPFGSVFVSRLGQAKRAARLEVLHRHKRGGLHADLSPRNATTVHCLCCRFGGRPLSEVDSDVAAM
+>sp|Q7RTN6|STRAA_HUMAN STE20-related kinase adapter protein alpha OS=Homo sapiens OX=9606 GN=STRADA PE=1 SV=1
+MSFLVSKPERIRRWVSEKFIVEGLRDLELFGEQPPGDTRRKTNDASSESIASFSKQEVMSSFLPEGGCYELLTVIGKGFEDLMTVNLARYKPTGEYVTVRRINLEACSNEMVTFLQGELHVSKLFNHPNIVPYRATFIADNELWVVTSFMAYGSAKDLICTHFMDGMNELAIAYILQGVLKALDYIHHMGYVHRSVKASHILISVDGKVYLSGLRSNLSMISHGQRQRVVHDFPKYSVKVLPWLSPEVLQQNLQGYDAKSDIYSVGITACELANGHVPFKDMPATQMLLEKLNGTVPCLLDTSTIPAEELTMSPSRSVANSGLSDSLTTSTPRPSNGDSPSHPYHRTFSPHFHHFVEQCLQRNPDARPSASTLLNHSFFKQIKRRASEALPELLRPVTPITNFEGSQSQDHSGIFGLVTNLEELEVDDWEF
+>DECOY_sp|Q7RTN6|STRAA_HUMAN STE20-related kinase adapter protein alpha OS=Homo sapiens OX=9606 GN=STRADA PE=1 SV=1
+FEWDDVELEELNTVLGFIGSHDQSQSGEFNTIPTVPRLLEPLAESARRKIQKFFSHNLLTSASPRADPNRQLCQEVFHHFHPSFTRHYPHSPSDGNSPRPTSTTLSDSLGSNAVSRSPSMTLEEAPITSTDLLCPVTGNLKELLMQTAPMDKFPVHGNALECATIGVSYIDSKADYGQLNQQLVEPSLWPLVKVSYKPFDHVVRQRQGHSIMSLNSRLGSLYVKGDVSILIHSAKVSRHVYGMHHIYDLAKLVGQLIYAIALENMGDMFHTCILDKASGYAMFSTVVWLENDAIFTARYPVINPHNFLKSVHLEGQLFTVMENSCAELNIRRVTVYEGTPKYRALNVTMLDEFGKGIVTLLEYCGGEPLFSSMVEQKSFSAISESSADNTKRRTDGPPQEGFLELDRLGEVIFKESVWRRIREPKSVLFSM
+>sp|Q96SI9|STRBP_HUMAN Spermatid perinuclear RNA-binding protein OS=Homo sapiens OX=9606 GN=STRBP PE=1 SV=1
+MRSIRSFANDDRHVMVKHSTIYPSPEELEAVQNMVSTVECALKHVSDWLDETNKGTKTEGETEVKKDEAGENYSKDQGGRTLCGVMRIGLVAKGLLIKDDMDLELVLMCKDKPTETLLNTVKDNLPIQIQKLTEEKYQVEQCVNEASIIIRNTKEPTLTLKVILTSPLIRDELEKKDGENVSMKDPPDLLDRQKCLNALASLRHAKWFQARANGLKSCVIVLRILRDLCNRVPTWAPLKGWPLELICEKSIGTCNRPLGAGEALRRVMECLASGILLPGGPGLHDPCERDPTDALSYMTIQQKEDITHSAQHALRLSAFGQIYKVLEMDPLPSSKPFQKYSWSVTDKEGAGSSALKRPFEDGLGDDKDPNKKMKRNLRKILDSKAIDLMNALMRLNQIRPGLQYKLLSQSGPVHAPVFTMSVDVDGTTYEASGPSKKTAKLHVAVKVLQAMGYPTGFDADIECMSSDEKSDNESKNETVSSNSSNNTGNSTTETSSTLEVRTQGPILTASGKNPVMELNEKRRGLKYELISETGGSHDKRFVMEVEVDGQKFRGAGPNKKVAKASAALAALEKLFSGPNAANNKKKKIIPQAKGVVNTAVSAAVQAVRGRGRGTLTRGAFVGATAAPGYIAPGYGTPYGYSTAAPAYGLPKRMVLLPVMKFPTYPVPHYSFF
+>DECOY_sp|Q96SI9|STRBP_HUMAN Spermatid perinuclear RNA-binding protein OS=Homo sapiens OX=9606 GN=STRBP PE=1 SV=1
+FFSYHPVPYTPFKMVPLLVMRKPLGYAPAATSYGYPTGYGPAIYGPAATAGVFAGRTLTGRGRGRVAQVAASVATNVVGKAQPIIKKKKNNAANPGSFLKELAALAASAKAVKKNPGAGRFKQGDVEVEMVFRKDHSGGTESILEYKLGRRKENLEMVPNKGSATLIPGQTRVELTSSTETTSNGTNNSSNSSVTENKSENDSKEDSSMCEIDADFGTPYGMAQLVKVAVHLKATKKSPGSAEYTTGDVDVSMTFVPAHVPGSQSLLKYQLGPRIQNLRMLANMLDIAKSDLIKRLNRKMKKNPDKDDGLGDEFPRKLASSGAGEKDTVSWSYKQFPKSSPLPDMELVKYIQGFASLRLAHQASHTIDEKQQITMYSLADTPDRECPDHLGPGGPLLIGSALCEMVRRLAEGAGLPRNCTGISKECILELPWGKLPAWTPVRNCLDRLIRLVIVCSKLGNARAQFWKAHRLSALANLCKQRDLLDPPDKMSVNEGDKKELEDRILPSTLIVKLTLTPEKTNRIIISAENVCQEVQYKEETLKQIQIPLNDKVTNLLTETPKDKCMLVLELDMDDKILLGKAVLGIRMVGCLTRGGQDKSYNEGAEDKKVETEGETKTGKNTEDLWDSVHKLACEVTSVMNQVAELEEPSPYITSHKVMVHRDDNAFSRISRM
+>sp|O43815|STRN_HUMAN Striatin OS=Homo sapiens OX=9606 GN=STRN PE=1 SV=4
+MDEQAGPGVFFSNNHPGAGGAKGLGPLAEAAAAGDGAAAAGAARAQYSLPGILHFLQHEWARFEVERAQWEVERAELQAQIAFLQGERKGQENLKKDLVRRIKMLEYALKQERAKYHKLKYGTELNQGDMKPPSYDSDEGNETEVQPQQNSQLMWKQGRQLLRQYLQEVGYTDTILDVKSKRVRALLGFSSDVTDREDDKNQDSVVNGTEAEVKETAMIAKSELTDSASVLDNFKFLESAAADFSDEDEDDDVDGREKSVIDTSTIVRKKALPDSGEDRDTKEALKEFDFLVTSEEGDNESRSAGDGTDWEKEDQCLMPEAWNVDQGVITKLKEQYKKERKGKKGVKRPNRSKLQDMLANLRDVDELPSLQPSVGSPSRPSSSRLPEHEINRADEVEALTFPPSSGKSFIMGADEALESELGLGELAGLTVANEADSLTYDIANNKDALRKTWNPKFTLRSHFDGIRALAFHPIEPVLITASEDHTLKMWNLQKTAPAKKSTSLDVEPIYTFRAHKGPVLCVVMSSNGEQCYSGGTDGLIQGWNTTNPNIDPYDSYDPSVLRGPLLGHTDAVWGLAYSAAHQRLLSCSADGTLRLWNTTEVAPALSVFNDTKELGIPASVDLVSSDPSHMVASFSKGYTSIFNMETQQRILTLESNVDTTANSSCQINRVISHPTLPISITAHEDRHIKFYDNNTGKLIHSMVAHLEAVTSLAVDPNGLYLMSGSHDCSIRLWNLESKTCIQEFTAHRKKFEESIHDVAFHPSKCYIASAGADALAKVFV
+>DECOY_sp|O43815|STRN_HUMAN Striatin OS=Homo sapiens OX=9606 GN=STRN PE=1 SV=4
+VFVKALADAGASAIYCKSPHFAVDHISEEFKKRHATFEQICTKSELNWLRISCDHSGSMLYLGNPDVALSTVAELHAVMSHILKGTNNDYFKIHRDEHATISIPLTPHSIVRNIQCSSNATTDVNSELTLIRQQTEMNFISTYGKSFSAVMHSPDSSVLDVSAPIGLEKTDNFVSLAPAVETTNWLRLTGDASCSLLRQHAASYALGWVADTHGLLPGRLVSPDYSDYPDINPNTTNWGQILGDTGGSYCQEGNSSMVVCLVPGKHARFTYIPEVDLSTSKKAPATKQLNWMKLTHDESATILVPEIPHFALARIGDFHSRLTFKPNWTKRLADKNNAIDYTLSDAENAVTLGALEGLGLESELAEDAGMIFSKGSSPPFTLAEVEDARNIEHEPLRSSSPRSPSGVSPQLSPLEDVDRLNALMDQLKSRNPRKVGKKGKREKKYQEKLKTIVGQDVNWAEPMLCQDEKEWDTGDGASRSENDGEESTVLFDFEKLAEKTDRDEGSDPLAKKRVITSTDIVSKERGDVDDDEDEDSFDAAASELFKFNDLVSASDTLESKAIMATEKVEAETGNVVSDQNKDDERDTVDSSFGLLARVRKSKVDLITDTYGVEQLYQRLLQRGQKWMLQSNQQPQVETENGEDSDYSPPKMDGQNLETGYKLKHYKAREQKLAYELMKIRRVLDKKLNEQGKREGQLFAIQAQLEAREVEWQAREVEFRAWEHQLFHLIGPLSYQARAAGAAAAGDGAAAAEALPGLGKAGGAGPHNNSFFVGPGAQEDM
+>sp|P46977|STT3A_HUMAN Dolichyl-diphosphooligosaccharide--protein glycosyltransferase subunit STT3A OS=Homo sapiens OX=9606 GN=STT3A PE=1 SV=2
+MTKFGFLRLSYEKQDTLLKLLILSMAAVLSFSTRLFAVLRFESVIHEFDPYFNYRTTRFLAEEGFYKFHNWFDDRAWYPLGRIIGGTIYPGLMITSAAIYHVLHFFHITIDIRNVCVFLAPLFSSFTTIVTYHLTKELKDAGAGLLAAAMIAVVPGYISRSVAGSYDNEGIAIFCMLLTYYMWIKAVKTGSICWAAKCALAYFYMVSSWGGYVFLINLIPLHVLVLMLTGRFSHRIYVAYCTVYCLGTILSMQISFVGFQPVLSSEHMAAFGVFGLCQIHAFVDYLRSKLNPQQFEVLFRSVISLVGFVLLTVGALLMLTGKISPWTGRFYSLLDPSYAKNNIPIIASVSEHQPTTWSSYYFDLQLLVFMFPVGLYYCFSNLSDARIFIIMYGVTSMYFSAVMVRLMLVLAPVMCILSGIGVSQVLSTYMKNLDISRPDKKSKKQQDSTYPIKNEVASGMILVMAFFLITYTFHSTWVTSEAYSSPSIVLSARGGDGSRIIFDDFREAYYWLRHNTPEDAKVMSWWDYGYQITAMANRTILVDNNTWNNTHISRVGQAMASTEEKAYEIMRELDVSYVLVIFGGLTGYSSDDINKFLWMVRIGGSTDTGKHIKENDYYTPTGEFRVDREGSPVLLNCLMYKMCYYRFGQVYTEAKRPPGFDRVRNAEIGNKDFELDVLEEAYTTEHWLVRIYKVKDLDNRGLSRT
+>DECOY_sp|P46977|STT3A_HUMAN Dolichyl-diphosphooligosaccharide--protein glycosyltransferase subunit STT3A OS=Homo sapiens OX=9606 GN=STT3A PE=1 SV=2
+TRSLGRNDLDKVKYIRVLWHETTYAEELVDLEFDKNGIEANRVRDFGPPRKAETYVQGFRYYCMKYMLCNLLVPSGERDVRFEGTPTYYDNEKIHKGTDTSGGIRVMWLFKNIDDSSYGTLGGFIVLVYSVDLERMIEYAKEETSAMAQGVRSIHTNNWTNNDVLITRNAMATIQYGYDWWSMVKADEPTNHRLWYYAERFDDFIIRSGDGGRASLVISPSSYAESTVWTSHFTYTILFFAMVLIMGSAVENKIPYTSDQQKKSKKDPRSIDLNKMYTSLVQSVGIGSLICMVPALVLMLRVMVASFYMSTVGYMIIFIRADSLNSFCYYLGVPFMFVLLQLDFYYSSWTTPQHESVSAIIPINNKAYSPDLLSYFRGTWPSIKGTLMLLAGVTLLVFGVLSIVSRFLVEFQQPNLKSRLYDVFAHIQCLGFVGFAAMHESSLVPQFGVFSIQMSLITGLCYVTCYAVYIRHSFRGTLMLVLVHLPILNILFVYGGWSSVMYFYALACKAAWCISGTKVAKIWMYYTLLMCFIAIGENDYSGAVSRSIYGPVVAIMAAALLGAGADKLEKTLHYTVITTFSSFLPALFVCVNRIDITIHFFHLVHYIAASTIMLGPYITGGIIRGLPYWARDDFWNHFKYFGEEALFRTTRYNFYPDFEHIVSEFRLVAFLRTSFSLVAAMSLILLKLLTDQKEYSLRLFGFKTM
+>sp|Q69YW2|STUM_HUMAN Protein stum homolog OS=Homo sapiens OX=9606 GN=STUM PE=2 SV=1
+MEPSHKDAETAAAAAAVAAADPRGASSSSGVVVQVREKKGPLRAAIPYMPFPVAVICLFLNTFVPGLGTFVSAFTVLCGARTDLPDRHVCCVFWLNIAAALIQILTAIVMVGWIMSIFWGMDMVILAISQGYKEQGIPQQL
+>DECOY_sp|Q69YW2|STUM_HUMAN Protein stum homolog OS=Homo sapiens OX=9606 GN=STUM PE=2 SV=1
+LQQPIGQEKYGQSIALIVMDMGWFISMIWGVMVIATLIQILAAAINLWFVCCVHRDPLDTRAGCLVTFASVFTGLGPVFTNLFLCIVAVPFPMYPIAARLPGKKERVQVVVGSSSSAGRPDAAAVAAAAAATEADKHSPEM
+>sp|O75558|STX11_HUMAN Syntaxin-11 OS=Homo sapiens OX=9606 GN=STX11 PE=1 SV=1
+MKDRLAELLDLSKQYDQQFPDGDDEFDSPHEDIVFETDHILESLYRDIRDIQDENQLLVADVKRLGKQNARFLTSMRRLSSIKRDTNSIAKAIKARGEVIHCKLRAMKELSEAAEAQHGPHSAVARISRAQYNALTLTFQRAMHDYNQAEMKQRDNCKIRIQRQLEIMGKEVSGDQIEDMFEQGKWDVFSENLLADVKGARAALNEIESRHRELLRLESRIRDVHELFLQMAVLVEKQADTLNVIELNVQKTVDYTGQAKAQVRKAVQYEEKNPCRTLCCFCCPCLK
+>DECOY_sp|O75558|STX11_HUMAN Syntaxin-11 OS=Homo sapiens OX=9606 GN=STX11 PE=1 SV=1
+KLCPCCFCCLTRCPNKEEYQVAKRVQAKAQGTYDVTKQVNLEIVNLTDAQKEVLVAMQLFLEHVDRIRSELRLLERHRSEIENLAARAGKVDALLNESFVDWKGQEFMDEIQDGSVEKGMIELQRQIRIKCNDRQKMEAQNYDHMARQFTLTLANYQARSIRAVASHPGHQAEAAESLEKMARLKCHIVEGRAKIAKAISNTDRKISSLRRMSTLFRANQKGLRKVDAVLLQNEDQIDRIDRYLSELIHDTEFVIDEHPSDFEDDGDPFQQDYQKSLDLLEALRDKM
+>sp|P56962|STX17_HUMAN Syntaxin-17 OS=Homo sapiens OX=9606 GN=STX17 PE=1 SV=2
+MSEDEEKVKLRRLEPAIQKFIKIVIPTDLERLRKHQINIEKYQRCRIWDKLHEEHINAGRTVQQLRSNIREIEKLCLKVRKDDLVLLKRMIDPVKEEASAATAEFLQLHLESVEELKKQFNDEETLLQPPLTRSMTVGGAFHTTEAEASSQSLTQIYALPEIPQDQNAAESWETLEADLIELSQLVTDFSLLVNSQQEKIDSIADHVNSAAVNVEEGTKNLGKAAKYKLAALPVAGALIGGMVGGPIGLLAGFKVAGIAAALGGGVLGFTGGKLIQRKKQKMMEKLTSSCPDLPSQTDKKCS
+>DECOY_sp|P56962|STX17_HUMAN Syntaxin-17 OS=Homo sapiens OX=9606 GN=STX17 PE=1 SV=2
+SCKKDTQSPLDPCSSTLKEMMKQKKRQILKGGTFGLVGGGLAAAIGAVKFGALLGIPGGVMGGILAGAVPLAALKYKAAKGLNKTGEEVNVAASNVHDAISDIKEQQSNVLLSFDTVLQSLEILDAELTEWSEAANQDQPIEPLAYIQTLSQSSAEAETTHFAGGVTMSRTLPPQLLTEEDNFQKKLEEVSELHLQLFEATAASAEEKVPDIMRKLLVLDDKRVKLCLKEIERINSRLQQVTRGANIHEEHLKDWIRCRQYKEINIQHKRLRELDTPIVIKIFKQIAPELRRLKVKEEDESM
+>sp|P61266|STX1B_HUMAN Syntaxin-1B OS=Homo sapiens OX=9606 GN=STX1B PE=1 SV=1
+MKDRTQELRSAKDSDDEEEVVHVDRDHFMDEFFEQVEEIRGCIEKLSEDVEQVKKQHSAILAAPNPDEKTKQELEDLTADIKKTANKVRSKLKAIEQSIEQEEGLNRSSADLRIRKTQHSTLSRKFVEVMTEYNATQSKYRDRCKDRIQRQLEITGRTTTNEELEDMLESGKLAIFTDDIKMDSQMTKQALNEIETRHNEIIKLETSIRELHDMFVDMAMLVESQGEMIDRIEYNVEHSVDYVERAVSDTKKAVKYQSKARRKKIMIIICCVVLGVVLASSIGGTLGL
+>DECOY_sp|P61266|STX1B_HUMAN Syntaxin-1B OS=Homo sapiens OX=9606 GN=STX1B PE=1 SV=1
+LGLTGGISSALVVGLVVCCIIIMIKKRRAKSQYKVAKKTDSVAREVYDVSHEVNYEIRDIMEGQSEVLMAMDVFMDHLERISTELKIIENHRTEIENLAQKTMQSDMKIDDTFIALKGSELMDELEENTTTRGTIELQRQIRDKCRDRYKSQTANYETMVEVFKRSLTSHQTKRIRLDASSRNLGEEQEISQEIAKLKSRVKNATKKIDATLDELEQKTKEDPNPAALIASHQKKVQEVDESLKEICGRIEEVQEFFEDMFHDRDVHVVEEEDDSDKASRLEQTRDKM
+>sp|Q9BXA5|SUCR1_HUMAN Succinate receptor 1 OS=Homo sapiens OX=9606 GN=SUCNR1 PE=1 SV=2
+MLGIMAWNATCKNWLAAEAALEKYYLSIFYGIEFVVGVLGNTIVVYGYIFSLKNWNSSNIYLFNLSVSDLAFLCTLPMLIRSYANGNWIYGDVLCISNRYVLHANLYTSILFLTFISIDRYLIIKYPFREHLLQKKEFAILISLAIWVLVTLELLPILPLINPVITDNGTTCNDFASSGDPNYNLIYSMCLTLLGFLIPLFVMCFFYYKIALFLKQRNRQVATALPLEKPLNLVIMAVVIFSVLFTPYHVMRNVRIASRLGSWKQYQCTQVVINSFYIVTRPLAFLNSVINPVFYFLLGDHFRDMLMNQLRHNFKSLTSFSRWAHELLLSFREK
+>DECOY_sp|Q9BXA5|SUCR1_HUMAN Succinate receptor 1 OS=Homo sapiens OX=9606 GN=SUCNR1 PE=1 SV=2
+KERFSLLLEHAWRSFSTLSKFNHRLQNMLMDRFHDGLLFYFVPNIVSNLFALPRTVIYFSNIVVQTCQYQKWSGLRSAIRVNRMVHYPTFLVSFIVVAMIVLNLPKELPLATAVQRNRQKLFLAIKYYFFCMVFLPILFGLLTLCMSYILNYNPDGSSAFDNCTTGNDTIVPNILPLIPLLELTVLVWIALSILIAFEKKQLLHERFPYKIILYRDISIFTLFLISTYLNAHLVYRNSICLVDGYIWNGNAYSRILMPLTCLFALDSVSLNFLYINSSNWNKLSFIYGYVVITNGLVGVVFEIGYFISLYYKELAAEAALWNKCTANWAMIGLM
+>sp|Q8IWU6|SULF1_HUMAN Extracellular sulfatase Sulf-1 OS=Homo sapiens OX=9606 GN=SULF1 PE=1 SV=1
+MKYSCCALVLAVLGTELLGSLCSTVRSPRFRGRIQQERKNIRPNIILVLTDDQDVELGSLQVMNKTRKIMEHGGATFINAFVTTPMCCPSRSSMLTGKYVHNHNVYTNNENCSSPSWQAMHEPRTFAVYLNNTGYRTAFFGKYLNEYNGSYIPPGWREWLGLIKNSRFYNYTVCRNGIKEKHGFDYAKDYFTDLITNESINYFKMSKRMYPHRPVMMVISHAAPHGPEDSAPQFSKLYPNASQHITPSYNYAPNMDKHWIMQYTGPMLPIHMEFTNILQRKRLQTLMSVDDSVERLYNMLVETGELENTYIIYTADHGYHIGQFGLVKGKSMPYDFDIRVPFFIRGPSVEPGSIVPQIVLNIDLAPTILDIAGLDTPPDVDGKSVLKLLDPEKPGNRFRTNKKAKIWRDTFLVERGKFLRKKEESSKNIQQSNHLPKYERVKELCQQARYQTACEQPGQKWQCIEDTSGKLRIHKCKGPSDLLTVRQSTRNLYARGFHDKDKECSCRESGYRASRSQRKSQRQFLRNQGTPKYKPRFVHTRQTRSLSVEFEGEIYDINLEEEEELQVLQPRNIAKRHDEGHKGPRDLQASSGGNRGRMLADSSNAVGPPTTVRVTHKCFILPNDSIHCERELYQSARAWKDHKAYIDKEIEALQDKIKNLREVRGHLKRRKPEECSCSKQSYYNKEKGVKKQEKLKSHLHPFKEAAQEVDSKLQLFKENNRRRKKERKEKRRQRKGEECSLPGLTCFTHDNNHWQTAPFWNLGSFCACTSSNNNTYWCLRTVNETHNFLFCEFATGFLEYFDMNTDPYQLTNTVHTVERGILNQLHVQLMELRSCQGYKQCNPRPKNLDVGNKDGGSYDLHRGQLWDGWEG
+>DECOY_sp|Q8IWU6|SULF1_HUMAN Extracellular sulfatase Sulf-1 OS=Homo sapiens OX=9606 GN=SULF1 PE=1 SV=1
+GEWGDWLQGRHLDYSGGDKNGVDLNKPRPNCQKYGQCSRLEMLQVHLQNLIGREVTHVTNTLQYPDTNMDFYELFGTAFECFLFNHTENVTRLCWYTNNNSSTCACFSGLNWFPATQWHNNDHTFCTLGPLSCEEGKRQRRKEKREKKRRRNNEKFLQLKSDVEQAAEKFPHLHSKLKEQKKVGKEKNYYSQKSCSCEEPKRRKLHGRVERLNKIKDQLAEIEKDIYAKHDKWARASQYLERECHISDNPLIFCKHTVRVTTPPGVANSSDALMRGRNGGSSAQLDRPGKHGEDHRKAINRPQLVQLEEEEELNIDYIEGEFEVSLSRTQRTHVFRPKYKPTGQNRLFQRQSKRQSRSARYGSERCSCEKDKDHFGRAYLNRTSQRVTLLDSPGKCKHIRLKGSTDEICQWKQGPQECATQYRAQQCLEKVREYKPLHNSQQINKSSEEKKRLFKGREVLFTDRWIKAKKNTRFRNGPKEPDLLKLVSKGDVDPPTDLGAIDLITPALDINLVIQPVISGPEVSPGRIFFPVRIDFDYPMSKGKVLGFQGIHYGHDATYIIYTNELEGTEVLMNYLREVSDDVSMLTQLRKRQLINTFEMHIPLMPGTYQMIWHKDMNPAYNYSPTIHQSANPYLKSFQPASDEPGHPAAHSIVMMVPRHPYMRKSMKFYNISENTILDTFYDKAYDFGHKEKIGNRCVTYNYFRSNKILGLWERWGPPIYSGNYENLYKGFFATRYGTNNLYVAFTRPEHMAQWSPSSCNENNTYVNHNHVYKGTLMSSRSPCCMPTTVFANIFTAGGHEMIKRTKNMVQLSGLEVDQDDTLVLIINPRINKREQQIRGRFRPSRVTSCLSGLLETGLVALVLACCSYKM
+>sp|Q8IWU5|SULF2_HUMAN Extracellular sulfatase Sulf-2 OS=Homo sapiens OX=9606 GN=SULF2 PE=1 SV=1
+MGPPSLVLCLLSATVFSLLGGSSAFLSHHRLKGRFQRDRRNIRPNIILVLTDDQDVELGSMQVMNKTRRIMEQGGAHFINAFVTTPMCCPSRSSILTGKYVHNHNTYTNNENCSSPSWQAQHESRTFAVYLNSTGYRTAFFGKYLNEYNGSYVPPGWKEWVGLLKNSRFYNYTLCRNGVKEKHGSDYSKDYLTDLITNDSVSFFRTSKKMYPHRPVLMVISHAAPHGPEDSAPQYSRLFPNASQHITPSYNYAPNPDKHWIMRYTGPMKPIHMEFTNMLQRKRLQTLMSVDDSMETIYNMLVETGELDNTYIVYTADHGYHIGQFGLVKGKSMPYEFDIRVPFYVRGPNVEAGCLNPHIVLNIDLAPTILDIAGLDIPADMDGKSILKLLDTERPVNRFHLKKKMRVWRDSFLVERGKLLHKRDNDKVDAQEENFLPKYQRVKDLCQRAEYQTACEQLGQKWQCVEDATGKLKLHKCKGPMRLGGSRALSNLVPKYYGQGSEACTCDSGDYKLSLAGRRKKLFKKKYKASYVRSRSIRSVAIEVDGRVYHVGLGDAAQPRNLTKRHWPGAPEDQDDKDGGDFSGTGGLPDYSAANPIKVTHRCYILENDTVQCDLDLYKSLQAWKDHKLHIDHEIETLQNKIKNLREVRGHLKKKRPEECDCHKISYHTQHKGRLKHRGSSLHPFRKGLQEKDKVWLLREQKRKKKLRKLLKRLQNNDTCSMPGLTCFTHDNQHWQTAPFWTLGPFCACTSANNNTYWCMRTINETHNFLFCEFATGFLEYFDLNTDPYQLMNAVNTLDRDVLNQLHVQLMELRSCKGYKQCNPRTRNMDLGLKDGGSYEQYRQFQRRKWPEMKRPSSKSLGQLWEGWEG
+>DECOY_sp|Q8IWU5|SULF2_HUMAN Extracellular sulfatase Sulf-2 OS=Homo sapiens OX=9606 GN=SULF2 PE=1 SV=1
+GEWGEWLQGLSKSSPRKMEPWKRRQFQRYQEYSGGDKLGLDMNRTRPNCQKYGKCSRLEMLQVHLQNLVDRDLTNVANMLQYPDTNLDFYELFGTAFECFLFNHTENITRMCWYTNNNASTCACFPGLTWFPATQWHQNDHTFCTLGPMSCTDNNQLRKLLKRLKKKRKQERLLWVKDKEQLGKRFPHLSSGRHKLRGKHQTHYSIKHCDCEEPRKKKLHGRVERLNKIKNQLTEIEHDIHLKHDKWAQLSKYLDLDCQVTDNELIYCRHTVKIPNAASYDPLGGTGSFDGGDKDDQDEPAGPWHRKTLNRPQAADGLGVHYVRGDVEIAVSRISRSRVYSAKYKKKFLKKRRGALSLKYDGSDCTCAESGQGYYKPVLNSLARSGGLRMPGKCKHLKLKGTADEVCQWKQGLQECATQYEARQCLDKVRQYKPLFNEEQADVKDNDRKHLLKGREVLFSDRWVRMKKKLHFRNVPRETDLLKLISKGDMDAPIDLGAIDLITPALDINLVIHPNLCGAEVNPGRVYFPVRIDFEYPMSKGKVLGFQGIHYGHDATYVIYTNDLEGTEVLMNYITEMSDDVSMLTQLRKRQLMNTFEMHIPKMPGTYRMIWHKDPNPAYNYSPTIHQSANPFLRSYQPASDEPGHPAAHSIVMLVPRHPYMKKSTRFFSVSDNTILDTLYDKSYDSGHKEKVGNRCLTYNYFRSNKLLGVWEKWGPPVYSGNYENLYKGFFATRYGTSNLYVAFTRSEHQAQWSPSSCNENNTYTNHNHVYKGTLISSRSPCCMPTTVFANIFHAGGQEMIRRTKNMVQMSGLEVDQDDTLVLIINPRINRRDRQFRGKLRHHSLFASSGGLLSFVTASLLCLVLSPPGM
+>sp|Q8NBJ7|SUMF2_HUMAN Inactive C-alpha-formylglycine-generating enzyme 2 OS=Homo sapiens OX=9606 GN=SUMF2 PE=1 SV=2
+MARHGLPLLPLLSLLVGAWLKLGNGQATSMVQLQGGRFLMGTNSPDSRDGDGPVREATVKPFAIDIFPVTNKDFRDFVREKKYRTEAEMFGWSFVFEDFVSDELRNKATQPMKSVLWWLPVEKAFWRQPAGPGSGIRERLEHPVLHVSWNDARAYCAWRGKRLPTEEEWEFAARGGLKGQVYPWGNWFQPNRTNLWQGKFPKGDKAEDGFHGVSPVNAFPAQNNYGLYDLLGNVWEWTASPYQAAEQDMRVLRGASWIDTADGSANHRARVTTRMGNTPDSASDNLGFRCAADAGRPPGEL
+>DECOY_sp|Q8NBJ7|SUMF2_HUMAN Inactive C-alpha-formylglycine-generating enzyme 2 OS=Homo sapiens OX=9606 GN=SUMF2 PE=1 SV=2
+LEGPPRGADAACRFGLNDSASDPTNGMRTTVRARHNASGDATDIWSAGRLVRMDQEAAQYPSATWEWVNGLLDYLGYNNQAPFANVPSVGHFGDEAKDGKPFKGQWLNTRNPQFWNGWPYVQGKLGGRAAFEWEEETPLRKGRWACYARADNWSVHLVPHELRERIGSGPGAPQRWFAKEVPLWWLVSKMPQTAKNRLEDSVFDEFVFSWGFMEAETRYKKERVFDRFDKNTVPFIDIAFPKVTAERVPGDGDRSDPSNTGMLFRGGQLQVMSTAQGNGLKLWAGVLLSLLPLLPLGHRAM
+>sp|M5A8F1|SUPYN_HUMAN Suppressyn OS=Homo sapiens OX=9606 GN=ERVH48-1 PE=1 SV=1
+MACIYPTTFYTSLPTKSLNMGISLTTILILSVAVLLSTAAPPSCRECYQSLHYRGEMQQYFTYHTHIERSCYGNLIEECVESGKSYYKVKNLGVCGSRNGAICPRGKQWLCFTKIGQWGVNTQVLEDIKREQIIAKAKASKPTTPPENRPRHFHSFIQKL
+>DECOY_sp|M5A8F1|SUPYN_HUMAN Suppressyn OS=Homo sapiens OX=9606 GN=ERVH48-1 PE=1 SV=1
+LKQIFSHFHRPRNEPPTTPKSAKAKAIIQERKIDELVQTNVGWQGIKTFCLWQKGRPCIAGNRSGCVGLNKVKYYSKGSEVCEEILNGYCSREIHTHYTFYQQMEGRYHLSQYCERCSPPAATSLLVAVSLILITTLSIGMNLSKTPLSTYFTTPYICAM
+>sp|O75683|SURF6_HUMAN Surfeit locus protein 6 OS=Homo sapiens OX=9606 GN=SURF6 PE=1 SV=3
+MASLLAKDAYLQSLAKKICSHSAPEQQARTRAGKTQGSETAGPPKKKRKKTQKKFRKREEKAAEHKAKSLGEKSPAASGARRPEAAKEEAAWASSSAGNPADGLATEPESVFALDVLRQRLHEKIQEARGQGSAKELSPAALEKRRRRKQERDRKKRKRKELRAKEKARKAEEATEAQEVVEATPEGACTEPREPPGLIFNKVEVSEDEPASKAQRRKEKRQRVKGNLTPLTGRNYRQLLERLQARQSRLDELRGQDEGKAQELEAKMKWTNLLYKAEGVKIRDDERLLQEALKRKEKRRAQRQRRWEKRTAGVVEKMQQRQDRRRQNLRRKKAARAERRLLRARKKGRILPQDLERAGLV
+>DECOY_sp|O75683|SURF6_HUMAN Surfeit locus protein 6 OS=Homo sapiens OX=9606 GN=SURF6 PE=1 SV=3
+VLGARELDQPLIRGKKRARLLRREARAAKKRRLNQRRRDQRQQMKEVVGATRKEWRRQRQARRKEKRKLAEQLLREDDRIKVGEAKYLLNTWKMKAELEQAKGEDQGRLEDLRSQRAQLRELLQRYNRGTLPTLNGKVRQRKEKRRQAKSAPEDESVEVKNFILGPPERPETCAGEPTAEVVEQAETAEEAKRAKEKARLEKRKRKKRDREQKRRRRKELAAPSLEKASGQGRAEQIKEHLRQRLVDLAFVSEPETALGDAPNGASSSAWAAEEKAAEPRRAGSAAPSKEGLSKAKHEAAKEERKRFKKQTKKRKKKPPGATESGQTKGARTRAQQEPASHSCIKKALSQLYADKALLSAM
+>sp|Q96L08|SUSD3_HUMAN Sushi domain-containing protein 3 OS=Homo sapiens OX=9606 GN=SUSD3 PE=2 SV=1
+MRWAAATLRGKARPRGRAGVTTPAPGNRTGTCAKLRLPPQATFQVLRGNGASVGTVLMFRCPSNHQMVGSGLLTCTWKGSIAEWSSGSPVCKLVPPHETFGFKVAVIASIVSCAIILLMSMAFLTCCLLKCVKKSKRRRSNRSAQLWSQLKDEDLETVQAAYLGLKHFNKPVSGPSQAHDNHSFTTDHGESTSKLASVTRSVDKDPGIPRALSLSGSSSSPQAQVMVHMANPRQPLPASGLATGMPQQPAAYALG
+>DECOY_sp|Q96L08|SUSD3_HUMAN Sushi domain-containing protein 3 OS=Homo sapiens OX=9606 GN=SUSD3 PE=2 SV=1
+GLAYAAPQQPMGTALGSAPLPQRPNAMHVMVQAQPSSSSGSLSLARPIGPDKDVSRTVSALKSTSEGHDTTFSHNDHAQSPGSVPKNFHKLGLYAAQVTELDEDKLQSWLQASRNSRRRKSKKVCKLLCCTLFAMSMLLIIACSVISAIVAVKFGFTEHPPVLKCVPSGSSWEAISGKWTCTLLGSGVMQHNSPCRFMLVTGVSAGNGRLVQFTAQPPLRLKACTGTRNGPAPTTVGARGRPRAKGRLTAAAWRM
+>sp|O60279|SUSD5_HUMAN Sushi domain-containing protein 5 OS=Homo sapiens OX=9606 GN=SUSD5 PE=1 SV=3
+MTAEGPSPPARWHRRLPGLWAAALLLLGLPRLSVRADGKFFVLESQNGSQGLQLEAARLSCKSRGAHLASADELRRVVQDCSFAVCTTGWLADGTLGTTVCSKGSGEQQIMRAVDVRIESNPVPGGTYSALCIKDEEKPCGDPPSFPHTILQGRTGLEMGDELLYVCAPGHIMGHRETAFTLLCNSCGEWYGLVQACGKDEAEAHIDYEDNFPDDRSVSFRELMEDSRTEADEDRGQGDSSEEAPKQDRLVSISVGRENIARDKVFVPTTGLPGAGSSVPADSPGSRLLQKHLFWFPAEAFHKPGLEKEVDDDTKKQFSAGDNHSGVKLVPGEPETKVIYGNTDGPSGPFVGKNDSKAGDPVVSSSDESWLDGYPVTEGAWRKTEAEEEEDGDRGDGSVGLDENVLVTPDQPILVEVKKPKSSTLTPSEGMTHSSVLPSQMLDVEALALRPVNASETEGIGDGDLTKYQSTLPWRFITEESPMATLSYELTSSTLEILTVNTVKQTPNHIPSTIMATTQPPVETTVPEIQDSFPYLLSEDFFGQEGPGPGASEELHPTLESCVGDGCPGLSRGPVIATIVTVLCLLLLLAGVGMVWGYRKCQHKSSVYKLNVGQRQARHYHQQIEMEKV
+>DECOY_sp|O60279|SUSD5_HUMAN Sushi domain-containing protein 5 OS=Homo sapiens OX=9606 GN=SUSD5 PE=1 SV=3
+VKEMEIQQHYHRAQRQGVNLKYVSSKHQCKRYGWVMGVGALLLLLCLVTVITAIVPGRSLGPCGDGVCSELTPHLEESAGPGPGEQGFFDESLLYPFSDQIEPVTTEVPPQTTAMITSPIHNPTQKVTNVTLIELTSSTLEYSLTAMPSEETIFRWPLTSQYKTLDGDGIGETESANVPRLALAEVDLMQSPLVSSHTMGESPTLTSSKPKKVEVLIPQDPTVLVNEDLGVSGDGRDGDEEEEAETKRWAGETVPYGDLWSEDSSSVVPDGAKSDNKGVFPGSPGDTNGYIVKTEPEGPVLKVGSHNDGASFQKKTDDDVEKELGPKHFAEAPFWFLHKQLLRSGPSDAPVSSGAGPLGTTPVFVKDRAINERGVSISVLRDQKPAEESSDGQGRDEDAETRSDEMLERFSVSRDDPFNDEYDIHAEAEDKGCAQVLGYWEGCSNCLLTFATERHGMIHGPACVYLLEDGMELGTRGQLITHPFSPPDGCPKEEDKICLASYTGGPVPNSEIRVDVARMIQQEGSGKSCVTTGLTGDALWGTTCVAFSCDQVVRRLEDASALHAGRSKCSLRAAELQLGQSGNQSELVFFKGDARVSLRPLGLLLLAAAWLGPLRRHWRAPPSPGEATM
+>sp|Q7L0J3|SV2A_HUMAN Synaptic vesicle glycoprotein 2A OS=Homo sapiens OX=9606 GN=SV2A PE=1 SV=1
+MEEGFRDRAAFIRGAKDIAKEVKKHAAKKVVKGLDRVQDEYSRRSYSRFEEEDDDDDFPAPSDGYYRGEGTQDEEEGGASSDATEGHDEDDEIYEGEYQGIPRAESGGKGERMADGAPLAGVRGGLSDGEGPPGGRGEAQRRKEREELAQQYEAILRECGHGRFQWTLYFVLGLALMADGVEVFVVGFVLPSAEKDMCLSDSNKGMLGLIVYLGMMVGAFLWGGLADRLGRRQCLLISLSVNSVFAFFSSFVQGYGTFLFCRLLSGVGIGGSIPIVFSYFSEFLAQEKRGEHLSWLCMFWMIGGVYAAAMAWAIIPHYGWSFQMGSAYQFHSWRVFVLVCAFPSVFAIGALTTQPESPRFFLENGKHDEAWMVLKQVHDTNMRAKGHPERVFSVTHIKTIHQEDELIEIQSDTGTWYQRWGVRALSLGGQVWGNFLSCFGPEYRRITLMMMGVWFTMSFSYYGLTVWFPDMIRHLQAVDYASRTKVFPGERVEHVTFNFTLENQIHRGGQYFNDKFIGLRLKSVSFEDSLFEECYFEDVTSSNTFFRNCTFINTVFYNTDLFEYKFVNSRLINSTFLHNKEGCPLDVTGTGEGAYMVYFVSFLGTLAVLPGNIVSALLMDKIGRLRMLAGSSVMSCVSCFFLSFGNSESAMIALLCLFGGVSIASWNALDVLTVELYPSDKRTTAFGFLNALCKLAAVLGISIFTSFVGITKAAPILFASAALALGSSLALKLPETRGQVLQ
+>DECOY_sp|Q7L0J3|SV2A_HUMAN Synaptic vesicle glycoprotein 2A OS=Homo sapiens OX=9606 GN=SV2A PE=1 SV=1
+QLVQGRTEPLKLALSSGLALAASAFLIPAAKTIGVFSTFISIGLVAALKCLANLFGFATTRKDSPYLEVTLVDLANWSAISVGGFLCLLAIMASESNGFSLFFCSVCSMVSSGALMRLRGIKDMLLASVINGPLVALTGLFSVFYVMYAGEGTGTVDLPCGEKNHLFTSNILRSNVFKYEFLDTNYFVTNIFTCNRFFTNSSTVDEFYCEEFLSDEFSVSKLRLGIFKDNFYQGGRHIQNELTFNFTVHEVREGPFVKTRSAYDVAQLHRIMDPFWVTLGYYSFSMTFWVGMMMLTIRRYEPGFCSLFNGWVQGGLSLARVGWRQYWTGTDSQIEILEDEQHITKIHTVSFVREPHGKARMNTDHVQKLVMWAEDHKGNELFFRPSEPQTTLAGIAFVSPFACVLVFVRWSHFQYASGMQFSWGYHPIIAWAMAAAYVGGIMWFMCLWSLHEGRKEQALFESFYSFVIPISGGIGVGSLLRCFLFTGYGQVFSSFFAFVSNVSLSILLCQRRGLRDALGGWLFAGVMMGLYVILGLMGKNSDSLCMDKEASPLVFGVVFVEVGDAMLALGLVFYLTWQFRGHGCERLIAEYQQALEEREKRRQAEGRGGPPGEGDSLGGRVGALPAGDAMREGKGGSEARPIGQYEGEYIEDDEDHGETADSSAGGEEEDQTGEGRYYGDSPAPFDDDDDEEEFRSYSRRSYEDQVRDLGKVVKKAAHKKVEKAIDKAGRIFAARDRFGEEM
+>sp|Q5JTZ9|SYAM_HUMAN Alanine--tRNA ligase, mitochondrial OS=Homo sapiens OX=9606 GN=AARS2 PE=1 SV=1
+MAASVAAAARRLRRAIRRSPAWRGLSHRPLSSEPPAAKASAVRAAFLNFFRDRHGHRLVPSASVRPRGDPSLLFVNAGMNQFKPIFLGTVDPRSEMAGFRRVANSQKCVRAGGHHNDLEDVGRDLSHHTFFEMLGNWAFGGEYFKEEACNMAWELLTQVYGIPEERLWISYFDGDPKAGLDPDLETRDIWLSLGVPASRVLSFGPQENFWEMGDTGPCGPCTEIHYDLAGGVGAPQLVELWNLVFMQHNREADGSLQPLPQRHVDTGMGLERLVAVLQGKHSTYDTDLFSPLLNAIQQGCRAPPYLGRVGVADEGRTDTAYRVVADHIRTLSVCISDGIFPGMSGPPLVLRRILRRAVRFSMEILKAPPGFLGSLVPVVVETLGDAYPELQRNSAQIANLVSEDEAAFLASLERGRRIIDRTLRTLGPSDMFPAEVAWSLSLCGDLGLPLDMVELMLEEKGVQLDSAGLERLAQEEAQHRARQAEPVQKQGLWLDVHALGELQRQGVPPTDDSPKYNYSLRPSGSYEFGTCEAQVLQLYTEDGTAVASVGKGQRCGLLLDRTNFYAEQGGQASDRGYLVRAGQEDVLFPVARAQVCGGFILHEAVAPECLRLGDQVQLHVDEAWRLGCMAKHTATHLLNWALRQTLGPGTEQQGSHLNPEQLRLDVTTQTPLTPEQLRAVENTVQEAVGQDEAVYMEEVPLALTAQVPGLRSLDEVYPDPVRVVSVGVPVAHALDPASQAALQTSVELCCGTHLLRTGAVGDLVIIGDRQLSKGTTRLLAVTGEQAQQARELGQSLAQEVKAATERLSLGSRDVAEALRLSKDIGRLIEAVETAVMPQWQRRELLATVKMLQRRANTAIRKLQMGQAAKKTQELLERHSKGPLIVDTVSAESLSVLVKVVRQLCEQAPSTSVLLLSPQPMGKVLCACQVAQGAMPTFTAEAWALAVCSHMGGKAWGSRVVAQGTGSTTDLEAALSIAQTYALSQL
+>DECOY_sp|Q5JTZ9|SYAM_HUMAN Alanine--tRNA ligase, mitochondrial OS=Homo sapiens OX=9606 GN=AARS2 PE=1 SV=1
+LQSLAYTQAISLAAELDTTSGTGQAVVRSGWAKGGMHSCVALAWAEATFTPMAGQAVQCACLVKGMPQPSLLLVSTSPAQECLQRVVKVLVSLSEASVTDVILPGKSHRELLEQTKKAAQGMQLKRIATNARRQLMKVTALLERRQWQPMVATEVAEILRGIDKSLRLAEAVDRSGLSLRETAAKVEQALSQGLERAQQAQEGTVALLRTTGKSLQRDGIIVLDGVAGTRLLHTGCCLEVSTQLAAQSAPDLAHAVPVGVSVVRVPDPYVEDLSRLGPVQATLALPVEEMYVAEDQGVAEQVTNEVARLQEPTLPTQTTVDLRLQEPNLHSGQQETGPGLTQRLAWNLLHTATHKAMCGLRWAEDVHLQVQDGLRLCEPAVAEHLIFGGCVQARAVPFLVDEQGARVLYGRDSAQGGQEAYFNTRDLLLGCRQGKGVSAVATGDETYLQLVQAECTGFEYSGSPRLSYNYKPSDDTPPVGQRQLEGLAHVDLWLGQKQVPEAQRARHQAEEQALRELGASDLQVGKEELMLEVMDLPLGLDGCLSLSWAVEAPFMDSPGLTRLTRDIIRRGRELSALFAAEDESVLNAIQASNRQLEPYADGLTEVVVPVLSGLFGPPAKLIEMSFRVARRLIRRLVLPPGSMGPFIGDSICVSLTRIHDAVVRYATDTRGEDAVGVRGLYPPARCGQQIANLLPSFLDTDYTSHKGQLVAVLRELGMGTDVHRQPLPQLSGDAERNHQMFVLNWLEVLQPAGVGGALDYHIETCPGCPGTDGMEWFNEQPGFSLVRSAPVGLSLWIDRTELDPDLGAKPDGDFYSIWLREEPIGYVQTLLEWAMNCAEEKFYEGGFAWNGLMEFFTHHSLDRGVDELDNHHGGARVCKQSNAVRRFGAMESRPDVTGLFIPKFQNMGANVFLLSPDGRPRVSASPVLRHGHRDRFFNLFAARVASAKAAPPESSLPRHSLGRWAPSRRIARRLRRAAAAVSAAM
+>sp|Q96A49|SYAP1_HUMAN Synapse-associated protein 1 OS=Homo sapiens OX=9606 GN=SYAP1 PE=1 SV=1
+MFRGLSSWLGLQQPVAGGGQPNGDAPPEQPSETVAESAEEELQQAGDQELLHQAKDFGNYLFNFASAATKKITESVAETAQTIKKSVEEGKIDGIIDKTIIGDFQKEQKKFVEEQHTKKSEAAVPPWVDTNDEETIQQQILALSADKRNFLRDPPAGVQFNFDFDQMYPVALVMLQEDELLSKMRFALVPKLVKEEVFWRNYFYRVSLIKQSAQLTALAAQQQAAGKEEKSNGREQDLPLAEAVRPKTPPVVIKSQLKTQEDEEEISTSPGVSEFVSDAFDACNLNQEDLRKEMEQLVLDKKQEETAVLEEDSADWEKELQQELQEYEVVTESEKRDENWDKEIEKMLQEEN
+>DECOY_sp|Q96A49|SYAP1_HUMAN Synapse-associated protein 1 OS=Homo sapiens OX=9606 GN=SYAP1 PE=1 SV=1
+NEEQLMKEIEKDWNEDRKESETVVEYEQLEQQLEKEWDASDEELVATEEQKKDLVLQEMEKRLDEQNLNCADFADSVFESVGPSTSIEEEDEQTKLQSKIVVPPTKPRVAEALPLDQERGNSKEEKGAAQQQAALATLQASQKILSVRYFYNRWFVEEKVLKPVLAFRMKSLLEDEQLMVLAVPYMQDFDFNFQVGAPPDRLFNRKDASLALIQQQITEEDNTDVWPPVAAESKKTHQEEVFKKQEKQFDGIITKDIIGDIKGEEVSKKITQATEAVSETIKKTAASAFNFLYNGFDKAQHLLEQDGAQQLEEEASEAVTESPQEPPADGNPQGGGAVPQQLGLWSSLGRFM
+>sp|Q5VT97|SYDE2_HUMAN Rho GTPase-activating protein SYDE2 OS=Homo sapiens OX=9606 GN=SYDE2 PE=1 SV=2
+MHDLPPDSGARRGGRGLADHSFPAGARAPGQPPSRGAAYRRACPRDGERGGGGRPRQQVSPPRSPQREPRGGQLRTPRMRPSCSRSLESLRVGAKPPPFQRWPSDSWIRCGAHRDWDEPPPRGGRMDGWSGDRARAAAPTGLQPPGCKDHGCSSGSPFRDPAGSSVIRSGKGDRQEGPSFLRPPAVTVKKLQKWMYKGRLLSLGMKGRARGTAPKVTGTQAASPNVGALKVRENRVLSVPPDQRITLTDLFENAYGSSMKGRELEELKDNIEFRGHKPLNSITVSKKRNWLYQSTLRPLNLEEENKKCQDRSHLSISPVSLPKHQLSQSFLKSSKEYCTYVVCNATNSSLSKNCALDFNEENDADDEGEIWYNPIPEDDDLGISSALSFGEADSAVLKLPAVNLSMLSGSDLMKAERHTEDSLCSSEHAGDIQTTRSNGMNPIHPAHSTEFVQQYKQKLGHKTQEGIMVEDSPMLKSPFAGSGILAATNSTELGIMEPSSPNPSPVKKGSSINWSLPDKIKSPRTVRKLSMKMKKLPEFSRKLSVKGTLNYINSPDNTPSLSKYNCREVHHTDILPSGNTTTAAKRNVISRYHLDTSVSSQQSYQKKNSMSSKYSCKGGYLSDGDSPELTTKASKHGSENKFGKGKEIISNSCSKNEIDIDAFRHYSFSDQPKCSQYISGLMSVHFYGAEDLKPPRIDSKDVFCAIQVDSVNKARTALLTCRTTFLDMDHTFNIEIENAQHLKLVVFSWEPTPRKNRVCCHGTVVLPTLFRVTKTHQLAVKLEPRGLIYVKVTLMEQWENSLHGLDINQEPIIFGVDIQKVVEKENIGLMVPLLIQKCIMEIEKRGCQVVGLYRLCGSAAVKKELREAFERDSKAVGLCENQYPDINVITGVLKDYLRELPSPLITKQLYEAVLDAMAKSPLKMSSNGCENDPGDSKYTVDLLDCLPEIEKATLKMLLDHLKLVASYHEVNKMTCQNLAVCFGPVLLSQRQEPSTHNNRVFTDSEELASALDFKKHIEVLHYLLQLWPVQRLTVKKSTDNLFPEQKSSLNYLRQKKERPHMLNLSGTDSSGVLRPRQNRLDSPLSNRYAGDWSSCGENYFLNTKENLNDVDYDDVPSEDRKIGENYSKMDGPEVMIEQPIPMSKECTFQTYLTMQTVESTVDRKNNLKDLQESIDTLIGNLERELNKNKLNMSF
+>DECOY_sp|Q5VT97|SYDE2_HUMAN Rho GTPase-activating protein SYDE2 OS=Homo sapiens OX=9606 GN=SYDE2 PE=1 SV=2
+FSMNLKNKNLERELNGILTDISEQLDKLNNKRDVTSEVTQMTLYTQFTCEKSMPIPQEIMVEPGDMKSYNEGIKRDESPVDDYDVDNLNEKTNLFYNEGCSSWDGAYRNSLPSDLRNQRPRLVGSSDTGSLNLMHPREKKQRLYNLSSKQEPFLNDTSKKVTLRQVPWLQLLYHLVEIHKKFDLASALEESDTFVRNNHTSPEQRQSLLVPGFCVALNQCTMKNVEHYSAVLKLHDLLMKLTAKEIEPLCDLLDVTYKSDGPDNECGNSSMKLPSKAMADLVAEYLQKTILPSPLERLYDKLVGTIVNIDPYQNECLGVAKSDREFAERLEKKVAASGCLRYLGVVQCGRKEIEMICKQILLPVMLGINEKEVVKQIDVGFIIPEQNIDLGHLSNEWQEMLTVKVYILGRPELKVALQHTKTVRFLTPLVVTGHCCVRNKRPTPEWSFVVLKLHQANEIEINFTHDMDLFTTRCTLLATRAKNVSDVQIACFVDKSDIRPPKLDEAGYFHVSMLGSIYQSCKPQDSFSYHRFADIDIENKSCSNSIIEKGKGFKNESGHKSAKTTLEPSDGDSLYGGKCSYKSSMSNKKQYSQQSSVSTDLHYRSIVNRKAATTTNGSPLIDTHHVERCNYKSLSPTNDPSNIYNLTGKVSLKRSFEPLKKMKMSLKRVTRPSKIKDPLSWNISSGKKVPSPNPSSPEMIGLETSNTAALIGSGAFPSKLMPSDEVMIGEQTKHGLKQKYQQVFETSHAPHIPNMGNSRTTQIDGAHESSCLSDETHREAKMLDSGSLMSLNVAPLKLVASDAEGFSLASSIGLDDDEPIPNYWIEGEDDADNEENFDLACNKSLSSNTANCVVYTCYEKSSKLFSQSLQHKPLSVPSISLHSRDQCKKNEEELNLPRLTSQYLWNRKKSVTISNLPKHGRFEINDKLEELERGKMSSGYANEFLDTLTIRQDPPVSLVRNERVKLAGVNPSAAQTGTVKPATGRARGKMGLSLLRGKYMWKQLKKVTVAPPRLFSPGEQRDGKGSRIVSSGAPDRFPSGSSCGHDKCGPPQLGTPAAARARDGSWGDMRGGRPPPEDWDRHAGCRIWSDSPWRQFPPPKAGVRLSELSRSCSPRMRPTRLQGGRPERQPSRPPSVQQRPRGGGGREGDRPCARRYAAGRSPPQGPARAGAPFSHDALGRGGRRAGSDPPLDHM
+>sp|Q9HCS7|SYF1_HUMAN Pre-mRNA-splicing factor SYF1 OS=Homo sapiens OX=9606 GN=XAB2 PE=1 SV=2
+MVVMARLSRPERPDLVFEEEDLPYEEEIMRNQFSVKCWLRYIEFKQGAPKPRLNQLYERALKLLPCSYKLWYRYLKARRAQVKHRCVTDPAYEDVNNCHERAFVFMHKMPRLWLDYCQFLMDQGRVTHTRRTFDRALRALPITQHSRIWPLYLRFLRSHPLPETAVRGYRRFLKLSPESAEEYIEYLKSSDRLDEAAQRLATVVNDERFVSKAGKSNYQLWHELCDLISQNPDKVQSLNVDAIIRGGLTRFTDQLGKLWCSLADYYIRSGHFEKARDVYEEAIRTVMTVRDFTQVFDSYAQFEESMIAAKMETASELGREEEDDVDLELRLARFEQLISRRPLLLNSVLLRQNPHHVHEWHKRVALHQGRPREIINTYTEAVQTVDPFKATGKPHTLWVAFAKFYEDNGQLDDARVILEKATKVNFKQVDDLASVWCQCGELELRHENYDEALRLLRKATALPARRAEYFDGSEPVQNRVYKSLKVWSMLADLEESLGTFQSTKAVYDRILDLRIATPQIVINYAMFLEEHKYFEESFKAYERGISLFKWPNVSDIWSTYLTKFIARYGGRKLERARDLFEQALDGCPPKYAKTLYLLYAQLEEEWGLARHAMAVYERATRAVEPAQQYDMFNIYIKRAAEIYGVTHTRGIYQKAIEVLSDEHAREMCLRFADMECKLGEIDRARAIYSFCSQICDPRTTGAFWQTWKDFEVRHGNEDTIKEMLRIRRSVQATYNTQVNFMASQMLKVSGSATGTVSDLAPGQSGMDDMKLLEQRAEQLAAEAERDQPLRAQSKILFVRSDASREELAELAQQVNPEEIQLGEDEDEDEMDLEPNEVRLEQQSVPAAVFGSLKED
+>DECOY_sp|Q9HCS7|SYF1_HUMAN Pre-mRNA-splicing factor SYF1 OS=Homo sapiens OX=9606 GN=XAB2 PE=1 SV=2
+DEKLSGFVAAPVSQQELRVENPELDMEDEDEDEGLQIEEPNVQQALEALEERSADSRVFLIKSQARLPQDREAEAALQEARQELLKMDDMGSQGPALDSVTGTASGSVKLMQSAMFNVQTNYTAQVSRRIRLMEKITDENGHRVEFDKWTQWFAGTTRPDCIQSCFSYIARARDIEGLKCEMDAFRLCMERAHEDSLVEIAKQYIGRTHTVGYIEAARKIYINFMDYQQAPEVARTAREYVAMAHRALGWEEELQAYLLYLTKAYKPPCGDLAQEFLDRARELKRGGYRAIFKTLYTSWIDSVNPWKFLSIGREYAKFSEEFYKHEELFMAYNIVIQPTAIRLDLIRDYVAKTSQFTGLSEELDALMSWVKLSKYVRNQVPESGDFYEARRAPLATAKRLLRLAEDYNEHRLELEGCQCWVSALDDVQKFNVKTAKELIVRADDLQGNDEYFKAFAVWLTHPKGTAKFPDVTQVAETYTNIIERPRGQHLAVRKHWEHVHHPNQRLLVSNLLLPRRSILQEFRALRLELDVDDEEERGLESATEMKAAIMSEEFQAYSDFVQTFDRVTMVTRIAEEYVDRAKEFHGSRIYYDALSCWLKGLQDTFRTLGGRIIADVNLSQVKDPNQSILDCLEHWLQYNSKGAKSVFREDNVVTALRQAAEDLRDSSKLYEIYEEASEPSLKLFRRYGRVATEPLPHSRLFRLYLPWIRSHQTIPLARLARDFTRRTHTVRGQDMLFQCYDLWLRPMKHMFVFAREHCNNVDEYAPDTVCRHKVQARRAKLYRYWLKYSCPLLKLAREYLQNLRPKPAGQKFEIYRLWCKVSFQNRMIEEEYPLDEEEFVLDPREPRSLRAMVVM
+>sp|Q9Y285|SYFA_HUMAN Phenylalanine--tRNA ligase alpha subunit OS=Homo sapiens OX=9606 GN=FARSA PE=1 SV=3
+MADGQVAELLLRRLEASDGGLDSAELAAELGMEHQAVVGAVKSLQALGEVIEAELRSTKHWELTAEGEEIAREGSHEARVFRSIPPEGLAQSELMRLPSGKVGFSKAMSNKWIRVDKSAADGPRVFRVVDSMEDEVQRRLQLVRGGQAEKLGEKERSELRKRKLLAEVTLKTYWVSKGSAFSTSISKQETELSPEMISSGSWRDRPFKPYNFLAHGVLPDSGHLHPLLKVRSQFRQIFLEMGFTEMPTDNFIESSFWNFDALFQPQQHPARDQHDTFFLRDPAEALQLPMDYVQRVKRTHSQGGYGSQGYKYNWKLDEARKNLLRTHTTSASARALYRLAQKKPFTPVKYFSIDRVFRNETLDATHLAEFHQIEGVVADHGLTLGHLMGVLREFFTKLGITQLRFKPAYNPYTEPSMEVFSYHQGLKKWVEVGNSGVFRPEMLLPMGLPENVSVIAWGLSLERPTMIKYGINNIRELVGHKVNLQMVYDSPLCRLDAEPRPPPTQEAA
+>DECOY_sp|Q9Y285|SYFA_HUMAN Phenylalanine--tRNA ligase alpha subunit OS=Homo sapiens OX=9606 GN=FARSA PE=1 SV=3
+AAEQTPPPRPEADLRCLPSDYVMQLNVKHGVLERINNIGYKIMTPRELSLGWAIVSVNEPLGMPLLMEPRFVGSNGVEVWKKLGQHYSFVEMSPETYPNYAPKFRLQTIGLKTFFERLVGMLHGLTLGHDAVVGEIQHFEALHTADLTENRFVRDISFYKVPTFPKKQALRYLARASASTTHTRLLNKRAEDLKWNYKYGQSGYGGQSHTRKVRQVYDMPLQLAEAPDRLFFTDHQDRAPHQQPQFLADFNWFSSEIFNDTPMETFGMELFIQRFQSRVKLLPHLHGSDPLVGHALFNYPKFPRDRWSGSSIMEPSLETEQKSISTSFASGKSVWYTKLTVEALLKRKRLESREKEGLKEAQGGRVLQLRRQVEDEMSDVVRFVRPGDAASKDVRIWKNSMAKSFGVKGSPLRMLESQALGEPPISRFVRAEHSGERAIEEGEATLEWHKTSRLEAEIVEGLAQLSKVAGVVAQHEMGLEAALEASDLGGDSAELRRLLLEAVQGDAM
+>sp|Q9NSD9|SYFB_HUMAN Phenylalanine--tRNA ligase beta subunit OS=Homo sapiens OX=9606 GN=FARSB PE=1 SV=3
+MPTVSVKRDLLFQALGRTYTDEEFDELCFEFGLELDEITSEKEIISKEQGNVKAAGASDVVLYKIDVPANRYDLLCLEGLVRGLQVFKERIKAPVYKRVMPDGKIQKLIITEETAKIRPFAVAAVLRNIKFTKDRYDSFIELQEKLHQNICRKRALVAIGTHDLDTLSGPFTYTAKRPSDIKFKPLNKTKEYTACELMNIYKTDNHLKHYLHIIENKPLYPVIYDSNGVVLSMPPIINGDHSRITVNTRNIFIECTGTDFTKAKIVLDIIVTMFSEYCENQFTVEAAEVVFPNGKSHTFPELAYRKEMVRADLINKKVGIRETPENLAKLLTRMYLKSEVIGDGNQIEIEIPPTRADIIHACDIVEDAAIAYGYNNIQMTLPKTYTIANQFPLNKLTELLRHDMAAAGFTEALTFALCSQEDIADKLGVDISATKAVHISNPKTAEFQVARTTLLPGLLKTIAANRKMPLPLKLFEISDIVIKDSNTDVGAKNYRHLCAVYYNKNPGFEIIHGLLDRIMQLLDVPPGEDKGGYVIKASEGPAFFPGRCAEIFARGQSVGKLGVLHPDVITKFELTMPCSSLEINVGPFL
+>DECOY_sp|Q9NSD9|SYFB_HUMAN Phenylalanine--tRNA ligase beta subunit OS=Homo sapiens OX=9606 GN=FARSB PE=1 SV=3
+LFPGVNIELSSCPMTLEFKTIVDPHLVGLKGVSQGRAFIEACRGPFFAPGESAKIVYGGKDEGPPVDLLQMIRDLLGHIIEFGPNKNYYVACLHRYNKAGVDTNSDKIVIDSIEFLKLPLPMKRNAAITKLLGPLLTTRAVQFEATKPNSIHVAKTASIDVGLKDAIDEQSCLAFTLAETFGAAAMDHRLLETLKNLPFQNAITYTKPLTMQINNYGYAIAADEVIDCAHIIDARTPPIEIEIQNGDGIVESKLYMRTLLKALNEPTERIGVKKNILDARVMEKRYALEPFTHSKGNPFVVEAAEVTFQNECYESFMTVIIDLVIKAKTFDTGTCEIFINRTNVTIRSHDGNIIPPMSLVVGNSDYIVPYLPKNEIIHLYHKLHNDTKYINMLECATYEKTKNLPKFKIDSPRKATYTFPGSLTDLDHTGIAVLARKRCINQHLKEQLEIFSDYRDKTFKINRLVAAVAFPRIKATEETIILKQIKGDPMVRKYVPAKIREKFVQLGRVLGELCLLDYRNAPVDIKYLVVDSAGAAKVNGQEKSIIEKESTIEDLELGFEFCLEDFEEDTYTRGLAQFLLDRKVSVTPM
+>sp|O75830|SPI2_HUMAN Serpin I2 OS=Homo sapiens OX=9606 GN=SERPINI2 PE=1 SV=1
+MDTIFLWSLLLLFFGSQASRCSAQKNTEFAVDLYQEVSLSHKDNIIFSPLGITLVLEMVQLGAKGKAQQQIRQTLKQQETSAGEEFFVLKSFFSAISEKKQEFTFNLANALYLQEGFTVKEQYLHGNKEFFQSAIKLVDFQDAKACAEMISTWVERKTDGKIKDMFSGEEFGPLTRLVLVNAIYFKGDWKQKFRKEDTQLINFTKKNGSTVKIPMMKALLRTKYGYFSESSLNYQVLELSYKGDEFSLIIILPAEGMDIEEVEKLITAQQILKWLSEMQEEEVEISLPRFKVEQKVDFKDVLYSLNITEIFSGGCDLSGITDSSEVYVSQVTQKVFFEINEDGSEAATSTGIHIPVIMSLAQSQFIANHPFLFIMKHNPTESILFMGRVTNPDTQEIKGRDLDSL
+>DECOY_sp|O75830|SPI2_HUMAN Serpin I2 OS=Homo sapiens OX=9606 GN=SERPINI2 PE=1 SV=1
+LSDLDRGKIEQTDPNTVRGMFLISETPNHKMIFLFPHNAIFQSQALSMIVPIHIGTSTAAESGDENIEFFVKQTVQSVYVESSDTIGSLDCGGSFIETINLSYLVDKFDVKQEVKFRPLSIEVEEEQMESLWKLIQQATILKEVEEIDMGEAPLIIILSFEDGKYSLELVQYNLSSESFYGYKTRLLAKMMPIKVTSGNKKTFNILQTDEKRFKQKWDGKFYIANVLVLRTLPGFEEGSFMDKIKGDTKREVWTSIMEACAKADQFDVLKIASQFFEKNGHLYQEKVTFGEQLYLANALNFTFEQKKESIASFFSKLVFFEEGASTEQQKLTQRIQQQAKGKAGLQVMELVLTIGLPSFIINDKHSLSVEQYLDVAFETNKQASCRSAQSGFFLLLLSWLFITDM
+>sp|Q8N0Z3|SPICE_HUMAN Spindle and centriole-associated protein 1 OS=Homo sapiens OX=9606 GN=SPICE1 PE=1 SV=1
+MSFVRVNRCGPRVGVRKTPKVKKKKTSVKQEWDNTVTDLTVHRATPEDLVRRHEIHKSKNRALVHWELQEKALKRKWRKQKPETLNLEKRRLSIMKEILSDQYQMQDVLEKSDHLIAAAKELFPRRRTGFPNVTVAPDSSQGPIVVNQDPITQSIFNESVIEPQALNDVDGEEEGTVNSQSGESENENELDNSLNSQSNTNTDRFLQQLTEENFELISKLWTDIQQKIATQSQITPPGTPSSALSSGEQRAALNATNAVKRLQTRLQPEESTETLDSSYVVGHVLNSRKQKQLLNKVKRKPNLHALSKPKKNISSGSTTSADLPNRTNSNLDVLKHMIHEVEHEMEEYERWTGREVKGLQSSQGLTGFTLSLVSSLCRLVRYLKESEIQLRKEVETRQQLEQVLGDHRELIDALTAEILRLREENAATQARLQQYMVTTDEQLISLTHAIKNCPVINNRQEIQASESGATGRRVMDSPERPVVNANVSVPLMFREEVAEFPQEELPVKLSQVPDPPDNMNLAKNFPAHIFEPAVLLTPPRQKSNLKFSPLQDVLRRTVQTRPAPRLPPTVEIIEKEQNWEEKTLPIDTDIQNSSEENRLFTQRWRVSHMGEDLENKTQAPFVNLSQPLCNSHSNTQQSRSPTFSEELPVLGDGQQLRTNESLIQRKDIMTRIADLTLQNSAIKAHMNNIIEPRGEQGDGLRELNKQESASDMTSTFPVAQSLTPGSMEERIAELNRQSMEARGKLLQLIEQQKLVGLNLSPPMSPVQLPLRAWTEGAKRTIEVSIPGAEAPESSKCSTVSPVSGINTRRSSGATGNSCSPLNATSGSGRFTPLNPRAKIEKQNEEGWFALSTHVS
+>DECOY_sp|Q8N0Z3|SPICE_HUMAN Spindle and centriole-associated protein 1 OS=Homo sapiens OX=9606 GN=SPICE1 PE=1 SV=1
+SVHTSLAFWGEENQKEIKARPNLPTFRGSGSTANLPSCSNGTAGSSRRTNIGSVPSVTSCKSSEPAEAGPISVEITRKAGETWARLPLQVPSMPPSLNLGVLKQQEILQLLKGRAEMSQRNLEAIREEMSGPTLSQAVPFTSTMDSASEQKNLERLGDGQEGRPEIINNMHAKIASNQLTLDAIRTMIDKRQILSENTRLQQGDGLVPLEESFTPSRSQQTNSHSNCLPQSLNVFPAQTKNELDEGMHSVRWRQTFLRNEESSNQIDTDIPLTKEEWNQEKEIIEVTPPLRPAPRTQVTRRLVDQLPSFKLNSKQRPPTLLVAPEFIHAPFNKALNMNDPPDPVQSLKVPLEEQPFEAVEERFMLPVSVNANVVPREPSDMVRRGTAGSESAQIEQRNNIVPCNKIAHTLSILQEDTTVMYQQLRAQTAANEERLRLIEATLADILERHDGLVQELQQRTEVEKRLQIESEKLYRVLRCLSSVLSLTFGTLGQSSQLGKVERGTWREYEEMEHEVEHIMHKLVDLNSNTRNPLDASTTSGSSINKKPKSLAHLNPKRKVKNLLQKQKRSNLVHGVVYSSDLTETSEEPQLRTQLRKVANTANLAARQEGSSLASSPTGPPTIQSQTAIKQQIDTWLKSILEFNEETLQQLFRDTNTNSQSNLSNDLENENESEGSQSNVTGEEEGDVDNLAQPEIVSENFISQTIPDQNVVIPGQSSDPAVTVNPFGTRRRPFLEKAAAILHDSKELVDQMQYQDSLIEKMISLRRKELNLTEPKQKRWKRKLAKEQLEWHVLARNKSKHIEHRRVLDEPTARHVTLDTVTNDWEQKVSTKKKKVKPTKRVGVRPGCRNVRVFSM
+>sp|Q8N5J4|SPIC_HUMAN Transcription factor Spi-C OS=Homo sapiens OX=9606 GN=SPIC PE=2 SV=1
+MTCVEQDKLGQAFEDAFEVLRQHSTGDLQYSPDYRNYLALINHRPHVKGNSSCYGVLPTEEPVYNWRTVINSAADFYFEGNIHQSLQNITENQLVQPTLLQQKGGKGRKKLRLFEYLHESLYNPEMASCIQWVDKTKGIFQFVSKNKEKLAELWGKRKGNRKTMTYQKMARALRNYGRSGEITKIRRKLTYQFSEAILQRLSPSYFLGKEIFYSQCVQPDQEYLSLNNWNANYNYTYANYHELNHHDC
+>DECOY_sp|Q8N5J4|SPIC_HUMAN Transcription factor Spi-C OS=Homo sapiens OX=9606 GN=SPIC PE=2 SV=1
+CDHHNLEHYNAYTYNYNANWNNLSLYEQDPQVCQSYFIEKGLFYSPSLRQLIAESFQYTLKRRIKTIEGSRGYNRLARAMKQYTMTKRNGKRKGWLEALKEKNKSVFQFIGKTKDVWQICSAMEPNYLSEHLYEFLRLKKRGKGGKQQLLTPQVLQNETINQLSQHINGEFYFDAASNIVTRWNYVPEETPLVGYCSSNGKVHPRHNILALYNRYDPSYQLDGTSHQRLVEFADEFAQGLKDQEVCTM
+>sp|Q14159|SPIDR_HUMAN DNA repair-scaffolding protein OS=Homo sapiens OX=9606 GN=SPIDR PE=1 SV=2
+MPRGSRARGSKRKRSWNTECPSFPGERPLQVRRAGLRTAGAAASLSEAWLRCGEGFQNTSGNPSLTAEEKTITEKHLELCPRPKQETTTSKSTSGLTDITWSSSGSDLSDEDKTLSQLQRDELQFIDWEIDSDRAEASDCDEFEDDEGAVEISDCASCASNQSLTSDEKLSELPKPSSIEILEYSSDSEKEDDLENVLLIDSESPHKYHVQFASDARQIMERLIDPRTKSTETILHTPQKPTAKFPRTPENSAKKKLLRGGLAERLNGLQNRERSAISLWRHQCISYQKTLSGRKSGVLTVKILELHEECAMQVAMCEQLLGSPATSSSQSVAPRPGAGLKVLFTKETAGYLRGRPQDTVRIFPPWQKLIIPSGSCPVILNTYFCEKVVAKEDSEKTCEVYCPDIPLPRRSISLAQMFVIKGLTNNSPEIQVVCSGVATTGTAWTHGHKEAKQRIPTSTPLRDSLLDVVESQGAASWPGAGVRVVVQRVYSLPSRDSTRGQQGASSGHTDPAGTRACLLVQDACGMFGEVHLEFTMSKARQLEGKSCSLVGMKVLQKVTRGRTAGIFSLIDTLWPPAIPLKTPGRDQPCEEIKTHLPPPALCYILTAHPNLGQIDIIDEDPIYKLYQPPVTRCLRDILQMNDLGTRCSFYATVIYQKPQLKSLLLLEQREIWLLVTDVTLQTKEERDPRLPKTLLVYVAPLCVLGSEVLEALAGAAPHSLFFKDALRDQGRIVCAERTVLLLQKPLLSVVSGASSCELPGPVMLDSLDSATPVNSICSVQGTVVGVDESTAFSWPVCDMCGNGRLEQRPEDRGAFSCGDCSRVVTSPVLKRHLQVFLDCRSRPQCRVKVKLLQRSISSLLRFAAGEDGSYEVKSVLGKEVGLLNCFVQSVTAHPTSCIGLEEIELLSAGGASAEH
+>DECOY_sp|Q14159|SPIDR_HUMAN DNA repair-scaffolding protein OS=Homo sapiens OX=9606 GN=SPIDR PE=1 SV=2
+HEASAGGASLLEIEELGICSTPHATVSQVFCNLLGVEKGLVSKVEYSGDEGAAFRLLSSISRQLLKVKVRCQPRSRCDLFVQLHRKLVPSTVVRSCDGCSFAGRDEPRQELRGNGCMDCVPWSFATSEDVGVVTGQVSCISNVPTASDLSDLMVPGPLECSSAGSVVSLLPKQLLLVTREACVIRGQDRLADKFFLSHPAAGALAELVESGLVCLPAVYVLLTKPLRPDREEKTQLTVDTVLLWIERQELLLLSKLQPKQYIVTAYFSCRTGLDNMQLIDRLCRTVPPQYLKYIPDEDIIDIQGLNPHATLIYCLAPPPLHTKIEECPQDRGPTKLPIAPPWLTDILSFIGATRGRTVKQLVKMGVLSCSKGELQRAKSMTFELHVEGFMGCADQVLLCARTGAPDTHGSSAGQQGRTSDRSPLSYVRQVVVRVGAGPWSAAGQSEVVDLLSDRLPTSTPIRQKAEKHGHTWATGTTAVGSCVVQIEPSNNTLGKIVFMQALSISRRPLPIDPCYVECTKESDEKAVVKECFYTNLIVPCSGSPIILKQWPPFIRVTDQPRGRLYGATEKTFLVKLGAGPRPAVSQSSSTAPSGLLQECMAVQMACEEHLELIKVTLVGSKRGSLTKQYSICQHRWLSIASRERNQLGNLREALGGRLLKKKASNEPTRPFKATPKQPTHLITETSKTRPDILREMIQRADSAFQVHYKHPSESDILLVNELDDEKESDSSYELIEISSPKPLESLKEDSTLSQNSACSACDSIEVAGEDDEFEDCDSAEARDSDIEWDIFQLEDRQLQSLTKDEDSLDSGSSSWTIDTLGSTSKSTTTEQKPRPCLELHKETITKEEATLSPNGSTNQFGEGCRLWAESLSAAAGATRLGARRVQLPREGPFSPCETNWSRKRKSGRARSGRPM
+>sp|Q9NS26|SPNXA_HUMAN Sperm protein associated with the nucleus on the X chromosome A OS=Homo sapiens OX=9606 GN=SPANXA1 PE=1 SV=1
+MDKQSSAGGVKRSVPCDSNEANEMMPETPTGDSDPQPAPKKMKTSESSTILVVRYRRNFKRTSPEELLNDHARENRINPLQMEEEEFMEIMVEIPAK
+>DECOY_sp|Q9NS26|SPNXA_HUMAN Sperm protein associated with the nucleus on the X chromosome A OS=Homo sapiens OX=9606 GN=SPANXA1 PE=1 SV=1
+KAPIEVMIEMFEEEEMQLPNIRNERAHDNLLEEPSTRKFNRRYRVVLITSSESTKMKKPAPQPDSDGTPTEPMMENAENSDCPVSRKVGGASSQKDM
+>sp|Q9NY87|SPNXC_HUMAN Sperm protein associated with the nucleus on the X chromosome C OS=Homo sapiens OX=9606 GN=SPANXC PE=1 SV=2
+MDKQSSAGGVKRSVPCDSNEANEMMPETSSGYSDPQPAPKKLKTSESSTILVVRYRRNVKRTSPEELVNDHARENRINPLQMEEEEFMEIMVEIPAK
+>DECOY_sp|Q9NY87|SPNXC_HUMAN Sperm protein associated with the nucleus on the X chromosome C OS=Homo sapiens OX=9606 GN=SPANXC PE=1 SV=2
+KAPIEVMIEMFEEEEMQLPNIRNERAHDNVLEEPSTRKVNRRYRVVLITSSESTKLKKPAPQPDSYGSSTEPMMENAENSDCPVSRKVGGASSQKDM
+>sp|Q9Y5K1|SPO11_HUMAN Meiotic recombination protein SPO11 OS=Homo sapiens OX=9606 GN=SPO11 PE=2 SV=1
+MAFAPMGPEASFFDVLDRHRESLLAALRRGGREPPTGGSRLASSSEVLASIENIIQDIITSLARNEAPAFTIDNRSSWENIKFEDSVGLQMVSHCTTRKIKSDSPKSAQKFSLILKILSMIYKLVQSNTYATKRDIYYTDSQLFGNQTVVDNIINDISCMLKVSRRSLHILSTSKGLIAGNLRYIEEDGTKVNCTCGATAVAVPSNIQGIRNLVTDAKFVLIVEKDATFQRLLDDNFCNKLSPCIMITGKGVPDLNTRLLVKKLWDTFHVPVFTLVDADPHGIEIMCIYKYGSMSMSFEAHHLTVPAIRWLGLLPSDLKRLNVPKDSLIPLTKRDQMKLDSILRRPYVTCQPFWRKEMEIMADSKMKAEIQALTFLSSDYLSRVYLPNKLKFGGWI
+>DECOY_sp|Q9Y5K1|SPO11_HUMAN Meiotic recombination protein SPO11 OS=Homo sapiens OX=9606 GN=SPO11 PE=2 SV=1
+IWGGFKLKNPLYVRSLYDSSLFTLAQIEAKMKSDAMIEMEKRWFPQCTVYPRRLISDLKMQDRKTLPILSDKPVNLRKLDSPLLGLWRIAPVTLHHAEFSMSMSGYKYICMIEIGHPDADVLTFVPVHFTDWLKKVLLRTNLDPVGKGTIMICPSLKNCFNDDLLRQFTADKEVILVFKADTVLNRIGQINSPVAVATAGCTCNVKTGDEEIYRLNGAILGKSTSLIHLSRRSVKLMCSIDNIINDVVTQNGFLQSDTYYIDRKTAYTNSQVLKYIMSLIKLILSFKQASKPSDSKIKRTTCHSVMQLGVSDEFKINEWSSRNDITFAPAENRALSTIIDQIINEISALVESSSALRSGGTPPERGGRRLAALLSERHRDLVDFFSAEPGMPAFAM
+>sp|Q6ZMY3|SPOC1_HUMAN SPOC domain-containing protein 1 OS=Homo sapiens OX=9606 GN=SPOCD1 PE=2 SV=1
+MSQAGDVEGPSTGDPVLSPQHNCELLQNMEGASSMPGLSPDGPGASSGPGVRAGSRRKIPRKEALRGGSSRAAGAAEVRPGVLELLAVVQSRGSMLAPGLHMQLPSVPTQGRALTSKRLQVSLCDILDDSCPRKLCSRSAGLPERALACRERLAGVEEVSCLRPREARDGGMSSPGCDRRSPTLSKEEPPGRPLTSSPDPVPVRVRKKWRRQGAHSECEEGAGDFLWLDQSPRGDNLLSVGDPPQVADLESLGGPCRPPSPKDTGSGPGEPGGSGAGCASGTEKFGYLPATGDGPQPGSPCGPVGFPVPSGGESLSSAAQAPPQSAALCLGASAQASAEQQEAVCVVRTGSDEGQAPAQDQEELEAKAQPASRGRLEQGLAAPADTCASSREPLGGLSSSLDTEASRACSGPFMEQRRSKGTKNLKKGPVPCAQDRGTDRSSDNSHQDRPEEPSPGGCPRLEEVKIPHGVKLVCYLGSGPVIQLLGAISHGQAGGQLPPKLEVLEDLMEVSSPSPAQRLRRKKRPMVQGPAGCQVFQPSPSGGTAGDPGGLSDPFYPPRSGSLALGDPSSDPACSQSGPMEAEEDSLPEQPEDSAQLQQEKPSLYIGVRGTVVRSMQEVLWTRLRELPDPVLSEEVVEGIAAGIEAALWDLTQGTNGRYKTKYRSLLFNLRDPRNLDLFLKVVHGDVTPYDLVRMSSMQLAPQELARWRDQEEKRGLNIIEQQQKEPCRLPASKMTHKGEVEIQRDMDQTLTLEDLVGPQMFMDCSPQALPIASEDTTGQHDHHFLDPNCHICKDWEPSNELLGSFEAAKSCGDNIFQKALSQTPMPAPEMPKTRELSPTEPQDRVPPSGLHVPAAPTKALPCLPPWEGVLDMFSIKRFRARAQLVSGHSCRLVQALPTVIRSAGCIPSNIVWDLLASICPAKAKDVCVVRLCPHGARDTQNCRLLYSYLNDRQRHGLASVEHMGMVLLPLPAFQPLPTRLRPLGGPGLWALPVSPLLSPGLEVTHSSLLLAVLLPKEGLPDTAGSSPWLGKVQKMVSFNSKVEKRYYQPDDRRPNVPLKGTPPPGGAWQQSQGRGSIAPRGISAWQRPPRGRGRLWPEPENWQHPGRGQWPPEPGLRQSQHPYSVAPAGHGFGRGQHFHRDSCPHQALLRHLESLATMSHQLQALLCPQTKSSIPRPLQRLSSALAAPEPPGPARDSSLGPTDEAGSECPFPRKA
+>DECOY_sp|Q6ZMY3|SPOC1_HUMAN SPOC domain-containing protein 1 OS=Homo sapiens OX=9606 GN=SPOCD1 PE=2 SV=1
+AKRPFPCESGAEDTPGLSSDRAPGPPEPAALASSLRQLPRPISSKTQPCLLAQLQHSMTALSELHRLLAQHPCSDRHFHQGRGFGHGAPAVSYPHQSQRLGPEPPWQGRGPHQWNEPEPWLRGRGRPPRQWASIGRPAISGRGQSQQWAGGPPPTGKLPVNPRRDDPQYYRKEVKSNFSVMKQVKGLWPSSGATDPLGEKPLLVALLLSSHTVELGPSLLPSVPLAWLGPGGLPRLRTPLPQFAPLPLLVMGMHEVSALGHRQRDNLYSYLLRCNQTDRAGHPCLRVVCVDKAKAPCISALLDWVINSPICGASRIVTPLAQVLRCSHGSVLQARARFRKISFMDLVGEWPPLCPLAKTPAAPVHLGSPPVRDQPETPSLERTKPMEPAPMPTQSLAKQFINDGCSKAAEFSGLLENSPEWDKCIHCNPDLFHHDHQGTTDESAIPLAQPSCDMFMQPGVLDELTLTQDMDRQIEVEGKHTMKSAPLRCPEKQQQEIINLGRKEEQDRWRALEQPALQMSSMRVLDYPTVDGHVVKLFLDLNRPDRLNFLLSRYKTKYRGNTGQTLDWLAAEIGAAIGEVVEESLVPDPLERLRTWLVEQMSRVVTGRVGIYLSPKEQQLQASDEPQEPLSDEEAEMPGSQSCAPDSSPDGLALSGSRPPYFPDSLGGPDGATGGSPSPQFVQCGAPGQVMPRKKRRLRQAPSPSSVEMLDELVELKPPLQGGAQGHSIAGLLQIVPGSGLYCVLKVGHPIKVEELRPCGGPSPEEPRDQHSNDSSRDTGRDQACPVPGKKLNKTGKSRRQEMFPGSCARSAETDLSSSLGGLPERSSACTDAPAALGQELRGRSAPQAKAELEEQDQAPAQGEDSGTRVVCVAEQQEASAQASAGLCLAASQPPAQAASSLSEGGSPVPFGVPGCPSGPQPGDGTAPLYGFKETGSACGAGSGGPEGPGSGTDKPSPPRCPGGLSELDAVQPPDGVSLLNDGRPSQDLWLFDGAGEECESHAGQRRWKKRVRVPVPDPSSTLPRGPPEEKSLTPSRRDCGPSSMGGDRAERPRLCSVEEVGALRERCALAREPLGASRSCLKRPCSDDLIDCLSVQLRKSTLARGQTPVSPLQMHLGPALMSGRSQVVALLELVGPRVEAAGAARSSGGRLAEKRPIKRRSGARVGPGSSAGPGDPSLGPMSSAGEMNQLLECNHQPSLVPDGTSPGEVDGAQSM
+>sp|Q9BUD6|SPON2_HUMAN Spondin-2 OS=Homo sapiens OX=9606 GN=SPON2 PE=1 SV=3
+MENPSPAAALGKALCALLLATLGAAGQPLGGESICSARALAKYSITFTGKWSQTAFPKQYPLFRPPAQWSSLLGAAHSSDYSMWRKNQYVSNGLRDFAERGEAWALMKEIEAAGEALQSVHEVFSAPAVPSGTGQTSAELEVQRRHSLVSFVVRIVPSPDWFVGVDSLDLCDGDRWREQAALDLYPYDAGTDSGFTFSSPNFATIPQDTVTEITSSSPSHPANSFYYPRLKALPPIARVTLVRLRQSPRAFIPPAPVLPSRDNEIVDSASVPETPLDCEVSLWSSWGLCGGHCGRLGTKSRTRYVRVQPANNGSPCPELEEEAECVPDNCV
+>DECOY_sp|Q9BUD6|SPON2_HUMAN Spondin-2 OS=Homo sapiens OX=9606 GN=SPON2 PE=1 SV=3
+VCNDPVCEAEEELEPCPSGNNAPQVRVYRTRSKTGLRGCHGGCLGWSSWLSVECDLPTEPVSASDVIENDRSPLVPAPPIFARPSQRLRVLTVRAIPPLAKLRPYYFSNAPHSPSSSTIETVTDQPITAFNPSSFTFGSDTGADYPYLDLAAQERWRDGDCLDLSDVGVFWDPSPVIRVVFSVLSHRRQVELEASTQGTGSPVAPASFVEHVSQLAEGAAEIEKMLAWAEGREAFDRLGNSVYQNKRWMSYDSSHAAGLLSSWQAPPRFLPYQKPFATQSWKGTFTISYKALARASCISEGGLPQGAAGLTALLLACLAKGLAAAPSPNEM
+>sp|O43791|SPOP_HUMAN Speckle-type POZ protein OS=Homo sapiens OX=9606 GN=SPOP PE=1 SV=1
+MSRVPSPPPPAEMSSGPVAESWCYTQIKVVKFSYMWTINNFSFCREEMGEVIKSSTFSSGANDKLKWCLRVNPKGLDEESKDYLSLYLLLVSCPKSEVRAKFKFSILNAKGEETKAMESQRAYRFVQGKDWGFKKFIRRDFLLDEANGLLPDDKLTLFCEVSVVQDSVNISGQNTMNMVKVPECRLADELGGLWENSRFTDCCLCVAGQEFQAHKAILAARSPVFSAMFEHEMEESKKNRVEINDVEPEVFKEMMCFIYTGKAPNLDKMADDLLAAADKYALERLKVMCEDALCSNLSVENAAEILILADLHSADQLKTQAVDFINYHASDVLETSGWKSMVVSHPHLVAEAYRSLASAQCPFLGPPRKRLKQS
+>DECOY_sp|O43791|SPOP_HUMAN Speckle-type POZ protein OS=Homo sapiens OX=9606 GN=SPOP PE=1 SV=1
+SQKLRKRPPGLFPCQASALSRYAEAVLHPHSVVMSKWGSTELVDSAHYNIFDVAQTKLQDASHLDALILIEAANEVSLNSCLADECMVKLRELAYKDAAALLDDAMKDLNPAKGTYIFCMMEKFVEPEVDNIEVRNKKSEEMEHEFMASFVPSRAALIAKHAQFEQGAVCLCCDTFRSNEWLGGLEDALRCEPVKVMNMTNQGSINVSDQVVSVECFLTLKDDPLLGNAEDLLFDRRIFKKFGWDKGQVFRYARQSEMAKTEEGKANLISFKFKARVESKPCSVLLLYLSLYDKSEEDLGKPNVRLCWKLKDNAGSSFTSSKIVEGMEERCFSFNNITWMYSFKVVKIQTYCWSEAVPGSSMEAPPPPSPVRSM
+>sp|Q8TCT6|SPPL3_HUMAN Signal peptide peptidase-like 3 OS=Homo sapiens OX=9606 GN=SPPL3 PE=1 SV=2
+MAEQTYSWAYSLVDSSQVSTFLISILLIVYGSFRSLNMDFENQDKEKDSNSSSGSFNGNSTNNSIQTIDSTQALFLPIGASVSLLVMFFFFDSVQVVFTICTAVLATIAFAFLLLPMCQYLTRPCSPQNKISFGCCGRFTAAELLSFSLSVMLVLIWVLTGHWLLMDALAMGLCVAMIAFVRLPSLKVSCLLLSGLLIYDVFWVFFSAYIFNSNVMVKVATQPADNPLDVLSRKLHLGPNVGRDVPRLSLPGKLVFPSSTGSHFSMLGIGDIVMPGLLLCFVLRYDNYKKQASGDSCGAPGPANISGRMQKVSYFHCTLIGYFVGLLTATVASRIHRAAQPALLYLVPFTLLPLLTMAYLKGDLRRMWSEPFHSKSSSSRFLEV
+>DECOY_sp|Q8TCT6|SPPL3_HUMAN Signal peptide peptidase-like 3 OS=Homo sapiens OX=9606 GN=SPPL3 PE=1 SV=2
+VELFRSSSSKSHFPESWMRRLDGKLYAMTLLPLLTFPVLYLLAPQAARHIRSAVTATLLGVFYGILTCHFYSVKQMRGSINAPGPAGCSDGSAQKKYNDYRLVFCLLLGPMVIDGIGLMSFHSGTSSPFVLKGPLSLRPVDRGVNPGLHLKRSLVDLPNDAPQTAVKVMVNSNFIYASFFVWFVDYILLGSLLLCSVKLSPLRVFAIMAVCLGMALADMLLWHGTLVWILVLMVSLSFSLLEAATFRGCCGFSIKNQPSCPRTLYQCMPLLLFAFAITALVATCITFVVQVSDFFFFMVLLSVSAGIPLFLAQTSDITQISNNTSNGNFSGSSSNSDKEKDQNEFDMNLSRFSGYVILLISILFTSVQSSDVLSYAWSYTQEAM
+>sp|Q96RM1|SPR2F_HUMAN Small proline-rich protein 2F OS=Homo sapiens OX=9606 GN=SPRR2F PE=3 SV=1
+MSYQQQQCKQPCQPPPVCPAPKCPEPCPPPKCPEPCPPSKCPQSCPPQQCQQKCPPVTPSPPCQPKCPPKSK
+>DECOY_sp|Q96RM1|SPR2F_HUMAN Small proline-rich protein 2F OS=Homo sapiens OX=9606 GN=SPRR2F PE=3 SV=1
+KSKPPCKPQCPPSPTVPPCKQQCQQPPCSQPCKSPPCPEPCKPPPCPEPCKPAPCVPPPQCPQKCQQQQYSM
+>sp|Q9UBC9|SPRR3_HUMAN Small proline-rich protein 3 OS=Homo sapiens OX=9606 GN=SPRR3 PE=1 SV=2
+MSSYQQKQTFTPPPQLQQQQVKQPSQPPPQEIFVPTTKEPCHSKVPQPGNTKIPEPGCTKVPEPGCTKVPEPGCTKVPEPGCTKVPEPGCTKVPEPGCTKVPEPGYTKVPEPGSIKVPDQGFIKFPEPGAIKVPEQGYTKVPVPGYTKLPEPCPSTVTPGPAQQKTKQK
+>DECOY_sp|Q9UBC9|SPRR3_HUMAN Small proline-rich protein 3 OS=Homo sapiens OX=9606 GN=SPRR3 PE=1 SV=2
+KQKTKQQAPGPTVTSPCPEPLKTYGPVPVKTYGQEPVKIAGPEPFKIFGQDPVKISGPEPVKTYGPEPVKTCGPEPVKTCGPEPVKTCGPEPVKTCGPEPVKTCGPEPVKTCGPEPIKTNGPQPVKSHCPEKTTPVFIEQPPPQSPQKVQQQQLQPPPTFTQKQQYSSM
+>sp|Q8NEF9|SRFB1_HUMAN Serum response factor-binding protein 1 OS=Homo sapiens OX=9606 GN=SRFBP1 PE=1 SV=1
+MAQPGTLNLNNEVVKMRKEVKRIRVLVIRKLVRSVGRLKSKKGTEDALLKNQRRAQRLLEEIHAMKELKPDIVTKSALGDDINFEKIFKKPDSTATERAIARLAVHPLLKKKIDVLKAAVQAFKEARQNVAEVESSKNASEDNHSENTLYSNDNGSNLQREATVISEQKVKETKILAKKPIHNSKEKIAKMEHGPKAVTIANSPSKPSEKDSVVSLESQKTPADPKLKTLSQTKKNKGSDSSLSGNSDGGEEFCEEEKEYFDDSTEERFYKQSSMSEDSDSGDDFFIGKVRRTRKKESSCHSSVKEQKPLEKVFLKEDTGETHGDTRNDKIKPSTETRKLESVFFHSLSGSKSSRRNFKEQAPKTRSLDFPQNEPQIKNQFNKKLSGRLENTKQQLQLPLHPSWEASRRRKEQQSNIAVFQGKKITFDD
+>DECOY_sp|Q8NEF9|SRFB1_HUMAN Serum response factor-binding protein 1 OS=Homo sapiens OX=9606 GN=SRFBP1 PE=1 SV=1
+DDFTIKKGQFVAINSQQEKRRRSAEWSPHLPLQLQQKTNELRGSLKKNFQNKIQPENQPFDLSRTKPAQEKFNRRSSKSGSLSHFFVSELKRTETSPKIKDNRTDGHTEGTDEKLFVKELPKQEKVSSHCSSEKKRTRRVKGIFFDDGSDSDESMSSQKYFREETSDDFYEKEEECFEEGGDSNGSLSSDSGKNKKTQSLTKLKPDAPTKQSELSVVSDKESPKSPSNAITVAKPGHEMKAIKEKSNHIPKKALIKTEKVKQESIVTAERQLNSGNDNSYLTNESHNDESANKSSEVEAVNQRAEKFAQVAAKLVDIKKKLLPHVALRAIARETATSDPKKFIKEFNIDDGLASKTVIDPKLEKMAHIEELLRQARRQNKLLADETGKKSKLRGVSRVLKRIVLVRIRKVEKRMKVVENNLNLTGPQAM
+>sp|P11831|SRF_HUMAN Serum response factor OS=Homo sapiens OX=9606 GN=SRF PE=1 SV=1
+MLPTQAGAAAALGRGSALGGSLNRTPTGRPGGGGGTRGANGGRVPGNGAGLGPGRLEREAAAAAATTPAPTAGALYSGSEGDSESGEEEELGAERRGLKRSLSEMEIGMVVGGPEASAAATGGYGPVSGAVSGAKPGKKTRGRVKIKMEFIDNKLRRYTTFSKRKTGIMKKAYELSTLTGTQVLLLVASETGHVYTFATRKLQPMITSETGKALIQTCLNSPDSPPRSDPTTDQRMSATGFEETDLTYQVSESDSSGETKDTLKPAFTVTNLPGTTSTIQTAPSTSTTMQVSSGPSFPITNYLAPVSASVSPSAVSSANGTVLKSTGSGPVSSGGLMQLPTSFTLMPGGAVAQQVPVQAIQVHQAPQQASPSRDSSTDLTQTSSSGTVTLPATIMTSSVPTTVGGHMMYPSPHAVMYAPTSGLGDGSLTVLNAFSQAPSTMQVSHSQVQEPGGVPQVFLTASSGTVQIPVSAVQLHQMAVIGQQAGSSSNLTELQVVNLDTAHSTKSE
+>DECOY_sp|P11831|SRF_HUMAN Serum response factor OS=Homo sapiens OX=9606 GN=SRF PE=1 SV=1
+ESKTSHATDLNVVQLETLNSSSGAQQGIVAMQHLQVASVPIQVTGSSATLFVQPVGGPEQVQSHSVQMTSPAQSFANLVTLSGDGLGSTPAYMVAHPSPYMMHGGVTTPVSSTMITAPLTVTGSSSTQTLDTSSDRSPSAQQPAQHVQIAQVPVQQAVAGGPMLTFSTPLQMLGGSSVPGSGTSKLVTGNASSVASPSVSASVPALYNTIPFSPGSSVQMTTSTSPATQITSTTGPLNTVTFAPKLTDKTEGSSDSESVQYTLDTEEFGTASMRQDTTPDSRPPSDPSNLCTQILAKGTESTIMPQLKRTAFTYVHGTESAVLLLVQTGTLTSLEYAKKMIGTKRKSFTTYRRLKNDIFEMKIKVRGRTKKGPKAGSVAGSVPGYGGTAAASAEPGGVVMGIEMESLSRKLGRREAGLEEEEGSESDGESGSYLAGATPAPTTAAAAAAERELRGPGLGAGNGPVRGGNAGRTGGGGGPRGTPTRNLSGGLASGRGLAAAAGAQTPLM
+>sp|O75044|SRGP2_HUMAN SLIT-ROBO Rho GTPase-activating protein 2 OS=Homo sapiens OX=9606 GN=SRGAP2 PE=1 SV=3
+MTSPAKFKKDKEIIAEYDTQVKEIRAQLTEQMKCLDQQCELRVQLLQDLQDFFRKKAEIEMDYSRNLEKLAERFLAKTRSTKDQQFKKDQNVLSPVNCWNLLLNQVKRESRDHTTLSDIYLNNIIPRFVQVSEDSGRLFKKSKEVGQQLQDDLMKVLNELYSVMKTYHMYNADSISAQSKLKEAEKQEEKQIGKSVKQEDRQTPRSPDSTANVRIEEKHVRRSSVKKIEKMKEKRQAKYTENKLKAIKARNEYLLALEATNASVFKYYIHDLSDLIDQCCDLGYHASLNRALRTFLSAELNLEQSKHEGLDAIENAVENLDATSDKQRLMEMYNNVFCPPMKFEFQPHMGDMASQLCAQQPVQSELVQRCQQLQSRLSTLKIENEEVKKTMEATLQTIQDIVTVEDFDVSDCFQYSNSMESVKSTVSETFMSKPSIAKRRANQQETEQFYFTKMKEYLEGRNLITKLQAKHDLLQKTLGESQRTDCSLARRSSTVRKQDSSQAIPLVVESCIRFISRHGLQHEGIFRVSGSQVEVNDIKNAFERGEDPLAGDQNDHDMDSIAGVLKLYFRGLEHPLFPKDIFHDLMACVTMDNLQERALHIRKVLLVLPKTTLIIMRYLFAFLNHLSQFSEENMMDPYNLAICFGPSLMSVPEGHDQVSCQAHVNELIKTIIIQHENIFPSPRELEGPVYSRGGSMEDYCDSPHGETTSVEDSTQDVTAEHHTSDDECEPIEAIAKFDYVGRTARELSFKKGASLLLYQRASDDWWEGRHNGIDGLIPHQYIVVQDTEDGVVERSSPKSEIEVISEPPEEKVTARAGASCPSGGHVADIYLANINKQRKRPESGSIRKTFRSDSHGLSSSLTDSSSPGVGASCRPSSQPIMSQSLPKEGPDKCSISGHGSLNSISRHSSLKNRLDSPQIRKTATAGRSKSFNNHRPMDPEVIAQDIEATMNSALNELRELERQSSVKHTPDVVLDTLEPLKTSPVVAPTSEPSSPLHTQLLKDPEPAFQRSASTAGDIACAFRPVKSVKMAAPVKPPATRPKPTVFPKTNATSPGVNSSTSPQSTDKSCTV
+>DECOY_sp|O75044|SRGP2_HUMAN SLIT-ROBO Rho GTPase-activating protein 2 OS=Homo sapiens OX=9606 GN=SRGAP2 PE=1 SV=3
+VTCSKDTSQPSTSSNVGPSTANTKPFVTPKPRTAPPKVPAAMKVSKVPRFACAIDGATSASRQFAPEPDKLLQTHLPSSPESTPAVVPSTKLPELTDLVVDPTHKVSSQRELERLENLASNMTAEIDQAIVEPDMPRHNNFSKSRGATATKRIQPSDLRNKLSSHRSISNLSGHGSISCKDPGEKPLSQSMIPQSSPRCSAGVGPSSSDTLSSSLGHSDSRFTKRISGSEPRKRQKNINALYIDAVHGGSPCSAGARATVKEEPPESIVEIESKPSSREVVGDETDQVVIYQHPILGDIGNHRGEWWDDSARQYLLLSAGKKFSLERATRGVYDFKAIAEIPECEDDSTHHEATVDQTSDEVSTTEGHPSDCYDEMSGGRSYVPGELERPSPFINEHQIIITKILENVHAQCSVQDHGEPVSMLSPGFCIALNYPDMMNEESFQSLHNLFAFLYRMIILTTKPLVLLVKRIHLAREQLNDMTVCAMLDHFIDKPFLPHELGRFYLKLVGAISDMDHDNQDGALPDEGREFANKIDNVEVQSGSVRFIGEHQLGHRSIFRICSEVVLPIAQSSDQKRVTSSRRALSCDTRQSEGLTKQLLDHKAQLKTILNRGELYEKMKTFYFQETEQQNARRKAISPKSMFTESVTSKVSEMSNSYQFCDSVDFDEVTVIDQITQLTAEMTKKVEENEIKLTSLRSQLQQCRQVLESQVPQQACLQSAMDGMHPQFEFKMPPCFVNNYMEMLRQKDSTADLNEVANEIADLGEHKSQELNLEASLFTRLARNLSAHYGLDCCQDILDSLDHIYYKFVSANTAELALLYENRAKIAKLKNETYKAQRKEKMKEIKKVSSRRVHKEEIRVNATSDPSRPTQRDEQKVSKGIQKEEQKEAEKLKSQASISDANYMHYTKMVSYLENLVKMLDDQLQQGVEKSKKFLRGSDESVQVFRPIINNLYIDSLTTHDRSERKVQNLLLNWCNVPSLVNQDKKFQQDKTSRTKALFREALKELNRSYDMEIEAKKRFFDQLDQLLQVRLECQQDLCKMQETLQARIEKVQTDYEAIIEKDKKFKAPSTM
+>sp|P61011|SRP54_HUMAN Signal recognition particle 54 kDa protein OS=Homo sapiens OX=9606 GN=SRP54 PE=1 SV=1
+MVLADLGRKITSALRSLSNATIINEEVLNAMLKEVCTALLEADVNIKLVKQLRENVKSAIDLEEMASGLNKRKMIQHAVFKELVKLVDPGVKAWTPTKGKQNVIMFVGLQGSGKTTTCSKLAYYYQRKGWKTCLICADTFRAGAFDQLKQNATKARIPFYGSYTEMDPVIIASEGVEKFKNENFEIIIVDTSGRHKQEDSLFEEMLQVANAIQPDNIVYVMDASIGQACEAQAKAFKDKVDVASVIVTKLDGHAKGGGALSAVAATKSPIIFIGTGEHIDDFEPFKTQPFISKLLGMGDIEGLIDKVNELKLDDNEALIEKLKHGQFTLRDMYEQFQNIMKMGPFSQILGMIPGFGTDFMSKGNEQESMARLKKLMTIMDSMNDQELDSTDGAKVFSKQPGRIQRVARGSGVSTRDVQELLTQYTKFAQMVKKMGGIKGLFKGGDMSKNVSQSQMAKLNQQMAKMMDPRVLHHMGGMAGLQSMMRQFQQGAAGNMKGMMGFNNM
+>DECOY_sp|P61011|SRP54_HUMAN Signal recognition particle 54 kDa protein OS=Homo sapiens OX=9606 GN=SRP54 PE=1 SV=1
+MNNFGMMGKMNGAAGQQFQRMMSQLGAMGGMHHLVRPDMMKAMQQNLKAMQSQSVNKSMDGGKFLGKIGGMKKVMQAFKTYQTLLEQVDRTSVGSGRAVRQIRGPQKSFVKAGDTSDLEQDNMSDMITMLKKLRAMSEQENGKSMFDTGFGPIMGLIQSFPGMKMINQFQEYMDRLTFQGHKLKEILAENDDLKLENVKDILGEIDGMGLLKSIFPQTKFPEFDDIHEGTGIFIIPSKTAAVASLAGGGKAHGDLKTVIVSAVDVKDKFAKAQAECAQGISADMVYVINDPQIANAVQLMEEFLSDEQKHRGSTDVIIIEFNENKFKEVGESAIIVPDMETYSGYFPIRAKTANQKLQDFAGARFTDACILCTKWGKRQYYYALKSCTTTKGSGQLGVFMIVNQKGKTPTWAKVGPDVLKVLEKFVAHQIMKRKNLGSAMEELDIASKVNERLQKVLKINVDAELLATCVEKLMANLVEENIITANSLSRLASTIKRGLDALVM
+>sp|Q9Y5M8|SRPRB_HUMAN Signal recognition particle receptor subunit beta OS=Homo sapiens OX=9606 GN=SRPRB PE=1 SV=3
+MASADSRRVADGGGAGGTFQPYLDTLRQELQQTDPTLLSVVVAVLAVLLTLVFWKLIRSRRSSQRAVLLVGLCDSGKTLLFVRLLTGLYRDTQTSITDSCAVYRVNNNRGNSLTLIDLPGHESLRLQFLERFKSSARAIVFVVDSAAFQREVKDVAEFLYQVLIDSMGLKNTPSFLIACNKQDIAMAKSAKLIQQQLEKELNTLRVTRSAAPSTLDSSSTAPAQLGKKGKEFEFSQLPLKVEFLECSAKGGRGDVGSADIQDLEKWLAKIA
+>DECOY_sp|Q9Y5M8|SRPRB_HUMAN Signal recognition particle receptor subunit beta OS=Homo sapiens OX=9606 GN=SRPRB PE=1 SV=3
+AIKALWKELDQIDASGVDGRGGKASCELFEVKLPLQSFEFEKGKKGLQAPATSSSDLTSPAASRTVRLTNLEKELQQQILKASKAMAIDQKNCAILFSPTNKLGMSDILVQYLFEAVDKVERQFAASDVVFVIARASSKFRELFQLRLSEHGPLDILTLSNGRNNNVRYVACSDTISTQTDRYLGTLLRVFLLTKGSDCLGVLLVARQSSRRSRILKWFVLTLLVALVAVVVSLLTPDTQQLEQRLTDLYPQFTGGAGGGDAVRRSDASAM
+>sp|B3KS81|SRRM5_HUMAN Serine/arginine repetitive matrix protein 5 OS=Homo sapiens OX=9606 GN=SRRM5 PE=1 SV=3
+MSSPKRSSKPSMSLAPSGSSMPTADPKPPASLKSTKSATPNRSLVPTKPATSRNSVMSPSSSKSTKSTSTKRAPSNRPSSRSRVRSKARTPSRVSTDTRTSKASKASDVRCHQRRGTHSRGRTPGRRGSRSSKRSPSRASTPGRIRTHGARPGMASRVRTPTSQQKGSRGKSYGRPRTSNRERSDSQPRNLSKKSYRPPGGSGIGRSSELAVTPSTAKCQTPTGIPSKEKSDNPSPSSSRKVKSYGQMIIPSREKSYSPTEMSSRVKSYNQASTRSRPQSHSQSRSPRRSRSGSQKRTHSRVRSHSWKRNHSRARSRTRKGILSQMGRHSQSRSHSKGKSQNQSRTPRRGRSHNWSRNPSKERSHSHSRSSSKERDHRGSSSPRKESGRSQSGSPNKQRDHSRSRSPNKARDRSRSRSPYKARDRSRSRSPNKARDCSRSRSPYKARDRSRSRSPNKARDHSRSRSPNKARDRSRSRSPSKERDHSQLGSPSKERDHRRSRSPSKERQCRQSRSSSKERDHRRSRSPSKERQRRQSRSPNKERDRSQSRSPSEEREHRQSRSPSKERDRRRWRSPSKERERRQSRSSSEERDHSRSRSPNKQSGYSRPRASSKEKAHSRSRTPSKEGNHSQSRTSSKESDPSQSTVPRSPDWKRSPTRTSSLSQNRTPSKTSSHSPSTFPSGGQTLSQDDSQADATTSKATLPGERSSSSSSKLA
+>DECOY_sp|B3KS81|SRRM5_HUMAN Serine/arginine repetitive matrix protein 5 OS=Homo sapiens OX=9606 GN=SRRM5 PE=1 SV=3
+ALKSSSSSSREGPLTAKSTTADAQSDDQSLTQGGSPFTSPSHSSTKSPTRNQSLSSTRTPSRKWDPSRPVTSQSPDSEKSSTRSQSHNGEKSPTRSRSHAKEKSSARPRSYGSQKNPSRSRSHDREESSSRSQRREREKSPSRWRRRDREKSPSRSQRHEREESPSRSQSRDREKNPSRSQRRQREKSPSRSRRHDREKSSSRSQRCQREKSPSRSRRHDREKSPSGLQSHDREKSPSRSRSRDRAKNPSRSRSHDRAKNPSRSRSRDRAKYPSRSRSCDRAKNPSRSRSRDRAKYPSRSRSRDRAKNPSRSRSHDRQKNPSGSQSRGSEKRPSSSGRHDREKSSSRSHSHSREKSPNRSWNHSRGRRPTRSQNQSKGKSHSRSQSHRGMQSLIGKRTRSRARSHNRKWSHSRVRSHTRKQSGSRSRRPSRSQSHSQPRSRTSAQNYSKVRSSMETPSYSKERSPIIMQGYSKVKRSSSPSPNDSKEKSPIGTPTQCKATSPTVALESSRGIGSGGPPRYSKKSLNRPQSDSRERNSTRPRGYSKGRSGKQQSTPTRVRSAMGPRAGHTRIRGPTSARSPSRKSSRSGRRGPTRGRSHTGRRQHCRVDSAKSAKSTRTDTSVRSPTRAKSRVRSRSSPRNSPARKTSTSKTSKSSSPSMVSNRSTAPKTPVLSRNPTASKTSKLSAPPKPDATPMSSGSPALSMSPKSSRKPSSM
+>sp|Q9BXP5|SRRT_HUMAN Serrate RNA effector molecule homolog OS=Homo sapiens OX=9606 GN=SRRT PE=1 SV=1
+MGDSDDEYDRRRRDKFRRERSDYDRSRERDERRRGDDWNDREWDRGRERRSRGEYRDYDRNRRERFSPPRHELSPPQKRMRRDWDEHSSDPYHSGYEMPYAGGGGGPTYGPPQPWGHPDVHIMQHHVLPIQARLGSIAEIDLGVPPPVMKTFKEFLLSLDDSVDETEAVKRYNDYKLDFRRQQMQDFFLAHKDEEWFRSKYHPDEVGKRRQEARGALQNRLRVFLSLMETGWFDNLLLDIDKADAIVKMLDAAVIKMEGGTENDLRILEQEEEEEQAGKPGEPSKKEEGRAGAGLGDGERKTNDKDEKKEDGKQAENDSSNDDKTKKSEGDGDKEEKKEDSEKEAKKSSKKRNRKHSGDDSFDEGSVSESESESESGQAEEEKEEAEEALKEKEKPKEEEWEKPKDAAGLECKPRPLHKTCSLFMRNIAPNISRAEIISLCKRYPGFMRVALSEPQPERRFFRRGWVTFDRSVNIKEICWNLQNIRLRECELSPGVNRDLTRRVRNINGITQHKQIVRNDIKLAAKLIHTLDDRTQLWASEPGTPPLPTSLPSQNPILKNITDYLIEEVSAEEEELLGSSGGAPPEEPPKEGNPAEINVERDEKLIKVLDKLLLYLRIVHSLDYYNTCEYPNEDEMPNRCGIIHVRGPMPPNRISHGEVLEWQKTFEEKLTPLLSVRESLSEEEAQKMGRKDPEQEVEKFVTSNTQELGKDKWLCPLSGKKFKGPEFVRKHIFNKHAEKIEEVKKEVAFFNNFLTDAKRPALPEIKPAQPPGPAQILPPGLTPGLPYPHQTPQGLMPYGQPRPPILGYGAGAVRPAVPTGGPPYPHAPYGAGRGNYDAFRGQGGYPGKPRNRMVRGDPRAIVEYRDLDAPDDVDFF
+>DECOY_sp|Q9BXP5|SRRT_HUMAN Serrate RNA effector molecule homolog OS=Homo sapiens OX=9606 GN=SRRT PE=1 SV=1
+FFDVDDPADLDRYEVIARPDGRVMRNRPKGPYGGQGRFADYNGRGAGYPAHPYPPGGTPVAPRVAGAGYGLIPPRPQGYPMLGQPTQHPYPLGPTLGPPLIQAPGPPQAPKIEPLAPRKADTLFNNFFAVEKKVEEIKEAHKNFIHKRVFEPGKFKKGSLPCLWKDKGLEQTNSTVFKEVEQEPDKRGMKQAEEESLSERVSLLPTLKEEFTKQWELVEGHSIRNPPMPGRVHIIGCRNPMEDENPYECTNYYDLSHVIRLYLLLKDLVKILKEDREVNIEAPNGEKPPEEPPAGGSSGLLEEEEASVEEILYDTINKLIPNQSPLSTPLPPTGPESAWLQTRDDLTHILKAALKIDNRVIQKHQTIGNINRVRRTLDRNVGPSLECERLRINQLNWCIEKINVSRDFTVWGRRFFRREPQPESLAVRMFGPYRKCLSIIEARSINPAINRMFLSCTKHLPRPKCELGAADKPKEWEEEKPKEKEKLAEEAEEKEEEAQGSESESESESVSGEDFSDDGSHKRNRKKSSKKAEKESDEKKEEKDGDGESKKTKDDNSSDNEAQKGDEKKEDKDNTKREGDGLGAGARGEEKKSPEGPKGAQEEEEEQELIRLDNETGGEMKIVAADLMKVIADAKDIDLLLNDFWGTEMLSLFVRLRNQLAGRAEQRRKGVEDPHYKSRFWEEDKHALFFDQMQQRRFDLKYDNYRKVAETEDVSDDLSLLFEKFTKMVPPPVGLDIEAISGLRAQIPLVHHQMIHVDPHGWPQPPGYTPGGGGGAYPMEYGSHYPDSSHEDWDRRMRKQPPSLEHRPPSFRERRNRDYDRYEGRSRRERGRDWERDNWDDGRRREDRERSRDYDSRERRFKDRRRRDYEDDSDGM
+>sp|O75494|SRS10_HUMAN Serine/arginine-rich splicing factor 10 OS=Homo sapiens OX=9606 GN=SRSF10 PE=1 SV=1
+MSRYLRPPNTSLFVRNVADDTRSEDLRREFGRYGPIVDVYVPLDFYTRRPRGFAYVQFEDVRDAEDALHNLDRKWICGRQIEIQFAQGDRKTPNQMKAKEGRNVYSSSRYDDYDRYRRSRSRSYERRRSRSRSFDYNYRRSYSPRNSRPTGRPRRSRSHSDNDRFKHRNRSFSRSKSNSRSRSKSQPKKEMKAKSRSRSASHTKTRGTSKTDSKTHYKSGSRYEKESRKKEPPRSKSQSRSQSRSRSKSRSRSWTSPKSSGH
+>DECOY_sp|O75494|SRS10_HUMAN Serine/arginine-rich splicing factor 10 OS=Homo sapiens OX=9606 GN=SRSF10 PE=1 SV=1
+HGSSKPSTWSRSRSKSRSRSQSRSQSKSRPPEKKRSEKEYRSGSKYHTKSDTKSTGRTKTHSASRSRSKAKMEKKPQSKSRSRSNSKSRSFSRNRHKFRDNDSHSRSRRPRGTPRSNRPSYSRRYNYDFSRSRSRRREYSRSRSRRYRDYDDYRSSSYVNRGEKAKMQNPTKRDGQAFQIEIQRGCIWKRDLNHLADEADRVDEFQVYAFGRPRRTYFDLPVYVDVIPGYRGFERRLDESRTDDAVNRVFLSTNPPRLYRSM
+>sp|Q07955|SRSF1_HUMAN Serine/arginine-rich splicing factor 1 OS=Homo sapiens OX=9606 GN=SRSF1 PE=1 SV=2
+MSGGGVIRGPAGNNDCRIYVGNLPPDIRTKDIEDVFYKYGAIRDIDLKNRRGGPPFAFVEFEDPRDAEDAVYGRDGYDYDGYRLRVEFPRSGRGTGRGGGGGGGGGAPRGRYGPPSRRSENRVVVSGLPPSGSWQDLKDHMREAGDVCYADVYRDGTGVVEFVRKEDMTYAVRKLDNTKFRSHEGETAYIRVKVDGPRSPSYGRSRSRSRSRSRSRSRSNSRSRSYSPRRSRGSPRYSPRHSRSRSRT
+>DECOY_sp|Q07955|SRSF1_HUMAN Serine/arginine-rich splicing factor 1 OS=Homo sapiens OX=9606 GN=SRSF1 PE=1 SV=2
+TRSRSRSHRPSYRPSGRSRRPSYSRSRSNSRSRSRSRSRSRSRSRGYSPSRPGDVKVRIYATEGEHSRFKTNDLKRVAYTMDEKRVFEVVGTGDRYVDAYCVDGAERMHDKLDQWSGSPPLGSVVVRNESRRSPPGYRGRPAGGGGGGGGGRGTGRGSRPFEVRLRYGDYDYGDRGYVADEADRPDEFEVFAFPPGGRRNKLDIDRIAGYKYFVDEIDKTRIDPPLNGVYIRCDNNGAPGRIVGGGSM
+>sp|P84103|SRSF3_HUMAN Serine/arginine-rich splicing factor 3 OS=Homo sapiens OX=9606 GN=SRSF3 PE=1 SV=1
+MHRDSCPLDCKVYVGNLGNNGNKTELERAFGYYGPLRSVWVARNPPGFAFVEFEDPRDAADAVRELDGRTLCGCRVRVELSNGEKRSRNRGPPPSWGRRPRDDYRRRSPPPRRRSPRRRSFSRSRSRSLSRDRRRERSLSRERNHKPSRSFSRSRSRSRSNERK
+>DECOY_sp|P84103|SRSF3_HUMAN Serine/arginine-rich splicing factor 3 OS=Homo sapiens OX=9606 GN=SRSF3 PE=1 SV=1
+KRENSRSRSRSRSFSRSPKHNRERSLSRERRRDRSLSRSRSRSFSRRRPSRRRPPPSRRRYDDRPRRGWSPPPGRNRSRKEGNSLEVRVRCGCLTRGDLERVADAADRPDEFEVFAFGPPNRAVWVSRLPGYYGFARELETKNGNNGLNGVYVKCDLPCSDRHM
+>sp|Q13247|SRSF6_HUMAN Serine/arginine-rich splicing factor 6 OS=Homo sapiens OX=9606 GN=SRSF6 PE=1 SV=2
+MPRVYIGRLSYNVREKDIQRFFSGYGRLLEVDLKNGYGFVEFEDSRDADDAVYELNGKELCGERVIVEHARGPRRDRDGYSYGSRSGGGGYSSRRTSGRDKYGPPVRTEYRLIVENLSSRCSWQDLKDFMRQAGEVTYADAHKERTNEGVIEFRSYSDMKRALDKLDGTEINGRNIRLIEDKPRTSHRRSYSGSRSRSRSRRRSRSRSRRSSRSRSRSISKSRSRSRSRSKGRSRSRSKGRKSRSKSKSKPKSDRGSHSHSRSRSKDEYEKSRSRSRSRSPKENGKGDIKSKSRSRSQSRSNSPLPVPPSKARSVSPPPKRATSRSRSRSRSKSRSRSRSSSRD
+>DECOY_sp|Q13247|SRSF6_HUMAN Serine/arginine-rich splicing factor 6 OS=Homo sapiens OX=9606 GN=SRSF6 PE=1 SV=2
+DRSSSRSRSRSKSRSRSRSRSTARKPPPSVSRAKSPPVPLPSNSRSQSRSRSKSKIDGKGNEKPSRSRSRSRSKEYEDKSRSRSHSHSGRDSKPKSKSKSRSKRGKSRSRSRGKSRSRSRSRSKSISRSRSRSSRRSRSRSRRRSRSRSRSGSYSRRHSTRPKDEILRINRGNIETGDLKDLARKMDSYSRFEIVGENTREKHADAYTVEGAQRMFDKLDQWSCRSSLNEVILRYETRVPPGYKDRGSTRRSSYGGGGSRSGYSYGDRDRRPGRAHEVIVREGCLEKGNLEYVADDADRSDEFEVFGYGNKLDVELLRGYGSFFRQIDKERVNYSLRGIYVRPM
+>sp|Q13242|SRSF9_HUMAN Serine/arginine-rich splicing factor 9 OS=Homo sapiens OX=9606 GN=SRSF9 PE=1 SV=1
+MSGWADERGGEGDGRIYVGNLPTDVREKDLEDLFYKYGRIREIELKNRHGLVPFAFVRFEDPRDAEDAIYGRNGYDYGQCRLRVEFPRTYGGRGGWPRGGRNGPPTRRSDFRVLVSGLPPSGSWQDLKDHMREAGDVCYADVQKDGVGMVEYLRKEDMEYALRKLDDTKFRSHEGETSYIRVYPERSTSYGYSRSRSGSRGRDSPYQSRGSPHYFSPFRPY
+>DECOY_sp|Q13242|SRSF9_HUMAN Serine/arginine-rich splicing factor 9 OS=Homo sapiens OX=9606 GN=SRSF9 PE=1 SV=1
+YPRFPSFYHPSGRSQYPSDRGRSGSRSRSYGYSTSREPYVRIYSTEGEHSRFKTDDLKRLAYEMDEKRLYEVMGVGDKQVDAYCVDGAERMHDKLDQWSGSPPLGSVLVRFDSRRTPPGNRGGRPWGGRGGYTRPFEVRLRCQGYDYGNRGYIADEADRPDEFRVFAFPVLGHRNKLEIERIRGYKYFLDELDKERVDTPLNGVYIRGDGEGGREDAWGSM
+>sp|Q14140|SRTD2_HUMAN SERTA domain-containing protein 2 OS=Homo sapiens OX=9606 GN=SERTAD2 PE=1 SV=1
+MLGKGGKRKFDEHEDGLEGKIVSPCDGPSKVSYTLQRQTIFNISLMKLYNHRPLTEPSLQKTVLINNMLRRIQEELKQEGSLRPMFTPSSQPTTEPSDSYREAPPAFSHLASPSSHPCDLGSTTPLEACLTPASLLEDDDDTFCTSQAMQPTAPTKLSPPALLPEKDSFSSALDEIEELCPTSTSTEAATAATDSVKGTSSEAGTQKLDGPQESRADDSKLMDSLPGNFEITTSTGFLTDLTLDDILFADIDTSMYDFDPCTSSSGTASKMAPVSADDLLKTLAPYSSQPVTPSQPFKMDLTELDHIMEVLVGS
+>DECOY_sp|Q14140|SRTD2_HUMAN SERTA domain-containing protein 2 OS=Homo sapiens OX=9606 GN=SERTAD2 PE=1 SV=1
+SGVLVEMIHDLETLDMKFPQSPTVPQSSYPALTKLLDDASVPAMKSATGSSSTCPDFDYMSTDIDAFLIDDLTLDTLFGTSTTIEFNGPLSDMLKSDDARSEQPGDLKQTGAESSTGKVSDTAATAAETSTSTPCLEEIEDLASSFSDKEPLLAPPSLKTPATPQMAQSTCFTDDDDELLSAPTLCAELPTTSGLDCPHSSPSALHSFAPPAERYSDSPETTPQSSPTFMPRLSGEQKLEEQIRRLMNNILVTKQLSPETLPRHNYLKMLSINFITQRQLTYSVKSPGDCPSVIKGELGDEHEDFKRKGGKGLM
+>sp|Q8WYL5|SSH1_HUMAN Protein phosphatase Slingshot homolog 1 OS=Homo sapiens OX=9606 GN=SSH1 PE=1 SV=2
+MALVTLQRSPTPSAASSSASNSELEAGSEEDRKLNLSLSESFFMVKGAALFLQQGSSPQGQRSLQHPHKHAGDLPQHLQVMINLLRCEDRIKLAVRLESAWADRVRYMVVVYSSGRQDTEENILLGVDFSSKESKSCTIGMVLRLWSDTKIHLDGDGGFSVSTAGRMHIFKPVSVQAMWSALQVLHKACEVARRHNYFPGGVALIWATYYESCISSEQSCINEWNAMQDLESTRPDSPALFVDKPTEGERTERLIKAKLRSIMMSQDLENVTSKEIRNELEKQMNCNLKELKEFIDNEMLLILGQMDKPSLIFDHLYLGSEWNASNLEELQGSGVDYILNVTREIDNFFPGLFAYHNIRVYDEETTDLLAHWNEAYHFINKAKRNHSKCLVHCKMGVSRSASTVIAYAMKEFGWPLEKAYNYVKQKRSITRPNAGFMRQLSEYEGILDASKQRHNKLWRQQTDSSLQQPVDDPAGPGDFLPETPDGTPESQLPFLDDAAQPGLGPPLPCCFRRLSDPLLPSPEDETGSLVHLEDPEREALLEEAAPPAEVHRPARQPQQGSGLCEKDVKKKLEFGSPKGRSGSLLQVEETEREEGLGAGRWGQLPTQLDQNLLNSENLNNNSKRSCPNGMEDDAIFGILNKVKPSYKSCADCMYPTASGAPEASRERCEDPNAPAICTQPAFLPHITSSPVAHLASRSRVPEKPASGPTEPPPFLPPAGSRRADTSGPGAGAALEPPASLLEPSRETPKVLPKSLLLKNSHCDKNPPSTEVVIKEESSPKKDMKPAKDLRLLFSNESEKPTTNSYLMQHQESIIQLQKAGLVRKHTKELERLKSVPADPAPPSRDGPASRLEASIPEESQDPAALHELGPLVMPSQAGSDEKSEAAPASLEGGSLKSPPPFFYRLDHTSSFSKDFLKTICYTPTSSSMSSNLTRSSSSDSIHSVRGKPGLVKQRTQEIETRLRLAGLTVSSPLKRSHSLAKLGSLTFSTEDLSSEADPSTVADSQDTTLSESSFLHEPQGTPRDPAATSKPSGKPAPENLKSPSWMSKS
+>DECOY_sp|Q8WYL5|SSH1_HUMAN Protein phosphatase Slingshot homolog 1 OS=Homo sapiens OX=9606 GN=SSH1 PE=1 SV=2
+SKSMWSPSKLNEPAPKGSPKSTAAPDRPTGQPEHLFSSESLTTDQSDAVTSPDAESSLDETSFTLSGLKALSHSRKLPSSVTLGALRLRTEIEQTRQKVLGPKGRVSHISDSSSSRTLNSSMSSSTPTYCITKLFDKSFSSTHDLRYFFPPPSKLSGGELSAPAAESKEDSGAQSPMVLPGLEHLAAPDQSEEPISAELRSAPGDRSPPAPDAPVSKLRELEKTHKRVLGAKQLQIISEQHQMLYSNTTPKESENSFLLRLDKAPKMDKKPSSEEKIVVETSPPNKDCHSNKLLLSKPLVKPTERSPELLSAPPELAAGAGPGSTDARRSGAPPLFPPPETPGSAPKEPVRSRSALHAVPSSTIHPLFAPQTCIAPANPDECRERSAEPAGSATPYMCDACSKYSPKVKNLIGFIADDEMGNPCSRKSNNNLNESNLLNQDLQTPLQGWRGAGLGEERETEEVQLLSGSRGKPSGFELKKKVDKECLGSGQQPQRAPRHVEAPPAAEELLAEREPDELHVLSGTEDEPSPLLPDSLRRFCCPLPPGLGPQAADDLFPLQSEPTGDPTEPLFDGPGAPDDVPQQLSSDTQQRWLKNHRQKSADLIGEYESLQRMFGANPRTISRKQKVYNYAKELPWGFEKMAYAIVTSASRSVGMKCHVLCKSHNRKAKNIFHYAENWHALLDTTEEDYVRINHYAFLGPFFNDIERTVNLIYDVGSGQLEELNSANWESGLYLHDFILSPKDMQGLILLMENDIFEKLEKLNCNMQKELENRIEKSTVNELDQSMMISRLKAKILRETREGETPKDVFLAPSDPRTSELDQMANWENICSQESSICSEYYTAWILAVGGPFYNHRRAVECAKHLVQLASWMAQVSVPKFIHMRGATSVSFGGDGDLHIKTDSWLRLVMGITCSKSEKSSFDVGLLINEETDQRGSSYVVVMYRVRDAWASELRVALKIRDECRLLNIMVQLHQPLDGAHKHPHQLSRQGQPSSGQQLFLAAGKVMFFSESLSLNLKRDEESGAELESNSASSSAASPTPSRQLTVLAM
+>sp|Q76I76|SSH2_HUMAN Protein phosphatase Slingshot homolog 2 OS=Homo sapiens OX=9606 GN=SSH2 PE=1 SV=1
+MALVTVQRSPTPSTTSSPCASEADSGEEECRSQPRSISESFLTVKGAALFLPRGNGSSTPRISHRRNKHAGDLQQHLQAMFILLRPEDNIRLAVRLESTYQNRTRYMVVVSTNGRQDTEESIVLGMDFSSNDSSTCTMGLVLPLWSDTLIHLDGDGGFSVSTDNRVHIFKPVSVQAMWSALQSLHKACEVARAHNYYPGSLFLTWVSYYESHINSDQSSVNEWNAMQDVQSHRPDSPALFTDIPTERERTERLIKTKLREIMMQKDLENITSKEIRTELEMQMVCNLREFKEFIDNEMIVILGQMDSPTQIFEHVFLGSEWNASNLEDLQNRGVRYILNVTREIDNFFPGVFEYHNIRVYDEEATDLLAYWNDTYKFISKAKKHGSKCLVHCKMGVSRSASTVIAYAMKEYGWNLDRAYDYVKERRTVTKPNPSFMRQLEEYQGILLASKQRHNKLWRSHSDSDLSDHHEPICKPGLELNKKDITTSADQIAEVKTMESHPPIPPVFVEHMVPQDANQKGLCTKERMICLEFTSREFHAGQIEDELNLNDINGCSSGCCLNESKFPLDNCHASKALIQPGHVPEMANKFPDLTVEDLETDALKADMNVHLLPMEELTSPLKDPPMSPDPESPSPQPSCQTEISDFSTDRIDFFSALEKFVELSQETRSRSFSHSRMEELGGGRNESCRLSVVEVAPSKVTADDQRSSSLSNTPHASEESSMDEEQSKAISELVSPDIFMQSHSENAISVKEIVTEIESISQGVGQIQLKGDILPNPCHTPKKNSIHELLLERAQTPENKPGHMEQDEDSCTAQPELAKDSGMCNPEGCLTTHSSIADLEEGEPAEGEQELQGSGMHPGAKWYPGSVRRATLEFEERLRQEQEHHGAAPTCTSLSTRKNSKNDSSVADLAPKGKSDEAPPEHSFVLKEPEMSKGKGKYSGSEAGSLSHSEQNATVPAPRVLEFDHLPDPQEGPGSDTGTQQEGVLKDLRTVIPYQESETQAVPLPLPKRVEIIEYTHIVTSPNHTGPGSEIATSEKSGEQGLRKVNMEKSVTVLCTLDENLNRTLDPNQVSLHPQVLPLPHSSSPEHNRPTDHPTSILSSPEDRGSSLSTALETAAPFVSHTTHLLSASLDYLHPQTMVHLEGFTEQSSTTDEPSAEQVSWEESQESPLSSGSEVPYKDSQLSSADLSLISKLGDNTGELQEKMDPLPVACRLPHSSSSENIKSLSHSPGVVKERAKEIESRVVFQAGLTKPSQMRRSASLAKLGYLDLCKDCLPEREPASCESPHLKLLQPFLRTDSGMHAMEDQESLENPGAPHNPEPTKSFVEQLTTTECIVQSKPVERPLVQYAKEFGSSQQYLLPRAGLELTSSEGGLPVLQTQGLQCACPAPGLAVAPRQQHGRTHPLRRLKKANDKKRTTNPFYNTM
+>DECOY_sp|Q76I76|SSH2_HUMAN Protein phosphatase Slingshot homolog 2 OS=Homo sapiens OX=9606 GN=SSH2 PE=1 SV=1
+MTNYFPNTTRKKDNAKKLRRLPHTRGHQQRPAVALGPAPCACQLGQTQLVPLGGESSTLELGARPLLYQQSSGFEKAYQVLPREVPKSQVICETTTLQEVFSKTPEPNHPAGPNELSEQDEMAHMGSDTRLFPQLLKLHPSECSAPEREPLCDKCLDLYGLKALSASRRMQSPKTLGAQFVVRSEIEKAREKVVGPSHSLSKINESSSSHPLRCAVPLPDMKEQLEGTNDGLKSILSLDASSLQSDKYPVESGSSLPSEQSEEWSVQEASPEDTTSSQETFGELHVMTQPHLYDLSASLLHTTHSVFPAATELATSLSSGRDEPSSLISTPHDTPRNHEPSSSHPLPLVQPHLSVQNPDLTRNLNEDLTCLVTVSKEMNVKRLGQEGSKESTAIESGPGTHNPSTVIHTYEIIEVRKPLPLPVAQTESEQYPIVTRLDKLVGEQQTGTDSGPGEQPDPLHDFELVRPAPVTANQESHSLSGAESGSYKGKGKSMEPEKLVFSHEPPAEDSKGKPALDAVSSDNKSNKRTSLSTCTPAAGHHEQEQRLREEFELTARRVSGPYWKAGPHMGSGQLEQEGEAPEGEELDAISSHTTLCGEPNCMGSDKALEPQATCSDEDQEMHGPKNEPTQARELLLEHISNKKPTHCPNPLIDGKLQIQGVGQSISEIETVIEKVSIANESHSQMFIDPSVLESIAKSQEEDMSSEESAHPTNSLSSSRQDDATVKSPAVEVVSLRCSENRGGGLEEMRSHSFSRSRTEQSLEVFKELASFFDIRDTSFDSIETQCSPQPSPSEPDPSMPPDKLPSTLEEMPLLHVNMDAKLADTELDEVTLDPFKNAMEPVHGPQILAKSAHCNDLPFKSENLCCGSSCGNIDNLNLEDEIQGAHFERSTFELCIMREKTCLGKQNADQPVMHEVFVPPIPPHSEMTKVEAIQDASTTIDKKNLELGPKCIPEHHDSLDSDSHSRWLKNHRQKSALLIGQYEELQRMFSPNPKTVTRREKVYDYARDLNWGYEKMAYAIVTSASRSVGMKCHVLCKSGHKKAKSIFKYTDNWYALLDTAEEDYVRINHYEFVGPFFNDIERTVNLIYRVGRNQLDELNSANWESGLFVHEFIQTPSDMQGLIVIMENDIFEKFERLNCVMQMELETRIEKSTINELDKQMMIERLKTKILRETRERETPIDTFLAPSDPRHSQVDQMANWENVSSQDSNIHSEYYSVWTLFLSGPYYNHARAVECAKHLSQLASWMAQVSVPKFIHVRNDTSVSFGGDGDLHILTDSWLPLVLGMTCTSSDNSSFDMGLVISEETDQRGNTSVVVMYRTRNQYTSELRVALRINDEPRLLIFMAQLHQQLDGAHKNRRHSIRPTSSGNGRPLFLAAGKVTLFSESISRPQSRCEEEGSDAESACPSSTTSPTPSRQVTVLAM
+>sp|O43805|SSNA1_HUMAN Sjoegren syndrome nuclear autoantigen 1 OS=Homo sapiens OX=9606 GN=SSNA1 PE=1 SV=2
+MTQQGAALQNYNNELVKCIEELCQKREELCRQIQEEEDEKQRLQNEVRQLTEKLARVNENLARKIASRNEFDRTIAETEAAYLKILESSQTLLSVLKREAGNLTKATAPDQKSSGGRDS
+>DECOY_sp|O43805|SSNA1_HUMAN Sjoegren syndrome nuclear autoantigen 1 OS=Homo sapiens OX=9606 GN=SSNA1 PE=1 SV=2
+SDRGGSSKQDPATAKTLNGAERKLVSLLTQSSELIKLYAAETEAITRDFENRSAIKRALNENVRALKETLQRVENQLRQKEDEEEQIQRCLEERKQCLEEICKVLENNYNQLAAGQQTM
+>sp|P43308|SSRB_HUMAN Translocon-associated protein subunit beta OS=Homo sapiens OX=9606 GN=SSR2 PE=1 SV=1
+MRLLSFVVLALFAVTQAEEGARLLASKSLLNRYAVEGRDLTLQYNIYNVGSSAALDVELSDDSFPPEDFGIVSGMLNVKWDRIAPASNVSHTVVLRPLKAGYFNFTSATITYLAQEDGPVVIGSTSAPGQGGILAQREFDRRFSPHFLDWAAFGVMTLPSIGIPLLLWYSSKRKYDTPKTKKN
+>DECOY_sp|P43308|SSRB_HUMAN Translocon-associated protein subunit beta OS=Homo sapiens OX=9606 GN=SSR2 PE=1 SV=1
+NKKTKPTDYKRKSSYWLLLPIGISPLTMVGFAAWDLFHPSFRRDFERQALIGGQGPASTSGIVVPGDEQALYTITASTFNFYGAKLPRLVVTHSVNSAPAIRDWKVNLMGSVIGFDEPPFSDDSLEVDLAASSGVNYINYQLTLDRGEVAYRNLLSKSALLRAGEEAQTVAFLALVVFSLLRM
+>sp|Q7RTT4|SSX8_HUMAN Protein SSX8 OS=Homo sapiens OX=9606 GN=SSX8 PE=2 SV=2
+MNGDDAFAKRPRDDDKASEKRSKAFNDIATYFSKKEWEKMKYSEKISYVYMKRNYEAMTKLGFNVTLPPFMCNKQATDFQGNYFDNDRNRRIQVERPQMTFGRLQRIIPKIMPKKPAEEGNDSKGVSEASGPQNDGKQLAPGKANTSEKINKRSGPKRGRHAWTHRLRERNQLVIYEEIRDPEEDDE
+>DECOY_sp|Q7RTT4|SSX8_HUMAN Protein SSX8 OS=Homo sapiens OX=9606 GN=SSX8 PE=2 SV=2
+EDDEEPDRIEEYIVLQNRERLRHTWAHRGRKPGSRKNIKESTNAKGPALQKGDNQPGSAESVGKSDNGEEAPKKPMIKPIIRQLRGFTMQPREVQIRRNRDNDFYNGQFDTAQKNCMFPPLTVNFGLKTMAEYNRKMYVYSIKESYKMKEWEKKSFYTAIDNFAKSRKESAKDDDRPRKAFADDGNM
+>sp|Q15468|STIL_HUMAN SCL-interrupting locus protein OS=Homo sapiens OX=9606 GN=STIL PE=1 SV=2
+MEPIYPFARPQMNTRFPSSRMVPFHFPPSKCALWNPTPTGDFIYLHLSYYRNPKLVVTEKTIRLAYRHAKQNKKNSSCFLLGSLTADEDEEGVTLTVDRFDPGREVPECLEITPTASLPGDFLIPCKVHTQELCSREMIVHSVDDFSSALKALQCHICSKDSLDCGKLLSLRVHITSRESLDSVEFDLHWAAVTLANNFKCTPVKPIPIIPTALARNLSSNLNISQVQGTYKYGYLTMDETRKLLLLLESDPKVYSLPLVGIWLSGITHIYSPQVWACCLRYIFNSSVQERVFSESGNFIIVLYSMTHKEPEFYECFPCDGKIPDFRFQLLTSKETLHLFKNVEPPDKNPIRCELSAESQNAETEFFSKASKNFSIKRSSQKLSSGKMPIHDHDSGVEDEDFSPRPIPSPHPVSQKISKIQPSVPELSLVLDGNFIESNPLPTPLEMVNNENPPLINHLEHLKPLQPQLYDEKHSPEVEAGEPSLRGIPNQLNQDKPALLRHCKVRQPPAYKKGNPHTRNSIKPSSHNGPSHDIFEKLQTVSAGNVQNEEYPIRPSTLNSRQSSLAPQSQPHDFVFSPHNSGRPMELQIPTPPLPSYCSTNVCRCCQHHSHIQYSPLNSWQGANTVGSIQDVQSEALQKHSLFHPSGCPALYCNAFCSSSSPIALRPQGDMGSCSPHSNIEPSPVARPPSHMDLCNPQPCTVCMHTPKTESDNGMMGLSPDAYRFLTEQDRQLRLLQAQIQRLLEAQSLMPCSPKTTAVEDTVQAGRQMELVSVEAQSSPGLHMRKGVSIAVSTGASLFWNAAGEDQEPDSQMKQDDTKISSEDMNFSVDINNEVTSLPGSASSLKAVDIPSFEESNIAVEEEFNQPLSVSNSSLVVRKEPDVPVFFPSGQLAESVSMCLQTGPTGGASNNSETSEEPKIEHVMQPLLHQPSDNQKIYQDLLGQVNHLLNSSSKETEQPSTKAVIISHECTRTQNVYHTKKKTHHSRLVDKDCVLNATLKQLRSLGVKIDSPTKVKKNAHNVDHASVLACISPEAVISGLNCMSFANVGMSGLSPNGVDLSMEANAIALKYLNENQLSQLSVTRSNQNNCDPFSLLHINTDRSTVGLSLISPNNMSFATKKYMKRYGLLQSSDNSEDEEEPPDNADSKSEYLLNQNLRSIPEQLGGQKEPSKNDHEIINCSNCESVGTNADTPVLRNITNEVLQTKAKQQLTEKPAFLVKNLKPSPAVNLRTGKAEFTQHPEKENEGDITIFPESLQPSETLKQMNSMNSVGTFLDVKRLRQLPKLF
+>DECOY_sp|Q15468|STIL_HUMAN SCL-interrupting locus protein OS=Homo sapiens OX=9606 GN=STIL PE=1 SV=2
+FLKPLQRLRKVDLFTGVSNMSNMQKLTESPQLSEPFITIDGENEKEPHQTFEAKGTRLNVAPSPKLNKVLFAPKETLQQKAKTQLVENTINRLVPTDANTGVSECNSCNIIEHDNKSPEKQGGLQEPISRLNQNLLYESKSDANDPPEEEDESNDSSQLLGYRKMYKKTAFSMNNPSILSLGVTSRDTNIHLLSFPDCNNQNSRTVSLQSLQNENLYKLAIANAEMSLDVGNPSLGSMGVNAFSMCNLGSIVAEPSICALVSAHDVNHANKKVKTPSDIKVGLSRLQKLTANLVCDKDVLRSHHTKKKTHYVNQTRTCEHSIIVAKTSPQETEKSSSNLLHNVQGLLDQYIKQNDSPQHLLPQMVHEIKPEESTESNNSAGGTPGTQLCMSVSEALQGSPFFVPVDPEKRVVLSSNSVSLPQNFEEEVAINSEEFSPIDVAKLSSASGPLSTVENNIDVSFNMDESSIKTDDQKMQSDPEQDEGAANWFLSAGTSVAISVGKRMHLGPSSQAEVSVLEMQRGAQVTDEVATTKPSCPMLSQAELLRQIQAQLLRLQRDQETLFRYADPSLGMMGNDSETKPTHMCVTCPQPNCLDMHSPPRAVPSPEINSHPSCSGMDGQPRLAIPSSSSCFANCYLAPCGSPHFLSHKQLAESQVDQISGVTNAGQWSNLPSYQIHSHHQCCRCVNTSCYSPLPPTPIQLEMPRGSNHPSFVFDHPQSQPALSSQRSNLTSPRIPYEENQVNGASVTQLKEFIDHSPGNHSSPKISNRTHPNGKKYAPPQRVKCHRLLAPKDQNLQNPIGRLSPEGAEVEPSHKEDYLQPQLPKLHELHNILPPNENNVMELPTPLPNSEIFNGDLVLSLEPVSPQIKSIKQSVPHPSPIPRPSFDEDEVGSDHDHIPMKGSSLKQSSRKISFNKSAKSFFETEANQSEASLECRIPNKDPPEVNKFLHLTEKSTLLQFRFDPIKGDCPFCEYFEPEKHTMSYLVIIFNGSESFVREQVSSNFIYRLCCAWVQPSYIHTIGSLWIGVLPLSYVKPDSELLLLLKRTEDMTLYGYKYTGQVQSINLNSSLNRALATPIIPIPKVPTCKFNNALTVAAWHLDFEVSDLSERSTIHVRLSLLKGCDLSDKSCIHCQLAKLASSFDDVSHVIMERSCLEQTHVKCPILFDGPLSATPTIELCEPVERGPDFRDVTLTVGEEDEDATLSGLLFCSSNKKNQKAHRYALRITKETVVLKPNRYYSLHLYIFDGTPTPNWLACKSPPFHFPVMRSSPFRTNMQPRAFPYIPEM
+>sp|Q86TL2|STIMA_HUMAN Store-operated calcium entry regulator STIMATE OS=Homo sapiens OX=9606 GN=STIMATE PE=1 SV=1
+MQGPAGNASRGLPGGPPSTVASGAGRCESGALMHSFGIFLQGLLGVVAFSTLMLKRFREPKHERRPWRIWFLDTSKQAIGMLFIHFANVYLADLTEEDPCSLYLINFLLDATVGMLLIYVGVRAVSVLVEWQQWESLRFGEYGDPLQCGAWVGQCALYIVIMIFEKSVVFIVLLILQWKKVALLNPIENPDLKLAIVMLIVPFFVNALMFWVVDNFLMRKGKTKAKLEERGANQDSRNGSKVRYRRAASHEESESEILISADDEMEESDVEEDLRRLTPLKPVKKKKHRFGLPV
+>DECOY_sp|Q86TL2|STIMA_HUMAN Store-operated calcium entry regulator STIMATE OS=Homo sapiens OX=9606 GN=STIMATE PE=1 SV=1
+VPLGFRHKKKKVPKLPTLRRLDEEVDSEEMEDDASILIESESEEHSAARRYRVKSGNRSDQNAGREELKAKTKGKRMLFNDVVWFMLANVFFPVILMVIALKLDPNEIPNLLAVKKWQLILLVIFVVSKEFIMIVIYLACQGVWAGCQLPDGYEGFRLSEWQQWEVLVSVARVGVYILLMGVTADLLFNILYLSCPDEETLDALYVNAFHIFLMGIAQKSTDLFWIRWPRREHKPERFRKLMLTSFAVVGLLGQLFIGFSHMLAGSECRGAGSAVTSPPGGPLGRSANGAPGQM
+>sp|Q86WV6|STING_HUMAN Stimulator of interferon genes protein OS=Homo sapiens OX=9606 GN=TMEM173 PE=1 SV=1
+MPHSSLHPSIPCPRGHGAQKAALVLLSACLVTLWGLGEPPEHTLRYLVLHLASLQLGLLLNGVCSLAEELRHIHSRYRGSYWRTVRACLGCPLRRGALLLLSIYFYYSLPNAVGPPFTWMLALLGLSQALNILLGLKGLAPAEISAVCEKGNFNVAHGLAWSYYIGYLRLILPELQARIRTYNQHYNNLLRGAVSQRLYILLPLDCGVPDNLSMADPNIRFLDKLPQQTGDHAGIKDRVYSNSIYELLENGQRAGTCVLEYATPLQTLFAMSQYSQAGFSREDRLEQAKLFCRTLEDILADAPESQNNCRLIAYQEPADDSSFSLSQEVLRHLRQEEKEEVTVGSLKTSAVPSTSTMSQEPELLISGMEKPLPLRTDFS
+>DECOY_sp|Q86WV6|STING_HUMAN Stimulator of interferon genes protein OS=Homo sapiens OX=9606 GN=TMEM173 PE=1 SV=1
+SFDTRLPLPKEMGSILLEPEQSMTSTSPVASTKLSGVTVEEKEEQRLHRLVEQSLSFSSDDAPEQYAILRCNNQSEPADALIDELTRCFLKAQELRDERSFGAQSYQSMAFLTQLPTAYELVCTGARQGNELLEYISNSYVRDKIGAHDGTQQPLKDLFRINPDAMSLNDPVGCDLPLLIYLRQSVAGRLLNNYHQNYTRIRAQLEPLILRLYGIYYSWALGHAVNFNGKECVASIEAPALGKLGLLINLAQSLGLLALMWTFPPGVANPLSYYFYISLLLLAGRRLPCGLCARVTRWYSGRYRSHIHRLEEALSCVGNLLLGLQLSALHLVLYRLTHEPPEGLGWLTVLCASLLVLAAKQAGHGRPCPISPHLSSHPM
+>sp|P31948|STIP1_HUMAN Stress-induced-phosphoprotein 1 OS=Homo sapiens OX=9606 GN=STIP1 PE=1 SV=1
+MEQVNELKEKGNKALSVGNIDDALQCYSEAIKLDPHNHVLYSNRSAAYAKKGDYQKAYEDGCKTVDLKPDWGKGYSRKAAALEFLNRFEEAKRTYEEGLKHEANNPQLKEGLQNMEARLAERKFMNPFNMPNLYQKLESDPRTRTLLSDPTYRELIEQLRNKPSDLGTKLQDPRIMTTLSVLLGVDLGSMDEEEEIATPPPPPPPKKETKPEPMEEDLPENKKQALKEKELGNDAYKKKDFDTALKHYDKAKELDPTNMTYITNQAAVYFEKGDYNKCRELCEKAIEVGRENREDYRQIAKAYARIGNSYFKEEKYKDAIHFYNKSLAEHRTPDVLKKCQQAEKILKEQERLAYINPDLALEEKNKGNECFQKGDYPQAMKHYTEAIKRNPKDAKLYSNRAACYTKLLEFQLALKDCEECIQLEPTFIKGYTRKAAALEAMKDYTKAMDVYQKALDLDSSCKEAADGYQRCMMAQYNRHDSPEDVKRRAMADPEVQQIMSDPAMRLILEQMQKDPQALSEHLKNPVIAQKIQKLMDVGLIAIR
+>DECOY_sp|P31948|STIP1_HUMAN Stress-induced-phosphoprotein 1 OS=Homo sapiens OX=9606 GN=STIP1 PE=1 SV=1
+RIAILGVDMLKQIKQAIVPNKLHESLAQPDKQMQELILRMAPDSMIQQVEPDAMARRKVDEPSDHRNYQAMMCRQYGDAAEKCSSDLDLAKQYVDMAKTYDKMAELAAAKRTYGKIFTPELQICEECDKLALQFELLKTYCAARNSYLKADKPNRKIAETYHKMAQPYDGKQFCENGKNKEELALDPNIYALREQEKLIKEAQQCKKLVDPTRHEALSKNYFHIADKYKEEKFYSNGIRAYAKAIQRYDERNERGVEIAKECLERCKNYDGKEFYVAAQNTIYTMNTPDLEKAKDYHKLATDFDKKKYADNGLEKEKLAQKKNEPLDEEMPEPKTEKKPPPPPPPTAIEEEEDMSGLDVGLLVSLTTMIRPDQLKTGLDSPKNRLQEILERYTPDSLLTRTRPDSELKQYLNPMNFPNMFKREALRAEMNQLGEKLQPNNAEHKLGEEYTRKAEEFRNLFELAAAKRSYGKGWDPKLDVTKCGDEYAKQYDGKKAYAASRNSYLVHNHPDLKIAESYCQLADDINGVSLAKNGKEKLENVQEM
+>sp|Q15831|STK11_HUMAN Serine/threonine-protein kinase STK11 OS=Homo sapiens OX=9606 GN=STK11 PE=1 SV=1
+MEVVDPQQLGMFTEGELMSVGMDTFIHRIDSTEVIYQPRRKRAKLIGKYLMGDLLGEGSYGKVKEVLDSETLCRRAVKILKKKKLRRIPNGEANVKKEIQLLRRLRHKNVIQLVDVLYNEEKQKMYMVMEYCVCGMQEMLDSVPEKRFPVCQAHGYFCQLIDGLEYLHSQGIVHKDIKPGNLLLTTGGTLKISDLGVAEALHPFAADDTCRTSQGSPAFQPPEIANGLDTFSGFKVDIWSAGVTLYNITTGLYPFEGDNIYKLFENIGKGSYAIPGDCGPPLSDLLKGMLEYEPAKRFSIRQIRQHSWFRKKHPPAEAPVPIPPSPDTKDRWRSMTVVPYLEDLHGADEDEDLFDIEDDIIYTQDFTVPGQVPEEEASHNGQRRGLPKAVCMNGTEAAQLSTKSRAEGRAPNPARKACSASSKIRRLSACKQQ
+>DECOY_sp|Q15831|STK11_HUMAN Serine/threonine-protein kinase STK11 OS=Homo sapiens OX=9606 GN=STK11 PE=1 SV=1
+QQKCASLRRIKSSASCAKRAPNPARGEARSKTSLQAAETGNMCVAKPLGRRQGNHSAEEEPVQGPVTFDQTYIIDDEIDFLDEDEDAGHLDELYPVVTMSRWRDKTDPSPPIPVPAEAPPHKKRFWSHQRIQRISFRKAPEYELMGKLLDSLPPGCDGPIAYSGKGINEFLKYINDGEFPYLGTTINYLTVGASWIDVKFGSFTDLGNAIEPPQFAPSGQSTRCTDDAAFPHLAEAVGLDSIKLTGGTTLLLNGPKIDKHVIGQSHLYELGDILQCFYGHAQCVPFRKEPVSDLMEQMGCVCYEMVMYMKQKEENYLVDVLQIVNKHRLRRLLQIEKKVNAEGNPIRRLKKKKLIKVARRCLTESDLVEKVKGYSGEGLLDGMLYKGILKARKRRPQYIVETSDIRHIFTDMGVSMLEGETFMGLQQPDVVEM
+>sp|Q9BXU1|STK31_HUMAN Serine/threonine-protein kinase 31 OS=Homo sapiens OX=9606 GN=STK31 PE=2 SV=2
+MWVQGHSSRASATESVSFSGIVQMDEDTHYDKVEDVVGSHIEDAVTFWAQSINRNKDIMKIGCSLSEVCPQASSVLGNLDPNKIYGGLFSEDQCWYRCKVLKIISVEKCLVRYIDYGNTEILNRSDIVEIPLELQFSSVAKKYKLWGLHIPSDQEVTQFDQGTTFLGSLIFEKEIKMRIKATSEDGTVIAQAEYGSVDIGEEVLKKGFAEKCRLASRTDICEEKKLDPGQLVLRNLKSPIPLWGHRSNQSTFSRPKGHLSEKMTLDLKDENDAGNLITFPKESLAVGDFNLGSNVSLEKIKQDQKLIEENEKLKTEKDALLESYKALELKVEQIAQELQQEKAAAVDLTNHLEYTLKTYIDTRMKNLAAKMEILKEMRHVDISVRFGKDLSDAIQVLDEGCFTTPASLNGLEIIWAEYSLAQENIKTCEYVSEGNILIAQRNEMQQKLYMSVEDFILEVDESSLNKRLKTLQDLSVSLEAVYGQAKEGANSDEILKKFYDWKCDKREEFTSVRSETDASLHRLVAWFQRTLKVFDLSVEGSLISEDAMDNIDEILEKTESSVCKELEIALVDQGDADKEIISNTYSQVLQKIHSEERLIATVQAKYKDSIEFKKQLIEYLNKSPSVDHLLSIKKTLKSLKALLRWKLVEKSNLEESDDPDGSQIEKIKEEITQLRNNVFQEIYHEREEYEMLTSLAQKWFPELPLLHPEIGLLKYMNSGGLLTMSLERDLLDAEPMKELSSKRPLVRSEVNGQIILLKGYSVDVDTEAKVIERAATYHRAWREAEGDSGLLPLIFLFLCKSDPMAYLMVPYYPRANLNAVQANMPLNSEETLKVMKGVAQGLHTLHKADIIHGSLHQNNVFALNREQGIVGDFDFTKSVSQRASVNMMVGDLSLMSPELKMGKPASPGSDLYAYGCLLLWLSVQNQEFEINKDGIPKVDQFHLDDKVKSLLCSLICYRSSMTAEQVLNAECFLMPKEQSVPNPEKDTEYTLYKKEEEIKTENLDKCMEKTRNGEANFDC
+>DECOY_sp|Q9BXU1|STK31_HUMAN Serine/threonine-protein kinase 31 OS=Homo sapiens OX=9606 GN=STK31 PE=2 SV=2
+CDFNAEGNRTKEMCKDLNETKIEEEKKYLTYETDKEPNPVSQEKPMLFCEANLVQEATMSSRYCILSCLLSKVKDDLHFQDVKPIGDKNIEFEQNQVSLWLLLCGYAYLDSGPSAPKGMKLEPSMLSLDGVMMNVSARQSVSKTFDFDGVIGQERNLAFVNNQHLSGHIIDAKHLTHLGQAVGKMVKLTEESNLPMNAQVANLNARPYYPVMLYAMPDSKCLFLFILPLLGSDGEAERWARHYTAAREIVKAETDVDVSYGKLLIIQGNVESRVLPRKSSLEKMPEADLLDRELSMTLLGGSNMYKLLGIEPHLLPLEPFWKQALSTLMEYEEREHYIEQFVNNRLQTIEEKIKEIQSGDPDDSEELNSKEVLKWRLLAKLSKLTKKISLLHDVSPSKNLYEILQKKFEISDKYKAQVTAILREESHIKQLVQSYTNSIIEKDADGQDVLAIELEKCVSSETKELIEDINDMADESILSGEVSLDFVKLTRQFWAVLRHLSADTESRVSTFEERKDCKWDYFKKLIEDSNAGEKAQGYVAELSVSLDQLTKLRKNLSSEDVELIFDEVSMYLKQQMENRQAILINGESVYECTKINEQALSYEAWIIELGNLSAPTTFCGEDLVQIADSLDKGFRVSIDVHRMEKLIEMKAALNKMRTDIYTKLTYELHNTLDVAAAKEQQLEQAIQEVKLELAKYSELLADKETKLKENEEILKQDQKIKELSVNSGLNFDGVALSEKPFTILNGADNEDKLDLTMKESLHGKPRSFTSQNSRHGWLPIPSKLNRLVLQGPDLKKEECIDTRSALRCKEAFGKKLVEEGIDVSGYEAQAIVTGDESTAKIRMKIEKEFILSGLFTTGQDFQTVEQDSPIHLGWLKYKKAVSSFQLELPIEVIDSRNLIETNGYDIYRVLCKEVSIIKLVKCRYWCQDESFLGGYIKNPDLNGLVSSAQPCVESLSCGIKMIDKNRNISQAWFTVADEIHSGVVDEVKDYHTDEDMQVIGSFSVSETASARSSHGQVWM
+>sp|Q9UEW8|STK39_HUMAN STE20/SPS1-related proline-alanine-rich protein kinase OS=Homo sapiens OX=9606 GN=STK39 PE=1 SV=3
+MAEPSGSPVHVQLPQQAAPVTAAAAAAPAAATAAPAPAAPAAPAPAPAPAAQAVGWPICRDAYELQEVIGSGATAVVQAALCKPRQERVAIKRINLEKCQTSMDELLKEIQAMSQCSHPNVVTYYTSFVVKDELWLVMKLLSGGSMLDIIKYIVNRGEHKNGVLEEAIIATILKEVLEGLDYLHRNGQIHRDLKAGNILLGEDGSVQIADFGVSAFLATGGDVTRNKVRKTFVGTPCWMAPEVMEQVRGYDFKADMWSFGITAIELATGAAPYHKYPPMKVLMLTLQNDPPTLETGVEDKEMMKKYGKSFRKLLSLCLQKDPSKRPTAAELLKCKFFQKAKNREYLIEKLLTRTPDIAQRAKKVRRVPGSSGHLHKTEDGDWEWSDDEMDEKSEEGKAAFSQEKSRRVKEENPEIAVSASTIPEQIQSLSVHDSQGPPNANEDYREASSCAVNLVLRLRNSRKELNDIRFEFTPGRDTADGVSQELFSAGLVDGHDVVIVAANLQKIVDDPKALKTLTFKLASGCDGSEIPDEVKLIGFAQLSVS
+>DECOY_sp|Q9UEW8|STK39_HUMAN STE20/SPS1-related proline-alanine-rich protein kinase OS=Homo sapiens OX=9606 GN=STK39 PE=1 SV=3
+SVSLQAFGILKVEDPIESGDCGSALKFTLTKLAKPDDVIKQLNAAVIVVDHGDVLGASFLEQSVGDATDRGPTFEFRIDNLEKRSNRLRLVLNVACSSAERYDENANPPGQSDHVSLSQIQEPITSASVAIEPNEEKVRRSKEQSFAAKGEESKEDMEDDSWEWDGDETKHLHGSSGPVRRVKKARQAIDPTRTLLKEILYERNKAKQFFKCKLLEAATPRKSPDKQLCLSLLKRFSKGYKKMMEKDEVGTELTPPDNQLTLMLVKMPPYKHYPAAGTALEIATIGFSWMDAKFDYGRVQEMVEPAMWCPTGVFTKRVKNRTVDGGTALFASVGFDAIQVSGDEGLLINGAKLDRHIQGNRHLYDLGELVEKLITAIIAEELVGNKHEGRNVIYKIIDLMSGGSLLKMVLWLEDKVVFSTYYTVVNPHSCQSMAQIEKLLEDMSTQCKELNIRKIAVREQRPKCLAAQVVATAGSGIVEQLEYADRCIPWGVAQAAPAPAPAPAAPAAPAPAATAAAPAAAAAATVPAAQQPLQVHVPSGSPEAM
+>sp|Q8N2I9|STK40_HUMAN Serine/threonine-protein kinase 40 OS=Homo sapiens OX=9606 GN=STK40 PE=1 SV=2
+MKRRASDRGAGETSARAKALGSGISGNNAKRAGPFILGPRLGNSPVPSIVQCLARKDGTDDFYQLKILTLEERGDQGIESQEERQGKMLLHTEYSLLSLLHTQDGVVHHHGLFQDRTCEIVEDTESSRMVKKMKKRICLVLDCLCAHDFSDKTADLINLQHYVIKEKRLSERETVVIFYDVVRVVEALHQKNIVHRDLKLGNMVLNKRTHRITITNFCLGKHLVSEGDLLKDQRGSPAYISPDVLSGRPYRGKPSDMWALGVVLFTMLYGQFPFYDSIPQELFRKIKAAEYTIPEDGRVSENTVCLIRKLLVLDPQQRLAAADVLEALSAIIASWQSLSSLSGPLQVVPDIDDQMSNADSSQEAKVTEECSQYEFENYMRQQLLLAEEKSSIHDARSWVPKRQFGSAPPVRRLGHDAQPMTSLDTAILAQRYLRK
+>DECOY_sp|Q8N2I9|STK40_HUMAN Serine/threonine-protein kinase 40 OS=Homo sapiens OX=9606 GN=STK40 PE=1 SV=2
+KRLYRQALIATDLSTMPQADHGLRRVPPASGFQRKPVWSRADHISSKEEALLLQQRMYNEFEYQSCEETVKAEQSSDANSMQDDIDPVVQLPGSLSSLSQWSAIIASLAELVDAAALRQQPDLVLLKRILCVTNESVRGDEPITYEAAKIKRFLEQPISDYFPFQGYLMTFLVVGLAWMDSPKGRYPRGSLVDPSIYAPSGRQDKLLDGESVLHKGLCFNTITIRHTRKNLVMNGLKLDRHVINKQHLAEVVRVVDYFIVVTERESLRKEKIVYHQLNILDATKDSFDHACLCDLVLCIRKKMKKVMRSSETDEVIECTRDQFLGHHHVVGDQTHLLSLLSYETHLLMKGQREEQSEIGQDGREELTLIKLQYFDDTGDKRALCQVISPVPSNGLRPGLIFPGARKANNGSIGSGLAKARASTEGAGRDSARRKM
+>sp|P16949|STMN1_HUMAN Stathmin OS=Homo sapiens OX=9606 GN=STMN1 PE=1 SV=3
+MASSDIQVKELEKRASGQAFELILSPRSKESVPEFPLSPPKKKDLSLEEIQKKLEAAEERRKSHEAEVLKQLAEKREHEKEVLQKAIEENNNFSKMAEEKLTHKMEANKENREAQMAAKLERLREKDKHIEEVRKNKESKDPADETEAD
+>DECOY_sp|P16949|STMN1_HUMAN Stathmin OS=Homo sapiens OX=9606 GN=STMN1 PE=1 SV=3
+DAETEDAPDKSEKNKRVEEIHKDKERLRELKAAMQAERNEKNAEMKHTLKEEAMKSFNNNEEIAKQLVEKEHERKEALQKLVEAEHSKRREEAAELKKQIEELSLDKKKPPSLPFEPVSEKSRPSLILEFAQGSARKELEKVQIDSSAM
+>sp|Q9ULQ0|STRP2_HUMAN Striatin-interacting protein 2 OS=Homo sapiens OX=9606 GN=STRIP2 PE=1 SV=2
+MEDPAAPGTGGPPANGNGNGGGKGKQAAPKGREAFRSQRRESEGSVDCPTLEFEYGDADGHAAELSELYSYTENLEFTNNRRCFEEDFKTQVQGKEWLELEEDAQKAYIMGLLDRLEVVSRERRLKVARAVLYLAQGTFGECDSEVDVLHWSRYNCFLLYQMGTFSTFLELLHMEIDNSQACSSALRKPAVSIADSTELRVLLSVMYLMVENIRLERETDPCGWRTARETFRTELSFSMHNEEPFALLLFSMVTKFCSGLAPHFPIKKVLLLLWKVVMFTLGGFEHLQTLKVQKRAELGLPPLAEDSIQVVKSMRAASPPSYTLDLGESQLAPPPSKLRGRRGSRRQLLTKQDSLDIYNERDLFKTEEPATEEEEESAGDGERTLDGELDLLEQDPLVPPPPSQAPLSAERVAFPKGLPWAPKVRQKDIEHFLEMSRNKFIGFTLGQDTDTLVGLPRPIHESVKTLKQHKYISIADVQIKNEEELEKCPMSLGEEVVPETPCEILYQGMLYSLPQYMIALLKILLAAAPTSKAKTDSINILADVLPEEMPITVLQSMKLGIDVNRHKEIIVKSISTLLLLLLKHFKLNHIYQFEYVSQHLVFANCIPLILKFFNQNILSYITAKNSISVLDYPCCTIQDLPELTTESLEAGDNSQFCWRNLFSCINLLRLLNKLTKWKHSRTMMLVVFKSAPILKRALKVKQAMLQLYVLKLLKLQTKYLGRQWRKSNMKTMSAIYQKVRHRMNDDWAYGNDIDARPWDFQAEECTLRANIEAFNSRRYDRPQDSEFSPVDNCLQSVLGQRLDLPEDFHYSYELWLEREVFSQPICWEELLQNH
+>DECOY_sp|Q9ULQ0|STRP2_HUMAN Striatin-interacting protein 2 OS=Homo sapiens OX=9606 GN=STRIP2 PE=1 SV=2
+HNQLLEEWCIPQSFVERELWLEYSYHFDEPLDLRQGLVSQLCNDVPSFESDQPRDYRRSNFAEINARLTCEEAQFDWPRADIDNGYAWDDNMRHRVKQYIASMTKMNSKRWQRGLYKTQLKLLKLVYLQLMAQKVKLARKLIPASKFVVLMMTRSHKWKTLKNLLRLLNICSFLNRWCFQSNDGAELSETTLEPLDQITCCPYDLVSISNKATIYSLINQNFFKLILPICNAFVLHQSVYEFQYIHNLKFHKLLLLLLTSISKVIIEKHRNVDIGLKMSQLVTIPMEEPLVDALINISDTKAKSTPAAALLIKLLAIMYQPLSYLMGQYLIECPTEPVVEEGLSMPCKELEEENKIQVDAISIYKHQKLTKVSEHIPRPLGVLTDTDQGLTFGIFKNRSMELFHEIDKQRVKPAWPLGKPFAVREASLPAQSPPPPVLPDQELLDLEGDLTREGDGASEEEEETAPEETKFLDRENYIDLSDQKTLLQRRSGRRGRLKSPPPALQSEGLDLTYSPPSAARMSKVVQISDEALPPLGLEARKQVKLTQLHEFGGLTFMVVKWLLLLVKKIPFHPALGSCFKTVMSFLLLAFPEENHMSFSLETRFTERATRWGCPDTERELRINEVMLYMVSLLVRLETSDAISVAPKRLASSCAQSNDIEMHLLELFTSFTGMQYLLFCNYRSWHLVDVESDCEGFTGQALYLVARAVKLRRERSVVELRDLLGMIYAKQADEELELWEKGQVQTKFDEEFCRRNNTFELNETYSYLESLEAAHGDADGYEFELTPCDVSGESERRQSRFAERGKPAAQKGKGGGNGNGNAPPGGTGPAAPDEM
+>sp|Q13190|STX5_HUMAN Syntaxin-5 OS=Homo sapiens OX=9606 GN=STX5 PE=1 SV=2
+MIPRKRYGSKNTDQGVYLGLSKTQVLSPATAGSSSSDIAPLPPPVTLVPPPPDTMSCRDRTQEFLSACKSLQTRQNGIQTNKPALRAVRQRSEFTLMAKRIGKDLSNTFAKLEKLTILAKRKSLFDDKAVEIEELTYIIKQDINSLNKQIAQLQDFVRAKGSQSGRHLQTHSNTIVVSLQSKLASMSNDFKSVLEVRTENLKQQRSRREQFSRAPVSALPLAPNHLGGGAVVLGAESHASKDVAIDMMDSRTSQQLQLIDEQDSYIQSRADTMQNIESTIVELGSIFQQLAHMVKEQEETIQRIDENVLGAQLDVEAAHSEILKYFQSVTSNRWLMVKIFLILIVFFIIFVVFLA
+>DECOY_sp|Q13190|STX5_HUMAN Syntaxin-5 OS=Homo sapiens OX=9606 GN=STX5 PE=1 SV=2
+ALFVVFIIFFVILILFIKVMLWRNSTVSQFYKLIESHAAEVDLQAGLVNEDIRQITEEQEKVMHALQQFISGLEVITSEINQMTDARSQIYSDQEDILQLQQSTRSDMMDIAVDKSAHSEAGLVVAGGGLHNPALPLASVPARSFQERRSRQQKLNETRVELVSKFDNSMSALKSQLSVVITNSHTQLHRGSQSGKARVFDQLQAIQKNLSNIDQKIIYTLEEIEVAKDDFLSKRKALITLKELKAFTNSLDKGIRKAMLTFESRQRVARLAPKNTQIGNQRTQLSKCASLFEQTRDRCSMTDPPPPVLTVPPPLPAIDSSSSGATAPSLVQTKSLGLYVGQDTNKSGYRKRPIM
+>sp|Q15833|STXB2_HUMAN Syntaxin-binding protein 2 OS=Homo sapiens OX=9606 GN=STXBP2 PE=1 SV=2
+MAPSGLKAVVGEKILSGVIRSVKKDGEWKVLIMDHPSMRILSSCCKMSDILAEGITIVEDINKRREPIPSLEAIYLLSPTEKSVQALIKDFQGTPTFTYKAAHIFFTDTCPEPLFSELGRSRLAKVVKTLKEIHLAFLPYEAQVFSLDAPHSTYNLYCPFRAEERTRQLEVLAQQIATLCATLQEYPAIRYRKGPEDTAQLAHAVLAKLNAFKADTPSLGEGPEKTRSQLLIMDRAADPVSPLLHELTFQAMAYDLLDIEQDTYRYETTGLSEAREKAVLLDEDDDLWVELRHMHIADVSKKVTELLRTFCESKRLTTDKANIKDLSQILKKMPQYQKELNKYSTHLHLADDCMKHFKGSVEKLCSVEQDLAMGSDAEGEKIKDSMKLIVPVLLDAAVPAYDKIRVLLLYILLRNGVSEENLAKLIQHANVQAHSSLIRNLEQLGGTVTNPGGSGTSSRLEPRERMEPTYQLSRWTPVIKDVMEDAVEDRLDRNLWPFVSDPAPTASSQAAVSARFGHWHKNKAGIEARAGPRLIVYVMGGVAMSEMRAAYEVTRATEGKWEVLIGSSHILTPTRFLDDLKALDKKLEDIALP
+>DECOY_sp|Q15833|STXB2_HUMAN Syntaxin-binding protein 2 OS=Homo sapiens OX=9606 GN=STXBP2 PE=1 SV=2
+PLAIDELKKDLAKLDDLFRTPTLIHSSGILVEWKGETARTVEYAARMESMAVGGMVYVILRPGARAEIGAKNKHWHGFRASVAAQSSATPAPDSVFPWLNRDLRDEVADEMVDKIVPTWRSLQYTPEMRERPELRSSTGSGGPNTVTGGLQELNRILSSHAQVNAHQILKALNEESVGNRLLIYLLLVRIKDYAPVAADLLVPVILKMSDKIKEGEADSGMALDQEVSCLKEVSGKFHKMCDDALHLHTSYKNLEKQYQPMKKLIQSLDKINAKDTTLRKSECFTRLLETVKKSVDAIHMHRLEVWLDDDEDLLVAKERAESLGTTEYRYTDQEIDLLDYAMAQFTLEHLLPSVPDAARDMILLQSRTKEPGEGLSPTDAKFANLKALVAHALQATDEPGKRYRIAPYEQLTACLTAIQQALVELQRTREEARFPCYLNYTSHPADLSFVQAEYPLFALHIEKLTKVVKALRSRGLESFLPEPCTDTFFIHAAKYTFTPTGQFDKILAQVSKETPSLLYIAELSPIPERRKNIDEVITIGEALIDSMKCCSSLIRMSPHDMILVKWEGDKKVSRIVGSLIKEGVVAKLGSPAM
+>sp|Q5T5C0|STXB5_HUMAN Syntaxin-binding protein 5 OS=Homo sapiens OX=9606 GN=STXBP5 PE=1 SV=1
+MRKFNIRKVLDGLTAGSSSASQQQQQQHPPGNREPEIQETLQSEHFQLCKTVRHGFPYQPSALAFDPVQKILAVGTQTGALRLFGRPGVECYCQHDSGAAVIQLQFLINEGALVSALADDTLHLWNLRQKRPAILHSLKFCRERVTFCHLPFQSKWLYVGTERGNIHIVNVESFTLSGYVIMWNKAIELSSKSHPGPVVHISDNPMDEGKLLIGFESGTVVLWDLKSKKADYRYTYDEAIHSVAWHHEGKQFICSHSDGTLTIWNVRSPAKPVQTITPHGKQLKDGKKPEPCKPILKVEFKTTRSGEPFIILSGGLSYDTVGRRPCLTVMHGKSTAVLEMDYSIVDFLTLCETPYPNDFQEPYAVVVLLEKDLVLIDLAQNGYPIFENPYPLSIHESPVTCCEYFADCPVDLIPALYSVGARQKRQGYSKKEWPINGGNWGLGAQSYPEIIITGHADGSVKFWDASAITLQVLYKLKTSKVFEKSRNKDDRPNTDIVDEDPYAIQIISWCPESRMLCIAGVSAHVIIYRFSKQEVITEVIPMLEVRLLYEINDVETPEGEQPPPLPTPVGGSNPQPIPPQSHPSTSSSSSDGLRDNVPCLKVKNSPLKQSPGYQTELVIQLVWVGGEPPQQITSLAVNSSYGLVVFGNCNGIAMVDYLQKAVLLNLGTIELYGSNDPYRREPRSPRKSRQPSGAGLCDISEGTVVPEDRCKSPTSGSSSPHNSDDEQKMNNFIEKVKTKSRKFSKMVANDIAKMSRKLSLPTDLKPDLDVKDNSFSRSRSSSVTSIDKESREAISALHFCETFTRKTDSSPSPCLWVGTTLGTVLVIALNLPPGGEQRLLQPVIVSPSGTILRLKGAILRMAFLDTTGCLIPPAYEPWREHNVPEEKDEKEKLKKRRPVSVSPSSSQEISENQYAVICSEKQAKVISLPTQNCAYKQNITETSFVLRGDIVALSNSICLACFCANGHIMTFSLPSLRPLLDVYYLPLTNMRIARTFCFTNNGQALYLVSPTEIQRLTYSQETCENLQEMLGELFTPVETPEAPNRGFFKGLFGGGAQSLDREELFGESSSGKASRSLAQHIPGPGGIEGVKGAASGVVGELARARLALDERGQKLGDLEERTAAMLSSAESFSKHAHEIMLKYKDKKWYQF
+>DECOY_sp|Q5T5C0|STXB5_HUMAN Syntaxin-binding protein 5 OS=Homo sapiens OX=9606 GN=STXBP5 PE=1 SV=1
+FQYWKKDKYKLMIEHAHKSFSEASSLMAATREELDGLKQGREDLALRARALEGVVGSAAGKVGEIGGPGPIHQALSRSAKGSSSEGFLEERDLSQAGGGFLGKFFGRNPAEPTEVPTFLEGLMEQLNECTEQSYTLRQIETPSVLYLAQGNNTFCFTRAIRMNTLPLYYVDLLPRLSPLSFTMIHGNACFCALCISNSLAVIDGRLVFSTETINQKYACNQTPLSIVKAQKESCIVAYQNESIEQSSSPSVSVPRRKKLKEKEDKEEPVNHERWPEYAPPILCGTTDLFAMRLIAGKLRLITGSPSVIVPQLLRQEGGPPLNLAIVLVTGLTTGVWLCPSPSSDTKRTFTECFHLASIAERSEKDISTVSSSRSRSFSNDKVDLDPKLDTPLSLKRSMKAIDNAVMKSFKRSKTKVKEIFNNMKQEDDSNHPSSSGSTPSKCRDEPVVTGESIDCLGAGSPQRSKRPSRPERRYPDNSGYLEITGLNLLVAKQLYDVMAIGNCNGFVVLGYSSNVALSTIQQPPEGGVWVLQIVLETQYGPSQKLPSNKVKLCPVNDRLGDSSSSSTSPHSQPPIPQPNSGGVPTPLPPPQEGEPTEVDNIEYLLRVELMPIVETIVEQKSFRYIIVHASVGAICLMRSEPCWSIIQIAYPDEDVIDTNPRDDKNRSKEFVKSTKLKYLVQLTIASADWFKVSGDAHGTIIIEPYSQAGLGWNGGNIPWEKKSYGQRKQRAGVSYLAPILDVPCDAFYECCTVPSEHISLPYPNEFIPYGNQALDILVLDKELLVVVAYPEQFDNPYPTECLTLFDVISYDMELVATSKGHMVTLCPRRGVTDYSLGGSLIIFPEGSRTTKFEVKLIPKCPEPKKGDKLQKGHPTITQVPKAPSRVNWITLTGDSHSCIFQKGEHHWAVSHIAEDYTYRYDAKKSKLDWLVVTGSEFGILLKGEDMPNDSIHVVPGPHSKSSLEIAKNWMIVYGSLTFSEVNVIHINGRETGVYLWKSQFPLHCFTVRERCFKLSHLIAPRKQRLNWLHLTDDALASVLAGENILFQLQIVAAGSDHQCYCEVGPRGFLRLAGTQTGVALIKQVPDFALASPQYPFGHRVTKCLQFHESQLTEQIEPERNGPPHQQQQQQSASSSGATLGDLVKRINFKRM
+>sp|P14410|SUIS_HUMAN Sucrase-isomaltase, intestinal OS=Homo sapiens OX=9606 GN=SI PE=1 SV=6
+MARKKFSGLEISLIVLFVIVTIIAIALIVVLATKTPAVDEISDSTSTPATTRVTTNPSDSGKCPNVLNDPVNVRINCIPEQFPTEGICAQRGCCWRPWNDSLIPWCFFVDNHGYNVQDMTTTSIGVEAKLNRIPSPTLFGNDINSVLFTTQNQTPNRFRFKITDPNNRRYEVPHQYVKEFTGPTVSDTLYDVKVAQNPFSIQVIRKSNGKTLFDTSIGPLVYSDQYLQISTRLPSDYIYGIGEQVHKRFRHDLSWKTWPIFTRDQLPGDNNNNLYGHQTFFMCIEDTSGKSFGVFLMNSNAMEIFIQPTPIVTYRVTGGILDFYILLGDTPEQVVQQYQQLVGLPAMPAYWNLGFQLSRWNYKSLDVVKEVVRRNREAGIPFDTQVTDIDYMEDKKDFTYDQVAFNGLPQFVQDLHDHGQKYVIILDPAISIGRRANGTTYATYERGNTQHVWINESDGSTPIIGEVWPGLTVYPDFTNPNCIDWWANECSIFHQEVQYDGLWIDMNEVSSFIQGSTKGCNVNKLNYPPFTPDILDKLMYSKTICMDAVQNWGKQYDVHSLYGYSMAIATEQAVQKVFPNKRSFILTRSTFAGSGRHAAHWLGDNTASWEQMEWSITGMLEFSLFGIPLVGADICGFVAETTEELCRRWMQLGAFYPFSRNHNSDGYEHQDPAFFGQNSLLVKSSRQYLTIRYTLLPFLYTLFYKAHVFGETVARPVLHEFYEDTNSWIEDTEFLWGPALLITPVLKQGADTVSAYIPDAIWYDYESGAKRPWRKQRVDMYLPADKIGLHLRGGYIIPIQEPDVTTTASRKNPLGLIVALGENNTAKGDFFWDDGETKDTIQNGNYILYTFSVSNNTLDIVCTHSSYQEGTTLAFQTVKILGLTDSVTEVRVAENNQPMNAHSNFTYDASNQVLLIADLKLNLGRNFSVQWNQIFSENERFNCYPDADLATEQKCTQRGCVWRTGSSLSKAPECYFPRQDNSYSVNSARYSSMGITADLQLNTANARIKLPSDPISTLRVEVKYHKNDMLQFKIYDPQKKRYEVPVPLNIPTTPISTYEDRLYDVEIKENPFGIQIRRRSSGRVIWDSWLPGFAFNDQFIQISTRLPSEYIYGFGEVEHTAFKRDLNWNTWGMFTRDQPPGYKLNSYGFHPYYMALEEEGNAHGVFLLNSNAMDVTFQPTPALTYRTVGGILDFYMFLGPTPEVATKQYHEVIGHPVMPAYWALGFQLCRYGYANTSEVRELYDAMVAANIPYDVQYTDIDYMERQLDFTIGEAFQDLPQFVDKIRGEGMRYIIILDPAISGNETKTYPAFERGQQNDVFVKWPNTNDICWAKVWPDLPNITIDKTLTEDEAVNASRAHVAFPDFFRTSTAEWWAREIVDFYNEKMKFDGLWIDMNEPSSFVNGTTTNQCRNDELNYPPYFPELTKRTDGLHFRTICMEAEQILSDGTSVLHYDVHNLYGWSQMKPTHDALQKTTGKRGIVISRSTYPTSGRWGGHWLGDNYARWDNMDKSIIGMMEFSLFGMSYTGADICGFFNNSEYHLCTRWMQLGAFYPYSRNHNIANTRRQDPASWNETFAEMSRNILNIRYTLLPYFYTQMHEIHANGGTVIRPLLHEFFDEKPTWDIFKQFLWGPAFMVTPVLEPYVQTVNAYVPNARWFDYHTGKDIGVRGQFQTFNASYDTINLHVRGGHILPCQEPAQNTFYSRQKHMKLIVAADDNQMAQGSLFWDDGESIDTYERDLYLSVQFNLNQTTLTSTILKRGYINKSETRLGSLHVWGKGTTPVNAVTLTYNGNKNSLPFNEDTTNMILRIDLTTHNVTLEEPIEINWS
+>DECOY_sp|P14410|SUIS_HUMAN Sucrase-isomaltase, intestinal OS=Homo sapiens OX=9606 GN=SI PE=1 SV=6
+SWNIEIPEELTVNHTTLDIRLIMNTTDENFPLSNKNGNYTLTVANVPTTGKGWVHLSGLRTESKNIYGRKLITSTLTTQNLNFQVSLYLDREYTDISEGDDWFLSGQAMQNDDAAVILKMHKQRSYFTNQAPEQCPLIHGGRVHLNITDYSANFTQFQGRVGIDKGTHYDFWRANPVYANVTQVYPELVPTVMFAPGWLFQKFIDWTPKEDFFEHLLPRIVTGGNAHIEHMQTYFYPLLTYRINLINRSMEAFTENWSAPDQRRTNAINHNRSYPYFAGLQMWRTCLHYESNNFFGCIDAGTYSMGFLSFEMMGIISKDMNDWRAYNDGLWHGGWRGSTPYTSRSIVIGRKGTTKQLADHTPKMQSWGYLNHVDYHLVSTGDSLIQEAEMCITRFHLGDTRKTLEPFYPPYNLEDNRCQNTTTGNVFSSPENMDIWLGDFKMKENYFDVIERAWWEATSTRFFDPFAVHARSANVAEDETLTKDITINPLDPWVKAWCIDNTNPWKVFVDNQQGREFAPYTKTENGSIAPDLIIIYRMGEGRIKDVFQPLDQFAEGITFDLQREMYDIDTYQVDYPINAAVMADYLERVESTNAYGYRCLQFGLAWYAPMVPHGIVEHYQKTAVEPTPGLFMYFDLIGGVTRYTLAPTPQFTVDMANSNLLFVGHANGEEELAMYYPHFGYSNLKYGPPQDRTFMGWTNWNLDRKFATHEVEGFGYIYESPLRTSIQIFQDNFAFGPLWSDWIVRGSSRRRIQIGFPNEKIEVDYLRDEYTSIPTTPINLPVPVEYRKKQPDYIKFQLMDNKHYKVEVRLTSIPDSPLKIRANATNLQLDATIGMSSYRASNVSYSNDQRPFYCEPAKSLSSGTRWVCGRQTCKQETALDADPYCNFRENESFIQNWQVSFNRGLNLKLDAILLVQNSADYTFNSHANMPQNNEAVRVETVSDTLGLIKVTQFALTTGEQYSSHTCVIDLTNNSVSFTYLIYNGNQITDKTEGDDWFFDGKATNNEGLAVILGLPNKRSATTTVDPEQIPIIYGGRLHLGIKDAPLYMDVRQKRWPRKAGSEYDYWIADPIYASVTDAGQKLVPTILLAPGWLFETDEIWSNTDEYFEHLVPRAVTEGFVHAKYFLTYLFPLLTYRITLYQRSSKVLLSNQGFFAPDQHEYGDSNHNRSFPYFAGLQMWRRCLEETTEAVFGCIDAGVLPIGFLSFELMGTISWEMQEWSATNDGLWHAAHRGSGAFTSRTLIFSRKNPFVKQVAQETAIAMSYGYLSHVDYQKGWNQVADMCITKSYMLKDLIDPTFPPYNLKNVNCGKTSGQIFSSVENMDIWLGDYQVEQHFISCENAWWDICNPNTFDPYVTLGPWVEGIIPTSGDSENIWVHQTNGREYTAYTTGNARRGISIAPDLIIVYKQGHDHLDQVFQPLGNFAVQDYTFDKKDEMYDIDTVQTDFPIGAERNRRVVEKVVDLSKYNWRSLQFGLNWYAPMAPLGVLQQYQQVVQEPTDGLLIYFDLIGGTVRYTVIPTPQIFIEMANSNMLFVGFSKGSTDEICMFFTQHGYLNNNNDGPLQDRTFIPWTKWSLDHRFRKHVQEGIGYIYDSPLRTSIQLYQDSYVLPGISTDFLTKGNSKRIVQISFPNQAVKVDYLTDSVTPGTFEKVYQHPVEYRRNNPDTIKFRFRNPTQNQTTFLVSNIDNGFLTPSPIRNLKAEVGISTTTMDQVNYGHNDVFFCWPILSDNWPRWCCGRQACIGETPFQEPICNIRVNVPDNLVNPCKGSDSPNTTVRTTAPTSTSDSIEDVAPTKTALVVILAIAIITVIVFLVILSIELGSFKKRAM
+>sp|Q8NBK3|SUMF1_HUMAN Formylglycine-generating enzyme OS=Homo sapiens OX=9606 GN=SUMF1 PE=1 SV=3
+MAAPALGLVCGRCPELGLVLLLLLLSLLCGAAGSQEAGTGAGAGSLAGSCGCGTPQRPGAHGSSAAAHRYSREANAPGPVPGERQLAHSKMVPIPAGVFTMGTDDPQIKQDGEAPARRVTIDAFYMDAYEVSNTEFEKFVNSTGYLTEAEKFGDSFVFEGMLSEQVKTNIQQAVAAAPWWLPVKGANWRHPEGPDSTILHRPDHPVLHVSWNDAVAYCTWAGKRLPTEAEWEYSCRGGLHNRLFPWGNKLQPKGQHYANIWQGEFPVTNTGEDGFQGTAPVDAFPPNGYGLYNIVGNAWEWTSDWWTVHHSVEETLNPKGPPSGKDRVKKGGSYMCHRSYCYRYRCAARSQNTPDSSASNLGFRCAADRLPTMD
+>DECOY_sp|Q8NBK3|SUMF1_HUMAN Formylglycine-generating enzyme OS=Homo sapiens OX=9606 GN=SUMF1 PE=1 SV=3
+DMTPLRDAACRFGLNSASSDPTNQSRAACRYRYCYSRHCMYSGGKKVRDKGSPPGKPNLTEEVSHHVTWWDSTWEWANGVINYLGYGNPPFADVPATGQFGDEGTNTVPFEGQWINAYHQGKPQLKNGWPFLRNHLGGRCSYEWEAETPLRKGAWTCYAVADNWSVHLVPHDPRHLITSDPGEPHRWNAGKVPLWWPAAAVAQQINTKVQESLMGEFVFSDGFKEAETLYGTSNVFKEFETNSVEYADMYFADITVRRAPAEGDQKIQPDDTGMTFVGAPIPVMKSHALQREGPVPGPANAERSYRHAAASSGHAGPRQPTGCGCSGALSGAGAGTGAEQSGAAGCLLSLLLLLLVLGLEPCRGCVLGLAPAAM
+>sp|P61956|SUMO2_HUMAN Small ubiquitin-related modifier 2 OS=Homo sapiens OX=9606 GN=SUMO2 PE=1 SV=3
+MADEKPKEGVKTENNDHINLKVAGQDGSVVQFKIKRHTPLSKLMKAYCERQGLSMRQIRFRFDGQPINETDTPAQLEMEDEDTIDVFQQQTGGVY
+>DECOY_sp|P61956|SUMO2_HUMAN Small ubiquitin-related modifier 2 OS=Homo sapiens OX=9606 GN=SUMO2 PE=1 SV=3
+YVGGTQQQFVDITDEDEMELQAPTDTENIPQGDFRFRIQRMSLGQRECYAKMLKSLPTHRKIKFQVVSGDQGAVKLNIHDNNETKVGEKPKEDAM
+>sp|P55854|SUMO3_HUMAN Small ubiquitin-related modifier 3 OS=Homo sapiens OX=9606 GN=SUMO3 PE=1 SV=2
+MSEEKPKEGVKTENDHINLKVAGQDGSVVQFKIKRHTPLSKLMKAYCERQGLSMRQIRFRFDGQPINETDTPAQLEMEDEDTIDVFQQQTGGVPESSLAGHSF
+>DECOY_sp|P55854|SUMO3_HUMAN Small ubiquitin-related modifier 3 OS=Homo sapiens OX=9606 GN=SUMO3 PE=1 SV=2
+FSHGALSSEPVGGTQQQFVDITDEDEMELQAPTDTENIPQGDFRFRIQRMSLGQRECYAKMLKSLPTHRKIKFQVVSGDQGAVKLNIHDNETKVGEKPKEESM
+>sp|Q9UH99|SUN2_HUMAN SUN domain-containing protein 2 OS=Homo sapiens OX=9606 GN=SUN2 PE=1 SV=3
+MSRRSQRLTRYSQGDDDGSSSSGGSSVAGSQSTLFKDSPLRTLKRKSSNMKRLSPAPQLGPSSDAHTSYYSESLVHESWFPPRSSLEELHGDANWGEDLRVRRRRGTGGSESSRASGLVGRKATEDFLGSSSGYSSEDDYVGYSDVDQQSSSSRLRSAVSRAGSLLWMVATSPGRLFRLLYWWAGTTWYRLTTAASLLDVFVLTRRFSSLKTFLWFLLPLLLLTCLTYGAWYFYPYGLQTFHPALVSWWAAKDSRRPDEGWEARDSSPHFQAEQRVMSRVHSLERRLEALAAEFSSNWQKEAMRLERLELRQGAPGQGGGGGLSHEDTLALLEGLVSRREAALKEDFRRETAARIQEELSALRAEHQQDSEDLFKKIVRASQESEARIQQLKSEWQSMTQESFQESSVKELRRLEDQLAGLQQELAALALKQSSVAEEVGLLPQQIQAVRDDVESQFPAWISQFLARGGGGRVGLLQREEMQAQLRELESKILTHVAEMQGKSAREAAASLSLTLQKEGVIGVTEEQVHHIVKQALQRYSEDRIGLADYALESGGASVISTRCSETYETKTALLSLFGIPLWYHSQSPRVILQPDVHPGNCWAFQGPQGFAVVRLSARIRPTAVTLEHVPKALSPNSTISSAPKDFAIFGFDEDLQQEGTLLGKFTYDQDGEPIQTFHFQAPTMATYQVVELRILTNWGHPEYTCIYRFRVHGEPAH
+>DECOY_sp|Q9UH99|SUN2_HUMAN SUN domain-containing protein 2 OS=Homo sapiens OX=9606 GN=SUN2 PE=1 SV=3
+HAPEGHVRFRYICTYEPHGWNTLIRLEVVQYTAMTPAQFHFTQIPEGDQDYTFKGLLTGEQQLDEDFGFIAFDKPASSITSNPSLAKPVHELTVATPRIRASLRVVAFGQPGQFAWCNGPHVDPQLIVRPSQSHYWLPIGFLSLLATKTEYTESCRTSIVSAGGSELAYDALGIRDESYRQLAQKVIHHVQEETVGIVGEKQLTLSLSAAAERASKGQMEAVHTLIKSELERLQAQMEERQLLGVRGGGGRALFQSIWAPFQSEVDDRVAQIQQPLLGVEEAVSSQKLALAALEQQLGALQDELRRLEKVSSEQFSEQTMSQWESKLQQIRAESEQSARVIKKFLDESDQQHEARLASLEEQIRAATERRFDEKLAAERRSVLGELLALTDEHSLGGGGGQGPAGQRLELRELRMAEKQWNSSFEAALAELRRELSHVRSMVRQEAQFHPSSDRAEWGEDPRRSDKAAWWSVLAPHFTQLGYPYFYWAGYTLCTLLLLPLLFWLFTKLSSFRRTLVFVDLLSAATTLRYWTTGAWWYLLRFLRGPSTAVMWLLSGARSVASRLRSSSSQQDVDSYGVYDDESSYGSSSGLFDETAKRGVLGSARSSESGGTGRRRRVRLDEGWNADGHLEELSSRPPFWSEHVLSESYYSTHADSSPGLQPAPSLRKMNSSKRKLTRLPSDKFLTSQSGAVSSGGSSSSGDDDGQSYRTLRQSRRSM
+>sp|Q15526|SURF1_HUMAN Surfeit locus protein 1 OS=Homo sapiens OX=9606 GN=SURF1 PE=1 SV=1
+MAAVAALQLGLRAAGLGRAPASAAWRSVLRVSPRPGVAWRPSRCGSSAAEASATKAEDDSFLQWVLLLIPVTAFGLGTWQVQRRKWKLNLIAELESRVLAEPVPLPADPMELKNLEYRPVKVRGCFDHSKELYMMPRTMVDPVREAREGGLISSSTQSGAYVVTPFHCTDLGVTILVNRGFVPRKKVNPETRQKGQIEGEVDLIGMVRLTETRQPFVPENNPERNHWHYRDLEAMARITGAEPIFIDANFQSTVPGGPIGGQTRVTLRNEHLQYIVTWYGLSAATSYLWFKKFLRGTPGV
+>DECOY_sp|Q15526|SURF1_HUMAN Surfeit locus protein 1 OS=Homo sapiens OX=9606 GN=SURF1 PE=1 SV=1
+VGPTGRLFKKFWLYSTAASLGYWTVIYQLHENRLTVRTQGGIPGGPVTSQFNADIFIPEAGTIRAMAELDRYHWHNREPNNEPVFPQRTETLRVMGILDVEGEIQGKQRTEPNVKKRPVFGRNVLITVGLDTCHFPTVVYAGSQTSSSILGGERAERVPDVMTRPMMYLEKSHDFCGRVKVPRYELNKLEMPDAPLPVPEALVRSELEAILNLKWKRRQVQWTGLGFATVPILLLVWQLFSDDEAKTASAEAASSGCRSPRWAVGPRPSVRLVSRWAASAPARGLGAARLGLQLAAVAAM
+>sp|Q6UWL2|SUSD1_HUMAN Sushi domain-containing protein 1 OS=Homo sapiens OX=9606 GN=SUSD1 PE=1 SV=1
+MGRGPWDAGPSRRLLPLLLLLGLARGAAGAPGPDGLDVCATCHEHATCQQREGKKICICNYGFVGNGRTQCVDKNECQFGATLVCGNHTSCHNTPGGFYCICLEGYRATNNNKTFIPNDGTFCTDIDECEVSGLCRHGGRCVNTHGSFECYCMDGYLPRNGPEPFHPTTDATSCTEIDCGTPPEVPDGYIIGNYTSSLGSQVRYACREGFFSVPEDTVSSCTGLGTWESPKLHCQEINCGNPPEMRHAILVGNHSSRLGGVARYVCQEGFESPGGKITSVCTEKGTWRESTLTCTEILTKINDVSLFNDTCVRWQINSRRINPKISYVISIKGQRLDPMESVREETVNLTTDSRTPEVCLALYPGTNYTVNISTAPPRRSMPAVIGFQTAEVDLLEDDGSFNISIFNETCLKLNRRSRKVGSEHMYQFTVLGQRWYLANFSHATSFNFTTREQVPVVCLDLYPTTDYTVNVTLLRSPKRHSVQITIATPPAVKQTISNISGFNETCLRWRSIKTADMEEMYLFHIWGQRWYQKEFAQEMTFNISSSSRDPEVCLDLRPGTNYNVSLRALSSELPVVISLTTQITEPPLPEVEFFTVHRGPLPRLRLRKAKEKNGPISSYQVLVLPLALQSTFSCDSEGASSFFSNASDADGYVAAELLAKDVPDDAMEIPIGDRLYYGEYYNAPLKRGSDYCIILRITSEWNKVRRHSCAVWAQVKDSSLMLLQMAGVGLGSLAVVIILTFLSFSAV
+>DECOY_sp|Q6UWL2|SUSD1_HUMAN Sushi domain-containing protein 1 OS=Homo sapiens OX=9606 GN=SUSD1 PE=1 SV=1
+VASFSLFTLIIVVALSGLGVGAMQLLMLSSDKVQAWVACSHRRVKNWESTIRLIICYDSGRKLPANYYEGYYLRDGIPIEMADDPVDKALLEAAVYGDADSANSFFSSAGESDCSFTSQLALPLVLVQYSSIPGNKEKAKRLRLRPLPGRHVTFFEVEPLPPETIQTTLSIVVPLESSLARLSVNYNTGPRLDLCVEPDRSSSSINFTMEQAFEKQYWRQGWIHFLYMEEMDATKISRWRLCTENFGSINSITQKVAPPTAITIQVSHRKPSRLLTVNVTYDTTPYLDLCVVPVQERTTFNFSTAHSFNALYWRQGLVTFQYMHESGVKRSRRNLKLCTENFISINFSGDDELLDVEATQFGIVAPMSRRPPATSINVTYNTGPYLALCVEPTRSDTTLNVTEERVSEMPDLRQGKISIVYSIKPNIRRSNIQWRVCTDNFLSVDNIKTLIETCTLTSERWTGKETCVSTIKGGPSEFGEQCVYRAVGGLRSSHNGVLIAHRMEPPNGCNIEQCHLKPSEWTGLGTCSSVTDEPVSFFGERCAYRVQSGLSSTYNGIIYGDPVEPPTGCDIETCSTADTTPHFPEPGNRPLYGDMCYCEFSGHTNVCRGGHRCLGSVECEDIDTCFTGDNPIFTKNNNTARYGELCICYFGGPTNHCSTHNGCVLTAGFQCENKDVCQTRGNGVFGYNCICIKKGERQQCTAHEHCTACVDLGDPGPAGAAGRALGLLLLLPLLRRSPGADWPGRGM
+>sp|Q5VX71|SUSD4_HUMAN Sushi domain-containing protein 4 OS=Homo sapiens OX=9606 GN=SUSD4 PE=2 SV=1
+MYHGMNPSNGDGFLEQQQQQQQPQSPQRLLAVILWFQLALCFGPAQLTGGFDDLQVCADPGIPENGFRTPSGGVFFEGSVARFHCQDGFKLKGATKRLCLKHFNGTLGWIPSDNSICVQEDCRIPQIEDAEIHNKTYRHGEKLIITCHEGFKIRYPDLHNMVSLCRDDGTWNNLPICQGCLRPLASSNGYVNISELQTSFPVGTVISYRCFPGFKLDGSAYLECLQNLIWSSSPPRCLALEVCPLPPMVSHGDFVCHPRPCERYNHGTVVEFYCDPGYSLTSDYKYITCQYGEWFPSYQVYCIKSEQTWPSTHETLLTTWKIVAFTATSVLLVLLLVILARMFQTKFKAHFPPRGPPRSSSSDPDFVVVDGVPVMLPSYDEAVSGGLSALGPGYMASVGQGCPLPVDDQSPPAYPGSGDTDTGPGESETCDSVSGSSELLQSLYSPPRCQESTHPASDNPDIIASTAEEVASTSPGIDIADEIPLMEEDP
+>DECOY_sp|Q5VX71|SUSD4_HUMAN Sushi domain-containing protein 4 OS=Homo sapiens OX=9606 GN=SUSD4 PE=2 SV=1
+PDEEMLPIEDAIDIGPSTSAVEEATSAIIDPNDSAPHTSEQCRPPSYLSQLLESSGSVSDCTESEGPGTDTDGSGPYAPPSQDDVPLPCGQGVSAMYGPGLASLGGSVAEDYSPLMVPVGDVVVFDPDSSSSRPPGRPPFHAKFKTQFMRALIVLLLVLLVSTATFAVIKWTTLLTEHTSPWTQESKICYVQYSPFWEGYQCTIYKYDSTLSYGPDCYFEVVTGHNYRECPRPHCVFDGHSVMPPLPCVELALCRPPSSSWILNQLCELYASGDLKFGPFCRYSIVTGVPFSTQLESINVYGNSSALPRLCGQCIPLNNWTGDDRCLSVMNHLDPYRIKFGEHCTIILKEGHRYTKNHIEADEIQPIRCDEQVCISNDSPIWGLTGNFHKLCLRKTAGKLKFGDQCHFRAVSGEFFVGGSPTRFGNEPIGPDACVQLDDFGGTLQAPGFCLALQFWLIVALLRQPSQPQQQQQQQELFGDGNSPNMGHYM
+>sp|Q8IYB8|SUV3_HUMAN ATP-dependent RNA helicase SUPV3L1, mitochondrial OS=Homo sapiens OX=9606 GN=SUPV3L1 PE=1 SV=1
+MSFSRALLWARLPAGRQAGHRAAICSALRPHFGPFPGVLGQVSVLATASSSASGGSKIPNTSLFVPLTVKPQGPSADGDVGAELTRPLDKNEVKKVLDKFYKRKEIQKLGADYGLDARLFHQAFISFRNYIMQSHSLDVDIHIVLNDICFGAAHADDLFPFFLRHAKQIFPVLDCKDDLRKISDLRIPPNWYPDARAMQRKIIFHSGPTNSGKTYHAIQKYFSAKSGVYCGPLKLLAHEIFEKSNAAGVPCDLVTGEERVTVQPNGKQASHVSCTVEMCSVTTPYEVAVIDEIQMIRDPARGWAWTRALLGLCAEEVHLCGEPAAIDLVMELMYTTGEEVEVRDYKRLTPISVLDHALESLDNLRPGDCIVCFSKNDIYSVSRQIEIRGLESAVIYGSLPPGTKLAQAKKFNDPNDPCKILVATDAIGMGLNLSIRRIIFYSLIKPSINEKGERELEPITTSQALQIAGRAGRFSSRFKEGEVTTMNHEDLSLLKEILKRPVDPIRAAGLHPTAEQIEMFAYHLPDATLSNLIDIFVDFSQVDGQYFVCNMDDFKFSAELIQHIPLSLRVRYVFCTAPINKKQPFVCSSLLQFARQYSRNEPLTFAWLRRYIKWPLLPPKNIKDLMDLEAVHDVLDLYLWLSYRFMDMFPDASLIRDLQKELDGIIQDGVHNITKLIKMSETHKLLNLEGFPSGSQSRLSGTLKSQARRTRGTKALGSKATEPPSPDAGELSLASRLVQQGLLTPDMLKQLEKEWMTQQTEHNKEKTESGTHPKGTRRKKKEPDSD
+>DECOY_sp|Q8IYB8|SUV3_HUMAN ATP-dependent RNA helicase SUPV3L1, mitochondrial OS=Homo sapiens OX=9606 GN=SUPV3L1 PE=1 SV=1
+DSDPEKKKRRTGKPHTGSETKEKNHETQQTMWEKELQKLMDPTLLGQQVLRSALSLEGADPSPPETAKSGLAKTGRTRRAQSKLTGSLRSQSGSPFGELNLLKHTESMKILKTINHVGDQIIGDLEKQLDRILSADPFMDMFRYSLWLYLDLVDHVAELDMLDKINKPPLLPWKIYRRLWAFTLPENRSYQRAFQLLSSCVFPQKKNIPATCFVYRVRLSLPIHQILEASFKFDDMNCVFYQGDVQSFDVFIDILNSLTADPLHYAFMEIQEATPHLGAARIPDVPRKLIEKLLSLDEHNMTTVEGEKFRSSFRGARGAIQLAQSTTIPELEREGKENISPKILSYFIIRRISLNLGMGIADTAVLIKCPDNPDNFKKAQALKTGPPLSGYIVASELGRIEIQRSVSYIDNKSFCVICDGPRLNDLSELAHDLVSIPTLRKYDRVEVEEGTTYMLEMVLDIAAPEGCLHVEEACLGLLARTWAWGRAPDRIMQIEDIVAVEYPTTVSCMEVTCSVHSAQKGNPQVTVREEGTVLDCPVGAANSKEFIEHALLKLPGCYVGSKASFYKQIAHYTKGSNTPGSHFIIKRQMARADPYWNPPIRLDSIKRLDDKCDLVPFIQKAHRLFFPFLDDAHAAGFCIDNLVIHIDVDLSHSQMIYNRFSIFAQHFLRADLGYDAGLKQIEKRKYFKDLVKKVENKDLPRTLEAGVDGDASPGQPKVTLPVFLSTNPIKSGGSASSSATALVSVQGLVGPFPGFHPRLASCIAARHGAQRGAPLRAWLLARSFSM
+>sp|Q15022|SUZ12_HUMAN Polycomb protein SUZ12 OS=Homo sapiens OX=9606 GN=SUZ12 PE=1 SV=3
+MAPQKHGGGGGGGSGPSAGSGGGGFGGSAAVAAATASGGKSGGGSCGGGGSYSASSSSSAAAAAGAAVLPVKKPKMEHVQADHELFLQAFEKPTQIYRFLRTRNLIAPIFLHRTLTYMSHRNSRTNIKRKTFKVDDMLSKVEKMKGEQESHSLSAHLQLTFTGFFHKNDKPSPNSENEQNSVTLEVLLVKVCHKKRKDVSCPIRQVPTGKKQVPLNPDLNQTKPGNFPSLAVSSNEFEPSNSHMVKSYSLLFRVTRPGRREFNGMINGETNENIDVNEELPARRKRNREDGEKTFVAQMTVFDKNRRLQLLDGEYEVAMQEMEECPISKKRATWETILDGKRLPPFETFSQGPTLQFTLRWTGETNDKSTAPIAKPLATRNSESLHQENKPGSVKPTQTIAVKESLTTDLQTRKEKDTPNENRQKLRIFYQFLYNNNTRQQTEARDDLHCPWCTLNCRKLYSLLKHLKLCHSRFIFNYVYHPKGARIDVSINECYDGSYAGNPQDIHRQPGFAFSRNGPVKRTPITHILVCRPKRTKASMSEFLESEDGEVEQQRTYSSGHNRLYFHSDTCLPLRPQEMEVDSEDEKDPEWLREKTITQIEEFSDVNEGEKEVMKLWNLHVMKHGFIADNQMNHACMLFVENYGQKIIKKNLCRNFMLHLVSMHDFNLISIMSIDKAVTKLREMQQKLEKGESASPANEEITEEQNGTANGFSEINSKEKALETDSVSGVSKQSKKQKL
+>DECOY_sp|Q15022|SUZ12_HUMAN Polycomb protein SUZ12 OS=Homo sapiens OX=9606 GN=SUZ12 PE=1 SV=3
+LKQKKSQKSVGSVSDTELAKEKSNIESFGNATGNQEETIEENAPSASEGKELKQQMERLKTVAKDISMISILNFDHMSVLHLMFNRCLNKKIIKQGYNEVFLMCAHNMQNDAIFGHKMVHLNWLKMVEKEGENVDSFEEIQTITKERLWEPDKEDESDVEMEQPRLPLCTDSHFYLRNHGSSYTRQQEVEGDESELFESMSAKTRKPRCVLIHTIPTRKVPGNRSFAFGPQRHIDQPNGAYSGDYCENISVDIRAGKPHYVYNFIFRSHCLKLHKLLSYLKRCNLTCWPCHLDDRAETQQRTNNNYLFQYFIRLKQRNENPTDKEKRTQLDTTLSEKVAITQTPKVSGPKNEQHLSESNRTALPKAIPATSKDNTEGTWRLTFQLTPGQSFTEFPPLRKGDLITEWTARKKSIPCEEMEQMAVEYEGDLLQLRRNKDFVTMQAVFTKEGDERNRKRRAPLEENVDINENTEGNIMGNFERRGPRTVRFLLSYSKVMHSNSPEFENSSVALSPFNGPKTQNLDPNLPVQKKGTPVQRIPCSVDKRKKHCVKVLLVELTVSNQENESNPSPKDNKHFFGTFTLQLHASLSHSEQEGKMKEVKSLMDDVKFTKRKINTRSNRHSMYTLTRHLFIPAILNRTRLFRYIQTPKEFAQLFLEHDAQVHEMKPKKVPLVAAGAAAAASSSSSASYSGGGGCSGGGSKGGSATAAAVAASGGFGGGGSGASPGSGGGGGGGHKQPAM
+>sp|Q7L1I2|SV2B_HUMAN Synaptic vesicle glycoprotein 2B OS=Homo sapiens OX=9606 GN=SV2B PE=1 SV=1
+MDDYKYQDNYGGYAPSDGYYRGNESNPEEDAQSDVTEGHDEEDEIYEGEYQGIPHPDDVKAKQAKMAPSRMDSLRGQTDLMAERLEDEEQLAHQYETIMDECGHGRFQWILFFVLGLALMADGVEVFVVSFALPSAEKDMCLSSSKKGMLGMIVYLGMMAGAFILGGLADKLGRKRVLSMSLAVNASFASLSSFVQGYGAFLFCRLISGIGIGGALPIVFAYFSEFLSREKRGEHLSWLGIFWMTGGLYASAMAWSIIPHYGWGFSMGTNYHFHSWRVFVIVCALPCTVSMVALKFMPESPRFLLEMGKHDEAWMILKQVHDTNMRAKGTPEKVFTVSNIKTPKQMDEFIEIQSSTGTWYQRWLVRFKTIFKQVWDNALYCVMGPYRMNTLILAVVWFAMAFSYYGLTVWFPDMIRYFQDEEYKSKMKVFFGEHVYGATINFTMENQIHQHGKLVNDKFTRMYFKHVLFEDTFFDECYFEDVTSTDTYFKNCTIESTIFYNTDLYEHKFINCRFINSTFLEQKEGCHMDLEQDNDFLIYLVSFLGSLSVLPGNIISALLMDRIGRLKMIGGSMLISAVCCFFLFFGNSESAMIGWQCLFCGTSIAAWNALDVITVELYPTNQRATAFGILNGLCKFGAILGNTIFASFVGITKVVPILLAAASLVGGGLIALRLPETREQVLM
+>DECOY_sp|Q7L1I2|SV2B_HUMAN Synaptic vesicle glycoprotein 2B OS=Homo sapiens OX=9606 GN=SV2B PE=1 SV=1
+MLVQERTEPLRLAILGGGVLSAAALLIPVVKTIGVFSAFITNGLIAGFKCLGNLIGFATARQNTPYLEVTIVDLANWAAISTGCFLCQWGIMASESNGFFLFFCCVASILMSGGIMKLRGIRDMLLASIINGPLVSLSGLFSVLYILFDNDQELDMHCGEKQELFTSNIFRCNIFKHEYLDTNYFITSEITCNKFYTDTSTVDEFYCEDFFTDEFLVHKFYMRTFKDNVLKGHQHIQNEMTFNITAGYVHEGFFVKMKSKYEEDQFYRIMDPFWVTLGYYSFAMAFWVVALILTNMRYPGMVCYLANDWVQKFITKFRVLWRQYWTGTSSQIEIFEDMQKPTKINSVTFVKEPTGKARMNTDHVQKLIMWAEDHKGMELLFRPSEPMFKLAVMSVTCPLACVIVFVRWSHFHYNTGMSFGWGYHPIISWAMASAYLGGTMWFIGLWSLHEGRKERSLFESFYAFVIPLAGGIGIGSILRCFLFAGYGQVFSSLSAFSANVALSMSLVRKRGLKDALGGLIFAGAMMGLYVIMGLMGKKSSSLCMDKEASPLAFSVVFVEVGDAMLALGLVFFLIWQFRGHGCEDMITEYQHALQEEDELREAMLDTQGRLSDMRSPAMKAQKAKVDDPHPIGQYEGEYIEDEEDHGETVDSQADEEPNSENGRYYGDSPAYGGYNDQYKYDDM
+>sp|Q496J9|SV2C_HUMAN Synaptic vesicle glycoprotein 2C OS=Homo sapiens OX=9606 GN=SV2C PE=1 SV=1
+MEDSYKDRTSLMKGAKDIAREVKKQTVKKVNQAVDRAQDEYTQRSYSRFQDEEDDDDYYPAGETYNGEANDDEGSSEATEGHDEDDEIYEGEYQGIPSMNQAKDSIVSVGQPKGDEYKDRRELESERRADEEELAQQYELIIQECGHGRFQWALFFVLGMALMADGVEVFVVGFVLPSAETDLCIPNSGSGWLGSIVYLGMMVGAFFWGGLADKVGRKQSLLICMSVNGFFAFLSSFVQGYGFFLFCRLLSGFGIGGAIPTVFSYFAEVLAREKRGEHLSWLCMFWMIGGIYASAMAWAIIPHYGWSFSMGSAYQFHSWRVFVIVCALPCVSSVVALTFMPESPRFLLEVGKHDEAWMILKLIHDTNMRARGQPEKVFTVNKIKTPKQIDELIEIESDTGTWYRRCFVRIRTELYGIWLTFMRCFNYPVRDNTIKLTIVWFTLSFGYYGLSVWFPDVIKPLQSDEYALLTRNVERDKYANFTINFTMENQIHTGMEYDNGRFIGVKFKSVTFKDSVFKSCTFEDVTSVNTYFKNCTFIDTVFDNTDFEPYKFIDSEFKNCSFFHNKTGCQITFDDDYSAYWIYFVNFLGTLAVLPGNIVSALLMDRIGRLTMLGGSMVLSGISCFFLWFGTSESMMIGMLCLYNGLTISAWNSLDVVTVELYPTDRRATGFGFLNALCKAAAVLGNLIFGSLVSITKSIPILLASTVLVCGGLVGLCLPDTRTQVLM
+>DECOY_sp|Q496J9|SV2C_HUMAN Synaptic vesicle glycoprotein 2C OS=Homo sapiens OX=9606 GN=SV2C PE=1 SV=1
+MLVQTRTDPLCLGVLGGCVLVTSALLIPISKTISVLSGFILNGLVAAAKCLANLFGFGTARRDTPYLEVTVVDLSNWASITLGNYLCLMGIMMSESTGFWLFFCSIGSLVMSGGLMTLRGIRDMLLASVINGPLVALTGLFNVFYIWYASYDDDFTIQCGTKNHFFSCNKFESDIFKYPEFDTNDFVTDIFTCNKFYTNVSTVDEFTCSKFVSDKFTVSKFKVGIFRGNDYEMGTHIQNEMTFNITFNAYKDREVNRTLLAYEDSQLPKIVDPFWVSLGYYGFSLTFWVITLKITNDRVPYNFCRMFTLWIGYLETRIRVFCRRYWTGTDSEIEILEDIQKPTKIKNVTFVKEPQGRARMNTDHILKLIMWAEDHKGVELLFRPSEPMFTLAVVSSVCPLACVIVFVRWSHFQYASGMSFSWGYHPIIAWAMASAYIGGIMWFMCLWSLHEGRKERALVEAFYSFVTPIAGGIGFGSLLRCFLFFGYGQVFSSLFAFFGNVSMCILLSQKRGVKDALGGWFFAGVMMGLYVISGLWGSGSNPICLDTEASPLVFGVVFVEVGDAMLAMGLVFFLAWQFRGHGCEQIILEYQQALEEEDARRESELERRDKYEDGKPQGVSVISDKAQNMSPIGQYEGEYIEDDEDHGETAESSGEDDNAEGNYTEGAPYYDDDDEEDQFRSYSRQTYEDQARDVAQNVKKVTQKKVERAIDKAGKMLSTRDKYSDEM
+>sp|Q8N300|SVBP_HUMAN Small vasohibin-binding protein OS=Homo sapiens OX=9606 GN=SVBP PE=1 SV=1
+MDPPARKEKTKVKESVSRVEKAKQKSAQQELKQRQRAEIYALNRVMTELEQQQFDEFCKQMQPPGE
+>DECOY_sp|Q8N300|SVBP_HUMAN Small vasohibin-binding protein OS=Homo sapiens OX=9606 GN=SVBP PE=1 SV=1
+EGPPQMQKCFEDFQQQELETMVRNLAYIEARQRQKLEQQASKQKAKEVRSVSEKVKTKEKRAPPDM
+>sp|Q4LDE5|SVEP1_HUMAN Sushi, von Willebrand factor type A, EGF and pentraxin domain-containing protein 1 OS=Homo sapiens OX=9606 GN=SVEP1 PE=1 SV=3
+MWPRLAFCCWGLALVSGWATFQQMSPSRNFSFRLFPETAPGAPGSIPAPPAPGDEAAGSRVERLGQAFRRRVRLLRELSERLELVFLVDDSSSVGEVNFRSELMFVRKLLSDFPVVPTATRVAIVTFSSKNYVVPRVDYISTRRARQHKCALLLQEIPAISYRGGGTYTKGAFQQAAQILLHARENSTKVVFLITDGYSNGGDPRPIAASLRDSGVEIFTFGIWQGNIRELNDMASTPKEEHCYLLHSFEEFEALARRALHEDLPSGSFIQDDMVHCSYLCDEGKDCCDRMGSCKCGTHTGHFECICEKGYYGKGLQYECTACPSGTYKPEGSPGGISSCIPCPDENHTSPPGSTSPEDCVCREGYRASGQTCELVHCPALKPPENGYFIQNTCNNHFNAACGVRCHPGFDLVGSSIILCLPNGLWSGSESYCRVRTCPHLRQPKHGHISCSTREMLYKTTCLVACDEGYRLEGSDKLTCQGNSQWDGPEPRCVERHCSTFQMPKDVIISPHNCGKQPAKFGTICYVSCRQGFILSGVKEMLRCTTSGKWNVGVQAAVCKDVEAPQINCPKDIEAKTLEQQDSANVTWQIPTAKDNSGEKVSVHVHPAFTPPYLFPIGDVAIVYTATDLSGNQASCIFHIKVIDAEPPVIDWCRSPPPVQVSEKVHAASWDEPQFSDNSGAELVITRSHTQGDLFPQGETIVQYTATDPSGNNRTCDIHIVIKGSPCEIPFTPVNGDFICTPDNTGVNCTLTCLEGYDFTEGSTDKYYCAYEDGVWKPTYTTEWPDCAKKRFANHGFKSFEMFYKAARCDDTDLMKKFSEAFETTLGKMVPSFCSDAEDIDCRLEENLTKKYCLEYNYDYENGFAIGPGGWGAANRLDYSYDDFLDTVQETATSIGNAKSSRIKRSAPLSDYKIKLIFNITASVPLPDERNDTLEWENQQRLLQTLETITNKLKRTLNKDPMYSFQLASEILIADSNSLETKKASPFCRPGSVLRGRMCVNCPLGTYYNLEHFTCESCRIGSYQDEEGQLECKLCPSGMYTEYIHSRNISDCKAQCKQGTYSYSGLETCESCPLGTYQPKFGSRSCLSCPENTSTVKRGAVNISACGVPCPEGKFSRSGLMPCHPCPRDYYQPNAGKAFCLACPFYGTTPFAGSRSITECSSFSSTFSAAEESVVPPASLGHIKKRHEISSQVFHECFFNPCHNSGTCQQLGRGYVCLCPLGYTGLKCETDIDECSPLPCLNNGVCKDLVGEFICECPSGYTGQRCEENINECSSSPCLNKGICVDGVAGYRCTCVKGFVGLHCETEVNECQSNPCLNNAVCEDQVGGFLCKCPPGFLGTRCGKNVDECLSQPCKNGATCKDGANSFRCLCAAGFTGSHCELNINECQSNPCRNQATCVDELNSYSCKCQPGFSGKRCETEQSTGFNLDFEVSGIYGYVMLDGMLPSLHALTCTFWMKSSDDMNYGTPISYAVDNGSDNTLLLTDYNGWVLYVNGREKITNCPSVNDGRWHHIAITWTSANGIWKVYIDGKLSDGGAGLSVGLPIPGGGALVLGQEQDKKGEGFSPAESFVGSISQLNLWDYVLSPQQVKSLATSCPEELSKGNVLAWPDFLSGIVGKVKIDSKSIFCSDCPRLGGSVPHLRTASEDLKPGSKVNLFCDPGFQLVGNPVQYCLNQGQWTQPLPHCERISCGVPPPLENGFHSADDFYAGSTVTYQCNNGYYLLGDSRMFCTDNGSWNGVSPSCLDVDECAVGSDCSEHASCLNVDGSYICSCVPPYTGDGKNCAEPIKCKAPGNPENGHSSGEIYTVGAEVTFSCQEGYQLMGVTKITCLESGEWNHLIPYCKAVSCGKPAIPENGCIEELAFTFGSKVTYRCNKGYTLAGDKESSCLANSSWSHSPPVCEPVKCSSPENINNGKYILSGLTYLSTASYSCDTGYSLQGPSIIECTASGIWDRAPPACHLVFCGEPPAIKDAVITGNNFTFRNTVTYTCKEGYTLAGLDTIECLADGKWSRSDQQCLAVSCDEPPIVDHASPETAHRLFGDIAFYYCSDGYSLADNSQLLCNAQGKWVPPEGQDMPRCIAHFCEKPPSVSYSILESVSKAKFAAGSVVSFKCMEGFVLNTSAKIECMRGGQWNPSPMSIQCIPVRCGEPPSIMNGYASGSNYSFGAMVAYSCNKGFYIKGEKKSTCEATGQWSSPIPTCHPVSCGEPPKVENGFLEHTTGRIFESEVRYQCNPGYKSVGSPVFVCQANRHWHSESPLMCVPLDCGKPPPIQNGFMKGENFEVGSKVQFFCNEGYELVGDSSWTCQKSGKWNKKSNPKCMPAKCPEPPLLENQLVLKELTTEVGVVTFSCKEGHVLQGPSVLKCLPSQQWNDSFPVCKIVLCTPPPLISFGVPIPSSALHFGSTVKYSCVGGFFLRGNSTTLCQPDGTWSSPLPECVPVECPQPEEIPNGIIDVQGLAYLSTALYTCKPGFELVGNTTTLCGENGHWLGGKPTCKAIECLKPKEILNGKFSYTDLHYGQTVTYSCNRGFRLEGPSALTCLETGDWDVDAPSCNAIHCDSPQPIENGFVEGADYSYGAIIIYSCFPGFQVAGHAMQTCEESGWSSSIPTCMPIDCGLPPHIDFGDCTKLKDDQGYFEQEDDMMEVPYVTPHPPYHLGAVAKTWENTKESPATHSSNFLYGTMVSYTCNPGYELLGNPVLICQEDGTWNGSAPSCISIECDLPTAPENGFLRFTETSMGSAVQYSCKPGHILAGSDLRLCLENRKWSGASPRCEAISCKKPNPVMNGSIKGSNYTYLSTLYYECDPGYVLNGTERRTCQDDKNWDEDEPICIPVDCSSPPVSANGQVRGDEYTFQKEIEYTCNEGFLLEGARSRVCLANGSWSGATPDCVPVRCATPPQLANGVTEGLDYGFMKEVTFHCHEGYILHGAPKLTCQSDGNWDAEIPLCKPVNCGPPEDLAHGFPNGFSFIHGGHIQYQCFPGYKLHGNSSRRCLSNGSWSGSSPSCLPCRCSTPVIEYGTVNGTDFDCGKAARIQCFKGFKLLGLSEITCEADGQWSSGFPHCEHTSCGSLPMIPNAFISETSSWKENVITYSCRSGYVIQGSSDLICTEKGVWSQPYPVCEPLSCGSPPSVANAVATGEAHTYESEVKLRCLEGYTMDTDTDTFTCQKDGRWFPERISCSPKKCPLPENITHILVHGDDFSVNRQVSVSCAEGYTFEGVNISVCQLDGTWEPPFSDESCSPVSCGKPESPEHGFVVGSKYTFESTIIYQCEPGYELEGNRERVCQENRQWSGGVAICKETRCETPLEFLNGKADIENRTTGPNVVYSCNRGYSLEGPSEAHCTENGTWSHPVPLCKPNPCPVPFVIPENALLSEKEFYVDQNVSIKCREGFLLQGHGIITCNPDETWTQTSAKCEKISCGPPAHVENAIARGVHYQYGDMITYSCYSGYMLEGFLRSVCLENGTWTSPPICRAVCRFPCQNGGICQRPNACSCPEGWMGRLCEEPICILPCLNGGRCVAPYQCDCPPGWTGSRCHTAVCQSPCLNGGKCVRPNRCHCLSSWTGHNCSRKRRTGF
+>DECOY_sp|Q4LDE5|SVEP1_HUMAN Sushi, von Willebrand factor type A, EGF and pentraxin domain-containing protein 1 OS=Homo sapiens OX=9606 GN=SVEP1 PE=1 SV=3
+FGTRRKRSCNHGTWSSLCHCRNPRVCKGGNLCPSQCVATHCRSGTWGPPCDCQYPAVCRGGNLCPLICIPEECLRGMWGEPCSCANPRQCIGGNQCPFRCVARCIPPSTWTGNELCVSRLFGELMYGSYCSYTIMDGYQYHVGRAIANEVHAPPGCSIKECKASTQTWTEDPNCTIIGHGQLLFGERCKISVNQDVYFEKESLLANEPIVFPVPCPNPKCLPVPHSWTGNETCHAESPGELSYGRNCSYVVNPGTTRNEIDAKGNLFELPTECRTEKCIAVGGSWQRNEQCVRERNGELEYGPECQYIITSEFTYKSGVVFGHEPSEPKGCSVPSCSEDSFPPEWTGDLQCVSINVGEFTYGEACSVSVQRNVSFDDGHVLIHTINEPLPCKKPSCSIREPFWRGDKQCTFTDTDTDMTYGELCRLKVESEYTHAEGTAVANAVSPPSGCSLPECVPYPQSWVGKETCILDSSGQIVYGSRCSYTIVNEKWSSTESIFANPIMPLSGCSTHECHPFGSSWQGDAECTIESLGLLKFGKFCQIRAAKGCDFDTGNVTGYEIVPTSCRCPLCSPSSGSWSGNSLCRRSSNGHLKYGPFCQYQIHGGHIFSFGNPFGHALDEPPGCNVPKCLPIEADWNGDSQCTLKPAGHLIYGEHCHFTVEKMFGYDLGETVGNALQPPTACRVPVCDPTAGSWSGNALCVRSRAGELLFGENCTYEIEKQFTYEDGRVQGNASVPPSSCDVPICIPEDEDWNKDDQCTRRETGNLVYGPDCEYYLTSLYTYNSGKISGNMVPNPKKCSIAECRPSAGSWKRNELCLRLDSGALIHGPKCSYQVASGMSTETFRLFGNEPATPLDCEISICSPASGNWTGDEQCILVPNGLLEYGPNCTYSVMTGYLFNSSHTAPSEKTNEWTKAVAGLHYPPHPTVYPVEMMDDEQEFYGQDDKLKTCDGFDIHPPLGCDIPMCTPISSSWGSEECTQMAHGAVQFGPFCSYIIIAGYSYDAGEVFGNEIPQPSDCHIANCSPADVDWDGTELCTLASPGELRFGRNCSYTVTQGYHLDTYSFKGNLIEKPKLCEIAKCTPKGGLWHGNEGCLTTTNGVLEFGPKCTYLATSLYALGQVDIIGNPIEEPQPCEVPVCEPLPSSWTGDPQCLTTSNGRLFFGGVCSYKVTSGFHLASSPIPVGFSILPPPTCLVIKCVPFSDNWQQSPLCKLVSPGQLVHGEKCSFTVVGVETTLEKLVLQNELLPPEPCKAPMCKPNSKKNWKGSKQCTWSSDGVLEYGENCFFQVKSGVEFNEGKMFGNQIPPPKGCDLPVCMLPSESHWHRNAQCVFVPSGVSKYGPNCQYRVESEFIRGTTHELFGNEVKPPEGCSVPHCTPIPSSWQGTAECTSKKEGKIYFGKNCSYAVMAGFSYNSGSAYGNMISPPEGCRVPICQISMPSPNWQGGRMCEIKASTNLVFGEMCKFSVVSGAAFKAKSVSELISYSVSPPKECFHAICRPMDQGEPPVWKGQANCLLQSNDALSYGDSCYYFAIDGFLRHATEPSAHDVIPPEDCSVALCQQDSRSWKGDALCEITDLGALTYGEKCTYTVTNRFTFNNGTIVADKIAPPEGCFVLHCAPPARDWIGSATCEIISPGQLSYGTDCSYSATSLYTLGSLIYKGNNINEPSSCKVPECVPPSHSWSSNALCSSEKDGALTYGKNCRYTVKSGFTFALEEICGNEPIAPKGCSVAKCYPILHNWEGSELCTIKTVGMLQYGEQCSFTVEAGVTYIEGSSHGNEPNGPAKCKIPEACNKGDGTYPPVCSCIYSGDVNLCSAHESCDSGVACEDVDLCSPSVGNWSGNDTCFMRSDGLLYYGNNCQYTVTSGAYFDDASHFGNELPPPVGCSIRECHPLPQTWQGQNLCYQVPNGVLQFGPDCFLNVKSGPKLDESATRLHPVSGGLRPCDSCFISKSDIKVKGVIGSLFDPWALVNGKSLEEPCSTALSKVQQPSLVYDWLNLQSISGVFSEAPSFGEGKKDQEQGLVLAGGGPIPLGVSLGAGGDSLKGDIYVKWIGNASTWTIAIHHWRGDNVSPCNTIKERGNVYLVWGNYDTLLLTNDSGNDVAYSIPTGYNMDDSSKMWFTCTLAHLSPLMGDLMVYGYIGSVEFDLNFGTSQETECRKGSFGPQCKCSYSNLEDVCTAQNRCPNSQCENINLECHSGTFGAACLCRFSNAGDKCTAGNKCPQSLCEDVNKGCRTGLFGPPCKCLFGGVQDECVANNLCPNSQCENVETECHLGVFGKVCTCRYGAVGDVCIGKNLCPSSSCENINEECRQGTYGSPCECIFEGVLDKCVGNNLCPLPSCEDIDTECKLGTYGLPCLCVYGRGLQQCTGSNHCPNFFCEHFVQSSIEHRKKIHGLSAPPVVSEEAASFTSSFSSCETISRSGAFPTTGYFPCALCFAKGANPQYYDRPCPHCPMLGSRSFKGEPCPVGCASINVAGRKVTSTNEPCSLCSRSGFKPQYTGLPCSECTELGSYSYTGQKCQAKCDSINRSHIYETYMGSPCLKCELQGEEDQYSGIRCSECTFHELNYYTGLPCNVCMRGRLVSGPRCFPSAKKTELSNSDAILIESALQFSYMPDKNLTRKLKNTITELTQLLRQQNEWELTDNREDPLPVSATINFILKIKYDSLPASRKIRSSKANGISTATEQVTDLFDDYSYDLRNAAGWGGPGIAFGNEYDYNYELCYKKTLNEELRCDIDEADSCFSPVMKGLTTEFAESFKKMLDTDDCRAAKYFMEFSKFGHNAFRKKACDPWETTYTPKWVGDEYACYYKDTSGETFDYGELCTLTCNVGTNDPTCIFDGNVPTFPIECPSGKIVIHIDCTRNNGSPDTATYQVITEGQPFLDGQTHSRTIVLEAGSNDSFQPEDWSAAHVKESVQVPPPSRCWDIVPPEADIVKIHFICSAQNGSLDTATYVIAVDGIPFLYPPTFAPHVHVSVKEGSNDKATPIQWTVNASDQQELTKAEIDKPCNIQPAEVDKCVAAQVGVNWKGSTTCRLMEKVGSLIFGQRCSVYCITGFKAPQKGCNHPSIIVDKPMQFTSCHREVCRPEPGDWQSNGQCTLKDSGELRYGEDCAVLCTTKYLMERTSCSIHGHKPQRLHPCTRVRCYSESGSWLGNPLCLIISSGVLDFGPHCRVGCAANFHNNCTNQIFYGNEPPKLAPCHVLECTQGSARYGERCVCDEPSTSGPPSTHNEDPCPICSSIGGPSGEPKYTGSPCATCEYQLGKGYYGKECICEFHGTHTGCKCSGMRDCCDKGEDCLYSCHVMDDQIFSGSPLDEHLARRALAEFEEFSHLLYCHEEKPTSAMDNLERINGQWIGFTFIEVGSDRLSAAIPRPDGGNSYGDTILFVVKTSNERAHLLIQAAQQFAGKTYTGGGRYSIAPIEQLLLACKHQRARRTSIYDVRPVVYNKSSFTVIAVRTATPVVPFDSLLKRVFMLESRFNVEGVSSSDDVLFVLELRESLERLLRVRRRFAQGLREVRSGAAEDGPAPPAPISGPAGPATEPFLRFSFNRSPSMQQFTAWGSVLALGWCCFALRPWM
+>sp|Q8NHG7|SVIP_HUMAN Small VCP/p97-interacting protein OS=Homo sapiens OX=9606 GN=SVIP PE=1 SV=1
+MGLCFPCPGESAPPTPDLEEKRAKLAEAAERRQKEAASRGILDVQSVQEKRKKKEKIEKQIATSGPPPEGGLRWTVS
+>DECOY_sp|Q8NHG7|SVIP_HUMAN Small VCP/p97-interacting protein OS=Homo sapiens OX=9606 GN=SVIP PE=1 SV=1
+SVTWRLGGEPPPGSTAIQKEIKEKKKRKEQVSQVDLIGRSAAEKQRREAAEALKARKEELDPTPPASEGPCPFCLGM
+>sp|Q2M3V2|SWAHA_HUMAN Ankyrin repeat domain-containing protein SOWAHA OS=Homo sapiens OX=9606 GN=SOWAHA PE=1 SV=3
+MALAAAAAAAAAGVSQAAVLGFLQEHGGKVRNSELLSRFKPLLDAGDPRGRAARRDRFKQFVNNVAVVKELDGVKFVVLRKKPRPPEPEPAPFGPPGAAAQPSKPTSTVLPRSASAPGAPPLVRVPRPVEPPGDLGLPTEPQDTPGGPASEPAQPPGERSADPPLPALELAQATERPSADAAPPPRAPSEAASPCSDPPDAEPGPGAAKGPPQQKPCMLPVRCVPAPATLRLRAEEPGLRRQLSEEPSPRSSPLLLRRLSVEESGLGLGLGPGRSPHLRRLSRAGPRLLSPDAEELPAAPPPSAVPLEPSEHEWLVRTAGGRWTHQLHGLLLRDRGLAAKRDFMSGFTALHWAAKSGDGEMALQLVEVARRSGAPVDVNARSHGGYTPLHLAALHGHEDAAVLLVVRLGAQVHVRDHSGRRAYQYLRPGSSYALRRLLGDPGLRGTTEPDATGGGSGSLAARRPVQVAATILSSTTSAFLGVLADDLMLQDLARGLKKSSSFSKFLSASPMAPRKKTKIRGGLPAFSEISRRPTPGPLAGLVPSFPPTT
+>DECOY_sp|Q2M3V2|SWAHA_HUMAN Ankyrin repeat domain-containing protein SOWAHA OS=Homo sapiens OX=9606 GN=SOWAHA PE=1 SV=3
+TTPPFSPVLGALPGPTPRRSIESFAPLGGRIKTKKRPAMPSASLFKSFSSSKKLGRALDQLMLDDALVGLFASTTSSLITAAVQVPRRAALSGSGGGTADPETTGRLGPDGLLRRLAYSSGPRLYQYARRGSHDRVHVQAGLRVVLLVAADEHGHLAALHLPTYGGHSRANVDVPAGSRRAVEVLQLAMEGDGSKAAWHLATFGSMFDRKAALGRDRLLLGHLQHTWRGGATRVLWEHESPELPVASPPPAAPLEEADPSLLRPGARSLRRLHPSRGPGLGLGLGSEEVSLRRLLLPSSRPSPEESLQRRLGPEEARLRLTAPAPVCRVPLMCPKQQPPGKAAGPGPEADPPDSCPSAAESPARPPPAADASPRETAQALELAPLPPDASREGPPQAPESAPGGPTDQPETPLGLDGPPEVPRPVRVLPPAGPASASRPLVTSTPKSPQAAAGPPGFPAPEPEPPRPKKRLVVFKVGDLEKVVAVNNVFQKFRDRRAARGRPDGADLLPKFRSLLESNRVKGGHEQLFGLVAAQSVGAAAAAAAAALAM
+>sp|Q5T5J6|SWT1_HUMAN Transcriptional protein SWT1 OS=Homo sapiens OX=9606 GN=SWT1 PE=2 SV=1
+MSSKESCGKKETSQRKDTTTSSPNFGEKDKKERKTPASSTSSSSIRSVSSEKRKLKSDHTDVLYYNIKRRQGLKRLSVEIDTLRRRPKIGSSSQRPIKLKEASYSNDNQIILQSPSSNGTKKDIHKCVDFKPKDIKLTNAGSKLDHGIKSLSSPKIASDVKPKAEGQASENKWSHLLVQREKMKELKKGRNSKFRDNSEKCVLEKWKRNQFSQDYNSNKIIKEPLGSRRQKISFKIPIKSRDTLQKLVEENVFNIDSNNSKTKQEEREYLESSQVSLNVTRQKTEHLLSDFTYKRTVHEWKRKHHYDHQESNDSHSRENLTQSFEAPCCSVSSESIQDADQEMQIVEELHAARVGKSVDLPGELMSMEIDLEDDVHSSSANNTSDRKLLIVIDTNILMNHLKFVRILKTTEVPGFDKLVLIIPWVVMQELDRMKEGKLLKRAQHKAIPAVHFINDSLKNQDRKLWGQSIQLASQKHYGLSDENNDDRVLKCCLQHQELFPCSFVILCTDDRNLRNKGLISGVKSLSKEELSAELLHLSLNTDVCHQPCIPKQQLKAETTPLKESYKEESTNSGLSILLESIVSDLEKSLGTGLSSILETEMKIAFGNLWMEILYLKPPWTLLHLLQCFKKHWLAVFGLVMEKNLLLTIESLYKNLRKANKAVDFTTVKFLLQDSRSLLHAFSTRSNYDGILPQTFAQVNNLLQTFAEVKTKLKPNSSENTVTKKQEGTSLKNSHNQEITVFSSSHLPQPSRHQEIWSILESVWITIYQNSTDVFQRLGSNSALTTSNIASFEEAFICLQKLMAAVRDILEGIQRILAPNSNYQDVETLYNFLIKYEVNKNVKFTAQEIYDCVSQTEYREKLTIGCRQLVEMEYTMQQCNASVYMEAKNRGWCEDMLNYRI
+>DECOY_sp|Q5T5J6|SWT1_HUMAN Transcriptional protein SWT1 OS=Homo sapiens OX=9606 GN=SWT1 PE=2 SV=1
+IRYNLMDECWGRNKAEMYVSANCQQMTYEMEVLQRCGITLKERYETQSVCDYIEQATFKVNKNVEYKILFNYLTEVDQYNSNPALIRQIGELIDRVAAMLKQLCIFAEEFSAINSTTLASNSGLRQFVDTSNQYITIWVSELISWIEQHRSPQPLHSSSFVTIEQNHSNKLSTGEQKKTVTNESSNPKLKTKVEAFTQLLNNVQAFTQPLIGDYNSRTSFAHLLSRSDQLLFKVTTFDVAKNAKRLNKYLSEITLLLNKEMVLGFVALWHKKFCQLLHLLTWPPKLYLIEMWLNGFAIKMETELISSLGTGLSKELDSVISELLISLGSNTSEEKYSEKLPTTEAKLQQKPICPQHCVDTNLSLHLLEASLEEKSLSKVGSILGKNRLNRDDTCLIVFSCPFLEQHQLCCKLVRDDNNEDSLGYHKQSALQISQGWLKRDQNKLSDNIFHVAPIAKHQARKLLKGEKMRDLEQMVVWPIILVLKDFGPVETTKLIRVFKLHNMLINTDIVILLKRDSTNNASSSHVDDELDIEMSMLEGPLDVSKGVRAAHLEEVIQMEQDADQISESSVSCCPAEFSQTLNERSHSDNSEQHDYHHKRKWEHVTRKYTFDSLLHETKQRTVNLSVQSSELYEREEQKTKSNNSDINFVNEEVLKQLTDRSKIPIKFSIKQRRSGLPEKIIKNSNYDQSFQNRKWKELVCKESNDRFKSNRGKKLEKMKERQVLLHSWKNESAQGEAKPKVDSAIKPSSLSKIGHDLKSGANTLKIDKPKFDVCKHIDKKTGNSSPSQLIIQNDNSYSAEKLKIPRQSSSGIKPRRRLTDIEVSLRKLGQRRKINYYLVDTHDSKLKRKESSVSRISSSSTSSAPTKREKKDKEGFNPSSTTTDKRQSTEKKGCSEKSSM
+>sp|P49588|SYAC_HUMAN Alanine--tRNA ligase, cytoplasmic OS=Homo sapiens OX=9606 GN=AARS PE=1 SV=2
+MDSTLTASEIRQRFIDFFKRNEHTYVHSSATIPLDDPTLLFANAGMNQFKPIFLNTIDPSHPMAKLSRAANTQKCIRAGGKHNDLDDVGKDVYHHTFFEMLGSWSFGDYFKELACKMALELLTQEFGIPIERLYVTYFGGDEAAGLEADLECKQIWQNLGLDDTKILPGNMKDNFWEMGDTGPCGPCSEIHYDRIGGRDAAHLVNQDDPNVLEIWNLVFIQYNREADGILKPLPKKSIDTGMGLERLVSVLQNKMSNYDTDLFVPYFEAIQKGTGARPYTGKVGAEDADGIDMAYRVLADHARTITVALADGGRPDNTGRGYVLRRILRRAVRYAHEKLNASRGFFATLVDVVVQSLGDAFPELKKDPDMVKDIINEEEVQFLKTLSRGRRILDRKIQSLGDSKTIPGDTAWLLYDTYGFPVDLTGLIAEEKGLVVDMDGFEEERKLAQLKSQGKGAGGEDLIMLDIYAIEELRARGLEVTDDSPKYNYHLDSSGSYVFENTVATVMALRREKMFVEEVSTGQECGVVLDKTCFYAEQGGQIYDEGYLVKVDDSSEDKTEFTVKNAQVRGGYVLHIGTIYGDLKVGDQVWLFIDEPRRRPIMSNHTATHILNFALRSVLGEADQKGSLVAPDRLRFDFTAKGAMSTQQIKKAEEIANEMIEAAKAVYTQDCPLAAAKAIQGLRAVFDETYPDPVRVVSIGVPVSELLDDPSGPAGSLTSVEFCGGTHLRNSSHAGAFVIVTEEAIAKGIRRIVAVTGAEAQKALRKAESLKKCLSVMEAKVKAQTAPNKDVQREIADLGEALATAVIPQWQKDELRETLKSLKKVMDDLDRASKADVQKRVLEKTKQFIDSNPNQPLVILEMESGASAKALNEALKLFKMHSPQTSAMLFTVDNEAGKITCLCQVPQNAANRGLKASEWVQQVSGLMDGKGGGKDVSAQATGKNVGCLQEALQLATSFAQLRLGDVKN
+>DECOY_sp|P49588|SYAC_HUMAN Alanine--tRNA ligase, cytoplasmic OS=Homo sapiens OX=9606 GN=AARS PE=1 SV=2
+NKVDGLRLQAFSTALQLAEQLCGVNKGTAQASVDKGGGKGDMLGSVQQVWESAKLGRNAANQPVQCLCTIKGAENDVTFLMASTQPSHMKFLKLAENLAKASAGSEMELIVLPQNPNSDIFQKTKELVRKQVDAKSARDLDDMVKKLSKLTERLEDKQWQPIVATALAEGLDAIERQVDKNPATQAKVKAEMVSLCKKLSEAKRLAKQAEAGTVAVIRRIGKAIAEETVIVFAGAHSSNRLHTGGCFEVSTLSGAPGSPDDLLESVPVGISVVRVPDPYTEDFVARLGQIAKAAALPCDQTYVAKAAEIMENAIEEAKKIQQTSMAGKATFDFRLRDPAVLSGKQDAEGLVSRLAFNLIHTATHNSMIPRRRPEDIFLWVQDGVKLDGYITGIHLVYGGRVQANKVTFETKDESSDDVKVLYGEDYIQGGQEAYFCTKDLVVGCEQGTSVEEVFMKERRLAMVTAVTNEFVYSGSSDLHYNYKPSDDTVELGRARLEEIAYIDLMILDEGGAGKGQSKLQALKREEEFGDMDVVLGKEEAILGTLDVPFGYTDYLLWATDGPITKSDGLSQIKRDLIRRGRSLTKLFQVEEENIIDKVMDPDKKLEPFADGLSQVVVDVLTAFFGRSANLKEHAYRVARRLIRRLVYGRGTNDPRGGDALAVTITRAHDALVRYAMDIGDADEAGVKGTYPRAGTGKQIAEFYPVFLDTDYNSMKNQLVSVLRELGMGTDISKKPLPKLIGDAERNYQIFVLNWIELVNPDDQNVLHAADRGGIRDYHIESCPGCPGTDGMEWFNDKMNGPLIKTDDLGLNQWIQKCELDAELGAAEDGGFYTVYLREIPIGFEQTLLELAMKCALEKFYDGFSWSGLMEFFTHHYVDKGVDDLDNHKGGARICKQTNAARSLKAMPHSPDITNLFIPKFQNMGANAFLLTPDDLPITASSHVYTHENRKFFDIFRQRIESATLTSDM
+>sp|P49589|SYCC_HUMAN Cysteine--tRNA ligase, cytoplasmic OS=Homo sapiens OX=9606 GN=CARS PE=1 SV=3
+MADSSGQQGKGRRVQPQWSPPAGTQPCRLHLYNSLTRNKEVFIPQDGKKVTWYCCGPTVYDASHMGHARSYISFDILRRVLKDYFKFDVFYCMNITDIDDKIIKRARQNHLFEQYREKRPEAAQLLEDVQAALKPFSVKLNETTDPDKKQMLERIQHAVQLATEPLEKAVQSRLTGEEVNSCVEVLLEEAKDLLSDWLDSTLGCDVTDNSIFSKLPKFWEGDFHRDMEALNVLPPDVLTRVSEYVPEIVNFVQKIVDNGYGYVSNGSVYFDTAKFASSEKHSYGKLVPEAVGDQKALQEGEGDLSISADRLSEKRSPNDFALWKASKPGEPSWPCPWGKGRPGWHIECSAMAGTLLGASMDIHGGGFDLRFPHHDNELAQSEAYFENDCWVRYFLHTGHLTIAGCKMSKSLKNFITIKDALKKHSARQLRLAFLMHSWKDTLDYSSNTMESALQYEKFLNEFFLNVKDILRAPVDITGQFEKWGEEEAELNKNFYDKKTAIHKALCDNVDTRTVMEEMRALVSQCNLYMAARKAVRKRPNQALLENIALYLTHMLKIFGAVEEDSSLGFPVGGPGTSLSLEATVMPYLQVLSEFREGVRKIAREQKVPEILQLSDALRDNILPELGVRFEDHEGLPTVVKLVDRNTLLKEREEKRRVEEEKRKKKEEAARRKQEQEAAKLAKMKIPPSEMFLSETDKYSKFDENGLPTHDMEGKELSKGQAKKLKKLFEAQEKLYKEYLQMAQNGSFQ
+>DECOY_sp|P49589|SYCC_HUMAN Cysteine--tRNA ligase, cytoplasmic OS=Homo sapiens OX=9606 GN=CARS PE=1 SV=3
+QFSGNQAMQLYEKYLKEQAEFLKKLKKAQGKSLEKGEMDHTPLGNEDFKSYKDTESLFMESPPIKMKALKAAEQEQKRRAAEEKKKRKEEEVRRKEEREKLLTNRDVLKVVTPLGEHDEFRVGLEPLINDRLADSLQLIEPVKQERAIKRVGERFESLVQLYPMVTAELSLSTGPGGVPFGLSSDEEVAGFIKLMHTLYLAINELLAQNPRKRVAKRAAMYLNCQSVLARMEEMVTRTDVNDCLAKHIATKKDYFNKNLEAEEEGWKEFQGTIDVPARLIDKVNLFFENLFKEYQLASEMTNSSYDLTDKWSHMLFALRLQRASHKKLADKITIFNKLSKSMKCGAITLHGTHLFYRVWCDNEFYAESQALENDHHPFRLDFGGGHIDMSAGLLTGAMASCEIHWGPRGKGWPCPWSPEGPKSAKWLAFDNPSRKESLRDASISLDGEGEQLAKQDGVAEPVLKGYSHKESSAFKATDFYVSGNSVYGYGNDVIKQVFNVIEPVYESVRTLVDPPLVNLAEMDRHFDGEWFKPLKSFISNDTVDCGLTSDLWDSLLDKAEELLVEVCSNVEEGTLRSQVAKELPETALQVAHQIRELMQKKDPDTTENLKVSFPKLAAQVDELLQAAEPRKERYQEFLHNQRARKIIKDDIDTINMCYFVDFKFYDKLVRRLIDFSIYSRAHGMHSADYVTPGCCYWTVKKGDQPIFVEKNRTLSNYLHLRCPQTGAPPSWQPQVRRGKGQQGSSDAM
+>sp|Q6PIF2|SYCE2_HUMAN Synaptonemal complex central element protein 2 OS=Homo sapiens OX=9606 GN=SYCE2 PE=1 SV=2
+MERQGVDVPHVKCKDQEPQPLGESKEHPRWEENCEEEAGGGPASASCQLTVLEGKSGLYFSSLDSSIDILQKRAQELIENINKSRQKDHALMTNFRNSLKTKVSDLTEKLEERIYQIYNDHNKIIQEKLQEFTQKMAKISHLETELKQVCHSVETVYKDLCLQPEQSLRLRWGPDHSRGKSPPRPGNSQPPDVFVSSVAETTSQATASEVQTNRDGEC
+>DECOY_sp|Q6PIF2|SYCE2_HUMAN Synaptonemal complex central element protein 2 OS=Homo sapiens OX=9606 GN=SYCE2 PE=1 SV=2
+CEGDRNTQVESATAQSTTEAVSSVFVDPPQSNGPRPPSKGRSHDPGWRLRLSQEPQLCLDKYVTEVSHCVQKLETELHSIKAMKQTFEQLKEQIIKNHDNYIQYIREELKETLDSVKTKLSNRFNTMLAHDKQRSKNINEILEQARKQLIDISSDLSSFYLGSKGELVTLQCSASAPGGGAEEECNEEWRPHEKSEGLPQPEQDKCKVHPVDVGQREM
+>sp|Q8IZU3|SYCP3_HUMAN Synaptonemal complex protein 3 OS=Homo sapiens OX=9606 GN=SYCP3 PE=1 SV=1
+MVSSGKKYSRKSGKPSVEDQFTRAYDFETEDKKDLSGSEEDVIEGKTAVIEKRRKKRSSAGVVEDMGGEVQNMLEGVGVDINKALLAKRKRLEMYTKASLKTSNQKIEHVWKTQQDQRQKLNQEYSQQFLTLFQQWDLDMQKAEEQEEKILNMFRQQQKILQQSRIVQSQRLKTIKQLYEQFIKSMEELEKNHDNLLTGAQNEFKKEMAMLQKKIMMETQQQEIASVRKSLQSMLF
+>DECOY_sp|Q8IZU3|SYCP3_HUMAN Synaptonemal complex protein 3 OS=Homo sapiens OX=9606 GN=SYCP3 PE=1 SV=1
+FLMSQLSKRVSAIEQQQTEMMIKKQLMAMEKKFENQAGTLLNDHNKELEEMSKIFQEYLQKITKLRQSQVIRSQQLIKQQQRFMNLIKEEQEEAKQMDLDWQQFLTLFQQSYEQNLKQRQDQQTKWVHEIKQNSTKLSAKTYMELRKRKALLAKNIDVGVGELMNQVEGGMDEVVGASSRKKRRKEIVATKGEIVDEESGSLDKKDETEFDYARTFQDEVSPKGSKRSYKKGSSVM
+>sp|Q6ZW31|SYDE1_HUMAN Rho GTPase-activating protein SYDE1 OS=Homo sapiens OX=9606 GN=SYDE1 PE=1 SV=1
+MAEPLLRKTFSRLRGREKLPRKKSDAKERGHPAQRPEPSPPEPEPQAPEGSQAGAEGPSSPEASRSPARGAYLQSLEPSSRRWVLGGAKPAEDTSLGPGVPGTGEPAGEIWYNPIPEEDPRPPAPEPPGPQPGSAESEGLAPQGAAPASPPTKASRTKSPGPARRLSIKMKKLPELRRRLSLRGPRAGRERERAAPAGSVISRYHLDSSVGGPGPAAGPGGTRSPRAGYLSDGDSPERPAGPPSPTSFRPYEVGPAARAPPAALWGRLSLHLYGLGGLRPAPGATPRDLCCLLQVDGEARARTGPLRGGPDFLRLDHTFHLELEAARLLRALVLAWDPGVRRHRPCAQGTVLLPTVFRGCQAQQLAVRLEPQGLLYAKLTLSEQQEAPATAEPRVFGLPLPLLVERERPPGQVPLIIQKCVGQIERRGLRVVGLYRLCGSAAVKKELRDAFERDSAAVCLSEDLYPDINVITGILKDYLRELPTPLITQPLYKVVLEAMARDPPNRVPPTTEGTRGLLSCLPDVERATLTLLLDHLRLVSSFHAYNRMTPQNLAVCFGPVLLPARQAPTRPRARSSGPGLASAVDFKHHIEVLHYLLQSWPDPRLPRQSPDVAPYLRPKRQPPLHLPLADPEVVTRPRGRGGPESPPSNRYAGDWSVCGRDFLPCGRDFLSGPDYDHVTGSDSEDEDEEVGEPRVTGDFEDDFDAPFNPHLNLKDFDALILDLERELSKQINVCL
+>DECOY_sp|Q6ZW31|SYDE1_HUMAN Rho GTPase-activating protein SYDE1 OS=Homo sapiens OX=9606 GN=SYDE1 PE=1 SV=1
+LCVNIQKSLERELDLILADFDKLNLHPNFPADFDDEFDGTVRPEGVEEDEDESDSGTVHDYDPGSLFDRGCPLFDRGCVSWDGAYRNSPPSEPGGRGRPRTVVEPDALPLHLPPQRKPRLYPAVDPSQRPLRPDPWSQLLYHLVEIHHKFDVASALGPGSSRARPRTPAQRAPLLVPGFCVALNQPTMRNYAHFSSVLRLHDLLLTLTAREVDPLCSLLGRTGETTPPVRNPPDRAMAELVVKYLPQTILPTPLERLYDKLIGTIVNIDPYLDESLCVAASDREFADRLEKKVAASGCLRYLGVVRLGRREIQGVCKQIILPVQGPPREREVLLPLPLGFVRPEATAPAEQQESLTLKAYLLGQPELRVALQQAQCGRFVTPLLVTGQACPRHRRVGPDWALVLARLLRAAELELHFTHDLRLFDPGGRLPGTRARAEGDVQLLCCLDRPTAGPAPRLGGLGYLHLSLRGWLAAPPARAAPGVEYPRFSTPSPPGAPREPSDGDSLYGARPSRTGGPGAAPGPGGVSSDLHYRSIVSGAPAARERERGARPGRLSLRRRLEPLKKMKISLRRAPGPSKTRSAKTPPSAPAAGQPALGESEASGPQPGPPEPAPPRPDEEPIPNYWIEGAPEGTGPVGPGLSTDEAPKAGGLVWRRSSPELSQLYAGRAPSRSAEPSSPGEAGAQSGEPAQPEPEPPSPEPRQAPHGREKADSKKRPLKERGRLRSFTKRLLPEAM
+>sp|P62861|RS30_HUMAN 40S ribosomal protein S30 OS=Homo sapiens OX=9606 GN=FAU PE=1 SV=1
+KVHGSLARAGKVRGQTPKVAKQEKKKKKTGRAKRRMQYNRRFVNVVPTFGKKKGPNANS
+>DECOY_sp|P62861|RS30_HUMAN 40S ribosomal protein S30 OS=Homo sapiens OX=9606 GN=FAU PE=1 SV=1
+SNANPGKKKGFTPVVNVFRRNYQMRRKARGTKKKKKEQKAVKPTQGRVKGARALSGHVK
+>sp|P61247|RS3A_HUMAN 40S ribosomal protein S3a OS=Homo sapiens OX=9606 GN=RPS3A PE=1 SV=2
+MAVGKNKRLTKGGKKGAKKKVVDPFSKKDWYDVKAPAMFNIRNIGKTLVTRTQGTKIASDGLKGRVFEVSLADLQNDEVAFRKFKLITEDVQGKNCLTNFHGMDLTRDKMCSMVKKWQTMIEAHVDVKTTDGYLLRLFCVGFTKKRNNQIRKTSYAQHQQVRQIRKKMMEIMTREVQTNDLKEVVNKLIPDSIGKDIEKACQSIYPLHDVFVRKVKMLKKPKFELGKLMELHGEGSSSGKATGDETGAKVERADGYEPPVQESV
+>DECOY_sp|P61247|RS3A_HUMAN 40S ribosomal protein S3a OS=Homo sapiens OX=9606 GN=RPS3A PE=1 SV=2
+VSEQVPPEYGDAREVKAGTEDGTAKGSSSGEGHLEMLKGLEFKPKKLMKVKRVFVDHLPYISQCAKEIDKGISDPILKNVVEKLDNTQVERTMIEMMKKRIQRVQQHQAYSTKRIQNNRKKTFGVCFLRLLYGDTTKVDVHAEIMTQWKKVMSCMKDRTLDMGHFNTLCNKGQVDETILKFKRFAVEDNQLDALSVEFVRGKLGDSAIKTGQTRTVLTKGINRINFMAPAKVDYWDKKSFPDVVKKKAGKKGGKTLRKNKGVAM
+>sp|Q9HA92|RSAD1_HUMAN Radical S-adenosyl methionine domain-containing protein 1, mitochondrial OS=Homo sapiens OX=9606 GN=RSAD1 PE=2 SV=2
+MALPGARARGWAAAARAAQRRRRVENAGGSPSPEPAGRRAALYVHWPYCEKRCSYCNFNKYIPRRLEEAAMQKCLVTEAQTLLRLSGVQRVESVFFGGGTPSLASPHTVAAVLEAVAQAAHLPADLEVTLEANPTSAPGSRLAEFGAAGVNRLSIGLQSLDDTELRLLGRTHSACDALRTLAEARRLFPGRVSVDLMLGLPAQQVGPWLGQLQELLHHCDDHLSLYQLSLERGTALFAQVQRGALPAPDPELAAEMYQRGRAVLREAGFHQYEVSNFARNGALSTHNWTYWQCGQYLGVGPGAHGRFMPQGAGGHTREARIQTLEPDNWMKEVMLFGHGTRKRVPLGRLELLEEVLALGLRTDVGITHQHWQQFEPQLTLWDVFGANKEVQELLERGLLQLDHRGLRCSWEGLAVLDSLLLTLLPQLQEAWQQRTPSPVPGG
+>DECOY_sp|Q9HA92|RSAD1_HUMAN Radical S-adenosyl methionine domain-containing protein 1, mitochondrial OS=Homo sapiens OX=9606 GN=RSAD1 PE=2 SV=2
+GGPVPSPTRQQWAEQLQPLLTLLLSDLVALGEWSCRLGRHDLQLLGRELLEQVEKNAGFVDWLTLQPEFQQWHQHTIGVDTRLGLALVEELLELRGLPVRKRTGHGFLMVEKMWNDPELTQIRAERTHGGAGQPMFRGHAGPGVGLYQGCQWYTWNHTSLAGNRAFNSVEYQHFGAERLVARGRQYMEAALEPDPAPLAGRQVQAFLATGRELSLQYLSLHDDCHHLLEQLQGLWPGVQQAPLGLMLDVSVRGPFLRRAEALTRLADCASHTRGLLRLETDDLSQLGISLRNVGAAGFEALRSGPASTPNAELTVELDAPLHAAQAVAELVAAVTHPSALSPTGGGFFVSEVRQVGSLRLLTQAETVLCKQMAAEELRRPIYKNFNCYSCRKECYPWHVYLAARRGAPEPSPSGGANEVRRRRQAARAAAAWGRARAGPLAM
+>sp|Q9UHP6|RSP14_HUMAN Radial spoke head 14 homolog OS=Homo sapiens OX=9606 GN=RSPH14 PE=1 SV=1
+MAHSQNSLELPININATQITTAYGHRALPKLKEELQSEDLQTRQKALMALCDLMHDPECIYKAMNIGCMENLKALLKDSNSMVRIKTTEVLHITASHSVGRYAFLEHDIVLALSFLLNDPSPVCRGNLYKAYMQLVQVPRGAQEIISKGLISSLVWKLQVEVEEEEFQEFILDTLVLCLQEDATEALGSNVVLVLKQKLLSANQNIRSKAARALLNVSISREGKKQVCHFDVIPILVHLLKDPVEHVKSNAAGALMFATVITEGKYAALEAQAIGLLLELLHSPMTIARLNATKALTMLAEAPEGRKALQTHVPTFRAMEVETYEKPQVAEALQRAARIAISVIEFKP
+>DECOY_sp|Q9UHP6|RSP14_HUMAN Radial spoke head 14 homolog OS=Homo sapiens OX=9606 GN=RSPH14 PE=1 SV=1
+PKFEIVSIAIRAARQLAEAVQPKEYTEVEMARFTPVHTQLAKRGEPAEALMTLAKTANLRAITMPSHLLELLLGIAQAELAAYKGETIVTAFMLAGAANSKVHEVPDKLLHVLIPIVDFHCVQKKGERSISVNLLARAAKSRINQNASLLKQKLVLVVNSGLAETADEQLCLVLTDLIFEQFEEEEVEVQLKWVLSSILGKSIIEQAGRPVQVLQMYAKYLNGRCVPSPDNLLFSLALVIDHELFAYRGVSHSATIHLVETTKIRVMSNSDKLLAKLNEMCGINMAKYICEPDHMLDCLAMLAKQRTQLDESQLEEKLKPLARHGYATTIQTANINIPLELSNQSHAM
+>sp|Q2I0M5|RSPO4_HUMAN R-spondin-4 OS=Homo sapiens OX=9606 GN=RSPO4 PE=1 SV=2
+MRAPLCLLLLVAHAVDMLALNRRKKQVGTGLGGNCTGCIICSEENGCSTCQQRLFLFIRREGIRQYGKCLHDCPPGYFGIRGQEVNRCKKCGATCESCFSQDFCIRCKRQFYLYKGKCLPTCPPGTLAHQNTRECQGECELGPWGGWSPCTHNGKTCGSAWGLESRVREAGRAGHEEAATCQVLSESRKCPIQRPCPGERSPGQKKGRKDRRPRKDRKLDRRLDVRPRQPGLQP
+>DECOY_sp|Q2I0M5|RSPO4_HUMAN R-spondin-4 OS=Homo sapiens OX=9606 GN=RSPO4 PE=1 SV=2
+PQLGPQRPRVDLRRDLKRDKRPRRDKRGKKQGPSREGPCPRQIPCKRSESLVQCTAAEEHGARGAERVRSELGWASGCTKGNHTCPSWGGWPGLECEGQCERTNQHALTGPPCTPLCKGKYLYFQRKCRICFDQSFCSECTAGCKKCRNVEQGRIGFYGPPCDHLCKGYQRIGERRIFLFLRQQCTSCGNEESCIICGTCNGGLGTGVQKKRRNLALMDVAHAVLLLLCLPARM
+>sp|P82675|RT05_HUMAN 28S ribosomal protein S5, mitochondrial OS=Homo sapiens OX=9606 GN=MRPS5 PE=1 SV=2
+MATAVRAVGCLPVLCSGTAGHLLGRQCSLNTLPAASILAWKSVLGNGHLSSLGTRDTHPYASLSRALQTQCCISSPSHLMSQQYRPYSFFTKLTADELWKGALAETGAGAKKGRGKRTKKKKRKDLNRGQIIGEGRYGFLWPGLNVPLMKNGAVQTIAQRSKEEQEKVEADMIQQREEWDRKKKMKVKRERGWSGNSWGGISLGPPDPGPCGETYEDFDTRILEVRNVFTMTAKEGRKKSIRVLVAVGNGKGAAGFSIGKATDRMDAFRKAKNRAVHHLHYIERYEDHTIFHDISLRFKRTHIKMKKQPKGYGLRCHRAIITICRLIGIKDMYAKVSGSINMLSLTQGLFRGLSRQETHQQLADKKGLHVVEIREECGPLPIVVASPRGPLRKDPEPEDEVPDVKLDWEDVKTAQGMKRSVWSNLKRAAT
+>DECOY_sp|P82675|RT05_HUMAN 28S ribosomal protein S5, mitochondrial OS=Homo sapiens OX=9606 GN=MRPS5 PE=1 SV=2
+TAARKLNSWVSRKMGQATKVDEWDLKVDPVEDEPEPDKRLPGRPSAVVIPLPGCEERIEVVHLGKKDALQQHTEQRSLGRFLGQTLSLMNISGSVKAYMDKIGILRCITIIARHCRLGYGKPQKKMKIHTRKFRLSIDHFITHDEYREIYHLHHVARNKAKRFADMRDTAKGISFGAAGKGNGVAVLVRISKKRGEKATMTFVNRVELIRTDFDEYTEGCPGPDPPGLSIGGWSNGSWGRERKVKMKKKRDWEERQQIMDAEVKEQEEKSRQAITQVAGNKMLPVNLGPWLFGYRGEGIIQGRNLDKRKKKKTRKGRGKKAGAGTEALAGKWLEDATLKTFFSYPRYQQSMLHSPSSICCQTQLARSLSAYPHTDRTGLSSLHGNGLVSKWALISAAPLTNLSCQRGLLHGATGSCLVPLCGVARVATAM
+>sp|P82664|RT10_HUMAN 28S ribosomal protein S10, mitochondrial OS=Homo sapiens OX=9606 GN=MRPS10 PE=1 SV=2
+MAARTAFGAVCRRLWQGLGNFSVNTSKGNTAKNGGLLLSTNMKWVQFSNLHVDVPKDLTKPVVTISDEPDILYKRLSVLVKGHDKAVLDSYEYFAVLAAKELGISIKVHEPPRKIERFTLLQSVHIYKKHRVQYEMRTLYRCLELEHLTGSTADVYLEYIQRNLPEGVAMEVTKTQLEQLPEHIKEPIWETLSEEKEESKS
+>DECOY_sp|P82664|RT10_HUMAN 28S ribosomal protein S10, mitochondrial OS=Homo sapiens OX=9606 GN=MRPS10 PE=1 SV=2
+SKSEEKEESLTEWIPEKIHEPLQELQTKTVEMAVGEPLNRQIYELYVDATSGTLHELELCRYLTRMEYQVRHKKYIHVSQLLTFREIKRPPEHVKISIGLEKAALVAFYEYSDLVAKDHGKVLVSLRKYLIDPEDSITVVPKTLDKPVDVHLNSFQVWKMNTSLLLGGNKATNGKSTNVSFNGLGQWLRRCVAGFATRAAM
+>sp|O15235|RT12_HUMAN 28S ribosomal protein S12, mitochondrial OS=Homo sapiens OX=9606 GN=MRPS12 PE=1 SV=1
+MSWSGLLHGLNTSLTCGPALVPRLWATCSMATLNQMHRLGPPKRPPRKLGPTEGRPQLKGVVLCTFTRKPKKPNSANRKCCRVRLSTGREAVCFIPGEGHTLQEHQIVLVEGGRTQDLPGVKLTVVRGKYDCGHVQKK
+>DECOY_sp|O15235|RT12_HUMAN 28S ribosomal protein S12, mitochondrial OS=Homo sapiens OX=9606 GN=MRPS12 PE=1 SV=1
+KKQVHGCDYKGRVVTLKVGPLDQTRGGEVLVIQHEQLTHGEGPIFCVAERGTSLRVRCCKRNASNPKKPKRTFTCLVVGKLQPRGETPGLKRPPRKPPGLRHMQNLTAMSCTAWLRPVLAPGCTLSTNLGHLLGSWSM
+>sp|P82914|RT15_HUMAN 28S ribosomal protein S15, mitochondrial OS=Homo sapiens OX=9606 GN=MRPS15 PE=1 SV=1
+MLRVAWRTLSLIRTRAVTQVLVPGLPGGGSAKFPFNQWGLQPRSLLLQAARGYVVRKPAQSRLDDDPPPSTLLKDYQNVPGIEKVDDVVKRLLSLEMANKKEMLKIKQEQFMKKIVANPEDTRSLEARIIALSVKIRSYEEHLEKHRKDKAHKRYLLMSIDQRKKMLKNLRNTNYDVFEKICWGLGIEYTFPPLYYRRAHRRFVTKKALCIRVFQETQKLKKRRRALKAAAAAQKQAKRRNPDSPAKAIPKTLKDSQ
+>DECOY_sp|P82914|RT15_HUMAN 28S ribosomal protein S15, mitochondrial OS=Homo sapiens OX=9606 GN=MRPS15 PE=1 SV=1
+QSDKLTKPIAKAPSDPNRRKAQKQAAAAAKLARRRKKLKQTEQFVRICLAKKTVFRRHARRYYLPPFTYEIGLGWCIKEFVDYNTNRLNKLMKKRQDISMLLYRKHAKDKRHKELHEEYSRIKVSLAIIRAELSRTDEPNAVIKKMFQEQKIKLMEKKNAMELSLLRKVVDDVKEIGPVNQYDKLLTSPPPDDDLRSQAPKRVVYGRAAQLLLSRPQLGWQNFPFKASGGGPLGPVLVQTVARTRILSLTRWAVRLM
+>sp|Q9Y2R5|RT17_HUMAN 28S ribosomal protein S17, mitochondrial OS=Homo sapiens OX=9606 GN=MRPS17 PE=1 SV=1
+MSVVRSSVHARWIVGKVIGTKMQKTAKVRVTRLVLDPYLLKYFNKRKTYFAHDALQQCTVGDIVLLRALPVPRAKHVKHELAEIVFKVGKVIDPVTGKPCAGTTYLESPLSSETTQLSKNLEELNISSAQ
+>DECOY_sp|Q9Y2R5|RT17_HUMAN 28S ribosomal protein S17, mitochondrial OS=Homo sapiens OX=9606 GN=MRPS17 PE=1 SV=1
+QASSINLEELNKSLQTTESSLPSELYTTGACPKGTVPDIVKGVKFVIEALEHKVHKARPVPLARLLVIDGVTCQQLADHAFYTKRKNFYKLLYPDLVLRTVRVKATKQMKTGIVKGVIWRAHVSSRVVSM
+>sp|Q9Y676|RT18B_HUMAN 28S ribosomal protein S18b, mitochondrial OS=Homo sapiens OX=9606 GN=MRPS18B PE=1 SV=1
+MAASVLNTVLRRLPMLSLFRGSHRVQVPLQTLCTKAPSEEDSLSSVPISPYKDEPWKYLESEEYQERYGSRPVWADYRRNHKGGVPPQRTRKTCIRRNKVVGNPCPICRDHKLHVDFRNVKLLEQFVCAHTGIIFYAPYTGVCVKQHKRLTQAIQKARDHGLLIYHIPQVEPRDLDFSTSHGAVSATPPAPTLVSGDPWYPWYNWKQPPERELSRLRRLYQGHLQEESGPPPESMPKMPPRTPAEASSTGQTGPQSAL
+>DECOY_sp|Q9Y676|RT18B_HUMAN 28S ribosomal protein S18b, mitochondrial OS=Homo sapiens OX=9606 GN=MRPS18B PE=1 SV=1
+LASQPGTQGTSSAEAPTRPPMKPMSEPPPGSEEQLHGQYLRRLRSLEREPPQKWNYWPYWPDGSVLTPAPPTASVAGHSTSFDLDRPEVQPIHYILLGHDRAKQIAQTLRKHQKVCVGTYPAYFIIGTHACVFQELLKVNRFDVHLKHDRCIPCPNGVVKNRRICTKRTRQPPVGGKHNRRYDAWVPRSGYREQYEESELYKWPEDKYPSIPVSSLSDEESPAKTCLTQLPVQVRHSGRFLSLMPLRRLVTNLVSAAM
+>sp|P82650|RT22_HUMAN 28S ribosomal protein S22, mitochondrial OS=Homo sapiens OX=9606 GN=MRPS22 PE=1 SV=1
+MAPLGTTVLLWSLLRSSPGVERVCFRARIQPWHGGLLQPLPCSFEMGLPRRRFSSEAAESGSPETKKPTFMDEEVQSILTKMTGLNLQKTFKPAIQELKPPTYKLMTQAQLEEATRQAVEAAKVRLKMPPVLEERVPINDVLAEDKILEGTETTKYVFTDISYSIPHRERFIVVREPSGTLRKASWEERDRMIQVYFPKEGRKILTPIIFKEENLRTMYSQDRHVDVLNLCFAQFEPDSTEYIKVHHKTYEDIDKRGKYDLLRSTRYFGGMVWYFVNNKKIDGLLIDQIQRDLIDDATNLVQLYHVLHPDGQSAQGAKDQAAEGINLIKVFAKTEAQKGAYIELTLQTYQEALSRHSAAS
+>DECOY_sp|P82650|RT22_HUMAN 28S ribosomal protein S22, mitochondrial OS=Homo sapiens OX=9606 GN=MRPS22 PE=1 SV=1
+SAASHRSLAEQYTQLTLEIYAGKQAETKAFVKILNIGEAAQDKAGQASQGDPHLVHYLQVLNTADDILDRQIQDILLGDIKKNNVFYWVMGGFYRTSRLLDYKGRKDIDEYTKHHVKIYETSDPEFQAFCLNLVDVHRDQSYMTRLNEEKFIIPTLIKRGEKPFYVQIMRDREEWSAKRLTGSPERVVIFRERHPISYSIDTFVYKTTETGELIKDEALVDNIPVREELVPPMKLRVKAAEVAQRTAEELQAQTMLKYTPPKLEQIAPKFTKQLNLGTMKTLISQVEEDMFTPKKTEPSGSEAAESSFRRRPLGMEFSCPLPQLLGGHWPQIRARFCVREVGPSSRLLSWLLVTTGLPAM
+>sp|Q9BYN8|RT26_HUMAN 28S ribosomal protein S26, mitochondrial OS=Homo sapiens OX=9606 GN=MRPS26 PE=1 SV=1
+MLRALSRLGAGTPCRPRAPLVLPARGRKTRHDPLAKSKIERVNMPPAVDPAEFFVLMERYQHYRQTVRALRMEFVSEVQRKVHEARAGVLAERKALKDAAEHRELMAWNQAENRRLHELRIARLRQEEREQEQRQALEQARKAEEVQAWAQRKEREVLQLQEEVKNFITRENLEARVEAALDSRKNYNWAITREGLVVRPQRRDS
+>DECOY_sp|Q9BYN8|RT26_HUMAN 28S ribosomal protein S26, mitochondrial OS=Homo sapiens OX=9606 GN=MRPS26 PE=1 SV=1
+SDRRQPRVVLGERTIAWNYNKRSDLAAEVRAELNERTIFNKVEEQLQLVEREKRQAWAQVEEAKRAQELAQRQEQEREEQRLRAIRLEHLRRNEAQNWAMLERHEAADKLAKREALVGARAEHVKRQVESVFEMRLARVTQRYHQYREMLVFFEAPDVAPPMNVREIKSKALPDHRTKRGRAPLVLPARPRCPTGAGLRSLARLM
+>sp|Q92552|RT27_HUMAN 28S ribosomal protein S27, mitochondrial OS=Homo sapiens OX=9606 GN=MRPS27 PE=1 SV=3
+MAASIVRRGMLLARQVVLPQLSPAGKRYLLSSAYVDSHKWEAREKEHYCLADLASLMDKTFERKLPVSSLTISRLIDNISSREEIDHAEYYLYKFRHSPNCWYLRNWTIHTWIRQCLKYDAQDKALYTLVNKVQYGIFPDNFTFNLLMDSFIKKENYKDALSVVFEVMMQEAFEVPSTQLLSLYVLFHCLAKKTDFSWEEERNFGASLLLPGLKQKNSVGFSSQLYGYALLGKVELQQGLRAVYHNMPLIWKPGYLDRALQVMEKVAASPEDIKLCREALDVLGAVLKALTSADGASEEQSQNDEDNQGSEKLVEQLDIEETEQSKLPQYLERFKALHSKLQALGKIESEGLLSLTTQLVKEKLSTCEAEDIATYEQNLQQWHLDLVQLIQREQQQREQAKQEYQAQKAAKASA
+>DECOY_sp|Q92552|RT27_HUMAN 28S ribosomal protein S27, mitochondrial OS=Homo sapiens OX=9606 GN=MRPS27 PE=1 SV=3
+ASAKAAKQAQYEQKAQERQQQERQILQVLDLHWQQLNQEYTAIDEAECTSLKEKVLQTTLSLLGESEIKGLAQLKSHLAKFRELYQPLKSQETEEIDLQEVLKESGQNDEDNQSQEESAGDASTLAKLVAGLVDLAERCLKIDEPSAAVKEMVQLARDLYGPKWILPMNHYVARLGQQLEVKGLLAYGYLQSSFGVSNKQKLGPLLLSAGFNREEEWSFDTKKALCHFLVYLSLLQTSPVEFAEQMMVEFVVSLADKYNEKKIFSDMLLNFTFNDPFIGYQVKNVLTYLAKDQADYKLCQRIWTHITWNRLYWCNPSHRFKYLYYEAHDIEERSSINDILRSITLSSVPLKREFTKDMLSALDALCYHEKERAEWKHSDVYASSLLYRKGAPSLQPLVVQRALLMGRRVISAAM
+>sp|Q92665|RT31_HUMAN 28S ribosomal protein S31, mitochondrial OS=Homo sapiens OX=9606 GN=MRPS31 PE=1 SV=3
+MFPRVSTFLPLRPLSRHPLSSGSPETSAAAIMLLTVRHGTVRYRSSALLARTKNNIQRYFGTNSVICSKKDKQSVRTEETSKETSESQDSEKENTKKDLLGIIKGMKVELSTVNVRTTKPPKRRPLKSLEATLGRLRRATEYAPKKRIEPLSPELVAAASAVADSLPFDKQTTKSELLSQLQQHEEESRAQRDAKRPKISFSNIISDMKVARSATARVRSRPELRIQFDEGYDNYPGQEKTDDLKKRKNIFTGKRLNIFDMMAVTKEAPETDTSPSLWDVEFAKQLATVNEQPLQNGFEELIQWTKEGKLWEFPINNEAGFDDDGSEFHEHIFLEKHLESFPKQGPIRHFMELVTCGLSKNPYLSVKQKVEHIEWFRNYFNEKKDILKESNIQFN
+>DECOY_sp|Q92665|RT31_HUMAN 28S ribosomal protein S31, mitochondrial OS=Homo sapiens OX=9606 GN=MRPS31 PE=1 SV=3
+NFQINSEKLIDKKENFYNRFWEIHEVKQKVSLYPNKSLGCTVLEMFHRIPGQKPFSELHKELFIHEHFESGDDDFGAENNIPFEWLKGEKTWQILEEFGNQLPQENVTALQKAFEVDWLSPSTDTEPAEKTVAMMDFINLRKGTFINKRKKLDDTKEQGPYNDYGEDFQIRLEPRSRVRATASRAVKMDSIINSFSIKPRKADRQARSEEEHQQLQSLLESKTTQKDFPLSDAVASAAAVLEPSLPEIRKKPAYETARRLRGLTAELSKLPRRKPPKTTRVNVTSLEVKMGKIIGLLDKKTNEKESDQSESTEKSTEETRVSQKDKKSCIVSNTGFYRQINNKTRALLASSRYRVTGHRVTLLMIAAASTEPSGSSLPHRSLPRLPLFTSVRPFM
+>sp|Q92541|RTF1_HUMAN RNA polymerase-associated protein RTF1 homolog OS=Homo sapiens OX=9606 GN=RTF1 PE=1 SV=4
+MRGRLCVGRAAAAAAAVAVPLAGGQEGSPGGGRRGSRGTTMVKKRKGRVVIDSDTEDSGSDENLDQELLSLAKRKRSDSEEKEPPVSQPAASSDSETSDSDDEWTFGSNKNKKKGKARKIEKKGTMKKQANKTASSGSSDKDSSAESSAPEEGEVSDSDSNSSSSSSDSDSSSEDEEFHDGYGEDLMGDEEDRARLEQMTEKEREQELFNRIEKREVLKRRFEIKKKLKTAKKKEKKEKKKKQEEEQEKKKLTQIQESQVTSHNKERRSKRDEKLDKKSQAMEELKAEREKRKNRTAELLAKKQPLKTSEVYSDDEEEEEDDKSSEKSDRSSRTSSSDEEEEKEEIPPKSQPVSLPEELNRVRLSRHKLERWCHMPFFAKTVTGCFVRIGIGNHNSKPVYRVAEITGVVETAKVYQLGGTRTNKGLQLRHGNDQRVFRLEFVSNQEFTESEFMKWKEAMFSAGMQLPTLDEINKKELSIKEALNYKFNDQDIEEIVKEKERFRKAPPNYAMKKTQLLKEKAMAEDLGDQDKAKQIQDQLNELEERAEALDRQRTKNISAISYINQRNREWNIVESEKALVAESHNMKNQQMDPFTRRQCKPTIVSNSRDPAVQAAILAQLNAKYGSGVLPDAPKEMSKGQGKDKDLNSKSASDLSEDLFKVHDFDVKIDLQVPSSESKALAITSKAPPAKDGAPRRSLNLEDYKKRRGLI
+>DECOY_sp|Q92541|RTF1_HUMAN RNA polymerase-associated protein RTF1 homolog OS=Homo sapiens OX=9606 GN=RTF1 PE=1 SV=4
+ILGRRKKYDELNLSRRPAGDKAPPAKSTIALAKSESSPVQLDIKVDFDHVKFLDESLDSASKSNLDKDKGQGKSMEKPADPLVGSGYKANLQALIAAQVAPDRSNSVITPKCQRRTFPDMQQNKMNHSEAVLAKESEVINWERNRQNIYSIASINKTRQRDLAEAREELENLQDQIQKAKDQDGLDEAMAKEKLLQTKKMAYNPPAKRFREKEKVIEEIDQDNFKYNLAEKISLEKKNIEDLTPLQMGASFMAEKWKMFESETFEQNSVFELRFVRQDNGHRLQLGKNTRTGGLQYVKATEVVGTIEAVRYVPKSNHNGIGIRVFCGTVTKAFFPMHCWRELKHRSLRVRNLEEPLSVPQSKPPIEEKEEEEDSSSTRSSRDSKESSKDDEEEEEDDSYVESTKLPQKKALLEATRNKRKEREAKLEEMAQSKKDLKEDRKSRREKNHSTVQSEQIQTLKKKEQEEEQKKKKEKKEKKKATKLKKKIEFRRKLVERKEIRNFLEQEREKETMQELRARDEEDGMLDEGYGDHFEEDESSSDSDSSSSSSNSDSDSVEGEEPASSEASSDKDSSGSSATKNAQKKMTGKKEIKRAKGKKKNKNSGFTWEDDSDSTESDSSAAPQSVPPEKEESDSRKRKALSLLEQDLNEDSGSDETDSDIVVRGKRKKVMTTGRSGRRGGGPSGEQGGALPVAVAAAAAAARGVCLRGRM
+>sp|Q13761|RUNX3_HUMAN Runt-related transcription factor 3 OS=Homo sapiens OX=9606 GN=RUNX3 PE=1 SV=2
+MRIPVDPSTSRRFTPPSPAFPCGGGGGKMGENSGALSAQAAVGPGGRARPEVRSMVDVLADHAGELVRTDSPNFLCSVLPSHWRCNKTLPVAFKVVALGDVPDGTVVTVMAGNDENYSAELRNASAVMKNQVARFNDLRFVGRSGRGKSFTLTITVFTNPTQVATYHRAIKVTVDGPREPRRHRQKLEDQTKPFPDRFGDLERLRMRVTPSTPSPRGSLSTTSHFSSQPQTPIQGTSELNPFSDPRQFDRSFPTLPTLTESRFPDPRMHYPGAMSAAFPYSATPSGTSISSLSVAGMPATSRFHHTYLPPPYPGAPQNQSGPFQANPSPYHLYYGTSSGSYQFSMVAGSSSGGDRSPTRMLASCTSSAASVAAGNLMNPSLGGQSDGVEADGSHSNSPTALSTPGRMDEAVWRPY
+>DECOY_sp|Q13761|RUNX3_HUMAN Runt-related transcription factor 3 OS=Homo sapiens OX=9606 GN=RUNX3 PE=1 SV=2
+YPRWVAEDMRGPTSLATPSNSHSGDAEVGDSQGGLSPNMLNGAAVSAASSTCSALMRTPSRDGGSSSGAVMSFQYSGSSTGYYLHYPSPNAQFPGSQNQPAGPYPPPLYTHHFRSTAPMGAVSLSSISTGSPTASYPFAASMAGPYHMRPDPFRSETLTPLTPFSRDFQRPDSFPNLESTGQIPTQPQSSFHSTTSLSGRPSPTSPTVRMRLRELDGFRDPFPKTQDELKQRHRRPERPGDVTVKIARHYTAVQTPNTFVTITLTFSKGRGSRGVFRLDNFRAVQNKMVASANRLEASYNEDNGAMVTVVTGDPVDGLAVVKFAVPLTKNCRWHSPLVSCLFNPSDTRVLEGAHDALVDVMSRVEPRARGGPGVAAQASLAGSNEGMKGGGGGCPFAPSPPTFRRSTSPDVPIRM
+>sp|Q8IZ73|RUSD2_HUMAN RNA pseudouridylate synthase domain-containing protein 2 OS=Homo sapiens OX=9606 GN=RPUSD2 PE=1 SV=2
+MWLDRRGWLRVLGHWRYDLRRPSFTRTWSGDKGPMAETVSTQVGTEGGLRASHQQNGDAGGDAKVELSPGPPKPAGREVEPAPVGGEHPSAAAPGPGKHKKRRGATRERVVPPPKKRRTGVSFGDEHFAETSYYFEGGLRKVRPYYFDFRTYCKGRWVGHSLLHVFSTEFRAQPLAYYEAAVRAGRLQLNEKPVQDLNIVLKDNDFLRNTVHRHEPPVTAEPIRLLAENEDVVVVDKPSSIPVHPCGRFRHNTVIFILGKEHQLKELHPLHRLDRLTSGVLMFAKTAAVSERIHEQVRDRQLEKEYVCRVEGEFPTEEVTCKEPILVVSYKVGVCRVDPRGKPCETVFQRLSYNGQSSVVRCRPLTGRTHQIRVHLQFLGHPILNDPIYNSVAWGPSRGRGGYIPKTNEELLRDLVAEHQAKQSLDVLDLCEGDLSPGLTDSTAPSSELGKDDLEELAAAAQKMEEVAEAAPQELDTIALASEKAVETDVMNQETDPLCAECRLVRQDPLPQDLVMFLHALRYKGPGFEYFSPMPAWAQDDWQKD
+>DECOY_sp|Q8IZ73|RUSD2_HUMAN RNA pseudouridylate synthase domain-containing protein 2 OS=Homo sapiens OX=9606 GN=RPUSD2 PE=1 SV=2
+DKQWDDQAWAPMPSFYEFGPGKYRLAHLFMVLDQPLPDQRVLRCEACLPDTEQNMVDTEVAKESALAITDLEQPAAEAVEEMKQAAAALEELDDKGLESSPATSDTLGPSLDGECLDLVDLSQKAQHEAVLDRLLEENTKPIYGGRGRSPGWAVSNYIPDNLIPHGLFQLHVRIQHTRGTLPRCRVVSSQGNYSLRQFVTECPKGRPDVRCVGVKYSVVLIPEKCTVEETPFEGEVRCVYEKELQRDRVQEHIRESVAATKAFMLVGSTLRDLRHLPHLEKLQHEKGLIFIVTNHRFRGCPHVPISSPKDVVVVDENEALLRIPEATVPPEHRHVTNRLFDNDKLVINLDQVPKENLQLRGARVAAEYYALPQARFETSFVHLLSHGVWRGKCYTRFDFYYPRVKRLGGEFYYSTEAFHEDGFSVGTRRKKPPPVVRERTAGRRKKHKGPGPAAASPHEGGVPAPEVERGAPKPPGPSLEVKADGGADGNQQHSARLGGETGVQTSVTEAMPGKDGSWTRTFSPRRLDYRWHGLVRLWGRRDLWM
+>sp|Q9UIY3|RWD2A_HUMAN RWD domain-containing protein 2A OS=Homo sapiens OX=9606 GN=RWDD2A PE=1 SV=1
+MSASVKESLQLQLLEMEMLFSMFPNQGEVKLEDVNALTNIKRYLEGTREALPPKIEFVITLQIEEPKVKIDLQVTMPHSYPYVALQLFGRSSELDRHQQLLLNKGLTSYIGTFDPGELCVCAAIQWLQDNSASYFLNRKLVYEPSTQAKPVKNTFLRMWIYSHHIYQQDLRKKILDVGKRLDVTGFCMTGKPGIICVEGFKEHCEEFWHTIRYPNWKHISCKHAESVETEGNGEDLRLFHSFEELLLEAHGDYGLRNDYHMNLGQFLEFLKKHKSEHVFQILFGIESKSSDS
+>DECOY_sp|Q9UIY3|RWD2A_HUMAN RWD domain-containing protein 2A OS=Homo sapiens OX=9606 GN=RWDD2A PE=1 SV=1
+SDSSKSEIGFLIQFVHESKHKKLFELFQGLNMHYDNRLGYDGHAELLLEEFSHFLRLDEGNGETEVSEAHKCSIHKWNPYRITHWFEECHEKFGEVCIIGPKGTMCFGTVDLRKGVDLIKKRLDQQYIHHSYIWMRLFTNKVPKAQTSPEYVLKRNLFYSASNDQLWQIAACVCLEGPDFTGIYSTLGKNLLLQQHRDLESSRGFLQLAVYPYSHPMTVQLDIKVKPEEIQLTIVFEIKPPLAERTGELYRKINTLANVDELKVEGQNPFMSFLMEMELLQLQLSEKVSASM
+>sp|Q6NW29|RWDD4_HUMAN RWD domain-containing protein 4 OS=Homo sapiens OX=9606 GN=RWDD4 PE=1 SV=3
+MSANEDQEMELEALRSIYEGDESFRELSPVSFQYRIGENGDPKAFLIEISWTETYPQTPPILSMNAFFNNTISSAVKQSILAKLQEAVEANLGTAMTYTLFEYAKDNKEQFMENHNPINSATSISNIISIETPNTAPSSKKKDKKEQLSKAQKRKLADKTDHKGELPRGWNWVDVVKHLSKTGSKDDE
+>DECOY_sp|Q6NW29|RWDD4_HUMAN RWD domain-containing protein 4 OS=Homo sapiens OX=9606 GN=RWDD4 PE=1 SV=3
+EDDKSGTKSLHKVVDVWNWGRPLEGKHDTKDALKRKQAKSLQEKKDKKKSSPATNPTEISIINSISTASNIPNHNEMFQEKNDKAYEFLTYTMATGLNAEVAEQLKALISQKVASSITNNFFANMSLIPPTQPYTETWSIEILFAKPDGNEGIRYQFSVPSLERFSEDGEYISRLAELEMEQDENASM
+>sp|P48443|RXRG_HUMAN Retinoic acid receptor RXR-gamma OS=Homo sapiens OX=9606 GN=RXRG PE=1 SV=1
+MYGNYSHFMKFPAGYGGSPGHTGSTSMSPSAALSTGKPMDSHPSYTDTPVSAPRTLSAVGTPLNALGSPYRVITSAMGPPSGALAAPPGINLVAPPSSQLNVVNSVSSSEDIKPLPGLPGIGNMNYPSTSPGSLVKHICAICGDRSSGKHYGVYSCEGCKGFFKRTIRKDLIYTCRDNKDCLIDKRQRNRCQYCRYQKCLVMGMKREAVQEERQRSRERAESEAECATSGHEDMPVERILEAELAVEPKTESYGDMNMENSTNDPVTNICHAADKQLFTLVEWAKRIPHFSDLTLEDQVILLRAGWNELLIASFSHRSVSVQDGILLATGLHVHRSSAHSAGVGSIFDRVLTELVSKMKDMQMDKSELGCLRAIVLFNPDAKGLSNPSEVETLREKVYATLEAYTKQKYPEQPGRFAKLLLRLPALRSIGLKCLEHLFFFKLIGDTPIDTFLMEMLETPLQIT
+>DECOY_sp|P48443|RXRG_HUMAN Retinoic acid receptor RXR-gamma OS=Homo sapiens OX=9606 GN=RXRG PE=1 SV=1
+TIQLPTELMEMLFTDIPTDGILKFFFLHELCKLGISRLAPLRLLLKAFRGPQEPYKQKTYAELTAYVKERLTEVESPNSLGKADPNFLVIARLCGLESKDMQMDKMKSVLETLVRDFISGVGASHASSRHVHLGTALLIGDQVSVSRHSFSAILLENWGARLLIVQDELTLDSFHPIRKAWEVLTFLQKDAAHCINTVPDNTSNEMNMDGYSETKPEVALEAELIREVPMDEHGSTACEAESEARERSRQREEQVAERKMGMVLCKQYRCYQCRNRQRKDILCDKNDRCTYILDKRITRKFFGKCGECSYVGYHKGSSRDGCIACIHKVLSGPSTSPYNMNGIGPLGPLPKIDESSSVSNVVNLQSSPPAVLNIGPPAALAGSPPGMASTIVRYPSGLANLPTGVASLTRPASVPTDTYSPHSDMPKGTSLAASPSMSTSGTHGPSGGYGAPFKMFHSYNGYM
+>sp|Q05519|SRS11_HUMAN Serine/arginine-rich splicing factor 11 OS=Homo sapiens OX=9606 GN=SRSF11 PE=1 SV=1
+MSNTTVVPSTAGPGPSGGPGGGGGGGGGGGGTEVIQVTNVSPSASSEQMRTLFGFLGKIDELRLFPPDDSPLPVSSRVCFVKFHDPDSAVVAQHLTNTVFVDRALIVVPYAEGVIPDEAKALSLLAPANAVAGLLPGGGLLPTPNPLTQIGAVPLAALGAPTLDPALAALGLPGANLNSQSLAADQLLKLMSTVDPKLNHVAAGLVSPSLKSDTSSKEIEEAMKRVREAQSLISAAIEPDKKEEKRRHSRSRSRSRRRRTPSSSRHRRSRSRSRRRSHSKSRSRRRSKSPRRRRSHSRERGRRSRSTSKTRDKKKEDKEKKRSKTPPKSYSTARRSRSASRERRRRRSRSGTRSPKKPRSPKRKLSRSPSPRRHKKEKKKDKDKERSRDERERSTSKKKKSKDKEKDRERKSESDKDVKQVTRDYDEEEQGYDSEKEKKEEKKPIETGSPKTKECSVEKGTGDSLRESKVNGDDHHEEDMDMSD
+>DECOY_sp|Q05519|SRS11_HUMAN Serine/arginine-rich splicing factor 11 OS=Homo sapiens OX=9606 GN=SRSF11 PE=1 SV=1
+DSMDMDEEHHDDGNVKSERLSDGTGKEVSCEKTKPSGTEIPKKEEKKEKESDYGQEEEDYDRTVQKVDKDSESKRERDKEKDKSKKKKSTSREREDRSREKDKDKKKEKKHRRPSPSRSLKRKPSRPKKPSRTGSRSRRRRRERSASRSRRATSYSKPPTKSRKKEKDEKKKDRTKSTSRSRRGRERSHSRRRRPSKSRRRSRSKSHSRRRSRSRSRRHRSSSPTRRRRSRSRSRSHRRKEEKKDPEIAASILSQAERVRKMAEEIEKSSTDSKLSPSVLGAAVHNLKPDVTSMLKLLQDAALSQSNLNAGPLGLAALAPDLTPAGLAALPVAGIQTLPNPTPLLGGGPLLGAVANAPALLSLAKAEDPIVGEAYPVVILARDVFVTNTLHQAVVASDPDHFKVFCVRSSVPLPSDDPPFLRLEDIKGLFGFLTRMQESSASPSVNTVQIVETGGGGGGGGGGGGPGGSPGPGATSPVVTTNSM
+>sp|Q01130|SRSF2_HUMAN Serine/arginine-rich splicing factor 2 OS=Homo sapiens OX=9606 GN=SRSF2 PE=1 SV=4
+MSYGRPPPDVEGMTSLKVDNLTYRTSPDTLRRVFEKYGRVGDVYIPRDRYTKESRGFAFVRFHDKRDAEDAMDAMDGAVLDGRELRVQMARYGRPPDSHHSRRGPPPRRYGGGGYGRRSRSPRRRRRSRSRSRSRSRSRSRSRYSRSKSRSRTRSRSRSTSKSRSARRSKSKSSSVSRSRSRSRSRSRSRSPPPVSKRESKSRSRSKSPPKSPEEEGAVSS
+>DECOY_sp|Q01130|SRSF2_HUMAN Serine/arginine-rich splicing factor 2 OS=Homo sapiens OX=9606 GN=SRSF2 PE=1 SV=4
+SSVAGEEEPSKPPSKSRSRSKSERKSVPPPSRSRSRSRSRSRSRSVSSSKSKSRRASRSKSTSRSRSRTRSRSKSRSYRSRSRSRSRSRSRSRSRRRRRPSRSRRGYGGGGYRRPPPGRRSHHSDPPRGYRAMQVRLERGDLVAGDMADMADEADRKDHFRVFAFGRSEKTYRDRPIYVDGVRGYKEFVRRLTDPSTRYTLNDVKLSTMGEVDPPPRGYSM
+>sp|Q13243|SRSF5_HUMAN Serine/arginine-rich splicing factor 5 OS=Homo sapiens OX=9606 GN=SRSF5 PE=1 SV=1
+MSGCRVFIGRLNPAAREKDVERFFKGYGRIRDIDLKRGFGFVEFEDPRDADDAVYELDGKELCSERVTIEHARARSRGGRGRGRYSDRFSSRRPRNDRRNAPPVRTENRLIVENLSSRVSWQDLKDFMRQAGEVTFADAHRPKLNEGVVEFASYGDLKNAIEKLSGKEINGRKIKLIEGSKRHSRSRSRSRSRTRSSSRSRSRSRSRSRKSYSRSRSRSRSRSRSKSRSVSRSPVPEKSQKRGSSSRSKSPASVDRQRSRSRSRSRSVDSGN
+>DECOY_sp|Q13243|SRSF5_HUMAN Serine/arginine-rich splicing factor 5 OS=Homo sapiens OX=9606 GN=SRSF5 PE=1 SV=1
+NGSDVSRSRSRSRSRQRDVSAPSKSRSSSGRKQSKEPVPSRSVSRSKSRSRSRSRSRSRSYSKRSRSRSRSRSRSSSRTRSRSRSRSRSHRKSGEILKIKRGNIEKGSLKEIANKLDGYSAFEVVGENLKPRHADAFTVEGAQRMFDKLDQWSVRSSLNEVILRNETRVPPANRRDNRPRRSSFRDSYRGRGRGGRSRARAHEITVRESCLEKGDLEYVADDADRPDEFEVFGFGRKLDIDRIRGYGKFFREVDKERAAPNLRGIFVRCGSM
+>sp|Q9UHV2|SRTD1_HUMAN SERTA domain-containing protein 1 OS=Homo sapiens OX=9606 GN=SERTAD1 PE=1 SV=2
+MLSKGLKRKREEEEEKEPLAVDSWWLDPGHTAVAQAPPAVASSSLFDLSVLKLHHSLQQSEPDLRHLVLVVNTLRRIQASMAPAAALPPVPSPPAAPSVADNLLASSDAALSASMASLLEDLSHIEGLSQAPQPLADEGPPGRSIGGAAPSLGALDLLGPATGCLLDDGLEGLFEDIDTSMYDNELWAPASEGLKPGPEDGPGKEEAPELDEAELDYLMDVLVGTQALERPPGPGR
+>DECOY_sp|Q9UHV2|SRTD1_HUMAN SERTA domain-containing protein 1 OS=Homo sapiens OX=9606 GN=SERTAD1 PE=1 SV=2
+RGPGPPRELAQTGVLVDMLYDLEAEDLEPAEEKGPGDEPGPKLGESAPAWLENDYMSTDIDEFLGELGDDLLCGTAPGLLDLAGLSPAAGGISRGPPGEDALPQPAQSLGEIHSLDELLSAMSASLAADSSALLNDAVSPAAPPSPVPPLAAAPAMSAQIRRLTNVVLVLHRLDPESQQLSHHLKLVSLDFLSSSAVAPPAQAVATHGPDLWWSDVALPEKEEEEERKRKLGKSLM
+>sp|Q9BYN0|SRXN1_HUMAN Sulfiredoxin-1 OS=Homo sapiens OX=9606 GN=SRXN1 PE=1 SV=2
+MGLRAGGTLGRAGAGRGAPEGPGPSGGAQGGSIHSGRIAAVHNVPLSVLIRPLPSVLDPAKVQSLVDTIREDPDSVPPIDVLWIKGAQGGDYFYSFGGCHRYAAYQQLQRETIPAKLVQSTLSDLRVYLGASTPDLQ
+>DECOY_sp|Q9BYN0|SRXN1_HUMAN Sulfiredoxin-1 OS=Homo sapiens OX=9606 GN=SRXN1 PE=1 SV=2
+QLDPTSAGLYVRLDSLTSQVLKAPITERQLQQYAAYRHCGGFSYFYDGGQAGKIWLVDIPPVSDPDERITDVLSQVKAPDLVSPLPRILVSLPVNHVAAIRGSHISGGQAGGSPGPGEPAGRGAGARGLTGGARLGM
+>sp|Q9BWG4|SSBP4_HUMAN Single-stranded DNA-binding protein 4 OS=Homo sapiens OX=9606 GN=SSBP4 PE=1 SV=1
+MYAKGGKGSAVPSDSQAREKLALYVYEYLLHIGAQKSAQTFLSEIRWEKNITLGEPPGFLHSWWCVFWDLYCAAPDRREACEHSGEAKAFQDYSAAAAPSPVMGSMAPGDTMAAGSMAAGFFQGPPGSQPSPHNPNAPMMGPHGQPFMSPRFPGGPRPTLRMPSQPPAGLPGSQPLLPGAMEPSPRAQGHPSMGGPMQRVTPPRGMASVGPQSYGGGMRPPPNSLAGPGLPAMNMGPGVRGPWASPSGNSIPYSSSSPGSYTGPPGGGGPPGTPIMPSPGDSTNSSENMYTIMNPIGQGAGRANFPLGPGPEGPMAAMSAMEPHHVNGSLGSGDMDGLPKSSPGAVAGLSNAPGTPRDDGEMAAAGTFLHPFPSESYSPGMTMSV
+>DECOY_sp|Q9BWG4|SSBP4_HUMAN Single-stranded DNA-binding protein 4 OS=Homo sapiens OX=9606 GN=SSBP4 PE=1 SV=1
+VSMTMGPSYSESPFPHLFTGAAAMEGDDRPTGPANSLGAVAGPSSKPLGDMDGSGLSGNVHHPEMASMAAMPGEPGPGLPFNARGAGQGIPNMITYMNESSNTSDGPSPMIPTGPPGGGGPPGTYSGPSSSSYPISNGSPSAWPGRVGPGMNMAPLGPGALSNPPPRMGGGYSQPGVSAMGRPPTVRQMPGGMSPHGQARPSPEMAGPLLPQSGPLGAPPQSPMRLTPRPGGPFRPSMFPQGHPGMMPANPNHPSPQSGPPGQFFGAAMSGAAMTDGPAMSGMVPSPAAAASYDQFAKAEGSHECAERRDPAACYLDWFVCWWSHLFGPPEGLTINKEWRIESLFTQASKQAGIHLLYEYVYLALKERAQSDSPVASGKGGKAYM
+>sp|P32745|SSR3_HUMAN Somatostatin receptor type 3 OS=Homo sapiens OX=9606 GN=SSTR3 PE=1 SV=1
+MDMLHPSSVSTTSEPENASSAWPPDATLGNVSAGPSPAGLAVSGVLIPLVYLVVCVVGLLGNSLVIYVVLRHTASPSVTNVYILNLALADELFMLGLPFLAAQNALSYWPFGSLMCRLVMAVDGINQFTSIFCLTVMSVDRYLAVVHPTRSARWRTAPVARTVSAAVWVASAVVVLPVVVFSGVPRGMSTCHMQWPEPAAAWRAGFIIYTAALGFFGPLLVICLCYLLIVVKVRSAGRRVWAPSCQRRRRSERRVTRMVVAVVALFVLCWMPFYVLNIVNVVCPLPEEPAFFGLYFLVVALPYANSCANPILYGFLSYRFKQGFRRVLLRPSRRVRSQEPTVGPPEKTEEEDEEEEDGEESREGGKGKEMNGRVSQITQPGTSGQERPPSRVASKEQQLLPQEASTGEKSSTMRISYL
+>DECOY_sp|P32745|SSR3_HUMAN Somatostatin receptor type 3 OS=Homo sapiens OX=9606 GN=SSTR3 PE=1 SV=1
+LYSIRMTSSKEGTSAEQPLLQQEKSAVRSPPREQGSTGPQTIQSVRGNMEKGKGGERSEEGDEEEEDEEETKEPPGVTPEQSRVRRSPRLLVRRFGQKFRYSLFGYLIPNACSNAYPLAVVLFYLGFFAPEEPLPCVVNVINLVYFPMWCLVFLAVVAVVMRTVRRESRRRRQCSPAWVRRGASRVKVVILLYCLCIVLLPGFFGLAATYIIFGARWAAAPEPWQMHCTSMGRPVGSFVVVPLVVVASAVWVAASVTRAVPATRWRASRTPHVVALYRDVSMVTLCFISTFQNIGDVAMVLRCMLSGFPWYSLANQAALFPLGLMFLEDALALNLIYVNTVSPSATHRLVVYIVLSNGLLGVVCVVLYVLPILVGSVALGAPSPGASVNGLTADPPWASSANEPESTTSVSSPHLMDM
+>sp|P35346|SSR5_HUMAN Somatostatin receptor type 5 OS=Homo sapiens OX=9606 GN=SSTR5 PE=1 SV=3
+MEPLFPASTPSWNASSPGAASGGGDNRTLVGPAPSAGARAVLVPVLYLLVCAAGLGGNTLVIYVVLRFAKMKTVTNIYILNLAVADVLYMLGLPFLATQNAASFWPFGPVLCRLVMTLDGVNQFTSVFCLTVMSVDRYLAVVHPLSSARWRRPRVAKLASAAAWVLSLCMSLPLLVFADVQEGGTCNASWPEPVGLWGAVFIIYTAVLGFFAPLLVICLCYLLIVVKVRAAGVRVGCVRRRSERKVTRMVLVVVLVFAGCWLPFFTVNIVNLAVALPQEPASAGLYFFVVILSYANSCANPVLYGFLSDNFRQSFQKVLCLRKGSGAKDADATEPRPDRIRQQQEATPPAHRAAANGLMQTSKL
+>DECOY_sp|P35346|SSR5_HUMAN Somatostatin receptor type 5 OS=Homo sapiens OX=9606 GN=SSTR5 PE=1 SV=3
+LKSTQMLGNAAARHAPPTAEQQQRIRDPRPETADADKAGSGKRLCLVKQFSQRFNDSLFGYLVPNACSNAYSLIVVFFYLGASAPEQPLAVALNVINVTFFPLWCGAFVLVVVLVMRTVKRESRRRVCGVRVGAARVKVVILLYCLCIVLLPAFFGLVATYIIFVAGWLGVPEPWSANCTGGEQVDAFVLLPLSMCLSLVWAAASALKAVRPRRWRASSLPHVVALYRDVSMVTLCFVSTFQNVGDLTMVLRCLVPGFPWFSAANQTALFPLGLMYLVDAVALNLIYINTVTKMKAFRLVVYIVLTNGGLGAACVLLYLVPVLVARAGASPAPGVLTRNDGGGSAAGPSSANWSPTSAPFLPEM
+>sp|Q9UNL2|SSRG_HUMAN Translocon-associated protein subunit gamma OS=Homo sapiens OX=9606 GN=SSR3 PE=1 SV=1
+MAPKGSSKQQSEEDLLLQDFSRNLSAKSSALFFGNAFIVSAIPIWLYWRIWHMDLIQSAVLYSVMTLVSTYLVAFAYKNVKFVLKHKVAQKREDAVSKEVTRKLSEADNRKMSRKEKDERILWKKNEVADYEATTFSIFYNNTLFLVVVIVASFFILKNFNPTVNYILSISASSGLIALLSTGSK
+>DECOY_sp|Q9UNL2|SSRG_HUMAN Translocon-associated protein subunit gamma OS=Homo sapiens OX=9606 GN=SSR3 PE=1 SV=1
+KSGTSLLAILGSSASISLIYNVTPNFNKLIFFSAVIVVVLFLTNNYFISFTTAEYDAVENKKWLIREDKEKRSMKRNDAESLKRTVEKSVADERKQAVKHKLVFKVNKYAFAVLYTSVLTMVSYLVASQILDMHWIRWYLWIPIASVIFANGFFLASSKASLNRSFDQLLLDEESQQKSSGKPAM
+>sp|O60224|SSX4_HUMAN Protein SSX4 OS=Homo sapiens OX=9606 GN=SSX4 PE=2 SV=1
+MNGDDAFARRPRDDAQISEKLRKAFDDIAKYFSKKEWEKMKSSEKIVYVYMKLNYEVMTKLGFKVTLPPFMRSKRAADFHGNDFGNDRNHRNQVERPQMTFGSLQRIFPKIMPKKPAEEENGLKEVPEASGPQNDGKQLCPPGNPSTLEKINKTSGPKRGKHAWTHRLRERKQLVVYEEISDPEEDDE
+>DECOY_sp|O60224|SSX4_HUMAN Protein SSX4 OS=Homo sapiens OX=9606 GN=SSX4 PE=2 SV=1
+EDDEEPDSIEEYVVLQKRERLRHTWAHKGRKPGSTKNIKELTSPNGPPCLQKGDNQPGSAEPVEKLGNEEEAPKKPMIKPFIRQLSGFTMQPREVQNRHNRDNGFDNGHFDAARKSRMFPPLTVKFGLKTMVEYNLKMYVYVIKESSKMKEWEKKSFYKAIDDFAKRLKESIQADDRPRRAFADDGNM
+>sp|Q9HBF5|ST20_HUMAN Suppressor of tumorigenicity 20 protein OS=Homo sapiens OX=9606 GN=ST20 PE=2 SV=2
+MARSRLTATSVSQVQENGFVKKLEPKSGWMTFLEVTGKICEMLFCPEAILLTRKDTPYCETGLIFLTLTKTIANTYFYF
+>DECOY_sp|Q9HBF5|ST20_HUMAN Suppressor of tumorigenicity 20 protein OS=Homo sapiens OX=9606 GN=ST20 PE=2 SV=2
+FYFYTNAITKTLTLFILGTECYPTDKRTLLIAEPCFLMECIKGTVELFTMWGSKPELKKVFGNEQVQSVSTATLRSRAM
+>sp|Q8WU08|ST32A_HUMAN Serine/threonine-protein kinase 32A OS=Homo sapiens OX=9606 GN=STK32A PE=1 SV=2
+MGANTSRKPPVFDENEDVNFDHFEILRAIGKGSFGKVCIVQKNDTKKMYAMKYMNKQKCVERNEVRNVFKELQIMQGLEHPFLVNLWYSFQDEEDMFMVVDLLLGGDLRYHLQQNVHFKEETVKLFICELVMALDYLQNQRIIHRDMKPDNILLDEHGHVHITDFNIAAMLPRETQITTMAGTKPYMAPEMFSSRKGAGYSFAVDWWSLGVTAYELLRGRRPYHIRSSTSSKEIVHTFETTVVTYPSAWSQEMVSLLKKLLEPNPDQRFSQLSDVQNFPYMNDINWDAVFQKRLIPGFIPNKGRLNCDPTFELEEMILESKPLHKKKKRLAKKEKDMRKCDSSQTCLLQEHLDSVQKEFIIFNREKVNRDFNKRQPNLALEQTKDPQGEDGQNNNL
+>DECOY_sp|Q8WU08|ST32A_HUMAN Serine/threonine-protein kinase 32A OS=Homo sapiens OX=9606 GN=STK32A PE=1 SV=2
+LNNNQGDEGQPDKTQELALNPQRKNFDRNVKERNFIIFEKQVSDLHEQLLCTQSSDCKRMDKEKKALRKKKKHLPKSELIMEELEFTPDCNLRGKNPIFGPILRKQFVADWNIDNMYPFNQVDSLQSFRQDPNPELLKKLLSVMEQSWASPYTVVTTEFTHVIEKSSTSSRIHYPRRGRLLEYATVGLSWWDVAFSYGAGKRSSFMEPAMYPKTGAMTTIQTERPLMAAINFDTIHVHGHEDLLINDPKMDRHIIRQNQLYDLAMVLECIFLKVTEEKFHVNQQLHYRLDGGLLLDVVMFMDEEDQFSYWLNVLFPHELGQMIQLEKFVNRVENREVCKQKNMYKMAYMKKTDNKQVICVKGFSGKGIARLIEFHDFNVDENEDFVPPKRSTNAGM
+>sp|Q86UX6|ST32C_HUMAN Serine/threonine-protein kinase 32C OS=Homo sapiens OX=9606 GN=STK32C PE=1 SV=1
+MRSGAERRGSSAAASPGSPPPGRARPAGSDAPSALPPPAAGQPRARDSGDVRSQPRPLFQWSKWKKRMGSSMSAATARRPVFDDKEDVNFDHFQILRAIGKGSFGKVCIVQKRDTEKMYAMKYMNKQQCIERDEVRNVFRELEILQEIEHVFLVNLWYSFQDEEDMFMVVDLLLGGDLRYHLQQNVQFSEDTVRLYICEMALALDYLRGQHIIHRDVKPDNILLDERGHAHLTDFNIATIIKDGERATALAGTKPYMAPEIFHSFVNGGTGYSFEVDWWSVGVMAYELLRGWRPYDIHSSNAVESLVQLFSTVSVQYVPTWSKEMVALLRKLLTVNPEHRLSSLQDVQAAPALAGVLWDHLSEKRVEPGFVPNKGRLHCDPTFELEEMILESRPLHKKKKRLAKNKSRDNSRDSSQSENDYLQDCLDAIQQDFVIFNREKLKRSQDLPREPLPAPESRDAAEPVEDEAERSALPMCGPICPSAGSG
+>DECOY_sp|Q86UX6|ST32C_HUMAN Serine/threonine-protein kinase 32C OS=Homo sapiens OX=9606 GN=STK32C PE=1 SV=1
+GSGASPCIPGCMPLASREAEDEVPEAADRSEPAPLPERPLDQSRKLKERNFIVFDQQIADLCDQLYDNESQSSDRSNDRSKNKALRKKKKHLPRSELIMEELEFTPDCHLRGKNPVFGPEVRKESLHDWLVGALAPAAQVDQLSSLRHEPNVTLLKRLLAVMEKSWTPVYQVSVTSFLQVLSEVANSSHIDYPRWGRLLEYAMVGVSWWDVEFSYGTGGNVFSHFIEPAMYPKTGALATAREGDKIITAINFDTLHAHGREDLLINDPKVDRHIIHQGRLYDLALAMECIYLRVTDESFQVNQQLHYRLDGGLLLDVVMFMDEEDQFSYWLNVLFVHEIEQLIELERFVNRVEDREICQQKNMYKMAYMKETDRKQVICVKGFSGKGIARLIQFHDFNVDEKDDFVPRRATAASMSSGMRKKWKSWQFLPRPQSRVDGSDRARPQGAAPPPLASPADSGAPRARGPPPSGPSAAASSGRREAGSRM
+>sp|P0CL84|ST3L2_HUMAN Putative STAG3-like protein 2 OS=Homo sapiens OX=9606 GN=STAG3L2 PE=5 SV=1
+MIFSMLRKLPKVTCRDVLPEIRAICIEEIGCWMQSYSTSFLTDSYLKYIGWTLHDKHREVRVKCVKALKGLYGNRDLTARLELFTGRFKDWMVSMIVDREYSVAVEAVRLLILILKNMEGVLMDVDCESVYPIV
+>DECOY_sp|P0CL84|ST3L2_HUMAN Putative STAG3-like protein 2 OS=Homo sapiens OX=9606 GN=STAG3L2 PE=5 SV=1
+VIPYVSECDVDMLVGEMNKLILILLRVAEVAVSYERDVIMSVMWDKFRGTFLELRATLDRNGYLGKLAKVCKVRVERHKDHLTWGIYKLYSDTLFSTSYSQMWCGIEEICIARIEPLVDRCTVKPLKRLMSFIM
+>sp|P78524|ST5_HUMAN Suppression of tumorigenicity 5 protein OS=Homo sapiens OX=9606 GN=ST5 PE=1 SV=3
+MTMTANKNSSITHGAGGTKAPRGTLSRSQSVSPPPVLSPPRSPIYPLSDSETSACRYPSHSSSRVLLKDRHPPAPSPQNPQDPSPDTSPPTCPFKTASFGYLDRSPSACKRDAQKESVQGAAQDVAGVAACLPLAQSTPFPGPAAGPRGVLLTRTGTRAHSLGIREKISAWEGRREASPRMSMCGEKREGSGSEWAASEGCPSLGCPSVVPSPCSSEKTFDFKGLRRMSRTFSECSYPETEEEGEALPVRDSFYRLEKRLGRSEPSAFLRGHGSRKESSAVLSRIQKIEQVLKEQPGRGLPQLPSSCYSVDRGKRKTGTLGSLEEPAGGASVSAGSRAVGVAGVAGEAGPPPEREGSGSTKPGTPGNSPSSQRLPSKSSLDPAVNPVPKPKRTFEYEADKNPKSKPSNGLPPSPTPAAPPPLPSTPAPPVTRRPKKDMRGHRKSQSRKSFEFEDASSLQSLYPSSPTENGTENQPKFGSKSTLEENAYEDIVGDLPKENPYEDVDLKSRRAGRKSQQLSENSLDSLHRMWSPQDRKYNSPPTQLSLKPNSQSLRSGNWSERKSHRLPRLPKRHSHDDMLLLAQLSLPSSPSSLNEDSLSTTSELLSSRRARRIPKLVQRINSIYNAKRGKKRLKKLSMSSIETASLRDENSESESDSDDRFKAHTQRLVHIQSMLKRAPSYRTLELELLEWQERELFEYFVVVSLKKKPSRNTYLPEVSYQFPKLDRPTKQMREAEERLKAIPQFCFPDAKDWLPVSEYSSETFSFMLTGEDGSRRFGYCRRLLPSGKGPRLPEVYCVISRLGCFGLFSKVLDEVERRRGISAALVYPFMRSLMESPFPAPGKTIKVKTFLPGAGNEVLELRRPMDSRLEHVDFECLFTCLSVRQLIRIFASLLLERRVIFVADKLSTLSSCSHAVVALLYPFSWQHTFIPVLPASMIDIVCCPTPFLVGLLSSSLPKLKELPVEEALMVNLGSDRFIRQMDDEDTLLPRKLQAALEQALERKNELISQDSDSDSDDECNTLNGLVSEVFIRFFVETVGHYSLFLTQSEKGERAFQREAFRKSVASKSIRRFLEVFMESQMFAGFIQDRELRKCRAKGLFEQRVEQYLEELPDTEQSGMNKFLRGLGNKMKFLHKKN
+>DECOY_sp|P78524|ST5_HUMAN Suppression of tumorigenicity 5 protein OS=Homo sapiens OX=9606 GN=ST5 PE=1 SV=3
+NKKHLFKMKNGLGRLFKNMGSQETDPLEELYQEVRQEFLGKARCKRLERDQIFGAFMQSEMFVELFRRISKSAVSKRFAERQFAREGKESQTLFLSYHGVTEVFFRIFVESVLGNLTNCEDDSDSDSDQSILENKRELAQELAAQLKRPLLTDEDDMQRIFRDSGLNVMLAEEVPLEKLKPLSSSLLGVLFPTPCCVIDIMSAPLVPIFTHQWSFPYLLAVVAHSCSSLTSLKDAVFIVRRELLLSAFIRILQRVSLCTFLCEFDVHELRSDMPRRLELVENGAGPLFTKVKITKGPAPFPSEMLSRMFPYVLAASIGRRREVEDLVKSFLGFCGLRSIVCYVEPLRPGKGSPLLRRCYGFRRSGDEGTLMFSFTESSYESVPLWDKADPFCFQPIAKLREEAERMQKTPRDLKPFQYSVEPLYTNRSPKKKLSVVVFYEFLEREQWELLELELTRYSPARKLMSQIHVLRQTHAKFRDDSDSESESNEDRLSATEISSMSLKKLRKKGRKANYISNIRQVLKPIRRARRSSLLESTTSLSDENLSSPSSPLSLQALLLMDDHSHRKPLRPLRHSKRESWNGSRLSQSNPKLSLQTPPSNYKRDQPSWMRHLSDLSNESLQQSKRGARRSKLDVDEYPNEKPLDGVIDEYANEELTSKSGFKPQNETGNETPSSPYLSQLSSADEFEFSKRSQSKRHGRMDKKPRRTVPPAPTSPLPPPAAPTPSPPLGNSPKSKPNKDAEYEFTRKPKPVPNVAPDLSSKSPLRQSSPSNGPTGPKTSGSGEREPPPGAEGAVGAVGVARSGASVSAGGAPEELSGLTGTKRKGRDVSYCSSPLQPLGRGPQEKLVQEIKQIRSLVASSEKRSGHGRLFASPESRGLRKELRYFSDRVPLAEGEEETEPYSCESFTRSMRRLGKFDFTKESSCPSPVVSPCGLSPCGESAAWESGSGERKEGCMSMRPSAERRGEWASIKERIGLSHARTGTRTLLVGRPGAAPGPFPTSQALPLCAAVGAVDQAAGQVSEKQADRKCASPSRDLYGFSATKFPCTPPSTDPSPDQPNQPSPAPPHRDKLLVRSSSHSPYRCASTESDSLPYIPSRPPSLVPPPSVSQSRSLTGRPAKTGGAGHTISSNKNATMTM
+>sp|Q6ZMT1|STAC2_HUMAN SH3 and cysteine-rich domain-containing protein 2 OS=Homo sapiens OX=9606 GN=STAC2 PE=1 SV=1
+MTEMSEKENEPDDAATHSPPGTVSALQETKLQRFKRSLSLKTILRSKSLENFFLRSGSELKCPTEVLLTPPTPLPPPSPPPTASDRGLATPSPSPCPVPRPLAALKPVRLHSFQEHVFKRASPCELCHQLIVGNSKQGLRCKMCKVSVHLWCSEEISHQQCPGKTSTSFRRNFSSPLLVHEPPPVCATSKESPPTGDSGKVDPVYETLRYGTSLALMNRSSFSSTSESPTRSLSERDELTEDGEGSIRSSEEGPGDSASPVFTAPAESEGPGPEEKSPGQQLPKATLRKDVGPMYSYVALYKFLPQENNDLALQPGDRIMLVDDSNEDWWKGKIGDRVGFFPANFVQRVRPGENVWRCCQPFSGNKEQGYMSLKENQICVGVGRSKDADGFIRVSSGKKRGLVPVDALTEI
+>DECOY_sp|Q6ZMT1|STAC2_HUMAN SH3 and cysteine-rich domain-containing protein 2 OS=Homo sapiens OX=9606 GN=STAC2 PE=1 SV=1
+IETLADVPVLGRKKGSSVRIFGDADKSRGVGVCIQNEKLSMYGQEKNGSFPQCCRWVNEGPRVRQVFNAPFFGVRDGIKGKWWDENSDDVLMIRDGPQLALDNNEQPLFKYLAVYSYMPGVDKRLTAKPLQQGPSKEEPGPGESEAPATFVPSASDGPGEESSRISGEGDETLEDRESLSRTPSESTSSFSSRNMLALSTGYRLTEYVPDVKGSDGTPPSEKSTACVPPPEHVLLPSSFNRRFSTSTKGPCQQHSIEESCWLHVSVKCMKCRLGQKSNGVILQHCLECPSARKFVHEQFSHLRVPKLAALPRPVPCPSPSPTALGRDSATPPPSPPPLPTPPTLLVETPCKLESGSRLFFNELSKSRLITKLSLSRKFRQLKTEQLASVTGPPSHTAADDPENEKESMETM
+>sp|Q9NSY2|STAR5_HUMAN StAR-related lipid transfer protein 5 OS=Homo sapiens OX=9606 GN=STARD5 PE=1 SV=2
+MDPALAAQMSEAVAEKMLQYRRDTAGWKICREGNGVSVSWRPSVEFPGNLYRGEGIVYGTLEEVWDCVKPAVGGLRVKWDENVTGFEIIQSITDTLCVSRTSTPSAAMKLISPRDFVDLVLVKRYEDGTISSNATHVEHPLCPPKPGFVRGFNHPCGCFCEPLPGEPTKTNLVTFFHTDLSGYLPQNVVDSFFPRSMTRFYANLQKAVKQFHE
+>DECOY_sp|Q9NSY2|STAR5_HUMAN StAR-related lipid transfer protein 5 OS=Homo sapiens OX=9606 GN=STARD5 PE=1 SV=2
+EHFQKVAKQLNAYFRTMSRPFFSDVVNQPLYGSLDTHFFTVLNTKTPEGPLPECFCGCPHNFGRVFGPKPPCLPHEVHTANSSITGDEYRKVLVLDVFDRPSILKMAASPTSTRSVCLTDTISQIIEFGTVNEDWKVRLGGVAPKVCDWVEELTGYVIGEGRYLNGPFEVSPRWSVSVGNGERCIKWGATDRRYQLMKEAVAESMQAALAPDM
+>sp|P49842|STK19_HUMAN Serine/threonine-protein kinase 19 OS=Homo sapiens OX=9606 GN=STK19 PE=1 SV=2
+MQKWFSAFDDAIIQRQWRANPSRGGGGVSFTKEVDTNVATGAPPRRQRVPGRACPWREPIRGRRGARPGGGDAGGTPGETVRHCSAPEDPIFRFSSLHSYPFPGTIKSRDMSWKRHHLIPETFGVKRRRKRGPVESDPLRGEPGSARAAVSELMQLFPRGLFEDALPPIVLRSQVYSLVPDRTVADRQLKELQEQGEIRIVQLGFDLDAHGIIFTEDYRTRVCDCVLKACDGRPYAGAVQKFLASVLPACGDLSFQQDQMTQTFGFRDSEITHLVNAGVLTVRDAGSWWLAVPGAGRFIKYFVKGRQAVLSMVRKAKYRELLLSELLGRRAPVVVRLGLTYHVHDLIGAQLVDCISTTSGTLLRLPET
+>DECOY_sp|P49842|STK19_HUMAN Serine/threonine-protein kinase 19 OS=Homo sapiens OX=9606 GN=STK19 PE=1 SV=2
+TEPLRLLTGSTTSICDVLQAGILDHVHYTLGLRVVVPARRGLLESLLLERYKAKRVMSLVAQRGKVFYKIFRGAGPVALWWSGADRVTLVGANVLHTIESDRFGFTQTMQDQQFSLDGCAPLVSALFKQVAGAYPRGDCAKLVCDCVRTRYDETFIIGHADLDFGLQVIRIEGQEQLEKLQRDAVTRDPVLSYVQSRLVIPPLADEFLGRPFLQMLESVAARASGPEGRLPDSEVPGRKRRRKVGFTEPILHHRKWSMDRSKITGPFPYSHLSSFRFIPDEPASCHRVTEGPTGGADGGGPRAGRRGRIPERWPCARGPVRQRRPPAGTAVNTDVEKTFSVGGGGRSPNARWQRQIIADDFASFWKQM
+>sp|O00506|STK25_HUMAN Serine/threonine-protein kinase 25 OS=Homo sapiens OX=9606 GN=STK25 PE=1 SV=1
+MAHLRGFANQHSRVDPEELFTKLDRIGKGSFGEVYKGIDNHTKEVVAIKIIDLEEAEDEIEDIQQEITVLSQCDSPYITRYFGSYLKSTKLWIIMEYLGGGSALDLLKPGPLEETYIATILREILKGLDYLHSERKIHRDIKAANVLLSEQGDVKLADFGVAGQLTDTQIKRNTFVGTPFWMAPEVIKQSAYDFKADIWSLGITAIELAKGEPPNSDLHPMRVLFLIPKNSPPTLEGQHSKPFKEFVEACLNKDPRFRPTAKELLKHKFITRYTKKTSFLTELIDRYKRWKSEGHGEESSSEDSDIDGEAEDGEQGPIWTFPPTIRPSPHSKLHKGTALHSSQKPAEPVKRQPRSQCLSTLVRPVFGELKEKHKQSGGSVGALEELENAFSLAEESCPGISDKLMVHLVERVQRFSHNRNHLTSTR
+>DECOY_sp|O00506|STK25_HUMAN Serine/threonine-protein kinase 25 OS=Homo sapiens OX=9606 GN=STK25 PE=1 SV=1
+RTSTLHNRNHSFRQVREVLHVMLKDSIGPCSEEALSFANELEELAGVSGGSQKHKEKLEGFVPRVLTSLCQSRPQRKVPEAPKQSSHLATGKHLKSHPSPRITPPFTWIPGQEGDEAEGDIDSDESSSEEGHGESKWRKYRDILETLFSTKKTYRTIFKHKLLEKATPRFRPDKNLCAEVFEKFPKSHQGELTPPSNKPILFLVRMPHLDSNPPEGKALEIATIGLSWIDAKFDYASQKIVEPAMWFPTGVFTNRKIQTDTLQGAVGFDALKVDGQESLLVNAAKIDRHIKRESHLYDLGKLIERLITAIYTEELPGPKLLDLASGGGLYEMIIWLKTSKLYSGFYRTIYPSDCQSLVTIEQQIDEIEDEAEELDIIKIAVVEKTHNDIGKYVEGFSGKGIRDLKTFLEEPDVRSHQNAFGRLHAM
+>sp|Q9P289|STK26_HUMAN Serine/threonine-protein kinase 26 OS=Homo sapiens OX=9606 GN=STK26 PE=1 SV=2
+MAHSPVAVQVPGMQNNIADPEELFTKLERIGKGSFGEVFKGIDNRTQQVVAIKIIDLEEAEDEIEDIQQEITVLSQCDSSYVTKYYGSYLKGSKLWIIMEYLGGGSALDLLRAGPFDEFQIATMLKEILKGLDYLHSEKKIHRDIKAANVLLSEQGDVKLADFGVAGQLTDTQIKRNTFVGTPFWMAPEVIQQSAYDSKADIWSLGITAIELAKGEPPNSDMHPMRVLFLIPKNNPPTLVGDFTKSFKEFIDACLNKDPSFRPTAKELLKHKFIVKNSKKTSYLTELIDRFKRWKAEGHSDDESDSEGSDSESTSRENNTHPEWSFTTVRKKPDPKKVQNGAEQDLVQTLSCLSMIITPAFAELKQQDENNASRNQAIEELEKSIAVAEAACPGITDKMVKKLIEKFQKCSADESP
+>DECOY_sp|Q9P289|STK26_HUMAN Serine/threonine-protein kinase 26 OS=Homo sapiens OX=9606 GN=STK26 PE=1 SV=2
+PSEDASCKQFKEILKKVMKDTIGPCAAEAVAISKELEEIAQNRSANNEDQQKLEAFAPTIIMSLCSLTQVLDQEAGNQVKKPDPKKRVTTFSWEPHTNNERSTSESDSGESDSEDDSHGEAKWRKFRDILETLYSTKKSNKVIFKHKLLEKATPRFSPDKNLCADIFEKFSKTFDGVLTPPNNKPILFLVRMPHMDSNPPEGKALEIATIGLSWIDAKSDYASQQIVEPAMWFPTGVFTNRKIQTDTLQGAVGFDALKVDGQESLLVNAAKIDRHIKKESHLYDLGKLIEKLMTAIQFEDFPGARLLDLASGGGLYEMIIWLKSGKLYSGYYKTVYSSDCQSLVTIEQQIDEIEDEAEELDIIKIAVVQQTRNDIGKFVEGFSGKGIRELKTFLEEPDAINNQMGPVQVAVPSHAM
+>sp|Q13188|STK3_HUMAN Serine/threonine-protein kinase 3 OS=Homo sapiens OX=9606 GN=STK3 PE=1 SV=2
+MEQPPAPKSKLKKLSEDSLTKQPEEVFDVLEKLGEGSYGSVFKAIHKESGQVVAIKQVPVESDLQEIIKEISIMQQCDSPYVVKYYGSYFKNTDLWIVMEYCGAGSVSDIIRLRNKTLIEDEIATILKSTLKGLEYLHFMRKIHRDIKAGNILLNTEGHAKLADFGVAGQLTDTMAKRNTVIGTPFWMAPEVIQEIGYNCVADIWSLGITSIEMAEGKPPYADIHPMRAIFMIPTNPPPTFRKPELWSDDFTDFVKKCLVKNPEQRATATQLLQHPFIKNAKPVSILRDLITEAMEIKAKRHEEQQRELEEEEENSDEDELDSHTMVKTSVESVGTMRATSTMSEGAQTMIEHNSTMLESDLGTMVINSEDEEEEDGTMKRNATSPQVQRPSFMDYFDKQDFKNKSHENCNQNMHEPFPMSKNVFPDNWKVPQDGDFDFLKNLSLEELQMRLKALDPMMEREIEELRQRYTAKRQPILDAMDAKKRRQQNF
+>DECOY_sp|Q13188|STK3_HUMAN Serine/threonine-protein kinase 3 OS=Homo sapiens OX=9606 GN=STK3 PE=1 SV=2
+FNQQRRKKADMADLIPQRKATYRQRLEEIEREMMPDLAKLRMQLEELSLNKLFDFDGDQPVKWNDPFVNKSMPFPEHMNQNCNEHSKNKFDQKDFYDMFSPRQVQPSTANRKMTGDEEEEDESNIVMTGLDSELMTSNHEIMTQAGESMTSTARMTGVSEVSTKVMTHSDLEDEDSNEEEEELERQQEEHRKAKIEMAETILDRLISVPKANKIFPHQLLQTATARQEPNKVLCKKVFDTFDDSWLEPKRFTPPPNTPIMFIARMPHIDAYPPKGEAMEISTIGLSWIDAVCNYGIEQIVEPAMWFPTGIVTNRKAMTDTLQGAVGFDALKAHGETNLLINGAKIDRHIKRMFHLYELGKLTSKLITAIEDEILTKNRLRIIDSVSGAGCYEMVIWLDTNKFYSGYYKVVYPSDCQQMISIEKIIEQLDSEVPVQKIAVVQGSEKHIAKFVSGYSGEGLKELVDFVEEPQKTLSDESLKKLKSKPAPPQEM
+>sp|Q8NE28|STKL1_HUMAN Serine/threonine kinase-like domain-containing protein STKLD1 OS=Homo sapiens OX=9606 GN=STKLD1 PE=2 SV=5
+MLGPGSNRRRPTQGERGPGSPGEPMEKYQVLYQLNPGALGVNLVVEEMETKVKHVIKQVECMDDHYASQALEELMPLLKLRHAHISVYQELFITWNGEISSLYLCLVMEFNELSFQEVIEDKRKAKKIIDSEWMQNVLGQVLDALEYLHHLDIIHRNLKPSNIILISSDHCKLQDLSSNVLMTDKAKWNIRAEEDPFRKSWMAPEALNFSFSQKSDIWSLGCIILDMTSCSFMDGTEAMHLRKSLRQSPGSLKAVLKTMEEKQIPDVETFRNLLPLMLQIDPSDRITIKDVVHITFLRGSFKSSCVSLTLHRQMVPASITDMLLEGNVASILEVMQKFSGWPEVQLRAMKRLLKMPADQLGLPWPPELVEVVVTTMELHDRVLDVQLCACSLLLHLLGQALVHHPEAKAPCNQAITSTLLSALQSHPEEEPLLVMVYSLLAITTTQESESLSEELQNAGLLEHILEHLNSSLESRDVCASGLGLLWALLLDGIIVNKAPLEKVPDLISQVLATYPADGEMAEASCGVFWLLSLLGCIKEQQFEQVVALLLQSIRLCQDRALLVNNAYRGLASLVKVSELAAFKVVVQEEGGSGLSLIKETYQLHRDDPEVVENVGMLLVHLASYEEILPELVSSSMKALLQEIKERFTSSLVSDSSAFSKPGLPPGGSPQLGCTTSGGLE
+>DECOY_sp|Q8NE28|STKL1_HUMAN Serine/threonine kinase-like domain-containing protein STKLD1 OS=Homo sapiens OX=9606 GN=STKLD1 PE=2 SV=5
+ELGGSTTCGLQPSGGPPLGPKSFASSDSVLSSTFREKIEQLLAKMSSSVLEPLIEEYSALHVLLMGVNEVVEPDDRHLQYTEKILSLGSGGEEQVVVKFAALESVKVLSALGRYANNVLLARDQCLRISQLLLAVVQEFQQEKICGLLSLLWFVGCSAEAMEGDAPYTALVQSILDPVKELPAKNVIIGDLLLAWLLGLGSACVDRSELSSNLHELIHELLGANQLEESLSESEQTTTIALLSYVMVLLPEEEPHSQLASLLTSTIAQNCPAKAEPHHVLAQGLLHLLLSCACLQVDLVRDHLEMTTVVVEVLEPPWPLGLQDAPMKLLRKMARLQVEPWGSFKQMVELISAVNGELLMDTISAPVMQRHLTLSVCSSKFSGRLFTIHVVDKITIRDSPDIQLMLPLLNRFTEVDPIQKEEMTKLVAKLSGPSQRLSKRLHMAETGDMFSCSTMDLIICGLSWIDSKQSFSFNLAEPAMWSKRFPDEEARINWKAKDTMLVNSSLDQLKCHDSSILIINSPKLNRHIIDLHHLYELADLVQGLVNQMWESDIIKKAKRKDEIVEQFSLENFEMVLCLYLSSIEGNWTIFLEQYVSIHAHRLKLLPMLEELAQSAYHDDMCEVQKIVHKVKTEMEEVVLNVGLAGPNLQYLVQYKEMPEGPSGPGREGQTPRRRNSGPGLM
+>sp|Q9H169|STMN4_HUMAN Stathmin-4 OS=Homo sapiens OX=9606 GN=STMN4 PE=2 SV=1
+MTLAAYKEKMKELPLVSLFCSCFLADPLNKSSYKYEADTVDLNWCVISDMEVIELNKCTSGQSFEVILKPPSFDGVPEFNASLPRRRDPSLEEIQKKLEAAEERRKYQEAELLKHLAEKREHEREVIQKAIEENNNFIKMAKEKLAQKMESNKENREAHLAAMLERLQEKDKHAEEVRKNKELKEEASR
+>DECOY_sp|Q9H169|STMN4_HUMAN Stathmin-4 OS=Homo sapiens OX=9606 GN=STMN4 PE=2 SV=1
+RSAEEKLEKNKRVEEAHKDKEQLRELMAALHAERNEKNSEMKQALKEKAMKIFNNNEEIAKQIVEREHERKEALHKLLEAEQYKRREEAAELKKQIEELSPDRRRPLSANFEPVGDFSPPKLIVEFSQGSTCKNLEIVEMDSIVCWNLDVTDAEYKYSSKNLPDALFCSCFLSVLPLEKMKEKYAALTM
+>sp|Q9H668|STN1_HUMAN CST complex subunit STN1 OS=Homo sapiens OX=9606 GN=STN1 PE=1 SV=2
+MQPGSSRCEEETPSLLWGLDPVFLAFAKLYIRDILDMKESRQVPGVFLYNGHPIKQVDVLGTVIGVRERDAFYSYGVDDSTGVINCICWKKLNTESVSAAPSAARELSLTSQLKKLQETIEQKTKIEIGDTIRVRGSIRTYREEREIHATTYYKVDDPVWNIQIARMLELPTIYRKVYDQPFHSSALEKEEALSNPGALDLPSLTSLLSEKAKEFLMENRVQSFYQQELEMVESLLSLANQPVIHSASSDQVNFKKDTTSKAIHSIFKNAIQLLQEKGLVFQKDDGFDNLYYVTREDKDLHRKIHRIIQQDCQKPNHMEKGCHFLHILACARLSIRPGLSEAVLQQVLELLEDQSDIVSTMEHYYTAF
+>DECOY_sp|Q9H668|STN1_HUMAN CST complex subunit STN1 OS=Homo sapiens OX=9606 GN=STN1 PE=1 SV=2
+FATYYHEMTSVIDSQDELLELVQQLVAESLGPRISLRACALIHLFHCGKEMHNPKQCDQQIIRHIKRHLDKDERTVYYLNDFGDDKQFVLGKEQLLQIANKFISHIAKSTTDKKFNVQDSSASHIVPQNALSLLSEVMELEQQYFSQVRNEMLFEKAKESLLSTLSPLDLAGPNSLAEEKELASSHFPQDYVKRYITPLELMRAIQINWVPDDVKYYTTAHIEREERYTRISGRVRITDGIEIKTKQEITEQLKKLQSTLSLERAASPAASVSETNLKKWCICNIVGTSDDVGYSYFADRERVGIVTGLVDVQKIPHGNYLFVGPVQRSEKMDLIDRIYLKAFALFVPDLGWLLSPTEEECRSSGPQM
+>sp|Q5TH74|STPG1_HUMAN O(6)-methylguanine-induced apoptosis 2 OS=Homo sapiens OX=9606 GN=STPG1 PE=1 SV=1
+MDNSAQKNERTGKHPRRASEVQKGFTAAYPTQSSIPFKSQASVIPESEKKGFNSQAKRFPHKKNDIPGPGFYNVIHQSPVSNSVSLSKKGTCMFPSMCARLDTIISKYPAANAYTIPSDFISKRDFSNSCSSMFQLPSFMKALKFETPAPNYYNASVSCCKQRNNVCTRAGFMSKTQRGSFAFADKGPPPGHYDINESLVKQSPNTLMSCFKSKTNRGLKLTSTGPGPGYYNPSDCTKVPKKTLFPKNPILNFSAQPSPLPPKPPFPGPGQYEIVDYLGPRKHFISSASFVSNTSRWTAAPPQPGLPGPATYKPELPGKQSFLYNEDKKWIPVL
+>DECOY_sp|Q5TH74|STPG1_HUMAN O(6)-methylguanine-induced apoptosis 2 OS=Homo sapiens OX=9606 GN=STPG1 PE=1 SV=1
+LVPIWKKDENYLFSQKGPLEPKYTAPGPLGPQPPAATWRSTNSVFSASSIFHKRPGLYDVIEYQGPGPFPPKPPLPSPQASFNLIPNKPFLTKKPVKTCDSPNYYGPGPGTSTLKLGRNTKSKFCSMLTNPSQKVLSENIDYHGPPPGKDAFAFSGRQTKSMFGARTCVNNRQKCCSVSANYYNPAPTEFKLAKMFSPLQFMSSCSNSFDRKSIFDSPITYANAAPYKSIITDLRACMSPFMCTGKKSLSVSNSVPSQHIVNYFGPGPIDNKKHPFRKAQSNFGKKESEPIVSAQSKFPISSQTPYAATFGKQVESARRPHKGTRENKQASNDM
+>sp|Q9BX79|STRA6_HUMAN Receptor for retinol uptake STRA6 OS=Homo sapiens OX=9606 GN=STRA6 PE=1 SV=1
+MSSQPAGNQTSPGATEDYSYGSWYIDEPQGGEELQPEGEVPSCHTSIPPGLYHACLASLSILVLLLLAMLVRRRQLWPDCVRGRPGLPSPVDFLAGDRPRAVPAAVFMVLLSSLCLLLPDEDALPFLTLASAPSQDGKTEAPRGAWKILGLFYYAALYYPLAACATAGHTAAHLLGSTLSWAHLGVQVWQRAECPQVPKIYKYYSLLASLPLLLGLGFLSLWYPVQLVRSFSRRTGAGSKGLQSSYSEEYLRNLLCRKKLGSSYHTSKHGFLSWARVCLRHCIYTPQPGFHLPLKLVLSATLTGTAIYQVALLLLVGVVPTIQKVRAGVTTDVSYLLAGFGIVLSEDKQEVVELVKHHLWALEVCYISALVLSCLLTFLVLMRSLVTHRTNLRALHRGAALDLSPLHRSPHPSRQAIFCWMSFSAYQTAFICLGLLVQQIIFFLGTTALAFLVLMPVLHGRNLLLFRSLESSWPFWLTLALAVILQNMAAHWVFLETHDGHPQLTNRRVLYAATFLLFPLNVLVGAMVATWRVLLSALYNAIHLGQMDLSLLPPRAATLDPGYYTYRNFLKIEVSQSHPAMTAFCSLLLQAQSLLPRTMAAPQDSLRPGEEDEGMQLLQTKDSMAKGARPGASRGRARWGLAYTLLHNPTLQVFRKTALLGANGAQP
+>DECOY_sp|Q9BX79|STRA6_HUMAN Receptor for retinol uptake STRA6 OS=Homo sapiens OX=9606 GN=STRA6 PE=1 SV=1
+PQAGNAGLLATKRFVQLTPNHLLTYALGWRARGRSAGPRAGKAMSDKTQLLQMGEDEEGPRLSDQPAAMTRPLLSQAQLLLSCFATMAPHSQSVEIKLFNRYTYYGPDLTAARPPLLSLDMQGLHIANYLASLLVRWTAVMAGVLVNLPFLLFTAAYLVRRNTLQPHGDHTELFVWHAAMNQLIVALALTLWFPWSSELSRFLLLNRGHLVPMLVLFALATTGLFFIIQQVLLGLCIFATQYASFSMWCFIAQRSPHPSRHLPSLDLAAGRHLARLNTRHTVLSRMLVLFTLLCSLVLASIYCVELAWLHHKVLEVVEQKDESLVIGFGALLYSVDTTVGARVKQITPVVGVLLLLAVQYIATGTLTASLVLKLPLHFGPQPTYICHRLCVRAWSLFGHKSTHYSSGLKKRCLLNRLYEESYSSQLGKSGAGTRRSFSRVLQVPYWLSLFGLGLLLPLSALLSYYKYIKPVQPCEARQWVQVGLHAWSLTSGLLHAATHGATACAALPYYLAAYYFLGLIKWAGRPAETKGDQSPASALTLFPLADEDPLLLCLSSLLVMFVAAPVARPRDGALFDVPSPLGPRGRVCDPWLQRRRVLMALLLLVLISLSALCAHYLGPPISTHCSPVEGEPQLEEGGQPEDIYWSGYSYDETAGPSTQNGAPQSSM
+>sp|Q9Y3F4|STRAP_HUMAN Serine-threonine kinase receptor-associated protein OS=Homo sapiens OX=9606 GN=STRAP PE=1 SV=1
+MAMRQTPLTCSGHTRPVVDLAFSGITPYGYFLISACKDGKPMLRQGDTGDWIGTFLGHKGAVWGATLNKDATKAATAAADFTAKVWDAVSGDELMTLAHKHIVKTVDFTQDSNYLLTGGQDKLLRIYDLNKPEAEPKEISGHTSGIKKALWCSEDKQILSADDKTVRLWDHATMTEVKSLNFNMSVSSMEYIPEGEILVITYGRSIAFHSAVSLDPIKSFEAPATINSASLHPEKEFLVAGGEDFKLYKYDYNSGEELESYKGHFGPIHCVRFSPDGELYASGSEDGTLRLWQTVVGKTYGLWKCVLPEEDSGELAKPKIGFPETTEEELEEIASENSDCIFPSAPDVKA
+>DECOY_sp|Q9Y3F4|STRAP_HUMAN Serine-threonine kinase receptor-associated protein OS=Homo sapiens OX=9606 GN=STRAP PE=1 SV=1
+AKVDPASPFICDSNESAIEELEEETTEPFGIKPKALEGSDEEPLVCKWLGYTKGVVTQWLRLTGDESGSAYLEGDPSFRVCHIPGFHGKYSELEEGSNYDYKYLKFDEGGAVLFEKEPHLSASNITAPAEFSKIPDLSVASHFAISRGYTIVLIEGEPIYEMSSVSMNFNLSKVETMTAHDWLRVTKDDASLIQKDESCWLAKKIGSTHGSIEKPEAEPKNLDYIRLLKDQGGTLLYNSDQTFDVTKVIHKHALTMLEDGSVADWVKATFDAAATAAKTADKNLTAGWVAGKHGLFTGIWDGTDGQRLMPKGDKCASILFYGYPTIGSFALDVVPRTHGSCTLPTQRMAM
+>sp|Q13033|STRN3_HUMAN Striatin-3 OS=Homo sapiens OX=9606 GN=STRN3 PE=1 SV=3
+MDELAGGGGGGPGMAAPPRQQQGPGGNLGLSPGGNGAAGGGGPPASEGAGPAAGPELSRPQQYTIPGILHYIQHEWARFEMERAHWEVERAELQARIAFLQGERKGQENLKKDLVRRIKMLEYALKQERAKYHKLKYGTELNQGDLKMPTFESEETKDTEAPTAPQNSQLTWKQGRQLLRQYLQEVGYTDTILDVRSQRVRSLLGLSNSEPNGSVETKNLEQILNGGESPKQKGQEIKRSSGDVLETFNFLENADDSDEDEENDMIEGIPEGKDKHRMNKHKIGNEGLAADLTDDPDTEEALKEFDFLVTAEDGEGAGEARSSGDGTEWDKDDLSPTAEVWDVDQGLISKLKEQYKKERKGKKGVKRANRTKLYDMIADLGDDELPHIPSGIINQSRSASTRMTDHEGARAEEAEPITFPSGGGKSFIMGSDDVLLSVLGLGDLADLTVTNDADYSYDLPANKDAFRKTWNPKYTLRSHFDGVRALAFHPVEPVLVTASEDHTLKLWNLQKTVPAKKSASLDVEPIYTFRAHIGPVLSLAISSNGEQCFSGGIDATIQWWNMPSPSVDPYDTYEPNVLAGTLVGHTDAVWGLAYSGIKNQLLSCSADGTVRLWNPQEKLPCICTYNGDKKHGIPTSVDFIGCDPAHMVTSFNTGSAVIYDLETSQSLVILSSQVDSGLQSNNHINRVVSHPTLPVTITAHEDRHIKFFDNKTGKMIHSMVAHLDAVTSLAVDPNGIYLMSGSHDCSIRLWNLDSKTCVQEITAHRKKLDESIYDVAFHSSKAYIASAGADALAKVFV
+>DECOY_sp|Q13033|STRN3_HUMAN Striatin-3 OS=Homo sapiens OX=9606 GN=STRN3 PE=1 SV=3
+VFVKALADAGASAIYAKSSHFAVDYISEDLKKRHATIEQVCTKSDLNWLRISCDHSGSMLYIGNPDVALSTVADLHAVMSHIMKGTKNDFFKIHRDEHATITVPLTPHSVVRNIHNNSQLGSDVQSSLIVLSQSTELDYIVASGTNFSTVMHAPDCGIFDVSTPIGHKKDGNYTCICPLKEQPNWLRVTGDASCSLLQNKIGSYALGWVADTHGVLTGALVNPEYTDYPDVSPSPMNWWQITADIGGSFCQEGNSSIALSLVPGIHARFTYIPEVDLSASKKAPVTKQLNWLKLTHDESATVLVPEVPHFALARVGDFHSRLTYKPNWTKRFADKNAPLDYSYDADNTVTLDALDGLGLVSLLVDDSGMIFSKGGGSPFTIPEAEEARAGEHDTMRTSASRSQNIIGSPIHPLEDDGLDAIMDYLKTRNARKVGKKGKREKKYQEKLKSILGQDVDWVEATPSLDDKDWETGDGSSRAEGAGEGDEATVLFDFEKLAEETDPDDTLDAALGENGIKHKNMRHKDKGEPIGEIMDNEEDEDSDDANELFNFTELVDGSSRKIEQGKQKPSEGGNLIQELNKTEVSGNPESNSLGLLSRVRQSRVDLITDTYGVEQLYQRLLQRGQKWTLQSNQPATPAETDKTEESEFTPMKLDGQNLETGYKLKHYKAREQKLAYELMKIRRVLDKKLNEQGKREGQLFAIRAQLEAREVEWHAREMEFRAWEHQIYHLIGPITYQQPRSLEPGAAPGAGESAPPGGGGAAGNGGPSLGLNGGPGQQQRPPAAMGPGGGGGGALEDM
+>sp|Q5VSL9|STRP1_HUMAN Striatin-interacting protein 1 OS=Homo sapiens OX=9606 GN=STRIP1 PE=1 SV=1
+MEPAVGGPGPLIVNNKQPQPPPPPPPAAAQPPPGAPRAAAGLLPGGKAREFNRNQRKDSEGYSESPDLEFEYADTDKWAAELSELYSYTEGPEFLMNRKCFEEDFRIHVTDKKWTELDTNQHRTHAMRLLDGLEVTAREKRLKVARAILYVAQGTFGECSSEAEVQSWMRYNIFLLLEVGTFNALVELLNMEIDNSAACSSAVRKPAISLADSTDLRVLLNIMYLIVETVHQECEGDKAEWRTMRQTFRAELGSPLYNNEPFAIMLFGMVTKFCSGHAPHFPMKKVLLLLWKTVLCTLGGFEELQSMKAEKRSILGLPPLPEDSIKVIRNMRAASPPASASDLIEQQQKRGRREHKALIKQDNLDAFNERDPYKADDSREEEEENDDDNSLEGETFPLERDEVMPPPLQHPQTDRLTCPKGLPWAPKVREKDIEMFLESSRSKFIGYTLGSDTNTVVGLPRPIHESIKTLKQHKYTSIAEVQAQMEEEYLRSPLSGGEEEVEQVPAETLYQGLLPSLPQYMIALLKILLAAAPTSKAKTDSINILADVLPEEMPTTVLQSMKLGVDVNRHKEVIVKAISAVLLLLLKHFKLNHVYQFEYMAQHLVFANCIPLILKFFNQNIMSYITAKNSISVLDYPHCVVHELPELTAESLEAGDSNQFCWRNLFSCINLLRILNKLTKWKHSRTMMLVVFKSAPILKRALKVKQAMMQLYVLKLLKVQTKYLGRQWRKSNMKTMSAIYQKVRHRLNDDWAYGNDLDARPWDFQAEECALRANIERFNARRYDRAHSNPDFLPVDNCLQSVLGQRVDLPEDFQMNYDLWLEREVFSKPISWEELLQ
+>DECOY_sp|Q5VSL9|STRP1_HUMAN Striatin-interacting protein 1 OS=Homo sapiens OX=9606 GN=STRIP1 PE=1 SV=1
+QLLEEWSIPKSFVERELWLDYNMQFDEPLDVRQGLVSQLCNDVPLFDPNSHARDYRRANFREINARLACEEAQFDWPRADLDNGYAWDDNLRHRVKQYIASMTKMNSKRWQRGLYKTQVKLLKLVYLQMMAQKVKLARKLIPASKFVVLMMTRSHKWKTLKNLIRLLNICSFLNRWCFQNSDGAELSEATLEPLEHVVCHPYDLVSISNKATIYSMINQNFFKLILPICNAFVLHQAMYEFQYVHNLKFHKLLLLLVASIAKVIVEKHRNVDVGLKMSQLVTTPMEEPLVDALINISDTKAKSTPAAALLIKLLAIMYQPLSPLLGQYLTEAPVQEVEEEGGSLPSRLYEEEMQAQVEAISTYKHQKLTKISEHIPRPLGVVTNTDSGLTYGIFKSRSSELFMEIDKERVKPAWPLGKPCTLRDTQPHQLPPPMVEDRELPFTEGELSNDDDNEEEEERSDDAKYPDRENFADLNDQKILAKHERRGRKQQQEILDSASAPPSAARMNRIVKISDEPLPPLGLISRKEAKMSQLEEFGGLTCLVTKWLLLLVKKMPFHPAHGSCFKTVMGFLMIAFPENNYLPSGLEARFTQRMTRWEAKDGECEQHVTEVILYMINLLVRLDTSDALSIAPKRVASSCAASNDIEMNLLEVLANFTGVELLLFINYRMWSQVEAESSCEGFTGQAVYLIARAVKLRKERATVELGDLLRMAHTRHQNTDLETWKKDTVHIRFDEEFCKRNMLFEPGETYSYLESLEAAWKDTDAYEFELDPSESYGESDKRQNRNFERAKGGPLLGAAARPAGPPPQAAAPPPPPPPQPQKNNVILPGPGGVAPEM
+>sp|O60499|STX10_HUMAN Syntaxin-10 OS=Homo sapiens OX=9606 GN=STX10 PE=1 SV=1
+MSLEDPFFVVRGEVQKAVNTARGLYQRWCELLQESAAVGREELDWTTNELRNGLRSIEWDLEDLEETIGIVEANPGKFKLPAGDLQERKVFVERMREAVQEMKDHMVSPTAVAFLERNNREILAGKPAAQKSPSDLLDASAVSATSRYIEEQQATQQLIMDEQDQQLEMVSGSIQVLKHMSGRVGEELDEQGIMLDAFAQEMDHTQSRMDGVLRKLAKVSHMTSDRRQWCAIAVLVGVLLLVLILLFSL
+>DECOY_sp|O60499|STX10_HUMAN Syntaxin-10 OS=Homo sapiens OX=9606 GN=STX10 PE=1 SV=1
+LSFLLILVLLLVGVLVAIACWQRRDSTMHSVKALKRLVGDMRSQTHDMEQAFADLMIGQEDLEEGVRGSMHKLVQISGSVMELQQDQEDMILQQTAQQEEIYRSTASVASADLLDSPSKQAAPKGALIERNNRELFAVATPSVMHDKMEQVAERMREVFVKREQLDGAPLKFKGPNAEVIGITEELDELDWEISRLGNRLENTTWDLEERGVAASEQLLECWRQYLGRATNVAKQVEGRVVFFPDELSM
+>sp|Q16623|STX1A_HUMAN Syntaxin-1A OS=Homo sapiens OX=9606 GN=STX1A PE=1 SV=1
+MKDRTQELRTAKDSDDDDDVAVTVDRDRFMDEFFEQVEEIRGFIDKIAENVEEVKRKHSAILASPNPDEKTKEELEELMSDIKKTANKVRSKLKSIEQSIEQEEGLNRSSADLRIRKTQHSTLSRKFVEVMSEYNATQSDYRERCKGRIQRQLEITGRTTTSEELEDMLESGNPAIFASGIIMDSSISKQALSEIETRHSEIIKLENSIRELHDMFMDMAMLVESQGEMIDRIEYNVEHAVDYVERAVSDTKKAVKYQSKARRKKIMIIICCVILGIVIASTVGGIFA
+>DECOY_sp|Q16623|STX1A_HUMAN Syntaxin-1A OS=Homo sapiens OX=9606 GN=STX1A PE=1 SV=1
+AFIGGVTSAIVIGLIVCCIIIMIKKRRAKSQYKVAKKTDSVAREVYDVAHEVNYEIRDIMEGQSEVLMAMDMFMDHLERISNELKIIESHRTEIESLAQKSISSDMIIGSAFIAPNGSELMDELEESTTTRGTIELQRQIRGKCRERYDSQTANYESMVEVFKRSLTSHQTKRIRLDASSRNLGEEQEISQEISKLKSRVKNATKKIDSMLEELEEKTKEDPNPSALIASHKRKVEEVNEAIKDIFGRIEEVQEFFEDMFRDRDVTVAVDDDDDSDKATRLEQTRDKM
+>sp|Q9UMX1|SUFU_HUMAN Suppressor of fused homolog OS=Homo sapiens OX=9606 GN=SUFU PE=1 SV=2
+MAELRPSGAPGPTAPPAPGPTAPPAFASLFPPGLHAIYGECRRLYPDQPNPLQVTAIVKYWLGGPDPLDYVSMYRNVGSPSANIPEHWHYISFGLSDLYGDNRVHEFTGTDGPSGFGFELTFRLKRETGESAPPTWPAELMQGLARYVFQSENTFCSGDHVSWHSPLDNSESRIQHMLLTEDPQMQPVQTPFGVVTFLQIVGVCTEELHSAQQWNGQGILELLRTVPIAGGPWLITDMRRGETIFEIDPHLQERVDKGIETDGSNLSGVSAKCAWDDLSRPPEDDEDSRSICIGTQPRRLSGKDTEQIRETLRRGLEINSKPVLPPINPQRQNGLAHDRAPSRKDSLESDSSTAIIPHELIRTRQLESVHLKFNQESGALIPLCLRGRLLHGRHFTYKSITGDMAITFVSTGVEGAFATEEHPYAAHGPWLQILLTEEFVEKMLEDLEDLTSPEEFKLPKEYSWPEKKLKVSILPDVVFDSPLH
+>DECOY_sp|Q9UMX1|SUFU_HUMAN Suppressor of fused homolog OS=Homo sapiens OX=9606 GN=SUFU PE=1 SV=2
+HLPSDFVVDPLISVKLKKEPWSYEKPLKFEEPSTLDELDELMKEVFEETLLIQLWPGHAAYPHEETAFAGEVGTSVFTIAMDGTISKYTFHRGHLLRGRLCLPILAGSEQNFKLHVSELQRTRILEHPIIATSSDSELSDKRSPARDHALGNQRQPNIPPLVPKSNIELGRRLTERIQETDKGSLRRPQTGICISRSDEDDEPPRSLDDWACKASVGSLNSGDTEIGKDVREQLHPDIEFITEGRRMDTILWPGGAIPVTRLLELIGQGNWQQASHLEETCVGVIQLFTVVGFPTQVPQMQPDETLLMHQIRSESNDLPSHWSVHDGSCFTNESQFVYRALGQMLEAPWTPPASEGTERKLRFTLEFGFGSPGDTGTFEHVRNDGYLDSLGFSIYHWHEPINASPSGVNRYMSVYDLPDPGGLWYKVIATVQLPNPQDPYLRRCEGYIAHLGPPFLSAFAPPATPGPAPPATPGPAGSPRLEAM
+>sp|Q8IX01|SUGP2_HUMAN SURP and G-patch domain-containing protein 2 OS=Homo sapiens OX=9606 GN=SUGP2 PE=1 SV=2
+MAARRITQETFDAVLQEKAKRYHMDASGEAVSETLQFKAQDLLRAVPRSRAEMYDDVHSDGRYSLSGSVAHSRDAGREGLRSDVFPGPSFRSSNPSISDDSYFRKECGRDLEFSHSDSRDQVIGHRKLGHFRSQDWKFALRGSWEQDFGHPVSQESSWSQEYSFGPSAVLGDFGSSRLIEKECLEKESRDYDVDHPGEADSVLRGGSQVQARGRALNIVDQEGSLLGKGETQGLLTAKGGVGKLVTLRNVSTKKIPTVNRITPKTQGTNQIQKNTPSPDVTLGTNPGTEDIQFPIQKIPLGLDLKNLRLPRRKMSFDIIDKSDVFSRFGIEIIKWAGFHTIKDDIKFSQLFQTLFELETETCAKMLASFKCSLKPEHRDFCFFTIKFLKHSALKTPRVDNEFLNMLLDKGAVKTKNCFFEIIKPFDKYIMRLQDRLLKSVTPLLMACNAYELSVKMKTLSNPLDLALALETTNSLCRKSLALLGQTFSLASSFRQEKILEAVGLQDIAPSPAAFPNFEDSTLFGREYIDHLKAWLVSSGCPLQVKKAEPEPMREEEKMIPPTKPEIQAKAPSSLSDAVPQRADHRVVGTIDQLVKRVIEGSLSPKERTLLKEDPAYWFLSDENSLEYKYYKLKLAEMQRMSENLRGADQKPTSADCAVRAMLYSRAVRNLKKKLLPWQRRGLLRAQGLRGWKARRATTGTQTLLSSGTRLKHHGRQAPGLSQAKPSLPDRNDAAKDCPPDPVGPSPQDPSLEASGPSPKPAGVDISEAPQTSSPCPSADIDMKTMETAEKLARFVAQVGPEIEQFSIENSTDNPDLWFLHDQNSSAFKFYRKKVFELCPSICFTSSPHNLHTGGGDTTGSQESPVDLMEGEAEFEDEPPPREAELESPEVMPEEEDEDDEDGGEEAPAPGGAGKSEGSTPADGLPGEAAEDDLAGAPALSQASSGTCFPRKRISSKSLKVGMIPAPKRVCLIQEPKVHEPVRIAYDRPRGRPMSKKKKPKDLDFAQQKLTDKNLGFQMLQKMGWKEGHGLGSLGKGIREPVSVGTPSEGEGLGADGQEHKEDTFDVFRQRMMQMYRHKRANK
+>DECOY_sp|Q8IX01|SUGP2_HUMAN SURP and G-patch domain-containing protein 2 OS=Homo sapiens OX=9606 GN=SUGP2 PE=1 SV=2
+KNARKHRYMQMMRQRFVDFTDEKHEQGDAGLGEGESPTGVSVPERIGKGLSGLGHGEKWGMKQLMQFGLNKDTLKQQAFDLDKPKKKKSMPRGRPRDYAIRVPEHVKPEQILCVRKPAPIMGVKLSKSSIRKRPFCTGSSAQSLAPAGALDDEAAEGPLGDAPTSGESKGAGGPAPAEEGGDEDDEDEEEPMVEPSELEAERPPPEDEFEAEGEMLDVPSEQSGTTDGGGTHLNHPSSTFCISPCLEFVKKRYFKFASSNQDHLFWLDPNDTSNEISFQEIEPGVQAVFRALKEATEMTKMDIDASPCPSSTQPAESIDVGAPKPSPGSAELSPDQPSPGVPDPPCDKAADNRDPLSPKAQSLGPAQRGHHKLRTGSSLLTQTGTTARRAKWGRLGQARLLGRRQWPLLKKKLNRVARSYLMARVACDASTPKQDAGRLNESMRQMEALKLKYYKYELSNEDSLFWYAPDEKLLTREKPSLSGEIVRKVLQDITGVVRHDARQPVADSLSSPAKAQIEPKTPPIMKEEERMPEPEAKKVQLPCGSSVLWAKLHDIYERGFLTSDEFNPFAAPSPAIDQLGVAELIKEQRFSSALSFTQGLLALSKRCLSNTTELALALDLPNSLTKMKVSLEYANCAMLLPTVSKLLRDQLRMIYKDFPKIIEFFCNKTKVAGKDLLMNLFENDVRPTKLASHKLFKITFFCFDRHEPKLSCKFSALMKACTETELEFLTQFLQSFKIDDKITHFGAWKIIEIGFRSFVDSKDIIDFSMKRRPLRLNKLDLGLPIKQIPFQIDETGPNTGLTVDPSPTNKQIQNTGQTKPTIRNVTPIKKTSVNRLTVLKGVGGKATLLGQTEGKGLLSGEQDVINLARGRAQVQSGGRLVSDAEGPHDVDYDRSEKELCEKEILRSSGFDGLVASPGFSYEQSWSSEQSVPHGFDQEWSGRLAFKWDQSRFHGLKRHGIVQDRSDSHSFELDRGCEKRFYSDDSISPNSSRFSPGPFVDSRLGERGADRSHAVSGSLSYRGDSHVDDYMEARSRPVARLLDQAKFQLTESVAEGSADMHYRKAKEQLVADFTEQTIRRAAM
+>sp|Q06330|SUH_HUMAN Recombining binding protein suppressor of hairless OS=Homo sapiens OX=9606 GN=RBPJ PE=1 SV=3
+MDHTEGSPAEEPPAHAPSPGKFGERPPPKRLTREAMRNYLKERGDQTVLILHAKVAQKSYGNEKRFFCPPPCVYLMGSGWKKKKEQMERDGCSEQESQPCAFIGIGNSDQEMQQLNLEGKNYCTAKTLYISDSDKRKHFMLSVKMFYGNSDDIGVFLSKRIKVISKPSKKKQSLKNADLCIASGTKVALFNRLRSQTVSTRYLHVEGGNFHASSQQWGAFFIHLLDDDESEGEEFTVRDGYIHYGQTVKLVCSVTGMALPRLIIRKVDKQTALLDADDPVSQLHKCAFYLKDTERMYLCLSQERIIQFQATPCPKEPNKEMINDGASWTIISTDKAEYTFYEGMGPVLAPVTPVPVVESLQLNGGGDVAMLELTGQNFTPNLRVWFGDVEAETMYRCGESMLCVVPDISAFREGWRWVRQPVQVPVTLVRNDGIIYSTSLTFTYTPEPGPRPHCSAAGAILRANSSQVPPNESNTNSEGSYTNASTNSTSVTSSTATVVS
+>DECOY_sp|Q06330|SUH_HUMAN Recombining binding protein suppressor of hairless OS=Homo sapiens OX=9606 GN=RBPJ PE=1 SV=3
+SVVTATSSTVSTSNTSANTYSGESNTNSENPPVQSSNARLIAGAASCHPRPGPEPTYTFTLSTSYIIGDNRVLTVPVQVPQRVWRWGERFASIDPVVCLMSEGCRYMTEAEVDGFWVRLNPTFNQGTLELMAVDGGGNLQLSEVVPVPTVPALVPGMGEYFTYEAKDTSIITWSAGDNIMEKNPEKPCPTAQFQIIREQSLCLYMRETDKLYFACKHLQSVPDDADLLATQKDVKRIILRPLAMGTVSCVLKVTQGYHIYGDRVTFEEGESEDDDLLHIFFAGWQQSSAHFNGGEVHLYRTSVTQSRLRNFLAVKTGSAICLDANKLSQKKKSPKSIVKIRKSLFVGIDDSNGYFMKVSLMFHKRKDSDSIYLTKATCYNKGELNLQQMEQDSNGIGIFACPQSEQESCGDREMQEKKKKWGSGMLYVCPPPCFFRKENGYSKQAVKAHLILVTQDGREKLYNRMAERTLRKPPPREGFKGPSPAHAPPEEAPSGETHDM
+>sp|Q8TC36|SUN5_HUMAN SUN domain-containing protein 5 OS=Homo sapiens OX=9606 GN=SUN5 PE=1 SV=1
+MPRSSRSPGDPGALLEDVAHNPRPRRIAQRGRNTSRMAEDTSPNMNDNILLPVRNNDQALGLTQCMLGCVSWFTCFACSLRTQAQQVLFNTCRCKLLCQKLMEKTGILLLCAFGFWMFSIHLPSKMKVWQDDSINGPLQSLRLYQEKVRHHSGEIQDLRGSMNQLIAKLQEMEAMSDEQKMAQKIMKMIHGDYIEKPDFALKSIGASIDFEHTSVTYNHEKAHSYWNWIQLWNYAQPPDVILEPNVTPGNCWAFEGDRGQVTIQLAQKVYLSNLTLQHIPKTISLSGSLDTAPKDFVIYGMEGSPKEEVFLGAFQFQPENIIQMFPLQNQPARAFSAVKVKISSNWGNPGFTCLYRVRVHGSVAPPREQPHQNPYPKRD
+>DECOY_sp|Q8TC36|SUN5_HUMAN SUN domain-containing protein 5 OS=Homo sapiens OX=9606 GN=SUN5 PE=1 SV=1
+DRKPYPNQHPQERPPAVSGHVRVRYLCTFGPNGWNSSIKVKVASFARAPQNQLPFMQIINEPQFQFAGLFVEEKPSGEMGYIVFDKPATDLSGSLSITKPIHQLTLNSLYVKQALQITVQGRDGEFAWCNGPTVNPELIVDPPQAYNWLQIWNWYSHAKEHNYTVSTHEFDISAGISKLAFDPKEIYDGHIMKMIKQAMKQEDSMAEMEQLKAILQNMSGRLDQIEGSHHRVKEQYLRLSQLPGNISDDQWVKMKSPLHISFMWFGFACLLLIGTKEMLKQCLLKCRCTNFLVQQAQTRLSCAFCTFWSVCGLMCQTLGLAQDNNRVPLLINDNMNPSTDEAMRSTNRGRQAIRRPRPNHAVDELLAGPDGPSRSSRPM
+>sp|O15260|SURF4_HUMAN Surfeit locus protein 4 OS=Homo sapiens OX=9606 GN=SURF4 PE=1 SV=3
+MGQNDLMGTAEDFADQFLRVTKQYLPHVARLCLISTFLEDGIRMWFQWSEQRDYIDTTWNCGYLLASSFVFLNLLGQLTGCVLVLSRNFVQYACFGLFGIIALQTIAYSILWDLKFLMRNLALGGGLLLLLAESRSEGKSMFAGVPTMRESSPKQYMQLGGRVLLVLMFMTLLHFDASFFSIVQNIVGTALMILVAIGFKTKLAALTLVVWLFAINVYFNAFWTIPVYKPMHDFLKYDFFQTMSVIGGLLLVVALGPGGVSMDEKKKEW
+>DECOY_sp|O15260|SURF4_HUMAN Surfeit locus protein 4 OS=Homo sapiens OX=9606 GN=SURF4 PE=1 SV=3
+WEKKKEDMSVGGPGLAVVLLLGGIVSMTQFFDYKLFDHMPKYVPITWFANFYVNIAFLWVVLTLAALKTKFGIAVLIMLATGVINQVISFFSADFHLLTMFMLVLLVRGGLQMYQKPSSERMTPVGAFMSKGESRSEALLLLLGGGLALNRMLFKLDWLISYAITQLAIIGFLGFCAYQVFNRSLVLVCGTLQGLLNLFVFSSALLYGCNWTTDIYDRQESWQFWMRIGDELFTSILCLRAVHPLYQKTVRLFQDAFDEATGMLDNQGM
+>sp|Q9UQF0|SYCY1_HUMAN Syncytin-1 OS=Homo sapiens OX=9606 GN=ERVW-1 PE=1 SV=1
+MALPYHIFLFTVLLPSFTLTAPPPCRCMTSSSPYQEFLWRMQRPGNIDAPSYRSLSKGTPTFTAHTHMPRNCYHSATLCMHANTHYWTGKMINPSCPGGLGVTVCWTYFTQTGMSDGGGVQDQAREKHVKEVISQLTRVHGTSSPYKGLDLSKLHETLRTHTRLVSLFNTTLTGLHEVSAQNPTNCWICLPLNFRPYVSIPVPEQWNNFSTEINTTSVLVGPLVSNLEITHTSNLTCVKFSNTTYTTNSQCIRWVTPPTQIVCLPSGIFFVCGTSAYRCLNGSSESMCFLSFLVPPMTIYTEQDLYSYVISKPRNKRVPILPFVIGAGVLGALGTGIGGITTSTQFYYKLSQELNGDMERVADSLVTLQDQLNSLAAVVLQNRRALDLLTAERGGTCLFLGEECCYYVNQSGIVTEKVKEIRDRIQRRAEELRNTGPWGLLSQWMPWILPFLGPLAAIILLLLFGPCIFNLLVNFVSSRIEAVKLQMEPKMQSKTKIYRRPLDRPASPRSDVNDIKGTPPEEISAAQPLLRPNSAGSS
+>DECOY_sp|Q9UQF0|SYCY1_HUMAN Syncytin-1 OS=Homo sapiens OX=9606 GN=ERVW-1 PE=1 SV=1
+SSGASNPRLLPQAASIEEPPTGKIDNVDSRPSAPRDLPRRYIKTKSQMKPEMQLKVAEIRSSVFNVLLNFICPGFLLLLIIAALPGLFPLIWPMWQSLLGWPGTNRLEEARRQIRDRIEKVKETVIGSQNVYYCCEEGLFLCTGGREATLLDLARRNQLVVAALSNLQDQLTVLSDAVREMDGNLEQSLKYYFQTSTTIGGIGTGLAGLVGAGIVFPLIPVRKNRPKSIVYSYLDQETYITMPPVLFSLFCMSESSGNLCRYASTGCVFFIGSPLCVIQTPPTVWRICQSNTTYTTNSFKVCTLNSTHTIELNSVLPGVLVSTTNIETSFNNWQEPVPISVYPRFNLPLCIWCNTPNQASVEHLGTLTTNFLSVLRTHTRLTEHLKSLDLGKYPSSTGHVRTLQSIVEKVHKERAQDQVGGGDSMGTQTFYTWCVTVGLGGPCSPNIMKGTWYHTNAHMCLTASHYCNRPMHTHATFTPTGKSLSRYSPADINGPRQMRWLFEQYPSSSTMCRCPPPATLTFSPLLVTFLFIHYPLAM
+>sp|Q6PI48|SYDM_HUMAN Aspartate--tRNA ligase, mitochondrial OS=Homo sapiens OX=9606 GN=DARS2 PE=1 SV=1
+MYFPSWLSQLYRGLSRPIRRTTQPIWGSLYRSLLQSSQRRIPEFSSFVVRTNTCGELRSSHLGQEVTLCGWIQYRRQNTFLVLRDFDGLVQVIIPQDESAASVKKILCEAPVESVVQVSGTVISRPAGQENPKMPTGEIEIKVKTAELLNACKKLPFEIKNFVKKTEALRLQYRYLDLRSFQMQYNLRLRSQMVMKMREYLCNLHGFVDIETPTLFKRTPGGAKEFLVPSREPGKFYSLPQSPQQFKQLLMVGGLDRYFQVARCYRDEGSRPDRQPEFTQIDIEMSFVDQTGIQSLIEGLLQYSWPNDKDPVVVPFPTMTFAEVLATYGTDKPDTRFGMKIIDISDVFRNTEIGFLQDALSKPHGTVKAICIPEGAKYLKRKDIESIRNFAADHFNQEILPVFLNANRNWNSPVANFIMESQRLELIRLMETQEEDVVLLTAGEHNKACSLLGKLRLECADLLETRGVVLRDPTLFSFLWVVDFPLFLPKEENPRELESAHHPFTAPHPSDIHLLYTEPKKARSQHYDLVLNGNEIGGGSIRIHNAELQRYILATLLKEDVKMLSHLLQALDYGAPPHGGIALGLDRLICLVTGSPSIRDVIAFPKSFRGHDLMSNTPDSVPPEELKPYHIRVSKPTDSKAERAH
+>DECOY_sp|Q6PI48|SYDM_HUMAN Aspartate--tRNA ligase, mitochondrial OS=Homo sapiens OX=9606 GN=DARS2 PE=1 SV=1
+HAREAKSDTPKSVRIHYPKLEEPPVSDPTNSMLDHGRFSKPFAIVDRISPSGTVLCILRDLGLAIGGHPPAGYDLAQLLHSLMKVDEKLLTALIYRQLEANHIRISGGGIENGNLVLDYHQSRAKKPETYLLHIDSPHPATFPHHASELERPNEEKPLFLPFDVVWLFSFLTPDRLVVGRTELLDACELRLKGLLSCAKNHEGATLLVVDEEQTEMLRILELRQSEMIFNAVPSNWNRNANLFVPLIEQNFHDAAFNRISEIDKRKLYKAGEPICIAKVTGHPKSLADQLFGIETNRFVDSIDIIKMGFRTDPKDTGYTALVEAFTMTPFPVVVPDKDNPWSYQLLGEILSQIGTQDVFSMEIDIQTFEPQRDPRSGEDRYCRAVQFYRDLGGVMLLQKFQQPSQPLSYFKGPERSPVLFEKAGGPTRKFLTPTEIDVFGHLNCLYERMKMVMQSRLRLNYQMQFSRLDLYRYQLRLAETKKVFNKIEFPLKKCANLLEATKVKIEIEGTPMKPNEQGAPRSIVTGSVQVVSEVPAECLIKKVSAASEDQPIIVQVLGDFDRLVLFTNQRRYQIWGCLTVEQGLHSSRLEGCTNTRVVFSSFEPIRRQSSQLLSRYLSGWIPQTTRRIPRSLGRYLQSLWSPFYM
+>sp|P07814|SYEP_HUMAN Bifunctional glutamate/proline--tRNA ligase OS=Homo sapiens OX=9606 GN=EPRS PE=1 SV=5
+MATLSLTVNSGDPPLGALLAVEHVKDDVSISVEEGKENILHVSENVIFTDVNSILRYLARVATTAGLYGSNLMEHTEIDHWLEFSATKLSSCDSFTSTINELNHCLSLRTYLVGNSLSLADLCVWATLKGNAAWQEQLKQKKAPVHVKRWFGFLEAQQAFQSVGTKWDVSTTKARVAPEKKQDVGKFVELPGAEMGKVTVRFPPEASGYLHIGHAKAALLNQHYQVNFKGKLIMRFDDTNPEKEKEDFEKVILEDVAMLHIKPDQFTYTSDHFETIMKYAEKLIQEGKAYVDDTPAEQMKAEREQRIDSKHRKNPIEKNLQMWEEMKKGSQFGQSCCLRAKIDMSSNNGCMRDPTLYRCKIQPHPRTGNKYNVYPTYDFACPIVDSIEGVTHALRTTEYHDRDEQFYWIIEALGIRKPYIWEYSRLNLNNTVLSKRKLTWFVNEGLVDGWDDPRFPTVRGVLRRGMTVEGLKQFIAAQGSSRSVVNMEWDKIWAFNKKVIDPVAPRYVALLKKEVIPVNVPEAQEEMKEVAKHPKNPEVGLKPVWYSPKVFIEGADAETFSEGEMVTFINWGNLNITKIHKNADGKIISLDAKLNLENKDYKKTTKVTWLAETTHALPIPVICVTYEHLITKPVLGKDEDFKQYVNKNSKHEELMLGDPCLKDLKKGDIIQLQRRGFFICDQPYEPVSPYSCKEAPCVLIYIPDGHTKEMPTSGSKEKTKVEATKNETSAPFKERPTPSLNNNCTTSEDSLVLYNRVAVQGDVVRELKAKKAPKEDVDAAVKQLLSLKAEYKEKTGQEYKPGNPPAEIGQNISSNSSASILESKSLYDEVAAQGEVVRKLKAEKSPKAKINEAVECLLSLKAQYKEKTGKEYIPGQPPLSQSSDSSPTRNSEPAGLETPEAKVLFDKVASQGEVVRKLKTEKAPKDQVDIAVQELLQLKAQYKSLIGVEYKPVSATGAEDKDKKKKEKENKSEKQNKPQKQNDGQRKDPSKNQGGGLSSSGAGEGQGPKKQTRLGLEAKKEENLADWYSQVITKSEMIEYHDISGCYILRPWAYAIWEAIKDFFDAEIKKLGVENCYFPMFVSQSALEKEKTHVADFAPEVAWVTRSGKTELAEPIAIRPTSETVMYPAYAKWVQSHRDLPIKLNQWCNVVRWEFKHPQPFLRTREFLWQEGHSAFATMEEAAEEVLQILDLYAQVYEELLAIPVVKGRKTEKEKFAGGDYTTTIEAFISASGRAIQGGTSHHLGQNFSKMFEIVFEDPKIPGEKQFAYQNSWGLTTRTIGVMTMVHGDNMGLVLPPRVACVQVVIIPCGITNALSEEDKEALIAKCNDYRRRLLSVNIRVRADLRDNYSPGWKFNHWELKGVPIRLEVGPRDMKSCQFVAVRRDTGEKLTVAENEAETKLQAILEDIQVTLFTRASEDLKTHMVVANTMEDFQKILDSGKIVQIPFCGEIDCEDWIKKTTARDQDLEPGAPSMGAKSLCIPFKPLCELQPGAKCVCGKNPAKYYTLFGRSY
+>DECOY_sp|P07814|SYEP_HUMAN Bifunctional glutamate/proline--tRNA ligase OS=Homo sapiens OX=9606 GN=EPRS PE=1 SV=5
+YSRGFLTYYKAPNKGCVCKAGPQLECLPKFPICLSKAGMSPAGPELDQDRATTKKIWDECDIEGCFPIQVIKGSDLIKQFDEMTNAVVMHTKLDESARTFLTVQIDELIAQLKTEAENEAVTLKEGTDRRVAVFQCSKMDRPGVELRIPVGKLEWHNFKWGPSYNDRLDARVRINVSLLRRRYDNCKAILAEKDEESLANTIGCPIIVVQVCAVRPPLVLGMNDGHVMTMVGITRTTLGWSNQYAFQKEGPIKPDEFVIEFMKSFNQGLHHSTGGQIARGSASIFAEITTTYDGGAFKEKETKRGKVVPIALLEEYVQAYLDLIQLVEEAAEEMTAFASHGEQWLFERTRLFPQPHKFEWRVVNCWQNLKIPLDRHSQVWKAYAPYMVTESTPRIAIPEALETKGSRTVWAVEPAFDAVHTKEKELASQSVFMPFYCNEVGLKKIEADFFDKIAEWIAYAWPRLIYCGSIDHYEIMESKTIVQSYWDALNEEKKAELGLRTQKKPGQGEGAGSSSLGGGQNKSPDKRQGDNQKQPKNQKESKNEKEKKKKDKDEAGTASVPKYEVGILSKYQAKLQLLEQVAIDVQDKPAKETKLKRVVEGQSAVKDFLVKAEPTELGAPESNRTPSSDSSQSLPPQGPIYEKGTKEKYQAKLSLLCEVAENIKAKPSKEAKLKRVVEGQAAVEDYLSKSELISASSNSSINQGIEAPPNGPKYEQGTKEKYEAKLSLLQKVAADVDEKPAKKAKLERVVDGQVAVRNYLVLSDESTTCNNNLSPTPREKFPASTENKTAEVKTKEKSGSTPMEKTHGDPIYILVCPAEKCSYPSVPEYPQDCIFFGRRQLQIIDGKKLDKLCPDGLMLEEHKSNKNVYQKFDEDKGLVPKTILHEYTVCIVPIPLAHTTEALWTVKTTKKYDKNELNLKADLSIIKGDANKHIKTINLNGWNIFTVMEGESFTEADAGEIFVKPSYWVPKLGVEPNKPHKAVEKMEEQAEPVNVPIVEKKLLAVYRPAVPDIVKKNFAWIKDWEMNVVSRSSGQAAIFQKLGEVTMGRRLVGRVTPFRPDDWGDVLGENVFWTLKRKSLVTNNLNLRSYEWIYPKRIGLAEIIWYFQEDRDHYETTRLAHTVGEISDVIPCAFDYTPYVNYKNGTRPHPQIKCRYLTPDRMCGNNSSMDIKARLCCSQGFQSGKKMEEWMQLNKEIPNKRHKSDIRQEREAKMQEAPTDDVYAKGEQILKEAYKMITEFHDSTYTFQDPKIHLMAVDELIVKEFDEKEKEPNTDDFRMILKGKFNVQYHQNLLAAKAHGIHLYGSAEPPFRVTVKGMEAGPLEVFKGVDQKKEPAVRAKTTSVDWKTGVSQFAQQAELFGFWRKVHVPAKKQKLQEQWAANGKLTAWVCLDALSLSNGVLYTRLSLCHNLENITSTFSDCSSLKTASFELWHDIETHEMLNSGYLGATTAVRALYRLISNVDTFIVNESVHLINEKGEEVSISVDDKVHEVALLAGLPPDGSNVTLSLTAM
+>sp|Q9BRV3|SWET1_HUMAN Sugar transporter SWEET1 OS=Homo sapiens OX=9606 GN=SLC50A1 PE=2 SV=1
+MEAGGFLDSLIYGACVVFTLGMFSAGLSDLRHMRMTRSVDNVQFLPFLTTEVNNLGWLSYGALKGDGILIVVNTVGAALQTLYILAYLHYCPRKRVVLLQTATLLGVLLLGYGYFWLLVPNPEARLQQLGLFCSVFTISMYLSPLADLAKVIQTKSTQCLSYPLTIATLLTSASWCLYGFRLRDPYIMVSNFPGIVTSFIRFWLFWKYPQEQDRNYWLLQT
+>DECOY_sp|Q9BRV3|SWET1_HUMAN Sugar transporter SWEET1 OS=Homo sapiens OX=9606 GN=SLC50A1 PE=2 SV=1
+TQLLWYNRDQEQPYKWFLWFRIFSTVIGPFNSVMIYPDRLRFGYLCWSASTLLTAITLPYSLCQTSKTQIVKALDALPSLYMSITFVSCFLGLQQLRAEPNPVLLWFYGYGLLLVGLLTATQLLVVRKRPCYHLYALIYLTQLAAGVTNVVILIGDGKLAGYSLWGLNNVETTLFPLFQVNDVSRTMRMHRLDSLGASFMGLTFVVCAGYILSDLFGGAEM
+>sp|Q1ZZU3|SWI5_HUMAN DNA repair protein SWI5 homolog OS=Homo sapiens OX=9606 GN=SWI5 PE=1 SV=1
+MQRRGQRDLWRHNKSCARNRCPRPPRERGGAGFPWVRAQLSVRQFTLRVRVPGPVHLRGRSPTPALDPLAPLNPLIRGPRTPGLRRWIQSLALLLPNCSSSRIPTVPRPHSGLWVQSDFPLGFLSRTEPRLTRSCRGAFRSPRPLPKSGQADGTSEESLHLDIQKLKEKRDMLDKEISQFVSEGYSVDELEDHITQLHEYNDIKDVGQMLMGKLAVIRGVTTKELYPEFGLDMND
+>DECOY_sp|Q1ZZU3|SWI5_HUMAN DNA repair protein SWI5 homolog OS=Homo sapiens OX=9606 GN=SWI5 PE=1 SV=1
+DNMDLGFEPYLEKTTVGRIVALKGMLMQGVDKIDNYEHLQTIHDELEDVSYGESVFQSIEKDLMDRKEKLKQIDLHLSEESTGDAQGSKPLPRPSRFAGRCSRTLRPETRSLFGLPFDSQVWLGSHPRPVTPIRSSSCNPLLLALSQIWRRLGPTRPGRILPNLPALPDLAPTPSRGRLHVPGPVRVRLTFQRVSLQARVWPFGAGGRERPPRPCRNRACSKNHRWLDRQGRRQM
+>sp|A6NDD5|SYN1L_HUMAN Synapse differentiation-inducing gene protein 1-like OS=Homo sapiens OX=9606 GN=SYNDIG1L PE=3 SV=1
+MESLSELQNPLLPRSPAHLHGPYPYPETPPSWSCQEKLYSYLLGGAGPAGAHQLLDPGSLQLAVEAWYRPSCLLGRDKVKEPRAGSCETSFTEDREPQEGPPEQPTGPGQAAENVTIQTVSYGVQEELRDQEDDQEEEESDATSTESESEDNFLTLPPRDHLGLTLFSMLCCFWPLGIAAFYFSQGTSKAISKGDFRLASTTSRRALFLATLAIAVGAGLYVAVVVALAAYMSQNGHG
+>DECOY_sp|A6NDD5|SYN1L_HUMAN Synapse differentiation-inducing gene protein 1-like OS=Homo sapiens OX=9606 GN=SYNDIG1L PE=3 SV=1
+GHGNQSMYAALAVVVAVYLGAGVAIALTALFLARRSTTSALRFDGKSIAKSTGQSFYFAAIGLPWFCCLMSFLTLGLHDRPPLTLFNDESESETSTADSEEEEQDDEQDRLEEQVGYSVTQITVNEAAQGPGTPQEPPGEQPERDETFSTECSGARPEKVKDRGLLCSPRYWAEVALQLSGPDLLQHAGAPGAGGLLYSYLKEQCSWSPPTEPYPYPGHLHAPSRPLLPNQLESLSEM
+>sp|P17600|SYN1_HUMAN Synapsin-1 OS=Homo sapiens OX=9606 GN=SYN1 PE=1 SV=3
+MNYLRRRLSDSNFMANLPNGYMTDLQRPQPPPPPPGAHSPGATPGPGTATAERSSGVAPAASPAAPSPGSSGGGGFFSSLSNAVKQTTAAAAATFSEQVGGGSGGAGRGGAASRVLLVIDEPHTDWAKYFKGKKIHGEIDIKVEQAEFSDLNLVAHANGGFSVDMEVLRNGVKVVRSLKPDFVLIRQHAFSMARNGDYRSLVIGLQYAGIPSVNSLHSVYNFCDKPWVFAQMVRLHKKLGTEEFPLIDQTFYPNHKEMLSSTTYPVVVKMGHAHSGMGKVKVDNQHDFQDIASVVALTKTYATAEPFIDAKYDVRVQKIGQNYKAYMRTSVSGNWKTNTGSAMLEQIAMSDRYKLWVDTCSEIFGGLDICAVEALHGKDGRDHIIEVVGSSMPLIGDHQDEDKQLIVELVVNKMAQALPRQRQRDASPGRGSHGQTPSPGALPLGRQTSQQPAGPPAQQRPPPQGGPPQPGPGPQRQGPPLQQRPPPQGQQHLSGLGPPAGSPLPQRLPSPTSAPQQPASQAAPPTQGQGRQSRPVAGGPGAPPAARPPASPSPQRQAGPPQATRQTSVSGPAPPKASGAPPGGQQRQGPPQKPPGPAGPTRQASQAGPVPRTGPPTTQQPRPSGPGPAGRPKPQLAQKPSQDVPPPATAAAGGPPHPQLNKSQSLTNAFNLPEPAPPRPSLSQDEVKAETIRSLRKSFASLFSD
+>DECOY_sp|P17600|SYN1_HUMAN Synapsin-1 OS=Homo sapiens OX=9606 GN=SYN1 PE=1 SV=3
+DSFLSAFSKRLSRITEAKVEDQSLSPRPPAPEPLNFANTLSQSKNLQPHPPGGAAATAPPPVDQSPKQALQPKPRGAPGPGSPRPQQTTPPGTRPVPGAQSAQRTPGAPGPPKQPPGQRQQGGPPAGSAKPPAPGSVSTQRTAQPPGAQRQPSPSAPPRAAPPAGPGGAVPRSQRGQGQTPPAAQSAPQQPASTPSPLRQPLPSGAPPGLGSLHQQGQPPPRQQLPPGQRQPGPGPQPPGGQPPPRQQAPPGAPQQSTQRGLPLAGPSPTQGHSGRGPSADRQRQRPLAQAMKNVVLEVILQKDEDQHDGILPMSSGVVEIIHDRGDKGHLAEVACIDLGGFIESCTDVWLKYRDSMAIQELMASGTNTKWNGSVSTRMYAKYNQGIKQVRVDYKADIFPEATAYTKTLAVVSAIDQFDHQNDVKVKGMGSHAHGMKVVVPYTTSSLMEKHNPYFTQDILPFEETGLKKHLRVMQAFVWPKDCFNYVSHLSNVSPIGAYQLGIVLSRYDGNRAMSFAHQRILVFDPKLSRVVKVGNRLVEMDVSFGGNAHAVLNLDSFEAQEVKIDIEGHIKKGKFYKAWDTHPEDIVLLVRSAAGGRGAGGSGGGVQESFTAAAAATTQKVANSLSSFFGGGGSSGPSPAAPSAAPAVGSSREATATGPGPTAGPSHAGPPPPPPQPRQLDTMYGNPLNAMFNSDSLRRRLYNM
+>sp|Q92777|SYN2_HUMAN Synapsin-2 OS=Homo sapiens OX=9606 GN=SYN2 PE=2 SV=4
+MMNFLRRRLSDSSFIANLPNGYMTDLQRPEPQQPPPPPPPGPGAASASAAPPTASPGPERRPPPASAPAPQPAPTPSVGSSFFSSLSQAVKQTAASAGLVDAPAPAPAAARKAKVLLVVDEPHADWAKCFRGKKVLGDYDIKVEQAEFSELNLVAHADGTYAVDMQVLRNGTKVVRSFRPDFVLIRQHAFGMAENEDFRHLIIGMQYAGLPSINSLESIYNFCDKPWVFAQLVAIYKTLGGEKFPLIEQTYYPNHKEMLTLPTFPVVVKIGHAHSGMGKVKVENHYDFQDIASVVALTQTYATAEPFIDSKYDIRVQKIGNNYKAYMRTSISGNWKTNTGSAMLEQIAMSDRYKLWVDTCSEMFGGLDICAVKAVHGKDGKDYIFEVMDCSMPLIGEHQVEDRQLITELVISKMNQLLSRTPALSPQRPLTTQQPQSGTLKDPDSSKTPPQRPPPQGGPGQPQGMQPPGKVLPPRRLPPGPSLPPSSSSSSSSSSSAPQRPGGPTTHGDAPSSSSSLAEAQPPLAAPPQKPQPHPQLNKSQSLTNAFSFSESSFFRSSANEDEAKAETIRSLRKSFASLFSD
+>DECOY_sp|Q92777|SYN2_HUMAN Synapsin-2 OS=Homo sapiens OX=9606 GN=SYN2 PE=2 SV=4
+DSFLSAFSKRLSRITEAKAEDENASSRFFSSESFSFANTLSQSKNLQPHPQPKQPPAALPPQAEALSSSSSPADGHTTPGGPRQPASSSSSSSSSSSPPLSPGPPLRRPPLVKGPPQMGQPQGPGGQPPPRQPPTKSSDPDKLTGSQPQQTTLPRQPSLAPTRSLLQNMKSIVLETILQRDEVQHEGILPMSCDMVEFIYDKGDKGHVAKVACIDLGGFMESCTDVWLKYRDSMAIQELMASGTNTKWNGSISTRMYAKYNNGIKQVRIDYKSDIFPEATAYTQTLAVVSAIDQFDYHNEVKVKGMGSHAHGIKVVVPFTPLTLMEKHNPYYTQEILPFKEGGLTKYIAVLQAFVWPKDCFNYISELSNISPLGAYQMGIILHRFDENEAMGFAHQRILVFDPRFSRVVKTGNRLVQMDVAYTGDAHAVLNLESFEAQEVKIDYDGLVKKGRFCKAWDAHPEDVVLLVKAKRAAAPAPAPADVLGASAATQKVAQSLSSFFSSGVSPTPAPQPAPASAPPPRREPGPSATPPAASASAAGPGPPPPPPPQQPEPRQLDTMYGNPLNAIFSSDSLRRRLFNMM
+>sp|Q8WXH0|SYNE2_HUMAN Nesprin-2 OS=Homo sapiens OX=9606 GN=SYNE2 PE=1 SV=3
+MASSPELPTEDEQGSWGIDDLHISLQAEQEDTQKKAFTCWINSQLARHTSPSVISDLFTDIKKGHVLLDLLEVLSGQQLPRDKGSNTFQCRINIEHALTFLRNRSIKLINIHVTDIIDGNPSIILGLIWTIILHFHIEKLAQTLSCNYNQPSLDDVSVVDSSPASSPPAKKCSKVQARWQMSARKALLLWAQEQCATYESVNVTDFKSSWRNGMAFLAIIHALRPDLIDMKSVKHRSNKDNLREAFRIAEQELKIPRLLEPEDVDVVDPDEKSIMTYVAQFLQYSKDAPGTGEEAQGKVKDAMGWLTLQKEKLQKLLKDSENDTYFKKYNSLLSFMESFNEEKKSFLDVLSIKRDLDELDKDHLQLREAWDGLDHQINAWKIKLNYALPPPLHQTEAWLQEVEELMDEDLSASQDHSQAVTLIQEKMTLFKSLMDRFEHHSNILLTFENKDENHLPLVPPNKLEEMKRRINNILEKKFILLLEFHYYKCLVLGLVDEVKSKLDIWNIKYGSRESVELLLEDWHKFIEEKEFLARLDTSFQKCGEIYKNLAGECQNINKQYMMVKSDVCMYRKNIYNVKSTLQKVLACWATYVENLRLLRACFEETKKEEIKEVPFETLAQWNLEHATLNEAGNFLVEVSNDVVGSSISKELRRLNKRWRKLVSKTQLEMNLPLMIKKQDQPTFDNSGNILSKEEKATVEFSTDMSVELPENYNQNIKAGEKHEKENEEFTGQLKVAKDVEKLIGQVEIWEAEAKSVLDQDDVDTSMEESLKHLIAKGSMFDELMARSEDMLQMDIQNISSQESFQHVLTTGLQAKIQEAKEKVQINVVKLIAALKNLTDVSPDLDIRLKMEESQKELESYMMRAQQLLGQRESPGELISKHKEALIISNTKSLAKYLKAVEELKNNVTEDIKMSLEEKSRDVCAKWESLHHELSLYVQQLKIDIEKGKLSDNILKLEKQINKEKKLIRRGRTKGLIKEHEACFSEEGCLYQLNHHMEVLRELCEELPSQKSQQEVKRLLKDYEQKIERLLKCASEIHMTLQPTAGGTSKNEGTITTSENRGGDPHSEAPFAKSDNQPSTEKAMEPTMKFSLASVLRPLQEESIMEKDYSASINSLLERYDTYRDILEHHLQNNKFRITSDFSSEEDRSSSCLQAKLTDLQVIKNETDARWKEFEIISLKLENHVNDIKKPFVIKERDTLKERERELQMTLNTRMESLETALRLVLPVEKASLLLCGSDLPLHKMAIQGFHLIDADRIYQHLRNIQDSIAKQIEICNRLEEPGNFVLKELHPFDLHAMQNIILKYKTQFEGMNHRVQRSEDTLKALEDFLASLRTAKLSAEPVTDLSASDTQVAQENTLTVKNKEGEIHLMKDKAKHLDKCLKMLDMSFKDAERGDDTSCENLLDAFSIKLSETHGYGVQEEFTEENKLLEACIFKNNELLKNIQDVQSQISKIGLKDPTVPAVKHRKKSLIRLDKVLDEYEEEKRHLQEMANSLPHFKDGREKTVNQQCQNTVVLWENTKALVTECLEQCGRVLELLKQYQNFKSILTTLIQKEESVISLQASYMGKENLKKRIAEIEIVKEEFNEHLEVVDKINQVCKNLQFYLNKMKTFEEPPFEKEANIIVDRWLDINEKTEDYYENLGRALALWDKLFNLKNVIDEWTEKALQKMELHQLTEEDRERLKEELQVHEQKTSEFSRRVAEIQFLLQSSEIPLELQVMESSILNKMEHVQKCLTGESNCHALSGSTAELREDLDQAKTQIGMTESLLKALSPSDSLEIFTKLEEIQQQILQQKHSMILLENQIGCLTPELSELKKQYESVSDLFNTKKSVLQDHFSKLLNDQCKNFNDWFSNIKVNLKECFESSETKKSVEQKLQKLSDFLTLEGRNSKIKQVDSVLKHVKKHLPKAHVKELISWLVGQEFELEKMESICQARAKELEDSLQQLLRLQDDHRNLRKWLTNQEEKWKGMEEPGEKTELFCQALARKREQFESVAQLNNSLKEYGFTEEEEIIMEATCLMDRYQTLLRQLSEIEEEDKLLPTEDQSFNDLAHDVIHWIKEIKESLMVLNSSEGKMPLEERIQKIKEIILLKPEGDARIETIMKQAESSEAPLVQKTLTDISNQWDNTLHLASTYLSHQEKLLLEGEKYLQSKEDLRLMLIELKKKQEAGFALQHGLQEKKAQLKIYKKFLKKAQDLTSLLKELKSQGNYLLECTKNPSFSEEPWLEIKHLHESLLQQLQDSVQNLDGHVREHDSYQVCVTDLNTTLDNFSKEFVSFSDKPVDQIAVEEKLQKLQELENRLSLQDGTLKKILALAKSVKQNTSSVGQKIIKDDIKSLQCKQKDLENRLASAKQEMECCLNSILKSKRSTEKKGKFTLPGREKQATSDVQESTQESAAVEKLEEDWEINKDSAVEMAMSKQLSLNAQESMKNTEDERKVNELQNQPLELDTMLRNEQLEEIEKLYTQLEAKKAAIKPLEQTECLNKTETGALVLHNIGYSAQHLDNLLQALITLKKNKESQYCVLRDFQEYLAAVESSMKALLTDKESLKVGPLDSVTYLDKIKKFIASIEKEKDSLGNLKIKWENLSNHVTDMDKKLLESQIKQLEHGWEQVEQQIQKKYSQQVVEYDEFTTLMNKVQDTEISLQQQQQHLQLRLKSPEERAGNQSMIALTTDLQATKHGFSVLKGQAELQMKRIWGEKEKKNLEDGINNLKKQWETLEPLHLEAENQIKKCDIRNKMKETILWAKNLLGELNPSIPLLPDDILSQIRKCKVTHDGILARQQSVESLAEEVKDKVPSLTTYEGSDLNNTLEDLRNQYQMLVLKSTQRSQQLEFKLEERSNFFAIIRKFQLMVQESETLIIPRVETAATEAELKHHHVTLEASQKELQEIDSGISTHLQELTNIYEELNVFERLFLEDQLKNLKIRTNRIQRFIQNTCNEVEHKIKFCRQFHEKTSALQEEADSIQRNELLLNQEVNKGVKEEIYNLKDRLTAIKCCILQVLKLKKVFDYIGLNWDFSQLDQLQTQVFEKEKELEEKIKQLDTFEEEHGKYQALLSKMRAIDLQIKKMTEVVLKAPDSSPESRRLNAQILSQRIEKAKCLCDEIIKKLNENKTFDDSFKEKEILQIKLNAEENDKLYKVLQNMVLELSPKELDEKNCQDKLETSLHVLNQIKSQLQQPLLINLEIKHIQNEKDNCEAFQEQVWAEMCSIKAVTAIEKQREENSSEASDVETKLREFEDLQMQLNTSIDLRTNVLNDAYENLTRYKEAVTRAVESITSLEAIIIPYRVDVGNPEESLEMPLRKQEELESTVAHIQDLTEKLGMISSPEAKLQLQYTLQELVSKNSAMKEAFKAQETEAERYLENYKCYRKMEEDIYTNLSKMETVLGQSMSSLPLSYREALERLEQSKALVSNLISTKEELMKLRQILRLLRLRCTENDGICLLKIVSALWEKWLSLLEAAKEWEMWCEELKQEWKFVSEEIEREAIILDNLQEELPEISKTKEAATTEELSELLDCLCQYGENVEKQQLLLTLLLQRIRSIQNVPESSGAVETVPAFQEITSMKERCNKLLQKVQKNKELVQTEIQERHSFTKEIIALKNFFQQTTTSFQNMAFQDHPEKSEQFEELQSILKKGKLTFENIMEKLRIKYSEMYTIVPAEIESQVEECRKALEDIDEKISNEVLKSSPSYAMRRKIEEINNGLHNVEKMLQQKSKNIEKAQEIQKKMWDELDLWHSKLNELDSEVQDIVEQDPGQAQEWMDNLMIPFQQYQQVSQRAECRTSQLNKATVKMEEYSDLLKSTEAWIENTSHLLANPADYDSLRTLSHHASTVQMALEDSEQKHNLLHSIFMDLEDLSIIFETDELTQSIQELSNQVTALQQKIMESLPQIQRMADDVVAIESEVKSMEKRVSKIKTILLSKEIFDFSPEEHLKHGEVILENIRPMKKTIAEIVSYQVELRLPQTGMKPLPVFQRTNQLLQDIKLLENVTQEQNELLKVVIKQTNEWDEEIENLKQILNNYSAQFSLEHMSPDQADKLPQLQGEIERMEKQILSLNQRKEDLLVDLKATVLNLHQHLKQEQEGVERDRLPAVTSEEGGVAERDASERKLNRRGSMSYLAAVEEEVEESSVKSDNGDEKAEPSPQSWSSLWKHDKDMEEDRASSSSGTIVQEAYGKISTSDNSMAQILTPDSLNTEQGPECSLRPNQTEEGTTPPIEADTLDSSDAQGGLEPRVEKTRPEPTEVLHACKTQVAELELWLQQANVAVEPETLNADMQQVLEQQLVGCQAMLTEIEHKVAFLLETCKDQGLGDNGATQHEAEALSLKLKTVKCNLEKVQMMLQEKHSEDQHPTILKKSSEPEHQEALQPVNLSELESIVTERPQFSRQKDFQQQQVLELKPMEQKDFIKFIEFNAKKMWPQYCQHDNDTTQESSASNQASSPENDVPDSILSPQGQNGDKWQYLHHELSSKIKLPLPQLVEPQVSTNMGILPSVTMYNFRYPTTEELKTYTTQLEDLRQEASNLQTQENMTEEAYINLDKKLFELFLTLSQCLSSVEEMLEMPRLYREDGSGQQVHYETLALELKKLYLALSDKKGDLLKAMTWPGENTNLLLECFDNLQVCLEHTQAAAVCRSKSLKAGLDYNRSYQNEIKRLYHQLIKSKTSLQQSLNEISGQSVAEQLQKADAYTVELENAESRVAKLRDEGERLHLPYALLQEVYKLEDVLDSMWGMLRARYTELSSPFVTESQQDALLQGMVELVKIGKEKLAHGHLKQTKSKVALQAQIENHKVFFQKLVADMLLIQAYSAKILPSLLQNRETFWAEQVTEVKILEEKSRQCGMKLQSLLQKWEEFDENYASLEKDLEILISTLPSVSLVEETEERLVERISFYQQIKRNIGGKHARLYQTLNEGKQLVASVSCPELEGQIAKLEEQWLSLNKKIDHELHRLQALLKHLLSYNRDSDQLTKWLESSQHTLNYWKEQSLNVSQDLDTIRSNINNFFEFSKEVDEKSSLKTAVISIGNQLLHLKETDTATLRASLAQFEQKWTMLITQLPDIQEKLHQLQMEKLPSRKAITEMISWMNNVEHQTSDEDSVHSPSSASQVKHLLQKHKEFRMEMDYKQWIVDFVNQSLLQLSTCDVESKRYERTEFAEHLGEMNRQWHRVHGMLNRKIQHLEQLLESITESENKIQILNNWLEAQEERLKTLQKPESVISVQKLLLDCQDIENQLAIKSKALDELKQSYLTLESGAVPLLEDTASRIDELFQKRSSVLTQVNQLKTSMQSVLQEWKIYDQLYDEVNMMTIRFWYCMEHSKPVVLSLETLRCQVENLQSLQDEAESSEGSWEKLQEVIGKLKGLCPSVAEIIEEKCQNTHKRWTQVNQAIADQLQKAQSLLQLWKAYSNAHGEAAARLKQQEAKFQQLANISMSGNNLAEILPPALQDIKELQHDVQKTKEAFLQNSSVLDRLPQPAESSTHMLLPGPLHSLQRAAYLEKMLLVKANEFEFVLSQFKDFGVRLESLKGLIMHEEENLDRLHQQEKENPDSFLNHVLALTAQSPDIEHLNEVSLKLPLSDVAVKTLQNMNRQWIRATATALERCSELQGIGLNEKFLYCCEKWIQLLEKIEEALKVDVANSLPELLEQQKTYKMLEAEVSINQTIADSYVTQSLQLLDTTEIENRPEFITEFSKLTDRWQNAVQGVRQRKGDVDGLVRQWQDFTTSVENLFRFLTDTSHLLSAVKGQERFSLYQTRSLIHELKNKEIHFQRRRTTCALTLEAGEKLLLTTDLKTKESVGRRISQLQDSWKDMEPQLAEMIKQFQSTVETWDQCEKKIKELKSRLQVLKAQSEDPLPELHEDLHNEKELIKELEQSLASWTQNLKELQTMKADLTRHVLVEDVMVLKEQIEHLHRQWEDLCLRVAIRKQEIEDRLNTWVVFNEKNKELCAWLVQMENKVLQTADISIEEMIEKLQKDCMEEINLFSENKLQLKQMGDQLIKASNKSRAAEIDDKLNKINDRWQHLFDVIGSRVKKLKETFAFIQQLDKNMSNLRTWLARIESELSKPVVYDVCDDQEIQKRLAEQQDLQRDIEQHSAGVESVFNICDVLLHDSDACANETECDSIQQTTRSLDRRWRNICAMSMERRMKIEETWRLWQKFLDDYSRFEDWLKSAERTAACPNSSEVLYTSAKEELKRFEAFQRQIHERLTQLELINKQYRRLARENRTDTASRLKQMVHEGNQRWDNLQRRVTAVLRRLRHFTNQREEFEGTRESILVWLTEMDLQLTNVEHFSESDADDKMRQLNGFQQEITLNTNKIDQLIVFGEQLIQKSEPLDAVLIEDELEELHRYCQEVFGRVSRFHRRLTSCTPGLEDEKEASENETDMEDPREIQTDSWRKRGESEEPSSPQSLCHLVAPGHERSGCETPVSVDSIPLEWDHTGDVGGSSSHEEDEEGPYYSALSGKSISDGHSWHVPDSPSCPEHHYKQMEGDRNVPPVPPASSTPYKPPYGKLLLPPGTDGGKEGPRVLNGNPQQEDGGLAGITEQQSGAFDRWEMIQAQELHNKLKIKQNLQQLNSDISAITTWLKKTEAELEMLKMAKPPSDIQEIELRVKRLQEILKAFDTYKALVVSVNVSSKEFLQTESPESTELQSRLRQLSLLWEAAQGAVDSWRGGLRQSLMQCQDFHQLSQNLLLWLASAKNRRQKAHVTDPKADPRALLECRRELMQLEKELVERQPQVDMLQEISNSLLIKGHGEDCIEAEEKVHVIEKKLKQLREQVSQDLMALQGTQNPASPLPSFDEVDSGDQPPATSVPAPRAKQFRAVRTTEGEEETESRVPGSTRPQRSFLSRVVRAALPLQLLLLLLLLLACLLPSSEEDYSCTQANNFARSFYPMLRYTNGPPPT
+>DECOY_sp|Q8WXH0|SYNE2_HUMAN Nesprin-2 OS=Homo sapiens OX=9606 GN=SYNE2 PE=1 SV=3
+TPPPGNTYRLMPYFSRAFNNAQTCSYDEESSPLLCALLLLLLLLLQLPLAARVVRSLFSRQPRTSGPVRSETEEEGETTRVARFQKARPAPVSTAPPQDGSDVEDFSPLPSAPNQTGQLAMLDQSVQERLQKLKKEIVHVKEEAEICDEGHGKILLSNSIEQLMDVQPQREVLEKELQMLERRCELLARPDAKPDTVHAKQRRNKASALWLLLNQSLQHFDQCQMLSQRLGGRWSDVAGQAAEWLLSLQRLRSQLETSEPSETQLFEKSSVNVSVVLAKYTDFAKLIEQLRKVRLEIEQIDSPPKAMKLMELEAETKKLWTTIASIDSNLQQLNQKIKLKNHLEQAQIMEWRDFAGSQQETIGALGGDEQQPNGNLVRPGEKGGDTGPPLLLKGYPPKYPTSSAPPVPPVNRDGEMQKYHHEPCSPSDPVHWSHGDSISKGSLASYYPGEEDEEHSSSGGVDGTHDWELPISDVSVPTECGSREHGPAVLHCLSQPSSPEESEGRKRWSDTQIERPDEMDTENESAEKEDELGPTCSTLRRHFRSVRGFVEQCYRHLEELEDEILVADLPESKQILQEGFVILQDIKNTNLTIEQQFGNLQRMKDDADSESFHEVNTLQLDMETLWVLISERTGEFEERQNTFHRLRRLVATVRRQLNDWRQNGEHVMQKLRSATDTRNERALRRYQKNILELQTLREHIQRQFAEFRKLEEKASTYLVESSNPCAATREASKLWDEFRSYDDLFKQWLRWTEEIKMRREMSMACINRWRRDLSRTTQQISDCETENACADSDHLLVDCINFVSEVGASHQEIDRQLDQQEALRKQIEQDDCVDYVVPKSLESEIRALWTRLNSMNKDLQQIFAFTEKLKKVRSGIVDFLHQWRDNIKNLKDDIEAARSKNSAKILQDGMQKLQLKNESFLNIEEMCDKQLKEIMEEISIDATQLVKNEMQVLWACLEKNKENFVVWTNLRDEIEQKRIAVRLCLDEWQRHLHEIQEKLVMVDEVLVHRTLDAKMTQLEKLNQTWSALSQELEKILEKENHLDEHLEPLPDESQAKLVQLRSKLEKIKKECQDWTEVTSQFQKIMEALQPEMDKWSDQLQSIRRGVSEKTKLDTTLLLKEGAELTLACTTRRRQFHIEKNKLEHILSRTQYLSFREQGKVASLLHSTDTLFRFLNEVSTTFDQWQRVLGDVDGKRQRVGQVANQWRDTLKSFETIFEPRNEIETTDLLQLSQTVYSDAITQNISVEAELMKYTKQQELLEPLSNAVDVKLAEEIKELLQIWKECCYLFKENLGIGQLESCRELATATARIWQRNMNQLTKVAVDSLPLKLSVENLHEIDPSQATLALVHNLFSDPNEKEQQHLRDLNEEEHMILGKLSELRVGFDKFQSLVFEFENAKVLLMKELYAARQLSHLPGPLLMHTSSEAPQPLRDLVSSNQLFAEKTKQVDHQLEKIDQLAPPLIEALNNGSMSINALQQFKAEQQKLRAAAEGHANSYAKWLQLLSQAKQLQDAIAQNVQTWRKHTNQCKEEIIEAVSPCLGKLKGIVEQLKEWSGESSEAEDQLSQLNEVQCRLTELSLVVPKSHEMCYWFRITMMNVEDYLQDYIKWEQLVSQMSTKLQNVQTLVSSRKQFLEDIRSATDELLPVAGSELTLYSQKLEDLAKSKIALQNEIDQCDLLLKQVSIVSEPKQLTKLREEQAELWNNLIQIKNESETISELLQELHQIKRNLMGHVRHWQRNMEGLHEAFETREYRKSEVDCTSLQLLSQNVFDVIWQKYDMEMRFEKHKQLLHKVQSASSPSHVSDEDSTQHEVNNMWSIMETIAKRSPLKEMQLQHLKEQIDPLQTILMTWKQEFQALSARLTATDTEKLHLLQNGISIVATKLSSKEDVEKSFEFFNNINSRITDLDQSVNLSQEKWYNLTHQSSELWKTLQDSDRNYSLLHKLLAQLRHLEHDIKKNLSLWQEELKAIQGELEPCSVSAVLQKGENLTQYLRAHKGGINRKIQQYFSIREVLREETEEVLSVSPLTSILIELDKELSAYNEDFEEWKQLLSQLKMGCQRSKEELIKVETVQEAWFTERNQLLSPLIKASYAQILLMDAVLKQFFVKHNEIQAQLAVKSKTQKLHGHALKEKGIKVLEVMGQLLADQQSETVFPSSLETYRARLMGWMSDLVDELKYVEQLLAYPLHLREGEDRLKAVRSEANELEVTYADAKQLQEAVSQGSIENLSQQLSTKSKILQHYLRKIENQYSRNYDLGAKLSKSRCVAAAQTHELCVQLNDFCELLLNTNEGPWTMAKLLDGKKDSLALYLKKLELALTEYHVQQGSGDERYLRPMELMEEVSSLCQSLTLFLEFLKKDLNIYAEETMNEQTQLNSAEQRLDELQTTYTKLEETTPYRFNYMTVSPLIGMNTSVQPEVLQPLPLKIKSSLEHHLYQWKDGNQGQPSLISDPVDNEPSSAQNSASSEQTTDNDHQCYQPWMKKANFEIFKIFDKQEMPKLELVQQQQFDKQRSFQPRETVISELESLNVPQLAEQHEPESSKKLITPHQDESHKEQLMMQVKELNCKVTKLKLSLAEAEHQTAGNDGLGQDKCTELLFAVKHEIETLMAQCGVLQQELVQQMDANLTEPEVAVNAQQLWLELEAVQTKCAHLVETPEPRTKEVRPELGGQADSSDLTDAEIPPTTGEETQNPRLSCEPGQETNLSDPTLIQAMSNDSTSIKGYAEQVITGSSSSARDEEMDKDHKWLSSWSQPSPEAKEDGNDSKVSSEEVEEEVAALYSMSGRRNLKRESADREAVGGEESTVAPLRDREVGEQEQKLHQHLNLVTAKLDVLLDEKRQNLSLIQKEMREIEGQLQPLKDAQDPSMHELSFQASYNNLIQKLNEIEEDWENTQKIVVKLLENQEQTVNELLKIDQLLQNTRQFVPLPKMGTQPLRLEVQYSVIEAITKKMPRINELIVEGHKLHEEPSFDFIEKSLLITKIKSVRKEMSKVESEIAVVDDAMRQIQPLSEMIKQQLATVQNSLEQISQTLEDTEFIISLDELDMFISHLLNHKQESDELAMQVTSAHHSLTRLSDYDAPNALLHSTNEIWAETSKLLDSYEEMKVTAKNLQSTRCEARQSVQQYQQFPIMLNDMWEQAQGPDQEVIDQVESDLENLKSHWLDLEDWMKKQIEQAKEINKSKQQLMKEVNHLGNNIEEIKRRMAYSPSSKLVENSIKEDIDELAKRCEEVQSEIEAPVITYMESYKIRLKEMINEFTLKGKKLISQLEEFQESKEPHDQFAMNQFSTTTQQFFNKLAIIEKTFSHREQIETQVLEKNKQVKQLLKNCREKMSTIEQFAPVTEVAGSSEPVNQISRIRQLLLTLLLQQKEVNEGYQCLCDLLESLEETTAAEKTKSIEPLEEQLNDLIIAEREIEESVFKWEQKLEECWMEWEKAAELLSLWKEWLASVIKLLCIGDNETCRLRLLRLIQRLKMLEEKTSILNSVLAKSQELRELAERYSLPLSSMSQGLVTEMKSLNTYIDEEMKRYCKYNELYREAETEQAKFAEKMASNKSVLEQLTYQLQLKAEPSSIMGLKETLDQIHAVTSELEEQKRLPMELSEEPNGVDVRYPIIIAELSTISEVARTVAEKYRTLNEYADNLVNTRLDISTNLQMQLDEFERLKTEVDSAESSNEERQKEIATVAKISCMEAWVQEQFAECNDKENQIHKIELNILLPQQLQSKIQNLVHLSTELKDQCNKEDLEKPSLELVMNQLVKYLKDNEEANLKIQLIEKEKFSDDFTKNENLKKIIEDCLCKAKEIRQSLIQANLRRSEPSSDPAKLVVETMKKIQLDIARMKSLLAQYKGHEEEFTDLQKIKEELEKEKEFVQTQLQDLQSFDWNLGIYDFVKKLKLVQLICCKIATLRDKLNYIEEKVGKNVEQNLLLENRQISDAEEQLASTKEHFQRCFKIKHEVENCTNQIFRQIRNTRIKLNKLQDELFLREFVNLEEYINTLEQLHTSIGSDIEQLEKQSAELTVHHHKLEAETAATEVRPIILTESEQVMLQFKRIIAFFNSREELKFELQQSRQTSKLVLMQYQNRLDELTNNLDSGEYTTLSPVKDKVEEALSEVSQQRALIGDHTVKCKRIQSLIDDPLLPISPNLEGLLNKAWLITEKMKNRIDCKKIQNEAELHLPELTEWQKKLNNIGDELNKKEKEGWIRKMQLEAQGKLVSFGHKTAQLDTTLAIMSQNGAREEPSKLRLQLHQQQQQLSIETDQVKNMLTTFEDYEVVQQSYKKQIQQEVQEWGHELQKIQSELLKKDMDTVHNSLNEWKIKLNGLSDKEKEISAIFKKIKDLYTVSDLPGVKLSEKDTLLAKMSSEVAALYEQFDRLVCYQSEKNKKLTILAQLLNDLHQASYGINHLVLAGTETKNLCETQELPKIAAKKAELQTYLKEIEELQENRLMTDLELPQNQLENVKREDETNKMSEQANLSLQKSMAMEVASDKNIEWDEELKEVAASEQTSEQVDSTAQKERGPLTFKGKKETSRKSKLISNLCCEMEQKASALRNELDKQKCQLSKIDDKIIKQGVSSTNQKVSKALALIKKLTGDQLSLRNELEQLKQLKEEVAIQDVPKDSFSVFEKSFNDLTTNLDTVCVQYSDHERVHGDLNQVSDQLQQLLSEHLHKIELWPEESFSPNKTCELLYNGQSKLEKLLSTLDQAKKLFKKYIKLQAKKEQLGHQLAFGAEQKKKLEILMLRLDEKSQLYKEGELLLKEQHSLYTSALHLTNDWQNSIDTLTKQVLPAESSEAQKMITEIRADGEPKLLIIEKIKQIREELPMKGESSNLVMLSEKIEKIWHIVDHALDNFSQDETPLLKDEEEIESLQRLLTQYRDMLCTAEMIIEEEETFGYEKLSNNLQAVSEFQERKRALAQCFLETKEGPEEMGKWKEEQNTLWKRLNRHDDQLRLLQQLSDELEKARAQCISEMKELEFEQGVLWSILEKVHAKPLHKKVHKLVSDVQKIKSNRGELTLFDSLKQLKQEVSKKTESSEFCEKLNVKINSFWDNFNKCQDNLLKSFHDQLVSKKTNFLDSVSEYQKKLESLEPTLCGIQNELLIMSHKQQLIQQQIEELKTFIELSDSPSLAKLLSETMGIQTKAQDLDERLEATSGSLAHCNSEGTLCKQVHEMKNLISSEMVQLELPIESSQLLFQIEAVRRSFESTKQEHVQLEEKLRERDEETLQHLEMKQLAKETWEDIVNKLNFLKDWLALARGLNEYYDETKENIDLWRDVIINAEKEFPPEEFTKMKNLYFQLNKCVQNIKDVVELHENFEEKVIEIEAIRKKLNEKGMYSAQLSIVSEEKQILTTLISKFNQYQKLLELVRGCQELCETVLAKTNEWLVVTNQCQQNVTKERGDKFHPLSNAMEQLHRKEEEYEDLVKDLRILSKKRHKVAPVTPDKLGIKSIQSQVDQINKLLENNKFICAELLKNEETFEEQVGYGHTESLKISFADLLNECSTDDGREADKFSMDLMKLCKDLHKAKDKMLHIEGEKNKVTLTNEQAVQTDSASLDTVPEASLKATRLSALFDELAKLTDESRQVRHNMGEFQTKYKLIINQMAHLDFPHLEKLVFNGPEELRNCIEIQKAISDQINRLHQYIRDADILHFGQIAMKHLPLDSGCLLLSAKEVPLVLRLATELSEMRTNLTMQLEREREKLTDREKIVFPKKIDNVHNELKLSIIEFEKWRADTENKIVQLDTLKAQLCSSSRDEESSFDSTIRFKNNQLHHELIDRYTDYRELLSNISASYDKEMISEEQLPRLVSALSFKMTPEMAKETSPQNDSKAFPAESHPDGGRNESTTITGENKSTGGATPQLTMHIESACKLLREIKQEYDKLLRKVEQQSKQSPLEECLERLVEMHHNLQYLCGEESFCAEHEKILGKTRGRRILKKEKNIQKELKLINDSLKGKEIDIKLQQVYLSLEHHLSEWKACVDRSKEELSMKIDETVNNKLEEVAKLYKALSKTNSIILAEKHKSILEGPSERQGLLQQARMMYSELEKQSEEMKLRIDLDPSVDTLNKLAAILKVVNIQVKEKAEQIKAQLGTTLVHQFSEQSSINQIDMQLMDESRAMLEDFMSGKAILHKLSEEMSTDVDDQDLVSKAEAEWIEVQGILKEVDKAVKLQGTFEENEKEHKEGAKINQNYNEPLEVSMDTSFEVTAKEEKSLINGSNDFTPQDQKKIMLPLNMELQTKSVLKRWRKNLRRLEKSISSGVVDNSVEVLFNGAENLTAHELNWQALTEFPVEKIEEKKTEEFCARLLRLNEVYTAWCALVKQLTSKVNYINKRYMCVDSKVMMYQKNINQCEGALNKYIEGCKQFSTDLRALFEKEEIFKHWDELLLEVSERSGYKINWIDLKSKVEDVLGLVLCKYYHFELLLIFKKELINNIRRKMEELKNPPVLPLHNEDKNEFTLLINSHHEFRDMLSKFLTMKEQILTVAQSHDQSASLDEDMLEEVEQLWAETQHLPPPLAYNLKIKWANIQHDLGDWAERLQLHDKDLEDLDRKISLVDLFSKKEENFSEMFSLLSNYKKFYTDNESDKLLKQLKEKQLTLWGMADKVKGQAEEGTGPADKSYQLFQAVYTMISKEDPDVVDVDEPELLRPIKLEQEAIRFAERLNDKNSRHKVSKMDILDPRLAHIIALFAMGNRWSSKFDTVNVSEYTACQEQAWLLLAKRASMQWRAQVKSCKKAPPSSAPSSDVVSVDDLSPQNYNCSLTQALKEIHFHLIITWILGLIISPNGDIIDTVHINILKISRNRLFTLAHEINIRCQFTNSGKDRPLQQGSLVELLDLLVHGKKIDTFLDSIVSPSTHRALQSNIWCTFAKKQTDEQEAQLSIHLDDIGWSGQEDETPLEPSSAM
+>sp|Q9H987|SYP2L_HUMAN Synaptopodin 2-like protein OS=Homo sapiens OX=9606 GN=SYNPO2L PE=2 SV=3
+MGAEEEVLVTLSGGAPWGFRLHGGAEQRKPLQVSKIRRRSQAGRAGLRERDQLLAINGVSCTNLSHASAMSLIDASGNQLVLTVQRLADEGPVQSPSPHELQVLSPLSPLSPEPPGAPVPQPLQPGSLRSPPDSEAYYGETDSDADGPATQEKPRRPRRRGPTRPTPPGAPPDEVYLSDSPAEPAPTIPGPPSQGDSRVSSPSWEDGAALQPPPAEALLLPHGPLRPGPHLIPMVGPVPHPVAEDLTTTYTQKAKQAKLQRAESLQEKSIKEAKTKCRTIASLLTAAPNPHSKGVLMFKKRRQRAKKYTLVSFGAAAGTGAEEEDGVPPTSESELDEEAFSDARSLTNQSDWDSPYLDMELARAGSRASEGQGSGLGGQLSEVSGRGVQLFEQQRQRADSSTQELARVEPAAMLNGEGLQSPPRAQSAPPEAAVLPPSPLPAPVASPRPFQPGGGAPTPAPSIFNRSARPFTPGLQGQRPTTTSVIFRPLAPKRANDSLGGLSPAPPPFLSSQGPTPLPSFTSGVPSHAPVSGSPSTPRSSGPVTATSSLYIPAPSRPVTPGGAPEPPAPPSAAAMTSTASIFLSAPLRPSARPEAPAPGPGAPEPPSAREQRISVPAARTGILQEARRRGTRKQMFRPGKEETKNSPNPELLSLVQNLDEKPRAGGAESGPEEDALSLGAEACNFMQPVGARSYKTLPHVTPKTPPPMAPKTPPPMTPKTPPPVAPKPPSRGLLDGLVNGAASSAGIPEPPRLQGRGGELFAKRQSRADRYVVEGTPGPGLGPRPRSPSPTPSLPPSWKYSPNIRAPPPIAYNPLLSPFFPQAARTLPKAQSQGPRATPKQGIKALDFMRHQPYQLKTAMFCFDEVPPTPGPIASGSPKTARVQEIRRFSTPAPQPTAEPLAPTVLAPRAATTLDEPIWRTELASAPVPSPAPPPEAPRGLGASPSSCGFQVARPRFSATRTGLQAHVWRPGAGHQ
+>DECOY_sp|Q9H987|SYP2L_HUMAN Synaptopodin 2-like protein OS=Homo sapiens OX=9606 GN=SYNPO2L PE=2 SV=3
+QHGAGPRWVHAQLGTRTASFRPRAVQFGCSSPSAGLGRPAEPPPAPSPVPASALETRWIPEDLTTAARPALVTPALPEATPQPAPTSFRRIEQVRATKPSGSAIPGPTPPVEDFCFMATKLQYPQHRMFDLAKIGQKPTARPGQSQAKPLTRAAQPFFPSLLPNYAIPPPARINPSYKWSPPLSPTPSPSRPRPGLGPGPTGEVVYRDARSQRKAFLEGGRGQLRPPEPIGASSAAGNVLGDLLGRSPPKPAVPPPTKPTMPPPTKPAMPPPTKPTVHPLTKYSRAGVPQMFNCAEAGLSLADEEPGSEAGGARPKEDLNQVLSLLEPNPSNKTEEKGPRFMQKRTGRRRAEQLIGTRAAPVSIRQERASPPEPAGPGPAPAEPRASPRLPASLFISATSTMAAASPPAPPEPAGGPTVPRSPAPIYLSSTATVPGSSRPTSPSGSVPAHSPVGSTFSPLPTPGQSSLFPPPAPSLGGLSDNARKPALPRFIVSTTTPRQGQLGPTFPRASRNFISPAPTPAGGGPQFPRPSAVPAPLPSPPLVAAEPPASQARPPSQLGEGNLMAAPEVRALEQTSSDARQRQQEFLQVGRGSVESLQGGLGSGQGESARSGARALEMDLYPSDWDSQNTLSRADSFAEEDLESESTPPVGDEEEAGTGAAAGFSVLTYKKARQRRKKFMLVGKSHPNPAATLLSAITRCKTKAEKISKEQLSEARQLKAQKAKQTYTTTLDEAVPHPVPGVMPILHPGPRLPGHPLLLAEAPPPQLAAGDEWSPSSVRSDGQSPPGPITPAPEAPSDSLYVEDPPAGPPTPRTPGRRRPRRPKEQTAPGDADSDTEGYYAESDPPSRLSGPQLPQPVPAGPPEPSLPSLPSLVQLEHPSPSQVPGEDALRQVTLVLQNGSADILSMASAHSLNTCSVGNIALLQDRERLGARGAQSRRRIKSVQLPKRQEAGGHLRFGWPAGGSLTVLVEEEAGM
+>sp|Q8IV01|SYT12_HUMAN Synaptotagmin-12 OS=Homo sapiens OX=9606 GN=SYT12 PE=1 SV=1
+MAVDVAEYHLSVIKSPPGWEVGVYAAGALALLGIAAVSLWKLWTSGSFPSPSPFPNYDYRYLQQKYGESCAEAREKRVPAWNAQRASTRGPPSRKGSLSIEDTFESISELGPLELMGRELDLAPYGTLRKSQSADSLNSISSVSNTFGQDFTLGQVEVSMEYDTASHTLNVAVMQGKDLLEREEASFESCFMRVSLLPDEQIVGISRIQRNAYSIFFDEKFSIPLDPTALEEKSLRFSVFGIDEDERNVSTGVVELKLSVLDLPLQPFSGWLYLQDQNKAADAVGEILLSLSYLPTAERLTVVVVKAKNLIWTNDKTTADPFVKVYLLQDGRKMSKKKTAVKRDDPNPVFNEAMIFSVPAIVLQDLSLRVTVAESSSDGRGDNVGHVIIGPSASGMGTTHWNQMLATLRRPVSMWHAVRRN
+>DECOY_sp|Q8IV01|SYT12_HUMAN Synaptotagmin-12 OS=Homo sapiens OX=9606 GN=SYT12 PE=1 SV=1
+NRRVAHWMSVPRRLTALMQNWHTTGMGSASPGIIVHGVNDGRGDSSSEAVTVRLSLDQLVIAPVSFIMAENFVPNPDDRKVATKKKSMKRGDQLLYVKVFPDATTKDNTWILNKAKVVVVTLREATPLYSLSLLIEGVADAAKNQDQLYLWGSFPQLPLDLVSLKLEVVGTSVNREDEDIGFVSFRLSKEELATPDLPISFKEDFFISYANRQIRSIGVIQEDPLLSVRMFCSEFSAEERELLDKGQMVAVNLTHSATDYEMSVEVQGLTFDQGFTNSVSSISNLSDASQSKRLTGYPALDLERGMLELPGLESISEFTDEISLSGKRSPPGRTSARQANWAPVRKERAEACSEGYKQQLYRYDYNPFPSPSPFSGSTWLKWLSVAAIGLLALAGAAYVGVEWGPPSKIVSLHYEAVDVAM
+>sp|Q7L8C5|SYT13_HUMAN Synaptotagmin-13 OS=Homo sapiens OX=9606 GN=SYT13 PE=1 SV=1
+MVLSVPVIALGATLGTATSILALCGVTCLCRHMHPKKGLLPRDQDPDLEKAKPSLLGSAQQFNVKKSTEPVQPRALLKFPDIYGPRPAVTAPEVINYADYSLRSTEEPTAPASPQPPNDSRLKRQVTEELFILPQNGVVEDVCVMETWNPEKAASWNQAPKLHYCLDYDCQKAELFVTRLEAVTSNHDGGCDCYVQGSVANRTGSVEAQTALKKRQLHTTWEEGLVLPLAEEELPTATLTLTLRTCDRFSRHSVAGELRLGLDGTSVPLGAAQWGELKTSAKEPSAGAGEVLLSISYLPAANRLLVVLIKAKNLHSNQSKELLGKDVSVKVTLKHQARKLKKKQTKRAKHKINPVWNEMIMFELPDDLLQASSVELEVLGQDDSGQSCALGHCSLGLHTSGSERSHWEEMLKNPRRQIAMWHQLHL
+>DECOY_sp|Q7L8C5|SYT13_HUMAN Synaptotagmin-13 OS=Homo sapiens OX=9606 GN=SYT13 PE=1 SV=1
+LHLQHWMAIQRRPNKLMEEWHSRESGSTHLGLSCHGLACSQGSDDQGLVELEVSSAQLLDDPLEFMIMENWVPNIKHKARKTQKKKLKRAQHKLTVKVSVDKGLLEKSQNSHLNKAKILVVLLRNAAPLYSISLLVEGAGASPEKASTKLEGWQAAGLPVSTGDLGLRLEGAVSHRSFRDCTRLTLTLTATPLEEEALPLVLGEEWTTHLQRKKLATQAEVSGTRNAVSGQVYCDCGGDHNSTVAELRTVFLEAKQCDYDLCYHLKPAQNWSAAKEPNWTEMVCVDEVVGNQPLIFLEETVQRKLRSDNPPQPSAPATPEETSRLSYDAYNIVEPATVAPRPGYIDPFKLLARPQVPETSKKVNFQQASGLLSPKAKELDPDQDRPLLGKKPHMHRCLCTVGCLALISTATGLTAGLAIVPVSLVM
+>sp|Q9BQS2|SYT15_HUMAN Synaptotagmin-15 OS=Homo sapiens OX=9606 GN=SYT15 PE=2 SV=3
+MAEQLALVIGGTIGGLLLLLLIGASCCLWRRFCATLTYEELPGTPAMATTAASSGQRDRPCQPHARTQLSRPPAVPFVVPPTLQGRDWVPLHSGEWADAPWDPCPASELLPHTSSGGLGDACMVGAINPELYKFPEDKSETDFPDGCLGRLWFSVEYEQEAERLLVGLIKAQHLQAPSETCSPLVKLYLLPDERRFLQSKTKRKTSNPQFDEHFIFQVSSKTITQRVLKFSVYHVDRQRKHQLLGQVLFPLKNETLVGDCRRVIWRDLEAESLEPPSEFGDLQFCLSYNDYLSRLTVVVLRAKGLRLQEDRGIVSVFVKVSLMNHNKFVKCKKTSAVLGSINPVYNETFSFKADATELDTASLSLTVVQNMEGDKSQQLGRVVVGPYMYTRGRELEHWDEMLSKPKELVKRWHALCRTTEP
+>DECOY_sp|Q9BQS2|SYT15_HUMAN Synaptotagmin-15 OS=Homo sapiens OX=9606 GN=SYT15 PE=2 SV=3
+PETTRCLAHWRKVLEKPKSLMEDWHELERGRTYMYPGVVVRGLQQSKDGEMNQVVTLSLSATDLETADAKFSFTENYVPNISGLVASTKKCKVFKNHNMLSVKVFVSVIGRDEQLRLGKARLVVVTLRSLYDNYSLCFQLDGFESPPELSEAELDRWIVRRCDGVLTENKLPFLVQGLLQHKRQRDVHYVSFKLVRQTITKSSVQFIFHEDFQPNSTKRKTKSQLFRREDPLLYLKVLPSCTESPAQLHQAKILGVLLREAEQEYEVSFWLRGLCGDPFDTESKDEPFKYLEPNIAGVMCADGLGGSSTHPLLESAPCPDWPADAWEGSHLPVWDRGQLTPPVVFPVAPPRSLQTRAHPQCPRDRQGSSAATTAMAPTGPLEEYTLTACFRRWLCCSAGILLLLLLGGITGGIVLALQEAM
+>sp|Q17RD7|SYT16_HUMAN Synaptotagmin-16 OS=Homo sapiens OX=9606 GN=SYT16 PE=1 SV=2
+MVLAMASQDVQNFFQPFSSWISRVYEALQQAGDMLSASLVNISKQDSKLSDKLDQDLDNIQIQETYFEDEEQDNDWSQEDANSLFLEVDHFSCCNSDLQDSAQNSSPSLSQHAKDSCSTMSQWPNWASDDRKLPHVLSSIAEEEHHLEKQRSGLQHGFDSQLPGTLETVNGKKQVNSFGDDEELSTSSDSDEEVIKQFEISVSRSQSFRSVTSEKGKQTGLEQKPKFSRSLLTHGEDGTEVSACEDLDGASQRRYSENLSYGEDDHIPAHSQSPCERGDAKHHGTSHQESSVVQSLRRQSTEGSLEMETAFNSRGFEDSYATDSSSMWSPEEQDRTNLQVPSGVSEPISKCGDLDVIFEYRAASQKLTVTIVRAQGLPDKDRSGVNSWQVHVVLLPGKKHRGRTNIQRGPNPVFREKVTFAKLEPRDVAACAVRFRLYAARKMTRERMMGEKLFYLSHLHPEGEMKVTLVLEPRSNISSGGSPLSPSAVSHSDSTSSTQSLSHGGAPELLVGLSYNATTGRLSVEMIKGSHFRNLAVNRAPDTYGKLFLLNSVGQEMSRCKTSIRRGQPNPVYKETFVFQVALFQLSDVTLMISVYNRRTMKRKEMIGWIALGQNSSGEEEQDHWEEMKETKGQQICRWHTLLES
+>DECOY_sp|Q17RD7|SYT16_HUMAN Synaptotagmin-16 OS=Homo sapiens OX=9606 GN=SYT16 PE=1 SV=2
+SELLTHWRCIQQGKTEKMEEWHDQEEEGSSNQGLAIWGIMEKRKMTRRNYVSIMLTVDSLQFLAVQFVFTEKYVPNPQGRRISTKCRSMEQGVSNLLFLKGYTDPARNVALNRFHSGKIMEVSLRGTTANYSLGVLLEPAGGHSLSQTSSTSDSHSVASPSLPSGGSSINSRPELVLTVKMEGEPHLHSLYFLKEGMMRERTMKRAAYLRFRVACAAVDRPELKAFTVKERFVPNPGRQINTRGRHKKGPLLVVHVQWSNVGSRDKDPLGQARVITVTLKQSAARYEFIVDLDGCKSIPESVGSPVQLNTRDQEEPSWMSSSDTAYSDEFGRSNFATEMELSGETSQRRLSQVVSSEQHSTGHHKADGRECPSQSHAPIHDDEGYSLNESYRRQSAGDLDECASVETGDEGHTLLSRSFKPKQELGTQKGKESTVSRFSQSRSVSIEFQKIVEEDSDSSTSLEEDDGFSNVQKKGNVTELTGPLQSDFGHQLGSRQKELHHEEEAISSLVHPLKRDDSAWNPWQSMTSCSDKAHQSLSPSSNQASDQLDSNCCSFHDVELFLSNADEQSWDNDQEEDEFYTEQIQINDLDQDLKDSLKSDQKSINVLSASLMDGAQQLAEYVRSIWSSFPQFFNQVDQSAMALVM
+>sp|P21579|SYT1_HUMAN Synaptotagmin-1 OS=Homo sapiens OX=9606 GN=SYT1 PE=1 SV=1
+MVSESHHEALAAPPVTTVATVLPSNATEPASPGEGKEDAFSKLKEKFMNELHKIPLPPWALIAIAIVAVLLVLTCCFCICKKCLFKKKNKKKGKEKGGKNAINMKDVKDLGKTMKDQALKDDDAETGLTDGEEKEEPKEEEKLGKLQYSLDYDFQNNQLLVGIIQAAELPALDMGGTSDPYVKVFLLPDKKKKFETKVHRKTLNPVFNEQFTFKVPYSELGGKTLVMAVYDFDRFSKHDIIGEFKVPMNTVDFGHVTEEWRDLQSAEKEEQEKLGDICFSLRYVPTAGKLTVVILEAKNLKKMDVGGLSDPYVKIHLMQNGKRLKKKKTTIKKNTLNPYYNESFSFEVPFEQIQKVQVVVTVLDYDKIGKNDAIGKVFVGYNSTGAELRHWSDMLANPRRPIAQWHTLQVEEEVDAMLAVKK
+>DECOY_sp|P21579|SYT1_HUMAN Synaptotagmin-1 OS=Homo sapiens OX=9606 GN=SYT1 PE=1 SV=1
+KKVALMADVEEEVQLTHWQAIPRRPNALMDSWHRLEAGTSNYGVFVKGIADNKGIKDYDLVTVVVQVKQIQEFPVEFSFSENYYPNLTNKKITTKKKKLRKGNQMLHIKVYPDSLGGVDMKKLNKAELIVVTLKGATPVYRLSFCIDGLKEQEEKEASQLDRWEETVHGFDVTNMPVKFEGIIDHKSFRDFDYVAMVLTKGGLESYPVKFTFQENFVPNLTKRHVKTEFKKKKDPLLFVKVYPDSTGGMDLAPLEAAQIIGVLLQNNQFDYDLSYQLKGLKEEEKPEEKEEGDTLGTEADDDKLAQDKMTKGLDKVDKMNIANKGGKEKGKKKNKKKFLCKKCICFCCTLVLLVAVIAIAILAWPPLPIKHLENMFKEKLKSFADEKGEGPSAPETANSPLVTAVTTVPPAALAEHHSESVM
+>sp|Q9BQG1|SYT3_HUMAN Synaptotagmin-3 OS=Homo sapiens OX=9606 GN=SYT3 PE=2 SV=1
+MSGDYEDDLCRRALILVSDLCARVRDADTNDRCQEFNDRIRGYPRGPDADISVSLLSVIVTFCGIVLLGVSLFVSWKLCWVPWRDKGGSAVGGGPLRKDLGPGVGLAGLVGGGGHHLAAGLGGHPLLGGPHHHAHAAHHPPFAELLEPGSLGGSDTPEPSYLDMDSYPEAAAAAVAAGVKPSQTSPELPSEGGAGSGLLLLPPSGGGLPSAQSHQQVTSLAPTTRYPALPRPLTQQTLTSQPDPSSEERPPALPLPLPGGEEKAKLIGQIKPELYQGTGPGGRRSGGGPGSGEAGTGAPCGRISFALRYLYGSDQLVVRILQALDLPAKDSNGFSDPYVKIYLLPDRKKKFQTKVHRKTLNPVFNETFQFSVPLAELAQRKLHFSVYDFDRFSRHDLIGQVVLDNLLELAEQPPDRPLWRDIVEGGSEKADLGELNFSLCYLPTAGRLTVTIIKASNLKAMDLTGFSDPYVKASLISEGRRLKKRKTSIKKNTLNPTYNEALVFDVAPESVENVGLSIAVVDYDCIGHNEVIGVCRVGPDAADPHGREHWAEMLANPRKPVEHWHQLVEEKTVTSFTKGSKGLSEKENSE
+>DECOY_sp|Q9BQG1|SYT3_HUMAN Synaptotagmin-3 OS=Homo sapiens OX=9606 GN=SYT3 PE=2 SV=1
+ESNEKESLGKSGKTFSTVTKEEVLQHWHEVPKRPNALMEAWHERGHPDAADPGVRCVGIVENHGICDYDVVAISLGVNEVSEPAVDFVLAENYTPNLTNKKISTKRKKLRRGESILSAKVYPDSFGTLDMAKLNSAKIITVTLRGATPLYCLSFNLEGLDAKESGGEVIDRWLPRDPPQEALELLNDLVVQGILDHRSFRDFDYVSFHLKRQALEALPVSFQFTENFVPNLTKRHVKTQFKKKRDPLLYIKVYPDSFGNSDKAPLDLAQLIRVVLQDSGYLYRLAFSIRGCPAGTGAEGSGPGGGSRRGGPGTGQYLEPKIQGILKAKEEGGPLPLPLAPPREESSPDPQSTLTQQTLPRPLAPYRTTPALSTVQQHSQASPLGGGSPPLLLLGSGAGGESPLEPSTQSPKVGAAVAAAAAEPYSDMDLYSPEPTDSGGLSGPELLEAFPPHHAAHAHHHPGGLLPHGGLGAALHHGGGGVLGALGVGPGLDKRLPGGGVASGGKDRWPVWCLKWSVFLSVGLLVIGCFTVIVSLLSVSIDADPGRPYGRIRDNFEQCRDNTDADRVRACLDSVLILARRCLDDEYDGSM
+>sp|Q86SS6|SYT9_HUMAN Synaptotagmin-9 OS=Homo sapiens OX=9606 GN=SYT9 PE=2 SV=1
+MPGARDALCHQALQLLAELCARGALEHDSCQDFIYHLRDRARPRLRDPDISVSLLTLVVTACGLALFGVSLFVSWKLCWVPWRERGLPSGSKDNNQEPLNYMDTETNEQENSEDFLDPPTPCPDSSMKISHTSPDIPLSTQTGIQENCAHGVRVQRQVTEPTSSARHNSIRRQLNLSNPDFNIQQLQKQEQLTGIGRIKPELYKQRSLDNDDGRRSNSKACGKLNFILKYDCDLEQLIVKIHKAVNLPAKDFSGTSDPYVKIYLLPDRKTKHQTKVHRKTLNPVFDEVFLFPVPYNDLEARKLHFSVYDFDRFSRHDLIGQVVVDHFLDLADFPRECILWKDIEYVTNDNVDLGELMFSLCYLPTAGRLTITIIKARNLKAMDITGASDPYVKVSLMCDGRRLKKRKTSTKRNTLNPVYNEAIVFDVPPENIDQIHLSIAVMDYDRVGHNEIIGVCQVGNEAERLGRDHWSEMLSYPRKPIAHWHSLVEKR
+>DECOY_sp|Q86SS6|SYT9_HUMAN Synaptotagmin-9 OS=Homo sapiens OX=9606 GN=SYT9 PE=2 SV=1
+RKEVLSHWHAIPKRPYSLMESWHDRGLREAENGVQCVGIIENHGVRDYDMVAISLHIQDINEPPVDFVIAENYVPNLTNRKTSTKRKKLRRGDCMLSVKVYPDSAGTIDMAKLNRAKIITITLRGATPLYCLSFMLEGLDVNDNTVYEIDKWLICERPFDALDLFHDVVVQGILDHRSFRDFDYVSFHLKRAELDNYPVPFLFVEDFVPNLTKRHVKTQHKTKRDPLLYIKVYPDSTGSFDKAPLNVAKHIKVILQELDCDYKLIFNLKGCAKSNSRRGDDNDLSRQKYLEPKIRGIGTLQEQKQLQQINFDPNSLNLQRRISNHRASSTPETVQRQVRVGHACNEQIGTQTSLPIDPSTHSIKMSSDPCPTPPDLFDESNEQENTETDMYNLPEQNNDKSGSPLGRERWPVWCLKWSVFLSVGFLALGCATVVLTLLSVSIDPDRLRPRARDRLHYIFDQCSDHELAGRACLEALLQLAQHCLADRAGPM
+>sp|Q8IYJ3|SYTL1_HUMAN Synaptotagmin-like protein 1 OS=Homo sapiens OX=9606 GN=SYTL1 PE=1 SV=1
+MPQRGHPSQEGLWALPSLPMAHGPKPETEGLLDLSFLTEEEQEAIAGVLQRDARLRQLEEGRVSKLRASVADPGQLKILTGDWFQEARSQRHHNAHFGSDLVRASMRRKKSTRGDQAPGHDREAEAAVKEKEEGPEPRLTIDEAPQERLRETEGPDFPSPSVPLKASDPEEASQAQEDPGQGDQQVCAEEADPELEPASGGEQEPRPQQAQTKAASQILENGEEAPGPDPSLDRMLSSSSSVSSLNSSTLSGSQMSLSGDAEAVQVRGSVHFALHYEPGAAELRVHVIQCQGLAAARRRRSDPYVKSYLLPDKQSKRKTAVKKRNLNPVFNETLRYSVPQAELQGRVLSLSVWHRESLGRNIFLGEVEVPLDTWDWGSEPTWLPLQPRVPPSPDDLPSRGLLALSLKYVPAGSEGAGLPPSGELHFWVKEARDLLPLRAGSLDTYVQCFVLPDDSQASRQRTRVVRRSLSPVFNHTMVYDGFGPADLRQACAELSLWDHGALANRQLGGTRLSLGTGSSYGLQVPWMDSTPEEKQLWQALLEQPCEWVDGLLPLRTNLAPRT
+>DECOY_sp|Q8IYJ3|SYTL1_HUMAN Synaptotagmin-like protein 1 OS=Homo sapiens OX=9606 GN=SYTL1 PE=1 SV=1
+TRPALNTRLPLLGDVWECPQELLAQWLQKEEPTSDMWPVQLGYSSGTGLSLRTGGLQRNALAGHDWLSLEACAQRLDAPGFGDYVMTHNFVPSLSRRVVRTRQRSAQSDDPLVFCQVYTDLSGARLPLLDRAEKVWFHLEGSPPLGAGESGAPVYKLSLALLGRSPLDDPSPPVRPQLPLWTPESGWDWTDLPVEVEGLFINRGLSERHWVSLSLVRGQLEAQPVSYRLTENFVPNLNRKKVATKRKSQKDPLLYSKVYPDSRRRRAAALGQCQIVHVRLEAAGPEYHLAFHVSGRVQVAEADGSLSMQSGSLTSSNLSSVSSSSSLMRDLSPDPGPAEEGNELIQSAAKTQAQQPRPEQEGGSAPELEPDAEEACVQQDGQGPDEQAQSAEEPDSAKLPVSPSPFDPGETERLREQPAEDITLRPEPGEEKEKVAAEAERDHGPAQDGRTSKKRRMSARVLDSGFHANHHRQSRAEQFWDGTLIKLQGPDAVSARLKSVRGEELQRLRADRQLVGAIAEQEEETLFSLDLLGETEPKPGHAMPLSPLAWLGEQSPHGRQPM
+>sp|Q96C24|SYTL4_HUMAN Synaptotagmin-like protein 4 OS=Homo sapiens OX=9606 GN=SYTL4 PE=1 SV=2
+MSELLDLSFLSEEEKDLILSVLQRDEEVRKADEKRIRRLKNELLEIKRKGAKRGSQHYSDRTCARCQESLGRLSPKTNTCRGCNHLVCRDCRIQESNGTWRCKVCAKEIELKKATGDWFYDQKVNRFAYRTGSEIIRMSLRHKPAVSKRETVGQSLLHQTQMGDIWPGRKIIQERQKEPSVLFEVPKLKSGKSALEAESESLDSFTADSDSTSRRDSLDKSGLFPEWKKMSAPKSQVEKETQPGGQNVVFVDEGEMIFKKNTRKILRPSEYTKSVIDLRPEDVVHESGSLGDRSKSVPGLNVDMEEEEEEEDIDHLVKLHRQKLARSSMQSGSSMSTIGSMMSIYSEAGDFGNIFVTGRIAFSLKYEQQTQSLVVHVKECHQLAYADEAKKRSNPYVKTYLLPDKSRQGKRKTSIKRDTINPLYDETLRYEIPESLLAQRTLQFSVWHHGRFGRNTFLGEAEIQMDSWKLDKKLDHCLPLHGKISAESPTGLPSHKGELVVSLKYIPASKTPVGGDRKKSKGGEGGELQVWIKEAKNLTAAKAGGTSDSFVKGYLLPMRNKASKRKTPVMKKTLNPHYNHTFVYNGVRLEDLQHMCLELTVWDREPLASNDFLGGVRLGVGTGISNGEVVDWMDSTGEEVSLWQKMRQYPGSWAEGTLQLRSSMAKQKLGL
+>DECOY_sp|Q96C24|SYTL4_HUMAN Synaptotagmin-like protein 4 OS=Homo sapiens OX=9606 GN=SYTL4 PE=1 SV=2
+LGLKQKAMSSRLQLTGEAWSGPYQRMKQWLSVEEGTSDMWDVVEGNSIGTGVGLRVGGLFDNSALPERDWVTLELCMHQLDELRVGNYVFTHNYHPNLTKKMVPTKRKSAKNRMPLLYGKVFSDSTGGAKAATLNKAEKIWVQLEGGEGGKSKKRDGGVPTKSAPIYKLSVVLEGKHSPLGTPSEASIKGHLPLCHDLKKDLKWSDMQIEAEGLFTNRGFRGHHWVSFQLTRQALLSEPIEYRLTEDYLPNITDRKISTKRKGQRSKDPLLYTKVYPNSRKKAEDAYALQHCEKVHVVLSQTQQEYKLSFAIRGTVFINGFDGAESYISMMSGITSMSSGSQMSSRALKQRHLKVLHDIDEEEEEEEMDVNLGPVSKSRDGLSGSEHVVDEPRLDIVSKTYESPRLIKRTNKKFIMEGEDVFVVNQGGPQTEKEVQSKPASMKKWEPFLGSKDLSDRRSTSDSDATFSDLSESEAELASKGSKLKPVEFLVSPEKQREQIIKRGPWIDGMQTQHLLSQGVTERKSVAPKHRLSMRIIESGTRYAFRNVKQDYFWDGTAKKLEIEKACVKCRWTGNSEQIRCDRCVLHNCGRCTNTKPSLRGLSEQCRACTRDSYHQSGRKAGKRKIELLENKLRRIRKEDAKRVEEDRQLVSLILDKEEESLFSLDLLESM
+>sp|P37840|SYUA_HUMAN Alpha-synuclein OS=Homo sapiens OX=9606 GN=SNCA PE=1 SV=1
+MDVFMKGLSKAKEGVVAAAEKTKQGVAEAAGKTKEGVLYVGSKTKEGVVHGVATVAEKTKEQVTNVGGAVVTGVTAVAQKTVEGAGSIAAATGFVKKDQLGKNEEGAPQEGILEDMPVDPDNEAYEMPSEEGYQDYEPEA
+>DECOY_sp|P37840|SYUA_HUMAN Alpha-synuclein OS=Homo sapiens OX=9606 GN=SNCA PE=1 SV=1
+AEPEYDQYGEESPMEYAENDPDVPMDELIGEQPAGEENKGLQDKKVFGTAAAISGAGEVTKQAVATVGTVVAGGVNTVQEKTKEAVTAVGHVVGEKTKSGVYLVGEKTKGAAEAVGQKTKEAAAVVGEKAKSLGKMFVDM
+>sp|P0CW21|SPGOS_HUMAN Putative uncharacterized protein SPART-AS1 OS=Homo sapiens OX=9606 GN=SPART-AS1 PE=5 SV=1
+MKDIGHLQHLAQRLQWNRRAVNICGMHGWTKDLSPHSRMPSMLEHVKHYMSC
+>DECOY_sp|P0CW21|SPGOS_HUMAN Putative uncharacterized protein SPART-AS1 OS=Homo sapiens OX=9606 GN=SPART-AS1 PE=5 SV=1
+CSMYHKVHELMSPMRSHPSLDKTWGHMGCINVARRNWQLRQALHQLHGIDKM
+>sp|Q5JUX0|SPIN3_HUMAN Spindlin-3 OS=Homo sapiens OX=9606 GN=SPIN3 PE=1 SV=1
+MKTPFGKAAAGQRSRTGAGHGSVSVTMIKRKAAHKKHRSRPTSQPRGNIVGCRIQHGWKDGDEPLTQWKGTVLDQVPVNPSLYLIKYDGFDCVYGLELHRDERVSSLEVLPNRVASSRISDTHLAEIMVGKAVEHIFETEEGSKNEWRGMVLAQAPVMNTWFYITYEKDPVLYMYQLLDDYKDGDLRILQDSNDSPLAEREPGEVIDSLVGKQVEYAKDDGSKRTGMVIHQVEAKPSVYFIKFDDDFHIYVYDLVKTS
+>DECOY_sp|Q5JUX0|SPIN3_HUMAN Spindlin-3 OS=Homo sapiens OX=9606 GN=SPIN3 PE=1 SV=1
+STKVLDYVYIHFDDDFKIFYVSPKAEVQHIVMGTRKSGDDKAYEVQKGVLSDIVEGPEREALPSDNSDQLIRLDGDKYDDLLQYMYLVPDKEYTIYFWTNMVPAQALVMGRWENKSGEETEFIHEVAKGVMIEALHTDSIRSSAVRNPLVELSSVREDRHLELGYVCDFGDYKILYLSPNVPVQDLVTGKWQTLPEDGDKWGHQIRCGVINGRPQSTPRSRHKKHAAKRKIMTVSVSGHGAGTRSRQGAAAKGFPTKM
+>sp|Q8WWL2|SPIR2_HUMAN Protein spire homolog 2 OS=Homo sapiens OX=9606 GN=SPIRE2 PE=1 SV=3
+MARAGSCGGAAAGAGRPEPWELSLEEVLKAYEQPLNEEQAWAVCFQGCRGLRGSPGRRLRDTGDLLLRGDGSVGAREPEAAEPATMVVPLASSEAQTVQSLGFAIYRALDWGLDESEERELSPQLERLIDLMANNDSEDSGCGAADEGYGGPEEEEEAEGVPRSVRTFAQAMRLCAARLTDPRGAQAHYQAVCRALFVETLELRAFLARVREAKEMLQKLREDEPHLETPRAELDSLGHTDWARLWVQLMRELRRGVKLKKVQEQEFNPLPTEFQLTPFEMLMQDIRARNYKLRKVMVDGDIPPRVKKDAHELILDFIRSRPPLKQVSERRLRPLPPKQRSLHEKILEEIKQERRLRPVRGEGWAARGFGSLPCILNACSGDAKSTSCINLSVTDAGGSAQRPRPRVLLKAPTLAEMEEMNTSEEEESPCGEVTLKRDRSFSEHDLAQLRSEVASGLQSATHPPGGTEPPRPRAGSAHVWRPGSRDQGTCPASVSDPSHPLLSNRGSSGDRPEASMTPDAKHLWLEFSHPVESLALTVEEVMDVRRVLVKAEMEKFLQNKELFSSLKKGKICCCCRAKFPLFSWPPSCLFCKRAVCTSCSIKMKMPSKKFGHIPVYTLGFESPQRVSAAKTAPIQRRDIFQSLQGPQWQSVEEAFPHIYSHGCVLKDVCSECTSFVADVVRSSRKSVDVLNTTPRRSRQTQSLYIPNTRTLDFK
+>DECOY_sp|Q8WWL2|SPIR2_HUMAN Protein spire homolog 2 OS=Homo sapiens OX=9606 GN=SPIRE2 PE=1 SV=3
+KFDLTRTNPIYLSQTQRSRRPTTNLVDVSKRSSRVVDAVFSTCESCVDKLVCGHSYIHPFAEEVSQWQPGQLSQFIDRRQIPATKAASVRQPSEFGLTYVPIHGFKKSPMKMKISCSTCVARKCFLCSPPWSFLPFKARCCCCIKGKKLSSFLEKNQLFKEMEAKVLVRRVDMVEEVTLALSEVPHSFELWLHKADPTMSAEPRDGSSGRNSLLPHSPDSVSAPCTGQDRSGPRWVHASGARPRPPETGGPPHTASQLGSAVESRLQALDHESFSRDRKLTVEGCPSEEEESTNMEEMEALTPAKLLVRPRPRQASGGADTVSLNICSTSKADGSCANLICPLSGFGRAAWGEGRVPRLRREQKIEELIKEHLSRQKPPLPRLRRESVQKLPPRSRIFDLILEHADKKVRPPIDGDVMVKRLKYNRARIDQMLMEFPTLQFETPLPNFEQEQVKKLKVGRRLERMLQVWLRAWDTHGLSDLEARPTELHPEDERLKQLMEKAERVRALFARLELTEVFLARCVAQYHAQAGRPDTLRAACLRMAQAFTRVSRPVGEAEEEEEPGGYGEDAAGCGSDESDNNAMLDILRELQPSLEREESEDLGWDLARYIAFGLSQVTQAESSALPVVMTAPEAAEPERAGVSGDGRLLLDGTDRLRRGPSGRLGRCGQFCVAWAQEENLPQEYAKLVEELSLEWPEPRGAGAAAGGCSGARAM
+>sp|O43291|SPIT2_HUMAN Kunitz-type protease inhibitor 2 OS=Homo sapiens OX=9606 GN=SPINT2 PE=1 SV=2
+MAQLCGLRRSRAFLALLGSLLLSGVLAADRERSIHDFCLVSKVVGRCRASMPRWWYNVTDGSCQLFVYGGCDGNSNNYLTKEECLKKCATVTENATGDLATSRNAADSSVPSAPRRQDSEDHSSDMFNYEEYCTANAVTGPCRASFPRWYFDVERNSCNNFIYGGCRGNKNSYRSEEACMLRCFRQQENPPLPLGSKVVVLAGLFVMVLILFLGASMVYLIRVARRNQERALRTVWSSGDDKEQLVKNTYVL
+>DECOY_sp|O43291|SPIT2_HUMAN Kunitz-type protease inhibitor 2 OS=Homo sapiens OX=9606 GN=SPINT2 PE=1 SV=2
+LVYTNKVLQEKDDGSSWVTRLAREQNRRAVRILYVMSAGLFLILVMVFLGALVVVKSGLPLPPNEQQRFCRLMCAEESRYSNKNGRCGGYIFNNCSNREVDFYWRPFSARCPGTVANATCYEEYNFMDSSHDESDQRRPASPVSSDAANRSTALDGTANETVTACKKLCEEKTLYNNSNGDCGGYVFLQCSGDTVNYWWRPMSARCRGVVKSVLCFDHISRERDAALVGSLLLSGLLALFARSRRLGCLQAM
+>sp|Q6IQ16|SPOPL_HUMAN Speckle-type POZ protein-like OS=Homo sapiens OX=9606 GN=SPOPL PE=1 SV=1
+MSREPTPPLPGDMSTGPIAESWCYTQVKVVKFSYMWTINNFSFCREEMGEVLKSSTFSSGPSDKMKWCLRVNPKGLDDESKDYLSLYLLLVSCPKSEVRAKFKFSLLNAKREETKAMESQRAYRFVQGKDWGFKKFIRRDFLLDEANGLLPDDKLTLFCEVSVVQDSVNISGHTNTNTLKVPECRLAEDLGNLWENTRFTDCSFFVRGQEFKAHKSVLAARSPVFNAMFEHEMEESKKNRVEINDLDPEVFKEMMRFIYTGRAPNLDKMADNLLAAADKYALERLKVMCEEALCSNLSVENVADTLVLADLHSAEQLKAQAIDFINRCSVLRQLGCKDGKNWNSNQATDIMETSGWKSMIQSHPHLVAEAFRALASAQCPQFGIPRKRLKQS
+>DECOY_sp|Q6IQ16|SPOPL_HUMAN Speckle-type POZ protein-like OS=Homo sapiens OX=9606 GN=SPOPL PE=1 SV=1
+SQKLRKRPIGFQPCQASALARFAEAVLHPHSQIMSKWGSTEMIDTAQNSNWNKGDKCGLQRLVSCRNIFDIAQAKLQEASHLDALVLTDAVNEVSLNSCLAEECMVKLRELAYKDAAALLNDAMKDLNPARGTYIFRMMEKFVEPDLDNIEVRNKKSEEMEHEFMANFVPSRAALVSKHAKFEQGRVFFSCDTFRTNEWLNGLDEALRCEPVKLTNTNTHGSINVSDQVVSVECFLTLKDDPLLGNAEDLLFDRRIFKKFGWDKGQVFRYARQSEMAKTEERKANLLSFKFKARVESKPCSVLLLYLSLYDKSEDDLGKPNVRLCWKMKDSPGSSFTSSKLVEGMEERCFSFNNITWMYSFKVVKVQTYCWSEAIPGTSMDGPLPPTPERSM
+>sp|P22531|SPR2E_HUMAN Small proline-rich protein 2E OS=Homo sapiens OX=9606 GN=SPRR2E PE=2 SV=2
+MSYQQQQCKQPCQPPPVCPTPKCPEPCPPPKCPEPCPPPKCPQPCPPQQCQQKCPPVTPSPPCQPKCPPKSK
+>DECOY_sp|P22531|SPR2E_HUMAN Small proline-rich protein 2E OS=Homo sapiens OX=9606 GN=SPRR2E PE=2 SV=2
+KSKPPCKPQCPPSPTVPPCKQQCQQPPCPQPCKPPPCPEPCKPPPCPEPCKPTPCVPPPQCPQKCQQQQYSM
+>sp|P09486|SPRC_HUMAN SPARC OS=Homo sapiens OX=9606 GN=SPARC PE=1 SV=1
+MRAWIFFLLCLAGRALAAPQQEALPDETEVVEETVAEVTEVSVGANPVQVEVGEFDDGAEETEEEVVAENPCQNHHCKHGKVCELDENNTPMCVCQDPTSCPAPIGEFEKVCSNDNKTFDSSCHFFATKCTLEGTKKGHKLHLDYIGPCKYIPPCLDSELTEFPLRMRDWLKNVLVTLYERDEDNNLLTEKQKLRVKKIHENEKRLEAGDHPVELLARDFEKNYNMYIFPVHWQFGQLDQHPIDGYLSHTELAPLRAPLIPMEHCTTRFFETCDLDNDKYIALDEWAGCFGIKQKDIDKDLVI
+>DECOY_sp|P09486|SPRC_HUMAN SPARC OS=Homo sapiens OX=9606 GN=SPARC PE=1 SV=1
+IVLDKDIDKQKIGFCGAWEDLAIYKDNDLDCTEFFRTTCHEMPILPARLPALETHSLYGDIPHQDLQGFQWHVPFIYMNYNKEFDRALLEVPHDGAELRKENEHIKKVRLKQKETLLNNDEDREYLTVLVNKLWDRMRLPFETLESDLCPPIYKCPGIYDLHLKHGKKTGELTCKTAFFHCSSDFTKNDNSCVKEFEGIPAPCSTPDQCVCMPTNNEDLECVKGHKCHHNQCPNEAVVEEETEEAGDDFEGVEVQVPNAGVSVETVEAVTEEVVETEDPLAEQQPAALARGALCLLFFIWARM
+>sp|A0A1B0GTR4|SPRR5_HUMAN Putative small proline-rich protein 5 OS=Homo sapiens OX=9606 GN=SPRR5 PE=5 SV=1
+MSQQKQKQCAPPQQCCPPPQQRCPPPQQCCPPPQQCCPPPQQCCPPPQQCCPPPQQCCPPPQQCCPPPQQYCPPPQQTKQPCQPPPKCQEPCAPKCPPPQQCQTSKQK
+>DECOY_sp|A0A1B0GTR4|SPRR5_HUMAN Putative small proline-rich protein 5 OS=Homo sapiens OX=9606 GN=SPRR5 PE=5 SV=1
+KQKSTQCQQPPPCKPACPEQCKPPPQCPQKTQQPPPCYQQPPPCCQQPPPCCQQPPPCCQQPPPCCQQPPPCCQQPPPCCQQPPPCRQQPPPCCQQPPACQKQKQQSM
+>sp|Q8WW59|SPRY4_HUMAN SPRY domain-containing protein 4 OS=Homo sapiens OX=9606 GN=SPRYD4 PE=1 SV=2
+MALLFARSLRLCRWGAKRLGVASTEAQRGVSFKLEEKTAHSSLALFRDDMGVKYGLVGLEPTKVALNVERFREWAVVLADTAVTSGRHYWEVTVKRSQQFRIGVADVDMSRDSCIGVDDRSWVFTYAQRKWYTMLANEKAPVEGIGQPEKVGLLLEYEAQKLSLVDVSQVSVVHTLQTDFRGPVVPAFALWDGELLTHSGLEVPEGL
+>DECOY_sp|Q8WW59|SPRY4_HUMAN SPRY domain-containing protein 4 OS=Homo sapiens OX=9606 GN=SPRYD4 PE=1 SV=2
+LGEPVELGSHTLLEGDWLAFAPVVPGRFDTQLTHVVSVQSVDVLSLKQAEYELLLGVKEPQGIGEVPAKENALMTYWKRQAYTFVWSRDDVGICSDRSMDVDAVGIRFQQSRKVTVEWYHRGSTVATDALVVAWERFREVNLAVKTPELGVLGYKVGMDDRFLALSSHATKEELKFSVGRQAETSAVGLRKAGWRCLRLSRAFLLAM
+>sp|Q99611|SPS2_HUMAN Selenide, water dikinase 2 OS=Homo sapiens OX=9606 GN=SEPHS2 PE=1 SV=3
+MAEASATGACGEAMAAAEGSSGPAGLTLGRSFSNYRPFEPQALGLSPSWRLTGFSGMKGUGCKVPQEALLKLLAGLTRPDVRPPLGRGLVGGQEEASQEAGLPAGAGPSPTFPALGIGMDSCVIPLRHGGLSLVQTTDFFYPLVEDPYMMGRIACANVLSDLYAMGITECDNMLMLLSVSQSMSEEEREKVTPLMVKGFRDAAEEGGTAVTGGQTVVNPWIIIGGVATVVCQPNEFIMPDSAVVGDVLVLTKPLGTQVAVNAHQWLDNPERWNKVKMVVSREEVELAYQEAMFNMATLNRTAAGLMHTFNAHAATDITGFGILGHSQNLAKQQRNEVSFVIHNLPIIAKMAAVSKASGRFGLLQGTSAETSGGLLICLPREQAARFCSEIKSSKYGEGHQAWIVGIVEKGNRTARIIDKPRVIEVLPRGATAAVLAPDSSNASSEPSS
+>DECOY_sp|Q99611|SPS2_HUMAN Selenide, water dikinase 2 OS=Homo sapiens OX=9606 GN=SEPHS2 PE=1 SV=3
+SSPESSANSSDPALVAATAGRPLVEIVRPKDIIRATRNGKEVIGVIWAQHGEGYKSSKIESCFRAAQERPLCILLGGSTEASTGQLLGFRGSAKSVAAMKAIIPLNHIVFSVENRQQKALNQSHGLIGFGTIDTAAHANFTHMLGAATRNLTAMNFMAEQYALEVEERSVVMKVKNWREPNDLWQHANVAVQTGLPKTLVLVDGVVASDPMIFENPQCVVTAVGGIIIWPNVVTQGGTVATGGEEAADRFGKVMLPTVKEREEESMSQSVSLLMLMNDCETIGMAYLDSLVNACAIRGMMYPDEVLPYFFDTTQVLSLGGHRLPIVCSDMGIGLAPFTPSPGAGAPLGAEQSAEEQGGVLGRGLPPRVDPRTLGALLKLLAEQPVKCGUGKMGSFGTLRWSPSLGLAQPEFPRYNSFSRGLTLGAPGSSGEAAAMAEGCAGTASAEAM
+>sp|Q96BD6|SPSB1_HUMAN SPRY domain-containing SOCS box protein 1 OS=Homo sapiens OX=9606 GN=SPSB1 PE=1 SV=1
+MGQKVTGGIKTVDMRDPTYRPLKQELQGLDYCKPTRLDLLLDMPPVSYDVQLLHSWNNNDRSLNVFVKEDDKLIFHRHPVAQSTDAIRGKVGYTRGLHVWQITWAMRQRGTHAVVGVATADAPLHSVGYTTLVGNNHESWGWDLGRNRLYHDGKNQPSKTYPAFLEPDETFIVPDSFLVALDMDDGTLSFIVDGQYMGVAFRGLKGKKLYPVVSAVWGHCEIRMRYLNGLDPEPLPLMDLCRRSVRLALGRERLGEIHTLPLPASLKAYLLYQ
+>DECOY_sp|Q96BD6|SPSB1_HUMAN SPRY domain-containing SOCS box protein 1 OS=Homo sapiens OX=9606 GN=SPSB1 PE=1 SV=1
+QYLLYAKLSAPLPLTHIEGLRERGLALRVSRRCLDMLPLPEPDLGNLYRMRIECHGWVASVVPYLKKGKLGRFAVGMYQGDVIFSLTGDDMDLAVLFSDPVIFTEDPELFAPYTKSPQNKGDHYLRNRGLDWGWSEHNNGVLTTYGVSHLPADATAVGVVAHTGRQRMAWTIQWVHLGRTYGVKGRIADTSQAVPHRHFILKDDEKVFVNLSRDNNNWSHLLQVDYSVPPMDLLLDLRTPKCYDLGQLEQKLPRYTPDRMDVTKIGGTVKQGM
+>sp|P52788|SPSY_HUMAN Spermine synthase OS=Homo sapiens OX=9606 GN=SMS PE=1 SV=2
+MAAARHSTLDFMLGAKADGETILKGLQSIFQEQGMAESVHTWQDHGYLATYTNKNGSFANLRIYPHGLVLLDLQSYDGDAQGKEEIDSILNKVEERMKELSQDSTGRVKRLPPIVRGGAIDRYWPTADGRLVEYDIDEVVYDEDSPYQNIKILHSKQFGNILILSGDVNLAESDLAYTRAIMGSGKEDYTGKDVLILGGGDGGILCEIVKLKPKMVTMVEIDQMVIDGCKKYMRKTCGDVLDNLKGDCYQVLIEDCIPVLKRYAKEGREFDYVINDLTAVPISTSPEEDSTWEFLRLILDLSMKVLKQDGKYFTQGNCVNLTEALSLYEEQLGRLYCPVEFSKEIVCVPSYLELWVFYTVWKKAKP
+>DECOY_sp|P52788|SPSY_HUMAN Spermine synthase OS=Homo sapiens OX=9606 GN=SMS PE=1 SV=2
+PKAKKWVTYFVWLELYSPVCVIEKSFEVPCYLRGLQEEYLSLAETLNVCNGQTFYKGDQKLVKMSLDLILRLFEWTSDEEPSTSIPVATLDNIVYDFERGEKAYRKLVPICDEILVQYCDGKLNDLVDGCTKRMYKKCGDIVMQDIEVMTVMKPKLKVIECLIGGDGGGLILVDKGTYDEKGSGMIARTYALDSEALNVDGSLILINGFQKSHLIKINQYPSDEDYVVEDIDYEVLRGDATPWYRDIAGGRVIPPLRKVRGTSDQSLEKMREEVKNLISDIEEKGQADGDYSQLDLLVLGHPYIRLNAFSGNKNTYTALYGHDQWTHVSEAMGQEQFISQLGKLITEGDAKAGLMFDLTSHRAAAM
+>sp|Q9BR10|SPT25_HUMAN Spermatogenesis-associated protein 25 OS=Homo sapiens OX=9606 GN=SPATA25 PE=1 SV=1
+MSYFRTPQTHPGPLPSGQGGAASPGLSLGLCSPVEPVVVASGGTGPLSQKAEQVAPAAQAWGPALAMPQARGCPGGTSWETLRKEYSRNCHKFPHVRQLESLGWDNGYSRSRAPDLGGPSRPRPLMLCGLSPRVLPVPSEAVGKEASSQPDICILTLAMMIAGIPTVPVPGVREEDLIWAAQAFMMAHPEPEGAVEGARWEQAHAHTASGKMPLVRSKRGQPPGSCL
+>DECOY_sp|Q9BR10|SPT25_HUMAN Spermatogenesis-associated protein 25 OS=Homo sapiens OX=9606 GN=SPATA25 PE=1 SV=1
+LCSGPPQGRKSRVLPMKGSATHAHAQEWRAGEVAGEPEPHAMMFAQAAWILDEERVGPVPVTPIGAIMMALTLICIDPQSSAEKGVAESPVPLVRPSLGCLMLPRPRSPGGLDPARSRSYGNDWGLSELQRVHPFKHCNRSYEKRLTEWSTGGPCGRAQPMALAPGWAQAAPAVQEAKQSLPGTGGSAVVVPEVPSCLGLSLGPSAAGGQGSPLPGPHTQPTRFYSM
+>sp|A4D263|SPT48_HUMAN Spermatogenesis-associated protein 48 OS=Homo sapiens OX=9606 GN=SPATA48 PE=4 SV=2
+MDVEIQDTPGKISISKRSILSGTVENIDYPHYCDLLRKMNMPFVKGLENRHNYGRFEKKCNPAFLKFHPYPPSVLPDYHLHDPYPPPYGPHYPLFPLRDDVTLGDSCSGFMSPGGDADLNPGIGRTIPTLVDFSDVKPQHRVPRPDTGFQTTIKRQKILSEELQQNRRWNSREVPDISIRARLGGWTSPLKVTPLQPHHEGRSLSHIFTFDEEATCTDEGEPLVQTNKKCNAKDSFYKSSTQKAYEDVPWDKMLPPKLVPEETTLEKTADPISQCFTLKRYKGVPAITQMVGELWDRFQTRSFLAPVKPINFVSSSSRSKYIPLYTGHVQSTNADDVDNPLGDIASLAKQRYSKPLYTNTSRAANIPGYTGKVHFTATHPANSNIPSTTPSPDSELHRVFQKEMAVDLFRHQAPLSRLVTTVRPYNPFNKKDKETIDY
+>DECOY_sp|A4D263|SPT48_HUMAN Spermatogenesis-associated protein 48 OS=Homo sapiens OX=9606 GN=SPATA48 PE=4 SV=2
+YDITEKDKKNFPNYPRVTTVLRSLPAQHRFLDVAMEKQFVRHLESDPSPTTSPINSNAPHTATFHVKGTYGPINAARSTNTYLPKSYRQKALSAIDGLPNDVDDANTSQVHGTYLPIYKSRSSSSVFNIPKVPALFSRTQFRDWLEGVMQTIAPVGKYRKLTFCQSIPDATKELTTEEPVLKPPLMKDWPVDEYAKQTSSKYFSDKANCKKNTQVLPEGEDTCTAEEDFTFIHSLSRGEHHPQLPTVKLPSTWGGLRARISIDPVERSNWRRNQQLEESLIKQRKITTQFGTDPRPVRHQPKVDSFDVLTPITRGIGPNLDADGGPSMFGSCSDGLTVDDRLPFLPYHPGYPPPYPDHLHYDPLVSPPYPHFKLFAPNCKKEFRGYNHRNELGKVFPMNMKRLLDCYHPYDINEVTGSLISRKSISIKGPTDQIEVDM
+>sp|Q7KZ85|SPT6H_HUMAN Transcription elongation factor SPT6 OS=Homo sapiens OX=9606 GN=SUPT6H PE=1 SV=2
+MSDFVESEAEESEEEYNDEGEVVPRVTKKFVEEEDDDEEEEEENLDDQDEQGNLKGFINDDDDEDEGEEDEGSDSGDSEDDVGHKKRKRTSFDDRLEDDDFDLIEENLGVKVKRGQKYRRVKKMSDDEDDDEEEYGKEEHEKEAIAEEIFQDGEGEEGQEAMEAPMAPPEEEEEDDEESDIDDFIVDDDGQPLKKPKWRKKLPGYTDAALQEAQEIFGVDFDYDEFEKYNEYDEELEEEYEYEDDEAEGEIRVRPKKTTKKRVSRRSIFEMYEPSELESSHLTDQDNEIRATDLPERFQLRSIPVKGAEDDELEEEADWIYRNAFATPTISLQESCDYLDRGQPASSFSRKGPSTIQKIKEALGFMRNQHFEVPFIAFYRKEYVEPELHINDLWRVWQWDEKWTQLRIRKENLTRLFEKMQAYQYEQISADPDKPLADGIRALDTTDMERLKDVQSMDELKDVYNHFLLYYGRDIPKMQNAAKASRKKLKRVREEGDEEGEGDEAEDEEQRGPELKQASRRDMYTICQSAGLDGLAKKFGLTPEQFGENLRDSYQRHETEQFPAEPLELAKDYVCSQFPTPEAVLEGARYMVALQIAREPLVRQVLRQTFQERAKLNITPTKKGRKDVDEAHYAYSFKYLKNKPVKELRDDQFLKICLAEDEGLLTTDISIDLKGVEGYGNDQTYFEEIKQFYYRDEFSHQVQEWNRQRTMAIERALQQFLYVQMAKELKNKLLAEAKEYVIKACSRKLYNWLRVAPYRPDQQVEEDDDFMDENQGKGIRVLGIAFSSARDHPVFCALVNGEGEVTDFLRLPHFTKRRTAWREEEREKKAQDIETLKKFLLNKKPHVVTVAGENRDAQMLIEDVKRIVHELDQGQQLSSIGVELVDNELAILYMNSKKSEAEFRDYPPVLRQAVSLARRIQDPLIEFAQVCSSDEDILCLKFHPLQEHVVKEELLNALYCEFINRVNEVGVDVNRAIAHPYSQALIQYVCGLGPRKGTHLLKILKQNNTRLESRTQLVTMCHMGPKVFMNCAGFLKIDTASLGDSTDSYIEVLDGSRVHPETYEWARKMAVDALEYDESAEDANPAGALEEILENPERLKDLDLDAFAEELERQGYGDKHITLYDIRAELSCRYKDLRTAYRSPNTEEIFNMLTKETPETFYIGKLIICNVTGIAHRRPQGESYDQAIRNDETGLWQCPFCQQDNFPELSEVWNHFDSGSCPGQAIGVKTRLDNGVTGFIPTKFLSDKVVKRPEERVKVGMTVHCRIMKIDIEKFSADLTCRTSDLMDRNNEWKLPKDTYYDFDAEAADHKQEEDMKRKQQRTTYIKRVIAHPSFHNINFKQAEKMMETMDQGDVIIRPSSKGENHLTVTWKVSDGIYQHVDVREEGKENAFSLGATLWINSEEFEDLDEIVARYVQPMASFARDLLNHKYYQDCSGGDRKKLEELLIKTKKEKPTFIPYFICACKELPGKFLLGYQPRGKPRIEYVTVTPEGFRYRGQIFPTVNGLFRWFKDHYQDPVPGITPSSSSRTRTPASINATPANINLADLTRAVNALPQNMTSQMFSAIAAVTGQGQNPNATPAQWASSQYGYGGSGGGSSAYHVFPTPAQQPVATPLMTPSYSYTTPSQPITTPQYHQLQASTTPQSAQAQPQPSSSSRQRQQQPKSNSHAAIDWGKMAEQWLQEKEAERRKQKQRLTPRPSPSPMIESTPMSIAGDATPLLDEMDR
+>DECOY_sp|Q7KZ85|SPT6H_HUMAN Transcription elongation factor SPT6 OS=Homo sapiens OX=9606 GN=SUPT6H PE=1 SV=2
+RDMEDLLPTADGAISMPTSEIMPSPSPRPTLRQKQKRREAEKEQLWQEAMKGWDIAAHSNSKPQQQRQRSSSSPQPQAQASQPTTSAQLQHYQPTTIPQSPTTYSYSPTMLPTAVPQQAPTPFVHYASSGGGSGGYGYQSSAWQAPTANPNQGQGTVAAIASFMQSTMNQPLANVARTLDALNINAPTANISAPTRTRSSSSPTIGPVPDQYHDKFWRFLGNVTPFIQGRYRFGEPTVTVYEIRPKGRPQYGLLFKGPLEKCACIFYPIFTPKEKKTKILLEELKKRDGGSCDQYYKHNLLDRAFSAMPQVYRAVIEDLDEFEESNIWLTAGLSFANEKGEERVDVHQYIGDSVKWTVTLHNEGKSSPRIIVDGQDMTEMMKEAQKFNINHFSPHAIVRKIYTTRQQKRKMDEEQKHDAAEADFDYYTDKPLKWENNRDMLDSTRCTLDASFKEIDIKMIRCHVTMGVKVREEPRKVVKDSLFKTPIFGTVGNDLRTKVGIAQGPCSGSDFHNWVESLEPFNDQQCFPCQWLGTEDNRIAQDYSEGQPRRHAIGTVNCIILKGIYFTEPTEKTLMNFIEETNPSRYATRLDKYRCSLEARIDYLTIHKDGYGQRELEEAFADLDLDKLREPNELIEELAGAPNADEASEDYELADVAMKRAWEYTEPHVRSGDLVEIYSDTSDGLSATDIKLFGACNMFVKPGMHCMTVLQTRSELRTNNQKLIKLLHTGKRPGLGCVYQILAQSYPHAIARNVDVGVENVRNIFECYLANLLEEKVVHEQLPHFKLCLIDEDSSCVQAFEILPDQIRRALSVAQRLVPPYDRFEAESKKSNMYLIALENDVLEVGISSLQQGQDLEHVIRKVDEILMQADRNEGAVTVVHPKKNLLFKKLTEIDQAKKEREEERWATRRKTFHPLRLFDTVEGEGNVLACFVPHDRASSFAIGLVRIGKGQNEDMFDDDEEVQQDPRYPAVRLWNYLKRSCAKIVYEKAEALLKNKLEKAMQVYLFQQLAREIAMTRQRNWEQVQHSFEDRYYFQKIEEFYTQDNGYGEVGKLDISIDTTLLGEDEALCIKLFQDDRLEKVPKNKLYKFSYAYHAEDVDKRGKKTPTINLKAREQFTQRLVQRVLPERAIQLAVMYRAGELVAEPTPFQSCVYDKALELPEAPFQETEHRQYSDRLNEGFQEPTLGFKKALGDLGASQCITYMDRRSAQKLEPGRQEEDEAEDGEGEEDGEERVRKLKKRSAKAANQMKPIDRGYYLLFHNYVDKLEDMSQVDKLREMDTTDLARIGDALPKDPDASIQEYQYAQMKEFLRTLNEKRIRLQTWKEDWQWVRWLDNIHLEPEVYEKRYFAIFPVEFHQNRMFGLAEKIKQITSPGKRSFSSAPQGRDLYDCSEQLSITPTAFANRYIWDAEEELEDDEAGKVPISRLQFREPLDTARIENDQDTLHSSELESPEYMEFISRRSVRKKTTKKPRVRIEGEAEDDEYEYEEELEEDYENYKEFEDYDFDVGFIEQAEQLAADTYGPLKKRWKPKKLPQGDDDVIFDDIDSEEDDEEEEEPPAMPAEMAEQGEEGEGDQFIEEAIAEKEHEEKGYEEEDDDEDDSMKKVRRYKQGRKVKVGLNEEILDFDDDELRDDFSTRKRKKHGVDDESDGSDSGEDEEGEDEDDDDNIFGKLNGQEDQDDLNEEEEEEDDDEEEVFKKTVRPVVEGEDNYEEESEEAESEVFDSM
+>sp|Q96JX3|SRAC1_HUMAN Protein SERAC1 OS=Homo sapiens OX=9606 GN=SERAC1 PE=1 SV=1
+MSLAAYCVICCRRIGTSTSPPKSGTHWRDIRNIIKFTGSLILGGSLFLTYEVLALKKAVTLDTQVVEREKMKSYIYVHTVSLDKGENHGIAWQARKELHKAVRKVLATSAKILRNPFADPFSTVDIEDHECAVWLLLRKSKSDDKTTRLEAVREMSETHHWHDYQYRIIAQACDPKTLIGLARSEESDLRFFLLPPPLPSLKEDSSTEEELRQLLASLPQTELDECIQYFTSLALSESSQSLAAQKGGLWCFGGNGLPYAESFGEVPSATVEMFCLEAIVKHSEISTHCDKIEANGGLQLLQRLYRLHKDCPKVQRNIMRVIGNMALNEHLHSSIVRSGWVSIMAEAMKSPHIMESSHAARILANLDRETVQEKYQDGVYVLHPQYRTSQPIKADVLFIHGLMGAAFKTWRQQDSEQAVIEKPMEDEDRYTTCWPKTWLAKDCPALRIISVEYDTSLSDWRARCPMERKSIAFRSNELLRKLRAAGVGDRPVVWISHSMGGLLVKKMLLEASTKPEMSTVINNTRGIIFYSVPHHGSRLAEYSVNIRYLLFPSLEVKELSKDSPALKTLQDDFLEFAKDKNFQVLNFVETLPTYIGSMIKLHVVPVESADLGIGDLIPVDVNHLNICKPKKKDAFLYQRTLQFIREALAKDLEN
+>DECOY_sp|Q96JX3|SRAC1_HUMAN Protein SERAC1 OS=Homo sapiens OX=9606 GN=SERAC1 PE=1 SV=1
+NELDKALAERIFQLTRQYLFADKKKPKCINLHNVDVPILDGIGLDASEVPVVHLKIMSGIYTPLTEVFNLVQFNKDKAFELFDDQLTKLAPSDKSLEKVELSPFLLYRINVSYEALRSGHHPVSYFIIGRTNNIVTSMEPKTSAELLMKKVLLGGMSHSIWVVPRDGVGAARLKRLLENSRFAISKREMPCRARWDSLSTDYEVSIIRLAPCDKALWTKPWCTTYRDEDEMPKEIVAQESDQQRWTKFAAGMLGHIFLVDAKIPQSTRYQPHLVYVGDQYKEQVTERDLNALIRAAHSSEMIHPSKMAEAMISVWGSRVISSHLHENLAMNGIVRMINRQVKPCDKHLRYLRQLLQLGGNAEIKDCHTSIESHKVIAELCFMEVTASPVEGFSEAYPLGNGGFCWLGGKQAALSQSSESLALSTFYQICEDLETQPLSALLQRLEEETSSDEKLSPLPPPLLFFRLDSEESRALGILTKPDCAQAIIRYQYDHWHHTESMERVAELRTTKDDSKSKRLLLWVACEHDEIDVTSFPDAFPNRLIKASTALVKRVAKHLEKRAQWAIGHNEGKDLSVTHVYIYSKMKEREVVQTDLTVAKKLALVEYTLFLSGGLILSGTFKIINRIDRWHTGSKPPSTSTGIRRCCIVCYAALSM
+>sp|Q8WTU2|SRB4D_HUMAN Scavenger receptor cysteine-rich domain-containing group B protein OS=Homo sapiens OX=9606 GN=SSC4D PE=2 SV=1
+MHKEAEMLIGPQLDEKRWGWRLGDGSAAPPFLPQALSFLLLLPLASALQPTPLPFQELRLVGGPSRCRGRLEVMHGGSWGSVCDDDWDVVDANVVCRQLGCGLALPVPRPLAFGQGRGPILLDNVECRGQEAALSECGSRGWGVHNCFHYEDVAVLCDEFLPTQPPTRKMLTSRAPPTTLPNGKSEGSVRLVGGANLCQGRVEILHSGLWGTVCDDDWGLPDAAVVCRQLGCGAAMAATTNAFFGYGTGHILLDNVHCEGGEPRLAACQSLGWGVHNCGHHEDAGALCAGLGPPTLTALPSSATREDWAWQTDPSATGVGPQPSRETALLTTAAWAAGKKSGRLRLVGGPGPCRGRVEVLHAGGWGTVCDDDWDFADARVACREAGCGPALGATGLGHFGYGRGPVLLDNVGCAGTEARLSDCFHLGWGQHNCGHHEDAGALCAGPEELGLQVQQDGSETTRVPTPRPRDGHLRLVNGAHRCEGRVELYLGQRWGTVCDDAWDLRAAGVLCRQLGCGQALAAPGEAHFGPGRGPILLDNVKCRGEESALLLCSHIRWDAHNCDHSEDASVLCQPS
+>DECOY_sp|Q8WTU2|SRB4D_HUMAN Scavenger receptor cysteine-rich domain-containing group B protein OS=Homo sapiens OX=9606 GN=SSC4D PE=2 SV=1
+SPQCLVSADESHDCNHADWRIHSCLLLASEEGRCKVNDLLIPGRGPGFHAEGPAALAQGCGLQRCLVGAARLDWADDCVTGWRQGLYLEVRGECRHAGNVLRLHGDRPRPTPVRTTESGDQQVQLGLEEPGACLAGADEHHGCNHQGWGLHFCDSLRAETGACGVNDLLVPGRGYGFHGLGTAGLAPGCGAERCAVRADAFDWDDDCVTGWGGAHLVEVRGRCPGPGGVLRLRGSKKGAAWAATTLLATERSPQPGVGTASPDTQWAWDERTASSPLATLTPPGLGACLAGADEHHGCNHVGWGLSQCAALRPEGGECHVNDLLIHGTGYGFFANTTAAMAAGCGLQRCVVAADPLGWDDDCVTGWLGSHLIEVRGQCLNAGGVLRVSGESKGNPLTTPPARSTLMKRTPPQTPLFEDCLVAVDEYHFCNHVGWGRSGCESLAAEQGRCEVNDLLIPGRGQGFALPRPVPLALGCGLQRCVVNADVVDWDDDCVSGWSGGHMVELRGRCRSPGGVLRLEQFPLPTPQLASALPLLLLFSLAQPLFPPAASGDGLRWGWRKEDLQPGILMEAEKHM
+>sp|Q8N5C6|SRBD1_HUMAN S1 RNA-binding domain-containing protein 1 OS=Homo sapiens OX=9606 GN=SRBD1 PE=1 SV=2
+MSSLPRRAKVQVQDVVLKDEFSSFSELSSASEEDDKEDSAWEPQKKVPRSRKQPPPKESKPKRMPRVKKNAPQISDGSEVVVVKEELNSSVAIADTALEDRKNKLDTVQTLKTAKTKQKCAAQPHTVRRTKKLKVEEETSKASNLEGESNSSETPSTSTVWGGTCKKEENDDDFTFGQSALKKIKTETYPQGQPVKFPANANSTKEEVEMNWDMVQVLSERTNIEPWVCANIIRLFNDDNTIPFIIRYRKELINNLDADSLREVQQTLEELRAVAKKVHSTIQKIKKEGKMSECLLKAMLNCKTFEELEHVSAPYKTGSKGTKAQRARQLGLEGAARALLEKPGELSLLSYIRPDVKGLSTLQDIEIGVQHILADMIAKDKDTLDFIRNLCQKRHVCIQSSLAKVSSKKVNEKDVDKFLLYQHFSCNIRNIHHHQILAINRGENLKVLTVKVNISDGVKDEFCRWCIQNRWRPRSFARPELMKILYNSLNDSFKRLIYPLLCREFRAKLTSDAEKESVMMFGRNLRQLLLTSPVPGRTLMGVDPGYKHGCKLAIISPTSQILHTDVVYLHCGQGFREAEKIKTLLLNFNCSTVVIGNGTACRETEAYFADLIMKNYFAPLDVVYCIVSEAGASIYSVSPEANKEMPGLDPNLRSAVSIARRVQDPLAELVKIEPKHIGVGMYQHDVSQTLLKATLDSVVEECVSFVGVDINICSEVLLRHIAGLNANRAKNIIEWREKNGPFINREQLKKVKGLGPKSFQQCAGFIRINQDYIRTFCSQQTETSGQIQGVAVTSSADVEVTNEKQGKKKSKTAVNVLLKPNPLDQTCIHPESYDIAMRFLSSIGGTLYEVGKPEMQQKINSFLEKEGMEKIAERLQTTVHTLQVIIDGLSQPESFDFRTDFDKPDFKRSIVCLEDLQIGTVLTGKVENATLFGIFVDIGVGKSGLIPIRNVTEAKLSKTKKRRSLGLGPGERVEVQVLNIDIPRSRITLDLIRVL
+>DECOY_sp|Q8N5C6|SRBD1_HUMAN S1 RNA-binding domain-containing protein 1 OS=Homo sapiens OX=9606 GN=SRBD1 PE=1 SV=2
+LVRILDLTIRSRPIDINLVQVEVREGPGLGLSRRKKTKSLKAETVNRIPILGSKGVGIDVFIGFLTANEVKGTLVTGIQLDELCVISRKFDPKDFDTRFDFSEPQSLGDIIVQLTHVTTQLREAIKEMGEKELFSNIKQQMEPKGVEYLTGGISSLFRMAIDYSEPHICTQDLPNPKLLVNVATKSKKKGQKENTVEVDASSTVAVGQIQGSTETQQSCFTRIYDQNIRIFGACQQFSKPGLGKVKKLQERNIFPGNKERWEIINKARNANLGAIHRLLVESCINIDVGVFSVCEEVVSDLTAKLLTQSVDHQYMGVGIHKPEIKVLEALPDQVRRAISVASRLNPDLGPMEKNAEPSVSYISAGAESVICYVVDLPAFYNKMILDAFYAETERCATGNGIVVTSCNFNLLLTKIKEAERFGQGCHLYVVDTHLIQSTPSIIALKCGHKYGPDVGMLTRGPVPSTLLLQRLNRGFMMVSEKEADSTLKARFERCLLPYILRKFSDNLSNYLIKMLEPRAFSRPRWRNQICWRCFEDKVGDSINVKVTLVKLNEGRNIALIQHHHINRINCSFHQYLLFKDVDKENVKKSSVKALSSQICVHRKQCLNRIFDLTDKDKAIMDALIHQVGIEIDQLTSLGKVDPRIYSLLSLEGPKELLARAAGELGLQRARQAKTGKSGTKYPASVHELEEFTKCNLMAKLLCESMKGEKKIKQITSHVKKAVARLEELTQQVERLSDADLNNILEKRYRIIFPITNDDNFLRIINACVWPEINTRESLVQVMDWNMEVEEKTSNANAPFKVPQGQPYTETKIKKLASQGFTFDDDNEEKKCTGGWVTSTSPTESSNSEGELNSAKSTEEEVKLKKTRRVTHPQAACKQKTKATKLTQVTDLKNKRDELATDAIAVSSNLEEKVVVVESGDSIQPANKKVRPMRKPKSEKPPPQKRSRPVKKQPEWASDEKDDEESASSLESFSSFEDKLVVDQVQVKARRPLSSM
+>sp|Q9BX66|SRBS1_HUMAN Sorbin and SH3 domain-containing protein 1 OS=Homo sapiens OX=9606 GN=SORBS1 PE=1 SV=3
+MSSECDGGSKAVMNGLAPGSNGQDKATADPLRARSISAVKIIPVKTVKNASGLVLPTDMDLTKICTGKGAVTLRASSSYRETPSSSPASPQETRQHESKPGLEPEPSSADEWRLSSSADANGNAQPSSLAAKGYRSVHPNLPSDKSQDATSSSAAQPEVIVVPLYLVNTDRGQEGTARPPTPLGPLGCVPTIPATASAASPLTFPTLDDFIPPHLQRWPHHSQPARASGSFAPISQTPPSFSPPPPLVPPAPEDLRRVSEPDLTGAVSSTDSSPLLNEVSSSLIGTDSQAFPSVSKPSSAYPSTTIVNPTIVLLQHNREQQKRLSSLSDPVSERRVGEQDSAPTQEKPTSPGKAIEKRAKDDSRRVVKSTQDLSDVSMDEVGIPLRNTERSKDWYKTMFKQIHKLNRDTPEENPYFPTYKFPELPEIQQTSEEDNPYTPTYQFPASTPSPKSEDDDSDLYSPRYSFSEDTKSPLSVPRSKSEMSYIDGEKVVKRSATLPLPARSSSLKSSSERNDWEPPDKKVDTRKYRAEPKSIYEYQPGKSSVLTNEKMSRDISPEEIDLKNEPWYKFFSELEFGKPPPKKIWDYTPGDCSILPREDRKTNLDKDLSLCQTELEADLEKMETLNKAPSANVPQSSAISPTPEISSETPGYIYSSNFHAVKRESDGAPGDLTSLENERQIYKSVLEGGDIPLQGLSGLKRPSSSASTKDSESPRHFIPADYLESTEEFIRRRHDDKEKLLADQRRLKREQEEADIAARRHTGVIPTHHQFITNERFGDLLNIDDTAKRKSGSEMRPARAKFDFKAQTLKELPLQKGDIVYIYKQIDQNWYEGEHHGRVGIFPRTYIELLPPAEKAQPKKLTPVQVLEYGEAIAKFNFNGDTQVEMSFRKGERITLLRQVDENWYEGRIPGTSRQGIFPITYVDVIKRPLVKNPVDYMDLPFSSSPSRSATASPQFSSHSKLITPAPSSLPHSRRALSPEMHAVTSEWISLTVGVPGRRSLALTPPLPPLPEASIYNTDHLALSPRASPSLSLSLPHLSWSDRPTPRSVASPLALPSPHKTYSLAPTSQASLHMNGDGGVHTPSSGIHQDSFLQLPLGSSDSVISQLSDAFSSQSKRQPWREESGQYERKAERGAGERGPGGPKISKKSCLKPSDVVRCLSTEQRLSDLNTPEESRPGKPLGSAFPGSEAEQTERHRGGEQAGRKAARRGGSQQPQAQQRRVTPDRSQTSQDLFSYQALYSYIPQNDDELELRDGDIVDVMEKCDDGWFVGTSRRTKQFGTFPGNYVKPLYL
+>DECOY_sp|Q9BX66|SRBS1_HUMAN Sorbin and SH3 domain-containing protein 1 OS=Homo sapiens OX=9606 GN=SORBS1 PE=1 SV=3
+LYLPKVYNGPFTGFQKTRRSTGVFWGDDCKEMVDVIDGDRLELEDDNQPIYSYLAQYSFLDQSTQSRDPTVRRQQAQPQQSGGRRAAKRGAQEGGRHRETQEAESGPFASGLPKGPRSEEPTNLDSLRQETSLCRVVDSPKLCSKKSIKPGGPGREGAGREAKREYQGSEERWPQRKSQSSFADSLQSIVSDSSGLPLQLFSDQHIGSSPTHVGGDGNMHLSAQSTPALSYTKHPSPLALPSAVSRPTPRDSWSLHPLSLSLSPSARPSLALHDTNYISAEPLPPLPPTLALSRRGPVGVTLSIWESTVAHMEPSLARRSHPLSSPAPTILKSHSSFQPSATASRSPSSSFPLDMYDVPNKVLPRKIVDVYTIPFIGQRSTGPIRGEYWNEDVQRLLTIREGKRFSMEVQTDGNFNFKAIAEGYELVQVPTLKKPQAKEAPPLLEIYTRPFIGVRGHHEGEYWNQDIQKYIYVIDGKQLPLEKLTQAKFDFKARAPRMESGSKRKATDDINLLDGFRENTIFQHHTPIVGTHRRAAIDAEEQERKLRRQDALLKEKDDHRRRIFEETSELYDAPIFHRPSESDKTSASSSPRKLGSLGQLPIDGGELVSKYIQRENELSTLDGPAGDSERKVAHFNSSYIYGPTESSIEPTPSIASSQPVNASPAKNLTEMKELDAELETQCLSLDKDLNTKRDERPLISCDGPTYDWIKKPPPKGFELESFFKYWPENKLDIEEPSIDRSMKENTLVSSKGPQYEYISKPEARYKRTDVKKDPPEWDNRESSSKLSSSRAPLPLTASRKVVKEGDIYSMESKSRPVSLPSKTDESFSYRPSYLDSDDDESKPSPTSAPFQYTPTYPNDEESTQQIEPLEPFKYTPFYPNEEPTDRNLKHIQKFMTKYWDKSRETNRLPIGVEDMSVDSLDQTSKVVRRSDDKARKEIAKGPSTPKEQTPASDQEGVRRESVPDSLSSLRKQQERNHQLLVITPNVITTSPYASSPKSVSPFAQSDTGILSSSVENLLPSSDTSSVAGTLDPESVRRLDEPAPPVLPPPPSFSPPTQSIPAFSGSARAPQSHHPWRQLHPPIFDDLTPFTLPSAASATAPITPVCGLPGLPTPPRATGEQGRDTNVLYLPVVIVEPQAASSSTADQSKDSPLNPHVSRYGKAALSSPQANGNADASSSLRWEDASSPEPELGPKSEHQRTEQPSAPSSSPTERYSSSARLTVAGKGTCIKTLDMDTPLVLGSANKVTKVPIIKVASISRARLPDATAKDQGNSGPALGNMVAKSGGDCESSM
+>sp|P23327|SRCH_HUMAN Sarcoplasmic reticulum histidine-rich calcium-binding protein OS=Homo sapiens OX=9606 GN=HRC PE=1 SV=1
+MGHHRPWLHASVLWAGVASLLLPPAMTQQLRGDGLGFRNRNNSTGVAGLSEEASAELRHHLHSPRDHPDENKDVSTENGHHFWSHPDREKEDEDVSKEYGHLLPGHRSQDHKVGDEGVSGEEVFAEHGGQARGHRGHGSEDTEDSAEHRHHLPSHRSHSHQDEDEDEVVSSEHHHHILRHGHRGHDGEDDEGEEEEEEEEEEEEASTEYGHQAHRHRGHGSEEDEDVSDGHHHHGPSHRHQGHEEDDDDDDDDDDDDDDDDVSIEYRHQAHRHQGHGIEEDEDVSDGHHHRDPSHRHRSHEEDDNDDDDVSTEYGHQAHRHQDHRKEEVEAVSGEHHHHVPDHRHQGHRDEEEDEDVSTERWHQGPQHVHHGLVDEEEEEEEITVQFGHYVASHQPRGHKSDEEDFQDEYKTEVPHHHHHRVPREEDEEVSAELGHQAPSHRQSHQDEETGHGQRGSIKEMSHHPPGHTVVKDRSHLRKDDSEEEKEKEEDPGSHEEDDESSEQGEKGTHHGSRDQEDEEDEEEGHGLSLNQEEEEEEDKEEEEEEEDEERREERAEVGAPLSPDHSEEEEEEEEGLEEDEPRFTIIPNPLDRREEAGGASSEEESGEDTGPQDAQEYGNYQPGSLCGYCSFCNRCTECESCHCDEENMGEHCDQCQHCQFCYLCPLVCETVCAPGSYVDYFSSSLYQALADMLETPEP
+>DECOY_sp|P23327|SRCH_HUMAN Sarcoplasmic reticulum histidine-rich calcium-binding protein OS=Homo sapiens OX=9606 GN=HRC PE=1 SV=1
+PEPTELMDALAQYLSSSFYDVYSGPACVTECVLPCLYCFQCHQCQDCHEGMNEEDCHCSECETCRNCFSCYGCLSGPQYNGYEQADQPGTDEGSEEESSAGGAEERRDLPNPIITFRPEDEELGEEEEEEEESHDPSLPAGVEAREERREEDEEEEEEEKDEEEEEEQNLSLGHGEEEDEEDEQDRSGHHTGKEGQESSEDDEEHSGPDEEKEKEEESDDKRLHSRDKVVTHGPPHHSMEKISGRQGHGTEEDQHSQRHSPAQHGLEASVEEDEERPVRHHHHHPVETKYEDQFDEEDSKHGRPQHSAVYHGFQVTIEEEEEEEDVLGHHVHQPGQHWRETSVDEDEEEDRHGQHRHDPVHHHHEGSVAEVEEKRHDQHRHAQHGYETSVDDDDNDDEEHSRHRHSPDRHHHGDSVDEDEEIGHGQHRHAQHRYEISVDDDDDDDDDDDDDDDDEEHGQHRHSPGHHHHGDSVDEDEESGHGRHRHAQHGYETSAEEEEEEEEEEEEGEDDEGDHGRHGHRLIHHHHESSVVEDEDEDQHSHSRHSPLHHRHEASDETDESGHGRHGRAQGGHEAFVEEGSVGEDGVKHDQSRHGPLLHGYEKSVDEDEKERDPHSWFHHGNETSVDKNEDPHDRPSHLHHRLEASAEESLGAVGTSNNRNRFGLGDGRLQQTMAPPLLLSAVGAWLVSAHLWPRHHGM
+>sp|Q4G0T1|SRCRM_HUMAN Scavenger receptor cysteine-rich domain-containing protein SCART1 OS=Homo sapiens OX=9606 PE=1 SV=2
+MRAALWTLGLGPLLLNLWAVPIGGPGALRLAYRHSTCDGVVLVRHHGAWGYVCNQEWTLAEASVVCRQLGCGPAVGAPKYVPLPGEMAQPWLHNVSCRGNESSLWECSLGSWCQSPCPHAWVVVALCSNGTFRELRLVKGRSPCAGLPEIRNVNGVDRLCVLHVEEAMVFCRELGCGPVLQAPRRDVGVVRKYLACRGTEPTIRSCRLDNNFRSGCDLRLDAEVVCSGHTEARLVGGEHPCAGRLEVTWGTVCDAALDLATAHVVCRELQCGAVVSTPEGARFGRGSGPVWTEAFRCAGNESLLFHCPRGRGSQCGHGHDAGLRCSEFRMVNGSSSCEGRVEFQVQGSWAPLCATHWDIADATVLCHQLNCGNAVAAPGGGHFGDGDAAIWPDAFHCEGTESYLWNCPVSTLGAPACAPGNTASAVCSGLAHALRLREGQSRCDGRVEVSLDGVWGRVLDDAWDLRGAGVVCRQLGCRGAQQAYDAPAPSRGSVQVALSRVRCLGTETRLTQCNVSATLQEPAGTSRDAGVVCSGEVGTASPMARRHGIPGALTLSLHREPQGAAGRGAGALHGGAWGTVCDDAWDLRDAHVVCRQLGCGRALSALGAAHFGAGAGRIWLDELGCQGHESALWQCPSAGWGRHDWRHKEDAGVFCSESVALRLRGGTCCCAGWLDVFYNGTWGAMCSNALKDLSLSIICKQLGCGVWGVGLAGEQALPLCGHRDRLGGQHRVPQAAQLHSVAMPFPPMAPALLRPSRAGLSEDRPQAAGEPLNCSSWLGCPEEGALRVRGGEDRCSGRVELWHAGSWGTVCDDGWDLADAEVVCRQLGCGRAVAALGAAAFGPGSGPVWLDEVGCRGSEASLWGCPAERWGRGDRAHEEDAGVRCWEPGPGPPLPAAPFRTFWVVSVVLGSLLGLLLLGLMAFLILPRVTQAMQRGLGRSEVSPGEAIYDVIGEMPPAGLYEEIMEAEAVLQDEEDGSVVKVDTEAAVSGEVSNLLEGQSIRAEGGHSRPVSQGYDEAAFPLEEMTL
+>DECOY_sp|Q4G0T1|SRCRM_HUMAN Scavenger receptor cysteine-rich domain-containing protein SCART1 OS=Homo sapiens OX=9606 PE=1 SV=2
+LTMEELPFAAEDYGQSVPRSHGGEARISQGELLNSVEGSVAAETDVKVVSGDEEDQLVAEAEMIEEYLGAPPMEGIVDYIAEGPSVESRGLGRQMAQTVRPLILFAMLGLLLLGLLSGLVVSVVWFTRFPAAPLPPGPGPEWCRVGADEEHARDGRGWREAPCGWLSAESGRCGVEDLWVPGSGPGFAAAGLAAVARGCGLQRCVVEADALDWGDDCVTGWSGAHWLEVRGSCRDEGGRVRLAGEEPCGLWSSCNLPEGAAQPRDESLGARSPRLLAPAMPPFPMAVSHLQAAQPVRHQGGLRDRHGCLPLAQEGALGVGWVGCGLQKCIISLSLDKLANSCMAGWTGNYFVDLWGACCCTGGRLRLAVSESCFVGADEKHRWDHRGWGASPCQWLASEHGQCGLEDLWIRGAGAGFHAAGLASLARGCGLQRCVVHADRLDWADDCVTGWAGGHLAGAGRGAAGQPERHLSLTLAGPIGHRRAMPSATGVEGSCVVGADRSTGAPEQLTASVNCQTLRTETGLCRVRSLAVQVSGRSPAPADYAQQAGRCGLQRCVVGAGRLDWADDLVRGWVGDLSVEVRGDCRSQGERLRLAHALGSCVASATNGPACAPAGLTSVPCNWLYSETGECHFADPWIAADGDGFHGGGPAAVANGCNLQHCLVTADAIDWHTACLPAWSGQVQFEVRGECSSSGNVMRFESCRLGADHGHGCQSGRGRPCHFLLSENGACRFAETWVPGSGRGFRAGEPTSVVAGCQLERCVVHATALDLAADCVTGWTVELRGACPHEGGVLRAETHGSCVVEADLRLDCGSRFNNDLRCSRITPETGRCALYKRVVGVDRRPAQLVPGCGLERCFVMAEEVHLVCLRDVGNVNRIEPLGACPSRGKVLRLERFTGNSCLAVVVWAHPCPSQCWSGLSCEWLSSENGRCSVNHLWPQAMEGPLPVYKPAGVAPGCGLQRCVVSAEALTWEQNCVYGWAGHHRVLVVGDCTSHRYALRLAGPGGIPVAWLNLLLPGLGLTWLAARM
+>sp|Q14162|SREC_HUMAN Scavenger receptor class F member 1 OS=Homo sapiens OX=9606 GN=SCARF1 PE=1 SV=3
+MGLGLLLPLLLLWTRGTQGSELDPKGQHVCVASSPSAELQCCAGWRQKDQECTIPICEGPDACQKDEVCVKPGLCRCKPGFFGAHCSSRCPGQYWGPDCRESCPCHPHGQCEPATGACQCQADRWGARCEFPCACGPHGRCDPATGVCHCEPGWWSSTCRRPCQCNTAAARCEQATGACVCKPGWWGRRCSFRCNCHGSPCEQDSGRCACRPGWWGPECQQQCECVRGRCSAASGECTCPPGFRGARCELPCPAGSHGVQCAHSCGRCKHNEPCSPDTGSCESCEPGWNGTQCQQPCLPGTFGESCEQQCPHCRHGEACEPDTGHCQRCDPGWLGPRCEDPCPTGTFGEDCGSTCPTCVQGSCDTVTGDCVCSAGYWGPSCNASCPAGFHGNNCSVPCECPEGLCHPVSGSCQPGSGSRDTALIAGSLVPLLLLFLGLACCACCCWAPRSDLKDRPARDGATVSRMKLQVWGTLTSLGSTLPCRSLSSHKLPWVTVSHHDPEVPFNHSFIEPPSAGWATDDSFSSDPESGEADEVPAYCVPPQEGMVPVAQAGSSEASLAAGAFPPPEDASTPFAIPRTSSLARAKRPSVSFAEGTKFAPQSRRSSGELSSPLRKPKRLSRGAQSGPEGREAEESTGPEEAEAPESFPAAASPGDSATGHRRPPLGGRTVAEHVEAIEGSVQESSGPVTTIYMLAGKPRGSEGPVRSVFRHFGSFQKGQAEAKVKRAIPKPPRQALNRKKGSPGLASGSVGQSPNSAPKAGLPGATGPMAVRPEEAVRGLGAGTESSRRAQEPVSGCGSPEQDPQKQAEEERQEEPEYENVVPISRPPEP
+>DECOY_sp|Q14162|SREC_HUMAN Scavenger receptor class F member 1 OS=Homo sapiens OX=9606 GN=SCARF1 PE=1 SV=3
+PEPPRSIPVVNEYEPEEQREEEAQKQPDQEPSGCGSVPEQARRSSETGAGLGRVAEEPRVAMPGTAGPLGAKPASNPSQGVSGSALGPSGKKRNLAQRPPKPIARKVKAEAQGKQFSGFHRFVSRVPGESGRPKGALMYITTVPGSSEQVSGEIAEVHEAVTRGGLPPRRHGTASDGPSAAAPFSEPAEAEEPGTSEEAERGEPGSQAGRSLRKPKRLPSSLEGSSRRSQPAFKTGEAFSVSPRKARALSSTRPIAFPTSADEPPPFAGAALSAESSGAQAVPVMGEQPPVCYAPVEDAEGSEPDSSFSDDTAWGASPPEIFSHNFPVEPDHHSVTVWPLKHSSLSRCPLTSGLSTLTGWVQLKMRSVTAGDRAPRDKLDSRPAWCCCACCALGLFLLLLPVLSGAILATDRSGSGPQCSGSVPHCLGEPCECPVSCNNGHFGAPCSANCSPGWYGASCVCDGTVTDCSGQVCTPCTSGCDEGFTGTPCPDECRPGLWGPDCRQCHGTDPECAEGHRCHPCQQECSEGFTGPLCPQQCQTGNWGPECSECSGTDPSCPENHKCRGCSHACQVGHSGAPCPLECRAGRFGPPCTCEGSAASCRGRVCECQQQCEPGWWGPRCACRGSDQECPSGHCNCRFSCRRGWWGPKCVCAGTAQECRAAATNCQCPRRCTSSWWGPECHCVGTAPDCRGHPGCACPFECRAGWRDAQCQCAGTAPECQGHPHCPCSERCDPGWYQGPCRSSCHAGFFGPKCRCLGPKVCVEDKQCADPGECIPITCEQDKQRWGACCQLEASPSSAVCVHQGKPDLESGQTGRTWLLLLPLLLGLGM
+>sp|Q9UGK8|SRGEF_HUMAN Secretion-regulating guanine nucleotide exchange factor OS=Homo sapiens OX=9606 GN=SERGEF PE=1 SV=2
+MEREPSASEAAPAAAALFAWGANSYGQLGLGHKEDVLLPQQLNDFCKPRSVRRITGGGGHSAVVTDGGDLFVCGLNKDGQLGLGHTEDIPYFTPCKSLFGCPIQQVACGWDFTIMLTENGQVLSCGSNSFGQLGVPHGPRRCVVPQAIELHKEKVVCIAAGLRHAVAATASGIVFQWGTGLASCGRRLCPGQTLPLFFTAKEPSRVTGLENSKAMCVLAGSDHSASLTDAGEVYVWGSNKHGQLANEAAFLPVPQKIEAHCFQNEKVTAIWSGWTHLVAQTETGKMFTWGRADYGQLGRKLETYEGWKLEKQDSFLPCSRPPNSMPSSPHCLTGATEVSCGSEHNLAIIGGVCYSWGWNEHGMCGDGTEANVWAPKPVQALLSSSGLLVGCGAGHSLALCQLPAHPALVQDPKVTYLSPDAIEDTESQKAMDKERNWKERQSETSTQSQSDWSRNGGL
+>DECOY_sp|Q9UGK8|SRGEF_HUMAN Secretion-regulating guanine nucleotide exchange factor OS=Homo sapiens OX=9606 GN=SERGEF PE=1 SV=2
+LGGNRSWDSQSQTSTESQREKWNREKDMAKQSETDEIADPSLYTVKPDQVLAPHAPLQCLALSHGAGCGVLLGSSSLLAQVPKPAWVNAETGDGCMGHENWGWSYCVGGIIALNHESGCSVETAGTLCHPSSPMSNPPRSCPLFSDQKELKWGEYTELKRGLQGYDARGWTFMKGTETQAVLHTWGSWIATVKENQFCHAEIKQPVPLFAAENALQGHKNSGWVYVEGADTLSASHDSGALVCMAKSNELGTVRSPEKATFFLPLTQGPCLRRGCSALGTGWQFVIGSATAAVAHRLGAAICVVKEKHLEIAQPVVCRRPGHPVGLQGFSNSGCSLVQGNETLMITFDWGCAVQQIPCGFLSKCPTFYPIDETHGLGLQGDKNLGCVFLDGGDTVVASHGGGGTIRRVSRPKCFDNLQQPLLVDEKHGLGLQGYSNAGWAFLAAAAPAAESASPEREM
+>sp|P10124|SRGN_HUMAN Serglycin OS=Homo sapiens OX=9606 GN=SRGN PE=1 SV=3
+MMQKLLKCSRLVLALALILVLESSVQGYPTRRARYQWVRCNPDSNSANCLEEKGPMFELLPGESNKIPRLRTDLFPKTRIQDLNRIFPLSEDYSGSGFGSGSGSGSGSGSGFLTEMEQDYQLVDESDAFHDNLRSLDRNLPSDSQDLGQHGLEEDFML
+>DECOY_sp|P10124|SRGN_HUMAN Serglycin OS=Homo sapiens OX=9606 GN=SRGN PE=1 SV=3
+LMFDEELGHQGLDQSDSPLNRDLSRLNDHFADSEDVLQYDQEMETLFGSGSGSGSGSGSGFGSGSYDESLPFIRNLDQIRTKPFLDTRLRPIKNSEGPLLEFMPGKEELCNASNSDPNCRVWQYRARRTPYGQVSSELVLILALALVLRSCKLLKQMM
+>sp|Q7Z6B7|SRGP1_HUMAN SLIT-ROBO Rho GTPase-activating protein 1 OS=Homo sapiens OX=9606 GN=SRGAP1 PE=1 SV=1
+MSTPSRFKKDKEIIAEYESQVKEIRAQLVEQQKCLEQQTEMRVQLLQDLQDFFRKKAEIETEYSRNLEKLAERFMAKTRSTKDHQQYKKDQNLLSPVNCWYLLLNQVRRESKDHATLSDIYLNNVIMRFMQISEDSTRMFKKSKEIAFQLHEDLMKVLNELYTVMKTYHMYHAESISAESKLKEAEKQEEKQIGRSGDPVFHIRLEERHQRRSSVKKIEKMKEKRQAKYSENKLKSIKARNEYLLTLEATNASVFKYYIHDLSDLIDCCDLGYHASLNRALRTYLSAEYNLETSRHEGLDIIENAVDNLEPRSDKQRFMEMYPAAFCPPMKFEFQSHMGDEVCQVSAQQPVQAELMLRYQQLQSRLATLKIENEEVKKTTEATLQTIQDMVTIEDYDVSECFQHSRSTESVKSTVSETYLSKPSIAKRRANQQETEQFYFMKLREYLEGSNLITKLQAKHDLLQRTLGEGHRAEYMTTRPPNVPPKPQKHRKSRPRSQYNTKLFNGDLETFVKDSGQVIPLIVESCIRFINLYGLQHQGIFRVSGSQVEVNDIKNSFERGENPLADDQSNHDINSVAGVLKLYFRGLENPLFPKERFNDLISCIRIDNLYERALHIRKLLLTLPRSVLIVMRYLFAFLNHLSQYSDENMMDPYNLAICFGPTLMPVPEIQDQVSCQAHVNEIIKTIIIHHETIFPDAKELDGPVYEKCMAGDDYCDSPYSEHGTLEEVDQDAGTEPHTSEDECEPIEAIAKFDYVGRSARELSFKKGASLLLYHRASEDWWEGRHNGIDGLVPHQYIVVQDMDDTFSDTLSQKADSEASSGPVTEDKSSSKDMNSPTDRHPDGYLARQRKRGEPPPPVRRPGRTSDGHCPLHPPHALSNSSVDLGSPSLASHPRGLLQNRGLNNDSPERRRRPGHGSLTNISRHDSLKKIDSPPIRRSTSSGQYTGFNDHKPLDPETIAQDIEETMNTALNELRELERQSTAKHAPDVVLDTLEQVKNSPTPATSTESLSPLHNVALRSSEPQIRRSTSSSSDTMSTFKPMVAPRMGVQLKPPALRPKPAVLPKTNPTIGPAPPPQGPTDKSCTM
+>DECOY_sp|Q7Z6B7|SRGP1_HUMAN SLIT-ROBO Rho GTPase-activating protein 1 OS=Homo sapiens OX=9606 GN=SRGAP1 PE=1 SV=1
+MTCSKDTPGQPPPAPGITPNTKPLVAPKPRLAPPKLQVGMRPAVMPKFTSMTDSSSSTSRRIQPESSRLAVNHLPSLSETSTAPTPSNKVQELTDLVVDPAHKATSQRELERLENLATNMTEEIDQAITEPDLPKHDNFGTYQGSSTSRRIPPSDIKKLSDHRSINTLSGHGPRRRREPSDNNLGRNQLLGRPHSALSPSGLDVSSNSLAHPPHLPCHGDSTRGPRRVPPPPEGRKRQRALYGDPHRDTPSNMDKSSSKDETVPGSSAESDAKQSLTDSFTDDMDQVVIYQHPVLGDIGNHRGEWWDESARHYLLLSAGKKFSLERASRGVYDFKAIAEIPECEDESTHPETGADQDVEELTGHESYPSDCYDDGAMCKEYVPGDLEKADPFITEHHIIITKIIENVHAQCSVQDQIEPVPMLTPGFCIALNYPDMMNEDSYQSLHNLFAFLYRMVILVSRPLTLLLKRIHLAREYLNDIRICSILDNFREKPFLPNELGRFYLKLVGAVSNIDHNSQDDALPNEGREFSNKIDNVEVQSGSVRFIGQHQLGYLNIFRICSEVILPIVQGSDKVFTELDGNFLKTNYQSRPRSKRHKQPKPPVNPPRTTMYEARHGEGLTRQLLDHKAQLKTILNSGELYERLKMFYFQETEQQNARRKAISPKSLYTESVTSKVSETSRSHQFCESVDYDEITVMDQITQLTAETTKKVEENEIKLTALRSQLQQYRLMLEAQVPQQASVQCVEDGMHSQFEFKMPPCFAAPYMEMFRQKDSRPELNDVANEIIDLGEHRSTELNYEASLYTRLARNLSAHYGLDCCDILDSLDHIYYKFVSANTAELTLLYENRAKISKLKNESYKAQRKEKMKEIKKVSSRRQHREELRIHFVPDGSRGIQKEEQKEAEKLKSEASISEAHYMHYTKMVTYLENLVKMLDEHLQFAIEKSKKFMRTSDESIQMFRMIVNNLYIDSLTAHDKSERRVQNLLLYWCNVPSLLNQDKKYQQHDKTSRTKAMFREALKELNRSYETEIEAKKRFFDQLDQLLQVRMETQQELCKQQEVLQARIEKVQSEYEAIIEKDKKFRSPTSM
+>sp|O60687|SRPX2_HUMAN Sushi repeat-containing protein SRPX2 OS=Homo sapiens OX=9606 GN=SRPX2 PE=1 SV=1
+MASQLTQRGALFLLFFLTPAVTPTWYAGSGYYPDESYNEVYAEEVPQAPALDYRVPRWCYTLNIQDGEATCYSPKGGNYHSSLGTRCELSCDRGFRLIGRRSVQCLPSRRWSGTAYCRQMRCHALPFITSGTYTCTNGVLLDSRCDYSCSSGYHLEGDRSRICMEDGRWSGGEPVCVDIDPPKIRCPHSREKMAEPEKLTARVYWDPPLVKDSADGTITRVTLRGPEPGSHFPEGEHVIRYTAYDRAYNRASCKFIVKVQVRRCPTLKPPQHGYLTCTSAGDNYGATCEYHCDGGYDRQGTPSRVCQSSRQWSGSPPICAPMKINVNVNSAAGLLDQFYEKQRLLIISAPDPSNRYYKMQISMLQQSTCGLDLRHVTIIELVGQPPQEVGRIREQQLSANIIEELRQFQRLTRSYFNMVLIDKQGIDRDRYMEPVTPEEIFTFIDDYLLSNQELTQRREQRDICE
+>DECOY_sp|O60687|SRPX2_HUMAN Sushi repeat-containing protein SRPX2 OS=Homo sapiens OX=9606 GN=SRPX2 PE=1 SV=1
+ECIDRQERRQTLEQNSLLYDDIFTFIEEPTVPEMYRDRDIGQKDILVMNFYSRTLRQFQRLEEIINASLQQERIRGVEQPPQGVLEIITVHRLDLGCTSQQLMSIQMKYYRNSPDPASIILLRQKEYFQDLLGAASNVNVNIKMPACIPPSGSWQRSSQCVRSPTGQRDYGGDCHYECTAGYNDGASTCTLYGHQPPKLTPCRRVQVKVIFKCSARNYARDYATYRIVHEGEPFHSGPEPGRLTVRTITGDASDKVLPPDWYVRATLKEPEAMKERSHPCRIKPPDIDVCVPEGGSWRGDEMCIRSRDGELHYGSSCSYDCRSDLLVGNTCTYTGSTIFPLAHCRMQRCYATGSWRRSPLCQVSRRGILRFGRDCSLECRTGLSSHYNGGKPSYCTAEGDQINLTYCWRPVRYDLAPAQPVEEAYVENYSEDPYYGSGAYWTPTVAPTLFFLLFLAGRQTLQSAM
+>sp|Q9BRL6|SRSF8_HUMAN Serine/arginine-rich splicing factor 8 OS=Homo sapiens OX=9606 GN=SRSF8 PE=1 SV=1
+MSCGRPPPDVDGMITLKVDNLTYRTSPDSLRRVFEKYGRVGDVYIPREPHTKAPRGFAFVRFHDRRDAQDAEAAMDGAELDGRELRVQVARYGRRDLPRSRQGEPRGRSRGGGYGRRSRSYGRRSRSPRRRHRSRSRGPSCSRSRSRSRYRGSRYSRSPYSRSPYSRSRYSRSPYSRSRYRESRYGGSHYSSSGYSNSRYSRYHSSRSHSKSGSSTSSRSASTSKSSSARRSKSSSVSRSRSRSRSSSMTRSPPRVSKRKSKSRSRSKRPPKSPEEEGQMSS
+>DECOY_sp|Q9BRL6|SRSF8_HUMAN Serine/arginine-rich splicing factor 8 OS=Homo sapiens OX=9606 GN=SRSF8 PE=1 SV=1
+SSMQGEEEPSKPPRKSRSRSKSKRKSVRPPSRTMSSSRSRSRSRSVSSSKSRRASSSKSTSASRSSTSSGSKSHSRSSHYRSYRSNSYGSSSYHSGGYRSERYRSRSYPSRSYRSRSYPSRSYPSRSYRSGRYRSRSRSRSCSPGRSRSRHRRRPSRSRRGYSRSRRGYGGGRSRGRPEGQRSRPLDRRGYRAVQVRLERGDLEAGDMAAEADQADRRDHFRVFAFGRPAKTHPERPIYVDGVRGYKEFVRRLSDPSTRYTLNDVKLTIMGDVDPPPRGCSM
+>sp|Q7Z2R9|SSAS1_HUMAN Putative uncharacterized protein SSBP3-AS1 OS=Homo sapiens OX=9606 GN=SSBP3-AS1 PE=5 SV=1
+MWGFLVLKARWLVTPVRTLATEAGQKPSLRGLLDVGNIQHRAARARGLTRGVIRVSPQERSQQNQSAPKGPTPSTRPKPRTLGPQAHSLALQSVDLLFRP
+>DECOY_sp|Q7Z2R9|SSAS1_HUMAN Putative uncharacterized protein SSBP3-AS1 OS=Homo sapiens OX=9606 GN=SSBP3-AS1 PE=5 SV=1
+PRFLLDVSQLALSHAQPGLTRPKPRTSPTPGKPASQNQQSREQPSVRIVGRTLGRARAARHQINGVDLLGRLSPKQGAETALTRVPTVLWRAKLVLFGWM
+>sp|P81877|SSBP2_HUMAN Single-stranded DNA-binding protein 2 OS=Homo sapiens OX=9606 GN=SSBP2 PE=1 SV=2
+MYGKGKSNSSAVPSDSQAREKLALYVYEYLLHVGAQKSAQTFLSEIRWEKNITLGEPPGFLHSWWCVFWDLYCAAPERRETCEHSSEAKAFHDYSAAAAPSPVLGNIPPGDGMPVGPVPPGFFQPFMSPRYPGGPRPPLRIPNQALGGVPGSQPLLPSGMDPTRQQGHPNMGGPMQRMTPPRGMVPLGPQNYGGAMRPPLNALGGPGMPGMNMGPGGGRPWPNPTNANSIPYSSASPGNYVGPPGGGGPPGTPIMPSPADSTNSGDNMYTLMNAVPPGPNRPNFPMGPGSDGPMGGLGGMESHHMNGSLGSGDMDSISKNSPNNMSLSNQPGTPRDDGEMGGNFLNPFQSESYSPSMTMSV
+>DECOY_sp|P81877|SSBP2_HUMAN Single-stranded DNA-binding protein 2 OS=Homo sapiens OX=9606 GN=SSBP2 PE=1 SV=2
+VSMTMSPSYSESQFPNLFNGGMEGDDRPTGPQNSLSMNNPSNKSISDMDGSGLSGNMHHSEMGGLGGMPGDSGPGMPFNPRNPGPPVANMLTYMNDGSNTSDAPSPMIPTGPPGGGGPPGVYNGPSASSYPISNANTPNPWPRGGGPGMNMGPMGPGGLANLPPRMAGGYNQPGLPVMGRPPTMRQMPGGMNPHGQQRTPDMGSPLLPQSGPVGGLAQNPIRLPPRPGGPYRPSMFPQFFGPPVPGVPMGDGPPINGLVPSPAAAASYDHFAKAESSHECTERREPAACYLDWFVCWWSHLFGPPEGLTINKEWRIESLFTQASKQAGVHLLYEYVYLALKERAQSDSPVASSNSKGKGYM
+>sp|Q04837|SSBP_HUMAN Single-stranded DNA-binding protein, mitochondrial OS=Homo sapiens OX=9606 GN=SSBP1 PE=1 SV=1
+MFRRPVLQVLRQFVRHESETTTSLVLERSLNRVHLLGRVGQDPVLRQVEGKNPVTIFSLATNEMWRSGDSEVYQLGDVSQKTTWHRISVFRPGLRDVAYQYVKKGSRIYLEGKIDYGEYMDKNNVRRQATTIIADNIIFLSDQTKEKE
+>DECOY_sp|Q04837|SSBP_HUMAN Single-stranded DNA-binding protein, mitochondrial OS=Homo sapiens OX=9606 GN=SSBP1 PE=1 SV=1
+EKEKTQDSLFIINDAIITTAQRRVNNKDMYEGYDIKGELYIRSGKKVYQYAVDRLGPRFVSIRHWTTKQSVDGLQYVESDGSRWMENTALSFITVPNKGEVQRLVPDQGVRGLLHVRNLSRELVLSTTTESEHRVFQRLVQLVPRRFM
+>sp|P51571|SSRD_HUMAN Translocon-associated protein subunit delta OS=Homo sapiens OX=9606 GN=SSR4 PE=1 SV=1
+MAAMASLGALALLLLSSLSRCSAEACLEPQITPSYYTTSDAVISTETVFIVEISLTCKNRVQNMALYADVGGKQFPVTRGQDVGRYQVSWSLDHKSAHAGTYEVRFFDEESYSLLRKAQRNNEDISIIPPLFTVSVDHRGTWNGPWVSTEVLAAAIGLVIYYLAFSAKSHIQA
+>DECOY_sp|P51571|SSRD_HUMAN Translocon-associated protein subunit delta OS=Homo sapiens OX=9606 GN=SSR4 PE=1 SV=1
+AQIHSKASFALYYIVLGIAAALVETSVWPGNWTGRHDVSVTFLPPIISIDENNRQAKRLLSYSEEDFFRVEYTGAHASKHDLSWSVQYRGVDQGRTVPFQKGGVDAYLAMNQVRNKCTLSIEVIFVTETSIVADSTTYYSPTIQPELCAEASCRSLSSLLLLALAGLSAMAAM
+>sp|Q08945|SSRP1_HUMAN FACT complex subunit SSRP1 OS=Homo sapiens OX=9606 GN=SSRP1 PE=1 SV=1
+MAETLEFNDVYQEVKGSMNDGRLRLSRQGIIFKNSKTGKVDNIQAGELTEGIWRRVALGHGLKLLTKNGHVYKYDGFRESEFEKLSDFFKTHYRLELMEKDLCVKGWNWGTVKFGGQLLSFDIGDQPVFEIPLSNVSQCTTGKNEVTLEFHQNDDAEVSLMEVRFYVPPTQEDGVDPVEAFAQNVLSKADVIQATGDAICIFRELQCLTPRGRYDIRIYPTFLHLHGKTFDYKIPYTTVLRLFLLPHKDQRQMFFVISLDPPIKQGQTRYHFLILLFSKDEDISLTLNMNEEEVEKRFEGRLTKNMSGSLYEMVSRVMKALVNRKITVPGNFQGHSGAQCITCSYKASSGLLYPLERGFIYVHKPPVHIRFDEISFVNFARGTTTTRSFDFEIETKQGTQYTFSSIEREEYGKLFDFVNAKKLNIKNRGLKEGMNPSYDEYADSDEDQHDAYLERMKEEGKIREENANDSSDDSGEETDESFNPGEEEEDVAEEFDSNASASSSSNEGDSDRDEKKRKQLKKAKMAKDRKSRKKPVEVKKGKDPNAPKRPMSAYMLWLNASREKIKSDHPGISITDLSKKAGEIWKGMSKEKKEEWDRKAEDARRDYEKAMKEYEGGRGESSKRDKSKKKKKVKVKMEKKSTPSRGSSSKSSSRQLSESFKSKEFVSSDESSSGENKSKKKRRRSEDSEEEELASTPPSSEDSASGSDE
+>DECOY_sp|Q08945|SSRP1_HUMAN FACT complex subunit SSRP1 OS=Homo sapiens OX=9606 GN=SSRP1 PE=1 SV=1
+EDSGSASDESSPPTSALEEEESDESRRRKKKSKNEGSSSEDSSVFEKSKFSESLQRSSSKSSSGRSPTSKKEMKVKVKKKKKSKDRKSSEGRGGEYEKMAKEYDRRADEAKRDWEEKKEKSMGKWIEGAKKSLDTISIGPHDSKIKERSANLWLMYASMPRKPANPDKGKKVEVPKKRSKRDKAMKAKKLQKRKKEDRDSDGENSSSSASANSDFEEAVDEEEEGPNFSEDTEEGSDDSSDNANEERIKGEEKMRELYADHQDEDSDAYEDYSPNMGEKLGRNKINLKKANVFDFLKGYEEREISSFTYQTGQKTEIEFDFSRTTTTGRAFNVFSIEDFRIHVPPKHVYIFGRELPYLLGSSAKYSCTICQAGSHGQFNGPVTIKRNVLAKMVRSVMEYLSGSMNKTLRGEFRKEVEEENMNLTLSIDEDKSFLLILFHYRTQGQKIPPDLSIVFFMQRQDKHPLLFLRLVTTYPIKYDFTKGHLHLFTPYIRIDYRGRPTLCQLERFICIADGTAQIVDAKSLVNQAFAEVPDVGDEQTPPVYFRVEMLSVEADDNQHFELTVENKGTTCQSVNSLPIEFVPQDGIDFSLLQGGFKVTGWNWGKVCLDKEMLELRYHTKFFDSLKEFESERFGDYKYVHGNKTLLKLGHGLAVRRWIGETLEGAQINDVKGTKSNKFIIGQRSLRLRGDNMSGKVEQYVDNFELTEAM
+>sp|Q9Y2M2|SSUH2_HUMAN Protein SSUH2 homolog OS=Homo sapiens OX=9606 GN=SSUH2 PE=1 SV=1
+MPSPVGLLRALPLPWPQFLACTLRRLAGPRESTGPSQKPPPLCSVPCRVPAMTEEVAREALLSFVDSKCCYSSTVAGDLVIQELKRQTLCRYRLETFSESRISEWTFQPFTNHSVDGPQRGASPRLWDIKVQGPPMFQEDTRKFQVPHSSLVKECHKCHGRGRYKCSGCHGAGTVRCPSCCGAKRKAKQSRRCQLCAGSGRRRCSTCSGRGNKTCATCKGEKKLLHFIQLVIMWKNSLFEFVSEHRLNCPRELLAKAKGENLFKDENSVVYPIVDFPLRDISLASQRGIAEHSAALASRARVLQQRQTIELIPLTEVHYWYQGKTYVYYIYGTDHQVYAVDYPERYCCGCTIV
+>DECOY_sp|Q9Y2M2|SSUH2_HUMAN Protein SSUH2 homolog OS=Homo sapiens OX=9606 GN=SSUH2 PE=1 SV=1
+VITCGCCYREPYDVAYVQHDTGYIYYVYTKGQYWYHVETLPILEITQRQQLVRARSALAASHEAIGRQSALSIDRLPFDVIPYVVSNEDKFLNEGKAKALLERPCNLRHESVFEFLSNKWMIVLQIFHLLKKEGKCTACTKNGRGSCTSCRRRGSGACLQCRRSQKAKRKAGCCSPCRVTGAGHCGSCKYRGRGHCKHCEKVLSSHPVQFKRTDEQFMPPGQVKIDWLRPSAGRQPGDVSHNTFPQFTWESIRSESFTELRYRCLTQRKLEQIVLDGAVTSSYCCKSDVFSLLAERAVEETMAPVRCPVSCLPPPKQSPGTSERPGALRRLTCALFQPWPLPLARLLGVPSPM
+>sp|Q99909|SSX3_HUMAN Protein SSX3 OS=Homo sapiens OX=9606 GN=SSX3 PE=1 SV=2
+MNGDDTFARRPTVGAQIPEKIQKAFDDIAKYFSKEEWEKMKVSEKIVYVYMKRKYEAMTKLGFKAILPSFMRNKRVTDFQGNDFDNDPNRGNQVQRPQMTFGRLQGIFPKIMPKKPAEEGNVSKEVPEASGPQNDGKQLCPPGKPTTSEKINMISGPKRGEHAWTHRLRERKQLVIYEEISDPEEDDE
+>DECOY_sp|Q99909|SSX3_HUMAN Protein SSX3 OS=Homo sapiens OX=9606 GN=SSX3 PE=1 SV=2
+EDDEEPDSIEEYIVLQKRERLRHTWAHEGRKPGSIMNIKESTTPKGPPCLQKGDNQPGSAEPVEKSVNGEEAPKKPMIKPFIGQLRGFTMQPRQVQNGRNPDNDFDNGQFDTVRKNRMFSPLIAKFGLKTMAEYKRKMYVYVIKESVKMKEWEEKSFYKAIDDFAKQIKEPIQAGVTPRRAFTDDGNM
+>sp|Q96DR4|STAR4_HUMAN StAR-related lipid transfer protein 4 OS=Homo sapiens OX=9606 GN=STARD4 PE=2 SV=1
+MEGLSDVASFATKLKNTLIQYHSIEEDKWRVAKKTKDVTVWRKPSEEFNGYLYKAQGVIDDLVYSIIDHIRPGPCRLDWDSLMTSLDILENFEENCCVMRYTTAGQLWNIISPREFVDFSYTVGYKEGLLSCGISLDWDEKRPEFVRGYNHPCGWFCVPLKDNPNQSLLTGYIQTDLRGMIPQSAVDTAMASTLTNFYGDLRKAL
+>DECOY_sp|Q96DR4|STAR4_HUMAN StAR-related lipid transfer protein 4 OS=Homo sapiens OX=9606 GN=STARD4 PE=2 SV=1
+LAKRLDGYFNTLTSAMATDVASQPIMGRLDTQIYGTLLSQNPNDKLPVCFWGCPHNYGRVFEPRKEDWDLSIGCSLLGEKYGVTYSFDVFERPSIINWLQGATTYRMVCCNEEFNELIDLSTMLSDWDLRCPGPRIHDIISYVLDDIVGQAKYLYGNFEESPKRWVTVDKTKKAVRWKDEEISHYQILTNKLKTAFSAVDSLGEM
+>sp|Q8NBB2|STAS1_HUMAN Putative uncharacterized protein ST20-AS1 OS=Homo sapiens OX=9606 GN=ST20-AS1 PE=2 SV=1
+MPRPASESWSPIQSRIPAYKAVSHLRLLPTANSPSGSNQPTNPNRAQHPEGPQSREGATSPVLASLEPPTPTDLPSARARPRVPAESGPGWLHKARRPTRGILRDVLRHRGVPAQPRPAPGAHQLSSPSS
+>DECOY_sp|Q8NBB2|STAS1_HUMAN Putative uncharacterized protein ST20-AS1 OS=Homo sapiens OX=9606 GN=ST20-AS1 PE=2 SV=1
+SSPSSLQHAGPAPRPQAPVGRHRLVDRLIGRTPRRAKHLWGPGSEAPVRPRARASPLDTPTPPELSALVPSTAGERSQPGEPHQARNPNTPQNSGSPSNATPLLRLHSVAKYAPIRSQIPSWSESAPRPM
+>sp|P42224|STAT1_HUMAN Signal transducer and activator of transcription 1-alpha/beta OS=Homo sapiens OX=9606 GN=STAT1 PE=1 SV=2
+MSQWYELQQLDSKFLEQVHQLYDDSFPMEIRQYLAQWLEKQDWEHAANDVSFATIRFHDLLSQLDDQYSRFSLENNFLLQHNIRKSKRNLQDNFQEDPIQMSMIIYSCLKEERKILENAQRFNQAQSGNIQSTVMLDKQKELDSKVRNVKDKVMCIEHEIKSLEDLQDEYDFKCKTLQNREHETNGVAKSDQKQEQLLLKKMYLMLDNKRKEVVHKIIELLNVTELTQNALINDELVEWKRRQQSACIGGPPNACLDQLQNWFTIVAESLQQVRQQLKKLEELEQKYTYEHDPITKNKQVLWDRTFSLFQQLIQSSFVVERQPCMPTHPQRPLVLKTGVQFTVKLRLLVKLQELNYNLKVKVLFDKDVNERNTVKGFRKFNILGTHTKVMNMEESTNGSLAAEFRHLQLKEQKNAGTRTNEGPLIVTEELHSLSFETQLCQPGLVIDLETTSLPVVVISNVSQLPSGWASILWYNMLVAEPRNLSFFLTPPCARWAQLSEVLSWQFSSVTKRGLNVDQLNMLGEKLLGPNASPDGLIPWTRFCKENINDKNFPFWLWIESILELIKKHLLPLWNDGCIMGFISKERERALLKDQQPGTFLLRFSESSREGAITFTWVERSQNGGEPDFHAVEPYTKKELSAVTFPDIIRNYKVMAAENIPENPLKYLYPNIDKDHAFGKYYSRPKEAPEPMELDGPKGTGYIKTELISVSEVHPSRLQTTDNLLPMSPEEFDEVSRIVGSVEFDSMMNTV
+>DECOY_sp|P42224|STAT1_HUMAN Signal transducer and activator of transcription 1-alpha/beta OS=Homo sapiens OX=9606 GN=STAT1 PE=1 SV=2
+VTNMMSDFEVSGVIRSVEDFEEPSMPLLNDTTQLRSPHVESVSILETKIYGTGKPGDLEMPEPAEKPRSYYKGFAHDKDINPYLYKLPNEPINEAAMVKYNRIIDPFTVASLEKKTYPEVAHFDPEGGNQSREVWTFTIAGERSSESFRLLFTGPQQDKLLAREREKSIFGMICGDNWLPLLHKKILELISEIWLWFPFNKDNINEKCFRTWPILGDPSANPGLLKEGLMNLQDVNLGRKTVSSFQWSLVESLQAWRACPPTLFFSLNRPEAVLMNYWLISAWGSPLQSVNSIVVVPLSTTELDIVLGPQCLQTEFSLSHLEETVILPGENTRTGANKQEKLQLHRFEAALSGNTSEEMNMVKTHTGLINFKRFGKVTNRENVDKDFLVKVKLNYNLEQLKVLLRLKVTFQVGTKLVLPRQPHTPMCPQREVVFSSQILQQFLSFTRDWLVQKNKTIPDHEYTYKQELEELKKLQQRVQQLSEAVITFWNQLQDLCANPPGGICASQQRRKWEVLEDNILANQTLETVNLLEIIKHVVEKRKNDLMLYMKKLLLQEQKQDSKAVGNTEHERNQLTKCKFDYEDQLDELSKIEHEICMVKDKVNRVKSDLEKQKDLMVTSQINGSQAQNFRQANELIKREEKLCSYIIMSMQIPDEQFNDQLNRKSKRINHQLLFNNELSFRSYQDDLQSLLDHFRITAFSVDNAAHEWDQKELWQALYQRIEMPFSDDYLQHVQELFKSDLQQLEYWQSM
+>sp|O95793|STAU1_HUMAN Double-stranded RNA-binding protein Staufen homolog 1 OS=Homo sapiens OX=9606 GN=STAU1 PE=1 SV=2
+MSQVQVQVQNPSAALSGSQILNKNQSLLSQPLMSIPSTTSSLPSENAGRPIQNSALPSASITSTSAAAESITPTVELNALCMKLGKKPMYKPVDPYSRMQSTYNYNMRGGAYPPRYFYPFPVPPLLYQVELSVGGQQFNGKGKTRQAAKHDAAAKALRILQNEPLPERLEVNGRESEEENLNKSEISQVFEIALKRNLPVNFEVARESGPPHMKNFVTKVSVGEFVGEGEGKSKKISKKNAAIAVLEELKKLPPLPAVERVKPRIKKKTKPIVKPQTSPEYGQGINPISRLAQIQQAKKEKEPEYTLLTERGLPRRREFVMQVKVGNHTAEGTGTNKKVAKRNAAENMLEILGFKVPQAQPTKPALKSEEKTPIKKPGDGRKVTFFEPGSGDENGTSNKEDEFRMPYLSHQQLPAGILPMVPEVAQAVGVSQGHHTKDFTRAAPNPAKATVTAMIARELLYGGTSPTAETILKNNISSGHVPHGPLTRPSEQLDYLSRVQGFQVEYKDFPKNNKNEFVSLINCSSQPPLISHGIGKDVESCHDMAALNILKLLSELDQQSTEMPRTGNGPMSVCGRC
+>DECOY_sp|O95793|STAU1_HUMAN Double-stranded RNA-binding protein Staufen homolog 1 OS=Homo sapiens OX=9606 GN=STAU1 PE=1 SV=2
+CRGCVSMPGNGTRPMETSQQDLESLLKLINLAAMDHCSEVDKGIGHSILPPQSSCNILSVFENKNNKPFDKYEVQFGQVRSLYDLQESPRTLPGHPVHGSSINNKLITEATPSTGGYLLERAIMATVTAKAPNPAARTFDKTHHGQSVGVAQAVEPVMPLIGAPLQQHSLYPMRFEDEKNSTGNEDGSGPEFFTVKRGDGPKKIPTKEESKLAPKTPQAQPVKFGLIELMNEAANRKAVKKNTGTGEATHNGVKVQMVFERRRPLGRETLLTYEPEKEKKAQQIQALRSIPNIGQGYEPSTQPKVIPKTKKKIRPKVREVAPLPPLKKLEELVAIAANKKSIKKSKGEGEGVFEGVSVKTVFNKMHPPGSERAVEFNVPLNRKLAIEFVQSIESKNLNEEESERGNVELREPLPENQLIRLAKAAADHKAAQRTKGKGNFQQGGVSLEVQYLLPPVPFPYFYRPPYAGGRMNYNYTSQMRSYPDVPKYMPKKGLKMCLANLEVTPTISEAAASTSTISASPLASNQIPRGANESPLSSTTSPISMLPQSLLSQNKNLIQSGSLAASPNQVQVQVQSM
+>sp|Q13285|STF1_HUMAN Steroidogenic factor 1 OS=Homo sapiens OX=9606 GN=NR5A1 PE=1 SV=2
+MDYSYDEDLDELCPVCGDKVSGYHYGLLTCESCKGFFKRTVQNNKHYTCTESQSCKIDKTQRKRCPFCRFQKCLTVGMRLEAVRADRMRGGRNKFGPMYKRDRALKQQKKAQIRANGFKLETGPPMGVPPPPPPAPDYVLPPSLHGPEPKGLAAGPPAGPLGDFGAPALPMAVPGAHGPLAGYLYPAFPGRAIKSEYPEPYASPPQPGLPYGYPEPFSGGPNVPELILQLLQLEPDEDQVRARILGCLQEPTKSRPDQPAAFGLLCRMADQTFISIVDWARRCMVFKELEVADQMTLLQNCWSELLVFDHIYRQVQHGKEGSILLVTGQEVELTTVATQAGSLLHSLVLRAQELVLQLLALQLDRQEFVCLKFIILFSLDLKFLNNHILVKDAQEKANAALLDYTLCHYPHCGDKFQQLLLCLVEVRALSMQAKEYLYHKHLGNEMPRNNLLIEMLQAKQT
+>DECOY_sp|Q13285|STF1_HUMAN Steroidogenic factor 1 OS=Homo sapiens OX=9606 GN=NR5A1 PE=1 SV=2
+TQKAQLMEILLNNRPMENGLHKHYLYEKAQMSLARVEVLCLLLQQFKDGCHPYHCLTYDLLAANAKEQADKVLIHNNLFKLDLSFLIIFKLCVFEQRDLQLALLQLVLEQARLVLSHLLSGAQTAVTTLEVEQGTVLLISGEKGHQVQRYIHDFVLLESWCNQLLTMQDAVELEKFVMCRRAWDVISIFTQDAMRCLLGFAAPQDPRSKTPEQLCGLIRARVQDEDPELQLLQLILEPVNPGGSFPEPYGYPLGPQPPSAYPEPYESKIARGPFAPYLYGALPGHAGPVAMPLAPAGFDGLPGAPPGAALGKPEPGHLSPPLVYDPAPPPPPPVGMPPGTELKFGNARIQAKKQQKLARDRKYMPGFKNRGGRMRDARVAELRMGVTLCKQFRCFPCRKRQTKDIKCSQSETCTYHKNNQVTRKFFGKCSECTLLGYHYGSVKDGCVPCLEDLDEDYSYDM
+>sp|Q13586|STIM1_HUMAN Stromal interaction molecule 1 OS=Homo sapiens OX=9606 GN=STIM1 PE=1 SV=3
+MDVCVRLALWLLWGLLLHQGQSLSHSHSEKATGTSSGANSEESTAAEFCRIDKPLCHSEDEKLSFEAVRNIHKLMDDDANGDVDVEESDEFLREDLNYHDPTVKHSTFHGEDKLISVEDLWKAWKSSEVYNWTVDEVVQWLITYVELPQYEETFRKLQLSGHAMPRLAVTNTTMTGTVLKMTDRSHRQKLQLKALDTVLFGPPLLTRHNHLKDFMLVVSIVIGVGGCWFAYIQNRYSKEHMKKMMKDLEGLHRAEQSLHDLQERLHKAQEEHRTVEVEKVHLEKKLRDEINLAKQEAQRLKELREGTENERSRQKYAEEELEQVREALRKAEKELESHSSWYAPEALQKWLQLTHEVEVQYYNIKKQNAEKQLLVAKEGAEKIKKKRNTLFGTFHVAHSSSLDDVDHKILTAKQALSEVTAALRERLHRWQQIEILCGFQIVNNPGIHSLVAALNIDPSWMGSTRPNPAHFIMTDDVDDMDEEIVSPLSMQSPSLQSSVRQRLTEPQHGLGSQRDLTHSDSESSLHMSDRQRVAPKPPQMSRAADEALNAMTSNGSHRLIEGVHPGSLVEKLPDSPALAKKALLALNHGLDKAHSLMELSPSAPPGGSPHLDSSRSHSPSSPDPDTPSPVGDSRALQASRNTRIPHLAGKKAVAEEDNGSIGEETDSSPGRKKFPLKIFKKPLKK
+>DECOY_sp|Q13586|STIM1_HUMAN Stromal interaction molecule 1 OS=Homo sapiens OX=9606 GN=STIM1 PE=1 SV=3
+KKLPKKFIKLPFKKRGPSSDTEEGISGNDEEAVAKKGALHPIRTNRSAQLARSDGVPSPTDPDPSSPSHSRSSDLHPSGGPPASPSLEMLSHAKDLGHNLALLAKKALAPSDPLKEVLSGPHVGEILRHSGNSTMANLAEDAARSMQPPKPAVRQRDSMHLSSESDSHTLDRQSGLGHQPETLRQRVSSQLSPSQMSLPSVIEEDMDDVDDTMIFHAPNPRTSGMWSPDINLAAVLSHIGPNNVIQFGCLIEIQQWRHLRERLAATVESLAQKATLIKHDVDDLSSSHAVHFTGFLTNRKKKIKEAGEKAVLLQKEANQKKINYYQVEVEHTLQLWKQLAEPAYWSSHSELEKEAKRLAERVQELEEEAYKQRSRENETGERLEKLRQAEQKALNIEDRLKKELHVKEVEVTRHEEQAKHLREQLDHLSQEARHLGELDKMMKKMHEKSYRNQIYAFWCGGVGIVISVVLMFDKLHNHRTLLPPGFLVTDLAKLQLKQRHSRDTMKLVTGTMTTNTVALRPMAHGSLQLKRFTEEYQPLEVYTILWQVVEDVTWNYVESSKWAKWLDEVSILKDEGHFTSHKVTPDHYNLDERLFEDSEEVDVDGNADDDMLKHINRVAEFSLKEDESHCLPKDIRCFEAATSEESNAGSSTGTAKESHSHSLSQGQHLLLGWLLWLALRVCVDM
+>sp|Q6ZVD7|STOX1_HUMAN Storkhead-box protein 1 OS=Homo sapiens OX=9606 GN=STOX1 PE=1 SV=2
+MARPVQLAPGSLALVLCRLEAQKAAGAAEEPGGRAVFRAFRRANARCFWNARLARAASRLAFQGWLRRGVLLVRAPPACLQVLRDAWRRRALRPPRGFRIRAVGDVFPVQMNPITQSQFVPLGEVLCCAISDMNTAQIVVTQESLLERLMKHYPGIAIPSEDILYTTLGTLIKERKIYHTGEGYFIVTPQTYFITNTTTQENKRMLPSDESRLMPASMTYLVSMESCAESAQENAAPISHCQSCQCFRDMHTQDVQEAPVAAEVTRKSHRGLGESVSWVQNGAVSVSAEHHICESTKPLPYTRDKEKGKKFGFSLLWRSLSRKEKPKTEHSSFSAQFPPEEWPVRDEDDLDNIPRDVEHEIIKRINPILTVDNLIKHTVLMQKYEEQKKYNSQGTSTDMLTIGHKYPSKEGVKKRQGLSAKPQGQGHSRRDRHKARNQGSEFQPGSIRLEKHPKLPATQPIPRIKSPNEMVGQKPLGEITTVLGSHLIYKKRISNPFQGLSHRGSTISKGHKIQKTSDLKPSQTGPKEKPFQKPRSLDSSRIFDGKAKEPYAEQPNDKMEAESIYINDPTVKPINDDFRGHLFSHPQQSMLQNDGKCCPFMESMLRYEVYGGENEVIPEVLRKSHSHFDKLGETKQTPHSLPSRGASFSDRTPSACRLVDNTIHQFQNLGLLDYPVGVNPLRQAARQDKDSEELLRKGFVQDAETTSLENEQLSNDDQALYQNEVEDDDGACSSLYLEEDDISENDDLRQMLPGHSQYSFTGGSQGNHLGKQKVIERSLTEYNSTMERVESQVLKRNECYKPTGLHATPGESQEPNLSAESCGLNSGAQFGFNYEEEPSVAKCVQASAPADERIFDYYSARKASFEAEVIQDTIGDTGKKPASWSQSPQNQEMRKHFPQKFQLFNTSHMPVLAQDVQYEHSHLEGTENHSMAGDSGIDSPRTQSLGSNNSVILDGLKRRQNFLQNVEGTKSSQPLTSNSLLPLTPVINV
+>DECOY_sp|Q6ZVD7|STOX1_HUMAN Storkhead-box protein 1 OS=Homo sapiens OX=9606 GN=STOX1 PE=1 SV=2
+VNIVPTLPLLSNSTLPQSSKTGEVNQLFNQRRKLGDLIVSNNSGLSQTRPSDIGSDGAMSHNETGELHSHEYQVDQALVPMHSTNFLQFKQPFHKRMEQNQPSQSWSAPKKGTDGITDQIVEAEFSAKRASYYDFIREDAPASAQVCKAVSPEEEYNFGFQAGSNLGCSEASLNPEQSEGPTAHLGTPKYCENRKLVQSEVREMTSNYETLSREIVKQKGLHNGQSGGTFSYQSHGPLMQRLDDNESIDDEELYLSSCAGDDDEVENQYLAQDDNSLQENELSTTEADQVFGKRLLEESDKDQRAAQRLPNVGVPYDLLGLNQFQHITNDVLRCASPTRDSFSAGRSPLSHPTQKTEGLKDFHSHSKRLVEPIVENEGGYVEYRLMSEMFPCCKGDNQLMSQQPHSFLHGRFDDNIPKVTPDNIYISEAEMKDNPQEAYPEKAKGDFIRSSDLSRPKQFPKEKPGTQSPKLDSTKQIKHGKSITSGRHSLGQFPNSIRKKYILHSGLVTTIEGLPKQGVMENPSKIRPIPQTAPLKPHKELRISGPQFESGQNRAKHRDRRSHGQGQPKASLGQRKKVGEKSPYKHGITLMDTSTGQSNYKKQEEYKQMLVTHKILNDVTLIPNIRKIIEHEVDRPINDLDDEDRVPWEEPPFQASFSSHETKPKEKRSLSRWLLSFGFKKGKEKDRTYPLPKTSECIHHEASVSVAGNQVWSVSEGLGRHSKRTVEAAVPAEQVDQTHMDRFCQCSQCHSIPAANEQASEACSEMSVLYTMSAPMLRSEDSPLMRKNEQTTTNTIFYTQPTVIFYGEGTHYIKREKILTGLTTYLIDESPIAIGPYHKMLRELLSEQTVVIQATNMDSIACCLVEGLPVFQSQTIPNMQVPFVDGVARIRFGRPPRLARRRWADRLVQLCAPPARVLLVGRRLWGQFALRSAARALRANWFCRANARRFARFVARGGPEEAAGAAKQAELRCLVLALSGPALQVPRAM
+>sp|Q8N412|STPG2_HUMAN Sperm-tail PG-rich repeat-containing protein 2 OS=Homo sapiens OX=9606 GN=STPG2 PE=2 SV=1
+MYDRAPRLLKLAEGGSTEAHVGPGSYQVPFLKQQATGSNAPFLSLTARESTFTIASSIEKAVPGPGHYNVSEAQKISRSPTLTRSVDVPSIPSCGKSYGYHINDDGSIIKCFPPACDSTLGPAYYKPQFDVSNATLKYKGIHFGNSSGRQELPKKSGPGPGQYDIVQKKTSYYENVNIKRDQQQNYCSFIPRLYEIIVLQEKKKRFLPMKSITPAPGTYNEPRTALKSLKKTSGLKNIPFGQSAVRFTQDIRTEEMPGPGFYNVLNNTIIASVRNICSKKQKKSAFGSSVPRTFFSVQKEACATPGPADYQEFWHSQGVGISDELPNLTNKYAAFLSRAKRTMKVPDMVIPAPGSYDVHKSYEMSQVKHKYMPPRSLVAKRKHASFLSATPRCLEKVTDGPGPAAYNPVLRKSCPIPLFVKASKRFEESKEITPGPATYEISQEKKKGNLIGEMAADIM
+>DECOY_sp|Q8N412|STPG2_HUMAN Sperm-tail PG-rich repeat-containing protein 2 OS=Homo sapiens OX=9606 GN=STPG2 PE=2 SV=1
+MIDAAMEGILNGKKKEQSIEYTAPGPTIEKSEEFRKSAKVFLPIPCSKRLVPNYAAPGPGDTVKELCRPTASLFSAHKRKAVLSRPPMYKHKVQSMEYSKHVDYSGPAPIVMDPVKMTRKARSLFAAYKNTLNPLEDSIGVGQSHWFEQYDAPGPTACAEKQVSFFTRPVSSGFASKKQKKSCINRVSAIITNNLVNYFGPGPMEETRIDQTFRVASQGFPINKLGSTKKLSKLATRPENYTGPAPTISKMPLFRKKKEQLVIIEYLRPIFSCYNQQQDRKINVNEYYSTKKQVIDYQGPGPGSKKPLEQRGSSNGFHIGKYKLTANSVDFQPKYYAPGLTSDCAPPFCKIISGDDNIHYGYSKGCSPISPVDVSRTLTPSRSIKQAESVNYHGPGPVAKEISSAITFTSERATLSLFPANSGTAQQKLFPVQYSGPGVHAETSGGEALKLLRPARDYM
+>sp|Q8N801|STPG4_HUMAN Protein STPG4 OS=Homo sapiens OX=9606 GN=STPG4 PE=2 SV=2
+MDQPAVATASTSIREDLVGGESFITASKPAQKTSSFEREGWWRIALTDTPIPGTYHLKTFIEESLLNPVIATYNFKNEGRKKPPLVQRNNPVLNDLPQYMPPDFLDLLKKQVATYSFKDKPRPSPSTLVDKDQSLQLSPGQYNVLPAPVPKYASRSCVFRSTVQRFPTTYFIPHEGPGPGHYNVKMPPTSSVTSCFQSRVPRFLPSCSKTPGPGAYTTLRQFPKQSPTIAKMGQEHSLFFNNNNWLLK
+>DECOY_sp|Q8N801|STPG4_HUMAN Protein STPG4 OS=Homo sapiens OX=9606 GN=STPG4 PE=2 SV=2
+KLLWNNNNFFLSHEQGMKAITPSQKPFQRLTTYAGPGPTKSCSPLFRPVRSQFCSTVSSTPPMKVNYHGPGPGEHPIFYTTPFRQVTSRFVCSRSAYKPVPAPLVNYQGPSLQLSQDKDVLTSPSPRPKDKFSYTAVQKKLLDLFDPPMYQPLDNLVPNNRQVLPPKKRGENKFNYTAIVPNLLSEEIFTKLHYTGPIPTDTLAIRWWGEREFSSTKQAPKSATIFSEGGVLDERISTSATAVAPQDM
+>sp|O95772|STR3N_HUMAN STARD3 N-terminal-like protein OS=Homo sapiens OX=9606 GN=STARD3NL PE=1 SV=1
+MNHLPEDMENALTGSQSSHASLRNIHSINPTQLMARIESYEGREKKGISDVRRTFCLFVTFDLLFVTLLWIIELNVNGGIENTLEKEVMQYDYYSSYFDIFLLAVFRFKVLILAYAVCRLRHWWAIALTTAVTSAFLLAKVILSKLFSQGAFGYVLPIISFILAWIETWFLDFKVLPQEAEEENRLLIVQDASERAALIPGGLSDGQFYSPPESEAGSEEAEEKQDSEKPLLEL
+>DECOY_sp|O95772|STR3N_HUMAN STARD3 N-terminal-like protein OS=Homo sapiens OX=9606 GN=STARD3NL PE=1 SV=1
+LELLPKESDQKEEAEESGAESEPPSYFQGDSLGGPILAARESADQVILLRNEEEAEQPLVKFDLFWTEIWALIFSIIPLVYGFAGQSFLKSLIVKALLFASTVATTLAIAWWHRLRCVAYALILVKFRFVALLFIDFYSSYYDYQMVEKELTNEIGGNVNLEIIWLLTVFLLDFTVFLCFTRRVDSIGKKERGEYSEIRAMLQTPNISHINRLSAHSSQSGTLANEMDEPLHNM
+>sp|Q7Z7C7|STRA8_HUMAN Stimulated by retinoic acid gene 8 protein homolog OS=Homo sapiens OX=9606 GN=STRA8 PE=2 SV=1
+MGKIDVDKILFFNQEIRLWQLIMATPEENSNPHDRATPQLPAQLQELEHRVARRRLSQARHRATLAALFNNLRKTVYSQSDLIASKWQVLNKAKSHIPELEQTLDNLLKLKASFNLEDGHASSLEEVKKEYASMYSGNDSFPQNGSSPWYLNFYKQTMDLLTGSGIITPQEAALPIVSAAISHLWQNLSEERKASLRQAWAQKHRGPATLAEACREPACAEGSVKDSGVDSQGASCSLVSTPEEILFEDAFDVASFLDKSEVPSTSSSSSVLASCNPENPEEKFQLYMQIINFFKGLSCANTQVKQEASFPVDEEMIMLQCTETFDDEDL
+>DECOY_sp|Q7Z7C7|STRA8_HUMAN Stimulated by retinoic acid gene 8 protein homolog OS=Homo sapiens OX=9606 GN=STRA8 PE=2 SV=1
+LDEDDFTETCQLMIMEEDVPFSAEQKVQTNACSLGKFFNIIQMYLQFKEEPNEPNCSALVSSSSSTSPVESKDLFSAVDFADEFLIEEPTSVLSCSAGQSDVGSDKVSGEACAPERCAEALTAPGRHKQAWAQRLSAKREESLNQWLHSIAASVIPLAAEQPTIIGSGTLLDMTQKYFNLYWPSSGNQPFSDNGSYMSAYEKKVEELSSAHGDELNFSAKLKLLNDLTQELEPIHSKAKNLVQWKSAILDSQSYVTKRLNNFLAALTARHRAQSLRRRAVRHELEQLQAPLQPTARDHPNSNEEPTAMILQWLRIEQNFFLIKDVDIKGM
+>sp|Q9C0K7|STRAB_HUMAN STE20-related kinase adapter protein beta OS=Homo sapiens OX=9606 GN=STRADB PE=1 SV=1
+MSLLDCFCTSRTQVESLRPEKQSETSIHQYLVDEPTLSWSRPSTRASEVLCSTNVSHYELQVEIGRGFDNLTSVHLARHTPTGTLVTIKITNLENCNEERLKALQKAVILSHFFRHPNITTYWTVFTVGSWLWVISPFMAYGSASQLLRTYFPEGMSETLIRNILFGAVRGLNYLHQNGCIHRSIKASHILISGDGLVTLSGLSHLHSLVKHGQRHRAVYDFPQFSTSVQPWLSPELLRQDLHGYNVKSDIYSVGITACELASGQVPFQDMHRTQMLLQKLKGPPYSPLDISIFPQSESRMKNSQSGVDSGIGESVLVSSGTHTVNSDRLHTPSSKTFSPAFFSLVQLCLQQDPEKRPSASSLLSHVFFKQMKEESQDSILSLLPPAYNKPSISLPPVLPWTEPECDFPDEKDSYWEF
+>DECOY_sp|Q9C0K7|STRAB_HUMAN STE20-related kinase adapter protein beta OS=Homo sapiens OX=9606 GN=STRADB PE=1 SV=1
+FEWYSDKEDPFDCEPETWPLVPPLSISPKNYAPPLLSLISDQSEEKMQKFFVHSLLSSASPRKEPDQQLCLQVLSFFAPSFTKSSPTHLRDSNVTHTGSSVLVSEGIGSDVGSQSNKMRSESQPFISIDLPSYPPGKLKQLLMQTRHMDQFPVQGSALECATIGVSYIDSKVNYGHLDQRLLEPSLWPQVSTSFQPFDYVARHRQGHKVLSHLHSLGSLTVLGDGSILIHSAKISRHICGNQHLYNLGRVAGFLINRILTESMGEPFYTRLLQSASGYAMFPSIVWLWSGVTFVTWYTTINPHRFFHSLIVAKQLAKLREENCNELNTIKITVLTGTPTHRALHVSTLNDFGRGIEVQLEYHSVNTSCLVESARTSPRSWSLTPEDVLYQHISTESQKEPRLSEVQTRSTCFCDLLSM
+>sp|Q13277|STX3_HUMAN Syntaxin-3 OS=Homo sapiens OX=9606 GN=STX3 PE=1 SV=3
+MKDRLEQLKAKQLTQDDDTDAVEIAIDNTAFMDEFFSEIEETRLNIDKISEHVEEAKKLYSIILSAPIPEPKTKDDLEQLTTEIKKRANNVRNKLKSMEKHIEEDEVRSSADLRIRKSQHSVLSRKFVEVMTKYNEAQVDFRERSKGRIQRQLEITGKKTTDEELEEMLESGNPAIFTSGIIDSQISKQALSEIEGRHKDIVRLESSIKELHDMFMDIAMLVENQGEMLDNIELNVMHTVDHVEKARDETKKAVKYQSQARKKLIIIIVLVVVLLGILALIIGLSVGLN
+>DECOY_sp|Q13277|STX3_HUMAN Syntaxin-3 OS=Homo sapiens OX=9606 GN=STX3 PE=1 SV=3
+NLGVSLGIILALIGLLVVVLVIIIILKKRAQSQYKVAKKTEDRAKEVHDVTHMVNLEINDLMEGQNEVLMAIDMFMDHLEKISSELRVIDKHRGEIESLAQKSIQSDIIGSTFIAPNGSELMEELEEDTTKKGTIELQRQIRGKSRERFDVQAENYKTMVEVFKRSLVSHQSKRIRLDASSRVEDEEIHKEMSKLKNRVNNARKKIETTLQELDDKTKPEPIPASLIISYLKKAEEVHESIKDINLRTEEIESFFEDMFATNDIAIEVADTDDDQTLQKAKLQELRDKM
+>sp|O15400|STX7_HUMAN Syntaxin-7 OS=Homo sapiens OX=9606 GN=STX7 PE=1 SV=4
+MSYTPGVGGDPAQLAQRISSNIQKITQCSVEIQRTLNQLGTPQDSPELRQQLQQKQQYTNQLAKETDKYIKEFGSLPTTPSEQRQRKIQKDRLVAEFTTSLTNFQKVQRQAAEREKEFVARVRASSRVSGSFPEDSSKERNLVSWESQTQPQVQVQDEEITEDDLRLIHERESSIRQLEADIMDINEIFKDLGMMIHEQGDVIDSIEANVENAEVHVQQANQQLSRAADYQRKSRKTLCIIILILVIGVAIISLIIWGLNH
+>DECOY_sp|O15400|STX7_HUMAN Syntaxin-7 OS=Homo sapiens OX=9606 GN=STX7 PE=1 SV=4
+HNLGWIILSIIAVGIVLILIIICLTKRSKRQYDAARSLQQNAQQVHVEANEVNAEISDIVDGQEHIMMGLDKFIENIDMIDAELQRISSEREHILRLDDETIEEDQVQVQPQTQSEWSVLNREKSSDEPFSGSVRSSARVRAVFEKEREAAQRQVKQFNTLSTTFEAVLRDKQIKRQRQESPTTPLSGFEKIYKDTEKALQNTYQQKQQLQQRLEPSDQPTGLQNLTRQIEVSCQTIKQINSSIRQALQAPDGGVGPTYSM
+>sp|O00186|STXB3_HUMAN Syntaxin-binding protein 3 OS=Homo sapiens OX=9606 GN=STXBP3 PE=1 SV=2
+MAPPVAERGLKSVVWQKIKATVFDDCKKEGEWKIMLLDEFTTKLLASCCKMTDLLEEGITVVENIYKNREPVRQMKALYFITPTSKSVDCFLHDFASKSENKYKAAYIYFTDFCPDNLFNKIKASCSKSIRRCKEINISFIPHESQVYTLDVPDAFYYCYSPDPGNAKGKDAIMETMADQIVTVCATLDENPGVRYKSKPLDNASKLAQLVEKKLEDYYKIDEKSLIKGKTHSQLLIIDRGFDPVSTVLHELTFQAMAYDLLPIENDTYKYKTDGKEKEAILEEEDDLWVRIRHRHIAVVLEEIPKLMKEISSTKKATEGKTSLSALTQLMKKMPHFRKQITKQVVHLNLAEDCMNKFKLNIEKLCKTEQDLALGTDAEGQKVKDSMRVLLPVLLNKNHDNCDKIRAILLYIFSINGTTEENLDRLIQNVKIENESDMIRNWSYLGVPIVPQSQQGKPLRKDRSAEETFQLSRWTPFIKDIMEDAIDNRLDSKEWPYCSQCPAVWNGSGAVSARQKPRANYLEDRKNGSKLIVFVIGGITYSEVRCAYEVSQAHKSCEVIIGSTHVLTPKKLLDDIKMLNKPKDKVSLIKDE
+>DECOY_sp|O00186|STXB3_HUMAN Syntaxin-binding protein 3 OS=Homo sapiens OX=9606 GN=STXBP3 PE=1 SV=2
+EDKILSVKDKPKNLMKIDDLLKKPTLVHTSGIIVECSKHAQSVEYACRVESYTIGGIVFVILKSGNKRDELYNARPKQRASVAGSGNWVAPCQSCYPWEKSDLRNDIADEMIDKIFPTWRSLQFTEEASRDKRLPKGQQSQPVIPVGLYSWNRIMDSENEIKVNQILRDLNEETTGNISFIYLLIARIKDCNDHNKNLLVPLLVRMSDKVKQGEADTGLALDQETKCLKEINLKFKNMCDEALNLHVVQKTIQKRFHPMKKMLQTLASLSTKGETAKKTSSIEKMLKPIEELVVAIHRHRIRVWLDDEEELIAEKEKGDTKYKYTDNEIPLLDYAMAQFTLEHLVTSVPDFGRDIILLQSHTKGKILSKEDIKYYDELKKEVLQALKSANDLPKSKYRVGPNEDLTACVTVIQDAMTEMIADKGKANGPDPSYCYYFADPVDLTYVQSEHPIFSINIEKCRRISKSCSAKIKNFLNDPCFDTFYIYAAKYKNESKSAFDHLFCDVSKSTPTIFYLAKMQRVPERNKYINEVVTIGEELLDTMKCCSALLKTTFEDLLMIKWEGEKKCDDFVTAKIKQWVVSKLGREAVPPAM
+>sp|Q8NFX7|STXB6_HUMAN Syntaxin-binding protein 6 OS=Homo sapiens OX=9606 GN=STXBP6 PE=1 SV=2
+MSAKSAISKEIFAPLDERMLGAVQVKRRTKKKIPFLATGGQGEYLTYICLSVTNKKPTQASITKVKQFEGSTSFVRRSQWMLEQLRQVNGIDPNGDSAEFDLLFENAFDQWVASTASEKCTFFQILHHTCQRYLTDRKPEFINCQSKIMGGNSILHSAADSVTSAVQKASQALNERGERLGRAEEKTEDLKNSAQQFAETAHKLAMKHKC
+>DECOY_sp|Q8NFX7|STXB6_HUMAN Syntaxin-binding protein 6 OS=Homo sapiens OX=9606 GN=STXBP6 PE=1 SV=2
+CKHKMALKHATEAFQQASNKLDETKEEARGLREGRENLAQSAKQVASTVSDAASHLISNGGMIKSQCNIFEPKRDTLYRQCTHHLIQFFTCKESATSAVWQDFANEFLLDFEASDGNPDIGNVQRLQELMWQSRRVFSTSGEFQKVKTISAQTPKKNTVSLCIYTLYEGQGGTALFPIKKKTRRKVQVAGLMREDLPAFIEKSIASKASM
+>sp|Q9Y6J8|STYL1_HUMAN Serine/threonine/tyrosine-interacting-like protein 1 OS=Homo sapiens OX=9606 GN=STYXL1 PE=1 SV=1
+MPGLLLCEPTELYNILNQATKLSRLTDPNYLCLLDVRSKWEYDESHVITALRVKKKNNEYLLPESVDLECVKYCVVYDNNSSTLEILLKDDDDDSDSDGDGKDLVPQAAIEYGRILTRLTHHPVYILKGGYERFSGTYHFLRTQKIIWMPQELDAFQPYPIEIVPGKVFVGNFSQACDPKIQKDLKIKAHVNVSMDTGPFFAGDADKLLHIRIEDSPEAQILPFLRHMCHFIEIHHHLGSVILIFSTQGISRSCAAIIAYLMHSNEQTLQRSWAYVKKCKNNMCPNRGLVSQLLEWEKTILGDSITNIMDPLY
+>DECOY_sp|Q9Y6J8|STYL1_HUMAN Serine/threonine/tyrosine-interacting-like protein 1 OS=Homo sapiens OX=9606 GN=STYXL1 PE=1 SV=1
+YLPDMINTISDGLITKEWELLQSVLGRNPCMNNKCKKVYAWSRQLTQENSHMLYAIIAACSRSIGQTSFILIVSGLHHHIEIFHCMHRLFPLIQAEPSDEIRIHLLKDADGAFFPGTDMSVNVHAKIKLDKQIKPDCAQSFNGVFVKGPVIEIPYPQFADLEQPMWIIKQTRLFHYTGSFREYGGKLIYVPHHTLRTLIRGYEIAAQPVLDKGDGDSDSDDDDDKLLIELTSSNNDYVVCYKVCELDVSEPLLYENNKKKVRLATIVHSEDYEWKSRVDLLCLYNPDTLRSLKTAQNLINYLETPECLLLGPM
+>sp|Q9UBS9|SUCO_HUMAN SUN domain-containing ossification factor OS=Homo sapiens OX=9606 GN=SUCO PE=1 SV=1
+MKKHRRALALVSCLFLCSLVWLPSWRVCCKESSSASASSYYSQDDNCALENEDVQFQKKDEREGPINAESLGKSGSNLPISPKEHKLKDDSIVDVQNTESKKLSPPVVETLPTVDLHEESSNAVVDSETVENISSSSTSEITPISKLDEIEKSGTIPIAKPSETEQSETDCDVGEALDASAPIEQPSFVSPPDSLVGQHIENVSSSHGKGKITKSEFESKVSASEQGGGDPKSALNASDNLKNESSDYTKPGDIDPTSVASPKDPEDIPTFDEWKKKVMEVEKEKSQSMHASSNGGSHATKKVQKNRNNYASVECGAKILAANPEAKSTSAILIENMDLYMLNPCSTKIWFVIELCEPIQVKQLDIANYELFSSTPKDFLVSISDRYPTNKWIKLGTFHGRDERNVQSFPLDEQMYAKYVKMFIKYIKVELLSHFGSEHFCPLSLIRVFGTSMVEEYEEIADSQYHSERQELFDEDYDYPLDYNTGEDKSSKNLLGSATNAILNMVNIAANILGAKTEDLTEGNKSISENATATAAPKMPESTPVSTPVPSPEYVTTEVHTHDMEPSTPDTPKESPIVQLVQEEEEEASPSTVTLLGSGEQEDESSPWFESETQIFCSELTTICCISSFSEYIYKWCSVRVALYRQRSRTALSKGKDYLVLAQPPLLLPAESVDVSVLQPLSGELENTNIEREAETVVLGDLSSSMHQDDLVNHTVDAVELEPSHSQTLSQSLLLDITPEINPLPKIEVSESVEYEAGHIPSPVIPQESSVEIDNETEQKSESFSSIEKPSITYETNKVNELMDNIIKEDVNSMQIFTKLSETIVPPINTATVPDNEDGEAKMNIADTAKQTLISVVDSSSLPEVKEEEQSPEDALLRGLQRTATDFYAELQNSTDLGYANGNLVHGSNQKESVFMRLNNRIKALEVNMSLSGRYLEELSQRYRKQMEEMQKAFNKTIVKLQNTSRIAEEQDQRQTEAIQLLQAQLTNMTQLVSNLSATVAELKREVSDRQSYLVISLVLCVVLGLMLCMQRCRNTSQFDGDYISKLPKSNQYPSPKRCFSSYDDMNLKRRTSFPLMRSKSLQLTGKEVDPNDLYIVEPLKFSPEKKKKRCKYKIEKIETIKPEEPLHPIANGDIKGRKPFTNQRDFSNMGEVYHSSYKGPPSEGSSETSSQSEESYFCGISACTSLCNGQSQKTKTEKRALKRRRSKVQDQGKLIKTLIQTKSGSLPSLHDIIKGNKEITVGTFGVTAVSGHI
+>DECOY_sp|Q9UBS9|SUCO_HUMAN SUN domain-containing ossification factor OS=Homo sapiens OX=9606 GN=SUCO PE=1 SV=1
+IHGSVATVGFTGVTIEKNGKIIDHLSPLSGSKTQILTKILKGQDQVKSRRRKLARKETKTKQSQGNCLSTCASIGCFYSEESQSSTESSGESPPGKYSSHYVEGMNSFDRQNTFPKRGKIDGNAIPHLPEEPKITEIKEIKYKCRKKKKEPSFKLPEVIYLDNPDVEKGTLQLSKSRMLPFSTRRKLNMDDYSSFCRKPSPYQNSKPLKSIYDGDFQSTNRCRQMCLMLGLVVCLVLSIVLYSQRDSVERKLEAVTASLNSVLQTMNTLQAQLLQIAETQRQDQEEAIRSTNQLKVITKNFAKQMEEMQKRYRQSLEELYRGSLSMNVELAKIRNNLRMFVSEKQNSGHVLNGNAYGLDTSNQLEAYFDTATRQLGRLLADEPSQEEEKVEPLSSSDVVSILTQKATDAINMKAEGDENDPVTATNIPPVITESLKTFIQMSNVDEKIINDMLENVKNTEYTISPKEISSFSESKQETENDIEVSSEQPIVPSPIHGAEYEVSESVEIKPLPNIEPTIDLLLSQSLTQSHSPELEVADVTHNVLDDQHMSSSLDGLVVTEAEREINTNELEGSLPQLVSVDVSEAPLLLPPQALVLYDKGKSLATRSRQRYLAVRVSCWKYIYESFSSICCITTLESCFIQTESEFWPSSEDEQEGSGLLTVTSPSAEEEEEQVLQVIPSEKPTDPTSPEMDHTHVETTVYEPSPVPTSVPTSEPMKPAATATANESISKNGETLDETKAGLINAAINVMNLIANTASGLLNKSSKDEGTNYDLPYDYDEDFLEQRESHYQSDAIEEYEEVMSTGFVRILSLPCFHESGFHSLLEVKIYKIFMKVYKAYMQEDLPFSQVNREDRGHFTGLKIWKNTPYRDSISVLFDKPTSSFLEYNAIDLQKVQIPECLEIVFWIKTSCPNLMYLDMNEILIASTSKAEPNAALIKAGCEVSAYNNRNKQVKKTAHSGGNSSAHMSQSKEKEVEMVKKKWEDFTPIDEPDKPSAVSTPDIDGPKTYDSSENKLNDSANLASKPDGGGQESASVKSEFESKTIKGKGHSSSVNEIHQGVLSDPPSVFSPQEIPASADLAEGVDCDTESQETESPKAIPITGSKEIEDLKSIPTIESTSSSSINEVTESDVVANSSEEHLDVTPLTEVVPPSLKKSETNQVDVISDDKLKHEKPSIPLNSGSKGLSEANIPGEREDKKQFQVDENELACNDDQSYYSSASASSSEKCCVRWSPLWVLSCLFLCSVLALARRHKKM
+>sp|Q92537|SUSD6_HUMAN Sushi domain-containing protein 6 OS=Homo sapiens OX=9606 GN=SUSD6 PE=1 SV=1
+MCHGRIAPKSTSVFAVASVGHGVFLPLVILCTLLGDGLASVCPLPPEPENGGYICHPRPCRDPLTAGSVIEYLCAEGYMLKGDYKYLTCKNGEWKPAMEISCRLNEDKDTHTSLGVPTLSIVASTASSVALILLLVVLFVLLQPKLKSFHHSRRDQGVSGDQVSIMVDGVQVALPSYEEAVYGSSGHCVPPADPRVQIVLSEGSGPSGRSVPREQQLPDQGACSSAGGEDEAPGQSGLCEAWGSRASETVMVHQATTSSWVAGSGNRQLAHKETADSENSDIQSLLSLTSEEYTDDIPLLKEA
+>DECOY_sp|Q92537|SUSD6_HUMAN Sushi domain-containing protein 6 OS=Homo sapiens OX=9606 GN=SUSD6 PE=1 SV=1
+AEKLLPIDDTYEESTLSLLSQIDSNESDATEKHALQRNGSGAVWSSTTAQHVMVTESARSGWAECLGSQGPAEDEGGASSCAGQDPLQQERPVSRGSPGSGESLVIQVRPDAPPVCHGSSGYVAEEYSPLAVQVGDVMISVQDGSVGQDRRSHHFSKLKPQLLVFLVVLLLILAVSSATSAVISLTPVGLSTHTDKDENLRCSIEMAPKWEGNKCTLYKYDGKLMYGEACLYEIVSGATLPDRCPRPHCIYGGNEPEPPLPCVSALGDGLLTCLIVLPLFVGHGVSAVAFVSTSKPAIRGHCM
+>sp|Q9H5I1|SUV92_HUMAN Histone-lysine N-methyltransferase SUV39H2 OS=Homo sapiens OX=9606 GN=SUV39H2 PE=1 SV=2
+MAAVGAEARGAWCVPCLVSLDTLQELCRKEKLTCKSIGITKRNLNNYEVEYLCDYKVVKDMEYYLVKWKGWPDSTNTWEPLQNLKCPLLLQQFSNDKHNYLSQVKKGKAITPKDNNKTLKPAIAEYIVKKAKQRIALQRWQDELNRRKNHKGMIFVENTVDLEGPPSDFYYINEYKPAPGISLVNEATFGCSCTDCFFQKCCPAEAGVLLAYNKNQQIKIPPGTPIYECNSRCQCGPDCPNRIVQKGTQYSLCIFRTSNGRGWGVKTLVKIKRMSFVMEYVGEVITSEEAERRGQFYDNKGITYLFDLDYESDEFTVDAARYGNVSHFVNHSCDPNLQVFNVFIDNLDTRLPRIALFSTRTINAGEELTFDYQMKGSGDISSDSIDHSPAKKRVRTVCKCGAVTCRGYLN
+>DECOY_sp|Q9H5I1|SUV92_HUMAN Histone-lysine N-methyltransferase SUV39H2 OS=Homo sapiens OX=9606 GN=SUV39H2 PE=1 SV=2
+NLYGRCTVAGCKCVTRVRKKAPSHDISDSSIDGSGKMQYDFTLEEGANITRTSFLAIRPLRTDLNDIFVNFVQLNPDCSHNVFHSVNGYRAADVTFEDSEYDLDFLYTIGKNDYFQGRREAEESTIVEGVYEMVFSMRKIKVLTKVGWGRGNSTRFICLSYQTGKQVIRNPCDPGCQCRSNCEYIPTGPPIKIQQNKNYALLVGAEAPCCKQFFCDTCSCGFTAENVLSIGPAPKYENIYYFDSPPGELDVTNEVFIMGKHNKRRNLEDQWRQLAIRQKAKKVIYEAIAPKLTKNNDKPTIAKGKKVQSLYNHKDNSFQQLLLPCKLNQLPEWTNTSDPWGKWKVLYYEMDKVVKYDCLYEVEYNNLNRKTIGISKCTLKEKRCLEQLTDLSVLCPVCWAGRAEAGVAAM
+>sp|O95425|SVIL_HUMAN Supervillin OS=Homo sapiens OX=9606 GN=SVIL PE=1 SV=2
+MKRKERIARRLEGIENDTQPILLQSCTGLVTHRLLEEDTPRYMRASDPASPHIGRSNEEEETSDSSLEKQTRSKYCTETSGVHGDSPYGSGTMDTHSLESKAERIARYKAERRRQLAEKYGLTLDPEADSEYLSRYTKSRKEPDAVEKRGGKSDKQEESSRDASSLYPGTETMGLRTCAGESKDYALHVGDGSSDPEVLLNIENQRRGQELSATRQAHDLSPAAESSSTFSFSGRDSSFTEVPRSPKHAHSSSLQQAASRSPSFGDPQLSPEARPSTGKPKHEWFLQKDSEGDTPSLINWPSRVKVREKLVKEESARNSPELASESVTQRRHQPAPVHYVSFQSEHSAFDRVPSKAAGSTRQPIRGYVQPADTGHTAKLVTPETPENASECSWVASATQNVPKPPSLTVLEGDGRDSPVLHVCESKAEEEEGEGEGEEKEEDVCFTEALEQSKKTLLALEGDGLVRSPEDPSRNEDFGKPAVSTVTLEHQKELENVAQPPQAPHQPTERTGRSEMVLYIQSEPVSQDAKPTGHNREASKKRKVRTRSLSDFTGPPQLQALKYKDPASRRELELPSSKTEGPYGEISMLDTKVSVAQLRSAFLASANACRRPELKSRVERSAEGPGLPTGVERERGSRKPRRYFSPGESRKTSERFRTQPITSAERKESDRCTSHSETPTVDDEEKVDERAKLSVAAKRLLFREMEKSFDEQNVPKRRSRNTAVEQRLRRLQDRSLTQPITTEEVVIAATEPIPASCSGGTHPVMARLPSPTVARSAVQPARLQASAHQKALAKDQTNEGKELAEQGEPDSSTLSLAEKLALFNKLSQPVSKAISTRNRIDTRQRRMNARYQTQPVTLGEVEQVQSGKLIPFSPAVNTSVSTVASTVAPMYAGDLRTKPPLDHNASATDYKFSSSIENSDSPVRSILKSQAWQPLVEGSENKGMLREYGETESKRALTGRDSGMEKYGSFEEAEASYPILNRAREGDSHKESKYAVPRRGSLERANPPITHLGDEPKEFSMAKMNAQGNLDLRDRLPFEEKVEVENVMKRKFSLRAAEFGEPTSEQTGTAAGKTIAQTTAPVSWKPQDSSEQPQEKLCKNPCAMFAAGEIKTPTGEGLLDSPSKTMSIKERLALLKKSGEEDWRNRLSRRQEGGKAPASSLHTQEAGRSLIKKRVTESRESQMTIEERKQLITVREEAWKTRGRGAANDSTQFTVAGRMVKKGLASPTAITPVASPICGKTRGTTPVSKPLEDIEARPDMQLESDLKLDRLETFLRRLNNKVGGMHETVLTVTGKSVKEVMKPDDDETFAKFYRSVDYNMPRSPVEMDEDFDVIFDPYAPKLTSSVAEHKRAVRPKRRVQASKNPLKMLAAREDLLQEYTEQRLNVAFMESKRMKVEKMSSNSNFSEVTLAGLASKENFSNVSLRSVNLTEQNSNNSAVPYKRLMLLQIKGRRHVQTRLVEPRASALNSGDCFLLLSPHCCFLWVGEFANVIEKAKASELATLIQTKRELGCRATYIQTIEEGINTHTHAAKDFWKLLGGQTSYQSAGDPKEDELYEAAIIETNCIYRLMDDKLVPDDDYWGKIPKCSLLQPKEVLVFDFGSEVYVWHGKEVTLAQRKIAFQLAKHLWNGTFDYENCDINPLDPGECNPLIPRKGQGRPDWAIFGRLTEHNETILFKEKFLDWTELKRSNEKNPGELAQHKEDPRTDVKAYDVTRMVSMPQTTAGTILDGVNVGRGYGLVEGHDRRQFEITSVSVDVWHILEFDYSRLPKQSIGQFHEGDAYVVKWKFMVSTAVGSRQKGEHSVRAAGKEKCVYFFWQGRHSTVSEKGTSALMTVELDEERGAQVQVLQGKEPPCFLQCFQGGMVVHSGRREEEEENVQSEWRLYCVRGEVPVEGNLLEVACHCSSLRSRTSMVVLNVNKALIYLWHGCKAQAHTKEVGRTAANKIKEQCPLEAGLHSSSKVTIHECDEGSEPLGFWDALGRRDRKAYDCMLQDPGSFNFAPRLFILSSSSGDFAATEFVYPARAPSVVSSMPFLQEDLYSAPQPALFLVDNHHEVYLWQGWWPIENKITGSARIRWASDRKSAMETVLQYCKGKNLKKPAPKSYLIHAGLEPLTFTNMFPSWEHREDIAEITEMDTEVSNQITLVEDVLAKLCKTIYPLADLLARPLPEGVDPLKLEIYLTDEDFEFALDMTRDEYNALPAWKQVNLKKAKGLF
+>DECOY_sp|O95425|SVIL_HUMAN Supervillin OS=Homo sapiens OX=9606 GN=SVIL PE=1 SV=2
+FLGKAKKLNVQKWAPLANYEDRTMDLAFEFDEDTLYIELKLPDVGEPLPRALLDALPYITKCLKALVDEVLTIQNSVETDMETIEAIDERHEWSPFMNTFTLPELGAHILYSKPAPKKLNKGKCYQLVTEMASKRDSAWRIRASGTIKNEIPWWGQWLYVEHHNDVLFLAPQPASYLDEQLFPMSSVVSPARAPYVFETAAFDGSSSSLIFLRPAFNFSGPDQLMCDYAKRDRRGLADWFGLPESGEDCEHITVKSSSHLGAELPCQEKIKNAATRGVEKTHAQAKCGHWLYILAKNVNLVVMSTRSRLSSCHCAVELLNGEVPVEGRVCYLRWESQVNEEEEERRGSHVVMGGQFCQLFCPPEKGQLVQVQAGREEDLEVTMLASTGKESVTSHRGQWFFYVCKEKGAARVSHEGKQRSGVATSVMFKWKVVYADGEHFQGISQKPLRSYDFELIHWVDVSVSTIEFQRRDHGEVLGYGRGVNVGDLITGATTQPMSVMRTVDYAKVDTRPDEKHQALEGPNKENSRKLETWDLFKEKFLITENHETLRGFIAWDPRGQGKRPILPNCEGPDLPNIDCNEYDFTGNWLHKALQFAIKRQALTVEKGHWVYVESGFDFVLVEKPQLLSCKPIKGWYDDDPVLKDDMLRYICNTEIIAAEYLEDEKPDGASQYSTQGGLLKWFDKAAHTHTNIGEEITQIYTARCGLERKTQILTALESAKAKEIVNAFEGVWLFCCHPSLLLFCDGSNLASARPEVLRTQVHRRGKIQLLMLRKYPVASNNSNQETLNVSRLSVNSFNEKSALGALTVESFNSNSSMKEVKMRKSEMFAVNLRQETYEQLLDERAALMKLPNKSAQVRRKPRVARKHEAVSSTLKPAYPDFIVDFDEDMEVPSRPMNYDVSRYFKAFTEDDDPKMVEKVSKGTVTLVTEHMGGVKNNLRRLFTELRDLKLDSELQMDPRAEIDELPKSVPTTGRTKGCIPSAVPTIATPSALGKKVMRGAVTFQTSDNAAGRGRTKWAEERVTILQKREEITMQSERSETVRKKILSRGAEQTHLSSAPAKGGEQRRSLRNRWDEEGSKKLLALREKISMTKSPSDLLGEGTPTKIEGAAFMACPNKCLKEQPQESSDQPKWSVPATTQAITKGAATGTQESTPEGFEAARLSFKRKMVNEVEVKEEFPLRDRLDLNGQANMKAMSFEKPEDGLHTIPPNARELSGRRPVAYKSEKHSDGERARNLIPYSAEAEEFSGYKEMGSDRGTLARKSETEGYERLMGKNESGEVLPQWAQSKLISRVPSDSNEISSSFKYDTASANHDLPPKTRLDGAYMPAVTSAVTSVSTNVAPSFPILKGSQVQEVEGLTVPQTQYRANMRRQRTDIRNRTSIAKSVPQSLKNFLALKEALSLTSSDPEGQEALEKGENTQDKALAKQHASAQLRAPQVASRAVTPSPLRAMVPHTGGSCSAPIPETAAIVVEETTIPQTLSRDQLRRLRQEVATNRSRRKPVNQEDFSKEMERFLLRKAAVSLKAREDVKEEDDVTPTESHSTCRDSEKREASTIPQTRFRESTKRSEGPSFYRRPKRSGREREVGTPLGPGEASREVRSKLEPRRCANASALFASRLQAVSVKTDLMSIEGYPGETKSSPLELERRSAPDKYKLAQLQPPGTFDSLSRTRVKRKKSAERNHGTPKADQSVPESQIYLVMESRGTRETPQHPAQPPQAVNELEKQHELTVTSVAPKGFDENRSPDEPSRVLGDGELALLTKKSQELAETFCVDEEKEEGEGEGEEEEAKSECVHLVPSDRGDGELVTLSPPKPVNQTASAVWSCESANEPTEPTVLKATHGTDAPQVYGRIPQRTSGAAKSPVRDFASHESQFSVYHVPAPQHRRQTVSESALEPSNRASEEKVLKERVKVRSPWNILSPTDGESDKQLFWEHKPKGTSPRAEPSLQPDGFSPSRSAAQQLSSSHAHKPSRPVETFSSDRGSFSFTSSSEAAPSLDHAQRTASLEQGRRQNEINLLVEPDSSGDGVHLAYDKSEGACTRLGMTETGPYLSSADRSSEEQKDSKGGRKEVADPEKRSKTYRSLYESDAEPDLTLGYKEALQRRREAKYRAIREAKSELSHTDMTGSGYPSDGHVGSTETCYKSRTQKELSSDSTEEEENSRGIHPSAPDSARMYRPTDEELLRHTVLGTCSQLLIPQTDNEIGELRRAIREKRKM
+>sp|A6NEL2|SWAHB_HUMAN Ankyrin repeat domain-containing protein SOWAHB OS=Homo sapiens OX=9606 GN=SOWAHB PE=1 SV=1
+MARELSQEALLDFLCQAGGRVTNAALLSHFKSFLRDPDASPSQHQHRRELFKGFVNSVAAVRQDPDGTKYVVLKRRYRDLLGEEGLQRPREPPAAAPSAGGAAPCSPRGARRGEPPQQQPRRRRREKEPEEEPAGAAARAADAACNGLPGSDSRRAPGKGGGSKGSPGQRPPVPAAAAAGAQARASCAAAKTQGRCCWECLQNNLAVLPGELGALPHSATAEEKPARALPAQDDRGASREREEGALAEPAPVPAVAHSPPATVEAATSRASPPALLPGPAPRGDRPELLTPSSLHYSTLQQQQQRTREWVARHPQVPEARDQGPIRAWSVLPDNFLQLPLEPGSTEPNSEPPDPCLSSHSLFPVVPDESWESWAGNPSLTVFRSIRCQLSLQDLDDFVDQESDGSEESSSGPKDSPGASEEGLQVVLGTPDRGKLRNPAGGLSVSRKEGSPSRSPQGLRNRGDGHISQQVPAGANGLAGHPLKPLPWPVPKLRRSLRRSSLAGRAKLSSSDEEYLDEGLLKRSRRPPRSRKPSKAGTAPSPRVDAGLSLKLAEVKAVVAERGWRHSLWVPSGEGSAALAPHRTSEHKSSLVPLDAREHEWIVKLASGSWIQVWTLFWEDPQLALHKDFLTGYTALHWIAKHGDLRALQDLVSGAKKAGIVLDVNVRSSCGYTPLHLAAIHGHQGVIKLLVQRLASRVNVRDSSGKKPWQYLTSNTSGEIWQLLGAPRGKPIFPVYPLVGSSSPTRKAKSKEISRSVTRKTSFAALLKSQHNKWKLANQYEKFHSPREREEYSD
+>DECOY_sp|A6NEL2|SWAHB_HUMAN Ankyrin repeat domain-containing protein SOWAHB OS=Homo sapiens OX=9606 GN=SOWAHB PE=1 SV=1
+DSYEERERPSHFKEYQNALKWKNHQSKLLAAFSTKRTVSRSIEKSKAKRTPSSSGVLPYVPFIPKGRPAGLLQWIEGSTNSTLYQWPKKGSSDRVNVRSALRQVLLKIVGQHGHIAALHLPTYGCSSRVNVDLVIGAKKAGSVLDQLARLDGHKAIWHLATYGTLFDKHLALQPDEWFLTWVQIWSGSALKVIWEHERADLPVLSSKHESTRHPALAASGEGSPVWLSHRWGREAVVAKVEALKLSLGADVRPSPATGAKSPKRSRPPRRSRKLLGEDLYEEDSSSLKARGALSSRRLSRRLKPVPWPLPKLPHGALGNAGAPVQQSIHGDGRNRLGQPSRSPSGEKRSVSLGGAPNRLKGRDPTGLVVQLGEESAGPSDKPGSSSEESGDSEQDVFDDLDQLSLQCRISRFVTLSPNGAWSEWSEDPVVPFLSHSSLCPDPPESNPETSGPELPLQLFNDPLVSWARIPGQDRAEPVQPHRAVWERTRQQQQQLTSYHLSSPTLLEPRDGRPAPGPLLAPPSARSTAAEVTAPPSHAVAPVPAPEALAGEERERSAGRDDQAPLARAPKEEATASHPLAGLEGPLVALNNQLCEWCCRGQTKAAACSARAQAGAAAAAPVPPRQGPSGKSGGGKGPARRSDSGPLGNCAADAARAAAGAPEEEPEKERRRRRPQQQPPEGRRAGRPSCPAAGGASPAAAPPERPRQLGEEGLLDRYRRKLVVYKTGDPDQRVAAVSNVFGKFLERRHQHQSPSADPDRLFSKFHSLLAANTVRGGAQCLFDLLAEQSLERAM
+>sp|Q53LP3|SWAHC_HUMAN Ankyrin repeat domain-containing protein SOWAHC OS=Homo sapiens OX=9606 GN=SOWAHC PE=1 SV=1
+MEGPAEWGPEAALGPEAVLRFLAERGGRALHAELVQHFRGALGGEPEQRARARAHFKELVNAVATVRVDPADGAKYVHLKKRFCEGPSEPSGDPPRIQVTAEPEAPDGPAGPEARDRLPDAAAPESLPGQGRELGEGEPPAPAHWPPLSAGARRKNSRRDVQPLPRTPAPGPSEDLELPPHGCEEADRGSSLVGATAQRPARQNLRDLVMGSSPQLKRSVCPGGSSPGSSSGGGRGRGGGDSDSASVASSSAEEESSGGGSVTLDPLEHAWMLSASDGKWDSLEGLLTCEPGLLVKRDFITGFTCLHWAAKHGRQELLAMLVNFANKHQLPVNIDARTSGGYTALHLAAMHGHVEVVKLLVGAYDADVDIRDYSGKKASQYLSRSIAEEIKNLVGALDEGDGESAAGSGGGRWRLSKVLPSHLITYKLSHALEDGGDHHHHHHSAEGWVGGKAKDPGRKASGSSSGRIKPRLNKIRFRTQIVHTTPSFRDPEQPLEGRGEEGVGEERPVKGHSPFTLRPKSNVFG
+>DECOY_sp|Q53LP3|SWAHC_HUMAN Ankyrin repeat domain-containing protein SOWAHC OS=Homo sapiens OX=9606 GN=SOWAHC PE=1 SV=1
+GFVNSKPRLTFPSHGKVPREEGVGEEGRGELPQEPDRFSPTTHVIQTRFRIKNLRPKIRGSSSGSAKRGPDKAKGGVWGEASHHHHHHDGGDELAHSLKYTILHSPLVKSLRWRGGGSGAASEGDGEDLAGVLNKIEEAISRSLYQSAKKGSYDRIDVDADYAGVLLKVVEVHGHMAALHLATYGGSTRADINVPLQHKNAFNVLMALLEQRGHKAAWHLCTFGTIFDRKVLLGPECTLLGELSDWKGDSASLMWAHELPDLTVSGGGSSEEEASSSAVSASDSDGGGRGRGGGSSSGPSSGGPCVSRKLQPSSGMVLDRLNQRAPRQATAGVLSSGRDAEECGHPPLELDESPGPAPTRPLPQVDRRSNKRRAGASLPPWHAPAPPEGEGLERGQGPLSEPAAADPLRDRAEPGAPGDPAEPEATVQIRPPDGSPESPGECFRKKLHVYKAGDAPDVRVTAVANVLEKFHARARARQEPEGGLAGRFHQVLEAHLARGGREALFRLVAEPGLAAEPGWEAPGEM
+>sp|P08047|SP1_HUMAN Transcription factor Sp1 OS=Homo sapiens OX=9606 GN=SP1 PE=1 SV=3
+MSDQDHSMDEMTAVVKIEKGVGGNNGGNGNGGGAFSQARSSSTGSSSSTGGGGQESQPSPLALLAATCSRIESPNENSNNSQGPSQSGGTGELDLTATQLSQGANGWQIISSSSGATPTSKEQSGSSTNGSNGSESSKNRTVSGGQYVVAAAPNLQNQQVLTGLPGVMPNIQYQVIPQFQTVDGQQLQFAATGAQVQQDGSGQIQIIPGANQQIITNRGSGGNIIAAMPNLLQQAVPLQGLANNVLSGQTQYVTNVPVALNGNITLLPVNSVSAATLTPSSQAVTISSSGSQESGSQPVTSGTTISSASLVSSQASSSSFFTNANSYSTTTTTSNMGIMNFTTSGSSGTNSQGQTPQRVSGLQGSDALNIQQNQTSGGSLQAGQQKEGEQNQQTQQQQILIQPQLVQGGQALQALQAAPLSGQTFTTQAISQETLQNLQLQAVPNSGPIIIRTPTVGPNGQVSWQTLQLQNLQVQNPQAQTITLAPMQGVSLGQTSSSNTTLTPIASAASIPAGTVTVNAAQLSSMPGLQTINLSALGTSGIQVHPIQGLPLAIANAPGDHGAQLGLHGAGGDGIHDDTAGGEEGENSPDAQPQAGRRTRREACTCPYCKDSEGRGSGDPGKKKQHICHIQGCGKVYGKTSHLRAHLRWHTGERPFMCTWSYCGKRFTRSDELQRHKRTHTGEKKFACPECPKRFMRSDHLSKHIKTHQNKKGGPGVALSVGTLPLDSGAGSEGSGTATPSALITTNMVAMEAICPEGIARLANSGINVMQVADLQSINISGNGF
+>DECOY_sp|P08047|SP1_HUMAN Transcription factor Sp1 OS=Homo sapiens OX=9606 GN=SP1 PE=1 SV=3
+FGNGSINISQLDAVQMVNIGSNALRAIGEPCIAEMAVMNTTILASPTATGSGESGAGSDLPLTGVSLAVGPGGKKNQHTKIHKSLHDSRMFRKPCEPCAFKKEGTHTRKHRQLEDSRTFRKGCYSWTCMFPREGTHWRLHARLHSTKGYVKGCGQIHCIHQKKKGPDGSGRGESDKCYPCTCAERRTRRGAQPQADPSNEGEEGGATDDHIGDGGAGHLGLQAGHDGPANAIALPLGQIPHVQIGSTGLASLNITQLGPMSSLQAANVTVTGAPISAASAIPTLTTNSSSTQGLSVGQMPALTITQAQPNQVQLNQLQLTQWSVQGNPGVTPTRIIIPGSNPVAQLQLNQLTEQSIAQTTFTQGSLPAAQLAQLAQGGQVLQPQILIQQQQTQQNQEGEKQQGAQLSGGSTQNQQINLADSGQLGSVRQPTQGQSNTGSSGSTTFNMIGMNSTTTTTSYSNANTFFSSSSAQSSVLSASSITTGSTVPQSGSEQSGSSSITVAQSSPTLTAASVSNVPLLTINGNLAVPVNTVYQTQGSLVNNALGQLPVAQQLLNPMAAIINGGSGRNTIIQQNAGPIIQIQGSGDQQVQAGTAAFQLQQGDVTQFQPIVQYQINPMVGPLGTLVQQNQLNPAAAVVYQGGSVTRNKSSESGNSGNTSSGSQEKSTPTAGSSSSIIQWGNAGQSLQTATLDLEGTGGSQSPGQSNNSNENPSEIRSCTAALLALPSPQSEQGGGGTSSSSGTSSSRAQSFAGGGNGNGGNNGGVGKEIKVVATMEDMSHDQDSM
+>sp|Q02446|SP4_HUMAN Transcription factor Sp4 OS=Homo sapiens OX=9606 GN=SP4 PE=1 SV=2
+MSDQKKEEEEEAAAAAAMATEGGKTSEPENNNKKPKTSGSQDSQPSPLALLAATCSKIGTPGENQATGQQQIIIDPSQGLVQLQNQPQQLELVTTQLAGNAWQLVASTPPASKENNVSQPASSSSSSSSSNNGSASPTKTKSGNSSTPGQFQVIQVQNPSGSVQYQVIPQLQTVEGQQIQINPTSSSSLQDLQGQIQLISAGNNQAILTAANRTASGNILAQNLANQTVPVQIRPGVSIPLQLQTLPGTQAQVVTTLPINIGGVTLALPVINNVAAGGGTGQVGQPAATADSGTSNGNQLVSTPTNTTTSASTMPESPSSSTTCTTTASTSLTSSDTLVSSADTGQYASTSASSSERTIEESQTPAATESEAQSSSQLQPNGMQNAQDQSNSLQQVQIVGQPILQQIQIQQPQQQIIQAIPPQSFQLQSGQTIQTIQQQPLQNVQLQAVNPTQVLIRAPTLTPSGQISWQTVQVQNIQSLSNLQVQNAGLSQQLTITPVSSSGGTTLAQIAPVAVAGAPITLNTAQLASVPNLQTVSVANLGAAGVQVQGVPVTITSVAGQQQGQDGVKVQQATIAPVTVAVGGIANATIGAVSPDQLTQVHLQQGQQTSDQEVQPGKRLRRVACSCPNCREGEGRGSNEPGKKKQHICHIEGCGKVYGKTSHLRAHLRWHTGERPFICNWMFCGKRFTRSDELQRHRRTHTGEKRFECPECSKRFMRSDHLSKHVKTHQNKKGGGTALAIVTSGELDSSVTEVLGSPRIVTVAAISQDSNPATPNVSTNMEEF
+>DECOY_sp|Q02446|SP4_HUMAN Transcription factor Sp4 OS=Homo sapiens OX=9606 GN=SP4 PE=1 SV=2
+FEEMNTSVNPTAPNSDQSIAAVTVIRPSGLVETVSSDLEGSTVIALATGGGKKNQHTKVHKSLHDSRMFRKSCEPCEFRKEGTHTRRHRQLEDSRTFRKGCFMWNCIFPREGTHWRLHARLHSTKGYVKGCGEIHCIHQKKKGPENSGRGEGERCNPCSCAVRRLRKGPQVEQDSTQQGQQLHVQTLQDPSVAGITANAIGGVAVTVPAITAQQVKVGDQGQQQGAVSTITVPVGQVQVGAAGLNAVSVTQLNPVSALQATNLTIPAGAVAVPAIQALTTGGSSSVPTITLQQSLGANQVQLNSLSQINQVQVTQWSIQGSPTLTPARILVQTPNVAQLQVNQLPQQQITQITQGSQLQFSQPPIAQIIQQQPQQIQIQQLIPQGVIQVQQLSNSQDQANQMGNPQLQSSSQAESETAAPTQSEEITRESSSASTSAYQGTDASSVLTDSSTLSTSATTTCTTSSSPSEPMTSASTTTNTPTSVLQNGNSTGSDATAAPQGVQGTGGGAAVNNIVPLALTVGGINIPLTTVVQAQTGPLTQLQLPISVGPRIQVPVTQNALNQALINGSATRNAATLIAQNNGASILQIQGQLDQLSSSSTPNIQIQQGEVTQLQPIVQYQVSGSPNQVQIVQFQGPTSSNGSKTKTPSASGNNSSSSSSSSSAPQSVNNEKSAPPTSAVLQWANGALQTTVLELQQPQNQLQVLGQSPDIIIQQQGTAQNEGPTGIKSCTAALLALPSPQSDQSGSTKPKKNNNEPESTKGGETAMAAAAAAEEEEEKKQDSM
+>sp|Q3SY56|SP6_HUMAN Transcription factor Sp6 OS=Homo sapiens OX=9606 GN=SP6 PE=2 SV=1
+MLTAVCGSLGSQHTEAPHASPPRLDLQPLQTYQGHTSPEAGDYPSPLQPGELQSLPLGPEVDFSQGYELPGASSRVTCEDLESDSPLAPGPFSKLLQPDMSHHYESWFRPTHPGAEDGSWWDLHPGTSWMDLPHTQGALTSPGHPGALQAGLGGYVGDHQLCAPPPHPHAHHLLPAAGGQHLLGPPDGAKALEVAAPESQGLDSSLDGAARPKGSRRSVPRSSGQTVCRCPNCLEAERLGAPCGPDGGKKKHLHNCHIPGCGKAYAKTSHLKAHLRWHSGDRPFVCNWLFCGKRFTRSDELQRHLQTHTGTKKFPCAVCSRVFMRSDHLAKHMKTHEGAKEEAAGAASGEGKAGGAVEPPGGKGKREAEGSVAPSN
+>DECOY_sp|Q3SY56|SP6_HUMAN Transcription factor Sp6 OS=Homo sapiens OX=9606 GN=SP6 PE=2 SV=1
+NSPAVSGEAERKGKGGPPEVAGGAKGEGSAAGAAEEKAGEHTKMHKALHDSRMFVRSCVACPFKKTGTHTQLHRQLEDSRTFRKGCFLWNCVFPRDGSHWRLHAKLHSTKAYAKGCGPIHCNHLHKKKGGDPGCPAGLREAELCNPCRCVTQGSSRPVSRRSGKPRAAGDLSSDLGQSEPAAVELAKAGDPPGLLHQGGAAPLLHHAHPHPPPACLQHDGVYGGLGAQLAGPHGPSTLAGQTHPLDMWSTGPHLDWWSGDEAGPHTPRFWSEYHHSMDPQLLKSFPGPALPSDSELDECTVRSSAGPLEYGQSFDVEPGLPLSQLEGPQLPSPYDGAEPSTHGQYTQLPQLDLRPPSAHPAETHQSGLSGCVATLM
+>sp|Q86W54|SPA24_HUMAN Spermatogenesis-associated protein 24 OS=Homo sapiens OX=9606 GN=SPATA24 PE=1 SV=2
+MATPLGWSKAGSGSVCLALDQLRDVIESQEELIHQLRNVMVLQDENFVSKEEFQAVEKKLVEEKAAHAKTKVLLAKEEEKLQFALGEVEVLSKQLEKEKLAFEKALSSVKSKVLQESSKKDQLITKCNEIESHIIKQEDILNGKENEIKELQQVISQQKQIFRNHMSDFRIQKQQESYMAQVLDQKHKKASGTRQARSHQHPREK
+>DECOY_sp|Q86W54|SPA24_HUMAN Spermatogenesis-associated protein 24 OS=Homo sapiens OX=9606 GN=SPATA24 PE=1 SV=2
+KERPHQHSRAQRTGSAKKHKQDLVQAMYSEQQKQIRFDSMHNRFIQKQQSIVQQLEKIENEKGNLIDEQKIIHSEIENCKTILQDKKSSEQLVKSKVSSLAKEFALKEKELQKSLVEVEGLAFQLKEEEKALLVKTKAHAAKEEVLKKEVAQFEEKSVFNEDQLVMVNRLQHILEEQSEIVDRLQDLALCVSGSGAKSWGLPTAM
+>sp|Q8N4H0|SPA6L_HUMAN Spermatogenesis associated 6-like protein OS=Homo sapiens OX=9606 GN=SPATA6L PE=2 SV=2
+MPLEVVVELQIRAISCPGVFLPGKQDVYLGVYLMNQYLETNSFPSAFPIMIQESMRFEKVFESAVDPGAVVDLLEMWDELAYYEENTRDFLFPEPKLTPSHPRRCREVLMKTALGFPGIAPKIEFSTRTAIRECVFLHRNRFLEERHESRRPLSTSHEPIFPLNTIKMKLKENNLNRLPKGMQARAPSQYSTRHFFQDQPAQLNLGNNFKISGGSKPPFVVRHVDSAKPFGENISEHHLRRSRRKSKFSDFPFPTRRASSLDSLAANVKVIKEPDERIVLRSDSSSCLDSSQFGKSSSSKQGDADFHGKASFATYQHSTSPGPLDQPLLRERFHPGSQSTWKNIHERVCSLLTSHRAQLHQNKEDSTSEVNYIIERPSYPLKKYSLHEQRYF
+>DECOY_sp|Q8N4H0|SPA6L_HUMAN Spermatogenesis associated 6-like protein OS=Homo sapiens OX=9606 GN=SPATA6L PE=2 SV=2
+FYRQEHLSYKKLPYSPREIIYNVESTSDEKNQHLQARHSTLLSCVREHINKWTSQSGPHFRERLLPQDLPGPSTSHQYTAFSAKGHFDADGQKSSSSKGFQSSDLCSSSDSRLVIREDPEKIVKVNAALSDLSSARRTPFPFDSFKSKRRSRRLHHESINEGFPKASDVHRVVFPPKSGGSIKFNNGLNLQAPQDQFFHRTSYQSPARAQMGKPLRNLNNEKLKMKITNLPFIPEHSTSLPRRSEHREELFRNRHLFVCERIATRTSFEIKPAIGPFGLATKMLVERCRRPHSPTLKPEPFLFDRTNEEYYALEDWMELLDVVAGPDVASEFVKEFRMSEQIMIPFASPFSNTELYQNMLYVGLYVDQKGPLFVGPCSIARIQLEVVVELPM
+>sp|Q86WD7|SPA9_HUMAN Serpin A9 OS=Homo sapiens OX=9606 GN=SERPINA9 PE=1 SV=3
+MASYLYGVLFAVGLCAPIYCVSPANAPSAYPRPSSTKSTPASQVYSLNTDFAFRLYRRLVLETPSQNIFFSPVSVSTSLAMLSLGAHSVTKTQILQGLGFNLTHTPESAIHQGFQHLVHSLTVPSKDLTLKMGSALFVKKELQLQANFLGNVKRLYEAEVFSTDFSNPSIAQARINSHVKKKTQGKVVDIIQGLDLLTAMVLVNHIFFKAKWEKPFHPEYTRKNFPFLVGEQVTVHVPMMHQKEQFAFGVDTELNCFVLQMDYKGDAVAFFVLPSKGKMRQLEQALSARTLRKWSHSLQKRWIEVFIPRFSISASYNLETILPKMGIQNVFDKNADFSGIAKRDSLQVSKATHKAVLDVSEEGTEATAATTTKFIVRSKDGPSYFTVSFNRTFLMMITNKATDGILFLGKVENPTKS
+>DECOY_sp|Q86WD7|SPA9_HUMAN Serpin A9 OS=Homo sapiens OX=9606 GN=SERPINA9 PE=1 SV=3
+SKTPNEVKGLFLIGDTAKNTIMMLFTRNFSVTFYSPGDKSRVIFKTTTAATAETGEESVDLVAKHTAKSVQLSDRKAIGSFDANKDFVNQIGMKPLITELNYSASISFRPIFVEIWRKQLSHSWKRLTRASLAQELQRMKGKSPLVFFAVADGKYDMQLVFCNLETDVGFAFQEKQHMMPVHVTVQEGVLFPFNKRTYEPHFPKEWKAKFFIHNVLVMATLLDLGQIIDVVKGQTKKKVHSNIRAQAISPNSFDTSFVEAEYLRKVNGLFNAQLQLEKKVFLASGMKLTLDKSPVTLSHVLHQFGQHIASEPTHTLNFGLGQLIQTKTVSHAGLSLMALSTSVSVPSFFINQSPTELVLRRYLRFAFDTNLSYVQSAPTSKTSSPRPYASPANAPSVCYIPACLGVAFLVGYLYSAM
+>sp|Q96KW9|SPAC7_HUMAN Sperm acrosome-associated protein 7 OS=Homo sapiens OX=9606 GN=SPACA7 PE=1 SV=2
+MAVSQGDGTLCFVLLLCCWQETELRPRTVIPGSPTEIPFSSKQEDMSELLDEILVQEILDLNKTTPSEMPSTASTLSTPLHAGIDENYQAGGSENYHELLENLQFSPGIEVKISNDEANANANLHGDPSENYRGPQVSPGSEKSVSSKEKNSKNTQYENLSILDQILQNIGRSSGNIFHKEQQRTSAQRRSQGSQ
+>DECOY_sp|Q96KW9|SPAC7_HUMAN Sperm acrosome-associated protein 7 OS=Homo sapiens OX=9606 GN=SPACA7 PE=1 SV=2
+QSGQSRRQASTRQQEKHFINGSSRGINQLIQDLISLNEYQTNKSNKEKSSVSKESGPSVQPGRYNESPDGHLNANANAEDNSIKVEIGPSFQLNELLEHYNESGGAQYNEDIGAHLPTSLTSATSPMESPTTKNLDLIEQVLIEDLLESMDEQKSSFPIETPSGPIVTRPRLETEQWCCLLLVFCLTGDGQSVAM
+>sp|O75602|SPAG6_HUMAN Sperm-associated antigen 6 OS=Homo sapiens OX=9606 GN=SPAG6 PE=2 SV=1
+MSQRQVLQVFEQYQKARTQFVQMVAELATRPQNIETLQNAGVMSLLRTLLLDVVPTIQQTAALALGRLANYNDDLAEAVVKCDILPQLVYSLAEQNRFYKKAAAFVLRAVGKHSPQLAQAIVDCGALDTLVICLEDFDPGVKEAAAWALRYIARHNAELSQAVVDAGAVPLLVLCIQEPEIALKRIAASALSDIAKHSPELAQTVVDAGAVAHLAQMILNPDAKLKHQILSALSQVSKHSVDLAEMVVEAEIFPVVLTCLKDKDEYVKKNASTLIREIAKHTPELSQLVVNAGGVAAVIDCIGSCKGNTRLPGIMMLGYVAAHSENLAMAVIISKGVPQLSVCLSEEPEDHIKAAAAWALGQIGRHTPEHARAVAVTNTLPVLLSLYMSTESSEDLQVKSKKAIKNILQKCTYLPALEPFLYDAPPNILKHVVGQFSKVLPHDSKARRLFVTSGGLKKVQEIKAEPGSLLQEYINSINSCYPEEIVRYYSPGYSDTLLQRVDSYQPLNN
+>DECOY_sp|O75602|SPAG6_HUMAN Sperm-associated antigen 6 OS=Homo sapiens OX=9606 GN=SPAG6 PE=2 SV=1
+NNLPQYSDVRQLLTDSYGPSYYRVIEEPYCSNISNIYEQLLSGPEAKIEQVKKLGGSTVFLRRAKSDHPLVKSFQGVVHKLINPPADYLFPELAPLYTCKQLINKIAKKSKVQLDESSETSMYLSLLVPLTNTVAVARAHEPTHRGIQGLAWAAAAKIHDEPEESLCVSLQPVGKSIIVAMALNESHAAVYGLMMIGPLRTNGKCSGICDIVAAVGGANVVLQSLEPTHKAIERILTSANKKVYEDKDKLCTLVVPFIEAEVVMEALDVSHKSVQSLASLIQHKLKADPNLIMQALHAVAGADVVTQALEPSHKAIDSLASAAIRKLAIEPEQICLVLLPVAGADVVAQSLEANHRAIYRLAWAAAEKVGPDFDELCIVLTDLAGCDVIAQALQPSHKGVARLVFAAAKKYFRNQEALSYVLQPLIDCKVVAEALDDNYNALRGLALAATQQITPVVDLLLTRLLSMVGANQLTEINQPRTALEAVMQVFQTRAKQYQEFVQLVQRQSM
+>sp|O75391|SPAG7_HUMAN Sperm-associated antigen 7 OS=Homo sapiens OX=9606 GN=SPAG7 PE=1 SV=2
+MADLLGSILSSMEKPPSLGDQETRRKAREQAARLKKLQEQEKQQKVEFRKRMEKEVSDFIQDSGQIKKKFQPMNKIERSILHDVVEVAGLTSFSFGEDDDCRYVMIFKKEFAPSDEELDSYRRGEEWDPQKAEEKRKLKELAQRQEEEAAQQGPVVVSPASDYKDKYSHLIGKGAAKDAAHMLQANKTYGCVPVANKRDTRSIEEAMNEIRAKKRLRQSGEELPPTS
+>DECOY_sp|O75391|SPAG7_HUMAN Sperm-associated antigen 7 OS=Homo sapiens OX=9606 GN=SPAG7 PE=1 SV=2
+STPPLEEGSQRLRKKARIENMAEEISRTDRKNAVPVCGYTKNAQLMHAADKAAGKGILHSYKDKYDSAPSVVVPGQQAAEEEQRQALEKLKRKEEAKQPDWEEGRRYSDLEEDSPAFEKKFIMVYRCDDDEGFSFSTLGAVEVVDHLISREIKNMPQFKKKIQGSDQIFDSVEKEMRKRFEVKQQKEQEQLKKLRAAQERAKRRTEQDGLSPPKEMSSLISGLLDAM
+>sp|Q8N0X7|SPART_HUMAN Spartin OS=Homo sapiens OX=9606 GN=SPART PE=1 SV=1
+MEQEPQNGEPAEIKIIREAYKKAFLFVNKGLNTDELGQKEEAKNYYKQGIGHLLRGISISSKESEHTGPGWESARQMQQKMKETLQNVRTRLEILEKGLATSLQNDLQEVPKLYPEFPPKDMCEKLPEPQSFSSAPQHAEVNGNTSTPSAGAVAAPASLSLPSQSCPAEAPPAYTPQAAEGHYTVSYGTDSGEFSSVGEEFYRNHSQPPPLETLGLDADELILIPNGVQIFFVNPAGEVSAPSYPGYLRIVRFLDNSLDTVLNRPPGFLQVCDWLYPLVPDRSPVLKCTAGAYMFPDTMLQAAGCFVGVVLSSELPEDDRELFEDLLRQMSDLRLQANWNRAEEENEFQIPGRTRPSSDQLKEASGTDVKQLDQGNKDVRHKGKRGKRAKDTSSEEVNLSHIVPCEPVPEEKPKELPEWSEKVAHNILSGASWVSWGLVKGAEITGKAIQKGASKLRERIQPEEKPVEVSPAVTKGLYIAKQATGGAAKVSQFLVDGVCTVANCVGKELAPHVKKHGSKLVPESLKKDKDGKSPLDGAMVVAASSVQGFSTVWQGLECAAKCIVNNVSAETVQTVRYKYGYNAGEATHHAVDSAVNVGVTAYNINNIGIKAMVKKTATQTGHTLLEDYQIVDNSQRENQEGAANVNVRGEKDEQTKEVKEAKKKDK
+>DECOY_sp|Q8N0X7|SPART_HUMAN Spartin OS=Homo sapiens OX=9606 GN=SPART PE=1 SV=1
+KDKKKAEKVEKTQEDKEGRVNVNAAGEQNERQSNDVIQYDELLTHGTQTATKKVMAKIGINNINYATVGVNVASDVAHHTAEGANYGYKYRVTQVTEASVNNVICKAACELGQWVTSFGQVSSAAVVMAGDLPSKGDKDKKLSEPVLKSGHKKVHPALEKGVCNAVTCVGDVLFQSVKAAGGTAQKAIYLGKTVAPSVEVPKEEPQIRERLKSAGKQIAKGTIEAGKVLGWSVWSAGSLINHAVKESWEPLEKPKEEPVPECPVIHSLNVEESSTDKARKGRKGKHRVDKNGQDLQKVDTGSAEKLQDSSPRTRGPIQFENEEEARNWNAQLRLDSMQRLLDEFLERDDEPLESSLVVGVFCGAAQLMTDPFMYAGATCKLVPSRDPVLPYLWDCVQLFGPPRNLVTDLSNDLFRVIRLYGPYSPASVEGAPNVFFIQVGNPILILEDADLGLTELPPPQSHNRYFEEGVSSFEGSDTGYSVTYHGEAAQPTYAPPAEAPCSQSPLSLSAPAAVAGASPTSTNGNVEAHQPASSFSQPEPLKECMDKPPFEPYLKPVEQLDNQLSTALGKELIELRTRVNQLTEKMKQQMQRASEWGPGTHESEKSSISIGRLLHGIGQKYYNKAEEKQGLEDTNLGKNVFLFAKKYAERIIKIEAPEGNQPEQEM
+>sp|O75635|SPB7_HUMAN Serpin B7 OS=Homo sapiens OX=9606 GN=SERPINB7 PE=1 SV=1
+MASLAAANAEFCFNLFREMDDNQGNGNVFFSSLSLFAALALVRLGAQDDSLSQIDKLLHVNTASGYGNSSNSQSGLQSQLKRVFSDINASHKDYDLSIVNGLFAEKVYGFHKDYIECAEKLYDAKVERVDFTNHLEDTRRNINKWVENETHGKIKNVIGEGGISSSAVMVLVNAVYFKGKWQSAFTKSETINCHFKSPKCSGKAVAMMHQERKFNLSVIEDPSMKILELRYNGGINMYVLLPENDLSEIENKLTFQNLMEWTNPRRMTSKYVEVFFPQFKIEKNYEMKQYLRALGLKDIFDESKADLSGIASGGRLYISRMMHKSYIEVTEEGTEATAATGSNIVEKQLPQSTLFRADHPFLFVIRKDDIILFSGKVSCP
+>DECOY_sp|O75635|SPB7_HUMAN Serpin B7 OS=Homo sapiens OX=9606 GN=SERPINB7 PE=1 SV=1
+PCSVKGSFLIIDDKRIVFLFPHDARFLTSQPLQKEVINSGTAATAETGEETVEIYSKHMMRSIYLRGGSAIGSLDAKSEDFIDKLGLARLYQKMEYNKEIKFQPFFVEVYKSTMRRPNTWEMLNQFTLKNEIESLDNEPLLVYMNIGGNYRLELIKMSPDEIVSLNFKREQHMMAVAKGSCKPSKFHCNITESKTFASQWKGKFYVANVLVMVASSSIGGEGIVNKIKGHTENEVWKNINRRTDELHNTFDVREVKADYLKEACEIYDKHFGYVKEAFLGNVISLDYDKHSANIDSFVRKLQSQLGSQSNSSNGYGSATNVHLLKDIQSLSDDQAGLRVLALAAFLSLSSFFVNGNGQNDDMERFLNFCFEANAAALSAM
+>sp|P61009|SPCS3_HUMAN Signal peptidase complex subunit 3 OS=Homo sapiens OX=9606 GN=SPCS3 PE=1 SV=1
+MNTVLSRANSLFAFSLSVMAALTFGCFITTAFKDRSVPVRLHVSRIMLKNVEDFTGPRERSDLGFITFDITADLENIFDWNVKQLFLYLSAEYSTKNNALNQVVLWDKIVLRGDNPKLLLKDMKTKYFFFDDGNGLKGNRNVTLTLSWNVVPNAGILPLVTGSGHVSVPFPDTYEITKSY
+>DECOY_sp|P61009|SPCS3_HUMAN Signal peptidase complex subunit 3 OS=Homo sapiens OX=9606 GN=SPCS3 PE=1 SV=1
+YSKTIEYTDPFPVSVHGSGTVLPLIGANPVVNWSLTLTVNRNGKLGNGDDFFFYKTKMDKLLLKPNDGRLVIKDWLVVQNLANNKTSYEASLYLFLQKVNWDFINELDATIDFTIFGLDSRERPGTFDEVNKLMIRSVHLRVPVSRDKFATTIFCGFTLAAMVSLSFAFLSNARSLVTNM
+>sp|A6NLX3|SPDE4_HUMAN Speedy protein E4 OS=Homo sapiens OX=9606 GN=SPDYE4 PE=2 SV=2
+MASGQARPPFEEESPQPSTTVRSPEVVVDDEVPGPSAPWIDPSPQPQSLGLKRKSEWSDESEEELEEELELERAPEPEDTWVVETLCGLKMKLKRKRASSVLPEHHEAFNRLLGDPVVQKFLAWDKDLRVSDKYLLAMVIAYFSRAGLFSWQYQRIHFFLALYLASDMEEDNQAPKQDIFSFLYGKNYSQRPLFHKLRYQLLCSMRWRTWVSPEEMEEIQAYDPEHWVWARDRTLIS
+>DECOY_sp|A6NLX3|SPDE4_HUMAN Speedy protein E4 OS=Homo sapiens OX=9606 GN=SPDYE4 PE=2 SV=2
+SILTRDRAWVWHEPDYAQIEEMEEPSVWTRWRMSCLLQYRLKHFLPRQSYNKGYLFSFIDQKPAQNDEEMDSALYLALFFHIRQYQWSFLGARSFYAIVMALLYKDSVRLDKDWALFKQVVPDGLLRNFAEHHEPLVSSARKRKLKMKLGCLTEVVWTDEPEPARELELEEELEEESEDSWESKRKLGLSQPQPSPDIWPASPGPVEDDVVVEPSRVTTSPQPSEEEFPPRAQGSAM
+>sp|P19623|SPEE_HUMAN Spermidine synthase OS=Homo sapiens OX=9606 GN=SRM PE=1 SV=1
+MEPGPDGPAASGPAAIREGWFRETCSLWPGQALSLQVEQLLHHRRSRYQDILVFRSKTYGNVLVLDGVIQCTERDEFSYQEMIANLPLCSHPNPRKVLIIGGGDGGVLREVVKHPSVESVVQCEIDEDVIQVSKKFLPGMAIGYSSSKLTLHVGDGFEFMKQNQDAFDVIITDSSDPMGPAESLFKESYYQLMKTALKEDGVLCCQGECQWLHLDLIKEMRQFCQSLFPVVAYAYCTIPTYPSGQIGFMLCSKNPSTNFQEPVQPLTQQQVAQMQLKYYNSDVHRAAFVLPEFARKALNDVS
+>DECOY_sp|P19623|SPEE_HUMAN Spermidine synthase OS=Homo sapiens OX=9606 GN=SRM PE=1 SV=1
+SVDNLAKRAFEPLVFAARHVDSNYYKLQMQAVQQQTLPQVPEQFNTSPNKSCLMFGIQGSPYTPITCYAYAVVPFLSQCFQRMEKILDLHLWQCEGQCCLVGDEKLATKMLQYYSEKFLSEAPGMPDSSDTIIVDFADQNQKMFEFGDGVHLTLKSSSYGIAMGPLFKKSVQIVDEDIECQVVSEVSPHKVVERLVGGDGGGIILVKRPNPHSCLPLNAIMEQYSFEDRETCQIVGDLVLVNGYTKSRFVLIDQYRSRRHHLLQEVQLSLAQGPWLSCTERFWGERIAAPGSAAPGDPGPEM
+>sp|Q9NRA0|SPHK2_HUMAN Sphingosine kinase 2 OS=Homo sapiens OX=9606 GN=SPHK2 PE=1 SV=2
+MNGHLEAEEQQDQRPDQELTGSWGHGPRSTLVRAKAMAPPPPPLAASTPLLHGEFGSYPARGPRFALTLTSQALHIQRLRPKPEARPRGGLVPLAEVSGCCTLRSRSPSDSAAYFCIYTYPRGRRGARRRATRTFRADGAATYEENRAEAQRWATALTCLLRGLPLPGDGEITPDLLPRPPRLLLLVNPFGGRGLAWQWCKNHVLPMISEAGLSFNLIQTERQNHARELVQGLSLSEWDGIVTVSGDGLLHEVLNGLLDRPDWEEAVKMPVGILPCGSGNALAGAVNQHGGFEPALGLDLLLNCSLLLCRGGGHPLDLLSVTLASGSRCFSFLSVAWGFVSDVDIQSERFRALGSARFTLGTVLGLATLHTYRGRLSYLPATVEPASPTPAHSLPRAKSELTLTPDPAPPMAHSPLHRSVSDLPLPLPQPALASPGSPEPLPILSLNGGGPELAGDWGGAGDAPLSPDPLLSSPPGSPKAALHSPVSEGAPVIPPSSGLPLPTPDARVGASTCGPPDHLLPPLGTPLPPDWVTLEGDFVLMLAISPSHLGADLVAAPHARFDDGLVHLCWVRSGISRAALLRLFLAMERGSHFSLGCPQLGYAAARAFRLEPLTPRGVLTVDGEQVEYGPLQAQMHPGIGTLLTGPPGCPGREP
+>DECOY_sp|Q9NRA0|SPHK2_HUMAN Sphingosine kinase 2 OS=Homo sapiens OX=9606 GN=SPHK2 PE=1 SV=2
+PERGPCGPPGTLLTGIGPHMQAQLPGYEVQEGDVTLVGRPTLPELRFARAAAYGLQPCGLSFHSGREMALFLRLLAARSIGSRVWCLHVLGDDFRAHPAAVLDAGLHSPSIALMLVFDGELTVWDPPLPTGLPPLLHDPPGCTSAGVRADPTPLPLGSSPPIVPAGESVPSHLAAKPSGPPSSLLPDPSLPADGAGGWDGALEPGGGNLSLIPLPEPSGPSALAPQPLPLPLDSVSRHLPSHAMPPAPDPTLTLESKARPLSHAPTPSAPEVTAPLYSLRGRYTHLTALGLVTGLTFRASGLARFRESQIDVDSVFGWAVSLFSFCRSGSALTVSLLDLPHGGGRCLLLSCNLLLDLGLAPEFGGHQNVAGALANGSGCPLIGVPMKVAEEWDPRDLLGNLVEHLLGDGSVTVIGDWESLSLGQVLERAHNQRETQILNFSLGAESIMPLVHNKCWQWALGRGGFPNVLLLLRPPRPLLDPTIEGDGPLPLGRLLCTLATAWRQAEARNEEYTAAGDARFTRTARRRAGRRGRPYTYICFYAASDSPSRSRLTCCGSVEALPVLGGRPRAEPKPRLRQIHLAQSTLTLAFRPGRAPYSGFEGHLLPTSAALPPPPPAMAKARVLTSRPGHGWSGTLEQDPRQDQQEEAELHGNM
+>sp|Q99865|SPI2A_HUMAN Spindlin-2A OS=Homo sapiens OX=9606 GN=SPIN2A PE=1 SV=3
+MKTPNAQEAEGQQTRAAAGRATGSANMTKKKVSQKKQRGRPSSQPRRNIVGCRISHGWKEGDEPITQWKGTVLDQVPINPSLYLVKYDGIDCVYGLELHRDERVLSLKILSDRVASSHISDANLANTIIGKAVEHMFEGEHGSKDEWRGMVLAQAPIMKAWFYITYEKDPVLYMYQLLDDYKEGDLRIMPESSESPPTEREPGGVVDGLIGKHVEYTKEDGSKRIGMVIHQVETKPSVYFIKFDDDFHIYVYDLVKKS
+>DECOY_sp|Q99865|SPI2A_HUMAN Spindlin-2A OS=Homo sapiens OX=9606 GN=SPIN2A PE=1 SV=3
+SKKVLDYVYIHFDDDFKIFYVSPKTEVQHIVMGIRKSGDEKTYEVHKGILGDVVGGPERETPPSESSEPMIRLDGEKYDDLLQYMYLVPDKEYTIYFWAKMIPAQALVMGRWEDKSGHEGEFMHEVAKGIITNALNADSIHSSAVRDSLIKLSLVREDRHLELGYVCDIGDYKVLYLSPNIPVQDLVTGKWQTIPEDGEKWGHSIRCGVINRRPQSSPRGRQKKQSVKKKTMNASGTARGAAARTQQGEAEQANPTKM
+>sp|Q9HCB6|SPON1_HUMAN Spondin-1 OS=Homo sapiens OX=9606 GN=SPON1 PE=1 SV=2
+MRLSPAPLKLSRTPALLALALPLAAALAFSDETLDKVPKSEGYCSRILRAQGTRREGYTEFSLRVEGDPDFYKPGTSYRVTLSAAPPSYFRGFTLIALRENREGDKEEDHAGTFQIIDEEETQFMSNCPVAVTESTPRRRTRIQVFWIAPPAGTGCVILKASIVQKRIIYFQDEGSLTKKLCEQDSTFDGVTDKPILDCCACGTAKYRLTFYGNWSEKTHPKDYPRRANHWSAIIGGSHSKNYVLWEYGGYASEGVKQVAELGSPVKMEEEIRQQSDEVLTVIKAKAQWPAWQPLNVRAAPSAEFSVDRTRHLMSFLTMMGPSPDWNVGLSAEDLCTKECGWVQKVVQDLIPWDAGTDSGVTYESPNKPTIPQEKIRPLTSLDHPQSPFYDPEGGSITQVARVVIERIARKGEQCNIVPDNVDDIVADLAPEEKDEDDTPETCIYSNWSPWSACSSSTCDKGKRMRQRMLKAQLDLSVPCPDTQDFQPCMGPGCSDEDGSTCTMSEWITWSPCSISCGMGMRSRERYVKQFPEDGSVCTLPTEETEKCTVNEECSPSSCLMTEWGEWDECSATCGMGMKKRHRMIKMNPADGSMCKAETSQAEKCMMPECHTIPCLLSPWSEWSDCSVTCGKGMRTRQRMLKSLAELGDCNEDLEQVEKCMLPECPIDCELTEWSQWSECNKSCGKGHVIRTRMIQMEPQFGGAPCPETVQRKKCRIRKCLRNPSIQKLRWREARESRRSEQLKEESEGEQFPGCRMRPWTAWSECTKLCGGGIQERYMTVKKRFKSSQFTSCKDKKEIRACNVHPC
+>DECOY_sp|Q9HCB6|SPON1_HUMAN Spondin-1 OS=Homo sapiens OX=9606 GN=SPON1 PE=1 SV=2
+CPHVNCARIEKKDKCSTFQSSKFRKKVTMYREQIGGGCLKTCESWATWPRMRCGPFQEGESEEKLQESRRSERAERWRLKQISPNRLCKRIRCKKRQVTEPCPAGGFQPEMQIMRTRIVHGKGCSKNCESWQSWETLECDIPCEPLMCKEVQELDENCDGLEALSKLMRQRTRMGKGCTVSCDSWESWPSLLCPITHCEPMMCKEAQSTEAKCMSGDAPNMKIMRHRKKMGMGCTASCEDWEGWETMLCSSPSCEENVTCKETEETPLTCVSGDEPFQKVYRERSRMGMGCSISCPSWTIWESMTCTSGDEDSCGPGMCPQFDQTDPCPVSLDLQAKLMRQRMRKGKDCTSSSCASWPSWNSYICTEPTDDEDKEEPALDAVIDDVNDPVINCQEGKRAIREIVVRAVQTISGGEPDYFPSQPHDLSTLPRIKEQPITPKNPSEYTVGSDTGADWPILDQVVKQVWGCEKTCLDEASLGVNWDPSPGMMTLFSMLHRTRDVSFEASPAARVNLPQWAPWQAKAKIVTLVEDSQQRIEEEMKVPSGLEAVQKVGESAYGGYEWLVYNKSHSGGIIASWHNARRPYDKPHTKESWNGYFTLRYKATGCACCDLIPKDTVGDFTSDQECLKKTLSGEDQFYIIRKQVISAKLIVCGTGAPPAIWFVQIRTRRRPTSETVAVPCNSMFQTEEEDIIQFTGAHDEEKDGERNERLAILTFGRFYSPPAASLTVRYSTGPKYFDPDGEVRLSFETYGERRTGQARLIRSCYGESKPVKDLTEDSFALAAALPLALALLAPTRSLKLPAPSLRM
+>sp|Q9GZT4|SRR_HUMAN Serine racemase OS=Homo sapiens OX=9606 GN=SRR PE=1 SV=1
+MCAQYCISFADVEKAHINIRDSIHLTPVLTSSILNQLTGRNLFFKCELFQKTGSFKIRGALNAVRSLVPDALERKPKAVVTHSSGNHGQALTYAAKLEGIPAYIVVPQTAPDCKKLAIQAYGASIVYCEPSDESRENVAKRVTEETEGIMVHPNQEPAVIAGQGTIALEVLNQVPLVDALVVPVGGGGMLAGIAITVKALKPSVKVYAAEPSNADDCYQSKLKGKLMPNLYPPETIADGVKSSIGLNTWPIIRDLVDDIFTVTEDEIKCATQLVWERMKLLIEPTAGVGVAAVLSQHFQTVSPEVKNICIVLSGGNVDLTSSITWVKQAERPASYQSVSV
+>DECOY_sp|Q9GZT4|SRR_HUMAN Serine racemase OS=Homo sapiens OX=9606 GN=SRR PE=1 SV=1
+VSVSQYSAPREAQKVWTISSTLDVNGGSLVICINKVEPSVTQFHQSLVAAVGVGATPEILLKMREWVLQTACKIEDETVTFIDDVLDRIIPWTNLGISSKVGDAITEPPYLNPMLKGKLKSQYCDDANSPEAAYVKVSPKLAKVTIAIGALMGGGGVPVVLADVLPVQNLVELAITGQGAIVAPEQNPHVMIGETEETVRKAVNERSEDSPECYVISAGYAQIALKKCDPATQPVVIYAPIGELKAAYTLAQGHNGSSHTVVAKPKRELADPVLSRVANLAGRIKFSGTKQFLECKFFLNRGTLQNLISSTLVPTLHISDRINIHAKEVDAFSICYQACM
+>sp|Q05066|SRY_HUMAN Sex-determining region Y protein OS=Homo sapiens OX=9606 GN=SRY PE=1 SV=1
+MQSYASAMLSVFNSDDYSPAVQENIPALRRSSSFLCTESCNSKYQCETGENSKGNVQDRVKRPMNAFIVWSRDQRRKMALENPRMRNSEISKQLGYQWKMLTEAEKWPFFQEAQKLQAMHREKYPNYKYRPRRKAKMLPKNCSLLPADPASVLCSEVQLDNRLYRDDCTKATHSRMEHQLGHLPPINAASSPQQRDRYSHWTKL
+>DECOY_sp|Q05066|SRY_HUMAN Sex-determining region Y protein OS=Homo sapiens OX=9606 GN=SRY PE=1 SV=1
+LKTWHSYRDRQQPSSAANIPPLHGLQHEMRSHTAKTCDDRYLRNDLQVESCLVSAPDAPLLSCNKPLMKAKRRPRYKYNPYKERHMAQLKQAEQFFPWKEAETLMKWQYGLQKSIESNRMRPNELAMKRRQDRSWVIFANMPRKVRDQVNGKSNEGTECQYKSNCSETCLFSSSRRLAPINEQVAPSYDDSNFVSLMASAYSQM
+>sp|O60232|SSA27_HUMAN Sjoegren syndrome/scleroderma autoantigen 1 OS=Homo sapiens OX=9606 GN=SSSCA1 PE=1 SV=1
+MALNGAEVDDFSWEPPTEAETKVLQARRERQDRISRLMGDYLLRGYRMLGETCADCGTILLQDKQRKIYCVACQELDSDVDKDNPALNAQAALSQAREHQLASASELPLGSRPAPQPPVPRPEHCEGAAAGLKAAQGPPAPAVPPNTDVMACTQTALLQKLTWASAELGSSTSLETSIQLCGLIRACAEALRSLQQLQH
+>DECOY_sp|O60232|SSA27_HUMAN Sjoegren syndrome/scleroderma autoantigen 1 OS=Homo sapiens OX=9606 GN=SSSCA1 PE=1 SV=1
+HQLQQLSRLAEACARILGCLQISTELSTSSGLEASAWTLKQLLATQTCAMVDTNPPVAPAPPGQAAKLGAAAGECHEPRPVPPQPAPRSGLPLESASALQHERAQSLAAQANLAPNDKDVDSDLEQCAVCYIKRQKDQLLITGCDACTEGLMRYGRLLYDGMLRSIRDQRERRAQLVKTEAETPPEWSFDDVEAGNLAM
+>sp|Q9BWW4|SSBP3_HUMAN Single-stranded DNA-binding protein 3 OS=Homo sapiens OX=9606 GN=SSBP3 PE=1 SV=1
+MFAKGKGSAVPSDGQAREKLALYVYEYLLHVGAQKSAQTFLSEIRWEKNITLGEPPGFLHSWWCVFWDLYCAAPERRDTCEHSSEAKAFHDYSAAAAPSPVLGNIPPNDGMPGGPIPPGFFQGPPGSQPSPHAQPPPHNPSSMMGPHSQPFMSPRYAGGPRPPIRMGNQPPGGVPGTQPLLPNSMDPTRQQGHPNMGGSMQRMNPPRGMGPMGPGPQNYGSGMRPPPNSLGPAMPGINMGPGAGRPWPNPNSANSIPYSSSSPGTYVGPPGGGGPPGTPIMPSPADSTNSSDNIYTMINPVPPGGSRSNFPMGPGSDGPMGGMGGMEPHHMNGSLGSGDIDGLPKNSPNNISGISNPPGTPRDDGELGGNFLHSFQNDNYSPSMTMSV
+>DECOY_sp|Q9BWW4|SSBP3_HUMAN Single-stranded DNA-binding protein 3 OS=Homo sapiens OX=9606 GN=SSBP3 PE=1 SV=1
+VSMTMSPSYNDNQFSHLFNGGLEGDDRPTGPPNSIGSINNPSNKPLGDIDGSGLSGNMHHPEMGGMGGMPGDSGPGMPFNSRSGGPPVPNIMTYINDSSNTSDAPSPMIPTGPPGGGGPPGVYTGPSSSSYPISNASNPNPWPRGAGPGMNIGPMAPGLSNPPPRMGSGYNQPGPGMPGMGRPPNMRQMSGGMNPHGQQRTPDMSNPLLPQTGPVGGPPQNGMRIPPRPGGAYRPSMFPQSHPGMMSSPNHPPPQAHPSPQSGPPGQFFGPPIPGGPMGDNPPINGLVPSPAAAASYDHFAKAESSHECTDRREPAACYLDWFVCWWSHLFGPPEGLTINKEWRIESLFTQASKQAGVHLLYEYVYLALKERAQGDSPVASGKGKAFM
+>sp|Q9UEE5|ST17A_HUMAN Serine/threonine-protein kinase 17A OS=Homo sapiens OX=9606 GN=STK17A PE=1 SV=2
+MIPLEKPGSGGSSPGATSGSGRAGRGLSGPCRPPPPPQARGLLTEIRAVVRTEPFQDGYSLCPGRELGRGKFAVVRKCIKKDSGKEFAAKFMRKRRKGQDCRMEIIHEIAVLELAQDNPWVINLHEVYETASEMILVLEYAAGGEIFDQCVADREEAFKEKDVQRLMRQILEGVHFLHTRDVVHLDLKPQNILLTSESPLGDIKIVDFGLSRILKNSEELREIMGTPEYVAPEILSYDPISMATDMWSIGVLTYVMLTGISPFLGNDKQETFLNISQMNLSYSEEEFDVLSESAVDFIRTLLVKKPEDRATAEECLKHPWLTQSSIQEPSFRMEKALEEANALQEGHSVPEINSDTDKSETKESIVTEELIVVTSYTLGQCRQSEKEKMEQKAISKRFKFEEPLLQEIPGEFIY
+>DECOY_sp|Q9UEE5|ST17A_HUMAN Serine/threonine-protein kinase 17A OS=Homo sapiens OX=9606 GN=STK17A PE=1 SV=2
+YIFEGPIEQLLPEEFKFRKSIAKQEMKEKESQRCQGLTYSTVVILEETVISEKTESKDTDSNIEPVSHGEQLANAEELAKEMRFSPEQISSQTLWPHKLCEEATARDEPKKVLLTRIFDVASESLVDFEEESYSLNMQSINLFTEQKDNGLFPSIGTLMVYTLVGISWMDTAMSIPDYSLIEPAVYEPTGMIERLEESNKLIRSLGFDVIKIDGLPSESTLLINQPKLDLHVVDRTHLFHVGELIQRMLRQVDKEKFAEERDAVCQDFIEGGAAYELVLIMESATEYVEHLNIVWPNDQALELVAIEHIIEMRCDQGKRRKRMFKAAFEKGSDKKICKRVVAFKGRGLERGPCLSYGDQFPETRVVARIETLLGRAQPPPPPRCPGSLGRGARGSGSTAGPSSGGSGPKELPIM
+>sp|P50226|ST1A2_HUMAN Sulfotransferase 1A2 OS=Homo sapiens OX=9606 GN=SULT1A2 PE=1 SV=2
+MELIQDISRPPLEYVKGVPLIKYFAEALGPLQSFQARPDDLLISTYPKSGTTWVSQILDMIYQGGDLEKCHRAPIFMRVPFLEFKVPGIPSGMETLKNTPAPRLLKTHLPLALLPQTLLDQKVKVVYVARNAKDVAVSYYHFYHMAKVYPHPGTWESFLEKFMAGEVSYGSWYQHVQEWWELSRTHPVLYLFYEDMKENPKREIQKILEFVGRSLPEETVDLMVEHTSFKEMKKNPMTNYTTVRREFMDHSISPFMRKGMAGDWKTTFTVAQNERFDADYAKKMAGCSLSFRSEL
+>DECOY_sp|P50226|ST1A2_HUMAN Sulfotransferase 1A2 OS=Homo sapiens OX=9606 GN=SULT1A2 PE=1 SV=2
+LESRFSLSCGAMKKAYDADFRENQAVTFTTKWDGAMGKRMFPSISHDMFERRVTTYNTMPNKKMEKFSTHEVMLDVTEEPLSRGVFELIKQIERKPNEKMDEYFLYLVPHTRSLEWWEQVHQYWSGYSVEGAMFKELFSEWTGPHPYVKAMHYFHYYSVAVDKANRAVYVVKVKQDLLTQPLLALPLHTKLLRPAPTNKLTEMGSPIGPVKFELFPVRMFIPARHCKELDGGQYIMDLIQSVWTTGSKPYTSILLDDPRAQFSQLPGLAEAFYKILPVGKVYELPPRSIDQILEM
+>sp|P0DMN0|ST1A4_HUMAN Sulfotransferase 1A4 OS=Homo sapiens OX=9606 GN=SULT1A4 PE=1 SV=1
+MELIQDTSRPPLEYVKGVPLIKYFAEALGPLQSFQARPDDLLINTYPKSGTTWVSQILDMIYQGGDLEKCNRAPIYVRVPFLEVNDPGEPSGLETLKDTPPPRLIKSHLPLALLPQTLLDQKVKVVYVARNPKDVAVSYYHFHRMEKAHPEPGTWDSFLEKFMAGEVSYGSWYQHVQEWWELSRTHPVLYLFYEDMKENPKREIQKILEFVGRSLPEETMDFMVQHTSFKEMKKNPMTNYTTVPQELMDHSISPFMRKGMAGDWKTTFTVAQNERFDADYAEKMAGCSLSFRSEL
+>DECOY_sp|P0DMN0|ST1A4_HUMAN Sulfotransferase 1A4 OS=Homo sapiens OX=9606 GN=SULT1A4 PE=1 SV=1
+LESRFSLSCGAMKEAYDADFRENQAVTFTTKWDGAMGKRMFPSISHDMLEQPVTTYNTMPNKKMEKFSTHQVMFDMTEEPLSRGVFELIKQIERKPNEKMDEYFLYLVPHTRSLEWWEQVHQYWSGYSVEGAMFKELFSDWTGPEPHAKEMRHFHYYSVAVDKPNRAVYVVKVKQDLLTQPLLALPLHSKILRPPPTDKLTELGSPEGPDNVELFPVRVYIPARNCKELDGGQYIMDLIQSVWTTGSKPYTNILLDDPRAQFSQLPGLAEAFYKILPVGKVYELPPRSTDQILEM
+>sp|O43704|ST1B1_HUMAN Sulfotransferase family cytosolic 1B member 1 OS=Homo sapiens OX=9606 GN=SULT1B1 PE=1 SV=2
+MLSPKDILRKDLKLVHGYPMTCAFASNWEKIEQFHSRPDDIVIATYPKSGTTWVSEIIDMILNDGDIEKCKRGFITEKVPMLEMTLPGLRTSGIEQLEKNPSPRIVKTHLPTDLLPKSFWENNCKMIYLARNAKDVSVSYYHFDLMNNLQPFPGTWEEYLEKFLTGKVAYGSWFTHVKNWWKKKEEHPILFLYYEDMKENPKEEIKKIIRFLEKNLNDEILDRIIHHTSFEVMKDNPLVNYTHLPTTVMDHSKSPFMRKGTAGDWKNYFTVAQNEKFDAIYETEMSKTALQFRTEI
+>DECOY_sp|O43704|ST1B1_HUMAN Sulfotransferase family cytosolic 1B member 1 OS=Homo sapiens OX=9606 GN=SULT1B1 PE=1 SV=2
+IETRFQLATKSMETEYIADFKENQAVTFYNKWDGATGKRMFPSKSHDMVTTPLHTYNVLPNDKMVEFSTHHIIRDLIEDNLNKELFRIIKKIEEKPNEKMDEYYLFLIPHEEKKKWWNKVHTFWSGYAVKGTLFKELYEEWTGPFPQLNNMLDFHYYSVSVDKANRALYIMKCNNEWFSKPLLDTPLHTKVIRPSPNKELQEIGSTRLGPLTMELMPVKETIFGRKCKEIDGDNLIMDIIESVWTTGSKPYTAIVIDDPRSHFQEIKEWNSAFACTMPYGHVLKLDKRLIDKPSLM
+>sp|P0CL83|ST3L1_HUMAN Putative STAG3-like protein 1 OS=Homo sapiens OX=9606 GN=STAG3L1 PE=5 SV=1
+MIFSMLRKLPKVTCRDVLPEIRAICIEEIGCWMQSYSTSFLTDSYLKYIGWTLHDKHREVRVKCVKALKGLYGNRDLTARLELFTGRFKDWMVSMIVDREYSVAVEAVRLLILILKLFYPECEIRTMGGREQRQSPGAQRTFFQLLLSFFVESKLHDHAAYLVDNLWDCAGTQLKDWEGLTSLLLEKDQSTCHMEPGPGTFHLLG
+>DECOY_sp|P0CL83|ST3L1_HUMAN Putative STAG3-like protein 1 OS=Homo sapiens OX=9606 GN=STAG3L1 PE=5 SV=1
+GLLHFTGPGPEMHCTSQDKELLLSTLGEWDKLQTGACDWLNDVLYAAHDHLKSEVFFSLLLQFFTRQAGPSQRQERGGMTRIECEPYFLKLILILLRVAEVAVSYERDVIMSVMWDKFRGTFLELRATLDRNGYLGKLAKVCKVRVERHKDHLTWGIYKLYSDTLFSTSYSQMWCGIEEICIARIEPLVDRCTVKPLKRLMSFIM
+>sp|Q8TBR4|ST3L4_HUMAN Putative STAG3-like protein 4 OS=Homo sapiens OX=9606 GN=STAG3L4 PE=5 SV=1
+MSGWIATSKTRMQDFWSLLTFSSDLVDVKDSGDYPLTAPGLSWKKFQGSFCEFVGTLVCRCQYILLHDDFPMDNLISLLTGFSDSQVCAFCHTSTLAAMKLMTSLVRVALQLSLHEDINQRQYEAERNKGPGQRAPERLESLLEKHKELH
+>DECOY_sp|Q8TBR4|ST3L4_HUMAN Putative STAG3-like protein 4 OS=Homo sapiens OX=9606 GN=STAG3L4 PE=5 SV=1
+HLEKHKELLSELREPARQGPGKNREAEYQRQNIDEHLSLQLAVRVLSTMLKMAALTSTHCFACVQSDSFGTLLSILNDMPFDDHLLIYQCRCVLTGVFECFSGQFKKWSLGPATLPYDGSDKVDVLDSSFTLLSWFDQMRTKSTAIWGSM
+>sp|Q6IMI4|ST6B1_HUMAN Sulfotransferase 6B1 OS=Homo sapiens OX=9606 GN=SULT6B1 PE=2 SV=2
+MADKSKFIEYIDEALEKSKETALSHLFFTYQGIPYPITMCTSETFQALDTFEARHDDIVLASYPKCGSNWILHIVSELIYAVSKKKYKYPEFPVLECGDSEKYQRMKGFPSPRILATHLHYDKLPGSIFENKAKILVIFRNPKDTAVSFLHFHNDVPDIPSYGSWDEFFRQFMKGQVSWGRYFDFAINWNKHLDGDNVKFILYEDLKENLAAGIKQIAEFLGFFLTGEQIQTISVQSTFQAMRAKSQDTHGAVGPFLFRKGEVGDWKNLFSEIQNQEMDEKFKECLAGTSLGAKLKYESYCQG
+>DECOY_sp|Q6IMI4|ST6B1_HUMAN Sulfotransferase 6B1 OS=Homo sapiens OX=9606 GN=SULT6B1 PE=2 SV=2
+GQCYSEYKLKAGLSTGALCEKFKEDMEQNQIESFLNKWDGVEGKRFLFPGVAGHTDQSKARMAQFTSQVSITQIQEGTLFFGLFEAIQKIGAALNEKLDEYLIFKVNDGDLHKNWNIAFDFYRGWSVQGKMFQRFFEDWSGYSPIDPVDNHFHLFSVATDKPNRFIVLIKAKNEFISGPLKDYHLHTALIRPSPFGKMRQYKESDGCELVPFEPYKYKKKSVAYILESVIHLIWNSGCKPYSALVIDDHRAEFTDLAQFTESTCMTIPYPIGQYTFFLHSLATEKSKELAEDIYEIFKSKDAM
+>sp|P51692|STA5B_HUMAN Signal transducer and activator of transcription 5B OS=Homo sapiens OX=9606 GN=STAT5B PE=1 SV=2
+MAVWIQAQQLQGEALHQMQALYGQHFPIEVRHYLSQWIESQAWDSVDLDNPQENIKATQLLEGLVQELQKKAEHQVGEDGFLLKIKLGHYATQLQNTYDRCPMELVRCIRHILYNEQRLVREANNGSSPAGSLADAMSQKHLQINQTFEELRLVTQDTENELKKLQQTQEYFIIQYQESLRIQAQFGPLAQLSPQERLSRETALQQKQVSLEAWLQREAQTLQQYRVELAEKHQKTLQLLRKQQTIILDDELIQWKRRQQLAGNGGPPEGSLDVLQSWCEKLAEIIWQNRQQIRRAEHLCQQLPIPGPVEEMLAEVNATITDIISALVTSTFIIEKQPPQVLKTQTKFAATVRLLVGGKLNVHMNPPQVKATIISEQQAKSLLKNENTRNDYSGEILNNCCVMEYHQATGTLSAHFRNMSLKRIKRSDRRGAESVTEEKFTILFESQFSVGGNELVFQVKTLSLPVVVIVHGSQDNNATATVLWDNAFAEPGRVPFAVPDKVLWPQLCEALNMKFKAEVQSNRGLTKENLVFLAQKLFNNSSSHLEDYSGLSVSWSQFNRENLPGRNYTFWQWFDGVMEVLKKHLKPHWNDGAILGFVNKQQAHDLLINKPDGTFLLRFSDSEIGGITIAWKFDSQERMFWNLMPFTTRDFSIRSLADRLGDLNYLIYVFPDRPKDEVYSKYYTPVPCESATAKAVDGYVKPQIKQVVPEFVNASADAGGGSATYMDQAPSPAVCPQAHYNMYPQNPDSVLDTDGDFDLEDTMDVARRVEELLGRPMDSQWIPHAQS
+>DECOY_sp|P51692|STA5B_HUMAN Signal transducer and activator of transcription 5B OS=Homo sapiens OX=9606 GN=STAT5B PE=1 SV=2
+SQAHPIWQSDMPRGLLEEVRRAVDMTDELDFDGDTDLVSDPNQPYMNYHAQPCVAPSPAQDMYTASGGGADASANVFEPVVQKIQPKVYGDVAKATASECPVPTYYKSYVEDKPRDPFVYILYNLDGLRDALSRISFDRTTFPMLNWFMREQSDFKWAITIGGIESDSFRLLFTGDPKNILLDHAQQKNVFGLIAGDNWHPKLHKKLVEMVGDFWQWFTYNRGPLNERNFQSWSVSLGSYDELHSSSNNFLKQALFVLNEKTLGRNSQVEAKFKMNLAECLQPWLVKDPVAFPVRGPEAFANDWLVTATANNDQSGHVIVVVPLSLTKVQFVLENGGVSFQSEFLITFKEETVSEAGRRDSRKIRKLSMNRFHASLTGTAQHYEMVCCNNLIEGSYDNRTNENKLLSKAQQESIITAKVQPPNMHVNLKGGVLLRVTAAFKTQTKLVQPPQKEIIFTSTVLASIIDTITANVEALMEEVPGPIPLQQCLHEARRIQQRNQWIIEALKECWSQLVDLSGEPPGGNGALQQRRKWQILEDDLIITQQKRLLQLTKQHKEALEVRYQQLTQAERQLWAELSVQKQQLATERSLREQPSLQALPGFQAQIRLSEQYQIIFYEQTQQLKKLENETDQTVLRLEEFTQNIQLHKQSMADALSGAPSSGNNAERVLRQENYLIHRICRVLEMPCRDYTNQLQTAYHGLKIKLLFGDEGVQHEAKKQLEQVLGELLQTAKINEQPNDLDVSDWAQSEIWQSLYHRVEIPFHQGYLAQMQHLAEGQLQQAQIWVAM
+>sp|Q9NY15|STAB1_HUMAN Stabilin-1 OS=Homo sapiens OX=9606 GN=STAB1 PE=1 SV=3
+MAGPRGLLPLCLLAFCLAGFSFVRGQVLFKGCDVKTTFVTHVPCTSCAAIKKQTCPSGWLRELPDQITQDCRYEVQLGGSMVSMSGCRRKCRKQVVQKACCPGYWGSRCHECPGGAETPCNGHGTCLDGMDRNGTCVCQENFRGSACQECQDPNRFGPDCQSVCSCVHGVCNHGPRGDGSCLCFAGYTGPHCDQELPVCQELRCPQNTQCSAEAPSCRCLPGYTQQGSECRAPNPCWPSPCSLLAQCSVSPKGQAQCHCPENYHGDGMVCLPKDPCTDNLGGCPSNSTLCVYQKPGQAFCTCRPGLVSINSNASAGCFAFCSPFSCDRSATCQVTADGKTSCVCRESEVGDGRACYGHLLHEVQKATQTGRVFLQLRVAVAMMDQGCREILTTAGPFTVLVPSVSSFSSRTMNASLAQQLCRQHIIAGQHILEDTRTQQTRRWWTLAGQEITVTFNQFTKYSYKYKDQPQQTFNIYKANNIAANGVFHVVTGLRWQAPSGTPGDPKRTIGQILASTEAFSRFETILENCGLPSILDGPGPFTVFAPSNEAVDSLRDGRLIYLFTAGLSKLQELVRYHIYNHGQLTVEKLISKGRILTMANQVLAVNISEEGRILLGPEGVPLQRVDVMAANGVIHMLDGILLPPTILPILPKHCSEEQHKIVAGSCVDCQALNTSTCPPNSVKLDIFPKECVYIHDPTGLNVLKKGCASYCNQTIMEQGCCKGFFGPDCTQCPGGFSNPCYGKGNCSDGIQGNGACLCFPDYKGIACHICSNPNKHGEQCQEDCGCVHGLCDNRPGSGGVCQQGTCAPGFSGRFCNESMGDCGPTGLAQHCHLHARCVSQEGVARCRCLDGFEGDGFSCTPSNPCSHPDRGGCSENAECVPGSLGTHHCTCHKGWSGDGRVCVAIDECELDMRGGCHTDALCSYVGPGQSRCTCKLGFAGDGYQCSPIDPCRAGNGGCHGLATCRAVGGGQRVCTCPPGFGGDGFSCYGDIFRELEANAHFSIFYQWLKSAGITLPADRRVTALVPSEAAVRQLSPEDRAFWLQPRTLPNLVRAHFLQGALFEEELARLGGQEVATLNPTTRWEIRNISGRVWVQNASVDVADLLATNGVLHILSQVLLPPRGDVPGGQGLLQQLDLVPAFSLFRELLQHHGLVPQIEAATAYTIFVPTNRSLEAQGNSSHLDADTVRHHVVLGEALSMETLRKGGHRNSLLGPAHWIVFYNHSGQPEVNHVPLEGPMLEAPGRSLIGLSGVLTVGSSRCLHSHAEALREKCVNCTRRFRCTQGFQLQDTPRKSCVYRSGFSFSRGCSYTCAKKIQVPDCCPGFFGTLCEPCPGGLGGVCSGHGQCQDRFLGSGECHCHEGFHGTACEVCELGRYGPNCTGVCDCAHGLCQEGLQGDGSCVCNVGWQGLRCDQKITSPQCPRKCDPNANCVQDSAGASTCACAAGYSGNGIFCSEVDPCAHGHGGCSPHANCTKVAPGQRTCTCQDGYMGDGELCQEINSCLIHHGGCHIHAECIPTGPQQVSCSCREGYSGDGIRTCELLDPCSKNNGGCSPYATCKSTGDGQRTCTCDTAHTVGDGLTCRARVGLELLRDKHASFFSLRLLEYKELKGDGPFTIFVPHADLMSNLSQDELARIRAHRQLVFRYHVVGCRRLRSEDLLEQGYATALSGHPLRFSEREGSIYLNDFARVVSSDHEAVNGILHFIDRVLLPPEALHWEPDDAPIPRRNVTAAAQGFGYKIFSGLLKVAGLLPLLREASHRPFTMLWPTDAAFRALPPDRQAWLYHEDHRDKLAAILRGHMIRNVEALASDLPNLGPLRTMHGTPISFSCSRTRAGELMVGEDDARIVQRHLPFEGGLAYGIDQLLEPPGLGARCDHFETRPLRLNTCSICGLEPPCPEGSQEQGSPEACWRFYPKFWTSPPLHSLGLRSVWVHPSLWGRPQGLGRGCHRNCVTTTWKPSCCPGHYGSECQACPGGPSSPCSDRGVCMDGMSGSGQCLCRSGFAGTACELCAPGAFGPHCQACRCTVHGRCDEGLGGSGSCFCDEGWTGPRCEVQLELQPVCTPPCAPEAVCRAGNSCECSLGYEGDGRVCTVADLCQDGHGGCSEHANCSQVGTMVTCTCLPDYEGDGWSCRARNPCTDGHRGGCSEHANCLSTGLNTRRCECHAGYVGDGLQCLEESEPPVDRCLGQPPPCHSDAMCTDLHFQEKRAGVFHLQATSGPYGLNFSEAEAACEAQGAVLASFPQLSAAQQLGFHLCLMGWLANGSTAHPVVFPVADCGNGRVGIVSLGARKNLSERWDAYCFRVQDVACRCRNGFVGDGISTCNGKLLDVLAATANFSTFYGMLLGYANATQRGLDFLDFLDDELTYKTLFVPVNEGFVDNMTLSGPDLELHASNATLLSANASQGKLLPAHSGLSLIISDAGPDNSSWAPVAPGTVVVSRIIVWDIMAFNGIIHALASPLLAPPQPQAVLAPEAPPVAAGVGAVLAAGALLGLVAGALYLRARGKPMGFGFSAFQAEDDADDDFSPWQEGTNPTLVSVPNPVFGSDTFCEPFDDSLLEEDFPDTQRILTVK
+>DECOY_sp|Q9NY15|STAB1_HUMAN Stabilin-1 OS=Homo sapiens OX=9606 GN=STAB1 PE=1 SV=3
+KVTLIRQTDPFDEELLSDDFPECFTDSGFVPNPVSVLTPNTGEQWPSFDDDADDEAQFASFGFGMPKGRARLYLAGAVLGLLAGAALVAGVGAAVPPAEPALVAQPQPPALLPSALAHIIGNFAMIDWVIIRSVVVTGPAVPAWSSNDPGADSIILSLGSHAPLLKGQSANASLLTANSAHLELDPGSLTMNDVFGENVPVFLTKYTLEDDLFDLFDLGRQTANAYGLLMGYFTSFNATAALVDLLKGNCTSIGDGVFGNRCRCAVDQVRFCYADWRESLNKRAGLSVIGVRGNGCDAVPFVVPHATSGNALWGMLCLHFGLQQAASLQPFSALVAGQAECAAEAESFNLGYPGSTAQLHFVGARKEQFHLDTCMADSHCPPPQGLCRDVPPESEELCQLGDGVYGAHCECRRTNLGTSLCNAHESCGGRHGDTCPNRARCSWGDGEYDPLCTCTVMTGVQSCNAHESCGGHGDQCLDAVTCVRGDGEYGLSCECSNGARCVAEPACPPTCVPQLELQVECRPGTWGEDCFCSGSGGLGEDCRGHVTCRCAQCHPGFAGPACLECATGAFGSRCLCQGSGSMGDMCVGRDSCPSSPGGPCAQCESGYHGPCCSPKWTTTVCNRHCGRGLGQPRGWLSPHVWVSRLGLSHLPPSTWFKPYFRWCAEPSGQEQSGEPCPPELGCISCTNLRLPRTEFHDCRAGLGPPELLQDIGYALGGEFPLHRQVIRADDEGVMLEGARTRSCSFSIPTGHMTRLPGLNPLDSALAEVNRIMHGRLIAALKDRHDEHYLWAQRDPPLARFAADTPWLMTFPRHSAERLLPLLGAVKLLGSFIKYGFGQAAATVNRRPIPADDPEWHLAEPPLLVRDIFHLIGNVAEHDSSVVRAFDNLYISGERESFRLPHGSLATAYGQELLDESRLRRCGVVHYRFVLQRHARIRALEDQSLNSMLDAHPVFITFPGDGKLEKYELLRLSFFSAHKDRLLELGVRARCTLGDGVTHATDCTCTRQGDGTSKCTAYPSCGGNNKSCPDLLECTRIGDGSYGERCSCSVQQPGTPICEAHIHCGGHHILCSNIEQCLEGDGMYGDQCTCTRQGPAVKTCNAHPSCGGHGHACPDVESCFIGNGSYGAACACTSAGASDQVCNANPDCKRPCQPSTIKQDCRLGQWGVNCVCSGDGQLGEQCLGHACDCVGTCNPGYRGLECVECATGHFGEHCHCEGSGLFRDQCQGHGSCVGGLGGPCPECLTGFFGPCCDPVQIKKACTYSCGRSFSFGSRYVCSKRPTDQLQFGQTCRFRRTCNVCKERLAEAHSHLCRSSGVTLVGSLGILSRGPAELMPGELPVHNVEPQGSHNYFVIWHAPGLLSNRHGGKRLTEMSLAEGLVVHHRVTDADLHSSNGQAELSRNTPVFITYATAAEIQPVLGHHQLLERFLSFAPVLDLQQLLGQGGPVDGRPPLLVQSLIHLVGNTALLDAVDVSANQVWVRGSINRIEWRTTPNLTAVEQGGLRALEEEFLAGQLFHARVLNPLTRPQLWFARDEPSLQRVAAESPVLATVRRDAPLTIGASKLWQYFISFHANAELERFIDGYCSFGDGGFGPPCTCVRQGGGVARCTALGHCGGNGARCPDIPSCQYGDGAFGLKCTCRSQGPGVYSCLADTHCGGRMDLECEDIAVCVRGDGSWGKHCTCHHTGLSGPVCEANESCGGRDPHSCPNSPTCSFGDGEFGDLCRCRAVGEQSVCRAHLHCHQALGTPGCDGMSENCFRGSFGPACTGQQCVGGSGPRNDCLGHVCGCDEQCQEGHKNPNSCIHCAIGKYDPFCLCAGNGQIGDSCNGKGYCPNSFGGPCQTCDPGFFGKCCGQEMITQNCYSACGKKLVNLGTPDHIYVCEKPFIDLKVSNPPCTSTNLAQCDVCSGAVIKHQEESCHKPLIPLITPPLLIGDLMHIVGNAAMVDVRQLPVGEPGLLIRGEESINVALVQNAMTLIRGKSILKEVTLQGHNYIHYRVLEQLKSLGATFLYILRGDRLSDVAENSPAFVTFPGPGDLISPLGCNELITEFRSFAETSALIQGITRKPDGPTGSPAQWRLGTVVHFVGNAAINNAKYINFTQQPQDKYKYSYKTFQNFTVTIEQGALTWWRRTQQTRTDELIHQGAIIHQRCLQQALSANMTRSSFSSVSPVLVTFPGATTLIERCGQDMMAVAVRLQLFVRGTQTAKQVEHLLHGYCARGDGVESERCVCSTKGDATVQCTASRDCSFPSCFAFCGASANSNISVLGPRCTCFAQGPKQYVCLTSNSPCGGLNDTCPDKPLCVMGDGHYNEPCHCQAQGKPSVSCQALLSCPSPWCPNPARCESGQQTYGPLCRCSPAEASCQTNQPCRLEQCVPLEQDCHPGTYGAFCLCSGDGRPGHNCVGHVCSCVSQCDPGFRNPDQCEQCASGRFNEQCVCTGNRDMGDLCTGHGNCPTEAGGPCEHCRSGWYGPCCAKQVVQKRCKRRCGSMSVMSGGLQVEYRCDQTIQDPLERLWGSPCTQKKIAACSTCPVHTVFTTKVDCGKFLVQGRVFSFGALCFALLCLPLLGRPGAM
+>sp|Q8WWQ8|STAB2_HUMAN Stabilin-2 OS=Homo sapiens OX=9606 GN=STAB2 PE=1 SV=3
+MMLQHLVIFCLGLVVQNFCSPAETTGQARRCDRKSLLTIRTECRSCALNLGVKCPDGYTMITSGSVGVRDCRYTFEVRTYSLSLPGCRHICRKDYLQPRCCPGRWGPDCIECPGGAGSPCNGRGSCAEGMEGNGTCSCQEGFGGTACETCADDNLFGPSCSSVCNCVHGVCNSGLDGDGTCECYSAYTGPKCDKPIPECAALLCPENSRCSPSTEDENKLECKCLPNYRGDGKYCDPINPCLRKICHPHAHCTYLGPNRHSCTCQEGYRGDGQVCLPVDPCQINFGNCPTKSTVCKYDGPGQSHCECKEHYQNFVPGVGCSMTDICKSDNPCHRNANCTTVAPGRTECICQKGYVGDGLTCYGNIMERLRELNTEPRGKWQGRLTSFISLLDKAYAWPLSKLGPFTVLLPTDKGLKGFNVNELLVDNKAAQYFVKLHIIAGQMNIEYMNNTDMFYTLTGKSGEIFNSDKDNQIKLKLHGGKKKVKIIQGDIIASNGLLHILDRAMDKLEPTFESNNEQTIMTMLQPRYSKFRSLLEETNLGHALDEDGVGGPYTIFVPNNEALNNMKDGTLDYLLSPEGSRKLLELVRYHIVPFTQLEVATLISTPHIRSMANQLIQFNTTDNGQILANDVAMEEIEITAKNGRIYTLTGVLIPPSIVPILPHRCDETKREMKLGTCVSCSLVYWSRCPANSEPTALFTHRCVYSGRFGSLKSGCARYCNATVKIPKCCKGFYGPDCNQCPGGFSNPCSGNGQCADSLGGNGTCICEEGFQGSQCQFCSDPNKYGPRCNKKCLCVHGTCNNRIDSDGACLTGTCRDGSAGRLCDKQTSACGPYVQFCHIHATCEYSNGTASCICKAGYEGDGTLCSEMDPCTGLTPGGCSRNAECIKTGTGTHTCVCQQGWTGNGRDCSEINNCLLPSAGGCHDNASCLYVGPGQNECECKKGFRGNGIDCEPITSCLEQTGKCHPLASCQSTSSGVWSCVCQEGYEGDGFLCYGNAAVELSFLSEAAIFNRWINNASLQPTLSATSNLTVLVPSQQATEDMDQDEKSFWLSQSNIPALIKYHMLLGTYRVADLQTLSSSDMLATSLQGNFLHLAKVDGNITIEGASIVDGDNAATNGVIHIINKVLVPQRRLTGSLPNLLMRLEQMPDYSIFRGYIIQYNLANAIEAADAYTVFAPNNNAIENYIREKKVLSLEEDVLRYHVVLEEKLLKNDLHNGMHRETMLGFSYFLSFFLHNDQLYVNEAPINYTNVATDKGVIHGLGKVLEIQKNRCDNNDTTIIRGRCRTCSSELTCPFGTKSLGNEKRRCIYTSYFMGRRTLFIGCQPKCVRTVITRECCAGFFGPQCQPCPGNAQNVCFGNGICLDGVNGTGVCECGEGFSGTACETCTEGKYGIHCDQACSCVHGRCNQGPLGDGSCDCDVGWRGVHCDNATTEDNCNGTCHTSANCLTNSDGTASCKCAAGFQGNGTICTAINACEISNGGCSAKADCKRTTPGRRVCTCKAGYTGDGIVCLEINPCLENHGGCDKNAECTQTGPNQAACNCLPAYTGDGKVCTLINVCLTKNGGCSEFAICNHTGQVERTCTCKPNYIGDGFTCRGSIYQELPKNPKTSQYFFQLQEHFVKDLVGPGPFTVFAPLSAAFDEEARVKDWDKYGLMPQVLRYHVVACHQLLLENLKLISNATSLQGEPIVISVSQSTVYINNKAKIISSDIISTNGIVHIIDKLLSPKNLLITPKDNSGRILQNLTTLATNNGYIKFSNLIQDSGLLSVITDPIHTPVTLFWPTDQALHALPAEQQDFLFNQDNKDKLKEYLKFHVIRDAKVLAVDLPTSTAWKTLQGSELSVKCGAGRDIGDLFLNGQTCRIVQRELLFDLGVAYGIDCLLIDPTLGGRCDTFTTFDASGECGSCVNTPSCPRWSKPKGVKQKCLYNLPFKRNLEGCRERCSLVIQIPRCCKGYFGRDCQACPGGPDAPCNNRGVCLDQYSATGECKCNTGFNGTACEMCWPGRFGPDCLPCGCSDHGQCDDGITGSGQCLCETGWTGPSCDTQAVLPAVCTPPCSAHATCKENNTCECNLDYEGDGITCTVVDFCKQDNGGCAKVARCSQKGTKVSCSCQKGYKGDGHSCTEIDPCADGLNGGCHEHATCKMTGPGKHKCECKSHYVGDGLNCEPEQLPIDRCLQDNGQCHADAKCVDLHFQDTTVGVFHLRSPLGQYKLTFDKAREACANEAATMATYNQLSYAQKAKYHLCSAGWLETGRVAYPTAFASQNCGSGVVGIVDYGPRPNKSEMWDVFCYRMKDVNCTCKVGYVGDGFSCSGNLLQVLMSFPSLTNFLTEVLAYSNSSARGRAFLEHLTDLSIRGTLFVPQNSGLGENETLSGRDIEHHLANVSMFFYNDLVNGTTLQTRLGSKLLITASQDPLQPTETRFVDGRAILQWDIFASNGIIHVISRPLKAPPAPVTLTHTGLGAGIFFAIILVTGAVALAAYSYFRINRRTIGFQHFESEEDINVAALGKQQPENISNPLYESTTSAPPEPSYDPFTDSEERQLEGNDPLRTL
+>DECOY_sp|Q8WWQ8|STAB2_HUMAN Stabilin-2 OS=Homo sapiens OX=9606 GN=STAB2 PE=1 SV=3
+LTRLPDNGELQREESDTFPDYSPEPPASTTSEYLPNSINEPQQKGLAAVNIDEESEFHQFGITRRNIRFYSYAALAVAGTVLIIAFFIGAGLGTHTLTVPAPPAKLPRSIVHIIGNSAFIDWQLIARGDVFRTETPQLPDQSATILLKSGLRTQLTTGNVLDNYFFMSVNALHHEIDRGSLTENEGLGSNQPVFLTGRISLDTLHELFARGRASSNSYALVETLFNTLSPFSMLVQLLNGSCSFGDGVYGVKCTCNVDKMRYCFVDWMESKNPRPGYDVIGVVGSGCNQSAFATPYAVRGTELWGASCLHYKAKQAYSLQNYTAMTAAENACAERAKDFTLKYQGLPSRLHFVGVTTDQFHLDVCKADAHCQGNDQLCRDIPLQEPECNLGDGVYHSKCECKHKGPGTMKCTAHEHCGGNLGDACPDIETCSHGDGKYGKQCSCSVKTGKQSCRAVKACGGNDQKCFDVVTCTIGDGEYDLNCECTNNEKCTAHASCPPTCVAPLVAQTDCSPGTWGTECLCQGSGTIGDDCQGHDSCGCPLCDPGFRGPWCMECATGNFGTNCKCEGTASYQDLCVGRNNCPADPGGPCAQCDRGFYGKCCRPIQIVLSCRERCGELNRKFPLNYLCKQKVGKPKSWRPCSPTNVCSGCEGSADFTTFTDCRGGLTPDILLCDIGYAVGLDFLLERQVIRCTQGNLFLDGIDRGAGCKVSLESGQLTKWATSTPLDVALVKADRIVHFKLYEKLKDKNDQNFLFDQQEAPLAHLAQDTPWFLTVPTHIPDTIVSLLGSDQILNSFKIYGNNTALTTLNQLIRGSNDKPTILLNKPSLLKDIIHVIGNTSIIDSSIIKAKNNIYVTSQSVSIVIPEGQLSTANSILKLNELLLQHCAVVHYRLVQPMLGYKDWDKVRAEEDFAASLPAFVTFPGPGVLDKVFHEQLQFFYQSTKPNKPLEQYISGRCTFGDGIYNPKCTCTREVQGTHNCIAFESCGGNKTLCVNILTCVKGDGTYAPLCNCAAQNPGTQTCEANKDCGGHNELCPNIELCVIGDGTYGAKCTCVRRGPTTRKCDAKASCGGNSIECANIATCITGNGQFGAACKCSATGDSNTLCNASTHCTGNCNDETTANDCHVGRWGVDCDCSGDGLPGQNCRGHVCSCAQDCHIGYKGETCTECATGSFGEGCECVGTGNVGDLCIGNGFCVNQANGPCPQCQPGFFGACCERTIVTRVCKPQCGIFLTRRGMFYSTYICRRKENGLSKTGFPCTLESSCTRCRGRIITTDNNDCRNKQIELVKGLGHIVGKDTAVNTYNIPAENVYLQDNHLFFSLFYSFGLMTERHMGNHLDNKLLKEELVVHYRLVDEELSLVKKERIYNEIANNNPAFVTYADAAEIANALNYQIIYGRFISYDPMQELRMLLNPLSGTLRRQPVLVKNIIHIVGNTAANDGDVISAGEITINGDVKALHLFNGQLSTALMDSSSLTQLDAVRYTGLLMHYKILAPINSQSLWFSKEDQDMDETAQQSPVLVTLNSTASLTPQLSANNIWRNFIAAESLFSLEVAANGYCLFGDGEYGEQCVCSWVGSSTSQCSALPHCKGTQELCSTIPECDIGNGRFGKKCECENQGPGVYLCSANDHCGGASPLLCNNIESCDRGNGTWGQQCVCTHTGTGTKICEANRSCGGPTLGTCPDMESCLTGDGEYGAKCICSATGNSYECTAHIHCFQVYPGCASTQKDCLRGASGDRCTGTLCAGDSDIRNNCTGHVCLCKKNCRPGYKNPDSCFQCQSGQFGEECICTGNGGLSDACQGNGSCPNSFGGPCQNCDPGYFGKCCKPIKVTANCYRACGSKLSGFRGSYVCRHTFLATPESNAPCRSWYVLSCSVCTGLKMERKTEDCRHPLIPVISPPILVGTLTYIRGNKATIEIEEMAVDNALIQGNDTTNFQILQNAMSRIHPTSILTAVELQTFPVIHYRVLELLKRSGEPSLLYDLTGDKMNNLAENNPVFITYPGGVGDEDLAHGLNTEELLSRFKSYRPQLMTMITQENNSEFTPELKDMARDLIHLLGNSAIIDGQIIKVKKKGGHLKLKIQNDKDSNFIEGSKGTLTYFMDTNNMYEINMQGAIIHLKVFYQAAKNDVLLENVNFGKLGKDTPLLVTFPGLKSLPWAYAKDLLSIFSTLRGQWKGRPETNLERLREMINGYCTLGDGVYGKQCICETRGPAVTTCNANRHCPNDSKCIDTMSCGVGPVFNQYHEKCECHSQGPGDYKCVTSKTPCNGFNIQCPDVPLCVQGDGRYGEQCTCSHRNPGLYTCHAHPHCIKRLCPNIPDCYKGDGRYNPLCKCELKNEDETSPSCRSNEPCLLAACEPIPKDCKPGTYASYCECTGDGDLGSNCVGHVCNCVSSCSPGFLNDDACTECATGGFGEQCSCTGNGEMGEACSGRGNCPSGAGGPCEICDPGWRGPCCRPQLYDKRCIHRCGPLSLSYTRVEFTYRCDRVGVSGSTIMTYGDPCKVGLNLACSRCETRITLLSKRDCRRAQGTTEAPSCFNQVVLGLCFIVLHQLMM
+>sp|O95630|STABP_HUMAN STAM-binding protein OS=Homo sapiens OX=9606 GN=STAMBP PE=1 SV=1
+MSDHGDVSLPPEDRVRALSQLGSAVEVNEDIPPRRYFRSGVEIIRMASIYSEEGNIEHAFILYNKYITLFIEKLPKHRDYKSAVIPEKKDTVKKLKEIAFPKAEELKAELLKRYTKEYTEYNEEKKKEAEELARNMAIQQELEKEKQRVAQQKQQQLEQEQFHAFEEMIRNQELEKERLKIVQEFGKVDPGLGGPLVPDLEKPSLDVFPTLTVSSIQPSDCHTTVRPAKPPVVDRSLKPGALSNSESIPTIDGLRHVVVPGRLCPQFLQLASANTARGVETCGILCGKLMRNEFTITHVLIPKQSAGSDYCNTENEEELFLIQDQQGLITLGWIHTHPTQTAFLSSVDLHTHCSYQMMLPESVAIVCSPKFQETGFFKLTDHGLEEISSCRQKGFHPHSKDPPLFCSCSHVTVVDRAVTITDLR
+>DECOY_sp|O95630|STABP_HUMAN STAM-binding protein OS=Homo sapiens OX=9606 GN=STAMBP PE=1 SV=1
+RLDTITVARDVVTVHSCSCFLPPDKSHPHFGKQRCSSIEELGHDTLKFFGTEQFKPSCVIAVSEPLMMQYSCHTHLDVSSLFATQTPHTHIWGLTILGQQDQILFLEEENETNCYDSGASQKPILVHTITFENRMLKGCLIGCTEVGRATNASALQLFQPCLRGPVVVHRLGDITPISESNSLAGPKLSRDVVPPKAPRVTTHCDSPQISSVTLTPFVDLSPKELDPVLPGGLGPDVKGFEQVIKLREKELEQNRIMEEFAHFQEQELQQQKQQAVRQKEKELEQQIAMNRALEEAEKKKEENYETYEKTYRKLLEAKLEEAKPFAIEKLKKVTDKKEPIVASKYDRHKPLKEIFLTIYKNYLIFAHEINGEESYISAMRIIEVGSRFYRRPPIDENVEVASGLQSLARVRDEPPLSVDGHDSM
+>sp|Q96FJ0|STALP_HUMAN AMSH-like protease OS=Homo sapiens OX=9606 GN=STAMBPL1 PE=1 SV=2
+MDQPFTVNSLKKLAAMPDHTDVSLSPEERVRALSKLGCNITISEDITPRRYFRSGVEMERMASVYLEEGNLENAFVLYNKFITLFVEKLPNHRDYQQCAVPEKQDIMKKLKEIAFPRTDELKNDLLKKYNVEYQEYLQSKNKYKAEILKKLEHQRLIEAERKRIAQMRQQQLESEQFLFFEDQLKKQELARGQMRSQQTSGLSEQIDGSALSCFSTHQNNSLLNVFADQPNKSDATNYASHSPPVNRALTPAATLSAVQNLVVEGLRCVVLPEDLCHKFLQLAESNTVRGIETCGILCGKLTHNEFTITHVIVPKQSAGPDYCDMENVEELFNVQDQHDLLTLGWIHTHPTQTAFLSSVDLHTHCSYQLMLPEAIAIVCSPKHKDTGIFRLTNAGMLEVSACKKKGFHPHTKEPRLFSICKHVLVKDIKIIVLDLR
+>DECOY_sp|Q96FJ0|STALP_HUMAN AMSH-like protease OS=Homo sapiens OX=9606 GN=STAMBPL1 PE=1 SV=2
+RLDLVIIKIDKVLVHKCISFLRPEKTHPHFGKKKCASVELMGANTLRFIGTDKHKPSCVIAIAEPLMLQYSCHTHLDVSSLFATQTPHTHIWGLTLLDHQDQVNFLEEVNEMDCYDPGASQKPVIVHTITFENHTLKGCLIGCTEIGRVTNSEALQLFKHCLDEPLVVCRLGEVVLNQVASLTAAPTLARNVPPSHSAYNTADSKNPQDAFVNLLSNNQHTSFCSLASGDIQESLGSTQQSRMQGRALEQKKLQDEFFLFQESELQQQRMQAIRKREAEILRQHELKKLIEAKYKNKSQLYEQYEVNYKKLLDNKLEDTRPFAIEKLKKMIDQKEPVACQQYDRHNPLKEVFLTIFKNYLVFANELNGEELYVSAMREMEVGSRFYRRPTIDESITINCGLKSLARVREEPSLSVDTHDPMAALKKLSNVTFPQDM
+>sp|O75886|STAM2_HUMAN Signal transducing adapter molecule 2 OS=Homo sapiens OX=9606 GN=STAM2 PE=1 SV=1
+MPLFTANPFEQDVEKATNEYNTTEDWSLIMDICDKVGSTPNGAKDCLKAIMKRVNHKVPHVALQALTLLGACVANCGKIFHLEVCSRDFATEVRAVIKNKAHPKVCEKLKSLMVEWSEEFQKDPQFSLISATIKSMKEEGITFPPAGSQTVSAAAKNGTSSNKNKEDEDIAKAIELSLQEQKQQHTETKSLYPSSEIQLNNKVARKVRALYDFEAVEDNELTFKHGEIIIVLDDSDANWWKGENHRGIGLFPSNFVTTNLNIETEAAAVDKLNVIDDDVEEIKKSEPEPVYIDEDKMDRALQVLQSIDPTDSKPDSQDLLDLEDICQQMGPMIDEKLEEIDRKHSELSELNVKVLEALELYNKLVNEAPVYSVYSKLHPPAHYPPASSGVPMQTYPVQSHGGNYMGQSIHQVTVAQSYSLGPDQIGPLRSLPPNVNSSVTAQPAQTSYLSTGQDTVSNPTYMNQNSNLQSATGTTAYTQQMGMSVDMSSYQNTTSNLPQLAGFPVTVPAHPVAQQHTNYHQQPLL
+>DECOY_sp|O75886|STAM2_HUMAN Signal transducing adapter molecule 2 OS=Homo sapiens OX=9606 GN=STAM2 PE=1 SV=1
+LLPQQHYNTHQQAVPHAPVTVPFGALQPLNSTTNQYSSMDVSMGMQQTYATTGTASQLNSNQNMYTPNSVTDQGTSLYSTQAPQATVSSNVNPPLSRLPGIQDPGLSYSQAVTVQHISQGMYNGGHSQVPYTQMPVGSSAPPYHAPPHLKSYVSYVPAENVLKNYLELAELVKVNLESLESHKRDIEELKEDIMPGMQQCIDELDLLDQSDPKSDTPDISQLVQLARDMKDEDIYVPEPESKKIEEVDDDIVNLKDVAAAETEINLNTTVFNSPFLGIGRHNEGKWWNADSDDLVIIIEGHKFTLENDEVAEFDYLARVKRAVKNNLQIESSPYLSKTETHQQKQEQLSLEIAKAIDEDEKNKNSSTGNKAAASVTQSGAPPFTIGEEKMSKITASILSFQPDKQFEESWEVMLSKLKECVKPHAKNKIVARVETAFDRSCVELHFIKGCNAVCAGLLTLAQLAVHPVKHNVRKMIAKLCDKAGNPTSGVKDCIDMILSWDETTNYENTAKEVDQEFPNATFLPM
+>sp|Q9ULZ2|STAP1_HUMAN Signal-transducing adaptor protein 1 OS=Homo sapiens OX=9606 GN=STAP1 PE=1 SV=1
+MMAKKPPKPAPRRIFQERLKITALPLYFEGFLLIKRSGYREYEHYWTELRGTTLFFYTDKKSIIYVDKLDIVDLTCLTEQNSTEKNCAKFTLVLPKEEVQLKTENTESGEEWRGFILTVTELSVPQNVSLLPGQVIKLHEVLEREKKRRIETEQSTSVEKEKEPTEDYVDVLNPMPACFYTVSRKEATEMLQKNPSLGNMILRPGSDSRNYSITIRQEIDIPRIKHYKVMSVGQNYTIELEKPVTLPNLFSVIDYFVKETRGNLRPFICSTDENTGQEPSMEGRSEKLKKNPHIA
+>DECOY_sp|Q9ULZ2|STAP1_HUMAN Signal-transducing adaptor protein 1 OS=Homo sapiens OX=9606 GN=STAP1 PE=1 SV=1
+AIHPNKKLKESRGEMSPEQGTNEDTSCIFPRLNGRTEKVFYDIVSFLNPLTVPKELEITYNQGVSMVKYHKIRPIDIEQRITISYNRSDSGPRLIMNGLSPNKQLMETAEKRSVTYFCAPMPNLVDVYDETPEKEKEVSTSQETEIRRKKERELVEHLKIVQGPLLSVNQPVSLETVTLIFGRWEEGSETNETKLQVEEKPLVLTFKACNKETSNQETLCTLDVIDLKDVYIISKKDTYFFLTTGRLETWYHEYERYGSRKILLFGEFYLPLATIKLREQFIRRPAPKPPKKAMM
+>sp|Q14849|STAR3_HUMAN StAR-related lipid transfer protein 3 OS=Homo sapiens OX=9606 GN=STARD3 PE=1 SV=2
+MSKLPRELTRDLERSLPAVASLGSSLSHSQSLSSHLLPPPEKRRAISDVRRTFCLFVTFDLLFISLLWIIELNTNTGIRKNLEQEIIQYNFKTSFFDIFVLAFFRFSGLLLGYAVLRLRHWWVIAVTTLVSSAFLIVKVILSELLSKGAFGYLLPIVSFVLAWLETWFLDFKVLPQEAEEERWYLAAQVAVARGPLLFSGALSEGQFYSPPESFAGSDNESDEEVAGKKSFSAQEREYIRQGKEATAVVDQILAQEENWKFEKNNEYGDTVYTIEVPFHGKTFILKTFLPCPAELVYQEVILQPERMVLWNKTVTACQILQRVEDNTLISYDVSAGAAGGVVSPRDFVNVRRIERRRDRYLSSGIATSHSAKPPTHKYVRGENGPGGFIVLKSASNPRVCTFVWILNTDLKGRLPRYLIHQSLAATMFEFAFHLRQRISELGARA
+>DECOY_sp|Q14849|STAR3_HUMAN StAR-related lipid transfer protein 3 OS=Homo sapiens OX=9606 GN=STARD3 PE=1 SV=2
+ARAGLESIRQRLHFAFEFMTAALSQHILYRPLRGKLDTNLIWVFTCVRPNSASKLVIFGGPGNEGRVYKHTPPKASHSTAIGSSLYRDRRREIRRVNVFDRPSVVGGAAGASVDYSILTNDEVRQLIQCATVTKNWLVMREPQLIVEQYVLEAPCPLFTKLIFTKGHFPVEITYVTDGYENNKEFKWNEEQALIQDVVATAEKGQRIYEREQASFSKKGAVEEDSENDSGAFSEPPSYFQGESLAGSFLLPGRAVAVQAALYWREEEAEQPLVKFDLFWTELWALVFSVIPLLYGFAGKSLLESLIVKVILFASSVLTTVAIVWWHRLRLVAYGLLLGSFRFFALVFIDFFSTKFNYQIIEQELNKRIGTNTNLEIIWLLSIFLLDFTVFLCFTRRVDSIARRKEPPPLLHSSLSQSHSLSSGLSAVAPLSRELDRTLERPLKSM
+>sp|P52630|STAT2_HUMAN Signal transducer and activator of transcription 2 OS=Homo sapiens OX=9606 GN=STAT2 PE=1 SV=1
+MAQWEMLQNLDSPFQDQLHQLYSHSLLPVDIRQYLAVWIEDQNWQEAALGSDDSKATMLFFHFLDQLNYECGRCSQDPESLLLQHNLRKFCRDIQPFSQDPTQLAEMIFNLLLEEKRILIQAQRAQLEQGEPVLETPVESQQHEIESRILDLRAMMEKLVKSISQLKDQQDVFCFRYKIQAKGKTPSLDPHQTKEQKILQETLNELDKRRKEVLDASKALLGRLTTLIELLLPKLEEWKAQQQKACIRAPIDHGLEQLETWFTAGAKLLFHLRQLLKELKGLSCLVSYQDDPLTKGVDLRNAQVTELLQRLLHRAFVVETQPCMPQTPHRPLILKTGSKFTVRTRLLVRLQEGNESLTVEVSIDRNPPQLQGFRKFNILTSNQKTLTPEKGQSQGLIWDFGYLTLVEQRSGGSGKGSNKGPLGVTEELHIISFTVKYTYQGLKQELKTDTLPVVIISNMNQLSIAWASVLWFNLLSPNLQNQQFFSNPPKAPWSLLGPALSWQFSSYVGRGLNSDQLSMLRNKLFGQNCRTEDPLLSWADFTKRESPPGKLPFWTWLDKILELVHDHLKDLWNDGRIMGFVSRSQERRLLKKTMSGTFLLRFSESSEGGITCSWVEHQDDDKVLIYSVQPYTKEVLQSLPLTEIIRHYQLLTEENIPENPLRFLYPRIPRDEAFGCYYQEKVNLQERRKYLKHRLIVVSNRQVDELQQPLELKPEPELESLELELGLVPEPELSLDLEPLLKAGLDLGPELESVLESTLEPVIEPTLCMVSQTVPEPDQGPVSQPVPEPDLPCDLRHLNTEPMEIFRNCVKIEEIMPNGDPLLAGQNTVDEVYVSRPSHFYTDGPLMPSDF
+>DECOY_sp|P52630|STAT2_HUMAN Signal transducer and activator of transcription 2 OS=Homo sapiens OX=9606 GN=STAT2 PE=1 SV=1
+FDSPMLPGDTYFHSPRSVYVEDVTNQGALLPDGNPMIEEIKVCNRFIEMPETNLHRLDCPLDPEPVPQSVPGQDPEPVTQSVMCLTPEIVPELTSELVSELEPGLDLGAKLLPELDLSLEPEPVLGLELELSELEPEPKLELPQQLEDVQRNSVVILRHKLYKRREQLNVKEQYYCGFAEDRPIRPYLFRLPNEPINEETLLQYHRIIETLPLSQLVEKTYPQVSYILVKDDDQHEVWSCTIGGESSESFRLLFTGSMTKKLLRREQSRSVFGMIRGDNWLDKLHDHVLELIKDLWTWFPLKGPPSERKTFDAWSLLPDETRCNQGFLKNRLMSLQDSNLGRGVYSSFQWSLAPGLLSWPAKPPNSFFQQNQLNPSLLNFWLVSAWAISLQNMNSIIVVPLTDTKLEQKLGQYTYKVTFSIIHLEETVGLPGKNSGKGSGGSRQEVLTLYGFDWILGQSQGKEPTLTKQNSTLINFKRFGQLQPPNRDISVEVTLSENGEQLRVLLRTRVTFKSGTKLILPRHPTQPMCPQTEVVFARHLLRQLLETVQANRLDVGKTLPDDQYSVLCSLGKLEKLLQRLHFLLKAGATFWTELQELGHDIPARICAKQQQAKWEELKPLLLEILTTLRGLLAKSADLVEKRRKDLENLTEQLIKQEKTQHPDLSPTKGKAQIKYRFCFVDQQDKLQSISKVLKEMMARLDLIRSEIEHQQSEVPTELVPEGQELQARQAQILIRKEELLLNFIMEALQTPDQSFPQIDRCFKRLNHQLLLSEPDQSCRGCEYNLQDLFHFFLMTAKSDDSGLAAEQWNQDEIWVALYQRIDVPLLSHSYLQHLQDQFPSDLNQLMEWQAM
+>sp|P52823|STC1_HUMAN Stanniocalcin-1 OS=Homo sapiens OX=9606 GN=STC1 PE=1 SV=1
+MLQNSAVLLVLVISASATHEAEQNDSVSPRKSRVAAQNSAEVVRCLNSALQVGCGAFACLENSTCDTDGMYDICKSFLYSAAKFDTQGKAFVKESLKCIANGVTSKVFLAIRRCSTFQRMIAEVQEECYSKLNVCSIAKRNPEAITEVVQLPNHFSNRYYNRLVRSLLECDEDTVSTIRDSLMEKIGPNMASLFHILQTDHCAQTHPRADFNRRRTNEPQKLKVLLRNLRGEEDSPSHIKRTSHESA
+>DECOY_sp|P52823|STC1_HUMAN Stanniocalcin-1 OS=Homo sapiens OX=9606 GN=STC1 PE=1 SV=1
+ASEHSTRKIHSPSDEEGRLNRLLVKLKQPENTRRRNFDARPHTQACHDTQLIHFLSAMNPGIKEMLSDRITSVTDEDCELLSRVLRNYYRNSFHNPLQVVETIAEPNRKAISCVNLKSYCEEQVEAIMRQFTSCRRIALFVKSTVGNAICKLSEKVFAKGQTDFKAASYLFSKCIDYMGDTDCTSNELCAFAGCGVQLASNLCRVVEASNQAAVRSKRPSVSDNQEAEHTASASIVLVLLVASNQLM
+>sp|O76061|STC2_HUMAN Stanniocalcin-2 OS=Homo sapiens OX=9606 GN=STC2 PE=1 SV=1
+MCAERLGQFMTLALVLATFDPARGTDATNPPEGPQDRSSQQKGRLSLQNTAEIQHCLVNAGDVGCGVFECFENNSCEIRGLHGICMTFLHNAGKFDAQGKSFIKDALKCKAHALRHRFGCISRKCPAIREMVSQLQRECYLKHDLCAAAQENTRVIVEMIHFKDLLLHEPYVDLVNLLLTCGEEVKEAITHSVQVQCEQNWGSLCSILSFCTSAIQKPPTAPPERQPQVDRTKLSRAHHGEAGHHLPEPSSRETGRGAKGERGSKSHPNAHARGRVGGLGAQGPSGSSEWEDEQSEYSDIRR
+>DECOY_sp|O76061|STC2_HUMAN Stanniocalcin-2 OS=Homo sapiens OX=9606 GN=STC2 PE=1 SV=1
+RRIDSYESQEDEWESSGSPGQAGLGGVRGRAHANPHSKSGREGKAGRGTERSSPEPLHHGAEGHHARSLKTRDVQPQREPPATPPKQIASTCFSLISCLSGWNQECQVQVSHTIAEKVEEGCTLLLNVLDVYPEHLLLDKFHIMEVIVRTNEQAAACLDHKLYCERQLQSVMERIAPCKRSICGFRHRLAHAKCKLADKIFSKGQADFKGANHLFTMCIGHLGRIECSNNEFCEFVGCGVDGANVLCHQIEATNQLSLRGKQQSSRDQPGEPPNTADTGRAPDFTALVLALTMFQGLREACM
+>sp|Q9UNK0|STX8_HUMAN Syntaxin-8 OS=Homo sapiens OX=9606 GN=STX8 PE=1 SV=2
+MAPDPWFSTYDSTCQIAQEIAEKIQQRNQYERKGEKAPKLTVTIRALLQNLKEKIALLKDLLLRAVSTHQITQLEGDRRQNLLDDLVTRERLLLASFKNEGAEPDLIRSSLMSEEAKRGAPNPWLFEEPEETRGLGFDEIRQQQQKIIQEQDAGLDALSSIISRQKQMGQEIGNELDEQNEIIDDLANLVENTDEKLRNETRRVNMVDRKSASCGMIMVILLLLVAIVVVAVWPTN
+>DECOY_sp|Q9UNK0|STX8_HUMAN Syntaxin-8 OS=Homo sapiens OX=9606 GN=STX8 PE=1 SV=2
+NTPWVAVVVIAVLLLLIVMIMGCSASKRDVMNVRRTENRLKEDTNEVLNALDDIIENQEDLENGIEQGMQKQRSIISSLADLGADQEQIIKQQQQRIEDFGLGRTEEPEEFLWPNPAGRKAEESMLSSRILDPEAGENKFSALLLRERTVLDDLLNQRRDGELQTIQHTSVARLLLDKLLAIKEKLNQLLARITVTLKPAKEGKREYQNRQQIKEAIEQAIQCTSDYTSFWPDPAM
+>sp|P61764|STXB1_HUMAN Syntaxin-binding protein 1 OS=Homo sapiens OX=9606 GN=STXBP1 PE=1 SV=1
+MAPIGLKAVVGEKIMHDVIKKVKKKGEWKVLVVDQLSMRMLSSCCKMTDIMTEGITIVEDINKRREPLPSLEAVYLITPSEKSVHSLISDFKDPPTAKYRAAHVFFTDSCPDALFNELVKSRAAKVIKTLTEINIAFLPYESQVYSLDSADSFQSFYSPHKAQMKNPILERLAEQIATLCATLKEYPAVRYRGEYKDNALLAQLIQDKLDAYKADDPTMGEGPDKARSQLLILDRGFDPSSPVLHELTFQAMSYDLLPIENDVYKYETSGIGEARVKEVLLDEDDDLWIALRHKHIAEVSQEVTRSLKDFSSSKRMNTGEKTTMRDLSQMLKKMPQYQKELSKYSTHLHLAEDCMKHYQGTVDKLCRVEQDLAMGTDAEGEKIKDPMRAIVPILLDANVSTYDKIRIILLYIFLKNGITEENLNKLIQHAQIPPEDSEIITNMAHLGVPIVTDSTLRRRSKPERKERISEQTYQLSRWTPIIKDIMEDTIEDKLDTKHYPYISTRSSASFSTTAVSARYGHWHKNKAPGEYRSGPRLIIFILGGVSLNEMRCAYEVTQANGKWEVLIGSTHILTPQKLLDTLKKLNKTDEEISS
+>DECOY_sp|P61764|STXB1_HUMAN Syntaxin-binding protein 1 OS=Homo sapiens OX=9606 GN=STXBP1 PE=1 SV=1
+SSIEEDTKNLKKLTDLLKQPTLIHTSGILVEWKGNAQTVEYACRMENLSVGGLIFIILRPGSRYEGPAKNKHWHGYRASVATTSFSASSRTSIYPYHKTDLKDEITDEMIDKIIPTWRSLQYTQESIREKREPKSRRRLTSDTVIPVGLHAMNTIIESDEPPIQAHQILKNLNEETIGNKLFIYLLIIRIKDYTSVNADLLIPVIARMPDKIKEGEADTGMALDQEVRCLKDVTGQYHKMCDEALHLHTSYKSLEKQYQPMKKLMQSLDRMTTKEGTNMRKSSSFDKLSRTVEQSVEAIHKHRLAIWLDDDEDLLVEKVRAEGIGSTEYKYVDNEIPLLDYSMAQFTLEHLVPSSPDFGRDLILLQSRAKDPGEGMTPDDAKYADLKDQILQALLANDKYEGRYRVAPYEKLTACLTAIQEALRELIPNKMQAKHPSYFSQFSDASDLSYVQSEYPLFAINIETLTKIVKAARSKVLENFLADPCSDTFFVHAARYKATPPDKFDSILSHVSKESPTILYVAELSPLPERRKNIDEVITIGETMIDTMKCCSSLMRMSLQDVVLVKWEGKKKVKKIVDHMIKEGVVAKLGIPAM
+>sp|Q6ZWJ1|STXB4_HUMAN Syntaxin-binding protein 4 OS=Homo sapiens OX=9606 GN=STXBP4 PE=1 SV=2
+MNKNTSTVVSPSLLEKDPAFQMITIAKETGLGLKVLGGINRNEGPLVYIQEIIPGGDCYKDGRLKPGDQLVSVNKESMIGVSFEEAKSIITGAKLRLESAWEIAFIRQKSDNIQPENLSCTSLIEASGEYGPQASTLSLFSSPPEILIPKTSSTPKTNNDILSSCEIKTGYNKTVQIPITSENSTVGLSNTDVASAWTENYGLQEKISLNPSVRFKAEKLEMALNYLGIQPTKEQHQALRQQVQADSKGTVSFGDFVQVARNLFCLQLDEVNVGAHEISNILDSQLLPCDSSEADEMERLKCERDDALKEVNTLKEKLLESDKQRKQLTEELQNVKQEAKAVVEETRALRSRIHLAEAAQRQAHGMEMDYEEVIRLLEAKITELKAQLADYSDQNKESVQDLKKRIMVLDCQLRKSEMARKTFEASTEKLLHFVEAIQEVFSDNSTPLSNLSERRAVLASQTSLTPLGRNGRSIPATLALESKELVKSVRALLDMDCLPYGWEEAYTADGIKYFINHVTQTTSWIHPVMSVLNLSRSEENEEDCSRELPNQKS
+>DECOY_sp|Q6ZWJ1|STXB4_HUMAN Syntaxin-binding protein 4 OS=Homo sapiens OX=9606 GN=STXBP4 PE=1 SV=2
+SKQNPLERSCDEENEESRSLNLVSMVPHIWSTTQTVHNIFYKIGDATYAEEWGYPLCDMDLLARVSKVLEKSELALTAPISRGNRGLPTLSTQSALVARRESLNSLPTSNDSFVEQIAEVFHLLKETSAEFTKRAMESKRLQCDLVMIRKKLDQVSEKNQDSYDALQAKLETIKAELLRIVEEYDMEMGHAQRQAAEALHIRSRLARTEEVVAKAEQKVNQLEETLQKRQKDSELLKEKLTNVEKLADDRECKLREMEDAESSDCPLLQSDLINSIEHAGVNVEDLQLCFLNRAVQVFDGFSVTGKSDAQVQQRLAQHQEKTPQIGLYNLAMELKEAKFRVSPNLSIKEQLGYNETWASAVDTNSLGVTSNESTIPIQVTKNYGTKIECSSLIDNNTKPTSSTKPILIEPPSSFLSLTSAQPGYEGSAEILSTCSLNEPQINDSKQRIFAIEWASELRLKAGTIISKAEEFSVGIMSEKNVSVLQDGPKLRGDKYCDGGPIIEQIYVLPGENRNIGGLVKLGLGTEKAITIMQFAPDKELLSPSVVTSTNKNM
+>sp|Q8WUJ0|STYX_HUMAN Serine/threonine/tyrosine-interacting protein OS=Homo sapiens OX=9606 GN=STYX PE=1 SV=1
+MEDVKLEFPSLPQCKEDAEEWTYPMRREMQEILPGLFLGPYSSAMKSKLPVLQKHGITHIICIRQNIEANFIKPNFQQLFRYLVLDIADNPVENIIRFFPMTKEFIDGSLQMGGKVLVHGNAGISRSAAFVIAYIMETFGMKYRDAFAYVQERRFCINPNAGFVHQLQEYEAIYLAKLTIQMMSPLQIERSLSVHSGTTGSLKRTHEEEDDFGTMQVATAQNG
+>DECOY_sp|Q8WUJ0|STYX_HUMAN Serine/threonine/tyrosine-interacting protein OS=Homo sapiens OX=9606 GN=STYX PE=1 SV=1
+GNQATAVQMTGFDDEEEHTRKLSGTTGSHVSLSREIQLPSMMQITLKALYIAEYEQLQHVFGANPNICFRREQVYAFADRYKMGFTEMIYAIVFAASRSIGANGHVLVKGGMQLSGDIFEKTMPFFRIINEVPNDAIDLVLYRFLQQFNPKIFNAEINQRICIIHTIGHKQLVPLKSKMASSYPGLFLGPLIEQMERRMPYTWEEADEKCQPLSPFELKVDEM
+>sp|Q9P2R7|SUCB1_HUMAN Succinate--CoA ligase [ADP-forming] subunit beta, mitochondrial OS=Homo sapiens OX=9606 GN=SUCLA2 PE=1 SV=3
+MAASMFYGRLVAVATLRNHRPRTAQRAAAQVLGSSGLFNNHGLQVQQQQQRNLSLHEYMSMELLQEAGVSVPKGYVAKSPDEAYAIAKKLGSKDVVIKAQVLAGGRGKGTFESGLKGGVKIVFSPEEAKAVSSQMIGKKLFTKQTGEKGRICNQVLVCERKYPRREYYFAITMERSFQGPVLIGSSHGGVNIEDVAAESPEAIIKEPIDIEEGIKKEQALQLAQKMGFPPNIVESAAENMVKLYSLFLKYDATMIEINPMVEDSDGAVLCMDAKINFDSNSAYRQKKIFDLQDWTQEDERDKDAAKANLNYIGLDGNIGCLVNGAGLAMATMDIIKLHGGTPANFLDVGGGATVHQVTEAFKLITSDKKVLAILVNIFGGIMRCDVIAQGIVMAVKDLEIKIPVVVRLQGTRVDDAKALIADSGLKILACDDLDEAARMVVKLSEIVTLAKQAHVDVKFQLPI
+>DECOY_sp|Q9P2R7|SUCB1_HUMAN Succinate--CoA ligase [ADP-forming] subunit beta, mitochondrial OS=Homo sapiens OX=9606 GN=SUCLA2 PE=1 SV=3
+IPLQFKVDVHAQKALTVIESLKVVMRAAEDLDDCALIKLGSDAILAKADDVRTGQLRVVVPIKIELDKVAMVIGQAIVDCRMIGGFINVLIALVKKDSTILKFAETVQHVTAGGGVDLFNAPTGGHLKIIDMTAMALGAGNVLCGINGDLGIYNLNAKAADKDREDEQTWDQLDFIKKQRYASNSDFNIKADMCLVAGDSDEVMPNIEIMTADYKLFLSYLKVMNEAASEVINPPFGMKQALQLAQEKKIGEEIDIPEKIIAEPSEAAVDEINVGGHSSGILVPGQFSREMTIAFYYERRPYKRECVLVQNCIRGKEGTQKTFLKKGIMQSSVAKAEEPSFVIKVGGKLGSEFTGKGRGGALVQAKIVVDKSGLKKAIAYAEDPSKAVYGKPVSVGAEQLLEMSMYEHLSLNRQQQQQVQLGHNNFLGSSGLVQAAARQATRPRHNRLTAVAVLRGYFMSAAM
+>sp|Q9HAC7|SUCHY_HUMAN Succinate--hydroxymethylglutarate CoA-transferase OS=Homo sapiens OX=9606 GN=SUGCT PE=1 SV=2
+MPSETHAMLATLARVAALRRTCLFSGRGGGRGLWTGRPQSDMNNIKPLEGVKILDLTRVLAGPFATMNLGDLGAEVIKVERPGAGDDTRTWGPPFVGTESTYYLSVNRNKKSIAVNIKDPKGVKIIKELAAVCDVFVENYVPGKLSAMGLGYEDIDEIAPHIIYCSITGYGQTGPISQRAGYDAVASAVSGLMHITGPENGDPVRPGVAMTDLATGLYAYGAIMAGLIQKYKTGKGLFIDCNLLSSQVACLSHIAANYLIGQKEAKRWGTAHGSIVPYQAFKTKDGYIVVGAGNNQQFATVCKILDLPELIDNSKYKTNHLRVHNRKELIKILSERFEEELTSKWLYLFEGSGVPYGPINNMKNVFAEPQVLHNGLVMEMEHPTVGKISVPGPAVRYSKFKMSEARPPPLLGQHTTHILKEVLRYDDRAIGELLSAGVVDQHETH
+>DECOY_sp|Q9HAC7|SUCHY_HUMAN Succinate--hydroxymethylglutarate CoA-transferase OS=Homo sapiens OX=9606 GN=SUGCT PE=1 SV=2
+HTEHQDVVGASLLEGIARDDYRLVEKLIHTTHQGLLPPPRAESMKFKSYRVAPGPVSIKGVTPHEMEMVLGNHLVQPEAFVNKMNNIPGYPVGSGEFLYLWKSTLEEEFRESLIKILEKRNHVRLHNTKYKSNDILEPLDLIKCVTAFQQNNGAGVVIYGDKTKFAQYPVISGHATGWRKAEKQGILYNAAIHSLCAVQSSLLNCDIFLGKGTKYKQILGAMIAGYAYLGTALDTMAVGPRVPDGNEPGTIHMLGSVASAVADYGARQSIPGTQGYGTISCYIIHPAIEDIDEYGLGMASLKGPVYNEVFVDCVAALEKIIKVGKPDKINVAISKKNRNVSLYYTSETGVFPPGWTRTDDGAGPREVKIVEAGLDGLNMTAFPGALVRTLDLIKVGELPKINNMDSQPRGTWLGRGGGRGSFLCTRRLAAVRALTALMAHTESPM
+>sp|P63165|SUMO1_HUMAN Small ubiquitin-related modifier 1 OS=Homo sapiens OX=9606 GN=SUMO1 PE=1 SV=1
+MSDQEAKPSTEDLGDKKEGEYIKLKVIGQDSSEIHFKVKMTTHLKKLKESYCQRQGVPMNSLRFLFEGQRIADNHTPKELGMEEEDVIEVYQEQTGGHSTV
+>DECOY_sp|P63165|SUMO1_HUMAN Small ubiquitin-related modifier 1 OS=Homo sapiens OX=9606 GN=SUMO1 PE=1 SV=1
+VTSHGGTQEQYVEIVDEEEMGLEKPTHNDAIRQGEFLFRLSNMPVGQRQCYSEKLKKLHTTMKVKFHIESSDQGIVKLKIYEGEKKDGLDETSPKAEQDSM
+>sp|P51687|SUOX_HUMAN Sulfite oxidase, mitochondrial OS=Homo sapiens OX=9606 GN=SUOX PE=1 SV=2
+MLLLHRAVVLRLQQACRLKSIPSRICIQACSTNDSFQPQRPSLTFSGDNSSTQGWRVMGTLLGLGAVLAYQDHRCRAAQESTHIYTKEEVSSHTSPETGIWVTLGSEVFDVTEFVDLHPGGPSKLMLAAGGPLEPFWALYAVHNQSHVRELLAQYKIGELNPEDKVAPTVETSDPYADDPVRHPALKVNSQRPFNAEPPPELLTENYITPNPIFFTRNHLPVPNLDPDTYRLHVVGAPGGQSLSLSLDDLHNFPRYEITVTLQCAGNRRSEMTQVKEVKGLEWRTGAISTARWAGARLCDVLAQAGHQLCETEAHVCFEGLDSDPTGTAYGASIPLARAMDPEAEVLLAYEMNGQPLPRDHGFPVRVVVPGVVGARHVKWLGRVSVQPEESYSHWQRRDYKGFSPSVDWETVDFDSAPSIQELPVQSAITEPRDGETVESGEVTIKGYAWSGGGRAVIRVDVSLDGGLTWQVAKLDGEEQRPRKAWAWRLWQLKAPVPAGQKELNIVCKAVDDGYNVQPDTVAPIWNLRGVLSNAWHRVHVYVSP
+>DECOY_sp|P51687|SUOX_HUMAN Sulfite oxidase, mitochondrial OS=Homo sapiens OX=9606 GN=SUOX PE=1 SV=2
+PSVYVHVRHWANSLVGRLNWIPAVTDPQVNYGDDVAKCVINLEKQGAPVPAKLQWLRWAWAKRPRQEEGDLKAVQWTLGGDLSVDVRIVARGGGSWAYGKITVEGSEVTEGDRPETIASQVPLEQISPASDFDVTEWDVSPSFGKYDRRQWHSYSEEPQVSVRGLWKVHRAGVVGPVVVRVPFGHDRPLPQGNMEYALLVEAEPDMARALPISAGYATGTPDSDLGEFCVHAETECLQHGAQALVDCLRAGAWRATSIAGTRWELGKVEKVQTMESRRNGACQLTVTIEYRPFNHLDDLSLSLSQGGPAGVVHLRYTDPDLNPVPLHNRTFFIPNPTIYNETLLEPPPEANFPRQSNVKLAPHRVPDDAYPDSTEVTPAVKDEPNLEGIKYQALLERVHSQNHVAYLAWFPELPGGAALMLKSPGGPHLDVFETVDFVESGLTVWIGTEPSTHSSVEEKTYIHTSEQAARCRHDQYALVAGLGLLTGMVRWGQTSSNDGSFTLSPRQPQFSDNTSCAQICIRSPISKLRCAQQLRLVVARHLLLM
+>sp|O75486|SUPT3_HUMAN Transcription initiation protein SPT3 homolog OS=Homo sapiens OX=9606 GN=SUPT3H PE=1 SV=3
+MNNTAASPMSTATSSSGRSTGKSISFATELQSMMYSLGDARRPLHETAVLVEDVVHTQLINLLQQAAEVSQLRGARVITPEDLLFLMRKDKKKLRRLLKYMFIRDYKSKIVKGIDEDDLLEDKLSGSNNANKRQKIAQDFLNSIDQTGELLAMFEDDEIDEVKQERMERAERQTRIMDSAQYAEFCESRQLSFSKKASKFRDWLDCSSMEIKPNVVAMEILAYLAYETVAQLVDLALLVRQDMVTKAGDPFSHAISATFIQYHNSAESTAACGVEAHSDAIQPCHIREAIRRYSHRIGPLSPFTNAYRRNGMAFLAC
+>DECOY_sp|O75486|SUPT3_HUMAN Transcription initiation protein SPT3 homolog OS=Homo sapiens OX=9606 GN=SUPT3H PE=1 SV=3
+CALFAMGNRRYANTFPSLPGIRHSYRRIAERIHCPQIADSHAEVGCAATSEASNHYQIFTASIAHSFPDGAKTVMDQRVLLALDVLQAVTEYALYALIEMAVVNPKIEMSSCDLWDRFKSAKKSFSLQRSECFEAYQASDMIRTQREAREMREQKVEDIEDDEFMALLEGTQDISNLFDQAIKQRKNANNSGSLKDELLDDEDIGKVIKSKYDRIFMYKLLRRLKKKDKRMLFLLDEPTIVRAGRLQSVEAAQQLLNILQTHVVDEVLVATEHLPRRADGLSYMMSQLETAFSISKGTSRGSSSTATSMPSAATNNM
+>sp|Q8N5L8|RP25L_HUMAN Ribonuclease P protein subunit p25-like protein OS=Homo sapiens OX=9606 GN=RPP25L PE=1 SV=1
+MEHYRKAGSVELPAPSPMPQLPPDTLEMRVRDGSKIRNLLGLALGRLEGGSARHVVFSGSGRAAGKAVSCAEIVKRRVPGLHQLTKLRFLQTEDSWVPASPDTGLDPLTVRRHVPAVWVLLSRDPLDPNECGYQPPGAPPGLGSMPSSSCGPRSRRRARDTRS
+>DECOY_sp|Q8N5L8|RP25L_HUMAN Ribonuclease P protein subunit p25-like protein OS=Homo sapiens OX=9606 GN=RPP25L PE=1 SV=1
+SRTDRARRRSRPGCSSSPMSGLGPPAGPPQYGCENPDLPDRSLLVWVAPVHRRVTLPDLGTDPSAPVWSDETQLFRLKTLQHLGPVRRKVIEACSVAKGAARGSGSFVVHRASGGELRGLALGLLNRIKSGDRVRMELTDPPLQPMPSPAPLEVSGAKRYHEM
+>sp|O15446|RPA34_HUMAN DNA-directed RNA polymerase I subunit RPA34 OS=Homo sapiens OX=9606 GN=CD3EAP PE=1 SV=1
+MEEPQAGDAARFSCPPNFTAKPPASESPRFSLEALTGPDTELWLIQAPADFAPECFNGRHVPLSGSQIVKGKLAGKRHRYRVLSSCPQAGEATLLAPSTEAGGGLTCASAPQGTLRILEGPQQSLSGSPLQPIPASPPPQIPPGLRPRFCAFGGNPPVTGPRSALAPNLLTSGKKKKEMQVTEAPVTQEAVNGHGALEVDMALGSPEMDVRKKKKKKNQQLKEPEAAGPVGTEPTVETLEPLGVLFPSTTKKRKKPKGKETFEPEDKTVKQEQINTEPLEDTVLSPTKKRKRQKGTEGMEPEEGVTVESQPQVKVEPLEEAIPLPPTKKRKKEKGQMAMMEPGTEAMEPVEPEMKPLESPGGTMAPQQPEGAKPQAQAALAAPKKKTKKEKQQDATVEPETEVVGPELPDDLEPQAAPTSTKKKKKKKERGHTVTEPIQPLEPELPGEGQPEARATPGSTKKRKKQSQESRMPETVPQEEMPGPPLNSESGEEAPTGRDKKRKQQQQQPV
+>DECOY_sp|O15446|RPA34_HUMAN DNA-directed RNA polymerase I subunit RPA34 OS=Homo sapiens OX=9606 GN=CD3EAP PE=1 SV=1
+VPQQQQQKRKKDRGTPAEEGSESNLPPGPMEEQPVTEPMRSEQSQKKRKKTSGPTARAEPQGEGPLEPELPQIPETVTHGREKKKKKKKTSTPAAQPELDDPLEPGVVETEPEVTADQQKEKKTKKKPAALAAQAQPKAGEPQQPAMTGGPSELPKMEPEVPEMAETGPEMMAMQGKEKKRKKTPPLPIAEELPEVKVQPQSEVTVGEEPEMGETGKQRKRKKTPSLVTDELPETNIQEQKVTKDEPEFTEKGKPKKRKKTTSPFLVGLPELTEVTPETGVPGAAEPEKLQQNKKKKKKRVDMEPSGLAMDVELAGHGNVAEQTVPAETVQMEKKKKGSTLLNPALASRPGTVPPNGGFACFRPRLGPPIQPPPSAPIPQLPSGSLSQQPGELIRLTGQPASACTLGGGAETSPALLTAEGAQPCSSLVRYRHRKGALKGKVIQSGSLPVHRGNFCEPAFDAPAQILWLETDPGTLAELSFRPSESAPPKATFNPPCSFRAADGAQPEEM
+>sp|P61218|RPAB2_HUMAN DNA-directed RNA polymerases I, II, and III subunit RPABC2 OS=Homo sapiens OX=9606 GN=POLR2F PE=1 SV=1
+MSDNEDNFDGDDFDDVEEDEGLDDLENAEEEGQENVEILPSGERPQANQKRITTPYMTKYERARVLGTRALQIAMCAPVMVELEGETDPLLIAMKELKARKIPIIIRRYLPDGSYEDWGVDELIITD
+>DECOY_sp|P61218|RPAB2_HUMAN DNA-directed RNA polymerases I, II, and III subunit RPABC2 OS=Homo sapiens OX=9606 GN=POLR2F PE=1 SV=1
+DTIILEDVGWDEYSGDPLYRRIIIPIKRAKLEKMAILLPDTEGELEVMVPACMAIQLARTGLVRAREYKTMYPTTIRKQNAQPREGSPLIEVNEQGEEEANELDDLGEDEEVDDFDDGDFNDENDSM
+>sp|P52434|RPAB3_HUMAN DNA-directed RNA polymerases I, II, and III subunit RPABC3 OS=Homo sapiens OX=9606 GN=POLR2H PE=1 SV=4
+MAGILFEDIFDVKDIDPEGKKFDRVSRLHCESESFKMDLILDVNIQIYPVDLGDKFRLVIASTLYEDGTLDDGEYNPTDDRPSRADQFEYVMYGKVYRIEGDETSTEAATRLSAYVSYGGLLMRLQGDANNLHGFEVDSRVYLLMKKLAF
+>DECOY_sp|P52434|RPAB3_HUMAN DNA-directed RNA polymerases I, II, and III subunit RPABC3 OS=Homo sapiens OX=9606 GN=POLR2H PE=1 SV=4
+FALKKMLLYVRSDVEFGHLNNADGQLRMLLGGYSVYASLRTAAETSTEDGEIRYVKGYMVYEFQDARSPRDDTPNYEGDDLTGDEYLTSAIVLRFKDGLDVPYIQINVDLILDMKFSESECHLRSVRDFKKGEPDIDKVDFIDEFLIGAM
+>sp|O14802|RPC1_HUMAN DNA-directed RNA polymerase III subunit RPC1 OS=Homo sapiens OX=9606 GN=POLR3A PE=1 SV=2
+MVKEQFRETDVAKKISHICFGMKSPEEMRQQAHIQVVSKNLYSQDNQHAPLLYGVLDHRMGTSEKDRPCETCGKNLADCLGHYGYIDLELPCFHVGYFRAVIGILQMICKTCCHIMLSQEEKKQFLDYLKRPGLTYLQKRGLKKKISDKCRKKNICHHCGAFNGTVKKCGLLKIIHEKYKTNKKVVDPIVSNFLQSFETAIEHNKEVEPLLGRAQENLNPLVVLNLFKRIPAEDVPLLLMNPEAGKPSDLILTRLLVPPLCIRPSVVSDLKSGTNEDDLTMKLTEIIFLNDVIKKHRISGAKTQMIMEDWDFLQLQCALYINSELSGIPLNMAPKKWTRGFVQRLKGKQGRFRGNLSGKRVDFSGRTVISPDPNLRIDEVAVPVHVAKILTFPEKVNKANINFLRKLVQNGPEVHPGANFIQQRHTQMKRFLKYGNREKMAQELKYGDIVERHLIDGDVVLFNRQPSLHKLSIMAHLARVKPHRTFRFNECVCTPYNADFDGDEMNLHLPQTEEAKAEALVLMGTKANLVTPRNGEPLIAAIQDFLTGAYLLTLKDTFFDRAKACQIIASILVGKDEKIKVRLPPPTILKPVTLWTGKQIFSVILRPSDDNPVRANLRTKGKQYCGKGEDLCANDSYVTIQNSELMSGSMDKGTLGSGSKNNIFYILLRDWGQNEAADAMSRLARLAPVYLSNRGFSIGIGDVTPGQGLLKAKYELLNAGYKKCDEYIEALNTGKLQQQPGCTAEETLEALILKELSVIRDHAGSACLRELDKSNSPLTMALCGSKGSFINISQMIACVGQQAISGSRVPDGFENRSLPHFEKHSKLPAAKGFVANSFYSGLTPTEFFFHTMAGREGLVDTAVKTAETGYMQRRLVKSLEDLCSQYDLTVRSSTGDIIQFIYGGDGLDPAAMEGKDEPLEFKRVLDNIKAVFPCPSEPALSKNELILTTESIMKKSEFLCCQDSFLQEIKKFIKGVSEKIKKTRDKYGINDNGTTEPRVLYQLDRITPTQVEKFLETCRDKYMRAQMEPGSAVGALCAQSIGEPGTQMTLKTFHFAGVASMNITLGVPRIKEIINASKAISTPIITAQLDKDDDADYARLVKGRIEKTLLGEISEYIEEVFLPDDCFILVKLSLERIRLLRLEVNAETVRYSICTSKLRVKPGDVAVHGEAVVCVTPRENSKSSMYYVLQFLKEDLPKVVVQGIPEVSRAVIHIDEQSGKEKYKLLVEGDNLRAVMATHGVKGTRTTSNNTYEVEKTLGIEAARTTIINEIQYTMVNHGMSIDRRHVMLLSDLMTYKGEVLGITRFGLAKMKESVLMLASFEKTADHLFDAAYFGQKDSVCGVSECIIMGIPMNIGTGLFKLLHKADRDPNPPKRPLIFDTNEFHIPLVT
+>DECOY_sp|O14802|RPC1_HUMAN DNA-directed RNA polymerase III subunit RPC1 OS=Homo sapiens OX=9606 GN=POLR3A PE=1 SV=2
+TVLPIHFENTDFILPRKPPNPDRDAKHLLKFLGTGINMPIGMIICESVGCVSDKQGFYAADFLHDATKEFSALMLVSEKMKALGFRTIGLVEGKYTMLDSLLMVHRRDISMGHNVMTYQIENIITTRAAEIGLTKEVEYTNNSTTRTGKVGHTAMVARLNDGEVLLKYKEKGSQEDIHIVARSVEPIGQVVVKPLDEKLFQLVYYMSSKSNERPTVCVVAEGHVAVDGPKVRLKSTCISYRVTEANVELRLLRIRELSLKVLIFCDDPLFVEEIYESIEGLLTKEIRGKVLRAYDADDDKDLQATIIPTSIAKSANIIEKIRPVGLTINMSAVGAFHFTKLTMQTGPEGISQACLAGVASGPEMQARMYKDRCTELFKEVQTPTIRDLQYLVRPETTGNDNIGYKDRTKKIKESVGKIFKKIEQLFSDQCCLFESKKMISETTLILENKSLAPESPCPFVAKINDLVRKFELPEDKGEMAAPDLGDGGYIFQIIDGTSSRVTLDYQSCLDELSKVLRRQMYGTEATKVATDVLGERGAMTHFFFETPTLGSYFSNAVFGKAAPLKSHKEFHPLSRNEFGDPVRSGSIAQQGVCAIMQSINIFSGKSGCLAMTLPSNSKDLERLCASGAHDRIVSLEKLILAELTEEATCGPQQQLKGTNLAEIYEDCKKYGANLLEYKAKLLGQGPTVDGIGISFGRNSLYVPALRALRSMADAAENQGWDRLLIYFINNKSGSGLTGKDMSGSMLESNQITVYSDNACLDEGKGCYQKGKTRLNARVPNDDSPRLIVSFIQKGTWLTVPKLITPPPLRVKIKEDKGVLISAIIQCAKARDFFTDKLTLLYAGTLFDQIAAILPEGNRPTVLNAKTGMLVLAEAKAEETQPLHLNMEDGDFDANYPTCVCENFRFTRHPKVRALHAMISLKHLSPQRNFLVVDGDILHREVIDGYKLEQAMKERNGYKLFRKMQTHRQQIFNAGPHVEPGNQVLKRLFNINAKNVKEPFTLIKAVHVPVAVEDIRLNPDPSIVTRGSFDVRKGSLNGRFRGQKGKLRQVFGRTWKKPAMNLPIGSLESNIYLACQLQLFDWDEMIMQTKAGSIRHKKIVDNLFIIETLKMTLDDENTGSKLDSVVSPRICLPPVLLRTLILDSPKGAEPNMLLLPVDEAPIRKFLNLVVLPNLNEQARGLLPEVEKNHEIATEFSQLFNSVIPDVVKKNTKYKEHIIKLLGCKKVTGNFAGCHHCINKKRCKDSIKKKLGRKQLYTLGPRKLYDLFQKKEEQSLMIHCCTKCIMQLIGIVARFYGVHFCPLELDIYGYHGLCDALNKGCTECPRDKESTGMRHDLVGYLLPAHQNDQSYLNKSVVQIHAQQRMEEPSKMGFCIHSIKKAVDTERFQEKVM
+>sp|Q9NVU0|RPC5_HUMAN DNA-directed RNA polymerase III subunit RPC5 OS=Homo sapiens OX=9606 GN=POLR3E PE=1 SV=1
+MANEEDDPVVQEIDVYLAKSLAEKLYLFQYPVRPASMTYDDIPHLSAKIKPKQQKVELEMAIDTLNPNYCRSKGEQIALNVDGACADETSTYSSKLMDKQTFCSSQTTSNTSRYAAALYRQGELHLTPLHGILQLRPSFSYLDKADAKHREREAANEAGDSSQDEAEDDVKQITVRFSRPESEQARQRRVQSYEFLQKKHAEEPWVHLHYYGLRDSRSEHERQYLLCPGSSGVENTELVKSPSEYLMMLMPPSQEEEKDKPVAPSNVLSMAQLRTLPLADQIKILMKNVKVMPFANLMSLLGPSIDSVAVLRGIQKVAMLVQGNWVVKSDILYPKDSSSPHSGVPAEVLCRGRDFVMWKFTQSRWVVRKEVATVTKLCAEDVKDFLEHMAVVRINKGWEFILPYDGEFIKKHPDVVQRQHMLWTGIQAKLEKVYNLVKETMPKKPDAQSGPAGLVCGDQRIQVAKTKAQQNHALLERELQRRKEQLRVPAVPPGVRIKEEPVSEEGEEDEEQEAEEEPMDTSPSGLHSKLANGLPLGRAAGTDSFNGHPPQGCASTPVARELKAFVEATFQRQFVLTLSELKRLFNLHLASLPPGHTLFSGISDRMLQDTVLAAGCKQILVPFPPQTAASPDEQKVFALWESGDMSDQHRQVLLEIFSKNYRVRRNMIQSRLTQECGEDLSKQEVDKVLKDCCVSYGGMWYLKGTVQS
+>DECOY_sp|Q9NVU0|RPC5_HUMAN DNA-directed RNA polymerase III subunit RPC5 OS=Homo sapiens OX=9606 GN=POLR3E PE=1 SV=1
+SQVTGKLYWMGGYSVCCDKLVKDVEQKSLDEGCEQTLRSQIMNRRVRYNKSFIELLVQRHQDSMDGSEWLAFVKQEDPSAATQPPFPVLIQKCGAALVTDQLMRDSIGSFLTHGPPLSALHLNFLRKLESLTLVFQRQFTAEVFAKLERAVPTSACGQPPHGNFSDTGAARGLPLGNALKSHLGSPSTDMPEEEAEQEEDEEGEESVPEEKIRVGPPVAPVRLQEKRRQLERELLAHNQQAKTKAVQIRQDGCVLGAPGSQADPKKPMTEKVLNYVKELKAQIGTWLMHQRQVVDPHKKIFEGDYPLIFEWGKNIRVVAMHELFDKVDEACLKTVTAVEKRVVWRSQTFKWMVFDRGRCLVEAPVGSHPSSSDKPYLIDSKVVWNGQVLMAVKQIGRLVAVSDISPGLLSMLNAFPMVKVNKMLIKIQDALPLTRLQAMSLVNSPAVPKDKEEEQSPPMLMMLYESPSKVLETNEVGSSGPCLLYQREHESRSDRLGYYHLHVWPEEAHKKQLFEYSQVRRQRAQESEPRSFRVTIQKVDDEAEDQSSDGAENAAERERHKADAKDLYSFSPRLQLIGHLPTLHLEGQRYLAAAYRSTNSTTQSSCFTQKDMLKSSYTSTEDACAGDVNLAIQEGKSRCYNPNLTDIAMELEVKQQKPKIKASLHPIDDYTMSAPRVPYQFLYLKEALSKALYVDIEQVVPDDEENAM
+>sp|Q2QD12|RPEL1_HUMAN Ribulose-phosphate 3-epimerase-like protein 1 OS=Homo sapiens OX=9606 GN=RPEL1 PE=2 SV=1
+MASGCKIGPSILNSDLANLGAKCLQMLDSGADYLHLDVMDGHFVPNITFGHPVVESLRKQLGQDPFFDMHMMVSKPEQWVKPMAVAEANQYTFHLEATENPGTLIKDIRENGMKVGLAIKPGTSVEYLAPWANQIDMALVMTVEPGFGEQKFMEDMMPKVHWLRTQFPSLDIEGDGGVGSDTVHKCAEAGANMTVSGSAIMRSEDPRSVINLLRNICSEAAQKRSLDR
+>DECOY_sp|Q2QD12|RPEL1_HUMAN Ribulose-phosphate 3-epimerase-like protein 1 OS=Homo sapiens OX=9606 GN=RPEL1 PE=2 SV=1
+RDLSRKQAAESCINRLLNIVSRPDESRMIASGSVTMNAGAEACKHVTDSGVGGDGEIDLSPFQTRLWHVKPMMDEMFKQEGFGPEVTMVLAMDIQNAWPALYEVSTGPKIALGVKMGNERIDKILTGPNETAELHFTYQNAEAVAMPKVWQEPKSVMMHMDFFPDQGLQKRLSEVVPHGFTINPVFHGDMVDLHLYDAGSDLMQLCKAGLNALDSNLISPGIKCGSAM
+>sp|Q8N122|RPTOR_HUMAN Regulatory-associated protein of mTOR OS=Homo sapiens OX=9606 GN=RPTOR PE=1 SV=1
+MESEMLQSPLLGLGEEDEADLTDWNLPLAFMKKRHCEKIEGSKSLAQSWRMKDRMKTVSVALVLCLNVGVDPPDVVKTTPCARLECWIDPLSMGPQKALETIGANLQKQYENWQPRARYKQSLDPTVDEVKKLCTSLRRNAKEERVLFHYNGHGVPRPTVNGEVWVFNKNYTQYIPLSIYDLQTWMGSPSIFVYDCSNAGLIVKSFKQFALQREQELEVAAINPNHPLAQMPLPPSMKNCIQLAACEATELLPMIPDLPADLFTSCLTTPIKIALRWFCMQKCVSLVPGVTLDLIEKIPGRLNDRRTPLGELNWIFTAITDTIAWNVLPRDLFQKLFRQDLLVASLFRNFLLAERIMRSYNCTPVSSPRLPPTYMHAMWQAWDLAVDICLSQLPTIIEEGTAFRHSPFFAEQLTAFQVWLTMGVENRNPPEQLPIVLQVLLSQVHRLRALDLLGRFLDLGPWAVSLALSVGIFPYVLKLLQSSARELRPLLVFIWAKILAVDSSCQADLVKDNGHKYFLSVLADPYMPAEHRTMTAFILAVIVNSYHTGQEACLQGNLIAICLEQLNDPHPLLRQWVAICLGRIWQNFDSARWCGVRDSAHEKLYSLLSDPIPEVRCAAVFALGTFVGNSAERTDHSTTIDHNVAMMLAQLVSDGSPMVRKELVVALSHLVVQYESNFCTVALQFIEEEKNYALPSPATTEGGSLTPVRDSPCTPRLRSVSSYGNIRAVATARSLNKSLQNLSLTEESGGAVAFSPGNLSTSSSASSTLGSPENEEHILSFETIDKMRRASSYSSLNSLIGVSFNSVYTQIWRVLLHLAADPYPEVSDVAMKVLNSIAYKATVNARPQRVLDTSSLTQSAPASPTNKGVHIHQAGGSPPASSTSSSSLTNDVAKQPVSRDLPSGRPGTTGPAGAQYTPHSHQFPRTRKMFDKGPEQTADDADDAAGHKSFISATVQTGFCDWSARYFAQPVMKIPEEHDLESQIRKEREWRFLRNSRVRRQAQQVIQKGITRLDDQIFLNRNPGVPSVVKFHPFTPCIAVADKDSICFWDWEKGEKLDYFHNGNPRYTRVTAMEYLNGQDCSLLLTATDDGAIRVWKNFADLEKNPEMVTAWQGLSDMLPTTRGAGMVVDWEQETGLLMSSGDVRIVRIWDTDREMKVQDIPTGADSCVTSLSCDSHRSLIVAGLGDGSIRVYDRRMALSECRVMTYREHTAWVVKASLQKRPDGHIVSVSVNGDVRIFDPRMPESVNVLQIVKGLTALDIHPQADLIACGSVNQFTAIYNSSGELINNIKYYDGFMGQRVGAISCLAFHPHWPHLAVGSNDYYISVYSVEKRVR
+>DECOY_sp|Q8N122|RPTOR_HUMAN Regulatory-associated protein of mTOR OS=Homo sapiens OX=9606 GN=RPTOR PE=1 SV=1
+RVRKEVSYVSIYYDNSGVALHPWHPHFALCSIAGVRQGMFGDYYKINNILEGSSNYIATFQNVSGCAILDAQPHIDLATLGKVIQLVNVSEPMRPDFIRVDGNVSVSVIHGDPRKQLSAKVVWATHERYTMVRCESLAMRRDYVRISGDGLGAVILSRHSDCSLSTVCSDAGTPIDQVKMERDTDWIRVIRVDGSSMLLGTEQEWDVVMGAGRTTPLMDSLGQWATVMEPNKELDAFNKWVRIAGDDTATLLLSCDQGNLYEMATVRTYRPNGNHFYDLKEGKEWDWFCISDKDAVAICPTFPHFKVVSPVGPNRNLFIQDDLRTIGKQIVQQAQRRVRSNRLFRWEREKRIQSELDHEEPIKMVPQAFYRASWDCFGTQVTASIFSKHGAADDADDATQEPGKDFMKRTRPFQHSHPTYQAGAPGTTGPRGSPLDRSVPQKAVDNTLSSSSTSSAPPSGGAQHIHVGKNTPSAPASQTLSSTDLVRQPRANVTAKYAISNLVKMAVDSVEPYPDAALHLLVRWIQTYVSNFSVGILSNLSSYSSARRMKDITEFSLIHEENEPSGLTSSASSSTSLNGPSFAVAGGSEETLSLNQLSKNLSRATAVARINGYSSVSRLRPTCPSDRVPTLSGGETTAPSPLAYNKEEEIFQLAVTCFNSEYQVVLHSLAVVLEKRVMPSGDSVLQALMMAVNHDITTSHDTREASNGVFTGLAFVAACRVEPIPDSLLSYLKEHASDRVGCWRASDFNQWIRGLCIAVWQRLLPHPDNLQELCIAILNGQLCAEQGTHYSNVIVALIFATMTRHEAPMYPDALVSLFYKHGNDKVLDAQCSSDVALIKAWIFVLLPRLERASSQLLKLVYPFIGVSLALSVAWPGLDLFRGLLDLARLRHVQSLLVQLVIPLQEPPNRNEVGMTLWVQFATLQEAFFPSHRFATGEEIITPLQSLCIDVALDWAQWMAHMYTPPLRPSSVPTCNYSRMIREALLFNRFLSAVLLDQRFLKQFLDRPLVNWAITDTIATFIWNLEGLPTRRDNLRGPIKEILDLTVGPVLSVCKQMCFWRLAIKIPTTLCSTFLDAPLDPIMPLLETAECAALQICNKMSPPLPMQALPHNPNIAAVELEQERQLAFQKFSKVILGANSCDYVFISPSGMWTQLDYISLPIYQTYNKNFVWVEGNVTPRPVGHGNYHFLVREEKANRRLSTCLKKVEDVTPDLSQKYRARPQWNEYQKQLNAGITELAKQPGMSLPDIWCELRACPTTKVVDPPDVGVNLCLVLAVSVTKMRDKMRWSQALSKSGEIKECHRKKMFALPLNWDTLDAEDEEGLGLLPSQLMESEM
+>sp|Q14684|RRP1B_HUMAN Ribosomal RNA processing protein 1 homolog B OS=Homo sapiens OX=9606 GN=RRP1B PE=1 SV=3
+MAPAMQPAEIQFAQRLASSEKGIRDRAVKKLRQYISVKTQRETGGFSQEELLKIWKGLFYCMWVQDEPLLQEELANTIAQLVHAVNNSAAQHLFIQTFWQTMNREWKGIDRLRLDKYYMLIRLVLRQSFEVLKRNGWEESRIKVFLDVLMKEVLCPESQSPNGVRFHFIDIYLDELSKVGGKELLADQNLKFIDPFCKIAAKTKDHTLVQTIARGVFEAIVDQSPFVPEETMEEQKTKVGDGDLSAEEIPENEVSLRRAVSKKKTALGKNHSRKDGLSDERGRDDCGTFEDTGPLLQFDYKAVADRLLEMTSRKNTPHFNRKRLSKLIKKFQDLSEGSSISQLSFAEDISADEDDQILSQGKHKKKGNKLLEKTNLEKEKGSRVFCVEEEDSESSLQKRRRKKKKKHHLQPENPGPGGAAPSLEQNRGREPEASGLKALKARVAEPGAEATSSTGEESGSEHPPAVPMHNKRKRPRKKSPRAHREMLESAVLPPEDMSQSGPSGSHPQGPRGSPTGGAQLLKRKRKLGVVPVNGSGLSTPAWPPLQQEGPPTGPAEGANSHTTLPQRRRLQKKKAGPGSLELCGLPSQKTASLKKRKKMRVMSNLVEHNGVLESEAGQPQALGSSGTCSSLKKQKLRAESDFVKFDTPFLPKPLFFRRAKSSTATHPPGPAVQLNKTPSSSKKVTFGLNRNMTAEFKKTDKSILVSPTGPSRVAFDPEQKPLHGVLKTPTSSPASSPLVAKKPLTTTPRRRPRAMDFF
+>DECOY_sp|Q14684|RRP1B_HUMAN Ribosomal RNA processing protein 1 homolog B OS=Homo sapiens OX=9606 GN=RRP1B PE=1 SV=3
+FFDMARPRRRPTTTLPKKAVLPSSAPSSTPTKLVGHLPKQEPDFAVRSPGTPSVLISKDTKKFEATMNRNLGFTVKKSSSPTKNLQVAPGPPHTATSSKARRFFLPKPLFPTDFKVFDSEARLKQKKLSSCTGSSGLAQPQGAESELVGNHEVLNSMVRMKKRKKLSATKQSPLGCLELSGPGAKKKQLRRRQPLTTHSNAGEAPGTPPGEQQLPPWAPTSLGSGNVPVVGLKRKRKLLQAGGTPSGRPGQPHSGSPGSQSMDEPPLVASELMERHARPSKKRPRKRKNHMPVAPPHESGSEEGTSSTAEAGPEAVRAKLAKLGSAEPERGRNQELSPAAGGPGPNEPQLHHKKKKKRRRKQLSSESDEEEVCFVRSGKEKELNTKELLKNGKKKHKGQSLIQDDEDASIDEAFSLQSISSGESLDQFKKILKSLRKRNFHPTNKRSTMELLRDAVAKYDFQLLPGTDEFTGCDDRGREDSLGDKRSHNKGLATKKKSVARRLSVENEPIEEASLDGDGVKTKQEEMTEEPVFPSQDVIAEFVGRAITQVLTHDKTKAAIKCFPDIFKLNQDALLEKGGVKSLEDLYIDIFHFRVGNPSQSEPCLVEKMLVDLFVKIRSEEWGNRKLVEFSQRLVLRILMYYKDLRLRDIGKWERNMTQWFTQIFLHQAASNNVAHVLQAITNALEEQLLPEDQVWMCYFLGKWIKLLEEQSFGGTERQTKVSIYQRLKKVARDRIGKESSALRQAFQIEAPQMAPAM
+>sp|Q9NSQ0|RRP7B_HUMAN Putative ribosomal RNA-processing protein 7 homolog B OS=Homo sapiens OX=9606 GN=RRP7BP PE=5 SV=1
+MEAYDQKIAEEEAKAKEEEGVPDEEGWVKVTRRGRRPVLPRTEAASLRVLERERRKRSQKELLNYAWQHRESKMEHLAQLRKKFEEDKQRIELLRAQRKFRPY
+>DECOY_sp|Q9NSQ0|RRP7B_HUMAN Putative ribosomal RNA-processing protein 7 homolog B OS=Homo sapiens OX=9606 GN=RRP7BP PE=5 SV=1
+YPRFKRQARLLEIRQKDEEFKKRLQALHEMKSERHQWAYNLLEKQSRKRRERELVRLSAAETRPLVPRRGRRTVKVWGEEDPVGEEEKAKAEEEAIKQDYAEM
+>sp|P25398|RS12_HUMAN 40S ribosomal protein S12 OS=Homo sapiens OX=9606 GN=RPS12 PE=1 SV=3
+MAEEGIAAGGVMDVNTALQEVLKTALIHDGLARGIREAAKALDKRQAHLCVLASNCDEPMYVKLVEALCAEHQINLIKVDDNKKLGEWVGLCKIDREGKPRKVVGCSCVVVKDYGKESQAKDVIEEYFKCKK
+>DECOY_sp|P25398|RS12_HUMAN 40S ribosomal protein S12 OS=Homo sapiens OX=9606 GN=RPS12 PE=1 SV=3
+KKCKFYEEIVDKAQSEKGYDKVVVCSCGVVKRPKGERDIKCLGVWEGLKKNDDVKILNIQHEACLAEVLKVYMPEDCNSALVCLHAQRKDLAKAAERIGRALGDHILATKLVEQLATNVDMVGGAAIGEEAM
+>sp|P62244|RS15A_HUMAN 40S ribosomal protein S15a OS=Homo sapiens OX=9606 GN=RPS15A PE=1 SV=2
+MVRMNVLADALKSINNAEKRGKRQVLIRPCSKVIVRFLTVMMKHGYIGEFEIIDDHRAGKIVVNLTGRLNKCGVISPRFDVQLKDLEKWQNNLLPSRQFGFIVLTTSAGIMDHEEARRKHTGGKILGFFF
+>DECOY_sp|P62244|RS15A_HUMAN 40S ribosomal protein S15a OS=Homo sapiens OX=9606 GN=RPS15A PE=1 SV=2
+FFFGLIKGGTHKRRAEEHDMIGASTTLVIFGFQRSPLLNNQWKELDKLQVDFRPSIVGCKNLRGTLNVVIKGARHDDIIEFEGIYGHKMMVTLFRVIVKSCPRILVQRKGRKEANNISKLADALVNMRVM
+>sp|P62841|RS15_HUMAN 40S ribosomal protein S15 OS=Homo sapiens OX=9606 GN=RPS15 PE=1 SV=2
+MAEVEQKKKRTFRKFTYRGVDLDQLLDMSYEQLMQLYSARQRRRLNRGLRRKQHSLLKRLRKAKKEAPPMEKPEVVKTHLRDMIILPEMVGSMVGVYNGKTFNQVEIKPEMIGHYLGEFSITYKPVKHGRPGIGATHSSRFIPLK
+>DECOY_sp|P62841|RS15_HUMAN 40S ribosomal protein S15 OS=Homo sapiens OX=9606 GN=RPS15 PE=1 SV=2
+KLPIFRSSHTAGIGPRGHKVPKYTISFEGLYHGIMEPKIEVQNFTKGNYVGVMSGVMEPLIIMDRLHTKVVEPKEMPPAEKKAKRLRKLLSHQKRRLGRNLRRRQRASYLQMLQEYSMDLLQDLDVGRYTFKRFTRKKKQEVEAM
+>sp|P62249|RS16_HUMAN 40S ribosomal protein S16 OS=Homo sapiens OX=9606 GN=RPS16 PE=1 SV=2
+MPSKGPLQSVQVFGRKKTATAVAHCKRGNGLIKVNGRPLEMIEPRTLQYKLLEPVLLLGKERFAGVDIRVRVKGGGHVAQIYAIRQSISKALVAYYQKYVDEASKKEIKDILIQYDRTLLVADPRRCESKKFGGPGARARYQKSYR
+>DECOY_sp|P62249|RS16_HUMAN 40S ribosomal protein S16 OS=Homo sapiens OX=9606 GN=RPS16 PE=1 SV=2
+RYSKQYRARAGPGGFKKSECRRPDAVLLTRDYQILIDKIEKKSAEDVYKQYYAVLAKSISQRIAYIQAVHGGGKVRVRIDVGAFREKGLLLVPELLKYQLTRPEIMELPRGNVKILGNGRKCHAVATATKKRGFVQVSQLPGKSPM
+>sp|P61353|RL27_HUMAN 60S ribosomal protein L27 OS=Homo sapiens OX=9606 GN=RPL27 PE=1 SV=2
+MGKFMKPGKVVLVLAGRYSGRKAVIVKNIDDGTSDRPYSHALVAGIDRYPRKVTAAMGKKKIAKRSKIKSFVKVYNYNHLMPTRYSVDIPLDKTVVNKDVFRDPALKRKARREAKVKFEERYKTGKNKWFFQKLRF
+>DECOY_sp|P61353|RL27_HUMAN 60S ribosomal protein L27 OS=Homo sapiens OX=9606 GN=RPL27 PE=1 SV=2
+FRLKQFFWKNKGTKYREEFKVKAERRAKRKLAPDRFVDKNVVTKDLPIDVSYRTPMLHNYNYVKVFSKIKSRKAIKKKGMAATVKRPYRDIGAVLAHSYPRDSTGDDINKVIVAKRGSYRGALVLVVKGPKMFKGM
+>sp|Q969Q0|RL36L_HUMAN 60S ribosomal protein L36a-like OS=Homo sapiens OX=9606 GN=RPL36AL PE=1 SV=3
+MVNVPKTRRTFCKKCGKHQPHKVTQYKKGKDSLYAQGRRRYDRKQSGYGGQTKPIFRKKAKTTKKIVLRLECVEPNCRSKRMLAIKRCKHFELGGDKKRKGQVIQF
+>DECOY_sp|Q969Q0|RL36L_HUMAN 60S ribosomal protein L36a-like OS=Homo sapiens OX=9606 GN=RPL36AL PE=1 SV=3
+FQIVQGKRKKDGGLEFHKCRKIALMRKSRCNPEVCELRLVIKKTTKAKKRFIPKTQGGYGSQKRDYRRRGQAYLSDKGKKYQTVKHPQHKGCKKCFTRRTKPVNVM
+>sp|Q92901|RL3L_HUMAN 60S ribosomal protein L3-like OS=Homo sapiens OX=9606 GN=RPL3L PE=2 SV=3
+MSHRKFSAPRHGHLGFLPHKRSHRHRGKVKTWPRDDPSQPVHLTAFLGYKAGMTHTLREVHRPGLKISKREEVEAVTIVETPPLVVVGVVGYVATPRGLRSFKTIFAEHLSDECRRRFYKDWHKSKKKAFTKACKRWRDTDGKKQLQKDFAAMKKYCKVIRVIVHTQMKLLPFRQKKAHIMEIQLNGGTVAEKVAWAQARLEKQVPVHSVFSQSEVIDVIAVTKGRGVKGVTSRWHTKKLPRKTHKGLRKVACIGAWHPARVGCSIARAGQKGYHHRTELNKKIFRIGRGPHMEDGKLVKNNASTSYDVTAKSITPLGGFPHYGEVNNDFVMLKGCIAGTKKRVITLRKSLLVHHSRQAVENIELKFIDTTSKFGHGRFQTAQEKRAFMGPQKKHLEKETPETSGDL
+>DECOY_sp|Q92901|RL3L_HUMAN 60S ribosomal protein L3-like OS=Homo sapiens OX=9606 GN=RPL3L PE=2 SV=3
+LDGSTEPTEKELHKKQPGMFARKEQATQFRGHGFKSTTDIFKLEINEVAQRSHHVLLSKRLTIVRKKTGAICGKLMVFDNNVEGYHPFGGLPTISKATVDYSTSANNKVLKGDEMHPGRGIRFIKKNLETRHHYGKQGARAISCGVRAPHWAGICAVKRLGKHTKRPLKKTHWRSTVGKVGRGKTVAIVDIVESQSFVSHVPVQKELRAQAWAVKEAVTGGNLQIEMIHAKKQRFPLLKMQTHVIVRIVKCYKKMAAFDKQLQKKGDTDRWRKCAKTFAKKKSKHWDKYFRRRCEDSLHEAFITKFSRLGRPTAVYGVVGVVVLPPTEVITVAEVEERKSIKLGPRHVERLTHTMGAKYGLFATLHVPQSPDDRPWTKVKGRHRHSRKHPLFGLHGHRPASFKRHSM
+>sp|Q8NHW5|RLA0L_HUMAN 60S acidic ribosomal protein P0-like OS=Homo sapiens OX=9606 GN=RPLP0P6 PE=5 SV=1
+MPREDRATWKSNYFLKIIQLLDDYPKCFIVGADNVGSKQMQQIRMSLRGKVVVLMGKNTMMRKAIRGHLENNPALEKLLPHIWGNVGFVFTKEDLTEIRDMLLANKVPAAARAGAIAPCEVTVPAQNTGLGPEKTSFFQALGITTKISRGTIEILSDVQLIKTGDKVGASEATLLNMLNISPFSFGLVIQQVFDNGSIYNPEVLDKTEETLHSRFLEGVRNVASVCLQTGYPTVASVPHSIINGYKRVLALSVETDYTFPLAENVKAFLADPSAFVAAAPVAADTTAAPAAAAAPAKVEAKEESEESDEDMGFGLFD
+>DECOY_sp|Q8NHW5|RLA0L_HUMAN 60S acidic ribosomal protein P0-like OS=Homo sapiens OX=9606 GN=RPLP0P6 PE=5 SV=1
+DFLGFGMDEDSEESEEKAEVKAPAAAAAPAATTDAAVPAAAVFASPDALFAKVNEALPFTYDTEVSLALVRKYGNIISHPVSAVTPYGTQLCVSAVNRVGELFRSHLTEETKDLVEPNYISGNDFVQQIVLGFSFPSINLMNLLTAESAGVKDGTKILQVDSLIEITGRSIKTTIGLAQFFSTKEPGLGTNQAPVTVECPAIAGARAAAPVKNALLMDRIETLDEKTFVFGVNGWIHPLLKELAPNNELHGRIAKRMMTNKGMLVVVKGRLSMRIQQMQKSGVNDAGVIFCKPYDDLLQIIKLFYNSKWTARDERPM
+>sp|Q96DM3|RMC1_HUMAN Regulator of MON1-CCZ1 complex OS=Homo sapiens OX=9606 GN=RMC1 PE=1 SV=2
+MGEEDYYLELCERPVQFEKANPVNCVFFDEANKQVFAVRSGGATGVVVKGPDDRNPISFRMDDKGEVKCIKFSLENKILAVQRTSKTVDFCNFIPDNSQLEYTQECKTKNANILGFCWTSSTEIVFITDQGIEFYQVLPEKRSLKLLKSHNLNVNWYMYCPESAVILLSTTVLENVLQPFHFRAGTMSKLPKFEIELPAAPKSTKPSLSERDIAMATIYGQLYVLFLRHHSRTSNSTGAEVVLYHLPREGACKKMHILKLNRTGKFALNVVDNLVVVHHQDTETSVIFDIKLRGEFDGSVTFHHPVLPARSIQPYQIPITGPAAVTSQSPVPCKLYSSSWIVFQPDIIISASQGYLWNLQVKLEPIVNLLPDKGRLMDFLLQRKECKMVILSVCSQMLSESDRASLPVIATVFDKLNHEYKKYLDAEQSYAMAVEAGQSRSSPLLKRPVRTQAVLDQSDVYTHVLSAFVEKKEMPHKFVIAVLMEYIRSLNQFQIAVQHYLHELVIKTLVQHNLFYMLHQFLQYHVLSDSKPLACLLLSLESFYPPAHQLSLDMLKRLSTANDEIVEVLLSKHQVLAALRFIRGIGGHDNISARKFLDAAKQTEDNMLFYTIFRFFEQRNQRLRGSPNFTPGEHCEEHVAFFKQIFGDQALMRPTTF
+>DECOY_sp|Q96DM3|RMC1_HUMAN Regulator of MON1-CCZ1 complex OS=Homo sapiens OX=9606 GN=RMC1 PE=1 SV=2
+FTTPRMLAQDGFIQKFFAVHEECHEGPTFNPSGRLRQNRQEFFRFITYFLMNDETQKAADLFKRASINDHGGIGRIFRLAALVQHKSLLVEVIEDNATSLRKLMDLSLQHAPPYFSELSLLLCALPKSDSLVHYQLFQHLMYFLNHQVLTKIVLEHLYHQVAIQFQNLSRIYEMLVAIVFKHPMEKKEVFASLVHTYVDSQDLVAQTRVPRKLLPSSRSQGAEVAMAYSQEADLYKKYEHNLKDFVTAIVPLSARDSESLMQSCVSLIVMKCEKRQLLFDMLRGKDPLLNVIPELKVQLNWLYGQSASIIIDPQFVIWSSSYLKCPVPSQSTVAAPGTIPIQYPQISRAPLVPHHFTVSGDFEGRLKIDFIVSTETDQHHVVVLNDVVNLAFKGTRNLKLIHMKKCAGERPLHYLVVEAGTSNSTRSHHRLFLVYLQGYITAMAIDRESLSPKTSKPAAPLEIEFKPLKSMTGARFHFPQLVNELVTTSLLIVASEPCYMYWNVNLNHSKLLKLSRKEPLVQYFEIGQDTIFVIETSSTWCFGLINANKTKCEQTYELQSNDPIFNCFDVTKSTRQVALIKNELSFKICKVEGKDDMRFSIPNRDDPGKVVVGTAGGSRVAFVQKNAEDFFVCNVPNAKEFQVPRECLELYYDEEGM
+>sp|Q9H9A7|RMI1_HUMAN RecQ-mediated genome instability protein 1 OS=Homo sapiens OX=9606 GN=RMI1 PE=1 SV=3
+MNVTSIALRAETWLLAAWHVKVPPMWLEACINWIQEENNNVNLSQAQMNKQVFEQWLLTDLRDLEHPLLPDGILEIPKGELNGFYALQINSLVDVSQPAYSQIQKLRGKNTTNDLVTAEAQVTPKPWEAKPSRMLMLQLTDGIVQIQGMEYQPIPILHSDLPPGTKILIYGNISFRLGVLLLKPENVKVLGGEVDALLEEYAQEKVLARLIGEPDLVVSVIPNNSNENIPRVTDVLDPALGPSDEELLASLDENDELTANNDTSSERCFTTGSSSNTIPTRQSSFEPEFVISPRPKEEPSNLSIHVMDGELDDFSLEEALLLEETVQKEQMETKELQPLTFNRNADRSIERFSHNPNTTNNFSLTCKNGNNNWSEKNVSEQMTNEDKSFGCPSVRDQNRSIFSVHCNVPLAHDFTNKEKNLETDNKIKQTSSSDSHSLNNKILNREVVNYVQKRNSQISNENDCNLQSCSLRSSENSINLSIAMDLYSPPFVYLSVLMASKPKEVTTVKVKAFIVTLTGNLSSSGGIWSITAKVSDGTAYLDVDFVDEILTSLIGFSVPEMKQSKKDPLQYQKFLEGLQKCQRDLIDLCCLMTISFNPSLSKAMVLALQDVNMEHLENLKKRLNK
+>DECOY_sp|Q9H9A7|RMI1_HUMAN RecQ-mediated genome instability protein 1 OS=Homo sapiens OX=9606 GN=RMI1 PE=1 SV=3
+KNLRKKLNELHEMNVDQLALVMAKSLSPNFSITMLCCLDILDRQCKQLGELFKQYQLPDKKSQKMEPVSFGILSTLIEDVFDVDLYATGDSVKATISWIGGSSSLNGTLTVIFAKVKVTTVEKPKSAMLVSLYVFPPSYLDMAISLNISNESSRLSCSQLNCDNENSIQSNRKQVYNVVERNLIKNNLSHSDSSSTQKIKNDTELNKEKNTFDHALPVNCHVSFISRNQDRVSPCGFSKDENTMQESVNKESWNNNGNKCTLSFNNTTNPNHSFREISRDANRNFTLPQLEKTEMQEKQVTEELLLAEELSFDDLEGDMVHISLNSPEEKPRPSIVFEPEFSSQRTPITNSSSGTTFCRESSTDNNATLEDNEDLSALLEEDSPGLAPDLVDTVRPINENSNNPIVSVVLDPEGILRALVKEQAYEELLADVEGGLVKVNEPKLLLVGLRFSINGYILIKTGPPLDSHLIPIPQYEMGQIQVIGDTLQLMLMRSPKAEWPKPTVQAEATVLDNTTNKGRLKQIQSYAPQSVDVLSNIQLAYFGNLEGKPIELIGDPLLPHELDRLDTLLWQEFVQKNMQAQSLNVNNNEEQIWNICAELWMPPVKVHWAALLWTEARLAISTVNM
+>sp|Q9H9V4|RN122_HUMAN RING finger protein 122 OS=Homo sapiens OX=9606 GN=RNF122 PE=2 SV=2
+MHPFQWCNGCFCGLGLVSTNKSCSMPPISFQDLPLNIYMVIFGTGIFVFMLSLIFCCYFISKLRNQAQSERYGYKEVVLKGDAKKLQLYGQTCAVCLEDFKGKDELGVLPCQHAFHRKCLVKWLEVRCVCPMCNKPIASPSEATQNIGILLDELV
+>DECOY_sp|Q9H9V4|RN122_HUMAN RING finger protein 122 OS=Homo sapiens OX=9606 GN=RNF122 PE=2 SV=2
+VLEDLLIGINQTAESPSAIPKNCMPCVCRVELWKVLCKRHFAHQCPLVGLEDKGKFDELCVACTQGYLQLKKADGKLVVEKYGYRESQAQNRLKSIFYCCFILSLMFVFIGTGFIVMYINLPLDQFSIPPMSCSKNTSVLGLGCFCGNCWQFPHM
+>sp|Q5XPI4|RN123_HUMAN E3 ubiquitin-protein ligase RNF123 OS=Homo sapiens OX=9606 GN=RNF123 PE=1 SV=1
+MASKGAGMSFSRKSYRLTSDAEKSRVTGIVQEKLLNDYLNRIFSSSEHAPPAATSRKPLNFQNLPEHLDQLLQVDNEEEESQGQVEGRLGPSTVVLDHTGGFEGLLLVDDDLLGVIGHSNFGTIRSTTCVYKGKWLYEVLISSQGLMQIGWCTISCRFNQEEGVGDTHNSYAYDGNRVRKWNVTTTNYGKAWAAGDIVSCLIDLDDGTLSFCLNGVSLGTAFENLSRGLGMAYFPAISLSFKESVAFNFGSRPLRYPVAGYRPLQDPPSADLVRAQRLLGCFRAVLSVELDPVEGRLLDKESSKWRLRGQPTVLLTLAHIFHHFAPLLRKVYLVEAVLMSFLLGIVEKGTPTQAQSVVHQVLDLLWLFMEDYEVQDCLKQLMMSLLRLYRFSPIVPDLGLQIHYLRLTIAILRHEKSRKFLLSNVLFDVLRSVVFFYIKSPLRVEEAGLQELIPTTWWPHCSSREGKESTEMKEETAEERLRRRAYERGCQRLRKRIEVVEELQVQILKLLLDNKDDNGGEASRYIFLTKFRKFLQENASGRGNMPMLCPPEYMVCFLHRLISALRYYWDEYKASNPHASFSEEAYIPPQVFYNGKVDYFDLQRLGGLLSHLRKTLKDDLASKANIVIDPLELQSTAMDDLDEDEEPAPAMAQRPMQALAVGGPLPLPRPGWLSSPTLGRANRFLSTAAVSLMTPRRPLSTSEKVKVRTLSVEQRTREDIEGSHWNEGLLLGRPPEEPEQPLTENSLLEVLDGAVMMYNLSVHQQLGKMVGVSDDVNEYAMALRDTEDKLRRCPKRRKDILAELTKSQKVFSEKLDHLSRRLAWVHATVYSQEKMLDIYWLLRVCLRTIEHGDRTGSLFAFMPEFYLSVAINSYSALKNYFGPVHSMEELPGYEETLTRLAAILAKHFADARIVGTDIRDSLMQALASYVCYPHSLRAVERIPEEQRIAMVRNLLAPYEQRPWAQTNWILVRLWRGCGFGYRYTRLPHLLKTKLEDANLPSLQKPCPSTLLQQHMADLLQQGPDVAPSFLNSVLNQLNWAFSEFIGMIQEIQQAAERLERNFVDSRQLKVCATCFDLSVSLLRVLEMTITLVPEIFLDWTRPTSEMLLRRLAQLLNQVLNRVTAERNLFDRVVTLRLPGLESVDHYPILVAVTGILVQLLVRGPASEREQATSVLLADPCFQLRSICYLLGQPEPPAPGTALPAPDRKRFSLQSYADYISADELAQVEQMLAHLTSASAQAAAASLPTSEEDLCPICYAHPISAVFQPCGHKSCKACINQHLMNNKDCFFCKTTIVSVEDWEKGANTSTTSSAA
+>DECOY_sp|Q5XPI4|RN123_HUMAN E3 ubiquitin-protein ligase RNF123 OS=Homo sapiens OX=9606 GN=RNF123 PE=1 SV=1
+AASSTTSTNAGKEWDEVSVITTKCFFCDKNNMLHQNICAKCSKHGCPQFVASIPHAYCIPCLDEESTPLSAAAAQASASTLHALMQEVQALEDASIYDAYSQLSFRKRDPAPLATGPAPPEPQGLLYCISRLQFCPDALLVSTAQERESAPGRVLLQVLIGTVAVLIPYHDVSELGPLRLTVVRDFLNREATVRNLVQNLLQALRRLLMESTPRTWDLFIEPVLTITMELVRLLSVSLDFCTACVKLQRSDVFNRELREAAQQIEQIMGIFESFAWNLQNLVSNLFSPAVDPGQQLLDAMHQQLLTSPCPKQLSPLNADELKTKLLHPLRTYRYGFGCGRWLRVLIWNTQAWPRQEYPALLNRVMAIRQEEPIREVARLSHPYCVYSALAQMLSDRIDTGVIRADAFHKALIAALRTLTEEYGPLEEMSHVPGFYNKLASYSNIAVSLYFEPMFAFLSGTRDGHEITRLCVRLLWYIDLMKEQSYVTAHVWALRRSLHDLKESFVKQSKTLEALIDKRRKPCRRLKDETDRLAMAYENVDDSVGVMKGLQQHVSLNYMMVAGDLVELLSNETLPQEPEEPPRGLLLGENWHSGEIDERTRQEVSLTRVKVKESTSLPRRPTMLSVAATSLFRNARGLTPSSLWGPRPLPLPGGVALAQMPRQAMAPAPEEDEDLDDMATSQLELPDIVINAKSALDDKLTKRLHSLLGGLRQLDFYDVKGNYFVQPPIYAEESFSAHPNSAKYEDWYYRLASILRHLFCVMYEPPCLMPMNGRGSANEQLFKRFKTLFIYRSAEGGNDDKNDLLLKLIQVQLEEVVEIRKRLRQCGREYARRRLREEATEEKMETSEKGERSSCHPWWTTPILEQLGAEEVRLPSKIYFFVVSRLVDFLVNSLLFKRSKEHRLIAITLRLYHIQLGLDPVIPSFRYLRLLSMMLQKLCDQVEYDEMFLWLLDLVQHVVSQAQTPTGKEVIGLLFSMLVAEVLYVKRLLPAFHHFIHALTLLVTPQGRLRWKSSEKDLLRGEVPDLEVSLVARFCGLLRQARVLDASPPDQLPRYGAVPYRLPRSGFNFAVSEKFSLSIAPFYAMGLGRSLNEFATGLSVGNLCFSLTGDDLDILCSVIDGAAWAKGYNTTTVNWKRVRNGDYAYSNHTDGVGEEQNFRCSITCWGIQMLGQSSILVEYLWKGKYVCTTSRITGFNSHGIVGLLDDDVLLLGEFGGTHDLVVTSPGLRGEVQGQSEEEENDVQLLQDLHEPLNQFNLPKRSTAAPPAHESSSFIRNLYDNLLKEQVIGTVRSKEADSTLRYSKRSFSMGAGKSAM
+>sp|Q8WVZ7|RN133_HUMAN E3 ubiquitin-protein ligase RNF133 OS=Homo sapiens OX=9606 GN=RNF133 PE=2 SV=1
+MHLLKVGTWRNNTASSWLMKFSVLWLVSQNCCRASVVWMAYMNISFHVGNHVLSELGETGVFGRSSTLKRVAGVIVPPEGKIQNACNPNTIFSRSKYSETWLALIERGGCTFTQKIKVATEKGASGVIIYNVPGTGNQVFPMFHQAFEDVVVVMIGNLKGTEIFHLIKKGVLITAVVEVGRKHIIWMNHYLVSFVIVTTATLAYFIFYHIHRLCLARIQNRRWQRLTTDLQNTFGQLQLRVVKEGDEEINPNGDSCVICFERYKPNDIVRILTCKHFFHKNCIDPWILPHGTCPICKCDILKVLGIQVVVENGTEPLQVLMSNELPETLSPSEEETNNEVSPAGTSDKVIHVEENPTSQNNDIQPHSVVEDVHPSP
+>DECOY_sp|Q8WVZ7|RN133_HUMAN E3 ubiquitin-protein ligase RNF133 OS=Homo sapiens OX=9606 GN=RNF133 PE=2 SV=1
+PSPHVDEVVSHPQIDNNQSTPNEEVHIVKDSTGAPSVENNTEEESPSLTEPLENSMLVQLPETGNEVVVQIGLVKLIDCKCIPCTGHPLIWPDICNKHFFHKCTLIRVIDNPKYREFCIVCSDGNPNIEEDGEKVVRLQLQGFTNQLDTTLRQWRRNQIRALCLRHIHYFIFYALTATTVIVFSVLYHNMWIIHKRGVEVVATILVGKKILHFIETGKLNGIMVVVVDEFAQHFMPFVQNGTGPVNYIIVGSAGKETAVKIKQTFTCGGREILALWTESYKSRSFITNPNCANQIKGEPPVIVGAVRKLTSSRGFVGTEGLESLVHNGVHFSINMYAMWVVSARCCNQSVLWLVSFKMLWSSATNNRWTGVKLLHM
+>sp|Q9NTX7|RN146_HUMAN E3 ubiquitin-protein ligase RNF146 OS=Homo sapiens OX=9606 GN=RNF146 PE=1 SV=1
+MMAGCGEIDHSINMLPTNRKANESCSNTAPSLTVPECAICLQTCVHPVSLPCKHVFCYLCVKGASWLGKRCALCRQEIPEDFLDKPTLLSPEELKAASRGNGEYAWYYEGRNGWWQYDERTSRELEDAFSKGKKNTEMLIAGFLYVADLENMVQYRRNEHGRRRKIKRDIIDIPKKGVAGLRLDCDANTVNLARESSADGADSVSAQSGASVQPLVSSVRPLTSVDGQLTSPATPSPDASTSLEDSFAHLQLSGDNTAERSHRGEGEEDHESPSSGRVPAPDTSIEETESDASSDSEDVSAVVAQHSLTQQRLLVSNANQTVPDRSDRSGTDRSVAGGGTVSVSVRSRRPDGQCTVTEV
+>DECOY_sp|Q9NTX7|RN146_HUMAN E3 ubiquitin-protein ligase RNF146 OS=Homo sapiens OX=9606 GN=RNF146 PE=1 SV=1
+VETVTCQGDPRRSRVSVSVTGGGAVSRDTGSRDSRDPVTQNANSVLLRQQTLSHQAVVASVDESDSSADSETEEISTDPAPVRGSSPSEHDEEGEGRHSREATNDGSLQLHAFSDELSTSADPSPTAPSTLQGDVSTLPRVSSVLPQVSAGSQASVSDAGDASSERALNVTNADCDLRLGAVGKKPIDIIDRKIKRRRGHENRRYQVMNELDAVYLFGAILMETNKKGKSFADELERSTREDYQWWGNRGEYYWAYEGNGRSAAKLEEPSLLTPKDLFDEPIEQRCLACRKGLWSAGKVCLYCFVHKCPLSVPHVCTQLCIACEPVTLSPATNSCSENAKRNTPLMNISHDIEGCGAMM
+>sp|Q6ZSG1|RN165_HUMAN E3 ubiquitin-protein ligase RNF165 OS=Homo sapiens OX=9606 GN=RNF165 PE=1 SV=1
+MVLVHVGYLVLPVFGSVRNRGAPFQRSQHPHATSCRHFHLGPPQPQQLAPDFPLAHPVQSQPGLSAHMAPAHQHSGALHQSLTPLPTLQFQDVTGPSFLPQALHQQYLLQQQLLEAQHRRLVSHPRRSQERVSVHPHRLHPSFDFGQLQTPQPRYLAEGTDWDLSVDAGLSPAQFQVRPIPQHYQHYLATPRMHHFPRNSSSTQMVVHEIRNYPYPQLHFLALQGLNPSRHTSAVRESYEELLQLEDRLGNVTRGAVQNTIERFTFPHKYKKRRPQDGKGKKDEGEESDTDEKCTICLSMLEDGEDVRRLPCMHLFHQLCVDQWLAMSKKCPICRVDIETQLGADS
+>DECOY_sp|Q6ZSG1|RN165_HUMAN E3 ubiquitin-protein ligase RNF165 OS=Homo sapiens OX=9606 GN=RNF165 PE=1 SV=1
+SDAGLQTEIDVRCIPCKKSMALWQDVCLQHFLHMCPLRRVDEGDELMSLCITCKEDTDSEEGEDKKGKGDQPRRKKYKHPFTFREITNQVAGRTVNGLRDELQLLEEYSERVASTHRSPNLGQLALFHLQPYPYNRIEHVVMQTSSSNRPFHHMRPTALYHQYHQPIPRVQFQAPSLGADVSLDWDTGEALYRPQPTQLQGFDFSPHLRHPHVSVREQSRRPHSVLRRHQAELLQQQLLYQQHLAQPLFSPGTVDQFQLTPLPTLSQHLAGSHQHAPAMHASLGPQSQVPHALPFDPALQQPQPPGLHFHRCSTAHPHQSRQFPAGRNRVSGFVPLVLYGVHVLVM
+>sp|Q9P0P0|RN181_HUMAN E3 ubiquitin-protein ligase RNF181 OS=Homo sapiens OX=9606 GN=RNF181 PE=1 SV=1
+MASYFDEHDCEPSDPEQETRTNMLLELARSLFNRMDFEDLGLVVDWDHHLPPPAAKTVVENLPRTVIRGSQAELKCPVCLLEFEEEETAIEMPCHHLFHSSCILPWLSKTNSCPLCRYELPTDDDTYEEHRRDKARKQQQQHRLENLHGAMYT
+>DECOY_sp|Q9P0P0|RN181_HUMAN E3 ubiquitin-protein ligase RNF181 OS=Homo sapiens OX=9606 GN=RNF181 PE=1 SV=1
+TYMAGHLNELRHQQQQKRAKDRRHEEYTDDDTPLEYRCLPCSNTKSLWPLICSSHFLHHCPMEIATEEEEFELLCVPCKLEAQSGRIVTRPLNEVVTKAAPPPLHHDWDVVLGLDEFDMRNFLSRALELLMNTRTEQEPDSPECDHEDFYSAM
+>sp|P52198|RND2_HUMAN Rho-related GTP-binding protein RhoN OS=Homo sapiens OX=9606 GN=RND2 PE=1 SV=2
+MEGQSGRCKIVVVGDAECGKTALLQVFAKDAYPGSYVPTVFENYTASFEIDKRRIELNMWDTSGSSYYDNVRPLAYPDSDAVLICFDISRPETLDSVLKKWQGETQEFCPNAKVVLVGCKLDMRTDLATLRELSKQRLIPVTHEQGTVLAKQVGAVSYVECSSRSSERSVRDVFHVATVASLGRGHRQLRRTDSRRGMQRSAQLSGRPDRGNEGEIHKDRAKSCNLM
+>DECOY_sp|P52198|RND2_HUMAN Rho-related GTP-binding protein RhoN OS=Homo sapiens OX=9606 GN=RND2 PE=1 SV=2
+MLNCSKARDKHIEGENGRDPRGSLQASRQMGRRSDTRRLQRHGRGLSAVTAVHFVDRVSRESSRSSCEVYSVAGVQKALVTGQEHTVPILRQKSLERLTALDTRMDLKCGVLVVKANPCFEQTEGQWKKLVSDLTEPRSIDFCILVADSDPYALPRVNDYYSSGSTDWMNLEIRRKDIEFSATYNEFVTPVYSGPYADKAFVQLLATKGCEADGVVVIKCRGSQGEM
+>sp|Q96BH1|RNF25_HUMAN E3 ubiquitin-protein ligase RNF25 OS=Homo sapiens OX=9606 GN=RNF25 PE=1 SV=1
+MAASASAAAGEEDWVLPSEVEVLESIYLDELQVIKGNGRTSPWEIYITLHPATAEDQDSQYVCFTLVLQVPAEYPHEVPQISIRNPRGLSDEQIHTILQVLGHVAKAGLGTAMLYELIEKGKEILTDNNIPHGQCVICLYGFQEKEAFTKTPCYHYFHCHCLARYIQHMEQELKAQGQEQEQERQHATTKQKAVGVQCPVCREPLVYDLASLKAAPEPQQPMELYQPSAESLRQQEERKRLYQRQQERGGIIDLEAERNRYFISLQQPPAPAEPESAVDVSKGSQPPSTLAAELSTSPAVQSTLPPPLPVATQHICEKIPGTRSNQQRLGETQKAMLDPPKPSRGPWRQPERRHPKGGECHAPKGTRDTQELPPPEGPLKEPMDLKPEPHSQGVEGPPQEKGPGSWQGPPPRRTRDCVRWERSKGRTPGSSYPRLPRGQGAYRPGTRRESLGLESKDGS
+>DECOY_sp|Q96BH1|RNF25_HUMAN E3 ubiquitin-protein ligase RNF25 OS=Homo sapiens OX=9606 GN=RNF25 PE=1 SV=1
+SGDKSELGLSERRTGPRYAGQGRPLRPYSSGPTRGKSREWRVCDRTRRPPPGQWSGPGKEQPPGEVGQSHPEPKLDMPEKLPGEPPPLEQTDRTGKPAHCEGGKPHRREPQRWPGRSPKPPDLMAKQTEGLRQQNSRTGPIKECIHQTAVPLPPPLTSQVAPSTSLEAALTSPPQSGKSVDVASEPEAPAPPQQLSIFYRNREAELDIIGGREQQRQYLRKREEQQRLSEASPQYLEMPQQPEPAAKLSALDYVLPERCVPCQVGVAKQKTTAHQREQEQEQGQAKLEQEMHQIYRALCHCHFYHYCPTKTFAEKEQFGYLCIVCQGHPINNDTLIEKGKEILEYLMATGLGAKAVHGLVQLITHIQEDSLGRPNRISIQPVEHPYEAPVQLVLTFCVYQSDQDEATAPHLTIYIEWPSTRGNGKIVQLEDLYISELVEVESPLVWDEEGAAASASAAM
+>sp|Q68DV7|RNF43_HUMAN E3 ubiquitin-protein ligase RNF43 OS=Homo sapiens OX=9606 GN=RNF43 PE=1 SV=1
+MSGGHQLQLAALWPWLLMATLQAGFGRTGLVLAAAVESERSAEQKAIIRVIPLKMDPTGKLNLTLEGVFAGVAEITPAEGKLMQSHPLYLCNASDDDNLEPGFISIVKLESPRRAPRPCLSLASKARMAGERGASAVLFDITEDRAAAEQLQQPLGLTWPVVLIWGNDAEKLMEFVYKNQKAHVRIELKEPPAWPDYDVWILMTVVGTIFVIILASVLRIRCRPRHSRPDPLQQRTAWAISQLATRRYQASCRQARGEWPDSGSSCSSAPVCAICLEEFSEGQELRVISCLHEFHRNCVDPWLHQHRTCPLCMFNITEGDSFSQSLGPSRSYQEPGRRLHLIRQHPGHAHYHLPAAYLLGPSRSAVARPPRPGPFLPSQEPGMGPRHHRFPRAAHPRAPGEQQRLAGAQHPYAQGWGLSHLQSTSQHPAACPVPLRRARPPDSSGSGESYCTERSGYLADGPASDSSSGPCHGSSSDSVVNCTDISLQGVHGSSSTFCSSLSSDFDPLVYCSPKGDPQRVDMQPSVTSRPRSLDSVVPTGETQVSSHVHYHRHRHHHYKKRFQWHGRKPGPETGVPQSRPPIPRTQPQPEPPSPDQQVTRSNSAAPSGRLSNPQCPRALPEPAPGPVDASSICPSTSSLFNLQKSSLSARHPQRKRRGGPSEPTPGSRPQDATVHPACQIFPHYTPSVAYPWSPEAHPLICGPPGLDKRLLPETPGPCYSNSQPVWLCLTPRQPLEPHPPGEGPSEWSSDTAEGRPCPYPHCQVLSAQPGSEEELEELCEQAV
+>DECOY_sp|Q68DV7|RNF43_HUMAN E3 ubiquitin-protein ligase RNF43 OS=Homo sapiens OX=9606 GN=RNF43 PE=1 SV=1
+VAQECLEELEEESGPQASLVQCHPYPCPRGEATDSSWESPGEGPPHPELPQRPTLCLWVPQSNSYCPGPTEPLLRKDLGPPGCILPHAEPSWPYAVSPTYHPFIQCAPHVTADQPRSGPTPESPGGRRKRQPHRASLSSKQLNFLSSTSPCISSADVPGPAPEPLARPCQPNSLRGSPAASNSRTVQQDPSPPEPQPQTRPIPPRSQPVGTEPGPKRGHWQFRKKYHHHRHRHYHVHSSVQTEGTPVVSDLSRPRSTVSPQMDVRQPDGKPSCYVLPDFDSSLSSCFTSSSGHVGQLSIDTCNVVSDSSSGHCPGSSSDSAPGDALYGSRETCYSEGSGSSDPPRARRLPVPCAAPHQSTSQLHSLGWGQAYPHQAGALRQQEGPARPHAARPFRHHRPGMGPEQSPLFPGPRPPRAVASRSPGLLYAAPLHYHAHGPHQRILHLRRGPEQYSRSPGLSQSFSDGETINFMCLPCTRHQHLWPDVCNRHFEHLCSIVRLEQGESFEELCIACVPASSCSSGSDPWEGRAQRCSAQYRRTALQSIAWATRQQLPDPRSHRPRCRIRLVSALIIVFITGVVTMLIWVDYDPWAPPEKLEIRVHAKQNKYVFEMLKEADNGWILVVPWTLGLPQQLQEAAARDETIDFLVASAGREGAMRAKSALSLCPRPARRPSELKVISIFGPELNDDDSANCLYLPHSQMLKGEAPTIEAVGAFVGELTLNLKGTPDMKLPIVRIIAKQEASRESEVAAALVLGTRGFGAQLTAMLLWPWLAALQLQHGGSM
+>sp|P10155|RO60_HUMAN 60 kDa SS-A/Ro ribonucleoprotein OS=Homo sapiens OX=9606 GN=TROVE2 PE=1 SV=2
+MEESVNQMQPLNEKQIANSQDGYVWQVTDMNRLHRFLCFGSEGGTYYIKEQKLGLENAEALIRLIEDGRGCEVIQEIKSFSQEGRTTKQEPMLFALAICSQCSDISTKQAAFKAVSEVCRIPTHLFTFIQFKKDLKESMKCGMWGRALRKAIADWYNEKGGMALALAVTKYKQRNGWSHKDLLRLSHLKPSSEGLAIVTKYITKGWKEVHELYKEKALSVETEKLLKYLEAVEKVKRTRDELEVIHLIEEHRLVREHLLTNHLKSKEVWKALLQEMPLTALLRNLGKMTANSVLEPGNSEVSLVCEKLCNEKLLKKARIHPFHILIALETYKTGHGLRGKLKWRPDEEILKALDAAFYKTFKTVEPTGKRFLLAVDVSASMNQRVLGSILNASTVAAAMCMVVTRTEKDSYVVAFSDEMVPCPVTTDMTLQQVLMAMSQIPAGGTDCSLPMIWAQKTNTPADVFIVFTDNETFAGGVHPAIALREYRKKMDIPAKLIVCGMTSNGFTIADPDDRGMLDMCGFDTGALDVIRNFTLDMI
+>DECOY_sp|P10155|RO60_HUMAN 60 kDa SS-A/Ro ribonucleoprotein OS=Homo sapiens OX=9606 GN=TROVE2 PE=1 SV=2
+IMDLTFNRIVDLAGTDFGCMDLMGRDDPDAITFGNSTMGCVILKAPIDMKKRYERLAIAPHVGGAFTENDTFVIFVDAPTNTKQAWIMPLSCDTGGAPIQSMAMLVQQLTMDTTVPCPVMEDSFAVVYSDKETRTVVMCMAAAVTSANLISGLVRQNMSASVDVALLFRKGTPEVTKFTKYFAADLAKLIEEDPRWKLKGRLGHGTKYTELAILIHFPHIRAKKLLKENCLKECVLSVESNGPELVSNATMKGLNRLLATLPMEQLLAKWVEKSKLHNTLLHERVLRHEEILHIVELEDRTRKVKEVAELYKLLKETEVSLAKEKYLEHVEKWGKTIYKTVIALGESSPKLHSLRLLDKHSWGNRQKYKTVALALAMGGKENYWDAIAKRLARGWMGCKMSEKLDKKFQIFTFLHTPIRCVESVAKFAAQKTSIDSCQSCIALAFLMPEQKTTRGEQSFSKIEQIVECGRGDEILRILAEANELGLKQEKIYYTGGESGFCLFRHLRNMDTVQWVYGDQSNAIQKENLPQMQNVSEEM
+>sp|P09651|ROA1_HUMAN Heterogeneous nuclear ribonucleoprotein A1 OS=Homo sapiens OX=9606 GN=HNRNPA1 PE=1 SV=5
+MSKSESPKEPEQLRKLFIGGLSFETTDESLRSHFEQWGTLTDCVVMRDPNTKRSRGFGFVTYATVEEVDAAMNARPHKVDGRVVEPKRAVSREDSQRPGAHLTVKKIFVGGIKEDTEEHHLRDYFEQYGKIEVIEIMTDRGSGKKRGFAFVTFDDHDSVDKIVIQKYHTVNGHNCEVRKALSKQEMASASSSQRGRSGSGNFGGGRGGGFGGNDNFGRGGNFSGRGGFGGSRGGGGYGGSGDGYNGFGNDGGYGGGGPGYSGGSRGYGSGGQGYGNQGSGYGGSGSYDSYNNGGGGGFGGGSGSNFGGGGSYNDFGNYNNQSSNFGPMKGGNFGGRSSGPYGGGGQYFAKPRNQGGYGGSSSSSSYGSGRRF
+>DECOY_sp|P09651|ROA1_HUMAN Heterogeneous nuclear ribonucleoprotein A1 OS=Homo sapiens OX=9606 GN=HNRNPA1 PE=1 SV=5
+FRRGSGYSSSSSSGGYGGQNRPKAFYQGGGGYPGSSRGGFNGGKMPGFNSSQNNYNGFDNYSGGGGFNSGSGGGFGGGGGNNYSDYSGSGGYGSGQNGYGQGGSGYGRSGGSYGPGGGGYGGDNGFGNYGDGSGGYGGGGRSGGFGGRGSFNGGRGFNDNGGFGGGRGGGFNGSGSRGRQSSSASAMEQKSLAKRVECNHGNVTHYKQIVIKDVSDHDDFTVFAFGRKKGSGRDTMIEIVEIKGYQEFYDRLHHEETDEKIGGVFIKKVTLHAGPRQSDERSVARKPEVVRGDVKHPRANMAADVEEVTAYTVFGFGRSRKTNPDRMVVCDTLTGWQEFHSRLSEDTTEFSLGGIFLKRLQEPEKPSESKSM
+>sp|Q01974|ROR2_HUMAN Tyrosine-protein kinase transmembrane receptor ROR2 OS=Homo sapiens OX=9606 GN=ROR2 PE=1 SV=2
+MARGSALPRRPLLCIPAVWAAAALLLSVSRTSGEVEVLDPNDPLGPLDGQDGPIPTLKGYFLNFLEPVNNITIVQGQTAILHCKVAGNPPPNVRWLKNDAPVVQEPRRIIIRKTEYGSRLRIQDLDTTDTGYYQCVATNGMKTITATGVLFVRLGPTHSPNHNFQDDYHEDGFCQPYRGIACARFIGNRTIYVDSLQMQGEIENRITAAFTMIGTSTHLSDQCSQFAIPSFCHFVFPLCDARSRTPKPRELCRDECEVLESDLCRQEYTIARSNPLILMRLQLPKCEALPMPESPDAANCMRIGIPAERLGRYHQCYNGSGMDYRGTASTTKSGHQCQPWALQHPHSHHLSSTDFPELGGGHAYCRNPGGQMEGPWCFTQNKNVRMELCDVPSCSPRDSSKMGILYILVPSIAIPLVIACLFFLVCMCRNKQKASASTPQRRQLMASPSQDMEMPLINQHKQAKLKEISLSAVRFMEELGEDRFGKVYKGHLFGPAPGEQTQAVAIKTLKDKAEGPLREEFRHEAMLRARLQHPNVVCLLGVVTKDQPLSMIFSYCSHGDLHEFLVMRSPHSDVGSTDDDRTVKSALEPPDFVHLVAQIAAGMEYLSSHHVVHKDLATRNVLVYDKLNVKISDLGLFREVYAADYYKLLGNSLLPIRWMAPEAIMYGKFSIDSDIWSYGVVLWEVFSYGLQPYCGYSNQDVVEMIRNRQVLPCPDDCPAWVYALMIECWNEFPSRRPRFKDIHSRLRAWGNLSNYNSSAQTSGASNTTQTSSLSTSPVSNVSNARYVGPKQKAPPFPQPQFIPMKGQIRPMVPPPQLYVPVNGYQPVPAYGAYLPNFYPVQIPMQMAPQQVPPQMVPKPSSHHSGSGSTSTGYVTTAPSNTSMADRAALLSEGADDTQNAPEDGAQSTVQEAEEEEEGSVPETELLGDCDTLQVDEAQVQLEA
+>DECOY_sp|Q01974|ROR2_HUMAN Tyrosine-protein kinase transmembrane receptor ROR2 OS=Homo sapiens OX=9606 GN=ROR2 PE=1 SV=2
+AELQVQAEDVQLTDCDGLLETEPVSGEEEEEAEQVTSQAGDEPANQTDDAGESLLAARDAMSTNSPATTVYGTSTSGSGSHHSSPKPVMQPPVQQPAMQMPIQVPYFNPLYAGYAPVPQYGNVPVYLQPPPVMPRIQGKMPIFQPQPFPPAKQKPGVYRANSVNSVPSTSLSSTQTTNSAGSTQASSNYNSLNGWARLRSHIDKFRPRRSPFENWCEIMLAYVWAPCDDPCPLVQRNRIMEVVDQNSYGCYPQLGYSFVEWLVVGYSWIDSDISFKGYMIAEPAMWRIPLLSNGLLKYYDAAYVERFLGLDSIKVNLKDYVLVNRTALDKHVVHHSSLYEMGAAIQAVLHVFDPPELASKVTRDDDTSGVDSHPSRMVLFEHLDGHSCYSFIMSLPQDKTVVGLLCVVNPHQLRARLMAEHRFEERLPGEAKDKLTKIAVAQTQEGPAPGFLHGKYVKGFRDEGLEEMFRVASLSIEKLKAQKHQNILPMEMDQSPSAMLQRRQPTSASAKQKNRCMCVLFFLCAIVLPIAISPVLIYLIGMKSSDRPSCSPVDCLEMRVNKNQTFCWPGEMQGGPNRCYAHGGGLEPFDTSSLHHSHPHQLAWPQCQHGSKTTSATGRYDMGSGNYCQHYRGLREAPIGIRMCNAADPSEPMPLAECKPLQLRMLILPNSRAITYEQRCLDSELVECEDRCLERPKPTRSRADCLPFVFHCFSPIAFQSCQDSLHTSTGIMTFAATIRNEIEGQMQLSDVYITRNGIFRACAIGRYPQCFGDEHYDDQFNHNPSHTPGLRVFLVGTATITKMGNTAVCQYYGTDTTDLDQIRLRSGYETKRIIIRRPEQVVPADNKLWRVNPPPNGAVKCHLIATQGQVITINNVPELFNLFYGKLTPIPGDQGDLPGLPDNPDLVEVEGSTRSVSLLLAAAAWVAPICLLPRRPLASGRAM
+>sp|Q9P1U0|RPA12_HUMAN DNA-directed RNA polymerase I subunit RPA12 OS=Homo sapiens OX=9606 GN=ZNRD1 PE=2 SV=1
+MSVMDLANTCSSFQSDLDFCSDCGSVLPLPGAQDTVTCIRCGFNINVRDFEGKVVKTSVVFHQLGTAMPMSVEEGPECQGPVVDRRCPRCGHEGMAYHTRQMRSADEGQTVFYTCTNCKFQEKEDS
+>DECOY_sp|Q9P1U0|RPA12_HUMAN DNA-directed RNA polymerase I subunit RPA12 OS=Homo sapiens OX=9606 GN=ZNRD1 PE=2 SV=1
+SDEKEQFKCNTCTYFVTQGEDASRMQRTHYAMGEHGCRPCRRDVVPGQCEPGEEVSMPMATGLQHFVVSTKVVKGEFDRVNINFGCRICTVTDQAGPLPLVSGCDSCFDLDSQFSSCTNALDMVSM
+>sp|Q5GAN6|RNS10_HUMAN Inactive ribonuclease-like protein 10 OS=Homo sapiens OX=9606 GN=RNASE10 PE=2 SV=1
+MKLNLVQIFFMLLMLLLGLGMGLGLGLHMATAVLEESDQPLNEFWSSDSQDKAEATEEGDGTQTTETLVLSNKEVVQPGWPEDPILGEDEVGGNKMLRASALFQSNKDYLRLDQTDRECNDMMAHKMKEPSQSCIAQYAFIHEDLNTVKAVCNSPVIACELKGGKCHKSSRPFDLTLCELSQPDQVTPNCNYLTSVIKKHIIITCNDMKRQLPTGQ
+>DECOY_sp|Q5GAN6|RNS10_HUMAN Inactive ribonuclease-like protein 10 OS=Homo sapiens OX=9606 GN=RNASE10 PE=2 SV=1
+QGTPLQRKMDNCTIIIHKKIVSTLYNCNPTVQDPQSLECLTLDFPRSSKHCKGGKLECAIVPSNCVAKVTNLDEHIFAYQAICSQSPEKMKHAMMDNCERDTQDLRLYDKNSQFLASARLMKNGGVEDEGLIPDEPWGPQVVEKNSLVLTETTQTGDGEETAEAKDQSDSSWFENLPQDSEELVATAMHLGLGLGMGLGLLLMLLMFFIQVLNLKM
+>sp|Q9H777|RNZ1_HUMAN Zinc phosphodiesterase ELAC protein 1 OS=Homo sapiens OX=9606 GN=ELAC1 PE=1 SV=2
+MSMDVTFLGTGAAYPSPTRGASAVVLRCEGECWLFDCGEGTQTQLMKSQLKAGRITKIFITHLHGDHFFGLPGLLCTISLQSGSMVSKQPIEIYGPVGLRDFIWRTMELSHTELVFHYVVHELVPTADQCPAEELKEFAHVNRADSPPKEEQGRTILLDSEENSYLLFDDEQFVVKAFRLFHRIPSFGFSVVEKKRPGKLNAQKLKDLGVPPGPAYGKLKNGISVVLENGVTISPQDVLKKPIVGRKICILGDCSGVVGDGGVKLCFEADLLIHEATLDDAQMDKAKEHGHSTPQMAATFAKLCRAKRLVLTHFSQRYKPVALAREGETDGIAELKKQAESVLDLQEVTLAEDFMVISIPIKK
+>DECOY_sp|Q9H777|RNZ1_HUMAN Zinc phosphodiesterase ELAC protein 1 OS=Homo sapiens OX=9606 GN=ELAC1 PE=1 SV=2
+KKIPISIVMFDEALTVEQLDLVSEAQKKLEAIGDTEGERALAVPKYRQSFHTLVLRKARCLKAFTAAMQPTSHGHEKAKDMQADDLTAEHILLDAEFCLKVGGDGVVGSCDGLICIKRGVIPKKLVDQPSITVGNELVVSIGNKLKGYAPGPPVGLDKLKQANLKGPRKKEVVSFGFSPIRHFLRFAKVVFQEDDFLLYSNEESDLLITRGQEEKPPSDARNVHAFEKLEEAPCQDATPVLEHVVYHFVLETHSLEMTRWIFDRLGVPGYIEIPQKSVMSGSQLSITCLLGPLGFFHDGHLHTIFIKTIRGAKLQSKMLQTQTGEGCDFLWCEGECRLVVASAGRTPSPYAAGTGLFTVDMSM
+>sp|Q9BQ52|RNZ2_HUMAN Zinc phosphodiesterase ELAC protein 2 OS=Homo sapiens OX=9606 GN=ELAC2 PE=1 SV=2
+MWALCSLLRSAAGRTMSQGRTISQAPARRERPRKDPLRHLRTREKRGPSGCSGGPNTVYLQVVAAGSRDSGAALYVFSEFNRYLFNCGEGVQRLMQEHKLKVARLDNIFLTRMHWSNVGGLSGMILTLKETGLPKCVLSGPPQLEKYLEAIKIFSGPLKGIELAVRPHSAPEYEDETMTVYQIPIHSEQRRGKHQPWQSPERPLSRLSPERSSDSESNENEPHLPHGVSQRRGVRDSSLVVAFICKLHLKRGNFLVLKAKEMGLPVGTAAIAPIIAAVKDGKSITHEGREILAEELCTPPDPGAAFVVVECPDESFIQPICENATFQRYQGKADAPVALVVHMAPASVLVDSRYQQWMERFGPDTQHLVLNENCASVHNLRSHKIQTQLNLIHPDIFPLLTSFRCKKEGPTLSVPMVQGECLLKYQLRPRREWQRDAIITCNPEEFIVEALQLPNFQQSVQEYRRSAQDGPAPAEKRSQYPEIIFLGTGSAIPMKIRNVSATLVNISPDTSLLLDCGEGTFGQLCRHYGDQVDRVLGTLAAVFVSHLHADHHTGLPSILLQRERALASLGKPLHPLLVVAPNQLKAWLQQYHNQCQEVLHHISMIPAKCLQEGAEISSPAVERLISSLLRTCDLEEFQTCLVRHCKHAFGCALVHTSGWKVVYSGDTMPCEALVRMGKDATLLIHEATLEDGLEEEAVEKTHSTTSQAISVGMRMNAEFIMLNHFSQRYAKVPLFSPNFSEKVGVAFDHMKVCFGDFPTMPKLIPPLKALFAGDIEEMEERREKRELRQVRAALLSRELAGGLEDGEPQQKRAHTEEPQAKKVRAQ
+>DECOY_sp|Q9BQ52|RNZ2_HUMAN Zinc phosphodiesterase ELAC protein 2 OS=Homo sapiens OX=9606 GN=ELAC2 PE=1 SV=2
+QARVKKAQPEETHARKQQPEGDELGGALERSLLAARVQRLERKERREEMEEIDGAFLAKLPPILKPMTPFDGFCVKMHDFAVGVKESFNPSFLPVKAYRQSFHNLMIFEANMRMGVSIAQSTTSHTKEVAEEELGDELTAEHILLTADKGMRVLAECPMTDGSYVVKWGSTHVLACGFAHKCHRVLCTQFEELDCTRLLSSILREVAPSSIEAGEQLCKAPIMSIHHLVEQCQNHYQQLWAKLQNPAVVLLPHLPKGLSALARERQLLISPLGTHHDAHLHSVFVAALTGLVRDVQDGYHRCLQGFTGEGCDLLLSTDPSINVLTASVNRIKMPIASGTGLFIIEPYQSRKEAPAPGDQASRRYEQVSQQFNPLQLAEVIFEEPNCTIIADRQWERRPRLQYKLLCEGQVMPVSLTPGEKKCRFSTLLPFIDPHILNLQTQIKHSRLNHVSACNENLVLHQTDPGFREMWQQYRSDVLVSAPAMHVVLAVPADAKGQYRQFTANECIPQIFSEDPCEVVVFAAGPDPPTCLEEALIERGEHTISKGDKVAAIIPAIAATGVPLGMEKAKLVLFNGRKLHLKCIFAVVLSSDRVGRRQSVGHPLHPENENSESDSSREPSLRSLPREPSQWPQHKGRRQESHIPIQYVTMTEDEYEPASHPRVALEIGKLPGSFIKIAELYKELQPPGSLVCKPLGTEKLTLIMGSLGGVNSWHMRTLFINDLRAVKLKHEQMLRQVGEGCNFLYRNFESFVYLAAGSDRSGAAVVQLYVTNPGGSCGSPGRKERTRLHRLPDKRPRERRAPAQSITRGQSMTRGAASRLLSCLAWM
+>sp|P22626|ROA2_HUMAN Heterogeneous nuclear ribonucleoproteins A2/B1 OS=Homo sapiens OX=9606 GN=HNRNPA2B1 PE=1 SV=2
+MEKTLETVPLERKKREKEQFRKLFIGGLSFETTEESLRNYYEQWGKLTDCVVMRDPASKRSRGFGFVTFSSMAEVDAAMAARPHSIDGRVVEPKRAVAREESGKPGAHVTVKKLFVGGIKEDTEEHHLRDYFEEYGKIDTIEIITDRQSGKKRGFGFVTFDDHDPVDKIVLQKYHTINGHNAEVRKALSRQEMQEVQSSRSGRGGNFGFGDSRGGGGNFGPGPGSNFRGGSDGYGSGRGFGDGYNGYGGGPGGGNFGGSPGYGGGRGGYGGGGPGYGNQGGGYGGGYDNYGGGNYGSGNYNDFGNYNQQPSNYGPMKSGNFGGSRNMGGPYGGGNYGPGGSGGSGGYGGRSRY
+>DECOY_sp|P22626|ROA2_HUMAN Heterogeneous nuclear ribonucleoproteins A2/B1 OS=Homo sapiens OX=9606 GN=HNRNPA2B1 PE=1 SV=2
+YRSRGGYGGSGGSGGPGYNGGGYPGGMNRSGGFNGSKMPGYNSPQQNYNGFDNYNGSGYNGGGYNDYGGGYGGGQNGYGPGGGGYGGRGGGYGPSGGFNGGGPGGGYGNYGDGFGRGSGYGDSGGRFNSGPGPGFNGGGGRSDGFGFNGGRGSRSSQVEQMEQRSLAKRVEANHGNITHYKQLVIKDVPDHDDFTVFGFGRKKGSQRDTIIEITDIKGYEEFYDRLHHEETDEKIGGVFLKKVTVHAGPKGSEERAVARKPEVVRGDISHPRAAMAADVEAMSSFTVFGFGRSRKSAPDRMVVCDTLKGWQEYYNRLSEETTEFSLGGIFLKRFQEKERKKRELPVTELTKEM
+>sp|Q9Y6N7|ROBO1_HUMAN Roundabout homolog 1 OS=Homo sapiens OX=9606 GN=ROBO1 PE=1 SV=1
+MKWKHVPFLVMISLLSLSPNHLFLAQLIPDPEDVERGNDHGTPIPTSDNDDNSLGYTGSRLRQEDFPPRIVEHPSDLIVSKGEPATLNCKAEGRPTPTIEWYKGGERVETDKDDPRSHRMLLPSGSLFFLRIVHGRKSRPDEGVYVCVARNYLGEAVSHNASLEVAILRDDFRQNPSDVMVAVGEPAVMECQPPRGHPEPTISWKKDGSPLDDKDERITIRGGKLMITYTRKSDAGKYVCVGTNMVGERESEVAELTVLERPSFVKRPSNLAVTVDDSAEFKCEARGDPVPTVRWRKDDGELPKSRYEIRDDHTLKIRKVTAGDMGSYTCVAENMVGKAEASATLTVQEPPHFVVKPRDQVVALGRTVTFQCEATGNPQPAIFWRREGSQNLLFSYQPPQSSSRFSVSQTGDLTITNVQRSDVGYYICQTLNVAGSIITKAYLEVTDVIADRPPPVIRQGPVNQTVAVDGTFVLSCVATGSPVPTILWRKDGVLVSTQDSRIKQLENGVLQIRYAKLGDTGRYTCIASTPSGEATWSAYIEVQEFGVPVQPPRPTDPNLIPSAPSKPEVTDVSRNTVTLSWQPNLNSGATPTSYIIEAFSHASGSSWQTVAENVKTETSAIKGLKPNAIYLFLVRAANAYGISDPSQISDPVKTQDVLPTSQGVDHKQVQRELGNAVLHLHNPTVLSSSSIEVHWTVDQQSQYIQGYKILYRPSGANHGESDWLVFEVRTPAKNSVVIPDLRKGVNYEIKARPFFNEFQGADSEIKFAKTLEEAPSAPPQGVTVSKNDGNGTAILVSWQPPPEDTQNGMVQEYKVWCLGNETRYHINKTVDGSTFSVVIPFLVPGIRYSVEVAASTGAGSGVKSEPQFIQLDAHGNPVSPEDQVSLAQQISDVVKQPAFIAGIGAACWIILMVFSIWLYRHRKKRNGLTSTYAGIRKVPSFTFTPTVTYQRGGEAVSSGGRPGLLNISEPAAQPWLADTWPNTGNNHNDCSISCCTAGNGNSDSNLTTYSRPADCIANYNNQLDNKQTNLMLPESTVYGDVDLSNKINEMKTFNSPNLKDGRFVNPSGQPTPYATTQLIQSNLSNNMNNGSGDSGEKHWKPLGQQKQEVAPVQYNIVEQNKLNKDYRANDTVPPTIPYNQSYDQNTGGSYNSSDRGSSTSGSQGHKKGARTPKVPKQGGMNWADLLPPPPAHPPPHSNSEEYNISVDESYDQEMPCPVPPARMYLQQDELEEEEDERGPTPPVRGAASSPAAVSYSHQSTATLTPSPQEELQPMLQDCPEETGHMQHQPDRRRQPVSPPPPPRPISPPHTYGYISGPLVSDMDTDAPEEEEDEADMEVAKMQTRRLLLRGLEQTPASSVGDLESSVTGSMINGWGSASEEDNISSGRSSVSSSDGSFFTDADFAQAVAAAAEYAGLKVARRQMQDAAGRRHFHASQCPRPTSPVSTDSNMSAAVMQKTRPAKKLKHQPGHLRRETYTDDLPPPPVPPPAIKSPTAQSKTQLEVRPVVVPKLPSMDARTDRSSDRKGSSYKGREVLDGRQVVDMRTNPGDPREAQEQQNDGKGRGNKAAKRDLPPAKTHLIQEDILPYCRPTFPTSNNPRDPSSSSSMSSRGSGSRQREQANVGRRNIAEMQVLGGYERGEDNNEELEETES
+>DECOY_sp|Q9Y6N7|ROBO1_HUMAN Roundabout homolog 1 OS=Homo sapiens OX=9606 GN=ROBO1 PE=1 SV=1
+SETEELEENNDEGREYGGLVQMEAINRRGVNAQERQRSGSGRSSMSSSSSPDRPNNSTPFTPRCYPLIDEQILHTKAPPLDRKAAKNGRGKGDNQQEQAERPDGPNTRMDVVQRGDLVERGKYSSGKRDSSRDTRADMSPLKPVVVPRVELQTKSQATPSKIAPPPVPPPPLDDTYTERRLHGPQHKLKKAPRTKQMVAASMNSDTSVPSTPRPCQSAHFHRRGAADQMQRRAVKLGAYEAAAAVAQAFDADTFFSGDSSSVSSRGSSINDEESASGWGNIMSGTVSSELDGVSSAPTQELGRLLLRRTQMKAVEMDAEDEEEEPADTDMDSVLPGSIYGYTHPPSIPRPPPPPSVPQRRRDPQHQMHGTEEPCDQLMPQLEEQPSPTLTATSQHSYSVAAPSSAAGRVPPTPGREDEEEELEDQQLYMRAPPVPCPMEQDYSEDVSINYEESNSHPPPHAPPPPLLDAWNMGGQKPVKPTRAGKKHGQSGSTSSGRDSSNYSGGTNQDYSQNYPITPPVTDNARYDKNLKNQEVINYQVPAVEQKQQGLPKWHKEGSDGSGNNMNNSLNSQILQTTAYPTPQGSPNVFRGDKLNPSNFTKMENIKNSLDVDGYVTSEPLMLNTQKNDLQNNYNAICDAPRSYTTLNSDSNGNGATCCSISCDNHNNGTNPWTDALWPQAAPESINLLGPRGGSSVAEGGRQYTVTPTFTFSPVKRIGAYTSTLGNRKKRHRYLWISFVMLIIWCAAGIGAIFAPQKVVDSIQQALSVQDEPSVPNGHADLQIFQPESKVGSGAGTSAAVEVSYRIGPVLFPIVVSFTSGDVTKNIHYRTENGLCWVKYEQVMGNQTDEPPPQWSVLIATGNGDNKSVTVGQPPASPAEELTKAFKIESDAGQFENFFPRAKIEYNVGKRLDPIVVSNKAPTRVEFVLWDSEGHNAGSPRYLIKYGQIYQSQQDVTWHVEISSSSLVTPNHLHLVANGLERQVQKHDVGQSTPLVDQTKVPDSIQSPDSIGYANAARVLFLYIANPKLGKIASTETKVNEAVTQWSSGSAHSFAEIIYSTPTAGSNLNPQWSLTVTNRSVDTVEPKSPASPILNPDTPRPPQVPVGFEQVEIYASWTAEGSPTSAICTYRGTDGLKAYRIQLVGNELQKIRSDQTSVLVGDKRWLITPVPSGTAVCSLVFTGDVAVTQNVPGQRIVPPPRDAIVDTVELYAKTIISGAVNLTQCIYYGVDSRQVNTITLDGTQSVSFRSSSQPPQYSFLLNQSGERRWFIAPQPNGTAECQFTVTRGLAVVQDRPKVVFHPPEQVTLTASAEAKGVMNEAVCTYSGMDGATVKRIKLTHDDRIEYRSKPLEGDDKRWRVTPVPDGRAECKFEASDDVTVALNSPRKVFSPRELVTLEAVESEREGVMNTGVCVYKGADSKRTYTIMLKGGRITIREDKDDLPSGDKKWSITPEPHGRPPQCEMVAPEGVAVMVDSPNQRFDDRLIAVELSANHSVAEGLYNRAVCVYVGEDPRSKRGHVIRLFFLSGSPLLMRHSRPDDKDTEVREGGKYWEITPTPRGEAKCNLTAPEGKSVILDSPHEVIRPPFDEQRLRSGTYGLSNDDNDSTPIPTGHDNGREVDEPDPILQALFLHNPSLSLLSIMVLFPVHKWKM
+>sp|Q13464|ROCK1_HUMAN Rho-associated protein kinase 1 OS=Homo sapiens OX=9606 GN=ROCK1 PE=1 SV=1
+MSTGDSFETRFEKMDNLLRDPKSEVNSDCLLDGLDALVYDLDFPALRKNKNIDNFLSRYKDTINKIRDLRMKAEDYEVVKVIGRGAFGEVQLVRHKSTRKVYAMKLLSKFEMIKRSDSAFFWEERDIMAFANSPWVVQLFYAFQDDRYLYMVMEYMPGGDLVNLMSNYDVPEKWARFYTAEVVLALDAIHSMGFIHRDVKPDNMLLDKSGHLKLADFGTCMKMNKEGMVRCDTAVGTPDYISPEVLKSQGGDGYYGRECDWWSVGVFLYEMLVGDTPFYADSLVGTYSKIMNHKNSLTFPDDNDISKEAKNLICAFLTDREVRLGRNGVEEIKRHLFFKNDQWAWETLRDTVAPVVPDLSSDIDTSNFDDLEEDKGEEETFPIPKAFVGNQLPFVGFTYYSNRRYLSSANPNDNRTSSNADKSLQESLQKTIYKLEEQLHNEMQLKDEMEQKCRTSNIKLDKIMKELDEEGNQRRNLESTVSQIEKEKMLLQHRINEYQRKAEQENEKRRNVENEVSTLKDQLEDLKKVSQNSQLANEKLSQLQKQLEEANDLLRTESDTAVRLRKSHTEMSKSISQLESLNRELQERNRILENSKSQTDKDYYQLQAILEAERRDRGHDSEMIGDLQARITSLQEEVKHLKHNLEKVEGERKEAQDMLNHSEKEKNNLEIDLNYKLKSLQQRLEQEVNEHKVTKARLTDKHQSIEEAKSVAMCEMEKKLKEEREAREKAENRVVQIEKQCSMLDVDLKQSQQKLEHLTGNKERMEDEVKNLTLQLEQESNKRLLLQNELKTQAFEADNLKGLEKQMKQEINTLLEAKRLLEFELAQLTKQYRGNEGQMRELQDQLEAEQYFSTLYKTQVKELKEEIEEKNRENLKKIQELQNEKETLATQLDLAETKAESEQLARGLLEEQYFELTQESKKAASRNRQEITDKDHTVSRLEEANSMLTKDIEILRRENEELTEKMKKAEEEYKLEKEEEISNLKAAFEKNINTERTLKTQAVNKLAEIMNRKDFKIDRKKANTQDLRKKEKENRKLQLELNQEREKFNQMVVKHQKELNDMQAQLVEECAHRNELQMQLASKESDIEQLRAKLLDLSDSTSVASFPSADETDGNLPESRIEGWLSVPNRGNIKRYGWKKQYVVVSSKKILFYNDEQDKEQSNPSMVLDIDKLFHVRPVTQGDVYRAETEEIPKIFQILYANEGECRKDVEMEPVQQAEKTNFQNHKGHEFIPTLYHFPANCDACAKPLWHVFKPPPALECRRCHVKCHRDHLDKKEDLICPCKVSYDVTSARDMLLLACSQDEQKKWVTHLVKKIPKNPPSGFVRASPRTLSTRSTANQSFRKVVKNTSGKTS
+>DECOY_sp|Q13464|ROCK1_HUMAN Rho-associated protein kinase 1 OS=Homo sapiens OX=9606 GN=ROCK1 PE=1 SV=1
+STKGSTNKVVKRFSQNATSRTSLTRPSARVFGSPPNKPIKKVLHTVWKKQEDQSCALLLMDRASTVDYSVKCPCILDEKKDLHDRHCKVHCRRCELAPPPKFVHWLPKACADCNAPFHYLTPIFEHGKHNQFNTKEAQQVPEMEVDKRCEGENAYLIQFIKPIEETEARYVDGQTVPRVHFLKDIDLVMSPNSQEKDQEDNYFLIKKSSVVVYQKKWGYRKINGRNPVSLWGEIRSEPLNGDTEDASPFSAVSTSDSLDLLKARLQEIDSEKSALQMQLENRHACEEVLQAQMDNLEKQHKVVMQNFKEREQNLELQLKRNEKEKKRLDQTNAKKRDIKFDKRNMIEALKNVAQTKLTRETNINKEFAAKLNSIEEEKELKYEEEAKKMKETLEENERRLIEIDKTLMSNAEELRSVTHDKDTIEQRNRSAAKKSEQTLEFYQEELLGRALQESEAKTEALDLQTALTEKENQLEQIKKLNERNKEEIEEKLEKVQTKYLTSFYQEAELQDQLERMQGENGRYQKTLQALEFELLRKAELLTNIEQKMQKELGKLNDAEFAQTKLENQLLLRKNSEQELQLTLNKVEDEMREKNGTLHELKQQSQKLDVDLMSCQKEIQVVRNEAKERAEREEKLKKEMECMAVSKAEEISQHKDTLRAKTVKHENVEQELRQQLSKLKYNLDIELNNKEKESHNLMDQAEKREGEVKELNHKLHKVEEQLSTIRAQLDGIMESDHGRDRREAELIAQLQYYDKDTQSKSNELIRNREQLERNLSELQSISKSMETHSKRLRVATDSETRLLDNAEELQKQLQSLKENALQSNQSVKKLDELQDKLTSVENEVNRRKENEQEAKRQYENIRHQLLMKEKEIQSVTSELNRRQNGEEDLEKMIKDLKINSTRCKQEMEDKLQMENHLQEELKYITKQLSEQLSKDANSSTRNDNPNASSLYRRNSYYTFGVFPLQNGVFAKPIPFTEEEGKDEELDDFNSTDIDSSLDPVVPAVTDRLTEWAWQDNKFFLHRKIEEVGNRGLRVERDTLFACILNKAEKSIDNDDPFTLSNKHNMIKSYTGVLSDAYFPTDGVLMEYLFVGVSWWDCERGYYGDGGQSKLVEPSIYDPTGVATDCRVMGEKNMKMCTGFDALKLHGSKDLLMNDPKVDRHIFGMSHIADLALVVEATYFRAWKEPVDYNSMLNVLDGGPMYEMVMYLYRDDQFAYFLQVVWPSNAFAMIDREEWFFASDSRKIMEFKSLLKMAYVKRTSKHRVLQVEGFAGRGIVKVVEYDEAKMRLDRIKNITDKYRSLFNDINKNKRLAPFDLDYVLADLGDLLCDSNVESKPDRLLNDMKEFRTEFSDGTSM
+>sp|P51449|RORG_HUMAN Nuclear receptor ROR-gamma OS=Homo sapiens OX=9606 GN=RORC PE=1 SV=2
+MDRAPQRQHRASRELLAAKKTHTSQIEVIPCKICGDKSSGIHYGVITCEGCKGFFRRSQRCNAAYSCTRQQNCPIDRTSRNRCQHCRLQKCLALGMSRDAVKFGRMSKKQRDSLHAEVQKQLQQRQQQQQEPVVKTPPAGAQGADTLTYTLGLPDGQLPLGSSPDLPEASACPPGLLKASGSGPSYSNNLAKAGLNGASCHLEYSPERGKAEGRESFYSTGSQLTPDRCGLRFEEHRHPGLGELGQGPDSYGSPSFRSTPEAPYASLTEIEHLVQSVCKSYRETCQLRLEDLLRQRSNIFSREEVTGYQRKSMWEMWERCAHHLTEAIQYVVEFAKRLSGFMELCQNDQIVLLKAGAMEVVLVRMCRAYNADNRTVFFEGKYGGMELFRALGCSELISSIFDFSHSLSALHFSEDEIALYTALVLINAHRPGLQEKRKVEQLQYNLELAFHHHLCKTHRQSILAKLPPKGKLRSLCSQHVERLQIFQHLHPIVVQAAFPPLYKELFSTETESPVGLSK
+>DECOY_sp|P51449|RORG_HUMAN Nuclear receptor ROR-gamma OS=Homo sapiens OX=9606 GN=RORC PE=1 SV=2
+KSLGVPSETETSFLEKYLPPFAAQVVIPHLHQFIQLREVHQSCLSRLKGKPPLKALISQRHTKCLHHHFALELNYQLQEVKRKEQLGPRHANILVLATYLAIEDESFHLASLSHSFDFISSILESCGLARFLEMGGYKGEFFVTRNDANYARCMRVLVVEMAGAKLLVIQDNQCLEMFGSLRKAFEVVYQIAETLHHACREWMEWMSKRQYGTVEERSFINSRQRLLDELRLQCTERYSKCVSQVLHEIETLSAYPAEPTSRFSPSGYSDPGQGLEGLGPHRHEEFRLGCRDPTLQSGTSYFSERGEAKGREPSYELHCSAGNLGAKALNNSYSPGSGSAKLLGPPCASAEPLDPSSGLPLQGDPLGLTYTLTDAGQAGAPPTKVVPEQQQQQRQQLQKQVEAHLSDRQKKSMRGFKVADRSMGLALCKQLRCHQCRNRSTRDIPCNQQRTCSYAANCRQSRRFFGKCGECTIVGYHIGSSKDGCIKCPIVEIQSTHTKKAALLERSARHQRQPARDM
+>sp|P0DPB6|RPAC2_HUMAN DNA-directed RNA polymerases I and III subunit RPAC2 OS=Homo sapiens OX=9606 GN=POLR1D PE=1 SV=1
+MEEDQELERKISGLKTSMAEGERKTALEMVQAAGTDRHCVTFVLHEEDHTLGNSLRYMIMKNPEVEFCGYTTTHPSESKINLRIQTRGTLPAVEPFQRGLNELMNVCQHVLDKFEASIKDYKDQKASRNESTF
+>DECOY_sp|P0DPB6|RPAC2_HUMAN DNA-directed RNA polymerases I and III subunit RPAC2 OS=Homo sapiens OX=9606 GN=POLR1D PE=1 SV=1
+FTSENRSAKQDKYDKISAEFKDLVHQCVNMLENLGRQFPEVAPLTGRTQIRLNIKSESPHTTTYGCFEVEPNKMIMYRLSNGLTHDEEHLVFTVCHRDTGAAQVMELATKREGEAMSTKLGSIKRELEQDEEM
+>sp|Q9BWH6|RPAP1_HUMAN RNA polymerase II-associated protein 1 OS=Homo sapiens OX=9606 GN=RPAP1 PE=1 SV=3
+MLSRPKPGESEVDLLHFQSQFLAAGAAPAVQLVKKGNRGGGDANSDRPPLQDHRDVVMLDNLPDLPPALVPSPPKRARPSPGHCLPEDEDPEERLRRHDQHITAVLTKIIERDTSSVAVNLPVPSGVAFPAVFLRSRDTQGKSATSGKRSIFAQEIAARRIAEAKGPSVGEVVPNVGPPEGAVTCETPTPRNQGCQLPGSSHSFQGPNLVTGKGLRDQEAEQEAQTIHEENIARLQAMAPEEILQEQQRLLAQLDPSLVAFLRSHSHTQEQTGETASEEQRPGGPSANVTKEEPLMSAFASEPRKRDKLEPEAPALALPVTPQKEWLHMDTVELEKLHWTQDLPPVRRQQTQERMQARFSLQGELLAPDVDLPTHLGLHHHGEEAERAGYSLQELFHLTRSQVSQQRALALHVLAQVISRAQAGEFGDRLAGSVLSLLLDAGFLFLLRFSLDDRVDGVIATAIRALRALLVAPGDEELLDSTFSWYHGALTFPLMPSQEDKEDEDEDEECPAGKAKRKSPEEESRPPPDLARHDVIKGLLATSLLPRLRYVLEVTYPGPAVVLDILAVLIRLARHSLESATRVLECPRLIETIVREFLPTSWSPVGAGPTPSLYKVPCATAMKLLRVLASAGRNIAARLLSSFDLRSRLCRIIAEAPQELALPPEEAEMLSTEALRLWAVAASYGQGGYLYRELYPVLMRALQVVPRELSTHPPQPLSMQRIASLLTLLTQLTLAAGSTPAETISDSAEASLSATPSLVTWTQVSGLQPLVEPCLRQTLKLLSRPEMWRAVGPVPVACLLFLGAYYQAWSQQPSSCPEDWLQDMQRLSEELLLPLLSQPTLGSLWDSLRHCSLLCNPLSCVPALEAPPSLVSLGCSGGCPRLSLAGSASPFPFLTALLSLLNTLAQIHKGLCGQLAAILAAPGLQNYFLQCVAPGAAPHLTPFSAWALRHEYHLQYLALALAQKAAALQPLPATHAALYHGMALALLSRLLPGSEYLTHELLLSCVFRLEFLPERTSGGPEAADFSDQLSLGSSRVPRCGQGTLLAQACQDLPSIRNCYLTHCSPARASLLASQALHRGELQRVPTLLLPMPTEPLLPTDWPFLPLIRLYHRASDTPSGLSPTDTMGTAMRVLQWVLVLESWRPQALWAVPPAARLARLMCVFLVDSELFRESPVQHLVAALLAQLCQPQVLPNLNLDCRLPGLTSFPDLYANFLDHFEAVSFGDHLFGALVLLPLQRRFSVTLRLALFGEHVGALRALSLPLTQLPVSLECYTVPPEDNLALLQLYFRTLVTGALRPRWCPVLYAVAVAHVNSFIFSQDPQSSDEVKAARRSMLQKTWLLADEGLRQHLLHYKLPNSTLPEGFELYSQLPPLRQHYLQRLTSTVLQNGVSET
+>DECOY_sp|Q9BWH6|RPAP1_HUMAN RNA polymerase II-associated protein 1 OS=Homo sapiens OX=9606 GN=RPAP1 PE=1 SV=3
+TESVGNQLVTSTLRQLYHQRLPPLQSYLEFGEPLTSNPLKYHLLHQRLGEDALLWTKQLMSRRAAKVEDSSQPDQSFIFSNVHAVAVAYLVPCWRPRLAGTVLTRFYLQLLALNDEPPVTYCELSVPLQTLPLSLARLAGVHEGFLALRLTVSFRRQLPLLVLAGFLHDGFSVAEFHDLFNAYLDPFSTLGPLRCDLNLNPLVQPQCLQALLAAVLHQVPSERFLESDVLFVCMLRALRAAPPVAWLAQPRWSELVLVWQLVRMATGMTDTPSLGSPTDSARHYLRILPLFPWDTPLLPETPMPLLLTPVRQLEGRHLAQSALLSARAPSCHTLYCNRISPLDQCAQALLTGQGCRPVRSSGLSLQDSFDAAEPGGSTREPLFELRFVCSLLLEHTLYESGPLLRSLLALAMGHYLAAHTAPLPQLAAAKQALALALYQLHYEHRLAWASFPTLHPAAGPAVCQLFYNQLGPAALIAALQGCLGKHIQALTNLLSLLATLFPFPSASGALSLRPCGGSCGLSVLSPPAELAPVCSLPNCLLSCHRLSDWLSGLTPQSLLPLLLEESLRQMDQLWDEPCSSPQQSWAQYYAGLFLLCAVPVPGVARWMEPRSLLKLTQRLCPEVLPQLGSVQTWTVLSPTASLSAEASDSITEAPTSGAALTLQTLLTLLSAIRQMSLPQPPHTSLERPVVQLARMLVPYLERYLYGGQGYSAAVAWLRLAETSLMEAEEPPLALEQPAEAIIRCLRSRLDFSSLLRAAINRGASALVRLLKMATACPVKYLSPTPGAGVPSWSTPLFERVITEILRPCELVRTASELSHRALRILVALIDLVVAPGPYTVELVYRLRPLLSTALLGKIVDHRALDPPPRSEEEPSKRKAKGAPCEEDEDEDEKDEQSPMLPFTLAGHYWSFTSDLLEEDGPAVLLARLARIATAIVGDVRDDLSFRLLFLFGADLLLSLVSGALRDGFEGAQARSIVQALVHLALARQQSVQSRTLHFLEQLSYGAREAEEGHHHLGLHTPLDVDPALLEGQLSFRAQMREQTQQRRVPPLDQTWHLKELEVTDMHLWEKQPTVPLALAPAEPELKDRKRPESAFASMLPEEKTVNASPGGPRQEESATEGTQEQTHSHSRLFAVLSPDLQALLRQQEQLIEEPAMAQLRAINEEHITQAEQEAEQDRLGKGTVLNPGQFSHSSGPLQCGQNRPTPTECTVAGEPPGVNPVVEGVSPGKAEAIRRAAIEQAFISRKGSTASKGQTDRSRLFVAPFAVGSPVPLNVAVSSTDREIIKTLVATIHQDHRRLREEPDEDEPLCHGPSPRARKPPSPVLAPPLDPLNDLMVVDRHDQLPPRDSNADGGGRNGKKVLQVAPAAGAALFQSQFHLLDVESEGPKPRSLM
+>sp|Q9Y2Y1|RPC10_HUMAN DNA-directed RNA polymerase III subunit RPC10 OS=Homo sapiens OX=9606 GN=POLR3K PE=1 SV=2
+MLLFCPGCGNGLIVEEGQRCHRFSCNTCPYVHNITRKVTNRKYPKLKEVDDVLGGAAAWENVDSTAESCPKCEHPRAYFMQLQTRSADEPMTTFYKCCNAQCGHRWRD
+>DECOY_sp|Q9Y2Y1|RPC10_HUMAN DNA-directed RNA polymerase III subunit RPC10 OS=Homo sapiens OX=9606 GN=POLR3K PE=1 SV=2
+DRWRHGCQANCCKYFTTMPEDASRTQLQMFYARPHECKPCSEATSDVNEWAAAGGLVDDVEKLKPYKRNTVKRTINHVYPCTNCSFRHCRQGEEVILGNGCGPCFLLM
+>sp|Q9BT43|RPC7L_HUMAN DNA-directed RNA polymerase III subunit RPC7-like OS=Homo sapiens OX=9606 GN=POLR3GL PE=1 SV=1
+MASRGGGRGRGRGQLTFNVEAVGIGKGDALPPPTLQPSPLFPPLEFRPVPLPSGEEGEYVLALKQELRGAMRQLPYFIRPAVPKRDVERYSDKYQMSGPIDNAIDWNPDWRRLPRELKIRVRKLQKERITILLPKRPPKTTEDKEETIQKLETLEKKEEEVTSEEDEEKEEEEEKEEEEEEEYDEEEHEEETDYIMSYFDNGEDFGGDSDDNMDEAIY
+>DECOY_sp|Q9BT43|RPC7L_HUMAN DNA-directed RNA polymerase III subunit RPC7-like OS=Homo sapiens OX=9606 GN=POLR3GL PE=1 SV=1
+YIAEDMNDDSDGGFDEGNDFYSMIYDTEEEHEEEDYEEEEEEEKEEEEEKEEDEESTVEEEKKELTELKQITEEKDETTKPPRKPLLITIREKQLKRVRIKLERPLRRWDPNWDIANDIPGSMQYKDSYREVDRKPVAPRIFYPLQRMAGRLEQKLALVYEGEEGSPLPVPRFELPPFLPSPQLTPPPLADGKGIGVAEVNFTLQGRGRGRGGGRSAM
+>sp|Q9H9Y2|RPF1_HUMAN Ribosome production factor 1 OS=Homo sapiens OX=9606 GN=RPF1 PE=1 SV=2
+MAKAGDKSSSSGKKSLKRKAAAEELQEAAGAGDGATENGVQPPKAAAFPPGFSISEIKNKQRRHLMFTRWKQQQRKEKLAAKKKLKKEREALGDKAPPKPVPKTIDNQRVYDETTVDPNDEEVAYDEATDEFASYFNKQTSPKILITTSDRPHGRTVRLCEQLSTVIPNSHVYYRRGLALKKIIPQCIARDFTDLIVINEDRKTPNGLILSHLPNGPTAHFKMSSVRLRKEIKRRGKDPTEHIPEIILNNFTTRLGHSIGRMFASLFPHNPQFIGRQVATFHNQRDYIFFRFHRYIFRSEKKVGIQELGPRFTLKLRSLQKGTFDSKYGEYEWVHKPREMDTSRRKFHL
+>DECOY_sp|Q9H9Y2|RPF1_HUMAN Ribosome production factor 1 OS=Homo sapiens OX=9606 GN=RPF1 PE=1 SV=2
+LHFKRRSTDMERPKHVWEYEGYKSDFTGKQLSRLKLTFRPGLEQIGVKKESRFIYRHFRFFIYDRQNHFTAVQRGIFQPNHPFLSAFMRGISHGLRTTFNNLIIEPIHETPDKGRRKIEKRLRVSSMKFHATPGNPLHSLILGNPTKRDENIVILDTFDRAICQPIIKKLALGRRYYVHSNPIVTSLQECLRVTRGHPRDSTTILIKPSTQKNFYSAFEDTAEDYAVEEDNPDVTTEDYVRQNDITKPVPKPPAKDGLAEREKKLKKKAALKEKRQQQKWRTFMLHRRQKNKIESISFGPPFAAAKPPQVGNETAGDGAGAAEQLEEAAAKRKLSKKGSSSSKDGAKAM
+>sp|Q9UHV5|RPGFL_HUMAN Rap guanine nucleotide exchange factor-like 1 OS=Homo sapiens OX=9606 GN=RAPGEFL1 PE=1 SV=2
+MKPLEKFLKKQTSQLAGRTVAGGPGGGLGSCGGPGGGGGPGGGGGPAGGQRSLQRRQSVSRLLLPAFLREPPAEPGLEPPVPEEGGEPAGVAEEPGSGGPCWLQLEEVPGPGPLGGGGPLRSPSSYSSDELSPGEPLTSPPWAPLGAPERPEHLLNRVLERLAGGATRDSAASDILLDDIVLTHSLFLPTEKFLQELHQYFVRAGGMEGPEGLGRKQACLAMLLHFLDTYQGLLQEEEGAGHIIKDLYLLIMKDESLYQGLREDTLRLHQLVETVELKIPEENQPPSKQVKPLFRHFRRIDSCLQTRVAFRGSDEIFCRVYMPDHSYVTIRSRLSASVQDILGSVTEKLQYSEEPAGREDSLILVAVSSSGEKVLLQPTEDCVFTALGINSHLFACTRDSYEALVPLPEEIQVSPGDTEIHRVEPEDVANHLTAFHWELFRCVHELEFVDYVFHGERGRRETANLELLLQRCSEVTHWVATEVLLCEAPGKRAQLLKKFIKIAALCKQNQDLLSFYAVVMGLDNAAVSRLRLTWEKLPGKFKNLFRKFENLTDPCRNHKSYREVISKMKPPVIPFVPLILKDLTFLHEGSKTLVDGLVNIEKLHSVAEKVRTIRKYRSRPLCLDMEASPNHLQTKAYVRQFQVIDNQNLLFELSYKLEANSQ
+>DECOY_sp|Q9UHV5|RPGFL_HUMAN Rap guanine nucleotide exchange factor-like 1 OS=Homo sapiens OX=9606 GN=RAPGEFL1 PE=1 SV=2
+QSNAELKYSLEFLLNQNDIVQFQRVYAKTQLHNPSAEMDLCLPRSRYKRITRVKEAVSHLKEINVLGDVLTKSGEHLFTLDKLILPVFPIVPPKMKSIVERYSKHNRCPDTLNEFKRFLNKFKGPLKEWTLRLRSVAANDLGMVVAYFSLLDQNQKCLAAIKIFKKLLQARKGPAECLLVETAVWHTVESCRQLLLELNATERRGREGHFVYDVFELEHVCRFLEWHFATLHNAVDEPEVRHIETDGPSVQIEEPLPVLAEYSDRTCAFLHSNIGLATFVCDETPQLLVKEGSSSVAVLILSDERGAPEESYQLKETVSGLIDQVSASLRSRITVYSHDPMYVRCFIEDSGRFAVRTQLCSDIRRFHRFLPKVQKSPPQNEEPIKLEVTEVLQHLRLTDERLGQYLSEDKMILLYLDKIIHGAGEEEQLLGQYTDLFHLLMALCAQKRGLGEPGEMGGARVFYQHLEQLFKETPLFLSHTLVIDDLLIDSAASDRTAGGALRELVRNLLHEPREPAGLPAWPPSTLPEGPSLEDSSYSSPSRLPGGGGLPGPGPVEELQLWCPGGSGPEEAVGAPEGGEEPVPPELGPEAPPERLFAPLLLRSVSQRRQLSRQGGAPGGGGGPGGGGGPGGCSGLGGGPGGAVTRGALQSTQKKLFKELPKM
+>sp|P47736|RPGP1_HUMAN Rap1 GTPase-activating protein 1 OS=Homo sapiens OX=9606 GN=RAP1GAP PE=1 SV=2
+MIEKMQGSRMDEQRCSFPPPLKTEEDYIPYPSVHEVLGREGPFPLILLPQFGGYWIEGTNHEITSIPETEPLQSPTTKVKLECNPTARIYRKHFLGKEHFNYYSLDAALGHLVFSLKYDVIGDQEHLRLLLRTKCRTYHDVIPISCLTEFPNVVQMAKLVCEDVNVDRFYPVLYPKASRLIVTFDEHVISNNFKFGVIYQKLGQTSEEELFSTNEESPAFVEFLEFLGQKVKLQDFKGFRGGLDVTHGQTGTESVYCNFRNKEIMFHVSTKLPYTEGDAQQLQRKRHIGNDIVAVVFQDENTPFVPDMIASNFLHAYVVVQAEGGGPDGPLYKVSVTARDDVPFFGPPLPDPAVFRKGPEFQEFLLTKLINAEYACYKAEKFAKLEERTRAALLETLYEELHIHSQSMMGLGGDEDKMENGSGGGGFFESFKRVIRSRSQSMDAMGLSNKKPNTVSTSHSGSFAPNNPDLAKAAGISLIVPGKSPTRKKSGPFGSRRSSAIGIENIQEVQEKRESPPAGQKTPDSGHVSQEPKSENSSTQSSPEMPTTKNRAETAAQRAEALKDFSRSSSSASSFASVVEETEGVDGEDTGLESVSSSGTPHKRDSFIYSTWLEDSVSTTSGGSSPGPSRSPHPDAGKLGDPACPEIKIQLEASEQHMPQLGC
+>DECOY_sp|P47736|RPGP1_HUMAN Rap1 GTPase-activating protein 1 OS=Homo sapiens OX=9606 GN=RAP1GAP PE=1 SV=2
+CGLQPMHQESAELQIKIEPCAPDGLKGADPHPSRSPGPSSGGSTTSVSDELWTSYIFSDRKHPTGSSSVSELGTDEGDVGETEEVVSAFSSASSSSRSFDKLAEARQAATEARNKTTPMEPSSQTSSNESKPEQSVHGSDPTKQGAPPSERKEQVEQINEIGIASSRRSGFPGSKKRTPSKGPVILSIGAAKALDPNNPAFSGSHSTSVTNPKKNSLGMADMSQSRSRIVRKFSEFFGGGGSGNEMKDEDGGLGMMSQSHIHLEEYLTELLAARTREELKAFKEAKYCAYEANILKTLLFEQFEPGKRFVAPDPLPPGFFPVDDRATVSVKYLPGDPGGGEAQVVVYAHLFNSAIMDPVFPTNEDQFVVAVIDNGIHRKRQLQQADGETYPLKTSVHFMIEKNRFNCYVSETGTQGHTVDLGGRFGKFDQLKVKQGLFELFEVFAPSEENTSFLEEESTQGLKQYIVGFKFNNSIVHEDFTVILRSAKPYLVPYFRDVNVDECVLKAMQVVNPFETLCSIPIVDHYTRCKTRLLLRLHEQDGIVDYKLSFVLHGLAADLSYYNFHEKGLFHKRYIRATPNCELKVKTTPSQLPETEPISTIEHNTGEIWYGGFQPLLILPFPGERGLVEHVSPYPIYDEETKLPPPFSCRQEDMRSGQMKEIM
+>sp|Q96KN7|RPGR1_HUMAN X-linked retinitis pigmentosa GTPase regulator-interacting protein 1 OS=Homo sapiens OX=9606 GN=RPGRIP1 PE=1 SV=2
+MSHLVDPTSGDLPVRDIDAIPLVLPASKGKNMKTQPPLSRMNREELEDSFFRLREDHMLVKELSWKQQDEIKRLRTTLLRLTAAGRDLRVAEEAAPLSETARRGQKAGWRQRLSMHQRPQMHRLQGHFHCVGPASPRRAQPRVQVGHRQLHTAGAPVPEKPKRGPRDRLSYTAPPSFKEHATNENRGEVASKPSELVSGSNSIISFSSVISMAKPIGLCMPNSAHIMASNTMQVEEPPKSPEKMWPKDENFEQRSSLECAQKAAELRASIKEKVELIRLKKLLHERNASLVMTKAQLTEVQEAYETLLQKNQGILSAAHEALLKQVNELRAELKEESKKAVSLKSQLEDVSILQMTLKEFQERVEDLEKERKLLNDNYDKLLESMLDSSDSSSQPHWSNELIAEQLQQQVSQLQDQLDAELEDKRKVLLELSREKAQNEDLKLEVTNILQKHKQEVELLQNAATISQPPDRQSEPATHPAVLQENTQIEPSEPKNQEEKKLSQVLNELQVSHAETTLELEKTRDMLILQRKINVCYQEELEAMMTKADNDNRDHKEKLERLTRLLDLKNNRIKQLEGILRSHDLPTSEQLKDVAYGTRPLSLCLETLPAHGDEDKVDISLLHQGENLFELHIHQAFLTSAALAQAGDTQPTTFCTYSFYDFETHCTPLSVGPQPLYDFTSQYVMETDSLFLHYLQEASARLDIHQAMASEHSTLAAGWICFDRVLETVEKVHGLATLIGAGGEEFGVLEYWMRLRFPIKPSLQACNKRKKAQVYLSTDVLGGRKAQEEEFRSESWEPQNELWIEITKCCGLRSRWLGTQPSPYAVYRFFTFSDHDTAIIPASNNPYFRDQARFPVLVTSDLDHYLRREALSIHVFDDEDLEPGSYLGRARVPLLPLAKNESIKGDFNLTDPAEKPNGSIQVQLDWKFPYIPPESFLKPEAQTKGKDTKDSSKISSEEEKASFPSQDQMASPEVPIEAGQYRSKRKPPHGGERKEKEHQVVSYSRRKHGKRIGVQGKNRMEYLSLNILNGNTPEQVNYTEWKFSETNSFIGDGFKNQHEEEEMTLSHSALKQKEPLHPVNDKESSEQGSEVSEAQTTDSDDVIVPPMSQKYPKADSEKMCIEIVSLAFYPEAEVMSDENIKQVYVEYKFYDLPLSETETPVSLRKPRAGEEIHFHFSKVIDLDPQEQQGRRRFLFDMLNGQDPDQGHLKFTVVSDPLDEEKKECEEVGYAYLQLWQILESGRDILEQELDIVSPEDLATPIGRLKVSLQAAAVLHAIYKEMTEDLFS
+>DECOY_sp|Q96KN7|RPGR1_HUMAN X-linked retinitis pigmentosa GTPase regulator-interacting protein 1 OS=Homo sapiens OX=9606 GN=RPGRIP1 PE=1 SV=2
+SFLDETMEKYIAHLVAAAQLSVKLRGIPTALDEPSVIDLEQELIDRGSELIQWLQLYAYGVEECEKKEEDLPDSVVTFKLHGQDPDQGNLMDFLFRRRGQQEQPDLDIVKSFHFHIEEGARPKRLSVPTETESLPLDYFKYEVYVQKINEDSMVEAEPYFALSVIEICMKESDAKPYKQSMPPVIVDDSDTTQAESVESGQESSEKDNVPHLPEKQKLASHSLTMEEEEHQNKFGDGIFSNTESFKWETYNVQEPTNGNLINLSLYEMRNKGQVGIRKGHKRRSYSVVQHEKEKREGGHPPKRKSRYQGAEIPVEPSAMQDQSPFSAKEEESSIKSSDKTDKGKTQAEPKLFSEPPIYPFKWDLQVQISGNPKEAPDTLNFDGKISENKALPLLPVRARGLYSGPELDEDDFVHISLAERRLYHDLDSTVLVPFRAQDRFYPNNSAPIIATDHDSFTFFRYVAYPSPQTGLWRSRLGCCKTIEIWLENQPEWSESRFEEEQAKRGGLVDTSLYVQAKKRKNCAQLSPKIPFRLRMWYELVGFEEGGAGILTALGHVKEVTELVRDFCIWGAALTSHESAMAQHIDLRASAEQLYHLFLSDTEMVYQSTFDYLPQPGVSLPTCHTEFDYFSYTCFTTPQTDGAQALAASTLFAQHIHLEFLNEGQHLLSIDVKDEDGHAPLTELCLSLPRTGYAVDKLQESTPLDHSRLIGELQKIRNNKLDLLRTLRELKEKHDRNDNDAKTMMAELEEQYCVNIKRQLILMDRTKELELTTEAHSVQLENLVQSLKKEEQNKPESPEIQTNEQLVAPHTAPESQRDPPQSITAANQLLEVEQKHKQLINTVELKLDENQAKERSLELLVKRKDELEADLQDQLQSVQQQLQEAILENSWHPQSSSDSSDLMSELLKDYNDNLLKREKELDEVREQFEKLTMQLISVDELQSKLSVAKKSEEKLEARLENVQKLLAEHAASLIGQNKQLLTEYAEQVETLQAKTMVLSANREHLLKKLRILEVKEKISARLEAAKQACELSSRQEFNEDKPWMKEPSKPPEEVQMTNSAMIHASNPMCLGIPKAMSIVSSFSIISNSGSVLESPKSAVEGRNENTAHEKFSPPATYSLRDRPGRKPKEPVPAGATHLQRHGVQVRPQARRPSAPGVCHFHGQLRHMQPRQHMSLRQRWGAKQGRRATESLPAAEEAVRLDRGAATLRLLTTRLRKIEDQQKWSLEKVLMHDERLRFFSDELEERNMRSLPPQTKMNKGKSAPLVLPIADIDRVPLDGSTPDVLHSM
+>sp|Q9UNE2|RPH3L_HUMAN Rab effector Noc2 OS=Homo sapiens OX=9606 GN=RPH3AL PE=1 SV=1
+MADTIFGSGNDQWVCPNDRQLALRAKLQTGWSVHTYQTEKQRRKQHLSPAEVEAILQVIQRAERLDVLEQQRIGRLVERLETMRRNVMGNGLSQCLLCGEVLGFLGSSSVFCKDCRKKVCTKCGIEASPGQKRPLWLCKICSEQREVWKRSGAWFYKGLPKYILPLKTPGRADDPHFRPLPTEPAEREPRSSETSRIYTWARGRVVSSDSDSDSDLSSSSLEDRLPSTGVRDRKGDKPWKESGGSVEAPRMGFTHPPGHLSGCQSSLASGETGTGSADPPGGPRPGLTRRAPVKDTPGRAPAADAAPAGPSSCLG
+>DECOY_sp|Q9UNE2|RPH3L_HUMAN Rab effector Noc2 OS=Homo sapiens OX=9606 GN=RPH3AL PE=1 SV=1
+GLCSSPGAPAADAAPARGPTDKVPARRTLGPRPGGPPDASGTGTEGSALSSQCGSLHGPPHTFGMRPAEVSGGSEKWPKDGKRDRVGTSPLRDELSSSSLDSDSDSDSSVVRGRAWTYIRSTESSRPEREAPETPLPRFHPDDARGPTKLPLIYKPLGKYFWAGSRKWVERQESCIKCLWLPRKQGPSAEIGCKTCVKKRCDKCFVSSSGLFGLVEGCLLCQSLGNGMVNRRMTELREVLRGIRQQELVDLREARQIVQLIAEVEAPSLHQKRRQKETQYTHVSWGTQLKARLALQRDNPCVWQDNGSGFITDAM
+>sp|P04843|RPN1_HUMAN Dolichyl-diphosphooligosaccharide--protein glycosyltransferase subunit 1 OS=Homo sapiens OX=9606 GN=RPN1 PE=1 SV=1
+MEAPAAGLFLLLLLGTWAPAPGSASSEAPPLINEDVKRTVDLSSHLAKVTAEVVLAHLGGGSTSRATSFLLALEPELEARLAHLGVQVKGEDEEENNLEVRETKIKGKSGRFFTVKLPVALDPGAKISVIVETVYTHVLHPYPTQITQSEKQFVVFEGNHYFYSPYPTKTQTMRVKLASRNVESYTKLGNPTRSEDLLDYGPFRDVPAYSQDTFKVHYENNSPFLTITSMTRVIEVSHWGNIAVEENVDLKHTGAVLKGPFSRYDYQRQPDSGISSIRSFKTILPAAAQDVYYRDEIGNVSTSHLLILDDSVEMEIRPRFPLFGGWKTHYIVGYNLPSYEYLYNLGDQYALKMRFVDHVFDEQVIDSLTVKIILPEGAKNIEIDSPYEISRAPDELHYTYLDTFGRPVIVAYKKNLVEQHIQDIVVHYTFNKVLMLQEPLLVVAAFYILFFTVIIYVRLDFSITKDPAAEARMKVACITEQVLTLVNKRIGLYRHFDETVNRYKQSRDISTLNSGKKSLETEHKALTSEIALLQSRLKTEGSDLCDRVSEMQKLDAQVKELVLKSAVEAERLVAGKLKKDTYIENEKLISGKRQELVTKIDHILDAL
+>DECOY_sp|P04843|RPN1_HUMAN Dolichyl-diphosphooligosaccharide--protein glycosyltransferase subunit 1 OS=Homo sapiens OX=9606 GN=RPN1 PE=1 SV=1
+LADLIHDIKTVLEQRKGSILKENEIYTDKKLKGAVLREAEVASKLVLEKVQADLKQMESVRDCLDSGETKLRSQLLAIESTLAKHETELSKKGSNLTSIDRSQKYRNVTEDFHRYLGIRKNVLTLVQETICAVKMRAEAAPDKTISFDLRVYIIVTFFLIYFAAVVLLPEQLMLVKNFTYHVVIDQIHQEVLNKKYAVIVPRGFTDLYTYHLEDPARSIEYPSDIEINKAGEPLIIKVTLSDIVQEDFVHDVFRMKLAYQDGLNYLYEYSPLNYGVIYHTKWGGFLPFRPRIEMEVSDDLILLHSTSVNGIEDRYYVDQAAAPLITKFSRISSIGSDPQRQYDYRSFPGKLVAGTHKLDVNEEVAINGWHSVEIVRTMSTITLFPSNNEYHVKFTDQSYAPVDRFPGYDLLDESRTPNGLKTYSEVNRSALKVRMTQTKTPYPSYFYHNGEFVVFQKESQTIQTPYPHLVHTYVTEVIVSIKAGPDLAVPLKVTFFRGSKGKIKTERVELNNEEEDEGKVQVGLHALRAELEPELALLFSTARSTSGGGLHALVVEATVKALHSSLDVTRKVDENILPPAESSASGPAPAWTGLLLLLFLGAAPAEM
+>sp|P78345|RPP38_HUMAN Ribonuclease P protein subunit p38 OS=Homo sapiens OX=9606 GN=RPP38 PE=1 SV=2
+MAAAPQAPGRGSLRKTRPLVVKTSLNNPYIIRWSALESEDMHFILQTLEDRLKAIGLQKIEDKKKKNKTPFLKKESREKCSIAVDISENLKEKKTDAKQQVSGWTPAHVRKQLAIGVNEVTRALERRELLLVLVCKSVKPAMITSHLIQLSLSRSVPACQVPRLSERIAPVIGLKCVLALAFKKNTTDFVDEVRAIIPRVPSLSVPWLQDRIEDSGENLETEPLESQDRELLDTSFEDLSKPKRKLADGRQASVTLQPLKIKKLIPNPNKIRKPPKSKKATPK
+>DECOY_sp|P78345|RPP38_HUMAN Ribonuclease P protein subunit p38 OS=Homo sapiens OX=9606 GN=RPP38 PE=1 SV=2
+KPTAKKSKPPKRIKNPNPILKKIKLPQLTVSAQRGDALKRKPKSLDEFSTDLLERDQSELPETELNEGSDEIRDQLWPVSLSPVRPIIARVEDVFDTTNKKFALALVCKLGIVPAIRESLRPVQCAPVSRSLSLQILHSTIMAPKVSKCVLVLLLERRELARTVENVGIALQKRVHAPTWGSVQQKADTKKEKLNESIDVAISCKERSEKKLFPTKNKKKKDEIKQLGIAKLRDELTQLIFHMDESELASWRIIYPNNLSTKVVLPRTKRLSGRGPAQPAAAM
+>sp|Q8N4K4|RPRML_HUMAN Reprimo-like protein OS=Homo sapiens OX=9606 GN=RPRML PE=2 SV=2
+MNATFLNHSGLEEVDGVGGGAGAALGNRTHGLGTWLGCCPGGAPLAASDGVPAGLAPDERSLWVSRVAQIAVLCVLSLTVVFGVFFLGCNLLIKSESMINFLVQERRPSKDVGAAILGLY
+>DECOY_sp|Q8N4K4|RPRML_HUMAN Reprimo-like protein OS=Homo sapiens OX=9606 GN=RPRML PE=2 SV=2
+YLGLIAAGVDKSPRREQVLFNIMSESKILLNCGLFFVGFVVTLSLVCLVAIQAVRSVWLSREDPALGAPVGDSAALPAGGPCCGLWTGLGHTRNGLAAGAGGGVGDVEELGSHNLFTANM
+>sp|Q9NQL2|RRAGD_HUMAN Ras-related GTP-binding protein D OS=Homo sapiens OX=9606 GN=RRAGD PE=1 SV=1
+MSQVLGKPQPQDEDDAEEEEEEDELVGLADYGDGPDSSDADPDSGTEEGVLDFSDPFSTEVKPRILLMGLRRSGKSSIQKVVFHKMSPNETLFLESTNKICREDVSNSSFVNFQIWDFPGQIDFFDPTFDYEMIFRGTGALIFVIDSQDDYMEALARLHLTVTRAYKVNTDINFEVFIHKVDGLSDDHKIETQRDIHQRANDDLADAGLEKIHLSFYLTSIYDHSIFEAFSKVVQKLIPQLPTLENLLNIFISNSGIEKAFLFDVVSKIYIATDSTPVDMQTYELCCDMIDVVIDISCIYGLKEDGAGTPYDKESTAIIKLNNTTVLYLKEVTKFLALVCFVREESFERKGLIDYNFHCFRKAIHEVFEVRMKVVKSRKVQNRLQKKKRATPNGTPRVLL
+>DECOY_sp|Q9NQL2|RRAGD_HUMAN Ras-related GTP-binding protein D OS=Homo sapiens OX=9606 GN=RRAGD PE=1 SV=1
+LLVRPTGNPTARKKKQLRNQVKRSKVVKMRVEFVEHIAKRFCHFNYDILGKREFSEERVFCVLALFKTVEKLYLVTTNNLKIIATSEKDYPTGAGDEKLGYICSIDIVVDIMDCCLEYTQMDVPTSDTAIYIKSVVDFLFAKEIGSNSIFINLLNELTPLQPILKQVVKSFAEFISHDYISTLYFSLHIKELGADALDDNARQHIDRQTEIKHDDSLGDVKHIFVEFNIDTNVKYARTVTLHLRALAEMYDDQSDIVFILAGTGRFIMEYDFTPDFFDIQGPFDWIQFNVFSSNSVDERCIKNTSELFLTENPSMKHFVVKQISSKGSRRLGMLLIRPKVETSFPDSFDLVGEETGSDPDADSSDPGDGYDALGVLEDEEEEEEADDEDQPQPKGLVQSM
+>sp|Q969S9|RRF2M_HUMAN Ribosome-releasing factor 2, mitochondrial OS=Homo sapiens OX=9606 GN=GFM2 PE=1 SV=1
+MLTNLRIFAMSHQTIPSVYINNICCYKIRASLKRLKPHVPLGRNCSSLPGLIGNDIKSLHSIINPPIAKIRNIGIMAHIDAGKTTTTERILYYSGYTRSLGDVDDGDTVTDFMAQERERGITIQSAAVTFDWKGYRVNLIDTPGHVDFTLEVERCLRVLDGAVAVFDASAGVEAQTLTVWRQADKHNIPRICFLNKMDKTGASFKYAVESIREKLKAKPLLLQLPIGEAKTFKGVVDVVMKEKLLWNCNSNDGKDFERKPLLEMNDPELLKETTEARNALIEQVADLDDEFADLVLEEFSENFDLLPAEKLQTAIHRVTLAQTAVPVLCGSALKNKGIQPLLDAVTMYLPSPEERNYEFLQWYKDDLCALAFKVLHDKQRGPLVFMRIYSGTIKPQLAIHNINGNCTERISRLLLPFADQHVEIPSLTAGNIALTVGLKHTATGDTIVSSKSSALAAARRAEREGEKKHRQNNEAERLLLAGVEIPEPVFFCTIEPPSLSKQPDLEHALKCLQREDPSLKVRLDPDSGQTVLCGMGELHIEIIHDRIKREYGLETYLGPLQVAYRETILNSVRATDTLDRTLGDKRHLVTVEVEARPIETSSVMPVIEFEYAESINEGLLKVSQEAIENGIHSACLQGPLLGSPIQDVAITLHSLTIHPGTSTTMISACVSRCVQKALKKADKQVLEPLMNLEVTVARDYLSPVLADLAQRRGNIQEIQTRQDNKVVIGFVPLAEIMGYSTVLRTLTSGSATFALELSTYQAMNPQDQNTLLNRRSGLT
+>DECOY_sp|Q969S9|RRF2M_HUMAN Ribosome-releasing factor 2, mitochondrial OS=Homo sapiens OX=9606 GN=GFM2 PE=1 SV=1
+TLGSRRNLLTNQDQPNMAQYTSLELAFTASGSTLTRLVTSYGMIEALPVFGIVVKNDQRTQIEQINGRRQALDALVPSLYDRAVTVELNMLPELVQKDAKKLAKQVCRSVCASIMTTSTGPHITLSHLTIAVDQIPSGLLPGQLCASHIGNEIAEQSVKLLGENISEAYEFEIVPMVSSTEIPRAEVEVTVLHRKDGLTRDLTDTARVSNLITERYAVQLPGLYTELGYERKIRDHIIEIHLEGMGCLVTQGSDPDLRVKLSPDERQLCKLAHELDPQKSLSPPEITCFFVPEPIEVGALLLREAENNQRHKKEGEREARRAAALASSKSSVITDGTATHKLGVTLAINGATLSPIEVHQDAFPLLLRSIRETCNGNINHIALQPKITGSYIRMFVLPGRQKDHLVKFALACLDDKYWQLFEYNREEPSPLYMTVADLLPQIGKNKLASGCLVPVATQALTVRHIATQLKEAPLLDFNESFEELVLDAFEDDLDAVQEILANRAETTEKLLEPDNMELLPKREFDKGDNSNCNWLLKEKMVVDVVGKFTKAEGIPLQLLLPKAKLKERISEVAYKFSAGTKDMKNLFCIRPINHKDAQRWVTLTQAEVGASADFVAVAGDLVRLCREVELTFDVHGPTDILNVRYGKWDFTVAASQITIGREREQAMFDTVTDGDDVDGLSRTYGSYYLIRETTTTKGADIHAMIGINRIKAIPPNIISHLSKIDNGILGPLSSCNRGLPVHPKLRKLSARIKYCCINNIYVSPITQHSMAFIRLNTLM
+>sp|O43159|RRP8_HUMAN Ribosomal RNA-processing protein 8 OS=Homo sapiens OX=9606 GN=RRP8 PE=1 SV=2
+MFEEPEWAEAAPVAAGLGPVISRPPPAASSQNKGSKRRQLLATLRALEAASLSQHPPSLCISDSEEEEEERKKKCPKKASFASASAEVGKKGKKKCQKQGPPCSDSEEEVERKKKCHKQALVGSDSAEDEKRKRKCQKHAPINSAQHLDNVDQTGPKAWKGSTTNDPPKQSPGSTSPKPPHTLSRKQWRNRQKNKRRCKNKFQPPQVPDQAPAEAPTEKTEVSPVPRTDSHEARAGALRARMAQRLDGARFRYLNEQLYSGPSSAAQRLFQEDPEAFLLYHRGFQSQVKKWPLQPVDRIARDLRQRPASLVVADFGCGDCRLASSIRNPVHCFDLASLDPRVTVCDMAQVPLEDESVDVAVFCLSLMGTNIRDFLEEANRVLKPGGLLKVAEVSSRFEDVRTFLRAVTKLGFKIVSKDLTNSHFFLFDFQKTGPPLVGPKAQLSGLQLQPCLYKRR
+>DECOY_sp|O43159|RRP8_HUMAN Ribosomal RNA-processing protein 8 OS=Homo sapiens OX=9606 GN=RRP8 PE=1 SV=2
+RRKYLCPQLQLGSLQAKPGVLPPGTKQFDFLFFHSNTLDKSVIKFGLKTVARLFTRVDEFRSSVEAVKLLGGPKLVRNAEELFDRINTGMLSLCFVAVDVSEDELPVQAMDCVTVRPDLSALDFCHVPNRISSALRCDGCGFDAVVLSAPRQRLDRAIRDVPQLPWKKVQSQFGRHYLLFAEPDEQFLRQAASSPGSYLQENLYRFRAGDLRQAMRARLAGARAEHSDTRPVPSVETKETPAEAPAQDPVQPPQFKNKCRRKNKQRNRWQKRSLTHPPKPSTSGPSQKPPDNTTSGKWAKPGTQDVNDLHQASNIPAHKQCKRKRKEDEASDSGVLAQKHCKKKREVEEESDSCPPGQKQCKKKGKKGVEASASAFSAKKPCKKKREEEEEESDSICLSPPHQSLSAAELARLTALLQRRKSGKNQSSAAPPPRSIVPGLGAAVPAAEAWEPEEFM
+>sp|P46783|RS10_HUMAN 40S ribosomal protein S10 OS=Homo sapiens OX=9606 GN=RPS10 PE=1 SV=1
+MLMPKKNRIAIYELLFKEGVMVAKKDVHMPKHPELADKNVPNLHVMKAMQSLKSRGYVKEQFAWRHFYWYLTNEGIQYLRDYLHLPPEIVPATLRRSRPETGRPRPKGLEGERPARLTRGEADRDTYRRSAVPPGADKKAEAGAGSATEFQFRGGFGRGRGQPPQ
+>DECOY_sp|P46783|RS10_HUMAN 40S ribosomal protein S10 OS=Homo sapiens OX=9606 GN=RPS10 PE=1 SV=1
+QPPQGRGRGFGGRFQFETASGAGAEAKKDAGPPVASRRYTDRDAEGRTLRAPREGELGKPRPRGTEPRSRRLTAPVIEPPLHLYDRLYQIGENTLYWYFHRWAFQEKVYGRSKLSQMAKMVHLNPVNKDALEPHKPMHVDKKAVMVGEKFLLEYIAIRNKKPMLM
+>sp|Q96DB5|RMD1_HUMAN Regulator of microtubule dynamics protein 1 OS=Homo sapiens OX=9606 GN=RMDN1 PE=1 SV=1
+MALAARLWRLLPFRRGAAPGSRLPAGTSGSRGHCGPCRFRGFEVMGNPGTFKRGLLLSALSYLGFETYQVISQAAVVHATAKVEEILEQADYLYESGETEKLYQLLTQYKESEDAELLWRLARASRDVAQLSRTSEEEKKLLVYEALEYAKRALEKNESSFASHKWYAICLSDVGDYEGIKAKIANAYIIKEHFEKAIELNPKDATSIHLMGIWCYTFAEMPWYQRRIAKMLFATPPSSTYEKALGYFHRAEQVDPNFYSKNLLLLGKTYLKLHNKKLAAFWLMKAKDYPAHTEEDKQIQTEAAQLLTSFSEKN
+>DECOY_sp|Q96DB5|RMD1_HUMAN Regulator of microtubule dynamics protein 1 OS=Homo sapiens OX=9606 GN=RMDN1 PE=1 SV=1
+NKESFSTLLQAAETQIQKDEETHAPYDKAKMLWFAALKKNHLKLYTKGLLLLNKSYFNPDVQEARHFYGLAKEYTSSPPTAFLMKAIRRQYWPMEAFTYCWIGMLHISTADKPNLEIAKEFHEKIIYANAIKAKIGEYDGVDSLCIAYWKHSAFSSENKELARKAYELAEYVLLKKEEESTRSLQAVDRSARALRWLLEADESEKYQTLLQYLKETEGSEYLYDAQELIEEVKATAHVVAAQSIVQYTEFGLYSLASLLLGRKFTGPNGMVEFGRFRCPGCHGRSGSTGAPLRSGPAAGRRFPLLRWLRAALAM
+>sp|Q96LZ7|RMD2_HUMAN Regulator of microtubule dynamics protein 2 OS=Homo sapiens OX=9606 GN=RMDN2 PE=1 SV=2
+MPYSTNKELILGIMVGTAGISLLLLWYHKVRKPGIAMKLPEFLSLGNTFNSITLQDEIHDDQGTTVIFQERQLQILEKLNELLTNMEELKEEIRFLKEAIPKLEEYIQDELGGKITVHKISPQHRARKRRLPTIQSSATSNSSEEAESEGGYITANTDTEEQSFPVPKAFNTRVEELNLDVLLQKVDHLRMSESGKSESFELLRDHKEKFRDEIEFMWRFARAYGDMYELSTNTQEKKHYANIGKTLSERAINRAPMNGHCHLWYAVLCGYVSEFEGLQNKINYGHLFKEHLDIAIKLLPEEPFLYYLKGRYCYTVSKLSWIEKKMAATLFGKIPSSTVQEALHNFLKAEELCPGYSNPNYMYLAKCYTDLEENQNALKFCNLALLLPTVTKEDKEAQKEMQKIMTSLKR
+>DECOY_sp|Q96LZ7|RMD2_HUMAN Regulator of microtubule dynamics protein 2 OS=Homo sapiens OX=9606 GN=RMDN2 PE=1 SV=2
+RKLSTMIKQMEKQAEKDEKTVTPLLLALNCFKLANQNEELDTYCKALYMYNPNSYGPCLEEAKLFNHLAEQVTSSPIKGFLTAAMKKEIWSLKSVTYCYRGKLYYLFPEEPLLKIAIDLHEKFLHGYNIKNQLGEFESVYGCLVAYWLHCHGNMPARNIARESLTKGINAYHKKEQTNTSLEYMDGYARAFRWMFEIEDRFKEKHDRLLEFSESKGSESMRLHDVKQLLVDLNLEEVRTNFAKPVPFSQEETDTNATIYGGESEAEESSNSTASSQITPLRRKRARHQPSIKHVTIKGGLEDQIYEELKPIAEKLFRIEEKLEEMNTLLENLKELIQLQREQFIVTTGQDDHIEDQLTISNFTNGLSLFEPLKMAIGPKRVKHYWLLLLSIGATGVMIGLILEKNTSYPM
+>sp|Q8N7X1|RMXL3_HUMAN RNA-binding motif protein, X-linked-like-3 OS=Homo sapiens OX=9606 GN=RBMXL3 PE=2 SV=2
+MMEADRPEKLFIGGLNLKTDEKALKAEFGKYGHIIKVFLMKDRKTNKSRGFAFVTFESPADAKAAARDMNGKYLDGKAIMVAQTIKPAFKSSRWVPPTPGSGSRSRFSHRTRGGGSSPQRPPSQGRPDDGRGYAGYFDLWPYRAPMPRKRGPPPRHWASPPHKRATPSSLAHSVGCGMRGKAPTVSGQDGYSGLQPRRWAGPPHKRAVPRSSLARIGGSGMPGKAPAVWGQDGYSGPRVREPLPPCRDPGDFVPALRDYSRRYYGHSSVPDYRPLRGDGNQNGYRGRDHEYTDHPSKGSYREPLKSYGGPCGAAPVWGTPPSYGGGCRYEEYQGNSPDACSEGRSSEALPVVLPDAYSRDHSPKAYSGGRSSSSNGYSRSDRYGEEGCYEEYRGRSPDAHSGGRNSSSNSYGQSHHYGGEGRYEEYRGRSHEARSGGRSTDAHSRGRSDDAYSGGHDSSSWSDCCGGGGRYEEYQGRSLDANSGGCSPEAYSGGHDNSSWSDRYGVGGHYEENRGHSLDANSGGRSPDTHSGGHSSSSNSYGQSHRYGGEGRYEYRGRSHDAHSGGCSADAYSGGHDSSSQSNRYGGGGCYEEYRGRSLDANSGGRSPNAYSGGHDSSSWSHRYGGGGRYEEYRGRSLDANSGGRSPDAYSGGHDSSGQSNCYGGGGRYEEYRGRLLDANSGGRSPDAYSGGHDSSSQSNRYGGGGRYEEYRGHSLDANSGGRSPDTYSRGHDSSSQSDHYGGGGRSLDANSSGRLPDAYSGGHDSSSRSHRYGGGGRYEEYRGRSLDANSGGRSPNAYSGGHNSSSRNDPCRGGGRYEENRGHSLDANSGGHSPNAYSGGRDSSSNSYDRSHRYGGGGHYEEYRGRSHDTHSRGRSPDAHSGDHYTEAYSRGRDSFSNSYGRSDHYGRGGCYEEYQGRSPNAYGGGRGLNSSNNSHGRSHRYGGGGRYEEYRGPSPDAHSGGRDSSIKSYGLSDRYGGGGHYEEYQGSLPDAYSGDHDRSSNSYGRSDRYSRGRDRVGRPDRGLPLPMETGSPPLHDSYSRSGCRVPRGGGRQGGRFERGEGRSRY
+>DECOY_sp|Q8N7X1|RMXL3_HUMAN RNA-binding motif protein, X-linked-like-3 OS=Homo sapiens OX=9606 GN=RBMXL3 PE=2 SV=2
+YRSRGEGREFRGGQRGGGRPVRCGSRSYSDHLPPSGTEMPLPLGRDPRGVRDRGRSYRDSRGYSNSSRDHDGSYADPLSGQYEEYHGGGGYRDSLGYSKISSDRGGSHADPSPGRYEEYRGGGGYRHSRGHSNNSSNLGRGGGYANPSRGQYEEYCGGRGYHDSRGYSNSFSDRGRSYAETYHDGSHADPSRGRSHTDHSRGRYEEYHGGGGYRHSRDYSNSSSDRGGSYANPSHGGSNADLSHGRNEEYRGGGRCPDNRSSSNHGGSYANPSRGGSNADLSRGRYEEYRGGGGYRHSRSSSDHGGSYADPLRGSSNADLSRGGGGYHDSQSSSDHGRSYTDPSRGGSNADLSHGRYEEYRGGGGYRNSQSSSDHGGSYADPSRGGSNADLLRGRYEEYRGGGGYCNSQGSSDHGGSYADPSRGGSNADLSRGRYEEYRGGGGYRHSWSSSDHGGSYANPSRGGSNADLSRGRYEEYCGGGGYRNSQSSSDHGGSYADASCGGSHADHSRGRYEYRGEGGYRHSQGYSNSSSSHGGSHTDPSRGGSNADLSHGRNEEYHGGVGYRDSWSSNDHGGSYAEPSCGGSNADLSRGQYEEYRGGGGCCDSWSSSDHGGSYADDSRGRSHADTSRGGSRAEHSRGRYEEYRGEGGYHHSQGYSNSSSNRGGSHADPSRGRYEEYCGEEGYRDSRSYGNSSSSRGGSYAKPSHDRSYADPLVVPLAESSRGESCADPSNGQYEEYRCGGGYSPPTGWVPAAGCPGGYSKLPERYSGKSPHDTYEHDRGRYGNQNGDGRLPRYDPVSSHGYYRRSYDRLAPVFDGPDRCPPLPERVRPGSYGDQGWVAPAKGPMGSGGIRALSSRPVARKHPPGAWRRPQLGSYGDQGSVTPAKGRMGCGVSHALSSPTARKHPPSAWHRPPPGRKRPMPARYPWLDFYGAYGRGDDPRGQSPPRQPSSGGGRTRHSFRSRSGSGPTPPVWRSSKFAPKITQAVMIAKGDLYKGNMDRAAAKADAPSEFTVFAFGRSKNTKRDKMLFVKIIHGYKGFEAKLAKEDTKLNLGGIFLKEPRDAEMM
+>sp|O00237|RN103_HUMAN E3 ubiquitin-protein ligase RNF103 OS=Homo sapiens OX=9606 GN=RNF103 PE=1 SV=1
+MWLKLFFLLLYFLVLFVLARFFEAIVWYETGIFATQLVDPVALSFKKLKTILECRGLGYSGLPEKKDVRELVEKSGDLMEGELYSALKEEEASESVSSTNFSGEMHFYELVEDTKDGIWLVQVIANDRSPLVGKIHWEKMVKKVSRFGIRTGTFNCSSDPRYCRRRGWVRSTLIMSVPQTSTSKGKVMLKEYSGRKIEVEHIFKWITAHAASRIKTIYNAEHLKEEWNKSDQYWLKIYLFANLDQPPAFFSALSIKFTGRVEFIFVNVENWDNKSYMTDIGIYNMPSYILRTPEGIYRYGNHTGEFISLQAMDSFLRSLQPEVNDLFVLSLVLVNLMAWMDLFITQGATIKRFVVLISTLGTYNSLLIISWLPVLGFLQLPYLDSFYEYSLKLLRYSNTTTLASWVRADWMFYSSHPALFLSTYLGHGLLIDYFEKKRRRNNNNDEVNANNLEWLSSLWDWYTSYLFHPIASFQNFPVESDWDEDPDLFLERLAFPDLWLHPLIPTDYIKNLPMWRFKCLGVQSEEEMSEGSQDTENDSESENTDTLSSEKEVFEDKQSVLHNSPGTASHCDAEACSCANKYCQTSPCERKGRSYGSYNTNEDMEPDWLTWPADMLHCTECVVCLENFENGCLLMGLPCGHVFHQNCIVMWLAGGRHCCPVCRWPSYKKKQPYAQHQPLSNDVPS
+>DECOY_sp|O00237|RN103_HUMAN E3 ubiquitin-protein ligase RNF103 OS=Homo sapiens OX=9606 GN=RNF103 PE=1 SV=1
+SPVDNSLPQHQAYPQKKKYSPWRCVPCCHRGGALWMVICNQHFVHGCPLGMLLCGNEFNELCVVCETCHLMDAPWTLWDPEMDENTNYSGYSRGKRECPSTQCYKNACSCAEADCHSATGPSNHLVSQKDEFVEKESSLTDTNESESDNETDQSGESMEEESQVGLCKFRWMPLNKIYDTPILPHLWLDPFALRELFLDPDEDWDSEVPFNQFSAIPHFLYSTYWDWLSSLWELNNANVEDNNNNRRRKKEFYDILLGHGLYTSLFLAPHSSYFMWDARVWSALTTTNSYRLLKLSYEYFSDLYPLQLFGLVPLWSIILLSNYTGLTSILVVFRKITAGQTIFLDMWAMLNVLVLSLVFLDNVEPQLSRLFSDMAQLSIFEGTHNGYRYIGEPTRLIYSPMNYIGIDTMYSKNDWNEVNVFIFEVRGTFKISLASFFAPPQDLNAFLYIKLWYQDSKNWEEKLHEANYITKIRSAAHATIWKFIHEVEIKRGSYEKLMVKGKSTSTQPVSMILTSRVWGRRRCYRPDSSCNFTGTRIGFRSVKKVMKEWHIKGVLPSRDNAIVQVLWIGDKTDEVLEYFHMEGSFNTSSVSESAEEEKLASYLEGEMLDGSKEVLERVDKKEPLGSYGLGRCELITKLKKFSLAVPDVLQTAFIGTEYWVIAEFFRALVFLVLFYLLLFFLKLWM
+>sp|Q6ZNA4|RN111_HUMAN E3 ubiquitin-protein ligase Arkadia OS=Homo sapiens OX=9606 GN=RNF111 PE=1 SV=3
+MSQWTPEYNELYTLKVDMKSEIPSDAPKTQESLKGILLHPEPIGAAKSFPAGVEMINSKVGNEFSHLCDDSQKQEKEMNGNQQEQEKSLVVRKKRKSQQAGPSYVQNCVKENQGILGLRQHLGTPSDEDNDSSFSDCLSSPSSSLHFGDSDTVTSDEDKEVSVRHSQTILNAKSRSHSARSHKWPRTETESVSGLLMKRPCLHGSSLRRLPCRKRFVKNNSSQRTQKQKERILMQRKKREVLARRKYALLPSSSSSSENDLSSESSSSSSTEGEEDLFVSASENHQNNPAVPSGSIDEDVVVIEASSTPQVTANEEINVTSTDSEVEIVTVGESYRSRSTLGHSRSHWSQGSSSHASRPQEPRNRSRISTVIQPLRQNAAEVVDLTVDEDEPTVVPTTSARMESQATSASINNSNPSTSEQASDTASAVTSSQPSTVSETSATLTSNSTTGTSIGDDSRRTTSSAVTETGPPAMPRLPSCCPQHSPCGGSSQNHHALGHPHTSCFQQHGHHFQHHHHHHHTPHPAVPVSPSFSDPACPVERPPQVQAPCGANSSSGTSYHEQQALPVDLSNSGIRSHGSGSFHGASAFDPCCPVSSSRAAIFGHQAAAAAPSQPLSSIDGYGSSMVAQPQPQPPPQPSLSSCRHYMPPPYASLTRPLHHQASACPHSHGNPPPQTQPPPQVDYVIPHPVHAFHSQISSHATSHPVAPPPPTHLASTAAPIPQHLPPTHQPISHHIPATAPPAQRLHPHEVMQRMEVQRRRMMQHPTRAHERPPPHPHRMHPNYGHGHHIHVPQTMSSHPRQAPERSAWELGIEAGVTAATYTPGALHPHLAHYHAPPRLHHLQLGALPLMVPDMAGYPHIRYISSGLDGTSFRGPFRGNFEELIHLEERLGNVNRGASQGTIERCTYPHKYKKVTTDWFSQRKLHCKQDGEEGTEEDTEEKCTICLSILEEGEDVRRLPCMHLFHQVCVDQWLITNKKCPICRVDIEAQLPSES
+>DECOY_sp|Q6ZNA4|RN111_HUMAN E3 ubiquitin-protein ligase Arkadia OS=Homo sapiens OX=9606 GN=RNF111 PE=1 SV=3
+SESPLQAEIDVRCIPCKKNTILWQDVCVQHFLHMCPLRRVDEGEELISLCITCKEETDEETGEEGDQKCHLKRQSFWDTTVKKYKHPYTCREITGQSAGRNVNGLREELHILEEFNGRFPGRFSTGDLGSSIYRIHPYGAMDPVMLPLAGLQLHHLRPPAHYHALHPHLAGPTYTAATVGAEIGLEWASREPAQRPHSSMTQPVHIHHGHGYNPHMRHPHPPPREHARTPHQMMRRRQVEMRQMVEHPHLRQAPPATAPIHHSIPQHTPPLHQPIPAATSALHTPPPPAVPHSTAHSSIQSHFAHVPHPIVYDVQPPPQTQPPPNGHSHPCASAQHHLPRTLSAYPPPMYHRCSSLSPQPPPQPQPQAVMSSGYGDISSLPQSPAAAAAQHGFIAARSSSVPCCPDFASAGHFSGSGHSRIGSNSLDVPLAQQEHYSTGSSSNAGCPAQVQPPREVPCAPDSFSPSVPVAPHPTHHHHHHHQFHHGHQQFCSTHPHGLAHHNQSSGGCPSHQPCCSPLRPMAPPGTETVASSTTRRSDDGISTGTTSNSTLTASTESVTSPQSSTVASATDSAQESTSPNSNNISASTAQSEMRASTTPVVTPEDEDVTLDVVEAANQRLPQIVTSIRSRNRPEQPRSAHSSSGQSWHSRSHGLTSRSRYSEGVTVIEVESDTSTVNIEENATVQPTSSAEIVVVDEDISGSPVAPNNQHNESASVFLDEEGETSSSSSSESSLDNESSSSSSPLLAYKRRALVERKKRQMLIREKQKQTRQSSNNKVFRKRCPLRRLSSGHLCPRKMLLGSVSETETRPWKHSRASHSRSKANLITQSHRVSVEKDEDSTVTDSDGFHLSSSPSSLCDSFSSDNDEDSPTGLHQRLGLIGQNEKVCNQVYSPGAQQSKRKKRVVLSKEQEQQNGNMEKEQKQSDDCLHSFENGVKSNIMEVGAPFSKAAGIPEPHLLIGKLSEQTKPADSPIESKMDVKLTYLENYEPTWQSM
+>sp|Q8WU17|RN139_HUMAN E3 ubiquitin-protein ligase RNF139 OS=Homo sapiens OX=9606 GN=RNF139 PE=1 SV=1
+MAAVGPPQQQVRMAHQQVWAALEVALRVPCLYIIDAIFNSYPDSSQSRFCIVLQIFLRLFGVFASSIVLILSQRSLFKFYTYSSAFLLAATSVLVNYYASLHIDFYGAYNTSAFGIELLPRKGPSLWMALIVLQLTFGIGYVTLLQIHSIYSQLIILDLLVPVIGLITELPLHIRETLLFTSSLILTLNTVFVLAVKLKWFYYSTRYVYLLVRHMYRIYGLQLLMEDTWKRIRFPDILRVFWLTRVTAQATVLMYILRMANETDSFFISWDDFWDLICNLIISGCDSTLTVLGMSAVISSVAHYLGLGILAFIGSTEEDDRRLGFVAPVLFFILALQTGLSGLRPEERLIRLSRNMCLLLTAVLHFIHGMTDPVLMSLSASHVSSFRRHFPVLFVSACLFILPVLLSYVLWHHYALNTWLFAVTAFCVELCLKVIVSLTVYTLFMIDGYYNVLWEKLDDYVYYVRSTGSIIEFIFGVVMFGNGAYTMMFESGSKIRAFMMCLHAYFNIYLQAKNGWKTFMNRRTAVKKINSLPEIKGSRLQEINDVCAICYHEFTTSARITPCNHYFHALCLRKWLYIQDTCPMCHQKVYIEDDIKDNSNVSNNNGFIPPNETPEEAVREAAAESDRELNEDDSTDCDDDVQRERNGVIQHTGAAAEEFNDDTD
+>DECOY_sp|Q8WU17|RN139_HUMAN E3 ubiquitin-protein ligase RNF139 OS=Homo sapiens OX=9606 GN=RNF139 PE=1 SV=1
+DTDDNFEEAAAGTHQIVGNRERQVDDDCDTSDDENLERDSEAAAERVAEEPTENPPIFGNNNSVNSNDKIDDEIYVKQHCMPCTDQIYLWKRLCLAHFYHNCPTIRASTTFEHYCIACVDNIEQLRSGKIEPLSNIKKVATRRNMFTKWGNKAQLYINFYAHLCMMFARIKSGSEFMMTYAGNGFMVVGFIFEIISGTSRVYYVYDDLKEWLVNYYGDIMFLTYVTLSVIVKLCLEVCFATVAFLWTNLAYHHWLVYSLLVPLIFLCASVFLVPFHRRFSSVHSASLSMLVPDTMGHIFHLVATLLLCMNRSLRILREEPRLGSLGTQLALIFFLVPAVFGLRRDDEETSGIFALIGLGLYHAVSSIVASMGLVTLTSDCGSIILNCILDWFDDWSIFFSDTENAMRLIYMLVTAQATVRTLWFVRLIDPFRIRKWTDEMLLQLGYIRYMHRVLLYVYRTSYYFWKLKVALVFVTNLTLILSSTFLLTERIHLPLETILGIVPVLLDLIILQSYISHIQLLTVYGIGFTLQLVILAMWLSPGKRPLLEIGFASTNYAGYFDIHLSAYYNVLVSTAALLFASSYTYFKFLSRQSLILVISSAFVGFLRLFIQLVICFRSQSSDPYSNFIADIIYLCPVRLAVELAAWVQQHAMRVQQQPPGVAAM
+>sp|Q2KHN1|RN151_HUMAN RING finger protein 151 OS=Homo sapiens OX=9606 GN=RNF151 PE=1 SV=1
+MGGGYDLNLFASPPDSNFVCSVCHGVLKRPARLPCSHIFCKKCILRWLARQKTCPCCRKEVKRKKVVHMNKLRKTIGRLEVKCKNADAGCIVTCPLAHRKGHQDSCPFELTACPNEGCTSQVPRGTLAEHRQHCQQGSQQRCPLGCGATLDPAERARHNCYRELHNAWSVRQERRRPLLLSLLRRVRWLDQATSVVRRELAELSNFLEEDTALLEGAPQEEAEAAPEGNVGAEVVGEPRANIPCK
+>DECOY_sp|Q2KHN1|RN151_HUMAN RING finger protein 151 OS=Homo sapiens OX=9606 GN=RNF151 PE=1 SV=1
+KCPINARPEGVVEAGVNGEPAAEAEEQPAGELLATDEELFNSLEALERRVVSTAQDLWRVRRLLSLLLPRRREQRVSWANHLERYCNHRAREAPDLTAGCGLPCRQQSGQQCHQRHEALTGRPVQSTCGENPCATLEFPCSDQHGKRHALPCTVICGADANKCKVELRGITKRLKNMHVVKKRKVEKRCCPCTKQRALWRLICKKCFIHSCPLRAPRKLVGHCVSCVFNSDPPSAFLNLDYGGGM
+>sp|Q96PX1|RN157_HUMAN E3 ubiquitin ligase RNF157 OS=Homo sapiens OX=9606 GN=RNF157 PE=1 SV=3
+MGALTSRQHAGVEEVDIPSNSVYRYPPKSGSYFASHFIMGGEKFDSTHPEGYLFGENSDLNFLGNRPVVFPYAAPPPQEPVKTLRSLVNIRKDTLRLVKCAEEVKSPGEEASKAKVHYNVEFTFDTDARVAITIYYQATEEFQNGIASYIPKDNSLQSETVQYKRGVCQQFCLPSHTVDPSEWAEEELGFDLDREVYPLVVHAVVDEGDEYFGHCHVLLGTFEKHTDGTFCVKPLKQKQVVDGVSYLLQEIYGIENKYNTQDSKVAEDEVSDNSAECVVCLSDVRDTLILPCRHLCLCNTCADTLRYQANNCPICRLPFRALLQIRAMRKKLGPLSPTSFNPIISSQTSDSEEHPSSENIPPGYEVVSLLEALNGPLTPSPAVPPLHVLGDGHLSGMLPSYGSDGHLPPVRTISPLDRLSDSSSQGLKLKKSLSKSTSQNSSVLHEEEDEHSCSESETQLSQRPSVQHLGEECGVTPESENLTLSSSGAIDQSSCTGTPLSSTISSPEGPASSSLAQSVMSMASSQISTDTVSSMSGSYIAPGTEEEGEALSSPQPASRAPSEEGEGLPAESPDSNFAGLPAGEQDAEGNDVIEEEDGSPTQEGQRTCAFLGMECDNNNDFDIASVKALDNKLCSEVCLPGAWQADDNAVSRNAQRRRLSSSSLEDSETRPCVWGPLAV
+>DECOY_sp|Q96PX1|RN157_HUMAN E3 ubiquitin ligase RNF157 OS=Homo sapiens OX=9606 GN=RNF157 PE=1 SV=3
+VALPGWVCPRTESDELSSSSLRRRQANRSVANDDAQWAGPLCVESCLKNDLAKVSAIDFDNNNDCEMGLFACTRQGEQTPSGDEEEIVDNGEADQEGAPLGAFNSDPSEAPLGEGEESPARSAPQPSSLAEGEEETGPAIYSGSMSSVTDTSIQSSAMSMVSQALSSSAPGEPSSITSSLPTGTCSSQDIAGSSSLTLNESEPTVGCEEGLHQVSPRQSLQTESESCSHEDEEEHLVSSNQSTSKSLSKKLKLGQSSSDSLRDLPSITRVPPLHGDSGYSPLMGSLHGDGLVHLPPVAPSPTLPGNLAELLSVVEYGPPINESSPHEESDSTQSSIIPNFSTPSLPGLKKRMARIQLLARFPLRCIPCNNAQYRLTDACTNCLCLHRCPLILTDRVDSLCVVCEASNDSVEDEAVKSDQTNYKNEIGYIEQLLYSVGDVVQKQKLPKVCFTGDTHKEFTGLLVHCHGFYEDGEDVVAHVVLPYVERDLDFGLEEEAWESPDVTHSPLCFQQCVGRKYQVTESQLSNDKPIYSAIGNQFEETAQYYITIAVRADTDFTFEVNYHVKAKSAEEGPSKVEEACKVLRLTDKRINVLSRLTKVPEQPPPAAYPFVVPRNGLFNLDSNEGFLYGEPHTSDFKEGGMIFHSAFYSGSKPPYRYVSNSPIDVEEVGAHQRSTLAGM
+>sp|Q96A37|RN166_HUMAN RING finger protein 166 OS=Homo sapiens OX=9606 GN=RNF166 PE=2 SV=1
+MAMFRSLVASAQQRQPPAGPAGGDSGLEAQYTCPICLEVYHRPVAIGSCGHTFCGECLQPCLQVPSPLCPLCRLPFDPKKVDKATHVEKQLSSYKAPCRGCNKKVTLAKMRVHISSCLKVQEQMANCPKFVPVVPTSQPIPSNIPNRSTFACPYCGARNLDQQELVKHCVESHRSDPNRVVCPICSAMPWGDPSYKSANFLQHLLHRHKFSYDTFVDYSIDEEAAFQAALALSLSEN
+>DECOY_sp|Q96A37|RN166_HUMAN RING finger protein 166 OS=Homo sapiens OX=9606 GN=RNF166 PE=2 SV=1
+NESLSLALAAQFAAEEDISYDVFTDYSFKHRHLLHQLFNASKYSPDGWPMASCIPCVVRNPDSRHSEVCHKVLEQQDLNRAGCYPCAFTSRNPINSPIPQSTPVVPVFKPCNAMQEQVKLCSSIHVRMKALTVKKNCGRCPAKYSSLQKEVHTAKDVKKPDFPLRCLPCLPSPVQLCPQLCEGCFTHGCSGIAVPRHYVELCIPCTYQAELGSDGGAPGAPPQRQQASAVLSRFMAM
+>sp|Q96D59|RN183_HUMAN Probable E3 ubiquitin-protein ligase RNF183 OS=Homo sapiens OX=9606 GN=RNF183 PE=1 SV=2
+MAEQQGRELEAECPVCWNPFNNTFHTPKMLDCCHSFCVECLAHLSLVTPARRRLLCPLCRQPTVLASGQPVTDLPTDTAMLALLRLEPHHVILEGHQLCLKDQPKSRYFLRQPQVYTLDLGPQPGGQTGPPPDTASATVSTPILIPSHHSLRECFRNPQFRIFAYLMAVILSVTLLLIFSIFWTKQFLWGVG
+>DECOY_sp|Q96D59|RN183_HUMAN Probable E3 ubiquitin-protein ligase RNF183 OS=Homo sapiens OX=9606 GN=RNF183 PE=1 SV=2
+GVGWLFQKTWFISFILLLTVSLIVAMLYAFIRFQPNRFCERLSHHSPILIPTSVTASATDPPPGTQGGPQPGLDLTYVQPQRLFYRSKPQDKLCLQHGELIVHHPELRLLALMATDTPLDTVPQGSALVTPQRCLPCLLRRRAPTVLSLHALCEVCFSHCCDLMKPTHFTNNFPNWCVPCEAELERGQQEAM
+>sp|Q9NV58|RN19A_HUMAN E3 ubiquitin-protein ligase RNF19A OS=Homo sapiens OX=9606 GN=RNF19A PE=1 SV=3
+MQEQEIGFISKYNEGLCVNTDPVSILTSILDMSLHRQMGSDRDLQSSASSVSLPSVKKAPKKRRISIGSLFRRKKDNKRKSRELNGGVDGIASIESIHSEMCTDKNSIFSTNTSSDNGLTSISKQIGDFIECPLCLLRHSKDRFPDIMTCHHRSCVDCLRQYLRIEISESRVNISCPECTERFNPHDIRLILSDDVLMEKYEEFMLRRWLVADPDCRWCPAPDCGYAVIAFGCASCPKLTCGREGCGTEFCYHCKQIWHPNQTCDAARQERAQSLRLRTIRSSSISYSQESGAAADDIKPCPRCAAYIIKMNDGSCNHMTCAVCGCEFCWLCMKEISDLHYLSPSGCTFWGKKPWSRKKKILWQLGTLVGAPVGIALIAGIAIPAMIIGIPVYVGRKIHNRYEGKDVSKHKRNLAIAGGVTLSVIVSPVVAAVTVGIGVPIMLAYVYGVVPISLCRSGGCGVSAGNGKGVRIEFDDENDINVGGTNTAVDTTSVAEARHNPSIGEGSVGGLTGSLSASGSHMDRIGAIRDNLSETASTMALAGASITGSLSGSAMVNCFNRLEVQADVQKERYSLSGESGTVSLGTVSDNASTKAMAGSILNSYIPLDKEGNSMEVQVDIESKPSKFRHNSGSSSVDDGSATRSHAGGSSSGLPEGKSSATKWSKEATAGKKSKSGKLRKKGNMKINETREDMDAQLLEQQSTNSSEFEAPSLSDSMPSVADSHSSHFSEFSCSDLESMKTSCSHGSSDYHTRFATVNILPEVENDRLENSPHQCSISVVTQTASCSEVSQLNHIAEEHGNNGIKPNVDLYFGDALKETNNNHSHQTMELKVAIQTEI
+>DECOY_sp|Q9NV58|RN19A_HUMAN E3 ubiquitin-protein ligase RNF19A OS=Homo sapiens OX=9606 GN=RNF19A PE=1 SV=3
+IETQIAVKLEMTQHSHNNNTEKLADGFYLDVNPKIGNNGHEEAIHNLQSVESCSATQTVVSISCQHPSNELRDNEVEPLINVTAFRTHYDSSGHSCSTKMSELDSCSFESFHSSHSDAVSPMSDSLSPAEFESSNTSQQELLQADMDERTENIKMNGKKRLKGSKSKKGATAEKSWKTASSKGEPLGSSSGGAHSRTASGDDVSSSGSNHRFKSPKSEIDVQVEMSNGEKDLPIYSNLISGAMAKTSANDSVTGLSVTGSEGSLSYREKQVDAQVELRNFCNVMASGSLSGTISAGALAMTSATESLNDRIAGIRDMHSGSASLSGTLGGVSGEGISPNHRAEAVSTTDVATNTGGVNIDNEDDFEIRVGKGNGASVGCGGSRCLSIPVVGYVYALMIPVGIGVTVAAVVPSVIVSLTVGGAIALNRKHKSVDKGEYRNHIKRGVYVPIGIIMAPIAIGAILAIGVPAGVLTGLQWLIKKKRSWPKKGWFTCGSPSLYHLDSIEKMCLWCFECGCVACTMHNCSGDNMKIIYAACRPCPKIDDAAAGSEQSYSISSSRITRLRLSQAREQRAADCTQNPHWIQKCHYCFETGCGERGCTLKPCSACGFAIVAYGCDPAPCWRCDPDAVLWRRLMFEEYKEMLVDDSLILRIDHPNFRETCEPCSINVRSESIEIRLYQRLCDVCSRHHCTMIDPFRDKSHRLLCLPCEIFDGIQKSISTLGNDSSTNTSFISNKDTCMESHISEISAIGDVGGNLERSKRKNDKKRRFLSGISIRRKKPAKKVSPLSVSSASSQLDRDSGMQRHLSMDLISTLISVPDTNVCLGENYKSIFGIEQEQM
+>sp|Q6ZMZ0|RN19B_HUMAN E3 ubiquitin-protein ligase RNF19B OS=Homo sapiens OX=9606 GN=RNF19B PE=1 SV=2
+MGSEKDSESPRSTSLHAAAPDPKCRSGGRRRRLTLHSVFSASARGRRARAKPQAEPPPPAAQPPPAPAPAAAQGPPPEALPAEPAAEAEAEAAAAAAEPGFDDEEAAEGGGPGAEEVECPLCLVRLPPERAPRLLSCPHRSCRDCLRHYLRLEISESRVPISCPECSERLNPHDIRLLLADPPLMHKYEEFMLRRYLASDPDCRWCPAPDCGYAVIAYGCASCPKLTCEREGCQTEFCYHCKQIWHPNQTCDMARQQRAQTLRVRTKHTSGLSYGQESGPADDIKPCPRCSAYIIKMNDGSCNHMTCAVCGCEFCWLCMKEISDLHYLSPSGCTFWGKKPWSRKKKILWQLGTLIGAPVGISLIAGIAIPAMVIGIPVYVGRKIHSRYEGRKTSKHKRNLAITGGVTLSVIASPVIAAVSVGIGVPIMLAYVYGVVPISLCRGGGCGVSTANGKGVKIEFDEDDGPITVADAWRALKNPSIGESSIEGLTSVLSTSGSPTDGLSVMQGPYSETASFAALSGGTLSGGILSSGKGKYSRLEVQADVQKEIFPKDTASLGAISDNASTRAMAGSIISSYNPQDRECNNMEIQVDIEAKPSHYQLVSGSSTEDSLHVHAQMAENEEEGSGGGGSEEDPPCRHQSCEQKDCLASKPWDISLAQPESIRSDLESSDAQSDDVPDITSDECGSPRSHTAACPSTPRAQGAPSPSAHMNLSALAEGQTVLKPEGGEARV
+>DECOY_sp|Q6ZMZ0|RN19B_HUMAN E3 ubiquitin-protein ligase RNF19B OS=Homo sapiens OX=9606 GN=RNF19B PE=1 SV=2
+VRAEGGEPKLVTQGEALASLNMHASPSPAGQARPTSPCAATHSRPSGCEDSTIDPVDDSQADSSELDSRISEPQALSIDWPKSALCDKQECSQHRCPPDEESGGGGSGEEENEAMQAHVHLSDETSSGSVLQYHSPKAEIDVQIEMNNCERDQPNYSSIISGAMARTSANDSIAGLSATDKPFIEKQVDAQVELRSYKGKGSSLIGGSLTGGSLAAFSATESYPGQMVSLGDTPSGSTSLVSTLGEISSEGISPNKLARWADAVTIPGDDEDFEIKVGKGNATSVGCGGGRCLSIPVVGYVYALMIPVGIGVSVAAIVPSAIVSLTVGGTIALNRKHKSTKRGEYRSHIKRGVYVPIGIVMAPIAIGAILSIGVPAGILTGLQWLIKKKRSWPKKGWFTCGSPSLYHLDSIEKMCLWCFECGCVACTMHNCSGDNMKIIYASCRPCPKIDDAPGSEQGYSLGSTHKTRVRLTQARQQRAMDCTQNPHWIQKCHYCFETQCGERECTLKPCSACGYAIVAYGCDPAPCWRCDPDSALYRRLMFEEYKHMLPPDALLLRIDHPNLRESCEPCSIPVRSESIELRLYHRLCDRCSRHPCSLLRPAREPPLRVLCLPCEVEEAGPGGGEAAEEDDFGPEAAAAAAEAEAEAAPEAPLAEPPPGQAAAPAPAPPPQAAPPPPEAQPKARARRGRASASFVSHLTLRRRRGGSRCKPDPAAAHLSTSRPSESDKESGM
+>sp|Q9Y6U7|RN215_HUMAN RING finger protein 215 OS=Homo sapiens OX=9606 GN=RNF215 PE=4 SV=2
+MGPAARPALRSPPPPPPPPPSPLLLLLPLLPLWLGLAGPGAAADGSEPAAGAGRGGARAVRVDVRLPRQDALVLEGVRIGSEADPAPLLGGRLLLMDIVDAEQEAPVEGWIAVAYVGKEQAAQFHQENKGSGPQAYPKALVQQMRRALFLGASALLLLILNHNVVRELDISQLLLRPVIVLHYSSNVTKLLDALLQRTQATAEITSGESLSANIEWKLTLWTTCGLSKDGYGGWQDLVCLGGSRAQEQKPLQQLWNAILLVAMLLCTGLVVQAQRQASRQSQRELGGQVDLFKRRVVRRLASLKTRRCRLSRAAQGLPDPGAETCAVCLDYFCNKQWLRVLPCKHEFHRDCVDPWLMLQQTCPLCKFNVLGNRYSDD
+>DECOY_sp|Q9Y6U7|RN215_HUMAN RING finger protein 215 OS=Homo sapiens OX=9606 GN=RNF215 PE=4 SV=2
+DDSYRNGLVNFKCLPCTQQLMLWPDVCDRHFEHKCPLVRLWQKNCFYDLCVACTEAGPDPLGQAARSLRCRRTKLSALRRVVRRKFLDVQGGLERQSQRSAQRQAQVVLGTCLLMAVLLIANWLQQLPKQEQARSGGLCVLDQWGGYGDKSLGCTTWLTLKWEINASLSEGSTIEATAQTRQLLADLLKTVNSSYHLVIVPRLLLQSIDLERVVNHNLILLLLASAGLFLARRMQQVLAKPYAQPGSGKNEQHFQAAQEKGVYAVAIWGEVPAEQEADVIDMLLLRGGLLPAPDAESGIRVGELVLADQRPLRVDVRVARAGGRGAGAAPESGDAAAGPGALGLWLPLLPLLLLLPSPPPPPPPPPSRLAPRAAPGM
+>sp|Q5W0B1|RN219_HUMAN RING finger protein 219 OS=Homo sapiens OX=9606 GN=RNF219 PE=1 SV=1
+MAQTVQNVTLSLTLPITCHICLGKVRQPVICINNHVFCSICIDLWLKNNSQCPACRVPITPENPCKEIIGGTSESEPMLSHTVRKHLRKTRLELLHKEYEDEIDCLQKEVEELKSKNLSLESQIKTILDPLTLVQGNQNEDKHLVTDNPSKINPETVAEWKKKLRTANEIYEKVKDDVDKLKEANKKLKLENGGLVRENLRLKAEVDNRSPQKFGRFAVAALQSKVEQYERETNRLKKALERSDKYIEELESQVAQLKNSSEEKEAMNSICQTALSADGKGSKGSEEDVVSKNQGDSARKQPGSSTSSSSHLAKPSSSRLCDTSSARQESTSKADLNCSKNKDLYQEQVEVMLDVTDTSMDTYLEREWGNKPSDCVPYKDEELYDLPAPCTPLSLSCLQLSTPENRESSVVQAGGSKKHSNHLRKLVFDDFCDSSNVSNKDSSEDDISRSENEKKSECFSSPKTGFWDCCSTSYAQNLDFESSEGNTIANSVGEISSKLSEKSGLCLSKRLNSIRSFEMNRTRTSSEASMDAAYLDKISELDSMMSESDNSKSPCNNGFKSLDLDGLSKSSQGSEFLEEPDKLEEKTELNLSKGSLTNDQLENGSEWKPTSFFLLSPSDQEMNEDFSLHSSSCPVTNEIKPPSCLFQTEFSQGILLSSSHRLFEDQRFGSSLFKMSSEMHSLHNHLQSPWSTSFVPEKRNKNVNQSTKRKIQSSLSSASPSKATKS
+>DECOY_sp|Q5W0B1|RN219_HUMAN RING finger protein 219 OS=Homo sapiens OX=9606 GN=RNF219 PE=1 SV=1
+SKTAKSPSASSLSSQIKRKTSQNVNKNRKEPVFSTSWPSQLHNHLSHMESSMKFLSSGFRQDEFLRHSSSLLIGQSFETQFLCSPPKIENTVPCSSSHLSFDENMEQDSPSLLFFSTPKWESGNELQDNTLSGKSLNLETKEELKDPEELFESGQSSKSLGDLDLSKFGNNCPSKSNDSESMMSDLESIKDLYAADMSAESSTRTRNMEFSRISNLRKSLCLGSKESLKSSIEGVSNAITNGESSEFDLNQAYSTSCCDWFGTKPSSFCESKKENESRSIDDESSDKNSVNSSDCFDDFVLKRLHNSHKKSGGAQVVSSERNEPTSLQLCSLSLPTCPAPLDYLEEDKYPVCDSPKNGWERELYTDMSTDTVDLMVEVQEQYLDKNKSCNLDAKSTSEQRASSTDCLRSSSPKALHSSSSTSSGPQKRASDGQNKSVVDEESGKSGKGDASLATQCISNMAEKEESSNKLQAVQSELEEIYKDSRELAKKLRNTEREYQEVKSQLAAVAFRGFKQPSRNDVEAKLRLNERVLGGNELKLKKNAEKLKDVDDKVKEYIENATRLKKKWEAVTEPNIKSPNDTVLHKDENQNGQVLTLPDLITKIQSELSLNKSKLEEVEKQLCDIEDEYEKHLLELRTKRLHKRVTHSLMPESESTGGIIEKCPNEPTIPVRCAPCQSNNKLWLDICISCFVHNNICIVPQRVKGLCIHCTIPLTLSLTVNQVTQAM
+>sp|A6NCQ9|RN222_HUMAN RING finger protein 222 OS=Homo sapiens OX=9606 GN=RNF222 PE=4 SV=1
+MSEGESKDSSGSECPVCYEKFRDLEGASRTLSCGHVFCHDCLVKYLLSTRVDGQVQRTLVCPICRYVTFLSKKSSRWPSMLDKSSQTLAVPVGLPSVPPLDSLGHTNPLAASSPAWRPPPGQARPPGSPGQSAQLPLDLLPSLPRESQIFVISRHGMPLGEQDSVLPRRSLAELSEASLAPRSARAFCCRSRALLLITLIAVVAVVAAILPWVLLVRKQA
+>DECOY_sp|A6NCQ9|RN222_HUMAN RING finger protein 222 OS=Homo sapiens OX=9606 GN=RNF222 PE=4 SV=1
+AQKRVLLVWPLIAAVVAVVAILTILLLARSRCCFARASRPALSAESLEALSRRPLVSDQEGLPMGHRSIVFIQSERPLSPLLDLPLQASQGPSGPPRAQGPPPRWAPSSAALPNTHGLSDLPPVSPLGVPVALTQSSKDLMSPWRSSKKSLFTVYRCIPCVLTRQVQGDVRTSLLYKVLCDHCFVHGCSLTRSAGELDRFKEYCVPCESGSSDKSEGESM
+>sp|E7ERA6|RN223_HUMAN RING finger protein 223 OS=Homo sapiens OX=9606 GN=RNF223 PE=2 SV=1
+MSSGQQVWHTAVPPPRRSSSIASMPRSPSSAGSPRSPGTPGSERVASPLECSICFSGYDNIFKTPKELSCTHVFCLECLARLAAAQPVGRPGGEAVPCPFCRQPTAVPPAGAPALCTSRQLQARMPAHLRREEPVWLEGTKLCCQPLPTTPGREPGFVCVDVGLSKPAEPPAPARDPAPRRGRLARCWARCRDWRRMALVSALLLMLFCVALWPVQCALKTGNLRCLPLPPRPPATSTAASPLGPLTDN
+>DECOY_sp|E7ERA6|RN223_HUMAN RING finger protein 223 OS=Homo sapiens OX=9606 GN=RNF223 PE=2 SV=1
+NDTLPGLPSAATSTAPPRPPLPLCRLNGTKLACQVPWLAVCFLMLLLASVLAMRRWDRCRAWCRALRGRRPAPDRAPAPPEAPKSLGVDVCVFGPERGPTTPLPQCCLKTGELWVPEERRLHAPMRAQLQRSTCLAPAGAPPVATPQRCFPCPVAEGGPRGVPQAAALRALCELCFVHTCSLEKPTKFINDYGSFCISCELPSAVRESGPTGPSRPSGASSPSRPMSAISSSRRPPPVATHWVQQGSSM
+>sp|P60153|RNAS9_HUMAN Inactive ribonuclease-like protein 9 OS=Homo sapiens OX=9606 GN=RNASE9 PE=1 SV=1
+MMRTLITTHPLPLLLLPQQLLQLVQFQEVDTDFDFPEEDKKEEFEECLEKFFSTGPARPPTKEKVKRRVLIEPGMPLNHIEYCNHEIMGKNVYYKHRWVAEHYFLLMQYDELQKICYNRFVPCKNGIRKCNRSKGLVEGVYCNLTEAFEIPACKYESLYRKGYVLITCSWQNEMQKRIPHTINDLVEPPEHRSFLSEDGVFVISP
+>DECOY_sp|P60153|RNAS9_HUMAN Inactive ribonuclease-like protein 9 OS=Homo sapiens OX=9606 GN=RNASE9 PE=1 SV=1
+PSIVFVGDESLFSRHEPPEVLDNITHPIRKQMENQWSCTILVYGKRYLSEYKCAPIEFAETLNCYVGEVLGKSRNCKRIGNKCPVFRNYCIKQLEDYQMLLFYHEAVWRHKYYVNKGMIEHNCYEIHNLPMGPEILVRRKVKEKTPPRAPGTSFFKELCEEFEEKKDEEPFDFDTDVEQFQVLQLLQQPLLLLPLPHTTILTRMM
+>sp|O60518|RNBP6_HUMAN Ran-binding protein 6 OS=Homo sapiens OX=9606 GN=RANBP6 PE=1 SV=2
+MAATASAGVPATVSEKQEFYQLLKNLINPSCMVRRQAEEIYENIPGLCKTTFLLDAVRNRRAGYEVRQMAAALLRRLLSSGFEEVYPNLPADVQRDVKIELILAVKLETHASMRKKLCDIFAVLARNLIDEDGTNHWPEGLKFLIDSIYSKNVVLWEVALHVFWHFPGIFGTQERHDLDIIKRLLDQCIQDQEHPAIRTLSARAAAAFVLANENNIALFKDFADLLPGILQAVNDSCYQDDDSVLESLVEIADTVPKYLGPYLEDTLQLSLKLCGDSRLSNLQRQLALEVIVTLSETATPMLKKHTNIIAQAVPHILAMMVDLQDDEDWVNADEMEEDDFDSNAVAAESALDRLACGLGGKVVLPMTKEHIMQMLQSPDWKYRHAGLMALSAIGEGCHQQMESILDETVNSVLLFLQDPHPRVRAAACTTLGQMATDFAPNFQKKFHETVIAALLRTMENQGNQRVQSHAASALIIFIEDCPKSLLVLYVDSMVKNLHSVLVIKLQELIRNGTKLALEQLVTTIASVADTIEEKFVPYYDIFMPSLKHIVELAVQKELKLLRGKTIECISHIGLAVGKEKFMQDASNVMQLLLKTQSDLNNMEDDDPQTSYMVSAWARMCKILGKDFQQYLPLVIEPLIKTASAKPDVALLDTQDVENMSDDDGWQFVNLGDQQSFGIKTSGLEAKATACQMLVYYAKELREGFVEYTEQVVKLMVPLLKFYFHDNVRVAAAESMPFLLECARIRGPEYLAQMWQFICDPLIKAIGTEPDTDVLSEIMNSFAKSIEVMGDGCLNDEHLEELGGILKAKLEGHFKNQELRQVKRQEENYDQQVEMSLQDEDECDVYILTKVSDILHSLFSTYKEKILPWFEQLLPLIVNLICSSRPWPDRQWGLCIFDDIIEHCSPTSFKYVEYFRWPMLLNMRDNNPEVRQAAAYGLGVMAQFGGDDYRSLCSEAVPLLVKVIKCANSKTKKNVIATENCISAIGKILKFKPNCVNVDEVLPHWLSWLPLHEDKEEAIQTLSFLCDLIESNHPVVIGPNNSNLPKIISIIAEGKINETINYEDPCAKRLANVVRQVQTSEDLWLECVSQLDDEQQEALQELLNFA
+>DECOY_sp|O60518|RNBP6_HUMAN Ran-binding protein 6 OS=Homo sapiens OX=9606 GN=RANBP6 PE=1 SV=2
+AFNLLEQLAEQQEDDLQSVCELWLDESTQVQRVVNALRKACPDEYNITENIKGEAIISIIKPLNSNNPGIVVPHNSEILDCLFSLTQIAEEKDEHLPLWSLWHPLVEDVNVCNPKFKLIKGIASICNETAIVNKKTKSNACKIVKVLLPVAESCLSRYDDGGFQAMVGLGYAAAQRVEPNNDRMNLLMPWRFYEVYKFSTPSCHEIIDDFICLGWQRDPWPRSSCILNVILPLLQEFWPLIKEKYTSFLSHLIDSVKTLIYVDCEDEDQLSMEVQQDYNEEQRKVQRLEQNKFHGELKAKLIGGLEELHEDNLCGDGMVEISKAFSNMIESLVDTDPETGIAKILPDCIFQWMQALYEPGRIRACELLFPMSEAAAVRVNDHFYFKLLPVMLKVVQETYEVFGERLEKAYYVLMQCATAKAELGSTKIGFSQQDGLNVFQWGDDDSMNEVDQTDLLAVDPKASATKILPEIVLPLYQQFDKGLIKCMRAWASVMYSTQPDDDEMNNLDSQTKLLLQMVNSADQMFKEKGVALGIHSICEITKGRLLKLEKQVALEVIHKLSPMFIDYYPVFKEEITDAVSAITTVLQELALKTGNRILEQLKIVLVSHLNKVMSDVYLVLLSKPCDEIFIILASAAHSQVRQNGQNEMTRLLAAIVTEHFKKQFNPAFDTAMQGLTTCAAARVRPHPDQLFLLVSNVTEDLISEMQQHCGEGIASLAMLGAHRYKWDPSQLMQMIHEKTMPLVVKGGLGCALRDLASEAAVANSDFDDEEMEDANVWDEDDQLDVMMALIHPVAQAIINTHKKLMPTATESLTVIVELALQRQLNSLRSDGCLKLSLQLTDELYPGLYKPVTDAIEVLSELVSDDDQYCSDNVAQLIGPLLDAFDKFLAINNENALVFAAAARASLTRIAPHEQDQICQDLLRKIIDLDHREQTGFIGPFHWFVHLAVEWLVVNKSYISDILFKLGEPWHNTGDEDILNRALVAFIDCLKKRMSAHTELKVALILEIKVDRQVDAPLNPYVEEFGSSLLRRLLAAAMQRVEYGARRNRVADLLFTTKCLGPINEYIEEAQRRVMCSPNILNKLLQYFEQKESVTAPVGASATAAM
+>sp|Q92730|RND1_HUMAN Rho-related GTP-binding protein Rho6 OS=Homo sapiens OX=9606 GN=RND1 PE=1 SV=1
+MKERRAPQPVVARCKLVLVGDVQCGKTAMLQVLAKDCYPETYVPTVFENYTACLETEEQRVELSLWDTSGSPYYDNVRPLCYSDSDAVLLCFDISRPETVDSALKKWRTEILDYCPSTRVLLIGCKTDLRTDLSTLMELSHQKQAPISYEQGCAIAKQLGAEIYLEGSAFTSEKSIHSIFRTASMLCLNKPSPLPQKSPVRSLSKRLLHLPSRSELISSTFKKEKAKSCSIM
+>DECOY_sp|Q92730|RND1_HUMAN Rho-related GTP-binding protein Rho6 OS=Homo sapiens OX=9606 GN=RND1 PE=1 SV=1
+MISCSKAKEKKFTSSILESRSPLHLLRKSLSRVPSKQPLPSPKNLCLMSATRFISHISKESTFASGELYIEAGLQKAIACGQEYSIPAQKQHSLEMLTSLDTRLDTKCGILLVRTSPCYDLIETRWKKLASDVTEPRSIDFCLLVADSDSYCLPRVNDYYPSGSTDWLSLEVRQEETELCATYNEFVTPVYTEPYCDKALVQLMATKGCQVDGVLVLKCRAVVPQPARREKM
+>sp|P61587|RND3_HUMAN Rho-related GTP-binding protein RhoE OS=Homo sapiens OX=9606 GN=RND3 PE=1 SV=1
+MKERRASQKLSSKSIMDPNQNVKCKIVVVGDSQCGKTALLHVFAKDCFPENYVPTVFENYTASFEIDTQRIELSLWDTSGSPYYDNVRPLSYPDSDAVLICFDISRPETLDSVLKKWKGEIQEFCPNTKMLLVGCKSDLRTDVSTLVELSNHRQTPVSYDQGANMAKQIGAATYIECSALQSENSVRDIFHVATLACVNKTNKNVKRNKSQRATKRISHMPSRPELSAVATDLRKDKAKSCTVM
+>DECOY_sp|P61587|RND3_HUMAN Rho-related GTP-binding protein RhoE OS=Homo sapiens OX=9606 GN=RND3 PE=1 SV=1
+MVTCSKAKDKRLDTAVASLEPRSPMHSIRKTARQSKNRKVNKNTKNVCALTAVHFIDRVSNESQLASCEIYTAAGIQKAMNAGQDYSVPTQRHNSLEVLTSVDTRLDSKCGVLLMKTNPCFEQIEGKWKKLVSDLTEPRSIDFCILVADSDPYSLPRVNDYYPSGSTDWLSLEIRQTDIEFSATYNEFVTPVYNEPFCDKAFVHLLATKGCQSDGVVVIKCKVNQNPDMISKSSLKQSARREKM
+>sp|O43567|RNF13_HUMAN E3 ubiquitin-protein ligase RNF13 OS=Homo sapiens OX=9606 GN=RNF13 PE=1 SV=1
+MLLSIGMLMLSATQVYTILTVQLFAFLNLLPVEADILAYNFENASQTFDDLPARFGYRLPAEGLKGFLINSKPENACEPIVPPPVKDNSSGTFIVLIRRLDCNFDIKVLNAQRAGYKAAIVHNVDSDDLISMGSNDIEVLKKIDIPSVFIGESSANSLKDEFTYEKGGHLILVPEFSLPLEYYLIPFLIIVGICLILIVIFMITKFVQDRHRARRNRLRKDQLKKLPVHKFKKGDEYDVCAICLDEYEDGDKLRILPCSHAYHCKCVDPWLTKTKKTCPVCKQKVVPSQGDSDSDTDSSQEENEVTEHTPLLRPLASVSAQSFGALSESRSHQNMTESSDYEEDDNEDTDSSDAENEINEHDVVVQLQPNGERDYNIANTV
+>DECOY_sp|O43567|RNF13_HUMAN E3 ubiquitin-protein ligase RNF13 OS=Homo sapiens OX=9606 GN=RNF13 PE=1 SV=1
+VTNAINYDREGNPQLQVVVDHENIENEADSSDTDENDDEEYDSSETMNQHSRSESLAGFSQASVSALPRLLPTHETVENEEQSSDTDSDSDGQSPVVKQKCVPCTKKTKTLWPDVCKCHYAHSCPLIRLKDGDEYEDLCIACVDYEDGKKFKHVPLKKLQDKRLRNRRARHRDQVFKTIMFIVILILCIGVIILFPILYYELPLSFEPVLILHGGKEYTFEDKLSNASSEGIFVSPIDIKKLVEIDNSGMSILDDSDVNHVIAAKYGARQANLVKIDFNCDLRRILVIFTGSSNDKVPPPVIPECANEPKSNILFGKLGEAPLRYGFRAPLDDFTQSANEFNYALIDAEVPLLNLFAFLQVTLITYVQTASLMLMGISLLM
+>sp|Q9UBS8|RNF14_HUMAN E3 ubiquitin-protein ligase RNF14 OS=Homo sapiens OX=9606 GN=RNF14 PE=1 SV=1
+MSSEDREAQEDELLALASIYDGDEFRKAESVQGGETRIYLDLPQNFKIFVSGNSNECLQNSGFEYTICFLPPLVLNFELPPDYPSSSPPSFTLSGKWLSPTQLSALCKHLDNLWEEHRGSVVLFAWMQFLKEETLAYLNIVSPFELKIGSQKKVQRRTAQASPNTELDFGGAAGSDVDQEEIVDERAVQDVESLSNLIQEILDFDQAQQIKCFNSKLFLCSICFCEKLGSECMYFLECRHVYCKACLKDYFEIQIRDGQVQCLNCPEPKCPSVATPGQVKELVEAELFARYDRLLLQSSLDLMADVVYCPRPCCQLPVMQEPGCTMGICSSCNFAFCTLCRLTYHGVSPCKVTAEKLMDLRNEYLQADEANKRLLDQRYGKRVIQKALEEMESKEWLEKNSKSCPCCGTPIEKLDGCNKMTCTGCMQYFCWICMGSLSRANPYKHFNDPGSPCFNRLFYAVDVDDDIWEDEVED
+>DECOY_sp|Q9UBS8|RNF14_HUMAN E3 ubiquitin-protein ligase RNF14 OS=Homo sapiens OX=9606 GN=RNF14 PE=1 SV=1
+DEVEDEWIDDDVDVAYFLRNFCPSGPDNFHKYPNARSLSGMCIWCFYQMCGTCTMKNCGDLKEIPTGCCPCSKSNKELWEKSEMEELAKQIVRKGYRQDLLRKNAEDAQLYENRLDMLKEATVKCPSVGHYTLRCLTCFAFNCSSCIGMTCGPEQMVPLQCCPRPCYVVDAMLDLSSQLLLRDYRAFLEAEVLEKVQGPTAVSPCKPEPCNLCQVQGDRIQIEFYDKLCAKCYVHRCELFYMCESGLKECFCISCLFLKSNFCKIQQAQDFDLIEQILNSLSEVDQVAREDVIEEQDVDSGAAGGFDLETNPSAQATRRQVKKQSGIKLEFPSVINLYALTEEKLFQMWAFLVVSGRHEEWLNDLHKCLASLQTPSLWKGSLTFSPPSSSPYDPPLEFNLVLPPLFCITYEFGSNQLCENSNGSVFIKFNQPLDLYIRTEGGQVSEAKRFEDGDYISALALLEDEQAERDESSM
+>sp|Q9Y225|RNF24_HUMAN RING finger protein 24 OS=Homo sapiens OX=9606 GN=RNF24 PE=1 SV=1
+MSSDFPHYNFRMPNIGFQNLPLNIYIVVFGTAIFVFILSLLFCCYLIRLRHQAHKEFYAYKQVILKEKVKELNLHELCAVCLEDFKPRDELGICPCKHAFHRKCLIKWLEVRKVCPLCNMPVLQLAQLHSKQDRGPPQGPLPGAENIV
+>DECOY_sp|Q9Y225|RNF24_HUMAN RING finger protein 24 OS=Homo sapiens OX=9606 GN=RNF24 PE=1 SV=1
+VINEAGPLPGQPPGRDQKSHLQALQLVPMNCLPCVKRVELWKILCKRHFAHKCPCIGLEDRPKFDELCVACLEHLNLEKVKEKLIVQKYAYFEKHAQHRLRILYCCFLLSLIFVFIATGFVVIYINLPLNQFGINPMRFNYHPFDSSM
+>sp|Q9BY78|RNF26_HUMAN E3 ubiquitin-protein ligase RNF26 OS=Homo sapiens OX=9606 GN=RNF26 PE=1 SV=1
+MEAVYLVVNGLGLVLDVLTLVLDLNFLLVSSLLASLAWLLAFVYNLPHTVLTSLLHLGRGVLLSLLALIEAVVRFTCGGLQALCTLLYSCCSGLESLKLLGHLASHGALRSREILHRGVLNVVSSGHALLRQACDICAIAMSLVAYVINSLVNICLIGTQNLFSLVLALWDAVTGPLWRMTDVVAAFLAHISSSAVAMAILLWTPCQLALELLASAARLLASFVLVNLTGLVLLACVLAVTVTVLHPDFTLRLATQALSQLHARPSYHRLREDVMRLSRLALGSEAWRRVWSRSLQLASWPNRGGAPGAPQGDPMRVFSVRTRRQDTLPEAGRRSEAEEEEARTIRVTPVRGRERLNEEEPPGGQDPWKLLKEQEERKKCVICQDQSKTVLLLPCRHLCLCQACTEILMRHPVYHRNCPLCRRGILQTLNVYL
+>DECOY_sp|Q9BY78|RNF26_HUMAN E3 ubiquitin-protein ligase RNF26 OS=Homo sapiens OX=9606 GN=RNF26 PE=1 SV=1
+LYVNLTQLIGRRCLPCNRHYVPHRMLIETCAQCLCLHRCPLLLVTKSQDQCIVCKKREEQEKLLKWPDQGGPPEEENLRERGRVPTVRITRAEEEEAESRRGAEPLTDQRRTRVSFVRMPDGQPAGPAGGRNPWSALQLSRSWVRRWAESGLALRSLRMVDERLRHYSPRAHLQSLAQTALRLTFDPHLVTVTVALVCALLVLGTLNVLVFSALLRAASALLELALQCPTWLLIAMAVASSSIHALFAAVVDTMRWLPGTVADWLALVLSFLNQTGILCINVLSNIVYAVLSMAIACIDCAQRLLAHGSSVVNLVGRHLIERSRLAGHSALHGLLKLSELGSCCSYLLTCLAQLGGCTFRVVAEILALLSLLVGRGLHLLSTLVTHPLNYVFALLWALSALLSSVLLFNLDLVLTLVDLVLGLGNVVLYVAEM
+>sp|Q9H0F5|RNF38_HUMAN E3 ubiquitin-protein ligase RNF38 OS=Homo sapiens OX=9606 GN=RNF38 PE=1 SV=4
+MACKISPGANSASLPGHPNKVICERVRLQSLFPLLPSDQNTTVQEDAHFKAFFQSEDSPSPKRQRLSHSVFDYTSASPAPSPPMRPWEMTSNRQPPSVRPSQHHFSGERCNTPARNRRSPPVRRQRGRRDRLSRHNSISQDENYHHLPYAQQQAIEEPRAFHPPNVSPRLLHPAAHPPQQNAVMVDIHDQLHQGTVPVSYTVTTVAPHGIPLCTGQHIPACSTQQVPGCSVVFSGQHLPVCSVPPPMLQACSVQHLPVPYAAFPPLISSDPFLIHPPHLSPHHPPHLPPPGQFVPFQTQQSRSPLQRIENEVELLGEHLPVGGFTYPPSAHPPTLPPSAPLQFLTHDPLHQEVSFGVPYPPFMPRRLTGRSRYRSQQPIPPPPYHPSLLPYVLSMLPVPPAVGPTFSFELDVEDGEVENYEALLNLAERLGEAKPRGLTKADIEQLPSYRFNPNNHQSEQTLCVVCMCDFESRQLLRVLPCNHEFHAKCVDKWLKANRTCPICRADASEVHRDSE
+>DECOY_sp|Q9H0F5|RNF38_HUMAN E3 ubiquitin-protein ligase RNF38 OS=Homo sapiens OX=9606 GN=RNF38 PE=1 SV=4
+ESDRHVESADARCIPCTRNAKLWKDVCKAHFEHNCPLVRLLQRSEFDCMCVVCLTQESQHNNPNFRYSPLQEIDAKTLGRPKAEGLREALNLLAEYNEVEGDEVDLEFSFTPGVAPPVPLMSLVYPLLSPHYPPPPIPQQSRYRSRGTLRRPMFPPYPVGFSVEQHLPDHTLFQLPASPPLTPPHASPPYTFGGVPLHEGLLEVENEIRQLPSRSQQTQFPVFQGPPPLHPPHHPSLHPPHILFPDSSILPPFAAYPVPLHQVSCAQLMPPPVSCVPLHQGSFVVSCGPVQQTSCAPIHQGTCLPIGHPAVTTVTYSVPVTGQHLQDHIDVMVANQQPPHAAPHLLRPSVNPPHFARPEEIAQQQAYPLHHYNEDQSISNHRSLRDRRGRQRRVPPSRRNRAPTNCREGSFHHQSPRVSPPQRNSTMEWPRMPPSPAPSASTYDFVSHSLRQRKPSPSDESQFFAKFHADEQVTTNQDSPLLPFLSQLRVRECIVKNPHGPLSASNAGPSIKCAM
+>sp|Q96EX2|RNFT2_HUMAN RING finger and transmembrane domain-containing protein 2 OS=Homo sapiens OX=9606 GN=RNFT2 PE=2 SV=2
+MWLFTVNQVLRKMQRRHSSNTDNIPPERNRSQALSSEASVDEGGVFESLKAEAASPPALFSGLSGSLPTSSFPSSLVLGSSAGGGDVFIQMPASREEGGGRGEGGAYHHRQPHHHFHHGGHRGGSLLQHVGGDHRGHSEEGGDEQPGTPAPALSELKAVICWLQKGLPFILILLAKLCFQHKLGIAVCIGMASTFAYANSTLREQVSLKEKRSVLVILWILAFLAGNTLYVLYTFSSQQLYNSLIFLKPNLEMLDFFDLLWIVGIADFVLKYITIALKCLIVALPKIILAVKSKGKFYLVIEELSQLFRSLVPIQLWYKYIMGDDSSNSYFLGGVLIVLYSLCKSFDICGRVGGVRKALKLLCTSQNYGVRATGQQCTEAGDICAICQAEFREPLILLCQHVFCEECLCLWLDRERTCPLCRSVAVDTLRCWKDGATSAHFQVY
+>DECOY_sp|Q96EX2|RNFT2_HUMAN RING finger and transmembrane domain-containing protein 2 OS=Homo sapiens OX=9606 GN=RNFT2 PE=2 SV=2
+YVQFHASTAGDKWCRLTDVAVSRCLPCTRERDLWLCLCEECFVHQCLLILPERFEAQCIACIDGAETCQQGTARVGYNQSTCLLKLAKRVGGVRGCIDFSKCLSYLVILVGGLFYSNSSDDGMIYKYWLQIPVLSRFLQSLEEIVLYFKGKSKVALIIKPLAVILCKLAITIYKLVFDAIGVIWLLDFFDLMELNPKLFILSNYLQQSSFTYLVYLTNGALFALIWLIVLVSRKEKLSVQERLTSNAYAFTSAMGICVAIGLKHQFCLKALLILIFPLGKQLWCIVAKLESLAPAPTGPQEDGGEESHGRHDGGVHQLLSGGRHGGHHFHHHPQRHHYAGGEGRGGGEERSAPMQIFVDGGGASSGLVLSSPFSSTPLSGSLGSFLAPPSAAEAKLSEFVGGEDVSAESSLAQSRNREPPINDTNSSHRRQMKRLVQNVTFLWM
+>sp|Q96MS0|ROBO3_HUMAN Roundabout homolog 3 OS=Homo sapiens OX=9606 GN=ROBO3 PE=1 SV=2
+MLRYLLKTLLQMNLFADSLAGDISNSSELLLGFNSSLAALNHTLLPPGDPSLNGSRVGPEDAMPRIVEQPPDLLVSRGEPATLPCRAEGRPRPNIEWYKNGARVATVREDPRAHRLLLPSGALFFPRIVHGRRARPDEGVYTCVARNYLGAAASRNASLEVAVLRDDFRQSPGNVVVAVGEPAVLECVPPRGHPEPSVSWRKDGARLKEEEGRITIRGGKLMMSHTLKSDAGMYVCVASNMAGERESAAAEVMVLERPSFLRRPVNQVVLADAPVTFLCEVKGDPPPRLRWRKEDGELPTGRYEIRSDHSLWIGHVSAEDEGTYTCVAENSVGRAEASGSLSVHVPPQLVTQPQDQMAAPGESVAFQCETKGNPPPAIFWQKEGSQVLLFPSQSLQPTGRFSVSPRGQLNITAVQRGDAGYYVCQAVSVAGSILAKALLEIKGASLDGLPPVILQGPANQTLVLGSSVWLPCRVTGNPQPSVRWKKDGQWLQGDDLQFKTMANGTLYIANVQEMDMGFYSCVAKSSTGEATWSGWLKMREDWGVSPDPPTEPSSPPGAPSQPVVTEITKNSITLTWKPNPQTGAAVTSYVIEAFSPAAGNTWRTVADGVQLETHTVSGLQPNTIYLFLVRAVGAWGLSEPSPVSEPVRTQDSSPSRPVEDPWRGQQGLAEVAVRLQEPIVLGPRTLQVSWTVDGPVQLVQGFRVSWRVAGPEGGSWTMLDLQSPSQQSTVLRGLPPGTQIQIKVQAQGQEGLGAESLSVTRSIPEEAPSGPPQGVAVALGGDGNSSITVSWEPPLPSQQNGVITEYQIWCLGNESRFHLNRSAAGWARSAMLRGLVPGLLYRTLVAAATSAGVGVPSAPVLVQLPSPPDLEPGLEVGAGLAVRLARVLREPAFLAGSGAACGALLLGLCAALYWRRKQRKELSHYTASFAYTPAVSFPHSEGLSGASSRPPMGLGPAPYSWLADSWPHPSRSPSAQEPRGSCCPSNPDPDDRYYNEAGISLYLAQTARGTAAPGEGPVYSTIDPAGEELQTFHGGFPQHPSGDLGPWSQYAPPEWSQGDSGAKGGKVKLLGKPVQMPSLNWPEALPPPPPSCELSCLEGPEEELEGSSEPEEWCPPMPERSHLTEPSSSGGCLVTPSRRETPSPTPSYGQQSTATLTPSPPDPPQPPTDMPHLHQMPRRVPLGPSSPLSVSQPMLGIREARPAGLGAGPAASPHLSPSPAPSTASSAPGRTWQGNGEMTPPLQGPRARFRKKPKALPYRRENSPGDLPPPPLPPPEEEASWALELRAAGSMSSLERERSGERKAVQAVPLAAQRVLHPDEEAWLPYSRPSFLSRGQGTSTCSTAGSNSSRGSSSSRGSRGPGRSRSRSQSRSQSQRPGQKRREEPR
+>DECOY_sp|Q96MS0|ROBO3_HUMAN Roundabout homolog 3 OS=Homo sapiens OX=9606 GN=ROBO3 PE=1 SV=2
+RPEERRKQGPRQSQSRSQSRSRSRGPGRSGRSSSSGRSSNSGATSCTSTGQGRSLFSPRSYPLWAEEDPHLVRQAALPVAQVAKREGSRERELSSMSGAARLELAWSAEEEPPPLPPPPLDGPSNERRYPLAKPKKRFRARPGQLPPTMEGNGQWTRGPASSATSPAPSPSLHPSAAPGAGLGAPRAERIGLMPQSVSLPSSPGLPVRRPMQHLHPMDTPPQPPDPPSPTLTATSQQGYSPTPSPTERRSPTVLCGGSSSPETLHSREPMPPCWEEPESSGELEEEPGELCSLECSPPPPPLAEPWNLSPMQVPKGLLKVKGGKAGSDGQSWEPPAYQSWPGLDGSPHQPFGGHFTQLEEGAPDITSYVPGEGPAATGRATQALYLSIGAENYYRDDPDPNSPCCSGRPEQASPSRSPHPWSDALWSYPAPGLGMPPRSSAGSLGESHPFSVAPTYAFSATYHSLEKRQKRRWYLAACLGLLLAGCAAGSGALFAPERLVRALRVALGAGVELGPELDPPSPLQVLVPASPVGVGASTAAAVLTRYLLGPVLGRLMASRAWGAASRNLHFRSENGLCWIQYETIVGNQQSPLPPEWSVTISSNGDGGLAVAVGQPPGSPAEEPISRTVSLSEAGLGEQGQAQVKIQIQTGPPLGRLVTSQQSPSQLDLMTWSGGEPGAVRWSVRFGQVLQVPGDVTWSVQLTRPGLVIPEQLRVAVEALGQQGRWPDEVPRSPSSDQTRVPESVPSPESLGWAGVARVLFLYITNPQLGSVTHTELQVGDAVTRWTNGAAPSFAEIVYSTVAAGTQPNPKWTLTISNKTIETVVPQSPAGPPSSPETPPDPSVGWDERMKLWGSWTAEGTSSKAVCSYFGMDMEQVNAIYLTGNAMTKFQLDDGQLWQGDKKWRVSPQPNGTVRCPLWVSSGLVLTQNAPGQLIVPPLGDLSAGKIELLAKALISGAVSVAQCVYYGADGRQVATINLQGRPSVSFRGTPQLSQSPFLLVQSGEKQWFIAPPPNGKTECQFAVSEGPAAMQDQPQTVLQPPVHVSLSGSAEARGVSNEAVCTYTGEDEASVHGIWLSHDSRIEYRGTPLEGDEKRWRLRPPPDGKVECLFTVPADALVVQNVPRRLFSPRELVMVEAAASEREGAMNSAVCVYMGADSKLTHSMMLKGGRITIRGEEEKLRAGDKRWSVSPEPHGRPPVCELVAPEGVAVVVNGPSQRFDDRLVAVELSANRSAAAGLYNRAVCTYVGEDPRARRGHVIRPFFLAGSPLLLRHARPDERVTAVRAGNKYWEINPRPRGEARCPLTAPEGRSVLLDPPQEVIRPMADEPGVRSGNLSPDGPPLLTHNLAALSSNFGLLLESSNSIDGALSDAFLNMQLLTKLLYRLM
+>sp|Q8WZ75|ROBO4_HUMAN Roundabout homolog 4 OS=Homo sapiens OX=9606 GN=ROBO4 PE=1 SV=1
+MGSGGDSLLGGRGSLPLLLLLIMGGMAQDSPPQILVHPQDQLFQGPGPARMSCQASGQPPPTIRWLLNGQPLSMVPPDPHHLLPDGTLLLLQPPARGHAHDGQALSTDLGVYTCEASNRLGTAVSRGARLSVAVLREDFQIQPRDMVAVVGEQFTLECGPPWGHPEPTVSWWKDGKPLALQPGRHTVSGGSLLMARAEKSDEGTYMCVATNSAGHRESRAARVSIQEPQDYTEPVELLAVRIQLENVTLLNPDPAEGPKPRPAVWLSWKVSGPAAPAQSYTALFRTQTAPGGQGAPWAEELLAGWQSAELGGLHWGQDYEFKVRPSSGRARGPDSNVLLLRLPEKVPSAPPQEVTLKPGNGTVFVSWVPPPAENHNGIIRGYQVWSLGNTSLPPANWTVVGEQTQLEIATHMPGSYCVQVAAVTGAGAGEPSRPVCLLLEQAMERATQEPSEHGPWTLEQLRATLKRPEVIATCGVALWLLLLGTAVCIHRRRRARVHLGPGLYRYTSEDAILKHRMDHSDSQWLADTWRSTSGSRDLSSSSSLSSRLGADARDPLDCRRSLLSWDSRSPGVPLLPDTSTFYGSLIAELPSSTPARPSPQVPAVRRLPPQLAQLSSPCSSSDSLCSRRGLSSPRLSLAPAEAWKAKKKQELQHANSSPLLRGSHSLELRACELGNRGSKNLSQSPGAVPQALVAWRALGPKLLSSSNELVTRHLPPAPLFPHETPPTQSQQTQPPVAPQAPSSILLPAAPIPILSPCSPPSPQASSLSGPSPASSRLSSSSLSSLGEDQDSVLTPEEVALCLELSEGEETPRNSVSPMPRAPSPPTTYGYISVPTASEFTDMGRTGGGVGPKGGVLLCPPRPCLTPTPSEGSLANGWGSASEDNAASARASLVSSSDGSFLADAHFARALAVAVDSFGFGLEPREADCVFIDASSPPSPRDEIFLTPNLSLPLWEWRPDWLEDMEVSHTQRLGRGMPPWPPDSQISSQRSQLHCRMPKAGASPVDYS
+>DECOY_sp|Q8WZ75|ROBO4_HUMAN Roundabout homolog 4 OS=Homo sapiens OX=9606 GN=ROBO4 PE=1 SV=1
+SYDVPSAGAKPMRCHLQSRQSSIQSDPPWPPMGRGLRQTHSVEMDELWDPRWEWLPLSLNPTLFIEDRPSPPSSADIFVCDAERPELGFGFSDVAVALARAFHADALFSGDSSSVLSARASAANDESASGWGNALSGESPTPTLCPRPPCLLVGGKPGVGGGTRGMDTFESATPVSIYGYTTPPSPARPMPSVSNRPTEEGESLELCLAVEEPTLVSDQDEGLSSLSSSSLRSSAPSPGSLSSAQPSPPSCPSLIPIPAAPLLISSPAQPAVPPQTQQSQTPPTEHPFLPAPPLHRTVLENSSSLLKPGLARWAVLAQPVAGPSQSLNKSGRNGLECARLELSHSGRLLPSSNAHQLEQKKKAKWAEAPALSLRPSSLGRRSCLSDSSSCPSSLQALQPPLRRVAPVQPSPRAPTSSPLEAILSGYFTSTDPLLPVGPSRSDWSLLSRRCDLPDRADAGLRSSLSSSSSLDRSGSTSRWTDALWQSDSHDMRHKLIADESTYRYLGPGLHVRARRRRHICVATGLLLLWLAVGCTAIVEPRKLTARLQELTWPGHESPEQTAREMAQELLLCVPRSPEGAGAGTVAAVQVCYSGPMHTAIELQTQEGVVTWNAPPLSTNGLSWVQYGRIIGNHNEAPPPVWSVFVTGNGPKLTVEQPPASPVKEPLRLLLVNSDPGRARGSSPRVKFEYDQGWHLGGLEASQWGALLEEAWPAGQGGPATQTRFLATYSQAPAAPGSVKWSLWVAPRPKPGEAPDPNLLTVNELQIRVALLEVPETYDQPEQISVRAARSERHGASNTAVCMYTGEDSKEARAMLLSGGSVTHRGPQLALPKGDKWWSVTPEPHGWPPGCELTFQEGVVAVMDRPQIQFDERLVAVSLRAGRSVATGLRNSAECTYVGLDTSLAQGDHAHGRAPPQLLLLTGDPLLHHPDPPVMSLPQGNLLWRITPPPQGSAQCSMRAPGPGQFLQDQPHVLIQPPSDQAMGGMILLLLLPLSGRGGLLSDGGSGM
+>sp|Q03395|ROM1_HUMAN Rod outer segment membrane protein 1 OS=Homo sapiens OX=9606 GN=ROM1 PE=1 SV=2
+MAPVLPLVLPLQPRIRLAQGLWLLSWLLALAGGVILLCSGHLLVQLRHLGTFLAPSCQFPVLPQAALAAGAVALGTGLVGVGASRASLNAALYPPWRGVLGPLLVAGTAGGGGLLVVGLGLALALPGSLDEALEEGLVTALAHYKDTEVPGHCQAKRLVDELQLRYHCCGRHGYKDWFGVQWVSSRYLDPGDRDVADRIQSNVEGLYLTDGVPFSCCNPHSPRPCLQNRLSDSYAHPLFDPRQPNQNLWAQGCHEVLLEHLQDLAGTLGSMLAVTFLLQALVLLGLRYLQTALEGLGGVIDAGGETQGYLFPSGLKDMLKTAWLQGGVACRPAPEEAPPGEAPPKEDLSEA
+>DECOY_sp|Q03395|ROM1_HUMAN Rod outer segment membrane protein 1 OS=Homo sapiens OX=9606 GN=ROM1 PE=1 SV=2
+AESLDEKPPAEGPPAEEPAPRCAVGGQLWATKLMDKLGSPFLYGQTEGGADIVGGLGELATQLYRLGLLVLAQLLFTVALMSGLTGALDQLHELLVEHCGQAWLNQNPQRPDFLPHAYSDSLRNQLCPRPSHPNCCSFPVGDTLYLGEVNSQIRDAVDRDGPDLYRSSVWQVGFWDKYGHRGCCHYRLQLEDVLRKAQCHGPVETDKYHALATVLGEELAEDLSGPLALALGLGVVLLGGGGATGAVLLPGLVGRWPPYLAANLSARSAGVGVLGTGLAVAGAALAAQPLVPFQCSPALFTGLHRLQVLLHGSCLLIVGGALALLWSLLWLGQALRIRPQLPLVLPLVPAM
+>sp|Q04912|RON_HUMAN Macrophage-stimulating protein receptor OS=Homo sapiens OX=9606 GN=MST1R PE=1 SV=3
+MELLPPLPQSFLLLLLLPAKPAAGEDWQCPRTPYAASRDFDVKYVVPSFSAGGLVQAMVTYEGDRNESAVFVAIRNRLHVLGPDLKSVQSLATGPAGDPGCQTCAACGPGPHGPPGDTDTKVLVLDPALPALVSCGSSLQGRCFLHDLEPQGTAVHLAAPACLFSAHHNRPDDCPDCVASPLGTRVTVVEQGQASYFYVASSLDAAVAASFSPRSVSIRRLKADASGFAPGFVALSVLPKHLVSYSIEYVHSFHTGAFVYFLTVQPASVTDDPSALHTRLARLSATEPELGDYRELVLDCRFAPKRRRRGAPEGGQPYPVLRVAHSAPVGAQLATELSIAEGQEVLFGVFVTGKDGGPGVGPNSVVCAFPIDLLDTLIDEGVERCCESPVHPGLRRGLDFFQSPSFCPNPPGLEALSPNTSCRHFPLLVSSSFSRVDLFNGLLGPVQVTALYVTRLDNVTVAHMGTMDGRILQVELVRSLNYLLYVSNFSLGDSGQPVQRDVSRLGDHLLFASGDQVFQVPIQGPGCRHFLTCGRCLRAWHFMGCGWCGNMCGQQKECPGSWQQDHCPPKLTEFHPHSGPLRGSTRLTLCGSNFYLHPSGLVPEGTHQVTVGQSPCRPLPKDSSKLRPVPRKDFVEEFECELEPLGTQAVGPTNVSLTVTNMPPGKHFRVDGTSVLRGFSFMEPVLIAVQPLFGPRAGGTCLTLEGQSLSVGTSRAVLVNGTECLLARVSEGQLLCATPPGATVASVPLSLQVGGAQVPGSWTFQYREDPVVLSISPNCGYINSHITICGQHLTSAWHLVLSFHDGLRAVESRCERQLPEQQLCRLPEYVVRDPQGWVAGNLSARGDGAAGFTLPGFRFLPPPHPPSANLVPLKPEEHAIKFEYIGLGAVADCVGINVTVGGESCQHEFRGDMVVCPLPPSLQLGQDGAPLQVCVDGECHILGRVVRPGPDGVPQSTLLGILLPLLLLVAALATALVFSYWWRRKQLVLPPNLNDLASLDQTAGATPLPILYSGSDYRSGLALPAIDGLDSTTCVHGASFSDSEDESCVPLLRKESIQLRDLDSALLAEVKDVLIPHERVVTHSDRVIGKGHFGVVYHGEYIDQAQNRIQCAIKSLSRITEMQQVEAFLREGLLMRGLNHPNVLALIGIMLPPEGLPHVLLPYMCHGDLLQFIRSPQRNPTVKDLISFGLQVARGMEYLAEQKFVHRDLAARNCMLDESFTVKVADFGLARDILDREYYSVQQHRHARLPVKWMALESLQTYRFTTKSDVWSFGVLLWELLTRGAPPYRHIDPFDLTHFLAQGRRLPQPEYCPDSLYQVMQQCWEADPAVRPTFRVLVGEVEQIVSALLGDHYVQLPATYMNLGPSTSHEMNVRPEQPQFSPMPGNVRRPRPLSEPPRPT
+>DECOY_sp|Q04912|RON_HUMAN Macrophage-stimulating protein receptor OS=Homo sapiens OX=9606 GN=MST1R PE=1 SV=3
+TPRPPESLPRPRRVNGPMPSFQPQEPRVNMEHSTSPGLNMYTAPLQVYHDGLLASVIQEVEGVLVRFTPRVAPDAEWCQQMVQYLSDPCYEPQPLRRGQALFHTLDFPDIHRYPPAGRTLLEWLLVGFSWVDSKTTFRYTQLSELAMWKVPLRAHRHQQVSYYERDLIDRALGFDAVKVTFSEDLMCNRAALDRHVFKQEALYEMGRAVQLGFSILDKVTPNRQPSRIFQLLDGHCMYPLLVHPLGEPPLMIGILALVNPHNLGRMLLGERLFAEVQQMETIRSLSKIACQIRNQAQDIYEGHYVVGFHGKGIVRDSHTVVREHPILVDKVEALLASDLDRLQISEKRLLPVCSEDESDSFSAGHVCTTSDLGDIAPLALGSRYDSGSYLIPLPTAGATQDLSALDNLNPPLVLQKRRWWYSFVLATALAAVLLLLPLLIGLLTSQPVGDPGPRVVRGLIHCEGDVCVQLPAGDQGLQLSPPLPCVVMDGRFEHQCSEGGVTVNIGVCDAVAGLGIYEFKIAHEEPKLPVLNASPPHPPPLFRFGPLTFGAAGDGRASLNGAVWGQPDRVVYEPLRCLQQEPLQRECRSEVARLGDHFSLVLHWASTLHQGCITIHSNIYGCNPSISLVVPDERYQFTWSGPVQAGGVQLSLPVSAVTAGPPTACLLQGESVRALLCETGNVLVARSTGVSLSQGELTLCTGGARPGFLPQVAILVPEMFSFGRLVSTGDVRFHKGPPMNTVTLSVNTPGVAQTGLPELECEFEEVFDKRPVPRLKSSDKPLPRCPSQGVTVQHTGEPVLGSPHLYFNSGCLTLRTSGRLPGSHPHFETLKPPCHDQQWSGPCEKQQGCMNGCWGCGMFHWARLCRGCTLFHRCGPGQIPVQFVQDGSAFLLHDGLRSVDRQVPQGSDGLSFNSVYLLYNLSRVLEVQLIRGDMTGMHAVTVNDLRTVYLATVQVPGLLGNFLDVRSFSSSVLLPFHRCSTNPSLAELGPPNPCFSPSQFFDLGRRLGPHVPSECCREVGEDILTDLLDIPFACVVSNPGVGPGGDKGTVFVGFLVEQGEAISLETALQAGVPASHAVRLVPYPQGGEPAGRRRRKPAFRCDLVLERYDGLEPETASLRALRTHLASPDDTVSAPQVTLFYVFAGTHFSHVYEISYSVLHKPLVSLAVFGPAFGSADAKLRRISVSRPSFSAAVAADLSSAVYFYSAQGQEVVTVRTGLPSAVCDPCDDPRNHHASFLCAPAALHVATGQPELDHLFCRGQLSSGCSVLAPLAPDLVLVKTDTDGPPGHPGPGCAACTQCGPDGAPGTALSQVSKLDPGLVHLRNRIAVFVASENRDGEYTVMAQVLGGASFSPVVYKVDFDRSAAYPTRPCQWDEGAAPKAPLLLLLLFSQPLPPLLEM
+>sp|Q01973|ROR1_HUMAN Inactive tyrosine-protein kinase transmembrane receptor ROR1 OS=Homo sapiens OX=9606 GN=ROR1 PE=1 SV=2
+MHRPRRRGTRPPLLALLAALLLAARGAAAQETELSVSAELVPTSSWNISSELNKDSYLTLDEPMNNITTSLGQTAELHCKVSGNPPPTIRWFKNDAPVVQEPRRLSFRSTIYGSRLRIRNLDTTDTGYFQCVATNGKEVVSSTGVLFVKFGPPPTASPGYSDEYEEDGFCQPYRGIACARFIGNRTVYMESLHMQGEIENQITAAFTMIGTSSHLSDKCSQFAIPSLCHYAFPYCDETSSVPKPRDLCRDECEILENVLCQTEYIFARSNPMILMRLKLPNCEDLPQPESPEAANCIRIGIPMADPINKNHKCYNSTGVDYRGTVSVTKSGRQCQPWNSQYPHTHTFTALRFPELNGGHSYCRNPGNQKEAPWCFTLDENFKSDLCDIPACDSKDSKEKNKMEILYILVPSVAIPLAIALLFFFICVCRNNQKSSSAPVQRQPKHVRGQNVEMSMLNAYKPKSKAKELPLSAVRFMEELGECAFGKIYKGHLYLPGMDHAQLVAIKTLKDYNNPQQWTEFQQEASLMAELHHPNIVCLLGAVTQEQPVCMLFEYINQGDLHEFLIMRSPHSDVGCSSDEDGTVKSSLDHGDFLHIAIQIAAGMEYLSSHFFVHKDLAARNILIGEQLHVKISDLGLSREIYSADYYRVQSKSLLPIRWMPPEAIMYGKFSSDSDIWSFGVVLWEIFSFGLQPYYGFSNQEVIEMVRKRQLLPCSEDCPPRMYSLMTECWNEIPSRRPRFKDIHVRLRSWEGLSSHTSSTTPSGGNATTQTTSLSASPVSNLSNPRYPNYMFPSQGITPQGQIAGFIGPPIPQNQRFIPINGYPIPPGYAAFPAAHYQPTGPPRVIQHCPPPKSRSPSSASGSTSTGHVTSLPSSGSNQEANIPLLPHMSIPNHPGGMGITVFGNKSQKPYKIDSKQASLLGDANIHGHTESMISAEL
+>DECOY_sp|Q01973|ROR1_HUMAN Inactive tyrosine-protein kinase transmembrane receptor ROR1 OS=Homo sapiens OX=9606 GN=ROR1 PE=1 SV=2
+LEASIMSETHGHINADGLLSAQKSDIKYPKQSKNGFVTIGMGGPHNPISMHPLLPINAEQNSGSSPLSTVHGTSTSGSASSPSRSKPPPCHQIVRPPGTPQYHAAPFAAYGPPIPYGNIPIFRQNQPIPPGIFGAIQGQPTIGQSPFMYNPYRPNSLNSVPSASLSTTQTTANGGSPTTSSTHSSLGEWSRLRVHIDKFRPRRSPIENWCETMLSYMRPPCDESCPLLQRKRVMEIVEQNSFGYYPQLGFSFIEWLVVGFSWIDSDSSFKGYMIAEPPMWRIPLLSKSQVRYYDASYIERSLGLDSIKVHLQEGILINRAALDKHVFFHSSLYEMGAAIQIAIHLFDGHDLSSKVTGDEDSSCGVDSHPSRMILFEHLDGQNIYEFLMCVPQEQTVAGLLCVINPHHLEAMLSAEQQFETWQQPNNYDKLTKIAVLQAHDMGPLYLHGKYIKGFACEGLEEMFRVASLPLEKAKSKPKYANLMSMEVNQGRVHKPQRQVPASSSKQNNRCVCIFFFLLAIALPIAVSPVLIYLIEMKNKEKSDKSDCAPIDCLDSKFNEDLTFCWPAEKQNGPNRCYSHGGNLEPFRLATFTHTHPYQSNWPQCQRGSKTVSVTGRYDVGTSNYCKHNKNIPDAMPIGIRICNAAEPSEPQPLDECNPLKLRMLIMPNSRAFIYETQCLVNELIECEDRCLDRPKPVSSTEDCYPFAYHCLSPIAFQSCKDSLHSSTGIMTFAATIQNEIEGQMHLSEMYVTRNGIFRACAIGRYPQCFGDEEYEDSYGPSATPPPGFKVFLVGTSSVVEKGNTAVCQFYGTDTTDLNRIRLRSGYITSRFSLRRPEQVVPADNKFWRITPPPNGSVKCHLEATQGLSTTINNMPEDLTLYSDKNLESSINWSSTPVLEASVSLETEQAAAGRAALLLAALLALLPPRTGRRRPRHM
+>sp|P35398|RORA_HUMAN Nuclear receptor ROR-alpha OS=Homo sapiens OX=9606 GN=RORA PE=1 SV=2
+MESAPAAPDPAASEPGSSGADAAAGSRETPLNQESARKSEPPAPVRRQSYSSTSRGISVTKKTHTSQIEIIPCKICGDKSSGIHYGVITCEGCKGFFRRSQQSNATYSCPRQKNCLIDRTSRNRCQHCRLQKCLAVGMSRDAVKFGRMSKKQRDSLYAEVQKHRMQQQQRDHQQQPGEAEPLTPTYNISANGLTELHDDLSNYIDGHTPEGSKADSAVSSFYLDIQPSPDQSGLDINGIKPEPICDYTPASGFFPYCSFTNGETSPTVSMAELEHLAQNISKSHLETCQYLREELQQITWQTFLQEEIENYQNKQREVMWQLCAIKITEAIQYVVEFAKRIDGFMELCQNDQIVLLKAGSLEVVFIRMCRAFDSQNNTVYFDGKYASPDVFKSLGCEDFISFVFEFGKSLCSMHLTEDEIALFSAFVLMSADRSWLQEKVKIEKLQQKIQLALQHVLQKNHREDGILTKLICKVSTLRALCGRHTEKLMAFKAIYPDIVRLHFPPLYKELFTSEFEPAMQIDG
+>DECOY_sp|P35398|RORA_HUMAN Nuclear receptor ROR-alpha OS=Homo sapiens OX=9606 GN=RORA PE=1 SV=2
+GDIQMAPEFESTFLEKYLPPFHLRVIDPYIAKFAMLKETHRGCLARLTSVKCILKTLIGDERHNKQLVHQLALQIKQQLKEIKVKEQLWSRDASMLVFASFLAIEDETLHMSCLSKGFEFVFSIFDECGLSKFVDPSAYKGDFYVTNNQSDFARCMRIFVVELSGAKLLVIQDNQCLEMFGDIRKAFEVVYQIAETIKIACLQWMVERQKNQYNEIEEQLFTQWTIQQLEERLYQCTELHSKSINQALHELEAMSVTPSTEGNTFSCYPFFGSAPTYDCIPEPKIGNIDLGSQDPSPQIDLYFSSVASDAKSGEPTHGDIYNSLDDHLETLGNASINYTPTLPEAEGPQQQHDRQQQQMRHKQVEAYLSDRQKKSMRGFKVADRSMGVALCKQLRCHQCRNRSTRDILCNKQRPCSYTANSQQSRRFFGKCGECTIVGYHIGSSKDGCIKCPIIEIQSTHTKKTVSIGRSTSSYSQRRVPAPPESKRASEQNLPTERSGAAADAGSSGPESAAPDPAAPASEM
+>sp|P08922|ROS1_HUMAN Proto-oncogene tyrosine-protein kinase ROS OS=Homo sapiens OX=9606 GN=ROS1 PE=1 SV=3
+MKNIYCLIPKLVNFATLGCLWISVVQCTVLNSCLKSCVTNLGQQLDLGTPHNLSEPCIQGCHFWNSVDQKNCALKCRESCEVGCSSAEGAYEEEVLENADLPTAPFASSIGSHNMTLRWKSANFSGVKYIIQWKYAQLLGSWTYTKTVSRPSYVVKPLHPFTEYIFRVVWIFTAQLQLYSPPSPSYRTHPHGVPETAPLIRNIESSSPDTVEVSWDPPQFPGGPILGYNLRLISKNQKLDAGTQRTSFQFYSTLPNTIYRFSIAAVNEVGEGPEAESSITTSSSAVQQEEQWLFLSRKTSLRKRSLKHLVDEAHCLRLDAIYHNITGISVDVHQQIVYFSEGTLIWAKKAANMSDVSDLRIFYRGSGLISSISIDWLYQRMYFIMDELVCVCDLENCSNIEEITPPSISAPQKIVADSYNGYVFYLLRDGIYRADLPVPSGRCAEAVRIVESCTLKDFAIKPQAKRIIYFNDTAQVFMSTFLDGSASHLILPRIPFADVKSFACENNDFLVTDGKVIFQQDALSFNEFIVGCDLSHIEEFGFGNLVIFGSSSQLHPLPGRPQELSVLFGSHQALVQWKPPALAIGANVILISDIIELFELGPSAWQNWTYEVKVSTQDPPEVTHIFLNISGTMLNVPELQSAMKYKVSVRASSPKRPGPWSEPSVGTTLVPASEPPFIMAVKEDGLWSKPLNSFGPGEFLSSDIGNVSDMDWYNNSLYYSDTKGDVFVWLLNGTDISENYHLPSIAGAGALAFEWLGHFLYWAGKTYVIQRQSVLTGHTDIVTHVKLLVNDMVVDSVGGYLYWTTLYSVESTRLNGESSLVLQTQPWFSGKKVIALTLDLSDGLLYWLVQDSQCIHLYTAVLRGQSTGDTTITEFAAWSTSEISQNALMYYSGRLFWINGFRIITTQEIGQKTSVSVLEPARFNQFTIIQTSLKPLPGNFSFTPKVIPDSVQESSFRIEGNASSFQILWNGPPAVDWGVVFYSVEFSAHSKFLASEQHSLPVFTVEGLEPYALFNLSVTPYTYWGKGPKTSLSLRAPETVPSAPENPRIFILPSGKCCNKNEVVVEFRWNKPKHENGVLTKFEIFYNISNQSITNKTCEDWIAVNVTPSVMSFQLEGMSPRCFIAFQVRAFTSKGPGPYADVVKSTTSEINPFPHLITLLGNKIVFLDMDQNQVVWTFSAERVISAVCYTADNEMGYYAEGDSLFLLHLHNRSSSELFQDSLVFDITVITIDWISRHLYFALKESQNGMQVFDVDLEHKVKYPREVKIHNRNSTIISFSVYPLLSRLYWTEVSNFGYQMFYYSIISHTLHRILQPTATNQQNKRNQCSCNVTEFELSGAMAIDTSNLEKPLIYFAKAQEIWAMDLEGCQCWRVITVPAMLAGKTLVSLTVDGDLIYWIITAKDSTQIYQAKKGNGAIVSQVKALRSRHILAYSSVMQPFPDKAFLSLASDTVEPTILNATNTSLTIRLPLAKTNLTWYGITSPTPTYLVYYAEVNDRKNSSDLKYRILEFQDSIALIEDLQPFSTYMIQIAVKNYYSDPLEHLPPGKEIWGKTKNGVPEAVQLINTTVRSDTSLIISWRESHKPNGPKESVRYQLAISHLALIPETPLRQSEFPNGRLTLLVTRLSGGNIYVLKVLACHSEEMWCTESHPVTVEMFNTPEKPYSLVPENTSLQFNWKAPLNVNLIRFWVELQKWKYNEFYHVKTSCSQGPAYVCNITNLQPYTSYNVRVVVVYKTGENSTSLPESFKTKAGVPNKPGIPKLLEGSKNSIQWEKAEDNGCRITYYILEIRKSTSNNLQNQNLRWKMTFNGSCSSVCTWKSKNLKGIFQFRVVAANNLGFGEYSGISENIILVGDDFWIPETSFILTIIVGIFLVVTIPLTFVWHRRLKNQKSAKEGVTVLINEDKELAELRGLAAGVGLANACYAIHTLPTQEEIENLPAFPREKLTLRLLLGSGAFGEVYEGTAVDILGVGSGEIKVAVKTLKKGSTDQEKIEFLKEAHLMSKFNHPNILKQLGVCLLNEPQYIILELMEGGDLLTYLRKARMATFYGPLLTLVDLVDLCVDISKGCVYLERMHFIHRDLAARNCLVSVKDYTSPRIVKIGDFGLARDIYKNDYYRKRGEGLLPVRWMAPESLMDGIFTTQSDVWSFGILIWEILTLGHQPYPAHSNLDVLNYVQTGGRLEPPRNCPDDLWNLMTQCWAQEPDQRPTFHRIQDQLQLFRNFFLNSIYKSRDEANNSGVINESFEGEDGDVICLNSDDIMPVALMETKNREGLNYMVLATECGQGEEKSEGPLGSQESESCGLRKEEKEPHADKDFCQEKQVAYCPSGKPEGLNYACLTHSGYGDGSD
+>DECOY_sp|P08922|ROS1_HUMAN Proto-oncogene tyrosine-protein kinase ROS OS=Homo sapiens OX=9606 GN=ROS1 PE=1 SV=3
+DSGDGYGSHTLCAYNLGEPKGSPCYAVQKEQCFDKDAHPEKEEKRLGCSESEQSGLPGESKEEGQGCETALVMYNLGERNKTEMLAVPMIDDSNLCIVDGDEGEFSENIVGSNNAEDRSKYISNLFFNRFLQLQDQIRHFTPRQDPEQAWCQTMLNWLDDPCNRPPELRGGTQVYNLVDLNSHAPYPQHGLTLIEWILIGFSWVDSQTTFIGDMLSEPAMWRVPLLGEGRKRYYDNKYIDRALGFDGIKVIRPSTYDKVSVLCNRAALDRHIFHMRELYVCGKSIDVCLDVLDVLTLLPGYFTAMRAKRLYTLLDGGEMLELIIYQPENLLCVGLQKLINPHNFKSMLHAEKLFEIKEQDTSGKKLTKVAVKIEGSGVGLIDVATGEYVEGFAGSGLLLRLTLKERPFAPLNEIEEQTPLTHIAYCANALGVGAALGRLEALEKDENILVTVGEKASKQNKLRRHWVFTLPITVVLFIGVIITLIFSTEPIWFDDGVLIINESIGSYEGFGLNNAAVVRFQFIGKLNKSKWTCVSSCSGNFTMKWRLNQNQLNNSTSKRIELIYYTIRCGNDEAKEWQISNKSGELLKPIGPKNPVGAKTKFSEPLSTSNEGTKYVVVVRVNYSTYPQLNTINCVYAPGQSCSTKVHYFENYKWKQLEVWFRILNVNLPAKWNFQLSTNEPVLSYPKEPTNFMEVTVPHSETCWMEESHCALVKLVYINGGSLRTVLLTLRGNPFESQRLPTEPILALHSIALQYRVSEKPGNPKHSERWSIILSTDSRVTTNILQVAEPVGNKTKGWIEKGPPLHELPDSYYNKVAIQIMYTSFPQLDEILAISDQFELIRYKLDSSNKRDNVEAYYVLYTPTPSTIGYWTLNTKALPLRITLSTNTANLITPEVTDSALSLFAKDPFPQMVSSYALIHRSRLAKVQSVIAGNGKKAQYIQTSDKATIIWYILDGDVTLSVLTKGALMAPVTIVRWCQCGELDMAWIEQAKAFYILPKELNSTDIAMAGSLEFETVNCSCQNRKNQQNTATPQLIRHLTHSIISYYFMQYGFNSVETWYLRSLLPYVSFSIITSNRNHIKVERPYKVKHELDVDFVQMGNQSEKLAFYLHRSIWDITIVTIDFVLSDQFLESSSRNHLHLLFLSDGEAYYGMENDATYCVASIVREASFTWVVQNQDMDLFVIKNGLLTILHPFPNIESTTSKVVDAYPGPGKSTFARVQFAIFCRPSMGELQFSMVSPTVNVAIWDECTKNTISQNSINYFIEFKTLVGNEHKPKNWRFEVVVENKNCCKGSPLIFIRPNEPASPVTEPARLSLSTKPGKGWYTYPTVSLNFLAYPELGEVTFVPLSHQESALFKSHASFEVSYFVVGWDVAPPGNWLIQFSSANGEIRFSSEQVSDPIVKPTFSFNGPLPKLSTQIITFQNFRAPELVSVSTKQGIEQTTIIRFGNIWFLRGSYYMLANQSIESTSWAAFETITTDGTSQGRLVATYLHICQSDQVLWYLLGDSLDLTLAIVKKGSFWPQTQLVLSSEGNLRTSEVSYLTTWYLYGGVSDVVMDNVLLKVHTVIDTHGTLVSQRQIVYTKGAWYLFHGLWEFALAGAGAISPLHYNESIDTGNLLWVFVDGKTDSYYLSNNYWDMDSVNGIDSSLFEGPGFSNLPKSWLGDEKVAMIFPPESAPVLTTGVSPESWPGPRKPSSARVSVKYKMASQLEPVNLMTGSINLFIHTVEPPDQTSVKVEYTWNQWASPGLEFLEIIDSILIVNAGIALAPPKWQVLAQHSGFLVSLEQPRGPLPHLQSSSGFIVLNGFGFEEIHSLDCGVIFENFSLADQQFIVKGDTVLFDNNECAFSKVDAFPIRPLILHSASGDLFTSMFVQATDNFYIIRKAQPKIAFDKLTCSEVIRVAEACRGSPVPLDARYIGDRLLYFVYGNYSDAVIKQPASISPPTIEEINSCNELDCVCVLEDMIFYMRQYLWDISISSILGSGRYFIRLDSVDSMNAAKKAWILTGESFYVIQQHVDVSIGTINHYIADLRLCHAEDVLHKLSRKRLSTKRSLFLWQEEQQVASSSTTISSEAEPGEGVENVAAISFRYITNPLTSYFQFSTRQTGADLKQNKSILRLNYGLIPGGPFQPPDWSVEVTDPSSSEINRILPATEPVGHPHTRYSPSPPSYLQLQATFIWVVRFIYETFPHLPKVVYSPRSVTKTYTWSGLLQAYKWQIIYKVGSFNASKWRLTMNHSGISSAFPATPLDANELVEEEYAGEASSCGVECSERCKLACNKQDVSNWFHCGQICPESLNHPTGLDLQQGLNTVCSKLCSNLVTCQVVSIWLCGLTAFNVLKPILCYINKM
+>sp|Q9BUI4|RPC3_HUMAN DNA-directed RNA polymerase III subunit RPC3 OS=Homo sapiens OX=9606 GN=POLR3C PE=1 SV=1
+MTQAEIKLCSLLLQEHFGEIVEKIGVHLIRTGSQPLRVIAHDTGTSLDQVKKALCVLVQHNLVSYQVHKRGVVEYEAQCSRVLRMLRYPRYIYTTKTLYSDTGELIVEELLLNGKLTMSAVVKKVADRLTETMEDGKTMDYAEVSNTFVRLADTHFVQRCPSVPTTENSDPGPPPPAPTLVINEKDMYLVPKLSLIGKGKRRRSSDEDAAGEPKAKRPKYTTDNKEPIPDDGIYWQANLDRFHQHFRDQAIVSAVANRMDQTSSEIVRTMLRMSEITTSSSAPFTQPLSSNEIFRSLPVGYNISKQVLDQYLTLLADDPLEFVGKSGDSGGGMYVINLHKALASLATATLESVVQERFGSRCARIFRLVLQKKHIEQKQVEDFAMIPAKEAKDMLYKMLSENFMSLQEIPKTPDHAPSRTFYLYTVNILSAARMLLHRCYKSIANLIERRQFETKENKRLLEKSQRVEAIIASMQATGAEEAQLQEIEEMITAPERQQLETLKRNVNKLDASEIQVDETIFLLESYIECTMKRQ
+>DECOY_sp|Q9BUI4|RPC3_HUMAN DNA-directed RNA polymerase III subunit RPC3 OS=Homo sapiens OX=9606 GN=POLR3C PE=1 SV=1
+QRKMTCEIYSELLFITEDVQIESADLKNVNRKLTELQQREPATIMEEIEQLQAEEAGTAQMSAIIAEVRQSKELLRKNEKTEFQRREILNAISKYCRHLLMRAASLINVTYLYFTRSPAHDPTKPIEQLSMFNESLMKYLMDKAEKAPIMAFDEVQKQEIHKKQLVLRFIRACRSGFREQVVSELTATALSALAKHLNIVYMGGGSDGSKGVFELPDDALLTLYQDLVQKSINYGVPLSRFIENSSLPQTFPASSSTTIESMRLMTRVIESSTQDMRNAVASVIAQDRFHQHFRDLNAQWYIGDDPIPEKNDTTYKPRKAKPEGAADEDSSRRRKGKGILSLKPVLYMDKENIVLTPAPPPPGPDSNETTPVSPCRQVFHTDALRVFTNSVEAYDMTKGDEMTETLRDAVKKVVASMTLKGNLLLEEVILEGTDSYLTKTTYIYRPYRLMRLVRSCQAEYEVVGRKHVQYSVLNHQVLVCLAKKVQDLSTGTDHAIVRLPQSGTRILHVGIKEVIEGFHEQLLLSCLKIEAQTM
+>sp|P05423|RPC4_HUMAN DNA-directed RNA polymerase III subunit RPC4 OS=Homo sapiens OX=9606 GN=POLR3D PE=1 SV=2
+MSEGNAAGEPSTPGGPRPLLTGARGLIGRRPAPPLTPGRLPSIRSRDLTLGGVKKKTFTPNIISRKIKEEPKEEVTVKKEKRERDRDRQREGHGRGRGRPEVIQSHSIFEQGPAEMMKKKGNWDKTVDVSDMGPSHIINIKKEKRETDEETKQILRMLEKDDFLDDPGLRNDTRNMPVQLPLAHSGWLFKEENDEPDVKPWLAGPKEEDMEVDIPAVKVKEEPRDEEEEAKMKAPPKAARKTPGLPKDVSVAELLRELSLTKEEELLFLQLPDTLPGQPPTQDIKPIKTEVQGEDGQVVLIKQEKDREAKLAENACTLADLTEGQVGKLLIRKSGRVQLLLGKVTLDVTMGTACSFLQELVSVGLGDSRTGEMTVLGHVKHKLVCSPDFESLLDHKHR
+>DECOY_sp|P05423|RPC4_HUMAN DNA-directed RNA polymerase III subunit RPC4 OS=Homo sapiens OX=9606 GN=POLR3D PE=1 SV=2
+RHKHDLLSEFDPSCVLKHKVHGLVTMEGTRSDGLGVSVLEQLFSCATGMTVDLTVKGLLLQVRGSKRILLKGVQGETLDALTCANEALKAERDKEQKILVVQGDEGQVETKIPKIDQTPPQGPLTDPLQLFLLEEEKTLSLERLLEAVSVDKPLGPTKRAAKPPAKMKAEEEEDRPEEKVKVAPIDVEMDEEKPGALWPKVDPEDNEEKFLWGSHALPLQVPMNRTDNRLGPDDLFDDKELMRLIQKTEEDTERKEKKINIIHSPGMDSVDVTKDWNGKKKMMEAPGQEFISHSQIVEPRGRGRGHGERQRDRDRERKEKKVTVEEKPEEKIKRSIINPTFTKKKVGGLTLDRSRISPLRGPTLPPAPRRGILGRAGTLLPRPGGPTSPEGAANGESM
+>sp|Q9H1D9|RPC6_HUMAN DNA-directed RNA polymerase III subunit RPC6 OS=Homo sapiens OX=9606 GN=POLR3F PE=1 SV=1
+MAEVKVKVQPPDADPVEIENRIIELCHQFPHGITDQVIQNEMPHIEAQQRAVAINRLLSMGQLDLLRSNTGLLYRIKDSQNAGKMKGSDNQEKLVYQIIEDAGNKGIWSRDIRYKSNLPLTEINKILKNLESKKLIKAVKSVAASKKKVYMLYNLQPDRSVTGGAWYSDQDFESEFVEVLNQQCFKFLQSKAETARESKQNPMIQRNSSFASSHEVWKYICELGISKVELSMEDIETILNTLIYDGKVEMTIIAAKEGTVGSVDGHMKLYRAVNPIIPPTGLVRAPCGLCPVFDDCHEGGEISPSNCIYMTEWLEF
+>DECOY_sp|Q9H1D9|RPC6_HUMAN DNA-directed RNA polymerase III subunit RPC6 OS=Homo sapiens OX=9606 GN=POLR3F PE=1 SV=1
+FELWETMYICNSPSIEGGEHCDDFVPCLGCPARVLGTPPIIPNVARYLKMHGDVSGVTGEKAAIITMEVKGDYILTNLITEIDEMSLEVKSIGLECIYKWVEHSSAFSSNRQIMPNQKSERATEAKSQLFKFCQQNLVEVFESEFDQDSYWAGGTVSRDPQLNYLMYVKKKSAAVSKVAKILKKSELNKLIKNIETLPLNSKYRIDRSWIGKNGADEIIQYVLKEQNDSGKMKGANQSDKIRYLLGTNSRLLDLQGMSLLRNIAVARQQAEIHPMENQIVQDTIGHPFQHCLEIIRNEIEVPDADPPQVKVKVEAM
+>sp|O15318|RPC7_HUMAN DNA-directed RNA polymerase III subunit RPC7 OS=Homo sapiens OX=9606 GN=POLR3G PE=1 SV=2
+MAGNKGRGRAAYTFNIEAVGFSKGEKLPDVVLKPPPLFPDTDYKPVPLKTGEGEEYMLALKQELRETMKRMPYFIETPEERQDIERYSKRYMKVYKEEWIPDWRRLPREMMPRNKCKKAGPKPKKAKDAGKGTPLTNTEDVLKKMEELEKRGDGEKSDEENEEKEGSKEKSKEGDDDDDDDAAEQEEYDEEEQEEENDYINSYFEDGDDFGADSDDNMDEATY
+>DECOY_sp|O15318|RPC7_HUMAN DNA-directed RNA polymerase III subunit RPC7 OS=Homo sapiens OX=9606 GN=POLR3G PE=1 SV=2
+YTAEDMNDDSDAGFDDGDEFYSNIYDNEEEQEEEDYEEQEAADDDDDDDGEKSKEKSGEKEENEEDSKEGDGRKELEEMKKLVDETNTLPTGKGADKAKKPKPGAKKCKNRPMMERPLRRWDPIWEEKYVKMYRKSYREIDQREEPTEIFYPMRKMTERLEQKLALMYEEGEGTKLPVPKYDTDPFLPPPKLVVDPLKEGKSFGVAEINFTYAARGRGKNGAM
+>sp|Q9H7B2|RPF2_HUMAN Ribosome production factor 2 homolog OS=Homo sapiens OX=9606 GN=RPF2 PE=1 SV=2
+MDTLDRVVKPKTKRAKRFLEKREPKLNENIKNAMLIKGGNANATVTKVLKDVYALKKPYGVLYKKKNITRPFEDQTSLEFFSKKSDCSLFMFGSHNKKRPNNLVIGRMYDYHVLDMIELGIENFVSLKDIKNSKCPEGTKPMLIFAGDDFDVTEDYRRLKSLLIDFFRGPTVSNIRLAGLEYVLHFTALNGKIYFRSYKLLLKKSGCRTPRIELEEMGPSLDLVLRRTHLASDDLYKLSMKMPKALKPKKKKNISHDTFGTTYGRIHMQKQDLSKLQTRKMKGLKKRPAERITEDHEKKSKRIKKN
+>DECOY_sp|Q9H7B2|RPF2_HUMAN Ribosome production factor 2 homolog OS=Homo sapiens OX=9606 GN=RPF2 PE=1 SV=2
+NKKIRKSKKEHDETIREAPRKKLGKMKRTQLKSLDQKQMHIRGYTTGFTDHSINKKKKPKLAKPMKMSLKYLDDSALHTRRLVLDLSPGMEELEIRPTRCGSKKLLLKYSRFYIKGNLATFHLVYELGALRINSVTPGRFFDILLSKLRRYDETVDFDDGAFILMPKTGEPCKSNKIDKLSVFNEIGLEIMDLVHYDYMRGIVLNNPRKKNHSGFMFLSCDSKKSFFELSTQDEFPRTINKKKYLVGYPKKLAYVDKLVKTVTANANGGKILMANKINENLKPERKELFRKARKTKPKVVRDLTDM
+>sp|Q8WZA2|RPGF4_HUMAN Rap guanine nucleotide exchange factor 4 OS=Homo sapiens OX=9606 GN=RAPGEF4 PE=1 SV=1
+MVAAHAAHSSSSAEWIACLDKRPLERSSEDVDIIFTRLKEVKAFEKFHPNLLHQICLCGYYENLEKGITLFRQGDIGTNWYAVLAGSLDVKVSETSSHQDAVTICTLGIGTAFGESILDNTPRHATIVTRESSELLRIEQKDFKALWEKYRQYMAGLLAPPYGVMETGSNNDRIPDKENTPLIEPHVPLRPANTITKVPSEKILRAGKILRNAILSRAPHMIRDRKYHLKTYRQCCVGTELVDWMMQQTPCVHSRTQAVGMWQVLLEDGVLNHVDQEHHFQDKYLFYRFLDDEHEDAPLPTEEEKKECDEELQDTMLLLSQMGPDAHMRMILRKPPGQRTVDDLEIIYEELLHIKALSHLSTTVKRELAGVLIFESHAKGGTVLFNQGEEGTSWYIILKGSVNVVIYGKGVVCTLHEGDDFGKLALVNDAPRAASIVLREDNCHFLRVDKEDFNRILRDVEANTVRLKEHDQDVLVLEKVPAGNRASNQGNSQPQQKYTVMSGTPEKILEHFLETIRLEATLNEATDSVLNDFIMMHCVFMPNTQLCPALVAHYHAQPSQGTEQEKMDYALNNKRRVIRLVLQWAAMYGDLLQEDDVSMAFLEEFYVSVSDDARMIAALKEQLPELEKIVKQISEDAKAPQKKHKVLLQQFNTGDERAQKRQPIRGSDEVLFKVYCMDHTYTTIRVPVATSVKEVISAVADKLGSGEGLIIVKMSSGGEKVVLKPNDVSVFTTLTINGRLFACPREQFDSLTPLPEQEGPTVGTVGTFELMSSKDLAYQMTIYDWELFNCVHELELIYHTFGRHNFKKTTANLDLFLRRFNEIQFWVVTEICLCSQLSKRVQLLKKFIKIAAHCKEYKNLNSFFAIVMGLSNVAVSRLALTWEKLPSKFKKFYAEFESLMDPSRNHRAYRLTVAKLEPPLIPFMPLLIKDMTFTHEGNKTFIDNLVNFEKMRMIANTARTVRYYRSQPFNPDAAQANKNHQDVRSYVRQLNVIDNQRTLSQMSHRLEPRRP
+>DECOY_sp|Q8WZA2|RPGF4_HUMAN Rap guanine nucleotide exchange factor 4 OS=Homo sapiens OX=9606 GN=RAPGEF4 PE=1 SV=1
+PRRPELRHSMQSLTRQNDIVNLQRVYSRVDQHNKNAQAADPNFPQSRYYRVTRATNAIMRMKEFNVLNDIFTKNGEHTFTMDKILLPMFPILPPELKAVTLRYARHNRSPDMLSEFEAYFKKFKSPLKEWTLALRSVAVNSLGMVIAFFSNLNKYEKCHAAIKIFKKLLQVRKSLQSCLCIETVVWFQIENFRRLFLDLNATTKKFNHRGFTHYILELEHVCNFLEWDYITMQYALDKSSMLEFTGVTGVTPGEQEPLPTLSDFQERPCAFLRGNITLTTFVSVDNPKLVVKEGGSSMKVIILGEGSGLKDAVASIVEKVSTAVPVRITTYTHDMCYVKFLVEDSGRIPQRKQAREDGTNFQQLLVKHKKQPAKADESIQKVIKELEPLQEKLAAIMRADDSVSVYFEELFAMSVDDEQLLDGYMAAWQLVLRIVRRKNNLAYDMKEQETGQSPQAHYHAVLAPCLQTNPMFVCHMMIFDNLVSDTAENLTAELRITELFHELIKEPTGSMVTYKQQPQSNGQNSARNGAPVKELVLVDQDHEKLRVTNAEVDRLIRNFDEKDVRLFHCNDERLVISAARPADNVLALKGFDDGEHLTCVVGKGYIVVNVSGKLIIYWSTGEEGQNFLVTGGKAHSEFILVGALERKVTTSLHSLAKIHLLEEYIIELDDVTRQGPPKRLIMRMHADPGMQSLLLMTDQLEEDCEKKEEETPLPADEHEDDLFRYFLYKDQFHHEQDVHNLVGDELLVQWMGVAQTRSHVCPTQQMMWDVLETGVCCQRYTKLHYKRDRIMHPARSLIANRLIKGARLIKESPVKTITNAPRLPVHPEILPTNEKDPIRDNNSGTEMVGYPPALLGAMYQRYKEWLAKFDKQEIRLLESSERTVITAHRPTNDLISEGFATGIGLTCITVADQHSSTESVKVDLSGALVAYWNTGIDGQRFLTIGKELNEYYGCLCIQHLLNPHFKEFAKVEKLRTFIIDVDESSRELPRKDLCAIWEASSSSHAAHAAVM
+>sp|Q92565|RPGF5_HUMAN Rap guanine nucleotide exchange factor 5 OS=Homo sapiens OX=9606 GN=RAPGEF5 PE=1 SV=1
+MGSSRLRVFDPHLERKDSAAALSDRELPLPTFDVPYFKYIDEEDEDDEWSSRSQSSTEDDSVDSLLSDRYVVVSGTPEKILEHLLNDLHLEEVQDKETETLLDDFLLTYTVFMTTDDLCQALLRHYSAKKYQGKEENSDVPRRKRKVLHLVSQWIALYKDWLPEDEHSKMFLKTIYRNVLDDVYEYPILEKELKEFQKILGMHRRHTVDEYSPQKKNKALFHQFSLKENWLQHRGTVTETEEIFCHVYITEHSYVSVKAKVSSIAQEILKVVAEKIQYAEEDLALVAITFSGEKHELQPNDLVISKSLEASGRIYVYRKDLADTLNPFAENEESQQRSMRILGMNTWDLALELMNFDWSLFNSIHEQELIYFTFSRQGSGEHTANLSLLLQRCNEVQLWVATEILLCSQLGKRVQLVKKFIKIAAHCKAQRNLNSFFAIVMGLNTASVSRLSQTWEKIPGKFKKLFSELESLTDPSLNHKAYRDAFKKMKPPKIPFMPLLLKDVTFIHEGNKTFLDNLVNFEKLHMIADTVRTLRHCRTNQFGDLSPKEHQELKSYVNHLYVIDSQQALFELSHRIEPRV
+>DECOY_sp|Q92565|RPGF5_HUMAN Rap guanine nucleotide exchange factor 5 OS=Homo sapiens OX=9606 GN=RAPGEF5 PE=1 SV=1
+VRPEIRHSLEFLAQQSDIVYLHNVYSKLEQHEKPSLDGFQNTRCHRLTRVTDAIMHLKEFNVLNDLFTKNGEHIFTVDKLLLPMFPIKPPKMKKFADRYAKHNLSPDTLSELESFLKKFKGPIKEWTQSLRSVSATNLGMVIAFFSNLNRQAKCHAAIKIFKKVLQVRKGLQSCLLIETAVWLQVENCRQLLLSLNATHEGSGQRSFTFYILEQEHISNFLSWDFNMLELALDWTNMGLIRMSRQQSEENEAFPNLTDALDKRYVYIRGSAELSKSIVLDNPQLEHKEGSFTIAVLALDEEAYQIKEAVVKLIEQAISSVKAKVSVYSHETIYVHCFIEETETVTGRHQLWNEKLSFQHFLAKNKKQPSYEDVTHRRHMGLIKQFEKLEKELIPYEYVDDLVNRYITKLFMKSHEDEPLWDKYLAIWQSVLHLVKRKRRPVDSNEEKGQYKKASYHRLLAQCLDDTTMFVTYTLLFDDLLTETEKDQVEELHLDNLLHELIKEPTGSVVVYRDSLLSDVSDDETSSQSRSSWEDDEDEEDIYKFYPVDFTPLPLERDSLAAASDKRELHPDFVRLRSSGM
+>sp|Q92834|RPGR_HUMAN X-linked retinitis pigmentosa GTPase regulator OS=Homo sapiens OX=9606 GN=RPGR PE=1 SV=2
+MREPEELMPDSGAVFTFGKSKFAENNPGKFWFKNDVPVHLSCGDEHSAVVTGNNKLYMFGSNNWGQLGLGSKSAISKPTCVKALKPEKVKLAACGRNHTLVSTEGGNVYATGGNNEGQLGLGDTEERNTFHVISFFTSEHKIKQLSAGSNTSAALTEDGRLFMWGDNSEGQIGLKNVSNVCVPQQVTIGKPVSWISCGYYHSAFVTTDGELYVFGEPENGKLGLPNQLLGNHRTPQLVSEIPEKVIQVACGGEHTVVLTENAVYTFGLGQFGQLGLGTFLFETSEPKVIENIRDQTISYISCGENHTALITDIGLMYTFGDGRHGKLGLGLENFTNHFIPTLCSNFLRFIVKLVACGGCHMVVFAAPHRGVAKEIEFDEINDTCLSVATFLPYSSLTSGNVLQRTLSARMRRRERERSPDSFSMRRTLPPIEGTLGLSACFLPNSVFPRCSERNLQESVLSEQDLMQPEEPDYLLDEMTKEAEIDNSSTVESLGETTDILNMTHIMSLNSNEKSLKLSPVQKQKKQQTIGELTQDTALTENDDSDEYEEMSEMKEGKACKQHVSQGIFMTQPATTIEAFSDEEVGNDTGQVGPQADTDGEGLQKEVYRHENNNGVDQLDAKEIEKESDGGHSQKESEAEEIDSEKETKLAEIAGMKDLREREKSTKKMSPFFGNLPDRGMNTESEENKDFVKKRESCKQDVIFDSERESVEKPDSYMEGASESQQGIADGFQQPEAIEFSSGEKEDDEVETDQNIRYGRKLIEQGNEKETKPIISKSMAKYDFKCDRLSEIPEEKEGAEDSKGNGIEEQEVEANEENVKVHGGRKEKTEILSDDLTDKAEDHEFSKTEELKLEDVDEEINAENVESKKKTVGDDESVPTGYHSKTEGAERTNDDSSAETIEKKEKANLEERAICEYNENPKGYMLDDADSSSLEILENSETTPSKDMKKTKKIFLFKRVPSINQKIVKNNNEPLPEIKSIGDQIILKSDNKDADQNHMSQNHQNIPPTNTERRSKSCTIL
+>DECOY_sp|Q92834|RPGR_HUMAN X-linked retinitis pigmentosa GTPase regulator OS=Homo sapiens OX=9606 GN=RPGR PE=1 SV=2
+LITCSKSRRETNTPPINQHNQSMHNQDADKNDSKLIIQDGISKIEPLPENNNKVIKQNISPVRKFLFIKKTKKMDKSPTTESNELIELSSSDADDLMYGKPNENYECIAREELNAKEKKEITEASSDDNTREAGETKSHYGTPVSEDDGVTKKKSEVNEANIEEDVDELKLEETKSFEHDEAKDTLDDSLIETKEKRGGHVKVNEENAEVEQEEIGNGKSDEAGEKEEPIESLRDCKFDYKAMSKSIIPKTEKENGQEILKRGYRINQDTEVEDDEKEGSSFEIAEPQQFGDAIGQQSESAGEMYSDPKEVSERESDFIVDQKCSERKKVFDKNEESETNMGRDPLNGFFPSMKKTSKERERLDKMGAIEALKTEKESDIEEAESEKQSHGGDSEKEIEKADLQDVGNNNEHRYVEKQLGEGDTDAQPGVQGTDNGVEEDSFAEITTAPQTMFIGQSVHQKCAKGEKMESMEEYEDSDDNETLATDQTLEGITQQKKQKQVPSLKLSKENSNLSMIHTMNLIDTTEGLSEVTSSNDIEAEKTMEDLLYDPEEPQMLDQESLVSEQLNRESCRPFVSNPLFCASLGLTGEIPPLTRRMSFSDPSRERERRRMRASLTRQLVNGSTLSSYPLFTAVSLCTDNIEDFEIEKAVGRHPAAFVVMHCGGCAVLKVIFRLFNSCLTPIFHNTFNELGLGLKGHRGDGFTYMLGIDTILATHNEGCSIYSITQDRINEIVKPESTEFLFTGLGLQGFQGLGFTYVANETLVVTHEGGCAVQIVKEPIESVLQPTRHNGLLQNPLGLKGNEPEGFVYLEGDTTVFASHYYGCSIWSVPKGITVQQPVCVNSVNKLGIQGESNDGWMFLRGDETLAASTNSGASLQKIKHESTFFSIVHFTNREETDGLGLQGENNGGTAYVNGGETSVLTHNRGCAALKVKEPKLAKVCTPKSIASKSGLGLQGWNNSGFMYLKNNGTVVASHEDGCSLHVPVDNKFWFKGPNNEAFKSKGFTFVAGSDPMLEEPERM
+>sp|P04844|RPN2_HUMAN Dolichyl-diphosphooligosaccharide--protein glycosyltransferase subunit 2 OS=Homo sapiens OX=9606 GN=RPN2 PE=1 SV=3
+MAPPGSSTVFLLALTIIASTWALTPTHYLTKHDVERLKASLDRPFTNLESAFYSIVGLSSLGAQVPDAKKACTYIRSNLDPSNVDSLFYAAQASQALSGCEISISNETKDLLLAAVSEDSSVTQIYHAVAALSGFGLPLASQEALSALTARLSKEETVLATVQALQTASHLSQQADLRSIVEEIEDLVARLDELGGVYLQFEEGLETTALFVAATYKLMDHVGTEPSIKEDQVIQLMNAIFSKKNFESLSEAFSVASAAAVLSHNRYHVPVVVVPEGSASDTHEQAILRLQVTNVLSQPLTQATVKLEHAKSVASRATVLQKTSFTPVGDVFELNFMNVKFSSGYYDFLVEVEGDNRYIANTVELRVKISTEVGITNVDLSTVDKDQSIAPKTTRVTYPAKAKGTFIADSHQNFALFFQLVDVNTGAELTPHQTFVRLHNQKTGQEVVFVAEPDNKNVYKFELDTSERKIEFDSASGTYTLYLIIGDATLKNPILWNVADVVIKFPEEEAPSTVLSQNLFTPKQEIQHLFREPEKRPPTVVSNTFTALILSPLLLLFALWIRIGANVSNFTFAPSTIIFHLGHAAMLGLMYVYWTQLNMFQTLKYLAILGSVTFLAGNRMLAQQAVKRTAH
+>DECOY_sp|P04844|RPN2_HUMAN Dolichyl-diphosphooligosaccharide--protein glycosyltransferase subunit 2 OS=Homo sapiens OX=9606 GN=RPN2 PE=1 SV=3
+HATRKVAQQALMRNGALFTVSGLIALYKLTQFMNLQTWYVYMLGLMAAHGLHFIITSPAFTFNSVNAGIRIWLAFLLLLPSLILATFTNSVVTPPRKEPERFLHQIEQKPTFLNQSLVTSPAEEEPFKIVVDAVNWLIPNKLTADGIILYLTYTGSASDFEIKRESTDLEFKYVNKNDPEAVFVVEQGTKQNHLRVFTQHPTLEAGTNVDVLQFFLAFNQHSDAIFTGKAKAPYTVRTTKPAISQDKDVTSLDVNTIGVETSIKVRLEVTNAIYRNDGEVEVLFDYYGSSFKVNMFNLEFVDGVPTFSTKQLVTARSAVSKAHELKVTAQTLPQSLVNTVQLRLIAQEHTDSASGEPVVVVPVHYRNHSLVAAASAVSFAESLSEFNKKSFIANMLQIVQDEKISPETGVHDMLKYTAAVFLATTELGEEFQLYVGGLEDLRAVLDEIEEVISRLDAQQSLHSATQLAQVTALVTEEKSLRATLASLAEQSALPLGFGSLAAVAHYIQTVSSDESVAALLLDKTENSISIECGSLAQSAQAAYFLSDVNSPDLNSRIYTCAKKADPVQAGLSSLGVISYFASELNTFPRDLSAKLREVDHKTLYHTPTLAWTSAIITLALLFVTSSGPPAM
+>sp|O00411|RPOM_HUMAN DNA-directed RNA polymerase, mitochondrial OS=Homo sapiens OX=9606 GN=POLRMT PE=1 SV=2
+MSALCWGRGAAGLKRALRPCGRPGLPGKEGTAGGVCGPRRSSSASPQEQDQDRRKDWGHVELLEVLQARVRQLQAESVSEVVVNRVDVARLPECGSGDGSLQPPRKVQMGAKDATPVPCGRWAKILEKDKRTQQMRMQRLKAKLQMPFQSGEFKALTRRLQVEPRLLSKQMAGCLEDCTRQAPESPWEEQLARLLQEAPGKLSLDVEQAPSGQHSQAQLSGQQQRLLAFFKCCLLTDQLPLAHHLLVVHHGQRQKRKLLTLDMYNAVMLGWARQGAFKELVYVLFMVKDAGLTPDLLSYAAALQCMGRQDQDAGTIERCLEQMSQEGLKLQALFTAVLLSEEDRATVLKAVHKVKPTFSLPPQLPPPVNTSKLLRDVYAKDGRVSYPKLHLPLKTLQCLFEKQLHMELASRVCVVSVEKPTLPSKEVKHARKTLKTLRDQWEKALCRALRETKNRLEREVYEGRFSLYPFLCLLDEREVVRMLLQVLQALPAQGESFTTLARELSARTFSRHVVQRQRVSGQVQALQNHYRKYLCLLASDAEVPEPCLPRQYWEELGAPEALREQPWPLPVQMELGKLLAEMLVQATQMPCSLDKPHRSSRLVPVLYHVYSFRNVQQIGILKPHPAYVQLLEKAAEPTLTFEAVDVPMLCPPLPWTSPHSGAFLLSPTKLMRTVEGATQHQELLETCPPTALHGALDALTQLGNCAWRVNGRVLDLVLQLFQAKGCPQLGVPAPPSEAPQPPEAHLPHSAAPARKAELRRELAHCQKVAREMHSLRAEALYRLSLAQHLRDRVFWLPHNMDFRGRTYPCPPHFNHLGSDVARALLEFAQGRPLGPHGLDWLKIHLVNLTGLKKREPLRKRLAFAEEVMDDILDSADQPLTGRKWWMGAEEPWQTLACCMEVANAVRASDPAAYVSHLPVHQDGSCNGLQHYAALGRDSVGAASVNLEPSDVPQDVYSGVAAQVEVFRRQDAQRGMRVAQVLEGFITRKVVKQTVMTVVYGVTRYGGRLQIEKRLRELSDFPQEFVWEASHYLVRQVFKSLQEMFSGTRAIQHWLTESARLISHMGSVVEWVTPLGVPVIQPYRLDSKVKQIGGGIQSITYTHNGDISRKPNTRKQKNGFPPNFIHSLDSSHMMLTALHCYRKGLTFVSVHDCYWTHAADVSVMNQVCREQFVRLHSEPILQDLSRFLVKRFCSEPQKILEASQLKETLQAVPKPGAFDLEQVKRSTYFFS
+>DECOY_sp|O00411|RPOM_HUMAN DNA-directed RNA polymerase, mitochondrial OS=Homo sapiens OX=9606 GN=POLRMT PE=1 SV=2
+SFFYTSRKVQELDFAGPKPVAQLTEKLQSAELIKQPESCFRKVLFRSLDQLIPESHLRVFQERCVQNMVSVDAAHTWYCDHVSVFTLGKRYCHLATLMMHSSDLSHIFNPPFGNKQKRTNPKRSIDGNHTYTISQIGGGIQKVKSDLRYPQIVPVGLPTVWEVVSGMHSILRASETLWHQIARTGSFMEQLSKFVQRVLYHSAEWVFEQPFDSLERLRKEIQLRGGYRTVGYVVTMVTQKVVKRTIFGELVQAVRMGRQADQRRFVEVQAAVGSYVDQPVDSPELNVSAAGVSDRGLAAYHQLGNCSGDQHVPLHSVYAAPDSARVANAVEMCCALTQWPEEAGMWWKRGTLPQDASDLIDDMVEEAFALRKRLPERKKLGTLNVLHIKLWDLGHPGLPRGQAFELLARAVDSGLHNFHPPCPYTRGRFDMNHPLWFVRDRLHQALSLRYLAEARLSHMERAVKQCHALERRLEAKRAPAASHPLHAEPPQPAESPPAPVGLQPCGKAQFLQLVLDLVRGNVRWACNGLQTLADLAGHLATPPCTELLEQHQTAGEVTRMLKTPSLLFAGSHPSTWPLPPCLMPVDVAEFTLTPEAAKELLQVYAPHPKLIGIQQVNRFSYVHYLVPVLRSSRHPKDLSCPMQTAQVLMEALLKGLEMQVPLPWPQERLAEPAGLEEWYQRPLCPEPVEADSALLCLYKRYHNQLAQVQGSVRQRQVVHRSFTRASLERALTTFSEGQAPLAQLVQLLMRVVEREDLLCLFPYLSFRGEYVERELRNKTERLARCLAKEWQDRLTKLTKRAHKVEKSPLTPKEVSVVCVRSALEMHLQKEFLCQLTKLPLHLKPYSVRGDKAYVDRLLKSTNVPPPLQPPLSFTPKVKHVAKLVTARDEESLLVATFLAQLKLGEQSMQELCREITGADQDQRGMCQLAAAYSLLDPTLGADKVMFLVYVLEKFAGQRAWGLMVANYMDLTLLKRKQRQGHHVVLLHHALPLQDTLLCCKFFALLRQQQGSLQAQSHQGSPAQEVDLSLKGPAEQLLRALQEEWPSEPAQRTCDELCGAMQKSLLRPEVQLRRTLAKFEGSQFPMQLKAKLRQMRMQQTRKDKELIKAWRGCPVPTADKAGMQVKRPPQLSGDGSGCEPLRAVDVRNVVVESVSEAQLQRVRAQLVELLEVHGWDKRRDQDQEQPSASSSRRPGCVGGATGEKGPLGPRGCPRLARKLGAAGRGWCLASM
+>sp|P78346|RPP30_HUMAN Ribonuclease P protein subunit p30 OS=Homo sapiens OX=9606 GN=RPP30 PE=1 SV=1
+MAVFADLDLRAGSDLKALRGLVETAAHLGYSVVAINHIVDFKEKKQEIEKPVAVSELFTTLPIVQGKSRPIKILTRLTIIVSDPSHCNVLRATSSRARLYDVVAVFPKTEKLFHIACTHLDVDLVCITVTEKLPFYFKRPPINVAIDRGLAFELVYSPAIKDSTMRRYTISSALNLMQICKGKNVIISSAAERPLEIRGPYDVANLGLLFGLSESDAKAAVSTNCRAALLHGETRKTAFGIISTVKKPRPSEGDEDCLPASKKAKCEG
+>DECOY_sp|P78346|RPP30_HUMAN Ribonuclease P protein subunit p30 OS=Homo sapiens OX=9606 GN=RPP30 PE=1 SV=1
+GECKAKKSAPLCDEDGESPRPKKVTSIIGFATKRTEGHLLAARCNTSVAAKADSESLGFLLGLNAVDYPGRIELPREAASSIIVNKGKCIQMLNLASSITYRRMTSDKIAPSYVLEFALGRDIAVNIPPRKFYFPLKETVTICVLDVDLHTCAIHFLKETKPFVAVVDYLRARSSTARLVNCHSPDSVIITLRTLIKIPRSKGQVIPLTTFLESVAVPKEIEQKKEKFDVIHNIAVVSYGLHAATEVLGRLAKLDSGARLDLDAFVAM
+>sp|Q96P16|RPR1A_HUMAN Regulation of nuclear pre-mRNA domain-containing protein 1A OS=Homo sapiens OX=9606 GN=RPRD1A PE=1 SV=1
+MSAFSEAALEKKLSELSNSQQSVQTLSLWLIHHRKHSRPIVTVWERELRKAKPNRKLTFLYLANDVIQNSKRKGPEFTKDFAPVIVEAFKHVSSETDESCKKHLGRVLSIWEERSVYENDVLEQLKQALYGDKKPRKRTYEQIKVDENENCSSLGSPSEPPQTLDLVRALQDLENAASGDAAVHQRIASLPVEVQEVSLLDKITDKESGERLSKMVEDACMLLADYNGRLAAEIDDRKQLTRMLADFLRCQKEALAEKEHKLEEYKRKLARVSLVRKELRSRIQSLPDLSRLPNVTGSHMHLPFAGDIYSED
+>DECOY_sp|Q96P16|RPR1A_HUMAN Regulation of nuclear pre-mRNA domain-containing protein 1A OS=Homo sapiens OX=9606 GN=RPRD1A PE=1 SV=1
+DESYIDGAFPLHMHSGTVNPLRSLDPLSQIRSRLEKRVLSVRALKRKYEELKHEKEALAEKQCRLFDALMRTLQKRDDIEAALRGNYDALLMCADEVMKSLREGSEKDTIKDLLSVEQVEVPLSAIRQHVAADGSAANELDQLARVLDLTQPPESPSGLSSCNENEDVKIQEYTRKRPKKDGYLAQKLQELVDNEYVSREEWISLVRGLHKKCSEDTESSVHKFAEVIVPAFDKTFEPGKRKSNQIVDNALYLFTLKRNPKAKRLEREWVTVIPRSHKRHHILWLSLTQVSQQSNSLESLKKELAAESFASM
+>sp|Q6XPR3|RPTN_HUMAN Repetin OS=Homo sapiens OX=9606 GN=RPTN PE=1 SV=1
+MAQLLNSILSVIDVFHKYAKGNGDCALLCKEELKQLLLAEFGDILQRPNDPETVETILNLLDQDRDGHIDFHEYLLLVFQLVQACYHKLDNKSHGGRTSQQERGQEGAQDCKFPGNTGRQHRQRHEEERQNSHHSQPERQDGDSHHGQPERQDRDSHHGQSEKQDRDSHHSQPERQDRDSHHNQSERQDKDFSFDQSERQSQDSSSGKKVSHKSTSGQAKWQGHIFALNRCEKPIQDSHYGQSERHTQQSETLGQASHFNQTNQQKSGSYCGQSERLGQELGCGQTDRQGQSSHYGQTDRQDQSYHYGQTDRQGQSSHYSQTDRQGQSSHYSQPDRQGQSSHYGQMDRKGQCYHYDQTNRQGQGSHYSQPNRQGQSSHYGQPDTQDQSSHYGQTDRQDQSSHYGQTERQGQSSHYSQMDRQGQGSHYGQTDRQGQSSHYGQPDRQGQNSHYGQTDRQGQSSHYGQTDRQGQSSHYSQPDKQGQSSHYGKIDRQDQSYHYGQPDGQGQSSHYGQTDRQGQSFHYGQPDRQGQSSHYSQMDRQGQSSHYGQTDRQGQSSHYGQTDRQGQSYHYGQTDRQGQSSHYIQSQTGEIQGQNKYFQGTEGTRKASYVEQSGRSGRLSQQTPGQEGYQNQGQGFQSRDSQQNGHQVWEPEEDSQHHQHKLLAQIQQERPLCHKGRDWQSCSSEQGHRQAQTRQSHGEGLSHWAEEEQGHQTWDRHSHESQEGPCGTQDRRTHKDEQNHQRRDRQTHEHEQSHQRRDRQTHEDKQNRQRRDRQTHEDEQNHQR
+>DECOY_sp|Q6XPR3|RPTN_HUMAN Repetin OS=Homo sapiens OX=9606 GN=RPTN PE=1 SV=1
+RQHNQEDEHTQRDRRQRNQKDEHTQRDRRQHSQEHEHTQRDRRQHNQEDKHTRRDQTGCPGEQSEHSHRDWTQHGQEEEAWHSLGEGHSQRTQAQRHGQESSCSQWDRGKHCLPREQQIQALLKHQHHQSDEEPEWVQHGNQQSDRSQFGQGQNQYGEQGPTQQSLRGSRGSQEVYSAKRTGETGQFYKNQGQIEGTQSQIYHSSQGQRDTQGYHYSQGQRDTQGYHSSQGQRDTQGYHSSQGQRDMQSYHSSQGQRDPQGYHFSQGQRDTQGYHSSQGQGDPQGYHYSQDQRDIKGYHSSQGQKDPQSYHSSQGQRDTQGYHSSQGQRDTQGYHSNQGQRDPQGYHSSQGQRDTQGYHSGQGQRDMQSYHSSQGQRETQGYHSSQDQRDTQGYHSSQDQTDPQGYHSSQGQRNPQSYHSGQGQRNTQDYHYCQGKRDMQGYHSSQGQRDPQSYHSSQGQRDTQSYHSSQGQRDTQGYHYSQDQRDTQGYHSSQGQRDTQGCGLEQGLRESQGCYSGSKQQNTQNFHSAQGLTESQQTHRESQGYHSDQIPKECRNLAFIHGQWKAQGSTSKHSVKKGSSSDQSQRESQDFSFDKDQRESQNHHSDRDQREPQSHHSDRDQKESQGHHSDRDQREPQGHHSDGDQREPQSHHSNQREEEHRQRHQRGTNGPFKCDQAGEQGREQQSTRGGHSKNDLKHYCAQVLQFVLLLYEHFDIHGDRDQDLLNLITEVTEPDNPRQLIDGFEALLLQKLEEKCLLACDGNGKAYKHFVDIVSLISNLLQAM
+>sp|Q7L523|RRAGA_HUMAN Ras-related GTP-binding protein A OS=Homo sapiens OX=9606 GN=RRAGA PE=1 SV=1
+MPNTAMKKKVLLMGKSGSGKTSMRSIIFANYIARDTRRLGATIDVEHSHVRFLGNLVLNLWDCGGQDTFMENYFTSQRDNIFRNVEVLIYVFDVESRELEKDMHYYQSCLEAILQNSPDAKIFCLVHKMDLVQEDQRDLIFKEREEDLRRLSRPLECACFRTSIWDETLYKAWSSIVYQLIPNVQQLEMNLRNFAQIIEADEVLLFERATFLVISHYQCKEQRDVHRFEKISNIIKQFKLSCSKLAASFQSMEVRNSNFAAFIDIFTSNTYVMVVMSDPSIPSAATLINIRNARKHFEKLERVDGPKHSLLMR
+>DECOY_sp|Q7L523|RRAGA_HUMAN Ras-related GTP-binding protein A OS=Homo sapiens OX=9606 GN=RRAGA PE=1 SV=1
+RMLLSHKPGDVRELKEFHKRANRINILTAASPISPDSMVVMVYTNSTFIDIFAAFNSNRVEMSQFSAALKSCSLKFQKIINSIKEFRHVDRQEKCQYHSIVLFTAREFLLVEDAEIIQAFNRLNMELQQVNPILQYVISSWAKYLTEDWISTRFCACELPRSLRRLDEEREKFILDRQDEQVLDMKHVLCFIKADPSNQLIAELCSQYYHMDKELERSEVDFVYILVEVNRFINDRQSTFYNEMFTDQGGCDWLNLVLNGLFRVHSHEVDITAGLRRTDRAIYNAFIISRMSTKGSGSKGMLLVKKKMATNPM
+>sp|Q15050|RRS1_HUMAN Ribosome biogenesis regulatory protein homolog OS=Homo sapiens OX=9606 GN=RRS1 PE=1 SV=2
+MEGQSVEELLAKAEQDEAEKLQRITVHKELELQFDLGNLLASDRNPPTGLRCAGPTPEAELQALARDNTQLLINQLWQLPTERVEEAIVARLPEPTTRLPREKPLPRPRPLTRWQQFARLKGIRPKKKTNLVWDEVSGQWRRRWGYQRARDDTKEWLIEVPGNADPLEDQFAKRIQAKKERVAKNELNRLRNLARAHKMQLPSAAGLHPTGHQSKEELGRAMQVAKVSTASVGRFQERLPKEKVPRGSGKKRKFQPLFGDFAAEKKNQLELLRVMNSKKPQLDVTRATNKQMREEDQEEAAKRRKMSQKGKRKGGRQGPGGKRKGGPPSQGGKRKGGLGGKMNSGPPGLGGKRKGGQRPGGKRRK
+>DECOY_sp|Q15050|RRS1_HUMAN Ribosome biogenesis regulatory protein homolog OS=Homo sapiens OX=9606 GN=RRS1 PE=1 SV=2
+KRRKGGPRQGGKRKGGLGPPGSNMKGGLGGKRKGGQSPPGGKRKGGPGQRGGKRKGKQSMKRRKAAEEQDEERMQKNTARTVDLQPKKSNMVRLLELQNKKEAAFDGFLPQFKRKKGSGRPVKEKPLREQFRGVSATSVKAVQMARGLEEKSQHGTPHLGAASPLQMKHARALNRLRNLENKAVREKKAQIRKAFQDELPDANGPVEILWEKTDDRARQYGWRRRWQGSVEDWVLNTKKKPRIGKLRAFQQWRTLPRPRPLPKERPLRTTPEPLRAVIAEEVRETPLQWLQNILLQTNDRALAQLEAEPTPGACRLGTPPNRDSALLNGLDFQLELEKHVTIRQLKEAEDQEAKALLEEVSQGEM
+>sp|Q6DKI1|RL7L_HUMAN 60S ribosomal protein L7-like 1 OS=Homo sapiens OX=9606 GN=RPL7L1 PE=1 SV=1
+MAEQEQRKIPLVPENLLKKRKAYQALKATQAKQALLAKKEQKKGKGLRFKRLESFLHDSWRQKRDKVRLRRLEVKPHALELPDKHSLAFVVRIERIDGVSLLVQRTIARLRLKKIFSGVFVKVTPQNLKMLRIVEPYVTWGFPNLKSVRELILKRGQAKVKNKTIPLTDNTVIEEHLGKFGVICLEDLIHEIAFPGKHFQEISWFLCPFHLSVARHATKNRVGFLKEMGTPGYRGERINQLIRQLN
+>DECOY_sp|Q6DKI1|RL7L_HUMAN 60S ribosomal protein L7-like 1 OS=Homo sapiens OX=9606 GN=RPL7L1 PE=1 SV=1
+NLQRILQNIREGRYGPTGMEKLFGVRNKTAHRAVSLHFPCLFWSIEQFHKGPFAIEHILDELCIVGFKGLHEEIVTNDTLPITKNKVKAQGRKLILERVSKLNPFGWTVYPEVIRLMKLNQPTVKVFVGSFIKKLRLRAITRQVLLSVGDIREIRVVFALSHKDPLELAHPKVELRRLRVKDRKQRWSDHLFSELRKFRLGKGKKQEKKALLAQKAQTAKLAQYAKRKKLLNEPVLPIKRQEQEAM
+>sp|P18124|RL7_HUMAN 60S ribosomal protein L7 OS=Homo sapiens OX=9606 GN=RPL7 PE=1 SV=1
+MEGVEEKKKEVPAVPETLKKKRRNFAELKIKRLRKKFAQKMLRKARRKLIYEKAKHYHKEYRQMYRTEIRMARMARKAGNFYVPAEPKLAFVIRIRGINGVSPKVRKVLQLLRLRQIFNGTFVKLNKASINMLRIVEPYIAWGYPNLKSVNELIYKRGYGKINKKRIALTDNALIARSLGKYGIICMEDLIHEIYTVGKRFKEANNFLWPFKLSSPRGGMKKKTTHFVEGGDAGNREDQINRLIRRMN
+>DECOY_sp|P18124|RL7_HUMAN 60S ribosomal protein L7 OS=Homo sapiens OX=9606 GN=RPL7 PE=1 SV=1
+NMRRILRNIQDERNGADGGEVFHTTKKKMGGRPSSLKFPWLFNNAEKFRKGVTYIEHILDEMCIIGYKGLSRAILANDTLAIRKKNIKGYGRKYILENVSKLNPYGWAIYPEVIRLMNISAKNLKVFTGNFIQRLRLLQLVKRVKPSVGNIGRIRIVFALKPEAPVYFNGAKRAMRAMRIETRYMQRYEKHYHKAKEYILKRRAKRLMKQAFKKRLRKIKLEAFNRRKKKLTEPVAPVEKKKEEVGEM
+>sp|P32969|RL9_HUMAN 60S ribosomal protein L9 OS=Homo sapiens OX=9606 GN=RPL9 PE=1 SV=1
+MKTILSNQTVDIPENVDITLKGRTVIVKGPRGTLRRDFNHINVELSLLGKKKKRLRVDKWWGNRKELATVRTICSHVQNMIKGVTLGFRYKMRSVYAHFPINVVIQENGSLVEIRNFLGEKYIRRVRMRPGVACSVSQAQKDELILEGNDIELVSNSAALIQQATTVKNKDIRKFLDGIYVSEKGTVQQADE
+>DECOY_sp|P32969|RL9_HUMAN 60S ribosomal protein L9 OS=Homo sapiens OX=9606 GN=RPL9 PE=1 SV=1
+EDAQQVTGKESVYIGDLFKRIDKNKVTTAQQILAASNSVLEIDNGELILEDKQAQSVSCAVGPRMRVRRIYKEGLFNRIEVLSGNEQIVVNIPFHAYVSRMKYRFGLTVGKIMNQVHSCITRVTALEKRNGWWKDVRLRKKKKGLLSLEVNIHNFDRRLTGRPGKVIVTRGKLTIDVNEPIDVTQNSLITKM
+>sp|Q13129|RLF_HUMAN Zinc finger protein Rlf OS=Homo sapiens OX=9606 GN=RLF PE=1 SV=2
+MADGKGDAAAVAGAGAEAPAVAGAGDGVETESMVRGHRPVSPAPGASGLRPCLWQLETELREQEVSEVSSLNYCRSFCQTLLQYASNKNASEHIVYLLEVYRLAIQSFASARPYLTTECEDVLLVLGRLVLSCFELLLSVSESELPCEVWLPFLQSLQESHDALLEFGNNNLQILVHVTKEGVWKNPVLLKILSQQPVETEEVNKLIAQEGPSFLQMRIKHLLKSNCIPQATALSKLCAESKEISNVSSFQQAYITCLCSMLPNEDAIKEIAKVDCKEVLDIICNLESEGQDNTAFVLCTTYLTQQLQTASVYCSWELTLFWSKLQRRIDPSLDTFLERCRQFGVIAKTQQHLFCLIRVIQTEAQDAGLGVSILLCVRALQLRSSEDEEMKASVCKTIACLLPEDLEVRRACQLTEFLIEPSLDGFNMLEELYLQPDQKFDEENAPVPNSLRCELLLALKAHWPFDPEFWDWKTLKRHCHQLLGQEASDSDDDLSGYEMSINDTDVLESFLSDYDEGKEDKQYRRRDLTDQHKEKRDKKPIGSSERYQRWLQYKFFCLLCKRECIEARILHHSKMHMEDGIYTCPVCIKKFKRKEMFVPHVMEHVKMPPSRRDRSKKKLLLKGSQKGICPKSPSAIPEQNHSLNDQAKGESHEYVTFSKLEDCHLQDRDLYPCPGTDCSRVFKQFKYLSVHLKAEHQNNDENAKHYLDMKNRREKCTYCRRHFMSAFHLREHEQVHCGPQPYMCVSIDCYARFGSVNELLNHKQKHDDLRYKCELNGCNIVFSDLGQLYHHEAQHFRDASYTCNFLGCKKFYYSKIEYQNHLSMHNVENSNGDIKKSVKLEESATGEKQDCINQPHLLNQTDKSHLPEDLFCAESANSQIDTETAENLKENSDSNSSDQLSHSSSASMNEELIDTLDHSETMQDVLLSNEKVFGPSSLKEKCSSMAVCFDGTKFTCGFDGCGSTYKNARGMQKHLRKVHPYHFKPKKIKTKDLFPSLGNEHNQTTEKLDAEPKPCSDTNSDSPDEGLDHNIHIKCKREHQGYSSESSICASKRPCTEDTMLELLLRLKHLSLKNSITHGSFSGSLQGYPSSGAKSLQSVSSISDLNFQNQDENMPSQYLAQLAAKPFFCELQGCKYEFVTREALLMHYLKKHNYSKEKVLQLTMFQHRYSPFQCHICQRSFTRKTHLRIHYKNKHQIGSDRATHKLLDNEKCDHEGPCSVDRLKGDCSAELGGDPSSNSEKPHCHPKKDECSSETDLESSCEETESKTSDISSPIGSHREEQEGREGRGSRRTVAKGNLCYILNKYHKPFHCIHKTCNSSFTNLKGLIRHYRTVHQYNKEQLCLEKDKARTKRELVKCKKIFACKYKECNKRFLCSKALAKHCSDSHNLDHIEEPKVLSEAGSAARFSCNQPQCPAVFYTFNKLKHHLMEQHNIEGEIHSDYEIHCDLNGCGQIFTHRSNYSQHVYYRHKDYYDDLFRSQKVANERLLRSEKVCQTADTQGHEHQTTRRSFNAKSKKCGLIKEKKAPISFKTRAEALHMCVEHSEHTQYPCMVQGCLSVVKLESSIVRHYKRTHQMSSAYLEQQMENLVVCVKYGTKIKEEPPSEADPCIKKEENRSCESERTEHSHSPGDSSAPIQNTDCCHSSERDGGQKGCIESSSVFDADTLLYRGTLKCNHSSKTTSLEQCNIVQPPPPCKIENSIPNPNGTESGTYFTSFQLPLPRIKESETRQHSSGQENTVKNPTHVPKENFRKHSQPRSFDLKTYKPMGFESSFLKFIQESEEKEDDFDDWEPSEHLTLSNSSQSSNDLTGNVVANNMVNDSEPEVDIPHSSSDSTIHENLTAIPPLIVAETTTVPSLENLRVVLDKALTDCGELALKQLHYLRPVVVLERSKFSTPILDLFPTKKTDELCVGSS
+>DECOY_sp|Q13129|RLF_HUMAN Zinc finger protein Rlf OS=Homo sapiens OX=9606 GN=RLF PE=1 SV=2
+SSGVCLEDTKKTPFLDLIPTSFKSRELVVVPRLYHLQKLALEGCDTLAKDLVVRLNELSPVTTTEAVILPPIATLNEHITSDSSSHPIDVEPESDNVMNNAVVNGTLDNSSQSSNSLTLHESPEWDDFDDEKEESEQIFKLFSSEFGMPKYTKLDFSRPQSHKRFNEKPVHTPNKVTNEQGSSHQRTESEKIRPLPLQFSTFYTGSETGNPNPISNEIKCPPPPQVINCQELSTTKSSHNCKLTGRYLLTDADFVSSSEICGKQGGDRESSHCCDTNQIPASSDGPSHSHETRESECSRNEEKKICPDAESPPEEKIKTGYKVCVVLNEMQQELYASSMQHTRKYHRVISSELKVVSLCGQVMCPYQTHESHEVCMHLAEARTKFSIPAKKEKILGCKKSKANFSRRTTQHEHGQTDATQCVKESRLLRENAVKQSRFLDDYYDKHRYYVHQSYNSRHTFIQGCGNLDCHIEYDSHIEGEINHQEMLHHKLKNFTYFVAPCQPQNCSFRAASGAESLVKPEEIHDLNHSDSCHKALAKSCLFRKNCEKYKCAFIKKCKVLERKTRAKDKELCLQEKNYQHVTRYHRILGKLNTFSSNCTKHICHFPKHYKNLIYCLNGKAVTRRSGRGERGEQEERHSGIPSSIDSTKSETEECSSELDTESSCEDKKPHCHPKESNSSPDGGLEASCDGKLRDVSCPGEHDCKENDLLKHTARDSGIQHKNKYHIRLHTKRTFSRQCIHCQFPSYRHQFMTLQLVKEKSYNHKKLYHMLLAERTVFEYKCGQLECFFPKAALQALYQSPMNEDQNQFNLDSISSVSQLSKAGSSPYGQLSGSFSGHTISNKLSLHKLRLLLELMTDETCPRKSACISSESSYGQHERKCKIHINHDLGEDPSDSNTDSCPKPEADLKETTQNHENGLSPFLDKTKIKKPKFHYPHVKRLHKQMGRANKYTSGCGDFGCTFKTGDFCVAMSSCKEKLSSPGFVKENSLLVDQMTESHDLTDILEENMSASSSHSLQDSSNSDSNEKLNEATETDIQSNASEACFLDEPLHSKDTQNLLHPQNICDQKEGTASEELKVSKKIDGNSNEVNHMSLHNQYEIKSYYFKKCGLFNCTYSADRFHQAEHHYLQGLDSFVINCGNLECKYRLDDHKQKHNLLENVSGFRAYCDISVCMYPQPGCHVQEHERLHFASMFHRRCYTCKERRNKMDLYHKANEDNNQHEAKLHVSLYKFQKFVRSCDTGPCPYLDRDQLHCDELKSFTVYEHSEGKAQDNLSHNQEPIASPSKPCIGKQSGKLLLKKKSRDRRSPPMKVHEMVHPVFMEKRKFKKICVPCTYIGDEMHMKSHHLIRAEICERKCLLCFFKYQLWRQYRESSGIPKKDRKEKHQDTLDRRRYQKDEKGEDYDSLFSELVDTDNISMEYGSLDDDSDSAEQGLLQHCHRKLTKWDWFEPDFPWHAKLALLLECRLSNPVPANEEDFKQDPQLYLEELMNFGDLSPEILFETLQCARRVELDEPLLCAITKCVSAKMEEDESSRLQLARVCLLISVGLGADQAETQIVRILCFLHQQTKAIVGFQRCRELFTDLSPDIRRQLKSWFLTLEWSCYVSATQLQQTLYTTCLVFATNDQGESELNCIIDLVEKCDVKAIEKIADENPLMSCLCTIYAQQFSSVNSIEKSEACLKSLATAQPICNSKLLHKIRMQLFSPGEQAILKNVEETEVPQQSLIKLLVPNKWVGEKTVHVLIQLNNNGFELLADHSEQLSQLFPLWVECPLESESVSLLLEFCSLVLRGLVLLVDECETTLYPRASAFSQIALRYVELLYVIHESANKNSAYQLLTQCFSRCYNLSSVESVEQERLETELQWLCPRLGSAGPAPSVPRHGRVMSETEVGDGAGAVAPAEAGAGAVAAADGKGDAM
+>sp|Q7Z2W9|RM21_HUMAN 39S ribosomal protein L21, mitochondrial OS=Homo sapiens OX=9606 GN=MRPL21 PE=1 SV=2
+MAASSLTVTLGRLASACSHSILRPSGPGAASLWSASRRFNSQSTSYLPGYVPKTSLSSPPWPEVVLPDPVEETRHHAEVVKKVNEMIVTGQYGRLFAVVHFASRQWKVTSEDLILIGNELDLACGERIRLEKVLLVGADNFTLLGKPLLGKDLVRVEATVIEKTESWPRIIMRFRKRKNFKKKRIVTTPQTVLRINSIEIAPCLL
+>DECOY_sp|Q7Z2W9|RM21_HUMAN 39S ribosomal protein L21, mitochondrial OS=Homo sapiens OX=9606 GN=MRPL21 PE=1 SV=2
+LLCPAIEISNIRLVTQPTTVIRKKKFNKRKRFRMIIRPWSETKEIVTAEVRVLDKGLLPKGLLTFNDAGVLLVKELRIREGCALDLENGILILDESTVKWQRSAFHVVAFLRGYQGTVIMENVKKVVEAHHRTEEVPDPLVVEPWPPSSLSTKPVYGPLYSTSQSNFRRSASWLSAAGPGSPRLISHSCASALRGLTVTLSSAAM
+>sp|Q16540|RM23_HUMAN 39S ribosomal protein L23, mitochondrial OS=Homo sapiens OX=9606 GN=MRPL23 PE=1 SV=1
+MARNVVYPLYRLGGPQLRVFRTNFFIQLVRPGVAQPEDTVQFRIPMEMTRVDLRNYLEGIYNVPVAAVRTRVQHGSNKRRDHRNVRIKKPDYKVAYVQLAHGQTFTFPDLFPEKDESPEGSAADDLYSMLEEERQQRQSSDPRRGGVPSWFGL
+>DECOY_sp|Q16540|RM23_HUMAN 39S ribosomal protein L23, mitochondrial OS=Homo sapiens OX=9606 GN=MRPL23 PE=1 SV=1
+LGFWSPVGGRRPDSSQRQQREEELMSYLDDAASGEPSEDKEPFLDPFTFTQGHALQVYAVKYDPKKIRVNRHDRRKNSGHQVRTRVAAVPVNYIGELYNRLDVRTMEMPIRFQVTDEPQAVGPRVLQIFFNTRFVRLQPGGLRYLPYVVNRAM
+>sp|Q6P161|RM54_HUMAN 39S ribosomal protein L54, mitochondrial OS=Homo sapiens OX=9606 GN=MRPL54 PE=1 SV=1
+MATKRLFGATRTWAGWGAWELLNPATSGRLLARDYAKKPVMKGAKSGKGAVTSEALKDPDVCTDPVQLTTYAMGVNIYKEGQDVPLKPDAEYPEWLFEMNLGPPKTLEELDPESREYWRRLRKQNIWRHNRLSKNKRL
+>DECOY_sp|Q6P161|RM54_HUMAN 39S ribosomal protein L54, mitochondrial OS=Homo sapiens OX=9606 GN=MRPL54 PE=1 SV=1
+LRKNKSLRNHRWINQKRLRRWYERSEPDLEELTKPPGLNMEFLWEPYEADPKLPVDQGEKYINVGMAYTTLQVPDTCVDPDKLAESTVAGKGSKAGKMVPKKAYDRALLRGSTAPNLLEWAGWGAWTRTAGFLRKTAM
+>sp|Q96E39|RMXL1_HUMAN RNA binding motif protein, X-linked-like-1 OS=Homo sapiens OX=9606 GN=RBMXL1 PE=1 SV=1
+MVEADRPGKLFIGGLNTETNEKALETVFGKYGRIVEVLLIKDRETNKSRGFAFVTFESPADAKDAARDMNGKSLDGKAIKVEQATKPSFERGRHGPPPPPRSRGPPRGFGAGRGGSGGTRGPPSRGGHMDDGGYSMNFNMSSSRGPLPVKRGPPPRSGGPSPKRSAPSGLVRSSSGMGGRAPLSRGRDSYGGPPRREPLPSRRDVYLSPRDDGYSTKDSYSSRDYPSSRDTRDYAPPPRDYTYRDYGHSSSRDDYPSRGYGDRDGYGRDRDYSDHPSGGSYRDSYESYGNSRSAPLTRGPPPSYGGSSRYDDYSSSRDGYGGSRDSYSSSRSDLYSSCDRVGRQERGLPPSVERGYPSSRDSYSSSSRGAPRGAGPGGSRSDRGGGRSRY
+>DECOY_sp|Q96E39|RMXL1_HUMAN RNA binding motif protein, X-linked-like-1 OS=Homo sapiens OX=9606 GN=RBMXL1 PE=1 SV=1
+YRSRGGGRDSRSGGPGAGRPAGRSSSSYSDRSSPYGREVSPPLGREQRGVRDCSSYLDSRSSSYSDRSGGYGDRSSSYDDYRSSGGYSPPPGRTLPASRSNGYSEYSDRYSGGSPHDSYDRDRGYGDRDGYGRSPYDDRSSSHGYDRYTYDRPPPAYDRTDRSSPYDRSSYSDKTSYGDDRPSLYVDRRSPLPERRPPGGYSDRGRSLPARGGMGSSSRVLGSPASRKPSPGGSRPPPGRKVPLPGRSSSMNFNMSYGGDDMHGGRSPPGRTGGSGGRGAGFGRPPGRSRPPPPPGHRGREFSPKTAQEVKIAKGDLSKGNMDRAADKADAPSEFTVFAFGRSKNTERDKILLVEVIRGYKGFVTELAKENTETNLGGIFLKGPRDAEVM
+>sp|Q9Y4L5|RN115_HUMAN E3 ubiquitin-protein ligase RNF115 OS=Homo sapiens OX=9606 GN=RNF115 PE=1 SV=2
+MAEASAAGADSGAAVAAHRFFCHFCKGEVSPKLPEYICPRCESGFIEEVTDDSSFLGGGGSRIDNTTTTHFAELWGHLDHTMFFQDFRPFLSSSPLDQDNRANERGHQTHTDFWGARPPRLPLGRRYRSRGSSRPDRSPAIEGILQHIFAGFFANSAIPGSPHPFSWSGMLHSNPGDYAWGQTGLDAIVTQLLGQLENTGPPPADKEKITSLPTVTVTQEQVDMGLECPVCKEDYTVEEEVRQLPCNHFFHSSCIVPWLELHDTCPVCRKSLNGEDSTRQSQSTEASASNRFSNDSQLHDRWTF
+>DECOY_sp|Q9Y4L5|RN115_HUMAN E3 ubiquitin-protein ligase RNF115 OS=Homo sapiens OX=9606 GN=RNF115 PE=1 SV=2
+FTWRDHLQSDNSFRNSASAETSQSQRTSDEGNLSKRCVPCTDHLELWPVICSSHFFHNCPLQRVEEEVTYDEKCVPCELGMDVQEQTVTVTPLSTIKEKDAPPPGTNELQGLLQTVIADLGTQGWAYDGPNSHLMGSWSFPHPSGPIASNAFFGAFIHQLIGEIAPSRDPRSSGRSRYRRGLPLRPPRAGWFDTHTQHGRENARNDQDLPSSSLFPRFDQFFMTHDLHGWLEAFHTTTTNDIRSGGGGLFSSDDTVEEIFGSECRPCIYEPLKPSVEGKCFHCFFRHAAVAAGSDAGAASAEAM
+>sp|Q9BV68|RN126_HUMAN E3 ubiquitin-protein ligase RNF126 OS=Homo sapiens OX=9606 GN=RNF126 PE=1 SV=1
+MAEASPHPGRYFCHCCSVEIVPRLPDYICPRCESGFIEELPEETRSTENGSAPSTAPTDQSRPPLEHVDQHLFTLPQGYGQFAFGIFDDSFEIPTFPPGAQADDGRDPESRRERDHPSRHRYGARQPRARLTTRRATGRHEGVPTLEGIIQQLVNGIITPATIPSLGPWGVLHSNPMDYAWGANGLDAIITQLLNQFENTGPPPADKEKIQALPTVPVTEEHVGSGLECPVCKDDYALGERVRQLPCNHLFHDGCIVPWLEQHDSCPVCRKSLTGQNTATNPPGLTGVSFSSSSSSSSSSSPSNENATWSPLGRPQPPRPLSNLTL
+>DECOY_sp|Q9BV68|RN126_HUMAN E3 ubiquitin-protein ligase RNF126 OS=Homo sapiens OX=9606 GN=RNF126 PE=1 SV=1
+LTLNSLPRPPQPRGLPSWTANENSPSSSSSSSSSSSFSVGTLGPPNTATNQGTLSKRCVPCSDHQELWPVICGDHFLHNCPLQRVREGLAYDDKCVPCELGSGVHEETVPVTPLAQIKEKDAPPPGTNEFQNLLQTIIADLGNAGWAYDMPNSHLVGWPGLSPITAPTIIGNVLQQIIGELTPVGEHRGTARRTTLRARPQRAGYRHRSPHDRERRSEPDRGDDAQAGPPFTPIEFSDDFIGFAFQGYGQPLTFLHQDVHELPPRSQDTPATSPASGNETSRTEEPLEEIFGSECRPCIYDPLRPVIEVSCCHCFYRGPHPSAEAM
+>sp|Q8WVD3|RN138_HUMAN E3 ubiquitin-protein ligase RNF138 OS=Homo sapiens OX=9606 GN=RNF138 PE=1 SV=1
+MAEDLSAATSYTEDDFYCPVCQEVLKTPVRTTACQHVFCRKCFLTAMRESGAHCPLCRGNVTRRERACPERALDLENIMRKFSGSCRCCAKQIKFYRMRHHYKSCKKYQDEYGVSSIIPNFQISQDSVGNSNRSETSTSDNTETYQENTSSSGHPTFKCPLCQESNFTRQRLLDHCNSNHLFQIVPVTCPICVSLPWGDPSQITRNFVSHLNQRHQFDYGEFVNLQLDEETQYQTAVEESFQVNI
+>DECOY_sp|Q8WVD3|RN138_HUMAN E3 ubiquitin-protein ligase RNF138 OS=Homo sapiens OX=9606 GN=RNF138 PE=1 SV=1
+INVQFSEEVATQYQTEEDLQLNVFEGYDFQHRQNLHSVFNRTIQSPDGWPLSVCIPCTVPVIQFLHNSNCHDLLRQRTFNSEQCLPCKFTPHGSSSTNEQYTETNDSTSTESRNSNGVSDQSIQFNPIISSVGYEDQYKKCSKYHHRMRYFKIQKACCRCSGSFKRMINELDLAREPCARERRTVNGRCLPCHAGSERMATLFCKRCFVHQCATTRVPTKLVEQCVPCYFDDETYSTAASLDEAM
+>sp|Q8WVD5|RN141_HUMAN RING finger protein 141 OS=Homo sapiens OX=9606 GN=RNF141 PE=1 SV=1
+MGQQISDQTQLVINKLPEKVAKHVTLVRESGSLTYEEFLGRVAELNDVTAKVASGQEKHLLFEVQPGSDSSAFWKVVVRVVCTKINKSSGIVEASRIMNLYQFIQLYKDITSQAAGVLAQSSTSEEPDENSSSVTSCQASLWMGRVKQLTDEEECCICMDGRADLILPCAHSFCQKCIDKWSDRHRNCPICRLQMTGANESWVVSDAPTEDDMANYILNMADEAGQPHRP
+>DECOY_sp|Q8WVD5|RN141_HUMAN RING finger protein 141 OS=Homo sapiens OX=9606 GN=RNF141 PE=1 SV=1
+PRHPQGAEDAMNLIYNAMDDETPADSVVWSENAGTMQLRCIPCNRHRDSWKDICKQCFSHACPLILDARGDMCICCEEEDTLQKVRGMWLSAQCSTVSSSNEDPEESTSSQALVGAAQSTIDKYLQIFQYLNMIRSAEVIGSSKNIKTCVVRVVVKWFASSDSGPQVEFLLHKEQGSAVKATVDNLEAVRGLFEEYTLSGSERVLTVHKAVKEPLKNIVLQTQDSIQQGM
+>sp|Q96MT1|RN145_HUMAN RING finger protein 145 OS=Homo sapiens OX=9606 GN=RNF145 PE=2 SV=2
+MAAKEKLEAVLNVALRVPSIMLLDVLYRWDVSSFFQQIQRSSLSNNPLFQYKYLALNMHYVGYILSVVLLTLPRQHLVQLYLYFLTALLLYAGHQISRDYVRSELEFAYEGPMYLEPLSMNRFTTALIGQLVVCTLCSCVMKTKQIWLFSAHMLPLLARLCLVPLETIVIINKFAMIFTGLEVLYFLGSNLLVPYNLAKSAYRELVQVVEVYGLLALGMSLWNQLVVPVLFMVFWLVLFALQIYSYFSTRDQPASRERLLFLFLTSIAECCSTPYSLLGLVFTVSFVALGVLTLCKFYLQGYRAFMNDPAMNRGMTEGVTLLILAVQTGLIELQVVHRAFLLSIILFIVVASILQSMLEIADPIVLALGASRDKSLWKHFRAVSLCLFLLVFPAYMAYMICQFFHMDFWLLIIISSSILTSLQVLGTLFIYVLFMVEEFRKEPVENMDDVIYYVNGTYRLLEFLVALCVVAYGVSETIFGEWTVMGSMIIFIHSYYNVWLRAQLGWKSFLLRRDAVNKIKSLPIATKEQLEKHNDICAICYQDMKSAVITPCSHFFHAGCLKKWLYVQETCPLCHCHLKNSSQLPGLGTEPVLQPHAGAEQNVMFQEGTEPPGQEHTPGTRIQEGSRDNNEYIARRPDNQEGAFDPKEYPHSAKDEAHPVESA
+>DECOY_sp|Q96MT1|RN145_HUMAN RING finger protein 145 OS=Homo sapiens OX=9606 GN=RNF145 PE=2 SV=2
+ASEVPHAEDKASHPYEKPDFAGEQNDPRRAIYENNDRSGEQIRTGPTHEQGPPETGEQFMVNQEAGAHPQLVPETGLGPLQSSNKLHCHCLPCTEQVYLWKKLCGAHFFHSCPTIVASKMDQYCIACIDNHKELQEKTAIPLSKIKNVADRRLLFSKWGLQARLWVNYYSHIFIIMSGMVTWEGFITESVGYAVVCLAVLFELLRYTGNVYYIVDDMNEVPEKRFEEVMFLVYIFLTGLVQLSTLISSSIIILLWFDMHFFQCIMYAMYAPFVLLFLCLSVARFHKWLSKDRSAGLALVIPDAIELMSQLISAVVIFLIISLLFARHVVQLEILGTQVALILLTVGETMGRNMAPDNMFARYGQLYFKCLTLVGLAVFSVTFVLGLLSYPTSCCEAISTLFLFLLRERSAPQDRTSFYSYIQLAFLVLWFVMFLVPVVLQNWLSMGLALLGYVEVVQVLERYASKALNYPVLLNSGLFYLVELGTFIMAFKNIIVITELPVLCLRALLPLMHASFLWIQKTKMVCSCLTCVVLQGILATTFRNMSLPELYMPGEYAFELESRVYDRSIQHGAYLLLATLFYLYLQVLHQRPLTLLVVSLIYGVYHMNLALYKYQFLPNNSLSSRQIQQFFSSVDWRYLVDLLMISPVRLAVNLVAELKEKAAM
+>sp|Q8N6D2|RN182_HUMAN E3 ubiquitin-protein ligase RNF182 OS=Homo sapiens OX=9606 GN=RNF182 PE=1 SV=1
+MASQPPEDTAESQASDELECKICYNRYNLKQRKPKVLECCHRVCAKCLYKIIDFGDSPQGVIVCPFCRFETCLPDDEVSSLPDDNNILVNLTCGGKGKKCLPENPTELLLTPKRLASLVSPSHTSSNCLVITIMEVQRESSPSLSSTPVVEFYRPASFDSVTTVSHNWTVWNCTSLLFQTSIRVLVWLLGLLYFSSLPLGIYLLVSKKVTLGVVFVSLVPSSLVILMVYGFCQCVCHEFLDCMAPPS
+>DECOY_sp|Q8N6D2|RN182_HUMAN E3 ubiquitin-protein ligase RNF182 OS=Homo sapiens OX=9606 GN=RNF182 PE=1 SV=1
+SPPAMCDLFEHCVCQCFGYVMLIVLSSPVLSVFVVGLTVKKSVLLYIGLPLSSFYLLGLLWVLVRISTQFLLSTCNWVTWNHSVTTVSDFSAPRYFEVVPTSSLSPSSERQVEMITIVLCNSSTHSPSVLSALRKPTLLLETPNEPLCKKGKGGCTLNVLINNDDPLSSVEDDPLCTEFRCFPCVIVGQPSDGFDIIKYLCKACVRHCCELVKPKRQKLNYRNYCIKCELEDSAQSEATDEPPQSAM
+>sp|Q9NXI6|RN186_HUMAN RING finger protein 186 OS=Homo sapiens OX=9606 GN=RNF186 PE=2 SV=1
+MACTKTLQQSQPISAGATTTTTAVAPAGGHSGSTECDLECLVCREPYSCPRLPKLLACQHAFCAICLKLLLCVQDNTWSITCPLCRKVTAVPGGLICSLRDHEAVVGQLAQPCTEVSLCPQGLVDPADLAAGHPSLVGEDGQDEVSANHVAARRLAAHLLLLALLIILIGPFIYPGVLRWVLTFIIALALLMSTLFCCLPSTRGSCWPSSRTLFCREQKHSHISSIA
+>DECOY_sp|Q9NXI6|RN186_HUMAN RING finger protein 186 OS=Homo sapiens OX=9606 GN=RNF186 PE=2 SV=1
+AISSIHSHKQERCFLTRSSPWCSGRTSPLCCFLTSMLLALAIIFTLVWRLVGPYIFPGILIILLALLLLHAALRRAAVHNASVEDQGDEGVLSPHGAALDAPDVLGQPCLSVETCPQALQGVVAEHDRLSCILGGPVATVKRCLPCTISWTNDQVCLLLKLCIACFAHQCALLKPLRPCSYPERCVLCELDCETSGSHGGAPAVATTTTTAGASIPQSQQLTKTCAM
+>sp|Q5TA31|RN187_HUMAN E3 ubiquitin-protein ligase RNF187 OS=Homo sapiens OX=9606 GN=RNF187 PE=1 SV=2
+MALPAGPAEAACALCQRAPREPVRADCGHRFCRACVVRFWAEEDGPFPCPECADDCWQRAVEPGRPPLSRRLLALEEAAAAPARDGPASEAALQLLCRADAGPLCAACRMAAGPEPPEWEPRWRKALRGKENKGSVEIMRKDLNDARDLHGQAESAAAVWKGHVMDRRKKALTDYKKLRAFFVEEEEHFLQEAEKEEGLPEDELADPTERFRSLLQAVSELEKKHRNLGLSMLLQ
+>DECOY_sp|Q5TA31|RN187_HUMAN E3 ubiquitin-protein ligase RNF187 OS=Homo sapiens OX=9606 GN=RNF187 PE=1 SV=2
+QLLMSLGLNRHKKELESVAQLLSRFRETPDALEDEPLGEEKEAEQLFHEEEEVFFARLKKYDTLAKKRRDMVHGKWVAAASEAQGHLDRADNLDKRMIEVSGKNEKGRLAKRWRPEWEPPEPGAAMRCAACLPGADARCLLQLAAESAPGDRAPAAAAEELALLRRSLPPRGPEVARQWCDDACEPCPFPGDEEAWFRVVCARCFRHGCDARVPERPARQCLACAAEAPGAPLAM
+>sp|Q9H0X6|RN208_HUMAN RING finger protein 208 OS=Homo sapiens OX=9606 GN=RNF208 PE=1 SV=2
+MPSDPGPEAGSGWPGLLMSCLKGPHVILKMEAMKIVHPEKFPELPAAPCFPPAPRPTPTLAPKRAWPSDTEIIVNQACGGDMPALEGAPHTPPLPRRPRKGSSELGFPRVAPEDEVIVNQYVIRPGPSASAASSAAAGEPLECPTCGHSYNVTQRRPRVLSCLHSVCEQCLQILYESCPKYKFISCPTCRRETVLFTDYGLAALAVNTSILSRLPPEALTAPSGGQWGAEPEGSCYQTFRQYCGAACTCHVRNPLSACSIM
+>DECOY_sp|Q9H0X6|RN208_HUMAN RING finger protein 208 OS=Homo sapiens OX=9606 GN=RNF208 PE=1 SV=2
+MISCASLPNRVHCTCAAGCYQRFTQYCSGEPEAGWQGGSPATLAEPPLRSLISTNVALAALGYDTFLVTERRCTPCSIFKYKPCSEYLIQLCQECVSHLCSLVRPRRQTVNYSHGCTPCELPEGAAASSAASASPGPRIVYQNVIVEDEPAVRPFGLESSGKRPRRPLPPTHPAGELAPMDGGCAQNVIIETDSPWARKPALTPTPRPAPPFCPAAPLEPFKEPHVIKMAEMKLIVHPGKLCSMLLGPWGSGAEPGPDSPM
+>sp|Q495C1|RN212_HUMAN Probable E3 SUMO-protein ligase RNF212 OS=Homo sapiens OX=9606 GN=RNF212 PE=2 SV=1
+MANWVFCNRCFQPPHRTSCFSLTNCGHVYCDACLGKGKKNECLICKAPCRTVLLSKHTDADIQAFFMSIDSLCKKYSRETSQILEFQEKHRKRLLAFYREKISRLEESLRKSVLQIEQLQSMRSSQQTAFSTIKSSVSTKPHGCLLPPHSSAPDRLESMEVDLSPSPIRKSEIAAGPARISMISPPQDGRMGPHLTASFCFIPWLTLSKPPVPGECVISRGSPCFCIDVCPHWLLLLAFSSGRHGELTNSKTLPIYAEVQRAVLFPFQQAEGTLDTFRTPAVSVVFPLCQFERKKSF
+>DECOY_sp|Q495C1|RN212_HUMAN Probable E3 SUMO-protein ligase RNF212 OS=Homo sapiens OX=9606 GN=RNF212 PE=2 SV=1
+FSKKREFQCLPFVVSVAPTRFTDLTGEAQQFPFLVARQVEAYIPLTKSNTLEGHRGSSFALLLLWHPCVDICFCPSGRSIVCEGPVPPKSLTLWPIFCFSATLHPGMRGDQPPSIMSIRAPGAAIESKRIPSPSLDVEMSELRDPASSHPPLLCGHPKTSVSSKITSFATQQSSRMSQLQEIQLVSKRLSEELRSIKERYFALLRKRHKEQFELIQSTERSYKKCLSDISMFFAQIDADTHKSLLVTRCPAKCILCENKKGKGLCADCYVHGCNTLSFCSTRHPPQFCRNCFVWNAM
+>sp|Q63HN8|RN213_HUMAN E3 ubiquitin-protein ligase RNF213 OS=Homo sapiens OX=9606 GN=RNF213 PE=1 SV=3
+MECPSCQHVSKEETPKFCSQCGERLPPAAPIADSENNNSTMASASEGEMECGQELKEEGGPCLFPGSDSWQENPEEPCSKASWTVQESKKKKRKKKKKGNKSASSELASLPLSPASPCHLTLLSNPWPQDTALPHSQAQQSGPTGQPSQPPGTATTPLEGDGLSAPTEVGDSPLQAQALGEAGVATGSEAQSSPQFQDHTEGEDQDASIPSGGRGLSQEGTGPPTSAGEGHSRTEDAAQELLLPESKGGSSEPGTELQTTEQQAGASASMAVDAVAEPANAVKGAGKEMKEKTQRMKQPPATTPPFKTHCQEAETKTKDEMAAAEEKVGKNEQGEPEDLKKPEGKNRSAAAVKNEKEQKNQEADVQEVKASTLSPGGGVTVFFHAIISLHFPFNPDLHKVFIRGGEEFGESKWDSNICELHYTRDLGHDRVLVEGIVCISKKHLDKYIPYKYVIYNGESFEYEFIYKHQQKKGEYVNRCLFIKSSLLGSGDWHQYYDIVYMKPHGRLQKVMNHITDGPRKDLVKGKQIAAALMLDSTFSILQTWDTINLNSFFTQFEQFCFVLQQPMIYEGQAQLWTDLQYREKEVKRYLWQHLKKHVVPLPDGKSTDFLPVDCPVRSKLKTGLIVLFVVEKIELLLEGSLDWLCHLLTSDASSPDEFHRDLSHILGIPQSWRLYLVNLCQRCMDTRTYTWLGALPVLHCCMELAPRHKDAWRQPEDTWAALEGLSFSPFREQMLDTSSLLQFMREKQHLLSIDEPLFRSWFSLLPLSHLVMYMENFIEHLGRFPAHILDCLSGIYYRLPGLEQVLNTQDVQDVQNVQNILEMLLRLLDTYRDKIPEEALSPSYLTVCLKLHEAICSSTKLLKFYELPALSAEIVCRMIRLLSLVDSAGQRDETGNNSVQTVFQGTLAATKRWLREVFTKNMLTSSGASFTYVKEIEVWRRLVEIQFPAEHGWKESLLGDMEWRLTKEEPLSQITAYCNSCWDTKGLEDSVAKTFEKCIIEAVSSACQSQTSILQGFSYSDLRKFGIVLSAVITKSWPRTADNFNDILKHLLTLADVKHVFRLCGTDEKILANVTEDAKRLIAVADSVLTKVVGDLLSGTILVGQLELIIKHKNQFLDIWQLREKSLSPQDEQCAVEEALDWRREELLLLKKEKRCVDSLLKMCGNVKHLIQVDFGVLAVRHSQDLSSKRLNDTVTVRLSTSSNSQRATHYHLSSQVQEMAGKIDLLRDSHIFQLFWREAAEPLSEPKEDQEAAELLSEPEEESERHILELEEVYDYLYQPSYRKFIKLHQDLKSGEVTLAEIDVIFKDFVNKYTDLDSELKIMCTVDHQDQRDWIKDRVEQIKEYHHLHQAVHAAKVILQVKESLGLNGDFSVLNTLLNFTDNFDDFRRETLDQINQELIQAKKLLQDISEARCKGLQALSLRKEFICWVREALGGINELKVFVDLASISAGENDIDVDRVACFHDAVQGYASLLFKLDPSVDFSAFMKHLKKLWKALDKDQYLPRKLCDSARNLEWLKTVNESHGSVERSSLTLATAINQRGIYVIQAPKGGQKISPDTVLHLILPESPGSHEESREYSLEEVKELLNKLMLMSGKKDRNNTEVERFSEVFCSVQRLSQAFIDLHSAGNMLFRTWIAMAYCSPKQGVSLQMDFGLDLVTELKEGGDVTELLAALCRQMEHFLDSWKRFVTQKRMEHFYLNFYTAEQLVYLSTELRKQPPSDAALTMLSFIKSNCTLRDVLRASVGCGSEAARYRMRRVMEELPLMLLSEFSLVDKLRIIMEQSMRCLPAFLPDCLDLETLGHCLAHLAGMGGSPVERCLPRGLQVGQPNLVVCGHSEVLPAALAVYMQTPSQPLPTYDEVLLCTPATTFEEVALLLRRCLTLGSLGHKVYSLLFADQLSYEVARQAEELFHNLCTQQHREDYQLVMVCDGDWEHCYLPSAFSQHKVFVTPQAPLEAIQAYLAGHYRVPKQTLSAAAVFNDRLCVGIVASERAGVGKSLYVKRLHDKMKMQLNVKNVPLKTIRLIDPQVDESRVLGALLPFLDAQYQKVPVLFHLDVTSSVQTGIWVFLFKLLILQYLMDINGKMWLRNPCHLYIVEILERRTSVPSRSSSALRTRVPQFSFLDIFPKVTCRPPKEVIDMELSALRSDTEPGMDLWEFCSETFQRPYQYLRRFNQNQDLDTFQYQEGSVEGTPEECLQHFLFHCGVINPSWSELRNFARFLNYQLRDCEASLFCNPSFIGDTLRGFKKFVVTFMIFMARDFATPSLHTSDQSPGKHMVTMDGVREEDLAPFSLRKRWESEPHPYVFFNDDHTTMTFIGFHLQPNINGSVDAISHLTGKVIKRDVMTRDLYQGLLLQRVPFNVDFDKLPRHKKLERLCLTLGIPQATDPDKTYELTTDNMLKILAIEMRFRCGIPVIIMGETGCGKTRLIKFLSDLRRGGTNADTIKLVKVHGGTTADMIYSRVREAENVAFANKDQHQLDTILFFDEANTTEAISCIKEVLCDHMVDGQPLAEDSGLHIIAACNPYRKHSEEMICRLESAGLGYRVSMEETADRLGSIPLRQLVYRVHALPPSLIPLVWDFGQLSDVAEKLYIQQIVQRLVESISLDENGTRVITEVLCASQGFMRKTEDECSFVSLRDVERCVKVFRWFHEHSAMLLAQLNAFLSKSSVSKNHTERDPVLWSLMLAIGVCYHASLEKKDSYRKAIARFFPKPYDDSRLLLDEITRAQDLFLDGVPLRKTIAKNLALKENVFMMVVCIELKIPLFLVGKPGSSKSLAKTIVADAMQGPAAYSDLFRSLKQVHLVSFQCSPHSTPQGIISTFRQCARFQQGKDLQQYVSVVVLDEVGLAEDSPKMPLKTLHPLLEDGCIEDDPAPHKKVGFVGISNWALDPAKMNRGIFVSRGSPNETELIESAKGICSSDILVQDRVQGYFASFAKAYETVCKRQDKEFFGLRDYYSLIKMVFAAAKASNRKPSPQDIAQAVLRNFSGKDDIQALDIFLANLPEAKCSEEVSPMQLIKQNIFGPSQKVPGGEQEDAESRYLLVLTKNYVALQILQQTFFEGDQQPEIIFGSGFPKDQEYTQLCRNINRVKICMETGKMVLLLNLQNLYESLYDALNQYYVHLGGQKYVDLGLGTHRVKCRVHPNFRLIVIEEKDVVYKHFPIPLINRLEKHYLDINTVLEKWQKSIVEELCAWVEKFINVKAHHFQKRHKYSPSDVFIGYHSDACASVVLQVIERQGPRALTEELHQKVSEEAKSILLNCATPDAVVRLSAYSLGGFAAEWLSQEYFHRQRHNSFADFLQAHLHTADLERHAIFTEITTFSRLLTSHDCEILESEVTGRAPKPTLLWLQQFDTEYSFLKEVRNCLTNTAKCKILIFQTDFEDGIRSAQLIASAKYSVINEINKIRENEDRIFVYFITKLSRVGRGTAYVGFHGGLWQSVHIDDLRRSTLMVSDVTRLQHVTISQLFAPGDLPELGLEHRAEDGHEEAMETEASTSGEVAEVAEEAMETESSEKVGKETSELGGSDVSILDTTRLLRSCVQSAVGMLRDQNESCTRNMRRVVLLLGLLNEDDACHASFLRVSKMRLSVFLKKQEESQFHPLEWLAREACNQDALQEAGTFRHTLWKRVQGAVTPLLASMISFIDRDGNLELLTRPDTPPWARDLWMFIFSDTMLLNIPLVMNNERHKGEMAYIVVQNHMNLSENASNNVPFSWKIKDYLEELWVQAQYITDAEGLPKKFVDIFQQTPLGRFLAQLHGEPQQELLQCYLKDFILLTMRVSTEEELKFLQMALWSCTRKLKAASEAPEEEVSLPWVHLAYQRFRSRLQNFSRILTIYPQVLHSLMEARWNHELAGCEMTLDAFAAMACTEMLTRNTLKPSPQAWLQLVKNLSMPLELICSDEHMQGSGSLAQAVIREVRAQWSRIFSTALFVEHVLLGTESRVPELQGLVTEHVFLLDKCLRENSDVKTHGPFEAVMRTLCECKETASKTLSRFGIQPCSICLGDAKDPVCLPCDHVHCLRCLRAWFASEQMICPYCLTALPDEFSPAVSQAHREAIEKHARFRQMCNSFFVDLVSTICFKDNAPPEKEVIESLLSLLFVQKGRLRDAAQRHCEHTKSLSPFNDVVDKTPVIRSVILKLLLKYSFHDVKDYIQEYLTLLKKKAFITEDKTELYMLFINCLEDSILEKTSAYSRNDELNHLEEEGRFLKAYSPASRGREPANEASVEYLQEVARIRLCLDRAADFLSEPEGGPEMAKEKQCYLQQVKQFCIRVENDWHRVYLVRKLSSQRGMEFVQGLSKPGRPHQWVFPKDVVKQQGLRQDHPGQMDRYLVYGDEYKALRDAVAKAVLECKPLGIKTALKACKTPQSQQSAYFLLTLFREVAILYRSHNASLHPTPEQCEAVSKFIGECKILSPPDISRFATSLVDNSVPLLRAGPSDSNLDGTVTEMAIHAAAVLLCGQNELLEPLKNLAFSPATMAHAFLPTMPEDLLAQARRWKGLERVHWYTCPNGHPCSVGECGRPMEQSICIDCHAPIGGIDHKPRDGFHLVKDKADRTQTGHVLGNPQRRDVVTCDRGLPPVVFLLIRLLTHLALLLGASQSSQALINIIKPPVRDPKGFLQQHILKDLEQLAKMLGHSADETIGVVHLVLRRLLQEQHQLSSRRLLNFDTELSTKEMRNNWEKEIAAVISPELEHLDKTLPTMNNLISQDKRISSNPVAKIIYGDPVTFLPHLPRKSVVHCSKIWSCRKRITVEYLQHIVEQKNGKERVPILWHFLQKEAELRLVKFLPEILALQRDLVKQFQNVQQVEYSSIRGFLSKHSSDGLRQLLHNRITVFLSTWNKLRRSLETNGEINLPKDYCSTDLDLDTEFEILLPRRRGLGLCATALVSYLIRLHNEIVYAVEKLSKENNSYSVDAAEVTELHVISYEVERDLTPLILSNCQYQVEEGRETVQEFDLEKIQRQIVSRFLQGKPRLSLKGIPTLVYRHDWNYEHLFMDIKNKMAQDSLPSSVISAISGQLQSYSDACEVLSVVEVTLGFLSTAGGDPNMQLNVYTQDILQMGDQTIHVLKALNRCQLKHTIALWQFLSAHKSEQLLRLHKEPFGEISSRYKADLSPENAKLLSTFLNQTGLDAFLLELHEMIILKLKNPQTQTEERFRPQWSLRDTLVSYMQTKESEILPEMASQFPEEILLASCVSVWKTAAVLKWNREMR
+>DECOY_sp|Q63HN8|RN213_HUMAN E3 ubiquitin-protein ligase RNF213 OS=Homo sapiens OX=9606 GN=RNF213 PE=1 SV=3
+RMERNWKLVAATKWVSVCSALLIEEPFQSAMEPLIESEKTQMYSVLTDRLSWQPRFREETQTQPNKLKLIIMEHLELLFADLGTQNLFTSLLKANEPSLDAKYRSSIEGFPEKHLRLLQESKHASLFQWLAITHKLQCRNLAKLVHITQDGMQLIDQTYVNLQMNPDGGATSLFGLTVEVVSLVECADSYSQLQGSIASIVSSPLSDQAMKNKIDMFLHEYNWDHRYVLTPIGKLSLRPKGQLFRSVIQRQIKELDFEQVTERGEEVQYQCNSLILPTLDREVEYSIVHLETVEAADVSYSNNEKSLKEVAYVIENHLRILYSVLATACLGLGRRRPLLIEFETDLDLDTSCYDKPLNIEGNTELSRRLKNWTSLFVTIRNHLLQRLGDSSHKSLFGRISSYEVQQVNQFQKVLDRQLALIEPLFKVLRLEAEKQLFHWLIPVREKGNKQEVIHQLYEVTIRKRCSWIKSCHVVSKRPLHPLFTVPDGYIIKAVPNSSIRKDQSILNNMTPLTKDLHELEPSIVAAIEKEWNNRMEKTSLETDFNLLRRSSLQHQEQLLRRLVLHVVGITEDASHGLMKALQELDKLIHQQLFGKPDRVPPKIINILAQSSQSAGLLLALHTLLRILLFVVPPLGRDCTVVDRRQPNGLVHGTQTRDAKDKVLHFGDRPKHDIGGIPAHCDICISQEMPRGCEGVSCPHGNPCTYWHVRELGKWRRAQALLDEPMTPLFAHAMTAPSFALNKLPELLENQGCLLVAAAHIAMETVTGDLNSDSPGARLLPVSNDVLSTAFRSIDPPSLIKCEGIFKSVAECQEPTPHLSANHSRYLIAVERFLTLLFYASQQSQPTKCAKLATKIGLPKCELVAKAVADRLAKYEDGYVLYRDMQGPHDQRLGQQKVVDKPFVWQHPRGPKSLGQVFEMGRQSSLKRVLYVRHWDNEVRICFQKVQQLYCQKEKAMEPGGEPESLFDAARDLCLRIRAVEQLYEVSAENAPERGRSAPSYAKLFRGEEELHNLEDNRSYASTKELISDELCNIFLMYLETKDETIFAKKKLLTLYEQIYDKVDHFSYKLLLKLIVSRIVPTKDVVDNFPSLSKTHECHRQAADRLRGKQVFLLSLLSEIVEKEPPANDKFCITSVLDVFFSNCMQRFRAHKEIAERHAQSVAPSFEDPLATLCYPCIMQESAFWARLCRLCHVHDCPLCVPDKADGLCISCPQIGFRSLTKSATEKCECLTRMVAEFPGHTKVDSNERLCKDLLFVHETVLGQLEPVRSETGLLVHEVFLATSFIRSWQARVERIVAQALSGSGQMHEDSCILELPMSLNKVLQLWAQPSPKLTNRTLMETCAMAAFADLTMECGALEHNWRAEMLSHLVQPYITLIRSFNQLRSRFRQYALHVWPLSVEEEPAESAAKLKRTCSWLAMQLFKLEEETSVRMTLLIFDKLYCQLLEQQPEGHLQALFRGLPTQQFIDVFKKPLGEADTIYQAQVWLEELYDKIKWSFPVNNSANESLNMHNQVVIYAMEGKHRENNMVLPINLLMTDSFIFMWLDRAWPPTDPRTLLELNGDRDIFSIMSALLPTVAGQVRKWLTHRFTGAEQLADQNCAERALWELPHFQSEEQKKLFVSLRMKSVRLFSAHCADDENLLGLLLVVRRMNRTCSENQDRLMGVASQVCSRLLRTTDLISVDSGGLESTEKGVKESSETEMAEEAVEAVEGSTSAETEMAEEHGDEARHELGLEPLDGPAFLQSITVHQLRTVDSVMLTSRRLDDIHVSQWLGGHFGVYATGRGVRSLKTIFYVFIRDENERIKNIENIVSYKASAILQASRIGDEFDTQFILIKCKATNTLCNRVEKLFSYETDFQQLWLLTPKPARGTVESELIECDHSTLLRSFTTIETFIAHRELDATHLHAQLFDAFSNHRQRHFYEQSLWEAAFGGLSYASLRVVADPTACNLLISKAEESVKQHLEETLARPGQREIVQLVVSACADSHYGIFVDSPSYKHRKQFHHAKVNIFKEVWACLEEVISKQWKELVTNIDLYHKELRNILPIPFHKYVVDKEEIVILRFNPHVRCKVRHTGLGLDVYKQGGLHVYYQNLADYLSEYLNQLNLLLVMKGTEMCIKVRNINRCLQTYEQDKPFGSGFIIEPQQDGEFFTQQLIQLAVYNKTLVLLYRSEADEQEGGPVKQSPGFINQKILQMPSVEESCKAEPLNALFIDLAQIDDKGSFNRLVAQAIDQPSPKRNSAKAAAFVMKILSYYDRLGFFEKDQRKCVTEYAKAFSAFYGQVRDQVLIDSSCIGKASEILETENPSGRSVFIGRNMKAPDLAWNSIGVFGVKKHPAPDDEICGDELLPHLTKLPMKPSDEALGVEDLVVVSVYQQLDKGQQFRACQRFTSIIGQPTSHPSCQFSVLHVQKLSRFLDSYAAPGQMADAVITKALSKSSGPKGVLFLPIKLEICVVMMFVNEKLALNKAITKRLPVGDLFLDQARTIEDLLLRSDDYPKPFFRAIAKRYSDKKELSAHYCVGIALMLSWLVPDRETHNKSVSSKSLFANLQALLMASHEHFWRFVKVCREVDRLSVFSCEDETKRMFGQSACLVETIVRTGNEDLSISEVLRQVIQQIYLKEAVDSLQGFDWVLPILSPPLAHVRYVLQRLPISGLRDATEEMSVRYGLGASELRCIMEESHKRYPNCAAIIHLGSDEALPQGDVMHDCLVEKICSIAETTNAEDFFLITDLQHQDKNAFAVNEAERVRSYIMDATTGGHVKVLKITDANTGGRRLDSLFKILRTKGCGTEGMIIVPIGCRFRMEIALIKLMNDTTLEYTKDPDTAQPIGLTLCLRELKKHRPLKDFDVNFPVRQLLLGQYLDRTMVDRKIVKGTLHSIADVSGNINPQLHFGIFTMTTHDDNFFVYPHPESEWRKRLSFPALDEERVGDMTVMHKGPSQDSTHLSPTAFDRAMFIMFTVVFKKFGRLTDGIFSPNCFLSAECDRLQYNLFRAFNRLESWSPNIVGCHFLFHQLCEEPTGEVSGEQYQFTDLDQNQNFRRLYQYPRQFTESCFEWLDMGPETDSRLASLEMDIVEKPPRCTVKPFIDLFSFQPVRTRLASSSRSPVSTRRELIEVIYLHCPNRLWMKGNIDMLYQLILLKFLFVWIGTQVSSTVDLHFLVPVKQYQADLFPLLAGLVRSEDVQPDILRITKLPVNKVNLQMKMKDHLRKVYLSKGVGARESAVIGVCLRDNFVAAASLTQKPVRYHGALYAQIAELPAQPTVFVKHQSFASPLYCHEWDGDCVMVLQYDERHQQTCLNHFLEEAQRAVEYSLQDAFLLSYVKHGLSGLTLCRRLLLAVEEFTTAPTCLLVEDYTPLPQSPTQMYVALAAPLVESHGCVVLNPQGVQLGRPLCREVPSGGMGALHALCHGLTELDLCDPLFAPLCRMSQEMIIRLKDVLSFESLLMLPLEEMVRRMRYRAAESGCGVSARLVDRLTCNSKIFSLMTLAADSPPQKRLETSLYVLQEATYFNLYFHEMRKQTVFRKWSDLFHEMQRCLAALLETVDGGEKLETVLDLGFDMQLSVGQKPSCYAMAIWTRFLMNGASHLDIFAQSLRQVSCFVESFREVETNNRDKKGSMLMLKNLLEKVEELSYERSEEHSGPSEPLILHLVTDPSIKQGGKPAQIVYIGRQNIATALTLSSREVSGHSENVTKLWELNRASDCLKRPLYQDKDLAKWLKKLHKMFASFDVSPDLKFLLSAYGQVADHFCAVRDVDIDNEGASISALDVFVKLENIGGLAERVWCIFEKRLSLAQLGKCRAESIDQLLKKAQILEQNIQDLTERRFDDFNDTFNLLTNLVSFDGNLGLSEKVQLIVKAAHVAQHLHHYEKIQEVRDKIWDRQDQHDVTCMIKLESDLDTYKNVFDKFIVDIEALTVEGSKLDQHLKIFKRYSPQYLYDYVEELELIHRESEEEPESLLEAAEQDEKPESLPEAAERWFLQFIHSDRLLDIKGAMEQVQSSLHYHTARQSNSSTSLRVTVTDNLRKSSLDQSHRVALVGFDVQILHKVNGCMKLLSDVCRKEKKLLLLEERRWDLAEEVACQEDQPSLSKERLQWIDLFQNKHKIILELQGVLITGSLLDGVVKTLVSDAVAILRKADETVNALIKEDTGCLRFVHKVDALTLLHKLIDNFNDATRPWSKTIVASLVIGFKRLDSYSFGQLISTQSQCASSVAEIICKEFTKAVSDELGKTDWCSNCYATIQSLPEEKTLRWEMDGLLSEKWGHEAPFQIEVLRRWVEIEKVYTFSAGSSTLMNKTFVERLWRKTAALTGQFVTQVSNNGTEDRQGASDVLSLLRIMRCVIEASLAPLEYFKLLKTSSCIAEHLKLCVTLYSPSLAEEPIKDRYTDLLRLLMELINQVNQVDQVDQTNLVQELGPLRYYIGSLCDLIHAPFRGLHEIFNEMYMVLHSLPLLSFWSRFLPEDISLLHQKERMFQLLSSTDLMQERFPSFSLGELAAWTDEPQRWADKHRPALEMCCHLVPLAGLWTYTRTDMCRQCLNVLYLRWSQPIGLIHSLDRHFEDPSSADSTLLHCLWDLSGELLLEIKEVVFLVILGTKLKSRVPCDVPLFDTSKGDPLPVVHKKLHQWLYRKVEKERYQLDTWLQAQGEYIMPQQLVFCFQEFQTFFSNLNITDWTQLISFTSDLMLAAAIQKGKVLDKRPGDTIHNMVKQLRGHPKMYVIDYYQHWDGSGLLSSKIFLCRNVYEGKKQQHKYIFEYEFSEGNYIVYKYPIYKDLHKKSICVIGEVLVRDHGLDRTYHLECINSDWKSEGFEEGGRIFVKHLDPNFPFHLSIIAHFFVTVGGGPSLTSAKVEQVDAEQNKQEKENKVAAASRNKGEPKKLDEPEGQENKGVKEEAAAMEDKTKTEAEQCHTKFPPTTAPPQKMRQTKEKMEKGAGKVANAPEAVADVAMSASAGAQQETTQLETGPESSGGKSEPLLLEQAADETRSHGEGASTPPGTGEQSLGRGGSPISADQDEGETHDQFQPSSQAESGTAVGAEGLAQAQLPSDGVETPASLGDGELPTTATGPPQSPQGTPGSQQAQSHPLATDQPWPNSLLTLHCPSAPSLPLSALESSASKNGKKKKKRKKKKSEQVTWSAKSCPEEPNEQWSDSGPFLCPGGEEKLEQGCEMEGESASAMTSNNNESDAIPAAPPLREGCQSCFKPTEEKSVHQCSPCEM
+>sp|M0QZC1|RN225_HUMAN RING finger protein 225 OS=Homo sapiens OX=9606 GN=RNF225 PE=3 SV=2
+MPCPRPFWLRHSRAPQGSGPSSPGSLSAPRSPSRGEDQEEEEEEEGDGSPGSGPILPPASPVECLICVSSFDGVFKLPKRLDCGHVFCLECLARLSLATAGGGNAVACPVCRAPTRLAPRRGLPALPTQSGLLPRDARAPPSRQGSVRFDRRRGLLYLRPPPPPPGPRKARAPPPPPPLRLGRPLSRRLSLASPAWVFNAAVALAVLVAAGLVVSGVYIFFLIPHATSSGPPRPQLVALAPAPGFSWFPPRPPPGSPWAPAWTPRPTGPDLDTALPGTAEDALEPEAGPEDPAEAERTLDRRSDGTWGTEAGPGWAPWPRGARRLWGSQ
+>DECOY_sp|M0QZC1|RN225_HUMAN RING finger protein 225 OS=Homo sapiens OX=9606 GN=RNF225 PE=3 SV=2
+QSGWLRRAGRPWPAWGPGAETGWTGDSRRDLTREAEAPDEPGAEPELADEATGPLATDLDPGTPRPTWAPAWPSGPPPRPPFWSFGPAPALAVLQPRPPGSSTAHPILFFIYVGSVVLGAAVLVALAVAANFVWAPSALSLRRSLPRGLRLPPPPPPARAKRPGPPPPPPRLYLLGRRRDFRVSGQRSPPARADRPLLGSQTPLAPLGRRPALRTPARCVPCAVANGGGATALSLRALCELCFVHGCDLRKPLKFVGDFSSVCILCEVPSAPPLIPGSGPSGDGEEEEEEEQDEGRSPSRPASLSGPSSPGSGQPARSHRLWFPRPCPM
+>sp|A6NIE6|RN3P2_HUMAN Putative RRN3-like protein RRN3P2 OS=Homo sapiens OX=9606 GN=RRN3P2 PE=5 SV=3
+MAAPLLHTRLPGDAAASPSAVKMLGASRTGISNMRALENDFFNSPPRKTVQFGGTVTEVLLKYKTGETNDFELLKNQLLDPDIKDDQIINWLLEFRSSIMYLTKDFEQLISIILRLPWLNRSQTVVEEYLAFLGNLVSAQTVFLRPCLSMIASHFVPPRVIIKEGDVDVSDSDDEDDNLPANFDTSQSLANNSKICTIECYVHNLLRISVYFPTLRHEILELIIEKLLKLDVNASRQGIEDAEETANQTCGGTDSTEGLCNMGFAEAFLEPLWKKLQDPSNPAIIRQAAGNYIGSFLARAKFIPLMIREQRHSAMLLSMDHFTQPAKLCSTPLFLDTSSF
+>DECOY_sp|A6NIE6|RN3P2_HUMAN Putative RRN3-like protein RRN3P2 OS=Homo sapiens OX=9606 GN=RRN3P2 PE=5 SV=3
+FSSTDLFLPTSCLKAPQTFHDMSLLMASHRQERIMLPIFKARALFSGIYNGAAQRIIAPNSPDQLKKWLPELFAEAFGMNCLGETSDTGGCTQNATEEADEIGQRSANVDLKLLKEIILELIEHRLTPFYVSIRLLNHVYCEITCIKSNNALSQSTDFNAPLNDDEDDSDSVDVDGEKIIVRPPVFHSAIMSLCPRLFVTQASVLNGLFALYEEVVTQSRNLWPLRLIISILQEFDKTLYMISSRFELLWNIIQDDKIDPDLLQNKLLEFDNTEGTKYKLLVETVTGGFQVTKRPPSNFFDNELARMNSIGTRSAGLMKVASPSAAADGPLRTHLLPAAM
+>sp|P10153|RNAS2_HUMAN Non-secretory ribonuclease OS=Homo sapiens OX=9606 GN=RNASE2 PE=1 SV=2
+MVPKLFTSQICLLLLLGLLAVEGSLHVKPPQFTWAQWFETQHINMTSQQCTNAMQVINNYQRRCKNQNTFLLTTFANVVNVCGNPNMTCPSNKTRKNCHHSGSQVPLIHCNLTTPSPQNISNCRYAQTPANMFYIVACDNRDQRRDPPQYPVVPVHLDRII
+>DECOY_sp|P10153|RNAS2_HUMAN Non-secretory ribonuclease OS=Homo sapiens OX=9606 GN=RNASE2 PE=1 SV=2
+IIRDLHVPVVPYQPPDRRQDRNDCAVIYFMNAPTQAYRCNSINQPSPTTLNCHILPVQSGSHHCNKRTKNSPCTMNPNGCVNVVNAFTTLLFTNQNKCRRQYNNIVQMANTCQQSTMNIHQTEFWQAWTFQPPKVHLSGEVALLGLLLLLCIQSTFLKPVM
+>sp|Q8TDE3|RNAS8_HUMAN Ribonuclease 8 OS=Homo sapiens OX=9606 GN=RNASE8 PE=1 SV=1
+MAPARAGCCPLLLLLLGLWVAEVLVRAKPKDMTSSQWFKTQHVQPSPQACNSAMSIINKYTERCKDLNTFLHEPFSSVAITCQTPNIACKNSCKNCHQSHGPMSLTMGELTSGKYPNCRYKEKHLNTPYIVACDPPQQGDPGYPLVPVHLDKVV
+>DECOY_sp|Q8TDE3|RNAS8_HUMAN Ribonuclease 8 OS=Homo sapiens OX=9606 GN=RNASE8 PE=1 SV=1
+VVKDLHVPVLPYGPDGQQPPDCAVIYPTNLHKEKYRCNPYKGSTLEGMTLSMPGHSQHCNKCSNKCAINPTQCTIAVSSFPEHLFTNLDKCRETYKNIISMASNCAQPSPQVHQTKFWQSSTMDKPKARVLVEAVWLGLLLLLLPCCGARAPAM
+>sp|Q8N5U6|RNF10_HUMAN RING finger protein 10 OS=Homo sapiens OX=9606 GN=RNF10 PE=1 SV=2
+MPLSSPNAAATASDMDKNSGSNSSSASSGSSKGQQPPRSASAGPAGESKPKSDGKNSSGSKRYNRKRELSYPKNESFNNQSRRSSSQKSKTFNKMPPQRGGGSSKLFSSSFNGGRRDEVAEAQRAEFSPAQFSGPKKINLNHLLNFTFEPRGQTGHFEGSGHGSWGKRNKWGHKPFNKELFLQANCQFVVSEDQDYTAHFADPDTLVNWDFVEQVRICSHEVPSCPICLYPPTAAKITRCGHIFCWACILHYLSLSEKTWSKCPICYSSVHKKDLKSVVATESHQYVVGDTITMQLMKREKGVLVALPKSKWMNVDHPIHLGDEQHSQYSKLLLASKEQVLHRVVLEEKVALEQQLAEEKHTPESCFIEAAIQELKTREEALSGLAGSRREVTGVVAALEQLVLMAPLAKESVFQPRKGVLEYLSAFDEETTEVCSLDTPSRPLALPLVEEEEAVSEPEPEGLPEACDDLELADDNLKEGTICTESSQQEPITKSGFTRLSSSPCYYFYQAEDGQHMFLHPVNVRCLVREYGSLERSPEKISATVVEIAGYSMSEDVRQRHRYLSHLPLTCEFSICELALQPPVVSKETLEMFSDDIEKRKRQRQKKAREERRRERRIEIEENKKQGKYPEVHIPLENLQQFPAFNSYTCSSDSALGPTSTEGHGALSISPLSRSPGSHADFLLTPLSPTASQGSPSFCVGSLEEDSPFPSFAQMLRVGKAKADVWPKTAPKKDENSLVPPAPVDSDGESDNSDRVPVPSFQNSFSQAIEAAFMKLDTPATSDPLSEEKGGKKRKKQKQKLLFSTSVVHTK
+>DECOY_sp|Q8N5U6|RNF10_HUMAN RING finger protein 10 OS=Homo sapiens OX=9606 GN=RNF10 PE=1 SV=2
+KTHVVSTSFLLKQKQKKRKKGGKEESLPDSTAPTDLKMFAAEIAQSFSNQFSPVPVRDSNDSEGDSDVPAPPVLSNEDKKPATKPWVDAKAKGVRLMQAFSPFPSDEELSGVCFSPSGQSATPSLPTLLFDAHSGPSRSLPSISLAGHGETSTPGLASDSSCTYSNFAPFQQLNELPIHVEPYKGQKKNEEIEIRRERRREERAKKQRQRKRKEIDDSFMELTEKSVVPPQLALECISFECTLPLHSLYRHRQRVDESMSYGAIEVVTASIKEPSRELSGYERVLCRVNVPHLFMHQGDEAQYFYYCPSSSLRTFGSKTIPEQQSSETCITGEKLNDDALELDDCAEPLGEPEPESVAEEEEVLPLALPRSPTDLSCVETTEEDFASLYELVGKRPQFVSEKALPAMLVLQELAAVVGTVERRSGALGSLAEERTKLEQIAAEIFCSEPTHKEEALQQELAVKEELVVRHLVQEKSALLLKSYQSHQEDGLHIPHDVNMWKSKPLAVLVGKERKMLQMTITDGVVYQHSETAVVSKLDKKHVSSYCIPCKSWTKESLSLYHLICAWCFIHGCRTIKAATPPYLCIPCSPVEHSCIRVQEVFDWNVLTDPDAFHATYDQDESVVFQCNAQLFLEKNFPKHGWKNRKGWSGHGSGEFHGTQGRPEFTFNLLHNLNIKKPGSFQAPSFEARQAEAVEDRRGGNFSSSFLKSSGGGRQPPMKNFTKSKQSSSRRSQNNFSENKPYSLERKRNYRKSGSSNKGDSKPKSEGAPGASASRPPQQGKSSGSSASSSNSGSNKDMDSATAAANPSSLPM
+>sp|Q9NVW2|RNF12_HUMAN E3 ubiquitin-protein ligase RLIM OS=Homo sapiens OX=9606 GN=RLIM PE=1 SV=3
+MENSDSNDKGSGDQSAAQRRSQMDRLDREEAFYQFVNNLSEEDYRLMRDNNLLGTPGESTEEELLRRLQQIKEGPPPQNSDENRGGDSSDDVSNGDSIIDWLNSVRQTGNTTRSGQRGNQSWRAVSRTNPNSGDFRFSLEINVNRNNGSQNSENENEPSARRSSGENVENNSQRQVENPRSESTSARPSRSERNSTEALTEVPPTRGQRRARSRSPDHRRTRARAERSRSPLHPMSEIPRRSHHSISSQTFEHPLVNETEGSSRTRHHVTLRQQISGPELLSRGLFAASGTRNASQGAGSSDTAASGESTGSGQRPPTIVLDLQVRRVRPGEYRQRDSIASRTRSRSQTPNNTVTYESERGGFRRTFSRSERAGVRTYVSTIRIPIRRILNTGLSETTSVAIQTMLRQIMTGFGELSYFMYSDSDSEPTGSVSNRNMERAESRSGRGGSGGGSSSGSSSSSSSSSSSSSSSSSSSSPSSSSGGESSETSSDLFEGSNEGSSSSGSSGARREGRHRAPVTFDESGSLPFLSLAQFFLLNEDDDDQPRGLTKEQIDNLAMRSFGENDALKTCSVCITEYTEGNKLRKLPCSHEYHVHCIDRWLSENSTCPICRRAVLASGNRESVV
+>DECOY_sp|Q9NVW2|RNF12_HUMAN E3 ubiquitin-protein ligase RLIM OS=Homo sapiens OX=9606 GN=RLIM PE=1 SV=3
+VVSERNGSALVARRCIPCTSNESLWRDICHVHYEHSCPLKRLKNGETYETICVSCTKLADNEGFSRMALNDIQEKTLGRPQDDDDENLLFFQALSLFPLSGSEDFTVPARHRGERRAGSSGSSSSGENSGEFLDSSTESSEGGSSSSPSSSSSSSSSSSSSSSSSSSSGSSSGGGSGGRGSRSEAREMNRNSVSGTPESDSDSYMFYSLEGFGTMIQRLMTQIAVSTTESLGTNLIRRIPIRITSVYTRVGARESRSFTRRFGGRESEYTVTNNPTQSRSRTRSAISDRQRYEGPRVRRVQLDLVITPPRQGSGTSEGSAATDSSGAGQSANRTGSAAFLGRSLLEPGSIQQRLTVHHRTRSSGETENVLPHEFTQSSISHHSRRPIESMPHLPSRSREARARTRRHDPSRSRARRQGRTPPVETLAETSNRESRSPRASTSESRPNEVQRQSNNEVNEGSSRRASPENENESNQSGNNRNVNIELSFRFDGSNPNTRSVARWSQNGRQGSRTTNGTQRVSNLWDIISDGNSVDDSSDGGRNEDSNQPPPGEKIQQLRRLLEEETSEGPTGLLNNDRMLRYDEESLNNVFQYFAEERDLRDMQSRRQAASQDGSGKDNSDSNEM
+>sp|Q9H0A6|RNF32_HUMAN RING finger protein 32 OS=Homo sapiens OX=9606 GN=RNF32 PE=1 SV=1
+MLKNKGHSSKKDNLAVNAVALQDHILHDLQLRNLSVADHSKTQVQKKENKSLKRDTKAIIDTGLKKTTQCPKLEDSEKEYVLDPKPPPLTLAQKLGLIGPPPPPLSSDEWEKVKQRSLLQGDSVQPCPICKEEFELRPQVLLSCSHVFHKACLQAFEKFTNKKTCPLCRKNQYQTRVIHDGARLFRIKCVTRIQAYWRGCVVRKWYRNLRKTVPPTDAKLRKKFFEKKFTEISHRILCSYNTNIEELFAEIDQCLAINRSVLQQLEEKCGHEITEEEWEKIQVQALRRETHECSICLAPLSAAGGQRVGAGRRSREMALLSCSHVFHHACLLALEEFSVGDRPPFHACPLCRSCYQKKILEC
+>DECOY_sp|Q9H0A6|RNF32_HUMAN RING finger protein 32 OS=Homo sapiens OX=9606 GN=RNF32 PE=1 SV=1
+CELIKKQYCSRCLPCAHFPPRDGVSFEELALLCAHHFVHSCSLLAMERSRRGAGVRQGGAASLPALCISCEHTERRLAQVQIKEWEEETIEHGCKEELQQLVSRNIALCQDIEAFLEEINTNYSCLIRHSIETFKKEFFKKRLKADTPPVTKRLNRYWKRVVCGRWYAQIRTVCKIRFLRAGDHIVRTQYQNKRCLPCTKKNTFKEFAQLCAKHFVHSCSLLVQPRLEFEEKCIPCPQVSDGQLLSRQKVKEWEDSSLPPPPPGILGLKQALTLPPPKPDLVYEKESDELKPCQTTKKLGTDIIAKTDRKLSKNEKKQVQTKSHDAVSLNRLQLDHLIHDQLAVANVALNDKKSSHGKNKLM
+>sp|O94941|RNF37_HUMAN RING finger protein 37 OS=Homo sapiens OX=9606 GN=UBOX5 PE=1 SV=1
+MVINLCLPQFRPRIHCNKISADGYEVENLISEDLTKRSHGFRTEYFIKPPVYVTVSFPFNVEICRINIDLTAGGGQNVTGLEMYTSASSSRVSWNTPQCRTLGPAEPSVPDKEAFTLVGKVLLKNQSQVVFSHRGFKARPPFGAMEATLPSPAVVAQELWNKGALSLSHVAHLRICITHVTGGGIPCIKRLEVWGQPAKTCSQEVIDSILLVTSENLPQDVALQAPALPMESDCDPGDQPESQQAPSSLQKLAEIIQDVPEEFLDPITLEIMPCPMLLPSGKVIDQSTLEKCNRSEATWGRVPSDPFTGVAFTPHSQPLPHPSLKARIDHFLLQHSIPGCHLLGRAQTALAVIPSSIVLPSQKRKIEQAEHVPDSNFGVNASCFSATSPLVLPTTSEHTAKKMKATNEPSLTHMDCSTGPLSHEQKLSQSLEIALASTLGSMPSFTARLTRGQLQHLGTRGSNTSWRPGTGSEQPGSILGPECASCKRVFSPYFKKEPVYQLPCGHLLCRPCLGEKQRSLPMTCTACQRPVASQDVLRVHF
+>DECOY_sp|O94941|RNF37_HUMAN RING finger protein 37 OS=Homo sapiens OX=9606 GN=UBOX5 PE=1 SV=1
+FHVRLVDQSAVPRQCATCTMPLSRQKEGLCPRCLLHGCPLQYVPEKKFYPSFVRKCSACEPGLISGPQESGTGPRWSTNSGRTGLHQLQGRTLRATFSPMSGLTSALAIELSQSLKQEHSLPGTSCDMHTLSPENTAKMKKATHESTTPLVLPSTASFCSANVGFNSDPVHEAQEIKRKQSPLVISSPIVALATQARGLLHCGPISHQLLFHDIRAKLSPHPLPQSHPTFAVGTFPDSPVRGWTAESRNCKELTSQDIVKGSPLLMPCPMIELTIPDLFEEPVDQIIEALKQLSSPAQQSEPQDGPDCDSEMPLAPAQLAVDQPLNESTVLLISDIVEQSCTKAPQGWVELRKICPIGGGTVHTICIRLHAVHSLSLAGKNWLEQAVVAPSPLTAEMAGFPPRAKFGRHSFVVQSQNKLLVKGVLTFAEKDPVSPEAPGLTRCQPTNWSVRSSSASTYMELGTVNQGGGATLDINIRCIEVNFPFSVTVYVPPKIFYETRFGHSRKTLDESILNEVEYGDASIKNCHIRPRFQPLCLNIVM
+>sp|Q99942|RNF5_HUMAN E3 ubiquitin-protein ligase RNF5 OS=Homo sapiens OX=9606 GN=RNF5 PE=1 SV=1
+MAAAEEEDGGPEGPNRERGGAGATFECNICLETAREAVVSVCGHLYCWPCLHQWLETRPERQECPVCKAGISREKVVPLYGRGSQKPQDPRLKTPPRPQGQRPAPESRGGFQPFGDTGGFHFSFGVGAFPFGFFTTVFNAHEPFRRGTGVDLGQGHPASSWQDSLFLFLAIFFFFWLLSI
+>DECOY_sp|Q99942|RNF5_HUMAN E3 ubiquitin-protein ligase RNF5 OS=Homo sapiens OX=9606 GN=RNF5 PE=1 SV=1
+ISLLWFFFFIALFLFLSDQWSSAPHGQGLDVGTGRRFPEHANFVTTFFGFPFAGVGFSFHFGGTDGFPQFGGRSEPAPRQGQPRPPTKLRPDQPKQSGRGYLPVVKERSIGAKCVPCEQREPRTELWQHLCPWCYLHGCVSVVAERATELCINCEFTAGAGGRERNPGEPGGDEEEAAAM
+>sp|O60930|RNH1_HUMAN Ribonuclease H1 OS=Homo sapiens OX=9606 GN=RNASEH1 PE=1 SV=2
+MSWLLFLAHRVALAALPCRRGSRGFGMFYAVRRGRKTGVFLTWNECRAQVDRFPAARFKKFATEDEAWAFVRKSASPEVSEGHENQHGQESEAKASKRLREPLDGDGHESAEPYAKHMKPSVEPAPPVSRDTFSYMGDFVVVYTDGCCSSNGRRRPRAGIGVYWGPGHPLNVGIRLPGRQTNQRAEIHAACKAIEQAKTQNINKLVLYTDSMFTINGITNWVQGWKKNGWKTSAGKEVINKEDFVALERLTQGMDIQWMHVPGHSGFIGNEEADRLAREGAKQSED
+>DECOY_sp|O60930|RNH1_HUMAN Ribonuclease H1 OS=Homo sapiens OX=9606 GN=RNASEH1 PE=1 SV=2
+DESQKAGERALRDAEENGIFGSHGPVHMWQIDMGQTLRELAVFDEKNIVEKGASTKWGNKKWGQVWNTIGNITFMSDTYLVLKNINQTKAQEIAKCAAHIEARQNTQRGPLRIGVNLPHGPGWYVGIGARPRRRGNSSCCGDTYVVVFDGMYSFTDRSVPPAPEVSPKMHKAYPEASEHGDGDLPERLRKSAKAESEQGHQNEHGESVEPSASKRVFAWAEDETAFKKFRAAPFRDVQARCENWTLFVGTKRGRRVAYFMGFGRSGRRCPLAALAVRHALFLLWSM
+>sp|Q5TBB1|RNH2B_HUMAN Ribonuclease H2 subunit B OS=Homo sapiens OX=9606 GN=RNASEH2B PE=1 SV=1
+MAAGVDCGDGVGARQHVFLVSEYLKDASKKMKNGLMFVKLVNPCSGEGAIYLFNMCLQQLFEVKVFKEKHHSWFINQSVQSGGLLHFATPVDPLFLLLHYLIKADKEGKFQPLDQVVVDNVFPNCILLLKLPGLEKLLHHVTEEKGNPEIDNKKYYKYSKEKTLKWLEKKVNQTVAALKTNNVNVSSRVQSTAFFSGDQASTDKEEDYIRYAHGLISDYIPKELSDDLSKYLKLPEPSASLPNPPSKKIKLSDEPVEAKEDYTKFNTKDLKTEKKNSKMTAAQKALAKVDKSGMKSIDTFFGVKNKKKIGKV
+>DECOY_sp|Q5TBB1|RNH2B_HUMAN Ribonuclease H2 subunit B OS=Homo sapiens OX=9606 GN=RNASEH2B PE=1 SV=1
+VKGIKKKNKVGFFTDISKMGSKDVKALAKQAATMKSNKKETKLDKTNFKTYDEKAEVPEDSLKIKKSPPNPLSASPEPLKLYKSLDDSLEKPIYDSILGHAYRIYDEEKDTSAQDGSFFATSQVRSSVNVNNTKLAAVTQNVKKELWKLTKEKSYKYYKKNDIEPNGKEETVHHLLKELGPLKLLLICNPFVNDVVVQDLPQFKGEKDAKILYHLLLFLPDVPTAFHLLGGSQVSQNIFWSHHKEKFVKVEFLQQLCMNFLYIAGEGSCPNVLKVFMLGNKMKKSADKLYESVLFVHQRAGVGDGCDVGAAM
+>sp|Q6P5S7|RNK_HUMAN Ribonuclease kappa OS=Homo sapiens OX=9606 GN=RNASEK PE=2 SV=2
+MGWLRPGPRPLCPPARASWAFSHRFPSPLAPRRSPTPFFMASLLCCGPKLAACGIVLSAWGVIMLIMLGIFFNVHSAVLIEDVPFTEKDFENGPQNIYNLYEQVSYNCFIAAGLYLLLGGFSFCQVRLNKRKEYMVR
+>DECOY_sp|Q6P5S7|RNK_HUMAN Ribonuclease kappa OS=Homo sapiens OX=9606 GN=RNASEK PE=2 SV=2
+RVMYEKRKNLRVQCFSFGGLLLYLGAAIFCNYSVQEYLNYINQPGNEFDKETFPVDEILVASHVNFFIGLMILMIVGWASLVIGCAALKPGCCLLSAMFFPTPSRRPALPSPFRHSFAWSARAPPCLPRPGPRLWGM
+>sp|Q15287|RNPS1_HUMAN RNA-binding protein with serine-rich domain 1 OS=Homo sapiens OX=9606 GN=RNPS1 PE=1 SV=1
+MDLSGVKKKSLLGVKENNKKSSTRAPSPTKRKDRSDEKSKDRSKDKGATKESSEKDRGRDKTRKRRSASSGSSSTRSRSSSTSSSGSSTSTGSSSGSSSSSASSRSGSSSTSRSSSSSSSSGSPSPSRRRHDNRRRSRSKSKPPKRDEKERKRRSPSPKPTKVHIGRLTRNVTKDHIMEIFSTYGKIKMIDMPVERMHPHLSKGYAYVEFENPDEAEKALKHMDGGQIDGQEITATAVLAPWPRPPPRRFSPPRRMLPPPPMWRRSPPRMRRRSRSPRRRSPVRRRSRSPGRRRHRSRSSSNSSR
+>DECOY_sp|Q15287|RNPS1_HUMAN RNA-binding protein with serine-rich domain 1 OS=Homo sapiens OX=9606 GN=RNPS1 PE=1 SV=1
+RSSNSSSRSRHRRRGPSRSRRRVPSRRRPSRSRRRMRPPSRRWMPPPPLMRRPPSFRRPPPRPWPALVATATIEQGDIQGGDMHKLAKEAEDPNEFEVYAYGKSLHPHMREVPMDIMKIKGYTSFIEMIHDKTVNRTLRGIHVKTPKPSPSRRKREKEDRKPPKSKSRSRRRNDHRRRSPSPSGSSSSSSSSRSTSSSGSRSSASSSSSGSSSGTSTSSGSSSTSSSRSRTSSSGSSASRRKRTKDRGRDKESSEKTAGKDKSRDKSKEDSRDKRKTPSPARTSSKKNNEKVGLLSKKKVGSLDM
+>sp|Q9HAU8|RNPL1_HUMAN Aminopeptidase RNPEPL1 OS=Homo sapiens OX=9606 GN=RNPEPL1 PE=1 SV=3
+MAAQCCCRQAPGAEAAPVRPPPEPPPALDVASASSAQLFRLRHLQLGLELRPEARELAGCLVLELCALRPAPRALVLDAHPALRLHSAAFRRAPAAAAETPCAFAFSAPGPGPAPPPPLPAFPEAPGSEPACCPLAFRVDPFTDYGSSLTVTLPPELQAHQPFQVILRYTSTDAPAIWWLDPELTYGCAKPFVFTQGHSVCNRSFFPCFDTPAVKCTYSAVVKAPSGVQVLMSATRSAYMEEEGVFHFHMEHPVPAYLVALVAGDLKPADIGPRSRVWAEPCLLPTATSKLSGAVEQWLSAAERLYGPYMWGRYDIVFLPPSFPIVAMENPCLTFIISSILESDEFLVIDVIHEVAHSWFGNAVTNATWEEMWLSEGLATYAQRRITTETYGAAFTCLETAFRLDALHRQMKLLGEDSPVSKLQVKLEPGVNPSHLMNLFTYEKGYCFVYYLSQLCGDPQRFDDFLRAYVEKYKFTSVVAQDLLDSFLSFFPELKEQSVDCRAGLEFERWLNATGPPLAEPDLSQGSSLTRPVEALFQLWTAEPLDQAAASASAIDISKWRTFQTALFLDRLLDGSPLPQEVVMSLSKCYSSLLDSMNAEIRIRWLQIVVRNDYYPDLHRVRRFLESQMSRMYTIPLYEDLCTGALKSFALEVFYQTQGRLHPNLRRAIQQILSQGLGSSTEPASEPSTELGKAEADTDSDAQALLLGDEAPSSAISLRDVNVSA
+>DECOY_sp|Q9HAU8|RNPL1_HUMAN Aminopeptidase RNPEPL1 OS=Homo sapiens OX=9606 GN=RNPEPL1 PE=1 SV=3
+ASVNVDRLSIASSPAEDGLLLAQADSDTDAEAKGLETSPESAPETSSGLGQSLIQQIARRLNPHLRGQTQYFVELAFSKLAGTCLDEYLPITYMRSMQSELFRRVRHLDPYYDNRVVIQLWRIRIEANMSDLLSSYCKSLSMVVEQPLPSGDLLRDLFLATQFTRWKSIDIASASAAAQDLPEATWLQFLAEVPRTLSSGQSLDPEALPPGTANLWREFELGARCDVSQEKLEPFFSLFSDLLDQAVVSTFKYKEVYARLFDDFRQPDGCLQSLYYVFCYGKEYTFLNMLHSPNVGPELKVQLKSVPSDEGLLKMQRHLADLRFATELCTFAAGYTETTIRRQAYTALGESLWMEEWTANTVANGFWSHAVEHIVDIVLFEDSELISSIIFTLCPNEMAVIPFSPPLFVIDYRGWMYPGYLREAASLWQEVAGSLKSTATPLLCPEAWVRSRPGIDAPKLDGAVLAVLYAPVPHEMHFHFVGEEEMYASRTASMLVQVGSPAKVVASYTCKVAPTDFCPFFSRNCVSHGQTFVFPKACGYTLEPDLWWIAPADTSTYRLIVQFPQHAQLEPPLTVTLSSGYDTFPDVRFALPCCAPESGPAEPFAPLPPPPAPGPGPASFAFACPTEAAAAPARRFAASHLRLAPHADLVLARPAPRLACLELVLCGALERAEPRLELGLQLHRLRFLQASSASAVDLAPPPEPPPRVPAAEAGPAQRCCCQAAM
+>sp|Q8TAA1|RNS11_HUMAN Probable ribonuclease 11 OS=Homo sapiens OX=9606 GN=RNASE11 PE=2 SV=1
+METFPLLLLSLGLVLAEASESTMKIIKEEFTDEEMQYDMAKSGQEKQTIEILMNPILLVKNTSLSMSKDDMSSTLLTFRSLHYNDPKGNSSGNDKECCNDMTVWRKVSEANGSCKWSNNFIRSSTEVMRRVHRAPSCKFVQNPGISCCESLELENTVCQFTTGKQFPRCQYHSVTSLEKILTVLTGHSLMSWLVCGSKL
+>DECOY_sp|Q8TAA1|RNS11_HUMAN Probable ribonuclease 11 OS=Homo sapiens OX=9606 GN=RNASE11 PE=2 SV=1
+LKSGCVLWSMLSHGTLVTLIKELSTVSHYQCRPFQKGTTFQCVTNELELSECCSIGPNQVFKCSPARHVRRMVETSSRIFNNSWKCSGNAESVKRWVTMDNCCEKDNGSSNGKPDNYHLSRFTLLTSSMDDKSMSLSTNKVLLIPNMLIEITQKEQGSKAMDYQMEEDTFEEKIIKMTSESAEALVLGLSLLLLPFTEM
+>sp|Q5GAN3|RNS13_HUMAN Probable inactive ribonuclease-like protein 13 OS=Homo sapiens OX=9606 GN=RNASE13 PE=2 SV=1
+MAPAVTRLLFLQLVLGPTLVMDIKMQIGSRNFYTLSIDYPRVNYPKGFRGYCNGLMSYMRGKMQNSDCPKIHYVIHAPWKAIQKFCKYSDSFCENYNEYCTLTQDSLPITVCSLSHQQPPTSCYYNSTLTNQKLYLLCSRKYEADPIGIAGLYSGI
+>DECOY_sp|Q5GAN3|RNS13_HUMAN Probable inactive ribonuclease-like protein 13 OS=Homo sapiens OX=9606 GN=RNASE13 PE=2 SV=1
+IGSYLGAIGIPDAEYKRSCLLYLKQNTLTSNYYCSTPPQQHSLSCVTIPLSDQTLTCYENYNECFSDSYKCFKQIAKWPAHIVYHIKPCDSNQMKGRMYSMLGNCYGRFGKPYNVRPYDISLTYFNRSGIQMKIDMVLTPGLVLQLFLLRTVAPAM
+>sp|Q9BUL9|RPP25_HUMAN Ribonuclease P protein subunit p25 OS=Homo sapiens OX=9606 GN=RPP25 PE=1 SV=1
+MENFRKVRSEEAPAGCGAEGGGPGSGPFADLAPGAVHMRVKEGSKIRNLMAFATASMAQPATRAIVFSGCGRATTKTVTCAEILKRRLAGLHQVTRLRYRSVREVWQSLPPGPTQGQTPGEPAASLSVLKNVPGLAILLSKDALDPRQPGYQPPNPHPGPSSPPAAPASKRSLGEPAAGEGSAKRSQPEPGVADEDQTA
+>DECOY_sp|Q9BUL9|RPP25_HUMAN Ribonuclease P protein subunit p25 OS=Homo sapiens OX=9606 GN=RPP25 PE=1 SV=1
+ATQDEDAVGPEPQSRKASGEGAAPEGLSRKSAPAAPPSSPGPHPNPPQYGPQRPDLADKSLLIALGPVNKLVSLSAAPEGPTQGQTPGPPLSQWVERVSRYRLRTVQHLGALRRKLIEACTVTKTTARGCGSFVIARTAPQAMSATAFAMLNRIKSGEKVRMHVAGPALDAFPGSGPGGGEAGCGAPAEESRVKRFNEM
+>sp|Q9NYV6|RRN3_HUMAN RNA polymerase I-specific transcription initiation factor RRN3 OS=Homo sapiens OX=9606 GN=RRN3 PE=1 SV=1
+MAAPLLHTRLPGDAAASSSAVKKLGASRTGISNMRALENDFFNSPPRKTVRFGGTVTEVLLKYKKGETNDFELLKNQLLDPDIKDDQIINWLLEFRSSIMYLTKDFEQLISIILRLPWLNRSQTVVEEYLAFLGNLVSAQTVFLRPCLSMIASHFVPPRVIIKEGDVDVSDSDDEDDNLPANFDTCHRALQIIARYVPSTPWFLMPILVEKFPFVRKSERTLECYVHNLLRISVYFPTLRHEILELIIEKLLKLDVNASRQGIEDAEETATQTCGGTDSTEGLFNMDEDEETEHETKAGPERLDQMVHPVAERLDILMSLVLSYMKDVCYVDGKVDNGKTKDLYRDLINIFDKLLLPTHASCHVQFFMFYLCSFKLGFAEAFLEHLWKKLQDPSNPAIIRQAAGNYIGSFLARAKFIPLITVKSCLDLLVNWLHIYLNNQDSGTKAFCDVALHGPFYSACQAVFYTFVFRHKQLLSGNLKEGLQYLQSLNFERIVMSQLNPLKICLPSVVNFFAAITNKYQLVFCYTIIERNNRQMLPVIRSTAGGDSVQICTNPLDTFFPFDPCVLKRSKKFIDPIYQVWEDMSAEELQEFKKPMKKDIVEDEDDDFLKGEVPQNDTVIGITPSSFDTHFRSPSSSVGSPPVLYMQPSPL
+>DECOY_sp|Q9NYV6|RRN3_HUMAN RNA polymerase I-specific transcription initiation factor RRN3 OS=Homo sapiens OX=9606 GN=RRN3 PE=1 SV=1
+LPSPQMYLVPPSGVSSSPSRFHTDFSSPTIGIVTDNQPVEGKLFDDDEDEVIDKKMPKKFEQLEEASMDEWVQYIPDIFKKSRKLVCPDFPFFTDLPNTCIQVSDGGATSRIVPLMQRNNREIITYCFVLQYKNTIAAFFNVVSPLCIKLPNLQSMVIREFNLSQLYQLGEKLNGSLLQKHRFVFTYFVAQCASYFPGHLAVDCFAKTGSDQNNLYIHLWNVLLDLCSKVTILPIFKARALFSGIYNGAAQRIIAPNSPDQLKKWLHELFAEAFGLKFSCLYFMFFQVHCSAHTPLLLKDFINILDRYLDKTKGNDVKGDVYCVDKMYSLVLSMLIDLREAVPHVMQDLREPGAKTEHETEEDEDMNFLGETSDTGGCTQTATEEADEIGQRSANVDLKLLKEIILELIEHRLTPFYVSIRLLNHVYCELTRESKRVFPFKEVLIPMLFWPTSPVYRAIIQLARHCTDFNAPLNDDEDDSDSVDVDGEKIIVRPPVFHSAIMSLCPRLFVTQASVLNGLFALYEEVVTQSRNLWPLRLIISILQEFDKTLYMISSRFELLWNIIQDDKIDPDLLQNKLLEFDNTEGKKYKLLVETVTGGFRVTKRPPSNFFDNELARMNSIGTRSAGLKKVASSSAAADGPLRTHLLPAAM
+>sp|Q96FB5|RRNAD_HUMAN Protein RRNAD1 OS=Homo sapiens OX=9606 GN=RRNAD1 PE=2 SV=2
+MPGISARGLSHEGRKQLAVNLTRVLALYRSILDAYIIEFFTDNLWDTLPCSWQEALDGLKPPQLATMLLGMPGEGEVVRYRSVWPLTLLALKSTACALAFTRMPGFQTPSEFLENPSQSSRLTAPFRKHVRPKKQHEIRRLGELVKKLSDFTGCTQVVDVGSGQGHLSRFMALGLGLMVKSIEGDQRLVERAQRLDQELLQALEKEEKRNPQVVQTSPRHSPHHVVRWVDPTALCEELLLPLENPCQGRARLLLTGLHACGDLSVALLRHFSCCPEVVALASVGCCYMKLSDPGGYPLSQWVAGLPGYELPYRLREGACHALEEYAERLQKAGPGLRTHCYRAALETVIRRARPELRRPGVQGIPRVHELKIEEYVQRGLQRVGLDPQLPLNLAALQAHVAQENRVVAFFSLALLLAPLVETLILLDRLLYLQEQGFHAELLPIFSPELSPRNLVLVATKMPLGQALSVLETEDS
+>DECOY_sp|Q96FB5|RRNAD_HUMAN Protein RRNAD1 OS=Homo sapiens OX=9606 GN=RRNAD1 PE=2 SV=2
+SDETELVSLAQGLPMKTAVLVLNRPSLEPSFIPLLEAHFGQEQLYLLRDLLILTEVLPALLLALSFFAVVRNEQAVHAQLAALNLPLQPDLGVRQLGRQVYEEIKLEHVRPIGQVGPRRLEPRARRIVTELAARYCHTRLGPGAKQLREAYEELAHCAGERLRYPLEYGPLGAVWQSLPYGGPDSLKMYCCGVSALAVVEPCCSFHRLLAVSLDGCAHLGTLLLRARGQCPNELPLLLEECLATPDVWRVVHHPSHRPSTQVVQPNRKEEKELAQLLEQDLRQAREVLRQDGEISKVMLGLGLAMFRSLHGQGSGVDVVQTCGTFDSLKKVLEGLRRIEHQKKPRVHKRFPATLRSSQSPNELFESPTQFGPMRTFALACATSKLALLTLPWVSRYRVVEGEGPMGLLMTALQPPKLGDLAEQWSCPLTDWLNDTFFEIIYADLISRYLALVRTLNVALQKRGEHSLGRASIGPM
+>sp|P62277|RS13_HUMAN 40S ribosomal protein S13 OS=Homo sapiens OX=9606 GN=RPS13 PE=1 SV=2
+MGRMHAPGKGLSQSALPYRRSVPTWLKLTSDDVKEQIYKLAKKGLTPSQIGVILRDSHGVAQVRFVTGNKILRILKSKGLAPDLPEDLYHLIKKAVAVRKHLERNRKDKDAKFRLILIESRIHRLARYYKTKRVLPPNWKYESSTASALVA
+>DECOY_sp|P62277|RS13_HUMAN 40S ribosomal protein S13 OS=Homo sapiens OX=9606 GN=RPS13 PE=1 SV=2
+AVLASATSSEYKWNPPLVRKTKYYRALRHIRSEILILRFKADKDKRNRELHKRVAVAKKILHYLDEPLDPALGKSKLIRLIKNGTVFRVQAVGHSDRLIVGIQSPTLGKKALKYIQEKVDDSTLKLWTPVSRRYPLASQSLGKGPAHMRGM
+>sp|O95059|RPP14_HUMAN Ribonuclease P protein subunit p14 OS=Homo sapiens OX=9606 GN=RPP14 PE=1 SV=3
+MPAPAATYERVVYKNPSEYHYMKVCLEFQDCGVGLNAAQFKQLLISAVKDLFGEVDAALPLDILTYEEKTLSAILRICSSGLVKLWSSLTLLGSYKGKKCAFRVIQVSPFLLALSGNSRELVLD
+>DECOY_sp|O95059|RPP14_HUMAN Ribonuclease P protein subunit p14 OS=Homo sapiens OX=9606 GN=RPP14 PE=1 SV=3
+DLVLERSNGSLALLFPSVQIVRFACKKGKYSGLLTLSSWLKVLGSSCIRLIASLTKEEYTLIDLPLAADVEGFLDKVASILLQKFQAANLGVGCDQFELCVKMYHYESPNKYVVREYTAAPAPM
+>sp|Q9H633|RPP21_HUMAN Ribonuclease P protein subunit p21 OS=Homo sapiens OX=9606 GN=RPP21 PE=1 SV=1
+MAGPVKDREAFQRLNFLYQAAHCVLAQDPENQALARFYCYTERTIAKRLVLRRDPSVKRTLCRGCSSLLVPGLTCTQRQRRCRGQRWTVQTCLTCQRSQRFLNDPGHLLWGDRPEAQLGSQADSKPLQPLPNTAHSISDRLPEEKMQTQGSSNQ
+>DECOY_sp|Q9H633|RPP21_HUMAN Ribonuclease P protein subunit p21 OS=Homo sapiens OX=9606 GN=RPP21 PE=1 SV=1
+QNSSGQTQMKEEPLRDSISHATNPLPQLPKSDAQSGLQAEPRDGWLLHGPDNLFRQSRQCTLCTQVTWRQGRCRRQRQTCTLGPVLLSSCGRCLTRKVSPDRRLVLRKAITRETYCYFRALAQNEPDQALVCHAAQYLFNLRQFAERDKVPGAM
+>sp|Q9NQG5|RPR1B_HUMAN Regulation of nuclear pre-mRNA domain-containing protein 1B OS=Homo sapiens OX=9606 GN=RPRD1B PE=1 SV=1
+MSSFSESALEKKLSELSNSQQSVQTLSLWLIHHRKHAGPIVSVWHRELRKAKSNRKLTFLYLANDVIQNSKRKGPEFTREFESVLVDAFSHVAREADEGCKKPLERLLNIWQERSVYGGEFIQQLKLSMEDSKSPPPKATEEKKSLKRTFQQIQEEEDDDYPGSYSPQDPSAGPLLTEELIKALQDLENAASGDATVRQKIASLPQEVQDVSLLEKITDKEAAERLSKTVDEACLLLAEYNGRLAAELEDRRQLARMLVEYTQNQKDVLSEKEKKLEEYKQKLARVTQVRKELKSHIQSLPDLSLLPNVTGGLAPLPSAGDLFSTD
+>DECOY_sp|Q9NQG5|RPR1B_HUMAN Regulation of nuclear pre-mRNA domain-containing protein 1B OS=Homo sapiens OX=9606 GN=RPRD1B PE=1 SV=1
+DTSFLDGASPLPALGGTVNPLLSLDPLSQIHSKLEKRVQTVRALKQKYEELKKEKESLVDKQNQTYEVLMRALQRRDELEAALRGNYEALLLCAEDVTKSLREAAEKDTIKELLSVDQVEQPLSAIKQRVTADGSAANELDQLAKILEETLLPGASPDQPSYSGPYDDDEEEQIQQFTRKLSKKEETAKPPPSKSDEMSLKLQQIFEGGYVSREQWINLLRELPKKCGEDAERAVHSFADVLVSEFERTFEPGKRKSNQIVDNALYLFTLKRNSKAKRLERHWVSVIPGAHKRHHILWLSLTQVSQQSNSLESLKKELASESFSSM
+>sp|Q5VT52|RPRD2_HUMAN Regulation of nuclear pre-mRNA domain-containing protein 2 OS=Homo sapiens OX=9606 GN=RPRD2 PE=1 SV=1
+MAAGGGGGSSKASSSSASSAGALESSLDRKFQSVTNTMESIQGLSSWCIENKKHHSTIVYHWMKWLRRSAYPHRLNLFYLANDVIQNCKRKNAIIFRESFADVLPEAAALVKDPSVSKSVERIFKIWEDRNVYPEEMIVALREALSTTFKTQKQLKENLNKQPNKQWKKSQTSTNPKAALKSKIVAEFRSQALIEELLLYKRSEDQIELKEKQLSTMRVDVCSTETLKCLKDKTGGKKFSKEFEEASSKLEEFVNGLDKQVKNGPSLTEALENAGIFYEAQYKEVKVVANAYKTFANRVNNLKKKLDQLKSTLPDPEESPVPSPSMDAPSPTGSESPFQGMGGEESQSPTMESEKSATPEPVTDNRDVEDMELSDVEDDGSKIIVEDRKEKPAEKSAVSTSVPTKPTENISKASSCTPVPVTMTATPPLPKPVNTSLSPSPALALPNLANVDLAKISSILSSLTSVMKNTGVSPASRPSPGTPTSPSNLTSGLKTPAPATTTSHNPLANILSKVEITPESILSALSKTQTQSAPALQGLSSLLQSVTGNPVPASEAASQSTSASPANTTVSTIKGRNLPSSAQPFIPKSFNYSPNSSTSEVSSTSASKASIGQSPGLPSTTFKLPSNSLGFTATHNTSPAAPPTEVTICQSSEVSKPKLESESTSPSLEMKIHNFLKGNPGFSGLNLNIPILSSLGSSAPSESHPSDFQRGPTSTSIDNIDGTPVRDERSGTPTQDEMMDKPTSSSVDTMSLLSKIISPGSSTPSSTRSPPPGRDESYPRELSNSVSTYRPFGLGSESPYKQPSDGMERPSSLMDSSQEKFYPDTSFQEDEDYRDFEYSGPPPSAMMNLEKKPAKSILKSSKLSDTTEYQPILSSYSHRAQEFGVKSAFPPSVRALLDSSENCDRLSSSPGLFGAFSVRGNEPGSDRSPSPSKNDSFFTPDSNHNSLSQSTTGHLSLPQKQYPDSPHPVPHRSLFSPQNTLAAPTGHPPTSGVEKVLASTISTTSTIEFKNMLKNASRKPSDDKHFGQAPSKGTPSDGVSLSNLTQPSLTATDQQQQEEHYRIETRVSSSCLDLPDSTEEKGAPIETLGYHSASNRRMSGEPIQTVESIRVPGKGNRGHGREASRVGWFDLSTSGSSFDNGPSSASELASLGGGGSGGLTGFKTAPYKERAPQFQESVGSFRSNSFNSTFEHHLPPSPLEHGTPFQREPVGPSSAPPVPPKDHGGIFSRDAPTHLPSVDLSNPFTKEAALAHAAPPPPPGEHSGIPFPTPPPPPPPGEHSSSGGSGVPFSTPPPPPPPVDHSGVVPFPAPPLAEHGVAGAVAVFPKDHSSLLQGTLAEHFGVLPGPRDHGGPTQRDLNGPGLSRVRESLTLPSHSLEHLGPPHGGGGGGGSNSSSGPPLGPSHRDTISRSGIILRSPRPDFRPREPFLSRDPFHSLKRPRPPFARGPPFFAPKRPFFPPRY
+>DECOY_sp|Q5VT52|RPRD2_HUMAN Regulation of nuclear pre-mRNA domain-containing protein 2 OS=Homo sapiens OX=9606 GN=RPRD2 PE=1 SV=1
+YRPPFFPRKPAFFPPGRAFPPRPRKLSHFPDRSLFPERPRFDPRPSRLIIGSRSITDRHSPGLPPGSSSNSGGGGGGGHPPGLHELSHSPLTLSERVRSLGPGNLDRQTPGGHDRPGPLVGFHEALTGQLLSSHDKPFVAVAGAVGHEALPPAPFPVVGSHDVPPPPPPPTSFPVGSGGSSSHEGPPPPPPPTPFPIGSHEGPPPPPAAHALAAEKTFPNSLDVSPLHTPADRSFIGGHDKPPVPPASSPGVPERQFPTGHELPSPPLHHEFTSNFSNSRFSGVSEQFQPAREKYPATKFGTLGGSGGGGLSALESASSPGNDFSSGSTSLDFWGVRSAERGHGRNGKGPVRISEVTQIPEGSMRRNSASHYGLTEIPAGKEETSDPLDLCSSSVRTEIRYHEEQQQQDTATLSPQTLNSLSVGDSPTGKSPAQGFHKDDSPKRSANKLMNKFEITSTTSITSALVKEVGSTPPHGTPAALTNQPSFLSRHPVPHPSDPYQKQPLSLHGTTSQSLSNHNSDPTFFSDNKSPSPSRDSGPENGRVSFAGFLGPSSSLRDCNESSDLLARVSPPFASKVGFEQARHSYSSLIPQYETTDSLKSSKLISKAPKKELNMMASPPPGSYEFDRYDEDEQFSTDPYFKEQSSDMLSSPREMGDSPQKYPSESGLGFPRYTSVSNSLERPYSEDRGPPPSRTSSPTSSGPSIIKSLLSMTDVSSSTPKDMMEDQTPTGSREDRVPTGDINDISTSTPGRQFDSPHSESPASSGLSSLIPINLNLGSFGPNGKLFNHIKMELSPSTSESELKPKSVESSQCITVETPPAAPSTNHTATFGLSNSPLKFTTSPLGPSQGISAKSASTSSVESTSSNPSYNFSKPIFPQASSPLNRGKITSVTTNAPSASTSQSAAESAPVPNGTVSQLLSSLGQLAPASQTQTKSLASLISEPTIEVKSLINALPNHSTTTAPAPTKLGSTLNSPSTPTGPSPRSAPSVGTNKMVSTLSSLISSIKALDVNALNPLALAPSPSLSTNVPKPLPPTATMTVPVPTCSSAKSINETPKTPVSTSVASKEAPKEKRDEVIIKSGDDEVDSLEMDEVDRNDTVPEPTASKESEMTPSQSEEGGMGQFPSESGTPSPADMSPSPVPSEEPDPLTSKLQDLKKKLNNVRNAFTKYANAVVKVEKYQAEYFIGANELAETLSPGNKVQKDLGNVFEELKSSAEEFEKSFKKGGTKDKLCKLTETSCVDVRMTSLQKEKLEIQDESRKYLLLEEILAQSRFEAVIKSKLAAKPNTSTQSKKWQKNPQKNLNEKLQKQTKFTTSLAERLAVIMEEPYVNRDEWIKFIREVSKSVSPDKVLAAAEPLVDAFSERFIIANKRKCNQIVDNALYFLNLRHPYASRRLWKMWHYVITSHHKKNEICWSSLGQISEMTNTVSQFKRDLSSELAGASSASSSSAKSSGGGGGAAM
+>sp|Q5VZM2|RRAGB_HUMAN Ras-related GTP-binding protein B OS=Homo sapiens OX=9606 GN=RRAGB PE=1 SV=1
+MEESDSEKTTEKENLGPRMDPPLGEPEGSLGWVLPNTAMKKKVLLMGKSGSGKTSMRSIIFANYIARDTRRLGATILDRIHSLQINSSLSTYSLVDSVGNTKTFDVEHSHVRFLGNLVLNLWDCGGQDTFMENYFTSQRDNIFRNVEVLIYVFDVESRELEKDMHYYQSCLEAILQNSPDAKIFCLVHKMDLVQEDQRDLIFKEREEDLRRLSRPLECSCFRTSIWDETLYKAWSSIVYQLIPNVQQLEMNLRNFAEIIEADEVLLFERATFLVISHYQCKEQRDAHRFEKISNIIKQFKLSCSKLAASFQSMEVRNSNFAAFIDIFTSNTYVMVVMSDPSIPSAATLINIRNARKHFEKLERVDGPKQCLLMR
+>DECOY_sp|Q5VZM2|RRAGB_HUMAN Ras-related GTP-binding protein B OS=Homo sapiens OX=9606 GN=RRAGB PE=1 SV=1
+RMLLCQKPGDVRELKEFHKRANRINILTAASPISPDSMVVMVYTNSTFIDIFAAFNSNRVEMSQFSAALKSCSLKFQKIINSIKEFRHADRQEKCQYHSIVLFTAREFLLVEDAEIIEAFNRLNMELQQVNPILQYVISSWAKYLTEDWISTRFCSCELPRSLRRLDEEREKFILDRQDEQVLDMKHVLCFIKADPSNQLIAELCSQYYHMDKELERSEVDFVYILVEVNRFINDRQSTFYNEMFTDQGGCDWLNLVLNGLFRVHSHEVDFTKTNGVSDVLSYTSLSSNIQLSHIRDLITAGLRRTDRAIYNAFIISRMSTKGSGSKGMLLVKKKMATNPLVWGLSGEPEGLPPDMRPGLNEKETTKESDSEEM
+>sp|Q92766|RREB1_HUMAN Ras-responsive element-binding protein 1 OS=Homo sapiens OX=9606 GN=RREB1 PE=1 SV=3
+MTSSSPAGLEGSDLSSINTMMSAVMSVGKVTENGGSPQGIKSPSKPPGPNRIGRRNQETKEEKSSYNCPLCEKICTTQHQLTMHIRQHNTDTGGADHSCSICGKSLSSASSLDRHMLVHSGERPYKCTVCGQSFTTNGNMHRHMKIHEKDPNSATATAPPSPLKRRRLSSKRKLSHDAESEREDPAPAKKMVEDGQSGDLEKKADEVFHCPVCFKEFVCKYGLETHMETHSDNPLRCDICCVTFRTHRGLLRHNALVHKQLPRDAMGRPFIQNNPSIPAGFHDLGFTDFSCRKFPRISQAWCETNLRRCISEQHRFVCDTCDKAFPMLCSLALHKQTHVAADQGQEKPQATPLPGDALDQKGFLALLGLQHTKDVRPAPAEEPLPDDNQAIQLQTLKCQLPQDPGCTNLLSLSPFEAASLGGSLTVLPATKDSIKHLSLQPFQKGFIIQPDSSIVVKPISGESAIELADIQQILKMAASAPPQISLPPFSKAPAAPLQAIFKHMPPLKPKPLVTPRTVVATSTPPPLINAQQASPGCISPSLPPPPLKLLKGSVEAASNAHLLQSKSGTQPHAATRLSLQQPRAELPGQPEMKTQLEQDSIIEALLPLSMEAKIKQEITEGELKAFMTAPGGKKTPAMRKVLYPCRFCNQVFAFSGVLRAHVRSHLGISPYQCNICDYIAADKAALIRHLRTHSGERPYICKICHYPFTVKANCERHLRKKHLKATRKDIEKNIEYVSSSAAELVDAFCAPDTVCRLCGEDLKHYRALRIHMRTHCGRGLGGGHKGRKPFECKECSAAFAAKRNCIHHILKQHLHVPEQDIESYVLAADGLGPAEAPAAEASGRGEDSGCAALGDCKPLTAFLEPQNGFLHRGPTQPPPPHVSIKLEPASSFAVDFNEPLDFSQKGLALVQVKQENISFLSPSSLVPYDCSMEPIDLSIPKNFRKGDKDLATPSEAKKPEEEAGSSEQPSPCPAPGPSLPVTLGPSGILESPMAPAPAATPEPPAQPLQGPVQLAVPIYSSALVSSPPLVGSSALLSGTALLRPLRPKPPLLLPKPPVTEELPPLASIAQIISSVSSAPTLLKTKVADPGPASTGSNTTASDSLGGSVPKAATTATPAATTSPKESSEPPAPASSPEAASPTEQGPAGTSKKRGRKRGMRSRPRANSGGVDLDSSGEFASIEKMLATTDTNKFSPFLQTAEDNTQDEVAGAPADHHGPSDEEQGSPPEDKLLRAKRNSYTNCLQKITCPHCPRVFPWASSLQRHMLTHTDSQSDAETAAAAGEVLDLTSRDREQPSEGATELRQVAGDAPVEQATAETASPVHREEHGRGESHEPEEEHGTEESTGDADGAEEDASSNQSLDLDFATKLMDFKLAEGDGEAGAGGAASQEQKLACDTCGKSFKFLGTLSRHRKAHGRQEPKDEKGDGASTAEEGPQPAPEQEEKPPETPAEVVESAPGAGEAPAEKLAEETEGPSDGESAAEKRSSEKSDDDKKPKTDSPKSVASKADKRKKVCSVCNKRFWSLQDLTRHMRSHTGERPYKCQTCERTFTLKHSLVRHQRIHQKARHAKHHGKDSDKEERGEEDSENESTHSGNNAVSENEAELAPNASNHMAVTRSRKEGLASATKDCSHREEKVTAGWPSEPGQGDLNPESPAALGQDLLEPRSKRPAHPILATADGASQLVGME
+>DECOY_sp|Q92766|RREB1_HUMAN Ras-responsive element-binding protein 1 OS=Homo sapiens OX=9606 GN=RREB1 PE=1 SV=3
+EMGVLQSAGDATALIPHAPRKSRPELLDQGLAAPSEPNLDGQGPESPWGATVKEERHSCDKTASALGEKRSRTVAMHNSANPALEAENESVANNGSHTSENESDEEGREEKDSDKGHHKAHRAKQHIRQHRVLSHKLTFTRECTQCKYPREGTHSRMHRTLDQLSWFRKNCVSCVKKRKDAKSAVSKPSDTKPKKDDDSKESSRKEAASEGDSPGETEEALKEAPAEGAGPASEVVEAPTEPPKEEQEPAPQPGEEATSAGDGKEDKPEQRGHAKRHRSLTGLFKFSKGCTDCALKQEQSAAGGAGAEGDGEALKFDMLKTAFDLDLSQNSSADEEAGDADGTSEETGHEEEPEHSEGRGHEERHVPSATEATAQEVPADGAVQRLETAGESPQERDRSTLDLVEGAAAATEADSQSDTHTLMHRQLSSAWPFVRPCHPCTIKQLCNTYSNRKARLLKDEPPSGQEEDSPGHHDAPAGAVEDQTNDEATQLFPSFKNTDTTALMKEISAFEGSSDLDVGGSNARPRSRMGRKRGRKKSTGAPGQETPSAAEPSSAPAPPESSEKPSTTAAPTATTAAKPVSGGLSDSATTNSGTSAPGPDAVKTKLLTPASSVSSIIQAISALPPLEETVPPKPLLLPPKPRLPRLLATGSLLASSGVLPPSSVLASSYIPVALQVPGQLPQAPPEPTAAPAPAMPSELIGSPGLTVPLSPGPAPCPSPQESSGAEEEPKKAESPTALDKDGKRFNKPISLDIPEMSCDYPVLSSPSLFSINEQKVQVLALGKQSFDLPENFDVAFSSAPELKISVHPPPPQTPGRHLFGNQPELFATLPKCDGLAACGSDEGRGSAEAAPAEAPGLGDAALVYSEIDQEPVHLHQKLIHHICNRKAAFAASCEKCEFPKRGKHGGGLGRGCHTRMHIRLARYHKLDEGCLRCVTDPACFADVLEAASSSVYEINKEIDKRTAKLHKKRLHRECNAKVTFPYHCIKCIYPREGSHTRLHRILAAKDAAIYDCINCQYPSIGLHSRVHARLVGSFAFVQNCFRCPYLVKRMAPTKKGGPATMFAKLEGETIEQKIKAEMSLPLLAEIISDQELQTKMEPQGPLEARPQQLSLRTAAHPQTGSKSQLLHANSAAEVSGKLLKLPPPPLSPSICGPSAQQANILPPPTSTAVVTRPTVLPKPKLPPMHKFIAQLPAAPAKSFPPLSIQPPASAAMKLIQQIDALEIASEGSIPKVVISSDPQIIFGKQFPQLSLHKISDKTAPLVTLSGGLSAAEFPSLSLLNTCGPDQPLQCKLTQLQIAQNDDPLPEEAPAPRVDKTHQLGLLALFGKQDLADGPLPTAQPKEQGQDAAVHTQKHLALSCLMPFAKDCTDCVFRHQESICRRLNTECWAQSIRPFKRCSFDTFGLDHFGAPISPNNQIFPRGMADRPLQKHVLANHRLLGRHTRFTVCCIDCRLPNDSHTEMHTELGYKCVFEKFCVPCHFVEDAKKELDGSQGDEVMKKAPAPDERESEADHSLKRKSSLRRRKLPSPPATATASNPDKEHIKMHRHMNGNTTFSQGCVTCKYPREGSHVLMHRDLSSASSLSKGCISCSHDAGGTDTNHQRIHMTLQHQTTCIKECLPCNYSSKEEKTEQNRRGIRNPGPPKSPSKIGQPSGGNETVKGVSMVASMMTNISSLDSGELGAPSSSTM
+>sp|Q5JTH9|RRP12_HUMAN RRP12-like protein OS=Homo sapiens OX=9606 GN=RRP12 PE=1 SV=2
+MGRSGKLPSGVSAKLKRWKKGHSSDSNPAICRHRQAARSRFFSRPSGRSDLTVDAVKLHNELQSGSLRLGKSEAPETPMEEEAELVLTEKSSGTFLSGLSDCTNVTFSKVQRFWESNSAAHKEICAVLAAVTEVIRSQGGKETETEYFAALMTTMEAVESPESLAAVAYLLNLVLKRVPSPVLIKKFSDTSKAFMDIMSAQASSGSTSVLRWVLSCLATLLRKQDLEAWGYPVTLQVYHGLLSFTVHPKPKIRKAAQHGVCSVLKGSEFMFEKAPAHHPAAISTAKFCIQEIEKSGGSKEATTTLHMLTLLKDLLPCFPEGLVKSCSETLLRVMTLSHVLVTACAMQAFHSLFHARPGLSTLSAELNAQIITALYDYVPSENDLQPLLAWLKVMEKAHINLVRLQWDLGLGHLPRFFGTAVTCLLSPHSQVLTAATQSLKEILKECVAPHMADIGSVTSSASGPAQSVAKMFRAVEEGLTYKFHAAWSSVLQLLCVFFEACGRQAHPVMRKCLQSLCDLRLSPHFPHTAALDQAVGAAVTSMGPEVVLQAVPLEIDGSEETLDFPRSWLLPVIRDHVQETRLGFFTTYFLPLANTLKSKAMDLAQAGSTVESKIYDTLQWQMWTLLPGFCTRPTDVAISFKGLARTLGMAISERPDLRVTVCQALRTLITKGCQAEADRAEVSRFAKNFLPILFNLYGQPVAAGDTPAPRRAVLETIRTYLTITDTQLVNSLLEKASEKVLDPASSDFTRLSVLDLVVALAPCADEAAISKLYSTIRPYLESKAHGVQKKAYRVLEEVCASPQGPGALFVQSHLEDLKKTLLDSLRSTSSPAKRPRLKCLLHIVRKLSAEHKEFITALIPEVILCTKEVSVGARKNAFALLVEMGHAFLRFGSNQEEALQCYLVLIYPGLVGAVTMVSCSILALTHLLFEFKGLMGTSTVEQLLENVCLLLASRTRDVVKSALGFIKVAVTVMDVAHLAKHVQLVMEAIGKLSDDMRRHFRMKLRNLFTKFIRKFGFELVKRLLPEEYHRVLVNIRKAEARAKRHRALSQAAVEEEEEEEEEEEPAQGKGDSIEEILADSEDEEDNEEEERSRGKEQRKLARQRSRAWLKEGGGDEPLNFLDPKVAQRVLATQPGPGRGRKKDHGFKVSADGRLIIREEADGNKMEEEEGAKGEDEEMADPMEDVIIRNKKHQKLKHQKEAEEEELEIPPQYQAGGSGIHRPVAKKAMPGAEYKAKKAKGDVKKKGRPDPYAYIPLNRSKLNRRKKMKLQGQFKGLVKAARRGSQVGHKNRRKDRRP
+>DECOY_sp|Q5JTH9|RRP12_HUMAN RRP12-like protein OS=Homo sapiens OX=9606 GN=RRP12 PE=1 SV=2
+PRRDKRRNKHGVQSGRRAAKVLGKFQGQLKMKKRRNLKSRNLPIYAYPDPRGKKKVDGKAKKAKYEAGPMAKKAVPRHIGSGGAQYQPPIELEEEEAEKQHKLKQHKKNRIIVDEMPDAMEEDEGKAGEEEEMKNGDAEERIILRGDASVKFGHDKKRGRGPGPQTALVRQAVKPDLFNLPEDGGGEKLWARSRQRALKRQEKGRSREEEENDEEDESDALIEEISDGKGQAPEEEEEEEEEEEVAAQSLARHRKARAEAKRINVLVRHYEEPLLRKVLEFGFKRIFKTFLNRLKMRFHRRMDDSLKGIAEMVLQVHKALHAVDMVTVAVKIFGLASKVVDRTRSALLLCVNELLQEVTSTGMLGKFEFLLHTLALISCSVMTVAGVLGPYILVLYCQLAEEQNSGFRLFAHGMEVLLAFANKRAGVSVEKTCLIVEPILATIFEKHEASLKRVIHLLCKLRPRKAPSSTSRLSDLLTKKLDELHSQVFLAGPGQPSACVEELVRYAKKQVGHAKSELYPRITSYLKSIAAEDACPALAVVLDLVSLRTFDSSAPDLVKESAKELLSNVLQTDTITLYTRITELVARRPAPTDGAAVPQGYLNFLIPLFNKAFRSVEARDAEAQCGKTILTRLAQCVTVRLDPRESIAMGLTRALGKFSIAVDTPRTCFGPLLTWMQWQLTDYIKSEVTSGAQALDMAKSKLTNALPLFYTTFFGLRTEQVHDRIVPLLWSRPFDLTEESGDIELPVAQLVVEPGMSTVAAGVAQDLAATHPFHPSLRLDCLSQLCKRMVPHAQRGCAEFFVCLLQLVSSWAAHFKYTLGEEVARFMKAVSQAPGSASSTVSGIDAMHPAVCEKLIEKLSQTAATLVQSHPSLLCTVATGFFRPLHGLGLDWQLRVLNIHAKEMVKLWALLPQLDNESPVYDYLATIIQANLEASLTSLGPRAHFLSHFAQMACATVLVHSLTMVRLLTESCSKVLGEPFCPLLDKLLTLMHLTTTAEKSGGSKEIEQICFKATSIAAPHHAPAKEFMFESGKLVSCVGHQAAKRIKPKPHVTFSLLGHYVQLTVPYGWAELDQKRLLTALCSLVWRLVSTSGSSAQASMIDMFAKSTDSFKKILVPSPVRKLVLNLLYAVAALSEPSEVAEMTTMLAAFYETETEKGGQSRIVETVAALVACIEKHAASNSEWFRQVKSFTVNTCDSLGSLFTGSSKETLVLEAEEEMPTEPAESKGLRLSGSQLENHLKVADVTLDSRGSPRSFFRSRAAQRHRCIAPNSDSSHGKKWRKLKASVGSPLKGSRGM
+>sp|Q9Y3B9|RRP15_HUMAN RRP15-like protein OS=Homo sapiens OX=9606 GN=RRP15 PE=1 SV=2
+MAAAAPDSRVSEEENLKKTPKKKMKMVTGAVASVLEDEATDTSDSEGSCGSEKDHFYSDDDAIEADSEGDAEPCDKENENDGESSVGTNMGWADAMAKVLNKKTPESKPTILVKNKKLEKEKEKLKQERLEKIKQRDKRLEWEMMCRVKPDVVQDKETERNLQRIATRGVVQLFNAVQKHQKNVDEKVKEAGSSMRKRAKLISTVSKKDFISVLRGMDGSTNETASSRKKPKAKQTEVKSEEGPGWTILRDDFMMGASMKDWDKESDGPDDSRPESASDSDT
+>DECOY_sp|Q9Y3B9|RRP15_HUMAN RRP15-like protein OS=Homo sapiens OX=9606 GN=RRP15 PE=1 SV=2
+TDSDSASEPRSDDPGDSEKDWDKMSAGMMFDDRLITWGPGEESKVETQKAKPKKRSSATENTSGDMGRLVSIFDKKSVTSILKARKRMSSGAEKVKEDVNKQHKQVANFLQVVGRTAIRQLNRETEKDQVVDPKVRCMMEWELRKDRQKIKELREQKLKEKEKELKKNKVLITPKSEPTKKNLVKAMADAWGMNTGVSSEGDNENEKDCPEADGESDAEIADDDSYFHDKESGCSGESDSTDTAEDELVSAVAGTVMKMKKKPTKKLNEEESVRSDPAAAAM
+>sp|P62854|RS26_HUMAN 40S ribosomal protein S26 OS=Homo sapiens OX=9606 GN=RPS26 PE=1 SV=3
+MTKKRRNNGRAKKGRGHVQPIRCTNCARCVPKDKAIKKFVIRNIVEAAAVRDISEASVFDAYVLPKLYVKLHYCVSCAIHSKVVRNRSREARKDRTPPPRFRPAGAAPRPPPKPM
+>DECOY_sp|P62854|RS26_HUMAN 40S ribosomal protein S26 OS=Homo sapiens OX=9606 GN=RPS26 PE=1 SV=3
+MPKPPPRPAAGAPRFRPPPTRDKRAERSRNRVVKSHIACSVCYHLKVYLKPLVYADFVSAESIDRVAAAEVINRIVFKKIAKDKPVCRACNTCRIPQVHGRGKKARGNNRRKKTM
+>sp|P62070|RRAS2_HUMAN Ras-related protein R-Ras2 OS=Homo sapiens OX=9606 GN=RRAS2 PE=1 SV=1
+MAAAGWRDGSGQEKYRLVVVGGGGVGKSALTIQFIQSYFVTDYDPTIEDSYTKQCVIDDRAARLDILDTAGQEEFGAMREQYMRTGEGFLLVFSVTDRGSFEEIYKFQRQILRVKDRDEFPMILIGNKADLDHQRQVTQEEGQQLARQLKVTYMEASAKIRMNVDQAFHELVRVIRKFQEQECPPSPEPTRKEKDKKGCHCVIF
+>DECOY_sp|P62070|RRAS2_HUMAN Ras-related protein R-Ras2 OS=Homo sapiens OX=9606 GN=RRAS2 PE=1 SV=1
+FIVCHCGKKDKEKRTPEPSPPCEQEQFKRIVRVLEHFAQDVNMRIKASAEMYTVKLQRALQQGEEQTVQRQHDLDAKNGILIMPFEDRDKVRLIQRQFKYIEEFSGRDTVSFVLLFGEGTRMYQERMAGFEEQGATDLIDLRAARDDIVCQKTYSDEITPDYDTVFYSQIFQITLASKGVGGGGVVVLRYKEQGSGDRWGAAAM
+>sp|P56182|RRP1_HUMAN Ribosomal RNA processing protein 1 homolog A OS=Homo sapiens OX=9606 GN=RRP1 PE=1 SV=1
+MVSRVQLPPEIQLAQRLAGNEQVTRDRAVRKLRKYIVARTQRAAGGFTHDELLKVWKGLFYCMWMQDKPLLQEELGRTISQLVHAFQTTEAQHLFLQAFWQTMNREWTGIDRLRLDKFYMLMRMVLNESLKVLKMQGWEERQIEELLELLMTEILHPSSQAPNGVKSHFIEIFLEELTKVGAEELTADQNLKFIDPFCRIAARTKDSLVLNNITRGIFETIVEQAPLAIEDLLNELDTQDEEVASDSDESSEGGERGDALSQKRSEKPPAGSICRAEPEAGEEQAGDDRDSGGPVLQFDYEAVANRLFEMASRQSTPSQNRKRLYKVIRKLQDLAGGIFPEDEIPEKACRRLLEGRRQKKTKKQKRLLRLQQERGKGEKEPPSPGMERKRSRRRGVGADPEARAEAGEQPGTAERALLRDQPRGRGQRGARQRRRTPRPLTSARAKAANVQEPEKKKKRRE
+>DECOY_sp|P56182|RRP1_HUMAN Ribosomal RNA processing protein 1 homolog A OS=Homo sapiens OX=9606 GN=RRP1 PE=1 SV=1
+ERRKKKKEPEQVNAAKARASTLPRPTRRRQRAGRQGRGRPQDRLLAREATGPQEGAEARAEPDAGVGRRRSRKREMGPSPPEKEGKGREQQLRLLRKQKKTKKQRRGELLRRCAKEPIEDEPFIGGALDQLKRIVKYLRKRNQSPTSQRSAMEFLRNAVAEYDFQLVPGGSDRDDGAQEEGAEPEARCISGAPPKESRKQSLADGREGGESSEDSDSAVEEDQTDLENLLDEIALPAQEVITEFIGRTINNLVLSDKTRAAIRCFPDIFKLNQDATLEEAGVKTLEELFIEIFHSKVGNPAQSSPHLIETMLLELLEEIQREEWGQMKLVKLSENLVMRMLMYFKDLRLRDIGTWERNMTQWFAQLFLHQAETTQFAHVLQSITRGLEEQLLPKDQMWMCYFLGKWVKLLEDHTFGGAARQTRAVIYKRLKRVARDRTVQENGALRQALQIEPPLQVRSVM
+>sp|Q96EU6|RRP36_HUMAN Ribosomal RNA processing protein 36 homolog OS=Homo sapiens OX=9606 GN=RRP36 PE=1 SV=1
+MPGANYRAGAGAGAGARRPRGARDREEDGGGLEPAAVARDLLRGTSNMSFEELLELQSQVGTKTYKQLVAGNSPKKQASRPPIQNACVADKHRPLEMSAKIRVPFLRQVVPISKKVARDPRFDDLSGEYNPEVFDKTYQFLNDIRAKEKELVKKQLKKHLSGEEHEKLQQLLQRMEQQEMAQQERKQQQELHLALKQERRAQAQQGHRPYFLKKSEQRQLALAEKFKELKRSKKLENFLSRKRRRNAGKDRRHLPLSKE
+>DECOY_sp|Q96EU6|RRP36_HUMAN Ribosomal RNA processing protein 36 homolog OS=Homo sapiens OX=9606 GN=RRP36 PE=1 SV=1
+EKSLPLHRRDKGANRRRKRSLFNELKKSRKLEKFKEALALQRQESKKLFYPRHGQQAQARREQKLALHLEQQQKREQQAMEQQEMRQLLQQLKEHEEGSLHKKLQKKVLEKEKARIDNLFQYTKDFVEPNYEGSLDDFRPDRAVKKSIPVVQRLFPVRIKASMELPRHKDAVCANQIPPRSAQKKPSNGAVLQKYTKTGVQSQLELLEEFSMNSTGRLLDRAVAAPELGGGDEERDRAGRPRRAGAGAGAGARYNAGPM
+>sp|Q14690|RRP5_HUMAN Protein RRP5 homolog OS=Homo sapiens OX=9606 GN=PDCD11 PE=1 SV=3
+MANLEESFPRGGTRKIHKPEKAFQQSVEQDNLFDISTEEGSTKRKKSQKGPAKTKKLKIEKRESSKSAREKFEILSVESLCEGMRILGCVKEVNELELVISLPNGLQGFVQVTEICDAYTKKLNEQVTQEQPLKDLLHLPELFSPGMLVRCVVSSLGITDRGKKSVKLSLNPKNVNRVLSAEALKPGMLLTGTVSSLEDHGYLVDIGVDGTRAFLPLLKAQEYIRQKNKGAKLKVGQYLNCIVEKVKGNGGVVSLSVGHSEVSTAIATEQQSWNLNNLLPGLVVKAQVQKVTPFGLTLNFLTFFTGVVDFMHLDPKKAGTYFSNQAVRACILCVHPRTRVVHLSLRPIFLQPGRPLTRLSCQNLGAVLDDVPVQGFFKKAGATFRLKDGVLAYARLSHLSDSKNVFNPEAFKPGNTHKCRIIDYSQMDELALLSLRTSIIEAQYLRYHDIEPGAVVKGTVLTIKSYGMLVKVGEQMRGLVPPMHLADILMKNPEKKYHIGDEVKCRVLLCDPEAKKLMMTLKKTLIESKLPVITCYADAKPGLQTHGFIIRVKDYGCIVKFYNNVQGLVPKHELSTEYIPDPERVFYTGQVVKVVVLNCEPSKERMLLSFKLSSDPEPKKEPAGHSQKKGKAINIGQLVDVKVLEKTKDGLEVAVLPHNIRAFLPTSHLSDHVANGPLLHHWLQAGDILHRVLCLSQSEGRVLLCRKPALVSTVEGGQDPKNFSEIHPGMLLIGFVKSIKDYGVFIQFPSGLSGLAPKAIMSDKFVTSTSDHFVEGQTVAAKVTNVDEEKQRMLLSLRLSDCGLGDLAITSLLLLNQCLEELQGVRSLMSNRDSVLIQTLAEMTPGMFLDLVVQEVLEDGSVVFSGGPVPDLVLKASRYHRAGQEVESGQKKKVVILNVDLLKLEVHVSLHQDLVNRKARKLRKGSEHQAIVQHLEKSFAIASLVETGHLAAFSLTSHLNDTFRFDSEKLQVGQGVSLTLKTTEPGVTGLLLAVEGPAAKRTMRPTQKDSETVDEDEEVDPALTVGTIKKHTLSIGDMVTGTVKSIKPTHVVVTLEDGIIGCIHASHILDDVPEGTSPTTKLKVGKTVTARVIGGRDMKTFKYLPISHPRFVRTIPELSVRPSELEDGHTALNTHSVSPMEKIKQYQAGQTVTCFLKKYNVVKKWLEVEIAPDIRGRIPLLLTSLSFKVLKHPDKKFRVGQALRATVVGPDSSKTLLCLSLTGPHKLEEGEVAMGRVVKVTPNEGLTVSFPFGKIGTVSIFHMSDSYSETPLEDFVPQKVVRCYILSTADNVLTLSLRSSRTNPETKSKVEDPEINSIQDIKEGQLLRGYVGSIQPHGVFFRLGPSVVGLARYSHVSQHSPSKKALYNKHLPEGKLLTARVLRLNHQKNLVELSFLPGDTGKPDVLSASLEGQLTKQEERKTEAEERDQKGEKKNQKRNEKKNQKGQEEVEMPSKEKQQPQKPQAQKRGGRECRESGSEQERVSKKPKKAGLSEEDDSLVDVYYREGKEEAEETNVLPKEKQTKPAEAPRLQLSSGFAWNVGLDSLTPALPPLAESSDSEEDEKPHQATIKKSKKERELEKQKAEKELSRIEEALMDPGRQPESADDFDRLVLSSPNSSILWLQYMAFHLQATEIEKARAVAERALKTISFREEQEKLNVWVALLNLENMYGSQESLTKVFERAVQYNEPLKVFLHLADIYAKSEKFQEAGELYNRMLKRFRQEKAVWIKYGAFLLRRSQAAASHRVLQRALECLPSKEHVDVIAKFAQLEFQLGDAERAKAIFENTLSTYPKRTDVWSVYIDMTIKHGSQKDVRDIFERVIHLSLAPKRMKFFFKRYLDYEKQHGTEKDVQAVKAKALEYVEAKSSVLED
+>DECOY_sp|Q14690|RRP5_HUMAN Protein RRP5 homolog OS=Homo sapiens OX=9606 GN=PDCD11 PE=1 SV=3
+DELVSSKAEVYELAKAKVAQVDKETGHQKEYDLYRKFFFKMRKPALSLHIVREFIDRVDKQSGHKITMDIYVSWVDTRKPYTSLTNEFIAKAREADGLQFELQAFKAIVDVHEKSPLCELARQLVRHSAAAQSRRLLFAGYKIWVAKEQRFRKLMRNYLEGAEQFKESKAYIDALHLFVKLPENYQVAREFVKTLSEQSGYMNELNLLAVWVNLKEQEERFSITKLAREAVARAKEIETAQLHFAMYQLWLISSNPSSLVLRDFDDASEPQRGPDMLAEEIRSLEKEAKQKELEREKKSKKITAQHPKEDEESDSSEALPPLAPTLSDLGVNWAFGSSLQLRPAEAPKTQKEKPLVNTEEAEEKGERYYVDVLSDDEESLGAKKPKKSVREQESGSERCERGGRKQAQPKQPQQKEKSPMEVEEQGKQNKKENRKQNKKEGKQDREEAETKREEQKTLQGELSASLVDPKGTDGPLFSLEVLNKQHNLRLVRATLLKGEPLHKNYLAKKSPSHQSVHSYRALGVVSPGLRFFVGHPQISGVYGRLLQGEKIDQISNIEPDEVKSKTEPNTRSSRLSLTLVNDATSLIYCRVVKQPVFDELPTESYSDSMHFISVTGIKGFPFSVTLGENPTVKVVRGMAVEGEELKHPGTLSLCLLTKSSDPGVVTARLAQGVRFKKDPHKLVKFSLSTLLLPIRGRIDPAIEVELWKKVVNYKKLFCTVTQGAQYQKIKEMPSVSHTNLATHGDELESPRVSLEPITRVFRPHSIPLYKFTKMDRGGIVRATVTKGVKLKTTPSTGEPVDDLIHSAHICGIIGDELTVVVHTPKISKVTGTVMDGISLTHKKITGVTLAPDVEEDEDVTESDKQTPRMTRKAAPGEVALLLGTVGPETTKLTLSVGQGVQLKESDFRFTDNLHSTLSFAALHGTEVLSAIAFSKELHQVIAQHESGKRLKRAKRNVLDQHLSVHVELKLLDVNLIVVKKKQGSEVEQGARHYRSAKLVLDPVPGGSFVVSGDELVEQVVLDLFMGPTMEALTQILVSDRNSMLSRVGQLEELCQNLLLLSTIALDGLGCDSLRLSLLMRQKEEDVNTVKAAVTQGEVFHDSTSTVFKDSMIAKPALGSLGSPFQIFVGYDKISKVFGILLMGPHIESFNKPDQGGEVTSVLAPKRCLLVRGESQSLCLVRHLIDGAQLWHHLLPGNAVHDSLHSTPLFARINHPLVAVELGDKTKELVKVDVLQGINIAKGKKQSHGAPEKKPEPDSSLKFSLLMREKSPECNLVVVKVVQGTYFVREPDPIYETSLEHKPVLGQVNNYFKVICGYDKVRIIFGHTQLGPKADAYCTIVPLKSEILTKKLTMMLKKAEPDCLLVRCKVEDGIHYKKEPNKMLIDALHMPPVLGRMQEGVKVLMGYSKITLVTGKVVAGPEIDHYRLYQAEIISTRLSLLALEDMQSYDIIRCKHTNGPKFAEPNFVNKSDSLHSLRAYALVGDKLRFTAGAKKFFGQVPVDDLVAGLNQCSLRTLPRGPQLFIPRLSLHVVRTRPHVCLICARVAQNSFYTGAKKPDLHMFDVVGTFFTLFNLTLGFPTVKQVQAKVVLGPLLNNLNWSQQETAIATSVESHGVSLSVVGGNGKVKEVICNLYQGVKLKAGKNKQRIYEQAKLLPLFARTGDVGIDVLYGHDELSSVTGTLLMGPKLAEASLVRNVNKPNLSLKVSKKGRDTIGLSSVVCRVLMGPSFLEPLHLLDKLPQEQTVQENLKKTYADCIETVQVFGQLGNPLSIVLELENVEKVCGLIRMGECLSEVSLIEFKERASKSSERKEIKLKKTKAPGKQSKKRKTSGEETSIDFLNDQEVSQQFAKEPKHIKRTGGRPFSEELNAM
+>sp|P63220|RS21_HUMAN 40S ribosomal protein S21 OS=Homo sapiens OX=9606 GN=RPS21 PE=1 SV=1
+MQNDAGEFVDLYVPRKCSASNRIIGAKDHASIQMNVAEVDKVTGRFNGQFKTYAICGAIRRMGESDDSILRLAKADGIVSKNF
+>DECOY_sp|P63220|RS21_HUMAN 40S ribosomal protein S21 OS=Homo sapiens OX=9606 GN=RPS21 PE=1 SV=1
+FNKSVIGDAKALRLISDDSEGMRRIAGCIAYTKFQGNFRGTVKDVEAVNMQISAHDKAGIIRNSASCKRPVYLDVFEGADNQM
+>sp|Q9NQ39|RS10L_HUMAN Putative 40S ribosomal protein S10-like OS=Homo sapiens OX=9606 GN=RPS10P5 PE=5 SV=1
+MLMPKKNRIAIHELLFKEGVMVAKKDVHMPKHPELADKNVPNLHVMKAMQSLKSRGCVKEQFAWRHFYWYLTNEGSQYLRDYLHLPPEIVPATLHLPPEIVPATLHRSRPETGRPRPKGLEGKRPARLTRREADRDTYRRCSVPPGADKKAEAGAGSATEFQFRGRCGRGRGQPPQ
+>DECOY_sp|Q9NQ39|RS10L_HUMAN Putative 40S ribosomal protein S10-like OS=Homo sapiens OX=9606 GN=RPS10P5 PE=5 SV=1
+QPPQGRGRGCRGRFQFETASGAGAEAKKDAGPPVSCRRYTDRDAERRTLRAPRKGELGKPRPRGTEPRSRHLTAPVIEPPLHLTAPVIEPPLHLYDRLYQSGENTLYWYFHRWAFQEKVCGRSKLSQMAKMVHLNPVNKDALEPHKPMHVDKKAVMVGEKFLLEHIAIRNKKPMLM
+>sp|P62280|RS11_HUMAN 40S ribosomal protein S11 OS=Homo sapiens OX=9606 GN=RPS11 PE=1 SV=3
+MADIQTERAYQKQPTIFQNKKRVLLGETGKEKLPRYYKNIGLGFKTPKEAIEGTYIDKKCPFTGNVSIRGRILSGVVTKMKMQRTIVIRRDYLHYIRKYNRFEKRHKNMSVHLSPCFRDVQIGDIVTVGECRPLSKTVRFNVLKVTKAAGTKKQFQKF
+>DECOY_sp|P62280|RS11_HUMAN 40S ribosomal protein S11 OS=Homo sapiens OX=9606 GN=RPS11 PE=1 SV=3
+FKQFQKKTGAAKTVKLVNFRVTKSLPRCEGVTVIDGIQVDRFCPSLHVSMNKHRKEFRNYKRIYHLYDRRIVITRQMKMKTVVGSLIRGRISVNGTFPCKKDIYTGEIAEKPTKFGLGINKYYRPLKEKGTEGLLVRKKNQFITPQKQYARETQIDAM
+>sp|P62847|RS24_HUMAN 40S ribosomal protein S24 OS=Homo sapiens OX=9606 GN=RPS24 PE=1 SV=1
+MNDTVTIRTRKFMTNRLLQRKQMVIDVLHPGKATVPKTEIREKLAKMYKTTPDVIFVFGFRTHFGGGKTTGFGMIYDSLDYAKKNEPKHRLARHGLYEKKKTSRKQRKERKNRMKKVRGTAKANVGAGKKPKE
+>DECOY_sp|P62847|RS24_HUMAN 40S ribosomal protein S24 OS=Homo sapiens OX=9606 GN=RPS24 PE=1 SV=1
+EKPKKGAGVNAKATGRVKKMRNKREKRQKRSTKKKEYLGHRALRHKPENKKAYDLSDYIMGFGTTKGGGFHTRFGFVFIVDPTTKYMKALKERIETKPVTAKGPHLVDIVMQKRQLLRNTMFKRTRITVTDNM
+>sp|P62851|RS25_HUMAN 40S ribosomal protein S25 OS=Homo sapiens OX=9606 GN=RPS25 PE=1 SV=1
+MPPKDDKKKKDAGKSAKKDKDPVNKSGGKAKKKKWSKGKVRDKLNNLVLFDKATYDKLCKEVPNYKLITPAVVSERLKIRGSLARAALQELLSKGLIKLVSKHRAQVIYTRNTKGGDAPAAGEDA
+>DECOY_sp|P62851|RS25_HUMAN 40S ribosomal protein S25 OS=Homo sapiens OX=9606 GN=RPS25 PE=1 SV=1
+ADEGAAPADGGKTNRTYIVQARHKSVLKILGKSLLEQLAARALSGRIKLRESVVAPTILKYNPVEKCLKDYTAKDFLVLNNLKDRVKGKSWKKKKAKGGSKNVPDKDKKASKGADKKKKDDKPPM
+>sp|P62857|RS28_HUMAN 40S ribosomal protein S28 OS=Homo sapiens OX=9606 GN=RPS28 PE=1 SV=1
+MDTSRVQPIKLARVTKVLGRTGSQGQCTQVRVEFMDDTSRSIIRNVKGPVREGDVLTLLESEREARRLR
+>DECOY_sp|P62857|RS28_HUMAN 40S ribosomal protein S28 OS=Homo sapiens OX=9606 GN=RPS28 PE=1 SV=1
+RLRRAERESELLTLVDGERVPGKVNRIISRSTDDMFEVRVQTCQGQSGTRGLVKTVRALKIPQVRSTDM
+>sp|P23396|RS3_HUMAN 40S ribosomal protein S3 OS=Homo sapiens OX=9606 GN=RPS3 PE=1 SV=2
+MAVQISKKRKFVADGIFKAELNEFLTRELAEDGYSGVEVRVTPTRTEIIILATRTQNVLGEKGRRIRELTAVVQKRFGFPEGSVELYAEKVATRGLCAIAQAESLRYKLLGGLAVRRACYGVLRFIMESGAKGCEVVVSGKLRGQRAKSMKFVDGLMIHSGDPVNYYVDTAVRHVLLRQGVLGIKVKIMLPWDPTGKIGPKKPLPDHVSIVEPKDEILPTTPISEQKGGKPEPPAMPQPVPTA
+>DECOY_sp|P23396|RS3_HUMAN 40S ribosomal protein S3 OS=Homo sapiens OX=9606 GN=RPS3 PE=1 SV=2
+ATPVPQPMAPPEPKGGKQESIPTTPLIEDKPEVISVHDPLPKKPGIKGTPDWPLMIKVKIGLVGQRLLVHRVATDVYYNVPDGSHIMLGDVFKMSKARQGRLKGSVVVECGKAGSEMIFRLVGYCARRVALGGLLKYRLSEAQAIACLGRTAVKEAYLEVSGEPFGFRKQVVATLERIRRGKEGLVNQTRTALIIIETRTPTVRVEVGSYGDEALERTLFENLEAKFIGDAVFKRKKSIQVAM
+>sp|P62701|RS4X_HUMAN 40S ribosomal protein S4, X isoform OS=Homo sapiens OX=9606 GN=RPS4X PE=1 SV=2
+MARGPKKHLKRVAAPKHWMLDKLTGVFAPRPSTGPHKLRECLPLIIFLRNRLKYALTGDEVKKICMQRFIKIDGKVRTDITYPAGFMDVISIDKTGENFRLIYDTKGRFAVHRITPEEAKYKLCKVRKIFVGTKGIPHLVTHDARTIRYPDPLIKVNDTIQIDLETGKITDFIKFDTGNLCMVTGGANLGRIGVITNRERHPGSFDVVHVKDANGNSFATRLSNIFVIGKGNKPWISLPRGKGIRLTIAEERDKRLAAKQSSG
+>DECOY_sp|P62701|RS4X_HUMAN 40S ribosomal protein S4, X isoform OS=Homo sapiens OX=9606 GN=RPS4X PE=1 SV=2
+GSSQKAALRKDREEAITLRIGKGRPLSIWPKNGKGIVFINSLRTAFSNGNADKVHVVDFSGPHRERNTIVGIRGLNAGGTVMCLNGTDFKIFDTIKGTELDIQITDNVKILPDPYRITRADHTVLHPIGKTGVFIKRVKCLKYKAEEPTIRHVAFRGKTDYILRFNEGTKDISIVDMFGAPYTIDTRVKGDIKIFRQMCIKKVEDGTLAYKLRNRLFIILPLCERLKHPGTSPRPAFVGTLKDLMWHKPAAVRKLHKKPGRAM
+>sp|P08708|RS17_HUMAN 40S ribosomal protein S17 OS=Homo sapiens OX=9606 GN=RPS17 PE=1 SV=2
+MGRVRTKTVKKAARVIIEKYYTRLGNDFHTNKRVCEEIAIIPSKKLRNKIAGYVTHLMKRIQRGPVRGISIKLQEEERERRDNYVPEVSALDQEIIEVDPDTKEMLKLLDFGSLSNLQVTQPTVGMNFKTPRGPV
+>DECOY_sp|P08708|RS17_HUMAN 40S ribosomal protein S17 OS=Homo sapiens OX=9606 GN=RPS17 PE=1 SV=2
+VPGRPTKFNMGVTPQTVQLNSLSGFDLLKLMEKTDPDVEIIEQDLASVEPVYNDRREREEEQLKISIGRVPGRQIRKMLHTVYGAIKNRLKKSPIIAIEECVRKNTHFDNGLRTYYKEIIVRAAKKVTKTRVRGM
+>sp|P62273|RS29_HUMAN 40S ribosomal protein S29 OS=Homo sapiens OX=9606 GN=RPS29 PE=1 SV=2
+MGHQQLYWSHPRKFGQGSRSCRVCSNRHGLIRKYGLNMCRQCFRQYAKDIGFIKLD
+>DECOY_sp|P62273|RS29_HUMAN 40S ribosomal protein S29 OS=Homo sapiens OX=9606 GN=RPS29 PE=1 SV=2
+DLKIFGIDKAYQRFCQRCMNLGYKRILGHRNSCVRCSRSGQGFKRPHSWYLQQHGM
+>sp|P62241|RS8_HUMAN 40S ribosomal protein S8 OS=Homo sapiens OX=9606 GN=RPS8 PE=1 SV=2
+MGISRDNWHKRRKTGGKRKPYHKKRKYELGRPAANTKIGPRRIHTVRVRGGNKKYRALRLDVGNFSWGSECCTRKTRIIDVVYNASNNELVRTKTLVKNCIVLIDSTPYRQWYESHYALPLGRKKGAKLTPEEEEILNKKRSKKIQKKYDERKKNAKISSLLEEQFQQGKLLACIASRPGQCGRADGYVLEGKELEFYLRKIKARKGK
+>DECOY_sp|P62241|RS8_HUMAN 40S ribosomal protein S8 OS=Homo sapiens OX=9606 GN=RPS8 PE=1 SV=2
+KGKRAKIKRLYFELEKGELVYGDARGCQGPRSAICALLKGQQFQEELLSSIKANKKREDYKKQIKKSRKKNLIEEEEPTLKAGKKRGLPLAYHSEYWQRYPTSDILVICNKVLTKTRVLENNSANYVVDIIRTKRTCCESGWSFNGVDLRLARYKKNGGRVRVTHIRRPGIKTNAAPRGLEYKRKKHYPKRKGGTKRRKHWNDRSIGM
+>sp|Q8WXG1|RSAD2_HUMAN Radical S-adenosyl methionine domain-containing protein 2 OS=Homo sapiens OX=9606 GN=RSAD2 PE=1 SV=1
+MWVLTPAAFAGKLLSVFRQPLSSLWRSLVPLFCWLRATFWLLATKRRKQQLVLRGPDETKEEEEDPPLPTTPTSVNYHFTRQCNYKCGFCFHTAKTSFVLPLEEAKRGLLLLKEAGMEKINFSGGEPFLQDRGEYLGKLVRFCKVELRLPSVSIVSNGSLIRERWFQNYGEYLDILAISCDSFDEEVNVLIGRGQGKKNHVENLQKLRRWCRDYRVAFKINSVINRFNVEEDMTEQIKALNPVRWKVFQCLLIEGENCGEDALREAERFVIGDEEFERFLERHKEVSCLVPESNQKMKDSYLILDEYMRFLNCRKGRKDPSKSILDVGVEEAIKFSGFDEKMFLKRGGKYIWSKADLKLDW
+>DECOY_sp|Q8WXG1|RSAD2_HUMAN Radical S-adenosyl methionine domain-containing protein 2 OS=Homo sapiens OX=9606 GN=RSAD2 PE=1 SV=1
+WDLKLDAKSWIYKGGRKLFMKEDFGSFKIAEEVGVDLISKSPDKRGKRCNLFRMYEDLILYSDKMKQNSEPVLCSVEKHRELFREFEEDGIVFREAERLADEGCNEGEILLCQFVKWRVPNLAKIQETMDEEVNFRNIVSNIKFAVRYDRCWRRLKQLNEVHNKKGQGRGILVNVEEDFSDCSIALIDLYEGYNQFWRERILSGNSVISVSPLRLEVKCFRVLKGLYEGRDQLFPEGGSFNIKEMGAEKLLLLGRKAEELPLVFSTKATHFCFGCKYNCQRTFHYNVSTPTTPLPPDEEEEKTEDPGRLVLQQKRRKTALLWFTARLWCFLPVLSRWLSSLPQRFVSLLKGAFAAPTLVWM
+>sp|Q5VWQ0|RSBN1_HUMAN Lysine-specific demethylase 9 OS=Homo sapiens OX=9606 GN=RSBN1 PE=1 SV=2
+MFISGRRTADKWRAEERLQCPAGSARAALARCADGGAVGPFKCVFVGEMAAQVGAVRVVRAVAAQEEPDKEGKEKPHAGVSPRGVKRQRRSSSGGSQEKRGRPSQEPPLAPPHRRRRSRQHPGPLPPTNAAPTVPGPVEPLLLPPPPPPSLAPAGPAVAAPLPAPSTSALFTFSPLTVSAAGPKHKGHKERHKHHHHRGPDGDPSSCGTDLKHKDKQENGERTGGVPLIKAPKRETPDENGKTQRADDFVLKKIKKKKKKKHREDMRGRRLKMYNKEVQTVCAGLTRISKEILTQGQINSTSGLNKESFRYLKDEQLCRLNLGMQEYRVPQGVQTPFMTHQEHSIRRNFLKTGTKFSNFIHEEHQSNGGALVLHAYMDELSFLSPMEMERFSEEFLALTFSENEKNAAYYALAIVHGAAAYLPDFLDYFAFNFPNTPVKMEILGKKDIETTTISNFHTQVNRTYCCGTYRAGPMRQISLVGAVDEEVGDYFPEFLDMLEESPFLKMTLPWGTLSSLRLQCRSQSDDGPIMWVRPGEQMIPTADMPKSPFKRRRSMNEIKNLQYLPRTSEPREVLFEDRTRAHADHVGQGFDWQSTAAVGVLKAVQFGEWSDQPRITKDVICFHAEDFTDVVQRLQLDLHEPPVSQCVQWVDEAKLNQMRREGIRYARIQLCDNDIYFIPRNVIHQFKTVSAVCSLAWHIRLKQYHPVVEATQNTESNSNMDCGLTGKRELEVDSQCVRIKTESEEACTEIQLLTTASSSFPPASELNLQQDQKTQPIPVLKVESRLDSDQQHNLQEHSTTSV
+>DECOY_sp|Q5VWQ0|RSBN1_HUMAN Lysine-specific demethylase 9 OS=Homo sapiens OX=9606 GN=RSBN1 PE=1 SV=2
+VSTTSHEQLNHQQDSDLRSEVKLVPIPQTKQDQQLNLESAPPFSSSATTLLQIETCAEESETKIRVCQSDVELERKGTLGCDMNSNSETNQTAEVVPHYQKLRIHWALSCVASVTKFQHIVNRPIFYIDNDCLQIRAYRIGERRMQNLKAEDVWQVCQSVPPEHLDLQLRQVVDTFDEAHFCIVDKTIRPQDSWEGFQVAKLVGVAATSQWDFGQGVHDAHARTRDEFLVERPESTRPLYQLNKIENMSRRRKFPSKPMDATPIMQEGPRVWMIPGDDSQSRCQLRLSSLTGWPLTMKLFPSEELMDLFEPFYDGVEEDVAGVLSIQRMPGARYTGCCYTRNVQTHFNSITTTEIDKKGLIEMKVPTNPFNFAFYDLFDPLYAAAGHVIALAYYAANKENESFTLALFEESFREMEMPSLFSLEDMYAHLVLAGGNSQHEEHIFNSFKTGTKLFNRRISHEQHTMFPTQVGQPVRYEQMGLNLRCLQEDKLYRFSEKNLGSTSNIQGQTLIEKSIRTLGACVTQVEKNYMKLRRGRMDERHKKKKKKKIKKLVFDDARQTKGNEDPTERKPAKILPVGGTREGNEQKDKHKLDTGCSSPDGDPGRHHHHKHREKHGKHKPGAASVTLPSFTFLASTSPAPLPAAVAPGAPALSPPPPPPLLLPEVPGPVTPAANTPPLPGPHQRSRRRRHPPALPPEQSPRGRKEQSGGSSSRRQRKVGRPSVGAHPKEKGEKDPEEQAAVARVVRVAGVQAAMEGVFVCKFPGVAGGDACRALAARASGAPCQLREEARWKDATRRGSIFM
+>sp|Q9BU20|RSG1_HUMAN REM2- and Rab-like small GTPase 1 OS=Homo sapiens OX=9606 GN=RSG1 PE=1 SV=2
+MARPPVPGSVVVPNWHESAEGKEYLACILRKNRRRVFGLLERPVLLPPVSIDTASYKIFVSGKSGVGKTALVAKLAGLEVPVVHHETTGIQTTVVFWPAKLQASSRVVMFRFEFWDCGESALKKFDHMLLACMENTDAFLFLFSFTDRASFEDLPGQLARIAGEAPGVVRMVIGSKFDQYMHTDVPERDLTAFRQAWELPLLRVKSVPGRRLADGRTLDGRAGLADVAHILNGLAEQLWHQDQVAAGLLPNPPESAPE
+>DECOY_sp|Q9BU20|RSG1_HUMAN REM2- and Rab-like small GTPase 1 OS=Homo sapiens OX=9606 GN=RSG1 PE=1 SV=2
+EPASEPPNPLLGAAVQDQHWLQEALGNLIHAVDALGARGDLTRGDALRRGPVSKVRLLPLEWAQRFATLDREPVDTHMYQDFKSGIVMRVVGPAEGAIRALQGPLDEFSARDTFSFLFLFADTNEMCALLMHDFKKLASEGCDWFEFRFMVVRSSAQLKAPWFVVTTQIGTTEHHVVPVELGALKAVLATKGVGSKGSVFIKYSATDISVPPLLVPRELLGFVRRRNKRLICALYEKGEASEHWNPVVVSGPVPPRAM
+>sp|Q9H0K4|RSH6A_HUMAN Radial spoke head protein 6 homolog A OS=Homo sapiens OX=9606 GN=RSPH6A PE=2 SV=1
+MGDLPPYPERPAQQPPGRRTSQASQRRHSRDQAQALAADPEERQQIPPDAQRNAPGWSQRGSLSQQENLLMPQVFQAEEARLGGMEYPSVNTGFPSEFQPQPYSDESRMQVAELTTSLMLQRLQQGQSSLFQQLDPTFQEPPVNPLGQFNLYQTDQFSEGAQHGPYIRDDPALQFLPSELGFPHYSAQVPEPEPLELAVQNAKAYLLQTSINCDLSLYEHLVNLLTKILNQRPEDPLSVLESLNRTTQWEWFHPKLDTLRDDPEMQPTYKMAEKQKALFTRSGGGTEGEQEMEEEVGETPVPNIMETAFYFEQAGVGLSSDESFRIFLAMKQLVEQQPIHTCRFWGKILGIKRSYLVAEVEFREGEEEAEEEEVEEMTEGGEVMEAHGEEEGEEDEEKAVDIVPKSVWKPPPVIPKEESRSGANKYLYFVCNEPGLPWTRLPHVTPAQIVNARKIKKFFTGYLDTPVVSYPPFPGNEANYLRAQIARISAATQVSPLGFYQFSEEEGDEEEEGGAGRDSYEENPDFEGIPVLELVDSMANWVHHTQHILPQGRCTWVNPLQKTEEEEDLGEEEEKADEGPEEVEQEVGPPLLTPLSEDAEIMHLAPWTTRLSCSLCPQYSVAVVRSNLWPGAYAYASGKKFENIYIGWGHKYSPESFNPALPAPIQQEYPSGPEIMEMSDPTVEEEQALKAAQEQALGATEEEEEGEEEEEGEETDD
+>DECOY_sp|Q9H0K4|RSH6A_HUMAN Radial spoke head protein 6 homolog A OS=Homo sapiens OX=9606 GN=RSPH6A PE=2 SV=1
+DDTEEGEEEEEGEEEEETAGLAQEQAAKLAQEEEVTPDSMEMIEPGSPYEQQIPAPLAPNFSEPSYKHGWGIYINEFKKGSAYAYAGPWLNSRVVAVSYQPCLSCSLRTTWPALHMIEADESLPTLLPPGVEQEVEEPGEDAKEEEEGLDEEEETKQLPNVWTCRGQPLIHQTHHVWNAMSDVLELVPIGEFDPNEEYSDRGAGGEEEEDGEEESFQYFGLPSVQTAASIRAIQARLYNAENGPFPPYSVVPTDLYGTFFKKIKRANVIQAPTVHPLRTWPLGPENCVFYLYKNAGSRSEEKPIVPPPKWVSKPVIDVAKEEDEEGEEEGHAEMVEGGETMEEVEEEEAEEEGERFEVEAVLYSRKIGLIKGWFRCTHIPQQEVLQKMALFIRFSEDSSLGVGAQEFYFATEMINPVPTEGVEEEMEQEGETGGGSRTFLAKQKEAMKYTPQMEPDDRLTDLKPHFWEWQTTRNLSELVSLPDEPRQNLIKTLLNVLHEYLSLDCNISTQLLYAKANQVALELPEPEPVQASYHPFGLESPLFQLAPDDRIYPGHQAGESFQDTQYLNFQGLPNVPPEQFTPDLQQFLSSQGQQLRQLMLSTTLEAVQMRSEDSYPQPQFESPFGTNVSPYEMGGLRAEEAQFVQPMLLNEQQSLSGRQSWGPANRQADPPIQQREEPDAALAQAQDRSHRRQSAQSTRRGPPQQAPREPYPPLDGM
+>sp|Q13546|RIPK1_HUMAN Receptor-interacting serine/threonine-protein kinase 1 OS=Homo sapiens OX=9606 GN=RIPK1 PE=1 SV=3
+MQPDMSLNVIKMKSSDFLESAELDSGGFGKVSLCFHRTQGLMIMKTVYKGPNCIEHNEALLEEAKMMNRLRHSRVVKLLGVIIEEGKYSLVMEYMEKGNLMHVLKAEMSTPLSVKGRIILEIIEGMCYLHGKGVIHKDLKPENILVDNDFHIKIADLGLASFKMWSKLNNEEHNELREVDGTAKKNGGTLYYMAPEHLNDVNAKPTEKSDVYSFAVVLWAIFANKEPYENAICEQQLIMCIKSGNRPDVDDITEYCPREIISLMKLCWEANPEARPTFPGIEEKFRPFYLSQLEESVEEDVKSLKKEYSNENAVVKRMQSLQLDCVAVPSSRSNSATEQPGSLHSSQGLGMGPVEESWFAPSLEHPQEENEPSLQSKLQDEANYHLYGSRMDRQTKQQPRQNVAYNREEERRRRVSHDPFAQQRPYENFQNTEGKGTAYSSAASHGNAVHQPSGLTSQPQVLYQNNGLYSSHGFGTRPLDPGTAGPRVWYRPIPSHMPSLHNIPVPETNYLGNTPTMPFSSLPPTDESIKYTIYNSTGIQIGAYNYMEIGGTSSSLLDSTNTNFKEEPAAKYQAIFDNTTSLTDKHLDPIRENLGKHWKNCARKLGFTQSQIDEIDHDYERDGLKEKVYQMLQKWVMREGIKGATVGKLAQALHQCSRIDLLSSLIYVSQN
+>DECOY_sp|Q13546|RIPK1_HUMAN Receptor-interacting serine/threonine-protein kinase 1 OS=Homo sapiens OX=9606 GN=RIPK1 PE=1 SV=3
+NQSVYILSSLLDIRSCQHLAQALKGVTAGKIGERMVWKQLMQYVKEKLGDREYDHDIEDIQSQTFGLKRACNKWHKGLNERIPDLHKDTLSTTNDFIAQYKAAPEEKFNTNTSDLLSSSTGGIEMYNYAGIQIGTSNYITYKISEDTPPLSSFPMTPTNGLYNTEPVPINHLSPMHSPIPRYWVRPGATGPDLPRTGFGHSSYLGNNQYLVQPQSTLGSPQHVANGHSAASSYATGKGETNQFNEYPRQQAFPDHSVRRRREEERNYAVNQRPQQKTQRDMRSGYLHYNAEDQLKSQLSPENEEQPHELSPAFWSEEVPGMGLGQSSHLSGPQETASNSRSSPVAVCDLQLSQMRKVVANENSYEKKLSKVDEEVSEELQSLYFPRFKEEIGPFTPRAEPNAEWCLKMLSIIERPCYETIDDVDPRNGSKICMILQQECIANEYPEKNAFIAWLVVAFSYVDSKETPKANVDNLHEPAMYYLTGGNKKATGDVERLENHEENNLKSWMKFSALGLDAIKIHFDNDVLINEPKLDKHIVGKGHLYCMGEIIELIIRGKVSLPTSMEAKLVHMLNGKEMYEMVLSYKGEEIIVGLLKVVRSHRLRNMMKAEELLAENHEICNPGKYVTKMIMLGQTRHFCLSVKGFGGSDLEASELFDSSKMKIVNLSMDPQM
+>sp|Q9Y4F9|RIPR2_HUMAN Rho family-interacting cell polarization regulator 2 OS=Homo sapiens OX=9606 GN=RIPOR2 PE=1 SV=4
+MLVGSQSFSPGGPNGIIRSQSFAGFSGLQERRSRCNSFIENSSALKKPQAKLKKMHNLGHKNNNPPKEPQPKRVEEVYRALKNGLDEYLEVHQTELDKLTAQLKDMKRNSRLGVLYDLDKQIKTIERYMRRLEFHISKVDELYEAYCIQRRLQDGASKMKQAFATSPASKAARESLTEINRSFKEYTENMCTIEVELENLLGEFSIKMKGLAGFARLCPGDQYEIFMKYGRQRWKLKGKIEVNGKQSWDGEETVFLPLIVGFISIKVTELKGLATHILVGSVTCETKELFAARPQVVAVDINDLGTIKLNLEITWYPFDVEDMTASSGAGNKAAALQRRMSMYSQGTPETPTFKDHSFFRWLHPSPDKPRRLSVLSALQDTFFAKLHRSRSFSDLPSLRPSPKAVLELYSNLPDDIFENGKAAEEKMPLSLSFSDLPNGDCALTSHSTGSPSNSTNPEITITPAEFNLSSLASQNEGMDDTSSASSRNSLGEGQEPKSHLKEEDPEEPRKPASAPSEACRRQSSGAGAEHLFLENDVAEALLQESEEASELKPVELDTSEGNITKQLVKRLTSAEVPMATDRLLSEGSVGGESEGCRSFLDGSLEDAFNGLLLALEPHKEQYKEFQDLNQEVMNLDDILKCKPAVSRSRSSSLSLTVESALESFDFLNTSDFDEEEDGDEVCNVGGGADSVFSDTETEKHSYRSVHPEARGHLSEALTEDTGVGTSVAGSPLPLTTGNESLDITIVRHLQYCTQLVQQIVFSSKTPFVARSLLEKLSRQIQVMEKLAAVSDENIGNISSVVEAIPEFHKKLSLLSFWTKCCSPVGVYHSPADRVMKQLEASFARTVNKEYPGLADPVFRTLVSQILDRAEPLLSSSLSSEVVTVFQYYSYFTSHGVSDLESYLSQLARQVSMVQTLQSLRDEKLLQTMSDLAPSNLLAQQEVLRTLALLLTREDNEVSEAVTLYLAAASKNQHFREKALLYYCEALTKTNLQLQKAACLALKILEATESIKMLVTLCQSDTEEIRNVASETLLSLGEDGRLAYEQLDKFPRDCVKVGGRHGTEVATAF
+>DECOY_sp|Q9Y4F9|RIPR2_HUMAN Rho family-interacting cell polarization regulator 2 OS=Homo sapiens OX=9606 GN=RIPOR2 PE=1 SV=4
+FATAVETGHRGGVKVCDRPFKDLQEYALRGDEGLSLLTESAVNRIEETDSQCLTVLMKISETAELIKLALCAAKQLQLNTKTLAECYYLLAKERFHQNKSAAALYLTVAESVENDERTLLLALTRLVEQQALLNSPALDSMTQLLKEDRLSQLTQVMSVQRALQSLYSELDSVGHSTFYSYYQFVTVVESSLSSSLLPEARDLIQSVLTRFVPDALGPYEKNVTRAFSAELQKMVRDAPSHYVGVPSCCKTWFSLLSLKKHFEPIAEVVSSINGINEDSVAALKEMVQIQRSLKELLSRAVFPTKSSFVIQQVLQTCYQLHRVITIDLSENGTTLPLPSGAVSTGVGTDETLAESLHGRAEPHVSRYSHKETETDSFVSDAGGGVNCVEDGDEEEDFDSTNLFDFSELASEVTLSLSSSRSRSVAPKCKLIDDLNMVEQNLDQFEKYQEKHPELALLLGNFADELSGDLFSRCGESEGGVSGESLLRDTAMPVEASTLRKVLQKTINGESTDLEVPKLESAEESEQLLAEAVDNELFLHEAGAGSSQRRCAESPASAPKRPEEPDEEKLHSKPEQGEGLSNRSSASSTDDMGENQSALSSLNFEAPTITIEPNTSNSPSGTSHSTLACDGNPLDSFSLSLPMKEEAAKGNEFIDDPLNSYLELVAKPSPRLSPLDSFSRSRHLKAFFTDQLASLVSLRRPKDPSPHLWRFFSHDKFTPTEPTGQSYMSMRRQLAAAKNGAGSSATMDEVDFPYWTIELNLKITGLDNIDVAVVQPRAAFLEKTECTVSGVLIHTALGKLETVKISIFGVILPLFVTEEGDWSQKGNVEIKGKLKWRQRGYKMFIEYQDGPCLRAFGALGKMKISFEGLLNELEVEITCMNETYEKFSRNIETLSERAAKSAPSTAFAQKMKSAGDQLRRQICYAEYLEDVKSIHFELRRMYREITKIQKDLDYLVGLRSNRKMDKLQATLKDLETQHVELYEDLGNKLARYVEEVRKPQPEKPPNNNKHGLNHMKKLKAQPKKLASSNEIFSNCRSRREQLGSFGAFSQSRIIGNPGGPSFSQSGVLM
+>sp|Q86UA6|RIP_HUMAN RPA-interacting protein OS=Homo sapiens OX=9606 GN=RPAIN PE=1 SV=2
+MAESLRSPRRSLYKLVGSPPWKEAFRQRCLERMRNSRDRLLNRYRQAGSSGPGNSQNSFLVQEVMEEEWNALQSVENCPEDLAQLEELIDMAVLEEIQQELINQEQSIISEYEKSLQFDEKCLSIMLAEWEANPLICPVCTKYNLRITSGVVVCQCGLSIPSHSSELTEQKLRACLEGSINEHSAHCPHTPEFSVTGGTEEKSSLLMSCLACDTWAVIL
+>DECOY_sp|Q86UA6|RIP_HUMAN RPA-interacting protein OS=Homo sapiens OX=9606 GN=RPAIN PE=1 SV=2
+LIVAWTDCALCSMLLSSKEETGGTVSFEPTHPCHASHENISGELCARLKQETLESSHSPISLGCQCVVVGSTIRLNYKTCVPCILPNAEWEALMISLCKEDFQLSKEYESIISQEQNILEQQIEELVAMDILEELQALDEPCNEVSQLANWEEEMVEQVLFSNQSNGPGSSGAQRYRNLLRDRSNRMRELCRQRFAEKWPPSGVLKYLSRRPSRLSEAM
+>sp|P18621|RL17_HUMAN 60S ribosomal protein L17 OS=Homo sapiens OX=9606 GN=RPL17 PE=1 SV=3
+MVRYSLDPENPTKSCKSRGSNLRVHFKNTRETAQAIKGMHIRKATKYLKDVTLQKQCVPFRRYNGGVGRCAQAKQWGWTQGRWPKKSAEFLLHMLKNAESNAELKGLDVDSLVIEHIQVNKAPKMRRRTYRAHGRINPYMSSPCHIEMILTEKEQIVPKPEEEVAQKKKISQKKLKKQKLMARE
+>DECOY_sp|P18621|RL17_HUMAN 60S ribosomal protein L17 OS=Homo sapiens OX=9606 GN=RPL17 PE=1 SV=3
+ERAMLKQKKLKKQSIKKKQAVEEEPKPVIQEKETLIMEIHCPSSMYPNIRGHARYTRRRMKPAKNVQIHEIVLSDVDLGKLEANSEANKLMHLLFEASKKPWRGQTWGWQKAQACRGVGGNYRRFPVCQKQLTVDKLYKTAKRIHMGKIAQATERTNKFHVRLNSGRSKCSKTPNEPDLSYRVM
+>sp|P84098|RL19_HUMAN 60S ribosomal protein L19 OS=Homo sapiens OX=9606 GN=RPL19 PE=1 SV=1
+MSMLRLQKRLASSVLRCGKKKVWLDPNETNEIANANSRQQIRKLIKDGLIIRKPVTVHSRARCRKNTLARRKGRHMGIGKRKGTANARMPEKVTWMRRMRILRRLLRRYRESKKIDRHMYHSLYLKVKGNVFKNKRILMEHIHKLKADKARKKLLADQAEARRSKTKEARKRREERLQAKKEEIIKTLSKEEETKK
+>DECOY_sp|P84098|RL19_HUMAN 60S ribosomal protein L19 OS=Homo sapiens OX=9606 GN=RPL19 PE=1 SV=1
+KKTEEEKSLTKIIEEKKAQLREERRKRAEKTKSRRAEAQDALLKKRAKDAKLKHIHEMLIRKNKFVNGKVKLYLSHYMHRDIKKSERYRRLLRRLIRMRRMWTVKEPMRANATGKRKGIGMHRGKRRALTNKRCRARSHVTVPKRIILGDKILKRIQQRSNANAIENTENPDLWVKKKGCRLVSSALRKQLRLMSM
+>sp|Q9UNX3|RL26L_HUMAN 60S ribosomal protein L26-like 1 OS=Homo sapiens OX=9606 GN=RPL26L1 PE=1 SV=1
+MKFNPFVTSDRSKNRKRHFNAPSHVRRKIMSSPLSKELRQKYNVRSMPIRKDDEVQVVRGHYKGQQIGKVVQVYRKKYVIYIERVQREKANGTTVHVGIHPSKVVITRLKLDKDRKKILERKAKSRQVGKEKGKYKEELIEKMQE
+>DECOY_sp|Q9UNX3|RL26L_HUMAN 60S ribosomal protein L26-like 1 OS=Homo sapiens OX=9606 GN=RPL26L1 PE=1 SV=1
+EQMKEILEEKYKGKEKGVQRSKAKRELIKKRDKDLKLRTIVVKSPHIGVHVTTGNAKERQVREIYIVYKKRYVQVVKGIQQGKYHGRVVQVEDDKRIPMSRVNYKQRLEKSLPSSMIKRRVHSPANFHRKRNKSRDSTVFPNFKM
+>sp|P47914|RL29_HUMAN 60S ribosomal protein L29 OS=Homo sapiens OX=9606 GN=RPL29 PE=1 SV=2
+MAKSKNHTTHNQSRKWHRNGIKKPRSQRYESLKGVDPKFLRNMRFAKKHNKKGLKKMQANNAKAMSARAEAIKALVKPKEVKPKIPKGVSRKLDRLAYIAHPKLGKRARARIAKGLRLCRPKAKAKAKAKDQTKAQAAAPASVPAQAPKRTQAPTKASE
+>DECOY_sp|P47914|RL29_HUMAN 60S ribosomal protein L29 OS=Homo sapiens OX=9606 GN=RPL29 PE=1 SV=2
+ESAKTPAQTRKPAQAPVSAPAAAQAKTQDKAKAKAKAKPRCLRLGKAIRARARKGLKPHAIYALRDLKRSVGKPIKPKVEKPKVLAKIAEARASMAKANNAQMKKLGKKNHKKAFRMNRLFKPDVGKLSEYRQSRPKKIGNRHWKRSQNHTTHNKSKAM
+>sp|A6NEQ0|RBY1E_HUMAN RNA-binding motif protein, Y chromosome, family 1 member E OS=Homo sapiens OX=9606 GN=RBMY1E PE=2 SV=1
+MVEADHPGKLFIGGLNRETNEKMLKAVFGKHGPISEVLLIKDRTSKSRGFAFITFENPADAKNAAKDMNGKSLHGKAIKVEQAKKPSFQSGGRRRPPASSRNRSPSGSLRSARGSRGGTRGWLPSQEGHLDDGGYTPDLKMSYSRGLIPVKRGPSSRSGGPPPKKSAPSAVARSNSWMGSQGPMSQRRENYGVPPRRATISSWRNDRMSTRHDGYATNDGNHPSCQETRDYAPPSRGYAYRDNGHSNRDEHSSRGYRNHRSSRETRDYAPPSRGHAYRDYGHSRRDESYSRGYRNRRSSRETREYAPPSRGHGYRDYGHSRRHESYSRGYRNHPSSRETRDYAPPHRDYAYRDYGHSSWDEHSSRGYSYHDGYGEALGRDHSEHLSGSSYRDALQRYGTAHGAPPARGPRMSYGGSTCHAYSNTRDRYGRSWESYSSCGDFHYCDREHVCRKDQRNPPSLGRVLPDPREACGSSSYVASIVDGGESRSEKGDSSRY
+>DECOY_sp|A6NEQ0|RBY1E_HUMAN RNA-binding motif protein, Y chromosome, family 1 member E OS=Homo sapiens OX=9606 GN=RBMY1E PE=2 SV=1
+YRSSDGKESRSEGGDVISAVYSSSGCAERPDPLVRGLSPPNRQDKRCVHERDCYHFDGCSSYSEWSRGYRDRTNSYAHCTSGGYSMRPGRAPPAGHATGYRQLADRYSSGSLHESHDRGLAEGYGDHYSYGRSSHEDWSSHGYDRYAYDRHPPAYDRTERSSPHNRYGRSYSEHRRSHGYDRYGHGRSPPAYERTERSSRRNRYGRSYSEDRRSHGYDRYAHGRSPPAYDRTERSSRHNRYGRSSHEDRNSHGNDRYAYGRSPPAYDRTEQCSPHNGDNTAYGDHRTSMRDNRWSSITARRPPVGYNERRQSMPGQSGMWSNSRAVASPASKKPPPGGSRSSPGRKVPILGRSYSMKLDPTYGGDDLHGEQSPLWGRTGGRSGRASRLSGSPSRNRSSAPPRRRGGSQFSPKKAQEVKIAKGHLSKGNMDKAANKADAPNEFTIFAFGRSKSTRDKILLVESIPGHKGFVAKLMKENTERNLGGIFLKGPHDAEVM
+>sp|Q5TC82|RC3H1_HUMAN Roquin-1 OS=Homo sapiens OX=9606 GN=RC3H1 PE=1 SV=1
+MPVQAPQWTDFLSCPICTQTFDETIRKPISLGCGHTVCKMCLNKLHRKACPFDQTTINTDIELLPVNSALLQLVGAQVPEQQPITLCSGVEDTKHYEEAKKCVEELALYLKPLSSARGVGLNSTTQSVLSRPMQRKLVTLVHCQLVEEEGRIRAMRAARSLGERTVTELILQHQNPQQLSSNLWAAVRARGCQFLGPAMQEEALKLVLLALEDGSALSRKVLVLFVVQRLEPRFPQASKTSIGHVVQLLYRASCFKVTKRDEDSSLMQLKEEFRTYEALRREHDSQIVQIAMEAGLRIAPDQWSSLLYGDQSHKSHMQSIIDKLQTPASFAQSVQELTIALQRTGDPANLNRLRPHLELLANIDPSPDAPPPTWEQLENGLVAVRTVVHGLVDYIQNHSKKGADQQQPPQHSKYKTYMCRDMKQRGGCPRGASCTFAHSQEELEKFRKMNKRLVPRRPLSASLGQLNEVGLPSAAILPDEGAVDLPSRKPPALPNGIVSTGNTVTQLIPRGTDPSYDSSLKPGKIDHLSSSAPGSPPDLLESVPKSISALPVNPHSIPPRGPADLPPMPVTKPLQMVPRGSQLYPAQQTDVYYQDPRGAAPPFEPAPYQQGMYYTPPPQCVSRFVRPPPSAPEPAPPYLDHYPPYLQERVVNSQYGTQPQQYPPIYPSHYDGRRVYPAPSYTREEIFRESPIPIEIPPAAVPSYVPESRERYQQIESYYPVAPHPTQIRPSYLREPPYSRLPPPPQPHPSLDELHRRRKEIMAQLEERKVISPPPFAPSPTLPPTFHPEEFLDEDLKVAGKYKGNDYSQYSPWSCDTIGSYIGTKDAKPKDVVAAGSVEMMNVESKGMRDQRLDLQRRAAETSDDDLIPFGDRPTVSRFGAISRTSKTIYQGAGPMQAMAPQGAPTKSINISDYSPYGTHGGWGASPYSPHQNIPSQGHFSERERISMSEVASHGKPLPSAEREQLRLELQQLNHQISQQTQLRGLEAVSNRLVLQREANTLAGQSQPPPPPPPKWPGMISSEQLSLELHQVEREIGKRTRELSMENQCSLDMKSKLNTSKQAENGQPEPQNKVPAEDLTLTFSDVPNGSALTQENISLLSNKTSSLNLSEDPEGGGDNNDSQRSGVTPSSAP
+>DECOY_sp|Q5TC82|RC3H1_HUMAN Roquin-1 OS=Homo sapiens OX=9606 GN=RC3H1 PE=1 SV=1
+PASSPTVGSRQSDNNDGGGEPDESLNLSSTKNSLLSINEQTLASGNPVDSFTLTLDEAPVKNQPEPQGNEAQKSTNLKSKMDLSCQNEMSLERTRKGIEREVQHLELSLQESSIMGPWKPPPPPPPQSQGALTNAERQLVLRNSVAELGRLQTQQSIQHNLQQLELRLQEREASPLPKGHSAVESMSIRERESFHGQSPINQHPSYPSAGWGGHTGYPSYDSINISKTPAGQPAMAQMPGAGQYITKSTRSIAGFRSVTPRDGFPILDDDSTEAARRQLDLRQDRMGKSEVNMMEVSGAAVVDKPKADKTGIYSGITDCSWPSYQSYDNGKYKGAVKLDEDLFEEPHFTPPLTPSPAFPPPSIVKREELQAMIEKRRRHLEDLSPHPQPPPPLRSYPPERLYSPRIQTPHPAVPYYSEIQQYRERSEPVYSPVAAPPIEIPIPSERFIEERTYSPAPYVRRGDYHSPYIPPYQQPQTGYQSNVVREQLYPPYHDLYPPAPEPASPPPRVFRSVCQPPPTYYMGQQYPAPEFPPAAGRPDQYYVDTQQAPYLQSGRPVMQLPKTVPMPPLDAPGRPPISHPNVPLASISKPVSELLDPPSGPASSSLHDIKGPKLSSDYSPDTGRPILQTVTNGTSVIGNPLAPPKRSPLDVAGEDPLIAASPLGVENLQGLSASLPRRPVLRKNMKRFKELEEQSHAFTCSAGRPCGGRQKMDRCMYTKYKSHQPPQQQDAGKKSHNQIYDVLGHVVTRVAVLGNELQEWTPPPADPSPDINALLELHPRLRNLNAPDGTRQLAITLEQVSQAFSAPTQLKDIISQMHSKHSQDGYLLSSWQDPAIRLGAEMAIQVIQSDHERRLAEYTRFEEKLQMLSSDEDRKTVKFCSARYLLQVVHGISTKSAQPFRPELRQVVFLVLVKRSLASGDELALLVLKLAEEQMAPGLFQCGRARVAAWLNSSLQQPNQHQLILETVTREGLSRAARMARIRGEEEVLQCHVLTVLKRQMPRSLVSQTTSNLGVGRASSLPKLYLALEEVCKKAEEYHKTDEVGSCLTIPQQEPVQAGVLQLLASNVPLLEIDTNITTQDFPCAKRHLKNLCMKCVTHGCGLSIPKRITEDFTQTCIPCSLFDTWQPAQVPM
+>sp|Q8NDN9|RCBT1_HUMAN RCC1 and BTB domain-containing protein 1 OS=Homo sapiens OX=9606 GN=RCBTB1 PE=1 SV=1
+MVDVGKWPIFTLLSPQEIASIRKACVFGTSASEALYVTDNDEVFVFGLNYSNCLGTGDNQSTLVPKKLEGLCGKKIKSLSYGSGPHVLLSTEDGVVYAWGHNGYSQLGNGTTNQGIAPVQVCTNLLIKQVVEVACGSHHSMALAADGEVFAWGYNNCGQVGSGSTANQPTPRKVTNCLHIKRVVGIACGQTSSMAVLDNGEVYGWGYNGNGQLGLGNNGNQLTPVRVAALHSVCVNQIVCGYAHTLALTDEGLLYAWGANTYGQLGTGNKNNLLSPAHIMVEKERVVEIAACHSAHTSAAKTQGGHVYMWGQCRGQSVILPHLTHFSCTDDVFACFATPAVSWRLLSVEHEDFLTVAESLKKEFDSPETADLKFRIDGKYIHVHKAVLKIRCEHFRSMFQSYWNEDMKEVIEIDQFSYPVYRAFLQYLYTDTVDLPPEDAIGLLDLATSYCENRLKKLCQHIIKRGITVENAFSLFSAAVRYDAEDLEEFCFKFCINHLTEVTQTAAFWQMDGPLLKEFIAKASKCGAFKN
+>DECOY_sp|Q8NDN9|RCBT1_HUMAN RCC1 and BTB domain-containing protein 1 OS=Homo sapiens OX=9606 GN=RCBTB1 PE=1 SV=1
+NKFAGCKSAKAIFEKLLPGDMQWFAATQTVETLHNICFKFCFEELDEADYRVAASFLSFANEVTIGRKIIHQCLKKLRNECYSTALDLLGIADEPPLDVTDTYLYQLFARYVPYSFQDIEIVEKMDENWYSQFMSRFHECRIKLVAKHVHIYKGDIRFKLDATEPSDFEKKLSEAVTLFDEHEVSLLRWSVAPTAFCAFVDDTCSFHTLHPLIVSQGRCQGWMYVHGGQTKAASTHASHCAAIEVVREKEVMIHAPSLLNNKNGTGLQGYTNAGWAYLLGEDTLALTHAYGCVIQNVCVSHLAAVRVPTLQNGNNGLGLQGNGNYGWGYVEGNDLVAMSSTQGCAIGVVRKIHLCNTVKRPTPQNATSGSGVQGCNNYGWAFVEGDAALAMSHHSGCAVEVVQKILLNTCVQVPAIGQNTTGNGLQSYGNHGWAYVVGDETSLLVHPGSGYSLSKIKKGCLGELKKPVLTSQNDGTGLCNSYNLGFVFVEDNDTVYLAESASTGFVCAKRISAIEQPSLLTFIPWKGVDVM
+>sp|Q7Z3Z2|RD3_HUMAN Protein RD3 OS=Homo sapiens OX=9606 GN=RD3 PE=1 SV=1
+MSLISWLRWNEAPSRLSTRSPAEMVLETLMMELTGQMREAERQQRERSNAVRKVCTGVDYSWLASTPRSTYDLSPIERLQLEDVCVKIHPSYCGPAILRFRQLLAEQEPEVQEVSQLFRSVLQEVLERMKQEEEAHKLTRQWSLRPRGSLATFKTRARISPFASDIRTISEDVERDTPPPLRSWSMPEFRAPKAD
+>DECOY_sp|Q7Z3Z2|RD3_HUMAN Protein RD3 OS=Homo sapiens OX=9606 GN=RD3 PE=1 SV=1
+DAKPARFEPMSWSRLPPPTDREVDESITRIDSAFPSIRARTKFTALSGRPRLSWQRTLKHAEEEQKMRELVEQLVSRFLQSVEQVEPEQEALLQRFRLIAPGCYSPHIKVCVDELQLREIPSLDYTSRPTSALWSYDVGTCVKRVANSRERQQREAERMQGTLEMMLTELVMEAPSRTSLRSPAENWRLWSILSM
+>sp|O75452|RDH16_HUMAN Retinol dehydrogenase 16 OS=Homo sapiens OX=9606 GN=RDH16 PE=1 SV=2
+MWLYLAVFVGLYYLLHWYRERQVLSHLRDKYVFITGCDSGFGKLLARQLDARGLRVLAACLTEKGAEQLRGQTSDRLETVTLDVTKTESVAAAAQWVKECVRDKGLWGLVNNAGISLPTAPNELLTKQDFVTILDVNLLGVIDVTLSLLPLVRRARGRVVNVSSVMGRVSLFGGGYCISKYGVEAFSDSLRRELSYFGVKVAMIEPGYFKTAVTSKERFLKSFLEIWDRSSPEVKEAYGEKFVADYKKSAEQMEQKCTQDLSLVTNCMEHALIACHPRTRYSAGWDAKLLYLPMSYMPTFLVDAIMYWVSPSPAKAL
+>DECOY_sp|O75452|RDH16_HUMAN Retinol dehydrogenase 16 OS=Homo sapiens OX=9606 GN=RDH16 PE=1 SV=2
+LAKAPSPSVWYMIADVLFTPMYSMPLYLLKADWGASYRTRPHCAILAHEMCNTVLSLDQTCKQEMQEASKKYDAVFKEGYAEKVEPSSRDWIELFSKLFREKSTVATKFYGPEIMAVKVGFYSLERRLSDSFAEVGYKSICYGGGFLSVRGMVSSVNVVRGRARRVLPLLSLTVDIVGLLNVDLITVFDQKTLLENPATPLSIGANNVLGWLGKDRVCEKVWQAAAAVSETKTVDLTVTELRDSTQGRLQEAGKETLCAALVRLGRADLQRALLKGFGSDCGTIFVYKDRLHSLVQRERYWHLLYYLGVFVALYLWM
+>sp|Q9NYR8|RDH8_HUMAN Retinol dehydrogenase 8 OS=Homo sapiens OX=9606 GN=RDH8 PE=1 SV=1
+MAAAPRTVLISGCSSGIGLELAVQLAHDPKKRYQVVATMRDLGKKETLEAAAGEALGQTLTVAQLDVCSDESVAQCLSCIQGEVDVLVNNAGMGLVGPLEGLSLAAMQNVFDTNFFGAVRLVKAVLPGMKRRRQGHIVVISSVMGLQGVIFNDVYAASKFALEGFFESLAIQLLQFNIFISLVEPGPVVTEFEGKLLAQVSMAEFPGTDPETLHYFRDLYLPASRKLFCSVGQNPQDVVQAIVNVISSTRPPLRRQTNIRYSPLTTLKTVDSSGSLYVRTTHRLLFRCPRLLNLGLQCLSCGCLPTRVRPR
+>DECOY_sp|Q9NYR8|RDH8_HUMAN Retinol dehydrogenase 8 OS=Homo sapiens OX=9606 GN=RDH8 PE=1 SV=1
+RPRVRTPLCGCSLCQLGLNLLRPCRFLLRHTTRVYLSGSSDVTKLTTLPSYRINTQRRLPPRTSSIVNVIAQVVDQPNQGVSCFLKRSAPLYLDRFYHLTEPDTGPFEAMSVQALLKGEFETVVPGPEVLSIFINFQLLQIALSEFFGELAFKSAAYVDNFIVGQLGMVSSIVVIHGQRRRKMGPLVAKVLRVAGFFNTDFVNQMAALSLGELPGVLGMGANNVLVDVEGQICSLCQAVSEDSCVDLQAVTLTQGLAEGAAAELTEKKGLDRMTAVVQYRKKPDHALQVALELGIGSSCGSILVTRPAAAM
+>sp|P61572|REC19_HUMAN Endogenous retrovirus group K member 19 Rec protein OS=Homo sapiens OX=9606 GN=ERVK-19 PE=1 SV=1
+MNPSEMQRKAPPRRRRHRNRAPLTHKMNKMVTSEEQMKLPSTKKAEPPTWAQLKKLTQLATKYLENTKVTQTPESMLLAALMIVSMVSAGVPNSSEETATIENGP
+>DECOY_sp|P61572|REC19_HUMAN Endogenous retrovirus group K member 19 Rec protein OS=Homo sapiens OX=9606 GN=ERVK-19 PE=1 SV=1
+PGNEITATEESSNPVGASVMSVIMLAALLMSEPTQTVKTNELYKTALQTLKKLQAWTPPEAKKTSPLKMQEESTVMKNMKHTLPARNRHRRRRPPAKRQMESPNM
+>sp|P46063|RECQ1_HUMAN ATP-dependent DNA helicase Q1 OS=Homo sapiens OX=9606 GN=RECQL PE=1 SV=3
+MASVSALTEELDSITSELHAVEIQIQELTERQQELIQKKKVLTKKIKQCLEDSDAGASNEYDSSPAAWNKEDFPWSGKVKDILQNVFKLEKFRPLQLETINVTMAGKEVFLVMPTGGGKSLCYQLPALCSDGFTLVICPLISLMEDQLMVLKQLGISATMLNASSSKEHVKWVHAEMVNKNSELKLIYVTPEKIAKSKMFMSRLEKAYEARRFTRIAVDEVHCCSQWGHDFRPDYKALGILKRQFPNASLIGLTATATNHVLTDAQKILCIEKCFTFTASFNRPNLYYEVRQKPSNTEDFIEDIVKLINGRYKGQSGIIYCFSQKDSEQVTVSLQNLGIHAGAYHANLEPEDKTTVHRKWSANEIQVVVATVAFGMGIDKPDVRFVIHHSMSKSMENYYQESGRAGRDDMKADCILYYGFGDIFRISSMVVMENVGQQKLYEMVSYCQNISKCRRVLMAQHFDEVWNSEACNKMCDNCCKDSAFERKNITEYCRDLIKILKQAEELNEKLTPLKLIDSWMGKGAAKLRVAGVVAPTLPREDLEKIIAHFLIQQYLKEDYSFTAYATISYLKIGPKANLLNNEAHAITMQVTKSTQNSFRAESSQTCHSEQGDKKMEEKNSGNFQKKAANMLQQSGSKNTGAKKRKIDDA
+>DECOY_sp|P46063|RECQ1_HUMAN ATP-dependent DNA helicase Q1 OS=Homo sapiens OX=9606 GN=RECQL PE=1 SV=3
+ADDIKRKKAGTNKSGSQQLMNAAKKQFNGSNKEEMKKDGQESHCTQSSEARFSNQTSKTVQMTIAHAENNLLNAKPGIKLYSITAYATFSYDEKLYQQILFHAIIKELDERPLTPAVVGAVRLKAAGKGMWSDILKLPTLKENLEEAQKLIKILDRCYETINKREFASDKCCNDCMKNCAESNWVEDFHQAMLVRRCKSINQCYSVMEYLKQQGVNEMVVMSSIRFIDGFGYYLICDAKMDDRGARGSEQYYNEMSKSMSHHIVFRVDPKDIGMGFAVTAVVVQIENASWKRHVTTKDEPELNAHYAGAHIGLNQLSVTVQESDKQSFCYIIGSQGKYRGNILKVIDEIFDETNSPKQRVEYYLNPRNFSATFTFCKEICLIKQADTLVHNTATATLGILSANPFQRKLIGLAKYDPRFDHGWQSCCHVEDVAIRTFRRAEYAKELRSMFMKSKAIKEPTVYILKLESNKNVMEAHVWKVHEKSSSANLMTASIGLQKLVMLQDEMLSILPCIVLTFGDSCLAPLQYCLSKGGGTPMVLFVEKGAMTVNITELQLPRFKELKFVNQLIDKVKGSWPFDEKNWAAPSSDYENSAGADSDELCQKIKKTLVKKKQILEQQRETLEQIQIEVAHLESTISDLEETLASVSAM
+>sp|O94761|RECQ4_HUMAN ATP-dependent DNA helicase Q4 OS=Homo sapiens OX=9606 GN=RECQL4 PE=1 SV=2
+MERLRDVRERLQAWERAFRRQRGRRPSQDDVEAAPEETRALYREYRTLKRTTGQAGGGLRSSESLPAAAEEAPEPRCWGPHLNRAATKSPQSTPGRSRQGSVPDYGQRLKANLKGTLQAGPALGRRPWPLGRASSKASTPKPPGTGPVPSFAEKVSDEPPQLPEPQPRPGRLQHLQASLSQRLGSLDPGWLQRCHSEVPDFLGAPKACRPDLGSEESQLLIPGESAVLGPGAGSQGPEASAFQEVSIRVGSPQPSSSGGEKRRWNEEPWESPAQVQQESSQAGPPSEGAGAVAVEEDPPGEPVQAQPPQPCSSPSNPRYHGLSPSSQARAGKAEGTAPLHIFPRLARHDRGNYVRLNMKQKHYVRGRALRSRLLRKQAWKQKWRKKGECFGGGGATVTTKESCFLNEQFDHWAAQCPRPASEEDTDAVGPEPLVPSPQPVPEVPSLDPTVLPLYSLGPSGQLAETPAEVFQALEQLGHQAFRPGQERAVMRILSGISTLLVLPTGAGKSLCYQLPALLYSRRSPCLTLVVSPLLSLMDDQVSGLPPCLKAACIHSGMTRKQRESVLQKIRAAQVHVLMLTPEALVGAGGLPPAAQLPPVAFACIDEAHCLSQWSHNFRPCYLRVCKVLRERMGVHCFLGLTATATRRTASDVAQHLAVAEEPDLHGPAPVPTNLHLSVSMDRDTDQALLTLLQGKRFQNLDSIIIYCNRREDTERIAALLRTCLHAAWVPGSGGRAPKTTAEAYHAGMCSRERRRVQRAFMQGQLRVVVATVAFGMGLDRPDVRAVLHLGLPPSFESYVQAVGRAGRDGQPAHCHLFLQPQGEDLRELRRHVHADSTDFLAVKRLVQRVFPACTCTCTRPPSEQEGAVGGERPVPKYPPQEAEQLSHQAAPGPRRVCMGHERALPIQLTVQALDMPEEAIETLLCYLELHPHHWLELLATTYTHCRLNCPGGPAQLQALAHRCPPLAVCLAQQLPEDPGQGSSSVEFDMVKLVDSMGWELASVRRALCQLQWDHEPRTGVRRGTGVLVEFSELAFHLRSPGDLTAEEKDQICDFLYGRVQARERQALARLRRTFQAFHSVAFPSCGPCLEQQDEERSTRLKDLLGRYFEEEEGQEPGGMEDAQGPEPGQARLQDWEDQVRCDIRQFLSLRPEEKFSSRAVARIFHGIGSPCYPAQVYGQDRRFWRKYLHLSFHALVGLATEELLQVAR
+>DECOY_sp|O94761|RECQ4_HUMAN ATP-dependent DNA helicase Q4 OS=Homo sapiens OX=9606 GN=RECQL4 PE=1 SV=2
+RAVQLLEETALGVLAHFSLHLYKRWFRRDQGYVQAPYCPSGIGHFIRAVARSSFKEEPRLSLFQRIDCRVQDEWDQLRAQGPEPGQADEMGGPEQGEEEEFYRGLLDKLRTSREEDQQELCPGCSPFAVSHFAQFTRRLRALAQRERAQVRGYLFDCIQDKEEATLDGPSRLHFALESFEVLVGTGRRVGTRPEHDWQLQCLARRVSALEWGMSDVLKVMDFEVSSSGQGPDEPLQQALCVALPPCRHALAQLQAPGGPCNLRCHTYTTALLELWHHPHLELYCLLTEIAEEPMDLAQVTLQIPLAREHGMCVRRPGPAAQHSLQEAEQPPYKPVPREGGVAGEQESPPRTCTCTCAPFVRQVLRKVALFDTSDAHVHRRLERLDEGQPQLFLHCHAPQGDRGARGVAQVYSEFSPPLGLHLVARVDPRDLGMGFAVTAVVVRLQGQMFARQVRRRERSCMGAHYAEATTKPARGGSGPVWAAHLCTRLLAAIRETDERRNCYIIISDLNQFRKGQLLTLLAQDTDRDMSVSLHLNTPVPAPGHLDPEEAVALHQAVDSATRRTATATLGLFCHVGMRERLVKCVRLYCPRFNHSWQSLCHAEDICAFAVPPLQAAPPLGGAGVLAEPTLMLVHVQAARIKQLVSERQKRTMGSHICAAKLCPPLGSVQDDMLSLLPSVVLTLCPSRRSYLLAPLQYCLSKGAGTPLVLLTSIGSLIRMVAREQGPRFAQHGLQELAQFVEAPTEALQGSPGLSYLPLVTPDLSPVEPVPQPSPVLPEPGVADTDEESAPRPCQAAWHDFQENLFCSEKTTVTAGGGGFCEGKKRWKQKWAQKRLLRSRLARGRVYHKQKMNLRVYNGRDHRALRPFIHLPATGEAKGARAQSSPSLGHYRPNSPSSCPQPPQAQVPEGPPDEEVAVAGAGESPPGAQSSEQQVQAPSEWPEENWRRKEGGSSSPQPSGVRISVEQFASAEPGQSGAGPGLVASEGPILLQSEESGLDPRCAKPAGLFDPVESHCRQLWGPDLSGLRQSLSAQLHQLRGPRPQPEPLQPPEDSVKEAFSPVPGTGPPKPTSAKSSARGLPWPRRGLAPGAQLTGKLNAKLRQGYDPVSGQRSRGPTSQPSKTAARNLHPGWCRPEPAEEAAAPLSESSRLGGGAQGTTRKLTRYERYLARTEEPAAEVDDQSPRRGRQRRFAREWAQLRERVDRLREM
+>sp|O94762|RECQ5_HUMAN ATP-dependent DNA helicase Q5 OS=Homo sapiens OX=9606 GN=RECQL5 PE=1 SV=2
+MSSHHTTFPFDPERRVRSTLKKVFGFDSFKTPLQESATMAVVKGNKDVFVCMPTGAGKSLCYQLPALLAKGITIVVSPLIALIQDQVDHLLTLKVRVSSLNSKLSAQERKELLADLEREKPQTKILYITPEMAASSSFQPTLNSLVSRHLLSYLVVDEAHCVSQWGHDFRPDYLRLGALRSRLGHAPCVALTATATPQVQEDVFAALHLKKPVAIFKTPCFRANLFYDVQFKELISDPYGNLKDFCLKALGQEADKGLSGCGIVYCRTREACEQLAIELSCRGVNAKAYHAGLKASERTLVQNDWMEEKVPVIVATISFGMGVDKANVRFVAHWNIAKSMAGYYQESGRAGRDGKPSWCRLYYSRNDRDQVSFLIRKEVAKLQEKRGNKASDKATIMAFDALVTFCEELGCRHAAIAKYFGDALPACAKGCDHCQNPTAVRRRLEALERSSSWSKTCIGPSQGNGFDPELYEGGRKGYGDFSRYDEGSGGSGDEGRDEAHKREWNLFYQKQMQLRKGKDPKIEEFVPPDENCPLKEASSRRIPRLTVKAREHCLRLLEEALSSNRQSTRTADEADLRAKAVELEHETFRNAKVANLYKASVLKKVADIHRASKDGQPYDMGGSAKSCSAQAEPPEPNEYDIPPASHVYSLKPKRVGAGFPKGSCPFQTATELMETTRIREQAPQPERGGEHEPPSRPCGLLDEDGSEPLPGPRGEVPGGSAHYGGPSPEKKAKSSSGGSSLAKGRASKKQQLLATAAHKDSQSIARFFCRRVESPALLASAPEAEGACPSCEGVQGPPMAPEKYTGEEDGAGGHSPAPPQTEECLRERPSTCPPRDQGTPEVQPTPAKDTWKGKRPRSQQENPESQPQKRPRPSAKPSVVAEVKGSVSASEQGTLNPTAQDPFQLSAPGVSLKEAANVVVKCLTPFYKEGKFASKELFKGFARHLSHLLTQKTSPGRSVKEEAQNLIRHFFHGRARCESEADWHGLCGPQR
+>DECOY_sp|O94762|RECQ5_HUMAN ATP-dependent DNA helicase Q5 OS=Homo sapiens OX=9606 GN=RECQL5 PE=1 SV=2
+RQPGCLGHWDAESECRARGHFFHRILNQAEEKVSRGPSTKQTLLHSLHRAFGKFLEKSAFKGEKYFPTLCKVVVNAAEKLSVGPASLQFPDQATPNLTGQESASVSGKVEAVVSPKASPRPRKQPQSEPNEQQSRPRKGKWTDKAPTPQVEPTGQDRPPCTSPRERLCEETQPPAPSHGGAGDEEGTYKEPAMPPGQVGECSPCAGEAEPASALLAPSEVRRCFFRAISQSDKHAATALLQQKKSARGKALSSGGSSSKAKKEPSPGGYHASGGPVEGRPGPLPESGDEDLLGCPRSPPEHEGGREPQPAQERIRTTEMLETATQFPCSGKPFGAGVRKPKLSYVHSAPPIDYENPEPPEAQASCSKASGGMDYPQGDKSARHIDAVKKLVSAKYLNAVKANRFTEHELEVAKARLDAEDATRTSQRNSSLAEELLRLCHERAKVTLRPIRRSSAEKLPCNEDPPVFEEIKPDKGKRLQMQKQYFLNWERKHAEDRGEDGSGGSGEDYRSFDGYGKRGGEYLEPDFGNGQSPGICTKSWSSSRELAELRRRVATPNQCHDCGKACAPLADGFYKAIAAHRCGLEECFTVLADFAMITAKDSAKNGRKEQLKAVEKRILFSVQDRDNRSYYLRCWSPKGDRGARGSEQYYGAMSKAINWHAVFRVNAKDVGMGFSITAVIVPVKEEMWDNQVLTRESAKLGAHYAKANVGRCSLEIALQECAERTRCYVIGCGSLGKDAEQGLAKLCFDKLNGYPDSILEKFQVDYFLNARFCPTKFIAVPKKLHLAAFVDEQVQPTATATLAVCPAHGLRSRLAGLRLYDPRFDHGWQSVCHAEDVVLYSLLHRSVLSNLTPQFSSSAAMEPTIYLIKTQPKERELDALLEKREQASLKSNLSSVRVKLTLLHDVQDQILAILPSVVITIGKALLAPLQYCLSKGAGTPMCVFVDKNGKVVAMTASEQLPTKFSDFGFVKKLTSRVRREPDFPFTTHHSSM
+>sp|Q06141|REG3A_HUMAN Regenerating islet-derived protein 3-alpha OS=Homo sapiens OX=9606 GN=REG3A PE=1 SV=1
+MLPPMALPSVSWMLLSCLMLLSQVQGEEPQRELPSARIRCPKGSKAYGSHCYALFLSPKSWTDADLACQKRPSGNLVSVLSGAEGSFVSSLVKSIGNSYSYVWIGLHDPTQGTEPNGEGWEWSSSDVMNYFAWERNPSTISSPGHCASLSRSTAFLRWKDYNCNVRLPYVCKFTD
+>DECOY_sp|Q06141|REG3A_HUMAN Regenerating islet-derived protein 3-alpha OS=Homo sapiens OX=9606 GN=REG3A PE=1 SV=1
+DTFKCVYPLRVNCNYDKWRLFATSRSLSACHGPSSITSPNREWAFYNMVDSSSWEWGEGNPETGQTPDHLGIWVYSYSNGISKVLSSVFSGEAGSLVSVLNGSPRKQCALDADTWSKPSLFLAYCHSGYAKSGKPCRIRASPLERQPEEGQVQSLLMLCSLLMWSVSPLAMPPLM
+>sp|Q8NFH8|REPS2_HUMAN RalBP1-associated Eps domain-containing protein 2 OS=Homo sapiens OX=9606 GN=REPS2 PE=1 SV=2
+MEAAAAAAAAAAAAAAAGGGCGSGPPPLLLSEGEQQCYSELFARCAGAAGGGPGSGPPEAARVAPGTATAAAGPVADLFRASQLPAETLHQITELCGAKRVGYFGPTQFYIALKLIAAAQSGLPVRIESIKCELPLPRFMMSKNDGEIRFGNPAELHGTKVQIPYLTTEKNSFKRMDDEDKQQETQSPTMSPLASPPSSPPHYQRVPLSHGYSKLRSSAEQMHPAPYEARQPLVQPEGSSSGGPGTKPLRHQASLIRSFSVERELQDNSSYPDEPWRITEEQREYYVNQFRSLQPDPSSFISGSVAKNFFTKSKLSIPELSYIWELSDADCDGALTLPEFCAAFHLIVARKNGYPLPEGLPPTLQPEYLQAAFPKPKWDCQLFDSYSESLPANQQPRDLNRMEKTSVKDMADLPVPNQDVTSDDKQALKSTINEALPKDVSEDPATPKDSNSLKARPRSRSYSSTSIEEAMKRGEDPPTPPPRPQKTHSRASSLDLNKVFQPSVPATKSGLLPPPPALPPRPCPSQSEQVSEAELLPQLSRAPSQAAESSPAKKDVLYSQPPSKPIRRKFRPENQATENQEPSTAASGPASAATMKPHPTVQKQSSKQKKAIQTAIRKNKEANAVLARLNSELQQQLKEVHQERIALENQLEQLRPVTVL
+>DECOY_sp|Q8NFH8|REPS2_HUMAN RalBP1-associated Eps domain-containing protein 2 OS=Homo sapiens OX=9606 GN=REPS2 PE=1 SV=2
+LVTVPRLQELQNELAIREQHVEKLQQQLESNLRALVANAEKNKRIATQIAKKQKSSQKQVTPHPKMTAASAPGSAATSPEQNETAQNEPRFKRRIPKSPPQSYLVDKKAPSSEAAQSPARSLQPLLEAESVQESQSPCPRPPLAPPPPLLGSKTAPVSPQFVKNLDLSSARSHTKQPRPPPTPPDEGRKMAEEISTSSYSRSRPRAKLSNSDKPTAPDESVDKPLAENITSKLAQKDDSTVDQNPVPLDAMDKVSTKEMRNLDRPQQNAPLSESYSDFLQCDWKPKPFAAQLYEPQLTPPLGEPLPYGNKRAVILHFAACFEPLTLAGDCDADSLEWIYSLEPISLKSKTFFNKAVSGSIFSSPDPQLSRFQNVYYERQEETIRWPEDPYSSNDQLEREVSFSRILSAQHRLPKTGPGGSSSGEPQVLPQRAEYPAPHMQEASSRLKSYGHSLPVRQYHPPSSPPSALPSMTPSQTEQQKDEDDMRKFSNKETTLYPIQVKTGHLEAPNGFRIEGDNKSMMFRPLPLECKISEIRVPLGSQAAAILKLAIYFQTPGFYGVRKAGCLETIQHLTEAPLQSARFLDAVPGAAATATGPAVRAAEPPGSGPGGGAAGACRAFLESYCQQEGESLLLPPPGSGCGGGAAAAAAAAAAAAAAAEM
+>sp|Q9H628|RERGL_HUMAN Ras-related and estrogen-regulated growth inhibitor-like protein OS=Homo sapiens OX=9606 GN=RERGL PE=2 SV=1
+MSNFLHLKYNEKSVSVTKALTVRFLTKRFIGEYASNFESIYKKHLCLERKQLNLEIYDPCSQTQKAKFSLTSELHWADGFVIVYDISDRSSFAFAKALIYRIREPQTSHCKRAVESAVFLVGNKRDLCHVREVGWEEGQKLALENRCQFCELSAAEQSLEVEMMFIRIIKDILINFKLKEKRRPSGSKSMAKLINNVFGKRRKSV
+>DECOY_sp|Q9H628|RERGL_HUMAN Ras-related and estrogen-regulated growth inhibitor-like protein OS=Homo sapiens OX=9606 GN=RERGL PE=2 SV=1
+VSKRRKGFVNNILKAMSKSGSPRRKEKLKFNILIDKIIRIFMMEVELSQEAASLECFQCRNELALKQGEEWGVERVHCLDRKNGVLFVASEVARKCHSTQPERIRYILAKAFAFSSRDSIDYVIVFGDAWHLESTLSFKAKQTQSCPDYIELNLQKRELCLHKKYISEFNSAYEGIFRKTLFRVTLAKTVSVSKENYKLHLFNSM
+>sp|Q5W5W9|RES18_HUMAN Regulated endocrine-specific protein 18 OS=Homo sapiens OX=9606 GN=RESP18 PE=2 SV=2
+MAVDVEFGVAGWWEAAAPLSPSAVAATFTETWPGSERAEPGRIQHPLWPGSSEGLQLLVCFLLLNSCPGGCSDTSAHDGQDQVGVGQLWPLQGFATPVFQHLQVVLQQIIPQGLFWKDDITQDAMIQKMEHASRLHPQEPCLKDGKALFPTKTTESPLAKVNRDQCFTSEVVSKALKQEVANPVKITYRCSYGGLDMMQAPGPSKEEIIYKIMRLLWATSYCGPQPCG
+>DECOY_sp|Q5W5W9|RES18_HUMAN Regulated endocrine-specific protein 18 OS=Homo sapiens OX=9606 GN=RESP18 PE=2 SV=2
+GCPQPGCYSTAWLLRMIKYIIEEKSPGPAQMMDLGGYSCRYTIKVPNAVEQKLAKSVVESTFCQDRNVKALPSETTKTPFLAKGDKLCPEQPHLRSAHEMKQIMADQTIDDKWFLGQPIIQQLVVQLHQFVPTAFGQLPWLQGVGVQDQGDHASTDSCGGPCSNLLLFCVLLQLGESSGPWLPHQIRGPEARESGPWTETFTAAVASPSLPAAAEWWGAVGFEVDVAM
+>sp|P02753|RET4_HUMAN Retinol-binding protein 4 OS=Homo sapiens OX=9606 GN=RBP4 PE=1 SV=3
+MKWVWALLLLAALGSGRAERDCRVSSFRVKENFDKARFSGTWYAMAKKDPEGLFLQDNIVAEFSVDETGQMSATAKGRVRLLNNWDVCADMVGTFTDTEDPAKFKMKYWGVASFLQKGNDDHWIVDTDYDTYAVQYSCRLLNLDGTCADSYSFVFSRDPNGLPPEAQKIVRQRQEELCLARQYRLIVHNGYCDGRSERNLL
+>DECOY_sp|P02753|RET4_HUMAN Retinol-binding protein 4 OS=Homo sapiens OX=9606 GN=RBP4 PE=1 SV=3
+LLNRESRGDCYGNHVILRYQRALCLEEQRQRVIKQAEPPLGNPDRSFVFSYSDACTGDLNLLRCSYQVAYTDYDTDVIWHDDNGKQLFSAVGWYKMKFKAPDETDTFTGVMDACVDWNNLLRVRGKATASMQGTEDVSFEAVINDQLFLGEPDKKAMAYWTGSFRAKDFNEKVRFSSVRCDREARGSGLAALLLLAWVWKM
+>sp|P07949|RET_HUMAN Proto-oncogene tyrosine-protein kinase receptor Ret OS=Homo sapiens OX=9606 GN=RET PE=1 SV=3
+MAKATSGAAGLRLLLLLLLPLLGKVALGLYFSRDAYWEKLYVDQAAGTPLLYVHALRDAPEEVPSFRLGQHLYGTYRTRLHENNWICIQEDTGLLYLNRSLDHSSWEKLSVRNRGFPLLTVYLKVFLSPTSLREGECQWPGCARVYFSFFNTSFPACSSLKPRELCFPETRPSFRIRENRPPGTFHQFRLLPVQFLCPNISVAYRLLEGEGLPFRCAPDSLEVSTRWALDREQREKYELVAVCTVHAGAREEVVMVPFPVTVYDEDDSAPTFPAGVDTASAVVEFKRKEDTVVATLRVFDADVVPASGELVRRYTSTLLPGDTWAQQTFRVEHWPNETSVQANGSFVRATVHDYRLVLNRNLSISENRTMQLAVLVNDSDFQGPGAGVLLLHFNVSVLPVSLHLPSTYSLSVSRRARRFAQIGKVCVENCQAFSGINVQYKLHSSGANCSTLGVVTSAEDTSGILFVNDTKALRRPKCAELHYMVVATDQQTSRQAQAQLLVTVEGSYVAEEAGCPLSCAVSKRRLECEECGGLGSPTGRCEWRQGDGKGITRNFSTCSPSTKTCPDGHCDVVETQDINICPQDCLRGSIVGGHEPGEPRGIKAGYGTCNCFPEEEKCFCEPEDIQDPLCDELCRTVIAAAVLFSFIVSVLLSAFCIHCYHKFAHKPPISSAEMTFRRPAQAFPVSYSSSGARRPSLDSMENQVSVDAFKILEDPKWEFPRKNLVLGKTLGEGEFGKVVKATAFHLKGRAGYTTVAVKMLKENASPSELRDLLSEFNVLKQVNHPHVIKLYGACSQDGPLLLIVEYAKYGSLRGFLRESRKVGPGYLGSGGSRNSSSLDHPDERALTMGDLISFAWQISQGMQYLAEMKLVHRDLAARNILVAEGRKMKISDFGLSRDVYEEDSYVKRSQGRIPVKWMAIESLFDHIYTTQSDVWSFGVLLWEIVTLGGNPYPGIPPERLFNLLKTGHRMERPDNCSEEMYRLMLQCWKQEPDKRPVFADISKDLEKMMVKRRDYLDLAASTPSDSLIYDDGLSEEETPLVDCNNAPLPRALPSTWIENKLYGMSDPNWPGESPVPLTRADGTNTGFPRYPNDSVYANWMLSPSAAKLMDTFDS
+>DECOY_sp|P07949|RET_HUMAN Proto-oncogene tyrosine-protein kinase receptor Ret OS=Homo sapiens OX=9606 GN=RET PE=1 SV=3
+SDFTDMLKAASPSLMWNAYVSDNPYRPFGTNTGDARTLPVPSEGPWNPDSMGYLKNEIWTSPLARPLPANNCDVLPTEEESLGDDYILSDSPTSAALDLYDRRKVMMKELDKSIDAFVPRKDPEQKWCQLMLRYMEESCNDPREMRHGTKLLNFLREPPIGPYPNGGLTVIEWLLVGFSWVDSQTTYIHDFLSEIAMWKVPIRGQSRKVYSDEEYVDRSLGFDSIKMKRGEAVLINRAALDRHVLKMEALYQMGQSIQWAFSILDGMTLAREDPHDLSSSNRSGGSGLYGPGVKRSERLFGRLSGYKAYEVILLLPGDQSCAGYLKIVHPHNVQKLVNFESLLDRLESPSANEKLMKVAVTTYGARGKLHFATAKVVKGFEGEGLTKGLVLNKRPFEWKPDELIKFADVSVQNEMSDLSPRRAGSSSYSVPFAQAPRRFTMEASSIPPKHAFKHYCHICFASLLVSVIFSFLVAAAIVTRCLEDCLPDQIDEPECFCKEEEPFCNCTGYGAKIGRPEGPEHGGVISGRLCDQPCINIDQTEVVDCHGDPCTKTSPSCTSFNRTIGKGDGQRWECRGTPSGLGGCEECELRRKSVACSLPCGAEEAVYSGEVTVLLQAQAQRSTQQDTAVVMYHLEACKPRRLAKTDNVFLIGSTDEASTVVGLTSCNAGSSHLKYQVNIGSFAQCNEVCVKGIQAFRRARRSVSLSYTSPLHLSVPLVSVNFHLLLVGAGPGQFDSDNVLVALQMTRNESISLNRNLVLRYDHVTARVFSGNAQVSTENPWHEVRFTQQAWTDGPLLTSTYRRVLEGSAPVVDADFVRLTAVVTDEKRKFEVVASATDVGAPFTPASDDEDYVTVPFPVMVVEERAGAHVTCVAVLEYKERQERDLAWRTSVELSDPACRFPLGEGELLRYAVSINPCLFQVPLLRFQHFTGPPRNERIRFSPRTEPFCLERPKLSSCAPFSTNFFSFYVRACGPWQCEGERLSTPSLFVKLYVTLLPFGRNRVSLKEWSSHDLSRNLYLLGTDEQICIWNNEHLRTRYTGYLHQGLRFSPVEEPADRLAHVYLLPTGAAQDVYLKEWYADRSFYLGLAVKGLLPLLLLLLLRLGAAGSTAKAM
+>sp|Q8N1G1|REXO1_HUMAN RNA exonuclease 1 homolog OS=Homo sapiens OX=9606 GN=REXO1 PE=1 SV=3
+MLRSTGFFRAIDCPYWSGAPGGPCRRPYCHFRHRGARGSGAPGDGGEAPPAAGLGYDPYNPELPKPPAQRENGTLGLGEEPRPDVLELELVNQAIEAVRSEVELEQRRYRELLETTREHRSAEAPALAPRGPNASPTVGPDEDAFPLAFDYSPGSHGLLSPDAGYQPTPLAAPAEPGSKYSLASLDRGQGRGGGGGGALEYVPKAVSQPRRHSRPVPSGKYVVDNSRPPTDLEYDPLSNYSARHLSRASSRDERAAKRPRGSRGSEPYTPAPKKLCDPFGSCDARFSDSEDEAATVPGNEPTTASTPKARADPEIKATGQPPSKEGLEAEGGGLRETKETAVQCDVGDLQPPPAKPASPAQVQSSQDGGCPKEGKPKKKKTGAPPAPSCKDGAQGKDKTKDKGRGRPVEKPRADKKGPQASSPRRKAERPEGTKKKPSSATPVATSGKGRPDRPARRPSPTSGDSRPAAGRGPPRPLQLPDRKSTKAPSGKLVERKARSLDEGASQDAPKLKKRALSHADLFGDESEDEAAGPGVPSVWPSALPSLSSDSDSDSDSSLGFPEAQGPPKRLKASPPPSPAPSSSSSSSSSTSSAGADVDYSALEKEVDFDSDPMEECLRIFNESTSVKTEDRGRLARQPPKEEKSEEKGLSGLTTLFPGQKRRISHLSKQGQEVEPPRRGPAVPPARPPTAQEVCYLRAQQAQRASASLLQAPARLAEKSPSVHISAPGEKRRIAHIPNPRLAAAPTGAKRTLAASGSQSSNGPEPGGQQLKTRTLSGMASKTTTTIIPKRIAHSPSLQSLKKPIIPKEFGGKVPTVIRQRYLNLFIEECLKFCTSNQEAIEKALNEEKVAYDRSPSKNIYLNVAVNTLKKLRGLAPSAVPGLSKTSGRRVVSHEVVLGGRLAAKTSFSLSRPSSPRVEDLKGAALYSRLREYLLTQDQLKENGYPFPHPERPGGAIIFTAEEKRPKDSSCRTCCRCGTEYLVSSSGRCIRDEECYYHWGRLRRNRVAGGWETQYMCCSAAAGSVGCQVAKQHVQDGRKERLEGFVKTFEKELSGDTHPGIYALDCEMSYTTYGLELTRVTVVDTDVHVVYDTFVKPDNEIVDYNTRFSGVTEADLADTSVTLRDVQAVLLSMFSADTILIGHSLESDLLALKVIHSTVVDTSVLFPHRLGLPYKRSLRNLMADYLRQIIQDNVDGHSSSEDAGACMHLVIWKVREDAKTKR
+>DECOY_sp|Q8N1G1|REXO1_HUMAN RNA exonuclease 1 homolog OS=Homo sapiens OX=9606 GN=REXO1 PE=1 SV=3
+RKTKADERVKWIVLHMCAGADESSSHGDVNDQIIQRLYDAMLNRLSRKYPLGLRHPFLVSTDVVTSHIVKLALLDSELSHGILITDASFMSLLVAQVDRLTVSTDALDAETVGSFRTNYDVIENDPKVFTDYVVHVDTDVVTVRTLELGYTTYSMECDLAYIGPHTDGSLEKEFTKVFGELREKRGDQVHQKAVQCGVSGAAASCCMYQTEWGGAVRNRRLRGWHYYCEEDRICRGSSSVLYETGCRCCTRCSSDKPRKEEATFIIAGGPREPHPFPYGNEKLQDQTLLYERLRSYLAAGKLDEVRPSSPRSLSFSTKAALRGGLVVEHSVVRRGSTKSLGPVASPALGRLKKLTNVAVNLYINKSPSRDYAVKEENLAKEIAEQNSTCFKLCEEIFLNLYRQRIVTPVKGGFEKPIIPKKLSQLSPSHAIRKPIITTTTKSAMGSLTRTKLQQGGPEPGNSSQSGSAALTRKAGTPAAALRPNPIHAIRRKEGPASIHVSPSKEALRAPAQLLSASARQAQQARLYCVEQATPPRAPPVAPGRRPPEVEQGQKSLHSIRRKQGPFLTTLGSLGKEESKEEKPPQRALRGRDETKVSTSENFIRLCEEMPDSDFDVEKELASYDVDAGASSTSSSSSSSSSPAPSPPPSAKLRKPPGQAEPFGLSSDSDSDSDSSLSPLASPWVSPVGPGAAEDESEDGFLDAHSLARKKLKPADQSAGEDLSRAKREVLKGSPAKTSKRDPLQLPRPPGRGAAPRSDGSTPSPRRAPRDPRGKGSTAVPTASSPKKKTGEPREAKRRPSSAQPGKKDARPKEVPRGRGKDKTKDKGQAGDKCSPAPPAGTKKKKPKGEKPCGGDQSSQVQAPSAPKAPPPQLDGVDCQVATEKTERLGGGEAELGEKSPPQGTAKIEPDARAKPTSATTPENGPVTAAEDESDSFRADCSGFPDCLKKPAPTYPESGRSGRPRKAAREDRSSARSLHRASYNSLPDYELDTPPRSNDVVYKGSPVPRSHRRPQSVAKPVYELAGGGGGGRGQGRDLSALSYKSGPEAPAALPTPQYGADPSLLGHSGPSYDFALPFADEDPGVTPSANPGRPALAPAEASRHERTTELLERYRRQELEVESRVAEIAQNVLELELVDPRPEEGLGLTGNERQAPPKPLEPNYPDYGLGAAPPAEGGDGPAGSGRAGRHRFHCYPRRCPGGPAGSWYPCDIARFFGTSRLM
+>sp|P15927|RFA2_HUMAN Replication protein A 32 kDa subunit OS=Homo sapiens OX=9606 GN=RPA2 PE=1 SV=1
+MWNSGFESYGSSSYGGAGGYTQSPGGFGSPAPSQAEKKSRARAQHIVPCTISQLLSATLVDEVFRIGNVEISQVTIVGIIRHAEKAPTNIVYKIDDMTAAPMDVRQWVDTDDTSSENTVVPPETYVKVAGHLRSFQNKKSLVAFKIMPLEDMNEFTTHILEVINAHMVLSKANSQPSAGRAPISNPGMSEAGNFGGNSFMPANGLTVAQNQVLNLIKACPRPEGLNFQDLKNQLKHMSVSSIKQAVDFLSNEGHIYSTVDDDHFKSTDAE
+>DECOY_sp|P15927|RFA2_HUMAN Replication protein A 32 kDa subunit OS=Homo sapiens OX=9606 GN=RPA2 PE=1 SV=1
+EADTSKFHDDDVTSYIHGENSLFDVAQKISSVSMHKLQNKLDQFNLGEPRPCAKILNLVQNQAVTLGNAPMFSNGGFNGAESMGPNSIPARGASPQSNAKSLVMHANIVELIHTTFENMDELPMIKFAVLSKKNQFSRLHGAVKVYTEPPVVTNESSTDDTDVWQRVDMPAATMDDIKYVINTPAKEAHRIIGVITVQSIEVNGIRFVEDVLTASLLQSITCPVIHQARARSKKEAQSPAPSGFGGPSQTYGGAGGYSSSGYSEFGSNWM
+>sp|P35244|RFA3_HUMAN Replication protein A 14 kDa subunit OS=Homo sapiens OX=9606 GN=RPA3 PE=1 SV=1
+MVDMMDLPRSRINAGMLAQFIDKPVCFVGRLEKIHPTGKMFILSDGEGKNGTIELMEPLDEEISGIVEVVGRVTAKATILCTSYVQFKEDSHPFDLGLYNEAVKIIHDFPQFYPLGIVQHD
+>DECOY_sp|P35244|RFA3_HUMAN Replication protein A 14 kDa subunit OS=Homo sapiens OX=9606 GN=RPA3 PE=1 SV=1
+DHQVIGLPYFQPFDHIIKVAENYLGLDFPHSDEKFQVYSTCLITAKATVRGVVEVIGSIEEDLPEMLEITGNKGEGDSLIFMKGTPHIKELRGVFCVPKDIFQALMGANIRSRPLDMMDVM
+>sp|F8VTS6|RFAL1_HUMAN Ret finger protein-like 4A-like protein 1 OS=Homo sapiens OX=9606 GN=RFPL4AL1 PE=2 SV=1
+MAEHFKQIIRCPVCLKDLEEAVQLKCGYACCLQCLNSLQKEPDGEGLLCRFCSVVSQKDDIKPKYKLRALVSIIKELEPKLKSVLTMNPRMRKFQVDMTFDVDTANNYLIISEDLRSFRSGDLSQNRKEQAERFDTALCVLGTPRFTSGRHYWEVDVGTSQVWDVGVCKESVNRQGKIELSSEHGFLTVGCREGKVFAASTVPMTPLWVSPQLHRVGIFLDVGMRSIAFYNVSDGCHINTFIEIPVCEPWRPFFAHKRGSQDDQSILSICSVINPSTASAPVSSEGK
+>DECOY_sp|F8VTS6|RFAL1_HUMAN Ret finger protein-like 4A-like protein 1 OS=Homo sapiens OX=9606 GN=RFPL4AL1 PE=2 SV=1
+KGESSVPASATSPNIVSCISLISQDDQSGRKHAFFPRWPECVPIEIFTNIHCGDSVNYFAISRMGVDLFIGVRHLQPSVWLPTMPVTSAAFVKGERCGVTLFGHESSLEIKGQRNVSEKCVGVDWVQSTGVDVEWYHRGSTFRPTGLVCLATDFREAQEKRNQSLDGSRFSRLDESIILYNNATDVDFTMDVQFKRMRPNMTLVSKLKPELEKIISVLARLKYKPKIDDKQSVVSCFRCLLGEGDPEKQLSNLCQLCCAYGCKLQVAEELDKLCVPCRIIQKFHEAM
+>sp|P35249|RFC4_HUMAN Replication factor C subunit 4 OS=Homo sapiens OX=9606 GN=RFC4 PE=1 SV=2
+MQAFLKGTSISTKPPLTKDRGVAASAGSSGENKKAKPVPWVEKYRPKCVDEVAFQEEVVAVLKKSLEGADLPNLLFYGPPGTGKTSTILAAARELFGPELFRLRVLELNASDERGIQVVREKVKNFAQLTVSGSRSDGKPCPPFKIVILDEADSMTSAAQAALRRTMEKESKTTRFCLICNYVSRIIEPLTSRCSKFRFKPLSDKIQQQRLLDIAKKENVKISDEGIAYLVKVSEGDLRKAITFLQSATRLTGGKEITEKVITDIAGVIPAEKIDGVFAACQSGSFDKLEAVVKDLIDEGHAATQLVNQLHDVVVENNLSDKQKSIITEKLAEVDKCLADGADEHLQLISLCATVMQQLSQNC
+>DECOY_sp|P35249|RFC4_HUMAN Replication factor C subunit 4 OS=Homo sapiens OX=9606 GN=RFC4 PE=1 SV=2
+CNQSLQQMVTACLSILQLHEDAGDALCKDVEALKETIISKQKDSLNNEVVVDHLQNVLQTAAHGEDILDKVVAELKDFSGSQCAAFVGDIKEAPIVGAIDTIVKETIEKGGTLRTASQLFTIAKRLDGESVKVLYAIGEDSIKVNEKKAIDLLRQQQIKDSLPKFRFKSCRSTLPEIIRSVYNCILCFRTTKSEKEMTRRLAAQAASTMSDAEDLIVIKFPPCPKGDSRSGSVTLQAFNKVKERVVQIGREDSANLELVRLRFLEPGFLERAAALITSTKGTGPPGYFLLNPLDAGELSKKLVAVVEEQFAVEDVCKPRYKEVWPVPKAKKNEGSSGASAAVGRDKTLPPKTSISTGKLFAQM
+>sp|O75677|RFPL1_HUMAN Ret finger protein-like 1 OS=Homo sapiens OX=9606 GN=RFPL1 PE=2 SV=2
+MKRLSLVTTNRLSPHGNFLPLCTFPLAVDMAALFQEASSCPVCSDYLEKPMSLECGCAVCFKCINSLQKEPHGEDLLCCCCSMVSQKNKIRPSWQLERLASHIKELEPKLKKILQMNPRMRKFQVDMTLDADTANNFLLISDDLRSVRSGCITQNRQDLAERFDVSICILGSPRFTCGRHYWEVDVGTSTEWDLGVCRESVHRKGRIHLTTERGFWTVSLRDGSRLSASTVPLTFLFVDRKLQRVGIFLDMGMQNVSFFDAEGGSHVYTFRSVSAEEPLHLFFAPPSPPNGDKSVLSICPVINPGTTDAPVHPGEAK
+>DECOY_sp|O75677|RFPL1_HUMAN Ret finger protein-like 1 OS=Homo sapiens OX=9606 GN=RFPL1 PE=2 SV=2
+KAEGPHVPADTTGPNIVPCISLVSKDGNPPSPPAFFLHLPEEASVSRFTYVHSGGEADFFSVNQMGMDLFIGVRQLKRDVFLFTLPVTSASLRSGDRLSVTWFGRETTLHIRGKRHVSERCVGLDWETSTGVDVEWYHRGCTFRPSGLICISVDFREALDQRNQTICGSRVSRLDDSILLFNNATDADLTMDVQFKRMRPNMQLIKKLKPELEKIHSALRELQWSPRIKNKQSVMSCCCCLLDEGHPEKQLSNICKFCVACGCELSMPKELYDSCVPCSSAEQFLAAMDVALPFTCLPLFNGHPSLRNTTVLSLRKM
+>sp|O75678|RFPL2_HUMAN Ret finger protein-like 2 OS=Homo sapiens OX=9606 GN=RFPL2 PE=2 SV=3
+MEVAELGFPETAVSQSRICLCAVLCGHWDFADMMVIRSLSLIRLEGVEGRDPVGGGNLTNKRPSCAPSPQDLSAQWKQLEDRGASSRRVDMAALFQEASSCPVCSDYLEKPMSLECGCAVCLKCINSLQKEPHGEDLLCCCSSMVSRKNKIRRNRQLERLASHIKELEPKLKKILQMNPRMRKFQVDMTLDANTANNFLLISDDLRSVRSGRIRQNRQDLAERFDVSVCILGSPRFTCGRHCWEVDVGTSTEWDLGVCRESVHRKGRIQLTTELGFWTVSLRDGGRLSATTVPLTFLFVDRKLQRVGIFLDMGMQNVSFFDAESGSHVYTFRSVSAEEPLRPFLAPSVPPNGDQGVLSICPLMNSGTTDAPVRPGEAK
+>DECOY_sp|O75678|RFPL2_HUMAN Ret finger protein-like 2 OS=Homo sapiens OX=9606 GN=RFPL2 PE=2 SV=3
+KAEGPRVPADTTGSNMLPCISLVGQDGNPPVSPALFPRLPEEASVSRFTYVHSGSEADFFSVNQMGMDLFIGVRQLKRDVFLFTLPVTTASLRGGDRLSVTWFGLETTLQIRGKRHVSERCVGLDWETSTGVDVEWCHRGCTFRPSGLICVSVDFREALDQRNQRIRGSRVSRLDDSILLFNNATNADLTMDVQFKRMRPNMQLIKKLKPELEKIHSALRELQRNRRIKNKRSVMSSCCCLLDEGHPEKQLSNICKLCVACGCELSMPKELYDSCVPCSSAEQFLAAMDVRRSSAGRDELQKWQASLDQPSPACSPRKNTLNGGGVPDRGEVGELRILSLSRIVMMDAFDWHGCLVACLCIRSQSVATEPFGLEAVEM
+>sp|Q14699|RFTN1_HUMAN Raftlin OS=Homo sapiens OX=9606 GN=RFTN1 PE=1 SV=4
+MGCGLNKLEKRDEKRPGNIYSTLKRPQVETKIDVSYEYRFLEFTTLSAAELPGSSAVRLASLRDLPAQLLELYQQGFSLAALHPFVQPTHEREKTPLEHIFRAILIKKTDRSQKTDLHNEGYILELDCCSSLDHPTDQKLIPEFIKKIQEAASQGLKFVGVIPQYHSSVNSAGSSAPVSTANSTEDARDAKNARGDHASLENEKPGTGDVCSAPAGRNQSPEPSSGPRGEVPLAKQPSSPSGEGDGGELSPQGVSKTLDGPESNPLEVHEEPLSGKMEIFTLFNKPKSHQKCRQYYPVTIPLHVSKNGQTVSGLDANWLEHMSDHFRKGGMLVNAVFYLGIVNDSLHGLTDGVFIFEAVSTEDSKTIQGYDAIVVEQWTVLEGVEVQTDYVPLLNSLAAYGWQLTCVLPTPVVKTTSEGSVSTKQIVFLQRPCLPQKIKKKESKFQWRFSREEMHNRQMRKSKGKLSARDKQQAEENEKNLEDQSSKAGDMGNCVSGQQQEGGVSEEMKGPVQEDKGEQLSPGGLLCGVGVEGEAVQNGPASHSRALVGICTGHSNPGEDARDGDAEEVRELGTVEEN
+>DECOY_sp|Q14699|RFTN1_HUMAN Raftlin OS=Homo sapiens OX=9606 GN=RFTN1 PE=1 SV=4
+NEEVTGLERVEEADGDRADEGPNSHGTCIGVLARSHSAPGNQVAEGEVGVGCLLGGPSLQEGKDEQVPGKMEESVGGEQQQGSVCNGMDGAKSSQDELNKENEEAQQKDRASLKGKSKRMQRNHMEERSFRWQFKSEKKKIKQPLCPRQLFVIQKTSVSGESTTKVVPTPLVCTLQWGYAALSNLLPVYDTQVEVGELVTWQEVVIADYGQITKSDETSVAEFIFVGDTLGHLSDNVIGLYFVANVLMGGKRFHDSMHELWNADLGSVTQGNKSVHLPITVPYYQRCKQHSKPKNFLTFIEMKGSLPEEHVELPNSEPGDLTKSVGQPSLEGGDGEGSPSSPQKALPVEGRPGSSPEPSQNRGAPASCVDGTGPKENELSAHDGRANKADRADETSNATSVPASSGASNVSSHYQPIVGVFKLGQSAAEQIKKIFEPILKQDTPHDLSSCCDLELIYGENHLDTKQSRDTKKILIARFIHELPTKEREHTPQVFPHLAALSFGQQYLELLQAPLDRLSALRVASSGPLEAASLTTFELFRYEYSVDIKTEVQPRKLTSYINGPRKEDRKELKNLGCGM
+>sp|P22670|RFX1_HUMAN MHC class II regulatory factor RFX1 OS=Homo sapiens OX=9606 GN=RFX1 PE=1 SV=2
+MATQAYTELQAAPPPSQPPQAPPQAQPQPPPPPPPAAPQPPQPPTAAATPQPQYVTELQSPQPQAQPPGGQKQYVTELPAVPAPSQPTGAPTPSPAPQQYIVVTVSEGAMRASETVSEASPGSTASQTGVPTQVVQQVQGTQQRLLVQTSVQAKPGHVSPLQLTNIQVPQQALPTQRLVVQSAAPGSKGGQVSLTVHGTQQVHSPPEQSPVQANSSSSKTAGAPTGTVPQQLQVHGVQQSVPVTQERSVVQATPQAPKPGPVQPLTVQGLQPVHVAQEVQQLQQVPVPHVYSSQVQYVEGGDASYTASAIRSSTYSYPETPLYTQTASTSYYEAAGTATQVSTPATSQAVASSGSMPMYVSGSQVVASSTSTGAGASNSSGGGGSGGGGGGGGGGGGGGSGSTGGGGSGAGTYVIQGGYMLGSASQSYSHTTRASPATVQWLLDNYETAEGVSLPRSTLYCHYLLHCQEQKLEPVNAASFGKLIRSVFMGLRTRRLGTRGNSKYHYYGLRIKASSPLLRLMEDQQHMAMRGQPFSQKQRLKPIQKMEGMTNGVAVGQQPSTGLSDISAQVQQYQQFLDASRSLPDFTELDLQGKVLPEGVGPGDIKAFQVLYREHCEAIVDVMVNLQFTLVETLWKTFWRYNLSQPSEAPPLAVHDEAEKRLPKAILVLLSKFEPVLQWTKHCDNVLYQGLVEILIPDVLRPIPSALTQAIRNFAKSLESWLTHAMVNIPEEMLRVKVAAAGAFAQTLRRYTSLNHLAQAARAVLQNTAQINQMLSDLNRVDFANVQEQASWVCRCEDRVVQRLEQDFKVTLQQQNSLEQWAAWLDGVVSQVLKPYQGSAGFPKAAKLFLLKWSFYSSMVIRDLTLRSAASFGSFHLIRLLYDEYMYYLIEHRVAQAKGETPIAVMGEFANLATSLNPLDPDKDEEEEEEEESEDELPQDISLAAGGESPALGPETLEPPAKLARTDARGLFVQALPSS
+>DECOY_sp|P22670|RFX1_HUMAN MHC class II regulatory factor RFX1 OS=Homo sapiens OX=9606 GN=RFX1 PE=1 SV=2
+SSPLAQVFLGRADTRALKAPPELTEPGLAPSEGGAALSIDQPLEDESEEEEEEEEDKDPDLPNLSTALNAFEGMVAIPTEGKAQAVRHEILYYMYEDYLLRILHFSGFSAASRLTLDRIVMSSYFSWKLLFLKAAKPFGASGQYPKLVQSVVGDLWAAWQELSNQQQLTVKFDQELRQVVRDECRCVWSAQEQVNAFDVRNLDSLMQNIQATNQLVARAAQALHNLSTYRRLTQAFAGAAAVKVRLMEEPINVMAHTLWSELSKAFNRIAQTLASPIPRLVDPILIEVLGQYLVNDCHKTWQLVPEFKSLLVLIAKPLRKEAEDHVALPPAESPQSLNYRWFTKWLTEVLTFQLNVMVDVIAECHERYLVQFAKIDGPGVGEPLVKGQLDLETFDPLSRSADLFQQYQQVQASIDSLGTSPQQGVAVGNTMGEMKQIPKLRQKQSFPQGRMAMHQQDEMLRLLPSSAKIRLGYYHYKSNGRTGLRRTRLGMFVSRILKGFSAANVPELKQEQCHLLYHCYLTSRPLSVGEATEYNDLLWQVTAPSARTTHSYSQSASGLMYGGQIVYTGAGSGGGGTSGSGGGGGGGGGGGGGGSGGGGSSNSAGAGTSTSSAVVQSGSVYMPMSGSSAVAQSTAPTSVQTATGAAEYYSTSATQTYLPTEPYSYTSSRIASATYSADGGEVYQVQSSYVHPVPVQQLQQVEQAVHVPQLGQVTLPQVPGPKPAQPTAQVVSREQTVPVSQQVGHVQLQQPVTGTPAGATKSSSSNAQVPSQEPPSHVQQTGHVTLSVQGGKSGPAASQVVLRQTPLAQQPVQINTLQLPSVHGPKAQVSTQVLLRQQTGQVQQVVQTPVGTQSATSGPSAESVTESARMAGESVTVVIYQQPAPSPTPAGTPQSPAPVAPLETVYQKQGGPPQAQPQPSQLETVYQPQPTAAATPPQPPQPAAPPPPPPPQPQAQPPAQPPQSPPPAAQLETYAQTAM
+>sp|Q8HWS3|RFX6_HUMAN DNA-binding protein RFX6 OS=Homo sapiens OX=9606 GN=RFX6 PE=1 SV=2
+MAKVPELEDTFLQAQPAPQLSPGIQEDCCVQLLGKGLLVYPEETVYLAAEGQPGGEQGGGEKGEDPELPGAVKSEMHLNNGNFSSEEEDADNHDSKTKAADQYLSQKKTITQIVKDKKKQTQLTLQWLEENYIVCEGVCLPRCILYAHYLDFCRKEKLEPACAATFGKTIRQKFPLLTTRRLGTRGHSKYHYYGIGIKESSAYYHSVYSGKGLTRFSGSKLKNEGGFTRKYSLSSKTGTLLPEFPSAQHLVYQGCISKDKVDTLIMMYKTHCQCILDNAINGNFEEIQHFLLHFWQGMPDHLLPLLENPVIIDIFCVCDSILYKVLTDVLIPATMQEMPESLLADIRNFAKNWEQWVVSSLENLPEALTDKKIPIVRRFVSSLKRQTSFLHLAQIARPALFDQHVVNSMVSDIERVDLNSIGSQALLTISGSTDTESGIYTEHDSITVFQELKDLLKKNATVEAFIEWLDTVVEQRVIKTSKQNGRSLKKRAQDFLLKWSFFGARVMHNLTLNNASSFGSFHLIRMLLDEYILLAMETQFNNDKEQELQNLLDKYMKNSDASKAAFTASPSSCFLANRNKGSMVSSDAVKNESHVETTYLPLPSSQPGGLGPALHQFPAGNTDNMPLTGQMELSQIAGHLMTPPISPAMASRGSVINQGPMAGRPPSVGPVLSAPSHCSTYPEPIYPTLPQANHDFYSTSSNYQTVFRAQPHSTSGLYPHHTEHGRCMAWTEQQLSRDFFSGSCAGSPYNSRPPSSYGPSLQAQDSHNMQFLNTGSFNFLSNTGAASCQGATLPPNSPNGYYGSNINYPESHRLGSMVNQHVSVISSIRSLPPYSDIHDPLNILDDSGRKQTSSFYTDTSSPVACRTPVLASSLQTPIPSSSSQCMYGTSNQYPAQETLDSHGTSSREMVSSLPPINTVFMGTAAGGT
+>DECOY_sp|Q8HWS3|RFX6_HUMAN DNA-binding protein RFX6 OS=Homo sapiens OX=9606 GN=RFX6 PE=1 SV=2
+TGGAATGMFVTNIPPLSSVMERSSTGHSDLTEQAPYQNSTGYMCQSSSSPIPTQLSSALVPTRCAVPSSTDTYFSSTQKRGSDDLINLPDHIDSYPPLSRISSIVSVHQNVMSGLRHSEPYNINSGYYGNPSNPPLTAGQCSAAGTNSLFNFSGTNLFQMNHSDQAQLSPGYSSPPRSNYPSGACSGSFFDRSLQQETWAMCRGHETHHPYLGSTSHPQARFVTQYNSSTSYFDHNAQPLTPYIPEPYTSCHSPASLVPGVSPPRGAMPGQNIVSGRSAMAPSIPPTMLHGAIQSLEMQGTLPMNDTNGAPFQHLAPGLGGPQSSPLPLYTTEVHSENKVADSSVMSGKNRNALFCSSPSATFAAKSADSNKMYKDLLNQLEQEKDNNFQTEMALLIYEDLLMRILHFSGFSSANNLTLNHMVRAGFFSWKLLFDQARKKLSRGNQKSTKIVRQEVVTDLWEIFAEVTANKKLLDKLEQFVTISDHETYIGSETDTSGSITLLAQSGISNLDVREIDSVMSNVVHQDFLAPRAIQALHLFSTQRKLSSVFRRVIPIKKDTLAEPLNELSSVVWQEWNKAFNRIDALLSEPMEQMTAPILVDTLVKYLISDCVCFIDIIVPNELLPLLHDPMGQWFHLLFHQIEEFNGNIANDLICQCHTKYMMILTDVKDKSICGQYVLHQASPFEPLLTGTKSSLSYKRTFGGENKLKSGSFRTLGKGSYVSHYYASSEKIGIGYYHYKSHGRTGLRRTTLLPFKQRITKGFTAACAPELKEKRCFDLYHAYLICRPLCVGECVIYNEELWQLTLQTQKKKDKVIQTITKKQSLYQDAAKTKSDHNDADEEESSFNGNNLHMESKVAGPLEPDEGKEGGGQEGGPQGEAALYVTEEPYVLLGKGLLQVCCDEQIGPSLQPAPQAQLFTDELEPVKAM
+>sp|Q92546|RGP1_HUMAN RAB6A-GEF complex partner protein 2 OS=Homo sapiens OX=9606 GN=RGP1 PE=1 SV=1
+MIEVVAELSRGPVFLAGEALECVVTVTNPLPPTATSASSEALAWASAQIHCQFHASESRVALPPPDSSQPDVQPDSQTVFLPHRGERGQCILSTPPKILFCDLRLDPGESKSYSYSEVLPIEGPPSFRGQSVKYVYKLTIGCQRVNSPITLLRVPLRVLVLTGLQDVRFPQDEAVAPSSPFLEEDEGGKKDSWLAELAGERLMAATSCRSLHLYNISDGRGKVGTFGIFKSVYRLGEDVVGTLNLGEGTVACLQFSVSLQTEERVQPEYQRRRGAGGVPSVSHVTHARHQESCLHTTRTSFSLPIPLSSTPGFCTAIVSLKWRLHFEFVTSREPGLVLLPPVEQPEPTTWTGPEQVPVDTFSWDLPIKVLPTSPTLASYAAPGPSTSTITI
+>DECOY_sp|Q92546|RGP1_HUMAN RAB6A-GEF complex partner protein 2 OS=Homo sapiens OX=9606 GN=RGP1 PE=1 SV=1
+ITITSTSPGPAAYSALTPSTPLVKIPLDWSFTDVPVQEPGTWTTPEPQEVPPLLVLGPERSTVFEFHLRWKLSVIATCFGPTSSLPIPLSFSTRTTHLCSEQHRAHTVHSVSPVGGAGRRRQYEPQVREETQLSVSFQLCAVTGEGLNLTGVVDEGLRYVSKFIGFTGVKGRGDSINYLHLSRCSTAAMLREGALEALWSDKKGGEDEELFPSSPAVAEDQPFRVDQLGTLVLVRLPVRLLTIPSNVRQCGITLKYVYKVSQGRFSPPGEIPLVESYSYSKSEGPDLRLDCFLIKPPTSLICQGREGRHPLFVTQSDPQVDPQSSDPPPLAVRSESAHFQCHIQASAWALAESSASTATPPLPNTVTVVCELAEGALFVPGRSLEAVVEIM
+>sp|P0DJD1|RGPD2_HUMAN RANBP2-like and GRIP domain-containing protein 2 OS=Homo sapiens OX=9606 GN=RGPD2 PE=2 SV=1
+MRRSKAYGERYLASVQGSAPSPGKKLRGFYFAKLYYEAKEYDLAKKYVCTYLSVQERDPRAHRFLGLLYELEENTEKAVECYRRSLELNPPQKDLVLKIAELLCKNDVTDGRAKYWVERAAKLFPGSPAIYKLKEHLLDCEGEDGWNKLFDWIQSELYVRPDDVHMNIRLVELYRSNKRLKDAVARCHEAERNIALRSSLEWNSCVVQTLKEYLESLQCLESDKSDWRATNTDLLLAYANLMLLTLSTRDVQESRELLESFDSALQSAKSSLGGNDELSATFLEMKGHFYMHAGSLLLKMGQHGNNVQWQALSELAALCYVIAFQVPRPKIKLIKGEAGQNLLEMMACDRLSQSGHMLLNLSRGKQDFLKEVVETFANKSGQSVLYNALFSSQSSKDTSFLGSDDIGNIDVQEPELEDLARYDVGAIQAHNGSLQHLTWLGLQWNSLPALPGIRKWLKQLFHHLPQETSRLETNAPESICILDLEVFLLGVVYTSHLQLKEKCNSHHSSYQPLCLPLPVCKRLCTERQKSWWDAVCTLIHRKAVPGNSAELRLVVQHEINTLRAQEKHGLQPALLVHWAKCLQKMGRGLNSSYDQQEYIGRSVHYWKKVLPLLKIIKKNSIPEPIDPLFKHFHSVDIQASEIVEYEEDAHITFAILDAVHGNIEDAVTAFESIKSVVSYWNLALIFHRKAEDIENDAVFPEEQEECKNYLRKTRDYLIKIIDDSDSNLSVVKKLPVPLESVKEMLKSVMQELEDYSEGGPLYKNGSLRNADSEIKHSTPSPTKYSLSPSKSYKYSPKTPPRWAEDQNSLRKMICQEVKAITKLNSSKSASRHRWPTENYGPDSVPDGYQGSQTFHGAPLTVATTGPSVYYSQSPAYNSQYLLRPAANVTPTKGSSNTEFKSTKEGFSIAVSADGFKFGISEPGNQEKKSEKPLENDTGFQAQDISGQKNGRGVIFGQTSSTFTFADVAKSTSGEGFQFGKKDPNFKGFSGAGEKLFSSQCGKMANKANTSGDFEKDDDACKTEDSDDIHFEPVVQMPEKVELVTGEEGEKVLYSQGVKLFRFDAEISQWKERGLGNLKILKNEVNGKPRMLMRRDQVLKVCANHWITTTMNLKPLSGSDRAWMWLASDFSDGDAKLERLAAQFKTPELAEEFKQKFEECQRLLLDIPLQTPHKLVDTGRAAKLIQRAEEMKSGLKDFKTFLTNDQTKVTEEENKGSGTGAAGASDTTIKPNPENTGPTLEWDNYDLREDALDDNVSSSSVHDSPLASSPVRKNIFRFDESTTGFNFSFKSALSLSKSPAKLNQSGTSVGTDEESDVTQEEERDGQYFEPVVPLPDLVEVSSGEENEQVVFSHMAELYRYDKDVGQWKERGIGDIKILQNYDNKQVRIVMRRDQVLKLCANHRITPDMSLQNMKGTERVWVWTACDFADGERKVEHLAVRFKLQDVADSFKKIFDEAKTAQEKDSLITPHVSRSSTPRESPCGKIAVAVLEETTRERTDVIQGDDVADAASEVEVSSTSETTTKAVVSPPKFVFGSESVKRIFSSEKSNPFAFGNSSATGSLFGFSFNAPLKSNDSETSSVAQSGSESKVEPKKCELSKNSDIEQSSDSKVKNLSASFPMEESSINYTFKTPEKEPPLWHAEFTKEELVQKLSSTTKSADQLNGLLRETEATSAVLMEQIKLLKSEIRRLERNQEESAANVEHLKNVLLQFIFLKPGSERESLLPVINTMLQLSPEEKGKLAAVAQGLQETSIPKKK
+>DECOY_sp|P0DJD1|RGPD2_HUMAN RANBP2-like and GRIP domain-containing protein 2 OS=Homo sapiens OX=9606 GN=RGPD2 PE=2 SV=1
+KKKPISTEQLGQAVAALKGKEEPSLQLMTNIVPLLSERESGPKLFIFQLLVNKLHEVNAASEEQNRELRRIESKLLKIQEMLVASTAETERLLGNLQDASKTTSSLKQVLEEKTFEAHWLPPEKEPTKFTYNISSEEMPFSASLNKVKSDSSQEIDSNKSLECKKPEVKSESGSQAVSSTESDNSKLPANFSFGFLSGTASSNGFAFPNSKESSFIRKVSESGFVFKPPSVVAKTTTESTSSVEVESAADAVDDGQIVDTRERTTEELVAVAIKGCPSERPTSSRSVHPTILSDKEQATKAEDFIKKFSDAVDQLKFRVALHEVKREGDAFDCATWVWVRETGKMNQLSMDPTIRHNACLKLVQDRRMVIRVQKNDYNQLIKIDGIGREKWQGVDKDYRYLEAMHSFVVQENEEGSSVEVLDPLPVVPEFYQGDREEEQTVDSEEDTGVSTGSQNLKAPSKSLSLASKFSFNFGTTSEDFRFINKRVPSSALPSDHVSSSSVNDDLADERLDYNDWELTPGTNEPNPKITTDSAGAAGTGSGKNEEETVKTQDNTLFTKFDKLGSKMEEARQILKAARGTDVLKHPTQLPIDLLLRQCEEFKQKFEEALEPTKFQAALRELKADGDSFDSALWMWARDSGSLPKLNMTTTIWHNACVKLVQDRRMLMRPKGNVENKLIKLNGLGREKWQSIEADFRFLKVGQSYLVKEGEEGTVLEVKEPMQVVPEFHIDDSDETKCADDDKEFDGSTNAKNAMKGCQSSFLKEGAGSFGKFNPDKKGFQFGEGSTSKAVDAFTFTSSTQGFIVGRGNKQGSIDQAQFGTDNELPKESKKEQNGPESIGFKFGDASVAISFGEKTSKFETNSSGKTPTVNAAPRLLYQSNYAPSQSYYVSPGTTAVTLPAGHFTQSGQYGDPVSDPGYNETPWRHRSASKSSNLKTIAKVEQCIMKRLSNQDEAWRPPTKPSYKYSKSPSLSYKTPSPTSHKIESDANRLSGNKYLPGGESYDELEQMVSKLMEKVSELPVPLKKVVSLNSDSDDIIKILYDRTKRLYNKCEEQEEPFVADNEIDEAKRHFILALNWYSVVSKISEFATVADEINGHVADLIAFTIHADEEYEVIESAQIDVSHFHKFLPDIPEPISNKKIIKLLPLVKKWYHVSRGIYEQQDYSSNLGRGMKQLCKAWHVLLAPQLGHKEQARLTNIEHQVVLRLEASNGPVAKRHILTCVADWWSKQRETCLRKCVPLPLCLPQYSSHHSNCKEKLQLHSTYVVGLLFVELDLICISEPANTELRSTEQPLHHFLQKLWKRIGPLAPLSNWQLGLWTLHQLSGNHAQIAGVDYRALDELEPEQVDINGIDDSGLFSTDKSSQSSFLANYLVSQGSKNAFTEVVEKLFDQKGRSLNLLMHGSQSLRDCAMMELLNQGAEGKILKIKPRPVQFAIVYCLAALESLAQWQVNNGHQGMKLLLSGAHMYFHGKMELFTASLEDNGGLSSKASQLASDFSELLERSEQVDRTSLTLLMLNAYALLLDTNTARWDSKDSELCQLSELYEKLTQVVCSNWELSSRLAINREAEHCRAVADKLRKNSRYLEVLRINMHVDDPRVYLESQIWDFLKNWGDEGECDLLHEKLKYIAPSGPFLKAAREVWYKARGDTVDNKCLLEAIKLVLDKQPPNLELSRRYCEVAKETNEELEYLLGLFRHARPDREQVSLYTCVYKKALDYEKAEYYLKAFYFGRLKKGPSPASGQVSALYREGYAKSRRM
+>sp|O14827|RGRF2_HUMAN Ras-specific guanine nucleotide-releasing factor 2 OS=Homo sapiens OX=9606 GN=RASGRF2 PE=1 SV=2
+MQKSVRYNEGHALYLAFLARKEGTKRGFLSKKTAEASRWHEKWFALYQNVLFYFEGEQSCRPAGMYLLEGCSCERTPAPPRAGAGQGGVRDALDKQYYFTVLFGHEGQKPLELRCEEEQDGKEWMEAIHQASYADILIEREVLMQKYIHLVQIVETEKIAANQLRHQLEDQDTEIERLKSEIIALNKTKERMRPYQSNQEDEDPDIKKIKKVQSFMRGWLCRRKWKTIVQDYICSPHAESMRKRNQIVFTMVEAESEYVHQLYILVNGFLRPLRMAASSKKPPISHDDVSSIFLNSETIMFLHEIFHQGLKARIANWPTLILADLFDILLPMLNIYQEFVRNHQYSLQVLANCKQNRDFDKLLKQYEANPACEGRMLETFLTYPMFQIPRYIITLHELLAHTPHEHVERKSLEFAKSKLEELSRVMHDEVSDTENIRKNLAIERMIVEGCDILLDTSQTFIRQGSLIQVPSVERGKLSKVRLGSLSLKKEGERQCFLFTKHFLICTRSSGGKLHLLKTGGVLSLIDCTLIEEPDASDDDSKGSGQVFGHLDFKIVVEPPDAAAFTVVLLAPSRQEKAAWMSDISQCVDNIRCNGLMTIVFEENSKVTVPHMIKSDARLHKDDTDICFSKTLNSCKVPQIRYASVERLLERLTDLRFLSIDFLNTFLHTYRIFTTAAVVLGKLSDIYKRPFTSIPVRSLELFFATSQNNRGEHLVDGKSPRLCRKFSSPPPLAVSRTSSPVRARKLSLTSPLNSKIGALDLTTSSSPTTTTQSPAASPPPHTGQIPLDLSRGLSSPEQSPGTVEENVDNPRVDLCNKLKRSIQKAVLESAPADRAGVESSPAADTTELSPCRSPSTPRHLRYRQPGGQTADNAHCSVSPASAFAIATAAAGHGSPPGFNNTERTCDKEFIIRRTATNRVLNVLRHWVSKHAQDFELNNELKMNVLNLLEEVLRDPDLLPQERKAAANILRALSQDDQDDIHLKLEDIIQMTDCMKAECFESLSAMELAEQITLLDHVIFRSIPYEEFLGQGWMKLDKNERTPYIMKTSQHFNDMSNLVASQIMNYADVSSRANAIEKWVAVADICRCLHNYNGVLEITSALNRSAIYRLKKTWAKVSKQTKALMDKLQKTVSSEGRFKNLRETLKNCNPPAVPYLGMYLTDLAFIEEGTPNFTEEGLVNFSKMRMISHIIREIRQFQQTSYRIDHQPKVAQYLLDKDLIIDEDTLYELSLKIEPRLPA
+>DECOY_sp|O14827|RGRF2_HUMAN Ras-specific guanine nucleotide-releasing factor 2 OS=Homo sapiens OX=9606 GN=RASGRF2 PE=1 SV=2
+APLRPEIKLSLEYLTDEDIILDKDLLYQAVKPQHDIRYSTQQFQRIERIIHSIMRMKSFNVLGEETFNPTGEEIFALDTLYMGLYPVAPPNCNKLTERLNKFRGESSVTKQLKDMLAKTQKSVKAWTKKLRYIASRNLASTIELVGNYNHLCRCIDAVAVWKEIANARSSVDAYNMIQSAVLNSMDNFHQSTKMIYPTRENKDLKMWGQGLFEEYPISRFIVHDLLTIQEALEMASLSEFCEAKMCDTMQIIDELKLHIDDQDDQSLARLINAAAKREQPLLDPDRLVEELLNLVNMKLENNLEFDQAHKSVWHRLVNLVRNTATRRIIFEKDCTRETNNFGPPSGHGAAATAIAFASAPSVSCHANDATQGGPQRYRLHRPTSPSRCPSLETTDAAPSSEVGARDAPASELVAKQISRKLKNCLDVRPNDVNEEVTGPSQEPSSLGRSLDLPIQGTHPPPSAAPSQTTTTPSSSTTLDLAGIKSNLPSTLSLKRARVPSSTRSVALPPPSSFKRCLRPSKGDVLHEGRNNQSTAFFLELSRVPISTFPRKYIDSLKGLVVAATTFIRYTHLFTNLFDISLFRLDTLRELLREVSAYRIQPVKCSNLTKSFCIDTDDKHLRADSKIMHPVTVKSNEEFVITMLGNCRINDVCQSIDSMWAAKEQRSPALLVVTFAAADPPEVVIKFDLHGFVQGSGKSDDDSADPEEILTCDILSLVGGTKLLHLKGGSSRTCILFHKTFLFCQREGEKKLSLSGLRVKSLKGREVSPVQILSGQRIFTQSTDLLIDCGEVIMREIALNKRINETDSVEDHMVRSLEELKSKAFELSKREVHEHPTHALLEHLTIIYRPIQFMPYTLFTELMRGECAPNAEYQKLLKDFDRNQKCNALVQLSYQHNRVFEQYINLMPLLIDFLDALILTPWNAIRAKLGQHFIEHLFMITESNLFISSVDDHSIPPKKSSAAMRLPRLFGNVLIYLQHVYESEAEVMTFVIQNRKRMSEAHPSCIYDQVITKWKRRCLWGRMFSQVKKIKKIDPDEDEQNSQYPRMREKTKNLAIIESKLREIETDQDELQHRLQNAAIKETEVIQVLHIYKQMLVEREILIDAYSAQHIAEMWEKGDQEEECRLELPKQGEHGFLVTFYYQKDLADRVGGQGAGARPPAPTRECSCGELLYMGAPRCSQEGEFYFLVNQYLAFWKEHWRSAEATKKSLFGRKTGEKRALFALYLAHGENYRVSKQM
+>sp|P47804|RGR_HUMAN RPE-retinal G protein-coupled receptor OS=Homo sapiens OX=9606 GN=RGR PE=1 SV=1
+MAETSALPTGFGELEVLAVGMVLLVEALSGLSLNTLTIFSFCKTPELRTPCHLLVLSLALADSGISLNALVAATSSLLRRWPYGSDGCQAHGFQGFVTALASICSSAAIAWGRYHHYCTRSQLAWNSAVSLVLFVWLSSAFWAALPLLGWGHYDYEPLGTCCTLDYSKGDRNFTSFLFTMSFFNFAMPLFITITSYSLMEQKLGKSGHLQVNTTLPARTLLLGWGPYAILYLYAVIADVTSISPKLQMVPALIAKMVPTINAINYALGNEMVCRGIWQCLSPQKREKDRTK
+>DECOY_sp|P47804|RGR_HUMAN RPE-retinal G protein-coupled receptor OS=Homo sapiens OX=9606 GN=RGR PE=1 SV=1
+KTRDKERKQPSLCQWIGRCVMENGLAYNIANITPVMKAILAPVMQLKPSISTVDAIVAYLYLIAYPGWGLLLTRAPLTTNVQLHGSKGLKQEMLSYSTITIFLPMAFNFFSMTFLFSTFNRDGKSYDLTCCTGLPEYDYHGWGLLPLAAWFASSLWVFLVLSVASNWALQSRTCYHHYRGWAIAASSCISALATVFGQFGHAQCGDSGYPWRRLLSSTAAVLANLSIGSDALALSLVLLHCPTRLEPTKCFSFITLTNLSLGSLAEVLLVMGVALVELEGFGTPLASTEAM
+>sp|O43665|RGS10_HUMAN Regulator of G-protein signaling 10 OS=Homo sapiens OX=9606 GN=RGS10 PE=1 SV=2
+MQSELCFADIHDSDGSSSSSHQSLKSTAKWAASLENLLEDPEGVKRFREFLKKEFSEENVLFWLACEDFKKMQDKTQMQEKAKEIYMTFLSSKASSQVNVEGQSRLNEKILEEPHPLMFQKLQDQIFNLMKYDSYSRFLKSDLFLKHKRTEEEEEDLPDAQTAAKRASRIYNT
+>DECOY_sp|O43665|RGS10_HUMAN Regulator of G-protein signaling 10 OS=Homo sapiens OX=9606 GN=RGS10 PE=1 SV=2
+TNYIRSARKAATQADPLDEEEEETRKHKLFLDSKLFRSYSDYKMLNFIQDQLKQFMLPHPEELIKENLRSQGEVNVQSSAKSSLFTMYIEKAKEQMQTKDQMKKFDECALWFLVNEESFEKKLFERFRKVGEPDELLNELSAAWKATSKLSQHSSSSSGDSDHIDAFCLESQM
+>sp|O76081|RGS20_HUMAN Regulator of G-protein signaling 20 OS=Homo sapiens OX=9606 GN=RGS20 PE=1 SV=4
+MPQLSQDNQECLQKHFSRPSIWTQFLPLFRAQRYNTDIHQITENEGDLRAVPDIKSFPPAQLPDSPAAPKLFGLLSSPLSSLARFFSHLLRRPPPEAPRRRLDFSPLLPALPAARLSRGHEELPGRLSLLLGAALALPGRPSGGRPLRPPHPVAKPREEDATAGQSSPMPQMGSERMEMRKRQMPAAQDTPGAAPGQPGAGSRGSNACCFCWCCCCSCSCLTVRNQEDQRPTIASHELRADLPTWEESPAPTLEEVNAWAQSFDKLMVTPAGRNAFREFLRTEFSEENMLFWMACEELKKEANKNIIEEKARIIYEDYISILSPKEVSLDSRVREVINRNMVEPSQHIFDDAQLQIYTLMHRDSYPRFMNSAVYKDLLQSLSEKSIEA
+>DECOY_sp|O76081|RGS20_HUMAN Regulator of G-protein signaling 20 OS=Homo sapiens OX=9606 GN=RGS20 PE=1 SV=4
+AEISKESLSQLLDKYVASNMFRPYSDRHMLTYIQLQADDFIHQSPEVMNRNIVERVRSDLSVEKPSLISIYDEYIIRAKEEIINKNAEKKLEECAMWFLMNEESFETRLFERFANRGAPTVMLKDFSQAWANVEELTPAPSEEWTPLDARLEHSAITPRQDEQNRVTLCSCSCCCCWCFCCANSGRSGAGPQGPAAGPTDQAAPMQRKRMEMRESGMQPMPSSQGATADEERPKAVPHPPRLPRGGSPRGPLALAAGLLLSLRGPLEEHGRSLRAAPLAPLLPSFDLRRRPAEPPPRRLLHSFFRALSSLPSSLLGFLKPAAPSDPLQAPPFSKIDPVARLDGENETIQHIDTNYRQARFLPLFQTWISPRSFHKQLCEQNDQSLQPM
+>sp|P49798|RGS4_HUMAN Regulator of G-protein signaling 4 OS=Homo sapiens OX=9606 GN=RGS4 PE=1 SV=1
+MCKGLAGLPASCLRSAKDMKHRLGFLLQKSDSCEHNSSHNKKDKVVICQRVSQEEVKKWAESLENLISHECGLAAFKAFLKSEYSEENIDFWISCEEYKKIKSPSKLSPKAKKIYNEFISVQATKEVNLDSCTREETSRNMLEPTITCFDEAQKKIFNLMEKDSYRRFLKSRFYLDLVNPSSCGAEKQKGAKSSADCASLVPQCA
+>DECOY_sp|P49798|RGS4_HUMAN Regulator of G-protein signaling 4 OS=Homo sapiens OX=9606 GN=RGS4 PE=1 SV=1
+ACQPVLSACDASSKAGKQKEAGCSSPNVLDLYFRSKLFRRYSDKEMLNFIKKQAEDFCTITPELMNRSTEERTCSDLNVEKTAQVSIFENYIKKAKPSLKSPSKIKKYEECSIWFDINEESYESKLFAKFAALGCEHSILNELSEAWKKVEEQSVRQCIVVKDKKNHSSNHECSDSKQLLFGLRHKMDKASRLCSAPLGALGKCM
+>sp|P52758|RIDA_HUMAN 2-iminobutanoate/2-iminopropanoate deaminase OS=Homo sapiens OX=9606 GN=RIDA PE=1 SV=1
+MSSLIRRVISTAKAPGAIGPYSQAVLVDRTIYISGQIGMDPSSGQLVSGGVAEEAKQALKNMGEILKAAGCDFTNVVKTTVLLADINDFNTVNEIYKQYFKSNFPARAAYQVAALPKGSRIEIEAVAIQGPLTTASL
+>DECOY_sp|P52758|RIDA_HUMAN 2-iminobutanoate/2-iminopropanoate deaminase OS=Homo sapiens OX=9606 GN=RIDA PE=1 SV=1
+LSATTLPGQIAVAEIEIRSGKPLAAVQYAARAPFNSKFYQKYIENVTNFDNIDALLVTTKVVNTFDCGAAKLIEGMNKLAQKAEEAVGGSVLQGSSPDMGIQGSIYITRDVLVAQSYPGIAGPAKATSIVRRILSSM
+>sp|Q96NA2|RILP_HUMAN Rab-interacting lysosomal protein OS=Homo sapiens OX=9606 GN=RILP PE=1 SV=1
+MEPRRAAPGVPGWGSREAAGSASAAELVYHLAGALGTELQDLARRFGPEAAAGLVPLVVRALELLEQAAVGPAPDSLQVSAQPAEQELRRLREENERLRRELRAGPQEERALLRQLKEVTDRQRDELRAHNRDLRQRGQETEALQEQLQRLLLVNAELRHKLAAMQTQLRAAQDRERERQQPGEAATPQAKERARGQAGRPGHQHGQEPEWATAGAGAPGNPEDPAEAAQQLGRPSEAGQCRFSREEFEQILQERNELKAKVFLLKEELAYFQRELLTDHRVPGLLLEAMKVAVRKQRKKIKAKMLGTPEEAESSEDEAGPWILLSDDKGDHPPPPESKIQSFFGLWYRGKAESSEDETSSPAPSKLGGEEEAQPQSPAPDPPCSALHEHLCLGASAAPEA
+>DECOY_sp|Q96NA2|RILP_HUMAN Rab-interacting lysosomal protein OS=Homo sapiens OX=9606 GN=RILP PE=1 SV=1
+AEPAASAGLCLHEHLASCPPDPAPSQPQAEEEGGLKSPAPSSTEDESSEAKGRYWLGFFSQIKSEPPPPHDGKDDSLLIWPGAEDESSEAEEPTGLMKAKIKKRQKRVAVKMAELLLGPVRHDTLLERQFYALEEKLLFVKAKLENREQLIQEFEERSFRCQGAESPRGLQQAAEAPDEPNGPAGAGATAWEPEQGHQHGPRGAQGRAREKAQPTAAEGPQQRERERDQAARLQTQMAALKHRLEANVLLLRQLQEQLAETEQGRQRLDRNHARLEDRQRDTVEKLQRLLAREEQPGARLERRLRENEERLRRLEQEAPQASVQLSDPAPGVAAQELLELARVVLPVLGAAAEPGFRRALDQLETGLAGALHYVLEAASASGAAERSGWGPVGPAARRPEM
+>sp|A6NJZ7|RIM3C_HUMAN RIMS-binding protein 3C OS=Homo sapiens OX=9606 GN=RIMBP3C PE=1 SV=3
+MAKDSPSPLGASPKKPGCSSPAAAVLENQRRELEKLRAELEAERAGWRAERRRFAARERQLREEAERERRQLADRLRSKWEAQRSRELRQLQEEMQREREAEIRQLLRWKEAEQRQLQQLLHRERDGVVRQARELQRQLAEELVNRGHCSRPGASEVSAAQCRCRLQEVLAQLRWQTDGEQAARIRYLQAALEVERQLFLKYILAHFRGHPALSGSPDPQAVHSLEEPLPQTSSGSCHAPKPACQLGSLDSLSAEVGVRSRSLGLVSSACSSSPDGLLSTHASSLDCFAPACSRSLDSTRSLPKASKSEERPSSPDTSTPGSRRLSPPPSPLPPPPPPSAHRKLSNPRGGEGSESQPCEVLTPSPPGLGHHELIKLNWLLAKALWVLARRCYTLQEENKQLRRAGCPYQADEKVKRLKVKRAELTGLARRLADRARELQETNLRAVSAPIPGESCAGLELCQVFARQRARDLSEQASAPLAKDKQIEELRQECHLLQARVASGPCSDLHTGRGGPCTQWLNVRDLDRLQRESQREVLRLQRQLMLQQGNGGAWPEAGGQSATCEEVRRQMLALERELDQRRRECQELGTQAAPARRRGEEAETQLQAALLKNAWLAEENGRLQAKTDWVRKVEAENSEVRGHLGRACQERDASGLIAEQLLQQAARGQDRQQQLQRDPQKALCDLHPSWKEIQALQCRPGHPPEQPWETSQMPESQVKGSRRPKFHARPEDYAVSQPNRDIQEKREASLEESPVALGESASVPQVSETVPASQPLSKKTSSQSNSSSEGSMWATVPSSPTLDRDTASEVDDLEPDSVSLALEMGGSAAPAAPKLKIFMAQYNYNPFEGPNDHPEGELPLTAGDYIYIFGDMDEDGFYEGELDDGRRGLVPSNFVEQIPDSYIPGCLPAKSPDLGPSQLPAGQDEALEEDSLLSGKAQGMVDRGLCQMVRVGSKTEVATEILDTKTEACQLGLLQSMGKQGLSRPLLGTKGVLRMAPMQLHLQNVTATSANITWVYSSHRHPHVVYLDDREHALTPAGVSCYTFQGLCPGTHYRVRVEVRLPWDLLQVYWGTMSSTVTFDTLLAGPPYPPLDVLVERHASPGVLVVSWLPVTIDSAGSSNGVQVTGYAVYADGLKVCEVADATAGSTVLEFSQLQVPLTWQKVSVRTMSLCGESLDSVPAQIPEDFFMCHRWPETPPFSYTCGDPSTYRVTFPVCPQKLSLAPPSAKASPHNPGSCGEPQAKFLEAFFEEPPRRQSPVSNLGSEGECPSSGAGSQAQELAEAWEGCRKDLLFQKSPQNHRPPSVSDQPGEKENCYQHMGTSKSPAPGFIHLRTECGPRKEPCQEKAALERVLRQKQDAQGFTPPQLGASQQYASDFHNVLKEEQEALCLDLRGTERREERREPEPHSRQGQALGVKRGCQLHEPSSALCPAPSAKVIKMPRGGPQQLGTGANTPARVFVALSDYNPLVMSANLKAAEEELVFQKRQLLRVWGSQDTHDFYLSECNRQVGNIPGRLVAEMEVGTEQTDRRWRSPAQGHLPSVAHLEDFQGLIIPQGSSLVLQGNSKRLPLWTPKIMIAALDYDPGDGQMGGQGKGRLALRAGDVVMVYGPMDDQGFYYGELGGHRGLVPAHLLDHMSLHGH
+>DECOY_sp|A6NJZ7|RIM3C_HUMAN RIMS-binding protein 3C OS=Homo sapiens OX=9606 GN=RIMBP3C PE=1 SV=3
+HGHLSMHDLLHAPVLGRHGGLEGYYFGQDDMPGYVMVVDGARLALRGKGQGGMQGDGPDYDLAAIMIKPTWLPLRKSNGQLVLSSGQPIILGQFDELHAVSPLHGQAPSRWRRDTQETGVEMEAVLRGPINGVQRNCESLYFDHTDQSGWVRLLQRKQFVLEEEAAKLNASMVLPNYDSLAVFVRAPTNAGTGLQQPGGRPMKIVKASPAPCLASSPEHLQCGRKVGLAQGQRSHPEPERREERRETGRLDLCLAEQEEKLVNHFDSAYQQSAGLQPPTFGQADQKQRLVRELAAKEQCPEKRPGCETRLHIFGPAPSKSTGMHQYCNEKEGPQDSVSPPRHNQPSKQFLLDKRCGEWAEALEQAQSGAGSSPCEGESGLNSVPSQRRPPEEFFAELFKAQPEGCSGPNHPSAKASPPALSLKQPCVPFTVRYTSPDGCTYSFPPTEPWRHCMFFDEPIQAPVSDLSEGCLSMTRVSVKQWTLPVQLQSFELVTSGATADAVECVKLGDAYVAYGTVQVGNSSGASDITVPLWSVVLVGPSAHREVLVDLPPYPPGALLTDFTVTSSMTGWYVQLLDWPLRVEVRVRYHTGPCLGQFTYCSVGAPTLAHERDDLYVVHPHRHSSYVWTINASTATVNQLHLQMPAMRLVGKTGLLPRSLGQKGMSQLLGLQCAETKTDLIETAVETKSGVRVMQCLGRDVMGQAKGSLLSDEELAEDQGAPLQSPGLDPSKAPLCGPIYSDPIQEVFNSPVLGRRGDDLEGEYFGDEDMDGFIYIYDGATLPLEGEPHDNPGEFPNYNYQAMFIKLKPAAPAASGGMELALSVSDPELDDVESATDRDLTPSSPVTAWMSGESSSNSQSSTKKSLPQSAPVTESVQPVSASEGLAVPSEELSAERKEQIDRNPQSVAYDEPRAHFKPRRSGKVQSEPMQSTEWPQEPPHGPRCQLAQIEKWSPHLDCLAKQPDRQLQQQRDQGRAAQQLLQEAILGSADREQCARGLHGRVESNEAEVKRVWDTKAQLRGNEEALWANKLLAAQLQTEAEEGRRRAPAAQTGLEQCERRRQDLERELALMQRRVEECTASQGGAEPWAGGNGQQLMLQRQLRLVERQSERQLRDLDRVNLWQTCPGGRGTHLDSCPGSAVRAQLLHCEQRLEEIQKDKALPASAQESLDRARQRAFVQCLELGACSEGPIPASVARLNTEQLERARDALRRALGTLEARKVKLRKVKEDAQYPCGARRLQKNEEQLTYCRRALVWLAKALLWNLKILEHHGLGPPSPTLVECPQSESGEGGRPNSLKRHASPPPPPPLPSPPPSLRRSGPTSTDPSSPREESKSAKPLSRTSDLSRSCAPAFCDLSSAHTSLLGDPSSSCASSVLGLSRSRVGVEASLSDLSGLQCAPKPAHCSGSSTQPLPEELSHVAQPDPSGSLAPHGRFHALIYKLFLQREVELAAQLYRIRAAQEGDTQWRLQALVEQLRCRCQAASVESAGPRSCHGRNVLEEALQRQLERAQRVVGDRERHLLQQLQRQEAEKWRLLQRIEAERERQMEEQLQRLERSRQAEWKSRLRDALQRREREAEERLQRERAAFRRREARWGAREAELEARLKELERRQNELVAAAPSSCGPKKPSAGLPSPSDKAM
+>sp|O15034|RIMB2_HUMAN RIMS-binding protein 2 OS=Homo sapiens OX=9606 GN=RIMBP2 PE=1 SV=3
+MREAAERRQQLQLEHDQALAVLSAKQQEIDLLQKSKVRELEEKCRTQSEQFNLLSRDLEKFRQHAGKIDLLGGSAVAPLDISTAPSKPFPQFMNGLATSLGKGQESAIGGSSAIGEYIRPLPQPGDRPEPLSAKPTFLSRSGSARCRSESDMENERNSNTSKQRYSGKVHLCVARYSYNPFDGPNENPEAELPLTAGKYLYVYGDMDEDGFYEGELLDGQRGLVPSNFVDFVQDNESRLASTLGNEQDQNFINHSGIGLEGEHILDLHSPTHIDAGITDNSAGTLDVNIDDIGEDIVPYPRKITLIKQLAKSVIVGWEPPAVPPGWGTVSSYNVLVDKETRMNLTLGSRTKALIEKLNMAACTYRISVQCVTSRGSSDELQCTLLVGKDVVVAPSHLRVDNITQISAQLSWLPTNSNYSHVIFLNEEEFDIVKAARYKYQFFNLRPNMAYKVKVLAKPHQMPWQLPLEQREKKEAFVEFSTLPAGPPAPPQDVTVQAGVTPATIRVSWRPPVLTPTGLSNGANVTGYGVYAKGQRVAEVIFPTADSTAVELVRLRSLEAKGVTVRTLSAQGESVDSAVAAVPPELLVPPTPHPRPAPQSKPLASSGVPETKDEHLGPHARMDEAWEQSRAPGPVHGHMLEPPVGPGRRSPSPSRILPQPQGTPVSTTVAKAMAREAAQRVAESSRLEKRSVFLERSSAGQYAASDEEDAYDSPDFKRRGASVDDFLKGSELGKQPHCCHGDEYHTESSRGSDLSDIMEEDEEELYSEMQLEDGGRRRPSGTSHNALKILGNPASAGRVDHMGRRFPRGSAGPQRSRPVTVPSIDDYGRDRLSPDFYEESETDPGAEELPARIFVALFDYDPLTMSPNPDAAEEELPFKEGQIIKVYGDKDADGFYRGETCARLGLIPCNMVSEIQADDEEMMDQLLRQGFLPLNTPVEKIERSRRSGRRHSVSTRRMVALYDYDPRESSPNVDVEAELTFCTGDIITVFGEIDEDGFYYGELNGQKGLVPSNFLEEVPDDVEVYLSDAPSHYSQDTPMRSKAKRKKSVHFTP
+>DECOY_sp|O15034|RIMB2_HUMAN RIMS-binding protein 2 OS=Homo sapiens OX=9606 GN=RIMBP2 PE=1 SV=3
+PTFHVSKKRKAKSRMPTDQSYHSPADSLYVEVDDPVEELFNSPVLGKQGNLEGYYFGDEDIEGFVTIIDGTCFTLEAEVDVNPSSERPDYDYLAVMRRTSVSHRRGSRRSREIKEVPTNLPLFGQRLLQDMMEEDDAQIESVMNCPILGLRACTEGRYFGDADKDGYVKIIQGEKFPLEEEAADPNPSMTLPDYDFLAVFIRAPLEEAGPDTESEEYFDPSLRDRGYDDISPVTVPRSRQPGASGRPFRRGMHDVRGASAPNGLIKLANHSTGSPRRRGGDELQMESYLEEEDEEMIDSLDSGRSSETHYEDGHCCHPQKGLESGKLFDDVSAGRRKFDPSDYADEEDSAAYQGASSRELFVSRKELRSSEAVRQAAERAMAKAVTTSVPTGQPQPLIRSPSPSRRGPGVPPELMHGHVPGPARSQEWAEDMRAHPGLHEDKTEPVGSSALPKSQPAPRPHPTPPVLLEPPVAAVASDVSEGQASLTRVTVGKAELSRLRVLEVATSDATPFIVEAVRQGKAYVGYGTVNAGNSLGTPTLVPPRWSVRITAPTVGAQVTVDQPPAPPGAPLTSFEVFAEKKERQELPLQWPMQHPKALVKVKYAMNPRLNFFQYKYRAAKVIDFEEENLFIVHSYNSNTPLWSLQASIQTINDVRLHSPAVVVDKGVLLTCQLEDSSGRSTVCQVSIRYTCAAMNLKEILAKTRSGLTLNMRTEKDVLVNYSSVTGWGPPVAPPEWGVIVSKALQKILTIKRPYPVIDEGIDDINVDLTGASNDTIGADIHTPSHLDLIHEGELGIGSHNIFNQDQENGLTSALRSENDQVFDVFNSPVLGRQGDLLEGEYFGDEDMDGYVYLYKGATLPLEAEPNENPGDFPNYSYRAVCLHVKGSYRQKSTNSNRENEMDSESRCRASGSRSLFTPKASLPEPRDGPQPLPRIYEGIASSGGIASEQGKGLSTALGNMFQPFPKSPATSIDLPAVASGGLLDIKGAHQRFKELDRSLLNFQESQTRCKEELERVKSKQLLDIEQQKASLVALAQDHELQLQQRREAAERM
+>sp|Q6ZV50|RFX8_HUMAN DNA-binding protein RFX8 OS=Homo sapiens OX=9606 GN=RFX8 PE=2 SV=2
+MAEGVPASPSSGEGSRGPHSGVIQWLVDNFCICEECSVPRCLMYEIYVETCGQNTENQVNPATFGKLVRLVFPDLGTRRLGTRGSARYHYDGICIKKSSFFYAQYCYLIGEKRYHSGDAIAFEKSTNYNSIIQQEATCEDHSPMKTDPVGSPLSEFRRCPFLEQEQAKKYSCNMMAFLADEYCNYCRDILRNVEDLLTSFWKSLQQDTVMLMSLPDVCQLFKCYDVQLYKGIEDVLLHDFLEDVSIQYLKSVQLFSKKFKLWLLNALEGVPALLQISKLKEVTLFVKRLRRKTYLSNMAKTMRMVLKSKRRVSVLKSDLQAIINQGTLATSKKALASDRSGADELENNPEMKCLRNLISLLGTSTDLRVFLSCLSSHLQAFVFQTSRSKEEFTKLAASFQLRWNLLLTAVSKAMTLCHRDSFGSWHLFHLLLLEYMIHILQSCLEEEEEEEDMGTVKEMLPDDPTLGQPDQALFHSLNSSLSQACASPSMEPLGVMPTHMGQGRYPVGVSNMVLRILGFLVDTAMGNKLIQVLLEDETTESAVKLSLPMGQEALITLKDGQQFVIQISDVPQSSEDIYFRENNANV
+>DECOY_sp|Q6ZV50|RFX8_HUMAN DNA-binding protein RFX8 OS=Homo sapiens OX=9606 GN=RFX8 PE=2 SV=2
+VNANNERFYIDESSQPVDSIQIVFQQGDKLTILAEQGMPLSLKVASETTEDELLVQILKNGMATDVLFGLIRLVMNSVGVPYRGQGMHTPMVGLPEMSPSACAQSLSSNLSHFLAQDPQGLTPDDPLMEKVTGMDEEEEEEELCSQLIHIMYELLLLHFLHWSGFSDRHCLTMAKSVATLLLNWRLQFSAALKTFEEKSRSTQFVFAQLHSSLCSLFVRLDTSTGLLSILNRLCKMEPNNELEDAGSRDSALAKKSTALTGQNIIAQLDSKLVSVRRKSKLVMRMTKAMNSLYTKRRLRKVFLTVEKLKSIQLLAPVGELANLLWLKFKKSFLQVSKLYQISVDELFDHLLVDEIGKYLQVDYCKFLQCVDPLSMLMVTDQQLSKWFSTLLDEVNRLIDRCYNCYEDALFAMMNCSYKKAQEQELFPCRRFESLPSGVPDTKMPSHDECTAEQQIISNYNTSKEFAIADGSHYRKEGILYCYQAYFFSSKKICIGDYHYRASGRTGLRRTGLDPFVLRVLKGFTAPNVQNETNQGCTEVYIEYMLCRPVSCEECICFNDVLWQIVGSHPGRSGEGSSPSAPVGEAM
+>sp|O00287|RFXAP_HUMAN Regulatory factor X-associated protein OS=Homo sapiens OX=9606 GN=RFXAP PE=1 SV=1
+MEAQGVAEGAGPGAASGVPHPAALAPAAAPTLAPASVAAAASQFTLLVMQPCAGQDEAAAPGGSVGAGKPVRYLCEGAGDGEEEAGEDEADLLDTSDPPGGGESAASLEDLEDEETHSGGEGSSGGARRRGSGGGSMSKTCTYEGCSETTSQVAKQRKPWMCKKHRNKMYKDKYKKKKSDQALNCGGTASTGSAGNVKLEESADNILSIVKQRTGSFGDRPARPTLLEQVLNQKRLSLLRSPEVVQFLQKQQQLLNQQVLEQRQQQFPGTSM
+>DECOY_sp|O00287|RFXAP_HUMAN Regulatory factor X-associated protein OS=Homo sapiens OX=9606 GN=RFXAP PE=1 SV=1
+MSTGPFQQQRQELVQQNLLQQQKQLFQVVEPSRLLSLRKQNLVQELLTPRAPRDGFSGTRQKVISLINDASEELKVNGASGTSATGGCNLAQDSKKKKYKDKYMKNRHKKCMWPKRQKAVQSTTESCGEYTCTKSMSGGGSGRRRAGGSSGEGGSHTEEDELDELSAASEGGGPPDSTDLLDAEDEGAEEEGDGAGECLYRVPKGAGVSGGPAAAEDQGACPQMVLLTFQSAAAAVSAPALTPAAAPALAAPHPVGSAAGPGAGEAVGQAEM
+>sp|Q9H4X1|RGCC_HUMAN Regulator of cell cycle RGCC OS=Homo sapiens OX=9606 GN=RGCC PE=1 SV=1
+MKPPAAQGSPAAAAAAAPALDSAAAEDLSDALCEFDAVLADFASPFHERHFHYEEHLERMKRRSSASVSDSSGFSDSESADSLYRNSFSFSDEKLNSPTDSTPALLSATVTPQKAKLGDTKELEAFIADLDKTLASM
+>DECOY_sp|Q9H4X1|RGCC_HUMAN Regulator of cell cycle RGCC OS=Homo sapiens OX=9606 GN=RGCC PE=1 SV=1
+MSALTKDLDAIFAELEKTDGLKAKQPTVTASLLAPTSDTPSNLKEDSFSFSNRYLSDASESDSFGSSDSVSASSRRKMRELHEEYHFHREHFPSAFDALVADFECLADSLDEAAASDLAPAAAAAAAPSGQAAPPKM
+>sp|Q0VAM2|RGF1B_HUMAN Ras-GEF domain-containing family member 1B OS=Homo sapiens OX=9606 GN=RASGEF1B PE=1 SV=2
+MPQTPPFSAMFDSSGYNRNLYQSAEDSCGGLYYHDNNLLSGSLEALIQHLVPNVDYYPDRTYIFTFLLSSRLFMHPYELMAKVCHLCVEHQRLSDPDSDKNQMRKIAPKILQLLTEWTETFPYDFRDERMMRNLKDLAHRIASGEEQTYRKNVQQMMQCLIRKLAALSQYEEVLAKISSTSTDRLTVLKTKPQSIQRDIITVCNDPYTLAQQLTHIELERLNYIGPEEFVQAFVQKDPLDNDKSCYSERKKTRNLEAYVEWFNRLSYLVATEICMPVKKKHRARMIEYFIDVARECFNIGNFNSLMAIISGMNMSPVSRLKKTWAKVKTAKFDILEHQMDPSSNFYNYRTALRGAAQRSLTAHSSREKIVIPFFSLLIKDIYFLNEGCANRLPNGHVNFEKFWELAKQVSEFMTWKQVECPFERDRKILQYLLTVPVFSEDALYLASYESEGPENHIEKDRWKSLRSSLLGRV
+>DECOY_sp|Q0VAM2|RGF1B_HUMAN Ras-GEF domain-containing family member 1B OS=Homo sapiens OX=9606 GN=RASGEF1B PE=1 SV=2
+VRGLLSSRLSKWRDKEIHNEPGESEYSALYLADESFVPVTLLYQLIKRDREFPCEVQKWTMFESVQKALEWFKEFNVHGNPLRNACGENLFYIDKILLSFFPIVIKERSSHATLSRQAAGRLATRYNYFNSSPDMQHELIDFKATKVKAWTKKLRSVPSMNMGSIIAMLSNFNGINFCERAVDIFYEIMRARHKKKVPMCIETAVLYSLRNFWEVYAELNRTKKRESYCSKDNDLPDKQVFAQVFEEPGIYNLRELEIHTLQQALTYPDNCVTIIDRQISQPKTKLVTLRDTSTSSIKALVEEYQSLAALKRILCQMMQQVNKRYTQEEGSAIRHALDKLNRMMREDRFDYPFTETWETLLQLIKPAIKRMQNKDSDPDSLRQHEVCLHCVKAMLEYPHMFLRSSLLFTFIYTRDPYYDVNPVLHQILAELSGSLLNNDHYYLGGCSDEASQYLNRNYGSSDFMASFPPTQPM
+>sp|Q3MIN7|RGL3_HUMAN Ral guanine nucleotide dissociation stimulator-like 3 OS=Homo sapiens OX=9606 GN=RGL3 PE=1 SV=2
+MERTAGKELALAPLQDWGEETEDGAVYSVSLRRQRSQRRSPAEGPGGSQAPSPIANTFLHYRTSKVRVLRAARLERLVGELVFGDREQDPSFMPAFLATYRTFVPTACLLGFLLPPMPPPPPPGVEIKKTAVQDLSFNKNLRAVVSVLGSWLQDHPQDFRDPPAHSDLGSVRTFLGWAAPGSAEAQKAEKLLEDFLEEAEREQEEEPPQVWTGPPRVAQTSDPDSSEACAEEEEGLMPQGPQLLDFSVDEVAEQLTLIDLELFSKVRLYECLGSVWSQRDRPGAAGASPTVRATVAQFNTVTGCVLGSVLGAPGLAAPQRAQRLEKWIRIAQRCRELRNFSSLRAILSALQSNPIYRLKRSWGAVSREPLSTFRKLSQIFSDENNHLSSREILFQEEATEGSQEEDNTPGSLPSKPPPGPVPYLGTFLTDLVMLDTALPDMLEGDLINFEKRRKEWEILARIQQLQRRCQSYTLSPHPPILAALHAQNQLTEEQSYRLSRVIEPPAASCPSSPRIRRRISLTKRLSAKLAREKSSSPSGSPGDPSSPTSSVSPGSPPSSPRSRDAPAGSPPASPGPQGPSTKLPLSLDLPSPRPFALPLGSPRIPLPAQQSSEARVIRVSIDNDHGNLYRSILLTSQDKAPSVVRRALQKHNVPQPWACDYQLFQVLPGDRVLLIPDNANVFYAMSPVAPRDFMLRRKEGTRNTLSVSPS
+>DECOY_sp|Q3MIN7|RGL3_HUMAN Ral guanine nucleotide dissociation stimulator-like 3 OS=Homo sapiens OX=9606 GN=RGL3 PE=1 SV=2
+SPSVSLTNRTGEKRRLMFDRPAVPSMAYFVNANDPILLVRDGPLVQFLQYDCAWPQPVNHKQLARRVVSPAKDQSTLLISRYLNGHDNDISVRIVRAESSQQAPLPIRPSGLPLAFPRPSPLDLSLPLKTSPGQPGPSAPPSGAPADRSRPSSPPSGPSVSSTPSSPDGPSGSPSSSKERALKASLRKTLSIRRRIRPSSPCSAAPPEIVRSLRYSQEETLQNQAHLAALIPPHPSLTYSQCRRQLQQIRALIEWEKRRKEFNILDGELMDPLATDLMVLDTLFTGLYPVPGPPPKSPLSGPTNDEEQSGETAEEQFLIERSSLHNNEDSFIQSLKRFTSLPERSVAGWSRKLRYIPNSQLASLIARLSSFNRLERCRQAIRIWKELRQARQPAALGPAGLVSGLVCGTVTNFQAVTARVTPSAGAAGPRDRQSWVSGLCEYLRVKSFLELDILTLQEAVEDVSFDLLQPGQPMLGEEEEACAESSDPDSTQAVRPPGTWVQPPEEEQEREAEELFDELLKEAKQAEASGPAAWGLFTRVSGLDSHAPPDRFDQPHDQLWSGLVSVVARLNKNFSLDQVATKKIEVGPPPPPPMPPLLFGLLCATPVFTRYTALFAPMFSPDQERDGFVLEGVLRELRAARLVRVKSTRYHLFTNAIPSPAQSGGPGEAPSRRQSRQRRLSVSYVAGDETEEGWDQLPALALEKGATREM
+>sp|Q2PPJ7|RGPA2_HUMAN Ral GTPase-activating protein subunit alpha-2 OS=Homo sapiens OX=9606 GN=RALGAPA2 PE=1 SV=2
+MFSRRSHGDVKKSTQKVLDPKKDVLTRLKHLRALLDNVDANDLKQFFETNYSQIYFIFYENFIALENSLKLKGNNKSQREELDSILFLFEKILQFLPERIFFRWHYQSIGSTLKKLLHTGNSIKIRCEGIRLFLLWLQALQTNCAEEQVLIFACLVPGFPAVMSSRGPCTLETLINPSPSVADVKIYPEEITPLLPAISGEKIAEDQTCFFLQILLKYMVIQAASLEWKNKENQDTGFKFLFTLFRKYYLPHLFPSFTKLTNIYKPVLDIPHLRPKPVYITTTRDNENIYSTKIPYMAARVVFIKWIVTFFLEKKYLTATQNTKNGVDVLPKIIQTVGGGAVQERAPELDGGGPTEQDKSHSNSSTLSDRRLSNSSLCSIEEEHRMVYEMVQRILLSTRGYVNFVNEVFHQAFLLPSCEIAVTRKVVQVYRKWILQDKPVFMEEPDRKDVAQEDAEKLGFSETDSKEASSESSGHKRSSSWGRTYSFTSAMSRGCVTEEENTNVKAGVQALLQVFLTNSANIFLLEPCAEVPVLLKEQVDACKAVLIIFRRMIMELTMNKKTWEQMLQILLRITEAVMQKPKDKQIKDLFAQSLAGLLFRTLMVAWIRANLCVYISRELWDDFLGVLSSLTEWEELINEWANIMDSLTAVLARTVYGVEMTNLPLDKLSEQKEKKQRGKGCVLDPQKGTTVGRSFSLSWRSHPDVTEPMRFRSATTSGAPGVEKARNIVRQKATEVEECQQSENAPAAGSGHLTVGQQQQVLRSSSTSDIPEPLCSDSSQGQKAENTQNSSSSEPQPIQENKGHVKREHEGITILVRRSSSPAELDLKDDLQQTQGKCRERQKSESTNSDTTLGCTNEAELSMGPWQTCEEDPELNTPTDVVADADARHWLQLSPTDASNLTDSSECLTDDCSIIAGGSLTGWHPDSAAVLWRRVLGILGDVNNIQSPKIHARVFCYLYELWYKLAKIRDNLAISLDNQSSPSPPVLIPPLRMFASWLFKAATLPNEYKEGKLQAYRLICAMMTRRQDVLPNSDFLVHFYLVMHLGLTSEDQDILNTIIRHCPPRFFSLGFPGFSMLVGDFITAAARVLSTDILTAPRSEAVTVLGSLVCFPNTYQEIPLLQSVPEVNEAITGTEDVKHYLINILLKNATEEPNEYARCIAVCSLGVWICEELAQCTSHPQVKEAINVIGVTLKFPNKIVAQVACDVLQLLVSYWEKLQMFETSLPRKMAEILVATVAFLLPSAEYSSVETDKKFIVSLLLCLLDWCMALPVSVLLHPVSTAVLEEQHSARAPLLDYIYRVLHCCVCGSSTYTQQSHYILTLADLSSTDYDPFLPLANVKSSEPVQYHSSAELGNLLTVEEEKKRRSLELIPLTARMVMAHLVNHLGHYPLSGGPAILHSLVSENHDNAHVEGSELSFEVFRSPNLQLFVFNDSTLISYLQTPTEGPVGGSPVGSLSDVRVIVRDISGKYSWDGKVLYGPLEGCLAPNGRNPSFLISSWHRDTFGPQKDSSQVEEGDDVLDKLLENIGHTSPECLLPSQLNLNEPSLTPCGMNYDQEKEIIEVILRQNAQEDEYIQSHNFDSAMKVTSQGQPSPVEPRGPFYFCRLLLDDLGMNSWDRRKNFHLLKKNSKLLRELKNLDSRQCRETHKIAVFYIAEGQEDKCSILSNERGSQAYEDFVAGLGWEVDLSTHCGFMGGLQRNGSTGQTAPYYATSTVEVIFHVSTRMPSDSDDSLTKKLRHLGNDEVHIVWSEHSRDYRRGIIPTAFGDVSIIIYPMKNHMFFIAITKKPEVPFFGPLFDGAIVSGKLLPSLVCATCINASRAVKCLIPLYQSFYEERALYLEAIIQNHREVMTFEDFAAQVFSPSPSYSLSGTD
+>DECOY_sp|Q2PPJ7|RGPA2_HUMAN Ral GTPase-activating protein subunit alpha-2 OS=Homo sapiens OX=9606 GN=RALGAPA2 PE=1 SV=2
+DTGSLSYSPSPSFVQAAFDEFTMVERHNQIIAELYLAREEYFSQYLPILCKVARSANICTACVLSPLLKGSVIAGDFLPGFFPVEPKKTIAIFFMHNKMPYIIISVDGFATPIIGRRYDRSHESWVIHVEDNGLHRLKKTLSDDSDSPMRTSVHFIVEVTSTAYYPATQGTSGNRQLGGMFGCHTSLDVEWGLGAVFDEYAQSGRENSLISCKDEQGEAIYFVAIKHTERCQRSDLNKLERLLKSNKKLLHFNKRRDWSNMGLDDLLLRCFYFPGRPEVPSPQGQSTVKMASDFNHSQIYEDEQANQRLIVEIIEKEQDYNMGCPTLSPENLNLQSPLLCEPSTHGINELLKDLVDDGEEVQSSDKQPGFTDRHWSSILFSPNRGNPALCGELPGYLVKGDWSYKGSIDRVIVRVDSLSGVPSGGVPGETPTQLYSILTSDNFVFLQLNPSRFVEFSLESGEVHANDHNESVLSHLIAPGGSLPYHGLHNVLHAMVMRATLPILELSRRKKEEEVTLLNGLEASSHYQVPESSKVNALPLFPDYDTSSLDALTLIYHSQQTYTSSGCVCCHLVRYIYDLLPARASHQEELVATSVPHLLVSVPLAMCWDLLCLLLSVIFKKDTEVSSYEASPLLFAVTAVLIEAMKRPLSTEFMQLKEWYSVLLQLVDCAVQAVIKNPFKLTVGIVNIAEKVQPHSTCQALEECIWVGLSCVAICRAYENPEETANKLLINILYHKVDETGTIAENVEPVSQLLPIEQYTNPFCVLSGLVTVAESRPATLIDTSLVRAAATIFDGVLMSFGPFGLSFFRPPCHRIITNLIDQDESTLGLHMVLYFHVLFDSNPLVDQRRTMMACILRYAQLKGEKYENPLTAAKFLWSAFMRLPPILVPPSPSSQNDLSIALNDRIKALKYWLEYLYCFVRAHIKPSQINNVDGLIGLVRRWLVAASDPHWGTLSGGAIISCDDTLCESSDTLNSADTPSLQLWHRADADAVVDTPTNLEPDEECTQWPGMSLEAENTCGLTTDSNTSESKQRERCKGQTQQLDDKLDLEAPSSSRRVLITIGEHERKVHGKNEQIPQPESSSSNQTNEAKQGQSSDSCLPEPIDSTSSSRLVQQQQGVTLHGSGAAPANESQQCEEVETAKQRVINRAKEVGPAGSTTASRFRMPETVDPHSRWSLSFSRGVTTGKQPDLVCGKGRQKKEKQESLKDLPLNTMEVGYVTRALVATLSDMINAWENILEEWETLSSLVGLFDDWLERSIYVCLNARIWAVMLTRFLLGALSQAFLDKIQKDKPKQMVAETIRLLIQLMQEWTKKNMTLEMIMRRFIILVAKCADVQEKLLVPVEACPELLFINASNTLFVQLLAQVGAKVNTNEEETVCGRSMASTFSYTRGWSSSRKHGSSESSAEKSDTESFGLKEADEQAVDKRDPEEMFVPKDQLIWKRYVQVVKRTVAIECSPLLFAQHFVENVFNVYGRTSLLIRQVMEYVMRHEEEISCLSSNSLRRDSLTSSNSHSKDQETPGGGDLEPAREQVAGGGVTQIIKPLVDVGNKTNQTATLYKKELFFTVIWKIFVVRAAMYPIKTSYINENDRTTTIYVPKPRLHPIDLVPKYINTLKTFSPFLHPLYYKRFLTFLFKFGTDQNEKNKWELSAAQIVMYKLLIQLFFCTQDEAIKEGSIAPLLPTIEEPYIKVDAVSPSPNILTELTCPGRSSMVAPFGPVLCAFILVQEEACNTQLAQLWLLFLRIGECRIKISNGTHLLKKLTSGISQYHWRFFIREPLFQLIKEFLFLISDLEERQSKNNGKLKLSNELAIFNEYFIFYIQSYNTEFFQKLDNADVNDLLARLHKLRTLVDKKPDLVKQTSKKVDGHSRRSFM
+>sp|O14921|RGS13_HUMAN Regulator of G-protein signaling 13 OS=Homo sapiens OX=9606 GN=RGS13 PE=2 SV=1
+MSRRNCWICKMCRDESKRPPSNLTLEEVLQWAQSFENLMATKYGPVVYAAYLKMEHSDENIQFWMACETYKKIASRWSRISRAKKLYKIYIQPQSPREINIDSSTRETIIRNIQEPTETCFEEAQKIVYMHMERDSYPRFLKSEMYQKLLKTMQSNNSF
+>DECOY_sp|O14921|RGS13_HUMAN Regulator of G-protein signaling 13 OS=Homo sapiens OX=9606 GN=RGS13 PE=2 SV=1
+FSNNSQMTKLLKQYMESKLFRPYSDREMHMYVIKQAEEFCTETPEQINRIITERTSSDINIERPSQPQIYIKYLKKARSIRSWRSAIKKYTECAMWFQINEDSHEMKLYAAYVVPGYKTAMLNEFSQAWQLVEELTLNSPPRKSEDRCMKCIWCNRRSM
+>sp|Q9UGC6|RGS17_HUMAN Regulator of G-protein signaling 17 OS=Homo sapiens OX=9606 GN=RGS17 PE=1 SV=2
+MRKRQQSQNEGTPAVSQAPGNQRPNNTCCFCWCCCCSCSCLTVRNEERGENAGRPTHTTKMESIQVLEECQNPTAEEVLSWSQNFDKMMKAPAGRNLFREFLRTEYSEENLLFWLACEDLKKEQNKKVIEEKARMIYEDYISILSPKEVSLDSRVREVINRNLLDPNPHMYEDAQLQIYTLMHRDSFPRFLNSQIYKSFVESTAGSSSES
+>DECOY_sp|Q9UGC6|RGS17_HUMAN Regulator of G-protein signaling 17 OS=Homo sapiens OX=9606 GN=RGS17 PE=1 SV=2
+SESSSGATSEVFSKYIQSNLFRPFSDRHMLTYIQLQADEYMHPNPDLLNRNIVERVRSDLSVEKPSLISIYDEYIMRAKEEIVKKNQEKKLDECALWFLLNEESYETRLFERFLNRGAPAKMMKDFNQSWSLVEEATPNQCEELVQISEMKTTHTPRGANEGREENRVTLCSCSCCCCWCFCCTNNPRQNGPAQSVAPTGENQSQQRKRM
+>sp|Q02094|RHAG_HUMAN Ammonium transporter Rh type A OS=Homo sapiens OX=9606 GN=RHAG PE=1 SV=2
+MRFTFPLMAIVLEIAMIVLFGLFVEYETDQTVLEQLNITKPTDMGIFFELYPLFQDVHVMIFVGFGFLMTFLKKYGFSSVGINLLVAALGLQWGTIVQGILQSQGQKFNIGIKNMINADFSAATVLISFGAVLGKTSPTQMLIMTILEIVFFAHNEYLVSEIFKASDIGASMTIHAFGAYFGLAVAGILYRSGLRKGHENEESAYYSDLFAMIGTLFLWMFWPSFNSAIAEPGDKQCRAIVNTYFSLAACVLTAFAFSSLVEHRGKLNMVHIQNATLAGGVAVGTCADMAIHPFGSMIIGSIAGMVSVLGYKFLTPLFTTKLRIHDTCGVHNLHGLPGVVGGLAGIVAVAMGASNTSMAMQAAALGSSIGTAVVGGLMTGLILKLPLWGQPSDQNCYDDSVYWKVPKTR
+>DECOY_sp|Q02094|RHAG_HUMAN Ammonium transporter Rh type A OS=Homo sapiens OX=9606 GN=RHAG PE=1 SV=2
+RTKPVKWYVSDDYCNQDSPQGWLPLKLILGTMLGGVVATGISSGLAAAQMAMSTNSAGMAVAVIGALGGVVGPLGHLNHVGCTDHIRLKTTFLPTLFKYGLVSVMGAISGIIMSGFPHIAMDACTGVAVGGALTANQIHVMNLKGRHEVLSSFAFATLVCAALSFYTNVIARCQKDGPEAIASNFSPWFMWLFLTGIMAFLDSYYASEENEHGKRLGSRYLIGAVALGFYAGFAHITMSAGIDSAKFIESVLYENHAFFVIELITMILMQTPSTKGLVAGFSILVTAASFDANIMNKIGINFKQGQSQLIGQVITGWQLGLAAVLLNIGVSSFGYKKLFTMLFGFGVFIMVHVDQFLPYLEFFIGMDTPKTINLQELVTQDTEYEVFLGFLVIMAIELVIAMLPFTFRM
+>sp|Q6NTF9|RHBD2_HUMAN Rhomboid domain-containing protein 2 OS=Homo sapiens OX=9606 GN=RHBDD2 PE=2 SV=2
+MAASGPGCRSWCLCPEVPSATFFTALLSLLVSGPRLFLLQQPLAPSGLTLKSEALRNWQVYRLVTYIFVYENPISLLCGAIIIWRFAGNFERTVGTVRHCFFTVIFAIFSAIIFLSFEAVSSLSKLGEVEDARGFTPVAFAMLGVTTVRSRMRRALVFGMVVPSVLVPWLLLGASWLIPQTSFLSNVCGLSIGLAYGLTYCYSIDLSERVALKLDQTFPFSLMRRISVFKYVSGSSAERRAAQSRKLNPVPGSYPTQSCHPHLSPSHPVSQTQHASGQKLASWPSCTPGHMPTLPPYQPASGLCYVQNHFGPNPTSSSVYPASAGTSLGIQPPTPVNSPGTVYSGALGTPGAAGSKESSRVPMP
+>DECOY_sp|Q6NTF9|RHBD2_HUMAN Rhomboid domain-containing protein 2 OS=Homo sapiens OX=9606 GN=RHBDD2 PE=2 SV=2
+PMPVRSSEKSGAAGPTGLAGSYVTGPSNVPTPPQIGLSTGASAPYVSSSTPNPGFHNQVYCLGSAPQYPPLTPMHGPTCSPWSALKQGSAHQTQSVPHSPSLHPHCSQTPYSGPVPNLKRSQAARREASSGSVYKFVSIRRMLSFPFTQDLKLAVRESLDISYCYTLGYALGISLGCVNSLFSTQPILWSAGLLLWPVLVSPVVMGFVLARRMRSRVTTVGLMAFAVPTFGRADEVEGLKSLSSVAEFSLFIIASFIAFIVTFFCHRVTGVTREFNGAFRWIIIAGCLLSIPNEYVFIYTVLRYVQWNRLAESKLTLGSPALPQQLLFLRPGSVLLSLLATFFTASPVEPCLCWSRCGPGSAAM
+>sp|Q96L33|RHOV_HUMAN Rho-related GTP-binding protein RhoV OS=Homo sapiens OX=9606 GN=RHOV PE=1 SV=1
+MPPRELSEAEPPPLRAPTPPPRRRSAPPELGIKCVLVGDGAVGKSSLIVSYTCNGYPARYRPTALDTFSVQVLVDGAPVRIELWDTAGQEDFDRLRSLCYPDTDVFLACFSVVQPSSFQNITEKWLPEIRTHNPQAPVLLVGTQADLRDDVNVLIQLDQGGREGPVPQPQAQGLAEKIRACCYLECSALTQKNLKEVFDSAILSAIEHKARLEKKLNAKGVRTLSRCRWKKFFCFV
+>DECOY_sp|Q96L33|RHOV_HUMAN Rho-related GTP-binding protein RhoV OS=Homo sapiens OX=9606 GN=RHOV PE=1 SV=1
+VFCFFKKWRCRSLTRVGKANLKKELRAKHEIASLIASDFVEKLNKQTLASCELYCCARIKEALGQAQPQPVPGERGGQDLQILVNVDDRLDAQTGVLLVPAQPNHTRIEPLWKETINQFSSPQVVSFCALFVDTDPYCLSRLRDFDEQGATDWLEIRVPAGDVLVQVSFTDLATPRYRAPYGNCTYSVILSSKGVAGDGVLVCKIGLEPPASRRRPPPTPARLPPPEAESLERPPM
+>sp|Q9BQY4|RHXF2_HUMAN Rhox homeobox family member 2 OS=Homo sapiens OX=9606 GN=RHOXF2 PE=1 SV=1
+MEPPDQCSQYMTSLLSPAVDDEKELQDMNAMVLSLTEEVKEEEEDAQPEPEQGTAAGEKLKSAGAQGGEEKDGGGEEKDGGGAGVPGHLWEGDLEGTSGSDGNVEDSDQSEKEPGQQYSRPQGAVGGLEPGNAQQPNVHAFTPLQLQELERIFQREQFPSEFLRRRLARSMNVTELAVQIWFENRRAKWRRHQRALMARNMLPFMAVGQPVMVTAAEAITAPLFISGMRDDYFWDHSHSSSLCFPMPPFPPPSLPLPLMLLPPMPPAGQAEFGPFPFVIVPSFTFPNV
+>DECOY_sp|Q9BQY4|RHXF2_HUMAN Rhox homeobox family member 2 OS=Homo sapiens OX=9606 GN=RHOXF2 PE=1 SV=1
+VNPFTFSPVIVFPFPGFEAQGAPPMPPLLMLPLPLSPPPFPPMPFCLSSSHSHDWFYDDRMGSIFLPATIAEAATVMVPQGVAMFPLMNRAMLARQHRRWKARRNEFWIQVALETVNMSRALRRRLFESPFQERQFIRELEQLQLPTFAHVNPQQANGPELGGVAGQPRSYQQGPEKESQDSDEVNGDSGSTGELDGEWLHGPVGAGGGDKEEGGGDKEEGGQAGASKLKEGAATGQEPEPQADEEEEKVEETLSLVMANMDQLEKEDDVAPSLLSTMYQSCQDPPEM
+>sp|Q9UFD9|RIM3A_HUMAN RIMS-binding protein 3A OS=Homo sapiens OX=9606 GN=RIMBP3 PE=1 SV=4
+MAKDSPSPLGASPKKPGCSSPAAAVLENQRRELEKLRAELEAERAGWRAERRRFAARERQLREEAERERRQLADRLRSKWEAQRSRELRQLQEEMQREREAEIRQLLRWKEAEQRQLQQLLHRERDGVVRQARELQRQLAEELVNRGHCSRPGASEVSAAQCRCRLQEVLAQLRWQTDGEQAARIRYLQAALEVERQLFLKYILAHFRGHPALSGSPDPQAVHSLEEPLPQTSSGSCHAPKPACQLGSLDSLSAEVGVRSRSLGLVSSACSSSPDGLLSTHASSLDCFAPACSRSLDSTRSLPKASKSEERPSSPDTSTPGSRRLSPPPSPLPPPPPPSAHRKLSNPRGGEGSESQPCEVLTPSPPGLGHHELIKLNWLLAKALWVLARRCYTLQAENKQLRRAGCPYQADEKVKRLKVKRAELTGLARRLADRARKLQETNLRAVSAPIPGESCAGLELCQVFARQRARDLSEQASAPLAKDKQIEELRQECHLLQARVASGPCSDLHTGRGGPCTQWLNVRDLDRLQRESQREVLRLQRQLMLQQGNGGAWPEAGGQSATCEEVRRQMLALERELDQRRRECQELGAQAAPARRRGEEAETQLQAALLKNAWLAEENGRLQAKTDWVRKVEAENSEVRGHLGRACQERDASGLIAEQLLQQAARGQDRQQQLQRDPQKALCDLHPSWKEIQALQCRPGHPPEQPWETSQMPESQVKGSRRPKFHARPEDYAVSQPNRDIQEKREASLEESPVALGESASVPQVSETVPASQPLSKKTSSQSNSSSEGSMWATVPSSPTLDRDTASEVDDLEPDSVSLALEMGGSAAPAAPKLKIFMAQYNYNPFEGPNDHPEGELPLTAGDYIYIFGDMDEDGFYEGELEDGRRGLVPSNFVEQIPDSYIPGCLPAKSPDLGPSQLPAGQDEALEEDSLLSGKAQGVVDRGLCQMVRVGSKTEVATEILDTKTEACQLGLLQSMGKQGLSRPLLGTKGVLRMAPMQLHLQNVTATSANITWVYSSHRHPHVVYLDDREHALTPAGVSCYTFQGLCPGTHYRARVEVRLPRDLLQVYWGTMSSTVTFDTLLAGPPYPPLDVLVERHASPGVLVVSWLPVTIDSAGSSNGVQVTGYAVYADGLKVCEVADATAGSTLLEFSQLQVPLTWQKVSVRTMSLCGESLDSVPAQIPEDFFMCHRWPETPPFSYTCGDPSTYRVTFPVCPQKLSLAPPSAKASPHNPGSCGEPQAKFLEAFFEEPPRRQSPVSNLGSEGECPSSGAGSQAQELAEAWEGCRKDLLFQKSPQNHRPPSVSDQPGEKENCSQHMGTSKSPAPGFIHLRTECGPRKEPCQEKAALERVLRQKQDAQGFTPPQLGASQQYASDFHNVLKEEQEALCLDLWGTERREERREPEPHSRQGQALGVKRGCQLHEPSSALCPAPSAKVIKMPRGGPQQLGTGANTPARVFVALSDYNPLVMSANLKAAEEELVFQKRQLLRVWGSQDTHDFYLSECNRQVGNIPGRLVAEMEVGTEQTDRRWRSPAQGNLPSVAHLEDFQGLTIPQGSSLVLQGNSKRLPLWTPKIMIAALDYDPGDGQMGGQGKGRLALRAGDVVMVYGPMDDQGFYYGELGGHRGLVPAHLLDHMSLHGH
+>DECOY_sp|Q9UFD9|RIM3A_HUMAN RIMS-binding protein 3A OS=Homo sapiens OX=9606 GN=RIMBP3 PE=1 SV=4
+HGHLSMHDLLHAPVLGRHGGLEGYYFGQDDMPGYVMVVDGARLALRGKGQGGMQGDGPDYDLAAIMIKPTWLPLRKSNGQLVLSSGQPITLGQFDELHAVSPLNGQAPSRWRRDTQETGVEMEAVLRGPINGVQRNCESLYFDHTDQSGWVRLLQRKQFVLEEEAAKLNASMVLPNYDSLAVFVRAPTNAGTGLQQPGGRPMKIVKASPAPCLASSPEHLQCGRKVGLAQGQRSHPEPERREERRETGWLDLCLAEQEEKLVNHFDSAYQQSAGLQPPTFGQADQKQRLVRELAAKEQCPEKRPGCETRLHIFGPAPSKSTGMHQSCNEKEGPQDSVSPPRHNQPSKQFLLDKRCGEWAEALEQAQSGAGSSPCEGESGLNSVPSQRRPPEEFFAELFKAQPEGCSGPNHPSAKASPPALSLKQPCVPFTVRYTSPDGCTYSFPPTEPWRHCMFFDEPIQAPVSDLSEGCLSMTRVSVKQWTLPVQLQSFELLTSGATADAVECVKLGDAYVAYGTVQVGNSSGASDITVPLWSVVLVGPSAHREVLVDLPPYPPGALLTDFTVTSSMTGWYVQLLDRPLRVEVRARYHTGPCLGQFTYCSVGAPTLAHERDDLYVVHPHRHSSYVWTINASTATVNQLHLQMPAMRLVGKTGLLPRSLGQKGMSQLLGLQCAETKTDLIETAVETKSGVRVMQCLGRDVVGQAKGSLLSDEELAEDQGAPLQSPGLDPSKAPLCGPIYSDPIQEVFNSPVLGRRGDELEGEYFGDEDMDGFIYIYDGATLPLEGEPHDNPGEFPNYNYQAMFIKLKPAAPAASGGMELALSVSDPELDDVESATDRDLTPSSPVTAWMSGESSSNSQSSTKKSLPQSAPVTESVQPVSASEGLAVPSEELSAERKEQIDRNPQSVAYDEPRAHFKPRRSGKVQSEPMQSTEWPQEPPHGPRCQLAQIEKWSPHLDCLAKQPDRQLQQQRDQGRAAQQLLQEAILGSADREQCARGLHGRVESNEAEVKRVWDTKAQLRGNEEALWANKLLAAQLQTEAEEGRRRAPAAQAGLEQCERRRQDLERELALMQRRVEECTASQGGAEPWAGGNGQQLMLQRQLRLVERQSERQLRDLDRVNLWQTCPGGRGTHLDSCPGSAVRAQLLHCEQRLEEIQKDKALPASAQESLDRARQRAFVQCLELGACSEGPIPASVARLNTEQLKRARDALRRALGTLEARKVKLRKVKEDAQYPCGARRLQKNEAQLTYCRRALVWLAKALLWNLKILEHHGLGPPSPTLVECPQSESGEGGRPNSLKRHASPPPPPPLPSPPPSLRRSGPTSTDPSSPREESKSAKPLSRTSDLSRSCAPAFCDLSSAHTSLLGDPSSSCASSVLGLSRSRVGVEASLSDLSGLQCAPKPAHCSGSSTQPLPEELSHVAQPDPSGSLAPHGRFHALIYKLFLQREVELAAQLYRIRAAQEGDTQWRLQALVEQLRCRCQAASVESAGPRSCHGRNVLEEALQRQLERAQRVVGDRERHLLQQLQRQEAEKWRLLQRIEAERERQMEEQLQRLERSRQAEWKSRLRDALQRREREAEERLQRERAAFRRREARWGAREAELEARLKELERRQNELVAAAPSSCGPKKPSAGLPSPSDKAM
+>sp|O95153|RIMB1_HUMAN Peripheral-type benzodiazepine receptor-associated protein 1 OS=Homo sapiens OX=9606 GN=TSPOAP1 PE=1 SV=2
+MEQLTTLPRPGDPGAMEPWALPTWHSWTPGRGGEPSSAAPSIADTPPAALQLQELRSEESSKPKGDGSSRPVGGTDPEGAEACLPSLGQQASSSGPACQRPEDEEVEAFLKAKLNMSFGDRPNLELLRALGELRQRCAILKEENQMLRKSSFPETEEKVRRLKRKNAELAVIAKRLEERARKLQETNLRVVSAPLPRPGTSLELCRKALARQRARDLSETASALLAKDKQIAALQRECRELQARLTLVGKEGPQWLHVRDFDRLLRESQREVLRLQRQIALRNQRETLPLPPSWPPGPALQARAGAPAPGAPGEATPQEDADNLPVILGEPEKEQRVQQLESELSKKRKKCESLEQEARKKQRRCEELELQLRQAQNENARLVEENSRLSGRATEKEQVEWENAELRGQLLGVTQERDSALRKSQGLQSKLESLEQVLKHMREVAQRRQQLEVEHEQARLSLREKQEEVRRLQQAQAEAQREHEGAVQLLESTLDSMQARVRELEEQCRSQTEQFSLLAQELQAFRLHPGPLDLLTSALDCGSLGDCPPPPCCCSIPQPCRGSGPKDLDLPPGSPGRCTPKSSEPAPATLTGVPRRTAKKAESLSNSSHSESIHNSPKSCPTPEVDTASEVEELEADSVSLLPAAPEGSRGGARIQVFLARYSYNPFEGPNENPEAELPLTAGEYIYIYGNMDEDGFFEGELMDGRRGLVPSNFVERVSDDDLLTSLPPELADLSHSSGPELSFLSVGGGGSSSGGQSSVGRSQPRPEEEDAGDELSLSPSPEGLGEPPAVPYPRRLVVLKQLAHSVVLAWEPPPEQVELHGFHICVNGELRQALGPGAPPKAVLENLDLWAGPLHISVQALTSRGSSDPLRCCLAVGARAGVVPSQLRVHRLTATSAEITWVPGNSNLAHAIYLNGEECPPASPSTYWATFCHLRPGTPYQAQVEAQLPPQGPWEPGWERLEQRAATLQFTTLPAGPPDAPLDVQIEPGPSPGILIISWLPVTIDAAGTSNGVRVTGYAIYADGQKIMEVASPTAGSVLVELSQLQLLQVCREVVVRTMSPHGESADSIPAPITPALAPASLPARVSCPSPHPSPEARAPLASASPGPGDPSSPLQHPAPLGTQEPPGAPPASPSREMAKGSHEDPPAPCSQEEAGAAVLGTSEERTASTSTLGEKDPGPAAPSLAKQEAEWTAGEACPASSSTQGARAQQAPNTEMCQGGDPGSGLRPRAEKEDTAELGVHLVNSLVDHGRNSDLSDIQEEEEEEEEEEEEELGSRTCSFQKQVAGNSIRENGAKSQPDPFCETDSDEEILEQILELPLQQFCSKKLFSIPEEEEEEEEDEEEEKSGAGCSSRDPGPPEPALLGLGCDSGQPRRPGQCPLSPESSRAGDCLEDMPGLVGGSSRRRGGGSPEKPPSRRRPPDPREHCSRLLSNNGPQASGRLGPTRERGGLPVIEGPRTGLEASGRGRLGPSRRCSRGRALEPGLASCLSPKCLEISIEYDSEDEQEAGSGGISITSSCYPGDGEAWGTATVGRPRGPPKANSGPKPYPRLPAWEKGEPERRGRSATGRAKEPLSRATETGEARGQDGSGRRGPQKRGVRVLRPSTAELVPARSPSETLAYQHLPVRIFVALFDYDPVSMSPNPDAGEEELPFREGQILKVFGDKDADGFYQGEGGGRTGYIPCNMVAEVAVDSPAGRQQLLQRGYLSPDILLEGSGNGPFVYSTAHTTGPPPKPRRSKKAESEGPAQPCPGPPKLVPSADLKAPHSMVAAFDYNPQESSPNMDVEAELPFRAGDVITVFGGMDDDGFYYGELNGQRGLVPSNFLEGPGPEAGGLDREPRTPQAESQRTRRRRVQC
+>DECOY_sp|O95153|RIMB1_HUMAN Peripheral-type benzodiazepine receptor-associated protein 1 OS=Homo sapiens OX=9606 GN=TSPOAP1 PE=1 SV=2
+CQVRRRRTRQSEAQPTRPERDLGGAEPGPGELFNSPVLGRQGNLEGYYFGDDDMGGFVTIVDGARFPLEAEVDMNPSSEQPNYDFAAVMSHPAKLDASPVLKPPGPCPQAPGESEAKKSRRPKPPPGTTHATSYVFPGNGSGELLIDPSLYGRQLLQQRGAPSDVAVEAVMNCPIYGTRGGGEGQYFGDADKDGFVKLIQGERFPLEEEGADPNPSMSVPDYDFLAVFIRVPLHQYALTESPSRAPVLEATSPRLVRVGRKQPGRRGSGDQGRAEGTETARSLPEKARGTASRGRREPEGKEWAPLRPYPKPGSNAKPPGRPRGVTATGWAEGDGPYCSSTISIGGSGAEQEDESDYEISIELCKPSLCSALGPELARGRSCRRSPGLRGRGSAELGTRPGEIVPLGGRERTPGLRGSAQPGNNSLLRSCHERPDPPRRRSPPKEPSGGGRRRSSGGVLGPMDELCDGARSSEPSLPCQGPRRPQGSDCGLGLLAPEPPGPDRSSCGAGSKEEEEDEEEEEEEEPISFLKKSCFQQLPLELIQELIEEDSDTECFPDPQSKAGNERISNGAVQKQFSCTRSGLEEEEEEEEEEEEEQIDSLDSNRGHDVLSNVLHVGLEATDEKEARPRLGSGPDGGQCMETNPAQQARAGQTSSSAPCAEGATWEAEQKALSPAAPGPDKEGLTSTSATREESTGLVAAGAEEQSCPAPPDEHSGKAMERSPSAPPAGPPEQTGLPAPHQLPSSPDGPGPSASALPARAEPSPHPSPCSVRAPLSAPALAPTIPAPISDASEGHPSMTRVVVERCVQLLQLQSLEVLVSGATPSAVEMIKQGDAYIAYGTVRVGNSTGAADITVPLWSIILIGPSPGPEIQVDLPADPPGAPLTTFQLTAARQELREWGPEWPGQPPLQAEVQAQYPTGPRLHCFTAWYTSPSAPPCEEGNLYIAHALNSNGPVWTIEASTATLRHVRLQSPVVGARAGVALCCRLPDSSGRSTLAQVSIHLPGAWLDLNELVAKPPAGPGLAQRLEGNVCIHFGHLEVQEPPPEWALVVSHALQKLVVLRRPYPVAPPEGLGEPSPSLSLEDGADEEEPRPQSRGVSSQGGSSSGGGGVSLFSLEPGSSHSLDALEPPLSTLLDDDSVREVFNSPVLGRRGDMLEGEFFGDEDMNGYIYIYEGATLPLEAEPNENPGEFPNYSYRALFVQIRAGGRSGEPAAPLLSVSDAELEEVESATDVEPTPCSKPSNHISESHSSNSLSEAKKATRRPVGTLTAPAPESSKPTCRGPSGPPLDLDKPGSGRCPQPISCCCPPPPCDGLSGCDLASTLLDLPGPHLRFAQLEQALLSFQETQSRCQEELERVRAQMSDLTSELLQVAGEHERQAEAQAQQLRRVEEQKERLSLRAQEHEVELQQRRQAVERMHKLVQELSELKSQLGQSKRLASDREQTVGLLQGRLEANEWEVQEKETARGSLRSNEEVLRANENQAQRLQLELEECRRQKKRAEQELSECKKRKKSLESELQQVRQEKEPEGLIVPLNDADEQPTAEGPAGPAPAGARAQLAPGPPWSPPLPLTERQNRLAIQRQLRLVERQSERLLRDFDRVHLWQPGEKGVLTLRAQLERCERQLAAIQKDKALLASATESLDRARQRALAKRCLELSTGPRPLPASVVRLNTEQLKRAREELRKAIVALEANKRKLRRVKEETEPFSSKRLMQNEEKLIACRQRLEGLARLLELNPRDGFSMNLKAKLFAEVEEDEPRQCAPGSSSAQQGLSPLCAEAGEPDTGGVPRSSGDGKPKSSEESRLEQLQLAAPPTDAISPAASSPEGGRGPTWSHWTPLAWPEMAGPDGPRPLTTLQEM
+>sp|P62913|RL11_HUMAN 60S ribosomal protein L11 OS=Homo sapiens OX=9606 GN=RPL11 PE=1 SV=2
+MAQDQGEKENPMRELRIRKLCLNICVGESGDRLTRAAKVLEQLTGQTPVFSKARYTVRSFGIRRNEKIAVHCTVRGAKAEEILEKGLKVREYELRKNNFSDTGNFGFGIQEHIDLGIKYDPSIGIYGLDFYVVLGRPGFSIADKKRRTGCIGAKHRISKEEAMRWFQQKYDGIILPGK
+>DECOY_sp|P62913|RL11_HUMAN 60S ribosomal protein L11 OS=Homo sapiens OX=9606 GN=RPL11 PE=1 SV=2
+KGPLIIGDYKQQFWRMAEEKSIRHKAGICGTRRKKDAISFGPRGLVVYFDLGYIGISPDYKIGLDIHEQIGFGFNGTDSFNNKRLEYERVKLGKELIEEAKAGRVTCHVAIKENRRIGFSRVTYRAKSFVPTQGTLQELVKAARTLRDGSEGVCINLCLKRIRLERMPNEKEGQDQAM
+>sp|A0A1B0GV85|RELD1_HUMAN Reelin domain-containing protein 1 OS=Homo sapiens OX=9606 GN=REELD1 PE=2 SV=2
+MRMQAALVGWACTTLCLASCSSAFSHGASTVACDDMQPKHIQAQPQHQDSHHITIHTHRTSYAPGDKIPVTVRSSRDFMGFLLQARRVSDHQIAGTFVLIPPHSKLMTCFQEADAVTHSDKSLKRNLSFVWKAPAQPVGDIKFLLSVVQSYFVYWARIESSVVSQQTHSSAHSDDRMEPRLLMPNLHQRLGDVEGAAPAPRTPITLPQQHTHVFAVALPGAAEEDNLDPVPASIWVTKFPGDAETLSQPSSHTATEGSINQQPSGDSNPTLEPSLEVHRLERLVALKRVSSESFASSLSTHHRTQDDPSFDSLETCLSSDGGEQDKTKASNRTVTQPPLSTVQLTYPQCLWSSETFTGNGVRASNPIPVLQTSGTSGLPAAGDQSEASRASASFLPQSKHKELRAGKGNGEGGVGYPRQTNPRPDIGLEGAQAPLGIQLRTPQLGILLCLSATLGMALAAGLRYLHTQYCHQQTEVSFSEPASDAVARSNSGETVHVRKIGENSFVLVQAEYNWITPSVGSKKTVL
+>DECOY_sp|A0A1B0GV85|RELD1_HUMAN Reelin domain-containing protein 1 OS=Homo sapiens OX=9606 GN=REELD1 PE=2 SV=2
+LVTKKSGVSPTIWNYEAQVLVFSNEGIKRVHVTEGSNSRAVADSAPESFSVETQQHCYQTHLYRLGAALAMGLTASLCLLIGLQPTRLQIGLPAQAGELGIDPRPNTQRPYGVGGEGNGKGARLEKHKSQPLFSASARSAESQDGAAPLGSTGSTQLVPIPNSARVGNGTFTESSWLCQPYTLQVTSLPPQTVTRNSAKTKDQEGGDSSLCTELSDFSPDDQTRHHTSLSSAFSESSVRKLAVLRELRHVELSPELTPNSDGSPQQNISGETATHSSPQSLTEADGPFKTVWISAPVPDLNDEEAAGPLAVAFVHTHQQPLTIPTRPAPAAGEVDGLRQHLNPMLLRPEMRDDSHASSHTQQSVVSSEIRAWYVFYSQVVSLLFKIDGVPQAPAKWVFSLNRKLSKDSHTVADAEQFCTMLKSHPPILVFTGAIQHDSVRRAQLLFGMFDRSSRVTVPIKDGPAYSTRHTHITIHHSDQHQPQAQIHKPQMDDCAVTSAGHSFASSCSALCLTTCAWGVLAAQMRM
+>sp|Q92785|REQU_HUMAN Zinc finger protein ubi-d4 OS=Homo sapiens OX=9606 GN=DPF2 PE=1 SV=2
+MAAVVENVVKLLGEQYYKDAMEQCHNYNARLCAERSVRLPFLDSQTGVAQSNCYIWMEKRHRGPGLASGQLYSYPARRWRKKRRAHPPEDPRLSFPSIKPDTDQTLKKEGLISQDGSSLEALLRTDPLEKRGAPDPRVDDDSLGEFPVTNSRARKRILEPDDFLDDLDDEDYEEDTPKRRGKGKSKGKGVGSARKKLDASILEDRDKPYACDICGKRYKNRPGLSYHYAHSHLAEEEGEDKEDSQPPTPVSQRSEEQKSKKGPDGLALPNNYCDFCLGDSKINKKTGQPEELVSCSDCGRSGHPSCLQFTPVMMAAVKTYRWQCIECKCCNICGTSENDDQLLFCDDCDRGYHMYCLTPSMSEPPEGSWSCHLCLDLLKEKASIYQNQNSS
+>DECOY_sp|Q92785|REQU_HUMAN Zinc finger protein ubi-d4 OS=Homo sapiens OX=9606 GN=DPF2 PE=1 SV=2
+SSNQNQYISAKEKLLDLCLHCSWSGEPPESMSPTLCYMHYGRDCDDCFLLQDDNESTGCINCCKCEICQWRYTKVAAMMVPTFQLCSPHGSRGCDSCSVLEEPQGTKKNIKSDGLCFDCYNNPLALGDPGKKSKQEESRQSVPTPPQSDEKDEGEEEALHSHAYHYSLGPRNKYRKGCIDCAYPKDRDELISADLKKRASGVGKGKSKGKGRRKPTDEEYDEDDLDDLFDDPELIRKRARSNTVPFEGLSDDDVRPDPAGRKELPDTRLLAELSSGDQSILGEKKLTQDTDPKISPFSLRPDEPPHARRKKRWRRAPYSYLQGSALGPGRHRKEMWIYCNSQAVGTQSDLFPLRVSREACLRANYNHCQEMADKYYQEGLLKVVNEVVAAM
+>sp|Q9BQ08|RETNB_HUMAN Resistin-like beta OS=Homo sapiens OX=9606 GN=RETNLB PE=2 SV=1
+MGPSSCLLLILIPLLQLINPGSTQCSLDSVMDKKIKDVLNSLEYSPSPISKKLSCASVKSQGRPSSCPAGMAVTGCACGYGCGSWDVQLETTCHCQCSVVDWTTARCCHLT
+>DECOY_sp|Q9BQ08|RETNB_HUMAN Resistin-like beta OS=Homo sapiens OX=9606 GN=RETNLB PE=2 SV=1
+TLHCCRATTWDVVSCQCHCTTELQVDWSGCGYGCACGTVAMGAPCSSPRGQSKVSACSLKKSIPSPSYELSNLVDKIKKDMVSDLSCQTSGPNILQLLPILILLLCSSPGM
+>sp|O60673|REV3L_HUMAN DNA polymerase zeta catalytic subunit OS=Homo sapiens OX=9606 GN=REV3L PE=1 SV=2
+MFSVRIVTADYYMASPLQGLDTCQSPLTQAPVKKVPVVRVFGATPAGQKTCLHLHGIFPYLYVPYDGYGQQPESYLSQMAFSIDRALNVALGNPSSTAQHVFKVSLVSGMPFYGYHEKERHFMKIYLYNPTMVKRICELLQSGAIMNKFYQPHEAHIPYLLQLFIDYNLYGMNLINLAAVKFRKARRKSNTLHATGSCKNHLSGNSLADTLFRWEQDEIPSSLILEGVEPQSTCELEVDAVAADILNRLDIEAQIGGNPGLQAIWEDEKQRRRNRNETSQMSQPESQDHRFVPATESEKKFQKRLQEILKQNDFSVTLSGSVDYSDGSQEFSAELTLHSEVLSPEMLQCTPANMVEVHKDKESSKGHTRHKVEEALINEEAILNLMENSQTFQPLTQRLSESPVFMDSSPDEALVHLLAGLESDGYRGERNRMPSPCRSFGNNKYPQNSDDEENEPQIEKEEMELSLVMSQRWDSNIEEHCAKKRSLCRNTHRSSTEDDDSSSGEEMEWSDNSLLLASLSIPQLDGTADENSDNPLNNENSRTHSSVIATSKLSVKPSIFHKDAATLEPSSSAKITFQCKHTSALSSHVLNKEDLIEDLSQTNKNTEKGLDNSVTSFTNESTYSMKYPGSLSSTVHSENSHKENSKKEILPVSSCESSIFDYEEDIPSVTRQVPSRKYTNIRKIEKDSPFIHMHRHPNENTLGKNSFNFSDLNHSKNKVSSEGNEKGNSTALSSLFPSSFTENCELLSCSGENRTMVHSLNSTADESGLNKLKIRYEEFQEHKTEKPSLSQQAAHYMFFPSVVLSNCLTRPQKLSPVTYKLQPGNKPSRLKLNKRKLAGHQETSTKSSETGSTKDNFIQNNPCNSNPEKDNALASDLTKTTRGAFENKTPTDGFIDCHFGDGTLETEQSFGLYGNKYTLRAKRKVNYETEDSESSFVTHNSKISLPHPMEIGESLDGTLKSRKRRKMSKKLPPVIIKYIIINRFRGRKNMLVKLGKIDSKEKQVILTEEKMELYKKLAPLKDFWPKVPDSPATKYPIYPLTPKKSHRRKSKHKSAKKKTGKQQRTNNENIKRTLSFRKKRSHAILSPPSPSYNAETEDCDLNYSDVMSKLGFLSERSTSPINSSPPRCWSPTDPRAEEIMAAAEKEAMLFKGPNVYKKTVNSRIGKTSRARAQIKKSKAKLANPSIVTKKRNKRNQTNKLVDDGKKKPRAKQKTNEKGTSRKHTTLKDEKIKSQSGAEVKFVLKHQNVSEFASSSGGSQLLFKQKDMPLMGSAVDHPLSASLPTGINAQQKLSGCFSSFLESKKSVDLQTFPSSRDDLHPSVVCNSIGPGVSKINVQRPHNQSAMFTLKESTLIQKNIFDLSNHLSQVAQNTQISSGMSSKIEDNANNIQRNYLSSIGKLSEYRNSLESKLDQAYTPNFLHCKDSQQQIVCIAEQSKHSETCSPGNTASEESQMPNNCFVTSLRSPIKQIAWEQKQRGFILDMSNFKPERVKPRSLSEAISQTKALSQCKNRNVSTPSAFGEGQSGLAVLKELLQKRQQKAQNANTTQDPLSNKHQPNKNISGSLEHNKANKRTRSVTSPRKPRTPRSTKQKEKIPKLLKVDSLNLQNSSQLDNSVSDDSPIFFSDPGFESCYSLEDSLSPEHNYNFDINTIGQTGFCSFYSGSQFVPADQNLPQKFLSDAVQDLFPGQAIEKNEFLSHDNQKCDEDKHHTTDSASWIRSGTLSPEIFEKSTIDSNENRRHNQWKNSFHPLTTRSNSIMDSFCVQQAEDCLSEKSRLNRSSVSKEVFLSLPQPNNSDWIQGHTRKEMGQSLDSANTSFTAILSSPDGELVDVACEDLELYVSRNNDMLTPTPDSSPRSTSSPSQSKNGSFTPRTANILKPLMSPPSREEIMATLLDHDLSETIYQEPFCSNPSDVPEKPREIGGRLLMVETRLANDLAEFEGDFSLEGLRLWKTAFSAMTQNPRPGSPLRSGQGVVNKGSSNSPKMVEDKKIVIMPCKCAPSRQLVQVWLQAKEEYERSKKLPKTKPTGVVKSAENFSSSVNPDDKPVVPPKMDVSPCILPTTAHTKEDVDNSQIALQAPTTGCSQTASESQMLPPVASASDPEKDEDDDDNYYISYSSPDSPVIPPWQQPISPDSKALNGDDRPSSPVEELPSLAFENFLKPIKDGIQKSPCSEPQEPLVISPINTRARTGKCESLCFHSTPIIQRKLLERLPEAPGLSPLSTEPKTQKLSNKKGSNTDTLRRVLLTQAKNQFAAVNTPQKETSQIDGPSLNNTYGFKVSIQNLQEAKALHEIQNLTLISVELHARTRRDLEPDPEFDPICALFYCISSDTPLPDTEKTELTGVIVIDKDKTVFSQDIRYQTPLLIRSGITGLEVTYAADEKALFHEIANIIKRYDPDILLGYEIQMHSWGYLLQRAAALSIDLCRMISRVPDDKIENRFAAERDEYGSYTMSEINIVGRITLNLWRIMRNEVALTNYTFENVSFHVLHQRFPLFTFRVLSDWFDNKTDLYRWKMVDHYVSRVRGNLQMLEQLDLIGKTSEMARLFGIQFLHVLTRGSQYRVESMMLRIAKPMNYIPVTPSVQQRSQMRAPQCVPLIMEPESRFYSNSVLVLDFQSLYPSIVIAYNYCFSTCLGHVENLGKYDEFKFGCTSLRVPPDLLYQVRHDITVSPNGVAFVKPSVRKGVLPRMLEEILKTRFMVKQSMKAYKQDRALSRMLDARQLGLKLIANVTFGYTSANFSGRMPCIEVGDSIVHKARETLERAIKLVNDTKKWGARVVYGDTDSMFVLLKGATKEQSFKIGQEIAEAVTATNPKPVKLKFEKVYLPCVLQTKKRYVGYMYETLDQKDPVFDAKGIETVRRDSCPAVSKILERSLKLLFETRDISLIKQYVQRQCMKLLEGKASIQDFIFAKEYRGSFSYKPGACVPALELTRKMLTYDRRSEPQVGERVPYVIIYGTPGVPLIQLVRRPVEVLQDPTLRLNATYYITKQILPPLARIFSLIGIDVFSWYHELPRIHKATSSSRSEPEGRKGTISQYFTTLHCPVCDDLTQHGICSKCRSQPQHVAVILNQEIRELERQQEQLVKICKNCTGCFDRHIPCVSLNCPVLFKLSRVNRELSKAPYLRQLLDQF
+>DECOY_sp|O60673|REV3L_HUMAN DNA polymerase zeta catalytic subunit OS=Homo sapiens OX=9606 GN=REV3L PE=1 SV=2
+FQDLLQRLYPAKSLERNVRSLKFLVPCNLSVCPIHRDFCGTCNKCIKVLQEQQRELERIEQNLIVAVHQPQSRCKSCIGHQTLDDCVPCHLTTFYQSITGKRGEPESRSSSTAKHIRPLEHYWSFVDIGILSFIRALPPLIQKTIYYTANLRLTPDQLVEVPRRVLQILPVGPTGYIIVYPVREGVQPESRRDYTLMKRTLELAPVCAGPKYSFSGRYEKAFIFDQISAKGELLKMCQRQVYQKILSIDRTEFLLKLSRELIKSVAPCSDRRVTEIGKADFVPDKQDLTEYMYGVYRKKTQLVCPLYVKEFKLKVPKPNTATVAEAIEQGIKFSQEKTAGKLLVFMSDTDGYVVRAGWKKTDNVLKIARELTERAKHVISDGVEICPMRGSFNASTYGFTVNAILKLGLQRADLMRSLARDQKYAKMSQKVMFRTKLIEELMRPLVGKRVSPKVFAVGNPSVTIDHRVQYLLDPPVRLSTCGFKFEDYKGLNEVHGLCTSFCYNYAIVISPYLSQFDLVLVSNSYFRSEPEMILPVCQPARMQSRQQVSPTVPIYNMPKAIRLMMSEVRYQSGRTLVHLFQIGFLRAMESTKGILDLQELMQLNGRVRSVYHDVMKWRYLDTKNDFWDSLVRFTFLPFRQHLVHFSVNEFTYNTLAVENRMIRWLNLTIRGVINIESMTYSGYEDREAAFRNEIKDDPVRSIMRCLDISLAAARQLLYGWSHMQIEYGLLIDPDYRKIINAIEHFLAKEDAAYTVELGTIGSRILLPTQYRIDQSFVTKDKDIVIVGTLETKETDPLPTDSSICYFLACIPDFEPDPELDRRTRAHLEVSILTLNQIEHLAKAEQLNQISVKFGYTNNLSPGDIQSTEKQPTNVAAFQNKAQTLLVRRLTDTNSGKKNSLKQTKPETSLPSLGPAEPLRELLKRQIIPTSHFCLSECKGTRARTNIPSIVLPEQPESCPSKQIGDKIPKLFNEFALSPLEEVPSSPRDDGNLAKSDPSIPQQWPPIVPSDPSSYSIYYNDDDDEDKEPDSASAVPPLMQSESATQSCGTTPAQLAIQSNDVDEKTHATTPLICPSVDMKPPVVPKDDPNVSSSFNEASKVVGTPKTKPLKKSREYEEKAQLWVQVLQRSPACKCPMIVIKKDEVMKPSNSSGKNVVGQGSRLPSGPRPNQTMASFATKWLRLGELSFDGEFEALDNALRTEVMLLRGGIERPKEPVDSPNSCFPEQYITESLDHDLLTAMIEERSPPSMLPKLINATRPTFSGNKSQSPSSTSRPSSDPTPTLMDNNRSVYLELDECAVDVLEGDPSSLIATFSTNASDLSQGMEKRTHGQIWDSNNPQPLSLFVEKSVSSRNLRSKESLCDEAQQVCFSDMISNSRTTLPHFSNKWQNHRRNENSDITSKEFIEPSLTGSRIWSASDTTHHKDEDCKQNDHSLFENKEIAQGPFLDQVADSLFKQPLNQDAPVFQSGSYFSCFGTQGITNIDFNYNHEPSLSDELSYCSEFGPDSFFIPSDDSVSNDLQSSNQLNLSDVKLLKPIKEKQKTSRPTRPKRPSTVSRTRKNAKNHELSGSINKNPQHKNSLPDQTTNANQAKQQRKQLLEKLVALGSQGEGFASPTSVNRNKCQSLAKTQSIAESLSRPKVREPKFNSMDLIFGRQKQEWAIQKIPSRLSTVFCNNPMQSEESATNGPSCTESHKSQEAICVIQQQSDKCHLFNPTYAQDLKSELSNRYESLKGISSLYNRQINNANDEIKSSMGSSIQTNQAVQSLHNSLDFINKQILTSEKLTFMASQNHPRQVNIKSVGPGISNCVVSPHLDDRSSPFTQLDVSKKSELFSSFCGSLKQQANIGTPLSASLPHDVASGMLPMDKQKFLLQSGGSSSAFESVNQHKLVFKVEAGSQSKIKEDKLTTHKRSTGKENTKQKARPKKKGDDVLKNTQNRKNRKKTVISPNALKAKSKKIQARARSTKGIRSNVTKKYVNPGKFLMAEKEAAAMIEEARPDTPSWCRPPSSNIPSTSRESLFGLKSMVDSYNLDCDETEANYSPSPPSLIAHSRKKRFSLTRKINENNTRQQKGTKKKASKHKSKRRHSKKPTLPYIPYKTAPSDPVKPWFDKLPALKKYLEMKEETLIVQKEKSDIKGLKVLMNKRGRFRNIIIYKIIVPPLKKSMKRRKRSKLTGDLSEGIEMPHPLSIKSNHTVFSSESDETEYNVKRKARLTYKNGYLGFSQETELTGDGFHCDIFGDTPTKNEFAGRTTKTLDSALANDKEPNSNCPNNQIFNDKTSGTESSKTSTEQHGALKRKNLKLRSPKNGPQLKYTVPSLKQPRTLCNSLVVSPFFMYHAAQQSLSPKETKHEQFEEYRIKLKNLGSEDATSNLSHVMTRNEGSCSLLECNETFSSPFLSSLATSNGKENGESSVKNKSHNLDSFNFSNKGLTNENPHRHMHIFPSDKEIKRINTYKRSPVQRTVSPIDEEYDFISSECSSVPLIEKKSNEKHSNESHVTSSLSGPYKMSYTSENTFSTVSNDLGKETNKNTQSLDEILDEKNLVHSSLASTHKCQFTIKASSSPELTAADKHFISPKVSLKSTAIVSSHTRSNENNLPNDSNEDATGDLQPISLSALLLSNDSWEMEEGSSSDDDETSSRHTNRCLSRKKACHEEINSDWRQSMVLSLEMEEKEIQPENEEDDSNQPYKNNGFSRCPSPMRNREGRYGDSELGALLHVLAEDPSSDMFVPSESLRQTLPQFTQSNEMLNLIAEENILAEEVKHRTHGKSSEKDKHVEVMNAPTCQLMEPSLVESHLTLEASFEQSGDSYDVSGSLTVSFDNQKLIEQLRKQFKKESETAPVFRHDQSEPQSMQSTENRNRRRQKEDEWIAQLGPNGGIQAEIDLRNLIDAAVADVELECTSQPEVGELILSSPIEDQEWRFLTDALSNGSLHNKCSGTAHLTNSKRRAKRFKVAALNILNMGYLNYDIFLQLLYPIHAEHPQYFKNMIAGSQLLECIRKVMTPNYLYIKMFHREKEHYGYFPMGSVLSVKFVHQATSSPNGLAVNLARDISFAMQSLYSEPQQGYGDYPVYLYPFIGHLHLCTKQGAPTAGFVRVVPVKKVPAQTLPSQCTDLGQLPSAMYYDATVIRVSFM
+>sp|P40938|RFC3_HUMAN Replication factor C subunit 3 OS=Homo sapiens OX=9606 GN=RFC3 PE=1 SV=2
+MSLWVDKYRPCSLGRLDYHKEQAAQLRNLVQCGDFPHLLVYGPSGAGKKTRIMCILRELYGVGVEKLRIEHQTITTPSKKKIEISTIASNYHLEVNPSDAGNSDRVVIQEMLKTVAQSQQLETNSQRDFKVVLLTEVDKLTKDAQHALRRTMEKYMSTCRLILCCNSTSKVIPPIRSRCLAVRVPAPSIEDICHVLSTVCKKEGLNLPSQLAHRLAEKSCRNLRKALLMCEACRVQQYPFTADQEIPETDWEVYLRETANAIVSQQTPQRLLEVRGRLYELLTHCIPPEIIMKGLLSELLHNCDGQLKGEVAQMAAYYEHRLQLGSKAIYHLEAFVAKFMALYKKFMEDGLEGMMF
+>DECOY_sp|P40938|RFC3_HUMAN Replication factor C subunit 3 OS=Homo sapiens OX=9606 GN=RFC3 PE=1 SV=2
+FMMGELGDEMFKKYLAMFKAVFAELHYIAKSGLQLRHEYYAAMQAVEGKLQGDCNHLLESLLGKMIIEPPICHTLLEYLRGRVELLRQPTQQSVIANATERLYVEWDTEPIEQDATFPYQQVRCAECMLLAKRLNRCSKEALRHALQSPLNLGEKKCVTSLVHCIDEISPAPVRVALCRSRIPPIVKSTSNCCLILRCTSMYKEMTRRLAHQADKTLKDVETLLVVKFDRQSNTELQQSQAVTKLMEQIVVRDSNGADSPNVELHYNSAITSIEIKKKSPTTITQHEIRLKEVGVGYLERLICMIRTKKGAGSPGYVLLHPFDGCQVLNRLQAAQEKHYDLRGLSCPRYKDVWLSM
+>sp|Q8TAC1|RFESD_HUMAN Rieske domain-containing protein OS=Homo sapiens OX=9606 GN=RFESD PE=1 SV=1
+MNLDGSAQDPEKREYSSVCVGREDDIKKSERMTAVVHDREVVIFYHKGEYHAMDIRCYHSGGPLHLGDIEDFDGRPCIVCPWHKYKITLATGEGLYQSINPKDPSAKPKWCSKGIKQRIHTVTVDNGNIYVTLSNEPFKCDSDFYATGDFKVIKSSS
+>DECOY_sp|Q8TAC1|RFESD_HUMAN Rieske domain-containing protein OS=Homo sapiens OX=9606 GN=RFESD PE=1 SV=1
+SSSKIVKFDGTAYFDSDCKFPENSLTVYINGNDVTVTHIRQKIGKSCWKPKASPDKPNISQYLGEGTALTIKYKHWPCVICPRGDFDEIDGLHLPGGSHYCRIDMAHYEGKHYFIVVERDHVVATMRESKKIDDERGVCVSSYERKEPDQASGDLNM
+>sp|Q9BXF6|RFIP5_HUMAN Rab11 family-interacting protein 5 OS=Homo sapiens OX=9606 GN=RAB11FIP5 PE=1 SV=1
+MALVRGAEPAAGPSRWLPTHVQVTVLRARGLRGKSSGAGSTSDAYTVIQVGREKYSTSVVEKTHGCPEWREECSFELPPGALDGLLRAQEADAGPAPWAASSAAACELVLTTMHRSLIGVDKFLGQATVALDEVFGAGRAQHTQWYKLHSKPGKKEKERGEIEVTIQFTRNNLSASMFDLSMKDKPRSPFSKIRDKMKGKKKYDLESASAILPSSAIEDPDLGSLGKMGKAKGFFLRNKLRKSSLTQSNTSLGSDSTLSSASGSLAYQGPGAELLTRSPSRSSWLSTEGGRDSAQSPKLFTHKRTYSDEANQMRVAPPRALLDLQGHLDAASRSSLCVNGSHIYNEEPQGPVRHRSSISGSLPSSGSLQAVSSRFSEEGPRSTDDTWPRGSRSNSSSEAVLGQEELSAQAKVLAPGASHPGEEEGARLPEGKPVQVATPIVASSEAVAEKEGARKEERKPRMGLFHHHHQGLSRSELGRRSSLGEKGGPILGASPHHSSSGEEKAKSSWFGLREAKDPTQKPSPHPVKPLSAAPVEGSPDRKQSRSSLSIALSSGLEKLKTVTSGSIQPVTQAPQAGQMVDTKRLKDSAVLDQSAKYYHLTHDELISLLLQRERELSQRDEHVQELESYIDRLLVRIMETSPTLLQIPPGPPK
+>DECOY_sp|Q9BXF6|RFIP5_HUMAN Rab11 family-interacting protein 5 OS=Homo sapiens OX=9606 GN=RAB11FIP5 PE=1 SV=1
+KPPGPPIQLLTPSTEMIRVLLRDIYSELEQVHEDRQSLERERQLLLSILEDHTLHYYKASQDLVASDKLRKTDVMQGAQPAQTVPQISGSTVTKLKELGSSLAISLSSRSQKRDPSGEVPAASLPKVPHPSPKQTPDKAERLGFWSSKAKEEGSSSHHPSAGLIPGGKEGLSSRRGLESRSLGQHHHHFLGMRPKREEKRAGEKEAVAESSAVIPTAVQVPKGEPLRAGEEEGPHSAGPALVKAQASLEEQGLVAESSSNSRSGRPWTDDTSRPGEESFRSSVAQLSGSSPLSGSISSRHRVPGQPEENYIHSGNVCLSSRSAADLHGQLDLLARPPAVRMQNAEDSYTRKHTFLKPSQASDRGGETSLWSSRSPSRTLLEAGPGQYALSGSASSLTSDSGLSTNSQTLSSKRLKNRLFFGKAKGMKGLSGLDPDEIASSPLIASASELDYKKKGKMKDRIKSFPSRPKDKMSLDFMSASLNNRTFQITVEIEGREKEKKGPKSHLKYWQTHQARGAGFVEDLAVTAQGLFKDVGILSRHMTTLVLECAAASSAAWPAPGADAEQARLLGDLAGPPLEFSCEERWEPCGHTKEVVSTSYKERGVQIVTYADSTSGAGSSKGRLGRARLVTVQVHTPLWRSPGAAPEAGRVLAM
+>sp|Q6ZTI6|RFLA_HUMAN Refilin-A OS=Homo sapiens OX=9606 GN=RFLNA PE=1 SV=3
+MVGHLHLQGMEDSLKEQGREGLLDSPDSGLPPSPSPSPPFYSLAPGILDARAGGAGASSEPPGPSEARAPPSQLPNPPASEMRPRMLPVFFGESIKVNPEPTHEIRCNSEVKYASEKHFQDKVFYAPVPTVTAYSETIVAAPNCTWRNYRSQLTLEPRPRALRFRSTTIIFPKHARSTFRTTLHCSLGRPSRWFTASVQLQLCQDPAPSLLGPATL
+>DECOY_sp|Q6ZTI6|RFLA_HUMAN Refilin-A OS=Homo sapiens OX=9606 GN=RFLNA PE=1 SV=3
+LTAPGLLSPAPDQCLQLQVSATFWRSPRGLSCHLTTRFTSRAHKPFIITTSRFRLARPRPELTLQSRYNRWTCNPAAVITESYATVTPVPAYFVKDQFHKESAYKVESNCRIEHTPEPNVKISEGFFVPLMRPRMESAPPNPLQSPPARAESPGPPESSAGAGGARADLIGPALSYFPPSPSPSPPLGSDPSDLLGERGQEKLSDEMGQLHLHGVM
+>sp|Q9NX52|RHBL2_HUMAN Rhomboid-related protein 2 OS=Homo sapiens OX=9606 GN=RHBDL2 PE=1 SV=2
+MAAVHDLEMESMNLNMGREMKEELEEEEKMREDGGGKDRAKSKKVHRIVSKWMLPEKSRGTYLERANCFPPPVFIISISLAELAVFIYYAVWKPQKQWITLDTGILESPFIYSPEKREEAWRFISYMLVHAGVQHILGNLCMQLVLGIPLEMVHKGLRVGLVYLAGVIAGSLASSIFDPLRYLVGASGGVYALMGGYFMNVLVNFQEMIPAFGIFRLLIIILIIVLDMGFALYRRFFVPEDGSPVSFAAHIAGGFAGMSIGYTVFSCFDKALLKDPRFWIAIAAYLACVLFAVFFNIFLSPAN
+>DECOY_sp|Q9NX52|RHBL2_HUMAN Rhomboid-related protein 2 OS=Homo sapiens OX=9606 GN=RHBDL2 PE=1 SV=2
+NAPSLFINFFVAFLVCALYAAIAIWFRPDKLLAKDFCSFVTYGISMGAFGGAIHAAFSVPSGDEPVFFRRYLAFGMDLVIILIIILLRFIGFAPIMEQFNVLVNMFYGGMLAYVGGSAGVLYRLPDFISSALSGAIVGALYVLGVRLGKHVMELPIGLVLQMCLNGLIHQVGAHVLMYSIFRWAEERKEPSYIFPSELIGTDLTIWQKQPKWVAYYIFVALEALSISIIFVPPPFCNARELYTGRSKEPLMWKSVIRHVKKSKARDKGGGDERMKEEEELEEKMERGMNLNMSEMELDHVAAM
+>sp|Q6ZWK4|RHEX_HUMAN Regulator of hemoglobinization and erythroid cell expansion protein OS=Homo sapiens OX=9606 GN=RHEX PE=1 SV=1
+MLTEVMEVWHGLVIAVVSLFLQACFLTAINYLLSRHMAHKSEQILKAASLQVPRPSPGHHHPPAVKEMKETQTERDIPMSDSLYRHDSDTPSDSLDSSCSSPPACQATEDVDYTQVVFSDPGELKNDSPLDYENIKEITDYVNVNPERHKPSFWYFVNPALSEPAEYDQVAM
+>DECOY_sp|Q6ZWK4|RHEX_HUMAN Regulator of hemoglobinization and erythroid cell expansion protein OS=Homo sapiens OX=9606 GN=RHEX PE=1 SV=1
+MAVQDYEAPESLAPNVFYWFSPKHREPNVNVYDTIEKINEYDLPSDNKLEGPDSFVVQTYDVDETAQCAPPSSCSSDLSDSPTDSDHRYLSDSMPIDRETQTEKMEKVAPPHHHGPSPRPVQLSAAKLIQESKHAMHRSLLYNIATLFCAQLFLSVVAIVLGHWVEMVETLM
+>sp|Q9BRR9|RHG09_HUMAN Rho GTPase-activating protein 9 OS=Homo sapiens OX=9606 GN=ARHGAP9 PE=1 SV=2
+MLSSRWWPSSWGILGLGPRSPPRGSQLCALYAFTYTGADGQQVSLAEGDRFLLLRKTNSDWWLARRLEAPSTSRPIFVPAAYMIEESIPSQSPTTVIPGQLLWTPGPKLFHGSLEELSQALPSRAQASSEQPPPLPRKMCRSVSTDNLSPSLLKPFQEGPSGRSLSQEDLPSEASASTAGPQPLMSEPPVYCNLVDLRRCPRSPPPGPACPLLQRLDAWEQHLDPNSGRCFYINSLTGCKSWKPPRRSRSETNPGSMEGTQTLKRNNDVLQPQAKGFRSDTGTPEPLDPQGSLSLSQRTSQLDPPALQAPRPLPQLLDDPHEVEKSGLLNMTKIAQGGRKLRKNWGPSWVVLTGNSLVFYREPPPTAPSSGWGPAGSRPESSVDLRGAALAHGRHLSSRRNVLHIRTIPGHEFLLQSDHETELRAWHRALRTVIERLVRWVEARREAPTGRDQGSGDRENPLELRLSGSGPAELSAGEDEEEESELVSKPLLRLSSRRSSIRGPEGTEQNRVRNKLKRLIAKRPPLQSLQERGLLRDQVFGCQLESLCQREGDTVPSFLRLCIAAVDKRGLDVDGIYRVSGNLAVVQKLRFLVDRERAVTSDGRYVFPEQPGQEGRLDLDSTEWDDIHVVTGALKLFLRELPQPLVPPLLLPHFRAALALSESEQCLSQIQELIGSMPKPNHDTLRYLLEHLCRVIAHSDKNRMTPHNLGIVFGPTLFRPEQETSDPAAHALYPGQLVQLMLTNFTSLFP
+>DECOY_sp|Q9BRR9|RHG09_HUMAN Rho GTPase-activating protein 9 OS=Homo sapiens OX=9606 GN=ARHGAP9 PE=1 SV=2
+PFLSTFNTLMLQVLQGPYLAHAAPDSTEQEPRFLTPGFVIGLNHPTMRNKDSHAIVRCLHELLYRLTDHNPKPMSGILEQIQSLCQESESLALAARFHPLLLPPVLPQPLERLFLKLAGTVVHIDDWETSDLDLRGEQGPQEPFVYRGDSTVARERDVLFRLKQVVALNGSVRYIGDVDLGRKDVAAICLRLFSPVTDGERQCLSELQCGFVQDRLLGREQLSQLPPRKAILRKLKNRVRNQETGEPGRISSRRSSLRLLPKSVLESEEEEDEGASLEAPGSGSLRLELPNERDGSGQDRGTPAERRAEVWRVLREIVTRLARHWARLETEHDSQLLFEHGPITRIHLVNRRSSLHRGHALAAGRLDVSSEPRSGAPGWGSSPATPPPERYFVLSNGTLVVWSPGWNKRLKRGGQAIKTMNLLGSKEVEHPDDLLQPLPRPAQLAPPDLQSTRQSLSLSGQPDLPEPTGTDSRFGKAQPQLVDNNRKLTQTGEMSGPNTESRSRRPPKWSKCGTLSNIYFCRGSNPDLHQEWADLRQLLPCAPGPPPSRPCRRLDVLNCYVPPESMLPQPGATSASAESPLDEQSLSRGSPGEQFPKLLSPSLNDTSVSRCMKRPLPPPQESSAQARSPLAQSLEELSGHFLKPGPTWLLQGPIVTTPSQSPISEEIMYAAPVFIPRSTSPAELRRALWWDSNTKRLLLFRDGEALSVQQGDAGTYTFAYLACLQSGRPPSRPGLGLIGWSSPWWRSSLM
+>sp|Q8N392|RHG18_HUMAN Rho GTPase-activating protein 18 OS=Homo sapiens OX=9606 GN=ARHGAP18 PE=1 SV=3
+MSWLSSSQGVVLTAYHPSGKDQTVGNSHAKAGEEATSSRRYGQYTMNQESTTIKVMEKPPFDRSISQDSLDELSMEDYWIELENIKKSSENSQEDQEVVVVKEPDEGELEEEWLKEAGLSNLFGESAGDPQESIVFLSTLTRTQAAAVQKRVETVSQTLRKKNKQYQIPDVRDIFAQQRESKETAPGGTESQSLRTNENKYQGRDDEASNLVGEEKLIPPEETPAPETDINLEVSFAEQALNQKESSKEKIQKSKGDDATLPSFRLPKDKTGTTRIGDLAPQDMKKVCHLALIELTALYDVLGIELKQQKAVKIKTKDSGLFCVPLTALLEQDQRKVPGMRIPLIFQKLISRIEERGLETEGLLRIPGAAIRIKNLCQELEAKFYEGTFNWESVKQHDAASLLKLFIRELPQPLLSVEYLKAFQAVQNLPTKKQQLQALNLLVILLPDANRDTLKALLEFLQRVIDNKEKNKMTVMNVAMVMAPNLFMCHALGLKSSEQREFVMAAGTANTMHLLIKYQKLLWTIPKFIVNQVRKQNTENHKKDKRAMKKLLKKMAYDREKYEKQDKSTNDADVPQGVIRVQAPHLSKVSMAIQLTEELKASDVLARFLSQESGVAQTLKKGEVFLYEIGGNIGERCLDDDTYMKDLYQLNPNAEWVIKSKPL
+>DECOY_sp|Q8N392|RHG18_HUMAN Rho GTPase-activating protein 18 OS=Homo sapiens OX=9606 GN=ARHGAP18 PE=1 SV=3
+LPKSKIVWEANPNLQYLDKMYTDDDLCREGINGGIEYLFVEGKKLTQAVGSEQSLFRALVDSAKLEETLQIAMSVKSLHPAQVRIVGQPVDADNTSKDQKEYKERDYAMKKLLKKMARKDKKHNETNQKRVQNVIFKPITWLLKQYKILLHMTNATGAAMVFERQESSKLGLAHCMFLNPAMVMAVNMVTMKNKEKNDIVRQLFELLAKLTDRNADPLLIVLLNLAQLQQKKTPLNQVAQFAKLYEVSLLPQPLERIFLKLLSAADHQKVSEWNFTGEYFKAELEQCLNKIRIAAGPIRLLGETELGREEIRSILKQFILPIRMGPVKRQDQELLATLPVCFLGSDKTKIKVAKQQKLEIGLVDYLATLEILALHCVKKMDQPALDGIRTTGTKDKPLRFSPLTADDGKSKQIKEKSSEKQNLAQEAFSVELNIDTEPAPTEEPPILKEEGVLNSAEDDRGQYKNENTRLSQSETGGPATEKSERQQAFIDRVDPIQYQKNKKRLTQSVTEVRKQVAAAQTRTLTSLFVISEQPDGASEGFLNSLGAEKLWEEELEGEDPEKVVVVEQDEQSNESSKKINELEIWYDEMSLEDLSDQSISRDFPPKEMVKITTSEQNMTYQGYRRSSTAEEGAKAHSNGVTQDKGSPHYATLVVGQSSSLWSM
+>sp|Q9P227|RHG23_HUMAN Rho GTPase-activating protein 23 OS=Homo sapiens OX=9606 GN=ARHGAP23 PE=1 SV=2
+MNGVAFCLVGIPPRPEPRPPQLPLGPRDGCSPRRPFPWQGPRTLLLYKSPQDGFGFTLRHFIVYPPESAVHCSLKEEENGGRGGGPSPRYRLEPMDTIFVKNVKEDGPAHRAGLRTGDRLVKVNGESVIGKTYSQVIALIQNSDDTLELSIMPKDEDILQLAYSQDAYLKGNEPYSGEARSIPEPPPICYPRKTYAPPARASTRATMVPEPTSALPSDPRSPAAWSDPGLRVPPAARAHLDNSSLGMSQPRPSPGAFPHLSSEPRTPRAFPEPGSRVPPSRLECQQALSHWLSNQVPRRAGERRCPAMAPRARSASQDRLEEVAAPRPWPCSTSQDALSQLGQEGWHRARSDDYLSRATRSAEALGPGALVSPRFERCGWASQRSSARTPACPTRDLPGPQAPPPSGLQGLDDLGYIGYRSYSPSFQRRTGLLHALSFRDSPFGGLPTFNLAQSPASFPPEASEPPRVVRPEPSTRALEPPAEDRGDEVVLRQKPPTGRKVQLTPARQMNLGFGDESPEPEASGRGERLGRKVAPLATTEDSLASIPFIDEPTSPSIDLQAKHVPASAVVSSAMNSAPVLGTSPSSPTFTFTLGRHYSQDCSSIKAGRRSSYLLAITTERSKSCDDGLNTFRDEGRVLRRLPNRIPSLRMLRSFFTDGSLDSWGTSEDADAPSKRHSTSDLSDATFSDIRREGWLYYKQILTKKGKKAGSGLRQWKRVYAALRARSLSLSKERREPGPAAAGAAAAGAGEDEAAPVCIGSCLVDISYSETKRRHVFRLTTADFCEYLFQAEDRDDMLGWIRAIRENSRAEGEDPGCANQALISKKLNDYRKVSHSSGPKADSSPKGSRGLGGLKSEFLKQSAARGLRTQDLPAGSKDDSAAAPKTPWGINIIKKNKKAAPRAFGVRLEECQPATENQRVPLIVAACCRIVEARGLESTGIYRVPGNNAVVSSLQEQLNRGPGDINLQDERWQDLNVISSLLKSFFRKLPEPLFTDDKYNDFIEANRIEDARERMRTLRKLIRDLPGHYYETLKFLVGHLKTIADHSEKNKMEPRNLALVFGPTLVRTSEDNMTDMVTHMPDRYKIVETLIQHSDWFFSDEEDKGERTPVGDKEPQAVPNIEYLLPNIGRTVPPGDPGSDSTTCSSAKSKGSWAPKKEPYAREMLAISFISAVNRKRKKRREARGLGSSTDDDSEQEAHKPGAGATAPGTQERPQGPLPGAVAPEAPGRLSPPAAPEERPAADTRSIVSGYSTLSTMDRSVCSGASGRRAGAGDEADDERSELSHVETDTEGAAGAGPGGRLTRRPSFSSHHLMPCDTLARRRLARGRPDGEGAGRGGPRAPEPPGSASSSSQESLRPPAAALASRPSRMEALRLRLRGTADDMLAVRLRRPLSPETRRRRSSWRRHTVVVQSPLTDLNFNEWKELGGGGPPEPAGARAHSDNKDSGLSSLESTKARAPSSAASQPPAPGDTGSLQSQPPRRSAASRLHQCL
+>DECOY_sp|Q9P227|RHG23_HUMAN Rho GTPase-activating protein 23 OS=Homo sapiens OX=9606 GN=ARHGAP23 PE=1 SV=2
+LCQHLRSAASRRPPQSQLSGTDGPAPPQSAASSPARAKTSELSSLGSDKNDSHARAGAPEPPGGGGLEKWENFNLDTLPSQVVVTHRRWSSRRRRTEPSLPRRLRVALMDDATGRLRLRLAEMRSPRSALAAAPPRLSEQSSSSASGPPEPARPGGRGAGEGDPRGRALRRRALTDCPMLHHSSFSPRRTLRGGPGAGAAGETDTEVHSLESREDDAEDGAGARRGSAGSCVSRDMTSLTSYGSVISRTDAAPREEPAAPPSLRGPAEPAVAGPLPGQPREQTGPATAGAGPKHAEQESDDDTSSGLGRAERRKKRKRNVASIFSIALMERAYPEKKPAWSGKSKASSCTTSDSGPDGPPVTRGINPLLYEINPVAQPEKDGVPTREGKDEEDSFFWDSHQILTEVIKYRDPMHTVMDTMNDESTRVLTPGFVLALNRPEMKNKESHDAITKLHGVLFKLTEYYHGPLDRILKRLTRMRERADEIRNAEIFDNYKDDTFLPEPLKRFFSKLLSSIVNLDQWREDQLNIDGPGRNLQEQLSSVVANNGPVRYIGTSELGRAEVIRCCAAVILPVRQNETAPQCEELRVGFARPAAKKNKKIINIGWPTKPAAASDDKSGAPLDQTRLGRAASQKLFESKLGGLGRSGKPSSDAKPGSSHSVKRYDNLKKSILAQNACGPDEGEARSNERIARIWGLMDDRDEAQFLYECFDATTLRFVHRRKTESYSIDVLCSGICVPAAEDEGAGAAAAGAAAPGPERREKSLSLSRARLAAYVRKWQRLGSGAKKGKKTLIQKYYLWGERRIDSFTADSLDSTSHRKSPADADESTGWSDLSGDTFFSRLMRLSPIRNPLRRLVRGEDRFTNLGDDCSKSRETTIALLYSSRRGAKISSCDQSYHRGLTFTFTPSSPSTGLVPASNMASSVVASAPVHKAQLDISPSTPEDIFPISALSDETTALPAVKRGLREGRGSAEPEPSEDGFGLNMQRAPTLQVKRGTPPKQRLVVEDGRDEAPPELARTSPEPRVVRPPESAEPPFSAPSQALNFTPLGGFPSDRFSLAHLLGTRRQFSPSYSRYGIYGLDDLGQLGSPPPAQPGPLDRTPCAPTRASSRQSAWGCREFRPSVLAGPGLAEASRTARSLYDDSRARHWGEQGLQSLADQSTSCPWPRPAAVEELRDQSASRARPAMAPCRREGARRPVQNSLWHSLAQQCELRSPPVRSGPEPFARPTRPESSLHPFAGPSPRPQSMGLSSNDLHARAAPPVRLGPDSWAAPSRPDSPLASTPEPVMTARTSARAPPAYTKRPYCIPPPEPISRAEGSYPENGKLYADQSYALQLIDEDKPMISLELTDDSNQILAIVQSYTKGIVSEGNVKVLRDGTRLGARHAPGDEKVNKVFITDMPELRYRPSPGGGRGGNEEEKLSCHVASEPPYVIFHRLTFGFGDQPSKYLLLTRPGQWPFPRRPSCGDRPGLPLQPPRPEPRPPIGVLCFAVGNM
+>sp|Q9NRY4|RHG35_HUMAN Rho GTPase-activating protein 35 OS=Homo sapiens OX=9606 GN=ARHGAP35 PE=1 SV=3
+MMMARKQDVRIPTYNISVVGLSGTEKEKGQCGIGKSCLCNRFVRPSADEFHLDHTSVLSTSDFGGRVVNNDHFLYWGEVSRSLEDCVECKMHIVEQTEFIDDQTFQPHRSTALQPYIKRAAATKLASAEKLMYFCTDQLGLEQDFEQKQMPDGKLLVDGFLLGIDVSRGMNRNFDDQLKFVSNLYNQLAKTKKPIVVVLTKCDEGVERYIRDAHTFALSKKNLQVVETSARSNVNVDLAFSTLVQLIDKSRGKTKIIPYFEALKQQSQQIATAKDKYEWLVSRIVKNHNENWLSVSRKMQASPEYQDYVYLEGTQKAKKLFLQHIHRLKHEHIERRRKLYLAALPLAFEALIPNLDEIDHLSCIKAKKLLETKPEFLKWFVVLEETPWDATSHIDNMENERIPFDLMDTVPAEQLYEAHLEKLRNERKRVEMRRAFKENLETSPFITPGKPWEEARSFIMNEDFYQWLEESVYMDIYGKHQKQIIDKAKEEFQELLLEYSELFYELELDAKPSKEKMGVIQDVLGEEQRFKALQKLQAERDALILKHIHFVYHPTKETCPSCPACVDAKIEHLISSRFIRPSDRNQKNSLSDPNIDRINLVILGKDGLARELANEIRALCTNDDKYVIDGKMYELSLRPIEGNVRLPVNSFQTPTFQPHGCLCLYNSKESLSYVVESIEKSRESTLGRRDNHLVHLPLTLILVNKRGDTSGETLHSLIQQGQQIASKLQCVFLDPASAGIGYGRNINEKQISQVLKGLLDSKRNLNLVSSTASIKDLADVDLRIVMCLMCGDPFSADDILFPVLQSQTCKSSHCGSNNSVLLELPIGLHKKRIELSVLSYHSSFSIRKSRLVHGYIVFYSAKRKASLAMLRAFLCEVQDIIPIQLVALTDGAVDVLDNDLSREQLTEGEEIAQEIDGRFTSIPCSQPQHKLEIFHPFFKDVVEKKNIIEATHMYDNAAEACSTTEEVFNSPRAGSPLCNSNLQDSEEDIEPSYSLFREDTSLPSLSKDHSKLSMELEGNDGLSFIMSNFESKLNNKVPPPVKPKPPVHFEITKGDLSYLDQGHRDGQRKSVSSSPWLPQDGFDPSDYAEPMDAVVKPRNEEENIYSVPHDSTQGKIITIRNINKAQSNGSGNGSDSEMDTSSLERGRKVSIVSKPVLYRTRCTRLGRFASYRTSFSVGSDDELGPIRKKEEDQASQGYKGDNAVIPYETDEDPRRRNILRSLRRNTKKPKPKPRPSITKATWESNYFGVPLTTVVTPEKPIPIFIERCIEYIEATGLSTEGIYRVSGNKSEMESLQRQFDQDHNLDLAEKDFTVNTVAGAMKSFFSELPDPLVPYNMQIDLVEAHKINDREQKLHALKEVLKKFPKENHEVFKYVISHLNKVSHNNKVNLMTSENLSICFWPTLMRPDFSTMDALTATRTYQTIIELFIQQCPFFFYNRPITEPPGARPSSPSAVASTVPFLTSTPVTSQPSPPQSPPPTPQSPMQPLLPSQLQAEHTL
+>DECOY_sp|Q9NRY4|RHG35_HUMAN Rho GTPase-activating protein 35 OS=Homo sapiens OX=9606 GN=ARHGAP35 PE=1 SV=3
+LTHEAQLQSPLLPQMPSQPTPPPSQPPSPQSTVPTSTLFPVTSAVASPSSPRAGPPETIPRNYFFFPCQQIFLEIITQYTRTATLADMTSFDPRMLTPWFCISLNESTMLNVKNNHSVKNLHSIVYKFVEHNEKPFKKLVEKLAHLKQERDNIKHAEVLDIQMNYPVLPDPLESFFSKMAGAVTNVTFDKEALDLNHDQDFQRQLSEMESKNGSVRYIGETSLGTAEIYEICREIFIPIPKEPTVVTTLPVGFYNSEWTAKTISPRPKPKPKKTNRRLSRLINRRRPDEDTEYPIVANDGKYGQSAQDEEKKRIPGLEDDSGVSFSTRYSAFRGLRTCRTRYLVPKSVISVKRGRELSSTDMESDSGNGSGNSQAKNINRITIIKGQTSDHPVSYINEEENRPKVVADMPEAYDSPDFGDQPLWPSSSVSKRQGDRHGQDLYSLDGKTIEFHVPPKPKVPPPVKNNLKSEFNSMIFSLGDNGELEMSLKSHDKSLSPLSTDERFLSYSPEIDEESDQLNSNCLPSGARPSNFVEETTSCAEAANDYMHTAEIINKKEVVDKFFPHFIELKHQPQSCPISTFRGDIEQAIEEGETLQERSLDNDLVDVAGDTLAVLQIPIIDQVECLFARLMALSAKRKASYFVIYGHVLRSKRISFSSHYSLVSLEIRKKHLGIPLELLVSNNSGCHSSKCTQSQLVPFLIDDASFPDGCMLCMVIRLDVDALDKISATSSVLNLNRKSDLLGKLVQSIQKENINRGYGIGASAPDLFVCQLKSAIQQGQQILSHLTEGSTDGRKNVLILTLPLHVLHNDRRGLTSERSKEISEVVYSLSEKSNYLCLCGHPQFTPTQFSNVPLRVNGEIPRLSLEYMKGDIVYKDDNTCLARIENALERALGDKGLIVLNIRDINPDSLSNKQNRDSPRIFRSSILHEIKADVCAPCSPCTEKTPHYVFHIHKLILADREAQLKQLAKFRQEEGLVDQIVGMKEKSPKADLELEYFLESYELLLEQFEEKAKDIIQKQHKGYIDMYVSEELWQYFDENMIFSRAEEWPKGPTIFPSTELNEKFARRMEVRKRENRLKELHAEYLQEAPVTDMLDFPIRENEMNDIHSTADWPTEELVVFWKLFEPKTELLKKAKICSLHDIEDLNPILAEFALPLAALYLKRRREIHEHKLRHIHQLFLKKAKQTGELYVYDQYEPSAQMKRSVSLWNENHNKVIRSVLWEYKDKATAIQQSQQKLAEFYPIIKTKGRSKDILQVLTSFALDVNVNSRASTEVVQLNKKSLAFTHADRIYREVGEDCKTLVVVIPKKTKALQNYLNSVFKLQDDFNRNMGRSVDIGLLFGDVLLKGDPMQKQEFDQELGLQDTCFYMLKEASALKTAAARKIYPQLATSRHPQFTQDDIFETQEVIHMKCEVCDELSRSVEGWYLFHDNNVVRGGFDSTSLVSTHDLHFEDASPRVFRNCLCSKGIGCQGKEKETGSLGVVSINYTPIRVDQKRAMMM
+>sp|A8MT19|RHN2P_HUMAN Putative rhophilin-2-like protein RHPN2P1 OS=Homo sapiens OX=9606 GN=RHPN2P1 PE=5 SV=2
+MLKEELEGLNISVGIYQNTEEAFTVPLIPLGLKETKDIDFSVILKDFILEHYSEDGYLYEDEITDLMDPRQACRTPSRDEARVELLMTYFIQLGFAWIRFKKYNTSPRIFFYRYDSLNGVLVSQQNLLLEKASVLFNTGALYTQIGTWRYWQMQAGLQSAIDAFQRAAGVLNYLKETFTHTPSYDMSPAMLSVLVKMMLTQAQESVFEKISLPGIRNEFFMLVKVAQEAAKVGEVYQQLHAAMSQALVKENIPYSWASLACVKAHHYTALAHYFTAILLIDHQVKPGMDLDHQEKCLSQLYDHMPEGLTPLATLKNDQQRRQLGKSHLHRAMAHHEESVREASLCKKLRSIEVLQKVLCAAQERSRLTYAQHQEDDDLLNLIHAPSVVAKTEQEPKGPLSVFLANKQWMPPRSNRFTAEEGDLGFTLRGNAPVEVHFLDPYCSALVAGARGGDYIVSIQLVDCKWLTVSEVMKLLKSFGEDEIEMKVVSLLDSTSSMHNKSATYSVGMQKTYSMICLAIDDDNKTDKTQKISKKLSFLSWGTNKNRQKSASTLCLPSVGAARPQVKKKLPSPFSLLNSDSSSY
+>DECOY_sp|A8MT19|RHN2P_HUMAN Putative rhophilin-2-like protein RHPN2P1 OS=Homo sapiens OX=9606 GN=RHPN2P1 PE=5 SV=2
+YSSSDSNLLSFPSPLKKKVQPRAAGVSPLCLTSASKQRNKNTGWSLFSLKKSIKQTKDTKNDDDIALCIMSYTKQMGVSYTASKNHMSSTSDLLSVVKMEIEDEGFSKLLKMVESVTLWKCDVLQISVIYDGGRAGAVLASCYPDLFHVEVPANGRLTFGLDGEEATFRNSRPPMWQKNALFVSLPGKPEQETKAVVSPAHILNLLDDDEQHQAYTLRSREQAACLVKQLVEISRLKKCLSAERVSEEHHAMARHLHSKGLQRRQQDNKLTALPTLGEPMHDYLQSLCKEQHDLDMGPKVQHDILLIATFYHALATYHHAKVCALSAWSYPINEKVLAQSMAAHLQQYVEGVKAAEQAVKVLMFFENRIGPLSIKEFVSEQAQTLMMKVLVSLMAPSMDYSPTHTFTEKLYNLVGAARQFADIASQLGAQMQWYRWTGIQTYLAGTNFLVSAKELLLNQQSVLVGNLSDYRYFFIRPSTNYKKFRIWAFGLQIFYTMLLEVRAEDRSPTRCAQRPDMLDTIEDEYLYGDESYHELIFDKLIVSFDIDKTEKLGLPILPVTFAEETNQYIGVSINLGELEEKLM
+>sp|Q9BSD3|RHNO1_HUMAN RAD9, HUS1, RAD1-interacting nuclear orphan protein 1 OS=Homo sapiens OX=9606 GN=RHNO1 PE=1 SV=1
+MPPRKKRRQPSQKAPLLFHQQPLEGPKHSCASTQLPITHTRQVPSKPIDHSTITSWVSPDFDTAAGSLFPAYQKHQNRARHSSRKPTTSKFPHLTFESPQSSSSETLGIPLIRECPSESEKDVSRRPLVPVLSPQSCGNMSVQALQSLPYVFIPPDIQTPESSSVKEELIPQDQKENSLLSCTLHTGTPNSPEPGPVLVKDTPEDKYGIKVTWRRRQHLLAYLRERGKLSRSQFLVKS
+>DECOY_sp|Q9BSD3|RHNO1_HUMAN RAD9, HUS1, RAD1-interacting nuclear orphan protein 1 OS=Homo sapiens OX=9606 GN=RHNO1 PE=1 SV=1
+SKVLFQSRSLKGRERLYALLHQRRRWTVKIGYKDEPTDKVLVPGPEPSNPTGTHLTCSLLSNEKQDQPILEEKVSSSEPTQIDPPIFVYPLSQLAQVSMNGCSQPSLVPVLPRRSVDKESESPCERILPIGLTESSSSQPSEFTLHPFKSTTPKRSSHRARNQHKQYAPFLSGAATDFDPSVWSTITSHDIPKSPVQRTHTIPLQTSACSHKPGELPQQHFLLPAKQSPQRRKKRPPM
+>sp|O00212|RHOD_HUMAN Rho-related GTP-binding protein RhoD OS=Homo sapiens OX=9606 GN=RHOD PE=1 SV=2
+MTAAQAAGEEAPPGVRSVKVVLVGDGGCGKTSLLMVFADGAFPESYTPTVFERYMVNLQVKGKPVHLHIWDTAGQDDYDRLRPLFYPDASVLLLCFDVTSPNSFDNIFNRWYPEVNHFCKKVPIIVVGCKTDLCKDKSLVNKLRRNGLEPVTYHRGQEMARSVGAVAYLECSARLHDNVHAVFQEAAEVALSSRGRNFWRRITQGFCVVT
+>DECOY_sp|O00212|RHOD_HUMAN Rho-related GTP-binding protein RhoD OS=Homo sapiens OX=9606 GN=RHOD PE=1 SV=2
+TVVCFGQTIRRWFNRGRSSLAVEAAEQFVAHVNDHLRASCELYAVAGVSRAMEQGRHYTVPELGNRRLKNVLSKDKCLDTKCGVVIIPVKKCFHNVEPYWRNFINDFSNPSTVDFCLLLVSADPYFLPRLRDYDDQGATDWIHLHVPKGKVQLNVMYREFVTPTYSEPFAGDAFVMLLSTKGCGGDGVLVVKVSRVGPPAEEGAAQAATM
+>sp|P84095|RHOG_HUMAN Rho-related GTP-binding protein RhoG OS=Homo sapiens OX=9606 GN=RHOG PE=1 SV=1
+MQSIKCVVVGDGAVGKTCLLICYTTNAFPKEYIPTVFDNYSAQSAVDGRTVNLNLWDTAGQEEYDRLRTLSYPQTNVFVICFSIASPPSYENVRHKWHPEVCHHCPDVPILLVGTKKDLRAQPDTLRRLKEQGQAPITPQQGQALAKQIHAVRYLECSALQQDGVKEVFAEAVRAVLNPTPIKRGRSCILL
+>DECOY_sp|P84095|RHOG_HUMAN Rho-related GTP-binding protein RhoG OS=Homo sapiens OX=9606 GN=RHOG PE=1 SV=1
+LLICSRGRKIPTPNLVARVAEAFVEKVGDQQLASCELYRVAHIQKALAQGQQPTIPAQGQEKLRRLTDPQARLDKKTGVLLIPVDPCHHCVEPHWKHRVNEYSPPSAISFCIVFVNTQPYSLTRLRDYEEQGATDWLNLNVTRGDVASQASYNDFVTPIYEKPFANTTYCILLCTKGVAGDGVVVCKISQM
+>sp|Q7L0Q8|RHOU_HUMAN Rho-related GTP-binding protein RhoU OS=Homo sapiens OX=9606 GN=RHOU PE=1 SV=1
+MPPQQGDPAFPDRCEAPPVPPRRERGGRGGRGPGEPGGRGRAGGAEGRGVKCVLVGDGAVGKTSLVVSYTTNGYPTEYIPTAFDNFSAVVSVDGRPVRLQLCDTAGQDEFDKLRPLCYTNTDIFLLCFSVVSPSSFQNVSEKWVPEIRCHCPKAPIILVGTQSDLREDVKVLIELDKCKEKPVPEEAAKLCAEEIKAASYIECSALTQKNLKEVFDAAIVAGIQYSDTQQQPKKSKSRTPDKMKNLSKSWWKKYCCFV
+>DECOY_sp|Q7L0Q8|RHOU_HUMAN Rho-related GTP-binding protein RhoU OS=Homo sapiens OX=9606 GN=RHOU PE=1 SV=1
+VFCCYKKWWSKSLNKMKDPTRSKSKKPQQQTDSYQIGAVIAADFVEKLNKQTLASCEIYSAAKIEEACLKAAEEPVPKEKCKDLEILVKVDERLDSQTGVLIIPAKPCHCRIEPVWKESVNQFSSPSVVSFCLLFIDTNTYCLPRLKDFEDQGATDCLQLRVPRGDVSVVASFNDFATPIYETPYGNTTYSVVLSTKGVAGDGVLVCKVGRGEAGGARGRGGPEGPGRGGRGGRERRPPVPPAECRDPFAPDGQQPPM
+>sp|Q8N443|RIBC1_HUMAN RIB43A-like with coiled-coils protein 1 OS=Homo sapiens OX=9606 GN=RIBC1 PE=1 SV=1
+MYNIKQSTDTKEAAAIEARRNREKERQNRFFNVRNRVMGVDVQALNNQVGDRKRREAAERSKEAAYGTSQVQYDVVVQMLEKEEADRTRQLAKKVQEFREQKQQLKNGREFSLWDPGQVWKGLPTYLSYSNTYPGPASLQYFSGEDLDRDTRLRMQQGQFRYNLERQQQEQQQAKVDENYTDALSNQLRLAMDAQATHLARLEESCRAAMMCAMANANKAQAAVQAGRQRCERQREQKANLAEIQHQSTSDLLTENPQVAQHPMAPYRVLPYCWKGMTPEQQAAIRKEQEVQRSKKQAHRQAEKTLDTEWKSQTMSSAQAVLELEEQERELCAVFQRGLGSFNQQLANEQKAQQDYLNSVIYTNQPTAQYHQQFNTSSR
+>DECOY_sp|Q8N443|RIBC1_HUMAN RIB43A-like with coiled-coils protein 1 OS=Homo sapiens OX=9606 GN=RIBC1 PE=1 SV=1
+RSSTNFQQHYQATPQNTYIVSNLYDQQAKQENALQQNFSGLGRQFVACLEREQEELELVAQASSMTQSKWETDLTKEAQRHAQKKSRQVEQEKRIAAQQEPTMGKWCYPLVRYPAMPHQAVQPNETLLDSTSQHQIEALNAKQERQRECRQRGAQVAAQAKNANAMACMMAARCSEELRALHTAQADMALRLQNSLADTYNEDVKAQQQEQQQRELNYRFQGQQMRLRTDRDLDEGSFYQLSAPGPYTNSYSLYTPLGKWVQGPDWLSFERGNKLQQKQERFEQVKKALQRTRDAEEKELMQVVVDYQVQSTGYAAEKSREAAERRKRDGVQNNLAQVDVGMVRNRVNFFRNQREKERNRRAEIAAAEKTDTSQKINYM
+>sp|Q9NPQ8|RIC8A_HUMAN Synembryn-A OS=Homo sapiens OX=9606 GN=RIC8A PE=1 SV=3
+MEPRAVAEAVETGEEDVIMEALRSYNQEHSQSFTFDDAQQEDRKRLAELLVSVLEQGLPPSHRVIWLQSVRILSRDRNCLDPFTSRQSLQALACYADISVSEGSVPESADMDVVLESLKCLCNLVLSSPVAQMLAAEARLVVKLTERVGLYRERSFPHDVQFFDLRLLFLLTALRTDVRQQLFQELKGVRLLTDTLELTLGVTPEGNPPPTLLPSQETERAMEILKVLFNITLDSIKGEVDEEDAALYRHLGTLLRHCVMIATAGDRTEEFHGHAVNLLGNLPLKCLDVLLTLEPHGDSTEFMGVNMDVIRALLIFLEKRLHKTHRLKESVAPVLSVLTECARMHRPARKFLKAQVLPPLRDVRTRPEVGEMLRNKLVRLMTHLDTDVKRVAAEFLFVLCSESVPRFIKYTGYGNAAGLLAARGLMAGGRPEGQYSEDEDTDTDEYKEAKASINPVTGRVEEKPPNPMEGMTEEQKEHEAMKLVTMFDKLSRNRVIQPMGMSPRGHLTSLQDAMCETMEQQLSSDPDSDPD
+>DECOY_sp|Q9NPQ8|RIC8A_HUMAN Synembryn-A OS=Homo sapiens OX=9606 GN=RIC8A PE=1 SV=3
+DPDSDPDSSLQQEMTECMADQLSTLHGRPSMGMPQIVRNRSLKDFMTVLKMAEHEKQEETMGEMPNPPKEEVRGTVPNISAKAEKYEDTDTDEDESYQGEPRGGAMLGRAALLGAANGYGTYKIFRPVSESCLVFLFEAAVRKVDTDLHTMLRVLKNRLMEGVEPRTRVDRLPPLVQAKLFKRAPRHMRACETLVSLVPAVSEKLRHTKHLRKELFILLARIVDMNVGMFETSDGHPELTLLVDLCKLPLNGLLNVAHGHFEETRDGATAIMVCHRLLTGLHRYLAADEEDVEGKISDLTINFLVKLIEMARETEQSPLLTPPPNGEPTVGLTLELTDTLLRVGKLEQFLQQRVDTRLATLLFLLRLDFFQVDHPFSRERYLGVRETLKVVLRAEAALMQAVPSSLVLNCLCKLSELVVDMDASEPVSGESVSIDAYCALAQLSQRSTFPDLCNRDRSLIRVSQLWIVRHSPPLGQELVSVLLEALRKRDEQQADDFTFSQSHEQNYSRLAEMIVDEEGTEVAEAVARPEM
+>sp|Q6R327|RICTR_HUMAN Rapamycin-insensitive companion of mTOR OS=Homo sapiens OX=9606 GN=RICTOR PE=1 SV=1
+MAAIGRGRSLKNLRVRGRNDSGEENVPLDLTREPSDNLREILQNVARLQGVSNMRKLGHLNNFTKLLCDIGHSEEKLGFHYEDIIICLRLALLNEAKEVRAAGLRALRYLIQDSSILQKVLKLKVDYLIARCIDIQQSNEVERTQALRLVRKMITVNASLFPSSVTNSLIAVGNDGLQERDRMVRACIAIICELALQNPEVVALRGGLNTILKNVIDCQLSRINEALITTILHLLNHPKTRQYVRADVELERILAPYTDFHYRHSPDTAEGQLKEDREARFLASKMGIIATFRSWAGIINLCKPGNSGIQSLIGVLCIPNMEIRRGLLEVLYDIFRLPLPVVTEEFIEALLSVDPGRFQDSWRLSDGFVAAEAKTILPHRARSRPDLMDNYLALILSAFIRNGLLEGLVEVITNSDDHISVRATILLGELLHMANTILPHSHSHHLHCLPTLMNMAASFDIPKEKRLRASAALNCLKRFHEMKKRGPKPYSLHLDHIIQKAIATHQKRDQYLRVQKDIFILKDTEEALLINLRDSQVLQHKENLEWNWNLIGTILKWPNVNLRNYKDEQLHRFVRRLLYFYKPSSKLYANLDLDFAKAKQLTVVGCQFTEFLLESEEDGQGYLEDLVKDIVQWLNASSGMKPERSLQNNGLLTTLSQHYFLFIGTLSCHPHGVKMLEKCSVFQCLLNLCSLKNQDHLLKLTVSSLDYSRDGLARVILSKILTAATDACRLYATKHLRVLLRANVEFFNNWGIELLVTQLHDKNKTISSEALDILDEACEDKANLHALIQMKPALSHLGDKGLLLLLRFLSIPKGFSYLNERGYVAKQLEKWHREYNSKYVDLIEEQLNEALTTYRKPVDGDNYVRRSNQRLQRPHVYLPIHLYGQLVHHKTGCHLLEVQNIITELCRNVRTPDLDKWEEIKKLKASLWALGNIGSSNWGLNLLQEENVIPDILKLAKQCEVLSIRGTCVYVLGLIAKTKQGCDILKCHNWDAVRHSRKHLWPVVPDDVEQLCNELSSIPSTLSLNSESTSSRHNSESESVPSSMFILEDDRFGSSSTSTFFLDINEDTEPTFYDRSGPIKDKNSFPFFASSKLVKNRILNSLTLPNKKHRSSSDPKGGKLSSESKTSNRRIRTLTEPSVDFNHSDDFTPISTVQKTLQLETSFMGNKHIEDTGSTPSIGENDLKFTKNFGTENHRENTSRERLVVESSTSSHMKIRSQSFNTDTTTSGISSMSSSPSRETVGVDATTMDTDCGSMSTVVSTKTIKTSHYLTPQSNHLSLSKSNSVSLVPPGSSHTLPRRAQSLKAPSIATIKSLADCNFSYTSSRDAFGYATLKRLQQQRMHPSLSHSEALASPAKDVLFTDTITMKANSFESRLTPSRFMKALSYASLDKEDLLSPINQNTLQRSSSVRSMVSSATYGGSDDYIGLALPVDINDIFQVKDIPYFQTKNIPPHDDRGARAFAHDAGGLPSGTGGLVKNSFHLLRQQMSLTEIMNSIHSDASLFLESTEDTGLQEHTDDNCLYCVCIEILGFQPSNQLSAICSHSDFQDIPYSDWCEQTIHNPLEVVPSKFSGISGCSDGVSQEGSASSTKSTELLLGVKTIPDDTPMCRILLRKEVLRLVINLSSSVSTKCHETGLLTIKEKYPQTFDDICLYSEVSHLLSHCTFRLPCRRFIQELFQDVQFLQMHEEAEAVLATPPKQPIVDTSAES
+>DECOY_sp|Q6R327|RICTR_HUMAN Rapamycin-insensitive companion of mTOR OS=Homo sapiens OX=9606 GN=RICTOR PE=1 SV=1
+SEASTDVIPQKPPTALVAEAEEHMQLFQVDQFLEQIFRRCPLRFTCHSLLHSVESYLCIDDFTQPYKEKITLLGTEHCKTSVSSSLNIVLRLVEKRLLIRCMPTDDPITKVGLLLETSKTSSASGEQSVGDSCGSIGSFKSPVVELPNHITQECWDSYPIDQFDSHSCIASLQNSPQFGLIEICVCYLCNDDTHEQLGTDETSELFLSADSHISNMIETLSMQQRLLHFSNKVLGGTGSPLGGADHAFARAGRDDHPPINKTQFYPIDKVQFIDNIDVPLALGIYDDSGGYTASSVMSRVSSSRQLTNQNIPSLLDEKDLSAYSLAKMFRSPTLRSEFSNAKMTITDTFLVDKAPSALAESHSLSPHMRQQQLRKLTAYGFADRSSTYSFNCDALSKITAISPAKLSQARRPLTHSSGPPVLSVSNSKSLSLHNSQPTLYHSTKITKTSVVTSMSGCDTDMTTADVGVTERSPSSSMSSIGSTTTDTNFSQSRIKMHSSTSSEVVLRERSTNERHNETGFNKTFKLDNEGISPTSGTDEIHKNGMFSTELQLTKQVTSIPTFDDSHNFDVSPETLTRIRRNSTKSESSLKGGKPDSSSRHKKNPLTLSNLIRNKVLKSSAFFPFSNKDKIPGSRDYFTPETDENIDLFFTSTSSSGFRDDELIFMSSPVSESESNHRSSTSESNLSLTSPISSLENCLQEVDDPVVPWLHKRSHRVADWNHCKLIDCGQKTKAILGLVYVCTGRISLVECQKALKLIDPIVNEEQLLNLGWNSSGINGLAWLSAKLKKIEEWKDLDPTRVNRCLETIINQVELLHCGTKHHVLQGYLHIPLYVHPRQLRQNSRRVYNDGDVPKRYTTLAENLQEEILDVYKSNYERHWKELQKAVYGRENLYSFGKPISLFRLLLLLGKDGLHSLAPKMQILAHLNAKDECAEDLIDLAESSITKNKDHLQTVLLEIGWNNFFEVNARLLVRLHKTAYLRCADTAATLIKSLIVRALGDRSYDLSSVTLKLLHDQNKLSCLNLLCQFVSCKELMKVGHPHCSLTGIFLFYHQSLTTLLGNNQLSREPKMGSSANLWQVIDKVLDELYGQGDEESELLFETFQCGVVTLQKAKAFDLDLNAYLKSSPKYFYLLRRVFRHLQEDKYNRLNVNPWKLITGILNWNWELNEKHQLVQSDRLNILLAEETDKLIFIDKQVRLYQDRKQHTAIAKQIIHDLHLSYPKPGRKKMEHFRKLCNLAASARLRKEKPIDFSAAMNMLTPLCHLHHSHSHPLITNAMHLLEGLLITARVSIHDDSNTIVEVLGELLGNRIFASLILALYNDMLDPRSRARHPLITKAEAAVFGDSLRWSDQFRGPDVSLLAEIFEETVVPLPLRFIDYLVELLGRRIEMNPICLVGILSQIGSNGPKCLNIIGAWSRFTAIIGMKSALFRAERDEKLQGEATDPSHRYHFDTYPALIRELEVDARVYQRTKPHNLLHLITTILAENIRSLQCDIVNKLITNLGGRLAVVEPNQLALECIIAICARVMRDREQLGDNGVAILSNTVSSPFLSANVTIMKRVLRLAQTREVENSQQIDICRAILYDVKLKLVKQLISSDQILYRLARLGAARVEKAENLLALRLCIIIDEYHFGLKEESHGIDCLLKTFNNLHGLKRMNSVGQLRAVNQLIERLNDSPERTLDLPVNEEGSDNRGRVRLNKLSRGRGIAAM
+>sp|O14730|RIOK3_HUMAN Serine/threonine-protein kinase RIO3 OS=Homo sapiens OX=9606 GN=RIOK3 PE=1 SV=2
+MDLVGVASPEPGTAAAWGPSKCPWAIPQNTISCSLADVMSEQLAKELQLEEEAAVFPEVAVAEGPFITGENIDTSSDLMLAQMLQMEYDREYDAQLRREEKKFNGDSKVSISFENYRKVHPYEDSDSSEDEVDWQDTRDDPYRPAKPVPTPKKGFIGKGKDITTKHDEVVCGRKNTARMENFAPEFQVGDGIGMDLKLSNHVFNALKQHAYSEERRSARLHEKKEHSTAEKAVDPKTRLLMYKMVNSGMLETITGCISTGKESVVFHAYGGSMEDEKEDSKVIPTECAIKVFKTTLNEFKNRDKYIKDDFRFKDRFSKLNPRKIIRMWAEKEMHNLARMQRAGIPCPTVVLLKKHILVMSFIGHDQVPAPKLKEVKLNSEEMKEAYYQTLHLMRQLYHECTLVHADLSEYNMLWHAGKVWLIDVSQSVEPTHPHGLEFLFRDCRNVSQFFQKGGVKEALSERELFNAVSGLNITADNEADFLAEIEALEKMNEDHVQKNGRKAASFLKDDGDPPLLYDE
+>DECOY_sp|O14730|RIOK3_HUMAN Serine/threonine-protein kinase RIO3 OS=Homo sapiens OX=9606 GN=RIOK3 PE=1 SV=2
+EDYLLPPDGDDKLFSAAKRGNKQVHDENMKELAEIEALFDAENDATINLGSVANFLERESLAEKVGGKQFFQSVNRCDRFLFELGHPHTPEVSQSVDILWVKGAHWLMNYESLDAHVLTCEHYLQRMLHLTQYYAEKMEESNLKVEKLKPAPVQDHGIFSMVLIHKKLLVVTPCPIGARQMRALNHMEKEAWMRIIKRPNLKSFRDKFRFDDKIYKDRNKFENLTTKFVKIACETPIVKSDEKEDEMSGGYAHFVVSEKGTSICGTITELMGSNVMKYMLLRTKPDVAKEATSHEKKEHLRASRREESYAHQKLANFVHNSLKLDMGIGDGVQFEPAFNEMRATNKRGCVVEDHKTTIDKGKGIFGKKPTPVPKAPRYPDDRTDQWDVEDESSDSDEYPHVKRYNEFSISVKSDGNFKKEERRLQADYERDYEMQLMQALMLDSSTDINEGTIFPGEAVAVEPFVAAEEELQLEKALQESMVDALSCSITNQPIAWPCKSPGWAAATGPEPSAVGVLDM
+>sp|Q5TAB7|RIPP2_HUMAN Protein ripply2 OS=Homo sapiens OX=9606 GN=RIPPLY2 PE=1 SV=1
+MENAGGAEGTESGAAACAATDGPTRRAGADSGYAGFWRPWVDAGGKKEEETPNHAAEAMPDGPGMTAASGKLYQFRHPVRLFWPKSKCYDYLYQEAEALLKNFPIQATISFYEDSDSEDEIEDLTCEN
+>DECOY_sp|Q5TAB7|RIPP2_HUMAN Protein ripply2 OS=Homo sapiens OX=9606 GN=RIPPLY2 PE=1 SV=1
+NECTLDEIEDESDSDEYFSITAQIPFNKLLAEAEQYLYDYCKSKPWFLRVPHRFQYLKGSAATMGPGDPMAEAAHNPTEEEKKGGADVWPRWFGAYGSDAGARRTPGDTAACAAAGSETGEAGGANEM
+>sp|Q9HB40|RISC_HUMAN Retinoid-inducible serine carboxypeptidase OS=Homo sapiens OX=9606 GN=SCPEP1 PE=1 SV=1
+MELALRRSPVPRWLLLLPLLLGLNAGAVIDWPTEEGKEVWDYVTVRKDAYMFWWLYYATNSCKNFSELPLVMWLQGGPGGSSTGFGNFEEIGPLDSDLKPRKTTWLQAASLLFVDNPVGTGFSYVNGSGAYAKDLAMVASDMMVLLKTFFSCHKEFQTVPFYIFSESYGGKMAAGIGLELYKAIQRGTIKCNFAGVALGDSWISPVDSVLSWGPYLYSMSLLEDKGLAEVSKVAEQVLNAVNKGLYREATELWGKAEMIIEQNTDGVNFYNILTKSTPTSTMESSLEFTQSHLVCLCQRHVRHLQRDALSQLMNGPIRKKLKIIPEDQSWGGQATNVFVNMEEDFMKPVISIVDELLEAGINVTVYNGQLDLIVDTMGQEAWVRKLKWPELPKFSQLKWKALYSDPKSLETSAFVKSYKNLAFYWILKAGHMVPSDQGDMALKMMRLVTQQE
+>DECOY_sp|Q9HB40|RISC_HUMAN Retinoid-inducible serine carboxypeptidase OS=Homo sapiens OX=9606 GN=SCPEP1 PE=1 SV=1
+EQQTVLRMMKLAMDGQDSPVMHGAKLIWYFALNKYSKVFASTELSKPDSYLAKWKLQSFKPLEPWKLKRVWAEQGMTDVILDLQGNYVTVNIGAELLEDVISIVPKMFDEEMNVFVNTAQGGWSQDEPIIKLKKRIPGNMLQSLADRQLHRVHRQCLCVLHSQTFELSSEMTSTPTSKTLINYFNVGDTNQEIIMEAKGWLETAERYLGKNVANLVQEAVKSVEALGKDELLSMSYLYPGWSLVSDVPSIWSDGLAVGAFNCKITGRQIAKYLELGIGAAMKGGYSESFIYFPVTQFEKHCSFFTKLLVMMDSAVMALDKAYAGSGNVYSFGTGVPNDVFLLSAAQLWTTKRPKLDSDLPGIEEFNGFGTSSGGPGGQLWMVLPLESFNKCSNTAYYLWWFMYADKRVTVYDWVEKGEETPWDIVAGANLGLLLPLLLLWRPVPSRRLALEM
+>sp|Q99578|RIT2_HUMAN GTP-binding protein Rit2 OS=Homo sapiens OX=9606 GN=RIT2 PE=1 SV=1
+MEVENEASCSPGSASGGSREYKVVMLGAGGVGKSAMTMQFISHQFPDYHDPTIEDAYKTQVRIDNEPAYLDILDTAGQAEFTAMREQYMRGGEGFIICYSVTDRQSFQEAAKFKELIFQVRHTYEIPLVLVGNKIDLEQFRQVSTEEGLSLAQEYNCGFFETSAALRFCIDDAFHGLVREIRKKESMPSLMEKKLKRKDSLWKKLKGSLKKKRENMT
+>DECOY_sp|Q99578|RIT2_HUMAN GTP-binding protein Rit2 OS=Homo sapiens OX=9606 GN=RIT2 PE=1 SV=1
+TMNERKKKLSGKLKKWLSDKRKLKKEMLSPMSEKKRIERVLGHFADDICFRLAASTEFFGCNYEQALSLGEETSVQRFQELDIKNGVLVLPIEYTHRVQFILEKFKAAEQFSQRDTVSYCIIFGEGGRMYQERMATFEAQGATDLIDLYAPENDIRVQTKYADEITPDHYDPFQHSIFQMTMASKGVGGAGLMVVKYERSGGSASGPSCSAENEVEM
+>sp|Q96L21|RL10L_HUMAN 60S ribosomal protein L10-like OS=Homo sapiens OX=9606 GN=RPL10L PE=1 SV=3
+MGRRPARCYRYCKNKPYPKSRFCRGVPDAKIRIFDLGRKKAKVDEFPLGGHMVSDEYEQLSSEALEAARICANKYMVKSCGRDGFHMRVRLHPFHVIRINKMLSCAGADRLQTGMRGAFGKPQGTVARVHIGQVIMSIRTKLQNEEHVIEALRRAKFKFPGRQKIHISKKWGFTKFNADEFEDMVAKKCLIPDGCGVKYVPSHGPLDKWRVLHS
+>DECOY_sp|Q96L21|RL10L_HUMAN 60S ribosomal protein L10-like OS=Homo sapiens OX=9606 GN=RPL10L PE=1 SV=3
+SHLVRWKDLPGHSPVYKVGCGDPILCKKAVMDEFEDANFKTFGWKKSIHIKQRGPFKFKARRLAEIVHEENQLKTRISMIVQGIHVRAVTGQPKGFAGRMGTQLRDAGACSLMKNIRIVHFPHLRVRMHFGDRGCSKVMYKNACIRAAELAESSLQEYEDSVMHGGLPFEDVKAKKRGLDFIRIKADPVGRCFRSKPYPKNKCYRYCRAPRRGM
+>sp|P40429|RL13A_HUMAN 60S ribosomal protein L13a OS=Homo sapiens OX=9606 GN=RPL13A PE=1 SV=2
+MAEVQVLVLDGRGHLLGRLAAIVAKQVLLGRKVVVVRCEGINISGNFYRNKLKYLAFLRKRMNTNPSRGPYHFRAPSRIFWRTVRGMLPHKTKRGQAALDRLKVFDGIPPPYDKKKRMVVPAALKVVRLKPTRKFAYLGRLAHEVGWKYQAVTATLEEKRKEKAKIHYRKKKQLMRLRKQAEKNVEKKIDKYTEVLKTHGLLV
+>DECOY_sp|P40429|RL13A_HUMAN 60S ribosomal protein L13a OS=Homo sapiens OX=9606 GN=RPL13A PE=1 SV=2
+VLLGHTKLVETYKDIKKEVNKEAQKRLRMLQKKKRYHIKAKEKRKEELTATVAQYKWGVEHALRGLYAFKRTPKLRVVKLAAPVVMRKKKDYPPPIGDFVKLRDLAAQGRKTKHPLMGRVTRWFIRSPARFHYPGRSPNTNMRKRLFALYKLKNRYFNGSINIGECRVVVVKRGLLVQKAVIAALRGLLHGRGDLVLVQVEAM
+>sp|P61313|RL15_HUMAN 60S ribosomal protein L15 OS=Homo sapiens OX=9606 GN=RPL15 PE=1 SV=2
+MGAYKYIQELWRKKQSDVMRFLLRVRCWQYRQLSALHRAPRPTRPDKARRLGYKAKQGYVIYRIRVRRGGRKRPVPKGATYGKPVHHGVNQLKFARSLQSVAEERAGRHCGALRVLNSYWVGEDSTYKFFEVILIDPFHKAIRRNPDTQWITKPVHKHREMRGLTSAGRKSRGLGKGHKFHHTIGGSRRAAWRRRNTLQLHRYR
+>DECOY_sp|P61313|RL15_HUMAN 60S ribosomal protein L15 OS=Homo sapiens OX=9606 GN=RPL15 PE=1 SV=2
+RYRHLQLTNRRRWAARRSGGITHHFKHGKGLGRSKRGASTLGRMERHKHVPKTIWQTDPNRRIAKHFPDILIVEFFKYTSDEGVWYSNLVRLAGCHRGAREEAVSQLSRAFKLQNVGHHVPKGYTAGKPVPRKRGGRRVRIRYIVYGQKAKYGLRRAKDPRTPRPARHLASLQRYQWCRVRLLFRMVDSQKKRWLEQIYKYAGM
+>sp|Q07020|RL18_HUMAN 60S ribosomal protein L18 OS=Homo sapiens OX=9606 GN=RPL18 PE=1 SV=2
+MGVDIRHNKDRKVRRKEPKSQDIYLRLLVKLYRFLARRTNSTFNQVVLKRLFMSRTNRPPLSLSRMIRKMKLPGRENKTAVVVGTITDDVRVQEVPKLKVCALRVTSRARSRILRAGGKILTFDQLALDSPKGCGTVLLSGPRKGREVYRHFGKAPGTPHSHTKPYVRSKGRKFERARGRRASRGYKN
+>DECOY_sp|Q07020|RL18_HUMAN 60S ribosomal protein L18 OS=Homo sapiens OX=9606 GN=RPL18 PE=1 SV=2
+NKYGRSARRGRAREFKRGKSRVYPKTHSHPTGPAKGFHRYVERGKRPGSLLVTGCGKPSDLALQDFTLIKGGARLIRSRARSTVRLACVKLKPVEQVRVDDTITGVVVATKNERGPLKMKRIMRSLSLPPRNTRSMFLRKLVVQNFTSNTRRALFRYLKVLLRLYIDQSKPEKRRVKRDKNHRIDVGM
+>sp|P46778|RL21_HUMAN 60S ribosomal protein L21 OS=Homo sapiens OX=9606 GN=RPL21 PE=1 SV=2
+MTNTKGKRRGTRYMFSRPFRKHGVVPLATYMRIYKKGDIVDIKGMGTVQKGMPHKCYHGKTGRVYNVTQHAVGIVVNKQVKGKILAKRINVRIEHIKHSKSRDSFLKRVKENDQKKKEAKEKGTWVQLKRQPAPPREAHFVRTNGKEPELLEPIPYEFMA
+>DECOY_sp|P46778|RL21_HUMAN 60S ribosomal protein L21 OS=Homo sapiens OX=9606 GN=RPL21 PE=1 SV=2
+AMFEYPIPELLEPEKGNTRVFHAERPPAPQRKLQVWTGKEKAEKKKQDNEKVRKLFSDRSKSHKIHEIRVNIRKALIKGKVQKNVVIGVAHQTVNYVRGTKGHYCKHPMGKQVTGMGKIDVIDGKKYIRMYTALPVVGHKRFPRSFMYRTGRRKGKTNTM
+>sp|Q6P5R6|RL22L_HUMAN 60S ribosomal protein L22-like 1 OS=Homo sapiens OX=9606 GN=RPL22L1 PE=1 SV=2
+MAPQKDRKPKRSTWRFNLDLTHPVEDGIFDSGNFEQFLREKVKVNGKTGNLGNVVHIERFKNKITVVSEKQFSKRYLKYLTKKYLKKNNLRDWLRVVASDKETYELRYFQISQDEDESESED
+>DECOY_sp|Q6P5R6|RL22L_HUMAN 60S ribosomal protein L22-like 1 OS=Homo sapiens OX=9606 GN=RPL22L1 PE=1 SV=2
+DESESEDEDQSIQFYRLEYTEKDSAVVRLWDRLNNKKLYKKTLYKLYRKSFQKESVVTIKNKFREIHVVNGLNGTKGNVKVKERLFQEFNGSDFIGDEVPHTLDLNFRWTSRKPKRDKQPAM
+>sp|P46776|RL27A_HUMAN 60S ribosomal protein L27a OS=Homo sapiens OX=9606 GN=RPL27A PE=1 SV=2
+MPSRLRKTRKLRGHVSHGHGRIGKHRKHPGGRGNAGGLHHHRINFDKYHPGYFGKVGMKHYHLKRNQSFCPTVNLDKLWTLVSEQTRVNAAKNKTGAAPIIDVVRSGYYKVLGKGKLPKQPVIVKAKFFSRRAEEKIKSVGGACVLVA
+>DECOY_sp|P46776|RL27A_HUMAN 60S ribosomal protein L27a OS=Homo sapiens OX=9606 GN=RPL27A PE=1 SV=2
+AVLVCAGGVSKIKEEARRSFFKAKVIVPQKPLKGKGLVKYYGSRVVDIIPAAGTKNKAANVRTQESVLTWLKDLNVTPCFSQNRKLHYHKMGVKGFYGPHYKDFNIRHHHLGGANGRGGPHKRHKGIRGHGHSVHGRLKRTKRLRSPM
+>sp|P42766|RL35_HUMAN 60S ribosomal protein L35 OS=Homo sapiens OX=9606 GN=RPL35 PE=1 SV=2
+MAKIKARDLRGKKKEELLKQLDDLKVELSQLRVAKVTGGAASKLSKIRVVRKSIARVLTVINQTQKENLRKFYKGKKYKPLDLRPKKTRAMRRRLNKHEENLKTKKQQRKERLYPLRKYAVKA
+>DECOY_sp|P42766|RL35_HUMAN 60S ribosomal protein L35 OS=Homo sapiens OX=9606 GN=RPL35 PE=1 SV=2
+AKVAYKRLPYLREKRQQKKTKLNEEHKNLRRRMARTKKPRLDLPKYKKGKYFKRLNEKQTQNIVTLVRAISKRVVRIKSLKSAAGGTVKAVRLQSLEVKLDDLQKLLEEKKKGRLDRAKIKAM
+>sp|P39023|RL3_HUMAN 60S ribosomal protein L3 OS=Homo sapiens OX=9606 GN=RPL3 PE=1 SV=2
+MSHRKFSAPRHGSLGFLPRKRSSRHRGKVKSFPKDDPSKPVHLTAFLGYKAGMTHIVREVDRPGSKVNKKEVVEAVTIVETPPMVVVGIVGYVETPRGLRTFKTVFAEHISDECKRRFYKNWHKSKKKAFTKYCKKWQDEDGKKQLEKDFSSMKKYCQVIRVIAHTQMRLLPLRQKKAHLMEIQVNGGTVAEKLDWARERLEQQVPVNQVFGQDEMIDVIGVTKGKGYKGVTSRWHTKKLPRKTHRGLRKVACIGAWHPARVAFSVARAGQKGYHHRTEINKKIYKIGQGYLIKDGKLIKNNASTDYDLSDKSINPLGGFVHYGEVTNDFVMLKGCVVGTKKRVLTLRKSLLVQTKRRALEKIDLKFIDTTSKFGHGRFQTMEEKKAFMGPLKKDRIAKEEGA
+>DECOY_sp|P39023|RL3_HUMAN 60S ribosomal protein L3 OS=Homo sapiens OX=9606 GN=RPL3 PE=1 SV=2
+AGEEKAIRDKKLPGMFAKKEEMTQFRGHGFKSTTDIFKLDIKELARRKTQVLLSKRLTLVRKKTGVVCGKLMVFDNTVEGYHVFGGLPNISKDSLDYDTSANNKILKGDKILYGQGIKYIKKNIETRHHYGKQGARAVSFAVRAPHWAGICAVKRLGRHTKRPLKKTHWRSTVGKYGKGKTVGIVDIMEDQGFVQNVPVQQELRERAWDLKEAVTGGNVQIEMLHAKKQRLPLLRMQTHAIVRIVQCYKKMSSFDKELQKKGDEDQWKKCYKTFAKKKSKHWNKYFRRKCEDSIHEAFVTKFTRLGRPTEVYGVIGVVVMPPTEVITVAEVVEKKNVKSGPRDVERVIHTMGAKYGLFATLHVPKSPDDKPFSKVKGRHRSSRKRPLFGLSGHRPASFKRHSM
+>sp|P62987|RL40_HUMAN Ubiquitin-60S ribosomal protein L40 OS=Homo sapiens OX=9606 GN=UBA52 PE=1 SV=2
+MQIFVKTLTGKTITLEVEPSDTIENVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLSDYNIQKESTLHLVLRLRGGIIEPSLRQLAQKYNCDKMICRKCYARLHPRAVNCRKKKCGHTNNLRPKKKVK
+>DECOY_sp|P62987|RL40_HUMAN Ubiquitin-60S ribosomal protein L40 OS=Homo sapiens OX=9606 GN=UBA52 PE=1 SV=2
+KVKKKPRLNNTHGCKKKRCNVARPHLRAYCKRCIMKDCNYKQALQRLSPEIIGGRLRLVLHLTSEKQINYDSLTRGDELQKGAFILRQQDPPIGEKDQIKAKVNEITDSPEVELTITKGTLTKVFIQM
+>sp|P12271|RLBP1_HUMAN Retinaldehyde-binding protein 1 OS=Homo sapiens OX=9606 GN=RLBP1 PE=1 SV=2
+MSEGVGTFRMVPEEEQELRAQLEQLTTKDHGPVFGPCSQLPRHTLQKAKDELNEREETREEAVRELQEMVQAQAASGEELAVAVAERVQEKDSGFFLRFIRARKFNVGRAYELLRGYVNFRLQYPELFDSLSPEAVRCTIEAGYPGVLSSRDKYGRVVMLFNIENWQSQEITFDEILQAYCFILEKLLENEETQINGFCIIENFKGFTMQQAASLRTSDLRKMVDMLQDSFPARFKAIHFIHQPWYFTTTYNVVKPFLKSKLLERVFVHGDDLSGFYQEIDENILPSDFGGTLPKYDGKAVAEQLFGPQAQAENTAF
+>DECOY_sp|P12271|RLBP1_HUMAN Retinaldehyde-binding protein 1 OS=Homo sapiens OX=9606 GN=RLBP1 PE=1 SV=2
+FATNEAQAQPGFLQEAVAKGDYKPLTGGFDSPLINEDIEQYFGSLDDGHVFVRELLKSKLFPKVVNYTTTFYWPQHIFHIAKFRAPFSDQLMDVMKRLDSTRLSAAQQMTFGKFNEIICFGNIQTEENELLKELIFCYAQLIEDFTIEQSQWNEINFLMVVRGYKDRSSLVGPYGAEITCRVAEPSLSDFLEPYQLRFNVYGRLLEYARGVNFKRARIFRLFFGSDKEQVREAVAVALEEGSAAQAQVMEQLERVAEERTEERENLEDKAKQLTHRPLQSCPGFVPGHDKTTLQELQARLEQEEEPVMRFTGVGESM
+>sp|P09001|RM03_HUMAN 39S ribosomal protein L3, mitochondrial OS=Homo sapiens OX=9606 GN=MRPL3 PE=1 SV=1
+MPGWRLLTQVGAQVLGRLGDGLGAALGPGNRTHIWLFVRGLHGKSGTWWDEHLSEENVPFIKQLVSDEDKAQLASKLCPLKDEPWPIHPWEPGSFRVGLIALKLGMMPLWTKDGQKHVVTLLQVQDCHVLKYTSKENCNGKMATLSVGGKTVSRFRKATSILEFYRELGLPPKQTVKIFNITDNAAIKPGTPLYAAHFRPGQYVDVTAKTIGKGFQGVMKRWGFKGQPATHGQTKTHRRPGAVATGDIGRVWPGTKMPGKMGNIYRTEYGLKVWRINTKHNIIYVNGSVPGHKNCLVKVKDSKLPAYKDLGKNLPFPTYFPDGDEEELPEDLYDENVCQPGAPSITFA
+>DECOY_sp|P09001|RM03_HUMAN 39S ribosomal protein L3, mitochondrial OS=Homo sapiens OX=9606 GN=MRPL3 PE=1 SV=1
+AFTISPAGPQCVNEDYLDEPLEEEDGDPFYTPFPLNKGLDKYAPLKSDKVKVLCNKHGPVSGNVYIINHKTNIRWVKLGYETRYINGMKGPMKTGPWVRGIDGTAVAGPRRHTKTQGHTAPQGKFGWRKMVGQFGKGITKATVDVYQGPRFHAAYLPTGPKIAANDTINFIKVTQKPPLGLERYFELISTAKRFRSVTKGGVSLTAMKGNCNEKSTYKLVHCDQVQLLTVVHKQGDKTWLPMMGLKLAILGVRFSGPEWPHIPWPEDKLPCLKSALQAKDEDSVLQKIFPVNEESLHEDWWTGSKGHLGRVFLWIHTRNGPGLAAGLGDGLRGLVQAGVQTLLRWGPM
+>sp|Q9BYD2|RM09_HUMAN 39S ribosomal protein L9, mitochondrial OS=Homo sapiens OX=9606 GN=MRPL9 PE=1 SV=2
+MAAPVVTAPGRALLRAGAGRLLRGGVQELLRPRHEGNAPDLACNFSLSQNRGTVIVERWWKVPLAGEGRKPRLHRRHRVYKLVEDTKHRPKENLELILTQSVENVGVRGDLVSVKKSLGRNRLLPQGLAVYASPENKKLFEEEKLLRQEGKLEKIQTKAGEATVKFLKSCRLEVGMKNNVKWELNPEIVARHFFKNLGVVVAPHTLKLPEEPITRWGEYWCEVTVNGLDTVRVPMSVVNFEKPKTKRYKYWLAQQAAKAMAPTSPQI
+>DECOY_sp|Q9BYD2|RM09_HUMAN 39S ribosomal protein L9, mitochondrial OS=Homo sapiens OX=9606 GN=MRPL9 PE=1 SV=2
+IQPSTPAMAKAAQQALWYKYRKTKPKEFNVVSMPVRVTDLGNVTVECWYEGWRTIPEEPLKLTHPAVVVGLNKFFHRAVIEPNLEWKVNNKMGVELRCSKLFKVTAEGAKTQIKELKGEQRLLKEEEFLKKNEPSAYVALGQPLLRNRGLSKKVSVLDGRVGVNEVSQTLILELNEKPRHKTDEVLKYVRHRRHLRPKRGEGALPVKWWREVIVTGRNQSLSFNCALDPANGEHRPRLLEQVGGRLLRGAGARLLARGPATVVPAAM
+>sp|Q9H0U6|RM18_HUMAN 39S ribosomal protein L18, mitochondrial OS=Homo sapiens OX=9606 GN=MRPL18 PE=1 SV=1
+MALRSRFWGLFSVCRNPGCRFAALSTSSEPAAKPEVDPVENEAVAPEFTNRNPRNLELLSVARKERGWRTVFPSREFWHRLRVIRTQHHVEALVEHQNGKVVVSASTREWAIKKHLYSTRNVVACESIGRVLAQRCLEAGINFMVYQPTPWEAASDSMKRLQSAMTEGGVVLREPQRIYE
+>DECOY_sp|Q9H0U6|RM18_HUMAN 39S ribosomal protein L18, mitochondrial OS=Homo sapiens OX=9606 GN=MRPL18 PE=1 SV=1
+EYIRQPERLVVGGETMASQLRKMSDSAAEWPTPQYVMFNIGAELCRQALVRGISECAVVNRTSYLHKKIAWERTSASVVVKGNQHEVLAEVHHQTRIVRLRHWFERSPFVTRWGREKRAVSLLELNRPNRNTFEPAVAENEVPDVEPKAAPESSTSLAAFRCGPNRCVSFLGWFRSRLAM
+>sp|Q9P0M9|RM27_HUMAN 39S ribosomal protein L27, mitochondrial OS=Homo sapiens OX=9606 GN=MRPL27 PE=1 SV=1
+MASVVLALRTRTAVTSLLSPTPATALAVRYASKKSGGSSKNLGGKSSGRRQGIKKMEGHYVHAGNIIATQRHFRWHPGAHVGVGKNKCLYALEEGIVRYTKEVYVPHPRNTEAVDLITRLPKGAVLYKTFVHVVPAKPEGTFKLVAML
+>DECOY_sp|Q9P0M9|RM27_HUMAN 39S ribosomal protein L27, mitochondrial OS=Homo sapiens OX=9606 GN=MRPL27 PE=1 SV=1
+LMAVLKFTGEPKAPVVHVFTKYLVAGKPLRTILDVAETNRPHPVYVEKTYRVIGEELAYLCKNKGVGVHAGPHWRFHRQTAIINGAHVYHGEMKKIGQRRGSSKGGLNKSSGGSKKSAYRVALATAPTPSLLSTVATRTRLALVVSAM
+>sp|Q9BRJ2|RM45_HUMAN 39S ribosomal protein L45, mitochondrial OS=Homo sapiens OX=9606 GN=MRPL45 PE=1 SV=2
+MAAPIPQGFSCLSRFLGWWFRQPVLVTQSAAIVPVRTKKRFTPPIYQPKFKTEKEFMQHARKAGLVIPPEKSDRSIHLACTAGIFDAYVPPEGDARISSLSKEGLIERTERMKKTMASQVSIRRIKDYDANFKIKDFPEKAKDIFIEAHLCLNNSDHDRLHTLVTEHCFPDMTWDIKYKTVRWSFVESLEPSHVVQVRCSSMMNQGNVYGQITVRMHTRQTLAIYDRFGRLMYGQEDVPKDVLEYVVFEKQLTNPYGSWRMHTKIVPPWAPPKQPILKTVMIPGPQLKPEEEYEEAQGEAQKPQLA
+>DECOY_sp|Q9BRJ2|RM45_HUMAN 39S ribosomal protein L45, mitochondrial OS=Homo sapiens OX=9606 GN=MRPL45 PE=1 SV=2
+ALQPKQAEGQAEEYEEEPKLQPGPIMVTKLIPQKPPAWPPVIKTHMRWSGYPNTLQKEFVVYELVDKPVDEQGYMLRGFRDYIALTQRTHMRVTIQGYVNGQNMMSSCRVQVVHSPELSEVFSWRVTKYKIDWTMDPFCHETVLTHLRDHDSNNLCLHAEIFIDKAKEPFDKIKFNADYDKIRRISVQSAMTKKMRETREILGEKSLSSIRADGEPPVYADFIGATCALHISRDSKEPPIVLGAKRAHQMFEKETKFKPQYIPPTFRKKTRVPVIAASQTVLVPQRFWWGLFRSLCSFGQPIPAAM
+>sp|Q9H871|RMD5A_HUMAN Protein RMD5 homolog A OS=Homo sapiens OX=9606 GN=RMND5A PE=1 SV=1
+MDQCVTVERELEKVLHKFSGYGQLCERGLEELIDYTGGLKHEILQSHGQDAELSGTLSLVLTQCCKRIKDTVQKLASDHKDIHSSVSRVGKAIDKNFDSDISSVGIDGCWQADSQRLLNEVMVEHFFRQGMLDVAEELCQESGLSVDPSQKEPFVELNRILEALKVRVLRPALEWAVSNREMLIAQNSSLEFKLHRLYFISLLMGGTTNQREALQYAKNFQPFALNHQKDIQVLMGSLVYLRQGIENSPYVHLLDANQWADICDIFTRDACALLGLSVESPLSVSFSAGCVALPALINIKAVIEQRQCTGVWNQKDELPIEVDLGKKCWYHSIFACPILRQQTTDNNPPMKLVCGHIISRDALNKMFNGSKLKCPYCPMEQSPGDAKQIFF
+>DECOY_sp|Q9H871|RMD5A_HUMAN Protein RMD5 homolog A OS=Homo sapiens OX=9606 GN=RMND5A PE=1 SV=1
+FFIQKADGPSQEMPCYPCKLKSGNFMKNLADRSIIHGCVLKMPPNNDTTQQRLIPCAFISHYWCKKGLDVEIPLEDKQNWVGTCQRQEIVAKINILAPLAVCGASFSVSLPSEVSLGLLACADRTFIDCIDAWQNADLLHVYPSNEIGQRLYVLSGMLVQIDKQHNLAFPQFNKAYQLAERQNTTGGMLLSIFYLRHLKFELSSNQAILMERNSVAWELAPRLVRVKLAELIRNLEVFPEKQSPDVSLGSEQCLEEAVDLMGQRFFHEVMVENLLRQSDAQWCGDIGVSSIDSDFNKDIAKGVRSVSSHIDKHDSALKQVTDKIRKCCQTLVLSLTGSLEADQGHSQLIEHKLGGTYDILEELGRECLQGYGSFKHLVKELEREVTVCQDM
+>sp|Q96E14|RMI2_HUMAN RecQ-mediated genome instability protein 2 OS=Homo sapiens OX=9606 GN=RMI2 PE=1 SV=2
+MAAAADSFSGGPAGVRLPRSPPLKVLAEQLRRDAEGGPGAWRLSRAAAGRGPLDLAAVWMQGRVVMADRGEARLRDPSGDFSVRGLERVPRGRPCLVPGKYVMVMGVVQACSPEPCLQAVKMTDLSDNPIHESMWELEVEDLHRNIP
+>DECOY_sp|Q96E14|RMI2_HUMAN RecQ-mediated genome instability protein 2 OS=Homo sapiens OX=9606 GN=RMI2 PE=1 SV=2
+PINRHLDEVELEWMSEHIPNDSLDTMKVAQLCPEPSCAQVVGMVMVYKGPVLCPRGRPVRELGRVSFDGSPDRLRAEGRDAMVVRGQMWVAALDLPGRGAAARSLRWAGPGGEADRRLQEALVKLPPSRPLRVGAPGGSFSDAAAAM
+>sp|Q9ULX5|RN112_HUMAN RING finger protein 112 OS=Homo sapiens OX=9606 GN=RNF112 PE=1 SV=2
+MPRPALSVTSFCHRLGKRERKQSFMGNSGNSWSHTPFPKLELGLGPQPMAPRELPTCSICLERLRDPISLDCGHDFCIRCFSTHRLPGCEPPCCPECRKICKQKRGLRSLGEKMKLLPQRPLPPALQETCPVRAEPLLLVRINASGGLILRMGAINRCLKHPLARDTPVCLLAVLGEQHSGKSFLLNHLLQGLPGLESGEGGRPRGGEASLQGCRWGANGLARGIWMWSHPFLLGKEGKKVAVFLVDTGDAMSPELSRETRIKLCALTTMLSSYQILSTSQELKDTDLDYLEMFVHVAEVMGKHYGMVPIQHLDLLVRDSSHPNKAGQGHVGNIFQRLSGRYPKVQELLQGKRARCCLLPAPGRRRMNQGHASPGDTDDDFRHLLGAYVSDVLSAAPQHAKSRCQGYWNEGRAVARGDRRLLTGQQLAQEIKNLSGWMGRTGPGFTSPDEMAAQLHDLRKVEAAKREFEEYVRQQDVATKRIFSALRVLPDTMRNLLSTQKDAILARHGVALLCKGRDQTLEALEAELQATAKAFMDSYTMRFCGHLAAVGGAVGAGLMGLAGGVVGAGMAAAALAAEAGMVAAGAAVGATGAAVVGGGVGAGLAATVGCMEKEEDERLLEGDREPLLQEE
+>DECOY_sp|Q9ULX5|RN112_HUMAN RING finger protein 112 OS=Homo sapiens OX=9606 GN=RNF112 PE=1 SV=2
+EEQLLPERDGELLREDEEKEMCGVTAALGAGVGGGVVAAGTAGVAAGAAVMGAEAALAAAAMGAGVVGGALGMLGAGVAGGVAALHGCFRMTYSDMFAKATAQLEAELAELTQDRGKCLLAVGHRALIADKQTSLLNRMTDPLVRLASFIRKTAVDQQRVYEEFERKAAEVKRLDHLQAAMEDPSTFGPGTRGMWGSLNKIEQALQQGTLLRRDGRAVARGENWYGQCRSKAHQPAASLVDSVYAGLLHRFDDDTDGPSAHGQNMRRRGPAPLLCCRARKGQLLEQVKPYRGSLRQFINGVHGQGAKNPHSSDRVLLDLHQIPVMGYHKGMVEAVHVFMELYDLDTDKLEQSTSLIQYSSLMTTLACLKIRTERSLEPSMADGTDVLFVAVKKGEKGLLFPHSWMWIGRALGNAGWRCGQLSAEGGRPRGGEGSELGPLGQLLHNLLFSKGSHQEGLVALLCVPTDRALPHKLCRNIAGMRLILGGSANIRVLLLPEARVPCTEQLAPPLPRQPLLKMKEGLSRLGRKQKCIKRCEPCCPPECGPLRHTSFCRICFDHGCDLSIPDRLRELCISCTPLERPAMPQPGLGLELKPFPTHSWSNGSNGMFSQKRERKGLRHCFSTVSLAPRPM
+>sp|Q9Y508|RN114_HUMAN E3 ubiquitin-protein ligase RNF114 OS=Homo sapiens OX=9606 GN=RNF114 PE=1 SV=1
+MAAQQRDCGGAAQLAGPAAEADPLGRFTCPVCLEVYEKPVQVPCGHVFCSACLQECLKPKKPVCGVCRSALAPGVRAVELERQIESTETSCHGCRKNFFLSKIRSHVATCSKYQNYIMEGVKATIKDASLQPRNVPNRYTFPCPYCPEKNFDQEGLVEHCKLFHSTDTKSVVCPICASMPWGDPNYRSANFREHIQRRHRFSYDTFVDYDVDEEDMMNQVLQRSIIDQ
+>DECOY_sp|Q9Y508|RN114_HUMAN E3 ubiquitin-protein ligase RNF114 OS=Homo sapiens OX=9606 GN=RNF114 PE=1 SV=1
+QDIISRQLVQNMMDEEDVDYDVFTDYSFRHRRQIHERFNASRYNPDGWPMSACIPCVVSKTDTSHFLKCHEVLGEQDFNKEPCYPCPFTYRNPVNRPQLSADKITAKVGEMIYNQYKSCTAVHSRIKSLFFNKRCGHCSTETSEIQRELEVARVGPALASRCVGCVPKKPKLCEQLCASCFVHGCPVQVPKEYVELCVPCTFRGLPDAEAAPGALQAAGGCDRQQAAM
+>sp|Q8TEB7|RN128_HUMAN E3 ubiquitin-protein ligase RNF128 OS=Homo sapiens OX=9606 GN=RNF128 PE=1 SV=1
+MGPPPGAGVSCRGGCGFSRLLAWCFLLALSPQAPGSRGAEAVWTAYLNVSWRVPHTGVNRTVWELSEEGVYGQDSPLEPVAGVLVPPDGPGALNACNPHTNFTVPTVWGSTVQVSWLALIQRGGGCTFADKIHLAYERGASGAVIFNFPGTRNEVIPMSHPGAVDIVAIMIGNLKGTKILQSIQRGIQVTMVIEVGKKHGPWVNHYSIFFVSVSFFIITAATVGYFIFYSARRLRNARAQSRKQRQLKADAKKAIGRLQLRTLKQGDKEIGPDGDSCAVCIELYKPNDLVRILTCNHIFHKTCVDPWLLEHRTCPMCKCDILKALGIEVDVEDGSVSLQVPVSNEISNSASSHEEDNRSETASSGYASVQGTDEPPLEEHVQSTNESLQLVNHEANSVAVDVIPHVDNPTFEEDETPNQETAVREIKS
+>DECOY_sp|Q8TEB7|RN128_HUMAN E3 ubiquitin-protein ligase RNF128 OS=Homo sapiens OX=9606 GN=RNF128 PE=1 SV=1
+SKIERVATEQNPTEDEEFTPNDVHPIVDVAVSNAEHNVLQLSENTSQVHEELPPEDTGQVSAYGSSATESRNDEEHSSASNSIENSVPVQLSVSGDEVDVEIGLAKLIDCKCMPCTRHELLWPDVCTKHFIHNCTLIRVLDNPKYLEICVACSDGDPGIEKDGQKLTRLQLRGIAKKADAKLQRQKRSQARANRLRRASYFIFYGVTAATIIFFSVSVFFISYHNVWPGHKKGVEIVMTVQIGRQISQLIKTGKLNGIMIAVIDVAGPHSMPIVENRTGPFNFIVAGSAGREYALHIKDAFTCGGGRQILALWSVQVTSGWVTPVTFNTHPNCANLAGPGDPPVLVGAVPELPSDQGYVGEESLEWVTRNVGTHPVRWSVNLYATWVAEAGRSGPAQPSLALLFCWALLRSFGCGGRCSVGAGPPPGM
+>sp|Q8IUD6|RN135_HUMAN E3 ubiquitin-protein ligase RNF135 OS=Homo sapiens OX=9606 GN=RNF135 PE=1 SV=2
+MAGLGLGSAVPVWLAEDDLGCIICQGLLDWPATLPCGHSFCRHCLEALWGARDARRWACPTCRQGAAQQPHLRKNTLLQDLADKYRRAAREIQAGSDPAHCPCPGSSSLSSAAARPRRRPELQRVAVEKSITEVAQELTELVEHLVDIVRSLQNQRPLSESGPDNELSILGKAFSSGVDLSMASPKLVTSDTAAGKIRDILHDLEEIQEKLQESVTWKEAPEAQMQGELLEAPSSSSCPLPDQSHPALRRASRFAQWAIHPTFNLKSLSCSLEVSKDSRTVTVSHRPQPYRWSCERFSTSQVLCSQALSSGKHYWEVDTRNCSHWAVGVASWEMSRDQVLGRTMDSCCVEWKGTSQLSAWHMVKETVLGSDRPGVVGIWLNLEEGKLAFYSVDNQEKLLYECTISASSPLYPAFWLYGLHPGNYLIIKQVKV
+>DECOY_sp|Q8IUD6|RN135_HUMAN E3 ubiquitin-protein ligase RNF135 OS=Homo sapiens OX=9606 GN=RNF135 PE=1 SV=2
+VKVQKIILYNGPHLGYLWFAPYLPSSASITCEYLLKEQNDVSYFALKGEELNLWIGVVGPRDSGLVTEKVMHWASLQSTGKWEVCCSDMTRGLVQDRSMEWSAVGVAWHSCNRTDVEWYHKGSSLAQSCLVQSTSFRECSWRYPQPRHSVTVTRSDKSVELSCSLSKLNFTPHIAWQAFRSARRLAPHSQDPLPCSSSSPAELLEGQMQAEPAEKWTVSEQLKEQIEELDHLIDRIKGAATDSTVLKPSAMSLDVGSSFAKGLISLENDPGSESLPRQNQLSRVIDVLHEVLETLEQAVETISKEVAVRQLEPRRRPRAAASSLSSSGPCPCHAPDSGAQIERAARRYKDALDQLLTNKRLHPQQAAGQRCTPCAWRRADRAGWLAELCHRCFSHGCPLTAPWDLLGQCIICGLDDEALWVPVASGLGLGAM
+>sp|Q9ULK6|RN150_HUMAN RING finger protein 150 OS=Homo sapiens OX=9606 GN=RNF150 PE=2 SV=2
+MAMSLIQACCSLALSTWLLSFCFVHLLCLDFTVAEKEEWYTAFVNITYAEPAPDPGAGAAGGGGAELHTEKTECGRYGEHSPKQDARGEVVMASSAHDRLACDPNTKFAAPTRGKNWIALIPKGNCTYRDKIRNAFLQNASAVVIFNVGSNTNETITMPHAGVEDIVAIMIPEPKGKEIVSLLERNITVTMYITIGTRNLQKYVSRTSVVFVSISFIVLMIISLAWLVFYYIQRFRYANARDRNQRRLGDAAKKAISKLQIRTIKKGDKETESDFDNCAVCIEGYKPNDVVRILPCRHLFHKSCVDPWLLDHRTCPMCKMNILKALGIPPNADCMDDLPTDFEGSLGGPPTNQITGASDTTVNESSVTLDPAVRTVGALQVVQDTDPIPQEGDVIFTTNSEQEPAVSSDSDISLIMAMEVGLSDVELSTDQDCEEVKS
+>DECOY_sp|Q9ULK6|RN150_HUMAN RING finger protein 150 OS=Homo sapiens OX=9606 GN=RNF150 PE=2 SV=2
+SKVEECDQDTSLEVDSLGVEMAMILSIDSDSSVAPEQESNTTFIVDGEQPIPDTDQVVQLAGVTRVAPDLTVSSENVTTDSAGTIQNTPPGGLSGEFDTPLDDMCDANPPIGLAKLINMKCMPCTRHDLLWPDVCSKHFLHRCPLIRVVDNPKYGEICVACNDFDSETEKDGKKITRIQLKSIAKKAADGLRRQNRDRANAYRFRQIYYFVLWALSIIMLVIFSISVFVVSTRSVYKQLNRTGITIYMTVTINRELLSVIEKGKPEPIMIAVIDEVGAHPMTITENTNSGVNFIVVASANQLFANRIKDRYTCNGKPILAIWNKGRTPAAFKTNPDCALRDHASSAMVVEGRADQKPSHEGYRGCETKETHLEAGGGGAAGAGPDPAPEAYTINVFATYWEEKEAVTFDLCLLHVFCFSLLWTSLALSCCAQILSMAM
+>sp|Q8N8N0|RN152_HUMAN E3 ubiquitin-protein ligase RNF152 OS=Homo sapiens OX=9606 GN=RNF152 PE=1 SV=1
+METLSQDSLLECQICFNYYSPRRRPKLLDCKHTCCSVCLQQMRTSQKDVRCPWCRGVTKLPPGFSVSQLPDDPEVLAVIAIPHTSEHTPVFIKLPSNGCYMLPLPISKERALLPGDMGCRLLPGSQQKSVTVVTIPAEQQPLQGGAPQEAVEEEQDRRGVVKSSTWSGVCTVILVACVLVFLLGIVLHNMSCISKRFTVISCG
+>DECOY_sp|Q8N8N0|RN152_HUMAN E3 ubiquitin-protein ligase RNF152 OS=Homo sapiens OX=9606 GN=RNF152 PE=1 SV=1
+GCSIVTFRKSICSMNHLVIGLLFVLVCAVLIVTCVGSWTSSKVVGRRDQEEEVAEQPAGGQLPQQEAPITVVTVSKQQSGPLLRCGMDGPLLAREKSIPLPLMYCGNSPLKIFVPTHESTHPIAIVALVEPDDPLQSVSFGPPLKTVGRCWPCRVDKQSTRMQQLCVSCCTHKCDLLKPRRRPSYYNFCIQCELLSDQSLTEM
+>sp|Q9H6Y7|RN167_HUMAN E3 ubiquitin-protein ligase RNF167 OS=Homo sapiens OX=9606 GN=RNF167 PE=1 SV=1
+MHPAAFPLPVVVAAVLWGAAPTRGLIRATSDHNASMDFADLPALFGATLSQEGLQGFLVEAHPDNACSPIAPPPPAPVNGSVFIALLRRFDCNFDLKVLNAQKAGYGAAVVHNVNSNELLNMVWNSEEIQQQIWIPSVFIGERSSEYLRALFVYEKGARVLLVPDNTFPLGYYLIPFTGIVGLLVLAMGAVMIARCIQHRKRLQRNRLTKEQLKQIPTHDYQKGDQYDVCAICLDEYEDGDKLRVLPCAHAYHSRCVDPWLTQTRKTCPICKQPVHRGPGDEDQEEETQGQEEGDEGEPRDHPASERTPLLGSSPTLPTSFGSLAPAPLVFPGPSTDPPLSPPSSPVILV
+>DECOY_sp|Q9H6Y7|RN167_HUMAN E3 ubiquitin-protein ligase RNF167 OS=Homo sapiens OX=9606 GN=RNF167 PE=1 SV=1
+VLIVPSSPPSLPPDTSPGPFVLPAPALSGFSTPLTPSSGLLPTRESAPHDRPEGEDGEEQGQTEEEQDEDGPGRHVPQKCIPCTKRTQTLWPDVCRSHYAHACPLVRLKDGDEYEDLCIACVDYQDGKQYDHTPIQKLQEKTLRNRQLRKRHQICRAIMVAGMALVLLGVIGTFPILYYGLPFTNDPVLLVRAGKEYVFLARLYESSREGIFVSPIWIQQQIEESNWVMNLLENSNVNHVVAAGYGAKQANLVKLDFNCDFRRLLAIFVSGNVPAPPPPAIPSCANDPHAEVLFGQLGEQSLTAGFLAPLDAFDMSANHDSTARILGRTPAAGWLVAAVVVPLPFAAPHM
+>sp|Q8NCN4|RN169_HUMAN E3 ubiquitin-protein ligase RNF169 OS=Homo sapiens OX=9606 GN=RNF169 PE=1 SV=2
+MAAAGPSTRASSAAAAAALSRRGRRGRCDETAAAKTGAPGPASGPSLLVLSPPLLQPPLPPRPEESGCAGCLEPPGEAAALPCGHSLCRGCAQRAADAAGPGCPRCRARGPGWARRRARDDGQADSEVLGECARRSQPERCRPRRDGGAAAAGPRPEQEPRAAPAEPDFIFRAPIKLSKPGELREEYESLRKLREEKLQEEKPSEDQIHKLLPEDTETGKRKMDEQKKRDEPLVLKTNLERCPARLSDSENEEPSRGQMTQTHRSAFVSKNNSYSLAFLAGKLNSKVERSQSCSDTAQERAKSRVRAVPGNKAKVTTMTPASNPIIGVLLSTQNNRCVSAPDLTIEKRLPFSSLSSLASLHKPERSVSPESNDSISEELNHFKPIVCSPCTPPKRLPDGRVLSPLIIKSTPRNLNRSLQKQTSYEASPRILKKWEQIFQERQIKKTLSKATLTSLAPEMGEELLGSEGIHSSKEKPLVAVNTRLSGGQVLSEYTGPTSADLDHFPSVSQTKAEQDSDNKSSTEIPLETCCSSELKGGGSGTSLEREQFEGLGSTPDAKLDKTCISRAMKITTVNSVLPQNSVLGGVLKTKQQLKTLNHFDLTNGVLVESLSEEPLPSLRRGRKRHCKTKHLEQNGSLKKLRQTSGEVGLAPTDPVLREMEQKLQQEEEDRQLALQLQRMFDNERRTVSRRKGSVDQYLLRSSNMAGAK
+>DECOY_sp|Q8NCN4|RN169_HUMAN E3 ubiquitin-protein ligase RNF169 OS=Homo sapiens OX=9606 GN=RNF169 PE=1 SV=2
+KAGAMNSSRLLYQDVSGKRRSVTRRENDFMRQLQLALQRDEEEQQLKQEMERLVPDTPALGVEGSTQRLKKLSGNQELHKTKCHRKRGRRLSPLPEESLSEVLVGNTLDFHNLTKLQQKTKLVGGLVSNQPLVSNVTTIKMARSICTKDLKADPTSGLGEFQERELSTGSGGGKLESSCCTELPIETSSKNDSDQEAKTQSVSPFHDLDASTPGTYESLVQGGSLRTNVAVLPKEKSSHIGESGLLEEGMEPALSTLTAKSLTKKIQREQFIQEWKKLIRPSAEYSTQKQLSRNLNRPTSKIILPSLVRGDPLRKPPTCPSCVIPKFHNLEESISDNSEPSVSREPKHLSALSSLSSFPLRKEITLDPASVCRNNQTSLLVGIIPNSAPTMTTVKAKNGPVARVRSKAREQATDSCSQSREVKSNLKGALFALSYSNNKSVFASRHTQTMQGRSPEENESDSLRAPCRELNTKLVLPEDRKKQEDMKRKGTETDEPLLKHIQDESPKEEQLKEERLKRLSEYEERLEGPKSLKIPARFIFDPEAPAARPEQEPRPGAAAAGGDRRPRCREPQSRRACEGLVESDAQGDDRARRRAWGPGRARCRPCGPGAADAARQACGRCLSHGCPLAAAEGPPELCGACGSEEPRPPLPPQLLPPSLVLLSPGSAPGPAGTKAAATEDCRGRRGRRSLAAAAAASSARTSPGAAAM
+>sp|Q9NWF9|RN216_HUMAN E3 ubiquitin-protein ligase RNF216 OS=Homo sapiens OX=9606 GN=RNF216 PE=1 SV=3
+MEEGNNNEEVIHLNNFHCHRGQEWINLRDGPITISDSSDEERIPMLVTPAPQQHEEEDLDDDVILTEDDSEDDYGEFLDLGPPGISEFTKPSGQTEREPKPGPSHNQAANDIVNPRSEQKVIILEEGSLLYTESDPLETQNQSSEDSETELLSNLGESAALADDQAIEEDCWLDHPYFQSLNQQPREITNQVVPQERQPEAELGRLLFQHEFPGPAFPRPEPQQGGISGPSSPQPAHPLGEFEDQQLASDDEEPGPAFPMQESQEPNLENIWGQEAAEVDQELVELLVKETEARFPDVANGFIEEIIHFKNYYDLNVLCNFLLENPDYPKREDRIIINPSSSLLASQDETKLPKIDFFDYSKLTPLDQRCFIQAADLLMADFKVLSSQDIKWALHELKGHYAITRKALSDAIKKWQELSPETSGKRKKRKQMNQYSYIDFKFEQGDIKIEKRMFFLENKRRHCRSYDRRALLPAVQQEQEFYEQKIKEMAEHEDFLLALQMNEEQYQKDGQLIECRCCYGEFPFEELTQCADAHLFCKECLIRYAQEAVFGSGKLELSCMEGSCTCSFPTSELEKVLPQTILYKYYERKAEEEVAAAYADELVRCPSCSFPALLDSDVKRFSCPNPHCRKETCRKCQGLWKEHNGLTCEELAEKDDIKYRTSIEEKMTAARIRKCHKCGTGLIKSEGCNRMSCRCGAQMCYLCRVSINGYDHFCQHPRSPGAPCQECSRCSLWTDPTEDDEKLIEEIQKEAEEEQKRKNGENTFKRIGPPLEKPVEKVQRVEALPRPVPQNLPQPQMPPYAFAHPPFPLPPVRPVFNNFPLNMGPIPAPYVPPLPNVRVNYDFGPIHMPLEHNLPMHFGPQPRHRF
+>DECOY_sp|Q9NWF9|RN216_HUMAN E3 ubiquitin-protein ligase RNF216 OS=Homo sapiens OX=9606 GN=RNF216 PE=1 SV=3
+FRHRPQPGFHMPLNHELPMHIPGFDYNVRVNPLPPVYPAPIPGMNLPFNNFVPRVPPLPFPPHAFAYPPMQPQPLNQPVPRPLAEVRQVKEVPKELPPGIRKFTNEGNKRKQEEEAEKQIEEILKEDDETPDTWLSCRSCEQCPAGPSRPHQCFHDYGNISVRCLYCMQAGCRCSMRNCGESKILGTGCKHCKRIRAATMKEEISTRYKIDDKEALEECTLGNHEKWLGQCKRCTEKRCHPNPCSFRKVDSDLLAPFSCSPCRVLEDAYAAAVEEEAKREYYKYLITQPLVKELESTPFSCTCSGEMCSLELKGSGFVAEQAYRILCEKCFLHADACQTLEEFPFEGYCCRCEILQGDKQYQEENMQLALLFDEHEAMEKIKQEYFEQEQQVAPLLARRDYSRCHRRKNELFFMRKEIKIDGQEFKFDIYSYQNMQKRKKRKGSTEPSLEQWKKIADSLAKRTIAYHGKLEHLAWKIDQSSLVKFDAMLLDAAQIFCRQDLPTLKSYDFFDIKPLKTEDQSALLSSSPNIIIRDERKPYDPNELLFNCLVNLDYYNKFHIIEEIFGNAVDPFRAETEKVLLEVLEQDVEAAEQGWINELNPEQSEQMPFAPGPEEDDSALQQDEFEGLPHAPQPSSPGSIGGQQPEPRPFAPGPFEHQFLLRGLEAEPQREQPVVQNTIERPQQNLSQFYPHDLWCDEEIAQDDALAASEGLNSLLETESDESSQNQTELPDSETYLLSGEELIIVKQESRPNVIDNAAQNHSPGPKPERETQGSPKTFESIGPPGLDLFEGYDDESDDETLIVDDDLDEEEHQQPAPTVLMPIREEDSSDSITIPGDRLNIWEQGRHCHFNNLHIVEENNNGEEM
+>sp|P07998|RNAS1_HUMAN Ribonuclease pancreatic OS=Homo sapiens OX=9606 GN=RNASE1 PE=1 SV=4
+MALEKSLVRLLLLVLILLVLGWVQPSLGKESRAKKFQRQHMDSDSSPSSSSTYCNQMMRRRNMTQGRCKPVNTFVHEPLVDVQNVCFQEKVTCKNGQGNCYKSNSSMHITDCRLTNGSRYPNCAYRTSPKERHIIVACEGSPYVPVHFDASVEDST
+>DECOY_sp|P07998|RNAS1_HUMAN Ribonuclease pancreatic OS=Homo sapiens OX=9606 GN=RNASE1 PE=1 SV=4
+TSDEVSADFHVPVYPSGECAVIIHREKPSTRYACNPYRSGNTLRCDTIHMSSNSKYCNGQGNKCTVKEQFCVNQVDVLPEHVFTNVPKCRGQTMNRRRMMQNCYTSSSSPSSDSDMHQRQFKKARSEKGLSPQVWGLVLLILVLLLLRVLSKELAM
+>sp|Q93091|RNAS6_HUMAN Ribonuclease K6 OS=Homo sapiens OX=9606 GN=RNASE6 PE=1 SV=2
+MVLCFPLLLLLLVLWGPVCPLHAWPKRLTKAHWFEIQHIQPSPLQCNRAMSGINNYTQHCKHQNTFLHDSFQNVAAVCDLLSIVCKNRRHNCHQSSKPVNMTDCRLTSGKYPQCRYSAAAQYKFFIVACDPPQKSDPPYKLVPVHLDSIL
+>DECOY_sp|Q93091|RNAS6_HUMAN Ribonuclease K6 OS=Homo sapiens OX=9606 GN=RNASE6 PE=1 SV=2
+LISDLHVPVLKYPPDSKQPPDCAVIFFKYQAAASYRCQPYKGSTLRCDTMNVPKSSQHCNHRRNKCVISLLDCVAAVNQFSDHLFTNQHKCHQTYNNIGSMARNCQLPSPQIHQIEFWHAKTLRKPWAHLPCVPGWLVLLLLLLPFCLVM
+>sp|Q9H1E1|RNAS7_HUMAN Ribonuclease 7 OS=Homo sapiens OX=9606 GN=RNASE7 PE=1 SV=2
+MAPARAGFCPLLLLLLLGLWVAEIPVSAKPKGMTSSQWFKIQHMQPSPQACNSAMKNINKHTKRCKDLNTFLHEPFSSVAATCQTPKIACKNGDKNCHQSHGAVSLTMCKLTSGKHPNCRYKEKRQNKSYVVACKPPQKKDSQQFHLVPVHLDRVL
+>DECOY_sp|Q9H1E1|RNAS7_HUMAN Ribonuclease 7 OS=Homo sapiens OX=9606 GN=RNASE7 PE=1 SV=2
+LVRDLHVPVLHFQQSDKKQPPKCAVVYSKNQRKEKYRCNPHKGSTLKCMTLSVAGHSQHCNKDGNKCAIKPTQCTAAVSSFPEHLFTNLDKCRKTHKNINKMASNCAQPSPQMHQIKFWQSSTMGKPKASVPIEAVWLGLLLLLLLPCFGARAPAM
+>sp|P30050|RL12_HUMAN 60S ribosomal protein L12 OS=Homo sapiens OX=9606 GN=RPL12 PE=1 SV=1
+MPPKFDPNEIKVVYLRCTGGEVGATSALAPKIGPLGLSPKKVGDDIAKATGDWKGLRITVKLTIQNRQAQIEVVPSASALIIKALKEPPRDRKKQKNIKHSGNITFDEIVNIARQMRHRSLARELSGTIKEILGTAQSVGCNVDGRHPHDIIDDINSGAVECPAS
+>DECOY_sp|P30050|RL12_HUMAN 60S ribosomal protein L12 OS=Homo sapiens OX=9606 GN=RPL12 PE=1 SV=1
+SAPCEVAGSNIDDIIDHPHRGDVNCGVSQATGLIEKITGSLERALSRHRMQRAINVIEDFTINGSHKINKQKKRDRPPEKLAKIILASASPVVEIQAQRNQITLKVTIRLGKWDGTAKAIDDGVKKPSLGLPGIKPALASTAGVEGGTCRLYVVKIENPDFKPPM
+>sp|P26373|RL13_HUMAN 60S ribosomal protein L13 OS=Homo sapiens OX=9606 GN=RPL13 PE=1 SV=4
+MAPSRNGMVLKPHFHKDWQRRVATWFNQPARKIRRRKARQAKARRIAPRPASGPIRPIVRCPTVRYHTKVRAGRGFSLEELRVAGIHKKVARTIGISVDPRRRNKSTESLQANVQRLKEYRSKLILFPRKPSAPKKGDSSAEELKLATQLTGPVMPVRNVYKKEKARVITEEEKNFKAFASLRMARANARLFGIRAKRAKEAAEQDVEKKK
+>DECOY_sp|P26373|RL13_HUMAN 60S ribosomal protein L13 OS=Homo sapiens OX=9606 GN=RPL13 PE=1 SV=4
+KKKEVDQEAAEKARKARIGFLRANARAMRLSAFAKFNKEEETIVRAKEKKYVNRVPMVPGTLQTALKLEEASSDGKKPASPKRPFLILKSRYEKLRQVNAQLSETSKNRRRPDVSIGITRAVKKHIGAVRLEELSFGRGARVKTHYRVTPCRVIPRIPGSAPRPAIRRAKAQRAKRRRIKRAPQNFWTAVRRQWDKHFHPKLVMGNRSPAM
+>sp|P62750|RL23A_HUMAN 60S ribosomal protein L23a OS=Homo sapiens OX=9606 GN=RPL23A PE=1 SV=1
+MAPKAKKEAPAPPKAEAKAKALKAKKAVLKGVHSHKKKKIRTSPTFRRPKTLRLRRQPKYPRKSAPRRNKLDHYAIIKFPLTTESAMKKIEDNNTLVFIVDVKANKHQIKQAVKKLYDIDVAKVNTLIRPDGEKKAYVRLAPDYDALDVANKIGII
+>DECOY_sp|P62750|RL23A_HUMAN 60S ribosomal protein L23a OS=Homo sapiens OX=9606 GN=RPL23A PE=1 SV=1
+IIGIKNAVDLADYDPALRVYAKKEGDPRILTNVKAVDIDYLKKVAQKIQHKNAKVDVIFVLTNNDEIKKMASETTLPFKIIAYHDLKNRRPASKRPYKPQRRLRLTKPRRFTPSTRIKKKKHSHVGKLVAKKAKLAKAKAEAKPPAPAEKKAKPAM
+>sp|P62829|RL23_HUMAN 60S ribosomal protein L23 OS=Homo sapiens OX=9606 GN=RPL23 PE=1 SV=1
+MSKRGRGGSSGAKFRISLGLPVGAVINCADNTGAKNLYIISVKGIKGRLNRLPAAGVGDMVMATVKKGKPELRKKVHPAVVIRQRKSYRRKDGVFLYFEDNAGVIVNNKGEMKGSAITGPVAKECADLWPRIASNAGSIA
+>DECOY_sp|P62829|RL23_HUMAN 60S ribosomal protein L23 OS=Homo sapiens OX=9606 GN=RPL23 PE=1 SV=1
+AISGANSAIRPWLDACEKAVPGTIASGKMEGKNNVIVGANDEFYLFVGDKRRYSKRQRIVVAPHVKKRLEPKGKKVTAMVMDGVGAAPLRNLRGKIGKVSIIYLNKAGTNDACNIVAGVPLGLSIRFKAGSSGGRGRKSM
+>sp|P83731|RL24_HUMAN 60S ribosomal protein L24 OS=Homo sapiens OX=9606 GN=RPL24 PE=1 SV=1
+MKVELCSFSGYKIYPGHGRRYARTDGKVFQFLNAKCESAFLSKRNPRQINWTVLYRRKHKKGQSEEIQKKRTRRAVKFQRAITGASLADIMAKRNQKPEVRKAQREQAIRAAKEAKKAKQASKKTAMAAAKAPTKAAPKQKIVKPVKVSAPRVGGKR
+>DECOY_sp|P83731|RL24_HUMAN 60S ribosomal protein L24 OS=Homo sapiens OX=9606 GN=RPL24 PE=1 SV=1
+RKGGVRPASVKVPKVIKQKPAAKTPAKAAAMATKKSAQKAKKAEKAARIAQERQAKRVEPKQNRKAMIDALSAGTIARQFKVARRTRKKQIEESQGKKHKRRYLVTWNIQRPNRKSLFASECKANLFQFVKGDTRAYRRGHGPYIKYGSFSCLEVKM
+>sp|Q96B86|RGMA_HUMAN Repulsive guidance molecule A OS=Homo sapiens OX=9606 GN=RGMA PE=1 SV=3
+MQPPRERLVVTGRAGWMGMGRGAGRSALGFWPTLAFLLCSFPAATSPCKILKCNSEFWSATSGSHAPASDDTPEFCAALRSYALCTRRTARTCRGDLAYHSAVHGIEDLMSQHNCSKDGPTSQPRLRTLPPAGDSQERSDSPEICHYEKSFHKHSATPNYTHCGLFGDPHLRTFTDRFQTCKVQGAWPLIDNNYLNVQVTNTPVLPGSAATATSKLTIIFKNFQECVDQKVYQAEMDELPAAFVDGSKNGGDKHGANSLKITEKVSGQHVEIQAKYIGTTIVVRQVGRYLTFAVRMPEEVVNAVEDWDSQGLYLCLRGCPLNQQIDFQAFHTNAEGTGARRLAAASPAPTAPETFPYETAVAKCKEKLPVEDLYYQACVFDLLTTGDVNFTLAAYYALEDVKMLHSNKDKLHLYDRTRDLPGRAAAGLPLAPRPLLGALVPLLALLPVFC
+>DECOY_sp|Q96B86|RGMA_HUMAN Repulsive guidance molecule A OS=Homo sapiens OX=9606 GN=RGMA PE=1 SV=3
+CFVPLLALLPVLAGLLPRPALPLGAAARGPLDRTRDYLHLKDKNSHLMKVDELAYYAALTFNVDGTTLLDFVCAQYYLDEVPLKEKCKAVATEYPFTEPATPAPSAAALRRAGTGEANTHFAQFDIQQNLPCGRLCLYLGQSDWDEVANVVEEPMRVAFTLYRGVQRVVITTGIYKAQIEVHQGSVKETIKLSNAGHKDGGNKSGDVFAAPLEDMEAQYVKQDVCEQFNKFIITLKSTATAASGPLVPTNTVQVNLYNNDILPWAGQVKCTQFRDTFTRLHPDGFLGCHTYNPTASHKHFSKEYHCIEPSDSREQSDGAPPLTRLRPQSTPGDKSCNHQSMLDEIGHVASHYALDGRCTRATRRTCLAYSRLAACFEPTDDSAPAHSGSTASWFESNCKLIKCPSTAAPFSCLLFALTPWFGLASRGAGRGMGMWGARGTVVLRERPPQM
+>sp|Q7Z3J3|RGPD4_HUMAN RanBP2-like and GRIP domain-containing protein 4 OS=Homo sapiens OX=9606 GN=RGPD4 PE=2 SV=3
+MSCSKAYGERYVASVQGSAPSPRKKSTRGFYFAKLYYEAKEYDLAKKYICTYINVREMDPRAHRFLGLLYELEENTEKAVECYRRSVELNPTQKDLVLKIAELLCKNDVTDGRAKYWVERAAKLFPGSPAIYKLKEQLLDCEGEDGWNKLFDLIQSELYVRPDDVHVNIRLVELYRSTKRLKDAVARCHEAERNIALRSSLEWNSCVVQTLKEYLESLQCLESDKSDWRATNTDLLLAYANLMLLTLSTRDVQESRELLESFDSALQSAKSSLGGNDELSATFLEMKGHFYMHAGSLLLKMGQHGNNVQWRALSELAALCYLIAFQVPRPKIKLIKGEAGQNLLEMMACDRLSQSGHMLLNLSRGKQDFLKVVVETFANKSGQSALYDALFSSQSPKDTSFLGSDDIGNIDVQEPELEDLARYDVGAIRAHNGSLQHLTWLGLQWNSLPALPGIRKWLKQLFHHLPQETSRLETNAPESICILDLEVFLLGVVYTSHLQLKEKCNSHHSSYQPLCLPLPVCKQLCTERQKSWWDAVCTLIHRKAVPGNSAKLRLLVQHEINTLRAQEKHGLQPALLVHWAKCLQKMGSGLNSFYDQREYIGRSVHYWKKVLPLLKIIKKKNSIPEPIDPLFKHFHSVDIQASEIVEYEEDAHVTFAILDAVNGNIEDAMTAFESIKSVVSYWNLALIFHRKAEDIANDALSPEEQEECKNYLRKTRGYLIKILDDSDSNLSVVKKLPVPLESVKEMLKSVMQELENYSEGDPLYKNGSLRNADSEIKHSTPSPTKYSLSPSKSYKYSPKTPPRWAEDQNSLLKMIRQEVKAIKEEMQELKLNSSKSASHHRWPTENYGPDSVPDGYQGSQTFHGAPLTVATTGPSVYYSQSPAYNSQYLLRPAANVTPTKGSSNTEFKSTKEGFSIPVSADGFKFGISEPGNQEKESEKPLENDTGFQAQDISGQKNGRGVIFGQTSSTFTFADVAKSTSGEGFQFGKKDPNFKGFSGAGEKLFSSQCGKMANKANTSGDFEKDDDAYKTEDSDDIHFEPVVQMPEKVELVIGEEGEKVLYSQGVKLFRFDAEVRQWKERGLGNLKILKNEVNGKPRMLMRREQVLKVCANHWITTTMNLKPLSGSDRAWMWSASDFSDGDAKLERLAAKFKTPELAEEFKQKFEECQQLLLDIPLQTPHKLVDTGRAAKLIQRAEEMKSGLKDFKTFLTNDQTKVTEEENKGSGTGAAGASDTTIKPNPENTGPTLEWDNCDLREDALDDSVSSSSVHASPLASSPVRKNLFHFGESTTGSNFSFKSALSPSKSPAKLNQSGTSVGTDEESDVTQEEERDGQYFEPVVPLPDLVEVSSGEENEKVVFSHRAELYRYDKDVGQWKERGIGDIKILQNYDNKQVRIVMRRDQVLKLCANHTITPDMSLQNMKGTERVWVWTACDFADGERKVEHLAVRFKLQDVADSFKKIFDEAKTAQEKDSLITPHVSRSSTPRESPCGKIAVAVLEETTRERTDVIQGDDVADAASEVEVSSTSETTTKAVVSPPKFVFGSESVKRIFSSEKSKPFAFGNSSATGSLFGFSFNASLKSNNSETSSVAQSGSESKVEPKKCELSKNSDIEQSSDSKVKNLSASFPMEESSINYTFKTPEKEPPLWHAEFTKEELVQKLSSTTKSADHLNGLLREAEATSAVLMEQIKLLKSEIRRLERNQEQEESAANVEHLKNVLLQFIFLKPGSERERLLPVINTMLQLSPEEKGKLAAVAQGEE
+>DECOY_sp|Q7Z3J3|RGPD4_HUMAN RanBP2-like and GRIP domain-containing protein 4 OS=Homo sapiens OX=9606 GN=RGPD4 PE=2 SV=3
+EEGQAVAALKGKEEPSLQLMTNIVPLLRERESGPKLFIFQLLVNKLHEVNAASEEQEQNRELRRIESKLLKIQEMLVASTAEAERLLGNLHDASKTTSSLKQVLEEKTFEAHWLPPEKEPTKFTYNISSEEMPFSASLNKVKSDSSQEIDSNKSLECKKPEVKSESGSQAVSSTESNNSKLSANFSFGFLSGTASSNGFAFPKSKESSFIRKVSESGFVFKPPSVVAKTTTESTSSVEVESAADAVDDGQIVDTRERTTEELVAVAIKGCPSERPTSSRSVHPTILSDKEQATKAEDFIKKFSDAVDQLKFRVALHEVKREGDAFDCATWVWVRETGKMNQLSMDPTITHNACLKLVQDRRMVIRVQKNDYNQLIKIDGIGREKWQGVDKDYRYLEARHSFVVKENEEGSSVEVLDPLPVVPEFYQGDREEEQTVDSEEDTGVSTGSQNLKAPSKSPSLASKFSFNSGTTSEGFHFLNKRVPSSALPSAHVSSSSVSDDLADERLDCNDWELTPGTNEPNPKITTDSAGAAGTGSGKNEEETVKTQDNTLFTKFDKLGSKMEEARQILKAARGTDVLKHPTQLPIDLLLQQCEEFKQKFEEALEPTKFKAALRELKADGDSFDSASWMWARDSGSLPKLNMTTTIWHNACVKLVQERRMLMRPKGNVENKLIKLNGLGREKWQRVEADFRFLKVGQSYLVKEGEEGIVLEVKEPMQVVPEFHIDDSDETKYADDDKEFDGSTNAKNAMKGCQSSFLKEGAGSFGKFNPDKKGFQFGEGSTSKAVDAFTFTSSTQGFIVGRGNKQGSIDQAQFGTDNELPKESEKEQNGPESIGFKFGDASVPISFGEKTSKFETNSSGKTPTVNAAPRLLYQSNYAPSQSYYVSPGTTAVTLPAGHFTQSGQYGDPVSDPGYNETPWRHHSASKSSNLKLEQMEEKIAKVEQRIMKLLSNQDEAWRPPTKPSYKYSKSPSLSYKTPSPTSHKIESDANRLSGNKYLPDGESYNELEQMVSKLMEKVSELPVPLKKVVSLNSDSDDLIKILYGRTKRLYNKCEEQEEPSLADNAIDEAKRHFILALNWYSVVSKISEFATMADEINGNVADLIAFTVHADEEYEVIESAQIDVSHFHKFLPDIPEPISNKKKIIKLLPLVKKWYHVSRGIYERQDYFSNLGSGMKQLCKAWHVLLAPQLGHKEQARLTNIEHQVLLRLKASNGPVAKRHILTCVADWWSKQRETCLQKCVPLPLCLPQYSSHHSNCKEKLQLHSTYVVGLLFVELDLICISEPANTELRSTEQPLHHFLQKLWKRIGPLAPLSNWQLGLWTLHQLSGNHARIAGVDYRALDELEPEQVDINGIDDSGLFSTDKPSQSSFLADYLASQGSKNAFTEVVVKLFDQKGRSLNLLMHGSQSLRDCAMMELLNQGAEGKILKIKPRPVQFAILYCLAALESLARWQVNNGHQGMKLLLSGAHMYFHGKMELFTASLEDNGGLSSKASQLASDFSELLERSEQVDRTSLTLLMLNAYALLLDTNTARWDSKDSELCQLSELYEKLTQVVCSNWELSSRLAINREAEHCRAVADKLRKTSRYLEVLRINVHVDDPRVYLESQILDFLKNWGDEGECDLLQEKLKYIAPSGPFLKAAREVWYKARGDTVDNKCLLEAIKLVLDKQTPNLEVSRRYCEVAKETNEELEYLLGLFRHARPDMERVNIYTCIYKKALDYEKAEYYLKAFYFGRTSKKRPSPASGQVSAVYREGYAKSCSM
+>sp|Q99666|RGPD5_HUMAN RANBP2-like and GRIP domain-containing protein 5/6 OS=Homo sapiens OX=9606 GN=RGPD5 PE=1 SV=3
+MRRSKADVERYVASVLGLTPSPRQKSMKGFYFAKLYYEAKEYDLAKKYICTYINVQERDPKAHRFLGLLYELEENTEKAVECYRRSVELNPTQKDLVLKIAELLCKNDVTDGRAKYWVERAAKLFPGSPAIYKLKEQLLDCEGEDGWNKLFDLIQSELYVRPDDVHVNIRLVELYRSTKRLKDAVAHCHEAERNIALRSSLEWNSCVVQTLKEYLESLQCLESDKSDWQATNTDLLLAYANLMLLTLSTRDVQENRELLESFDSALQSAKSSLGGNDELSATFLEMKGHFYMYAGSLLLKMGQHGNNVQWRALSELAALCYLIAFQVPRPKIKLREGKAGQNLLEMMACDRLSQSGHMLLSLSRGKQDFLKEVVETFANKIGQSALYDALFSSQSPKDTSFLGSDDIGKIDVQEPELEDLARYDVGAIRAHNGSLQHLTWLGLQWNSLPALPGIRKWLKQLFHRLPHETSRLETNAPESICILDLEVFLLGVVYTSHLQLKEKCNSHHSSYQPLCLPFPVCKQLCTERQKSWWDAVCTLIHRKAVPGNLAKLRLLVQHEINTLRAQEKHGLQPALLVHWAKYLQKTGSGLNSFYGQLEYIGRSVHYWKKVLPLLKIIKKNSIPEPIDPLFKHFHSVDIQASEIVEYEEDAHITFAMLDAVNGNIEDAVTAFESIKSVVSYWNLALIFHRKAEDIENDALSPEEQEECRNYLTKTRDYLIKIIDDGDSNLSVVKKLPVPLESVKQMLNSVMQELEDYSEGGPLYKNGSLRNADSEIKHSTPSPTKYSLSPSKSYKYSPETPPRWTEDRNSLLNMICQQVEAIKKEMQELKLNSSKSASRHRWPTENYGPDSVPDGYQGSQTFHGAPLTVATTGPSVYYSQSPAYNSQYLLRPAANVTPTKGSSNTEFKSTKEGFSIPVSADGFKFGISEPGNQEKKREKPLENDTGFQAQDISGRKKGRGVIFGQTSSTFTFADVAKSTSGEGFQFGKKDLNFKGFSGAGEKLFSSRYGKMANKANTSGDFEKDDDAYKTEDSDDIHFEPVVQMPEKVELVTGEEGEKVLYSQGVKLFRFDAEVRQWKERGLGNLKILKNEVNGKLRMLMRREQVLKVCANHWITTTMNLKPLSGSDRAWMWSASDFSDGDAKLERLAAKFKTPELAEEFKQKFEECQRLLLDIPLQTPHKLVDTGRAAKLIQRAEEMKSGLKDFKTFLTNDQTKVTEEENKGSGTGAAGASDTTIKPNAENTGPTLEWDNYDLREDALDDSVSSSSVHASPLASSPVRKNLFRFDESTTGSNFSFKSALSLSKSPAKLNQSGTSVGTDEESVVTQEEERDGQYFEPVVPLPDLVEVSSGEENEQVVFSHRAEIYRYDKDVGQWKERGIGDIKILQNYDNKQVRIVMRRDQVLKLCANHRITPDMSLQNMKGTERVWVWTACDFADGERKVEHLAVRFKLQDVADSFKKIFDEAKTAQEKDSLITPHVSRSSTPRESPCGKIAVAILEETTRERTDVIQGDDVADAASEVEVSSTSETTTKAVVSPPKFVFVSESVKRIFSSEKSKPFVFGNSSATGSLFGFSFNAPLKSNNSETSSVAQSGSESKVEPKKCELSKNSDIEQSSDSKVKNLSASFPTEESSINYTFKTPEKEPPLWHAEFTKEELVQKLRSTTKSADHLNGLLREIEATNAVLMEQIKLLKSEIRRLERNQEREKSAANLEYLKNVLLQFIFLKPGSERERLLPVINTMLQLSPEEKGKLAAVAQDEEENASRSSG
+>DECOY_sp|Q99666|RGPD5_HUMAN RANBP2-like and GRIP domain-containing protein 5/6 OS=Homo sapiens OX=9606 GN=RGPD5 PE=1 SV=3
+GSSRSANEEEDQAVAALKGKEEPSLQLMTNIVPLLRERESGPKLFIFQLLVNKLYELNAASKEREQNRELRRIESKLLKIQEMLVANTAEIERLLGNLHDASKTTSRLKQVLEEKTFEAHWLPPEKEPTKFTYNISSEETPFSASLNKVKSDSSQEIDSNKSLECKKPEVKSESGSQAVSSTESNNSKLPANFSFGFLSGTASSNGFVFPKSKESSFIRKVSESVFVFKPPSVVAKTTTESTSSVEVESAADAVDDGQIVDTRERTTEELIAVAIKGCPSERPTSSRSVHPTILSDKEQATKAEDFIKKFSDAVDQLKFRVALHEVKREGDAFDCATWVWVRETGKMNQLSMDPTIRHNACLKLVQDRRMVIRVQKNDYNQLIKIDGIGREKWQGVDKDYRYIEARHSFVVQENEEGSSVEVLDPLPVVPEFYQGDREEEQTVVSEEDTGVSTGSQNLKAPSKSLSLASKFSFNSGTTSEDFRFLNKRVPSSALPSAHVSSSSVSDDLADERLDYNDWELTPGTNEANPKITTDSAGAAGTGSGKNEEETVKTQDNTLFTKFDKLGSKMEEARQILKAARGTDVLKHPTQLPIDLLLRQCEEFKQKFEEALEPTKFKAALRELKADGDSFDSASWMWARDSGSLPKLNMTTTIWHNACVKLVQERRMLMRLKGNVENKLIKLNGLGREKWQRVEADFRFLKVGQSYLVKEGEEGTVLEVKEPMQVVPEFHIDDSDETKYADDDKEFDGSTNAKNAMKGYRSSFLKEGAGSFGKFNLDKKGFQFGEGSTSKAVDAFTFTSSTQGFIVGRGKKRGSIDQAQFGTDNELPKERKKEQNGPESIGFKFGDASVPISFGEKTSKFETNSSGKTPTVNAAPRLLYQSNYAPSQSYYVSPGTTAVTLPAGHFTQSGQYGDPVSDPGYNETPWRHRSASKSSNLKLEQMEKKIAEVQQCIMNLLSNRDETWRPPTEPSYKYSKSPSLSYKTPSPTSHKIESDANRLSGNKYLPGGESYDELEQMVSNLMQKVSELPVPLKKVVSLNSDGDDIIKILYDRTKTLYNRCEEQEEPSLADNEIDEAKRHFILALNWYSVVSKISEFATVADEINGNVADLMAFTIHADEEYEVIESAQIDVSHFHKFLPDIPEPISNKKIIKLLPLVKKWYHVSRGIYELQGYFSNLGSGTKQLYKAWHVLLAPQLGHKEQARLTNIEHQVLLRLKALNGPVAKRHILTCVADWWSKQRETCLQKCVPFPLCLPQYSSHHSNCKEKLQLHSTYVVGLLFVELDLICISEPANTELRSTEHPLRHFLQKLWKRIGPLAPLSNWQLGLWTLHQLSGNHARIAGVDYRALDELEPEQVDIKGIDDSGLFSTDKPSQSSFLADYLASQGIKNAFTEVVEKLFDQKGRSLSLLMHGSQSLRDCAMMELLNQGAKGERLKIKPRPVQFAILYCLAALESLARWQVNNGHQGMKLLLSGAYMYFHGKMELFTASLEDNGGLSSKASQLASDFSELLERNEQVDRTSLTLLMLNAYALLLDTNTAQWDSKDSELCQLSELYEKLTQVVCSNWELSSRLAINREAEHCHAVADKLRKTSRYLEVLRINVHVDDPRVYLESQILDFLKNWGDEGECDLLQEKLKYIAPSGPFLKAAREVWYKARGDTVDNKCLLEAIKLVLDKQTPNLEVSRRYCEVAKETNEELEYLLGLFRHAKPDREQVNIYTCIYKKALDYEKAEYYLKAFYFGKMSKQRPSPTLGLVSAVYREVDAKSRRM
+>sp|Q5JS13|RGPS1_HUMAN Ras-specific guanine nucleotide-releasing factor RalGPS1 OS=Homo sapiens OX=9606 GN=RALGPS1 PE=1 SV=1
+MYKRNGLMASVLVTSATPQGSSSSDSLEGQSCDYASKSYDAVVFDVLKVTPEEFASQITLMDIPVFKAIQPEELASCGWSKKEKHSLAPNVVAFTRRFNQVSFWVVREILTAQTLKIRAEILSHFVKIAKKLLELNNLHSLMSVVSALQSAPIFRLTKTWALLNRKDKTTFEKLDYLMSKEDNYKRTREYIRSLKMVPSIPYLGIYLLDLIYIDSAYPASGSIMENEQRSNQMNNILRIIADLQVSCSYDHLTTLPHVQKYLKSVRYIEELQKFVEDDNYKLSLRIEPGSSSPRLVSSKEDLAGPSAGSGSARFSRRPTCPDTSVAGSLPTPPVPRHRKSHSLGNNMMCQLSVVESKSATFPSEKARHLLDDSVLESRSPRRGLALTSSSAVTNGLSLGSSESSEFSEEMSSGLESPTGPCICSLGNSAAVPTMEGPLRRKTLLKEGRKPALSSWTRYWVILSGSTLLYYGAKSLRGTDRKHYKSTPGKKVSIVGWMVQLPDDPEHPDIFQLNNPDKGNVYKFQTGSRFHAILWHKHLDDACKSNRPQVPANLMSFE
+>DECOY_sp|Q5JS13|RGPS1_HUMAN Ras-specific guanine nucleotide-releasing factor RalGPS1 OS=Homo sapiens OX=9606 GN=RALGPS1 PE=1 SV=1
+EFSMLNAPVQPRNSKCADDLHKHWLIAHFRSGTQFKYVNGKDPNNLQFIDPHEPDDPLQVMWGVISVKKGPTSKYHKRDTGRLSKAGYYLLTSGSLIVWYRTWSSLAPKRGEKLLTKRRLPGEMTPVAASNGLSCICPGTPSELGSSMEESFESSESSGLSLGNTVASSSTLALGRRPSRSELVSDDLLHRAKESPFTASKSEVVSLQCMMNNGLSHSKRHRPVPPTPLSGAVSTDPCTPRRSFRASGSGASPGALDEKSSVLRPSSSGPEIRLSLKYNDDEVFKQLEEIYRVSKLYKQVHPLTTLHDYSCSVQLDAIIRLINNMQNSRQENEMISGSAPYASDIYILDLLYIGLYPISPVMKLSRIYERTRKYNDEKSMLYDLKEFTTKDKRNLLAWTKTLRFIPASQLASVVSMLSHLNNLELLKKAIKVFHSLIEARIKLTQATLIERVVWFSVQNFRRTFAVVNPALSHKEKKSWGCSALEEPQIAKFVPIDMLTIQSAFEEPTVKLVDFVVADYSKSAYDCSQGELSDSSSSGQPTASTVLVSAMLGNRKYM
+>sp|Q86X27|RGPS2_HUMAN Ras-specific guanine nucleotide-releasing factor RalGPS2 OS=Homo sapiens OX=9606 GN=RALGPS2 PE=1 SV=1
+MDLMNGQASSVNIAATASEKSSSSESLSDKGSELKKSFDAVVFDVLKVTPEEYAGQITLMDVPVFKAIQPDELSSCGWNKKEKYSSAPNAVAFTRRFNHVSFWVVREILHAQTLKIRAEVLSHYIKTAKKLYELNNLHALMAVVSGLQSAPIFRLTKTWALLSRKDKTTFEKLEYVMSKEDNYKRLRDYISSLKMTPCIPYLGIYLSDLTYIDSAYPSTGSILENEQRSNLMNNILRIISDLQQSCEYDIPMLPHVQKYLNSVQYIEELQKFVEDDNYKLSLKIEPGTSTPRSAASREDLVGPEVGASPQSGRKSVAAEGALLPQTPPSPRNLIPHGHRKCHSLGYNFIHKMNTAEFKSATFPNAGPRHLLDDSVMEPHAPSRGQAESSTLSSGISIGSSDGSELSEETSWPAFERNRLYHSLGPVTRVARNGYRSHMKASSSAESEDLAVHLYPGAVTIQGVLRRKTLLKEGKKPTVASWTKYWAALCGTQLFYYAAKSLKATERKHFKSTSNKNVSVIGWMVMMADDPEHPDLFLLTDSEKGNSYKFQAGNRMNAMLWFKHLSAACQSNKQQVPTNLMTFE
+>DECOY_sp|Q86X27|RGPS2_HUMAN Ras-specific guanine nucleotide-releasing factor RalGPS2 OS=Homo sapiens OX=9606 GN=RALGPS2 PE=1 SV=1
+EFTMLNTPVQQKNSQCAASLHKFWLMANMRNGAQFKYSNGKESDTLLFLDPHEPDDAMMVMWGIVSVNKNSTSKFHKRETAKLSKAAYYFLQTGCLAAWYKTWSAVTPKKGEKLLTKRRLVGQITVAGPYLHVALDESEASSSAKMHSRYGNRAVRTVPGLSHYLRNREFAPWSTEESLESGDSSGISIGSSLTSSEAQGRSPAHPEMVSDDLLHRPGANPFTASKFEATNMKHIFNYGLSHCKRHGHPILNRPSPPTQPLLAGEAAVSKRGSQPSAGVEPGVLDERSAASRPTSTGPEIKLSLKYNDDEVFKQLEEIYQVSNLYKQVHPLMPIDYECSQQLDSIIRLINNMLNSRQENELISGTSPYASDIYTLDSLYIGLYPICPTMKLSSIYDRLRKYNDEKSMVYELKEFTTKDKRSLLAWTKTLRFIPASQLGSVVAMLAHLNNLEYLKKATKIYHSLVEARIKLTQAHLIERVVWFSVHNFRRTFAVANPASSYKEKKNWGCSSLEDPQIAKFVPVDMLTIQGAYEEPTVKLVDFVVADFSKKLESGKDSLSESSSSKESATAAINVSSAQGNMLDM
+>sp|Q13972|RGRF1_HUMAN Ras-specific guanine nucleotide-releasing factor 1 OS=Homo sapiens OX=9606 GN=RASGRF1 PE=1 SV=2
+MQKGIRLNDGHVASLGLLARKDGTRKGYLSKRSSDNTKWQTKWFALLQNLLFYFESDSSSRPSGLYLLEGCVCDRAPSPKPALSAKEPLEKQHYFTVNFSHENQKALELRTEDAKDCDEWVAAIAHASYRTLATEHEALMQKYLHLLQIVETEKTVAKQLRQQIEDGEIEIERLKAEITSLLKDNERIQSTQTVAPNDEDSDIKKIKKVQSFLRGWLCRRKWKTIIQDYIRSPHADSMRKRNQVVFSMLEAEAEYVQQLHILVNNFLRPLRMAASSKKPPITHDDVSSIFLNSETIMFLHQIFYQGLKARISSWPTLVLADLFDILLPMLNIYQEFVRNHQYSLQILAHCKQNRDFDKLLKHYEAKPDCEERTLETFLTYPMFQIPRYILTLHELLAHTPHEHVERNSLDYAKSKLEELSRIMHDEVSETENIRKNLAIERMIIEGCEILLDTSQTFVRQGSLIQVPMSEKGKITRGRLGSLSLKKEGERQCFLFSKHLIICTRGSGGKLHLTKNGVISLIDCTLLEEPESTEEEAKGSGQDIDHLDFKIGVEPKDSPPFTVILVASSRQEKAAWTSDISQCVDNIRCNGLMMNAFEENSKVTVPQMIKRTREGTREAEMSRSDASLYCDDVDIRFSKTMNSCKVLQIRYASVERLLERLTDLRFLSIDFLNTFLHSYRVFTTAIVVLDKLITIYKKPISAIPARWLRSLELLFASGQNNKLLYGEPPKSPRATRKFSSPPPLSITKTSSPSRRRKLSLNIPIITGGKALDLAALSCNSNGYTSMYSAMSPFSKATLDTSKLYVSSSFTNKIPDEGDTTPEKPEDPSALSKQSSEVSMREESDIDQNQSDDGDTETSPTKSPTTPKSVKNKNSSEFPLFSYNNGVVMTSCRELDNNRSALSAASAFAIATAGANEGTPNKEKYRRMSLASAGFPPDQRNGDKEFVIRRAATNRVLNVLRHWVSKHSQDFETNDELKCKVIGFLEEVMHDPELLTQERKAAANIIRTLTQEDPGDNQITLEEITQMAEGVKAEPFENHSALEIAEQLTLLDHLVFKKIPYEEFFGQGWMKLEKNERTPYIMKTTKHFNDISNLIASEIIRNEDINARVSAIEKWVAVADICRCLHNYNAVLEITSSMNRSAIFRLKKTWLKVSKQTKALIDKLQKLVSSEGRFKNLREALKNCDPPCVPYLGMYLTDLAFIEEGTPNYTEDGLVNFSKMRMISHIIREIRQFQQTAYKIEHQAKVTQYLLDQSFVMDEESLYESSLRIEPKLPT
+>DECOY_sp|Q13972|RGRF1_HUMAN Ras-specific guanine nucleotide-releasing factor 1 OS=Homo sapiens OX=9606 GN=RASGRF1 PE=1 SV=2
+TPLKPEIRLSSEYLSEEDMVFSQDLLYQTVKAQHEIKYATQQFQRIERIIHSIMRMKSFNVLGDETYNPTGEEIFALDTLYMGLYPVCPPDCNKLAERLNKFRGESSVLKQLKDILAKTQKSVKLWTKKLRFIASRNMSSTIELVANYNHLCRCIDAVAVWKEIASVRANIDENRIIESAILNSIDNFHKTTKMIYPTRENKELKMWGQGFFEEYPIKKFVLHDLLTLQEAIELASHNEFPEAKVGEAMQTIEELTIQNDGPDEQTLTRIINAAAKREQTLLEPDHMVEELFGIVKCKLEDNTEFDQSHKSVWHRLVNLVRNTAARRIVFEKDGNRQDPPFGASALSMRRYKEKNPTGENAGATAIAFASAASLASRNNDLERCSTMVVGNNYSFLPFESSNKNKVSKPTTPSKTPSTETDGDDSQNQDIDSEERMSVESSQKSLASPDEPKEPTTDGEDPIKNTFSSSVYLKSTDLTAKSFPSMASYMSTYGNSNCSLAALDLAKGGTIIPINLSLKRRRSPSSTKTISLPPPSSFKRTARPSKPPEGYLLKNNQGSAFLLELSRLWRAPIASIPKKYITILKDLVVIATTFVRYSHLFTNLFDISLFRLDTLRELLREVSAYRIQLVKCSNMTKSFRIDVDDCYLSADSRSMEAERTGERTRKIMQPVTVKSNEEFANMMLGNCRINDVCQSIDSTWAAKEQRSSAVLIVTFPPSDKPEVGIKFDLHDIDQGSGKAEEETSEPEELLTCDILSIVGNKTLHLKGGSGRTCIILHKSFLFCQREGEKKLSLSGLRGRTIKGKESMPVQILSGQRVFTQSTDLLIECGEIIMREIALNKRINETESVEDHMIRSLEELKSKAYDLSNREVHEHPTHALLEHLTLIYRPIQFMPYTLFTELTREECDPKAEYHKLLKDFDRNQKCHALIQLSYQHNRVFEQYINLMPLLIDFLDALVLTPWSSIRAKLGQYFIQHLFMITESNLFISSVDDHTIPPKKSSAAMRLPRLFNNVLIHLQQVYEAEAELMSFVVQNRKRMSDAHPSRIYDQIITKWKRRCLWGRLFSQVKKIKKIDSDEDNPAVTQTSQIRENDKLLSTIEAKLREIEIEGDEIQQRLQKAVTKETEVIQLLHLYKQMLAEHETALTRYSAHAIAAVWEDCDKADETRLELAKQNEHSFNVTFYHQKELPEKASLAPKPSPARDCVCGELLYLGSPRSSSDSEFYFLLNQLLAFWKTQWKTNDSSRKSLYGKRTGDKRALLGLSAVHGDNLRIGKQM
+>sp|O94810|RGS11_HUMAN Regulator of G-protein signaling 11 OS=Homo sapiens OX=9606 GN=RGS11 PE=1 SV=2
+MAAGPAPPPGRPRAQMPHLRKMERVVVSMQDPDQGVKMRSQRLLVTVIPHAVTGSDVVQWLAQKFCVSEEEALHLGAVLVQHGYIYPLRDPRSLMLRPDETPYRFQTPYFWTSTLRPAAELDYAIYLAKKNIRKRGTLVDYEKDCYDRLHKKINHAWDLVLMQAREQLRAAKQRSKGDRLVIACQEQTYWLVNRPPPGAPDVLEQGPGRGSCAASRVLMTKSADFHKREIEYFRKALGRTRVKSSVCLEAYLSFCGQRGPHDPLVSGCLPSNPWISDNDAYWVMNAPTVAAPTKLRVERWGFSFRELLEDPVGRAHFMDFLGKEFSGENLSFWEACEELRYGAQAQVPTLVDAVYEQFLAPGAAHWVNIDSRTMEQTLEGLRQPHRYVLDDAQLHIYMLMKKDSYPRFLKSDMYKALLAEAGIPLEMKRRVFPFTWRPRHSSPSPALLPTPVEPTAACGPGGGDGVA
+>DECOY_sp|O94810|RGS11_HUMAN Regulator of G-protein signaling 11 OS=Homo sapiens OX=9606 GN=RGS11 PE=1 SV=2
+AVGDGGGPGCAATPEVPTPLLAPSPSSHRPRWTFPFVRRKMELPIGAEALLAKYMDSKLFRPYSDKKMLMYIHLQADDLVYRHPQRLGELTQEMTRSDINVWHAAGPALFQEYVADVLTPVQAQAGYRLEECAEWFSLNEGSFEKGLFDMFHARGVPDELLERFSFGWREVRLKTPAAVTPANMVWYADNDSIWPNSPLCGSVLPDHPGRQGCFSLYAELCVSSKVRTRGLAKRFYEIERKHFDASKTMLVRSAACSGRGPGQELVDPAGPPPRNVLWYTQEQCAIVLRDGKSRQKAARLQERAQMLVLDWAHNIKKHLRDYCDKEYDVLTGRKRINKKALYIAYDLEAAPRLTSTWFYPTQFRYPTEDPRLMLSRPDRLPYIYGHQVLVAGLHLAEEESVCFKQALWQVVDSGTVAHPIVTVLLRQSRMKVGQDPDQMSVVVREMKRLHPMQARPRGPPPAPGAAM
+>sp|O15492|RGS16_HUMAN Regulator of G-protein signaling 16 OS=Homo sapiens OX=9606 GN=RGS16 PE=1 SV=2
+MCRTLAAFPTTCLERAKEFKTRLGIFLHKSELGCDTGSTGKFEWGSKHSKENRNFSEDVLGWRESFDLLLSSKNGVAAFHAFLKTEFSEENLEFWLACEEFKKIRSATKLASRAHQIFEEFICSEAPKEVNIDHETHELTRMNLQTATATCFDAAQGKTRTLMEKDSYPRFLKSPAYRDLAAQASAASATLSSCSLDEPSHT
+>DECOY_sp|O15492|RGS16_HUMAN Regulator of G-protein signaling 16 OS=Homo sapiens OX=9606 GN=RGS16 PE=1 SV=2
+THSPEDLSCSSLTASAASAQAALDRYAPSKLFRPYSDKEMLTRTKGQAADFCTATATQLNMRTLEHTEHDINVEKPAESCIFEEFIQHARSALKTASRIKKFEECALWFELNEESFETKLFAHFAAVGNKSSLLLDFSERWGLVDESFNRNEKSHKSGWEFKGTSGTDCGLESKHLFIGLRTKFEKARELCTTPFAALTRCM
+>sp|Q9NS28|RGS18_HUMAN Regulator of G-protein signaling 18 OS=Homo sapiens OX=9606 GN=RGS18 PE=1 SV=1
+METTLLFFSQINMCESKEKTFFKLIHGSGKEETSKEAKIRAKEKRNRLSLLVQKPEFHEDTRSSRSGHLAKETRVSPEEAVKWGESFDKLLSHRDGLEAFTRFLKTEFSEENIEFWIACEDFKKSKGPQQIHLKAKAIYEKFIQTDAPKEVNLDFHTKEVITNSITQPTLHSFDAAQSRVYQLMEQDSYTRFLKSDIYLDLMEGRPQRPTNLRRRSRSFTCNEFQDVQSDVAIWL
+>DECOY_sp|Q9NS28|RGS18_HUMAN Regulator of G-protein signaling 18 OS=Homo sapiens OX=9606 GN=RGS18 PE=1 SV=1
+LWIAVDSQVDQFENCTFSRSRRRLNTPRQPRGEMLDLYIDSKLFRTYSDQEMLQYVRSQAADFSHLTPQTISNTIVEKTHFDLNVEKPADTQIFKEYIAKAKLHIQQPGKSKKFDECAIWFEINEESFETKLFRTFAELGDRHSLLKDFSEGWKVAEEPSVRTEKALHGSRSSRTDEHFEPKQVLLSLRNRKEKARIKAEKSTEEKGSGHILKFFTKEKSECMNIQSFFLLTTEM
+>sp|Q08116|RGS1_HUMAN Regulator of G-protein signaling 1 OS=Homo sapiens OX=9606 GN=RGS1 PE=1 SV=3
+MRAAAISTPKLDKMPGMFFSANPKELKGTTHSLLDDKMQKRRPKTFGMDMKAYLRSMIPHLESGMKSSKSKDVLSAAEVMQWSQSLEKLLANQTGQNVFGSFLKSEFSEENIEFWLACEDYKKTESDLLPCKAEEIYKAFVHSDAAKQINIDFRTRESTAKKIKAPTPTCFDEAQKVIYTLMEKDSYPRFLKSDIYLNLLNDLQANSLK
+>DECOY_sp|Q08116|RGS1_HUMAN Regulator of G-protein signaling 1 OS=Homo sapiens OX=9606 GN=RGS1 PE=1 SV=3
+KLSNAQLDNLLNLYIDSKLFRPYSDKEMLTYIVKQAEDFCTPTPAKIKKATSERTRFDINIQKAADSHVFAKYIEEAKCPLLDSETKKYDECALWFEINEESFESKLFSGFVNQGTQNALLKELSQSWQMVEAASLVDKSKSSKMGSELHPIMSRLYAKMDMGFTKPRRKQMKDDLLSHTTGKLEKPNASFFMGPMKDLKPTSIAAARM
+>sp|Q2M5E4|RGS21_HUMAN Regulator of G-protein signaling 21 OS=Homo sapiens OX=9606 GN=RGS21 PE=2 SV=1
+MPVKCCFYRSPTAETMTWSENMDTLLANQAGLDAFRIFLKSEFSEENVEFWLACEDFKKTKNADKIASKAKMIYSEFIEADAPKEINIDFGTRDLISKNIAEPTLKCFDEAQKLIYCLMAKDSFPRFLKSEIYKKLVNSQQVPNHKKWLPFL
+>DECOY_sp|Q2M5E4|RGS21_HUMAN Regulator of G-protein signaling 21 OS=Homo sapiens OX=9606 GN=RGS21 PE=2 SV=1
+LFPLWKKHNPVQQSNVLKKYIESKLFRPFSDKAMLCYILKQAEDFCKLTPEAINKSILDRTGFDINIEKPADAEIFESYIMKAKSAIKDANKTKKFDECALWFEVNEESFESKLFIRFADLGAQNALLTDMNESWTMTEATPSRYFCCKVPM
+>sp|Q8NE09|RGS22_HUMAN Regulator of G-protein signaling 22 OS=Homo sapiens OX=9606 GN=RGS22 PE=1 SV=3
+MPEKRLTAEPPTITEEEFEDSLATDDFLVDYFNEFLSLPTFSEAIRFNADYGVFEVANDAPQFLEKQLKKILQNQQPRNPIYDVVRKGKNEVKPVQMNAPDEDETINVNYNIMCLSREEGIKWIKKERLPAFLESDCYFEYRLAKLVSQVRWSKSGMNFTVGSNFSPWIVKKPPSLPPPATEEDNLVIMKKFYVSLGEASYTQTKDWFALAKQSQQTVSTFSLPCCVPYNKLKSPAISSVSENFIFDDGVHPRTKKDPSKTNKLISEFEEEEGEEEEVSVSLQDTPSQALLRVYLEKKQDVDESLTMHFSTCEEFLSSYIYFILRGAIQQIVGKPVGETPDYINFNNITKVSFDDCFESIHGKNFLSELVQTTKERSEEIEQTSLSSKNESAGPESRADWCISHRTYDIGNRKEFERFKKFIKGTLGERYWWLWMDIERLKVLKDPGRHQRHLEKMKKCYLVSNGDYYLSAEILSKFKLLDGSQWNEEHLRNIQSEVLKPLLLYWAPRFCVTHSASTKYASAELKFWHLRQAKPRKDIDPFPQMATLLPLRPKSCIPQIPEIQKEEFSLSQPPKSPNKSPEVKTATQKPWKRELLYPGSSKDDVIEKGSKYMSESSKVIHLTSFTDISECLKPQLDRRYAYTEEPRVKTVSDVGALGGSDMENLLQSLYVENRAGFFFTKFCEHSGNKLWKNSVYFWFDLQAYHQLFYQETLQPFKVCKQAQYLFATYVAPSATLDIGLQQEKKKEIYMKIQPPFEDLFDTAEEYILLLLLEPWTKMVKSDQIAYKKVELVEETRQLDSTYFRKLQALHKETFSKKAEDTTCEIGTGILSLSNVSKRTEYWDNVPAEYKHFKFSDLLNNKLEFEHFRQFLETHSSSMDLMCWTDIEQFRRITYRDRNQRKAKSIYIKNKYLNKKYFFGPNSPASLYQQNQVMHLSGGWGKILHEQLDAPVLVEIQKHVQNRLENVWLPLFLASEQFAARQKIKVQMKDIAEELLLQKAEKKIGVWKPVESKWISSSCKIIAFRKALLNPVTSRQFQRFVALKGDLLENGLLFWQEVQKYKDLCHSHCDESVIQKKITTIINCFINSSIPPALQIDIPVEQAQKIIEHRKELGPYVFREAQMTIFGVLFKFWPQFCEFRKNLTDENIMSVLERRQEYNKQKKKLAVLEDEKSGKDGIKQYANTSVPAIKTALLSDSFLGLQPYGRQPTWCYSKYIEALEQERILLKIQEELEKKLFAGLQPLTNFKASSSTMSLKKNMSAHSSQK
+>DECOY_sp|Q8NE09|RGS22_HUMAN Regulator of G-protein signaling 22 OS=Homo sapiens OX=9606 GN=RGS22 PE=1 SV=3
+KQSSHASMNKKLSMTSSSAKFNTLPQLGAFLKKELEEQIKLLIREQELAEIYKSYCWTPQRGYPQLGLFSDSLLATKIAPVSTNAYQKIGDKGSKEDELVALKKKQKNYEQRRELVSMINEDTLNKRFECFQPWFKFLVGFITMQAERFVYPGLEKRHEIIKQAQEVPIDIQLAPPISSNIFCNIITTIKKQIVSEDCHSHCLDKYKQVEQWFLLGNELLDGKLAVFRQFQRSTVPNLLAKRFAIIKCSSSIWKSEVPKWVGIKKEAKQLLLEEAIDKMQVKIKQRAAFQESALFLPLWVNELRNQVHKQIEVLVPADLQEHLIKGWGGSLHMVQNQQYLSAPSNPGFFYKKNLYKNKIYISKAKRQNRDRYTIRRFQEIDTWCMLDMSSSHTELFQRFHEFELKNNLLDSFKFHKYEAPVNDWYETRKSVNSLSLIGTGIECTTDEAKKSFTEKHLAQLKRFYTSDLQRTEEVLEVKKYAIQDSKVMKTWPELLLLLIYEEATDFLDEFPPQIKMYIEKKKEQQLGIDLTASPAVYTAFLYQAQKCVKFPQLTEQYFLQHYAQLDFWFYVSNKWLKNGSHECFKTFFFGARNEVYLSQLLNEMDSGGLAGVDSVTKVRPEETYAYRRDLQPKLCESIDTFSTLHIVKSSESMYKSGKEIVDDKSSGPYLLERKWPKQTATKVEPSKNPSKPPQSLSFEEKQIEPIQPICSKPRLPLLTAMQPFPDIDKRPKAQRLHWFKLEASAYKTSASHTVCFRPAWYLLLPKLVESQINRLHEENWQSGDLLKFKSLIEASLYYDGNSVLYCKKMKELHRQHRGPDKLVKLREIDMWLWWYREGLTGKIFKKFREFEKRNGIDYTRHSICWDARSEPGASENKSSLSTQEIEESREKTTQVLESLFNKGHISEFCDDFSVKTINNFNIYDPTEGVPKGVIQQIAGRLIFYIYSSLFEECTSFHMTLSEDVDQKKELYVRLLAQSPTDQLSVSVEEEEGEEEEFESILKNTKSPDKKTRPHVGDDFIFNESVSSIAPSKLKNYPVCCPLSFTSVTQQSQKALAFWDKTQTYSAEGLSVYFKKMIVLNDEETAPPPLSPPKKVIWPSFNSGVTFNMGSKSWRVQSVLKALRYEFYCDSELFAPLREKKIWKIGEERSLCMINYNVNITEDEDPANMQVPKVENKGKRVVDYIPNRPQQNQLIKKLQKELFQPADNAVEFVGYDANFRIAESFTPLSLFENFYDVLFDDTALSDEFEEETITPPEATLRKEPM
+>sp|P41220|RGS2_HUMAN Regulator of G-protein signaling 2 OS=Homo sapiens OX=9606 GN=RGS2 PE=1 SV=1
+MQSAMFLAVQHDCRPMDKSAGSGHKSEEKREKMKRTLLKDWKTRLSYFLQNSSTPGKPKTGKKSKQQAFIKPSPEEAQLWSEAFDELLASKYGLAAFRAFLKSEFCEENIEFWLACEDFKKTKSPQKLSSKARKIYTDFIEKEAPKEINIDFQTKTLIAQNIQEATSGCFTTAQKRVYSLMENNSYPRFLESEFYQDLCKKPQITTEPHAT
+>DECOY_sp|P41220|RGS2_HUMAN Regulator of G-protein signaling 2 OS=Homo sapiens OX=9606 GN=RGS2 PE=1 SV=1
+TAHPETTIQPKKCLDQYFESELFRPYSNNEMLSYVRKQATTFCGSTAEQINQAILTKTQFDINIEKPAEKEIFDTYIKRAKSSLKQPSKTKKFDECALWFEINEECFESKLFARFAALGYKSALLEDFAESWLQAEEPSPKIFAQQKSKKGTKPKGPTSSNQLFYSLRTKWDKLLTRKMKERKEESKHGSGASKDMPRCDHQVALFMASQM
+>sp|A5PLK6|RGSL_HUMAN Regulator of G-protein signaling protein-like OS=Homo sapiens OX=9606 GN=RGSL1 PE=2 SV=1
+MSSAEIIGSTNLIILLEDEVFADFFNTFLSLPVFGQTPFYTVENSQWSLWPEIPCNLIAKYKGLLTWLEKCRLPFFCKTNLCFHYILCQEFISFIKSPEGGEELVDFWILAENILSIDEMDLEVRDYYLSLLLMLRATHLQEGSRVVTLCNMNIKSLLNLSIWHPNQSTTRREILSHMQKVALFKLQSYWLPNFYTHTKMTMAKEEACHGLMQEYETRLYSVCYTHIGGLPLNMSIKKCHHFQKRYSSRKAKRKMWQLVDPDSWSLEMDLKPDAIGMPLQETCPQEKVVIQMPSLKMASSKETRISSLEKDMHYAKISSMENKAKSHLHMEAPFETKVSTHLRTVIPIVNHSSKMTIQKAIKQSFSLGYIHLALCADACAGNPFRDHLKKLNLKVEIQLLDLWQDLQHFLSVLLNNKKNGNAIFRHLLGDRICELYLNEQIGPCLPLKSQTIQGLKELLPSGDVIPWIPKAQKEICKMLSPWYDEFLDEEDYWFLLFTTQNRFISSRQHKREFIGKEENILLYKRIQQSLELSQALADMKEMDYRQWRKIATEDLKQGGSLQVELTSPVFLTDITKMSFEELCYKNPKMAIQKISDDYKIYCEKAPKIDFKMEIIKETKTVSRSNRKMSLLKRTLVRKPSMRPRNLTEVLLNTQHLEFFREFLKERKAKIPLQFLTAVQKISIETNEKICKSLIENVIKTFFQGQLSPEEMLQCDAPIIKEIASMRHVTTSTLLTLQGHVMKSIEEKWFKDYQDLFPPHHQEVEVQSEVQISSRKPSKIVSTYLQESQKKGWMRMISFIRSFCKYRRFMLNPSKRQEFEDYLHQEMQNSKENFTTAHNTSGRSAPPSTNVRSADQENGEITLVKRRIFGHRIITVNFAINDLYFFSEMEKFNDLVSSAHMLQVNRAYNENDVILMRSKMNIIQKLFLNSDIPPKLRVNVPEFQKDAILAAITEGYLDRSVFHGAIMSVFPVVMYFWKRFCFWKATRSYLQYRGKKFKDRKSPPKSTDKYPFSSGGDNAILRFTLLRGIEWLQPQREAISSVQNSSSSKLTQPRLVVSAMQLHPVQGQKLSYIKKEK
+>DECOY_sp|A5PLK6|RGSL_HUMAN Regulator of G-protein signaling protein-like OS=Homo sapiens OX=9606 GN=RGSL1 PE=2 SV=1
+KEKKIYSLKQGQVPHLQMASVVLRPQTLKSSSSNQVSSIAERQPQLWEIGRLLTFRLIANDGGSSFPYKDTSKPPSKRDKFKKGRYQLYSRTAKWFCFRKWFYMVVPFVSMIAGHFVSRDLYGETIAALIADKQFEPVNVRLKPPIDSNLFLKQIINMKSRMLIVDNENYARNVQLMHASSVLDNFKEMESFFYLDNIAFNVTIIRHGFIRRKVLTIEGNEQDASRVNTSPPASRGSTNHATTFNEKSNQMEQHLYDEFEQRKSPNLMFRRYKCFSRIFSIMRMWGKKQSEQLYTSVIKSPKRSSIQVESQVEVEQHHPPFLDQYDKFWKEEISKMVHGQLTLLTSTTVHRMSAIEKIIPADCQLMEEPSLQGQFFTKIVNEILSKCIKENTEISIKQVATLFQLPIKAKREKLFERFFELHQTNLLVETLNRPRMSPKRVLTRKLLSMKRNSRSVTKTEKIIEMKFDIKPAKECYIKYDDSIKQIAMKPNKYCLEEFSMKTIDTLFVPSTLEVQLSGGQKLDETAIKRWQRYDMEKMDALAQSLELSQQIRKYLLINEEKGIFERKHQRSSIFRNQTTFLLFWYDEEDLFEDYWPSLMKCIEKQAKPIWPIVDGSPLLEKLGQITQSKLPLCPGIQENLYLECIRDGLLHRFIANGNKKNNLLVSLFHQLDQWLDLLQIEVKLNLKKLHDRFPNGACADACLALHIYGLSFSQKIAKQITMKSSHNVIPIVTRLHTSVKTEFPAEMHLHSKAKNEMSSIKAYHMDKELSSIRTEKSSAMKLSPMQIVVKEQPCTEQLPMGIADPKLDMELSWSDPDVLQWMKRKAKRSSYRKQFHHCKKISMNLPLGGIHTYCVSYLRTEYEQMLGHCAEEKAMTMKTHTYFNPLWYSQLKFLAVKQMHSLIERRTTSQNPHWISLNLLSKINMNCLTVVRSGEQLHTARLMLLLSLYYDRVELDMEDISLINEALIWFDVLEEGGEPSKIFSIFEQCLIYHFCLNTKCFFPLRCKELWTLLGKYKAILNCPIEPWLSWQSNEVTYFPTQGFVPLSLFTNFFDAFVEDELLIILNTSGIIEASSM
+>sp|O75783|RHBL1_HUMAN Rhomboid-related protein 1 OS=Homo sapiens OX=9606 GN=RHBDL1 PE=2 SV=1
+MGRVEDGGTTEELEDWDPGTSALPAPGIKQGPREQTGTGPLSQKCWEPEPDAPSQPGPALWSRGRARTQALAGGSSLQQLDPENTGFIGADTFTGLVHSHELPLDPAKLDMLVALAQSNEQGQVCYQELVDLISSKRSSSFKRAIANGQRALPRDGPLDEPGLGVYKRFVRYVAYEILPCEVDRRWYFYRHRSCPPPVFMASVTLAQIIVFLCYGARLNKWVLQTYHPEYMKSPLVYHPGHRARAWRFLTYMFMHVGLEQLGFNALLQLMIGVPLEMVHGLLRISLLYLAGVLAGSLTVSITDMRAPVVGGSGGVYALCSAHLANVVMNWAGMRCPYKLLRMVLALVCMSSEVGRAVWLRFSPPLPASGPQPSFMAHLAGAVVGVSMGLTILRSYEERLRDQCGWWVVLLAYGTFLLFAVFWNVFAYDLLGAHIPPPP
+>DECOY_sp|O75783|RHBL1_HUMAN Rhomboid-related protein 1 OS=Homo sapiens OX=9606 GN=RHBDL1 PE=2 SV=1
+PPPPIHAGLLDYAFVNWFVAFLLFTGYALLVVWWGCQDRLREEYSRLITLGMSVGVVAGALHAMFSPQPGSAPLPPSFRLWVARGVESSMCVLALVMRLLKYPCRMGAWNMVVNALHASCLAYVGGSGGVVPARMDTISVTLSGALVGALYLLSIRLLGHVMELPVGIMLQLLANFGLQELGVHMFMYTLFRWARARHGPHYVLPSKMYEPHYTQLVWKNLRAGYCLFVIIQALTVSAMFVPPPCSRHRYFYWRRDVECPLIEYAVYRVFRKYVGLGPEDLPGDRPLARQGNAIARKFSSSRKSSILDVLEQYCVQGQENSQALAVLMDLKAPDLPLEHSHVLGTFTDAGIFGTNEPDLQQLSSGGALAQTRARGRSWLAPGPQSPADPEPEWCKQSLPGTGTQERPGQKIGPAPLASTGPDWDELEETTGGDEVRGM
+>sp|Q5TG30|RHG40_HUMAN Rho GTPase-activating protein 40 OS=Homo sapiens OX=9606 GN=ARHGAP40 PE=3 SV=3
+MDQLPQKNLLRLHPAGSAGCSTGVESSSMDGFWMEVEQIQQRDELREEDSGGNEGQLPEEGEAESQWLQDTGLSGLLGGLGLDGDHQELLSTLTQTQVAAVCRRLDIYARSVRRQHKTPVRDVRDVFGVFNSGKMSSENGDSGMKGAQLSSGASKFPPAAEPGGLQEQAGREEAFNMDSAYSEQAAVLLQRSRPSRGGTSAWGKCSLPKFTVPKGRLGVTRIGDLSLQDMRKVPSLALIELTALCDILGLDLKRSKAGKWKAAETRLFGVPLDSLLEADHKVLPSTQVPLVLQALLSCLEKRGLDMEGILRVPGSQARVKGLEQKLERDFYAGLFSWDEVHHNDASDLLKRFIRKLPTPLLTAEYLPAFAVVPNIPNLKQRLQVLHLLILILPEPNRNALKALLEFLRKVVAREQHNKMTLRNVSTVMAPNLFLHQGRPPKLPKGKEKQLAEGAAEVVQIMVHYQDLLWTVASFLVAQVRKLNDSSSRRPQLCDAGLKTWLRRMHADRDKAGDGLEATPKVAKIQVVWPIKDPLKVPLTPSTKVAHVLRQFTEHLSPGSKGQEDSEDMDSLLLHHRSMESANILLYEVGGNINEHRLDPDAYLLDLYRANPHGEWVLKQNPT
+>DECOY_sp|Q5TG30|RHG40_HUMAN Rho GTPase-activating protein 40 OS=Homo sapiens OX=9606 GN=ARHGAP40 PE=3 SV=3
+TPNQKLVWEGHPNARYLDLLYADPDLRHENINGGVEYLLINASEMSRHHLLLSDMDESDEQGKSGPSLHETFQRLVHAVKTSPTLPVKLPDKIPWVVQIKAVKPTAELGDGAKDRDAHMRRLWTKLGADCLQPRRSSSDNLKRVQAVLFSAVTWLLDQYHVMIQVVEAAGEALQKEKGKPLKPPRGQHLFLNPAMVTSVNRLTMKNHQERAVVKRLFELLAKLANRNPEPLILILLHLVQLRQKLNPINPVVAFAPLYEATLLPTPLKRIFRKLLDSADNHHVEDWSFLGAYFDRELKQELGKVRAQSGPVRLIGEMDLGRKELCSLLAQLVLPVQTSPLVKHDAELLSDLPVGFLRTEAAKWKGAKSRKLDLGLIDCLATLEILALSPVKRMDQLSLDGIRTVGLRGKPVTFKPLSCKGWASTGGRSPRSRQLLVAAQESYASDMNFAEERGAQEQLGGPEAAPPFKSAGSSLQAGKMGSDGNESSMKGSNFVGFVDRVDRVPTKHQRRVSRAYIDLRRCVAAVQTQTLTSLLEQHDGDLGLGGLLGSLGTDQLWQSEAEGEEPLQGENGGSDEERLEDRQQIQEVEMWFGDMSSSEVGTSCGASGAPHLRLLNKQPLQDM
+>sp|P08134|RHOC_HUMAN Rho-related GTP-binding protein RhoC OS=Homo sapiens OX=9606 GN=RHOC PE=1 SV=1
+MAAIRKKLVIVGDGACGKTCLLIVFSKDQFPEVYVPTVFENYIADIEVDGKQVELALWDTAGQEDYDRLRPLSYPDTDVILMCFSIDSPDSLENIPEKWTPEVKHFCPNVPIILVGNKKDLRQDEHTRRELAKMKQEPVRSEEGRDMANRISAFGYLECSAKTKEGVREVFEMATRAGLQVRKNKRRRGCPIL
+>DECOY_sp|P08134|RHOC_HUMAN Rho-related GTP-binding protein RhoC OS=Homo sapiens OX=9606 GN=RHOC PE=1 SV=1
+LIPCGRRRKNKRVQLGARTAMEFVERVGEKTKASCELYGFASIRNAMDRGEESRVPEQKMKALERRTHEDQRLDKKNGVLIIPVNPCFHKVEPTWKEPINELSDPSDISFCMLIVDTDPYSLPRLRDYDEQGATDWLALEVQKGDVEIDAIYNEFVTPVYVEPFQDKSFVILLCTKGCAGDGVIVLKKRIAAM
+>sp|Q8TCX5|RHPN1_HUMAN Rhophilin-1 OS=Homo sapiens OX=9606 GN=RHPN1 PE=1 SV=1
+MILEERPDGAGAGEESPRLQGCDSLTQIQCGQLQSRRAQIHQQIDKELQMRTGAENLYRATSNNRVRETVALELSYVNSNLQLLKEELEELSGGVDPGRHGSEAVTVPMIPLGLKETKELDWSTPLKELISVHFGEDGASYEAEIRELEALRQAMRTPSRNESGLELLTAYYNQLCFLDARFLTPARSLGLFFHWYDSLTGVPAQQRALAFEKGSVLFNIGALHTQIGARQDRSCTEGARRAMEAFQRAAGAFSLLRENFSHAPSPDMSAASLCALEQLMMAQAQECVFEGLSPPASMAPQDCLAQLRLAQEAAQVAAEYRLVHRTMAQPPVHDYVPVSWTALVHVKAEYFRSLAHYHVAMALCDGSRECPPHLPMVLPRPPRAGSQPLCPPAATEGELPTHEQVFLQPPTSSKPRGPVLPQELEERRQLGKAHLKRAILGQEEALRLHALCRVLREVDLLRAVISQTLQRSLAKYAELDREDDFCEAAEAPDIQPKTHQKPEARMPRLSQGKGPDIFHRLGPLSVFSAKNRWRLVGPVHLTRGEGGFGLTLRGDSPVLIAAVIPGSQAAAAGLKEGDYIVSVNGQPCRWWRHAEVVTELKAAGEAGASLQVVSLLPSSRLPSLGDRRPVLLGPRGLLRSQREHGCKTPASTWASPRPLLNWSRKAQQGKTGGCPQPCAPVKPAPPSSLKHPGWP
+>DECOY_sp|Q8TCX5|RHPN1_HUMAN Rhophilin-1 OS=Homo sapiens OX=9606 GN=RHPN1 PE=1 SV=1
+PWGPHKLSSPPAPKVPACPQPCGGTKGQQAKRSWNLLPRPSAWTSAPTKCGHERQSRLLGRPGLLVPRRDGLSPLRSSPLLSVVQLSAGAEGAAKLETVVEAHRWWRCPQGNVSVIYDGEKLGAAAAQSGPIVAAILVPSDGRLTLGFGGEGRTLHVPGVLRWRNKASFVSLPGLRHFIDPGKGQSLRPMRAEPKQHTKPQIDPAEAAECFDDERDLEAYKALSRQLTQSIVARLLDVERLVRCLAHLRLAEEQGLIARKLHAKGLQRREELEQPLVPGRPKSSTPPQLFVQEHTPLEGETAAPPCLPQSGARPPRPLVMPLHPPCERSGDCLAMAVHYHALSRFYEAKVHVLATWSVPVYDHVPPQAMTRHVLRYEAAVQAAEQALRLQALCDQPAMSAPPSLGEFVCEQAQAMMLQELACLSAASMDPSPAHSFNERLLSFAGAARQFAEMARRAGETCSRDQRAGIQTHLAGINFLVSGKEFALARQQAPVGTLSDYWHFFLGLSRAPTLFRADLFCLQNYYATLLELGSENRSPTRMAQRLAELERIEAEYSAGDEGFHVSILEKLPTSWDLEKTEKLGLPIMPVTVAESGHRGPDVGGSLEELEEKLLQLNSNVYSLELAVTERVRNNSTARYLNEAGTRMQLEKDIQQHIQARRSQLQGCQIQTLSDCGQLRPSEEGAGAGDPREELIM
+>sp|Q8IUC4|RHPN2_HUMAN Rhophilin-2 OS=Homo sapiens OX=9606 GN=RHPN2 PE=1 SV=1
+MTDALLPAAPQPLEKENDGYFRKGCNPLAQTGRSKLQNQRAALNQQILKAVRMRTGAENLLKVATNSKVREQVRLELSFVNSDLQMLKEELEGLNISVGVYQNTEEAFTIPLIPLGLKETKDVDFAVVLKDFILEHYSEDGYLYEDEIADLMDLRQACRTPSRDEAGVELLMTYFIQLGFVESRFFPPTRQMGLLFTWYDSLTGVPVSQQNLLLEKASVLFNTGALYTQIGTRCDRQTQAGLESAIDAFQRAAGVLNYLKDTFTHTPSYDMSPAMLSVLVKMMLAQAQESVFEKISLPGIRNEFFMLVKVAQEAAKVGEVYQQLHAAMSQAPVKENIPYSWASLACVKAHHYAALAHYFTAILLIDHQVKPGTDLDHQEKCLSQLYDHMPEGLTPLATLKNDQQRRQLGKSHLRRAMAHHEESVREASLCKKLRSIEVLQKVLCAAQERSRLTYAQHQEEDDLLNLIDAPSVVAKTEQEVDIILPQFSKLTVTDFFQKLGPLSVFSANKRWTPPRSIRFTAEEGDLGFTLRGNAPVQVHFLDPYCSASVAGAREGDYIVSIQLVDCKWLTLSEVMKLLKSFGEDEIEMKVVSLLDSTSSMHNKSATYSVGMQKTYSMICLAIDDDDKTDKTKKISKKLSFLSWGTNKNRQKSASTLCLPSVGAARPQVKKKLPSPFSLLNSDSSWY
+>DECOY_sp|Q8IUC4|RHPN2_HUMAN Rhophilin-2 OS=Homo sapiens OX=9606 GN=RHPN2 PE=1 SV=1
+YWSSDSNLLSFPSPLKKKVQPRAAGVSPLCLTSASKQRNKNTGWSLFSLKKSIKKTKDTKDDDDIALCIMSYTKQMGVSYTASKNHMSSTSDLLSVVKMEIEDEGFSKLLKMVESLTLWKCDVLQISVIYDGERAGAVSASCYPDLFHVQVPANGRLTFGLDGEEATFRISRPPTWRKNASFVSLPGLKQFFDTVTLKSFQPLIIDVEQETKAVVSPADILNLLDDEEQHQAYTLRSREQAACLVKQLVEISRLKKCLSAERVSEEHHAMARRLHSKGLQRRQQDNKLTALPTLGEPMHDYLQSLCKEQHDLDTGPKVQHDILLIATFYHALAAYHHAKVCALSAWSYPINEKVPAQSMAAHLQQYVEGVKAAEQAVKVLMFFENRIGPLSIKEFVSEQAQALMMKVLVSLMAPSMDYSPTHTFTDKLYNLVGAARQFADIASELGAQTQRDCRTGIQTYLAGTNFLVSAKELLLNQQSVPVGTLSDYWTFLLGMQRTPPFFRSEVFGLQIFYTMLLEVGAEDRSPTRCAQRLDMLDAIEDEYLYGDESYHELIFDKLVVAFDVDKTEKLGLPILPITFAEETNQYVGVSINLGELEEKLMQLDSNVFSLELRVQERVKSNTAVKLLNEAGTRMRVAKLIQQNLAARQNQLKSRGTQALPNCGKRFYGDNEKELPQPAAPLLADTM
+>sp|Q8IXN7|RIMKA_HUMAN N-acetylaspartylglutamate synthase A OS=Homo sapiens OX=9606 GN=RIMKLA PE=2 SV=2
+MCSQLWFLTDRRIREDYPQVQILRALRQRCSEQDVRFRAVLMDQIAVTIVGGHLGLQLNQKALTTFPDVVLVRVPTPSVQSDSDITVLRHLEKLGCRLVNRPQSILNCINKFWTFQELAGHGVPMPDTFSYGGHEDFSKMIDEAEPLGYPVVVKSTRGHRGKAVFLARDKHHLSDICHLIRHDVPYLFQKYVKESHGKDIRVVVVGGQVIGSMLRCSTDGRMQSNCSLGGVGVKCPLTEQGKQLAIQVSNILGMDFCGIDLLIMDDGSFVVCEANANVGFLAFDQACNLDVGGIIADYTMSLLPNRQTGKMAVLPGLSSPREKNEPDGCASAQGVAESVYTINSGSTSSESEPELGEIRDSSASTMGAPPSMLPEPGYNINNRIASELKLK
+>DECOY_sp|Q8IXN7|RIMKA_HUMAN N-acetylaspartylglutamate synthase A OS=Homo sapiens OX=9606 GN=RIMKLA PE=2 SV=2
+KLKLESAIRNNINYGPEPLMSPPAGMTSASSDRIEGLEPESESSTSGSNITYVSEAVGQASACGDPENKERPSSLGPLVAMKGTQRNPLLSMTYDAIIGGVDLNCAQDFALFGVNANAECVVFSGDDMILLDIGCFDMGLINSVQIALQKGQETLPCKVGVGGLSCNSQMRGDTSCRLMSGIVQGGVVVVRIDKGHSEKVYKQFLYPVDHRILHCIDSLHHKDRALFVAKGRHGRTSKVVVPYGLPEAEDIMKSFDEHGGYSFTDPMPVGHGALEQFTWFKNICNLISQPRNVLRCGLKELHRLVTIDSDSQVSPTPVRVLVVDPFTTLAKQNLQLGLHGGVITVAIQDMLVARFRVDQESCRQRLARLIQVQPYDERIRRDTLFWLQSCM
+>sp|Q9ULI2|RIMKB_HUMAN Beta-citrylglutamate synthase B OS=Homo sapiens OX=9606 GN=RIMKLB PE=2 SV=2
+MCSSVAAKLWFLTDRRIREDYPQKEILRALKAKCCEEELDFRAVVMDEVVLTIEQGNLGLRINGELITAYPQVVVVRVPTPWVQSDSDITVLRHLEKMGCRLMNRPQAILNCVNKFWTFQELAGHGVPLPDTFSYGGHENFAKMIDEAEVLEFPMVVKNTRGHRGKAVFLARDKHHLADLSHLIRHEAPYLFQKYVKESHGRDVRVIVVGGRVVGTMLRCSTDGRMQSNCSLGGVGMMCSLSEQGKQLAIQVSNILGMDVCGIDLLMKDDGSFCVCEANANVGFIAFDKACNLDVAGIIADYAASLLPSGRLTRRMSLLSVVSTASETSEPELGPPASTAVDNMSASSSSVDSDPESTERELLTKLPGGLFNMNQLLANEIKLLVD
+>DECOY_sp|Q9ULI2|RIMKB_HUMAN Beta-citrylglutamate synthase B OS=Homo sapiens OX=9606 GN=RIMKLB PE=2 SV=2
+DVLLKIENALLQNMNFLGGPLKTLLERETSEPDSDVSSSSASMNDVATSAPPGLEPESTESATSVVSLLSMRRTLRGSPLLSAAYDAIIGAVDLNCAKDFAIFGVNANAECVCFSGDDKMLLDIGCVDMGLINSVQIALQKGQESLSCMMGVGGLSCNSQMRGDTSCRLMTGVVRGGVVIVRVDRGHSEKVYKQFLYPAEHRILHSLDALHHKDRALFVAKGRHGRTNKVVMPFELVEAEDIMKAFNEHGGYSFTDPLPVGHGALEQFTWFKNVCNLIAQPRNMLRCGMKELHRLVTIDSDSQVWPTPVRVVVVQPYATILEGNIRLGLNGQEITLVVEDMVVARFDLEEECCKAKLARLIEKQPYDERIRRDTLFWLKAAVSSCM
+>sp|Q9UJD0|RIMS3_HUMAN Regulating synaptic membrane exocytosis protein 3 OS=Homo sapiens OX=9606 GN=RIMS3 PE=1 SV=1
+MFNGEPGPASSGASRNVVRSSSISGEICGSQQAGGGAGTTTAKKRRSSLGAKMVAIVGLTQWSKSTLQLPQPEGATKKLRSNIRRSTETGIAVEMRSRVTRQGSRESTDGSTNSNSSDGTFIFPTTRLGAESQFSDFLDGLGPAQIVGRQTLATPPMGDVHIAIMDRSGQLEVEVIEARGLTPKPGSKSLPATYIKVYLLENGACLAKKKTKMTKKTCDPLYQQALLFDEGPQGKVLQVIVWGDYGRMDHKCFMGMAQIMLDELDLSAAVTGWYKLFPTSSVADSTLGSLTRRLSQSSLESATSPSCS
+>DECOY_sp|Q9UJD0|RIMS3_HUMAN Regulating synaptic membrane exocytosis protein 3 OS=Homo sapiens OX=9606 GN=RIMS3 PE=1 SV=1
+SCSPSTASELSSQSLRRTLSGLTSDAVSSTPFLKYWGTVAASLDLEDLMIQAMGMFCKHDMRGYDGWVIVQLVKGQPGEDFLLAQQYLPDCTKKTMKTKKKALCAGNELLYVKIYTAPLSKSGPKPTLGRAEIVEVELQGSRDMIAIHVDGMPPTALTQRGVIQAPGLGDLFDSFQSEAGLRTTPFIFTGDSSNSNTSGDTSERSGQRTVRSRMEVAIGTETSRRINSRLKKTAGEPQPLQLTSKSWQTLGVIAVMKAGLSSRRKKATTTGAGGGAQQSGCIEGSISSSRVVNRSAGSSAPGPEGNFM
+>sp|Q9H6W3|RIOX1_HUMAN Ribosomal oxygenase 1 OS=Homo sapiens OX=9606 GN=RIOX1 PE=1 SV=2
+MDGLQASAGPLRRGRPKRRRKPQPHSGSVLALPLRSRKIRKQLRSVVSRMAALRTQTLPSENSEESRVESTADDLGDALPGGAAVAAVPDAARREPYGHLGPAELLEASPAARSLQTPSARLVPASAPPARLVEVPAAPVRVVETSALLCTAQHLAAVQSSGAPATASGPQVDNTGGEPAWDSPLRRVLAELNRIPSSRRRAARLFEWLIAPMPPDHFYRRLWEREAVLVRRQDHTYYQGLFSTADLDSMLRNEEVQFGQHLDAARYINGRRETLNPPGRALPAAAWSLYQAGCSLRLLCPQAFSTTVWQFLAVLQEQFGSMAGSNVYLTPPNSQGFAPHYDDIEAFVLQLEGRKLWRVYRPRVPTEELALTSSPNFSQDDLGEPVLQTVLEPGDLLYFPRGFIHQAECQDGVHSLHLTLSTYQRNTWGDFLEAILPLAVQAAMEENVEFRRGLPRDFMDYMGAQHSDSKDPRRTAFMEKVRVLVARLGHFAPVDAVADQRAKDFIHDSLPPVLTDRERALSVYGLPIRWEAGEPVNVGAQLTTETEVHMLQDGIARLVGEGGHLFLYYTVENSRVYHLEEPKCLEIYPQQADAMELLLGSYPEFVRVGDLPCDSVEDQLSLATTLYDKGLLLTKMPLALN
+>DECOY_sp|Q9H6W3|RIOX1_HUMAN Ribosomal oxygenase 1 OS=Homo sapiens OX=9606 GN=RIOX1 PE=1 SV=2
+NLALPMKTLLLGKDYLTTALSLQDEVSDCPLDGVRVFEPYSGLLLEMADAQQPYIELCKPEELHYVRSNEVTYYLFLHGGEGVLRAIGDQLMHVETETTLQAGVNVPEGAEWRIPLGYVSLARERDTLVPPLSDHIFDKARQDAVADVPAFHGLRAVLVRVKEMFATRRPDKSDSHQAGMYDMFDRPLGRRFEVNEEMAAQVALPLIAELFDGWTNRQYTSLTLHLSHVGDQCEAQHIFGRPFYLLDGPELVTQLVPEGLDDQSFNPSSTLALEETPVRPRYVRWLKRGELQLVFAEIDDYHPAFGQSNPPTLYVNSGAMSGFQEQLVALFQWVTTSFAQPCLLRLSCGAQYLSWAAAPLARGPPNLTERRGNIYRAADLHQGFQVEENRLMSDLDATSFLGQYYTHDQRRVLVAEREWLRRYFHDPPMPAILWEFLRAARRRSSPIRNLEALVRRLPSDWAPEGGTNDVQPGSATAPAGSSQVAALHQATCLLASTEVVRVPAAPVEVLRAPPASAPVLRASPTQLSRAAPSAELLEAPGLHGYPERRAADPVAAVAAGGPLADGLDDATSEVRSEESNESPLTQTRLAAMRSVVSRLQKRIKRSRLPLALVSGSHPQPKRRRKPRGRRLPGASAQLGDM
+>sp|Q9Y572|RIPK3_HUMAN Receptor-interacting serine/threonine-protein kinase 3 OS=Homo sapiens OX=9606 GN=RIPK3 PE=1 SV=2
+MSCVKLWPSGAPAPLVSIEELENQELVGKGGFGTVFRAQHRKWGYDVAVKIVNSKAISREVKAMASLDNEFVLRLEGVIEKVNWDQDPKPALVTKFMENGSLSGLLQSQCPRPWPLLCRLLKEVVLGMFYLHDQNPVLLHRDLKPSNVLLDPELHVKLADFGLSTFQGGSQSGTGSGEPGGTLGYLAPELFVNVNRKASTASDVYSFGILMWAVLAGREVELPTEPSLVYEAVCNRQNRPSLAELPQAGPETPGLEGLKELMQLCWSSEPKDRPSFQECLPKTDEVFQMVENNMNAAVSTVKDFLSQLRSSNRRFSIPESGQGGTEMDGFRRTIENQHSRNDVMVSEWLNKLNLEEPPSSVPKKCPSLTKRSRAQEEQVPQAWTAGTSSDSMAQPPQTPETSTFRNQMPSPTSTGTPSPGPRGNQGAERQGMNWSCRTPEPNPVTGRPLVNIYNCSGVQVGDNNYLTMQQTTALPTWGLAPSGKGRGLQHPPPVGSQEGPKDPEAWSRPQGWYNHSGK
+>DECOY_sp|Q9Y572|RIPK3_HUMAN Receptor-interacting serine/threonine-protein kinase 3 OS=Homo sapiens OX=9606 GN=RIPK3 PE=1 SV=2
+KGSHNYWGQPRSWAEPDKPGEQSGVPPPHQLGRGKGSPALGWTPLATTQQMTLYNNDGVQVGSCNYINVLPRGTVPNPEPTRCSWNMGQREAGQNGRPGPSPTGTSTPSPMQNRFTSTEPTQPPQAMSDSSTGATWAQPVQEEQARSRKTLSPCKKPVSSPPEELNLKNLWESVMVDNRSHQNEITRRFGDMETGGQGSEPISFRRNSSRLQSLFDKVTSVAANMNNEVMQFVEDTKPLCEQFSPRDKPESSWCLQMLEKLGELGPTEPGAQPLEALSPRNQRNCVAEYVLSPETPLEVERGALVAWMLIGFSYVDSATSAKRNVNVFLEPALYGLTGGPEGSGTGSQSGGQFTSLGFDALKVHLEPDLLVNSPKLDRHLLVPNQDHLYFMGLVVEKLLRCLLPWPRPCQSQLLGSLSGNEMFKTVLAPKPDQDWNVKEIVGELRLVFENDLSAMAKVERSIAKSNVIKVAVDYGWKRHQARFVTGFGGKGVLEQNELEEISVLPAPAGSPWLKVCSM
+>sp|Q0D2K3|RIPP1_HUMAN Protein ripply1 OS=Homo sapiens OX=9606 GN=RIPPLY1 PE=1 SV=1
+MDSAACAAAATPVPALALALAPDLAQAPLALPGLLSPSCLLSSGQEVNGSERGTCLWRPWLSSTNDSPRQMRKLVDLAAGGATAAEVTKAESKFHHPVRLFWPKSRSFDYLYSAGEILLQNFPVQATINLYEDSDSEEEEEDEEQEDEEEK
+>DECOY_sp|Q0D2K3|RIPP1_HUMAN Protein ripply1 OS=Homo sapiens OX=9606 GN=RIPPLY1 PE=1 SV=1
+KEEEDEQEEDEEEEESDSDEYLNITAQVPFNQLLIEGASYLYDFSRSKPWFLRVPHHFKSEAKTVEAATAGGAALDVLKRMQRPSDNTSSLWPRWLCTGRESGNVEQGSSLLCSPSLLGPLALPAQALDPALALALAPVPTAAAACAASDM
+>sp|P31350|RIR2_HUMAN Ribonucleoside-diphosphate reductase subunit M2 OS=Homo sapiens OX=9606 GN=RRM2 PE=1 SV=1
+MLSLRVPLAPITDPQQLQLSPLKGLSLVDKENTPPALSGTRVLASKTARRIFQEPTEPKTKAAAPGVEDEPLLRENPRRFVIFPIEYHDIWQMYKKAEASFWTAEEVDLSKDIQHWESLKPEERYFISHVLAFFAASDGIVNENLVERFSQEVQITEARCFYGFQIAMENIHSEMYSLLIDTYIKDPKEREFLFNAIETMPCVKKKADWALRWIGDKEATYGERVVAFAAVEGIFFSGSFASIFWLKKRGLMPGLTFSNELISRDEGLHCDFACLMFKHLVHKPSEERVREIIINAVRIEQEFLTEALPVKLIGMNCTLMKQYIEFVADRLMLELGFSKVFRVENPFDFMENISLEGKTNFFEKRVGEYQRMGVMSSPTENSFTLDADF
+>DECOY_sp|P31350|RIR2_HUMAN Ribonucleoside-diphosphate reductase subunit M2 OS=Homo sapiens OX=9606 GN=RRM2 PE=1 SV=1
+FDADLTFSNETPSSMVGMRQYEGVRKEFFNTKGELSINEMFDFPNEVRFVKSFGLELMLRDAVFEIYQKMLTCNMGILKVPLAETLFEQEIRVANIIIERVREESPKHVLHKFMLCAFDCHLGEDRSILENSFTLGPMLGRKKLWFISAFSGSFFIGEVAAFAVVREGYTAEKDGIWRLAWDAKKKVCPMTEIANFLFEREKPDKIYTDILLSYMESHINEMAIQFGYFCRAETIQVEQSFREVLNENVIGDSAAFFALVHSIFYREEPKLSEWHQIDKSLDVEEATWFSAEAKKYMQWIDHYEIPFIVFRRPNERLLPEDEVGPAAAKTKPETPEQFIRRATKSALVRTGSLAPPTNEKDVLSLGKLPSLQLQQPDTIPALPVRLSLM
+>sp|P27635|RL10_HUMAN 60S ribosomal protein L10 OS=Homo sapiens OX=9606 GN=RPL10 PE=1 SV=4
+MGRRPARCYRYCKNKPYPKSRFCRGVPDAKIRIFDLGRKKAKVDEFPLCGHMVSDEYEQLSSEALEAARICANKYMVKSCGKDGFHIRVRLHPFHVIRINKMLSCAGADRLQTGMRGAFGKPQGTVARVHIGQVIMSIRTKLQNKEHVIEALRRAKFKFPGRQKIHISKKWGFTKFNADEFEDMVAEKRLIPDGCGVKYIPNRGPLDKWRALHS
+>DECOY_sp|P27635|RL10_HUMAN 60S ribosomal protein L10 OS=Homo sapiens OX=9606 GN=RPL10 PE=1 SV=4
+SHLARWKDLPGRNPIYKVGCGDPILRKEAVMDEFEDANFKTFGWKKSIHIKQRGPFKFKARRLAEIVHEKNQLKTRISMIVQGIHVRAVTGQPKGFAGRMGTQLRDAGACSLMKNIRIVHFPHLRVRIHFGDKGCSKVMYKNACIRAAELAESSLQEYEDSVMHGCLPFEDVKAKKRGLDFIRIKADPVGRCFRSKPYPKNKCYRYCRAPRRGM
+>sp|P62888|RL30_HUMAN 60S ribosomal protein L30 OS=Homo sapiens OX=9606 GN=RPL30 PE=1 SV=2
+MVAAKKTKKSLESINSRLQLVMKSGKYVLGYKQTLKMIRQGKAKLVILANNCPALRKSEIEYYAMLAKTGVHHYSGNNIELGTACGKYYRVCTLAIIDPGDSDIIRSMPEQTGEK
+>DECOY_sp|P62888|RL30_HUMAN 60S ribosomal protein L30 OS=Homo sapiens OX=9606 GN=RPL30 PE=1 SV=2
+KEGTQEPMSRIIDSDGPDIIALTCVRYYKGCATGLEINNGSYHHVGTKALMAYYEIESKRLAPCNNALIVLKAKGQRIMKLTQKYGLVYKGSKMVLQLRSNISELSKKTKKAAVM
+>sp|P61513|RL37A_HUMAN 60S ribosomal protein L37a OS=Homo sapiens OX=9606 GN=RPL37A PE=1 SV=2
+MAKRTKKVGIVGKYGTRYGASLRKMVKKIEISQHAKYTCSFCGKTKMKRRAVGIWHCGSCMKTVAGGAWTYNTTSAVTVKSAIRRLKELKDQ
+>DECOY_sp|P61513|RL37A_HUMAN 60S ribosomal protein L37a OS=Homo sapiens OX=9606 GN=RPL37A PE=1 SV=2
+QDKLEKLRRIASKVTVASTTNYTWAGGAVTKMCSGCHWIGVARRKMKTKGCFSCTYKAHQSIEIKKVMKRLSAGYRTGYKGVIGVKKTRKAM
+>sp|P62899|RL31_HUMAN 60S ribosomal protein L31 OS=Homo sapiens OX=9606 GN=RPL31 PE=1 SV=1
+MAPAKKGGEKKKGRSAINEVVTREYTINIHKRIHGVGFKKRAPRALKEIRKFAMKEMGTPDVRIDTRLNKAVWAKGIRNVPYRIRVRLSRKRNEDEDSPNKLYTLVTYVPVTTFKNLQTVNVDEN
+>DECOY_sp|P62899|RL31_HUMAN 60S ribosomal protein L31 OS=Homo sapiens OX=9606 GN=RPL31 PE=1 SV=1
+NEDVNVTQLNKFTTVPVYTVLTYLKNPSDEDENRKRSLRVRIRYPVNRIGKAWVAKNLRTDIRVDPTGMEKMAFKRIEKLARPARKKFGVGHIRKHINITYERTVVENIASRGKKKEGGKKAPAM
+>sp|P05386|RLA1_HUMAN 60S acidic ribosomal protein P1 OS=Homo sapiens OX=9606 GN=RPLP1 PE=1 SV=1
+MASVSELACIYSALILHDDEVTVTEDKINALIKAAGVNVEPFWPGLFAKALANVNIGSLICNVGAGGPAPAAGAAPAGGPAPSTAAAPAEEKKVEAKKEESEESDDDMGFGLFD
+>DECOY_sp|P05386|RLA1_HUMAN 60S acidic ribosomal protein P1 OS=Homo sapiens OX=9606 GN=RPLP1 PE=1 SV=1
+DFLGFGMDDDSEESEEKKAEVKKEEAPAAATSPAPGGAPAAGAAPAPGGAGVNCILSGINVNALAKAFLGPWFPEVNVGAAKILANIKDETVTVEDDHLILASYICALESVSAM
+>sp|P05387|RLA2_HUMAN 60S acidic ribosomal protein P2 OS=Homo sapiens OX=9606 GN=RPLP2 PE=1 SV=1
+MRYVASYLLAALGGNSSPSAKDIKKILDSVGIEADDDRLNKVISELNGKNIEDVIAQGIGKLASVPAGGAVAVSAAPGSAAPAAGSAPAAAEEKKDEKKEESEESDDDMGFGLFD
+>DECOY_sp|P05387|RLA2_HUMAN 60S acidic ribosomal protein P2 OS=Homo sapiens OX=9606 GN=RPLP2 PE=1 SV=1
+DFLGFGMDDDSEESEEKKEDKKEEAAAPASGAAPAASGPAASVAVAGGAPVSALKGIGQAIVDEINKGNLESIVKNLRDDDAEIGVSDLIKKIDKASPSSNGGLAALLYSAVYRM
+>sp|Q86X10|RLGPB_HUMAN Ral GTPase-activating protein subunit beta OS=Homo sapiens OX=9606 GN=RALGAPB PE=1 SV=1
+MYSEWRSLHLVIQNDQGHTSVLHSYPESVGREVANAVVRPLGQVLGTPSVAGSENLLKTDKEVKWTMEVICYGLTLPLDGETVKYCVDVYTDWIMALVLPKDSIPLPVIKEPNQYVQTILKHLQNLFVPRQEQGSSQIRLCLQVLRAIQKLARESSLMARETWEVLLLFLLQINDILLAPPTVQGGIAENLAEKLIGVLFEVWLLACTRCFPTPPYWKTAKEMVANWRHHPAVVEQWSKVICALTSRLLRFTYGPSFPAFKVPDEDASLIPPEMDNECVAQTWFRFLHMLSNPVDLSNPAIISSTPKFQEQFLNVSGMPQELNQYPCLKHLPQIFFRAMRGISCLVDAFLGISRPRSDSAPPTPVNRLSMPQSAAVSTTPPHNRRHRAVTVNKATMKTSTVSTAHASKVQHQTSSTSPLSSPNQTSSEPRPLPAPRRPKVNSILNLFGSWLFDAAFVHCKLHNGINRDSSMTAITTQASMEFRRKGSQMSTDTMVSNPMFDASEFPDNYEAGRAEACGTLCRIFCSKKTGEEILPAYLSRFYMLLIQGLQINDYVCHPVLASVILNSPPLFCCDLKGIDVVVPYFISALETILPDRELSKFKSYVNPTELRRSSINILLSLLPLPHHFGTVKSEVVLEGKFSNDDSSSYDKPITFLSLKLRLVNILIGALQTETDPNNTQMILGAMLNIVQDSALLEAIGCQMEMGGGENNLKSHSRTNSGISSASGGSTEPTTPDSERPAQALLRDYALNTDSAAGLLIRSIHLVTQRLNSQWRQDMSISLAALELLSGLAKVKVMVDSGDRKRAISSVCTYIVYQCSRPAPLHSRDLHSMIVAAFQCLCVWLTEHPDMLDEKDCLKEVLEIVELGISGSKSKNNEQEVKYKGDKEPNPASMRVKDAAEATLTCIMQLLGAFPSPSGPASPCSLVNETTLIKYSRLPTINKHSFRYFVLDNSVILAMLEQPLGNEQNDFFPSVTVLVRGMSGRLAWAQQLCLLPRGAKANQKLFVPEPRPVPKNDVGFKYSVKHRPFPEEVDKIPFVKADLSIPDLHEIVTEELEERHEKLRSGMAQQIAYEIHLEQQSEEELQKRSFPDPVTDCKPPPPAQEFQTARLFLSHFGFLSLEALKEPANSRLPPHLIALDSTIPGFFDDIGYLDLLPCRPFDTVFIFYMKPGQKTNQEILKNVESSRTVQPHFLEFLLSLGWSVDVGRHPGWTGHVSTSWSINCCDDGEGSQQEVISSEDIGASIFNGQKKVLYYADALTEIAFVVPSPVESLTDSLESNISDQDSDSNMDLMPGILKQPSLTLELFPNHTDNLNSSQRLSPSSRMRKLPQGRPVPPLGPETRVSVVWVERYDDIENFPLSELMTEISTGVETTANSSTSLRSTTLEKEVPVIFIHPLNTGLFRIKIQGATGKFNMVIPLVDGMIVSRRALGFLVRQTVINICRRKRLESDSYSPPHVRRKQKITDIVNKYRNKQLEPEFYTSLFQEVGLKNCSS
+>DECOY_sp|Q86X10|RLGPB_HUMAN Ral GTPase-activating protein subunit beta OS=Homo sapiens OX=9606 GN=RALGAPB PE=1 SV=1
+SSCNKLGVEQFLSTYFEPELQKNRYKNVIDTIKQKRRVHPPSYSDSELRKRRCINIVTQRVLFGLARRSVIMGDVLPIVMNFKGTAGQIKIRFLGTNLPHIFIVPVEKELTTSRLSTSSNATTEVGTSIETMLESLPFNEIDDYREVWVVSVRTEPGLPPVPRGQPLKRMRSSPSLRQSSNLNDTHNPFLELTLSPQKLIGPMLDMNSDSDQDSINSELSDTLSEVPSPVVFAIETLADAYYLVKKQGNFISAGIDESSIVEQQSGEGDDCCNISWSTSVHGTWGPHRGVDVSWGLSLLFELFHPQVTRSSEVNKLIEQNTKQGPKMYFIFVTDFPRCPLLDLYGIDDFFGPITSDLAILHPPLRSNAPEKLAELSLFGFHSLFLRATQFEQAPPPPKCDTVPDPFSRKQLEEESQQELHIEYAIQQAMGSRLKEHREELEETVIEHLDPISLDAKVFPIKDVEEPFPRHKVSYKFGVDNKPVPRPEPVFLKQNAKAGRPLLCLQQAWALRGSMGRVLVTVSPFFDNQENGLPQELMALIVSNDLVFYRFSHKNITPLRSYKILTTENVLSCPSAPGSPSPFAGLLQMICTLTAEAADKVRMSAPNPEKDGKYKVEQENNKSKSGSIGLEVIELVEKLCDKEDLMDPHETLWVCLCQFAAVIMSHLDRSHLPAPRSCQYVIYTCVSSIARKRDGSDVMVKVKALGSLLELAALSISMDQRWQSNLRQTVLHISRILLGAASDTNLAYDRLLAQAPRESDPTTPETSGGSASSIGSNTRSHSKLNNEGGGMEMQCGIAELLASDQVINLMAGLIMQTNNPDTETQLAGILINVLRLKLSLFTIPKDYSSSDDNSFKGELVVESKVTGFHHPLPLLSLLINISSRRLETPNVYSKFKSLERDPLITELASIFYPVVVDIGKLDCCFLPPSNLIVSALVPHCVYDNIQLGQILLMYFRSLYAPLIEEGTKKSCFIRCLTGCAEARGAEYNDPFESADFMPNSVMTDTSMQSGKRRFEMSAQTTIATMSSDRNIGNHLKCHVFAADFLWSGFLNLISNVKPRRPAPLPRPESSTQNPSSLPSTSSTQHQVKSAHATSVTSTKMTAKNVTVARHRRNHPPTTSVAASQPMSLRNVPTPPASDSRPRSIGLFADVLCSIGRMARFFIQPLHKLCPYQNLEQPMGSVNLFQEQFKPTSSIIAPNSLDVPNSLMHLFRFWTQAVCENDMEPPILSADEDPVKFAPFSPGYTFRLLRSTLACIVKSWQEVVAPHHRWNAVMEKATKWYPPTPFCRTCALLWVEFLVGILKEALNEAIGGQVTPPALLIDNIQLLFLLLVEWTERAMLSSERALKQIARLVQLCLRIQSSGQEQRPVFLNQLHKLITQVYQNPEKIVPLPISDKPLVLAMIWDTYVDVCYKVTEGDLPLTLGYCIVEMTWKVEKDTKLLNESGAVSPTGLVQGLPRVVANAVERGVSEPYSHLVSTHGQDNQIVLHLSRWESYM
+>sp|Q9BZE1|RM37_HUMAN 39S ribosomal protein L37, mitochondrial OS=Homo sapiens OX=9606 GN=MRPL37 PE=1 SV=2
+MALASGPARRALAGSGQLGLGGFGAPRRGAYEWGVRSTRKSEPPPLDRVYEIPGLEPITFAGKMHFVPWLARPIFPPWDRGYKDPRFYRSPPLHEHPLYKDQACYIFHHRCRLLEGVKQALWLTKTKLIEGLPEKVLSLVDDPRNHIENQDECVLNVISHARLWQTTEEIPKRETYCPVIVDNLIQLCKSQILKHPSLARRICVQNSTFSATWNRESLLLQVRGSGGARLSTKDPLPTIASREEIEATKNHVLETFYPISPIIDLHECNIYDVKNDTGFQEGYPYPYPHTLYLLDKANLRPHRLQPDQLRAKMILFAFGSALAQARLLYGNDAKVLEQPVVVQSVGTDGRVFHFLVFQLNTTDLDCNEGVKNLAWVDSDQLLYQHFWCLPVIKKRVVVEPVGPVGFKPETFRKFLALYLHGAA
+>DECOY_sp|Q9BZE1|RM37_HUMAN 39S ribosomal protein L37, mitochondrial OS=Homo sapiens OX=9606 GN=MRPL37 PE=1 SV=2
+AAGHLYLALFKRFTEPKFGVPGVPEVVVRKKIVPLCWFHQYLLQDSDVWALNKVGENCDLDTTNLQFVLFHFVRGDTGVSQVVVPQELVKADNGYLLRAQALASGFAFLIMKARLQDPQLRHPRLNAKDLLYLTHPYPYPYGEQFGTDNKVDYINCEHLDIIPSIPYFTELVHNKTAEIEERSAITPLPDKTSLRAGGSGRVQLLLSERNWTASFTSNQVCIRRALSPHKLIQSKCLQILNDVIVPCYTERKPIEETTQWLRAHSIVNLVCEDQNEIHNRPDDVLSLVKEPLGEILKTKTLWLAQKVGELLRCRHHFIYCAQDKYLPHEHLPPSRYFRPDKYGRDWPPFIPRALWPVFHMKGAFTIPELGPIEYVRDLPPPESKRTSRVGWEYAGRRPAGFGGLGLQGSGALARRAPGSALAM
+>sp|Q8N983|RM43_HUMAN 39S ribosomal protein L43, mitochondrial OS=Homo sapiens OX=9606 GN=MRPL43 PE=1 SV=1
+MTARGTPSRFLASVLHNGLGRYVQQLQRLSFSVSRDGASSRGAREFVEREVIDFARRNPGVVIYVNSRPCCVPRVVAEYLNGAVREESIHCKSVEEISTLVQKLADQSGLDVIRIRKPFHTDNPSIQGQWHPFTNKPTTFRGLRPREVQDPAPAQDTGLRLSAVAPQILLPGWPDPPDLPTVDPISSSLTSAPAPMLSAVSCLPIVPALTTVCSA
+>DECOY_sp|Q8N983|RM43_HUMAN 39S ribosomal protein L43, mitochondrial OS=Homo sapiens OX=9606 GN=MRPL43 PE=1 SV=1
+ASCVTTLAPVIPLCSVASLMPAPASTLSSSIPDVTPLDPPDPWGPLLIQPAVASLRLGTDQAPAPDQVERPRLGRFTTPKNTFPHWQGQISPNDTHFPKRIRIVDLGSQDALKQVLTSIEEVSKCHISEERVAGNLYEAVVRPVCCPRSNVYIVVGPNRRAFDIVEREVFERAGRSSAGDRSVSFSLRQLQQVYRGLGNHLVSALFRSPTGRATM
+>sp|P54136|SYRC_HUMAN Arginine--tRNA ligase, cytoplasmic OS=Homo sapiens OX=9606 GN=RARS PE=1 SV=2
+MDVLVSECSARLLQQEEEIKSLTAEIDRLKNCGCLGASPNLEQLQEENLKLKYRLNILRKSLQAERNKPTKNMINIISRLQEVFGHAIKAAYPDLENPPLLVTPSQQAKFGDYQCNSAMGISQMLKTKEQKVNPREIAENITKHLPDNECIEKVEIAGPGFINVHLRKDFVSEQLTSLLVNGVQLPALGENKKVIVDFSSPNIAKEMHVGHLRSTIIGESISRLFEFAGYDVLRLNHVGDWGTQFGMLIAHLQDKFPDYLTVSPPIGDLQVFYKESKKRFDTEEEFKKRAYQCVVLLQGKNPDITKAWKLICDVSRQELNKIYDALDVSLIERGESFYQDRMNDIVKEFEDRGFVQVDDGRKIVFVPGCSIPLTIVKSDGGYTYDTSDLAAIKQRLFEEKADMIIYVVDNGQSVHFQTIFAAAQMIGWYDPKVTRVFHAGFGVVLGEDKKKFKTRSGETVRLMDLLGEGLKRSMDKLKEKERDKVLTAEELNAAQTSVAYGCIKYADLSHNRLNDYIFSFDKMLDDRGNTAAYLLYAFTRIRSIARLANIDEEMLQKAARETKILLDHEKEWKLGRCILRFPEILQKILDDLFLHTLCDYIYELATAFTEFYDSCYCVEKDRQTGKILKVNMWRMLLCEAVAAVMAKGFDILGIKPVQRM
+>DECOY_sp|P54136|SYRC_HUMAN Arginine--tRNA ligase, cytoplasmic OS=Homo sapiens OX=9606 GN=RARS PE=1 SV=2
+MRQVPKIGLIDFGKAMVAAVAECLLMRWMNVKLIKGTQRDKEVCYCSDYFETFATALEYIYDCLTHLFLDDLIKQLIEPFRLICRGLKWEKEHDLLIKTERAAKQLMEEDINALRAISRIRTFAYLLYAATNGRDDLMKDFSFIYDNLRNHSLDAYKICGYAVSTQAANLEEATLVKDREKEKLKDMSRKLGEGLLDMLRVTEGSRTKFKKKDEGLVVGFGAHFVRTVKPDYWGIMQAAAFITQFHVSQGNDVVYIIMDAKEEFLRQKIAALDSTDYTYGGDSKVITLPISCGPVFVIKRGDDVQVFGRDEFEKVIDNMRDQYFSEGREILSVDLADYIKNLEQRSVDCILKWAKTIDPNKGQLLVVCQYARKKFEEETDFRKKSEKYFVQLDGIPPSVTLYDPFKDQLHAILMGFQTGWDGVHNLRLVDYGAFEFLRSISEGIITSRLHGVHMEKAINPSSFDVIVKKNEGLAPLQVGNVLLSTLQESVFDKRLHVNIFGPGAIEVKEICENDPLHKTINEAIERPNVKQEKTKLMQSIGMASNCQYDGFKAQQSPTVLLPPNELDPYAAKIAHGFVEQLRSIINIMNKTPKNREAQLSKRLINLRYKLKLNEEQLQELNPSAGLCGCNKLRDIEATLSKIEEEQQLLRASCESVLVDM
+>sp|Q8N2H4|SYS1_HUMAN Protein SYS1 homolog OS=Homo sapiens OX=9606 GN=SYS1 PE=1 SV=1
+MAGQFRSYVWDPLLILSQIVLMQTVYYGSLGLWLALVDGLVRSSPSLDQMFDAEILGFSTPPGRLSMMSFILNALTCALGLLYFIRRGKQCLDFTVTVHFFHLLGCWFYSSRFPSALTWWLVQAVCIALMAVIGEYLCMRTELKEIPLNSAPKSNV
+>DECOY_sp|Q8N2H4|SYS1_HUMAN Protein SYS1 homolog OS=Homo sapiens OX=9606 GN=SYS1 PE=1 SV=1
+VNSKPASNLPIEKLETRMCLYEGIVAMLAICVAQVLWWTLASPFRSSYFWCGLLHFFHVTVTFDLCQKGRRIFYLLGLACTLANLIFSMMSLRGPPTSFGLIEADFMQDLSPSSRVLGDVLALWLGLSGYYVTQMLVIQSLILLPDWVYSRFQGAM
+>sp|P49591|SYSC_HUMAN Serine--tRNA ligase, cytoplasmic OS=Homo sapiens OX=9606 GN=SARS PE=1 SV=3
+MVLDLDLFRVDKGGDPALIRETQEKRFKDPGLVDQLVKADSEWRRCRFRADNLNKLKNLCSKTIGEKMKKKEPVGDDESVPENVLSFDDLTADALANLKVSQIKKVRLLIDEAILKCDAERIKLEAERFENLREIGNLLHPSVPISNDEDVDNKVERIWGDCTVRKKYSHVDLVVMVDGFEGEKGAVVAGSRGYFLKGVLVFLEQALIQYALRTLGSRGYIPIYTPFFMRKEVMQEVAQLSQFDEELYKVIGKGSEKSDDNSYDEKYLIATSEQPIAALHRDEWLRPEDLPIKYAGLSTCFRQEVGSHGRDTRGIFRVHQFEKIEQFVYSSPHDNKSWEMFEEMITTAEEFYQSLGIPYHIVNIVSGSLNHAASKKLDLEAWFPGSGAFRELVSCSNCTDYQARRLRIRYGQTKKMMDKVEFVHMLNATMCATTRTICAILENYQTEKGITVPEKLKEFMPPGLQELIPFVKPAPIEQEPSKKQKKQHEGSKKKAAARDVTLENRLQNMEVTDA
+>DECOY_sp|P49591|SYSC_HUMAN Serine--tRNA ligase, cytoplasmic OS=Homo sapiens OX=9606 GN=SARS PE=1 SV=3
+ADTVEMNQLRNELTVDRAAAKKKSGEHQKKQKKSPEQEIPAPKVFPILEQLGPPMFEKLKEPVTIGKETQYNELIACITRTTACMTANLMHVFEVKDMMKKTQGYRIRLRRAQYDTCNSCSVLERFAGSGPFWAELDLKKSAAHNLSGSVINVIHYPIGLSQYFEEATTIMEEFMEWSKNDHPSSYVFQEIKEFQHVRFIGRTDRGHSGVEQRFCTSLGAYKIPLDEPRLWEDRHLAAIPQESTAILYKEDYSNDDSKESGKGIVKYLEEDFQSLQAVEQMVEKRMFFPTYIPIYGRSGLTRLAYQILAQELFVLVGKLFYGRSGAVVAGKEGEFGDVMVVLDVHSYKKRVTCDGWIREVKNDVDEDNSIPVSPHLLNGIERLNEFREAELKIREADCKLIAEDILLRVKKIQSVKLNALADATLDDFSLVNEPVSEDDGVPEKKKMKEGITKSCLNKLKNLNDARFRCRRWESDAKVLQDVLGPDKFRKEQTERILAPDGGKDVRFLDLDLVM
+>sp|Q9NP81|SYSM_HUMAN Serine--tRNA ligase, mitochondrial OS=Homo sapiens OX=9606 GN=SARS2 PE=1 SV=1
+MAASMARRLWPLLTRRGFRPRGGCISNDSPRRSFTTEKRNRNLLYEYAREGYSALPQLDIERFCACPEEAAHALELRKGELRSADLPAIISTWQELRQLQEQIRSLEEEKAAVTEAVRALLANQDSGEVQQDPKYQGLRARGREIRKELVHLYPREAQLEEQFYLQALKLPNQTHPDVPVGDESQARVLHMVGDKPVFSFQPRGHLEIGEKLDIIRQKRLSHVSGHRSYYLRGAGALLQHGLVNFTFNKLLRRGFTPMTVPDLLRGAVFEGCGMTPNANPSQIYNIDPARFKDLNLAGTAEVGLAGYFMDHTVAFRDLPVRMVCSSTCYRAETNTGQEPRGLYRVHHFTKVEMFGVTGPGLEQSSQLLEEFLSLQMEILTELGLHFRVLDMPTQELGLPAYRKFDIEAWMPGRGRFGEVTSASNCTDFQSRRLHIMFQTEAGELQFAHTVNATACAVPRLLIALLESNQQKDGSVLVPPALQSYLGTDRITAPTHVPLQYIGPNQPRKPGLPGQPAVS
+>DECOY_sp|Q9NP81|SYSM_HUMAN Serine--tRNA ligase, mitochondrial OS=Homo sapiens OX=9606 GN=SARS2 PE=1 SV=1
+SVAPQGPLGPKRPQNPGIYQLPVHTPATIRDTGLYSQLAPPVLVSGDKQQNSELLAILLRPVACATANVTHAFQLEGAETQFMIHLRRSQFDTCNSASTVEGFRGRGPMWAEIDFKRYAPLGLEQTPMDLVRFHLGLETLIEMQLSLFEELLQSSQELGPGTVGFMEVKTFHHVRYLGRPEQGTNTEARYCTSSCVMRVPLDRFAVTHDMFYGALGVEATGALNLDKFRAPDINYIQSPNANPTMGCGEFVAGRLLDPVTMPTFGRRLLKNFTFNVLGHQLLAGAGRLYYSRHGSVHSLRKQRIIDLKEGIELHGRPQFSFVPKDGVMHLVRAQSEDGVPVDPHTQNPLKLAQLYFQEELQAERPYLHVLEKRIERGRARLGQYKPDQQVEGSDQNALLARVAETVAAKEEELSRIQEQLQRLEQWTSIIAPLDASRLEGKRLELAHAAEEPCACFREIDLQPLASYGERAYEYLLNRNRKETTFSRRPSDNSICGGRPRFGRRTLLPWLRRAMSAAM
+>sp|Q9BT88|SYT11_HUMAN Synaptotagmin-11 OS=Homo sapiens OX=9606 GN=SYT11 PE=1 SV=2
+MAEITNIRPSFDVSPVVAGLIGASVLVVCVSVTVFVWSCCHQQAEKKQKNPPYKFIHMLKGISIYPETLSNKKKIIKVRRDKDGPGREGGRRNLLVDAAEAGLLSRDKDPRGPSSGSCIDQLPIKMDYGEELRSPITSLTPGESKTTSPSSPEEDVMLGSLTFSVDYNFPKKALVVTIQEAHGLPVMDDQTQGSDPYIKMTILPDKRHRVKTRVLRKTLDPVFDETFTFYGIPYSQLQDLVLHFLVLSFDRFSRDDVIGEVMVPLAGVDPSTGKVQLTRDIIKRNIQKCISRGELQVSLSYQPVAQRMTVVVLKARHLPKMDITGLSGNPYVKVNVYYGRKRIAKKKTHVKKCTLNPIFNESFIYDIPTDLLPDISIEFLVIDFDRTTKNEVVGRLILGAHSVTASGAEHWREVCESPRKPVAKWHSLSEY
+>DECOY_sp|Q9BT88|SYT11_HUMAN Synaptotagmin-11 OS=Homo sapiens OX=9606 GN=SYT11 PE=1 SV=2
+YESLSHWKAVPKRPSECVERWHEAGSATVSHAGLILRGVVENKTTRDFDIVLFEISIDPLLDTPIDYIFSENFIPNLTCKKVHTKKKAIRKRGYYVNVKVYPNGSLGTIDMKPLHRAKLVVVTMRQAVPQYSLSVQLEGRSICKQINRKIIDRTLQVKGTSPDVGALPVMVEGIVDDRSFRDFSLVLFHLVLDQLQSYPIGYFTFTEDFVPDLTKRLVRTKVRHRKDPLITMKIYPDSGQTQDDMVPLGHAEQITVVLAKKPFNYDVSFTLSGLMVDEEPSSPSTTKSEGPTLSTIPSRLEEGYDMKIPLQDICSGSSPGRPDKDRSLLGAEAADVLLNRRGGERGPGDKDRRVKIIKKKNSLTEPYISIGKLMHIFKYPPNKQKKEAQQHCCSWVFVTVSVCVVLVSAGILGAVVPSVDFSPRINTIEAM
+>sp|Q8NB59|SYT14_HUMAN Synaptotagmin-14 OS=Homo sapiens OX=9606 GN=SYT14 PE=1 SV=2
+MAIEGGERTCGVHELICIRKVSPEAVGFLSAVGVFIILMLLLFLYINKKFCFENVGGFPDLGSEYSTRKNSQDKIYNSYMDKDEHGSSSESEDEALGKYHEALSRTHNSRLPLADSRQRNYAWETRQKYSPLSAEYDGYSSEASIDEGNCIQRMRRTPPLDELQPPPYQDDSGSPHLSCTPSEIGDSKCEFSHCSNSPRCSYNKCPSEGSTGHEIESFHNKGYEEDVPSDSTAVLSPEDMSAQGSSSQLPKPFDPEPEAKYGTLDVTFDYDSQEQKLLVTVTAVTDIPTYNRTGGNSWQVHLVLLPIKKQRAKTSIQRGPCPVFTETFKFNHVESEMIGNYAVRFRLYGVHRMKKEKIVGEKIFYLTKLNLQGKMSLPVILEPSYNHSGCDSQMSVSEMSCSESTSSCQSLEHGSVPEILIGLLYNATTGRLSAEVIKGSHFKNLAANRPPNTYVKLTLLNSMGQEMSKCKTSIRRGQPNPVYKETFVFQVALFQLSDVTLILSVYNKRSMKRKEMIGWISLGLNSSGEEELNHWTEMKESKGQQVCRWHALLES
+>DECOY_sp|Q8NB59|SYT14_HUMAN Synaptotagmin-14 OS=Homo sapiens OX=9606 GN=SYT14 PE=1 SV=2
+SELLAHWRCVQQGKSEKMETWHNLEEEGSSNLGLSIWGIMEKRKMSRKNYVSLILTVDSLQFLAVQFVFTEKYVPNPQGRRISTKCKSMEQGMSNLLTLKVYTNPPRNAALNKFHSGKIVEASLRGTTANYLLGILIEPVSGHELSQCSSTSESCSMESVSMQSDCGSHNYSPELIVPLSMKGQLNLKTLYFIKEGVIKEKKMRHVGYLRFRVAYNGIMESEVHNFKFTETFVPCPGRQISTKARQKKIPLLVLHVQWSNGGTRNYTPIDTVATVTVLLKQEQSDYDFTVDLTGYKAEPEPDFPKPLQSSSGQASMDEPSLVATSDSPVDEEYGKNHFSEIEHGTSGESPCKNYSCRPSNSCHSFECKSDGIESPTCSLHPSGSDDQYPPPQLEDLPPTRRMRQICNGEDISAESSYGDYEASLPSYKQRTEWAYNRQRSDALPLRSNHTRSLAEHYKGLAEDESESSSGHEDKDMYSNYIKDQSNKRTSYESGLDPFGGVNEFCFKKNIYLFLLLMLIIFVGVASLFGVAEPSVKRICILEHVGCTREGGEIAM
+>sp|Q5T7P8|SYT6_HUMAN Synaptotagmin-6 OS=Homo sapiens OX=9606 GN=SYT6 PE=1 SV=3
+MSGVWGAGGPRCQEALAVLASLCRARPPPLGLDVETCRSFELQPPERSPSAAGAGTSVSLLAVVVIVCGVALVAVFLFLFWKLCWMPWRNKEASSPSSANPPLEALQSPSFRGNMADKLKDPSTLGFLEAAVKISHTSPDIPAEVQMSVKEHIMRHTRLQRQTTEPASSTRHTSFKRHLPRQMHVSSVDYGNELPPAAEQPTSIGRIKPELYKQKSVDGEDAKSEATKSCGKINFSLRYDYETETLIVRILKAFDLPAKDFCGSSDPYVKIYLLPDRKCKLQTRVHRKTLNPTFDENFHFPVPYEELADRKLHLSVFDFDRFSRHDMIGEVILDNLFEASDLSRETSIWKDIQYATSESVDLGEIMFSLCYLPTAGRLTLTVIKCRNLKAMDITGYSDPYVKVSLLCDGRRLKKKKTTIKKNTLNPVYNEAIIFDIPPENMDQVSLLISVMDYDRVGHNEIIGVCRVGITAEGLGRDHWNEMLAYPRKPIAHWHSLVEVKKSFKEGNPRL
+>DECOY_sp|Q5T7P8|SYT6_HUMAN Synaptotagmin-6 OS=Homo sapiens OX=9606 GN=SYT6 PE=1 SV=3
+LRPNGEKFSKKVEVLSHWHAIPKRPYALMENWHDRGLGEATIGVRCVGIIENHGVRDYDMVSILLSVQDMNEPPIDFIIAENYVPNLTNKKITTKKKKLRRGDCLLSVKVYPDSYGTIDMAKLNRCKIVTLTLRGATPLYCLSFMIEGLDVSESTAYQIDKWISTERSLDSAEFLNDLIVEGIMDHRSFRDFDFVSLHLKRDALEEYPVPFHFNEDFTPNLTKRHVRTQLKCKRDPLLYIKVYPDSSGCFDKAPLDFAKLIRVILTETEYDYRLSFNIKGCSKTAESKADEGDVSKQKYLEPKIRGISTPQEAAPPLENGYDVSSVHMQRPLHRKFSTHRTSSAPETTQRQLRTHRMIHEKVSMQVEAPIDPSTHSIKVAAELFGLTSPDKLKDAMNGRFSPSQLAELPPNASSPSSAEKNRWPMWCLKWFLFLFVAVLAVGCVIVVVALLSVSTGAGAASPSREPPQLEFSRCTEVDLGLPPPRARCLSALVALAEQCRPGGAGWVGSM
+>sp|O43581|SYT7_HUMAN Synaptotagmin-7 OS=Homo sapiens OX=9606 GN=SYT7 PE=1 SV=3
+MYRDPEAASPGAPSRDVLLVSAIITVSLSVTVVLCGLCHWCQRKLGKRYKNSLETVGTPDSGRGRSEKKAIKLPAGGKAVNTAPVPGQTPHDESDRRTEPRSSVSDLVNSLTSEMLMLSPGSEEDEAHEGCSRENLGRIQFSVGYNFQESTLTVKIMKAQELPAKDFSGTSDPFVKIYLLPDKKHKLETKVKRKNLNPHWNETFLFEGFPYEKVVQRILYLQVLDYDRFSRNDPIGEVSIPLNKVDLTQMQTFWKDLKPCSDGSGSRGELLLSLCYNPSANSIIVNIIKARNLKAMDIGGTSDPYVKVWLMYKDKRVEKKKTVTMKRNLNPIFNESFAFDIPTEKLRETTIIITVMDKDKLSRNDVIGKIYLSWKSGPGEVKHWKDMIARPRQPVAQWHQLKA
+>DECOY_sp|O43581|SYT7_HUMAN Synaptotagmin-7 OS=Homo sapiens OX=9606 GN=SYT7 PE=1 SV=3
+AKLQHWQAVPQRPRAIMDKWHKVEGPGSKWSLYIKGIVDNRSLKDKDMVTIIITTERLKETPIDFAFSENFIPNLNRKMTVTKKKEVRKDKYMLWVKVYPDSTGGIDMAKLNRAKIINVIISNASPNYCLSLLLEGRSGSGDSCPKLDKWFTQMQTLDVKNLPISVEGIPDNRSFRDYDLVQLYLIRQVVKEYPFGEFLFTENWHPNLNKRKVKTELKHKKDPLLYIKVFPDSTGSFDKAPLEQAKMIKVTLTSEQFNYGVSFQIRGLNERSCGEHAEDEESGPSLMLMESTLSNVLDSVSSRPETRRDSEDHPTQGPVPATNVAKGGAPLKIAKKESRGRGSDPTGVTELSNKYRKGLKRQCWHCLGCLVVTVSLSVTIIASVLLVDRSPAGPSAAEPDRYM
+>sp|Q8N3T6|T132C_HUMAN Transmembrane protein 132C OS=Homo sapiens OX=9606 GN=TMEM132C PE=2 SV=3
+MRSEGAAPGPAAPLCGALSLLLGALLGKVIEGHGVTDNIQRFSSLPPYLPVSYHILRAETSFFLKEANQDLLRNSSLQARVESFFTYKTRQPPVLNASYGPFSVEKVVPLDLMLTSNFLGPTNKFSFDWKLKAHILRDKVYLSRPKVQVLFHIMGRDWDDHGAGEKLPCLRVFAFRETREVRGSCRLKGDLGLCVAELELLSSWFSAPTVGAGRKKSMDQPEGTPVELYYTVHPGNERGDCAGGDFRKGNAIRPGKDGLEETTSHLQRIGTVGLYRAQDSAQLSELRLDGNVVIWLPSRPVKQGEVVTAYVTISSNSSVDLFILRAKVKKGVNILSAQTREPRQWGVKQEVGSGGKHVTATVACQRLGPSPRNRSSSLFNEVVQMNFEIASFSSLSGTQPITWQVEYPRKGTTDIAVSEIFVSQKDLVGIVPLAMDTEILNTAVLTGKTVAMPIKVVSVEENSAVMDISESVECKSTDEDVIKVSERCDYIFVNGKEIKGKMDAVVNFTYQYLSAPLCVTVWVPRLPLQIEVSDTELSQIKGWRVPIVTNKRPTRESEDEDEEERRGRGCALQYQHATVRVLTQFVSEGAGPWGQPNYLLSPNWQFDITHLVADFMKLEEPHVATLQDSRVLVGREVGMTTIQVLSPLSDSILAEKTITVLDDKVSVTDLAIQLVAGLSVALYPNAENSKAVTAVVTAEEVLRTPKQEAVFSTWLQFSDGSVTPLDIYDTKDFSLAATSQDEAVVSVPQPRSPRWPVVVAEGEGQGPLIRVDMTIAEACQKSKRKSILAVGVGNVRVKFGQNDADSSPGGDYEEDEIKNHASDRRQKGQHHERTGQDGHLYGSSPVEREEGALRRATTTARSLLDNKVVKNSRADGGRLAGEGQLQNIPIDFTNFPAHVDLPKAGSGLEENDLVQTPRGLSDLEIGMYALLGVFCLAILVFLINCATFALKYRHKQVPLEGQASMTHSHDWVWLGNEAELLESMGDAPPPQDEHTTIIDRGPGACEESNHLLLNGGSHKHVQSQIHRSADSGGRQGREQKQDPLHSPTSKRKKVKFTTFTTIPPDDSCPTVNSIVSSNDEDIKWVCQDVAVGAPKELRNYLEKLKDKA
+>DECOY_sp|Q8N3T6|T132C_HUMAN Transmembrane protein 132C OS=Homo sapiens OX=9606 GN=TMEM132C PE=2 SV=3
+AKDKLKELYNRLEKPAGVAVDQCVWKIDEDNSSVISNVTPCSDDPPITTFTTFKVKKRKSTPSHLPDQKQERGQRGGSDASRHIQSQVHKHSGGNLLLHNSEECAGPGRDIITTHEDQPPPADGMSELLEAENGLWVWDHSHTMSAQGELPVQKHRYKLAFTACNILFVLIALCFVGLLAYMGIELDSLGRPTQVLDNEELGSGAKPLDVHAPFNTFDIPINQLQGEGALRGGDARSNKVVKNDLLSRATTTARRLAGEEREVPSSGYLHGDQGTREHHQGKQRRDSAHNKIEDEEYDGGPSSDADNQGFKVRVNGVGVALISKRKSKQCAEAITMDVRILPGQGEGEAVVVPWRPSRPQPVSVVAEDQSTAALSFDKTDYIDLPTVSGDSFQLWTSFVAEQKPTRLVEEATVVATVAKSNEANPYLAVSLGAVLQIALDTVSVKDDLVTITKEALISDSLPSLVQITTMGVERGVLVRSDQLTAVHPEELKMFDAVLHTIDFQWNPSLLYNPQGWPGAGESVFQTLVRVTAHQYQLACGRGRREEEDEDESERTPRKNTVIPVRWGKIQSLETDSVEIQLPLRPVWVTVCLPASLYQYTFNVVADMKGKIEKGNVFIYDCRESVKIVDEDTSKCEVSESIDMVASNEEVSVVKIPMAVTKGTLVATNLIETDMALPVIGVLDKQSVFIESVAIDTTGKRPYEVQWTIPQTGSLSSFSAIEFNMQVVENFLSSSRNRPSPGLRQCAVTATVHKGGSGVEQKVGWQRPERTQASLINVGKKVKARLIFLDVSSNSSITVYATVVEGQKVPRSPLWIVVNGDLRLESLQASDQARYLGVTGIRQLHSTTEELGDKGPRIANGKRFDGGACDGRENGPHVTYYLEVPTGEPQDMSKKRGAGVTPASFWSSLLELEAVCLGLDGKLRCSGRVERTERFAFVRLCPLKEGAGHDDWDRGMIHFLVQVKPRSLYVKDRLIHAKLKWDFSFKNTPGLFNSTLMLDLPVVKEVSFPGYSANLVPPQRTKYTFFSEVRAQLSSNRLLDQNAEKLFFSTEARLIHYSVPLYPPLSSFRQINDTVGHGEIVKGLLAGLLLSLAGCLPAAPGPAAGESRM
+>sp|Q8NFB2|T185A_HUMAN Transmembrane protein 185A OS=Homo sapiens OX=9606 GN=TMEM185A PE=1 SV=2
+MNLRGLFQDFNPSKFLIYACLLLFSVLLALRLDGIIQWSYWAVFAPIWLWKLMVIVGASVGTGVWARNPQYRAEGETCVEFKAMLIAVGIHLLLLMFEVLVCDRIERGSHFWLLVFMPLFFVSPVSVAACVWGFRHDRSLELEILCSVNILQFIFIALRLDKIIHWPWLVVCVPLWILMSFLCLVVLYYIVWSVLFLRSMDVIAEQRRTHITMALSWMTIVVPLLTFEILLVHKLDGHNAFSSIPIFVPLWLSLITLMATTFGQKGGNHWWFGIRKDFCQFLLEIFPFLREYGNISYDLHHEDNEETEETPVPEPPKIAPMFRKKARVVITQSPGKYVLPPPKLNIEMPD
+>DECOY_sp|Q8NFB2|T185A_HUMAN Transmembrane protein 185A OS=Homo sapiens OX=9606 GN=TMEM185A PE=1 SV=2
+DPMEINLKPPPLVYKGPSQTIVVRAKKRFMPAIKPPEPVPTEETEENDEHHLDYSINGYERLFPFIELLFQCFDKRIGFWWHNGGKQGFTTAMLTILSLWLPVFIPISSFANHGDLKHVLLIEFTLLPVVITMWSLAMTIHTRRQEAIVDMSRLFLVSWVIYYLVVLCLFSMLIWLPVCVVLWPWHIIKDLRLAIFIFQLINVSCLIELELSRDHRFGWVCAAVSVPSVFFLPMFVLLWFHSGREIRDCVLVEFMLLLLHIGVAILMAKFEVCTEGEARYQPNRAWVGTGVSAGVIVMLKWLWIPAFVAWYSWQIIGDLRLALLVSFLLLCAYILFKSPNFDQFLGRLNM
+>sp|Q9H0A3|T191A_HUMAN Transmembrane protein 191A OS=Homo sapiens OX=9606 GN=TMEM191A PE=2 SV=1
+MMNNTDFLMLNNPWNKLCLVSMDFCFPLDFVSNLFWIFASKFIIVTGQIKADFKRTSWEAKAEGSLEPGRLKLQLASIVPLYSSLVTAGPASKIIILKRTSLPTVSPSNERAYLLPVSFTDLAHVFYLSYFSINAKSNSFSLDIIIALGIPHNTQAHFNH
+>DECOY_sp|Q9H0A3|T191A_HUMAN Transmembrane protein 191A OS=Homo sapiens OX=9606 GN=TMEM191A PE=2 SV=1
+HNFHAQTNHPIGLAIIIDLSFSNSKANISFYSLYFVHALDTFSVPLLYARENSPSVTPLSTRKLIIIKSAPGATVLSSYLPVISALQLKLRGPELSGEAKAEWSTRKFDAKIQGTVIIFKSAFIWFLNSVFDLPFCFDMSVLCLKNWPNNLMLFDTNNMM
+>sp|Q5JRV8|T255A_HUMAN Transmembrane protein 255A OS=Homo sapiens OX=9606 GN=TMEM255A PE=2 SV=1
+MHQSLTQQRSSDMSLPDSMGAFNRRKRNSIYVTVTLLIVSVLILTVGLAATTRTQNVTVGGYYPGVILGFGSFLGIIGSNLIENKRQMLVASIVFISFGVIAAFCCAIVDGVFAARHIDLKPLYANRCHYVPKTSQKEAEEVISSSTKNSPSTRVMRNLTQAAREVNCPHLSREFCTPRIRGNTCFCCDLYNCGNRVEITGGYYEYIDVSSCQDIIHLYHLLWSATILNIVGLFLGIITAAVLGGFKDMNPTLPALNCSVENTHPTVSYYAHPQVASYNTYYHSPPHLPPYSAYDFQHSGVFPSSPPSGLSDEPQSASPSPSYMWSSSAPPRYSPPYYPPFEKPPPYSP
+>DECOY_sp|Q5JRV8|T255A_HUMAN Transmembrane protein 255A OS=Homo sapiens OX=9606 GN=TMEM255A PE=2 SV=1
+PSYPPPKEFPPYYPPSYRPPASSSWMYSPSPSASQPEDSLGSPPSSPFVGSHQFDYASYPPLHPPSHYYTNYSAVQPHAYYSVTPHTNEVSCNLAPLTPNMDKFGGLVAATIIGLFLGVINLITASWLLHYLHIIDQCSSVDIYEYYGGTIEVRNGCNYLDCCFCTNGRIRPTCFERSLHPCNVERAAQTLNRMVRTSPSNKTSSSIVEEAEKQSTKPVYHCRNAYLPKLDIHRAAFVGDVIACCFAAIVGFSIFVISAVLMQRKNEILNSGIIGLFSGFGLIVGPYYGGVTVNQTRTTAALGVTLILVSVILLTVTVYISNRKRRNFAGMSDPLSMDSSRQQTLSQHM
+>sp|Q96CE8|T4S18_HUMAN Transmembrane 4 L6 family member 18 OS=Homo sapiens OX=9606 GN=TM4SF18 PE=2 SV=1
+MGSRKCGGCLSCLLIPLALWSIIVNILLYFPNGQTSYASSNKLTNYVWYFEGICFSGIMMLIVTTVLLVLENNNNYKCCQSENCSKKYVTLLSIIFSSLGIAFSGYCLVISALGLVQGPYCRTLDGWEYAFEGTAGRFLTDSSIWIQCLEPAHVVEWNIILFSILITLSGLQVIICLIRVVMQLSKILCGSYSVIFQPGII
+>DECOY_sp|Q96CE8|T4S18_HUMAN Transmembrane 4 L6 family member 18 OS=Homo sapiens OX=9606 GN=TM4SF18 PE=2 SV=1
+IIGPQFIVSYSGCLIKSLQMVVRILCIIVQLGSLTILISFLIINWEVVHAPELCQIWISSDTLFRGATGEFAYEWGDLTRCYPGQVLGLASIVLCYGSFAIGLSSFIISLLTVYKKSCNESQCCKYNNNNELVLLVTTVILMMIGSFCIGEFYWVYNTLKNSSAYSTQGNPFYLLINVIISWLALPILLCSLCGGCKRSGM
+>sp|P30408|T4S1_HUMAN Transmembrane 4 L6 family member 1 OS=Homo sapiens OX=9606 GN=TM4SF1 PE=1 SV=1
+MCYGKCARCIGHSLVGLALLCIAANILLYFPNGETKYASENHLSRFVWFFSGIVGGGLLMLLPAFVFIGLEQDDCCGCCGHENCGKRCAMLSSVLAALIGIAGSGYCVIVAALGLAEGPLCLDSLGQWNYTFASTEGQYLLDTSTWSECTEPKHIVEWNVSLFSILLALGGIEFILCLIQVINGVLGGICGFCCSHQQQYDC
+>DECOY_sp|P30408|T4S1_HUMAN Transmembrane 4 L6 family member 1 OS=Homo sapiens OX=9606 GN=TM4SF1 PE=1 SV=1
+CDYQQQHSCCFGCIGGLVGNIVQILCLIFEIGGLALLISFLSVNWEVIHKPETCESWTSTDLLYQGETSAFTYNWQGLSDLCLPGEALGLAAVIVCYGSGAIGILAALVSSLMACRKGCNEHGCCGCCDDQELGIFVFAPLLMLLGGGVIGSFFWVFRSLHNESAYKTEGNPFYLLINAAICLLALGVLSHGICRACKGYCM
+>sp|P48230|T4S4_HUMAN Transmembrane 4 L6 family member 4 OS=Homo sapiens OX=9606 GN=TM4SF4 PE=1 SV=1
+MCTGGCARCLGGTLIPLAFFGFLANILLFFPGGKVIDDNDHLSQEIWFFGGILGSGVLMIFPALVFLGLKNNDCCGCCGNEGCGKRFAMFTSTIFAVVGFLGAGYSFIISAISINKGPKCLMANSTWGYPFHDGDYLNDEALWNKCREPLNVVPWNLTLFSILLVVGGIQMVLCAIQVVNGLLGTLCGDCQCCGCCGGDGPV
+>DECOY_sp|P48230|T4S4_HUMAN Transmembrane 4 L6 family member 4 OS=Homo sapiens OX=9606 GN=TM4SF4 PE=1 SV=1
+VPGDGGCCGCCQCDGCLTGLLGNVVQIACLVMQIGGVVLLISFLTLNWPVVNLPERCKNWLAEDNLYDGDHFPYGWTSNAMLCKPGKNISIASIIFSYGAGLFGVVAFITSTFMAFRKGCGENGCCGCCDNNKLGLFVLAPFIMLVGSGLIGGFFWIEQSLHDNDDIVKGGPFFLLINALFGFFALPILTGGLCRACGGTCM
+>sp|O75528|TADA3_HUMAN Transcriptional adapter 3 OS=Homo sapiens OX=9606 GN=TADA3 PE=1 SV=1
+MSELKDCPLQFHDFKSVDHLKVCPRYTAVLARSEDDGIGIEELDTLQLELETLLSSASRRLRVLEAETQILTDWQDKKGDRRFLKLGRDHELGAPPKHGKPKKQKLEGKAGHGPGPGPGRPKSKNLQPKIQEYEFTDDPIDVPRIPKNDAPNRFWASVEPYCADITSEEVRTLEELLKPPEDEAEHYKIPPLGKHYSQRWAQEDLLEEQKDGARAAAVADKKKGLMGPLTELDTKDVDALLKKSEAQHEQPEDGCPFGALTQRLLQALVEENIISPMEDSPIPDMSGKESGADGASTSPRNQNKPFSVPHTKSLESRIKEELIAQGLLESEDRPAEDSEDEVLAELRKRQAELKALSAHNRTKKHDLLRLAKEEVSRQELRQRVRMADNEVMDAFRKIMAARQKKRTPTKKEKDQAWKTLKERESILKLLDG
+>DECOY_sp|O75528|TADA3_HUMAN Transcriptional adapter 3 OS=Homo sapiens OX=9606 GN=TADA3 PE=1 SV=1
+GDLLKLISEREKLTKWAQDKEKKTPTRKKQRAAMIKRFADMVENDAMRVRQRLEQRSVEEKALRLLDHKKTRNHASLAKLEAQRKRLEALVEDESDEAPRDESELLGQAILEEKIRSELSKTHPVSFPKNQNRPSTSAGDAGSEKGSMDPIPSDEMPSIINEEVLAQLLRQTLAGFPCGDEPQEHQAESKKLLADVDKTDLETLPGMLGKKKDAVAAARAGDKQEELLDEQAWRQSYHKGLPPIKYHEAEDEPPKLLEELTRVEESTIDACYPEVSAWFRNPADNKPIRPVDIPDDTFEYEQIKPQLNKSKPRGPGPGPGHGAKGELKQKKPKGHKPPAGLEHDRGLKLFRRDGKKDQWDTLIQTEAELVRLRRSASSLLTELELQLTDLEEIGIGDDESRALVATYRPCVKLHDVSKFDHFQLPCDKLESM
+>sp|Q15545|TAF7_HUMAN Transcription initiation factor TFIID subunit 7 OS=Homo sapiens OX=9606 GN=TAF7 PE=1 SV=1
+MSKSKDDAPHELESQFILRLPPEYASTVRRAVQSGHVNLKDRLTIELHPDGRHGIVRVDRVPLASKLVDLPCVMESLKTIDKKTFYKTADICQMLVSTVDGDLYPPVEEPVASTDPKASKKKDKDKEKKFIWNHGITLPLKNVRKRRFRKTAKKKYIESPDVEKEVKRLLSTDAEAVSTRWEIIAEDETKEAENQGLDISSPGMSGHRQGHDSLEHDELREIFNDLSSSSEDEDETQHQDEEDINIIDTEEDLERQLQDKLNESDEQHQENEGTNQLVMGIQKQIDNMKGKLQETQDRAKRQEDLIMKVENLALKNRFQAVLDELKQKEDREKEQLSSLQEELESLLEK
+>DECOY_sp|Q15545|TAF7_HUMAN Transcription initiation factor TFIID subunit 7 OS=Homo sapiens OX=9606 GN=TAF7 PE=1 SV=1
+KELLSELEEQLSSLQEKERDEKQKLEDLVAQFRNKLALNEVKMILDEQRKARDQTEQLKGKMNDIQKQIGMVLQNTGENEQHQEDSENLKDQLQRELDEETDIINIDEEDQHQTEDEDESSSSLDNFIERLEDHELSDHGQRHGSMGPSSIDLGQNEAEKTEDEAIIEWRTSVAEADTSLLRKVEKEVDPSEIYKKKATKRFRRKRVNKLPLTIGHNWIFKKEKDKDKKKSAKPDTSAVPEEVPPYLDGDVTSVLMQCIDATKYFTKKDITKLSEMVCPLDVLKSALPVRDVRVIGHRGDPHLEITLRDKLNVHGSQVARRVTSAYEPPLRLIFQSELEHPADDKSKSM
+>sp|Q9HBM6|TAF9B_HUMAN Transcription initiation factor TFIID subunit 9B OS=Homo sapiens OX=9606 GN=TAF9B PE=1 SV=1
+MESGKMAPPKNAPRDALVMAQILKDMGITEYEPRVINQMLEFAFRYVTTILDDAKIYSSHAKKPNVDADDVRLAIQCRADQSFTSPPPRDFLLDIARQKNQTPLPLIKPYAGPRLPPDRYCLTAPNYRLKSLIKKGPNQGRLVPRLSVGAVSSKPTTPTIATPQTVSVPNKVATPMSVTSQRFTVQIPPSQSTPVKPVPATTAVQNVLINPSMIGPKNILITTNMVSSQNTANEANPLKRKHEDDDDNDIM
+>DECOY_sp|Q9HBM6|TAF9B_HUMAN Transcription initiation factor TFIID subunit 9B OS=Homo sapiens OX=9606 GN=TAF9B PE=1 SV=1
+MIDNDDDDEHKRKLPNAENATNQSSVMNTTILINKPGIMSPNILVNQVATTAPVPKVPTSQSPPIQVTFRQSTVSMPTAVKNPVSVTQPTAITPTTPKSSVAGVSLRPVLRGQNPGKKILSKLRYNPATLCYRDPPLRPGAYPKILPLPTQNKQRAIDLLFDRPPPSTFSQDARCQIALRVDDADVNPKKAHSSYIKADDLITTVYRFAFELMQNIVRPEYETIGMDKLIQAMVLADRPANKPPAMKGSEM
+>sp|Q8N103|TAGAP_HUMAN T-cell activation Rho GTPase-activating protein OS=Homo sapiens OX=9606 GN=TAGAP PE=1 SV=1
+MKLRSSHNASKTLNANNMETLIECQSEGDIKEHPLLASCESEDSICQLIEVKKRKKVLSWPFLMRRLSPASDFSGALETDLKASLFDQPLSIICGDSDTLPRPIQDILTILCLKGPSTEGIFRRAANEKARKELKEELNSGDAVDLERLPVHLLAVVFKDFLRSIPRKLLSSDLFEEWMGALEMQDEEDRIEALKQVADKLPRPNLLLLKHLVYVLHLISKNSEVNRMDSSNLAICIGPNMLTLENDQSLSFEAQKDLNNKVKTLVEFLIDNCFEIFGENIPVHSSITSDDSLEHTDSSDVSTLQNDSAYDSNDPDVESNSSSGISSPSRQPQVPMATAAGLDSAGPQDAREVSPEPIVSTVARLKSSLAQPDRRYSEPSMPSSQECLESRVTNQTLTKSEGDFPVPRVGSRLESEEAEDPFPEEVFPAVQGKTKRPVDLKIKNLAPGSVLPRALVLKAFSSSSLDASSDSSPVASPSSPKRNFFSRHQSFTTKTEKGKPSREIKKHSMSFTFAPHKKVLTKNLSAGSGKSQDFTRDHVPRGVRKESQLAGRIVQENGCETHNQTARGFCLRPHALSVDDVFQGADWERPGSPPSYEEAMQGPAARLVASESQTVGSMTVGSMRARMLEAHCLLPPLPPAHHVEDSRHRGSKEPLPGHGLSPLPERWKQSRTVHASGDSLGHVSGPGRPELLPLRTVSESVQRNKRDCLVRRCSQPVFEADQFQYAKESYI
+>DECOY_sp|Q8N103|TAGAP_HUMAN T-cell activation Rho GTPase-activating protein OS=Homo sapiens OX=9606 GN=TAGAP PE=1 SV=1
+IYSEKAYQFQDAEFVPQSCRRVLCDRKNRQVSESVTRLPLLEPRGPGSVHGLSDGSAHVTRSQKWREPLPSLGHGPLPEKSGRHRSDEVHHAPPLPPLLCHAELMRARMSGVTMSGVTQSESAVLRAAPGQMAEEYSPPSGPREWDAGQFVDDVSLAHPRLCFGRATQNHTECGNEQVIRGALQSEKRVGRPVHDRTFDQSKGSGASLNKTLVKKHPAFTFSMSHKKIERSPKGKETKTTFSQHRSFFNRKPSSPSAVPSSDSSADLSSSSFAKLVLARPLVSGPALNKIKLDVPRKTKGQVAPFVEEPFPDEAEESELRSGVRPVPFDGESKTLTQNTVRSELCEQSSPMSPESYRRDPQALSSKLRAVTSVIPEPSVERADQPGASDLGAATAMPVQPQRSPSSIGSSSNSEVDPDNSDYASDNQLTSVDSSDTHELSDDSTISSHVPINEGFIEFCNDILFEVLTKVKNNLDKQAEFSLSQDNELTLMNPGICIALNSSDMRNVESNKSILHLVYVLHKLLLLNPRPLKDAVQKLAEIRDEEDQMELAGMWEEFLDSSLLKRPISRLFDKFVVALLHVPLRELDVADGSNLEEKLEKRAKENAARRFIGETSPGKLCLITLIDQIPRPLTDSDGCIISLPQDFLSAKLDTELAGSFDSAPSLRRMLFPWSLVKKRKKVEILQCISDESECSALLPHEKIDGESQCEILTEMNNANLTKSANHSSRLKM
+>sp|Q70YC4|TALAN_HUMAN Talanin OS=Homo sapiens OX=9606 GN=ZNF365 PE=2 SV=2
+MSALGQITITVSRCWNTERNQTDKNPCLHGAYLQLRETVKNKSTHLKKPLMKQAPPWKDHLTFQPLHPAERKTQVWRWQSGNSSDLETTSSASPWPTGSNRDVVLNTLAESCCGLSELITAPPYAGVSIQGFSQIWVLFPFCGGTFHHNEKDVLGLQDFERESVSTSQSRNISLLTLGQLQNCVIGKLTIIDLLTEHLLGVRHGVICFPWGLPSSS
+>DECOY_sp|Q70YC4|TALAN_HUMAN Talanin OS=Homo sapiens OX=9606 GN=ZNF365 PE=2 SV=2
+SSSPLGWPFCIVGHRVGLLHETLLDIITLKGIVCNQLQGLTLLSINRSQSTSVSEREFDQLGLVDKENHHFTGGCFPFLVWIQSFGQISVGAYPPATILESLGCCSEALTNLVVDRNSGTPWPSASSTTELDSSNGSQWRWVQTKREAPHLPQFTLHDKWPPAQKMLPKKLHTSKNKVTERLQLYAGHLCPNKDTQNRETNWCRSVTITIQGLASM
+>sp|Q9UL54|TAOK2_HUMAN Serine/threonine-protein kinase TAO2 OS=Homo sapiens OX=9606 GN=TAOK2 PE=1 SV=2
+MPAGGRAGSLKDPDVAELFFKDDPEKLFSDLREIGHGSFGAVYFARDVRNSEVVAIKKMSYSGKQSNEKWQDIIKEVRFLQKLRHPNTIQYRGCYLREHTAWLVMEYCLGSASDLLEVHKKPLQEVEIAAVTHGALQGLAYLHSHNMIHRDVKAGNILLSEPGLVKLGDFGSASIMAPANSFVGTPYWMAPEVILAMDEGQYDGKVDVWSLGITCIELAERKPPLFNMNAMSALYHIAQNESPVLQSGHWSEYFRNFVDSCLQKIPQDRPTSEVLLKHRFVLRERPPTVIMDLIQRTKDAVRELDNLQYRKMKKILFQEAPNGPGAEAPEEEEEAEPYMHRAGTLTSLESSHSVPSMSISASSQSSSVNSLADASDNEEEEEEEEEEEEEEEGPEAREMAMMQEGEHTVTSHSSIIHRLPGSDNLYDDPYQPEITPSPLQPPAAPAPTSTTSSARRRAYCRNRDHFATIRTASLVSRQIQEHEQDSALREQLSGYKRMRRQHQKQLLALESRLRGEREEHSARLQRELEAQRAGFGAEAEKLARRHQAIGEKEARAAQAEERKFQQHILGQQKKELAALLEAQKRTYKLRKEQLKEELQENPSTPKREKAEWLLRQKEQLQQCQAEEEAGLLRRQRQYFELQCRQYKRKMLLARHSLDQDLLREDLNKKQTQKDLECALLLRQHEATRELELRQLQAVQRTRAELTRLQHQTELGNQLEYNKRREQELRQKHAAQVRQQPKSLKVRAGQRPPGLPLPIPGALGPPNTGTPIEQQPCSPGQEAVLDQRMLGEEEEAVGERRILGKEGATLEPKQQRILGEESGAPSPSPQKHGSLVDEEVWGLPEEIEELRVPSLVPQERSIVGQEEAGTWSLWGKEDESLLDEEFELGWVQGPALTPVPEEEEEEEEGAPIGTPRDPGDGCPSPDIPPEPPPTHLRPCPASQLPGLLSHGLLAGLSFAVGSSSGLLPLLLLLLLPLLAAQGGGGLQAALLALEVGLVGLGASYLLLCTALHLPSSLFLLLAQGTALGAVLGLSWRRGLMGVPLGLGAAWLLAWPGLALPLVAMAAGGRWVRQQGPRVRRGISRLWLRVLLRLSPMAFRALQGCGAVGDRGLFALYPKTNKDGFRSRLPVPGPRRRNPRTTQHPLALLARVWVLCKGWNWRLARASQGLASHLPPWAIHTLASWGLLRGERPTRIPRLLPRSQRQLGPPASRQPLPGTLAGRRSRTRQSRALPPWR
+>DECOY_sp|Q9UL54|TAOK2_HUMAN Serine/threonine-protein kinase TAO2 OS=Homo sapiens OX=9606 GN=TAOK2 PE=1 SV=2
+RWPPLARSQRTRSRRGALTGPLPQRSAPPGLQRQSRPLLRPIRTPREGRLLGWSALTHIAWPPLHSALGQSARALRWNWGKCLVWVRALLALPHQTTRPNRRRPGPVPLRSRFGDKNTKPYLAFLGRDGVAGCGQLARFAMPSLRLLVRLWLRSIGRRVRPGQQRVWRGGAAMAVLPLALGPWALLWAAGLGLPVGMLGRRWSLGLVAGLATGQALLLFLSSPLHLATCLLLYSAGLGVLGVELALLAAQLGGGGQAALLPLLLLLLLPLLGSSSGVAFSLGALLGHSLLGPLQSAPCPRLHTPPPEPPIDPSPCGDGPDRPTGIPAGEEEEEEEEPVPTLAPGQVWGLEFEEDLLSEDEKGWLSWTGAEEQGVISREQPVLSPVRLEEIEEPLGWVEEDVLSGHKQPSPSPAGSEEGLIRQQKPELTAGEKGLIRREGVAEEEEGLMRQDLVAEQGPSCPQQEIPTGTNPPGLAGPIPLPLGPPRQGARVKLSKPQQRVQAAHKQRLEQERRKNYELQNGLETQHQLRTLEARTRQVAQLQRLELERTAEHQRLLLACELDKQTQKKNLDERLLDQDLSHRALLMKRKYQRCQLEFYQRQRRLLGAEEEAQCQQLQEKQRLLWEAKERKPTSPNEQLEEKLQEKRLKYTRKQAELLAALEKKQQGLIHQQFKREEAQAARAEKEGIAQHRRALKEAEAGFGARQAELERQLRASHEEREGRLRSELALLQKQHQRRMRKYGSLQERLASDQEHEQIQRSVLSATRITAFHDRNRCYARRRASSTTSTPAPAAPPQLPSPTIEPQYPDDYLNDSGPLRHIISSHSTVTHEGEQMMAMERAEPGEEEEEEEEEEEEEEENDSADALSNVSSSQSSASISMSPVSHSSELSTLTGARHMYPEAEEEEEPAEAGPGNPAEQFLIKKMKRYQLNDLERVADKTRQILDMIVTPPRERLVFRHKLLVESTPRDQPIKQLCSDVFNRFYESWHGSQLVPSENQAIHYLASMANMNFLPPKREALEICTIGLSWVDVKGDYQGEDMALIVEPAMWYPTGVFSNAPAMISASGFDGLKVLGPESLLINGAKVDRHIMNHSHLYALGQLAGHTVAAIEVEQLPKKHVELLDSASGLCYEMVLWATHERLYCGRYQITNPHRLKQLFRVEKIIDQWKENSQKGSYSMKKIAVVESNRVDRAFYVAGFSGHGIERLDSFLKEPDDKFFLEAVDPDKLSGARGGAPM
+>sp|Q03519|TAP2_HUMAN Antigen peptide transporter 2 OS=Homo sapiens OX=9606 GN=TAP2 PE=1 SV=1
+MRLPDLRPWTSLLLVDAALLWLLQGPLGTLLPQGLPGLWLEGTLRLGGLWGLLKLRGLLGFVGTLLLPLCLATPLTVSLRALVAGASRAPPARVASAPWSWLLVGYGAAGLSWSLWAVLSPPGAQEKEQDQVNNKVLMWRLLKLSRPDLPLLVAAFFFLVLAVLGETLIPHYSGRVIDILGGDFDPHAFASAIFFMCLFSFGSSLSAGCRGGCFTYTMSRINLRIREQLFSSLLRQDLGFFQETKTGELNSRLSSDTTLMSNWLPLNANVLLRSLVKVVGLYGFMLSISPRLTLLSLLHMPFTIAAEKVYNTRHQEVLREIQDAVARAGQVVREAVGGLQTVRSFGAEEHEVCRYKEALEQCRQLYWRRDLERALYLLVRRVLHLGVQMLMLSCGLQQMQDGELTQGSLLSFMIYQESVGSYVQTLVYIYGDMLSNVGAAEKVFSYMDRQPNLPSPGTLAPTTLQGVVKFQDVSFAYPNRPDRPVLKGLTFTLRPGEVTALVGPNGSGKSTVAALLQNLYQPTGGQVLLDEKPISQYEHCYLHSQVVSVGQEPVLFSGSVRNNIAYGLQSCEDDKVMAAAQAAHADDFIQEMEHGIYTDVGEKGSQLAAGQKQRLAIARALVRDPRVLILDEATSALDVQCEQALQDWNSRGDRTVLVIAHRLQTVQRAHQILVLQEGKLQKLAQL
+>DECOY_sp|Q03519|TAP2_HUMAN Antigen peptide transporter 2 OS=Homo sapiens OX=9606 GN=TAP2 PE=1 SV=1
+LQALKQLKGEQLVLIQHARQVTQLRHAIVLVTRDGRSNWDQLAQECQVDLASTAEDLILVRPDRVLARAIALRQKQGAALQSGKEGVDTYIGHEMEQIFDDAHAAQAAAMVKDDECSQLGYAINNRVSGSFLVPEQGVSVVQSHLYCHEYQSIPKEDLLVQGGTPQYLNQLLAAVTSKGSGNPGVLATVEGPRLTFTLGKLVPRDPRNPYAFSVDQFKVVGQLTTPALTGPSPLNPQRDMYSFVKEAAGVNSLMDGYIYVLTQVYSGVSEQYIMFSLLSGQTLEGDQMQQLGCSLMLMQVGLHLVRRVLLYLARELDRRWYLQRCQELAEKYRCVEHEEAGFSRVTQLGGVAERVVQGARAVADQIERLVEQHRTNYVKEAAITFPMHLLSLLTLRPSISLMFGYLGVVKVLSRLLVNANLPLWNSMLTTDSSLRSNLEGTKTEQFFGLDQRLLSSFLQERIRLNIRSMTYTFCGGRCGASLSSGFSFLCMFFIASAFAHPDFDGGLIDIVRGSYHPILTEGLVALVLFFFAAVLLPLDPRSLKLLRWMLVKNNVQDQEKEQAGPPSLVAWLSWSLGAAGYGVLLWSWPASAVRAPPARSAGAVLARLSVTLPTALCLPLLLTGVFGLLGRLKLLGWLGGLRLTGELWLGPLGQPLLTGLPGQLLWLLAADVLLLSTWPRLDPLRM
+>sp|Q13395|TARB1_HUMAN Probable methyltransferase TARBP1 OS=Homo sapiens OX=9606 GN=TARBP1 PE=1 SV=1
+MEWVLAEALLSQSRDPRALLGALCQGEASAERVETLRFLLQRLEDEEARGSGGAGALPEAAREVAAGYLVPLLRSLRGRPAGGPDPSLQPRHRRRVLRAAGAALRSCVRLAGRPQLAAALAEEALRDLLAGWRAPGAEAAVEVLAAVGPCLRPREDGPLLERVAGTAVALALGGGGDGDEAGPAEDAAALVAGRLLPVLVQCGGAALRAVWGGLAAPGASLGSGRVEEKLLVLSALAEKLLPEPGGDRARGAREAGPDARRCWRFWRTVQAGLGQADALTRKRARYLLQRAVEVSAELGADCTCGPQEGNGPSLFWWSERKKDELLKFWENYILIMETLEGNQIHVIKPVLPKLNNLFEYAVSEENGCWLFHPSWHMCIYKRMFESENKILSKEGVIHFLELYETKILPFSPEFSEFIIGPLMDALSESSLYSRSPGQPIGSCSPLGLKLQKFLVTYISLLPEEIKSSFLLKFIRKMTSRHWCAVPILFLSKALANVPRHKALGIDGLLALRDVIHCTMITHQILLRGAAQCYLLQTAMNLLDVEKVSLSDVSTFLMSLRQEESLGRGTSLWTELCDWLRVNESYFKPSPTCSSIGLHKTSLNAYVKSIVQEYVKSSAWETGENCFMPDWFEAKLVSLMVLLAVDVEGMKTQYSGKQRTENVLRIFLDPLLDVLMKFSTNAYMPLLKTDRCLQLLLKLLNTCRLKGSSAQDDEVSTVLQNFFMSTTESISEFILRRLTMNELNSVSDLDRCHLYLMVLTELINLHLKVGWKRGNPIWRVISLLKNASIQHLQEMDSGQEPTVGSQIQRVVSMAALAMVCEAIDQKPELQLDSLHAGPLESFLSSLQLNQTLQKPHAEEQSSYAHPLECSSVLEESSSSQGWGKIVAQYIHDQWVCLSFLLKKYHTLIPTTGSEILEPFLPAVQMPIRTLQSALEALTVLSSDQVLPVFHCLKVLVPKLLTSSESLCIESFDMAWKIISSLSNTQLIFWANLKAFVQFVFDNKVLTIAAKIKGQAYFKIKEIMYKIIEMSAIKTGVFNTLISYCCQSWIVSASNVSQGSLSSAKNYSELILEACIFGTVFRRDQRLVQDVQTFIENLGHDCAANIVMENTKREDHYVRICAVKFLCLLDGSNMSHKLFIEDLAIKLLDKDELVSKSKKRYYVNSLQHRVKNRVWQTLLVLFPRLDQNFLNGIIDRIFQAGFTNNQASIKYFIEWIIILILHKFPQFLPKFWDCFSYGEENLKTSICTFLAVLSHLDIITQNIPEKKLILKQALIVVLQWCFNHNFSVRLYALVALKKLWTVCKVLSVEEFDALTPVIESSLHQVESMHGAGNAKKNWQRIQEHFFFATFHPLKDYCLETIFYILPRLSGLIEDEWITIDKFTRFTDVPLAAGFQWYLSQTQLSKLKPGDWSQQDIGTNLVEADNQAEWTDVQKKIIPWNSRVSDLDLELLFQDRAARLGKSISRLIVVASLIDKPTNLGGLCRTCEVFGASVLVVGSLQCISDKQFQHLSVSAEQWLPLVEVKPPQLIDYLQQKKTEGYTIIGVEQTAKSLDLTQYCFPEKSLLLLGNEREGIPANLIQQLDVCVEIPQQGIIRSLNVHVSGALLIWEYTRQQLLSHGDTKP
+>DECOY_sp|Q13395|TARB1_HUMAN Probable methyltransferase TARBP1 OS=Homo sapiens OX=9606 GN=TARBP1 PE=1 SV=1
+PKTDGHSLLQQRTYEWILLAGSVHVNLSRIIGQQPIEVCVDLQQILNAPIGERENGLLLLSKEPFCYQTLDLSKATQEVGIITYGETKKQQLYDILQPPKVEVLPLWQEASVSLHQFQKDSICQLSGVVLVSAGFVECTRCLGGLNTPKDILSAVVILRSISKGLRAARDQFLLELDLDSVRSNWPIIKKQVDTWEAQNDAEVLNTGIDQQSWDGPKLKSLQTQSLYWQFGAALPVDTFRTFKDITIWEDEILGSLRPLIYFITELCYDKLPHFTAFFFHEQIRQWNKKANGAGHMSEVQHLSSEIVPTLADFEEVSLVKCVTWLKKLAVLAYLRVSFNHNFCWQLVVILAQKLILKKEPINQTIIDLHSLVALFTCISTKLNEEGYSFCDWFKPLFQPFKHLILIIIWEIFYKISAQNNTFGAQFIRDIIGNLFNQDLRPFLVLLTQWVRNKVRHQLSNVYYRKKSKSVLEDKDLLKIALDEIFLKHSMNSGDLLCLFKVACIRVYHDERKTNEMVINAACDHGLNEIFTQVDQVLRQDRRFVTGFICAELILESYNKASSLSGQSVNSASVIWSQCCYSILTNFVGTKIASMEIIKYMIEKIKFYAQGKIKAAITLVKNDFVFQVFAKLNAWFILQTNSLSSIIKWAMDFSEICLSESSTLLKPVLVKLCHFVPLVQDSSLVTLAELASQLTRIPMQVAPLFPELIESGTTPILTHYKKLLFSLCVWQDHIYQAVIKGWGQSSSSEELVSSCELPHAYSSQEEAHPKQLTQNLQLSSLFSELPGAHLSDLQLEPKQDIAECVMALAAMSVVRQIQSGVTPEQGSDMEQLHQISANKLLSIVRWIPNGRKWGVKLHLNILETLVMLYLHCRDLDSVSNLENMTLRRLIFESISETTSMFFNQLVTSVEDDQASSGKLRCTNLLKLLLQLCRDTKLLPMYANTSFKMLVDLLPDLFIRLVNETRQKGSYQTKMGEVDVALLVMLSVLKAEFWDPMFCNEGTEWASSKVYEQVISKVYANLSTKHLGISSCTPSPKFYSENVRLWDCLETWLSTGRGLSEEQRLSMLFTSVDSLSVKEVDLLNMATQLLYCQAAGRLLIQHTIMTCHIVDRLALLGDIGLAKHRPVNALAKSLFLIPVACWHRSTMKRIFKLLFSSKIEEPLLSIYTVLFKQLKLGLPSCSGIPQGPSRSYLSSESLADMLPGIIFESFEPSFPLIKTEYLELFHIVGEKSLIKNESEFMRKYICMHWSPHFLWCGNEESVAYEFLNNLKPLVPKIVHIQNGELTEMILIYNEWFKLLEDKKRESWWFLSPGNGEQPGCTCDAGLEASVEVARQLLYRARKRTLADAQGLGAQVTRWFRWCRRADPGAERAGRARDGGPEPLLKEALASLVLLKEEVRGSGLSAGPAALGGWVARLAAGGCQVLVPLLRGAVLAAADEAPGAEDGDGGGGLALAVATGAVRELLPGDERPRLCPGVAALVEVAAEAGPARWGALLDRLAEEALAAALQPRGALRVCSRLAAGAARLVRRRHRPQLSPDPGGAPRGRLSRLLPVLYGAAVERAAEPLAGAGGSGRAEEDELRQLLFRLTEVREASAEGQCLAGLLARPDRSQSLLAEALVWEM
+>sp|Q7Z7G0|TARSH_HUMAN Target of Nesh-SH3 OS=Homo sapiens OX=9606 GN=ABI3BP PE=1 SV=1
+MRGGKCNMLSSLGCLLLCGSITLALGNAQKLPKGKRPNLKVHINTTSDSILLKFLRPSPNVKLEGLLLGYGSNVSPNQYFPLPAEGKFTEAIVDAEPKYLIVVRPAPPPSQKKSCSGKTRSRKPLQLVVGTLTPSSVFLSWGFLINPHHDWTLPSHCPNDRFYTIRYREKDKEKKWIFQICPATETIVENLKPNTVYEFGVKDNVEGGIWSKIFNHKTVVGSKKVNGKIQSTYDQDHTVPAYVPRKLIPITIIKQVIQNVTHKDSAKSPEKAPLGGVILVHLIIPGLNETTVKLPASLMFEISDALKTQLAKNETLALPAESKTPEVEKISARPTTVTPETVPRSTKPTTSSALDVSETTLASSEKPWIVPTAKISEDSKVLQPQTATYDVFSSPTTSDEPEISDSYTATSDRILDSIPPKTSRTLEQPRATLAPSETPFVPQKLEIFTSPEMQPTTPAPQQTTSIPSTPKRRPRPKPPRTKPERTTSAGTITPKISKSPEPTWTTPAPGKTQFISLKPKIPLSPEVTHTKPAPKQTPRAPPKPKTSPRPRIPQTQPVPKVPQRVTAKPKTSPSPEVSYTTPAPKDVLLPHKPYPEVSQSEPAPLETRGIPFIPMISPSPSQEELQTTLEETDQSTQEPFTTKIPRTTELAKTTQAPHRFYTTVRPRTSDKPHIRPGVKQAPRPSGADRNVSVDSTHPTKKPGTRRPPLPPRPTHPRRKPLPPNNVTGKPGSAGIISSGPITTPPLRSTPRPTGTPLERIETDIKQPTVPASGEELENITDFSSSPTRETDPLGKPRFKGPHVRYIQKPDNSPCSITDSVKRFPKEEATEGNATSPPQNPPTNLTVVTVEGCPSFVILDWEKPLNDTVTEYEVISRENGSFSGKNKSIQMTNQTFSTVENLKPNTSYEFQVKPKNPLGEGPVSNTVAFSTESADPRVSEPVSAGRDAIWTERPFNSDSYSECKGKQYVKRTWYKKFVGVQLCNSLRYKIYLSDSLTGKFYNIGDQRGHGEDHCQFVDSFLDGRTGQQLTSDQLPIKEGYFRAVRQEPVQFGEIGGHTQINYVQWYECGTTIPGKW
+>DECOY_sp|Q7Z7G0|TARSH_HUMAN Target of Nesh-SH3 OS=Homo sapiens OX=9606 GN=ABI3BP PE=1 SV=1
+WKGPITTGCEYWQVYNIQTHGGIEGFQVPEQRVARFYGEKIPLQDSTLQQGTRGDLFSDVFQCHDEGHGRQDGINYFKGTLSDSLYIKYRLSNCLQVGVFKKYWTRKVYQKGKCESYSDSNFPRETWIADRGASVPESVRPDASETSFAVTNSVPGEGLPNKPKVQFEYSTNPKLNEVTSFTQNTMQISKNKGSFSGNERSIVEYETVTDNLPKEWDLIVFSPCGEVTVVTLNTPPNQPPSTANGETAEEKPFRKVSDTISCPSNDPKQIYRVHPGKFRPKGLPDTERTPSSSFDTINELEEGSAPVTPQKIDTEIRELPTGTPRPTSRLPPTTIPGSSIIGASGPKGTVNNPPLPKRRPHTPRPPLPPRRTGPKKTPHTSDVSVNRDAGSPRPAQKVGPRIHPKDSTRPRVTTYFRHPAQTTKALETTRPIKTTFPEQTSQDTEELTTQLEEQSPSPSIMPIFPIGRTELPAPESQSVEPYPKHPLLVDKPAPTTYSVEPSPSTKPKATVRQPVKPVPQTQPIRPRPSTKPKPPARPTQKPAPKTHTVEPSLPIKPKLSIFQTKGPAPTTWTPEPSKSIKPTITGASTTREPKTRPPKPRPRRKPTSPISTTQQPAPTTPQMEPSTFIELKQPVFPTESPALTARPQELTRSTKPPISDLIRDSTATYSDSIEPEDSTTPSSFVDYTATQPQLVKSDESIKATPVIWPKESSALTTESVDLASSTTPKTSRPVTEPTVTTPRASIKEVEPTKSEAPLALTENKALQTKLADSIEFMLSAPLKVTTENLGPIILHVLIVGGLPAKEPSKASDKHTVNQIVQKIITIPILKRPVYAPVTHDQDYTSQIKGNVKKSGVVTKHNFIKSWIGGEVNDKVGFEYVTNPKLNEVITETAPCIQFIWKKEKDKERYRITYFRDNPCHSPLTWDHHPNILFGWSLFVSSPTLTGVVLQLPKRSRTKGSCSKKQSPPPAPRVVILYKPEADVIAETFKGEAPLPFYQNPSVNSGYGLLLGELKVNPSPRLFKLLISDSTTNIHVKLNPRKGKPLKQANGLALTISGCLLLCGLSSLMNCKGGRM
+>sp|Q9H6P5|TASP1_HUMAN Threonine aspartase 1 OS=Homo sapiens OX=9606 GN=TASP1 PE=1 SV=1
+MTMEKGMSSGEGLPSRSSQVSAGKITAKELETKQSYKEKRGGFVLVHAGAGYHSESKAKEYKHVCKRACQKAIEKLQAGALATDAVTAALVELEDSPFTNAGMGSNLNLLGEIECDASIMDGKSLNFGAVGALSGIKNPVSVANRLLCEGQKGKLSAGRIPPCFLVGEGAYRWAVDHGIPSCPPNIMTTRFSLAAFKRNKRKLELAERVDTDFMQLKKRRQSSEKENDSGTLDTVGAVVVDHEGNVAAAVSSGGLALKHPGRVGQAALYGCGCWAENTGAHNPYSTAVSTSGCGEHLVRTILARECSHALQAEDAHQALLETMQNKFISSPFLASEDGVLGGVIVLRSCRCSAEPDSSQNKQTLLVEFLWSHTTESMCVGYMSAQDGKAKTHISRLPPGAVAGQSVAIEGGVCRLESPVN
+>DECOY_sp|Q9H6P5|TASP1_HUMAN Threonine aspartase 1 OS=Homo sapiens OX=9606 GN=TASP1 PE=1 SV=1
+NVPSELRCVGGEIAVSQGAVAGPPLRSIHTKAKGDQASMYGVCMSETTHSWLFEVLLTQKNQSSDPEASCRCSRLVIVGGLVGDESALFPSSIFKNQMTELLAQHADEAQLAHSCERALITRVLHEGCGSTSVATSYPNHAGTNEAWCGCGYLAAQGVRGPHKLALGGSSVAAAVNGEHDVVVAGVTDLTGSDNEKESSQRRKKLQMFDTDVREALELKRKNRKFAALSFRTTMINPPCSPIGHDVAWRYAGEGVLFCPPIRGASLKGKQGECLLRNAVSVPNKIGSLAGVAGFNLSKGDMISADCEIEGLLNLNSGMGANTFPSDELEVLAATVADTALAGAQLKEIAKQCARKCVHKYEKAKSESHYGAGAHVLVFGGRKEKYSQKTELEKATIKGASVQSSRSPLGEGSSMGKEMTM
+>sp|Q93075|TATD2_HUMAN Putative deoxyribonuclease TATDN2 OS=Homo sapiens OX=9606 GN=TATDN2 PE=1 SV=2
+MASERGKVKHNWSSTSEGCPRKRSCLREPCDVAPSSRPAQRSASRSGGPSSPKRLKAQKEDDVACSRRLSWGSSRRRNNSSSSFSPHFLGPGVGGAASKGCLIRNTRGFLSSGGSPLRPANASLEEMASLEEEACSLKVDSKDSSHNSTNSEFAAEAEGQNDTIEEPNKVQKRKRDRLRDQGSTMIYLKAIQGILGKSMPKRKGEAATRAKPSAAEHPSHGEGPARSEGPAKTAEGAARSVTVTAAQKEKDATPEVSMEEDKTVPERSSFYDRRVVIDPQEKPSEEPLGDRRTVIDKCSPPLEFLDDSDSHLEIQKHKDREVVMEHPSSGSDWSDVEEISTVRFSQEEPVSLKPSAVPEPSSFTTDYVMYPPHLYSSPWCDYASYWTSSPKPSSYPSTGSSSNDAAQVGKSSRSRMSDYSPNSTGSVQNTSRDMEASEEGWSQNSRSFRFSRSSEEREVKEKRTFQEEMPPRPCGGHASSSLPKSHLEPSLEEGFIDTHCHLDMLYSKLSFQGTFTKFRKIYSSSFPKEFQGCISDFCDPRTLTDCLWEELLKEDLVWGAFGCHPHFARYYSESQERNLLQALRHPKAVAFGEMGLDYSYKCTTPVPEQHKVFERQLQLAVSLKKPLVIHCREADEDLLEIMKKFVPPDYKIHRHCFTGSYPVIEPLLKYFPNMSVGFTAVLTYSSAWEAREALRQIPLERIIVETDAPYFLPRQVPKSLCQYAHPGLALHTVREIARVKDQPLSLTLAALRENTSRLYSL
+>DECOY_sp|Q93075|TATD2_HUMAN Putative deoxyribonuclease TATDN2 OS=Homo sapiens OX=9606 GN=TATDN2 PE=1 SV=2
+LSYLRSTNERLAALTLSLPQDKVRAIERVTHLALGPHAYQCLSKPVQRPLFYPADTEVIIRELPIQRLAERAEWASSYTLVATFGVSMNPFYKLLPEIVPYSGTFCHRHIKYDPPVFKKMIELLDEDAERCHIVLPKKLSVALQLQREFVKHQEPVPTTCKYSYDLGMEGFAVAKPHRLAQLLNREQSESYYRAFHPHCGFAGWVLDEKLLEEWLCDTLTRPDCFDSICGQFEKPFSSSYIKRFKTFTGQFSLKSYLMDLHCHTDIFGEELSPELHSKPLSSSAHGGCPRPPMEEQFTRKEKVEREESSRSFRFSRSNQSWGEESAEMDRSTNQVSGTSNPSYDSMRSRSSKGVQAADNSSSGTSPYSSPKPSSTWYSAYDCWPSSYLHPPYMVYDTTFSSPEPVASPKLSVPEEQSFRVTSIEEVDSWDSGSSPHEMVVERDKHKQIELHSDSDDLFELPPSCKDIVTRRDGLPEESPKEQPDIVVRRDYFSSREPVTKDEEMSVEPTADKEKQAATVTVSRAAGEATKAPGESRAPGEGHSPHEAASPKARTAAEGKRKPMSKGLIGQIAKLYIMTSGQDRLRDRKRKQVKNPEEITDNQGEAEAAFESNTSNHSSDKSDVKLSCAEEELSAMEELSANAPRLPSGGSSLFGRTNRILCGKSAAGGVGPGLFHPSFSSSSNNRRRSSGWSLRRSCAVDDEKQAKLRKPSSPGGSRSASRQAPRSSPAVDCPERLCSRKRPCGESTSSWNHKVKGRESAM
+>sp|Q17R31|TATD3_HUMAN Putative deoxyribonuclease TATDN3 OS=Homo sapiens OX=9606 GN=TATDN3 PE=1 SV=1
+MRAAGVGLVDCHCHLSAPDFDRDLDDVLEKAKKANVVALVAVAEHSGEFEKIMQLSERYNGFVLPCLGVHPVQGLPPEDQRSVTLKDLDVALPIIENYKDRLLAIGEVGLDFSPRFAGTGEQKEEQRQVLIRQIQLAKRLNLPVNVHSRSAGRPTINLLQEQGAEKVLLHAFDGRPSVAMEGVRAGYFFSIPPSIIRSGQKQKLVKQLPLTSICLETDSPALGPEKQVRNEPWNISISAEYIAQVKGISVEEVIEVTTQNALKLFPKLRHLLQK
+>DECOY_sp|Q17R31|TATD3_HUMAN Putative deoxyribonuclease TATDN3 OS=Homo sapiens OX=9606 GN=TATDN3 PE=1 SV=1
+KQLLHRLKPFLKLANQTTVEIVEEVSIGKVQAIYEASISINWPENRVQKEPGLAPSDTELCISTLPLQKVLKQKQGSRIISPPISFFYGARVGEMAVSPRGDFAHLLVKEAGQEQLLNITPRGASRSHVNVPLNLRKALQIQRILVQRQEEKQEGTGAFRPSFDLGVEGIALLRDKYNEIIPLAVDLDKLTVSRQDEPPLGQVPHVGLCPLVFGNYRESLQMIKEFEGSHEAVAVLAVVNAKKAKELVDDLDRDFDPASLHCHCDVLGVGAARM
+>sp|P10636|TAU_HUMAN Microtubule-associated protein tau OS=Homo sapiens OX=9606 GN=MAPT PE=1 SV=5
+MAEPRQEFEVMEDHAGTYGLGDRKDQGGYTMHQDQEGDTDAGLKESPLQTPTEDGSEEPGSETSDAKSTPTAEDVTAPLVDEGAPGKQAAAQPHTEIPEGTTAEEAGIGDTPSLEDEAAGHVTQEPESGKVVQEGFLREPGPPGLSHQLMSGMPGAPLLPEGPREATRQPSGTGPEDTEGGRHAPELLKHQLLGDLHQEGPPLKGAGGKERPGSKEEVDEDRDVDESSPQDSPPSKASPAQDGRPPQTAAREATSIPGFPAEGAIPLPVDFLSKVSTEIPASEPDGPSVGRAKGQDAPLEFTFHVEITPNVQKEQAHSEEHLGRAAFPGAPGEGPEARGPSLGEDTKEADLPEPSEKQPAAAPRGKPVSRVPQLKARMVSKSKDGTGSDDKKAKTSTRSSAKTLKNRPCLSPKHPTPGSSDPLIQPSSPAVCPEPPSSPKYVSSVTSRTGSSGAKEMKLKGADGKTKIATPRGAAPPGQKGQANATRIPAKTPPAPKTPPSSGEPPKSGDRSGYSSPGSPGTPGSRSRTPSLPTPPTREPKKVAVVRTPPKSPSSAKSRLQTAPVPMPDLKNVKSKIGSTENLKHQPGGGKVQIINKKLDLSNVQSKCGSKDNIKHVPGGGSVQIVYKPVDLSKVTSKCGSLGNIHHKPGGGQVEVKSEKLDFKDRVQSKIGSLDNITHVPGGGNKKIETHKLTFRENAKAKTDHGAEIVYKSPVVSGDTSPRHLSNVSSTGSIDMVDSPQLATLADEVSASLAKQGL
+>DECOY_sp|P10636|TAU_HUMAN Microtubule-associated protein tau OS=Homo sapiens OX=9606 GN=MAPT PE=1 SV=5
+LGQKALSASVEDALTALQPSDVMDISGTSSVNSLHRPSTDGSVVPSKYVIEAGHDTKAKANERFTLKHTEIKKNGGGPVHTINDLSGIKSQVRDKFDLKESKVEVQGGGPKHHINGLSGCKSTVKSLDVPKYVIQVSGGGPVHKINDKSGCKSQVNSLDLKKNIIQVKGGGPQHKLNETSGIKSKVNKLDPMPVPATQLRSKASSPSKPPTRVVAVKKPERTPPTPLSPTRSRSGPTGPSGPSSYGSRDGSKPPEGSSPPTKPAPPTKAPIRTANAQGKQGPPAAGRPTAIKTKGDAGKLKMEKAGSSGTRSTVSSVYKPSSPPEPCVAPSSPQILPDSSGPTPHKPSLCPRNKLTKASSRTSTKAKKDDSGTGDKSKSVMRAKLQPVRSVPKGRPAAAPQKESPEPLDAEKTDEGLSPGRAEPGEGPAGPFAARGLHEESHAQEKQVNPTIEVHFTFELPADQGKARGVSPGDPESAPIETSVKSLFDVPLPIAGEAPFGPISTAERAATQPPRGDQAPSAKSPPSDQPSSEDVDRDEDVEEKSGPREKGGAGKLPPGEQHLDGLLQHKLLEPAHRGGETDEPGTGSPQRTAERPGEPLLPAGPMGSMLQHSLGPPGPERLFGEQVVKGSEPEQTVHGAAEDELSPTDGIGAEEATTGEPIETHPQAAAQKGPAGEDVLPATVDEATPTSKADSTESGPEESGDETPTQLPSEKLGADTDGEQDQHMTYGGQDKRDGLGYTGAHDEMVEFEQRPEAM
+>sp|Q16635|TAZ_HUMAN Tafazzin OS=Homo sapiens OX=9606 GN=TAZ PE=1 SV=1
+MPLHVKWPFPAVPPLTWTLASSVVMGLVGTYSCFWTKYMNHLTVHNREVLYELIEKRGPATPLITVSNHQSCMDDPHLWGILKLRHIWNLKLMRWTPAAADICFTKELHSHFFSLGKCVPVCRGAEFFQAENEGKGVLDTGRHMPGAGKRREKGDGVYQKGMDFILEKLNHGDWVHIFPEGKVNMSSEFLRFKWGIGRLIAECHLNPIILPLWHVGMNDVLPNSPPYFPRFGQKITVLIGKPFSALPVLERLRAENKSAVEMRKALTDFIQEEFQHLKTQAEQLHNHLQPGR
+>DECOY_sp|Q16635|TAZ_HUMAN Tafazzin OS=Homo sapiens OX=9606 GN=TAZ PE=1 SV=1
+RGPQLHNHLQEAQTKLHQFEEQIFDTLAKRMEVASKNEARLRELVPLASFPKGILVTIKQGFRPFYPPSNPLVDNMGVHWLPLIIPNLHCEAILRGIGWKFRLFESSMNVKGEPFIHVWDGHNLKELIFDMGKQYVGDGKERRKGAGPMHRGTDLVGKGENEAQFFEAGRCVPVCKGLSFFHSHLEKTFCIDAAAPTWRMLKLNWIHRLKLIGWLHPDDMCSQHNSVTILPTAPGRKEILEYLVERNHVTLHNMYKTWFCSYTGVLGMVVSSALTWTLPPVAPFPWKVHLPM
+>sp|Q9NY65|TBA8_HUMAN Tubulin alpha-8 chain OS=Homo sapiens OX=9606 GN=TUBA8 PE=1 SV=1
+MRECISVHVGQAGVQIGNACWELFCLEHGIQADGTFDAQASKINDDDSFTTFFSETGNGKHVPRAVMIDLEPTVVDEVRAGTYRQLFHPEQLITGKEDAANNYARGHYTVGKESIDLVLDRIRKLTDACSGLQGFLIFHSFGGGTGSGFTSLLMERLSLDYGKKSKLEFAIYPAPQVSTAVVEPYNSILTTHTTLEHSDCAFMVDNEAIYDICRRNLDIERPTYTNLNRLISQIVSSITASLRFDGALNVDLTEFQTNLVPYPRIHFPLVTYAPIISAEKAYHEQLSVAEITSSCFEPNSQMVKCDPRHGKYMACCMLYRGDVVPKDVNVAIAAIKTKRTIQFVDWCPTGFKVGINYQPPTVVPGGDLAKVQRAVCMLSNTTAIAEAWARLDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGTDSFEEENEGEEF
+>DECOY_sp|Q9NY65|TBA8_HUMAN Tubulin alpha-8 chain OS=Homo sapiens OX=9606 GN=TUBA8 PE=1 SV=1
+FEEGENEEEFSDTGVEEYDKELAALDERAESFEGEEMGEGVYWHVFARKAYMLDFKHDLRAWAEAIATTNSLMCVARQVKALDGGPVVTPPQYNIGVKFGTPCWDVFQITRKTKIAAIAVNVDKPVVDGRYLMCCAMYKGHRPDCKVMQSNPEFCSSTIEAVSLQEHYAKEASIIPAYTVLPFHIRPYPVLNTQFETLDVNLAGDFRLSATISSVIQSILRNLNTYTPREIDLNRRCIDYIAENDVMFACDSHELTTHTTLISNYPEVVATSVQPAPYIAFELKSKKGYDLSLREMLLSTFGSGTGGGFSHFILFGQLGSCADTLKRIRDLVLDISEKGVTYHGRAYNNAADEKGTILQEPHFLQRYTGARVEDVVTPELDIMVARPVHKGNGTESFFTTFSDDDNIKSAQADFTGDAQIGHELCFLEWCANGIQVGAQGVHVSICERM
+>sp|Q9H4B7|TBB1_HUMAN Tubulin beta-1 chain OS=Homo sapiens OX=9606 GN=TUBB1 PE=1 SV=1
+MREIVHIQIGQCGNQIGAKFWEMIGEEHGIDLAGSDRGASALQLERISVYYNEAYGRKYVPRAVLVDLEPGTMDSIRSSKLGALFQPDSFVHGNSGAGNNWAKGHYTEGAELIENVLEVVRHESESCDCLQGFQIVHSLGGGTGSGMGTLLMNKIREEYPDRIMNSFSVMPSPKVSDTVVEPYNAVLSIHQLIENADACFCIDNEALYDICFRTLKLTTPTYGDLNHLVSLTMSGITTSLRFPGQLNADLRKLAVNMVPFPRLHFFMPGFAPLTAQGSQQYRALSVAELTQQMFDARNTMAACDLRRGRYLTVACIFRGKMSTKEVDQQLLSVQTRNSSCFVEWIPNNVKVAVCDIPPRGLSMAATFIGNNTAIQEIFNRVSEHFSAMFKRKAFVHWYTSEGMDINEFGEAENNIHDLVSEYQQFQDAKAVLEEDEEVTEEAEMEPEDKGH
+>DECOY_sp|Q9H4B7|TBB1_HUMAN Tubulin beta-1 chain OS=Homo sapiens OX=9606 GN=TUBB1 PE=1 SV=1
+HGKDEPEMEAEETVEEDEELVAKADQFQQYESVLDHINNEAEGFENIDMGESTYWHVFAKRKFMASFHESVRNFIEQIATNNGIFTAAMSLGRPPIDCVAVKVNNPIWEVFCSSNRTQVSLLQQDVEKTSMKGRFICAVTLYRGRRLDCAAMTNRADFMQQTLEAVSLARYQQSGQATLPAFGPMFFHLRPFPVMNVALKRLDANLQGPFRLSTTIGSMTLSVLHNLDGYTPTTLKLTRFCIDYLAENDICFCADANEILQHISLVANYPEVVTDSVKPSPMVSFSNMIRDPYEERIKNMLLTGMGSGTGGGLSHVIQFGQLCDCSESEHRVVELVNEILEAGETYHGKAWNNGAGSNGHVFSDPQFLAGLKSSRISDMTGPELDVLVARPVYKRGYAENYYVSIRELQLASAGRDSGALDIGHEEGIMEWFKAGIQNGCQGIQIHVIERM
+>sp|Q13509|TBB3_HUMAN Tubulin beta-3 chain OS=Homo sapiens OX=9606 GN=TUBB3 PE=1 SV=2
+MREIVHIQAGQCGNQIGAKFWEVISDEHGIDPSGNYVGDSDLQLERISVYYNEASSHKYVPRAILVDLEPGTMDSVRSGAFGHLFRPDNFIFGQSGAGNNWAKGHYTEGAELVDSVLDVVRKECENCDCLQGFQLTHSLGGGTGSGMGTLLISKVREEYPDRIMNTFSVVPSPKVSDTVVEPYNATLSIHQLVENTDETYCIDNEALYDICFRTLKLATPTYGDLNHLVSATMSGVTTSLRFPGQLNADLRKLAVNMVPFPRLHFFMPGFAPLTARGSQQYRALTVPELTQQMFDAKNMMAACDPRHGRYLTVATVFRGRMSMKEVDEQMLAIQSKNSSYFVEWIPNNVKVAVCDIPPRGLKMSSTFIGNSTAIQELFKRISEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATAEEEGEMYEDDEEESEAQGPK
+>DECOY_sp|Q13509|TBB3_HUMAN Tubulin beta-3 chain OS=Homo sapiens OX=9606 GN=TUBB3 PE=1 SV=2
+KPGQAESEEEDDEYMEGEEEATADQYQQYESVLDNMNSEAETFEMEDMGEGTYWHLFAKRRFMATFQESIRKFLEQIATSNGIFTSSMKLGRPPIDCVAVKVNNPIWEVFYSSNKSQIALMQEDVEKMSMRGRFVTAVTLYRGHRPDCAAMMNKADFMQQTLEPVTLARYQQSGRATLPAFGPMFFHLRPFPVMNVALKRLDANLQGPFRLSTTVGSMTASVLHNLDGYTPTALKLTRFCIDYLAENDICYTEDTNEVLQHISLTANYPEVVTDSVKPSPVVSFTNMIRDPYEERVKSILLTGMGSGTGGGLSHTLQFGQLCDCNECEKRVVDLVSDVLEAGETYHGKAWNNGAGSQGFIFNDPRFLHGFAGSRVSDMTGPELDVLIARPVYKHSSAENYYVSIRELQLDSDGVYNGSPDIGHEDSIVEWFKAGIQNGCQGAQIHVIERM
+>sp|Q9NVG8|TBC13_HUMAN TBC1 domain family member 13 OS=Homo sapiens OX=9606 GN=TBC1D13 PE=1 SV=3
+MSSLHKSRIADFQDVLKEPSIALEKLRELSFSGIPCEGGLRCLCWKILLNYLPLERASWTSILAKQRELYAQFLREMIIQPGIAKANMGVSREDVTFEDHPLNPNPDSRWNTYFKDNEVLLQIDKDVRRLCPDISFFQRATDYPCLLILDPQNEFETLRKRVEQTTLKSQTVARNRSGVTNMSSPHKNSVPSSLNEYEVLPNGCEAHWEVVERILFIYAKLNPGIAYVQGMNEIVGPLYYTFATDPNSEWKEHAEADTFFCFTNLMAEIRDNFIKSLDDSQCGITYKMEKVYSTLKDKDVELYLKLQEQNIKPQFFAFRWLTLLLSQEFLLPDVIRIWDSLFADDNRFDFLLLVCCAMLMLIREQLLEGDFTVNMRLLQDYPITDVCQILQKAKELQDSK
+>DECOY_sp|Q9NVG8|TBC13_HUMAN TBC1 domain family member 13 OS=Homo sapiens OX=9606 GN=TBC1D13 PE=1 SV=3
+KSDQLEKAKQLIQCVDTIPYDQLLRMNVTFDGELLQERILMLMACCVLLLFDFRNDDAFLSDWIRIVDPLLFEQSLLLTLWRFAFFQPKINQEQLKLYLEVDKDKLTSYVKEMKYTIGCQSDDLSKIFNDRIEAMLNTFCFFTDAEAHEKWESNPDTAFTYYLPGVIENMGQVYAIGPNLKAYIFLIREVVEWHAECGNPLVEYENLSSPVSNKHPSSMNTVGSRNRAVTQSKLTTQEVRKRLTEFENQPDLILLCPYDTARQFFSIDPCLRRVDKDIQLLVENDKFYTNWRSDPNPNLPHDEFTVDERSVGMNAKAIGPQIIMERLFQAYLERQKALISTWSARELPLYNLLIKWCLCRLGGECPIGSFSLERLKELAISPEKLVDQFDAIRSKHLSSM
+>sp|Q9P2M4|TBC14_HUMAN TBC1 domain family member 14 OS=Homo sapiens OX=9606 GN=TBC1D14 PE=1 SV=3
+MTDGKLSTSTNGVAFMGILDGRPGNPLQNLQHVNLKAPRLLSAPEYGPKLKLRALEDRHSLQSVDSGIPTLEIGNPEPVPCSAVHVRRKQSDSDLIPERAFQSACALPSCAPPAPSSTEREQSVRKSSTFPRTGYDSVKLYSPTSKALTRSDDVSVCSVSSLGTELSTTLSVSNEDILDLVVTSSSSAIVTLENDDDPQFTNVTLSSIKETRGLHQQDCVHEAEEGSKLKILGPFSNFFARNLLARKQSARLDKHNDLGWKLFGKAPLRENAQKDSKRIQKEYEDKAGRPSKPPSPKQNVRKNLDFEPLSTTALILEDRPANLPAKPAEEAQKHRQQYEEMVVQAKKRELKEAQRRKKQLEERCRVEESIGNAVLTWNNEILPNWETMWCSRKVRDLWWQGIPPSVRGKVWSLAIGNELNITHELFDICLARAKERWRSLSTGGSEVENEDAGFSAADREASLELIKLDISRTFPNLCIFQQGGPYHDMLHSILGAYTCYRPDVGYVQGMSFIAAVLILNLDTADAFIAFSNLLNKPCQMAFFRVDHGLMLTYFAAFEVFFEENLPKLFAHFKKNNLTPDIYLIDWIFTLYSKSLPLDLACRIWDVFCRDGEEFLFRTALGILKLFEDILTKMDFIHMAQFLTRLPEDLPAEELFASIATIQMQSRNKKWAQVLTALQKDSREMEKGSPSLRH
+>DECOY_sp|Q9P2M4|TBC14_HUMAN TBC1 domain family member 14 OS=Homo sapiens OX=9606 GN=TBC1D14 PE=1 SV=3
+HRLSPSGKEMERSDKQLATLVQAWKKNRSQMQITAISAFLEEAPLDEPLRTLFQAMHIFDMKTLIDEFLKLIGLATRFLFEEGDRCFVDWIRCALDLPLSKSYLTFIWDILYIDPTLNNKKFHAFLKPLNEEFFVEFAAFYTLMLGHDVRFFAMQCPKNLLNSFAIFADATDLNLILVAAIFSMGQVYGVDPRYCTYAGLISHLMDHYPGGQQFICLNPFTRSIDLKILELSAERDAASFGADENEVESGGTSLSRWREKARALCIDFLEHTINLENGIALSWVKGRVSPPIGQWWLDRVKRSCWMTEWNPLIENNWTLVANGISEEVRCREELQKKRRQAEKLERKKAQVVMEEYQQRHKQAEEAPKAPLNAPRDELILATTSLPEFDLNKRVNQKPSPPKSPRGAKDEYEKQIRKSDKQANERLPAKGFLKWGLDNHKDLRASQKRALLNRAFFNSFPGLIKLKSGEEAEHVCDQQHLGRTEKISSLTVNTFQPDDDNELTVIASSSSTVVLDLIDENSVSLTTSLETGLSSVSCVSVDDSRTLAKSTPSYLKVSDYGTRPFTSSKRVSQERETSSPAPPACSPLACASQFAREPILDSDSQKRRVHVASCPVPEPNGIELTPIGSDVSQLSHRDELARLKLKPGYEPASLLRPAKLNVHQLNQLPNGPRGDLIGMFAVGNTSTSLKGDTM
+>sp|Q8TBP0|TBC16_HUMAN TBC1 domain family member 16 OS=Homo sapiens OX=9606 GN=TBC1D16 PE=2 SV=1
+MSLGRLLRRASSKASDLLTLTPGGSGSGSPSVLDGEIIYSKNNVCVHPPEGLQGLGEHHPGYLCLYMEKDEMLGATLILAWVPNSRIQRQDEEALRYITPESSPVRKAPRPRGRRTRSSGASHQPSPTELRPTLTPKDEDILVVAQSVPDRMLASPAPEDEEKLAQGLGVDGAQPASQPACSPSGILSTVSPQDVTEEGREPRPEAGEEDGSLELSAEGVSRDSSFDSDSDTFSSPFCLSPISAALAESRGSVFLESDSSPPSSSDAGLRFPDSNGLLQTPRWDEPQRVCALEQICGVFRVDLGHMRSLRLFFSDEACTSGQLVVASRESQYKVFHFHHGGLDKLSDVFQQWKYCTEMQLKDQQVAPDKTCMQFSIRRPKLPSSETHPEESMYKRLGVSAWLNHLNELGQVEEEYKLRKAIFFGGIDVSIRGEVWPFLLRYYSHESTSEEREALRLQKRKEYSEIQQKRLSMTPEEHRAFWRNVQFTVDKDVVRTDRNNQFFRGEDNPNVESMRRILLNYAVYNPAVGYSQGMSDLVAPILAEVLDESDTFWCFVGLMQNTIFVSSPRDEDMEKQLLYLRELLRLTHVRFYQHLVSLGEDGLQMLFCHRWLLLCFKREFPEAEALRIWEACWAHYQTDYFHLFICVAIVAIYGDDVIEQQLATDQMLLHFGNLAMHMNGELVLRKARSLLYQFRLLPRIPCSLHDLCKLCGSGMWDSGSMPAVECTGHHPGSESCPYGGTVEMPSPKSLREGKKGPKTPQDGFGFRR
+>DECOY_sp|Q8TBP0|TBC16_HUMAN TBC1 domain family member 16 OS=Homo sapiens OX=9606 GN=TBC1D16 PE=2 SV=1
+RRFGFGDQPTKPGKKGERLSKPSPMEVTGGYPCSESGPHHGTCEVAPMSGSDWMGSGCLKCLDHLSCPIRPLLRFQYLLSRAKRLVLEGNMHMALNGFHLLMQDTALQQEIVDDGYIAVIAVCIFLHFYDTQYHAWCAEWIRLAEAEPFERKFCLLLWRHCFLMQLGDEGLSVLHQYFRVHTLRLLERLYLLQKEMDEDRPSSVFITNQMLGVFCWFTDSEDLVEALIPAVLDSMGQSYGVAPNYVAYNLLIRRMSEVNPNDEGRFFQNNRDTRVVDKDVTFQVNRWFARHEEPTMSLRKQQIESYEKRKQLRLAEREESTSEHSYYRLLFPWVEGRISVDIGGFFIAKRLKYEEEVQGLENLHNLWASVGLRKYMSEEPHTESSPLKPRRISFQMCTKDPAVQQDKLQMETCYKWQQFVDSLKDLGGHHFHFVKYQSERSAVVLQGSTCAEDSFFLRLSRMHGLDVRFVGCIQELACVRQPEDWRPTQLLGNSDPFRLGADSSSPPSSDSELFVSGRSEALAASIPSLCFPSSFTDSDSDFSSDRSVGEASLELSGDEEGAEPRPERGEETVDQPSVTSLIGSPSCAPQSAPQAGDVGLGQALKEEDEPAPSALMRDPVSQAVVLIDEDKPTLTPRLETPSPQHSAGSSRTRRGRPRPAKRVPSSEPTIYRLAEEDQRQIRSNPVWALILTAGLMEDKEMYLCLYGPHHEGLGQLGEPPHVCVNNKSYIIEGDLVSPSGSGSGGPTLTLLDSAKSSARRLLRGLSM
+>sp|Q8N5T2|TBC19_HUMAN TBC1 domain family member 19 OS=Homo sapiens OX=9606 GN=TBC1D19 PE=1 SV=2
+MLQEESDLSLIIAQIVQKLKGSNLYSQLERQAWASLQRPEIKLESLKEDIKEFFKISGWEKKLQNAVYSELSVFPLPSHPAAPPEHLKEPLVYMRKAQGSWEKRILKSLNSMCTELSIPLARKRPVGEQKELLNKWNEMGTDEPDLSLFRPVYAPKDFLEVLINLRNPNYENGDSLSFRTHLGLIQVPLKVKDIPELKECFVELGLNIGQLGIDDSTQVPPELFENEHVRIGQKVLAEQDSAAAQQYIRQGSPTALRAELWALILNISSQPEDVLYYEQLKTNVIQHDLLVDSLIYKDVKLTASNDDYYFVFEDYLYQVLLCFSRDTSVLSHFAFNSASPPKSYIRGKLGLEEYAVFYPPNGVIPFHGFSMYVAPLCFLYHEPSKLYQIFREMYVRFFFRLHSISSHPSGIVSLCLLFETLLQTYLPQLFYHLREIGAQPLRISFKWMVRAFSGYLATDQLLLLWDRILGYNSLEILAVLAAAVFAFRAVNLMEVTSLAAAEAVLADLSTLKVMPLLQIFLFATVT
+>DECOY_sp|Q8N5T2|TBC19_HUMAN TBC1 domain family member 19 OS=Homo sapiens OX=9606 GN=TBC1D19 PE=1 SV=2
+TVTAFLFIQLLPMVKLTSLDALVAEAAALSTVEMLNVARFAFVAAALVALIELSNYGLIRDWLLLLQDTALYGSFARVMWKFSIRLPQAGIERLHYFLQPLYTQLLTEFLLCLSVIGSPHSSISHLRFFFRVYMERFIQYLKSPEHYLFCLPAVYMSFGHFPIVGNPPYFVAYEELGLKGRIYSKPPSASNFAFHSLVSTDRSFCLLVQYLYDEFVFYYDDNSATLKVDKYILSDVLLDHQIVNTKLQEYYLVDEPQSSINLILAWLEARLATPSGQRIYQQAAASDQEALVKQGIRVHENEFLEPPVQTSDDIGLQGINLGLEVFCEKLEPIDKVKLPVQILGLHTRFSLSDGNEYNPNRLNILVELFDKPAYVPRFLSLDPEDTGMENWKNLLEKQEGVPRKRALPISLETCMSNLSKLIRKEWSGQAKRMYVLPEKLHEPPAAPHSPLPFVSLESYVANQLKKEWGSIKFFEKIDEKLSELKIEPRQLSAWAQRELQSYLNSGKLKQVIQAIILSLDSEEQLM
+>sp|Q96BZ9|TBC20_HUMAN TBC1 domain family member 20 OS=Homo sapiens OX=9606 GN=TBC1D20 PE=1 SV=1
+MALRSAQGDGPTSGHWDGGAEKADFNAKRKKKVAEIHQALNSDPTDVAALRRMAISEGGLLTDEIRRKVWPKLLNVNANDPPPISGKNLRQMSKDYQQVLLDVRRSLRRFPPGMPEEQREGLQEELIDIILLILERNPQLHYYQGYHDIVVTFLLVVGERLATSLVEKLSTHHLRDFMDPTMDNTKHILNYLMPIIDQVNPELHDFMQSAEVGTIFALSWLITWFGHVLSDFRHVVRLYDFFLACHPLMPIYFAAVIVLYREQEVLDCDCDMASVHHLLSQIPQDLPYETLISRAGDLFVQFPPSELAREAAAQQQAERTAASTFKDFELASAQQRPDMVLRQRFRGLLRPEDRTKDVLTKPRTNRFVKLAVMGLTVALGAAALAVVKSALEWAPKFQLQLFP
+>DECOY_sp|Q96BZ9|TBC20_HUMAN TBC1 domain family member 20 OS=Homo sapiens OX=9606 GN=TBC1D20 PE=1 SV=1
+PFLQLQFKPAWELASKVVALAAAGLAVTLGMVALKVFRNTRPKTLVDKTRDEPRLLGRFRQRLVMDPRQQASALEFDKFTSAATREAQQQAAAERALESPPFQVFLDGARSILTEYPLDQPIQSLLHHVSAMDCDCDLVEQERYLVIVAAFYIPMLPHCALFFDYLRVVHRFDSLVHGFWTILWSLAFITGVEASQMFDHLEPNVQDIIPMLYNLIHKTNDMTPDMFDRLHHTSLKEVLSTALREGVVLLFTVVIDHYGQYYHLQPNRELILLIIDILEEQLGERQEEPMGPPFRRLSRRVDLLVQQYDKSMQRLNKGSIPPPDNANVNLLKPWVKRRIEDTLLGGESIAMRRLAAVDTPDSNLAQHIEAVKKKRKANFDAKEAGGDWHGSTPGDGQASRLAM
+>sp|Q2M2D7|TBC28_HUMAN TBC1 domain family member 28 OS=Homo sapiens OX=9606 GN=TBC1D28 PE=2 SV=1
+MEMDEDPDNLPAQGQGNIIITKYEQGHRAGAAVDLGHEQVDVRKYTNNLGIVHEMELPRVSALEVKQRRKESKRTNKWQKMLADWTKYRSTKKLSQRVCKVIPLAVRGRALSLLLDIDKIKSQNPGKYKVMKEKGKRSSRIIHCIQLDVSHTLQKHMMFIQRFGVKQQELCDILVAYSAYNPVSIPGQRYSWYLCPYSQAWVSLGGVATS
+>DECOY_sp|Q2M2D7|TBC28_HUMAN TBC1 domain family member 28 OS=Homo sapiens OX=9606 GN=TBC1D28 PE=2 SV=1
+STAVGGLSVWAQSYPCLYWSYRQGPISVPNYASYAVLIDCLEQQKVGFRQIFMMHKQLTHSVDLQICHIIRSSRKGKEKMVKYKGPNQSKIKDIDLLLSLARGRVALPIVKCVRQSLKKTSRYKTWDALMKQWKNTRKSEKRRQKVELASVRPLEMEHVIGLNNTYKRVDVQEHGLDVAAGARHGQEYKTIIINGQGQAPLNDPDEDMEM
+>sp|Q6ZT07|TBCD9_HUMAN TBC1 domain family member 9 OS=Homo sapiens OX=9606 GN=TBC1D9 PE=2 SV=2
+MWVNPEEVLLANALWITERANPYFILQRRKGHAGDGGGGGGLAGLLVGTLDVVLDSSARVAPYRILYQTPDSLVYWTIACGGSRKEITEHWEWLEQNLLQTLSIFENENDITTFVRGKIQGIIAEYNKINDVKEDDDTEKFKEAIVKFHRLFGMPEEEKLVNYYSCSYWKGKVPRQGWMYLSINHLCFYSFLMGREAKLVIRWVDITQLEKNATLLLPDVIKVSTRSSEHFFSVFLNINETFKLMEQLANIAMRQLLDNEGFEQDRSLPKLKRKSPKKVSALKRDLDARAKSERYRALFRLPKDEKLDGHTDCTLWTPFNKMHILGQMFVSTNYICFTSKEENLCSLIIPLREVTIVEKADSSSVLPSPLSISTRNRMTFLFANLKDRDFLVQRISDFLQQTTSKIYSDKEFAGSYNSSDDEVYSRPSSLVSSSPQRSTSSDADGERQFNLNGNSVPTATQTLMTMYRRRSPEEFNPKLAKEFLKEQAWKIHFAEYGQGICMYRTEKTRELVLKGIPESMRGELWLLLSGAINEKATHPGYYEDLVEKSMGKYNLATEEIERDLHRSLPEHPAFQNEMGIAALRRVLTAYAFRNPNIGYCQAMNIVTSVLLLYAKEEEAFWLLVALCERMLPDYYNTRVVGALVDQGVFEELARDYVPQLYDCMQDLGVISTISLSWFLTLFLSVMPFESAVVVVDCFFYEGIKVIFQLALAVLDANVDKLLNCKDDGEAMTVLGRYLDSVTNKDSTLPPIPHLHSLLSDDVEPYPEVDIFRLIRTSYEKFGTIRADLIEQMRFKQRLKVIQTLEDTTKRNVVRTIVTETSFTIDELEELYALFKAEHLTSCYWGGSSNALDRHDPSLPYLEQYRIDFEQFKGMFALLFPWACGTHSDVLASRLFQLLDENGDSLINFREFVSGLSAACHGDLTEKLKLLYKMHVLPEPSSDQDEPDSAFEATQYFFEDITPECTHVVGLDSRSKQGADDGFVTVSLKPDKGKRANSQENRNYLRLWTPENKSKSKNAKDLPKLNQGQFIELCKTMYNMFSEDPNEQELYHATAAVTSLLLEIGEVGKLFVAQPAKEGGSGGSGPSCHQGIPGVLFPKKGPGQPYVVESVEPLPASLAPDSEEHSLGGQMEDIKLEDSSPRDNGACSSMLISDDDTKDDSSMSSYSVLSAGSHEEDKLHCEDIGEDTVLVRSGQGTAALPRSTSLDRDWAITFEQFLASLLTEPALVKYFDKPVCMMARITSAKNIRMMGKPLTSASDYEISAMSG
+>DECOY_sp|Q6ZT07|TBCD9_HUMAN TBC1 domain family member 9 OS=Homo sapiens OX=9606 GN=TBC1D9 PE=2 SV=2
+GSMASIEYDSASTLPKGMMRINKASTIRAMMCVPKDFYKVLAPETLLSALFQEFTIAWDRDLSTSRPLAATGQGSRVLVTDEGIDECHLKDEEHSGASLVSYSSMSSDDKTDDDSILMSSCAGNDRPSSDELKIDEMQGGLSHEESDPALSAPLPEVSEVVYPQGPGKKPFLVGPIGQHCSPGSGGSGGEKAPQAVFLKGVEGIELLLSTVAATAHYLEQENPDESFMNYMTKCLEIFQGQNLKPLDKANKSKSKNEPTWLRLYNRNEQSNARKGKDPKLSVTVFGDDAGQKSRSDLGVVHTCEPTIDEFFYQTAEFASDPEDQDSSPEPLVHMKYLLKLKETLDGHCAASLGSVFERFNILSDGNEDLLQFLRSALVDSHTGCAWPFLLAFMGKFQEFDIRYQELYPLSPDHRDLANSSGGWYCSTLHEAKFLAYLEELEDITFSTETVITRVVNRKTTDELTQIVKLRQKFRMQEILDARITGFKEYSTRILRFIDVEPYPEVDDSLLSHLHPIPPLTSDKNTVSDLYRGLVTMAEGDDKCNLLKDVNADLVALALQFIVKIGEYFFCDVVVVASEFPMVSLFLTLFWSLSITSIVGLDQMCDYLQPVYDRALEEFVGQDVLAGVVRTNYYDPLMRECLAVLLWFAEEEKAYLLLVSTVINMAQCYGINPNRFAYATLVRRLAAIGMENQFAPHEPLSRHLDREIEETALNYKGMSKEVLDEYYGPHTAKENIAGSLLLWLEGRMSEPIGKLVLERTKETRYMCIGQGYEAFHIKWAQEKLFEKALKPNFEEPSRRRYMTMLTQTATPVSNGNLNFQREGDADSSTSRQPSSSVLSSPRSYVEDDSSNYSGAFEKDSYIKSTTQQLFDSIRQVLFDRDKLNAFLFTMRNRTSISLPSPLVSSSDAKEVITVERLPIILSCLNEEKSTFCIYNTSVFMQGLIHMKNFPTWLTCDTHGDLKEDKPLRFLARYRESKARADLDRKLASVKKPSKRKLKPLSRDQEFGENDLLQRMAINALQEMLKFTENINLFVSFFHESSRTSVKIVDPLLLTANKELQTIDVWRIVLKAERGMLFSYFCLHNISLYMWGQRPVKGKWYSCSYYNVLKEEEPMGFLRHFKVIAEKFKETDDDEKVDNIKNYEAIIGQIKGRVFTTIDNENEFISLTQLLNQELWEWHETIEKRSGGCAITWYVLSDPTQYLIRYPAVRASSDLVVDLTGVLLGALGGGGGGDGAHGKRRQLIFYPNARETIWLANALLVEEPNVWM
+>sp|Q8TEA7|TBCK_HUMAN TBC domain-containing protein kinase-like protein OS=Homo sapiens OX=9606 GN=TBCK PE=1 SV=4
+MFPLKDAEMGAFTFFASALPHDVCGSNGLPLTPNSIKILGRFQILKTITHPRLCQYVDISRGKHERLVVVAEHCERSLEDLLRERKPVSCSTVLCIAFEVLQGLQYMNKHGIVHRALSPHNILLDRKGHIKLAKFGLYHMTAHGDDVDFPIGYPSYLAPEVIAQGIFKTTDHMPSKKPLPSGPKSDVWSLGIILFELCVGRKLFQSLDISERLKFLLTLDCVDDTLIVLAEEHGCLDIIKELPETVIDLLNKCLTFHPSKRPTPDQLMKDKVFSEVSPLYTPFTKPASLFSSSLRCADLTLPEDISQLCKDINNDYLAERSIEEVYYLWCLAGGDLEKELVNKEIIRSKPPICTLPNFLFEDGESFGQGRDRSSLLDDTTVTLSLCQLRNRLKDVGGEAFYPLLEDDQSNLPHSNSNNELSAAATLPLIIREKDTEYQLNRIILFDRLLKAYPYKKNQIWKEARVDIPPLMRGLTWAALLGVEGAIHAKYDAIDKDTPIPTDRQIEVDIPRCHQYDELLSSPEGHAKFRRVLKAWVVSHPDLVYWQGLDSLCAPFLYLNFNNEALAYACMSAFIPKYLYNFFLKDNSHVIQEYLTVFSQMIAFHDPELSNHLNEIGFIPDLYAIPWFLTMFTHVFPLHKIFHLWDTLLLGNSSFPFCIGVAILQQLRDRLLANGFNECILLFSDLPEIDIERCVRESINLFCWTPKSATYRQHAQPPKPSSDSSGGRSSAPYFSAECPDPPKTDLSRESIPLNDLKSEVSPRISAEDLIDLCELTVTGHFKTPSKKTKSSKPKLLVVDIRNSEDFIRGHISGSINIPFSAAFTAEGELTQGPYTAMLQNFKGKVIVIVGHVAKHTAEFAAHLVKMKYPRICILDGGINKIKPTGLLTIPSPQI
+>DECOY_sp|Q8TEA7|TBCK_HUMAN TBC domain-containing protein kinase-like protein OS=Homo sapiens OX=9606 GN=TBCK PE=1 SV=4
+IQPSPITLLGTPKIKNIGGDLICIRPYKMKVLHAAFEATHKAVHGVIVIVKGKFNQLMATYPGQTLEGEATFAASFPINISGSIHGRIFDESNRIDVVLLKPKSSKTKKSPTKFHGTVTLECLDILDEASIRPSVESKLDNLPISERSLDTKPPDPCEASFYPASSRGGSSDSSPKPPQAHQRYTASKPTWCFLNISERVCREIDIEPLDSFLLICENFGNALLRDRLQQLIAVGICFPFSSNGLLLTDWLHFIKHLPFVHTFMTLFWPIAYLDPIFGIENLHNSLEPDHFAIMQSFVTLYEQIVHSNDKLFFNYLYKPIFASMCAYALAENNFNLYLFPACLSDLGQWYVLDPHSVVWAKLVRRFKAHGEPSSLLEDYQHCRPIDVEIQRDTPIPTDKDIADYKAHIAGEVGLLAAWTLGRMLPPIDVRAEKWIQNKKYPYAKLLRDFLIIRNLQYETDKERIILPLTAAASLENNSNSHPLNSQDDELLPYFAEGGVDKLRNRLQCLSLTVTTDDLLSSRDRGQGFSEGDEFLFNPLTCIPPKSRIIEKNVLEKELDGGALCWLYYVEEISREALYDNNIDKCLQSIDEPLTLDACRLSSSFLSAPKTFPTYLPSVESFVKDKMLQDPTPRKSPHFTLCKNLLDIVTEPLEKIIDLCGHEEALVILTDDVCDLTLLFKLRESIDLSQFLKRGVCLEFLIIGLSWVDSKPGSPLPKKSPMHDTTKFIGQAIVEPALYSPYGIPFDVDDGHATMHYLGFKALKIHGKRDLLINHPSLARHVIGHKNMYQLGQLVEFAICLVTSCSVPKRERLLDELSRECHEAVVVLREHKGRSIDVYQCLRPHTITKLIQFRGLIKISNPTLPLGNSGCVDHPLASAFFTFAGMEADKLPFM
+>sp|Q9UPU7|TBD2B_HUMAN TBC1 domain family member 2B OS=Homo sapiens OX=9606 GN=TBC1D2B PE=1 SV=2
+MPGAGARAEEGGGGGEGAAQGAAAEPGAGPAREPARLCGYLQKLSGKGPLRGYRSRWFVFDARRCYLYYFKSPQDALPLGHLDIADACFSYQGPDEAAEPGTEPPAHFQVHSAGAVTVLKAPNRQLMTYWLQELQQKRWEYCNSLDMVKWDSRTSPTPGDFPKGLVARDNTDLIYPHPNASAEKARNVLAVETVPGELVGEQAANQPAPGHPNSINFYSLKQWGNELKNSMSSFRPGRGHNDSRRTVFYTNEEWELLDPTPKDLEESIVQEEKKKLTPEGNKGVTGSGFPFDFGRNPYKGKRPLKDIIGSYKNRHSSGDPSSEGTSGSGSVSIRKPASEMQLQVQSQQEELEQLKKDLSSQKELVRLLQQTVRSSQYDKYFTSSRLCEGVPKDTLELLHQKDDQILGLTSQLERFSLEKESLQQEVRTLKSKVGELNEQLGMLMETIQAKDEVIIKLSEGEGNGPPPTVAPSSPSVVPVARDQLELDRLKDNLQGYKTQNKFLNKEILELSALRRNAERRERDLMAKYSSLEAKLCQIESKYLILLQEMKTPVCSEDQGPTREVIAQLLEDALQVESQEQPEQAFVKPHLVSEYDIYGFRTVPEDDEEEKLVAKVRALDLKTLYLTENQEVSTGVKWENYFASTVNREMMCSPELKNLIRAGIPHEHRSKVWKWCVDRHTRKFKDNTEPGHFQTLLQKALEKQNPASKQIELDLLRTLPNNKHYSCPTSEGIQKLRNVLLAFSWRNPDIGYCQGLNRLVAVALLYLEQEDAFWCLVTIVEVFMPRDYYTKTLLGSQVDQRVFRDLMSEKLPRLHGHFEQYKVDYTLITFNWFLVVFVDSVVSDILFKIWDSFLYEGPKVIFRFALALFKYKEEEILKLQDSMSIFKYLRYFTRTILDARKLISISFGDLNPFPLRQIRNRRAYHLEKVRLELTELEAIREDFLRERDTSPDKGELVSDEEEDT
+>DECOY_sp|Q9UPU7|TBD2B_HUMAN TBC1 domain family member 2B OS=Homo sapiens OX=9606 GN=TBC1D2B PE=1 SV=2
+TDEEEDSVLEGKDPSTDRERLFDERIAELETLELRVKELHYARRNRIQRLPFPNLDGFSISILKRADLITRTFYRLYKFISMSDQLKLIEEEKYKFLALAFRFIVKPGEYLFSDWIKFLIDSVVSDVFVVLFWNFTILTYDVKYQEFHGHLRPLKESMLDRFVRQDVQSGLLTKTYYDRPMFVEVITVLCWFADEQELYLLAVAVLRNLGQCYGIDPNRWSFALLVNRLKQIGESTPCSYHKNNPLTRLLDLEIQKSAPNQKELAKQLLTQFHGPETNDKFKRTHRDVCWKWVKSRHEHPIGARILNKLEPSCMMERNVTSAFYNEWKVGTSVEQNETLYLTKLDLARVKAVLKEEEDDEPVTRFGYIDYESVLHPKVFAQEPQEQSEVQLADELLQAIVERTPGQDESCVPTKMEQLLILYKSEIQCLKAELSSYKAMLDRERREANRRLASLELIEKNLFKNQTKYGQLNDKLRDLELQDRAVPVVSPSSPAVTPPPGNGEGESLKIIVEDKAQITEMLMGLQENLEGVKSKLTRVEQQLSEKELSFRELQSTLGLIQDDKQHLLELTDKPVGECLRSSTFYKDYQSSRVTQQLLRVLEKQSSLDKKLQELEEQQSQVQLQMESAPKRISVSGSGSTGESSPDGSSHRNKYSGIIDKLPRKGKYPNRGFDFPFGSGTVGKNGEPTLKKKEEQVISEELDKPTPDLLEWEENTYFVTRRSDNHGRGPRFSSMSNKLENGWQKLSYFNISNPHGPAPQNAAQEGVLEGPVTEVALVNRAKEASANPHPYILDTNDRAVLGKPFDGPTPSTRSDWKVMDLSNCYEWRKQQLEQLWYTMLQRNPAKLVTVAGASHVQFHAPPETGPEAAEDPGQYSFCADAIDLHGLPLADQPSKFYYLYCRRADFVFWRSRYGRLPGKGSLKQLYGCLRAPERAPGAGPEAAAGQAAGEGGGGGEEARAGAGPM
+>sp|Q9UJT0|TBE_HUMAN Tubulin epsilon chain OS=Homo sapiens OX=9606 GN=TUBE1 PE=2 SV=1
+MTQSVVVQVGQCGNQIGCCFWDLALREHAAVNQKGIYDEAISSFFRNVDTRVVGDGGSISKGKICSLKARAVLIDMEEGVVNEILQGPLRDVFDTKQLITDISGSGNNWAVGHKVFGSLYQDQILEKFRKSAEHCDCLQCFFIIHSMGGGTGSGLGTFLLKVLEDEFPEVYRFVTSIYPSGEDDVITSPYNSILAMKELNEHADCVLPIDNQSLFDIISKIDLMVNSGKLGTTVKPKSLVTSSSGALKKQHKKPFDAMNNIVANLLLNLTSSARFEGSLNMDLNEISMNLVPFPQLHYLVSSLTPLYTLTDVNIPPRRLDQMFSDAFSKDHQLLRADPKHSLYLACALMVRGNVQISDLRRNIERLKPSLQFVSWNQEGWKTSLCSVPPVGHSHSLLALANNTCVKPTFMELKERFMRLYKKKAHLHHYLQVEGMEESCFTEAVSSLSALIQEYDQLDATKNMPVQDLPRLSIAM
+>DECOY_sp|Q9UJT0|TBE_HUMAN Tubulin epsilon chain OS=Homo sapiens OX=9606 GN=TUBE1 PE=2 SV=1
+MAISLRPLDQVPMNKTADLQDYEQILASLSSVAETFCSEEMGEVQLYHHLHAKKKYLRMFREKLEMFTPKVCTNNALALLSHSHGVPPVSCLSTKWGEQNWSVFQLSPKLREINRRLDSIQVNGRVMLACALYLSHKPDARLLQHDKSFADSFMQDLRRPPINVDTLTYLPTLSSVLYHLQPFPVLNMSIENLDMNLSGEFRASSTLNLLLNAVINNMADFPKKHQKKLAGSSSTVLSKPKVTTGLKGSNVMLDIKSIIDFLSQNDIPLVCDAHENLEKMALISNYPSTIVDDEGSPYISTVFRYVEPFEDELVKLLFTGLGSGTGGGMSHIIFFCQLCDCHEASKRFKELIQDQYLSGFVKHGVAWNNGSGSIDTILQKTDFVDRLPGQLIENVVGEEMDILVARAKLSCIKGKSISGGDGVVRTDVNRFFSSIAEDYIGKQNVAAHERLALDWFCCGIQNGCQGVQVVVSQTM
+>sp|Q12788|TBL3_HUMAN Transducin beta-like protein 3 OS=Homo sapiens OX=9606 GN=TBL3 PE=1 SV=2
+MAETAAGVGRFKTNYAVERKIEPFYKGGKAQLDQTGQHLFCVCGTRVNILEVASGAVLRSLEQEDQEDITAFDLSPDNEVLVTASRALLLAQWAWQEGSVTRLWKAIHTAPVATMAFDPTSTLLATGGCDGAVRVWDIVRHYGTHHFRGSPGVVHLVAFHPDPTRLLLFSSATDAAIRVWSLQDRSCLAVLTAHYSAVTSLAFSADGHTMLSSGRDKICIIWDLQSCQATRTVPVFESVEAAVLLPEEPVSQLGVKSPGLYFLTAGDQGTLRVWEAASGQCVYTQAQPPGPGQELTHCTLAHTAGVVLTATADHNLLLYEARSLRLQKQFAGYSEEVLDVRFLGPEDSHVVVASNSPCLKVFELQTSACQILHGHTDIVLALDVFRKGWLFASCAKDQSVRIWRMNKAGQVMCVAQGSGHTHSVGTVCCSRLKESFLVTGSQDCTVKLWPLPKALLSKNTAPDNGPILLQAQTTQRCHDKDINSVAIAPNDKLLATGSQDRTAKLWALPQCQLLGVFSGHRRGLWCVQFSPMDQVLATASADGTIKLWALQDFSCLKTFEGHDASVLKVAFVSRGTQLLSSGSDGLVKLWTIKNNECVRTLDAHEDKVWGLHCSRLDDHALTGASDSRVILWKDVTEAEQAEEQARQEEQVVRQQELDNLLHEKRYLRALGLAISLDRPHTVLTVIQAIRRDPEACEKLEATMLRLRRDQKEALLRFCVTWNTNSRHCHEAQAVLGVLLRREAPEELLAYEGVRAALEALLPYTERHFQRLSRTLQAAAFLDFLWHNMKLPVPAAAPTPWETHKGALP
+>DECOY_sp|Q12788|TBL3_HUMAN Transducin beta-like protein 3 OS=Homo sapiens OX=9606 GN=TBL3 PE=1 SV=2
+PLAGKHTEWPTPAAAPVPLKMNHWLFDLFAAAQLTRSLRQFHRETYPLLAELAARVGEYALLEEPAERRLLVGLVAQAEHCHRSNTNWTVCFRLLAEKQDRRLRLMTAELKECAEPDRRIAQIVTLVTHPRDLSIALGLARLYRKEHLLNDLEQQRVVQEEQRAQEEAQEAETVDKWLIVRSDSAGTLAHDDLRSCHLGWVKDEHADLTRVCENNKITWLKVLGDSGSSLLQTGRSVFAVKLVSADHGEFTKLCSFDQLAWLKITGDASATALVQDMPSFQVCWLGRRHGSFVGLLQCQPLAWLKATRDQSGTALLKDNPAIAVSNIDKDHCRQTTQAQLLIPGNDPATNKSLLAKPLPWLKVTCDQSGTVLFSEKLRSCCVTGVSHTHGSGQAVCMVQGAKNMRWIRVSQDKACSAFLWGKRFVDLALVIDTHGHLIQCASTQLEFVKLCPSNSAVVVHSDEPGLFRVDLVEESYGAFQKQLRLSRAEYLLLNHDATATLVVGATHALTCHTLEQGPGPPQAQTYVCQGSAAEWVRLTGQDGATLFYLGPSKVGLQSVPEEPLLVAAEVSEFVPVTRTAQCSQLDWIICIKDRGSSLMTHGDASFALSTVASYHATLVALCSRDQLSWVRIAADTASSFLLLRTPDPHFAVLHVVGPSGRFHHTGYHRVIDWVRVAGDCGGTALLTSTPDFAMTAVPATHIAKWLRTVSGEQWAWQALLLARSATVLVENDPSLDFATIDEQDEQELSRLVAGSAVELINVRTGCVCFLHQGTQDLQAKGGKYFPEIKREVAYNTKFRGVGAATEAM
+>sp|P57082|TBX4_HUMAN T-box transcription factor TBX4 OS=Homo sapiens OX=9606 GN=TBX4 PE=1 SV=2
+MLQDKGLSESEEAFRAPGPALGEASAANAPEPALAAPGLSGAALGSPPGPGADVVAAAAAEQTIENIKVGLHEKELWKKFHEAGTEMIITKAGRRMFPSYKVKVTGMNPKTKYILLIDIVPADDHRYKFCDNKWMVAGKAEPAMPGRLYVHPDSPATGAHWMRQLVSFQKLKLTNNHLDPFGHIILNSMHKYQPRLHIVKADENNAFGSKNTAFCTHVFPETSFISVTSYQNHKITQLKIENNPFAKGFRGSDDSDLRVARLQSKEYPVISKSIMRQRLISPQLSATPDVGPLLGTHQALQHYQHENGAHSQLAEPQDLPLSTFPTQRDSSLFYHCLKRRDGTRHLDLPCKRSYLEAPSSVGEDHYFRSPPPYDQQMLSPSYCSEVTPREACMYSGSGPEIAGVSGVDDLPPPPLSCNMWTSVSPYTSYSVQTMETVPYQPFPTHFTATTMMPRLPTLSAQSSQPPGNAHFSVYNQLSQSQVRERGPSASFPRERGLPQGCERKPPSPHLNAANEFLYSQTFSLSRESSLQYHSGMGTVENWTDG
+>DECOY_sp|P57082|TBX4_HUMAN T-box transcription factor TBX4 OS=Homo sapiens OX=9606 GN=TBX4 PE=1 SV=2
+GDTWNEVTGMGSHYQLSSERSLSFTQSYLFENAANLHPSPPKRECGQPLGRERPFSASPGRERVQSQSLQNYVSFHANGPPQSSQASLTPLRPMMTTATFHTPFPQYPVTEMTQVSYSTYPSVSTWMNCSLPPPPLDDVGSVGAIEPGSGSYMCAERPTVESCYSPSLMQQDYPPPSRFYHDEGVSSPAELYSRKCPLDLHRTGDRRKLCHYFLSSDRQTPFTSLPLDQPEALQSHAGNEHQYHQLAQHTGLLPGVDPTASLQPSILRQRMISKSIVPYEKSQLRAVRLDSDDSGRFGKAFPNNEIKLQTIKHNQYSTVSIFSTEPFVHTCFATNKSGFANNEDAKVIHLRPQYKHMSNLIIHGFPDLHNNTLKLKQFSVLQRMWHAGTAPSDPHVYLRGPMAPEAKGAVMWKNDCFKYRHDDAPVIDILLIYKTKPNMGTVKVKYSPFMRRGAKTIIMETGAEHFKKWLEKEHLGVKINEITQEAAAAAVVDAGPGPPSGLAAGSLGPAALAPEPANAASAEGLAPGPARFAEESESLGKDQLM
+>sp|Q99593|TBX5_HUMAN T-box transcription factor TBX5 OS=Homo sapiens OX=9606 GN=TBX5 PE=1 SV=2
+MADADEGFGLAHTPLEPDAKDLPCDSKPESALGAPSKSPSSPQAAFTQQGMEGIKVFLHERELWLKFHEVGTEMIITKAGRRMFPSYKVKVTGLNPKTKYILLMDIVPADDHRYKFADNKWSVTGKAEPAMPGRLYVHPDSPATGAHWMRQLVSFQKLKLTNNHLDPFGHIILNSMHKYQPRLHIVKADENNGFGSKNTAFCTHVFPETAFIAVTSYQNHKITQLKIENNPFAKGFRGSDDMELHRMSRMQSKEYPVVPRSTVRQKVASNHSPFSSESRALSTSSNLGSQYQCENGVSGPSQDLLPPPNPYPLPQEHSQIYHCTKRKEEECSTTDHPYKKPYMETSPSEEDSFYRSSYPQQQGLGASYRTESAQRQACMYASSAPPSEPVPSLEDISCNTWPSMPSYSSCTVTTVQPMDRLPYQHFSAHFTSGPLVPRLAGMANHGSPQLGEGMFQHQTSVAHQPVVRQCGPQTGLQSPGTLQPPEFLYSHGVPRTLSPHQYHSVHGVGMVPEWSDNS
+>DECOY_sp|Q99593|TBX5_HUMAN T-box transcription factor TBX5 OS=Homo sapiens OX=9606 GN=TBX5 PE=1 SV=2
+SNDSWEPVMGVGHVSHYQHPSLTRPVGHSYLFEPPQLTGPSQLGTQPGCQRVVPQHAVSTQHQFMGEGLQPSGHNAMGALRPVLPGSTFHASFHQYPLRDMPQVTTVTCSSYSPMSPWTNCSIDELSPVPESPPASSAYMCAQRQASETRYSAGLGQQQPYSSRYFSDEESPSTEMYPKKYPHDTTSCEEEKRKTCHYIQSHEQPLPYPNPPPLLDQSPGSVGNECQYQSGLNSSTSLARSESSFPSHNSAVKQRVTSRPVVPYEKSQMRSMRHLEMDDSGRFGKAFPNNEIKLQTIKHNQYSTVAIFATEPFVHTCFATNKSGFGNNEDAKVIHLRPQYKHMSNLIIHGFPDLHNNTLKLKQFSVLQRMWHAGTAPSDPHVYLRGPMAPEAKGTVSWKNDAFKYRHDDAPVIDMLLIYKTKPNLGTVKVKYSPFMRRGAKTIIMETGVEHFKLWLEREHLFVKIGEMGQQTFAAQPSSPSKSPAGLASEPKSDCPLDKADPELPTHALGFGEDADAM
+>sp|P23193|TCEA1_HUMAN Transcription elongation factor A protein 1 OS=Homo sapiens OX=9606 GN=TCEA1 PE=1 SV=2
+MEDEVVRFAKKMDKMVQKKNAAGALDLLKELKNIPMTLELLQSTRIGMSVNAIRKQSTDEEVTSLAKSLIKSWKKLLDGPSTEKDLDEKKKEPAITSQNSPEAREESTSSGNVSNRKDETNARDTYVSSFPRAPSTSDSVRLKCREMLAAALRTGDDYIAIGADEEELGSQIEEAIYQEIRNTDMKYKNRVRSRISNLKDAKNPNLRKNVLCGNIPPDLFARMTAEEMASDELKEMRKNLTKEAIREHQMAKTGGTQTDLFTCGKCKKKNCTYTQVQTRSADEPMTTFVVCNECGNRWKFC
+>DECOY_sp|P23193|TCEA1_HUMAN Transcription elongation factor A protein 1 OS=Homo sapiens OX=9606 GN=TCEA1 PE=1 SV=2
+CFKWRNGCENCVVFTTMPEDASRTQVQTYTCNKKKCKGCTFLDTQTGGTKAMQHERIAEKTLNKRMEKLEDSAMEEATMRAFLDPPINGCLVNKRLNPNKADKLNSIRSRVRNKYKMDTNRIEQYIAEEIQSGLEEEDAGIAIYDDGTRLAAALMERCKLRVSDSTSPARPFSSVYTDRANTEDKRNSVNGSSTSEERAEPSNQSTIAPEKKKEDLDKETSPGDLLKKWSKILSKALSTVEEDTSQKRIANVSMGIRTSQLLELTMPINKLEKLLDLAGAANKKQVMKDMKKAFRVVEDEM
+>sp|Q15560|TCEA2_HUMAN Transcription elongation factor A protein 2 OS=Homo sapiens OX=9606 GN=TCEA2 PE=1 SV=1
+MMGKEEEIARIARRLDKMVTKKSAEGAMDLLRELKAMPITLHLLQSTRVGMSVNALRKQSSDEEVIALAKSLIKSWKKLLDASDAKARERGRGMPLPTSSRDASEAPDPSRKRPELPRAPSTPRITTFPPVPVTCDAVRNKCREMLTAALQTDHDHVAIGADCERLSAQIEECIFRDVGNTDMKYKNRVRSRISNLKDAKNPDLRRNVLCGAITPQQIAVMTSEEMASDELKEIRKAMTKEAIREHQMARTGGTQTDLFTCGKCRKKNCTYTQVQTRSSDEPMTTFVVCNECGNRWKFC
+>DECOY_sp|Q15560|TCEA2_HUMAN Transcription elongation factor A protein 2 OS=Homo sapiens OX=9606 GN=TCEA2 PE=1 SV=1
+CFKWRNGCENCVVFTTMPEDSSRTQVQTYTCNKKRCKGCTFLDTQTGGTRAMQHERIAEKTMAKRIEKLEDSAMEESTMVAIQQPTIAGCLVNRRLDPNKADKLNSIRSRVRNKYKMDTNGVDRFICEEIQASLRECDAGIAVHDHDTQLAATLMERCKNRVADCTVPVPPFTTIRPTSPARPLEPRKRSPDPAESADRSSTPLPMGRGRERAKADSADLLKKWSKILSKALAIVEEDSSQKRLANVSMGVRTSQLLHLTIPMAKLERLLDMAGEASKKTVMKDLRRAIRAIEEEKGMM
+>sp|Q12870|TCF15_HUMAN Transcription factor 15 OS=Homo sapiens OX=9606 GN=TCF15 PE=2 SV=3
+MAFALLRPVGAHVLYPDVRLLSEDEENRSESDASDQSFGCCEGPEAARRGPGPGGGRRAGGGGGAGPVVVVRQRQAANARERDRTQSVNTAFTALRTLIPTEPVDRKLSKIETVRLASSYIAHLANVLLLGDSADDGQPCFRAAGSAKGAVPAAADGGRQPRSICTFCLSNQRKGGGRRDLGGSCLKVRGVAPLRGPRR
+>DECOY_sp|Q12870|TCF15_HUMAN Transcription factor 15 OS=Homo sapiens OX=9606 GN=TCF15 PE=2 SV=3
+RRPGRLPAVGRVKLCSGGLDRRGGGKRQNSLCFTCISRPQRGGDAAAPVAGKASGAARFCPQGDDASDGLLLVNALHAIYSSALRVTEIKSLKRDVPETPILTRLATFATNVSQTRDRERANAAQRQRVVVVPGAGGGGGARRGGGPGPGRRAAEPGECCGFSQDSADSESRNEEDESLLRVDPYLVHAGVPRLLAFAM
+>sp|Q9Y242|TCF19_HUMAN Transcription factor 19 OS=Homo sapiens OX=9606 GN=TCF19 PE=1 SV=2
+MLPCFQLLRIGGGRGGDLYTFHPPAGAGCTYRLGHRADLCDVALRPQQEPGLISGIHAELHAEPRGDDWRVSLEDHSSQGTLVNNVRLPRGHRLELSDGDLLTFGPEGPPGTSPSEFYFMFQQVRVKPQDFAAITIPRSRGEARVGAGFRPMLPSQGAPQRPLSTFSPAPKATLILNSIGSLSKLRPQPLTFSPSWGGPKSLPVPAPPGEMGTTPSAPPQRNRRKSVHRVLAELDDESEPPENPPPVLMEPRKKLRVDKAPLTPTGNRRGRPRKYPVSAPMAPPAVGGGEPCAAPCCCLPQEETVAWVQCDGCDVWFHVACVGCSIQAAREADFRCPGCRAGIQT
+>DECOY_sp|Q9Y242|TCF19_HUMAN Transcription factor 19 OS=Homo sapiens OX=9606 GN=TCF19 PE=1 SV=2
+TQIGARCGPCRFDAERAAQISCGVCAVHFWVDCGDCQVWAVTEEQPLCCCPAACPEGGGVAPPAMPASVPYKRPRGRRNGTPTLPAKDVRLKKRPEMLVPPPNEPPESEDDLEALVRHVSKRRNRQPPASPTTGMEGPPAPVPLSKPGGWSPSFTLPQPRLKSLSGISNLILTAKPAPSFTSLPRQPAGQSPLMPRFGAGVRAEGRSRPITIAAFDQPKVRVQQFMFYFESPSTGPPGEPGFTLLDGDSLELRHGRPLRVNNVLTGQSSHDELSVRWDDGRPEAHLEAHIGSILGPEQQPRLAVDCLDARHGLRYTCGAGAPPHFTYLDGGRGGGIRLLQFCPLM
+>sp|Q9UGU0|TCF20_HUMAN Transcription factor 20 OS=Homo sapiens OX=9606 GN=TCF20 PE=1 SV=3
+MQSFREQSSYHGNQQSYPQEVHGSSRLEEFSPRQAQMFQNFGGTGGSSGSSGSGSGGGRRGAAAAAAAMASETSGHQGYQGFRKEAGDFYYMAGNKDPVTTGTPQPPQRRPSGPVQSYGPPQGSSFGNQYGSEGHVGQFQAQHSGLGGVSHYQQDYTGPFSPGSAQYQQQASSQQQQQQVQQLRQQLYQSHQPLPQATGQPASSSSHLQPMQRPSTLPSSAAGYQLRVGQFGQHYQSSASSSSSSSFPSPQRFSQSGQSYDGSYNVNAGSQYEGHNVGSNAQAYGTQSNYSYQPQSMKNFEQAKIPQGTQQGQQQQQPQQQQHPSQHVMQYTNAATKLPLQSQVGQYNQPEVPVRSPMQFHQNFSPISNPSPAASVVQSPSCSSTPSPLMQTGENLQCGQGSVPMGSRNRILQLMPQLSPTPSMMPSPNSHAAGFKGFGLEGVPEKRLTDPGLSSLSALSTQVANLPNTVQHMLLSDALTPQKKTSKRPSSSKKADSCTNSEGSSQPEEQLKSPMAESLDGGCSSSSEDQGERVRQLSGQSTSSDTTYKGGASEKAGSSPAQGAQNEPPRLNASPAAREEATSPGAKDMPLSSDGNPKVNEKTVGVIVSREAMTGRVEKPGGQDKGSQEDDPAATQRPPSNGGAKETSHASLPQPEPPGGGGSKGNKNGDNNSNHNGEGNGQSGHSAAGPGFTSRTEPSKSPGSLRYSYKDSFGSAVPRNVSGFPQYPTGQEKGDFTGHGERKGRNEKFPSLLQEVLQGYHHHPDRRYSRSTQEHQGMAGSLEGTTRPNVLVSQTNELASRGLLNKSIGSLLENPHWGPWERKSSSTAPEMKQINLTDYPIPRKFEIEPQSSAHEPGGSLSERRSVICDISPLRQIVRDPGAHSLGHMSADTRIGRNDRLNPTLSQSVILPGGLVSMETKLKSQSGQIKEEDFEQSKSQASFNNKKSGDHCHPPSIKHESYRGNASPGAATHDSLSDYGPQDSRPTPMRRVPGRVGGREGMRGRSPSQYHDFAEKLKMSPGRSRGPGGDPHHMNPHMTFSERANRSSLHTPFSPNSETLASAYHANTRAHAYGDPNAGLNSQLHYKRQMYQQQPEEYKDWSSGSAQGVIAAAQHRQEGPRKSPRQQQFLDRVRSPLKNDKDGMMYGPPVGTYHDPSAQEAGRCLMSSDGLPNKGMELKHGSQKLQESCWDLSRQTSPAKSSGPPGMSSQKRYGPPHETDGHGLAEATQSSKPGSVMLRLPGQEDHSSQNPLIMRRRVRSFISPIPSKRQSQDVKNSSTEDKGRLLHSSKEGADKAFNSYAHLSHSQDIKSIPKRDSSKDLPSPDSRNCPAVTLTSPAKTKILPPRKGRGLKLEAIVQKITSPNIRRSASSNSAEAGGDTVTLDDILSLKSGPPEGGSVAVQDADIEKRKGEVASDLVSPANQELHVEKPLPRSSEEWRGSVDDKVKTETHAETVTAGKEPPGAMTSTTSQKPGSNQGRPDGSLGGTAPLIFPDSKNVPPVGILAPEANPKAEEKENDTVTISPKQEGFPPKGYFPSGKKKGRPIGSVNKQKKQQQPPPPPPQPPQIPEGSADGEPKPKKQRQRRERRKPGAQPRKRKTKQAVPIVEPQEPEIKLKYATQPLDKTDAKNKSFYPYIHVVNKCELGAVCTIINAEEEEQTKLVRGRKGQRSLTPPPSSTESKALPASSFMLQGPVVTESSVMGHLVCCLCGKWASYRNMGDLFGPFYPQDYAATLPKNPPPKRATEMQSKVKVRHKSASNGSKTDTEEEEEQQQQQKEQRSLAAHPRFKRRHRSEDCGGGPRSLSRGLPCKKAATEGSSEKTVLDSKPSVPTTSEGGPELELQIPELPLDSNEFWVHEGCILWANGIYLVCGRLYGLQEALEIAREMKCSHCQEAGATLGCYNKGCSFRYHYPCAIDADCLLHEENFSVRCPKHKPPLPCPLPPLQNKTAKGSLSTEQSERG
+>DECOY_sp|Q9UGU0|TCF20_HUMAN Transcription factor 20 OS=Homo sapiens OX=9606 GN=TCF20 PE=1 SV=3
+GRESQETSLSGKATKNQLPPLPCPLPPKHKPCRVSFNEEHLLCDADIACPYHYRFSCGKNYCGLTAGAEQCHSCKMERAIELAEQLGYLRGCVLYIGNAWLICGEHVWFENSDLPLEPIQLELEPGGESTTPVSPKSDLVTKESSGETAAKKCPLGRSLSRPGGGCDESRHRRKFRPHAALSRQEKQQQQQEEEEETDTKSGNSASKHRVKVKSQMETARKPPPNKPLTAAYDQPYFPGFLDGMNRYSAWKGCLCCVLHGMVSSETVVPGQLMFSSAPLAKSETSSPPPTLSRQGKRGRVLKTQEEEEANIITCVAGLECKNVVHIYPYFSKNKADTKDLPQTAYKLKIEPEQPEVIPVAQKTKRKRPQAGPKRRERRQRQKKPKPEGDASGEPIQPPQPPPPPPQQQKKQKNVSGIPRGKKKGSPFYGKPPFGEQKPSITVTDNEKEEAKPNAEPALIGVPPVNKSDPFILPATGGLSGDPRGQNSGPKQSTTSTMAGPPEKGATVTEAHTETKVKDDVSGRWEESSRPLPKEVHLEQNAPSVLDSAVEGKRKEIDADQVAVSGGEPPGSKLSLIDDLTVTDGGAEASNSSASRRINPSTIKQVIAELKLGRGKRPPLIKTKAPSTLTVAPCNRSDPSPLDKSSDRKPISKIDQSHSLHAYSNFAKDAGEKSSHLLRGKDETSSNKVDQSQRKSPIPSIFSRVRRRMILPNQSSHDEQGPLRLMVSGPKSSQTAEALGHGDTEHPPGYRKQSSMGPPGSSKAPSTQRSLDWCSEQLKQSGHKLEMGKNPLGDSSMLCRGAEQASPDHYTGVPPGYMMGDKDNKLPSRVRDLFQQQRPSKRPGEQRHQAAAIVGQASGSSWDKYEEPQQQYMQRKYHLQSNLGANPDGYAHARTNAHYASALTESNPSFPTHLSSRNARESFTMHPNMHHPDGGPGRSRGPSMKLKEAFDHYQSPSRGRMGERGGVRGPVRRMPTPRSDQPGYDSLSDHTAAGPSANGRYSEHKISPPHCHDGSKKNNFSAQSKSQEFDEEKIQGSQSKLKTEMSVLGGPLIVSQSLTPNLRDNRGIRTDASMHGLSHAGPDRVIQRLPSIDCIVSRRESLSGGPEHASSQPEIEFKRPIPYDTLNIQKMEPATSSSKREWPGWHPNELLSGISKNLLGRSALENTQSVLVNPRTTGELSGAMGQHEQTSRSYRRDPHHHYGQLVEQLLSPFKENRGKREGHGTFDGKEQGTPYQPFGSVNRPVASGFSDKYSYRLSGPSKSPETRSTFGPGAASHGSQGNGEGNHNSNNDGNKNGKSGGGGPPEPQPLSAHSTEKAGGNSPPRQTAAPDDEQSGKDQGGPKEVRGTMAERSVIVGVTKENVKPNGDSSLPMDKAGPSTAEERAAPSANLRPPENQAGQAPSSGAKESAGGKYTTDSSTSQGSLQRVREGQDESSSSCGGDLSEAMPSKLQEEPQSSGESNTCSDAKKSSSPRKSTKKQPTLADSLLMHQVTNPLNAVQTSLASLSSLGPDTLRKEPVGELGFGKFGAAHSNPSPMMSPTPSLQPMLQLIRNRSGMPVSGQGCQLNEGTQMLPSPTSSCSPSQVVSAAPSPNSIPSFNQHFQMPSRVPVEPQNYQGVQSQLPLKTAANTYQMVHQSPHQQQQPQQQQQGQQTGQPIKAQEFNKMSQPQYSYNSQTGYAQANSGVNHGEYQSGANVNYSGDYSQGSQSFRQPSPFSSSSSSSASSQYHQGFQGVRLQYGAASSPLTSPRQMPQLHSSSSAPQGTAQPLPQHSQYLQQRLQQVQQQQQQSSAQQQYQASGPSFPGTYDQQYHSVGGLGSHQAQFQGVHGESGYQNGFSSGQPPGYSQVPGSPRRQPPQPTGTTVPDKNGAMYYFDGAEKRFGQYGQHGSTESAMAAAAAAAGRRGGGSGSGSSGSSGGTGGFNQFMQAQRPSFEELRSSGHVEQPYSQQNGHYSSQERFSQM
+>sp|Q5QJ38|TCHL1_HUMAN Trichohyalin-like protein 1 OS=Homo sapiens OX=9606 GN=TCHHL1 PE=2 SV=1
+MPQLLRNVLCVIETFHKYASEDSNGATLTGRELKQLIQGEFGDFFQPCVLHAVEKNSNLLNIDSNGIISFDEFVLAIFNLLNLCYLDIKSLLSSELRQVTKPEKEKLDDVDVQATTGDGQWTVGTSPTQEKRMLPSGMASSSQLIPEESGAVGNNRVDPWREAKTHNFPGEASEHNDPKNKHLEGDEQSQEVAQDIQTTEDNEGQLKTNKPMAGSKKTSSPTERKGQDKEISQEGDEPAREQSVSKIRDQFGEQEGNLATQSSPPKEATQRPCEDQEVRTEKEKHSNIQEPPLQREDEPSSQHADLPEQAAARSPSQTQKSTDSKDVCRMFDTQEPGKDADQTPAKTKNLGEPEDYGRTSETQEKECETKDLPVQYGSRNGSETSDMRDERKERRGPEAHGTAGQKERDRKTRPLVLETQTQDGKYQELQGLSKSKDAEKGSETQYLSSEGGDQTHPELEGTAVSGEEAEHTKEGTAEAFVNSKNAPAAERTLGARERTQDLAPLEKQSVGENTRVTKTHDQPVEEEDGYQGEDPESPFTQSDEGSSETPNSLASEEGNSSSETGELPVQGDSQSQGDQHGESVQGGHNNNPDTQRQGTPGEKNRALEAVVPAVRGEDVQLTEDQEQPARGEHKNQGPGTKGPGAAVEPNGHPEAQESTAGDENRKSLEIEITGALDEDFTDQLSLMQLPGKGDSRNELKVQGPSSKEEKGRATEAQNTLLESLDEDNSASLKIQLETKEPVTSEEEDESPQELAGEGGDQKSPAKKEHNSSVPWSSLEKQMQRDQEPCSVERGAVYSSPLYQYLQEKILQQTNVTQEEHQKQVQIAQASGPELCSVSLTSEISDCSVFFNYSQASQPYTRGLPLDESPAGAQETPAPQALEDKQGHPQRERLVLQREASTTKQ
+>DECOY_sp|Q5QJ38|TCHL1_HUMAN Trichohyalin-like protein 1 OS=Homo sapiens OX=9606 GN=TCHHL1 PE=2 SV=1
+QKTTSAERQLVLRERQPHGQKDELAQPAPTEQAGAPSEDLPLGRTYPQSAQSYNFFVSCDSIESTLSVSCLEPGSAQAIQVQKQHEEQTVNTQQLIKEQLYQYLPSSYVAGREVSCPEQDRQMQKELSSWPVSSNHEKKAPSKQDGGEGALEQPSEDEEESTVPEKTELQIKLSASNDEDLSELLTNQAETARGKEEKSSPGQVKLENRSDGKGPLQMLSLQDTFDEDLAGTIEIELSKRNEDGATSEQAEPHGNPEVAAGPGKTGPGQNKHEGRAPQEQDETLQVDEGRVAPVVAELARNKEGPTGQRQTDPNNNHGGQVSEGHQDGQSQSDGQVPLEGTESSSNGEESALSNPTESSGEDSQTFPSEPDEGQYGDEEEVPQDHTKTVRTNEGVSQKELPALDQTRERAGLTREAAPANKSNVFAEATGEKTHEAEEGSVATGELEPHTQDGGESSLYQTESGKEADKSKSLGQLEQYKGDQTQTELVLPRTKRDREKQGATGHAEPGRREKREDRMDSTESGNRSGYQVPLDKTECEKEQTESTRGYDEPEGLNKTKAPTQDADKGPEQTDFMRCVDKSDTSKQTQSPSRAAAQEPLDAHQSSPEDERQLPPEQINSHKEKETRVEQDECPRQTAEKPPSSQTALNGEQEGFQDRIKSVSQERAPEDGEQSIEKDQGKRETPSSTKKSGAMPKNTKLQGENDETTQIDQAVEQSQEDGELHKNKPDNHESAEGPFNHTKAERWPDVRNNGVAGSEEPILQSSSAMGSPLMRKEQTPSTGVTWQGDGTTAQVDVDDLKEKEPKTVQRLESSLLSKIDLYCLNLLNFIALVFEDFSIIGNSDINLLNSNKEVAHLVCPQFFDGFEGQILQKLERGTLTAGNSDESAYKHFTEIVCLVNRLLQPM
+>sp|Q12799|TCP10_HUMAN T-complex protein 10A homolog OS=Homo sapiens OX=9606 GN=TCP10 PE=2 SV=3
+MLEGQLEAGEPKEGTHPEDPCPGAGAAMEKTPAAAEVPREDSNAGEMPSLQQQITSLHQELGRQQSLWADIHRKLQSHMDALRKQNRELREELRGLQRQQWEAGKKPAASPHAGRESHTLALEPAFGKISHLSADEDTTPKYAGRKSQSATLLGQRWSSNHLAPPKPMSLKTERINSGKTPPQEDREKSPPGRRQDRSPAPTGRPTPGAERRGVSEDGKIMHPSSRSPQNSGGRKSPVQASQATTLQEQTAAARGADRSSSVLGSSEGGFLSRVQADEFASSAPDSAERQNLPVNPPSSLEIAQAMDTKMKKEEVQEEKRHPKGKADDCRRSGFPSEFPGALHAAPSRQDMGP
+>DECOY_sp|Q12799|TCP10_HUMAN T-complex protein 10A homolog OS=Homo sapiens OX=9606 GN=TCP10 PE=2 SV=3
+PGMDQRSPAAHLAGPFESPFGSRRCDDAKGKPHRKEEQVEEKKMKTDMAQAIELSSPPNVPLNQREASDPASSAFEDAQVRSLFGGESSGLVSSSRDAGRAAATQEQLTTAQSAQVPSKRGGSNQPSRSSPHMIKGDESVGRREAGPTPRGTPAPSRDQRRGPPSKERDEQPPTKGSNIRETKLSMPKPPALHNSSWRQGLLTASQSKRGAYKPTTDEDASLHSIKGFAPELALTHSERGAHPSAAPKKGAEWQQRQLGRLEERLERNQKRLADMHSQLKRHIDAWLSQQRGLEQHLSTIQQQLSPMEGANSDERPVEAAAPTKEMAAGAGPCPDEPHTGEKPEGAELQGELM
+>sp|P53999|TCP4_HUMAN Activated RNA polymerase II transcriptional coactivator p15 OS=Homo sapiens OX=9606 GN=SUB1 PE=1 SV=3
+MPKSKELVSSSSSGSDSDSEVDKKLKRKKQVAPEKPVKKQKTGETSRALSSSKQSSSSRDDNMFQIGKMRYVSVRDFKGKVLIDIREYWMDPEGEMKPGRKGISLNPEQWSQLKEQISDIDDAVRKL
+>DECOY_sp|P53999|TCP4_HUMAN Activated RNA polymerase II transcriptional coactivator p15 OS=Homo sapiens OX=9606 GN=SUB1 PE=1 SV=3
+LKRVADDIDSIQEKLQSWQEPNLSIGKRGPKMEGEPDMWYERIDILVKGKFDRVSVYRMKGIQFMNDDRSSSSQKSSSLARSTEGTKQKKVPKEPAVQKKRKLKKDVESDSDSGSSSSSVLEKSKPM
+>sp|P48643|TCPE_HUMAN T-complex protein 1 subunit epsilon OS=Homo sapiens OX=9606 GN=CCT5 PE=1 SV=1
+MASMGTLAFDEYGRPFLIIKDQDRKSRLMGLEALKSHIMAAKAVANTMRTSLGPNGLDKMMVDKDGDVTVTNDGATILSMMDVDHQIAKLMVELSKSQDDEIGDGTTGVVVLAGALLEEAEQLLDRGIHPIRIADGYEQAARVAIEHLDKISDSVLVDIKDTEPLIQTAKTTLGSKVVNSCHRQMAEIAVNAVLTVADMERRDVDFELIKVEGKVGGRLEDTKLIKGVIVDKDFSHPQMPKKVEDAKIAILTCPFEPPKPKTKHKLDVTSVEDYKALQKYEKEKFEEMIQQIKETGANLAICQWGFDDEANHLLLQNNLPAVRWVGGPEIELIAIATGGRIVPRFSELTAEKLGFAGLVQEISFGTTKDKMLVIEQCKNSRAVTIFIRGGNKMIIEEAKRSLHDALCVIRNLIRDNRVVYGGGAAEISCALAVSQEADKCPTLEQYAMRAFADALEVIPMALSENSGMNPIQTMTEVRARQVKEMNPALGIDCLHKGTNDMKQQHVIETLIGKKQQISLATQMVRMILKIDDIRKPGESEE
+>DECOY_sp|P48643|TCPE_HUMAN T-complex protein 1 subunit epsilon OS=Homo sapiens OX=9606 GN=CCT5 PE=1 SV=1
+EESEGPKRIDDIKLIMRVMQTALSIQQKKGILTEIVHQQKMDNTGKHLCDIGLAPNMEKVQRARVETMTQIPNMGSNESLAMPIVELADAFARMAYQELTPCKDAEQSVALACSIEAAGGGYVVRNDRILNRIVCLADHLSRKAEEIIMKNGGRIFITVARSNKCQEIVLMKDKTTGFSIEQVLGAFGLKEATLESFRPVIRGGTAIAILEIEPGGVWRVAPLNNQLLLHNAEDDFGWQCIALNAGTEKIQQIMEEFKEKEYKQLAKYDEVSTVDLKHKTKPKPPEFPCTLIAIKADEVKKPMQPHSFDKDVIVGKILKTDELRGGVKGEVKILEFDVDRREMDAVTLVANVAIEAMQRHCSNVVKSGLTTKATQILPETDKIDVLVSDSIKDLHEIAVRAAQEYGDAIRIPHIGRDLLQEAEELLAGALVVVGTTGDGIEDDQSKSLEVMLKAIQHDVDMMSLITAGDNTVTVDGDKDVMMKDLGNPGLSTRMTNAVAKAAMIHSKLAELGMLRSKRDQDKIILFPRGYEDFALTGMSAM
+>sp|Q92526|TCPW_HUMAN T-complex protein 1 subunit zeta-2 OS=Homo sapiens OX=9606 GN=CCT6B PE=1 SV=5
+MAAIKAVNSKAEVARARAALAVNICAARGLQDVLRTNLGPKGTMKMLVSGAGDIKLTKDGNVLLDEMQIQHPTASLIAKVATAQDDVTGDGTTSNVLIIGELLKQADLYISEGLHPRIIAEGFEAAKIKALEVLEEVKVTKEMKRKILLDVARTSLQTKVHAELADVLTEVVVDSVLAVRRPGYPIDLFMVEIMEMKHKLGTDTKLIQGLVLDHGARHPDMKKRVEDAFILICNVSLEYEKTEVNSGFFYKTAEEKEKLVKAERKFIEDRVQKIIDLKDKVCAQSNKGFVVINQKGIDPFSLDSLAKHGIVALRRAKRRNMERLSLACGGMAVNSFEDLTVDCLGHAGLVYEYTLGEEKFTFIEECVNPCSVTLLVKGPNKHTLTQVKDAIRDGLRAIKNAIEDGCMVPGAGAIEVAMAEALVTYKNSIKGRARLGVQAFADALLIIPKVLAQNAGYDPQETLVKVQAEHVESKQLVGVDLNTGEPMVAADAGVWDNYCVKKQLLHSCTVIATNILLVDEIMRAGMSSLK
+>DECOY_sp|Q92526|TCPW_HUMAN T-complex protein 1 subunit zeta-2 OS=Homo sapiens OX=9606 GN=CCT6B PE=1 SV=5
+KLSSMGARMIEDVLLINTAIVTCSHLLQKKVCYNDWVGADAAVMPEGTNLDVGVLQKSEVHEAQVKVLTEQPDYGANQALVKPIILLADAFAQVGLRARGKISNKYTVLAEAMAVEIAGAGPVMCGDEIANKIARLGDRIADKVQTLTHKNPGKVLLTVSCPNVCEEIFTFKEEGLTYEYVLGAHGLCDVTLDEFSNVAMGGCALSLREMNRRKARRLAVIGHKALSDLSFPDIGKQNIVVFGKNSQACVKDKLDIIKQVRDEIFKREAKVLKEKEEATKYFFGSNVETKEYELSVNCILIFADEVRKKMDPHRAGHDLVLGQILKTDTGLKHKMEMIEVMFLDIPYGPRRVALVSDVVVETLVDALEAHVKTQLSTRAVDLLIKRKMEKTVKVEELVELAKIKAAEFGEAIIRPHLGESIYLDAQKLLEGIILVNSTTGDGTVDDQATAVKAILSATPHQIQMEDLLVNGDKTLKIDGAGSVLMKMTGKPGLNTRLVDQLGRAACINVALAARARAVEAKSNVAKIAAM
+>sp|O14776|TCRG1_HUMAN Transcription elongation regulator 1 OS=Homo sapiens OX=9606 GN=TCERG1 PE=1 SV=2
+MAERGGDGGESERFNPGELRMAQQQALRFRGPAPPPNAVMRGPPPLMRPPPPFGMMRGPPPPPRPPFGRPPFDPNMPPMPPPGGIPPPMGPPHLQRPPFMPPPMSSMPPPPGMMFPPGMPPVTAPGTPALPPTEEIWVENKTPDGKVYYYNARTRESAWTKPDGVKVIQQSELTPMLAAQAQVQAQAQAQAQAQAQAQAQAQAQAQAQAQAQAQAQAQAQAQAQAQAQAQAQAQAQAQAQAQVQAQVQAQVQAQAVGASTPTTSSPAPAVSTSTSSSTPSSTTSTTTTATSVAQTVSTPTTQDQTPSSAVSVATPTVSVSTPAPTATPVQTVPQPHPQTLPPAVPHSVPQPTTAIPAFPPVMVPPFRVPLPGMPIPLPGVAMMQIVSCPYVKTVATTKTGVLPGMAPPIVPMIHPQVAIAASPATLAGATAVSEWTEYKTADGKTYYYNNRTLESTWEKPQELKEKEKLEEKIKEPIKEPSEEPLPMETEEEDPKEEPIKEIKEEPKEEEMTEEEKAAQKAKPVATAPIPGTPWCVVWTGDERVFFYNPTTRLSMWDRPDDLIGRADVDKIIQEPPHKKGMEELKKLRHPTPTMLSIQKWQFSMSAIKEEQELMEEINEDEPVKAKKRKRDDNKDIDSEKEAAMEAEIKAARERAIVPLEARMKQFKDMLLERGVSAFSTWEKELHKIVFDPRYLLLNPKERKQVFDQYVKTRAEEERREKKNKIMQAKEDFKKMMEEAKFNPRATFSEFAAKHAKDSRFKAIEKMKDREALFNEFVAAARKKEKEDSKTRGEKIKSDFFELLSNHHLDSQSRWSKVKDKVESDPRYKAVDSSSMREDLFKQYIEKIAKNLDSEKEKELERQARIEASLREREREVQKARSEQTKEIDREREQHKREEAIQNFKALLSDMVRSSDVSWSDTRRTLRKDHRWESGSLLEREEKEKLFNEHIEALTKKKREHFRQLLDETSAITLTSTWKEVKKIIKEDPRCIKFSSSDRKKQREFEEYIRDKYITAKADFRTLLKETKFITYRSKKLIQESDQHLKDVEKILQNDKRYLVLDCVPEERRKLIVAYVDDLDRRGPPPPPTASEPTRRSTK
+>DECOY_sp|O14776|TCRG1_HUMAN Transcription elongation regulator 1 OS=Homo sapiens OX=9606 GN=TCERG1 PE=1 SV=2
+KTSRRTPESATPPPPPGRRDLDDVYAVILKRREEPVCDLVLYRKDNQLIKEVDKLHQDSEQILKKSRYTIFKTEKLLTRFDAKATIYKDRIYEEFERQKKRDSSSFKICRPDEKIIKKVEKWTSTLTIASTEDLLQRFHERKKKTLAEIHENFLKEKEERELLSGSEWRHDKRLTRRTDSWSVDSSRVMDSLLAKFNQIAEERKHQERERDIEKTQESRAKQVERERERLSAEIRAQRELEKEKESDLNKAIKEIYQKFLDERMSSSDVAKYRPDSEVKDKVKSWRSQSDLHHNSLLEFFDSKIKEGRTKSDEKEKKRAAAVFENFLAERDKMKEIAKFRSDKAHKAAFESFTARPNFKAEEMMKKFDEKAQMIKNKKERREEEARTKVYQDFVQKREKPNLLLYRPDFVIKHLEKEWTSFASVGRELLMDKFQKMRAELPVIARERAAKIEAEMAAEKESDIDKNDDRKRKKAKVPEDENIEEMLEQEEKIASMSFQWKQISLMTPTPHRLKKLEEMGKKHPPEQIIKDVDARGILDDPRDWMSLRTTPNYFFVREDGTWVVCWPTGPIPATAVPKAKQAAKEEETMEEEKPEEKIEKIPEEKPDEEETEMPLPEESPEKIPEKIKEELKEKEKLEQPKEWTSELTRNNYYYTKGDATKYETWESVATAGALTAPSAAIAVQPHIMPVIPPAMGPLVGTKTTAVTKVYPCSVIQMMAVGPLPIPMGPLPVRFPPVMVPPFAPIATTPQPVSHPVAPPLTQPHPQPVTQVPTATPAPTSVSVTPTAVSVASSPTQDQTTPTSVTQAVSTATTTTSTTSSPTSSSTSTSVAPAPSSTTPTSAGVAQAQVQAQVQAQVQAQAQAQAQAQAQAQAQAQAQAQAQAQAQAQAQAQAQAQAQAQAQAQAQAQAQAQAQAQVQAQAALMPTLESQQIVKVGDPKTWASERTRANYYYVKGDPTKNEVWIEETPPLAPTGPATVPPMGPPFMMGPPPPMSSMPPPMFPPRQLHPPGMPPPIGGPPPMPPMNPDFPPRGFPPRPPPPPGRMMGFPPPPRMLPPPGRMVANPPPAPGRFRLAQQQAMRLEGPNFRESEGGDGGREAM
+>sp|P57738|TCTA_HUMAN T-cell leukemia translocation-altered gene protein OS=Homo sapiens OX=9606 GN=TCTA PE=1 SV=1
+MAESWSGQALQALPATVLGALGSEFLREWEAQDMRVTLFKLLLLWLVLSLLGIQLAWGFYGNTVTGLYHRPGLGGQNGSTPDGSTHFPSWEMAANEPLKTHRE
+>DECOY_sp|P57738|TCTA_HUMAN T-cell leukemia translocation-altered gene protein OS=Homo sapiens OX=9606 GN=TCTA PE=1 SV=1
+ERHTKLPENAAMEWSPFHTSGDPTSGNQGGLGPRHYLGTVTNGYFGWALQIGLLSLVLWLLLLKFLTVRMDQAEWERLFESGLAGLVTAPLAQLAQGSWSEAM
+>sp|Q9NSE4|SYIM_HUMAN Isoleucine--tRNA ligase, mitochondrial OS=Homo sapiens OX=9606 GN=IARS2 PE=1 SV=2
+MRWGLRPRGPGAAALATARSLWGTPRLPCSPGWQGATKRLLVRSVSGASNHQPNSNSGRYRDTVLLPQTSFPMKLLGRQQPDTELEIQQKCGFSELYSWQRERKVKTEFCLHDGPPYANGDPHVGHALNKILKDIANRFHMMNGSKIHFVPGWDCHGLPIEIKVLSELGREAQNLSAMEIRKKARSFAKAAIEKQKSAFIRWGIMADWNNCYYTFDGKYEAKQLRTFYQMYDKGLVYRSYKPVFWSPSSRTALAEAELEYNPEHVSRSIYVKFPLLKPSPKLASLIDGSSPVSILVWTTQPWTIPANEAVCYMPESKYAVVKCSKSGDLYVLAADKVASVASTLETTFETISTLSGVDLENGTCSHPLIPDKASPLLPANHVTMAKGTGLVHTAPAHGMEDYGVASQHNLPMDCLVDEDGVFTDVAGPELQNKAVLEEGTDVVIKMLQTAKNLLKEEKLVHSYPYDWRTKKPVVIRASKQWFINITDIKTAAKELLKKVKFIPGSALNGMVEMMDRRPYWCISRQRVWGVPIPVFHHKTKDEYLINSQTTEHIVKLVEQHGSDIWWTLPPEQLLPKEVLSEVGGPDALEYVPGQDILDIWFDSGTSWSYVLPGPDQRADLYLEGKDQLGGWFQSSLLTSVAARKRAPYKTVIVHGFTLGEKGEKMSKSLGNVIHPDVVVNGGQDQSKEPPYGADVLRWWVADSNVFTEVAIGPSVLNAARDDISKLRNTLRFLLGNVADFNPETDSIPVNDMYVIDQYMLHLLQDLANKITELYKQYDFGKVVRLLRTFYTRELSNFYFSIIKDRLYCEKENDPKRRSCQTALVEILDVIVRSFAPILPHLAEEVFQHIPYIKEPKSVFRTGWISTSSIWKKPGLEEAVESACAMRDSFLGSIPGKNAAEYKVITVIEPGLLFEIIEMLQSEETSSTSQLNELMMASESTLLAQEPREMTADVIELKGKFLINLEGGDIREESSYKVIVMPTTKEKCPRCWKYTAESSDTLCPRCAEVVSGK
+>DECOY_sp|Q9NSE4|SYIM_HUMAN Isoleucine--tRNA ligase, mitochondrial OS=Homo sapiens OX=9606 GN=IARS2 PE=1 SV=2
+KGSVVEACRPCLTDSSEATYKWCRPCKEKTTPMVIVKYSSEERIDGGELNILFKGKLEIVDATMERPEQALLTSESAMMLENLQSTSSTEESQLMEIIEFLLGPEIVTIVKYEAANKGPISGLFSDRMACASEVAEELGPKKWISSTSIWGTRFVSKPEKIYPIHQFVEEALHPLIPAFSRVIVDLIEVLATQCSRRKPDNEKECYLRDKIISFYFNSLERTYFTRLLRVVKGFDYQKYLETIKNALDQLLHLMYQDIVYMDNVPISDTEPNFDAVNGLLFRLTNRLKSIDDRAANLVSPGIAVETFVNSDAVWWRLVDAGYPPEKSQDQGGNVVVDPHIVNGLSKSMKEGKEGLTFGHVIVTKYPARKRAAVSTLLSSQFWGGLQDKGELYLDARQDPGPLVYSWSTGSDFWIDLIDQGPVYELADPGGVESLVEKPLLQEPPLTWWIDSGHQEVLKVIHETTQSNILYEDKTKHHFVPIPVGWVRQRSICWYPRRDMMEVMGNLASGPIFKVKKLLEKAATKIDTINIFWQKSARIVVPKKTRWDYPYSHVLKEEKLLNKATQLMKIVVDTGEELVAKNQLEPGAVDTFVGDEDVLCDMPLNHQSAVGYDEMGHAPATHVLGTGKAMTVHNAPLLPSAKDPILPHSCTGNELDVGSLTSITEFTTELTSAVSAVKDAALVYLDGSKSCKVVAYKSEPMYCVAENAPITWPQTTWVLISVPSSGDILSALKPSPKLLPFKVYISRSVHEPNYELEAEALATRSSPSWFVPKYSRYVLGKDYMQYFTRLQKAEYKGDFTYYCNNWDAMIGWRIFASKQKEIAAKAFSRAKKRIEMASLNQAERGLESLVKIEIPLGHCDWGPVFHIKSGNMMHFRNAIDKLIKNLAHGVHPDGNAYPPGDHLCFETKVKRERQWSYLESFGCKQQIELETDPQQRGLLKMPFSTQPLLVTDRYRGSNSNPQHNSAGSVSRVLLRKTAGQWGPSCPLRPTGWLSRATALAAAGPGRPRLGWRM
+>sp|Q9P2J5|SYLC_HUMAN Leucine--tRNA ligase, cytoplasmic OS=Homo sapiens OX=9606 GN=LARS PE=1 SV=2
+MAERKGTAKVDFLKKIEKEIQQKWDTERVFEVNASNLEKQTSKGKYFVTFPYPYMNGRLHLGHTFSLSKCEFAVGYQRLKGKCCLFPFGLHCTGMPIKACADKLKREIELYGCPPDFPDEEEEEEETSVKTEDIIIKDKAKGKKSKAAAKAGSSKYQWGIMKSLGLSDEEIVKFSEAEHWLDYFPPLAIQDLKRMGLKVDWRRSFITTDVNPYYDSFVRWQFLTLRERNKIKFGKRYTIYSPKDGQPCMDHDRQTGEGVGPQEYTLLKLKVLEPYPSKLSGLKGKNIFLVAATLRPETMFGQTNCWVRPDMKYIGFETVNGDIFICTQKAARNMSYQGFTKDNGVVPVVKELMGEEILGASLSAPLTSYKVIYVLPMLTIKEDKGTGVVTSVPSDSPDDIAALRDLKKKQALRAKYGIRDDMVLPFEPVPVIEIPGFGNLSAVTICDELKIQSQNDREKLAEAKEKIYLKGFYEGIMLVDGFKGQKVQDVKKTIQKKMIDAGDALIYMEPEKQVMSRSSDECVVALCDQWYLDYGEENWKKQTSQCLKNLETFCEETRRNFEATLGWLQEHACSRTYGLGTHLPWDEQWLIESLSDSTIYMAFYTVAHLLQGGNLHGQAESPLGIRPQQMTKEVWDYVFFKEAPFPKTQIAKEKLDQLKQEFEFWYPVDLRVSGKDLVPNHLSYYLYNHVAMWPEQSDKWPTAVRANGHLLLNSEKMSKSTGNFLTLTQAIDKFSADGMRLALADAGDTVEDANFVEAMADAGILRLYTWVEWVKEMVANWDSLRSGPASTFNDRVFASELNAGIIKTDQNYEKMMFKEALKTGFFEFQAAKDKYRELAVEGMHRELVFRFIEVQTLLLAPFCPHLCEHIWTLLGKPDSIMNASWPVAGPVNEVLIHSSQYLMEVTHDLRLRLKNYMMPAKGKKTDKQPLQKPSHCTIYVAKNYPPWQHTTLSVLRKHFEANNGKLPDNKVIASELGSMPELKKYMKKVMPFVAMIKENLEKMGPRILDLQLEFDEKAVLMENIVYLTNSLELEHIEVKFASEAEDKIREDCCPGKPLNVFRIEPGVSVSLVNPQPSNGHFSTKIEIRQGDNCDSIIRRLMKMNRGIKDLSKVKLMRFDDPLLGPRRVPVLGKEYTEKTPISEHAVFNVDLMSKKIHLTENGIRVDIGDTIIYLVH
+>DECOY_sp|Q9P2J5|SYLC_HUMAN Leucine--tRNA ligase, cytoplasmic OS=Homo sapiens OX=9606 GN=LARS PE=1 SV=2
+HVLYIITDGIDVRIGNETLHIKKSMLDVNFVAHESIPTKETYEKGLVPVRRPGLLPDDFRMLKVKSLDKIGRNMKMLRRIISDCNDGQRIEIKTSFHGNSPQPNVLSVSVGPEIRFVNLPKGPCCDERIKDEAESAFKVEIHELELSNTLYVINEMLVAKEDFELQLDLIRPGMKELNEKIMAVFPMVKKMYKKLEPMSGLESAIVKNDPLKGNNAEFHKRLVSLTTHQWPPYNKAVYITCHSPKQLPQKDTKKGKAPMMYNKLRLRLDHTVEMLYQSSHILVENVPGAVPWSANMISDPKGLLTWIHECLHPCFPALLLTQVEIFRFVLERHMGEVALERYKDKAAQFEFFGTKLAEKFMMKEYNQDTKIIGANLESAFVRDNFTSAPGSRLSDWNAVMEKVWEVWTYLRLIGADAMAEVFNADEVTDGADALALRMGDASFKDIAQTLTLFNGTSKSMKESNLLLHGNARVATPWKDSQEPWMAVHNYLYYSLHNPVLDKGSVRLDVPYWFEFEQKLQDLKEKAIQTKPFPAEKFFVYDWVEKTMQQPRIGLPSEAQGHLNGGQLLHAVTYFAMYITSDSLSEILWQEDWPLHTGLGYTRSCAHEQLWGLTAEFNRRTEECFTELNKLCQSTQKKWNEEGYDLYWQDCLAVVCEDSSRSMVQKEPEMYILADGADIMKKQITKKVDQVKQGKFGDVLMIGEYFGKLYIKEKAEALKERDNQSQIKLEDCITVASLNGFGPIEIVPVPEFPLVMDDRIGYKARLAQKKKLDRLAAIDDPSDSPVSTVVGTGKDEKITLMPLVYIVKYSTLPASLSAGLIEEGMLEKVVPVVGNDKTFGQYSMNRAAKQTCIFIDGNVTEFGIYKMDPRVWCNTQGFMTEPRLTAAVLFINKGKLGSLKSPYPELVKLKLLTYEQPGVGEGTQRDHDMCPQGDKPSYITYRKGFKIKNRERLTLFQWRVFSDYYPNVDTTIFSRRWDVKLGMRKLDQIALPPFYDLWHEAESFKVIEEDSLGLSKMIGWQYKSSGAKAAAKSKKGKAKDKIIIDETKVSTEEEEEEEDPFDPPCGYLEIERKLKDACAKIPMGTCHLGFPFLCCKGKLRQYGVAFECKSLSFTHGLHLRGNMYPYPFTVFYKGKSTQKELNSANVEFVRETDWKQQIEKEIKKLFDVKATGKREAM
+>sp|Q92797|SYMPK_HUMAN Symplekin OS=Homo sapiens OX=9606 GN=SYMPK PE=1 SV=2
+MASGSGDSVTRRSVASQFFTQEEGPGIDGMTTSERVVDLLNQAALITNDSKITVLKQVQELIINKDPTLLDNFLDEIIAFQADKSIEVRKFVIGFIEEACKRDIELLLKLIANLNMLLRDENVNVVKKAILTMTQLYKVALQWMVKSRVISELQEACWDMVSAMAGDIILLLDSDNDGIRTHAIKFVEGLIVTLSPRMADSEIPRRQEHDISLDRIPRDHPYIQYNVLWEEGKAALEQLLKFMVHPAISSINLTTALGSLANIARQRPMFMSEVIQAYETLHANLPPTLAKSQVSSVRKNLKLHLLSVLKHPASLEFQAQITTLLVDLGTPQAEIARNMPSSKDTRKRPRDDSDSTLKKMKLEPNLGEDDEDKDLEPGPSGTSKASAQISGQSDTDITAEFLQPLLTPDNVANLVLISMVYLPEAMPASFQAIYTPVESAGTEAQIKHLARLMATQMTAAGLGPGVEQTKQCKEEPKEEKVVKTESVLIKRRLSAQGQAISVVGSLSSMSPLEEEAPQAKRRPEPIIPVTQPRLAGAGGRKKIFRLSDVLKPLTDAQVEAMKLGAVKRILRAEKAVACSGAAQVRIKILASLVTQFNSGLKAEVLSFILEDVRARLDLAFAWLYQEYNAYLAAGASGSLDKYEDCLIRLLSGLQEKPDQKDGIFTKVVLEAPLITESALEVVRKYCEDESRTYLGMSTLRDLIFKRPSRQFQYLHVLLDLSSHEKDKVRSQALLFIKRMYEKEQLREYVEKFALNYLQLLVHPNPPSVLFGADKDTEVAAPWTEETVKQCLYLYLALLPQNHKLIHELAAVYTEAIADIKRTVLRVIEQPIRGMGMNSPELLLLVENCPKGAETLVTRCLHSLTDKVPPSPELVKRVRDLYHKRLPDVRFLIPVLNGLEKKEVIQALPKLIKLNPIVVKEVFNRLLGTQHGEGNSALSPLNPGELLIALHNIDSVKCDMKSIIKATNLCFAERNVYTSEVLAVVMQQLMEQSPLPMLLMRTVIQSLTMYPRLGGFVMNILSRLIMKQVWKYPKVWEGFIKCCQRTKPQSFQVILQLPPQQLGAVFDKCPELREPLLAHVRSFTPHQQAHIPNSIMTILEASGKQEPEAKEAPAGPLEEDDLEPLTLAPAPAPRPPQDLIGLRLAQEKALKRQLEEEQKLKPGGVGAPSSSSPSPSPSARPGPPPSEEAMDFREEGPECETPGIFISMDDDSGLTEAALLDSSLEGPLPKETAAGGLTLKEERSPQTLAPVGEDAMKTPSPAAEDAREPEAKGNS
+>DECOY_sp|Q92797|SYMPK_HUMAN Symplekin OS=Homo sapiens OX=9606 GN=SYMPK PE=1 SV=2
+SNGKAEPERADEAAPSPTKMADEGVPALTQPSREEKLTLGGAATEKPLPGELSSDLLAAETLGSDDDMSIFIGPTECEPGEERFDMAEESPPPGPRASPSPSPSSSSPAGVGGPKLKQEEELQRKLAKEQALRLGILDQPPRPAPAPALTLPELDDEELPGAPAEKAEPEQKGSAELITMISNPIHAQQHPTFSRVHALLPERLEPCKDFVAGLQQPPLQLIVQFSQPKTRQCCKIFGEWVKPYKWVQKMILRSLINMVFGGLRPYMTLSQIVTRMLLMPLPSQEMLQQMVVALVESTYVNREAFCLNTAKIISKMDCKVSDINHLAILLEGPNLPSLASNGEGHQTGLLRNFVEKVVIPNLKILKPLAQIVEKKELGNLVPILFRVDPLRKHYLDRVRKVLEPSPPVKDTLSHLCRTVLTEAGKPCNEVLLLLEPSNMGMGRIPQEIVRLVTRKIDAIAETYVAALEHILKHNQPLLALYLYLCQKVTEETWPAAVETDKDAGFLVSPPNPHVLLQLYNLAFKEVYERLQEKEYMRKIFLLAQSRVKDKEHSSLDLLVHLYQFQRSPRKFILDRLTSMGLYTRSEDECYKRVVELASETILPAELVVKTFIGDKQDPKEQLGSLLRILCDEYKDLSGSAGAALYANYEQYLWAFALDLRARVDELIFSLVEAKLGSNFQTVLSALIKIRVQAAGSCAVAKEARLIRKVAGLKMAEVQADTLPKLVDSLRFIKKRGGAGALRPQTVPIIPEPRRKAQPAEEELPSMSSLSGVVSIAQGQASLRRKILVSETKVVKEEKPEEKCQKTQEVGPGLGAATMQTAMLRALHKIQAETGASEVPTYIAQFSAPMAEPLYVMSILVLNAVNDPTLLPQLFEATIDTDSQGSIQASAKSTGSPGPELDKDEDDEGLNPELKMKKLTSDSDDRPRKRTDKSSPMNRAIEAQPTGLDVLLTTIQAQFELSAPHKLVSLLHLKLNKRVSSVQSKALTPPLNAHLTEYAQIVESMFMPRQRAINALSGLATTLNISSIAPHVMFKLLQELAAKGEEWLVNYQIYPHDRPIRDLSIDHEQRRPIESDAMRPSLTVILGEVFKIAHTRIGDNDSDLLLIIDGAMASVMDWCAEQLESIVRSKVMWQLAVKYLQTMTLIAKKVVNVNEDRLLMNLNAILKLLLEIDRKCAEEIFGIVFKRVEISKDAQFAIIEDLFNDLLTPDKNIILEQVQKLVTIKSDNTILAAQNLLDVVRESTTMGDIGPGEEQTFFQSAVSRRTVSDGSGSAM
+>sp|O15056|SYNJ2_HUMAN Synaptojanin-2 OS=Homo sapiens OX=9606 GN=SYNJ2 PE=1 SV=3
+MALSKGLRLLGRLGAEGDCSVLLEARGRDDCLLFEAGTVATLAPEEKEVIKGQYGKLTDAYGCLGELRLKSGGTSLSFLVLVTGCTSVGRIPDAEIYKITATDFYPLQEEAKEEERLIALKKILSSGVFYFSWPNDGSRFDLTVRTQKQGDDSSEWGNSFFWNQLLHVPLRQHQVSCCDWLLKIICGVVTIRTVYASHKQAKACLVSRVSCERTGTRFHTRGVNDDGHVSNFVETEQMIYMDDGVSSFVQIRGSVPLFWEQPGLQVGSHHLRLHRGLEANAPAFDRHMVLLKEQYGQQVVVNLLGSRGGEEVLNRAFKKLLWASCHAGDTPMINFDFHQFAKGGKLEKLETLLRPQLKLHWEDFDVFTKGENVSPRFQKGTLRMNCLDCLDRTNTVQSFIALEVLHLQLKTLGLSSKPIVDRFVESFKAMWSLNGHSLSKVFTGSRALEGKAKVGKLKDGARSMSRTIQSNFFDGVKQEAIKLLLVGDVYGEEVADKGGMLLDSTALLVTPRILKAMTERQSEFTNFKRIRIAMGTWNVNGGKQFRSNVLRTAELTDWLLDSPQLSGATDSQDDSSPADIFAVGFEEMVELSAGNIVNASTTNKKMWGEQLQKAISRSHRYILLTSAQLVGVCLYIFVRPYHVPFIRDVAIDTVKTGMGGKAGNKGAVGIRFQFHSTSFCFICSHLTAGQSQVKERNEDYKEITQKLCFPMGRNVFSHDYVFWCGDFNYRIDLTYEEVFYFVKRQDWKKLLEFDQLQLQKSSGKIFKDFHEGAINFGPTYKYDVGSAAYDTSDKCRTPAWTDRVLWWRKKHPFDKTAGELNLLDSDLDVDTKVRHTWSPGALQYYGRAELQASDHRPVLAIVEVEVQEVDVGARERVFQEVSSFQGPLDATVVVNLQSPTLEEKNEFPEDLRTELMQTLGSYGTIVLVRINQGQMLVTFADSHSALSVLDVDGMKVKGRAVKIRPKTKDWLKGLREEIIRKRDSMAPVSPTANSCLLEENFDFTSLDYESEGDILEDDEDYLVDEFNQPGVSDSELGGDDLSDVPGPTALAPPSKSPALTKKKQHPTYKDDADLVELKRELEAVGEFRHRSPSRSLSVPNRPRPPQPPQRPPPPTGLMVKKSASDASISSGTHGQYSILQTARLLPGAPQQPPKARTGISKPYNVKQIKTTNAQEAEAAIRCLLEARGGASEEALSAVAPRDLEASSEPEPTPGAAKPETPQAPPLLPRRPPPRVPAIKKPTLRRTGKPLSPEEQFEQQTVHFTIGPPETSVEAPPVVTAPRVPPVPKPRTFQPGKAAERPSHRKPASDEAPPGAGASVPPPLEAPPLVPKVPPRRKKSAPAAFHLQVLQSNSQLLQGLTYNSSDSPSGHPPAAGTVFPQGDFLSTSSATSPDSDGTKAMKPEAAPLLGDYQDPFWNLLHHPKLLNNTWLSKSSDPLDSGTRSPKRDPIDPVSAGASAAKAELPPDHEHKTLGHWVTISDQEKRTALQVFDPLAKT
+>DECOY_sp|O15056|SYNJ2_HUMAN Synaptojanin-2 OS=Homo sapiens OX=9606 GN=SYNJ2 PE=1 SV=3
+TKALPDFVQLATRKEQDSITVWHGLTKHEHDPPLEAKAASAGASVPDIPDRKPSRTGSDLPDSSKSLWTNNLLKPHHLLNWFPDQYDGLLPAAEPKMAKTGDSDPSTASSTSLFDGQPFVTGAAPPHGSPSDSSNYTLGQLLQSNSQLVQLHFAAPASKKRRPPVKPVLPPAELPPPVSAGAGPPAEDSAPKRHSPREAAKGPQFTRPKPVPPVRPATVVPPAEVSTEPPGITFHVTQQEFQEEPSLPKGTRRLTPKKIAPVRPPPRRPLLPPAQPTEPKAAGPTPEPESSAELDRPAVASLAEESAGGRAELLCRIAAEAEQANTTKIQKVNYPKSIGTRAKPPQQPAGPLLRATQLISYQGHTGSSISADSASKKVMLGTPPPPRQPPQPPRPRNPVSLSRSPSRHRFEGVAELERKLEVLDADDKYTPHQKKKTLAPSKSPPALATPGPVDSLDDGGLESDSVGPQNFEDVLYDEDDELIDGESEYDLSTFDFNEELLCSNATPSVPAMSDRKRIIEERLGKLWDKTKPRIKVARGKVKMGDVDLVSLASHSDAFTVLMQGQNIRVLVITGYSGLTQMLETRLDEPFENKEELTPSQLNVVVTADLPGQFSSVEQFVRERAGVDVEQVEVEVIALVPRHDSAQLEARGYYQLAGPSWTHRVKTDVDLDSDLLNLEGATKDFPHKKRWWLVRDTWAPTRCKDSTDYAASGVDYKYTPGFNIAGEHFDKFIKGSSKQLQLQDFELLKKWDQRKVFYFVEEYTLDIRYNFDGCWFVYDHSFVNRGMPFCLKQTIEKYDENREKVQSQGATLHSCIFCFSTSHFQFRIGVAGKNGAKGGMGTKVTDIAVDRIFPVHYPRVFIYLCVGVLQASTLLIYRHSRSIAKQLQEGWMKKNTTSANVINGASLEVMEEFGVAFIDAPSSDDQSDTAGSLQPSDLLWDTLEATRLVNSRFQKGGNVNWTGMAIRIRKFNTFESQRETMAKLIRPTVLLATSDLLMGGKDAVEEGYVDGVLLLKIAEQKVGDFFNSQITRSMSRAGDKLKGVKAKGELARSGTFVKSLSHGNLSWMAKFSEVFRDVIPKSSLGLTKLQLHLVELAIFSQVTNTRDLCDLCNMRLTGKQFRPSVNEGKTFVDFDEWHLKLQPRLLTELKELKGGKAFQHFDFNIMPTDGAHCSAWLLKKFARNLVEEGGRSGLLNVVVQQGYQEKLLVMHRDFAPANAELGRHLRLHHSGVQLGPQEWFLPVSGRIQVFSSVGDDMYIMQETEVFNSVHGDDNVGRTHFRTGTRECSVRSVLCAKAQKHSAYVTRITVVGCIIKLLWDCCSVQHQRLPVHLLQNWFFSNGWESSDDGQKQTRVTLDFRSGDNPWSFYFVGSSLIKKLAILREEEKAEEQLPYFDTATIKYIEADPIRGVSTCGTVLVLFSLSTGGSKLRLEGLCGYADTLKGYQGKIVEKEEPALTAVTGAEFLLCDDRGRAELLVSCDGEAGLRGLLRLGKSLAM
+>sp|Q8TBG9|SYNPR_HUMAN Synaptoporin OS=Homo sapiens OX=9606 GN=SYNPR PE=1 SV=1
+MCMVIFAPLFAIFAFATCGGYSGGLRLSVDCVNKTESNLSIDIAFAYPFRLHQVTFEVPTCEGKERQKLALIGDSSSSAEFFVTVAVFAFLYSLAATVVYIFFQNKYRENNRGPLIDFIVTVVFSFLWLVGSSAWAKGLSDVKVATDPKEVLLLMSACKQPSNKCMAIHSPVMSSLNTSVVFGFLNFILWAGNIWFVFKETGWHSSGQRYLSDPMEKHSSSYNQGGYNQDSYGSSSGYSQQASLGPTSDEFGQQPTGPTSFTNQI
+>DECOY_sp|Q8TBG9|SYNPR_HUMAN Synaptoporin OS=Homo sapiens OX=9606 GN=SYNPR PE=1 SV=1
+IQNTFSTPGTPQQGFEDSTPGLSAQQSYGSSSGYSDQNYGGQNYSSSHKEMPDSLYRQGSSHWGTEKFVFWINGAWLIFNLFGFVVSTNLSSMVPSHIAMCKNSPQKCASMLLLVEKPDTAVKVDSLGKAWASSGVLWLFSFVVTVIFDILPGRNNERYKNQFFIYVVTAALSYLFAFVAVTVFFEASSSSDGILALKQREKGECTPVEFTVQHLRFPYAFAIDISLNSETKNVCDVSLRLGGSYGGCTAFAFIAFLPAFIVMCM
+>sp|Q5T160|SYRM_HUMAN Probable arginine--tRNA ligase, mitochondrial OS=Homo sapiens OX=9606 GN=RARS2 PE=1 SV=1
+MACGFRRAIACQLSRVLNLPPENLITSISAVPISQKEEVADFQLSVDSLLEKDNDHSRPDIQVQAKRLAEKLRCDTVVSEISTGQRTVNFKINRELLTKTVLQQVIEDGSKYGLKSELFSGLPQKKIVVEFSSPNVAKKFHVGHLRSTIIGNFIANLKEALGHQVIRINYLGDWGMQFGLLGTGFQLFGYEEKLQSNPLQHLFEVYVQVNKEAADDKSVAKAAQEFFQRLELGDVQALSLWQKFRDLSIEEYIRVYKRLGVYFDEYSGESFYREKSQEVLKLLESKGLLLKTIKGTAVVDLSGNGDPSSICTVMRSDGTSLYATRDLAAAIDRMDKYNFDTMIYVTDKGQKKHFQQVFQMLKIMGYDWAERCQHVPFGVVQGMKTRRGDVTFLEDVLNEIQLRMLQNMASIKTTKELKNPQETAERVGLAALIIQDFKGLLLSDYKFSWDRVFQSRGDTGVFLQYTHARLHSLEETFGCGYLNDFNTACLQEPQSVSILQHLLRFDEVLYKSSQDFQPRHIVSYLLTLSHLAAVAHKTLQIKDSPPEVAGARLHLFKAVRSVLANGMKLLGITPVCRM
+>DECOY_sp|Q5T160|SYRM_HUMAN Probable arginine--tRNA ligase, mitochondrial OS=Homo sapiens OX=9606 GN=RARS2 PE=1 SV=1
+MRCVPTIGLLKMGNALVSRVAKFLHLRAGAVEPPSDKIQLTKHAVAALHSLTLLYSVIHRPQFDQSSKYLVEDFRLLHQLISVSQPEQLCATNFDNLYGCGFTEELSHLRAHTYQLFVGTDGRSQFVRDWSFKYDSLLLGKFDQIILAALGVREATEQPNKLEKTTKISAMNQLMRLQIENLVDELFTVDGRRTKMGQVVGFPVHQCREAWDYGMIKLMQFVQQFHKKQGKDTVYIMTDFNYKDMRDIAAALDRTAYLSTGDSRMVTCISSPDGNGSLDVVATGKITKLLLGKSELLKLVEQSKERYFSEGSYEDFYVGLRKYVRIYEEISLDRFKQWLSLAQVDGLELRQFFEQAAKAVSKDDAAEKNVQVYVEFLHQLPNSQLKEEYGFLQFGTGLLGFQMGWDGLYNIRIVQHGLAEKLNAIFNGIITSRLHGVHFKKAVNPSSFEVVIKKQPLGSFLESKLGYKSGDEIVQQLVTKTLLERNIKFNVTRQGTSIESVVTDCRLKEALRKAQVQIDPRSHDNDKELLSDVSLQFDAVEEKQSIPVASISTILNEPPLNLVRSLQCAIARRFGCAM
+>sp|Q9BVX2|T106C_HUMAN Transmembrane protein 106C OS=Homo sapiens OX=9606 GN=TMEM106C PE=1 SV=1
+MGSQHSAAARPSSCRRKQEDDRDGLLAEREQEEAIAQFPYVEFTGRDSITCLTCQGTGYIPTEQVNELVALIPHSDQRLRPQRTKQYVLLSILLCLLASGLVVFFLFPHSVLVDDDGIKVVKVTFNKQDSLVILTIMATLKIRNSNFYTVAVTSLSSQIQYMNTVVSTYVTTNVSLIPPRSEQLVNFTGKAEMGGPFSYVYFFCTVPEILVHNIVIFMRTSVKISYIGLMTQSSLETHHYVDCGGNSTAI
+>DECOY_sp|Q9BVX2|T106C_HUMAN Transmembrane protein 106C OS=Homo sapiens OX=9606 GN=TMEM106C PE=1 SV=1
+IATSNGGCDVYHHTELSSQTMLGIYSIKVSTRMFIVINHVLIEPVTCFFYVYSFPGGMEAKGTFNVLQESRPPILSVNTTVYTSVVTNMYQIQSSLSTVAVTYFNSNRIKLTAMITLIVLSDQKNFTVKVVKIGDDDVLVSHPFLFFVVLGSALLCLLISLLVYQKTRQPRLRQDSHPILAVLENVQETPIYGTGQCTLCTISDRGTFEVYPFQAIAEEQEREALLGDRDDEQKRRCSSPRAAASHQSGM
+>sp|Q9Y5J6|T10B_HUMAN Mitochondrial import inner membrane translocase subunit Tim10 B OS=Homo sapiens OX=9606 GN=TIMM10B PE=1 SV=1
+MERQQQQQQQLRNLRDFLLVYNRMTELCFQRCVPSLHHRALDAEEEACLHSCAGKLIHSNHRLMAAYVQLMPALVQRRIADYEAASAVPGVAAEQPGVSPSGS
+>DECOY_sp|Q9Y5J6|T10B_HUMAN Mitochondrial import inner membrane translocase subunit Tim10 B OS=Homo sapiens OX=9606 GN=TIMM10B PE=1 SV=1
+SGSPSVGPQEAAVGPVASAAEYDAIRRQVLAPMLQVYAAMLRHNSHILKGACSHLCAEEEADLARHHLSPVCRQFCLETMRNYVLLFDRLNRLQQQQQQQREM
+>sp|Q9BXJ8|T120A_HUMAN Transmembrane protein 120A OS=Homo sapiens OX=9606 GN=TMEM120A PE=1 SV=1
+MQPPPPGPLGDCLRDWEDLQQDFQNIQETHRLYRLKLEELTKLQNNCTSSITRQKKRLQELALALKKCKPSLPAEAEGAAQELENQMKERQGLFFDMEAYLPKKNGLYLSLVLGNVNVTLLSKQAKFAYKDEYEKFKLYLTIILILISFTCRFLLNSRVTDAAFNFLLVWYYCTLTIRESILINNGSRIKGWWVFHHYVSTFLSGVMLTWPDGLMYQKFRNQFLSFSMYQSFVQFLQYYYQSGCLYRLRALGERHTMDLTVEGFQSWMWRGLTFLLPFLFFGHFWQLFNALTLFNLAQDPQCKEWQVLMCGFPFLLLFLGNFFTTLRVVHHKFHSQRHGSKKD
+>DECOY_sp|Q9BXJ8|T120A_HUMAN Transmembrane protein 120A OS=Homo sapiens OX=9606 GN=TMEM120A PE=1 SV=1
+DKKSGHRQSHFKHHVVRLTTFFNGLFLLLFPFGCMLVQWEKCQPDQALNFLTLANFLQWFHGFFLFPLLFTLGRWMWSQFGEVTLDMTHREGLARLRYLCGSQYYYQLFQVFSQYMSFSLFQNRFKQYMLGDPWTLMVGSLFTSVYHHFVWWGKIRSGNNILISERITLTCYYWVLLFNFAADTVRSNLLFRCTFSILILIITLYLKFKEYEDKYAFKAQKSLLTVNVNGLVLSLYLGNKKPLYAEMDFFLGQREKMQNELEQAAGEAEAPLSPKCKKLALALEQLRKKQRTISSTCNNQLKTLEELKLRYLRHTEQINQFDQQLDEWDRLCDGLPGPPPPQM
+>sp|A0PK00|T120B_HUMAN Transmembrane protein 120B OS=Homo sapiens OX=9606 GN=TMEM120B PE=1 SV=1
+MSGQLERCEREWHELEGEFQELQETHRIYKQKLEELAALQTLCSSSISKQKKHLKDLKLTLQRCKRHASREEAELVQQMAANIKERQDVFFDMEAYLPKKNGLYLNLVLGNVNVTLLSNQAKFAYKDEYEKFKLYLTIILLLGAVACRFVLHYRVTDEVFNFLLVWYYCTLTIRESILISNGSRIKGWWVSHHYVSTFLSGVMLTWPNGPIYQKFRNQFLAFSIFQSCVQFLQYYYQRGCLYRLRALGERNHLDLTVEGFQSWMWRGLTFLLPFLFCGHFWQLYNAVTLFELSSHEECREWQVFVLAFTFLILFLGNFLTTLKVVHAKLQKNRGKTKQP
+>DECOY_sp|A0PK00|T120B_HUMAN Transmembrane protein 120B OS=Homo sapiens OX=9606 GN=TMEM120B PE=1 SV=1
+PQKTKGRNKQLKAHVVKLTTLFNGLFLILFTFALVFVQWERCEEHSSLEFLTVANYLQWFHGCFLFPLLFTLGRWMWSQFGEVTLDLHNREGLARLRYLCGRQYYYQLFQVCSQFISFALFQNRFKQYIPGNPWTLMVGSLFTSVYHHSVWWGKIRSGNSILISERITLTCYYWVLLFNFVEDTVRYHLVFRCAVAGLLLIITLYLKFKEYEDKYAFKAQNSLLTVNVNGLVLNLYLGNKKPLYAEMDFFVDQREKINAAMQQVLEAEERSAHRKCRQLTLKLDKLHKKQKSISSSCLTQLAALEELKQKYIRHTEQLEQFEGELEHWERECRELQGSM
+>sp|Q24JP5|T132A_HUMAN Transmembrane protein 132A OS=Homo sapiens OX=9606 GN=TMEM132A PE=1 SV=1
+MCARMAGRTTAAPRGPYGPWLCLLVALALDVVRVDCGQAPLDPVYLPAALELLDAPEHFRVQQVGHYPPANSSLSSRSETFLLLQPWPRAQPLLRASYPPFATQQVVPPRVTEPHQRPVPWDVRAVSVEAAVTPAEPYARVLFHLKGQDWPPGSGSLPCARLHATHPAGTAHQACRFQPSLGACVVELELPSHWFSQASTTRAELAYTLEPAAEGPGGCGSGEENDPGEQALPVGGVELRPADPPQYQEVPLDEAVTLRVPDMPVRPGQLFSATLLLRHNFTASLLTLRIKVKKGLHVTAARPAQPTLWTAKLDRFKGSRHHTTLITCHRAGLTEPDSSPLELSEFLWVDFVVENSTGGGVAVTRPVTWQLEYPGQAPEAEKDKMVWEILVSERDIRALIPLAKAEELVNTAPLTGVPQHVPVRLVTVDGGGALVEVTEHVGCESANTQVLQVSEACDAVFVAGKESRGARGVRVDFWWRRLRASLRLTVWAPLLPLRIELTDTTLEQVRGWRVPGPAEGPAEPAAEASDEAERRARGCHLQYQRAGVRFLAPFAAHPLDGGRRLTHLLGPDWLLDVSHLVAPHARVLDSRVASLEGGRVVVGREPGVTSIEVRSPLSDSILGEQALAVTDDKVSVLELRVQPVMGISLTLSRGTAHPGEVTATCWAQSALPAPKQEVALSLWLSFSDHTVAPAELYDRRDLGLSVSAEEPGAILPAEEQGAQLGVVVSGAGAEGLPLHVALHPPEPCRRGRHRVPLASGTAWLGLPPASTPAPALPSSPAWSPPATEATMGGKRQVAGSVGGNTGVRGKFERAEEEARKEETEAREEEEEEEEEMVPAPQHVTELELGMYALLGVFCVAIFIFLVNGVVFVLRYQRKEPPDSATDPTSPQPHNWVWLGTDQEELSRQLDRQSPGPPKGEGSCPCESGGGGEAPTLAPGPPGGTTSSSSTLARKEAGGRRKRVEFVTFAPAPPAQSPEEPVGAPAVQSILVAGEEDIRWVCEDMGLKDPEELRNYMERIRGSS
+>DECOY_sp|Q24JP5|T132A_HUMAN Transmembrane protein 132A OS=Homo sapiens OX=9606 GN=TMEM132A PE=1 SV=1
+SSGRIREMYNRLEEPDKLGMDECVWRIDEEGAVLISQVAPAGVPEEPSQAPPAPAFTVFEVRKRRGGAEKRALTSSSSTTGGPPGPALTPAEGGGGSECPCSGEGKPPGPSQRDLQRSLEEQDTGLWVWNHPQPSTPDTASDPPEKRQYRLVFVVGNVLFIFIAVCFVGLLAYMGLELETVHQPAPVMEEEEEEEEERAETEEKRAEEEAREFKGRVGTNGGVSGAVQRKGGMTAETAPPSWAPSSPLAPAPTSAPPLGLWATGSALPVRHRGRRCPEPPHLAVHLPLGEAGAGSVVVGLQAGQEEAPLIAGPEEASVSLGLDRRDYLEAPAVTHDSFSLWLSLAVEQKPAPLASQAWCTATVEGPHATGRSLTLSIGMVPQVRLELVSVKDDTVALAQEGLISDSLPSRVEISTVGPERGVVVRGGELSAVRSDLVRAHPAVLHSVDLLWDPGLLHTLRRGGDLPHAAFPALFRVGARQYQLHCGRARREAEDSAEAAPEAPGEAPGPVRWGRVQELTTDTLEIRLPLLPAWVTLRLSARLRRWWFDVRVGRAGRSEKGAVFVADCAESVQLVQTNASECGVHETVEVLAGGGDVTVLRVPVHQPVGTLPATNVLEEAKALPILARIDRESVLIEWVMKDKEAEPAQGPYELQWTVPRTVAVGGGTSNEVVFDVWLFESLELPSSDPETLGARHCTILTTHHRSGKFRDLKATWLTPQAPRAATVHLGKKVKIRLTLLSATFNHRLLLTASFLQGPRVPMDPVRLTVAEDLPVEQYQPPDAPRLEVGGVPLAQEGPDNEEGSGCGGPGEAAPELTYALEARTTSAQSFWHSPLELEVVCAGLSPQFRCAQHATGAPHTAHLRACPLSGSGPPWDQGKLHFLVRAYPEAPTVAAEVSVARVDWPVPRQHPETVRPPVVQQTAFPPYSARLLPQARPWPQLLLFTESRSSLSSNAPPYHGVQQVRFHEPADLLELAAPLYVPDLPAQGCDVRVVDLALAVLLCLWPGYPGRPAATTRGAMRACM
+>sp|Q6IEE7|T132E_HUMAN Transmembrane protein 132E OS=Homo sapiens OX=9606 GN=TMEM132E PE=1 SV=1
+MAPGMSGRGGAALLCLSALLAHASGRSHPASPSPPGPQASPVLPVSYRLSHTRLAFFLREARPPSPAVANSSLQRSEPFVVFQTKELPVLNVSLGPFSTSQVVARELLQPSSTLDIPERLTVNWKVRAFIVRSHVPASQPVVQVLFYVAGRDWDDFGVTERLPCVRLHAFRDAREHPLLRIGSISLFRPPPRRTLQEHRLDSNLMIRLPDRPLKPGEVLSILLYLAPNSSSPSSPSVEHFTLRVKAKKGVTLLGTKSRSGQWHVTSELLTGAKHSTATVDVAWAQSTPLPPREGQGPLEILQLDFEMENFTSQSVKRRIMWHIDYRGHGALPDLERAVTELTVIQRDVQAILPLAMDTEIINTAILTGRTVAIPVKVIAIEVNGLVLDISALVECESDNEDIIKVSSSCDYVFVSGKESRGSMNARVTFRYDVLNAPLEMTVWVPKLPLHIELSDARLSQVKGWRVPILPDRRSVRESEDEDEEEEERRQSASRGCTLQYQHATLQVFTQFHTTSSEGTDQVVTMLGPDWLVEVTDLVSDFMRVGDPRVAHMVDSSTLAGLEPGTTPFKVVSPLTEAVLGETLLTVTEEKVSITQLQAQVVASLALSLRPSPGSSHTILATTAAQQTLSFLKQEALLSLWLSYSDGTTAPLSLYSPRDYGLLVSSLDEHVATVTQDRAFPLVVAEAEGSGELLRAELTIAESCQKTKRKSVLATTPVGLRVHFGRDEEDPTYDYPGPSQPGPGGGEDEARGAGPPGSALPAPEAPGPGTASPVVPPTEDFLPLPTGFLQVPRGLTDLEIGMYALLGVFCLAILVFLINCIVFVLRYRHKRIPPEGQTSMDHSHHWVFLGNGQPLRVQGELSPPAGNPLETVPAFCHGDHHSSGSSQTSVQSQVHGRGDGSSGGSARDQAEDPASSPTSKRKRVKFTTFTTLPSEELAYDSVPAGEEDEEEEEDLGWGCPDVAGPTRPTAPPDLHNYMRRIKEIA
+>DECOY_sp|Q6IEE7|T132E_HUMAN Transmembrane protein 132E OS=Homo sapiens OX=9606 GN=TMEM132E PE=1 SV=1
+AIEKIRRMYNHLDPPATPRTPGAVDPCGWGLDEEEEEDEEGAPVSDYALEESPLTTFTTFKVRKRKSTPSSAPDEAQDRASGGSSGDGRGHVQSQVSTQSSGSSHHDGHCFAPVTELPNGAPPSLEGQVRLPQGNGLFVWHHSHDMSTQGEPPIRKHRYRLVFVICNILFVLIALCFVGLLAYMGIELDTLGRPVQLFGTPLPLFDETPPVVPSATGPGPAEPAPLASGPPGAGRAEDEGGGPGPQSPGPYDYTPDEEDRGFHVRLGVPTTALVSKRKTKQCSEAITLEARLLEGSGEAEAVVLPFARDQTVTAVHEDLSSVLLGYDRPSYLSLPATTGDSYSLWLSLLAEQKLFSLTQQAATTALITHSSGPSPRLSLALSAVVQAQLQTISVKEETVTLLTEGLVAETLPSVVKFPTTGPELGALTSSDVMHAVRPDGVRMFDSVLDTVEVLWDPGLMTVVQDTGESSTTHFQTFVQLTAHQYQLTCGRSASQRREEEEEDEDESERVSRRDPLIPVRWGKVQSLRADSLEIHLPLKPVWVTMELPANLVDYRFTVRANMSGRSEKGSVFVYDCSSSVKIIDENDSECEVLASIDLVLGNVEIAIVKVPIAVTRGTLIATNIIETDMALPLIAQVDRQIVTLETVARELDPLAGHGRYDIHWMIRRKVSQSTFNEMEFDLQLIELPGQGERPPLPTSQAWAVDVTATSHKAGTLLESTVHWQGSRSKTGLLTVGKKAKVRLTFHEVSPSSPSSSNPALYLLISLVEGPKLPRDPLRIMLNSDLRHEQLTRRPPPRFLSISGIRLLPHERADRFAHLRVCPLRETVGFDDWDRGAVYFLVQVVPQSAPVHSRVIFARVKWNVTLREPIDLTSSPQLLERAVVQSTSFPGLSVNLVPLEKTQFVVFPESRQLSSNAVAPSPPRAERLFFALRTHSLRYSVPLVPSAQPGPPSPSAPHSRGSAHALLASLCLLAAGGRGSMGPAM
+>sp|Q8IW70|T151B_HUMAN Transmembrane protein 151B OS=Homo sapiens OX=9606 GN=TMEM151B PE=2 SV=2
+MSPPGSAAGESAAGGGGGGGGPGVSEELTAAAAAAAADEGPAREEQRPIQPSFTKSLCRESHWKCLLLSLLMYGCLGAVAWCHVTTVTRLTFSSAYQGNSLMYHDSPCSNGYVYIPLAFLLMLYAVYLVECWHCQARHELQHRVDVSSVRERVGRMQQATPCIWWKAISYHYVRRTRQVTRYRNGDAYTTTQVYHERVNTHVAEAEFDYARCGVRDVSKTLVGLEGAPATRLRFTKCFSFASVEAENAYLCQRARFFAENEGLDDYMEAREGMHLKNVDFREFMVAFPDPARPPWYACSSAFWAAALLTLSWPLRVLAEYRTAYAHYHVEKLFGLEGPGSASSAGGGLSPSDELLPPLTHRLPRVNTVDSTELEWHIRSNQQLVPSYSEAVLMDLAGLGTRCGGAGGGYAPSCRYGGVGGPGAAGVAPYRRSCEHCQRAVSSSSIFSRSALSICASPRAGPGPGGGAGCGGSRFSLGRLYGSRRSCLWRSRSGSVNEASCPTEQTRLSSQASMGDDEDDDEEEAGPPPPYHDALYFPVLIVHRQEGCLGHSHRPLHRHGSCVETSL
+>DECOY_sp|Q8IW70|T151B_HUMAN Transmembrane protein 151B OS=Homo sapiens OX=9606 GN=TMEM151B PE=2 SV=2
+LSTEVCSGHRHLPRHSHGLCGEQRHVILVPFYLADHYPPPPGAEEEDDDEDDGMSAQSSLRTQETPCSAENVSGSRSRWLCSRRSGYLRGLSFRSGGCGAGGGPGPGARPSACISLASRSFISSSSVARQCHECSRRYPAVGAAGPGGVGGYRCSPAYGGGAGGCRTGLGALDMLVAESYSPVLQQNSRIHWELETSDVTNVRPLRHTLPPLLEDSPSLGGGASSASGPGELGFLKEVHYHAYATRYEALVRLPWSLTLLAAAWFASSCAYWPPRAPDPFAVMFERFDVNKLHMGERAEMYDDLGENEAFFRARQCLYANEAEVSAFSFCKTFRLRTAPAGELGVLTKSVDRVGCRAYDFEAEAVHTNVREHYVQTTTYADGNRYRTVQRTRRVYHYSIAKWWICPTAQQMRGVRERVSSVDVRHQLEHRAQCHWCEVLYVAYLMLLFALPIYVYGNSCPSDHYMLSNGQYASSFTLRTVTTVHCWAVAGLCGYMLLSLLLCKWHSERCLSKTFSPQIPRQEERAPGEDAAAAAAAATLEESVGPGGGGGGGGAASEGAASGPPSM
+>sp|Q9NX61|T161A_HUMAN Transmembrane protein 161A OS=Homo sapiens OX=9606 GN=TMEM161A PE=1 SV=1
+MAVLGVQLVVTLLTATLMHRLAPHCSFARWLLCNGSLFRYKHPSEEELRALAGKPRPRGRKERWANGLSEEKPLSVPRDAPFQLETCPLTTVDALVLRFFLEYQWFVDFAVYSGGVYLFTEAYYYMLGPAKETNIAVFWCLLTVTFSIKMFLTVTRLYFSAEEGGERSVCLTFAFLFLLLAMLVQVVREETLELGLEPGLASMTQNLEPLLKKQGWDWALPVAKLAIRVGLAVVGSVLGAFLTFPGLRLAQTHRDALTMSEDRPMLQFLLHTSFLSPLFILWLWTKPIARDFLHQPPFGETRFSLLSDSAFDSGRLWLLVVLCLLRLAVTRPHLQAYLCLAKARVEQLRREAGRIEAREIQQRVVRVYCYVTVVSLQYLTPLILTLNCTLLLKTLGGYSWGLGPAPLLSPDPSSASAAPIGSGEDEVQQTAARIAGALGGLLTPLFLRGVLAYLIWWTAACQLLASLFGLYFHQHLAGS
+>DECOY_sp|Q9NX61|T161A_HUMAN Transmembrane protein 161A OS=Homo sapiens OX=9606 GN=TMEM161A PE=1 SV=1
+SGALHQHFYLGFLSALLQCAATWWILYALVGRLFLPTLLGGLAGAIRAATQQVEDEGSGIPAASASSPDPSLLPAPGLGWSYGGLTKLLLTCNLTLILPTLYQLSVVTVYCYVRVVRQQIERAEIRGAERRLQEVRAKALCLYAQLHPRTVALRLLCLVVLLWLRGSDFASDSLLSFRTEGFPPQHLFDRAIPKTWLWLIFLPSLFSTHLLFQLMPRDESMTLADRHTQALRLGPFTLFAGLVSGVVALGVRIALKAVPLAWDWGQKKLLPELNQTMSALGPELGLELTEERVVQVLMALLLFLFAFTLCVSREGGEEASFYLRTVTLFMKISFTVTLLCWFVAINTEKAPGLMYYYAETFLYVGGSYVAFDVFWQYELFFRLVLADVTTLPCTELQFPADRPVSLPKEESLGNAWREKRGRPRPKGALARLEEESPHKYRFLSGNCLLWRAFSCHPALRHMLTATLLTVVLQVGLVAM
+>sp|Q8WVE7|T170A_HUMAN Transmembrane protein 170A OS=Homo sapiens OX=9606 GN=TMEM170A PE=1 SV=1
+MEREGSGGSGGSAGLLQQILSLKVVPRVGNGTLCPNSTSLCSFPEMWYGVFLWALVSSLFFHVPAGLLALFTLRHHKYGRFMSVSILLMGIVGPITAGILTSAAIAGVYRAAGKEMIPFEALTLGTGQTFCVLVVSFLRILATL
+>DECOY_sp|Q8WVE7|T170A_HUMAN Transmembrane protein 170A OS=Homo sapiens OX=9606 GN=TMEM170A PE=1 SV=1
+LTALIRLFSVVLVCFTQGTGLTLAEFPIMEKGAARYVGAIAASTLIGATIPGVIGMLLISVSMFRGYKHHRLTFLALLGAPVHFFLSSVLAWLFVGYWMEPFSCLSTSNPCLTGNGVRPVVKLSLIQQLLGASGGSGGSGEREM
+>sp|Q3YBM2|T176B_HUMAN Transmembrane protein 176B OS=Homo sapiens OX=9606 GN=TMEM176B PE=1 SV=2
+MTQNTVIVNGVAMASRPSQPTHVNVHIHQESALTQLLKAGGSLKKFLFHPGDTVPSTARIGYEQLALGVTQILLGVVSCVLGVCLSLGPWTVLSASGCAFWAGSVVIAAGAGAIVHEKHPGKLAGYISSLLTLAGFATAMAAVVLCVNSFIWQTEPFLYIDTVCDRSDPVFPTTGYRWMRRSQENQWQKEECRAYMQMLRKLFTAIRALFLAVCVLKVIVSLVSLGVGLRNLCGQSSQPLNEEGSEKRLLGENSVPPSPSREQTSTAIVL
+>DECOY_sp|Q3YBM2|T176B_HUMAN Transmembrane protein 176B OS=Homo sapiens OX=9606 GN=TMEM176B PE=1 SV=2
+LVIATSTQERSPSPPVSNEGLLRKESGEENLPQSSQGCLNRLGVGLSVLSVIVKLVCVALFLARIATFLKRLMQMYARCEEKQWQNEQSRRMWRYGTTPFVPDSRDCVTDIYLFPETQWIFSNVCLVVAAMATAFGALTLLSSIYGALKGPHKEHVIAGAGAAIVVSGAWFACGSASLVTWPGLSLCVGLVCSVVGLLIQTVGLALQEYGIRATSPVTDGPHFLFKKLSGGAKLLQTLASEQHIHVNVHTPQSPRSAMAVGNVIVTNQTM
+>sp|P0C7N4|T191B_HUMAN Transmembrane protein 191B OS=Homo sapiens OX=9606 GN=TMEM191B PE=3 SV=2
+MCRATLGLPLPPIVIQPARRSLPPIVTPASRRLGPRGGRHLGSVSTAMAATQELLLQLQKDNRDGRQRKQELEKLMRGLEAESESLNQRLQDLSERERSLLRRRSQAAQPLQGEAREAARERAERVRRRLEEAERHKEDLEQHSRQLQEQWEELSSQLFYGGEPQSQKSTEQQLAAQLVTLQNELELAETKCALQEEKLQQDALQTAEAWAIFQEQTVVLQVRPHSDAKVPPASPPPDLGRCDGQLRGVQYSTESLMEEMARADRETRLFGGPRALAIRRCVLGALQVLLTLPLLFLGLSLLWTVLLDPGAVSAWLWSLTSETTLRRLRYTLSPLLELRANGLLPT
+>DECOY_sp|P0C7N4|T191B_HUMAN Transmembrane protein 191B OS=Homo sapiens OX=9606 GN=TMEM191B PE=3 SV=2
+TPLLGNARLELLPSLTYRLRRLTTESTLSWLWASVAGPDLLVTWLLSLGLFLLPLTLLVQLAGLVCRRIALARPGGFLRTERDARAMEEMLSETSYQVGRLQGDCRGLDPPPSAPPVKADSHPRVQLVVTQEQFIAWAEATQLADQQLKEEQLACKTEALELENQLTVLQAALQQETSKQSQPEGGYFLQSSLEEWQEQLQRSHQELDEKHREAEELRRRVREARERAAERAEGQLPQAAQSRRRLLSRERESLDQLRQNLSESEAELGRMLKELEQKRQRGDRNDKQLQLLLEQTAAMATSVSGLHRGGRPGLRRSAPTVIPPLSRRAPQIVIPPLPLGLTARCM
+>sp|P48775|T23O_HUMAN Tryptophan 2,3-dioxygenase OS=Homo sapiens OX=9606 GN=TDO2 PE=1 SV=1
+MSGCPFLGNNFGYTFKKLPVEGSEEDKSQTGVNRASKGGLIYGNYLHLEKVLNAQELQSETKGNKIHDEHLFIITHQAYELWFKQILWELDSVREIFQNGHVRDERNMLKVVSRMHRVSVILKLLVQQFSILETMTALDFNDFREYLSPASGFQSLQFRLLENKIGVLQNMRVPYNRRHYRDNFKGEENELLLKSEQEKTLLELVEAWLERTPGLEPHGFNFWGKLEKNITRGLEEEFIRIQAKEESEEKEEQVAEFQKQKEVLLSLFDEKRHEHLLSKGERRLSYRALQGALMIYFYREEPRFQVPFQLLTSLMDIDSLMTKWRYNHVCMVHRMLGSKAGTGGSSGYHYLRSTVSDRYKVFVDLFNLSTYLIPRHWIPKMNPTIHKFLYTAEYCDSSYFSSDESD
+>DECOY_sp|P48775|T23O_HUMAN Tryptophan 2,3-dioxygenase OS=Homo sapiens OX=9606 GN=TDO2 PE=1 SV=1
+DSEDSSFYSSDCYEATYLFKHITPNMKPIWHRPILYTSLNFLDVFVKYRDSVTSRLYHYGSSGGTGAKSGLMRHVMCVHNYRWKTMLSDIDMLSTLLQFPVQFRPEERYFYIMLAGQLARYSLRREGKSLLHEHRKEDFLSLLVEKQKQFEAVQEEKEESEEKAQIRIFEEELGRTINKELKGWFNFGHPELGPTRELWAEVLELLTKEQESKLLLENEEGKFNDRYHRRNYPVRMNQLVGIKNELLRFQLSQFGSAPSLYERFDNFDLATMTELISFQQVLLKLIVSVRHMRSVVKLMNREDRVHGNQFIERVSDLEWLIQKFWLEYAQHTIIFLHEDHIKNGKTESQLEQANLVKELHLYNGYILGGKSARNVGTQSKDEESGEVPLKKFTYGFNNGLFPCGSM
+>sp|P13984|T2FB_HUMAN General transcription factor IIF subunit 2 OS=Homo sapiens OX=9606 GN=GTF2F2 PE=1 SV=2
+MAERGELDLTGAKQNTGVWLVKVPKYLSQQWAKASGRGEVGKLRIAKTQGRTEVSFTLNEDLANIHDIGGKPASVSAPREHPFVLQSVGGQTLTVFTESSSDKLSLEGIVVQRAECRPAASENYMRLKRLQIEESSKPVRLSQQLDKVVTTNYKPVANHQYNIEYERKKKEDGKRARADKQHVLDMLFSAFEKHQYYNLKDLVDITKQPVVYLKEILKEIGVQNVKGIHKNTWELKPEYRHYQGEEKSD
+>DECOY_sp|P13984|T2FB_HUMAN General transcription factor IIF subunit 2 OS=Homo sapiens OX=9606 GN=GTF2F2 PE=1 SV=2
+DSKEEGQYHRYEPKLEWTNKHIGKVNQVGIEKLIEKLYVVPQKTIDVLDKLNYYQHKEFASFLMDLVHQKDARARKGDEKKKREYEINYQHNAVPKYNTTVVKDLQQSLRVPKSSEEIQLRKLRMYNESAAPRCEARQVVIGELSLKDSSSETFVTLTQGGVSQLVFPHERPASVSAPKGGIDHINALDENLTFSVETRGQTKAIRLKGVEGRGSAKAWQQSLYKPVKVLWVGTNQKAGTLDLEGREAM
+>sp|Q6P1K8|T2H2L_HUMAN General transcription factor IIH subunit 2-like protein OS=Homo sapiens OX=9606 GN=GTF2H2C PE=1 SV=1
+MDEEPERTKRWEGGYERTWEILKEDESGSLKATIEDILFKAKRKRVFEHHGQVRLGMMRHLYVVVDGSRTMEDQDLKPNRLTCTLKLLEYFVEEYFDQNPISQIGIIVTKSKRAEKLTELSGNPRKHITSLKEAVDMTCHGEPSLYNSLSMAMQTLKHMPGHTSREVLIIFSSLTTCDPSNIYDLIKTLKAAKIRVSVIGLSAEVRVCTVLARETGGTYHVILDESHYKELLTHHLSPPPASSSSECSLIRMGFPQHTIASLSDQDAKPSFSMAHLDGNTEPGLTLGGYFCPQCRAKYCELPVECKICGLTLVSAPHLARSYHHLFPLDAFQEIPLEEYNGERFCYGCQGELKDQHVYVCAVCQNVFCVDCDVFVHDSLHCCPGCIHKIPAPSGV
+>DECOY_sp|Q6P1K8|T2H2L_HUMAN General transcription factor IIH subunit 2-like protein OS=Homo sapiens OX=9606 GN=GTF2H2C PE=1 SV=1
+VGSPAPIKHICGPCCHLSDHVFVDCDVCFVNQCVACVYVHQDKLEGQCGYCFREGNYEELPIEQFADLPFLHHYSRALHPASVLTLGCIKCEVPLECYKARCQPCFYGGLTLGPETNGDLHAMSFSPKADQDSLSAITHQPFGMRILSCESSSSAPPPSLHHTLLEKYHSEDLIVHYTGGTERALVTCVRVEASLGIVSVRIKAAKLTKILDYINSPDCTTLSSFIILVERSTHGPMHKLTQMAMSLSNYLSPEGHCTMDVAEKLSTIHKRPNGSLETLKEARKSKTVIIGIQSIPNQDFYEEVFYELLKLTCTLRNPKLDQDEMTRSGDVVVYLHRMMGLRVQGHHEFVRKRKAKFLIDEITAKLSGSEDEKLIEWTREYGGEWRKTREPEEDM
+>sp|Q9NYV8|T2R14_HUMAN Taste receptor type 2 member 14 OS=Homo sapiens OX=9606 GN=TAS2R14 PE=1 SV=1
+MGGVIKSIFTFVLIVEFIIGNLGNSFIALVNCIDWVKGRKISSVDRILTALAISRISLVWLIFGSWCVSVFFPALFATEKMFRMLTNIWTVINHFSVWLATGLGTFYFLKIANFSNSIFLYLKWRVKKVVLVLLLVTSVFLFLNIALINIHINASINGYRRNKTCSSDSSNFTRFSSLIVLTSTVFIFIPFTLSLAMFLLLIFSMWKHRKKMQHTVKISGDASTKAHRGVKSVITFFLLYAIFSLSFFISVWTSERLEENLIILSQVMGMAYPSCHSCVLILGNKKLRQASLSVLLWLRYMFKDGEPSGHKEFRESS
+>DECOY_sp|Q9NYV8|T2R14_HUMAN Taste receptor type 2 member 14 OS=Homo sapiens OX=9606 GN=TAS2R14 PE=1 SV=1
+SSERFEKHGSPEGDKFMYRLWLLVSLSAQRLKKNGLILVCSHCSPYAMGMVQSLIILNEELRESTWVSIFFSLSFIAYLLFFTIVSKVGRHAKTSADGSIKVTHQMKKRHKWMSFILLLFMALSLTFPIFIFVTSTLVILSSFRTFNSSDSSCTKNRRYGNISANIHINILAINLFLFVSTVLLLVLVVKKVRWKLYLFISNSFNAIKLFYFTGLGTALWVSFHNIVTWINTLMRFMKETAFLAPFFVSVCWSGFILWVLSIRSIALATLIRDVSSIKRGKVWDICNVLAIFSNGLNGIIFEVILVFTFISKIVGGM
+>sp|P59542|T2R19_HUMAN Taste receptor type 2 member 19 OS=Homo sapiens OX=9606 GN=TAS2R19 PE=2 SV=1
+MMCFLLIISSILVVFAFVLGNVANGFIALVNVIDWVNTRKISSAEQILTALVVSRIGLLWVMLFLWYATVFNSALYGLEVRIVASNAWAVTNHFSMWLAASLSIFCLLKIANFSNLISLHLKKRIKSVVLVILLGPLVFLICNLAVITMDERVWTKEYEGNVTWKIKLRNAIHLSSLTVTTLANLIPFTLSLICFLLLICSLCKHLKKMRLHSKGSQDPSTKVHIKALQTVTSFLMLFAIYFLCIITSTWNLRTQQSKLVLLLCQTVAIMYPSFHSFILIMGSRKLKQTFLSVLWQMTR
+>DECOY_sp|P59542|T2R19_HUMAN Taste receptor type 2 member 19 OS=Homo sapiens OX=9606 GN=TAS2R19 PE=2 SV=1
+RTMQWLVSLFTQKLKRSGMILIFSHFSPYMIAVTQCLLLVLKSQQTRLNWTSTIICLFYIAFLMLFSTVTQLAKIHVKTSPDQSGKSHLRMKKLHKCLSCILLLFCILSLTFPILNALTTVTLSSLHIANRLKIKWTVNGEYEKTWVREDMTIVALNCILFVLPGLLIVLVVSKIRKKLHLSILNSFNAIKLLCFISLSAALWMSFHNTVAWANSAVIRVELGYLASNFVTAYWLFLMVWLLGIRSVVLATLIQEASSIKRTNVWDIVNVLAIFGNAVNGLVFAFVVLISSIILLFCMM
+>sp|P59540|T2R46_HUMAN Taste receptor type 2 member 46 OS=Homo sapiens OX=9606 GN=TAS2R46 PE=2 SV=2
+MITFLPIIFSILIVVTFVIGNFANGFIALVNSIEWFKRQKISFADQILTALAVSRVGLLWVLVLNWYATELNPAFNSIEVRITAYNVWAVINHFSNWLATSLSIFYLLKIANFSNLIFLHLKRRVKSVVLVILLGPLLFLVCHLFVINMNQIIWTKEYEGNMTWKIKLRSAMYLSNTTVTILANLVPFTLTLISFLLLICSLCKHLKKMQLHGKGSQDPSMKVHIKALQTVTSFLLLCAIYFLSIIMSVWSFESLENKPVFMFCEAIAFSYPSTHPFILIWGNKKLKQTFLSVLWHVRYWVKGEKPSSS
+>DECOY_sp|P59540|T2R46_HUMAN Taste receptor type 2 member 46 OS=Homo sapiens OX=9606 GN=TAS2R46 PE=2 SV=2
+SSSPKEGKVWYRVHWLVSLFTQKLKKNGWILIFPHTSPYSFAIAECFMFVPKNELSEFSWVSMIISLFYIACLLLFSTVTQLAKIHVKMSPDQSGKGHLQMKKLHKCLSCILLLFSILTLTFPVLNALITVTTNSLYMASRLKIKWTMNGEYEKTWIIQNMNIVFLHCVLFLLPGLLIVLVVSKVRRKLHLFILNSFNAIKLLYFISLSTALWNSFHNIVAWVNYATIRVEISNFAPNLETAYWNLVLVWLLGVRSVALATLIQDAFSIKQRKFWEISNVLAIFGNAFNGIVFTVVILISFIIPLFTIM
+>sp|Q9NYW7|TA2R1_HUMAN Taste receptor type 2 member 1 OS=Homo sapiens OX=9606 GN=TAS2R1 PE=1 SV=1
+MLESHLIIYFLLAVIQFLLGIFTNGIIVVVNGIDLIKHRKMAPLDLLLSCLAVSRIFLQLFIFYVNVIVIFFIEFIMCSANCAILLFINELELWLATWLGVFYCAKVASVRHPLFIWLKMRISKLVPWMILGSLLYVSMICVFHSKYAGFMVPYFLRKFFSQNATIQKEDTLAIQIFSFVAEFSVPLLIFLFAVLLLIFSLGRHTRQMRNTVAGSRVPGRGAPISALLSILSFLILYFSHCMIKVFLSSLKFHIRRFIFLFFILVIGIYPSGHSLILILGNPKLKQNAKKFLLHSKCCQ
+>DECOY_sp|Q9NYW7|TA2R1_HUMAN Taste receptor type 2 member 1 OS=Homo sapiens OX=9606 GN=TAS2R1 PE=1 SV=1
+QCCKSHLLFKKANQKLKPNGLILILSHGSPYIGIVLIFFLFIFRRIHFKLSSLFVKIMCHSFYLILFSLISLLASIPAGRGPVRSGAVTNRMQRTHRGLSFILLLVAFLFILLPVSFEAVFSFIQIALTDEKQITANQSFFKRLFYPVMFGAYKSHFVCIMSVYLLSGLIMWPVLKSIRMKLWIFLPHRVSAVKACYFVGLWTALWLELENIFLLIACNASCMIFEIFFIVIVNVYFIFLQLFIRSVALCSLLLDLPAMKRHKILDIGNVVVIIGNTFIGLLFQIVALLFYIILHSELM
+>sp|Q9Y3F1|TA6P_HUMAN Putative TAP2-associated 6.5 kDa polypeptide OS=Homo sapiens OX=9606 PE=5 SV=1
+MSLLWTPQILTISFVSYILSLFPSPFPSCYTSCWFETSITTEKELNQYFELAKFLA
+>DECOY_sp|Q9Y3F1|TA6P_HUMAN Putative TAP2-associated 6.5 kDa polypeptide OS=Homo sapiens OX=9606 PE=5 SV=1
+ALFKALEFYQNLEKETTISTEFWCSTYCSPFPSPFLSLIYSVFSITLIQPTWLLSM
+>sp|Q96RI8|TAAR6_HUMAN Trace amine-associated receptor 6 OS=Homo sapiens OX=9606 GN=TAAR6 PE=2 SV=1
+MSSNSSLLVAVQLCYANVNGSCVKIPFSPGSRVILYIVFGFGAVLAVFGNLLVMISILHFKQLHSPTNFLVASLACADFLVGVTVMPFSMVRTVESCWYFGRSFCTFHTCCDVAFCYSSLFHLCFISIDRYIAVTDPLVYPTKFTVSVSGICISVSWILPLMYSGAVFYTGVYDDGLEELSDALNCIGGCQTVVNQNWVLTDFLSFFIPTFIMIILYGNIFLVARRQAKKIENTGSKTESSSESYKARVARRERKAAKTLGVTVVAFMISWLPYSIDSLIDAFMGFITPACIYEICCWCAYYNSAMNPLIYALFYPWFRKAIKVIVTGQVLKNSSATMNLFSEHI
+>DECOY_sp|Q96RI8|TAAR6_HUMAN Trace amine-associated receptor 6 OS=Homo sapiens OX=9606 GN=TAAR6 PE=2 SV=1
+IHESFLNMTASSNKLVQGTVIVKIAKRFWPYFLAYILPNMASNYYACWCCIEYICAPTIFGMFADILSDISYPLWSIMFAVVTVGLTKAAKRERRAVRAKYSESSSETKSGTNEIKKAQRRAVLFINGYLIIMIFTPIFFSLFDTLVWNQNVVTQCGGICNLADSLEELGDDYVGTYFVAGSYMLPLIWSVSICIGSVSVTFKTPYVLPDTVAIYRDISIFCLHFLSSYCFAVDCCTHFTCFSRGFYWCSEVTRVMSFPMVTVGVLFDACALSAVLFNTPSHLQKFHLISIMVLLNGFVALVAGFGFVIYLIVRSGPSFPIKVCSGNVNAYCLQVAVLLSSNSSM
+>sp|Q969N4|TAAR8_HUMAN Trace amine-associated receptor 8 OS=Homo sapiens OX=9606 GN=TAAR8 PE=2 SV=1
+MTSNFSQPVVQLCYEDVNGSCIETPYSPGSRVILYTAFSFGSLLAVFGNLLVMTSVLHFKQLHSPTNFLIASLACADFLVGVTVMLFSMVRTVESCWYFGAKFCTLHSCCDVAFCYSSVLHLCFICIDRYIVVTDPLVYATKFTVSVSGICISVSWILPLTYSGAVFYTGVNDDGLEELVSALNCVGGCQIIVSQGWVLIDFLLFFIPTLVMIILYSKIFLIAKQQAIKIETTSSKVESSSESYKIRVAKRERKAAKTLGVTVLAFVISWLPYTVDILIDAFMGFLTPAYIYEICCWSAYYNSAMNPLIYALFYPWFRKAIKLILSGDVLKASSSTISLFLE
+>DECOY_sp|Q969N4|TAAR8_HUMAN Trace amine-associated receptor 8 OS=Homo sapiens OX=9606 GN=TAAR8 PE=2 SV=1
+ELFLSITSSSAKLVDGSLILKIAKRFWPYFLAYILPNMASNYYASWCCIEYIYAPTLFGMFADILIDVTYPLWSIVFALVTVGLTKAAKRERKAVRIKYSESSSEVKSSTTEIKIAQQKAILFIKSYLIIMVLTPIFFLLFDILVWGQSVIIQCGGVCNLASVLEELGDDNVGTYFVAGSYTLPLIWSVSICIGSVSVTFKTAYVLPDTVVIYRDICIFCLHLVSSYCFAVDCCSHLTCFKAGFYWCSEVTRVMSFLMVTVGVLFDACALSAILFNTPSHLQKFHLVSTMVLLNGFVALLSGFSFATYLIVRSGPSYPTEICSGNVDEYCLQVVPQSFNSTM
+>sp|Q8N5C8|TAB3_HUMAN TGF-beta-activated kinase 1 and MAP3K7-binding protein 3 OS=Homo sapiens OX=9606 GN=TAB3 PE=1 SV=3
+MAQSSPQLDIQVLHDLRQRFPEIPEGVVSQCMLQNNNNLEACCRALSQESSKYLYMEYHSPDDNRMNRNRLLHINLGIHSPSSYHPGDGAQLNGGRTLVHSSSDGHIDPQHAAGKQLICLVQEPHSAPAVVAATPNYNPFFMNEQNRSAATPPSQPPQQPSSMQTGMNPSAMQGPSPPPPPPSYMHIPRYSTNPITVTVSQNLPSGQTVPRALQILPQIPSNLYGSPGSIYIRQTSQSSSGRQTPQSTPWQSSPQGPVPHYSQRPLPVYPHQQNYQPSQYSPKQQQIPQSAYHSPPPSQCPSPFSSPQHQVQPSQLGHIFMPPSPSTTPPHPYQQGPPSYQKQGSHSVAYLPYTASSLSKGSMKKIEITVEPSQRPGTAINRSPSPISNQPSPRNQHSLYTATTPPSSSPSRGISSQPKPPFSVNPVYITYTQPTGPSCTPSPSPRVIPNPTTVFKITVGRATTENLLNLVDQEERSAAPEPIQPISVIPGSGGEKGSHKYQRSSSSGSDDYAYTQALLLHQRARMERLAKQLKLEKEELERLKSEVNGMEHDLMQRRLRRVSCTTAIPTPEEMTRLRSMNRQLQINVDCTLKEVDLLQSRGNFDPKAMNNFYDNIEPGPVVPPKPSKKDSSDPCTIERKARRISVTSKVQADIHDTQAAAADEHRTGSTQSPRTQPRDEDYEGAPWNCDSCTFLNHPALNRCEQCEMPRYT
+>DECOY_sp|Q8N5C8|TAB3_HUMAN TGF-beta-activated kinase 1 and MAP3K7-binding protein 3 OS=Homo sapiens OX=9606 GN=TAB3 PE=1 SV=3
+TYRPMECQECRNLAPHNLFTCSDCNWPAGEYDEDRPQTRPSQTSGTRHEDAAAAQTDHIDAQVKSTVSIRRAKREITCPDSSDKKSPKPPVVPGPEINDYFNNMAKPDFNGRSQLLDVEKLTCDVNIQLQRNMSRLRTMEEPTPIATTCSVRRLRRQMLDHEMGNVESKLRELEEKELKLQKALREMRARQHLLLAQTYAYDDSGSSSSRQYKHSGKEGGSGPIVSIPQIPEPAASREEQDVLNLLNETTARGVTIKFVTTPNPIVRPSPSPTCSPGTPQTYTIYVPNVSFPPKPQSSIGRSPSSSPPTTATYLSHQNRPSPQNSIPSPSRNIATGPRQSPEVTIEIKKMSGKSLSSATYPLYAVSHSGQKQYSPPGQQYPHPPTTSPSPPMFIHGLQSPQVQHQPSSFPSPCQSPPPSHYASQPIQQQKPSYQSPQYNQQHPYVPLPRQSYHPVPGQPSSQWPTSQPTQRGSSSQSTQRIYISGPSGYLNSPIQPLIQLARPVTQGSPLNQSVTVTIPNTSYRPIHMYSPPPPPPSPGQMASPNMGTQMSSPQQPPQSPPTAASRNQENMFFPNYNPTAAVVAPASHPEQVLCILQKGAAHQPDIHGDSSSHVLTRGGNLQAGDGPHYSSPSHIGLNIHLLRNRNMRNDDPSHYEMYLYKSSEQSLARCCAELNNNNQLMCQSVVGEPIEPFRQRLDHLVQIDLQPSSQAM
+>sp|Q15572|TAF1C_HUMAN TATA box-binding protein-associated factor RNA polymerase I subunit C OS=Homo sapiens OX=9606 GN=TAF1C PE=1 SV=2
+MDFPSSLRPALFLTGPLGLSDVPDLSFMCSWRDALTLPEAQPQNSENGALHVTKDLLWEPATPGPLPMLPPLIDPWDPGLTARDLLFRGGCRYRKRPRVVLDVTEQISRFLLDHGDVAFAPLGKLMLENFKLEGAGSRTKKKTVVSVKKLLQDLGGHQPWGCPWAYLSNRQRRFSILGGPILGTSVASHLAELLHEELVLRWEQLLLDEACTGGALAWVPGRTPQFGQLVYPAGGAQDRLHFQEVVLTPGDNPQFLGKPGRIQLQGPVRQVVTCTVQGESKALIYTFLPHWLTCYLTPGPFHPSSALLAVRSDYHCAVWKFGKQWQPTLLQAMQVEKGATGISLSPHLPGELAICSRSGAVCLWSPEDGLRQIYRDPETLVFRDSSSWRWADFTAHPRVLTVGDRTGVKMLDTQGPPGCGLLLFRLGAEASCQKGERVLLTQYLGHSSPKCLPPTLHLVCTQFSLYLVDERLPLVPMLKWNHGLPSPLLLARLLPPPRPSCVQPLLLGGQGGQLQLLHLAGEGASVPRLAGPPQSLPSRIDSLPAFPLLEPKIQWRLQERLKAPTIGLAAVVPPLPSAPTPGLVLFQLSAAGDVFYQQLRPQVDSSLRRDAGPPGDTQPDCHAPTASWTSQDTAGCSQWLKALLKVPLAPPVWTAPTFTHRQMLGSTELRREEEEGQRLGVLRKAMARGQLLLQRDLGSLPAAEPPPAPESGLEDKLSERLGEAWAGRGAAWWERQQGRTSEPGRQTRRPKRRTQLSSSFSLSGHVDPSEDTSSPHSPEWPPADALPLPPTTPPSQELTPDACAQGVPSEQRQMLRDYMAKLPPQRDTPGCATTPPHSQASSVRATRSQQHTPVLSSSQPLRKKPRMGF
+>DECOY_sp|Q15572|TAF1C_HUMAN TATA box-binding protein-associated factor RNA polymerase I subunit C OS=Homo sapiens OX=9606 GN=TAF1C PE=1 SV=2
+FGMRPKKRLPQSSSLVPTHQQSRTARVSSAQSHPPTTACGPTDRQPPLKAMYDRLMQRQESPVGQACADPTLEQSPPTTPPLPLADAPPWEPSHPSSTDESPDVHGSLSFSSSLQTRRKPRRTQRGPESTRGQQREWWAAGRGAWAEGLRESLKDELGSEPAPPPEAAPLSGLDRQLLLQGRAMAKRLVGLRQGEEEERRLETSGLMQRHTFTPATWVPPALPVKLLAKLWQSCGATDQSTWSATPAHCDPQTDGPPGADRRLSSDVQPRLQQYFVDGAASLQFLVLGPTPASPLPPVVAALGITPAKLREQLRWQIKPELLPFAPLSDIRSPLSQPPGALRPVSAGEGALHLLQLQGGQGGLLLPQVCSPRPPPLLRALLLPSPLGHNWKLMPVLPLREDVLYLSFQTCVLHLTPPLCKPSSHGLYQTLLVREGKQCSAEAGLRFLLLGCGPPGQTDLMKVGTRDGVTLVRPHATFDAWRWSSSDRFVLTEPDRYIQRLGDEPSWLCVAGSRSCIALEGPLHPSLSIGTAGKEVQMAQLLTPQWQKGFKWVACHYDSRVALLASSPHFPGPTLYCTLWHPLFTYILAKSEGQVTCTVVQRVPGQLQIRGPKGLFQPNDGPTLVVEQFHLRDQAGGAPYVLQGFQPTRGPVWALAGGTCAEDLLLQEWRLVLEEHLLEALHSAVSTGLIPGGLISFRRQRNSLYAWPCGWPQHGGLDQLLKKVSVVTKKKTRSGAGELKFNELMLKGLPAFAVDGHDLLFRSIQETVDLVVRPRKRYRCGGRFLLDRATLGPDWPDILPPLMPLPGPTAPEWLLDKTVHLAGNESNQPQAEPLTLADRWSCMFSLDPVDSLGLPGTLFLAPRLSSPFDM
+>sp|Q5VWG9|TAF3_HUMAN Transcription initiation factor TFIID subunit 3 OS=Homo sapiens OX=9606 GN=TAF3 PE=1 SV=1
+MCESYSRSLLRVSVAQICQALGWDSVQLSACHLLTDVLQRYLQQLGRGCHRYSELYGRTDPILDDVGEAFQLMGVSLHELEDYIHNIEPVTFPHQIPSFPVSKNNVLQFPQPGSKDAEERKEYIPDYLPPIVSSQEEEEEEQVPTDGGTSAEAMQVPLEEDDELEEEEIINDENFLGKRPLDSPEAEELPAMKRPRLLSTKGDTLDVVLLEAREPLSSINTQKIPPMLSPVHVQDSTDLAPPSPEPPMLAPVAKSQMPTAKPLETKSFTPKTKTKTSSPGQKTKSPKTAQSPAMVGSPIRSPKTVSKEKKSPGRSKSPKSPKSPKVTTHIPQTPVRPETPNRTPSATLSEKISKETIQVKQIQTPPDAGKLNSENQPKKAVVADKTIEASIDAVIARACAEREPDPFEFSSGSESEGDIFTSPKRISGPECTTPKASTSANNFTKSGSTPLPLSGGTSSSDNSWTMDASIDEVVRKAKLGTPSNMPPNFPYISSPSVSPPTPEPLHKVYEEKTKLPSSVEVKKKLKKELKTKMKKKEKQRDREREKDKNKDKSKEKDKVKEKEKDKETGRETKYPWKEFLKEEEADPYKFKIKEFEDVDPKVKLKDGLVRKEKEKHKDKKKDREKGKKDKDKREKEKVKDKGREDKMKAPAPPLVLPPKELALPLFSPATASRVPAMLPSLLPVLPEKLFEEKEKVKEKEKKKDKKEKKKKKEKEKEKKEKEREKEKREREKREKEKEKHKHEKIKVEPVALAPSPVIPRLTLRVGAGQDKIVISKVVPAPEAKPAPSQNRPKTPPPAPAPAPGPMLVSPAPVPLPLLAQAAAGPALLPSPGPAASGASAKAPVRSVVTETVSTYVIRDEWGNQIWICPGCNKPDDGSPMIGCDDCDDWYHWPCVGIMTAPPEEMQWFCPKCANKKKDKKHKKRKHRAH
+>DECOY_sp|Q5VWG9|TAF3_HUMAN Transcription initiation factor TFIID subunit 3 OS=Homo sapiens OX=9606 GN=TAF3 PE=1 SV=1
+HARHKRKKHKKDKKKNACKPCFWQMEEPPATMIGVCPWHYWDDCDDCGIMPSGDDPKNCGPCIWIQNGWEDRIVYTSVTETVVSRVPAKASAGSAAPGPSPLLAPGAAAQALLPLPVPAPSVLMPGPAPAPAPPPTKPRNQSPAPKAEPAPVVKSIVIKDQGAGVRLTLRPIVPSPALAVPEVKIKEHKHKEKEKERKERERKEKEREKEKKEKEKEKKKKKEKKDKKKEKEKVKEKEEFLKEPLVPLLSPLMAPVRSATAPSFLPLALEKPPLVLPPAPAKMKDERGKDKVKEKERKDKDKKGKERDKKKDKHKEKEKRVLGDKLKVKPDVDEFEKIKFKYPDAEEEKLFEKWPYKTERGTEKDKEKEKVKDKEKSKDKNKDKERERDRQKEKKKMKTKLEKKLKKKVEVSSPLKTKEEYVKHLPEPTPPSVSPSSIYPFNPPMNSPTGLKAKRVVEDISADMTWSNDSSSTGGSLPLPTSGSKTFNNASTSAKPTTCEPGSIRKPSTFIDGESESGSSFEFPDPEREACARAIVADISAEITKDAVVAKKPQNESNLKGADPPTQIQKVQITEKSIKESLTASPTRNPTEPRVPTQPIHTTVKPSKPSKPSKSRGPSKKEKSVTKPSRIPSGVMAPSQATKPSKTKQGPSSTKTKTKPTFSKTELPKATPMQSKAVPALMPPEPSPPALDTSDQVHVPSLMPPIKQTNISSLPERAELLVVDLTDGKTSLLRPRKMAPLEEAEPSDLPRKGLFNEDNIIEEEELEDDEELPVQMAEASTGGDTPVQEEEEEEQSSVIPPLYDPIYEKREEADKSGPQPFQLVNNKSVPFSPIQHPFTVPEINHIYDELEHLSVGMLQFAEGVDDLIPDTRGYLESYRHCGRGLQQLYRQLVDTLLHCASLQVSDWGLAQCIQAVSVRLLSRSYSECM
+>sp|Q16594|TAF9_HUMAN Transcription initiation factor TFIID subunit 9 OS=Homo sapiens OX=9606 GN=TAF9 PE=1 SV=1
+MESGKTASPKSMPKDAQMMAQILKDMGITEYEPRVINQMLEFAFRYVTTILDDAKIYSSHAKKATVDADDVRLAIQCRADQSFTSPPPRDFLLDIARQRNQTPLPLIKPYSGPRLPPDRYCLTAPNYRLKSLQKKASTSAGRITVPRLSVGSVTSRPSTPTLGTPTPQTMSVSTKVGTPMSLTGQRFTVQMPTSQSPAVKASIPATSAVQNVLINPSLIGSKNILITTNMMSSQNTANESSNALKRKREDDDDDDDDDDDYDNL
+>DECOY_sp|Q16594|TAF9_HUMAN Transcription initiation factor TFIID subunit 9 OS=Homo sapiens OX=9606 GN=TAF9 PE=1 SV=1
+LNDYDDDDDDDDDDDERKRKLANSSENATNQSSMMNTTILINKSGILSPNILVNQVASTAPISAKVAPSQSTPMQVTFRQGTLSMPTGVKTSVSMTQPTPTGLTPTSPRSTVSGVSLRPVTIRGASTSAKKQLSKLRYNPATLCYRDPPLRPGSYPKILPLPTQNRQRAIDLLFDRPPPSTFSQDARCQIALRVDDADVTAKKAHSSYIKADDLITTVYRFAFELMQNIVRPEYETIGMDKLIQAMMQADKPMSKPSATKGSEM
+>sp|Q9UI15|TAGL3_HUMAN Transgelin-3 OS=Homo sapiens OX=9606 GN=TAGLN3 PE=1 SV=2
+MANRGPSYGLSREVQEKIEQKYDADLENKLVDWIILQCAEDIEHPPPGRAHFQKWLMDGTVLCKLINSLYPPGQEPIPKISESKMAFKQMEQISQFLKAAETYGVRTTDIFQTVDLWEGKDMAAVQRTLMALGSVAVTKDDGCYRGEPSWFHRKAQQNRRGFSEEQLRQGQNVIGLQMGSNKGASQAGMTGYGMPRQIM
+>DECOY_sp|Q9UI15|TAGL3_HUMAN Transgelin-3 OS=Homo sapiens OX=9606 GN=TAGLN3 PE=1 SV=2
+MIQRPMGYGTMGAQSAGKNSGMQLGIVNQGQRLQEESFGRRNQQAKRHFWSPEGRYCGDDKTVAVSGLAMLTRQVAAMDKGEWLDVTQFIDTTRVGYTEAAKLFQSIQEMQKFAMKSESIKPIPEQGPPYLSNILKCLVTGDMLWKQFHARGPPPHEIDEACQLIIWDVLKNELDADYKQEIKEQVERSLGYSPGRNAM
+>sp|Q7L7X3|TAOK1_HUMAN Serine/threonine-protein kinase TAO1 OS=Homo sapiens OX=9606 GN=TAOK1 PE=1 SV=1
+MPSTNRAGSLKDPEIAELFFKEDPEKLFTDLREIGHGSFGAVYFARDVRTNEVVAIKKMSYSGKQSTEKWQDIIKEVKFLQRIKHPNSIEYKGCYLREHTAWLVMEYCLGSASDLLEVHKKPLQEVEIAAITHGALQGLAYLHSHTMIHRDIKAGNILLTEPGQVKLADFGSASMASPANSFVGTPYWMAPEVILAMDEGQYDGKVDVWSLGITCIELAERKPPLFNMNAMSALYHIAQNESPTLQSNEWSDYFRNFVDSCLQKIPQDRPTSEELLKHIFVLRERPETVLIDLIQRTKDAVRELDNLQYRKMKKLLFQEAHNGPAVEAQEEEEEQDHGVGRTGTVNSVGSNQSIPSMSISASSQSSSVNSLPDVSDDKSELDMMEGDHTVMSNSSVIHLKPEEENYREEGDPRTRASDPQSPPQVSRHKSHYRNREHFATIRTASLVTRQMQEHEQDSELREQMSGYKRMRRQHQKQLMTLENKLKAEMDEHRLRLDKDLETQRNNFAAEMEKLIKKHQAAMEKEAKVMSNEEKKFQQHIQAQQKKELNSFLESQKREYKLRKEQLKEELNENQSTPKKEKQEWLSKQKENIQHFQAEEEANLLRRQRQYLELECRRFKRRMLLGRHNLEQDLVREELNKRQTQKDLEHAMLLRQHESMQELEFRHLNTIQKMRCELIRLQHQTELTNQLEYNKRRERELRRKHVMEVRQQPKSLKSKELQIKKQFQDTCKIQTRQYKALRNHLLETTPKSEHKAVLKRLKEEQTRKLAILAEQYDHSINEMLSTQALRLDEAQEAECQVLKMQLQQELELLNAYQSKIKMQAEAQHDRELRELEQRVSLRRALLEQKIEEEMLALQNERTERIRSLLERQAREIEAFDSESMRLGFSNMVLSNLSPEAFSHSYPGASGWSHNPTGGPGPHWGHPMGGPPQAWGHPMQGGPQPWGHPSGPMQGVPRGSSMGVRNSPQALRRTASGGRTEQGMSRSTSVTSQISNGSHMSYT
+>DECOY_sp|Q7L7X3|TAOK1_HUMAN Serine/threonine-protein kinase TAO1 OS=Homo sapiens OX=9606 GN=TAOK1 PE=1 SV=1
+TYSMHSGNSIQSTVSTSRSMGQETRGGSATRRLAQPSNRVGMSSGRPVGQMPGSPHGWPQPGGQMPHGWAQPPGGMPHGWHPGPGGTPNHSWGSAGPYSHSFAEPSLNSLVMNSFGLRMSESDFAEIERAQRELLSRIRETRENQLALMEEEIKQELLARRLSVRQELERLERDHQAEAQMKIKSQYANLLELEQQLQMKLVQCEAEQAEDLRLAQTSLMENISHDYQEALIALKRTQEEKLRKLVAKHESKPTTELLHNRLAKYQRTQIKCTDQFQKKIQLEKSKLSKPQQRVEMVHKRRLERERRKNYELQNTLETQHQLRILECRMKQITNLHRFELEQMSEHQRLLMAHELDKQTQRKNLEERVLDQELNHRGLLMRRKFRRCELELYQRQRRLLNAEEEAQFHQINEKQKSLWEQKEKKPTSQNENLEEKLQEKRLKYERKQSELFSNLEKKQQAQIHQQFKKEENSMVKAEKEMAAQHKKILKEMEAAFNNRQTELDKDLRLRHEDMEAKLKNELTMLQKQHQRRMRKYGSMQERLESDQEHEQMQRTVLSATRITAFHERNRYHSKHRSVQPPSQPDSARTRPDGEERYNEEEPKLHIVSSNSMVTHDGEMMDLESKDDSVDPLSNVSSSQSSASISMSPISQNSGVSNVTGTRGVGHDQEEEEEQAEVAPGNHAEQFLLKKMKRYQLNDLERVADKTRQILDILVTEPRERLVFIHKLLEESTPRDQPIKQLCSDVFNRFYDSWENSQLTPSENQAIHYLASMANMNFLPPKREALEICTIGLSWVDVKGDYQGEDMALIVEPAMWYPTGVFSNAPSAMSASGFDALKVQGPETLLINGAKIDRHIMTHSHLYALGQLAGHTIAAIEVEQLPKKHVELLDSASGLCYEMVLWATHERLYCGKYEISNPHKIRQLFKVEKIIDQWKETSQKGSYSMKKIAVVENTRVDRAFYVAGFSGHGIERLDTFLKEPDEKFFLEAIEPDKLSGARNTSPM
+>sp|Q9P031|TAP26_HUMAN Thyroid transcription factor 1-associated protein 26 OS=Homo sapiens OX=9606 GN=CCDC59 PE=1 SV=2
+MAPVRRSAKWRPGGIEARGEGVSTVGYRNKNVRQKTWRPNHPQAFVGSVREGQGFAFRRKLKIQQSYKKLLRKEKKAQTSLESQFTDRYPDNLKHLYLAEEERHRKQARKVDHPLSEQVHQPLLEEQCSIDEPLFEDQCSFDQPQPEEQCIKTVNSFTIPKKNKKKTSNQKAQEEYEQIQAKRAAKKQEFERRKQEREEAQRQYKKKKMEVFKILNKKTKKGQPNLNVQMEYLLQKIQEKC
+>DECOY_sp|Q9P031|TAP26_HUMAN Thyroid transcription factor 1-associated protein 26 OS=Homo sapiens OX=9606 GN=CCDC59 PE=1 SV=2
+CKEQIKQLLYEMQVNLNPQGKKTKKNLIKFVEMKKKKYQRQAEEREQKRREFEQKKAARKAQIQEYEEQAKQNSTKKKNKKPITFSNVTKICQEEPQPQDFSCQDEFLPEDISCQEELLPQHVQESLPHDVKRAQKRHREEEALYLHKLNDPYRDTFQSELSTQAKKEKRLLKKYSQQIKLKRRFAFGQGERVSGVFAQPHNPRWTKQRVNKNRYGVTSVGEGRAEIGGPRWKASRRVPAM
+>sp|B6A8C7|TARM1_HUMAN T-cell-interacting, activating receptor on myeloid cells protein 1 OS=Homo sapiens OX=9606 GN=TARM1 PE=1 SV=1
+MIPKLLSLLCFRLCVGQGDTRGDGSLPKPSLSAWPSSVVPANSNVTLRCWTPARGVSFVLRKGGIILESPKPLDSTEGAAEFHLNNLKVRNAGEYTCEYYRKASPHILSQRSDVLLLLVTGHLSKPFLRTYQRGTVTAGGRVTLQCQKRDQLFVPIMFALLKAGTPSPIQLQSPAGKEIDFSLVDVTAGDAGNYSCMYYQTKSPFWASEPSDQLEILVTVPPGTTSSNYSLGNFVRLGLAAVIVVIMGAFLVEAWYSRNVSPGESEAFKPE
+>DECOY_sp|B6A8C7|TARM1_HUMAN T-cell-interacting, activating receptor on myeloid cells protein 1 OS=Homo sapiens OX=9606 GN=TARM1 PE=1 SV=1
+EPKFAESEGPSVNRSYWAEVLFAGMIVVIVAALGLRVFNGLSYNSSTTGPPVTVLIELQDSPESAWFPSKTQYYMCSYNGADGATVDVLSFDIEKGAPSQLQIPSPTGAKLLAFMIPVFLQDRKQCQLTVRGGATVTGRQYTRLFPKSLHGTVLLLLVDSRQSLIHPSAKRYYECTYEGANRVKLNNLHFEAAGETSDLPKPSELIIGGKRLVFSVGRAPTWCRLTVNSNAPVVSSPWASLSPKPLSGDGRTDGQGVCLRFCLLSLLKPIM
+>sp|Q6P1N9|TATD1_HUMAN Putative deoxyribonuclease TATDN1 OS=Homo sapiens OX=9606 GN=TATDN1 PE=1 SV=2
+MSRFKFIDIGINLTDPMFRGIYRGVQKHQDDLQDVIGRAVEIGVKKFMITGGNLQDSKDALHLAQTNGMFFSTVGCHPTRCGEFEKNNPDLYLKELLNLAENNKGKVVAIGECGLDFDRLQFCPKDTQLKYFEKQFELSEQTKLPMFLHCRNSHAEFLDIMKRNRDRCVGGVVHSFDGTKEAAAALIDLDLYIGFNGCSLKTEANLEVLKSIPSEKLMIETDAPWCGVKSTHAGSKYIRTAFPTKKKWESGHCLKDRNEPCHIIQILEIMSAVRDEDPLELANTLYNNTIKVFFPGI
+>DECOY_sp|Q6P1N9|TATD1_HUMAN Putative deoxyribonuclease TATDN1 OS=Homo sapiens OX=9606 GN=TATDN1 PE=1 SV=2
+IGPFFVKITNNYLTNALELPDEDRVASMIELIQIIHCPENRDKLCHGSEWKKKTPFATRIYKSGAHTSKVGCWPADTEIMLKESPISKLVELNAETKLSCGNFGIYLDLDILAAAAEKTGDFSHVVGGVCRDRNRKMIDLFEAHSNRCHLFMPLKTQESLEFQKEFYKLQTDKPCFQLRDFDLGCEGIAVVKGKNNEALNLLEKLYLDPNNKEFEGCRTPHCGVTSFFMGNTQALHLADKSDQLNGGTIMFKKVGIEVARGIVDQLDDQHKQVGRYIGRFMPDTLNIGIDIFKFRSM
+>sp|Q9BXI6|TB10A_HUMAN TBC1 domain family member 10A OS=Homo sapiens OX=9606 GN=TBC1D10A PE=1 SV=1
+MAKSNGENGPRAPAAGESLSGTRESLAQGPDAATTDELSSLGSDSEANGFAERRIDKFGFIVGSQGAEGALEEVPLEVLRQRESKWLDMLNNWDKWMAKKHKKIRLRCQKGIPPSLRGRAWQYLSGGKVKLQQNPGKFDELDMSPGDPKWLDVIERDLHRQFPFHEMFVSRGGHGQQDLFRVLKAYTLYRPEEGYCQAQAPIAAVLLMHMPAEQAFWCLVQICEKYLPGYYSEKLEAIQLDGEILFSLLQKVSPVAHKHLSRQKIDPLLYMTEWFMCAFSRTLPWSSVLRVWDMFFCEGVKIIFRVGLVLLKHALGSPEKVKACQGQYETIERLRSLSPKIMQEAFLVQEVVELPVTERQIEREHLIQLRRWQETRGELQCRSPPRLHGAKAILDAEPGPRPALQPSPSIRLPLDAPLPGSKAKPKPPKQAQKEQRKQMKGRGQLEKPPAPNQAMVVAAAGDACPPQHVPPKDSAPKDSAPQDLAPQVSAHHRSQESLTSQESEDTYL
+>DECOY_sp|Q9BXI6|TB10A_HUMAN TBC1 domain family member 10A OS=Homo sapiens OX=9606 GN=TBC1D10A PE=1 SV=1
+LYTDESEQSTLSEQSRHHASVQPALDQPASDKPASDKPPVHQPPCADGAAAVVMAQNPAPPKELQGRGKMQKRQEKQAQKPPKPKAKSGPLPADLPLRISPSPQLAPRPGPEADLIAKAGHLRPPSRCQLEGRTEQWRRLQILHEREIQRETVPLEVVEQVLFAEQMIKPSLSRLREITEYQGQCAKVKEPSGLAHKLLVLGVRFIIKVGECFFMDWVRLVSSWPLTRSFACMFWETMYLLPDIKQRSLHKHAVPSVKQLLSFLIEGDLQIAELKESYYGPLYKECIQVLCWFAQEAPMHMLLVAAIPAQAQCYGEEPRYLTYAKLVRFLDQQGHGGRSVFMEHFPFQRHLDREIVDLWKPDGPSMDLEDFKGPNQQLKVKGGSLYQWARGRLSPPIGKQCRLRIKKHKKAMWKDWNNLMDLWKSERQRLVELPVEELAGEAGQSGVIFGFKDIRREAFGNAESDSGLSSLEDTTAADPGQALSERTGSLSEGAAPARPGNEGNSKAM
+>sp|Q8IV04|TB10C_HUMAN Carabin OS=Homo sapiens OX=9606 GN=TBC1D10C PE=1 SV=1
+MAQALGEDLVQPPELQDDSSSLGSDSELSGPGPYRQADRYGFIGGSSAEPGPGHPPADLIRQREMKWVEMTSHWEKTMSRRYKKVKMQCRKGIPSALRARCWPLLCGAHVCQKNSPGTYQELAEAPGDPQWMETIGRDLHRQFPLHEMFVSPQGHGQQGLLQVLKAYTLYRPEQGYCQAQGPVAAVLLMHLPPEEAFWCLVQICEVYLPGYYGPHMEAVRLDAEVFMALLRRLLPHVHKHLQQVGVGPLLYLPEWFLCLFARSLPFPTVLRVWDAFLSEGARVLFRVGLTLVRLALGTAEQRGACPGLLETLGALRAIPPAQLQEEAFMSQVHSVVLSERDLQREIKAQLAQLPDSAPGPPPRPQVRLAGAQAIFEAQQLAGVRRGAKPEVPRIVVQPPEEPRPPRRKPQTRGKTFHGLLTRARGPPIEGPPRPQRGSTSFLDTRF
+>DECOY_sp|Q8IV04|TB10C_HUMAN Carabin OS=Homo sapiens OX=9606 GN=TBC1D10C PE=1 SV=1
+FRTDLFSTSGRQPRPPGEIPPGRARTLLGHFTKGRTQPKRRPPRPEEPPQVVIRPVEPKAGRRVGALQQAEFIAQAGALRVQPRPPPGPASDPLQALQAKIERQLDRESLVVSHVQSMFAEEQLQAPPIARLAGLTELLGPCAGRQEATGLALRVLTLGVRFLVRAGESLFADWVRLVTPFPLSRAFLCLFWEPLYLLPGVGVQQLHKHVHPLLRRLLAMFVEADLRVAEMHPGYYGPLYVECIQVLCWFAEEPPLHMLLVAAVPGQAQCYGQEPRYLTYAKLVQLLGQQGHGQPSVFMEHLPFQRHLDRGITEMWQPDGPAEALEQYTGPSNKQCVHAGCLLPWCRARLASPIGKRCQMKVKKYRRSMTKEWHSTMEVWKMERQRILDAPPHGPGPEASSGGIFGYRDAQRYPGPGSLESDSGLSSSDDQLEPPQVLDEGLAQAM
+>sp|P0CG35|TB15B_HUMAN Thymosin beta-15B OS=Homo sapiens OX=9606 GN=TMSB15B PE=1 SV=1
+MSDKPDLSEVEKFDRSKLKKTNTEEKNTLPSKETIQQEKECVQTS
+>DECOY_sp|P0CG35|TB15B_HUMAN Thymosin beta-15B OS=Homo sapiens OX=9606 GN=TMSB15B PE=1 SV=1
+STQVCEKEQQITEKSPLTNKEETNTKKLKSRDFKEVESLDPKDSM
+>sp|Q9C0C2|TB182_HUMAN 182 kDa tankyrase-1-binding protein OS=Homo sapiens OX=9606 GN=TNKS1BP1 PE=1 SV=4
+MKVSTLRESSAMASPLPREMEEELVPTGSEPGDTRAKPPVKPKPRALPAKPALPAKPSLLVPVGPRPPRGPLAELPSARKMNMLAGPQPYGGSKRPLPFAPRPAVEASTGGEATQETGKEEAGKEEPPPLTPPARCAAPGGVRKAPAPFRPASERFAATTVEEILAKMEQPRKEVLASPDRLWGSRLTFNHDGSSRYGPRTYGTTTAPRDEDGSTLFRGWSQEGPVKSPAECREEHSKTPEERSLPSDLAFNGDLAKAASSELPADISKPWIPSSPAPSSENGGPASPGLPAEASGSGPGSPHLHPPDKSSPCHSQLLEAQTPEASQASPCPAVTPSAPSAALPDEGSRHTPSPGLPAEGAPEAPRPSSPPPEVLEPHSLDQPPATSPRPLIEVGELLDLTRTFPSGGEEEAKGDAHLRPTSLVQRRFSEGVLQSPSQDQEKLGGSLAALPQGQGSQLALDRPFGAESNWSLSQSFEWTFPTRPSGLGVWRLDSPPPSPITEASEAAEAAEAGNLAVSSREEGVSQQGQGAGSAPSGSGSSWVQGDDPSMSLTQKGDGESQPQFPAVPLEPLPTTEGTPGLPLQQAEERYESQEPLAGQESPLPLATREAALPILEPVLGQEQPAAPDQPCVLFADAPEPGQALPVEEEAVTLARAETTQARTEAQDLCRASPEPPGPESSSRWLDDLLASPPPSGGGARRGAGAELKDTQSPSTCSEGLLGWSQKDLQSEFGITGDPQPSSFSPSSWCQGASQDYGLGGASPRGDPGLGERDWTSKYGQGAGEGSTREWASRCGIGQEEMEASSSQDQSKVSAPGVLTAQDRVVGKPAQLGTQRSQEADVQDWEFRKRDSQGTYSSRDAELQDQEFGKRDSLGTYSSRDVSLGDWEFGKRDSLGAYASQDANEQGQDLGKRDHHGRYSSQDADEQDWEFQKRDVSLGTYGSRAAEPQEQEFGKSAWIRDYSSGGSSRTLDAQDRSFGTRPLSSGFSPEEAQQQDEEFEKKIPSVEDSLGEGSRDAGRPGERGSGGLFSPSTAHVPDGALGQRDQSSWQNSDASQEVGGHQERQQAGAQGPGSADLEDGEMGKRGWVGEFSLSVGPQREAAFSPGQQDWSRDFCIEASERSYQFGIIGNDRVSGAGFSPSSKMEGGHFVPPGKTTAGSVDWTDQLGLRNLEVSSCVGSGGSSEARESAVGQMGWSGGLSLRDMNLTGCLESGGSEEPGGIGVGEKDWTSDVNVKSKDLAEVGEGGGHSQARESGVGQTDWSGVEAGEFLKSRERGVGQADWTPDLGLRNMAPGAVCSPGESKELGVGQMDWGNNLGLRDLEVTCDPDSGGSQGLRGCGVGQMDWTQDLAPQNVELFGAPSEAREHGVGGVSQCPEPGLRHNGSLSPGLEARDPLEARELGVGETSGPETQGEDYSSSSLEPHPADPGMETGEALSFGASPGRCPARPPPSGSQGLLEEMLAASSSKAVARRESAASGLGGLLEEEGAGAGAAQEEVLEPGRDSPPSWRPQPDGEASQTEDVDGTWGSSAARWSDQGPAQTSRRPSQGPPARSPSQDFSFIEDTEILDSAMYRSRANLGRKRGHRAPVIRPGGTLGLSEAADSDAHLFQDSTEPRASRVPSSDEEVVEEPQSRRTRMSLGTKGLKVNLFPGLSPSALKAKLRPRNRSAEEGELAESKSSQKESAVQRSKSCKVPGLGKPLTLPPKPEKSSGSEGSSPNWLQALKLKKKKV
+>DECOY_sp|Q9C0C2|TB182_HUMAN 182 kDa tankyrase-1-binding protein OS=Homo sapiens OX=9606 GN=TNKS1BP1 PE=1 SV=4
+VKKKKLKLAQLWNPSSGESGSSKEPKPPLTLPKGLGPVKCSKSRQVASEKQSSKSEALEGEEASRNRPRLKAKLASPSLGPFLNVKLGKTGLSMRTRRSQPEEVVEEDSSPVRSARPETSDQFLHADSDAAESLGLTGGPRIVPARHGRKRGLNARSRYMASDLIETDEIFSFDQSPSRAPPGQSPRRSTQAPGQDSWRAASSGWTGDVDETQSAEGDPQPRWSPPSDRGPELVEEQAAGAGAGEEELLGGLGSAASERRAVAKSSSAALMEELLGQSGSPPPRAPCRGPSAGFSLAEGTEMGPDAPHPELSSSSYDEGQTEPGSTEGVGLERAELPDRAELGPSLSGNHRLGPEPCQSVGGVGHERAESPAGFLEVNQPALDQTWDMQGVGCGRLGQSGGSDPDCTVELDRLGLNNGWDMQGVGLEKSEGPSCVAGPAMNRLGLDPTWDAQGVGRERSKLFEGAEVGSWDTQGVGSERAQSHGGGEGVEALDKSKVNVDSTWDKEGVGIGGPEESGGSELCGTLNMDRLSLGGSWGMQGVASERAESSGGSGVCSSVELNRLGLQDTWDVSGATTKGPPVFHGGEMKSSPSFGAGSVRDNGIIGFQYSRESAEICFDRSWDQQGPSFAAERQPGVSLSFEGVWGRKGMEGDELDASGPGQAGAQQREQHGGVEQSADSNQWSSQDRQGLAGDPVHATSPSFLGGSGREGPRGADRSGEGLSDEVSPIKKEFEEDQQQAEEPSFGSSLPRTGFSRDQADLTRSSGGSSYDRIWASKGFEQEQPEAARSGYTGLSVDRKQFEWDQEDADQSSYRGHHDRKGLDQGQENADQSAYAGLSDRKGFEWDGLSVDRSSYTGLSDRKGFEQDQLEADRSSYTGQSDRKRFEWDQVDAEQSRQTGLQAPKGVVRDQATLVGPASVKSQDQSSSAEMEEQGIGCRSAWERTSGEGAGQGYKSTWDREGLGPDGRPSAGGLGYDQSAGQCWSSPSFSSPQPDGTIGFESQLDKQSWGLLGESCTSPSQTDKLEAGAGRRAGGGSPPPSALLDDLWRSSSEPGPPEPSARCLDQAETRAQTTEARALTVAEEEVPLAQGPEPADAFLVCPQDPAAPQEQGLVPELIPLAAERTALPLPSEQGALPEQSEYREEAQQLPLGPTGETTPLPELPVAPFQPQSEGDGKQTLSMSPDDGQVWSSGSGSPASGAGQGQQSVGEERSSVALNGAEAAEAAESAETIPSPPPSDLRWVGLGSPRTPFTWEFSQSLSWNSEAGFPRDLALQSGQGQPLAALSGGLKEQDQSPSQLVGESFRRQVLSTPRLHADGKAEEEGGSPFTRTLDLLEGVEILPRPSTAPPQDLSHPELVEPPPSSPRPAEPAGEAPLGPSPTHRSGEDPLAASPASPTVAPCPSAQSAEPTQAELLQSHCPSSKDPPHLHPSGPGSGSAEAPLGPSAPGGNESSPAPSSPIWPKSIDAPLESSAAKALDGNFALDSPLSREEPTKSHEERCEAPSKVPGEQSWGRFLTSGDEDRPATTTGYTRPGYRSSGDHNFTLRSGWLRDPSALVEKRPQEMKALIEEVTTAAFRESAPRFPAPAKRVGGPAACRAPPTLPPPEEKGAEEKGTEQTAEGGTSAEVAPRPAFPLPRKSGGYPQPGALMNMKRASPLEALPGRPPRPGVPVLLSPKAPLAPKAPLARPKPKVPPKARTDGPESGTPVLEEEMERPLPSAMASSERLTSVKM
+>sp|Q13748|TBA3C_HUMAN Tubulin alpha-3C/D chain OS=Homo sapiens OX=9606 GN=TUBA3C PE=1 SV=3
+MRECISIHVGQAGVQIGNACWELYCLEHGIQPDGQMPSDKTIGGGDDSFNTFFSETGAGKHVPRAVFVDLEPTVVDEVRTGTYRQLFHPEQLITGKEDAANNYARGHYTIGKEIVDLVLDRIRKLADLCTGLQGFLIFHSFGGGTGSGFASLLMERLSVDYGKKSKLEFAIYPAPQVSTAVVEPYNSILTTHTTLEHSDCAFMVDNEAIYDICRRNLDIERPTYTNLNRLIGQIVSSITASLRFDGALNVDLTEFQTNLVPYPRIHFPLATYAPVISAEKAYHEQLSVAEITNACFEPANQMVKCDPRHGKYMACCMLYRGDVVPKDVNAAIATIKTKRTIQFVDWCPTGFKVGINYQPPTVVPGGDLAKVQRAVCMLSNTTAIAEAWARLDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGVDSVEAEAEEGEEY
+>DECOY_sp|Q13748|TBA3C_HUMAN Tubulin alpha-3C/D chain OS=Homo sapiens OX=9606 GN=TUBA3C PE=1 SV=3
+YEEGEEAEAEVSDVGVEEYDKELAALDERAESFEGEEMGEGVYWHVFARKAYMLDFKHDLRAWAEAIATTNSLMCVARQVKALDGGPVVTPPQYNIGVKFGTPCWDVFQITRKTKITAIAANVDKPVVDGRYLMCCAMYKGHRPDCKVMQNAPEFCANTIEAVSLQEHYAKEASIVPAYTALPFHIRPYPVLNTQFETLDVNLAGDFRLSATISSVIQGILRNLNTYTPREIDLNRRCIDYIAENDVMFACDSHELTTHTTLISNYPEVVATSVQPAPYIAFELKSKKGYDVSLREMLLSAFGSGTGGGFSHFILFGQLGTCLDALKRIRDLVLDVIEKGITYHGRAYNNAADEKGTILQEPHFLQRYTGTRVEDVVTPELDVFVARPVHKGAGTESFFTNFSDDGGGITKDSPMQGDPQIGHELCYLEWCANGIQVGAQGVHISICERM
+>sp|P68366|TBA4A_HUMAN Tubulin alpha-4A chain OS=Homo sapiens OX=9606 GN=TUBA4A PE=1 SV=1
+MRECISVHVGQAGVQMGNACWELYCLEHGIQPDGQMPSDKTIGGGDDSFTTFFCETGAGKHVPRAVFVDLEPTVIDEIRNGPYRQLFHPEQLITGKEDAANNYARGHYTIGKEIIDPVLDRIRKLSDQCTGLQGFLVFHSFGGGTGSGFTSLLMERLSVDYGKKSKLEFSIYPAPQVSTAVVEPYNSILTTHTTLEHSDCAFMVDNEAIYDICRRNLDIERPTYTNLNRLISQIVSSITASLRFDGALNVDLTEFQTNLVPYPRIHFPLATYAPVISAEKAYHEQLSVAEITNACFEPANQMVKCDPRHGKYMACCLLYRGDVVPKDVNAAIAAIKTKRSIQFVDWCPTGFKVGINYQPPTVVPGGDLAKVQRAVCMLSNTTAIAEAWARLDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDMAALEKDYEEVGIDSYEDEDEGEE
+>DECOY_sp|P68366|TBA4A_HUMAN Tubulin alpha-4A chain OS=Homo sapiens OX=9606 GN=TUBA4A PE=1 SV=1
+EEGEDEDEYSDIGVEEYDKELAAMDERAESFEGEEMGEGVYWHVFARKAYMLDFKHDLRAWAEAIATTNSLMCVARQVKALDGGPVVTPPQYNIGVKFGTPCWDVFQISRKTKIAAIAANVDKPVVDGRYLLCCAMYKGHRPDCKVMQNAPEFCANTIEAVSLQEHYAKEASIVPAYTALPFHIRPYPVLNTQFETLDVNLAGDFRLSATISSVIQSILRNLNTYTPREIDLNRRCIDYIAENDVMFACDSHELTTHTTLISNYPEVVATSVQPAPYISFELKSKKGYDVSLREMLLSTFGSGTGGGFSHFVLFGQLGTCQDSLKRIRDLVPDIIEKGITYHGRAYNNAADEKGTILQEPHFLQRYPGNRIEDIVTPELDVFVARPVHKGAGTECFFTTFSDDGGGITKDSPMQGDPQIGHELCYLEWCANGMQVGAQGVHVSICERM
+>sp|Q13885|TBB2A_HUMAN Tubulin beta-2A chain OS=Homo sapiens OX=9606 GN=TUBB2A PE=1 SV=1
+MREIVHIQAGQCGNQIGAKFWEVISDEHGIDPTGSYHGDSDLQLERINVYYNEAAGNKYVPRAILVDLEPGTMDSVRSGPFGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELVDSVLDVVRKESESCDCLQGFQLTHSLGGGTGSGMGTLLISKIREEYPDRIMNTFSVMPSPKVSDTVVEPYNATLSVHQLVENTDETYSIDNEALYDICFRTLKLTTPTYGDLNHLVSATMSGVTTCLRFPGQLNADLRKLAVNMVPFPRLHFFMPGFAPLTSRGSQQYRALTVPELTQQMFDSKNMMAACDPRHGRYLTVAAIFRGRMSMKEVDEQMLNVQNKNSSYFVEWIPNNVKTAVCDIPPRGLKMSATFIGNSTAIQELFKRISEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATADEQGEFEEEEGEDEA
+>DECOY_sp|Q13885|TBB2A_HUMAN Tubulin beta-2A chain OS=Homo sapiens OX=9606 GN=TUBB2A PE=1 SV=1
+AEDEGEEEEFEGQEDATADQYQQYESVLDNMNSEAETFEMEDMGEGTYWHLFAKRRFMATFQESIRKFLEQIATSNGIFTASMKLGRPPIDCVATKVNNPIWEVFYSSNKNQVNLMQEDVEKMSMRGRFIAAVTLYRGHRPDCAAMMNKSDFMQQTLEPVTLARYQQSGRSTLPAFGPMFFHLRPFPVMNVALKRLDANLQGPFRLCTTVGSMTASVLHNLDGYTPTTLKLTRFCIDYLAENDISYTEDTNEVLQHVSLTANYPEVVTDSVKPSPMVSFTNMIRDPYEERIKSILLTGMGSGTGGGLSHTLQFGQLCDCSESEKRVVDLVSDVLEAGETYHGKAWNNGAGSQGFVFNDPRFIQGFPGSRVSDMTGPELDVLIARPVYKNGAAENYYVNIRELQLDSDGHYSGTPDIGHEDSIVEWFKAGIQNGCQGAQIHVIERM
+>sp|Q8IYX1|TBC21_HUMAN TBC1 domain family member 21 OS=Homo sapiens OX=9606 GN=TBC1D21 PE=1 SV=1
+MTTLSPENSLSARQSASFILVKRKPPIDKTEWDSFFDESGHLAKSRDFICVNILERGLHPFVRTEAWKFLTGYFSWQSSQDERLTVDSMRRKNYKALCQMYEKIQPLLENLHRNFTETRNNIARDIQKIYDKDPLGNVLIDKKRLEKILLLSYVCNTQAEYQQGFHEMMMLFQLMVEHDHETFWLFQFFLQKTEHSCVINIGVAKNLDMLSTLITFLDPVFAEHLKGKGAGAVQSLFPWFCFCFQRAFKSFDDVWRLWEVLLTGKPCRNFQVLVAYSMLQMVREQVLQESMGGDDILLACNNLIDLDADELISAACVVYAELIQKDVPQTLKDFFL
+>DECOY_sp|Q8IYX1|TBC21_HUMAN TBC1 domain family member 21 OS=Homo sapiens OX=9606 GN=TBC1D21 PE=1 SV=1
+LFFDKLTQPVDKQILEAYVVCAASILEDADLDILNNCALLIDDGGMSEQLVQERVMQLMSYAVLVQFNRCPKGTLLVEWLRWVDDFSKFARQFCFCFWPFLSQVAGAGKGKLHEAFVPDLFTILTSLMDLNKAVGINIVCSHETKQLFFQFLWFTEHDHEVMLQFLMMMEHFGQQYEAQTNCVYSLLLIKELRKKDILVNGLPDKDYIKQIDRAINNRTETFNRHLNELLPQIKEYMQCLAKYNKRRMSDVTLREDQSSQWSFYGTLFKWAETRVFPHLGRELINVCIFDRSKALHGSEDFFSDWETKDIPPKRKVLIFSASQRASLSNEPSLTTM
+>sp|O75347|TBCA_HUMAN Tubulin-specific chaperone A OS=Homo sapiens OX=9606 GN=TBCA PE=1 SV=3
+MADPRVRQIKIKTGVVKRLVKEKVMYEKEAKQQEEKIEKMRAEDGENYDIKKQAEILQESRMMIPDCQRRLEAAYLDLQRILENEKDLEEAEEYKEARLVLDSVKLEA
+>DECOY_sp|O75347|TBCA_HUMAN Tubulin-specific chaperone A OS=Homo sapiens OX=9606 GN=TBCA PE=1 SV=3
+AELKVSDLVLRAEKYEEAEELDKENELIRQLDLYAAELRRQCDPIMMRSEQLIEAQKKIDYNEGDEARMKEIKEEQQKAEKEYMVKEKVLRKVVGTKIKIQRVRPDAM
+>sp|Q99426|TBCB_HUMAN Tubulin-folding cofactor B OS=Homo sapiens OX=9606 GN=TBCB PE=1 SV=2
+MEVTGVSAPTVTVFISSSLNTFRSEKRYSRSLTIAEFKCKLELLVGSPASCMELELYGVDDKFYSKLDQEDALLGSYPVDDGCRIHVIDHSGARLGEYEDVSRVEKYTISQEAYDQRQDTVRSFLKRSKLGRYNEEERAQQEAEAAQRLAEEKAQASSIPVGSRCEVRAAGQSPRRGTVMYVGLTDFKPGYWIGVRYDEPLGKNDGSVNGKRYFECQAKYGAFVKPAVVTVGDFPEEDYGLDEI
+>DECOY_sp|Q99426|TBCB_HUMAN Tubulin-folding cofactor B OS=Homo sapiens OX=9606 GN=TBCB PE=1 SV=2
+IEDLGYDEEPFDGVTVVAPKVFAGYKAQCEFYRKGNVSGDNKGLPEDYRVGIWYGPKFDTLGVYMVTGRRPSQGAARVECRSGVPISSAQAKEEALRQAAEAEQQAREEENYRGLKSRKLFSRVTDQRQDYAEQSITYKEVRSVDEYEGLRAGSHDIVHIRCGDDVPYSGLLADEQDLKSYFKDDVGYLELEMCSAPSGVLLELKCKFEAITLSRSYRKESRFTNLSSSIFVTVTPASVGTVEM
+>sp|Q15814|TBCC_HUMAN Tubulin-specific chaperone C OS=Homo sapiens OX=9606 GN=TBCC PE=1 SV=2
+MESVSCSAAAVRTGDMESQRDLSLVPERLQRREQERQLEVERRKQKRQNQEVEKENSHFFVATFVRERAAVEELLERAESVERLEEAASRLQGLQKLINDSVFFLAAYDLRQGQEALARLQAALAERRRGLQPKKRFAFKTRGKDAASSTKVDAAPGIPPAVESIQDSPLPKKAEGDLGPSWVCGFSNLESQVLEKRASELHQRDVLLTELSNCTVRLYGNPNTLRLTKAHSCKLLCGPVSTSVFLEDCSDCVLAVACQQLRIHSTKDTRIFLQVTSRAIVEDCSGIQFAPYTWSYPEIDKDFESSGLDRSKNNWNDVDDFNWLARDMASPNWSILPEEERNIQWD
+>DECOY_sp|Q15814|TBCC_HUMAN Tubulin-specific chaperone C OS=Homo sapiens OX=9606 GN=TBCC PE=1 SV=2
+DWQINREEEPLISWNPSAMDRALWNFDDVDNWNNKSRDLGSSEFDKDIEPYSWTYPAFQIGSCDEVIARSTVQLFIRTDKTSHIRLQQCAVALVCDSCDELFVSTSVPGCLLKCSHAKTLRLTNPNGYLRVTCNSLETLLVDRQHLESARKELVQSELNSFGCVWSPGLDGEAKKPLPSDQISEVAPPIGPAADVKTSSAADKGRTKFAFRKKPQLGRRREALAAQLRALAEQGQRLDYAALFFVSDNILKQLGQLRSAAEELREVSEARELLEEVAARERVFTAVFFHSNEKEVEQNQRKQKRREVELQREQERRQLREPVLSLDRQSEMDGTRVAAASCSVSEM
+>sp|Q5QJ74|TBCEL_HUMAN Tubulin-specific chaperone cofactor E-like protein OS=Homo sapiens OX=9606 GN=TBCEL PE=1 SV=2
+MDQPSGRSFMQVLCEKYSPENFPYRRGPGMGVHVPATPQGSPMKDRLNLPSVLVLNSCGITCAGDEKEIAAFCAHVSELDLSDNKLEDWHEVSKIVSNVPQLEFLNLSSNPLNLSVLERTCAGSFSGVRKLVLNNSKASWETVHMILQELPDLEELFLCLNDYETVSCPSICCHSLKLLHITDNNLQDWTEIRKLGVMFPSLDTLVLANNHLNAIEEPDDSLARLFPNLRSISLHKSGLQSWEDIDKLNSFPKLEEVRLLGIPLLQPYTTEERRKLVIARLPSVSKLNGSVVTDGEREDSERFFIRYYVDVPQEEVPFRYHELITKYGKLEPLAEVDLRPQSSAKVEVHFNDQVEEMSIRLDQTVAELKKQLKTLVQLPTSNMLLYYFDHEAPFGPEEMKYSSRALHSFGIRDGDKIYVESKTK
+>DECOY_sp|Q5QJ74|TBCEL_HUMAN Tubulin-specific chaperone cofactor E-like protein OS=Homo sapiens OX=9606 GN=TBCEL PE=1 SV=2
+KTKSEVYIKDGDRIGFSHLARSSYKMEEPGFPAEHDFYYLLMNSTPLQVLTKLQKKLEAVTQDLRISMEEVQDNFHVEVKASSQPRLDVEALPELKGYKTILEHYRFPVEEQPVDVYYRIFFRESDEREGDTVVSGNLKSVSPLRAIVLKRREETTYPQLLPIGLLRVEELKPFSNLKDIDEWSQLGSKHLSISRLNPFLRALSDDPEEIANLHNNALVLTDLSPFMVGLKRIETWDQLNNDTIHLLKLSHCCISPCSVTEYDNLCLFLEELDPLEQLIMHVTEWSAKSNNLVLKRVGSFSGACTRELVSLNLPNSSLNLFELQPVNSVIKSVEHWDELKNDSLDLESVHACFAAIEKEDGACTIGCSNLVLVSPLNLRDKMPSGQPTAPVHVGMGPGRRYPFNEPSYKECLVQMFSRGSPQDM
+>sp|Q9NRH3|TBG2_HUMAN Tubulin gamma-2 chain OS=Homo sapiens OX=9606 GN=TUBG2 PE=2 SV=1
+MPREIITLQLGQCGNQIGFEFWKQLCAEHGISPEGIVEEFATEGTDRKDVFFYQADDEHYIPRAVLLDLEPRVIHSILNSPYAKLYNPENIYLSEHGGGAGNNWASGFSQGEKIHEDIFDIIDREADGSDSLEGFVLCHSIAGGTGSGLGSYLLERLNDRYPKKLVQTYSVFPYQDEMSDVVVQPYNSLLTLKRLTQNADCVVVLDNTALNRIATDRLHIQNPSFSQINQLVSTIMSASTTTLRYPGYMNNDLIGLIASLIPTPRLHFLMTGYTPLTTDQSVASVRKTTVLDVMRRLLQPKNVMVSTGRDRQTNHCYIAILNIIQGEVDPTQVHKSLQRIRERKLANFIPWGPASIQVALSRKSPYLPSAHRVSGLMMANHTSISSLFESSCQQFDKLRKRDAFLEQFRKEDMFKDNFDEMDRSREVVQELIDEYHAATQPDYISWGTQEQ
+>DECOY_sp|Q9NRH3|TBG2_HUMAN Tubulin gamma-2 chain OS=Homo sapiens OX=9606 GN=TUBG2 PE=2 SV=1
+QEQTGWSIYDPQTAAHYEDILEQVVERSRDMEDFNDKFMDEKRFQELFADRKRLKDFQQCSSEFLSSISTHNAMMLGSVRHASPLYPSKRSLAVQISAPGWPIFNALKRERIRQLSKHVQTPDVEGQIINLIAIYCHNTQRDRGTSVMVNKPQLLRRMVDLVTTKRVSAVSQDTTLPTYGTMLFHLRPTPILSAILGILDNNMYGPYRLTTTSASMITSVLQNIQSFSPNQIHLRDTAIRNLATNDLVVVCDANQTLRKLTLLSNYPQVVVDSMEDQYPFVSYTQVLKKPYRDNLRELLYSGLGSGTGGAISHCLVFGELSDSGDAERDIIDFIDEHIKEGQSFGSAWNNGAGGGHESLYINEPNYLKAYPSNLISHIVRPELDLLVARPIYHEDDAQYFFVDKRDTGETAFEEVIGEPSIGHEACLQKWFEFGIQNGCQGLQLTIIERPM
+>sp|Q9UHD2|TBK1_HUMAN Serine/threonine-protein kinase TBK1 OS=Homo sapiens OX=9606 GN=TBK1 PE=1 SV=1
+MQSTSNHLWLLSDILGQGATANVFRGRHKKTGDLFAIKVFNNISFLRPVDVQMREFEVLKKLNHKNIVKLFAIEEETTTRHKVLIMEFCPCGSLYTVLEEPSNAYGLPESEFLIVLRDVVGGMNHLRENGIVHRDIKPGNIMRVIGEDGQSVYKLTDFGAARELEDDEQFVSLYGTEEYLHPDMYERAVLRKDHQKKYGATVDLWSIGVTFYHAATGSLPFRPFEGPRRNKEVMYKIITGKPSGAISGVQKAENGPIDWSGDMPVSCSLSRGLQVLLTPVLANILEADQEKCWGFDQFFAETSDILHRMVIHVFSLQQMTAHKIYIHSYNTATIFHELVYKQTKIISSNQELIYEGRRLVLEPGRLAQHFPKTTEENPIFVVSREPLNTIGLIYEKISLPKVHPRYDLDGDASMAKAITGVVCYACRIASTLLLYQELMRKGIRWLIELIKDDYNETVHKKTEVVITLDFCIRNIEKTVKVYEKLMKINLEAAELGEISDIHTKLLRLSSSQGTIETSLQDIDSRLSPGGSLADAWAHQEGTHPKDRNVEKLQVLLNCMTEIYYQFKKDKAERRLAYNEEQIHKFDKQKLYYHATKAMTHFTDECVKKYEAFLNKSEEWIRKMLHLRKQLLSLTNQCFDIEEEVSKYQEYTNELQETLPQKMFTASSGIKHTMTPIYPSSNTLVEMTLGMKKLKEEMEGVVKELAENNHILERFGSLTMDGGLRNVDCL
+>DECOY_sp|Q9UHD2|TBK1_HUMAN Serine/threonine-protein kinase TBK1 OS=Homo sapiens OX=9606 GN=TBK1 PE=1 SV=1
+LCDVNRLGGDMTLSGFRELIHNNEALEKVVGEMEEKLKKMGLTMEVLTNSSPYIPTMTHKIGSSATFMKQPLTEQLENTYEQYKSVEEEIDFCQNTLSLLQKRLHLMKRIWEESKNLFAEYKKVCEDTFHTMAKTAHYYLKQKDFKHIQEENYALRREAKDKKFQYYIETMCNLLVQLKEVNRDKPHTGEQHAWADALSGGPSLRSDIDQLSTEITGQSSSLRLLKTHIDSIEGLEAAELNIKMLKEYVKVTKEINRICFDLTIVVETKKHVTENYDDKILEILWRIGKRMLEQYLLLTSAIRCAYCVVGTIAKAMSADGDLDYRPHVKPLSIKEYILGITNLPERSVVFIPNEETTKPFHQALRGPELVLRRGEYILEQNSSIIKTQKYVLEHFITATNYSHIYIKHATMQQLSFVHIVMRHLIDSTEAFFQDFGWCKEQDAELINALVPTLLVQLGRSLSCSVPMDGSWDIPGNEAKQVGSIAGSPKGTIIKYMVEKNRRPGEFPRFPLSGTAAHYFTVGISWLDVTAGYKKQHDKRLVAREYMDPHLYEETGYLSVFQEDDELERAAGFDTLKYVSQGDEGIVRMINGPKIDRHVIGNERLHNMGGVVDRLVILFESEPLGYANSPEELVTYLSGCPCFEMILVKHRTTTEEEIAFLKVINKHNLKKLVEFERMQVDVPRLFSINNFVKIAFLDGTKKHRGRFVNATAGQGLIDSLLWLHNSTSQM
+>sp|O60907|TBL1X_HUMAN F-box-like/WD repeat-containing protein TBL1X OS=Homo sapiens OX=9606 GN=TBL1X PE=1 SV=3
+MTELAGASSSCCHRPAGRGAMQSVLHHFQRLRGREGGSHFINTSSPRGEAKMSITSDEVNFLVYRYLQESGFSHSAFTFGIESHISQSNINGTLVPPAALISILQKGLQYVEAEISINEDGTVFDGRPIESLSLIDAVMPDVVQTRQQAFREKLAQQQASAAAAAAAATAAATAATTTSAGVSHQNPSKNREATVNGEENRAHSVNNHAKPMEIDGEVEIPSSKATVLRGHESEVFICAWNPVSDLLASGSGDSTARIWNLNENSNGGSTQLVLRHCIREGGHDVPSNKDVTSLDWNTNGTLLATGSYDGFARIWTEDGNLASTLGQHKGPIFALKWNRKGNYILSAGVDKTTIIWDAHTGEAKQQFPFHSAPALDVDWQNNTTFASCSTDMCIHVCRLGCDRPVKTFQGHTNEVNAIKWDPSGMLLASCSDDMTLKIWSMKQEVCIHDLQAHNKEIYTIKWSPTGPATSNPNSNIMLASASFDSTVRLWDIERGVCTHTLTKHQEPVYSVAFSPDGKYLASGSFDKCVHIWNTQSGNLVHSYRGTGGIFEVCWNARGDKVGASASDGSVCVLDLRK
+>DECOY_sp|O60907|TBL1X_HUMAN F-box-like/WD repeat-containing protein TBL1X OS=Homo sapiens OX=9606 GN=TBL1X PE=1 SV=3
+KRLDLVCVSGDSASAGVKDGRANWCVEFIGGTGRYSHVLNGSQTNWIHVCKDFSGSALYKGDPSFAVSYVPEQHKTLTHTCVGREIDWLRVTSDFSASALMINSNPNSTAPGTPSWKITYIEKNHAQLDHICVEQKMSWIKLTMDDSCSALLMGSPDWKIANVENTHGQFTKVPRDCGLRCVHICMDTSCSAFTTNNQWDVDLAPASHFPFQQKAEGTHADWIITTKDVGASLIYNGKRNWKLAFIPGKHQGLTSALNGDETWIRAFGDYSGTALLTGNTNWDLSTVDKNSPVDHGGERICHRLVLQTSGGNSNENLNWIRATSDGSGSALLDSVPNWACIFVESEHGRLVTAKSSPIEVEGDIEMPKAHNNVSHARNEEGNVTAERNKSPNQHSVGASTTTAATAAATAAAAAAAASAQQQALKERFAQQRTQVVDPMVADILSLSEIPRGDFVTGDENISIEAEVYQLGKQLISILAAPPVLTGNINSQSIHSEIGFTFASHSFGSEQLYRYVLFNVEDSTISMKAEGRPSSTNIFHSGGERGRLRQFHHLVSQMAGRGAPRHCCSSSAGALETM
+>sp|Q9BVA1|TBB2B_HUMAN Tubulin beta-2B chain OS=Homo sapiens OX=9606 GN=TUBB2B PE=1 SV=1
+MREIVHIQAGQCGNQIGAKFWEVISDEHGIDPTGSYHGDSDLQLERINVYYNEATGNKYVPRAILVDLEPGTMDSVRSGPFGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELVDSVLDVVRKESESCDCLQGFQLTHSLGGGTGSGMGTLLISKIREEYPDRIMNTFSVMPSPKVSDTVVEPYNATLSVHQLVENTDETYCIDNEALYDICFRTLKLTTPTYGDLNHLVSATMSGVTTCLRFPGQLNADLRKLAVNMVPFPRLHFFMPGFAPLTSRGSQQYRALTVPELTQQMFDSKNMMAACDPRHGRYLTVAAIFRGRMSMKEVDEQMLNVQNKNSSYFVEWIPNNVKTAVCDIPPRGLKMSATFIGNSTAIQELFKRISEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATADEQGEFEEEEGEDEA
+>DECOY_sp|Q9BVA1|TBB2B_HUMAN Tubulin beta-2B chain OS=Homo sapiens OX=9606 GN=TUBB2B PE=1 SV=1
+AEDEGEEEEFEGQEDATADQYQQYESVLDNMNSEAETFEMEDMGEGTYWHLFAKRRFMATFQESIRKFLEQIATSNGIFTASMKLGRPPIDCVATKVNNPIWEVFYSSNKNQVNLMQEDVEKMSMRGRFIAAVTLYRGHRPDCAAMMNKSDFMQQTLEPVTLARYQQSGRSTLPAFGPMFFHLRPFPVMNVALKRLDANLQGPFRLCTTVGSMTASVLHNLDGYTPTTLKLTRFCIDYLAENDICYTEDTNEVLQHVSLTANYPEVVTDSVKPSPMVSFTNMIRDPYEERIKSILLTGMGSGTGGGLSHTLQFGQLCDCSESEKRVVDLVSDVLEAGETYHGKAWNNGAGSQGFVFNDPRFIQGFPGSRVSDMTGPELDVLIARPVYKNGTAENYYVNIRELQLDSDGHYSGTPDIGHEDSIVEWFKAGIQNGCQGAQIHVIERM
+>sp|P04350|TBB4A_HUMAN Tubulin beta-4A chain OS=Homo sapiens OX=9606 GN=TUBB4A PE=1 SV=2
+MREIVHLQAGQCGNQIGAKFWEVISDEHGIDPTGTYHGDSDLQLERINVYYNEATGGNYVPRAVLVDLEPGTMDSVRSGPFGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELVDAVLDVVRKEAESCDCLQGFQLTHSLGGGTGSGMGTLLISKIREEFPDRIMNTFSVVPSPKVSDTVVEPYNATLSVHQLVENTDETYCIDNEALYDICFRTLKLTTPTYGDLNHLVSATMSGVTTCLRFPGQLNADLRKLAVNMVPFPRLHFFMPGFAPLTSRGSQQYRALTVPELTQQMFDAKNMMAACDPRHGRYLTVAAVFRGRMSMKEVDEQMLSVQSKNSSYFVEWIPNNVKTAVCDIPPRGLKMAATFIGNSTAIQELFKRISEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATAEEGEFEEEAEEEVA
+>DECOY_sp|P04350|TBB4A_HUMAN Tubulin beta-4A chain OS=Homo sapiens OX=9606 GN=TUBB4A PE=1 SV=2
+AVEEEAEEEFEGEEATADQYQQYESVLDNMNSEAETFEMEDMGEGTYWHLFAKRRFMATFQESIRKFLEQIATSNGIFTAAMKLGRPPIDCVATKVNNPIWEVFYSSNKSQVSLMQEDVEKMSMRGRFVAAVTLYRGHRPDCAAMMNKADFMQQTLEPVTLARYQQSGRSTLPAFGPMFFHLRPFPVMNVALKRLDANLQGPFRLCTTVGSMTASVLHNLDGYTPTTLKLTRFCIDYLAENDICYTEDTNEVLQHVSLTANYPEVVTDSVKPSPVVSFTNMIRDPFEERIKSILLTGMGSGTGGGLSHTLQFGQLCDCSEAEKRVVDLVADVLEAGETYHGKAWNNGAGSQGFVFNDPRFIQGFPGSRVSDMTGPELDVLVARPVYNGGTAENYYVNIRELQLDSDGHYTGTPDIGHEDSIVEWFKAGIQNGCQGAQLHVIERM
+>sp|P68371|TBB4B_HUMAN Tubulin beta-4B chain OS=Homo sapiens OX=9606 GN=TUBB4B PE=1 SV=1
+MREIVHLQAGQCGNQIGAKFWEVISDEHGIDPTGTYHGDSDLQLERINVYYNEATGGKYVPRAVLVDLEPGTMDSVRSGPFGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELVDSVLDVVRKEAESCDCLQGFQLTHSLGGGTGSGMGTLLISKIREEYPDRIMNTFSVVPSPKVSDTVVEPYNATLSVHQLVENTDETYCIDNEALYDICFRTLKLTTPTYGDLNHLVSATMSGVTTCLRFPGQLNADLRKLAVNMVPFPRLHFFMPGFAPLTSRGSQQYRALTVPELTQQMFDAKNMMAACDPRHGRYLTVAAVFRGRMSMKEVDEQMLNVQNKNSSYFVEWIPNNVKTAVCDIPPRGLKMSATFIGNSTAIQELFKRISEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATAEEEGEFEEEAEEEVA
+>DECOY_sp|P68371|TBB4B_HUMAN Tubulin beta-4B chain OS=Homo sapiens OX=9606 GN=TUBB4B PE=1 SV=1
+AVEEEAEEEFEGEEEATADQYQQYESVLDNMNSEAETFEMEDMGEGTYWHLFAKRRFMATFQESIRKFLEQIATSNGIFTASMKLGRPPIDCVATKVNNPIWEVFYSSNKNQVNLMQEDVEKMSMRGRFVAAVTLYRGHRPDCAAMMNKADFMQQTLEPVTLARYQQSGRSTLPAFGPMFFHLRPFPVMNVALKRLDANLQGPFRLCTTVGSMTASVLHNLDGYTPTTLKLTRFCIDYLAENDICYTEDTNEVLQHVSLTANYPEVVTDSVKPSPVVSFTNMIRDPYEERIKSILLTGMGSGTGGGLSHTLQFGQLCDCSEAEKRVVDLVSDVLEAGETYHGKAWNNGAGSQGFVFNDPRFIQGFPGSRVSDMTGPELDVLVARPVYKGGTAENYYVNIRELQLDSDGHYTGTPDIGHEDSIVEWFKAGIQNGCQGAQLHVIERM
+>sp|Q9HA65|TBC17_HUMAN TBC1 domain family member 17 OS=Homo sapiens OX=9606 GN=TBC1D17 PE=1 SV=2
+MEGAGYRVVFEKGGVYLHTSAKKYQDRDSLIAGVIRVVEKDNDVLLHWAPVEEAGDSTQILFSKKDSSGGDSCASEEEPTFDPDYEPDWAVISTVRPQLCHSEPTRGAEPSCPQGSWAFSVSLGELKSIRRSKPGLSWAYLVLVTQAGGSLPALHFHRGGTRALLRVLSRYLLLASSPQDSRLYLVFPHDSSALSNSFHHLQLFDQDSSNVVSRFLQDPYSTTFSSFSRVTNFFRGALQPQPEGAASDLPPPPDDEPEPGFEVISCVELGPRPTVERGPPVTEEEWARHVGPEGRLQQVPELKNRIFSGGLSPSLRREAWKFLLGYLSWEGTAEEHKAHIRKKTDEYFRMKLQWKSVSPEQERRNSLLHGYRSLIERDVSRTDRTNKFYEGPENPGLGLLNDILLTYCMYHFDLGYVQGMSDLLSPILYVIQNEVDAFWCFCGFMELVQGNFEESQETMKRQLGRLLLLLRVLDPLLCDFLDSQDSGSLCFCFRWLLIWFKREFPFPDVLRLWEVLWTGLPGPNLHLLVACAILDMERDTLMLSGFGSNEILKHINELTMKLSVEDVLTRAEALHRQLTACPELPHNVQEILGLAPPAEPHSPSPTASPLPLSPTRAPPTPPPSTDTAPQPDSSLEILPEEEDEGADS
+>DECOY_sp|Q9HA65|TBC17_HUMAN TBC1 domain family member 17 OS=Homo sapiens OX=9606 GN=TBC1D17 PE=1 SV=2
+SDAGEDEEEPLIELSSDPQPATDTSPPPTPPARTPSLPLPSATPSPSHPEAPPALGLIEQVNHPLEPCATLQRHLAEARTLVDEVSLKMTLENIHKLIENSGFGSLMLTDREMDLIACAVLLHLNPGPLGTWLVEWLRLVDPFPFERKFWILLWRFCFCLSGSDQSDLFDCLLPDLVRLLLLLRGLQRKMTEQSEEFNGQVLEMFGCFCWFADVENQIVYLIPSLLDSMGQVYGLDFHYMCYTLLIDNLLGLGPNEPGEYFKNTRDTRSVDREILSRYGHLLSNRREQEPSVSKWQLKMRFYEDTKKRIHAKHEEATGEWSLYGLLFKWAERRLSPSLGGSFIRNKLEPVQQLRGEPGVHRAWEEETVPPGREVTPRPGLEVCSIVEFGPEPEDDPPPPLDSAAGEPQPQLAGRFFNTVRSFSSFTTSYPDQLFRSVVNSSDQDFLQLHHFSNSLASSDHPFVLYLRSDQPSSALLLYRSLVRLLARTGGRHFHLAPLSGGAQTVLVLYAWSLGPKSRRISKLEGLSVSFAWSGQPCSPEAGRTPESHCLQPRVTSIVAWDPEYDPDFTPEEESACSDGGSSDKKSFLIQTSDGAEEVPAWHLLVDNDKEVVRIVGAILSDRDQYKKASTHLYVGGKEFVVRYGAGEM
+>sp|Q9NUY8|TBC23_HUMAN TBC1 domain family member 23 OS=Homo sapiens OX=9606 GN=TBC1D23 PE=1 SV=3
+MAEGEDVPPLPTSSGDGWEKDLEEALEAGGCDLETLRNIIQGRPLPADLRAKVWKIALNVAGKGDSLASWDGILDLPEQNTIHKDCLQFIDQLSVPEEKAAELLLDIESVITFYCKSRNIKYSTSLSWIHLLKPLVHLQLPRSDLYNCFYAIMNKYIPRDCSQKGRPFHLFRLLIQYHEPELCSYLDTKKITPDSYALNWLGSLFACYCSTEVTQAIWDGYLQQADPFFIYFLMLIILVNAKEVILTQESDSKEEVIKFLENTPSSLNIEDIEDLFSLAQYYCSKTPASFRKDNHHLFGSTLLGIKDDDADLSQALCLAISVSEILQANQLQGEGVRFFVVDCRPAEQYNAGHLSTAFHLDSDLMLQNPSEFAQSVKSLLEAQKQSIESGSIAGGEHLCFMGSGREEEDMYMNMVLAHFLQKNKEYVSIASGGFMALQQHLADINVDGPENGYGHWIASTSGSRSSINSVDGESPNGSSDRGMKSLVNKMTVALKTKSVNVREKVISFIENTSTPVDRMSFNLPWPDRSCTERHVSSSDRVGKPYRGVKPVFSIGDEEEYDTDEIDSSSMSDDDRKEVVNIQTWINKPDVKHHFPCKEVKESGHMFPSHLLVTATHMYCLREIVSRKGLAYIQSRQALNSVVKITSKKKHPELITFKYGNSSASGIEILAIERYLIPNAGDATKAIKQQIMKVLDALES
+>DECOY_sp|Q9NUY8|TBC23_HUMAN TBC1 domain family member 23 OS=Homo sapiens OX=9606 GN=TBC1D23 PE=1 SV=3
+SELADLVKMIQQKIAKTADGANPILYREIALIEIGSASSNGYKFTILEPHKKKSTIKVVSNLAQRSQIYALGKRSVIERLCYMHTATVLLHSPFMHGSEKVEKCPFHHKVDPKNIWTQINVVEKRDDDSMSSSDIEDTDYEEEDGISFVPKVGRYPKGVRDSSSVHRETCSRDPWPLNFSMRDVPTSTNEIFSIVKERVNVSKTKLAVTMKNVLSKMGRDSSGNPSEGDVSNISSRSGSTSAIWHGYGNEPGDVNIDALHQQLAMFGGSAISVYEKNKQLFHALVMNMYMDEEERGSGMFCLHEGGAISGSEISQKQAELLSKVSQAFESPNQLMLDSDLHFATSLHGANYQEAPRCDVVFFRVGEGQLQNAQLIESVSIALCLAQSLDADDDKIGLLTSGFLHHNDKRFSAPTKSCYYQALSFLDEIDEINLSSPTNELFKIVEEKSDSEQTLIVEKANVLIILMLFYIFFPDAQQLYGDWIAQTVETSCYCAFLSGLWNLAYSDPTIKKTDLYSCLEPEHYQILLRFLHFPRGKQSCDRPIYKNMIAYFCNYLDSRPLQLHVLPKLLHIWSLSTSYKINRSKCYFTIVSEIDLLLEAAKEEPVSLQDIFQLCDKHITNQEPLDLIGDWSALSDGKGAVNLAIKWVKARLDAPLPRGQIINRLTELDCGGAELAEELDKEWGDGSSTPLPPVDEGEAM
+>sp|Q9ULP9|TBC24_HUMAN TBC1 domain family member 24 OS=Homo sapiens OX=9606 GN=TBC1D24 PE=1 SV=2
+MDSPGYNCFVDKDKMDAAIQDLGPKELSCTELQELKQLARQGYWAQSHALRGKVYQRLIRDIPCRTVTPDASVYSDIVGKIVGKHSSSCLPLPEFVDNTQVPSYCLNARGEGAVRKILLCLANQFPDISFCPALPAVVALLLHYSIDEAECFEKACRILACNDPGRRLIDQSFLAFESSCMTFGDLVNKYCQAAHKLMVAVSEDVLQVYADWQRWLFGELPLCYFARVFDVFLVEGYKVLYRVALAILKFFHKVRAGQPLESDSVKQDIRTFVRDIAKTVSPEKLLEKAFAIRLFSRKEIQLLQMANEKALKQKGITVKQKSVSLSKRQFVHLAVHAENFRSEIVSVREMRDIWSWVPERFALCQPLLLFSSLQHGYSLARFYFQCEGHEPTLLLIKTTQKEVCGAYLSTDWSERNKFGGKLGFFGTGECFVFRLQPEVQRYEWVVIKHPELTKPPPLMAAEPTAPLSHSASSDPADRLSPFLAARHFNLPSKTESMFMAGGSDCLIVGGGGGQALYIDGDLNRGRTSHCDTFNNQPLCSENFLIAAVEAWGFQDPDTQ
+>DECOY_sp|Q9ULP9|TBC24_HUMAN TBC1 domain family member 24 OS=Homo sapiens OX=9606 GN=TBC1D24 PE=1 SV=2
+QTDPDQFGWAEVAAILFNESCLPQNNFTDCHSTRGRNLDGDIYLAQGGGGGVILCDSGGAMFMSETKSPLNFHRAALFPSLRDAPDSSASHSLPATPEAAMLPPPKTLEPHKIVVWEYRQVEPQLRFVFCEGTGFFGLKGGFKNRESWDTSLYAGCVEKQTTKILLLTPEHGECQFYFRALSYGHQLSSFLLLPQCLAFREPVWSWIDRMERVSVIESRFNEAHVALHVFQRKSLSVSKQKVTIGKQKLAKENAMQLLQIEKRSFLRIAFAKELLKEPSVTKAIDRVFTRIDQKVSDSELPQGARVKHFFKLIALAVRYLVKYGEVLFVDFVRAFYCLPLEGFLWRQWDAYVQLVDESVAVMLKHAAQCYKNVLDGFTMCSSEFALFSQDILRRGPDNCALIRCAKEFCEAEDISYHLLLAVVAPLAPCFSIDPFQNALCLLIKRVAGEGRANLCYSPVQTNDVFEPLPLCSSSHKGVIKGVIDSYVSADPTVTRCPIDRILRQYVKGRLAHSQAWYGQRALQKLEQLETCSLEKPGLDQIAADMKDKDVFCNYGPSDM
+>sp|Q86UD7|TBC26_HUMAN TBC1 domain family member 26 OS=Homo sapiens OX=9606 GN=TBC1D26 PE=2 SV=3
+MEMDGDPYNLPAQGQGNIIITKYEQGHRAGAAVDLGHEQVDVRKYTNNLGIVHEMELPHVSALEVKQRRKESKRTNKWQKMLADWTKYRSTKKLSQRVYKVIPLAVRGRAWSLLLDIDRIKSQNPGKYKVMKEKGKRSSRIIHCIQLDVSHTLQKHMMFIQRFGVKQQELCDILVAYSAYNPEVGYHRDLSRITAILLLCLPEEDAFWALTQLLAGERHSLWYSTAQILPGSRGSYRTRSRCCTSPSQRS
+>DECOY_sp|Q86UD7|TBC26_HUMAN TBC1 domain family member 26 OS=Homo sapiens OX=9606 GN=TBC1D26 PE=2 SV=3
+SRQSPSTCCRSRTRYSGRSGPLIQATSYWLSHREGALLQTLAWFADEEPLCLLLIATIRSLDRHYGVEPNYASYAVLIDCLEQQKVGFRQIFMMHKQLTHSVDLQICHIIRSSRKGKEKMVKYKGPNQSKIRDIDLLLSWARGRVALPIVKYVRQSLKKTSRYKTWDALMKQWKNTRKSEKRRQKVELASVHPLEMEHVIGLNNTYKRVDVQEHGLDVAAGARHGQEYKTIIINGQGQAPLNYPDGDMEM
+>sp|Q9Y2I9|TBC30_HUMAN TBC1 domain family member 30 OS=Homo sapiens OX=9606 GN=TBC1D30 PE=1 SV=2
+MDVLPTGGGRPGLRTELEFRGGGGEARLESQEEETIPAAPPAPRLRGAAERPRRSRDTWDGDEDTEPGEACGGRTSRTASLVSGLLNELYSCTEEEEAAGGGRGAEGRRRRRDSLDSSTEASGSDVVLGGRSGAGDSRVLQELQERPSQRHQMLYLRQKDANELKTILRELKYRIGIQSAKLLRHLKQKDRLLHKVQRNCDIVTACLQAVSQKRRVDTKLKFTLEPSLGQNGFQQWYDALKAVARLSTGIPKEWRRKVWLTLADHYLHSIAIDWDKTMRFTFNERSNPDDDSMGIQIVKDLHRTGCSSYCGQEAEQDRVVLKRVLLAYARWNKTVGYCQGFNILAALILEVMEGNEGDALKIMIYLIDKVLPESYFVNNLRALSVDMAVFRDLLRMKLPELSQHLDTLQRTANKESGGGYEPPLTNVFTMQWFLTLFATCLPNQTVLKIWDSVFFEGSEIILRVSLAIWAKLGEQIECCETADEFYSTMGRLTQEMLENDLLQSHELMQTVYSMAPFPFPQLAELREKYTYNITPFPATVKPTSVSGRHSKARDSDEENDPDDEDAVVNAVGCLGPFSGFLAPELQKYQKQIKEPNEEQSLRSNNIAELSPGAINSCRSEYHAAFNSMMMERMTTDINALKRQYSRIKKKQQQQVHQVYIRADKGPVTSILPSQVNSSPVINHLLLGKKMKMTNRAAKNAVIHIPGHTGGKISPVPYEDLKTKLNSPWRTHIRVHKKNMPRTKSHPGCGDTVGLIDEQNEASKTNGLGAAEAFPSGCTATAGREGSSPEGSTRRTIEGQSPEPVFGDADVDVSAVQAKLGALELNQRDAAAETELRVHPPCQRHCPEPPSAPEENKATSKAPQGSNSKTPIFSPFPSVKPLRKSATARNLGLYGPTERTPTVHFPQMSRSFSKPGGGNSGTKKR
+>DECOY_sp|Q9Y2I9|TBC30_HUMAN TBC1 domain family member 30 OS=Homo sapiens OX=9606 GN=TBC1D30 PE=1 SV=2
+RKKTGSNGGGPKSFSRSMQPFHVTPTRETPGYLGLNRATASKRLPKVSPFPSFIPTKSNSGQPAKSTAKNEEPASPPEPCHRQCPPHVRLETEAAADRQNLELAGLKAQVASVDVDADGFVPEPSQGEITRRTSGEPSSGERGATATCGSPFAEAAGLGNTKSAENQEDILGVTDGCGPHSKTRPMNKKHVRIHTRWPSNLKTKLDEYPVPSIKGGTHGPIHIVANKAARNTMKMKKGLLLHNIVPSSNVQSPLISTVPGKDARIYVQHVQQQQKKKIRSYQRKLANIDTTMREMMMSNFAAHYESRCSNIAGPSLEAINNSRLSQEENPEKIQKQYKQLEPALFGSFPGLCGVANVVADEDDPDNEEDSDRAKSHRGSVSTPKVTAPFPTINYTYKERLEALQPFPFPAMSYVTQMLEHSQLLDNELMEQTLRGMTSYFEDATECCEIQEGLKAWIALSVRLIIESGEFFVSDWIKLVTQNPLCTAFLTLFWQMTFVNTLPPEYGGGSEKNATRQLTDLHQSLEPLKMRLLDRFVAMDVSLARLNNVFYSEPLVKDILYIMIKLADGENGEMVELILAALINFGQCYGVTKNWRAYALLVRKLVVRDQEAEQGCYSSCGTRHLDKVIQIGMSDDDPNSRENFTFRMTKDWDIAISHLYHDALTLWVKRRWEKPIGTSLRAVAKLADYWQQFGNQGLSPELTFKLKTDVRRKQSVAQLCATVIDCNRQVKHLLRDKQKLHRLLKASQIGIRYKLERLITKLENADKQRLYLMQHRQSPREQLEQLVRSDGAGSRGGLVVDSGSAETSSDLSDRRRRRGEAGRGGGAAEEEETCSYLENLLGSVLSATRSTRGGCAEGPETDEDGDWTDRSRRPREAAGRLRPAPPAAPITEEEQSELRAEGGGGRFELETRLGPRGGGTPLVDM
+>sp|A6NDS4|TBC3B_HUMAN TBC1 domain family member 3B OS=Homo sapiens OX=9606 GN=TBC1D3B PE=2 SV=2
+MDVVEVAGSWWAQEREDIIMKYEKGHRAGLPEDKGPKPFRSYNNNVDHLGIVHETELPPLTAREAKQIRREISRKSKWVDMLGDWEKYKSSRKLIDRAYKGMPMNIRGPMWSVLLNIEEMKLKNPGRYQIMKEKGKRSSEHIQRIDRDISGTLRKHMFFRDRYGTKQRELLHILLAYEEYNPEVGYCRDLSHIAALFLLYLPEEDAFWALVQLLASERHSLQGFHSPNGGTVQGLQDQQEHVVATSQSKTMGHQDKKDLCGQCSPLGCLIRILIDGISLGLTLRLWDVYLVEGEQALMPITRIAFKVQQKRLTKTSRCGPWARFCNRFVDTWARDEDTVLKHLRASMKKLTRKQGDLPPPAKPEQGSSASRPVPASRGRKTLCKGDRQAPPGPPARFPRPIWSASPPRAPRSSTPCPGGAVREDTYPVGTQGVPSPALAQGGPQGSWRFLQWNSMPRLPTDLDVEGPWFRHYDFRQSCWVRAISQEDQLAPCWQAEHPAERVRSAFAAPSTDSDQGTPFRARDEQQCAPTSGPCLCGLHLESSQFPPGF
+>DECOY_sp|A6NDS4|TBC3B_HUMAN TBC1 domain family member 3B OS=Homo sapiens OX=9606 GN=TBC1D3B PE=2 SV=2
+FGPPFQSSELHLGCLCPGSTPACQQEDRARFPTGQDSDTSPAAFASRVREAPHEAQWCPALQDEQSIARVWCSQRFDYHRFWPGEVDLDTPLRPMSNWQLFRWSGQPGGQALAPSPVGQTGVPYTDERVAGGPCPTSSRPARPPSASWIPRPFRAPPGPPAQRDGKCLTKRGRSAPVPRSASSGQEPKAPPPLDGQKRTLKKMSARLHKLVTDEDRAWTDVFRNCFRAWPGCRSTKTLRKQQVKFAIRTIPMLAQEGEVLYVDWLRLTLGLSIGDILIRILCGLPSCQGCLDKKDQHGMTKSQSTAVVHEQQDQLGQVTGGNPSHFGQLSHRESALLQVLAWFADEEPLYLLFLAAIHSLDRCYGVEPNYEEYALLIHLLERQKTGYRDRFFMHKRLTGSIDRDIRQIHESSRKGKEKMIQYRGPNKLKMEEINLLVSWMPGRINMPMGKYARDILKRSSKYKEWDGLMDVWKSKRSIERRIQKAERATLPPLETEHVIGLHDVNNNYSRFPKPGKDEPLGARHGKEYKMIIDEREQAWWSGAVEVVDM
+>sp|A0A087X179|TBC3E_HUMAN TBC1 domain family member 3E OS=Homo sapiens OX=9606 GN=TBC1D3E PE=2 SV=1
+MDVVEVAGSWWAQEREDIIMKYEKGHRAGLPEDKGPKPFRSYNNNVDHLGIVHETELPPLTAREAKQIRREISRKSKWVDMLGDWEKYKSSRKLIDQAYKGMPMNIRGPMWSVLLNTEEMKLKNPGRYQIMKEKGKKSSEHIQRIDRDVSGTLRKHIFFRDRYGTKQRELLHILLAYEEYNPEVGYCRDLSHIAALFLLYLPEEDAFWALVQLLASERHSLQGFHSPNGGTVQGLQDQQEHVVATSQPKTMGHQDKKDLCGQCSPLGCLIRILIDGISLGLTLRLWDVYLVEGEQALMPITRIAFKVQQKRLTKTSRCGPWARFCNRFVDTWARDEDTVLKHLRASMKKLTRKKGDLPPPAKPEQGSSASRPVPASRGGKTLCKGDRQAPPGPPARFPRPIWSASPPRAPRSSTPCPGGAVREDTYPVGTQGVPSPALAQGGPQGSWRFLQWNSMPRLPTDLDVEGPWFRHYDFRQSCWVRAISQEDQLAPCWQAEHPAERVRSAFAAPSTDSDQGTPFRARDEQQCAPTSGPCLCGLHLESSQFPPGF
+>DECOY_sp|A0A087X179|TBC3E_HUMAN TBC1 domain family member 3E OS=Homo sapiens OX=9606 GN=TBC1D3E PE=2 SV=1
+FGPPFQSSELHLGCLCPGSTPACQQEDRARFPTGQDSDTSPAAFASRVREAPHEAQWCPALQDEQSIARVWCSQRFDYHRFWPGEVDLDTPLRPMSNWQLFRWSGQPGGQALAPSPVGQTGVPYTDERVAGGPCPTSSRPARPPSASWIPRPFRAPPGPPAQRDGKCLTKGGRSAPVPRSASSGQEPKAPPPLDGKKRTLKKMSARLHKLVTDEDRAWTDVFRNCFRAWPGCRSTKTLRKQQVKFAIRTIPMLAQEGEVLYVDWLRLTLGLSIGDILIRILCGLPSCQGCLDKKDQHGMTKPQSTAVVHEQQDQLGQVTGGNPSHFGQLSHRESALLQVLAWFADEEPLYLLFLAAIHSLDRCYGVEPNYEEYALLIHLLERQKTGYRDRFFIHKRLTGSVDRDIRQIHESSKKGKEKMIQYRGPNKLKMEETNLLVSWMPGRINMPMGKYAQDILKRSSKYKEWDGLMDVWKSKRSIERRIQKAERATLPPLETEHVIGLHDVNNNYSRFPKPGKDEPLGARHGKEYKMIIDEREQAWWSGAVEVVDM
+>sp|Q0IIM8|TBC8B_HUMAN TBC1 domain family member 8B OS=Homo sapiens OX=9606 GN=TBC1D8B PE=1 SV=2
+MWLKPEEVLLKNALKLWLMERSNDYFVLQRRRGYGEEGGGGLTGLLVGTLDSVLDSTAKVAPFRILHQTPDSQVYLSIACGANREEITKHWDWLEQNIMKTLSVFDSNEDITNFVQGKIRGLIAEEGKHCFAKEDDPEKFREALLKFEKCFGLPEKEKLVTYYSCSYWKGRVPCQGWLYLSTNFLSFYSFLLGSEIKLIISWDEVSKLEKTSNVILTESIHVCSQGENHYFSMFLHINQTYLLMEQLANYAIRRLFDKETFDNDPVLYNPLQITKRGLENRAHSEQFNAFFRLPKGESLKEVHECFLWVPFSHFNTHGKMCISENYICFASQDGNQCSVIIPLREVLAIDKTNDSSKSVIISIKGKTAFRFHEVKDFEQLVAKLRLRCGAASTQYHDISTELAISSESTEPSDNFEVQSLTSQRECSKTVNTEALMTVFHPQNLETLNSKMLKEKMKEQSWKILFAECGRGVSMFRTKKTRDLVVRGIPETLRGELWMLFSGAVNDMATNPDYYTEVVEQSLGTCNLATEEIERDLRRSLPEHPAFQSDTGISALRRVLTAYAYRNPKIGYCQAMNILTSVLLLYAKEEEAFWLLVAVCERMLPDYFNRRIIGALVDQAVFEELIRDHLPQLTEHMTDMTFFSSVSLSWFLTLFISVLPIESAVNVVDCFFYDGIKAILQLGLAILDYNLDKLLTCKDDAEAVTALNRFFDNVTNKDSPLPSNVQQGSNVSDEKTSHTRVDITDLIRESNEKYGNIRYEDIHSMRCRNRLYVIQTLEETTKQNVLRVVSQDVKLSLQELDELYVIFKKELFLSCYWCLGCPVLKHHDPSLPYLEQYQIDCQQFRALYHLLSPWAHSANKDSLALWTFRLLDENSDCLINFKEFSSAIDIMYNGSFTEKLKLLFKLHIPPAYTEVKSKDASKGDELSKEELLYFSQLHVSKPANEKEAESAKHSPEKGKGKIDIQAYLSQWQDELFKKEENIKDLPRMNQSQFIQFSKTLYNLFHEDPEEESLYQAIAVVTSLLLRMEEVGRKLHSPTSSAKGFSGTVCGSGGPSEEKTGSHLEKDPCSFREEPQWSFAFEQILASLLNEPALVRFFEKPIDVKAKLENARISQLRSRTKM
+>DECOY_sp|Q0IIM8|TBC8B_HUMAN TBC1 domain family member 8B OS=Homo sapiens OX=9606 GN=TBC1D8B PE=1 SV=2
+MKTRSRLQSIRANELKAKVDIPKEFFRVLAPENLLSALIQEFAFSWQPEERFSCPDKELHSGTKEESPGGSGCVTGSFGKASSTPSHLKRGVEEMRLLLSTVVAIAQYLSEEEPDEHFLNYLTKSFQIFQSQNMRPLDKINEEKKFLEDQWQSLYAQIDIKGKGKEPSHKASEAEKENAPKSVHLQSFYLLEEKSLEDGKSADKSKVETYAPPIHLKFLLKLKETFSGNYMIDIASSFEKFNILCDSNEDLLRFTWLALSDKNASHAWPSLLHYLARFQQCDIQYQELYPLSPDHHKLVPCGLCWYCSLFLEKKFIVYLEDLEQLSLKVDQSVVRLVNQKTTEELTQIVYLRNRCRMSHIDEYRINGYKENSERILDTIDVRTHSTKEDSVNSGQQVNSPLPSDKNTVNDFFRNLATVAEADDKCTLLKDLNYDLIALGLQLIAKIGDYFFCDVVNVASEIPLVSIFLTLFWSLSVSSFFTMDTMHETLQPLHDRILEEFVAQDVLAGIIRRNFYDPLMRECVAVLLWFAEEEKAYLLLVSTLINMAQCYGIKPNRYAYATLVRRLASIGTDSQFAPHEPLSRRLDREIEETALNCTGLSQEVVETYYDPNTAMDNVAGSFLMWLEGRLTEPIGRVVLDRTKKTRFMSVGRGCEAFLIKWSQEKMKEKLMKSNLTELNQPHFVTMLAETNVTKSCERQSTLSQVEFNDSPETSESSIALETSIDHYQTSAAGCRLRLKAVLQEFDKVEHFRFATKGKISIIVSKSSDNTKDIALVERLPIIVSCQNGDQSAFCIYNESICMKGHTNFHSFPVWLFCEHVEKLSEGKPLRFFANFQESHARNELGRKTIQLPNYLVPDNDFTEKDFLRRIAYNALQEMLLYTQNIHLFMSFYHNEGQSCVHISETLIVNSTKELKSVEDWSIILKIESGLLFSYFSLFNTSLYLWGQCPVRGKWYSCSYYTVLKEKEPLGFCKEFKLLAERFKEPDDEKAFCHKGEEAILGRIKGQVFNTIDENSDFVSLTKMINQELWDWHKTIEERNAGCAISLYVQSDPTQHLIRFPAVKATSDLVSDLTGVLLGTLGGGGEEGYGRRRQLVFYDNSREMLWLKLANKLLVEEPKLWM
+>sp|Q66K14|TBC9B_HUMAN TBC1 domain family member 9B OS=Homo sapiens OX=9606 GN=TBC1D9B PE=1 SV=3
+MWLSPEEVLVANALWVTERANPFFVLQRRRGHGRGGGLTGLLVGTLDVVLDSSARVAPYRILHQTQDSQVYWTVACGSSRKEITKHWEWLENNLLQTLSIFDSEEDITTFVKGKIHGIIAEENKNLQPQGDEDPGKFKEAELKMRKQFGMPEGEKLVNYYSCSYWKGRVPRQGWLYLTVNHLCFYSFLLGKEVSLVVQWVDITRLEKNATLLFPESIRVDTRDQELFFSMFLNIGETFKLMEQLANLAMRQLLDSEGFLEDKALPRPIRPHRNISALKRDLDARAKNECYRATFRLPRDERLDGHTSCTLWTPFNKLHIPGQMFISNNYICFASKEEDACHLIIPLREVTIVEKADSSSVLPSPLSISTKSKMTFLFANLKDRDFLVQRISDFLQKTPSKQPGSIGSRKASVVDPSTESSPAPQEGSEQPASPASPLSSRQSFCAQEAPTASQGLLKLFQKNSPMEDLGAKGAKEKMKEESWHIHFFEYGRGVCMYRTAKTRALVLKGIPESLRGELWLLFSGAWNEMVTHPGYYAELVEKSTGKYSLATEEIERDLHRSMPEHPAFQNELGIAALRRVLTAYAFRNPTIGYCQAMNIVTSVLLLYGSEEEAFWLLVALCERMLPDYYNTRVVGALVDQGIFEELTRDFLPQLSEKMQDLGVISSISLSWFLTLFLSVMPFESAVVIVDCFFYEGIKVILQVALAVLDANMEQLLGCSDEGEAMTMLGRYLDNVVNKQSVSPPIPHLRALLSSSDDPPAEVDIFELLKVSYEKFSSLRAEDIEQMRFKQRLKVIQSLEDTAKRSVVRAIPVDIGFSIEELEDLYMVFKAKHLASQYWGCSRTMAGRRDPSLPYLEQYRIDASQFRELFASLTPWACGSHTPLLAGRMFRLLDENKDSLINFKEFVTGMSGMYHGDLTEKLKVLYKLHLPPALSPEEAESALEAAHYFTEDSSSEASPLASDLDLFLPWEAQEALPQEEQEGSGSEERGEEKGTSSPDYRHYLRMWAKEKEAQKETIKDLPKMNQEQFIELCKTLYNMFSEDPMEQDLYHAIATVASLLLRIGEVGKKFSARTGRKPRDCATEEDEPPAPELHQDAARELQPPAAGDPQAKAGGDTHLGKAPQESQVVVEGGSGEGQGSPSQLLSDDETKDDMSMSSYSVVSTGSLQCEDLADDTVLVGGEACSPTARIGGTVDTDWCISFEQILASILTESVLVNFFEKRVDIGLKIKDQKKVERQFSTASDHEQPGVSG
+>DECOY_sp|Q66K14|TBC9B_HUMAN TBC1 domain family member 9B OS=Homo sapiens OX=9606 GN=TBC1D9B PE=1 SV=3
+GSVGPQEHDSATSFQREVKKQDKIKLGIDVRKEFFNVLVSETLISALIQEFSICWDTDVTGGIRATPSCAEGGVLVTDDALDECQLSGTSVVSYSSMSMDDKTEDDSLLQSPSGQGEGSGGEVVVQSEQPAKGLHTDGGAKAQPDGAAPPQLERAADQHLEPAPPEDEETACDRPKRGTRASFKKGVEGIRLLLSAVTAIAHYLDQEMPDESFMNYLTKCLEIFQEQNMKPLDKITEKQAEKEKAWMRLYHRYDPSSTGKEEGREESGSGEQEEQPLAEQAEWPLFLDLDSALPSAESSSDETFYHAAELASEAEEPSLAPPLHLKYLVKLKETLDGHYMGSMGTVFEKFNILSDKNEDLLRFMRGALLPTHSGCAWPTLSAFLERFQSADIRYQELYPLSPDRRGAMTRSCGWYQSALHKAKFVMYLDELEEISFGIDVPIARVVSRKATDELSQIVKLRQKFRMQEIDEARLSSFKEYSVKLLEFIDVEAPPDDSSSLLARLHPIPPSVSQKNVVNDLYRGLMTMAEGEDSCGLLQEMNADLVALAVQLIVKIGEYFFCDVIVVASEFPMVSLFLTLFWSLSISSIVGLDQMKESLQPLFDRTLEEFIGQDVLAGVVRTNYYDPLMRECLAVLLWFAEEESGYLLLVSTVINMAQCYGITPNRFAYATLVRRLAAIGLENQFAPHEPMSRHLDREIEETALSYKGTSKEVLEAYYGPHTVMENWAGSFLLWLEGRLSEPIGKLVLARTKATRYMCVGRGYEFFHIHWSEEKMKEKAGKAGLDEMPSNKQFLKLLGQSATPAEQACFSQRSSLPSAPSAPQESGEQPAPSSETSPDVVSAKRSGISGPQKSPTKQLFDSIRQVLFDRDKLNAFLFTMKSKTSISLPSPLVSSSDAKEVITVERLPIILHCADEEKSAFCIYNNSIFMQGPIHLKNFPTWLTCSTHGDLREDRPLRFTARYCENKARADLDRKLASINRHPRIPRPLAKDELFGESDLLQRMALNALQEMLKFTEGINLFMSFFLEQDRTDVRISEPFLLTANKELRTIDVWQVVLSVEKGLLFSYFCLHNVTLYLWGQRPVRGKWYSCSYYNVLKEGEPMGFQKRMKLEAEKFKGPDEDGQPQLNKNEEAIIGHIKGKVFTTIDEESDFISLTQLLNNELWEWHKTIEKRSSGCAVTWYVQSDQTQHLIRYPAVRASSDLVVDLTGVLLGTLGGGRGHGRRRQLVFFPNARETVWLANAVLVEEPSLWM
+>sp|Q9NVR7|TBCC1_HUMAN TBCC domain-containing protein 1 OS=Homo sapiens OX=9606 GN=TBCCD1 PE=2 SV=1
+MDQSRVLLWVKAEPFIVGALQVPPPSKFSLHYLRKISTYVQIRATEGAYPRLYWSTWRHIACGKLQLAKDLAWLYFEIFDSLSMKTPEERLEWSEVLSNCMSEEEVEKQRNQLSVDTLQFLLFLYIQQLNKVSLRTSLIGEEWPSPRNKSQSPDLTEKSNCHNKNWNDYSHQAFVYDHLSDLLELLLDPKQLTASFHSTHSSLVSREAVVALSFLIEGTISRARKIYPLHELALWQPLHADSGFSKISKTFSFYKLETWLRSCLTGNPFGTSACLKSGKKLAWAHQVEGTTKRAKIACNTHVAPRMHRLVVMSQVYKQTLAKSSDTLAGAHVKIHRCNESFIYLLSPLRSVTIEKCRNSIFVLGPVGTTLHLHSCDNVKVIAVCHRLSISSTTGCIFHVLTPTRPLILSGNQTVTFAPFHTHYPMLEDHMARTGLATVPNYWDNPMVVCRENSDTRVFQLLPPCEFYVFIIPFEMEGDTTEIPGGLPSVYQKALGQREQKIQIWQKTVKEAHLTKDQRKQFQVLVENKFYEWLINTGHRQQLDSLVPPAAGSKQAAG
+>DECOY_sp|Q9NVR7|TBCC1_HUMAN TBCC domain-containing protein 1 OS=Homo sapiens OX=9606 GN=TBCCD1 PE=2 SV=1
+GAAQKSGAAPPVLSDLQQRHGTNILWEYFKNEVLVQFQKRQDKTLHAEKVTKQWIQIKQERQGLAKQYVSPLGGPIETTDGEMEFPIIFVYFECPPLLQFVRTDSNERCVVMPNDWYNPVTALGTRAMHDELMPYHTHFPAFTVTQNGSLILPRTPTLVHFICGTTSSISLRHCVAIVKVNDCSHLHLTTGVPGLVFISNRCKEITVSRLPSLLYIFSENCRHIKVHAGALTDSSKALTQKYVQSMVVLRHMRPAVHTNCAIKARKTTGEVQHAWALKKGSKLCASTGFPNGTLCSRLWTELKYFSFTKSIKSFGSDAHLPQWLALEHLPYIKRARSITGEILFSLAVVAERSVLSSHTSHFSATLQKPDLLLELLDSLHDYVFAQHSYDNWNKNHCNSKETLDPSQSKNRPSPWEEGILSTRLSVKNLQQIYLFLLFQLTDVSLQNRQKEVEEESMCNSLVESWELREEPTKMSLSDFIEFYLWALDKALQLKGCAIHRWTSWYLRPYAGETARIQVYTSIKRLYHLSFKSPPPVQLAGVIFPEAKVWLLVRSQDM
+>sp|Q86TI0|TBCD1_HUMAN TBC1 domain family member 1 OS=Homo sapiens OX=9606 GN=TBC1D1 PE=1 SV=2
+MEPITFTARKHLLSNEVSVDFGLQLVGSLPVHSLTTMPMLPWVVAEVRRLSRQSTRKEPVTKQVRLCVSPSGLRCEPEPGRSQQWDPLIYSSIFECKPQRVHKLIHNSHDPSYFACLIKEDAVHRQSICYVFKADDQTKVPEIISSIRQAGKIARQEELHCPSEFDDTFSKKFEVLFCGRVTVAHKKAPPALIDECIEKFNHVSGSRGSESPRPNPPHAAPTGSQEPVRRPMRKSFSQPGLRSLAFRKELQDGGLRSSGFFSSFEESDIENHLISGHNIVQPTDIEENRTMLFTIGQSEVYLISPDTKKIALEKNFKEISFCSQGIRHVDHFGFICRESSGGGGFHFVCYVFQCTNEALVDEIMMTLKQAFTVAAVQQTAKAPAQLCEGCPLQSLHKLCERIEGMNSSKTKLELQKHLTTLTNQEQATIFEEVQKLRPRNEQRENELIISFLRCLYEEKQKEHIHIGEMKQTSQMAAENIGSELPPSATRFRLDMLKNKAKRSLTESLESILSRGNKARGLQEHSISVDLDSSLSSTLSNTSKEPSVCEKEALPISESSFKLLGSSEDLSSDSESHLPEEPAPLSPQQAFRRRANTLSHFPIECQEPPQPARGSPGVSQRKLMRYHSVSTETPHERKDFESKANHLGDSGGTPVKTRRHSWRQQIFLRVATPQKACDSSSRYEDYSELGELPPRSPLEPVCEDGPFGPPPEEKKRTSRELRELWQKAILQQILLLRMEKENQKLQASENDLLNKRLKLDYEEITPCLKEVTTVWEKMLSTPGRSKIKFDMEKMHSAVGQGVPRHHRGEIWKFLAEQFHLKHQFPSKQQPKDVPYKELLKQLTSQQHAILIDLGRTFPTHPYFSAQLGAGQLSLYNILKAYSLLDQEVGYCQGLSFVAGILLLHMSEEEAFKMLKFLMFDMGLRKQYRPDMIILQIQMYQLSRLLHDYHRDLYNHLEEHEIGPSLYAAPWFLTMFASQFPLGFVARVFDMIFLQGTEVIFKVALSLLGSHKPLILQHENLETIVDFIKSTLPNLGLVQMEKTINQVFEMDIAKQLQAYEVEYHVLQEELIDSSPLSDNQRMDKLEKTNSSLRKQNLDLLEQLQVANGRIQSLEATIEKLLSSESKLKQAMLTLELERSALLQTVEELRRRSAEPSDREPECTQPEPTGD
+>DECOY_sp|Q86TI0|TBCD1_HUMAN TBC1 domain family member 1 OS=Homo sapiens OX=9606 GN=TBC1D1 PE=1 SV=2
+DGTPEPQTCEPERDSPEASRRRLEEVTQLLASRELELTLMAQKLKSESSLLKEITAELSQIRGNAVQLQELLDLNQKRLSSNTKELKDMRQNDSLPSSDILEEQLVHYEVEYAQLQKAIDMEFVQNITKEMQVLGLNPLTSKIFDVITELNEHQLILPKHSGLLSLAVKFIVETGQLFIMDFVRAVFGLPFQSAFMTLFWPAAYLSPGIEHEELHNYLDRHYDHLLRSLQYMQIQLIIMDPRYQKRLGMDFMLFKLMKFAEEESMHLLLIGAVFSLGQCYGVEQDLLSYAKLINYLSLQGAGLQASFYPHTPFTRGLDILIAHQQSTLQKLLEKYPVDKPQQKSPFQHKLHFQEALFKWIEGRHHRPVGQGVASHMKEMDFKIKSRGPTSLMKEWVTTVEKLCPTIEEYDLKLRKNLLDNESAQLKQNEKEMRLLLIQQLIAKQWLERLERSTRKKEEPPPGFPGDECVPELPSRPPLEGLESYDEYRSSSDCAKQPTAVRLFIQQRWSHRRTKVPTGGSDGLHNAKSEFDKREHPTETSVSHYRMLKRQSVGPSGRAPQPPEQCEIPFHSLTNARRRFAQQPSLPAPEEPLHSESDSSLDESSGLLKFSSESIPLAEKECVSPEKSTNSLTSSLSSDLDVSISHEQLGRAKNGRSLISELSETLSRKAKNKLMDLRFRTASPPLESGINEAAMQSTQKMEGIHIHEKQKEEYLCRLFSIILENERQENRPRLKQVEEFITAQEQNTLTTLHKQLELKTKSSNMGEIRECLKHLSQLPCGECLQAPAKATQQVAAVTFAQKLTMMIEDVLAENTCQFVYCVFHFGGGGSSERCIFGFHDVHRIGQSCFSIEKFNKELAIKKTDPSILYVESQGITFLMTRNEEIDTPQVINHGSILHNEIDSEEFSSFFGSSRLGGDQLEKRFALSRLGPQSFSKRMPRRVPEQSGTPAAHPPNPRPSESGRSGSVHNFKEICEDILAPPAKKHAVTVRGCFLVEFKKSFTDDFESPCHLEEQRAIKGAQRISSIIEPVKTQDDAKFVYCISQRHVADEKILCAFYSPDHSNHILKHVRQPKCEFISSYILPDWQQSRGPEPECRLGSPSVCLRVQKTVPEKRTSQRSLRRVEAVVWPLMPMTTLSHVPLSGVLQLGFDVSVENSLLHKRATFTIPEM
+>sp|O60343|TBCD4_HUMAN TBC1 domain family member 4 OS=Homo sapiens OX=9606 GN=TBC1D4 PE=1 SV=2
+MEPPSCIQDEPFPHPLEPEPGVSAQPGPGKPSDKRFRLWYVGGSCLDHRTTLPMLPWLMAEIRRRSQKPEAGGCGAPAAREVILVLSAPFLRCVPAPGAGASGGTSPSATQPNPAVFIFEHKAQHISRFIHNSHDLTYFAYLIKAQPDDPESQMACHVFRATDPSQVPDVISSIRQLSKAAMKEDAKPSKDNEDAFYNSQKFEVLYCGKVTVTHKKAPSSLIDDCMEKFSLHEQQRLKIQGEQRGPDPGEDLADLEVVVPGSPGDCLPEEADGTDTHLGLPAGASQPALTSSRVCFPERILEDSGFDEQQEFRSRCSSVTGVQRRVHEGSQKSQPRRRHASAPSHVQPSDSEKNRTMLFQVGRFEINLISPDTKSVVLEKNFKDISSCSQGIKHVDHFGFICRESPEPGLSQYICYVFQCASESLVDEVMLTLKQAFSTAAALQSAKTQIKLCEACPMHSLHKLCERIEGLYPPRAKLVIQRHLSSLTDNEQADIFERVQKMKPVSDQEENELVILHLRQLCEAKQKTHVHIGEGPSTISNSTIPENATSSGRFKLDILKNKAKRSLTSSLENIFSRGANRMRGRLGSVDSFERSNSLASEKDYSPGDSPPGTPPASPPSSAWQTFPEEDSDSPQFRRRAHTFSHPPSSTKRKLNLQDGRAQGVRSPLLRQSSSEQCSNLSSVRRMYKESNSSSSLPSLHTSFSAPSFTAPSFLKSFYQNSGRLSPQYENEIRQDTASESSDGEGRKRTSSTCSNESLSVGGTSVTPRRISWRQRIFLRVASPMNKSPSAMQQQDGLDRNELLPLSPLSPTMEEEPLVVFLSGEDDPEKIEERKKSKELRSLWRKAIHQQILLLRMEKENQKLEASRDELQSRKVKLDYEEVGACQKEVLITWDKKLLNCRAKIRCDMEDIHTLLKEGVPKSRRGEIWQFLALQYRLRHRLPNKQQPPDISYKELLKQLTAQQHAILVDLGRTFPTHPYFSVQLGPGQLSLFNLLKAYSLLDKEVGYCQGISFVAGVLLLHMSEEQAFEMLKFLMYDLGFRKQYRPDMMSLQIQMYQLSRLLHDYHRDLYNHLEENEISPSLYAAPWFLTLFASQFSLGFVARVFDIIFLQGTEVIFKVALSLLSSQETLIMECESFENIVEFLKNTLPDMNTSEMEKIITQVFEMDISKQLHAYEVEYHVLQDELQESSYSCEDSETLEKLERANSQLKRQNMDLLEKLQVAHTKIQALESNLENLLTRETKMKSLIRTLEQEKMAYQKTVEQLRKLLPADALVNCDLLLRDLNCNPNNKAKIGNKP
+>DECOY_sp|O60343|TBCD4_HUMAN TBC1 domain family member 4 OS=Homo sapiens OX=9606 GN=TBC1D4 PE=1 SV=2
+PKNGIKAKNNPNCNLDRLLLDCNVLADAPLLKRLQEVTKQYAMKEQELTRILSKMKTERTLLNELNSELAQIKTHAVQLKELLDMNQRKLQSNARELKELTESDECSYSSEQLEDQLVHYEVEYAHLQKSIDMEFVQTIIKEMESTNMDPLTNKLFEVINEFSECEMILTEQSSLLSLAVKFIVETGQLFIIDFVRAVFGLSFQSAFLTLFWPAAYLSPSIENEELHNYLDRHYDHLLRSLQYMQIQLSMMDPRYQKRFGLDYMLFKLMEFAQEESMHLLLVGAVFSIGQCYGVEKDLLSYAKLLNFLSLQGPGLQVSFYPHTPFTRGLDVLIAHQQATLQKLLEKYSIDPPQQKNPLRHRLRYQLALFQWIEGRRSKPVGEKLLTHIDEMDCRIKARCNLLKKDWTILVEKQCAGVEEYDLKVKRSQLEDRSAELKQNEKEMRLLLIQQHIAKRWLSRLEKSKKREEIKEPDDEGSLFVVLPEEEMTPSLPSLPLLENRDLGDQQQMASPSKNMPSAVRLFIRQRWSIRRPTVSTGGVSLSENSCTSSTRKRGEGDSSESATDQRIENEYQPSLRGSNQYFSKLFSPATFSPASFSTHLSPLSSSSNSEKYMRRVSSLNSCQESSSQRLLPSRVGQARGDQLNLKRKTSSPPHSFTHARRRFQPSDSDEEPFTQWASSPPSAPPTGPPSDGPSYDKESALSNSREFSDVSGLRGRMRNAGRSFINELSSTLSRKAKNKLIDLKFRGSSTANEPITSNSITSPGEGIHVHTKQKAECLQRLHLIVLENEEQDSVPKMKQVREFIDAQENDTLSSLHRQIVLKARPPYLGEIRECLKHLSHMPCAECLKIQTKASQLAAATSFAQKLTLMVEDVLSESACQFVYCIYQSLGPEPSERCIFGFHDVHKIGQSCSSIDKFNKELVVSKTDPSILNIEFRGVQFLMTRNKESDSPQVHSPASAHRRRPQSKQSGEHVRRQVGTVSSCRSRFEQQEDFGSDELIREPFCVRSSTLAPQSAGAPLGLHTDTGDAEEPLCDGPSGPVVVELDALDEGPDPGRQEGQIKLRQQEHLSFKEMCDDILSSPAKKHTVTVKGCYLVEFKQSNYFADENDKSPKADEKMAAKSLQRISSIVDPVQSPDTARFVHCAMQSEPDDPQAKILYAFYTLDHSNHIFRSIHQAKHEFIFVAPNPQTASPSTGGSAGAGPAPVCRLFPASLVLIVERAAPAGCGGAEPKQSRRRIEAMLWPLMPLTTRHDLCSGGVYWLRFRKDSPKGPGPQASVGPEPELPHPFPEDQICSPPEM
+>sp|Q3YBR2|TBRG1_HUMAN Transforming growth factor beta regulator 1 OS=Homo sapiens OX=9606 GN=TBRG1 PE=1 SV=1
+MSLLDGLASSPRAPLQSSKARMKKLPKKSQNEKYRLKYLRLRKAAKATVFENAAICDEIARLEEKFLKAKEERRYLLKKLLQLQALTEGEVQAAAPSHSSSLPLTYGVASSVGTIQGAGPISGPSTGAEEPFGKKTKKEKKEKGKENNKLEVLKKTCKKKKMAGGARKLVQPIALDPSGRPVFPIGLGGLTVYSLGEIITDRPGFHDESAIYPVGYCSTRIYASMKCPDQKCLYTCQIKDGGVQPQFEIVPEDDPQNAIVSSSADACHAELLRTISTTMGKLMPNLLPAGADFFGFSHPAIHNLIQSCPGARKCINYQWVKFDVCKPGDGQLPEGLPENDAAMSFEAFQRQIFDEDQNDPLLPGSLDLPELQPAAFVSSYQPMYLTHEPLVDTHLQHLKSPSQGSPIQSSD
+>DECOY_sp|Q3YBR2|TBRG1_HUMAN Transforming growth factor beta regulator 1 OS=Homo sapiens OX=9606 GN=TBRG1 PE=1 SV=1
+DSSQIPSGQSPSKLHQLHTDVLPEHTLYMPQYSSVFAAPQLEPLDLSGPLLPDNQDEDFIQRQFAEFSMAADNEPLGEPLQGDGPKCVDFKVWQYNICKRAGPCSQILNHIAPHSFGFFDAGAPLLNPMLKGMTTSITRLLEAHCADASSSVIANQPDDEPVIEFQPQVGGDKIQCTYLCKQDPCKMSAYIRTSCYGVPYIASEDHFGPRDTIIEGLSYVTLGGLGIPFVPRGSPDLAIPQVLKRAGGAMKKKKCTKKLVELKNNEKGKEKKEKKTKKGFPEEAGTSPGSIPGAGQITGVSSAVGYTLPLSSSHSPAAAQVEGETLAQLQLLKKLLYRREEKAKLFKEELRAIEDCIAANEFVTAKAAKRLRLYKLRYKENQSKKPLKKMRAKSSQLPARPSSALGDLLSM
+>sp|O43435|TBX1_HUMAN T-box transcription factor TBX1 OS=Homo sapiens OX=9606 GN=TBX1 PE=1 SV=1
+MHFSTVTRDMEAFTASSLSSLGAAGGFPGAASPGADPYGPREPPPPPPRYDPCAAAAPGAPGPPPPPHAYPFAPAAGAATSAAAEPEGPGASCAAAAKAPVKKNAKVAGVSVQLEMKALWDEFNQLGTEMIVTKAGRRMFPTFQVKLFGMDPMADYMLLMDFVPVDDKRYRYAFHSSSWLVAGKADPATPGRVHYHPDSPAKGAQWMKQIVSFDKLKLTNNLLDDNGHIILNSMHRYQPRFHVVYVDPRKDSEKYAEENFKTFVFEETRFTAVTAYQNHRITQLKIASNPFAKGFRDCDPEDWPRNHRPGALPLMSAFARSRNPVASPTQPSGTEKGGHVLKDKEVKAETSRNTPEREVELLRDAGGCVNLGLPCPAECQPFNTQGLVAGRTAGDRLC
+>DECOY_sp|O43435|TBX1_HUMAN T-box transcription factor TBX1 OS=Homo sapiens OX=9606 GN=TBX1 PE=1 SV=1
+CLRDGATRGAVLGQTNFPQCEAPCPLGLNVCGGADRLLEVEREPTNRSTEAKVEKDKLVHGGKETGSPQTPSAVPNRSRAFASMLPLAGPRHNRPWDEPDCDRFGKAFPNSAIKLQTIRHNQYATVATFRTEEFVFTKFNEEAYKESDKRPDVYVVHFRPQYRHMSNLIIHGNDDLLNNTLKLKDFSVIQKMWQAGKAPSDPHYHVRGPTAPDAKGAVLWSSSHFAYRYRKDDVPVFDMLLMYDAMPDMGFLKVQFTPFMRRGAKTVIMETGLQNFEDWLAKMELQVSVGAVKANKKVPAKAAAACSAGPGEPEAAASTAAGAAPAFPYAHPPPPPGPAGPAAAACPDYRPPPPPPERPGYPDAGPSAAGPFGGAAGLSSLSSATFAEMDRTVTSFHM
+>sp|Q9UMR3|TBX20_HUMAN T-box transcription factor TBX20 OS=Homo sapiens OX=9606 GN=TBX20 PE=1 SV=4
+MEFTASPKPQLSSRANAFSIAALMSSGGSKEKEATENTIKPLEQFVEKSSCAQPLGELTSLDAHGEFGGGSGSSPSSSSLCTEPLIPTTPIIPSEEMAKIACSLETKELWDKFHELGTEMIITKSGRRMFPTIRVSFSGVDPEAKYIVLMDIVPVDNKRYRYAYHRSSWLVAGKADPPLPARLYVHPDSPFTGEQLLKQMVSFEKVKLTNNELDQHGHIILNSMHKYQPRVHIIKKKDHTASLLNLKSEEFRTFIFPETVFTAVTAYQNQLITKLKIDSNPFAKGFRDSSRLTDIERESVESLIQKHSYARSPIRTYGGEEDVLGDESQTTPNRGSAFTTSDNLSLSSWVSSSSSFPGFQHPQSLTALGTSTASIATPIPHPIQGSLPPYSRLGMPLTPSAIASSMQGSGPTFPSFHMPRYHHYFQQGPYAAIQGLRHSSAVMTPFV
+>DECOY_sp|Q9UMR3|TBX20_HUMAN T-box transcription factor TBX20 OS=Homo sapiens OX=9606 GN=TBX20 PE=1 SV=4
+VFPTMVASSHRLGQIAAYPGQQFYHHYRPMHFSPFTPGSGQMSSAIASPTLPMGLRSYPPLSGQIPHPIPTAISATSTGLATLSQPHQFGPFSSSSSVWSSLSLNDSTTFASGRNPTTQSEDGLVDEEGGYTRIPSRAYSHKQILSEVSEREIDTLRSSDRFGKAFPNSDIKLKTILQNQYATVATFVTEPFIFTRFEESKLNLLSATHDKKKIIHVRPQYKHMSNLIIHGHQDLENNTLKVKEFSVMQKLLQEGTFPSDPHVYLRAPLPPDAKGAVLWSSRHYAYRYRKNDVPVIDMLVIYKAEPDVGSFSVRITPFMRRGSKTIIMETGLEHFKDWLEKTELSCAIKAMEESPIIPTTPILPETCLSSSSPSSGSGGGFEGHADLSTLEGLPQACSSKEVFQELPKITNETAEKEKSGGSSMLAAISFANARSSLQPKPSATFEM
+>sp|Q9UL17|TBX21_HUMAN T-box transcription factor TBX21 OS=Homo sapiens OX=9606 GN=TBX21 PE=1 SV=1
+MGIVEPGCGDMLTGTEPMPGSDEGRAPGADPQHRYFYPEPGAQDADERRGGGSLGSPYPGGALVPAPPSRFLGAYAYPPRPQAAGFPGAGESFPPPADAEGYQPGEGYAAPDPRAGLYPGPREDYALPAGLEVSGKLRVALNNHLLWSKFNQHQTEMIITKQGRRMFPFLSFTVAGLEPTSHYRMFVDVVLVDQHHWRYQSGKWVQCGKAEGSMPGNRLYVHPDSPNTGAHWMRQEVSFGKLKLTNNKGASNNVTQMIVLQSLHKYQPRLHIVEVNDGEPEAACNASNTHIFTFQETQFIAVTAYQNAEITQLKIDNNPFAKGFRENFESMYTSVDTSIPSPPGPNCQFLGGDHYSPLLPNQYPVPSRFYPDLPGQAKDVVPQAYWLGAPRDHSYEAEFRAVSMKPAFLPSAPGPTMSYYRGQEVLAPGAGWPVAPQYPPKMGPASWFRPMRTLPMEPGPGGSEGRGPEDQGPPLVWTEIAPIRPESSDSGLGEGDSKRRRVSPYPSSGDSSSPAGAPSPFDKEAEGQFYNYFPN
+>DECOY_sp|Q9UL17|TBX21_HUMAN T-box transcription factor TBX21 OS=Homo sapiens OX=9606 GN=TBX21 PE=1 SV=1
+NPFYNYFQGEAEKDFPSPAGAPSSSDGSSPYPSVRRRKSDGEGLGSDSSEPRIPAIETWVLPPGQDEPGRGESGGPGPEMPLTRMPRFWSAPGMKPPYQPAVPWGAGPALVEQGRYYSMTPGPASPLFAPKMSVARFEAEYSHDRPAGLWYAQPVVDKAQGPLDPYFRSPVPYQNPLLPSYHDGGLFQCNPGPPSPISTDVSTYMSEFNERFGKAFPNNDIKLQTIEANQYATVAIFQTEQFTFIHTNSANCAAEPEGDNVEVIHLRPQYKHLSQLVIMQTVNNSAGKNNTLKLKGFSVEQRMWHAGTNPSDPHVYLRNGPMSGEAKGCQVWKGSQYRWHHQDVLVVDVFMRYHSTPELGAVTFSLFPFMRRGQKTIIMETQHQNFKSWLLHNNLAVRLKGSVELGAPLAYDERPGPYLGARPDPAAYGEGPQYGEADAPPPFSEGAGPFGAAQPRPPYAYAGLFRSPPAPVLAGGPYPSGLSGGGRREDADQAGPEPYFYRHQPDAGPARGEDSGPMPETGTLMDGCGPEVIGM
+>sp|Q9Y4C2|TCAF1_HUMAN TRPM8 channel-associated factor 1 OS=Homo sapiens OX=9606 GN=TCAF1 PE=1 SV=3
+MATPSAAFEALMNGVTSWDVPEDAVPCELLLIGEASFPVMVNDMGQVLIAASSYGRGRLVVVSHEDYLVEAQLTPFLLNAVGWLCSSPGAPIGVHPSLAPLAKILEGSGVDAKVEPEVKDSLGVYCIDAYNETMTEKLVKFMKCGGGLLIGGQAWDWANQGEDERVLFTFPGNLVTSVAGIYFTDNKGDTSFFKVSKKMPKIPVLVSCEDDLSDDREELLHGISELDISNSDCFPSQLLVHGALAFPLGLDSYHGCVIAAARYGRGRVVVTGHKVLFTVGKLGPFLLNAVRWLDGGRRGKVVVQTELRTLSGLLAVGGIDTSIEPNLTSDASVYCFEPVSEVGVKELQEFVAEGGGLFVGAQAWWWAFKNPGVSPLARFPGNLLLNPFGISITSQSLNPGPFRTPKAGIRTYHFRSTLAEFQVIMGRKRGNVEKGWLAKLGPDGAAFLQIPAEEIPAYMSVHRLLRKLLSRYRLPVATRENPVINDCCRGAMLSLATGLAHSGSDLSLLVPEIEDMYSSPYLRPSESPITVEVNCTNPGTRYCWMSTGLYIPGRQIIEVSLPEAAASADLKIQIGCHTDDLTRASKLFRGPLVINRCCLDKPTKSITCLWGGLLYIIVPQNSKLGSVPVTVKGAVHAPYYKLGETTLEEWKRRIQENPGPWGELATDNIILTVPTANLRTLENPEPLLRLWDEVMQAVARLGAEPFPLRLPQRIVADVQISVGWMHAGYPIMCHLESVQELINEKLIRTKGLWGPVHELGRNQQRQEWEFPPHTTEATCNLWCVYVHETVLGIPRSRANIALWPPVREKRVRIYLSKGPNVKNWNAWTALETYLQLQEAFGWEPFIRLFTEYRNQTNLPTENVDKMNLWVKMFSHQVQKNLAPFFEAWAWPIQKEVATSLAYLPEWKENIMKLYLLTQMPH
+>DECOY_sp|Q9Y4C2|TCAF1_HUMAN TRPM8 channel-associated factor 1 OS=Homo sapiens OX=9606 GN=TCAF1 PE=1 SV=3
+HPMQTLLYLKMINEKWEPLYALSTAVEKQIPWAWAEFFPALNKQVQHSFMKVWLNMKDVNETPLNTQNRYETFLRIFPEWGFAEQLQLYTELATWANWNKVNPGKSLYIRVRKERVPPWLAINARSRPIGLVTEHVYVCWLNCTAETTHPPFEWEQRQQNRGLEHVPGWLGKTRILKENILEQVSELHCMIPYGAHMWGVSIQVDAVIRQPLRLPFPEAGLRAVAQMVEDWLRLLPEPNELTRLNATPVTLIINDTALEGWPGPNEQIRRKWEELTTEGLKYYPAHVAGKVTVPVSGLKSNQPVIIYLLGGWLCTISKTPKDLCCRNIVLPGRFLKSARTLDDTHCGIQIKLDASAAAEPLSVEIIQRGPIYLGTSMWCYRTGPNTCNVEVTIPSESPRLYPSSYMDEIEPVLLSLDSGSHALGTALSLMAGRCCDNIVPNERTAVPLRYRSLLKRLLRHVSMYAPIEEAPIQLFAAGDPGLKALWGKEVNGRKRGMIVQFEALTSRFHYTRIGAKPTRFPGPNLSQSTISIGFPNLLLNGPFRALPSVGPNKFAWWWAQAGVFLGGGEAVFEQLEKVGVESVPEFCYVSADSTLNPEISTDIGGVALLGSLTRLETQVVVKGRRGGDLWRVANLLFPGLKGVTFLVKHGTVVVRGRGYRAAAIVCGHYSDLGLPFALAGHVLLQSPFCDSNSIDLESIGHLLEERDDSLDDECSVLVPIKPMKKSVKFFSTDGKNDTFYIGAVSTVLNGPFTFLVREDEGQNAWDWAQGGILLGGGCKMFKVLKETMTENYADICYVGLSDKVEPEVKADVGSGELIKALPALSPHVGIPAGPSSCLWGVANLLFPTLQAEVLYDEHSVVVLRGRGYSSAAILVQGMDNVMVPFSAEGILLLECPVADEPVDWSTVGNMLAEFAASPTAM
+>sp|Q6IPX3|TCAL6_HUMAN Transcription elongation factor A protein-like 6 OS=Homo sapiens OX=9606 GN=TCEAL6 PE=2 SV=1
+MEKPYNKNEGNLENEGKPEDEVEPDDEGKSDEEEKPDAEGKTECEGKRKAEGEPGDEGQLEDKGSQEKQGKSEGEGKPQGEGKPASQAKPEGQPRAAEKRPAGDYVPRKAKRKTDRGTDDSPKDSQEDLQERHLSSEEMMRECGDVSRAQEELRKKQKMGGFHWMQRDVQDPFAPRGQRGVRGVRGGGRGQRGLHDIPYL
+>DECOY_sp|Q6IPX3|TCAL6_HUMAN Transcription elongation factor A protein-like 6 OS=Homo sapiens OX=9606 GN=TCEAL6 PE=2 SV=1
+LYPIDHLGRQGRGGGRVGRVGRQGRPAFPDQVDRQMWHFGGMKQKKRLEEQARSVDGCERMMEESSLHREQLDEQSDKPSDDTGRDTKRKAKRPVYDGAPRKEAARPQGEPKAQSAPKGEGQPKGEGESKGQKEQSGKDELQGEDGPEGEAKRKGECETKGEADPKEEEDSKGEDDPEVEDEPKGENELNGENKNYPKEM
+>sp|Q8IYN2|TCAL8_HUMAN Transcription elongation factor A protein-like 8 OS=Homo sapiens OX=9606 GN=TCEAL8 PE=3 SV=1
+MQKSCEENEGKPQNMPKAEEDRPLEDVPQEAEGNPQPSEEGVSQEAEGNPRGGPNQPGQGFKEDTPVRHLDPEEMIRGVDELERLREEIRRVRNKFVMMHWKQRHSRSRPYPVCFRP
+>DECOY_sp|Q8IYN2|TCAL8_HUMAN Transcription elongation factor A protein-like 8 OS=Homo sapiens OX=9606 GN=TCEAL8 PE=3 SV=1
+PRFCVPYPRSRSHRQKWHMMVFKNRVRRIEERLRELEDVGRIMEEPDLHRVPTDEKFGQGPQNPGGRPNGEAEQSVGEESPQPNGEAEQPVDELPRDEEAKPMNQPKGENEECSKQM
+>sp|O43680|TCF21_HUMAN Transcription factor 21 OS=Homo sapiens OX=9606 GN=TCF21 PE=2 SV=2
+MSTGSLSDVEDLQEVEMLECDGLKMDSNKEFVTSNESTEESSNCENGSPQKGRGGLGKRRKAPTKKSPLSGVSQEGKQVQRNAANARERARMRVLSKAFSRLKTTLPWVPPDTKLSKLDTLRLASSYIAHLRQILANDKYENGYIHPVNLTWPFMVAGKPESDLKEVVTASRLCGTTAS
+>DECOY_sp|O43680|TCF21_HUMAN Transcription factor 21 OS=Homo sapiens OX=9606 GN=TCF21 PE=2 SV=2
+SATTGCLRSATVVEKLDSEPKGAVMFPWTLNVPHIYGNEYKDNALIQRLHAIYSSALRLTDLKSLKTDPPVWPLTTKLRSFAKSLVRMRARERANAANRQVQKGEQSVGSLPSKKTPAKRRKGLGGRGKQPSGNECNSSEETSENSTVFEKNSDMKLGDCELMEVEQLDEVDSLSGTSM
+>sp|Q9BQ70|TCF25_HUMAN Transcription factor 25 OS=Homo sapiens OX=9606 GN=TCF25 PE=1 SV=1
+MSRRALRRLRGEQRGQEPLGPGALHFDLRDDDDAEEEGPKRELGVRRPGGAGKEGVRVNNRFELINIDDLEDDPVVNGERSGCALTDAVAPGNKGRGQRGNTESKTDGDDTETVPSEQSHASGKLRKKKKKQKNKKSSTGEASENGLEDIDRILERIEDSTGLNRPGPAPLSSRKHVLYVEHRHLNPDTELKRYFGARAILGEQRPRQRQRVYPKCTWLTTPKSTWPRYSKPGLSMRLLESKKGLSFFAFEHSEEYQQAQHKFLVAVESMEPNNIVVLLQTSPYHVDSLLQLSDACRFQEDQEMARDLVERALYSMECAFHPLFSLTSGACRLDYRRPENRSFYLALYKQMSFLEKRGCPRTALEYCKLILSLEPDEDPLCMLLLIDHLALRARNYEYLIRLFQEWEAHRNLSQLPNFAFSVPLAYFLLSQQTDLPECEQSSARQKASLLIQQALTMFPGVLLPLLESCSVRPDASVSSHRFFGPNAEISQPPALSQLVNLYLGRSHFLWKEPATMSWLEENVHEVLQAVDAGDPAVEACENRRKVLYQRAPRNIHRHVILSEIKEAVAALPPDVTTQSVMGFDPLPPSDTIYSYVRPERLSPISHGNTIALFFRSLLPNYTMEGERPEEGVAGGLNRNQGLNRLMLAVRDMMANFHLNDLEAPHEDDAEGEGEWD
+>DECOY_sp|Q9BQ70|TCF25_HUMAN Transcription factor 25 OS=Homo sapiens OX=9606 GN=TCF25 PE=1 SV=1
+DWEGEGEADDEHPAELDNLHFNAMMDRVALMLRNLGQNRNLGGAVGEEPREGEMTYNPLLSRFFLAITNGHSIPSLREPRVYSYITDSPPLPDFGMVSQTTVDPPLAAVAEKIESLIVHRHINRPARQYLVKRRNECAEVAPDGADVAQLVEHVNEELWSMTAPEKWLFHSRGLYLNVLQSLAPPQSIEANPGFFRHSSVSADPRVSCSELLPLLVGPFMTLAQQILLSAKQRASSQECEPLDTQQSLLFYALPVSFAFNPLQSLNRHAEWEQFLRILYEYNRARLALHDILLLMCLPDEDPELSLILKCYELATRPCGRKELFSMQKYLALYFSRNEPRRYDLRCAGSTLSFLPHFACEMSYLAREVLDRAMEQDEQFRCADSLQLLSDVHYPSTQLLVVINNPEMSEVAVLFKHQAQQYEESHEFAFFSLGKKSELLRMSLGPKSYRPWTSKPTTLWTCKPYVRQRQRPRQEGLIARAGFYRKLETDPNLHRHEVYLVHKRSSLPAPGPRNLGTSDEIRELIRDIDELGNESAEGTSSKKNKQKKKKKRLKGSAHSQESPVTETDDGDTKSETNGRQGRGKNGPAVADTLACGSREGNVVPDDELDDINILEFRNNVRVGEKGAGGPRRVGLERKPGEEEADDDDRLDFHLAGPGLPEQGRQEGRLRRLARRSM
+>sp|Q9UL49|TCFL5_HUMAN Transcription factor-like 5 protein OS=Homo sapiens OX=9606 GN=TCFL5 PE=2 SV=2
+MSGPGPREPPPEAGAAGGEAAVEGAGGGDAALGEPGLSFTTTDLSLVEMTEVEYTQLQHILCSHMEAAADGELETRLNSALLAAAGPGAGAGGFAAGGQGGAAPVYPVLCPSALAADAPCLGHIDFQELRMMLLSEAGAAEKTSGGGDGARARADGAAKEGAGAAAAAAGPDGAPEARAKPAVRVRLEDRFNSIPAEPPPAPRGPEPPEPGGALNNLVTLIRHPSELMNVPLQQQNKCTALVKNKTAATTTALQFTYPLFTTNACSTSGNSNLSQTQSSSNSCSVLEAAKHQDIGLPRAFSFCYQQEIESTKQTLGSRNKVLPEQVWIKVGEAALCKQALKRNRSRMRQLDTNVERRALGEIQNVGEGATATQGAWQSSESSQANLGEQAQSGPQGGRSQRRERHNRMERDRRRRIRICCDELNLLVPFCNAETDKATTLQWTTAFLKYIQERHGDSLKKEFESVFCGKTGRRLKLTRPDSLVTCPAQGSLQSSPSMEIK
+>DECOY_sp|Q9UL49|TCFL5_HUMAN Transcription factor-like 5 protein OS=Homo sapiens OX=9606 GN=TCFL5 PE=2 SV=2
+KIEMSPSSQLSGQAPCTVLSDPRTLKLRRGTKGCFVSEFEKKLSDGHREQIYKLFATTWQLTTAKDTEANCFPVLLNLEDCCIRIRRRRDREMRNHRERRQSRGGQPGSQAQEGLNAQSSESSQWAGQTATAGEGVNQIEGLARREVNTDLQRMRSRNRKLAQKCLAAEGVKIWVQEPLVKNRSGLTQKTSEIEQQYCFSFARPLGIDQHKAAELVSCSNSSSQTQSLNSNGSTSCANTTFLPYTFQLATTTAATKNKVLATCKNQQQLPVNMLESPHRILTVLNNLAGGPEPPEPGRPAPPPEAPISNFRDELRVRVAPKARAEPAGDPGAAAAAAGAGEKAAGDARARAGDGGGSTKEAAGAESLLMMRLEQFDIHGLCPADAALASPCLVPYVPAAGGQGGAAFGGAGAGPGAAALLASNLRTELEGDAAAEMHSCLIHQLQTYEVETMEVLSLDTTTFSLGPEGLAADGGGAGEVAAEGGAAGAEPPPERPGPGSM
+>sp|Q8TDR4|TCP1L_HUMAN T-complex protein 10A homolog 2 OS=Homo sapiens OX=9606 GN=TCP10L PE=1 SV=1
+MLAGQLEARDPKEGTHPEDPCPGAGAVMEKTAVAAEVLTEDCNTGEMPPLQQQIIRLHQELGRQKSLWADVHGKLRSHIDALREQNMELREKLRALQLQRWKARKKSAASPHAGQESHTLALEPAFGKISPLSADEETIPKYAGHKNQSATLLGQRSSSNNSAPPKPMSLKIERISSWKTPPQENRDKNLSRRRQDRRATPTGRPTPCAERRGGV
+>DECOY_sp|Q8TDR4|TCP1L_HUMAN T-complex protein 10A homolog 2 OS=Homo sapiens OX=9606 GN=TCP10L PE=1 SV=1
+VGGRREACPTPRGTPTARRDQRRRSLNKDRNEQPPTKWSSIREIKLSMPKPPASNNSSSRQGLLTASQNKHGAYKPITEEDASLPSIKGFAPELALTHSEQGAHPSAASKKRAKWRQLQLARLKERLEMNQERLADIHSRLKGHVDAWLSKQRGLEQHLRIIQQQLPPMEGTNCDETLVEAAVATKEMVAGAGPCPDEPHTGEKPDRAELQGALM
+>sp|P78371|TCPB_HUMAN T-complex protein 1 subunit beta OS=Homo sapiens OX=9606 GN=CCT2 PE=1 SV=4
+MASLSLAPVNIFKAGADEERAETARLTSFIGAIAIGDLVKSTLGPKGMDKILLSSGRDASLMVTNDGATILKNIGVDNPAAKVLVDMSRVQDDEVGDGTTSVTVLAAELLREAESLIAKKIHPQTIIAGWREATKAAREALLSSAVDHGSDEVKFRQDLMNIAGTTLSSKLLTHHKDHFTKLAVEAVLRLKGSGNLEAIHIIKKLGGSLADSYLDEGFLLDKKIGVNQPKRIENAKILIANTGMDTDKIKIFGSRVRVDSTAKVAEIEHAEKEKMKEKVERILKHGINCFINRQLIYNYPEQLFGAAGVMAIEHADFAGVERLALVTGGEIASTFDHPELVKLGSCKLIEEVMIGEDKLIHFSGVALGEACTIVLRGATQQILDEAERSLHDALCVLAQTVKDSRTVYGGGCSEMLMAHAVTQLANRTPGKEAVAMESYAKALRMLPTIIADNAGYDSADLVAQLRAAHSEGNTTAGLDMREGTIGDMAILGITESFQVKRQVLLSAAEAAEVILRVDNIIKAAPRKRVPDHHPC
+>DECOY_sp|P78371|TCPB_HUMAN T-complex protein 1 subunit beta OS=Homo sapiens OX=9606 GN=CCT2 PE=1 SV=4
+CPHHDPVRKRPAAKIINDVRLIVEAAEAASLLVQRKVQFSETIGLIAMDGITGERMDLGATTNGESHAARLQAVLDASDYGANDAIITPLMRLAKAYSEMAVAEKGPTRNALQTVAHAMLMESCGGGYVTRSDKVTQALVCLADHLSREAEDLIQQTAGRLVITCAEGLAVGSFHILKDEGIMVEEILKCSGLKVLEPHDFTSAIEGGTVLALREVGAFDAHEIAMVGAAGFLQEPYNYILQRNIFCNIGHKLIREVKEKMKEKEAHEIEAVKATSDVRVRSGFIKIKDTDMGTNAILIKANEIRKPQNVGIKKDLLFGEDLYSDALSGGLKKIIHIAELNGSGKLRLVAEVALKTFHDKHHTLLKSSLTTGAINMLDQRFKVEDSGHDVASSLLAERAAKTAERWGAIITQPHIKKAILSEAERLLEAALVTVSTTGDGVEDDQVRSMDVLVKAAPNDVGINKLITAGDNTVMLSADRGSSLLIKDMGKPGLTSKVLDGIAIAGIFSTLRATEAREEDAGAKFINVPALSLSAM
+>sp|P50991|TCPD_HUMAN T-complex protein 1 subunit delta OS=Homo sapiens OX=9606 GN=CCT4 PE=1 SV=4
+MPENVAPRSGATAGAAGGRGKGAYQDRDKPAQIRFSNISAAKAVADAIRTSLGPKGMDKMIQDGKGDVTITNDGATILKQMQVLHPAARMLVELSKAQDIEAGDGTTSVVIIAGSLLDSCTKLLQKGIHPTIISESFQKALEKGIEILTDMSRPVELSDRETLLNSATTSLNSKVVSQYSSLLSPMSVNAVMKVIDPATATSVDLRDIKIVKKLGGTIDDCELVEGLVLTQKVSNSGITRVEKAKIGLIQFCLSAPKTDMDNQIVVSDYAQMDRVLREERAYILNLVKQIKKTGCNVLLIQKSILRDALSDLALHFLNKMKIMVIKDIEREDIEFICKTIGTKPVAHIDQFTADMLGSAELAEEVNLNGSGKLLKITGCASPGKTVTIVVRGSNKLVIEEAERSIHDALCVIRCLVKKRALIAGGGAPEIELALRLTEYSRTLSGMESYCVRAFADAMEVIPSTLAENAGLNPISTVTELRNRHAQGEKTAGINVRKGGISNILEELVVQPLLVSVSALTLATETVRSILKIDDVVNTR
+>DECOY_sp|P50991|TCPD_HUMAN T-complex protein 1 subunit delta OS=Homo sapiens OX=9606 GN=CCT4 PE=1 SV=4
+RTNVVDDIKLISRVTETALTLASVSVLLPQVVLEELINSIGGKRVNIGATKEGQAHRNRLETVTSIPNLGANEALTSPIVEMADAFARVCYSEMGSLTRSYETLRLALEIEPAGGGAILARKKVLCRIVCLADHISREAEEIVLKNSGRVVITVTKGPSACGTIKLLKGSGNLNVEEALEASGLMDATFQDIHAVPKTGITKCIFEIDEREIDKIVMIKMKNLFHLALDSLADRLISKQILLVNCGTKKIQKVLNLIYAREERLVRDMQAYDSVVIQNDMDTKPASLCFQILGIKAKEVRTIGSNSVKQTLVLGEVLECDDITGGLKKVIKIDRLDVSTATAPDIVKMVANVSMPSLLSSYQSVVKSNLSTTASNLLTERDSLEVPRSMDTLIEIGKELAKQFSESIITPHIGKQLLKTCSDLLSGAIIVVSTTGDGAEIDQAKSLEVLMRAAPHLVQMQKLITAGDNTITVDGKGDQIMKDMGKPGLSTRIADAVAKAASINSFRIQAPKDRDQYAGKGRGGAAGATAGSRPAVNEPM
+>sp|P51864|TDGF3_HUMAN Putative teratocarcinoma-derived growth factor 3 OS=Homo sapiens OX=9606 GN=TDGF1P3 PE=5 SV=1
+MDCRKMVRFSYSVIWIMAISKAFELGLVAGLGHQEFARPSRGDLAFRDDSIWPQEEPAIRPRSSQRVLPMGIQHSKELNRTCCLNGGTCMLESFCACPPSFYGRNCEHDVRKENCGSVPHDTWLPKKCSLCKCWHGQLRCFPQAFLPGCDGLVMDEHLVASRTPELPPSARTTTFMLAGICLSIQSYY
+>DECOY_sp|P51864|TDGF3_HUMAN Putative teratocarcinoma-derived growth factor 3 OS=Homo sapiens OX=9606 GN=TDGF1P3 PE=5 SV=1
+YYSQISLCIGALMFTTTRASPPLEPTRSAVLHEDMVLGDCGPLFAQPFCRLQGHWCKCLSCKKPLWTDHPVSGCNEKRVDHECNRGYFSPPCACFSELMCTGGNLCCTRNLEKSHQIGMPLVRQSSRPRIAPEEQPWISDDRFALDGRSPRAFEQHGLGAVLGLEFAKSIAMIWIVSYSFRVMKRCDM
+>sp|Q13569|TDG_HUMAN G/T mismatch-specific thymine DNA glycosylase OS=Homo sapiens OX=9606 GN=TDG PE=1 SV=2
+MEAENAGSYSLQQAQAFYTFPFQQLMAEAPNMAVVNEQQMPEEVPAPAPAQEPVQEAPKGRKRKPRTTEPKQPVEPKKPVESKKSGKSAKSKEKQEKITDTFKVKRKVDRFNGVSEAELLTKTLPDILTFNLDIVIIGINPGLMAAYKGHHYPGPGNHFWKCLFMSGLSEVQLNHMDDHTLPGKYGIGFTNMVERTTPGSKDLSSKEFREGGRILVQKLQKYQPRIAVFNGKCIYEIFSKEVFGVKVKNLEFGLQPHKIPDTETLCYVMPSSSARCAQFPRAQDKVHYYIKLKDLRDQLKGIERNMDVQEVQYTFDLQLAQEDAKKMAVKEEKYDPGYEAAYGGAYGENPCSSEPCGFSSNGLIESVELRGESAFSGIPNGQWMTQSFTDQIPSFSNHCGTQEQEEESHA
+>DECOY_sp|Q13569|TDG_HUMAN G/T mismatch-specific thymine DNA glycosylase OS=Homo sapiens OX=9606 GN=TDG PE=1 SV=2
+AHSEEEQEQTGCHNSFSPIQDTFSQTMWQGNPIGSFASEGRLEVSEILGNSSFGCPESSCPNEGYAGGYAAEYGPDYKEEKVAMKKADEQALQLDFTYQVEQVDMNREIGKLQDRLDKLKIYYHVKDQARPFQACRASSSPMVYCLTETDPIKHPQLGFELNKVKVGFVEKSFIEYICKGNFVAIRPQYKQLKQVLIRGGERFEKSSLDKSGPTTREVMNTFGIGYKGPLTHDDMHNLQVESLGSMFLCKWFHNGPGPYHHGKYAAMLGPNIGIIVIDLNFTLIDPLTKTLLEAESVGNFRDVKRKVKFTDTIKEQKEKSKASKGSKKSEVPKKPEVPQKPETTRPKRKRGKPAEQVPEQAPAPAPVEEPMQQENVVAMNPAEAMLQQFPFTYFAQAQQLSYSGANEAEM
+>sp|Q587J7|TDR12_HUMAN Putative ATP-dependent RNA helicase TDRD12 OS=Homo sapiens OX=9606 GN=TDRD12 PE=2 SV=2
+MLQLLVLKIEDPGCFWVIIKGCSPFLDHDVDYQKLNSAMNDFYNSTCQDIEIKPLTLEEGQVCVVYCEELKCWCRAIVKSITSSADQYLAECFLVDFAKNIPVKSKNIRVVVESFMQLPYRAKKFSLYCTKPVTLHIDFCRDSTDIVPAKKWDNAAIQYFQNLLKATTQVEARLCAVEEDTFEVYLYVTIKDEKVCVNDDLVAKNYACYMSPTKNKNLDYLEKPRLNIKSAPSFNKLNPALTLWPMFLQGKDVQGMEDSHGVNFPAQSLQHTWCKGIVGDLRPTATAQDKAVKCNMDSLRDSPKDKSEKKHHCISLKDTNKRVESSVYWPAKRGITIYADPDVPEASALSQKSNEKPLRLTEKKEYDEKNSCVKLLQFLNPDPLRADGISDLQQLQKLKGLQPPVVVLRNKIKPCLTIDSSPLSADLKKALQRNKFPGPSHTESYSWPPIARGCDVVVISHCESNPLLYLLPVLTVLQTGACYKSLPSRNGPLAVIVCPGWKKAQFIFELLGEYSMSSRPLHPVLLTIGLHKEEAKNTKLPRGCDVIVTTPYSLLRLLACQSLLFLRLCHLILDEVEVLFLEANEQMFAILDNFKKNIEVEERESAPHQIVAVGVHWNKHIEHLIKEFMNDPYIVITAMEEAALYGNVQQVVHLCLECEKTSSLLQALDFIPSQAQKTLIFTCSVAETEIVCKVVESSSIFCLKMHKEMIFNLQNVLEQWKKKLSSGSQIILALTDDCVPLLAITDATCVIHFSFPASPKVFGGRLYCMSDHFHAEQGSPAEQGDKKAKSVLLLTEKDASHAVGVLRYLERADAKVPAELYEFTAGVLEAKEDKKAGRPLCPYLKAFGFCKDKRICPDRHRINPETDLPRKLSSQALPSFGYIKIIPFYILNATNYFGRIVDKHMDLYATLNAEMNEYFKDSNKTTVEKVEKFGLYGLAEKTLFHRVQVLEVNQKEDAWALDDILVEFIDEGRTGLVTRDQLLHLPEHFHTLPPQAVEFIVCRVKPADNEIEWNPKVTRYIHHKIVGKLHDAKVILALGNTVWIDPMVHITNLSSLKTSVIDYNVRAEILSMGMGIDNPEHIEQLKKLREDAKIPACEESLSQTPPRVTGTSPAQDQDHPSEEQGGQGTPPAEDAACLQSPQPEDTGAEGGAESKTSSENQKPGGYLVFKRWLSSNR
+>DECOY_sp|Q587J7|TDR12_HUMAN Putative ATP-dependent RNA helicase TDRD12 OS=Homo sapiens OX=9606 GN=TDRD12 PE=2 SV=2
+RNSSLWRKFVLYGGPKQNESSTKSEAGGEAGTDEPQPSQLCAADEAPPTGQGGQEESPHDQDQAPSTGTVRPPTQSLSEECAPIKADERLKKLQEIHEPNDIGMGMSLIEARVNYDIVSTKLSSLNTIHVMPDIWVTNGLALIVKADHLKGVIKHHIYRTVKPNWEIENDAPKVRCVIFEVAQPPLTHFHEPLHLLQDRTVLGTRGEDIFEVLIDDLAWADEKQNVELVQVRHFLTKEALGYLGFKEVKEVTTKNSDKFYENMEANLTAYLDMHKDVIRGFYNTANLIYFPIIKIYGFSPLAQSSLKRPLDTEPNIRHRDPCIRKDKCFGFAKLYPCLPRGAKKDEKAELVGATFEYLEAPVKADARELYRLVGVAHSADKETLLLVSKAKKDGQEAPSGQEAHFHDSMCYLRGGFVKPSAPFSFHIVCTADTIALLPVCDDTLALIIQSGSSLKKKWQELVNQLNFIMEKHMKLCFISSSEVVKCVIETEAVSCTFILTKQAQSPIFDLAQLLSSTKECELCLHVVQQVNGYLAAEEMATIVIYPDNMFEKILHEIHKNWHVGVAVIQHPASEREEVEINKKFNDLIAFMQENAELFLVEVEDLILHCLRLFLLSQCALLRLLSYPTTVIVDCGRPLKTNKAEEKHLGITLLVPHLPRSSMSYEGLLEFIFQAKKWGPCVIVALPGNRSPLSKYCAGTQLVTLVPLLYLLPNSECHSIVVVDCGRAIPPWSYSETHSPGPFKNRQLAKKLDASLPSSDITLCPKIKNRLVVVPPQLGKLKQLQQLDSIGDARLPDPNLFQLLKVCSNKEDYEKKETLRLPKENSKQSLASAEPVDPDAYITIGRKAPWYVSSEVRKNTDKLSICHHKKESKDKPSDRLSDMNCKVAKDQATATPRLDGVIGKCWTHQLSQAPFNVGHSDEMGQVDKGQLFMPWLTLAPNLKNFSPASKINLRPKELYDLNKNKTPSMYCAYNKAVLDDNVCVKEDKITVYLYVEFTDEEVACLRAEVQTTAKLLNQFYQIAANDWKKAPVIDTSDRCFDIHLTVPKTCYLSFKKARYPLQMFSEVVVRINKSKVPINKAFDVLFCEALYQDASSTISKVIARCWCKLEECYVVCVQGEELTLPKIEIDQCTSNYFDNMASNLKQYDVDHDLFPSCGKIIVWFCGPDEIKLVLLQLM
+>sp|Q3Y452|TDRG1_HUMAN Testis development-related protein 1 OS=Homo sapiens OX=9606 GN=TDRG1 PE=1 SV=1
+MKRREAVCAHRHFLGTGKPPHPLGRSIPVEPCPGLPAFAEVDLLSLLVPIKISSTPPSGSRLDPQIASSAFPGLGSLGGQDSSGSLVQRASCELESPYEL
+>DECOY_sp|Q3Y452|TDRG1_HUMAN Testis development-related protein 1 OS=Homo sapiens OX=9606 GN=TDRG1 PE=1 SV=1
+LEYPSELECSARQVLSGSSDQGGLSGLGPFASSAIQPDLRSGSPPTSSIKIPVLLSLLDVEAFAPLGPCPEVPISRGLPHPPKGTGLFHRHACVAERRKM
+>sp|P04053|TDT_HUMAN DNA nucleotidylexotransferase OS=Homo sapiens OX=9606 GN=DNTT PE=1 SV=3
+MDPPRASHLSPRKKRPRQTGALMASSPQDIKFQDLVVFILEKKMGTTRRAFLMELARRKGFRVENELSDSVTHIVAENNSGSDVLEWLQAQKVQVSSQPELLDVSWLIECIRAGKPVEMTGKHQLVVRRDYSDSTNPGPPKTPPIAVQKISQYACQRRTTLNNCNQIFTDAFDILAENCEFRENEDSCVTFMRAASVLKSLPFTIISMKDTEGIPCLGSKVKGIIEEIIEDGESSEVKAVLNDERYQSFKLFTSVFGVGLKTSEKWFRMGFRTLSKVRSDKSLKFTRMQKAGFLYYEDLVSCVTRAEAEAVSVLVKEAVWAFLPDAFVTMTGGFRRGKKMGHDVDFLITSPGSTEDEEQLLQKVMNLWEKKGLLLYYDLVESTFEKLRLPSRKVDALDHFQKCFLIFKLPRQRVDSDQSSWQEGKTWKAIRVDLVLCPYERRAFALLGWTGSRQFERDLRRYATHERKMILDNHALYDKTKRIFLKAESEEEIFAHLGLDYIEPWERNA
+>DECOY_sp|P04053|TDT_HUMAN DNA nucleotidylexotransferase OS=Homo sapiens OX=9606 GN=DNTT PE=1 SV=3
+ANREWPEIYDLGLHAFIEEESEAKLFIRKTKDYLAHNDLIMKREHTAYRRLDREFQRSGTWGLLAFARREYPCLVLDVRIAKWTKGEQWSSQDSDVRQRPLKFILFCKQFHDLADVKRSPLRLKEFTSEVLDYYLLLGKKEWLNMVKQLLQEEDETSGPSTILFDVDHGMKKGRRFGGTMTVFADPLFAWVAEKVLVSVAEAEARTVCSVLDEYYLFGAKQMRTFKLSKDSRVKSLTRFGMRFWKESTKLGVGFVSTFLKFSQYREDNLVAKVESSEGDEIIEEIIGKVKSGLCPIGETDKMSIITFPLSKLVSAARMFTVCSDENERFECNEALIDFADTFIQNCNNLTTRRQCAYQSIKQVAIPPTKPPGPNTSDSYDRRVVLQHKGTMEVPKGARICEILWSVDLLEPQSSVQVKQAQLWELVDSGSNNEAVIHTVSDSLENEVRFGKRRALEMLFARRTTGMKKELIFVVLDQFKIDQPSSAMLAGTQRPRKKRPSLHSARPPDM
+>sp|Q8IZW8|TENS4_HUMAN Tensin-4 OS=Homo sapiens OX=9606 GN=TNS4 PE=1 SV=3
+MSQVMSSPLLAGGHAVSLAPCDEPRRTLHPAPSPSLPPQCSYYTTEGWGAQALMAPVPCMGPPGRLQQAPQVEAKATCFLPSPGEKALGTPEDLDSYIDFSLESLNQMILELDPTFQLLPPGTGGSQAELAQSTMSMRKKEESEALDIKYIEVTSARSRCHDGPQHCSSPSVTPPFGSLRSGGLLLSRDVPRETRSSSESLIFSGNQGRGHQRPLPPSEGLSPRPPNSPSISIPCMGSKASSPHGLGSPLVASPRLEKRLGGLAPQRGSRISVLSASPVSDVSYMFGSSQSLLHSSNSSHQSSSRSLESPANSSSSLHSLGSVSLCTRPSDFQAPRNPTLTMGQPRTPHSPPLAKEHASSCPPSITNSMVDIPIVLINGCPEPGSSPPQRTPGHQNSVQPGAASPSNPCPATRSNSQTLSDAPFTTCPEGPARDMQPTMKFVMDTSKYWFKPNITREQAIELLRKEEPGAFVIRDSSSYRGSFGLALKVQEVPASAQSRPGEDSNDLIRHFLIESSAKGVHLKGADEEPYFGSLSAFVCQHSIMALALPCKLTIPQRELGGADGASDSTDSPASCQKKSAGCHTLYLSSVSVETLTGALAVQKAISTTFERDILPTPTVVHFKVTEQGITLTDVQRKVFFRRHYPLTTLRFCGMDPEQRKWQKYCKPSWIFGFVAKSQTEPQENVCHLFAEYDMVQPASQVIGLVTALLQDAERM
+>DECOY_sp|Q8IZW8|TENS4_HUMAN Tensin-4 OS=Homo sapiens OX=9606 GN=TNS4 PE=1 SV=3
+MREADQLLATVLGIVQSAPQVMDYEAFLHCVNEQPETQSKAVFGFIWSPKCYKQWKRQEPDMGCFRLTTLPYHRRFFVKRQVDTLTIGQETVKFHVVTPTPLIDREFTTSIAKQVALAGTLTEVSVSSLYLTHCGASKKQCSAPSDTSDSAGDAGGLERQPITLKCPLALAMISHQCVFASLSGFYPEEDAGKLHVGKASSEILFHRILDNSDEGPRSQASAPVEQVKLALGFSGRYSSSDRIVFAGPEEKRLLEIAQERTINPKFWYKSTDMVFKMTPQMDRAPGEPCTTFPADSLTQSNSRTAPCPNSPSAAGPQVSNQHGPTRQPPSSGPEPCGNILVIPIDVMSNTISPPCSSAHEKALPPSHPTRPQGMTLTPNRPAQFDSPRTCLSVSGLSHLSSSSNAPSELSRSSSQHSSNSSHLLSQSSGFMYSVDSVPSASLVSIRSGRQPALGGLRKELRPSAVLPSGLGHPSSAKSGMCPISISPSNPPRPSLGESPPLPRQHGRGQNGSFILSESSSRTERPVDRSLLLGGSRLSGFPPTVSPSSCHQPGDHCRSRASTVEIYKIDLAESEEKKRMSMTSQALEAQSGGTGPPLLQFTPDLELIMQNLSELSFDIYSDLDEPTGLAKEGPSPLFCTAKAEVQPAQQLRGPPGMCPVPAMLAQAGWGETTYYSCQPPLSPSPAPHLTRRPEDCPALSVAHGGALLPSSMVQSM
+>sp|P22105|TENX_HUMAN Tenascin-X OS=Homo sapiens OX=9606 GN=TNXB PE=1 SV=4
+MMPAQYALTSSLVLLVLLSTARAGPFSSRSNVTLPAPRPPPQPGGHTVGAGVGSPSSQLYEHTVEGGEKQVVFTHRINLPPSTGCGCPPGTEPPVLASEVQALRVRLEILEELVKGLKEQCTGGCCPASAQAGTGQTDVRTLCSLHGVFDLSRCTCSCEPGWGGPTCSDPTDAEIPPSSPPSASGSCPDDCNDQGRCVRGRCVCFPGYTGPSCGWPSCPGDCQGRGRCVQGVCVCRAGFSGPDCSQRSCPRGCSQRGRCEGGRCVCDPGYTGDDCGMRSCPRGCSQRGRCENGRCVCNPGYTGEDCGVRSCPRGCSQRGRCKDGRCVCDPGYTGEDCGTRSCPWDCGEGGRCVDGRCVCWPGYTGEDCSTRTCPRDCRGRGRCEDGECICDTGYSGDDCGVRSCPGDCNQRGRCEDGRCVCWPGYTGTDCGSRACPRDCRGRGRCENGVCVCNAGYSGEDCGVRSCPGDCRGRGRCESGRCMCWPGYTGRDCGTRACPGDCRGRGRCVDGRCVCNPGFTGEDCGSRRCPGDCRGHGLCEDGVCVCDAGYSGEDCSTRSCPGGCRGRGQCLDGRCVCEDGYSGEDCGVRQCPNDCSQHGVCQDGVCICWEGYVSEDCSIRTCPSNCHGRGRCEEGRCLCDPGYTGPTCATRMCPADCRGRGRCVQGVCLCHVGYGGEDCGQEEPPASACPGGCGPRELCRAGQCVCVEGFRGPDCAIQTCPGDCRGRGECHDGSCVCKDGYAGEDCGEEVPTIEGMRMHLLEETTVRTEWTPAPGPVDAYEIQFIPTTEGASPPFTARVPSSASAYDQRGLAPGQEYQVTVRALRGTSWGLPASKTITTMIDGPQDLRVVAVTPTTLELGWLRPQAEVDRFVVSYVSAGNQRVRLEVPPEADGTLLTDLMPGVEYVVTVTAERGRAVSYPASVRANTGSSPLGLLGTTDEPPPSGPSTTQGAQAPLLQQRPQELGELRVLGRDETGRLRVVWTAQPDTFAYFQLRMRVPEGPGAHEEVLPGDVRQALVPPPPPGTPYELSLHGVPPGGKPSDPIIYQGIMDKDEEKPGKSSGPPRLGELTVTDRTSDSLLLRWTVPEGEFDSFVIQYKDRDGQPQVVPVEGPQRSAVITSLDPGRKYKFVLYGFVGKKRHGPLVAEAKILPQSDPSPGTPPHLGNLWVTDPTPDSLHLSWTVPEGQFDTFMVQYRDRDGRPQVVPVEGPERSFVVSSLDPDHKYRFTLFGIANKKRYGPLTADGTTAPERKEEPPRPEFLEQPLLGELTVTGVTPDSLRLSWTVAQGPFDSFMVQYKDAQGQPQAVPVAGDENEVTVPGLDPDRKYKMNLYGLRGRQRVGPESVVAKTAPQEDVDETPSPTELGTEAPESPEEPLLGELTVTGSSPDSLSLFWTVPQGSFDSFTVQYKDRDGRPRAVRVGGKESEVTVGGLEPGHKYKMHLYGLHEGQRVGPVSAVGVTAPQQEETPPATESPLEPRLGELTVTDVTPNSVGLSWTVPEGQFDSFIVQYKDKDGQPQVVPVAADQREVTVYNLEPERKYKMNMYGLHDGQRMGPLSVVIVTAPLPPAPATEASKPPLEPRLGELTVTDITPDSVGLSWTVPEGEFDSFVVQYKDRDGQPQVVPVAADQREVTIPDLEPSRKYKFLLFGIQDGKRRSPVSVEAKTVARGDASPGAPPRLGELWVTDPTPDSLRLSWTVPEGQFDSFVVQFKDKDGPQVVPVEGHERSVTVTPLDAGRKYRFLLYGLLGKKRHGPLTADGTTEARSAMDDTGTKRPPKPRLGEELQVTTVTQNSVGLSWTVPEGQFDSFVVQYKDRDGQPQVVPVEGSLREVSVPGLDPAHRYKLLLYGLHHGKRVGPISAVAITAGREETETETTAPTPPAPEPHLGELTVEEATSHTLHLSWMVTEGEFDSFEIQYTDRDGQLQMVRIGGDRNDITLSGLESDHRYLVTLYGFSDGKHVGPVHVEALTVPEEEKPSEPPTATPEPPIKPRLGELTVTDATPDSLSLSWTVPEGQFDHFLVQYRNGDGQPKAVRVPGHEEGVTISGLEPDHKYKMNLYGFHGGQRMGPVSVVGVTAAEEETPSPTEPSMEAPEPAEEPLLGELTVTGSSPDSLSLSWTVPQGRFDSFTVQYKDRDGRPQVVRVGGEESEVTVGGLEPGRKYKMHLYGLHEGRRVGPVSAVGVTAPEEESPDAPLAKLRLGQMTVRDITSDSLSLSWTVPEGQFDHFLVQFKNGDGQPKAVRVPGHEDGVTISGLEPDHKYKMNLYGFHGGQRVGPVSAVGLTAPGKDEEMAPASTEPPTPEPPIKPRLEELTVTDATPDSLSLSWTVPEGQFDHFLVQYKNGDGQPKATRVPGHEDRVTISGLEPDNKYKMNLYGFHGGQRVGPVSAIGVTAAEEETPSPTEPSMEAPEPPEEPLLGELTVTGSSPDSLSLSWTVPQGRFDSFTVQYKDRDGRPQVVRVGGEESEVTVGGLEPGRKYKMHLYGLHEGRRVGPVSTVGVTAPQEDVDETPSPTEPGTEAPGPPEEPLLGELTVTGSSPDSLSLSWTVPQGRFDSFTVQYKDRDGRPQAVRVGGQESKVTVRGLEPGRKYKMHLYGLHEGRRLGPVSAVGVTEDEAETTQAVPTMTPEPPIKPRLGELTMTDATPDSLSLSWTVPEGQFDHFLVQYRNGDGQPKAVRVPGHEDGVTISGLEPDHKYKMNLYGFHGGQRVGPISVIGVTAAEEETPSPTELSTEAPEPPEEPLLGELTVTGSSPDSLSLSWTIPQGHFDSFTVQYKDRDGRPQVMRVRGEESEVTVGGLEPGRKYKMHLYGLHEGRRVGPVSTVGVTEDEAETTQAVPTTTPEPPNKPRLGELTVTDATPDSLSLSWMVPEGQFDHFLVQYRNGDGQPKVVRVPGHEDGVTISGLEPDHKYKMNLYGFHGGQRVGPISVIGVTAAEEETPAPTEPSTEAPEPPEEPLLGELTVTGSSPDSLSLSWTIPQGRFDSFTVQYKDRDGRPQVVRVRGEESEVTVGGLEPGCKYKMHLYGLHEGQRVGPVSAVGVTAPKDEAETTQAVPTMTPEPPIKPRLGELTVTDATPDSLSLSWMVPEGQFDHFLVQYRNGDGQPKAVRVPGHEDGVTISGLEPDHKYKMNLYGFHGGQRVGPVSAIGVTEEETPSPTEPSTEAPEAPEEPLLGELTVTGSSPDSLSLSWTVPQGRFDSFTVQYKDRDGQPQVVRVRGEESEVTVGGLEPGRKYKMHLYGLHEGQRVGPVSTVGITAPLPTPLPVEPRLGELAVAAVTSDSVGLSWTVAQGPFDSFLVQYRDAQGQPQAVPVSGDLRAVAVSGLDPARKYKFLLFGLQNGKRHGPVPVEARTAPDTKPSPRLGELTVTDATPDSVGLSWTVPEGEFDSFVVQYKDKDGRLQVVPVAANQREVTVQGLEPSRKYRFLLYGLSGRKRLGPISADSTTAPLEKELPPHLGELTVAEETSSSLRLSWTVAQGPFDSFVVQYRDTDGQPRAVPVAADQRTVTVEDLEPGKKYKFLLYGLLGGKRLGPVSALGMTAPEEDTPAPELAPEAPEPPEEPRLGVLTVTDTTPDSMRLSWSVAQGPFDSFVVQYEDTNGQPQALLVDGDQSKILISGLEPSTPYRFLLYGLHEGKRLGPLSAEGTTGLAPAGQTSEESRPRLSQLSVTDVTTSSLRLNWEAPPGAFDSFLLRFGVPSPSTLEPHPRPLLQRELMVPGTRHSAVLRDLRSGTLYSLTLYGLRGPHKADSIQGTARTLSPVLESPRDLQFSEIRETSAKVNWMPPPSRADSFKVSYQLADGGEPQSVQVDGQARTQKLQGLIPGARYEVTVVSVRGFEESEPLTGFLTTVPDGPTQLRALNLTEGFAVLHWKPPQNPVDTYDVQVTAPGAPPLQAETPGSAVDYPLHDLVLHTNYTATVRGLRGPNLTSPASITFTTGLEAPRDLEAKEVTPRTALLTWTEPPVRPAGYLLSFHTPGGQNQEILLPGGITSHQLLGLFPSTSYNARLQAMWGQSLLPPVSTSFTTGGLRIPFPRDCGEEMQNGAGASRTSTIFLNGNRERPLNVFCDMETDGGGWLVFQRRMDGQTDFWRDWEDYAHGFGNISGEFWLGNEALHSLTQAGDYSMRVDLRAGDEAVFAQYDSFHVDSAAEYYRLHLEGYHGTAGDSMSYHSGSVFSARDRDPNSLLISCAVSYRGAWWYRNCHYANLNGLYGSTVDHQGVSWYHWKGFEFSVPFTEMKLRPRNFRSPAGGG
+>DECOY_sp|P22105|TENX_HUMAN Tenascin-X OS=Homo sapiens OX=9606 GN=TNXB PE=1 SV=4
+GGGAPSRFNRPRLKMETFPVSFEFGKWHYWSVGQHDVTSGYLGNLNAYHCNRYWWAGRYSVACSILLSNPDRDRASFVSGSHYSMSDGATGHYGELHLRYYEAASDVHFSDYQAFVAEDGARLDVRMSYDGAQTLSHLAENGLWFEGSINGFGHAYDEWDRWFDTQGDMRRQFVLWGGGDTEMDCFVNLPRERNGNLFITSTRSAGAGNQMEEGCDRPFPIRLGGTTFSTSVPPLLSQGWMAQLRANYSTSPFLGLLQHSTIGGPLLIEQNQGGPTHFSLLYGAPRVPPETWTLLATRPTVEKAELDRPAELGTTFTISAPSTLNPGRLGRVTATYNTHLVLDHLPYDVASGPTEAQLPPAGPATVQVDYTDVPNQPPKWHLVAFGETLNLARLQTPGDPVTTLFGTLPESEEFGRVSVVTVEYRAGPILGQLKQTRAQGDVQVSQPEGGDALQYSVKFSDARSPPPMWNVKASTERIESFQLDRPSELVPSLTRATGQISDAKHPGRLGYLTLSYLTGSRLDRLVASHRTGPVMLERQLLPRPHPELTSPSPVGFRLLFSDFAGPPAEWNLRLSSTTVDTVSLQSLRPRSEESTQGAPALGTTGEASLPGLRKGEHLGYLLFRYPTSPELGSILIKSQDGDVLLAQPQGNTDEYQVVFSDFPGQAVSWSLRMSDPTTDTVTLVGLRPEEPPEPAEPALEPAPTDEEPATMGLASVPGLRKGGLLGYLLFKYKKGPELDEVTVTRQDAAVPVARPQGDTDRYQVVFSDFPGQAVTWSLRLSSSTEEAVTLEGLHPPLEKELPATTSDASIPGLRKRGSLGYLLFRYKRSPELGQVTVERQNAAVPVVQLRGDKDKYQVVFSDFEGEPVTWSLGVSDPTADTVTLEGLRPSPKTDPATRAEVPVPGHRKGNQLGFLLFKYKRAPDLGSVAVARLDGSVPVAQPQGQADRYQVLFSDFPGQAVTWSLGVSDSTVAAVALEGLRPEVPLPTPLPATIGVTSVPGVRQGEHLGYLHMKYKRGPELGGVTVESEEGRVRVVQPQGDRDKYQVTFSDFRGQPVTWSLSLSDPSSGTVTLEGLLPEEPAEPAETSPETPSPTEEETVGIASVPGVRQGGHFGYLNMKYKHDPELGSITVGDEHGPVRVAKPQGDGNRYQVLFHDFQGEPVMWSLSLSDPTADTVTLEGLRPKIPPEPTMTPVAQTTEAEDKPATVGVASVPGVRQGEHLGYLHMKYKCGPELGGVTVESEEGRVRVVQPRGDRDKYQVTFSDFRGQPITWSLSLSDPSSGTVTLEGLLPEEPPEPAETSPETPAPTEEEAATVGIVSIPGVRQGGHFGYLNMKYKHDPELGSITVGDEHGPVRVVKPQGDGNRYQVLFHDFQGEPVMWSLSLSDPTADTVTLEGLRPKNPPEPTTTPVAQTTEAEDETVGVTSVPGVRRGEHLGYLHMKYKRGPELGGVTVESEEGRVRMVQPRGDRDKYQVTFSDFHGQPITWSLSLSDPSSGTVTLEGLLPEEPPEPAETSLETPSPTEEEAATVGIVSIPGVRQGGHFGYLNMKYKHDPELGSITVGDEHGPVRVAKPQGDGNRYQVLFHDFQGEPVTWSLSLSDPTADTMTLEGLRPKIPPEPTMTPVAQTTEAEDETVGVASVPGLRRGEHLGYLHMKYKRGPELGRVTVKSEQGGVRVAQPRGDRDKYQVTFSDFRGQPVTWSLSLSDPSSGTVTLEGLLPEEPPGPAETGPETPSPTEDVDEQPATVGVTSVPGVRRGEHLGYLHMKYKRGPELGGVTVESEEGGVRVVQPRGDRDKYQVTFSDFRGQPVTWSLSLSDPSSGTVTLEGLLPEEPPEPAEMSPETPSPTEEEAATVGIASVPGVRQGGHFGYLNMKYKNDPELGSITVRDEHGPVRTAKPQGDGNKYQVLFHDFQGEPVTWSLSLSDPTADTVTLEELRPKIPPEPTPPETSAPAMEEDKGPATLGVASVPGVRQGGHFGYLNMKYKHDPELGSITVGDEHGPVRVAKPQGDGNKFQVLFHDFQGEPVTWSLSLSDSTIDRVTMQGLRLKALPADPSEEEPATVGVASVPGVRRGEHLGYLHMKYKRGPELGGVTVESEEGGVRVVQPRGDRDKYQVTFSDFRGQPVTWSLSLSDPSSGTVTLEGLLPEEAPEPAEMSPETPSPTEEEAATVGVVSVPGMRQGGHFGYLNMKYKHDPELGSITVGEEHGPVRVAKPQGDGNRYQVLFHDFQGEPVTWSLSLSDPTADTVTLEGLRPKIPPEPTATPPESPKEEEPVTLAEVHVPGVHKGDSFGYLTVLYRHDSELGSLTIDNRDGGIRVMQLQGDRDTYQIEFSDFEGETVMWSLHLTHSTAEEVTLEGLHPEPAPPTPATTETETEERGATIAVASIPGVRKGHHLGYLLLKYRHAPDLGPVSVERLSGEVPVVQPQGDRDKYQVVFSDFQGEPVTWSLGVSNQTVTTVQLEEGLRPKPPRKTGTDDMASRAETTGDATLPGHRKKGLLGYLLFRYKRGADLPTVTVSREHGEVPVVQPGDKDKFQVVFSDFQGEPVTWSLRLSDPTPDTVWLEGLRPPAGPSADGRAVTKAEVSVPSRRKGDQIGFLLFKYKRSPELDPITVERQDAAVPVVQPQGDRDKYQVVFSDFEGEPVTWSLGVSDPTIDTVTLEGLRPELPPKSAETAPAPPLPATVIVVSLPGMRQGDHLGYMNMKYKREPELNYVTVERQDAAVPVVQPQGDKDKYQVIFSDFQGEPVTWSLGVSNPTVDTVTLEGLRPELPSETAPPTEEQQPATVGVASVPGVRQGEHLGYLHMKYKHGPELGGVTVESEKGGVRVARPRGDRDKYQVTFSDFSGQPVTWFLSLSDPSSGTVTLEGLLPEEPSEPAETGLETPSPTEDVDEQPATKAVVSEPGVRQRGRLGYLNMKYKRDPDLGPVTVENEDGAVPVAQPQGQADKYQVMFSDFPGQAVTWSLRLSDPTVGTVTLEGLLPQELFEPRPPEEKREPATTGDATLPGYRKKNAIGFLTFRYKHDPDLSSVVFSREPGEVPVVQPRGDRDRYQVMFTDFQGEPVTWSLHLSDPTPDTVWLNGLHPPTGPSPDSQPLIKAEAVLPGHRKKGVFGYLVFKYKRGPDLSTIVASRQPGEVPVVQPQGDRDKYQIVFSDFEGEPVTWRLLLSDSTRDTVTLEGLRPPGSSKGPKEEDKDMIGQYIIPDSPKGGPPVGHLSLEYPTGPPPPPVLAQRVDGPLVEEHAGPGEPVRMRLQFYAFTDPQATWVVRLRGTEDRGLVRLEGLEQPRQQLLPAQAGQTTSPGSPPPEDTTGLLGLPSSGTNARVSAPYSVARGREATVTVVYEVGPMLDTLLTGDAEPPVELRVRQNGASVYSVVFRDVEAQPRLWGLELTTPTVAVVRLDQPGDIMTTITKSAPLGWSTGRLARVTVQYEQGPALGRQDYASASSPVRATFPPSAGETTPIFQIEYADVPGPAPTWETRVTTEELLHMRMGEITPVEEGCDEGAYGDKCVCSGDHCEGRGRCDGPCTQIACDPGRFGEVCVCQGARCLERPGCGGPCASAPPEEQGCDEGGYGVHCLCVGQVCRGRGRCDAPCMRTACTPGTYGPDCLCRGEECRGRGHCNSPCTRISCDESVYGEWCICVGDQCVGHQSCDNPCQRVGCDEGSYGDECVCRGDLCQGRGRCGGPCSRTSCDEGSYGADCVCVGDECLGHGRCDGPCRRSGCDEGTFGPNCVCRGDVCRGRGRCDGPCARTGCDRGTYGPWCMCRGSECRGRGRCDGPCSRVGCDEGSYGANCVCVGNECRGRGRCDRPCARSGCDTGTYGPWCVCRGDECRGRQNCDGPCSRVGCDDGSYGTDCICEGDECRGRGRCDRPCTRTSCDEGTYGPWCVCRGDVCRGGEGCDWPCSRTGCDEGTYGPDCVCRGDKCRGRQSCGRPCSRVGCDEGTYGPNCVCRGNECRGRQSCGRPCSRMGCDDGTYGPDCVCRGGECRGRQSCGRPCSRQSCDPGSFGARCVCVGQVCRGRGQCDGPCSPWGCSPGTYGPFCVCRGRVCRGQDNCDDPCSGSASPPSSPPIEADTPDSCTPGGWGPECSCTCRSLDFVGHLSCLTRVDTQGTGAQASAPCCGGTCQEKLGKVLEELIELRVRLAQVESALVPPETGPPCGCGTSPPLNIRHTFVVQKEGGEVTHEYLQSSPSGVGAGVTHGGPQPPPRPAPLTVNSRSSFPGARATSLLVLLVLSSTLAYQAPMM
+>sp|A2RU30|TESP1_HUMAN Protein TESPA1 OS=Homo sapiens OX=9606 GN=TESPA1 PE=1 SV=2
+MEASVLSPTSWEKRRAWLRQSRNWQTQVLEEEAAAALQDVPDPEPSSLDDVFQEGNPINKIEDWLQDCGYSEEGFSEEAGQFIYNGFCSHGTSFEDDLTLGAEATLLAANGKLFSRSFLETARPCQLLDLGCSLASSSMTGGTNKTSSSISEILDKVQEDAEDVLFSLGFGQEDHKDTSRIPARFFTTPSQAKGIDFQLFLKSQVRRIEMEDPCLMLASRFKQVQTLAVTADAFFCLYSYVSKTPVQKFTPSHMFWNCNHPTDVPSIRILSREPEPQSPRDRLRKAISKMCLYTCPRDRPPPPHNTPKRNSLDQVVLEVMDKVKEEKQFLQQDSDLGQFSQEDPVPPAEGKKLPTSPYPCVFCCEEETQQRMSTVLAPSQTLDSNPKVPCCTHSLPIEDPQWSTDPAQIRRELCSLPATNTETHPAKDETFWKRKSRARKSLFQKNLMGRKVKSLDLSITQQKWKQSVDRPELRRSLSQQPQDTFDLEEVQSNSEEEQSQSRWPSRPRHPHHHQTFAGKDS
+>DECOY_sp|A2RU30|TESP1_HUMAN Protein TESPA1 OS=Homo sapiens OX=9606 GN=TESPA1 PE=1 SV=2
+SDKGAFTQHHHPHRPRSPWRSQSQEEESNSQVEELDFTDQPQQSLSRRLEPRDVSQKWKQQTISLDLSKVKRGMLNKQFLSKRARSKRKWFTEDKAPHTETNTAPLSCLERRIQAPDTSWQPDEIPLSHTCCPVKPNSDLTQSPALVTSMRQQTEEECCFVCPYPSTPLKKGEAPPVPDEQSFQGLDSDQQLFQKEEKVKDMVELVVQDLSNRKPTNHPPPPRDRPCTYLCMKSIAKRLRDRPSQPEPERSLIRISPVDTPHNCNWFMHSPTFKQVPTKSVYSYLCFFADATVALTQVQKFRSALMLCPDEMEIRRVQSKLFLQFDIGKAQSPTTFFRAPIRSTDKHDEQGFGLSFLVDEADEQVKDLIESISSSTKNTGGTMSSSALSCGLDLLQCPRATELFSRSFLKGNAALLTAEAGLTLDDEFSTGHSCFGNYIFQGAEESFGEESYGCDQLWDEIKNIPNGEQFVDDLSSPEPDPVDQLAAAAEEELVQTQWNRSQRLWARRKEWSTPSLVSAEM
+>sp|Q8NFU7|TET1_HUMAN Methylcytosine dioxygenase TET1 OS=Homo sapiens OX=9606 GN=TET1 PE=1 SV=2
+MSRSRHARPSRLVRKEDVNKKKKNSQLRKTTKGANKNVASVKTLSPGKLKQLIQERDVKKKTEPKPPVPVRSLLTRAGAARMNLDRTEVLFQNPESLTCNGFTMALRSTSLSRRLSQPPLVVAKSKKVPLSKGLEKQHDCDYKILPALGVKHSENDSVPMQDTQVLPDIETLIGVQNPSLLKGKSQETTQFWSQRVEDSKINIPTHSGPAAEILPGPLEGTRCGEGLFSEETLNDTSGSPKMFAQDTVCAPFPQRATPKVTSQGNPSIQLEELGSRVESLKLSDSYLDPIKSEHDCYPTSSLNKVIPDLNLRNCLALGGSTSPTSVIKFLLAGSKQATLGAKPDHQEAFEATANQQEVSDTTSFLGQAFGAIPHQWELPGADPVHGEALGETPDLPEIPGAIPVQGEVFGTILDQQETLGMSGSVVPDLPVFLPVPPNPIATFNAPSKWPEPQSTVSYGLAVQGAIQILPLGSGHTPQSSSNSEKNSLPPVMAISNVENEKQVHISFLPANTQGFPLAPERGLFHASLGIAQLSQAGPSKSDRGSSQVSVTSTVHVVNTTVVTMPVPMVSTSSSSYTTLLPTLEKKKRKRCGVCEPCQQKTNCGECTYCKNRKNSHQICKKRKCEELKKKPSVVVPLEVIKENKRPQREKKPKVLKADFDNKPVNGPKSESMDYSRCGHGEEQKLELNPHTVENVTKNEDSMTGIEVEKWTQNKKSQLTDHVKGDFSANVPEAEKSKNSEVDKKRTKSPKLFVQTVRNGIKHVHCLPAETNVSFKKFNIEEFGKTLENNSYKFLKDTANHKNAMSSVATDMSCDHLKGRSNVLVFQQPGFNCSSIPHSSHSIINHHASIHNEGDQPKTPENIPSKEPKDGSPVQPSLLSLMKDRRLTLEQVVAIEALTQLSEAPSENSSPSKSEKDEESEQRTASLLNSCKAILYTVRKDLQDPNLQGEPPKLNHCPSLEKQSSCNTVVFNGQTTTLSNSHINSATNQASTKSHEYSKVTNSLSLFIPKSNSSKIDTNKSIAQGIITLDNCSNDLHQLPPRNNEVEYCNQLLDSSKKLDSDDLSCQDATHTQIEEDVATQLTQLASIIKINYIKPEDKKVESTPTSLVTCNVQQKYNQEKGTIQQKPPSSVHNNHGSSLTKQKNPTQKKTKSTPSRDRRKKKPTVVSYQENDRQKWEKLSYMYGTICDIWIASKFQNFGQFCPHDFPTVFGKISSSTKIWKPLAQTRSIMQPKTVFPPLTQIKLQRYPESAEEKVKVEPLDSLSLFHLKTESNGKAFTDKAYNSQVQLTVNANQKAHPLTQPSSPPNQCANVMAGDDQIRFQQVVKEQLMHQRLPTLPGISHETPLPESALTLRNVNVVCSGGITVVSTKSEEEVCSSSFGTSEFSTVDSAQKNFNDYAMNFFTNPTKNLVSITKDSELPTCSCLDRVIQKDKGPYYTHLGAGPSVAAVREIMENRYGQKGNAIRIEIVVYTGKEGKSSHGCPIAKWVLRRSSDEEKVLCLVRQRTGHHCPTAVMVVLIMVWDGIPLPMADRLYTELTENLKSYNGHPTDRRCTLNENRTCTCQGIDPETCGASFSFGCSWSMYFNGCKFGRSPSPRRFRIDPSSPLHEKNLEDNLQSLATRLAPIYKQYAPVAYQNQVEYENVARECRLGSKEGRPFSGVTACLDFCAHPHRDIHNMNNGSTVVCTLTREDNRSLGVIPQDEQLHVLPLYKLSDTDEFGSKEGMEAKIKSGAIEVLAPRRKKRTCFTQPVPRSGKKRAAMMTEVLAHKIRAVEKKPIPRIKRKNNSTTTNNSKPSSLPTLGSNTETVQPEVKSETEPHFILKSSDNTKTYSLMPSAPHPVKEASPGFSWSPKTASATPAPLKNDATASCGFSERSSTPHCTMPSGRLSGANAAAADGPGISQLGEVAPLPTLSAPVMEPLINSEPSTGVTEPLTPHQPNHQPSFLTSPQDLASSPMEEDEQHSEADEPPSDEPLSDDPLSPAEEKLPHIDEYWSDSEHIFLDANIGGVAIAPAHGSVLIECARRELHATTPVEHPNRNHPTRLSLVFYQHKNLNKPQHGFELNKIKFEAKEAKNKKMKASEQKDQAANEGPEQSSEVNELNQIPSHKALTLTHDNVVTVSPYALTHVAGPYNHWV
+>DECOY_sp|Q8NFU7|TET1_HUMAN Methylcytosine dioxygenase TET1 OS=Homo sapiens OX=9606 GN=TET1 PE=1 SV=2
+VWHNYPGAVHTLAYPSVTVVNDHTLTLAKHSPIQNLENVESSQEPGENAAQDKQESAKMKKNKAEKAEFKIKNLEFGHQPKNLNKHQYFVLSLRTPHNRNPHEVPTTAHLERRACEILVSGHAPAIAVGGINADLFIHESDSWYEDIHPLKEEAPSLPDDSLPEDSPPEDAESHQEDEEMPSSALDQPSTLFSPQHNPQHPTLPETVGTSPESNILPEMVPASLTPLPAVEGLQSIGPGDAAAANAGSLRGSPMTCHPTSSRESFGCSATADNKLPAPTASATKPSWSFGPSAEKVPHPASPMLSYTKTNDSSKLIFHPETESKVEPQVTETNSGLTPLSSPKSNNTTTSNNKRKIRPIPKKEVARIKHALVETMMAARKKGSRPVPQTFCTRKKRRPALVEIAGSKIKAEMGEKSGFEDTDSLKYLPLVHLQEDQPIVGLSRNDERTLTCVVTSGNNMNHIDRHPHACFDLCATVGSFPRGEKSGLRCERAVNEYEVQNQYAVPAYQKYIPALRTALSQLNDELNKEHLPSSPDIRFRRPSPSRGFKCGNFYMSWSCGFSFSAGCTEPDIGQCTCTRNENLTCRRDTPHGNYSKLNETLETYLRDAMPLPIGDWVMILVVMVATPCHHGTRQRVLCLVKEEDSSRRLVWKAIPCGHSSKGEKGTYVVIEIRIANGKQGYRNEMIERVAAVSPGAGLHTYYPGKDKQIVRDLCSCTPLESDKTISVLNKTPNTFFNMAYDNFNKQASDVTSFESTGFSSSCVEEESKTSVVTIGGSCVVNVNRLTLASEPLPTEHSIGPLTPLRQHMLQEKVVQQFRIQDDGAMVNACQNPPSSPQTLPHAKQNANVTLQVQSNYAKDTFAKGNSETKLHFLSLSDLPEVKVKEEASEPYRQLKIQTLPPFVTKPQMISRTQALPKWIKTSSSIKGFVTPFDHPCFQGFNQFKSAIWIDCITGYMYSLKEWKQRDNEQYSVVTPKKKRRDRSPTSKTKKQTPNKQKTLSSGHNNHVSSPPKQQITGKEQNYKQQVNCTVLSTPTSEVKKDEPKIYNIKIISALQTLQTAVDEEIQTHTADQCSLDDSDLKKSSDLLQNCYEVENNRPPLQHLDNSCNDLTIIGQAISKNTDIKSSNSKPIFLSLSNTVKSYEHSKTSAQNTASNIHSNSLTTTQGNFVVTNCSSQKELSPCHNLKPPEGQLNPDQLDKRVTYLIAKCSNLLSATRQESEEDKESKSPSSNESPAESLQTLAEIAVVQELTLRRDKMLSLLSPQVPSGDKPEKSPINEPTKPQDGENHISAHHNIISHSSHPISSCNFGPQQFVLVNSRGKLHDCSMDTAVSSMANKHNATDKLFKYSNNELTKGFEEINFKKFSVNTEAPLCHVHKIGNRVTQVFLKPSKTRKKDVESNKSKEAEPVNASFDGKVHDTLQSKKNQTWKEVEIGTMSDENKTVNEVTHPNLELKQEEGHGCRSYDMSESKPGNVPKNDFDAKLVKPKKERQPRKNEKIVELPVVVSPKKKLEECKRKKCIQHSNKRNKCYTCEGCNTKQQCPECVGCRKRKKKELTPLLTTYSSSSTSVMPVPMTVVTTNVVHVTSTVSVQSSGRDSKSPGAQSLQAIGLSAHFLGREPALPFGQTNAPLFSIHVQKENEVNSIAMVPPLSNKESNSSSQPTHGSGLPLIQIAGQVALGYSVTSQPEPWKSPANFTAIPNPPVPLFVPLDPVVSGSMGLTEQQDLITGFVEGQVPIAGPIEPLDPTEGLAEGHVPDAGPLEWQHPIAGFAQGLFSTTDSVEQQNATAEFAEQHDPKAGLTAQKSGALLFKIVSTPSTSGGLALCNRLNLDPIVKNLSSTPYCDHESKIPDLYSDSLKLSEVRSGLEELQISPNGQSTVKPTARQPFPACVTDQAFMKPSGSTDNLTEESFLGEGCRTGELPGPLIEAAPGSHTPINIKSDEVRQSWFQTTEQSKGKLLSPNQVGILTEIDPLVQTDQMPVSDNESHKVGLAPLIKYDCDHQKELGKSLPVKKSKAVVLPPQSLRRSLSTSRLAMTFGNCTLSEPNQFLVETRDLNMRAAGARTLLSRVPVPPKPETKKKVDREQILQKLKGPSLTKVSAVNKNAGKTTKRLQSNKKKKNVDEKRVLRSPRAHRSRSM
+>sp|Q96IP4|TET5A_HUMAN Terminal nucleotidyltransferase 5A OS=Homo sapiens OX=9606 GN=TENT5A PE=1 SV=2
+MAEGEGYFAMSEDELACSPYIPLGGDFGGGDFGGGDFGGGDFGGGGSFGGHCLDYCESPTAHCNVLNWEQVQRLDGILSETIPIHGRGNFPTLELQPSLIVKVVRRRLAEKRIGVRDVRLNGSAASHVLHQDSGLGYKDLDLIFCADLRGEGEFQTVKDVVLDCLLDFLPEGVNKEKITPLTLKEAYVQKMVKVCNDSDRWSLISLSNNSGKNVELKFVDSLRRQFEFSVDSFQIKLDSLLLFYECSENPMTETFHPTIIGESVYGDFQEAFDHLCNKIIATRNPEEIRGGGLLKYCNLLVRGFRPASDEIKTLQRYMCSRFFIDFSDIGEQQRKLESYLQNHFVGLEDRKYEYLMTLHGVVNESTVCLMGHERRQTLNLITMLAIRVLADQNVIPNVANVTCYYQPAPYVADANFSNYYIAQVQPVFTCQQQTYSTWLPCN
+>DECOY_sp|Q96IP4|TET5A_HUMAN Terminal nucleotidyltransferase 5A OS=Homo sapiens OX=9606 GN=TENT5A PE=1 SV=2
+NCPLWTSYTQQQCTFVPQVQAIYYNSFNADAVYPAPQYYCTVNAVNPIVNQDALVRIALMTILNLTQRREHGMLCVTSENVVGHLTMLYEYKRDELGVFHNQLYSELKRQQEGIDSFDIFFRSCMYRQLTKIEDSAPRFGRVLLNCYKLLGGGRIEEPNRTAIIKNCLHDFAEQFDGYVSEGIITPHFTETMPNESCEYFLLLSDLKIQFSDVSFEFQRRLSDVFKLEVNKGSNNSLSILSWRDSDNCVKVMKQVYAEKLTLPTIKEKNVGEPLFDLLCDLVVDKVTQFEGEGRLDACFILDLDKYGLGSDQHLVHSAASGNLRVDRVGIRKEALRRRVVKVILSPQLELTPFNGRGHIPITESLIGDLRQVQEWNLVNCHATPSECYDLCHGGFSGGGGFDGGGFDGGGFDGGGFDGGLPIYPSCALEDESMAFYGEGEAM
+>sp|Q8IYF3|TEX11_HUMAN Testis-expressed protein 11 OS=Homo sapiens OX=9606 GN=TEX11 PE=1 SV=3
+MISAHCNLRLLCSSDSSASASQVAGTTEVVENLVTNDNSPNIPEAIDRLFSDIANINRESMAEITDIQIEEMAVNLWNWALTIGGGWLVNEEQKIRLHYVACKLLSMCEASFASEQSIQRLIMMNMRIGKEWLDAGNFLIADECFQAAVASLEQLYVKLIQRSSPEADLTMEKITVESDHFRVLSYQAESAVAQGDFQRASMCVLQCKDMLMRLPQMTSSLHHLCYNFGVETQKNNKYEESSFWLSQSYDIGKMDKKSTGPEMLAKVLRLLATNYLDWDDTKYYDKALNAVNLANKEHLSSPGLFLKMKILLKGETSNEELLEAVMEILHLDMPLDFCLNIAKLLMDHERESVGFHFLTIIHERFKSSENIGKVLILHTDMLLQRKEELLAKEKIEEIFLAHQTGRQLTAESMNWLHNILWRQAASSFEVQNYTDALQWYYYSLRFYSTDEMDLDFTKLQRNMACCYLNLQQLDKAKEAVAEAERHDPRNVFTQFYIFKIAVIEGNSERALQAIITLENILTDEESEDNDLVAERGSPTMLLSLAAQFALENGQQIVAEKALEYLAQHSEDQEQVLTAVKCLLRFLLPKIAEMPESEDKKKEMDRLLTCLNRAFVKLSQPFGEEALSLESRANEAQWFRKTAWNLAVQCDKDPVMMREFFILSYKMSQFCPSDQVILIARKTCLLMAVAVDLEQGRKASTAFEQTMFLSRALEEIQTCNDIHNFLKQTGTFSNDSCEKLLLLYEFEVRAKLNDPLLESFLESVWELPHLETKTFETIAIIAMEKPAHYPLIALKALKKALLLYKKEEPIDISQYSKCMHNLVNLSVPDGASNVELCPLEEVWGYFEDALSHISRTKDYPEMEILWLMVKSWNTGVLMFSRSKYASAEKWCGLALRFLNHLTSFKESYETQMNMLYSQLVEALSNNKGPVFHEHGYWSKSD
+>DECOY_sp|Q8IYF3|TEX11_HUMAN Testis-expressed protein 11 OS=Homo sapiens OX=9606 GN=TEX11 PE=1 SV=3
+DSKSWYGHEHFVPGKNNSLAEVLQSYLMNMQTEYSEKFSTLHNLFRLALGCWKEASAYKSRSFMLVGTNWSKVMLWLIEMEPYDKTRSIHSLADEFYGWVEELPCLEVNSAGDPVSLNVLNHMCKSYQSIDIPEEKKYLLLAKKLAKLAILPYHAPKEMAIIAITEFTKTELHPLEWVSELFSELLPDNLKARVEFEYLLLLKECSDNSFTGTQKLFNHIDNCTQIEELARSLFMTQEFATSAKRGQELDVAVAMLLCTKRAILIVQDSPCFQSMKYSLIFFERMMVPDKDCQVALNWATKRFWQAENARSELSLAEEGFPQSLKVFARNLCTLLRDMEKKKDESEPMEAIKPLLFRLLCKVATLVQEQDESHQALYELAKEAVIQQGNELAFQAALSLLMTPSGREAVLDNDESEEDTLINELTIIAQLARESNGEIVAIKFIYFQTFVNRPDHREAEAVAEKAKDLQQLNLYCCAMNRQLKTFDLDMEDTSYFRLSYYYWQLADTYNQVEFSSAAQRWLINHLWNMSEATLQRGTQHALFIEEIKEKALLEEKRQLLMDTHLILVKGINESSKFREHIITLFHFGVSEREHDMLLKAINLCFDLPMDLHLIEMVAELLEENSTEGKLLIKMKLFLGPSSLHEKNALNVANLAKDYYKTDDWDLYNTALLRLVKALMEPGTSKKDMKGIDYSQSLWFSSEEYKNNKQTEVGFNYCLHHLSSTMQPLRMLMDKCQLVCMSARQFDGQAVASEAQYSLVRFHDSEVTIKEMTLDAEPSSRQILKVYLQELSAVAAQFCEDAILFNGADLWEKGIRMNMMILRQISQESAFSAECMSLLKCAVYHLRIKQEENVLWGGGITLAWNWLNVAMEEIQIDTIEAMSERNINAIDSFLRDIAEPINPSNDNTVLNEVVETTGAVQSASASSDSSCLLRLNCHASIM
+>sp|Q8NA77|TEX19_HUMAN Testis-expressed protein 19 OS=Homo sapiens OX=9606 GN=TEX19 PE=1 SV=1
+MCPPVSMRYEEEGMSYLYASWMYQLQHGDQLSICFTCFKAAFLDFKDLLESEDWEEDNWDPELMEHTEAESEQEGSSGMELSWGQSPGQPVQGGSEAWGPGTLAAAPEGLEDAGLDPHFVPTELWPQEAVPLGLGLEDADWTQGLPWRFEELLTCSHWPSFFPS
+>DECOY_sp|Q8NA77|TEX19_HUMAN Testis-expressed protein 19 OS=Homo sapiens OX=9606 GN=TEX19 PE=1 SV=1
+SPFFSPWHSCTLLEEFRWPLGQTWDADELGLGLPVAEQPWLETPVFHPDLGADELGEPAAALTGPGWAESGGQVPQGPSQGWSLEMGSSGEQESEAETHEMLEPDWNDEEWDESELLDKFDLFAAKFCTFCISLQDGHQLQYMWSAYLYSMGEEEYRMSVPPCM
+>sp|Q8N6K0|TEX29_HUMAN Testis-expressed protein 29 OS=Homo sapiens OX=9606 GN=TEX29 PE=2 SV=1
+MEYVLEVKNSPRHLLKQFTVCDVPLYDICDYNVSRDRCQELGCCFYEGVCYKKAVPIYIHVFSALIVIIAGAFVITIIYRVIQESRKEKAIPVDVALPQKSSEKAELASSSSKLGLKPASPGPPSAGPSMKSDEDKDDVTGTITEAEETED
+>DECOY_sp|Q8N6K0|TEX29_HUMAN Testis-expressed protein 29 OS=Homo sapiens OX=9606 GN=TEX29 PE=2 SV=1
+DETEEAETITGTVDDKDEDSKMSPGASPPGPSAPKLGLKSSSSALEAKESSKQPLAVDVPIAKEKRSEQIVRYIITIVFAGAIIVILASFVHIYIPVAKKYCVGEYFCCGLEQCRDRSVNYDCIDYLPVDCVTFQKLLHRPSNKVELVYEM
+>sp|Q5JUR7|TEX30_HUMAN Testis-expressed protein 30 OS=Homo sapiens OX=9606 GN=TEX30 PE=2 SV=1
+MSHTEVKLKIPFGNKLLDAVCLVPNKSLTYGIILTHGASGDMNLPHLMSLASHLASHGFFCLRFTCKGLNIVHRIKAYKSVLNYLKTSGEYKLAGVFLGGRSMGSRAAASVMCHIEPDDGDDFVRGLICISYPLHHPKQQHKLRDEDLFRLKEPVLFVSGSADEMCEKNLLEKVAQKMQAPHKIHWIEKANHSMAVKGRSTNDVFKEINTQILFWIQEITEMDKKCH
+>DECOY_sp|Q5JUR7|TEX30_HUMAN Testis-expressed protein 30 OS=Homo sapiens OX=9606 GN=TEX30 PE=2 SV=1
+HCKKDMETIEQIWFLIQTNIEKFVDNTSRGKVAMSHNAKEIWHIKHPAQMKQAVKELLNKECMEDASGSVFLVPEKLRFLDEDRLKHQQKPHHLPYSICILGRVFDDGDDPEIHCMVSAAARSGMSRGGLFVGALKYEGSTKLYNLVSKYAKIRHVINLGKCTFRLCFFGHSALHSALSMLHPLNMDGSAGHTLIIGYTLSKNPVLCVADLLKNGFPIKLKVETHSM
+>sp|O43247|TEX33_HUMAN Testis-expressed protein 33 OS=Homo sapiens OX=9606 GN=TEX33 PE=1 SV=2
+MELGHGAGTTTFTRAHLNDKEGQQDLDPWKAAYSSLDTSKFKNQGLSSPQPLPLGASAQGSSLGQCHLKEIPPPPPTAASRDSLGMDPQSRSLKNAGSRSSSRENRATSGEGAQPCQGTDDGPSLGAQDQRSTPTNQKGSIIPNNIRHKFGSNVVDQLVSEEQAQKAIDEVFEGQKRASSWPSRTQNPVEISSVFSDYYDLGYNMRSNLFRGAAEETKSLMKASYTPEVIEKSVRDLEHWHGRKTDDLGRWHQKNAMNLNLQKALEEKYGENSKSKSSKY
+>DECOY_sp|O43247|TEX33_HUMAN Testis-expressed protein 33 OS=Homo sapiens OX=9606 GN=TEX33 PE=1 SV=2
+YKSSKSKSNEGYKEELAKQLNLNMANKQHWRGLDDTKRGHWHELDRVSKEIVEPTYSAKMLSKTEEAAGRFLNSRMNYGLDYYDSFVSSIEVPNQTRSPWSSARKQGEFVEDIAKQAQEESVLQDVVNSGFKHRINNPIISGKQNTPTSRQDQAGLSPGDDTGQCPQAGEGSTARNERSSSRSGANKLSRSQPDMGLSDRSAATPPPPPIEKLHCQGLSSGQASAGLPLPQPSSLGQNKFKSTDLSSYAAKWPDLDQQGEKDNLHARTFTTTGAGHGLEM
+>sp|Q5VZQ5|TEX36_HUMAN Testis-expressed protein 36 OS=Homo sapiens OX=9606 GN=TEX36 PE=2 SV=1
+MTKGRRFNPPSDKDGRWFPHIGLTQKTPESITSATSKEPQSPHLPRQAEGKLPPIYKVREKQAVNNQFPFSVHDNRHSLENSGCYLDSGLGRKKISPDKRQHVSRNFNLWACDYVPSCLDGFSNNQISYVYKEAMVVSSFRRFPRCYKEIWNAFTFLPERSYTEVLKKKPKVRFTVDKKVVSSLES
+>DECOY_sp|Q5VZQ5|TEX36_HUMAN Testis-expressed protein 36 OS=Homo sapiens OX=9606 GN=TEX36 PE=2 SV=1
+SELSSVVKKDVTFRVKPKKKLVETYSREPLFTFANWIEKYCRPFRRFSSVVMAEKYVYSIQNNSFGDLCSPVYDCAWLNFNRSVHQRKDPSIKKRGLGSDLYCGSNELSHRNDHVSFPFQNNVAQKERVKYIPPLKGEAQRPLHPSQPEKSTASTISEPTKQTLGIHPFWRGDKDSPPNFRRGKTM
+>sp|A0A1B0GU33|TEX53_HUMAN Testis-expressed protein 53 OS=Homo sapiens OX=9606 GN=TEX53 PE=4 SV=1
+MGSKIFCCCRKTSEGSSTTVGFHNPRMFEQHHPRSFNLNTNSLHSAVPKRHPRLPYDNRMMLKACILRRP
+>DECOY_sp|A0A1B0GU33|TEX53_HUMAN Testis-expressed protein 53 OS=Homo sapiens OX=9606 GN=TEX53 PE=4 SV=1
+PRRLICAKLMMRNDYPLRPHRKPVASHLSNTNLNFSRPHHQEFMRPNHFGVTTSSGESTKRCCCFIKSGM
+>sp|P52655|TF2AA_HUMAN Transcription initiation factor IIA subunit 1 OS=Homo sapiens OX=9606 GN=GTF2A1 PE=1 SV=1
+MANSANTNTVPKLYRSVIEDVINDVRDIFLDDGVDEQVLMELKTLWENKLMQSRAVDGFHSEEQQLLLQVQQQHQPQQQQHHHHHHHQQAQPQQTVPQQAQTQQVLIPASQQATAPQVIVPDSKLIQHMNASNMSAAATAATLALPAGVTPVQQILTNSGQLLQVVRAANGAQYIFQPQQSVVLQQQVIPQMQPGGVQAPVIQQVLAPLPGGISPQTGVIIQPQQILFTGNKTQVIPTTVAAPTPAQAQITATGQQQPQAQPAQTQAPLVLQVDGTGDTSSEEDEDEEEDYDDDEEEDKEKDGAEDGQVEEEPLNSEDDVSDEEGQELFDTENVVVCQYDKIHRSKNKWKFHLKDGIMNLNGRDYIFSKAIGDAEW
+>DECOY_sp|P52655|TF2AA_HUMAN Transcription initiation factor IIA subunit 1 OS=Homo sapiens OX=9606 GN=GTF2A1 PE=1 SV=1
+WEADGIAKSFIYDRGNLNMIGDKLHFKWKNKSRHIKDYQCVVVNETDFLEQGEEDSVDDESNLPEEEVQGDEAGDKEKDEEEDDDYDEEEDEDEESSTDGTGDVQLVLPAQTQAPQAQPQQQGTATIQAQAPTPAAVTTPIVQTKNGTFLIQQPQIIVGTQPSIGGPLPALVQQIVPAQVGGPQMQPIVQQQLVVSQQPQFIYQAGNAARVVQLLQGSNTLIQQVPTVGAPLALTAATAAASMNSANMHQILKSDPVIVQPATAQQSAPILVQQTQAQQPVTQQPQAQQHHHHHHHQQQQPQHQQQVQLLLQQEESHFGDVARSQMLKNEWLTKLEMLVQEDVGDDLFIDRVDNIVDEIVSRYLKPVTNTNASNAM
+>sp|Q13889|TF2H3_HUMAN General transcription factor IIH subunit 3 OS=Homo sapiens OX=9606 GN=GTF2H3 PE=1 SV=2
+MVSDEDELNLLVIVVDANPIWWGKQALKESQFTLSKCIDAVMVLGNSHLFMNRSNKLAVIASHIQESRFLYPGKNGRLGDFFGDPGNPPEFNPSGSKDGKYELLTSANEVIVEEIKDLMTKSDIKGQHTETLLAGSLAKALCYIHRMNKEVKDNQEMKSRILVIKAAEDSALQYMNFMNVIFAAQKQNILIDACVLDSDSGLLQQACDITGGLYLKVPQMPSLLQYLLWVFLPDQDQRSQLILPPPVHVDYRAACFCHRNLIEIGYVCSVCLSIFCNFSPICTTCETAFKISLPPVLKAKKKKLKVSA
+>DECOY_sp|Q13889|TF2H3_HUMAN General transcription factor IIH subunit 3 OS=Homo sapiens OX=9606 GN=GTF2H3 PE=1 SV=2
+ASVKLKKKKAKLVPPLSIKFATECTTCIPSFNCFISLCVSCVYGIEILNRHCFCAARYDVHVPPPLILQSRQDQDPLFVWLLYQLLSPMQPVKLYLGGTIDCAQQLLGSDSDLVCADILINQKQAAFIVNMFNMYQLASDEAAKIVLIRSKMEQNDKVEKNMRHIYCLAKALSGALLTETHQGKIDSKTMLDKIEEVIVENASTLLEYKGDKSGSPNFEPPNGPDGFFDGLRGNKGPYLFRSEQIHSAIVALKNSRNMFLHSNGLVMVADICKSLTFQSEKLAQKGWWIPNADVVIVLLNLEDEDSVM
+>sp|Q9UKN8|TF3C4_HUMAN General transcription factor 3C polypeptide 4 OS=Homo sapiens OX=9606 GN=GTF3C4 PE=1 SV=2
+MNTADQARVGPADDGPAPSGEEEGEGGGEAGGKEPAADAAPGPSAAFRLMVTRREPAVKLQYAVSGLEPLAWSEDHRVSVSTARSIAVLELICDVHNPGQDLVIHRTSVPAPLNSCLLKVGSKTEVAECKEKFAASKDPTVSQTFMLDRVFNPEGKALPPMRGFKYTSWSPMGCDANGRCLLAALTMDNRLTIQANLNRLQWVQLVDLTEIYGERLYETSYRLSKNEAPEGNLGDFAEFQRRHSMQTPVRMEWSGICTTQQVKHNNECRDVGSVLLAVLFENGNIAVWQFQLPFVGKESISSCNTIESGITSPSVLFWWEYEHNNRKMSGLIVGSAFGPIKILPVNLKAVKGYFTLRQPVILWKEMDQLPVHSIKCVPLYHPYQKCSCSLVVAARGSYVFWCLLLISKAGLNVHNSHVTGLHSLPIVSMTADKQNGTVYTCSSDGKVRQLIPIFTDVALKFEHQLIKLSDVFGSVRTHGIAVSPCGAYLAIITTEGMINGLHPVNKNYQVQFVTLKTFEEAAAQLLESSVQNLFKQVDLIDLVRWKILKDKHIPQFLQEALEKKIESSGVTYFWRFKLFLLRILYQSMQKTPSEALWKPTHEDSKILLVDSPGMGNADDEQQEEGTSSKQVVKQGLQERSKEGDVEEPTDDSLPTTGDAGGREPMEEKLLEIQGKIEAVEMHLTREHMKRVLGEVYLHTWITENTSIPTRGLCNFLMSDEEYDDRTARVLIGHISKKMNKQTFPEHCSLCKEILPFTDRKQAVCSNGHIWLRCFLTYQSCQSLIYRRCLLHDSIARHPAPEDPDWIKRLLQSPCPFCDSPVF
+>DECOY_sp|Q9UKN8|TF3C4_HUMAN General transcription factor 3C polypeptide 4 OS=Homo sapiens OX=9606 GN=GTF3C4 PE=1 SV=2
+FVPSDCFPCPSQLLRKIWDPDEPAPHRAISDHLLCRRYILSQCSQYTLFCRLWIHGNSCVAQKRDTFPLIEKCLSCHEPFTQKNMKKSIHGILVRATRDDYEEDSMLFNCLGRTPISTNETIWTHLYVEGLVRKMHERTLHMEVAEIKGQIELLKEEMPERGGADGTTPLSDDTPEEVDGEKSREQLGQKVVQKSSTGEEQQEDDANGMGPSDVLLIKSDEHTPKWLAESPTKQMSQYLIRLLFLKFRWFYTVGSSEIKKELAEQLFQPIHKDKLIKWRVLDILDVQKFLNQVSSELLQAAAEEFTKLTVFQVQYNKNVPHLGNIMGETTIIALYAGCPSVAIGHTRVSGFVDSLKILQHEFKLAVDTFIPILQRVKGDSSCTYVTGNQKDATMSVIPLSHLGTVHSNHVNLGAKSILLLCWFVYSGRAAVVLSCSCKQYPHYLPVCKISHVPLQDMEKWLIVPQRLTFYGKVAKLNVPLIKIPGFASGVILGSMKRNNHEYEWWFLVSPSTIGSEITNCSSISEKGVFPLQFQWVAINGNEFLVALLVSGVDRCENNHKVQQTTCIGSWEMRVPTQMSHRRQFEAFDGLNGEPAENKSLRYSTEYLREGYIETLDVLQVWQLRNLNAQITLRNDMTLAALLCRGNADCGMPSWSTYKFGRMPPLAKGEPNFVRDLMFTQSVTPDKSAAFKEKCEAVETKSGVKLLCSNLPAPVSTRHIVLDQGPNHVDCILELVAISRATSVSVRHDESWALPELGSVAYQLKVAPERRTVMLRFAASPGPAADAAPEKGGAEGGGEGEEEGSPAPGDDAPGVRAQDATNM
+>sp|Q969F1|TF3C6_HUMAN General transcription factor 3C polypeptide 6 OS=Homo sapiens OX=9606 GN=GTF3C6 PE=1 SV=1
+MAAAADERSPEDGEDEEEEEQLVLVELSGIIDSDFLSKCENKCKVLGIDTERPILQVDSCVFAGEYEDTLGTCVIFEENVEHADTEGNNKTVLKYKCHTMKKLSMTRTLLTEKKEGEENIGGVEWLQIKDNDFSYRPNMICNFLHENEDEEVVASAPDKSLELEEEEIQMNDSSNLSCEQEKPMHLEIEDSGPLIDIPSETEGSVFMETQMLP
+>DECOY_sp|Q969F1|TF3C6_HUMAN General transcription factor 3C polypeptide 6 OS=Homo sapiens OX=9606 GN=GTF3C6 PE=1 SV=1
+PLMQTEMFVSGETESPIDILPGSDEIELHMPKEQECSLNSSDNMQIEEEELELSKDPASAVVEEDENEHLFNCIMNPRYSFDNDKIQLWEVGGINEEGEKKETLLTRTMSLKKMTHCKYKLVTKNNGETDAHEVNEEFIVCTGLTDEYEGAFVCSDVQLIPRETDIGLVKCKNECKSLFDSDIIGSLEVLVLQEEEEEDEGDEPSREDAAAAM
+>sp|P13726|TF_HUMAN Tissue factor OS=Homo sapiens OX=9606 GN=F3 PE=1 SV=1
+METPAWPRVPRPETAVARTLLLGWVFAQVAGASGTTNTVAAYNLTWKSTNFKTILEWEPKPVNQVYTVQISTKSGDWKSKCFYTTDTECDLTDEIVKDVKQTYLARVFSYPAGNVESTGSAGEPLYENSPEFTPYLETNLGQPTIQSFEQVGTKVNVTVEDERTLVRRNNTFLSLRDVFGKDLIYTLYYWKSSSSGKKTAKTNTNEFLIDVDKGENYCFSVQAVIPSRTVNRKSTDSPVECMGQEKGEFREIFYIIGAVVFVVIILVIILAISLHKCRKAGVGQSWKENSPLNVS
+>DECOY_sp|P13726|TF_HUMAN Tissue factor OS=Homo sapiens OX=9606 GN=F3 PE=1 SV=1
+SVNLPSNEKWSQGVGAKRCKHLSIALIIVLIIVVFVVAGIIYFIERFEGKEQGMCEVPSDTSKRNVTRSPIVAQVSFCYNEGKDVDILFENTNTKATKKGSSSSKWYYLTYILDKGFVDRLSLFTNNRRVLTREDEVTVNVKTGVQEFSQITPQGLNTELYPTFEPSNEYLPEGASGTSEVNGAPYSFVRALYTQKVDKVIEDTLDCETDTTYFCKSKWDGSKTSIQVTYVQNVPKPEWELITKFNTSKWTLNYAAVTNTTGSAGAVQAFVWGLLLTRAVATEPRPVRPWAPTEM
+>sp|O43548|TGM5_HUMAN Protein-glutamine gamma-glutamyltransferase 5 OS=Homo sapiens OX=9606 GN=TGM5 PE=1 SV=4
+MAQGLEVALTDLQSSRNNVRHHTEEITVDHLLVRRGQAFNLTLYFRNRSFQPGLDNIIFVVETGPLPDLALGTRAVFSLARHHSPSPWIAWLETNGATSTEVSLCAPPTAAVGRYLLKIHIDSFQGSVTAYQLGEFILLFNPWCPEDAVYLDSEPQRQEYVMNDYGFIYQGSKNWIRPCPWNYGQFEDKIIDICLKLLDKSLHFQTDPATDCALRGSPVYVSRVVCAMINSNDDNGVLNGNWSENYTDGANPAEWTGSVAILKQWNATGCQPVRYGQCWVFAAVMCTVMRCLGIPTRVITNFDSGHDTDGNLIIDEYYDNTGRILGNKKKDTIWNFHVWNECWMARKDLPPAYGGWQVLDATPQEMSNGVYCCGPASVRAIKEGEVDLNYDTPFVFSMVNADCMSWLVQGGKEQKLHQDTSSVGNFISTKSIQSDERDDITENYKYEEGSLQERQVFLKALQKLKARSFHGSQRGAELQPSRPTSLSQDSPRSLHTPSLRPSDVVQVSLKFKLLDPPNMGQDICFVLLALNMSSQFKDLKVNLSAQSLLHDGSPLSPFWQDTAFITLSPKEAKTYPCKISYSQYSQYLSTDKLIRISALGEEKSSPEKILVNKIITLSYPSITINVLGAAVVNQPLSIQVIFSNPLSEQVEDCVLTVEGSGLFKKQQKVFLGVLKPQHQASIILETVPFKSGQRQIQANMRSNKFKDIKGYRNVYVDFAL
+>DECOY_sp|O43548|TGM5_HUMAN Protein-glutamine gamma-glutamyltransferase 5 OS=Homo sapiens OX=9606 GN=TGM5 PE=1 SV=4
+LAFDVYVNRYGKIDKFKNSRMNAQIQRQGSKFPVTELIISAQHQPKLVGLFVKQQKKFLGSGEVTLVCDEVQESLPNSFIVQISLPQNVVAAGLVNITISPYSLTIIKNVLIKEPSSKEEGLASIRILKDTSLYQSYQSYSIKCPYTKAEKPSLTIFATDQWFPSLPSGDHLLSQASLNVKLDKFQSSMNLALLVFCIDQGMNPPDLLKFKLSVQVVDSPRLSPTHLSRPSDQSLSTPRSPQLEAGRQSGHFSRAKLKQLAKLFVQREQLSGEEYKYNETIDDREDSQISKTSIFNGVSSTDQHLKQEKGGQVLWSMCDANVMSFVFPTDYNLDVEGEKIARVSAPGCCYVGNSMEQPTADLVQWGGYAPPLDKRAMWCENWVHFNWITDKKKNGLIRGTNDYYEDIILNGDTDHGSDFNTIVRTPIGLCRMVTCMVAAFVWCQGYRVPQCGTANWQKLIAVSGTWEAPNAGDTYNESWNGNLVGNDDNSNIMACVVRSVYVPSGRLACDTAPDTQFHLSKDLLKLCIDIIKDEFQGYNWPCPRIWNKSGQYIFGYDNMVYEQRQPESDLYVADEPCWPNFLLIFEGLQYATVSGQFSDIHIKLLYRGVAATPPACLSVETSTAGNTELWAIWPSPSHHRALSFVARTGLALDPLPGTEVVFIINDLGPQFSRNRFYLTLNFAQGRRVLLHDVTIEETHHRVNNRSSQLDTLAVELGQAM
+>sp|Q8NA92|THAP8_HUMAN THAP domain-containing protein 8 OS=Homo sapiens OX=9606 GN=THAP8 PE=1 SV=1
+MPKYCRAPNCSNTAGRLGADNRPVSFYKFPLKDGPRLQAWLQHMGCEHWVPSCHQHLCSEHFTPSCFQWRWGVRYLRPDAVPSIFSRGPPAKSQRRTRSTQKPVSPPPPLQKNTPLPQSPAIPVSGPVRLVVLGPTSGSPKTVATMLLTPLAPAPTPERSQPEVPAQQAQTGLGPVLGALQRRVRRLQRCQERHQAQLQALERLAQQLHGESLLARARRGLQRLTTAQTLGPEESQTFTIICGGPDIAMVLAQDPAPATVDAKPELLDTRIPSA
+>DECOY_sp|Q8NA92|THAP8_HUMAN THAP domain-containing protein 8 OS=Homo sapiens OX=9606 GN=THAP8 PE=1 SV=1
+ASPIRTDLLEPKADVTAPAPDQALVMAIDPGGCIITFTQSEEPGLTQATTLRQLGRRARALLSEGHLQQALRELAQLQAQHREQCRQLRRVRRQLAGLVPGLGTQAQQAPVEPQSREPTPAPALPTLLMTAVTKPSGSTPGLVVLRVPGSVPIAPSQPLPTNKQLPPPPSVPKQTSRTRRQSKAPPGRSFISPVADPRLYRVGWRWQFCSPTFHESCLHQHCSPVWHECGMHQLWAQLRPGDKLPFKYFSVPRNDAGLRGATNSCNPARCYKPM
+>sp|P42765|THIM_HUMAN 3-ketoacyl-CoA thiolase, mitochondrial OS=Homo sapiens OX=9606 GN=ACAA2 PE=1 SV=2
+MALLRGVFVVAAKRTPFGAYGGLLKDFTATDLSEFAAKAALSAGKVSPETVDSVIMGNVLQSSSDAIYLARHVGLRVGIPKETPALTINRLCGSGFQSIVNGCQEICVKEAEVVLCGGTESMSQAPYCVRNVRFGTKLGSDIKLEDSLWVSLTDQHVQLPMAMTAENLAVKHKISREECDKYALQSQQRWKAANDAGYFNDEMAPIEVKTKKGKQTMQVDEHARPQTTLEQLQKLPPVFKKDGTVTAGNASGVADGAGAVIIASEDAVKKHNFTPLARIVGYFVSGCDPSIMGIGPVPAISGALKKAGLSLKDMDLVEVNEAFAPQYLAVERSLDLDISKTNVNGGAIALGHPLGGSGSRITAHLVHELRRRGGKYAVGSACIGGGQGIAVIIQSTA
+>DECOY_sp|P42765|THIM_HUMAN 3-ketoacyl-CoA thiolase, mitochondrial OS=Homo sapiens OX=9606 GN=ACAA2 PE=1 SV=2
+ATSQIIVAIGQGGGICASGVAYKGGRRRLEHVLHATIRSGSGGLPHGLAIAGGNVNTKSIDLDLSREVALYQPAFAENVEVLDMDKLSLGAKKLAGSIAPVPGIGMISPDCGSVFYGVIRALPTFNHKKVADESAIIVAGAGDAVGSANGATVTGDKKFVPPLKQLQELTTQPRAHEDVQMTQKGKKTKVEIPAMEDNFYGADNAAKWRQQSQLAYKDCEERSIKHKVALNEATMAMPLQVHQDTLSVWLSDELKIDSGLKTGFRVNRVCYPAQSMSETGGCLVVEAEKVCIEQCGNVISQFGSGCLRNITLAPTEKPIGVRLGVHRALYIADSSSQLVNGMIVSDVTEPSVKGASLAAKAAFESLDTATFDKLLGGYAGFPTRKAAVVFVGRLLAM
+>sp|Q13769|THOC5_HUMAN THO complex subunit 5 homolog OS=Homo sapiens OX=9606 GN=THOC5 PE=1 SV=2
+MSSESSKKRKPKVIRSDGAPAEGKRNRSDTEQEGKYYSEEAEVDLRDPGRDYELYKYTCQELQRLMAEIQDLKSRGGKDVAIEIEERRIQSCVHFMTLKKLNRLAHIRLKKGRDQTHEAKQKVDAYHLQLQNLLYEVMHLQKEITKCLEFKSKHEEIDLVSLEEFYKEAPPDISKAEVTMGDPHQQTLARLDWELEQRKRLAEKYRECLSNKEKILKEIEVKKEYLSSLQPRLNSIMQASLPVQEYLFMPFDQAHKQYETARHLPPPLYVLFVQATAYGQACDKTLSVAIEGSVDEAKALFKPPEDSQDDESDSDAEEEQTTKRRRPTLGVQLDDKRKEMLKRHPLSVMLDLKCKDDSVLHLTFYYLMNLNIMTVKAKVTTAMELITPISAGDLLSPDSVLSCLYPGDHGKKTPNPANQYQFDKVGILTLSDYVLELGHPYLWVQKLGGLHFPKEQPQQTVIADHSLSASHMETTMKLLKTRVQSRLALHKQFASLEHGIVPVTSDCQYLFPAKVVSRLVKWVTVAHEDYMELHFTKDIVDAGLAGDTNLYYMALIERGTAKLQAAVVLNPGYSSIPPVFQLCLNWKGEKTNSNDDNIRAMEGEVNVCYKELCGPWPSHQLLTNQLQRLCVLLDVYLETESHDDSVEGPKEFPQEKMCLRLFRGPSRMKPFKYNHPQGFFSHR
+>DECOY_sp|Q13769|THOC5_HUMAN THO complex subunit 5 homolog OS=Homo sapiens OX=9606 GN=THOC5 PE=1 SV=2
+RHSFFGQPHNYKFPKMRSPGRFLRLCMKEQPFEKPGEVSDDHSETELYVDLLVCLRQLQNTLLQHSPWPGCLEKYCVNVEGEMARINDDNSNTKEGKWNLCLQFVPPISSYGPNLVVAAQLKATGREILAMYYLNTDGALGADVIDKTFHLEMYDEHAVTVWKVLRSVVKAPFLYQCDSTVPVIGHELSAFQKHLALRSQVRTKLLKMTTEMHSASLSHDAIVTQQPQEKPFHLGGLKQVWLYPHGLELVYDSLTLIGVKDFQYQNAPNPTKKGHDGPYLCSLVSDPSLLDGASIPTILEMATTVKAKVTMINLNMLYYFTLHLVSDDKCKLDLMVSLPHRKLMEKRKDDLQVGLTPRRRKTTQEEEADSDSEDDQSDEPPKFLAKAEDVSGEIAVSLTKDCAQGYATAQVFLVYLPPPLHRATEYQKHAQDFPMFLYEQVPLSAQMISNLRPQLSSLYEKKVEIEKLIKEKNSLCERYKEALRKRQELEWDLRALTQQHPDGMTVEAKSIDPPAEKYFEELSVLDIEEHKSKFELCKTIEKQLHMVEYLLNQLQLHYADVKQKAEHTQDRGKKLRIHALRNLKKLTMFHVCSQIRREEIEIAVDKGGRSKLDQIEAMLRQLEQCTYKYLEYDRGPDRLDVEAEESYYKGEQETDSRNRKGEAPAGDSRIVKPKRKKSSESSM
+>sp|Q5SRD1|TI23B_HUMAN Putative mitochondrial import inner membrane translocase subunit Tim23B OS=Homo sapiens OX=9606 GN=TIMM23B PE=5 SV=2
+MEGGGGSGNKTTGGLAGFFGAGGAGYSHADLAGVPLTGMNPLSPYLNVDPRYLVQDTDEFILPTGANKTRGRFELAFFTIGGCCMTGAAFGAMNGLRLGLKETQNMAWSKPRNVQILNMVTRQGALWANTLGSLALLYSAFGVIIEKTRGAEDDLNTVAAGTMTGMLYKCTETGFHHGAQANFQSEIIFRFLTRFFYAKKKASYSQISQKNLDFTILLRLKTLRSVESKCYVIFVVDELLKNRIPQRIKCLMHNKPT
+>DECOY_sp|Q5SRD1|TI23B_HUMAN Putative mitochondrial import inner membrane translocase subunit Tim23B OS=Homo sapiens OX=9606 GN=TIMM23B PE=5 SV=2
+TPKNHMLCKIRQPIRNKLLEDVVFIVYCKSEVSRLTKLRLLITFDLNKQSIQSYSAKKKAYFFRTLFRFIIESQFNAQAGHHFGTETCKYLMGTMTGAAVTNLDDEAGRTKEIIVGFASYLLALSGLTNAWLAGQRTVMNLIQVNRPKSWAMNQTEKLGLRLGNMAGFAAGTMCCGGITFFALEFRGRTKNAGTPLIFEDTDQVLYRPDVNLYPSLPNMGTLPVGALDAHSYGAGGAGFFGALGGTTKNGSGGGGEM
+>sp|Q13009|TIAM1_HUMAN T-lymphoma invasion and metastasis-inducing protein 1 OS=Homo sapiens OX=9606 GN=TIAM1 PE=1 SV=2
+MGNAESQHVEHEFYGEKHASLGRKHTSRSLRLSHKTRRTRHASSGKVIHRNSEVSTRSSSTPSIPQSLAENGLEPFSQDGTLEDFGSPIWVDRVDMGLRPVSYTDSSVTPSVDSSIVLTAASVQSMPDTEESRLYGDDATYLAEGGRRQHSYTSNGPTFMETASFKKKRSKSADIWREDSLEFSLSDLSQEHLTSNEEILGSAEEKDCEEARGMETRASPRQLSTCQRANSLGDLYAQKNSGVTANGGPGSKFAGYCRNLVSDIPNLANHKMPPAAAEETPPYSNYNTLPCRKSHCLSEGATNPQISHSNSMQGRRAKTTQDVNAGEGSEFADSGIEGATTDTDLLSRRSNATNSSYSPTTGRAFVGSDSGSSSTGDAARQGVYENFRRELEMSTTNSESLEEAGSAHSDEQSSGTLSSPGQSDILLTAAQGTVRKAGALAVKNFLVHKKNKKVESATRRKWKHYWVSLKGCTLFFYESDGRSGIDHNSIPKHAVWVENSIVQAVPEHPKKDFVFCLSNSLGDAFLFQTTSQTELENWITAIHSACATAVARHHHKEDTLRLLKSEIKKLEQKIDMDEKMKKMGEMQLSSVTDSKKKKTILDQIFVWEQNLEQFQMDLFRFRCYLASLQGGELPNPKRLLAFASRPTKVAMGRLGIFSVSSFHALVAARTGETGVRRRTQAMSRSASKRRSRFSSLWGLDTTSKKKQGRPSINQVFGEGTEAVKKSLEGIFDDIVPDGKREKEVVLPNVHQHNPDCDIWVHEYFTPSWFCLPNNQPALTVVRPGDTARDTLELICKTHQLDHSAHYLRLKFLIENKMQLYVPQPEEDIYELLYKEIEICPKVTQSIHIEKSDTAADTYGFSLSSVEEDGIRRLYVNSVKETGLASKKGLKAGDEILEINNRAADALNSSMLKDFLSQPSLGLLVRTYPELEEGVELLESPPHRVDGPADLGESPLAFLTSNPGHSLCSEQGSSAETAPEETEGPDLESSDETDHSSKSTEQVAAFCRSLHEMNPSDQSPSPQDSTGPQLATMRQLSDADKLRKVICELLETERTYVKDLNCLMERYLKPLQKETFLTQDELDVLFGNLTEMVEFQVEFLKTLEDGVRLVPDLEKLEKVDQFKKVLFSLGGSFLYYADRFKLYSAFCASHTKVPKVLVKAKTDTAFKAFLDAQNPKQQHSSTLESYLIKPIQRILKYPLLLRELFALTDAESEEHYHLDVAIKTMNKVASHINEMQKIHEEFGAVFDQLIAEQTGEKKEVADLSMGDLLLHTTVIWLNPPASLGKWKKEPELAAFVFKTAVVLVYKDGSKQKKKLVGSHRLSIYEDWDPFRFRHMIPTEALQVRALASADAEANAVCEIVHVKSESEGRPERVFHLCCSSPESRKDFLKAVHSILRDKHRRQLLKTESLPSSQQYVPFGGKRLCALKGARPAMSRAVSAPSKSLGRRRRRLARNRFTIDSDAVSASSPEKESQQPPGGGDTDRWVEEQFDLAQYEEQDDIKETDILSDDDEFCESVKGASVDRDLQERLQATSISQRERGRKTLDSHASRMAQLKKQAALSGINGGLESASEEVIWVRREDFAPSRKLNTEI
+>DECOY_sp|Q13009|TIAM1_HUMAN T-lymphoma invasion and metastasis-inducing protein 1 OS=Homo sapiens OX=9606 GN=TIAM1 PE=1 SV=2
+IETNLKRSPAFDERRVWIVEESASELGGNIGSLAAQKKLQAMRSAHSDLTKRGRERQSISTAQLREQLDRDVSAGKVSECFEDDDSLIDTEKIDDQEEYQALDFQEEVWRDTDGGGPPQQSEKEPSSASVADSDITFRNRALRRRRRGLSKSPASVARSMAPRAGKLACLRKGGFPVYQQSSPLSETKLLQRRHKDRLISHVAKLFDKRSEPSSCCLHFVREPRGESESKVHVIECVANAEADASALARVQLAETPIMHRFRFPDWDEYISLRHSGVLKKKQKSGDKYVLVVATKFVFAALEPEKKWKGLSAPPNLWIVTTHLLLDGMSLDAVEKKEGTQEAILQDFVAGFEEHIKQMENIHSAVKNMTKIAVDLHYHEESEADTLAFLERLLLPYKLIRQIPKILYSELTSSHQQKPNQADLFAKFATDTKAKVLVKPVKTHSACFASYLKFRDAYYLFSGGLSFLVKKFQDVKELKELDPVLRVGDELTKLFEVQFEVMETLNGFLVDLEDQTLFTEKQLPKLYREMLCNLDKVYTRETELLECIVKRLKDADSLQRMTALQPGTSDQPSPSQDSPNMEHLSRCFAAVQETSKSSHDTEDSSELDPGETEEPATEASSGQESCLSHGPNSTLFALPSEGLDAPGDVRHPPSELLEVGEELEPYTRVLLGLSPQSLFDKLMSSNLADAARNNIELIEDGAKLGKKSALGTEKVSNVYLRRIGDEEVSSLSFGYTDAATDSKEIHISQTVKPCIEIEKYLLEYIDEEPQPVYLQMKNEILFKLRLYHASHDLQHTKCILELTDRATDGPRVVTLAPQNNPLCFWSPTFYEHVWIDCDPNHQHVNPLVVEKERKGDPVIDDFIGELSKKVAETGEGFVQNISPRGQKKKSTTDLGWLSSFRSRRKSASRSMAQTRRRVGTEGTRAAVLAHFSSVSFIGLRGMAVKTPRSAFALLRKPNPLEGGQLSALYCRFRFLDMQFQELNQEWVFIQDLITKKKKSDTVSSLQMEGMKKMKEDMDIKQELKKIESKLLRLTDEKHHHRAVATACASHIATIWNELETQSTTQFLFADGLSNSLCFVFDKKPHEPVAQVISNEVWVAHKPISNHDIGSRGDSEYFFLTCGKLSVWYHKWKRRTASEVKKNKKHVLFNKVALAGAKRVTGQAATLLIDSQGPSSLTGSSQEDSHASGAEELSESNTTSMELERRFNEYVGQRAADGTSSSGSDSGVFARGTTPSYSSNTANSRRSLLDTDTTAGEIGSDAFESGEGANVDQTTKARRGQMSNSHSIQPNTAGESLCHSKRCPLTNYNSYPPTEEAAAPPMKHNALNPIDSVLNRCYGAFKSGPGGNATVGSNKQAYLDGLSNARQCTSLQRPSARTEMGRAEECDKEEASGLIEENSTLHEQSLDSLSFELSDERWIDASKSRKKKFSATEMFTPGNSTYSHQRRGGEALYTADDGYLRSEETDPMSQVSAATLVISSDVSPTVSSDTYSVPRLGMDVRDVWIPSGFDELTGDQSFPELGNEALSQPISPTSSSRTSVESNRHIVKGSSAHRTRRTKHSLRLSRSTHKRGLSAHKEGYFEHEVHQSEANGM
+>sp|Q01085|TIAR_HUMAN Nucleolysin TIAR OS=Homo sapiens OX=9606 GN=TIAL1 PE=1 SV=1
+MMEDDGQPRTLYVGNLSRDVTEVLILQLFSQIGPCKSCKMITEHTSNDPYCFVEFYEHRDAAAALAAMNGRKILGKEVKVNWATTPSSQKKDTSNHFHVFVGDLSPEITTEDIKSAFAPFGKISDARVVKDMATGKSKGYGFVSFYNKLDAENAIVHMGGQWLGGRQIRTNWATRKPPAPKSTQENNTKQLRFEDVVNQSSPKNCTVYCGGIASGLTDQLMRQTFSPFGQIMEIRVFPEKGYSFVRFSTHESAAHAIVSVNGTTIEGHVVKCYWGKESPDMTKNFQQVDYSQWGQWSQVYGNPQQYGQYMANGWQVPPYGVYGQPWNQQGFGVDQSPSAAWMGGFGAQPPQGQAPPPVIPPPNQAGYGMASYQTQ
+>DECOY_sp|Q01085|TIAR_HUMAN Nucleolysin TIAR OS=Homo sapiens OX=9606 GN=TIAL1 PE=1 SV=1
+QTQYSAMGYGAQNPPPIVPPPAQGQPPQAGFGGMWAASPSQDVGFGQQNWPQGYVGYPPVQWGNAMYQGYQQPNGYVQSWQGWQSYDVQQFNKTMDPSEKGWYCKVVHGEITTGNVSVIAHAASEHTSFRVFSYGKEPFVRIEMIQGFPSFTQRMLQDTLGSAIGGCYVTCNKPSSQNVVDEFRLQKTNNEQTSKPAPPKRTAWNTRIQRGGLWQGGMHVIANEADLKNYFSVFGYGKSKGTAMDKVVRADSIKGFPAFASKIDETTIEPSLDGVFVHFHNSTDKKQSSPTTAWNVKVEKGLIKRGNMAALAAAADRHEYFEVFCYPDNSTHETIMKCSKCPGIQSFLQLILVETVDRSLNGVYLTRPQGDDEMM
+>sp|P49788|TIG1_HUMAN Retinoic acid receptor responder protein 1 OS=Homo sapiens OX=9606 GN=RARRES1 PE=1 SV=2
+MQPRRQRLPAPWSGPRGPRPTAPLLALLLLLAPVAAPAGSGDPDDPGQPQDAGVPRRLLQQAARAALHFFNFRSGSPSALRVLAEVQEGRAWINPKEGCKVHVVFSTERYNPESLLQEGEGRLGKCSARVFFKNQKPRPTINVTCTRLIEKKKRQQEDYLLYKQMKQLKNPLEIVSIPDNHGHIDPSLRLIWDLAFLGSSYVMWEMTTQVSHYYLAQLTSVRQWKTNDDTIDFDYTVLLHELSTQEIIPCRIHLVWYPGKPLKVKYHCQELQTPEEASGTEEGSAVVPTELSNF
+>DECOY_sp|P49788|TIG1_HUMAN Retinoic acid receptor responder protein 1 OS=Homo sapiens OX=9606 GN=RARRES1 PE=1 SV=2
+FNSLETPVVASGEETGSAEEPTQLEQCHYKVKLPKGPYWVLHIRCPIIEQTSLEHLLVTYDFDITDDNTKWQRVSTLQALYYHSVQTTMEWMVYSSGLFALDWILRLSPDIHGHNDPISVIELPNKLQKMQKYLLYDEQQRKKKEILRTCTVNITPRPKQNKFFVRASCKGLRGEGEQLLSEPNYRETSFVVHVKCGEKPNIWARGEQVEALVRLASPSGSRFNFFHLAARAAQQLLRRPVGADQPQGPDDPDGSGAPAAVPALLLLLALLPATPRPGRPGSWPAPLRQRRPQM
+>sp|Q7L3T8|SYPM_HUMAN Probable proline--tRNA ligase, mitochondrial OS=Homo sapiens OX=9606 GN=PARS2 PE=1 SV=1
+MEGLLTRCRALPALATCSRQLSGYVPCRFHHCAPRRGRRLLLSRVFQPQNLREDRVLSLQDKSDDLTCKSQRLMLQVGLIYPASPGCYHLLPYTVRAMEKLVRVIDQEMQAIGGQKVNMPSLSPAELWQATNRWDLMGKELLRLRDRHGKEYCLGPTHEEAITALIASQKKLSYKQLPFLLYQVTRKFRDEPRPRFGLLRGREFYMKDMYTFDSSPEAAQQTYSLVCDAYCSLFNKLGLPFVKVQADVGTIGGTVSHEFQLPVDIGEDRLAICPRCSFSANMETLDLSQMNCPACQGPLTKTKGIEVGHTFYLGTKYSSIFNAQFTNVCGKPTLAEMGCYGLGVTRILAAAIEVLSTEDCVRWPSLLAPYQACLIPPKKGSKEQAASELIGQLYDHITEAVPQLHGEVLLDDRTHLTIGNRLKDANKFGYPFVIIAGKRALEDPAHFEVWCQNTGEVAFLTKDGVMDLLTPVQTV
+>DECOY_sp|Q7L3T8|SYPM_HUMAN Probable proline--tRNA ligase, mitochondrial OS=Homo sapiens OX=9606 GN=PARS2 PE=1 SV=1
+VTQVPTLLDMVGDKTLFAVEGTNQCWVEFHAPDELARKGAIIVFPYGFKNADKLRNGITLHTRDDLLVEGHLQPVAETIHDYLQGILESAAQEKSGKKPPILCAQYPALLSPWRVCDETSLVEIAAALIRTVGLGYCGMEALTPKGCVNTFQANFISSYKTGLYFTHGVEIGKTKTLPGQCAPCNMQSLDLTEMNASFSCRPCIALRDEGIDVPLQFEHSVTGGITGVDAQVKVFPLGLKNFLSCYADCVLSYTQQAAEPSSDFTYMDKMYFERGRLLGFRPRPEDRFKRTVQYLLFPLQKYSLKKQSAILATIAEEHTPGLCYEKGHRDRLRLLEKGMLDWRNTAQWLEAPSLSPMNVKQGGIAQMEQDIVRVLKEMARVTYPLLHYCGPSAPYILGVQLMLRQSKCTLDDSKDQLSLVRDERLNQPQFVRSLLLRRGRRPACHHFRCPVYGSLQRSCTALAPLARCRTLLGEM
+>sp|A2RTX5|SYTC2_HUMAN Probable threonine--tRNA ligase 2, cytoplasmic OS=Homo sapiens OX=9606 GN=TARSL2 PE=1 SV=1
+MAAEALAAEAVASRLERQEEDIRWLWSEVERLRDEQLNAPYSCQAEGPCLTREVAQLRAENCDLRHRLCSLRLCLAEERSRQATLESAELEAAQEAGAQPPPSQSQDKDMKKKKMKESEADSEVKHQPIFIKERLKLFEILKKDHQLLLAIYGKKGDTSNIITVRVADGQTVQGEVWKTTPYQVAAEISQELAESTVIAKVNGELWDLDRPLEGDSSLELLTFDNEEAQAVYWHSSAHILGEAMELYYGGHLCYGPPIENGFYYDMFIEDRAVSSTELSALENICKAIIKEKQPFERLEVSKEILLEMFKYNKFKCRILNEKVNTATTTVYRCGPLIDLCKGPHVRHTGKIKTIKIFKNSSTYWEGNPEMETLQRIYGISFPDNKMMRDWEKFQEEAKNRDHRKIGKEQELFFFHDLSPGSCFFLPRGAFIYNTLTDFIREEYHKRDFTEVLSPNMYNSKLWEASGHWQHYSENMFTFEIEKDTFALKPMNCPGHCLMFAHRPRSWREMPIRFADFGVLHRNELSGTLSGLTRVRRFQQDDAHIFCTVEQIEEEIKGCLQFLQSVYSTFGFSFQLNLSTRPENFLGEIEMWNEAEKQLQNSLMDFGEPWKMNPGDGAFYGPKIDIKIKDAIGRYHQCATIQLDFQLPIRFNLTYVSKDGDDKKRPVIIHRAILGSVERMIAILSENYGGKWPFWLSPRQVMVIPVGPTCEKYALQVSSEFFEEGFMADVDLDHSCTLNKKIRNAQLAQYNFILVVGEKEKIDNAVNVRTRDNKIHGEILVTSAIDKLKNLRKTRTLNAEEAF
+>DECOY_sp|A2RTX5|SYTC2_HUMAN Probable threonine--tRNA ligase 2, cytoplasmic OS=Homo sapiens OX=9606 GN=TARSL2 PE=1 SV=1
+FAEEANLTRTKRLNKLKDIASTVLIEGHIKNDRTRVNVANDIKEKEGVVLIFNYQALQANRIKKNLTCSHDLDVDAMFGEEFFESSVQLAYKECTPGVPIVMVQRPSLWFPWKGGYNESLIAIMREVSGLIARHIIVPRKKDDGDKSVYTLNFRIPLQFDLQITACQHYRGIADKIKIDIKPGYFAGDGPNMKWPEGFDMLSNQLQKEAENWMEIEGLFNEPRTSLNLQFSFGFTSYVSQLFQLCGKIEEEIQEVTCFIHADDQQFRRVRTLGSLTGSLENRHLVGFDAFRIPMERWSRPRHAFMLCHGPCNMPKLAFTDKEIEFTFMNESYHQWHGSAEWLKSNYMNPSLVETFDRKHYEERIFDTLTNYIFAGRPLFFCSGPSLDHFFFLEQEKGIKRHDRNKAEEQFKEWDRMMKNDPFSIGYIRQLTEMEPNGEWYTSSNKFIKITKIKGTHRVHPGKCLDILPGCRYVTTTATNVKENLIRCKFKNYKFMELLIEKSVELREFPQKEKIIAKCINELASLETSSVARDEIFMDYYFGNEIPPGYCLHGGYYLEMAEGLIHASSHWYVAQAEENDFTLLELSSDGELPRDLDWLEGNVKAIVTSEALEQSIEAAVQYPTTKWVEGQVTQGDAVRVTIINSTDGKKGYIALLLQHDKKLIEFLKLREKIFIPQHKVESDAESEKMKKKKMDKDQSQSPPPQAGAEQAAELEASELTAQRSREEALCLRLSCLRHRLDCNEARLQAVERTLCPGEAQCSYPANLQEDRLREVESWLWRIDEEQRELRSAVAEAALAEAAM
+>sp|P26639|SYTC_HUMAN Threonine--tRNA ligase, cytoplasmic OS=Homo sapiens OX=9606 GN=TARS PE=1 SV=3
+MFEEKASSPSGKMGGEEKPIGAGEEKQKEGGKKKNKEGSGDGGRAELNPWPEYIYTRLEMYNILKAEHDSILAEKAEKDSKPIKVTLPDGKQVDAESWKTTPYQIACGISQGLADNTVIAKVNNVVWDLDRPLEEDCTLELLKFEDEEAQAVYWHSSAHIMGEAMERVYGGCLCYGPPIENGFYYDMYLEEGGVSSNDFSSLEALCKKIIKEKQAFERLEVKKETLLAMFKYNKFKCRILNEKVNTPTTTVYRCGPLIDLCRGPHVRHTGKIKALKIHKNSSTYWEGKADMETLQRIYGISFPDPKMLKEWEKFQEEAKNRDHRKIGRDQELYFFHELSPGSCFFLPKGAYIYNALIEFIRSEYRKRGFQEVVTPNIFNSRLWMTSGHWQHYSENMFSFEVEKELFALKPMNCPGHCLMFDHRPRSWRELPLRLADFGVLHRNELSGALTGLTRVRRFQQDDAHIFCAMEQIEDEIKGCLDFLRTVYSVFGFSFKLNLSTRPEKFLGDIEVWDQAEKQLENSLNEFGEKWELNSGDGAFYGPKIDIQIKDAIGRYHQCATIQLDFQLPIRFNLTYVSHDGDDKKRPVIVHRAILGSVERMIAILTENYGGKWPFWLSPRQVMVVPVGPTCDEYAQKVRQQFHDAKFMADIDLDPGCTLNKKIRNAQLAQYNFILVVGEKEKISGTVNIRTRDNKVHGERTISETIERLQQLKEFRSKQAEEEF
+>DECOY_sp|P26639|SYTC_HUMAN Threonine--tRNA ligase, cytoplasmic OS=Homo sapiens OX=9606 GN=TARS PE=1 SV=3
+FEEEAQKSRFEKLQQLREITESITREGHVKNDRTRINVTGSIKEKEGVVLIFNYQALQANRIKKNLTCGPDLDIDAMFKADHFQQRVKQAYEDCTPGVPVVMVQRPSLWFPWKGGYNETLIAIMREVSGLIARHVIVPRKKDDGDHSVYTLNFRIPLQFDLQITACQHYRGIADKIQIDIKPGYFAGDGSNLEWKEGFENLSNELQKEAQDWVEIDGLFKEPRTSLNLKFSFGFVSYVTRLFDLCGKIEDEIQEMACFIHADDQQFRRVRTLGTLAGSLENRHLVGFDALRLPLERWSRPRHDFMLCHGPCNMPKLAFLEKEVEFSFMNESYHQWHGSTMWLRSNFINPTVVEQFGRKRYESRIFEILANYIYAGKPLFFCSGPSLEHFFYLEQDRGIKRHDRNKAEEQFKEWEKLMKPDPFSIGYIRQLTEMDAKGEWYTSSNKHIKLAKIKGTHRVHPGRCLDILPGCRYVTTTPTNVKENLIRCKFKNYKFMALLTEKKVELREFAQKEKIIKKCLAELSSFDNSSVGGEELYMDYYFGNEIPPGYCLCGGYVREMAEGMIHASSHWYVAQAEEDEFKLLELTCDEELPRDLDWVVNNVKAIVTNDALGQSIGCAIQYPTTKWSEADVQKGDPLTVKIPKSDKEAKEALISDHEAKLINYMELRTYIYEPWPNLEARGGDGSGEKNKKKGGEKQKEEGAGIPKEEGGMKGSPSSAKEEFM
+>sp|Q4VX76|SYTL3_HUMAN Synaptotagmin-like protein 3 OS=Homo sapiens OX=9606 GN=SYTL3 PE=2 SV=3
+MAQEIDLSALKELEREAILQVLYRDQAVQNTEEERTRKLKTHLQHLRWKGAKNTDWEHKEKCCARCQQVLGFLLHRGAVCRGCSHRVCAQCRVFLRGTHAWKCTVCFEDRNVKIKTGEWFYEERAKKFPTGGKHETVGGQLLQSYQKLSKISVVPPTPPPVSESQCSRSPGRLQEFGQFRGFNKSVENLFLSLATHVKKLSKSQNDMTSEKHLLATGPRQCVGQTERRSQSDTAVNVTTRKVSAPDILKPLNQEDPKCSTNPILKQQNLPSSPAPSTIFSGGFRHGSLISIDSTCTEMGNFDNANVTGEIEFAIHYCFKTHSLEICIKACKNLAYGEEKKKKCNPYVKTYLLPDRSSQGKRKTGVQRNTVDPTFQETLKYQVAPAQLVTRQLQVSVWHLGTLARRVFLGEVIIPLATWDFEDSTTQSFRWHPLRAKAEKYEDSVPQSNGELTVRAKLVLPSRPRKLQEAQEGTDQPSLHGQLCLVVLGAKNLPVRPDGTLNSFVKGCLTLPDQQKLRLKSPVLRKQACPQWKHSFVFSGVTPAQLRQSSLELTVWDQALFGMNDRLLGGTRLGSKGDTAVGGDACSLSKLQWQKVLSSPNLWTDMTLVLH
+>DECOY_sp|Q4VX76|SYTL3_HUMAN Synaptotagmin-like protein 3 OS=Homo sapiens OX=9606 GN=SYTL3 PE=2 SV=3
+HLVLTMDTWLNPSSLVKQWQLKSLSCADGGVATDGKSGLRTGGLLRDNMGFLAQDWVTLELSSQRLQAPTVGSFVFSHKWQPCAQKRLVPSKLRLKQQDPLTLCGKVFSNLTGDPRVPLNKAGLVVLCLQGHLSPQDTGEQAEQLKRPRSPLVLKARVTLEGNSQPVSDEYKEAKARLPHWRFSQTTSDEFDWTALPIIVEGLFVRRALTGLHWVSVQLQRTVLQAPAVQYKLTEQFTPDVTNRQVGTKRKGQSSRDPLLYTKVYPNCKKKKEEGYALNKCAKICIELSHTKFCYHIAFEIEGTVNANDFNGMETCTSDISILSGHRFGGSFITSPAPSSPLNQQKLIPNTSCKPDEQNLPKLIDPASVKRTTVNVATDSQSRRETQGVCQRPGTALLHKESTMDNQSKSLKKVHTALSLFLNEVSKNFGRFQGFEQLRGPSRSCQSESVPPPTPPVVSIKSLKQYSQLLQGGVTEHKGGTPFKKAREEYFWEGTKIKVNRDEFCVTCKWAHTGRLFVRCQACVRHSCGRCVAGRHLLFGLVQQCRACCKEKHEWDTNKAGKWRLHQLHTKLKRTREEETNQVAQDRYLVQLIAERELEKLASLDIEQAM
+>sp|Q8TDW5|SYTL5_HUMAN Synaptotagmin-like protein 5 OS=Homo sapiens OX=9606 GN=SYTL5 PE=1 SV=1
+MSKNSEFINLSFLLDHEKEMILGVLKRDEYLKKVEDKRIRKLKNELLEAKRRSGKTQQEASRVCVHCHRNLGLIFDRGDPCQACSLRVCRECRVAGPNGSWKCTVCDKIAQLRIITGEWFFEEKAKRFKQVNVLGTDVVRQSILRRSPGAEEVQSQEQTRQDAEKSDTSPVAGKKASHDGPKRKGFLLSKFRSATRGEIITPKTDTGRSYSLDLDGQHFRSLKSPPGSDRGSTGSSDLNDQEPGPRTPKSSRSNGVTPGTQSSPAPSTRTVTSVISREYGFENSMDLAAIEGTSQELTKSHRRNTSGTPSIAVSGTSLSSDQSRSELDLSESFTEDSEDTVSIRSKSVPGALDKDSLEETEESIDALVSSQLSTNTHRLASGLSTTSLNSMMSVYSETGDYGNVKVSGEILLHISYCYKTGGLYIFVKNCRNLAIGDEKKQRTDAYVKSYLLPDKSRNNKRKTKIRTGTNPEFNETLKYTISHTQLETRTLQLSVWHYDRFGRNSFLGEVEIPFDSWNFENPTDEWFVLQPKVEFAPDIGLQYKGELTVVLRYIPPEENLMLPPEQLQGNKTFKKGKKKESPVISGGILEVFIKEAKNLTAVKSGGTSDSFVKGYLLPDDSKATKHKTLVIKKSVNPQWNHTFMFSGIHPQDIKNVCLELTIWDKEAFSSNIFLGGVRLNSGSGVSHGKNVDWMDSQGEEQRLWQKMANNPGTPFEGVLMLRSSMGKCRL
+>DECOY_sp|Q8TDW5|SYTL5_HUMAN Synaptotagmin-like protein 5 OS=Homo sapiens OX=9606 GN=SYTL5 PE=1 SV=1
+LRCKGMSSRLMLVGEFPTGPNNAMKQWLRQEEGQSDMWDVNKGHSVGSGSNLRVGGLFINSSFAEKDWITLELCVNKIDQPHIGSFMFTHNWQPNVSKKIVLTKHKTAKSDDPLLYGKVFSDSTGGSKVATLNKAEKIFVELIGGSIVPSEKKKGKKFTKNGQLQEPPLMLNEEPPIYRLVVTLEGKYQLGIDPAFEVKPQLVFWEDTPNEFNWSDFPIEVEGLFSNRGFRDYHWVSLQLTRTELQTHSITYKLTENFEPNTGTRIKTKRKNNRSKDPLLYSKVYADTRQKKEDGIALNRCNKVFIYLGGTKYCYSIHLLIEGSVKVNGYDGTESYVSMMSNLSTTSLGSALRHTNTSLQSSVLADISEETEELSDKDLAGPVSKSRISVTDESDETFSESLDLESRSQDSSLSTGSVAISPTGSTNRRHSKTLEQSTGEIAALDMSNEFGYERSIVSTVTRTSPAPSSQTGPTVGNSRSSKPTRPGPEQDNLDSSGTSGRDSGPPSKLSRFHQGDLDLSYSRGTDTKPTIIEGRTASRFKSLLFGKRKPGDHSAKKGAVPSTDSKEADQRTQEQSQVEEAGPSRRLISQRVVDTGLVNVQKFRKAKEEFFWEGTIIRLQAIKDCVTCKWSGNPGAVRCERCVRLSCAQCPDGRDFILGLNRHCHVCVRSAEQQTKGSRRKAELLENKLKRIRKDEVKKLYEDRKLVGLIMEKEHDLLFSLNIFESNKSM
+>sp|Q9BW92|SYTM_HUMAN Threonine--tRNA ligase, mitochondrial OS=Homo sapiens OX=9606 GN=TARS2 PE=1 SV=1
+MALYQRWRCLRLQGLQACRLHTAVVSTPPRWLAERLGLFEELWAAQVKRLASMAQKEPRTIKISLPGGQKIDAVAWNTTPYQLARQISSTLADTAVAAQVNGEPYDLERPLETDSDLRFLTFDSPEGKAVFWHSSTHVLGAAAEQFLGAVLCRGPSTEYGFYHDFFLGKERTIRGSELPVLERICQELTAAARPFRRLEASRDQLRQLFKDNPFKLHLIEEKVTGPTATVYGCGTLVDLCQGPHLRHTGQIGGLKLLSNSSSLWRSSGAPETLQRVSGISFPTTELLRVWEAWREEAELRDHRRIGKEQELFFFHELSPGSCFFLPRGTRVYNALVAFIRAEYAHRGFSEVKTPTLFSTKLWEQSGHWEHYQEDMFAVQPPGSDRPPSSQSDDSTRHITDTLALKPMNCPAHCLMFAHRPRSWRELPLRLADFGALHRAEASGGLGGLTRLRCFQQDDAHIFCTTDQLEAEIQSCLDFLRSVYAVLGFSFRLALSTRPSGFLGDPCLWDQAEQVLKQALKEFGEPWDLNSGDGAFYGPKIDVHLHDALGRPHQCGTIQLDFQLPLRFDLQYKGQAGALERPVLIHRAVLGSVERLLGVLAESCGGKWPLWLSPFQVVVIPVGSEQEEYAKEAQQSLRAAGLVSDLDADSGLTLSRRIRRAQLAHYNFQFVVGQKEQSKRTVNIRTRDNRRLGEWDLPEAVQRLVELQNTRVPNAEEIF
+>DECOY_sp|Q9BW92|SYTM_HUMAN Threonine--tRNA ligase, mitochondrial OS=Homo sapiens OX=9606 GN=TARS2 PE=1 SV=1
+FIEEANPVRTNQLEVLRQVAEPLDWEGLRRNDRTRINVTRKSQEKQGVVFQFNYHALQARRIRRSLTLGSDADLDSVLGAARLSQQAEKAYEEQESGVPIVVVQFPSLWLPWKGGCSEALVGLLREVSGLVARHILVPRELAGAQGKYQLDFRLPLQFDLQITGCQHPRGLADHLHVDIKPGYFAGDGSNLDWPEGFEKLAQKLVQEAQDWLCPDGLFGSPRTSLALRFSFGLVAYVSRLFDLCSQIEAELQDTTCFIHADDQQFCRLRTLGGLGGSAEARHLAGFDALRLPLERWSRPRHAFMLCHAPCNMPKLALTDTIHRTSDDSQSSPPRDSGPPQVAFMDEQYHEWHGSQEWLKTSFLTPTKVESFGRHAYEARIFAVLANYVRTGRPLFFCSGPSLEHFFFLEQEKGIRRHDRLEAEERWAEWVRLLETTPFSIGSVRQLTEPAGSSRWLSSSNSLLKLGGIQGTHRLHPGQCLDVLTGCGYVTATPGTVKEEILHLKFPNDKFLQRLQDRSAELRRFPRAAATLEQCIRELVPLESGRITREKGLFFDHYFGYETSPGRCLVAGLFQEAAAGLVHTSSHWFVAKGEPSDFTLFRLDSDTELPRELDYPEGNVQAAVATDALTSSIQRALQYPTTNWAVADIKQGGPLSIKITRPEKQAMSALRKVQAAWLEEFLGLREALWRPPTSVVATHLRCAQLGQLRLCRWRQYLAM
+>sp|P0DP42|T225B_HUMAN Transmembrane protein 225B OS=Homo sapiens OX=9606 GN=TMEM225B PE=2 SV=1
+MLTLEDKDMKGFSWAIVPALTSLGYLIILVVSIFPFWVRLTNEESHEVFFSGLFENCFNAKCWKPRPLSIYIILGRVFLLSAVFLAFVTTFIMMPFASEFFPRTWKQNFVLACISFFTGACAFLALVLHALEIKALRMKLGPLQFSVLWPYYVLGFGIFLFIVAGTICLIQEMVCPCWHLLSTSQSMEEDHGSLYLDNLESLGGEPSSVQKETQVTAETVI
+>DECOY_sp|P0DP42|T225B_HUMAN Transmembrane protein 225B OS=Homo sapiens OX=9606 GN=TMEM225B PE=2 SV=1
+IVTEATVQTEKQVSSPEGGLSELNDLYLSGHDEEMSQSTSLLHWCPCVMEQILCITGAVIFLFIGFGLVYYPWLVSFQLPGLKMRLAKIELAHLVLALFACAGTFFSICALVFNQKWTRPFFESAFPMMIFTTVFALFVASLLFVRGLIIYISLPRPKWCKANFCNEFLGSFFVEHSEENTLRVWFPFISVVLIILYGLSTLAPVIAWSFGKMDKDELTLM
+>sp|Q9Y228|T3JAM_HUMAN TRAF3-interacting JNK-activating modulator OS=Homo sapiens OX=9606 GN=TRAF3IP3 PE=1 SV=2
+MISPDPRPSPGLARWAESYEAKCERRQEIRESRRCRPNVTTCRQVGKTLRIQQREQLQRARLQQFFRRRNLELEEKGKAQHPQAREQGPSRRPGQVTVLKEPLSCARRISSPREQVTGTSSEVFPAQHPPPSGICRDLSDHLSSQAGGLPPQDTPIKKPPKHHRGTQTKAEGPTIKNDASQQTNYGVAVLDKEIIQLSDYLKEALQRELVLKQKMVILQDLLSTLIQASDSSWKGQLNEDKLKGKLRSLENQLYTCTQKYSPWGMKKVLLEMEDQKNSYEQKAKESLQKVLEEKMNAEQQLQSTQRSLALAEQKCEEWRSQYEALKEDWRTLGTQHRELESQLHVLQSKLQGADSRDLQMNQALRFLENEHQQLQAKIECLQGDRDLCSLDTQDLQDQLKRSEAEKLTLVTRVQQLQGLLQNQSLQLQEQEKLLTKKDQALPVWSPKSFPNEVEPEGTGKEKDWDLRDQLQKKTLQLQAKEKECRELHSELDNLSDEYLSCLRKLQHCREELNQSQQLPPRRQCGRWLPVLMVVIAAALAVFLANKDNLMI
+>DECOY_sp|Q9Y228|T3JAM_HUMAN TRAF3-interacting JNK-activating modulator OS=Homo sapiens OX=9606 GN=TRAF3IP3 PE=1 SV=2
+IMLNDKNALFVALAAAIVVMLVPLWRGCQRRPPLQQSQNLEERCHQLKRLCSLYEDSLNDLESHLERCEKEKAQLQLTKKQLQDRLDWDKEKGTGEPEVENPFSKPSWVPLAQDKKTLLKEQEQLQLSQNQLLGQLQQVRTVLTLKEAESRKLQDQLDQTDLSCLDRDGQLCEIKAQLQQHENELFRLAQNMQLDRSDAGQLKSQLVHLQSELERHQTGLTRWDEKLAEYQSRWEECKQEALALSRQTSQLQQEANMKEELVKQLSEKAKQEYSNKQDEMELLVKKMGWPSYKQTCTYLQNELSRLKGKLKDENLQGKWSSDSAQILTSLLDQLIVMKQKLVLERQLAEKLYDSLQIIEKDLVAVGYNTQQSADNKITPGEAKTQTGRHHKPPKKIPTDQPPLGGAQSSLHDSLDRCIGSPPPHQAPFVESSTGTVQERPSSIRRACSLPEKLVTVQGPRRSPGQERAQPHQAKGKEELELNRRRFFQQLRARQLQERQQIRLTKGVQRCTTVNPRCRRSERIEQRRECKAEYSEAWRALGPSPRPDPSIM
+>sp|Q9NYW5|TA2R4_HUMAN Taste receptor type 2 member 4 OS=Homo sapiens OX=9606 GN=TAS2R4 PE=1 SV=1
+MLRLFYFSAIIASVILNFVGIIMNLFITVVNCKTWVKSHRISSSDRILFSLGITRFLMLGLFLVNTIYFVSSNTERSVYLSAFFVLCFMFLDSSSVWFVTLLNILYCVKITNFQHSVFLLLKRNISPKIPRLLLACVLISAFTTCLYITLSQASPFPELVTTRNNTSFNISEGILSLVVSLVLSSSLQFIINVTSASLLIHSLRRHIQKMQKNATGFWNPQTEAHVGAMKLMVYFLILYIPYSVATLVQYLPFYAGMDMGTKSICLIFATLYSPGHSVLIIITHPKLKTTAKKILCFKK
+>DECOY_sp|Q9NYW5|TA2R4_HUMAN Taste receptor type 2 member 4 OS=Homo sapiens OX=9606 GN=TAS2R4 PE=1 SV=1
+KKFCLIKKATTKLKPHTIIILVSHGPSYLTAFILCISKTGMDMGAYFPLYQVLTAVSYPIYLILFYVMLKMAGVHAETQPNWFGTANKQMKQIHRRLSHILLSASTVNIIFQLSSSLVLSVVLSLIGESINFSTNNRTTVLEPFPSAQSLTIYLCTTFASILVCALLLRPIKPSINRKLLLFVSHQFNTIKVCYLINLLTVFWVSSSDLFMFCLVFFASLYVSRETNSSVFYITNVLFLGLMLFRTIGLSFLIRDSSSIRHSKVWTKCNVVTIFLNMIIGVFNLIVSAIIASFYFLRLM
+>sp|Q9NYJ8|TAB2_HUMAN TGF-beta-activated kinase 1 and MAP3K7-binding protein 2 OS=Homo sapiens OX=9606 GN=TAB2 PE=1 SV=1
+MAQGSHQIDFQVLHDLRQKFPEVPEVVVSRCMLQNNNNLDACCAVLSQESTRYLYGEGDLNFSDDSGISGLRNHMTSLNLDLQSQNIYHHGREGSRMNGSRTLTHSISDGQLQGGQSNSELFQQEPQTAPAQVPQGFNVFGMSSSSGASNSAPHLGFHLGSKGTSSLSQQTPRFNPIMVTLAPNIQTGRNTPTSLHIHGVPPPVLNSPQGNSIYIRPYITTPGGTTRQTQQHSGWVSQFNPMNPQQVYQPSQPGPWTTCPASNPLSHTSSQQPNQQGHQTSHVYMPISSPTTSQPPTIHSSGSSQSSAHSQYNIQNISTGPRKNQIEIKLEPPQRNNSSKLRSSGPRTSSTSSSVNSQTLNRNQPTVYIAASPPNTDELMSRSQPKVYISANAATGDEQVMRNQPTLFISTNSGASAASRNMSGQVSMGPAFIHHHPPKSRAIGNNSATSPRVVVTQPNTKYTFKITVSPNKPPAVSPGVVSPTFELTNLLNHPDHYVETENIQHLTDPTLAHVDRISETRKLSMGSDDAAYTQALLVHQKARMERLQRELEIQKKKLDKLKSEVNEMENNLTRRRLKRSNSISQIPSLEEMQQLRSCNRQLQIDIDCLTKEIDLFQARGPHFNPSAIHNFYDNIGFVGPVPPKPKDQRSIIKTPKTQDTEDDEGAQWNCTACTFLNHPALIRCEQCEMPRHF
+>DECOY_sp|Q9NYJ8|TAB2_HUMAN TGF-beta-activated kinase 1 and MAP3K7-binding protein 2 OS=Homo sapiens OX=9606 GN=TAB2 PE=1 SV=1
+FHRPMECQECRILAPHNLFTCATCNWQAGEDDETDQTKPTKIISRQDKPKPPVPGVFGINDYFNHIASPNFHPGRAQFLDIEKTLCDIDIQLQRNCSRLQQMEELSPIQSISNSRKLRRRTLNNEMENVESKLKDLKKKQIELERQLREMRAKQHVLLAQTYAADDSGMSLKRTESIRDVHALTPDTLHQINETEVYHDPHNLLNTLEFTPSVVGPSVAPPKNPSVTIKFTYKTNPQTVVVRPSTASNNGIARSKPPHHHIFAPGMSVQGSMNRSAASAGSNTSIFLTPQNRMVQEDGTAANASIYVKPQSRSMLEDTNPPSAAIYVTPQNRNLTQSNVSSSTSSTRPGSSRLKSSNNRQPPELKIEIQNKRPGTSINQINYQSHASSQSSGSSHITPPQSTTPSSIPMYVHSTQHGQQNPQQSSTHSLPNSAPCTTWPGPQSPQYVQQPNMPNFQSVWGSHQQTQRTTGGPTTIYPRIYISNGQPSNLVPPPVGHIHLSTPTNRGTQINPALTVMIPNFRPTQQSLSSTGKSGLHFGLHPASNSAGSSSSMGFVNFGQPVQAPATQPEQQFLESNSQGGQLQGDSISHTLTRSGNMRSGERGHHYINQSQLDLNLSTMHNRLGSIGSDDSFNLDGEGYLYRTSEQSLVACCADLNNNNQLMCRSVVVEPVEPFKQRLDHLVQFDIQHSGQAM
+>sp|O95359|TACC2_HUMAN Transforming acidic coiled-coil-containing protein 2 OS=Homo sapiens OX=9606 GN=TACC2 PE=1 SV=3
+MGNENSTSDNQRTLSAQTPRSAQPPGNSQNIKRKQQDTPGSPDHRDASSIGSVGLGGFCTASESSASLDPCLVSPEVTEPRKDPQGARGPEGSLLPSPPPSQEREHPSSSMPFAECPPEGCLASPAAAPEDGPQTQSPRREPAPNAPGDIAAAFPAERDSSTPYQEIAAVPSAGRERQPKEEGQKSSFSFSSGIDQSPGMSPVPLREPMKAPLCGEGDQPGGFESQEKEAAGGFPPAESRQGVASVQVTPEAPAAAQQGTESSAVLEKSPLKPMAPIPQDPAPRASDRERGQGEAPPQYLTDDLEFLRACHLPRSNSGAAPEAEVNAASQESCQQPVGAYLPHAELPWGLPSPALVPEAGGSGKEALDTIDVQGHPQTGMRGTKPNQVVCVAAGGQPEGGLPVSPEPSLLTPTEEAHPASSLASFPAAQIPIAVEEPGSSSRESVSKAGMPVSADAAKEVVDAGLVGLERQVSDLGSKGEHPEGDPGEVPAPSPQERGEHLNTEQSHEVQPGVPPPPLPKEQSHEVQPGAPPPPLPKAPSESARGPPGPTDGAKVHEDSTSPAVAKEGSRSPGDSPGGKEEAPEPPDGGDPGNLQGEDSQAFSSKRDPEVGKDELSKPSSDAESRDHPSSHSAQPPRKGGAGHTDGPHSQTAEADASGLPHKLGEEDPVLPPVPDGAGEPTVPEGAIWEGSGLQPKCPDTLQSREGLGRMESFLTLESEKSDFPPTPVAEVAPKAQEGESTLEIRKMGSCDGEGLLTSPDQPRGPACDASRQEFHAGVPHPPQGENLAADLGLTALILDQDQQGIPSCPGEGWIRGAASEWPLLSSEKHLQPSQAQPETSIFDVLKEQAQPPENGKETSPSHPGFKDQGADSSQIHVPVEPQEDNNLPTHGGQEQALGSELQSQLPKGTLSDTPTSSPTDMVWESSLTEESELSAPTRQKLPALGEKRPEGACGDGQSSRVSPPAADVLKDFSLAGNFSRKETCCTGQGPNKSQQALADALEEGSQHEEACQRHPGASEAADGCSPLWGLSKREMASGNTGEAPPCQPDSVALLDAVPCLPALAPASPGVTPTQDAPETEACDETQEGRQQPVPAPQQKMECWATSDAESPKLLASFPSAGEQGGEAGAAETGGSAGAGDPGKQQAPEKPGEATLSCGLLQTEHCLTSGEEASTSALRESCQAEHPMASCQDALLPARELGGIPRSTMDFSTHQAVPDPKELLLSGPPEVAAPDTPYLHVDSAAQRGAEDSGVKAVSSADPRAPGESPCPVGEPPLALENAASLKLFAGSLAPLLQPGAAGGEIPAVQASSGSPKARTTEGPVDSMPCLDRMPLLAKGKQATGEEKAATAPGAGAKASGEGMAGDAAGETEGSMERMGEPSQDPKQGTSGGVDTSSEQIATLTGFPDFREHIAKIFEKPVLGALATPGEKAGAGRSAVGKDLTRPLGPEKLLDGPPGVDVTLLPAPPARLQVEKKQQLAGEAEISHLALQDPASDKLLGPAGLTWERNLPGAGVGKEMAGVPPTLREDERPEGPGAAWPGLEGQAYSQLERSRQELASGLPSPAATQELPVERAAAFQVAPHSHGEEAVAQDRIPSGKQHQETSACDSPHGEDGPGDFAHTGVPGHVPRSTCAPSPQREVLTVPEANSEPWTLDTLGGERRPGVTAGILEMRNALGNQSTPAPPTGEVADTPLEPGKVAGAAGEAEGDITLSTAETQACASGDLPEAGTTRTFSVVAGDLVLPGSCQDPACSDKAPGMEGTAALHGDSPARPQQAKEQPGPERPIPAGDGKVCVSSPPEPDETHDPKLQHLAPEELHTDRESPRPGPSMLPSVPKKDAPRVMDKVTSDETRGAEGTESSPVADDIIQPAAPADLESPTLAASSYHGDVVGQVSTDLIAQSISPAAAHAGLPPSAAEHIVSPSAPAGDRVEASTPSCPDPAKDLSRSSDSEEAFETPESTTPVKAPPAPPPPPPEVIPEPEVSTQPPPEEPGCGSETVPVPDGPRSDSVEGSPFRPPSHSFSAVFDEDKPIASSGTYNLDFDNIELVDTFQTLEPRASDAKNQEGKVNTRRKSTDSVPISKSTLSRSLSLQASDFDGASSSGNPEAVALAPDAYSTGSSSASSTLKRTKKPRPPSLKKKQTTKKPTETPPVKETQQEPDEESLVPSGENLASETKTESAKTEGPSPALLEETPLEPAVGPKAACPLDSESAEGVVPPASGGGRVQNSPPVGRKTLPLTTAPEAGEVTPSDSGGQEDSPAKGLSVRLEFDYSEDKSSWDNQQENPPPTKKIGKKPVAKMPLRRPKMKKTPEKLDNTPASPPRSPAEPNDIPIAKGTYTFDIDKWDDPNFNPFSSTSKMQESPKLPQQSYNFDPDTCDESVDPFKTSSKTPSSPSKSPASFEIPASAMEANGVDGDGLNKPAKKKKTPLKTDTFRVKKSPKRSPLSDPPSQDPTPAATPETPPVISAVVHATDEEKLAVTNQKWTCMTVDLEADKQDYPQPSDLSTFVNETKFSSPTEELDYRNSYEIEYMEKIGSSLPQDDDAPKKQALYLMFDTSQESPVKSSPVRMSESPTPCSGSSFEETEALVNTAAKNQHPVPRGLAPNQESHLQVPEKSSQKELEAMGLGTPSEAIEITAPEGSFASADALLSRLAHPVSLCGALDYLEPDLAEKNPPLFAQKLQEELEFAIMRIEALKLARQIALASRSHQDAKREAAHPTDVSISKTALYSRIGTAEVEKPAGLLFQQPDLDSALQIARAEIITKEREVSEWKDKYEESRREVMEMRKIVAEYEKTIAQMIEDEQREKSVSHQTVQQLVLEKEQALADLNSVEKSLADLFRRYEKMKEVLEGFRKNEEVLKRCAQEYLSRVKKEEQRYQALKVHAEEKLDRANAEIAQVRGKAQQEQAAHQASLRKEQLRVDALERTLEQKNKEIEELTKICDELIAKMGKS
+>DECOY_sp|O95359|TACC2_HUMAN Transforming acidic coiled-coil-containing protein 2 OS=Homo sapiens OX=9606 GN=TACC2 PE=1 SV=3
+SKGMKAILEDCIKTLEEIEKNKQELTRELADVRLQEKRLSAQHAAQEQQAKGRVQAIEANARDLKEEAHVKLAQYRQEEKKVRSLYEQACRKLVEENKRFGELVEKMKEYRRFLDALSKEVSNLDALAQEKELVLQQVTQHSVSKERQEDEIMQAITKEYEAVIKRMEMVERRSEEYKDKWESVEREKTIIEARAIQLASDLDPQQFLLGAPKEVEATGIRSYLATKSISVDTPHAAERKADQHSRSALAIQRALKLAEIRMIAFELEEQLKQAFLPPNKEALDPELYDLAGCLSVPHALRSLLADASAFSGEPATIEIAESPTGLGMAELEKQSSKEPVQLHSEQNPALGRPVPHQNKAATNVLAETEEFSSGSCPTPSESMRVPSSKVPSEQSTDFMLYLAQKKPADDDQPLSSGIKEMYEIEYSNRYDLEETPSSFKTENVFTSLDSPQPYDQKDAELDVTMCTWKQNTVALKEEDTAHVVASIVPPTEPTAAPTPDQSPPDSLPSRKPSKKVRFTDTKLPTKKKKAPKNLGDGDVGNAEMASAPIEFSAPSKSPSSPTKSSTKFPDVSEDCTDPDFNYSQQPLKPSEQMKSTSSFPNFNPDDWKDIDFTYTGKAIPIDNPEAPSRPPSAPTNDLKEPTKKMKPRRLPMKAVPKKGIKKTPPPNEQQNDWSSKDESYDFELRVSLGKAPSDEQGGSDSPTVEGAEPATTLPLTKRGVPPSNQVRGGGSAPPVVGEASESDLPCAAKPGVAPELPTEELLAPSPGETKASETKTESALNEGSPVLSEEDPEQQTEKVPPTETPKKTTQKKKLSPPRPKKTRKLTSSASSSGTSYADPALAVAEPNGSSSAGDFDSAQLSLSRSLTSKSIPVSDTSKRRTNVKGEQNKADSARPELTQFTDVLEINDFDLNYTGSSAIPKDEDFVASFSHSPPRFPSGEVSDSRPGDPVPVTESGCGPEEPPPQTSVEPEPIVEPPPPPPAPPAKVPTTSEPTEFAEESDSSRSLDKAPDPCSPTSAEVRDGAPASPSVIHEAASPPLGAHAAAPSISQAILDTSVQGVVDGHYSSAALTPSELDAPAAPQIIDDAVPSSETGEAGRTEDSTVKDMVRPADKKPVSPLMSPGPRPSERDTHLEEPALHQLKPDHTEDPEPPSSVCVKGDGAPIPREPGPQEKAQQPRAPSDGHLAATGEMGPAKDSCAPDQCSGPLVLDGAVVSFTRTTGAEPLDGSACAQTEATSLTIDGEAEGAAGAVKGPELPTDAVEGTPPAPTSQNGLANRMELIGATVGPRREGGLTDLTWPESNAEPVTLVERQPSPACTSRPVHGPVGTHAFDGPGDEGHPSDCASTEQHQKGSPIRDQAVAEEGHSHPAVQFAAAREVPLEQTAAPSPLGSALEQRSRELQSYAQGELGPWAAGPGEPREDERLTPPVGAMEKGVGAGPLNREWTLGAPGLLKDSAPDQLALHSIEAEGALQQKKEVQLRAPPAPLLTVDVGPPGDLLKEPGLPRTLDKGVASRGAGAKEGPTALAGLVPKEFIKAIHERFDPFGTLTAIQESSTDVGGSTGQKPDQSPEGMREMSGETEGAADGAMGEGSAKAGAGPATAAKEEGTAQKGKALLPMRDLCPMSDVPGETTRAKPSGSSAQVAPIEGGAAGPQLLPALSGAFLKLSAANELALPPEGVPCPSEGPARPDASSVAKVGSDEAGRQAASDVHLYPTDPAAVEPPGSLLLEKPDPVAQHTSFDMTSRPIGGLERAPLLADQCSAMPHEAQCSERLASTSAEEGSTLCHETQLLGCSLTAEGPKEPAQQKGPDGAGASGGTEAAGAEGGQEGASPFSALLKPSEADSTAWCEMKQQPAPVPQQRGEQTEDCAETEPADQTPTVGPSAPALAPLCPVADLLAVSDPQCPPAEGTNGSAMERKSLGWLPSCGDAAESAGPHRQCAEEHQSGEELADALAQQSKNPGQGTCCTEKRSFNGALSFDKLVDAAPPSVRSSQGDGCAGEPRKEGLAPLKQRTPASLESEETLSSEWVMDTPSSTPTDSLTGKPLQSQLESGLAQEQGGHTPLNNDEQPEVPVHIQSSDAGQDKFGPHSPSTEKGNEPPQAQEKLVDFISTEPQAQSPQLHKESSLLPWESAAGRIWGEGPCSPIGQQDQDLILATLGLDAALNEGQPPHPVGAHFEQRSADCAPGRPQDPSTLLGEGDCSGMKRIELTSEGEQAKPAVEAVPTPPFDSKESELTLFSEMRGLGERSQLTDPCKPQLGSGEWIAGEPVTPEGAGDPVPPLVPDEEGLKHPLGSADAEATQSHPGDTHGAGGKRPPQASHSSPHDRSEADSSPKSLEDKGVEPDRKSSFAQSDEGQLNGPDGGDPPEPAEEKGGPSDGPSRSGEKAVAPSTSDEHVKAGDTPGPPGRASESPAKPLPPPPAGPQVEHSQEKPLPPPPVGPQVEHSQETNLHEGREQPSPAPVEGPDGEPHEGKSGLDSVQRELGVLGADVVEKAADASVPMGAKSVSERSSSGPEEVAIPIQAAPFSALSSAPHAEETPTLLSPEPSVPLGGEPQGGAAVCVVQNPKTGRMGTQPHGQVDITDLAEKGSGGAEPVLAPSPLGWPLEAHPLYAGVPQQCSEQSAANVEAEPAAGSNSRPLHCARLFELDDTLYQPPAEGQGRERDSARPAPDQPIPAMPKLPSKELVASSETGQQAAAPAEPTVQVSAVGQRSEAPPFGGAAEKEQSEFGGPQDGEGCLPAKMPERLPVPSMGPSQDIGSSFSFSSKQGEEKPQRERGASPVAAIEQYPTSSDREAPFAAAIDGPANPAPERRPSQTQPGDEPAAAPSALCGEPPCEAFPMSSSPHEREQSPPPSPLLSGEPGRAGQPDKRPETVEPSVLCPDLSASSESATCFGGLGVSGISSADRHDPSGPTDQQKRKINQSNGPPQASRPTQASLTRQNDSTSNENGM
+>sp|P09758|TACD2_HUMAN Tumor-associated calcium signal transducer 2 OS=Homo sapiens OX=9606 GN=TACSTD2 PE=1 SV=3
+MARGPGLAPPPLRLPLLLLVLAAVTGHTAAQDNCTCPTNKMTVCSPDGPGGRCQCRALGSGMAVDCSTLTSKCLLLKARMSAPKNARTLVRPSEHALVDNDGLYDPDCDPEGRFKARQCNQTSVCWCVNSVGVRRTDKGDLSLRCDELVRTHHILIDLRHRPTAGAFNHSDLDAELRRLFRERYRLHPKFVAAVHYEQPTIQIELRQNTSQKAAGDVDIGDAAYYFERDIKGESLFQGRGGLDLRVRGEPLQVERTLIYYLDEIPPKFSMKRLTAGLIAVIVVVVVALVAGMAVLVITNRRKSGKYKKVEIKELGELRKEPSL
+>DECOY_sp|P09758|TACD2_HUMAN Tumor-associated calcium signal transducer 2 OS=Homo sapiens OX=9606 GN=TACSTD2 PE=1 SV=3
+LSPEKRLEGLEKIEVKKYKGSKRRNTIVLVAMGAVLAVVVVVIVAILGATLRKMSFKPPIEDLYYILTREVQLPEGRVRLDLGGRGQFLSEGKIDREFYYAADGIDVDGAAKQSTNQRLEIQITPQEYHVAAVFKPHLRYRERFLRRLEADLDSHNFAGATPRHRLDILIHHTRVLEDCRLSLDGKDTRRVGVSNVCWCVSTQNCQRAKFRGEPDCDPDYLGDNDVLAHESPRVLTRANKPASMRAKLLLCKSTLTSCDVAMGSGLARCQCRGGPGDPSCVTMKNTPCTCNDQAATHGTVAALVLLLLPLRLPPPALGPGRAM
+>sp|Q9BSH4|TACO1_HUMAN Translational activator of cytochrome c oxidase 1 OS=Homo sapiens OX=9606 GN=TACO1 PE=1 SV=1
+MSAWAAASLSRAAARCLLARGPGVRAAPPRDPRPSHPEPRGCGAAPGRTLHFTAAVPAGHNKWSKVRHIKGPKDVERSRIFSKLCLNIRLAVKEGGPNPEHNSNLANILEVCRSKHMPKSTIETALKMEKSKDTYLLYEGRGPGGSSLLIEALSNSSHKCQADIRHILNKNGGVMAVGARHSFDKKGVIVVEVEDREKKAVNLERALEMAIEAGAEDVKETEDEEERNVFKFICDASSLHQVRKKLDSLGLCSVSCALEFIPNSKVQLAEPDLEQAAHLIQALSNHEDVIHVYDNIE
+>DECOY_sp|Q9BSH4|TACO1_HUMAN Translational activator of cytochrome c oxidase 1 OS=Homo sapiens OX=9606 GN=TACO1 PE=1 SV=1
+EINDYVHIVDEHNSLAQILHAAQELDPEALQVKSNPIFELACSVSCLGLSDLKKRVQHLSSADCIFKFVNREEEDETEKVDEAGAEIAMELARELNVAKKERDEVEVVIVGKKDFSHRAGVAMVGGNKNLIHRIDAQCKHSSNSLAEILLSSGGPGRGEYLLYTDKSKEMKLATEITSKPMHKSRCVELINALNSNHEPNPGGEKVALRINLCLKSFIRSREVDKPGKIHRVKSWKNHGAPVAATFHLTRGPAAGCGRPEPHSPRPDRPPAARVGPGRALLCRAAARSLSAAAWASM
+>sp|O75478|TAD2A_HUMAN Transcriptional adapter 2-alpha OS=Homo sapiens OX=9606 GN=TADA2A PE=1 SV=3
+MDRLGPFSNDPSDKPPCRGCSSYLMEPYIKCAECGPPPFFLCLQCFTRGFEYKKHQSDHTYEIMTSDFPVLDPSWTAQEEMALLEAVMDCGFGNWQDVANQMCTKTKEECEKHYMKHFINNPLFASTLLNLKQAEEAKTADTAIPFHSTDDPPRPTFDSLLSRDMAGYMPARADFIEEFDNYAEWDLRDIDFVEDDSDILHALKMAVVDIYHSRLKERQRRKKIIRDHGLINLRKFQLMERRYPKEVQDLYETMRRFARIVGPVEHDKFIESHALEFELRREIKRLQEYRTAGITNFCSARTYDHLKKTREEERLKRTMLSEVLQYIQDSSACQQWLRRQADIDSGLSPSIPMASNSGRRSAPPLNLTGLPGTEKLNEKEKELCQMVRLVPGAYLEYKSALLNECNKQGGLRLAQARALIKIDVNKTRKIYDFLIREGYITKG
+>DECOY_sp|O75478|TAD2A_HUMAN Transcriptional adapter 2-alpha OS=Homo sapiens OX=9606 GN=TADA2A PE=1 SV=3
+GKTIYGERILFDYIKRTKNVDIKILARAQALRLGGQKNCENLLASKYELYAGPVLRVMQCLEKEKENLKETGPLGTLNLPPASRRGSNSAMPISPSLGSDIDAQRRLWQQCASSDQIYQLVESLMTRKLREEERTKKLHDYTRASCFNTIGATRYEQLRKIERRLEFELAHSEIFKDHEVPGVIRAFRRMTEYLDQVEKPYRREMLQFKRLNILGHDRIIKKRRQREKLRSHYIDVVAMKLAHLIDSDDEVFDIDRLDWEAYNDFEEIFDARAPMYGAMDRSLLSDFTPRPPDDTSHFPIATDATKAEEAQKLNLLTSAFLPNNIFHKMYHKECEEKTKTCMQNAVDQWNGFGCDMVAELLAMEEQATWSPDLVPFDSTMIEYTHDSQHKKYEFGRTFCQLCLFFPPPGCEACKIYPEMLYSSCGRCPPKDSPDNSFPGLRDM
+>sp|Q15573|TAF1A_HUMAN TATA box-binding protein-associated factor RNA polymerase I subunit A OS=Homo sapiens OX=9606 GN=TAF1A PE=1 SV=1
+MSDFSEELKGPVTDDEEVETSVLSGAGMHFPWLQTYVETVAIGGKRRKDFAQTTSACLSFIQEALLKHQWQQAAEYMYSYFQTLEDSDSYKRQAAPEIIWKLGSEILFYHPKSNMESFNTFANRMKNIGVMNYLKISLQHALYLLHHGMLKDAKRNLSEAETWRHGENTSSREILINLIQAYKGLLQYYTWSEKKMELSKLDKDDYAYNAVAQDVFNHSWKTSANISALIKIPGVWDPFVKSYVEMLEFYGDRDGAQEVLTNYAYDEKFPSNPNAHIYLYNFLKRQKAPRSKLISVLKILYQIVPSHKLMLEFHTLLRKSEKEEHRKLGLEVLFGVLDFAGCTKNITAWKYLAKYLKNILMGNHLAWVQEEWNSRKNWWPGFHFSYFWAKSDWKEDTALACEKAFVAGLLLGKGCRYFRYILKQDHQILGKKIKRMKRSVKKYSIVNPRL
+>DECOY_sp|Q15573|TAF1A_HUMAN TATA box-binding protein-associated factor RNA polymerase I subunit A OS=Homo sapiens OX=9606 GN=TAF1A PE=1 SV=1
+LRPNVISYKKVSRKMRKIKKGLIQHDQKLIYRFYRCGKGLLLGAVFAKECALATDEKWDSKAWFYSFHFGPWWNKRSNWEEQVWALHNGMLINKLYKALYKWATINKTCGAFDLVGFLVELGLKRHEEKESKRLLTHFELMLKHSPVIQYLIKLVSILKSRPAKQRKLFNYLYIHANPNSPFKEDYAYNTLVEQAGDRDGYFELMEVYSKVFPDWVGPIKILASINASTKWSHNFVDQAVANYAYDDKDLKSLEMKKESWTYYQLLGKYAQILNILIERSSTNEGHRWTEAESLNRKADKLMGHHLLYLAHQLSIKLYNMVGINKMRNAFTNFSEMNSKPHYFLIESGLKWIIEPAAQRKYSDSDELTQFYSYMYEAAQQWQHKLLAEQIFSLCASTTQAFDKRRKGGIAVTEVYTQLWPFHMGAGSLVSTEVEEDDTVPGKLEESFDSM
+>sp|Q53T94|TAF1B_HUMAN TATA box-binding protein-associated factor RNA polymerase I subunit B OS=Homo sapiens OX=9606 GN=TAF1B PE=1 SV=1
+MDLEEAEEFKERCTQCAAVSWGLTDEGKYYCTSCHNVTERYQEVTNTDLIPNTQIKALNRGLKKKNNTEKGWDWYVCEGFQYILYQQAEALKNLGVGPELKNDVLHNFWKRYLQKSKQAYCKNPVYTTGRKPTVLEDNLSHSDWASEPELLSDVSCPPFLESGAESQSDIHTRKPFPVSKASQSETSVCSGSLDGVEYSQRKEKGIVKMTMPQTLAFCYLSLLWQREAITLSDLLRFVEEDHIPYINAFQHFPEQMKLYGRDRGIFGIESWPDYEDIYKKTVEVGTFLDLPRFPDITEDCYLHPNILCMKYLMEVNLPDEMHSLTCHVVKMTGMGEVDFLTFDPIAKMAKTVKYDVQAVAIIVVVLKLLFLLDDSFEWSLSNLAEKHNEKNKKDKPWFDFRKWYQIMKKAFDEKKQKWEEARAKYLWKSEKPLYYSFVDKPVAYKKREMVVNLQKQFSTLVESTATAGKKSPSSFQFNWTEEDTDRTCFHGHSLQGVLKEKGQSLLTKNSLYWLSTQKFCRCYCTHVTTYEESNYSLSYQFILNLFSFLLRIKTSLLHEEVSLVEKKLFEKKYSVKRKKSRSKKVRRH
+>DECOY_sp|Q53T94|TAF1B_HUMAN TATA box-binding protein-associated factor RNA polymerase I subunit B OS=Homo sapiens OX=9606 GN=TAF1B PE=1 SV=1
+HRRVKKSRSKKRKVSYKKEFLKKEVLSVEEHLLSTKIRLLFSFLNLIFQYSLSYNSEEYTTVHTCYCRCFKQTSLWYLSNKTLLSQGKEKLVGQLSHGHFCTRDTDEETWNFQFSSPSKKGATATSEVLTSFQKQLNVVMERKKYAVPKDVFSYYLPKESKWLYKARAEEWKQKKEDFAKKMIQYWKRFDFWPKDKKNKENHKEALNSLSWEFSDDLLFLLKLVVVIIAVAQVDYKVTKAMKAIPDFTLFDVEGMGTMKVVHCTLSHMEDPLNVEMLYKMCLINPHLYCDETIDPFRPLDLFTGVEVTKKYIDEYDPWSEIGFIGRDRGYLKMQEPFHQFANIYPIHDEEVFRLLDSLTIAERQWLLSLYCFALTQPMTMKVIGKEKRQSYEVGDLSGSCVSTESQSAKSVPFPKRTHIDSQSEAGSELFPPCSVDSLLEPESAWDSHSLNDELVTPKRGTTYVPNKCYAQKSKQLYRKWFNHLVDNKLEPGVGLNKLAEAQQYLIYQFGECVYWDWGKETNNKKKLGRNLAKIQTNPILDTNTVEQYRETVNHCSTCYYKGEDTLGWSVAACQTCREKFEEAEELDM
+>sp|O00268|TAF4_HUMAN Transcription initiation factor TFIID subunit 4 OS=Homo sapiens OX=9606 GN=TAF4 PE=1 SV=2
+MAAGSDLLDEVFFNSEVDEKVVSDLVGSLESQLAASAAHHHHLAPRTPEVRAAAAGALGNHVVSGSPAGAAGAGPAAPAEGAPGAAPEPPPAGRARPGGGGPQRPGPPSPRRPLVPAGPAPPAAKLRPPPEGSAGSCAPVPAAAAVAAGPEPAPAGPAKPAGPAALAARAGPGPGPGPGPGPGPGPGKPAGPGAAQTLNGSAALLNSHHAAAPAVSLVNNGPAALLPLPKPAAPGTVIQTPPFVGAAAPPAPAAPSPPAAPAPAAPAAAPPPPPPAPATLARPPGHPAGPPTAAPAVPPPAAAQNGGSAGAAPAPAPAAGGPAGVSGQPGPGAAAAAPAPGVKAESPKRVVQAAPPAAQTLAASGPASTAASMVIGPTMQGALPSPAAVPPPAPGTPTGLPKGAAGAVTQSLSRTPTATTSGIRATLTPTVLAPRLPQPPQNPTNIQNFQLPPGMVLVRSENGQLLMIPQQALAQMQAQAHAQPQTTMAPRPATPTSAPPVQISTVQAPGTPIIARQVTPTTIIKQVSQAQTTVQPSATLQRSPGVQPQLVLGGAAQTASLGTATAVQTGTPQRTVPGATTTSSAATETMENVKKCKNFLSTLIKLASSGKQSTETAANVKELVQNLLDGKIEAEDFTSRLYRELNSSPQPYLVPFLKRSLPALRQLTPDSAAFIQQSQQQPPPPTSQATTALTAVVLSSSVQRTAGKTAATVTSALQPPVLSLTQPTQVGVGKQGQPTPLVIQQPPKPGALIRPPQVTLTQTPMVALRQPHNRIMLTTPQQIQLNPLQPVPVVKPAVLPGTKALSAVSAQAAAAQKNKLKEPGGGSFRDDDDINDVASMAGVNLSEESARILATNSELVGTLTRSCKDETFLLQAPLQRRILEIGKKHGITELHPDVVSYVSHATQQRLQNLVEKISETAQQKNFSYKDDDRYEQASDVRAQLKFFEQLDQIEKQRKDEQEREILMRAAKSRSRQEDPEQLRLKQKAKEMQQQELAQMRQRDANLTALAAIGPRKKRKVDCPGPGSGAEGSGPGSVVPGSSGVGTPRQFTRQRITRVNLRDLIFCLENERETSHSLLLYKAFLK
+>DECOY_sp|O00268|TAF4_HUMAN Transcription initiation factor TFIID subunit 4 OS=Homo sapiens OX=9606 GN=TAF4 PE=1 SV=2
+KLFAKYLLLSHSTERENELCFILDRLNVRTIRQRTFQRPTGVGSSGPVVSGPGSGEAGSGPGPCDVKRKKRPGIAALATLNADRQRMQALEQQQMEKAKQKLRLQEPDEQRSRSKAARMLIEREQEDKRQKEIQDLQEFFKLQARVDSAQEYRDDDKYSFNKQQATESIKEVLNQLRQQTAHSVYSVVDPHLETIGHKKGIELIRRQLPAQLLFTEDKCSRTLTGVLESNTALIRASEESLNVGAMSAVDNIDDDDRFSGGGPEKLKNKQAAAAQASVASLAKTGPLVAPKVVPVPQLPNLQIQQPTTLMIRNHPQRLAVMPTQTLTVQPPRILAGPKPPQQIVLPTPQGQKGVGVQTPQTLSLVPPQLASTVTAATKGATRQVSSSLVVATLATTAQSTPPPPQQQSQQIFAASDPTLQRLAPLSRKLFPVLYPQPSSNLERYLRSTFDEAEIKGDLLNQVLEKVNAATETSQKGSSALKILTSLFNKCKKVNEMTETAASSTTTAGPVTRQPTGTQVATATGLSATQAAGGLVLQPQVGPSRQLTASPQVTTQAQSVQKIITTPTVQRAIIPTGPAQVTSIQVPPASTPTAPRPAMTTQPQAHAQAQMQALAQQPIMLLQGNESRVLVMGPPLQFNQINTPNQPPQPLRPALVTPTLTARIGSTTATPTRSLSQTVAGAAGKPLGTPTGPAPPPVAAPSPLAGQMTPGIVMSAATSAPGSAALTQAAPPAAQVVRKPSEAKVGPAPAAAAAGPGPQGSVGAPGGAAPAPAPAAGASGGNQAAAPPPVAPAATPPGAPHGPPRALTAPAPPPPPPAAAPAAPAPAAPPSPAAPAPPAAAGVFPPTQIVTGPAAPKPLPLLAAPGNNVLSVAPAAAHHSNLLAASGNLTQAAGPGAPKGPGPGPGPGPGPGPGPGARAALAAPGAPKAPGAPAPEPGAAVAAAAPVPACSGASGEPPPRLKAAPPAPGAPVLPRRPSPPGPRQPGGGGPRARGAPPPEPAAGPAGEAPAAPGAGAAGAPSGSVVHNGLAGAAAARVEPTRPALHHHHAASAALQSELSGVLDSVVKEDVESNFFVEDLLDSGAAM
+>sp|Q9Y6J9|TAF6L_HUMAN TAF6-like RNA polymerase II p300/CBP-associated factor-associated factor 65 kDa subunit 6L OS=Homo sapiens OX=9606 GN=TAF6L PE=1 SV=1
+MSEREERRFVEIPRESVRLMAESTGLELSDEVAALLAEDVCYRLREATQNSSQFMKHTKRRKLTVEDFNRALRWSSVEAVCGYGSQEALPMRPAREGELYFPEDREVNLVELALATNIPKGCAETAVRVHVSYLDGKGNLAPQGSVPSAVSSLTDDLLKYYHQVTRAVLGDDPQLMKVALQDLQTNSKIGALLPYFVYVVSGVKSVSHDLEQLHRLLQVARSLFRNPHLCLGPYVRCLVGSVLYCVLEPLAASINPLNDHWTLRDGAALLLSHIFWTHGDLVSGLYQHILLSLQKILADPVRPLCCHYGAVVGLHALGWKAVERVLYPHLSTYWTNLQAVLDDYSVSNAQVKADGHKVYGAILVAVERLLKMKAQAAEPNRGGPGGRGCRRLDDLPWDSLLFQESSSGGGAEPSFGSGLPLPPGGAGPEDPSLSVTLADIYRELYAFFGDSLATRFGTGQPAPTAPRPPGDKKEPAAAPDSVRKMPQLTASAIVSPHGDESPRGSGGGGPASASGPAASESRPLPRVHRARGAPRQQGPGTGTRDVFQKSRFAPRGAPHFRFIIAGRQAGRRCRGRLFQTAFPAPYGPSPASRYVQKLPMIGRTSRPARRWALSDYSLYLPL
+>DECOY_sp|Q9Y6J9|TAF6L_HUMAN TAF6-like RNA polymerase II p300/CBP-associated factor-associated factor 65 kDa subunit 6L OS=Homo sapiens OX=9606 GN=TAF6L PE=1 SV=1
+LPLYLSYDSLAWRRAPRSTRGIMPLKQVYRSAPSPGYPAPFATQFLRGRCRRGAQRGAIIFRFHPAGRPAFRSKQFVDRTGTGPGQQRPAGRARHVRPLPRSESAAPGSASAPGGGGSGRPSEDGHPSVIASATLQPMKRVSDPAAAPEKKDGPPRPATPAPQGTGFRTALSDGFFAYLERYIDALTVSLSPDEPGAGGPPLPLGSGFSPEAGGGSSSEQFLLSDWPLDDLRRCGRGGPGGRNPEAAQAKMKLLREVAVLIAGYVKHGDAKVQANSVSYDDLVAQLNTWYTSLHPYLVREVAKWGLAHLGVVAGYHCCLPRVPDALIKQLSLLIHQYLGSVLDGHTWFIHSLLLAAGDRLTWHDNLPNISAALPELVCYLVSGVLCRVYPGLCLHPNRFLSRAVQLLRHLQELDHSVSKVGSVVYVFYPLLAGIKSNTQLDQLAVKMLQPDDGLVARTVQHYYKLLDDTLSSVASPVSGQPALNGKGDLYSVHVRVATEACGKPINTALALEVLNVERDEPFYLEGERAPRMPLAEQSGYGCVAEVSSWRLARNFDEVTLKRRKTHKMFQSSNQTAERLRYCVDEALLAAVEDSLELGTSEAMLRVSERPIEVFRREERESM
+>sp|Q7Z7C8|TAF8_HUMAN Transcription initiation factor TFIID subunit 8 OS=Homo sapiens OX=9606 GN=TAF8 PE=1 SV=1
+MADAAATAGAGGSGTRSGSKQSTNPADNYHLARRRTLQVVVSSLLTEAGFESAEKASVETLTEMLQSYISEIGRSAKSYCEHTARTQPTLSDIVVTLVEMGFNVDTLPAYAKRSQRMVITAPPVTNQPVTPKALTAGQNRPHPPHIPSHFPEFPDPHTYIKTPTYREPVSDYQVLREKAASQRRDVERALTRFMAKTGETQSLFKDDVSTFPLIAARPFTIPYLTALLPSELEMQQMEETDSSEQDEQTDTENLALHISMEDSGAEKENTSVLQQNPSLSGSRNGEENIIDNPYLRPVKKPKIRRKKSLS
+>DECOY_sp|Q7Z7C8|TAF8_HUMAN Transcription initiation factor TFIID subunit 8 OS=Homo sapiens OX=9606 GN=TAF8 PE=1 SV=1
+SLSKKRRIKPKKVPRLYPNDIINEEGNRSGSLSPNQQLVSTNEKEAGSDEMSIHLALNETDTQEDQESSDTEEMQQMELESPLLATLYPITFPRAAILPFTSVDDKFLSQTEGTKAMFRTLAREVDRRQSAAKERLVQYDSVPERYTPTKIYTHPDPFEPFHSPIHPPHPRNQGATLAKPTVPQNTVPPATIVMRQSRKAYAPLTDVNFGMEVLTVVIDSLTPQTRATHECYSKASRGIESIYSQLMETLTEVSAKEASEFGAETLLSSVVVQLTRRRALHYNDAPNTSQKSGSRTGSGGAGATAAADAM
+>sp|P37802|TAGL2_HUMAN Transgelin-2 OS=Homo sapiens OX=9606 GN=TAGLN2 PE=1 SV=3
+MANRGPAYGLSREVQQKIEKQYDADLEQILIQWITTQCRKDVGRPQPGRENFQNWLKDGTVLCELINALYPEGQAPVKKIQASTMAFKQMEQISQFLQAAERYGINTTDIFQTVDLWEGKNMACVQRTLMNLGGLAVARDDGLFSGDPNWFPKKSKENPRNFSDNQLQEGKNVIGLQMGTNRGASQAGMTGYGMPRQIL
+>DECOY_sp|P37802|TAGL2_HUMAN Transgelin-2 OS=Homo sapiens OX=9606 GN=TAGLN2 PE=1 SV=3
+LIQRPMGYGTMGAQSAGRNTGMQLGIVNKGEQLQNDSFNRPNEKSKKPFWNPDGSFLGDDRAVALGGLNMLTRQVCAMNKGEWLDVTQFIDTTNIGYREAAQLFQSIQEMQKFAMTSAQIKKVPAQGEPYLANILECLVTGDKLWNQFNERGPQPRGVDKRCQTTIWQILIQELDADYQKEIKQQVERSLGYAPGRNAM
+>sp|Q16559|TAL2_HUMAN T-cell acute lymphocytic leukemia protein 2 OS=Homo sapiens OX=9606 GN=TAL2 PE=1 SV=1
+MTRKIFTNTRERWRQQNVNSAFAKLRKLIPTHPPDKKLSKNETLRLAMRYINFLVKVLGEQSLQQTGVAAQGNILGLFPQGPHLPGLEDRTLLENYQVPSPGPSHHIP
+>DECOY_sp|Q16559|TAL2_HUMAN T-cell acute lymphocytic leukemia protein 2 OS=Homo sapiens OX=9606 GN=TAL2 PE=1 SV=1
+PIHHSPGPSPVQYNELLTRDELGPLHPGQPFLGLINGQAAVGTQQLSQEGLVKVLFNIYRMALRLTENKSLKKDPPHTPILKRLKAFASNVNQQRWRERTNTFIKRTM
+>sp|Q9H2K8|TAOK3_HUMAN Serine/threonine-protein kinase TAO3 OS=Homo sapiens OX=9606 GN=TAOK3 PE=1 SV=2
+MRKGVLKDPEIADLFYKDDPEELFIGLHEIGHGSFGAVYFATNAHTSEVVAIKKMSYSGKQTHEKWQDILKEVKFLRQLKHPNTIEYKGCYLKEHTAWLVMEYCLGSASDLLEVHKKPLQEVEIAAITHGALHGLAYLHSHALIHRDIKAGNILLTEPGQVKLADFGSASMASPANSFVGTPYWMAPEVILAMDEGQYDGKVDIWSLGITCIELAERKPPLFNMNAMSALYHIAQNDSPTLQSNEWTDSFRRFVDYCLQKIPQERPTSAELLRHDFVRRDRPLRVLIDLIQRTKDAVRELDNLQYRKMKKILFQETRNGPLNESQEDEEDSEHGTSLNREMDSLGSNHSIPSMSVSTGSQSSSVNSMQEVMDESSSELVMMHDDESTINSSSSVVHKKDHVFIRDEAGHGDPRPEPRPTQSVQSQALHYRNRERFATIKSASLVTRQIHEHEQENELREQMSGYKRMRRQHQKQLIALENKLKAEMDEHRLKLQKEVETHANNSSIELEKLAKKQVAIIEKEAKVAAADEKKFQQQILAQQKKDLTTFLESQKKQYKICKEKIKEEMNEDHSTPKKEKQERISKHKENLQHTQAEEEAHLLTQQRLYYDKNCRFFKRKIMIKRHEVEQQNIREELNKKRTQKEMEHAMLIRHDESTRELEYRQLHTLQKLRMDLIRLQHQTELENQLEYNKRRERELHRKHVMELRQQPKNLKAMEMQIKKQFQDTCKVQTKQYKALKNHQLEVTPKNEHKTILKTLKDEQTRKLAILAEQYEQSINEMMASQALRLDEAQEAECQALRLQLQQEMELLNAYQSKIKMQTEAQHERELQKLEQRVSLRRAHLEQKIEEELAALQKERSERIKNLLERQEREIETFDMESLRMGFGNLVTLDFPKEDYR
+>DECOY_sp|Q9H2K8|TAOK3_HUMAN Serine/threonine-protein kinase TAO3 OS=Homo sapiens OX=9606 GN=TAOK3 PE=1 SV=2
+RYDEKPFDLTVLNGFGMRLSEMDFTEIEREQRELLNKIRESREKQLAALEEEIKQELHARRLSVRQELKQLEREHQAETQMKIKSQYANLLEMEQQLQLRLAQCEAEQAEDLRLAQSAMMENISQEYQEALIALKRTQEDKLTKLITKHENKPTVELQHNKLAKYQKTQVKCTDQFQKKIQMEMAKLNKPQQRLEMVHKRHLERERRKNYELQNELETQHQLRILDMRLKQLTHLQRYELERTSEDHRILMAHEMEKQTRKKNLEERINQQEVEHRKIMIKRKFFRCNKDYYLRQQTLLHAEEEAQTHQLNEKHKSIREQKEKKPTSHDENMEEKIKEKCIKYQKKQSELFTTLDKKQQALIQQQFKKEDAAAVKAEKEIIAVQKKALKELEISSNNAHTEVEKQLKLRHEDMEAKLKNELAILQKQHQRRMRKYGSMQERLENEQEHEHIQRTVLSASKITAFRERNRYHLAQSQVSQTPRPEPRPDGHGAEDRIFVHDKKHVVSSSSNITSEDDHMMVLESSSEDMVEQMSNVSSSQSGTSVSMSPISHNSGLSDMERNLSTGHESDEEDEQSENLPGNRTEQFLIKKMKRYQLNDLERVADKTRQILDILVRLPRDRRVFDHRLLEASTPREQPIKQLCYDVFRRFSDTWENSQLTPSDNQAIHYLASMANMNFLPPKREALEICTIGLSWIDVKGDYQGEDMALIVEPAMWYPTGVFSNAPSAMSASGFDALKVQGPETLLINGAKIDRHILAHSHLYALGHLAGHTIAAIEVEQLPKKHVELLDSASGLCYEMVLWATHEKLYCGKYEITNPHKLQRLFKVEKLIDQWKEHTQKGSYSMKKIAVVESTHANTAFYVAGFSGHGIEHLGIFLEEPDDKYFLDAIEPDKLVGKRM
+>sp|Q8WUA7|TB22A_HUMAN TBC1 domain family member 22A OS=Homo sapiens OX=9606 GN=TBC1D22A PE=1 SV=2
+MASDGARKQFWKRSNSKLPGSIQHVYGAQHPPFDPLLHGTLLRSTAKMPTTPVKAKRVSTFQEFESNTSDAWDAGEDDDELLAMAAESLNSEVVMETANRVLRNHSQRQGRPTLQEGPGLQQKPRPEAEPPSPPSGDLRLVKSVSESHTSCPAESASDAAPLQRSQSLPHSATVTLGGTSDPSTLSSSALSEREASRLDKFKQLLAGPNTDLEELRRLSWSGIPKPVRPMTWKLLSGYLPANVDRRPATLQRKQKEYFAFIEHYYDSRNDEVHQDTYRQIHIDIPRMSPEALILQPKVTEIFERILFIWAIRHPASGYVQGINDLVTPFFVVFICEYIEAEEVDTVDVSGVPAEVLCNIEADTYWCMSKLLDGIQDNYTFAQPGIQMKVKMLEELVSRIDEQVHRHLDQHEVRYLQFAFRWMNNLLMREVPLRCTIRLWDTYQSEPDGFSHFHLYVCAAFLVRWRKEILEEKDFQELLLFLQNLPTAHWDDEDISLLLAEAYRLKFAFADAPNHYKK
+>DECOY_sp|Q8WUA7|TB22A_HUMAN TBC1 domain family member 22A OS=Homo sapiens OX=9606 GN=TBC1D22A PE=1 SV=2
+KKYHNPADAFAFKLRYAEALLLSIDEDDWHATPLNQLFLLLEQFDKEELIEKRWRVLFAACVYLHFHSFGDPESQYTDWLRITCRLPVERMLLNNMWRFAFQLYRVEHQDLHRHVQEDIRSVLEELMKVKMQIGPQAFTYNDQIGDLLKSMCWYTDAEINCLVEAPVGSVDVTDVEEAEIYECIFVVFFPTVLDNIGQVYGSAPHRIAWIFLIREFIETVKPQLILAEPSMRPIDIHIQRYTDQHVEDNRSDYYHEIFAFYEKQKRQLTAPRRDVNAPLYGSLLKWTMPRVPKPIGSWSLRRLEELDTNPGALLQKFKDLRSAERESLASSSLTSPDSTGGLTVTASHPLSQSRQLPAADSASEAPCSTHSESVSKVLRLDGSPPSPPEAEPRPKQQLGPGEQLTPRGQRQSHNRLVRNATEMVVESNLSEAAMALLEDDDEGADWADSTNSEFEQFTSVRKAKVPTTPMKATSRLLTGHLLPDFPPHQAGYVHQISGPLKSNSRKWFQKRAGDSAM
+>sp|Q71U36|TBA1A_HUMAN Tubulin alpha-1A chain OS=Homo sapiens OX=9606 GN=TUBA1A PE=1 SV=1
+MRECISIHVGQAGVQIGNACWELYCLEHGIQPDGQMPSDKTIGGGDDSFNTFFSETGAGKHVPRAVFVDLEPTVIDEVRTGTYRQLFHPEQLITGKEDAANNYARGHYTIGKEIIDLVLDRIRKLADQCTGLQGFLVFHSFGGGTGSGFTSLLMERLSVDYGKKSKLEFSIYPAPQVSTAVVEPYNSILTTHTTLEHSDCAFMVDNEAIYDICRRNLDIERPTYTNLNRLIGQIVSSITASLRFDGALNVDLTEFQTNLVPYPRIHFPLATYAPVISAEKAYHEQLSVAEITNACFEPANQMVKCDPRHGKYMACCLLYRGDVVPKDVNAAIATIKTKRTIQFVDWCPTGFKVGINYQPPTVVPGGDLAKVQRAVCMLSNTTAIAEAWARLDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDMAALEKDYEEVGVDSVEGEGEEEGEEY
+>DECOY_sp|Q71U36|TBA1A_HUMAN Tubulin alpha-1A chain OS=Homo sapiens OX=9606 GN=TUBA1A PE=1 SV=1
+YEEGEEEGEGEVSDVGVEEYDKELAAMDERAESFEGEEMGEGVYWHVFARKAYMLDFKHDLRAWAEAIATTNSLMCVARQVKALDGGPVVTPPQYNIGVKFGTPCWDVFQITRKTKITAIAANVDKPVVDGRYLLCCAMYKGHRPDCKVMQNAPEFCANTIEAVSLQEHYAKEASIVPAYTALPFHIRPYPVLNTQFETLDVNLAGDFRLSATISSVIQGILRNLNTYTPREIDLNRRCIDYIAENDVMFACDSHELTTHTTLISNYPEVVATSVQPAPYISFELKSKKGYDVSLREMLLSTFGSGTGGGFSHFVLFGQLGTCQDALKRIRDLVLDIIEKGITYHGRAYNNAADEKGTILQEPHFLQRYTGTRVEDIVTPELDVFVARPVHKGAGTESFFTNFSDDGGGITKDSPMQGDPQIGHELCYLEWCANGIQVGAQGVHISICERM
+>sp|P68363|TBA1B_HUMAN Tubulin alpha-1B chain OS=Homo sapiens OX=9606 GN=TUBA1B PE=1 SV=1
+MRECISIHVGQAGVQIGNACWELYCLEHGIQPDGQMPSDKTIGGGDDSFNTFFSETGAGKHVPRAVFVDLEPTVIDEVRTGTYRQLFHPEQLITGKEDAANNYARGHYTIGKEIIDLVLDRIRKLADQCTGLQGFLVFHSFGGGTGSGFTSLLMERLSVDYGKKSKLEFSIYPAPQVSTAVVEPYNSILTTHTTLEHSDCAFMVDNEAIYDICRRNLDIERPTYTNLNRLISQIVSSITASLRFDGALNVDLTEFQTNLVPYPRIHFPLATYAPVISAEKAYHEQLSVAEITNACFEPANQMVKCDPRHGKYMACCLLYRGDVVPKDVNAAIATIKTKRSIQFVDWCPTGFKVGINYQPPTVVPGGDLAKVQRAVCMLSNTTAIAEAWARLDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDMAALEKDYEEVGVDSVEGEGEEEGEEY
+>DECOY_sp|P68363|TBA1B_HUMAN Tubulin alpha-1B chain OS=Homo sapiens OX=9606 GN=TUBA1B PE=1 SV=1
+YEEGEEEGEGEVSDVGVEEYDKELAAMDERAESFEGEEMGEGVYWHVFARKAYMLDFKHDLRAWAEAIATTNSLMCVARQVKALDGGPVVTPPQYNIGVKFGTPCWDVFQISRKTKITAIAANVDKPVVDGRYLLCCAMYKGHRPDCKVMQNAPEFCANTIEAVSLQEHYAKEASIVPAYTALPFHIRPYPVLNTQFETLDVNLAGDFRLSATISSVIQSILRNLNTYTPREIDLNRRCIDYIAENDVMFACDSHELTTHTTLISNYPEVVATSVQPAPYISFELKSKKGYDVSLREMLLSTFGSGTGGGFSHFVLFGQLGTCQDALKRIRDLVLDIIEKGITYHGRAYNNAADEKGTILQEPHFLQRYTGTRVEDIVTPELDVFVARPVHKGAGTESFFTNFSDDGGGITKDSPMQGDPQIGHELCYLEWCANGIQVGAQGVHISICERM
+>sp|A6NHL2|TBAL3_HUMAN Tubulin alpha chain-like 3 OS=Homo sapiens OX=9606 GN=TUBAL3 PE=1 SV=2
+MRECLSIHIGQAGIQIGDACWELYCLEHGIQPNGVVLDTQQDQLENAKMEHTNASFDTFFCETRAGKHVPRALFVDLEPTVIDGIRTGQHRSLFHPEQLLSGKEDAANNYARGRYSVGSEVIDLVLERTRKLAEQCGGLQGFLIFRSFGGGTGSGFTSLLMERLTGEYSRKTKLEFSVYPAPRISTAVVEPYNSVLTTHSTTEHTDCTFMVDNEAVYDICHRKLGVECPSHASINRLVVQVVSSITASLRFEGPLNVDLIEFQTNLVPYPRIHFPMTAFAPIVSADKAYHEQFSVSDITTACFESSNQLVKCDPRLGKYMACCLLYRGDVVPKEVNAAIAATKSRHSVQFVDWCPTGFKVGINNRPPTVMPGGDLAKVHRSICMLSNTTAIVEAWARLDHKFDLMYAKRAFLHWYLREGMEEAEFLEAREDLAALERDYEEVAQSF
+>DECOY_sp|A6NHL2|TBAL3_HUMAN Tubulin alpha chain-like 3 OS=Homo sapiens OX=9606 GN=TUBAL3 PE=1 SV=2
+FSQAVEEYDRELAALDERAELFEAEEMGERLYWHLFARKAYMLDFKHDLRAWAEVIATTNSLMCISRHVKALDGGPMVTPPRNNIGVKFGTPCWDVFQVSHRSKTAAIAANVEKPVVDGRYLLCCAMYKGLRPDCKVLQNSSEFCATTIDSVSFQEHYAKDASVIPAFATMPFHIRPYPVLNTQFEILDVNLPGEFRLSATISSVVQVVLRNISAHSPCEVGLKRHCIDYVAENDVMFTCDTHETTSHTTLVSNYPEVVATSIRPAPYVSFELKTKRSYEGTLREMLLSTFGSGTGGGFSRFILFGQLGGCQEALKRTRELVLDIVESGVSYRGRAYNNAADEKGSLLQEPHFLSRHQGTRIGDIVTPELDVFLARPVHKGARTECFFTDFSANTHEMKANELQDQQTDLVVGNPQIGHELCYLEWCADGIQIGAQGIHISLCERM
+>sp|Q3MII6|TBC25_HUMAN TBC1 domain family member 25 OS=Homo sapiens OX=9606 GN=TBC1D25 PE=1 SV=2
+MATASGASDLSGSGAPPPGVGAQAAAAAEEEEREVVRVRVKKCESFLPPEFRSFAVDPQITSLDVLQHILIRAFDLSGKKNFGISYLGRDRLGQEVYLSLLSDWDLSTAFATASKPYLQLRVDIRPSEDSPLLEDWDIISPKDVIGSDVLLAEKRSSLTTAALPFTQSILTQVGRTLSKVQQVLSWSYGEDVKPFKPPLSDAEFHTYLNHEGQLSRPEELRLRIYHGGVEPSLRKVVWRYLLNVYPDGLTGRERMDYMKRKSREYEQLKSEWAQRANPEDLEFIRSTVLKDVLRTDRAHPYYAGPEDGPHLRALHDLLTTYAVTHPQVSYCQGMSDLASPILAVMDHEGHAFVCFCGIMKRLAANFHPDGRAMATKFAHLKLLLRHADPDFYQYLQEAGADDLFFCYRWLLLELKREFAFDDALRMLEVTWSSLPPDPPEHEVELVGPPSQVADAGFGGHRGWPVRQRHMLRPAGGGGSTFEDAVDHLATASQGPGGGGRLLRQASLDGLQQLRDNMGSRRDPLVQLPHPAALISSKSLSEPLLNSPDPLLSSFSHPDSPSSSSPPSTQEASPTGDMAVGSPLMQEVGSPKDPGKSLPPVPPMGLPPPQEFGRGNPFMLFLCLAILLEHRDHIMRNGLDYNELAMHFDRLVRKHHLGRVLRRARALFADYLQSEVWDSEEGAEATAAS
+>DECOY_sp|Q3MII6|TBC25_HUMAN TBC1 domain family member 25 OS=Homo sapiens OX=9606 GN=TBC1D25 PE=1 SV=2
+SAATAEAGEESDWVESQLYDAFLARARRLVRGLHHKRVLRDFHMALENYDLGNRMIHDRHELLIALCLFLMFPNGRGFEQPPPLGMPPVPPLSKGPDKPSGVEQMLPSGVAMDGTPSAEQTSPPSSSSPSDPHSFSSLLPDPSNLLPESLSKSSILAAPHPLQVLPDRRSGMNDRLQQLGDLSAQRLLRGGGGPGQSATALHDVADEFTSGGGGAPRLMHRQRVPWGRHGGFGADAVQSPPGVLEVEHEPPDPPLSSWTVELMRLADDFAFERKLELLLWRYCFFLDDAGAEQLYQYFDPDAHRLLLKLHAFKTAMARGDPHFNAALRKMIGCFCVFAHGEHDMVALIPSALDSMGQCYSVQPHTVAYTTLLDHLARLHPGDEPGAYYPHARDTRLVDKLVTSRIFELDEPNARQAWESKLQEYERSKRKMYDMRERGTLGDPYVNLLYRWVVKRLSPEVGGHYIRLRLEEPRSLQGEHNLYTHFEADSLPPKFPKVDEGYSWSLVQQVKSLTRGVQTLISQTFPLAATTLSSRKEALLVDSGIVDKPSIIDWDELLPSDESPRIDVRLQLYPKSATAFATSLDWDSLLSLYVEQGLRDRGLYSIGFNKKGSLDFARILIHQLVDLSTIQPDVAFSRFEPPLFSECKKVRVRVVEREEEEAAAAAQAGVGPPPAGSGSLDSAGSATAM
+>sp|A0A087WVF3|TBC3D_HUMAN TBC1 domain family member 3D OS=Homo sapiens OX=9606 GN=TBC1D3D PE=2 SV=1
+MDVVEVAGSWWAQEREDIIMKYEKGHRAGLPEDKGPKPFRSYNNNVDHLGIVHETELPPLTAREAKQIRREISRKSKWVDMLGDWEKYKSSRKLIDRAYKGMPMNIRGPMWSVLLNTEEMKLKNPGRYQIMKEKGKRSSEHIQRIDRDVSGTLRKHIFFRDRYGTKQRELLHILLAYEEYNPEVGYCRDLSHIAALFLLYLPEEDAFWALVQLLASERHSLQGFHSPNGGTVQGLQDQQEHVVATSQPKTMGHQDKKDLCGQCSPLGCLIRILIDGISLGLTLRLWDVYLVEGEQALMPITRIAFKVQQKRLTKTSRCGPWARFCNRFVDTWARDEDTVLKHLRASMKKLTRKQGDLPPPAKPEQGSSASRPVPASRGGKTLCKGDRQAPPGPPARFPRPIWSASPPRAPRSSTPCPGGAVREDTYPVGTQGVPSPALAQGGPQGSWRFLQWNSMPRLPTDLDVEGPWFRHYDFRQSCWVRAISQEDQLAPCWQAEHPAERVRSAFAAPSTDSDQGTPFRARDEQQCAPTSGPCLCGLHLESSQFPPGF
+>DECOY_sp|A0A087WVF3|TBC3D_HUMAN TBC1 domain family member 3D OS=Homo sapiens OX=9606 GN=TBC1D3D PE=2 SV=1
+FGPPFQSSELHLGCLCPGSTPACQQEDRARFPTGQDSDTSPAAFASRVREAPHEAQWCPALQDEQSIARVWCSQRFDYHRFWPGEVDLDTPLRPMSNWQLFRWSGQPGGQALAPSPVGQTGVPYTDERVAGGPCPTSSRPARPPSASWIPRPFRAPPGPPAQRDGKCLTKGGRSAPVPRSASSGQEPKAPPPLDGQKRTLKKMSARLHKLVTDEDRAWTDVFRNCFRAWPGCRSTKTLRKQQVKFAIRTIPMLAQEGEVLYVDWLRLTLGLSIGDILIRILCGLPSCQGCLDKKDQHGMTKPQSTAVVHEQQDQLGQVTGGNPSHFGQLSHRESALLQVLAWFADEEPLYLLFLAAIHSLDRCYGVEPNYEEYALLIHLLERQKTGYRDRFFIHKRLTGSVDRDIRQIHESSRKGKEKMIQYRGPNKLKMEETNLLVSWMPGRINMPMGKYARDILKRSSKYKEWDGLMDVWKSKRSIERRIQKAERATLPPLETEHVIGLHDVNNNYSRFPKPGKDEPLGARHGKEYKMIIDEREQAWWSGAVEVVDM
+>sp|P0C7X1|TBC3H_HUMAN TBC1 domain family member 3H OS=Homo sapiens OX=9606 GN=TBC1D3H PE=2 SV=3
+MDVVEVAGSWWAQEREDIIMKYEKGHRAGLPEDKGPKPFRSYNNNVDHLGIVHETELPPLTAREAKQIRREISRKSKWVDMLGDWEKYKSSRKLIDRAYKGMPMNIRGPMWSVLLNTEEMKLKNPGRYQIMKEKGKRSSEHIQRIDRDISGTLRKHMFFRDRYGTKQRELLHILLAYEEYNPEVGYCRDLSHIAALFLLYLPEEDAFWALVQLLASERHSLQGFHSPNGGTVQGLQDQQEHVVATSQPKTMGHQDKKDLCGQCSPLGCLIRILIDGISLGLTLRLWDVYLVEGEQALMPITRIAFKVQQKRLTKTSRCGPWARFCNRFVDTWARDEDTVLKHLRASMKKLTRKQGDLPPPAKPEQGSSASRPVPASRGGKTLCKGDRQAPPGPPARFPRPIWSASPPRAPRSSTPCPGGAVREDTYPVGTQGVPSPALAQGGPQGSWRFLQWNSMPRLPTDLDVEGPWFRHYDFRQSCWVRAISQEDQLAPCWQAEHPAERVRSAFAAPSTDSDQGTPFRARDEQQCAPTSGPCLCGLHLESSQFPPGF
+>DECOY_sp|P0C7X1|TBC3H_HUMAN TBC1 domain family member 3H OS=Homo sapiens OX=9606 GN=TBC1D3H PE=2 SV=3
+FGPPFQSSELHLGCLCPGSTPACQQEDRARFPTGQDSDTSPAAFASRVREAPHEAQWCPALQDEQSIARVWCSQRFDYHRFWPGEVDLDTPLRPMSNWQLFRWSGQPGGQALAPSPVGQTGVPYTDERVAGGPCPTSSRPARPPSASWIPRPFRAPPGPPAQRDGKCLTKGGRSAPVPRSASSGQEPKAPPPLDGQKRTLKKMSARLHKLVTDEDRAWTDVFRNCFRAWPGCRSTKTLRKQQVKFAIRTIPMLAQEGEVLYVDWLRLTLGLSIGDILIRILCGLPSCQGCLDKKDQHGMTKPQSTAVVHEQQDQLGQVTGGNPSHFGQLSHRESALLQVLAWFADEEPLYLLFLAAIHSLDRCYGVEPNYEEYALLIHLLERQKTGYRDRFFMHKRLTGSIDRDIRQIHESSRKGKEKMIQYRGPNKLKMEETNLLVSWMPGRINMPMGKYARDILKRSSKYKEWDGLMDVWKSKRSIERRIQKAERATLPPLETEHVIGLHDVNNNYSRFPKPGKDEPLGARHGKEYKMIIDEREQAWWSGAVEVVDM
+>sp|O95759|TBCD8_HUMAN TBC1 domain family member 8 OS=Homo sapiens OX=9606 GN=TBC1D8 PE=1 SV=3
+MWLKPEEVLLKNALKLWVTQKSSCYFILQRRRGHGEGGGRLTGRLVGALDAVLDSNARVAPFRILLQVPGSQVYSPIACGATLEEINQHWDWLEQNLLHTLSVFDNKDDIASFVKGKVKALIAEETSSRLAEQEEEPEKFREALVKFEARFNFPEAEKLVTYYSCCCWKGRVPRQGWLYLSINHLCFYSFFLGKELKLVVPWVDIQKLERTSNVFLTDTIRITTQNKERDFSMFLNLDEVFKVMEQLADVTLRRLLDNEVFDLDPDLQEPSQITKRDLEARAQNEFFRAFFRLPRKEKLHAVVDCSLWTPFSRCHTTGRMFASDSYICFASREDGCCKIILPLREVVSIEKMEDTSLLPHPIIVSIRSKVAFQFIELRDRDSLVEALLARLKQVHANHPVHYDTSADDDMASLVFHSTSMCSDHRFGDLEMMSSQNSEESEKEKSPLMHPDALVTAFQQSGSQSPDSRMSREQIKISLWNDHFVEYGRTVCMFRTEKIRKLVAMGIPESLRGRLWLLFSDAVTDLASHPGYYGNLVEESLGKCCLVTEEIERDLHRSLPEHPAFQNETGIAALRRVLTAYAHRNPKIGYCQSMNILTSVLLLYTKEEEAFWLLVAVCERMLPDYFNHRVIGAQVDQSVFEELIKGHLPELAEHMNDLSALASVSLSWFLTLFLSIMPLESAVNVVDCFFYDGIKAIFQLGLAVLEANAEDLCSSKDDGQALMILSRFLDHIKNEDSPGPPVGSHHAFFSDDQEPYPVTDISDLIRDSYEKFGDQSVEQIEHLRYKHRIRVLQGHEDTTKQNVLRVVIPEVSILPEDLEELYDLFKREHMMSCYWEQPRPMASRHDPSRPYAEQYRIDARQFAHLFQLVSPWTCGAHTEILAERTFRLLDDNMDQLIEFKAFVSCLDIMYNGEMNEKIKLLYRLHIPPALTENDRDSQSPLRNPLLSTSRPLVFGKPNGDAVDYQKQLKQMIKDLAKEKDKTEKELPKMSQREFIQFCKTLYSMFHEDPEENDLYQAIATVTTLLLQIGEVGQRGSSSGSCSQECGEELRASAPSPEDSVFADTGKTPQDSQAFPEAAERDWTVSLEHILASLLTEQSLVNFFEKPLDMKSKLENAKINQYNLKTFEMSHQSQSELKLSNL
+>DECOY_sp|O95759|TBCD8_HUMAN TBC1 domain family member 8 OS=Homo sapiens OX=9606 GN=TBC1D8 PE=1 SV=3
+LNSLKLESQSQHSMEFTKLNYQNIKANELKSKMDLPKEFFNVLSQETLLSALIHELSVTWDREAAEPFAQSDQPTKGTDAFVSDEPSPASARLEEGCEQSCSGSSSGRQGVEGIQLLLTTVTAIAQYLDNEEPDEHFMSYLTKCFQIFERQSMKPLEKETKDKEKALDKIMQKLQKQYDVADGNPKGFVLPRSTSLLPNRLPSQSDRDNETLAPPIHLRYLLKIKENMEGNYMIDLCSVFAKFEILQDMNDDLLRFTREALIETHAGCTWPSVLQFLHAFQRADIRYQEAYPRSPDHRSAMPRPQEWYCSMMHERKFLDYLEELDEPLISVEPIVVRLVNQKTTDEHGQLVRIRHKYRLHEIQEVSQDGFKEYSDRILDSIDTVPYPEQDDSFFAHHSGVPPGPSDENKIHDLFRSLIMLAQGDDKSSCLDEANAELVALGLQFIAKIGDYFFCDVVNVASELPMISLFLTLFWSLSVSALASLDNMHEALEPLHGKILEEFVSQDVQAGIVRHNFYDPLMRECVAVLLWFAEEEKTYLLLVSTLINMSQCYGIKPNRHAYATLVRRLAAIGTENQFAPHEPLSRHLDREIEETVLCCKGLSEEVLNGYYGPHSALDTVADSFLLWLRGRLSEPIGMAVLKRIKETRFMCVTRGYEVFHDNWLSIKIQERSMRSDPSQSGSQQFATVLADPHMLPSKEKESEESNQSSMMELDGFRHDSCMSTSHFVLSAMDDDASTDYHVPHNAHVQKLRALLAEVLSDRDRLEIFQFAVKSRISVIIPHPLLSTDEMKEISVVERLPLIIKCCGDERSAFCIYSDSAFMRGTTHCRSFPTWLSCDVVAHLKEKRPLRFFARFFENQARAELDRKTIQSPEQLDPDLDFVENDLLRRLTVDALQEMVKFVEDLNLFMSFDREKNQTTIRITDTLFVNSTRELKQIDVWPVVLKLEKGLFFSYFCLHNISLYLWGQRPVRGKWCCCSYYTVLKEAEPFNFRAEFKVLAERFKEPEEEQEALRSSTEEAILAKVKGKVFSAIDDKNDFVSLTHLLNQELWDWHQNIEELTAGCAIPSYVQSGPVQLLIRFPAVRANSDLVADLAGVLRGTLRGGGEGHGRRRQLIFYCSSKQTVWLKLANKLLVEEPKLWM
+>sp|Q9BZK7|TBL1R_HUMAN F-box-like/WD repeat-containing protein TBL1XR1 OS=Homo sapiens OX=9606 GN=TBL1XR1 PE=1 SV=1
+MSISSDEVNFLVYRYLQESGFSHSAFTFGIESHISQSNINGALVPPAALISIIQKGLQYVEAEVSINEDGTLFDGRPIESLSLIDAVMPDVVQTRQQAYRDKLAQQQAAAAAAAAAAASQQGSAKNGENTANGEENGAHTIANNHTDMMEVDGDVEIPPNKAVVLRGHESEVFICAWNPVSDLLASGSGDSTARIWNLSENSTSGSTQLVLRHCIREGGQDVPSNKDVTSLDWNSEGTLLATGSYDGFARIWTKDGNLASTLGQHKGPIFALKWNKKGNFILSAGVDKTTIIWDAHTGEAKQQFPFHSAPALDVDWQSNNTFASCSTDMCIHVCKLGQDRPIKTFQGHTNEVNAIKWDPTGNLLASCSDDMTLKIWSMKQDNCVHDLQAHNKEIYTIKWSPTGPGTNNPNANLMLASASFDSTVRLWDVDRGICIHTLTKHQEPVYSVAFSPDGRYLASGSFDKCVHIWNTQTGALVHSYRGTGGIFEVCWNAAGDKVGASASDGSVCVLDLRK
+>DECOY_sp|Q9BZK7|TBL1R_HUMAN F-box-like/WD repeat-containing protein TBL1XR1 OS=Homo sapiens OX=9606 GN=TBL1XR1 PE=1 SV=1
+KRLDLVCVSGDSASAGVKDGAANWCVEFIGGTGRYSHVLAGTQTNWIHVCKDFSGSALYRGDPSFAVSYVPEQHKTLTHICIGRDVDWLRVTSDFSASALMLNANPNNTGPGTPSWKITYIEKNHAQLDHVCNDQKMSWIKLTMDDSCSALLNGTPDWKIANVENTHGQFTKIPRDQGLKCVHICMDTSCSAFTNNSQWDVDLAPASHFPFQQKAEGTHADWIITTKDVGASLIFNGKKNWKLAFIPGKHQGLTSALNGDKTWIRAFGDYSGTALLTGESNWDLSTVDKNSPVDQGGERICHRLVLQTSGSTSNESLNWIRATSDGSGSALLDSVPNWACIFVESEHGRLVVAKNPPIEVDGDVEMMDTHNNAITHAGNEEGNATNEGNKASGQQSAAAAAAAAAAAQQQALKDRYAQQRTQVVDPMVADILSLSEIPRGDFLTGDENISVEAEVYQLGKQIISILAAPPVLAGNINSQSIHSEIGFTFASHSFGSEQLYRYVLFNVEDSSISM
+>sp|Q6SJ96|TBPL2_HUMAN TATA box-binding protein-like protein 2 OS=Homo sapiens OX=9606 GN=TBPL2 PE=2 SV=1
+MASAPWPERVPRLLAPRLPSYPPPPPTVGLRSMEQEETYLELYLDQCAAQDGLAPPRSPLFSPVVPYDMYILNASNPDTAFNSNPEVKETSGDFSSVDLSFLPDEVTQENKDQPVISKHETEENSESQSPQSRLPSPSEQDVGLGLNSSSLSNSHSQLHPGDTDSVQPSPEKPNSDSLSLASITPMTPMTPISECCGIVPQLQNIVSTVNLACKLDLKKIALHAKNAEYNPKRFAAVIMRIREPRTTALIFSSGKMVCTGAKSEEQSRLAARKYARVVQKLGFPARFLDFKIQNMVGSCDVRFPIRLEGLVLTHQQFSSYEPELFPGLIYRMVKPRIVLLIFVSGKVVLTGAKERSEIYEAFENIYPILKGFKKA
+>DECOY_sp|Q6SJ96|TBPL2_HUMAN TATA box-binding protein-like protein 2 OS=Homo sapiens OX=9606 GN=TBPL2 PE=2 SV=1
+AKKFGKLIPYINEFAEYIESREKAGTLVVKGSVFILLVIRPKVMRYILGPFLEPEYSSFQQHTLVLGELRIPFRVDCSGVMNQIKFDLFRAPFGLKQVVRAYKRAALRSQEESKAGTCVMKGSSFILATTRPERIRMIVAAFRKPNYEANKAHLAIKKLDLKCALNVTSVINQLQPVIGCCESIPTMPTMPTISALSLSDSNPKEPSPQVSDTDGPHLQSHSNSLSSSNLGLGVDQESPSPLRSQPSQSESNEETEHKSIVPQDKNEQTVEDPLFSLDVSSFDGSTEKVEPNSNFATDPNSANLIYMDYPVVPSFLPSRPPALGDQAACQDLYLELYTEEQEMSRLGVTPPPPPYSPLRPALLRPVREPWPASAM
+>sp|P20226|TBP_HUMAN TATA-box-binding protein OS=Homo sapiens OX=9606 GN=TBP PE=1 SV=2
+MDQNNSLPPYAQGLASPQGAMTPGIPIFSPMMPYGTGLTPQPIQNTNSLSILEEQQRQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQAVAAAAVQQSTSQQATQGTSGQAPQLFHSQTLTTAPLPGTTPLYPSPMTPMTPITPATPASESSGIVPQLQNIVSTVNLGCKLDLKTIALRARNAEYNPKRFAAVIMRIREPRTTALIFSSGKMVCTGAKSEEQSRLAARKYARVVQKLGFPAKFLDFKIQNMVGSCDVKFPIRLEGLVLTHQQFSSYEPELFPGLIYRMIKPRIVLLIFVSGKVVLTGAKVRAEIYEAFENIYPILKGFRKTT
+>DECOY_sp|P20226|TBP_HUMAN TATA-box-binding protein OS=Homo sapiens OX=9606 GN=TBP PE=1 SV=2
+TTKRFGKLIPYINEFAEYIEARVKAGTLVVKGSVFILLVIRPKIMRYILGPFLEPEYSSFQQHTLVLGELRIPFKVDCSGVMNQIKFDLFKAPFGLKQVVRAYKRAALRSQEESKAGTCVMKGSSFILATTRPERIRMIVAAFRKPNYEANRARLAITKLDLKCGLNVTSVINQLQPVIGSSESAPTAPTIPTMPTMPSPYLPTTGPLPATTLTQSHFLQPAQGSTGQTAQQSTSQQVAAAAVAQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQRQQEELISLSNTNQIPQPTLGTGYPMMPSFIPIGPTMAGQPSALGQAYPPLSNNQDM
+>sp|Q9Y458|TBX22_HUMAN T-box transcription factor TBX22 OS=Homo sapiens OX=9606 GN=TBX22 PE=1 SV=3
+MALSSRARAFSVEALVGRPSKRKLQDPIQAEQPELREKKGGEEEEERRSSAAGKSEPLEKQPKTEPSTSASSGCGSDSGYGNSSESLEEKDIQMELQGSELWKRFHDIGTEMIITKAGRRMFPSVRVKVKGLDPGKQYHVAIDVVPVDSKRYRYVYHSSQWMVAGNTDHLCIIPRFYVHPDSPCSGETWMRQIISFDRMKLTNNEMDDKGHIILQSMHKYKPRVHVIEQGSSVDLSQIQSLPTEGVKTFSFKETEFTTVTAYQNQQITKLKIERNPFAKGFRDTGRNRGVLDGLLETYPWRPSFTLDFKTFGADTQSGSSGSSPVTSSGGAPSPLNSLLSPLCFSPMFHLPTSSLGMPCPEAYLPNVNLPLCYKICPTNFWQQQPLVLPAPERLASSNSSQSLAPLMMEVPMLSSLGVTNSKSGSSEDSSDQYLQAPNSTNQMLYGLQSPGNIFLPNSITPEALSCSFHPSYDFYRYNFSMPSRLISGSNHLKVNDDSQVSFGEGKCNHVHWYPAINHYL
+>DECOY_sp|Q9Y458|TBX22_HUMAN T-box transcription factor TBX22 OS=Homo sapiens OX=9606 GN=TBX22 PE=1 SV=3
+LYHNIAPYWHVHNCKGEGFSVQSDDNVKLHNSGSILRSPMSFNYRYFDYSPHFSCSLAEPTISNPLFINGPSQLGYLMQNTSNPAQLYQDSSDESSGSKSNTVGLSSLMPVEMMLPALSQSSNSSALREPAPLVLPQQQWFNTPCIKYCLPLNVNPLYAEPCPMGLSSTPLHFMPSFCLPSLLSNLPSPAGGSSTVPSSGSSGSQTDAGFTKFDLTFSPRWPYTELLGDLVGRNRGTDRFGKAFPNREIKLKTIQQNQYATVTTFETEKFSFTKVGETPLSQIQSLDVSSGQEIVHVRPKYKHMSQLIIHGKDDMENNTLKMRDFSIIQRMWTEGSCPSDPHVYFRPIICLHDTNGAVMWQSSHYVYRYRKSDVPVVDIAVHYQKGPDLGKVKVRVSPFMRRGAKTIIMETGIDHFRKWLESGQLEMQIDKEELSESSNGYGSDSGCGSSASTSPETKPQKELPESKGAASSRREEEEEGGKKERLEPQEAQIPDQLKRKSPRGVLAEVSFARARSSLAM
+>sp|O95947|TBX6_HUMAN T-box transcription factor TBX6 OS=Homo sapiens OX=9606 GN=TBX6 PE=1 SV=2
+MYHPRELYPSLGAGYRLGPAQPGADSSFPPALAEGYRYPELDTPKLDCFLSGMEAAPRTLAAHPPLPLLPPAMGTEPAPSAPEALHSLPGVSLSLENRELWKEFSSVGTEMIITKAGRRMFPACRVSVTGLDPEARYLFLLDVIPVDGARYRWQGRRWEPSGKAEPRLPDRVYIHPDSPATGAHWMRQPVSFHRVKLTNSTLDPHGHLILHSMHKYQPRIHLVRAAQLCSQHWGGMASFRFPETTFISVTAYQNPQITQLKIAANPFAKGFRENGRNCKRERDARVKRKLRGPEPAATEAYGSGDTPGGPCDSTLGGDIRESDPEQAPAPGEATAAPAPLCGGPSAEAYLLHPAAFHGAPSHLPTRSPSFPEAPDSGRSAPYSAAFLELPHGSGGSGYPAAPPAVPFAPHFLQGGPFPLPYTAPGGYLDVGSKPMY
+>DECOY_sp|O95947|TBX6_HUMAN T-box transcription factor TBX6 OS=Homo sapiens OX=9606 GN=TBX6 PE=1 SV=2
+YMPKSGVDLYGGPATYPLPFPGGQLFHPAFPVAPPAAPYGSGGSGHPLELFAASYPASRGSDPAEPFSPSRTPLHSPAGHFAAPHLLYAEASPGGCLPAPAATAEGPAPAQEPDSERIDGGLTSDCPGGPTDGSGYAETAAPEPGRLKRKVRADRERKCNRGNERFGKAFPNAAIKLQTIQPNQYATVSIFTTEPFRFSAMGGWHQSCLQAARVLHIRPQYKHMSHLILHGHPDLTSNTLKVRHFSVPQRMWHAGTAPSDPHIYVRDPLRPEAKGSPEWRRGQWRYRAGDVPIVDLLFLYRAEPDLGTVSVRCAPFMRRGAKTIIMETGVSSFEKWLERNELSLSVGPLSHLAEPASPAPETGMAPPLLPLPPHAALTRPAAEMGSLFCDLKPTDLEPYRYGEALAPPFSSDAGPQAPGLRYGAGLSPYLERPHYM
+>sp|P49368|TCPG_HUMAN T-complex protein 1 subunit gamma OS=Homo sapiens OX=9606 GN=CCT3 PE=1 SV=4
+MMGHRPVLVLSQNTKRESGRKVQSGNINAAKTIADIIRTCLGPKSMMKMLLDPMGGIVMTNDGNAILREIQVQHPAAKSMIEISRTQDEEVGDGTTSVIILAGEMLSVAEHFLEQQMHPTVVISAYRKALDDMISTLKKISIPVDISDSDMMLNIINSSITTKAISRWSSLACNIALDAVKMVQFEENGRKEIDIKKYARVEKIPGGIIEDSCVLRGVMINKDVTHPRMRRYIKNPRIVLLDSSLEYKKGESQTDIEITREEDFTRILQMEEEYIQQLCEDIIQLKPDVVITEKGISDLAQHYLMRANITAIRRVRKTDNNRIARACGARIVSRPEELREDDVGTGAGLLEIKKIGDEYFTFITDCKDPKACTILLRGASKEILSEVERNLQDAMQVCRNVLLDPQLVPGGGASEMAVAHALTEKSKAMTGVEQWPYRAVAQALEVIPRTLIQNCGASTIRLLTSLRAKHTQENCETWGVNGETGTLVDMKELGIWEPLAVKLQTYKTAVETAVLLLRIDDIVSGHKKKGDDQSRQGGAPDAGQE
+>DECOY_sp|P49368|TCPG_HUMAN T-complex protein 1 subunit gamma OS=Homo sapiens OX=9606 GN=CCT3 PE=1 SV=4
+EQGADPAGGQRSQDDGKKKHGSVIDDIRLLLVATEVATKYTQLKVALPEWIGLEKMDVLTGTEGNVGWTECNEQTHKARLSTLLRITSAGCNQILTRPIVELAQAVARYPWQEVGTMAKSKETLAHAVAMESAGGGPVLQPDLLVNRCVQMADQLNREVESLIEKSAGRLLITCAKPDKCDTIFTFYEDGIKKIELLGAGTGVDDERLEEPRSVIRAGCARAIRNNDTKRVRRIATINARMLYHQALDSIGKETIVVDPKLQIIDECLQQIYEEEMQLIRTFDEERTIEIDTQSEGKKYELSSDLLVIRPNKIYRRMRPHTVDKNIMVGRLVCSDEIIGGPIKEVRAYKKIDIEKRGNEEFQVMKVADLAINCALSSWRSIAKTTISSNIINLMMDSDSIDVPISIKKLTSIMDDLAKRYASIVVTPHMQQELFHEAVSLMEGALIIVSTTGDGVEEDQTRSIEIMSKAAPHQVQIERLIANGDNTMVIGGMPDLLMKMMSKPGLCTRIIDAITKAANINGSQVKRGSERKTNQSLVLVPRHGMM
+>sp|A6NM43|TCPQL_HUMAN Putative T-complex protein 1 subunit theta-like 1 OS=Homo sapiens OX=9606 GN=CCT8L1P PE=5 SV=1
+MDSTVPSALELPQRLALNPRESPRSPEEEEPHLLSSLAAVQTLANVIRPCYGPHGRQKFLVTMKGETVCTGCATAILRALELEHPAAWLLREAAQTQAENSGDGTAFVVLLTEALLEQAEQLLKFGLPRPQLREAYATATAEVLATLPSLAIQSLGPLEDPSWALHSVMNTHTLPPMNHLTKLVAHACWAIKELDGSFKPERVGVCTLHGGTLEDSCLLQGLAISGKLCGQMAAVLSGARVALFACPFGPAHPNAPATACLSSPADLAQFSKGSDQLLEKQVGQLAAAGINVAVVLGEVDEETLTLADKYGIVVIQARSRMEIIYLSEVLDTPLLPRLLPPQRPGKCQRVYRQELGDGLAVVFEWECTGTPALTVVLRGATTQGLRSAEQAVYHSIDAYFQPCQDPRLIPGAGATEMALAKMLSDKGSRLEGPNGPAFLAFARALKYLPKTLAENAGLAVSDVVAEMSGVHQGGNLLMGVGAEGIINVAQEGVWDTLIVKAQGFRAVAEVVLQLVTVDEIVVAKKSPTHQQIWNPDSKKTKKRPPPVEKKKILGMNN
+>DECOY_sp|A6NM43|TCPQL_HUMAN Putative T-complex protein 1 subunit theta-like 1 OS=Homo sapiens OX=9606 GN=CCT8L1P PE=5 SV=1
+NNMGLIKKKEVPPPRKKTKKSDPNWIQQHTPSKKAVVIEDVTVLQLVVEAVARFGQAKVILTDWVGEQAVNIIGEAGVGMLLNGGQHVGSMEAVVDSVALGANEALTKPLYKLARAFALFAPGNPGELRSGKDSLMKALAMETAGAGPILRPDQCPQFYADISHYVAQEASRLGQTTAGRLVVTLAPTGTCEWEFVVALGDGLEQRYVRQCKGPRQPPLLRPLLPTDLVESLYIIEMRSRAQIVVIGYKDALTLTEEDVEGLVVAVNIGAAALQGVQKELLQDSGKSFQALDAPSSLCATAPANPHAPGFPCAFLAVRAGSLVAAMQGCLKGSIALGQLLCSDELTGGHLTCVGVREPKFSGDLEKIAWCAHAVLKTLHNMPPLTHTNMVSHLAWSPDELPGLSQIALSPLTALVEATATAYAERLQPRPLGFKLLQEAQELLAETLLVVFATGDGSNEAQTQAAERLLWAAPHELELARLIATACGTCVTEGKMTVLFKQRGHPGYCPRIVNALTQVAALSSLLHPEEEEPSRPSERPNLALRQPLELASPVTSDM
+>sp|O15040|TCPR2_HUMAN Tectonin beta-propeller repeat-containing protein 2 OS=Homo sapiens OX=9606 GN=TECPR2 PE=1 SV=4
+MASISEPVTFREFCPLYYLLNAIPTKIQKGFRSIVVYLTALDTNGDYIAVGSSIGMLYLYCRHLNQMRKYNFEGKTESITVVKLLSCFDDLVAAGTASGRVAVFQLVSSLPGRNKQLRRFDVTGIHKNSITALAWSPNGMKLFSGDDKGKIVYSSLDLDQGLCNSQLVLEEPSSIVQLDYSQKVLLVSTLQRSLLFYTEEKSVRQIGTQPRKSTGKFGACFIPGLCKQSDLTLYASRPGLRLWKADVHGTVQATFILKDAFAGGVKPFELHPRLESPNSGSCSLPERHLGLVSCFFQEGWVLSWNEYSIYLLDTVNQATVAGLEGSGDIVSVSCTENEIFFLKGDRNIIRISSRPEGLTSTVRDGLEMSGCSERVHVQQAEKLPGATVSETRLRGSSMASSVASEPRSRSSSLNSTDSGSGLLPPGLQATPELGKGSQPLSQRFNAISSEDFDQELVVKPIKVKRKKKKKKTEGGSRSTCHSSLESTPCSEFPGDSPQSLNTDLLSMTSSVLGSSVDQLSAESPDQESSFNGEVNGVPQENTDPETFNVLEVSGSMPDSLAEEDDIRTEMPHCHHAHGRELLNGAREDVGGSDVTGLGDEPCPADDGPNSTQLPFQEQDSSPGAHDGEDIQPIGPQSTFCEVPLLNSLTVPSSLSWAPSAEQWLPGTRADEGSPVEPSQEQDILTSMEASGHLSTNLWHAVTDDDTGQKEIPISERVLGSVGGQLTPVSALAASTHKPWLEQPPRDQTLTSSDEEDIYAHGLPSSSSETSVTELGPSCSQQDLSRLGAEDAGLLKPDQFAESWMGYSGPGYGILSLVVSEKYIWCLDYKGGLFCSALPGAGLRWQKFEDAVQQVAVSPSGALLWKIEQKSNRAFACGKVTIKGKRHWYEALPQAVFVALSDDTAWIIRTSGDLYLQTGLSVDRPCARAVKVDCPYPLSQITARNNVVWALTEQRALLYREGVSSFCPEGEQWKCDIVSERQALEPVCITLGDQQTLWALDIHGNLWFRTGIISKKPQGDDDHWWQVSITDYVVFDQCSLFQTIIHATHSVATAAQAPVEKVADKLRMAFWSQQLQCQPSLLGVNNSGVWISSGKNEFHVAKGSLIGTYWNHVVPRGTASATKWAFVLASAAPTKEGSFLWLCQSSKDLCSVSAQSAQSRPSTVQLPPEAEMRAYAACQDALWALDSLGQVFIRTLSKSCPTGMHWTRLDLSQLGAVKLTSLACGNQHIWACDSRGGVYFRVGTQPLNPSLMLPAWIMIEPPVQPAGVSLVSVHSSPNDQMLWVLDSRWNVHVRTGITEEMPVGTAWEHVPGLQACQLALSTRTVWARCPNGDLARRYGVTDKNPAGDYWKKIPGSVSCFTVTASDELWAVGPPGYLLQRLTKTFSHSHGTQKSSQAAMPHPEDLEDEWEVI
+>DECOY_sp|O15040|TCPR2_HUMAN Tectonin beta-propeller repeat-containing protein 2 OS=Homo sapiens OX=9606 GN=TECPR2 PE=1 SV=4
+IVEWEDELDEPHPMAAQSSKQTGHSHSFTKTLRQLLYGPPGVAWLEDSATVTFCSVSGPIKKWYDGAPNKDTVGYRRALDGNPCRAWVTRTSLALQCAQLGPVHEWATGVPMEETIGTRVHVNWRSDLVWLMQDNPSSHVSVLSVGAPQVPPEIMIWAPLMLSPNLPQTGVRFYVGGRSDCAWIHQNGCALSTLKVAGLQSLDLRTWHMGTPCSKSLTRIFVQGLSDLAWLADQCAAYARMEAEPPLQVTSPRSQASQASVSCLDKSSQCLWLFSGEKTPAASALVFAWKTASATGRPVVHNWYTGILSGKAVHFENKGSSIWVGSNNVGLLSPQCQLQQSWFAMRLKDAVKEVPAQAATAVSHTAHIITQFLSCQDFVVYDTISVQWWHDDDGQPKKSIIGTRFWLNGHIDLAWLTQQDGLTICVPELAQRESVIDCKWQEGEPCFSSVGERYLLARQETLAWVVNNRATIQSLPYPCDVKVARACPRDVSLGTQLYLDGSTRIIWATDDSLAVFVAQPLAEYWHRKGKITVKGCAFARNSKQEIKWLLAGSPSVAVQQVADEFKQWRLGAGPLASCFLGGKYDLCWIYKESVVLSLIGYGPGSYGMWSEAFQDPKLLGADEAGLRSLDQQSCSPGLETVSTESSSSPLGHAYIDEEDSSTLTQDRPPQELWPKHTSAALASVPTLQGGVSGLVRESIPIEKQGTDDDTVAHWLNTSLHGSAEMSTLIDQEQSPEVPSGEDARTGPLWQEASPAWSLSSPVTLSNLLPVECFTSQPGIPQIDEGDHAGPSSDQEQFPLQTSNPGDDAPCPEDGLGTVDSGGVDERAGNLLERGHAHHCHPMETRIDDEEALSDPMSGSVELVNFTEPDTNEQPVGNVEGNFSSEQDPSEASLQDVSSGLVSSTMSLLDTNLSQPSDGPFESCPTSELSSHCTSRSGGETKKKKKKRKVKIPKVVLEQDFDESSIANFRQSLPQSGKGLEPTAQLGPPLLGSGSDTSNLSSSRSRPESAVSSAMSSGRLRTESVTAGPLKEAQQVHVRESCGSMELGDRVTSTLGEPRSSIRIINRDGKLFFIENETCSVSVIDGSGELGAVTAQNVTDLLYISYENWSLVWGEQFFCSVLGLHREPLSCSGSNPSELRPHLEFPKVGGAFADKLIFTAQVTGHVDAKWLRLGPRSAYLTLDSQKCLGPIFCAGFKGTSKRPQTGIQRVSKEETYFLLSRQLTSVLLVKQSYDLQVISSPEELVLQSNCLGQDLDLSSYVIKGKDDGSFLKMGNPSWALATISNKHIGTVDFRRLQKNRGPLSSVLQFVAVRGSATGAAVLDDFCSLLKVVTISETKGEFNYKRMQNLHRCYLYLMGISSGVAIYDGNTDLATLYVVISRFGKQIKTPIANLLYYLPCFERFTVPESISAM
+>sp|Q9NYB0|TE2IP_HUMAN Telomeric repeat-binding factor 2-interacting protein 1 OS=Homo sapiens OX=9606 GN=TERF2IP PE=1 SV=1
+MAEAMDLGKDPNGPTHSSTLFVRDDGSSMSFYVRPSPAKRRLSTLILHGGGTVCRVQEPGAVLLAQPGEALAEASGDFISTQYILDCVERNERLELEAYRLGPASAADTGSEAKPGALAEGAAEPEPQRHAGRIAFTDADDVAILTYVKENARSPSSVTGNALWKAMEKSSLTQHSWQSLKDRYLKHLRGQEHKYLLGDAPVSPSSQKLKRKAEEDPEAADSGEPQNKRTPDLPEEEYVKEEIQENEEAVKKMLVEATREFEEVVVDESPPDFEIHITMCDDDPPTPEEDSETQPDEEEEEEEEKVSQPEVGAAIKIIRQLMEKFNLDLSTVTQAFLKNSGELEATSAFLASGQRADGYPIWSRQDDIDLQKDDEDTREALVKKFGAQNVARRIEFRKK
+>DECOY_sp|Q9NYB0|TE2IP_HUMAN Telomeric repeat-binding factor 2-interacting protein 1 OS=Homo sapiens OX=9606 GN=TERF2IP PE=1 SV=1
+KKRFEIRRAVNQAGFKKVLAERTDEDDKQLDIDDQRSWIPYGDARQGSALFASTAELEGSNKLFAQTVTSLDLNFKEMLQRIIKIAAGVEPQSVKEEEEEEEEDPQTESDEEPTPPDDDCMTIHIEFDPPSEDVVVEEFERTAEVLMKKVAEENEQIEEKVYEEEPLDPTRKNQPEGSDAAEPDEEAKRKLKQSSPSVPADGLLYKHEQGRLHKLYRDKLSQWSHQTLSSKEMAKWLANGTVSSPSRANEKVYTLIAVDDADTFAIRGAHRQPEPEAAGEALAGPKAESGTDAASAPGLRYAELELRENREVCDLIYQTSIFDGSAEALAEGPQALLVAGPEQVRCVTGGGHLILTSLRRKAPSPRVYFSMSSGDDRVFLTSSHTPGNPDKGLDMAEAM
+>sp|Q2MV58|TECT1_HUMAN Tectonic-1 OS=Homo sapiens OX=9606 GN=TCTN1 PE=2 SV=2
+MRPRGLPPLLVVLLGCWASVSAQTDATPAVTTEGLNSTEAALATFGTFPSTRPPGTPRAPGPSSGPRPTPVTDVAVLCVCDLSPAQCDINCCCDPDCSSVDFSVFSACSVPVVTGDSQFCSQKAVIYSLNFTANPPQRVFELVDQINPSIFCIHITNYKPALSFINPEVPDENNFDTLMKTSDGFTLNAESYVSFTTKLDIPTAAKYEYGVPLQTSDSFLRFPSSLTSSLCTDNNPAAFLVNQAVKCTRKINLEQCEEIEALSMAFYSSPEILRVPDSRKKVPITVQSIVIQSLNKTLTRREDTDVLQPTLVNAGHFSLCVNVVLEVKYSLTYTDAGEVTKADLSFVLGTVSSVVVPLQQKFEIHFLQENTQPVPLSGNPGYVVGLPLAAGFQPHKGSGIIQTTNRYGQLTILHSTTEQDCLALEGVRTPVLFGYTMQSGCKLRLTGALPCQLVAQKVKSLLWGQGFPDYVAPFGNSQAQDMLDWVPIHFITQSFNRKDSCQLPGALVIEVKWTKYGSLLNPQAKIVNVTANLISSSFPEANSGNERTILISTAVTFVDVSAPAEAGFRAPPAINARLPFNFFFPFV
+>DECOY_sp|Q2MV58|TECT1_HUMAN Tectonic-1 OS=Homo sapiens OX=9606 GN=TCTN1 PE=2 SV=2
+VFPFFFNFPLRANIAPPARFGAEAPASVDVFTVATSILITRENGSNAEPFSSSILNATVNVIKAQPNLLSGYKTWKVEIVLAGPLQCSDKRNFSQTIFHIPVWDLMDQAQSNGFPAVYDPFGQGWLLSKVKQAVLQCPLAGTLRLKCGSQMTYGFLVPTRVGELALCDQETTSHLITLQGYRNTTQIIGSGKHPQFGAALPLGVVYGPNGSLPVPQTNEQLFHIEFKQQLPVVVSSVTGLVFSLDAKTVEGADTYTLSYKVELVVNVCLSFHGANVLTPQLVDTDERRTLTKNLSQIVISQVTIPVKKRSDPVRLIEPSSYFAMSLAEIEECQELNIKRTCKVAQNVLFAAPNNDTCLSSTLSSPFRLFSDSTQLPVGYEYKAATPIDLKTTFSVYSEANLTFGDSTKMLTDFNNEDPVEPNIFSLAPKYNTIHICFISPNIQDVLEFVRQPPNATFNLSYIVAKQSCFQSDGTVVPVSCASFVSFDVSSCDPDCCCNIDCQAPSLDCVCLVAVDTVPTPRPGSSPGPARPTGPPRTSPFTGFTALAAETSNLGETTVAPTADTQASVSAWCGLLVVLLPPLGRPRM
+>sp|Q6NUS6|TECT3_HUMAN Tectonic-3 OS=Homo sapiens OX=9606 GN=TCTN3 PE=1 SV=2
+MRTPQLALLQVFFLVFPDGVRPQPSSSPSGAVPTSLELQRGTDGGTLQSPSEATATRPAVPGLPTVVPTLVTPSAPGNRTVDLFPVLPICVCDLTPGACDINCCCDRDCYLLHPRTVFSFCLPGSVRSSSWVCVDNSVIFRSNSPFPSRVFMDSNGIRQFCVHVNNSNLNYFQKLQKVNATNFQALAAEFGGESFTSTFQTQSPPSFYRAGDPILTYFPKWSVISLLRQPAGVGAGGLCAESNPAGFLESKSTTCTRFFKNLASSCTLDSALNAASYYNFTVLKVPRSMTDPQNMEFQVPVILTSQANAPLLAGNTCQNVVSQVTYEIETNGTFGIQKVSVSLGQTNLTVEPGASLQQHFILRFRAFQQSTAASLTSPRSGNPGYIVGKPLLALTDDISYSMTLLQSQGNGSCSVKRHEVQFGVNAISGCKLRLKKADCSHLQQEIYQTLHGRPRPEYVAIFGNADPAQKGGWTRILNRHCSISAINCTSCCLIPVSLEIQVLWAYVGLLSNPQAHVSGVRFLYQCQSIQDSQQVTEVSLTTLVNFVDITQKPQPPRGQPKMDWKWPFDFFPFKVAFSRGVFSQKCSVSPILILCLLLLGVLNLETM
+>DECOY_sp|Q6NUS6|TECT3_HUMAN Tectonic-3 OS=Homo sapiens OX=9606 GN=TCTN3 PE=1 SV=2
+MTELNLVGLLLLCLILIPSVSCKQSFVGRSFAVKFPFFDFPWKWDMKPQGRPPQPKQTIDVFNVLTTLSVETVQQSDQISQCQYLFRVGSVHAQPNSLLGVYAWLVQIELSVPILCCSTCNIASISCHRNLIRTWGGKQAPDANGFIAVYEPRPRGHLTQYIEQQLHSCDAKKLRLKCGSIANVGFQVEHRKVSCSGNGQSQLLTMSYSIDDTLALLPKGVIYGPNGSRPSTLSAATSQQFARFRLIFHQQLSAGPEVTLNTQGLSVSVKQIGFTGNTEIEYTVQSVVNQCTNGALLPANAQSTLIVPVQFEMNQPDTMSRPVKLVTFNYYSAANLASDLTCSSALNKFFRTCTTSKSELFGAPNSEACLGGAGVGAPQRLLSIVSWKPFYTLIPDGARYFSPPSQTQFTSTFSEGGFEAALAQFNTANVKQLKQFYNLNSNNVHVCFQRIGNSDMFVRSPFPSNSRFIVSNDVCVWSSSRVSGPLCFSFVTRPHLLYCDRDCCCNIDCAGPTLDCVCIPLVPFLDVTRNGPASPTVLTPVVTPLGPVAPRTATAESPSQLTGGDTGRQLELSTPVAGSPSSSPQPRVGDPFVLFFVQLLALQPTRM
+>sp|Q9UIF3|TEKT2_HUMAN Tektin-2 OS=Homo sapiens OX=9606 GN=TEKT2 PE=1 SV=1
+MATLSVKPSRRFQLPDWHTNSYLLSTNAQLQRDASHQIRQEARVLRNETNNQTIWDEHDNRTRLVERIDTVNRWKEMLDKCLTDLDAEIDALTQMKESAEQNLQAKNLPLDVAIECLTLRESRRDIDVVKDPVEDELHKEVEVIEATKKALQQKVSQAFEQLCLLQEVQQQLNSDHRGKMETLEIDRGCLSLNLRSPNISLKVDPTRVPDGSTTLQQWDDFSRFNKDRAEAEMKAATELREATALTIAETNNELEAQRVATEFAFRKRLREMEKVYSELKWQEKNTLEEIAELQEDIRHLEEDLRTKLLSLKLSHTRLEARTYRPNVELCRDQAQYGLTDEVHQLEATIAALKQKLAQAQDALDALCKHLARLQADIACKANSMLLDTKCMDTRRKLTVPAERFVPEVDTFTRTTNSTLSPLKSCQLELA
+>DECOY_sp|Q9UIF3|TEKT2_HUMAN Tektin-2 OS=Homo sapiens OX=9606 GN=TEKT2 PE=1 SV=1
+ALELQCSKLPSLTSNTTRTFTDVEPVFREAPVTLKRRTDMCKTDLLMSNAKCAIDAQLRALHKCLADLADQAQALKQKLAAITAELQHVEDTLGYQAQDRCLEVNPRYTRAELRTHSLKLSLLKTRLDEELHRIDEQLEAIEELTNKEQWKLESYVKEMERLRKRFAFETAVRQAELENNTEAITLATAERLETAAKMEAEARDKNFRSFDDWQQLTTSGDPVRTPDVKLSINPSRLNLSLCGRDIELTEMKGRHDSNLQQQVEQLLCLQEFAQSVKQQLAKKTAEIVEVEKHLEDEVPDKVVDIDRRSERLTLCEIAVDLPLNKAQLNQEASEKMQTLADIEADLDTLCKDLMEKWRNVTDIREVLRTRNDHEDWITQNNTENRLVRAEQRIQHSADRQLQANTSLLYSNTHWDPLQFRRSPKVSLTAM
+>sp|Q9BXF9|TEKT3_HUMAN Tektin-3 OS=Homo sapiens OX=9606 GN=TEKT3 PE=1 SV=1
+MERVGCTLTTTYAHPRPTPTNFLPAISTMASSYRDRFPHSNLTHSLSLPWRPSTYYKVASNSPSVAPYCTRSQRVSENTMLPFVSNRTTFFTRYTPDDWYRSNLTNYQESNTSRHNSEKLRVDTSRLIQDKYQQTRKTQADTTQNLGERVNDIGFWKSEIIHELDEMIGETNALTDVKKRLERALMETEAPLQVARECLFHREKRMGIDLVHDEVEAQLLTEVDTILCCQERMKLHLDKAIAQLAANRASQHELEKDLSDKQTAYRIDDKCHHLRNTSDGVGYFRGVERVDATVSVPESWAKFTDDNILRSQSERAASAKLRDDIENLLVVTANEMWNQFNKVNLSFTNRIAETADAKNKIQTHLAKTLQEIFQTEMTIESIKKAIKDKTAFLKVAQTRLDERTRRPNIELCRDMAQLRLVNEVHEVDDTIQTLQQRLRDAEDTLQSLVHIKATLEYDLAVKANSLYIDQEKCMSMRKSYPNTLRLVGFC
+>DECOY_sp|Q9BXF9|TEKT3_HUMAN Tektin-3 OS=Homo sapiens OX=9606 GN=TEKT3 PE=1 SV=1
+CFGVLRLTNPYSKRMSMCKEQDIYLSNAKVALDYELTAKIHVLSQLTDEADRLRQQLTQITDDVEHVENVLRLQAMDRCLEINPRRTREDLRTQAVKLFATKDKIAKKISEITMETQFIEQLTKALHTQIKNKADATEAIRNTFSLNVKNFQNWMENATVVLLNEIDDRLKASAARESQSRLINDDTFKAWSEPVSVTADVREVGRFYGVGDSTNRLHHCKDDIRYATQKDSLDKELEHQSARNAALQAIAKDLHLKMREQCCLITDVETLLQAEVEDHVLDIGMRKERHFLCERAVQLPAETEMLARELRKKVDTLANTEGIMEDLEHIIESKWFGIDNVREGLNQTTDAQTKRTQQYKDQILRSTDVRLKESNHRSTNSEQYNTLNSRYWDDPTYRTFFTTRNSVFPLMTNESVRQSRTCYPAVSPSNSAVKYYTSPRWPLSLSHTLNSHPFRDRYSSAMTSIAPLFNTPTPRPHAYTTTLTCGVREM
+>sp|Q86WV5|TEN1L_HUMAN CST complex subunit TEN1 OS=Homo sapiens OX=9606 GN=TEN1 PE=1 SV=2
+MMLPKPGTYYLPWEVSAGQVPDGSTLRTFGRLCLYDMIQSRVTLMAQHGSDQHQVLVCTKLVEPFHAQVGSLYIVLGELQHQQDRGSVVKARVLTCVEGMNLPLLEQAIREQRLYKQERGGSQ
+>DECOY_sp|Q86WV5|TEN1L_HUMAN CST complex subunit TEN1 OS=Homo sapiens OX=9606 GN=TEN1 PE=1 SV=2
+QSGGREQKYLRQERIAQELLPLNMGEVCTLVRAKVVSGRDQQHQLEGLVIYLSGVQAHFPEVLKTCVLVQHQDSGHQAMLTVRSQIMDYLCLRGFTRLTSGDPVQGASVEWPLYYTGPKPLMM
+>sp|Q6URK8|TEPP_HUMAN Testis, prostate and placenta-expressed protein OS=Homo sapiens OX=9606 GN=TEPP PE=2 SV=3
+MGIVCAQCSFILLLSIIRARPPPFLFCPLSSQRTESPYKPVHLGLGPTDKVAAIAMARIIDLVPWDDGSTHVYASPAILLPMERQRNQLAGVKQQLYHPALPTLRHMDRDTVKACLPDEHCQSTTYCRKDEFDNAHFTLLGVPNKPLQCLDITATGQKLRNRYHEGKLAPIAPGINRVDWPCFTRAIEDWSHFVSSAGEFKLPCLRKRAEGLSGYAVRYLKPDVTQTWRYCLSQNPSLDRYGQKPLPFDSLNTFRSFGSSYSRVNYLTPWH
+>DECOY_sp|Q6URK8|TEPP_HUMAN Testis, prostate and placenta-expressed protein OS=Homo sapiens OX=9606 GN=TEPP PE=2 SV=3
+HWPTLYNVRSYSSGFSRFTNLSDFPLPKQGYRDLSPNQSLCYRWTQTVDPKLYRVAYGSLGEARKRLCPLKFEGASSVFHSWDEIARTFCPWDVRNIGPAIPALKGEHYRNRLKQGTATIDLCQLPKNPVGLLTFHANDFEDKRCYTTSQCHEDPLCAKVTDRDMHRLTPLAPHYLQQKVGALQNRQREMPLLIAPSAYVHTSGDDWPVLDIIRAMAIAAVKDTPGLGLHVPKYPSETRQSSLPCFLFPPPRARIISLLLIFSCQACVIGM
+>sp|Q15569|TESK1_HUMAN Dual specificity testis-specific protein kinase 1 OS=Homo sapiens OX=9606 GN=TESK1 PE=1 SV=2
+MAGERPPLRGPGPGPGEVPGEGPPGPGGTGGGPGRGRPSSYRALRSAVSSLARVDDFHCAEKIGAGFFSEVYKVRHRQSGQVMVLKMNKLPSNRGNTLREVQLMNRLRHPNILRFMGVCVHQGQLHALTEYMNGGTLEQLLSSPEPLSWPVRLHLALDIARGLRYLHSKGVFHRDLTSKNCLVRREDRGFTAVVGDFGLAEKIPVYREGARKEPLAVVGSPYWMAPEVLRGELYDEKADVFAFGIVLCELIARVPADPDYLPRTEDFGLDVPAFRTLVGDDCPLPFLLLAIHCCNLEPSTRAPFTEITQHLEWILEQLPEPAPLTRTALTHNQGSVARGGPSATLPRPDPRLSRSRSDLFLPPSPESPPNWGDNLTRVNPFSLREDLRGGKIKLLDTPSKPVLPLVPPSPFPSTQLPLVTTPETLVQPGTPARRCRSLPSSPELPRRMETALPGPGPPAVGPSAEEKMECEGSSPEPEPPGPAPQLPLAVATDNFISTCSSASQPWSPRSGPVLNNNPPAVVVNSPQGWAGEPWNRAQHSLPRAAALERTEPSPPPSAPREPDEGLPCPGCCLGPFSFGFLSMCPRPTPAVARYRNLNCEAGSLLCHRGHHAKPPTPSLQLPGARS
+>DECOY_sp|Q15569|TESK1_HUMAN Dual specificity testis-specific protein kinase 1 OS=Homo sapiens OX=9606 GN=TESK1 PE=1 SV=2
+SRAGPLQLSPTPPKAHHGRHCLLSGAECNLNRYRAVAPTPRPCMSLFGFSFPGLCCGPCPLGEDPERPASPPPSPETRELAAARPLSHQARNWPEGAWGQPSNVVVAPPNNNLVPGSRPSWPQSASSCTSIFNDTAVALPLQPAPGPPEPEPSSGECEMKEEASPGVAPPGPGPLATEMRRPLEPSSPLSRCRRAPTGPQVLTEPTTVLPLQTSPFPSPPVLPLVPKSPTDLLKIKGGRLDERLSFPNVRTLNDGWNPPSEPSPPLFLDSRSRSLRPDPRPLTASPGGRAVSGQNHTLATRTLPAPEPLQELIWELHQTIETFPARTSPELNCCHIALLLFPLPCDDGVLTRFAPVDLGFDETRPLYDPDAPVRAILECLVIGFAFVDAKEDYLEGRLVEPAMWYPSGVVALPEKRAGERYVPIKEALGFDGVVATFGRDERRVLCNKSTLDRHFVGKSHLYRLGRAIDLALHLRVPWSLPEPSSLLQELTGGNMYETLAHLQGQHVCVGMFRLINPHRLRNMLQVERLTNGRNSPLKNMKLVMVQGSQRHRVKYVESFFGAGIKEACHFDDVRALSSVASRLARYSSPRGRGPGGGTGGPGPPGEGPVEGPGPGPGRLPPREGAM
+>sp|Q96S53|TESK2_HUMAN Dual specificity testis-specific protein kinase 2 OS=Homo sapiens OX=9606 GN=TESK2 PE=1 SV=1
+MDRSKRNSIAGFPPRVERLEEFEGGGGGEGNVSQVGRVWPSSYRALISAFSRLTRLDDFTCEKIGSGFFSEVFKVRHRASGQVMALKMNTLSSNRANMLKEVQLMNRLSHPNILRFMGVCVHQGQLHALTEYINSGNLEQLLDSNLHLPWTVRVKLAYDIAVGLSYLHFKGIFHRDLTSKNCLIKRDENGYSAVVADFGLAEKIPDVSMGSEKLAVVGSPFWMAPEVLRDEPYNEKADVFSYGIILCEIIARIQADPDYLPRTENFGLDYDAFQHMVGDCPPDFLQLTFNCCNMDPKLRPSFVEIGKTLEEILSRLQEEEQERDRKLQPTARGLLEKAPGVKRLSSLDDKIPHKSPCPRRTIWLSRSQSDIFSRKPPRTVSVLDPYYRPRDGAARTPKVNPFSARQDLMGGKIKFFDLPSKSVISLVFDLDAPGPGTMPLADWQEPLAPPIRRWRSLPGSPEFLHQEACPFVGREESLSDGPPPRLSSLKYRVKEIPPFRASALPAAQAHEAMDCSILQEENGFGSRPQGTSPCPAGASEEMEVEERPAGSTPATFSTSGIGLQTQGKQDG
+>DECOY_sp|Q96S53|TESK2_HUMAN Dual specificity testis-specific protein kinase 2 OS=Homo sapiens OX=9606 GN=TESK2 PE=1 SV=1
+GDQKGQTQLGIGSTSFTAPTSGAPREEVEMEESAGAPCPSTGQPRSGFGNEEQLISCDMAEHAQAAPLASARFPPIEKVRYKLSSLRPPPGDSLSEERGVFPCAEQHLFEPSGPLSRWRRIPPALPEQWDALPMTGPGPADLDFVLSIVSKSPLDFFKIKGGMLDQRASFPNVKPTRAAGDRPRYYPDLVSVTRPPKRSFIDSQSRSLWITRRPCPSKHPIKDDLSSLRKVGPAKELLGRATPQLKRDREQEEEQLRSLIEELTKGIEVFSPRLKPDMNCCNFTLQLFDPPCDGVMHQFADYDLGFNETRPLYDPDAQIRAIIECLIIGYSFVDAKENYPEDRLVEPAMWFPSGVVALKESGMSVDPIKEALGFDAVVASYGNEDRKILCNKSTLDRHFIGKFHLYSLGVAIDYALKVRVTWPLHLNSDLLQELNGSNIYETLAHLQGQHVCVGMFRLINPHSLRNMLQVEKLMNARNSSLTNMKLAMVQGSARHRVKFVESFFGSGIKECTFDDLRTLRSFASILARYSSPWVRGVQSVNGEGGGGGEFEELREVRPPFGAISNRKSRDM
+>sp|Q16143|SYUB_HUMAN Beta-synuclein OS=Homo sapiens OX=9606 GN=SNCB PE=1 SV=1
+MDVFMKGLSMAKEGVVAAAEKTKQGVTEAAEKTKEGVLYVGSKTREGVVQGVASVAEKTKEQASHLGGAVFSGAGNIAAATGLVKREEFPTDLKPEEVAQEAAEEPLIEPLMEPEGESYEDPPQEEYQEYEPEA
+>DECOY_sp|Q16143|SYUB_HUMAN Beta-synuclein OS=Homo sapiens OX=9606 GN=SNCB PE=1 SV=1
+AEPEYEQYEEQPPDEYSEGEPEMLPEILPEEAAEQAVEEPKLDTPFEERKVLGTAAAINGAGSFVAGGLHSAQEKTKEAVSAVGQVVGERTKSGVYLVGEKTKEAAETVGQKTKEAAAVVGEKAMSLGKMFVDM
+>sp|Q5H9J9|T11X2_HUMAN T-complex protein 11 X-linked protein 2 OS=Homo sapiens OX=9606 GN=TCP11X2 PE=3 SV=1
+MYNAFWNHLKEQLLSTPPDFTCALELLKDVKENRLRNEIEEALDTDLLKQEAEHGALDVPHLSNYILNLMALLCAPVRDEAIQKLETIRDPVQLLRGILRVLGLMKMDMVNYTIQSFRPYLQEHSIQYEQAKFQELLDKQPSLLDYTTKWLTKAATDITTLCPSSPDSPSSSCSMACSLPSGAGNNSEPPSPTMVLYQGYLNLLLWDLENVEFPETLLMDRIRLQELAFQLHQLTVLASVLLVARSFSGEVLFRSPEFVDRLKCTTKALTEEFISRPEETMLSVSEQVSQEVHQGLKDMGLTTLSSENTASLLGQLQNITKKENCIRSIVDQWIRFFLKCCLLHGMQESLLHFPGGLILIEKELAELGWKFLNLMHHNQQVFGPYYAEILKHIIHPAQAQETDVEPN
+>DECOY_sp|Q5H9J9|T11X2_HUMAN T-complex protein 11 X-linked protein 2 OS=Homo sapiens OX=9606 GN=TCP11X2 PE=3 SV=1
+NPEVDTEQAQAPHIIHKLIEAYYPGFVQQNHHMLNLFKWGLEALEKEILILGGPFHLLSEQMGHLLCCKLFFRIWQDVISRICNEKKTINQLQGLLSATNESSLTTLGMDKLGQHVEQSVQESVSLMTEEPRSIFEETLAKTTCKLRDVFEPSRFLVEGSFSRAVLLVSALVTLQHLQFALEQLRIRDMLLTEPFEVNELDWLLLNLYGQYLVMTPSPPESNNGAGSPLSCAMSCSSSPSDPSSPCLTTIDTAAKTLWKTTYDLLSPQKDLLEQFKAQEYQISHEQLYPRFSQITYNVMDMKMLGLVRLIGRLLQVPDRITELKQIAEDRVPACLLAMLNLIYNSLHPVDLAGHEAEQKLLDTDLAEEIENRLRNEKVDKLLELACTFDPPTSLLQEKLHNWFANYM
+>sp|Q8N4L1|T151A_HUMAN Transmembrane protein 151A OS=Homo sapiens OX=9606 GN=TMEM151A PE=2 SV=1
+MPEDGAGDGGEVPALIPDGEPLREEQRPLKQSLGSSLCRESHWKCLLLTLLIHACGAVVAWCRLATVPRLVLGPEAALARGAGGPPPTYPASPCSDGYLYIPLAFVSLLYLLYLAECWHCHVRSCQAPRTDAHTVLALIRRLQQAPPCVWWKATSYHYVRRTRQITRYRNGDAYTTTQVYHERADSRTARGEFDYSAHGVRDVSKELVGLAEHAATRLRFTKCFSFGSAEAEASYLTQRARFFSANEGLDDYLEAREGMHLKDVDFRESLMVFADPRSPPWYARAWVFWLVSAATLSWPLRVVAAYGTAHVHYQVEKLFGASSPPPGAVPSGPPLSRVATVDFTELEWHICSNRQLVPSYSEAVVMGAGSGAYLRGCQRCRRSVSSNSLPPARPSGPRLPFSRSRLSLGAGGRATPGVFRSLSGGPLGRRGEDTEPLESPPCYEDALYFPVLIVHGDSGCQGDGQGAL
+>DECOY_sp|Q8N4L1|T151A_HUMAN Transmembrane protein 151A OS=Homo sapiens OX=9606 GN=TMEM151A PE=2 SV=1
+LAGQGDGQCGSDGHVILVPFYLADEYCPPSELPETDEGRRGLPGGSLSRFVGPTARGGAGLSLRSRSFPLRPGSPRAPPLSNSSVSRRCRQCGRLYAGSGAGMVVAESYSPVLQRNSCIHWELETFDVTAVRSLPPGSPVAGPPPSSAGFLKEVQYHVHATGYAAVVRLPWSLTAASVLWFVWARAYWPPSRPDAFVMLSERFDVDKLHMGERAELYDDLGENASFFRARQTLYSAEAEASGFSFCKTFRLRTAAHEALGVLEKSVDRVGHASYDFEGRATRSDAREHYVQTTTYADGNRYRTIQRTRRVYHYSTAKWWVCPPAQQLRRILALVTHADTRPAQCSRVHCHWCEALYLLYLLSVFALPIYLYGDSCPSAPYTPPPGGAGRALAAEPGLVLRPVTALRCWAVVAGCAHILLTLLLCKWHSERCLSSGLSQKLPRQEERLPEGDPILAPVEGGDGAGDEPM
+>sp|Q8NDZ6|T161B_HUMAN Transmembrane protein 161B OS=Homo sapiens OX=9606 GN=TMEM161B PE=2 SV=1
+MGVIGIQLVVTMVMASVMQKIIPHYSLARWLLCNGSLRWYQHPTEEELRILAGKQQKGKTKKDRKYNGHIESKPLTIPKDIDLHLETKSVTEVDTLALHYFPEYQWLVDFTVAATVVYLVTEVYYNFMKPTQEMNISLVWCLLVLSFAIKVLFSLTTHYFKVEDGGERSVCVTFGFFFFVKAMAVLIVTENYLEFGLETGFTNFSDSAMQFLEKQGLESQSPVSKLTFKFFLAIFCSFIGAFLTFPGLRLAQMHLDALNLATEKITQTLLHINFLAPLFMVLLWVKPITKDYIMNPPLGKESIPLMTEATFDTLRLWLIILLCALRLAMMRSHLQAYLNLAQKCVDQMKKEAGRISTVELQKMVARVFYYLCVIALQYVAPLVMLLHTTLLLKTLGNHSWGIYPESISTLPVDNSLLSNSVYSELPSAEGKMKVTVTQITVALSSLKNIFTPLLFRGLLSFLTWWIAACLFSTSLFGLFYHQYLTVA
+>DECOY_sp|Q8NDZ6|T161B_HUMAN Transmembrane protein 161B OS=Homo sapiens OX=9606 GN=TMEM161B PE=2 SV=1
+AVTLYQHYFLGFLSTSFLCAAIWWTLFSLLGRFLLPTFINKLSSLAVTIQTVTVKMKGEASPLESYVSNSLLSNDVPLTSISEPYIGWSHNGLTKLLLTTHLLMVLPAVYQLAIVCLYYFVRAVMKQLEVTSIRGAEKKMQDVCKQALNLYAQLHSRMMALRLACLLIILWLRLTDFTAETMLPISEKGLPPNMIYDKTIPKVWLLVMFLPALFNIHLLTQTIKETALNLADLHMQALRLGPFTLFAGIFSCFIALFFKFTLKSVPSQSELGQKELFQMASDSFNTFGTELGFELYNETVILVAMAKVFFFFGFTVCVSREGGDEVKFYHTTLSFLVKIAFSLVLLCWVLSINMEQTPKMFNYYVETVLYVVTAAVTFDVLWQYEPFYHLALTDVETVSKTELHLDIDKPITLPKSEIHGNYKRDKKTKGKQQKGALIRLEEETPHQYWRLSGNCLLWRALSYHPIIKQMVSAMVMTVVLQIGIVGM
+>sp|Q9NVA4|T184C_HUMAN Transmembrane protein 184C OS=Homo sapiens OX=9606 GN=TMEM184C PE=1 SV=2
+MPCTCTWRNWRQWIRPLVAVIYLVSIVVAVPLCVWELQKLEVGIHTKAWFIAGIFLLLTIPISLWVILQHLVHYTQPELQKPIIRILWMVPIYSLDSWIALKYPGIAIYVDTCRECYEAYVIYNFMGFLTNYLTNRYPNLVLILEAKDQQKHFPPLCCCPPWAMGEVLLFRCKLGVLQYTVVRPFTTIVALICELLGIYDEGNFSFSNAWTYLVIINNMSQLFAMYCLLLFYKVLKEELSPIQPVGKFLCVKLVVFVSFWQAVVIALLVKVGVISEKHTWEWQTVEAVATGLQDFIICIEMFLAAIAHHYTFSYKPYVQEAEEGSCFDSFLAMWDVSDIRDDISEQVRHVGRTVRGHPRKKLFPEDQDQNEHTSLLSSSSQDAISIASSMPPSPMGHYQGFGHTVTPQTTPTTAKISDEILSDTIGEKKEPSDKSVDS
+>DECOY_sp|Q9NVA4|T184C_HUMAN Transmembrane protein 184C OS=Homo sapiens OX=9606 GN=TMEM184C PE=1 SV=2
+SDVSKDSPEKKEGITDSLIEDSIKATTPTTQPTVTHGFGQYHGMPSPPMSSAISIADQSSSSLLSTHENQDQDEPFLKKRPHGRVTRGVHRVQESIDDRIDSVDWMALFSDFCSGEEAEQVYPKYSFTYHHAIAALFMEICIIFDQLGTAVAEVTQWEWTHKESIVGVKVLLAIVVAQWFSVFVVLKVCLFKGVPQIPSLEEKLVKYFLLLCYMAFLQSMNNIIVLYTWANSFSFNGEDYIGLLECILAVITTFPRVVTYQLVGLKCRFLLVEGMAWPPCCCLPPFHKQQDKAELILVLNPYRNTLYNTLFGMFNYIVYAEYCERCTDVYIAIGPYKLAIWSDLSYIPVMWLIRIIPKQLEPQTYHVLHQLIVWLSIPITLLLFIGAIFWAKTHIGVELKQLEWVCLPVAVVISVLYIVAVLPRIWQRWNRWTCTCPM
+>sp|A6NKL6|T200C_HUMAN Transmembrane protein 200C OS=Homo sapiens OX=9606 GN=TMEM200C PE=2 SV=2
+MIATGGLLRISARKQDPLRPPSQIPKRKRKAKKRRKNDVVVVKGKLKLCSISGLIALCGILVLLVGIAMAVVGYWPKATGTNREGGKQLPPAGSSHRVPTTANSSSSGSKNRSRSHPRAPGGVNSSSAGAPRSTPPARAASPSSSSTSVGFFFRIFSGYLHSDKLKVFGPLIMGIGIFLFICANAVLHENRDKKTKIINLRDLYSTVIDVHSLRAKDLAAAAAAAAAAAASSSSSAPAAAPPGAIPLNGFLSYVQSRGLELKPGGCGGSGDAFGAAAMLAKGSWPPHPAAPSGGRPRGAASPPDLASSPRCPREPPSLAEAVYSVYRERSGVAGSRRAAAATAAAAASSCSSPAPCSPPESWGRQSTASSFVDSSLSAFALLPLQGGRDRGGDAEGASCSWQRPPGERGSQEIPRGELDLSMTNLRGAEGSMRGARREPEEPEGAVAARAARGQGGRLPRTGRYAALRRRSTSGLPDYRAPPSPEPPPSPGSADPDSSPLAKAASPSPPLRLEGSPPTRRDSGSSQSDDPSSSNKGYTPLREAGTSTESVLDAVAGQTRDSAVAAPVLGAEQSSPEGASQEPPTAEQPQPVQRQFTNKEKLIMISRSHAIGVEEELESTGI
+>DECOY_sp|A6NKL6|T200C_HUMAN Transmembrane protein 200C OS=Homo sapiens OX=9606 GN=TMEM200C PE=2 SV=2
+IGTSELEEEVGIAHSRSIMILKEKNTFQRQVPQPQEATPPEQSAGEPSSQEAGLVPAAVASDRTQGAVADLVSETSTGAERLPTYGKNSSSPDDSQSSGSDRRTPPSGELRLPPSPSAAKALPSSDPDASGPSPPPEPSPPARYDPLGSTSRRRLAAYRGTRPLRGGQGRAARAAVAGEPEEPERRAGRMSGEAGRLNTMSLDLEGRPIEQSGREGPPRQWSCSAGEADGGRDRGGQLPLLAFASLSSDVFSSATSQRGWSEPPSCPAPSSCSSAAAAATAAAARRSGAVGSRERYVSYVAEALSPPERPCRPSSALDPPSAAGRPRGGSPAAPHPPWSGKALMAAAGFADGSGGCGGPKLELGRSQVYSLFGNLPIAGPPAAAPASSSSSAAAAAAAAAAAALDKARLSHVDIVTSYLDRLNIIKTKKDRNEHLVANACIFLFIGIGMILPGFVKLKDSHLYGSFIRFFFGVSTSSSSPSAARAPPTSRPAGASSSNVGGPARPHSRSRNKSGSSSSNATTPVRHSSGAPPLQKGGERNTGTAKPWYGVVAMAIGVLLVLIGCLAILGSISCLKLKGKVVVVDNKRRKKAKRKRKPIQSPPRLPDQKRASIRLLGGTAIM
+>sp|O75157|T22D2_HUMAN TSC22 domain family protein 2 OS=Homo sapiens OX=9606 GN=TSC22D2 PE=1 SV=3
+MSKMPAKKKSCFQITSVTTAQVATSITEDTESLDDPDESRTEDVSSEIFDVSRATDYGPEEVCERSSSEETLNNVGDAETPGTVSPNLLLDGQLAAAAAAPANGGGVVSARSVSGALASTLAAAATSAPAPGAPGGPQLAGSSAGPVTAAPSQPPTTCSSRFRVIKLDHGSGEPYRRGRWTCMEYYERDSDSSVLTRSGDCIRHSSTFDQTAERDSGLGATGGSVVVVVASMQGAHGPESGTDSSLTAVSQLPPSEKMSQPTPAQPQSFSVGQPQPPPPPVGGAVAQSSAPLPPFPGAATGPQPMMAAAQPSQPQGAGPGGQTLPPTNVTLAQPAMSLPPQPGPAVGAPAAQQPQQFAYPQPQIPPGHLLPVQPSGQSEYLQQHVAGLQPPSPAQPSSTGAAASPATAATLPVGTGQNASSVGAQLMGASSQPSEAMAPRTGPAQGGQVAPCQPTGVPPATVGGVVQPCLGPAGAGQPQSVPPPQMGGSGPLSAVPGGPHAVVPGVPNVPAAVPAPSVPSVSTTSVTMPNVPAPLAQSQQLSSHTPVSRSSSIIQHVGLPLAPGTHSAPTSLPQSDLSQFQTQTQPLVGQVDDTRRKSEPLPQPPLSLIAENKPVVKPPVADSLANPLQLTPMNSLATSVFSIAIPVDGDEDRNPSTAFYQAFHLNTLKESKSLWDSASGGGVVAIDNKIEQAMDLVKSHLMYAVREEVEVLKEQIKELVERNSLLERENALLKSLSSNDQLSQLPTQQANPGSTSQQQAVIAQPPQPTQPPQQPNVSSA
+>DECOY_sp|O75157|T22D2_HUMAN TSC22 domain family protein 2 OS=Homo sapiens OX=9606 GN=TSC22D2 PE=1 SV=3
+ASSVNPQQPPQTPQPPQAIVAQQQSTSGPNAQQTPLQSLQDNSSLSKLLANERELLSNREVLEKIQEKLVEVEERVAYMLHSKVLDMAQEIKNDIAVVGGGSASDWLSKSEKLTNLHFAQYFATSPNRDEDGDVPIAISFVSTALSNMPTLQLPNALSDAVPPKVVPKNEAILSLPPQPLPESKRRTDDVQGVLPQTQTQFQSLDSQPLSTPASHTGPALPLGVHQIISSSRSVPTHSSLQQSQALPAPVNPMTVSTTSVSPVSPAPVAAPVNPVGPVVAHPGGPVASLPGSGGMQPPPVSQPQGAGAPGLCPQVVGGVTAPPVGTPQCPAVQGGQAPGTRPAMAESPQSSAGMLQAGVSSANQGTGVPLTAATAPSAAAGTSSPQAPSPPQLGAVHQQLYESQGSPQVPLLHGPPIQPQPYAFQQPQQAAPAGVAPGPQPPLSMAPQALTVNTPPLTQGGPGAGQPQSPQAAAMMPQPGTAAGPFPPLPASSQAVAGGVPPPPPQPQGVSFSQPQAPTPQSMKESPPLQSVATLSSDTGSEPGHAGQMSAVVVVVSGGTAGLGSDREATQDFTSSHRICDGSRTLVSSDSDREYYEMCTWRGRRYPEGSGHDLKIVRFRSSCTTPPQSPAATVPGASSGALQPGGPAGPAPASTAAAALTSALAGSVSRASVVGGGNAPAAAAAALQGDLLLNPSVTGPTEADGVNNLTEESSSRECVEEPGYDTARSVDFIESSVDETRSEDPDDLSETDETISTAVQATTVSTIQFCSKKKAPMKSM
+>sp|P29084|T2EB_HUMAN Transcription initiation factor IIE subunit beta OS=Homo sapiens OX=9606 GN=GTF2E2 PE=1 SV=1
+MDPSLLRERELFKKRALSTPVVEKRSASSESSSSSSKKKKTKVEHGGSSGSKQNSDHSNGSFNLKALSGSSGYKFGVLAKIVNYMKTRHQRGDTHPLTLDEILDETQHLDIGLKQKQWLMTEALVNNPKIEVIDGKYAFKPKYNVRDKKALLRLLDQHDQRGLGGILLEDIEEALPNSQKAVKALGDQILFVNRPDKKKILFFNDKSCQFSVDEEFQKLWRSVTVDSMDEEKIEEYLKRQGISSMQESGPKKVAPIQRRKKPASQKKRRFKTHNEHLAGVLKDYSDITSSK
+>DECOY_sp|P29084|T2EB_HUMAN Transcription initiation factor IIE subunit beta OS=Homo sapiens OX=9606 GN=GTF2E2 PE=1 SV=1
+KSSTIDSYDKLVGALHENHTKFRRKKQSAPKKRRQIPAVKKPGSEQMSSIGQRKLYEEIKEEDMSDVTVSRWLKQFEEDVSFQCSKDNFFLIKKKDPRNVFLIQDGLAKVAKQSNPLAEEIDELLIGGLGRQDHQDLLRLLAKKDRVNYKPKFAYKGDIVEIKPNNVLAETMLWQKQKLGIDLHQTEDLIEDLTLPHTDGRQHRTKMYNVIKALVGFKYGSSGSLAKLNFSGNSHDSNQKSGSSGGHEVKTKKKKSSSSSSESSASRKEVVPTSLARKKFLERERLLSPDM
+>sp|Q9UF72|T73AS_HUMAN Putative TP73 antisense gene protein 1 OS=Homo sapiens OX=9606 GN=TP73-AS1 PE=5 SV=1
+MCLLSSSAASDLAATSLTAHPCHPPLPRQPQPQLILSGSPSIGTVPPPAVGHTSLLPVNPPAISTTTSDLSAREDATPSASTGHLSVFPAFQVKIPAVPSEQTSQSFSEASHRVLPGGGPRCSRDFGAGVAGHLGLGIFGVGFGSPALLQSVVDENSCCLLYVVEDQLCDVEQAFRAEHLGQHQSGSGTGCRHCPQRPAAL
+>DECOY_sp|Q9UF72|T73AS_HUMAN Putative TP73 antisense gene protein 1 OS=Homo sapiens OX=9606 GN=TP73-AS1 PE=5 SV=1
+LAAPRQPCHRCGTGSGSQHQGLHEARFAQEVDCLQDEVVYLLCCSNEDVVSQLLAPSGFGVGFIGLGLHGAVGAGFDRSCRPGGGPLVRHSAESFSQSTQESPVAPIKVQFAPFVSLHGTSASPTADERASLDSTTTSIAPPNVPLLSTHGVAPPPVTGISPSGSLILQPQPQRPLPPHCPHATLSTAALDSAASSSLLCM
+>sp|Q9NYW2|TA2R8_HUMAN Taste receptor type 2 member 8 OS=Homo sapiens OX=9606 GN=TAS2R8 PE=1 SV=1
+MFSPADNIFIILITGEFILGILGNGYIALVNWIDWIKKKKISTVDYILTNLVIARICLISVMVVNGIVIVLNPDVYTKNKQQIVIFTFWTFANYLNMWITTCLNVFYFLKIASSSHPLFLWLKWKIDMVVHWILLGCFAISLLVSLIAAIVLSCDYRFHAIAKHKRNITEMFHVSKIPYFEPLTLFNLFAIVPFIVSLISFFLLVRSLWRHTKQIKLYATGSRDPSTEVHVRAIKTMTSFIFFFFLYYISSILMTFSYLMTKYKLAVEFGEIAAILYPLGHSLILIVLNNKLRQTFVRMLTCRKIACMI
+>DECOY_sp|Q9NYW2|TA2R8_HUMAN Taste receptor type 2 member 8 OS=Homo sapiens OX=9606 GN=TAS2R8 PE=1 SV=1
+IMCAIKRCTLMRVFTQRLKNNLVILILSHGLPYLIAAIEGFEVALKYKTMLYSFTMLISSIYYLFFFFIFSTMTKIARVHVETSPDRSGTAYLKIQKTHRWLSRVLLFFSILSVIFPVIAFLNFLTLPEFYPIKSVHFMETINRKHKAIAHFRYDCSLVIAAILSVLLSIAFCGLLIWHVVMDIKWKLWLFLPHSSSAIKLFYFVNLCTTIWMNLYNAFTWFTFIVIQQKNKTYVDPNLVIVIGNVVMVSILCIRAIVLNTLIYDVTSIKKKKIWDIWNVLAIYGNGLIGLIFEGTILIIFINDAPSFM
+>sp|Q9NYW1|TA2R9_HUMAN Taste receptor type 2 member 9 OS=Homo sapiens OX=9606 GN=TAS2R9 PE=1 SV=1
+MPSAIEAIYIILIAGELTIGIWGNGFIVLVNCIDWLKRRDISLIDIILISLAISRICLLCVISLDGFFMLLFPGTYGNSVLVSIVNVVWTFANNSSLWFTSCLSIFYLLKIANISHPFFFWLKLKINKVMLAILLGSFLISLIISVPKNDDMWYHLFKVSHEENITWKFKVSKIPGTFKQLTLNLGVMVPFILCLISFFLLLFSLVRHTKQIRLHATGFRDPSTEAHMRAIKAVIIFLLLLIVYYPVFLVMTSSALIPQGKLVLMIGDIVTVIFPSSHSFILIMGNSKLREAFLKMLRFVKCFLRRRKPFVP
+>DECOY_sp|Q9NYW1|TA2R9_HUMAN Taste receptor type 2 member 9 OS=Homo sapiens OX=9606 GN=TAS2R9 PE=1 SV=1
+PVFPKRRRLFCKVFRLMKLFAERLKSNGMILIFSHSSPFIVTVIDGIMLVLKGQPILASSTMVLFVPYYVILLLLFIIVAKIARMHAETSPDRFGTAHLRIQKTHRVLSFLLLFFSILCLIFPVMVGLNLTLQKFTGPIKSVKFKWTINEEHSVKFLHYWMDDNKPVSIILSILFSGLLIALMVKNIKLKLWFFFPHSINAIKLLYFISLCSTFWLSSNNAFTWVVNVISVLVSNGYTGPFLLMFFGDLSIVCLLCIRSIALSILIIDILSIDRRKLWDICNVLVIFGNGWIGITLEGAILIIYIAEIASPM
+>sp|Q96RJ0|TAAR1_HUMAN Trace amine-associated receptor 1 OS=Homo sapiens OX=9606 GN=TAAR1 PE=2 SV=1
+MMPFCHNIINISCVKNNWSNDVRASLYSLMVLIILTTLVGNLIVIVSISHFKQLHTPTNWLIHSMATVDFLLGCLVMPYSMVRSAEHCWYFGEVFCKIHTSTDIMLSSASIFHLSFISIDRYYAVCDPLRYKAKMNILVICVMIFISWSVPAVFAFGMIFLELNFKGAEEIYYKHVHCRGGCSVFFSKISGVLTFMTSFYIPGSIMLCVYYRIYLIAKEQARLISDANQKLQIGLEMKNGISQSKERKAVKTLGIVMGVFLICWCPFFICTVMDPFLHYIIPPTLNDVLIWFGYLNSTFNPMVYAFFYPWFRKALKMMLFGKIFQKDSSRCKLFLELSS
+>DECOY_sp|Q96RJ0|TAAR1_HUMAN Trace amine-associated receptor 1 OS=Homo sapiens OX=9606 GN=TAAR1 PE=2 SV=1
+SSLELFLKCRSSDKQFIKGFLMMKLAKRFWPYFFAYVMPNFTSNLYGFWILVDNLTPPIIYHLFPDMVTCIFFPCWCILFVGMVIGLTKVAKREKSQSIGNKMELGIQLKQNADSILRAQEKAILYIRYYVCLMISGPIYFSTMFTLVGSIKSFFVSCGGRCHVHKYYIEEAGKFNLELFIMGFAFVAPVSWSIFIMVCIVLINMKAKYRLPDCVAYYRDISIFSLHFISASSLMIDTSTHIKCFVEGFYWCHEASRVMSYPMVLCGLLFDVTAMSHILWNTPTHLQKFHSISVIVILNGVLTTLIILVMLSYLSARVDNSWNNKVCSINIINHCFPMM
+>sp|Q9P1P4|TAAR3_HUMAN Putative trace amine-associated receptor 3 OS=Homo sapiens OX=9606 GN=TAAR3P PE=5 SV=1
+MDLTYIPEDLSSCPKFVNKILSSHQPLFSCPGDNVFGYDWSHDYPLFGNLVIMVSISHFKQLHSPTNFLILSMATTDFLLGFVIMPYSIMRSVESCWYFGDGFCKFHTSFDMMLRLTSIFHLCSIAIDRFYAVCYPLHYTTKMTNSTIKQLLAFCWSVPALFSFGLVLSEADVSGMQSYKILVACFNFCALTFNKFWGTILFTTCFFTPGSIMVGIYGKIFIVSKQHARVISHVPENTKGAVKKHLSKKKDRKAAKTLGIVMGVFLACWLPCFLAVLIDPYLDYSTPILILDLLVWLRYFNSTCNPLIHGFFNPWFQKAFKYIVSGKIFSSHSETANLFPEAH
+>DECOY_sp|Q9P1P4|TAAR3_HUMAN Putative trace amine-associated receptor 3 OS=Homo sapiens OX=9606 GN=TAAR3P PE=5 SV=1
+HAEPFLNATESHSSFIKGSVIYKFAKQFWPNFFGHILPNCTSNFYRLWVLLDLILIPTSYDLYPDILVALFCPLWCALFVGMVIGLTKAAKRDKKKSLHKKVAGKTNEPVHSIVRAHQKSVIFIKGYIGVMISGPTFFCTTFLITGWFKNFTLACFNFCAVLIKYSQMGSVDAESLVLGFSFLAPVSWCFALLQKITSNTMKTTYHLPYCVAYFRDIAISCLHFISTLRLMMDFSTHFKCFGDGFYWCSEVSRMISYPMIVFGLLFDTTAMSLILFNTPSHLQKFHSISVMIVLNGFLPYDHSWDYGFVNDGPCSFLPQHSSLIKNVFKPCSSLDEPIYTLDM
+>sp|Q96RI9|TAAR9_HUMAN Trace amine-associated receptor 9 OS=Homo sapiens OX=9606 GN=TAAR9 PE=2 SV=1
+MVNNFSQAEAVELCYKNVNESCIKTPYSPGPRSILYAVLGFGAVLAAFGNLLVMIAILHFKQLHTPTNFLIASLACADFLVGVTVMPFSTVRSVESCWYFGDSYCKFHTCFDTSFCFASLFHLCCISVDRYIAVTDPLTYPTKFTVSVSGICIVLSWFFSVTYSFSIFYTGANEEGIEELVVALTCVGGCQAPLNQNWVLLCFLLFFIPNVAMVFIYSKIFLVAKHQARKIESTASQAQSSSESYKERVAKRERKAAKTLGIAMAAFLVSWLPYLVDAVIDAYMNFITPPYVYEILVWCVYYNSAMNPLIYAFFYQWFGKAIKLIVSGKVLRTDSSTTNLFSEEVETD
+>DECOY_sp|Q96RI9|TAAR9_HUMAN Trace amine-associated receptor 9 OS=Homo sapiens OX=9606 GN=TAAR9 PE=2 SV=1
+DTEVEESFLNTTSSDTRLVKGSVILKIAKGFWQYFFAYILPNMASNYYVCWVLIEYVYPPTIFNMYADIVADVLYPLWSVLFAAMAIGLTKAAKRERKAVREKYSESSSQAQSATSEIKRAQHKAVLFIKSYIFVMAVNPIFFLLFCLLVWNQNLPAQCGGVCTLAVVLEEIGEENAGTYFISFSYTVSFFWSLVICIGSVSVTFKTPYTLPDTVAIYRDVSICCLHFLSAFCFSTDFCTHFKCYSDGFYWCSEVSRVTSFPMVTVGVLFDACALSAILFNTPTHLQKFHLIAIMVLLNGFAALVAGFGLVAYLISRPGPSYPTKICSENVNKYCLEVAEAQSFNNVM
+>sp|O75410|TACC1_HUMAN Transforming acidic coiled-coil-containing protein 1 OS=Homo sapiens OX=9606 GN=TACC1 PE=1 SV=2
+MAFSPWQILSPVQWAKWTWSAVRGGAAGEDEAGGPEGDPEEEDSQAETKSLSFSSDSEGNFETPEAETPIRSPFKESCDPSLGLAGPGAKSQESQEADEQLVAEVVEKCSSKTCSKPSENEVPQQAIDSHSVKNFREEPEHDFSKISIVRPFSIETKDSTDISAVLGTKAAHGCVTAVSGKALPSSPPDALQDEAMTEGSMGVTLEASAEADLKAGNSCPELVPSRRSKLRKPKPVPLRKKAIGGEFSDTNAAVEGTPLPKASYHFSPEELDENTSPLLGDARFQKSPPDLKETPGTLSSDTNDSGVELGEESRSSPLKLEFDFTEDTGNIEARKALPRKLGRKLGSTLTPKIQKDGISKSAGLEQPTDPVARDGPLSQTSSKPDPSQWESPSFNPFGSHSVLQNSPPLSSEGSYHFDPDNFDESMDPFKPTTTLTSSDFCSPTGNHVNEILESPKKAKSRLITSGCKVKKHETQSLALDACSRDEGAVISQISDISNRDGHATDEEKLASTSCGQKSAGAEVKGEPEEDLEYFECSNVPVSTINHAFSSSEAGIEKETCQKMEEDGSTVLGLLESSAEKAPVSVSCGGESPLDGICLSESDKTAVLTLIREEIITKEIEANEWKKKYEETRQEVLEMRKIVAEYEKTIAQMIEDEQRTSMTSQKSFQQLTMEKEQALADLNSVERSLSDLFRRYENLKGVLEGFKKNEEALKKCAQDYLARVKQEEQRYQALKIHAEEKLDKANEEIAQVRTKAKAESAALHAGLRKEQMKVESLERALQQKNQEIEELTKICDELIAKLGKTD
+>DECOY_sp|O75410|TACC1_HUMAN Transforming acidic coiled-coil-containing protein 1 OS=Homo sapiens OX=9606 GN=TACC1 PE=1 SV=2
+DTKGLKAILEDCIKTLEEIEQNKQQLARELSEVKMQEKRLGAHLAASEAKAKTRVQAIEENAKDLKEEAHIKLAQYRQEEQKVRALYDQACKKLAEENKKFGELVGKLNEYRRFLDSLSREVSNLDALAQEKEMTLQQFSKQSTMSTRQEDEIMQAITKEYEAVIKRMELVEQRTEEYKKKWENAEIEKTIIEERILTLVATKDSESLCIGDLPSEGGCSVSVPAKEASSELLGLVTSGDEEMKQCTEKEIGAESSSFAHNITSVPVNSCEFYELDEEPEGKVEAGASKQGCSTSALKEEDTAHGDRNSIDSIQSIVAGEDRSCADLALSQTEHKKVKCGSTILRSKAKKPSELIENVHNGTPSCFDSSTLTTTPKFPDMSEDFNDPDFHYSGESSLPPSNQLVSHSGFPNFSPSEWQSPDPKSSTQSLPGDRAVPDTPQELGASKSIGDKQIKPTLTSGLKRGLKRPLAKRAEINGTDETFDFELKLPSSRSEEGLEVGSDNTDSSLTGPTEKLDPPSKQFRADGLLPSTNEDLEEPSFHYSAKPLPTGEVAANTDSFEGGIAKKRLPVPKPKRLKSRRSPVLEPCSNGAKLDAEASAELTVGMSGETMAEDQLADPPSSPLAKGSVATVCGHAAKTGLVASIDTSDKTEISFPRVISIKSFDHEPEERFNKVSHSDIAQQPVENESPKSCTKSSCKEVVEAVLQEDAEQSEQSKAGPGALGLSPDCSEKFPSRIPTEAEPTEFNGESDSSFSLSKTEAQSDEEEPDGEPGGAEDEGAAGGRVASWTWKAWQVPSLIQWPSFAM
+>sp|Q96BN2|TADA1_HUMAN Transcriptional adapter 1 OS=Homo sapiens OX=9606 GN=TADA1 PE=1 SV=1
+MATFVSELEAAKKNLSEALGDNVKQYWANLKLWFKQKISKEEFDLEAHRLLTQDNVHSHNDFLLAILTRCQILVSTPDGAGSLPWPGGSAAKPGKPKGKKKLSSVRQKFDHRFQPQNPLSGAQQFVAKDPQDDDDLKLCSHTMMLPTRGQLEGRMIVTAYEHGLDNVTEEAVSAVVYAVENHLKDILTSVVSRRKAYRLRDGHFKYAFGSNVTPQPYLKNSVVAYNNLIESPPAFTAPCAGQNPASHPPPDDAEQQAALLLACSGDTLPASLPPVNMYDLFEALQVHREVIPTHTVYALNIERIITKLWHPNHEELQQDKVHRQRLAAKEGLLLC
+>DECOY_sp|Q96BN2|TADA1_HUMAN Transcriptional adapter 1 OS=Homo sapiens OX=9606 GN=TADA1 PE=1 SV=1
+CLLLGEKAALRQRHVKDQQLEEHNPHWLKTIIREINLAYVTHTPIVERHVQLAEFLDYMNVPPLSAPLTDGSCALLLAAQQEADDPPPHSAPNQGACPATFAPPSEILNNYAVVSNKLYPQPTVNSGFAYKFHGDRLRYAKRRSVVSTLIDKLHNEVAYVVASVAEETVNDLGHEYATVIMRGELQGRTPLMMTHSCLKLDDDDQPDKAVFQQAGSLPNQPQFRHDFKQRVSSLKKKGKPKGPKAASGGPWPLSGAGDPTSVLIQCRTLIALLFDNHSHVNDQTLLRHAELDFEEKSIKQKFWLKLNAWYQKVNDGLAESLNKKAAELESVFTAM
+>sp|Q13148|TADBP_HUMAN TAR DNA-binding protein 43 OS=Homo sapiens OX=9606 GN=TARDBP PE=1 SV=1
+MSEYIRVTEDENDEPIEIPSEDDGTVLLSTVTAQFPGACGLRYRNPVSQCMRGVRLVEGILHAPDAGWGNLVYVVNYPKDNKRKMDETDASSAVKVKRAVQKTSDLIVLGLPWKTTEQDLKEYFSTFGEVLMVQVKKDLKTGHSKGFGFVRFTEYETQVKVMSQRHMIDGRWCDCKLPNSKQSQDEPLRSRKVFVGRCTEDMTEDELREFFSQYGDVMDVFIPKPFRAFAFVTFADDQIAQSLCGEDLIIKGISVHISNAEPKHNSNRQLERSGRFGGNPGGFGNQGGFGNSRGGGAGLGNNQGSNMGGGMNFGAFSINPAMMAAAQAALQSSWGMMGMLASQQNQSGPSGNNQNQGNMQREPNQAFGSGNNSYSGSNSGAAIGWGSASNAGSGSGFNGGFGSSMDSKSSGWGM
+>DECOY_sp|Q13148|TADBP_HUMAN TAR DNA-binding protein 43 OS=Homo sapiens OX=9606 GN=TARDBP PE=1 SV=1
+MGWGSSKSDMSSGFGGNFGSGSGANSASGWGIAAGSNSGSYSNNGSGFAQNPERQMNGQNQNNGSPGSQNQQSALMGMMGWSSQLAAQAAAMMAPNISFAGFNMGGGMNSGQNNGLGAGGGRSNGFGGQNGFGGPNGGFRGSRELQRNSNHKPEANSIHVSIGKIILDEGCLSQAIQDDAFTVFAFARFPKPIFVDMVDGYQSFFERLEDETMDETCRGVFVKRSRLPEDQSQKSNPLKCDCWRGDIMHRQSMVKVQTEYETFRVFGFGKSHGTKLDKKVQVMLVEGFTSFYEKLDQETTKWPLGLVILDSTKQVARKVKVASSADTEDMKRKNDKPYNVVYVLNGWGADPAHLIGEVLRVGRMCQSVPNRYRLGCAGPFQATVTSLLVTGDDESPIEIPEDNEDETVRIYESM
+>sp|O75529|TAF5L_HUMAN TAF5-like RNA polymerase II p300/CBP-associated factor-associated factor 65 kDa subunit 5L OS=Homo sapiens OX=9606 GN=TAF5L PE=1 SV=1
+MKRVRTEQIQMAVSCYLKRRQYVDSDGPLKQGLRLSQTAEEMAANLTVQSESGCANIVSAAPCQAEPQQYEVQFGRLRNFLTDSDSQHSHEVMPLLYPLFVYLHLNLVQNSPKSTVESFYSRFHGMFLQNASQKDVIEQLQTTQTIQDILSNFKLRAFLDNKYVVRLQEDSYNYLIRYLQSDNNTALCKVLTLHIHLDVQPAKRTDYQLYASGSSSRSENNGLEPPDMPSPILQNEAALEVLQESIKRVKDGPPSLTTICFYAFYNTEQLLNTAEISPDSKLLAAGFDNSCIKLWSLRSKKLKSEPHQVDVSRIHLACDILEEEDDEDDNAGTEMKILRGHCGPVYSTRFLADSSGLLSCSEDMSIRYWDLGSFTNTVLYQGHAYPVWDLDISPYSLYFASGSHDRTARLWSFDRTYPLRIYAGHLADVDCVKFHPNSNYLATGSTDKTVRLWSAQQGNSVRLFTGHRGPVLSLAFSPNGKYLASAGEDQRLKLWDLASGTLYKELRGHTDNITSLTFSPDSGLIASASMDNSVRVWDIRNTYCSAPADGSSSELVGVYTGQMSNVLSVQFMACNLLLVTGITQENQEH
+>DECOY_sp|O75529|TAF5L_HUMAN TAF5-like RNA polymerase II p300/CBP-associated factor-associated factor 65 kDa subunit 5L OS=Homo sapiens OX=9606 GN=TAF5L PE=1 SV=1
+HEQNEQTIGTVLLLNCAMFQVSLVNSMQGTYVGVLESSSGDAPASCYTNRIDWVRVSNDMSASAILGSDPSFTLSTINDTHGRLEKYLTGSALDWLKLRQDEGASALYKGNPSFALSLVPGRHGTFLRVSNGQQASWLRVTKDTSGTALYNSNPHFKVCDVDALHGAYIRLPYTRDFSWLRATRDHSGSAFYLSYPSIDLDWVPYAHGQYLVTNTFSGLDWYRISMDESCSLLGSSDALFRTSYVPGCHGRLIKMETGANDDEDDEEELIDCALHIRSVDVQHPESKLKKSRLSWLKICSNDFGAALLKSDPSIEATNLLQETNYFAYFCITTLSPPGDKVRKISEQLVELAAENQLIPSPMDPPELGNNESRSSSGSAYLQYDTRKAPQVDLHIHLTLVKCLATNNDSQLYRILYNYSDEQLRVVYKNDLFARLKFNSLIDQITQTTQLQEIVDKQSANQLFMGHFRSYFSEVTSKPSNQVLNLHLYVFLPYLLPMVEHSHQSDSDTLFNRLRGFQVEYQQPEAQCPAASVINACGSESQVTLNAAMEEATQSLRLGQKLPGDSDVYQRRKLYCSVAMQIQETRVRKM
+>sp|Q15542|TAF5_HUMAN Transcription initiation factor TFIID subunit 5 OS=Homo sapiens OX=9606 GN=TAF5 PE=1 SV=3
+MAALAEEQTEVAVKLEPEGPPTLLPPQAGDGAGEGSGGTTNNGPNGGGGNVAASSSTGGDGGTPKPTVAVSAAAPAGAAPVPAAAPDAGAPHDRQTLLAVLQFLRQSKLREAEEALRREAGLLEEAVAGSGAPGEVDSAGAEVTSALLSRVTASAPGPAAPDPPGTGASGATVVSGSASGPAAPGKVGSVAVEDQPDVSAVLSAYNQQGDPTMYEEYYSGLKHFIECSLDCHRAELSQLFYPLFVHMYLELVYNQHENEAKSFFEKFHGDQECYYQDDLRVLSSLTKKEHMKGNETMLDFRTSKFVLRISRDSYQLLKRHLQEKQNNQIWNIVQEHLYIDIFDGMPRSKQQIDAMVGSLAGEAKREANKSKVFFGLLKEPEIEVPLDDEDEEGENEEGKPKKKKPKKDSIGSKSKKQDPNAPPQNRIPLPELKDSDKLDKIMNMKETTKRVRLGPDCLPSICFYTFLNAYQGLTAVDVTDDSSLIAGGFADSTVRVWSVTPKKLRSVKQASDLSLIDKESDDVLERIMDEKTASELKILYGHSGPVYGASFSPDRNYLLSSSEDGTVRLWSLQTFTCLVGYKGHNYPVWDTQFSPYGYYFVSGGHDRVARLWATDHYQPLRIFAGHLADVNCTRFHPNSNYVATGSADRTVRLWDVLNGNCVRIFTGHKGPIHSLTFSPNGRFLATGATDGRVLLWDIGHGLMVGELKGHTDTVCSLRFSRDGEILASGSMDNTVRLWDAIKAFEDLETDDFTTATGHINLPENSQELLLGTYMTKSTPVVHLHFTRRNLVLAAGAYSPQ
+>DECOY_sp|Q15542|TAF5_HUMAN Transcription initiation factor TFIID subunit 5 OS=Homo sapiens OX=9606 GN=TAF5 PE=1 SV=3
+QPSYAGAALVLNRRTFHLHVVPTSKTMYTGLLLEQSNEPLNIHGTATTFDDTELDEFAKIADWLRVTNDMSGSALIEGDRSFRLSCVTDTHGKLEGVMLGHGIDWLLVRGDTAGTALFRGNPSFTLSHIPGKHGTFIRVCNGNLVDWLRVTRDASGTAVYNSNPHFRTCNVDALHGAFIRLPQYHDTAWLRAVRDHGGSVFYYGYPSFQTDWVPYNHGKYGVLCTFTQLSWLRVTGDESSSLLYNRDPSFSAGYVPGSHGYLIKLESATKEDMIRELVDDSEKDILSLDSAQKVSRLKKPTVSWVRVTSDAFGGAILSSDDTVDVATLGQYANLFTYFCISPLCDPGLRVRKTTEKMNMIKDLKDSDKLEPLPIRNQPPANPDQKKSKSGISDKKPKKKKPKGEENEGEEDEDDLPVEIEPEKLLGFFVKSKNAERKAEGALSGVMADIQQKSRPMGDFIDIYLHEQVINWIQNNQKEQLHRKLLQYSDRSIRLVFKSTRFDLMTENGKMHEKKTLSSLVRLDDQYYCEQDGHFKEFFSKAENEHQNYVLELYMHVFLPYFLQSLEARHCDLSCEIFHKLGSYYEEYMTPDGQQNYASLVASVDPQDEVAVSGVKGPAAPGSASGSVVTAGSAGTGPPDPAAPGPASATVRSLLASTVEAGASDVEGPAGSGAVAEELLGAERRLAEEAERLKSQRLFQLVALLTQRDHPAGADPAAAPVPAAGAPAAASVAVTPKPTGGDGGTSSSAAVNGGGGNPGNNTTGGSGEGAGDGAQPPLLTPPGEPELKVAVETQEEALAAM
+>sp|Q5H9L4|TAF7L_HUMAN Transcription initiation factor TFIID subunit 7-like OS=Homo sapiens OX=9606 GN=TAF7L PE=2 SV=1
+MECPEGQLPISSENDSTPTVSTSEVTSQQEPQILVDRGSETTYESSADIAGDEGTQIPADEDTQTDADSSAQAAAQAPENFQEGKDMSESQDEVPDEVENQFILRLPLEHACTVRNLARSQSVKMKDKLKIDLLPDGRHAVVEVEDVPLAAKLVDLPCVIESLRTLDKKTFYKTADISQMLVCTADGDIHLSPEEPAASTDPNIVRKKERGREEKCVWKHGITPPLKNVRKKRFRKTQKKVPDVKEMEKSSFTEYIESPDVENEVKRLLRSDAEAVSTRWEVIAEDGTKEIESQGSIPGFLISSGMSSHKQGHTSSEYDMLREMFSDSRSNNDDDEDEDDEDEDEDEDEDEDEDKEEEEEDCSEEYLERQLQAEFIESGQYRANEGTSSIVMEIQKQIEKKEKKLHKIQNKAQRQKDLIMKVENLTLKNHFQSVLEQLELQEKQKNEKLISLQEQLQRFLKK
+>DECOY_sp|Q5H9L4|TAF7L_HUMAN Transcription initiation factor TFIID subunit 7-like OS=Homo sapiens OX=9606 GN=TAF7L PE=2 SV=1
+KKLFRQLQEQLSILKENKQKEQLELQELVSQFHNKLTLNEVKMILDKQRQAKNQIKHLKKEKKEIQKQIEMVISSTGENARYQGSEIFEAQLQRELYEESCDEEEEEKDEDEDEDEDEDEDEDDEDEDDDNNSRSDSFMERLMDYESSTHGQKHSSMGSSILFGPISGQSEIEKTGDEAIVEWRTSVAEADSRLLRKVENEVDPSEIYETFSSKEMEKVDPVKKQTKRFRKKRVNKLPPTIGHKWVCKEERGREKKRVINPDTSAAPEEPSLHIDGDATCVLMQSIDATKYFTKKDLTRLSEIVCPLDVLKAALPVDEVEVVAHRGDPLLDIKLKDKMKVSQSRALNRVTCAHELPLRLIFQNEVEDPVEDQSESMDKGEQFNEPAQAAAQASSDADTQTDEDAPIQTGEDGAIDASSEYTTESGRDVLIQPEQQSTVESTSVTPTSDNESSIPLQGEPCEM
+>sp|P17542|TAL1_HUMAN T-cell acute lymphocytic leukemia protein 1 OS=Homo sapiens OX=9606 GN=TAL1 PE=1 SV=2
+MTERPPSEAARSDPQLEGRDAAEASMAPPHLVLLNGVAKETSRAAAAEPPVIELGARGGPGGGPAGGGGAARDLKGRDAATAEARHRVPTTELCRPPGPAPAPAPASVTAELPGDGRMVQLSPPALAAPAAPGRALLYSLSQPLASLGSGFFGEPDAFPMFTTNNRVKRRPSPYEMEITDGPHTKVVRRIFTNSRERWRQQNVNGAFAELRKLIPTHPPDKKLSKNEILRLAMKYINFLAKLLNDQEEEGTQRAKTGKDPVVGAGGGGGGGGGGAPPDDLLQDVLSPNSSCGSSLDGAASPDSYTEEPAPKHTARSLHPAMLPAADGAGPR
+>DECOY_sp|P17542|TAL1_HUMAN T-cell acute lymphocytic leukemia protein 1 OS=Homo sapiens OX=9606 GN=TAL1 PE=1 SV=2
+RPGAGDAAPLMAPHLSRATHKPAPEETYSDPSAAGDLSSGCSSNPSLVDQLLDDPPAGGGGGGGGGGAGVVPDKGTKARQTGEEEQDNLLKALFNIYKMALRLIENKSLKKDPPHTPILKRLEAFAGNVNQQRWRERSNTFIRRVVKTHPGDTIEMEYPSPRRKVRNNTTFMPFADPEGFFGSGLSALPQSLSYLLARGPAAPAALAPPSLQVMRGDGPLEATVSAPAPAPAPGPPRCLETTPVRHRAEATAADRGKLDRAAGGGGAPGGGPGGRAGLEIVPPEAAAARSTEKAVGNLLVLHPPAMSAEAADRGELQPDSRAAESPPRETM
+>sp|Q9UFV1|TBC29_HUMAN Putative TBC1 domain family member 29 OS=Homo sapiens OX=9606 GN=TBC1D29 PE=2 SV=1
+MGHLDKEGLCTQGSSFSWLLRVLNDGISLGLTPCLWDMYLLEGEQMLMLITSIAFKVQRSLYEETNKETWGPATPRALKGTGRARPICESLHSSLQALTASESSRGPSLLQTPPRVPGQQALSRGDKGISVSLSLPSLPSRRGRCGRIIG
+>DECOY_sp|Q9UFV1|TBC29_HUMAN Putative TBC1 domain family member 29 OS=Homo sapiens OX=9606 GN=TBC1D29 PE=2 SV=1
+GIIRGCRGRRSPLSPLSLSVSIGKDGRSLAQQGPVRPPTQLLSPGRSSESATLAQLSSHLSECIPRARGTGKLARPTAPGWTEKNTEEYLSRQVKFAISTILMLMQEGELLYMDWLCPTLGLSIGDNLVRLLWSFSSGQTCLGEKDLHGM
+>sp|Q8IZP1|TBC3A_HUMAN TBC1 domain family member 3 OS=Homo sapiens OX=9606 GN=TBC1D3 PE=1 SV=6
+MDVVEVAGSWWAQEREDIIMKYEKGHRAGLPEDKGPKPFRSYNNNVDHLGIVHETELPPLTAREAKQIRREISRKSKWVDMLGDWEKYKSSRKLIDRAYKGMPMNIRGPMWSVLLNTEEMKLKNPGRYQIMKEKGKRSSEHIQRIDRDVSGTLRKHIFFRDRYGTKQRELLHILLAYEEYNPEVGYCRDLSHIAALFLLYLPEEDAFWALVQLLASERHSLQGFHSPNGGTVQGLQDQQEHVVATSQPKTMGHQDKKDLCGQCSPLGCLIRILIDGISLGLTLRLWDVYLVEGEQALMPITRIAFKVQQKRLTKTSRCGPWARFCNRFVDTWARDEDTVLKHLRASMKKLTRKKGDLPPPAKPEQGSSASRPVPASRGGKTLCKGDRQAPPGPPARFPRPIWSASPPRAPRSSTPCPGGAVREDTYPVGTQGVPSPALAQGGPQGSWRFLQWNSMPRLPTDLDVEGPWFRHYDFRQSCWVRAISQEDQLAPCWQAEHPAERVRSAFAAPSTDSDQGTPFRARDEQQCAPTSGPCLCGLHLESSQFPPGF
+>DECOY_sp|Q8IZP1|TBC3A_HUMAN TBC1 domain family member 3 OS=Homo sapiens OX=9606 GN=TBC1D3 PE=1 SV=6
+FGPPFQSSELHLGCLCPGSTPACQQEDRARFPTGQDSDTSPAAFASRVREAPHEAQWCPALQDEQSIARVWCSQRFDYHRFWPGEVDLDTPLRPMSNWQLFRWSGQPGGQALAPSPVGQTGVPYTDERVAGGPCPTSSRPARPPSASWIPRPFRAPPGPPAQRDGKCLTKGGRSAPVPRSASSGQEPKAPPPLDGKKRTLKKMSARLHKLVTDEDRAWTDVFRNCFRAWPGCRSTKTLRKQQVKFAIRTIPMLAQEGEVLYVDWLRLTLGLSIGDILIRILCGLPSCQGCLDKKDQHGMTKPQSTAVVHEQQDQLGQVTGGNPSHFGQLSHRESALLQVLAWFADEEPLYLLFLAAIHSLDRCYGVEPNYEEYALLIHLLERQKTGYRDRFFIHKRLTGSVDRDIRQIHESSRKGKEKMIQYRGPNKLKMEETNLLVSWMPGRINMPMGKYARDILKRSSKYKEWDGLMDVWKSKRSIERRIQKAERATLPPLETEHVIGLHDVNNNYSRFPKPGKDEPLGARHGKEYKMIIDEREQAWWSGAVEVVDM
+>sp|A6NER0|TBC3F_HUMAN TBC1 domain family member 3F OS=Homo sapiens OX=9606 GN=TBC1D3F PE=2 SV=3
+MDVVEVAGSWWAQEREDIIMKYEKGHRAGLPEDKGPKPFRSYNNNVDHLGIVHETELPPLTAREAKQIRREISRKSKWVDMLGDWEKYKSSRKLIDRAYKGMPMNIRGPMWSVLLNIEEMKLKNPGRYQIMKEKGKRSSEHIQRIDRDVSGTLRKHIFFRDRYGTKQRELLHILLAYEEYNPEVGYCRDLSHIAALFLLYLPEEDAFWALVQLLASERHSLQGFHSPNGGTVQGLQDQQEHVVATSQPKTMGHQDKKDLCGQCSPLGCLIRILIDGISLGLTLRLWDVYLVEGEQALMPITRIAFKVQQKRLTKTSRCGPWARFCNRFVDTWARDEDTVLKHLRASMKKLTRKKGDVPPPAKPEQGSSASRPVPASRGGKTLCKGDRQAPPGPPARFPRPIWSASPPRAPRSSTPCPGGAVREDTYPVGTQGVPSPALAQGGPQGSWRFLQWNSMPRLPTDLDVEGPWFRHYDFRQSCWVRAISQEDQLAPCWQAEHPAERVRSAFAAPSTDSDQGTPFRARDEQQCAPTSGPCLCGLHLESSQFPPGF
+>DECOY_sp|A6NER0|TBC3F_HUMAN TBC1 domain family member 3F OS=Homo sapiens OX=9606 GN=TBC1D3F PE=2 SV=3
+FGPPFQSSELHLGCLCPGSTPACQQEDRARFPTGQDSDTSPAAFASRVREAPHEAQWCPALQDEQSIARVWCSQRFDYHRFWPGEVDLDTPLRPMSNWQLFRWSGQPGGQALAPSPVGQTGVPYTDERVAGGPCPTSSRPARPPSASWIPRPFRAPPGPPAQRDGKCLTKGGRSAPVPRSASSGQEPKAPPPVDGKKRTLKKMSARLHKLVTDEDRAWTDVFRNCFRAWPGCRSTKTLRKQQVKFAIRTIPMLAQEGEVLYVDWLRLTLGLSIGDILIRILCGLPSCQGCLDKKDQHGMTKPQSTAVVHEQQDQLGQVTGGNPSHFGQLSHRESALLQVLAWFADEEPLYLLFLAAIHSLDRCYGVEPNYEEYALLIHLLERQKTGYRDRFFIHKRLTGSVDRDIRQIHESSRKGKEKMIQYRGPNKLKMEEINLLVSWMPGRINMPMGKYARDILKRSSKYKEWDGLMDVWKSKRSIERRIQKAERATLPPLETEHVIGLHDVNNNYSRFPKPGKDEPLGARHGKEYKMIIDEREQAWWSGAVEVVDM
+>sp|A0A087WXS9|TBC3I_HUMAN TBC1 domain family member 3I OS=Homo sapiens OX=9606 GN=TBC1D3I PE=3 SV=1
+MDVVEVAGSWWAQEREDIIMKYEKGHRAGLPEDKGPKPFRSYNNNVDHLGIVHETELPPLTAREAKQIRREISRKSKWVDMLGDWEKYKSSRKLIDRAYKGMPMNIRGPMWSVLLNTEEMKMKNPGRYQIMKEKGKRSSEHIQRIDRDVSGTLRKHIFFRDRYGTKQRELLHILLAYEEYNPEVGYCRDLSHIAALFLLYLPEEDAFWALVQLLASERHSLQGFHSPNGGTVQGLQDQQEHVVATSQPKTMGHQDKKDLCGQCSPLGCLIRILIDGISLGLTLRLWDVYLVEGEQALMPITRIAFKVQQKRLTKTSRCGPWARFCNRFVDTWARDEDTVLKHLRASMKKLTRKQGDLPPPAKPEQGSSASRPVPASRGGKTLCKGDRQAPPGPPARFPRPIWSASPPRAPRSSTPCPGGAVREDTYPVGTQGVPSPALAQGGPQGSWRFLQWNSMPRLPTDLDVEGPWFRHYDFRQSCWVRAISQEDQLAPCWQAEHPAERVRSAFAAPSTDSDQGTPFRARDEQQCAPTSGPCLCGLHLESSQFPPGF
+>DECOY_sp|A0A087WXS9|TBC3I_HUMAN TBC1 domain family member 3I OS=Homo sapiens OX=9606 GN=TBC1D3I PE=3 SV=1
+FGPPFQSSELHLGCLCPGSTPACQQEDRARFPTGQDSDTSPAAFASRVREAPHEAQWCPALQDEQSIARVWCSQRFDYHRFWPGEVDLDTPLRPMSNWQLFRWSGQPGGQALAPSPVGQTGVPYTDERVAGGPCPTSSRPARPPSASWIPRPFRAPPGPPAQRDGKCLTKGGRSAPVPRSASSGQEPKAPPPLDGQKRTLKKMSARLHKLVTDEDRAWTDVFRNCFRAWPGCRSTKTLRKQQVKFAIRTIPMLAQEGEVLYVDWLRLTLGLSIGDILIRILCGLPSCQGCLDKKDQHGMTKPQSTAVVHEQQDQLGQVTGGNPSHFGQLSHRESALLQVLAWFADEEPLYLLFLAAIHSLDRCYGVEPNYEEYALLIHLLERQKTGYRDRFFIHKRLTGSVDRDIRQIHESSRKGKEKMIQYRGPNKMKMEETNLLVSWMPGRINMPMGKYARDILKRSSKYKEWDGLMDVWKSKRSIERRIQKAERATLPPLETEHVIGLHDVNNNYSRFPKPGKDEPLGARHGKEYKMIIDEREQAWWSGAVEVVDM
+>sp|B9A6J9|TBC3L_HUMAN TBC1 domain family member 3L OS=Homo sapiens OX=9606 GN=TBC1D3L PE=2 SV=1
+MDVVEVAGSWWAQEREDIIMKYEKGHRAGLPEDKGPKPFRSYNNNVDHLGIVHETELPPLTAREAKQIRREISRKSKWVDMLGDWEKYKSSRKLIDRAYKGMPMNIRGPMWSVLLNTEEMKLKNPGRYQIMKEKGKRSSEHIQRIDRDVSGTLRKHIFFRDRYGTKQRELLHILLAYEEYNPEVGYCRDLSHIAALFLLYLPEEDAFWALVQLLASERHSLQGFHSPNGGTVQGLQDQQEHVVATSQPKTMGHQDKKDLCGQCSPLGCLIRILIDGISLGLTLRLWDVYLVEGEQALMPITRIAFKVQQKRLTKTSRCGPWARFCNRFVDTWARDEDTVLKHLRASMKKLTRKQGDLQPPAKPEQGSSASRPVPASRGGKTLCKGDRQAPPGPPARFPRPIWSASPPRAPRSSTPCPGGAVREDTYPVGTQGVPSPALAQGGPQGSWRFLQWNSMPRLPTDLDVEGPWFRHYDFRQSCWVRAISQEDQLAPCWQAEHPAERVRSAFAAPSTDSDQGTPFRARDEQPCAPTSGPCLCGLHLESSQFPPGF
+>DECOY_sp|B9A6J9|TBC3L_HUMAN TBC1 domain family member 3L OS=Homo sapiens OX=9606 GN=TBC1D3L PE=2 SV=1
+FGPPFQSSELHLGCLCPGSTPACPQEDRARFPTGQDSDTSPAAFASRVREAPHEAQWCPALQDEQSIARVWCSQRFDYHRFWPGEVDLDTPLRPMSNWQLFRWSGQPGGQALAPSPVGQTGVPYTDERVAGGPCPTSSRPARPPSASWIPRPFRAPPGPPAQRDGKCLTKGGRSAPVPRSASSGQEPKAPPQLDGQKRTLKKMSARLHKLVTDEDRAWTDVFRNCFRAWPGCRSTKTLRKQQVKFAIRTIPMLAQEGEVLYVDWLRLTLGLSIGDILIRILCGLPSCQGCLDKKDQHGMTKPQSTAVVHEQQDQLGQVTGGNPSHFGQLSHRESALLQVLAWFADEEPLYLLFLAAIHSLDRCYGVEPNYEEYALLIHLLERQKTGYRDRFFIHKRLTGSVDRDIRQIHESSRKGKEKMIQYRGPNKLKMEETNLLVSWMPGRINMPMGKYARDILKRSSKYKEWDGLMDVWKSKRSIERRIQKAERATLPPLETEHVIGLHDVNNNYSRFPKPGKDEPLGARHGKEYKMIIDEREQAWWSGAVEVVDM
+>sp|Q92609|TBCD5_HUMAN TBC1 domain family member 5 OS=Homo sapiens OX=9606 GN=TBC1D5 PE=1 SV=1
+MYHSLSETRHPLQPEEQEVGIDPLSSYSNKSGGDSNKNGRRTSSTLDSEGTFNSYRKEWEELFVNNNYLATIRQKGINGQLRSSRFRSICWKLFLCVLPQDKSQWISRIEELRAWYSNIKEIHITNPRKVVGQQDLMINNPLSQDEGSLWNKFFQDKELRSMIEQDVKRTFPEMQFFQQENVRKILTDVLFCYARENEQLLYKQGMHELLAPIVFVLHCDHQAFLHASESAQPSEEMKTVLNPEYLEHDAYAVFSQLMETAEPWFSTFEHDGQKGKETLMTPIPFARPQDLGPTIAIVTKVNQIQDHLLKKHDIELYMHLNRLEIAPQIYGLRWVRLLFGREFPLQDLLVVWDALFADGLSLGLVDYIFVAMLLYIRDALISSNYQTCLGLLMHYPFIGDVHSLILKALFLRDPKRNPRPVTYQFHPNLDYYKARGADLMNKSRTNAKGAPLNINKVSNSLINFGRKLISPAMAPGSAGGPVPGGNSSSSSSVVIPTRTSAEAPSHHLQQQQQQQRLMKSESMPVQLNKGLSSKNISSSPSVESLPGGREFTGSPPSSATKKDSFFSNISRSRSHSKTMGRKESEEELEAQISFLQGQLNDLDAMCKYCAKVMDTHLVNIQDVILQENLEKEDQILVSLAGLKQIKDILKGSLRFNQSQLEAEENEQITIADNHYCSSGQGQGRGQGQSVQMSGAIKQASSETPGCTDRGNSDDFILISKDDDGSSARGSFSGQAQPLRTLRSTSGKSQAPVCSPLVFSDPLMGPASASSSNPSSSPDDDSSKDSGFTIVSPLDI
+>DECOY_sp|Q92609|TBCD5_HUMAN TBC1 domain family member 5 OS=Homo sapiens OX=9606 GN=TBC1D5 PE=1 SV=1
+IDLPSVITFGSDKSSDDDPSSSPNSSSASAPGMLPDSFVLPSCVPAQSKGSTSRLTRLPQAQGSFSGRASSGDDDKSILIFDDSNGRDTCGPTESSAQKIAGSMQVSQGQGRGQGQGSSCYHNDAITIQENEEAELQSQNFRLSGKLIDKIQKLGALSVLIQDEKELNEQLIVDQINVLHTDMVKACYKCMADLDNLQGQLFSIQAELEEESEKRGMTKSHSRSRSINSFFSDKKTASSPPSGTFERGGPLSEVSPSSSINKSSLGKNLQVPMSESKMLRQQQQQQQLHHSPAEASTRTPIVVSSSSSSNGGPVPGGASGPAMAPSILKRGFNILSNSVKNINLPAGKANTRSKNMLDAGRAKYYDLNPHFQYTVPRPNRKPDRLFLAKLILSHVDGIFPYHMLLGLCTQYNSSILADRIYLLMAVFIYDVLGLSLGDAFLADWVVLLDQLPFERGFLLRVWRLGYIQPAIELRNLHMYLEIDHKKLLHDQIQNVKTVIAITPGLDQPRAFPIPTMLTEKGKQGDHEFTSFWPEATEMLQSFVAYADHELYEPNLVTKMEESPQASESAHLFAQHDCHLVFVIPALLEHMGQKYLLQENERAYCFLVDTLIKRVNEQQFFQMEPFTRKVDQEIMSRLEKDQFFKNWLSGEDQSLPNNIMLDQQGVVKRPNTIHIEKINSYWARLEEIRSIWQSKDQPLVCLFLKWCISRFRSSRLQGNIGKQRITALYNNNVFLEEWEKRYSNFTGESDLTSSTRRGNKNSDGGSKNSYSSLPDIGVEQEEPQLPHRTESLSHYM
+>sp|Q9BTW9|TBCD_HUMAN Tubulin-specific chaperone D OS=Homo sapiens OX=9606 GN=TBCD PE=1 SV=2
+MALSDEPAAGGPEEEAEDETLAFGAALEAFGESAETRALLGRLREVHGGGAEREVALERFRVIMDKYQEQPHLLDPHLEWMMNLLLDIVQDQTSPASLVHLAFKFLYIITKVRGYKTFLRLFPHEVADVEPVLDLVTIQNPKDHEAWETRYMLLLWLSVTCLIPFDFSRLDGNLLTQPGQARMSIMDRILQIAESYLIVSDKARDAAAVLVSRFITRPDVKQSKMAEFLDWSLCNLARSSFQTMQGVITMDGTLQALAQIFKHGKREDCLPYAATVLRCLDGCRLPESNQTLLRKLGVKLVQRLGLTFLKPKVAAWRYQRGCRSLAANLQLLTQGQSEQKPLILTEDDDEDDDVPEGVERVIEQLLVGLKDKDTVVRWSAAKGIGRMAGRLPRALADDVVGSVLDCFSFQETDKAWHGGCLALAELGRRGLLLPSRLVDVVAVILKALTYDEKRGACSVGTNVRDAACYVCWAFARAYEPQELKPFVTAISSALVIAAVFDRDINCRRAASAAFQENVGRQGTFPHGIDILTTADYFAVGNRSNCFLVISVFIAGFPEYTQPMIDHLVTMKISHWDGVIRELAARALHNLAQQAPEFSATQVFPRLLSMTLSPDLHMRHGSILACAEVAYALYKLAAQENRPVTDHLDEQAVQGLKQIHQQLYDRQLYRGLGGQLMRQAVCVLIEKLSLSKMPFRGDTVIDGWQWLINDTLRHLHLISSHSRQQMKDAAVSALAALCSEYYMKEPGEADPAIQEELITQYLAELRNPEEMTRCGFSLALGALPGFLLKGRLQQVLTGLRAVTHTSPEDVSFAESRRDGLKAIARICQTVGVKAGAPDEAVCGENVSQIYCALLGCMDDYTTDSRGDVGTWVRKAAMTSLMDLTLLLARSQPELIEAHTCERIMCCVAQQASEKIDRFRAHAASVFLTLLHFDSPPIPHVPHRGELEKLFPRSDVASVNWSAPSQAFPRITQLLGLPTYRYHVLLGLVVSLGGLTESTIRHSTQSLFEYMKGIQSDPQALGSFSGTLLQIFEDNLLNERVSVPLLKTLDHVLTHGCFDIFTTEEDHPFAVKLLALCKKEIKNSKDIQKLLSGIAVFCEMVQFPGDVRRQALLQLCLLLCHRFPLIRKTTASQVYETLLTYSDVVGADVLDEVVTVLSDTAWDAELAVVREQRNRLCDLLGVPRPQLVPQPGAC
+>DECOY_sp|Q9BTW9|TBCD_HUMAN Tubulin-specific chaperone D OS=Homo sapiens OX=9606 GN=TBCD PE=1 SV=2
+CAGPQPVLQPRPVGLLDCLRNRQERVVALEADWATDSLVTVVEDLVDAGVVDSYTLLTEYVQSATTKRILPFRHCLLLCLQLLAQRRVDGPFQVMECFVAIGSLLKQIDKSNKIEKKCLALLKVAFPHDEETTFIDFCGHTLVHDLTKLLPVSVRENLLNDEFIQLLTGSFSGLAQPDSQIGKMYEFLSQTSHRITSETLGGLSVVLGLLVHYRYTPLGLLQTIRPFAQSPASWNVSAVDSRPFLKELEGRHPVHPIPPSDFHLLTLFVSAAHARFRDIKESAQQAVCCMIRECTHAEILEPQSRALLLTLDMLSTMAAKRVWTGVDGRSDTTYDDMCGLLACYIQSVNEGCVAEDPAGAKVGVTQCIRAIAKLGDRRSEAFSVDEPSTHTVARLGTLVQQLRGKLLFGPLAGLALSFGCRTMEEPNRLEALYQTILEEQIAPDAEGPEKMYYESCLAALASVAADKMQQRSHSSILHLHRLTDNILWQWGDIVTDGRFPMKSLSLKEILVCVAQRMLQGGLGRYLQRDYLQQHIQKLGQVAQEDLHDTVPRNEQAALKYLAYAVEACALISGHRMHLDPSLTMSLLRPFVQTASFEPAQQALNHLARAALERIVGDWHSIKMTVLHDIMPQTYEPFGAIFVSIVLFCNSRNGVAFYDATTLIDIGHPFTGQRGVNEQFAASAARRCNIDRDFVAAIVLASSIATVFPKLEQPEYARAFAWCVYCAADRVNTGVSCAGRKEDYTLAKLIVAVVDVLRSPLLLGRRGLEALALCGGHWAKDTEQFSFCDLVSGVVDDALARPLRGAMRGIGKAASWRVVTDKDKLGVLLQEIVREVGEPVDDDEDDDETLILPKQESQGQTLLQLNAALSRCGRQYRWAAVKPKLFTLGLRQVLKVGLKRLLTQNSEPLRCGDLCRLVTAAYPLCDERKGHKFIQALAQLTGDMTIVGQMTQFSSRALNCLSWDLFEAMKSQKVDPRTIFRSVLVAAADRAKDSVILYSEAIQLIRDMISMRAQGPQTLLNGDLRSFDFPILCTVSLWLLLMYRTEWAEHDKPNQITVLDLVPEVDAVEHPFLRLFTKYGRVKTIIYLFKFALHVLSAPSTQDQVIDLLLNMMWELHPDLLHPQEQYKDMIVRFRELAVEREAGGGHVERLRGLLARTEASEGFAELAAGFALTEDEAEEEPGGAAPEDSLAM
+>sp|Q15813|TBCE_HUMAN Tubulin-specific chaperone E OS=Homo sapiens OX=9606 GN=TBCE PE=1 SV=1
+MSDTLTADVIGRRVEVNGEHATVRFAGVVPPVAGPWLGVEWDNPERGKHDGSHEGTVYFKCRHPTGGSFIRPNKVNFGTDFLTAIKNRYVLEDGPEEDRKEQIVTIGNKPVETIGFDSIMKQQSQLSKLQEVSLRNCAVSCAGEKGGVAEACPNIRKVDLSKNLLSSWDEVIHIADQLRHLEVLNVSENKLKFPSGSVLTGTLSVLKVLVLNQTGITWAEVLRCVAGCPGLEELYLESNNIFISERPTDVLQTVKLLDLSSNQLIDENQLYLIAHLPRLEQLILSDTGISSLHFPDAGIGCKTSMFPSLKYLVVNDNQISQWSFFNELEKLPSLRALSCLRNPLTKEDKEAETARLLIIASIGQLKTLNKCEILPEERRRAELDYRKAFGNEWKQAGGHKDPEKNRLSEEFLTAHPRYQFLCLKYGAPEDWELKTQQPLMLKNQLLTLKIKYPHQLDQKVLEKQLPGSMTIQKVKGLLSRLLKVPVSDLLLSYESPKKPGREIELENDLKSLQFYSVENGDCLLVRW
+>DECOY_sp|Q15813|TBCE_HUMAN Tubulin-specific chaperone E OS=Homo sapiens OX=9606 GN=TBCE PE=1 SV=1
+WRVLLCDGNEVSYFQLSKLDNELEIERGPKKPSEYSLLLDSVPVKLLRSLLGKVKQITMSGPLQKELVKQDLQHPYKIKLTLLQNKLMLPQQTKLEWDEPAGYKLCLFQYRPHATLFEESLRNKEPDKHGGAQKWENGFAKRYDLEARRREEPLIECKNLTKLQGISAIILLRATEAEKDEKTLPNRLCSLARLSPLKELENFFSWQSIQNDNVVLYKLSPFMSTKCGIGADPFHLSSIGTDSLILQELRPLHAILYLQNEDILQNSSLDLLKVTQLVDTPRESIFINNSELYLEELGPCGAVCRLVEAWTIGTQNLVLVKLVSLTGTLVSGSPFKLKNESVNLVELHRLQDAIHIVEDWSSLLNKSLDVKRINPCAEAVGGKEGACSVACNRLSVEQLKSLQSQQKMISDFGITEVPKNGITVIQEKRDEEPGDELVYRNKIATLFDTGFNVKNPRIFSGGTPHRCKFYVTGEHSGDHKGREPNDWEVGLWPGAVPPVVGAFRVTAHEGNVEVRRGIVDATLTDSM
+>sp|P23258|TBG1_HUMAN Tubulin gamma-1 chain OS=Homo sapiens OX=9606 GN=TUBG1 PE=1 SV=2
+MPREIITLQLGQCGNQIGFEFWKQLCAEHGISPEGIVEEFATEGTDRKDVFFYQADDEHYIPRAVLLDLEPRVIHSILNSPYAKLYNPENIYLSEHGGGAGNNWASGFSQGEKIHEDIFDIIDREADGSDSLEGFVLCHSIAGGTGSGLGSYLLERLNDRYPKKLVQTYSVFPNQDEMSDVVVQPYNSLLTLKRLTQNADCVVVLDNTALNRIATDRLHIQNPSFSQINQLVSTIMSASTTTLRYPGYMNNDLIGLIASLIPTPRLHFLMTGYTPLTTDQSVASVRKTTVLDVMRRLLQPKNVMVSTGRDRQTNHCYIAILNIIQGEVDPTQVHKSLQRIRERKLANFIPWGPASIQVALSRKSPYLPSAHRVSGLMMANHTSISSLFERTCRQYDKLRKREAFLEQFRKEDMFKDNFDEMDTSREIVQQLIDEYHAATRPDYISWGTQEQ
+>DECOY_sp|P23258|TBG1_HUMAN Tubulin gamma-1 chain OS=Homo sapiens OX=9606 GN=TUBG1 PE=1 SV=2
+QEQTGWSIYDPRTAAHYEDILQQVIERSTDMEDFNDKFMDEKRFQELFAERKRLKDYQRCTREFLSSISTHNAMMLGSVRHASPLYPSKRSLAVQISAPGWPIFNALKRERIRQLSKHVQTPDVEGQIINLIAIYCHNTQRDRGTSVMVNKPQLLRRMVDLVTTKRVSAVSQDTTLPTYGTMLFHLRPTPILSAILGILDNNMYGPYRLTTTSASMITSVLQNIQSFSPNQIHLRDTAIRNLATNDLVVVCDANQTLRKLTLLSNYPQVVVDSMEDQNPFVSYTQVLKKPYRDNLRELLYSGLGSGTGGAISHCLVFGELSDSGDAERDIIDFIDEHIKEGQSFGSAWNNGAGGGHESLYINEPNYLKAYPSNLISHIVRPELDLLVARPIYHEDDAQYFFVDKRDTGETAFEEVIGEPSIGHEACLQKWFEFGIQNGCQGLQLTIIERPM
+>sp|Q9BQ87|TBL1Y_HUMAN F-box-like/WD repeat-containing protein TBL1Y OS=Homo sapiens OX=9606 GN=TBL1Y PE=2 SV=1
+MSITSDEVNFLVYRYLQESGFSHSAFTFGIESHISQSNINGTLVPPSALISILQKGLQYVEAEISINKDGTVFDSRPIESLSLIVAVIPDVVQMRQQAFGEKLTQQQASAAATEASAMAKAATMTPAAISQQNPPKNREATVNGEENGAHEINNHSKPMEIDGDVEIPPNKATVLRGHESEVFICAWNPVSDLLASGSGDSTARIWNLNENSNGGSTQLVLRHCIREGGHDVPSNKDVTSLDWNSDGTLLAMGSYDGFARIWTENGNLASTLGQHKGPIFALKWNKKGNYVLSAGVDKTTIIWDAHTGEAKQQFPFHSAPALDVDWQNNMTFASCSTDMCIHVCRLGCDHPVKTFQGHTNEVNAIKWDPSGMLLASCSDDMTLKIWSMKQDACVHDLQAHSKEIYTIKWSPTGPATSNPNSSIMLASASFDSTVRLWDVEQGVCTHTLMKHQEPVYSVAFSPDGKYLASGSFDKYVHIWNTQSGSLVHSYQGTGGIFEVCWNARGDKVGASASDGSVCVLDL
+>DECOY_sp|Q9BQ87|TBL1Y_HUMAN F-box-like/WD repeat-containing protein TBL1Y OS=Homo sapiens OX=9606 GN=TBL1Y PE=2 SV=1
+LDLVCVSGDSASAGVKDGRANWCVEFIGGTGQYSHVLSGSQTNWIHVYKDFSGSALYKGDPSFAVSYVPEQHKMLTHTCVGQEVDWLRVTSDFSASALMISSNPNSTAPGTPSWKITYIEKSHAQLDHVCADQKMSWIKLTMDDSCSALLMGSPDWKIANVENTHGQFTKVPHDCGLRCVHICMDTSCSAFTMNNQWDVDLAPASHFPFQQKAEGTHADWIITTKDVGASLVYNGKKNWKLAFIPGKHQGLTSALNGNETWIRAFGDYSGMALLTGDSNWDLSTVDKNSPVDHGGERICHRLVLQTSGGNSNENLNWIRATSDGSGSALLDSVPNWACIFVESEHGRLVTAKNPPIEVDGDIEMPKSHNNIEHAGNEEGNVTAERNKPPNQQSIAAPTMTAAKAMASAETAAASAQQQTLKEGFAQQRMQVVDPIVAVILSLSEIPRSDFVTGDKNISIEAEVYQLGKQLISILASPPVLTGNINSQSIHSEIGFTFASHSFGSEQLYRYVLFNVEDSTISM
+>sp|Q16650|TBR1_HUMAN T-box brain protein 1 OS=Homo sapiens OX=9606 GN=TBR1 PE=1 SV=1
+MQLEHCLSPSIMLSKKFLNVSSSYPHSGGSELVLHDHPIISTTDNLERSSPLKKITRGMTNQSDTDNFPDSKDSPGDVQRSKLSPVLDGVSELRHSFDGSAADRYLLSQSSQPQSAATAPSAMFPYPGQHGPAHPAFSIGSPSRYMAHHPVITNGAYNSLLSNSSPQGYPTAGYPYPQQYGHSYQGAPFYQFSSTQPGLVPGKAQVYLCNRPLWLKFHRHQTEMIITKQGRRMFPFLSFNISGLDPTAHYNIFVDVILADPNHWRFQGGKWVPCGKADTNVQGNRVYMHPDSPNTGAHWMRQEISFGKLKLTNNKGASNNNGQMVVLQSLHKYQPRLHVVEVNEDGTEDTSQPGRVQTFTFPETQFIAVTAYQNTDITQLKIDHNPFAKGFRDNYDTIYTGCDMDRLTPSPNDSPRSQIVPGARYAMAGSFLQDQFVSNYAKARFHPGAGAGPGPGTDRSVPHTNGLLSPQQAEDPGAPSPQRWFVTPANNRLDFAASAYDTATDFAGNAATLLSYAAAGVKALPLQAAGCTGRPLGYYADPSGWGARSPPQYCGTKSGSVLPCWPNSAAAAARMAGANPYLGEEAEGLAAERSPLPPGAAEDAKPKDLSDSSWIETPSSIKSIDSSDSGIYEQAKRRRISPADTPVSESSSPLKSEVLAQRDCEKNCAKDISGYYGFYSHS
+>DECOY_sp|Q16650|TBR1_HUMAN T-box brain protein 1 OS=Homo sapiens OX=9606 GN=TBR1 PE=1 SV=1
+SHSYFGYYGSIDKACNKECDRQALVESKLPSSSESVPTDAPSIRRRKAQEYIGSDSSDISKISSPTEIWSSDSLDKPKADEAAGPPLPSREAALGEAEEGLYPNAGAMRAAAAASNPWCPLVSGSKTGCYQPPSRAGWGSPDAYYGLPRGTCGAAQLPLAKVGAAAYSLLTAANGAFDTATDYASAAFDLRNNAPTVFWRQPSPAGPDEAQQPSLLGNTHPVSRDTGPGPGAGAGPHFRAKAYNSVFQDQLFSGAMAYRAGPVIQSRPSDNPSPTLRDMDCGTYITDYNDRFGKAFPNHDIKLQTIDTNQYATVAIFQTEPFTFTQVRGPQSTDETGDENVEVVHLRPQYKHLSQLVVMQGNNNSAGKNNTLKLKGFSIEQRMWHAGTNPSDPHMYVRNGQVNTDAKGCPVWKGGQFRWHNPDALIVDVFINYHATPDLGSINFSLFPFMRRGQKTIIMETQHRHFKLWLPRNCLYVQAKGPVLGPQTSSFQYFPAGQYSHGYQQPYPYGATPYGQPSSNSLLSNYAGNTIVPHHAMYRSPSGISFAPHAPGHQGPYPFMASPATAASQPQSSQSLLYRDAASGDFSHRLESVGDLVPSLKSRQVDGPSDKSDPFNDTDSQNTMGRTIKKLPSSRELNDTTSIIPHDHLVLESGGSHPYSSSVNLFKKSLMISPSLCHELQM
+>sp|O75333|TBX10_HUMAN T-box transcription factor TBX10 OS=Homo sapiens OX=9606 GN=TBX10 PE=2 SV=2
+MAAFLSAGLGILAPSETYPLPTTSSGWEPRLGSPFPSGPCTSSTGAQAVAEPTGQGPKNPRVSRVTVQLEMKPLWEEFNQLGTEMIVTKAGRRMFPPFQVKILGMDSLADYALLMDFIPLDDKRYRYAFHSSAWLVAGKADPATPGRVHFHPDSPAKGAQWMRQIVSFDKLKLTNNLLDDNGHIILNSMHRYQPRFHVVFVDPRKDSERYAQENFKSFIFTETQFTAVTAYQNHRITQLKIASNPFAKGFRESDLDSWPVAPRPLLSVPARSHSSLSPCVLKGATDREKDPNKASASTSKTPAWLHHQLLPPPEVLLAPATYRPVTYQSLYSGAPSHLGIPRTRPAPYPLPNIRADRDQGGLPLPAGLGLLSPTVVCLGPGQDSQ
+>DECOY_sp|O75333|TBX10_HUMAN T-box transcription factor TBX10 OS=Homo sapiens OX=9606 GN=TBX10 PE=2 SV=2
+QSDQGPGLCVVTPSLLGLGAPLPLGGQDRDARINPLPYPAPRTRPIGLHSPAGSYLSQYTVPRYTAPALLVEPPPLLQHHLWAPTKSTSASAKNPDKERDTAGKLVCPSLSSHSRAPVSLLPRPAVPWSDLDSERFGKAFPNSAIKLQTIRHNQYATVATFQTETFIFSKFNEQAYRESDKRPDVFVVHFRPQYRHMSNLIIHGNDDLLNNTLKLKDFSVIQRMWQAGKAPSDPHFHVRGPTAPDAKGAVLWASSHFAYRYRKDDLPIFDMLLAYDALSDMGLIKVQFPPFMRRGAKTVIMETGLQNFEEWLPKMELQVTVRSVRPNKPGQGTPEAVAQAGTSSTCPGSPFPSGLRPEWGSSTTPLPYTESPALIGLGASLFAAM
+>sp|Q8WW35|TC1D2_HUMAN Tctex1 domain-containing protein 2 OS=Homo sapiens OX=9606 GN=TCTEX1D2 PE=1 SV=2
+MATSIGVSFSVGDGVPEAEKNAGEPENTYILRPVFQQRFRPSVVKDCIHAVLKEELANAEYSPEEMPQLTKHLSENIKDKLKEMGFDRYKMVVQVVIGEQRGEGVFMASRCFWDADTDNYTHDVFMNDSLFCVVAAFGCFYY
+>DECOY_sp|Q8WW35|TC1D2_HUMAN Tctex1 domain-containing protein 2 OS=Homo sapiens OX=9606 GN=TCTEX1D2 PE=1 SV=2
+YYFCGFAAVVCFLSDNMFVDHTYNDTDADWFCRSAMFVGEGRQEGIVVQVVMKYRDFGMEKLKDKINESLHKTLQPMEEPSYEANALEEKLVAHICDKVVSPRFRQQFVPRLIYTNEPEGANKEAEPVGDGVSFSVGISTAM
+>sp|Q5JR98|TC1D4_HUMAN Tctex1 domain-containing protein 4 OS=Homo sapiens OX=9606 GN=TCTEX1D4 PE=1 SV=1
+MASRPLPPGRQEEENAKDSGRKPSPVRPRGCLPSIDEARPAGPGPAPASRRGSMLGLAASFSRRNSLVGPGAGPGGQRPSLGPVPPLGSRVSFSGLPLAPARWVAPSYRTEPVPGERWEAARAQRALEAALAAGLHDACYSSDEAARLVRELCEQVHVRLRELSPPRYKLVCSVVLGPRAGQGVHVVSRALWDVARDGLASVSYTNTSLFAVATVHGLYCE
+>DECOY_sp|Q5JR98|TC1D4_HUMAN Tctex1 domain-containing protein 4 OS=Homo sapiens OX=9606 GN=TCTEX1D4 PE=1 SV=1
+ECYLGHVTAVAFLSTNTYSVSALGDRAVDWLARSVVHVGQGARPGLVVSCVLKYRPPSLERLRVHVQECLERVLRAAEDSSYCADHLGAALAAELARQARAAEWREGPVPETRYSPAVWRAPALPLGSFSVRSGLPPVPGLSPRQGGPGAGPGVLSNRRSFSAALGLMSGRRSAPAPGPGAPRAEDISPLCGRPRVPSPKRGSDKANEEEQRGPPLPRSAM
+>sp|A6NFQ2|TCAF2_HUMAN TRPM8 channel-associated factor 2 OS=Homo sapiens OX=9606 GN=TCAF2 PE=1 SV=2
+MATIAAAAFEALMDGVTCWDVPRGPIPSELLLIGEAAFPVMVNDKGQVLIAASSYGRGRLVVVSHEGYLSHTGLAPFLLNAVSWLCPCPGAPVGVHPSLAPLVNILQDAGLEAQVKPEPGEPLGVYCINAYNDTLTATLIQFVKHGGGLLIGGQAWYWASQHGPDKVLSRFPGNKVTSVAGVYFTDTYGDRDRFKVSKKVPKIPLHVRYGEDVRQDQQQLLEGISELDIRTGGVPSQLLVHGALAFPLGLDASLNCFLAAAHYGRGRVVLAAHECLLCAPKMGPFLLNAVRWLARGQTGKVGVNTNLKDLCPLLSEHGLQCSLEPHLNSDLCVYCCKAYSDKEAKQLQEFVAEGGGLLIGGQAWWWASQNPGHCPLAGFPGNIILNCFGLSILPQTLKAGCFPVPTPEMRSYHFRKALSQFQAILNHENGNLEKSCLAKLRVDGAAFLQIPAEGVPAYISLHRLLRKMLRGSGLPAVSRENPVASDSYEAAVLSLATGLAHSGTDCSQLAQGLGTWTCSSSLYPSKHPITVEINGINPGNNDCWVSTGLYLLEGQNAEVSLSEAAASAGLRVQIGCHTDDLTKARKLSRAPVVTHQCWMDRTERSVSCLWGGLLYVIVPKGSQLGPVPVTIRGAVPAPYYKLGKTSLEEWKRQMQENLAPWGELATDNIILTVPTTNLQALKDPEPVLRLWDEMMQAVARLAAEPFPFRRPERIVADVQISAGWMHSGYPIMCHLESVKEIINEMDMRSRGVWGPIHELGHNQQRHGWEFPPHTTEATCNLWSVYVHETVLGIPRAQAHEALSPPERERRIKAHLGKGAPLCDWNVWTALETYLQLQEAFGWEPFTQLFAEYQTLSHLPKDNTGRMNLWVKKFSEKVKKNLVPFFEAWGWPIQKEVADSLASLPEWQENPMQVYLRARK
+>DECOY_sp|A6NFQ2|TCAF2_HUMAN TRPM8 channel-associated factor 2 OS=Homo sapiens OX=9606 GN=TCAF2 PE=1 SV=2
+KRARLYVQMPNEQWEPLSALSDAVEKQIPWGWAEFFPVLNKKVKESFKKVWLNMRGTNDKPLHSLTQYEAFLQTFPEWGFAEQLQLYTELATWVNWDCLPAGKGLHAKIRREREPPSLAEHAQARPIGLVTEHVYVSWLNCTAETTHPPFEWGHRQQNHGLEHIPGWVGRSRMDMENIIEKVSELHCMIPYGSHMWGASIQVDAVIREPRRFPFPEAALRAVAQMMEDWLRLVPEPDKLAQLNTTPVTLIINDTALEGWPALNEQMQRKWEELSTKGLKYYPAPVAGRITVPVPGLQSGKPVIVYLLGGWLCSVSRETRDMWCQHTVVPARSLKRAKTLDDTHCGIQVRLGASAAAESLSVEANQGELLYLGTSVWCDNNGPNIGNIEVTIPHKSPYLSSSCTWTGLGQALQSCDTGSHALGTALSLVAAEYSDSAVPNERSVAPLGSGRLMKRLLRHLSIYAPVGEAPIQLFAAGDVRLKALCSKELNGNEHNLIAQFQSLAKRFHYSRMEPTPVPFCGAKLTQPLISLGFCNLIINGPFGALPCHGPNQSAWWWAQGGILLGGGEAVFEQLQKAEKDSYAKCCYVCLDSNLHPELSCQLGHESLLPCLDKLNTNVGVKGTQGRALWRVANLLFPGMKPACLLCEHAALVVRGRGYHAAALFCNLSADLGLPFALAGHVLLQSPVGGTRIDLESIGELLQQQDQRVDEGYRVHLPIKPVKKSVKFRDRDGYTDTFYVGAVSTVKNGPFRSLVKDPGHQSAWYWAQGGILLGGGHKVFQILTATLTDNYANICYVGLPEGPEPKVQAELGADQLINVLPALSPHVGVPAGPCPCLWSVANLLFPALGTHSLYGEHSVVVLRGRGYSSAAILVQGKDNVMVPFAAEGILLLESPIPGRPVDWCTVGDMLAEFAAAAITAM
+>sp|Q96EI5|TCAL4_HUMAN Transcription elongation factor A protein-like 4 OS=Homo sapiens OX=9606 GN=TCEAL4 PE=1 SV=2
+MEKLYSENEGMASNQGKMENEEQPQDERKPEVTCTLEDKKLENEGKTENKGKTGDEEMLKDKGKPESEGEAKEGKSEREGESEMEGGSEREGKPEIEGKPESEGEPGSETRAAGKRPAEDDVPRKAKRKTNKGLAHYLKEYKEAIHDMNFSNEDMIREFDNMAKVQDEKRKSKQKLGAFLWMQRNLQDPFYPRGPREFRGGCRAPRRDIEDIPYV
+>DECOY_sp|Q96EI5|TCAL4_HUMAN Transcription elongation factor A protein-like 4 OS=Homo sapiens OX=9606 GN=TCEAL4 PE=1 SV=2
+VYPIDEIDRRPARCGGRFERPGRPYFPDQLNRQMWLFAGLKQKSKRKEDQVKAMNDFERIMDENSFNMDHIAEKYEKLYHALGKNTKRKAKRPVDDEAPRKGAARTESGPEGESEPKGEIEPKGERESGGEMESEGERESKGEKAEGESEPKGKDKLMEEDGTKGKNETKGENELKKDELTCTVEPKREDQPQEENEMKGQNSAMGENESYLKEM
+>sp|Q8WWU5|TCP11_HUMAN T-complex protein 11 homolog OS=Homo sapiens OX=9606 GN=TCP11 PE=1 SV=1
+MPDVKESVPPKYPGDSEGRSCKPETSGPPQEDKSGSEDPPPFLSVTGLTETVNEVSKLSNKIGMNCDYYMEEKVLPPSSLEGKVKETVHNAFWDHLKEQLSATPPDFSCALELLKEIKEILLSLLLPRQNRLRIEIEEALDMDLLKQEAEHGALKVLYLSKYVLNMMALLCAPVRDEAVQKLENITDPVWLLRGIFQVLGRMKMDMVNYTIQSLQPHLQEHSIQYERAKFQELLNKQPSLLNHTTKWLTQAAGDLTMSPPTCPDTSDSSSVAGPSPNEAANNPEPLSPTMVLCQGFLNLLLWDLENEEFPETLLMDRTRLQELKSQLHQLTVMASVLLVASSFSGSVLFGSPQFVDKLKRITKSLLEDFHSRPEEAILTVSEQVSQEIHQSLKNMGLVALSSDNTASLMGQLQNIAKKENCVCSVIDQRIHLFLKCCLVLGVQRSLLDLPGGLTLIEAELAELGQKFVNLTHHNQQVFGPYYTEILKTLISPAQALETKVESV
+>DECOY_sp|Q8WWU5|TCP11_HUMAN T-complex protein 11 homolog OS=Homo sapiens OX=9606 GN=TCP11 PE=1 SV=1
+VSEVKTELAQAPSILTKLIETYYPGFVQQNHHTLNVFKQGLEALEAEILTLGGPLDLLSRQVGLVLCCKLFLHIRQDIVSCVCNEKKAINQLQGMLSATNDSSLAVLGMNKLSQHIEQSVQESVTLIAEEPRSHFDELLSKTIRKLKDVFQPSGFLVSGSFSSAVLLVSAMVTLQHLQSKLEQLRTRDMLLTEPFEENELDWLLLNLFGQCLVMTPSLPEPNNAAENPSPGAVSSSDSTDPCTPPSMTLDGAAQTLWKTTHNLLSPQKNLLEQFKAREYQISHEQLHPQLSQITYNVMDMKMRGLVQFIGRLLWVPDTINELKQVAEDRVPACLLAMMNLVYKSLYLVKLAGHEAEQKLLDMDLAEEIEIRLRNQRPLLLSLLIEKIEKLLELACSFDPPTASLQEKLHDWFANHVTEKVKGELSSPPLVKEEMYYDCNMGIKNSLKSVENVTETLGTVSLFPPPDESGSKDEQPPGSTEPKCSRGESDGPYKPPVSEKVDPM
+>sp|Q99832|TCPH_HUMAN T-complex protein 1 subunit eta OS=Homo sapiens OX=9606 GN=CCT7 PE=1 SV=2
+MMPTPVILLKEGTDSSQGIPQLVSNISACQVIAEAVRTTLGPRGMDKLIVDGRGKATISNDGATILKLLDVVHPAAKTLVDIAKSQDAEVGDGTTSVTLLAAEFLKQVKPYVEEGLHPQIIIRAFRTATQLAVNKIKEIAVTVKKADKVEQRKLLEKCAMTALSSKLISQQKAFFAKMVVDAVMMLDDLLQLKMIGIKKVQGGALEDSQLVAGVAFKKTFSYAGFEMQPKKYHNPKIALLNVELELKAEKDNAEIRVHTVEDYQAIVDAEWNILYDKLEKIHHSGAKVVLSKLPIGDVATQYFADRDMFCAGRVPEEDLKRTMMACGGSIQTSVNALSADVLGRCQVFEETQIGGERYNFFTGCPKAKTCTFILRGGAEQFMEETERSLHDAIMIVRRAIKNDSVVAGGGAIEMELSKYLRDYSRTIPGKQQLLIGAYAKALEIIPRQLCDNAGFDATNILNKLRARHAQGGTWYGVDINNEDIADNFEAFVWEPAMVRINALTAASEAACLIVSVDETIKNPRSTVDAPTAAGRGRGRGRPH
+>DECOY_sp|Q99832|TCPH_HUMAN T-complex protein 1 subunit eta OS=Homo sapiens OX=9606 GN=CCT7 PE=1 SV=2
+HPRGRGRGRGAATPADVTSRPNKITEDVSVILCAAESAATLANIRVMAPEWVFAEFNDAIDENNIDVGYWTGGQAHRARLKNLINTADFGANDCLQRPIIELAKAYAGILLQQKGPITRSYDRLYKSLEMEIAGGGAVVSDNKIARRVIMIADHLSRETEEMFQEAGGRLIFTCTKAKPCGTFFNYREGGIQTEEFVQCRGLVDASLANVSTQISGGCAMMTRKLDEEPVRGACFMDRDAFYQTAVDGIPLKSLVVKAGSHHIKELKDYLINWEADVIAQYDEVTHVRIEANDKEAKLELEVNLLAIKPNHYKKPQMEFGAYSFTKKFAVGAVLQSDELAGGQVKKIGIMKLQLLDDLMMVADVVMKAFFAKQQSILKSSLATMACKELLKRQEVKDAKKVTVAIEKIKNVALQTATRFARIIIQPHLGEEVYPKVQKLFEAALLTVSTTGDGVEADQSKAIDVLTKAAPHVVDLLKLITAGDNSITAKGRGDVILKDMGRPGLTTRVAEAIVQCASINSVLQPIGQSSDTGEKLLIVPTPMM
+>sp|Q7Z6L1|TCPR1_HUMAN Tectonin beta-propeller repeat-containing protein 1 OS=Homo sapiens OX=9606 GN=TECPR1 PE=1 SV=1
+MPNSVLWAVDLFGRVYTLSTAGQYWEMCKDSQLEFKRVSATTQCCWGIACDNQVYVYVCASDVPIRRREEAYENQRWNPMGGFCEKLLLSDRWGWSDVSGLQHRPLDRVALPSPHWEWESDWYVDENFGGEPTEKGGWTYAIDFPATYTKDKKWNSCVRRRKWIRYRRYKSRDIWAKIPSKDDPKELPDPFNDLSVGGWEITEEPVGRLSVWAVSLQGKVWYREDVSHSNPEGSSWSLLDTPGEVVQISCGPHDLLWATLWEGQALVREGINRSNPKGSSWSIVEPPGSENGVMHISVGVSVVWAVTKDWKVWFRRGVNSHNPCGTSWIEMVGEMTMVNVGMNDQVWGIGCEDRAVYFRQGVTPSELSGKTWKAIIAARECDRSHSGSSSSLLSAGCFFGDEVRGSGESAPSDTDASSEVERPGPGQILPAEPLDDSKNATGNSASGLGAGRTAEDTVEDACPAEGSREARPNTHPGPAPTPAELPWTNIDLKEAKKVPSHSAAGFPETTSLSSLGLLPLGLEEPYGVDDHPLWAWVSGGGCVVEACAMPRWFTVQAGLSSSVHMLSLSITPAQTAAWRKQIFQQLTERTKRELENFRHYEQAVEQSVWVKTGALQWWCDWKPHKWVDVRLALEQFTGHDGVRDSILFIYYVVHEEKKYIHIFLNEVVALVPVLNETKHSFALYTPERTRQRWPVRLAAATEQDMNDWLALLSLSCCESRKVQGRPSPQAIWSITCKGDIFVSEPSPDLEAHEHPLPCDQMFWRQMGGHLRMVEANSRGVVWGIGYDHTAWVYTGGYGGGCFQGLASSTSNIYTQSDVKCVHIYENQRWNPVTGYTSRGLPTDRYMWSDASGLQECTKAGTKPPSLQWAWVSDWFVDFSVPGGTDQEGWQYASDFPASYHGSKTMKDFVRRRCWARKCKLVTSGPWLEVPPIALRDVSIIPESPGAEGSGHSIALWAVSDKGDVLCRLGVSELNPAGSSWLHVGTDQPFASISIGACYQVWAVARDGSAFYRGSVYPSQPAGDCWYHIPSPPRQRLKQVSAGQTSVYALDENGNLWYRQGITPSYPQGSSWEHVSNNVCRVSVGPLDQVWVIANKVQGSHSLSRGTVCHRTGVQPHEPKGHGWDYGIGGGWDHISVRANATRAPRSSSQEQEPSAPPEAHGPVCC
+>DECOY_sp|Q7Z6L1|TCPR1_HUMAN Tectonin beta-propeller repeat-containing protein 1 OS=Homo sapiens OX=9606 GN=TECPR1 PE=1 SV=1
+CCVPGHAEPPASPEQEQSSSRPARTANARVSIHDWGGGIGYDWGHGKPEHPQVGTRHCVTGRSLSHSGQVKNAIVWVQDLPGVSVRCVNNSVHEWSSGQPYSPTIGQRYWLNGNEDLAYVSTQGASVQKLRQRPPSPIHYWCDGAPQSPYVSGRYFASGDRAVAWVQYCAGISISAFPQDTGVHLWSSGAPNLESVGLRCLVDGKDSVAWLAISHGSGEAGPSEPIISVDRLAIPPVELWPGSTVLKCKRAWCRRRVFDKMTKSGHYSAPFDSAYQWGEQDTGGPVSFDVFWDSVWAWQLSPPKTGAKTCEQLGSADSWMYRDTPLGRSTYGTVPNWRQNEYIHVCKVDSQTYINSTSSALGQFCGGGYGGTYVWATHDYGIGWVVGRSNAEVMRLHGGMQRWFMQDCPLPHEHAELDPSPESVFIDGKCTISWIAQPSPRGQVKRSECCSLSLLALWDNMDQETAAALRVPWRQRTREPTYLAFSHKTENLVPVLAVVENLFIHIYKKEEHVVYYIFLISDRVGDHGTFQELALRVDVWKHPKWDCWWQLAGTKVWVSQEVAQEYHRFNELERKTRETLQQFIQKRWAATQAPTISLSLMHVSSSLGAQVTFWRPMACAEVVCGGGSVWAWLPHDDVGYPEELGLPLLGLSSLSTTEPFGAASHSPVKKAEKLDINTWPLEAPTPAPGPHTNPRAERSGEAPCADEVTDEATRGAGLGSASNGTANKSDDLPEAPLIQGPGPREVESSADTDSPASEGSGRVEDGFFCGASLLSSSSGSHSRDCERAAIIAKWTKGSLESPTVGQRFYVARDECGIGWVQDNMGVNVMTMEGVMEIWSTGCPNHSNVGRRFWVKWDKTVAWVVSVGVSIHMVGNESGPPEVISWSSGKPNSRNIGERVLAQGEWLTAWLLDHPGCSIQVVEGPTDLLSWSSGEPNSHSVDERYWVKGQLSVAWVSLRGVPEETIEWGGVSLDNFPDPLEKPDDKSPIKAWIDRSKYRRYRIWKRRRVCSNWKKDKTYTAPFDIAYTWGGKETPEGGFNEDVYWDSEWEWHPSPLAVRDLPRHQLGSVDSWGWRDSLLLKECFGGMPNWRQNEYAEERRRIPVDSACVYVYVQNDCAIGWCCQTTASVRKFELQSDKCMEWYQGATSLTYVRGFLDVAWLVSNPM
+>sp|Q5VWI1|TCRGL_HUMAN Transcription elongation regulator 1-like protein OS=Homo sapiens OX=9606 GN=TCERG1L PE=2 SV=2
+MQAGARFQRRRRQLQQQQPRRRQPLLWPMDAEPPPPPPWVWMVPGSAGLLRLSAGVVVPPVLLASAPPPAAPLLPGLPGWPAPSEPVLPLLPLPSAPDSAAAAAAHPFPALHGQWLFGGHSPSLGLPPSSTVELVPVFPHLCPSALATPIGKSWIDKRIPNCKIFFNNSFALDSTWIHPEESRFFHGHEKPRLLANQVAVSLSRPAPASRPLPTVVLAPQPIPGGCHNSLKVTSSPAIAIATAAAAAMVSVDPENLRGPSPSSVQPRHFLTLAPIKIPLRTSPVSDTRTERGRVARPPALMLRAQKSRDGDKEDKEPPPMLGGGEDSTARGNRPVASTPVPGSPWCVVWTGDDRVFFFNPTMHLSVWEKPMDLKDRGDLNRIIEDPPHKRKLEAPATDNSDGSSSEDNREDQDVKTKRNRTEGCGSPKPEEAKREDKGTRTPPPQILLPLEERVTHFRDMLLERGVSAFSTWEKELHKIVFDPRYLLLNSEERKQIFEQFVKTRIKEEYKEKKSKLLLAKEEFKKLLEESKVSPRTTFKEFAEKYGRDQRFRLVQKRKDQEHFFNQFILILKKRDKENRLRLRKMR
+>DECOY_sp|Q5VWI1|TCRGL_HUMAN Transcription elongation regulator 1-like protein OS=Homo sapiens OX=9606 GN=TCERG1L PE=2 SV=2
+RMKRLRLRNEKDRKKLILIFQNFFHEQDKRKQVLRFRQDRGYKEAFEKFTTRPSVKSEELLKKFEEKALLLKSKKEKYEEKIRTKVFQEFIQKREESNLLLYRPDFVIKHLEKEWTSFASVGRELLMDRFHTVREELPLLIQPPPTRTGKDERKAEEPKPSGCGETRNRKTKVDQDERNDESSSGDSNDTAPAELKRKHPPDEIIRNLDGRDKLDMPKEWVSLHMTPNFFFVRDDGTWVVCWPSGPVPTSAVPRNGRATSDEGGGLMPPPEKDEKDGDRSKQARLMLAPPRAVRGRETRTDSVPSTRLPIKIPALTLFHRPQVSSPSPGRLNEPDVSVMAAAAATAIAIAPSSTVKLSNHCGGPIPQPALVVTPLPRSAPAPRSLSVAVQNALLRPKEHGHFFRSEEPHIWTSDLAFSNNFFIKCNPIRKDIWSKGIPTALASPCLHPFVPVLEVTSSPPLGLSPSHGGFLWQGHLAPFPHAAAAAASDPASPLPLLPLVPESPAPWGPLGPLLPAAPPPASALLVPPVVVGASLRLLGASGPVMWVWPPPPPPEADMPWLLPQRRRPQQQQLQRRRRQFRAGAQM
+>sp|Q5VZ19|TDR10_HUMAN Tudor domain-containing protein 10 OS=Homo sapiens OX=9606 GN=TDRD10 PE=2 SV=3
+MSWNISHPQLSDKLFGKNGVLEEQKSPGFKKRETEVYVGNLPLDISKEEILYLLKDFNPLDVHKIQNGCKCFAFVDLGSMQKVTLAIQELNGKLFHKRKLFVNTSKRPPKRTPDMIQQPRAPLVLEKASGEGFGKTAAIIQLAPKAPVDLCETEKLRAAFFAVPLEMRGSFLVLLLRECFRDLSWLALIHSVRGEAGLLVTSIVPKTPFFWAMHVTEALHQNMQALFSTLAQAEEQQPYLEGSTVMRGTRCLAEYHLGDYGHAWNRCWVLDRVDTWAVVMFIDFGQLATIPVQSLRSLDSDDFWTIPPLTQPFMLEKDILSSYEVVHRILKGKITGALNSAVTAPASNLAVVPPLLPLGCLQQAAA
+>DECOY_sp|Q5VZ19|TDR10_HUMAN Tudor domain-containing protein 10 OS=Homo sapiens OX=9606 GN=TDRD10 PE=2 SV=3
+AAAQQLCGLPLLPPVVALNSAPATVASNLAGTIKGKLIRHVVEYSSLIDKELMFPQTLPPITWFDDSDLSRLSQVPITALQGFDIFMVVAWTDVRDLVWCRNWAHGYDGLHYEALCRTGRMVTSGELYPQQEEAQALTSFLAQMNQHLAETVHMAWFFPTKPVISTVLLGAEGRVSHILALWSLDRFCERLLLVLFSGRMELPVAFFAARLKETECLDVPAKPALQIIAATKGFGEGSAKELVLPARPQQIMDPTRKPPRKSTNVFLKRKHFLKGNLEQIALTVKQMSGLDVFAFCKCGNQIKHVDLPNFDKLLYLIEEKSIDLPLNGVYVETERKKFGPSKQEELVGNKGFLKDSLQPHSINWSM
+>sp|B5MCY1|TDR15_HUMAN Tudor domain-containing protein 15 OS=Homo sapiens OX=9606 GN=TDRD15 PE=2 SV=1
+MDSTSFLPTFLDVDLTISHIKCLPKDILVKFQGIKSNECEFDYHVLQREIQHTPKVKNNVEIDEFCLVEERVSGEWQRGRVMEKKNELYTVLLIDRGEELRVAGPQIASACGNLFELPPRVVFGIFANILPVGEKWSPKALNYFKSLVGIQVKGYVQAILPLQMFLFEVPKIISQALELQLGRLVDGDSFRLIVEMLEEFPQQMPDLLQHKRPELSLGNKDTSLDIQHVLDKLQPSLSVGSTESVKVSSALSPSKFYCQLIKWTPELENLTAHMTLHYDTVCQETSPTCDNFGLLCVARRRNGQWHRGILQQLLPPNQVKIWFMDYGSSEAIPSIYVKKLKQDFILVPLFSFPCSLTCLHSPDRDARIFQLSIFKQALLGQVVYAHIDWFNKDECLYYVTLQTQESTVNSKCLLKTVGTQVLCPMSDSKISNILSETSVSDVNSFAVESFMGNIEWSIDSLNKKGILKVGFPIKTVQMEIEAAYIAFIAYVLNPSNFWVRTNDHRNEFQEIMKNINKFYDLCENDEMILRKPEPGLFCCARYSKDRRFYRAVITEINGYKINVYFLDYGNTDSIPFFDVKILLPEFCELPALAMCCSLAHIFPVEDLWTKAAIDYFKKLVLNKAILLQVIAKKDDKYTVNIQSVEASENIDVISLMLQAGYAEYFQVELEYFPKSVSEYSMLNSESKNKVNIKKVISALLEGPKSKKYHSNNLVENNLSLPKSLAVNISEFKNPFTLSVGPESSWPYKEYIFRPGTVLEVKCSCYYGPGDFSCQLQCKSEDLKLLMEQIQNYYSIHSDPYEIGQTACVAKYSGKWCRAAVLTQVSKEVDIVFVDYGYQKRVLIEDLCAINPRFLLLESQAFRCCLNHFIEPVSCKLFSWTRKAFRDLWNFISSSRGLLTCIIYALVIIHPNHLYNLVDLQSSFTSAKEFLMNRGSAQYITLSETFPSLFSLYSYCYSSFNIQIGSEEEVYISHIYSPQKFYCQLGRNNKDLEMIETKITESVNLQNFPKYDSNKMRVCISKYVEDGLSYRALAIPTDSSSEFQVYFVDFGNKQLVGENMLRAISAQFPELLFTPMQAIKCFLSDLRDVDIPAEISSWFKDNFLGRSLKAIILSQESDGQLGIELYDGSQYINEKIKVLLHAYGKRHCDQACCMEKSNKINENKRFTTSLKGKTGNNYRHNVINKPSPVTYSERKIDQLMHPKNIHARFLKPSVCYKMEPVSKNKMKTSLNDGLKGIKIVPGAAHILENRRVGQKSVKVVSQSFIRALNQTTSQNPYDLIRPQIKDLPQPQIYLNAKVKGYVSNISNPANFHIQLAENESVIIRLADALNATARRLRERKSVKPLVGDLVVAEYSGDNAIYRAVIKKILPGNSFEVEFIDYGNSAIVNTSKIYELQREFLTVPQLGIHAFLSGVKWNEPDEIWDDKTVDYFTSKVHNKTVYCEFLKKHDQKWEVNMICDEKCVINELLKWKACSKLQKSALQMPQVLSQKVRPGDNEMKKGKSNESEGSMNSNQQLFKIPLEEFKLGQLEKAEMLNVSKSGRFYVKLSKNKKILSDLIVLITKEEKKSPFLSMESIEKGLECLAKSKNTLKWHRSKVEEKYVDDKVLVFLVDCGIYEIVPVCNTKLLSNEIRNIPRQAVPCKWIWFENSKNISFECLFADLEINILFLKYLDAVWEVEILVDDLLLLEYLNLNTVPVEENKLRLAEIVYNIESKTPVSSCTIKSFTWVQFQNDRQYSGIATAVSDPSDFSIQLEDFFDIMKYLFMLLSDLPETLQTLPQEFIIPGSSCLFKYKSEDQWNRVEISEVSPQSLCLVLVDYGFSFYIRYSEIINLKVVPEELLNLPRLSYPCILYGILPAKGKHWSEEAKIFFRDFLSKPDLVFQFREYHSETKLKVDVIHEKNNLADILVASGLATYSKDSPHLDAITATESAKNPI
+>DECOY_sp|B5MCY1|TDR15_HUMAN Tudor domain-containing protein 15 OS=Homo sapiens OX=9606 GN=TDRD15 PE=2 SV=1
+IPNKASETATIADLHPSDKSYTALGSAVLIDALNNKEHIVDVKLKTESHYERFQFVLDPKSLFDRFFIKAEESWHKGKAPLIGYLICPYSLRPLNLLEEPVVKLNIIESYRIYFSFGYDVLVLCLSQPSVESIEVRNWQDESKYKFLCSSGPIIFEQPLTQLTEPLDSLLMFLYKMIDFFDELQISFDSPDSVATAIGSYQRDNQFQVWTFSKITCSSVPTKSEINYVIEALRLKNEEVPVTNLNLYELLLLDDVLIEVEWVADLYKLFLINIELDAFLCEFSINKSNEFWIWKCPVAQRPINRIENSLLKTNCVPVIEYIGCDVLFVLVKDDVYKEEVKSRHWKLTNKSKALCELGKEISEMSLFPSKKEEKTILVILDSLIKKNKSLKVYFRGSKSVNLMEAKELQGLKFEELPIKFLQQNSNMSGESENSKGKKMENDGPRVKQSLVQPMQLASKQLKSCAKWKLLENIVCKEDCIMNVEWKQDHKKLFECYVTKNHVKSTFYDVTKDDWIEDPENWKVGSLFAHIGLQPVTLFERQLEYIKSTNVIASNGYDIFEVEFSNGPLIKKIVARYIANDGSYEAVVLDGVLPKVSKRERLRRATANLADALRIIVSENEALQIHFNAPNSINSVYGKVKANLYIQPQPLDKIQPRILDYPNQSTTQNLARIFSQSVVKVSKQGVRRNELIHAAGPVIKIGKLGDNLSTKMKNKSVPEMKYCVSPKLFRAHINKPHMLQDIKRESYTVPSPKNIVNHRYNNGTKGKLSTTFRKNENIKNSKEMCCAQDCHRKGYAHLLVKIKENIYQSGDYLEIGLQGDSEQSLIIAKLSRGLFNDKFWSSIEAPIDVDRLDSLFCKIAQMPTFLLEPFQASIARLMNEGVLQKNGFDVFYVQFESSSDTPIALARYSLGDEVYKSICVRMKNSDYKPFNQLNVSETIKTEIMELDKNNRGLQCYFKQPSYIHSIYVEEESGIQINFSSYCYSYLSFLSPFTESLTIYQASGRNMLFEKASTFSSQLDVLNYLHNPHIIVLAYIICTLLGRSSSIFNWLDRFAKRTWSFLKCSVPEIFHNLCCRFAQSELLLFRPNIACLDEILVRKQYGYDVFVIDVEKSVQTLVAARCWKGSYKAVCATQGIEYPDSHISYYNQIQEMLLKLDESKCQLQCSFDGPGYYCSCKVELVTGPRFIYEKYPWSSEPGVSLTFPNKFESINVALSKPLSLNNEVLNNSHYKKSKPGELLASIVKKINVKNKSESNLMSYESVSKPFYELEVQFYEAYGAQLMLSIVDINESAEVSQINVTYKDDKKAIVQLLIAKNLVLKKFYDIAAKTWLDEVPFIHALSCCMALAPLECFEPLLIKVDFFPISDTNGYDLFYVNIKYGNIETIVARYFRRDKSYRACCFLGPEPKRLIMEDNECLDYFKNINKMIEQFENRHDNTRVWFNSPNLVYAIFAIYAAEIEMQVTKIPFGVKLIGKKNLSDISWEINGMFSEVAFSNVDSVSTESLINSIKSDSMPCLVQTGVTKLLCKSNVTSEQTQLTVYYLCEDKNFWDIHAYVVQGLLAQKFISLQFIRADRDPSHLCTLSCPFSFLPVLIFDQKLKKVYISPIAESSGYDMFWIKVQNPPLLQQLIGRHWQGNRRRAVCLLGFNDCTPSTEQCVTDYHLTMHATLNELEPTWKILQCYFKSPSLASSVKVSETSGVSLSPQLKDLVHQIDLSTDKNGLSLEPRKHQLLDPMQQPFEELMEVILRFSDGDVLRGLQLELAQSIIKPVEFLFMQLPLIAQVYGKVQIGVLSKFYNLAKPSWKEGVPLINAFIGFVVRPPLEFLNGCASAIQPGAVRLEEGRDILLVTYLENKKEMVRGRQWEGSVREEVLCFEDIEVNNKVKPTHQIERQLVHYDFECENSKIGQFKVLIDKPLCKIHSITLDVDLFTPLFSTSDM
+>sp|Q9BXT4|TDRD1_HUMAN Tudor domain-containing protein 1 OS=Homo sapiens OX=9606 GN=TDRD1 PE=1 SV=2
+MSVKSPFNVMSRNNLEAPPCKMTEPFNFEKNENKLPPHESLRSPGTLPNHPNFRLKSSENGNKKNNFLLCEQTKQYLASQEDNSVSSNPNGINGEVVGSKGDRKKLPAGNSVSPPSAESNSPPKEVNIKPGNNVRPAKSKKLNKLVENSLSISNPGLFTSLGPPLRSTTCHRCGLFGSLRCSQCKQTYYCSTACQRRDWSAHSIVCRPVQPNFHKLENKSSIETKDVEVNNKSDCPLGVTKEIAIWAERIMFSDLRSLQLKKTMEIKGTVTEFKHPGDFYVQLYSSEVLEYMNQLSASLKETYANVHEKDYIPVKGEVCIAKYTVDQTWNRAIIQNVDVQQKKAHVLYIDYGNEEIIPLNRIYHLNRNIDLFPPCAIKCFVANVIPAEGNWSSDCIKATKPLLMEQYCSIKIVDILEEEVVTFAVEVELPNSGKLLDHVLIEMGYGLKPSGQDSKKENADQSDPEDVGKMTTENNIVVDKSDLIPKVLTLNVGDEFCGVVAHIQTPEDFFCQQLQSGRKLAELQASLSKYCDQLPPRSDFYPAIGDICCAQFSEDDQWYRASVLAYASEESVLVGYVDYGNFEILSLMRLCPIIPKLLELPMQAIKCVLAGVKPSLGIWTPEAICLMKKLVQNKIITVKVVDKLENSSLVELIDKSETPHVSVSKVLLDAGFAVGEQSMVTDKPSDVKETSVPLGVEGKVNPLEWTWVELGVDQTVDVVVCVIYSPGEFYCHVLKEDALKKLNDLNKSLAEHCQQKLPNGFKAEIGQPCCAFFAGDGSWYRALVKEILPNGHVKVHFVDYGNIEEVTADELRMISSTFLNLPFQGIRCQLADIQSRNKHWSEEAITRFQMCVAGIKLQARVVEVTENGIGVELTDLSTCYPRIISDVLIDEHLVLKSASPHKDLPNDRLVNKHELQVHVQGLQATSSAEQWKTIELPVDKTIQANVLEIISPNLFYALPKGMPENQEKLCMLTAELLEYCNAPKSRPPYRPRIGDACCAKYTSDDFWYRAVVLGTSDTDVEVLYADYGNIETLPLCRVQPITSSHLALPFQIIRCSLEGLMELNGSSSQLIIMLLKNFMLNQNVMLSVKGITKNVHTVSVEKCSENGTVDVADKLVTFGLAKNITPQRQSALNTEKMYRMNCCCTELQKQVEKHEHILLFLLNNSTNQNKFIEMKKLLKS
+>DECOY_sp|Q9BXT4|TDRD1_HUMAN Tudor domain-containing protein 1 OS=Homo sapiens OX=9606 GN=TDRD1 PE=1 SV=2
+SKLLKKMEIFKNQNTSNNLLFLLIHEHKEVQKQLETCCCNMRYMKETNLASQRQPTINKALGFTVLKDAVDVTGNESCKEVSVTHVNKTIGKVSLMVNQNLMFNKLLMIILQSSSGNLEMLGELSCRIIQFPLALHSSTIPQVRCLPLTEINGYDAYLVEVDTDSTGLVVARYWFDDSTYKACCADGIRPRYPPRSKPANCYELLEATLMCLKEQNEPMGKPLAYFLNPSIIELVNAQITKDVPLEITKWQEASSTAQLGQVHVQLEHKNVLRDNPLDKHPSASKLVLHEDILVDSIIRPYCTSLDTLEVGIGNETVEVVRAQLKIGAVCMQFRTIAEESWHKNRSQIDALQCRIGQFPLNLFTSSIMRLEDATVEEINGYDVFHVKVHGNPLIEKVLARYWSGDGAFFACCPQGIEAKFGNPLKQQCHEALSKNLDNLKKLADEKLVHCYFEGPSYIVCVVVDVTQDVGLEVWTWELPNVKGEVGLPVSTEKVDSPKDTVMSQEGVAFGADLLVKSVSVHPTESKDILEVLSSNELKDVVKVTIIKNQVLKKMLCIAEPTWIGLSPKVGALVCKIAQMPLELLKPIIPCLRMLSLIEFNGYDVYGVLVSEESAYALVSARYWQDDESFQACCIDGIAPYFDSRPPLQDCYKSLSAQLEALKRGSQLQQCFFDEPTQIHAVVGCFEDGVNLTLVKPILDSKDVVINNETTMKGVDEPDSQDANEKKSDQGSPKLGYGMEILVHDLLKGSNPLEVEVAFTVVEEELIDVIKISCYQEMLLPKTAKICDSSWNGEAPIVNAVFCKIACPPFLDINRNLHYIRNLPIIEENGYDIYLVHAKKQQVDVNQIIARNWTQDVTYKAICVEGKVPIYDKEHVNAYTEKLSASLQNMYELVESSYLQVYFDGPHKFETVTGKIEMTKKLQLSRLDSFMIREAWIAIEKTVGLPCDSKNNVEVDKTEISSKNELKHFNPQVPRCVISHASWDRRQCATSCYYTQKCQSCRLSGFLGCRHCTTSRLPPGLSTFLGPNSISLSNEVLKNLKKSKAPRVNNGPKINVEKPPSNSEASPPSVSNGAPLKKRDGKSGVVEGNIGNPNSSVSNDEQSALYQKTQECLLFNNKKNGNESSKLRFNPHNPLTGPSRLSEHPPLKNENKEFNFPETMKCPPAELNNRSMVNFPSKVSM
+>sp|Q5HYJ1|TECRL_HUMAN Trans-2,3-enoyl-CoA reductase-like OS=Homo sapiens OX=9606 GN=TECRL PE=1 SV=1
+MFKRHKSLASERKRALLSQRATRFILKDDMRNFHFLSKLVLSAGPLRPTPAVKHSKTTHFEIEIFDAQTRKQICILDKVTQSSTIHDVKQKFHKACPKWYPSRVGLQLECGGPFLKDYITIQSIAASSIVTLYATDLGQQVSWTTVFLAEYTGPLLIYLLFYLRIPCIYDGKESARRLRHPVVHLACFCHCIHYIRYLLETLFVHKVSAGHTPLKNLIMSCAFYWGFTSWIAYYINHPLYTPPSFGNRQITVSAINFLICEAGNHFINVMLSHPNHTGNNACFPSPNYNPFTWMFFLVSCPNYTYEIGSWISFTVMTQTLPVGIFTLLMSIQMSLWAQKKHKIYLRKFNSYIHRKSAMIPFIL
+>DECOY_sp|Q5HYJ1|TECRL_HUMAN Trans-2,3-enoyl-CoA reductase-like OS=Homo sapiens OX=9606 GN=TECRL PE=1 SV=1
+LIFPIMASKRHIYSNFKRLYIKHKKQAWLSMQISMLLTFIGVPLTQTMVTFSIWSGIEYTYNPCSVLFFMWTFPNYNPSPFCANNGTHNPHSLMVNIFHNGAECILFNIASVTIQRNGFSPPTYLPHNIYYAIWSTFGWYFACSMILNKLPTHGASVKHVFLTELLYRIYHICHCFCALHVVPHRLRRASEKGDYICPIRLYFLLYILLPGTYEALFVTTWSVQQGLDTAYLTVISSAAISQITIYDKLFPGGCELQLGVRSPYWKPCAKHFKQKVDHITSSQTVKDLICIQKRTQADFIEIEFHTTKSHKVAPTPRLPGASLVLKSLFHFNRMDDKLIFRTARQSLLARKRESALSKHRKFM
+>sp|Q7L2K0|TEDC2_HUMAN Tubulin epsilon and delta complex protein 2 OS=Homo sapiens OX=9606 GN=TEDC2 PE=1 SV=1
+MLPAGCSRRLVAELQGALDACAQRQLQLEQSLRVCRRLLHAWEPTGTRALKPPPGPETNGEDPLPACTPSPQDLKELEFLTQALEKAVRVRRGITKAGERDKAPSLKSRSIVTSSGTTASAPPHSPGQAGGHASDTRPTKGLRQTTVPAKGHPERRLLSVGDGTRVGMGARTPRPGAGLRDQQMAPSAAPQAPEAFTLKEKGHLLRLPAAFRKAASQNSSLWAQLSSTQTSDSTDAAAAKTQFLQNMQTASGGPQPRLSAVEVEAEAGRLRKACSLLRLRMREELSAAPMDWMQEYRCLLTLEGLQAMVGQCLHRLQELRAAVAEQPPRPCPVGRPPGASPSCGGRAEPAWSPQLLVYSSTQELQTLAALKLRVAVLDQQIHLEKVLMAELLPLVSAAQPQGPPWLALCRAVHSLLCEGGARVLTILRDEPAV
+>DECOY_sp|Q7L2K0|TEDC2_HUMAN Tubulin epsilon and delta complex protein 2 OS=Homo sapiens OX=9606 GN=TEDC2 PE=1 SV=1
+VAPEDRLITLVRAGGECLLSHVARCLALWPPGQPQAASVLPLLEAMLVKELHIQQDLVAVRLKLAALTQLEQTSSYVLLQPSWAPEARGGCSPSAGPPRGVPCPRPPQEAVAARLEQLRHLCQGVMAQLGELTLLCRYEQMWDMPAASLEERMRLRLLSCAKRLRGAEAEVEVASLRPQPGGSATQMNQLFQTKAAAADTSDSTQTSSLQAWLSSNQSAAKRFAAPLRLLHGKEKLTFAEPAQPAASPAMQQDRLGAGPRPTRAGMGVRTGDGVSLLRREPHGKAPVTTQRLGKTPRTDSAHGGAQGPSHPPASATTGSSTVISRSKLSPAKDREGAKTIGRRVRVAKELAQTLFELEKLDQPSPTCAPLPDEGNTEPGPPPKLARTGTPEWAHLLRRCVRLSQELQLQRQACADLAGQLEAVLRRSCGAPLM
+>sp|Q8WW24|TEKT4_HUMAN Tektin-4 OS=Homo sapiens OX=9606 GN=TEKT4 PE=1 SV=1
+MAQTVPPCELPCKEYDVARNTGAYTSSGLATASFRTSKYLLEEWFQNCYARYHQAFADRDQSERQRHESQQLATETQALAQRTQQDSTRTVGERLQDTHSWKSELQREMEALAAETNLLLAQKQRLERALDATEVPFSITTDNLQCRERREHPNLVRDHVETELLKEAELIRNIQELLKRTIMQAVSQIRLNREHKETCEMDWSDKMEAYNIDETCGRHHSQSTEVQAHPYSTTFQESASTPETRAKFTQDNLCRAQRERLASANLRVLVDCILRDTSEDLRLQCDAVNLAFGRRCEELEDARYKLHHHLHKTLREITDQEHNVAALKQAIKDKEAPLHVAQTRLYLRSHRPNMELCRDAAQFRLLSEVEELNMSLTALREKLLEAEQSLRNLEDIHMSLEKDIAAMTNSLFIDRQKCMAHRTRYPTILQLAGYQ
+>DECOY_sp|Q8WW24|TEKT4_HUMAN Tektin-4 OS=Homo sapiens OX=9606 GN=TEKT4 PE=1 SV=1
+QYGALQLITPYRTRHAMCKQRDIFLSNTMAAIDKELSMHIDELNRLSQEAELLKERLATLSMNLEEVESLLRFQAADRCLEMNPRHSRLYLRTQAVHLPAEKDKIAQKLAAVNHEQDTIERLTKHLHHHLKYRADELEECRRGFALNVADCQLRLDESTDRLICDVLVRLNASALRERQARCLNDQTFKARTEPTSASEQFTTSYPHAQVETSQSHHRGCTEDINYAEMKDSWDMECTEKHERNLRIQSVAQMITRKLLEQINRILEAEKLLETEVHDRVLNPHERRERCQLNDTTISFPVETADLARELRQKQALLLNTEAALAEMERQLESKWSHTDQLREGVTRTSDQQTRQALAQTETALQQSEHRQRESQDRDAFAQHYRAYCNQFWEELLYKSTRFSATALGSSTYAGTNRAVDYEKCPLECPPVTQAM
+>sp|P04155|TFF1_HUMAN Trefoil factor 1 OS=Homo sapiens OX=9606 GN=TFF1 PE=1 SV=1
+MATMENKVICALVLVSMLALGTLAEAQTETCTVAPRERQNCGFPGVTPSQCANKGCCFDDTVRGVPWCFYPNTIDVPPEEECEF
+>DECOY_sp|P04155|TFF1_HUMAN Trefoil factor 1 OS=Homo sapiens OX=9606 GN=TFF1 PE=1 SV=1
+FECEEEPPVDITNPYFCWPVGRVTDDFCCGKNACQSPTVGPFGCNQRERPAVTCTETQAEALTGLALMSVLVLACIVKNEMTAM
+>sp|P10646|TFPI1_HUMAN Tissue factor pathway inhibitor OS=Homo sapiens OX=9606 GN=TFPI PE=1 SV=1
+MIYTMKKVHALWASVCLLLNLAPAPLNADSEEDEEHTIITDTELPPLKLMHSFCAFKADDGPCKAIMKRFFFNIFTRQCEEFIYGGCEGNQNRFESLEECKKMCTRDNANRIIKTTLQQEKPDFCFLEEDPGICRGYITRYFYNNQTKQCERFKYGGCLGNMNNFETLEECKNICEDGPNGFQVDNYGTQLNAVNNSLTPQSTKVPSLFEFHGPSWCLTPADRGLCRANENRFYYNSVIGKCRPFKYSGCGGNENNFTSKQECLRACKKGFIQRISKGGLIKTKRKRKKQRVKIAYEEIFVKNM
+>DECOY_sp|P10646|TFPI1_HUMAN Tissue factor pathway inhibitor OS=Homo sapiens OX=9606 GN=TFPI PE=1 SV=1
+MNKVFIEEYAIKVRQKKRKRKTKILGGKSIRQIFGKKCARLCEQKSTFNNENGGCGSYKFPRCKGIVSNYYFRNENARCLGRDAPTLCWSPGHFEFLSPVKTSQPTLSNNVANLQTGYNDVQFGNPGDECINKCEELTEFNNMNGLCGGYKFRECQKTQNNYFYRTIYGRCIGPDEELFCFDPKEQQLTTKIIRNANDRTCMKKCEELSEFRNQNGECGGYIFEECQRTFINFFFRKMIAKCPGDDAKFACFSHMLKLPPLETDTIITHEEDEESDANLPAPALNLLLCVSAWLAHVKKMTYIM
+>sp|P0C1Z6|TFPT_HUMAN TCF3 fusion partner OS=Homo sapiens OX=9606 GN=TFPT PE=1 SV=1
+MELEQREGTMAAVGFEEFSAPPGSELALPPLFGGHILESELETEVEFVSGGLGGSGLRERDEEEEAARGRRRRQRELNRRKYQALGRRCREIEQVNERVLNRLHQVQRITRRLQQERRFLMRVLDSYGDDYRASQFTIVLEDEGSQGTDAPTPGNAENEPPEKETLSPPRRTPAPPEPGSPAPGEGPSGRKRRRVPRDGRRAGNALTPELAPVQIKVEEDFGFEADEALDSSWVSRGPDKLLPYPTLASPASD
+>DECOY_sp|P0C1Z6|TFPT_HUMAN TCF3 fusion partner OS=Homo sapiens OX=9606 GN=TFPT PE=1 SV=1
+DSAPSALTPYPLLKDPGRSVWSSDLAEDAEFGFDEEVKIQVPALEPTLANGARRGDRPVRRRKRGSPGEGPAPSGPEPPAPTRRPPSLTEKEPPENEANGPTPADTGQSGEDELVITFQSARYDDGYSDLVRMLFRREQQLRRTIRQVQHLRNLVRENVQEIERCRRGLAQYKRRNLERQRRRRGRAAEEEEDRERLGSGGLGGSVFEVETELESELIHGGFLPPLALESGPPASFEEFGVAAMTGERQELEM
+>sp|P02786|TFR1_HUMAN Transferrin receptor protein 1 OS=Homo sapiens OX=9606 GN=TFRC PE=1 SV=2
+MMDQARSAFSNLFGGEPLSYTRFSLARQVDGDNSHVEMKLAVDEEENADNNTKANVTKPKRCSGSICYGTIAVIVFFLIGFMIGYLGYCKGVEPKTECERLAGTESPVREEPGEDFPAARRLYWDDLKRKLSEKLDSTDFTGTIKLLNENSYVPREAGSQKDENLALYVENQFREFKLSKVWRDQHFVKIQVKDSAQNSVIIVDKNGRLVYLVENPGGYVAYSKAATVTGKLVHANFGTKKDFEDLYTPVNGSIVIVRAGKITFAEKVANAESLNAIGVLIYMDQTKFPIVNAELSFFGHAHLGTGDPYTPGFPSFNHTQFPPSRSSGLPNIPVQTISRAAAEKLFGNMEGDCPSDWKTDSTCRMVTSESKNVKLTVSNVLKEIKILNIFGVIKGFVEPDHYVVVGAQRDAWGPGAAKSGVGTALLLKLAQMFSDMVLKDGFQPSRSIIFASWSAGDFGSVGATEWLEGYLSSLHLKAFTYINLDKAVLGTSNFKVSASPLLYTLIEKTMQNVKHPVTGQFLYQDSNWASKVEKLTLDNAAFPFLAYSGIPAVSFCFCEDTDYPYLGTTMDTYKELIERIPELNKVARAAAEVAGQFVIKLTHDVELNLDYERYNSQLLSFVRDLNQYRADIKEMGLSLQWLYSARGDFFRATSRLTTDFGNAEKTDRFVMKKLNDRVMRVEYHFLSPYVSPKESPFRHVFWGSGSHTLPALLENLKLRKQNNGAFNETLFRNQLALATWTIQGAANALSGDVWDIDNEF
+>DECOY_sp|P02786|TFR1_HUMAN Transferrin receptor protein 1 OS=Homo sapiens OX=9606 GN=TFRC PE=1 SV=2
+FENDIDWVDGSLANAAGQITWTALALQNRFLTENFAGNNQKRLKLNELLAPLTHSGSGWFVHRFPSEKPSVYPSLFHYEVRMVRDNLKKMVFRDTKEANGFDTTLRSTARFFDGRASYLWQLSLGMEKIDARYQNLDRVFSLLQSNYREYDLNLEVDHTLKIVFQGAVEAAARAVKNLEPIREILEKYTDMTTGLYPYDTDECFCFSVAPIGSYALFPFAANDLTLKEVKSAWNSDQYLFQGTVPHKVNQMTKEILTYLLPSASVKFNSTGLVAKDLNIYTFAKLHLSSLYGELWETAGVSGFDGASWSAFIISRSPQFGDKLVMDSFMQALKLLLATGVGSKAAGPGWADRQAGVVVYHDPEVFGKIVGFINLIKIEKLVNSVTLKVNKSESTVMRCTSDTKWDSPCDGEMNGFLKEAAARSITQVPINPLGSSRSPPFQTHNFSPFGPTYPDGTGLHAHGFFSLEANVIPFKTQDMYILVGIANLSEANAVKEAFTIKGARVIVISGNVPTYLDEFDKKTGFNAHVLKGTVTAAKSYAVYGGPNEVLYVLRGNKDVIIVSNQASDKVQIKVFHQDRWVKSLKFERFQNEVYLALNEDKQSGAERPVYSNENLLKITGTFDTSDLKESLKRKLDDWYLRRAAPFDEGPEERVPSETGALRECETKPEVGKCYGLYGIMFGILFFVIVAITGYCISGSCRKPKTVNAKTNNDANEEEDVALKMEVHSNDGDVQRALSFRTYSLPEGGFLNSFASRAQDMM
+>sp|P10600|TGFB3_HUMAN Transforming growth factor beta-3 OS=Homo sapiens OX=9606 GN=TGFB3 PE=1 SV=1
+MKMHLQRALVVLALLNFATVSLSLSTCTTLDFGHIKKKRVEAIRGQILSKLRLTSPPEPTVMTHVPYQVLALYNSTRELLEEMHGEREEGCTQENTESEYYAKEIHKFDMIQGLAEHNELAVCPKGITSKVFRFNVSSVEKNRTNLFRAEFRVLRVPNPSSKRNEQRIELFQILRPDEHIAKQRYIGGKNLPTRGTAEWLSFDVTDTVREWLLRRESNLGLEISIHCPCHTFQPNGDILENIHEVMEIKFKGVDNEDDHGRGDLGRLKKQKDHHNPHLILMMIPPHRLDNPGQGGQRKKRALDTNYCFRNLEENCCVRPLYIDFRQDLGWKWVHEPKGYYANFCSGPCPYLRSADTTHSTVLGLYNTLNPEASASPCCVPQDLEPLTILYYVGRTPKVEQLSNMVVKSCKCS
+>DECOY_sp|P10600|TGFB3_HUMAN Transforming growth factor beta-3 OS=Homo sapiens OX=9606 GN=TGFB3 PE=1 SV=1
+SCKCSKVVMNSLQEVKPTRGVYYLITLPELDQPVCCPSASAEPNLTNYLGLVTSHTTDASRLYPCPGSCFNAYYGKPEHVWKWGLDQRFDIYLPRVCCNEELNRFCYNTDLARKKRQGGQGPNDLRHPPIMMLILHPNHHDKQKKLRGLDGRGHDDENDVGKFKIEMVEHINELIDGNPQFTHCPCHISIELGLNSERRLLWERVTDTVDFSLWEATGRTPLNKGGIYRQKAIHEDPRLIQFLEIRQENRKSSPNPVRLVRFEARFLNTRNKEVSSVNFRFVKSTIGKPCVALENHEALGQIMDFKHIEKAYYESETNEQTCGEEREGHMEELLERTSNYLALVQYPVHTMVTPEPPSTLRLKSLIQGRIAEVRKKKIHGFDLTTCTSLSLSVTAFNLLALVVLARQLHMKM
+>sp|P49221|TGM4_HUMAN Protein-glutamine gamma-glutamyltransferase 4 OS=Homo sapiens OX=9606 GN=TGM4 PE=1 SV=2
+MMDASKELQVLHIDFLNQDNAVSHHTWEFQTSSPVFRRGQVFHLRLVLNQPLQSYHQLKLEFSTGPNPSIAKHTLVVLDPRTPSDHYNWQATLQNESGKEVTVAVTSSPNAILGKYQLNVKTGNHILKSEENILYLLFNPWCKEDMVFMPDEDERKEYILNDTGCHYVGAARSIKCKPWNFGQFEKNVLDCCISLLTESSLKPTDRRDPVLVCRAMCAMMSFEKGQGVLIGNWTGDYEGGTAPYKWTGSAPILQQYYNTKQAVCFGQCWVFAGILTTVLRALGIPARSVTGFDSAHDTERNLTVDTYVNENGEKITSMTHDSVWNFHVWTDAWMKRPDLPKGYDGWQAVDATPQERSQGVFCCGPSPLTAIRKGDIFIVYDTRFVFSEVNGDRLIWLVKMVNGQEELHVISMETTSIGKNISTKAVGQDRRRDITYEYKYPEGSSEERQVMDHAFLLLSSEREHRRPVKENFLHMSVQSDDVLLGNSVNFTVILKRKTAALQNVNILGSFELQLYTGKKMAKLCDLNKTSQIQGQVSEVTLTLDSKTYINSLAILDDEPVIRGFIIAEIVESKEIMASEVFTSFQYPEFSIELPNTGRIGQLLVCNCIFKNTLAIPLTDVKFSLESLGISSLQTSDHGTVQPGETIQSQIKCTPIKTGPKKFIVKLSSKQVKEINAQKIVLITK
+>DECOY_sp|P49221|TGM4_HUMAN Protein-glutamine gamma-glutamyltransferase 4 OS=Homo sapiens OX=9606 GN=TGM4 PE=1 SV=2
+KTILVIKQANIEKVQKSSLKVIFKKPGTKIPTCKIQSQITEGPQVTGHDSTQLSSIGLSELSFKVDTLPIALTNKFICNCVLLQGIRGTNPLEISFEPYQFSTFVESAMIEKSEVIEAIIFGRIVPEDDLIALSNIYTKSDLTLTVESVQGQIQSTKNLDCLKAMKKGTYLQLEFSGLINVNQLAATKRKLIVTFNVSNGLLVDDSQVSMHLFNEKVPRRHERESSLLLFAHDMVQREESSGEPYKYEYTIDRRRDQGVAKTSINKGISTTEMSIVHLEEQGNVMKVLWILRDGNVESFVFRTDYVIFIDGKRIATLPSPGCCFVGQSREQPTADVAQWGDYGKPLDPRKMWADTWVHFNWVSDHTMSTIKEGNENVYTDVTLNRETDHASDFGTVSRAPIGLARLVTTLIGAFVWCQGFCVAQKTNYYQQLIPASGTWKYPATGGEYDGTWNGILVGQGKEFSMMACMARCVLVPDRRDTPKLSSETLLSICCDLVNKEFQGFNWPKCKISRAAGVYHCGTDNLIYEKREDEDPMFVMDEKCWPNFLLYLINEESKLIHNGTKVNLQYKGLIANPSSTVAVTVEKGSENQLTAQWNYHDSPTRPDLVVLTHKAISPNPGTSFELKLQHYSQLPQNLVLRLHFVQGRRFVPSSTQFEWTHHSVANDQNLFDIHLVQLEKSADMM
+>sp|Q5JRA6|TGO1_HUMAN Transport and Golgi organization protein 1 homolog OS=Homo sapiens OX=9606 GN=MIA3 PE=1 SV=1
+MAAAPGLLVWLLVLRLPWRVPGQLDPSTGRRFSEHKLCADDECSMLMYRGEALEDFTGPDCRFVNFKKGDPVYVYYKLARGWPEVWAGSVGRTFGYFPKDLIQVVHEYTKEELQVPTDETDFVCFDGGRDDFHNYNVEELLGFLELYNSAATDSEKAVEKTLQDMEKNPELSKEREPEPEPVEANSEESDSVFSENTEDLQEQFTTQKHHSHANSQANHAQGEQASFESFEEMLQDKLKVPESENNKTSNSSQVSNEQDKIDAYKLLKKEMTLDLKTKFGSTADALVSDDETTRLVTSLEDDFDEELDTEYYAVGKEDEENQEDFDELPLLTFTDGEDMKTPAKSGVEKYPTDKEQNSNEEDKVQLTVPPGIKNDDKNILTTWGDTIFSIVTGGEETRDTMDLESSSSEEEKEDDDDALVPDSKQGKPQSATDYSDPDNVDDGLFIVDIPKTNNDKEVNAEHHIKGKGRGVQESKRGLVQDKTELEDENQEGMTVHSSVHSNNLNSMPAAEKGKDTLKSAYDDTENDLKGAAIHISKGMLHEEKPGEQILEGGSESESAQKAAGNQMNDRKIQQESLGSAPLMGDDHPNASRDSVEGDALVNGAKLHTLSVEHQREELKEELVLKTQNQPRFSSPDEIDLPRELEDEVPILGRNLPWQQERDVAATASKQMSEKIRLSEGEAKEDSLDEEFFHHKAMQGTEVGQTDQTDSTGGPAFLSKVEEDDYPSEELLEDENAINAKRSKEKNPGNQGRQFDVNLQVPDRAVLGTIHPDPEIEESKQETSMILDSEKTSETAAKGVNTGGREPNTMVEKERPLADKKAQRPFERSDFSDSIKIQTPELGEVFQNKDSDYLKNDNPEEHLKTSGLAGEPEGELSKEDHENTEKYMGTESQGSAAAEPEDDSFHWTPHTSVEPGHSDKREDLLIISSFFKEQQSLQRFQKYFNVHELEALLQEMSSKLKSAQQESLPYNMEKVLDKVFRASESQILSIAEKMLDTRVAENRDLGMNENNIFEEAAVLDDIQDLIYFVRYKHSTAEETATLVMAPPLEEGLGGAMEEMQPLHEDNFSREKTAELNVQVPEEPTHLDQRVIGDTHASEVSQKPNTEKDLDPGPVTTEDTPMDAIDANKQPETAAEEPASVTPLENAILLIYSFMFYLTKSLVATLPDDVQPGPDFYGLPWKPVFITAFLGIASFAIFLWRTVLVVKDRVYQVTEQQISEKLKTIMKENTELVQKLSNYEQKIKESKKHVQETRKQNMILSDEAIKYKDKIKTLEKNQEILDDTAKNLRVMLESEREQNVKNQDLISENKKSIEKLKDVISMNASEFSEVQIALNEAKLSEEKVKSECHRVQEENARLKKKKEQLQQEIEDWSKLHAELSEQIKSFEKSQKDLEVALTHKDDNINALTNCITQLNLLECESESEGQNKGGNDSDELANGEVGGDRNEKMKNQIKQMMDVSRTQTAISVVEEDLKLLQLKLRASVSTKCNLEDQVKKLEDDRNSLQAAKAGLEDECKTLRQKVEILNELYQQKEMALQKKLSQEEYERQEREHRLSAADEKAVSAAEEVKTYKRRIEEMEDELQKTERSFKNQIATHEKKAHENWLKARAAERAIAEEKREAANLRHKLLELTQKMAMLQEEPVIVKPMPGKPNTQNPPRRGPLSQNGSFGPSPVSGGECSPPLTVEPPVRPLSATLNRRDMPRSEFGSVDGPLPHPRWSAEASGKPSPSDPGSGTATMMNSSSRGSSPTRVLDEGKVNMAPKGPPPFPGVPLMSTPMGGPVPPPIRYGPPPQLCGPFGPRPLPPPFGPGMRPPLGLREFAPGVPPGRRDLPLHPRGFLPGHAPFRPLGSLGPREYFIPGTRLPPPTHGPQEYPPPPAVRDLLPSGSRDEPPPASQSTSQDCSQALKQSP
+>DECOY_sp|Q5JRA6|TGO1_HUMAN Transport and Golgi organization protein 1 homolog OS=Homo sapiens OX=9606 GN=MIA3 PE=1 SV=1
+PSQKLAQSCDQSTSQSAPPPEDRSGSPLLDRVAPPPPYEQPGHTPPPLRTGPIFYERPGLSGLPRFPAHGPLFGRPHLPLDRRGPPVGPAFERLGLPPRMGPGFPPPLPRPGFPGCLQPPPGYRIPPPVPGGMPTSMLPVGPFPPPGKPAMNVKGEDLVRTPSSGRSSSNMMTATGSGPDSPSPKGSAEASWRPHPLPGDVSGFESRPMDRRNLTASLPRVPPEVTLPPSCEGGSVPSPGFSGNQSLPGRRPPNQTNPKGPMPKVIVPEEQLMAMKQTLELLKHRLNAAERKEEAIAREAARAKLWNEHAKKEHTAIQNKFSRETKQLEDEMEEIRRKYTKVEEAASVAKEDAASLRHEREQREYEEQSLKKQLAMEKQQYLENLIEVKQRLTKCEDELGAKAAQLSNRDDELKKVQDELNCKTSVSARLKLQLLKLDEEVVSIATQTRSVDMMQKIQNKMKENRDGGVEGNALEDSDNGGKNQGESESECELLNLQTICNTLANINDDKHTLAVELDKQSKEFSKIQESLEAHLKSWDEIEQQLQEKKKKLRANEEQVRHCESKVKEESLKAENLAIQVESFESANMSIVDKLKEISKKNESILDQNKVNQERESELMVRLNKATDDLIEQNKELTKIKDKYKIAEDSLIMNQKRTEQVHKKSEKIKQEYNSLKQVLETNEKMITKLKESIQQETVQYVRDKVVLVTRWLFIAFSAIGLFATIFVPKWPLGYFDPGPQVDDPLTAVLSKTLYFMFSYILLIANELPTVSAPEEAATEPQKNADIADMPTDETTVPGPDLDKETNPKQSVESAHTDGIVRQDLHTPEEPVQVNLEATKERSFNDEHLPQMEEMAGGLGEELPPAMVLTATEEATSHKYRVFYILDQIDDLVAAEEFINNENMGLDRNEAVRTDLMKEAISLIQSESARFVKDLVKEMNYPLSEQQASKLKSSMEQLLAELEHVNFYKQFRQLSQQEKFFSSIILLDERKDSHGPEVSTHPTWHFSDDEPEAAASGQSETGMYKETNEHDEKSLEGEPEGALGSTKLHEEPNDNKLYDSDKNQFVEGLEPTQIKISDSFDSREFPRQAKKDALPREKEVMTNPERGGTNVGKAATESTKESDLIMSTEQKSEEIEPDPHITGLVARDPVQLNVDFQRGQNGPNKEKSRKANIANEDELLEESPYDDEEVKSLFAPGGTSDTQDTQGVETGQMAKHHFFEEDLSDEKAEGESLRIKESMQKSATAAVDREQQWPLNRGLIPVEDELERPLDIEDPSSFRPQNQTKLVLEEKLEERQHEVSLTHLKAGNVLADGEVSDRSANPHDDGMLPASGLSEQQIKRDNMQNGAAKQASESESGGELIQEGPKEEHLMGKSIHIAAGKLDNETDDYASKLTDKGKEAAPMSNLNNSHVSSHVTMGEQNEDELETKDQVLGRKSEQVGRGKGKIHHEANVEKDNNTKPIDVIFLGDDVNDPDSYDTASQPKGQKSDPVLADDDDEKEEESSSSELDMTDRTEEGGTVISFITDGWTTLINKDDNKIGPPVTLQVKDEENSNQEKDTPYKEVGSKAPTKMDEGDTFTLLPLEDFDEQNEEDEKGVAYYETDLEEDFDDELSTVLRTTEDDSVLADATSGFKTKLDLTMEKKLLKYADIKDQENSVQSSNSTKNNESEPVKLKDQLMEEFSEFSAQEGQAHNAQSNAHSHHKQTTFQEQLDETNESFVSDSEESNAEVPEPEPEREKSLEPNKEMDQLTKEVAKESDTAASNYLELFGLLEEVNYNHFDDRGGDFCVFDTEDTPVQLEEKTYEHVVQILDKPFYGFTRGVSGAWVEPWGRALKYYVYVPDGKKFNVFRCDPGTFDELAEGRYMLMSCEDDACLKHESFRRGTSPDLQGPVRWPLRLVLLWVLLGPAAAM
+>sp|Q9Y4F4|TGRM1_HUMAN TOG array regulator of axonemal microtubules protein 1 OS=Homo sapiens OX=9606 GN=TOGARAM1 PE=1 SV=4
+MAAAPSALLLLPPFPVLSTYRLQSRSRPSAPETDDSRVGGIMRGEKNYYFRGAAGDHGSCPTTTSPLASALLMPSEAVSSSWSESGGGLSGGDEEDTRLLQLLRTARDPSEAFQALQAALPRRGGRLGFPRRKEALYRALGRVLVEGGSDEKRLCLQLLSDVLRGQGEAGQLEEAFSLALLPQLVVSLREENPALRKDALQILHICLKRSPGEVLRTLIQQGLESTDARLRASTALLLPILLTTEDLLLGLDLTEVIISLARKLGDQETEEESETAFSALQQIGERLGQDRFQSYISRLPSALRRHYNRRLESQFGSQVPYYLELEASGFPEDPLPCAVTLSNSNLKFGIIPQELHSRLLDQEDYKNRTQAVEELKQVLGKFNPSSTPHSSLVGFISLLYNLLDDSNFKVVHGTLEVLHLLVIRLGEQVQQFLGPVIAASVKVLADNKLVIKQEYMKIFLKLMKEVGPQQVLCLLLEHLKHKHSRVREEVVNICICSLLTYPSEDFDLPKLSFDLAPALVDSKRRVRQAALEAFAVLASSMGSGKTSILFKAVDTVELQDNGDGVMNAVQARLARKTLPRLTEQGFVEYAVLMPSSAGGRSNHLAHGADTDWLLAGNRTQSAHCHCGDHVRDSMHIYGSYSPTICTRRVLSAGKGKNKLPWENEQPGIMGENQTSTSKDIEQFSTYDFIPSAKLKLSQGMPVNDDLCFSRKRVSRNLFQNSRDFNPDCLPLCAAGTTGTHQTNLSGKCAQLGFSQICGKTGSVGSDLQFLGTTSSHQEKVYASLNFGSKTQQTFGSQTECTSSNGQNPSPGAYILPSYPVSSPRTSPKHTSPLIISPKKSQDNSVNFSNSWPLKSFEGLSKPSPQKKLVSQKSSDPTGRNHGENSQEKPPVQLTPALVRSPSSRRGLNGTKPVPPIPRGISLLPDKADLSTVGHKKKEPDDIWKCEKDSLPIDLSELNFKDKDLDQEEMHSSLRSLRNSAAKKRAKLSGSTSDLESPDSAMKLDLTMDSPSLSSSPNINSYSESGVYSQESLTSSLSTTPQGKRIMSDIFPTFGSKPCPTRLSSAKKKISHIAEQSPSAGSSSNPQQISSFDFTTTKALSEDSVVVVGKGVFGSLSSAPATCSQSVISSVENGDTFSIKQSIEPPSGIYGRSVQQNISSYLDVENEKDAKVSISKSTYNKMRQKRKEEKELFHNKDCEKKEKNSWERMRHTGTEKMASESETPTGAISQYKERMPSVTHSPEIMDLSELRPFSKPEIALTEALRLLADEDWEKKIEGLNFIRCLAAFHSEILNTKLHETNFAVVQEVKNLRSGVSRAAVVCLSDLFTYLKKSMDQELDTTVKVLLHKAGESNTFIREDVDKALRAMVNNVTPARAVVSLINGGQRYYGRKMLFFMMCHPNFEKMLEKYVPSKDLPYIKDSVRNLQQKGLGEIPLDTPSAKGRRSHTGSVGNTRSSSVSRDAFNSAERAVTEVREVTRKSVPRNSLESAEYLKLITGLLNAKDFRDRINGIKQLLSDTENNQDLVVGNIVKIFDAFKSRLHDSNSKVNLVALETMHKMIPLLRDHLSPIINMLIPAIVDNNLNSKNPGIYAAATNVVQALSQHVDNYLLLQPFCTKAQFLNGKAKQDMTEKLADIVTELYQRKPHATEQKVLVVLWHLLGNMTNSGSLPGAGGNIRTATAKLSKALFAQMGQNLLNQAASQPPHIKKSLEELLDMTILNEL
+>DECOY_sp|Q9Y4F4|TGRM1_HUMAN TOG array regulator of axonemal microtubules protein 1 OS=Homo sapiens OX=9606 GN=TOGARAM1 PE=1 SV=4
+LENLITMDLLEELSKKIHPPQSAAQNLLNQGMQAFLAKSLKATATRINGGAGPLSGSNTMNGLLHWLVVLVKQETAHPKRQYLETVIDALKETMDQKAKGNLFQAKTCFPQLLLYNDVHQSLAQVVNTAAAYIGPNKSNLNNDVIAPILMNIIPSLHDRLLPIMKHMTELAVLNVKSNSDHLRSKFADFIKVINGVVLDQNNETDSLLQKIGNIRDRFDKANLLGTILKLYEASELSNRPVSKRTVERVETVAREASNFADRSVSSSRTNGVSGTHSRRGKASPTDLPIEGLGKQQLNRVSDKIYPLDKSPVYKELMKEFNPHCMMFFLMKRGYYRQGGNILSVVARAPTVNNVMARLAKDVDERIFTNSEGAKHLLVKVTTDLEQDMSKKLYTFLDSLCVVAARSVGSRLNKVEQVVAFNTEHLKTNLIESHFAALCRIFNLGEIKKEWDEDALLRLAETLAIEPKSFPRLESLDMIEPSHTVSPMREKYQSIAGTPTESESAMKETGTHRMREWSNKEKKECDKNHFLEKEEKRKQRMKNYTSKSISVKADKENEVDLYSSINQQVSRGYIGSPPEISQKISFTDGNEVSSIVSQSCTAPASSLSGFVGKGVVVVSDESLAKTTTFDFSSIQQPNSSSGASPSQEAIHSIKKKASSLRTPCPKSGFTPFIDSMIRKGQPTTSLSSTLSEQSYVGSESYSNINPSSSLSPSDMTLDLKMASDPSELDSTSGSLKARKKAASNRLSRLSSHMEEQDLDKDKFNLESLDIPLSDKECKWIDDPEKKKHGVTSLDAKDPLLSIGRPIPPVPKTGNLGRRSSPSRVLAPTLQVPPKEQSNEGHNRGTPDSSKQSVLKKQPSPKSLGEFSKLPWSNSFNVSNDQSKKPSIILPSTHKPSTRPSSVPYSPLIYAGPSPNQGNSSTCETQSGFTQQTKSGFNLSAYVKEQHSSTTGLFQLDSGVSGTKGCIQSFGLQACKGSLNTQHTGTTGAACLPLCDPNFDRSNQFLNRSVRKRSFCLDDNVPMGQSLKLKASPIFDYTSFQEIDKSTSTQNEGMIGPQENEWPLKNKGKGASLVRRTCITPSYSGYIHMSDRVHDGCHCHASQTRNGALLWDTDAGHALHNSRGGASSPMLVAYEVFGQETLRPLTKRALRAQVANMVGDGNDQLEVTDVAKFLISTKGSGMSSALVAFAELAAQRVRRKSDVLAPALDFSLKPLDFDESPYTLLSCICINVVEERVRSHKHKLHELLLCLVQQPGVEKMLKLFIKMYEQKIVLKNDALVKVSAAIVPGLFQQVQEGLRIVLLHLVELTGHVVKFNSDDLLNYLLSIFGVLSSHPTSSPNFKGLVQKLEEVAQTRNKYDEQDLLRSHLEQPIIGFKLNSNSLTVACPLPDEPFGSAELELYYPVQSGFQSELRRNYHRRLASPLRSIYSQFRDQGLREGIQQLASFATESEEETEQDGLKRALSIIVETLDLGLLLDETTLLIPLLLATSARLRADTSELGQQILTRLVEGPSRKLCIHLIQLADKRLAPNEERLSVVLQPLLALSFAEELQGAEGQGRLVDSLLQLCLRKEDSGGEVLVRGLARYLAEKRRPFGLRGGRRPLAAQLAQFAESPDRATRLLQLLRTDEEDGGSLGGGSESWSSSVAESPMLLASALPSTTTPCSGHDGAAGRFYYNKEGRMIGGVRSDDTEPASPRSRSQLRYTSLVPFPPLLLLASPAAAM
+>sp|Q96RS0|TGS1_HUMAN Trimethylguanosine synthase OS=Homo sapiens OX=9606 GN=TGS1 PE=1 SV=3
+MCCEKWSRVAEMFLFIEEREDCKILCLCSRAFVEDRKLYNLGLKGYYIRDSGNNSGDQATEEEEGGYSCGTAESHDSKGIGLDESELDSEAELMRSMGLPLQFGRITAHKDFEVSMNTRNKVKIKKKKHQKKYLDEIVQESWRKEYEEDDILASDDPSSIEQYENTRTYELQSKKDTETENPPVENTLSPKLEITEKWEKYWNEYGGGLLWQSWQEKHPGQALSSEPWNFPDTKEEWEQHYSQLYWYYLEQFQYWEAQGWTFDASQSCDTDTYTSKTEADDKNDEKCMKVDLVSFPSSPIMVDNDSSGTSDKDHSEILDGISNIKLNSEEVTQSQLDSCTSHDGHQQLSEVSSKRECPASGQSEPRNGGTNEESNSSGNTNTDPPAEDSQKSSGANTSKDRPHASGTDGDESEEDPPEHKPSKLKRSHELDIDENPASDFDDSGSLLGFKYGSGQKYGGIPNFSHRQVRYLEKNVKLKSKYLDMRRQIKMKNKHIFFTKESEKPFFKKSKILSKVEKFLTWVNKPMDEEASQESSSHDNVHDASTSSDSEEQDMSVKKGDDLLETNNPEPEKCQSVSSAGELETENYERDSLLATVPDEQDCVTQEVPDSRQAETEAEVKKKKNKKKNKKVNGLPPEIAAVPELAKYWAQRYRLFSRFDDGIKLDREGWFSVTPEKIAEHIAGRVSQSFKCDVVVDAFCGVGGNTIQFALTGMRVIAIDIDPVKIALARNNAEVYGIADKIEFICGDFLLLASFLKADVVFLSPPWGGPDYATAETFDIRTMMSPDGFEIFRLSKKITNNIVYFLPRNADIDQVASLAGPGGQVEIEQNFLNNKLKTITAYFGDLIRRPASET
+>DECOY_sp|Q96RS0|TGS1_HUMAN Trimethylguanosine synthase OS=Homo sapiens OX=9606 GN=TGS1 PE=1 SV=3
+TESAPRRILDGFYATITKLKNNLFNQEIEVQGGPGALSAVQDIDANRPLFYVINNTIKKSLRFIEFGDPSMMTRIDFTEATAYDPGGWPPSLFVVDAKLFSALLLFDGCIFEIKDAIGYVEANNRALAIKVPDIDIAIVRMGTLAFQITNGGVGCFADVVVDCKFSQSVRGAIHEAIKEPTVSFWGERDLKIGDDFRSFLRYRQAWYKALEPVAAIEPPLGNVKKNKKKNKKKKVEAETEAQRSDPVEQTVCDQEDPVTALLSDREYNETELEGASSVSQCKEPEPNNTELLDDGKKVSMDQEESDSSTSADHVNDHSSSEQSAEEDMPKNVWTLFKEVKSLIKSKKFFPKESEKTFFIHKNKMKIQRRMDLYKSKLKVNKELYRVQRHSFNPIGGYKQGSGYKFGLLSGSDDFDSAPNEDIDLEHSRKLKSPKHEPPDEESEDGDTGSAHPRDKSTNAGSSKQSDEAPPDTNTNGSSNSEENTGGNRPESQGSAPCERKSSVESLQQHGDHSTCSDLQSQTVEESNLKINSIGDLIESHDKDSTGSSDNDVMIPSSPFSVLDVKMCKEDNKDDAETKSTYTDTDCSQSADFTWGQAEWYQFQELYYWYLQSYHQEWEEKTDPFNWPESSLAQGPHKEQWSQWLLGGGYENWYKEWKETIELKPSLTNEVPPNETETDKKSQLEYTRTNEYQEISSPDDSALIDDEEYEKRWSEQVIEDLYKKQHKKKKIKVKNRTNMSVEFDKHATIRGFQLPLGMSRMLEAESDLESEDLGIGKSDHSEATGCSYGGEEEETAQDGSNNGSDRIYYGKLGLNYLKRDEVFARSCLCLIKCDEREEIFLFMEAVRSWKECCM
+>sp|Q8WTV1|THAP3_HUMAN THAP domain-containing protein 3 OS=Homo sapiens OX=9606 GN=THAP3 PE=1 SV=1
+MPKSCAARQCCNRYSSRRKQLTFHRFPFSRPELLKEWVLNIGRGNFKPKQHTVICSEHFRPECFSAFGNRKNLKHNAVPTVFAFQDPTQQVRENTDPASERGNASSSQKEKVLPEAGAGEDSPGRNMDTALEELQLPPNAEGHVKQVSPRRPQATEAVGRPTGPAGLRRTPNKQPSDHSYALLDLDSLKKKLFLTLKENEKLRKRLQAQRLVMRRMSSRLRACKGHQGLQARLGPEQQS
+>DECOY_sp|Q8WTV1|THAP3_HUMAN THAP domain-containing protein 3 OS=Homo sapiens OX=9606 GN=THAP3 PE=1 SV=1
+SQQEPGLRAQLGQHGKCARLRSSMRRMVLRQAQLRKRLKENEKLTLFLKKKLSDLDLLAYSHDSPQKNPTRRLGAPGTPRGVAETAQPRRPSVQKVHGEANPPLQLEELATDMNRGPSDEGAGAEPLVKEKQSSSANGRESAPDTNERVQQTPDQFAFVTPVANHKLNKRNGFASFCEPRFHESCIVTHQKPKFNGRGINLVWEKLLEPRSFPFRHFTLQKRRSSYRNCCQRAACSKPM
+>sp|Q8WUY1|THEM6_HUMAN Protein THEM6 OS=Homo sapiens OX=9606 GN=THEM6 PE=1 SV=2
+MLGLLVALLALGLAVFALLDVWYLVRLPCAVLRARLLQPRVRDLLAEQRFPGRVLPSDLDLLLHMNNARYLREADFARVAHLTRCGVLGALRELRAHTVLAASCARHRRSLRLLEPFEVRTRLLGWDDRAFYLEARFVSLRDGFVCALLRFRQHLLGTSPERVVQHLCQRRVEPPELPADLQHWISYNEASSQLLRMESGLSDVTKDQ
+>DECOY_sp|Q8WUY1|THEM6_HUMAN Protein THEM6 OS=Homo sapiens OX=9606 GN=THEM6 PE=1 SV=2
+QDKTVDSLGSEMRLLQSSAENYSIWHQLDAPLEPPEVRRQCLHQVVREPSTGLLHQRFRLLACVFGDRLSVFRAELYFARDDWGLLRTRVEFPELLRLSRRHRACSAALVTHARLERLAGLVGCRTLHAVRAFDAERLYRANNMHLLLDLDSPLVRGPFRQEALLDRVRPQLLRARLVACPLRVLYWVDLLAFVALGLALLAVLLGLM
+>sp|Q9NWX6|THG1_HUMAN Probable tRNA(His) guanylyltransferase OS=Homo sapiens OX=9606 GN=THG1L PE=1 SV=2
+MWGACKVKVHDSLATISITLRRYLRLGATMAKSKFEYVRDFEADDTCLAHCWVVVRLDGRNFHRFAEKHNFAKPNDSRALQLMTKCAQTVMEELEDIVIAYGQSDEYSFVFKRKTNWFKRRASKFMTHVASQFASSYVFYWRDYFEDQPLLYPPGFDGRVVVYPSNQTLKDYLSWRQADCHINNLYNTVFWALIQQSGLTPVQAQGRLQGTLAADKNEILFSEFNINYNNELPMYRKGTVLIWQKVDEVMTKEIKLPTEMEGKKMAVTRTRTKPVPLHCDIIGDAFWKEHPEILDEDS
+>DECOY_sp|Q9NWX6|THG1_HUMAN Probable tRNA(His) guanylyltransferase OS=Homo sapiens OX=9606 GN=THG1L PE=1 SV=2
+SDEDLIEPHEKWFADGIIDCHLPVPKTRTRTVAMKKGEMETPLKIEKTMVEDVKQWILVTGKRYMPLENNYNINFESFLIENKDAALTGQLRGQAQVPTLGSQQILAWFVTNYLNNIHCDAQRWSLYDKLTQNSPYVVVRGDFGPPYLLPQDEFYDRWYFVYSSAFQSAVHTMFKSARRKFWNTKRKFVFSYEDSQGYAIVIDELEEMVTQACKTMLQLARSDNPKAFNHKEAFRHFNRGDLRVVVWCHALCTDDAEFDRVYEFKSKAMTAGLRLYRRLTISITALSDHVKVKCAGWM
+>sp|P52888|THOP1_HUMAN Thimet oligopeptidase OS=Homo sapiens OX=9606 GN=THOP1 PE=1 SV=2
+MKPPAACAGDMADAASPCSVVNDLRWDLSAQQIEERTRELIEQTKRVYDQVGTQEFEDVSYESTLKALADVEVTYTVQRNILDFPQHVSPSKDIRTASTEADKKLSEFDVEMSMREDVYQRIVWLQEKVQKDSLRPEAARYLERLIKLGRRNGLHLPRETQENIKRIKKKLSLLCIDFNKNLNEDTTFLPFTLQELGGLPEDFLNSLEKMEDGKLKVTLKYPHYFPLLKKCHVPETRRKVEEAFNCRCKEENCAILKELVTLRAQKSRLLGFHTHADYVLEMNMAKTSQTVATFLDELAQKLKPLGEQERAVILELKRAECERRGLPFDGRIRAWDMRYYMNQVEETRYCVDQNLLKEYFPVQVVTHGLLGIYQELLGLAFHHEEGASAWHEDVRLYTARDAASGEVVGKFYLDLYPREGKYGHAACFGLQPGCLRQDGSRQIAIAAMVANFTKPTADAPSLLQHDEVETYFHEFGHVMHQLCSQAEFAMFSGTHVERDFVEAPSQMLENWVWEQEPLLRMSRHYRTGSAVPRELLEKLIESRQANTGLFNLRQIVLAKVDQALHTQTDADPAEEYARLCQEILGVPATPGTNMPATFGHLAGGYDAQYYGYLWSEVYSMDMFHTRFKQEGVLNSKVGMDYRSCILRPGGSEDASAMLRRFLGRDPKQDAFLLSKGLQVGGCEPEPQVC
+>DECOY_sp|P52888|THOP1_HUMAN Thimet oligopeptidase OS=Homo sapiens OX=9606 GN=THOP1 PE=1 SV=2
+CVQPEPECGGVQLGKSLLFADQKPDRGLFRRLMASADESGGPRLICSRYDMGVKSNLVGEQKFRTHFMDMSYVESWLYGYYQADYGGALHGFTAPMNTGPTAPVGLIEQCLRAYEEAPDADTQTHLAQDVKALVIQRLNFLGTNAQRSEILKELLERPVASGTRYHRSMRLLPEQEWVWNELMQSPAEVFDREVHTGSFMAFEAQSCLQHMVHGFEHFYTEVEDHQLLSPADATPKTFNAVMAAIAIQRSGDQRLCGPQLGFCAAHGYKGERPYLDLYFKGVVEGSAADRATYLRVDEHWASAGEEHHFALGLLEQYIGLLGHTVVQVPFYEKLLNQDVCYRTEEVQNMYYRMDWARIRGDFPLGRRECEARKLELIVAREQEGLPKLKQALEDLFTAVTQSTKAMNMELVYDAHTHFGLLRSKQARLTVLEKLIACNEEKCRCNFAEEVKRRTEPVHCKKLLPFYHPYKLTVKLKGDEMKELSNLFDEPLGGLEQLTFPLFTTDENLNKNFDICLLSLKKKIRKINEQTERPLHLGNRRGLKILRELYRAAEPRLSDKQVKEQLWVIRQYVDERMSMEVDFESLKKDAETSATRIDKSPSVHQPFDLINRQVTYTVEVDALAKLTSEYSVDEFEQTGVQDYVRKTQEILERTREEIQQASLDWRLDNVVSCPSAADAMDGACAAPPKM
+>sp|Q9UPZ6|THS7A_HUMAN Thrombospondin type-1 domain-containing protein 7A OS=Homo sapiens OX=9606 GN=THSD7A PE=1 SV=4
+MGLQARRWASGSRGAAGPRRGVLQLLPLPLPLPLLLLLLLRPGAGRAAAQGEAEAPTLYLWKTGPWGRCMGDECGPGGIQTRAVWCAHVEGWTTLHTNCKQAERPNNQQNCFKVCDWHKELYDWRLGPWNQCQPVISKSLEKPLECIKGEEGIQVREIACIQKDKDIPAEDIICEYFEPKPLLEQACLIPCQQDCIVSEFSAWSECSKTCGSGLQHRTRHVVAPPQFGGSGCPNLTEFQVCQSSPCEAEELRYSLHVGPWSTCSMPHSRQVRQARRRGKNKEREKDRSKGVKDPEARELIKKKRNRNRQNRQENKYWDIQIGYQTREVMCINKTGKAADLSFCQQEKLPMTFQSCVITKECQVSEWSEWSPCSKTCHDMVSPAGTRVRTRTIRQFPIGSEKECPEFEEKEPCLSQGDGVVPCATYGWRTTEWTECRVDPLLSQQDKRRGNQTALCGGGIQTREVYCVQANENLLSQLSTHKNKEASKPMDLKLCTGPIPNTTQLCHIPCPTECEVSPWSAWGPCTYENCNDQQGKKGFKLRKRRITNEPTGGSGVTGNCPHLLEAIPCEEPACYDWKAVRLGNCEPDNGKECGPGTQVQEVVCINSDGEEVDRQLCRDAIFPIPVACDAPCPKDCVLSTWSTWSSCSHTCSGKTTEGKQIRARSILAYAGEEGGIRCPNSSALQEVRSCNEHPCTVYHWQTGPWGQCIEDTSVSSFNTTTTWNGEASCSVGMQTRKVICVRVNVGQVGPKKCPESLRPETVRPCLLPCKKDCIVTPYSDWTSCPSSCKEGDSSIRKQSRHRVIIQLPANGGRDCTDPLYEEKACEAPQACQSYRWKTHKWRRCQLVPWSVQQDSPGAQEGCGPGRQARAITCRKQDGGQAGIHECLQYAGPVPALTQACQIPCQDDCQLTSWSKFSSCNGDCGAVRTRKRTLVGKSKKKEKCKNSHLYPLIETQYCPCDKYNAQPVGNWSDCILPEGKVEVLLGMKVQGDIKECGQGYRYQAMACYDQNGRLVETSRCNSHGYIEEACIIPCPSDCKLSEWSNWSRCSKSCGSGVKVRSKWLREKPYNGGRPCPKLDHVNQAQVYEVVPCHSDCNQYLWVTEPWSICKVTFVNMRENCGEGVQTRKVRCMQNTADGPSEHVEDYLCDPEEMPLGSRVCKLPCPEDCVISEWGPWTQCVLPCNQSSFRQRSADPIRQPADEGRSCPNAVEKEPCNLNKNCYHYDYNVTDWSTCQLSEKAVCGNGIKTRMLDCVRSDGKSVDLKYCEALGLEKNWQMNTSCMVECPVNCQLSDWSPWSECSQTCGLTGKMIRRRTVTQPFQGDGRPCPSLMDQSKPCPVKPCYRWQYGQWSPCQVQEAQCGEGTRTRNISCVVSDGSADDFSKVVDEEFCADIELIIDGNKNMVLEESCSQPCPGDCYLKDWSSWSLCQLTCVNGEDLGFGGIQVRSRPVIIQELENQHLCPEQMLETKSCYDGQCYEYKWMASAWKGSSRTVWCQRSDGINVTGGCLVMSQPDADRSCNPPCSQPHSYCSETKTCHCEEGYTEVMSSNSTLEQCTLIPVVVLPTMEDKRGDVKTSRAVHPTQPSSNPAGRGRTWFLQPFGPDGRLKTWVYGVAAGAFVLLIFIVSMIYLACKKPKKPQRRQNNRLKPLTLAYDGDADM
+>DECOY_sp|Q9UPZ6|THS7A_HUMAN Thrombospondin type-1 domain-containing protein 7A OS=Homo sapiens OX=9606 GN=THSD7A PE=1 SV=4
+MDADGDYALTLPKLRNNQRRQPKKPKKCALYIMSVIFILLVFAGAAVGYVWTKLRGDPGFPQLFWTRGRGAPNSSPQTPHVARSTKVDGRKDEMTPLVVVPILTCQELTSNSSMVETYGEECHCTKTESCYSHPQSCPPNCSRDADPQSMVLCGGTVNIGDSRQCWVTRSSGKWASAMWKYEYCQGDYCSKTELMQEPCLHQNELEQIIVPRSRVQIGGFGLDEGNVCTLQCLSWSSWDKLYCDGPCPQSCSEELVMNKNGDIILEIDACFEEDVVKSFDDASGDSVVCSINRTRTGEGCQAEQVQCPSWQGYQWRYCPKVPCPKSQDMLSPCPRGDGQFPQTVTRRRIMKGTLGCTQSCESWPSWDSLQCNVPCEVMCSTNMQWNKELGLAECYKLDVSKGDSRVCDLMRTKIGNGCVAKESLQCTSWDTVNYDYHYCNKNLNCPEKEVANPCSRGEDAPQRIPDASRQRFSSQNCPLVCQTWPGWESIVCDEPCPLKCVRSGLPMEEPDCLYDEVHESPGDATNQMCRVKRTQVGEGCNERMNVFTVKCISWPETVWLYQNCDSHCPVVEYVQAQNVHDLKPCPRGGNYPKERLWKSRVKVGSGCSKSCRSWNSWESLKCDSPCPIICAEEIYGHSNCRSTEVLRGNQDYCAMAQYRYGQGCEKIDGQVKMGLLVEVKGEPLICDSWNGVPQANYKDCPCYQTEILPYLHSNKCKEKKKSKGVLTRKRTRVAGCDGNCSSFKSWSTLQCDDQCPIQCAQTLAPVPGAYQLCEHIGAQGGDQKRCTIARAQRGPGCGEQAGPSDQQVSWPVLQCRRWKHTKWRYSQCAQPAECAKEEYLPDTCDRGGNAPLQIIVRHRSQKRISSDGEKCSSPCSTWDSYPTVICDKKCPLLCPRVTEPRLSEPCKKPGVQGVNVRVCIVKRTQMGVSCSAEGNWTTTTNFSSVSTDEICQGWPGTQWHYVTCPHENCSRVEQLASSNPCRIGGEEGAYALISRARIQKGETTKGSCTHSCSSWTSWTSLVCDKPCPADCAVPIPFIADRCLQRDVEEGDSNICVVEQVQTGPGCEKGNDPECNGLRVAKWDYCAPEECPIAELLHPCNGTVGSGGTPENTIRRKRLKFGKKGQQDNCNEYTCPGWASWPSVECETPCPIHCLQTTNPIPGTCLKLDMPKSAEKNKHTSLQSLLNENAQVCYVERTQIGGGCLATQNGRRKDQQSLLPDVRCETWETTRWGYTACPVVGDGQSLCPEKEEFEPCEKESGIPFQRITRTRVRTGAPSVMDHCTKSCPSWESWESVQCEKTIVCSQFTMPLKEQQCFSLDAAKGTKNICMVERTQYGIQIDWYKNEQRNQRNRNRKKKILERAEPDKVGKSRDKEREKNKGRRRAQRVQRSHPMSCTSWPGVHLSYRLEEAECPSSQCVQFETLNPCGSGGFQPPAVVHRTRHQLGSGCTKSCESWASFESVICDQQCPILCAQELLPKPEFYECIIDEAPIDKDKQICAIERVQIGEEGKICELPKELSKSIVPQCQNWPGLRWDYLEKHWDCVKFCNQQNNPREAQKCNTHLTTWGEVHACWVARTQIGGPGCEDGMCRGWPGTKWLYLTPAEAEGQAAARGAGPRLLLLLLLPLPLPLPLLQLVGRRPGAAGRSGSAWRRAQLGM
+>sp|Q9NS62|THSD1_HUMAN Thrombospondin type-1 domain-containing protein 1 OS=Homo sapiens OX=9606 GN=THSD1 PE=2 SV=1
+MKPMLKDFSNLLLVVLCDYVLGEAEYLLLREPGHVALSNDTVYVDFQYFDGANGTLRNVSVLLLEANTNQTVTTKYLLTNQSQGTLKFECFYFKEAGDYWFTMTPEATDNSTPFPWWEKSAFLKVEWPVFHVDLNRSAKAAEGTFQVGLFTSQPLCPFPVDKPNIVVDVIFTNSLPEARRNSRQPLEIRTSKRTELAQGQWVEFGCAPLGPEAYVTVVLKLLGRDSVITSTGPIDLAQKFGYKLVMVPELTCESGVEVTVLPPPCTFVQGVVTVFKEAPRYPGKRTIHLAENSLPLGERRTIFNCTLFDMGKNKYCFDFGISSRSHFSAKEECMLIQRNTETWGLWQPWSQCSATCGDGVRERRRVCLTSFPSSPVCPGMSLEASLCSLEECAAFQPSSPSPLQPQGPVKSNNIVTVTGISLCLFIIIATVLITLWRRFGRPAKCSTPARHNSIHSPSFRKNSDEENICELSEQRGSFSDGGDGPTGSPGDTGIPLTYRRSGPVPPEDDASGSESFQSNAQKIIPPLFSYRLAQQQLKEMKKKGLTETTKVYHVSQSPLTDTAIDAAPSAPLDLESPEEAAANKFRIKSPFPEQPAVSAGERPPSRLDLNVTQASCAISPSQTLIRKSQARHVGSRGGPSERSHARNAHFRRTASFHEARQARPFRERSMSTLTPRQAPAYSSRTRTCEQAEDRFRPQSRGAHLFPEKLEHFQEASGTRGPLNPLPKSYTLGQPLRKPDLGDHQAGLVAGIERTEPHRARRGPSPSHKSVSRKQSSPISPKDNYQRVSSLSPSQCRKDKCQSFPTHPEFAFYDNTSFGLTEAEQRMLDLPGYFGSNEEDETTSTLSVEKLVI
+>DECOY_sp|Q9NS62|THSD1_HUMAN Thrombospondin type-1 domain-containing protein 1 OS=Homo sapiens OX=9606 GN=THSD1 PE=2 SV=1
+IVLKEVSLTSTTEDEENSGFYGPLDLMRQEAETLGFSTNDYFAFEPHTPFSQCKDKRCQSPSLSSVRQYNDKPSIPSSQKRSVSKHSPSPGRRARHPETREIGAVLGAQHDGLDPKRLPQGLTYSKPLPNLPGRTGSAEQFHELKEPFLHAGRSQPRFRDEAQECTRTRSSYAPAQRPTLTSMSRERFPRAQRAEHFSATRRFHANRAHSRESPGGRSGVHRAQSKRILTQSPSIACSAQTVNLDLRSPPREGASVAPQEPFPSKIRFKNAAAEEPSELDLPASPAADIATDTLPSQSVHYVKTTETLGKKKMEKLQQQALRYSFLPPIIKQANSQFSESGSADDEPPVPGSRRYTLPIGTDGPSGTPGDGGDSFSGRQESLECINEEDSNKRFSPSHISNHRAPTSCKAPRGFRRWLTILVTAIIIFLCLSIGTVTVINNSKVPGQPQLPSPSSPQFAACEELSCLSAELSMGPCVPSSPFSTLCVRRRERVGDGCTASCQSWPQWLGWTETNRQILMCEEKASFHSRSSIGFDFCYKNKGMDFLTCNFITRREGLPLSNEALHITRKGPYRPAEKFVTVVGQVFTCPPPLVTVEVGSECTLEPVMVLKYGFKQALDIPGTSTIVSDRGLLKLVVTVYAEPGLPACGFEVWQGQALETRKSTRIELPQRSNRRAEPLSNTFIVDVVINPKDVPFPCLPQSTFLGVQFTGEAAKASRNLDVHFVPWEVKLFASKEWWPFPTSNDTAEPTMTFWYDGAEKFYFCEFKLTGQSQNTLLYKTTVTQNTNAELLLVSVNRLTGNAGDFYQFDVYVTDNSLAVHGPERLLLYEAEGLVYDCLVVLLLNSFDKLMPKM
+>sp|Q9BU02|THTPA_HUMAN Thiamine-triphosphatase OS=Homo sapiens OX=9606 GN=THTPA PE=1 SV=3
+MAQGLIEVERKFLPGPGTEERLQELGGTLEYRVTFRDTYYDTPELSLMQADHWLRRREDSGWELKCPGAAGVLGPHTEYKELTAEPTIVAQLCKVLRADGLGAGDVAAVLGPLGLQEVASFVTKRSAWKLVLLGADEEEPQLRVDLDTADFGYAVGEVEALVHEEAEVPTALEKIHRLSSMLGVPAQETAPAKLIVYLQRFRPQDYQRLLEVNSSRERPQETEDPDHCLG
+>DECOY_sp|Q9BU02|THTPA_HUMAN Thiamine-triphosphatase OS=Homo sapiens OX=9606 GN=THTPA PE=1 SV=3
+GLCHDPDETEQPRERSSNVELLRQYDQPRFRQLYVILKAPATEQAPVGLMSSLRHIKELATPVEAEEHVLAEVEGVAYGFDATDLDVRLQPEEEDAGLLVLKWASRKTVFSAVEQLGLPGLVAAVDGAGLGDARLVKCLQAVITPEATLEKYETHPGLVGAAGPCKLEWGSDERRRLWHDAQMLSLEPTDYYTDRFTVRYELTGGLEQLREETGPGPLFKREVEILGQAM
+>sp|Q9BV44|THUM3_HUMAN THUMP domain-containing protein 3 OS=Homo sapiens OX=9606 GN=THUMPD3 PE=1 SV=1
+MCDIEEATNQLLDVNLHENQKSVQVTESDLGSESELLVTIGATVPTGFEQTAADEVREKLGSSCKISRDRGKIYFVISVESLAQVHCLRSVDNLFVVVQEFQDYQFKQTKEEVLKDFEDLAGKLPWSNPLKVWKINASFKKKKAKRKKINQNSSKEKINNGQEVKIDQRNVKKEFTSHALDSHILDYYENPAIKEDVSTLIGDDLASCKDETDESSKEETEPQVLKFRVTCNRAGEKHCFTSNEAARDFGGAVQDYFKWKADMTNFDVEVLLNIHDNEVIVGIALTEESLHRRNITHFGPTTLRSTLAYGMLRLCDPLPYDIIVDPMCGTGAIPIEGATEWSDCFHIAGDNNPLAVNRAANNIASLLTKSQIKEGKPSWGLPIDAVQWDICNLPLRTGSVDIIVTDLPFGKRMGSKKRNWNLYPACLREMSRVCTPTTGRAVLLTQDTKCFTKALSGMRHVWRKVDTVWVNVGGLRAAVYVLIRTPQAFVHPSEQDGERGTLWQCKE
+>DECOY_sp|Q9BV44|THUM3_HUMAN THUMP domain-containing protein 3 OS=Homo sapiens OX=9606 GN=THUMPD3 PE=1 SV=1
+EKCQWLTGREGDQESPHVFAQPTRILVYVAARLGGVNVWVTDVKRWVHRMGSLAKTFCKTDQTLLVARGTTPTCVRSMERLCAPYLNWNRKKSGMRKGFPLDTVIIDVSGTRLPLNCIDWQVADIPLGWSPKGEKIQSKTLLSAINNAARNVALPNNDGAIHFCDSWETAGEIPIAGTGCMPDVIIDYPLPDCLRLMGYALTSRLTTPGFHTINRRHLSEETLAIGVIVENDHINLLVEVDFNTMDAKWKFYDQVAGGFDRAAENSTFCHKEGARNCTVRFKLVQPETEEKSSEDTEDKCSALDDGILTSVDEKIAPNEYYDLIHSDLAHSTFEKKVNRQDIKVEQGNNIKEKSSNQNIKKRKAKKKKFSANIKWVKLPNSWPLKGALDEFDKLVEEKTQKFQYDQFEQVVVFLNDVSRLCHVQALSEVSIVFYIKGRDRSIKCSSGLKERVEDAATQEFGTPVTAGITVLLESESGLDSETVQVSKQNEHLNVDLLQNTAEEIDCM
+>sp|Q9P016|THYN1_HUMAN Thymocyte nuclear protein 1 OS=Homo sapiens OX=9606 GN=THYN1 PE=1 SV=1
+MSRPRKRLAGTSGSDKGLSGKRTKTENSGEALAKVEDSNPQKTSATKNCLKNLSSHWLMKSEPESRLEKGVDVKFSIEDLKAQPKQTTCWDGVRNYQARNFLRAMKLGEEAFFYHSNCKEPGIAGLMKIVKEAYPDHTQFEKNNPHYDPSSKEDNPKWSMVDVQFVRMMKRFIPLAELKSYHQAHKATGGPLKNMVLFTRQRLSIQPLTQEEFDFVLSLEEKEPS
+>DECOY_sp|Q9P016|THYN1_HUMAN Thymocyte nuclear protein 1 OS=Homo sapiens OX=9606 GN=THYN1 PE=1 SV=1
+SPEKEELSLVFDFEEQTLPQISLRQRTFLVMNKLPGGTAKHAQHYSKLEALPIFRKMMRVFQVDVMSWKPNDEKSSPDYHPNNKEFQTHDPYAEKVIKMLGAIGPEKCNSHYFFAEEGLKMARLFNRAQYNRVGDWCTTQKPQAKLDEISFKVDVGKELRSEPESKMLWHSSLNKLCNKTASTKQPNSDEVKALAEGSNETKTRKGSLGKDSGSTGALRKRPRSM
+>sp|Q99595|TI17A_HUMAN Mitochondrial import inner membrane translocase subunit Tim17-A OS=Homo sapiens OX=9606 GN=TIMM17A PE=1 SV=1
+MEEYAREPCPWRIVDDCGGAFTMGTIGGGIFQAIKGFRNSPVGVNHRLRGSLTAIKTRAPQLGGSFAVWGGLFSMIDCSMVQVRGKEDPWNSITSGALTGAILAARNGPVAMVGSAAMGGILLALIEGAGILLTRFASAQFPNGPQFAEDPSQLPSTQLPSSPFGDYRQYQ
+>DECOY_sp|Q99595|TI17A_HUMAN Mitochondrial import inner membrane translocase subunit Tim17-A OS=Homo sapiens OX=9606 GN=TIMM17A PE=1 SV=1
+QYQRYDGFPSSPLQTSPLQSPDEAFQPGNPFQASAFRTLLIGAGEILALLIGGMAASGVMAVPGNRAALIAGTLAGSTISNWPDEKGRVQVMSCDIMSFLGGWVAFSGGLQPARTKIATLSGRLRHNVGVPSNRFGKIAQFIGGGITGMTFAGGCDDVIRWPCPERAYEEM
+>sp|O95411|TIAF1_HUMAN TGFB1-induced anti-apoptotic factor 1 OS=Homo sapiens OX=9606 GN=TIAF1 PE=2 SV=2
+MSSPSSPFREQSFLCAAGDAGEESRVQVLKNEVRRGSPVLLGWVEQAYADKCVCGPSAPPAPTPPSLSQRVMCNDLFKVNPFQLQQFRADPSTASLLLCPGGLDHKLNLRGKAWG
+>DECOY_sp|O95411|TIAF1_HUMAN TGFB1-induced anti-apoptotic factor 1 OS=Homo sapiens OX=9606 GN=TIAF1 PE=2 SV=2
+GWAKGRLNLKHDLGGPCLLLSATSPDARFQQLQFPNVKFLDNCMVRQSLSPPTPAPPASPGCVCKDAYAQEVWGLLVPSGRRVENKLVQVRSEEGADGAACLFSQERFPSSPSSM
+>sp|O15164|TIF1A_HUMAN Transcription intermediary factor 1-alpha OS=Homo sapiens OX=9606 GN=TRIM24 PE=1 SV=3
+MEVAVEKAVAAAAAASAAASGGPSAAPSGENEAESRQGPDSERGGEAARLNLLDTCAVCHQNIQSRAPKLLPCLHSFCQRCLPAPQRYLMLPAPMLGSAETPPPVPAPGSPVSGSSPFATQVGVIRCPVCSQECAERHIIDNFFVKDTTEVPSSTVEKSNQVCTSCEDNAEANGFCVECVEWLCKTCIRAHQRVKFTKDHTVRQKEEVSPEAVGVTSQRPVFCPFHKKEQLKLYCETCDKLTCRDCQLLEHKEHRYQFIEEAFQNQKVIIDTLITKLMEKTKYIKFTGNQIQNRIIEVNQNQKQVEQDIKVAIFTLMVEINKKGKALLHQLESLAKDHRMKLMQQQQEVAGLSKQLEHVMHFSKWAVSSGSSTALLYSKRLITYRLRHLLRARCDASPVTNNTIQFHCDPSFWAQNIINLGSLVIEDKESQPQMPKQNPVVEQNSQPPSGLSSNQLSKFPTQISLAQLRLQHMQQQVMAQRQQVQRRPAPVGLPNPRMQGPIQQPSISHQQPPPRLINFQNHSPKPNGPVLPPHPQQLRYPPNQNIPRQAIKPNPLQMAFLAQQAIKQWQISSGQGTPSTTNSTSSTPSSPTITSAAGYDGKAFGSPMIDLSSPVGGSYNLPSLPDIDCSSTIMLDNIVRKDTNIDHGQPRPPSNRTVQSPNSSVPSPGLAGPVTMTSVHPPIRSPSASSVGSRGSSGSSSKPAGADSTHKVPVVMLEPIRIKQENSGPPENYDFPVVIVKQESDEESRPQNANYPRSILTSLLLNSSQSSTSEETVLRSDAPDSTGDQPGLHQDNSSNGKSEWLDPSQKSPLHVGETRKEDDPNEDWCAVCQNGGELLCCEKCPKVFHLSCHVPTLTNFPSGEWICTFCRDLSKPEVEYDCDAPSHNSEKKKTEGLVKLTPIDKRKCERLLLFLYCHEMSLAFQDPVPLTVPDYYKIIKNPMDLSTIKKRLQEDYSMYSKPEDFVADFRLIFQNCAEFNEPDSEVANAGIKLENYFEELLKNLYPEKRFPKPEFRNESEDNKFSDDSDDDFVQPRKKRLKSIEERQLLK
+>DECOY_sp|O15164|TIF1A_HUMAN Transcription intermediary factor 1-alpha OS=Homo sapiens OX=9606 GN=TRIM24 PE=1 SV=3
+KLLQREEISKLRKKRPQVFDDDSDDSFKNDESENRFEPKPFRKEPYLNKLLEEFYNELKIGANAVESDPENFEACNQFILRFDAVFDEPKSYMSYDEQLRKKITSLDMPNKIIKYYDPVTLPVPDQFALSMEHCYLFLLLRECKRKDIPTLKVLGETKKKESNHSPADCDYEVEPKSLDRCFTCIWEGSPFNTLTPVHCSLHFVKPCKECCLLEGGNQCVACWDENPDDEKRTEGVHLPSKQSPDLWESKGNSSNDQHLGPQDGTSDPADSRLVTEESTSSQSSNLLLSTLISRPYNANQPRSEEDSEQKVIVVPFDYNEPPGSNEQKIRIPELMVVPVKHTSDAGAPKSSSGSSGRSGVSSASPSRIPPHVSTMTVPGALGPSPVSSNPSQVTRNSPPRPQGHDINTDKRVINDLMITSSCDIDPLSPLNYSGGVPSSLDIMPSGFAKGDYGAASTITPSSPTSSTSNTTSPTGQGSSIQWQKIAQQALFAMQLPNPKIAQRPINQNPPYRLQQPHPPLVPGNPKPSHNQFNILRPPPQQHSISPQQIPGQMRPNPLGVPAPRRQVQQRQAMVQQQMHQLRLQALSIQTPFKSLQNSSLGSPPQSNQEVVPNQKPMQPQSEKDEIVLSGLNIINQAWFSPDCHFQITNNTVPSADCRARLLHRLRYTILRKSYLLATSSGSSVAWKSFHMVHELQKSLGAVEQQQQMLKMRHDKALSELQHLLAKGKKNIEVMLTFIAVKIDQEVQKQNQNVEIIRNQIQNGTFKIYKTKEMLKTILTDIIVKQNQFAEEIFQYRHEKHELLQCDRCTLKDCTECYLKLQEKKHFPCFVPRQSTVGVAEPSVEEKQRVTHDKTFKVRQHARICTKCLWEVCEVCFGNAEANDECSTCVQNSKEVTSSPVETTDKVFFNDIIHREACEQSCVPCRIVGVQTAFPSSGSVPSGPAPVPPPTEASGLMPAPLMLYRQPAPLCRQCFSHLCPLLKPARSQINQHCVACTDLLNLRAAEGGRESDPGQRSEAENEGSPAASPGGSAAASAAAAAAVAKEVAVEM
+>sp|Q8N9U0|TAC2N_HUMAN Tandem C2 domains nuclear protein OS=Homo sapiens OX=9606 GN=TC2N PE=1 SV=2
+MATEFIKSCCGGCFYGETEKHNFSVERDFKAAVPNSQNATISVPPLTSVSVKPQLGCTEDYLLSKLPSDGKEVPFVVPKFKLSYIQPRTQETPSHLEELEGSARASFGDRKVELSSSSQHGPSYDVYNPFYMYQHISPDLSRRFPPRSEVKRLYGSVCDLRTNKLPGSPGLSKSMFDLTNSSQRFIQRHDSLSSVPSSSSSRKNSQGSNRSLDTITLSGDERDFGRLNVKLFYNSSVEQIWITVLQCRDLSWPSSYGDTPTVSIKGILTLPKPVHFKSSAKEGSNAIEFMETFVFAIKLQNLQTVRLVFKIQTQTPRKKTIGECSMSLRTLSTQEMDYSLDITPPSKISVCHAELELGTCFQAVNSRIQLQILEARYLPSSSTPLTLSFFVKVGMFSSGELIYKKKTRLLKASNGRVKWGETMIFPLIQSEKEIVFLIKLYSRSSVRRKHFVGQIWISEDSNNIEAVNQWKETVINPEKVVIRWHKLNPS
+>DECOY_sp|Q8N9U0|TAC2N_HUMAN Tandem C2 domains nuclear protein OS=Homo sapiens OX=9606 GN=TC2N PE=1 SV=2
+SPNLKHWRIVVKEPNIVTEKWQNVAEINNSDESIWIQGVFHKRRVSSRSYLKILFVIEKESQILPFIMTEGWKVRGNSAKLLRTKKKYILEGSSFMGVKVFFSLTLPTSSSPLYRAELIQLQIRSNVAQFCTGLELEAHCVSIKSPPTIDLSYDMEQTSLTRLSMSCEGITKKRPTQTQIKFVLRVTQLNQLKIAFVFTEMFEIANSGEKASSKFHVPKPLTLIGKISVTPTDGYSSPWSLDRCQLVTIWIQEVSSNYFLKVNLRGFDREDGSLTITDLSRNSGQSNKRSSSSSPVSSLSDHRQIFRQSSNTLDFMSKSLGPSGPLKNTRLDCVSGYLRKVESRPPFRRSLDPSIHQYMYFPNYVDYSPGHQSSSSLEVKRDGFSARASGELEELHSPTEQTRPQIYSLKFKPVVFPVEKGDSPLKSLLYDETCGLQPKVSVSTLPPVSITANQSNPVAAKFDREVSFNHKETEGYFCGGCCSKIFETAM
+>sp|Q9Y6A5|TACC3_HUMAN Transforming acidic coiled-coil-containing protein 3 OS=Homo sapiens OX=9606 GN=TACC3 PE=1 SV=1
+MSLQVLNDKNVSNEKNTENCDFLFSPPEVTGRSSVLRVSQKENVPPKNLAKAMKVTFQTPLRDPQTHRILSPSMASKLEAPFTQDDTLGLENSHPVWTQKENQQLIKEVDAKTTHGILQKPVEADTDLLGDASPAFGSGSSSESGPGALADLDCSSSSQSPGSSENQMVSPGKVSGSPEQAVEENLSSYSLDRRVTPASETLEDPCRTESQHKAETPHGAEEECKAETPHGAEEECRHGGVCAPAAVATSPPGAIPKEACGGAPLQGLPGEALGCPAGVGTPVPADGTQTLTCAHTSAPESTAPTNHLVAGRAMTLSPQEEVAAGQMASSSRSGPVKLEFDVSDGATSKRAPPPRRLGERSGLKPPLRKAAVRQQKAPQEVEEDDGRSGAGEDPPMPASRGSYHLDWDKMDDPNFIPFGGDTKSGCSEAQPPESPETRLGQPAAEQLHAGPATEEPGPCLSQQLHSASAEDTPVVQLAAETPTAESKERALNSASTSLPTSCPGSEPVPTHQQGQPALELKEESFRDPAEVLGTGAEVDYLEQFGTSSFKESALRKQSLYLKFDPLLRDSPGRPVPVATETSSMHGANETPSGRPREAKLVEFDFLGALDIPVPGPPPGVPAPGGPPLSTGPIVDLLQYSQKDLDAVVKATQEENRELRSRCEELHGKNLELGKIMDRFEEVVYQAMEEVQKQKELSKAEIQKVLKEKDQLTTDLNSMEKSFSDLFKRFEKQKEVIEGYRKNEESLKKCVEDYLARITQEGQRYQALKAHAEEKLQLANEEIAQVRSKAQAEALALQASLRKEQMRIQSLEKTVEQKTKENEELTRICDDLISKMEKI
+>DECOY_sp|Q9Y6A5|TACC3_HUMAN Transforming acidic coiled-coil-containing protein 3 OS=Homo sapiens OX=9606 GN=TACC3 PE=1 SV=1
+IKEMKSILDDCIRTLEENEKTKQEVTKELSQIRMQEKRLSAQLALAEAQAKSRVQAIEENALQLKEEAHAKLAQYRQGEQTIRALYDEVCKKLSEENKRYGEIVEKQKEFRKFLDSFSKEMSNLDTTLQDKEKLVKQIEAKSLEKQKQVEEMAQYVVEEFRDMIKGLELNKGHLEECRSRLERNEEQTAKVVADLDKQSYQLLDVIPGTSLPPGGPAPVGPPPGPVPIDLAGLFDFEVLKAERPRGSPTENAGHMSSTETAVPVPRGPSDRLLPDFKLYLSQKRLASEKFSSTGFQELYDVEAGTGLVEAPDRFSEEKLELAPQGQQHTPVPESGPCSTPLSTSASNLAREKSEATPTEAALQVVPTDEASASHLQQSLCPGPEETAPGAHLQEAAPQGLRTEPSEPPQAESCGSKTDGGFPIFNPDDMKDWDLHYSGRSAPMPPDEGAGSRGDDEEVEQPAKQQRVAAKRLPPKLGSREGLRRPPPARKSTAGDSVDFELKVPGSRSSSAMQGAAVEEQPSLTMARGAVLHNTPATSEPASTHACTLTQTGDAPVPTGVGAPCGLAEGPLGQLPAGGCAEKPIAGPPSTAVAAPACVGGHRCEEEAGHPTEAKCEEEAGHPTEAKHQSETRCPDELTESAPTVRRDLSYSSLNEEVAQEPSGSVKGPSVMQNESSGPSQSSSSCDLDALAGPGSESSSGSGFAPSADGLLDTDAEVPKQLIGHTTKADVEKILQQNEKQTWVPHSNELGLTDDQTFPAELKSAMSPSLIRHTQPDRLPTQFTVKMAKALNKPPVNEKQSVRLVSSRGTVEPPSFLFDCNETNKENSVNKDNLVQLSM
+>sp|P40200|TACT_HUMAN T-cell surface protein tactile OS=Homo sapiens OX=9606 GN=CD96 PE=1 SV=2
+MEKKWKYCAVYYIIQIHFVKGVWEKTVNTEENVYATLGSDVNLTCQTQTVGFFVQMQWSKVTNKIDLIAVYHPQYGFYCAYGRPCESLVTFTETPENGSKWTLHLRNMSCSVSGRYECMLVLYPEGIQTKIYNLLIQTHVTADEWNSNHTIEIEINQTLEIPCFQNSSSKISSEFTYAWSVENSSTDSWVLLSKGIKEDNGTQETLISQNHLISNSTLLKDRVKLGTDYRLHLSPVQIFDDGRKFSCHIRVGPNKILRSSTTVKVFAKPEIPVIVENNSTDVLVERRFTCLLKNVFPKANITWFIDGSFLHDEKEGIYITNEERKGKDGFLELKSVLTRVHSNKPAQSDNLTIWCMALSPVPGNKVWNISSEKITFLLGSEISSTDPPLSVTESTLDTQPSPASSVSPARYPATSSVTLVDVSALRPNTTPQPSNSSMTTRGFNYPWTSSGTDTKKSVSRIPSETYSSSPSGAGSTLHDNVFTSTARAFSEVPTTANGSTKTNHVHITGIVVNKPKDGMSWPVIVAALLFCCMILFGLGVRKWCQYQKEIMERPPPFKPPPPPIKYTCIQEPNESDLPYHEMETL
+>DECOY_sp|P40200|TACT_HUMAN T-cell surface protein tactile OS=Homo sapiens OX=9606 GN=CD96 PE=1 SV=2
+LTEMEHYPLDSENPEQICTYKIPPPPPKFPPPREMIEKQYQCWKRVGLGFLIMCCFLLAAVIVPWSMGDKPKNVVIGTIHVHNTKTSGNATTPVESFARATSTFVNDHLTSGAGSPSSSYTESPIRSVSKKTDTGSSTWPYNFGRTTMSSNSPQPTTNPRLASVDVLTVSSTAPYRAPSVSSAPSPQTDLTSETVSLPPDTSSIESGLLFTIKESSINWVKNGPVPSLAMCWITLNDSQAPKNSHVRTLVSKLELFGDKGKREENTIYIGEKEDHLFSGDIFWTINAKPFVNKLLCTFRREVLVDTSNNEVIVPIEPKAFVKVTTSSRLIKNPGVRIHCSFKRGDDFIQVPSLHLRYDTGLKVRDKLLTSNSILHNQSILTEQTGNDEKIGKSLLVWSDTSSNEVSWAYTFESSIKSSSNQFCPIELTQNIEIEITHNSNWEDATVHTQILLNYIKTQIGEPYLVLMCEYRGSVSCSMNRLHLTWKSGNEPTETFTVLSECPRGYACYFGYQPHYVAILDIKNTVKSWQMQVFFGVTQTQCTLNVDSGLTAYVNEETNVTKEWVGKVFHIQIIYYVACYKWKKEM
+>sp|Q12962|TAF10_HUMAN Transcription initiation factor TFIID subunit 10 OS=Homo sapiens OX=9606 GN=TAF10 PE=1 SV=1
+MSCSGSGADPEAAPASAASAPGPAPPVSAPAALPSSTAAENKASPAGTAGGPGAGAAAGGTGPLAARAGEPAERRGAAPVSAGGAAPPEGAISNGVYVLPSAANGDVKPVVSSTPLVDFLMQLEDYTPTIPDAVTGYYLNRAGFEASDPRIIRLISLAAQKFISDIANDALQHCKMKGTASGSSRSKSKDRKYTLTMEDLTPALSEYGINVKKPHYFT
+>DECOY_sp|Q12962|TAF10_HUMAN Transcription initiation factor TFIID subunit 10 OS=Homo sapiens OX=9606 GN=TAF10 PE=1 SV=1
+TFYHPKKVNIGYESLAPTLDEMTLTYKRDKSKSRSSGSATGKMKCHQLADNAIDSIFKQAALSILRIIRPDSAEFGARNLYYGTVADPITPTYDELQMLFDVLPTSSVVPKVDGNAASPLVYVGNSIAGEPPAAGGASVPAAGRREAPEGARAALPGTGGAAAGAGPGGATGAPSAKNEAATSSPLAAPASVPPAPGPASAASAPAAEPDAGSGSCSM
+>sp|Q16514|TAF12_HUMAN Transcription initiation factor TFIID subunit 12 OS=Homo sapiens OX=9606 GN=TAF12 PE=1 SV=1
+MNQFGPSALINLSNFSSIKPEPASTPPQGSMANSTAVVKIPGTPGAGGRLSPENNQVLTKKKLQDLVREVDPNEQLDEDVEEMLLQIADDFIESVVTAACQLARHRKSSTLEVKDVQLHLERQWNMWIPGFGSEEIRPYKKACTTEAHKQRMALIRKTTKK
+>DECOY_sp|Q16514|TAF12_HUMAN Transcription initiation factor TFIID subunit 12 OS=Homo sapiens OX=9606 GN=TAF12 PE=1 SV=1
+KKTTKRILAMRQKHAETTCAKKYPRIEESGFGPIWMNWQRELHLQVDKVELTSSKRHRALQCAATVVSEIFDDAIQLLMEEVDEDLQENPDVERVLDQLKKKTLVQNNEPSLRGGAGPTGPIKVVATSNAMSGQPPTSAPEPKISSFNSLNILASPGFQNM
+>sp|Q15543|TAF13_HUMAN Transcription initiation factor TFIID subunit 13 OS=Homo sapiens OX=9606 GN=TAF13 PE=1 SV=1
+MADEEEDPTFEEENEEIGGGAEGGQGKRKRLFSKELRCMMYGFGDDQNPYTESVDILEDLVIEFITEMTHKAMSIGRQGRVQVEDIVFLIRKDPRKFARVKDLLTMNEELKRARKAFDEANYGS
+>DECOY_sp|Q15543|TAF13_HUMAN Transcription initiation factor TFIID subunit 13 OS=Homo sapiens OX=9606 GN=TAF13 PE=1 SV=1
+SGYNAEDFAKRARKLEENMTLLDKVRAFKRPDKRILFVIDEVQVRGQRGISMAKHTMETIFEIVLDELIDVSETYPNQDDGFGYMMCRLEKSFLRKRKGQGGEAGGGIEENEEEFTPDEEEDAM
+>sp|Q8IZX4|TAF1L_HUMAN Transcription initiation factor TFIID subunit 1-like OS=Homo sapiens OX=9606 GN=TAF1L PE=1 SV=1
+MRPGCDLLLRAAATVTAAIMSDSDSEEDSSGGGPFTLAGILFGNISGAGQLEGESVLDDECKKHLAGLGALGLGSLITELTANEELTGTGGALVNDEGWIRSTEDAVDYSDINEVAEDESQRHQQTMGSLQPLYHSDYDEDDYDADCEDIDCKLMPPPPPPPGPMKKDKDQDAITCVSESGEDIILPSIIAPSFLASEKVDFSSYSDSESEMGPQEATQAESEDGKLTLPLAGIMQHDATKLLPSVTELFPEFRPGKVLRFLHLFGPGKNVPSVWRSARRKRKKHRELIQEEQIQEVECSVESEVSQKSLWNYDYAPPPPPEQCLADDEITMMVPVESKFSQSTGDVDKVTDTKPRVAEWRYGPARLWYDMLGVSEDGSGFDYGFKLRKTQHEPVIKSRMMEEFRKLEESNGTDLLADENFLMVTQLHWEDSIIWDGEDIKHKGTKPQGASLAGWLPSIKTRNVMAYNVQQGFAPTLDDDKPWYSIFPIDNEDLVYGRWEDNIIWDAQAMPRLLEPPVLALDPNDENLILEIPDEKEEATSNSPSKESKKESSLKKSRILLGKTGVIREEPQQNMSQPEVKDPWNLSNDEYYFPKQQGLRGTFGGNIIQHSIPAMELWQPFFPTHMGPIKIRQFHRPPLKKYSFGALSQPGPHSVQPLLKHIKKKAKMREQERQASGGGELFFMRTPQDLTGKDGDLILAEYSEENGPLMMQVGMATKIKNYYKRKPGKDPGAPDCKYGETVYCHTSPFLGSLHPGQLLQALENNLFRAPVYLHKMPETDFLIIRTRQGYYIRELVDIFVVGQQCPLFEVPGPNSRRANMHIRDFLQVFIYRLFWKSKDRPRRIRMEDIKKAFPSHSESSIRKRLKLCADFKRTGMDSNWWVLKSDFRLPTEEEIRAKVSPEQCCAYYSMIAAKQRLKDAGYGEKSFFAPEEENEEDFQMKIDDEVHAAPWNTTRAFIAAMKGKCLLEVTGVADPTGCGEGFSYVKIPNKPTQQKDDKEPQAVKKTVTGTDADLRRLSLKNAKQLLRKFGVPEEEIKKLSRWEVIDVVRTMSTEQAHSGEGPMSKFARGSRFSVAEHQERYKEECQRIFDLQNKVLSSTEVLSTDTDSISAEDSDFEEMGKNIENMLQNKKTSSQLSREWEEQERKELRRMLLVAGSAASGNNHRDDVTASMTSLKSSATGHCLKIYRTFRDEEGKEYVRCETVRKPAVIDAYVRIRTTKDEKFIQKFALFDEKHREEMRKERRRIQEQLRRLKRNQEKEKLKGPPEKKPKKMKERPDLKLKCGACGAIGHMRTNKFCPLYYQTNVPPSKPVAMTEEQEEELEKTVIHNDNEELIKVEGTKIVFGKQLIENVHEVRRKSLVLKFPKQQLPPKKKRRVGTTVHCDYLNIPHKSIHRRRTDPMVTLSSILESIINDMRDLPNTHPFHTPVNAKVVKDYYKIITRPMDLQTLRENVRKCLYPSREEFREHLELIVKNSATYNGPKHSLTQISQSMLDLCDEKLKEKEDKLARLEKAINPLLDDDDQVAFSFILDNIVTQKMMAVPDSWPFHHPVNKKFVPDYYKMIVNPVDLETIRKNISKHKYQSRESFLDDVNLILANSVKYNGPESQYTKTAQEIVNICYQTITEYDEHLTQLEKDICTAKEAALEEAELESLDPMTPGPYTSQPPDMYDTNTSLSTSRDASVFQDESNLSVLDISTATPEKQMCQGQGRLGEEDSDVDVEGYDDEEEDGKPKPPAPEGGDGDLADEEEGTVQQPEASVLYEDLLISEGEDDEEDAGSDEEGDNPFSAIQLSESGSDSDVGYGGIRPKQPFMLQHASGEHKDGHGK
+>DECOY_sp|Q8IZX4|TAF1L_HUMAN Transcription initiation factor TFIID subunit 1-like OS=Homo sapiens OX=9606 GN=TAF1L PE=1 SV=1
+KGHGDKHEGSAHQLMFPQKPRIGGYGVDSDSGSESLQIASFPNDGEEDSGADEEDDEGESILLDEYLVSAEPQQVTGEEEDALDGDGGEPAPPKPKGDEEEDDYGEVDVDSDEEGLRGQGQCMQKEPTATSIDLVSLNSEDQFVSADRSTSLSTNTDYMDPPQSTYPGPTMPDLSELEAEELAAEKATCIDKELQTLHEDYETITQYCINVIEQATKTYQSEPGNYKVSNALILNVDDLFSERSQYKHKSINKRITELDVPNVIMKYYDPVFKKNVPHHFPWSDPVAMMKQTVINDLIFSFAVQDDDDLLPNIAKELRALKDEKEKLKEDCLDLMSQSIQTLSHKPGNYTASNKVILELHERFEERSPYLCKRVNERLTQLDMPRTIIKYYDKVVKANVPTHFPHTNPLDRMDNIISELISSLTVMPDTRRRHISKHPINLYDCHVTTGVRRKKKPPLQQKPFKLVLSKRRVEHVNEILQKGFVIKTGEVKILEENDNHIVTKELEEEQEETMAVPKSPPVNTQYYLPCFKNTRMHGIAGCAGCKLKLDPREKMKKPKKEPPGKLKEKEQNRKLRRLQEQIRRREKRMEERHKEDFLAFKQIFKEDKTTRIRVYADIVAPKRVTECRVYEKGEEDRFTRYIKLCHGTASSKLSTMSATVDDRHNNGSAASGAVLLMRRLEKREQEEWERSLQSSTKKNQLMNEINKGMEEFDSDEASISDTDTSLVETSSLVKNQLDFIRQCEEKYREQHEAVSFRSGRAFKSMPGEGSHAQETSMTRVVDIVEWRSLKKIEEEPVGFKRLLQKANKLSLRRLDADTGTVTKKVAQPEKDDKQQTPKNPIKVYSFGEGCGTPDAVGTVELLCKGKMAAIFARTTNWPAAHVEDDIKMQFDEENEEEPAFFSKEGYGADKLRQKAAIMSYYACCQEPSVKARIEEETPLRFDSKLVWWNSDMGTRKFDACLKLRKRISSESHSPFAKKIDEMRIRRPRDKSKWFLRYIFVQLFDRIHMNARRSNPGPVEFLPCQQGVVFIDVLERIYYGQRTRIILFDTEPMKHLYVPARFLNNELAQLLQGPHLSGLFPSTHCYVTEGYKCDPAGPDKGPKRKYYNKIKTAMGVQMMLPGNEESYEALILDGDKGTLDQPTRMFFLEGGGSAQREQERMKAKKKIHKLLPQVSHPGPQSLAGFSYKKLPPRHFQRIKIPGMHTPFFPQWLEMAPISHQIINGGFTGRLGQQKPFYYEDNSLNWPDKVEPQSMNQQPEERIVGTKGLLIRSKKLSSEKKSEKSPSNSTAEEKEDPIELILNEDNPDLALVPPELLRPMAQADWIINDEWRGYVLDENDIPFISYWPKDDDLTPAFGQQVNYAMVNRTKISPLWGALSAGQPKTGKHKIDEGDWIISDEWHLQTVMLFNEDALLDTGNSEELKRFEEMMRSKIVPEHQTKRLKFGYDFGSGDESVGLMDYWLRAPGYRWEAVRPKTDTVKDVDGTSQSFKSEVPVMMTIEDDALCQEPPPPPAYDYNWLSKQSVESEVSCEVEQIQEEQILERHKKRKRRASRWVSPVNKGPGFLHLFRLVKGPRFEPFLETVSPLLKTADHQMIGALPLTLKGDESEAQTAEQPGMESESDSYSSFDVKESALFSPAIISPLIIDEGSESVCTIADQDKDKKMPGPPPPPPPMLKCDIDECDADYDDEDYDSHYLPQLSGMTQQHRQSEDEAVENIDSYDVADETSRIWGEDNVLAGGTGTLEENATLETILSGLGLAGLGALHKKCEDDLVSEGELQGAGSINGFLIGALTFPGGGSSDEESDSDSMIAATVTAAARLLLDCGPRM
+>sp|Q01995|TAGL_HUMAN Transgelin OS=Homo sapiens OX=9606 GN=TAGLN PE=1 SV=4
+MANKGPSYGMSREVQSKIEKKYDEELEERLVEWIIVQCGPDVGRPDRGRLGFQVWLKNGVILSKLVNSLYPDGSKPVKVPENPPSMVFKQMEQVAQFLKAAEDYGVIKTDMFQTVDLFEGKDMAAVQRTLMALGSLAVTKNDGHYRGDPNWFMKKAQEHKREFTESQLQEGKHVIGLQMGSNRGASQAGMTGYGRPRQIIS
+>DECOY_sp|Q01995|TAGL_HUMAN Transgelin OS=Homo sapiens OX=9606 GN=TAGLN PE=1 SV=4
+SIIQRPRGYGTMGAQSAGRNSGMQLGIVHKGEQLQSETFERKHEQAKKMFWNPDGRYHGDNKTVALSGLAMLTRQVAAMDKGEFLDVTQFMDTKIVGYDEAAKLFQAVQEMQKFVMSPPNEPVKVPKSGDPYLSNVLKSLIVGNKLWVQFGLRGRDPRGVDPGCQVIIWEVLREELEEDYKKEIKSQVERSMGYSPGKNAM
+>sp|P37837|TALDO_HUMAN Transaldolase OS=Homo sapiens OX=9606 GN=TALDO1 PE=1 SV=2
+MSSSPVKRQRMESALDQLKQFTTVVADTGDFHAIDEYKPQDATTNPSLILAAAQMPAYQELVEEAIAYGRKLGGSQEDQIKNAIDKLFVLFGAEILKKIPGRVSTEVDARLSFDKDAMVARARRLIELYKEAGISKDRILIKLSSTWEGIQAGKELEEQHGIHCNMTLLFSFAQAVACAEAGVTLISPFVGRILDWHVANTDKKSYEPLEDPGVKSVTKIYNYYKKFSYKTIVMGASFRNTGEIKALAGCDFLTISPKLLGELLQDNAKLVPVLSAKAAQASDLEKIHLDEKSFRWLHNEDQMAVEKLSDGIRKFAADAVKLERMLTERMFNAENGK
+>DECOY_sp|P37837|TALDO_HUMAN Transaldolase OS=Homo sapiens OX=9606 GN=TALDO1 PE=1 SV=2
+KGNEANFMRETLMRELKVADAAFKRIGDSLKEVAMQDENHLWRFSKEDLHIKELDSAQAAKASLVPVLKANDQLLEGLLKPSITLFDCGALAKIEGTNRFSAGMVITKYSFKKYYNYIKTVSKVGPDELPEYSKKDTNAVHWDLIRGVFPSILTVGAEACAVAQAFSFLLTMNCHIGHQEELEKGAQIGEWTSSLKILIRDKSIGAEKYLEILRRARAVMADKDFSLRADVETSVRGPIKKLIEAGFLVFLKDIANKIQDEQSGGLKRGYAIAEEVLEQYAPMQAAALILSPNTTADQPKYEDIAHFDGTDAVVTTFQKLQDLASEMRQRKVPSSSM
+>sp|Q96BW9|TAM41_HUMAN Phosphatidate cytidylyltransferase, mitochondrial OS=Homo sapiens OX=9606 GN=TAMM41 PE=1 SV=2
+MALQTLQSSWVTFRKILSHFPEELSLAFVYGSGVYRQAGPSSDQKNAMLDFVFTVDDPVAWHSKNLKKNWSHYSFLKVLGPKIITSIQNNYGAGVYYNSLIMCNGRLIKYGVISTNVLIEDLLNWNNLYIAGRLQKPVKIISVNEDVTLRSALDRNLKSAVTAAFLMLPESFSEEDLFIEIAGLSYSGDFRMVVGEDKTKVLNIVKPNIAHFRELYGSILQENPQVVYKSQQGWLEIDKSPEGQFTQLMTLPKTLQQQINHIMDPPGKNRDVEETLFQVAHDPDCGDVVRLGLSAIVRPSSIRQSTKGIFTAGKSFGNPCVTYLLTEWLPHSWLQCKALYLLGACEMLSFDGHKLGYCSKVQTGITAAEPGGRTMSDHWQCCWKLYCPSEFSETLPVCRVFPSYCFIYQSYRCIGLQKQQHLCSPSSSPSLRQLLPSVLVGYFCCYCHFSKW
+>DECOY_sp|Q96BW9|TAM41_HUMAN Phosphatidate cytidylyltransferase, mitochondrial OS=Homo sapiens OX=9606 GN=TAMM41 PE=1 SV=2
+WKSFHCYCCFYGVLVSPLLQRLSPSSSPSCLHQQKQLGICRYSQYIFCYSPFVRCVPLTESFESPCYLKWCCQWHDSMTRGGPEAATIGTQVKSCYGLKHGDFSLMECAGLLYLAKCQLWSHPLWETLLYTVCPNGFSKGATFIGKTSQRISSPRVIASLGLRVVDGCDPDHAVQFLTEEVDRNKGPPDMIHNIQQQLTKPLTMLQTFQGEPSKDIELWGQQSKYVVQPNEQLISGYLERFHAINPKVINLVKTKDEGVVMRFDGSYSLGAIEIFLDEESFSEPLMLFAATVASKLNRDLASRLTVDENVSIIKVPKQLRGAIYLNNWNLLDEILVNTSIVGYKILRGNCMILSNYYVGAGYNNQISTIIKPGLVKLFSYHSWNKKLNKSHWAVPDDVTFVFDLMANKQDSSPGAQRYVGSGYVFALSLEEPFHSLIKRFTVWSSQLTQLAM
+>sp|Q9C0D5|TANC1_HUMAN Protein TANC1 OS=Homo sapiens OX=9606 GN=TANC1 PE=1 SV=3
+MLKAVLKKSREGGKGGKKEAGSDFGPETSPVLHLDHSADSPVSSLPTAEDTYRVSLAKGVSMSLPSSPLLPRQSHLVQSRVNKKSPGPVRKPKYVESPRVPGDAVIMPFREVAKPTEPDEHEAKADNEPSCSPAAQELLTRLGFLLGEGIPSATHITIEDKNETMCTALSQGISPCSTLTSSTASPSTDSPCSTLNSCVSKTAANKSPCETISSPSSTLESKDSGIIATITSSSENDDRSGSSLEWNKDGNLRLGVQKGVLHDRRADNCSPVAEEETTGSAESTLPKAESSAGDGPVPYSQGSSSLIMPRPNSVAATSSTKLEDLSYLDGQRNAPLRTSIRLPWHNTAGGRAQEVKARFAPYKPQDILLKPLLFEVPSITTDSVFVGRDWLFHQIEENLRNTELAENRGAVVVGNVGFGKTAIISKLVALSCHGSRMRQIASNSPGSSPKTSDPTQDLHFTPLLSPSSSTSASSTAKTPLGSISAENQRPREDAVKYLASKVVAYHYCQADNTYTCLVPEFVHSIAALLCRSHQLAAYRDLLIKEPQLQSMLSLRSCVQDPVAAFKRGVLEPLTNLRNEQKIPEEEYIILIDGLNEAEFHKPDYGDTLSSFITKIISKFPAWLKLIVTVRANFQEIISALPFVKLSLDDFPDNKDIHSDLHAYVQHRVHSSQDILSNISLNGKADATLIGKVSSHLVLRSLGSYLYLKLTLDLFQRGHLVIKSASYKVVPVSLSELYLLQCNMKFMTQSAFERALPILNVALASLHPMTDEQIFQAINAGHIQGEQGWEDFQQRMDALSCFLIKRRDKTRMFCHPSFREWLVWRADGENTAFLCEPRNGHALLAFMFSRQEGKLNRQQTMELGHHILKAHIFKGLSKKTGISSSHLQALWIGYSTEGLSAALASLRNLYTPNVKVSRLLILGGANVNYRTEVLNNAPILCVQSHLGHEEVVTLLLEFGACLDGTSENGMTALCYAAAAGHMKLVCLLTKKGVRVDHLDKKGQCALVHSALRGHGDILQYLLTCEWSPGPPQPGTLRKSHALQQALTAAASMGHSSVVQCLLGMEKEHEVEVNGTDTLWGETALTAAAGRGKLEVCELLLGHGAAVSRTNRRGVPPLFCAARQGHWQIVRLLLERGCDVNLSDKQGRTPLMVAACEGHLSTVEFLLSKGAALSSLDKEGLSALSWACLKGHRAVVQYLVEEGAAIDQTDKNGRTPLDLAAFYGDAETVLYLVEKGAVIEHVDHSGMRPLDRAIGCRNTSVVVALLRKGAKLGNAAWAMATSKPDILIILLQKLMEEGNVMYKKGKMKEAAQRYQYALRKFPREGFGEDMRPFNELRVSLYLNLSRCRRKTNDFGMAEEFASKALELKPKSYEAFYARARAKRNSRQFVAALADLQEAVKLCPTNQEVKRLLARVEEECKQLQRSQQQKQQGPLPAPLNDSENEEDTPTPGLSDHFHSEETEEEETSPQEESVSPTPRSQPSSSVPSSYIRNLQEGLQSKGRPVSPQSRAGIGKSLREPVAQPGLLLQPSKQAQIVKTSQHLGSGQSAVRNGSMKVQISSQNPPPSPMPGRIAATPAGSRTQHLEGTGTFTTRAGCGHFGDRLGPSQNVRLQCGENGPAHPLPSKTKTTERLLSHSSVAVDAAPPNQGGLATCSDVRHPASLTSSGSSGSPSSSIKMSSSTSSLTSSSSFSDGFKVQGPDTRIKDKVVTHVQSGTAEHRPRNTPFMGIMDKTARFQQQSNPPSRSWHCPAPEGLLTNTSSAAGLQSANTEKPSLMQVGGYNNQAKTCSVSTLSASVHNGAQVKELEESKCQIPVHSQENRITKTVSHLYQESISKQQPHISNEAHRSHLTAAKPKRSFIESNV
+>DECOY_sp|Q9C0D5|TANC1_HUMAN Protein TANC1 OS=Homo sapiens OX=9606 GN=TANC1 PE=1 SV=3
+VNSEIFSRKPKAATLHSRHAENSIHPQQKSISEQYLHSVTKTIRNEQSHVPIQCKSEELEKVQAGNHVSASLTSVSCTKAQNNYGGVQMLSPKETNASQLGAASSTNTLLGEPAPCHWSRSPPNSQQQFRATKDMIGMFPTNRPRHEATGSQVHTVVKDKIRTDPGQVKFGDSFSSSSTLSSTSSSMKISSSPSGSSGSSTLSAPHRVDSCTALGGQNPPAADVAVSSHSLLRETTKTKSPLPHAPGNEGCQLRVNQSPGLRDGFHGCGARTTFTGTGELHQTRSGAPTAAIRGPMPSPPPNQSSIQVKMSGNRVASQGSGLHQSTKVIQAQKSPQLLLGPQAVPERLSKGIGARSQPSVPRGKSQLGEQLNRIYSSPVSSSPQSRPTPSVSEEQPSTEEEETEESHFHDSLGPTPTDEENESDNLPAPLPGQQKQQQSRQLQKCEEEVRALLRKVEQNTPCLKVAEQLDALAAVFQRSNRKARARAYFAEYSKPKLELAKSAFEEAMGFDNTKRRCRSLNLYLSVRLENFPRMDEGFGERPFKRLAYQYRQAAEKMKGKKYMVNGEEMLKQLLIILIDPKSTAMAWAANGLKAGKRLLAVVVSTNRCGIARDLPRMGSHDVHEIVAGKEVLYLVTEADGYFAALDLPTRGNKDTQDIAAGEEVLYQVVARHGKLCAWSLASLGEKDLSSLAAGKSLLFEVTSLHGECAAVMLPTRGQKDSLNVDCGRELLLRVIQWHGQRAACFLPPVGRRNTRSVAAGHGLLLECVELKGRGAAATLATEGWLTDTGNVEVEHEKEMGLLCQVVSSHGMSAAATLAQQLAHSKRLTGPQPPGPSWECTLLYQLIDGHGRLASHVLACQGKKDLHDVRVGKKTLLCVLKMHGAAAAYCLATMGNESTGDLCAGFELLLTVVEEHGLHSQVCLIPANNLVETRYNVNAGGLILLRSVKVNPTYLNRLSALAASLGETSYGIWLAQLHSSSIGTKKSLGKFIHAKLIHHGLEMTQQRNLKGEQRSFMFALLAHGNRPECLFATNEGDARWVLWERFSPHCFMRTKDRRKILFCSLADMRQQFDEWGQEGQIHGANIAQFIQEDTMPHLSALAVNLIPLAREFASQTMFKMNCQLLYLESLSVPVVKYSASKIVLHGRQFLDLTLKLYLYSGLSRLVLHSSVKGILTADAKGNLSINSLIDQSSHVRHQVYAHLDSHIDKNDPFDDLSLKVFPLASIIEQFNARVTVILKLWAPFKSIIKTIFSSLTDGYDPKHFEAENLGDILIIYEEEPIKQENRLNTLPELVGRKFAAVPDQVCSRLSLMSQLQPEKILLDRYAALQHSRCLLAAISHVFEPVLCTYTNDAQCYHYAVVKSALYKVADERPRQNEASISGLPTKATSSASTSSSPSLLPTFHLDQTPDSTKPSSGPSNSAIQRMRSGHCSLAVLKSIIATKGFGVNGVVVAGRNEALETNRLNEEIQHFLWDRGVFVSDTTISPVEFLLPKLLIDQPKYPAFRAKVEQARGGATNHWPLRISTRLPANRQGDLYSLDELKTSSTAAVSNPRPMILSSSGQSYPVPGDGASSEAKPLTSEASGTTEEEAVPSCNDARRDHLVGKQVGLRLNGDKNWELSSGSRDDNESSSTITAIIGSDKSELTSSPSSITECPSKNAATKSVCSNLTSCPSDTSPSATSSTLTSCPSIGQSLATCMTENKDEITIHTASPIGEGLLFGLRTLLEQAAPSCSPENDAKAEHEDPETPKAVERFPMIVADGPVRPSEVYKPKRVPGPSKKNVRSQVLHSQRPLLPSSPLSMSVGKALSVRYTDEATPLSSVPSDASHDLHLVPSTEPGFDSGAEKKGGKGGERSKKLVAKLM
+>sp|Q9HCD6|TANC2_HUMAN Protein TANC2 OS=Homo sapiens OX=9606 GN=TANC2 PE=1 SV=3
+MFRNSLKMLLTGGKSSRKNRSSDGGSEEPPDRRQSSVDSRQSRSGQGGISTESDCAFEPDYAVPPLPVSEGDAEQELGPPPSVDEAANTLMTRLGFLLGEKVTEVQPGDQYSMEVQDENQTSAITQRISPCSTLTSSTASPPASSPCSTLPPISTNATAKDCSYGAVTSPTSTLESRDSGIIATLTSYSENVERTKYAGESSKELGSGGNIKPWQSQKSSMDSCLYRVDENMTASTYSLNKIPERNLETVLSQSVQSIPLYLMPRPNSVAATSSAHLEDLAYLDEQRHTPLRTSLRMPRQSMGGARTQQDLRVRFAPYRPPDISLKPLLFEVPSITTESVFVGRDWVFHEIDAQLQSSNASVNQGVVIVGNIGFGKTAIISRLVALSCHGTRMRQIASDSPHASPKHVDANRELPLTQPPSAHSSITSGSCPGTPEMRRRQEEAMRRLASQVVAYHYCQADNAYTCLVPEFVHNVAALLCRSPQLTAYREQLLREPHLQSMLSLRSCVQDPMASFRRGVLEPLENLHKERKIPDEDFIILIDGLNEAEFHKPDYGDTIVSFLSKMIGKFPSWLKLIVTVRTSLQEITKLLPFHRIFLDRLEENEAIDQDLQAYILHRIHSSSEIQNNISLNGKMDNTTFGKLSSHLKTLSQGSYLYLKLTFDLIEKGYLVLKSSSYKVVPVSLSEVYLLQCNMKFPTQSSFDRVMPLLNVAVASLHPLTDEHIFQAINAGSIEGTLEWEDFQQRMENLSMFLIKRRDMTRMFVHPSFREWLIWREEGEKTKFLCDPRSGHTLLAFWFSRQEGKLNRQQTIELGHHILKAHIFKGLSKKVGVSSSILQGLWISYSTEGLSMALASLRNLYTPNIKVSRLLILGGANINYRTEVLNNAPILCVQSHLGYTEMVALLLEFGANVDASSESGLTPLGYAAAAGYLSIVVLLCKKRAKVDHLDKNGQCALVHAALRGHLEVVKFLIQCDWTMAGQQQGVFKKSHAIQQALIAAASMGYTEIVSYLLDLPEKDEEEVERAQINSFDSLWGETALTAAAGRGKLEVCRLLLEQGAAVAQPNRRGAVPLFSTVRQGHWQIVDLLLTHGADVNMADKQGRTPLMMAASEGHLGTVDFLLAQGASIALMDKEGLTALSWACLKGHLSVVRSLVDNGAATDHADKNGRTPLDLAAFYGDAEVVQFLVDHGAMIEHVDYSGMRPLDRAVGCRNTSVVVTLLKKGAKIGPATWAMATSKPDIMIILLSKLMEEGDMFYKKGKVKEAAQRYQYALKKFPREGFGEDLKTFRELKVSLLLNLSRCRRKMNDFGMAEEFATKALELKPKSYEAYYARARAKRSSRQFAAALEDLNEAIKLCPNNREIQRLLLRVEEECRQMQQPQQPPPPPQPQQQLPEEAEPEPQHEDIYSVQDIFEEEYLEQDVENVSIGLQTEARPSQGLPVIQSPPSSPPHRDSAYISSSPLGSHQVFDFRSSSSVGSPTRQTYQSTSPALSPTHQNSHYRPSPPHTSPAHQGGSYRFSPPPVGGQGKEYPSPPPSPLRRGPQYRASPPAESMSVYRSQSGSPVRYQQETSVSQLPGRPKSPLSKMAQRPYQMPQLPVAVPQQGLRLQPAKAQIVRSNQPSPAVHSSTVIPTGAYGQVAHSMASKYQSSQGDIGVSQSRLVYQGSIGGIVGDGRPVQHVQASLSAGAICQHGGLTKEDLPQRPSSAYRGGVRYSQTPQIGRSQSASYYPVCHSKLDLERSSSQLGSPDVSHLIRRPISVNPNEIKPHPPTPRPLLHSQSVGLRFSPSSNSISSTSNLTPTFRPSSSIQQMEIPLKPAYERSCDELSPVSPTQGGYPSEPTRSRTTPFMGIIDKTARTQQYPHLHQQNRTWAVSSVDTVLSPTSPGNLPQPESFSPPSSISNIAFYNKTNNAQNGHLLEDDYYSPHGMLANGSRGDLLERVSQASSYPDVKVARTLPVAQAYQDNLYRQLSRDSRQGQTSPIKPKRPFVESNV
+>DECOY_sp|Q9HCD6|TANC2_HUMAN Protein TANC2 OS=Homo sapiens OX=9606 GN=TANC2 PE=1 SV=3
+VNSEVFPRKPKIPSTQGQRSDRSLQRYLNDQYAQAVPLTRAVKVDPYSSAQSVRELLDGRSGNALMGHPSYYDDELLHGNQANNTKNYFAINSISSPPSFSEPQPLNGPSTPSLVTDVSSVAWTRNQQHLHPYQQTRATKDIIGMFPTTRSRTPESPYGGQTPSVPSLEDCSREYAPKLPIEMQQISSSPRFTPTLNSTSSISNSSPSFRLGVSQSHLLPRPTPPHPKIENPNVSIPRRILHSVDPSGLQSSSRELDLKSHCVPYYSASQSRGIQPTQSYRVGGRYASSPRQPLDEKTLGGHQCIAGASLSAQVHQVPRGDGVIGGISGQYVLRSQSVGIDGQSSQYKSAMSHAVQGYAGTPIVTSSHVAPSPQNSRVIQAKAPQLRLGQQPVAVPLQPMQYPRQAMKSLPSKPRGPLQSVSTEQQYRVPSGSQSRYVSMSEAPPSARYQPGRRLPSPPPSPYEKGQGGVPPPSFRYSGGQHAPSTHPPSPRYHSNQHTPSLAPSTSQYTQRTPSGVSSSSRFDFVQHSGLPSSSIYASDRHPPSSPPSQIVPLGQSPRAETQLGISVNEVDQELYEEEFIDQVSYIDEHQPEPEAEEPLQQQPQPPPPPQQPQQMQRCEEEVRLLLRQIERNNPCLKIAENLDELAAAFQRSSRKARARAYYAEYSKPKLELAKTAFEEAMGFDNMKRRCRSLNLLLSVKLERFTKLDEGFGERPFKKLAYQYRQAAEKVKGKKYFMDGEEMLKSLLIIMIDPKSTAMAWTAPGIKAGKKLLTVVVSTNRCGVARDLPRMGSYDVHEIMAGHDVLFQVVEADGYFAALDLPTRGNKDAHDTAAGNDVLSRVVSLHGKLCAWSLATLGEKDMLAISAGQALLFDVTGLHGESAAMMLPTRGQKDAMNVDAGHTLLLDVIQWHGQRVTSFLPVAGRRNPQAVAAGQELLLRCVELKGRGAAATLATEGWLSDFSNIQAREVEEEDKEPLDLLYSVIETYGMSAAAILAQQIAHSKKFVGQQQGAMTWDCQILFKVVELHGRLAAHVLACQGNKDLHDVKARKKCLLVVISLYGAAAAYGLPTLGSESSADVNAGFELLLAVMETYGLHSQVCLIPANNLVETRYNINAGGLILLRSVKINPTYLNRLSALAMSLGETSYSIWLGQLISSSVGVKKSLGKFIHAKLIHHGLEITQQRNLKGEQRSFWFALLTHGSRPDCLFKTKEGEERWILWERFSPHVFMRTMDRRKILFMSLNEMRQQFDEWELTGEISGANIAQFIHEDTLPHLSAVAVNLLPMVRDFSSQTPFKMNCQLLYVESLSVPVVKYSSSKLVLYGKEILDFTLKLYLYSGQSLTKLHSSLKGFTTNDMKGNLSINNQIESSSHIRHLIYAQLDQDIAENEELRDLFIRHFPLLKTIEQLSTRVTVILKLWSPFKGIMKSLFSVITDGYDPKHFEAENLGDILIIFDEDPIKREKHLNELPELVGRRFSAMPDQVCSRLSLMSQLHPERLLQERYATLQPSRCLLAAVNHVFEPVLCTYANDAQCYHYAVVQSALRRMAEEQRRRMEPTGPCSGSTISSHASPPQTLPLERNADVHKPSAHPSDSAIQRMRTGHCSLAVLRSIIATKGFGINGVIVVGQNVSANSSQLQADIEHFVWDRGVFVSETTISPVEFLLPKLSIDPPRYPAFRVRLDQQTRAGGMSQRPMRLSTRLPTHRQEDLYALDELHASSTAAVSNPRPMLYLPISQVSQSLVTELNREPIKNLSYTSATMNEDVRYLCSDMSSKQSQWPKINGGSGLEKSSEGAYKTREVNESYSTLTAIIGSDRSELTSTPSTVAGYSCDKATANTSIPPLTSCPSSAPPSATSSTLTSCPSIRQTIASTQNEDQVEMSYQDGPQVETVKEGLLFGLRTMLTNAAEDVSPPPGLEQEADGESVPLPPVAYDPEFACDSETSIGGQGSRSQRSDVSSQRRDPPEESGGDSSRNKRSSKGGTLLMKLSNRFM
+>sp|Q03518|TAP1_HUMAN Antigen peptide transporter 1 OS=Homo sapiens OX=9606 GN=TAP1 PE=1 SV=2
+MAELLASAGSACSWDFPRAPPSFPPPAASRGGLGGTRSFRPHRGAESPRPGRDRDGVRVPMASSRCPAPRGCRCLPGASLAWLGTVLLLLADWVLLRTALPRIFSLLVPTALPLLRVWAVGLSRWAVLWLGACGVLRATVGSKSENAGAQGWLAALKPLAAALGLALPGLALFRELISWGAPGSADSTRLLHWGSHPTAFVVSYAAALPAAALWHKLGSLWVPGGQGGSGNPVRRLLGCLGSETRRLSLFLVLVVLSSLGEMAIPFFTGRLTDWILQDGSADTFTRNLTLMSILTIASAVLEFVGDGIYNNTMGHVHSHLQGEVFGAVLRQETEFFQQNQTGNIMSRVTEDTSTLSDSLSENLSLFLWYLVRGLCLLGIMLWGSVSLTMVTLITLPLLFLLPKKVGKWYQLLEVQVRESLAKSSQVAIEALSAMPTVRSFANEEGEAQKFREKLQEIKTLNQKEAVAYAVNSWTTSISGMLLKVGILYIGGQLVTSGAVSSGNLVTFVLYQMQFTQAVEVLLSIYPRVQKAVGSSEKIFEYLDRTPRCPPSGLLTPLHLEGLVQFQDVSFAYPNRPDVLVLQGLTFTLRPGEVTALVGPNGSGKSTVAALLQNLYQPTGGQLLLDGKPLPQYEHRYLHRQVAAVGQEPQVFGRSLQENIAYGLTQKPTMEEITAAAVKSGAHSFISGLPQGYDTEVDEAGSQLSGGQRQAVALARALIRKPCVLILDDATSALDANSQLQVEQLLYESPERYSRSVLLITQHLSLVEQADHILFLEGGAIREGGTHQQLMEKKGCYWAMVQAPADAPE
+>DECOY_sp|Q03518|TAP1_HUMAN Antigen peptide transporter 1 OS=Homo sapiens OX=9606 GN=TAP1 PE=1 SV=2
+EPADAPAQVMAWYCGKKEMLQQHTGGERIAGGELFLIHDAQEVLSLHQTILLVSRSYREPSEYLLQEVQLQSNADLASTADDLILVCPKRILARALAVAQRQGGSLQSGAEDVETDYGQPLGSIFSHAGSKVAAATIEEMTPKQTLGYAINEQLSRGFVQPEQGVAAVQRHLYRHEYQPLPKGDLLLQGGTPQYLNQLLAAVTSKGSGNPGVLATVEGPRLTFTLGQLVLVDPRNPYAFSVDQFQVLGELHLPTLLGSPPCRPTRDLYEFIKESSGVAKQVRPYISLLVEVAQTFQMQYLVFTVLNGSSVAGSTVLQGGIYLIGVKLLMGSISTTWSNVAYAVAEKQNLTKIEQLKERFKQAEGEENAFSRVTPMASLAEIAVQSSKALSERVQVELLQYWKGVKKPLLFLLPLTILTVMTLSVSGWLMIGLLCLGRVLYWLFLSLNESLSDSLTSTDETVRSMINGTQNQQFFETEQRLVAGFVEGQLHSHVHGMTNNYIGDGVFELVASAITLISMLTLNRTFTDASGDQLIWDTLRGTFFPIAMEGLSSLVVLVLFLSLRRTESGLCGLLRRVPNGSGGQGGPVWLSGLKHWLAAAPLAAAYSVVFATPHSGWHLLRTSDASGPAGWSILERFLALGPLALGLAAALPKLAALWGQAGANESKSGVTARLVGCAGLWLVAWRSLGVAWVRLLPLATPVLLSFIRPLATRLLVWDALLLLVTGLWALSAGPLCRCGRPAPCRSSAMPVRVGDRDRGPRPSEAGRHPRFSRTGGLGGRSAAPPPFSPPARPFDWSCASGASALLEAM
+>sp|Q9BQE3|TBA1C_HUMAN Tubulin alpha-1C chain OS=Homo sapiens OX=9606 GN=TUBA1C PE=1 SV=1
+MRECISIHVGQAGVQIGNACWELYCLEHGIQPDGQMPSDKTIGGGDDSFNTFFSETGAGKHVPRAVFVDLEPTVIDEVRTGTYRQLFHPEQLITGKEDAANNYARGHYTIGKEIIDLVLDRIRKLADQCTGLQGFLVFHSFGGGTGSGFTSLLMERLSVDYGKKSKLEFSIYPAPQVSTAVVEPYNSILTTHTTLEHSDCAFMVDNEAIYDICRRNLDIERPTYTNLNRLISQIVSSITASLRFDGALNVDLTEFQTNLVPYPRIHFPLATYAPVISAEKAYHEQLTVAEITNACFEPANQMVKCDPRHGKYMACCLLYRGDVVPKDVNAAIATIKTKRTIQFVDWCPTGFKVGINYQPPTVVPGGDLAKVQRAVCMLSNTTAVAEAWARLDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDMAALEKDYEEVGADSADGEDEGEEY
+>DECOY_sp|Q9BQE3|TBA1C_HUMAN Tubulin alpha-1C chain OS=Homo sapiens OX=9606 GN=TUBA1C PE=1 SV=1
+YEEGEDEGDASDAGVEEYDKELAAMDERAESFEGEEMGEGVYWHVFARKAYMLDFKHDLRAWAEAVATTNSLMCVARQVKALDGGPVVTPPQYNIGVKFGTPCWDVFQITRKTKITAIAANVDKPVVDGRYLLCCAMYKGHRPDCKVMQNAPEFCANTIEAVTLQEHYAKEASIVPAYTALPFHIRPYPVLNTQFETLDVNLAGDFRLSATISSVIQSILRNLNTYTPREIDLNRRCIDYIAENDVMFACDSHELTTHTTLISNYPEVVATSVQPAPYISFELKSKKGYDVSLREMLLSTFGSGTGGGFSHFVLFGQLGTCQDALKRIRDLVLDIIEKGITYHGRAYNNAADEKGTILQEPHFLQRYTGTRVEDIVTPELDVFVARPVHKGAGTESFFTNFSDDGGGITKDSPMQGDPQIGHELCYLEWCANGIQVGAQGVHISICERM
+>sp|Q9Y4P3|TBL2_HUMAN Transducin beta-like protein 2 OS=Homo sapiens OX=9606 GN=TBL2 PE=1 SV=1
+MELSQMSELMGLSVLLGLLALMATAAVARGWLRAGEERSGRPACQKANGFPPDKSSGSKKQKQYQRIRKEKPQQHNFTHRLLAAALKSHSGNISCMDFSSNGKYLATCADDRTIRIWSTKDFLQREHRSMRANVELDHATLVRFSPDCRAFIVWLANGDTLRVFKMTKREDGGYTFTATPEDFPKKHKAPVIDIGIANTGKFIMTASSDTTVLIWSLKGQVLSTINTNQMNNTHAAVSPCGRFVASCGFTPDVKVWEVCFGKKGEFQEVVRAFELKGHSAAVHSFAFSNDSRRMASVSKDGTWKLWDTDVEYKKKQDPYLLKTGRFEEAAGAAPCRLALSPNAQVLALASGSSIHLYNTRRGEKEECFERVHGECIANLSFDITGRFLASCGDRAVRLFHNTPGHRAMVEEMQGHLKRASNESTRQRLQQQLTQAQETLKSLGALKK
+>DECOY_sp|Q9Y4P3|TBL2_HUMAN Transducin beta-like protein 2 OS=Homo sapiens OX=9606 GN=TBL2 PE=1 SV=1
+KKLAGLSKLTEQAQTLQQQLRQRTSENSARKLHGQMEEVMARHGPTNHFLRVARDGCSALFRGTIDFSLNAICEGHVREFCEEKEGRRTNYLHISSGSALALVQANPSLALRCPAAGAAEEFRGTKLLYPDQKKKYEVDTDWLKWTGDKSVSAMRRSDNSFAFSHVAASHGKLEFARVVEQFEGKKGFCVEWVKVDPTFGCSAVFRGCPSVAAHTNNMQNTNITSLVQGKLSWILVTTDSSATMIFKGTNAIGIDIVPAKHKKPFDEPTATFTYGGDERKTMKFVRLTDGNALWVIFARCDPSFRVLTAHDLEVNARMSRHERQLFDKTSWIRITRDDACTALYKGNSSFDMCSINGSHSKLAAALLRHTFNHQQPKEKRIRQYQKQKKSGSSKDPPFGNAKQCAPRGSREEGARLWGRAVAATAMLALLGLLVSLGMLESMQSLEM
+>sp|P62380|TBPL1_HUMAN TATA box-binding protein-like protein 1 OS=Homo sapiens OX=9606 GN=TBPL1 PE=1 SV=1
+MDADSDVALDILITNVVCVFRTRCHLNLRKIALEGANVIYKRDVGKVLMKLRKPRITATIWSSGKIICTGATSEEEAKFGARRLARSLQKLGFQVIFTDFKVVNVLAVCNMPFEIRLPEFTKNNRPHASYEPELHPAVCYRIKSLRATLQIFSTGSITVTGPNVKAVATAVEQIYPFVFESRKEIL
+>DECOY_sp|P62380|TBPL1_HUMAN TATA box-binding protein-like protein 1 OS=Homo sapiens OX=9606 GN=TBPL1 PE=1 SV=1
+LIEKRSEFVFPYIQEVATAVAKVNPGTVTISGTSFIQLTARLSKIRYCVAPHLEPEYSAHPRNNKTFEPLRIEFPMNCVALVNVVKFDTFIVQFGLKQLSRALRRAGFKAEEESTAGTCIIKGSSWITATIRPKRLKMLVKGVDRKYIVNAGELAIKRLNLHCRTRFVCVVNTILIDLAVDSDADM
+>sp|Q96SF7|TBX15_HUMAN T-box transcription factor TBX15 OS=Homo sapiens OX=9606 GN=TBX15 PE=1 SV=2
+MSERRRSAVALSSRAHAFSVEALIGSNKKRKLRDWEEKGLDLSMEALSPAGPLGDTEDAAAHGLEPHPDSEQSTGSDSEVLTERTSCSFSTHTDLASGAAGPVPAAMSSMEEIQVELQCADLWKRFHDIGTEMIITKAGRRMFPAMRVKITGLDPHQQYYIAMDIVPVDNKRYRYVYHSSKWMVAGNADSPVPPRVYIHPDSLASGDTWMRQVVSFDKLKLTNNELDDQGHIILHSMHKYQPRVHVIRKDFSSDLSPTKPVPVGDGVKTFNFPETVFTTVTAYQNQQITRLKIDRNPFAKGFRDSGRNRTGLEAIMETYAFWRPPVRTLTFEDFTTMQKQQGGSTGTSPTTSSTGTPSPSASSHLLSPSCSPPTFHLAPNTFNVGCRESQLCNLNLSDYPPCARSNMAALQSYPGLSDSGYNRLQSGTTSATQPSETFMPQRTPSLISGIPTPPSLPGNSKMEAYGGQLGSFPTSQFQYVMQAGNAASSSSSPHMFGGSHMQQSSYNAFSLHNPYNLYGYNFPTSPRLAASPEKLSASQSTLLCSSPSNGAFGERQYLPSGMEHSMHMISPSPNNQQATNTCDGRQYGAVPGSSSQMSVHMV
+>DECOY_sp|Q96SF7|TBX15_HUMAN T-box transcription factor TBX15 OS=Homo sapiens OX=9606 GN=TBX15 PE=1 SV=2
+VMHVSMQSSSGPVAGYQRGDCTNTAQQNNPSPSIMHMSHEMGSPLYQREGFAGNSPSSCLLTSQSASLKEPSAALRPSTPFNYGYLNYPNHLSFANYSSQQMHSGGFMHPSSSSSAANGAQMVYQFQSTPFSGLQGGYAEMKSNGPLSPPTPIGSILSPTRQPMFTESPQTASTTGSQLRNYGSDSLGPYSQLAAMNSRACPPYDSLNLNCLQSERCGVNFTNPALHFTPPSCSPSLLHSSASPSPTGTSSTTPSTGTSGGQQKQMTTFDEFTLTRVPPRWFAYTEMIAELGTRNRGSDRFGKAFPNRDIKLRTIQQNQYATVTTFVTEPFNFTKVGDGVPVPKTPSLDSSFDKRIVHVRPQYKHMSHLIIHGQDDLENNTLKLKDFSVVQRMWTDGSALSDPHIYVRPPVPSDANGAVMWKSSHYVYRYRKNDVPVIDMAIYYQQHPDLGTIKVRMAPFMRRGAKTIIMETGIDHFRKWLDACQLEVQIEEMSSMAAPVPGAAGSALDTHTSFSCSTRETLVESDSGTSQESDPHPELGHAAADETDGLPGAPSLAEMSLDLGKEEWDRLKRKKNSGILAEVSFAHARSSLAVASRRRESM
+>sp|O95935|TBX18_HUMAN T-box transcription factor TBX18 OS=Homo sapiens OX=9606 GN=TBX18 PE=1 SV=3
+MAEKRRGSPCSMLSLKAHAFSVEALIGAEKQQQLQKKRRKLGAEEAAGAVDDGGCSRGGGAGEKGSSEGDEGAALPPPAGATSGPARSGADLERGAAGGCEDGFQQGASPLASPGGSPKGSPARSLARPGTPLPSPQAPRVDLQGAELWKRFHEIGTEMIITKAGRRMFPAMRVKISGLDPHQQYYIAMDIVPVDNKRYRYVYHSSKWMVAGNADSPVPPRVYIHPDSPASGETWMRQVISFDKLKLTNNELDDQGHIILHSMHKYQPRVHVIRKDCGDDLSPIKPVPSGEGVKAFSFPETVFTTVTAYQNQQITRLKIDRNPFAKGFRDSGRNRMGLEALVESYAFWRPSLRTLTFEDIPGIPKQGNASSSTLLQGTGNGVPATHPHLLSGSSCSSPAFHLGPNTSQLCSLAPADYSACARSGLTLNRYSTSLAETYNRLTNQAGETFAPPRTPSYVGVSSSTSVNMSMGGTDGDTFSCPQTSLSMQISGMSPQLQYIMPSPSSNAFATNQTHQGSYNTFRLHSPCALYGYNFSTSPKLAASPEKIVSSQGSFLGSSPSGTMTDRQMLPPVEGVHLLSSGGQQSFFDSRTLGSLTLSSSQVSAHMV
+>DECOY_sp|O95935|TBX18_HUMAN T-box transcription factor TBX18 OS=Homo sapiens OX=9606 GN=TBX18 PE=1 SV=3
+VMHASVQSSSLTLSGLTRSDFFSQQGGSSLLHVGEVPPLMQRDTMTGSPSSGLFSGQSSVIKEPSAALKPSTSFNYGYLACPSHLRFTNYSGQHTQNTAFANSSPSPMIYQLQPSMGSIQMSLSTQPCSFTDGDTGGMSMNVSTSSSVGVYSPTRPPAFTEGAQNTLRNYTEALSTSYRNLTLGSRACASYDAPALSCLQSTNPGLHFAPSSCSSGSLLHPHTAPVGNGTGQLLTSSSANGQKPIGPIDEFTLTRLSPRWFAYSEVLAELGMRNRGSDRFGKAFPNRDIKLRTIQQNQYATVTTFVTEPFSFAKVGEGSPVPKIPSLDDGCDKRIVHVRPQYKHMSHLIIHGQDDLENNTLKLKDFSIVQRMWTEGSAPSDPHIYVRPPVPSDANGAVMWKSSHYVYRYRKNDVPVIDMAIYYQQHPDLGSIKVRMAPFMRRGAKTIIMETGIEHFRKWLEAGQLDVRPAQPSPLPTGPRALSRAPSGKPSGGPSALPSAGQQFGDECGGAAGRELDAGSRAPGSTAGAPPPLAAGEDGESSGKEGAGGGRSCGGDDVAGAAEEAGLKRRKKQLQQQKEAGILAEVSFAHAKLSLMSCPSGRRKEAM
+>sp|O60806|TBX19_HUMAN T-box transcription factor TBX19 OS=Homo sapiens OX=9606 GN=TBX19 PE=1 SV=3
+MAMSELGTRKPSDGTVSHLLNVVESELQAGREKGDPTEKQLQIILEDAPLWQRFKEVTNEMIVTKNGRRMFPVLKISVTGLDPNAMYSLLLDFVPTDSHRWKYVNGEWVPAGKPEVSSHSCVYIHPDSPNFGAHWMKAPISFSKVKLTNKLNGGGQIMLNSLHKYEPQVHIVRVGSAHRMVTNCSFPETQFIAVTAYQNEEITALKIKYNPFAKAFLDAKERNHLRDVPEAISESQHVTYSHLGGWIFSNPDGVCTAGNSNYQYAAPLPLPAPHTHHGCEHYSGLRGHRQAPYPSAYMHRNHSPSVNLIESSSNNLQVFSGPDSWTSLSSTPHASILSVPHTNGPINPGPSPYPCLWTISNGAGGPSGPGPEVHASTPGAFLLGNPAVTSPPSVLSTQAPTSAGVEVLGEPSLTSIAVSTWTAVASHPFAGWGGPGAGGHHSPSSLDG
+>DECOY_sp|O60806|TBX19_HUMAN T-box transcription factor TBX19 OS=Homo sapiens OX=9606 GN=TBX19 PE=1 SV=3
+GDLSSPSHHGGAGPGGWGAFPHSAVATWTSVAISTLSPEGLVEVGASTPAQTSLVSPPSTVAPNGLLFAGPTSAHVEPGPGSPGGAGNSITWLCPYPSPGPNIPGNTHPVSLISAHPTSSLSTWSDPGSFVQLNNSSSEILNVSPSHNRHMYASPYPAQRHGRLGSYHECGHHTHPAPLPLPAAYQYNSNGATCVGDPNSFIWGGLHSYTVHQSESIAEPVDRLHNREKADLFAKAFPNYKIKLATIEENQYATVAIFQTEPFSCNTVMRHASGVRVIHVQPEYKHLSNLMIQGGGNLKNTLKVKSFSIPAKMWHAGFNPSDPHIYVCSHSSVEPKGAPVWEGNVYKWRHSDTPVFDLLLSYMANPDLGTVSIKLVPFMRRGNKTVIMENTVEKFRQWLPADELIIQLQKETPDGKERGAQLESEVVNLLHSVTGDSPKRTGLESMAM
+>sp|Q13207|TBX2_HUMAN T-box transcription factor TBX2 OS=Homo sapiens OX=9606 GN=TBX2 PE=1 SV=3
+MREPALAASAMAYHPFHAPRPADFPMSAFLAAAQPSFFPALALPPGALAKPLPDPGLAGAAAAAAAAAAAAEAGLHVSALGPHPPAAHLRSLKSLEPEDEVEDDPKVTLEAKELWDQFHKLGTEMVITKSGRRMFPPFKVRVSGLDKKAKYILLMDIVAADDCRYKFHNSRWMVAGKADPEMPKRMYIHPDSPATGEQWMAKPVAFHKLKLTNNISDKHGFTILNSMHKYQPRFHIVRANDILKLPYSTFRTYVFPETDFIAVTAYQNDKITQLKIDNNPFAKGFRDTGNGRREKRKQLTLPSLRLYEEHCKPERDGAESDASSCDPPPAREPPTSPGAAPSPLRLHRARAEEKSCAADSDPEPERLSEERAGAPLGRSPAPDSASPTRLTEPERARERRSPERGKEPAESGGDGPFGLRSLEKERAEARRKDEGRKEAAEGKEQGLAPLVVQTDSASPLGAGHLPGLAFSSHLHGQQFFGPLGAGQPLFLHPGQFTMGPGAFSAMGMGHLLASVAGGGNGGGGGPGTAAGLDAGGLGPAASAASTAAPFPFHLSQHMLASQGIPMPTFGGLFPYPYTYMAAAAAAASALPATSAAAAAAAAAGSLSRSPFLGSARPRLRFSPYQIPVTIPPSTSLLTTGLASEGSKAAGGNSREPSPLPELALRKVGAPSRGALSPSGSAKEAANELQSIQRLVSGLESQRALSPGRESPK
+>DECOY_sp|Q13207|TBX2_HUMAN T-box transcription factor TBX2 OS=Homo sapiens OX=9606 GN=TBX2 PE=1 SV=3
+KPSERGPSLARQSELGSVLRQISQLENAAEKASGSPSLAGRSPAGVKRLALEPLPSPERSNGGAAKSGESALGTTLLSTSPPITVPIQYPSFRLRPRASGLFPSRSLSGAAAAAAAAASTAPLASAAAAAAAMYTYPYPFLGGFTPMPIGQSALMHQSLHFPFPAATSAASAAPGLGGADLGAATGPGGGGGNGGGAVSALLHGMGMASFAGPGMTFQGPHLFLPQGAGLPGFFQQGHLHSSFALGPLHGAGLPSASDTQVVLPALGQEKGEAAEKRGEDKRRAEAREKELSRLGFPGDGGSEAPEKGREPSRRERAREPETLRTPSASDPAPSRGLPAGAREESLREPEPDSDAACSKEEARARHLRLPSPAAGPSTPPERAPPPDCSSADSEAGDREPKCHEEYLRLSPLTLQKRKERRGNGTDRFGKAFPNNDIKLQTIKDNQYATVAIFDTEPFVYTRFTSYPLKLIDNARVIHFRPQYKHMSNLITFGHKDSINNTLKLKHFAVPKAMWQEGTAPSDPHIYMRKPMEPDAKGAVMWRSNHFKYRCDDAAVIDMLLIYKAKKDLGSVRVKFPPFMRRGSKTIVMETGLKHFQDWLEKAELTVKPDDEVEDEPELSKLSRLHAAPPHPGLASVHLGAEAAAAAAAAAAAAGALGPDPLPKALAGPPLALAPFFSPQAAALFASMPFDAPRPAHFPHYAMASAALAPERM
+>sp|O15119|TBX3_HUMAN T-box transcription factor TBX3 OS=Homo sapiens OX=9606 GN=TBX3 PE=1 SV=4
+MSLSMRDPVIPGTSMAYHPFLPHRAPDFAMSAVLGHQPPFFPALTLPPNGAAALSLPGALAKPIMDQLVGAAETGIPFSSLGPQAHLRPLKTMEPEEEVEDDPKVHLEAKELWDQFHKRGTEMVITKSGRRMFPPFKVRCSGLDKKAKYILLMDIIAADDCRYKFHNSRWMVAGKADPEMPKRMYIHPDSPATGEQWMSKVVTFHKLKLTNNISDKHGFTLAFPSDHATWQGNYSFGTQTILNSMHKYQPRFHIVRANDILKLPYSTFRTYLFPETEFIAVTAYQNDKITQLKIDNNPFAKGFRDTGNGRREKRKQLTLQSMRVFDERHKKENGTSDESSSEQAAFNCFAQASSPAASTVGTSNLKDLCPSEGESDAEAESKEEHGPEACDAAKISTTTSEEPCRDKGSPAVKAHLFAAERPRDSGRLDKASPDSRHSPATISSSTRGLGAEERRSPVREGTAPAKVEEARALPGKEAFAPLTVQTDAAAAHLAQGPLPGLGFAPGLAGQQFFNGHPLFLHPSQFAMGGAFSSMAAAGMGPLLATVSGASTGVSGLDSTAMASAAAAQGLSGASAATLPFHLQQHVLASQGLAMSPFGSLFPYPYTYMAAAAAASSAAASSSVHRHPFLNLNTMRPRLRYSPYSIPVPVPDGSSLLTTALPSMAAAAGPLDGKVAALAASPASVAVDSGSELNSRSSTLSSSSMSLSPKLCAEKEAATSELQSIQRLVSGLEAKPDRSRSASP
+>DECOY_sp|O15119|TBX3_HUMAN T-box transcription factor TBX3 OS=Homo sapiens OX=9606 GN=TBX3 PE=1 SV=4
+PSASRSRDPKAELGSVLRQISQLESTAAEKEACLKPSLSMSSSSLTSSRSNLESGSDVAVSAPSAALAAVKGDLPGAAAAMSPLATTLLSSGDPVPVPISYPSYRLRPRMTNLNLFPHRHVSSSAAASSAAAAAAMYTYPYPFLSGFPSMALGQSALVHQQLHFPLTAASAGSLGQAAAASAMATSDLGSVGTSAGSVTALLPGMGAAAMSSFAGGMAFQSPHLFLPHGNFFQQGALGPAFGLGPLPGQALHAAAADTQVTLPAFAEKGPLARAEEVKAPATGERVPSRREEAGLGRTSSSITAPSHRSDPSAKDLRGSDRPREAAFLHAKVAPSGKDRCPEESTTTSIKAADCAEPGHEEKSEAEADSEGESPCLDKLNSTGVTSAAPSSAQAFCNFAAQESSSEDSTGNEKKHREDFVRMSQLTLQKRKERRGNGTDRFGKAFPNNDIKLQTIKDNQYATVAIFETEPFLYTRFTSYPLKLIDNARVIHFRPQYKHMSNLITQTGFSYNGQWTAHDSPFALTFGHKDSINNTLKLKHFTVVKSMWQEGTAPSDPHIYMRKPMEPDAKGAVMWRSNHFKYRCDDAAIIDMLLIYKAKKDLGSCRVKFPPFMRRGSKTIVMETGRKHFQDWLEKAELHVKPDDEVEEEPEMTKLPRLHAQPGLSSFPIGTEAAGVLQDMIPKALAGPLSLAAAGNPPLTLAPFFPPQHGLVASMAFDPARHPLFPHYAMSTGPIVPDRMSLSM
+>sp|O75764|TCEA3_HUMAN Transcription elongation factor A protein 3 OS=Homo sapiens OX=9606 GN=TCEA3 PE=1 SV=2
+MGQEEELLRIAKKLEKMVARKNTEGALDLLKKLHSCQMSIQLLQTTRIGVAVNGVRKHCSDKEVVSLAKVLIKNWKRLLDSPGPPKGEKGEEREKAKKKEKGLECSDWKPEAGLSPPRKKREDPKTRRDSVDSKSSASSSPKRPSVERSNSSKSKAESPKTPSSPLTPTFASSMCLLAPCYLTGDSVRDKCVEMLSAALKADDDYKDYGVNCDKMASEIEDHIYQELKSTDMKYRNRVRSRISNLKDPRNPGLRRNVLSGAISAGLIAKMTAEEMASDELRELRNAMTQEAIREHQMAKTGGTTTDLFQCSKCKKKNCTYNQVQTRSADEPMTTFVLCNECGNRWKFC
+>DECOY_sp|O75764|TCEA3_HUMAN Transcription elongation factor A protein 3 OS=Homo sapiens OX=9606 GN=TCEA3 PE=1 SV=2
+CFKWRNGCENCLVFTTMPEDASRTQVQNYTCNKKKCKSCQFLDTTTGGTKAMQHERIAEQTMANRLERLEDSAMEEATMKAILGASIAGSLVNRRLGPNRPDKLNSIRSRVRNRYKMDTSKLEQYIHDEIESAMKDCNVGYDKYDDDAKLAASLMEVCKDRVSDGTLYCPALLCMSSAFTPTLPSSPTKPSEAKSKSSNSREVSPRKPSSSASSKSDVSDRRTKPDERKKRPPSLGAEPKWDSCELGKEKKKAKEREEGKEGKPPGPSDLLRKWNKILVKALSVVEKDSCHKRVGNVAVGIRTTQLLQISMQCSHLKKLLDLAGETNKRAVMKELKKAIRLLEEEQGM
+>sp|Q9NR00|TCIM_HUMAN Transcriptional and immune response regulator OS=Homo sapiens OX=9606 GN=TCIM PE=1 SV=2
+MKAKRSHQAVIMSTSLRVSPSIHGYHFDTASRKKAVGNIFENTDQESLERLFRNSGDKKAEERAKIIFAIDQDVEEKTRALMALKKRTKDKLFQFLKLRKYSIKVH
+>DECOY_sp|Q9NR00|TCIM_HUMAN Transcriptional and immune response regulator OS=Homo sapiens OX=9606 GN=TCIM PE=1 SV=2
+HVKISYKRLKLFQFLKDKTRKKLAMLARTKEEVDQDIAFIIKAREEAKKDGSNRFLRELSEQDTNEFINGVAKKRSATDFHYGHISPSVRLSTSMIVAQHSRKAKM
+>sp|P56279|TCL1A_HUMAN T-cell leukemia/lymphoma protein 1A OS=Homo sapiens OX=9606 GN=TCL1A PE=1 SV=1
+MAECPTLGEAVTDHPDRLWAWEKFVYLDEKQHAWLPLTIEIKDRLQLRVLLRREDVVLGRPMTPTQIGPSLLPIMWQLYPDGRYRSSDSSFWRLVYHIKIDGVEDMLLELLPDD
+>DECOY_sp|P56279|TCL1A_HUMAN T-cell leukemia/lymphoma protein 1A OS=Homo sapiens OX=9606 GN=TCL1A PE=1 SV=1
+DDPLLELLMDEVGDIKIHYVLRWFSSDSSRYRGDPYLQWMIPLLSPGIQTPTMPRGLVVDERRLLVRLQLRDKIEITLPLWAHQKEDLYVFKEWAWLRDPHDTVAEGLTPCEAM
+>sp|Q13428|TCOF_HUMAN Treacle protein OS=Homo sapiens OX=9606 GN=TCOF1 PE=1 SV=3
+MAEARKRRELLPLIYHHLLRAGYVRAAREVKEQSGQKCFLAQPVTLLDIYTHWQQTSELGRKRKAEEDAALQAKKTRVSDPISTSESSEEEEEAEAETAKATPRLASTNSSVLGADLPSSMKEKAKAETEKAGKTGNSMPHPATGKTVANLLSGKSPRKSAEPSANTTLVSETEEEGSVPAFGAAAKPGMVSAGQADSSSEDTSSSSDETDVEGKPSVKPAQVKASSVSTKESPARKAAPAPGKVGDVTPQVKGGALPPAKRAKKPEEESESSEEGSESEEEAPAGTRSQVKASEKILQVRAASAPAKGTPGKGATPAPPGKAGAVASQTKAGKPEEDSESSSEESSDSEEETPAAKALLQAKASGKTSQVGAASAPAKESPRKGAAPAPPGKTGPAVAKAQAGKREEDSQSSSEESDSEEEAPAQAKPSGKAPQVRAASAPAKESPRKGAAPAPPRKTGPAAAQVQVGKQEEDSRSSSEESDSDREALAAMNAAQVKPLGKSPQVKPASTMGMGPLGKGAGPVPPGKVGPATPSAQVGKWEEDSESSSEESSDSSDGEVPTAVAPAQEKSLGNILQAKPTSSPAKGPPQKAGPVAVQVKAEKPMDNSESSEESSDSADSEEAPAAMTAAQAKPALKIPQTKACPKKTNTTASAKVAPVRVGTQAPRKAGTATSPAGSSPAVAGGTQRPAEDSSSSEESDSEEEKTGLAVTVGQAKSVGKGLQVKAASVPVKGSLGQGTAPVLPGKTGPTVTQVKAEKQEDSESSEEESDSEEAAASPAQVKTSVKKTQAKANPAAARAPSAKGTISAPGKVVTAAAQAKQRSPSKVKPPVRNPQNSTVLARGPASVPSVGKAVATAAQAQTGPEEDSGSSEEESDSEEEAETLAQVKPSGKTHQIRAALAPAKESPRKGAAPTPPGKTGPSAAQAGKQDDSGSSSEESDSDGEAPAAVTSAQVIKPPLIFVDPNRSPAGPAATPAQAQAASTPRKARASESTARSSSSESEDEDVIPATQCLTPGIRTNVVTMPTAHPRIAPKASMAGASSSKESSRISDGKKQEGPATQVSKKNPASLPLTQAALKVLAQKASEAQPPVARTQPSSGVDSAVGTLPATSPQSTSVQAKGTNKLRKPKLPEVQQATKAPESSDDSEDSSDSSSGSEEDGEGPQGAKSAHTLGPTPSRTETLVEETAAESSEDDVVAPSQSLLSGYMTPGLTPANSQASKATPKLDSSPSVSSTLAAKDDPDGKQEAKPQQAAGMLSPKTGGKEAASGTTPQKSRKPKKGAGNPQASTLALQSNITQCLLGQPWPLNEAQVQASVVKVLTELLEQERKKVVDTTKESSRKGWESRKRKLSGDQPAARTPRSKKKKKLGAGEGGEASVSPEKTSTTSKGKAKRDKASGDVKEKKGKGSLGSQGAKDEPEEELQKGMGTVEGGDQSNPKSKKEKKKSDKRKKDKEKKEKKKKAKKASTKDSESPSQKKKKKKKKTAEQTV
+>DECOY_sp|Q13428|TCOF_HUMAN Treacle protein OS=Homo sapiens OX=9606 GN=TCOF1 PE=1 SV=3
+VTQEATKKKKKKKKQSPSESDKTSAKKAKKKKEKKEKDKKRKDSKKKEKKSKPNSQDGGEVTGMGKQLEEEPEDKAGQSGLSGKGKKEKVDGSAKDRKAKGKSTTSTKEPSVSAEGGEGAGLKKKKKSRPTRAAPQDGSLKRKRSEWGKRSSEKTTDVVKKREQELLETLVKVVSAQVQAENLPWPQGLLCQTINSQLALTSAQPNGAGKKPKRSKQPTTGSAAEKGGTKPSLMGAAQQPKAEQKGDPDDKAALTSSVSPSSDLKPTAKSAQSNAPTLGPTMYGSLLSQSPAVVDDESSEAATEEVLTETRSPTPGLTHASKAGQPGEGDEESGSSSDSSDESDDSSEPAKTAQQVEPLKPKRLKNTGKAQVSTSQPSTAPLTGVASDVGSSPQTRAVPPQAESAKQALVKLAAQTLPLSAPNKKSVQTAPGEQKKGDSIRSSEKSSSAGAMSAKPAIRPHATPMTVVNTRIGPTLCQTAPIVDEDESESSSSRATSESARAKRPTSAAQAQAPTAAPGAPSRNPDVFILPPKIVQASTVAAPAEGDSDSEESSSGSDDQKGAQAASPGTKGPPTPAAGKRPSEKAPALAARIQHTKGSPKVQALTEAEEESDSEEESSGSDEEPGTQAQAATAVAKGVSPVSAPGRALVTSNQPNRVPPKVKSPSRQKAQAAATVVKGPASITGKASPARAAAPNAKAQTKKVSTKVQAPSAAAEESDSEEESSESDEQKEAKVQTVTPGTKGPLVPATGQGLSGKVPVSAAKVQLGKGVSKAQGVTVALGTKEEESDSEESSSSDEAPRQTGGAVAPSSGAPSTATGAKRPAQTGVRVPAVKASATTNTKKPCAKTQPIKLAPKAQAATMAAPAEESDASDSSEESSESNDMPKEAKVQVAVPGAKQPPGKAPSSTPKAQLINGLSKEQAPAVATPVEGDSSDSSEESSSESDEEWKGVQASPTAPGVKGPPVPGAGKGLPGMGMTSAPKVQPSKGLPKVQAANMAALAERDSDSEESSSRSDEEQKGVQVQAAAPGTKRPPAPAAGKRPSEKAPASAARVQPAKGSPKAQAPAEEESDSEESSSQSDEERKGAQAKAVAPGTKGPPAPAAGKRPSEKAPASAAGVQSTKGSAKAQLLAKAAPTEEESDSSEESSSESDEEPKGAKTQSAVAGAKGPPAPTAGKGPTGKAPASAARVQLIKESAKVQSRTGAPAEEESESGEESSESEEEPKKARKAPPLAGGKVQPTVDGVKGPAPAAKRAPSEKTSVSSAKVQAPKVSPKGEVDTEDSSSSTDESSSDAQGASVMGPKAAAGFAPVSGEEETESVLTTNASPEASKRPSKGSLLNAVTKGTAPHPMSNGTKGAKETEAKAKEKMSSPLDAGLVSSNTSALRPTAKATEAEAEEEEESSESTSIPDSVRTKKAQLAADEEAKRKRGLESTQQWHTYIDLLTVPQALFCKQGSQEKVERAARVYGARLLHHYILPLLERRKRAEAM
+>sp|B9ZVM9|TCP2L_HUMAN T-complex protein 10A homolog 2 OS=Homo sapiens OX=9606 GN=TCP10L2 PE=3 SV=2
+MLEGQLEAREPKEGTHPEDPCPGAGAAMEKTPAAAEVPREDSNAGEMPSLQQQITSLHQELGRQQSLWADIHRKLQSHMDALRKQNRELREELRGLQRQQWEAGKKPAASPHAGRESHTLALEPAFGKISPLSADEETTPKYAGRKSQSATLLGQRWSSNHLAPPKPMSLKTERINSGKTPPQEDREKSPPGRRQDRSPAPTGRPTPGAERREVSEDGKIMHPSSRSLQNSGGRKSPVQASQAATLQEQTAAAGVADRSSSVLGSSEGGFLSRVQAEEFASSSPDSAERQNLPVNPPSSLEIAQAMDTKMKKEEVQEEKRHPNGKADDCRRSGFPSEFPGALHAAPSRQDMGP
+>DECOY_sp|B9ZVM9|TCP2L_HUMAN T-complex protein 10A homolog 2 OS=Homo sapiens OX=9606 GN=TCP10L2 PE=3 SV=2
+PGMDQRSPAAHLAGPFESPFGSRRCDDAKGNPHRKEEQVEEKKMKTDMAQAIELSSPPNVPLNQREASDPSSSAFEEAQVRSLFGGESSGLVSSSRDAVGAAATQEQLTAAQSAQVPSKRGGSNQLSRSSPHMIKGDESVERREAGPTPRGTPAPSRDQRRGPPSKERDEQPPTKGSNIRETKLSMPKPPALHNSSWRQGLLTASQSKRGAYKPTTEEDASLPSIKGFAPELALTHSERGAHPSAAPKKGAEWQQRQLGRLEERLERNQKRLADMHSQLKRHIDAWLSQQRGLEQHLSTIQQQLSPMEGANSDERPVEAAAPTKEMAAGAGPCPDEPHTGEKPERAELQGELM
+>sp|Q96SF2|TCPQM_HUMAN T-complex protein 1 subunit theta-like 2 OS=Homo sapiens OX=9606 GN=CCT8L2 PE=2 SV=2
+MDSTVPSALELPQRLALNPRESPRSPEEEEPHLLSSLAAVQTLASVIRPCYGPHGRQKFLVTMKGETVCTGCATAILRALELEHPAAWLLREAGQTQAENSGDGTAFVVLLTEALLEQAEQLLKAGLPRPQLREAYATATAEVLATLPSLAIQSLGPLEDPSWALHSVMNTHTLSPMDHLTKLVAHACWAIKELDGSFKPERVGVCALPGGTLEDSCLLPGLAISGKLCGQMATVLSGARVALFACPFGPAHPNAPATARLSSPADLAQFSKGSDQLLEKQVGQLAAAGINVAVVLGEVDEETLTLADKYGIVVIQARSWMEIIYLSEVLDTPLLPRLLPPQRPGKCQRVYRQELGDGLAVVFEWECTGTPALTVVLRGATTQGLRSAEQAVYHGIDAYFQLCQDPRLIPGAGATEMALAKMLSDKGSRLEGPSGPAFLAFAWALKYLPKTLAENAGLAVSDVMAEMSGVHQGGNLLMGVGTEGIINVAQEGVWDTLIVKAQGFRAVAEVVLQLVTVDEIVVAKKSPTHQEIWNPDSKKTKKHPPPVETKKILGLNN
+>DECOY_sp|Q96SF2|TCPQM_HUMAN T-complex protein 1 subunit theta-like 2 OS=Homo sapiens OX=9606 GN=CCT8L2 PE=2 SV=2
+NNLGLIKKTEVPPPHKKTKKSDPNWIEQHTPSKKAVVIEDVTVLQLVVEAVARFGQAKVILTDWVGEQAVNIIGETGVGMLLNGGQHVGSMEAMVDSVALGANEALTKPLYKLAWAFALFAPGSPGELRSGKDSLMKALAMETAGAGPILRPDQCLQFYADIGHYVAQEASRLGQTTAGRLVVTLAPTGTCEWEFVVALGDGLEQRYVRQCKGPRQPPLLRPLLPTDLVESLYIIEMWSRAQIVVIGYKDALTLTEEDVEGLVVAVNIGAAALQGVQKELLQDSGKSFQALDAPSSLRATAPANPHAPGFPCAFLAVRAGSLVTAMQGCLKGSIALGPLLCSDELTGGPLACVGVREPKFSGDLEKIAWCAHAVLKTLHDMPSLTHTNMVSHLAWSPDELPGLSQIALSPLTALVEATATAYAERLQPRPLGAKLLQEAQELLAETLLVVFATGDGSNEAQTQGAERLLWAAPHELELARLIATACGTCVTEGKMTVLFKQRGHPGYCPRIVSALTQVAALSSLLHPEEEEPSRPSERPNLALRQPLELASPVTSDM
+>sp|P50990|TCPQ_HUMAN T-complex protein 1 subunit theta OS=Homo sapiens OX=9606 GN=CCT8 PE=1 SV=4
+MALHVPKAPGFAQMLKEGAKHFSGLEEAVYRNIQACKELAQTTRTAYGPNGMNKMVINHLEKLFVTNDAATILRELEVQHPAAKMIVMASHMQEQEVGDGTNFVLVFAGALLELAEELLRIGLSVSEVIEGYEIACRKAHEILPNLVCCSAKNLRDIDEVSSLLRTSIMSKQYGNEVFLAKLIAQACVSIFPDSGHFNVDNIRVCKILGSGISSSSVLHGMVFKKETEGDVTSVKDAKIAVYSCPFDGMITETKGTVLIKTAEELMNFSKGEENLMDAQVKAIADTGANVVVTGGKVADMALHYANKYNIMLVRLNSKWDLRRLCKTVGATALPRLTPPVLEEMGHCDSVYLSEVGDTQVVVFKHEKEDGAISTIVLRGSTDNLMDDIERAVDDGVNTFKVLTRDKRLVPGGGATEIELAKQITSYGETCPGLEQYAIKKFAEAFEAIPRALAENSGVKANEVISKLYAVHQEGNKNVGLDIEAEVPAVKDMLEAGILDTYLGKYWAIKLATNAAVTVLRVDQIIMAKPAGGPKPPSGKKDWDDDQND
+>DECOY_sp|P50990|TCPQ_HUMAN T-complex protein 1 subunit theta OS=Homo sapiens OX=9606 GN=CCT8 PE=1 SV=4
+DNQDDDWDKKGSPPKPGGAPKAMIIQDVRLVTVAANTALKIAWYKGLYTDLIGAELMDKVAPVEAEIDLGVNKNGEQHVAYLKSIVENAKVGSNEALARPIAEFAEAFKKIAYQELGPCTEGYSTIQKALEIETAGGGPVLRKDRTLVKFTNVGDDVAREIDDMLNDTSGRLVITSIAGDEKEHKFVVVQTDGVESLYVSDCHGMEELVPPTLRPLATAGVTKCLRRLDWKSNLRVLMINYKNAYHLAMDAVKGGTVVVNAGTDAIAKVQADMLNEEGKSFNMLEEATKILVTGKTETIMGDFPCSYVAIKADKVSTVDGETEKKFVMGHLVSSSSIGSGLIKCVRINDVNFHGSDPFISVCAQAILKALFVENGYQKSMISTRLLSSVEDIDRLNKASCCVLNPLIEHAKRCAIEYGEIVESVSLGIRLLEEALELLAGAFVLVFNTGDGVEQEQMHSAMVIMKAAPHQVELERLITAADNTVFLKELHNIVMKNMGNPGYATRTTQALEKCAQINRYVAEELGSFHKAGEKLMQAFGPAKPVHLAM
+>sp|P40227|TCPZ_HUMAN T-complex protein 1 subunit zeta OS=Homo sapiens OX=9606 GN=CCT6A PE=1 SV=3
+MAAVKTLNPKAEVARAQAALAVNISAARGLQDVLRTNLGPKGTMKMLVSGAGDIKLTKDGNVLLHEMQIQHPTASLIAKVATAQDDITGDGTTSNVLIIGELLKQADLYISEGLHPRIITEGFEAAKEKALQFLEEVKVSREMDRETLIDVARTSLRTKVHAELADVLTEAVVDSILAIKKQDEPIDLFMIEIMEMKHKSETDTSLIRGLVLDHGARHPDMKKRVEDAYILTCNVSLEYEKTEVNSGFFYKSAEEREKLVKAERKFIEDRVKKIIELKRKVCGDSDKGFVVINQKGIDPFSLDALSKEGIVALRRAKRRNMERLTLACGGVALNSFDDLSPDCLGHAGLVYEYTLGEEKFTFIEKCNNPRSVTLLIKGPNKHTLTQIKDAVRDGLRAVKNAIDDGCVVPGAGAVEVAMAEALIKHKPSVKGRAQLGVQAFADALLIIPKVLAQNSGFDLQETLVKIQAEHSESGQLVGVDLNTGEPMVAAEVGVWDNYCVKKQLLHSCTVIATNILLVDEIMRAGMSSLKG
+>DECOY_sp|P40227|TCPZ_HUMAN T-complex protein 1 subunit zeta OS=Homo sapiens OX=9606 GN=CCT6A PE=1 SV=3
+GKLSSMGARMIEDVLLINTAIVTCSHLLQKKVCYNDWVGVEAAVMPEGTNLDVGVLQGSESHEAQIKVLTEQLDFGSNQALVKPIILLADAFAQVGLQARGKVSPKHKILAEAMAVEVAGAGPVVCGDDIANKVARLGDRVADKIQTLTHKNPGKILLTVSRPNNCKEIFTFKEEGLTYEYVLGAHGLCDPSLDDFSNLAVGGCALTLREMNRRKARRLAVIGEKSLADLSFPDIGKQNIVVFGKDSDGCVKRKLEIIKKVRDEIFKREAKVLKEREEASKYFFGSNVETKEYELSVNCTLIYADEVRKKMDPHRAGHDLVLGRILSTDTESKHKMEMIEIMFLDIPEDQKKIALISDVVAETLVDALEAHVKTRLSTRAVDILTERDMERSVKVEELFQLAKEKAAEFGETIIRPHLGESIYLDAQKLLEGIILVNSTTGDGTIDDQATAVKAILSATPHQIQMEHLLVNGDKTLKIDGAGSVLMKMTGKPGLNTRLVDQLGRAASINVALAAQARAVEAKPNLTKVAAM
+>sp|Q9HAU6|TCTP8_HUMAN Putative translationally-controlled tumor protein-like protein TPT1P8 OS=Homo sapiens OX=9606 GN=TPT1P8 PE=5 SV=2
+MIIFQDLISHNEMFSDIYKIWEITNGLCLEVEQKMLSKTTGNTDDSLIGRNSSSESTEDEVTESTIITSVDIVTNHHLQESIFTKEAYKKYIKDYMKSINEKLEEQRPERVKLFITGMKNKSSTSLLIFKTTSSLLVKT
+>DECOY_sp|Q9HAU6|TCTP8_HUMAN Putative translationally-controlled tumor protein-like protein TPT1P8 OS=Homo sapiens OX=9606 GN=TPT1P8 PE=5 SV=2
+TKVLLSSTTKFILLSTSSKNKMGTIFLKVREPRQEELKENISKMYDKIYKKYAEKTFISEQLHHNTVIDVSTIITSETVEDETSESSSNRGILSDDTNGTTKSLMKQEVELCLGNTIEWIKYIDSFMENHSILDQFIIM
+>sp|Q9H7E2|TDRD3_HUMAN Tudor domain-containing protein 3 OS=Homo sapiens OX=9606 GN=TDRD3 PE=1 SV=1
+MLRLQMTDGHISCTAVEFSYMSKISLNTPPGTKVKLSGIVDIKNGFLLLNDSNTTVLGGEVEHLIEKWELQRSLSKHNRSNIGTEGGPPPFVPFGQKCVSHVQVDSRELDRRKTLQVTMPVKPTNDNDEFEKQRTAAIAEVAKSKETKTFGGGGGGARSNLNMNAAGNRNREVLQKEKSTKSEGKHEGVYRELVDEKALKHITEMGFSKEASRQALMDNGNNLEAALNVLLTSNKQKPVMGPPLRGRGKGRGRIRSEDEEDLGNARPSAPSTLFDFLESKMGTLNVEEPKSQPQQLHQGQYRSSNTEQNGVKDNNHLRHPPRNDTRQPRNEKPPRFQRDSQNSKSVLEGSGLPRNRGSERPSTSSVSEVWAEDRIKCDRPYSRYDRTKDTSYPLGSQHSDGAFKKRDNSMQSRSGKGPSFAEAKENPLPQGSVDYNNQKRGKRESQTSIPDYFYDRKSQTINNEAFSGIKIEKHFNVNTDYQNPVRSNSFIGVPNGEVEMPLKGRRIGPIKPAGPVTAVPCDDKIFYNSGPKRRSGPIKPEKILESSIPMEYAKMWKPGDECFALYWEDNKFYRAEVEALHSSGMTAVVKFIDYGNYEEVLLSNIKPIQTEAWEEEGTYDQTLEFRRGGDGQPRRSTRPTQQFYQPPRARN
+>DECOY_sp|Q9H7E2|TDRD3_HUMAN Tudor domain-containing protein 3 OS=Homo sapiens OX=9606 GN=TDRD3 PE=1 SV=1
+NRARPPQYFQQTPRTSRRPQGDGGRRFELTQDYTGEEEWAETQIPKINSLLVEEYNGYDIFKVVATMGSSHLAEVEARYFKNDEWYLAFCEDGPKWMKAYEMPISSELIKEPKIPGSRRKPGSNYFIKDDCPVATVPGAPKIPGIRRGKLPMEVEGNPVGIFSNSRVPNQYDTNVNFHKEIKIGSFAENNITQSKRDYFYDPISTQSERKGRKQNNYDVSGQPLPNEKAEAFSPGKGSRSQMSNDRKKFAGDSHQSGLPYSTDKTRDYRSYPRDCKIRDEAWVESVSSTSPRESGRNRPLGSGELVSKSNQSDRQFRPPKENRPQRTDNRPPHRLHNNDKVGNQETNSSRYQGQHLQQPQSKPEEVNLTGMKSELFDFLTSPASPRANGLDEEDESRIRGRGKGRGRLPPGMVPKQKNSTLLVNLAAELNNGNDMLAQRSAEKSFGMETIHKLAKEDVLERYVGEHKGESKTSKEKQLVERNRNGAANMNLNSRAGGGGGGFTKTEKSKAVEAIAATRQKEFEDNDNTPKVPMTVQLTKRRDLERSDVQVHSVCKQGFPVFPPPGGETGINSRNHKSLSRQLEWKEILHEVEGGLVTTNSDNLLLFGNKIDVIGSLKVKTGPPTNLSIKSMYSFEVATCSIHGDTMQLRLM
+>sp|Q8NAT2|TDRD5_HUMAN Tudor domain-containing protein 5 OS=Homo sapiens OX=9606 GN=TDRD5 PE=1 SV=3
+MSEQERIQECLRKEIRSLLISTKDGLSPQELEKEYLLMVGNHLPLRILGYRSTMELVLDMPDVVRVCPGAGGTVILKAIPDESTKGIASLVAKQRSSHKLRNSMHKGRPSIYSGPRSHRRVPYRGRVAPILPAVVKSELKDLLALSPVLLSDFEKAFAKRFGRSFQYMQYGFLSMFEVLNAASDVISVEQTRAGSLLMLKKSVTEEKPRGCPAGKIFTQPFRMKQGSYSTGFPVAKPCFSQPTSNMEPPKQIMSMEKTSKLNVVETSRLNHTEKLNQLENTFKSVIAQIGPGGTISSELKHKIKFVVSKFPEGLFISKLLGEYEVIFKEQLSPKKLGFLNVTELVGALSDILHVEFRKGHQDLLVFDADKKPLPPVQSDKKIEAKACVSSPPRNSLSTAAVKETVWNCPSKKQKEPQQKICKKPNLVVKPLQLQVETNKSELNLAMANHDIPPDAVPNKKLCRLPPLDTSSLIGVFVEYIISPSQFYIRIYSRDSSELLEDMMIEMRRCYSNQLVSDRYVMPECFIQPGHLCCVRISEDKWWYRVIIHRVLEKQEVEVFYPDFGNIGIVQKSSLRFLKCCYTKLPAQAIPCSLAWVRPVEEHWTSKAILQFQKLCGLKPLVGVVDEYVDGILNIFLCDTSSNEDVYFHHVLRTEGHAIVCRENISSKGFSELNPLALYTTSSGGPEDIVLTELGYPSQQHYFNEDRKISPQSKESELRILDEIPTGMPCLESVTIGDDIWDENWLPLQAKMGKGGDAASHLFTASLGGKNQYSSCKEMPQKDWCFSTPKDTWDDSWQPSGLVNGTKVEVHKPEVLGAQEKNTGTNRTQKQLDINGSSDSSTLPKLEEFCTSLTQSEQSADGSQSEPNNSQTQPKQIQLSTAAPCSTTAVDDSAEKPSGSVESSPEILKNEDFSSSRAITLYKDKRQESVDQLSLILSYECQISQKLYIPRSTATAALGAAARLATSRSLLHWYPSVKRMEA
+>DECOY_sp|Q8NAT2|TDRD5_HUMAN Tudor domain-containing protein 5 OS=Homo sapiens OX=9606 GN=TDRD5 PE=1 SV=3
+AEMRKVSPYWHLLSRSTALRAAAGLAATATSRPIYLKQSIQCEYSLILSLQDVSEQRKDKYLTIARSSSFDENKLIEPSSEVSGSPKEASDDVATTSCPAATSLQIQKPQTQSNNPESQSGDASQESQTLSTCFEELKPLTSSDSSGNIDLQKQTRNTGTNKEQAGLVEPKHVEVKTGNVLGSPQWSDDWTDKPTSFCWDKQPMEKCSSYQNKGGLSATFLHSAADGGKGMKAQLPLWNEDWIDDGITVSELCPMGTPIEDLIRLESEKSQPSIKRDENFYHQQSPYGLETLVIDEPGGSSTTYLALPNLESFGKSSINERCVIAHGETRLVHHFYVDENSSTDCLFINLIGDVYEDVVGVLPKLGCLKQFQLIAKSTWHEEVPRVWALSCPIAQAPLKTYCCKLFRLSSKQVIGINGFDPYFVEVEQKELVRHIIVRYWWKDESIRVCCLHGPQIFCEPMVYRDSVLQNSYCRRMEIMMDELLESSDRSYIRIYFQSPSIIYEVFVGILSSTDLPPLRCLKKNPVADPPIDHNAMALNLESKNTEVQLQLPKVVLNPKKCIKQQPEKQKKSPCNWVTEKVAATSLSNRPPSSVCAKAEIKKDSQVPPLPKKDADFVLLDQHGKRFEVHLIDSLAGVLETVNLFGLKKPSLQEKFIVEYEGLLKSIFLGEPFKSVVFKIKHKLESSITGGPGIQAIVSKFTNELQNLKETHNLRSTEVVNLKSTKEMSMIQKPPEMNSTPQSFCPKAVPFGTSYSGQKMRFPQTFIKGAPCGRPKEETVSKKLMLLSGARTQEVSIVDSAANLVEFMSLFGYQMYQFSRGFRKAFAKEFDSLLVPSLALLDKLESKVVAPLIPAVRGRYPVRRHSRPGSYISPRGKHMSNRLKHSSRQKAVLSAIGKTSEDPIAKLIVTGGAGPCVRVVDPMDLVLEMTSRYGLIRLPLHNGVMLLYEKELEQPSLGDKTSILLSRIEKRLCEQIREQESM
+>sp|O60522|TDRD6_HUMAN Tudor domain-containing protein 6 OS=Homo sapiens OX=9606 GN=TDRD6 PE=2 SV=2
+MCSTPGMPAPGASLALRVSFVDVHPDVIPVQLWGLVGERRGEYLRLSREIQEAAATRGQWALGSASASPGELCLVQVGLLWHRCRVVSRQAQESRVFLLDEGRTITAGAGSLAPGRREFFNLPSEVLGCVLAGLVPAGCGAGSGEPPQHWPADAVDFLSNLQGKEVHGCVLDVLLLHRLVLLEVPDVFQQMRELGLARRVPDSLFRSLLERYLTAATASVGSGVPVLSRVPLKQKQPGLDYFYPQLQLGVTEAVVITQVCHPHRIHCQLRSVSQEIHRLSESMAQVYRGSTGTGDENSTSATWEEREESPDKPGSPCASCGLDGHWYRALLLETFRPQRCAQVLHVDYGRKELVSCSSLRYLLPEYFRMPVVTYPCALYGLWDGGRGWSRSQVGDLKTLILGKAVNAKIEFYCSFEHVYYVSLYGEDGINLNRVFGVQSCCLADRVLQSQATEEEEPETSQSQSPAEEVDEEISLPALRSIRLKMNAFYDAQVEFVKNPSEFWIRLRKHNVTFSKLMRRMCGFYSSASKLDGVVLKPEPDDLCCVKWKENGYYRAIVTKLDDKSVDVFLVDRGNSENVDWYDVRMLLPQFRQLPILAVKCTLADIWPLGKTWSQEAVSFFKKTVLHKELVIHILDKQDHQYVIEILDESRTGEENISKVIAQAGYAKYQEFETKENILVNAHSPGHVSNHFTTESNKIPFAKTGEGEQKAKRENKTTSVSKALSDTTVVTNGSTELVVQEKVKRASVYFPLMQNCLEIKPGSSSKGELEVGSTVEVRVSYVENPGYFWCQLTRNIQGLKTLMSDIQYYCKNTAAPHQRNTLACLAKRTVNRQWSRALISGIQSVEHVNVTFVDYGDREMVSVKNIYSISEEFLKVKAQAFRCSLYNLIQPVGQNPFVWDVKAIQAFNEFIDNAWQKNLELKCTIFALASINEELFNIVDLLTPFQSACHFLVEKRLARPVKLQKPLESSVQLHSYFYSTHDMKIGSEELVYITHIDDPWTFYCQLARNANILEQLSCSITQLSKVLLNLKTSPLNPGTLCLAKYTDGNWYRGIVIEKEPKKVFFVDFGNIYVVTSDDLLPIPSDAYDVLLLPMQAVRCSLSDIPDHIPEEVVVWFQETILDKSLKALVVAKDPDGTLIIELYGDNIQISASINKKLGLLSYKDRIRKKESEVLCSTTETLEEKNENMKLPCTEYLSKSVGYKLPNKEILEESYKPQINSSYKELKLLQSLTKTNLVTQYQDSVGNKNSQVFPLTTEKKEEISAETPLKTARVEATLSERKIGDSCDKDLPLKFCEFPQKTIMPGFKTTVYVSHINDLSDFYVQLIEDEAEISHLSERLNSVKTRPEYYVGPPLQRGDMICAVFPEDNLWYRAVIKEQQPNDLLSVQFIDYGNVSVVHTNKIGRLDLVNAILPGLCIHCSLQGFEVPDNKNSKKMMHYFSQRTSEAAIRCEFVKFQDRWEVILADEHGIIADDMISRYALSEKSQVELSTQVIKSASSKSVNKSDIDTSVFLNWYNPEKKMIRAYATVIDGPEYFWCQFADTEKLQCLEVEVQTAGEQVADRRNCIPCPYIGDPCIVRYREDGHYYRALITNICEDYLVSVRLVDFGNIEDCVDPKALWAIPSELLSVPMQAFPCCLSGFNISEGLCSQEGNDYFYEIITEDVLEITILEIRRDVCDIPLAIVDLKSKGKSINEKMEKYSKTGIKSALPYENIDSEIKQTLGSYNLDVGLKKLSNKAVQNKIYMEQQTDELAEITEKDVNIIGTKPSNFRDPKTDNICEGFENPCKDKIDTEELEGELECHLVDKAEFDDKYLITGFNTLLPHANETKEILELNSLEVPLSPDDESKEFLELESIELQNSLVVDEEKGELSPVPPNVPLSQECVTKGAMELFTLQLPLSCEAEKQPELELPTAQLPLDDKMDPLSLGVSQKAQESMCTEDMRKSSCVESFDDQRRMSLHLHGADCDPKTQNEMNICEEEFVEYKNRDAISALMPLFSEEESSDGSKHNNGLPDHISAQLQNTYTLKAFTVGSKCVVWSSLRNTWSKCEILETAEEGTRVLNLSNGMEEIVNPENVWNGIPKLDKSPPEKRGLEVMEI
+>DECOY_sp|O60522|TDRD6_HUMAN Tudor domain-containing protein 6 OS=Homo sapiens OX=9606 GN=TDRD6 PE=2 SV=2
+IEMVELGRKEPPSKDLKPIGNWVNEPNVIEEMGNSLNLVRTGEEATELIECKSWTNRLSSWVVCKSGVTFAKLTYTNQLQASIHDPLGNNHKSGDSSEEESFLPMLASIADRNKYEVFEEECINMENQTKPDCDAGHLHLSMRRQDDFSEVCSSKRMDETCMSEQAKQSVGLSLPDMKDDLPLQATPLELEPQKEAECSLPLQLTFLEMAGKTVCEQSLPVNPPVPSLEGKEEDVVLSNQLEISELELFEKSEDDPSLPVELSNLELIEKTENAHPLLTNFGTILYKDDFEAKDVLHCELEGELEETDIKDKCPNEFGECINDTKPDRFNSPKTGIINVDKETIEALEDTQQEMYIKNQVAKNSLKKLGVDLNYSGLTQKIESDINEYPLASKIGTKSYKEMKENISKGKSKLDVIALPIDCVDRRIELITIELVDETIIEYFYDNGEQSCLGESINFGSLCCPFAQMPVSLLESPIAWLAKPDVCDEINGFDVLRVSVLYDECINTILARYYHGDERYRVICPDGIYPCPICNRRDAVQEGATQVEVELCQLKETDAFQCWFYEPGDIVTAYARIMKKEPNYWNLFVSTDIDSKNVSKSSASKIVQTSLEVQSKESLAYRSIMDDAIIGHEDALIVEWRDQFKVFECRIAAESTRQSFYHMMKKSNKNDPVEFGQLSCHICLGPLIANVLDLRGIKNTHVVSVNGYDIFQVSLLDNPQQEKIVARYWLNDEPFVACIMDGRQLPPGVYYEPRTKVSNLRESLHSIEAEDEILQVYFDSLDNIHSVYVTTKFGPMITKQPFECFKLPLDKDCSDGIKRESLTAEVRATKLPTEASIEEKKETTLPFVQSNKNGVSDQYQTVLNTKTLSQLLKLEKYSSNIQPKYSEELIEKNPLKYGVSKSLYETCPLKMNENKEELTETTSCLVESEKKRIRDKYSLLGLKKNISASIQINDGYLEIILTGDPDKAVVLAKLSKDLITEQFWVVVEEPIHDPIDSLSCRVAQMPLLLVDYADSPIPLLDDSTVVYINGFDVFFVKKPEKEIVIGRYWNGDTYKALCLTGPNLPSTKLNLLVKSLQTISCSLQELINANRALQCYFTWPDDIHTIYVLEESGIKMDHTSYFYSHLQVSSELPKQLKVPRALRKEVLFHCASQFPTLLDVINFLEENISALAFITCKLELNKQWANDIFENFAQIAKVDWVFPNQGVPQILNYLSCRFAQAKVKLFEESISYINKVSVMERDGYDVFTVNVHEVSQIGSILARSWQRNVTRKALCALTNRQHPAATNKCYYQIDSMLTKLGQINRTLQCWFYGPNEVYSVRVEVTSGVELEGKSSSGPKIELCNQMLPFYVSARKVKEQVVLETSGNTVVTTDSLAKSVSTTKNERKAKQEGEGTKAFPIKNSETTFHNSVHGPSHANVLINEKTEFEQYKAYGAQAIVKSINEEGTRSEDLIEIVYQHDQKDLIHIVLEKHLVTKKFFSVAEQSWTKGLPWIDALTCKVALIPLQRFQPLLMRVDYWDVNESNGRDVLFVDVSKDDLKTVIARYYGNEKWKVCCLDDPEPKLVVGDLKSASSYFGCMRRMLKSFTVNHKRLRIWFESPNKVFEVQADYFANMKLRISRLAPLSIEEDVEEAPSQSQSTEPEEEETAQSQLVRDALCCSQVGFVRNLNIGDEGYLSVYYVHEFSCYFEIKANVAKGLILTKLDGVQSRSWGRGGDWLGYLACPYTVVPMRFYEPLLYRLSSCSVLEKRGYDVHLVQACRQPRFTELLLARYWHGDLGCSACPSGPKDPSEEREEWTASTSNEDGTGTSGRYVQAMSESLRHIEQSVSRLQCHIRHPHCVQTIVVAETVGLQLQPYFYDLGPQKQKLPVRSLVPVGSGVSATAATLYRELLSRFLSDPVRRALGLERMQQFVDPVELLVLRHLLLVDLVCGHVEKGQLNSLFDVADAPWHQPPEGSGAGCGAPVLGALVCGLVESPLNFFERRGPALSGAGATITRGEDLLFVRSEQAQRSVVRCRHWLLGVQVLCLEGPSASASGLAWQGRTAAAEQIERSLRLYEGRREGVLGWLQVPIVDPHVDVFSVRLALSAGPAPMGPTSCM
+>sp|Q8NDG6|TDRD9_HUMAN ATP-dependent RNA helicase TDRD9 OS=Homo sapiens OX=9606 GN=TDRD9 PE=2 SV=3
+MLRKLTIEQINDWFTIGKTVTNVELLGAPPAFPAGAAREEVQRQDVAPGAGPAAQAPALAQAPARPAAAFERSLSQRSSEVEYINKYRQLEAQELDVCRSVQPTSGPGPRPSLAKLSSVTCIPGTTYKYPDLPISRYKEEVVSLIESNSVVIIHGATGSGKSTQLPQYILDHYVQRSAYCSIVVTQPRKIGASSIARWISKERAWTLGGVVGYQVGLEKIATEDTRLIYMTTGVLLQKIVSAKSLMEFTHIIIDEVHERTEEMDFLLLVVRKLLRTNSRFVKVVLMSATISCKEFADYFAVPVQNKMNPAYIFEVEGKPHSVEEYYLNDLEHIHHSKLSPHLLEEPVITKDIYEVAVSLIQMFDDLDMKESGNKAWSGAQFVLERSSVLVFLPGLGEINYMHELLTSLVHKRLQVYPLHSSVALEEQNNVFLSPVPGYRKIILSTNIAESSVTVPDVKYVIDFCLTRTLVCDEDTNYQSLRLSWASKTSCNQRKGRAGRVSRGYCYRLVHKDFWDNSIPDHVVPEMLRCPLGSTILKVKLLDMGEPRALLATALSPPGLSDIERTILLLKEVGALAVSGQREDENPHDGELTFLGRVLAQLPVNQQLGKLIVLGHVFGCLDECLIIAAALSLKNFFAMPFRQHLDGYRNKVNFSGSSKSDCIALVEAFKTWKACRQTGELRYPKDELNWGRLNYIQIKRIREVAELYEELKTRISQFNMHVDSRRPVMDQEYIYKQRFILQVVLAGAFYPNYFTFGQPDEEMAVRELAGKDPKTTVVLKHIPPYGFLYYKQLQSLFRQCGQVKSIVFDGAKAFVEFSRNPTERFKTLPAVYMAIKMSQLKVSLELSVHSAEEIEGKVQGMNVSKLRNTRVNVDFQKQTVDPMQVSFNTSDRSQTVTDLLLTIDVTEVVEVGHFWGYRIDENNSEILKKLTAEINQLTLVPLPTHPHPDLVCLAPFADFDKQRYFRAQVLYVSGNSAEVFFVDYGNKSHVDLHLLMEIPCQFLELPFQALEFKICKMRPSAKSLVCGKHWSDGASQWFASLVSGCTLLVKVFSVVHSVLHVDVYQYSGVQDAINIRDVLIQQGYAELTEESYESKQSHEVLKGLFSKSVENMTDGSVPFPMKDDEKYLIRILLESFSTNKLGTPNCKAELHGPFNPYELKCHSLTRISKFRCVWIEKESINSVIISDAPEDLHQRMLVAASLSINATGSTMLLRETSLMPHIPGLPALLSMLFAPVIELRIDQNGKYYTGVLCGLGWNPATGASILPEHDMELAFDVQFSVEDVVEVNILRAAINKLVCDGPNGCKCLGPERVAQLQDIARQKLLGLFCQSKPREKIVPKWHEKPYEWNQVDPKLVMEQADRESSRGKNTFLYQLHKLVVLGT
+>DECOY_sp|Q8NDG6|TDRD9_HUMAN ATP-dependent RNA helicase TDRD9 OS=Homo sapiens OX=9606 GN=TDRD9 PE=2 SV=3
+TGLVVLKHLQYLFTNKGRSSERDAQEMVLKPDVQNWEYPKEHWKPVIKERPKSQCFLGLLKQRAIDQLQAVREPGLCKCGNPGDCVLKNIAARLINVEVVDEVSFQVDFALEMDHEPLISAGTAPNWGLGCLVGTYYKGNQDIRLEIVPAFLMSLLAPLGPIHPMLSTERLLMTSGTANISLSAAVLMRQHLDEPADSIIVSNISEKEIWVCRFKSIRTLSHCKLEYPNFPGHLEAKCNPTGLKNTSFSELLIRILYKEDDKMPFPVSGDTMNEVSKSFLGKLVEHSQKSEYSEETLEAYGQQILVDRINIADQVGSYQYVDVHLVSHVVSFVKVLLTCGSVLSAFWQSAGDSWHKGCVLSKASPRMKCIKFELAQFPLELFQCPIEMLLHLDVHSKNGYDVFFVEASNGSVYLVQARFYRQKDFDAFPALCVLDPHPHTPLPVLTLQNIEATLKKLIESNNEDIRYGWFHGVEVVETVDITLLLDTVTQSRDSTNFSVQMPDVTQKQFDVNVRTNRLKSVNMGQVKGEIEEASHVSLELSVKLQSMKIAMYVAPLTKFRETPNRSFEVFAKAGDFVISKVQGCQRFLSQLQKYYLFGYPPIHKLVVTTKPDKGALERVAMEEDPQGFTFYNPYFAGALVVQLIFRQKYIYEQDMVPRRSDVHMNFQSIRTKLEEYLEAVERIRKIQIYNLRGWNLEDKPYRLEGTQRCAKWTKFAEVLAICDSKSSGSFNVKNRYGDLHQRFPMAFFNKLSLAAAIILCEDLCGFVHGLVILKGLQQNVPLQALVRGLFTLEGDHPNEDERQGSVALAGVEKLLLITREIDSLGPPSLATALLARPEGMDLLKVKLITSGLPCRLMEPVVHDPISNDWFDKHVLRYCYGRSVRGARGKRQNCSTKSAWSLRLSQYNTDEDCVLTRTLCFDIVYKVDPVTVSSEAINTSLIIKRYGPVPSLFVNNQEELAVSSHLPYVQLRKHVLSTLLEHMYNIEGLGPLFVLVSSRELVFQAGSWAKNGSEKMDLDDFMQILSVAVEYIDKTIVPEELLHPSLKSHHIHELDNLYYEEVSHPKGEVEFIYAPNMKNQVPVAFYDAFEKCSITASMLVVKVFRSNTRLLKRVVLLLFDMEETREHVEDIIIHTFEMLSKASVIKQLLVGTTMYILRTDETAIKELGVQYGVVGGLTWAREKSIWRAISSAGIKRPQTVVISCYASRQVYHDLIYQPLQTSKGSGTAGHIIVVSNSEILSVVEEKYRSIPLDPYKYTTGPICTVSSLKALSPRPGPGSTPQVSRCVDLEQAELQRYKNIYEVESSRQSLSREFAAAPRAPAQALAPAQAAPGAGPAVDQRQVEERAAGAPFAPPAGLLEVNTVTKGITFWDNIQEITLKRLM
+>sp|Q86YL5|TDRP_HUMAN Testis development-related protein OS=Homo sapiens OX=9606 GN=TDRP PE=1 SV=2
+MWKLGRGRVLLDEPPEEEDGLRGGPPPAAAAAAQAQVQGASFRGWKEVTSLFNKDDEQHLLERCKSPKSKGTNLRLKEELKAEKKSGFWDNLVLKQNIQSKKPDEIEGWEPPKLALEDISADPEDTVGGHPSWSGWEDDAKGSTKYTSLASSANSSRWSLRAAGRLVSIRRQSKGHLTDSPEEAE
+>DECOY_sp|Q86YL5|TDRP_HUMAN Testis development-related protein OS=Homo sapiens OX=9606 GN=TDRP PE=1 SV=2
+EAEEPSDTLHGKSQRRISVLRGAARLSWRSSNASSALSTYKTSGKADDEWGSWSPHGGVTDEPDASIDELALKPPEWGEIEDPKKSQINQKLVLNDWFGSKKEAKLEEKLRLNTGKSKPSKCRELLHQEDDKNFLSTVEKWGRFSAGQVQAQAAAAAAPPPGGRLGDEEEPPEDLLVRGRGLKWM
+>sp|O75443|TECTA_HUMAN Alpha-tectorin OS=Homo sapiens OX=9606 GN=TECTA PE=1 SV=3
+MNYSSFLRIWVSFIFALVQHQAQPRELMYPFWQNDTKTPKVDDGSSSEIKLAIPVFFFGVPYRTVYVNNNGVVSFNVLVSQFTPESFPLTDGRAFVAPFWADVHNGIRGEIYYRETMEPAILKRATKDIRKYFKDMATFSATWVFIVTWEEVTFYGGSSTTPVNTFQAVLVSDGSYTFTLFNYYEINWTTGTASGGDPLTGLGGVMAQAGFNGGNLTNFFSLPGSRTPEIVNIQETTNVNVPGRWAFKVDGKEIDPANGCTSRGQFLRRGEVFWDDLNCTVKCRCLDFNNEIYCQEASCSPYEVCEPKGKFFYCSAVETSTCVVFGEPHYHTFDGFLFHFQGSCAYLLARQCLQTSSLPFFSVEAKNEHRRGSAVSWVKELSVEVNGYKILIPKGSYGRVKVNDLVTSLPVTLDLGTVKIYQSGISTAVETDFGLLVTFDGQHYASISVPGSYINSTCGLCGNYNKNPLDDFLRPDGRPAMSVLDLGESWRVYHADWKCDSGCVDNCTQCDAATEALYFGSDYCGFLNKTDGPLWECGTVVDPTAFVHSCVYDLCSVRDNGTLLCQAIQAYALVCQALGIPIGDWRTQTGCVSTVQCPSFSHYSVCTSSCPDTCSDLTASRNCATPCTEGCECNQGFVLSTSQCVPLHKCGCDFDGHYYTMGEFFWATANCTVQCLCEEGGDVYCFNKTCGSGEVCAVEDGYQGCFPKRETVCLLSQNQVLHTFDGASYAFPSEFSYTLLKTCPERPEYLEIDINKKKPDAGPAWLRGLRILVADQEVKIGGIGASEVKLNGQEVELPFFHPSGKLEIYRNKNSTTVESKGVVTVQYSDIGLLYIRLSTTYFNCTGGLCGFYNANASDEFCLPNGKCTDNLAVFLESWTTFEEICNGECGDLLKACNNDSELLKFYRSRSRCGIINDPSNSSFLECHGVVNVTAYYRTCLFRLCQSGGNESELCDSVARYASACKNADVEVGPWRTYDFCPLECPENSHFEECITCTETCETLTLGPICVDSCSEGCQCDEGYALLGSQCVTRSECGCNFEGHQLATNETFWVDLDCQIFCYCSGTDNRVHCETIPCKDDEYCMEEGGLYYCQARTDASCIVSGYGHYLTFDGFPFDFQTSCPLILCTTGSRPSSDSFPKFVVTAKNEDRDPSLALWVKQVDVTVFGYSIVIHRAYKHTVLVNSERLYLPLKLGQGKINIFSFGFHVVVETDFGLKVVYDWKTFLSITVPRSMQNSTYGLCGRYNGNPDDDLEMPMGLLASSVNEFGQSWVKRDTFCQVGCGDRCPSCAKVEGFSKVQQLCSLIPNQNAAFSKCHSKVNPTFFYKNCLFDSCIDGGAVQTACSWLQNYASTCQTQGITVTGWRNYTSCTVTCPPNSHYESCVSVCQPRCAAIRLKSDCSHYCVEGCHCDAGYVLNGKSCILPHSCGCYSDGKYYEPKQLFWNSDCTRRCRCFRRNVIQCDPRQCKSDEECALRNGVRGCFSTKTSYCLAAGGGVFRTFDGAFLRFPANCAFVLSTICQKLPDISFQLIINFDKWSAPNLTIISPVYFYINEEQILINDRNTVKVNGTQVNVPFITGLATKIYSSEGFLVIDTSPDIQIYYNGFNVIKISISERLQNKVCGLCGNFNGDLTDDYVTLRGKPVVSSVVLAQSWKTNGMQKRPLAPSCNELQFSQYAAMCDNVHIQKMQGDGYCLKLTDMKGFFQPCYGLLDPLPFYESCYLDGCYSHKKFQLCGSLAAYGEACRSFGILSTEWIEKENCSGVVEDPCVGADCPNRTCELGNGRELCGCIEPPPYGNNSHDIIDAEVTCKAAQMEVSISKCKLFQLGFEREGVRINDRQCTGIEGEDFISFQINNTKGNCGNIVQSNGTHIMYKNTLWIESANNTGNIITRDRTINVEFSCAYELDIKISLDSVVKPMLSVINLTVPTQEGSFITKMALYKNASYKHPYRQGEVVLTTRDVLYVGVFVVGADATHLILTLNKCYATPTRDSNDKLRYFIIEGGCQNLKDNTIGIEENAVSLTCRFHVTVFKFIGDYDEVHLHCAVSLCDSEKYSCKITCPHNSRIATDYTKEPKEQIISVGPIRRKRLDWCEDNGGCEQICTSRVDGPLCSCVTGTLQEDGKSCRASNSSMELQVWTLLLIMIQISLWHFVYKSGTTS
+>DECOY_sp|O75443|TECTA_HUMAN Alpha-tectorin OS=Homo sapiens OX=9606 GN=TECTA PE=1 SV=3
+STTGSKYVFHWLSIQIMILLLTWVQLEMSSNSARCSKGDEQLTGTVCSCLPGDVRSTCIQECGGNDECWDLRKRRIPGVSIIQEKPEKTYDTAIRSNHPCTIKCSYKESDCLSVACHLHVEDYDGIFKFVTVHFRCTLSVANEEIGITNDKLNQCGGEIIFYRLKDNSDRTPTAYCKNLTLILHTADAGVVFVGVYLVDRTTLVVEGQRYPHKYSANKYLAMKTIFSGEQTPVTLNIVSLMPKVVSDLSIKIDLEYACSFEVNITRDRTIINGTNNASEIWLTNKYMIHTGNSQVINGCNGKTNNIQFSIFDEGEIGTCQRDNIRVGEREFGLQFLKCKSISVEMQAAKCTVEADIIDHSNNGYPPPEICGCLERGNGLECTRNPCDAGVCPDEVVGSCNEKEIWETSLIGFSRCAEGYAALSGCLQFKKHSYCGDLYCSEYFPLPDLLGYCPQFFGKMDTLKLCYGDGQMKQIHVNDCMAAYQSFQLENCSPALPRKQMGNTKWSQALVVSSVVPKGRLTVYDDTLDGNFNGCLGCVKNQLRESISIKIVNFGNYYIQIDPSTDIVLFGESSYIKTALGTIFPVNVQTGNVKVTNRDNILIQEENIYFYVPSIITLNPASWKDFNIILQFSIDPLKQCITSLVFACNAPFRLFAGDFTRFVGGGAALCYSTKTSFCGRVGNRLACEEDSKCQRPDCQIVNRRFCRCRRTCDSNWFLQKPEYYKGDSYCGCSHPLICSKGNLVYGADCHCGEVCYHSCDSKLRIAACRPQCVSVCSEYHSNPPCTVTCSTYNRWGTVTIGQTQCTSAYNQLWSCATQVAGGDICSDFLCNKYFFTPNVKSHCKSFAANQNPILSCLQQVKSFGEVKACSPCRDGCGVQCFTDRKVWSQGFENVSSALLGMPMELDDDPNGNYRGCLGYTSNQMSRPVTISLFTKWDYVVKLGFDTEVVVHFGFSFINIKGQGLKLPLYLRESNVLVTHKYARHIVISYGFVTVDVQKVWLALSPDRDENKATVVFKPFSDSSPRSGTTCLILPCSTQFDFPFGDFTLYHGYGSVICSADTRAQCYYLGGEEMCYEDDKCPITECHVRNDTGSCYCFIQCDLDVWFTENTALQHGEFNCGCESRTVCQSGLLAYGEDCQCGESCSDVCIPGLTLTECTETCTICEEFHSNEPCELPCFDYTRWPGVEVDANKCASAYRAVSDCLESENGGSQCLRFLCTRYYATVNVVGHCELFSSNSPDNIIGCRSRSRYFKLLESDNNCAKLLDGCEGNCIEEFTTWSELFVALNDTCKGNPLCFEDSANANYFGCLGGTCNFYTTSLRIYLLGIDSYQVTVVGKSEVTTSNKNRYIELKGSPHFFPLEVEQGNLKVESAGIGGIKVEQDAVLIRLGRLWAPGADPKKKNIDIELYEPREPCTKLLTYSFESPFAYSAGDFTHLVQNQSLLCVTERKPFCGQYGDEVACVEGSGCTKNFCYVDGGEECLCQVTCNATAWFFEGMTYYHGDFDCGCKHLPVCQSTSLVFGQNCECGETCPTACNRSATLDSCTDPCSSTCVSYHSFSPCQVTSVCGTQTRWDGIPIGLAQCVLAYAQIAQCLLTGNDRVSCLDYVCSHVFATPDVVTGCEWLPGDTKNLFGCYDSGFYLAETAADCQTCNDVCGSDCKWDAHYVRWSEGLDLVSMAPRGDPRLFDDLPNKNYNGCLGCTSNIYSGPVSISAYHQGDFTVLLGFDTEVATSIGSQYIKVTGLDLTVPLSTVLDNVKVRGYSGKPILIKYGNVEVSLEKVWSVASGRRHENKAEVSFFPLSSTQLCQRALLYACSGQFHFLFGDFTHYHPEGFVVCTSTEVASCYFFKGKPECVEYPSCSAEQCYIENNFDLCRCKVTCNLDDWFVEGRRLFQGRSTCGNAPDIEKGDVKFAWRGPVNVNTTEQINVIEPTRSGPLSFFNTLNGGNFGAQAMVGGLGTLPDGGSATGTTWNIEYYNFLTFTYSGDSVLVAQFTNVPTTSSGGYFTVEEWTVIFVWTASFTAMDKFYKRIDKTARKLIAPEMTERYYIEGRIGNHVDAWFPAVFARGDTLPFSEPTFQSVLVNFSVVGNNNVYVTRYPVGFFFVPIALKIESSSGDDVKPTKTDNQWFPYMLERPQAQHQVLAFIFSVWIRLFSSYNM
+>sp|Q86SX3|TEDC1_HUMAN Tubulin epsilon and delta complex protein 1 OS=Homo sapiens OX=9606 GN=TEDC1 PE=1 SV=2
+MGRRRQRVDPAAGARAGALPEAIAALSRSLPSGPSPEIFRRAKFDRPEATSALWQLLFRVLSPLPAGNALASLALEVQARLVKSALCSQGYPRLALAQLPEDGSQGSRELLLALSWLLARGPVPEQMLAQARVPLGDEMTVCQCEALASPGPPAPHMEAEGPVDVRHVQWLMGKLRFRWRQLVSSQQEQCALLSKIHLYTRGCHSDQSLSHLSVTEAEMLRDPEGGQQVSGAGAAQNLDLAYPKCLHSFCTPGMGPRTFWNDLWLVCEQPGLLPGDWAAPLDPGGASACSLLSPFRALLRTLERENQRLEAVLAWRRSELVFWRWMDTVLGTCAPEVPAAASQPTFLPWVPERGGGELDLVVRELQALEEELREAAERRRAAWEAKAGGCGRGPEWSAARRASREAVEKELGALQQCWERDGGPAQPHGPHRLVRREDGAAGDRDLRAAVVIRTLRSQEACLEAVLRRLQGQCRQELARLVGARPGLIWIPPPGR
+>DECOY_sp|Q86SX3|TEDC1_HUMAN Tubulin epsilon and delta complex protein 1 OS=Homo sapiens OX=9606 GN=TEDC1 PE=1 SV=2
+RGPPPIWILGPRAGVLRALEQRCQGQLRRLVAELCAEQSRLTRIVVAARLDRDGAAGDERRVLRHPGHPQAPGGDREWCQQLAGLEKEVAERSARRAASWEPGRGCGGAKAEWAARRREAAERLEEELAQLERVVLDLEGGGREPVWPLFTPQSAAAPVEPACTGLVTDMWRWFVLESRRWALVAELRQNERELTRLLARFPSLLSCASAGGPDLPAAWDGPLLGPQECVLWLDNWFTRPGMGPTCFSHLCKPYALDLNQAAGAGSVQQGGEPDRLMEAETVSLHSLSQDSHCGRTYLHIKSLLACQEQQSSVLQRWRFRLKGMLWQVHRVDVPGEAEMHPAPPGPSALAECQCVTMEDGLPVRAQALMQEPVPGRALLWSLALLLERSGQSGDEPLQALALRPYGQSCLASKVLRAQVELALSALANGAPLPSLVRFLLQWLASTAEPRDFKARRFIEPSPGSPLSRSLAAIAEPLAGARAGAAPDVRQRRRGM
+>sp|Q68CZ2|TENS3_HUMAN Tensin-3 OS=Homo sapiens OX=9606 GN=TNS3 PE=1 SV=2
+MEEGHGLDLTYITERIIAVSFPAGCSEESYLHNLQEVTRMLKSKHGDNYLVLNLSEKRYDLTKLNPKIMDVGWPELHAPPLDKMCTICKAQESWLNSNLQHVVVIHCRGGKGRIGVVISSYMHFTNVSASADQALDRFAMKKFYDDKVSALMQPSQKRYVQFLSGLLSGSVKMNASPLFLHFVILHGTPNFDTGGVCRPFLKLYQAMQPVYTSGIYNVGPENPSRICIVIEPAQLLKGDVMVKCYHKKYRSATRDVIFRLQFHTGAVQGYGLVFGKEDLDNASKDDRFPDYGKVELVFSATPEKIQGSEHLYNDHGVIVDYNTTDPLIRWDSYENLSADGEVLHTQGPVDGSLYAKVRKKSSSDPGIPGGPQAIPATNSPDHSDHTLSVSSDSGHSTASARTDKTEERLAPGTRRGLSAQEKAELDQLLSGFGLEDPGSSLKEMTDARSKYSGTRHVVPAQVHVNGDAALKDRETDILDDEMPHHDLHSVDSLGTLSSSEGPQSAHLGPFTCHKSSQNSLLSDGFGSNVGEDPQGTLVPDLGLGMDGPYERERTFGSREPKQPQPLLRKPSVSAQMQAYGQSSYSTQTWVRQQQMVVAHQYSFAPDGEARLVSRCPADNPGLVQAQPRVPLTPTRGTSSRVAVQRGVGSGPHPPDTQQPSPSKAFKPRFPGDQVVNGAGPELSTGPSPGSPTLDIDQSIEQLNRLILELDPTFEPIPTHMNALGSQANGSVSPDSVGGGLRASSRLPDTGEGPSRATGRQGSSAEQPLGGRLRKLSLGQYDNDAGGQLPFSKCAWGKAGVDYAPNLPPFPSPADVKETMTPGYPQDLDIIDGRILSSKESMCSTPAFPVSPETPYVKTALRHPPFSPPEPPLSSPASQHKGGREPRSCPETLTHAVGMSESPIGPKSTMLRADASSTPSFQQAFASSCTISSNGPGQRRESSSSAERQWVESSPKPMVSLLGSGRPTGSPLSAEFSGTRKDSPVLSCFPPSELQAPFHSHELSLAEPPDSLAPPSSQAFLGFGTAPVGSGLPPEEDLGALLANSHGASPTPSIPLTATGAADNGFLSHNFLTVAPGHSSHHSPGLQGQGVTLPGQPPLPEKKRASEGDRSLGSVSPSSSGFSSPHSGSTISIPFPNVLPDFSKASEAASPLPDSPGDKLVIVKFVQDTSKFWYKADISREQAIAMLKDKEPGSFIVRDSHSFRGAYGLAMKVATPPPSVLQLNKKAGDLANELVRHFLIECTPKGVRLKGCSNEPYFGSLTALVCQHSITPLALPCKLLIPERDPLEEIAESSPQTAANSAAELLKQGAACNVWYLNSVEMESLTGHQAIQKALSITLVQEPPPVSTVVHFKVSAQGITLTDNQRKLFFRRHYPVNSVIFCALDPQDRKWIKDGPSSKVFGFVARKQGSATDNVCHLFAEHDPEQPASAIVNFVSKVMIGSPKKV
+>DECOY_sp|Q68CZ2|TENS3_HUMAN Tensin-3 OS=Homo sapiens OX=9606 GN=TNS3 PE=1 SV=2
+VKKPSGIMVKSVFNVIASAPQEPDHEAFLHCVNDTASGQKRAVFGFVKSSPGDKIWKRDQPDLACFIVSNVPYHRRFFLKRQNDTLTIGQASVKFHVVTSVPPPEQVLTISLAKQIAQHGTLSEMEVSNLYWVNCAAGQKLLEAASNAATQPSSEAIEELPDREPILLKCPLALPTISHQCVLATLSGFYPENSCGKLRVGKPTCEILFHRVLENALDGAKKNLQLVSPPPTAVKMALGYAGRFSHSDRVIFSGPEKDKLMAIAQERSIDAKYWFKSTDQVFKVIVLKDGPSDPLPSAAESAKSFDPLVNPFPISITSGSHPSSFGSSSPSVSGLSRDGESARKKEPLPPQGPLTVGQGQLGPSHHSSHGPAVTLFNHSLFGNDAAGTATLPISPTPSAGHSNALLAGLDEEPPLGSGVPATGFGLFAQSSPPALSDPPEALSLEHSHFPAQLESPPFCSLVPSDKRTGSFEASLPSGTPRGSGLLSVMPKPSSEVWQREASSSSERRQGPGNSSITCSSAFAQQFSPTSSADARLMTSKPGIPSESMGVAHTLTEPCSRPERGGKHQSAPSSLPPEPPSFPPHRLATKVYPTEPSVPFAPTSCMSEKSSLIRGDIIDLDQPYGPTMTEKVDAPSPFPPLNPAYDVGAKGWACKSFPLQGGADNDYQGLSLKRLRGGLPQEASSGQRGTARSPGEGTDPLRSSARLGGGVSDPSVSGNAQSGLANMHTPIPEFTPDLELILRNLQEISQDIDLTPSGPSPGTSLEPGAGNVVQDGPFRPKFAKSPSPQQTDPPHPGSGVGRQVAVRSSTGRTPTLPVRPQAQVLGPNDAPCRSVLRAEGDPAFSYQHAVVMQQQRVWTQTSYSSQGYAQMQASVSPKRLLPQPQKPERSGFTREREYPGDMGLGLDPVLTGQPDEGVNSGFGDSLLSNQSSKHCTFPGLHASQPGESSSLTGLSDVSHLDHHPMEDDLIDTERDKLAADGNVHVQAPVVHRTGSYKSRADTMEKLSSGPDELGFGSLLQDLEAKEQASLGRRTGPALREETKDTRASATSHGSDSSVSLTHDSHDPSNTAPIAQPGGPIGPDSSSKKRVKAYLSGDVPGQTHLVEGDASLNEYSDWRILPDTTNYDVIVGHDNYLHESGQIKEPTASFVLEVKGYDPFRDDKSANDLDEKGFVLGYGQVAGTHFQLRFIVDRTASRYKKHYCKVMVDGKLLQAPEIVICIRSPNEPGVNYIGSTYVPQMAQYLKLFPRCVGGTDFNPTGHLIVFHLFLPSANMKVSGSLLGSLFQVYRKQSPQMLASVKDDYFKKMAFRDLAQDASASVNTFHMYSSIVVGIRGKGGRCHIVVVHQLNSNLWSEQAKCITCMKDLPPAHLEPWGVDMIKPNLKTLDYRKESLNLVLYNDGHKSKLMRTVEQLNHLYSEESCGAPFSVAIIRETIYTLDLGHGEEM
+>sp|P55072|TERA_HUMAN Transitional endoplasmic reticulum ATPase OS=Homo sapiens OX=9606 GN=VCP PE=1 SV=4
+MASGADSKGDDLSTAILKQKNRPNRLIVDEAINEDNSVVSLSQPKMDELQLFRGDTVLLKGKKRREAVCIVLSDDTCSDEKIRMNRVVRNNLRVRLGDVISIQPCPDVKYGKRIHVLPIDDTVEGITGNLFEVYLKPYFLEAYRPIRKGDIFLVRGGMRAVEFKVVETDPSPYCIVAPDTVIHCEGEPIKREDEEESLNEVGYDDIGGCRKQLAQIKEMVELPLRHPALFKAIGVKPPRGILLYGPPGTGKTLIARAVANETGAFFFLINGPEIMSKLAGESESNLRKAFEEAEKNAPAIIFIDELDAIAPKREKTHGEVERRIVSQLLTLMDGLKQRAHVIVMAATNRPNSIDPALRRFGRFDREVDIGIPDATGRLEILQIHTKNMKLADDVDLEQVANETHGHVGADLAALCSEAALQAIRKKMDLIDLEDETIDAEVMNSLAVTMDDFRWALSQSNPSALRETVVEVPQVTWEDIGGLEDVKRELQELVQYPVEHPDKFLKFGMTPSKGVLFYGPPGCGKTLLAKAIANECQANFISIKGPELLTMWFGESEANVREIFDKARQAAPCVLFFDELDSIAKARGGNIGDGGGAADRVINQILTEMDGMSTKKNVFIIGATNRPDIIDPAILRPGRLDQLIYIPLPDEKSRVAILKANLRKSPVAKDVDLEFLAKMTNGFSGADLTEICQRACKLAIRESIESEIRRERERQTNPSAMEVEEDDPVPEIRRDHFEEAMRFARRSVSDNDIRKYEMFAQTLQQSRGFGSFRFPSGNQGGAGPSQGSGGGTGGSVYTEDNDDDLYG
+>DECOY_sp|P55072|TERA_HUMAN Transitional endoplasmic reticulum ATPase OS=Homo sapiens OX=9606 GN=VCP PE=1 SV=4
+GYLDDDNDETYVSGGTGGGSGQSPGAGGQNGSPFRFSGFGRSQQLTQAFMEYKRIDNDSVSRRAFRMAEEFHDRRIEPVPDDEEVEMASPNTQRERERRIESEISERIALKCARQCIETLDAGSFGNTMKALFELDVDKAVPSKRLNAKLIAVRSKEDPLPIYILQDLRGPRLIAPDIIDPRNTAGIIFVNKKTSMGDMETLIQNIVRDAAGGGDGINGGRAKAISDLEDFFLVCPAAQRAKDFIERVNAESEGFWMTLLEPGKISIFNAQCENAIAKALLTKGCGPPGYFLVGKSPTMGFKLFKDPHEVPYQVLEQLERKVDELGGIDEWTVQPVEVVTERLASPNSQSLAWRFDDMTVALSNMVEADITEDELDILDMKKRIAQLAAESCLAALDAGVHGHTENAVQELDVDDALKMNKTHIQLIELRGTADPIGIDVERDFRGFRRLAPDISNPRNTAAMVIVHARQKLGDMLTLLQSVIRREVEGHTKERKPAIADLEDIFIIAPANKEAEEFAKRLNSESEGALKSMIEPGNILFFFAGTENAVARAILTKGTGPPGYLLIGRPPKVGIAKFLAPHRLPLEVMEKIQALQKRCGGIDDYGVENLSEEEDERKIPEGECHIVTDPAVICYPSPDTEVVKFEVARMGGRVLFIDGKRIPRYAELFYPKLYVEFLNGTIGEVTDDIPLVHIRKGYKVDPCPQISIVDGLRVRLNNRVVRNMRIKEDSCTDDSLVICVAERRKKGKLLVTDGRFLQLEDMKPQSLSVVSNDENIAEDVILRNPRNKQKLIATSLDDGKSDAGSAM
+>sp|Q15554|TERF2_HUMAN Telomeric repeat-binding factor 2 OS=Homo sapiens OX=9606 GN=TERF2 PE=1 SV=3
+MAAGAGTAGPASGPGVVRDPAASQPRKRPGREGGEGARRSDTMAGGGGSSDGSGRAAGRRASRSSGRARRGRHEPGLGGPAERGAGEARLEEAVNRWVLKFYFHEALRAFRGSRYGDFRQIRDIMQALLVRPLGKEHTVSRLLRVMQCLSRIEEGENLDCSFDMEAELTPLESAINVLEMIKTEFTLTEAVVESSRKLVKEAAVIICIKNKEFEKASKILKKHMSKDPTTQKLRNDLLNIIREKNLAHPVIQNFSYETFQQKMLRFLESHLDDAEPYLLTMAKKALKSESAASSTGKEDKQPAPGPVEKPPREPARQLRNPPTTIGMMTLKAAFKTLSGAQDSEAAFAKLDQKDLVLPTQALPASPALKNKRPRKDENESSAPADGEGGSELQPKNKRMTISRLVLEEDSQSTEPSAGLNSSQEAASAPPSKPTVLNQPLPGEKNPKVPKGKWNSSNGVEEKETWVEEDELFQVQAAPDEDSTTNITKKQKWTVEESEWVKAGVQKYGEGNWAAISKNYPFVNRTAVMIKDRWRTMKRLGMN
+>DECOY_sp|Q15554|TERF2_HUMAN Telomeric repeat-binding factor 2 OS=Homo sapiens OX=9606 GN=TERF2 PE=1 SV=3
+NMGLRKMTRWRDKIMVATRNVFPYNKSIAAWNGEGYKQVGAKVWESEEVTWKQKKTINTTSDEDPAAQVQFLEDEEVWTEKEEVGNSSNWKGKPVKPNKEGPLPQNLVTPKSPPASAAEQSSNLGASPETSQSDEELVLRSITMRKNKPQLESGGEGDAPASSENEDKRPRKNKLAPSAPLAQTPLVLDKQDLKAFAAESDQAGSLTKFAAKLTMMGITTPPNRLQRAPERPPKEVPGPAPQKDEKGTSSAASESKLAKKAMTLLYPEADDLHSELFRLMKQQFTEYSFNQIVPHALNKERIINLLDNRLKQTTPDKSMHKKLIKSAKEFEKNKICIIVAAEKVLKRSSEVVAETLTFETKIMELVNIASELPTLEAEMDFSCDLNEGEEIRSLCQMVRLLRSVTHEKGLPRVLLAQMIDRIQRFDGYRSGRFARLAEHFYFKLVWRNVAEELRAEGAGREAPGGLGPEHRGRRARGSSRSARRGAARGSGDSSGGGGAMTDSRRAGEGGERGPRKRPQSAAPDRVVGPGSAPGATGAGAAM
+>sp|O14746|TERT_HUMAN Telomerase reverse transcriptase OS=Homo sapiens OX=9606 GN=TERT PE=1 SV=1
+MPRAPRCRAVRSLLRSHYREVLPLATFVRRLGPQGWRLVQRGDPAAFRALVAQCLVCVPWDARPPPAAPSFRQVSCLKELVARVLQRLCERGAKNVLAFGFALLDGARGGPPEAFTTSVRSYLPNTVTDALRGSGAWGLLLRRVGDDVLVHLLARCALFVLVAPSCAYQVCGPPLYQLGAATQARPPPHASGPRRRLGCERAWNHSVREAGVPLGLPAPGARRRGGSASRSLPLPKRPRRGAAPEPERTPVGQGSWAHPGRTRGPSDRGFCVVSPARPAEEATSLEGALSGTRHSHPSVGRQHHAGPPSTSRPPRPWDTPCPPVYAETKHFLYSSGDKEQLRPSFLLSSLRPSLTGARRLVETIFLGSRPWMPGTPRRLPRLPQRYWQMRPLFLELLGNHAQCPYGVLLKTHCPLRAAVTPAAGVCAREKPQGSVAAPEEEDTDPRRLVQLLRQHSSPWQVYGFVRACLRRLVPPGLWGSRHNERRFLRNTKKFISLGKHAKLSLQELTWKMSVRDCAWLRRSPGVGCVPAAEHRLREEILAKFLHWLMSVYVVELLRSFFYVTETTFQKNRLFFYRKSVWSKLQSIGIRQHLKRVQLRELSEAEVRQHREARPALLTSRLRFIPKPDGLRPIVNMDYVVGARTFRREKRAERLTSRVKALFSVLNYERARRPGLLGASVLGLDDIHRAWRTFVLRVRAQDPPPELYFVKVDVTGAYDTIPQDRLTEVIASIIKPQNTYCVRRYAVVQKAAHGHVRKAFKSHVSTLTDLQPYMRQFVAHLQETSPLRDAVVIEQSSSLNEASSGLFDVFLRFMCHHAVRIRGKSYVQCQGIPQGSILSTLLCSLCYGDMENKLFAGIRRDGLLLRLVDDFLLVTPHLTHAKTFLRTLVRGVPEYGCVVNLRKTVVNFPVEDEALGGTAFVQMPAHGLFPWCGLLLDTRTLEVQSDYSSYARTSIRASLTFNRGFKAGRNMRRKLFGVLRLKCHSLFLDLQVNSLQTVCTNIYKILLLQAYRFHACVLQLPFHQQVWKNPTFFLRVISDTASLCYSILKAKNAGMSLGAKGAAGPLPSEAVQWLCHQAFLLKLTRHRVTYVPLLGSLRTAQTQLSRKLPGTTLTALEAAANPALPSDFKTILD
+>DECOY_sp|O14746|TERT_HUMAN Telomerase reverse transcriptase OS=Homo sapiens OX=9606 GN=TERT PE=1 SV=1
+DLITKFDSPLAPNAAAELATLTTGPLKRSLQTQATRLSGLLPVYTVRHRTLKLLFAQHCLWQVAESPLPGAAGKAGLSMGANKAKLISYCLSATDSIVRLFFTPNKWVQQHFPLQLVCAHFRYAQLLLIKYINTCVTQLSNVQLDLFLSHCKLRLVGFLKRRMNRGAKFGRNFTLSARISTRAYSSYDSQVELTRTDLLLGCWPFLGHAPMQVFATGGLAEDEVPFNVVTKRLNVVCGYEPVGRVLTRLFTKAHTLHPTVLLFDDVLRLLLGDRRIGAFLKNEMDGYCLSCLLTSLISGQPIGQCQVYSKGRIRVAHHCMFRLFVDFLGSSAENLSSSQEIVVADRLPSTEQLHAVFQRMYPQLDTLTSVHSKFAKRVHGHAAKQVVAYRRVCYTNQPKIISAIVETLRDQPITDYAGTVDVKVFYLEPPPDQARVRLVFTRWARHIDDLGLVSAGLLGPRRAREYNLVSFLAKVRSTLREARKERRFTRAGVVYDMNVIPRLGDPKPIFRLRSTLLAPRAERHQRVEAESLERLQVRKLHQRIGISQLKSWVSKRYFFLRNKQFTTETVYFFSRLLEVVYVSMLWHLFKALIEERLRHEAAPVCGVGPSRRLWACDRVSMKWTLEQLSLKAHKGLSIFKKTNRLFRRENHRSGWLGPPVLRRLCARVFGYVQWPSSHQRLLQVLRRPDTDEEEPAAVSGQPKERACVGAAPTVAARLPCHTKLLVGYPCQAHNGLLELFLPRMQWYRQPLRPLRRPTGPMWPRSGLFITEVLRRAGTLSPRLSSLLFSPRLQEKDGSSYLFHKTEAYVPPCPTDWPRPPRSTSPPGAHHQRGVSPHSHRTGSLAGELSTAEEAPRAPSVVCFGRDSPGRTRGPHAWSGQGVPTREPEPAAGRRPRKPLPLSRSASGGRRRAGPAPLGLPVGAERVSHNWARECGLRRRPGSAHPPPRAQTAAGLQYLPPGCVQYACSPAVLVFLACRALLHVLVDDGVRRLLLGWAGSGRLADTVTNPLYSRVSTTFAEPPGGRAGDLLAFGFALVNKAGRECLRQLVRAVLEKLCSVQRFSPAAPPPRADWPVCVLCQAVLARFAAPDGRQVLRWGQPGLRRVFTALPLVERYHSRLLSRVARCRPARPM
+>sp|Q9Y6M0|TEST_HUMAN Testisin OS=Homo sapiens OX=9606 GN=PRSS21 PE=1 SV=1
+MGARGALLLALLLARAGLRKPESQEAAPLSGPCGRRVITSRIVGGEDAELGRWPWQGSLRLWDSHVCGVSLLSHRWALTAAHCFETYSDLSDPSGWMVQFGQLTSMPSFWSLQAYYTRYFVSNIYLSPRYLGNSPYDIALVKLSAPVTYTKHIQPICLQASTFEFENRTDCWVTGWGYIKEDEALPSPHTLQEVQVAIINNSMCNHLFLKYSFRKDIFGDMVCAGNAQGGKDACFGDSGGPLACNKNGLWYQIGVVSWGVGCGRPNRPGVYTNISHHFEWIQKLMAQSGMSQPDPSWPLLFFPLLWALPLLGPV
+>DECOY_sp|Q9Y6M0|TEST_HUMAN Testisin OS=Homo sapiens OX=9606 GN=PRSS21 PE=1 SV=1
+VPGLLPLAWLLPFFLLPWSPDPQSMGSQAMLKQIWEFHHSINTYVGPRNPRGCGVGWSVVGIQYWLGNKNCALPGGSDGFCADKGGQANGACVMDGFIDKRFSYKLFLHNCMSNNIIAVQVEQLTHPSPLAEDEKIYGWGTVWCDTRNEFEFTSAQLCIPQIHKTYTVPASLKVLAIDYPSNGLYRPSLYINSVFYRTYYAQLSWFSPMSTLQGFQVMWGSPDSLDSYTEFCHAATLAWRHSLLSVGCVHSDWLRLSGQWPWRGLEADEGGVIRSTIVRRGCPGSLPAAEQSEPKRLGARALLLALLLAGRAGM
+>sp|Q9UGI8|TES_HUMAN Testin OS=Homo sapiens OX=9606 GN=TES PE=1 SV=1
+MDLENKVKKMGLGHEQGFGAPCLKCKEKCEGFELHFWRKICRNCKCGQEEHDVLLSNEEDRKVGKLFEDTKYTTLIAKLKSDGIPMYKRNVMILTNPVAAKKNVSINTVTYEWAPPVQNQALARQYMQMLPKEKQPVAGSEGAQYRKKQLAKQLPAHDQDPSKCHELSPREVKEMEQFVKKYKSEALGVGDVKLPCEMDAQGPKQMNIPGGDRSTPAAVGAMEDKSAEHKRTQYSCYCCKLSMKEGDPAIYAERAGYDKLWHPACFVCSTCHELLVDMIYFWKNEKLYCGRHYCDSEKPRCAGCDELIFSNEYTQAENQNWHLKHFCCFDCDSILAGEIYVMVNDKPVCKPCYVKNHAVVCQGCHNAIDPEVQRVTYNNFSWHASTECFLCSCCSKCLIGQKFMPVEGMVFCSVECKKRMS
+>DECOY_sp|Q9UGI8|TES_HUMAN Testin OS=Homo sapiens OX=9606 GN=TES PE=1 SV=1
+SMRKKCEVSCFVMGEVPMFKQGILCKSCCSCLFCETSAHWSFNNYTVRQVEPDIANHCGQCVVAHNKVYCPKCVPKDNVMVYIEGALISDCDFCCFHKLHWNQNEAQTYENSFILEDCGACRPKESDCYHRGCYLKENKWFYIMDVLLEHCTSCVFCAPHWLKDYGAREAYIAPDGEKMSLKCCYCSYQTRKHEASKDEMAGVAAPTSRDGGPINMQKPGQADMECPLKVDGVGLAESKYKKVFQEMEKVERPSLEHCKSPDQDHAPLQKALQKKRYQAGESGAVPQKEKPLMQMYQRALAQNQVPPAWEYTVTNISVNKKAAVPNTLIMVNRKYMPIGDSKLKAILTTYKTDEFLKGVKRDEENSLLVDHEEQGCKCNRCIKRWFHLEFGECKEKCKLCPAGFGQEHGLGMKKVKNELDM
+>sp|Q6N021|TET2_HUMAN Methylcytosine dioxygenase TET2 OS=Homo sapiens OX=9606 GN=TET2 PE=1 SV=3
+MEQDRTNHVEGNRLSPFLIPSPPICQTEPLATKLQNGSPLPERAHPEVNGDTKWHSFKSYYGIPCMKGSQNSRVSPDFTQESRGYSKCLQNGGIKRTVSEPSLSGLLQIKKLKQDQKANGERRNFGVSQERNPGESSQPNVSDLSDKKESVSSVAQENAVKDFTSFSTHNCSGPENPELQILNEQEGKSANYHDKNIVLLKNKAVLMPNGATVSASSVEHTHGELLEKTLSQYYPDCVSIAVQKTTSHINAINSQATNELSCEITHPSHTSGQINSAQTSNSELPPKPAAVVSEACDADDADNASKLAAMLNTCSFQKPEQLQQQKSVFEICPSPAENNIQGTTKLASGEEFCSGSSSNLQAPGGSSERYLKQNEMNGAYFKQSSVFTKDSFSATTTPPPPSQLLLSPPPPLPQVPQLPSEGKSTLNGGVLEEHHHYPNQSNTTLLREVKIEGKPEAPPSQSPNPSTHVCSPSPMLSERPQNNCVNRNDIQTAGTMTVPLCSEKTRPMSEHLKHNPPIFGSSGELQDNCQQLMRNKEQEILKGRDKEQTRDLVPPTQHYLKPGWIELKAPRFHQAESHLKRNEASLPSILQYQPNLSNQMTSKQYTGNSNMPGGLPRQAYTQKTTQLEHKSQMYQVEMNQGQSQGTVDQHLQFQKPSHQVHFSKTDHLPKAHVQSLCGTRFHFQQRADSQTEKLMSPVLKQHLNQQASETEPFSNSHLLQHKPHKQAAQTQPSQSSHLPQNQQQQQKLQIKNKEEILQTFPHPQSNNDQQREGSFFGQTKVEECFHGENQYSKSSEFETHNVQMGLEEVQNINRRNSPYSQTMKSSACKIQVSCSNNTHLVSENKEQTTHPELFAGNKTQNLHHMQYFPNNVIPKQDLLHRCFQEQEQKSQQASVLQGYKNRNQDMSGQQAAQLAQQRYLIHNHANVFPVPDQGGSHTQTPPQKDTQKHAALRWHLLQKQEQQQTQQPQTESCHSQMHRPIKVEPGCKPHACMHTAPPENKTWKKVTKQENPPASCDNVQQKSIIETMEQHLKQFHAKSLFDHKALTLKSQKQVKVEMSGPVTVLTRQTTAAELDSHTPALEQQTTSSEKTPTKRTAASVLNNFIESPSKLLDTPIKNLLDTPVKTQYDFPSCRCVEQIIEKDEGPFYTHLGAGPNVAAIREIMEERFGQKGKAIRIERVIYTGKEGKSSQGCPIAKWVVRRSSSEEKLLCLVRERAGHTCEAAVIVILILVWEGIPLSLADKLYSELTETLRKYGTLTNRRCALNEERTCACQGLDPETCGASFSFGCSWSMYYNGCKFARSKIPRKFKLLGDDPKEEEKLESHLQNLSTLMAPTYKKLAPDAYNNQIEYEHRAPECRLGLKEGRPFSGVTACLDFCAHAHRDLHNMQNGSTLVCTLTREDNREFGGKPEDEQLHVLPLYKVSDVDEFGSVEAQEEKKRSGAIQVLSSFRRKVRMLAEPVKTCRQRKLEAKKAAAEKLSSLENSSNKNEKEKSAPSRTKQTENASQAKQLAELLRLSGPVMQQSQQPQPLQKQPPQPQQQQRPQQQQPHHPQTESVNSYSASGSTNPYMRRPNPVSPYPNSSHTSDIYGSTSPMNFYSTSSQAAGSYLNSSNPMNPYPGLLNQNTQYPSYQCNGNLSVDNCSPYLGSYSPQSQPMDLYRYPSQDPLSKLSLPPIHTLYQPRFGNSQSFTSKYLGYGNQNMQGDGFSSCTIRPNVHHVGKLPPYPTHEMDGHFMGATSRLPPNLSNPNMDYKNGEHHSPSHIIHNYSAAPGMFNSSLHALHLQNKENDMLSHTANGLSKMLPALNHDRTACVQGGLHKLSDANGQEKQPLALVQGVASGAEDNDEVWSDSEQSFLDPDIGGVAVAPTHGSILIECAKRELHATTPLKNPNRNHPTRISLVFYQHKSMNEPKHGLALWEAKMAEKAREKEEECEKYGPDYVPQKSHGKKVKREPAEPHETSEPTYLRFIKSLAERTMSVTTDSTVTTSPYAFTRVTGPYNRYI
+>DECOY_sp|Q6N021|TET2_HUMAN Methylcytosine dioxygenase TET2 OS=Homo sapiens OX=9606 GN=TET2 PE=1 SV=3
+IYRNYPGTVRTFAYPSTTVTSDTTVSMTREALSKIFRLYTPESTEHPEAPERKVKKGHSKQPVYDPGYKECEEEKERAKEAMKAEWLALGHKPENMSKHQYFVLSIRTPHNRNPNKLPTTAHLERKACEILISGHTPAVAVGGIDPDLFSQESDSWVEDNDEAGSAVGQVLALPQKEQGNADSLKHLGGQVCATRDHNLAPLMKSLGNATHSLMDNEKNQLHLAHLSSNFMGPAASYNHIIHSPSHHEGNKYDMNPNSLNPPLRSTAGMFHGDMEHTPYPPLKGVHHVNPRITCSSFGDGQMNQNGYGLYKSTFSQSNGFRPQYLTHIPPLSLKSLPDQSPYRYLDMPQSQPSYSGLYPSCNDVSLNGNCQYSPYQTNQNLLGPYPNMPNSSNLYSGAAQSSTSYFNMPSTSGYIDSTHSSNPYPSVPNPRRMYPNTSGSASYSNVSETQPHHPQQQQPRQQQQPQPPQKQLPQPQQSQQMVPGSLRLLEALQKAQSANETQKTRSPASKEKENKNSSNELSSLKEAAAKKAELKRQRCTKVPEALMRVKRRFSSLVQIAGSRKKEEQAEVSGFEDVDSVKYLPLVHLQEDEPKGGFERNDERTLTCVLTSGNQMNHLDRHAHACFDLCATVGSFPRGEKLGLRCEPARHEYEIQNNYADPALKKYTPAMLTSLNQLHSELKEEEKPDDGLLKFKRPIKSRAFKCGNYYMSWSCGFSFSAGCTEPDLGQCACTREENLACRRNTLTGYKRLTETLESYLKDALSLPIGEWVLILIVIVAAECTHGARERVLCLLKEESSSRRVVWKAIPCGQSSKGEKGTYIVREIRIAKGKQGFREEMIERIAAVNPGAGLHTYFPGEDKEIIQEVCRCSPFDYQTKVPTDLLNKIPTDLLKSPSEIFNNLVSAATRKTPTKESSTTQQELAPTHSDLEAATTQRTLVTVPGSMEVKVQKQSKLTLAKHDFLSKAHFQKLHQEMTEIISKQQVNDCSAPPNEQKTVKKWTKNEPPATHMCAHPKCGPEVKIPRHMQSHCSETQPQQTQQQEQKQLLHWRLAAHKQTDKQPPTQTHSGGQDPVPFVNAHNHILYRQQALQAAQQGSMDQNRNKYGQLVSAQQSKQEQEQFCRHLLDQKPIVNNPFYQMHHLNQTKNGAFLEPHTTQEKNESVLHTNNSCSVQIKCASSKMTQSYPSNRRNINQVEELGMQVNHTEFESSKSYQNEGHFCEEVKTQGFFSGERQQDNNSQPHPFTQLIEEKNKIQLKQQQQQNQPLHSSQSPQTQAAQKHPKHQLLHSNSFPETESAQQNLHQKLVPSMLKETQSDARQQFHFRTGCLSQVHAKPLHDTKSFHVQHSPKQFQLHQDVTGQSQGQNMEVQYMQSKHELQTTKQTYAQRPLGGPMNSNGTYQKSTMQNSLNPQYQLISPLSAENRKLHSEAQHFRPAKLEIWGPKLYHQTPPVLDRTQEKDRGKLIEQEKNRMLQQCNDQLEGSSGFIPPNHKLHESMPRTKESCLPVTMTGATQIDNRNVCNNQPRESLMPSPSCVHTSPNPSQSPPAEPKGEIKVERLLTTNSQNPYHHHEELVGGNLTSKGESPLQPVQPLPPPPSLLLQSPPPPTTTASFSDKTFVSSQKFYAGNMENQKLYRESSGGPAQLNSSSGSCFEEGSALKTTGQINNEAPSPCIEFVSKQQQLQEPKQFSCTNLMAALKSANDADDADCAESVVAAPKPPLESNSTQASNIQGSTHSPHTIECSLENTAQSNIANIHSTTKQVAISVCDPYYQSLTKELLEGHTHEVSSASVTAGNPMLVAKNKLLVINKDHYNASKGEQENLIQLEPNEPGSCNHTSFSTFDKVANEQAVSSVSEKKDSLDSVNPQSSEGPNREQSVGFNRREGNAKQDQKLKKIQLLGSLSPESVTRKIGGNQLCKSYGRSEQTFDPSVRSNQSGKMCPIGYYSKFSHWKTDGNVEPHAREPLPSGNQLKTALPETQCIPPSPILFPSLRNGEVHNTRDQEM
+>sp|Q96A09|TET5B_HUMAN Terminal nucleotidyltransferase 5B OS=Homo sapiens OX=9606 GN=TENT5B PE=1 SV=2
+MMPSESGAERRDRAAAQVGTAAATAVATAAPAGGGPDPEALSAFPGRHLSGLSWPQVKRLDALLSEPIPIHGRGNFPTLSVQPRQIVQVVRSTLEEQGLHVHSVRLHGSAASHVLHPESGLGYKDLDLVFRVDLRSEASFQLTKAVVLACLLDFLPAGVSRAKITPLTLKEAYVQKLVKVCTDSDRWSLISLSNKSGKNVELKFVDSVRRQFEFSIDSFQIILDSLLLFGQCSSTPMSEAFHPTVTGESLYGDFTEALEHLRHRVIATRSPEEIRGGGLLKYCHLLVRGFRPRPSTDVRALQRYMCSRFFIDFPDLVEQRRTLERYLEAHFGGADAARRYACLVTLHRVVNESTVCLMNHERRQTLDLIAALALQALAEQGPAATAALAWRPPGTDGVVPATVNYYVTPVQPLLAHAYPTWLPCN
+>DECOY_sp|Q96A09|TET5B_HUMAN Terminal nucleotidyltransferase 5B OS=Homo sapiens OX=9606 GN=TENT5B PE=1 SV=2
+NCPLWTPYAHALLPQVPTVYYNVTAPVVGDTGPPRWALAATAAPGQEALAQLALAAILDLTQRREHNMLCVTSENVVRHLTVLCAYRRAADAGGFHAELYRELTRRQEVLDPFDIFFRSCMYRQLARVDTSPRPRFGRVLLHCYKLLGGGRIEEPSRTAIVRHRLHELAETFDGYLSEGTVTPHFAESMPTSSCQGFLLLSDLIIQFSDISFEFQRRVSDVFKLEVNKGSKNSLSILSWRDSDTCVKVLKQVYAEKLTLPTIKARSVGAPLFDLLCALVVAKTLQFSAESRLDVRFVLDLDKYGLGSEPHLVHSAASGHLRVSHVHLGQEELTSRVVQVIQRPQVSLTPFNGRGHIPIPESLLADLRKVQPWSLGSLHRGPFASLAEPDPGGGAPAATAVATAAATGVQAAARDRREAGSESPMM
+>sp|Q9NXF1|TEX10_HUMAN Testis-expressed protein 10 OS=Homo sapiens OX=9606 GN=TEX10 PE=1 SV=2
+MTKKRKRQHDFQKVKLKVGKKKPKLQNATPTNFKTKTIHLPEQLKEDGTLPTNNRKLNIKDLLSQMHHYNAGVKQSALLGLKDLLSQYPFIIDAHLSNILSEVTAVFTDKDANVRLAAVQLLQFLAPKIRAEQISPFFPLVSAHLSSAMTHITEGIQEDSLKVLDILLEQYPALITGRSSILLKNFVELISHQQLSKGLINRDRSQSWILSVNPNRRLTSQQWRLKVLVRLSKFLQALADGSSRLRESEGLQEQKENPHATSNSIFINWKEHANDQQHIQVYENGGSQPNVSSQFRLRYLVGGLSGVDEGLSSTENLKGFIEIIIPLLIECWVEAVPPQLATPVGNGIEREPLQVMQQVLNIISLLWKLSKQQDETHKLESWLRKNYLIDFKHHFMSRFPYVLKEITKHKRKEPNKSIKHCTVLSNNIDHLLLNLTLSDIMVSLANASTLQKDCSWIEMIRKFVTETLEDGSRLNSKQLNRLLGVSWRLMQIQPNREDTETLIKAVYTLYQQRGLILPVRTLLLKFFSKIYQTEELRSCRFRYRSKVLSRWLAGLPLQLAHLGSRNPELSTQLIDIIHTAAARANKELLKSLQATALRIYDPQEGAVVVLPADSQQRLVQLVYFLPSLPADLLSRLSRCCIMGRLSSSLAAMLIGILHMRSSFSGWKYSAKDWLMSDVDYFSFLFSTLTGFSKEELTWLQSLRGVPHVIQTQLSPVLLYLTDLDQFLHHWDVTEAVFHSLLVIPARSQNFDILQSAISKHLVGLTVIPDSTAGCVFGVICKLLDHTCVVSETLLPFLASCCYSLLYFLLTIEKGEAEHLRKRDKLWGVCVSILALLPRVLRLMLQSLRVNRVGPEELPVVGQLLRLLLQHAPLRTHMLTNAILVQQIIKNITTLKSGSVQEQWLTDLHYCFNVYITGHPQGPSALATVY
+>DECOY_sp|Q9NXF1|TEX10_HUMAN Testis-expressed protein 10 OS=Homo sapiens OX=9606 GN=TEX10 PE=1 SV=2
+YVTALASPGQPHGTIYVNFCYHLDTLWQEQVSGSKLTTINKIIQQVLIANTLMHTRLPAHQLLLRLLQGVVPLEEPGVRNVRLSQLMLRLVRPLLALISVCVGWLKDRKRLHEAEGKEITLLFYLLSYCCSALFPLLTESVVCTHDLLKCIVGFVCGATSDPIVTLGVLHKSIASQLIDFNQSRAPIVLLSHFVAETVDWHHLFQDLDTLYLLVPSLQTQIVHPVGRLSQLWTLEEKSFGTLTSFLFSFYDVDSMLWDKASYKWGSFSSRMHLIGILMAALSSSLRGMICCRSLRSLLDAPLSPLFYVLQVLRQQSDAPLVVVAGEQPDYIRLATAQLSKLLEKNARAAATHIIDILQTSLEPNRSGLHALQLPLGALWRSLVKSRYRFRCSRLEETQYIKSFFKLLLTRVPLILGRQQYLTYVAKILTETDERNPQIQMLRWSVGLLRNLQKSNLRSGDELTETVFKRIMEIWSCDKQLTSANALSVMIDSLTLNLLLHDINNSLVTCHKISKNPEKRKHKTIEKLVYPFRSMFHHKFDILYNKRLWSELKHTEDQQKSLKWLLSIINLVQQMVQLPEREIGNGVPTALQPPVAEVWCEILLPIIIEIFGKLNETSSLGEDVGSLGGVLYRLRFQSSVNPQSGGNEYVQIHQQDNAHEKWNIFISNSTAHPNEKQEQLGESERLRSSGDALAQLFKSLRVLVKLRWQQSTLRRNPNVSLIWSQSRDRNILGKSLQQHSILEVFNKLLISSRGTILAPYQELLIDLVKLSDEQIGETIHTMASSLHASVLPFFPSIQEARIKPALFQLLQVAALRVNADKDTFVATVESLINSLHADIIFPYQSLLDKLGLLASQKVGANYHHMQSLLDKINLKRNNTPLTGDEKLQEPLHITKTKFNTPTANQLKPKKKGVKLKVKQFDHQRKRKKTM
+>sp|A0A1B0GUA7|TEX51_HUMAN Testis-expressed protein 51 OS=Homo sapiens OX=9606 GN=TEX51 PE=3 SV=1
+MLPLLIICLLPAIEGKNCLRCWPELSALIDYDLQILWVTPGPPTELSQNRDHLEEETAKFFTQVHQAIKTLRDDKTVLLEEIYTHKNLFTERLNKISDGLKEKDIQSTLKVTSCADCRTHFLSCNDPTFCPARNRRTSLWAVSLSSALLLAIAGDVSFTGKGRRRQ
+>DECOY_sp|A0A1B0GUA7|TEX51_HUMAN Testis-expressed protein 51 OS=Homo sapiens OX=9606 GN=TEX51 PE=3 SV=1
+QRRRGKGTFSVDGAIALLLASSLSVAWLSTRRNRAPCFTPDNCSLFHTRCDACSTVKLTSQIDKEKLGDSIKNLRETFLNKHTYIEELLVTKDDRLTKIAQHVQTFFKATEEELHDRNQSLETPPGPTVWLIQLDYDILASLEPWCRLCNKGEIAPLLCIILLPLM
+>sp|Q00403|TF2B_HUMAN Transcription initiation factor IIB OS=Homo sapiens OX=9606 GN=GTF2B PE=1 SV=1
+MASTSRLDALPRVTCPNHPDAILVEDYRAGDMICPECGLVVGDRVIDVGSEWRTFSNDKATKDPSRVGDSQNPLLSDGDLSTMIGKGTGAASFDEFGNSKYQNRRTMSSSDRAMMNAFKEITTMADRINLPRNIVDRTNNLFKQVYEQKSLKGRANDAIASACLYIACRQEGVPRTFKEICAVSRISKKEIGRCFKLILKALETSVDLITTGDFMSRFCSNLCLPKQVQMAATHIARKAVELDLVPGRSPISVAAAAIYMASQASAEKRTQKEIGDIAGVADVTIRQSYRLIYPRAPDLFPTDFKFDTPVDKLPQL
+>DECOY_sp|Q00403|TF2B_HUMAN Transcription initiation factor IIB OS=Homo sapiens OX=9606 GN=GTF2B PE=1 SV=1
+LQPLKDVPTDFKFDTPFLDPARPYILRYSQRITVDAVGAIDGIEKQTRKEASAQSAMYIAAAAVSIPSRGPVLDLEVAKRAIHTAAMQVQKPLCLNSCFRSMFDGTTILDVSTELAKLILKFCRGIEKKSIRSVACIEKFTRPVGEQRCAIYLCASAIADNARGKLSKQEYVQKFLNNTRDVINRPLNIRDAMTTIEKFANMMARDSSSMTRRNQYKSNGFEDFSAAGTGKGIMTSLDGDSLLPNQSDGVRSPDKTAKDNSFTRWESGVDIVRDGVVLGCEPCIMDGARYDEVLIADPHNPCTVRPLADLRSTSAM
+>sp|Q6ZYL4|TF2H5_HUMAN General transcription factor IIH subunit 5 OS=Homo sapiens OX=9606 GN=GTF2H5 PE=1 SV=1
+MVNVLKGVLIECDPAMKQFLLYLDESNALGKKFIIQDIDDTHVFVIAELVNVLQERVGELMDQNAFSLTQK
+>DECOY_sp|Q6ZYL4|TF2H5_HUMAN General transcription factor IIH subunit 5 OS=Homo sapiens OX=9606 GN=GTF2H5 PE=1 SV=1
+KQTLSFANQDMLEGVREQLVNVLEAIVFVHTDDIDQIIFKKGLANSEDLYLLFQKMAPDCEILVGKLVNVM
+>sp|Q8WUA4|TF3C2_HUMAN General transcription factor 3C polypeptide 2 OS=Homo sapiens OX=9606 GN=GTF3C2 PE=1 SV=2
+MDTCGVGYVALGEAGPVGNMTVVDSPGQEVLNQLDVKTSSEMTSAEASVEMSLPTPLPGFEDSPDQRRLPPEQESLSRLEQPDLSSEMSKVSKPRASKPGRKRGGRTRKGPKRPQQPNPPSAPLVPGLLDQSNPLSTPMPKKRGRKSKAELLLLKLSKDLDRPESQSPKRPPEDFETPSGERPRRRAAQVALLYLQELAEELSTALPAPVSCPEGPKVSSPTKPKKIRQPAACPGGEEVDGAPRDEDFFLQVEAEDVEESEGPSESSSEPEPVVPRSTPRGSTSGKQKPHCRGMAPNGLPNHIMAPVWKCLHLTKDFREQKHSYWEFAEWIPLAWKWHLLSELEAAPYLPQEEKSPLFSVQREGLPEDGTLYRINRFSSITAHPERWDVSFFTGGPLWALDWCPVPEGAGASQYVALFSSPDMNETHPLSQLHSGPGLLQLWGLGTLQQESCPGNRAHFVYGIACDNGCIWDLKFCPSGAWELPGTPRKAPLLPRLGLLALACSDGKVLLFSLPHPEALLAQQPPDAVKPAIYKVQCVATLQVGSMQATDPSECGQCLSLAWMPTRPHQHLAAGYYNGMVVFWNLPTNSPLQRIRLSDGSLKLYPFQCFLAHDQAVRTLQWCKANSHFLVSAGSDRKIKFWDLRRPYEPINSIKRFLSTELAWLLPYNGVTVAQDNCYASYGLCGIHYIDAGYLGFKAYFTAPRKGTVWSLSGSDWLGTIAAGDISGELIAAILPDMALNPINVKRPVERRFPIYKADLIPYQDSPEGPDHSSASSGVPNPPKARTYTETVNHHYLLFQDTDLGSFHDLLRREPMLRMQEGEGHSQLCLDRLQLEAIHKVRFSPNLDSYGWLVSGGQSGLVRIHFVRGLASPLGHRMQLESRAHFNAMFQPSSPTRRPGFSPTSHRLLPTP
+>DECOY_sp|Q8WUA4|TF3C2_HUMAN General transcription factor 3C polypeptide 2 OS=Homo sapiens OX=9606 GN=GTF3C2 PE=1 SV=2
+PTPLLRHSTPSFGPRRTPSSPQFMANFHARSELQMRHGLPSALGRVFHIRVLGSQGGSVLWGYSDLNPSFRVKHIAELQLRDLCLQSHGEGEQMRLMPERRLLDHFSGLDTDQFLLYHHNVTETYTRAKPPNPVGSSASSHDPGEPSDQYPILDAKYIPFRREVPRKVNIPNLAMDPLIAAILEGSIDGAAITGLWDSGSLSWVTGKRPATFYAKFGLYGADIYHIGCLGYSAYCNDQAVTVGNYPLLWALETSLFRKISNIPEYPRRLDWFKIKRDSGASVLFHSNAKCWQLTRVAQDHALFCQFPYLKLSGDSLRIRQLPSNTPLNWFVVMGNYYGAALHQHPRTPMWALSLCQGCESPDTAQMSGVQLTAVCQVKYIAPKVADPPQQALLAEPHPLSFLLVKGDSCALALLGLRPLLPAKRPTGPLEWAGSPCFKLDWICGNDCAIGYVFHARNGPCSEQQLTGLGWLQLLGPGSHLQSLPHTENMDPSSFLAVYQSAGAGEPVPCWDLAWLPGGTFFSVDWREPHATISSFRNIRYLTGDEPLGERQVSFLPSKEEQPLYPAAELESLLHWKWALPIWEAFEWYSHKQERFDKTLHLCKWVPAMIHNPLGNPAMGRCHPKQKGSTSGRPTSRPVVPEPESSSESPGESEEVDEAEVQLFFDEDRPAGDVEEGGPCAAPQRIKKPKTPSSVKPGEPCSVPAPLATSLEEALEQLYLLAVQAARRRPREGSPTEFDEPPRKPSQSEPRDLDKSLKLLLLEAKSKRGRKKPMPTSLPNSQDLLGPVLPASPPNPQQPRKPGKRTRGGRKRGPKSARPKSVKSMESSLDPQELRSLSEQEPPLRRQDPSDEFGPLPTPLSMEVSAEASTMESSTKVDLQNLVEQGPSDVVTMNGVPGAEGLAVYGVGCTDM
+>sp|Q9Y5Q9|TF3C3_HUMAN General transcription factor 3C polypeptide 3 OS=Homo sapiens OX=9606 GN=GTF3C3 PE=1 SV=1
+MSGFSPELIDYLEGKISFEEFERRREERKTREKKSLQEKGKLSAEENPDDSEVPSSSGINSTKSQDKDVNEGETSDGVRKSVHKVFASMLGENEDDEEEEEEEEEEEEEEETPEQPTAGDVFVLEMVLNRETKKMMKEKRPRSKLPRALRGLMGEANIRFARGEREEAILMCMEIIRQAPLAYEPFSTLAMIYEDQGDMEKSLQFELIAAHLNPSDTEEWVRLAEMSLEQDNIKQAIFCYTKALKYEPTNVRYLWERSSLYEQMGDHKMAMDGYRRILNLLSPSDGERFMQLARDMAKSYYEANDVTSAINIIDEAFSKHQGLVSMEDVNIAAELYISNKQYDKALEIITDFSGIVLEKKTSEEGTSEENKAPENVTCTIPDGVPIDITVKLMVCLVHLNILEPLNPLLTTLVEQNPEDMGDLYLDVAEAFLDVGEYNSALPLLSALVCSERYNLAVVWLRHAECLKALGYMERAAESYGKVVDLAPLHLDARISLSTLQQQLGQPEKALEALEPMYDPDTLAQDANAAQQELKLLLHRSTLLFSQGKMYGYVDTLLTMLAMLLKVAMNRAQVCLISSSKSGERHLYLIKVSRDKISDSNDQESANCDAKAIFAVLTSVLTKDDWWNLLLKAIYSLCDLSRFQEAELLVDSSLEYYSFYDDRQKRKELEYFGLSAAILDKNFRKAYNYIRIMVMENVNKPQLWNIFNQVTMHSQDVRHHRFCLRLMLKNPENHALCVLNGHNAFVSGSFKHALGQYVQAFRTHPDEPLYSFCIGLTFIHMASQKYVLRRHALIVQGFSFLNRYLSLRGPCQESFYNLGRGLHQLGLIHLAIHYYQKALELPPLVVEGIELDQLDLRRDIAYNLSLIYQSSGNTGMAQTLLYTYCSI
+>DECOY_sp|Q9Y5Q9|TF3C3_HUMAN General transcription factor 3C polypeptide 3 OS=Homo sapiens OX=9606 GN=GTF3C3 PE=1 SV=1
+ISCYTYLLTQAMGTNGSSQYILSLNYAIDRRLDLQDLEIGEVVLPPLELAKQYYHIALHILGLQHLGRGLNYFSEQCPGRLSLYRNLFSFGQVILAHRRLVYKQSAMHIFTLGICFSYLPEDPHTRFAQVYQGLAHKFSGSVFANHGNLVCLAHNEPNKLMLRLCFRHHRVDQSHMTVQNFINWLQPKNVNEMVMIRIYNYAKRFNKDLIAASLGFYELEKRKQRDDYFSYYELSSDVLLEAEQFRSLDCLSYIAKLLLNWWDDKTLVSTLVAFIAKADCNASEQDNSDSIKDRSVKILYLHREGSKSSSILCVQARNMAVKLLMALMTLLTDVYGYMKGQSFLLTSRHLLLKLEQQAANADQALTDPDYMPELAELAKEPQGLQQQLTSLSIRADLHLPALDVVKGYSEAAREMYGLAKLCEAHRLWVVALNYRESCVLASLLPLASNYEGVDLFAEAVDLYLDGMDEPNQEVLTTLLPNLPELINLHVLCVMLKVTIDIPVGDPITCTVNEPAKNEESTGEESTKKELVIGSFDTIIELAKDYQKNSIYLEAAINVDEMSVLGQHKSFAEDIINIASTVDNAEYYSKAMDRALQMFREGDSPSLLNLIRRYGDMAMKHDGMQEYLSSREWLYRVNTPEYKLAKTYCFIAQKINDQELSMEALRVWEETDSPNLHAAILEFQLSKEMDGQDEYIMALTSFPEYALPAQRIIEMCMLIAEEREGRAFRINAEGMLGRLARPLKSRPRKEKMMKKTERNLVMELVFVDGATPQEPTEEEEEEEEEEEEEEEDDENEGLMSAFVKHVSKRVGDSTEGENVDKDQSKTSNIGSSSPVESDDPNEEASLKGKEQLSKKERTKREERRREFEEFSIKGELYDILEPSFGSM
+>sp|Q9Y5Q8|TF3C5_HUMAN General transcription factor 3C polypeptide 5 OS=Homo sapiens OX=9606 GN=GTF3C5 PE=1 SV=2
+MAAEAADLGLGAAVPVELRRERRMVCVEYPGVVRDVAKMLPTLGGEEGVSRIYADPTKRLELYFRPKDPYCHPVCANRFSTSSLLLRIRKRTRRQKGVLGTEAHSEVTFDMEILGIISTIYKFQGMSDFQYLAVHTEAGGKHTSMYDKVLMLRPEKEAFFHQELPLYIPPPIFSRLDAPVDYFYRPETQHREGYNNPPISGENLIGLSRARRPHNAIFVNFEDEEVPKQPLEAAAQTWRRVCTNPVDRKVEEELRKLFDIRPIWSRNAVKANISVHPDKLKVLLPFIAYYMITGPWRSLWIRFGYDPRKNPDAKIYQVLDFRIRCGMKHGYAPSDLPVKAKRSTYNYSLPITVKKTSSQLVTMHDLKQGLGPSGTSGARKPASSKYKLKDSVYIFREGALPPYRQMFYQLCDLNVEELQKIIHRNDGAENSCTERDGWCLPKTSDELRDTMSLMIRQTIRSKRPALFSSSAKADGGKEQLTYESGEDEEDEEEEEEEEEDFKPSDGSENEMETEILDYV
+>DECOY_sp|Q9Y5Q8|TF3C5_HUMAN General transcription factor 3C polypeptide 5 OS=Homo sapiens OX=9606 GN=GTF3C5 PE=1 SV=2
+VYDLIETEMENESGDSPKFDEEEEEEEEEDEEDEGSEYTLQEKGGDAKASSSFLAPRKSRITQRIMLSMTDRLEDSTKPLCWGDRETCSNEAGDNRHIIKQLEEVNLDCLQYFMQRYPPLAGERFIYVSDKLKYKSSAPKRAGSTGSPGLGQKLDHMTVLQSSTKKVTIPLSYNYTSRKAKVPLDSPAYGHKMGCRIRFDLVQYIKADPNKRPDYGFRIWLSRWPGTIMYYAIFPLLVKLKDPHVSINAKVANRSWIPRIDFLKRLEEEVKRDVPNTCVRRWTQAAAELPQKPVEEDEFNVFIANHPRRARSLGILNEGSIPPNNYGERHQTEPRYFYDVPADLRSFIPPPIYLPLEQHFFAEKEPRLMLVKDYMSTHKGGAETHVALYQFDSMGQFKYITSIIGLIEMDFTVESHAETGLVGKQRRTRKRIRLLLSSTSFRNACVPHCYPDKPRFYLELRKTPDAYIRSVGEEGGLTPLMKAVDRVVGPYEVCVMRRERRLEVPVAAGLGLDAAEAAM
+>sp|Q9H5Q4|TFB2M_HUMAN Dimethyladenosine transferase 2, mitochondrial OS=Homo sapiens OX=9606 GN=TFB2M PE=1 SV=1
+MWIPVVGLPRRLRLSALAGAGRFCILGSEAATRKHLPARNHCGLSDSSPQLWPEPDFRNPPRKASKASLDFKRYVTDRRLAETLAQIYLGKPSRPPHLLLECNPGPGILTQALLEAGAKVVALESDKTFIPHLESLGKNLDGKLRVIHCDFFKLDPRSGGVIKPPAMSSRGLFKNLGIEAVPWTADIPLKVVGMFPSRGEKRALWKLAYDLYSCTSIYKFGRIEVNMFIGEKEFQKLMADPGNPDLYHVLSVIWQLACEIKVLHMEPWSSFDIYTRKGPLENPKRRELLDQLQQKLYLIQMIPRQNLFTKNLTPMNYNIFFHLLKHCFGRRSATVIDHLRSLTPLDARDILMQIGKQEDEKVVNMHPQDFKTLFETIERSKDCAYKWLYDETLEDR
+>DECOY_sp|Q9H5Q4|TFB2M_HUMAN Dimethyladenosine transferase 2, mitochondrial OS=Homo sapiens OX=9606 GN=TFB2M PE=1 SV=1
+RDELTEDYLWKYACDKSREITEFLTKFDQPHMNVVKEDEQKGIQMLIDRADLPTLSRLHDIVTASRRGFCHKLLHFFINYNMPTLNKTFLNQRPIMQILYLKQQLQDLLERRKPNELPGKRTYIDFSSWPEMHLVKIECALQWIVSLVHYLDPNGPDAMLKQFEKEGIFMNVEIRGFKYISTCSYLDYALKWLARKEGRSPFMGVVKLPIDATWPVAEIGLNKFLGRSSMAPPKIVGGSRPDLKFFDCHIVRLKGDLNKGLSELHPIFTKDSELAVVKAGAELLAQTLIGPGPNCELLLHPPRSPKGLYIQALTEALRRDTVYRKFDLSAKSAKRPPNRFDPEPWLQPSSDSLGCHNRAPLHKRTAAESGLICFRGAGALASLRLRRPLGVVPIWM
+>sp|Q9H5L6|THAP9_HUMAN DNA transposase THAP9 OS=Homo sapiens OX=9606 GN=THAP9 PE=1 SV=2
+MTRSCSAVGCSTRDTVLSRERGLSFHQFPTDTIQRSKWIRAVNRVDPRSKKIWIPGPGAILCSKHFQESDFESYGIRRKLKKGAVPSVSLYKIPQGVHLKGKARQKILKQPLPDNSQEVATEDHNYSLKTPLTIGAEKLAEVQQMLQVSKKRLISVKNYRMIKKRKGLRLIDALVEEKLLSEETECLLRAQFSDFKWELYNWRETDEYSAEMKQFACTLYLCSSKVYDYVRKILKLPHSSILRTWLSKCQPSPGFNSNIFSFLQRRVENGDQLYQYCSLLIKSMPLKQQLQWDPSSHSLQGFMDFGLGKLDADETPLASETVLLMAVGIFGHWRTPLGYFFVNRASGYLQAQLLRLTIGKLSDIGITVLAVTSDATAHSVQMAKALGIHIDGDDMKCTFQHPSSSSQQIAYFFDSCHLLRLIRNAFQNFQSIQFINGIAHWQHLVELVALEEQELSNMERIPSTLANLKNHVLKVNSATQLFSESVASALEYLLSLDLPPFQNCIGTIHFLRLINNLFDIFNSRNCYGKGLKGPLLPETYSKINHVLIEAKTIFVTLSDTSNNQIIKGKQKLGFLGFLLNAESLKWLYQNYVFPKVMPFPYLLTYKFSHDHLELFLKMLRQVLVTSSSPTCMAFQKAYYNLETRYKFQDEVFLSKVSIFDISIARRKDLALWTVQRQYGVSVTKTVFHEEGICQDWSHCSLSEALLDLSDHRRNLICYAGYVANKLSALLTCEDCITALYASDLKASKIGSLLFVKKKNGLHFPSESLCRVINICERVVRTHSRMAIFELVSKQRELYLQQKILCELSGHINLFVDVNKHLFDGEVCAINHFVKLLKDIIICFLNIRAKNVAQNPLKHHSERTDMKTLSRKHWSSVQDYKCSSFANTSSKFRHLLSNDGYPFK
+>DECOY_sp|Q9H5L6|THAP9_HUMAN DNA transposase THAP9 OS=Homo sapiens OX=9606 GN=THAP9 PE=1 SV=2
+KFPYGDNSLLHRFKSSTNAFSSCKYDQVSSWHKRSLTKMDTRESHHKLPNQAVNKARINLFCIIIDKLLKVFHNIACVEGDFLHKNVDVFLNIHGSLECLIKQQLYLERQKSVLEFIAMRSHTRVVRECINIVRCLSESPFHLGNKKKVFLLSGIKSAKLDSAYLATICDECTLLASLKNAVYGAYCILNRRHDSLDLLAESLSCHSWDQCIGEEHFVTKTVSVGYQRQVTWLALDKRRAISIDFISVKSLFVEDQFKYRTELNYYAKQFAMCTPSSSTVLVQRLMKLFLELHDHSFKYTLLYPFPMVKPFVYNQYLWKLSEANLLFGLFGLKQKGKIIQNNSTDSLTVFITKAEILVHNIKSYTEPLLPGKLGKGYCNRSNFIDFLNNILRLFHITGICNQFPPLDLSLLYELASAVSESFLQTASNVKLVHNKLNALTSPIREMNSLEQEELAVLEVLHQWHAIGNIFQISQFNQFANRILRLLHCSDFFYAIQQSSSSPHQFTCKMDDGDIHIGLAKAMQVSHATADSTVALVTIGIDSLKGITLRLLQAQLYGSARNVFFYGLPTRWHGFIGVAMLLVTESALPTEDADLKGLGFDMFGQLSHSSPDWQLQQKLPMSKILLSCYQYLQDGNEVRRQLFSFINSNFGPSPQCKSLWTRLISSHPLKLIKRVYDYVKSSCLYLTCAFQKMEASYEDTERWNYLEWKFDSFQARLLCETEESLLKEEVLADILRLGKRKKIMRYNKVSILRKKSVQLMQQVEALKEAGITLPTKLSYNHDETAVEQSNDPLPQKLIKQRAKGKLHVGQPIKYLSVSPVAGKKLKRRIGYSEFDSEQFHKSCLIAGPGPIWIKKSRPDVRNVARIWKSRQITDTPFQHFSLGRERSLVTDRTSCGVASCSRTM
+>sp|P09110|THIK_HUMAN 3-ketoacyl-CoA thiolase, peroxisomal OS=Homo sapiens OX=9606 GN=ACAA1 PE=1 SV=2
+MQRLQVVLGHLRGPADSGWMPQAAPCLSGAPQASAADVVVVHGRRTAICRAGRGGFKDTTPDELLSAVMTAVLKDVNLRPEQLGDICVGNVLQPGAGAIMARIAQFLSDIPETVPLSTVNRQCSSGLQAVASIAGGIRNGSYDIGMACGVESMSLADRGNPGNITSRLMEKEKARDCLIPMGITSENVAERFGISREKQDTFALASQQKAARAQSKGCFQAEIVPVTTTVHDDKGTKRSITVTQDEGIRPSTTMEGLAKLKPAFKKDGSTTAGNSSQVSDGAAAILLARRSKAEELGLPILGVLRSYAVVGVPPDIMGIGPAYAIPVALQKAGLTVSDVDIFEINEAFASQAAYCVEKLRLPPEKVNPLGGAVALGHPLGCTGARQVITLLNELKRRGKRAYGVVSMCIGTGMGAAAVFEYPGN
+>DECOY_sp|P09110|THIK_HUMAN 3-ketoacyl-CoA thiolase, peroxisomal OS=Homo sapiens OX=9606 GN=ACAA1 PE=1 SV=2
+NGPYEFVAAAGMGTGICMSVVGYARKGRRKLENLLTIVQRAGTCGLPHGLAVAGGLPNVKEPPLRLKEVCYAAQSAFAENIEFIDVDSVTLGAKQLAVPIAYAPGIGMIDPPVGVVAYSRLVGLIPLGLEEAKSRRALLIAAAGDSVQSSNGATTSGDKKFAPKLKALGEMTTSPRIGEDQTVTISRKTGKDDHVTTTVPVIEAQFCGKSQARAAKQQSALAFTDQKERSIGFREAVNESTIGMPILCDRAKEKEMLRSTINGPNGRDALSMSEVGCAMGIDYSGNRIGGAISAVAQLGSSCQRNVTSLPVTEPIDSLFQAIRAMIAGAGPQLVNGVCIDGLQEPRLNVDKLVATMVASLLEDPTTDKFGGRGARCIATRRGHVVVVDAASAQPAGSLCPAAQPMWGSDAPGRLHGLVVQLRQM
+>sp|P24752|THIL_HUMAN Acetyl-CoA acetyltransferase, mitochondrial OS=Homo sapiens OX=9606 GN=ACAT1 PE=1 SV=1
+MAVLAALLRSGARSRSPLLRRLVQEIRYVERSYVSKPTLKEVVIVSATRTPIGSFLGSLSLLPATKLGSIAIQGAIEKAGIPKEEVKEAYMGNVLQGGEGQAPTRQAVLGAGLPISTPCTTINKVCASGMKAIMMASQSLMCGHQDVMVAGGMESMSNVPYVMNRGSTPYGGVKLEDLIVKDGLTDVYNKIHMGSCAENTAKKLNIARNEQDAYAINSYTRSKAAWEAGKFGNEVIPVTVTVKGQPDVVVKEDEEYKRVDFSKVPKLKTVFQKENGTVTAANASTLNDGAAALVLMTADAAKRLNVTPLARIVAFADAAVEPIDFPIAPVYAASMVLKDVGLKKEDIAMWEVNEAFSLVVLANIKMLEIDPQKVNINGGAVSLGHPIGMSGARIVGHLTHALKQGEYGLASICNGGGGASAMLIQKL
+>DECOY_sp|P24752|THIL_HUMAN Acetyl-CoA acetyltransferase, mitochondrial OS=Homo sapiens OX=9606 GN=ACAT1 PE=1 SV=1
+LKQILMASAGGGGNCISALGYEGQKLAHTLHGVIRAGSMGIPHGLSVAGGNINVKQPDIELMKINALVVLSFAENVEWMAIDEKKLGVDKLVMSAAYVPAIPFDIPEVAADAFAVIRALPTVNLRKAADATMLVLAAAGDNLTSANAATVTGNEKQFVTKLKPVKSFDVRKYEEDEKVVVDPQGKVTVTVPIVENGFKGAEWAAKSRTYSNIAYADQENRAINLKKATNEACSGMHIKNYVDTLGDKVILDELKVGGYPTSGRNMVYPVNSMSEMGGAVMVDQHGCMLSQSAMMIAKMGSACVKNITTCPTSIPLGAGLVAQRTPAQGEGGQLVNGMYAEKVEEKPIGAKEIAGQIAISGLKTAPLLSLSGLFSGIPTRTASVIVVEKLTPKSVYSREVYRIEQVLRRLLPSRSRAGSRLLAALVAM
+>sp|O76070|SYUG_HUMAN Gamma-synuclein OS=Homo sapiens OX=9606 GN=SNCG PE=1 SV=2
+MDVFKKGFSIAKEGVVGAVEKTKQGVTEAAEKTKEGVMYVGAKTKENVVQSVTSVAEKTKEQANAVSEAVVSSVNTVATKTVEEAENIAVTSGVVRKEDLRPSAPQQEGEASKEKEEVAEEAQSGGD
+>DECOY_sp|O76070|SYUG_HUMAN Gamma-synuclein OS=Homo sapiens OX=9606 GN=SNCG PE=1 SV=2
+DGGSQAEEAVEEKEKSAEGEQQPASPRLDEKRVVGSTVAINEAEEVTKTAVTNVSSVVAESVANAQEKTKEAVSTVSQVVNEKTKAGVYMVGEKTKEAAETVGQKTKEVAGVVGEKAISFGKKFVDM
+>sp|Q9UGM6|SYWM_HUMAN Tryptophan--tRNA ligase, mitochondrial OS=Homo sapiens OX=9606 GN=WARS2 PE=1 SV=1
+MALHSMRKARERWSFIRALHKGSAAAPALQKDSKKRVFSGIQPTGILHLGNYLGAIESWVRLQDEYDSVLYSIVDLHSITVPQDPAVLRQSILDMTAVLLACGINPEKSILFQQSQVSEHTQLSWILSCMVRLPRLQHLHQWKAKTTKQKHDGTVGLLTYPVLQAADILLYKSTHVPVGEDQVQHMELVQDLAQGFNKKYGEFFPVPESILTSMKKVKSLRDPSAKMSKSDPDKLATVRITDSPEEIVQKFRKAVTDFTSEVTYDPAGRAGVSNIVAVHAAVTGLSVEEVVRRSAGMNTARYKLAVADAVIEKFAPIKREIEKLKLDKDHLEKVLQIGSAKAKELAYTVCQEVKKLVGFL
+>DECOY_sp|Q9UGM6|SYWM_HUMAN Tryptophan--tRNA ligase, mitochondrial OS=Homo sapiens OX=9606 GN=WARS2 PE=1 SV=1
+LFGVLKKVEQCVTYALEKAKASGIQLVKELHDKDLKLKEIERKIPAFKEIVADAVALKYRATNMGASRRVVEEVSLGTVAAHVAVINSVGARGAPDYTVESTFDTVAKRFKQVIEEPSDTIRVTALKDPDSKSMKASPDRLSKVKKMSTLISEPVPFFEGYKKNFGQALDQVLEMHQVQDEGVPVHTSKYLLIDAAQLVPYTLLGVTGDHKQKTTKAKWQHLHQLRPLRVMCSLIWSLQTHESVQSQQFLISKEPNIGCALLVATMDLISQRLVAPDQPVTISHLDVISYLVSDYEDQLRVWSEIAGLYNGLHLIGTPQIGSFVRKKSDKQLAPAAASGKHLARIFSWRERAKRMSHLAM
+>sp|Q7Z422|SZRD1_HUMAN SUZ domain-containing protein 1 OS=Homo sapiens OX=9606 GN=SZRD1 PE=1 SV=1
+MEDEEVAESWEEAADSGEIDRRLEKKLKITQKESRKSKSPPKVPIVIQDDSLPAGPPPQIRILKRPTSNGVVSSPNSTSRPTLPVKSLAQREAEYAEARKRILGSASPEEEQEKPILDRPTRISQPEDSRQPNNVIRQPLGPDGSQGFKQRR
+>DECOY_sp|Q7Z422|SZRD1_HUMAN SUZ domain-containing protein 1 OS=Homo sapiens OX=9606 GN=SZRD1 PE=1 SV=1
+RRQKFGQSGDPGLPQRIVNNPQRSDEPQSIRTPRDLIPKEQEEEPSASGLIRKRAEAYEAERQALSKVPLTPRSTSNPSSVVGNSTPRKLIRIQPPPGAPLSDDQIVIPVKPPSKSKRSEKQTIKLKKELRRDIEGSDAAEEWSEAVEEDEM
+>sp|Q3SY00|T10IP_HUMAN Testis-specific protein 10-interacting protein OS=Homo sapiens OX=9606 GN=TSGA10IP PE=1 SV=2
+MGQDTDMLNTYQQLVRTPSVRPGQDVRLQAPGTRTGLLKLLSTVSQDKQGCLGSGDGVPNQDLQQRSQSSRQTAKKDRKPRGQSKKGQGSEESEDHFPLLPRKPSFPFQWAWESIATDVRAVLQPSSPTPGHQALPMPSSFSQRQSRRKSTANLPEAHGCCWKTEAQNLKARQQLGAWGGVSIPTGKGELGSEPPSGLQLPGRRPGSGSASDKQVQLQSLGAEEAERGLSSGVLPQRPRRGSISEEEQFSEATEEAEEGEHRTPCRRRAGCQRKGQISGEEASDEGEVQGQSQGSSPSFNNLRRRQWRKTRAKELQGPWDLEKLHRQLQRDLDCGPQKLPWKTLRAAFQASKRNGKAYASGYDETFVSANLPNRTFHKRQEATRSLLQAWERQRQEERQQAELRRARTQHVQRQVAHCLAAYAPRGSRGPGAAQRKLEELRRQERQRFAEYQAELQGIQHRVQARPFLFQQAMQANARLTVTRRFSQVLSALGLDEEQLLSEAGKVDREGTPRKPRSHRSMGVRMEHSPQRPPRTEPTGSQPDRHYNPSLDPECSP
+>DECOY_sp|Q3SY00|T10IP_HUMAN Testis-specific protein 10-interacting protein OS=Homo sapiens OX=9606 GN=TSGA10IP PE=1 SV=2
+PSCEPDLSPNYHRDPQSGTPETRPPRQPSHEMRVGMSRHSRPKRPTGERDVKGAESLLQEEDLGLASLVQSFRRTVTLRANAQMAQQFLFPRAQVRHQIGQLEAQYEAFRQREQRRLEELKRQAAGPGRSGRPAYAALCHAVQRQVHQTRARRLEAQQREEQRQREWAQLLSRTAEQRKHFTRNPLNASVFTEDYGSAYAKGNRKSAQFAARLTKWPLKQPGCDLDRQLQRHLKELDWPGQLEKARTKRWQRRRLNNFSPSSGQSQGQVEGEDSAEEGSIQGKRQCGARRRCPTRHEGEEAEETAESFQEEESISGRRPRQPLVGSSLGREAEEAGLSQLQVQKDSASGSGPRRGPLQLGSPPESGLEGKGTPISVGGWAGLQQRAKLNQAETKWCCGHAEPLNATSKRRSQRQSFSSPMPLAQHGPTPSSPQLVARVDTAISEWAWQFPFSPKRPLLPFHDESEESGQGKKSQGRPKRDKKATQRSSQSRQQLDQNPVGDGSGLCGQKDQSVTSLLKLLGTRTGPAQLRVDQGPRVSPTRVLQQYTNLMDTDQGM
+>sp|Q8N4U5|T11L2_HUMAN T-complex protein 11-like protein 2 OS=Homo sapiens OX=9606 GN=TCP11L2 PE=2 SV=1
+MPFNGEKQCVGEDQPSDSDSSRFSESMASLSDYECSRQSFASDSSSKSSSPASTSPPRVVTFDEVMATARNLSNLTLAHEIAVNENFQLKQEALPEKSLAGRVKHIVHQAFWDVLDSELNADPPEFEHAIKLFEEIREILLSFLTPGGNRLRNQICEVLDTDLIRQQAEHSAVDIQGLANYVISTMGKLCAPVRDNDIRELKATGNIVEVLRQIFHVLDLMQMDMANFTIMSLRPHLQRQLVEYERTKFQEILEETPSALDQTTEWIKESVNEELFSLSESALTPGAENTSKPSLSPTLVLNNSYLKLLQWDYQKKELPETLMTDGARLQELTEKLNQLKIIACLSLITNNMVGAITGGLPELASRLTRISAVLLEGMNKETFNLKEVLNSIGIQTCVEVNKTLMERGLPTLNAEIQANLIGQFSSIEEEDNPIWSLIDKRIKLYMRRLLCLPSPQKCMPPMPGGLAVIQQELEALGSQYANIVNLNKQVYGPFYANILRKLLFNEEAMGKVDASPPTN
+>DECOY_sp|Q8N4U5|T11L2_HUMAN T-complex protein 11-like protein 2 OS=Homo sapiens OX=9606 GN=TCP11L2 PE=2 SV=1
+NTPPSADVKGMAEENFLLKRLINAYFPGYVQKNLNVINAYQSGLAELEQQIVALGGPMPPMCKQPSPLCLLRRMYLKIRKDILSWIPNDEEEISSFQGILNAQIEANLTPLGREMLTKNVEVCTQIGISNLVEKLNFTEKNMGELLVASIRTLRSALEPLGGTIAGVMNNTILSLCAIIKLQNLKETLEQLRAGDTMLTEPLEKKQYDWQLLKLYSNNLVLTPSLSPKSTNEAGPTLASESLSFLEENVSEKIWETTQDLASPTEELIEQFKTREYEVLQRQLHPRLSMITFNAMDMQMLDLVHFIQRLVEVINGTAKLERIDNDRVPACLKGMTSIVYNALGQIDVASHEAQQRILDTDLVECIQNRLRNGGPTLFSLLIERIEEFLKIAHEFEPPDANLESDLVDWFAQHVIHKVRGALSKEPLAEQKLQFNENVAIEHALTLNSLNRATAMVEDFTVVRPPSTSAPSSSKSSSDSAFSQRSCEYDSLSAMSESFRSSDSDSPQDEGVCQKEGNFPM
+>sp|Q9H061|T126A_HUMAN Transmembrane protein 126A OS=Homo sapiens OX=9606 GN=TMEM126A PE=1 SV=1
+MENHKSNNKENITIVDISRKINQLPEAERNLLENGSVYVGLNAALCGLIANSLFRRILNVTKARIAAGLPMAGIPFLTTDLTYRCFVSFPLNTGDLDCETCTITRSGLTGLVIGGLYPVFLAIPVNGGLAARYQSALLPHKGNILSYWIRTSKPVFRKMLFPILLQTMFSAYLGSEQYKLLIKALQLSEPGKEIH
+>DECOY_sp|Q9H061|T126A_HUMAN Transmembrane protein 126A OS=Homo sapiens OX=9606 GN=TMEM126A PE=1 SV=1
+HIEKGPESLQLAKILLKYQESGLYASFMTQLLIPFLMKRFVPKSTRIWYSLINGKHPLLASQYRAALGGNVPIALFVPYLGGIVLGTLGSRTITCTECDLDGTNLPFSVFCRYTLDTTLFPIGAMPLGAAIRAKTVNLIRRFLSNAILGCLAANLGVYVSGNELLNREAEPLQNIKRSIDVITINEKNNSKHNEM
+>sp|A2VDJ0|T131L_HUMAN Transmembrane protein 131-like OS=Homo sapiens OX=9606 GN=TMEM131L PE=1 SV=2
+MAGLRRPQPGCYCRTAAAVNLLLGVFQVLLPCCRPGGAQGQAIEPLPNVVELWQAEEGELLLPTQGDSEEGLEEPSQEQSFSDKLFSGKGLHFQPSVLDFGIQFLGHPVAKILHAYNPSRDSEVVVNSVFAAAGHFHVPPVPCRVIPAMGKTSFRIIFLPTEEGSIESSLFINTSSYGVLSYHVSGIGTRRISTEGSAKQLPNAYFLLPKVQSIQLSQMQAETTNTSLLQVQLECSLHNKVCQQLKGCYLESDDVLRLQMSIMVTMENFSKEFEENTQHLLDHLSIVYVATDESETSDDSAVNMYILHSGNSLIWIQDIRHFSQRDALSLQFEPVLLPTSTTNFTKIASFTCKATSCDSGIIEDVKKTTHTPTLKACLFSSVAQGYFRMDSSATQFHIETHENTSGLWSIWYRNHFDRSVVLNDVFLSKETKHMLKILNFTGPLFLPPGCWNIFSLKLAVKDIAINLFTNVFLTTNIGAIFAIPLQIYSAPTKEGSLGFEVIAHCGMHYFMGKSKAGNPNWNGSLSLDQSTWNVDSELANKLYERWKKYKNGDVCKRNVLGTTRFAHLKKSKESESFVFFLPRLIAEPGLMLNFSATALRSRMIKYFVVQNPSSWPVSLQLLPLSLYPKPEALVHLLHRWFGTDMQMINFTTGEFQLTEACPYLGTHSEESRFGILHLHLQPLEMKRVGVVFTPADYGKVTSLILIRNNLTVIDMIGVEGFGARELLKVGGRLPGAGGSLRFKVPESTLMDCRRQLKDSKQILSITKNFKVENIGPLPITVSSLKINGYNCQGYGFEVLDCHQFSLDPNTSRDISIVFTPDFTSSWVIRDLSLVTAADLEFRFTLNVTLPHHLLPLCADVVPGPSWEESFWRLTVFFVSLSLLGVILIAFQQAQYILMEFMKTRQRQNASSSSQQNNGPMDVISPHSYKSNCKNFLDTYGPSDKGRGKNCLPVNTPQSRIQNAAKRSPATYGHSQKKHKCSVYYSKHKTSTAAASSTSTTTEEKQTSPLGSSLPAAKEDICTDAMRENWISLRYASGINVNLQKNLTLPKNLLNKEENTLKNTIVFSNPSSECSMKEGIQTCMFPKETDIKTSENTAEFKERELCPLKTSKKLPENHLPRNSPQYHQPDLPEISRKNNGNNQQVPVKNEVDHCENLKKVDTKPSSEKKIHKTSREDMFSEKQDIPFVEQEDPYRKKKLQEKREGNLQNLNWSKSRTCRKNKKRGVAPVSRPPEQSDLKLVCSDFERSELSSDINVRSWCIQESTREVCKADAEIASSLPAAQREAEGYYQKPEKKCVDKFCSDSSSDCGSSSGSVRASRGSWGSWSSTSSSDGDKKPMVDAQHFLPAGDSVSQNDFPSEAPISLNLSHNICNPMTVNSLPQYAEPSCPSLPAGPTGVEEDKGLYSPGDLWPTPPVCVTSSLNCTLENGVPCVIQESAPVHNSFIDWSATCEGQFSSAYCPLELNDYNAFPEENMNYANGFPCPADVQTDFIDHNSQSTWNTPPNMPAAWGHASFISSPPYLTSTRSLSPMSGLFGSIWAPQSDVYENCCPINPTTEHSTHMENQAVVCKEYYPGFNPFRAYMNLDIWTTTANRNANFPLSRDSSYCGNV
+>DECOY_sp|A2VDJ0|T131L_HUMAN Transmembrane protein 131-like OS=Homo sapiens OX=9606 GN=TMEM131L PE=1 SV=2
+VNGCYSSDRSLPFNANRNATTTWIDLNMYARFPNFGPYYEKCVVAQNEMHTSHETTPNIPCCNEYVDSQPAWISGFLGSMPSLSRTSTLYPPSSIFSAHGWAAPMNPPTNWTSQSNHDIFDTQVDAPCPFGNAYNMNEEPFANYDNLELPCYASSFQGECTASWDIFSNHVPASEQIVCPVGNELTCNLSSTVCVPPTPWLDGPSYLGKDEEVGTPGAPLSPCSPEAYQPLSNVTMPNCINHSLNLSIPAESPFDNQSVSDGAPLFHQADVMPKKDGDSSSTSSWSGWSGRSARVSGSSSGCDSSSDSCFKDVCKKEPKQYYGEAERQAAPLSSAIEADAKCVERTSEQICWSRVNIDSSLESREFDSCVLKLDSQEPPRSVPAVGRKKNKRCTRSKSWNLNQLNGERKEQLKKKRYPDEQEVFPIDQKESFMDERSTKHIKKESSPKTDVKKLNECHDVENKVPVQQNNGNNKRSIEPLDPQHYQPSNRPLHNEPLKKSTKLPCLEREKFEATNESTKIDTEKPFMCTQIGEKMSCESSPNSFVITNKLTNEEKNLLNKPLTLNKQLNVNIGSAYRLSIWNERMADTCIDEKAAPLSSGLPSTQKEETTTSTSSAAATSTKHKSYYVSCKHKKQSHGYTAPSRKAANQIRSQPTNVPLCNKGRGKDSPGYTDLFNKCNSKYSHPSIVDMPGNNQQSSSSANQRQRTKMFEMLIYQAQQFAILIVGLLSLSVFFVTLRWFSEEWSPGPVVDACLPLLHHPLTVNLTFRFELDAATVLSLDRIVWSSTFDPTFVISIDRSTNPDLSFQHCDLVEFGYGQCNYGNIKLSSVTIPLPGINEVKFNKTISLIQKSDKLQRRCDMLTSEPVKFRLSGGAGPLRGGVKLLERAGFGEVGIMDIVTLNNRILILSTVKGYDAPTFVVGVRKMELPQLHLHLIGFRSEESHTGLYPCAETLQFEGTTFNIMQMDTGFWRHLLHVLAEPKPYLSLPLLQLSVPWSSPNQVVFYKIMRSRLATASFNLMLGPEAILRPLFFVFSESEKSKKLHAFRTTGLVNRKCVDGNKYKKWREYLKNALESDVNWTSQDLSLSGNWNPNGAKSKGMFYHMGCHAIVEFGLSGEKTPASYIQLPIAFIAGINTTLFVNTFLNIAIDKVALKLSFINWCGPPLFLPGTFNLIKLMHKTEKSLFVDNLVVSRDFHNRYWISWLGSTNEHTEIHFQTASSDMRFYGQAVSSFLCAKLTPTHTTKKVDEIIGSDCSTAKCTFSAIKTFNTTSTPLLVPEFQLSLADRQSFHRIDQIWILSNGSHLIYMNVASDDSTESEDTAVYVISLHDLLHQTNEEFEKSFNEMTVMISMQLRLVDDSELYCGKLQQCVKNHLSCELQVQLLSTNTTEAQMQSLQISQVKPLLFYANPLQKASGETSIRRTGIGSVHYSLVGYSSTNIFLSSEISGEETPLFIIRFSTKGMAPIVRCPVPPVHFHGAAAFVSNVVVESDRSPNYAHLIKAVPHGLFQIGFDLVSPQFHLGKGSFLKDSFSQEQSPEELGEESDGQTPLLLEGEEAQWLEVVNPLPEIAQGQAGGPRCCPLLVQFVGLLLNVAAATRCYCGPQPRRLGAM
+>sp|Q14C87|T132D_HUMAN Transmembrane protein 132D OS=Homo sapiens OX=9606 GN=TMEM132D PE=1 SV=1
+MCPSEMGTLWHHWSPVLISLAALFSKVTEGRGILESIQRFSLLPTYLPVTYHINNADVSFFLKEANQDIMRNSSLQSRVESFLIYKSRRLPVLNASYGPFSIEQVVPQDLMLPSNPFGFTNKFSLNWKLKAHILRDKVYLSRPKVQVLFHIMGRDWDDRSAGEKLPCLRVFAFRETREVRGSCRLQGDLGLCVAELELLSSWFSPPTVVAGRRKSVDQPEGTPVELYYTVHPGGERGDCVREDARRSNGIRTGHSDIDESGPPLQRIGSIFLYQTHRKPSLRELRLDNSVAIHYIPKTVRKGDVLTFPVSISRNSTEDRFTLRAKVKKGVNIIGVRASSPSIWDVKERTDYTGKYAPAVIVCQKKAAGSENSADGASYEVMQIDVEVEEPGDLPATQLVTWQVEYPGEITSDLGVSKIYVSPKDLIGVVPLAMEAEILNTAILTGKTVAVPVKVVSVEDDGTVTELLESVECRSSDEDVIKVSDRCDYVFVNGKEMKGKVNVVVNFTYQHLSSPLEMTVWVPRLPLQIEVSDTELNQIKGWRVPIVSSRRPAGDSEEEEDDERRGRGCTLQYQHAMVRVLTQFVAEAAGPGGHLAHLLGSDWQVDITELINDFMQVEEPRIAKLQGGQILMGQELGMTTIQILSPLSDTILAEKTITVLDEKVTITDLGVQLVTGLSLSLQLSPGSNRAIFATAVAQELLQRPKQEAAISCWVQFSDGSVTPLDIYDGKDFSLMATSLDEKVVSIHQDPKFKWPIIAAETEGQGTLVKVEMVISESCQKSKRKSVLAVGTANIKVKFGQNDANPNTSDSRHTGAGVHMENNVSDRRPKKPSQEWGSQEGQYYGSSSMGLMEGRGTTTDRSILQKKKGQESLLDDNSHLQTIPSDLTSFPAQVDLPRSNGEMDGNDLMQASKGLSDLEIGMYALLGVFCLAILVFLINCVTFALKYRHKQVPFEEQEGMSHSHDWVGLSNRTELLENHINFASSQDEQITAIDRGMDFEESKYLLSTNSQKSINGQLFKPLGPIIIDGKDQKSEPPTSPTSKRKRVKFTTFTAVSSDDEYPTRNSIVMSSEDDIKWVCQDLDPGDCKELHNYMERLHENV
+>DECOY_sp|Q14C87|T132D_HUMAN Transmembrane protein 132D OS=Homo sapiens OX=9606 GN=TMEM132D PE=1 SV=1
+VNEHLREMYNHLEKCDGPDLDQCVWKIDDESSMVISNRTPYEDDSSVATFTTFKVRKRKSTPSTPPESKQDKGDIIIPGLPKFLQGNISKQSNTSLLYKSEEFDMGRDIATIQEDQSSAFNIHNELLETRNSLGVWDHSHSMGEQEEFPVQKHRYKLAFTVCNILFVLIALCFVGLLAYMGIELDSLGKSAQMLDNGDMEGNSRPLDVQAPFSTLDSPITQLHSNDDLLSEQGKKKQLISRDTTTGRGEMLGMSSSGYYQGEQSGWEQSPKKPRRDSVNNEMHVGAGTHRSDSTNPNADNQGFKVKINATGVALVSKRKSKQCSESIVMEVKVLTGQGETEAAIIPWKFKPDQHISVVKEDLSTAMLSFDKGDYIDLPTVSGDSFQVWCSIAAEQKPRQLLEQAVATAFIARNSGPSLQLSLSLGTVLQVGLDTITVKEDLVTITKEALITDSLPSLIQITTMGLEQGMLIQGGQLKAIRPEEVQMFDNILETIDVQWDSGLLHALHGGPGAAEAVFQTLVRVMAHQYQLTCGRGRREDDEEEESDGAPRRSSVIPVRWGKIQNLETDSVEIQLPLRPVWVTMELPSSLHQYTFNVVVNVKGKMEKGNVFVYDCRDSVKIVDEDSSRCEVSELLETVTGDDEVSVVKVPVAVTKGTLIATNLIEAEMALPVVGILDKPSVYIKSVGLDSTIEGPYEVQWTVLQTAPLDGPEEVEVDIQMVEYSAGDASNESGAAKKQCVIVAPAYKGTYDTREKVDWISPSSARVGIINVGKKVKARLTFRDETSNRSISVPFTLVDGKRVTKPIYHIAVSNDLRLERLSPKRHTQYLFISGIRQLPPGSEDIDSHGTRIGNSRRADERVCDGREGGPHVTYYLEVPTGEPQDVSKRRGAVVTPPSFWSSLLELEAVCLGLDGQLRCSGRVERTERFAFVRLCPLKEGASRDDWDRGMIHFLVQVKPRSLYVKDRLIHAKLKWNLSFKNTFGFPNSPLMLDQPVVQEISFPGYSANLVPLRRSKYILFSEVRSQLSSNRMIDQNAEKLFFSVDANNIHYTVPLYTPLLSFRQISELIGRGETVKSFLAALSILVPSWHHWLTGMESPCM
+>sp|A6NC51|T150B_HUMAN Modulator of macroautophagy TMEM150B OS=Homo sapiens OX=9606 GN=TMEM150B PE=2 SV=1
+MWGYLSLMPVFLAVWAISGVWIVFAIAVTNRTVDLSKGFPYISICGSFPPQSCIFSQVLNMGAALAAWICIVRYHQLRDWGVRRWPNQLILWTGLLCALGTSVVGNFQEKNQRPTHLAGAFLAFILGNVYFWLQLLLWRLKRLPQPGAAWIGPLRLGLCSVCTILIVAMIVLHACSLRSVSAACEWVVAMLLFALFGLLAVDFSALESCTLCVQPWPSLSPPPASPISLPVQL
+>DECOY_sp|A6NC51|T150B_HUMAN Modulator of macroautophagy TMEM150B OS=Homo sapiens OX=9606 GN=TMEM150B PE=2 SV=1
+LQVPLSIPSAPPPSLSPWPQVCLTCSELASFDVALLGFLAFLLMAVVWECAASVSRLSCAHLVIMAVILITCVSCLGLRLPGIWAAGPQPLRKLRWLLLQLWFYVNGLIFALFAGALHTPRQNKEQFNGVVSTGLACLLGTWLILQNPWRRVGWDRLQHYRVICIWAALAAGMNLVQSFICSQPPFSGCISIYPFGKSLDVTRNTVAIAFVIWVGSIAWVALFVPMLSLYGWM
+>sp|B9EJG8|T150C_HUMAN Transmembrane protein 150C OS=Homo sapiens OX=9606 GN=TMEM150C PE=2 SV=1
+MDGKKCSVWMFLPLVFTLFTSAGLWIVYFIAVEDDKILPLNSAERKPGVKHAPYISIAGDDPPASCVFSQVMNMAAFLALVVAVLRFIQLKPKVLNPWLNISGLVALCLASFGMTLLGNFQLTNDEEIHNVGTSLTFGFGTLTCWIQAALTLKVNIKNEGRRVGIPRVILSASITLCVVLYFILMAQSIHMYAARVQWGLVMCFLSYFGTFAVEFRHYRYEIVCSEYQENFLSFSESLSEASEYQTDQV
+>DECOY_sp|B9EJG8|T150C_HUMAN Transmembrane protein 150C OS=Homo sapiens OX=9606 GN=TMEM150C PE=2 SV=1
+VQDTQYESAESLSESFSLFNEQYESCVIEYRYHRFEVAFTGFYSLFCMVLGWQVRAAYMHISQAMLIFYLVVCLTISASLIVRPIGVRRGENKINVKLTLAAQIWCTLTGFGFTLSTGVNHIEEDNTLQFNGLLTMGFSALCLAVLGSINLWPNLVKPKLQIFRLVAVVLALFAAMNMVQSFVCSAPPDDGAISIYPAHKVGPKREASNLPLIKDDEVAIFYVIWLGASTFLTFVLPLFMWVSCKKGDM
+>sp|Q8NBL3|T178A_HUMAN Transmembrane protein 178A OS=Homo sapiens OX=9606 GN=TMEM178A PE=2 SV=1
+MEPRALVTALSLGLSLCSLGLLVTAIFTDHWYETDPRRHKESCERSRAGADPPDQKNRLMPLSHLPLRDSPPLGRRLLPGGPGRADPESWRSLLGLGGLDAECGRPLFATYSGLWRKCYFLGIDRDIDTLILKGIAQRCTAIKYHFSQPIRLRNIPFNLTKTIQQDEWHLLHLRRITAGFLGMAVAVLLCGCIVATVSFFWEESLTQHVAGLLFLMTGIFCTISLCTYAASISYDLNRLPKLIYSLPADVEHGYSWSIFCAWCSLGFIVAAGGLCIAYPFISRTKIAQLKSGRDSTV
+>DECOY_sp|Q8NBL3|T178A_HUMAN Transmembrane protein 178A OS=Homo sapiens OX=9606 GN=TMEM178A PE=2 SV=1
+VTSDRGSKLQAIKTRSIFPYAICLGGAAVIFGLSCWACFISWSYGHEVDAPLSYILKPLRNLDYSISAAYTCLSITCFIGTMLFLLGAVHQTLSEEWFFSVTAVICGCLLVAVAMGLFGATIRRLHLLHWEDQQITKTLNFPINRLRIPQSFHYKIATCRQAIGKLILTDIDRDIGLFYCKRWLGSYTAFLPRGCEADLGGLGLLSRWSEPDARGPGGPLLRRGLPPSDRLPLHSLPMLRNKQDPPDAGARSRECSEKHRRPDTEYWHDTFIATVLLGLSCLSLGLSLATVLARPEM
+>sp|H3BS89|T178B_HUMAN Transmembrane protein 178B OS=Homo sapiens OX=9606 GN=TMEM178B PE=2 SV=1
+MAAGRLLLYTGLSLALCALGMLAVAICSDHWYETDARKHRDRCKAFNTRRVDPGFIYNNNNNLPLRASRSRLDRWEGKLLRARNRRQLFAMSPADECSRQYNSTNMGLWRKCHRQGFDPEIAALIRKGEIERCTYIKYHYSSATIPRNLTFNITKTIRQDEWHALHLRRMTAGFMGMAVAIILFGWIIGVLGCCWDRGLMQYVAGLLFLMGGTFCIISLCTCVAGINFELSRYPRYLYGLPDDISHGYGWSMFCAWGGLGLTLISGFFCTLAPSVQPVPRTNYPKSRPENGTVC
+>DECOY_sp|H3BS89|T178B_HUMAN Transmembrane protein 178B OS=Homo sapiens OX=9606 GN=TMEM178B PE=2 SV=1
+CVTGNEPRSKPYNTRPVPQVSPALTCFFGSILTLGLGGWACFMSWGYGHSIDDPLGYLYRPYRSLEFNIGAVCTCLSIICFTGGMLFLLGAVYQMLGRDWCCGLVGIIWGFLIIAVAMGMFGATMRRLHLAHWEDQRITKTINFTLNRPITASSYHYKIYTCREIEGKRILAAIEPDFGQRHCKRWLGMNTSNYQRSCEDAPSMAFLQRRNRARLLKGEWRDLRSRSARLPLNNNNNYIFGPDVRRTNFAKCRDRHKRADTEYWHDSCIAVALMGLACLALSLGTYLLLRGAAM
+>sp|Q6ZVK1|T179A_HUMAN Transmembrane protein 179 OS=Homo sapiens OX=9606 GN=TMEM179 PE=2 SV=2
+MALNNFLFAQCACYFLAFLFSFVVVVPLSENGHDFRGRCLLFTEGMWLSANLTVQERERFTVQEWGPPAACRFSLLASLLSLLLAAAHAWRTLFFLCKGHEGSFFSAFLNLLVSAFVVFLVFIASTIVSVGFTMWCDTITEKGTVPHSCEELQDIDLELGVDNSAFYDQFAIAQFGLWASWLAWLAITTLAFLKVYHNYRQEDLLDSLIHEKELLLARPSPRTSFQEEKSAVI
+>DECOY_sp|Q6ZVK1|T179A_HUMAN Transmembrane protein 179 OS=Homo sapiens OX=9606 GN=TMEM179 PE=2 SV=2
+IVASKEEQFSTRPSPRALLLEKEHILSDLLDEQRYNHYVKLFALTTIALWALWSAWLGFQAIAFQDYFASNDVGLELDIDQLEECSHPVTGKETITDCWMTFGVSVITSAIFVLFVVFASVLLNLFASFFSGEHGKCLFFLTRWAHAAALLLSLLSALLSFRCAAPPGWEQVTFREREQVTLNASLWMGETFLLCRGRFDHGNESLPVVVVFSFLFALFYCACQAFLFNNLAM
+>sp|Q8IXX5|T183A_HUMAN Transmembrane protein 183A OS=Homo sapiens OX=9606 GN=TMEM183A PE=1 SV=2
+MARGPGPLGRPRPDTVAMPKRGKRLKFRAHDACSGRVTVADYANSDPAVVRSGRVKKAVANAVQQEVKSLCGLEASQVPAEEALSGAGEPCDIIDSSDEMDAQEESIHERTVSRKKKSKRHKEELDGAGGEEYPMDIWLLLASYIRPEDIVNFSLICKNAWTVTCTAAFWTRLYRRHYTLDASLPLRLRPESMEKLRCLRACVIRSLYHMYEPFAARISKNPAIPESTPSTLKNSKCLLFWCRKIVGNRQEPMWEFNFKFKKQSPRLKSKCTGGLQPPVQYEDVHTNPDQDCCLLQVTTLNFIFIPIVMGMIFTLFTINVSTDMRHHRVRLVFQDSPVHGGRKLRSEQGVQVILDPVHSVRLFDWWHPQYPFSLRA
+>DECOY_sp|Q8IXX5|T183A_HUMAN Transmembrane protein 183A OS=Homo sapiens OX=9606 GN=TMEM183A PE=1 SV=2
+ARLSFPYQPHWWDFLRVSHVPDLIVQVGQESRLKRGGHVPSDQFVLRVRHHRMDTSVNITFLTFIMGMVIPIFIFNLTTVQLLCCDQDPNTHVDEYQVPPQLGGTCKSKLRPSQKKFKFNFEWMPEQRNGVIKRCWFLLCKSNKLTSPTSEPIAPNKSIRAAFPEYMHYLSRIVCARLCRLKEMSEPRLRLPLSADLTYHRRYLRTWFAATCTVTWANKCILSFNVIDEPRIYSALLLWIDMPYEEGGAGDLEEKHRKSKKKRSVTREHISEEQADMEDSSDIIDCPEGAGSLAEEAPVQSAELGCLSKVEQQVANAVAKKVRGSRVVAPDSNAYDAVTVRGSCADHARFKLRKGRKPMAVTDPRPRGLPGPGRAM
+>sp|Q6ZMB5|T184A_HUMAN Transmembrane protein 184A OS=Homo sapiens OX=9606 GN=TMEM184A PE=1 SV=1
+MSNVSGILETAGVPLVSANWPQPSPPPAVPAGPQMDHMGNSSQGAPWLFLTSALARGVSGIFVWTALVLTCHQIYLHLRSYTVPQEQRYIIRLLLIVPIYAFDSWLSLLLLGDHQYYVYFDSVRDCYEAFVIYSFLSLCFQYLGGEGAIMAEIRGKPIKSSCLYGTCCLRGMTYSIGFLRFCKQATLQFCLVKPVMAVTTIILQAFGKYHDGDFNVRSGYLYVTLIYNASVSLALYALFLFYFTTRELLRPFQPVLKFLTIKAVIFLSFWQGLLLAILERCGVIPEVETSGGNKLGAGTLAAGYQNFIICVEMLFASVALRYAFPCQVYAEKKENSPAPPAPMQSISSGIRETVSPQDIVQDAIHNFSPAYQHYTQQATHEAPRPGTHPSGGSGGSRKSRSLEKRMLIPSEDL
+>DECOY_sp|Q6ZMB5|T184A_HUMAN Transmembrane protein 184A OS=Homo sapiens OX=9606 GN=TMEM184A PE=1 SV=1
+LDESPILMRKELSRSKRSGGSGGSPHTGPRPAEHTAQQTYHQYAPSFNHIADQVIDQPSVTERIGSSISQMPAPPAPSNEKKEAYVQCPFAYRLAVSAFLMEVCIIFNQYGAALTGAGLKNGGSTEVEPIVGCRELIALLLGQWFSLFIVAKITLFKLVPQFPRLLERTTFYFLFLAYLALSVSANYILTVYLYGSRVNFDGDHYKGFAQLIITTVAMVPKVLCFQLTAQKCFRLFGISYTMGRLCCTGYLCSSKIPKGRIEAMIAGEGGLYQFCLSLFSYIVFAEYCDRVSDFYVYYQHDGLLLLSLWSDFAYIPVILLLRIIYRQEQPVTYSRLHLYIQHCTLVLATWVFIGSVGRALASTLFLWPAGQSSNGMHDMQPGAPVAPPPSPQPWNASVLPVGATELIGSVNSM
+>sp|Q9Y519|T184B_HUMAN Transmembrane protein 184B OS=Homo sapiens OX=9606 GN=TMEM184B PE=1 SV=2
+MTVRGDVLAPDPASPTTAAASPSVSVIPEGSPTAMEQPVFLMTTAAQAISGFFVWTALLITCHQIYMHLRCYSCPNEQRYIVRILFIVPIYAFDSWLSLLFFTNDQYYVYFGTVRDCYEALVIYNFLSLCYEYLGGESSIMSEIRGKPIESSCMYGTCCLWGKTYSIGFLRFCKQATLQFCVVKPLMAVSTVVLQAFGKYRDGDFDVTSGYLYVTIIYNISVSLALYALFLFYFATRELLSPYSPVLKFFMVKSVIFLSFWQGMLLAILEKCGAIPKIHSARVSVGEGTVAAGYQDFIICVEMFFAALALRHAFTYKVYADKRLDAQGRCAPMKSISSSLKETMNPHDIVQDAIHNFSPAYQQYTQQSTLEPGPTWRGGAHGLSRSHSLSGARDNEKTLLLSSDDEF
+>DECOY_sp|Q9Y519|T184B_HUMAN Transmembrane protein 184B OS=Homo sapiens OX=9606 GN=TMEM184B PE=1 SV=2
+FEDDSSLLLTKENDRAGSLSHSRSLGHAGGRWTPGPELTSQQTYQQYAPSFNHIADQVIDHPNMTEKLSSSISKMPACRGQADLRKDAYVKYTFAHRLALAAFFMEVCIIFDQYGAAVTGEGVSVRASHIKPIAGCKELIALLMGQWFSLFIVSKVMFFKLVPSYPSLLERTAFYFLFLAYLALSVSINYIITVYLYGSTVDFDGDRYKGFAQLVVTSVAMLPKVVCFQLTAQKCFRLFGISYTKGWLCCTGYMCSSEIPKGRIESMISSEGGLYEYCLSLFNYIVLAEYCDRVTGFYVYYQDNTFFLLSLWSDFAYIPVIFLIRVIYRQENPCSYCRLHMYIQHCTILLATWVFFGSIAQAATTMLFVPQEMATPSGEPIVSVSPSAAATTPSAPDPALVDGRVTM
+>sp|A6NGB0|T191C_HUMAN Transmembrane protein 191C OS=Homo sapiens OX=9606 GN=TMEM191C PE=3 SV=2
+MCRATLGLPLPPIVIQPARRSLPPIVTPASRRLGPRGGRHLGSVSTAMAATQELLLQLQKDNRDGRQRKQELEKLMRGLEAESESLNQRLQDLSERERSLLRRRSQAAQPLQGEAREAARERAERVRRRLEEAERHKEYLEQHSRQLQEQWEELSSQLFYYGGELQSQKSTEQQLAAQLVTLQNELELAETKCALQEEKLQQDALQTAEAWAIFQEQTVVLQVRPHSDAKVPPASPPPDLGRCDGQLRGVQYSTESLMEEMARADRETRLFGGPRALAIRRCVLGALQVLLTLPLLFLGLSLLWTVLLDPGAVSAWLWSLTSETTLRRLRYTLSPLLELRANGLLPT
+>DECOY_sp|A6NGB0|T191C_HUMAN Transmembrane protein 191C OS=Homo sapiens OX=9606 GN=TMEM191C PE=3 SV=2
+TPLLGNARLELLPSLTYRLRRLTTESTLSWLWASVAGPDLLVTWLLSLGLFLLPLTLLVQLAGLVCRRIALARPGGFLRTERDARAMEEMLSETSYQVGRLQGDCRGLDPPPSAPPVKADSHPRVQLVVTQEQFIAWAEATQLADQQLKEEQLACKTEALELENQLTVLQAALQQETSKQSQLEGGYYFLQSSLEEWQEQLQRSHQELYEKHREAEELRRRVREARERAAERAEGQLPQAAQSRRRLLSRERESLDQLRQNLSESEAELGRMLKELEQKRQRGDRNDKQLQLLLEQTAAMATSVSGLHRGGRPGLRRSAPTVIPPLSRRAPQIVIPPLPLGLTARCM
+>sp|Q9NYV9|T2R13_HUMAN Taste receptor type 2 member 13 OS=Homo sapiens OX=9606 GN=TAS2R13 PE=1 SV=1
+MESALPSIFTLVIIAEFIIGNLSNGFIVLINCIDWVSKRELSSVDKLLIILAISRIGLIWEILVSWFLALHYLAIFVSGTGLRIMIFSWIVSNHFNLWLATIFSIFYLLKIASFSSPAFLYLKWRVNKVILMILLGTLVFLFLNLIQINMHIKDWLDRYERNTTWNFSMSDFETFSVSVKFTMTMFSLTPFTVAFISFLLLIFSLQKHLQKMQLNYKGHRDPRTKVHTNALKIVISFLLFYASFFLCVLISWISELYQNTVIYMLCETIGVFSPSSHSFLLILGNAKLRQAFLLVAAKVWAKR
+>DECOY_sp|Q9NYV9|T2R13_HUMAN Taste receptor type 2 member 13 OS=Homo sapiens OX=9606 GN=TAS2R13 PE=1 SV=1
+RKAWVKAAVLLFAQRLKANGLILLFSHSSPSFVGITECLMYIVTNQYLESIWSILVCLFFSAYFLLFSIVIKLANTHVKTRPDRHGKYNLQMKQLHKQLSFILLLFSIFAVTFPTLSFMTMTFKVSVSFTEFDSMSFNWTTNREYRDLWDKIHMNIQILNLFLFVLTGLLIMLIVKNVRWKLYLFAPSSFSAIKLLYFISFITALWLNFHNSVIWSFIMIRLGTGSVFIALYHLALFWSVLIEWILGIRSIALIILLKDVSSLERKSVWDICNILVIFGNSLNGIIFEAIIVLTFISPLASEM
+>sp|P59543|T2R20_HUMAN Taste receptor type 2 member 20 OS=Homo sapiens OX=9606 GN=TAS2R20 PE=2 SV=2
+MMSFLHIVFSILVVVAFILGNFANGFIALINFIAWVKRQKISSADQIIAALAVSRVGLLWVILLHWYSTVLNPTSSNLKVIIFISNAWAVTNHFSIWLATSLSIFYLLKIVNFSRLIFHHLKRKAKSVVLVIVLGSLFFLVCHLVMKHTYINVWTEECEGNVTWKIKLRNAMHLSNLTVAMLANLIPFTLTLISFLLLIYSLCKHLKKMQLHGKGSQDPSTKIHIKALQTVTSFLILLAIYFLCLIISFWNFKMRPKEIVLMLCQAFGIIYPSFHSFILIWGNKTLKQTFLSVLWQVTCWAKGQNQSTP
+>DECOY_sp|P59543|T2R20_HUMAN Taste receptor type 2 member 20 OS=Homo sapiens OX=9606 GN=TAS2R20 PE=2 SV=2
+PTSQNQGKAWCTVQWLVSLFTQKLTKNGWILIFSHFSPYIIGFAQCLMLVIEKPRMKFNWFSIILCLFYIALLILFSTVTQLAKIHIKTSPDQSGKGHLQMKKLHKCLSYILLLFSILTLTFPILNALMAVTLNSLHMANRLKIKWTVNGECEETWVNIYTHKMVLHCVLFFLSGLVIVLVVSKAKRKLHHFILRSFNVIKLLYFISLSTALWISFHNTVAWANSIFIIVKLNSSTPNLVTSYWHLLIVWLLGVRSVALAAIIQDASSIKQRKVWAIFNILAIFGNAFNGLIFAVVVLISFVIHLFSMM
+>sp|P59541|T2R30_HUMAN Taste receptor type 2 member 30 OS=Homo sapiens OX=9606 GN=TAS2R30 PE=2 SV=3
+MITFLPIIFSILIVVIFVIGNFANGFIALVNSIEWVKRQKISFVDQILTALAVSRVGLLWVLLLHWYATQLNPAFYSVEVRITAYNVWAVTNHFSSWLATSLSMFYLLRIANFSNLIFLRIKRRVKSVVLVILLGPLLFLVCHLFVINMDETVWTKEYEGNVTWKIKLRSAMYHSNMTLTMLANFVPLTLTLISFLLLICSLCKHLKKMQLHGKGSQDPSTKVHIKALQTVTSFLLLCAIYFLSMIISVCNFGRLEKQPVFMFCQAIIFSYPSTHPFILILGNKKLKQIFLSVLRHVRYWVKDRSLRLHRFTRGALCVF
+>DECOY_sp|P59541|T2R30_HUMAN Taste receptor type 2 member 30 OS=Homo sapiens OX=9606 GN=TAS2R30 PE=2 SV=3
+FVCLAGRTFRHLRLSRDKVWYRVHRLVSLFIQKLKKNGLILIFPHTSPYSFIIAQCFMFVPQKELRGFNCVSIIMSLFYIACLLLFSTVTQLAKIHVKTSPDQSGKGHLQMKKLHKCLSCILLLFSILTLTLPVFNALMTLTMNSHYMASRLKIKWTVNGEYEKTWVTEDMNIVFLHCVLFLLPGLLIVLVVSKVRRKIRLFILNSFNAIRLLYFMSLSTALWSSFHNTVAWVNYATIRVEVSYFAPNLQTAYWHLLLVWLLGVRSVALATLIQDVFSIKQRKVWEISNVLAIFGNAFNGIVFIVVILISFIIPLFTIM
+>sp|P59539|T2R45_HUMAN Taste receptor type 2 member 45 OS=Homo sapiens OX=9606 GN=TAS2R45 PE=2 SV=1
+MITFLPIIFSILVVVTFVIGNFANGFIALVNSTEWVKRQKISFADQIVTALAVSRVGLLWVLLLNWYSTVLNPAFCSVELRTTAYNIWAVTGHFSNWPATSLSIFYLLKIANFSNLIFLRLKRRVKSVILVVLLGPLLFLACHLFVVNMNQIVWTKEYEGNMTWKIKLRRAMYLSDTTVTMLANLVPFTVTLISFLLLVCSLCKHLKKMQLHGKGSQDPSTKVHIKVLQTVISFFLLRAIYFVSVIISVWSFKNLENKPVFMFCQAIGFSCSSAHPFILIWGNKKLKQTYLSVLWQMRY
+>DECOY_sp|P59539|T2R45_HUMAN Taste receptor type 2 member 45 OS=Homo sapiens OX=9606 GN=TAS2R45 PE=2 SV=1
+YRMQWLVSLYTQKLKKNGWILIFPHASSCSFGIAQCFMFVPKNELNKFSWVSIIVSVFYIARLLFFSIVTQLVKIHVKTSPDQSGKGHLQMKKLHKCLSCVLLLFSILTVTFPVLNALMTVTTDSLYMARRLKIKWTMNGEYEKTWVIQNMNVVFLHCALFLLPGLLVVLIVSKVRRKLRLFILNSFNAIKLLYFISLSTAPWNSFHGTVAWINYATTRLEVSCFAPNLVTSYWNLLLVWLLGVRSVALATVIQDAFSIKQRKVWETSNVLAIFGNAFNGIVFTVVVLISFIIPLFTIM
+>sp|P59544|T2R50_HUMAN Taste receptor type 2 member 50 OS=Homo sapiens OX=9606 GN=TAS2R50 PE=2 SV=2
+MITFLYIFFSILIMVLFVLGNFANGFIALVNFIDWVKRKKISSADQILTALAVSRIGLLWALLLNWYLTVLNPAFYSVELRITSYNAWVVTNHFSMWLAANLSIFYLLKIANFSNLLFLHLKRRVRSVILVILLGTLIFLVCHLLVANMDESMWAEEYEGNMTGKMKLRNTVHLSYLTVTTLWSFIPFTLSLISFLMLICSLCKHLKKMQLHGEGSQDLSTKVHIKALQTLISFLLLCAIFFLFLIVSVWSPRRLRNDPVVMVSKAVGNIYLAFDSFILIWRTKKLKHTFLLILCQIRC
+>DECOY_sp|P59544|T2R50_HUMAN Taste receptor type 2 member 50 OS=Homo sapiens OX=9606 GN=TAS2R50 PE=2 SV=2
+CRIQCLILLFTHKLKKTRWILIFSDFALYINGVAKSVMVVPDNRLRRPSWVSVILFLFFIACLLLFSILTQLAKIHVKTSLDQSGEGHLQMKKLHKCLSCILMLFSILSLTFPIFSWLTTVTLYSLHVTNRLKMKGTMNGEYEEAWMSEDMNAVLLHCVLFILTGLLIVLIVSRVRRKLHLFLLNSFNAIKLLYFISLNAALWMSFHNTVVWANYSTIRLEVSYFAPNLVTLYWNLLLAWLLGIRSVALATLIQDASSIKKRKVWDIFNVLAIFGNAFNGLVFLVMILISFFIYLFTIM
+>sp|Q53R12|T4S20_HUMAN Transmembrane 4 L6 family member 20 OS=Homo sapiens OX=9606 GN=TM4SF20 PE=1 SV=1
+MTCCEGWTSCNGFSLLVLLLLGVVLNAIPLIVSLVEEDQFSQNPISCFEWWFPGIIGAGLMAIPATTMSLTARKRACCNNRTGMFLSSLFSVITVIGALYCMLISIQALLKGPLMCNSPSNSNANCEFSLKNISDIHPESFNLQWFFNDSCAPPTGFNKPTSNDTMASGWRASSFHFDSEENKHRLIHFSVFLGLLLVGILEVLFGLSQIVIGFLGCLCGVSKRRSQIV
+>DECOY_sp|Q53R12|T4S20_HUMAN Transmembrane 4 L6 family member 20 OS=Homo sapiens OX=9606 GN=TM4SF20 PE=1 SV=1
+VIQSRRKSVGCLCGLFGIVIQSLGFLVELIGVLLLGLFVSFHILRHKNEESDFHFSSARWGSAMTDNSTPKNFGTPPACSDNFFWQLNFSEPHIDSINKLSFECNANSNSPSNCMLPGKLLAQISILMCYLAGIVTIVSFLSSLFMGTRNNCCARKRATLSMTTAPIAMLGAGIIGPFWWEFCSIPNQSFQDEEVLSVILPIANLVVGLLLLVLLSFGNCSTWGECCTM
+>sp|Q9ULZ0|T53G3_HUMAN TP53-target gene 3 protein OS=Homo sapiens OX=9606 GN=TP53TG3 PE=2 SV=1
+MRASPCISQPAASWHPRPSALRPTAGSGPDTRTPGTVEDGSAPCPAFRSPAVSPCGEEPCCFQISPAEETLELGRLVSPGNCDTLSPRAAGFYACHVRSLIPCRSTKGRWPLTASAAGLSRHAQCGPSLGLG
+>DECOY_sp|Q9ULZ0|T53G3_HUMAN TP53-target gene 3 protein OS=Homo sapiens OX=9606 GN=TP53TG3 PE=2 SV=1
+GLGLSPGCQAHRSLGAASATLPWRGKTSRCPILSRVHCAYFGAARPSLTDCNGPSVLRGLELTEEAPSIQFCCPEEGCPSVAPSRFAPCPASGDEVTGPTRTDPGSGATPRLASPRPHWSAAPQSICPSARM
+>sp|Q86VP1|TAXB1_HUMAN Tax1-binding protein 1 OS=Homo sapiens OX=9606 GN=TAX1BP1 PE=1 SV=2
+MTSFQEVPLQTSNFAHVIFQNVAKSYLPNAHLECHYTLTPYIHPHPKDWVGIFKVGWSTARDYYTFLWSPMPEHYVEGSTVNCVLAFQGYYLPNDDGEFYQFCYVTHKGEIRGASTPFQFRASSPVEELLTMEDEGNSDMLVVTTKAGLLELKIEKTMKEKEELLKLIAVLEKETAQLREQVGRMERELNHEKERCDQLQAEQKGLTEVTQSLKMENEEFKKRFSDATSKAHQLEEDIVSVTHKAIEKETELDSLKDKLKKAQHEREQLECQLKTEKDEKELYKVHLKNTEIENTKLMSEVQTLKNLDGNKESVITHFKEEIGRLQLCLAEKENLQRTFLLTTSSKEDTCFLKEQLRKAEEQVQATRQEVVFLAKELSDAVNVRDRTMADLHTARLENEKVKKQLADAVAELKLNAMKKDQDKTDTLEHELRREVEDLKLRLQMAADHYKEKFKECQRLQKQINKLSDQSANNNNVFTKKTGNQQKVNDASVNTDPATSASTVDVKPSPSAAEADFDIVTKGQVCEMTKEIADKTEKYNKCKQLLQDEKAKCNKYADELAKMELKWKEQVKIAENVKLELAEVQDNYKELKRSLENPAERKMEGQNSQSPQCFKTCSEQNGYVLTLSNAQPVLQYGNPYASQETRDGADGAFYPDEIQRPPVRVPSWGLEDNVVCSQPARNFSRPDGLEDSEDSKEDENVPTAPDPPSQHLRGHGTGFCFDSSFDVHKKCPLCELMFPPNYDQSKFEEHVESHWKVCPMCSEQFPPDYDQQVFERHVQTHFDQNVLNFD
+>DECOY_sp|Q86VP1|TAXB1_HUMAN Tax1-binding protein 1 OS=Homo sapiens OX=9606 GN=TAX1BP1 PE=1 SV=2
+DFNLVNQDFHTQVHREFVQQDYDPPFQESCMPCVKWHSEVHEEFKSQDYNPPFMLECLPCKKHVDFSSDFCFGTGHGRLHQSPPDPATPVNEDEKSDESDELGDPRSFNRAPQSCVVNDELGWSPVRVPPRQIEDPYFAGDAGDRTEQSAYPNGYQLVPQANSLTLVYGNQESCTKFCQPSQSNQGEMKREAPNELSRKLEKYNDQVEALELKVNEAIKVQEKWKLEMKALEDAYKNCKAKEDQLLQKCKNYKETKDAIEKTMECVQGKTVIDFDAEAASPSPKVDVTSASTAPDTNVSADNVKQQNGTKKTFVNNNNASQDSLKNIQKQLRQCEKFKEKYHDAAMQLRLKLDEVERRLEHELTDTKDQDKKMANLKLEAVADALQKKVKENELRATHLDAMTRDRVNVADSLEKALFVVEQRTAQVQEEAKRLQEKLFCTDEKSSTTLLFTRQLNEKEALCLQLRGIEEKFHTIVSEKNGDLNKLTQVESMLKTNEIETNKLHVKYLEKEDKETKLQCELQEREHQAKKLKDKLSDLETEKEIAKHTVSVIDEELQHAKSTADSFRKKFEENEMKLSQTVETLGKQEAQLQDCREKEHNLEREMRGVQERLQATEKELVAILKLLEEKEKMTKEIKLELLGAKTTVVLMDSNGEDEMTLLEEVPSSARFQFPTSAGRIEGKHTVYCFQYFEGDDNPLYYGQFALVCNVTSGEVYHEPMPSWLFTYYDRATSWGVKFIGVWDKPHPHIYPTLTYHCELHANPLYSKAVNQFIVHAFNSTQLPVEQFSTM
+>sp|Q4KMP7|TB10B_HUMAN TBC1 domain family member 10B OS=Homo sapiens OX=9606 GN=TBC1D10B PE=1 SV=3
+METGTAPLVAPPRRHGAPAAPSPPPRGSRAGPVVVVAPGPPVTTATSAPVTLVAPGEARPAWVPGSAETSAPAPAPAPAPAPAVTGSTVVVLTLEASPEAPKPQLPSGPESPEPAAVAGVETSRALAAGADSPKTEEARPSPAPGPGTPTGTPTRTPSRTAPGALTAKPPLAPKPGTTVASGVTARSASGQVTGGHGAAAATSASAGQAPEDPSGPGTGPSGTCEAPVAVVTVTPAPEPAENSQDLGSTSSLGPGISGPRGQAPDTLSYLDSVSLMSGTLESLADDVSSMGSDSEINGLALRKTDKYGFLGGSQYSGSLESSIPVDVARQRELKWLDMFSNWDKWLSRRFQKVKLRCRKGIPSSLRAKAWQYLSNSKELLEQNPGKFEELERAPGDPKWLDVIEKDLHRQFPFHEMFAARGGHGQQDLYRILKAYTIYRPDEGYCQAQAPVAAVLLMHMPAEQAFWCLVQICDKYLPGYYSAGLEAIQLDGEIFFALLRRASPLAHRHLRRQRIDPVLYMTEWFMCIFARTLPWASVLRVWDMFFCEGVKIIFRVALVLLRHTLGSVEKLRSCQGMYETMEQLRNLPQQCMQEDFLVHEVTNLPVTEALIERENAAQLKKWRETRGELQYRPSRRLHGSRAIHEERRRQQPPLGPSSSLLSLPGLKSRGSRAAGGAPSPPPPVRRASAGPAPGPVVTAEGLHPSLPSPTGNSTPLGSSKETRKQEKERQKQEKERQKQEKEREKERQKQEKEREKQEKEREKQEKERQKQEKKAQGRKLSLRRKADGPPGPHDGGDRPSAEARQDAYF
+>DECOY_sp|Q4KMP7|TB10B_HUMAN TBC1 domain family member 10B OS=Homo sapiens OX=9606 GN=TBC1D10B PE=1 SV=3
+FYADQRAEASPRDGGDHPGPPGDAKRRLSLKRGQAKKEQKQREKEQKEREKEQKEREKEQKQREKEREKEQKQREKEQKQREKEQKRTEKSSGLPTSNGTPSPLSPHLGEATVVPGPAPGASARRVPPPPSPAGGAARSGRSKLGPLSLLSSSPGLPPQQRRREEHIARSGHLRRSPRYQLEGRTERWKKLQAANEREILAETVPLNTVEHVLFDEQMCQQPLNRLQEMTEYMGQCSRLKEVSGLTHRLLVLAVRFIIKVGECFFMDWVRLVSAWPLTRAFICMFWETMYLVPDIRQRRLHRHALPSARRLLAFFIEGDLQIAELGASYYGPLYKDCIQVLCWFAQEAPMHMLLVAAVPAQAQCYGEDPRYITYAKLIRYLDQQGHGGRAAFMEHFPFQRHLDKEIVDLWKPDGPARELEEFKGPNQELLEKSNSLYQWAKARLSSPIGKRCRLKVKQFRRSLWKDWNSFMDLWKLERQRAVDVPISSELSGSYQSGGLFGYKDTKRLALGNIESDSGMSSVDDALSELTGSMLSVSDLYSLTDPAQGRPGSIGPGLSSTSGLDQSNEAPEPAPTVTVVAVPAECTGSPGTGPGSPDEPAQGASASTAAAAGHGGTVQGSASRATVGSAVTTGPKPALPPKATLAGPATRSPTRTPTGTPTGPGPAPSPRAEETKPSDAGAALARSTEVGAVAAPEPSEPGSPLQPKPAEPSAELTLVVVTSGTVAPAPAPAPAPAPASTEASGPVWAPRAEGPAVLTVPASTATTVPPGPAVVVVPGARSGRPPPSPAAPAGHRRPPAVLPATGTEM
+>sp|Q96M53|TBATA_HUMAN Protein TBATA OS=Homo sapiens OX=9606 GN=TBATA PE=2 SV=3
+MATDVQLADYPLMSPKAELKLEKKSGRKPRSPRDSGPQKELVIPGIVDFERIRRALRTPKPQTPGTYCFGRLSHHSFFSRHHPHPQHVTHIQDLTGKPVCVVRDFPAPLPESTVFSGCQMGIPTISVPIGDPQSNRNPQLSSEAWKKELKELASRVAFLTKEDELKKKEKEQKEEPLREQGAKYSAETGRLIPASTRAVGRRRSHQGQQSQSSSRHEGVQAFLLQDQELLVLELLCRILETDLLSAIQFWLLYAPPKEKDLALGLLQTAVAQLLPQPLVSIPTEKLLSQLPEVHEPPQEKQEPPCSQSPKKTKISPFTKSEKPEYIGEAQVLQMHSSQNTEKKTSKPRAES
+>DECOY_sp|Q96M53|TBATA_HUMAN Protein TBATA OS=Homo sapiens OX=9606 GN=TBATA PE=2 SV=3
+SEARPKSTKKETNQSSHMQLVQAEGIYEPKESKTFPSIKTKKPSQSCPPEQKEQPPEHVEPLQSLLKETPISVLPQPLLQAVATQLLGLALDKEKPPAYLLWFQIASLLDTELIRCLLELVLLEQDQLLFAQVGEHRSSSQSQQGQHSRRRGVARTSAPILRGTEASYKAGQERLPEEKQEKEKKKLEDEKTLFAVRSALEKLEKKWAESSLQPNRNSQPDGIPVSITPIGMQCGSFVTSEPLPAPFDRVVCVPKGTLDQIHTVHQPHPHHRSFFSHHSLRGFCYTGPTQPKPTRLARRIREFDVIGPIVLEKQPGSDRPSRPKRGSKKELKLEAKPSMLPYDALQVDTAM
+>sp|P07437|TBB5_HUMAN Tubulin beta chain OS=Homo sapiens OX=9606 GN=TUBB PE=1 SV=2
+MREIVHIQAGQCGNQIGAKFWEVISDEHGIDPTGTYHGDSDLQLDRISVYYNEATGGKYVPRAILVDLEPGTMDSVRSGPFGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELVDSVLDVVRKEAESCDCLQGFQLTHSLGGGTGSGMGTLLISKIREEYPDRIMNTFSVVPSPKVSDTVVEPYNATLSVHQLVENTDETYCIDNEALYDICFRTLKLTTPTYGDLNHLVSATMSGVTTCLRFPGQLNADLRKLAVNMVPFPRLHFFMPGFAPLTSRGSQQYRALTVPELTQQVFDAKNMMAACDPRHGRYLTVAAVFRGRMSMKEVDEQMLNVQNKNSSYFVEWIPNNVKTAVCDIPPRGLKMAVTFIGNSTAIQELFKRISEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATAEEEEDFGEEAEEEA
+>DECOY_sp|P07437|TBB5_HUMAN Tubulin beta chain OS=Homo sapiens OX=9606 GN=TUBB PE=1 SV=2
+AEEEAEEGFDEEEEATADQYQQYESVLDNMNSEAETFEMEDMGEGTYWHLFAKRRFMATFQESIRKFLEQIATSNGIFTVAMKLGRPPIDCVATKVNNPIWEVFYSSNKNQVNLMQEDVEKMSMRGRFVAAVTLYRGHRPDCAAMMNKADFVQQTLEPVTLARYQQSGRSTLPAFGPMFFHLRPFPVMNVALKRLDANLQGPFRLCTTVGSMTASVLHNLDGYTPTTLKLTRFCIDYLAENDICYTEDTNEVLQHVSLTANYPEVVTDSVKPSPVVSFTNMIRDPYEERIKSILLTGMGSGTGGGLSHTLQFGQLCDCSEAEKRVVDLVSDVLEAGETYHGKAWNNGAGSQGFVFNDPRFIQGFPGSRVSDMTGPELDVLIARPVYKGGTAENYYVSIRDLQLDSDGHYTGTPDIGHEDSIVEWFKAGIQNGCQGAQIHVIERM
+>sp|Q9BUF5|TBB6_HUMAN Tubulin beta-6 chain OS=Homo sapiens OX=9606 GN=TUBB6 PE=1 SV=1
+MREIVHIQAGQCGNQIGTKFWEVISDEHGIDPAGGYVGDSALQLERINVYYNESSSQKYVPRAALVDLEPGTMDSVRSGPFGQLFRPDNFIFGQTGAGNNWAKGHYTEGAELVDAVLDVVRKECEHCDCLQGFQLTHSLGGGTGSGMGTLLISKIREEFPDRIMNTFSVMPSPKVSDTVVEPYNATLSVHQLVENTDETYCIDNEALYDICFRTLKLTTPTYGDLNHLVSATMSGVTTSLRFPGQLNADLRKLAVNMVPFPRLHFFMPGFAPLTSRGSQQYRALTVPELTQQMFDARNMMAACDPRHGRYLTVATVFRGPMSMKEVDEQMLAIQSKNSSYFVEWIPNNVKVAVCDIPPRGLKMASTFIGNSTAIQELFKRISEQFSAMFRRKAFLHWFTGEGMDEMEFTEAESNMNDLVSEYQQYQDATANDGEEAFEDEEEEIDG
+>DECOY_sp|Q9BUF5|TBB6_HUMAN Tubulin beta-6 chain OS=Homo sapiens OX=9606 GN=TUBB6 PE=1 SV=1
+GDIEEEEDEFAEEGDNATADQYQQYESVLDNMNSEAETFEMEDMGEGTFWHLFAKRRFMASFQESIRKFLEQIATSNGIFTSAMKLGRPPIDCVAVKVNNPIWEVFYSSNKSQIALMQEDVEKMSMPGRFVTAVTLYRGHRPDCAAMMNRADFMQQTLEPVTLARYQQSGRSTLPAFGPMFFHLRPFPVMNVALKRLDANLQGPFRLSTTVGSMTASVLHNLDGYTPTTLKLTRFCIDYLAENDICYTEDTNEVLQHVSLTANYPEVVTDSVKPSPMVSFTNMIRDPFEERIKSILLTGMGSGTGGGLSHTLQFGQLCDCHECEKRVVDLVADVLEAGETYHGKAWNNGAGTQGFIFNDPRFLQGFPGSRVSDMTGPELDVLAARPVYKQSSSENYYVNIRELQLASDGVYGGAPDIGHEDSIVEWFKTGIQNGCQGAQIHVIERM
+>sp|A6NNZ2|TBB8L_HUMAN Tubulin beta-8 chain-like protein LOC260334 OS=Homo sapiens OX=9606 PE=1 SV=1
+MREIVLTQTGQCGNQIGAKFWEVISDEHAIDSAGTYHGDSHLQLERINVHHHEASGGRYVPRAVLVDLEPGTMDSVHSGPFGQVFRPDNFISGQCGAGNNWAKGRYTEGAELTESVMDVVRKEAESCDCLQGFQLTHSLGGGTGSGMGTLLISKIREEYPDRIINTFSILPSPKVSDTVVEPYNATLSVHQLIENADETFCIDNEALYDICSRTLKLPTPTYGDLNHLVSATMSGVTTCLRFPGQLNADLRKLAVNMVPFPRLHFFMPGFAPLTSRGSQQYRALTVAELTQQMFDAKNMMAACDPRHGCYLTVAAIFRGRMPMREVDEQMFNIQDKNSSYFADWFPDNVKTAVCDIPPRGLKMSATFIGNNAAIQELFTCVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATAEEEEDEEYAEEEVA
+>DECOY_sp|A6NNZ2|TBB8L_HUMAN Tubulin beta-8 chain-like protein LOC260334 OS=Homo sapiens OX=9606 PE=1 SV=1
+AVEEEAYEEDEEEEATADQYQQYESVLDNMNSEAETFEMEDMGEGTYWHLFAKRRFMATFQESVCTFLEQIAANNGIFTASMKLGRPPIDCVATKVNDPFWDAFYSSNKDQINFMQEDVERMPMRGRFIAAVTLYCGHRPDCAAMMNKADFMQQTLEAVTLARYQQSGRSTLPAFGPMFFHLRPFPVMNVALKRLDANLQGPFRLCTTVGSMTASVLHNLDGYTPTPLKLTRSCIDYLAENDICFTEDANEILQHVSLTANYPEVVTDSVKPSPLISFTNIIRDPYEERIKSILLTGMGSGTGGGLSHTLQFGQLCDCSEAEKRVVDMVSETLEAGETYRGKAWNNGAGCQGSIFNDPRFVQGFPGSHVSDMTGPELDVLVARPVYRGGSAEHHHVNIRELQLHSDGHYTGASDIAHEDSIVEWFKAGIQNGCQGTQTLVIERM
+>sp|Q3ZCM7|TBB8_HUMAN Tubulin beta-8 chain OS=Homo sapiens OX=9606 GN=TUBB8 PE=1 SV=2
+MREIVLTQIGQCGNQIGAKFWEVISDEHAIDSAGTYHGDSHLQLERINVYYNEASGGRYVPRAVLVDLEPGTMDSVRSGPFGQVFRPDNFIFGQCGAGNNWAKGHYTEGAELMESVMDVVRKEAESCDCLQGFQLTHSLGGGTGSGMGTLLLSKIREEYPDRIINTFSILPSPKVSDTVVEPYNATLSVHQLIENADETFCIDNEALYDICSKTLKLPTPTYGDLNHLVSATMSGVTTCLRFPGQLNADLRKLAVNMVPFPRLHFFMPGFAPLTSRGSQQYRALTVAELTQQMFDAKNMMAACDPRHGRYLTAAAIFRGRMPMREVDEQMFNIQDKNSSYFADWLPNNVKTAVCDIPPRGLKMSATFIGNNTAIQELFKRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATAEEEEDEEYAEEEVA
+>DECOY_sp|Q3ZCM7|TBB8_HUMAN Tubulin beta-8 chain OS=Homo sapiens OX=9606 GN=TUBB8 PE=1 SV=2
+AVEEEAYEEDEEEEATADQYQQYESVLDNMNSEAETFEMEDMGEGTYWHLFAKRRFMATFQESVRKFLEQIATNNGIFTASMKLGRPPIDCVATKVNNPLWDAFYSSNKDQINFMQEDVERMPMRGRFIAAATLYRGHRPDCAAMMNKADFMQQTLEAVTLARYQQSGRSTLPAFGPMFFHLRPFPVMNVALKRLDANLQGPFRLCTTVGSMTASVLHNLDGYTPTPLKLTKSCIDYLAENDICFTEDANEILQHVSLTANYPEVVTDSVKPSPLISFTNIIRDPYEERIKSLLLTGMGSGTGGGLSHTLQFGQLCDCSEAEKRVVDMVSEMLEAGETYHGKAWNNGAGCQGFIFNDPRFVQGFPGSRVSDMTGPELDVLVARPVYRGGSAENYYVNIRELQLHSDGHYTGASDIAHEDSIVEWFKAGIQNGCQGIQTLVIERM
+>sp|O60347|TBC12_HUMAN TBC1 domain family member 12 OS=Homo sapiens OX=9606 GN=TBC1D12 PE=1 SV=3
+MVGPEDAGACSGRNPKLLPVPAPDPVGQDRKVIRATGGFGGGVGAVEPPEEADEEEEADEEEETPPRQLLQRYLAAAGEQLEPGLCYCPLPAGQAGAPPPSAAPRSDACLLGSGSKHRGAEVADGRAPRHEGMTNGDSGFLPGRDCRDLEEARGLARAGGRESRRRRPYGRLRLEGPGDEDADGAGSPSDWASPLEDPLRSCCLVAADAQEPEGAGSDSGDSPASSCSSSEDSEQRGVGAGGPEEGAPPATSAERTNGGAEPRLGFSDIHFNSRNTFQVSRGQSARDHLPPAGPPVPLPAAEQGPAGASARARRSGGFADFFTRNLFPKRTKELKSVVHSAPGWKLFGKVPPRENLQKTSKIIQQEYEARTGRTCKPPPQSSRRKNFEFEPLSTTALILEDRPSNLPAKSVEEALRHRQEYDEMVAEAKKREIKEAHKRKRIMKERFKQEENIASAMVIWINEILPNWEVMRSTRRVRELWWQGLPPSVRGKVWSLAVGNELNITPELYEIFLSRAKERWKSFSETSSENDTEGVSVADREASLELIKLDISRTFPSLYIFQKGGPYHDVLHSILGAYTCYRPDVGYVQGMSFIAAVLILNLEEADAFIAFANLLNKPCQLAFFRVDHSMMLKYFATFEVFFEENLSKLFLHFKSYSLTPDIYLIDWIFTLYSKSLPLDLACRVWDVFCRDGEEFLFRTGLGILRLYEDILLQMDFIHIAQFLTKLPEDITSEKLFSCIAAIQMQNSTKKWTQVFASVMKDIKEGDKNSSPALKS
+>DECOY_sp|O60347|TBC12_HUMAN TBC1 domain family member 12 OS=Homo sapiens OX=9606 GN=TBC1D12 PE=1 SV=3
+SKLAPSSNKDGEKIDKMVSAFVQTWKKTSNQMQIAAICSFLKESTIDEPLKTLFQAIHIFDMQLLIDEYLRLIGLGTRFLFEEGDRCFVDWVRCALDLPLSKSYLTFIWDILYIDPTLSYSKFHLFLKSLNEEFFVEFTAFYKLMMSHDVRFFALQCPKNLLNAFAIFADAEELNLILVAAIFSMGQVYGVDPRYCTYAGLISHLVDHYPGGKQFIYLSPFTRSIDLKILELSAERDAVSVGETDNESSTESFSKWREKARSLFIEYLEPTINLENGVALSWVKGRVSPPLGQWWLERVRRTSRMVEWNPLIENIWIVMASAINEEQKFREKMIRKRKHAEKIERKKAEAVMEDYEQRHRLAEEVSKAPLNSPRDELILATTSLPEFEFNKRRSSQPPPKCTRGTRAEYEQQIIKSTKQLNERPPVKGFLKWGPASHVVSKLEKTRKPFLNRTFFDAFGGSRRARASAGAPGQEAAPLPVPPGAPPLHDRASQGRSVQFTNRSNFHIDSFGLRPEAGGNTREASTAPPAGEEPGGAGVGRQESDESSSCSSAPSDGSDSGAGEPEQADAAVLCCSRLPDELPSAWDSPSGAGDADEDGPGELRLRGYPRRRRSERGGARALGRAEELDRCDRGPLFGSDGNTMGEHRPARGDAVEAGRHKSGSGLLCADSRPAASPPPAGAQGAPLPCYCLGPELQEGAAALYRQLLQRPPTEEEEDAEEEEDAEEPPEVAGVGGGFGGTARIVKRDQGVPDPAPVPLLKPNRGSCAGADEPGVM
+>sp|Q8TC07|TBC15_HUMAN TBC1 domain family member 15 OS=Homo sapiens OX=9606 GN=TBC1D15 PE=1 SV=2
+MAAAGVVSGKIIYEQEGVYIHSSCGKTNDQDGLISGILRVLEKDAEVIVDWRPLDDALDSSSILYARKDSSSVVEWTQAPKERGHRGSEHLNSYEAEWDMVNTVSFKRKPHTNGDAPSHRNGKSKWSFLFSLTDLKSIKQNKEGMGWSYLVFCLKDDVVLPALHFHQGDSKLLIESLEKYVVLCESPQDKRTLLVNCQNKSLSQSFENLLDEPAYGLIQAGLLDRRKLLWAIHHWKKIKKDPYTATMIGFSKVTNYIFDSLRGSDPSTHQRPPSEMADFLSDAIPGLKINQQEEPGFEVITRIDLGERPVVQRREPVSLEEWTKNIDSEGRILNVDNMKQMIFRGGLSHALRKQAWKFLLGYFPWDSTKEERTQLQKQKTDEYFRMKLQWKSISQEQEKRNSRLRDYRSLIEKDVNRTDRTNKFYEGQDNPGLILLHDILMTYCMYDFDLGYVQGMSDLLSPLLYVMENEVDAFWCFASYMDQMHQNFEEQMQGMKTQLIQLSTLLRLLDSGFCSYLESQDSGYLYFCFRWLLIRFKREFSFLDILRLWEVMWTELPCTNFHLLLCCAILESEKQQIMEKHYGFNEILKHINELSMKIDVEDILCKAEAISLQMVKCKELPQAVCEILGLQGSEVTTPDSDVGEDENVVMTPCPTSAFQSNALPTLSASGARNDSPTQIPVSSDVCRLTPA
+>DECOY_sp|Q8TC07|TBC15_HUMAN TBC1 domain family member 15 OS=Homo sapiens OX=9606 GN=TBC1D15 PE=1 SV=2
+APTLRCVDSSVPIQTPSDNRAGSASLTPLANSQFASTPCPTMVVNEDEGVDSDPTTVESGQLGLIECVAQPLEKCKVMQLSIAEAKCLIDEVDIKMSLENIHKLIENFGYHKEMIQQKESELIACCLLLHFNTCPLETWMVEWLRLIDLFSFERKFRILLWRFCFYLYGSDQSELYSCFGSDLLRLLTSLQILQTKMGQMQEEFNQHMQDMYSAFCWFADVENEMVYLLPSLLDSMGQVYGLDFDYMCYTMLIDHLLILGPNDQGEYFKNTRDTRNVDKEILSRYDRLRSNRKEQEQSISKWQLKMRFYEDTKQKQLQTREEKTSDWPFYGLLFKWAQKRLAHSLGGRFIMQKMNDVNLIRGESDINKTWEELSVPERRQVVPREGLDIRTIVEFGPEEQQNIKLGPIADSLFDAMESPPRQHTSPDSGRLSDFIYNTVKSFGIMTATYPDKKIKKWHHIAWLLKRRDLLGAQILGYAPEDLLNEFSQSLSKNQCNVLLTRKDQPSECLVVYKELSEILLKSDGQHFHLAPLVVDDKLCFVLYSWGMGEKNQKISKLDTLSFLFSWKSKGNRHSPADGNTHPKRKFSVTNVMDWEAEYSNLHESGRHGREKPAQTWEVVSSSDKRAYLISSSDLADDLPRWDVIVEADKELVRLIGSILGDQDNTKGCSSHIYVGEQEYIIKGSVVGAAAM
+>sp|Q96DN5|TBC31_HUMAN TBC1 domain family member 31 OS=Homo sapiens OX=9606 GN=TBC1D31 PE=1 SV=2
+MQSTDLGNKESGKIWHRKPSPATRDGIIVNIIHNTSDYHPKVLRFLNVAFDGTGDCLIAGDHQGNIYVFDLHGNRFNLVQRTAQACTALAFNLRRKSEFLVALADYSIKCFDTVTKELVSWMRGHESSVFSISVHASGKYAITTSSDTAQLWDLDTFQRKRKLNIRQSVGIQKVFFLPLSNTILSCFKDNSIFAWECDTLFCKYQLPAPPESSSILYKVFAVTRDGRILAAGGKSNHLHLWCLEARQLFRIIQMPTKVRAIRHLEFLPDSFDAGSNQVLGVLSQDGIMRFINMQTCKLLFEIGSLDEGISSSAISPHGRYIASIMENGSLNIYSVQALTQEINKPPPPLVKVIEDLPKNKLSSSDLKMKVTSGRVQQPAKSRESKMQTRILKQDLTGDFESKKNELPDGLNKKRLQILLKGYGEYPTKYRMFIWRSLLQLPENHTAFSTLIDKGTHVAFLNLQKKYPIKSRKLLRVLQRTLSALAHWSVIFSDTPYLPLLAFPFVKLFQNNQLICFEVIATLIINWCQHWFEYFPNPPINILSMIENVLAFHDKELLQHFIDHDITSQLYAWPLLETVFSEVLTREEWLKLFDNIFSNHPSFLLMTVVAYNICSRTPLLSCNLKDDFEFFFHHRNNLDINVVIRQVYHLMETTPTDIHPDSMLNVFVALTKGQYPVFNQYPKFIVDYQTQERERIRNDELDYLRERQTVEDMQAKVDQQRVEDEAWYQKQELLRKAEETRREMLLQEEEKMIQQRQRLAAVKRELKVKEMHLQDAARRRFLKLQQDQQEMELRRLDDEIGRKVYMRDREIAATARDLEMRQLELESQKRLYEKNLTENQEALAKEMRADADAYRRKVDLEEHMFHKLIEAGETQSQKTQKVIKENLAKAEQACLNTDWQIQSLHKQKCDDLQRNKCYQEVAKLLRENRRKEIEIINAMVEEEAKKWKEAEGKEFRLRSAKKASALSDASRKWFLKQEINAAVEHAENPCHKEEPRFQNEQDSSCLPRTSQLNDSSEMDPSTQISLNRRAVEWDTTGQNLIKKVRNLRQRLTARARHRCQTPHLLAA
+>DECOY_sp|Q96DN5|TBC31_HUMAN TBC1 domain family member 31 OS=Homo sapiens OX=9606 GN=TBC1D31 PE=1 SV=2
+AALLHPTQCRHRARATLRQRLNRVKKILNQGTTDWEVARRNLSIQTSPDMESSDNLQSTRPLCSSDQENQFRPEEKHCPNEAHEVAANIEQKLFWKRSADSLASAKKASRLRFEKGEAEKWKKAEEEVMANIIEIEKRRNERLLKAVEQYCKNRQLDDCKQKHLSQIQWDTNLCAQEAKALNEKIVKQTKQSQTEGAEILKHFMHEELDVKRRYADADARMEKALAEQNETLNKEYLRKQSELELQRMELDRATAAIERDRMYVKRGIEDDLRRLEMEQQDQQLKLFRRRAADQLHMEKVKLERKVAALRQRQQIMKEEEQLLMERRTEEAKRLLEQKQYWAEDEVRQQDVKAQMDEVTQRERLYDLEDNRIREREQTQYDVIFKPYQNFVPYQGKTLAVFVNLMSDPHIDTPTTEMLHYVQRIVVNIDLNNRHHFFFEFDDKLNCSLLPTRSCINYAVVTMLLFSPHNSFINDFLKLWEERTLVESFVTELLPWAYLQSTIDHDIFHQLLEKDHFALVNEIMSLINIPPNPFYEFWHQCWNIILTAIVEFCILQNNQFLKVFPFALLPLYPTDSFIVSWHALASLTRQLVRLLKRSKIPYKKQLNLFAVHTGKDILTSFATHNEPLQLLSRWIFMRYKTPYEGYGKLLIQLRKKNLGDPLENKKSEFDGTLDQKLIRTQMKSERSKAPQQVRGSTVKMKLDSSSLKNKPLDEIVKVLPPPPKNIEQTLAQVSYINLSGNEMISAIYRGHPSIASSSIGEDLSGIEFLLKCTQMNIFRMIGDQSLVGLVQNSGADFSDPLFELHRIARVKTPMQIIRFLQRAELCWLHLHNSKGGAALIRGDRTVAFVKYLISSSEPPAPLQYKCFLTDCEWAFISNDKFCSLITNSLPLFFVKQIGVSQRINLKRKRQFTDLDWLQATDSSTTIAYKGSAHVSISFVSSEHGRMWSVLEKTVTDFCKISYDALAVLFESKRRLNFALATCAQATRQVLNFRNGHLDFVYINGQHDGAILCDGTGDFAVNLFRLVKPHYDSTNHIINVIIGDRTAPSPKRHWIKGSEKNGLDTSQM
+>sp|Q6DHY5|TBC3G_HUMAN TBC1 domain family member 3G OS=Homo sapiens OX=9606 GN=TBC1D3G PE=2 SV=2
+MDVVEVAGSWWAQEREDIIMKYEKGHRAGLPEDKGPKPFRSYNNNVDHLGIVHETELPPLTAREAKQIRREISRKSKWVDMLGDWEKYKSSRKLIDRAYKGMPMNIRGPMWSVLLNIEEMKLKNPGRYQIMKEKGKRSSEHIQRIDRDISGTLRKHMFFRDRYGTKQRELLHILLAYEEYNPEVGYCRDLSHIAALFLLYLPEEDAFWALVQLLASERHSLQGFHSPNGGTVQGLQDQQEHVVATSQPKTMGHQDKKDLCGQCSPLGCLIRILIDGISLGLTLRLWDVYLVEGEQALMPITRIAFKVQQKRLTKTSRCGPWARFCNRFVDTWARDEDTVLKHLRASMKKLTRKQGDLPPPAKPEQGSSASRPVPASRGGKTLCKGDRQAPPGPPARFPRPIWSASPPRAPRSSTPCPGGAVREDTYPVGTQGVPSPALAQGGPQGSWRFLQWNSMPRLPTDLDVEGPWFRHYDFRQSCWVRAISQEDQLAPCWQAEHPAERVRSAFAAPSTDSDQGTPFRARDEQQCAPTSGPCLCGLHLESSQFPPGF
+>DECOY_sp|Q6DHY5|TBC3G_HUMAN TBC1 domain family member 3G OS=Homo sapiens OX=9606 GN=TBC1D3G PE=2 SV=2
+FGPPFQSSELHLGCLCPGSTPACQQEDRARFPTGQDSDTSPAAFASRVREAPHEAQWCPALQDEQSIARVWCSQRFDYHRFWPGEVDLDTPLRPMSNWQLFRWSGQPGGQALAPSPVGQTGVPYTDERVAGGPCPTSSRPARPPSASWIPRPFRAPPGPPAQRDGKCLTKGGRSAPVPRSASSGQEPKAPPPLDGQKRTLKKMSARLHKLVTDEDRAWTDVFRNCFRAWPGCRSTKTLRKQQVKFAIRTIPMLAQEGEVLYVDWLRLTLGLSIGDILIRILCGLPSCQGCLDKKDQHGMTKPQSTAVVHEQQDQLGQVTGGNPSHFGQLSHRESALLQVLAWFADEEPLYLLFLAAIHSLDRCYGVEPNYEEYALLIHLLERQKTGYRDRFFMHKRLTGSIDRDIRQIHESSRKGKEKMIQYRGPNKLKMEEINLLVSWMPGRINMPMGKYARDILKRSSKYKEWDGLMDVWKSKRSIERRIQKAERATLPPLETEHVIGLHDVNNNYSRFPKPGKDEPLGARHGKEYKMIIDEREQAWWSGAVEVVDM
+>sp|A0A087X1G2|TBC3K_HUMAN TBC1 domain family member 3K OS=Homo sapiens OX=9606 GN=TBC1D3K PE=3 SV=1
+MDVVEVAGSWWAQEREDIIMKYEKGHRAGLPEDKGPKPFRSYNNNVDHLGIVHETELPPLTAREAKQIRREISRKSKWVDMLGDWEKYKSSRKLIDRAYKGMPMNIRGPMWSVLLNIEEMKLKNPGRYQIMKEKGKKSSEHIQRIDRDVSGTLRKHIFFRDRYGTKQRELLHILLAYEEYNPEVGYCRDLSHIAALFLLYLPEEDAFWALVQLLASERHSLQGFHSPNGGTVQGLQDQQEHVVATSQPKTMGHQDKKDLCGQCSPLGCLIRILIDGISLGLTLRLWDVYLVEGEQALMPITRIAFKVQQKRLTKTSRCGPWARFCNRFVDTWARDEDTVLKHLRASMKKLTRKKGDLPPPAKPEQGSSASRPVPASRGGKTLCKGDRQAPPGPPARFPRPIWSASPPRAPRSSTPCPGGAVREDTYPVGTQGVPSPALAQGGPQGSWRFLQWNSMPRLPTDLDVEGPWFRHYDFRQSCWVRAISQEDQLAPCWQAEHPAERVRSAFAAPSTDSDQGTPFRARDEQQCAPTSGPCLCGLHLESSQFPPGF
+>DECOY_sp|A0A087X1G2|TBC3K_HUMAN TBC1 domain family member 3K OS=Homo sapiens OX=9606 GN=TBC1D3K PE=3 SV=1
+FGPPFQSSELHLGCLCPGSTPACQQEDRARFPTGQDSDTSPAAFASRVREAPHEAQWCPALQDEQSIARVWCSQRFDYHRFWPGEVDLDTPLRPMSNWQLFRWSGQPGGQALAPSPVGQTGVPYTDERVAGGPCPTSSRPARPPSASWIPRPFRAPPGPPAQRDGKCLTKGGRSAPVPRSASSGQEPKAPPPLDGKKRTLKKMSARLHKLVTDEDRAWTDVFRNCFRAWPGCRSTKTLRKQQVKFAIRTIPMLAQEGEVLYVDWLRLTLGLSIGDILIRILCGLPSCQGCLDKKDQHGMTKPQSTAVVHEQQDQLGQVTGGNPSHFGQLSHRESALLQVLAWFADEEPLYLLFLAAIHSLDRCYGVEPNYEEYALLIHLLERQKTGYRDRFFIHKRLTGSVDRDIRQIHESSKKGKEKMIQYRGPNKLKMEEINLLVSWMPGRINMPMGKYARDILKRSSKYKEWDGLMDVWKSKRSIERRIQKAERATLPPLETEHVIGLHDVNNNYSRFPKPGKDEPLGARHGKEYKMIIDEREQAWWSGAVEVVDM
+>sp|Q9P0N9|TBCD7_HUMAN TBC1 domain family member 7 OS=Homo sapiens OX=9606 GN=TBC1D7 PE=1 SV=1
+MTEDSQRNFRSVYYEKVGFRGVEEKKSLEILLKDDRLDTEKLCTFSQRFPLPSMYRALVWKVLLGILPPHHESHAKVMMYRKEQYLDVLHALKVVRFVSDATPQAEVYLRMYQLESGKLPRSPSFPLEPDDEVFLAIAKAMEEMVEDSVDCYWITRRFVNQLNTKYRDSLPQLPKAFEQYLNLEDGRLLTHLRMCSAAPKLPYDLWFKRCFAGCLPESSLQRVWDKVVSGSCKILVFVAVEILLTFKIKVMALNSAEKITKFLENIPQDSSDAIVSKAIDLWHKHCGTPVHSS
+>DECOY_sp|Q9P0N9|TBCD7_HUMAN TBC1 domain family member 7 OS=Homo sapiens OX=9606 GN=TBC1D7 PE=1 SV=1
+SSHVPTGCHKHWLDIAKSVIADSSDQPINELFKTIKEASNLAMVKIKFTLLIEVAVFVLIKCSGSVVKDWVRQLSSEPLCGAFCRKFWLDYPLKPAASCMRLHTLLRGDELNLYQEFAKPLQPLSDRYKTNLQNVFRRTIWYCDVSDEVMEEMAKAIALFVEDDPELPFSPSRPLKGSELQYMRLYVEAQPTADSVFRVVKLAHLVDLYQEKRYMMVKAHSEHHPPLIGLLVKWVLARYMSPLPFRQSFTCLKETDLRDDKLLIELSKKEEVGRFGVKEYYVSRFNRQSDETM
+>sp|Q9BYX2|TBD2A_HUMAN TBC1 domain family member 2A OS=Homo sapiens OX=9606 GN=TBC1D2 PE=1 SV=3
+MEGAGENAPESSSSAPGSEESARDPQVPPPEEESGDCARSLEAVPKKLCGYLSKFGGKGPIRGWKSRWFFYDERKCQLYYSRTAQDANPLDSIDLSSAVFDCKADAEEGIFEIKTPSRVITLKAATKQAMLYWLQQLQMKRWEFHNSPPAPPATPDAALAGNGPVLHLELGQEEAELEEFLCPVKTPPGLVGVAAALQPFPALQNISLKHLGTEIQNTMHNIRGNKQAQGTGHEPPGEDSPQSGEPQREEQPLASDASTPGREPEDSPKPAPKPSLTISFAQKAKRQNNTFPFFSEGITRNRTAQEKVAALEQQVLMLTKELKSQKELVKILHKALEAAQQEKRASSAYLAAAEDKDRLELVRHKVRQIAELGRRVEALEQERESLAHTASLREQQVQELQQHVQLLMDKNHAKQQVICKLSEKVTQDFTHPPDQSPLRPDAANRDFLSQQGKIEHLKDDMEAYRTQNCFLNSEIHQVTKIWRKVAEKEKALLTKCAYLQARNCQVESKYLAGLRRLQEALGDEASECSELLRQLVQEALQWEAGEASSDSIELSPISKYDEYGFLTVPDYEVEDLKLLAKIQALESRSHHLLGLEAVDRPLRERWAALGDLVPSAELKQLLRAGVPREHRPRVWRWLVHLRVQHLHTPGCYQELLSRGQAREHPAARQIELDLNRTFPNNKHFTCPTSSFPDKLRRVLLAFSWQNPTIGYCQGLNRLAAIALLVLEEEESAFWCLVAIVETIMPADYYCNTLTASQVDQRVLQDLLSEKLPRLMAHLGQHHVDLSLVTFNWFLVVFADSLISNILLRVWDAFLYEGTKVVFRYALAIFKYNEKEILRLQNGLEIYQYLRFFTKTISNSRKLMNIAFNDMNPFRMKQLRQLRMVHRERLEAELRELEQLKAEYLERRASRRRAVSEGCASEDEVEGEA
+>DECOY_sp|Q9BYX2|TBD2A_HUMAN TBC1 domain family member 2A OS=Homo sapiens OX=9606 GN=TBC1D2 PE=1 SV=3
+AEGEVEDESACGESVARRRSARRELYEAKLQELERLEAELRERHVMRLQRLQKMRFPNMDNFAINMLKRSNSITKTFFRLYQYIELGNQLRLIEKENYKFIALAYRFVVKTGEYLFADWVRLLINSILSDAFVVLFWNFTVLSLDVHHQGLHAMLRPLKESLLDQLVRQDVQSATLTNCYYDAPMITEVIAVLCWFASEEEELVLLAIAALRNLGQCYGITPNQWSFALLVRRLKDPFSSTPCTFHKNNPFTRNLDLEIQRAAPHERAQGRSLLEQYCGPTHLHQVRLHVLWRWVRPRHERPVGARLLQKLEASPVLDGLAAWRERLPRDVAELGLLHHSRSELAQIKALLKLDEVEYDPVTLFGYEDYKSIPSLEISDSSAEGAEWQLAEQVLQRLLESCESAEDGLAEQLRRLGALYKSEVQCNRAQLYACKTLLAKEKEAVKRWIKTVQHIESNLFCNQTRYAEMDDKLHEIKGQQSLFDRNAADPRLPSQDPPHTFDQTVKESLKCIVQQKAHNKDMLLQVHQQLEQVQQERLSATHALSEREQELAEVRRGLEAIQRVKHRVLELRDKDEAAALYASSARKEQQAAELAKHLIKVLEKQSKLEKTLMLVQQELAAVKEQATRNRTIGESFFPFTNNQRKAKQAFSITLSPKPAPKPSDEPERGPTSADSALPQEERQPEGSQPSDEGPPEHGTGQAQKNGRINHMTNQIETGLHKLSINQLAPFPQLAAAVGVLGPPTKVPCLFEELEAEEQGLELHLVPGNGALAADPTAPPAPPSNHFEWRKMQLQQLWYLMAQKTAAKLTIVRSPTKIEFIGEEADAKCDFVASSLDISDLPNADQATRSYYLQCKREDYFFWRSKWGRIPGKGGFKSLYGCLKKPVAELSRACDGSEEEPPPVQPDRASEESGPASSSSEPANEGAGEM
+>sp|Q9UJT1|TBD_HUMAN Tubulin delta chain OS=Homo sapiens OX=9606 GN=TUBD1 PE=2 SV=2
+MSIVTVQLGQCGNQIGFEVFDALLSDSHSSQGLCSMRENEAYQASCKERFFSEEENGVPIARAVLVDMEPKVINQMLSKAAQSGQWKYGQHACFCQKQGSGNNWAYGYSVHGPRHEESIMNIIRKEVEKCDSFSGFFIIMSMAGGTGSGLGAFVTQNLEDQYSNSLKMNQIIWPYGTGEVIVQNYNSILTLSHLYRSSDALLLHENDAIHKICAKLMNIKQISFSDINQVLAHQLGSVFQPTYSAESSFHYRRNPLGDLMEHLVPHPEFKMLSVRNIPHMSENSLAYTTFTWAGLLKHLRQMLISNAKMEEGIDRHVWPPLSGLPPLSKMSLNKDLHFNTSIANLVILRGKDVQSADVEGFKDPALYTSWLKPVNAFNVWKTQRAFSKYEKSAVLVSNSQFLVKPLDMIVGKAWNMFASKAYIHQYTKFGIEEEDFLDSFTSLEQVVASYCNL
+>DECOY_sp|Q9UJT1|TBD_HUMAN Tubulin delta chain OS=Homo sapiens OX=9606 GN=TUBD1 PE=2 SV=2
+LNCYSAVVQELSTFSDLFDEEEIGFKTYQHIYAKSAFMNWAKGVIMDLPKVLFQSNSVLVASKEYKSFARQTKWVNFANVPKLWSTYLAPDKFGEVDASQVDKGRLIVLNAISTNFHLDKNLSMKSLPPLGSLPPWVHRDIGEEMKANSILMQRLHKLLGAWTFTTYALSNESMHPINRVSLMKFEPHPVLHEMLDGLPNRRYHFSSEASYTPQFVSGLQHALVQNIDSFSIQKINMLKACIKHIADNEHLLLADSSRYLHSLTLISNYNQVIVEGTGYPWIIQNMKLSNSYQDELNQTVFAGLGSGTGGAMSMIIFFGSFSDCKEVEKRIINMISEEHRPGHVSYGYAWNNGSGQKQCFCAHQGYKWQGSQAAKSLMQNIVKPEMDVLVARAIPVGNEEESFFREKCSAQYAENERMSCLGQSSHSDSLLADFVEFGIQNGCQGLQVTVISM
+>sp|A7MCY6|TBKB1_HUMAN TANK-binding kinase 1-binding protein 1 OS=Homo sapiens OX=9606 GN=TBKBP1 PE=1 SV=1
+MESMFEDDISILTQEALGPSEVWLDSPGDPSLGGDMCSASHFALITAYGDIKERLGGLERENATLRRRLKVYEIKYPLISDFGEEHGFSLYEIKDGSLLEVEKVSLQQRLNQFQHELQKNKEQEEQLGEMIQAYEKLCVEKSDLETELREMRALVETHLRQICGLEQQLRQQQGLQDAAFSNLSPPPAPAPPCTDLDLHYLALRGGSGLSHAGWPGSTPSVSDLERRRLEEALEAAQGEARGAQLREEQLQAECERLQGELKQLQETRAQDLASNQSERDMAWVKRVGDDQVNLALAYTELTEELGRLRELSSLQGRILRTLLQEQARSGGQRHSPLSQRHSPAPQCPSPSPPARAAPPCPPCQSPVPQRRSPVPPCPSPQQRRSPASPSCPSPVPQRRSPVPPSCQSPSPQRRSPVPPSCPAPQPRPPPPPPPGERTLAERAYAKPPSHHVKAGFQGRRSYSELAEGAAYAGASPPWLQAEAATLPKPRAYGSELYGPGRPLSPRRAFEGIRLRFEKQPSEEDEWAVPTSPPSPEVGTIRCASFCAGFPIPESPAATAYAHAEHAQSWPSINLLMETVGSDIRSCPLCQLGFPVGYPDDALIKHIDSHLENSKI
+>DECOY_sp|A7MCY6|TBKB1_HUMAN TANK-binding kinase 1-binding protein 1 OS=Homo sapiens OX=9606 GN=TBKBP1 PE=1 SV=1
+IKSNELHSDIHKILADDPYGVPFGLQCLPCSRIDSGVTEMLLNISPWSQAHEAHAYATAAPSEPIPFGACFSACRITGVEPSPPSTPVAWEDEESPQKEFRLRIGEFARRPSLPRGPGYLESGYARPKPLTAAEAQLWPPSAGAYAAGEALESYSRRGQFGAKVHHSPPKAYAREALTREGPPPPPPPRPQPAPCSPPVPSRRQPSPSQCSPPVPSRRQPVPSPCSPSAPSRRQQPSPCPPVPSRRQPVPSQCPPCPPAARAPPSPSPCQPAPSHRQSLPSHRQGGSRAQEQLLTRLIRGQLSSLERLRGLEETLETYALALNVQDDGVRKVWAMDRESQNSALDQARTEQLQKLEGQLRECEAQLQEERLQAGRAEGQAAELAEELRRRELDSVSPTSGPWGAHSLGSGGRLALYHLDLDTCPPAPAPPPSLNSFAADQLGQQQRLQQELGCIQRLHTEVLARMERLETELDSKEVCLKEYAQIMEGLQEEQEKNKQLEHQFQNLRQQLSVKEVELLSGDKIEYLSFGHEEGFDSILPYKIEYVKLRRRLTANERELGGLREKIDGYATILAFHSASCMDGGLSPDGPSDLWVESPGLAEQTLISIDDEFMSEM
+>sp|Q9H3H9|TCAL2_HUMAN Transcription elongation factor A protein-like 2 OS=Homo sapiens OX=9606 GN=TCEAL2 PE=2 SV=1
+MEKLFNENEGMPSNQGKIDNEEQPPHEGKPEVACILEDKKLENEGNTENTGKRVEEPLKDKEKPESAGKAKGEGKSERKGKSEMQGGSKTEGKPERGGRAEGEGEPDSEREPESEGEPESETRAAGKRPAEDDIPRKAKRKTNKGLAQYLKQYKEAIHDMNFSNEDMIREFDNMARVEDKRRKSKQKLGAFLWMQRNLQDPFYPRGPREFRGGCRAPRRDTEDIPYV
+>DECOY_sp|Q9H3H9|TCAL2_HUMAN Transcription elongation factor A protein-like 2 OS=Homo sapiens OX=9606 GN=TCEAL2 PE=2 SV=1
+VYPIDETDRRPARCGGRFERPGRPYFPDQLNRQMWLFAGLKQKSKRRKDEVRAMNDFERIMDENSFNMDHIAEKYQKLYQALGKNTKRKAKRPIDDEAPRKGAARTESEPEGESEPERESDPEGEGEARGGREPKGETKSGGQMESKGKRESKGEGKAKGASEPKEKDKLPEEVRKGTNETNGENELKKDELICAVEPKGEHPPQEENDIKGQNSPMGENENFLKEM
+>sp|Q5H9L2|TCAL5_HUMAN Transcription elongation factor A protein-like 5 OS=Homo sapiens OX=9606 GN=TCEAL5 PE=1 SV=1
+MEKLYKENEGKPENERNLESEGKPEDEGSTEDEGKSDEEEKPDMEGKTECEGKREDEGEPGDEGQLEDEGNQEKQGKSEGEDKPQSEGKPASQAKPESQPRAAEKRPAEDYVPRKAKRKTDRGTDDSPKDSQEDLQERHLSSEEMMRECGDVSRAQEELRKKQKMGGFHWMQRDVQDPFAPRGQRGVRGVRGGGRGQKDLEDVPYV
+>DECOY_sp|Q5H9L2|TCAL5_HUMAN Transcription elongation factor A protein-like 5 OS=Homo sapiens OX=9606 GN=TCEAL5 PE=1 SV=1
+VYPVDELDKQGRGGGRVGRVGRQGRPAFPDQVDRQMWHFGGMKQKKRLEEQARSVDGCERMMEESSLHREQLDEQSDKPSDDTGRDTKRKAKRPVYDEAPRKEAARPQSEPKAQSAPKGESQPKDEGESKGQKEQNGEDELQGEDGPEGEDERKGECETKGEMDPKEEEDSKGEDETSGEDEPKGESELNRENEPKGENEKYLKEM
+>sp|Q9BRU2|TCAL7_HUMAN Transcription elongation factor A protein-like 7 OS=Homo sapiens OX=9606 GN=TCEAL7 PE=2 SV=2
+MQKPCKENEGKPKCSVPKREEKRPYGEFERQQTEGNFRQRLLQSLEEFKEDIDYRHFKDEEMTREGDEMERCLEEIRGLRKKFRALHSNHRHSRDRPYPI
+>DECOY_sp|Q9BRU2|TCAL7_HUMAN Transcription elongation factor A protein-like 7 OS=Homo sapiens OX=9606 GN=TCEAL7 PE=2 SV=2
+IPYPRDRSHRHNSHLARFKKRLGRIEELCREMEDGERTMEEDKFHRYDIDEKFEELSQLLRQRFNGETQQREFEGYPRKEERKPVSCKPKGENEKCPKQM
+>sp|Q86XR7|TCAM2_HUMAN TIR domain-containing adapter molecule 2 OS=Homo sapiens OX=9606 GN=TICAM2 PE=1 SV=1
+MGIGKSKINSCPLSLSWGKRHSVDTSPGYHESDSKKSEDLSLCNVAEHSNTTEGPTGKQEGAQSVEEMFEEEAEEEVFLKFVILHAEDDTDEALRVQNLLQDDFGIKPGIIFAEMPCGRQHLQNLDDAVNGSAWTILLLTENFLRDTWCNFQFYTSLMNSVNRQHKYNSVIPMRPLNNPLPRERTPFALQTINALEEESRGFPTQVERIFQESVYKTQQTIWKETRNMVQRQFIA
+>DECOY_sp|Q86XR7|TCAM2_HUMAN TIR domain-containing adapter molecule 2 OS=Homo sapiens OX=9606 GN=TICAM2 PE=1 SV=1
+AIFQRQVMNRTEKWITQQTKYVSEQFIREVQTPFGRSEEELANITQLAFPTRERPLPNNLPRMPIVSNYKHQRNVSNMLSTYFQFNCWTDRLFNETLLLITWASGNVADDLNQLHQRGCPMEAFIIGPKIGFDDQLLNQVRLAEDTDDEAHLIVFKLFVEEEAEEEFMEEVSQAGEQKGTPGETTNSHEAVNCLSLDESKKSDSEHYGPSTDVSHRKGWSLSLPCSNIKSKGIGM
+>sp|P01848|TCA_HUMAN T-cell receptor alpha chain C region OS=Homo sapiens OX=9606 GN=TRAC PE=1 SV=1
+PNIQNPDPAVYQLRDSKSSDKSVCLFTDFDSQTNVSQSKDSDVYITDKTVLDMRSMDFKSNSAVAWSNKSDFACANAFNNSIIPEDTFFPSPESSCDVKLVEKSFETDTNLNFQNLSVIGFRILLLKVAGFNLLMTLRLWSS
+>DECOY_sp|P01848|TCA_HUMAN T-cell receptor alpha chain C region OS=Homo sapiens OX=9606 GN=TRAC PE=1 SV=1
+SSWLRLTMLLNFGAVKLLLIRFGIVSLNQFNLNTDTEFSKEVLKVDCSSEPSPFFTDEPIISNNFANACAFDSKNSWAVASNSKFDMSRMDLVTKDTIYVDSDKSQSVNTQSDFDTFLCVSKDSSKSDRLQYVAPDPNQINP
+>sp|Q7RTU0|TCF24_HUMAN Transcription factor 24 OS=Homo sapiens OX=9606 GN=TCF24 PE=3 SV=3
+MDRGRPAGSPLSASAEPAPLAAAIRDSRPGRTGPGPAGPGGGSRSGSGRPAAANAARERSRVQTLRHAFLELQRTLPSVPPDTKLSKLDVLLLATTYIAHLTRSLQDDAEAPADAGLGALRGDGYLHPVKKWPMRSRLYIGATGQFLKHSVSGEKTNHDNTPTDSQP
+>DECOY_sp|Q7RTU0|TCF24_HUMAN Transcription factor 24 OS=Homo sapiens OX=9606 GN=TCF24 PE=3 SV=3
+PQSDTPTNDHNTKEGSVSHKLFQGTAGIYLRSRMPWKKVPHLYGDGRLAGLGADAPAEADDQLSRTLHAIYTTALLLVDLKSLKTDPPVSPLTRQLELFAHRLTQVRSRERAANAAAPRGSGSRSGGGPGAPGPGTRGPRSDRIAAALPAPEASASLPSGAPRGRDM
+>sp|O95988|TCL1B_HUMAN T-cell leukemia/lymphoma protein 1B OS=Homo sapiens OX=9606 GN=TCL1B PE=1 SV=1
+MASEASVRLGVPPGRLWIQRPGIYEDEEGRTWVTVVVRFNPSRREWARASQGSRYEPSITVHLWQMAVHTRELLSSGQMPFSQLPAVWQLYPGRKYRAADSSFWEIADHGQIDSMEQLVLTYQPERKD
+>DECOY_sp|O95988|TCL1B_HUMAN T-cell leukemia/lymphoma protein 1B OS=Homo sapiens OX=9606 GN=TCL1B PE=1 SV=1
+DKREPQYTLVLQEMSDIQGHDAIEWFSSDAARYKRGPYLQWVAPLQSFPMQGSSLLERTHVAMQWLHVTISPEYRSGQSARAWERRSPNFRVVVTVWTRGEEDEYIGPRQIWLRGPPVGLRVSAESAM
+>sp|P20061|TCO1_HUMAN Transcobalamin-1 OS=Homo sapiens OX=9606 GN=TCN1 PE=1 SV=2
+MRQSHQLPLVGLLLFSFIPSQLCEICEVSEENYIRLKPLLNTMIQSNYNRGTSAVNVVLSLKLVGIQIQTLMQKMIQQIKYNVKSRLSDVSSGELALIILALGVCRNAEENLIYDYHLIDKLENKFQAEIENMEAHNGTPLTNYYQLSLDVLALCLFNGNYSTAEVVNHFTPENKNYYFGSQFSVDTGAMAVLALTCVKKSLINGQIKADEGSLKNISIYTKSLVEKILSEKKENGLIGNTFSTGEAMQALFVSSDYYNENDWNCQQTLNTVLTEISQGAFSNPNAAAQVLPALMGKTFLDINKDSSCVSASGNFNISADEPITVTPPDSQSYISVNYSVRINETYFTNVTVLNGSVFLSVMEKAQKMNDTIFGFTMEERSWGPYITCIQGLCANNNDRTYWELLSGGEPLSQGAGSYVVRNGENLEVRWSKY
+>DECOY_sp|P20061|TCO1_HUMAN Transcobalamin-1 OS=Homo sapiens OX=9606 GN=TCN1 PE=1 SV=2
+YKSWRVELNEGNRVVYSGAGQSLPEGGSLLEWYTRDNNNACLGQICTIYPGWSREEMTFGFITDNMKQAKEMVSLFVSGNLVTVNTFYTENIRVSYNVSIYSQSDPPTVTIPEDASINFNGSASVCSSDKNIDLFTKGMLAPLVQAAANPNSFAGQSIETLVTNLTQQCNWDNENYYDSSVFLAQMAEGTSFTNGILGNEKKESLIKEVLSKTYISINKLSGEDAKIQGNILSKKVCTLALVAMAGTDVSFQSGFYYNKNEPTFHNVVEATSYNGNFLCLALVDLSLQYYNTLPTGNHAEMNEIEAQFKNELKDILHYDYILNEEANRCVGLALIILALEGSSVDSLRSKVNYKIQQIMKQMLTQIQIGVLKLSLVVNVASTGRNYNSQIMTNLLPKLRIYNEESVECIECLQSPIFSFLLLGVLPLQHSQRM
+>sp|P20062|TCO2_HUMAN Transcobalamin-2 OS=Homo sapiens OX=9606 GN=TCN2 PE=1 SV=3
+MRHLGAFLFLLGVLGALTEMCEIPEMDSHLVEKLGQHLLPWMDRLSLEHLNPSIYVGLRLSSLQAGTKEDLYLHSLKLGYQQCLLGSAFSEDDGDCQGKPSMGQLALYLLALRANCEFVRGHKGDRLVSQLKWFLEDEKRAIGHDHKGHPHTSYYQYGLGILALCLHQKRVHDSVVDKLLYAVEPFHQGHHSVDTAAMAGLAFTCLKRSNFNPGRRQRITMAIRTVREEILKAQTPEGHFGNVYSTPLALQFLMTSPMRGAELGTACLKARVALLASLQDGAFQNALMISQLLPVLNHKTYIDLIFPDCLAPRVMLEPAAETIPQTQEIISVTLQVLSLLPPYRQSISVLAGSTVEDVLKKAHELGGFTYETQASLSGPYLTSVMGKAAGEREFWQLLRDPNTPLLQGIADYRPKDGETIELRLVSW
+>DECOY_sp|P20062|TCO2_HUMAN Transcobalamin-2 OS=Homo sapiens OX=9606 GN=TCN2 PE=1 SV=3
+WSVLRLEITEGDKPRYDAIGQLLPTNPDRLLQWFEREGAAKGMVSTLYPGSLSAQTEYTFGGLEHAKKLVDEVTSGALVSISQRYPPLLSLVQLTVSIIEQTQPITEAAPELMVRPALCDPFILDIYTKHNLVPLLQSIMLANQFAGDQLSALLAVRAKLCATGLEAGRMPSTMLFQLALPTSYVNGFHGEPTQAKLIEERVTRIAMTIRQRRGPNFNSRKLCTFALGAMAATDVSHHGQHFPEVAYLLKDVVSDHVRKQHLCLALIGLGYQYYSTHPHGKHDHGIARKEDELFWKLQSVLRDGKHGRVFECNARLALLYLALQGMSPKGQCDGDDESFASGLLCQQYGLKLSHLYLDEKTGAQLSSLRLGVYISPNLHELSLRDMWPLLHQGLKEVLHSDMEPIECMETLAGLVGLLFLFAGLHRM
+>sp|Q8IZJ6|TDH_HUMAN Inactive L-threonine 3-dehydrogenase, mitochondrial OS=Homo sapiens OX=9606 GN=TDH PE=2 SV=1
+MLFIRMLRRAGQSPACGCWTPVLPVRFLGISPRQIPADANFHSASFSDTDHPRVLITGALGQLGVGLANLLRKRFGKDSVILSDIRKPPDHVFHSGPFIYSDILDYKNLREIVVNNRITWLFHYSALLSAFGEANVSLARAVNITGLHNILDVAAEHNLQLFVPSTIGAFGPTSPRNPTPDLCIQRPRTIYGVSKVHAELMGETMQSRFSMMPQSMANSSATWKPARDCP
+>DECOY_sp|Q8IZJ6|TDH_HUMAN Inactive L-threonine 3-dehydrogenase, mitochondrial OS=Homo sapiens OX=9606 GN=TDH PE=2 SV=1
+PCDRAPKWTASSNAMSQPMMSFRSQMTEGMLEAHVKSVGYITRPRQICLDPTPNRPSTPGFAGITSPVFLQLNHEAAVDLINHLGTINVARALSVNAEGFASLLASYHFLWTIRNNVVIERLNKYDLIDSYIFPGSHFVHDPPKRIDSLIVSDKGFRKRLLNALGVGLQGLAGTILVRPHDTDSFSASHFNADAPIQRPSIGLFRVPLVPTWCGCAPSQGARRLMRIFLM
+>sp|P28347|TEAD1_HUMAN Transcriptional enhancer factor TEF-1 OS=Homo sapiens OX=9606 GN=TEAD1 PE=1 SV=2
+MEPSSWSGSESPAENMERMSDSADKPIDNDAEGVWSPDIEQSFQEALAIYPPCGRRKIILSDEGKMYGRNELIARYIKLRTGKTRTRKQVSSHIQVLARRKSRDFHSKLKDQTAKDKALQHMAAMSSAQIVSATAIHNKLGLPGIPRPTFPGAPGFWPGMIQTGQPGSSQDVKPFVQQAYPIQPAVTAPIPGFEPASAPAPSVPAWQGRSIGTTKLRLVEFSAFLEQQRDPDSYNKHLFVHIGHANHSYSDPLLESVDIRQIYDKFPEKKGGLKELFGKGPQNAFFLVKFWADLNCNIQDDAGAFYGVTSQYESSENMTVTCSTKVCSFGKQVVEKVETEYARFENGRFVYRINRSPMCEYMINFIHKLKHLPEKYMMNSVLENFTILLVVTNRDTQETLLCMACVFEVSNSEHGAQHHIYRLVKD
+>DECOY_sp|P28347|TEAD1_HUMAN Transcriptional enhancer factor TEF-1 OS=Homo sapiens OX=9606 GN=TEAD1 PE=1 SV=2
+DKVLRYIHHQAGHESNSVEFVCAMCLLTEQTDRNTVVLLITFNELVSNMMYKEPLHKLKHIFNIMYECMPSRNIRYVFRGNEFRAYETEVKEVVQKGFSCVKTSCTVTMNESSEYQSTVGYFAGADDQINCNLDAWFKVLFFANQPGKGFLEKLGGKKEPFKDYIQRIDVSELLPDSYSHNAHGIHVFLHKNYSDPDRQQELFASFEVLRLKTTGISRGQWAPVSPAPASAPEFGPIPATVAPQIPYAQQVFPKVDQSSGPQGTQIMGPWFGPAGPFTPRPIGPLGLKNHIATASVIQASSMAAMHQLAKDKATQDKLKSHFDRSKRRALVQIHSSVQKRTRTKGTRLKIYRAILENRGYMKGEDSLIIKRRGCPPYIALAEQFSQEIDPSWVGEADNDIPKDASDSMREMNEAPSESGSWSSPEM
+>sp|Q15561|TEAD4_HUMAN Transcriptional enhancer factor TEF-3 OS=Homo sapiens OX=9606 GN=TEAD4 PE=1 SV=3
+MEGTAGTITSNEWSSPTSPEGSTASGGSQALDKPIDNDAEGVWSPDIEQSFQEALAIYPPCGRRKIILSDEGKMYGRNELIARYIKLRTGKTRTRKQVSSHIQVLARRKAREIQAKLKDQAAKDKALQSMAAMSSAQIISATAFHSSMALARGPGRPAVSGFWQGALPGQAGTSHDVKPFSQQTYAVQPPLPLPGFESPAGPAPSPSAPPAPPWQGRSVASSKLWMLEFSAFLEQQQDPDTYNKHLFVHIGQSSPSYSDPYLEAVDIRQIYDKFPEKKGGLKDLFERGPSNAFFLVKFWADLNTNIEDEGSSFYGVSSQYESPENMIITCSTKVCSFGKQVVEKVETEYARYENGHYSYRIHRSPLCEYMINFIHKLKHLPEKYMMNSVLENFTILQVVTNRDTQETLLCIAYVFEVSASEHGAQHHIYRLVKE
+>DECOY_sp|Q15561|TEAD4_HUMAN Transcriptional enhancer factor TEF-3 OS=Homo sapiens OX=9606 GN=TEAD4 PE=1 SV=3
+EKVLRYIHHQAGHESASVEFVYAICLLTEQTDRNTVVQLITFNELVSNMMYKEPLHKLKHIFNIMYECLPSRHIRYSYHGNEYRAYETEVKEVVQKGFSCVKTSCTIIMNEPSEYQSSVGYFSSGEDEINTNLDAWFKVLFFANSPGREFLDKLGGKKEPFKDYIQRIDVAELYPDSYSPSSQGIHVFLHKNYTDPDQQQELFASFELMWLKSSAVSRGQWPPAPPASPSPAPGAPSEFGPLPLPPQVAYTQQSFPKVDHSTGAQGPLAGQWFGSVAPRGPGRALAMSSHFATASIIQASSMAAMSQLAKDKAAQDKLKAQIERAKRRALVQIHSSVQKRTRTKGTRLKIYRAILENRGYMKGEDSLIIKRRGCPPYIALAEQFSQEIDPSWVGEADNDIPKDLAQSGGSATSGEPSTPSSWENSTITGATGEM
+>sp|Q15185|TEBP_HUMAN Prostaglandin E synthase 3 OS=Homo sapiens OX=9606 GN=PTGES3 PE=1 SV=1
+MQPASAKWYDRRDYVFIEFCVEDSKDVNVNFEKSKLTFSCLGGSDNFKHLNEIDLFHCIDPNDSKHKRTDRSILCCLRKGESGQSWPRLTKERAKLNWLSVDFNNWKDWEDDSDEDMSNFDRFSEMMNNMGGDEDVDLPEVDGADDDSQDSDDEKMPDLE
+>DECOY_sp|Q15185|TEBP_HUMAN Prostaglandin E synthase 3 OS=Homo sapiens OX=9606 GN=PTGES3 PE=1 SV=1
+ELDPMKEDDSDQSDDDAGDVEPLDVDEDGGMNNMMESFRDFNSMDEDSDDEWDKWNNFDVSLWNLKAREKTLRPWSQGSEGKRLCCLISRDTRKHKSDNPDICHFLDIENLHKFNDSGGLCSFTLKSKEFNVNVDKSDEVCFEIFVYDRRDYWKASAPQM
+>sp|Q96GX1|TECT2_HUMAN Tectonic-2 OS=Homo sapiens OX=9606 GN=TCTN2 PE=2 SV=1
+MGFQPPAALLLRLFLLQGILRLLWGDLAFIPPFIRMSGPAVSASLVGDTEGVTVSLAVLQDEAGILPIPTCGVLNNETEDWSVTVIPGAKVLEVTVRWKRGLDWCSSNETDSFSESPCILQTLLVSASHNSSCSAHLLIQVEIYANSSLTHNASENVTVIPNQVYQPLGPCPCNLTAGACDVRCCCDQECSSNLTTLFRRSCFTGVFGGDVNPPFDQLCSAGTTTRGVPDWFPFLCVQSPLANTPFLGYFYHGAVSPKQDSSFEVYVDTDAKDFADFGYKQGDPIMTVKKAYFTIPQVSLAGQCMQNAPVAFLHNFDVKCVTNLELYQERDGIINAKIKNVALGGIVTPKVIYEEATDLDKFITNTETPLNNGSTPRIVNVEEHYIFKWNNNTISEINVKIFRAEINAHQKGIMTQRFVVKFLSYNSGNEEELSGNPGYQLGKPVRALNINRMNNVTTLHLWQSAGRGLCTSATFKPILFGENVLSGCLLEVGINENCTQLRENAVERLDSLIQATHVAMRGNSDYADLSDGWLEIIRVDAPDPGADPLASSVNGMCLDIPAHLSIRILISDAGAVEGITQQEILGVETRFSSVNWQYQCGLTCEHKADLLPISASVQFIKIPAQLPHPLTRFQINYTEYDCNRNEVCWPQLLYPWTQYYQGELHSQCVAKGLLLLLFLTLALFLSNPWTRICKAYS
+>DECOY_sp|Q96GX1|TECT2_HUMAN Tectonic-2 OS=Homo sapiens OX=9606 GN=TCTN2 PE=2 SV=1
+SYAKCIRTWPNSLFLALTLFLLLLLGKAVCQSHLEGQYYQTWPYLLQPWCVENRNCDYETYNIQFRTLPHPLQAPIKIFQVSASIPLLDAKHECTLGCQYQWNVSSFRTEVGLIEQQTIGEVAGADSILIRISLHAPIDLCMGNVSSALPDAGPDPADVRIIELWGDSLDAYDSNGRMAVHTAQILSDLREVANERLQTCNENIGVELLCGSLVNEGFLIPKFTASTCLGRGASQWLHLTTVNNMRNINLARVPKGLQYGPNGSLEEENGSNYSLFKVVFRQTMIGKQHANIEARFIKVNIESITNNNWKFIYHEEVNVIRPTSGNNLPTETNTIFKDLDTAEEYIVKPTVIGGLAVNKIKANIIGDREQYLELNTVCKVDFNHLFAVPANQMCQGALSVQPITFYAKKVTMIPDGQKYGFDAFDKADTDVYVEFSSDQKPSVAGHYFYGLFPTNALPSQVCLFPFWDPVGRTTTGASCLQDFPPNVDGGFVGTFCSRRFLTTLNSSCEQDCCCRVDCAGATLNCPCPGLPQYVQNPIVTVNESANHTLSSNAYIEVQILLHASCSSNHSASVLLTQLICPSESFSDTENSSCWDLGRKWRVTVELVKAGPIVTVSWDETENNLVGCTPIPLIGAEDQLVALSVTVGETDGVLSASVAPGSMRIFPPIFALDGWLLRLIGQLLFLRLLLAAPPQFGM
+>sp|Q96PL2|TECTB_HUMAN Beta-tectorin OS=Homo sapiens OX=9606 GN=TECTB PE=2 SV=1
+MVTKAFVLLAIFAEASAKSCAPNKADVILVFCYPKTIITKIPECPYGWEVHQLALGGLCYNGVHEGGYYQFVIPDLSPKNKSYCGTQSEYKPPIYHFYSHIVSNDTTVIVKNQPVNYSFSCTYHSTYLVNQAAFDQRVATVHVKNGSMGTFESQLSLNFYTNAKFSIKKEAPFVLEASEIGSDLFAGVEAKGLSIRFKVVLNSCWATPSADFMYPLQWQLINKGCPTDETVLVHENGRDHRATFQFNAFRFQNIPKLSKVWLHCETFICDSEKLSCPVTCDKRKRLLRDQTGGVLVVELSLRSRGFSSLYSFSDVLHHLIMMLGICAVL
+>DECOY_sp|Q96PL2|TECTB_HUMAN Beta-tectorin OS=Homo sapiens OX=9606 GN=TECTB PE=2 SV=1
+LVACIGLMMILHHLVDSFSYLSSFGRSRLSLEVVLVGGTQDRLLRKRKDCTVPCSLKESDCIFTECHLWVKSLKPINQFRFANFQFTARHDRGNEHVLVTEDTPCGKNILQWQLPYMFDASPTAWCSNLVVKFRISLGKAEVGAFLDSGIESAELVFPAEKKISFKANTYFNLSLQSEFTGMSGNKVHVTAVRQDFAAQNVLYTSHYTCSFSYNVPQNKVIVTTDNSVIHSYFHYIPPKYESQTGCYSKNKPSLDPIVFQYYGGEHVGNYCLGGLALQHVEWGYPCEPIKTIITKPYCFVLIVDAKNPACSKASAEAFIALLVFAKTVM
+>sp|Q9Y4R8|TELO2_HUMAN Telomere length regulation protein TEL2 homolog OS=Homo sapiens OX=9606 GN=TELO2 PE=1 SV=2
+MEPAPSEVRLAVREAIHALSSSEDGGHIFCTLESLKRYLGEMEPPALPREKEEFASAHFSPVLRCLASRLSPAWLELLPHGRLEELWASFFLEGPADQAFLVLMETIEGAAGPSFRLMKMARLLARFLREGRLAVLMEAQCRQQTQPGFILLRETLLGKVVALPDHLGNRLQQENLAEFFPQNYFRLLGEEVVRVLQAVVDSLQGGLDSSVSFVSQVLGKACVHGRQQEILGVLVPRLAALTQGSYLHQRVCWRLVEQVPDRAMEAVLTGLVEAALGPEVLSRLLGNLVVKNKKAQFVMTQKLLFLQSRLTTPMLQSLLGHLAMDSQRRPLLLQVLKELLETWGSSSAIRHTPLPQQRHVSKAVLICLAQLGEPELRDSRDELLASMMAGVKCRLDSSLPPVRRLGMIVAEVVSARIHPEGPPLKFQYEEDELSLELLALASPQPAGDGASEAGTSLVPATAEPPAETPAEIVDGGVPQAQLAGSDSDLDSDDEFVPYDMSGDRELKSSKAPAYVRDCVEALTTSEDIERWEAALRALEGLVYRSPTATREVSVELAKVLLHLEEKTCVVGFAGLRQRALVAVTVTDPAPVADYLTSQFYALNYSLRQRMDILDVLTLAAQELSRPGCLGRTPQPGSPSPNTPCLPEAAVSQPGSAVASDWRVVVEERIRSKTQRLSKGGPRQGPAGSPSRFNSVAGHFFFPLLQRFDRPLVTFDLLGEDQLVLGRLAHTLGALMCLAVNTTVAVAMGKALLEFVWALRFHIDAYVRQGLLSAVSSVLLSLPAARLLEDLMDELLEARSWLADVAEKDPDEDCRTLALRALLLLQRLKNRLLPPASP
+>DECOY_sp|Q9Y4R8|TELO2_HUMAN Telomere length regulation protein TEL2 homolog OS=Homo sapiens OX=9606 GN=TELO2 PE=1 SV=2
+PSAPPLLRNKLRQLLLLARLALTRCDEDPDKEAVDALWSRAELLEDMLDELLRAAPLSLLVSSVASLLGQRVYADIHFRLAWVFELLAKGMAVAVTTNVALCMLAGLTHALRGLVLQDEGLLDFTVLPRDFRQLLPFFFHGAVSNFRSPSGAPGQRPGGKSLRQTKSRIREEVVVRWDSAVASGPQSVAAEPLCPTNPSPSGPQPTRGLCGPRSLEQAALTLVDLIDMRQRLSYNLAYFQSTLYDAVPAPDTVTVAVLARQRLGAFGVVCTKEELHLLVKALEVSVERTATPSRYVLGELARLAAEWREIDESTTLAEVCDRVYAPAKSSKLERDGSMDYPVFEDDSDLDSDSGALQAQPVGGDVIEAPTEAPPEATAPVLSTGAESAGDGAPQPSALALLELSLEDEEYQFKLPPGEPHIRASVVEAVIMGLRRVPPLSSDLRCKVGAMMSALLEDRSDRLEPEGLQALCILVAKSVHRQQPLPTHRIASSSGWTELLEKLVQLLLPRRQSDMALHGLLSQLMPTTLRSQLFLLKQTMVFQAKKNKVVLNGLLRSLVEPGLAAEVLGTLVAEMARDPVQEVLRWCVRQHLYSGQTLAALRPVLVGLIEQQRGHVCAKGLVQSVFSVSSDLGGQLSDVVAQLVRVVEEGLLRFYNQPFFEALNEQQLRNGLHDPLAVVKGLLTERLLIFGPQTQQRCQAEMLVALRGERLFRALLRAMKMLRFSPGAAGEITEMLVLFAQDAPGELFFSAWLEELRGHPLLELWAPSLRSALCRLVPSFHASAFEEKERPLAPPEMEGLYRKLSELTCFIHGGDESSSLAHIAERVALRVESPAPEM
+>sp|Q8NHR7|TERB2_HUMAN Telomere repeats-binding bouquet formation protein 2 OS=Homo sapiens OX=9606 GN=TERB2 PE=2 SV=1
+MFQGQRGWFCGSVSQDLRQFWVAEGGTISDPRAADFLFSCDASHPDTLRIYQSLDYIEDNATVFHAYYLSAVANAKIKNSVALGHFILPPACLQKEIRRKIGSFIWEQDQHFLIEKHDEVTPNEIKTLRENSELATEHKKELSKSPEKHFIRTPVVEKQMYFPLQNYPVNNMVTGYISIDAMKKFLGELHDFIPGTSGYLAYHVQNEINMSAIKNKLKRK
+>DECOY_sp|Q8NHR7|TERB2_HUMAN Telomere repeats-binding bouquet formation protein 2 OS=Homo sapiens OX=9606 GN=TERB2 PE=2 SV=1
+KRKLKNKIASMNIENQVHYALYGSTGPIFDHLEGLFKKMADISIYGTVMNNVPYNQLPFYMQKEVVPTRIFHKEPSKSLEKKHETALESNERLTKIENPTVEDHKEILFHQDQEWIFSGIKRRIEKQLCAPPLIFHGLAVSNKIKANAVASLYYAHFVTANDEIYDLSQYIRLTDPHSADCSFLFDAARPDSITGGEAVWFQRLDQSVSGCFWGRQGQFM
+>sp|C9J3V5|TEX22_HUMAN Testis-expressed protein 22 OS=Homo sapiens OX=9606 GN=TEX22 PE=2 SV=1
+MDSRKLSPRGKKLESHLSQEHRRPPLGLIAAWGQPSIQSSVQQGLQTQDWVCEPPERRRPGRRWSVSIDERRRLATLGGRERPGAAGTQLHCRDVVQMVAQLVSEDVDKDVLLPHPLRSTESTNAFQAFLARSAPFWHNATFEASRSPPS
+>DECOY_sp|C9J3V5|TEX22_HUMAN Testis-expressed protein 22 OS=Homo sapiens OX=9606 GN=TEX22 PE=2 SV=1
+SPPSRSAEFTANHWFPASRALFAQFANTSETSRLPHPLLVDKDVDESVLQAVMQVVDRCHLQTGAAGPRERGGLTALRRREDISVSWRRGPRRREPPECVWDQTQLGQQVSSQISPQGWAAILGLPPRRHEQSLHSELKKGRPSLKRSDM
+>sp|Q5T0J7|TEX35_HUMAN Testis-expressed protein 35 OS=Homo sapiens OX=9606 GN=TEX35 PE=2 SV=1
+MSAKRAELKKTHLSKNYKAVCLELKPEPTKTFDYKAVKQEGRFTKAGVTQDLKNELREVREELKEKMEEIKQIKDLMDKDFDKLHEFVEIMKEMQKDMDEKMDILINTQKNYKLPLRRAPKEQQELRLMGKTHREPQLRPKKMDGASGVNGAPCALHKKTMAPQKTKQGSLDPLHHCGTCCEKCLLCALKNNYNRGNIPSEASGLYKGGEEPVTTQPSVGHAVPAPKSQTEGR
+>DECOY_sp|Q5T0J7|TEX35_HUMAN Testis-expressed protein 35 OS=Homo sapiens OX=9606 GN=TEX35 PE=2 SV=1
+RGETQSKPAPVAHGVSPQTTVPEEGGKYLGSAESPINGRNYNNKLACLLCKECCTGCHHLPDLSGQKTKQPAMTKKHLACPAGNVGSAGDMKKPRLQPERHTKGMLRLEQQEKPARRLPLKYNKQTNILIDMKEDMDKQMEKMIEVFEHLKDFDKDMLDKIQKIEEMKEKLEERVERLENKLDQTVGAKTFRGEQKVAKYDFTKTPEPKLELCVAKYNKSLHTKKLEARKASM
+>sp|Q6PEX7|TEX38_HUMAN Testis-expressed protein 38 OS=Homo sapiens OX=9606 GN=TEX38 PE=2 SV=3
+MDSQQEDLRFPGMWVSLYFGILGLCSVITGGCIIFLHWRKNLRREEHAQQWVEVMRAATFTYSPLLYWINKRRRYGMNAAINTGPAPAVTKTETEVQNPDVLWDLDIPEGRSHADQDSNPKAEAPAPLQPALQLAPQQPQARSPFPLPIFQEVPFAPPLCNLPPLLNHSVSYPLATCPERNVLFHSLLNLAQEDHSFNAKPFPSEL
+>DECOY_sp|Q6PEX7|TEX38_HUMAN Testis-expressed protein 38 OS=Homo sapiens OX=9606 GN=TEX38 PE=2 SV=3
+LESPFPKANFSHDEQALNLLSHFLVNREPCTALPYSVSHNLLPPLNCLPPAFPVEQFIPLPFPSRAQPQQPALQLAPQLPAPAEAKPNSDQDAHSRGEPIDLDWLVDPNQVETETKTVAPAPGTNIAANMGYRRRKNIWYLLPSYTFTAARMVEVWQQAHEERRLNKRWHLFIICGGTIVSCLGLIGFYLSVWMGPFRLDEQQSDM
+>sp|Q53QW1|TEX44_HUMAN Testis-expressed protein 44 OS=Homo sapiens OX=9606 GN=TEX44 PE=1 SV=1
+MALPGYPLGNVDDSRSKDSPAGEPQGQVPLTADVLAVSSSVASTDWQDIDQASFKTATPRAISTSGDKDKSAVVPEHGQKTPRKITPLLPSQNPSPLQVSMSLQNPAWDRQVQDARTSQSLVVFPSHLLGKDKMSQMASVPEREPESAPSAPSAELQSTQHMEAQPVESDADHVTAGANGQHGPQAASTTKSAEEKAEHPKAPHPEAEALPSDESPVAMGANVVDSLGDLQTWFFPPPPAGSVSPSPGPHEVALGRRPLDSSLYTASEENSYMRSMTSLLDRGEGSISSLADILVWSETTMGMAIATGFLDSGHSTVADLLHSSGPSLRSVPSLVGSVSSAFSSGLVSGTSSALRTITRVLETVEQRTVEGIRSAMRYLTSHLTPRQAQADPNYD
+>DECOY_sp|Q53QW1|TEX44_HUMAN Testis-expressed protein 44 OS=Homo sapiens OX=9606 GN=TEX44 PE=1 SV=1
+DYNPDAQAQRPTLHSTLYRMASRIGEVTRQEVTELVRTITRLASSTGSVLGSSFASSVSGVLSPVSRLSPGSSHLLDAVTSHGSDLFGTAIAMGMTTESWVLIDALSSISGEGRDLLSTMSRMYSNEESATYLSSDLPRRGLAVEHPGPSPSVSGAPPPPFFWTQLDGLSDVVNAGMAVPSEDSPLAEAEPHPAKPHEAKEEASKTTSAAQPGHQGNAGATVHDADSEVPQAEMHQTSQLEASPASPASEPEREPVSAMQSMKDKGLLHSPFVVLSQSTRADQVQRDWAPNQLSMSVQLPSPNQSPLLPTIKRPTKQGHEPVVASKDKDGSTSIARPTATKFSAQDIDQWDTSAVSSSVALVDATLPVQGQPEGAPSDKSRSDDVNGLPYGPLAM
+>sp|Q8NA69|TEX45_HUMAN Testis-expressed protein 45 OS=Homo sapiens OX=9606 GN=TEX45 PE=2 SV=2
+MATGALLPCSRPCPMSRLDFLKASHFSLGPDLRLHEGTMRTTSHRDFAYPAATREPPSLQPPPALLFPMDPRWDREERVSEAHRAFPPPSTPPWELLQAQARERTLAMQAGNLHLHEDAHAGIGLSNAHAAYGWPELPARTRERIRGARLIFDRDSLPPGDRDKLRIPPTTHQALFPPHDARPQPRAPSCHLGGPNTLKWDYTRQDGTSYQRQFQALPGPPALRCKRASSGVELGDCKISYGSTCSEQKQAYRPQDLPEDRYDKAQATAHIHCVNIRPGDGLFRDRTTKAEHFYAREPEPFVLHHDQTPESHILKGNWCPGPGSLDTFMQYFYGQPPPPTQPPSRHVPHEKLQSHVTLGEPKLLKRFFKTTMGSDYCPSEWRQVQKAPNLHLQQSYLPRGTGEFDFLTMNQKMLKPHRTPPAPVTEEMLQRCKYSHMEPPLGGLRFFSTQYKDEFPFKYQGPAALRLKNPQEGFVPLGTPHQRGCREKIDPLVPQPPMYLCPSQQ
+>DECOY_sp|Q8NA69|TEX45_HUMAN Testis-expressed protein 45 OS=Homo sapiens OX=9606 GN=TEX45 PE=2 SV=2
+QQSPCLYMPPQPVLPDIKERCGRQHPTGLPVFGEQPNKLRLAAPGQYKFPFEDKYQTSFFRLGGLPPEMHSYKCRQLMEETVPAPPTRHPKLMKQNMTLFDFEGTGRPLYSQQLHLNPAKQVQRWESPCYDSGMTTKFFRKLLKPEGLTVHSQLKEHPVHRSPPQTPPPPQGYFYQMFTDLSGPGPCWNGKLIHSEPTQDHHLVFPEPERAYFHEAKTTRDRFLGDGPRINVCHIHATAQAKDYRDEPLDQPRYAQKQESCTSGYSIKCDGLEVGSSARKCRLAPPGPLAQFQRQYSTGDQRTYDWKLTNPGGLHCSPARPQPRADHPPFLAQHTTPPIRLKDRDGPPLSDRDFILRAGRIRERTRAPLEPWGYAAHANSLGIGAHADEHLHLNGAQMALTRERAQAQLLEWPPTSPPPFARHAESVREERDWRPDMPFLLAPPPQLSPPERTAAPYAFDRHSTTRMTGEHLRLDPGLSFHSAKLFDLRSMPCPRSCPLLAGTAM
+>sp|A0A1B0GTD5|TEX49_HUMAN Testis-expressed protein 49 OS=Homo sapiens OX=9606 GN=TEX49 PE=4 SV=1
+MAFFNLYLLGYQNSFQNKKRNTTEETNQKEPEPTRLPPIISKDGNYSVHQNSHTRYHEAVRKVLLKTFPNQVFRIPLTDAQNFSFWWSHDPGVRPEETMPWIRSPRHCLIKSAMTRFMDHSILNDRTFSLY
+>DECOY_sp|A0A1B0GTD5|TEX49_HUMAN Testis-expressed protein 49 OS=Homo sapiens OX=9606 GN=TEX49 PE=4 SV=1
+YLSFTRDNLISHDMFRTMASKILCHRPSRIWPMTEEPRVGPDHSWWFSFNQADTLPIRFVQNPFTKLLVKRVAEHYRTHSNQHVSYNGDKSIIPPLRTPEPEKQNTEETTNRKKNQFSNQYGLLYLNFFAM
+>sp|A0A1B0GVG6|TEX54_HUMAN Testis-expressed protein 54 OS=Homo sapiens OX=9606 GN=TEX54 PE=2 SV=1
+MGCCQDKDFEMSDEQSKEEESEDGREDETTDTQRGPRECERGLPEGRGELRGLVVPSGAEDIDLNSPDHPNHKSNESLLITVLWRRLSTFGRRGSSRPSKRQPDQIRKQESPIREGNQEEPEKG
+>DECOY_sp|A0A1B0GVG6|TEX54_HUMAN Testis-expressed protein 54 OS=Homo sapiens OX=9606 GN=TEX54 PE=2 SV=1
+GKEPEEQNGERIPSEQKRIQDPQRKSPRSSGRRGFTSLRRWLVTILLSENSKHNPHDPSNLDIDEAGSPVVLGRLEGRGEPLGRECERPGRQTDTTEDERGDESEEEKSQEDSMEFDKDQCCGM
+>sp|Q13888|TF2H2_HUMAN General transcription factor IIH subunit 2 OS=Homo sapiens OX=9606 GN=GTF2H2 PE=1 SV=1
+MDEEPERTKRWEGGYERTWEILKEDESGSLKATIEDILFKAKRKRVFEHHGQVRLGMMRHLYVVVDGSRTMEDQDLKPNRLTCTLKLLEYFVEEYFDQNPISQIGIIVTKSKRAEKLTELSGNPRKHITSLKKAVDMTCHGEPSLYNSLSIAMQTLKHMPGHTSREVLIIFSSLTTCDPSNIYDLIKTLKAAKIRVSVIGLSAEVRVCTVLARETGGTYHVILDESHYKELLTHHVSPPPASSSSECSLIRMGFPQHTIASLSDQDAKPSFSMAHLDGNTEPGLTLGGYFCPQCRAKYCELPVECKICGLTLVSAPHLARSYHHLFPLDAFQEIPLEEYNGERFCYGCQGELKDQHVYVCAVCQNVFCVDCDVFVHDSLHCCPGCIHKIPAPSGV
+>DECOY_sp|Q13888|TF2H2_HUMAN General transcription factor IIH subunit 2 OS=Homo sapiens OX=9606 GN=GTF2H2 PE=1 SV=1
+VGSPAPIKHICGPCCHLSDHVFVDCDVCFVNQCVACVYVHQDKLEGQCGYCFREGNYEELPIEQFADLPFLHHYSRALHPASVLTLGCIKCEVPLECYKARCQPCFYGGLTLGPETNGDLHAMSFSPKADQDSLSAITHQPFGMRILSCESSSSAPPPSVHHTLLEKYHSEDLIVHYTGGTERALVTCVRVEASLGIVSVRIKAAKLTKILDYINSPDCTTLSSFIILVERSTHGPMHKLTQMAISLSNYLSPEGHCTMDVAKKLSTIHKRPNGSLETLKEARKSKTVIIGIQSIPNQDFYEEVFYELLKLTCTLRNPKLDQDEMTRSGDVVVYLHRMMGLRVQGHHEFVRKRKAKFLIDEITAKLSGSEDEKLIEWTREYGGEWRKTREPEEDM
+>sp|Q9HCS4|TF7L1_HUMAN Transcription factor 7-like 1 OS=Homo sapiens OX=9606 GN=TCF7L1 PE=2 SV=1
+MPQLGGGGGGGGGGSGGGGGSSAGAAGGGDDLGANDELIPFQDEGGEEQEPSSDSASAQRDLDEVKSSLVNESENQSSSSDSEAERRPQPVRDTFQKPRDYFAEVRRPQDSAFFKGPPYPGYPFLMIPDLSSPYLSNGPLSPGGARTYLQMKWPLLDVPSSATVKDTRSPSPAHLSNKVPVVQHPHHMHPLTPLITYSNDHFSPGSPPTHLSPEIDPKTGIPRPPHPSELSPYYPLSPGAVGQIPHPLGWLVPQQGQPMYSLPPGGFRHPYPALAMNASMSSLVSSRFSPHMVAPAHPGLPTSGIPHPAIVSPIVKQEPAPPSLSPAVSVKSPVTVKKEEEKKPHVKKPLNAFMLYMKEMRAKVVAECTLKESAAINQILGRKWHNLSREEQAKYYELARKERQLHSQLYPTWSARDNYGKKKKRKREKQLSQTQSQQQVQEAEGALASKSKKPCVQYLPPEKPCDSPASSHGSMLDSPATPSAALASPAAPAATHSEQAQPLSLTTKPETRAQLALHSAAFLSAKAAASSSGQMGSQPPLLSRPLPLGSMPTALLASPPSFPATLHAHQALPVLQAQPLSLVTKSAH
+>DECOY_sp|Q9HCS4|TF7L1_HUMAN Transcription factor 7-like 1 OS=Homo sapiens OX=9606 GN=TCF7L1 PE=2 SV=1
+HASKTVLSLPQAQLVPLAQHAHLTAPFSPPSALLATPMSGLPLPRSLLPPQSGMQGSSSAAAKASLFAASHLALQARTEPKTTLSLPQAQESHTAAPAAPSALAASPTAPSDLMSGHSSAPSDCPKEPPLYQVCPKKSKSALAGEAEQVQQQSQTQSLQKERKRKKKKGYNDRASWTPYLQSHLQREKRALEYYKAQEERSLNHWKRGLIQNIAASEKLTCEAVVKARMEKMYLMFANLPKKVHPKKEEEKKVTVPSKVSVAPSLSPPAPEQKVIPSVIAPHPIGSTPLGPHAPAVMHPSFRSSVLSSMSANMALAPYPHRFGGPPLSYMPQGQQPVLWGLPHPIQGVAGPSLPYYPSLESPHPPRPIGTKPDIEPSLHTPPSGPSFHDNSYTILPTLPHMHHPHQVVPVKNSLHAPSPSRTDKVTASSPVDLLPWKMQLYTRAGGPSLPGNSLYPSSLDPIMLFPYGPYPPGKFFASDQPRRVEAFYDRPKQFTDRVPQPRREAESDSSSSQNESENVLSSKVEDLDRQASASDSSPEQEEGGEDQFPILEDNAGLDDGGGAAGASSGGGGGSGGGGGGGGGGLQPM
+>sp|Q9UBB9|TFP11_HUMAN Tuftelin-interacting protein 11 OS=Homo sapiens OX=9606 GN=TFIP11 PE=1 SV=1
+MSLSHLYRDGEGRIDDDDDERENFEITDWDLQNEFNPNRQRHWQTKEEATYGVWAERDSDDERPSFGGKRARDYSAPVNFISAGLKKGAAEEAELEDSDDEEKPVKQDDFPKDFGPRKLKTGGNFKPSQKGFAGGTKSFMDFGSWERHTKGIGQKLLQKMGYVPGRGLGKNAQGIINPIEAKQRKGKGAVGAYGSERTTQSMQDFPVVDSEEEAEEEFQKELSQWRKDPSGSKKKPKYSYKTVEELKAKGRISKKLTAPQKELSQVKVIDMTGREQKVYYSYSQISHKHNVPDDGLPLQSQQLPQSGKEAKAPGFALPELEHNLQLLIDLTEQEIIQNDRQLQYERDMVVNLFHELEKMTEVLDHEERVISNLSKVLEMVEECERRMQPDCSNPLTLDECARIFETLQDKYYEEYRMSDRVDLAVAIVYPLMKEYFKEWDPLKDCTYGTEIISKWKSLLENDQLLSHGGQDLSADAFHRLIWEVWMPFVRNIVTQWQPRNCDPMVDFLDSWVHIIPVWILDNILDQLIFPKLQKEVENWNPLTDTVPIHSWIHPWLPLMQARLEPLYSPIRSKLSSALQKWHPSDSSAKLILQPWKDVFTPGSWEAFMVKNIVPKLGMCLGELVINPHQQHMDAFYWVIDWEGMISVSSLVGLLEKHFFPKWLQVLCSWLSNSPNYEEITKWYLGWKSMFSDQVLAHPSVKDKFNEALDIMNRAVSSNVGAYMQPGARENIAYLTHTERRKDFQYEAMQERREAENMAQRGIGVAASSVPMNFKDLIETKAEEHNIVFMPVIGKRHEGKQLYTFGRIVIYIDRGVVFVQGEKTWVPTSLQSLIDMAK
+>DECOY_sp|Q9UBB9|TFP11_HUMAN Tuftelin-interacting protein 11 OS=Homo sapiens OX=9606 GN=TFIP11 PE=1 SV=1
+KAMDILSQLSTPVWTKEGQVFVVGRDIYIVIRGFTYLQKGEHRKGIVPMFVINHEEAKTEILDKFNMPVSSAAVGIGRQAMNEAERREQMAEYQFDKRRETHTLYAINERAGPQMYAGVNSSVARNMIDLAENFKDKVSPHALVQDSFMSKWGLYWKTIEEYNPSNSLWSCLVQLWKPFFHKELLGVLSSVSIMGEWDIVWYFADMHQQHPNIVLEGLCMGLKPVINKVMFAEWSGPTFVDKWPQLILKASSDSPHWKQLASSLKSRIPSYLPELRAQMLPLWPHIWSHIPVTDTLPNWNEVEKQLKPFILQDLINDLIWVPIIHVWSDLFDVMPDCNRPQWQTVINRVFPMWVEWILRHFADASLDQGGHSLLQDNELLSKWKSIIETGYTCDKLPDWEKFYEKMLPYVIAVALDVRDSMRYEEYYKDQLTEFIRACEDLTLPNSCDPQMRRECEEVMELVKSLNSIVREEHDLVETMKELEHFLNVVMDREYQLQRDNQIIEQETLDILLQLNHELEPLAFGPAKAEKGSQPLQQSQLPLGDDPVNHKHSIQSYSYYVKQERGTMDIVKVQSLEKQPATLKKSIRGKAKLEEVTKYSYKPKKKSGSPDKRWQSLEKQFEEEAEEESDVVPFDQMSQTTRESGYAGVAGKGKRQKAEIPNIIGQANKGLGRGPVYGMKQLLKQGIGKTHREWSGFDMFSKTGGAFGKQSPKFNGGTKLKRPGFDKPFDDQKVPKEEDDSDELEAEEAAGKKLGASIFNVPASYDRARKGGFSPREDDSDREAWVGYTAEEKTQWHRQRNPNFENQLDWDTIEFNEREDDDDDIRGEGDRYLHSLSM
+>sp|Q03167|TGBR3_HUMAN Transforming growth factor beta receptor type 3 OS=Homo sapiens OX=9606 GN=TGFBR3 PE=1 SV=3
+MTSHYVIAIFALMSSCLATAGPEPGALCELSPVSASHPVQALMESFTVLSGCASRGTTGLPQEVHVLNLRTAGQGPGQLQREVTLHLNPISSVHIHHKSVVFLLNSPHPLVWHLKTERLATGVSRLFLVSEGSVVQFSSANFSLTAETEERNFPHGNEHLLNWARKEYGAVTSFTELKIARNIYIKVGEDQVFPPKCNIGKNFLSLNYLAEYLQPKAAEGCVMSSQPQNEEVHIIELITPNSNPYSAFQVDITIDIRPSQEDLEVVKNLILILKCKKSVNWVIKSFDVKGSLKIIAPNSIGFGKESERSMTMTKSIRDDIPSTQGNLVKWALDNGYSPITSYTMAPVANRFHLRLENNAEEMGDEEVHTIPPELRILLDPGALPALQNPPIRGGEGQNGGLPFPFPDISRRVWNEEGEDGLPRPKDPVIPSIQLFPGLREPEEVQGSVDIALSVKCDNEKMIVAVEKDSFQASGYSGMDVTLLDPTCKAKMNGTHFVLESPLNGCGTRPRWSALDGVVYYNSIVIQVPALGDSSGWPDGYEDLESGDNGFPGDMDEGDASLFTRPEIVVFNCSLQQVRNPSSFQEQPHGNITFNMELYNTDLFLVPSQGVFSVPENGHVYVEVSVTKAEQELGFAIQTCFISPYSNPDRMSHYTIIENICPKDESVKFYSPKRVHFPIPQADMDKKRFSFVFKPVFNTSLLFLQCELTLCTKMEKHPQKLPKCVPPDEACTSLDASIIWAMMQNKKTFTKPLAVIHHEAESKEKGPSMKEPNPISPPIFHGLDTLTVMGIAFAAFVIGALLTGALWYIYSHTGETAGRQQVPTSPPASENSSAAHSIGSTQSTPCSSSSTA
+>DECOY_sp|Q03167|TGBR3_HUMAN Transforming growth factor beta receptor type 3 OS=Homo sapiens OX=9606 GN=TGFBR3 PE=1 SV=3
+ATSSSSCPTSQTSGISHAASSNESAPPSTPVQQRGATEGTHSYIYWLAGTLLAGIVFAAFAIGMVTLTDLGHFIPPSIPNPEKMSPGKEKSEAEHHIVALPKTFTKKNQMMAWIISADLSTCAEDPPVCKPLKQPHKEMKTCLTLECQLFLLSTNFVPKFVFSFRKKDMDAQPIPFHVRKPSYFKVSEDKPCINEIITYHSMRDPNSYPSIFCTQIAFGLEQEAKTVSVEVYVHGNEPVSFVGQSPVLFLDTNYLEMNFTINGHPQEQFSSPNRVQQLSCNFVVIEPRTFLSADGEDMDGPFGNDGSELDEYGDPWGSSDGLAPVQIVISNYYVVGDLASWRPRTGCGNLPSELVFHTGNMKAKCTPDLLTVDMGSYGSAQFSDKEVAVIMKENDCKVSLAIDVSGQVEEPERLGPFLQISPIVPDKPRPLGDEGEENWVRRSIDPFPFPLGGNQGEGGRIPPNQLAPLAGPDLLIRLEPPITHVEEDGMEEANNELRLHFRNAVPAMTYSTIPSYGNDLAWKVLNGQTSPIDDRISKTMTMSRESEKGFGISNPAIIKLSGKVDFSKIVWNVSKKCKLILILNKVVELDEQSPRIDITIDVQFASYPNSNPTILEIIHVEENQPQSSMVCGEAAKPQLYEALYNLSLFNKGINCKPPFVQDEGVKIYINRAIKLETFSTVAGYEKRAWNLLHENGHPFNREETEATLSFNASSFQVVSGESVLFLRSVGTALRETKLHWVLPHPSNLLFVVSKHHIHVSSIPNLHLTVERQLQGPGQGATRLNLVHVEQPLGTTGRSACGSLVTFSEMLAQVPHSASVPSLECLAGPEPGATALCSSMLAFIAIVYHSTM
+>sp|Q8WUH2|TGFA1_HUMAN Transforming growth factor-beta receptor-associated protein 1 OS=Homo sapiens OX=9606 GN=TGFBRAP1 PE=1 SV=1
+MMSIKAFTLVSAVERELLMGDKERVNIECVECCGRDLYVGTNDCFVYHFLLEERPVPAGPATFTATKQLQRHLGFKKPVNELRAASALNRLLVLCDNSISLVNMLNLEPVPSGARIKGAATFALNENPVSGDPFCVEVCIISVKRRTIQMFLVYEDRVQIVKEVSTAEQPLAVAVDGHFLCLALTTQYIIHNYSTGVSQDLFPYCSEERPPIVKRIGRQEFLLAGPGGLGMFATVAGISQRAPVHWSENVIGAAVSFPYVIALDDEFITVHSMLDQQQKQTLPFKEGHILQDFEGRVIVATSKGVYILVPLPLEKQIQDLLASRRVEEALVLAKGARRNIPKEKFQVMYRRILQQAGFIQFAQLQFLEAKELFRSGQLDVRELISLYPFLLPTSSSFTRSHPPLHEYADLNQLTQGDQEKMAKCKRFLMSYLNEVRSTEVANGYKEDIDTALLKLYAEADHDSLLDLLVTENFCLLTDSAAWLEKHKKYFALGLLYHYNNQDAAAVQLWVNIVNGDVQDSTRSDLYEYIVDFLTYCLDEELVWAYADWVLQKSEEVGVQVFTKRPLDEQQKNSFNPDDIINCLKKYPKALVKYLEHLVIDKRLQKEEYHTHLAVLYLEEVLLQRASASGKGAEATETQAKLRRLLQKSDLYRVHFLLERLQGAGLPMESAILHGKLGEHEKALHILVHELQDFAAAEDYCLWCSEGRDPPHRQQLFHTLLAIYLHAGPTAHELAVAAVDLLNRHATEFDAAQVLQMLPDTWSVQLLCPFLMGAMRDSIHARRTMQVALGLARSENLIYTYDKMKLKGSSIQLSDKKLCQICQNPFCEPVFVRYPNGGLVHTHCAASRHTNPSSSSPGTRT
+>DECOY_sp|Q8WUH2|TGFA1_HUMAN Transforming growth factor-beta receptor-associated protein 1 OS=Homo sapiens OX=9606 GN=TGFBRAP1 PE=1 SV=1
+TRTGPSSSSPNTHRSAACHTHVLGGNPYRVFVPECFPNQCIQCLKKDSLQISSGKLKMKDYTYILNESRALGLAVQMTRRAHISDRMAGMLFPCLLQVSWTDPLMQLVQAADFETAHRNLLDVAAVALEHATPGAHLYIALLTHFLQQRHPPDRGESCWLCYDEAAAFDQLEHVLIHLAKEHEGLKGHLIASEMPLGAGQLRELLFHVRYLDSKQLLRRLKAQTETAEAGKGSASARQLLVEELYLVALHTHYEEKQLRKDIVLHELYKVLAKPYKKLCNIIDDPNFSNKQQEDLPRKTFVQVGVEESKQLVWDAYAWVLEEDLCYTLFDVIYEYLDSRTSDQVDGNVINVWLQVAAADQNNYHYLLGLAFYKKHKELWAASDTLLCFNETVLLDLLSDHDAEAYLKLLATDIDEKYGNAVETSRVENLYSMLFRKCKAMKEQDGQTLQNLDAYEHLPPHSRTFSSSTPLLFPYLSILERVDLQGSRFLEKAELFQLQAFQIFGAQQLIRRYMVQFKEKPINRRAGKALVLAEEVRRSALLDQIQKELPLPVLIYVGKSTAVIVRGEFDQLIHGEKFPLTQKQQQDLMSHVTIFEDDLAIVYPFSVAAGIVNESWHVPARQSIGAVTAFMGLGGPGALLFEQRGIRKVIPPREESCYPFLDQSVGTSYNHIIYQTTLALCLFHGDVAVALPQEATSVEKVIQVRDEYVLFMQITRRKVSIICVEVCFPDGSVPNENLAFTAAGKIRAGSPVPELNLMNVLSISNDCLVLLRNLASAARLENVPKKFGLHRQLQKTATFTAPGAPVPREELLFHYVFCDNTGVYLDRGCCEVCEINVREKDGMLLEREVASVLTFAKISMM
+>sp|P01135|TGFA_HUMAN Protransforming growth factor alpha OS=Homo sapiens OX=9606 GN=TGFA PE=1 SV=1
+MVPSAGQLALFALGIVLAACQALENSTSPLSADPPVAAAVVSHFNDCPDSHTQFCFHGTCRFLVQEDKPACVCHSGYVGARCEHADLLAVVAASQKKQAITALVVVSIVALAVLIITCVLIHCCQVRKHCEWCRALICRHEKPSALLKGRTACCHSETVV
+>DECOY_sp|P01135|TGFA_HUMAN Protransforming growth factor alpha OS=Homo sapiens OX=9606 GN=TGFA PE=1 SV=1
+VVTESHCCATRGKLLASPKEHRCILARCWECHKRVQCCHILVCTIILVALAVISVVVLATIAQKKQSAAVVALLDAHECRAGVYGSHCVCAPKDEQVLFRCTGHFCFQTHSDPCDNFHSVVAAAVPPDASLPSTSNELAQCAALVIGLAFLALQGASPVM
+>sp|P01137|TGFB1_HUMAN Transforming growth factor beta-1 OS=Homo sapiens OX=9606 GN=TGFB1 PE=1 SV=2
+MPPSGLRLLLLLLPLLWLLVLTPGRPAAGLSTCKTIDMELVKRKRIEAIRGQILSKLRLASPPSQGEVPPGPLPEAVLALYNSTRDRVAGESAEPEPEPEADYYAKEVTRVLMVETHNEIYDKFKQSTHSIYMFFNTSELREAVPEPVLLSRAELRLLRLKLKVEQHVELYQKYSNNSWRYLSNRLLAPSDSPEWLSFDVTGVVRQWLSRGGEIEGFRLSAHCSCDSRDNTLQVDINGFTTGRRGDLATIHGMNRPFLLLMATPLERAQHLQSSRHRRALDTNYCFSSTEKNCCVRQLYIDFRKDLGWKWIHEPKGYHANFCLGPCPYIWSLDTQYSKVLALYNQHNPGASAAPCCVPQALEPLPIVYYVGRKPKVEQLSNMIVRSCKCS
+>DECOY_sp|P01137|TGFB1_HUMAN Transforming growth factor beta-1 OS=Homo sapiens OX=9606 GN=TGFB1 PE=1 SV=2
+SCKCSRVIMNSLQEVKPKRGVYYVIPLPELAQPVCCPAASAGPNHQNYLALVKSYQTDLSWIYPCPGLCFNAHYGKPEHIWKWGLDKRFDIYLQRVCCNKETSSFCYNTDLARRHRSSQLHQARELPTAMLLLFPRNMGHITALDGRRGTTFGNIDVQLTNDRSDCSCHASLRFGEIEGGRSLWQRVVGTVDFSLWEPSDSPALLRNSLYRWSNNSYKQYLEVHQEVKLKLRLLRLEARSLLVPEPVAERLESTNFFMYISHTSQKFKDYIENHTEVMLVRTVEKAYYDAEPEPEPEASEGAVRDRTSNYLALVAEPLPGPPVEGQSPPSALRLKSLIQGRIAEIRKRKVLEMDITKCTSLGAAPRGPTLVLLWLLPLLLLLLRLGSPPM
+>sp|Q9P2Z0|THA10_HUMAN THAP domain-containing protein 10 OS=Homo sapiens OX=9606 GN=THAP10 PE=1 SV=1
+MPARCVAAHCGNTTKSGKSLFRFPKDRAVRLLWDRFVRGCRADWYGGNDRSVICSDHFAPACFDVSSVIQKNLRFSQRLRLVAGAVPTLHRVPAPAPKRGEEGDQAGRLDTRGELQAARHSEAAPGPVSCTRPRAGKQAAASQITCENELVQTQPHADNPSNTVTSVPTHCEEGPVHKSTQISLKRPRHRSVGIQAKVKAFGKRLCNATTQTEELWSRTSSLFDIYSSDSETDTDWDIKSEQSDLSYMAVQVKEETC
+>DECOY_sp|Q9P2Z0|THA10_HUMAN THAP domain-containing protein 10 OS=Homo sapiens OX=9606 GN=THAP10 PE=1 SV=1
+CTEEKVQVAMYSLDSQESKIDWDTDTESDSSYIDFLSSTRSWLEETQTTANCLRKGFAKVKAQIGVSRHRPRKLSIQTSKHVPGEECHTPVSTVTNSPNDAHPQTQVLENECTIQSAAAQKGARPRTCSVPGPAAESHRAAQLEGRTDLRGAQDGEEGRKPAPAPVRHLTPVAGAVLRLRQSFRLNKQIVSSVDFCAPAFHDSCIVSRDNGGYWDARCGRVFRDWLLRVARDKPFRFLSKGSKTTNGCHAAVCRAPM
+>sp|Q7Z6K1|THAP5_HUMAN THAP domain-containing protein 5 OS=Homo sapiens OX=9606 GN=THAP5 PE=1 SV=2
+MPRYCAAICCKNRRGRNNKDRKLSFYPFPLHDKERLEKWLKNMKRDSWVPSKYQFLCSDHFTPDSLDIRWGIRYLKQTAVPTIFSLPEDNQGKDPSKKKSQKKNLEDEKEVCPKAKSEESFVLNETKKNIVNTDVPHQHPELLHSSSLVKPPAPKTGSIQNNMLTLNLVKQHTGKPESTLETSVNQDTGRGGFHTCFENLNSTTITLTTSNSESIHQSLETQEVLEVTTSHLANPNFTSNSMEIKSAQENPFLFSTINQTVEELNTNKESVIAIFVPAENSKPSVNSFISAQKETTEMEDTDIEDSLYKDVDYGTEVLQIEHSYCRQDINKEHLWQKVSKLHSKITLLELKEQQTLGRLKSLEALIRQLKQENWLSEENVKIIENHFTTYEVTMI
+>DECOY_sp|Q7Z6K1|THAP5_HUMAN THAP domain-containing protein 5 OS=Homo sapiens OX=9606 GN=THAP5 PE=1 SV=2
+IMTVEYTTFHNEIIKVNEESLWNEQKLQRILAELSKLRGLTQQEKLELLTIKSHLKSVKQWLHEKNIDQRCYSHEIQLVETGYDVDKYLSDEIDTDEMETTEKQASIFSNVSPKSNEAPVFIAIVSEKNTNLEEVTQNITSFLFPNEQASKIEMSNSTFNPNALHSTTVELVEQTELSQHISESNSTTLTITTSNLNEFCTHFGGRGTDQNVSTELTSEPKGTHQKVLNLTLMNNQISGTKPAPPKVLSSSHLLEPHQHPVDTNVINKKTENLVFSEESKAKPCVEKEDELNKKQSKKKSPDKGQNDEPLSFITPVATQKLYRIGWRIDLSDPTFHDSCLFQYKSPVWSDRKMNKLWKELREKDHLPFPYFSLKRDKNNRGRRNKCCIAACYRPM
+>sp|Q9BT49|THAP7_HUMAN THAP domain-containing protein 7 OS=Homo sapiens OX=9606 GN=THAP7 PE=1 SV=2
+MPRHCSAAGCCTRDTRETRNRGISFHRLPKKDNPRRGLWLANCQRLDPSGQGLWDPASEYIYFCSKHFEEDCFELVGISGYHRLKEGAVPTIFESFSKLRRTTKTKGHSYPPGPAEVSRLRRCRKRCSEGRGPTTPFSPPPPADVTCFPVEEASAPATLPASPAGRLEPGLSSPFSDLLGPLGAQADEAGCSAQPSPERQPSPLEPRPVSPSAYMLRLPPPAGAYIQNEHSYQVGSALLWKRRAEAALDALDKAQRQLQACKRREQRLRLRLTKLQQERAREKRAQADARQTLKEHVQDFAMQLSSSMA
+>DECOY_sp|Q9BT49|THAP7_HUMAN THAP domain-containing protein 7 OS=Homo sapiens OX=9606 GN=THAP7 PE=1 SV=2
+AMSSSLQMAFDQVHEKLTQRADAQARKERAREQQLKTLRLRLRQERRKCAQLQRQAKDLADLAAEARRKWLLASGVQYSHENQIYAGAPPPLRLMYASPSVPRPELPSPQREPSPQASCGAEDAQAGLPGLLDSFPSSLGPELRGAPSAPLTAPASAEEVPFCTVDAPPPPSFPTTPGRGESCRKRCRRLRSVEAPGPPYSHGKTKTTRRLKSFSEFITPVAGEKLRHYGSIGVLEFCDEEFHKSCFYIYESAPDWLGQGSPDLRQCNALWLGRRPNDKKPLRHFSIGRNRTERTDRTCCGAASCHRPM
+>sp|P10827|THA_HUMAN Thyroid hormone receptor alpha OS=Homo sapiens OX=9606 GN=THRA PE=1 SV=1
+MEQKPSKVECGSDPEENSARSPDGKRKRKNGQCSLKTSMSGYIPSYLDKDEQCVVCGDKATGYHYRCITCEGCKGFFRRTIQKNLHPTYSCKYDSCCVIDKITRNQCQLCRFKKCIAVGMAMDLVLDDSKRVAKRKLIEQNRERRRKEEMIRSLQQRPEPTPEEWDLIHIATEAHRSTNAQGSHWKQRRKFLPDDIGQSPIVSMPDGDKVDLEAFSEFTKIITPAITRVVDFAKKLPMFSELPCEDQIILLKGCCMEIMSLRAAVRYDPESDTLTLSGEMAVKREQLKNGGLGVVSDAIFELGKSLSAFNLDDTEVALLQAVLLMSTDRSGLLCVDKIEKSQEAYLLAFEHYVNHRKHNIPHFWPKLLMKEREVQSSILYKGAAAEGRPGGSLGVHPEGQQLLGMHVVQGPQVRQLEQQLGEAGSLQGPVLQHQSPKSPQQRLLELLHRSGILHARAVCGEDDSSEADSPSSSEEEPEVCEDLAGNAASP
+>DECOY_sp|P10827|THA_HUMAN Thyroid hormone receptor alpha OS=Homo sapiens OX=9606 GN=THRA PE=1 SV=1
+PSAANGALDECVEPEEESSSPSDAESSDDEGCVARAHLIGSRHLLELLRQQPSKPSQHQLVPGQLSGAEGLQQELQRVQPGQVVHMGLLQQGEPHVGLSGGPRGEAAAGKYLISSQVEREKMLLKPWFHPINHKRHNVYHEFALLYAEQSKEIKDVCLLGSRDTSMLLVAQLLAVETDDLNFASLSKGLEFIADSVVGLGGNKLQERKVAMEGSLTLTDSEPDYRVAARLSMIEMCCGKLLIIQDECPLESFMPLKKAFDVVRTIAPTIIKTFESFAELDVKDGDPMSVIPSQGIDDPLFKRRQKWHSGQANTSRHAETAIHILDWEEPTPEPRQQLSRIMEEKRRRERNQEILKRKAVRKSDDLVLDMAMGVAICKKFRCLQCQNRTIKDIVCCSDYKCSYTPHLNKQITRRFFGKCGECTICRYHYGTAKDGCVVCQEDKDLYSPIYGSMSTKLSCQGNKRKRKGDPSRASNEEPDSGCEVKSPKQEM
+>sp|Q5T1C6|THEM4_HUMAN Acyl-coenzyme A thioesterase THEM4 OS=Homo sapiens OX=9606 GN=THEM4 PE=1 SV=1
+MLRSCAARLRTLGALCLPPVGRRLPGSEPRPELRSFSSEEVILKDCSVPNPSWNKDLRLLFDQFMKKCEDGSWKRLPSYKRTPTEWIQDFKTHFLDPKLMKEEQMSQAQLFTRSFDDGLGFEYVMFYNDIEKRMVCLFQGGPYLEGPPGFIHGGAIATMIDATVGMCAMMAGGIVMTANLNINYKRPIPLCSVVMINSQLDKVEGRKFFVSCNVQSVDEKTLYSEATSLFIKLNPAKSLT
+>DECOY_sp|Q5T1C6|THEM4_HUMAN Acyl-coenzyme A thioesterase THEM4 OS=Homo sapiens OX=9606 GN=THEM4 PE=1 SV=1
+TLSKAPNLKIFLSTAESYLTKEDVSQVNCSVFFKRGEVKDLQSNIMVVSCLPIPRKYNINLNATMVIGGAMMACMGVTADIMTAIAGGHIFGPPGELYPGGQFLCVMRKEIDNYFMVYEFGLGDDFSRTFLQAQSMQEEKMLKPDLFHTKFDQIWETPTRKYSPLRKWSGDECKKMFQDFLLRLDKNWSPNPVSCDKLIVEESSFSRLEPRPESGPLRRGVPPLCLAGLTRLRAACSRLM
+>sp|Q9Y2Z4|SYYM_HUMAN Tyrosine--tRNA ligase, mitochondrial OS=Homo sapiens OX=9606 GN=YARS2 PE=1 SV=2
+MAAPILRSFSWGRWSGTLNLSVLLPLGLRKAHSGAQGLLAAQKARGLFKDFFPETGTKIELPELFDRGTASFPQTIYCGFDPTADSLHVGHLLALLGLFHLQRAGHNVIALVGGATARLGDPSGRTKEREALETERVRANARALRLGLEALAANHQQLFTDGRSWGSFTVLDNSAWYQKQHLVDFLAAVGGHFRMGTLLSRQSVQLRLKSPEGMSLAEFFYQVLQAYDFYYLFQRYGCRVQLGGSDQLGNIMSGYEFINKLTGEDVFGITVPLITSTTGAKLGKSAGNAVWLNRDKTSPFELYQFFVRQPDDSVERYLKLFTFLPLPEIDHIMQLHVKEPERRGPQKRLAAEVTKLVHGREGLDSAKRCTQALYHSSIDALEVMSDQELKELFKEAPFSEFFLDPGTSVLDTCRKANAIPDGPRGYRMITEGGVSINHQQVTNPESVLIVGQHILKNGLSLLKIGKRNFYIIKWLQL
+>DECOY_sp|Q9Y2Z4|SYYM_HUMAN Tyrosine--tRNA ligase, mitochondrial OS=Homo sapiens OX=9606 GN=YARS2 PE=1 SV=2
+LQLWKIIYFNRKGIKLLSLGNKLIHQGVILVSEPNTVQQHNISVGGETIMRYGRPGDPIANAKRCTDLVSTGPDLFFESFPAEKFLEKLEQDSMVELADISSHYLAQTCRKASDLGERGHVLKTVEAALRKQPGRREPEKVHLQMIHDIEPLPLFTFLKLYREVSDDPQRVFFQYLEFPSTKDRNLWVANGASKGLKAGTTSTILPVTIGFVDEGTLKNIFEYGSMINGLQDSGGLQVRCGYRQFLYYFDYAQLVQYFFEALSMGEPSKLRLQVSQRSLLTGMRFHGGVAALFDVLHQKQYWASNDLVTFSGWSRGDTFLQQHNAALAELGLRLARANARVRETELAEREKTRGSPDGLRATAGGVLAIVNHGARQLHFLGLLALLHGVHLSDATPDFGCYITQPFSATGRDFLEPLEIKTGTEPFFDKFLGRAKQAALLGQAGSHAKRLGLPLLVSLNLTGSWRGWSFSRLIPAAM
+>sp|Q5T011|SZT2_HUMAN KICSTOR complex protein SZT2 OS=Homo sapiens OX=9606 GN=SZT2 PE=1 SV=3
+MASERPEPEVEEAGQVFLLMKKDYRISRNVRLAWFLSHLHQTVQATPQEMLLQSEQELEVLSVLPPGWQPDEPVVPRPFLLVPSTRVTFLAWQYRFVIELDLSPSTGIVDDSTGEILFDEVFHALSRCLGGLLRPFRVPGSCIDFQPEIYVTIQAYSSIIGLQSHQVLVQGCLLDPSQREVFLQQIYEQLCLFEDKVATMLQQQYDPQSQAEDQSPDSGDLLGRKVGVSMVTADLGLVSMIRQGILALQLLPSNSSAGIIVITDGVTSVPDVAVCETLLNQLRSGTVACSFVQVGGVYSYDCSFGHVPNVELMKFIAMATFGSYLSTCPEPEPGNLGLTVYHRAFLLYSFLRSGEALNPEYYCGSQHRLFNEHLVSASSNPALALRRKKHTEKEVPADLVSTVSVRLREGYSVREVTLAKGGSQLEVKLVLLWKHNMRIEYVAMAPWPLEPEGPRVTRVEVTMEGGYDILHDVSCALRQPIRSLYRTHVIRRFWNTLQSINQTDQMLAHLQSFSSVPEHFTLPDSTKSGVPLFYIPPGSTTPVLSLQPSGSDSSHAQFAAYWKPVLSMDANSWQRWLHMHRLVLILEHDTPIPKHLHTPGSNGRYSTIQCRISHSSLTSLLRDWSSFVLVEGYSYVKLLSSAPDQPPNSFYMVRIISKAPCMVLRLGFPIGTPAPARHKIVSGLREEILRLRFPHRVQSKEPTPKVKRKGLGGAGGGSSPSKSPPVLGPQQALSDRPCLVVLHKPLDKLLIRYEKLPLDYRAPFLLTLEPPGPLPLVSGRSASSSLASLSRYLYHQRWLWSVPSGLAPALPLSAIAQLLSILTEVRLSEGFHFACSGEGIINMVLELPIQNEPPGQAAAEEKHTCVVQYILFPPHSTSTKDSFSTDDDNDVEVEALEGDSELNLVTEVWVEPQYGRVGPGPGIWKHLQDLTYSEIPQALHPRDAACIGSMLSFEYLIQLCQSKEWGPLPPEPRVSDGLDQGGDTCVHEIPFHFDLMGLLPQCQQLQMFFLLLAREPEGVPFAEGSCPANDMVLCLLHSCLGQELSDREIPLTPVDQAAFLSEVLRRTCHVPGAEGPLLGVHGIPKEQAVGSTQATGDSAFTSLSVGLPETLKPLISAQPPQWRCYARLVNPQHVFLTFLPATFSDVQRLAACGLEGPPQEETKPKFGDWSGAPSLKDLGGTGIKATKSHVPVLSVTLASDNAQNQGELSPPFRRDLQAYAGRQASQTESADGPRTRCPVYIYSCSLEALREQMVGMQPPQAPRDLIFRTQFLDHPSPSSAWMEPRYKEAANHCALLQEHAQRCYVRGLFRSLQQAQSVTSQDLLTAVDACEELLQEIDITPFLLALCGHTWGLPHAPPSPGPLSPGPFSSSMEEGAEPRERAILASESSIETEDLSEPEFQSTRVPGIPDPGPEISLTDVCQLRGEAHGALHSVIQEKFLEISRLHFRTVPSNPHYFFYCPPSSRREDEGPRDTVDRKISDLEFSEAELMGEEGDTSACCVVTESDPELEVEYRESRESDLGPAGLDSASLSDVDTVNPDEDSFSILGGDSPTGPESFLHDLPPLFLHLTCSVRLRGQHSSVPVCSLPTCLGQVLSSLEGPPVGGRVPLRDLSVTLDVFMLTLPLEVELPTASDPQHHRSTSESSASFPRSPGQPSSLRSDDGLGPPLPPPEEERHPGLSNLATPHRLAIETTMNEIRWLLEDEMVGALRRGGIPQSPALHRAAAHIHSSPGRSTCLRQTLPLSFVFGPERSLTQFKEEFRRLHLPGHVLLEDPDSGFFFVAAGQQPGGSHGEPSSAAWAWHSHEDRAEGIEGETLTASPQAPGSPEDSEGVPLISLPRVPQGGSQPGPSRGLSLMSSQGSVDSDHLGYDGGSSGSDSEGPNDTLGEKAPFTLRTPPGPAPPQPSLSGLPGPCLPDFWLIVRVLQDRVEVYAHARSLIREDGGPGTECRHLQQLLVRRVGEICREVNQRLLLQDLHDSHVCNSLLVAESEEDLWRSETPFHSRQRAPLPSDDYAADESCAPRGYLAATMQFVPGHFSCDVVWGTVIRVHSRLKMGPSMGVSRAIQALRSVLNAFSVVNRKNMFVYQERATKAVYYLRLLETSCSDRPWKGDALPPSLALSRSQEPIYSEEASGPRSPLDMVSSRSSDAARPVGQVDRHIQLLVHGVGQAGPEITDELVRVLCRRLDEATLDVITVMLVRNCKLTPADVEFIQPPGSLPSEVLHLALPTSCRPWLPALAWYLRQNLLIFLHSPKYTDSNSRNHFQHPLPPQGGLPDLDIYLYNKPGGQGTGGKGVACITLAFVDEGGAPLSLALWPPSSPGPPDPLREEEFEQLTQVIRCPVVVDSSSAQNGAPRLRLDVWEKGNISIVQLEEKLRGAARQALADAIIELQLLPASLCTEDTPTGSLRNGSLETKSSAGRASTFPPAPVPGEPVTPPSKAGRRSFWDMLSKTECGDLGSPKTTDDIVLDRPEDTRGRRRHKTESVRTPGGAERAPGSDSGAQRQKRRTTQLEEGEVGTLHPVFARVAQRWMEFMVQIGCASVSRSSAHMVSRFLLPSILSEFTALVTSMAGDTSVRIFEQHLGSEPEIFGPCSPGQLGPSPRPAAERHLLLLGRNFLQWRRPTQQAAKAMQRFEPGGDGSSGRNAPRQRLLLLEVVDKKLQLLTYNWAPDLGAALGRALVRLVQWQNARAHLIFCLLSQKLGLFHHYGQLDFPVRDEKEPNPFLLPTMEVETLIRSASPPLSREQGRLSGSSRGGGPLPLDTFPFDEALRDITAARPSSVLGPVPRPPDPVTYHGQQFLEIKMAERRELERQMKMENLFVTWQQRSTPATMPISAGELETLKQSSRLVHYCATAMLFDPAAWLHGPPETSGPPDGQRRHRPESGSGSREAPTSCESLDVSPPGAREEPWLKELSLAFLQQYVQYLQSIGFVLVPLRPPSPARSTSRPRAMAILGTEGRGSFSCPKTKTDGSPKSTSSPVTTYHLQRALPGGIILMELAFQGCYFCVKQFALECSRIPMGQAVNSQLSMLFTEECDKVRDLMHVHSFSYDFHLRLVHQHVLGAHLVLRHGYHLTTFLRHFLAHHPDGPHFGRNHIYQGTLELPTPLIAAHQLYNYVADHASSYHMKPLRMARPGGPEHNEYALVSAWHSSGSYLDSEGLRHQDDFDVSLLVCHCAAPFEEQGEAERHVLRLQFFVVLTSQRELFPRLTADMRRFRKPPRLPPEPEAPGSSAGSPGEASGLILAPGPAPLFPPLAAEVGMARARLAQLVRLAGGHCRRDTLWKRLFLLEPPGPDRLRLGGRLALAELEELLEAVHAKSIGDIDPQLDCFLSMTVSWYQSLIKVLLSRFPQSCRHFQSPDLGTQYLVVLNQKFTDCFVLVFLDSHLGKTSLTVVFREPFPVQPQDSESPPAQLVSTYHHLESVINTACFTLWTRLL
+>DECOY_sp|Q5T011|SZT2_HUMAN KICSTOR complex protein SZT2 OS=Homo sapiens OX=9606 GN=SZT2 PE=1 SV=3
+LLRTWLTFCATNIVSELHHYTSVLQAPPSESDQPQVPFPERFVVTLSTKGLHSDLFVLVFCDTFKQNLVVLYQTGLDPSQFHRCSQPFRSLLVKILSQYWSVTMSLFCDLQPDIDGISKAHVAELLEELEALALRGGLRLRDPGPPELLFLRKWLTDRRCHGGALRVLQALRARAMGVEAALPPFLPAPGPALILGSAEGPSGASSGPAEPEPPLRPPKRFRRMDATLRPFLERQSTLVVFFQLRLVHREAEGQEEFPAACHCVLLSVDFDDQHRLGESDLYSGSSHWASVLAYENHEPGGPRAMRLPKMHYSSAHDAVYNYLQHAAILPTPLELTGQYIHNRGFHPGDPHHALFHRLFTTLHYGHRLVLHAGLVHQHVLRLHFDYSFSHVHMLDRVKDCEETFLMSLQSNVAQGMPIRSCELAFQKVCFYCGQFALEMLIIGGPLARQLHYTTVPSSTSKPSGDTKTKPCSFSGRGETGLIAMARPRSTSRAPSPPRLPVLVFGISQLYQVYQQLFALSLEKLWPEERAGPPSVDLSECSTPAERSGSGSEPRHRRQGDPPGSTEPPGHLWAAPDFLMATACYHVLRSSQKLTELEGASIPMTAPTSRQQWTVFLNEMKMQRELERREAMKIELFQQGHYTVPDPPRPVPGLVSSPRAATIDRLAEDFPFTDLPLPGGGRSSGSLRGQERSLPPSASRILTEVEMTPLLFPNPEKEDRVPFDLQGYHHFLGLKQSLLCFILHARANQWQVLRVLARGLAAGLDPAWNYTLLQLKKDVVELLLLRQRPANRGSSGDGGPEFRQMAKAAQQTPRRWQLFNRGLLLLHREAAPRPSPGLQGPSCPGFIEPESGLHQEFIRVSTDGAMSTVLATFESLISPLLFRSVMHASSRSVSACGIQVMFEMWRQAVRAFVPHLTGVEGEELQTTRRKQRQAGSDSGPAREAGGPTRVSETKHRRRGRTDEPRDLVIDDTTKPSGLDGCETKSLMDWFSRRGAKSPPTVPEGPVPAPPFTSARGASSKTELSGNRLSGTPTDETCLSAPLLQLEIIADALAQRAAGRLKEELQVISINGKEWVDLRLRPAGNQASSSDVVVPCRIVQTLQEFEEERLPDPPGPSSPPWLALSLPAGGEDVFALTICAVGKGGTGQGGPKNYLYIDLDPLGGQPPLPHQFHNRSNSDTYKPSHLFILLNQRLYWALAPLWPRCSTPLALHLVESPLSGPPQIFEVDAPTLKCNRVLMVTIVDLTAEDLRRCLVRVLEDTIEPGAQGVGHVLLQIHRDVQGVPRAADSSRSSVMDLPSRPGSAEESYIPEQSRSLALSPPLADGKWPRDSCSTELLRLYYVAKTAREQYVFMNKRNVVSFANLVSRLAQIARSVGMSPGMKLRSHVRIVTGWVVDCSFHGPVFQMTAALYGRPACSEDAAYDDSPLPARQRSHFPTESRWLDEESEAVLLSNCVHSDHLDQLLLRQNVERCIEGVRRVLLQQLHRCETGPGGDERILSRAHAYVEVRDQLVRVILWFDPLCPGPLGSLSPQPPAPGPPTRLTFPAKEGLTDNPGESDSGSSGGDYGLHDSDVSGQSSMLSLGRSPGPQSGGQPVRPLSILPVGESDEPSGPAQPSATLTEGEIGEARDEHSHWAWAASSPEGHSGGPQQGAAVFFFGSDPDELLVHGPLHLRRFEEKFQTLSREPGFVFSLPLTQRLCTSRGPSSHIHAAARHLAPSQPIGGRRLAGVMEDELLWRIENMTTEIALRHPTALNSLGPHREEEPPPLPPGLGDDSRLSSPQGPSRPFSASSESTSRHHQPDSATPLEVELPLTLMFVDLTVSLDRLPVRGGVPPGELSSLVQGLCTPLSCVPVSSHQGRLRVSCTLHLFLPPLDHLFSEPGTPSDGGLISFSDEDPNVTDVDSLSASDLGAPGLDSERSERYEVELEPDSETVVCCASTDGEEGMLEAESFELDSIKRDVTDRPGEDERRSSPPCYFFYHPNSPVTRFHLRSIELFKEQIVSHLAGHAEGRLQCVDTLSIEPGPDPIGPVRTSQFEPESLDETEISSESALIARERPEAGEEMSSSFPGPSLPGPSPPAHPLGWTHGCLALLFPTIDIEQLLEECADVATLLDQSTVSQAQQLSRFLGRVYCRQAHEQLLACHNAAEKYRPEMWASSPSPHDLFQTRFILDRPAQPPQMGVMQERLAELSCSYIYVPCRTRPGDASETQSAQRGAYAQLDRRFPPSLEGQNQANDSALTVSLVPVHSKTAKIGTGGLDKLSPAGSWDGFKPKTEEQPPGELGCAALRQVDSFTAPLFTLFVHQPNVLRAYCRWQPPQASILPKLTEPLGVSLSTFASDGTAQTSGVAQEKPIGHVGLLPGEAGPVHCTRRLVESLFAAQDVPTLPIERDSLEQGLCSHLLCLVMDNAPCSGEAFPVGEPERALLLFFMQLQQCQPLLGMLDFHFPIEHVCTDGGQDLGDSVRPEPPLPGWEKSQCLQILYEFSLMSGICAADRPHLAQPIESYTLDQLHKWIGPGPGVRGYQPEVWVETVLNLESDGELAEVEVDNDDDTSFSDKTSTSHPPFLIYQVVCTHKEEAAAQGPPENQIPLELVMNIIGEGSCAFHFGESLRVETLISLLQAIASLPLAPALGSPVSWLWRQHYLYRSLSALSSSASRGSVLPLPGPPELTLLFPARYDLPLKEYRILLKDLPKHLVVLCPRDSLAQQPGLVPPSKSPSSGGGAGGLGKRKVKPTPEKSQVRHPFRLRLIEERLGSVIKHRAPAPTGIPFGLRLVMCPAKSIIRVMYFSNPPQDPASSLLKVYSYGEVLVFSSWDRLLSTLSSHSIRCQITSYRGNSGPTHLHKPIPTDHELILVLRHMHLWRQWSNADMSLVPKWYAAFQAHSSDSGSPQLSLVPTTSGPPIYFLPVGSKTSDPLTFHEPVSSFSQLHALMQDTQNISQLTNWFRRIVHTRYLSRIPQRLACSVDHLIDYGGEMTVEVRTVRPGEPELPWPAMAVYEIRMNHKWLLVLKVELQSGGKALTVERVSYGERLRVSVTSVLDAPVEKETHKKRRLALAPNSSASVLHENFLRHQSGCYYEPNLAEGSRLFSYLLFARHYVTLGLNGPEPEPCTSLYSGFTAMAIFKMLEVNPVHGFSCDYSYVGGVQVFSCAVTGSRLQNLLTECVAVDPVSTVGDTIVIIGASSNSPLLQLALIGQRIMSVLGLDATVMSVGVKRGLLDGSDPSQDEAQSQPDYQQQLMTAVKDEFLCLQEYIQQLFVERQSPDLLCGQVLVQHSQLGIISSYAQITVYIEPQFDICSGPVRFPRLLGGLCRSLAHFVEDFLIEGTSDDVIGTSPSLDLEIVFRYQWALFTVRTSPVLLFPRPVVPEDPQWGPPLVSLVELEQESQLLMEQPTAQVTQHLHSLFWALRVNRSIRYDKKMLLFVQGAEEVEPEPRESAM
+>sp|Q9NUM4|T106B_HUMAN Transmembrane protein 106B OS=Homo sapiens OX=9606 GN=TMEM106B PE=1 SV=2
+MGKSLSHLPLHSSKEDAYDGVTSENMRNGLVNSEVHNEDGRNGDVSQFPYVEFTGRDSVTCPTCQGTGRIPRGQENQLVALIPYSDQRLRPRRTKLYVMASVFVCLLLSGLAVFFLFPRSIDVKYIGVKSAYVSYDVQKRTIYLNITNTLNITNNNYYSVEVENITAQVQFSKTVIGKARLNNITIIGPLDMKQIDYTVPTVIAEEMSYMYDFCTLISIKVHNIVLMMQVTVTTTYFGHSEQISQERYQYVDCGRNTTYQLGQSEYLNVLQPQQ
+>DECOY_sp|Q9NUM4|T106B_HUMAN Transmembrane protein 106B OS=Homo sapiens OX=9606 GN=TMEM106B PE=1 SV=2
+QQPQLVNLYESQGLQYTTNRGCDVYQYREQSIQESHGFYTTTVTVQMMLVINHVKISILTCFDYMYSMEEAIVTPVTYDIQKMDLPGIITINNLRAKGIVTKSFQVQATINEVEVSYYNNNTINLTNTINLYITRKQVDYSVYASKVGIYKVDISRPFLFFVALGSLLLCVFVSAMVYLKTRRPRLRQDSYPILAVLQNEQGRPIRGTGQCTPCTVSDRGTFEVYPFQSVDGNRGDENHVESNVLGNRMNESTVGDYADEKSSHLPLHSLSKGM
+>sp|Q15714|T22D1_HUMAN TSC22 domain family protein 1 OS=Homo sapiens OX=9606 GN=TSC22D1 PE=1 SV=3
+MHQPPESTAAAAAAADISARKMAHPAMFPRRGSGSGSASALNAAGTGVGSNATSSEDFPPPSLLQPPPPAASSTSGPQPPPPQSLNLLSQAQLQAQPLAPGGTQMKKKSGFQITSVTPAQISASISSNNSIAEDTESYDDLDESHTEDLSSSEILDVSLSRATDLGEPERSSSEETLNNFQEAETPGAVSPNQPHLPQPHLPHLPQQNVVINGNAHPHHLHHHHQIHHGHHLQHGHHHPSHVAVASASITGGPPSSPVSRKLSTTGSSDSITPVAPTSAVSSSGSPASVMTNMRAPSTTGGIGINSVTGTSTVNNVNITAVGSFNPNVTSSMLGNVNISTSNIPSAAGVSVGPGVTSGVNVNILSGMGNGTISSSAAVSSVPNAAAGMTGGSVSSQQQQPTVNTSRFRVVKLDSSSEPFKKGRWTCTEFYEKENAVPATEGVLINKVVETVKQNPIEVTSERESTSGSSVSSSVSTLSHYTESVGSGEMGAPTVVVQQQQQQQQQQQQQPALQGVTLQQMDFGSTGPQSIPAVSIPQSISQSQISQVQLQSQELSYQQKQGLQPVPLQATMSAATGIQPSPVNVVGVTSALGQQPSISSLAQPQLPYSQAAPPVQTPLPGAPPPQQLQYGQQQPMVSTQMAPGHVKSVTQNPASEYVQQQPILQTAMSSGQPSSAGVGAGTTVIPVAQPQGIQLPVQPTAVPAQPAGASVQPVGQAPAAVSAVPTGSQIANIGQQANIPTAVQQPSTQVPPSVIQQGAPPSSQVVPPAQTGIIHQGVQTSAPSLPQQLVIASQSSLLTVPPQPQGVEPVAQGIVSQQLPAVSSLPSASSISVTSQVSSTGPSGMPSAPTNLVPPQNIAQTPATQNGNLVQSVSQPPLIATNTNLPLAQQIPLSSTQFSAQSLAQAIGSQIEDARRAAEPSLVGLPQTISGDSGGMSAVSDGSSSSLAASASLFPLKVLPLTTPLVDGEDESSSGASVVAIDNKIEQAMDLVKSHLMYAVREEVEVLKEQIKELIEKNSQLEQENNLLKTLASPEQLAQFQAQLQTGSPPATTQPQGTTQPPAQPASQGSGPTA
+>DECOY_sp|Q15714|T22D1_HUMAN TSC22 domain family protein 1 OS=Homo sapiens OX=9606 GN=TSC22D1 PE=1 SV=3
+ATPGSGQSAPQAPPQTTGQPQTTAPPSGTQLQAQFQALQEPSALTKLLNNEQELQSNKEILEKIQEKLVEVEERVAYMLHSKVLDMAQEIKNDIAVVSAGSSSEDEGDVLPTTLPLVKLPFLSASAALSSSSGDSVASMGGSDGSITQPLGVLSPEAARRADEIQSGIAQALSQASFQTSSLPIQQALPLNTNTAILPPQSVSQVLNGNQTAPTQAINQPPVLNTPASPMGSPGTSSVQSTVSISSASPLSSVAPLQQSVIGQAVPEVGQPQPPVTLLSSQSAIVLQQPLSPASTQVGQHIIGTQAPPVVQSSPPAGQQIVSPPVQTSPQQVATPINAQQGINAIQSGTPVASVAAPAQGVPQVSAGAPQAPVATPQVPLQIGQPQAVPIVTTGAGVGASSPQGSSMATQLIPQQQVYESAPNQTVSKVHGPAMQTSVMPQQQGYQLQQPPPAGPLPTQVPPAAQSYPLQPQALSSISPQQGLASTVGVVNVPSPQIGTAASMTAQLPVPQLGQKQQYSLEQSQLQVQSIQSQSISQPISVAPISQPGTSGFDMQQLTVGQLAPQQQQQQQQQQQQQVVVTPAGMEGSGVSETYHSLTSVSSSVSSGSTSERESTVEIPNQKVTEVVKNILVGETAPVANEKEYFETCTWRGKKFPESSSDLKVVRFRSTNVTPQQQQSSVSGGTMGAAANPVSSVAASSSITGNGMGSLINVNVGSTVGPGVSVGAASPINSTSINVNGLMSSTVNPNFSGVATINVNNVTSTGTVSNIGIGGTTSPARMNTMVSAPSGSSSVASTPAVPTISDSSGTTSLKRSVPSSPPGGTISASAVAVHSPHHHGHQLHHGHHIQHHHHLHHPHANGNIVVNQQPLHPLHPQPLHPQNPSVAGPTEAEQFNNLTEESSSREPEGLDTARSLSVDLIESSSLDETHSEDLDDYSETDEAISNNSSISASIQAPTVSTIQFGSKKKMQTGGPALPQAQLQAQSLLNLSQPPPPQPGSTSSAAPPPPQLLSPPPFDESSTANSGVGTGAANLASASGSGSGRRPFMAPHAMKRASIDAAAAAAATSEPPQHM
+>sp|Q99576|T22D3_HUMAN TSC22 domain family protein 3 OS=Homo sapiens OX=9606 GN=TSC22D3 PE=1 SV=2
+MNTEMYQTPMEVAVYQLHNFSISFFSSLLGGDVVSVKLDNSASGASVVAIDNKIEQAMDLVKNHLMYAVREEVEILKEQIRELVEKNSQLERENTLLKTLASPEQLEKFQSCLSPEEPAPESPQVPEAPGGSAV
+>DECOY_sp|Q99576|T22D3_HUMAN TSC22 domain family protein 3 OS=Homo sapiens OX=9606 GN=TSC22D3 PE=1 SV=2
+VASGGPAEPVQPSEPAPEEPSLCSQFKELQEPSALTKLLTNERELQSNKEVLERIQEKLIEVEERVAYMLHNKVLDMAQEIKNDIAVVSAGSASNDLKVSVVDGGLLSSFFSISFNHLQYVAVEMPTQYMETNM
+>sp|Q8WV15|T255B_HUMAN Transmembrane protein 255B OS=Homo sapiens OX=9606 GN=TMEM255B PE=2 SV=1
+MQPPVPGPLGLLDPAEGLSRRKKTSLWFVGSLLLVSVLIVTVGLAATTRTENVTVGGYYPGIILGFGSFLGIIGINLVENRRQMLVAAIVFISFGVVAAFCCAIVDGVFAAQHIEPRPLTTGRCQFYSSGVGYLYDVYQTEVTCHSLDGKCQLKVRSNTCYCCDLYACGSAEPSPAYYEFIGVSGCQDVLHLYRLLWASAVLNVLGLFLGIITAAVLGAFKDMVPLSQLAYGPAVPPQTLYNPAQQILAYAGFRLTPEPVPTCSSYPLPLQPCSRFPVAPSSALASSEDLQPPSPSSSGSGLPGQAPPCYAPTYFPPGEKPPPYAP
+>DECOY_sp|Q8WV15|T255B_HUMAN Transmembrane protein 255B OS=Homo sapiens OX=9606 GN=TMEM255B PE=2 SV=1
+PAYPPPKEGPPFYTPAYCPPAQGPLGSGSSSPSPPQLDESSALASSPAVPFRSCPQLPLPYSSCTPVPEPTLRFGAYALIQQAPNYLTQPPVAPGYALQSLPVMDKFAGLVAATIIGLFLGLVNLVASAWLLRYLHLVDQCGSVGIFEYYAPSPEASGCAYLDCCYCTNSRVKLQCKGDLSHCTVETQYVDYLYGVGSSYFQCRGTTLPRPEIHQAAFVGDVIACCFAAVVGFSIFVIAAVLMQRRNEVLNIGIIGLFSGFGLIIGPYYGGVTVNETRTTAALGVTVILVSVLLLSGVFWLSTKKRRSLGEAPDLLGLPGPVPPQM
+>sp|P29083|T2EA_HUMAN General transcription factor IIE subunit 1 OS=Homo sapiens OX=9606 GN=GTF2E1 PE=1 SV=2
+MADPDVLTEVPAALKRLAKYVIRGFYGIEHALALDILIRNSCVKEEDMLELLKFDRKQLRSVLNNLKGDKFIKCRMRVETAADGKTTRHNYYFINYRTLVNVVKYKLDHMRRRIETDERDSTNRASFKCPVCSSTFTDLEANQLFDPMTGTFRCTFCHTEVEEDESAMPKKDARTLLARFNEQIEPIYALLRETEDVNLAYEILEPEPTEIPALKQSKDHAATTAGAASLAGGHHREAWATKGPSYEDLYTQNVVINMDDQEDLHRASLEGKSAKERPIWLRESTVQGAYGSEDMKEGGIDMDAFQEREEGHAGPDDNEEVMRALLIHEKKTSSAMAGSVGAAAPVTAANGSDSESETSESDDDSPPRPAAVAVHKREEDEEEDDEFEEVADDPIVMVAGRPFSYSEVSQRPELVAQMTPEEKEAYIAMGQRMFEDLFE
+>DECOY_sp|P29083|T2EA_HUMAN General transcription factor IIE subunit 1 OS=Homo sapiens OX=9606 GN=GTF2E1 PE=1 SV=2
+EFLDEFMRQGMAIYAEKEEPTMQAVLEPRQSVESYSFPRGAVMVIPDDAVEEFEDDEEEDEERKHVAVAAPRPPSDDDSESTESESDSGNAATVPAAAGVSGAMASSTKKEHILLARMVEENDDPGAHGEEREQFADMDIGGEKMDESGYAGQVTSERLWIPREKASKGELSARHLDEQDDMNIVVNQTYLDEYSPGKTAWAERHHGGALSAAGATTAAHDKSQKLAPIETPEPELIEYALNVDETERLLAYIPEIQENFRALLTRADKKPMASEDEEVETHCFTCRFTGTMPDFLQNAELDTFTSSCVPCKFSARNTSDREDTEIRRRMHDLKYKVVNVLTRYNIFYYNHRTTKGDAATEVRMRCKIFKDGKLNNLVSRLQKRDFKLLELMDEEKVCSNRILIDLALAHEIGYFGRIVYKALRKLAAPVETLVDPDAM
+>sp|Q9NYV7|T2R16_HUMAN Taste receptor type 2 member 16 OS=Homo sapiens OX=9606 GN=TAS2R16 PE=1 SV=1
+MIPIQLTVFFMIIYVLESLTIIVQSSLIVAVLGREWLQVRRLMPVDMILISLGISRFCLQWASMLNNFCSYFNLNYVLCNLTITWEFFNILTFWLNSLLTVFYCIKVSSFTHHIFLWLRWRILRLFPWILLGSLMITCVTIIPSAIGNYIQIQLLTMEHLPRNSTVTDKLENFHQYQFQAHTVALVIPFILFLASTIFLMASLTKQIQHHSTGHCNPSMKARFTALRSLAVLFIVFTSYFLTILITIIGTLFDKRCWLWVWEAFVYAFILMHSTSLMLSSPTLKRILKGKC
+>DECOY_sp|Q9NYV7|T2R16_HUMAN Taste receptor type 2 member 16 OS=Homo sapiens OX=9606 GN=TAS2R16 PE=1 SV=1
+CKGKLIRKLTPSSLMLSTSHMLIFAYVFAEWVWLWCRKDFLTGIITILITLFYSTFVIFLVALSRLATFRAKMSPNCHGTSHHQIQKTLSAMLFITSALFLIFPIVLAVTHAQFQYQHFNELKDTVTSNRPLHEMTLLQIQIYNGIASPIITVCTIMLSGLLIWPFLRLIRWRLWLFIHHTFSSVKICYFVTLLSNLWFTLINFFEWTITLNCLVYNLNFYSCFNNLMSAWQLCFRSIGLSILIMDVPMLRRVQLWERGLVAVILSSQVIITLSELVYIIMFFVTLQIPIM
+>sp|P59538|T2R31_HUMAN Taste receptor type 2 member 31 OS=Homo sapiens OX=9606 GN=TAS2R31 PE=2 SV=2
+MTTFIPIIFSSVVVVLFVIGNFANGFIALVNSIERVKRQKISFADQILTALAVSRVGLLWVLLLNWYSTVFNPAFYSVEVRTTAYNVWAVTGHFSNWLATSLSIFYLLKIANFSNLIFLHLKRRVKSVILVMLLGPLLFLACQLFVINMKEIVRTKEYEGNLTWKIKLRSAVYLSDATVTTLGNLVPFTLTLLCFLLLICSLCKHLKKMQLHGKGSQDPSTKVHIKALQTVIFFLLLCAVYFLSIMISVWSFGSLENKPVFMFCKAIRFSYPSIHPFILIWGNKKLKQTFLSVLRQVRYWVKGEKPSSP
+>DECOY_sp|P59538|T2R31_HUMAN Taste receptor type 2 member 31 OS=Homo sapiens OX=9606 GN=TAS2R31 PE=2 SV=2
+PSSPKEGKVWYRVQRLVSLFTQKLKKNGWILIFPHISPYSFRIAKCFMFVPKNELSGFSWVSIMISLFYVACLLLFFIVTQLAKIHVKTSPDQSGKGHLQMKKLHKCLSCILLLFCLLTLTFPVLNGLTTVTADSLYVASRLKIKWTLNGEYEKTRVIEKMNIVFLQCALFLLPGLLMVLIVSKVRRKLHLFILNSFNAIKLLYFISLSTALWNSFHGTVAWVNYATTRVEVSYFAPNFVTSYWNLLLVWLLGVRSVALATLIQDAFSIKQRKVREISNVLAIFGNAFNGIVFLVVVVSSFIIPIFTTM
+>sp|P59534|T2R39_HUMAN Taste receptor type 2 member 39 OS=Homo sapiens OX=9606 GN=TAS2R39 PE=2 SV=3
+MLGRCFPPDTKEKQQLRMTKLCDPAESELSPFLITLILAVLLAEYLIGIIANGFIMAIHAAEWVQNKAVSTSGRILVFLSVSRIALQSLMMLEITISSTSLSFYSEDAVYYAFKISFIFLNFCSLWFAAWLSFFYFVKIANFSYPLFLKLRWRITGLIPWLLWLSVFISFSHSMFCINICTVYCNNSFPIHSSNSTKKTYLSEINVVGLAFFFNLGIVTPLIMFILTATLLILSLKRHTLHMGSNATGSNDPSMEAHMGAIKAISYFLILYIFNAVALFIYLSNMFDINSLWNNLCQIIMAAYPASHSILLIQDNPGLRRAWKRLQLRLHLYPKEWTL
+>DECOY_sp|P59534|T2R39_HUMAN Taste receptor type 2 member 39 OS=Homo sapiens OX=9606 GN=TAS2R39 PE=2 SV=3
+LTWEKPYLHLRLQLRKWARRLGPNDQILLISHSAPYAAMIIQCLNNWLSNIDFMNSLYIFLAVANFIYLILFYSIAKIAGMHAEMSPDNSGTANSGMHLTHRKLSLILLTATLIFMILPTVIGLNFFFALGVVNIESLYTKKTSNSSHIPFSNNCYVTCINICFMSHSFSIFVSLWLLWPILGTIRWRLKLFLPYSFNAIKVFYFFSLWAAFWLSCFNLFIFSIKFAYYVADESYFSLSTSSITIELMMLSQLAIRSVSLFVLIRGSTSVAKNQVWEAAHIAMIFGNAIIGILYEALLVALILTILFPSLESEAPDCLKTMRLQQKEKTDPPFCRGLM
+>sp|Q7RTR8|T2R42_HUMAN Taste receptor type 2 member 42 OS=Homo sapiens OX=9606 GN=TAS2R42 PE=2 SV=1
+MATELDKIFLILAIAEFIISMLGNVFIGLVNCSEGIKNQKVFSADFILTCLAISTIGQLLVILFDSFLVGLASHLYTTYRLGKTVIMLWHMTNHLTTWLATCLSIFYFFKIAHFPHSLFLWLRWRMNGMIVMLLILSLFLLIFDSLVLEIFIDISLNIIDKSNLTLYLDESKTLYDKLSILKTLLSLTSFIPFSLFLTSLLFLFLSLVRHTRNLKLSSLGSRDSSTEAHRRAMKMVMSFLFLFIVHFFSLQVANGIFFMLWNNKYIKFVMLALNAFPSCHSFILILGNSKLRQTAVRLLWHLRNYTKTPNALPL
+>DECOY_sp|Q7RTR8|T2R42_HUMAN Taste receptor type 2 member 42 OS=Homo sapiens OX=9606 GN=TAS2R42 PE=2 SV=1
+LPLANPTKTYNRLHWLLRVATQRLKSNGLILIFSHCSPFANLALMVFKIYKNNWLMFFIGNAVQLSFFHVIFLFLFSMVMKMARRHAETSSDRSGLSSLKLNRTHRVLSLFLFLLSTLFLSFPIFSTLSLLTKLISLKDYLTKSEDLYLTLNSKDIINLSIDIFIELVLSDFILLFLSLILLMVIMGNMRWRLWLFLSHPFHAIKFFYFISLCTALWTTLHNTMHWLMIVTKGLRYTTYLHSALGVLFSDFLIVLLQGITSIALCTLIFDASFVKQNKIGESCNVLGIFVNGLMSIIFEAIALILFIKDLETAM
+>sp|P59537|T2R43_HUMAN Taste receptor type 2 member 43 OS=Homo sapiens OX=9606 GN=TAS2R43 PE=2 SV=2
+MITFLPIIFSSLVVVTFVIGNFANGFIALVNSIEWFKRQKISFADQILTALAVSRVGLLWVLLLNWYSTVLNPAFNSVEVRTTAYNIWAVINHFSNWLATTLSIFYLLKIANFSNFIFLHLKRRVKSVILVMLLGPLLFLACHLFVINMNEIVRTKEFEGNMTWKIKLKSAMYFSNMTVTMVANLVPFTLTLLSFMLLICSLCKHLKKMQLHGKGSQDPSTKVHIKALQTVISFLLLCAIYFLSIMISVWSFGSLENKPVFMFCKAIRFSYPSIHPFILIWGNKKLKQTFLSVFWQMRYWVKGEKTSSP
+>DECOY_sp|P59537|T2R43_HUMAN Taste receptor type 2 member 43 OS=Homo sapiens OX=9606 GN=TAS2R43 PE=2 SV=2
+PSSTKEGKVWYRMQWFVSLFTQKLKKNGWILIFPHISPYSFRIAKCFMFVPKNELSGFSWVSIMISLFYIACLLLFSIVTQLAKIHVKTSPDQSGKGHLQMKKLHKCLSCILLMFSLLTLTFPVLNAVMTVTMNSFYMASKLKIKWTMNGEFEKTRVIENMNIVFLHCALFLLPGLLMVLIVSKVRRKLHLFIFNSFNAIKLLYFISLTTALWNSFHNIVAWINYATTRVEVSNFAPNLVTSYWNLLLVWLLGVRSVALATLIQDAFSIKQRKFWEISNVLAIFGNAFNGIVFTVVVLSSFIIPLFTIM
+>sp|Q9NYW4|TA2R5_HUMAN Taste receptor type 2 member 5 OS=Homo sapiens OX=9606 GN=TAS2R5 PE=1 SV=1
+MLSAGLGLLMLVAVVEFLIGLIGNGSLVVWSFREWIRKFNWSSYNLIILGLAGCRFLLQWLIILDLSLFPLFQSSRWLRYLSIFWVLVSQASLWFATFLSVFYCKKITTFDRPAYLWLKQRAYNLSLWCLLGYFIINLLLTVQIGLTFYHPPQGNSSIRYPFESWQYLYAFQLNSGSYLPLVVFLVSSGMLIVSLYTHHKKMKVHSAGRRDVRAKAHITALKSLGCFLLLHLVYIMASPFSITSKTYPPDLTSVFIWETLMAAYPSLHSLILIMGIPRVKQTCQKILWKTVCARRCWGP
+>DECOY_sp|Q9NYW4|TA2R5_HUMAN Taste receptor type 2 member 5 OS=Homo sapiens OX=9606 GN=TAS2R5 PE=1 SV=1
+PGWCRRACVTKWLIKQCTQKVRPIGMILILSHLSPYAAMLTEWIFVSTLDPPYTKSTISFPSAMIYVLHLLLFCGLSKLATIHAKARVDRRGASHVKMKKHHTYLSVILMGSSVLFVVLPLYSGSNLQFAYLYQWSEFPYRISSNGQPPHYFTLGIQVTLLLNIIFYGLLCWLSLNYARQKLWLYAPRDFTTIKKCYFVSLFTAFWLSAQSVLVWFISLYRLWRSSQFLPFLSLDLIILWQLLFRCGALGLIILNYSSWNFKRIWERFSWVVLSGNGILGILFEVVAVLMLLGLGASLM
+>sp|P21731|TA2R_HUMAN Thromboxane A2 receptor OS=Homo sapiens OX=9606 GN=TBXA2R PE=1 SV=3
+MWPNGSSLGPCFRPTNITLEERRLIASPWFAASFCVVGLASNLLALSVLAGARQGGSHTRSSFLTFLCGLVLTDFLGLLVTGTIVVSQHAALFEWHAVDPGCRLCRFMGVVMIFFGLSPLLLGAAMASERYLGITRPFSRPAVASQRRAWATVGLVWAAALALGLLPLLGVGRYTVQYPGSWCFLTLGAESGDVAFGLLFSMLGGLSVGLSFLLNTVSVATLCHVYHGQEAAQQRPRDSEVEMMAQLLGIMVVASVCWLPLLVFIAQTVLRNPPAMSPAGQLSRTTEKELLIYLRVATWNQILDPWVYILFRRAVLRRLQPRLSTRPRSLSLQPQLTQRSGLQ
+>DECOY_sp|P21731|TA2R_HUMAN Thromboxane A2 receptor OS=Homo sapiens OX=9606 GN=TBXA2R PE=1 SV=3
+QLGSRQTLQPQLSLSRPRTSLRPQLRRLVARRFLIYVWPDLIQNWTAVRLYILLEKETTRSLQGAPSMAPPNRLVTQAIFVLLPLWCVSAVVMIGLLQAMMEVESDRPRQQAAEQGHYVHCLTAVSVTNLLFSLGVSLGGLMSFLLGFAVDGSEAGLTLFCWSGPYQVTYRGVGLLPLLGLALAAAWVLGVTAWARRQSAVAPRSFPRTIGLYRESAMAAGLLLPSLGFFIMVVGMFRCLRCGPDVAHWEFLAAHQSVVITGTVLLGLFDTLVLGCLFTLFSSRTHSGGQRAGALVSLALLNSALGVVCFSAAFWPSAILRREELTINTPRFCPGLSSGNPWM
+>sp|Q9P1P5|TAAR2_HUMAN Trace amine-associated receptor 2 OS=Homo sapiens OX=9606 GN=TAAR2 PE=2 SV=2
+MAVSSEQHELSHFKRTQTKKEKFNCSEYGNRSCPENERSLGVRVAMYSFMAGSIFITIFGNLAMIISISYFKQLHTPTNFLILSMAITDFLLGFTIMPYSMIRSVENCWYFGLTFCKIYYSFDLMLSITSIFHLCSVAIDRFYAICYPLLYSTKITIPVIKRLLLLCWSVPGAFAFGVVFSEAYADGIEGYDILVACSSSCPVMFNKLWGTTLFMAGFFTPGSMMVGIYGKIFAVSRKHAHAINNLRENQNNQVKKDKKAAKTLGIVIGVFLLCWFPCFFTILLDPFLNFSTPVVLFDALTWFGYFNSTCNPLIYGFFYPWFRRALKYILLGKIFSSCFHNTILCMQKESE
+>DECOY_sp|Q9P1P5|TAAR2_HUMAN Trace amine-associated receptor 2 OS=Homo sapiens OX=9606 GN=TAAR2 PE=2 SV=2
+ESEKQMCLITNHFCSSFIKGLLIYKLARRFWPYFFGYILPNCTSNFYGFWTLADFLVVPTSFNLFPDLLITFFCPFWCLLFVGIVIGLTKAAKKDKKVQNNQNERLNNIAHAHKRSVAFIKGYIGVMMSGPTFFGAMFLTTGWLKNFMVPCSSSCAVLIDYGEIGDAYAESFVVGFAFAGPVSWCLLLLRKIVPITIKTSYLLPYCIAYFRDIAVSCLHFISTISLMLDFSYYIKCFTLGFYWCNEVSRIMSYPMITFGLLFDTIAMSLILFNTPTHLQKFYSISIIMALNGFITIFISGAMFSYMAVRVGLSRENEPCSRNGYESCNFKEKKTQTRKFHSLEHQESSVAM
+>sp|Q86TJ2|TAD2B_HUMAN Transcriptional adapter 2-beta OS=Homo sapiens OX=9606 GN=TADA2B PE=1 SV=2
+MAELGKKYCVYCLAEVSPLRFRCTECQDIELCPECFSAGAEIGHHRRYHGYQLVDGGRFTLWGPEAEGGWTSREEQLLLDAIEQFGFGNWEDMAAHVGASRTPQEVMEHYVSMYIHGNLGKACIPDTIPNRVTDHTCPSGGPLSPSLTTPLPPLDISVAEQQQLGYMPLRDDYEIEYDQDAETLISGLSVNYDDDDVEIELKRAHVDMYVRKLKERQRRKNIARDYNLVPAFLGKDKKEKEKALKRKITKEEKELRLKLRPLYQFMSCKEFDDLFENMHKEKMLRAKIRELQRYRRNGITKMEESAEYEAARHKREKRKENKNLAGSKRGKEDGKDSEFAAIENLPGFELLSDREKVLCSSLNLSPARYVTVKTIIIKDHLQKRQGIPSKSRLPSYLDKVLKKRILNFLTESGWISRDAS
+>DECOY_sp|Q86TJ2|TAD2B_HUMAN Transcriptional adapter 2-beta OS=Homo sapiens OX=9606 GN=TADA2B PE=1 SV=2
+SADRSIWGSETLFNLIRKKLVKDLYSPLRSKSPIGQRKQLHDKIIITKVTVYRAPSLNLSSCLVKERDSLLEFGPLNEIAAFESDKGDEKGRKSGALNKNEKRKERKHRAAEYEASEEMKTIGNRRYRQLERIKARLMKEKHMNEFLDDFEKCSMFQYLPRLKLRLEKEEKTIKRKLAKEKEKKDKGLFAPVLNYDRAINKRRQREKLKRVYMDVHARKLEIEVDDDDYNVSLGSILTEADQDYEIEYDDRLPMYGLQQQEAVSIDLPPLPTTLSPSLPGGSPCTHDTVRNPITDPICAKGLNGHIYMSVYHEMVEQPTRSAGVHAAMDEWNGFGFQEIADLLLQEERSTWGGEAEPGWLTFRGGDVLQYGHYRRHHGIEAGASFCEPCLEIDQCETCRFRLPSVEALCYVCYKKGLEAM
+>sp|Q15544|TAF11_HUMAN Transcription initiation factor TFIID subunit 11 OS=Homo sapiens OX=9606 GN=TAF11 PE=1 SV=1
+MDDAHESPSDKGGETGESDETAAVPGDPGATDTDGIPEETDGDADVDLKEAAAEEGELESQDVSDLTTVEREDSSLLNPAAKKLKIDTKEKKEKKQKVDEDEIQKMQILVSSFSEEQLNRYEMYRRSAFPKAAIKRLIQSITGTSVSQNVVIAMSGISKVFVGEVVEEALDVCEKWGEMPPLQPKHMREAVRRLKSKGQIPNSKHKKIIFF
+>DECOY_sp|Q15544|TAF11_HUMAN Transcription initiation factor TFIID subunit 11 OS=Homo sapiens OX=9606 GN=TAF11 PE=1 SV=1
+FFIIKKHKSNPIQGKSKLRRVAERMHKPQLPPMEGWKECVDLAEEVVEGVFVKSIGSMAIVVNQSVSTGTISQILRKIAAKPFASRRYMEYRNLQEESFSSVLIQMKQIEDEDVKQKKEKKEKTDIKLKKAAPNLLSSDEREVTTLDSVDQSELEGEEAAAEKLDVDADGDTEEPIGDTDTAGPDGPVAATEDSEGTEGGKDSPSEHADDM
+>sp|Q6P1X5|TAF2_HUMAN Transcription initiation factor TFIID subunit 2 OS=Homo sapiens OX=9606 GN=TAF2 PE=1 SV=3
+MPLTGVEPARMNRKKGDKGFESPRPYKLTHQVVCINNINFQRKSVVGFVELTIFPTVANLNRIKLNSKQCRIYRVRINDLEAAFIYNDPTLEVCHSESKQRNLNYFSNAYAAAVSAVDPDAGNGELCIKVPSELWKHVDELKVLKIHINFSLDQPKGGLHFVVPSVEGSMAERGAHVFSCGYQNSTRFWFPCVDSYSELCTWKLEFTVDAAMVAVSNGDLVETVYTHDMRKKTFHYMLTIPTAASNISLAIGPFEILVDPYMHEVTHFCLPQLLPLLKHTTSYLHEVFEFYEEILTCRYPYSCFKTVFIDEAYVEVAAYASMSIFSTNLLHSAMIIDETPLTRRCLAQSLAQQFFGCFISRMSWSDEWVLKGISGYIYGLWMKKTFGVNEYRHWIKEELDKIVAYELKTGGVLLHPIFGGGKEKDNPASHLHFSIKHPHTLSWEYYSMFQCKAHLVMRLIENRISMEFMLQVFNKLLSLASTASSQKFQSHMWSQMLVSTSGFLKSISNVSGKDIQPLIKQWVDQSGVVKFYGSFAFNRKRNVLELEIKQDYTSPGTQKYVGPLKVTVQELDGSFNHTLQIEENSLKHDIPCHSKSRRNKKKKIPLMNGEEVDMDLSAMDADSPLLWIRIDPDMSVLRKVEFEQADFMWQYQLRYERDVVAQQESILALEKFPTPASRLALTDILEQEQCFYRVRMSACFCLAKIANSMVSTWTGPPAMKSLFTRMFCCKSCPNIVKTNNFMSFQSYFLQKTMPVAMALLRDVHNLCPKEVLTFILDLIKYNDNRKNKFSDNYYRAEMIDALANSVTPAVSVNNEVRTLDNLNPDVRLILEEITRFLNMEKLLPSYRHTITVSCLRAIRVLQKNGHVPSDPALFKSYAEYGHFVDIRIAALEAVVDYTKVDRSYEELQWLLNMIQNDPVPYVRHKILNMLTKNPPFTKNMESPLCNEALVDQLWKLMNSGTSHDWRLRCGAVDLYFTLFGLSRPSCLPLPELGLVLNLKEKKAVLNPTIIPESVAGNQEAANNPSSHPQLVGFQNPFSSSQDEEEIDMDTVHDSQAFISHHLNMLERPSTPGLSKYRPASSRSALIPQHSAGCDSTPTTKPQWSLELARKGTGKEQAPLEMSMHPAASAPLSVFTKESTASKHSDHHHHHHHEHKKKKKKHKHKHKHKHKHDSKEKDKEPFTFSSPASGRSIRSPSLSD
+>DECOY_sp|Q6P1X5|TAF2_HUMAN Transcription initiation factor TFIID subunit 2 OS=Homo sapiens OX=9606 GN=TAF2 PE=1 SV=3
+DSLSPSRISRGSAPSSFTFPEKDKEKSDHKHKHKHKHKHKKKKKKHEHHHHHHHDSHKSATSEKTFVSLPASAAPHMSMELPAQEKGTGKRALELSWQPKTTPTSDCGASHQPILASRSSAPRYKSLGPTSPRELMNLHHSIFAQSDHVTDMDIEEEDQSSSFPNQFGVLQPHSSPNNAAEQNGAVSEPIITPNLVAKKEKLNLVLGLEPLPLCSPRSLGFLTFYLDVAGCRLRWDHSTGSNMLKWLQDVLAENCLPSEMNKTFPPNKTLMNLIKHRVYPVPDNQIMNLLWQLEEYSRDVKTYDVVAELAAIRIDVFHGYEAYSKFLAPDSPVHGNKQLVRIARLCSVTITHRYSPLLKEMNLFRTIEELILRVDPNLNDLTRVENNVSVAPTVSNALADIMEARYYNDSFKNKRNDNYKILDLIFTLVEKPCLNHVDRLLAMAVPMTKQLFYSQFSMFNNTKVINPCSKCCFMRTFLSKMAPPGTWTSVMSNAIKALCFCASMRVRYFCQEQELIDTLALRSAPTPFKELALISEQQAVVDREYRLQYQWMFDAQEFEVKRLVSMDPDIRIWLLPSDADMASLDMDVEEGNMLPIKKKKNRRSKSHCPIDHKLSNEEIQLTHNFSGDLEQVTVKLPGVYKQTGPSTYDQKIELELVNRKRNFAFSGYFKVVGSQDVWQKILPQIDKGSVNSISKLFGSTSVLMQSWMHSQFKQSSATSALSLLKNFVQLMFEMSIRNEILRMVLHAKCQFMSYYEWSLTHPHKISFHLHSAPNDKEKGGGFIPHLLVGGTKLEYAVIKDLEEKIWHRYENVGFTKKMWLGYIYGSIGKLVWEDSWSMRSIFCGFFQQALSQALCRRTLPTEDIIMASHLLNTSFISMSAYAAVEVYAEDIFVTKFCSYPYRCTLIEEYFEFVEHLYSTTHKLLPLLQPLCFHTVEHMYPDVLIEFPGIALSINSAATPITLMYHFTKKRMDHTYVTEVLDGNSVAVMAADVTFELKWTCLESYSDVCPFWFRTSNQYGCSFVHAGREAMSGEVSPVVFHLGGKPQDLSFNIHIKLVKLEDVHKWLESPVKICLEGNGADPDVASVAAAYANSFYNLNRQKSESHCVELTPDNYIFAAELDNIRVRYIRCQKSNLKIRNLNAVTPFITLEVFGVVSKRQFNINNICVVQHTLKYPRPSEFGKDGKKRNMRAPEVGTLPM
+>sp|Q92750|TAF4B_HUMAN Transcription initiation factor TFIID subunit 4B OS=Homo sapiens OX=9606 GN=TAF4B PE=1 SV=2
+MPAGLTEPAGAAPPAAVSASGTVTMAPAGALPVRVESTPVALGAVTKAPVSVCVEPTASQPLRSPVGTLVTKVAPVSAPPKVSSGPRLPAPQIVAVKAPNTTTIQFPANLQLPPGTVLIKSNSGPLMLVSPQQTVTRAETTSNITSRPAVPANPQTVKICTVPNSSSQLIKKVAVTPVKKLAQIGTTVVTTVPKPSSVQSVAVPTSVVTVTPGKPLNTVTTLKPSSLGASSTPSNEPNLKAENSAAVQINLSPTMLENVKKCKNFLAMLIKLACSGSQSPEMGQNVKKLVEQLLDAKIEAEEFTRKLYVELKSSPQPHLVPFLKKSVVALRQLLPNSQSFIQQCVQQTSSDMVIATCTTTVTTSPVVTTTVSSSQSEKSIIVSGATAPRTVSVQTLNPLAGPVGAKAGVVTLHSVGPTAATGGTTAGTGLLQTSKPLVTSVANTVTTVSLQPEKPVVSGTAVTLSLPAVTFGETSGAAICLPSVKPVVSSAGTTSDKPVIGTPVQIKLAQPGPVLSQPAGIPQAVQVKQLVVQQPSGGNEKQVTTISHSSTLTIQKCGQKTMPVNTIIPTSQFPPASILKQITLPGNKILSLQASPTQKNRIKENVTSCFRDEDDINDVTSMAGVNLNEENACILATNSELVGTLIQSCKDEPFLFIGALQKRILDIGKKHDITELNSDAVNLISQATQERLRGLLEKLTAIAQHRMTTYKASENYILCSDTRSQLKFLEKLDQLEKQRKDLEEREMLLKAAKSRSNKEDPEQLRLKQKAKELQQLELAQIQHRDANLTALAAIGPRKKRPLESGIEGLKDNLLASGTSSLTATKQLHRPRITRICLRDLIFCMEQEREMKYSRALYLALLK
+>DECOY_sp|Q92750|TAF4B_HUMAN Transcription initiation factor TFIID subunit 4B OS=Homo sapiens OX=9606 GN=TAF4B PE=1 SV=2
+KLLALYLARSYKMEREQEMCFILDRLCIRTIRPRHLQKTATLSSTGSALLNDKLGEIGSELPRKKRPGIAALATLNADRHQIQALELQQLEKAKQKLRLQEPDEKNSRSKAAKLLMEREELDKRQKELQDLKELFKLQSRTDSCLIYNESAKYTTMRHQAIATLKELLGRLREQTAQSILNVADSNLETIDHKKGIDLIRKQLAGIFLFPEDKCSQILTGVLESNTALICANEENLNVGAMSTVDNIDDEDRFCSTVNEKIRNKQTPSAQLSLIKNGPLTIQKLISAPPFQSTPIITNVPMTKQGCKQITLTSSHSITTVQKENGGSPQQVVLQKVQVAQPIGAPQSLVPGPQALKIQVPTGIVPKDSTTGASSVVPKVSPLCIAAGSTEGFTVAPLSLTVATGSVVPKEPQLSVTTVTNAVSTVLPKSTQLLGTGATTGGTAATPGVSHLTVVGAKAGVPGALPNLTQVSVTRPATAGSVIISKESQSSSVTTTVVPSTTVTTTCTAIVMDSSTQQVCQQIFSQSNPLLQRLAVVSKKLFPVLHPQPSSKLEVYLKRTFEEAEIKADLLQEVLKKVNQGMEPSQSGSCALKILMALFNKCKKVNELMTPSLNIQVAASNEAKLNPENSPTSSAGLSSPKLTTVTNLPKGPTVTVVSTPVAVSQVSSPKPVTTVVTTGIQALKKVPTVAVKKILQSSSNPVTCIKVTQPNAPVAPRSTINSTTEARTVTQQPSVLMLPGSNSKILVTGPPLQLNAPFQITTTNPAKVAVIQPAPLRPGSSVKPPASVPAVKTVLTGVPSRLPQSATPEVCVSVPAKTVAGLAVPTSEVRVPLAGAPAMTVTGSASVAAPPAAGAPETLGAPM
+>sp|P49848|TAF6_HUMAN Transcription initiation factor TFIID subunit 6 OS=Homo sapiens OX=9606 GN=TAF6 PE=1 SV=1
+MAEEKKLKLSNTVLPSESMKVVAESMGIAQIQEETCQLLTDEVSYRIKEIAQDALKFMHMGKRQKLTTSDIDYALKLKNVEPLYGFHAQEFIPFRFASGGGRELYFYEEKEVDLSDIINTPLPRVPLDVCLKAHWLSIEGCQPAIPENPPPAPKEQQKAEATEPLKSAKPGQEEDGPLKGKGQGATTADGKGKEKKAPPLLEGAPLRLKPRSIHELSVEQQLYYKEITEACVGSCEAKRAEALQSIATDPGLYQMLPRFSTFISEGVRVNVVQNNLALLIYLMRMVKALMDNPTLYLEKYVHELIPAVMTCIVSRQLCLRPDVDNHWALRDFAARLVAQICKHFSTTTNNIQSRITKTFTKSWVDEKTPWTTRYGSIAGLAELGHDVIKTLILPRLQQEGERIRSVLDGPVLSNIDRIGADHVQSLLLKHCAPVLAKLRPPPDNQDAYRAEFGSLGPLLCSQVVKARAQAALQAQQVNRTTLTITQPRPTLTLSQAPQPGPRTPGLLKVPGSIALPVQTLVSARAAAPPQPSPPPTKFIVMSSSSSAPSTQQVLSLSTSAPGSGSTTTSPVTTTVPSVQPIVKLVSTATTAPPSTAPSGPGSVQKYIVVSLPPTGEGKGGPTSHPSPVPPPASSPSPLSGSALCGGKQEAGDSPPPAPGTPKANGSQPNSGSPQPAP
+>DECOY_sp|P49848|TAF6_HUMAN Transcription initiation factor TFIID subunit 6 OS=Homo sapiens OX=9606 GN=TAF6 PE=1 SV=1
+PAPQPSGSNPQSGNAKPTGPAPPPSDGAEQKGGCLASGSLPSPSSAPPPVPSPHSTPGGKGEGTPPLSVVIYKQVSGPGSPATSPPATTATSVLKVIPQVSPVTTTVPSTTTSGSGPASTSLSLVQQTSPASSSSSMVIFKTPPPSPQPPAAARASVLTQVPLAISGPVKLLGPTRPGPQPAQSLTLTPRPQTITLTTRNVQQAQLAAQARAKVVQSCLLPGLSGFEARYADQNDPPPRLKALVPACHKLLLSQVHDAGIRDINSLVPGDLVSRIREGEQQLRPLILTKIVDHGLEALGAISGYRTTWPTKEDVWSKTFTKTIRSQINNTTTSFHKCIQAVLRAAFDRLAWHNDVDPRLCLQRSVICTMVAPILEHVYKELYLTPNDMLAKVMRMLYILLALNNQVVNVRVGESIFTSFRPLMQYLGPDTAISQLAEARKAECSGVCAETIEKYYLQQEVSLEHISRPKLRLPAGELLPPAKKEKGKGDATTAGQGKGKLPGDEEQGPKASKLPETAEAKQQEKPAPPPNEPIAPQCGEISLWHAKLCVDLPVRPLPTNIIDSLDVEKEEYFYLERGGGSAFRFPIFEQAHFGYLPEVNKLKLAYDIDSTTLKQRKGMHMFKLADQAIEKIRYSVEDTLLQCTEEQIQAIGMSEAVVKMSESPLVTNSLKLKKEEAM
+>sp|Q9BVV6|TALD3_HUMAN Protein TALPID3 OS=Homo sapiens OX=9606 GN=KIAA0586 PE=1 SV=4
+MPVKRLREVVSQNHGDHLVLLKDELPCVPPALSANKRLPVGTGTSLNGTSRGSSDLTSARNCYQPLLENPMVSESDFSKDVAVQVLPLDKIEENNKQKANDIFISQYTMGQKDALRTVLKQKAQSMPVFKEVKVHLLEDAGIEKDAVTQETRISPSGIDSATTVAAATAAAIATAAPLIKVQSDLEAKVNSVTELLSKLQETDKHLQRVTEQQTSIQRKQEKLHCHDHEKQMNVFMEQHIRHLEKLQQQQIDIQTHFISAALKTSSFQPVSMPSSRAVEKYSVKPEHPNLGSCNPSLYNTFASKQAPLKEVEDTSFDKQKSPLETPAPRRFAPVPVSRDDELSKRENLLEEKENMEVSCHRGNVRLLEQILNNNDSLTRKSESSNTTSLTRSKIGWTPEKTNRFPSCEELETTKVTMQKSDDVLHDLGQKEKETNSMVQPKESLSMLKLPDLPQNSVKLQTTNTTRSVLKDAEKILRGVQNNKKVLEENLEAIIRAKDGAAMYSLINALSTNREMSEKIRIRKTVDEWIKTISAEIQDELSRTDYEQKRFDQKNQRTKKGQNMTKDIRTNTQDKTVNKSVIPRKHSQKQIEEHFRNLPMRGMPASSLQKERKEGLLKATTVIQDEDYMLQVYGKPVYQGHRSTLKKGPYLRFNSPSPKSRPQRPKVIERVKGTKVKSIRTQTDFYATKPKKMDSKMKHSVPVLPHGDQQYLFSPSREMPTFSGTLEGHLIPMAILLGQTQSNSDTMPPAGVIVSKPHPVTVTTSIPPSSRKVETGVKKPNIAIVEMKSEKKDPPQLTVQVLPSVDIDSISNSSADVLSPLSSPKEASLPPVQTWIKTPEIMKVDEEEVKFPGTNFDEIIDVIQEEEKCDEIPDSEPILEFNRSVKADSTKYNGPPFPPVASTFQPTADILDKVIERKETLENSLIQWVEQEIMSRIISGLFPVQQQIAPSISVSVSETSEPLTSDIVEGTSSGALQLFVDAGVPVNSNVIKHFVNEALAETIAVMLGDREAKKQGPVATGVSGDASTNETYLPARVCTPLPTPQPTPPCSPSSPAKECVLVKTPDSSPCDSDHDMAFPVKEICAEKGDDMPAIMLVNTPTVTPTTTPPPAAAVFTPTLSDISIDKLKVSSPELPKPWGDGDLPLEEENPNSPQEELHPRAIVMSVAKDEEPESMDFPAQPPPPEPVPFMPFPAGTKAPSPSQMPGSDSSTLESTLSVTVTETETLDKPISEGEILFSCGQKLAPKILEDIGLYLTNLNDSLSSTLHDAVEMEDDPPSEGQVIRMSHKKFHADAILSFAKQNQESAVSQQAVYHSEDLENSVGELSEGQRPQLTAAAENILMGHSLYMQPPVTNTQSLDQQCDPKPLSRQFDTVSGSIYEDSCASHGPMSLGELELEPNSKLVLPTTLLTAQENDVNLPVAAEDFSQYQLKQNQDVKQVEHKPSQSYLRVRNKSDIAPSQQQVSPGDMDRTQIELNPYLTCVFSGGKAVPLSASQMPPAKMSVMLPSVNLEDCSQSLSLSTMQEDMESSGADTF
+>DECOY_sp|Q9BVV6|TALD3_HUMAN Protein TALPID3 OS=Homo sapiens OX=9606 GN=KIAA0586 PE=1 SV=4
+FTDAGSSEMDEQMTSLSLSQSCDELNVSPLMVSMKAPPMQSASLPVAKGGSFVCTLYPNLEIQTRDMDGPSVQQQSPAIDSKNRVRLYSQSPKHEVQKVDQNQKLQYQSFDEAAVPLNVDNEQATLLTTPLVLKSNPELELEGLSMPGHSACSDEYISGSVTDFQRSLPKPDCQQDLSQTNTVPPQMYLSHGMLINEAAATLQPRQGESLEGVSNELDESHYVAQQSVASEQNQKAFSLIADAHFKKHSMRIVQGESPPDDEMEVADHLTSSLSDNLNTLYLGIDELIKPALKQGCSFLIEGESIPKDLTETETVTVSLTSELTSSDSGPMQSPSPAKTGAPFPMFPVPEPPPPQAPFDMSEPEEDKAVSMVIARPHLEEQPSNPNEEELPLDGDGWPKPLEPSSVKLKDISIDSLTPTFVAAAPPPTTTPTVTPTNVLMIAPMDDGKEACIEKVPFAMDHDSDCPSSDPTKVLVCEKAPSSPSCPPTPQPTPLPTCVRAPLYTENTSADGSVGTAVPGQKKAERDGLMVAITEALAENVFHKIVNSNVPVGADVFLQLAGSSTGEVIDSTLPESTESVSVSISPAIQQQVPFLGSIIRSMIEQEVWQILSNELTEKREIVKDLIDATPQFTSAVPPFPPGNYKTSDAKVSRNFELIPESDPIEDCKEEEQIVDIIEDFNTGPFKVEEEDVKMIEPTKIWTQVPPLSAEKPSSLPSLVDASSNSISDIDVSPLVQVTLQPPDKKESKMEVIAINPKKVGTEVKRSSPPISTTVTVPHPKSVIVGAPPMTDSNSQTQGLLIAMPILHGELTGSFTPMERSPSFLYQQDGHPLVPVSHKMKSDMKKPKTAYFDTQTRISKVKTGKVREIVKPRQPRSKPSPSNFRLYPGKKLTSRHGQYVPKGYVQLMYDEDQIVTTAKLLGEKREKQLSSAPMGRMPLNRFHEEIQKQSHKRPIVSKNVTKDQTNTRIDKTMNQGKKTRQNKQDFRKQEYDTRSLEDQIEASITKIWEDVTKRIRIKESMERNTSLANILSYMAAGDKARIIAELNEELVKKNNQVGRLIKEADKLVSRTTNTTQLKVSNQPLDPLKLMSLSEKPQVMSNTEKEKQGLDHLVDDSKQMTVKTTELEECSPFRNTKEPTWGIKSRTLSTTNSSESKRTLSDNNNLIQELLRVNGRHCSVEMNEKEELLNERKSLEDDRSVPVPAFRRPAPTELPSKQKDFSTDEVEKLPAQKSAFTNYLSPNCSGLNPHEPKVSYKEVARSSPMSVPQFSSTKLAASIFHTQIDIQQQQLKELHRIHQEMFVNMQKEHDHCHLKEQKRQISTQQETVRQLHKDTEQLKSLLETVSNVKAELDSQVKILPAATAIAAATAAAVTTASDIGSPSIRTEQTVADKEIGADELLHVKVEKFVPMSQAKQKLVTRLADKQGMTYQSIFIDNAKQKNNEEIKDLPLVQVAVDKSFDSESVMPNELLPQYCNRASTLDSSGRSTGNLSTGTGVPLRKNASLAPPVCPLEDKLLVLHDGHNQSVVERLRKVPM
+>sp|Q6NXT6|TAPT1_HUMAN Transmembrane anterior posterior transformation protein 1 homolog OS=Homo sapiens OX=9606 GN=TAPT1 PE=1 SV=1
+MAGVGDAAAPGEGGGGGVDGPQRDGRGEAEQPGGSGGQGPPPAPQLTETLGFYESDRRRERRRGRTELSLLRFLSAELTRGYFLEHNEAKYTERRERVYTCLRIPRELEKLMVFGIFLCLDAFLYVFTLLPLRVFLALFRLLTLPCYGLRDRRLLQPAQVCDILKGVILVICYFMMHYVDYSMMYHLIRGQSVIKLYIIYNMLEVADRLFSSFGQDILDALYWTATEPKERKRAHIGVIPHFFMAVLYVFLHAILIMVQATTLNVAFNSHNKSLLTIMMSNNFVEIKGSVFKKFEKNNLFQMSNSDIKERFTNYVLLLIVCLRNMEQFSWNPDHLWVLFPDVCMVIASEIAVDIVKHAFITKFNDITADVYSEYRASLAFDLVSSRQKNAYTDYSDSVARRMGFIPLPLAVLLIRVVTSSIKVQGILSYACVILFYFGLISLKVLNSIVLLGKSCQYVKEAKMEEKLSNPPATCTPGKPSSKSQNKCKPSQGLSTEENLSASITKQPIHQKENIIPLLVTSNSDQFLTTPDGDEKDITQDNSELKHRSSKKDLLEIDRFTICGNRID
+>DECOY_sp|Q6NXT6|TAPT1_HUMAN Transmembrane anterior posterior transformation protein 1 homolog OS=Homo sapiens OX=9606 GN=TAPT1 PE=1 SV=1
+DIRNGCITFRDIELLDKKSSRHKLESNDQTIDKEDGDPTTLFQDSNSTVLLPIINEKQHIPQKTISASLNEETSLGQSPKCKNQSKSSPKGPTCTAPPNSLKEEMKAEKVYQCSKGLLVISNLVKLSILGFYFLIVCAYSLIGQVKISSTVVRILLVALPLPIFGMRRAVSDSYDTYANKQRSSVLDFALSARYESYVDATIDNFKTIFAHKVIDVAIESAIVMCVDPFLVWLHDPNWSFQEMNRLCVILLLVYNTFREKIDSNSMQFLNNKEFKKFVSGKIEVFNNSMMITLLSKNHSNFAVNLTTAQVMILIAHLFVYLVAMFFHPIVGIHARKREKPETATWYLADLIDQGFSSFLRDAVELMNYIIYLKIVSQGRILHYMMSYDVYHMMFYCIVLIVGKLIDCVQAPQLLRRDRLGYCPLTLLRFLALFVRLPLLTFVYLFADLCLFIGFVMLKELERPIRLCTYVRERRETYKAENHELFYGRTLEASLFRLLSLETRGRRRERRRDSEYFGLTETLQPAPPPGQGGSGGPQEAEGRGDRQPGDVGGGGGEGPAAADGVGAM
+>sp|Q9NU19|TB22B_HUMAN TBC1 domain family member 22B OS=Homo sapiens OX=9606 GN=TBC1D22B PE=1 SV=3
+MAAENSKQFWKRSAKLPGSIQPVYGAQHPPLDPRLTKNFIKERSKVNTVPLKNKKASSFHEFARNTSDAWDIGDDEEEDFSSPSFQTLNSKVALATAAQVLENHSKLRVKPERSQSTTSDVPANYKVIKSSSDAQLSRNSSDTCLRNPLHKQQSLPLRPIIPLVARISDQNASGAPPMTVREKTRLEKFRQLLSSQNTDLDELRKCSWPGVPREVRPITWRLLSGYLPANTERRKLTLQRKREEYFGFIEQYYDSRNEEHHQDTYRQIHIDIPRTNPLIPLFQQPLVQEIFERILFIWAIRHPASGYVQGINDLVTPFFVVFLSEYVEEDVENFDVTNLSQDMLRSIEADSFWCMSKLLDGIQDNYTFAQPGIQKKVKALEELVSRIDEQVHNHFRRYEVEYLQFAFRWMNNLLMRELPLRCTIRLWDTYQSEPEGFSHFHLYVCAAFLIKWRKEILDEEDFQGLLMLLQNLPTIHWGNEEIGLLLAEAYRLKYMFADAPNHYRR
+>DECOY_sp|Q9NU19|TB22B_HUMAN TBC1 domain family member 22B OS=Homo sapiens OX=9606 GN=TBC1D22B PE=1 SV=3
+RRYHNPADAFMYKLRYAEALLLGIEENGWHITPLNQLLMLLGQFDEEDLIEKRWKILFAACVYLHFHSFGEPESQYTDWLRITCRLPLERMLLNNMWRFAFQLYEVEYRRFHNHVQEDIRSVLEELAKVKKQIGPQAFTYNDQIGDLLKSMCWFSDAEISRLMDQSLNTVDFNEVDEEVYESLFVVFFPTVLDNIGQVYGSAPHRIAWIFLIREFIEQVLPQQFLPILPNTRPIDIHIQRYTDQHHEENRSDYYQEIFGFYEERKRQLTLKRRETNAPLYGSLLRWTIPRVERPVGPWSCKRLEDLDTNQSSLLQRFKELRTKERVTMPPAGSANQDSIRAVLPIIPRLPLSQQKHLPNRLCTDSSNRSLQADSSSKIVKYNAPVDSTTSQSREPKVRLKSHNELVQAATALAVKSNLTQFSPSSFDEEEDDGIDWADSTNRAFEHFSSAKKNKLPVTNVKSREKIFNKTLRPDLPPHQAGYVPQISGPLKASRKWFQKSNEAAM
+>sp|Q6PEY2|TBA3E_HUMAN Tubulin alpha-3E chain OS=Homo sapiens OX=9606 GN=TUBA3E PE=1 SV=2
+MRECISIHVGQAGVQIGNACWELYCLEHGIQPDGQMPSDKTIGGGDDSFNTFFSETGAGKHVPRAVFVDLEPTVVDEVRTGTYRQLFHPEQLITGKEDAASNYARGHYTIGKEIVDLVLDRIRKLADLCTGLQGFLIFHSFGGGTGSGFASLLMERLSVDYSKKSKLEFAIYPAPQVSTAVVEPYNSILTTHTTLEHSDCAFMVDNEAIYDICRRNLDIERPTYTNLNRLIGQIVSSITASLRFDGALNVDLTEFQTNLVPYPRIHFPLATYAPVISAEKAYHEQLSVAEITNACFEPANQMVKCDPRHGKYMACCMLYRGDVVPKDVNAAIATIKTKRTIQFVDWCPTGFKVGINYQPPTVVPGGDLAKVQRAVCMLSNTTAIAEAWARLVHKFDLMYAKWAFVHWYVGEGMEEGEFSEAREDLAALEKDCEEVGVDSVEAEAEEGEAY
+>DECOY_sp|Q6PEY2|TBA3E_HUMAN Tubulin alpha-3E chain OS=Homo sapiens OX=9606 GN=TUBA3E PE=1 SV=2
+YAEGEEAEAEVSDVGVEECDKELAALDERAESFEGEEMGEGVYWHVFAWKAYMLDFKHVLRAWAEAIATTNSLMCVARQVKALDGGPVVTPPQYNIGVKFGTPCWDVFQITRKTKITAIAANVDKPVVDGRYLMCCAMYKGHRPDCKVMQNAPEFCANTIEAVSLQEHYAKEASIVPAYTALPFHIRPYPVLNTQFETLDVNLAGDFRLSATISSVIQGILRNLNTYTPREIDLNRRCIDYIAENDVMFACDSHELTTHTTLISNYPEVVATSVQPAPYIAFELKSKKSYDVSLREMLLSAFGSGTGGGFSHFILFGQLGTCLDALKRIRDLVLDVIEKGITYHGRAYNSAADEKGTILQEPHFLQRYTGTRVEDVVTPELDVFVARPVHKGAGTESFFTNFSDDGGGITKDSPMQGDPQIGHELCYLEWCANGIQVGAQGVHISICERM
+>sp|Q9H853|TBA4B_HUMAN Putative tubulin-like protein alpha-4B OS=Homo sapiens OX=9606 GN=TUBA4B PE=5 SV=2
+MRHQQTERQDPSQPLSRQHGTYRQIFHPEQLITGKEDAANNYAWGHYTIGKEFIDLLLDRIRKLADQCTGLQGFLVFHSLGRGTGSDVTSFLMEWLSVNYGKKSKLGFSIYPAPQVSTAMVQPYNSILTTHTTLEHSDCAFMVDNKAIYDICHCNLDIERPTYTNLNRLISQIVSSITASLRFDGALNVDLTEFQTNLVSYLTSTSPWPPMHQSSLQKRYTTSSCWWQRLPMPALSLPTRW
+>DECOY_sp|Q9H853|TBA4B_HUMAN Putative tubulin-like protein alpha-4B OS=Homo sapiens OX=9606 GN=TUBA4B PE=5 SV=2
+WRTPLSLAPMPLRQWWCSSTTYRKQLSSQHMPPWPSTSTLYSVLNTQFETLDVNLAGDFRLSATISSVIQSILRNLNTYTPREIDLNCHCIDYIAKNDVMFACDSHELTTHTTLISNYPQVMATSVQPAPYISFGLKSKKGYNVSLWEMLFSTVDSGTGRGLSHFVLFGQLGTCQDALKRIRDLLLDIFEKGITYHGWAYNNAADEKGTILQEPHFIQRYTGHQRSLPQSPDQRETQQHRM
+>sp|P54274|TERF1_HUMAN Telomeric repeat-binding factor 1 OS=Homo sapiens OX=9606 GN=TERF1 PE=1 SV=3
+MAEDVSSAAPSPRGCADGRDADPTEEQMAETERNDEEQFECQELLECQVQVGAPEEEEEEEEDAGLVAEAEAVAAGWMLDFLCLSLCRAFRDGRSEDFRRTRNSAEAIIHGLSSLTACQLRTIYICQFLTRIAAGKTLDAQFENDERITPLESALMIWGSIEKEHDKLHEEIQNLIKIQAIAVCMENGNFKEAEEVFERIFGDPNSHMPFKSKLLMIISQKDTFHSFFQHFSYNHMMEKIKSYVNYVLSEKSSTFLMKAAAKVVESKRTRTITSQDKPSGNDVEMETEANLDTRKSVSDKQSAVTESSEGTVSLLRSHKNLFLSKLQHGTQQQDLNKKERRVGTPQSTKKKKESRRATESRIPVSKSQPVTPEKHRARKRQAWLWEEDKNLRSGVRKYGEGNWSKILLHYKFNNRTSVMLKDRWRTMKKLKLISSDSED
+>DECOY_sp|P54274|TERF1_HUMAN Telomeric repeat-binding factor 1 OS=Homo sapiens OX=9606 GN=TERF1 PE=1 SV=3
+DESDSSILKLKKMTRWRDKLMVSTRNNFKYHLLIKSWNGEGYKRVGSRLNKDEEWLWAQRKRARHKEPTVPQSKSVPIRSETARRSEKKKKTSQPTGVRREKKNLDQQQTGHQLKSLFLNKHSRLLSVTGESSETVASQKDSVSKRTDLNAETEMEVDNGSPKDQSTITRTRKSEVVKAAAKMLFTSSKESLVYNVYSKIKEMMHNYSFHQFFSHFTDKQSIIMLLKSKFPMHSNPDGFIREFVEEAEKFNGNEMCVAIAQIKILNQIEEHLKDHEKEISGWIMLASELPTIREDNEFQADLTKGAAIRTLFQCIYITRLQCATLSSLGHIIAEASNRTRRFDESRGDRFARCLSLCLFDLMWGAAVAEAEAVLGADEEEEEEEEPAGVQVQCELLEQCEFQEEDNRETEAMQEETPDADRGDACGRPSPAASSVDEAM
+>sp|Q8NEK8|TET5D_HUMAN Terminal nucleotidyltransferase 5D OS=Homo sapiens OX=9606 GN=TENT5D PE=1 SV=1
+MSEIRFTNLTWDQVITLDQVLDEVIPIHGKGNFPTMEVKPKDIIHVVKDQLIGQGIIVKDARLNGSVASYILASHNGISYKDLDVIFGVELPGNEEFQVVKDAVLDCLLDFLPKDVKKEKLSPDIMKDAYVQKLVKVCNGHDCWSLISLSNNTGKNLELKFVSSLRRQFEFSVDSFQIVLDPMLDFYSDKNAKLTKESYPVVVAESMYGDFQEAMTHLQHKLICTRKPEEIRGGGLLKYCSLLVHGFKPACMSEIKNLERYMCSRFFIDFPHIEEQQKKIESYLHNHFIGEGMTKYDYLMTLHGVVNESTVCLMSYERRQILHLITMMALKVLGELNILPNTQKVTCFYQPAPYFAAEARYPIYVIPEPPPVSFQPYHPLHFRGSNGMS
+>DECOY_sp|Q8NEK8|TET5D_HUMAN Terminal nucleotidyltransferase 5D OS=Homo sapiens OX=9606 GN=TENT5D PE=1 SV=1
+SMGNSGRFHLPHYPQFSVPPPEPIVYIPYRAEAAFYPAPQYFCTVKQTNPLINLEGLVKLAMMTILHLIQRREYSMLCVTSENVVGHLTMLYDYKTMGEGIFHNHLYSEIKKQQEEIHPFDIFFRSCMYRELNKIESMCAPKFGHVLLSCYKLLGGGRIEEPKRTCILKHQLHTMAEQFDGYMSEAVVVPYSEKTLKANKDSYFDLMPDLVIQFSDVSFEFQRRLSSVFKLELNKGTNNSLSILSWCDHGNCVKVLKQVYADKMIDPSLKEKKVDKPLFDLLCDLVADKVVQFEENGPLEVGFIVDLDKYSIGNHSALIYSAVSGNLRADKVIIGQGILQDKVVHIIDKPKVEMTPFNGKGHIPIVEDLVQDLTIVQDWTLNTFRIESM
+>sp|P05452|TETN_HUMAN Tetranectin OS=Homo sapiens OX=9606 GN=CLEC3B PE=1 SV=3
+MELWGAYLLLCLFSLLTQVTTEPPTQKPKKIVNAKKDVVNTKMFEELKSRLDTLAQEVALLKEQQALQTVCLKGTKVHMKCFLAFTQTKTFHEASEDCISRGGTLGTPQTGSENDALYEYLRQSVGNEAEIWLGLNDMAAEGTWVDMTGARIAYKNWETEITAQPDGGKTENCAVLSGAANGKWFDKRCRDQLPYICQFGIV
+>DECOY_sp|P05452|TETN_HUMAN Tetranectin OS=Homo sapiens OX=9606 GN=CLEC3B PE=1 SV=3
+VIGFQCIYPLQDRCRKDFWKGNAAGSLVACNETKGGDPQATIETEWNKYAIRAGTMDVWTGEAAMDNLGLWIEAENGVSQRLYEYLADNESGTQPTGLTGGRSICDESAEHFTKTQTFALFCKMHVKTGKLCVTQLAQQEKLLAVEQALTDLRSKLEEFMKTNVVDKKANVIKKPKQTPPETTVQTLLSFLCLLLYAGWLEM
+>sp|Q9BXU0|TEX12_HUMAN Testis-expressed protein 12 OS=Homo sapiens OX=9606 GN=TEX12 PE=2 SV=1
+MMANHLVKPDNRNCKRPRELESPVPDSPQLSSLGKSDSSFSEISGLFYKDEALEKDLNDVSKEINLMLSTYAKLLSERAAVDASYIDEIDELFKEANAIENFLIQKREFLRQRFTVIANTLHR
+>DECOY_sp|Q9BXU0|TEX12_HUMAN Testis-expressed protein 12 OS=Homo sapiens OX=9606 GN=TEX12 PE=2 SV=1
+RHLTNAIVTFRQRLFERKQILFNEIANAEKFLEDIEDIYSADVAARESLLKAYTSLMLNIEKSVDNLDKELAEDKYFLGSIESFSSDSKGLSSLQPSDPVPSELERPRKCNRNDPKVLHNAMM
+>sp|Q8N6G2|TEX26_HUMAN Testis-expressed protein 26 OS=Homo sapiens OX=9606 GN=TEX26 PE=2 SV=1
+MEQPGPRAPDPSLCHHNLQPTDDPNWDSYATTMRTAFTPKTGAVPALIRQNGIRRLGYTYSLSDPILNQTQYSDEYTWKSHSKEDLIKTETSRGIKSHKSHLNEDIFLWTLPHCQQTGTLKNCLPWKIPASMKEVNKALSNQFISLTKRDFVDRSKAQKIKKSSHLSLEWKKLLPQPPDTEFRRNYQIPAKIPELQDFSFKYGCYSSLPVASQGLVPSVLHSYLRNQEHTKKQTTYQSDYDKTYPDFLMLLNSFTSSQVKEYLQSLSYKDRQIIDRFIRTHCDTNKKKK
+>DECOY_sp|Q8N6G2|TEX26_HUMAN Testis-expressed protein 26 OS=Homo sapiens OX=9606 GN=TEX26 PE=2 SV=1
+KKKKNTDCHTRIFRDIIQRDKYSLSQLYEKVQSSTFSNLLMLFDPYTKDYDSQYTTQKKTHEQNRLYSHLVSPVLGQSAVPLSSYCGYKFSFDQLEPIKAPIQYNRRFETDPPQPLLKKWELSLHSSKKIKQAKSRDVFDRKTLSIFQNSLAKNVEKMSAPIKWPLCNKLTGTQQCHPLTWLFIDENLHSKHSKIGRSTETKILDEKSHSKWTYEDSYQTQNLIPDSLSYTYGLRRIGNQRILAPVAGTKPTFATRMTTAYSDWNPDDTPQLNHHCLSPDPARPGPQEM
+>sp|Q96LM6|TEX37_HUMAN Testis-expressed sequence 37 protein OS=Homo sapiens OX=9606 GN=TEX37 PE=1 SV=1
+MAGVKYPGQDPVDLDIYQSSHMVDYQPYRKHKYSRVTPQEQAKLDAQLRDKEFYRPIPNPNPKLTDGYPAFKRPHMTAKDLGLPGFFPSQEHEATREDERKFTSTCHFTYPASHDLHLAQGDPNQVLQSADFPCLVDPKHQPAAEMAKGYLLLPGCPCLHCHIVKVPILNRWGPLMPFYQ
+>DECOY_sp|Q96LM6|TEX37_HUMAN Testis-expressed sequence 37 protein OS=Homo sapiens OX=9606 GN=TEX37 PE=1 SV=1
+QYFPMLPGWRNLIPVKVIHCHLCPCGPLLLYGKAMEAAPQHKPDVLCPFDASQLVQNPDGQALHLDHSAPYTFHCTSTFKREDERTAEHEQSPFFGPLGLDKATMHPRKFAPYGDTLKPNPNPIPRYFEKDRLQADLKAQEQPTVRSYKHKRYPQYDVMHSSQYIDLDVPDQGPYKVGAM
+>sp|A0A1B0GTY4|TEX50_HUMAN Testis-expressed protein 50 OS=Homo sapiens OX=9606 GN=TEX50 PE=3 SV=1
+MSNQRLPLIFSLLFICFFGESFCICDGTVWTKVGWEILPEEVHYWKVKGSPSHCLPYLLDKLCCDFANMDIFQGCLYLIYNLLQAVFFVLFVLSVHYLWKKWKKHQKKLKKQASLEKPGNDLESPLINNIDQTLHRVATTASVIYKIWEHRSHHPSSKKIKHCKLKKKSKEEGARRY
+>DECOY_sp|A0A1B0GTY4|TEX50_HUMAN Testis-expressed protein 50 OS=Homo sapiens OX=9606 GN=TEX50 PE=3 SV=1
+YRRAGEEKSKKKLKCHKIKKSSPHHSRHEWIKYIVSATTAVRHLTQDINNILPSELDNGPKELSAQKKLKKQHKKWKKWLYHVSLVFLVFFVAQLLNYILYLCGQFIDMNAFDCCLKDLLYPLCHSPSGKVKWYHVEEPLIEWGVKTWVTGDCICFSEGFFCIFLLSFILPLRQNSM
+>sp|Q9NQB0|TF7L2_HUMAN Transcription factor 7-like 2 OS=Homo sapiens OX=9606 GN=TCF7L2 PE=1 SV=2
+MPQLNGGGGDDLGANDELISFKDEGEQEEKSSENSSAERDLADVKSSLVNESETNQNSSSDSEAERRPPPRSESFRDKSRESLEEAAKRQDGGLFKGPPYPGYPFIMIPDLTSPYLPNGSLSPTARTLHFQSGSTHYSAYKTIEHQIAVQYLQMKWPLLDVQAGSLQSRQALKDARSPSPAHIVSNKVPVVQHPHHVHPLTPLITYSNEHFTPGNPPPHLPADVDPKTGIPRPPHPPDISPYYPLSPGTVGQIPHPLGWLVPQQGQPVYPITTGGFRHPYPTALTVNASMSRFPPHMVPPHHTLHTTGIPHPAIVTPTVKQESSQSDVGSLHSSKHQDSKKEEEKKKPHIKKPLNAFMLYMKEMRAKVVAECTLKESAAINQILGRRWHALSREEQAKYYELARKERQLHMQLYPGWSARDNYGKKKKRKRDKQPGETNEHSECFLNPCLSLPPITDLSAPKKCRARFGLDQQNNWCGPCRRKKKCVRYIQGEGSCLSPPSSDGSLLDSPPPSPNLLGSPPRDAKSQTEQTQPLSLSLKPDPLAHLSMMPPPPALLLAEATHKASALCPNGALDLPPAALQPAAPSSSIAQPSTSSLHSHSSLAGTQPQPLSLVTKSLE
+>DECOY_sp|Q9NQB0|TF7L2_HUMAN Transcription factor 7-like 2 OS=Homo sapiens OX=9606 GN=TCF7L2 PE=1 SV=2
+ELSKTVLSLPQPQTGALSSHSHLSSTSPQAISSSPAAPQLAAPPLDLAGNPCLASAKHTAEALLLAPPPPMMSLHALPDPKLSLSLPQTQETQSKADRPPSGLLNPSPPPSDLLSGDSSPPSLCSGEGQIYRVCKKKRRCPGCWNNQQDLGFRARCKKPASLDTIPPLSLCPNLFCESHENTEGPQKDRKRKKKKGYNDRASWGPYLQMHLQREKRALEYYKAQEERSLAHWRRGLIQNIAASEKLTCEAVVKARMEKMYLMFANLPKKIHPKKKEEEKKSDQHKSSHLSGVDSQSSEQKVTPTVIAPHPIGTTHLTHHPPVMHPPFRSMSANVTLATPYPHRFGGTTIPYVPQGQQPVLWGLPHPIQGVTGPSLPYYPSIDPPHPPRPIGTKPDVDAPLHPPPNGPTFHENSYTILPTLPHVHHPHQVVPVKNSVIHAPSPSRADKLAQRSQLSGAQVDLLPWKMQLYQVAIQHEITKYASYHTSGSQFHLTRATPSLSGNPLYPSTLDPIMIFPYGPYPPGKFLGGDQRKAAEELSERSKDRFSESRPPPRREAESDSSSNQNTESENVLSSKVDALDREASSNESSKEEQEGEDKFSILEDNAGLDDGGGGNLQPM
+>sp|Q8WVM0|TFB1M_HUMAN Dimethyladenosine transferase 1, mitochondrial OS=Homo sapiens OX=9606 GN=TFB1M PE=1 SV=1
+MAASGKLSTCRLPPLPTIREIIKLLRLQAAKQLSQNFLLDLRLTDKIVRKAGNLTNAYVYEVGPGPGGITRSILNADVAELLVVEKDTRFIPGLQMLSDAAPGKLRIVHGDVLTFKVEKAFSESLKRPWEDDPPNVHIIGNLPFSVSTPLIIKWLENISCRDGPFVYGRTQMTLTFQKEVAERLAANTGSKQRSRLSVMAQYLCNVRHIFTIPGQAFVPKPEVDVGVVHFTPLIQPKIEQPFKLVEKVVQNVFQFRRKYCHRGLRMLFPEAQRLESTGRLLELADIDPTLRPRQLSISHFKSLCDVYRKMCDEDPQLFAYNFREELKRRKSKNEEKEEDDAENYRL
+>DECOY_sp|Q8WVM0|TFB1M_HUMAN Dimethyladenosine transferase 1, mitochondrial OS=Homo sapiens OX=9606 GN=TFB1M PE=1 SV=1
+LRYNEADDEEKEENKSKRRKLEERFNYAFLQPDEDCMKRYVDCLSKFHSISLQRPRLTPDIDALELLRGTSELRQAEPFLMRLGRHCYKRRFQFVNQVVKEVLKFPQEIKPQILPTFHVVGVDVEPKPVFAQGPITFIHRVNCLYQAMVSLRSRQKSGTNAALREAVEKQFTLTMQTRGYVFPGDRCSINELWKIILPTSVSFPLNGIIHVNPPDDEWPRKLSESFAKEVKFTLVDGHVIRLKGPAADSLMQLGPIFRTDKEVVLLEAVDANLISRTIGGPGPGVEYVYANTLNGAKRVIKDTLRLDLLFNQSLQKAAQLRLLKIIERITPLPPLRCTSLKGSAAM
+>sp|Q14186|TFDP1_HUMAN Transcription factor Dp-1 OS=Homo sapiens OX=9606 GN=TFDP1 PE=1 SV=1
+MAKDAGLIEANGELKVFIDQNLSPGKGVVSLVAVHPSTVNPLGKQLLPKTFGQSNVNIAQQVVIGTPQRPAASNTLVVGSPHTPSTHFASQNQPSDSSPWSAGKRNRKGEKNGKGLRHFSMKVCEKVQRKGTTSYNEVADELVAEFSAADNHILPNESAYDQKNIRRRVYDALNVLMAMNIISKEKKEIKWIGLPTNSAQECQNLEVERQRRLERIKQKQSQLQELILQQIAFKNLVQRNRHAEQQASRPPPPNSVIHLPFIIVNTSKKTVIDCSISNDKFEYLFNFDNTFEIHDDIEVLKRMGMACGLESGSCSAEDLKMARSLVPKALEPYVTEMAQGTVGGVFITTAGSTSNGTRFSASDLTNGADGMLATSSNGSQYSGSRVETPVSYVGEDDEEDDDFNENDEDD
+>DECOY_sp|Q14186|TFDP1_HUMAN Transcription factor Dp-1 OS=Homo sapiens OX=9606 GN=TFDP1 PE=1 SV=1
+DDEDNENFDDDEEDDEGVYSVPTEVRSGSYQSGNSSTALMGDAGNTLDSASFRTGNSTSGATTIFVGGVTGQAMETVYPELAKPVLSRAMKLDEASCSGSELGCAMGMRKLVEIDDHIEFTNDFNFLYEFKDNSISCDIVTKKSTNVIIFPLHIVSNPPPPRSAQQEAHRNRQVLNKFAIQQLILEQLQSQKQKIRELRRQREVELNQCEQASNTPLGIWKIEKKEKSIINMAMLVNLADYVRRRINKQDYASENPLIHNDAASFEAVLEDAVENYSTTGKRQVKECVKMSFHRLGKGNKEGKRNRKGASWPSSDSPQNQSAFHTSPTHPSGVVLTNSAAPRQPTGIVVQQAINVNSQGFTKPLLQKGLPNVTSPHVAVLSVVGKGPSLNQDIFVKLEGNAEILGADKAM
+>sp|P19484|TFEB_HUMAN Transcription factor EB OS=Homo sapiens OX=9606 GN=TFEB PE=1 SV=3
+MASRIGLRMQLMREQAQQEEQRERMQQQAVMHYMQQQQQQQQQQLGGPPTPAINTPVHFQSPPPVPGEVLKVQSYLENPTSYHLQQSQHQKVREYLSETYGNKFAAHISPAQGSPKPPPAASPGVRAGHVLSSSAGNSAPNSPMAMLHIGSNPERELDDVIDNIMRLDDVLGYINPEMQMPNTLPLSSSHLNVYSSDPQVTASLVGVTSSSCPADLTQKRELTDAESRALAKERQKKDNHNLIERRRRFNINDRIKELGMLIPKANDLDVRWNKGTILKASVDYIRRMQKDLQKSRELENHSRRLEMTNKQLWLRIQELEMQARVHGLPTTSPSGMNMAELAQQVVKQELPSEEGPGEALMLGAEVPDPEPLPALPPQAPLPLPTQPPSPFHHLDFSHSLSFGGREDEGPPGYPEPLAPGHGSPFPSLSKKDLDLMLLDDSLLPLASDPLLSTMSPEASKASSRRSSFSMEEGDVL
+>DECOY_sp|P19484|TFEB_HUMAN Transcription factor EB OS=Homo sapiens OX=9606 GN=TFEB PE=1 SV=3
+LVDGEEMSFSSRRSSAKSAEPSMTSLLPDSALPLLSDDLLMLDLDKKSLSPFPSGHGPALPEPYGPPGEDERGGFSLSHSFDLHHFPSPPQTPLPLPAQPPLAPLPEPDPVEAGLMLAEGPGEESPLEQKVVQQALEAMNMGSPSTTPLGHVRAQMELEQIRLWLQKNTMELRRSHNELERSKQLDKQMRRIYDVSAKLITGKNWRVDLDNAKPILMGLEKIRDNINFRRRREILNHNDKKQREKALARSEADTLERKQTLDAPCSSSTVGVLSATVQPDSSYVNLHSSSLPLTNPMQMEPNIYGLVDDLRMINDIVDDLEREPNSGIHLMAMPSNPASNGASSSLVHGARVGPSAAPPPKPSGQAPSIHAAFKNGYTESLYERVKQHQSQQLHYSTPNELYSQVKLVEGPVPPPSQFHVPTNIAPTPPGGLQQQQQQQQQQMYHMVAQQQMRERQEEQQAQERMLQMRLGIRSAM
+>sp|O95379|TFIP8_HUMAN Tumor necrosis factor alpha-induced protein 8 OS=Homo sapiens OX=9606 GN=TNFAIP8 PE=1 SV=1
+MHSEAEESKEVATDVFNSKNLAVQAQKKILGKMVSKSIATTLIDDTSSEVLDELYRVTREYTQNKKEAEKIIKNLIKTVIKLAILYRNNQFNQDELALMEKFKKKVHQLAMTVVSFHQVDYTFDRNVLSRLLNECREMLHQIIQRHLTAKSHGRVNNVFDHFSDCEFLAALYNPFGNFKPHLQKLCDGINKMLDEENI
+>DECOY_sp|O95379|TFIP8_HUMAN Tumor necrosis factor alpha-induced protein 8 OS=Homo sapiens OX=9606 GN=TNFAIP8 PE=1 SV=1
+INEEDLMKNIGDCLKQLHPKFNGFPNYLAALFECDSFHDFVNNVRGHSKATLHRQIIQHLMERCENLLRSLVNRDFTYDVQHFSVVTMALQHVKKKFKEMLALEDQNFQNNRYLIALKIVTKILNKIIKEAEKKNQTYERTVRYLEDLVESSTDDILTTAISKSVMKGLIKKQAQVALNKSNFVDTAVEKSEEAESHM
+>sp|P48307|TFPI2_HUMAN Tissue factor pathway inhibitor 2 OS=Homo sapiens OX=9606 GN=TFPI2 PE=1 SV=1
+MDPARPLGLSILLLFLTEAALGDAAQEPTGNNAEICLLPLDYGPCRALLLRYYYDRYTQSCRQFLYGGCEGNANNFYTWEACDDACWRIEKVPKVCRLQVSVDDQCEGSTEKYFFNLSSMTCEKFFSGGCHRNRIENRFPDEATCMGFCAPKKIPSFCYSPKDEGLCSANVTRYYFNPRYRTCDAFTYTGCGGNDNNFVSREDCKRACAKALKKKKKMPKLRFASRIRKIRKKQF
+>DECOY_sp|P48307|TFPI2_HUMAN Tissue factor pathway inhibitor 2 OS=Homo sapiens OX=9606 GN=TFPI2 PE=1 SV=1
+FQKKRIKRIRSAFRLKPMKKKKKLAKACARKCDERSVFNNDNGGCGTYTFADCTRYRPNFYYRTVNASCLGEDKPSYCFSPIKKPACFGMCTAEDPFRNEIRNRHCGGSFFKECTMSSLNFFYKETSGECQDDVSVQLRCVKPVKEIRWCADDCAEWTYFNNANGECGGYLFQRCSQTYRDYYYRLLLARCPGYDLPLLCIEANNGTPEQAADGLAAETLFLLLISLGLPRAPDM
+>sp|P36897|TGFR1_HUMAN TGF-beta receptor type-1 OS=Homo sapiens OX=9606 GN=TGFBR1 PE=1 SV=1
+MEAAVAAPRPRLLLLVLAAAAAAAAALLPGATALQCFCHLCTKDNFTCVTDGLCFVSVTETTDKVIHNSMCIAEIDLIPRDRPFVCAPSSKTGSVTTTYCCNQDHCNKIELPTTVKSSPGLGPVELAAVIAGPVCFVCISLMLMVYICHNRTVIHHRVPNEEDPSLDRPFISEGTTLKDLIYDMTTSGSGSGLPLLVQRTIARTIVLQESIGKGRFGEVWRGKWRGEEVAVKIFSSREERSWFREAEIYQTVMLRHENILGFIAADNKDNGTWTQLWLVSDYHEHGSLFDYLNRYTVTVEGMIKLALSTASGLAHLHMEIVGTQGKPAIAHRDLKSKNILVKKNGTCCIADLGLAVRHDSATDTIDIAPNHRVGTKRYMAPEVLDDSINMKHFESFKRADIYAMGLVFWEIARRCSIGGIHEDYQLPYYDLVPSDPSVEEMRKVVCEQKLRPNIPNRWQSCEALRVMAKIMRECWYANGAARLTALRIKKTLSQLSQQEGIKM
+>DECOY_sp|P36897|TGFR1_HUMAN TGF-beta receptor type-1 OS=Homo sapiens OX=9606 GN=TGFBR1 PE=1 SV=1
+MKIGEQQSLQSLTKKIRLATLRAAGNAYWCERMIKAMVRLAECSQWRNPINPRLKQECVVKRMEEVSPDSPVLDYYPLQYDEHIGGISCRRAIEWFVLGMAYIDARKFSEFHKMNISDDLVEPAMYRKTGVRHNPAIDITDTASDHRVALGLDAICCTGNKKVLINKSKLDRHAIAPKGQTGVIEMHLHALGSATSLALKIMGEVTVTYRNLYDFLSGHEHYDSVLWLQTWTGNDKNDAAIFGLINEHRLMVTQYIEAERFWSREERSSFIKVAVEEGRWKGRWVEGFRGKGISEQLVITRAITRQVLLPLGSGSGSTTMDYILDKLTTGESIFPRDLSPDEENPVRHHIVTRNHCIYVMLMLSICVFCVPGAIVAALEVPGLGPSSKVTTPLEIKNCHDQNCCYTTTVSGTKSSPACVFPRDRPILDIEAICMSNHIVKDTTETVSVFCLGDTVCTFNDKTCLHCFCQLATAGPLLAAAAAAAAALVLLLLRPRPAAVAAEM
+>sp|P37173|TGFR2_HUMAN TGF-beta receptor type-2 OS=Homo sapiens OX=9606 GN=TGFBR2 PE=1 SV=2
+MGRGLLRGLWPLHIVLWTRIASTIPPHVQKSVNNDMIVTDNNGAVKFPQLCKFCDVRFSTCDNQKSCMSNCSITSICEKPQEVCVAVWRKNDENITLETVCHDPKLPYHDFILEDAASPKCIMKEKKKPGETFFMCSCSSDECNDNIIFSEEYNTSNPDLLLVIFQVTGISLLPPLGVAISVIIIFYCYRVNRQQKLSSTWETGKTRKLMEFSEHCAIILEDDRSDISSTCANNINHNTELLPIELDTLVGKGRFAEVYKAKLKQNTSEQFETVAVKIFPYEEYASWKTEKDIFSDINLKHENILQFLTAEERKTELGKQYWLITAFHAKGNLQEYLTRHVISWEDLRKLGSSLARGIAHLHSDHTPCGRPKMPIVHRDLKSSNILVKNDLTCCLCDFGLSLRLDPTLSVDDLANSGQVGTARYMAPEVLESRMNLENVESFKQTDVYSMALVLWEMTSRCNAVGEVKDYEPPFGSKVREHPCVESMKDNVLRDRGRPEIPSFWLNHQGIQMVCETLTECWDHDPEARLTAQCVAERFSELEHLDRLSGRSCSEEKIPEDGSLNTTK
+>DECOY_sp|P37173|TGFR2_HUMAN TGF-beta receptor type-2 OS=Homo sapiens OX=9606 GN=TGFBR2 PE=1 SV=2
+KTTNLSGDEPIKEESCSRGSLRDLHELESFREAVCQATLRAEPDHDWCETLTECVMQIGQHNLWFSPIEPRGRDRLVNDKMSEVCPHERVKSGFPPEYDKVEGVANCRSTMEWLVLAMSYVDTQKFSEVNELNMRSELVEPAMYRATGVQGSNALDDVSLTPDLRLSLGFDCLCCTLDNKVLINSSKLDRHVIPMKPRGCPTHDSHLHAIGRALSSGLKRLDEWSIVHRTLYEQLNGKAHFATILWYQKGLETKREEATLFQLINEHKLNIDSFIDKETKWSAYEEYPFIKVAVTEFQESTNQKLKAKYVEAFRGKGVLTDLEIPLLETNHNINNACTSSIDSRDDELIIACHESFEMLKRTKGTEWTSSLKQQRNVRYCYFIIIVSIAVGLPPLLSIGTVQFIVLLLDPNSTNYEESFIINDNCEDSSCSCMFFTEGPKKKEKMICKPSAADELIFDHYPLKPDHCVTELTINEDNKRWVAVCVEQPKECISTISCNSMCSKQNDCTSFRVDCFKCLQPFKVAGNNDTVIMDNNVSKQVHPPITSAIRTWLVIHLPWLGRLLGRGM
+>sp|Q9GZN2|TGIF2_HUMAN Homeobox protein TGIF2 OS=Homo sapiens OX=9606 GN=TGIF2 PE=1 SV=1
+MSDSDLGEDEGLLSLAGKRKRRGNLPKESVKILRDWLYLHRYNAYPSEQEKLSLSGQTNLSVLQICNWFINARRRLLPDMLRKDGKDPNQFTISRRGGKASDVALPRGSSPSVLAVSVPAPTNVLSLSVCSMPLHSGQGEKPAAPFPRGELESPKPLVTPGSTLTLLTRAEAGSPTGGLFNTPPPTPPEQDKEDFSSFQLLVEVALQRAAEMELQKQQDPSLPLLHTPIPLVSENPQ
+>DECOY_sp|Q9GZN2|TGIF2_HUMAN Homeobox protein TGIF2 OS=Homo sapiens OX=9606 GN=TGIF2 PE=1 SV=1
+QPNESVLPIPTHLLPLSPDQQKQLEMEAARQLAVEVLLQFSSFDEKDQEPPTPPPTNFLGGTPSGAEARTLLTLTSGPTVLPKPSELEGRPFPAAPKEGQGSHLPMSCVSLSLVNTPAPVSVALVSPSSGRPLAVDSAKGGRRSITFQNPDKGDKRLMDPLLRRRANIFWNCIQLVSLNTQGSLSLKEQESPYANYRHLYLWDRLIKVSEKPLNGRRKRKGALSLLGEDEGLDSDSM
+>sp|P21980|TGM2_HUMAN Protein-glutamine gamma-glutamyltransferase 2 OS=Homo sapiens OX=9606 GN=TGM2 PE=1 SV=2
+MAEELVLERCDLELETNGRDHHTADLCREKLVVRRGQPFWLTLHFEGRNYEASVDSLTFSVVTGPAPSQEAGTKARFPLRDAVEEGDWTATVVDQQDCTLSLQLTTPANAPIGLYRLSLEASTGYQGSSFVLGHFILLFNAWCPADAVYLDSEEERQEYVLTQQGFIYQGSAKFIKNIPWNFGQFEDGILDICLILLDVNPKFLKNAGRDCSRRSSPVYVGRVVSGMVNCNDDQGVLLGRWDNNYGDGVSPMSWIGSVDILRRWKNHGCQRVKYGQCWVFAAVACTVLRCLGIPTRVVTNYNSAHDQNSNLLIEYFRNEFGEIQGDKSEMIWNFHCWVESWMTRPDLQPGYEGWQALDPTPQEKSEGTYCCGPVPVRAIKEGDLSTKYDAPFVFAEVNADVVDWIQQDDGSVHKSINRSLIVGLKISTKSVGRDEREDITHTYKYPEGSSEEREAFTRANHLNKLAEKEETGMAMRIRVGQSMNMGSDFDVFAHITNNTAEEYVCRLLLCARTVSYNGILGPECGTKYLLNLNLEPFSEKSVPLCILYEKYRDCLTESNLIKVRALLVEPVINSYLLAERDLYLENPEIKIRILGEPKQKRKLVAEVSLQNPLPVALEGCTFTVEGAGLTEEQKTVEIPDPVEAGEEVKVRMDLLPLHMGLHKLVVNFESDKLKAVKGFRNVIIGPA
+>DECOY_sp|P21980|TGM2_HUMAN Protein-glutamine gamma-glutamyltransferase 2 OS=Homo sapiens OX=9606 GN=TGM2 PE=1 SV=2
+APGIIVNRFGKVAKLKDSEFNVVLKHLGMHLPLLDMRVKVEEGAEVPDPIEVTKQEETLGAGEVTFTCGELAVPLPNQLSVEAVLKRKQKPEGLIRIKIEPNELYLDREALLYSNIVPEVLLARVKILNSETLCDRYKEYLICLPVSKESFPELNLNLLYKTGCEPGLIGNYSVTRACLLLRCVYEEATNNTIHAFVDFDSGMNMSQGVRIRMAMGTEEKEALKNLHNARTFAEREESSGEPYKYTHTIDEREDRGVSKTSIKLGVILSRNISKHVSGDDQQIWDVVDANVEAFVFPADYKTSLDGEKIARVPVPGCCYTGESKEQPTPDLAQWGEYGPQLDPRTMWSEVWCHFNWIMESKDGQIEGFENRFYEILLNSNQDHASNYNTVVRTPIGLCRLVTCAVAAFVWCQGYKVRQCGHNKWRRLIDVSGIWSMPSVGDGYNNDWRGLLVGQDDNCNVMGSVVRGVYVPSSRRSCDRGANKLFKPNVDLLILCIDLIGDEFQGFNWPINKIFKASGQYIFGQQTLVYEQREEESDLYVADAPCWANFLLIFHGLVFSSGQYGTSAELSLRYLGIPANAPTTLQLSLTCDQQDVVTATWDGEEVADRLPFRAKTGAEQSPAPGTVVSFTLSDVSAEYNRGEFHLTLWFPQGRRVVLKERCLDATHHDRGNTELELDCRELVLEEAM
+>sp|P18077|RL35A_HUMAN 60S ribosomal protein L35a OS=Homo sapiens OX=9606 GN=RPL35A PE=1 SV=2
+MSGRLWSKAIFAGYKRGLRNQREHTALLKIEGVYARDETEFYLGKRCAYVYKAKNNTVTPGGKPNKTRVIWGKVTRAHGNSGMVRAKFRSNLPAKAIGHRIRVMLYPSRI
+>DECOY_sp|P18077|RL35A_HUMAN 60S ribosomal protein L35a OS=Homo sapiens OX=9606 GN=RPL35A PE=1 SV=2
+IRSPYLMVRIRHGIAKAPLNSRFKARVMGSNGHARTVKGWIVRTKNPKGGPTVTNNKAKYVYACRKGLYFETEDRAYVGEIKLLATHERQNRLGRKYGAFIAKSWLRGSM
+>sp|P83881|RL36A_HUMAN 60S ribosomal protein L36a OS=Homo sapiens OX=9606 GN=RPL36A PE=1 SV=2
+MVNVPKTRRTFCKKCGKHQPHKVTQYKKGKDSLYAQGKRRYDRKQSGYGGQTKPIFRKKAKTTKKIVLRLECVEPNCRSKRMLAIKRCKHFELGGDKKRKGQVIQF
+>DECOY_sp|P83881|RL36A_HUMAN 60S ribosomal protein L36a OS=Homo sapiens OX=9606 GN=RPL36A PE=1 SV=2
+FQIVQGKRKKDGGLEFHKCRKIALMRKSRCNPEVCELRLVIKKTTKAKKRFIPKTQGGYGSQKRDYRRKGQAYLSDKGKKYQTVKHPQHKGCKKCFTRRTKPVNVM
+>sp|Q9Y3U8|RL36_HUMAN 60S ribosomal protein L36 OS=Homo sapiens OX=9606 GN=RPL36 PE=1 SV=3
+MALRYPMAVGLNKGHKVTKNVSKPRHSRRRGRLTKHTKFVRDMIREVCGFAPYERRAMELLKVSKDKRALKFIKKRVGTHIRAKRKREELSNVLAAMRKAAAKKD
+>DECOY_sp|Q9Y3U8|RL36_HUMAN 60S ribosomal protein L36 OS=Homo sapiens OX=9606 GN=RPL36 PE=1 SV=3
+DKKAAAKRMAALVNSLEERKRKARIHTGVRKKIFKLARKDKSVKLLEMARREYPAFGCVERIMDRVFKTHKTLRGRRRSHRPKSVNKTVKHGKNLGVAMPYRLAM
+>sp|P61927|RL37_HUMAN 60S ribosomal protein L37 OS=Homo sapiens OX=9606 GN=RPL37 PE=1 SV=2
+MTKGTSSFGKRRNKTHTLCRRCGSKAYHLQKSTCGKCGYPAKRKRKYNWSAKAKRRNTTGTGRMRHLKIVYRRFRHGFREGTTPKPKRAAVAASSSS
+>DECOY_sp|P61927|RL37_HUMAN 60S ribosomal protein L37 OS=Homo sapiens OX=9606 GN=RPL37 PE=1 SV=2
+SSSSAAVAARKPKPTTGERFGHRFRRYVIKLHRMRGTGTTNRRKAKASWNYKRKRKAPYGCKGCTSKQLHYAKSGCRRCLTHTKNRRKGFSSTGKTM
+>sp|Q96EH5|RL39L_HUMAN 60S ribosomal protein L39-like OS=Homo sapiens OX=9606 GN=RPL39L PE=1 SV=3
+MSSHKTFTIKRFLAKKQKQNRPIPQWIQMKPGSKIRYNSKRRHWRRTKLGL
+>DECOY_sp|Q96EH5|RL39L_HUMAN 60S ribosomal protein L39-like OS=Homo sapiens OX=9606 GN=RPL39L PE=1 SV=3
+LGLKTRRWHRRKSNYRIKSGPKMQIWQPIPRNQKQKKALFRKITFTKHSSM
+>sp|P62945|RL41_HUMAN 60S ribosomal protein L41 OS=Homo sapiens OX=9606 GN=RPL41 PE=1 SV=1
+MRAKWRKKRMRRLKRKRRKMRQRSK
+>DECOY_sp|P62945|RL41_HUMAN 60S ribosomal protein L41 OS=Homo sapiens OX=9606 GN=RPL41 PE=1 SV=1
+KSRQRMKRRKRKLRRMRKKRWKARM
+>sp|P36578|RL4_HUMAN 60S ribosomal protein L4 OS=Homo sapiens OX=9606 GN=RPL4 PE=1 SV=5
+MACARPLISVYSEKGESSGKNVTLPAVFKAPIRPDIVNFVHTNLRKNNRQPYAVSELAGHQTSAESWGTGRAVARIPRVRGGGTHRSGQGAFGNMCRGGRMFAPTKTWRRWHRRVNTTQKRYAICSALAASALPALVMSKGHRIEEVPELPLVVEDKVEGYKKTKEAVLLLKKLKAWNDIKKVYASQRMRAGKGKMRNRRRIQRRGPCIIYNEDNGIIKAFRNIPGITLLNVSKLNILKLAPGGHVGRFCIWTESAFRKLDELYGTWRKAASLKSNYNLPMHKMINTDLSRILKSPEIQRALRAPRKKIHRRVLKKNPLKNLRIMLKLNPYAKTMRRNTILRQARNHKLRVDKAAAAAAALQAKSDEKAAVAGKKPVVGKKGKKAAVGVKKQKKPLVGKKAAATKKPAPEKKPAEKKPTTEEKKPAA
+>DECOY_sp|P36578|RL4_HUMAN 60S ribosomal protein L4 OS=Homo sapiens OX=9606 GN=RPL4 PE=1 SV=5
+AAPKKEETTPKKEAPKKEPAPKKTAAAKKGVLPKKQKKVGVAAKKGKKGVVPKKGAVAAKEDSKAQLAAAAAAAKDVRLKHNRAQRLITNRRMTKAYPNLKLMIRLNKLPNKKLVRRHIKKRPARLARQIEPSKLIRSLDTNIMKHMPLNYNSKLSAAKRWTGYLEDLKRFASETWICFRGVHGGPALKLINLKSVNLLTIGPINRFAKIIGNDENYIICPGRRQIRRRNRMKGKGARMRQSAYVKKIDNWAKLKKLLLVAEKTKKYGEVKDEVVLPLEPVEEIRHGKSMVLAPLASAALASCIAYRKQTTNVRRHWRRWTKTPAFMRGGRCMNGFAGQGSRHTGGGRVRPIRAVARGTGWSEASTQHGALESVAYPQRNNKRLNTHVFNVIDPRIPAKFVAPLTVNKGSSEGKESYVSILPRACAM
+>sp|Q02878|RL6_HUMAN 60S ribosomal protein L6 OS=Homo sapiens OX=9606 GN=RPL6 PE=1 SV=3
+MAGEKVEKPDTKEKKPEAKKVDAGGKVKKGNLKAKKPKKGKPHCSRNPVLVRGIGRYSRSAMYSRKAMYKRKYSAAKSKVEKKKKEKVLATVTKPVGGDKNGGTRVVKLRKMPRYYPTEDVPRKLLSHGKKPFSQHVRKLRASITPGTILIILTGRHRGKRVVFLKQLASGLLLVTGPLVLNRVPLRRTHQKFVIATSTKIDISNVKIPKHLTDAYFKKKKLRKPRHQEGEIFDTEKEKYEITEQRKIDQKAVDSQILPKIKAIPQLQGYLRSVFALTNGIYPHKLVF
+>DECOY_sp|Q02878|RL6_HUMAN 60S ribosomal protein L6 OS=Homo sapiens OX=9606 GN=RPL6 PE=1 SV=3
+FVLKHPYIGNTLAFVSRLYGQLQPIAKIKPLIQSDVAKQDIKRQETIEYKEKETDFIEGEQHRPKRLKKKKFYADTLHKPIKVNSIDIKTSTAIVFKQHTRRLPVRNLVLPGTVLLLGSALQKLFVVRKGRHRGTLIILITGPTISARLKRVHQSFPKKGHSLLKRPVDETPYYRPMKRLKVVRTGGNKDGGVPKTVTALVKEKKKKEVKSKAASYKRKYMAKRSYMASRSYRGIGRVLVPNRSCHPKGKKPKKAKLNGKKVKGGADVKKAEPKKEKTDPKEVKEGAM
+>sp|P62424|RL7A_HUMAN 60S ribosomal protein L7a OS=Homo sapiens OX=9606 GN=RPL7A PE=1 SV=2
+MPKGKKAKGKKVAPAPAVVKKQEAKKVVNPLFEKRPKNFGIGQDIQPKRDLTRFVKWPRYIRLQRQRAILYKRLKVPPAINQFTQALDRQTATQLLKLAHKYRPETKQEKKQRLLARAEKKAAGKGDVPTKRPPVLRAGVNTVTTLVENKKAQLVVIAHDVDPIELVVFLPALCRKMGVPYCIIKGKARLGRLVHRKTCTTVAFTQVNSEDKGALAKLVEAIRTNYNDRYDEIRRHWGGNVLGPKSVARIAKLEKAKAKELATKLG
+>DECOY_sp|P62424|RL7A_HUMAN 60S ribosomal protein L7a OS=Homo sapiens OX=9606 GN=RPL7A PE=1 SV=2
+GLKTALEKAKAKELKAIRAVSKPGLVNGGWHRRIEDYRDNYNTRIAEVLKALAGKDESNVQTFAVTTCTKRHVLRGLRAKGKIICYPVGMKRCLAPLFVVLEIPDVDHAIVVLQAKKNEVLTTVTNVGARLVPPRKTPVDGKGAAKKEARALLRQKKEQKTEPRYKHALKLLQTATQRDLAQTFQNIAPPVKLRKYLIARQRQLRIYRPWKVFRTLDRKPQIDQGIGFNKPRKEFLPNVVKKAEQKKVVAPAPAVKKGKAKKGKPM
+>sp|Q92681|RSCA1_HUMAN Regulatory solute carrier protein family 1 member 1 OS=Homo sapiens OX=9606 GN=RSC1A1 PE=1 SV=1
+MSSLPTSDGFNHPARSSGQSPDVGNPMSLARSVSASVCPIKPSDSDRIEPKAVKALKASAEFQLNSEKKEHLSLQDLSDHASSADHAPTDQSPAMPMQNSSEEITVAGNLEKSAERSTQGLKFHLHTRQEASLSVTSTRMHEPQMFLGEKDWHPENQNLSQVSDPQQHEEPGNEQYEVAQQKASHDQEYLCNIGDLELPEERQQNQHKIVDLEATMKGNGLPQNVDPPSAKKSIPSSECSGCSNSETFMEIDTAQQSLVTLLNSTGRQNANVKNIGALDLTLDNPLMEVETSKCNPSSEILNDSISTQDLQPPETNVEIPGTNKEYGHYSSPSLCGSCQPSVESAEESCPSITAALKELHELLVVSSKPASENTSEEVICQSETIAEGQTSIKDLSERWTQNEHLTQNEQCPQVSFHQAISVSVETEKLTGTSSDTGREAVENVNFRSLGDGLSTDKEGVPKSRESINKNRSVTVTSAKTSNQLHCTLGVEISPKLLAGEEDALNQTSEQTKSLSSNFILVKDLGQGIQNSVTDRPETRENVCPDASRPLLEYEPPTSHPSSSPAILPPLIFPATDIDRILRAGFTLQEALGALHRVGGNADLALLVLLAKNIVVPT
+>DECOY_sp|Q92681|RSCA1_HUMAN Regulatory solute carrier protein family 1 member 1 OS=Homo sapiens OX=9606 GN=RSC1A1 PE=1 SV=1
+TPVVINKALLVLLALDANGGVRHLAGLAEQLTFGARLIRDIDTAPFILPPLIAPSSSPHSTPPEYELLPRSADPCVNERTEPRDTVSNQIGQGLDKVLIFNSSLSKTQESTQNLADEEGALLKPSIEVGLTCHLQNSTKASTVTVSRNKNISERSKPVGEKDTSLGDGLSRFNVNEVAERGTDSSTGTLKETEVSVSIAQHFSVQPCQENQTLHENQTWRESLDKISTQGEAITESQCIVEESTNESAPKSSVVLLEHLEKLAATISPCSEEASEVSPQCSGCLSPSSYHGYEKNTGPIEVNTEPPQLDQTSISDNLIESSPNCKSTEVEMLPNDLTLDLAGINKVNANQRGTSNLLTVLSQQATDIEMFTESNSCGSCESSPISKKASPPDVNQPLGNGKMTAELDVIKHQNQQREEPLELDGINCLYEQDHSAKQQAVEYQENGPEEHQQPDSVQSLNQNEPHWDKEGLFMQPEHMRTSTVSLSAEQRTHLHFKLGQTSREASKELNGAVTIEESSNQMPMAPSQDTPAHDASSAHDSLDQLSLHEKKESNLQFEASAKLAKVAKPEIRDSDSPKIPCVSASVSRALSMPNGVDPSQGSSRAPHNFGDSTPLSSM
+>sp|Q92737|RSLAA_HUMAN Ras-like protein family member 10A OS=Homo sapiens OX=9606 GN=RASL10A PE=1 SV=1
+MGGSLRVAVLGAPGVGKTAIIRQFLFGDYPERHRPTDGPRLYRPAVLLDGAVYDLSIRDGDVAGPGSSPGGPEEWPDAKDWSLQDTDAFVLVYDICSPDSFDYVKALRQRIAETRPAGAPEAPILVVGNKRDRQRLRFGPRRALAALVRRGWRCGYLECSAKYNWHVLRLFRELLRCALVRARPAHPALRLQGALHPARCSLM
+>DECOY_sp|Q92737|RSLAA_HUMAN Ras-like protein family member 10A OS=Homo sapiens OX=9606 GN=RASL10A PE=1 SV=1
+MLSCRAPHLAGQLRLAPHAPRARVLACRLLERFLRLVHWNYKASCELYGCRWGRRVLAALARRPGFRLRQRDRKNGVVLIPAEPAGAPRTEAIRQRLAKVYDFSDPSCIDYVLVFADTDQLSWDKADPWEEPGGPSSGPGAVDGDRISLDYVAGDLLVAPRYLRPGDTPRHREPYDGFLFQRIIATKGVGPAGLVAVRLSGGM
+>sp|Q6T310|RSLBA_HUMAN Ras-like protein family member 11A OS=Homo sapiens OX=9606 GN=RASL11A PE=2 SV=1
+MRPLSMSGHFLLAPIPESSSDYLLPKDIKLAVLGAGRVGKSAMIVRFLTKRFIGDYEPNTGKLYSRLVYVEGDQLSLQIQDTPGGVQIQDSLPQVVDSLSKCVQWAEGFLLVYSITDYDSYLSIRPLYQHIRKVHPDSKAPVIIVGNKGDLLHARQVQTQDGIQLANELGSLFLEISTSENYEDVCDVFQHLCKEVSKMHGLSGERRRASIIPRPRSPNMQDLKRRFKQALSPKVKAPSALG
+>DECOY_sp|Q6T310|RSLBA_HUMAN Ras-like protein family member 11A OS=Homo sapiens OX=9606 GN=RASL11A PE=2 SV=1
+GLASPAKVKPSLAQKFRRKLDQMNPSRPRPIISARRREGSLGHMKSVEKCLHQFVDCVDEYNESTSIELFLSGLENALQIGDQTQVQRAHLLDGKNGVIIVPAKSDPHVKRIHQYLPRISLYSDYDTISYVLLFGEAWQVCKSLSDVVQPLSDQIQVGGPTDQIQLSLQDGEVYVLRSYLKGTNPEYDGIFRKTLFRVIMASKGVRGAGLVALKIDKPLLYDSSSEPIPALLFHGSMSLPRM
+>sp|Q8WYR4|RSPH1_HUMAN Radial spoke head 1 homolog OS=Homo sapiens OX=9606 GN=RSPH1 PE=1 SV=1
+MSDLGSEELEEEGENDIGEYEGGRNEAGERHGRGRARLPNGDTYEGSYEFGKRHGQGIYKFKNGARYIGEYVRNKKHGQGTFIYPDGSRYEGEWANDLRHGHGVYYYINNDTYTGEWFAHQRHGQGTYLYAETGSKYVGTWVNGQQEGTAELIHLNHRYQGKFLNKNPVGPGKYVFDVGCEQHGEYRLTDMERGEEEEEEELVTVVPKWKATQITELALWTPTLPKKPTSTDGPGQDAPGAESAGEPGEEAQALLEGFEGEMDMRPGDEDADVLREESREYDQEEFRYDMDEGNINSEEEETRQSDLQD
+>DECOY_sp|Q8WYR4|RSPH1_HUMAN Radial spoke head 1 homolog OS=Homo sapiens OX=9606 GN=RSPH1 PE=1 SV=1
+DQLDSQRTEEEESNINGEDMDYRFEEQDYERSEERLVDADEDGPRMDMEGEFGELLAQAEEGPEGASEAGPADQGPGDTSTPKKPLTPTWLALETIQTAKWKPVVTVLEEEEEEEGREMDTLRYEGHQECGVDFVYKGPGVPNKNLFKGQYRHNLHILEATGEQQGNVWTGVYKSGTEAYLYTGQGHRQHAFWEGTYTDNNIYYYVGHGHRLDNAWEGEYRSGDPYIFTGQGHKKNRVYEGIYRAGNKFKYIGQGHRKGFEYSGEYTDGNPLRARGRGHREGAENRGGEYEGIDNEGEEELEESGLDSM
+>sp|Q9H1X1|RSPH9_HUMAN Radial spoke head protein 9 homolog OS=Homo sapiens OX=9606 GN=RSPH9 PE=1 SV=1
+MDADSLLLSLELASGSGQGLSPDRRASLLTSLMLVKRDYRYDRVLFWGRILGLVADYYIAQGLSEDQLAPRKTLYSLNCTEWSLLPPATEEMVAQSSVVKGRFMGDPSYEYEHTELQKVNEGEKVFEEEIVVQIKEETRLVSVIDQIDKAVAIIPRGALFKTPFGPTHVNRTFEGLSLSEAKKLSSYFHFREPVELKNKTLLEKADLDPSLDFMDSLEHDIPKGSWSIQMERGNALVVLRSLLWPGLTFYHAPRTKNYGYVYVGTGEKNMDLPFML
+>DECOY_sp|Q9H1X1|RSPH9_HUMAN Radial spoke head protein 9 homolog OS=Homo sapiens OX=9606 GN=RSPH9 PE=1 SV=1
+LMFPLDMNKEGTGVYVYGYNKTRPAHYFTLGPWLLSRLVVLANGREMQISWSGKPIDHELSDMFDLSPDLDAKELLTKNKLEVPERFHFYSSLKKAESLSLGEFTRNVHTPGFPTKFLAGRPIIAVAKDIQDIVSVLRTEEKIQVVIEEEFVKEGENVKQLETHEYEYSPDGMFRGKVVSSQAVMEETAPPLLSWETCNLSYLTKRPALQDESLGQAIYYDAVLGLIRGWFLVRDYRYDRKVLMLSTLLSARRDPSLGQGSGSALELSLLLSDADM
+>sp|Q2MKA7|RSPO1_HUMAN R-spondin-1 OS=Homo sapiens OX=9606 GN=RSPO1 PE=1 SV=1
+MRLGLCVVALVLSWTHLTISSRGIKGKRQRRISAEGSQACAKGCELCSEVNGCLKCSPKLFILLERNDIRQVGVCLPSCPPGYFDARNPDMNKCIKCKIEHCEACFSHNFCTKCKEGLYLHKGRCYPACPEGSSAANGTMECSSPAQCEMSEWSPWGPCSKKQQLCGFRRGSEERTRRVLHAPVGDHAACSDTKETRRCTVRRVPCPEGQKRRKGGQGRRENANRNLARKESKEAGAGSRRRKGQQQQQQQGTVGPLTSAGPA
+>DECOY_sp|Q2MKA7|RSPO1_HUMAN R-spondin-1 OS=Homo sapiens OX=9606 GN=RSPO1 PE=1 SV=1
+APGASTLPGVTGQQQQQQQGKRRRSGAGAEKSEKRALNRNANERRGQGGKRRKQGEPCPVRRVTCRRTEKTDSCAAHDGVPAHLVRRTREESGRRFGCLQQKKSCPGWPSWESMECQAPSSCEMTGNAASSGEPCAPYCRGKHLYLGEKCKTCFNHSFCAECHEIKCKICKNMDPNRADFYGPPCSPLCVGVQRIDNRELLIFLKPSCKLCGNVESCLECGKACAQSGEASIRRQRKGKIGRSSITLHTWSLVLAVVCLGLRM
+>sp|Q7L4I2|RSRC2_HUMAN Arginine/serine-rich coiled-coil protein 2 OS=Homo sapiens OX=9606 GN=RSRC2 PE=1 SV=1
+MAASDTERDGLAPEKTSPDRDKKKEQSEVSVSPRASKHHYSRSRSRSRERKRKSDNEGRKHRSRSRSKEGRRHESKDKSSKKHKSEEHNDKEHSSDKGRERLNSSENGEDRHKRKERKSSRGRSHSRSRSRERRHRSRSRERKKSRSRSRERKKSRSRSRERKKSRSRSRERKRRIRSRSRSRSRHRHRTRSRSRTRSRSRDRKKRIEKPRRFSRSLSRTPSPPPFRGRNTAMDAQEALARRLERAKKLQEQREKEMVEKQKQQEIAAAAATGGSVLNVAALLASGTQVTPQIAMAAQMAALQAKALAETGIAVPSYYNPAAVNPMKFAEQEKKRKMLWQGKKEGDKSQSAEIWEKLNFGNKDQNVKFRKLMGIKSEDEAGCSSVDEESYKTLKQQEEVFRNLDAQYEMARSQTHTQRGMGLGFTSSMRGMDAV
+>DECOY_sp|Q7L4I2|RSRC2_HUMAN Arginine/serine-rich coiled-coil protein 2 OS=Homo sapiens OX=9606 GN=RSRC2 PE=1 SV=1
+VADMGRMSSTFGLGMGRQTHTQSRAMEYQADLNRFVEEQQKLTKYSEEDVSSCGAEDESKIGMLKRFKVNQDKNGFNLKEWIEASQSKDGEKKGQWLMKRKKEQEAFKMPNVAAPNYYSPVAIGTEALAKAQLAAMQAAMAIQPTVQTGSALLAAVNLVSGGTAAAAAIEQQKQKEVMEKERQEQLKKARELRRALAEQADMATNRGRFPPPSPTRSLSRSFRRPKEIRKKRDRSRSRTRSRSRTRHRHRSRSRSRSRIRRKRERSRSRSKKRERSRSRSKKRERSRSRSKKRERSRSRHRRERSRSRSHSRGRSSKREKRKHRDEGNESSNLRERGKDSSHEKDNHEESKHKKSSKDKSEHRRGEKSRSRSRHKRGENDSKRKRERSRSRSRSYHHKSARPSVSVESQEKKKDRDPSTKEPALGDRETDSAAM
+>sp|Q9Y399|RT02_HUMAN 28S ribosomal protein S2, mitochondrial OS=Homo sapiens OX=9606 GN=MRPS2 PE=1 SV=1
+MATSSAALPRILGAGARAPSRWLGFLGKATPRPARPSRRTLGSATALMIRESEDSTDFNDKILNEPLKHSDFFNVKELFSVRSLFDARVHLGHKAGCRHRFMEPYIFGSRLDHDIIDLEQTATHLQLALNFTAHMAYRKGIILFISRNRQFSYLIENMARDCGEYAHTRYFRGGMLTNARLLFGPTVRLPDLIIFLHTLNNIFEPHVAVRDAAKMNIPTVGIVDTNCNPCLITYPVPGNDDSPLAVHLYCRLFQTAITRAKEKRQQVEALYRLQGQKEPGDQGPAHPPGADMSHSL
+>DECOY_sp|Q9Y399|RT02_HUMAN 28S ribosomal protein S2, mitochondrial OS=Homo sapiens OX=9606 GN=MRPS2 PE=1 SV=1
+LSHSMDAGPPHAPGQDGPEKQGQLRYLAEVQQRKEKARTIATQFLRCYLHVALPSDDNGPVPYTILCPNCNTDVIGVTPINMKAADRVAVHPEFINNLTHLFIILDPLRVTPGFLLRANTLMGGRFYRTHAYEGCDRAMNEILYSFQRNRSIFLIIGKRYAMHATFNLALQLHTATQELDIIDHDLRSGFIYPEMFRHRCGAKHGLHVRADFLSRVSFLEKVNFFDSHKLPENLIKDNFDTSDESERIMLATASGLTRRSPRAPRPTAKGLFGLWRSPARAGAGLIRPLAASSTAM
+>sp|Q9Y3D3|RT16_HUMAN 28S ribosomal protein S16, mitochondrial OS=Homo sapiens OX=9606 GN=MRPS16 PE=1 SV=1
+MVHLTTLLCKAYRGGHLTIRLALGGCTNRPFYRIVAAHNKCPRDGRFVEQLGSYDPLPNSHGEKLVALNLDRIRHWIGCGAHLSKPMEKLLGLAGFFPLHPMMITNAERLRRKRAREVLLASQKTDAEATDTEATET
+>DECOY_sp|Q9Y3D3|RT16_HUMAN 28S ribosomal protein S16, mitochondrial OS=Homo sapiens OX=9606 GN=MRPS16 PE=1 SV=1
+TETAETDTAEADTKQSALLVERARKRRLREANTIMMPHLPFFGALGLLKEMPKSLHAGCGIWHRIRDLNLAVLKEGHSNPLPDYSGLQEVFRGDRPCKNHAAVIRYFPRNTCGGLALRITLHGGRYAKCLLTTLHVM
+>sp|P82663|RT25_HUMAN 28S ribosomal protein S25, mitochondrial OS=Homo sapiens OX=9606 GN=MRPS25 PE=1 SV=1
+MPMKGRFPIRRTLQYLSQGNVVFKDSVKVMTVNYNTHGELGEGARKFVFFNIPQIQYKNPWVQIMMFKNMTPSPFLRFYLDSGEQVLVDVETKSNKEIMEHIRKILGKNEETLREEEEEKKQLSHPANFGPRKYCLRECICEVEGQVPCPSLVPLPKEMRGKYKAALKADAQD
+>DECOY_sp|P82663|RT25_HUMAN 28S ribosomal protein S25, mitochondrial OS=Homo sapiens OX=9606 GN=MRPS25 PE=1 SV=1
+DQADAKLAAKYKGRMEKPLPVLSPCPVQGEVECICERLCYKRPGFNAPHSLQKKEEEEERLTEENKGLIKRIHEMIEKNSKTEVDVLVQEGSDLYFRLFPSPTMNKFMMIQVWPNKYQIQPINFFVFKRAGEGLEGHTNYNVTMVKVSDKFVVNGQSLYQLTRRIPFRGKMPM
+>sp|P82673|RT35_HUMAN 28S ribosomal protein S35, mitochondrial OS=Homo sapiens OX=9606 GN=MRPS35 PE=1 SV=1
+MAAAALPAWLSLQSRARTLRAFSTAVYSATPVPTPSLPERTPGNERPPRRKALPPRTEKMAVDQDWPSVYPVAAPFKPSAVPLPVRMGYPVKKGVPMAKEGNLELLKIPNFLHLTPVAIKKHCEALKDFCTEWPAALDSDEKCEKHFPIEIDSTDYVSSGPSVRNPRARVVVLRVKLSSLNLDDHAKKKLIKLVGERYCKTTDVLTIKTDRCPLRRQNYDYAVYLLTVLYHESWNTEEWEKSKTEADMEEYIWENSSSERNILETLLQMKAAEKNMEINKEELLGTKEIEEYKKSVVSLKNEEENENSISQYKESVKRLLNVT
+>DECOY_sp|P82673|RT35_HUMAN 28S ribosomal protein S35, mitochondrial OS=Homo sapiens OX=9606 GN=MRPS35 PE=1 SV=1
+TVNLLRKVSEKYQSISNENEEENKLSVVSKKYEEIEKTGLLEEKNIEMNKEAAKMQLLTELINRESSSNEWIYEEMDAETKSKEWEETNWSEHYLVTLLYVAYDYNQRRLPCRDTKITLVDTTKCYREGVLKILKKKAHDDLNLSSLKVRLVVVRARPNRVSPGSSVYDTSDIEIPFHKECKEDSDLAAPWETCFDKLAECHKKIAVPTLHLFNPIKLLELNGEKAMPVGKKVPYGMRVPLPVASPKFPAAVPYVSPWDQDVAMKETRPPLAKRRPPRENGPTREPLSPTPVPTASYVATSFARLTRARSQLSLWAPLAAAAM
+>sp|Q9BSG5|RTBDN_HUMAN Retbindin OS=Homo sapiens OX=9606 GN=RTBDN PE=1 SV=2
+MDCRVHMRPIGLTWVLQLTLAWILLEACGGSRPLQARSQQHHGLAADLGKGKLHLAGPCCPSEMDTTETSGPGNHPERCGVPSPECESFLEHLQRALRSRFRLRLLGVRQAQPLCEELCQAWFANCEDDITCGPTWLPLSEKRGCEPSCLTYGQTFADGTDLCRSALGHALPVAAPGARHCFNISISAVPRPRPGRRGREAPSRRSRSPRTSILDAAGSGSGSGSGSGP
+>DECOY_sp|Q9BSG5|RTBDN_HUMAN Retbindin OS=Homo sapiens OX=9606 GN=RTBDN PE=1 SV=2
+PGSGSGSGSGSGAADLISTRPSRSRRSPAERGRRGPRPRPVASISINFCHRAGPAAVPLAHGLASRCLDTGDAFTQGYTLCSPECGRKESLPLWTPGCTIDDECNAFWAQCLEECLPQAQRVGLLRLRFRSRLARQLHELFSECEPSPVGCREPHNGPGSTETTDMESPCCPGALHLKGKGLDAALGHHQQSRAQLPRSGGCAELLIWALTLQLVWTLGIPRMHVRCDM
+>sp|Q9NZ71|RTEL1_HUMAN Regulator of telomere elongation helicase 1 OS=Homo sapiens OX=9606 GN=RTEL1 PE=1 SV=2
+MPKIVLNGVTVDFPFQPYKCQQEYMTKVLECLQQKVNGILESPTGTGKTLCLLCTTLAWREHLRDGISARKIAERAQGELFPDRALSSWGNAAAAAGDPIACYTDIPKIIYASRTHSQLTQVINELRNTSYRPKVCVLGSREQLCIHPEVKKQESNHLQIHLCRKKVASRSCHFYNNVEEKSLEQELASPILDIEDLVKSGSKHRVCPYYLSRNLKQQADIIFMPYNYLLDAKSRRAHNIDLKGTVVIFDEAHNVEKMCEESASFDLTPHDLASGLDVIDQVLEEQTKAAQQGEPHPEFSADSPSPGLNMELEDIAKLKMILLRLEGAIDAVELPGDDSGVTKPGSYIFELFAEAQITFQTKGCILDSLDQIIQHLAGRAGVFTNTAGLQKLADIIQIVFSVDPSEGSPGSPAGLGALQSYKVHIHPDAGHRRTAQRSDAWSTTAARKRGKVLSYWCFSPGHSMHELVRQGVRSLILTSGTLAPVSSFALEMQIPFPVCLENPHIIDKHQIWVGVVPRGPDGAQLSSAFDRRFSEECLSSLGKALGNIARVVPYGLLIFFPSYPVMEKSLEFWRARDLARKMEALKPLFVEPRSKGSFSETISAYYARVAAPGSTGATFLAVCRGKASEGLDFSDTNGRGVIVTGLPYPPRMDPRVVLKMQFLDEMKGQGGAGGQFLSGQEWYRQQASRAVNQAIGRVIRHRQDYGAVFLCDHRFAFADARAQLPSWVRPHVRVYDNFGHVIRDVAQFFRVAERTMPAPAPRATAPSVRGEDAVSEAKSPGPFFSTRKAKSLDLHVPSLKQRSSGSPAAGDPESSLCVEYEQEPVPARQRPRGLLAALEHSEQRAGSPGEEQAHSCSTLSLLSEKRPAEEPRGGRKKIRLVSHPEEPVAGAQTDRAKLFMVAVKQELSQANFATFTQALQDYKGSDDFAALAACLGPLFAEDPKKHNLLQGFYQFVRPHHKQQFEEVCIQLTGRGCGYRPEHSIPRRQRAQPVLDPTGRTAPDPKLTVSTAAAQQLDPQEHLNQGRPHLSPRPPPTGDPGSQPQWGSGVPRAGKQGQHAVSAYLADARRALGSAGCSQLLAALTAYKQDDDLDKVLAVLAALTTAKPEDFPLLHRFSMFVRPHHKQRFSQTCTDLTGRPYPGMEPPGPQEERLAVPPVLTHRAPQPGPSRSEKTGKTQSKISSFLRQRPAGTVGAGGEDAGPSQSSGPPHGPAASEWGL
+>DECOY_sp|Q9NZ71|RTEL1_HUMAN Regulator of telomere elongation helicase 1 OS=Homo sapiens OX=9606 GN=RTEL1 PE=1 SV=2
+LGWESAAPGHPPGSSQSPGADEGGAGVTGAPRQRLFSSIKSQTKGTKESRSPGPQPARHTLVPPVALREEQPGPPEMGPYPRGTLDTCTQSFRQKHHPRVFMSFRHLLPFDEPKATTLAALVALVKDLDDDQKYATLAALLQSCGASGLARRADALYASVAHQGQKGARPVGSGWQPQSGPDGTPPPRPSLHPRGQNLHEQPDLQQAAATSVTLKPDPATRGTPDLVPQARQRRPISHEPRYGCGRGTLQICVEEFQQKHHPRVFQYFGQLLNHKKPDEAFLPGLCAALAAFDDSGKYDQLAQTFTAFNAQSLEQKVAVMFLKARDTQAGAVPEEPHSVLRIKKRGGRPEEAPRKESLLSLTSCSHAQEEGPSGARQESHELAALLGRPRQRAPVPEQEYEVCLSSEPDGAAPSGSSRQKLSPVHLDLSKAKRTSFFPGPSKAESVADEGRVSPATARPAPAPMTREAVRFFQAVDRIVHGFNDYVRVHPRVWSPLQARADAFAFRHDCLFVAGYDQRHRIVRGIAQNVARSAQQRYWEQGSLFQGGAGGQGKMEDLFQMKLVVRPDMRPPYPLGTVIVGRGNTDSFDLGESAKGRCVALFTAGTSGPAAVRAYYASITESFSGKSRPEVFLPKLAEMKRALDRARWFELSKEMVPYSPFFILLGYPVVRAINGLAKGLSSLCEESFRRDFASSLQAGDPGRPVVGVWIQHKDIIHPNELCVPFPIQMELAFSSVPALTGSTLILSRVGQRVLEHMSHGPSFCWYSLVKGRKRAATTSWADSRQATRRHGADPHIHVKYSQLAGLGAPSGPSGESPDVSFVIQIIDALKQLGATNTFVGARGALHQIIQDLSDLICGKTQFTIQAEAFLEFIYSGPKTVGSDDGPLEVADIAGELRLLIMKLKAIDELEMNLGPSPSDASFEPHPEGQQAAKTQEELVQDIVDLGSALDHPTLDFSASEECMKEVNHAEDFIVVTGKLDINHARRSKADLLYNYPMFIIDAQQKLNRSLYYPCVRHKSGSKVLDEIDLIPSALEQELSKEEVNNYFHCSRSAVKKRCLHIQLHNSEQKKVEPHICLQERSGLVCVKPRYSTNRLENIVQTLQSHTRSAYIIKPIDTYCAIPDGAAAAANGWSSLARDPFLEGQAREAIKRASIGDRLHERWALTTCLLCLTKGTGTPSELIGNVKQQLCELVKTMYEQQCKYPQFPFDVTVGNLVIKPM
+>sp|Q9BY42|RTF2_HUMAN Protein RTF2 homolog OS=Homo sapiens OX=9606 GN=RTFDC1 PE=1 SV=3
+MGCDGGTIPKRHELVKGPKKVEKVDKDAELVAQWNYCTLSQEILRRPIVACELGRLYNKDAVIEFLLDKSAEKALGKAASHIKSIKNVTELKLSDNPAWEGDKGNTKGDKHDDLQRARFICPVVGLEMNGRHRFCFLRCCGCVFSERALKEIKAEVCHTCGAAFQEDDVIMLNGTKEDVDVLKTRMEERRLRAKLEKKTKKPKAAESVSKPDVSEEAPGPSKVKTGKPEEASLDSREKKTNLAPKSTAMNESSSGKAGKPPCGATKRSIADSEESEAYKSLFTTHSSAKRSKEESAHWVTHTSYCF
+>DECOY_sp|Q9BY42|RTF2_HUMAN Protein RTF2 homolog OS=Homo sapiens OX=9606 GN=RTFDC1 PE=1 SV=3
+FCYSTHTVWHASEEKSRKASSHTTFLSKYAESEESDAISRKTAGCPPKGAKGSSSENMATSKPALNTKKERSDLSAEEPKGTKVKSPGPAEESVDPKSVSEAAKPKKTKKELKARLRREEMRTKLVDVDEKTGNLMIVDDEQFAAGCTHCVEAKIEKLARESFVCGCCRLFCFRHRGNMELGVVPCIFRARQLDDHKDGKTNGKDGEWAPNDSLKLETVNKISKIHSAAKGLAKEASKDLLFEIVADKNYLRGLECAVIPRRLIEQSLTCYNWQAVLEADKDVKEVKKPGKVLEHRKPITGGDCGM
+>sp|Q9BWD3|RTL8A_HUMAN Retrotransposon Gag-like protein 8A OS=Homo sapiens OX=9606 GN=RTL8A PE=1 SV=3
+MDGRVQLMKALLAGPLRPAARRWRNPIPFPETFDGDTDRLPEFIVQTSSYMFVDENTFSNDALKVTFLITRLTGPALQWVIPYIRKESPLLNDYRGFLAEMKRVFGWEEDEDF
+>DECOY_sp|Q9BWD3|RTL8A_HUMAN Retrotransposon Gag-like protein 8A OS=Homo sapiens OX=9606 GN=RTL8A PE=1 SV=3
+FDEDEEWGFVRKMEALFGRYDNLLPSEKRIYPIVWQLAPGTLRTILFTVKLADNSFTNEDVFMYSSTQVIFEPLRDTDGDFTEPFPIPNRWRRAAPRLPGALLAKMLQVRGDM
+>sp|Q66K80|RUAS1_HUMAN Putative uncharacterized protein RUSC1-AS1 OS=Homo sapiens OX=9606 GN=RUSC1-AS1 PE=5 SV=1
+MEPGGSENAAALWISEGGRGPGRGPGPEWTSRSLLPQSGPALQPTPYSQRKGPRETHPDALKGGGGWGWGNTQSLSGECRKGVGAGEEKDGAAVSLSTPHLLAASAGLQPAPSPLGTAVCPFSPHSSPSFSHHRTLSLFISPAPLSCPAPRAQVHRSTPMGRALLTRVLLEPLRPWACPRLPRSPPGGAQSGRGGALAQPTLRCAAAPLRAWAWRSSDPPPAFSVFCHPPRGFDIS
+>DECOY_sp|Q66K80|RUAS1_HUMAN Putative uncharacterized protein RUSC1-AS1 OS=Homo sapiens OX=9606 GN=RUSC1-AS1 PE=5 SV=1
+SIDFGRPPHCFVSFAPPPDSSRWAWARLPAAACRLTPQALAGGRGSQAGGPPSRPLRPCAWPRLPELLVRTLLARGMPTSRHVQARPAPCSLPAPSIFLSLTRHHSFSPSSHPSFPCVATGLPSPAPQLGASAALLHPTSLSVAAGDKEEGAGVGKRCEGSLSQTNGWGWGGGGKLADPHTERPGKRQSYPTPQLAPGSQPLLSRSTWEPGPGRGPGRGGESIWLAAANESGGPEM
+>sp|Q96C34|RUND1_HUMAN RUN domain-containing protein 1 OS=Homo sapiens OX=9606 GN=RUNDC1 PE=1 SV=3
+MAAVEAAAEPVTVVAAVGPKAKDEEEEEEEPLPPCEAVRWAPVGAVAEARPGATAFLEEATAEEPGAAPGSPPDSPGRTLRRLRAERRRLDSALLALSSHFAQVQFRLRQVVRGAPAEQQRLLRELEDFAFRGCPHVLGYEGPGDPASDEGDGLPGDRPWLRGEDQSEQEKQERLETQREKQKELILQLKTQLDDLETFAYQEGSYDSLPQSVVLERQRVIIDELIKKLDMNLNEDISSLSTEELRQRVDAAVAQIVNPARVKEQLVEQLKTQIRDLEMFINFIQDEVGSPLQTGGGHCECKAGGKTGNGCSRTGSSRTPPGNSKTKAEDVKKVRETGLHLMRRALAVLQIFAVSQFGCATGQIPPTLWQRVQADRDYSPLLKRLEVSVDRVKQLALRQQPHDHVITSANLQDLSLGGKDELTMAVRKELTVAVRDLLAHGLYASSPGMSLVMAPIACLLPAFSSAPEAMHPWELFVKYYHAKNGRAYVESPARKLSQSFALPVTGGTVVTPKQSLLTAIHMVLTEHDPFKRSADSELKALVCMALNEQRLVSWVNLICKSGSLIEPHYQPWSYMAHTGFESALNLLSRLSSLKFSLPVDLAVRQLKNIKDAF
+>DECOY_sp|Q96C34|RUND1_HUMAN RUN domain-containing protein 1 OS=Homo sapiens OX=9606 GN=RUNDC1 PE=1 SV=3
+FADKINKLQRVALDVPLSFKLSSLRSLLNLASEFGTHAMYSWPQYHPEILSGSKCILNVWSVLRQENLAMCVLAKLESDASRKFPDHETLVMHIATLLSQKPTVVTGGTVPLAFSQSLKRAPSEVYARGNKAHYYKVFLEWPHMAEPASSFAPLLCAIPAMVLSMGPSSAYLGHALLDRVAVTLEKRVAMTLEDKGGLSLDQLNASTIVHDHPQQRLALQKVRDVSVELRKLLPSYDRDAQVRQWLTPPIQGTACGFQSVAFIQLVALARRMLHLGTERVKKVDEAKTKSNGPPTRSSGTRSCGNGTKGGAKCECHGGGTQLPSGVEDQIFNIFMELDRIQTKLQEVLQEKVRAPNVIQAVAADVRQRLEETSLSSIDENLNMDLKKILEDIIVRQRELVVSQPLSDYSGEQYAFTELDDLQTKLQLILEKQKERQTELREQKEQESQDEGRLWPRDGPLGDGEDSAPDGPGEYGLVHPCGRFAFDELERLLRQQEAPAGRVVQRLRFQVQAFHSSLALLASDLRRREARLRRLTRGPSDPPSGPAAGPEEATAEELFATAGPRAEAVAGVPAWRVAECPPLPEEEEEEEDKAKPGVAAVVTVPEAAAEVAAM
+>sp|Q96ER3|SAAL1_HUMAN Protein SAAL1 OS=Homo sapiens OX=9606 GN=SAAL1 PE=1 SV=2
+MDRNPSPPPPGRDKEEEEEVAGGDCIGSTVYSKHWLFGVLSGLIQIVSPENTKSSSDDEEQLTELDEEMENEICRVWDMSMDEDVALFLQEFNAPDIFMGVLAKSKCPRLREICVGILGNMACFQEICVSISSDKNLGQVLLHCLYDSDPPTLLETSRLLLTCLSQAEVASVWVERIQEHPAIYDSICFIMSSSTNVDLLVKVGEVVDKLFDLDEKLMLEWVRNGAAQPLDQPQEESEEQPVFRLVPCILEAAKQVRSENPEWLDVYMHILQLLTTVDDGIQAIVHCPDTGKDIWNLLFDLVCHEFCQSDDPPIILQEQKTVLASVFSVLSAIYASQTEQEYLKIEKVDLPLIDSLIRVLQNMEQCQKKPENSAESNTEETKRTDLTQDDFHLKILKDILCEFLSNIFQALTKETVAQGVKEGQLSKQKCSSAFQNLLPFYSPVVEDFIKILREVDKALADDLEKNFPSLKVQT
+>DECOY_sp|Q96ER3|SAAL1_HUMAN Protein SAAL1 OS=Homo sapiens OX=9606 GN=SAAL1 PE=1 SV=2
+TQVKLSPFNKELDDALAKDVERLIKIFDEVVPSYFPLLNQFASSCKQKSLQGEKVGQAVTEKTLAQFINSLFECLIDKLIKLHFDDQTLDTRKTEETNSEASNEPKKQCQEMNQLVRILSDILPLDVKEIKLYEQETQSAYIASLVSFVSALVTKQEQLIIPPDDSQCFEHCVLDFLLNWIDKGTDPCHVIAQIGDDVTTLLQLIHMYVDLWEPNESRVQKAAELICPVLRFVPQEESEEQPQDLPQAAGNRVWELMLKEDLDFLKDVVEGVKVLLDVNTSSSMIFCISDYIAPHEQIREVWVSAVEAQSLCTLLLRSTELLTPPDSDYLCHLLVQGLNKDSSISVCIEQFCAMNGLIGVCIERLRPCKSKALVGMFIDPANFEQLFLAVDEDMSMDWVRCIENEMEEDLETLQEEDDSSSKTNEPSVIQILGSLVGFLWHKSYVTSGICDGGAVEEEEEKDRGPPPPSPNRDM
+>sp|Q8IXA5|SACA3_HUMAN Sperm acrosome membrane-associated protein 3 OS=Homo sapiens OX=9606 GN=SPACA3 PE=1 SV=1
+MVSALRGAPLIRVHSSPVSSPSVSGPRRLVSCLSSQSSALSQSGGGSTSAAGIEARSRALRRRWCPAGIMLLALVCLLSCLLPSSEAKLYGRCELARVLHDFGLDGYRGYSLADWVCLAYFTSGFNAAALDYEADGSTNNGIFQINSRRWCSNLTPNVPNVCRMYCSDLLNPNLKDTVICAMKITQEPQGLGYWEAWRHHCQGKDLTEWVDGCDF
+>DECOY_sp|Q8IXA5|SACA3_HUMAN Sperm acrosome membrane-associated protein 3 OS=Homo sapiens OX=9606 GN=SPACA3 PE=1 SV=1
+FDCGDVWETLDKGQCHHRWAEWYGLGQPEQTIKMACIVTDKLNPNLLDSCYMRCVNPVNPTLNSCWRRSNIQFIGNNTSGDAEYDLAAANFGSTFYALCVWDALSYGRYGDLGFDHLVRALECRGYLKAESSPLLCSLLCVLALLMIGAPCWRRRLARSRAEIGAASTSGGGSQSLASSQSSLCSVLRRPGSVSPSSVPSSHVRILPAGRLASVM
+>sp|Q9NRR4|RNC_HUMAN Ribonuclease 3 OS=Homo sapiens OX=9606 GN=DROSHA PE=1 SV=2
+MMQGNTCHRMSFHPGRGCPRGRGGHGARPSAPSFRPQNLRLLHPQQPPVQYQYEPPSAPSTTFSNSPAPNFLPPRPDFVPFPPPMPPSAQGPLPPCPIRPPFPNHQMRHPFPVPPCFPPMPPPMPCPNNPPVPGAPPGQGTFPFMMPPPSMPHPPPPPVMPQQVNYQYPPGYSHHNFPPPSFNSFQNNPSSFLPSANNSSSPHFRHLPPYPLPKAPSERRSPERLKHYDDHRHRDHSHGRGERHRSLDRRERGRSPDRRRQDSRYRSDYDRGRTPSRHRSYERSRERERERHRHRDNRRSPSLERSYKKEYKRSGRSYGLSVVPEPAGCTPELPGEIIKNTDSWAPPLEIVNHRSPSREKKRARWEEEKDRWSDNQSSGKDKNYTSIKEKEPEETMPDKNEEEEEELLKPVWIRCTHSENYYSSDPMDQVGDSTVVGTSRLRDLYDKFEEELGSRQEKAKAARPPWEPPKTKLDEDLESSSESECESDEDSTCSSSSDSEVFDVIAEIKRKKAHPDRLHDELWYNDPGQMNDGPLCKCSAKARRTGIRHSIYPGEEAIKPCRPMTNNAGRLFHYRITVSPPTNFLTDRPTVIEYDDHEYIFEGFSMFAHAPLTNIPLCKVIRFNIDYTIHFIEEMMPENFCVKGLELFSLFLFRDILELYDWNLKGPLFEDSPPCCPRFHFMPRFVRFLPDGGKEVLSMHQILLYLLRCSKALVPEEEIANMLQWEELEWQKYAEECKGMIVTNPGTKPSSVRIDQLDREQFNPDVITFPIIVHFGIRPAQLSYAGDPQYQKLWKSYVKLRHLLANSPKVKQTDKQKLAQREEALQKIRQKNTMRREVTVELSSQGFWKTGIRSDVCQHAMMLPVLTHHIRYHQCLMHLDKLIGYTFQDRCLLQLAMTHPSHHLNFGMNPDHARNSLSNCGIRQPKYGDRKVHHMHMRKKGINTLINIMSRLGQDDPTPSRINHNERLEFLGDAVVEFLTSVHLYYLFPSLEEGGLATYRTAIVQNQHLAMLAKKLELDRFMLYAHGPDLCRESDLRHAMANCFEALIGAVYLEGSLEEAKQLFGRLLFNDPDLREVWLNYPLHPLQLQEPNTDRQLIETSPVLQKLTEFEEAIGVIFTHVRLLARAFTLRTVGFNHLTLGHNQRMEFLGDSIMQLVATEYLFIHFPDHHEGHLTLLRSSLVNNRTQAKVAEELGMQEYAITNDKTKRPVALRTKTLADLLESFIAALYIDKDLEYVHTFMNVCFFPRLKEFILNQDWNDPKSQLQQCCLTLRTEGKEPDIPLYKTLQTVGPSHARTYTVAVYFKGERIGCGKGPSIQQAEMGAAMDALEKYNFPQMAHQKRFIERKYRQELKEMRWEREHQEREPDETEDIKK
+>DECOY_sp|Q9NRR4|RNC_HUMAN Ribonuclease 3 OS=Homo sapiens OX=9606 GN=DROSHA PE=1 SV=2
+KKIDETEDPEREQHEREWRMEKLEQRYKREIFRKQHAMQPFNYKELADMAAGMEAQQISPGKGCGIREGKFYVAVTYTRAHSPGVTQLTKYLPIDPEKGETRLTLCCQQLQSKPDNWDQNLIFEKLRPFFCVNMFTHVYELDKDIYLAAIFSELLDALTKTRLAVPRKTKDNTIAYEQMGLEEAVKAQTRNNVLSSRLLTLHGEHHDPFHIFLYETAVLQMISDGLFEMRQNHGLTLHNFGVTRLTFARALLRVHTFIVGIAEEFETLKQLVPSTEILQRDTNPEQLQLPHLPYNLWVERLDPDNFLLRGFLQKAEELSGELYVAGILAEFCNAMAHRLDSERCLDPGHAYLMFRDLELKKALMALHQNQVIATRYTALGGEELSPFLYYLHVSTLFEVVADGLFELRENHNIRSPTPDDQGLRSMINILTNIGKKRMHMHHVKRDGYKPQRIGCNSLSNRAHDPNMGFNLHHSPHTMALQLLCRDQFTYGILKDLHMLCQHYRIHHTLVPLMMAHQCVDSRIGTKWFGQSSLEVTVERRMTNKQRIKQLAEERQALKQKDTQKVKPSNALLHRLKVYSKWLKQYQPDGAYSLQAPRIGFHVIIPFTIVDPNFQERDLQDIRVSSPKTGPNTVIMGKCEEAYKQWELEEWQLMNAIEEEPVLAKSCRLLYLLIQHMSLVEKGGDPLFRVFRPMFHFRPCCPPSDEFLPGKLNWDYLELIDRFLFLSFLELGKVCFNEPMMEEIFHITYDINFRIVKCLPINTLPAHAFMSFGEFIYEHDDYEIVTPRDTLFNTPPSVTIRYHFLRGANNTMPRCPKIAEEGPYISHRIGTRRAKASCKCLPGDNMQGPDNYWLEDHLRDPHAKKRKIEAIVDFVESDSSSSCTSDEDSECESESSSELDEDLKTKPPEWPPRAAKAKEQRSGLEEEFKDYLDRLRSTGVVTSDGVQDMPDSSYYNESHTCRIWVPKLLEEEEEENKDPMTEEPEKEKISTYNKDKGSSQNDSWRDKEEEWRARKKERSPSRHNVIELPPAWSDTNKIIEGPLEPTCGAPEPVVSLGYSRGSRKYEKKYSRELSPSRRNDRHRHRERERERSREYSRHRSPTRGRDYDSRYRSDQRRRDPSRGRERRDLSRHREGRGHSHDRHRHDDYHKLREPSRRESPAKPLPYPPLHRFHPSSSNNASPLFSSPNNQFSNFSPPPFNHHSYGPPYQYNVQQPMVPPPPPHPMSPPPMMFPFTGQGPPAGPVPPNNPCPMPPPMPPFCPPVPFPHRMQHNPFPPRIPCPPLPGQASPPMPPPFPVFDPRPPLFNPAPSNSFTTSPASPPEYQYQVPPQQPHLLRLNQPRFSPASPRAGHGGRGRPCGRGPHFSMRHCTNGQMM
+>sp|Q9H2S5|RNF39_HUMAN RING finger protein 39 OS=Homo sapiens OX=9606 GN=RNF39 PE=1 SV=2
+MWWRDLTRLRLWLKREAIPGEGRKAAKVNAGVGEKGIYTASSRGGPPSARSKAVTVVAEGAASRSWLSMDAPELGPGLVERLEQLATCPLCGGSFEDPVLLACEHSFCRACLARRWGTPPATGTEASPTACPCCGLPCPRRSLRSNVRLAVEVRISRELREKLAEPGARAGRRRGGRIPTMGCLDLPGEDMRKTWRRFEVPTSKSSNSEDDLPEDYPVVKKMLHRLTADLTLDPGTAHRRLLISADRRSVQLAPPGTPAPPDGPKRFDQLPAVLGAQGFGAGRHCWEVETADAASCRDSSGEDADDEESHYAVGAAGESVQRKGCVRLCPAGAVWAVEGRGGRLWALTAPEPTLLGGVEPPPRRIRVDLDWERGRVAFYDGRSLDLLYAFQAPGPLGERIFPLFCTCDPRAPLRIVPAES
+>DECOY_sp|Q9H2S5|RNF39_HUMAN RING finger protein 39 OS=Homo sapiens OX=9606 GN=RNF39 PE=1 SV=2
+SEAPVIRLPARPDCTCFLPFIREGLPGPAQFAYLLDLSRGDYFAVRGREWDLDVRIRRPPPEVGGLLTPEPATLAWLRGGRGEVAWVAGAPCLRVCGKRQVSEGAAGVAYHSEEDDADEGSSDRCSAADATEVEWCHRGAGFGQAGLVAPLQDFRKPGDPPAPTGPPALQVSRRDASILLRRHATGPDLTLDATLRHLMKKVVPYDEPLDDESNSSKSTPVEFRRWTKRMDEGPLDLCGMTPIRGGRRRGARAGPEALKERLERSIRVEVALRVNSRLSRRPCPLGCCPCATPSAETGTAPPTGWRRALCARCFSHECALLVPDEFSGGCLPCTALQELREVLGPGLEPADMSLWSRSAAGEAVVTVAKSRASPPGGRSSATYIGKEGVGANVKAAKRGEGPIAERKLWLRLRTLDRWWM
+>sp|Q9H4P4|RNF41_HUMAN E3 ubiquitin-protein ligase NRDP1 OS=Homo sapiens OX=9606 GN=RNF41 PE=1 SV=2
+MGYDVTRFQGDVDEDLICPICSGVLEEPVQAPHCEHAFCNACITQWFSQQQTCPVDRSVVTVAHLRPVPRIMRNMLSKLQIACDNAVFGCSAVVRLDNLMSHLSDCEHNPKRPVTCEQGCGLEMPKDELPNHNCIKHLRSVVQQQQTRIAELEKTSAEHKHQLAEQKRDIQLLKAYMRAIRSVNPNLQNLEETIEYNEILEWVNSLQPARVTRWGGMISTPDAVLQAVIKRSLVESGCPASIVNELIENAHERSWPQGLATLETRQMNRRYYENYVAKRIPGKQAVVVMACENQHMGDDMVQEPGLVMIFAHGVEEI
+>DECOY_sp|Q9H4P4|RNF41_HUMAN E3 ubiquitin-protein ligase NRDP1 OS=Homo sapiens OX=9606 GN=RNF41 PE=1 SV=2
+IEEVGHAFIMVLGPEQVMDDGMHQNECAMVVVAQKGPIRKAVYNEYYRRNMQRTELTALGQPWSREHANEILENVISAPCGSEVLSRKIVAQLVADPTSIMGGWRTVRAPQLSNVWELIENYEITEELNQLNPNVSRIARMYAKLLQIDRKQEALQHKHEASTKELEAIRTQQQQVVSRLHKICNHNPLEDKPMELGCGQECTVPRKPNHECDSLHSMLNDLRVVASCGFVANDCAIQLKSLMNRMIRPVPRLHAVTVVSRDVPCTQQQSFWQTICANCFAHECHPAQVPEELVGSCIPCILDEDVDGQFRTVDYGM
+>sp|P78317|RNF4_HUMAN E3 ubiquitin-protein ligase RNF4 OS=Homo sapiens OX=9606 GN=RNF4 PE=1 SV=1
+MSTRKRRGGAINSRQAQKRTREATSTPEISLEAEPIELVETAGDEIVDLTCESLEPVVVDLTHNDSVVIVDERRRPRRNARRLPQDHADSCVVSSDDEELSRDRDVYVTTHTPRNARDEGATGLRPSGTVSCPICMDGYSEIVQNGRLIVSTECGHVFCSQCLRDSLKNANTCPTCRKKINHKRYHPIYI
+>DECOY_sp|P78317|RNF4_HUMAN E3 ubiquitin-protein ligase RNF4 OS=Homo sapiens OX=9606 GN=RNF4 PE=1 SV=1
+IYIPHYRKHNIKKRCTPCTNANKLSDRLCQSCFVHGCETSVILRGNQVIESYGDMCIPCSVTGSPRLGTAGEDRANRPTHTTVYVDRDRSLEEDDSSVVCSDAHDQPLRRANRRPRRREDVIVVSDNHTLDVVVPELSECTLDVIEDGATEVLEIPEAELSIEPTSTAERTRKQAQRSNIAGGRRKRTSM
+>sp|O76064|RNF8_HUMAN E3 ubiquitin-protein ligase RNF8 OS=Homo sapiens OX=9606 GN=RNF8 PE=1 SV=1
+MGEPGFFVTGDRAGGRSWCLRRVGMSAGWLLLEDGCEVTVGRGFGVTYQLVSKICPLMISRNHCVLKQNPEGQWTIMDNKSLNGVWLNRARLEPLRVYSIHQGDYIQLGVPLENKENAEYEYEVTEEDWETIYPCLSPKNDQMIEKNKELRTKRKFSLDELAGPGAEGPSNLKSKINKVSCESGQPVKSQGKGEVASTPSDNLDPKLTALEPSKTTGAPIYPGFPKVTEVHHEQKASNSSASQRSLQMFKVTMSRILRLKIQMQEKHEAVMNVKKQTQKGNSKKVVQMEQELQDLQSQLCAEQAQQQARVEQLEKTFQEEEQHLQGLEIAQGEKDLKQQLAQALQEHWALMEELNRSKKDFEAIIQAKNKELEQTKEEKEKMQAQKEEVLSHMNDVLENELQCIICSEYFIEAVTLNCAHSFCSYCINEWMKRKIECPICRKDIKSKTYSLVLDNCINKMVNNLSSEVKERRIVLIRERKAKRLF
+>DECOY_sp|O76064|RNF8_HUMAN E3 ubiquitin-protein ligase RNF8 OS=Homo sapiens OX=9606 GN=RNF8 PE=1 SV=1
+FLRKAKRERILVIRREKVESSLNNVMKNICNDLVLSYTKSKIDKRCIPCEIKRKMWENICYSCFSHACNLTVAEIFYESCIICQLENELVDNMHSLVEEKQAQMKEKEEKTQELEKNKAQIIAEFDKKSRNLEEMLAWHEQLAQALQQKLDKEGQAIELGQLHQEEEQFTKELQEVRAQQQAQEACLQSQLDQLEQEMQVVKKSNGKQTQKKVNMVAEHKEQMQIKLRLIRSMTVKFMQLSRQSASSNSAKQEHHVETVKPFGPYIPAGTTKSPELATLKPDLNDSPTSAVEGKGQSKVPQGSECSVKNIKSKLNSPGEAGPGALEDLSFKRKTRLEKNKEIMQDNKPSLCPYITEWDEETVEYEYEANEKNELPVGLQIYDGQHISYVRLPELRARNLWVGNLSKNDMITWQGEPNQKLVCHNRSIMLPCIKSVLQYTVGFGRGVTVECGDELLLWGASMGVRRLCWSRGGARDGTVFFGPEGM
+>sp|Q5M7Z0|RNFT1_HUMAN E3 ubiquitin-protein ligase RNFT1 OS=Homo sapiens OX=9606 GN=RNFT1 PE=1 SV=2
+MPLFLLSLPTPPSASGHERRQRPEAKTSGSEKKYLRAMQANRSQLHSPPGTGSSEDASTPQCVHTRLTGEGSCPHSGDVHIQINSIPKECAENASSRNIRSGVHSCAHGCVHSRLRGHSHSEARLTDDTAAESGDHGSSSFSEFRYLFKWLQKSLPYILILSVKLVMQHITGISLGIGLLTTFMYANKSIVNQVFLRERSSKIQCAWLLVFLAGSSVLLYYTFHSQSLYYSLIFLNPTLDHLSFWEVFWIVGITDFILKFFFMGLKCLILLVPSFIMPFKSKGYWYMLLEELCQYYRTFVPIPVWFRYLISYGEFGNVTRWSLGILLALLYLILKLLEFFGHLRTFRQVLRIFFTQPSYGVAASKRQCSDVDDICSICQAEFQKPILLICQHIFCEECMTLWFNREKTCPLCRTVISDHINKWKDGATSSHLQIY
+>DECOY_sp|Q5M7Z0|RNFT1_HUMAN E3 ubiquitin-protein ligase RNFT1 OS=Homo sapiens OX=9606 GN=RNFT1 PE=1 SV=2
+YIQLHSSTAGDKWKNIHDSIVTRCLPCTKERNFWLTMCEECFIHQCILLIPKQFEAQCISCIDDVDSCQRKSAAVGYSPQTFFIRLVQRFTRLHGFFELLKLILYLLALLIGLSWRTVNGFEGYSILYRFWVPIPVFTRYYQCLEELLMYWYGKSKFPMIFSPVLLILCKLGMFFFKLIFDTIGVIWFVEWFSLHDLTPNLFILSYYLSQSHFTYYLLVSSGALFVLLWACQIKSSRERLFVQNVISKNAYMFTTLLGIGLSIGTIHQMVLKVSLILIYPLSKQLWKFLYRFESFSSSGHDGSEAATDDTLRAESHSHGRLRSHVCGHACSHVGSRINRSSANEACEKPISNIQIHVDGSHPCSGEGTLRTHVCQPTSADESSGTGPPSHLQSRNAQMARLYKKESGSTKAEPRQRREHGSASPPTPLSLLFLPM
+>sp|P51991|ROA3_HUMAN Heterogeneous nuclear ribonucleoprotein A3 OS=Homo sapiens OX=9606 GN=HNRNPA3 PE=1 SV=2
+MEVKPPPGRPQPDSGRRRRRRGEEGHDPKEPEQLRKLFIGGLSFETTDDSLREHFEKWGTLTDCVVMRDPQTKRSRGFGFVTYSCVEEVDAAMCARPHKVDGRVVEPKRAVSREDSVKPGAHLTVKKIFVGGIKEDTEEYNLRDYFEKYGKIETIEVMEDRQSGKKRGFAFVTFDDHDTVDKIVVQKYHTINGHNCEVKKALSKQEMQSAGSQRGRGGGSGNFMGRGGNFGGGGGNFGRGGNFGGRGGYGGGGGGSRGSYGGGDGGYNGFGGDGGNYGGGPGYSSRGGYGGGGPGYGNQGGGYGGGGGYDGYNEGGNFGGGNYGGGGNYNDFGNYSGQQQSNYGPMKGGSFGGRSSGSPYGGGYGSGGGSGGYGSRRF
+>DECOY_sp|P51991|ROA3_HUMAN Heterogeneous nuclear ribonucleoprotein A3 OS=Homo sapiens OX=9606 GN=HNRNPA3 PE=1 SV=2
+FRRSGYGGSGGGSGYGGGYPSGSSRGGFSGGKMPGYNSQQQGSYNGFDNYNGGGGYNGGGFNGGENYGDYGGGGGYGGGQNGYGPGGGGYGGRSSYGPGGGYNGGDGGFGNYGGDGGGYSGRSGGGGGGYGGRGGFNGGRGFNGGGGGFNGGRGMFNGSGGGRGRQSGASQMEQKSLAKKVECNHGNITHYKQVVIKDVTDHDDFTVFAFGRKKGSQRDEMVEITEIKGYKEFYDRLNYEETDEKIGGVFIKKVTLHAGPKVSDERSVARKPEVVRGDVKHPRACMAADVEEVCSYTVFGFGRSRKTQPDRMVVCDTLTGWKEFHERLSDDTTEFSLGGIFLKRLQEPEKPDHGEEGRRRRRRGSDPQPRGPPPKVEM
+>sp|Q99729|ROAA_HUMAN Heterogeneous nuclear ribonucleoprotein A/B OS=Homo sapiens OX=9606 GN=HNRNPAB PE=1 SV=2
+MSEAGEEQPMETTGATENGHEAVPEASRGRGWTGAAAGAGGATAAPPSGNQNGAEGDQINASKNEEDAGKMFVGGLSWDTSKKDLKDYFTKFGEVVDCTIKMDPNTGRSRGFGFILFKDAASVEKVLDQKEHRLDGRVIDPKKAMAMKKDPVKKIFVGGLNPESPTEEKIREYFGEFGEIEAIELPMDPKLNKRRGFVFITFKEEEPVKKVLEKKFHTVSGSKCEIKVAQPKEVYQQQQYGSGGRGNRNRGNRGSGGGGGGGGQSQSWNQGYGNYWNQGYGYQQGYGPGYGGYDYSPYGYYGYGPGYDYSQGSTNYGKSQRRGGHQNNYKPY
+>DECOY_sp|Q99729|ROAA_HUMAN Heterogeneous nuclear ribonucleoprotein A/B OS=Homo sapiens OX=9606 GN=HNRNPAB PE=1 SV=2
+YPKYNNQHGGRRQSKGYNTSGQSYDYGPGYGYYGYPSYDYGGYGPGYGQQYGYGQNWYNGYGQNWSQSQGGGGGGGGSGRNGRNRNGRGGSGYQQQQYVEKPQAVKIECKSGSVTHFKKELVKKVPEEEKFTIFVFGRRKNLKPDMPLEIAEIEGFEGFYERIKEETPSEPNLGGVFIKKVPDKKMAMAKKPDIVRGDLRHEKQDLVKEVSAADKFLIFGFGRSRGTNPDMKITCDVVEGFKTFYDKLDKKSTDWSLGGVFMKGADEENKSANIQDGEAGNQNGSPPAATAGGAGAAAGTWGRGRSAEPVAEHGNETAGTTEMPQEEGAESM
+>sp|Q9HCK4|ROBO2_HUMAN Roundabout homolog 2 OS=Homo sapiens OX=9606 GN=ROBO2 PE=1 SV=2
+MSLLMFTQLLLCGFLYVRVDGSRLRQEDFPPRIVEHPSDVIVSKGEPTTLNCKAEGRPTPTIEWYKDGERVETDKDDPRSHRMLLPSGSLFFLRIVHGRRSKPDEGSYVCVARNYLGEAVSRNASLEVALLRDDFRQNPTDVVVAAGEPAILECQPPRGHPEPTIYWKKDKVRIDDKEERISIRGGKLMISNTRKSDAGMYTCVGTNMVGERDSDPAELTVFERPTFLRRPINQVVLEEEAVEFRCQVQGDPQPTVRWKKDDADLPRGRYDIKDDYTLRIKKTMSTDEGTYMCIAENRVGKMEASATLTVRAPPQFVVRPRDQIVAQGRTVTFPCETKGNPQPAVFWQKEGSQNLLFPNQPQQPNSRCSVSPTGDLTITNIQRSDAGYYICQALTVAGSILAKAQLEVTDVLTDRPPPIILQGPANQTLAVDGTALLKCKATGDPLPVISWLKEGFTFPGRDPRATIQEQGTLQIKNLRISDTGTYTCVATSSSGETSWSAVLDVTESGATISKNYDLSDLPGPPSKPQVTDVTKNSVTLSWQPGTPGTLPASAYIIEAFSQSVSNSWQTVANHVKTTLYTVRGLRPNTIYLFMVRAINPQGLSDPSPMSDPVRTQDISPPAQGVDHRQVQKELGDVLVRLHNPVVLTPTTVQVTWTVDRQPQFIQGYRVMYRQTSGLQATSSWQNLDAKVPTERSAVLVNLKKGVTYEIKVRPYFNEFQGMDSESKTVRTTEEAPSAPPQSVTVLTVGSYNSTSISVSWDPPPPDHQNGIIQEYKIWCLGNETRFHINKTVDAAIRSVIIGGLFPGIQYRVEVAASTSAGVGVKSEPQPIIIGRRNEVVITENNNSITEQITDVVKQPAFIAGIGGACWVILMGFSIWLYWRRKKRKGLSNYAVTFQRGDGGLMSNGSRPGLLNAGDPSYPWLADSWPATSLPVNNSNSGPNEIGNFGRGDVLPPVPGQGDKTATMLSDGAIYSSIDFTTKTSYNSSSQITQATPYATTQILHSNSIHELAVDLPDPQWKSSIQQKTDLMGFGYSLPDQNKGNNGGKGGKKKKNKNSSKPQKNNGSTWANVPLPPPPVQPLPGTELEHYAVEQQENGYDSDSWCPPLPVQTYLHQGLEDELEEDDDRVPTPPVRGVASSPAISFGQQSTATLTPSPREEMQPMLQAHLDELTRAYQFDIAKQTWHIQSNNQPPQPPVPPLGYVSGALISDLETDVADDDADDEEEALEIPRPLRALDQTPGSSMDNLDSSVTGKAFTSSQRPRPTSPFSTDSNTSAALSQSQRPRPTKKHKGGRMDQQPALPHRREGMTDEEALVPYSKPSFPSPGGHSSSGTASSKGSTGPRKTEVLRAGHQRNASDLLDIGYMGSNSQGQFTGEL
+>DECOY_sp|Q9HCK4|ROBO2_HUMAN Roundabout homolog 2 OS=Homo sapiens OX=9606 GN=ROBO2 PE=1 SV=2
+LEGTFQGQSNSGMYGIDLLDSANRQHGARLVETKRPGTSGKSSATGSSSHGGPSPFSPKSYPVLAEEDTMGERRHPLAPQQDMRGGKHKKTPRPRQSQSLAASTNSDTSFPSTPRPRQSSTFAKGTVSSDLNDMSSGPTQDLARLPRPIELAEEEDDADDDAVDTELDSILAGSVYGLPPVPPQPPQNNSQIHWTQKAIDFQYARTLEDLHAQLMPQMEERPSPTLTATSQQGFSIAPSSAVGRVPPTPVRDDDEELEDELGQHLYTQVPLPPCWSDSDYGNEQQEVAYHELETGPLPQVPPPPLPVNAWTSGNNKQPKSSNKNKKKKGGKGGNNGKNQDPLSYGFGMLDTKQQISSKWQPDPLDVALEHISNSHLIQTTAYPTAQTIQSSSNYSTKTTFDISSYIAGDSLMTATKDGQGPVPPLVDGRGFNGIENPGSNSNNVPLSTAPWSDALWPYSPDGANLLGPRSGNSMLGGDGRQFTVAYNSLGKRKKRRWYLWISFGMLIVWCAGGIGAIFAPQKVVDTIQETISNNNETIVVENRRGIIIPQPESKVGVGASTSAAVEVRYQIGPFLGGIIVSRIAADVTKNIHFRTENGLCWIKYEQIIGNQHDPPPPDWSVSISTSNYSGVTLVTVSQPPASPAEETTRVTKSESDMGQFENFYPRVKIEYTVGKKLNVLVASRETPVKADLNQWSSTAQLGSTQRYMVRYGQIFQPQRDVTWTVQVTTPTLVVPNHLRVLVDGLEKQVQRHDVGQAPPSIDQTRVPDSMPSPDSLGQPNIARVMFLYITNPRLGRVTYLTTKVHNAVTQWSNSVSQSFAEIIYASAPLTGPTGPQWSLTVSNKTVDTVQPKSPPGPLDSLDYNKSITAGSETVDLVASWSTEGSSSTAVCTYTGTDSIRLNKIQLTGQEQITARPDRGPFTFGEKLWSIVPLPDGTAKCKLLATGDVALTQNAPGQLIIPPPRDTLVDTVELQAKALISGAVTLAQCIYYGADSRQINTITLDGTPSVSCRSNPQQPQNPFLLNQSGEKQWFVAPQPNGKTECPFTVTRGQAVIQDRPRVVFQPPARVTLTASAEMKGVRNEAICMYTGEDTSMTKKIRLTYDDKIDYRGRPLDADDKKWRVTPQPDGQVQCRFEVAEEELVVQNIPRRLFTPREFVTLEAPDSDREGVMNTGVCTYMGADSKRTNSIMLKGGRISIREEKDDIRVKDKKWYITPEPHGRPPQCELIAPEGAAVVVDTPNQRFDDRLLAVELSANRSVAEGLYNRAVCVYSGEDPKSRRGHVIRLFFLSGSPLLMRHSRPDDKDTEVREGDKYWEITPTPRGEAKCNLTTPEGKSVIVDSPHEVIRPPFDEQRLRSGDVRVYLFGCLLLQTFMLLSM
+>sp|O75116|ROCK2_HUMAN Rho-associated protein kinase 2 OS=Homo sapiens OX=9606 GN=ROCK2 PE=1 SV=4
+MSRPPPTGKMPGAPETAPGDGAGASRQRKLEALIRDPRSPINVESLLDGLNSLVLDLDFPALRKNKNIDNFLNRYEKIVKKIRGLQMKAEDYDVVKVIGRGAFGEVQLVRHKASQKVYAMKLLSKFEMIKRSDSAFFWEERDIMAFANSPWVVQLFYAFQDDRYLYMVMEYMPGGDLVNLMSNYDVPEKWAKFYTAEVVLALDAIHSMGLIHRDVKPDNMLLDKHGHLKLADFGTCMKMDETGMVHCDTAVGTPDYISPEVLKSQGGDGFYGRECDWWSVGVFLYEMLVGDTPFYADSLVGTYSKIMDHKNSLCFPEDAEISKHAKNLICAFLTDREVRLGRNGVEEIRQHPFFKNDQWHWDNIRETAAPVVPELSSDIDSSNFDDIEDDKGDVETFPIPKAFVGNQLPFIGFTYYRENLLLSDSPSCRETDSIQSRKNEESQEIQKKLYTLEEHLSNEMQAKEELEQKCKSVNTRLEKTAKELEEEITLRKSVESALRQLEREKALLQHKNAEYQRKADHEADKKRNLENDVNSLKDQLEDLKKRNQNSQISTEKVNQLQRQLDETNALLRTESDTAARLRKTQAESSKQIQQLESNNRDLQDKNCLLETAKLKLEKEFINLQSALESERRDRTHGSEIINDLQGRICGLEEDLKNGKILLAKVELEKRQLQERFTDLEKEKSNMEIDMTYQLKVIQQSLEQEEAEHKATKARLADKNKIYESIEEAKSEAMKEMEKKLLEERTLKQKVENLLLEAEKRCSLLDCDLKQSQQKINELLKQKDVLNEDVRNLTLKIEQETQKRCLTQNDLKMQTQQVNTLKMSEKQLKQENNHLMEMKMNLEKQNAELRKERQDADGQMKELQDQLEAEQYFSTLYKTQVRELKEECEEKTKLGKELQQKKQELQDERDSLAAQLEITLTKADSEQLARSIAEEQYSDLEKEKIMKELEIKEMMARHKQELTEKDATIASLEETNRTLTSDVANLANEKEELNNKLKDVQEQLSRLKDEEISAAAIKAQFEKQLLTERTLKTQAVNKLAEIMNRKEPVKRGNDTDVRRKEKENRKLHMELKSEREKLTQQMIKYQKELNEMQAQIAEESQIRIELQMTLDSKDSDIEQLRSQLQALHIGLDSSSIGSGPGDAEADDGFPESRLEGWLSLPVRNNTKKFGWVKKYVIVSSKKILFYDSEQDKEQSNPYMVLDIDKLFHVRPVTQTDVYRADAKEIPRIFQILYANEGESKKEQEFPVEPVGEKSNYICHKGHEFIPTLYHFPTNCEACMKPLWHMFKPPPALECRRCHIKCHKDHMDKKEEIIAPCKVYYDISTAKNLLLLANSTEEQQKWVSRLVKKIPKKPPAPDPFARSSPRTSMKIQQNQSIRRPSRQLAPNKPS
+>DECOY_sp|O75116|ROCK2_HUMAN Rho-associated protein kinase 2 OS=Homo sapiens OX=9606 GN=ROCK2 PE=1 SV=4
+SPKNPALQRSPRRISQNQQIKMSTRPSSRAFPDPAPPKKPIKKVLRSVWKQQEETSNALLLLNKATSIDYYVKCPAIIEEKKDMHDKHCKIHCRRCELAPPPKFMHWLPKMCAECNTPFHYLTPIFEHGKHCIYNSKEGVPEVPFEQEKKSEGENAYLIQFIRPIEKADARYVDTQTVPRVHFLKDIDLVMYPNSQEKDQESDYFLIKKSSVIVYKKVWGFKKTNNRVPLSLWGELRSEPFGDDAEADGPGSGISSSDLGIHLAQLQSRLQEIDSDKSDLTMQLEIRIQSEEAIQAQMENLEKQYKIMQQTLKERESKLEMHLKRNEKEKRRVDTDNGRKVPEKRNMIEALKNVAQTKLTRETLLQKEFQAKIAAASIEEDKLRSLQEQVDKLKNNLEEKENALNAVDSTLTRNTEELSAITADKETLEQKHRAMMEKIELEKMIKEKELDSYQEEAISRALQESDAKTLTIELQAALSDREDQLEQKKQQLEKGLKTKEECEEKLERVQTKYLTSFYQEAELQDQLEKMQGDADQREKRLEANQKELNMKMEMLHNNEQKLQKESMKLTNVQQTQMKLDNQTLCRKQTEQEIKLTLNRVDENLVDKQKLLENIKQQSQKLDCDLLSCRKEAELLLNEVKQKLTREELLKKEMEKMAESKAEEISEYIKNKDALRAKTAKHEAEEQELSQQIVKLQYTMDIEMNSKEKELDTFREQLQRKELEVKALLIKGNKLDEELGCIRGQLDNIIESGHTRDRRESELASQLNIFEKELKLKATELLCNKDQLDRNNSELQQIQKSSEAQTKRLRAATDSETRLLANTEDLQRQLQNVKETSIQSNQNRKKLDELQDKLSNVDNELNRKKDAEHDAKRQYEANKHQLLAKERELQRLASEVSKRLTIEEELEKATKELRTNVSKCKQELEEKAQMENSLHEELTYLKKQIEQSEENKRSQISDTERCSPSDSLLLNERYYTFGIFPLQNGVFAKPIPFTEVDGKDDEIDDFNSSDIDSSLEPVVPAATERINDWHWQDNKFFPHQRIEEVGNRGLRVERDTLFACILNKAHKSIEADEPFCLSNKHDMIKSYTGVLSDAYFPTDGVLMEYLFVGVSWWDCERGYFGDGGQSKLVEPSIYDPTGVATDCHVMGTEDMKMCTGFDALKLHGHKDLLMNDPKVDRHILGMSHIADLALVVEATYFKAWKEPVDYNSMLNVLDGGPMYEMVMYLYRDDQFAYFLQVVWPSNAFAMIDREEWFFASDSRKIMEFKSLLKMAYVKQSAKHRVLQVEGFAGRGIVKVVDYDEAKMQLGRIKKVIKEYRNLFNDINKNKRLAPFDLDLVLSNLGDLLSEVNIPSRPDRILAELKRQRSAGAGDGPATEPAGPMKGTPPPRSM
+>sp|Q9HAT0|ROP1A_HUMAN Ropporin-1A OS=Homo sapiens OX=9606 GN=ROPN1 PE=1 SV=2
+MAQTDKPTCIPPELPKMLKEFAKAAIRVQPQDLIQWAADYFEALSRGETPPVRERSERVALCNRAELTPELLKILHSQVAGRLIIRAEELAQMWKVVNLPTDLFNSVMNVGRFTEEIEWLKFLALACSALGVTITKTLKIVCEVLSCDHNGGSPRIPFSTFQFLYTYIAKVDGEISASHVSRMLNYMEQEVIGPDGIITVNDFTQNPRVQLE
+>DECOY_sp|Q9HAT0|ROP1A_HUMAN Ropporin-1A OS=Homo sapiens OX=9606 GN=ROPN1 PE=1 SV=2
+ELQVRPNQTFDNVTIIGDPGIVEQEMYNLMRSVHSASIEGDVKAIYTYLFQFTSFPIRPSGGNHDCSLVECVIKLTKTITVGLASCALALFKLWEIEETFRGVNMVSNFLDTPLNVVKWMQALEEARIILRGAVQSHLIKLLEPTLEARNCLAVRESRERVPPTEGRSLAEFYDAAWQILDQPQVRIAAKAFEKLMKPLEPPICTPKDTQAM
+>sp|Q8TA86|RP9_HUMAN Retinitis pigmentosa 9 protein OS=Homo sapiens OX=9606 GN=RP9 PE=1 SV=2
+MSSRPGREDVGAAGARRPREPPEQELQRRREQKRRRHDAQQLQQLKHLESFYEKPPPGLIKEDETKPEDCIPDVPGNEHAREFLAHAPTKGLWMPLGKEVKVMQCWRCKRYGHRTGDKECPFFIKGNQKLEQFRVAHEDPMYDIIRDNKRHEKDVRIQQLKQLLEDSTSDEDRSSSSSSEGKEKHKKKKKKEKHKKRKKEKKKKKKRKHKSSKSNEGSDSE
+>DECOY_sp|Q8TA86|RP9_HUMAN Retinitis pigmentosa 9 protein OS=Homo sapiens OX=9606 GN=RP9 PE=1 SV=2
+ESDSGENSKSSKHKRKKKKKKEKKRKKHKEKKKKKKHKEKGESSSSSSRDEDSTSDELLQKLQQIRVDKEHRKNDRIIDYMPDEHAVRFQELKQNGKIFFPCEKDGTRHGYRKCRWCQMVKVEKGLPMWLGKTPAHALFERAHENGPVDPICDEPKTEDEKILGPPPKEYFSELHKLQQLQQADHRRRKQERRRQLEQEPPERPRRAGAAGVDERGPRSSM
+>sp|P53803|RPAB4_HUMAN DNA-directed RNA polymerases I, II, and III subunit RPABC4 OS=Homo sapiens OX=9606 GN=POLR2K PE=1 SV=1
+MDTQKDVQPPKQQPMIYICGECHTENEIKSRDPIRCRECGYRIMYKKRTKRLVVFDAR
+>DECOY_sp|P53803|RPAB4_HUMAN DNA-directed RNA polymerases I, II, and III subunit RPABC4 OS=Homo sapiens OX=9606 GN=POLR2K PE=1 SV=1
+RADFVVLRKTRKKYMIRYGCERCRIPDRSKIENETHCEGCIYIMPQQKPPQVDKQTDM
+>sp|Q8IXW5|RPAP2_HUMAN Putative RNA polymerase II subunit B1 CTD phosphatase RPAP2 OS=Homo sapiens OX=9606 GN=RPAP2 PE=1 SV=1
+MADFAGPSSAGRKAGAPRCSRKAAGTKQTSTLKQEDASKRKAELEAAVRKKIEFERKALHIVEQLLEENITEEFLMECGRFITPAHYSDVVDERSIVKLCGYPLCQKKLGIVPKQKYKISTKTNKVYDITERKSFCSNFCYQASKFFEAQIPKTPVWVREEERHPDFQLLKEEQSGHSGEEVQLCSKAIKTSDIDNPSHFEKQYESSSSSTHSDSSSDNEQDFVSSILPGNRPNSTNIRPQLHQKSIMKKKAGHKANSKHKDKEQTVVDVTEQLGDCKLDSQEKDATCELPLQKVNTQSSSNSTLPERLKASENSESEYSRSEITLVGISKKSAEHFKRKFAKSNQVSRSVSSSVQVCPEVGKRNLLKVLKETLIEWKTEETLRFLYGQNYASVCLKPEASLVKEELDEDDIISDPDSHFPAWRESQNSLDESLPFRGSGTAIKPLPSYENLKKETEKLNLRIREFYRGRYVLGEETTKSQDSEEHDSTFPLIDSSSQNQIRKRIVLEKLSKVLPGLLVPLQITLGDIYTQLKNLVRTFRLTNRNIIHKPAEWTLIAMVLLSLLTPILGIQKHSQEGMVFTRFLDTLLEELHLKNEDLESLTIIFRTSCLPE
+>DECOY_sp|Q8IXW5|RPAP2_HUMAN Putative RNA polymerase II subunit B1 CTD phosphatase RPAP2 OS=Homo sapiens OX=9606 GN=RPAP2 PE=1 SV=1
+EPLCSTRFIITLSELDENKLHLEELLTDLFRTFVMGEQSHKQIGLIPTLLSLLVMAILTWEAPKHIINRNTLRFTRVLNKLQTYIDGLTIQLPVLLGPLVKSLKELVIRKRIQNQSSSDILPFTSDHEESDQSKTTEEGLVYRGRYFERIRLNLKETEKKLNEYSPLPKIATGSGRFPLSEDLSNQSERWAPFHSDPDSIIDDEDLEEKVLSAEPKLCVSAYNQGYLFRLTEETKWEILTEKLVKLLNRKGVEPCVQVSSSVSRSVQNSKAFKRKFHEASKKSIGVLTIESRSYESESNESAKLREPLTSNSSSQTNVKQLPLECTADKEQSDLKCDGLQETVDVVTQEKDKHKSNAKHGAKKKMISKQHLQPRINTSNPRNGPLISSVFDQENDSSSDSHTSSSSSEYQKEFHSPNDIDSTKIAKSCLQVEEGSHGSQEEKLLQFDPHREEERVWVPTKPIQAEFFKSAQYCFNSCFSKRETIDYVKNTKTSIKYKQKPVIGLKKQCLPYGCLKVISREDVVDSYHAPTIFRGCEMLFEETINEELLQEVIHLAKREFEIKKRVAAELEAKRKSADEQKLTSTQKTGAAKRSCRPAGAKRGASSPGAFDAM
+>sp|Q9H6T3|RPAP3_HUMAN RNA polymerase II-associated protein 3 OS=Homo sapiens OX=9606 GN=RPAP3 PE=1 SV=2
+MTSANKAIELQLQVKQNAEELQDFMRDLENWEKDIKQKDMELRRQNGVPEENLPPIRNGNFRKKKKGKAKESSKKTREENTKNRIKSYDYEAWAKLDVDRILDELDKDDSTHESLSQESESEEDGIHVDSQKALVLKEKGNKYFKQGKYDEAIDCYTKGMDADPYNPVLPTNRASAYFRLKKFAVAESDCNLAVALNRSYTKAYSRRGAARFALQKLEEAKKDYERVLELEPNNFEATNELRKISQALASKENSYPKEADIVIKSTEGERKQIEAQQNKQQAISEKDRGNGFFKEGKYERAIECYTRGIAADGANALLPANRAMAYLKIQKYEEAEKDCTQAILLDGSYSKAFARRGTARTFLGKLNEAKQDFETVLLLEPGNKQAVTELSKIKKELIEKGHWDDVFLDSTQRQNVVKPIDNPPHPGSTKPLKKVIIEETGNLIQTIDVPDSTTAAAPENNPINLANVIAATGTTSKKNSSQDDLFPTSDTPRAKVLKIEEVSDTSSLQPQASLKQDVCQSYSEKMPIEIEQKPAQFATTVLPPIPANSFQLESDFRQLKSSPDMLYQYLKQIEPSLYPKLFQKNLDPDVFNQIVKILHDFYIEKEKPLLIFEILQRLSELKRFDMAVMFMSETEKKIARALFNHIDKSGLKDSSVEELKKRYGG
+>DECOY_sp|Q9H6T3|RPAP3_HUMAN RNA polymerase II-associated protein 3 OS=Homo sapiens OX=9606 GN=RPAP3 PE=1 SV=2
+GGYRKKLEEVSSDKLGSKDIHNFLARAIKKETESMFMVAMDFRKLESLRQLIEFILLPKEKEIYFDHLIKVIQNFVDPDLNKQFLKPYLSPEIQKLYQYLMDPSSKLQRFDSELQFSNAPIPPLVTTAFQAPKQEIEIPMKESYSQCVDQKLSAQPQLSSTDSVEEIKLVKARPTDSTPFLDDQSSNKKSTTGTAAIVNALNIPNNEPAAATTSDPVDITQILNGTEEIIVKKLPKTSGPHPPNDIPKVVNQRQTSDLFVDDWHGKEILEKKIKSLETVAQKNGPELLLVTEFDQKAENLKGLFTRATGRRAFAKSYSGDLLIAQTCDKEAEEYKQIKLYAMARNAPLLANAGDAAIGRTYCEIAREYKGEKFFGNGRDKESIAQQKNQQAEIQKREGETSKIVIDAEKPYSNEKSALAQSIKRLENTAEFNNPELELVREYDKKAEELKQLAFRAAGRRSYAKTYSRNLAVALNCDSEAVAFKKLRFYASARNTPLVPNYPDADMGKTYCDIAEDYKGQKFYKNGKEKLVLAKQSDVHIGDEESESEQSLSEHTSDDKDLEDLIRDVDLKAWAEYDYSKIRNKTNEERTKKSSEKAKGKKKKRFNGNRIPPLNEEPVGNQRRLEMDKQKIDKEWNELDRMFDQLEEANQKVQLQLEIAKNASTM
+>sp|Q9H1A7|RPB1C_HUMAN DNA-directed RNA polymerase II subunit RPB11-b2 OS=Homo sapiens OX=9606 GN=POLR2J3 PE=3 SV=1
+MNAPPAFESFLLFEGEKITINKDTKVPNACLFTMNKEDHTLGNIIKSQLLKDPQVLFAGYKVPHPLEHKIIIRVQTTPDYSPQEAFTNAITDLISELSLLEERFRTCLLPLRLLP
+>DECOY_sp|Q9H1A7|RPB1C_HUMAN DNA-directed RNA polymerase II subunit RPB11-b2 OS=Homo sapiens OX=9606 GN=POLR2J3 PE=3 SV=1
+PLLRLPLLCTRFREELLSLESILDTIANTFAEQPSYDPTTQVRIIIKHELPHPVKYGAFLVQPDKLLQSKIINGLTHDEKNMTFLCANPVKTDKNITIKEGEFLLFSEFAPPANM
+>sp|P30876|RPB2_HUMAN DNA-directed RNA polymerase II subunit RPB2 OS=Homo sapiens OX=9606 GN=POLR2B PE=1 SV=1
+MYDADEDMQYDEDDDEITPDLWQEACWIVISSYFDEKGLVRQQLDSFDEFIQMSVQRIVEDAPPIDLQAEAQHASGEVEEPPRYLLKFEQIYLSKPTHWERDGAPSPMMPNEARLRNLTYSAPLYVDITKTVIKEGEEQLQTQHQKTFIGKIPIMLRSTYCLLNGLTDRDLCELNECPLDPGGYFIINGSEKVLIAQEKMATNTVYVFAKKDSKYAYTGECRSCLENSSRPTSTIWVSMLARGGQGAKKSAIGQRIVATLPYIKQEVPIIIVFRALGFVSDRDILEHIIYDFEDPEMMEMVKPSLDEAFVIQEQNVALNFIGSRGAKPGVTKEKRIKYAKEVLQKEMLPHVGVSDFCETKKAYFLGYMVHRLLLAALGRRELDDRDHYGNKRLDLAGPLLAFLFRGMFKNLLKEVRIYAQKFIDRGKDFNLELAIKTRIISDGLKYSLATGNWGDQKKAHQARAGVSQVLNRLTFASTLSHLRRLNSPIGRDGKLAKPRQLHNTLWGMVCPAETPEGHAVGLVKNLALMAYISVGSQPSPILEFLEEWSMENLEEISPAAIADATKIFVNGCWVGIHKDPEQLMNTLRKLRRQMDIIVSEVSMIRDIREREIRIYTDAGRICRPLLIVEKQKLLLKKRHIDQLKEREYNNYSWQDLVASGVVEYIDTLEEETVMLAMTPDDLQEKEVAYCSTYTHCEIHPSMILGVCASIIPFPDHNQSPRNTYQSAMGKQAMGVYITNFHVRMDTLAHVLYYPQKPLVTTRSMEYLRFRELPAGINSIVAIASYTGYNQEDSVIMNRSAVDRGFFRSVFYRSYKEQESKKGFDQEEVFEKPTRETCQGMRHAIYDKLDDDGLIAPGVRVSGDDVIIGKTVTLPENEDELESTNRRYTKRDCSTFLRTSETGIVDQVMVTLNQEGYKFCKIRVRSVRIPQIGDKFASRHGQKGTCGIQYRQEDMPFTCEGITPDIIINPHAIPSRMTIGHLIECLQGKVSANKGEIGDATPFNDAVNVQKISNLLSDYGYHLRGNEVLYNGFTGRKITSQIFIGPTYYQRLKHMVDDKIHSRARGPIQILNRQPMEGRSRDGGLRFGEMERDCQIAHGAAQFLRERLFEASDPYQVHVCNLCGIMAIANTRTHTYECRGCRNKTQISLVRMPYACKLLFQELMSMSIAPRMMSV
+>DECOY_sp|P30876|RPB2_HUMAN DNA-directed RNA polymerase II subunit RPB2 OS=Homo sapiens OX=9606 GN=POLR2B PE=1 SV=1
+VSMMRPAISMSMLEQFLLKCAYPMRVLSIQTKNRCGRCEYTHTRTNAIAMIGCLNCVHVQYPDSAEFLRERLFQAAGHAIQCDREMEGFRLGGDRSRGEMPQRNLIQIPGRARSHIKDDVMHKLRQYYTPGIFIQSTIKRGTFGNYLVENGRLHYGYDSLLNSIKQVNVADNFPTADGIEGKNASVKGQLCEILHGITMRSPIAHPNIIIDPTIGECTFPMDEQRYQIGCTGKQGHRSAFKDGIQPIRVSRVRIKCFKYGEQNLTVMVQDVIGTESTRLFTSCDRKTYRRNTSELEDENEPLTVTKGIIVDDGSVRVGPAILGDDDLKDYIAHRMGQCTERTPKEFVEEQDFGKKSEQEKYSRYFVSRFFGRDVASRNMIVSDEQNYGTYSAIAVISNIGAPLERFRLYEMSRTTVLPKQPYYLVHALTDMRVHFNTIYVGMAQKGMASQYTNRPSQNHDPFPIISACVGLIMSPHIECHTYTSCYAVEKEQLDDPTMALMVTEEELTDIYEVVGSAVLDQWSYNNYEREKLQDIHRKKLLLKQKEVILLPRCIRGADTYIRIERERIDRIMSVESVIIDMQRRLKRLTNMLQEPDKHIGVWCGNVFIKTADAIAAPSIEELNEMSWEELFELIPSPQSGVSIYAMLALNKVLGVAHGEPTEAPCVMGWLTNHLQRPKALKGDRGIPSNLRRLHSLTSAFTLRNLVQSVGARAQHAKKQDGWNGTALSYKLGDSIIRTKIALELNFDKGRDIFKQAYIRVEKLLNKFMGRFLFALLPGALDLRKNGYHDRDDLERRGLAALLLRHVMYGLFYAKKTECFDSVGVHPLMEKQLVEKAYKIRKEKTVGPKAGRSGIFNLAVNQEQIVFAEDLSPKVMEMMEPDEFDYIIHELIDRDSVFGLARFVIIIPVEQKIYPLTAVIRQGIASKKAGQGGRALMSVWITSTPRSSNELCSRCEGTYAYKSDKKAFVYVTNTAMKEQAILVKESGNIIFYGGPDLPCENLECLDRDTLGNLLCYTSRLMIPIKGIFTKQHQTQLQEEGEKIVTKTIDVYLPASYTLNRLRAENPMMPSPAGDREWHTPKSLYIQEFKLLYRPPEEVEGSAHQAEAQLDIPPADEVIRQVSMQIFEDFSDLQQRVLGKEDFYSSIVIWCAEQWLDPTIEDDDEDYQMDEDADYM
+>sp|P19387|RPB3_HUMAN DNA-directed RNA polymerase II subunit RPB3 OS=Homo sapiens OX=9606 GN=POLR2C PE=1 SV=2
+MPYANQPTVRITELTDENVKFIIENTDLAVANSIRRVFIAEVPIIAIDWVQIDANSSVLHDEFIAHRLGLIPLISDDIVDKLQYSRDCTCEEFCPECSVEFTLDVRCNEDQTRHVTSRDLISNSPRVIPVTSRNRDNDPNDYVEQDDILIVKLRKGQELRLRAYAKKGFGKEHAKWNPTAGVAFEYDPDNALRHTVYPKPEEWPKSEYSELDEDESQAPYDPNGKPERFYYNVESCGSLRPETIVLSALSGLKKKLSDLQTQLSHEIQSDVLTIN
+>DECOY_sp|P19387|RPB3_HUMAN DNA-directed RNA polymerase II subunit RPB3 OS=Homo sapiens OX=9606 GN=POLR2C PE=1 SV=2
+NITLVDSQIEHSLQTQLDSLKKKLGSLASLVITEPRLSGCSEVNYYFREPKGNPDYPAQSEDEDLESYESKPWEEPKPYVTHRLANDPDYEFAVGATPNWKAHEKGFGKKAYARLRLEQGKRLKVILIDDQEVYDNPDNDRNRSTVPIVRPSNSILDRSTVHRTQDENCRVDLTFEVSCEPCFEECTCDRSYQLKDVIDDSILPILGLRHAIFEDHLVSSNADIQVWDIAIIPVEAIFVRRISNAVALDTNEIIFKVNEDTLETIRVTPQNAYPM
+>sp|P62487|RPB7_HUMAN DNA-directed RNA polymerase II subunit RPB7 OS=Homo sapiens OX=9606 GN=POLR2G PE=1 SV=1
+MFYHISLEHEILLHPRYFGPNLLNTVKQKLFTEVEGTCTGKYGFVIAVTTIDNIGAGVIQPGRGFVLYPVKYKAIVFRPFKGEVVDAVVTQVNKVGLFTEIGPMSCFISRHSIPSEMEFDPNSNPPCYKTMDEDIVIQQDDEIRLKIVGTRVDKNDIFAIGSLMDDYLGLVS
+>DECOY_sp|P62487|RPB7_HUMAN DNA-directed RNA polymerase II subunit RPB7 OS=Homo sapiens OX=9606 GN=POLR2G PE=1 SV=1
+SVLGLYDDMLSGIAFIDNKDVRTGVIKLRIEDDQQIVIDEDMTKYCPPNSNPDFEMESPISHRSIFCSMPGIETFLGVKNVQTVVADVVEGKFPRFVIAKYKVPYLVFGRGPQIVGAGINDITTVAIVFGYKGTCTGEVETFLKQKVTNLLNPGFYRPHLLIEHELSIHYFM
+>sp|P36954|RPB9_HUMAN DNA-directed RNA polymerase II subunit RPB9 OS=Homo sapiens OX=9606 GN=POLR2I PE=1 SV=1
+MEPDGTYEPGFVGIRFCQECNNMLYPKEDKENRILLYACRNCDYQQEADNSCIYVNKITHEVDELTQIIADVSQDPTLPRTEDHPCQKCGHKEAVFFQSHSARAEDAMRLYYVCTAPHCGHRWTE
+>DECOY_sp|P36954|RPB9_HUMAN DNA-directed RNA polymerase II subunit RPB9 OS=Homo sapiens OX=9606 GN=POLR2I PE=1 SV=1
+ETWRHGCHPATCVYYLRMADEARASHSQFFVAEKHGCKQCPHDETRPLTPDQSVDAIIQTLEDVEHTIKNVYICSNDAEQQYDCNRCAYLLIRNEKDEKPYLMNNCEQCFRIGVFGPEYTGDPEM
+>sp|P0DPB5|RPC22_HUMAN Protein POLR1D, isoform 2 OS=Homo sapiens OX=9606 GN=POLR1D PE=1 SV=1
+MEEDQELERKAIEELLKEAKRGKTRAETMGPMGWMKCPLASTNKRFLINTIKNTLPSHKEQDHEQKEGDKEPAKSQAQKEENPKKHRSHPYKHSFRARGSASYSPPRKRSSQDKYEKRSNRR
+>DECOY_sp|P0DPB5|RPC22_HUMAN Protein POLR1D, isoform 2 OS=Homo sapiens OX=9606 GN=POLR1D PE=1 SV=1
+RRNSRKEYKDQSSRKRPPSYSASGRARFSHKYPHSRHKKPNEEKQAQSKAPEKDGEKQEHDQEKHSPLTNKITNILFRKNTSALPCKMWGMPGMTEARTKGRKAEKLLEEIAKRELEQDEEM
+>sp|Q9NW08|RPC2_HUMAN DNA-directed RNA polymerase III subunit RPC2 OS=Homo sapiens OX=9606 GN=POLR3B PE=1 SV=2
+MDVLAEEFGNLTPEQLAAPIPTVEEKWRLLPAFLKVKGLVKQHIDSFNYFINVEIKKIMKANEKVTSDADPMWYLKYLNIYVGLPDVEESFNVTRPVSPHECRLRDMTYSAPITVDIEYTRGSQRIIRNALPIGRMPIMLRSSNCVLTGKTPAEFAKLNECPLDPGGYFIVKGVEKVILIQEQLSKNRIIVEADRKGAVGASVTSSTHEKKSRTNMAVKQGRFYLRHNTLSEDIPIVIIFKAMGVESDQEIVQMIGTEEHVMAAFGPSLEECQKAQIFTQMQALKYIGNKVRRQRMWGGGPKKTKIEEARELLASTILTHVPVKEFNFRAKCIYTAVMVRRVILAQGDNKVDDRDYYGNKRLELAGQLLSLLFEDLFKKFNSEMKKIADQVIPKQRAAQFDVVKHMRQDQITNGMVNAISTGNWSLKRFKMDRQGVTQVLSRLSYISALGMMTRISSQFEKTRKVSGPRSLQPSQWGMLCPSDTPEGEACGLVKNLALMTHITTDMEDGPIVKLASNLGVEDVNLLCGEELSYPNVFLVFLNGNILGVIRDHKKLVNTFRLMRRAGYINEFVSISTNLTDRCVYISSDGGRLCRPYIIVKKQKPAVTNKHMEELAQGYRNFEDFLHESLVEYLDVNEENDCNIALYEHTINKDTTHLEIEPFTLLGVCAGLIPYPHHNQSPRNTYQCAMGKQAMGTIGYNQRNRIDTLMYLLAYPQKPMVKTKTIELIEFEKLPAGQNATVAVMSYSGYDIEDALVLNKASLDRGFGRCLVYKNAKCTLKRYTNQTFDKVMGPMLDAATRKPIWRHEILDADGICSPGEKVENKQVLVNKSMPTVTQIPLEGSNVPQQPQYKDVPITYKGATDSYIEKVMISSNAEDAFLIKMLLRQTRRPEIGDKFSSRHGQKGVCGLIVPQEDMPFCDSGICPDIIMNPHGFPSRMTVGKLIELLAGKAGVLDGRFHYGTAFGGSKVKDVCEDLVRHGYNYLGKDYVTSGITGEPLEAYIYFGPVYYQKLKHMVLDKMHARARGPRAVLTRQPTEGRSRDGGLRLGEMERDCLIGYGASMLLLERLMISSDAFEVDVCGQCGLLGYSGWCHYCKSSCHVSSLRIPYACKLLFQELQSMNIIPRLKLSKYNE
+>DECOY_sp|Q9NW08|RPC2_HUMAN DNA-directed RNA polymerase III subunit RPC2 OS=Homo sapiens OX=9606 GN=POLR3B PE=1 SV=2
+ENYKSLKLRPIINMSQLEQFLLKCAYPIRLSSVHCSSKCYHCWGSYGLLGCQGCVDVEFADSSIMLRELLLMSAGYGILCDREMEGLRLGGDRSRGETPQRTLVARPGRARAHMKDLVMHKLKQYYVPGFYIYAELPEGTIGSTVYDKGLYNYGHRVLDECVDKVKSGGFATGYHFRGDLVGAKGALLEILKGVTMRSPFGHPNMIIDPCIGSDCFPMDEQPVILGCVGKQGHRSSFKDGIEPRRTQRLLMKILFADEANSSIMVKEIYSDTAGKYTIPVDKYQPQQPVNSGELPIQTVTPMSKNVLVQKNEVKEGPSCIGDADLIEHRWIPKRTAADLMPGMVKDFTQNTYRKLTCKANKYVLCRGFGRDLSAKNLVLADEIDYGSYSMVAVTANQGAPLKEFEILEITKTKVMPKQPYALLYMLTDIRNRQNYGITGMAQKGMACQYTNRPSQNHHPYPILGACVGLLTFPEIELHTTDKNITHEYLAINCDNEENVDLYEVLSEHLFDEFNRYGQALEEMHKNTVAPKQKKVIIYPRCLRGGDSSIYVCRDTLNTSISVFENIYGARRMLRFTNVLKKHDRIVGLINGNLFVLFVNPYSLEEGCLLNVDEVGLNSALKVIPGDEMDTTIHTMLALNKVLGCAEGEPTDSPCLMGWQSPQLSRPGSVKRTKEFQSSIRTMMGLASIYSLRSLVQTVGQRDMKFRKLSWNGTSIANVMGNTIQDQRMHKVVDFQAARQKPIVQDAIKKMESNFKKFLDEFLLSLLQGALELRKNGYYDRDDVKNDGQALIVRRVMVATYICKARFNFEKVPVHTLITSALLERAEEIKTKKPGGGWMRQRRVKNGIYKLAQMQTFIQAKQCEELSPGFAAMVHEETGIMQVIEQDSEVGMAKFIIVIPIDESLTNHRLYFRGQKVAMNTRSKKEHTSSTVSAGVAGKRDAEVIIRNKSLQEQILIVKEVGKVIFYGGPDLPCENLKAFEAPTKGTLVCNSSRLMIPMRGIPLANRIIRQSGRTYEIDVTIPASYTMDRLRCEHPSVPRTVNFSEEVDPLGVYINLYKLYWMPDADSTVKENAKMIKKIEVNIFYNFSDIHQKVLGKVKLFAPLLRWKEEVTPIPAALQEPTLNGFEEALVDM
+>sp|Q13905|RPGF1_HUMAN Rap guanine nucleotide exchange factor 1 OS=Homo sapiens OX=9606 GN=RAPGEF1 PE=1 SV=3
+MDTDSQRSHLSSFTMKLMDKFHSPKIKRTPSKKGKPAEVSVKIPEKPVNKEATDRFLPEGYPLPLDLEQQAVEFMSTSAVASRSQRQKNLSWLEEKEKEVVSALRYFKTIVDKMAIDKKVLEMLPGSASKVLEAILPLVQNDPRIQHSSALSSCYSRVYQSLANLIRWSDQVMLEGVNSEDKEMVTTVKGVIKAVLDGVKELVRLTIEKQGRPSPTSPVKPSSPASKPDGPAELPLTDREVEILNKTTGMSQSTELLPDATDEEVAPPKPPLPGIRVVDNSPPPALPPKKRQSAPSPTRVAVVAPMSRATSGSSLPVGINRQDFDVDCYAQRRLSGGSHSYGGESPRLSPCSSIGKLSKSDEQLSSLDRDSGQCSRNTSCETLDHYDPDYEFLQQDLSNADQIPQQTAWNLSPLPESLGESGSPFLGPPFQLPLGGHPQPDGPLAPGQQTDTPPALPEKKRRSAASQTADGSGCRVSYERHPSQYDNISGEDLQSTAPIPSVPYAPFAAILPFQHGGSSAPVEFVGDFTAPESTGDPEKPPPLPEKKNKHMLAYMQLLEDYSEPQPSMFYQTPQNEHIYQQKNKLLMEVYGFSDSFSGVDSVQELAPPPALPPKQRQLEPPAGKDGHPRDPSAVSGVPGKDSRDGSERAPKSPDALESAQSEEEVDELSLIDHNEIMSRLTLKQEGDDGPDVRGGSGDILLVHATETDRKDLVLYCEAFLTTYRTFISPEELIKKLQYRYEKFSPFADTFKKRVSKNTFFVLVRVVDELCLVELTEEILKLLMELVFRLVCNGELSLARVLRKNILDKVDQKKLLRCATSSQPLAARGVAARPGTLHDFHSHEIAEQLTLLDAELFYKIEIPEVLLWAKEQNEEKSPNLTQFTEHFNNMSYWVRSIIMLQEKAQDRERLLLKFIKIMKHLRKLNNFNSYLAILSALDSAPIRRLEWQKQTSEGLAEYCTLIDSSSSFRAYRAALSEVEPPCIPYLGLILQDLTFVHLGNPDYIDGKVNFSKRWQQFNILDSMRCFQQAHYDMRRNDDIINFFNDFSDHLAEEALWELSLKIKPRNITRRKTDREEKT
+>DECOY_sp|Q13905|RPGF1_HUMAN Rap guanine nucleotide exchange factor 1 OS=Homo sapiens OX=9606 GN=RAPGEF1 PE=1 SV=3
+TKEERDTKRRTINRPKIKLSLEWLAEEALHDSFDNFFNIIDDNRRMDYHAQQFCRMSDLINFQQWRKSFNVKGDIYDPNGLHVFTLDQLILGLYPICPPEVESLAARYARFSSSSDILTCYEALGESTQKQWELRRIPASDLASLIALYSNFNNLKRLHKMIKIFKLLLRERDQAKEQLMIISRVWYSMNNFHETFQTLNPSKEENQEKAWLLVEPIEIKYFLEADLLTLQEAIEHSHFDHLTGPRAAVGRAALPQSSTACRLLKKQDVKDLINKRLVRALSLEGNCVLRFVLEMLLKLIEETLEVLCLEDVVRVLVFFTNKSVRKKFTDAFPSFKEYRYQLKKILEEPSIFTRYTTLFAECYLVLDKRDTETAHVLLIDGSGGRVDPGDDGEQKLTLRSMIENHDILSLEDVEEESQASELADPSKPARESGDRSDKGPVGSVASPDRPHGDKGAPPELQRQKPPLAPPPALEQVSDVGSFSDSFGYVEMLLKNKQQYIHENQPTQYFMSPQPESYDELLQMYALMHKNKKEPLPPPKEPDGTSEPATFDGVFEVPASSGGHQFPLIAAFPAYPVSPIPATSQLDEGSINDYQSPHREYSVRCGSGDATQSAASRRKKEPLAPPTDTQQGPALPGDPQPHGGLPLQFPPGLFPSGSEGLSEPLPSLNWATQQPIQDANSLDQQLFEYDPDYHDLTECSTNRSCQGSDRDLSSLQEDSKSLKGISSCPSLRPSEGGYSHSGGSLRRQAYCDVDFDQRNIGVPLSSGSTARSMPAVVAVRTPSPASQRKKPPLAPPPSNDVVRIGPLPPKPPAVEEDTADPLLETSQSMGTTKNLIEVERDTLPLEAPGDPKSAPSSPKVPSTPSPRGQKEITLRVLEKVGDLVAKIVGKVTTVMEKDESNVGELMVQDSWRILNALSQYVRSYCSSLASSHQIRPDNQVLPLIAELVKSASGPLMELVKKDIAMKDVITKFYRLASVVEKEKEELWSLNKQRQSRSAVASTSMFEVAQQELDLPLPYGEPLFRDTAEKNVPKEPIKVSVEAPKGKKSPTRKIKPSHFKDMLKMTFSSLHSRQSDTDM
+>sp|Q9Y4G8|RPGF2_HUMAN Rap guanine nucleotide exchange factor 2 OS=Homo sapiens OX=9606 GN=RAPGEF2 PE=1 SV=1
+MKPLAIPANHGVMGQQEKHSLPADFTKLHLTDSLHPQVTHVSSSHSGCSITSDSGSSSLSDIYQATESEAGDMDLSGLPETAVDSEDDDDEEDIERASDPLMSRDIVRDCLEKDPIDRTDDDIEQLLEFMHQLPAFANMTMSVRRELCAVMVFAVVERAGTIVLNDGEELDSWSVILNGSVEVTYPDGKAEILCMGNSFGVSPTMDKEYMKGVMRTKVDDCQFVCIAQQDYCRILNQVEKNMQKVEEEGEIVMVKEHRELDRTGTRKGHIVIKGTSERLTMHLVEEHSVVDPTFIEDFLLTYRTFLSSPMEVGKKLLEWFNDPSLRDKVTRVVLLWVNNHFNDFEGDPAMTRFLEEFENNLEREKMGGHLRLLNIACAAKAKRRLMTLTKPSREAPLPFILLGGSEKGFGIFVDSVDSGSKATEAGLKRGDQILEVNGQNFENIQLSKAMEILRNNTHLSITVKTNLFVFKELLTRLSEEKRNGAPHLPKIGDIKKASRYSIPDLAVDVEQVIGLEKVNKKSKANTVGGRNKLKKILDKTRISILPQKPYNDIGIGQSQDDSIVGLRQTKHIPTALPVSGTLSSSNPDLLQSHHRILDFSATPDLPDQVLRVFKADQQSRYIMISKDTTAKEVVIQAIREFAVTATPDQYSLCEVSVTPEGVIKQRRLPDQLSKLADRIQLSGRYYLKNNMETETLCSDEDAQELLRESQISLLQLSTVEVATQLSMRNFELFRNIEPTEYIDDLFKLRSKTSCANLKRFEEVINQETFWVASEILRETNQLKRMKIIKHFIKIALHCRECKNFNSMFAIISGLNLAPVARLRTTWEKLPNKYEKLFQDLQDLFDPSRNMAKYRNVLNSQNLQPPIIPLFPVIKKDLTFLHEGNDSKVDGLVNFEKLRMIAKEIRHVGRMASVNMDPALMFRTRKKKWRSLGSLSQGSTNATVLDVAQTGGHKKRVRRSSFLNAKKLYEDAQMARKVKQYLSNLELEMDEESLQTLSLQCEPATNTLPKNPGDKKPVKSETSPVAPRAGSQQKAQSLPQPQQQPPPAHKINQGLQVPAVSLYPSRKKVPVKDLPPFGINSPQALKKILSLSEEGSLERHKKQAEDTISNASSQLSSPPTSPQSSPRKGYTLAPSGTVDNFSDSGHSEISSRSSIVSNSSFDSVPVSLHDERRQRHSVSIVETNLGMGRMERRTMIEPDQYSLGSYAPMSEGRGLYATATVISSPSTEELSQDQGDRASLDAADSGRGSWTSCSSGSHDNIQTIQHQRSWETLPFGHTHFDYSGDPAGLWASSSHMDQIMFSDHSTKYNRQNQSRESLEQAQSRASWASSTGYWGEDSEGDTGTIKRRGGKDVSIEAESSSLTSVTTEETKPVPMPAHIAVASSTTKGLIARKEGRYREPPPTPPGYIGIPITDFPEGHSHPARKPPDYNVALQRSRMVARSSDTAGPSSVQQPHGHPTSSRPVNKPQWHKPNESDPRLAPYQSQGFSTEEDEDEQVSAV
+>DECOY_sp|Q9Y4G8|RPGF2_HUMAN Rap guanine nucleotide exchange factor 2 OS=Homo sapiens OX=9606 GN=RAPGEF2 PE=1 SV=1
+VASVQEDEDEETSFGQSQYPALRPDSENPKHWQPKNVPRSSTPHGHPQQVSSPGATDSSRAVMRSRQLAVNYDPPKRAPHSHGEPFDTIPIGIYGPPTPPPERYRGEKRAILGKTTSSAVAIHAPMPVPKTEETTVSTLSSSEAEISVDKGGRRKITGTDGESDEGWYGTSSAWSARSQAQELSERSQNQRNYKTSHDSFMIQDMHSSSAWLGAPDGSYDFHTHGFPLTEWSRQHQITQINDHSGSSCSTWSGRGSDAADLSARDGQDQSLEETSPSSIVTATAYLGRGESMPAYSGLSYQDPEIMTRREMRGMGLNTEVISVSHRQRREDHLSVPVSDFSSNSVISSRSSIESHGSDSFNDVTGSPALTYGKRPSSQPSTPPSSLQSSANSITDEAQKKHRELSGEESLSLIKKLAQPSNIGFPPLDKVPVKKRSPYLSVAPVQLGQNIKHAPPPQQQPQPLSQAKQQSGARPAVPSTESKVPKKDGPNKPLTNTAPECQLSLTQLSEEDMELELNSLYQKVKRAMQADEYLKKANLFSSRRVRKKHGGTQAVDLVTANTSGQSLSGLSRWKKKRTRFMLAPDMNVSAMRGVHRIEKAIMRLKEFNVLGDVKSDNGEHLFTLDKKIVPFLPIIPPQLNQSNLVNRYKAMNRSPDFLDQLDQFLKEYKNPLKEWTTRLRAVPALNLGSIIAFMSNFNKCERCHLAIKIFHKIIKMRKLQNTERLIESAVWFTEQNIVEEFRKLNACSTKSRLKFLDDIYETPEINRFLEFNRMSLQTAVEVTSLQLLSIQSERLLEQADEDSCLTETEMNNKLYYRGSLQIRDALKSLQDPLRRQKIVGEPTVSVECLSYQDPTATVAFERIAQIVVEKATTDKSIMIYRSQQDAKFVRLVQDPLDPTASFDLIRHHSQLLDPNSSSLTGSVPLATPIHKTQRLGVISDDQSQGIGIDNYPKQPLISIRTKDLIKKLKNRGGVTNAKSKKNVKELGIVQEVDVALDPISYRSAKKIDGIKPLHPAGNRKEESLRTLLEKFVFLNTKVTISLHTNNRLIEMAKSLQINEFNQGNVELIQDGRKLGAETAKSGSDVSDVFIGFGKESGGLLIFPLPAERSPKTLTMLRRKAKAACAINLLRLHGGMKERELNNEFEELFRTMAPDGEFDNFHNNVWLLVVRTVKDRLSPDNFWELLKKGVEMPSSLFTRYTLLFDEIFTPDVVSHEEVLHMTLRESTGKIVIHGKRTGTRDLERHEKVMVIEGEEEVKQMNKEVQNLIRCYDQQAICVFQCDDVKTRMVGKMYEKDMTPSVGFSNGMCLIEAKGDPYTVEVSGNLIVSWSDLEEGDNLVITGAREVVAFVMVACLERRVSMTMNAFAPLQHMFELLQEIDDDTRDIPDKELCDRVIDRSMLPDSAREIDEEDDDDESDVATEPLGSLDMDGAESETAQYIDSLSSSGSDSTISCGSHSSSVHTVQPHLSDTLHLKTFDAPLSHKEQQGMVGHNAPIALPKM
+>sp|O95398|RPGF3_HUMAN Rap guanine nucleotide exchange factor 3 OS=Homo sapiens OX=9606 GN=RAPGEF3 PE=1 SV=6
+MKVGWPGESCWQVGLAVEDSPALGAPRVGALPDVVPEGTLLNMVLRRMHRPRSCSYQLLLEHQRPSCIQGLRWTPLTNSEESLDFSESLEQASTERVLRAGRQLHRHLLATCPNLIRDRKYHLRLYRQCCSGRELVDGILALGLGVHSRSQVVGICQVLLDEGALCHVKHDWAFQDRDAQFYRFPGPEPEPVRTHEMEEELAEAVALLSQRGPDALLTVALRKPPGQRTDEELDLIFEELLHIKAVAHLSNSVKRELAAVLLFEPHSKAGTVLFSQGDKGTSWYIIWKGSVNVVTHGKGLVTTLHEGDDFGQLALVNDAPRAATIILREDNCHFLRVDKQDFNRIIKDVEAKTMRLEEHGKVVLVLERASQGAGPSRPPTPGRNRYTVMSGTPEKILELLLEAMGPDSSAHDPTETFLSDFLLTHRVFMPSAQLCAALLHHFHVEPAGGSEQERSTYVCNKRQQILRLVSQWVALYGSMLHTDPVATSFLQKLSDLVGRDTRLSNLLREQWPERRRCHRLENGCGNASPQMKARNLPVWLPNQDEPLPGSSCAIQVGDKVPYDICRPDHSVLTLQLPVTASVREVMAALAQEDGWTKGQVLVKVNSAGDAIGLQPDARGVATSLGLNERLFVVNPQEVHELIPHPDQLGPTVGSAEGLDLVSAKDLAGQLTDHDWSLFNSIHQVELIHYVLGPQHLRDVTTANLERFMRRFNELQYWVATELCLCPVPGPRAQLLRKFIKLAAHLKEQKNLNSFFAVMFGLSNSAISRLAHTWERLPHKVRKLYSALERLLDPSWNHRVYRLALAKLSPPVIPFMPLLLKDMTFIHEGNHTLVENLINFEKMRMMARAARMLHHCRSHNPVPLSPLRSRVSHLHEDSQVARISTCSEQSLSTRSPASTWAYVQQLKVIDNQRELSRLSRELEP
+>DECOY_sp|O95398|RPGF3_HUMAN Rap guanine nucleotide exchange factor 3 OS=Homo sapiens OX=9606 GN=RAPGEF3 PE=1 SV=6
+PELERSLRSLERQNDIVKLQQVYAWTSAPSRTSLSQESCTSIRAVQSDEHLHSVRSRLPSLPVPNHSRCHHLMRAARAMMRMKEFNILNEVLTHNGEHIFTMDKLLLPMFPIVPPSLKALALRYVRHNWSPDLLRELASYLKRVKHPLREWTHALRSIASNSLGFMVAFFSNLNKQEKLHAALKIFKRLLQARPGPVPCLCLETAVWYQLENFRRMFRELNATTVDRLHQPGLVYHILEVQHISNFLSWDHDTLQGALDKASVLDLGEASGVTPGLQDPHPILEHVEQPNVVFLRENLGLSTAVGRADPQLGIADGASNVKVLVQGKTWGDEQALAAMVERVSATVPLQLTLVSHDPRCIDYPVKDGVQIACSSGPLPEDQNPLWVPLNRAKMQPSANGCGNELRHCRRREPWQERLLNSLRTDRGVLDSLKQLFSTAVPDTHLMSGYLAVWQSVLRLIQQRKNCVYTSREQESGGAPEVHFHHLLAACLQASPMFVRHTLLFDSLFTETPDHASSDPGMAELLLELIKEPTGSMVTYRNRGPTPPRSPGAGQSARELVLVVKGHEELRMTKAEVDKIIRNFDQKDVRLFHCNDERLIITAARPADNVLALQGFDDGEHLTTVLGKGHTVVNVSGKWIIYWSTGKDGQSFLVTGAKSHPEFLLVAALERKVSNSLHAVAKIHLLEEFILDLEEDTRQGPPKRLAVTLLADPGRQSLLAVAEALEEEMEHTRVPEPEPGPFRYFQADRDQFAWDHKVHCLAGEDLLVQCIGVVQSRSHVGLGLALIGDVLERGSCCQRYLRLHYKRDRILNPCTALLHRHLQRGARLVRETSAQELSESFDLSEESNTLPTWRLGQICSPRQHELLLQYSCSRPRHMRRLVMNLLTGEPVVDPLAGVRPAGLAPSDEVALGVQWCSEGPWGVKM
+>sp|O95707|RPP29_HUMAN Ribonuclease P protein subunit p29 OS=Homo sapiens OX=9606 GN=POP4 PE=1 SV=2
+MKSVIYHALSQKEANDSDVQPSGAQRAEAFVRAFLKRSTPRMSPQAREDQLQRKAVVLEYFTRHKRKEKKKKAKGLSARQRRELRLFDIKPEQQRYSLFLPLHELWKQYIRDLCSGLKPDTQPQMIQAKLLKADLHGAIISVTKSKCPSYVGITGILLQETKHIFKIITKEDRLKVIPKLNCVFTVETDGFISYIYGSKFQLRSSERSAKKFKAKGTIDL
+>DECOY_sp|O95707|RPP29_HUMAN Ribonuclease P protein subunit p29 OS=Homo sapiens OX=9606 GN=POP4 PE=1 SV=2
+LDITGKAKFKKASRESSRLQFKSGYIYSIFGDTEVTFVCNLKPIVKLRDEKTIIKFIHKTEQLLIGTIGVYSPCKSKTVSIIAGHLDAKLLKAQIMQPQTDPKLGSCLDRIYQKWLEHLPLFLSYRQQEPKIDFLRLERRQRASLGKAKKKKEKRKHRTFYELVVAKRQLQDERAQPSMRPTSRKLFARVFAEARQAGSPQVDSDNAEKQSLAHYIVSKM
+>sp|O75818|RPP40_HUMAN Ribonuclease P protein subunit p40 OS=Homo sapiens OX=9606 GN=RPP40 PE=1 SV=3
+MATLRRLREAPRHLLVCEKSNFGNHKSRHRHLVQTHYYNYRVSFLIPECGILSEELKNLVMNTGPYYFVKNLPLHELITPEFISTFIKKGSCYALTYNTHIDEDNTVALLPNGKLILSLDKDTYEETGLQGHPSQFSGRKIMKFIVSIDLMELSLNLDSKKYERISWSFKEKKPLKFDFLLAWHKTGSEESTMMSYFSKYQIQEHQPKVALSTLRDLQCPVLQSSELEGTPEVSCRALELFDWLGAVFSNVDLNNEPNNFISTYCCPEPSTVVAKAYLCTITGFILPEKICLLLEHLCHYFDEPKLAPWVTLSVQGFADSPVSWEKNEHGFRKGGEHLYNFVIFNNQDYWLQMAVGANDHCPP
+>DECOY_sp|O75818|RPP40_HUMAN Ribonuclease P protein subunit p40 OS=Homo sapiens OX=9606 GN=RPP40 PE=1 SV=3
+PPCHDNAGVAMQLWYDQNNFIVFNYLHEGGKRFGHENKEWSVPSDAFGQVSLTVWPALKPEDFYHCLHELLLCIKEPLIFGTITCLYAKAVVTSPEPCCYTSIFNNPENNLDVNSFVAGLWDFLELARCSVEPTGELESSQLVPCQLDRLTSLAVKPQHEQIQYKSFYSMMTSEESGTKHWALLFDFKLPKKEKFSWSIREYKKSDLNLSLEMLDISVIFKMIKRGSFQSPHGQLGTEEYTDKDLSLILKGNPLLAVTNDEDIHTNYTLAYCSGKKIFTSIFEPTILEHLPLNKVFYYPGTNMVLNKLEESLIGCEPILFSVRYNYYHTQVLHRHRSKHNGFNSKECVLLHRPAERLRRLTAM
+>sp|Q9NS64|RPRM_HUMAN Protein reprimo OS=Homo sapiens OX=9606 GN=RPRM PE=1 SV=1
+MNPALGNQTDVAGLFLANSSEALERAVRCCTQASVVTDDGFAEGGPDERSLYIMRVVQIAVMCVLSLTVVFGIFFLGCNLLIKSEGMINFLVKDRRPSKEVEAVVVGPY
+>DECOY_sp|Q9NS64|RPRM_HUMAN Protein reprimo OS=Homo sapiens OX=9606 GN=RPRM PE=1 SV=1
+YPGVVVAEVEKSPRRDKVLFNIMGESKILLNCGLFFIGFVVTLSLVCMVAIQVVRMIYLSREDPGGEAFGDDTVVSAQTCCRVARELAESSNALFLGAVDTQNGLAPNM
+>sp|Q9HB90|RRAGC_HUMAN Ras-related GTP-binding protein C OS=Homo sapiens OX=9606 GN=RRAGC PE=1 SV=1
+MSLQYGAEETPLAGSYGAADSFPKDFGYGVEEEEEEAAAAGGGVGAGAGGGCGPGGADSSKPRILLMGLRRSGKSSIQKVVFHKMSPNETLFLESTNKIYKDDISNSSFVNFQIWDFPGQMDFFDPTFDYEMIFRGTGALIYVIDAQDDYMEALTRLHITVSKAYKVNPDMNFEVFIHKVDGLSDDHKIETQRDIHQRANDDLADAGLEKLHLSFYLTSIYDHSIFEAFSKVVQKLIPQLPTLENLLNIFISNSGIEKAFLFDVVSKIYIATDSSPVDMQSYELCCDMIDVVIDVSCIYGLKEDGSGSAYDKESMAIIKLNNTTVLYLKEVTKFLALVCILREESFERKGLIDYNFHCFRKAIHEVFEVGVTSHRSCGHQTSASSLKALTHNGTPRNAI
+>DECOY_sp|Q9HB90|RRAGC_HUMAN Ras-related GTP-binding protein C OS=Homo sapiens OX=9606 GN=RRAGC PE=1 SV=1
+IANRPTGNHTLAKLSSASTQHGCSRHSTVGVEFVEHIAKRFCHFNYDILGKREFSEERLICVLALFKTVEKLYLVTTNNLKIIAMSEKDYASGSGDEKLGYICSVDIVVDIMDCCLEYSQMDVPSSDTAIYIKSVVDFLFAKEIGSNSIFINLLNELTPLQPILKQVVKSFAEFISHDYISTLYFSLHLKELGADALDDNARQHIDRQTEIKHDDSLGDVKHIFVEFNMDPNVKYAKSVTIHLRTLAEMYDDQADIVYILAGTGRFIMEYDFTPDFFDMQGPFDWIQFNVFSSNSIDDKYIKNTSELFLTENPSMKHFVVKQISSKGSRRLGMLLIRPKSSDAGGPGCGGGAGAGVGGGAAAAEEEEEEVGYGFDKPFSDAAGYSGALPTEEAGYQLSM
+>sp|P10301|RRAS_HUMAN Ras-related protein R-Ras OS=Homo sapiens OX=9606 GN=RRAS PE=1 SV=1
+MSSGAASGTGRGRPRGGGPGPGDPPPSETHKLVVVGGGGVGKSALTIQFIQSYFVSDYDPTIEDSYTKICSVDGIPARLDILDTAGQEEFGAMREQYMRAGHGFLLVFAINDRQSFNEVGKLFTQILRVKDRDDFPVVLVGNKADLESQRQVPRSEASAFGASHHVAYFEASAKLRLNVDEAFEQLVRAVRKYQEQELPPSPPSAPRKKGGGCPCVLL
+>DECOY_sp|P10301|RRAS_HUMAN Ras-related protein R-Ras OS=Homo sapiens OX=9606 GN=RRAS PE=1 SV=1
+LLVCPCGGGKKRPASPPSPPLEQEQYKRVARVLQEFAEDVNLRLKASAEFYAVHHSAGFASAESRPVQRQSELDAKNGVLVVPFDDRDKVRLIQTFLKGVENFSQRDNIAFVLLFGHGARMYQERMAGFEEQGATDLIDLRAPIGDVSCIKTYSDEITPDYDSVFYSQIFQITLASKGVGGGGVVVLKHTESPPPDGPGPGGGRPRGRGTGSAAGSSM
+>sp|Q9P2E9|RRBP1_HUMAN Ribosome-binding protein 1 OS=Homo sapiens OX=9606 GN=RRBP1 PE=1 SV=5
+MDIYDTQTLGVVVFGGFMVVSAIGIFLVSTFSMKETSYEEALANQRKEMAKTHHQKVEKKKKEKTVEKKGKTKKKEEKPNGKIPDHDPAPNVTVLLREPVRAPAVAVAPTPVQPPIIVAPVATVPAMPQEKLASSPKDKKKKEKKVAKVEPAVSSVVNSIQVLTSKAAILETAPKEVPMVVVPPVGAKGNTPATGTTQGKKAEGTQNQSKKAEGAPNQGRKAEGTPNQGKKTEGTPNQGKKAEGTPNQGKKAEGTPNQGKKAEGAQNQGKKVDTTPNQGKKVEGAPTQGRKAEGAQNQAKKVEGAQNQGKKAEGAQNQGKKGEGAQNQGKKAEGAQNQGKKAEGAQNQGKKAEGAQNQGKKAEGAQNQGKKAEGAQNQGKKAEGAQNQGKKVEGAQNQGKKAEGAQNQGKKAEGAQNQGKKAEGAQNQGKKAEGAQNQGKKAEGAQNQGKKAEGAQNQGKKAEGAQNQGKKVEGAQNQGKKAEGAQNQGKKAEGAQNQGKKAEGAQNQGQKGEGAQNQGKKTEGAQGKKAERSPNQGKKGEGAPIQGKKADSVANQGTKVEGITNQGKKAEGSPSEGKKAEGSPNQGKKADAAANQGKKTESASVQGRNTDVAQSPEAPKQEAPAKKKSGSKKKGEPGPPDADGPLYLPYKTLVSTVGSMVFNEGEAQRLIEILSEKAGIIQDTWHKATQKGDPVAILKRQLEEKEKLLATEQEDAAVAKSKLRELNKEMAAEKAKAAAGEAKVKKQLVAREQEITAVQARMQASYREHVKEVQQLQGKIRTLQEQLENGPNTQLARLQQENSILRDALNQATSQVESKQNAELAKLRQELSKVSKELVEKSEAVRQDEQQRKALEAKAAAFEKQVLQLQASHRESEEALQKRLDEVSRELCHTQSSHASLRADAEKAQEQQQQMAELHSKLQSSEAEVRSKCEELSGLHGQLQEARAENSQLTERIRSIEALLEAGQARDAQDVQASQAEADQQQTRLKELESQVSGLEKEAIELREAVEQQKVKNNDLREKNWKAMEALATAEQACKEKLLSLTQAKEESEKQLCLIEAQTMEALLALLPELSVLAQQNYTEWLQDLKEKGPTLLKHPPAPAEPSSDLASKLREAEETQSTLQAECDQYRSILAETEGMLRDLQKSVEEEEQVWRAKVGAAEEELQKSRVTVKHLEEIVEKLKGELESSDQVREHTSHLEAELEKHMAAASAECQNYAKEVAGLRQLLLESQSQLDAAKSEAQKQSDELALVRQQLSEMKSHVEDGDIAGAPASSPEAPPAEQDPVQLKTQLEWTEAILEDEQTQRQKLTAEFEEAQTSACRLQEELEKLRTAGPLESSETEEASQLKERLEKEKKLTSDLGRAATRLQELLKTTQEQLAREKDTVKKLQEQLEKAEDGSSSKEGTSV
+>DECOY_sp|Q9P2E9|RRBP1_HUMAN Ribosome-binding protein 1 OS=Homo sapiens OX=9606 GN=RRBP1 PE=1 SV=5
+VSTGEKSSSGDEAKELQEQLKKVTDKERALQEQTTKLLEQLRTAARGLDSTLKKEKELREKLQSAEETESSELPGATRLKELEEQLRCASTQAEEFEATLKQRQTQEDELIAETWELQTKLQVPDQEAPPAEPSSAPAGAIDGDEVHSKMESLQQRVLALEDSQKQAESKAADLQSQSELLLQRLGAVEKAYNQCEASAAAMHKELEAELHSTHERVQDSSELEGKLKEVIEELHKVTVRSKQLEEEAAGVKARWVQEEEEVSKQLDRLMGETEALISRYQDCEAQLTSQTEEAERLKSALDSSPEAPAPPHKLLTPGKEKLDQLWETYNQQALVSLEPLLALLAEMTQAEILCLQKESEEKAQTLSLLKEKCAQEATALAEMAKWNKERLDNNKVKQQEVAERLEIAEKELGSVQSELEKLRTQQQDAEAQSAQVDQADRAQGAELLAEISRIRETLQSNEARAEQLQGHLGSLEECKSRVEAESSQLKSHLEAMQQQQEQAKEADARLSAHSSQTHCLERSVEDLRKQLAEESERHSAQLQLVQKEFAAAKAELAKRQQEDQRVAESKEVLEKSVKSLEQRLKALEANQKSEVQSTAQNLADRLISNEQQLRALQTNPGNELQEQLTRIKGQLQQVEKVHERYSAQMRAQVATIEQERAVLQKKVKAEGAAAKAKEAAMEKNLERLKSKAVAADEQETALLKEKEELQRKLIAVPDGKQTAKHWTDQIIGAKESLIEILRQAEGENFVMSGVTSVLTKYPLYLPGDADPPGPEGKKKSGSKKKAPAEQKPAEPSQAVDTNRGQVSASETKKGQNAAADAKKGQNPSGEAKKGESPSGEAKKGQNTIGEVKTGQNAVSDAKKGQIPAGEGKKGQNPSREAKKGQAGETKKGQNQAGEGKQGQNQAGEAKKGQNQAGEAKKGQNQAGEAKKGQNQAGEVKKGQNQAGEAKKGQNQAGEAKKGQNQAGEAKKGQNQAGEAKKGQNQAGEAKKGQNQAGEAKKGQNQAGEAKKGQNQAGEVKKGQNQAGEAKKGQNQAGEAKKGQNQAGEAKKGQNQAGEAKKGQNQAGEAKKGQNQAGEAKKGQNQAGEGKKGQNQAGEAKKGQNQAGEVKKAQNQAGEAKRGQTPAGEVKKGQNPTTDVKKGQNQAGEAKKGQNPTGEAKKGQNPTGEAKKGQNPTGETKKGQNPTGEAKRGQNPAGEAKKSQNQTGEAKKGQTTGTAPTNGKAGVPPVVVMPVEKPATELIAAKSTLVQISNVVSSVAPEVKAVKKEKKKKDKPSSALKEQPMAPVTAVPAVIIPPQVPTPAVAVAPARVPERLLVTVNPAPDHDPIKGNPKEEKKKTKGKKEVTKEKKKKEVKQHHTKAMEKRQNALAEEYSTEKMSFTSVLFIGIASVVMFGGFVVVGLTQTDYIDM
+>sp|Q96E11|RRFM_HUMAN Ribosome-recycling factor, mitochondrial OS=Homo sapiens OX=9606 GN=MRRF PE=1 SV=1
+MALGLKCFRMVHPTFRNYLAASIRPVSEVTLKTVHERQHGHRQYMAYSAVPVRHFATKKAKAKGKGQSQTRVNINAALVEDIINLEEVNEEMKSVIEALKDNFNKTLNIRTSPGSLDKIAVVTADGKLALNQISQISMKSPQLILVNMASFPECTAAAIKAIRESGMNLNPEVEGTLIRVPIPQVTREHREMLVKLAKQNTNKAKDSLRKVRTNSMNKLKKSKDTVSEDTIRLIEKQISQMADDTVAELDRHLAVKTKELLG
+>DECOY_sp|Q96E11|RRFM_HUMAN Ribosome-recycling factor, mitochondrial OS=Homo sapiens OX=9606 GN=MRRF PE=1 SV=1
+GLLEKTKVALHRDLEAVTDDAMQSIQKEILRITDESVTDKSKKLKNMSNTRVKRLSDKAKNTNQKALKVLMERHERTVQPIPVRILTGEVEPNLNMGSERIAKIAAATCEPFSAMNVLILQPSKMSIQSIQNLALKGDATVVAIKDLSGPSTRINLTKNFNDKLAEIVSKMEENVEELNIIDEVLAANINVRTQSQGKGKAKAKKTAFHRVPVASYAMYQRHGHQREHVTKLTVESVPRISAALYNRFTPHVMRFCKLGLAM
+>sp|Q9NSD7|RL3R1_HUMAN Relaxin-3 receptor 1 OS=Homo sapiens OX=9606 GN=RXFP3 PE=1 SV=1
+MQMADAATIATMNKAAGGDKLAELFSLVPDLLEAANTSGNASLQLPDLWWELGLELPDGAPPGHPPGSGGAESADTEARVRILISVVYWVVCALGLAGNLLVLYLMKSMQGWRKSSINLFVTNLALTDFQFVLTLPFWAVENALDFKWPFGKAMCKIVSMVTSMNMYASVFFLTAMSVTRYHSVASALKSHRTRGHGRGDCCGRSLGDSCCFSAKALCVWIWALAALASLPSAIFSTTVKVMGEELCLVRFPDKLLGRDRQFWLGLYHSQKVLLGFVLPLGIIILCYLLLVRFIADRRAAGTKGGAAVAGGRPTGASARRLSKVTKSVTIVVLSFFLCWLPNQALTTWSILIKFNAVPFSQEYFLCQVYAFPVSVCLAHSNSCLNPVLYCLVRREFRKALKSLLWRIASPSITSMRPFTATTKPEHEDQGLQAPAPPHAAAEPDLLYYPPGVVVYSGGRYDLLPSSSAY
+>DECOY_sp|Q9NSD7|RL3R1_HUMAN Relaxin-3 receptor 1 OS=Homo sapiens OX=9606 GN=RXFP3 PE=1 SV=1
+YASSSPLLDYRGGSYVVVGPPYYLLDPEAAAHPPAPAQLGQDEHEPKTTATFPRMSTISPSAIRWLLSKLAKRFERRVLCYLVPNLCSNSHALCVSVPFAYVQCLFYEQSFPVANFKILISWTTLAQNPLWCLFFSLVVITVSKTVKSLRRASAGTPRGGAVAAGGKTGAARRDAIFRVLLLYCLIIIGLPLVFGLLVKQSHYLGLWFQRDRGLLKDPFRVLCLEEGMVKVTTSFIASPLSALAALAWIWVCLAKASFCCSDGLSRGCCDGRGHGRTRHSKLASAVSHYRTVSMATLFFVSAYMNMSTVMSVIKCMAKGFPWKFDLANEVAWFPLTLVFQFDTLALNTVFLNISSKRWGQMSKMLYLVLLNGALGLACVVWYVVSILIRVRAETDASEAGGSGPPHGPPAGDPLELGLEWWLDPLQLSANGSTNAAELLDPVLSFLEALKDGGAAKNMTAITAADAMQM
+>sp|Q8TDU9|RL3R2_HUMAN Relaxin-3 receptor 2 OS=Homo sapiens OX=9606 GN=RXFP4 PE=1 SV=1
+MPTLNTSASPPTFFWANASGGSVLSADDAPMPVKFLALRLMVALAYGLVGAIGLLGNLAVLWVLSNCARRAPGPPSDTFVFNLALADLGLALTLPFWAAESALDFHWPFGGALCKMVLTATVLNVYASIFLITALSVARYWVVAMAAGPGTHLSLFWARIATLAVWAAAALVTVPTAVFGVEGEVCGVRLCLLRFPSRYWLGAYQLQRVVLAFMVPLGVITTSYLLLLAFLQRRQRRRQDSRVVARSVRILVASFFLCWFPNHVVTLWGVLVKFDLVPWNSTFYTIQTYVFPVTTCLAHSNSCLNPVLYCLLRREPRQALAGTFRDLRLRLWPQGGGWVQQVALKQVGRRWVASNPRESRPSTLLTNLDRGTPG
+>DECOY_sp|Q8TDU9|RL3R2_HUMAN Relaxin-3 receptor 2 OS=Homo sapiens OX=9606 GN=RXFP4 PE=1 SV=1
+GPTGRDLNTLLTSPRSERPNSAVWRRGVQKLAVQQVWGGGQPWLRLRLDRFTGALAQRPERRLLCYLVPNLCSNSHALCTTVPFVYTQITYFTSNWPVLDFKVLVGWLTVVHNPFWCLFFSAVLIRVSRAVVRSDQRRRQRRQLFALLLLYSTTIVGLPVMFALVVRQLQYAGLWYRSPFRLLCLRVGCVEGEVGFVATPVTVLAAAAWVALTAIRAWFLSLHTGPGAAMAVVWYRAVSLATILFISAYVNLVTATLVMKCLAGGFPWHFDLASEAAWFPLTLALGLDALALNFVFTDSPPGPARRACNSLVWLVALNGLLGIAGVLGYALAVMLRLALFKVPMPADDASLVSGGSANAWFFTPPSASTNLTPM
+>sp|Q9BYD1|RM13_HUMAN 39S ribosomal protein L13, mitochondrial OS=Homo sapiens OX=9606 GN=MRPL13 PE=1 SV=1
+MSSFSRAPQQWATFARIWYLLDGKMQPPGKLAAMASIRLQGLHKPVYHALSDCGDHVVIMNTRHIAFSGNKWEQKVYSSHTGYPGGFRQVTAAQLHLRDPVAIVKLAIYGMLPKNLHRRTMMERLHLFPDEYIPEDILKNLVEELPQPRKIPKRLDEYTQEEIDAFPRLWTPPEDYRL
+>DECOY_sp|Q9BYD1|RM13_HUMAN 39S ribosomal protein L13, mitochondrial OS=Homo sapiens OX=9606 GN=MRPL13 PE=1 SV=1
+LRYDEPPTWLRPFADIEEQTYEDLRKPIKRPQPLEEVLNKLIDEPIYEDPFLHLREMMTRRHLNKPLMGYIALKVIAVPDRLHLQAATVQRFGGPYGTHSSYVKQEWKNGSFAIHRTNMIVVHDGCDSLAHYVPKHLGQLRISAMAALKGPPQMKGDLLYWIRAFTAWQQPARSFSSM
+>sp|Q6P1L8|RM14_HUMAN 39S ribosomal protein L14, mitochondrial OS=Homo sapiens OX=9606 GN=MRPL14 PE=1 SV=1
+MAFFTGLWGPFTCVSRVLSHHCFSTTGSLSAIQKMTRVRVVDNSALGNSPYHRAPRCIHVYKKNGVGKVGDQILLAIKGQKKKALIVGHCMPGPRMTPRFDSNNVVLIEDNGNPVGTRIKTPIPTSLRKREGEYSKVLAIAQNFV
+>DECOY_sp|Q6P1L8|RM14_HUMAN 39S ribosomal protein L14, mitochondrial OS=Homo sapiens OX=9606 GN=MRPL14 PE=1 SV=1
+VFNQAIALVKSYEGERKRLSTPIPTKIRTGVPNGNDEILVVNNSDFRPTMRPGPMCHGVILAKKKQGKIALLIQDGVKGVGNKKYVHICRPARHYPSNGLASNDVVRVRTMKQIASLSGTTSFCHHSLVRSVCTFPGWLGTFFAM
+>sp|Q9P015|RM15_HUMAN 39S ribosomal protein L15, mitochondrial OS=Homo sapiens OX=9606 GN=MRPL15 PE=1 SV=1
+MAGPLQGGGARALDLLRGLPRVSLANLKPNPGSKKPERRPRGRRRGRKCGRGHKGERQRGTRPRLGFEGGQTPFYIRIPKYGFNEGHSFRRQYKPLSLNRLQYLIDLGRVDPSQPIDLTQLVNGRGVTIQPLKRDYGVQLVEEGADTFTAKVNIEVQLASELAIAAIEKNGGVVTTAFYDPRSLDIVCKPVPFFLRGQPIPKRMLPPEELVPYYTDAKNRGYLADPAKFPEARLELARKYGYILPDITKDELFKMLCTRKDPRQIFFGLAPGWVVNMADKKILKPTDENLLKYYTS
+>DECOY_sp|Q9P015|RM15_HUMAN 39S ribosomal protein L15, mitochondrial OS=Homo sapiens OX=9606 GN=MRPL15 PE=1 SV=1
+STYYKLLNEDTPKLIKKDAMNVVWGPALGFFIQRPDKRTCLMKFLEDKTIDPLIYGYKRALELRAEPFKAPDALYGRNKADTYYPVLEEPPLMRKPIPQGRLFFPVPKCVIDLSRPDYFATTVVGGNKEIAAIALESALQVEINVKATFTDAGEEVLQVGYDRKLPQITVGRGNVLQTLDIPQSPDVRGLDILYQLRNLSLPKYQRRFSHGENFGYKPIRIYFPTQGGEFGLRPRTGRQREGKHGRGCKRGRRRGRPRREPKKSGPNPKLNALSVRPLGRLLDLARAGGGQLPGAM
+>sp|Q9NX20|RM16_HUMAN 39S ribosomal protein L16, mitochondrial OS=Homo sapiens OX=9606 GN=MRPL16 PE=1 SV=1
+MWRLLARASAPLLRVPLSDSWALLPASAGVKTLLPVPSFEDVSIPEKPKLRFIERAPLVPKVRREPKNLSDIRGPSTEATEFTEGNFAILALGGGYLHWGHFEMMRLTINRSMDPKNMFAIWRVPAPFKPITRKSVGHRMGGGKGAIDHYVTPVKAGRLVVEMGGRCEFEEVQGFLDQVAHKLPFAAKAVSRGTLEKMRKDQEERERNNQNPWTFERIATANMLGIRKVLSPYDLTHKGKYWGKFYMPKRV
+>DECOY_sp|Q9NX20|RM16_HUMAN 39S ribosomal protein L16, mitochondrial OS=Homo sapiens OX=9606 GN=MRPL16 PE=1 SV=1
+VRKPMYFKGWYKGKHTLDYPSLVKRIGLMNATAIREFTWPNQNNREREEQDKRMKELTGRSVAKAAFPLKHAVQDLFGQVEEFECRGGMEVVLRGAKVPTVYHDIAGKGGGMRHGVSKRTIPKFPAPVRWIAFMNKPDMSRNITLRMMEFHGWHLYGGGLALIAFNGETFETAETSPGRIDSLNKPERRVKPVLPAREIFRLKPKEPISVDEFSPVPLLTKVGASAPLLAWSDSLPVRLLPASARALLRWM
+>sp|Q9NRX2|RM17_HUMAN 39S ribosomal protein L17, mitochondrial OS=Homo sapiens OX=9606 GN=MRPL17 PE=1 SV=1
+MRLSVAAAISHGRVFRRMGLGPESRIHLLRNLLTGLVRHERIEAPWARVDEMRGYAEKLIDYGKLGDTNERAMRMADFWLTEKDLIPKLFQVLAPRYKDQTGGYTRMLQIPNRSLDRAKMAVIEYKGNCLPPLPLPRRDSHLTLLNQLLQGLRQDLRQSQEASNHSSHTAQTPGI
+>DECOY_sp|Q9NRX2|RM17_HUMAN 39S ribosomal protein L17, mitochondrial OS=Homo sapiens OX=9606 GN=MRPL17 PE=1 SV=1
+IGPTQATHSSHNSAEQSQRLDQRLGQLLQNLLTLHSDRRPLPLPPLCNGKYEIVAMKARDLSRNPIQLMRTYGGTQDKYRPALVQFLKPILDKETLWFDAMRMARENTDGLKGYDILKEAYGRMEDVRAWPAEIREHRVLGTLLNRLLHIRSEPGLGMRRFVRGHSIAAAVSLRM
+>sp|P49406|RM19_HUMAN 39S ribosomal protein L19, mitochondrial OS=Homo sapiens OX=9606 GN=MRPL19 PE=1 SV=2
+MAACIAAGHWAAMGLGRSFQAARTLLPPPASIACRVHAGPVRQQSTGPSEPGAFQPPPKPVIVDKHRPVEPERRFLSPEFIPRRGRTDPLKFQIERKDMLERRKVLHIPEFYVGSILRVTTADPYASGKISQFLGICIQRSGRGLGATFILRNVIEGQGVEICFELYNPRVQEIQVVKLEKRLDDSLLYLRDALPEYSTFDVNMKPVVQEPNQKVPVNELKVKMKPKPWSKRWERPNFNIKGIRFDLCLTEQQMKEAQKWNQPWLEFDMMREYDTSKIEAAIWKEIEASKRS
+>DECOY_sp|P49406|RM19_HUMAN 39S ribosomal protein L19, mitochondrial OS=Homo sapiens OX=9606 GN=MRPL19 PE=1 SV=2
+SRKSAEIEKWIAAEIKSTDYERMMDFELWPQNWKQAEKMQQETLCLDFRIGKINFNPREWRKSWPKPKMKVKLENVPVKQNPEQVVPKMNVDFTSYEPLADRLYLLSDDLRKELKVVQIEQVRPNYLEFCIEVGQGEIVNRLIFTAGLGRGSRQICIGLFQSIKGSAYPDATTVRLISGVYFEPIHLVKRRELMDKREIQFKLPDTRGRRPIFEPSLFRREPEVPRHKDVIVPKPPPQFAGPESPGTSQQRVPGAHVRCAISAPPPLLTRAAQFSRGLGMAAWHGAAICAAM
+>sp|Q9P0J6|RM36_HUMAN 39S ribosomal protein L36, mitochondrial OS=Homo sapiens OX=9606 GN=MRPL36 PE=1 SV=1
+MANLFIRKMVNPLLYLSRHTVKPRALSTFLFGSIRGAAPVAVEPGAAVRSLLSPGLLPHLLPALGFKNKTVLKKRCKDCYLVKRRGRWYVYCKTHPRHKQRQM
+>DECOY_sp|Q9P0J6|RM36_HUMAN 39S ribosomal protein L36, mitochondrial OS=Homo sapiens OX=9606 GN=MRPL36 PE=1 SV=1
+MQRQKHRPHTKCYVYWRGRRKVLYCDKCRKKLVTKNKFGLAPLLHPLLGPSLLSRVAAGPEVAVPAAGRISGFLFTSLARPKVTHRSLYLLPNVMKRIFLNAM
+>sp|Q9NQ50|RM40_HUMAN 39S ribosomal protein L40, mitochondrial OS=Homo sapiens OX=9606 GN=MRPL40 PE=1 SV=1
+MTASVLRSISLALRPTSGLLGTWQTQLRETHQRASLLSFWELIPMRSEPLRKKKKVDPKKDQEAKERLKRKIRKLEKATQELIPIEDFITPLKFLDKARERPQVELTFEETERRALLLKKWSLYKQQERKMERDTIRAMLEAQQEALEELQLESPKLHAEAIKRDPNLFPFEKEGPHYTPPIPNYQPPEGRYNDITKVYTQVEFKR
+>DECOY_sp|Q9NQ50|RM40_HUMAN 39S ribosomal protein L40, mitochondrial OS=Homo sapiens OX=9606 GN=MRPL40 PE=1 SV=1
+RKFEVQTYVKTIDNYRGEPPQYNPIPPTYHPGEKEFPFLNPDRKIAEAHLKPSELQLEELAEQQAELMARITDREMKREQQKYLSWKKLLLARRETEEFTLEVQPRERAKDLFKLPTIFDEIPILEQTAKELKRIKRKLREKAEQDKKPDVKKKKRLPESRMPILEWFSLLSARQHTERLQTQWTGLLGSTPRLALSISRLVSATM
+>sp|Q9H2W6|RM46_HUMAN 39S ribosomal protein L46, mitochondrial OS=Homo sapiens OX=9606 GN=MRPL46 PE=1 SV=1
+MAAPVRRTLLGVAGGWRRFERLWAGSLSSRSLALAAAPSSNGSPWRLLGALCLQRPPVVSKPLTPLQEEMASLLQQIEIERSLYSDHELRALDENQRLAKKKADLHDEEDEQDILLAQDLEDMWEQKFLQFKLGARITEADEKNDRTSLNRKLDRNLVLLVREKFGDQDVWILPQAEWQPGETLRGTAERTLATLSENNMEAKFLGNAPCGHYTFKFPQAMRTESNLGAKVFFFKALLLTGDFSQAGNKGHHVWVTKDELGDYLKPKYLAQVRRFVSDL
+>DECOY_sp|Q9H2W6|RM46_HUMAN 39S ribosomal protein L46, mitochondrial OS=Homo sapiens OX=9606 GN=MRPL46 PE=1 SV=1
+LDSVFRRVQALYKPKLYDGLEDKTVWVHHGKNGAQSFDGTLLLAKFFFVKAGLNSETRMAQPFKFTYHGCPANGLFKAEMNNESLTALTREATGRLTEGPQWEAQPLIWVDQDGFKERVLLVLNRDLKRNLSTRDNKEDAETIRAGLKFQLFKQEWMDELDQALLIDQEDEEDHLDAKKKALRQNEDLARLEHDSYLSREIEIQQLLSAMEEQLPTLPKSVVPPRQLCLAGLLRWPSGNSSPAAALALSRSSLSGAWLREFRRWGGAVGLLTRRVPAAM
+>sp|Q9HD33|RM47_HUMAN 39S ribosomal protein L47, mitochondrial OS=Homo sapiens OX=9606 GN=MRPL47 PE=1 SV=2
+MAAAGLALLCRRVSSALKSSRSLITPQVPACTGFFLSLLPKSTPNVTSFHQYRLLHTTLSRKGLEEFFDDPKNWGQEKVKSGAAWTCQQLRNKSNEDLHKLWYVLLKERNMLLTLEQEAKRQRLPMPSPERLDKVVDSMDALDKVVQEREDALRLLQTGQERARPGAWRRDIFGRIIWHKFKQWVIPWHLNKRYNRKRFFALPYVDHFLRLEREKRARIKARKENLERKKAKILLKKFPHLAEAQKSSLV
+>DECOY_sp|Q9HD33|RM47_HUMAN 39S ribosomal protein L47, mitochondrial OS=Homo sapiens OX=9606 GN=MRPL47 PE=1 SV=2
+VLSSKQAEALHPFKKLLIKAKKRELNEKRAKIRARKERELRLFHDVYPLAFFRKRNYRKNLHWPIVWQKFKHWIIRGFIDRRWAGPRAREQGTQLLRLADEREQVVKDLADMSDVVKDLREPSPMPLRQRKAEQELTLLMNREKLLVYWLKHLDENSKNRLQQCTWAAGSKVKEQGWNKPDDFFEELGKRSLTTHLLRYQHFSTVNPTSKPLLSLFFGTCAPVQPTILSRSSKLASSVRRCLLALGAAAM
+>sp|Q8N4F7|RN175_HUMAN RING finger protein 175 OS=Homo sapiens OX=9606 GN=RNF175 PE=1 SV=2
+MAAGTAARKAAPVLEAPPQQEQLSHTKLSAEDTWNLQQERMYKMHRGHDSMHVEMILIFLCVLVIAQIVLVQWRQRHGRSYNLVTLLQMWVVPLYFTIKLYWWRFLSMWGMFSVITSYILFRATRKPLSGRTPRLVYKWFLLIYKLSYAFGVVGYLAIMFTMCGFNLFFKIKARDSMDFGIVSLFYGLYYGVMGRDFAEICSDYMASTIGFYSVSRLPTRSLSDNICAVCGQKIIVELDEEGLIENTYQLSCNHVFHEFCIRGWCIVGKKQTCPYCKEKVDLKRMISNPWERTHFLYGQILDWLRYLVAWQPVVIGIVQGIIYSLGLE
+>DECOY_sp|Q8N4F7|RN175_HUMAN RING finger protein 175 OS=Homo sapiens OX=9606 GN=RNF175 PE=1 SV=2
+ELGLSYIIGQVIGIVVPQWAVLYRLWDLIQGYLFHTREWPNSIMRKLDVKEKCYPCTQKKGVICWGRICFEHFVHNCSLQYTNEILGEEDLEVIIKQGCVACINDSLSRTPLRSVSYFGITSAMYDSCIEAFDRGMVGYYLGYFLSVIGFDMSDRAKIKFFLNFGCMTFMIALYGVVGFAYSLKYILLFWKYVLRPTRGSLPKRTARFLIYSTIVSFMGWMSLFRWWYLKITFYLPVVWMQLLTVLNYSRGHRQRWQVLVIQAIVLVCLFILIMEVHMSDHGRHMKYMREQQLNWTDEASLKTHSLQEQQPPAELVPAAKRAATGAAM
+>sp|Q96GF1|RN185_HUMAN E3 ubiquitin-protein ligase RNF185 OS=Homo sapiens OX=9606 GN=RNF185 PE=1 SV=1
+MASKGPSASASPENSSAGGPSGSSNGAGESGGQDSTFECNICLDTAKDAVISLCGHLFCWPCLHQWLETRPNRQVCPVCKAGISRDKVIPLYGRGSTGQQDPREKTPPRPQGQRPEPENRGGFQGFGFGDGGFQMSFGIGAFPFGIFATAFNINDGRPPPAVPGTPQYVDEQFLSRLFLFVALVIMFWLLIA
+>DECOY_sp|Q96GF1|RN185_HUMAN E3 ubiquitin-protein ligase RNF185 OS=Homo sapiens OX=9606 GN=RNF185 PE=1 SV=1
+AILLWFMIVLAVFLFLRSLFQEDVYQPTGPVAPPPRGDNINFATAFIGFPFAGIGFSMQFGGDGFGFGQFGGRNEPEPRQGQPRPPTKERPDQQGTSGRGYLPIVKDRSIGAKCVPCVQRNPRTELWQHLCPWCFLHGCLSIVADKATDLCINCEFTSDQGGSEGAGNSSGSPGGASSNEPSASASPGKSAM
+>sp|Q6ZRF8|RN207_HUMAN RING finger protein 207 OS=Homo sapiens OX=9606 GN=RNF207 PE=1 SV=2
+MSGAIFGPLEGPSSLDAPSIHPLVCPLCHVQYERPCLLDCFHDFCAGCLRGRATDGRLTCPLCQHQTVLKGPSGLPPVDRLLQFLVDSSGDGVEAVRCANCDLECSEQDVETTYFCNTCGQPLCARCRDETHRARMFARHDIVALGQRSRDVPQKCTLHAEPYLLFSTDKKLLLCIRCFRDMQKESRAHCVDLESAYVQGCERLEQAVLAVKALQTATREAIALLQAMVEEVRHSAAEEEDAIHALFGSMQDRLAERKALLLQAVQSQYEEKDKAFKEQLSHLATLLPTLQVHLVICSSFLSLANKAEFLDLGYELMERLQGIVTRPHHLRPIQSSKIASDHRAEFARCLEPLLLLGPRRVAAAASGANTLAGGLGPKALTGPHCPSPVGKMSGSPVQKPTLHRSISTKVLLAEGENTPFAEHCRHYEDSYRHLQAEMQSLKDQVQELHRDLTKHHSLIKAEIMGDVLHKSLQLDVQIASEHASLEGMRVVFQEIWEEAYQRVANEQEIYEAQLHDLLQLRQENAYLTTITKQITPYVRSIAKVKERLEPRFQAPVDEQSESLQNTHDDSRNNAASARNNPGSVPEKREKTSEPKGNSWAPNGLSEEPLLKNMDHHRSKQKNGGDVPTWREHPT
+>DECOY_sp|Q6ZRF8|RN207_HUMAN RING finger protein 207 OS=Homo sapiens OX=9606 GN=RNF207 PE=1 SV=2
+TPHERWTPVDGGNKQKSRHHDMNKLLPEESLGNPAWSNGKPESTKERKEPVSGPNNRASAANNRSDDHTNQLSESQEDVPAQFRPELREKVKAISRVYPTIQKTITTLYANEQRLQLLDHLQAEYIEQENAVRQYAEEWIEQFVVRMGELSAHESAIQVDLQLSKHLVDGMIEAKILSHHKTLDRHLEQVQDKLSQMEAQLHRYSDEYHRCHEAFPTNEGEALLVKTSISRHLTPKQVPSGSMKGVPSPCHPGTLAKPGLGGALTNAGSAAAAVRRPGLLLLPELCRAFEARHDSAIKSSQIPRLHHPRTVIGQLREMLEYGLDLFEAKNALSLFSSCIVLHVQLTPLLTALHSLQEKFAKDKEEYQSQVAQLLLAKREALRDQMSGFLAHIADEEEAASHRVEEVMAQLLAIAERTATQLAKVALVAQELRECGQVYASELDVCHARSEKQMDRFCRICLLLKKDTSFLLYPEAHLTCKQPVDRSRQGLAVIDHRAFMRARHTEDRCRACLPQGCTNCFYTTEVDQESCELDCNACRVAEVGDGSSDVLFQLLRDVPPLGSPGKLVTQHQCLPCTLRGDTARGRLCGACFDHFCDLLCPREYQVHCLPCVLPHISPADLSSPGELPGFIAGSM
+>sp|Q8ND24|RN214_HUMAN RING finger protein 214 OS=Homo sapiens OX=9606 GN=RNF214 PE=1 SV=2
+MAASEVAGVVANAPSPPESSSLCASKSDEGLPDGLSTKDSAQKQKNSPLLSVSSQTITKENNRNVHLEHSEQNPGSSAGDTSAAHQVVLGENLIATALCLSGSGSQSDLKDVASTAGEEGDTSLRESLHPVTRSLKAGCHTKQLASRNCSEEKSPQTSILKEGNRDTSLDFRPVVSPANGVEGVRVDQDDDQDSSSLKLSQNIAVQTDFKTADSEVNTDQDIEKNLDKMMTERTLLKERYQEVLDKQRQVENQLQVQLKQLQQRREEEMKNHQEILKAIQDVTIKREETKKKIEKEKKEFLQKEQDLKAEIEKLCEKGRREVWEMELDRLKNQDGEINRNIMEETERAWKAEILSLESRKELLVLKLEEAEKEAELHLTYLKSTPPTLETVRSKQEWETRLNGVRIMKKNVRDQFNSHIQLVRNGAKLSSLPQIPTPTLPPPPSETDFMLQVFQPSPSLAPRMPFSIGQVTMPMVMPSADPRSLSFPILNPALSQPSQPSSPLPGSHGRNSPGLGSLVSPHGPHMPPAASIPPPPGLGGVKASAETPRPQPVDKLEKILEKLLTRFPQCNKAQMTNILQQIKTARTTMAGLTMEELIQLVAARLAEHERVAASTQPLGRIRALFPAPLAQISTPMFLPSAQVSYPGRSSHAPATCKLCLMCQKLVQPSELHPMACTHVLHKECIKFWAQTNTNDTCPFCPTLK
+>DECOY_sp|Q8ND24|RN214_HUMAN RING finger protein 214 OS=Homo sapiens OX=9606 GN=RNF214 PE=1 SV=2
+KLTPCFPCTDNTNTQAWFKICEKHLVHTCAMPHLESPQVLKQCMLCLKCTAPAHSSRGPYSVQASPLFMPTSIQALPAPFLARIRGLPQTSAAVREHEALRAAVLQILEEMTLGAMTTRATKIQQLINTMQAKNCQPFRTLLKELIKELKDVPQPRPTEASAKVGGLGPPPPISAAPPMHPGHPSVLSGLGPSNRGHSGPLPSSPQSPQSLAPNLIPFSLSRPDASPMVMPMTVQGISFPMRPALSPSPQFVQLMFDTESPPPPLTPTPIQPLSSLKAGNRVLQIHSNFQDRVNKKMIRVGNLRTEWEQKSRVTELTPPTSKLYTLHLEAEKEAEELKLVLLEKRSELSLIEAKWARETEEMINRNIEGDQNKLRDLEMEWVERRGKECLKEIEAKLDQEKQLFEKKEKEIKKKTEERKITVDQIAKLIEQHNKMEEERRQQLQKLQVQLQNEVQRQKDLVEQYREKLLTRETMMKDLNKEIDQDTNVESDATKFDTQVAINQSLKLSSSDQDDDQDVRVGEVGNAPSVVPRFDLSTDRNGEKLISTQPSKEESCNRSALQKTHCGAKLSRTVPHLSERLSTDGEEGATSAVDKLDSQSGSGSLCLATAILNEGLVVQHAASTDGASSGPNQESHELHVNRNNEKTITQSSVSLLPSNKQKQASDKTSLGDPLGEDSKSACLSSSEPPSPANAVVGAVESAAM
+>sp|Q8TC41|RN217_HUMAN Probable E3 ubiquitin-protein ligase RNF217 OS=Homo sapiens OX=9606 GN=RNF217 PE=2 SV=4
+MGEEQSTVSGGGGPQESQTLASGTAGHPEPPRPQGDSARAPPLRAASAEPSGGGCGSDWGCADTSAPEPARSLGPPGWSKSRAPAQPAGLALTGPLNPQTLPLQLELEEEEEEAGDRKEGGDEQQEAPPGEELEPRTRVGAADGLVLDVLGQRRPSLAKRQVFCSVYCVESDLPEAPASEQLSPPASPPGAPPVLNPPSTRSSFPSPRLSLPTDSLSPDGGSIELEFYLAPEPFSMPSLLGAPPYSGLGGVGDPYVPLMVLMCRVCLEDKPIKPLPCCKKAVCEECLKVYLSAQVQLGQVEIKCPITECFEFLEETTVVYNLTHEDSIKYKYFLELGRIDSSTKPCPQCKHFTTFKKKGHIPTPSRSESKYKIQCPTCQFVWCFKCHSPWHEGVNCKEYKKGDKLLRHWASEIEHGQRNAQKCPKCKIHIQRTEGCDHMTCSQCNTNFCYRCGERYRQLRFFGDHTSNLSIFGCKYRYLPERPHLRRLVRGSVCAGKLFIAPLIMVLGLALGAIAVVIGLFVFPIYCLCKKQRKRSRTGMHW
+>DECOY_sp|Q8TC41|RN217_HUMAN Probable E3 ubiquitin-protein ligase RNF217 OS=Homo sapiens OX=9606 GN=RNF217 PE=2 SV=4
+WHMGTRSRKRQKKCLCYIPFVFLGIVVAIAGLALGLVMILPAIFLKGACVSGRVLRRLHPREPLYRYKCGFISLNSTHDGFFRLQRYREGCRYCFNTNCQSCTMHDCGETRQIHIKCKPCKQANRQGHEIESAWHRLLKDGKKYEKCNVGEHWPSHCKFCWVFQCTPCQIKYKSESRSPTPIHGKKKFTTFHKCQPCPKTSSDIRGLELFYKYKISDEHTLNYVVTTEELFEFCETIPCKIEVQGLQVQASLYVKLCEECVAKKCCPLPKIPKDELCVRCMLVMLPVYPDGVGGLGSYPPAGLLSPMSFPEPALYFELEISGGDPSLSDTPLSLRPSPFSSRTSPPNLVPPAGPPSAPPSLQESAPAEPLDSEVCYVSCFVQRKALSPRRQGLVDLVLGDAAGVRTRPELEEGPPAEQQEDGGEKRDGAEEEEEELELQLPLTQPNLPGTLALGAPQAPARSKSWGPPGLSRAPEPASTDACGWDSGCGGGSPEASAARLPPARASDGQPRPPEPHGATGSALTQSEQPGGGGSVTSQEEGM
+>sp|P0DH78|RN224_HUMAN RING finger protein 224 OS=Homo sapiens OX=9606 GN=RNF224 PE=4 SV=1
+MQDAAAGGPPGLGGGGPPEERTDCIICCSAYDLSGHLPRRLYCGHTFCQACVRRLDTPAPEQRWIPCPQCRQSTPTPRGGVAMLDLDLAAFLAVKAEREPARLEPLPLTSLKGSAITRQPAGLCPALGPQPHFPQPRYCCWGCGSLCCPPLGSPEV
+>DECOY_sp|P0DH78|RN224_HUMAN RING finger protein 224 OS=Homo sapiens OX=9606 GN=RNF224 PE=4 SV=1
+VEPSGLPPCCLSGCGWCCYRPQPFHPQPGLAPCLGAPQRTIASGKLSTLPLPELRAPEREAKVALFAALDLDLMAVGGRPTPTSQRCQPCPIWRQEPAPTDLRRVCAQCFTHGCYLRRPLHGSLDYASCCIICDTREEPPGGGGLGPPGGAAADQM
+>sp|Q9BYD6|RM01_HUMAN 39S ribosomal protein L1, mitochondrial OS=Homo sapiens OX=9606 GN=MRPL1 PE=1 SV=2
+MAAAVRCMGRALIHHQRHSLSKMVYQTSLCSCSVNIRVPNRHFAAATKSAKKTKKGAKEKTPDEKKDEIEKIKAYPYMEGEPEDDVYLKRLYPRQIYEVEKAVHLLKKFQILDFTSPKQSVYLDLTLDMALGKKKNVEPFTSVLSLPYPFASEINKVAVFTENASEVKIAEENGAAFAGGTSLIQKIWDDEIVADFYVAVPEIMPELNRLRKKLNKKYPKLSRNSIGRDIPKMLELFKNGHEIKVDEERENFLQTKIATLDMSSDQIAANLQAVINEVCRHRPLNLGPFVVRAFLRSSTSEGLLLKIDPLLPKEVKNEESEKEDA
+>DECOY_sp|Q9BYD6|RM01_HUMAN 39S ribosomal protein L1, mitochondrial OS=Homo sapiens OX=9606 GN=MRPL1 PE=1 SV=2
+ADEKESEENKVEKPLLPDIKLLLGESTSSRLFARVVFPGLNLPRHRCVENIVAQLNAAIQDSSMDLTAIKTQLFNEREEDVKIEHGNKFLELMKPIDRGISNRSLKPYKKNLKKRLRNLEPMIEPVAVYFDAVIEDDWIKQILSTGGAFAAGNEEAIKVESANETFVAVKNIESAFPYPLSLVSTFPEVNKKKGLAMDLTLDLYVSQKPSTFDLIQFKKLLHVAKEVEYIQRPYLRKLYVDDEPEGEMYPYAKIKEIEDKKEDPTKEKAGKKTKKASKTAAAFHRNPVRINVSCSCLSTQYVMKSLSHRQHHILARGMCRVAAAM
+>sp|Q5T653|RM02_HUMAN 39S ribosomal protein L2, mitochondrial OS=Homo sapiens OX=9606 GN=MRPL2 PE=1 SV=2
+MALCALTRALRSLNLAPPTVAAPAPSLFPAAQMMNNGLLQQPSALMLLPCRPVLTSVALNANFVSWKSRTKYTITPVKMRKSGGRDHTGRIRVHGIGGGHKQRYRMIDFLRFRPEETKSGPFEEKVIQVRYDPCRSADIALVAGGSRKRWIIATENMQAGDTILNSNHIGRMAVAAREGDAHPLGALPVGTLINNVESEPGRGAQYIRAAGTCGVLLRKVNGTAIIQLPSKRQMQVLETCVATVGRVSNVDHNKRVIGKAGRNRWLGKRPNSGRWHRKGGWAGRKIRPLPPMKSYVKLPSASAQS
+>DECOY_sp|Q5T653|RM02_HUMAN 39S ribosomal protein L2, mitochondrial OS=Homo sapiens OX=9606 GN=MRPL2 PE=1 SV=2
+SQASASPLKVYSKMPPLPRIKRGAWGGKRHWRGSNPRKGLWRNRGAKGIVRKNHDVNSVRGVTAVCTELVQMQRKSPLQIIATGNVKRLLVGCTGAARIYQAGRGPESEVNNILTGVPLAGLPHADGERAAVAMRGIHNSNLITDGAQMNETAIIWRKRSGGAVLAIDASRCPDYRVQIVKEEFPGSKTEEPRFRLFDIMRYRQKHGGGIGHVRIRGTHDRGGSKRMKVPTITYKTRSKWSVFNANLAVSTLVPRCPLLMLASPQQLLGNNMMQAAPFLSPAPAAVTPPALNLSRLARTLACLAM
+>sp|Q9Y3B7|RM11_HUMAN 39S ribosomal protein L11, mitochondrial OS=Homo sapiens OX=9606 GN=MRPL11 PE=1 SV=1
+MSKLGRAARGLRKPEVGGVIRAIVRAGLAMPGPPLGPVLGQRGVSINQFCKEFNERTKDIKEGIPLPTKILVKPDRTFEIKIGQPTVSYFLKAAAGIEKGARQTGKEVAGLVTLKHVYEIARIKAQDEAFALQDVPLSSVVRSIIGSARSLGIRVVKDLSSEELAAFQKERAIFLAAQKEADLAAQEEAAKK
+>DECOY_sp|Q9Y3B7|RM11_HUMAN 39S ribosomal protein L11, mitochondrial OS=Homo sapiens OX=9606 GN=MRPL11 PE=1 SV=1
+KKAAEEQAALDAEKQAALFIAREKQFAALEESSLDKVVRIGLSRASGIISRVVSSLPVDQLAFAEDQAKIRAIEYVHKLTVLGAVEKGTQRAGKEIGAAAKLFYSVTPQGIKIEFTRDPKVLIKTPLPIGEKIDKTRENFEKCFQNISVGRQGLVPGLPPGPMALGARVIARIVGGVEPKRLGRAARGLKSM
+>sp|Q9BYC9|RM20_HUMAN 39S ribosomal protein L20, mitochondrial OS=Homo sapiens OX=9606 GN=MRPL20 PE=1 SV=1
+MVFLTAQLWLRNRVTDRYFRIQEVLKHARHFRGRKNRCYRLAVRTVIRAFVKCTKARYLKKKNMRTLWINRITAASQEHGLKYPALIGNLVKCQVELNRKVLADLAIYEPKTFKSLAALASRRRHEGFAAALGDGKEPEGIFSRVVQYH
+>DECOY_sp|Q9BYC9|RM20_HUMAN 39S ribosomal protein L20, mitochondrial OS=Homo sapiens OX=9606 GN=MRPL20 PE=1 SV=1
+HYQVVRSFIGEPEKGDGLAAAFGEHRRRSALAALSKFTKPEYIALDALVKRNLEVQCKVLNGILAPYKLGHEQSAATIRNIWLTRMNKKKLYRAKTCKVFARIVTRVALRYCRNKRGRFHRAHKLVEQIRFYRDTVRNRLWLQATLFVM
+>sp|Q9NYK5|RM39_HUMAN 39S ribosomal protein L39, mitochondrial OS=Homo sapiens OX=9606 GN=MRPL39 PE=1 SV=3
+MEALAMGSRALRLWLVAPGGGIKWRFIATSSASQLSPTELTEMRNDLFNKEKARQLSLTPRTEKIEVKHVGKTDPGTVFVMNKNISTPYSCAMHLSEWYCRKSILALVDGQPWDMYKPLTKSCEIKFLTFKDCDPGEVNKAYWRSCAMMMGCVIERAFKDEYMVNLVRAPEVPVISGAFCYDVVLDSKLDEWMPTKENLRSFTKDAHALIYKDLPFETLEVEAKVALEIFQHSKYKVDFIEEKASQNPERIVKLHRIGDFIDVSEGPLIPRTSICFQYEVSAVHNLQPTQPSLIRRFQGVSLPVHLRAHFTIWDKLLERSRKMVTEDQSKATEECTST
+>DECOY_sp|Q9NYK5|RM39_HUMAN 39S ribosomal protein L39, mitochondrial OS=Homo sapiens OX=9606 GN=MRPL39 PE=1 SV=3
+TSTCEETAKSQDETVMKRSRELLKDWITFHARLHVPLSVGQFRRILSPQTPQLNHVASVEYQFCISTRPILPGESVDIFDGIRHLKVIREPNQSAKEEIFDVKYKSHQFIELAVKAEVELTEFPLDKYILAHADKTFSRLNEKTPMWEDLKSDLVVDYCFAGSIVPVEPARVLNVMYEDKFAREIVCGMMMACSRWYAKNVEGPDCDKFTLFKIECSKTLPKYMDWPQGDVLALISKRCYWESLHMACSYPTSINKNMVFVTGPDTKGVHKVEIKETRPTLSLQRAKEKNFLDNRMETLETPSLQSASSTAIFRWKIGGGPAVLWLRLARSGMALAEM
+>sp|Q9H9J2|RM44_HUMAN 39S ribosomal protein L44, mitochondrial OS=Homo sapiens OX=9606 GN=MRPL44 PE=1 SV=1
+MASGLVRLLQQGHRCLLAPVAPKLVPPVRGVKKGFRAAFRFQKELERQRLLRCPPPPVRRSEKPNWDYHAEIQAFGHRLQENFSLDLLKTAFVNSCYIKSEEAKRQQLGIEKEAVLLNLKSNQELSEQGTSFSQTCLTQFLEDEYPDMPTEGIKNLVDFLTGEEVVCHVARNLAVEQLTLSEEFPVPPAVLQQTFFAVIGALLQSSGPERTALFIRDFLITQMTGKELFEMWKIINPMGLLVEELKKRNVSAPESRLTRQSGGTTALPLYFVGLYCDKKLIAEGPGETVLVAEEEAARVALRKLYGFTENRRPWNYSKPKETLRAEKSITAS
+>DECOY_sp|Q9H9J2|RM44_HUMAN 39S ribosomal protein L44, mitochondrial OS=Homo sapiens OX=9606 GN=MRPL44 PE=1 SV=1
+SATISKEARLTEKPKSYNWPRRNETFGYLKRLAVRAAEEEAVLVTEGPGEAILKKDCYLGVFYLPLATTGGSQRTLRSEPASVNRKKLEEVLLGMPNIIKWMEFLEKGTMQTILFDRIFLATREPGSSQLLAGIVAFFTQQLVAPPVPFEESLTLQEVALNRAVHCVVEEGTLFDVLNKIGETPMDPYEDELFQTLCTQSFSTGQESLEQNSKLNLLVAEKEIGLQQRKAEESKIYCSNVFATKLLDLSFNEQLRHGFAQIEAHYDWNPKESRRVPPPPCRLLRQRELEKQFRFAARFGKKVGRVPPVLKPAVPALLCRHGQQLLRVLGSAM
+>sp|Q13405|RM49_HUMAN 39S ribosomal protein L49, mitochondrial OS=Homo sapiens OX=9606 GN=MRPL49 PE=1 SV=1
+MAATMFRATLRGWRTGVQRGCGLRLLSQTQGPPDYPRFVESVDEYQFVERLLPATRIPDPPKHEHYPTPSGWQPPRDPPPNLPYFVRRSRMHNIPVYKDITHGNRQMTVIRKVEGDIWALQKDVEDFLSPLLGKTPVTQVNEVTGTLRIKGYFDQELKAWLLEKGF
+>DECOY_sp|Q13405|RM49_HUMAN 39S ribosomal protein L49, mitochondrial OS=Homo sapiens OX=9606 GN=MRPL49 PE=1 SV=1
+FGKELLWAKLEQDFYGKIRLTGTVENVQTVPTKGLLPSLFDEVDKQLAWIDGEVKRIVTMQRNGHTIDKYVPINHMRSRRVFYPLNPPPDRPPQWGSPTPYHEHKPPDPIRTAPLLREVFQYEDVSEVFRPYDPPGQTQSLLRLGCGRQVGTRWGRLTARFMTAAM
+>sp|Q4U2R6|RM51_HUMAN 39S ribosomal protein L51, mitochondrial OS=Homo sapiens OX=9606 GN=MRPL51 PE=1 SV=1
+MAGNLLSGAGRRLWDWVPLACRSFSLGVPRLIGIRLTLPPPKVVDRWNEKRAMFGVYDNIGILGNFEKHPKELIRGPIWLRGWKGNELQRCIRKRKMVGSRMFADDLHNLNKRIRYLYKHFNRHGKFR
+>DECOY_sp|Q4U2R6|RM51_HUMAN 39S ribosomal protein L51, mitochondrial OS=Homo sapiens OX=9606 GN=MRPL51 PE=1 SV=1
+RFKGHRNFHKYLYRIRKNLNHLDDAFMRSGVMKRKRICRQLENGKWGRLWIPGRILEKPHKEFNGLIGINDYVGFMARKENWRDVVKPPPLTLRIGILRPVGLSFSRCALPVWDWLRRGAGSLLNGAM
+>sp|Q2M238|RN3P1_HUMAN Putative RRN3-like protein RRN3P1 OS=Homo sapiens OX=9606 GN=RRN3P1 PE=5 SV=1
+MGFAEAFLEHLWKNLQDPSNPAIIRQAAGNYIGSFLARAKFISLITVKPCLDLLVNWLHIYLNNQDSGTKAFCDVALHGPFYSACQAVFYTFVFRHKQLLSGNLKEGLQYPQSLNFERIVMSQLNPLKICLPSVVNFFAAITKMKTCGYGWW
+>DECOY_sp|Q2M238|RN3P1_HUMAN Putative RRN3-like protein RRN3P1 OS=Homo sapiens OX=9606 GN=RRN3P1 PE=5 SV=1
+WWGYGCTKMKTIAAFFNVVSPLCIKLPNLQSMVIREFNLSQPYQLGEKLNGSLLQKHRFVFTYFVAQCASYFPGHLAVDCFAKTGSDQNNLYIHLWNVLLDLCPKVTILSIFKARALFSGIYNGAAQRIIAPNSPDQLNKWLHELFAEAFGM
+>sp|P34096|RNAS4_HUMAN Ribonuclease 4 OS=Homo sapiens OX=9606 GN=RNASE4 PE=1 SV=3
+MALQRTHSLLLLLLLTLLGLGLVQPSYGQDGMYQRFLRQHVHPEETGGSDRYCNLMMQRRKMTLYHCKRFNTFIHEDIWNIRSICSTTNIQCKNGKMNCHEGVVKVTDCRDTGSSRAPNCRYRAIASTRRVVIACEGNPQVPVHFDG
+>DECOY_sp|P34096|RNAS4_HUMAN Ribonuclease 4 OS=Homo sapiens OX=9606 GN=RNASE4 PE=1 SV=3
+GDFHVPVQPNGECAIVVRRTSAIARYRCNPARSSGTDRCDTVKVVGEHCNMKGNKCQINTTSCISRINWIDEHIFTNFRKCHYLTMKRRQMMLNCYRDSGGTEEPHVHQRLFRQYMGDQGYSPQVLGLGLLTLLLLLLLSHTRQLAM
+>sp|Q86VV4|RNB3L_HUMAN Ran-binding protein 3-like OS=Homo sapiens OX=9606 GN=RANBP3L PE=1 SV=2
+MTTIPRKGSSHLPGSLHTCKLKLQEDRRQQEKSVIAQPIFVFEKGEQTFKRPAEDTLYEAAEPECNGFPTKRVRSSSFTFHITDSQSQGVRKNNVFMTSALVQSSVDIKSAEQGPVKHSKHVIRPAILQLPQARSCAKVRKTFGHKALESCKTKEKTNNKISEGNSYLLSENLSRARISVQLSTNQDFLGATSVGCQPNEDKCSFKSCSSNFVFGENMVERVLGTQKLTQPQLENDSYAKEKPFKSIPKFPVNFLSSRTDSIKNTSLIESAAAFSSQPSRKCLLEKIDVITGEETEHNVLKINCKLFIFNKTTQSWIERGRGTLRLNDTASTDCGTLQSRLIMRNQGSLRLILNSKLWAQMKIQRANHKNVRITATDLEDYSIKIFLIQASAQDTAYLYAAIHHRLVALQSFNKQRDVNQAESLSETAQQLNCESCDENEDDFIQVTKNGSDPSSWTHRQSVACS
+>DECOY_sp|Q86VV4|RNB3L_HUMAN Ran-binding protein 3-like OS=Homo sapiens OX=9606 GN=RANBP3L PE=1 SV=2
+SCAVSQRHTWSSPDSGNKTVQIFDDENEDCSECNLQQATESLSEAQNVDRQKNFSQLAVLRHHIAAYLYATDQASAQILFIKISYDELDTATIRVNKHNARQIKMQAWLKSNLILRLSGQNRMILRSQLTGCDTSATDNLRLTGRGREIWSQTTKNFIFLKCNIKLVNHETEEGTIVDIKELLCKRSPQSSFAAASEILSTNKISDTRSSLFNVPFKPISKFPKEKAYSDNELQPQTLKQTGLVREVMNEGFVFNSSCSKFSCKDENPQCGVSTAGLFDQNTSLQVSIRARSLNESLLYSNGESIKNNTKEKTKCSELAKHGFTKRVKACSRAQPLQLIAPRIVHKSHKVPGQEASKIDVSSQVLASTMFVNNKRVGQSQSDTIHFTFSSSRVRKTPFGNCEPEAAEYLTDEAPRKFTQEGKEFVFIPQAIVSKEQQRRDEQLKLKCTHLSGPLHSSGKRPITTM
+>sp|Q5GAN4|RNS12_HUMAN Probable inactive ribonuclease-like protein 12 OS=Homo sapiens OX=9606 GN=RNASE12 PE=2 SV=1
+MIIMVIIFLVLLFWENEVNDEAVMSTLEHLHVDYPQNDVPVPARYCNHMIIQRVIREPDHTCKKEHVFIHERPRKINGICISPKKVACQNLSAIFCFQSETKFKMTVCQLIEGTRYPACRYHYSPTEGFVLVTCDDLRPDSFLGYVK
+>DECOY_sp|Q5GAN4|RNS12_HUMAN Probable inactive ribonuclease-like protein 12 OS=Homo sapiens OX=9606 GN=RNASE12 PE=2 SV=1
+KVYGLFSDPRLDDCTVLVFGETPSYHYRCAPYRTGEILQCVTMKFKTESQFCFIASLNQCAVKKPSICIGNIKRPREHIFVHEKKCTHDPERIVRQIIMHNCYRAPVPVDNQPYDVHLHELTSMVAEDNVENEWFLLVLFIIVMIIM
+>sp|O00584|RNT2_HUMAN Ribonuclease T2 OS=Homo sapiens OX=9606 GN=RNASET2 PE=1 SV=2
+MRPAALRGALLGCLCLALLCLGGADKRLRDNHEWKKLIMVQHWPETVCEKIQNDCRDPPDYWTIHGLWPDKSEGCNRSWPFNLEEIKDLLPEMRAYWPDVIHSFPNRSRFWKHEWEKHGTCAAQVDALNSQKKYFGRSLELYRELDLNSVLLKLGIKPSINYYQVADFKDALARVYGVIPKIQCLPPSQDEEVQTIGQIELCLTKQDQQLQNCTEPGEQPSPKQEVWLANGAAESRGLRVCEDGPVFYPPPKKTKH
+>DECOY_sp|O00584|RNT2_HUMAN Ribonuclease T2 OS=Homo sapiens OX=9606 GN=RNASET2 PE=1 SV=2
+HKTKKPPPYFVPGDECVRLGRSEAAGNALWVEQKPSPQEGPETCNQLQQDQKTLCLEIQGITQVEEDQSPPLCQIKPIVGYVRALADKFDAVQYYNISPKIGLKLLVSNLDLERYLELSRGFYKKQSNLADVQAACTGHKEWEHKWFRSRNPFSHIVDPWYARMEPLLDKIEELNFPWSRNCGESKDPWLGHITWYDPPDRCDNQIKECVTEPWHQVMILKKWEHNDRLRKDAGGLCLLALCLCGLLAGRLAAPRM
+>sp|Q3B726|RPA43_HUMAN DNA-directed RNA polymerase I subunit RPA43 OS=Homo sapiens OX=9606 GN=TWISTNB PE=1 SV=1
+MAAGCSEAPRPAAASDGSLVGQAGVLPCLELPTYAAACALVNSRYSCLVAGPHQRHIALSPRYLNRKRTGIREQLDAELLRYSESLLGVPIAYDNIKVVGELGDIYDDQGHIHLNIEADFVIFCPEPGQKLMGIVNKVSSSHIGCLVHGCFNASIPKPEQLSAEQWQTMEINMGDELEFEVFRLDSDAAGVFCIRGKLNITSLQFKRSEVSEEVTENGTEEAAKKPKKKKKKKDPETYEVDSGTTKLADDADDTPMEESALQNTNNANGIWEEEPKKKKKKKKHQEVQDQDPVFQGSDSSGYQSDHKKKKKKRKHSEEAEFTPPLKCSPKRKGKSNFL
+>DECOY_sp|Q3B726|RPA43_HUMAN DNA-directed RNA polymerase I subunit RPA43 OS=Homo sapiens OX=9606 GN=TWISTNB PE=1 SV=1
+LFNSKGKRKPSCKLPPTFEAEESHKRKKKKKKHDSQYGSSDSGQFVPDQDQVEQHKKKKKKKKPEEEWIGNANNTNQLASEEMPTDDADDALKTTGSDVEYTEPDKKKKKKKPKKAAEETGNETVEESVESRKFQLSTINLKGRICFVGAADSDLRFVEFELEDGMNIEMTQWQEASLQEPKPISANFCGHVLCGIHSSSVKNVIGMLKQGPEPCFIVFDAEINLHIHGQDDYIDGLEGVVKINDYAIPVGLLSESYRLLEADLQERIGTRKRNLYRPSLAIHRQHPGAVLCSYRSNVLACAAAYTPLELCPLVGAQGVLSGDSAAAPRPAESCGAAM
+>sp|Q9GZS1|RPA49_HUMAN DNA-directed RNA polymerase I subunit RPA49 OS=Homo sapiens OX=9606 GN=POLR1E PE=1 SV=2
+MYQASAVSLLPRDIPSCHSPSPGFSHLPTSSSQLAPDLLQFPLGQDPSFLAIPILTLPPSDSLVPPYIVWYIVWPSALISFLGCTLTVQFSNGKLQSPGNMRFTLYENKDSTNPRKRNQRILAAETDRLSYVGNNFGTGALKCNTLCRHFVGILNKTSGQMEVYDAELFNMQPLFSDVSVESELALESQTKTYREKMDSCIEAFGTTKQKRALNTRRMNRVGNESLNRAVAKAAETIIDTKGVTALVSDAIHNDLQDDSLYLPPCYDDAAKPEDVYKFEDLLSPAEYEALQSPSEAFRNVTSEEILKMIEENSHCTFVIEALKSLPSDVESRDRQARCIWFLDTLIKFRAHRVVKRKSALGPGVPHIINTKLLKHFTCLTYNNGRLRNLISDSMKAKITAYVIILALHIHDFQIDLTVLQRDLKLSEKRMMEIAKAMRLKISKRRVSVAAGSEEDHKLGTLSLPLPPAQTSDRLAKRRKIT
+>DECOY_sp|Q9GZS1|RPA49_HUMAN DNA-directed RNA polymerase I subunit RPA49 OS=Homo sapiens OX=9606 GN=POLR1E PE=1 SV=2
+TIKRRKALRDSTQAPPLPLSLTGLKHDEESGAAVSVRRKSIKLRMAKAIEMMRKESLKLDRQLVTLDIQFDHIHLALIIVYATIKAKMSDSILNRLRGNNYTLCTFHKLLKTNIIHPVGPGLASKRKVVRHARFKILTDLFWICRAQRDRSEVDSPLSKLAEIVFTCHSNEEIMKLIEESTVNRFAESPSQLAEYEAPSLLDEFKYVDEPKAADDYCPPLYLSDDQLDNHIADSVLATVGKTDIITEAAKAVARNLSENGVRNMRRTNLARKQKTTGFAEICSDMKERYTKTQSELALESEVSVDSFLPQMNFLEADYVEMQGSTKNLIGVFHRCLTNCKLAGTGFNNGVYSLRDTEAALIRQNRKRPNTSDKNEYLTFRMNGPSQLKGNSFQVTLTCGLFSILASPWVIYWVIYPPVLSDSPPLTLIPIALFSPDQGLPFQLLDPALQSSSTPLHSFGPSPSHCSPIDRPLLSVASAQYM
+>sp|Q9GZM3|RPB1B_HUMAN DNA-directed RNA polymerase II subunit RPB11-b1 OS=Homo sapiens OX=9606 GN=POLR2J2 PE=1 SV=2
+MNAPPAFESFLLFEGEKITINKDTKVPKACLFTINKEDHTLGNIIKSQLLKDPQVLFAGYKVPHPLEHKIIIRVQTTPDYSPQEAFTNAITDLISELSLLEERFRTCLLPLRLLP
+>DECOY_sp|Q9GZM3|RPB1B_HUMAN DNA-directed RNA polymerase II subunit RPB11-b1 OS=Homo sapiens OX=9606 GN=POLR2J2 PE=1 SV=2
+PLLRLPLLCTRFREELLSLESILDTIANTFAEQPSYDPTTQVRIIIKHELPHPVKYGAFLVQPDKLLQSKIINGLTHDEKNITFLCAKPVKTDKNITIKEGEFLLFSEFAPPANM
+>sp|Q9Y535|RPC8_HUMAN DNA-directed RNA polymerase III subunit RPC8 OS=Homo sapiens OX=9606 GN=POLR3H PE=1 SV=1
+MFVLVEMVDTVRIPPWQFERKLNDSIAEELNKKLANKVVYNVGLCICLFDITKLEDAYVFPGDGASHTKVHFRCVVFHPFLDEILIGKIKGCSPEGVHVSLGFFDDILIPPESLQQPAKFDEAEQVWVWEYETEEGAHDLYMDTGEEIRFRVVDESFVDTSPTGPSSADATTSSEELPKKEAPYTLVGSISEPGLGLLSWWTSN
+>DECOY_sp|Q9Y535|RPC8_HUMAN DNA-directed RNA polymerase III subunit RPC8 OS=Homo sapiens OX=9606 GN=POLR3H PE=1 SV=1
+NSTWWSLLGLGPESISGVLTYPAEKKPLEESSTTADASSPGTPSTDVFSEDVVRFRIEEGTDMYLDHAGEETEYEWVWVQEAEDFKAPQQLSEPPILIDDFFGLSVHVGEPSCGKIKGILIEDLFPHFVVCRFHVKTHSAGDGPFVYADELKTIDFLCICLGVNYVVKNALKKNLEEAISDNLKREFQWPPIRVTDVMEVLVFM
+>sp|O75575|RPC9_HUMAN DNA-directed RNA polymerase III subunit RPC9 OS=Homo sapiens OX=9606 GN=CRCP PE=1 SV=1
+MEVKDANSALLSNYEVFQLLTDLKEQRKESGKNKHSSGQQNLNTITYETLKYISKTPCRHQSPEIVREFLTALKSHKLTKAEKLQLLNHRPVTAVEIQLMVEESEERLTEEQIEALLHTVTSILPAEPEAEQKKNTNSNVAMDEEDPA
+>DECOY_sp|O75575|RPC9_HUMAN DNA-directed RNA polymerase III subunit RPC9 OS=Homo sapiens OX=9606 GN=CRCP PE=1 SV=1
+APDEEDMAVNSNTNKKQEAEPEAPLISTVTHLLAEIQEETLREESEEVMLQIEVATVPRHNLLQLKEAKTLKHSKLATLFERVIEPSQHRCPTKSIYKLTEYTITNLNQQGSSHKNKGSEKRQEKLDTLLQFVEYNSLLASNADKVEM
+>sp|Q9UHA3|RLP24_HUMAN Probable ribosome biogenesis protein RLP24 OS=Homo sapiens OX=9606 GN=RSL24D1 PE=1 SV=1
+MRIEKCYFCSGPIYPGHGMMFVRNDCKVFRFCKSKCHKNFKKKRNPRKVRWTKAFRKAAGKELTVDNSFEFEKRRNEPIKYQRELWNKTIDAMKRVEEIKQKRQAKFIMNRLKKNKELQKVQDIKEVKQNIHLIRAPLAGKGKQLEEKMVQQLQEDVDMEDAP
+>DECOY_sp|Q9UHA3|RLP24_HUMAN Probable ribosome biogenesis protein RLP24 OS=Homo sapiens OX=9606 GN=RSL24D1 PE=1 SV=1
+PADEMDVDEQLQQVMKEELQKGKGALPARILHINQKVEKIDQVKQLEKNKKLRNMIFKAQRKQKIEEVRKMADITKNWLERQYKIPENRRKEFEFSNDVTLEKGAAKRFAKTWRVKRPNRKKKFNKHCKSKCFRFVKCDNRVFMMGHGPYIPGSCFYCKEIRM
+>sp|Q9BYD3|RM04_HUMAN 39S ribosomal protein L4, mitochondrial OS=Homo sapiens OX=9606 GN=MRPL4 PE=1 SV=1
+MLQFVRAGARAWLRPTGSQGLSSLAEEAARATENPEQVASEGLPEPVLRKVELPVPTHRRPVQAWVESLRGFEQERVGLADLHPDVFATAPRLDILHQVAMWQKNFKRISYAKTKTRAEVRGGGRKPWPQKGTGRARHGSIRSPLWRGGGVAHGPRGPTSYYYMLPMKVRALGLKVALTVKLAQDDLHIMDSLELPTGDPQYLTELAHYRRWGDSVLLVDLTHEEMPQSIVEATSRLKTFNLIPAVGLNVHSMLKHQTLVLTLPTVAFLEDKLLWQDSRYRPLYPFSLPYSDFPRPLPHATQGPAATPYHC
+>DECOY_sp|Q9BYD3|RM04_HUMAN 39S ribosomal protein L4, mitochondrial OS=Homo sapiens OX=9606 GN=MRPL4 PE=1 SV=1
+CHYPTAAPGQTAHPLPRPFDSYPLSFPYLPRYRSDQWLLKDELFAVTPLTLVLTQHKLMSHVNLGVAPILNFTKLRSTAEVISQPMEEHTLDVLLVSDGWRRYHALETLYQPDGTPLELSDMIHLDDQALKVTLAVKLGLARVKMPLMYYYSTPGRPGHAVGGGRWLPSRISGHRARGTGKQPWPKRGGGRVEARTKTKAYSIRKFNKQWMAVQHLIDLRPATAFVDPHLDALGVREQEFGRLSEVWAQVPRRHTPVPLEVKRLVPEPLGESAVQEPNETARAAEEALSSLGQSGTPRLWARAGARVFQLM
+>sp|Q7Z7H8|RM10_HUMAN 39S ribosomal protein L10, mitochondrial OS=Homo sapiens OX=9606 GN=MRPL10 PE=1 SV=3
+MAAAVAGMLRGGLLPQAGRLPTLQTVRYGSKAVTRHRRVMHFQRQKLMAVTEYIPPKPAIHPSCLPSPPSPPQEEIGLIRLLRREIAAVFQDNRMIAVCQNVALSAEDKLLMRHQLRKHKILMKVFPNQVLKPFLEDSKYQNLLPLFVGHNMLLVSEEPKVKEMVRILRTVPFLPLLGGCIDDTILSRQGFINYSKLPSLPLVQGELVGGLTCLTAQTHSLLQHQPLQLTTLLDQYIREQREKDSVMSANGKPDPDTVPDS
+>DECOY_sp|Q7Z7H8|RM10_HUMAN 39S ribosomal protein L10, mitochondrial OS=Homo sapiens OX=9606 GN=MRPL10 PE=1 SV=3
+SDPVTDPDPKGNASMVSDKERQERIYQDLLTTLQLPQHQLLSHTQATLCTLGGVLEGQVLPLSPLKSYNIFGQRSLITDDICGGLLPLFPVTRLIRVMEKVKPEESVLLMNHGVFLPLLNQYKSDELFPKLVQNPFVKMLIKHKRLQHRMLLKDEASLAVNQCVAIMRNDQFVAAIERRLLRILGIEEQPPSPPSPLCSPHIAPKPPIYETVAMLKQRQFHMVRRHRTVAKSGYRVTQLTPLRGAQPLLGGRLMGAVAAAM
+>sp|P52815|RM12_HUMAN 39S ribosomal protein L12, mitochondrial OS=Homo sapiens OX=9606 GN=MRPL12 PE=1 SV=2
+MLPAAARPLWGPCLGLRAAAFRLARRQVPCVCAVRHMRSSGHQRCEALAGAPLDNAPKEYPPKIQQLVQDIASLTLLEISDLNELLKKTLKIQDVGLVPMGGVMSGAVPAAAAQEAVEEDIPIAKERTHFTVRLTEAKPVDKVKLIKEIKNYIQGINLVQAKKLVESLPQEIKANVAKAEAEKIKAALEAVGGTVVLE
+>DECOY_sp|P52815|RM12_HUMAN 39S ribosomal protein L12, mitochondrial OS=Homo sapiens OX=9606 GN=MRPL12 PE=1 SV=2
+ELVVTGGVAELAAKIKEAEAKAVNAKIEQPLSEVLKKAQVLNIGQIYNKIEKILKVKDVPKAETLRVTFHTREKAIPIDEEVAEQAAAAPVAGSMVGGMPVLGVDQIKLTKKLLENLDSIELLTLSAIDQVLQQIKPPYEKPANDLPAGALAECRQHGSSRMHRVACVCPVQRRALRFAAARLGLCPGWLPRAAAPLM
+>sp|Q9NWU5|RM22_HUMAN 39S ribosomal protein L22, mitochondrial OS=Homo sapiens OX=9606 GN=MRPL22 PE=1 SV=1
+MAAAVLGQLGALWIHNLRSRGKLALGVLPQSYIHTSASLDISRKWEKKNKIVYPPQLPGEPRRPAEIYHCRRQIKYSKDKMWYLAKLIRGMSIDQALAQLEFNDKKGAKIIKEVLLEAQDMAVRDHNVEFRSNLYIAESTSGRGQCLKRIRYHGRGRFGIMEKVYCHYFVKLVEGPPPPPEPPKTAVAHAKEYIQQLRSRTIVHTL
+>DECOY_sp|Q9NWU5|RM22_HUMAN 39S ribosomal protein L22, mitochondrial OS=Homo sapiens OX=9606 GN=MRPL22 PE=1 SV=1
+LTHVITRSRLQQIYEKAHAVATKPPEPPPPPGEVLKVFYHCYVKEMIGFRGRGHYRIRKLCQGRGSTSEAIYLNSRFEVNHDRVAMDQAELLVEKIIKAGKKDNFELQALAQDISMGRILKALYWMKDKSYKIQRRCHYIEAPRRPEGPLQPPYVIKNKKEWKRSIDLSASTHIYSQPLVGLALKGRSRLNHIWLAGLQGLVAAAM
+>sp|Q96A35|RM24_HUMAN 39S ribosomal protein L24, mitochondrial OS=Homo sapiens OX=9606 GN=MRPL24 PE=1 SV=1
+MRLSALLALASKVTLPPHYRYGMSPPGSVADKRKNPPWIRRRPVVVEPISDEDWYLFCGDTVEILEGKDAGKQGKVVQVIRQRNWVVVGGLNTHYRYIGKTMDYRGTMIPSEAPLLHRQVKLVDPMDRKPTEIEWRFTEAGERVRVSTRSGRIIPKPEFPRADGIVPETWIDGPKDTSVEDALERTYVPCLKTLQEEVMEAMGIKETRKYKKVYWY
+>DECOY_sp|Q96A35|RM24_HUMAN 39S ribosomal protein L24, mitochondrial OS=Homo sapiens OX=9606 GN=MRPL24 PE=1 SV=1
+YWYVKKYKRTEKIGMAEMVEEQLTKLCPVYTRELADEVSTDKPGDIWTEPVIGDARPFEPKPIIRGSRTSVRVREGAETFRWEIETPKRDMPDVLKVQRHLLPAESPIMTGRYDMTKGIYRYHTNLGGVVVWNRQRIVQVVKGQKGADKGELIEVTDGCFLYWDEDSIPEVVVPRRRIWPPNKRKDAVSGPPSMGYRYHPPLTVKSALALLASLRM
+>sp|Q13084|RM28_HUMAN 39S ribosomal protein L28, mitochondrial OS=Homo sapiens OX=9606 GN=MRPL28 PE=1 SV=4
+MPLHKYPVWLWKRLQLREGICSRLPGHYLRSLEEERTPTPVHYRPHGAKFKINPKNGQRERVEDVPIPIYFPPESQRGLWGGEGWILGQIYANNDKLSKRLKKVWKPQLFEREFYSEILDKKFTVTVTMRTLDLIDEAYGLDFYILKTPKEDLCSKFGMDLKRGMLLRLARQDPQLHPEDPERRAAIYDKYKEFAIPEEEAEWVGLTLEEAIEKQRLLEEKDPVPLFKIYVAELIQQLQQQALSEPAVVQKRASGQ
+>DECOY_sp|Q13084|RM28_HUMAN 39S ribosomal protein L28, mitochondrial OS=Homo sapiens OX=9606 GN=MRPL28 PE=1 SV=4
+QGSARKQVVAPESLAQQQLQQILEAVYIKFLPVPDKEELLRQKEIAEELTLGVWEAEEEPIAFEKYKDYIAARREPDEPHLQPDQRALRLLMGRKLDMGFKSCLDEKPTKLIYFDLGYAEDILDLTRMTVTVTFKKDLIESYFEREFLQPKWVKKLRKSLKDNNAYIQGLIWGEGGWLGRQSEPPFYIPIPVDEVRERQGNKPNIKFKAGHPRYHVPTPTREEELSRLYHGPLRSCIGERLQLRKWLWVPYKHLPM
+>sp|Q9Y6G3|RM42_HUMAN 39S ribosomal protein L42, mitochondrial OS=Homo sapiens OX=9606 GN=MRPL42 PE=1 SV=1
+MAVAAVKWVMSKRTILKHLFPVQNGALYCVCHKSTYSPLPDDYNCNVELALTSDGRTIVCYHPSVDIPYEHTKPIPRPDPVHNNEETHDQVLKTRLEEKVEHLEEGPMIEQLSKMFFTTKHRWYPHGRYHRCRKNLNPPKDR
+>DECOY_sp|Q9Y6G3|RM42_HUMAN 39S ribosomal protein L42, mitochondrial OS=Homo sapiens OX=9606 GN=MRPL42 PE=1 SV=1
+RDKPPNLNKRCRHYRGHPYWRHKTTFFMKSLQEIMPGEELHEVKEELRTKLVQDHTEENNHVPDPRPIPKTHEYPIDVSPHYCVITRGDSTLALEVNCNYDDPLPSYTSKHCVCYLAGNQVPFLHKLITRKSMVWKVAAVAM
+>sp|Q96GC5|RM48_HUMAN 39S ribosomal protein L48, mitochondrial OS=Homo sapiens OX=9606 GN=MRPL48 PE=1 SV=2
+MSGTLEKVLCLRNNTIFKQAFSLLRFRTSGEKPIYSVGGILLSISRPYKTKPTHGIGKYKHLIKAEEPKKKKGKVEVRAINLGTDYEYGVLNIHLTAYDMTLAESYAQYVHNLCNSLSIKVEESYAMPTKTIEVLQLQDQGSKMLLDSVLTTHERVVQISGLSATFAEIFLEIIQSSLPEGVRLSVKEHTEEDFKGRFKARPELEELLAKLK
+>DECOY_sp|Q96GC5|RM48_HUMAN 39S ribosomal protein L48, mitochondrial OS=Homo sapiens OX=9606 GN=MRPL48 PE=1 SV=2
+KLKALLEELEPRAKFRGKFDEETHEKVSLRVGEPLSSQIIELFIEAFTASLGSIQVVREHTTLVSDLLMKSGQDQLQLVEITKTPMAYSEEVKISLSNCLNHVYQAYSEALTMDYATLHINLVGYEYDTGLNIARVEVKGKKKKPEEAKILHKYKGIGHTPKTKYPRSISLLIGGVSYIPKEGSTRFRLLSFAQKFITNNRLCLVKELTGSM
+>sp|Q8N5N7|RM50_HUMAN 39S ribosomal protein L50, mitochondrial OS=Homo sapiens OX=9606 GN=MRPL50 PE=1 SV=2
+MAARSVSGITRRVFMWTVSGTPCREFWSRFRKEKEPVVVETVEEKKEPILVCPPLRSRAYTPPEDLQSRLESYVKEVFGSSLPSNWQDISLEDSRLKFNLLAHLADDLGHVVPNSRLHQMCRVRDVLDFYNVPIQDRSKFDELSASNLPPNLKITWSY
+>DECOY_sp|Q8N5N7|RM50_HUMAN 39S ribosomal protein L50, mitochondrial OS=Homo sapiens OX=9606 GN=MRPL50 PE=1 SV=2
+YSWTIKLNPPLNSASLEDFKSRDQIPVNYFDLVDRVRCMQHLRSNPVVHGLDDALHALLNFKLRSDELSIDQWNSPLSSGFVEKVYSELRSQLDEPPTYARSRLPPCVLIPEKKEEVTEVVVPEKEKRFRSWFERCPTGSVTWMFVRRTIGSVSRAAM
+>sp|Q7Z7F7|RM55_HUMAN 39S ribosomal protein L55, mitochondrial OS=Homo sapiens OX=9606 GN=MRPL55 PE=1 SV=1
+MAAVGSLLGRLRQSTVKATGPALRRLHTSSWRADSSRASLTRVHRQAYARLYPVLLVKQDGSTIHIRYREPRRMLAMPIDLDTLSPEERRARLRKREAQLQSRKEYEQELSDDLHVERYRQFWTRTKK
+>DECOY_sp|Q7Z7F7|RM55_HUMAN 39S ribosomal protein L55, mitochondrial OS=Homo sapiens OX=9606 GN=MRPL55 PE=1 SV=1
+KKTRTWFQRYREVHLDDSLEQEYEKRSQLQAERKRLRARREEPSLTDLDIPMALMRRPERYRIHITSGDQKVLLVPYLRAYAQRHVRTLSARSSDARWSSTHLRRLAPGTAKVTSQRLRGLLSGVAAM
+>sp|Q96G75|RMD5B_HUMAN Protein RMD5 homolog B OS=Homo sapiens OX=9606 GN=RMND5B PE=1 SV=1
+MEQCACVERELDKVLQKFLTYGQHCERSLEELLHYVGQLRAELASAALQGTPLSATLSLVMSQCCRKIKDTVQKLASDHKDIHSSVSRVGKAIDRNFDSEICGVVSDAVWDAREQQQQILQMAIVEHLYQQGMLSVAEELCQESTLNVDLDFKQPFLELNRILEALHEQDLGPALEWAVSHRQRLLELNSSLEFKLHRLHFIRLLAGGPAKQLEALSYARHFQPFARLHQREIQVMMGSLVYLRLGLEKSPYCHLLDSSHWAEICETFTRDACSLLGLSVESPLSVSFASGCVALPVLMNIKAVIEQRQCTGVWNHKDELPIEIELGMKCWYHSVFACPILRQQTSDSNPPIKLICGHVISRDALNKLINGGKLKCPYCPMEQNPADGKRIIF
+>DECOY_sp|Q96G75|RMD5B_HUMAN Protein RMD5 homolog B OS=Homo sapiens OX=9606 GN=RMND5B PE=1 SV=1
+FIIRKGDAPNQEMPCYPCKLKGGNILKNLADRSIVHGCILKIPPNSDSTQQRLIPCAFVSHYWCKMGLEIEIPLEDKHNWVGTCQRQEIVAKINMLVPLAVCGSAFSVSLPSEVSLGLLSCADRTFTECIEAWHSSDLLHCYPSKELGLRLYVLSGMMVQIERQHLRAFPQFHRAYSLAELQKAPGGALLRIFHLRHLKFELSSNLELLRQRHSVAWELAPGLDQEHLAELIRNLELFPQKFDLDVNLTSEQCLEEAVSLMGQQYLHEVIAMQLIQQQQERADWVADSVVGCIESDFNRDIAKGVRSVSSHIDKHDSALKQVTDKIKRCCQSMVLSLTASLPTGQLAASALEARLQGVYHLLEELSRECHQGYTLFKQLVKDLEREVCACQEM
+>sp|Q9NWS8|RMND1_HUMAN Required for meiotic nuclear division protein 1 homolog OS=Homo sapiens OX=9606 GN=RMND1 PE=1 SV=2
+MPATLLRAVARSHHILSKAHQCRRIGHLMLKPLKEFENTTCSTLTIRQSLDLFLPDKTASGLNKSQILEMNQKKSDTSMLSPLNAARCQDEKAHLPTMKSFGTHRRVTHKPNLLGSKWFIKILKRHFSSVSTETFVPKQDFPQVKRPLKASRTRQPSRTNLPVLSVNEDLMHCTAFATADEYHLGNLSQDLASHGYVEVTSLPRDAANILVMGVENSAKEGDPGTIFFFREGAAVFWNVKDKTMKHVMKVLEKHEIQPYEIALVHWENEELNYIKIEGQSKLHRGEIKLNSELDLDDAILEKFAFSNALCLSVKLAIWEASLDKFIESIQSIPEALKAGKKVKLSHEEVMQKIGELFALRHRINLSSDFLITPDFYWDRENLEGLYDKTCQFLSIGRRVKVMNEKLQHCMELTDLMRNHLNEKRALRLEWMIVILITIEVMFELGRVFF
+>DECOY_sp|Q9NWS8|RMND1_HUMAN Required for meiotic nuclear division protein 1 homolog OS=Homo sapiens OX=9606 GN=RMND1 PE=1 SV=2
+FFVRGLEFMVEITILIVIMWELRLARKENLHNRMLDTLEMCHQLKENMVKVRRGISLFQCTKDYLGELNERDWYFDPTILFDSSLNIRHRLAFLEGIKQMVEEHSLKVKKGAKLAEPISQISEIFKDLSAEWIALKVSLCLANSFAFKELIADDLDLESNLKIEGRHLKSQGEIKIYNLEENEWHVLAIEYPQIEHKELVKMVHKMTKDKVNWFVAAGERFFFITGPDGEKASNEVGMVLINAADRPLSTVEVYGHSALDQSLNGLHYEDATAFATCHMLDENVSLVPLNTRSPQRTRSAKLPRKVQPFDQKPVFTETSVSSFHRKLIKIFWKSGLLNPKHTVRRHTGFSKMTPLHAKEDQCRAANLPSLMSTDSKKQNMELIQSKNLGSATKDPLFLDLSQRITLTSCTTNEFEKLPKLMLHGIRRCQHAKSLIHHSRAVARLLTAPM
+>sp|O94763|RMP_HUMAN Unconventional prefoldin RPB5 interactor 1 OS=Homo sapiens OX=9606 GN=URI1 PE=1 SV=3
+MEAPTVETPPDPSPPSAPAPALVPLRAPDVARLREEQEKVVTNCQERIQHWKKVDNDYNALRERLSTLPDKLSYNIMVPFGPFAFMPGKLVHTNEVTVLLGDNWFAKCSAKQAVGLVEHRKEHVRKTIDDLKKVMKNFESRVEFTEDLQKMSDAAGDIVDIREEIKCDFEFKAKHRIAHKPHSKPKTSDIFEADIANDVKSKDLLADKELWARLEELERQEELLGELDSKPDTVIANGEDTTSSEEEKEDRNTNVNAMHQVTDSHTPCHKDVASSEPFSGQVNSQLNCSVNGSSSYHSDDDDDDDDDDDDDNIDDDDGDNDHEALGVGDNSIPTIYFSHTVEPKRVRINTGKNTTLKFSEKKEEAKRKRKNSTGSGHSAQELPTIRTPADIYRAFVDVVNGEYVPRKSILKSRSRENSVCSDTSESSAAEFDDRRGVLRSISCEEATCSDTSESILEEEPQENQKKLLPLSVTPEAFSGTVIEKEFVSPSLTPPPAIAHPALPTIPERKEVLLEASEETGKRVSKFKAARLQQKD
+>DECOY_sp|O94763|RMP_HUMAN Unconventional prefoldin RPB5 interactor 1 OS=Homo sapiens OX=9606 GN=URI1 PE=1 SV=3
+DKQQLRAAKFKSVRKGTEESAELLVEKREPITPLAPHAIAPPPTLSPSVFEKEIVTGSFAEPTVSLPLLKKQNEQPEEELISESTDSCTAEECSISRLVGRRDDFEAASSESTDSCVSNERSRSKLISKRPVYEGNVVDVFARYIDAPTRITPLEQASHGSGTSNKRKRKAEEKKESFKLTTNKGTNIRVRKPEVTHSFYITPISNDGVGLAEHDNDGDDDDINDDDDDDDDDDDDDSHYSSSGNVSCNLQSNVQGSFPESSAVDKHCPTHSDTVQHMANVNTNRDEKEEESSTTDEGNAIVTDPKSDLEGLLEEQRELEELRAWLEKDALLDKSKVDNAIDAEFIDSTKPKSHPKHAIRHKAKFEFDCKIEERIDVIDGAADSMKQLDETFEVRSEFNKMVKKLDDITKRVHEKRHEVLGVAQKASCKAFWNDGLLVTVENTHVLKGPMFAFPGFPVMINYSLKDPLTSLRERLANYDNDVKKWHQIREQCNTVVKEQEERLRAVDPARLPVLAPAPASPPSPDPPTEVTPAEM
+>sp|O75526|RMXL2_HUMAN RNA-binding motif protein, X-linked-like-2 OS=Homo sapiens OX=9606 GN=RBMXL2 PE=1 SV=3
+MVEADRPGKLFIGGLNLETDEKALEAEFGKYGRIVEVLLMKDRETNKSRGFAFVTFESPADAKAAARDMNGKSLDGKAIKVAQATKPAFESSRRGPPPPRSRGRPRFLRGTRGGGGGPRRSPSRGGPDDDGGYTADFDLRPSRAPMPMKRGPPPRRVGPPPKRAAPSGPARSSGGGMRGRALAVRGRDGYSGPPRREPLPPRRDPYLGPRDEGYSSRDGYSSRDYREPRGFAPSPGEYTHRDYGHSSVRDDCPLRGYSDRDGYGGRDRDYGDHLSRGSHREPFESYGELRGAAPGRGTPPSYGGGGRYEEYRGYSPDAYSGGRDSYSSSYGRSDRYSRGRHRVGRPDRGLSLSMERGCPPQRDSYSRSGCRVPRGGGRLGGRLERGGGRSRY
+>DECOY_sp|O75526|RMXL2_HUMAN RNA-binding motif protein, X-linked-like-2 OS=Homo sapiens OX=9606 GN=RBMXL2 PE=1 SV=3
+YRSRGGGRELRGGLRGGGRPVRCGSRSYSDRQPPCGREMSLSLGRDPRGVRHRGRSYRDSRGYSSSYSDRGGSYADPSYGRYEEYRGGGGYSPPTGRGPAAGRLEGYSEFPERHSGRSLHDGYDRDRGGYGDRDSYGRLPCDDRVSSHGYDRHTYEGPSPAFGRPERYDRSSYGDRSSYGEDRPGLYPDRRPPLPERRPPGSYGDRGRVALARGRMGGGSSRAPGSPAARKPPPGVRRPPPGRKMPMPARSPRLDFDATYGGDDDPGGRSPSRRPGGGGGRTGRLFRPRGRSRPPPPGRRSSEFAPKTAQAVKIAKGDLSKGNMDRAAAKADAPSEFTVFAFGRSKNTERDKMLLVEVIRGYKGFEAELAKEDTELNLGGIFLKGPRDAEVM
+>sp|Q9H920|RN121_HUMAN RING finger protein 121 OS=Homo sapiens OX=9606 GN=RNF121 PE=1 SV=1
+MAAVVEVEVGGGAAGERELDEVDMSDLSPEEQWRVEHARMHAKHRGHEAMHAEMVLILIATLVVAQLLLVQWKQRHPRSYNMVTLFQMWVVPLYFTVKLHWWRFLVIWILFSAVTAFVTFRATRKPLVQTTPRLVYKWFLLIYKISYATGIVGYMAVMFTLFGLNLLFKIKPEDAMDFGISLLFYGLYYGVLERDFAEMCADYMASTIGFYSESGMPTKHLSDSVCAVCGQQIFVDVSEEGIIENTYRLSCNHVFHEFCIRGWCIVGKKQTCPYCKEKVDLKRMFSNPWERPHVMYGQLLDWLRYLVAWQPVIIGVVQGINYILGLE
+>DECOY_sp|Q9H920|RN121_HUMAN RING finger protein 121 OS=Homo sapiens OX=9606 GN=RNF121 PE=1 SV=1
+ELGLIYNIGQVVGIIVPQWAVLYRLWDLLQGYMVHPREWPNSFMRKLDVKEKCYPCTQKKGVICWGRICFEHFVHNCSLRYTNEIIGEESVDVFIQQGCVACVSDSLHKTPMGSESYFGITSAMYDACMEAFDRELVGYYLGYFLLSIGFDMADEPKIKFLLNLGFLTFMVAMYGVIGTAYSIKYILLFWKYVLRPTTQVLPKRTARFTVFATVASFLIWIVLFRWWHLKVTFYLPVVWMQFLTVMNYSRPHRQKWQVLLLQAVVLTAILILVMEAHMAEHGRHKAHMRAHEVRWQEEPSLDSMDVEDLEREGAAGGGVEVEVVAAM
+>sp|Q96EQ8|RN125_HUMAN E3 ubiquitin-protein ligase RNF125 OS=Homo sapiens OX=9606 GN=RNF125 PE=1 SV=4
+MGSVLSTDSGKSAPASATARALERRRDPELPVTSFDCAVCLEVLHQPVRTRCGHVFCRSCIATSLKNNKWTCPYCRAYLPSEGVPATDVAKRMKSEYKNCAECDTLVCLSEMRAHIRTCQKYIDKYGPLQELEETAARCVCPFCQRELYEDSLLDHCITHHRSERRPVFCPLCRLIPDENPSSFSGSLIRHLQVSHTLFYDDFIDFNIIEEALIRRVLDRSLLEYVNHSNTT
+>DECOY_sp|Q96EQ8|RN125_HUMAN E3 ubiquitin-protein ligase RNF125 OS=Homo sapiens OX=9606 GN=RNF125 PE=1 SV=4
+TTNSHNVYELLSRDLVRRILAEEIINFDIFDDYFLTHSVQLHRILSGSFSSPNEDPILRCLPCFVPRRESRHHTICHDLLSDEYLERQCFPCVCRAATEELEQLPGYKDIYKQCTRIHARMESLCVLTDCEACNKYESKMRKAVDTAPVGESPLYARCYPCTWKNNKLSTAICSRCFVHGCRTRVPQHLVELCVACDFSTVPLEPDRRRELARATASAPASKGSDTSLVSGM
+>sp|Q8IYW5|RN168_HUMAN E3 ubiquitin-protein ligase RNF168 OS=Homo sapiens OX=9606 GN=RNF168 PE=1 SV=1
+MALPKDAIPSLSECQCGICMEILVEPVTLPCNHTLCKPCFQSTVEKASLCCPFCRRRVSSWTRYHTRRNSLVNVELWTIIQKHYPRECKLRASGQESEEVADDYQPVRLLSKPGELRREYEEEISKVAAERRASEEEENKASEEYIQRLLAEEEEEEKRQAEKRRRAMEEQLKSDEELARKLSIDINNFCEGSISASPLNSRKSDPVTPKSEKKSKNKQRNTGDIQKYLTPKSQFGSASHSEAVQEVRKDSVSKDIDSSDRKSPTGQDTEIEDMPTLSPQISLGVGEQGADSSIESPMPWLCACGAEWYHEGNVKTRPSNHGKELCVLSHERPKTRVPYSKETAVMPCGRTESGCAPTSGVTQTNGNNTGETENEESCLLISKEISKRKNQESSFEAVKDPCFSAKRRKVSPESSPDQEETEINFTQKLIDLEHLLFERHKQEEQDRLLALQLQKEVDKEQMVPNRQKGSPDEYHLRATSSPPDKVLNGQRKNPKDGNFKRQTHTKHPTPERGSRDKNRQVSLKMQLKQSVNRRKMPNSTRDHCKVSKSAHSLQPSISQKSVFQMFQRCTK
+>DECOY_sp|Q8IYW5|RN168_HUMAN E3 ubiquitin-protein ligase RNF168 OS=Homo sapiens OX=9606 GN=RNF168 PE=1 SV=1
+KTCRQFMQFVSKQSISPQLSHASKSVKCHDRTSNPMKRRNVSQKLQMKLSVQRNKDRSGREPTPHKTHTQRKFNGDKPNKRQGNLVKDPPSSTARLHYEDPSGKQRNPVMQEKDVEKQLQLALLRDQEEQKHREFLLHELDILKQTFNIETEEQDPSSEPSVKRRKASFCPDKVAEFSSEQNKRKSIEKSILLCSEENETEGTNNGNTQTVGSTPACGSETRGCPMVATEKSYPVRTKPREHSLVCLEKGHNSPRTKVNGEHYWEAGCACLWPMPSEISSDAGQEGVGLSIQPSLTPMDEIETDQGTPSKRDSSDIDKSVSDKRVEQVAESHSASGFQSKPTLYKQIDGTNRQKNKSKKESKPTVPDSKRSNLPSASISGECFNNIDISLKRALEEDSKLQEEMARRRKEAQRKEEEEEEALLRQIYEESAKNEEEESARREAAVKSIEEEYERRLEGPKSLLRVPQYDDAVEESEQGSARLKCERPYHKQIITWLEVNVLSNRRTHYRTWSSVRRRCFPCCLSAKEVTSQFCPKCLTHNCPLTVPEVLIEMCIGCQCESLSPIADKPLAM
+>sp|Q86T96|RN180_HUMAN E3 ubiquitin-protein ligase RNF180 OS=Homo sapiens OX=9606 GN=RNF180 PE=2 SV=2
+MKRSKELITKNHSQEETSILRCWKCRKCIASSGCFMEYLENQVIKDKDDSVDAQNICHVWHMNVEALPEWISCLIQKAQWTVGKLNCPFCGARLGGFNFVSTPKCSCGQLAAVHLSKSRTDYQPTQAGRLMRPSVKYLSHPRVQSGCDKEALLTGGGSENRNHRLLNMARNNNDPGRLTEALCLEVRPTYFEMKNEKLLSKASEPKYQLFVPQLVTGRCATRAFHRKSHSLDLNISEKLTLLPTLYEIHSKTTAYSRLNETQPIDLSGLPLQSSKNSYSFQNPSSFDPSMLLQRFSVAPHETQTQRGGEFQCGLEAASVYSDHTNTNNLTFLMDLPSAGRSMPEASDQEEHLSPLDFLHSANFSLGSINQRLNKRERSKLKNLRRKQRRRERWLQKQGKYSGVGLLDHMTLNNEMSTDEDNEYAEEKDSYICAVCLDVYFNPYMCYPCHHIFCEPCLRTLAKDNPSSTPCPLCRTIISRVFFQTELNNATKTFFTKEYLKIKQSFQKSNSAKWPLPSCRKAFHLFGGFRRHAAPVTRRQFPHGAHRMDYLHFEDDSRGWWFDMDMVIIYIYSVNWVIGFIVFCFLCYFFFPF
+>DECOY_sp|Q86T96|RN180_HUMAN E3 ubiquitin-protein ligase RNF180 OS=Homo sapiens OX=9606 GN=RNF180 PE=2 SV=2
+FPFFFYCLFCFVIFGIVWNVSYIYIIVMDMDFWWGRSDDEFHLYDMRHAGHPFQRRTVPAAHRRFGGFLHFAKRCSPLPWKASNSKQFSQKIKLYEKTFFTKTANNLETQFFVRSIITRCLPCPTSSPNDKALTRLCPECFIHHCPYCMYPNFYVDLCVACIYSDKEEAYENDEDTSMENNLTMHDLLGVGSYKGQKQLWRERRRQKRRLNKLKSRERKNLRQNISGLSFNASHLFDLPSLHEEQDSAEPMSRGASPLDMLFTLNNTNTHDSYVSAAELGCQFEGGRQTQTEHPAVSFRQLLMSPDFSSPNQFSYSNKSSQLPLGSLDIPQTENLRSYATTKSHIEYLTPLLTLKESINLDLSHSKRHFARTACRGTVLQPVFLQYKPESAKSLLKENKMEFYTPRVELCLAETLRGPDNNNRAMNLLRHNRNESGGGTLLAEKDCGSQVRPHSLYKVSPRMLRGAQTPQYDTRSKSLHVAALQGCSCKPTSVFNFGGLRAGCFPCNLKGVTWQAKQILCSIWEPLAEVNMHWVHCINQADVSDDKDKIVQNELYEMFCGSSAICKRCKWCRLISTEEQSHNKTILEKSRKM
+>sp|Q9Y3C5|RNF11_HUMAN RING finger protein 11 OS=Homo sapiens OX=9606 GN=RNF11 PE=1 SV=1
+MGNCLKSPTSDDISLLHESQSDRASFGEGTEPDQEPPPPYQEQVPVPVYHPTPSQTRLATQLTEEEQIRIAQRIGLIQHLPKGVYDPGRDGSEKKIRECVICMMDFVYGDPIRFLPCMHIYHLDCIDDWLMRSFTCPSCMEPVDAALLSSYETN
+>DECOY_sp|Q9Y3C5|RNF11_HUMAN RING finger protein 11 OS=Homo sapiens OX=9606 GN=RNF11 PE=1 SV=1
+NTEYSSLLAADVPEMCSPCTFSRMLWDDICDLHYIHMCPLFRIPDGYVFDMMCIVCERIKKESGDRGPDYVGKPLHQILGIRQAIRIQEEETLQTALRTQSPTPHYVPVPVQEQYPPPPEQDPETGEGFSARDSQSEHLLSIDDSTPSKLCNGM
+>sp|Q9BXT8|RNF17_HUMAN RING finger protein 17 OS=Homo sapiens OX=9606 GN=RNF17 PE=1 SV=3
+MAAEASKTGPSRSSYQRMGRKSQPWGAAEIQCTRCGRRVSRSSGHHCELQCGHAFCELCLLMTEECTTIICPDCEVATAVNTRQRYYPMAGYIKEDSIMEKLQPKTIKNCSQDFKKTADQLTTGLERSASTDKTLLNSSAVMLDTNTAEEIDEALNTAHHSFEQLSIAGKALEHMQKQTIEERERVIEVVEKQFDQLLAFFDSRKKNLCEEFARTTDDYLSNLIKAKSYIEEKKNNLNAAMNIARALQLSPSLRTYCDLNQIIRTLQLTSDSELAQVSSPQLRNPPRLSVNCSEIICMFNNMGKIEFRDSTKCYPQENEIRQNVQKKYNNKKELSCYDTYPPLEKKKVDMSVLTSEAPPPPLQPETNDVHLEAKNFQPQKDVATASPKTIAVLPQMGSSPDVIIEEIIEDNVESSAELVFVSHVIDPCHFYIRKYSQIKDAKVLEKKVNEFCNRSSHLDPSDILELGARIFVSSIKNGMWCRGTITELIPIEGRNTRKPCSPTRLFVHEVALIQIFMVDFGNSEVLIVTGVVDTHVRPEHSAKQHIALNDLCLVLRKSEPYTEGLLKDIQPLAQPCSLKDIVPQNSNEGWEEEAKVEFLKMVNNKAVSMKVFREEDGVLIVDLQKPPPNKISSDMPVSLRDALVFMELAKFKSQSLRSHFEKNTTLHYHPPILPKEMTDVSVTVCHINSPGDFYLQLIEGLDILFLLKTIEEFYKSEDGENLEILCPVQDQACVAKFEDGIWYRAKVIGLPGHQEVEVKYVDFGNTAKITIKDVRKIKDEFLNAPEKAIKCKLAYIEPYKRTMQWSKEAKEKFEEKAQDKFMTCSVIKILEDNVLLVELFDSLGAPEMTTTSINDQLVKEGLASYEIGYILKDNSQKHIEVWDPSPEEIISNEVHNLNPVSAKSLPNENFQSLYNKELPVHICNVISPEKIYVQWLLTENLLNSLEEKMIAAYENSKWEPVKWENDMHCAVKIQDKNQWRRGQIIRMVTDTLVEVLLYDVGVELVVNVDCLRKLEENLKTMGRLSLECSLVDIRPAGGSDKWTATACDCLSLYLTGAVATIILQVDSEENNTTWPLPVKIFCRDEKGERVDVSKYLIKKGLALRERRINNLDNSHSLSEKSLEVPLEQEDSVVTNCIKTNFDPDKKTADIISEQKVSEFQEKILEPRTTRGYKPPAIPNMNVFEATVSCVGDDGTIFVVPKLSEFELIKMTNEIQSNLKCLGLLEPYFWKKGEACAVRGSDTLWYRGKVMEVVGGAVRVQYLDHGFTEKIPQCHLYPILLYPDIPQFCIPCQLHNTTPVGNVWQPDAIEVLQQLLSKRQVDIHIMELPKNPWEKLSIHLYFDGMSLSYFMAYYKYCTSEHTEEMLKEKPRSDHDKKYEEEQWEIRFEELLSAETDTPLLPPYLSSSLPSPGELYAVQVKHVVSPNEVYICLDSIETSNQSNQHSDTDDSGVSGESESESLDEALQRVNKKVEALPPLTDFRTEMPCLAEYDDGLWYRAKIVAIKEFNPLSILVQFVDYGSTAKLTLNRLCQIPSHLMRYPARAIKVLLAGFKPPLRDLGETRIPYCPKWSMEALWAMIDCLQGKQLYAVSMAPAPEQIVTLYDDEQHPVHMPLVEMGLADKDE
+>DECOY_sp|Q9BXT8|RNF17_HUMAN RING finger protein 17 OS=Homo sapiens OX=9606 GN=RNF17 PE=1 SV=3
+EDKDALGMEVLPMHVPHQEDDYLTVIQEPAPAMSVAYLQKGQLCDIMAWLAEMSWKPCYPIRTEGLDRLPPKFGALLVKIARAPYRMLHSPIQCLRNLTLKATSGYDVFQVLISLPNFEKIAVIKARYWLGDDYEALCPMETRFDTLPPLAEVKKNVRQLAEDLSESESEGSVGSDDTDSHQNSQNSTEISDLCIYVENPSVVHKVQVAYLEGPSPLSSSLYPPLLPTDTEASLLEEFRIEWQEEEYKKDHDSRPKEKLMEETHESTCYKYYAMFYSLSMGDFYLHISLKEWPNKPLEMIHIDVQRKSLLQQLVEIADPQWVNGVPTTNHLQCPICFQPIDPYLLIPYLHCQPIKETFGHDLYQVRVAGGVVEMVKGRYWLTDSGRVACAEGKKWFYPELLGLCKLNSQIENTMKILEFESLKPVVFITGDDGVCSVTAEFVNMNPIAPPKYGRTTRPELIKEQFESVKQESIIDATKKDPDFNTKICNTVVSDEQELPVELSKESLSHSNDLNNIRRERLALGKKILYKSVDVREGKEDRCFIKVPLPWTTNNEESDVQLIITAVAGTLYLSLCDCATATWKDSGGAPRIDVLSCELSLRGMTKLNEELKRLCDVNVVLEVGVDYLLVEVLTDTVMRIIQGRRWQNKDQIKVACHMDNEWKVPEWKSNEYAAIMKEELSNLLNETLLWQVYIKEPSIVNCIHVPLEKNYLSQFNENPLSKASVPNLNHVENSIIEEPSPDWVEIHKQSNDKLIYGIEYSALGEKVLQDNISTTTMEPAGLSDFLEVLLVNDELIKIVSCTMFKDQAKEEFKEKAEKSWQMTRKYPEIYALKCKIAKEPANLFEDKIKRVDKITIKATNGFDVYKVEVEQHGPLGIVKARYWIGDEFKAVCAQDQVPCLIELNEGDESKYFEEITKLLFLIDLGEILQLYFDGPSNIHCVTVSVDTMEKPLIPPHYHLTTNKEFHSRLSQSKFKALEMFVLADRLSVPMDSSIKNPPPKQLDVILVGDEERFVKMSVAKNNVMKLFEVKAEEEWGENSNQPVIDKLSCPQALPQIDKLLGETYPESKRLVLCLDNLAIHQKASHEPRVHTDVVGTVILVESNGFDVMFIQILAVEHVFLRTPSCPKRTNRGEIPILETITGRCWMGNKISSVFIRAGLELIDSPDLHSSRNCFENVKKELVKADKIQSYKRIYFHCPDIVHSVFVLEASSEVNDEIIEEIIVDPSSGMQPLVAITKPSATAVDKQPQFNKAELHVDNTEPQLPPPPAESTLVSMDVKKKELPPYTDYCSLEKKNNYKKQVNQRIENEQPYCKTSDRFEIKGMNNFMCIIESCNVSLRPPNRLQPSSVQALESDSTLQLTRIIQNLDCYTRLSPSLQLARAINMAANLNNKKEEIYSKAKILNSLYDDTTRAFEECLNKKRSDFFALLQDFQKEVVEIVREREEITQKQMHELAKGAISLQEFSHHATNLAEDIEEATNTDLMVASSNLLTKDTSASRELGTTLQDATKKFDQSCNKITKPQLKEMISDEKIYGAMPYYRQRTNVATAVECDPCIITTCEETMLLCLECFAHGCQLECHHGSSRSVRRGCRTCQIEAAGWPQSKRGMRQYSSRSPGTKSAEAAM
+>sp|Q96EP0|RNF31_HUMAN E3 ubiquitin-protein ligase RNF31 OS=Homo sapiens OX=9606 GN=RNF31 PE=1 SV=1
+MPGEEEERAFLVAREELASALRRDSGQAFSLEQLRPLLASSLPLAARYLQLDAARLVRCNAHGEPRNYLNTLSTALNILEKYGRNLLSPQRPRYWRGVKFNNPVFRSTVDAVQGGRDVLRLYGYTEEQPDGLSFPEGQEEPDEHQVATVTLEVLLLRTELSLLLQNTHPRQQALEQLLEDKVEDDMLQLSEFDPLLREIAPGPLTTPSVPGSTPGPCFLCGSAPGTLHCPSCKQALCPACDHLFHGHPSRAHHLRQTLPGVLQGTHLSPSLPASAQPRPQSTSLLALGDSSLSSPNPASAHLPWHCAACAMLNEPWAVLCVACDRPRGCKGLGLGTEGPQGTGGLEPDLARGRWACQSCTFENEAAAVLCSICERPRLAQPPSLVVDSRDAGICLQPLQQGDALLASAQSQVWYCIHCTFCNSSPGWVCVMCNRTSSPIPAQHAPRPYASSLEKGPPKPGPPRRLSAPLPSSCGDPEKQRQDKMREEGLQLVSMIREGEAAGACPEEIFSALQYSGTEVPLQWLRSELPYVLEMVAELAGQQDPGLGAFSCQEARRAWLDRHGNLDEAVEECVRTRRRKVQELQSLGFGPEEGSLQALFQHGGDVSRALTELQRQRLEPFRQRLWDSGPEPTPSWDGPDKQSLVRRLLAVYALPSWGRAELALSLLQETPRNYELGDVVEAVRHSQDRAFLRRLLAQECAVCGWALPHNRMQALTSCECTICPDCFRQHFTIALKEKHITDMVCPACGRPDLTDDTQLLSYFSTLDIQLRESLEPDAYALFHKKLTEGVLMRDPKFLWCAQCSFGFIYEREQLEATCPQCHQTFCVRCKRQWEEQHRGRSCEDFQNWKRMNDPEYQAQGLAMYLQENGIDCPKCKFSYALARGGCMHFHCTQCRHQFCSGCYNAFYAKNKCPEPNCRVKKSLHGHHPRDCLFYLRDWTALRLQKLLQDNNVMFNTEPPAGARAVPGGGCRVIEQKEVPNGLRDEACGKETPAGYAGLCQAHYKEYLVSLINAHSLDPATLYEVEELETATERYLHVRPQPLAGEDPPAYQARLLQKLTEEVPLGQSIPRRRK
+>DECOY_sp|Q96EP0|RNF31_HUMAN E3 ubiquitin-protein ligase RNF31 OS=Homo sapiens OX=9606 GN=RNF31 PE=1 SV=1
+KRRRPISQGLPVEETLKQLLRAQYAPPDEGALPQPRVHLYRETATELEEVEYLTAPDLSHANILSVLYEKYHAQCLGAYGAPTEKGCAEDRLGNPVEKQEIVRCGGGPVARAGAPPETNFMVNNDQLLKQLRLATWDRLYFLCDRPHHGHLSKKVRCNPEPCKNKAYFANYCGSCFQHRCQTCHFHMCGGRALAYSFKCKPCDIGNEQLYMALGQAQYEPDNMRKWNQFDECSRGRHQEEWQRKCRVCFTQHCQPCTAELQEREYIFGFSCQACWLFKPDRMLVGETLKKHFLAYADPELSERLQIDLTSFYSLLQTDDTLDPRGCAPCVMDTIHKEKLAITFHQRFCDPCITCECSTLAQMRNHPLAWGCVACEQALLRRLFARDQSHRVAEVVDGLEYNRPTEQLLSLALEARGWSPLAYVALLRRVLSQKDPGDWSPTPEPGSDWLRQRFPELRQRQLETLARSVDGGHQFLAQLSGEEPGFGLSQLEQVKRRRTRVCEEVAEDLNGHRDLWARRAEQCSFAGLGPDQQGALEAVMELVYPLESRLWQLPVETGSYQLASFIEEPCAGAAEGERIMSVLQLGEERMKDQRQKEPDGCSSPLPASLRRPPGPKPPGKELSSAYPRPAHQAPIPSSTRNCMVCVWGPSSNCFTCHICYWVQSQASALLADGQQLPQLCIGADRSDVVLSPPQALRPRECISCLVAAAENEFTCSQCAWRGRALDPELGGTGQPGETGLGLGKCGRPRDCAVCLVAWPENLMACAACHWPLHASAPNPSSLSSDGLALLSTSQPRPQASAPLSPSLHTGQLVGPLTQRLHHARSPHGHFLHDCAPCLAQKCSPCHLTGPASGCLFCPGPTSGPVSPTTLPGPAIERLLPDFESLQLMDDEVKDELLQELAQQRPHTNQLLLSLETRLLLVELTVTAVQHEDPEEQGEPFSLGDPQEETYGYLRLVDRGGQVADVTSRFVPNNFKVGRWYRPRQPSLLNRGYKELINLATSLTNLYNRPEGHANCRVLRAADLQLYRAALPLSSALLPRLQELSFAQGSDRRLASALEERAVLFAREEEEGPM
+>sp|Q969K3|RNF34_HUMAN E3 ubiquitin-protein ligase RNF34 OS=Homo sapiens OX=9606 GN=RNF34 PE=1 SV=1
+MKAGATSMWASCCGLLNEVMGTGAVRGQQSAFAGATGPFRFTPNPEFSTYPPAATEGPNIVCKACGLSFSVFRKKHVCCDCKKDFCSVCSVLQENLRRCSTCHLLQETAFQRPQLMRLKVKDLRQYLILRNIPIDTCREKEDLVDLVLCHHGLGSEDDMDTSSLNSSRSQTSSFFTRSFFSNYTAPSATMSSFQGELMDGDQTSRSGVPAQVQSEITSANTEDDDDDDDEDDDDEEENAEDRNPGLSKERVRASLSDLSSLDDVEGMSVRQLKEILARNFVNYSGCCEKWELVEKVNRLYKENEENQKSYGERLQLQDEEDDSLCRICMDAVIDCVLLECGHMVTCTKCGKRMSECPICRQYVVRAVHVFKS
+>DECOY_sp|Q969K3|RNF34_HUMAN E3 ubiquitin-protein ligase RNF34 OS=Homo sapiens OX=9606 GN=RNF34 PE=1 SV=1
+SKFVHVARVVYQRCIPCESMRKGCKTCTVMHGCELLVCDIVADMCIRCLSDDEEDQLQLREGYSKQNEENEKYLRNVKEVLEWKECCGSYNVFNRALIEKLQRVSMGEVDDLSSLDSLSARVREKSLGPNRDEANEEEDDDDEDDDDDDDETNASTIESQVQAPVGSRSTQDGDMLEGQFSSMTASPATYNSFFSRTFFSSTQSRSSNLSSTDMDDESGLGHHCLVLDVLDEKERCTDIPINRLILYQRLDKVKLRMLQPRQFATEQLLHCTSCRRLNEQLVSCVSCFDKKCDCCVHKKRFVSFSLGCAKCVINPGETAAPPYTSFEPNPTFRFPGTAGAFASQQGRVAGTGMVENLLGCCSAWMSTAGAKM
+>sp|Q7L0R7|RNF44_HUMAN RING finger protein 44 OS=Homo sapiens OX=9606 GN=RNF44 PE=2 SV=1
+MRPWALAVTRWPPSAPVGQRRFSAGPGSTPGQLWGSPGLEGPLASPPARDERLPSQQPPSRPPHLPVEERRASAPAGGSPRMLHPATQQSPFMVDLHEQVHQGPVPLSYTVTTVTTQGFPLPTGQHIPGCSAQQLPACSVMFSGQHYPLCCLPPPLIQACTMQQLPVPYQAYPHLISSDHYILHPPPPAPPPQPTHMAPLGQFVSLQTQHPRMPLQRLDNDVDLRGDQPSLGSFTYSTSAPGPALSPSVPLHYLPHDPLHQELSFGVPYSHMMPRRLSTQRYRLQQPLPPPPPPPPPPPYYPSFLPYFLSMLPMSPTAMGPTISLDLDVDDVEMENYEALLNLAERLGDAKPRGLTKADIEQLPSYRFNPDSHQSEQTLCVVCFSDFEARQLLRVLPCNHEFHTKCVDKWLKANRTCPICRADASEVPREAE
+>DECOY_sp|Q7L0R7|RNF44_HUMAN RING finger protein 44 OS=Homo sapiens OX=9606 GN=RNF44 PE=2 SV=1
+EAERPVESADARCIPCTRNAKLWKDVCKTHFEHNCPLVRLLQRAEFDSFCVVCLTQESQHSDPNFRYSPLQEIDAKTLGRPKADGLREALNLLAEYNEMEVDDVDLDLSITPGMATPSMPLMSLFYPLFSPYYPPPPPPPPPPPLPQQLRYRQTSLRRPMMHSYPVGFSLEQHLPDHPLYHLPVSPSLAPGPASTSYTFSGLSPQDGRLDVDNDLRQLPMRPHQTQLSVFQGLPAMHTPQPPPAPPPPHLIYHDSSILHPYAQYPVPLQQMTCAQILPPPLCCLPYHQGSFMVSCAPLQQASCGPIHQGTPLPFGQTTVTTVTYSLPVPGQHVQEHLDVMFPSQQTAPHLMRPSGGAPASARREEVPLHPPRSPPQQSPLREDRAPPSALPGELGPSGWLQGPTSGPGASFRRQGVPASPPWRTVALAWPRM
+>sp|Q9Y252|RNF6_HUMAN E3 ubiquitin-protein ligase RNF6 OS=Homo sapiens OX=9606 GN=RNF6 PE=1 SV=1
+MNQSRSRSDGGSEETLPQDHNHHENERRWQQERLHREEAYYQFINELNDEDYRLMRDHNLLGTPGEITSEELQQRLDGVKEQLASQPDLRDGTNYRDSEVPRESSHEDSLLEWLNTFRRTGNATRSGQNGNQTWRAVSRTNPNNGEFRFSLEIHVNHENRGFEIHGEDYTDIPLSDSNRDHTANRQQRSTSPVARRTRSQTSVNFNGSSSNIPRTRLASRGQNPAEGSFSTLGRLRNGIGGAAGIPRANASRTNFSSHTNQSGGSELRQREGQRFGAAHVWENGARSNVTVRNTNQRLEPIRLRSTSNSRSRSPIQRQSGTVYHNSQRESRPVQQTTRRSVRRRGRTRVFLEQDRERERRGTAYTPFSNSRLVSRITVEEGEESSRSSTAVRRHPTITLDLQVRRIRPGENRDRDSIANRTRSRVGLAENTVTIESNSGGFRRTISRLERSGIRTYVSTITVPLRRISENELVEPSSVALRSILRQIMTGFGELSSLMEADSESELQRNGQHLPDMHSELSNLGTDNNRSQHREGSSQDRQAQGDSTEMHGENETTQPHTRNSDSRGGRQLRNPNNLVETGTLPILRLAHFFLLNESDDDDRIRGLTKEQIDNLSTRHYEHNSIDSELGKICSVCISDYVTGNKLRQLPCMHEFHIHCIDRWLSENCTCPICRQPVLGSNIANNG
+>DECOY_sp|Q9Y252|RNF6_HUMAN E3 ubiquitin-protein ligase RNF6 OS=Homo sapiens OX=9606 GN=RNF6 PE=1 SV=1
+GNNAINSGLVPQRCIPCTCNESLWRDICHIHFEHMCPLQRLKNGTVYDSICVSCIKGLESDISNHEYHRTSLNDIQEKTLGRIRDDDDSENLLFFHALRLIPLTGTEVLNNPNRLQRGGRSDSNRTHPQTTENEGHMETSDGQAQRDQSSGERHQSRNNDTGLNSLESHMDPLHQGNRQLESESDAEMLSSLEGFGTMIQRLISRLAVSSPEVLENESIRRLPVTITSVYTRIGSRELRSITRRFGGSNSEITVTNEALGVRSRTRNAISDRDRNEGPRIRRVQLDLTITPHRRVATSSRSSEEGEEVTIRSVLRSNSFPTYATGRRERERDQELFVRTRGRRRVSRRTTQQVPRSERQSNHYVTGSQRQIPSRSRSNSTSRLRIPELRQNTNRVTVNSRAGNEWVHAAGFRQGERQRLESGGSQNTHSSFNTRSANARPIGAAGGIGNRLRGLTSFSGEAPNQGRSALRTRPINSSSGNFNVSTQSRTRRAVPSTSRQQRNATHDRNSDSLPIDTYDEGHIEFGRNEHNVHIELSFRFEGNNPNTRSVARWTQNGNQGSRTANGTRRFTNLWELLSDEHSSERPVESDRYNTGDRLDPQSALQEKVGDLRQQLEESTIEGPTGLLNHDRMLRYDEDNLENIFQYYAEERHLREQQWRRENEHHNHDQPLTEESGGDSRSRSQNM
+>sp|Q8TDP1|RNH2C_HUMAN Ribonuclease H2 subunit C OS=Homo sapiens OX=9606 GN=RNASEH2C PE=1 SV=1
+MESGDEAAIERHRVHLRSATLRDAVPATLHLLPCEVAVDGPAPVGRFFTPAIRQGPEGLEVSFRGRCLRGEEVAVPPGLVGYVMVTEEKKVSMGKPDPLRDSGTDDQEEEPLERDFDRFIGATANFSRFTLWGLETIPGPDAKVRGALTWPSLAAAIHAQVPED
+>DECOY_sp|Q8TDP1|RNH2C_HUMAN Ribonuclease H2 subunit C OS=Homo sapiens OX=9606 GN=RNASEH2C PE=1 SV=1
+DEPVQAHIAAALSPWTLAGRVKADPGPITELGWLTFRSFNATAGIFRDFDRELPEEEQDDTGSDRLPDPKGMSVKKEETVMVYGVLGPPVAVEEGRLCRGRFSVELGEPGQRIAPTFFRGVPAPGDVAVECPLLHLTAPVADRLTASRLHVRHREIAAEDGSEM
+>sp|O95602|RPA1_HUMAN DNA-directed RNA polymerase I subunit RPA1 OS=Homo sapiens OX=9606 GN=POLR1A PE=1 SV=2
+MLISKNMPWRRLQGISFGMYSAEELKKLSVKSITNPRYLDSLGNPSANGLYDLALGPADSKEVCSTCVQDFSNCSGHLGHIELPLTVYNPLLFDKLYLLLRGSCLNCHMLTCPRAVIHLLLCQLRVLEVGALQAVYELERILNRFLEENPDPSASEIREELEQYTTEIVQNNLLGSQGAHVKNVCESKSKLIALFWKAHMNAKRCPHCKTGRSVVRKEHNSKLTITFPAMVHRTAGQKDSEPLGIEEAQIGKRGYLTPTSAREHLSALWKNEGFFLNYLFSGMDDDGMESRFNPSVFFLDFLVVPPSRYRPVSRLGDQMFTNGQTVNLQAVMKDVVLIRKLLALMAQEQKLPEEVATPTTDEEKDSLIAIDRSFLSTLPGQSLIDKLYNIWIRLQSHVNIVFDSEMDKLMMDKYPGIRQILEKKEGLFRKHMMGKRVDYAARSVICPDMYINTNEIGIPMVFATKLTYPQPVTPWNVQELRQAVINGPNVHPGASMVINEDGSRTALSAVDMTQREAVAKQLLTPATGAPKPQGTKIVCRHVKNGDILLLNRQPTLHRPSIQAHRARILPEEKVLRLHYANCKAYNADFDGDEMNAHFPQSELGRAEAYVLACTDQQYLVPKDGQPLAGLIQDHMVSGASMTTRGCFFTREHYMELVYRGLTDKVGRVKLLSPSILKPFPLWTGKQVVSTLLINIIPEDHIPLNLSGKAKITGKAWVKETPRSVPGFNPDSMCESQVIIREGELLCGVLDKAHYGSSAYGLVHCCYEIYGGETSGKVLTCLARLFTAYLQLYRGFTLGVEDILVKPKADVKRQRIIEESTHCGPQAVRAALNLPEAASYDEVRGKWQDAHLGKDQRDFNMIDLKFKEEVNHYSNEINKACMPFGLHRQFPENSLQMMVQSGAKGSTVNTMQISCLLGQIELEGRRPPLMASGKSLPCFEPYEFTPRAGGFVTGRFLTGIKPPEFFFHCMAGREGLVDTAVKTSRSGYLQRCIIKHLEGLVVQYDLTVRDSDGSVVQFLYGEDGLDIPKTQFLQPKQFPFLASNYEVIMKSQHLHEVLSRADPKKALHHFRAIKKWQSKHPNTLLRRGAFLSYSQKIQEAVKALKLESENRNGRSPGTQEMLRMWYELDEESRRKYQKKAAACPDPSLSVWRPDIYFASVSETFETKVDDYSQEWAAQTEKSYEKSELSLDRLRTLLQLKWQRSLCEPGEAVGLLAAQSIGEPSTQMTLNTFHFAGRGEMNVTLGIPRLREILMVASANIKTPMMSVPVLNTKKALKRVKSLKKQLTRVCLGEVLQKIDVQESFCMEEKQNKFQVYQLRFQFLPHAYYQQEKCLRPEDILRFMETRFFKLLMESIKKKNNKASAFRNVNTRRATQRDLDNAGELGRSRGEQEGDEEEEGHIVDAEAEEGDADASDAKRKEKQEEEVDYESEEEEEREGEENDDEDMQEERNPHREGARKTQEQDEEVGLGTEEDPSLPALLTQPRKPTHSQEPQGPEAMERRVQAVREIHPFIDDYQYDTEESLWCQVTVKLPLMKINFDMSSLVVSLAHGAVIYATKGITRCLLNETTNNKNEKELVLNTEGINLPELFKYAEVLDLRRLYSNDIHAIANTYGIEAALRVIEKEIKDVFAVYGIAVDPRHLSLVADYMCFEGVYKPLNRFGIRSNSSPLQQMTFETSFQFLKQATMLGSHDELRSPSACLVVGKVVRGGTGLFELKQPLR
+>DECOY_sp|O95602|RPA1_HUMAN DNA-directed RNA polymerase I subunit RPA1 OS=Homo sapiens OX=9606 GN=POLR1A PE=1 SV=2
+RLPQKLEFLGTGGRVVKGVVLCASPSRLEDHSGLMTAQKLFQFSTEFTMQQLPSSNSRIGFRNLPKYVGEFCMYDAVLSLHRPDVAIGYVAFVDKIEKEIVRLAAEIGYTNAIAHIDNSYLRRLDLVEAYKFLEPLNIGETNLVLEKENKNNTTENLLCRTIGKTAYIVAGHALSVVLSSMDFNIKMLPLKVTVQCWLSEETDYQYDDIFPHIERVAQVRREMAEPGQPEQSHTPKRPQTLLAPLSPDEETGLGVEEDQEQTKRAGERHPNREEQMDEDDNEEGEREEEEESEYDVEEEQKEKRKADSADADGEEAEADVIHGEEEEDGEQEGRSRGLEGANDLDRQTARRTNVNRFASAKNNKKKISEMLLKFFRTEMFRLIDEPRLCKEQQYYAHPLFQFRLQYVQFKNQKEEMCFSEQVDIKQLVEGLCVRTLQKKLSKVRKLAKKTNLVPVSMMPTKINASAVMLIERLRPIGLTVNMEGRGAFHFTNLTMQTSPEGISQAALLGVAEGPECLSRQWKLQLLTRLRDLSLESKEYSKETQAAWEQSYDDVKTEFTESVSAFYIDPRWVSLSPDPCAAAKKQYKRRSEEDLEYWMRLMEQTGPSRGNRNESELKLAKVAEQIKQSYSLFAGRRLLTNPHKSQWKKIARFHHLAKKPDARSLVEHLHQSKMIVEYNSALFPFQKPQLFQTKPIDLGDEGYLFQVVSGDSDRVTLDYQVVLGELHKIICRQLYGSRSTKVATDVLGERGAMCHFFFEPPKIGTLFRGTVFGGARPTFEYPEFCPLSKGSAMLPPRRGELEIQGLLCSIQMTNVTSGKAGSQVMMQLSNEPFQRHLGFPMCAKNIENSYHNVEEKFKLDIMNFDRQDKGLHADQWKGRVEDYSAAEPLNLAARVAQPGCHTSEEIIRQRKVDAKPKVLIDEVGLTFGRYLQLYATFLRALCTLVKGSTEGGYIEYCCHVLGYASSGYHAKDLVGCLLEGERIIVQSECMSDPNFGPVSRPTEKVWAKGTIKAKGSLNLPIHDEPIINILLTSVVQKGTWLPFPKLISPSLLKVRGVKDTLGRYVLEMYHERTFFCGRTTMSAGSVMHDQILGALPQGDKPVLYQQDTCALVYAEARGLESQPFHANMEDGDFDANYAKCNAYHLRLVKEEPLIRARHAQISPRHLTPQRNLLLIDGNKVHRCVIKTGQPKPAGTAPTLLQKAVAERQTMDVASLATRSGDENIVMSAGPHVNPGNIVAQRLEQVNWPTVPQPYTLKTAFVMPIGIENTNIYMDPCIVSRAAYDVRKGMMHKRFLGEKKELIQRIGPYKDMMLKDMESDFVINVHSQLRIWINYLKDILSQGPLTSLFSRDIAILSDKEEDTTPTAVEEPLKQEQAMLALLKRILVVDKMVAQLNVTQGNTFMQDGLRSVPRYRSPPVVLFDLFFVSPNFRSEMGDDDMGSFLYNLFFGENKWLASLHERASTPTLYGRKGIQAEEIGLPESDKQGATRHVMAPFTITLKSNHEKRVVSRGTKCHPCRKANMHAKWFLAILKSKSECVNKVHAGQSGLLNNQVIETTYQELEERIESASPDPNEELFRNLIRELEYVAQLAGVELVRLQCLLLHIVARPCTLMHCNLCSGRLLLYLKDFLLPNYVTLPLEIHGLHGSCNSFDQVCTSCVEKSDAPGLALDYLGNASPNGLSDLYRPNTISKVSLKKLEEASYMGFSIGQLRRWPMNKSILM
+>sp|P19388|RPAB1_HUMAN DNA-directed RNA polymerases I, II, and III subunit RPABC1 OS=Homo sapiens OX=9606 GN=POLR2E PE=1 SV=4
+MDDEEETYRLWKIRKTIMQLCHDRGYLVTQDELDQTLEEFKAQSGDKPSEGRPRRTDLTVLVAHNDDPTDQMFVFFPEEPKVGIKTIKVYCQRMQEENITRALIVVQQGMTPSAKQSLVDMAPKYILEQFLQQELLINITEHELVPEHVVMTKEEVTELLARYKLRENQLPRIQAGDPVARYFGIKRGQVVKIIRPSETAGRYITYRLVQ
+>DECOY_sp|P19388|RPAB1_HUMAN DNA-directed RNA polymerases I, II, and III subunit RPABC1 OS=Homo sapiens OX=9606 GN=POLR2E PE=1 SV=4
+QVLRYTIYRGATESPRIIKVVQGRKIGFYRAVPDGAQIRPLQNERLKYRALLETVEEKTMVVHEPVLEHETINILLEQQLFQELIYKPAMDVLSQKASPTMGQQVVILARTINEEQMRQCYVKITKIGVKPEEPFFVFMQDTPDDNHAVLVTLDTRRPRGESPKDGSQAKFEELTQDLEDQTVLYGRDHCLQMITKRIKWLRYTEEEDDM
+>sp|P62875|RPAB5_HUMAN DNA-directed RNA polymerases I, II, and III subunit RPABC5 OS=Homo sapiens OX=9606 GN=POLR2L PE=1 SV=1
+MIIPVRCFTCGKIVGNKWEAYLGLLQAEYTEGDALDALGLKRYCCRRMLLAHVDLIEKLLNYAPLEK
+>DECOY_sp|P62875|RPAB5_HUMAN DNA-directed RNA polymerases I, II, and III subunit RPABC5 OS=Homo sapiens OX=9606 GN=POLR2L PE=1 SV=1
+KELPAYNLLKEILDVHALLMRRCCYRKLGLADLADGETYEAQLLGLYAEWKNGVIKGCTFCRVPIIM
+>sp|P52435|RPB11_HUMAN DNA-directed RNA polymerase II subunit RPB11-a OS=Homo sapiens OX=9606 GN=POLR2J PE=1 SV=1
+MNAPPAFESFLLFEGEKKITINKDTKVPNACLFTINKEDHTLGNIIKSQLLKDPQVLFAGYKVPHPLEHKIIIRVQTTPDYSPQEAFTNAITDLISELSLLEERFRVAIKDKQEGIE
+>DECOY_sp|P52435|RPB11_HUMAN DNA-directed RNA polymerase II subunit RPB11-a OS=Homo sapiens OX=9606 GN=POLR2J PE=1 SV=1
+EIGEQKDKIAVRFREELLSLESILDTIANTFAEQPSYDPTTQVRIIIKHELPHPVKYGAFLVQPDKLLQSKIINGLTHDEKNITFLCANPVKTDKNITIKKEGEFLLFSEFAPPANM
+>sp|O15514|RPB4_HUMAN DNA-directed RNA polymerase II subunit RPB4 OS=Homo sapiens OX=9606 GN=POLR2D PE=1 SV=1
+MAAGGSDPRAGDVEEDASQLIFPKEFETAETLLNSEVHMLLEHRKQQNESAEDEQELSEVFMKTLNYTARFSRFKNRETIASVRSLLLQKKLHKFELACLANLCPETAEESKALIPSLEGRFEDEELQQILDDIQTKRSFQY
+>DECOY_sp|O15514|RPB4_HUMAN DNA-directed RNA polymerase II subunit RPB4 OS=Homo sapiens OX=9606 GN=POLR2D PE=1 SV=1
+YQFSRKTQIDDLIQQLEEDEFRGELSPILAKSEEATEPCLNALCALEFKHLKKQLLLSRVSAITERNKFRSFRATYNLTKMFVESLEQEDEASENQQKRHELLMHVESNLLTEATEFEKPFILQSADEEVDGARPDSGGAAM
+>sp|Q96AT9|RPE_HUMAN Ribulose-phosphate 3-epimerase OS=Homo sapiens OX=9606 GN=RPE PE=1 SV=1
+MASGCKIGPSILNSDLANLGAECLRMLDSGADYLHLDVMDGHFVPNITFGHPVVESLRKQLGQDPFFDMHMMVSKPEQWVKPMAVAGANQYTFHLEATENPGALIKDIRENGMKVGLAIKPGTSVEYLAPWANQIDMALVMTVEPGFGGQKFMEDMMPKVHWLRTQFPSLDIEVDGGVGPDTVHKCAEAGANMIVSGSAIMRSEDPRSVINLLRNVCSEAAQKRSLDR
+>DECOY_sp|Q96AT9|RPE_HUMAN Ribulose-phosphate 3-epimerase OS=Homo sapiens OX=9606 GN=RPE PE=1 SV=1
+RDLSRKQAAESCVNRLLNIVSRPDESRMIASGSVIMNAGAEACKHVTDPGVGGDVEIDLSPFQTRLWHVKPMMDEMFKQGGFGPEVTMVLAMDIQNAWPALYEVSTGPKIALGVKMGNERIDKILAGPNETAELHFTYQNAGAVAMPKVWQEPKSVMMHMDFFPDQGLQKRLSEVVPHGFTINPVFHGDMVDLHLYDAGSDLMRLCEAGLNALDSNLISPGIKCGSAM
+>sp|Q8TEU7|RPGF6_HUMAN Rap guanine nucleotide exchange factor 6 OS=Homo sapiens OX=9606 GN=RAPGEF6 PE=1 SV=2
+MNSPVDPGARQALRKKPPERTPEDLNTIYSYLHGMEILSNLREHQLRLMSARARYERYSGNQVLFCSETIARCWYILLSGSVLVKGSMVLPPCSFGKQFGGKRGCDCLVLEPSEMIVVENAKDNEDSILQREIPARQSRRRFRKINYKGERQTITDDVEVNSYLSLPADLTKMHLTENPHPQVTHVSSSQSGCSIASDSGSSSLSDIYQATESEVGDVDLTRLPEGPVDSEDDEEEDEEIDRTDPLQGRDLVRECLEKEPADKTDDDIEQLLEFMHQLPAFANMTMSVRRELCSVMIFEVVEQAGAIILEDGQELDSWYVILNGTVEISHPDGKVENLFMGNSFGITPTLDKQYMHGIVRTKVDDCQFVCIAQQDYWRILNHVEKNTHKVEEEGEIVMVHEHRELDRSGTRKGHIVIKATPERLIMHLIEEHSIVDPTYIEDFLLTYRTFLESPLDVGIKLLEWFKIDSLRDKVTRIVLLWVNNHFNDFEGDPAMTRFLEEFEKNLEDTKMNGHLRLLNIACAAKAKWRQVVLQKASRESPLQFSLNGGSEKGFGIFVEGVEPGSKAADSGLKRGDQIMEVNGQNFENITFMKAVEILRNNTHLALTVKTNIFVFKELLFRTEQEKSGVPHIPKIAEKKSNRHSIQHVPGDIEQTSQEKGSKKVKANTVSGGRNKIRKILDKTRFSILPPKLFSDGGLSQSQDDSIVGTRHCRHSLAIMPIPGTLSSSSPDLLQPTTSMLDFSNPSDIPDQVIRVFKVDQQSCYIIISKDTTAKEVVFHAVHEFGLTGASDTYSLCEVSVTPEGVIKQRRLPDQFSKLADRIQLNGRYYLKNNMETETLCSDEDAQELVKESQLSMLQLSTIEVATQLSMRDFDLFRNIEPTEYIDDLFKLNSKTGNTHLKRFEDIVNQETFWVASEILTEANQLKRMKIIKHFIKIALHCRECKNFNSMFAIISGLNLASVARLRGTWEKLPSKYEKHLQDLQDIFDPSRNMAKYRNILSSQSMQPPIIPLFPVVKKDMTFLHEGNDSKVDGLVNFEKLRMISKEIRQVVRMTSANMDPAMMFRQRSLSQGSTNSNMLDVQGGAHKKRARRSSLLNAKKLYEDAQMARKVKQYLSSLDVETDEEKFQMMSLQWEPAYGTLTKNLSEKRSAKSSEMSPVPMRSAGQTTKAHLHQPHRVSQVLQVPAVNLHPIRKKGQTKDPALNTSLPQKVLGTTEEISGKKHTEDTISVASSLHSSPPASPQGSPHKGYTLIPSAKSDNLSDSSHSEISSRSSIVSNCSVDSMSAALQDERCSSQALAVPESTGALEKTEHASGIGDHSQHGPGWTLLKPSLIKCLAVSSSVSNEEISQEHIIIEAADSGRGSWTSCSSSSHDNFQSLPNPKSWDFLNSYRHTHLDDPIAEVEPTDSEPYSCSKSCSRTCGQCKGSLERKSWTSSSSLSDTYEPNYGTVKQRVLESTPAESSEGLDPKDATDPVYKTVTSSTEKGLIVYCVTSPKKDDRYREPPPTPPGYLGISLADLKEGPHTHLKPPDYSVAVQRSKMMHNSLSRLPPASLSSNLVACVPSKIVTQPQRHNLQPFHPKLGDVTDADSEADENEQVSAV
+>DECOY_sp|Q8TEU7|RPGF6_HUMAN Rap guanine nucleotide exchange factor 6 OS=Homo sapiens OX=9606 GN=RAPGEF6 PE=1 SV=2
+VASVQENEDAESDADTVDGLKPHFPQLNHRQPQTVIKSPVCAVLNSSLSAPPLRSLSNHMMKSRQVAVSYDPPKLHTHPGEKLDALSIGLYGPPTPPPERYRDDKKPSTVCYVILGKETSSTVTKYVPDTADKPDLGESSEAPTSELVRQKVTGYNPEYTDSLSSSSTWSKRELSGKCQGCTRSCSKSCSYPESDTPEVEAIPDDLHTHRYSNLFDWSKPNPLSQFNDHSSSSCSTWSGRGSDAAEIIIHEQSIEENSVSSSVALCKILSPKLLTWGPGHQSHDGIGSAHETKELAGTSEPVALAQSSCREDQLAASMSDVSCNSVISSRSSIESHSSDSLNDSKASPILTYGKHPSGQPSAPPSSHLSSAVSITDETHKKGSIEETTGLVKQPLSTNLAPDKTQGKKRIPHLNVAPVQLVQSVRHPQHLHAKTTQGASRMPVPSMESSKASRKESLNKTLTGYAPEWQLSMMQFKEEDTEVDLSSLYQKVKRAMQADEYLKKANLLSSRRARKKHAGGQVDLMNSNTSGQSLSRQRFMMAPDMNASTMRVVQRIEKSIMRLKEFNVLGDVKSDNGEHLFTMDKKVVPFLPIIPPQMSQSSLINRYKAMNRSPDFIDQLDQLHKEYKSPLKEWTGRLRAVSALNLGSIIAFMSNFNKCERCHLAIKIFHKIIKMRKLQNAETLIESAVWFTEQNVIDEFRKLHTNGTKSNLKFLDDIYETPEINRFLDFDRMSLQTAVEITSLQLMSLQSEKVLEQADEDSCLTETEMNNKLYYRGNLQIRDALKSFQDPLRRQKIVGEPTVSVECLSYTDSAGTLGFEHVAHFVVEKATTDKSIIIYCSQQDVKFVRIVQDPIDSPNSFDLMSTTPQLLDPSSSSLTGPIPMIALSHRCHRTGVISDDQSQSLGGDSFLKPPLISFRTKDLIKRIKNRGGSVTNAKVKKSGKEQSTQEIDGPVHQISHRNSKKEAIKPIHPVGSKEQETRFLLEKFVFINTKVTLALHTNNRLIEVAKMFTINEFNQGNVEMIQDGRKLGSDAAKSGPEVGEVFIGFGKESGGNLSFQLPSERSAKQLVVQRWKAKAACAINLLRLHGNMKTDELNKEFEELFRTMAPDGEFDNFHNNVWLLVIRTVKDRLSDIKFWELLKIGVDLPSELFTRYTLLFDEIYTPDVISHEEILHMILREPTAKIVIHGKRTGSRDLERHEHVMVIEGEEEVKHTNKEVHNLIRWYDQQAICVFQCDDVKTRVIGHMYQKDLTPTIGFSNGMFLNEVKGDPHSIEVTGNLIVYWSDLEQGDELIIAGAQEVVEFIMVSCLERRVSMTMNAFAPLQHMFELLQEIDDDTKDAPEKELCERVLDRGQLPDTRDIEEDEEEDDESDVPGEPLRTLDVDGVESETAQYIDSLSSSGSDSAISCGSQSSSVHTVQPHPNETLHMKTLDAPLSLYSNVEVDDTITQREGKYNIKRFRRRSQRAPIERQLISDENDKANEVVIMESPELVLCDCGRKGGFQKGFSCPPLVMSGKVLVSGSLLIYWCRAITESCFLVQNGSYREYRARASMLRLQHERLNSLIEMGHLYSYITNLDEPTREPPKKRLAQRAGPDVPSNM
+>sp|Q684P5|RPGP2_HUMAN Rap1 GTPase-activating protein 2 OS=Homo sapiens OX=9606 GN=RAP1GAP2 PE=1 SV=2
+MFGRKRSVSFGGFGWIDKTMLASLKVKKQELANSSDATLPDRPLSPPLTAPPTMKSSEFFEMLEKMQGIKLEEQKPGPQKNKDDYIPYPSIDEVVEKGGPYPQVILPQFGGYWIEDPENVGTPTSLGSSICEEEEEDNLSPNTFGYKLECKGEARAYRRHFLGKDHLNFYCTGSSLGNLILSVKCEEAEGIEYLRVILRSKLKTVHERIPLAGLSKLPSVPQIAKAFCDDAVGLRFNPVLYPKASQMIVSYDEHEVNNTFKFGVIYQKARQTLEEELFGNNEESPAFKEFLDLLGDTITLQDFKGFRGGLDVTHGQTGVESVYTTFRDREIMFHVSTKLPFTDGDAQQLQRKRHIGNDIVAIIFQEENTPFVPDMIASNFLHAYIVVQVETPGTETPSYKVSVTAREDVPTFGPPLPSPPVFQKGPEFREFLLTKLTNAENACCKSDKFAKLEDRTRAALLDNLHDELHAHTQAMLGLGPEEDKFENGGHGGFLESFKRAIRVRSHSMETMVGGQKKSHSGGIPGSLSGGISHNSMEVTKTTFSPPVVAATVKNQSRSPIKRRSGLFPRLHTGSEGQGDSRARCDSTSSTPKTPDGGHSSQEIKSETSSNPSSPEICPNKEKPFMKLKENGRAISRSSSSTSSVSSTAGEGEAMEEGDSGGSQPSTTSPFKQEVFVYSPSPSSESPSLGAAATPIIMSRSPTDAKSRNSPRSNLKFRFDKLSHASSGAGH
+>DECOY_sp|Q684P5|RPGP2_HUMAN Rap1 GTPase-activating protein 2 OS=Homo sapiens OX=9606 GN=RAP1GAP2 PE=1 SV=2
+HGAGSSAHSLKDFRFKLNSRPSNRSKADTPSRSMIIPTAAAGLSPSESSPSPSYVFVEQKFPSTTSPQSGGSDGEEMAEGEGATSSVSSTSSSSRSIARGNEKLKMFPKEKNPCIEPSSPNSSTESKIEQSSHGGDPTKPTSSTSDCRARSDGQGESGTHLRPFLGSRRKIPSRSQNKVTAAVVPPSFTTKTVEMSNHSIGGSLSGPIGGSHSKKQGGVMTEMSHSRVRIARKFSELFGGHGGNEFKDEEPGLGLMAQTHAHLEDHLNDLLAARTRDELKAFKDSKCCANEANTLKTLLFERFEPGKQFVPPSPLPPGFTPVDERATVSVKYSPTETGPTEVQVVIYAHLFNSAIMDPVFPTNEEQFIIAVIDNGIHRKRQLQQADGDTFPLKTSVHFMIERDRFTTYVSEVGTQGHTVDLGGRFGKFDQLTITDGLLDLFEKFAPSEENNGFLEEELTQRAKQYIVGFKFTNNVEHEDYSVIMQSAKPYLVPNFRLGVADDCFAKAIQPVSPLKSLGALPIREHVTKLKSRLIVRLYEIGEAEECKVSLILNGLSSGTCYFNLHDKGLFHRRYARAEGKCELKYGFTNPSLNDEEEEECISSGLSTPTGVNEPDEIWYGGFQPLIVQPYPGGKEVVEDISPYPIYDDKNKQPGPKQEELKIGQMKELMEFFESSKMTPPATLPPSLPRDPLTADSSNALEQKKVKLSALMTKDIWGFGGFSVSRKRGFM
+>sp|P49247|RPIA_HUMAN Ribose-5-phosphate isomerase OS=Homo sapiens OX=9606 GN=RPIA PE=1 SV=3
+MQRPGPFSTLYGRVLAPLPGRAGGAASGGGGNSWDLPGSHVRLPGRAQSGTRGGAGNTSTSCGDSNSICPAPSTMSKAEEAKKLAGRAAVENHVRNNQVLGIGSGSTIVHAVQRIAERVKQENLNLVCIPTSFQARQLILQYGLTLSDLDRHPEIDLAIDGADEVDADLNLIKGGGGCLTQEKIVAGYASRFIVIADFRKDSKNLGDQWHKGIPIEVIPMAYVPVSRAVSQKFGGVVELRMAVNKAGPVVTDNGNFILDWKFDRVHKWSEVNTAIKMIPGVVDTGLFINMAERVYFGMQDGSVNMREKPFC
+>DECOY_sp|P49247|RPIA_HUMAN Ribose-5-phosphate isomerase OS=Homo sapiens OX=9606 GN=RPIA PE=1 SV=3
+CFPKERMNVSGDQMGFYVREAMNIFLGTDVVGPIMKIATNVESWKHVRDFKWDLIFNGNDTVVPGAKNVAMRLEVVGGFKQSVARSVPVYAMPIVEIPIGKHWQDGLNKSDKRFDAIVIFRSAYGAVIKEQTLCGGGGKILNLDADVEDAGDIALDIEPHRDLDSLTLGYQLILQRAQFSTPICVLNLNEQKVREAIRQVAHVITSGSGIGLVQNNRVHNEVAARGALKKAEEAKSMTSPAPCISNSDGCSTSTNGAGGRTGSQARGPLRVHSGPLDWSNGGGGSAAGGARGPLPALVRGYLTSFPGPRQM
+>sp|Q9Y6S9|RPKL1_HUMAN Ribosomal protein S6 kinase-like 1 OS=Homo sapiens OX=9606 GN=RPS6KL1 PE=2 SV=1
+MSLVACECLPSPGLEPEPCSRARSQAHVYLEQIRNRVALGVPDMTKRDYLVDAATQIRLALERDVSEDYEAAFNHYQNGVDVLLRGIHVDPNKERREAVKLKITKYLRRAEEIFNCHLQRPLSSGASPSAGFSSLRLRPIRTLSSAVEQLRGCRVVGVIEKVQLVQDPATGGTFVVKSLPRCHMVSRERLTIIPHGVPYMTKLLRYFVSEDSIFLHLEHVQGGTLWSHLLSQAHSRHSGLSSGSTQERMKAQLNPHLNLLTPARLPSGHAPGQDRIALEPPRTSPNLLLAGEAPSTRPQREAEGEPTARTSTSGSSDLPKAPGGHLHLQARRAGQNSDAGPPRGLTWVPEGAGPVLGGCGRGMDQSCLSADGAGRGCGRATWSVREEQVKQWAAEMLVALEALHEQGVLCRDLHPGNLLLDQAGHIRLTYFGQWSEVEPQCCGEAVDNLYSAPEVGGISELTEACDWWSFGSLLYELLTGMALSQSHPSGIQAHTQLQLPEWLSRPAASLLTELLQFEPTRRLGMGEGGVSKLKSHPFFSTIQWSKLVG
+>DECOY_sp|Q9Y6S9|RPKL1_HUMAN Ribosomal protein S6 kinase-like 1 OS=Homo sapiens OX=9606 GN=RPS6KL1 PE=2 SV=1
+GVLKSWQITSFFPHSKLKSVGGEGMGLRRTPEFQLLETLLSAAPRSLWEPLQLQTHAQIGSPHSQSLAMGTLLEYLLSGFSWWDCAETLESIGGVEPASYLNDVAEGCCQPEVESWQGFYTLRIHGAQDLLLNGPHLDRCLVGQEHLAELAVLMEAAWQKVQEERVSWTARGCGRGAGDASLCSQDMGRGCGGLVPGAGEPVWTLGRPPGADSNQGARRAQLHLHGGPAKPLDSSGSTSTRATPEGEAERQPRTSPAEGALLLNPSTRPPELAIRDQGPAHGSPLRAPTLLNLHPNLQAKMREQTSGSSLGSHRSHAQSLLHSWLTGGQVHELHLFISDESVFYRLLKTMYPVGHPIITLRERSVMHCRPLSKVVFTGGTAPDQVLQVKEIVGVVRCGRLQEVASSLTRIPRLRLSSFGASPSAGSSLPRQLHCNFIEEARRLYKTIKLKVAERREKNPDVHIGRLLVDVGNQYHNFAAEYDESVDRELALRIQTAADVLYDRKTMDPVGLAVRNRIQELYVHAQSRARSCPEPELGPSPLCECAVLSM
+>sp|A6NKH3|RL37L_HUMAN Putative 60S ribosomal protein L37a-like protein OS=Homo sapiens OX=9606 GN=RPL37AP8 PE=5 SV=2
+MAKCTKKVGGIVSKYRTHHGASLWKMVKEIEISQHTKYTCSFCGKTKMKRRAVKIRHCNSCMKTVAGSAWTYNTTSAVMVKSAIRRLKELKDQ
+>DECOY_sp|A6NKH3|RL37L_HUMAN Putative 60S ribosomal protein L37a-like protein OS=Homo sapiens OX=9606 GN=RPL37AP8 PE=5 SV=2
+QDKLEKLRRIASKVMVASTTNYTWASGAVTKMCSNCHRIKVARRKMKTKGCFSCTYKTHQSIEIEKVMKWLSAGHHTRYKSVIGGVKKTCKAM
+>sp|P62891|RL39_HUMAN 60S ribosomal protein L39 OS=Homo sapiens OX=9606 GN=RPL39 PE=1 SV=2
+MSSHKTFRIKRFLAKKQKQNRPIPQWIRMKTGNKIRYNSKRRHWRRTKLGL
+>DECOY_sp|P62891|RL39_HUMAN 60S ribosomal protein L39 OS=Homo sapiens OX=9606 GN=RPL39 PE=1 SV=2
+LGLKTRRWHRRKSNYRIKNGTKMRIWQPIPRNQKQKKALFRKIRFTKHSSM
+>sp|Q8TCC3|RM30_HUMAN 39S ribosomal protein L30, mitochondrial OS=Homo sapiens OX=9606 GN=MRPL30 PE=1 SV=1
+MAGILRLVVQWPPGRLQTVTKGVESLICTDWIRHKFTRSRIPEKVFQASPEDHEKYGGDPQNPHKLHIVTRIKSTRRRPYWEKDIIKMLGLEKAHTPQVHKNIPSVNAKLKVVKHLIRIKPLKLPQGLPAEENMSNTCLKSTGELVVQWHLKPVEQKAHES
+>DECOY_sp|Q8TCC3|RM30_HUMAN 39S ribosomal protein L30, mitochondrial OS=Homo sapiens OX=9606 GN=MRPL30 PE=1 SV=1
+SEHAKQEVPKLHWQVVLEGTSKLCTNSMNEEAPLGQPLKLPKIRILHKVVKLKANVSPINKHVQPTHAKELGLMKIIDKEWYPRRRTSKIRTVIHLKHPNQPDGGYKEHDEPSAQFVKEPIRSRTFKHRIWDTCILSEVGKTVTQLRGPPWQVVLRLIGAM
+>sp|Q9BYC8|RM32_HUMAN 39S ribosomal protein L32, mitochondrial OS=Homo sapiens OX=9606 GN=MRPL32 PE=1 SV=1
+MALAMLVLVVSPWSAARGVLRNYWERLLRKLPQSRPGFPSPPWGPALAVQGPAMFTEPANDTSGSKENSSLLDSIFWMAAPKNRRTIEVNRCRRRNPQKLIKVKNNIDVCPECGHLKQKHVLCAYCYEKVCKETAEIRRQIGKQEGGPFKAPTIETVVLYTGETPSEQDQGKRIIERDRKRPSWFTQN
+>DECOY_sp|Q9BYC8|RM32_HUMAN 39S ribosomal protein L32, mitochondrial OS=Homo sapiens OX=9606 GN=MRPL32 PE=1 SV=1
+NQTFWSPRKRDREIIRKGQDQESPTEGTYLVVTEITPAKFPGGEQKGIQRRIEATEKCVKEYCYACLVHKQKLHGCEPCVDINNKVKILKQPNRRRCRNVEITRRNKPAAMWFISDLLSSNEKSGSTDNAPETFMAPGQVALAPGWPPSPFGPRSQPLKRLLREWYNRLVGRAASWPSVVLVLMALAM
+>sp|O75394|RM33_HUMAN 39S ribosomal protein L33, mitochondrial OS=Homo sapiens OX=9606 GN=MRPL33 PE=1 SV=1
+MFLSAVFFAKSKSKNILVRMVSEAGTGFCFNTKRNRLREKLTLLHYDPVVKQRVLFVEKKKIRSL
+>DECOY_sp|O75394|RM33_HUMAN 39S ribosomal protein L33, mitochondrial OS=Homo sapiens OX=9606 GN=MRPL33 PE=1 SV=1
+LSRIKKKEVFLVRQKVVPDYHLLTLKERLRNRKTNFCFGTGAESVMRVLINKSKSKAFFVASLFM
+>sp|Q9BQ48|RM34_HUMAN 39S ribosomal protein L34, mitochondrial OS=Homo sapiens OX=9606 GN=MRPL34 PE=1 SV=1
+MAVLAGSLLGPTSRSAALLGGRWLQPRAWLGFPDAWGLPTPQQARGKARGNEYQPSNIKRKNKHGWVRRLSTPAGVQVILRRMLKGRKSLSH
+>DECOY_sp|Q9BQ48|RM34_HUMAN 39S ribosomal protein L34, mitochondrial OS=Homo sapiens OX=9606 GN=MRPL34 PE=1 SV=1
+HSLSKRGKLMRRLIVQVGAPTSLRRVWGHKNKRKINSPQYENGRAKGRAQQPTPLGWADPFGLWARPQLWRGGLLAASRSTPGLLSGALVAM
+>sp|Q9NZE8|RM35_HUMAN 39S ribosomal protein L35, mitochondrial OS=Homo sapiens OX=9606 GN=MRPL35 PE=1 SV=3
+MAASAFAGAVRAASGILRPLNILASSTYRNCVKNASLISALSTGRFSHIQTPVVSSTPRLTTSERNLTCGHTSVILNRMAPVLPSVLKLPVRSLTYFSARKGKRKTVKAVIDRFLRLHCGLWVRRKAGYKKKLWKKTPARKKRLREFVFCNKTQSKLLDKMTTSFWKRRNWYVDDPYQKYHDRTNLKV
+>DECOY_sp|Q9NZE8|RM35_HUMAN 39S ribosomal protein L35, mitochondrial OS=Homo sapiens OX=9606 GN=MRPL35 PE=1 SV=3
+VKLNTRDHYKQYPDDVYWNRRKWFSTTMKDLLKSQTKNCFVFERLRKKRAPTKKWLKKKYGAKRRVWLGCHLRLFRDIVAKVTKRKGKRASFYTLSRVPLKLVSPLVPAMRNLIVSTHGCTLNRESTTLRPTSSVVPTQIHSFRGTSLASILSANKVCNRYTSSALINLPRLIGSAARVAGAFASAAM
+>sp|Q96DV4|RM38_HUMAN 39S ribosomal protein L38, mitochondrial OS=Homo sapiens OX=9606 GN=MRPL38 PE=1 SV=2
+MAAPWWRAALCECRRWRGFSTSAVLGRRTPPLGPMPNSDIDLSNLERLEKYRSFDRYRRRAEQEAQAPHWWRTYREYFGEKTDPKEKIDIGLPPPKVSRTQQLLERKQAIQELRANVEEERAARLRTASVPLDAVRAEWERTCGPYHKQRLAEYYGLYRDLFHGATFVPRVPLHVAYAVGEDDLMPVYCGNEVTPTEAAQAPEVTYEAEEGSLWTLLLTSLDGHLLEPDAEYLHWLLTNIPGNRVAEGQVTCPYLPPFPARGSGIHRLAFLLFKQDQPIDFSEDARPSPCYQLAQRTFRTFDFYKKHQETMTPAGLSFFQCRWDDSVTYIFHQLLDMREPVFEFVRPPPYHPKQKRFPHRQPLRYLDRYRDSHEPTYGIY
+>DECOY_sp|Q96DV4|RM38_HUMAN 39S ribosomal protein L38, mitochondrial OS=Homo sapiens OX=9606 GN=MRPL38 PE=1 SV=2
+YIGYTPEHSDRYRDLYRLPQRHPFRKQKPHYPPPRVFEFVPERMDLLQHFIYTVSDDWRCQFFSLGAPTMTEQHKKYFDFTRFTRQALQYCPSPRADESFDIPQDQKFLLFALRHIGSGRAPFPPLYPCTVQGEAVRNGPINTLLWHLYEADPELLHGDLSTLLLTWLSGEEAEYTVEPAQAAETPTVENGCYVPMLDDEGVAYAVHLPVRPVFTAGHFLDRYLGYYEALRQKHYPGCTREWEARVADLPVSATRLRAAREEEVNARLEQIAQKRELLQQTRSVKPPPLGIDIKEKPDTKEGFYERYTRWWHPAQAEQEARRRYRDFSRYKELRELNSLDIDSNPMPGLPPTRRGLVASTSFGRWRRCECLAARWWPAAM
+>sp|Q8IXM3|RM41_HUMAN 39S ribosomal protein L41, mitochondrial OS=Homo sapiens OX=9606 GN=MRPL41 PE=1 SV=1
+MGVLAAAARCLVRGADRMSKWTSKRGPRSFRGRKGRGAKGIGFLTSGWRFVQIKEMVPEFVVPDLTGFKLKPYVSYLAPESEETPLTAAQLFSEAVAPAIEKDFKDGTFDPDNLEKYGFEPTQEGKLFQLYPRNFLR
+>DECOY_sp|Q8IXM3|RM41_HUMAN 39S ribosomal protein L41, mitochondrial OS=Homo sapiens OX=9606 GN=MRPL41 PE=1 SV=1
+RLFNRPYLQFLKGEQTPEFGYKELNDPDFTGDKFDKEIAPAVAESFLQAATLPTEESEPALYSVYPKLKFGTLDPVVFEPVMEKIQVFRWGSTLFGIGKAGRGKRGRFSRPGRKSTWKSMRDAGRVLCRAAAALVGM
+>sp|Q86TS9|RM52_HUMAN 39S ribosomal protein L52, mitochondrial OS=Homo sapiens OX=9606 GN=MRPL52 PE=1 SV=2
+MAALGTVLFTGVRRLHCSVAAWAGGQWRLQQGLAANPSGYGPLTELPDWSYADGRPAPPMKGQLRRKAERETFARRVVLLSQEMDAGLQAWQLRQQKLQEEQRKQENALKPKGASLKSPLPSQ
+>DECOY_sp|Q86TS9|RM52_HUMAN 39S ribosomal protein L52, mitochondrial OS=Homo sapiens OX=9606 GN=MRPL52 PE=1 SV=2
+QSPLPSKLSAGKPKLANEQKRQEEQLKQQRLQWAQLGADMEQSLLVVRRAFTEREAKRRLQGKMPPAPRGDAYSWDPLETLPGYGSPNAALGQQLRWQGGAWAAVSCHLRRVGTFLVTGLAAM
+>sp|Q96EL3|RM53_HUMAN 39S ribosomal protein L53, mitochondrial OS=Homo sapiens OX=9606 GN=MRPL53 PE=1 SV=1
+MAAALARLGLRPVKQVRVQFCPFEKNVESTRTFLQTVSSEKVRSTNLNCSVIADVRHDGSEPCVDVLFGDGHRLIMRGAHLTALEMLTAFASHIRARDAAGSGDKPGADTGR
+>DECOY_sp|Q96EL3|RM53_HUMAN 39S ribosomal protein L53, mitochondrial OS=Homo sapiens OX=9606 GN=MRPL53 PE=1 SV=1
+RGTDAGPKDGSGAADRARIHSAFATLMELATLHAGRMILRHGDGFLVDVCPESGDHRVDAIVSCNLNTSRVKESSVTQLFTRTSEVNKEFPCFQVRVQKVPRLGLRALAAAM
+>sp|Q96TC7|RMD3_HUMAN Regulator of microtubule dynamics protein 3 OS=Homo sapiens OX=9606 GN=RMDN3 PE=1 SV=2
+MSRLGALGGARAGLGLLLGTAAGLGFLCLLYSQRWKRTQRHGRSQSLPNSLDYTQTSDPGRHVMLLRAVPGGAGDASVLPSLPREGQEKVLDRLDFVLTSLVALRREVEELRSSLRGLAGEIVGEVRCHMEENQRVARRRRFPFVRERSDSTGSSSVYFTASSGATFTDAESEGGYTTANAESDNERDSDKESEDGEDEVSCETVKMGRKDSLDLEEEAASGASSALEAGGSSGLEDVLPLLQQADELHRGDEQGKREGFQLLLNNKLVYGSRQDFLWRLARAYSDMCELTEEVSEKKSYALDGKEEAEAALEKGDESADCHLWYAVLCGQLAEHESIQRRIQSGFSFKEHVDKAIALQPENPMAHFLLGRWCYQVSHLSWLEKKTATALLESPLSATVEDALQSFLKAEELQPGFSKAGRVYISKCYRELGKNSEARWWMKLALELPDVTKEDLAIQKDLEELEVILRD
+>DECOY_sp|Q96TC7|RMD3_HUMAN Regulator of microtubule dynamics protein 3 OS=Homo sapiens OX=9606 GN=RMDN3 PE=1 SV=2
+DRLIVELEELDKQIALDEKTVDPLELALKMWWRAESNKGLERYCKSIYVRGAKSFGPQLEEAKLFSQLADEVTASLPSELLATATKKELWSLHSVQYCWRGLLFHAMPNEPQLAIAKDVHEKFSFGSQIRRQISEHEALQGCLVAYWLHCDASEDGKELAAEAEEKGDLAYSKKESVEETLECMDSYARALRWLFDQRSGYVLKNNLLLQFGERKGQEDGRHLEDAQQLLPLVDELGSSGGAELASSAGSAAEEELDLSDKRGMKVTECSVEDEGDESEKDSDRENDSEANATTYGGESEADTFTAGSSATFYVSSSGTSDSRERVFPFRRRRAVRQNEEMHCRVEGVIEGALGRLSSRLEEVERRLAVLSTLVFDLRDLVKEQGERPLSPLVSADGAGGPVARLLMVHRGPDSTQTYDLSNPLSQSRGHRQTRKWRQSYLLCLFGLGAATGLLLGLGARAGGLAGLRSM
+>sp|Q8N7C7|RN148_HUMAN RING finger protein 148 OS=Homo sapiens OX=9606 GN=RNF148 PE=2 SV=2
+MSFLRITPSTHSSVSSGLLRLSIFLLLSFPDSNGKAIWTAHLNITFQVGNEITSELGESGVFGNHSPLERVSGVVALPEGWNQNACHPLTNFSRPKQADSWLALIERGGCTFTHKINVAAEKGANGVIIYNYQGTGSKVFPMSHQGTENIVAVMISNLKGMEILHSIQKGVYVTVIIEVGRMHMQWVSHYIMYLFTFLAATIAYFYLDCVWRLTPRVPNSFTRRRSQIKTDVKKAIDQLQLRVLKEGDEELDLNEDNCVVCFDTYKPQDVVRILTCKHFFHKACIDPWLLAHRTCPMCKCDILKT
+>DECOY_sp|Q8N7C7|RN148_HUMAN RING finger protein 148 OS=Homo sapiens OX=9606 GN=RNF148 PE=2 SV=2
+TKLIDCKCMPCTRHALLWPDICAKHFFHKCTLIRVVDQPKYTDFCVVCNDENLDLEEDGEKLVRLQLQDIAKKVDTKIQSRRRTFSNPVRPTLRWVCDLYFYAITAALFTFLYMIYHSVWQMHMRGVEIIVTVYVGKQISHLIEMGKLNSIMVAVINETGQHSMPFVKSGTGQYNYIIVGNAGKEAAVNIKHTFTCGGREILALWSDAQKPRSFNTLPHCANQNWGEPLAVVGSVRELPSHNGFVGSEGLESTIENGVQFTINLHATWIAKGNSDPFSLLLFISLRLLGSSVSSHTSPTIRLFSM
+>sp|Q8NC42|RN149_HUMAN E3 ubiquitin-protein ligase RNF149 OS=Homo sapiens OX=9606 GN=RNF149 PE=2 SV=2
+MAWRRREASVGARGVLALALLALALCVPGARGRALEWFSAVVNIEYVDPQTNLTVWSVSESGRFGDSSPKEGAHGLVGVPWAPGGDLEGCAPDTRFFVPEPGGRGAAPWVALVARGGCTFKDKVLVAARRNASAVVLYNEERYGNITLPMSHAGTGNIVVIMISYPKGREILELVQKGIPVTMTIGVGTRHVQEFISGQSVVFVAIAFITMMIISLAWLIFYYIQRFLYTGSQIGSQSHRKETKKVIGQLLLHTVKHGEKGIDVDAENCAVCIENFKVKDIIRILPCKHIFHRICIDPWLLDHRTCPMCKLDVIKALGYWGEPGDVQEMPAPESPPGRDPAANLSLALPDDDGSDDSSPPSASPAESEPQCDPSFKGDAGENTALLEAGRSDSRHGGPIS
+>DECOY_sp|Q8NC42|RN149_HUMAN E3 ubiquitin-protein ligase RNF149 OS=Homo sapiens OX=9606 GN=RNF149 PE=2 SV=2
+SIPGGHRSDSRGAELLATNEGADGKFSPDCQPESEAPSASPPSSDDSGDDDPLALSLNAAPDRGPPSEPAPMEQVDGPEGWYGLAKIVDLKCMPCTRHDLLWPDICIRHFIHKCPLIRIIDKVKFNEICVACNEADVDIGKEGHKVTHLLLQGIVKKTEKRHSQSGIQSGTYLFRQIYYFILWALSIIMMTIFAIAVFVVSQGSIFEQVHRTGVGITMTVPIGKQVLELIERGKPYSIMIVVINGTGAHSMPLTINGYREENYLVVASANRRAAVLVKDKFTCGGRAVLAVWPAAGRGGPEPVFFRTDPACGELDGGPAWPVGVLGHAGEKPSSDGFRGSESVSWVTLNTQPDVYEINVVASFWELARGRAGPVCLALALLALALVGRAGVSAERRRWAM
+>sp|Q96K19|RN170_HUMAN E3 ubiquitin-protein ligase RNF170 OS=Homo sapiens OX=9606 GN=RNF170 PE=1 SV=2
+MAKYQGEVQSLKLDDDSVIEGVSDQVLVAVVVSFALIATLVYALFRNVHQNIHPENQELVRVLREQLQTEQDAPAATRQQFYTDMYCPICLHQASFPVETNCGHLFCGACIIAYWRYGSWLGAISCPICRQTVTLLLTVFGEDDQSQDVLRLHQDINDYNRRFSGQPRSIMERIMDLPTLLRHAFREMFSVGGLFWMFRIRIILCLMGAFFYLISPLDFVPEALFGILGFLDDFFVIFLLLIYISIMYREVITQRLTR
+>DECOY_sp|Q96K19|RN170_HUMAN E3 ubiquitin-protein ligase RNF170 OS=Homo sapiens OX=9606 GN=RNF170 PE=1 SV=2
+RTLRQTIVERYMISIYILLLFIVFFDDLFGLIGFLAEPVFDLPSILYFFAGMLCLIIRIRFMWFLGGVSFMERFAHRLLTPLDMIREMISRPQGSFRRNYDNIDQHLRLVDQSQDDEGFVTLLLTVTQRCIPCSIAGLWSGYRWYAIICAGCFLHGCNTEVPFSAQHLCIPCYMDTYFQQRTAAPADQETQLQERLVRVLEQNEPHINQHVNRFLAYVLTAILAFSVVVAVLVQDSVGEIVSDDDLKLSQVEGQYKAM
+>sp|Q5VTB9|RN220_HUMAN E3 ubiquitin-protein ligase RNF220 OS=Homo sapiens OX=9606 GN=RNF220 PE=1 SV=1
+MDLHRAAFKMENSSYLPNPLASPALMVLASTAEASRDASIPCQQPRPFGVPVSVDKDVHIPFTNGSYTFASMYHRQGGVPGTFANRDFPPSLLHLHPQFAPPNLDCTPISMLNHSGVGAFRPFASTEDRESYQSAFTPAKRLKNCHDTESPHLRFSDADGKEYDFGTQLPSSSPGSLKVDDTGKKIFAVSGLISDREASSSPEDRNDRCKKKAAALFDSQAPICPICQVLLRPSELQEHMEQELEQLAQLPSSKNSLLKDAMAPGTPKSLLLSASIKREGESPTASPHSSATDDLHHSDRYQTFLRVRANRQTRLNARIGKMKRRKQDEGQREGSCMAEDDAVDIEHENNNRFEEYEWCGQKRIRATTLLEGGFRGSGFIMCSGKENPDSDADLDVDGDDTLEYGKPQYTEADVIPCTGEEPGEAKEREALRGAVLNGGPPSTRITPEFSKWASDEMPSTSNGESSKQEAMQKTCKNSDIEKITEDSAVTTFEALKARVRELERQLSRGDRYKCLICMDSYSMPLTSIQCWHVHCEECWLRTLGAKKLCPQCNTITAPGDLRRIYL
+>DECOY_sp|Q5VTB9|RN220_HUMAN E3 ubiquitin-protein ligase RNF220 OS=Homo sapiens OX=9606 GN=RNF220 PE=1 SV=1
+LYIRRLDGPATITNCQPCLKKAGLTRLWCEECHVHWCQISTLPMSYSDMCILCKYRDGRSLQRELERVRAKLAEFTTVASDETIKEIDSNKCTKQMAEQKSSEGNSTSPMEDSAWKSFEPTIRTSPPGGNLVAGRLAEREKAEGPEEGTCPIVDAETYQPKGYELTDDGDVDLDADSDPNEKGSCMIFGSGRFGGELLTTARIRKQGCWEYEEFRNNNEHEIDVADDEAMCSGERQGEDQKRRKMKGIRANLRTQRNARVRLFTQYRDSHHLDDTASSHPSATPSEGERKISASLLLSKPTGPAMADKLLSNKSSPLQALQELEQEMHEQLESPRLLVQCIPCIPAQSDFLAAAKKKCRDNRDEPSSSAERDSILGSVAFIKKGTDDVKLSGPSSSPLQTGFDYEKGDADSFRLHPSETDHCNKLRKAPTFASQYSERDETSAFPRFAGVGSHNLMSIPTCDLNPPAFQPHLHLLSPPFDRNAFTGPVGGQRHYMSAFTYSGNTFPIHVDKDVSVPVGFPRPQQCPISADRSAEATSALVMLAPSALPNPLYSSNEMKFAARHLDM
+>sp|Q05823|RN5A_HUMAN 2-5A-dependent ribonuclease OS=Homo sapiens OX=9606 GN=RNASEL PE=1 SV=2
+MESRDHNNPQEGPTSSSGRRAAVEDNHLLIKAVQNEDVDLVQQLLEGGANVNFQEEEGGWTPLHNAVQMSREDIVELLLRHGADPVLRKKNGATPFILAAIAGSVKLLKLFLSKGADVNECDFYGFTAFMEAAVYGKVKALKFLYKRGANVNLRRKTKEDQERLRKGGATALMDAAEKGHVEVLKILLDEMGADVNACDNMGRNALIHALLSSDDSDVEAITHLLLDHGADVNVRGERGKTPLILAVEKKHLGLVQRLLEQEHIEINDTDSDGKTALLLAVELKLKKIAELLCKRGASTDCGDLVMTARRNYDHSLVKVLLSHGAKEDFHPPAEDWKPQSSHWGAALKDLHRIYRPMIGKLKFFIDEKYKIADTSEGGIYLGFYEKQEVAVKTFCEGSPRAQREVSCLQSSRENSHLVTFYGSESHRGHLFVCVTLCEQTLEACLDVHRGEDVENEEDEFARNVLSSIFKAVQELHLSCGYTHQDLQPQNILIDSKKAAHLADFDKSIKWAGDPQEVKRDLEDLGRLVLYVVKKGSISFEDLKAQSNEEVVQLSPDEETKDLIHRLFHPGEHVRDCLSDLLGHPFFWTWESRYRTLRNVGNESDIKTRKSESEILRLLQPGPSEHSKSFDKWTTKINECVMKKMNKFYEKRGNFYQNTVGDLLKFIRNLGEHIDEEKHKKMKLKIGDPSLYFQKTFPDLVIYVYTKLQNTEYRKHFPQTHSPNKPQCDGAGGASGLASPGC
+>DECOY_sp|Q05823|RN5A_HUMAN 2-5A-dependent ribonuclease OS=Homo sapiens OX=9606 GN=RNASEL PE=1 SV=2
+CGPSALGSAGGAGDCQPKNPSHTQPFHKRYETNQLKTYVYIVLDPFTKQFYLSPDGIKLKMKKHKEEDIHEGLNRIFKLLDGVTNQYFNGRKEYFKNMKKMVCENIKTTWKDFSKSHESPGPQLLRLIESESKRTKIDSENGVNRLTRYRSEWTWFFPHGLLDSLCDRVHEGPHFLRHILDKTEEDPSLQVVEENSQAKLDEFSISGKKVVYLVLRGLDELDRKVEQPDGAWKISKDFDALHAAKKSDILINQPQLDQHTYGCSLHLEQVAKFISSLVNRAFEDEENEVDEGRHVDLCAELTQECLTVCVFLHGRHSESGYFTVLHSNERSSQLCSVERQARPSGECFTKVAVEQKEYFGLYIGGESTDAIKYKEDIFFKLKGIMPRYIRHLDKLAAGWHSSQPKWDEAPPHFDEKAGHSLLVKVLSHDYNRRATMVLDGCDTSAGRKCLLEAIKKLKLEVALLLATKGDSDTDNIEIHEQELLRQVLGLHKKEVALILPTKGREGRVNVDAGHDLLLHTIAEVDSDDSSLLAHILANRGMNDCANVDAGMEDLLIKLVEVHGKEAADMLATAGGKRLREQDEKTKRRLNVNAGRKYLFKLAKVKGYVAAEMFATFGYFDCENVDAGKSLFLKLLKVSGAIAALIFPTAGNKKRLVPDAGHRLLLEVIDERSMQVANHLPTWGGEEEQFNVNAGGELLQQVLDVDENQVAKILLHNDEVAARRGSSSTPGEQPNNHDRSEM
+>sp|O75792|RNH2A_HUMAN Ribonuclease H2 subunit A OS=Homo sapiens OX=9606 GN=RNASEH2A PE=1 SV=2
+MDLSELERDNTGRCRLSSPVPAVCRKEPCVLGVDEAGRGPVLGPMVYAICYCPLPRLADLEALKVADSKTLLESERERLFAKMEDTDFVGWALDVLSPNLISTSMLGRVKYNLNSLSHDTATGLIQYALDQGVNVTQVFVDTVGMPETYQARLQQSFPGIEVTVKAKADALYPVVSAASICAKVARDQAVKKWQFVEKLQDLDTDYGSGYPNDPKTKAWLKEHVEPVFGFPQFVRFSWRTAQTILEKEAEDVIWEDSASENQEGLRKITSYFLNEGSQARPRSSHRYFLERGLESATSL
+>DECOY_sp|O75792|RNH2A_HUMAN Ribonuclease H2 subunit A OS=Homo sapiens OX=9606 GN=RNASEH2A PE=1 SV=2
+LSTASELGRELFYRHSSRPRAQSGENLFYSTIKRLGEQNESASDEWIVDEAEKELITQATRWSFRVFQPFGFVPEVHEKLWAKTKPDNPYGSGYDTDLDQLKEVFQWKKVAQDRAVKACISAASVVPYLADAKAKVTVEIGPFSQQLRAQYTEPMGVTDVFVQTVNVGQDLAYQILGTATDHSLSNLNYKVRGLMSTSILNPSLVDLAWGVFDTDEMKAFLRERESELLTKSDAVKLAELDALRPLPCYCIAYVMPGLVPGRGAEDVGLVCPEKRCVAPVPSSLRCRGTNDRELESLDM
+>sp|Q5VYX0|RNLS_HUMAN Renalase OS=Homo sapiens OX=9606 GN=RNLS PE=1 SV=1
+MAQVLIVGAGMTGSLCAALLRRQTSGPLYLAVWDKAEDSGGRMTTACSPHNPQCTADLGAQYITCTPHYAKKHQRFYDELLAYGVLRPLSSPIEGMVMKEGDCNFVAPQGISSIIKHYLKESGAEVYFRHRVTQINLRDDKWEVSKQTGSPEQFDLIVLTMPVPEILQLQGDITTLISECQRQQLEAVSYSSRYALGLFYEAGTKIDVPWAGQYITSNPCIRFVSIDNKKRNIESSEIGPSLVIHTTVPFGVTYLEHSIEDVQELVFQQLENILPGLPQPIATKCQKWRHSQVTNAAANCPGQMTLHHKPFLACGGDGFTQSNFDGCITSALCVLEALKNYI
+>DECOY_sp|Q5VYX0|RNLS_HUMAN Renalase OS=Homo sapiens OX=9606 GN=RNLS PE=1 SV=1
+IYNKLAELVCLASTICGDFNSQTFGDGGCALFPKHHLTMQGPCNAAANTVQSHRWKQCKTAIPQPLGPLINELQQFVLEQVDEISHELYTVGFPVTTHIVLSPGIESSEINRKKNDISVFRICPNSTIYQGAWPVDIKTGAEYFLGLAYRSSYSVAELQQRQCESILTTIDGQLQLIEPVPMTLVILDFQEPSGTQKSVEWKDDRLNIQTVRHRFYVEAGSEKLYHKIISSIGQPAVFNCDGEKMVMGEIPSSLPRLVGYALLEDYFRQHKKAYHPTCTIYQAGLDATCQPNHPSCATTMRGGSDEAKDWVALYLPGSTQRRLLAACLSGTMGAGVILVQAM
+>sp|P19474|RO52_HUMAN E3 ubiquitin-protein ligase TRIM21 OS=Homo sapiens OX=9606 GN=TRIM21 PE=1 SV=1
+MASAARLTMMWEEVTCPICLDPFVEPVSIECGHSFCQECISQVGKGGGSVCPVCRQRFLLKNLRPNRQLANMVNNLKEISQEAREGTQGERCAVHGERLHLFCEKDGKALCWVCAQSRKHRDHAMVPLEEAAQEYQEKLQVALGELRRKQELAEKLEVEIAIKRADWKKTVETQKSRIHAEFVQQKNFLVEEEQRQLQELEKDEREQLRILGEKEAKLAQQSQALQELISELDRRCHSSALELLQEVIIVLERSESWNLKDLDITSPELRSVCHVPGLKKMLRTCAVHITLDPDTANPWLILSEDRRQVRLGDTQQSIPGNEERFDSYPMVLGAQHFHSGKHYWEVDVTGKEAWDLGVCRDSVRRKGHFLLSSKSGFWTIWLWNKQKYEAGTYPQTPLHLQVPPCQVGIFLDYEAGMVSFYNITDHGSLIYSFSECAFTGPLRPFFSPGFNDGGKNTAPLTLCPLNIGSQGSTDY
+>DECOY_sp|P19474|RO52_HUMAN E3 ubiquitin-protein ligase TRIM21 OS=Homo sapiens OX=9606 GN=TRIM21 PE=1 SV=1
+YDTSGQSGINLPCLTLPATNKGGDNFGPSFFPRLPGTFACESFSYILSGHDTINYFSVMGAEYDLFIGVQCPPVQLHLPTQPYTGAEYKQKNWLWITWFGSKSSLLFHGKRRVSDRCVGLDWAEKGTVDVEWYHKGSHFHQAGLVMPYSDFREENGPISQQTDGLRVQRRDESLILWPNATDPDLTIHVACTRLMKKLGPVHCVSRLEPSTIDLDKLNWSESRELVIIVEQLLELASSHCRRDLESILEQLAQSQQALKAEKEGLIRLQEREDKELEQLQRQEEEVLFNKQQVFEAHIRSKQTEVTKKWDARKIAIEVELKEALEQKRRLEGLAVQLKEQYEQAAEELPVMAHDRHKRSQACVWCLAKGDKECFLHLREGHVACREGQTGERAEQSIEKLNNVMNALQRNPRLNKLLFRQRCVPCVSGGGKGVQSICEQCFSHGCEISVPEVFPDLCIPCTVEEWMMTLRAASAM
+>sp|Q13151|ROA0_HUMAN Heterogeneous nuclear ribonucleoprotein A0 OS=Homo sapiens OX=9606 GN=HNRNPA0 PE=1 SV=1
+MENSQLCKLFIGGLNVQTSESGLRGHFEAFGTLTDCVVVVNPQTKRSRCFGFVTYSNVEEADAAMAASPHAVDGNTVELKRAVSREDSARPGAHAKVKKLFVGGLKGDVAEGDLIEHFSQFGTVEKAEIIADKQSGKKRGFGFVYFQNHDAADKAAVVKFHPIQGHRVEVKKAVPKEDIYSGGGGGGSRSSRGGRGGRGRGGGRDQNGLSKGGGGGYNSYGGYGGGGGGGYNAYGGGGGGSSYGGSDYGNGFGGFGSYSQHQSSYGPMKSGGGGGGGGSSWGGRSNSGPYRGGYGGGGGYGGSSF
+>DECOY_sp|Q13151|ROA0_HUMAN Heterogeneous nuclear ribonucleoprotein A0 OS=Homo sapiens OX=9606 GN=HNRNPA0 PE=1 SV=1
+FSSGGYGGGGGYGGRYPGSNSRGGWSSGGGGGGGGSKMPGYSSQHQSYSGFGGFGNGYDSGGYSSGGGGGGYANYGGGGGGGYGGYSNYGGGGGKSLGNQDRGGGRGRGGRGGRSSRSGGGGGGSYIDEKPVAKKVEVRHGQIPHFKVVAAKDAADHNQFYVFGFGRKKGSQKDAIIEAKEVTGFQSFHEILDGEAVDGKLGGVFLKKVKAHAGPRASDERSVARKLEVTNGDVAHPSAAMAADAEEVNSYTVFGFCRSRKTQPNVVVVCDTLTGFAEFHGRLGSESTQVNLGGIFLKCLQSNEM
+>sp|Q9GZN7|ROGDI_HUMAN Protein rogdi homolog OS=Homo sapiens OX=9606 GN=ROGDI PE=1 SV=1
+MATVMAATAAERAVLEEEFRWLLHDEVHAVLKQLQDILKEASLRFTLPGSGTEGPAKQENFILGSCGTDQVKGVLTLQGDALSQADVNLKMPRNNQLLHFAFREDKQWKLQQIQDARNHVSQAIYLLTSRDQSYQFKTGAEVLKLMDAVMLQLTRARNRLTTPATLTLPEIAASGLTRMFAPALPSDLLVNVYINLNKLCLTVYQLHALQPNSTKNFRPAGGAVLHSPGAMFEWGSQRLEVSHVHKVECVIPWLNDALVYFTVSLQLCQQLKDKISVFSSYWSYRPF
+>DECOY_sp|Q9GZN7|ROGDI_HUMAN Protein rogdi homolog OS=Homo sapiens OX=9606 GN=ROGDI PE=1 SV=1
+FPRYSWYSSFVSIKDKLQQCLQLSVTFYVLADNLWPIVCEVKHVHSVELRQSGWEFMAGPSHLVAGGAPRFNKTSNPQLAHLQYVTLCLKNLNIYVNVLLDSPLAPAFMRTLGSAAIEPLTLTAPTTLRNRARTLQLMVADMLKLVEAGTKFQYSQDRSTLLYIAQSVHNRADQIQQLKWQKDERFAFHLLQNNRPMKLNVDAQSLADGQLTLVGKVQDTGCSGLIFNEQKAPGETGSGPLTFRLSAEKLIDQLQKLVAHVEDHLLWRFEEELVAREAATAAMVTAM
+>sp|P60602|ROMO1_HUMAN Reactive oxygen species modulator 1 OS=Homo sapiens OX=9606 GN=ROMO1 PE=1 SV=1
+MPVAVGPYGQSQPSCFDRVKMGFVMGCAVGMAAGALFGTFSCLRIGMRGRELMGGIGKTMMQSGGTFGTFMAIGMGIRC
+>DECOY_sp|P60602|ROMO1_HUMAN Reactive oxygen species modulator 1 OS=Homo sapiens OX=9606 GN=ROMO1 PE=1 SV=1
+CRIGMGIAMFTGFTGGSQMMTKGIGGMLERGRMGIRLCSFTGFLAGAAMGVACGMVFGMKVRDFCSPQSQGYPGVAVPM
+>sp|Q9BZX4|ROP1B_HUMAN Ropporin-1B OS=Homo sapiens OX=9606 GN=ROPN1B PE=1 SV=1
+MAQTDKPTCIPPELPKMLKEFAKAAIRAQPQDLIQWGADYFEALSRGETPPVRERSERVALCNWAELTPELLKILHSQVAGRLIIRAEELAQMWKVVNLPTDLFNSVMNVGRFTEEIEWLKFLALACSALGVTITKTLKIVCEVLSCDHNGGLPRIPFSTFQFLYTYIAEVDGEICASHVSRMLNYIEQEVIGPDGLITVNDFTQNPRVWLE
+>DECOY_sp|Q9BZX4|ROP1B_HUMAN Ropporin-1B OS=Homo sapiens OX=9606 GN=ROPN1B PE=1 SV=1
+ELWVRPNQTFDNVTILGDPGIVEQEIYNLMRSVHSACIEGDVEAIYTYLFQFTSFPIRPLGGNHDCSLVECVIKLTKTITVGLASCALALFKLWEIEETFRGVNMVSNFLDTPLNVVKWMQALEEARIILRGAVQSHLIKLLEPTLEAWNCLAVRESRERVPPTEGRSLAEFYDAGWQILDQPQARIAAKAFEKLMKPLEPPICTPKDTQAM
+>sp|Q96C74|ROP1L_HUMAN Ropporin-1-like protein OS=Homo sapiens OX=9606 GN=ROPN1L PE=1 SV=2
+MPLPDTMFCAQQIHIPPELPDILKQFTKAAIRTQPADVLRWSAGYFSALSRGDPLPVKDRMEMPTATQKTDTGLTQGLLKVLHKQCHHKRYVELTDLEQKWKNLCLPKEKFKALLQLDPCENKIKWINFLALGCSMLGGSLNTALKHLCEILTDDPEGGPARIPFKTFSYVYRYLARLDSDVSPLETESYLASLKENIDARKNGMIGLSDFFFPKRKLLESIENSEDVGH
+>DECOY_sp|Q96C74|ROP1L_HUMAN Ropporin-1-like protein OS=Homo sapiens OX=9606 GN=ROPN1L PE=1 SV=2
+HGVDESNEISELLKRKPFFFDSLGIMGNKRADINEKLSALYSETELPSVDSDLRALYRYVYSFTKFPIRAPGGEPDDTLIECLHKLATNLSGGLMSCGLALFNIWKIKNECPDLQLLAKFKEKPLCLNKWKQELDTLEVYRKHHCQKHLVKLLGQTLGTDTKQTATPMEMRDKVPLPDGRSLASFYGASWRLVDAPQTRIAAKTFQKLIDPLEPPIHIQQACFMTDPLPM
+>sp|Q92753|RORB_HUMAN Nuclear receptor ROR-beta OS=Homo sapiens OX=9606 GN=RORB PE=1 SV=3
+MCENQLKTKADATAQIEVIPCKICGDKSSGIHYGVITCEGCKGFFRRSQQNNASYSCPRQRNCLIDRTNRNRCQHCRLQKCLALGMSRDAVKFGRMSKKQRDSLYAEVQKHQQRLQEQRQQQSGEAEALARVYSSSISNGLSNLNNETSGTYANGHVIDLPKSEGYYNVDSGQPSPDQSGLDMTGIKQIKQEPIYDLTSVPNLFTYSSFNNGQLAPGITMTEIDRIAQNIIKSHLETCQYTMEELHQLAWQTHTYEEIKAYQSKSREALWQQCAIQITHAIQYVVEFAKRITGFMELCQNDQILLLKSGCLEVVLVRMCRAFNPLNNTVLFEGKYGGMQMFKALGSDDLVNEAFDFAKNLCSLQLTEEEIALFSSAVLISPDRAWLIEPRKVQKLQEKIYFALQHVIQKNHLDDETLAKLIAKIPTITAVCNLHGEKLQVFKQSHPEIVNTLFPPLYKELFNPDCATGCK
+>DECOY_sp|Q92753|RORB_HUMAN Nuclear receptor ROR-beta OS=Homo sapiens OX=9606 GN=RORB PE=1 SV=3
+KCGTACDPNFLEKYLPPFLTNVIEPHSQKFVQLKEGHLNCVATITPIKAILKALTEDDLHNKQIVHQLAFYIKEQLKQVKRPEILWARDPSILVASSFLAIEEETLQLSCLNKAFDFAENVLDDSGLAKFMQMGGYKGEFLVTNNLPNFARCMRVLVVELCGSKLLLIQDNQCLEMFGTIRKAFEVVYQIAHTIQIACQQWLAERSKSQYAKIEEYTHTQWALQHLEEMTYQCTELHSKIINQAIRDIETMTIGPALQGNNFSSYTFLNPVSTLDYIPEQKIQKIGTMDLGSQDPSPQGSDVNYYGESKPLDIVHGNAYTGSTENNLNSLGNSISSSYVRALAEAEGSQQQRQEQLRQQHKQVEAYLSDRQKKSMRGFKVADRSMGLALCKQLRCHQCRNRNTRDILCNRQRPCSYSANNQQSRRFFGKCGECTIVGYHIGSSKDGCIKCPIVEIQATADAKTKLQNECM
+>sp|A6NIZ1|RP1BL_HUMAN Ras-related protein Rap-1b-like protein OS=Homo sapiens OX=9606 PE=2 SV=1
+MREYKLVVLGSRGVGKSALTVQFVQGIFVEKYDPTIEDSYREQVEVDAQQCMLEILDTAGTEQFTAMRDLYMKNGQGFALVYSITAQSTFNDLQDLREQILRVKDTDDVPMILVGNKCDLEDERVVGKEQGQNLARQWNNCAFLESSAKSKINVNEIFYDLVRQINRKTPVPGKARKKSSCQLL
+>DECOY_sp|A6NIZ1|RP1BL_HUMAN Ras-related protein Rap-1b-like protein OS=Homo sapiens OX=9606 PE=2 SV=1
+LLQCSSKKRAKGPVPTKRNIQRVLDYFIENVNIKSKASSELFACNNWQRALNQGQEKGVVREDELDCKNGVLIMPVDDTDKVRLIQERLDQLDNFTSQATISYVLAFGQGNKMYLDRMATFQETGATDLIELMCQQADVEVQERYSDEITPDYKEVFIGQVFQVTLASKGVGRSGLVVLKYERM
+>sp|Q8IWN7|RP1L1_HUMAN Retinitis pigmentosa 1-like 1 protein OS=Homo sapiens OX=9606 GN=RP1L1 PE=1 SV=5
+MNSTPRNAQAPSHRECFLPSVARTPSVTKVTPAKKITFLKRGDPRFAGVRLAVHQRAFKTFSALMDELSQRVPLSFGVRSVTTPRGLHSLSALEQLEDGGCYLCSDKKPPKTPSGPGRPQERNPTAQQLRDVEGQREAPGTSSSRKSLKTPRRILLIKNMDPRLQQTVVLSHRNTRNLAAFLGKASDLLRFPVKQLYTTSGKKVDSLQALLHSPSVLVCAGHEAFRTPAMKNARRSEAETLSGLTSRNKNGSWGPKTKPSVIHSRSPPGSTPRLPERPGPSNPPVGPAPGRHPQDTPAQSGPLVAGDDMKKKVRMNEDGSLSVEMKVRFHLVGEDTLLWSRRMGRASALTAASGEDPVLGEVDPLCCVWEGYPWGFSEPGVWGPRPCRVGCREVFGRGGQPGPKYEIWTNPLHASQGERVAARKRWGLAQHVRCSGLWGHGTAGRERCSQDSASPASSTGLPEGSEPESSCCPRTPEDGVDSASPSAQIGAERKAGGSLGEDPGLCIDGAGLGGPEQGGRLTPRARSEEGASSDSSASTGSHEGSSEWGGRPQGCPGKARAETSQQEASEGGDPASPALSLSSLRSDDLQAETQGQGTEQATGAAVTREPLVLGLSCSWDSEGASSTPSTCTSSQQGQRRHRSRASAMSSPSSPGLGRVAPRGHPRHSHYRKDTHSPLDSSVTKQVPRPPERRRACQDGSVPRYSGSSSSTRTQASGNLRPPSSGSLPSQDLLGTSSATVTPAVHSDFVSGVSPHNAPSAGWAGDAGSRTCSPAPIPPHTSDSCSKSGAASLGEEARDTPQPSSPLVLQVGRPEQGAVGPHRSHCCSQPGTQPAQEAQRGPSPEASWLCGRYCPTPPRGRPCPQRRSSSCGSTGSSHQSTARGPGGSPQEGTRQPGPTPSPGPNSGASRRSSASQGAGSRGLSEEKTLRSGGGPQGQEEASGVSPSSLPRSSPEAVVREWLDNIPEEPILMTYELADETTGAAGGGLRGPEVDPGDDHSLEGLGEPAQAGQQSLEGDPGQDPEPEGALLGSSDTGPQSGEGVPQGAAPEGVSEAPAEAGADREAPAGCRVSLRALPGRVSASTQIMRALMGSKQGRPSSVPEVSRPMARRLSCSAGALITCLASLQLFEEDLGSPASKVRFKDSPRYQELLSISKDLWPGCDVGEDQLDSGLWELTWSQALPDLGSHAMTENFTPTSSSGVDISSGSGGSGESSVPCAMDGTLVTQGTELPLKTSNQRPDSRTYESPGDLENQQQCCFPTFLNARACACATNEDEAERDSEEQRASSNLEQLAENTVQEEVQLEETKEGTEGEGLQEEAVQLEETKTEEGLQEEGVQLEETKETEGEGQQEEEAQLEEIEETGGEGLQEEGVQLEEVKEGPEGGLQGEALEEGLKEEGLPEEGSVHGQELSEASSPDGKGSQEDDPVQEEEAGRASASAEPCPAEGTEEPTEPPSHLSETDPSASERQSGSQLEPGLEKPPGATMMGQEHTQAQPTQGAAERSSSVACSAALDCDPIWVSVLLKKTEKAFLAHLASAVAELRARWGLQDNDLLDQMAAELQQDVAQRLQDSTKRELQKLQGRAGRMVLEPPREALTGELLLQTQQRRHRLRGLRNLSAFSERTLGLGPLSFTLEDEPALSTALGSQLGEEAEGEEFCPCEACVRKKVSPMSPKATMGATRGPIKEAFDLQQILQRKRGEHTDGEAAEVAPGKTHTDPTSTRTVQGAEGGLGPGLSQGPGVDEGEDGEGSQRLNRDKDPKLGEAEGDAMAQEREGKTHNSETSAGSELGEAEQEGEGISERGETGGQGSGHEDNLQGEAAAGGDQDPGQSDGAEGIEAPEAEGEAQPESEGVEAPEAEGDAQEAEGEAQPESEDVEAPEAEGEAQPESEDVETPEAEWEVQPESEGAEAPEAEKEAQPETESVEALETEGEDEPESEGAEAQEAEEAAQEAEGQTQPESEVIESQEAEEEAQPESEDVEALEVEVETQEAEGEAQPESEDVEAPEAEGEMQEAEEEAQPESDGVEAQPKSEGEEAQEVEGETQKTEGDAQPESDGVEAPEAEEEAQEAEGEVQEAEGEAHPESEDVDAQEAEGEAQPESEGVEAPEAEGEAQKAEGIEAPETEGEAQPESEGIEAPEAEGEAQPESEGVEAQDAEGEAQPESEGIEAQEAEEEAQPELEGVEAPEAEGEAQPESEGIEAPEAEGEAQPELEGVEAPEAEEEAQPEPEGVETPEAEGEAQPESEGETQGEKKGSPQVSLGDGQSEEASESSSPVPEDRPTPPPSPGGDTPHQRPGSQTGPSSSRASSWGNCWQKDSENDHVLGDTRSPDAKSTGTPHAERKATRMYPESSTSEQEEAPLGSRTPEQGASEGYDLQEDQALGSLAPTEAVGRADGFGQDDLDF
+>DECOY_sp|Q8IWN7|RP1L1_HUMAN Retinitis pigmentosa 1-like 1 protein OS=Homo sapiens OX=9606 GN=RP1L1 PE=1 SV=5
+FDLDDQGFGDARGVAETPALSGLAQDEQLDYGESAGQEPTRSGLPAEEQESTSSEPYMRTAKREAHPTGTSKADPSRTDGLVHDNESDKQWCNGWSSARSSSPGTQSGPRQHPTDGGPSPPPTPRDEPVPSSSESAEESQGDGLSVQPSGKKEGQTEGESEPQAEGEAEPTEVGEPEPQAEEEAEPAEVGELEPQAEGEAEPAEIGESEPQAEGEAEPAEVGELEPQAEEEAEQAEIGESEPQAEGEADQAEVGESEPQAEGEAEPAEIGESEPQAEGETEPAEIGEAKQAEGEAEPAEVGESEPQAEGEAEQADVDESEPHAEGEAEQVEGEAEQAEEEAEPAEVGDSEPQADGETKQTEGEVEQAEEGESKPQAEVGDSEPQAEEEAEQMEGEAEPAEVDESEPQAEGEAEQTEVEVELAEVDESEPQAEEEAEQSEIVESEPQTQGEAEQAAEEAEQAEAGESEPEDEGETELAEVSETEPQAEKEAEPAEAGESEPQVEWEAEPTEVDESEPQAEGEAEPAEVDESEPQAEGEAEQADGEAEPAEVGESEPQAEGEAEPAEIGEAGDSQGPDQDGGAAAEGQLNDEHGSGQGGTEGRESIGEGEQEAEGLESGASTESNHTKGEREQAMADGEAEGLKPDKDRNLRQSGEGDEGEDVGPGQSLGPGLGGEAGQVTRTSTPDTHTKGPAVEAAEGDTHEGRKRQLIQQLDFAEKIPGRTAGMTAKPSMPSVKKRVCAECPCFEEGEAEEGLQSGLATSLAPEDELTFSLPGLGLTRESFASLNRLGRLRHRRQQTQLLLEGTLAERPPELVMRGARGQLKQLERKTSDQLRQAVDQQLEAAMQDLLDNDQLGWRARLEAVASALHALFAKETKKLLVSVWIPDCDLAASCAVSSSREAAGQTPQAQTHEQGMMTAGPPKELGPELQSGSQRESASPDTESLHSPPETPEETGEAPCPEASASARGAEEEQVPDDEQSGKGDPSSAESLEQGHVSGEEPLGEEKLGEELAEGQLGGEPGEKVEELQVGEEQLGEGGTEEIEELQAEEEQQGEGETEKTEELQVGEEQLGEETKTEELQVAEEQLGEGETGEKTEELQVEEQVTNEALQELNSSARQEESDREAEDENTACACARANLFTPFCCQQQNELDGPSEYTRSDPRQNSTKLPLETGQTVLTGDMACPVSSEGSGGSGSSIDVGSSSTPTFNETMAHSGLDPLAQSWTLEWLGSDLQDEGVDCGPWLDKSISLLEQYRPSDKFRVKSAPSGLDEEFLQLSALCTILAGASCSLRRAMPRSVEPVSSPRGQKSGMLARMIQTSASVRGPLARLSVRCGAPAERDAGAEAPAESVGEPAAGQPVGEGSQPGTDSSGLLAGEPEPDQGPDGELSQQGAQAPEGLGELSHDDGPDVEPGRLGGGAAGTTEDALEYTMLIPEEPINDLWERVVAEPSSRPLSSPSVGSAEEQGQPGGGSRLTKEESLGRSGAGQSASSRRSAGSNPGPSPTPGPQRTGEQPSGGPGRATSQHSSGTSGCSSSRRQPCPRGRPPTPCYRGCLWSAEPSPGRQAEQAPQTGPQSCCHSRHPGVAGQEPRGVQLVLPSSPQPTDRAEEGLSAAGSKSCSDSTHPPIPAPSCTRSGADGAWGASPANHPSVGSVFDSHVAPTVTASSTGLLDQSPLSGSSPPRLNGSAQTRTSSSSGSYRPVSGDQCARRREPPRPVQKTVSSDLPSHTDKRYHSHRPHGRPAVRGLGPSSPSSMASARSRHRRQGQQSSTCTSPTSSAGESDWSCSLGLVLPERTVAAGTAQETGQGQTEAQLDDSRLSSLSLAPSAPDGGESAEQQSTEARAKGPCGQPRGGWESSGEHSGTSASSDSSAGEESRARPTLRGGQEPGGLGAGDICLGPDEGLSGGAKREAGIQASPSASDVGDEPTRPCCSSEPESGEPLGTSSAPSASDQSCRERGATGHGWLGSCRVHQALGWRKRAAVREGQSAHLPNTWIEYKPGPQGGRGFVERCGVRCPRPGWVGPESFGWPYGEWVCCLPDVEGLVPDEGSAATLASARGMRRSWLLTDEGVLHFRVKMEVSLSGDENMRVKKKMDDGAVLPGSQAPTDQPHRGPAPGVPPNSPGPREPLRPTSGPPSRSHIVSPKTKPGWSGNKNRSTLGSLTEAESRRANKMAPTRFAEHGACVLVSPSHLLAQLSDVKKGSTTYLQKVPFRLLDSAKGLFAALNRTNRHSLVVTQQLRPDMNKILLIRRPTKLSKRSSSTGPAERQGEVDRLQQATPNREQPRGPGSPTKPPKKDSCLYCGGDELQELASLSHLGRPTTVSRVGFSLPVRQSLEDMLASFTKFARQHVALRVGAFRPDGRKLFTIKKAPTVKTVSPTRAVSPLFCERHSPAQANRPTSNM
+>sp|P56715|RP1_HUMAN Oxygen-regulated protein 1 OS=Homo sapiens OX=9606 GN=RP1 PE=1 SV=1
+MSDTPSTGFSIIHPTSSEGQVPPPRHLSLTHPVVAKRISFYKSGDPQFGGVRVVVNPRSFKSFDALLDNLSRKVPLPFGVRNISTPRGRHSITRLEELEDGESYLCSHGRKVQPVDLDKARRRPRPWLSSRAISAHSPPHPVAVAAPGMPRPPRSLVVFRNGDPKTRRAVLLSRRVTQSFEAFLQHLTEVMQRPVVKLYATDGRRVPSLQAVILSSGAVVAAGREPFKPGNYDIQKYLLPARLPGISQRVYPKGNAKSESRKISTHMSSSSRSQIYSVSSEKTHNNDCYLDYSFVPEKYLALEKNDSQNLPIYPSEDDIEKSIIFNQDGTMTVEMKVRFRIKEEETIKWTTTVSKTGPSNNDEKSEMSFPGRTESRSSGLKLAACSFSADVSPMERSSNQEGSLAEEINIQMTDQVAETCSSASWENATVDTDIIQGTQDQAKHRFYRPPTPGLRRVRQKKSVIGSVTLVSETEVQEKMIGQFSYSEERESGENKSEYHMFTHSCSKMSSVSNKPVLVQINNNDQMEESSLERKKENSLLKSSAISAGVIEITSQKMLEMSHNNGLPSTISNNSIVEEDVVDCVVLDNKTGIKNFKTYGNTNDRFSPISADATHFSSNNSGTDKNISEAPASEASSTVTARIDRLINEFAQCGLTKLPKNEKKILSSVASKKKKKSRQQAINSRYQDGQLATKGILNKNERINTKGRITKEMIVQDSDSPLKGGILCEEDLQKSDTVIESNTFCSKSNLNSTISKNFHRNKLNTTQNSKVQGLLTKRKSRSLNKISLGAPKKREIGQRDKVFPHNESKYCKSTFENKSLFHVFNILEQKPKDFYAPQSQAEVASGYLRGMAKKSLVSKVTDSHITLKSQKKRKGDKVKASAILSKQHATTRANSLASLKKPDFPEAIAHHSIQNYIQSWLQNINPYPTLKPIKSAPVCRNETSVVNCSNNSFSGNDPHTNSGKISNFVMESNKHITKIAGLTGDNLCKEGDKSFIANDTGEEDLHETQVGSLNDAYLVPLHEHCTLSQSAINDHNTKSHIAAEKSGPEKKLVYQEINLARKRQSVEAAIQVDPIEEETPKDLLPVLMLHQLQASVPGIHKTQNGVVQMPGSLAGVPFHSAICNSSTNLLLAWLLVLNLKGSMNSFCQVDAHKATNKSSETLALLEILKHIAITEEADDLKAAVANLVESTTSHFGLSEKEQDMVPIDLSANCSTVNIQSVPKCSENERTQGISSLDGGCSASEACAPEVCVLEVTCSPCEMCTVNKAYSPKETCNPSDTFFPSDGYGVDQTSMNKACFLGEVCSLTDTVFSDKACAQKENHTYEGACPIDETYVPVNVCNTIDFLNSKENTYTDNLDSTEELERGDDIQKDLNILTDPEYKNGFNTLVSHQNVSNLSSCGLCLSEKEAELDKKHSSLDDFENCSLRKFQDENAYTSFDMEEPRTSEEPGSITNSMTSSERNISELESFEELENHDTDIFNTVVNGGEQATEELIQEEVEASKTLELIDISSKNIMEEKRMNGIIYEIISKRLATPPSLDFCYDSKQNSEKETNEGETKMVKMMVKTMETGSYSESSPDLKKCIKSPVTSDWSDYRPDSDSEQPYKTSSDDPNDSGELTQEKEYNIGFVKRAIEKLYGKADIIKPSFFPGSTRKSQVCPYNSVEFQCSRKASLYDSEGQSFGSSEQVSSSSSMLQEFQEERQDKCDVSAVRDNYCRGDIVEPGTKQNDDSRILTDIEEGVLIDKGKWLLKENHLLRMSSENPGMCGNADTTSVDTLLDNNSSEVPYSHFGNLAPGPTMDELSSSELEELTQPLELKCNYFNMPHGSDSEPFHEDLLDVRNETCAKERIANHHTEEKGSHQSERVCTSVTHSFISAGNKVYPVSDDAIKNQPLPGSNMIHGTLQEADSLDKLYALCGQHCPILTVIIQPMNEEDRGFAYRKESDIENFLGFYLWMKIHPYLLQTDKNVFREENNKASMRQNLIDNAIGDIFDQFYFSNTFDLMGKRRKQKRINFLGLEEEGNLKKFQPDLKERFCMNFLHTSLLVVGNVDSNTQDLSGQTNEIFKAVDENNNLLNNRFQGSRTNLNQVVRENINCHYFFEMLGQACLLDICQVETSLNISNRNILELCMFEGENLFIWEEEDILNLTDLESSREQEDL
+>DECOY_sp|P56715|RP1_HUMAN Oxygen-regulated protein 1 OS=Homo sapiens OX=9606 GN=RP1 PE=1 SV=1
+LDEQERSSELDTLNLIDEEEWIFLNEGEFMCLELINRNSINLSTEVQCIDLLCAQGLMEFFYHCNINERVVQNLNTRSGQFRNNLLNNNEDVAKFIENTQGSLDQTNSDVNGVVLLSTHLFNMCFREKLDPQFKKLNGEEELGLFNIRKQKRRKGMLDFTNSFYFQDFIDGIANDILNQRMSAKNNEERFVNKDTQLLYPHIKMWLYFGLFNEIDSEKRYAFGRDEENMPQIIVTLIPCHQGCLAYLKDLSDAEQLTGHIMNSGPLPQNKIADDSVPYVKNGASIFSHTVSTCVRESQHSGKEETHHNAIREKACTENRVDLLDEHFPESDSGHPMNFYNCKLELPQTLEELESSSLEDMTPGPALNGFHSYPVESSNNDLLTDVSTTDANGCMGPNESSMRLLHNEKLLWKGKDILVGEEIDTLIRSDDNQKTGPEVIDGRCYNDRVASVDCKDQREEQFEQLMSSSSSVQESSGFSQGESDYLSAKRSCQFEVSNYPCVQSKRTSGPFFSPKIIDAKGYLKEIARKVFGINYEKEQTLEGSDNPDDSSTKYPQESDSDPRYDSWDSTVPSKICKKLDPSSESYSGTEMTKVMMKVMKTEGENTEKESNQKSDYCFDLSPPTALRKSIIEYIIGNMRKEEMINKSSIDILELTKSAEVEEQILEETAQEGGNVVTNFIDTDHNELEEFSELESINRESSTMSNTISGPEESTRPEEMDFSTYANEDQFKRLSCNEFDDLSSHKKDLEAEKESLCLGCSSLNSVNQHSVLTNFGNKYEPDTLINLDKQIDDGRELEETSDLNDTYTNEKSNLFDITNCVNVPVYTEDIPCAGEYTHNEKQACAKDSFVTDTLSCVEGLFCAKNMSTQDVGYGDSPFFTDSPNCTEKPSYAKNVTCMECPSCTVELVCVEPACAESASCGGDLSSIGQTRENESCKPVSQINVTSCNASLDIPVMDQEKESLGFHSTTSEVLNAVAAKLDDAEETIAIHKLIELLALTESSKNTAKHADVQCFSNMSGKLNLVLLWALLLNTSSNCIASHFPVGALSGPMQVVGNQTKHIGPVSAQLQHLMLVPLLDKPTEEEIPDVQIAAEVSQRKRALNIEQYVLKKEPGSKEAAIHSKTNHDNIASQSLTCHEHLPVLYADNLSGVQTEHLDEEGTDNAIFSKDGEKCLNDGTLGAIKTIHKNSEMVFNSIKGSNTHPDNGSFSNNSCNVVSTENRCVPASKIPKLTPYPNINQLWSQIYNQISHHAIAEPFDPKKLSALSNARTTAHQKSLIASAKVKDGKRKKQSKLTIHSDTVKSVLSKKAMGRLYGSAVEAQSQPAYFDKPKQELINFVHFLSKNEFTSKCYKSENHPFVKDRQGIERKKPAGLSIKNLSRSKRKTLLGQVKSNQTTNLKNRHFNKSITSNLNSKSCFTNSEIVTDSKQLDEECLIGGKLPSDSDQVIMEKTIRGKTNIRENKNLIGKTALQGDQYRSNIAQQRSKKKKKSAVSSLIKKENKPLKTLGCQAFENILRDIRATVTSSAESAPAESINKDTGSNNSSFHTADASIPSFRDNTNGYTKFNKIGTKNDLVVCDVVDEEVISNNSITSPLGNNHSMELMKQSTIEIVGASIASSKLLSNEKKRELSSEEMQDNNNIQVLVPKNSVSSMKSCSHTFMHYESKNEGSEREESYSFQGIMKEQVETESVLTVSGIVSKKQRVRRLGPTPPRYFRHKAQDQTGQIIDTDVTANEWSASSCTEAVQDTMQINIEEALSGEQNSSREMPSVDASFSCAALKLGSSRSETRGPFSMESKEDNNSPGTKSVTTTWKITEEEKIRFRVKMEVTMTGDQNFIISKEIDDESPYIPLNQSDNKELALYKEPVFSYDLYCDNNHTKESSVSYIQSRSSSSMHTSIKRSESKANGKPYVRQSIGPLRAPLLYKQIDYNGPKFPERGAAVVAGSSLIVAQLSPVRRGDTAYLKVVPRQMVETLHQLFAEFSQTVRRSLLVARRTKPDGNRFVVLSRPPRPMGPAAVAVPHPPSHASIARSSLWPRPRRRAKDLDVPQVKRGHSCLYSEGDELEELRTISHRGRPTSINRVGFPLPVKRSLNDLLADFSKFSRPNVVVRVGGFQPDGSKYFSIRKAVVPHTLSLHRPPPVQGESSTPHIISFGTSPTDSM
+>sp|Q9Y2J0|RP3A_HUMAN Rabphilin-3A OS=Homo sapiens OX=9606 GN=RPH3A PE=1 SV=1
+MTDTVFSNSSNRWMYPSDRPLQSNDKEQLQAGWSVHPGGQPDRQRKQEELTDEEKEIINRVIARAEKMEEMEQERIGRLVDRLENMRKNVAGDGVNRCILCGEQLGMLGSACVVCEDCKKNVCTKCGVETNNRLHSVWLCKICIEQREVWKRSGAWFFKGFPKQVLPQPMPIKKTKPQQPVSEPAAPEQPAPEPKHPARAPARGDSEDRRGPGQKTGPDPASAPGRGNYGPPVRRASEARMSSSSRDSESWDHSGGAGDSSRSPAGLRRANSVQASRPAPGSVQSPAPPQPGQPGTPGGSRPGPGPAGRFPDQKPEVAPSDPGTTAPPREERTGGVGGYPAVGAREDRMSHPSGPYSQASAAAPQPAAARQPPPPEEEEEEANSYDSDEATTLGALEFSLLYDQDNSSLQCTIIKAKGLKPMDSNGLADPYVKLHLLPGASKSNKLRTKTLRNTRNPIWNETLVYHGITDEDMQRKTLRISVCDEDKFGHNEFIGETRFSLKKLKPNQRKNFNICLERVIPMKRAGTTGSARGMALYEEEQVERVGDIEERGKILVSLMYSTQQGGLIVGIIRCVHLAAMDANGYSDPFVKLWLKPDMGKKAKHKTQIKKKTLNPEFNEEFFYDIKHSDLAKKSLDISVWDYDIGKSNDYIGGCQLGISAKGERLKHWYECLKNKDKKIERWHQLQNENHVSSD
+>DECOY_sp|Q9Y2J0|RP3A_HUMAN Rabphilin-3A OS=Homo sapiens OX=9606 GN=RPH3A PE=1 SV=1
+DSSVHNENQLQHWREIKKDKNKLCEYWHKLREGKASIGLQCGGIYDNSKGIDYDWVSIDLSKKALDSHKIDYFFEENFEPNLTKKKIQTKHKAKKGMDPKLWLKVFPDSYGNADMAALHVCRIIGVILGGQQTSYMLSVLIKGREEIDGVREVQEEEYLAMGRASGTTGARKMPIVRELCINFNKRQNPKLKKLSFRTEGIFENHGFKDEDCVSIRLTKRQMDEDTIGHYVLTENWIPNRTNRLTKTRLKNSKSAGPLLHLKVYPDALGNSDMPKLGKAKIITCQLSSNDQDYLLSFELAGLTTAEDSDYSNAEEEEEEPPPPQRAAAPQPAAASAQSYPGSPHSMRDERAGVAPYGGVGGTREERPPATTGPDSPAVEPKQDPFRGAPGPGPRSGGPTGPQGPQPPAPSQVSGPAPRSAQVSNARRLGAPSRSSDGAGGSHDWSESDRSSSSMRAESARRVPPGYNGRGPASAPDPGTKQGPGRRDESDGRAPARAPHKPEPAPQEPAAPESVPQQPKTKKIPMPQPLVQKPFGKFFWAGSRKWVERQEICIKCLWVSHLRNNTEVGCKTCVNKKCDECVVCASGLMGLQEGCLICRNVGDGAVNKRMNELRDVLRGIREQEMEEMKEARAIVRNIIEKEEDTLEEQKRQRDPQGGPHVSWGAQLQEKDNSQLPRDSPYMWRNSSNSFVTDTM
+>sp|Q9H9Y6|RPA2_HUMAN DNA-directed RNA polymerase I subunit RPA2 OS=Homo sapiens OX=9606 GN=POLR1B PE=1 SV=2
+MDPGSRWRNLPSGPSLKHLTDPSYGIPREQQKAALQELTRAHVESFNYAVHEGLGLAVQAIPPFEFAFKDERISFTILDAVISPPTVPKGTICKEANVYPAECRGRRSTYRGKLTADINWAVNGISKGIIKQFLGYVPIMVKSKLCNLRNLPPQALIEHHEEAEEMGGYFIINGIEKVIRMLIMPRRNFPIAMIRPKWKTRGPGYTQYGVSMHCVREEHSAVNMNLHYLENGTVMLNFIYRKELFFLPLGFALKALVSFSDYQIFQELIKGKEDDSFLRNSVSQMLRIVMEEGCSTQKQVLNYLGECFRVKLNVPDWYPNEQAAEFLFNQCICIHLKSNTEKFYMLCLMTRKLFALAKGECMEDNPDSLVNQEVLTPGQLFLMFLKEKLEGWLVSIKIAFDKKAQKTSVSMNTDNLMRIFTMGIDLTKPFEYLFATGNLRSKTGLGLLQDSGLCVVADKLNFIRYLSHFRCVHRGADFAKMRTTTVRRLLPESWGFLCPVHTPDGEPCGLMNHLTAVCEVVTQFVYTASIPALLCNLGVTPIDGAPHRSYSECYPVLLDGVMVGWVDKDLAPGIADSLRHFKVLREKRIPPWMEVVLIPMTGKPSLYPGLFLFTTPCRLVRPVQNLALGKEELIGTMEQIFMNVAIFEDEVFAGVTTHQELFPHSLLSVIANFIPFSDHNQSPRNMYQCQMGKQTMGFPLLTYQDRSDNKLYRLQTPQSPLVRPSMYDYYDMDNYPIGTNAIVAVISYTGYDMEDAMIVNKASWERGFAHGSVYKSEFIDLSEKIKQGDSSLVFGIKPGDPRVLQKLDDDGLPFIGAKLQYGDPYYSYLNLNTGESFVMYYKSKENCVVDNIKVCSNDTGSGKFKCVCITMRVPRNPTIGDKFASRHGQKGILSRLWPAEDMPFTESGMVPDILFNPHGFPSRMTIGMLIESMAGKSAALHGLCHDATPFIFSEENSALEYFGEMLKAAGYNFYGTERLYSGISGLELEADIFIGVVYYQRLRHMVSDKFQVRTTGARDRVTNQPIGGRNVQGGIRFGEMERDALLAHGTSFLLHDRLFNCSDRSVAHVCVKCGSLLSPLLEKPPPSWSAMRNRKYNCTLCSRSDTIDTVSVPYVFRYFVAELAAMNIKVKLDVV
+>DECOY_sp|Q9H9Y6|RPA2_HUMAN DNA-directed RNA polymerase I subunit RPA2 OS=Homo sapiens OX=9606 GN=POLR1B PE=1 SV=2
+VVDLKVKINMAALEAVFYRFVYPVSVTDITDSRSCLTCNYKRNRMASWSPPPKELLPSLLSGCKVCVHAVSRDSCNFLRDHLLFSTGHALLADREMEGFRIGGQVNRGGIPQNTVRDRAGTTRVQFKDSVMHRLRQYYVVGIFIDAELELGSIGSYLRETGYFNYGAAKLMEGFYELASNEESFIFPTADHCLGHLAASKGAMSEILMGITMRSPFGHPNFLIDPVMGSETFPMDEAPWLRSLIGKQGHRSAFKDGITPNRPVRMTICVCKFKGSGTDNSCVKINDVVCNEKSKYYMVFSEGTNLNLYSYYPDGYQLKAGIFPLGDDDLKQLVRPDGPKIGFVLSSDGQKIKESLDIFESKYVSGHAFGREWSAKNVIMADEMDYGTYSIVAVIANTGIPYNDMDYYDYMSPRVLPSQPTQLRYLKNDSRDQYTLLPFGMTQKGMQCQYMNRPSQNHDSFPIFNAIVSLLSHPFLEQHTTVGAFVEDEFIAVNMFIQEMTGILEEKGLALNQVPRVLRCPTTFLFLGPYLSPKGTMPILVVEMWPPIRKERLVKFHRLSDAIGPALDKDVWGVMVGDLLVPYCESYSRHPAGDIPTVGLNCLLAPISATYVFQTVVECVATLHNMLGCPEGDPTHVPCLFGWSEPLLRRVTTTRMKAFDAGRHVCRFHSLYRIFNLKDAVVCLGSDQLLGLGTKSRLNGTAFLYEFPKTLDIGMTFIRMLNDTNMSVSTKQAKKDFAIKISVLWGELKEKLFMLFLQGPTLVEQNVLSDPNDEMCEGKALAFLKRTMLCLMYFKETNSKLHICICQNFLFEAAQENPYWDPVNLKVRFCEGLYNLVQKQTSCGEEMVIRLMQSVSNRLFSDDEKGKILEQFIQYDSFSVLAKLAFGLPLFFLEKRYIFNLMVTGNELYHLNMNVASHEERVCHMSVGYQTYGPGRTKWKPRIMAIPFNRRPMILMRIVKEIGNIIFYGGMEEAEEHHEILAQPPLNRLNCLKSKVMIPVYGLFQKIIGKSIGNVAWNIDATLKGRYTSRRGRCEAPYVNAEKCITGKPVTPPSIVADLITFSIREDKFAFEFPPIAQVALGLGEHVAYNFSEVHARTLEQLAAKQQERPIGYSPDTLHKLSPGSPLNRWRSGPDM
+>sp|O15160|RPAC1_HUMAN DNA-directed RNA polymerases I and III subunit RPAC1 OS=Homo sapiens OX=9606 GN=POLR1C PE=1 SV=1
+MAASQAVEEMRSRVVLGEFGVRNVHTTDFPGNYSGYDDAWDQDRFEKNFRVDVVHMDENSLEFDMVGIDAAIANAFRRILLAEVPTMAVEKVLVYNNTSIVQDEILAHRLGLIPIHADPRLFEYRNQGDEEGTEIDTLQFRLQVRCTRNPHAAKDSSDPNELYVNHKVYTRHMTWIPLGNQADLFPEGTIRPVHDDILIAQLRPGQEIDLLMHCVKGIGKDHAKFSPVATASYRLLPDITLLEPVEGEAAEELSRCFSPGVIEVQEVQGKKVARVANPRLDTFSREIFRNEKLKKVVRLARVRDHYIFSVESTGVLPPDVLVSEAIKVLMGKCRRFLDELDAVQMD
+>DECOY_sp|O15160|RPAC1_HUMAN DNA-directed RNA polymerases I and III subunit RPAC1 OS=Homo sapiens OX=9606 GN=POLR1C PE=1 SV=1
+DMQVADLEDLFRRCKGMLVKIAESVLVDPPLVGTSEVSFIYHDRVRALRVVKKLKENRFIERSFTDLRPNAVRAVKKGQVEQVEIVGPSFCRSLEEAAEGEVPELLTIDPLLRYSATAVPSFKAHDKGIGKVCHMLLDIEQGPRLQAILIDDHVPRITGEPFLDAQNGLPIWTMHRTYVKHNVYLENPDSSDKAAHPNRTCRVQLRFQLTDIETGEEDGQNRYEFLRPDAHIPILGLRHALIEDQVISTNNYVLVKEVAMTPVEALLIRRFANAIAADIGVMDFELSNEDMHVVDVRFNKEFRDQDWADDYGSYNGPFDTTHVNRVGFEGLVVRSRMEEVAQSAAM
+>sp|P24928|RPB1_HUMAN DNA-directed RNA polymerase II subunit RPB1 OS=Homo sapiens OX=9606 GN=POLR2A PE=1 SV=2
+MHGGGPPSGDSACPLRTIKRVQFGVLSPDELKRMSVTEGGIKYPETTEGGRPKLGGLMDPRQGVIERTGRCQTCAGNMTECPGHFGHIELAKPVFHVGFLVKTMKVLRCVCFFCSKLLVDSNNPKIKDILAKSKGQPKKRLTHVYDLCKGKNICEGGEEMDNKFGVEQPEGDEDLTKEKGHGGCGRYQPRIRRSGLELYAEWKHVNEDSQEKKILLSPERVHEIFKRISDEECFVLGMEPRYARPEWMIVTVLPVPPLSVRPAVVMQGSARNQDDLTHKLADIVKINNQLRRNEQNGAAAHVIAEDVKLLQFHVATMVDNELPGLPRAMQKSGRPLKSLKQRLKGKEGRVRGNLMGKRVDFSARTVITPDPNLSIDQVGVPRSIAANMTFAEIVTPFNIDRLQELVRRGNSQYPGAKYIIRDNGDRIDLRFHPKPSDLHLQTGYKVERHMCDGDIVIFNRQPTLHKMSMMGHRVRILPWSTFRLNLSVTTPYNADFDGDEMNLHLPQSLETRAEIQELAMVPRMIVTPQSNRPVMGIVQDTLTAVRKFTKRDVFLERGEVMNLLMFLSTWDGKVPQPAILKPRPLWTGKQIFSLIIPGHINCIRTHSTHPDDEDSGPYKHISPGDTKVVVENGELIMGILCKKSLGTSAGSLVHISYLEMGHDITRLFYSNIQTVINNWLLIEGHTIGIGDSIADSKTYQDIQNTIKKAKQDVIEVIEKAHNNELEPTPGNTLRQTFENQVNRILNDARDKTGSSAQKSLSEYNNFKSMVVSGAKGSKINISQVIAVVGQQNVEGKRIPFGFKHRTLPHFIKDDYGPESRGFVENSYLAGLTPTEFFFHAMGGREGLIDTAVKTAETGYIQRRLIKSMESVMVKYDATVRNSINQVVQLRYGEDGLAGESVEFQNLATLKPSNKAFEKKFRFDYTNERALRRTLQEDLVKDVLSNAHIQNELEREFERMREDREVLRVIFPTGDSKVVLPCNLLRMIWNAQKIFHINPRLPSDLHPIKVVEGVKELSKKLVIVNGDDPLSRQAQENATLLFNIHLRSTLCSRRMAEEFRLSGEAFDWLLGEIESKFNQAIAHPGEMVGALAAQSLGEPATQMTLNTFHYAGVSAKNVTLGVPRLKELINISKKPKTPSLTVFLLGQSARDAERAKDILCRLEHTTLRKVTANTAIYYDPNPQSTVVAEDQEWVNVYYEMPDFDVARISPWLLRVELDRKHMTDRKLTMEQIAEKINAGFGDDLNCIFNDDNAEKLVLRIRIMNSDENKMQEEEEVVDKMDDDVFLRCIESNMLTDMTLQGIEQISKVYMHLPQTDNKKKIIITEDGEFKALQEWILETDGVSLMRVLSEKDVDPVRTTSNDIVEIFTVLGIEAVRKALERELYHVISFDGSYVNYRHLALLCDTMTCRGHLMAITRHGVNRQDTGPLMKCSFEETVDVLMEAAAHGESDPMKGVSENIMLGQLAPAGTGCFDLLLDAEKCKYGMEIPTNIPGLGAAGPTGMFFGSAPSPMGGISPAMTPWNQGATPAYGAWSPSVGSGMTPGAAGFSPSAASDASGFSPGYSPAWSPTPGSPGSPGPSSPYIPSPGGAMSPSYSPTSPAYEPRSPGGYTPQSPSYSPTSPSYSPTSPSYSPTSPNYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPNYSPTSPNYTPTSPSYSPTSPSYSPTSPNYTPTSPNYSPTSPSYSPTSPSYSPTSPSYSPSSPRYTPQSPTYTPSSPSYSPSSPSYSPASPKYTPTSPSYSPSSPEYTPTSPKYSPTSPKYSPTSPKYSPTSPTYSPTTPKYSPTSPTYSPTSPVYTPTSPKYSPTSPTYSPTSPKYSPTSPTYSPTSPKGSTYSPTSPGYSPTSPTYSLTSPAISPDDSDEEN
+>DECOY_sp|P24928|RPB1_HUMAN DNA-directed RNA polymerase II subunit RPB1 OS=Homo sapiens OX=9606 GN=POLR2A PE=1 SV=2
+NEEDSDDPSIAPSTLSYTPSTPSYGPSTPSYTSGKPSTPSYTPSTPSYKPSTPSYTPSTPSYKPSTPTYVPSTPSYTPSTPSYKPTTPSYTPSTPSYKPSTPSYKPSTPSYKPSTPTYEPSSPSYSPSTPTYKPSAPSYSPSSPSYSPSSPTYTPSQPTYRPSSPSYSPSTPSYSPSTPSYSPSTPSYNPSTPTYNPSTPSYSPSTPSYSPSTPTYNPSTPSYNPSTPSYSPSTPSYSPSTPSYSPSTPSYSPSTPSYSPSTPSYSPSTPSYSPSTPSYSPSTPSYSPSTPSYSPSTPSYSPSTPSYSPSTPSYSPSTPSYSPSTPSYSPSTPSYNPSTPSYSPSTPSYSPSTPSYSPSQPTYGGPSRPEYAPSTPSYSPSMAGGPSPIYPSSPGPSGPSGPTPSWAPSYGPSFGSADSAASPSFGAAGPTMGSGVSPSWAGYAPTAGQNWPTMAPSIGGMPSPASGFFMGTPGAAGLGPINTPIEMGYKCKEADLLLDFCGTGAPALQGLMINESVGKMPDSEGHAAAEMLVDVTEEFSCKMLPGTDQRNVGHRTIAMLHGRCTMTDCLLALHRYNVYSGDFSIVHYLERELAKRVAEIGLVTFIEVIDNSTTRVPDVDKESLVRMLSVGDTELIWEQLAKFEGDETIIIKKKNDTQPLHMYVKSIQEIGQLTMDTLMNSEICRLFVDDDMKDVVEEEEQMKNEDSNMIRIRLVLKEANDDNFICNLDDGFGANIKEAIQEMTLKRDTMHKRDLEVRLLWPSIRAVDFDPMEYYVNVWEQDEAVVTSQPNPDYYIATNATVKRLTTHELRCLIDKAREADRASQGLLFVTLSPTKPKKSINILEKLRPVGLTVNKASVGAYHFTNLTMQTAPEGLSQAALAGVMEGPHAIAQNFKSEIEGLLWDFAEGSLRFEEAMRRSCLTSRLHINFLLTANEQAQRSLPDDGNVIVLKKSLEKVGEVVKIPHLDSPLRPNIHFIKQANWIMRLLNCPLVVKSDGTPFIVRLVERDERMREFERELENQIHANSLVDKVLDEQLTRRLARENTYDFRFKKEFAKNSPKLTALNQFEVSEGALGDEGYRLQVVQNISNRVTADYKVMVSEMSKILRRQIYGTEATKVATDILGERGGMAHFFFETPTLGALYSNEVFGRSEPGYDDKIFHPLTRHKFGFPIRKGEVNQQGVVAIVQSINIKSGKAGSVVMSKFNNYESLSKQASSGTKDRADNLIRNVQNEFTQRLTNGPTPELENNHAKEIVEIVDQKAKKITNQIDQYTKSDAISDGIGITHGEILLWNNIVTQINSYFLRTIDHGMELYSIHVLSGASTGLSKKCLIGMILEGNEVVVKTDGPSIHKYPGSDEDDPHTSHTRICNIHGPIILSFIQKGTWLPRPKLIAPQPVKGDWTSLFMLLNMVEGRELFVDRKTFKRVATLTDQVIGMVPRNSQPTVIMRPVMALEQIEARTELSQPLHLNMEDGDFDANYPTTVSLNLRFTSWPLIRVRHGMMSMKHLTPQRNFIVIDGDCMHREVKYGTQLHLDSPKPHFRLDIRDGNDRIIYKAGPYQSNGRRVLEQLRDINFPTVIEAFTMNAAISRPVGVQDISLNPDPTIVTRASFDVRKGMLNGRVRGEKGKLRQKLSKLPRGSKQMARPLGPLENDVMTAVHFQLLKVDEAIVHAAAGNQENRRLQNNIKVIDALKHTLDDQNRASGQMVVAPRVSLPPVPLVTVIMWEPRAYRPEMGLVFCEEDSIRKFIEHVREPSLLIKKEQSDENVHKWEAYLELGSRRIRPQYRGCGGHGKEKTLDEDGEPQEVGFKNDMEEGGECINKGKCLDYVHTLRKKPQGKSKALIDKIKPNNSDVLLKSCFFCVCRLVKMTKVLFGVHFVPKALEIHGFHGPCETMNGACTQCRGTREIVGQRPDMLGGLKPRGGETTEPYKIGGETVSMRKLEDPSLVGFQVRKITRLPCASDGSPPGGGHM
+>sp|Q16518|RPE65_HUMAN Retinoid isomerohydrolase OS=Homo sapiens OX=9606 GN=RPE65 PE=1 SV=3
+MSIQVEHPAGGYKKLFETVEELSSPLTAHVTGRIPLWLTGSLLRCGPGLFEVGSEPFYHLFDGQALLHKFDFKEGHVTYHRRFIRTDAYVRAMTEKRIVITEFGTCAFPDPCKNIFSRFFSYFRGVEVTDNALVNVYPVGEDYYACTETNFITKINPETLETIKQVDLCNYVSVNGATAHPHIENDGTVYNIGNCFGKNFSIAYNIVKIPPLQADKEDPISKSEIVVQFPCSDRFKPSYVHSFGLTPNYIVFVETPVKINLFKFLSSWSLWGANYMDCFESNETMGVWLHIADKKRKKYLNNKYRTSPFNLFHHINTYEDNGFLIVDLCCWKGFEFVYNYLYLANLRENWEEVKKNARKAPQPEVRRYVLPLNIDKADTGKNLVTLPNTTATAILCSDETIWLEPEVLFSGPRQAFEFPQINYQKYCGKPYTYAYGLGLNHFVPDRLCKLNVKTKETWVWQEPDSYPSEPIFVSHPDALEEDDGVVLSVVVSPGAGQKPAYLLILNAKDLSEVARAEVEINIPVTFHGLFKKS
+>DECOY_sp|Q16518|RPE65_HUMAN Retinoid isomerohydrolase OS=Homo sapiens OX=9606 GN=RPE65 PE=1 SV=3
+SKKFLGHFTVPINIEVEARAVESLDKANLILLYAPKQGAGPSVVVSLVVGDDEELADPHSVFIPESPYSDPEQWVWTEKTKVNLKCLRDPVFHNLGLGYAYTYPKGCYKQYNIQPFEFAQRPGSFLVEPELWITEDSCLIATATTNPLTVLNKGTDAKDINLPLVYRRVEPQPAKRANKKVEEWNERLNALYLYNYVFEFGKWCCLDVILFGNDEYTNIHHFLNFPSTRYKNNLYKKRKKDAIHLWVGMTENSEFCDMYNAGWLSWSSLFKFLNIKVPTEVFVIYNPTLGFSHVYSPKFRDSCPFQVVIESKSIPDEKDAQLPPIKVINYAISFNKGFCNGINYVTGDNEIHPHATAGNVSVYNCLDVQKITELTEPNIKTIFNTETCAYYDEGVPYVNVLANDTVEVGRFYSFFRSFINKCPDPFACTGFETIVIRKETMARVYADTRIFRRHYTVHGEKFDFKHLLAQGDFLHYFPESGVEFLGPGCRLLSGTLWLPIRGTVHATLPSSLEEVTEFLKKYGGAPHEVQISM
+>sp|Q9UQ26|RIMS2_HUMAN Regulating synaptic membrane exocytosis protein 2 OS=Homo sapiens OX=9606 GN=RIMS2 PE=1 SV=2
+MSAPVGPRGRLAPIPAASQPPLQPEMPDLSHLTEEERKIILAVMDRQKKKVKEEHKPQLTQWFPFSGITELVNNVLQPQQKQQNEKEPQTKLHQQFEMYKEQVKKMGEESQQQQEQKGDAPTCGICHKTKFADGCGHNCSYCQTKFCARCGGRVSLRSNKVMWVCNLCRKQQEILTKSGAWFYNSGSNTPQQPDQKVLRGLRNEEAPQEKKPKLHEQTQFQGPSGDLSVPAVEKSRSHGLTRQHSIKNGSGVKHHIASDIASDRKRSPSVSRDQNRRYDQREEREEYSQYATSDTAMPRSPSDYADRRSQHEPQFYEDSDHLSYRDSNRRSHRHSKEYIVDDEDVESRDEYERQRREEEYQSRYRSDPNLARYPVKPQPYEEQMRIHAEVSRARHERRHSDVSLANADLEDSRISMLRMDRPSRQRSISERRAAMENQRSYSMERTREAQGPSSYAQRTTNHSPPTPRRSPLPIDRPDLRRTDSLRKQHHLDPSSAVRKTKREKMETMLRNDSLSSDQSESVRPPPPKPHKSKKGGKMRQISLSSSEEELASTPEYTSCDDVEIESESVSEKGDSQKGKRKTSEQAVLSDSNTRSERQKEMMYFGGHSLEEDLEWSEPQIKDSGVDTCSSTTLNEEHSHSDKHPVTWQPSKDGDRLIGRILLNKRLKDGSVPRDSGAMLGLKVVGGKMTESGRLCAFITKVKKGSLADTVGHLRPGDEVLEWNGRLLQGATFEEVYNIILESKPEPQVELVVSRPIGDIPRIPDSTHAQLESSSSSFESQKMDRPSISVTSPMSPGMLRDVPQFLSGQLSIKLWFDKVGHQLIVTILGAKDLPSREDGRPRNPYVKIYFLPDRSDKNKRRTKTVKKTLEPKWNQTFIYSPVHRREFRERMLEITLWDQARVREEESEFLGEILIELETALLDDEPHWYKLQTHDVSSLPLPHPSPYMPRRQLHGESPTRRLQRSKRISDSEVSDYDCDDGIGVVSDYRHDGRDLQSSTLSVPEQVMSSNHCSPSGSPHRVDVIGRTRSWSPSVPPPQSRNVEQGLRGTRTMTGHYNTISRMDRHRVMDDHYSPDRDRDCEAADRQPYHRSRSTEQRPLLERTTTRSRSTERPDTNLMRSMPSLMTGRSAPPSPALSRSHPRTGSVQTSPSSTPVAGRRGRQLPQLPPKGTLDRKAGGKKLRSTVQRSTETGLAVEMRNWMTRQASRESTDGSMNSYSSEGNLIFPGVRLASDSQFSDFLDGLGPAQLVGRQTLATPAMGDIQVGMMDKKGQLEVEIIRARGLVVKPGSKTLPAPYVKVYLLDNGVCIAKKKTKVARKTLEPLYQQLLSFEESPQGKVLQIIVWGDYGRMDHKSFMGVAQILLDELELSNMVIGWFKLFPPSSLVDPTLAPLTRRASQSSLESSTGPSYSRS
+>DECOY_sp|Q9UQ26|RIMS2_HUMAN Regulating synaptic membrane exocytosis protein 2 OS=Homo sapiens OX=9606 GN=RIMS2 PE=1 SV=2
+SRSYSPGTSSELSSQSARRTLPALTPDVLSSPPFLKFWGIVMNSLELEDLLIQAVGMFSKHDMRGYDGWVIIQLVKGQPSEEFSLLQQYLPELTKRAVKTKKKAICVGNDLLYVKVYPAPLTKSGPKVVLGRARIIEVELQGKKDMMGVQIDGMAPTALTQRGVLQAPGLGDLFDSFQSDSALRVGPFILNGESSYSNMSGDTSERSAQRTMWNRMEVALGTETSRQVTSRLKKGGAKRDLTGKPPLQPLQRGRRGAVPTSSPSTQVSGTRPHSRSLAPSPPASRGTMLSPMSRMLNTDPRETSRSRTTTRELLPRQETSRSRHYPQRDAAECDRDRDPSYHDDMVRHRDMRSITNYHGTMTRTGRLGQEVNRSQPPPVSPSWSRTRGIVDVRHPSGSPSCHNSSMVQEPVSLTSSQLDRGDHRYDSVVGIGDDCDYDSVESDSIRKSRQLRRTPSEGHLQRRPMYPSPHPLPLSSVDHTQLKYWHPEDDLLATELEILIEGLFESEEERVRAQDWLTIELMRERFERRHVPSYIFTQNWKPELTKKVTKTRRKNKDSRDPLFYIKVYPNRPRGDERSPLDKAGLITVILQHGVKDFWLKISLQGSLFQPVDRLMGPSMPSTVSISPRDMKQSEFSSSSSELQAHTSDPIRPIDGIPRSVVLEVQPEPKSELIINYVEEFTAGQLLRGNWELVEDGPRLHGVTDALSGKKVKTIFACLRGSETMKGGVVKLGLMAGSDRPVSGDKLRKNLLIRGILRDGDKSPQWTVPHKDSHSHEENLTTSSCTDVGSDKIQPESWELDEELSHGGFYMMEKQRESRTNSDSLVAQESTKRKGKQSDGKESVSESEIEVDDCSTYEPTSALEEESSSLSIQRMKGGKKSKHPKPPPPRVSESQDSSLSDNRLMTEMKERKTKRVASSPDLHHQKRLSDTRRLDPRDIPLPSRRPTPPSHNTTRQAYSSPGQAERTREMSYSRQNEMAARRESISRQRSPRDMRLMSIRSDELDANALSVDSHRREHRARSVEAHIRMQEEYPQPKVPYRALNPDSRYRSQYEEERRQREYEDRSEVDEDDVIYEKSHRHSRRNSDRYSLHDSDEYFQPEHQSRRDAYDSPSRPMATDSTAYQSYEEREERQDYRRNQDRSVSPSRKRDSAIDSAIHHKVGSGNKISHQRTLGHSRSKEVAPVSLDGSPGQFQTQEHLKPKKEQPAEENRLGRLVKQDPQQPTNSGSNYFWAGSKTLIEQQKRCLNCVWMVKNSRLSVRGGCRACFKTQCYSCNHGCGDAFKTKHCIGCTPADGKQEQQQQSEEGMKKVQEKYMEFQQHLKTQPEKENQQKQQPQLVNNVLETIGSFPFWQTLQPKHEEKVKKKQRDMVALIIKREEETLHSLDPMEPQLPPQSAAPIPALRGRPGVPASM
+>sp|Q9H426|RIMS4_HUMAN Regulating synaptic membrane exocytosis protein 4 OS=Homo sapiens OX=9606 GN=RIMS4 PE=1 SV=3
+MERSQSRLSLSASFEALAIYFPCMNSFDDEDAGDSRRLKGAIQRSTETGLAVEMPSRTLRQASHESIEDSMNSYGSEGNLNYGGVCLASDAQFSDFLGSMGPAQFVGRQTLATTPMGDVEIGLQERNGQLEVDIIQARGLTAKPGSKTLPAAYIKAYLLENGICIAKKKTKVARKSLDPLYNQVLLFPESPQGKVLQVIVWGNYGRMERKQFMGVARVLLEELDLTTLAVGWYKLFPTSSMVDPATGPLLRQASQLSLESTVGPCGERS
+>DECOY_sp|Q9H426|RIMS4_HUMAN Regulating synaptic membrane exocytosis protein 4 OS=Homo sapiens OX=9606 GN=RIMS4 PE=1 SV=3
+SREGCPGVTSELSLQSAQRLLPGTAPDVMSSTPFLKYWGVALTTLDLEELLVRAVGMFQKREMRGYNGWVIVQLVKGQPSEPFLLVQNYLPDLSKRAVKTKKKAICIGNELLYAKIYAAPLTKSGPKATLGRAQIIDVELQGNREQLGIEVDGMPTTALTQRGVFQAPGMSGLFDSFQADSALCVGGYNLNGESGYSNMSDEISEHSAQRLTRSPMEVALGTETSRQIAGKLRRSDGADEDDFSNMCPFYIALAEFSASLSLRSQSREM
+>sp|Q99496|RING2_HUMAN E3 ubiquitin-protein ligase RING2 OS=Homo sapiens OX=9606 GN=RNF2 PE=1 SV=1
+MSQAVQTNGTQPLSKTWELSLYELQRTPQEAITDGLEIVVSPRSLHSELMCPICLDMLKNTMTTKECLHRFCADCIITALRSGNKECPTCRKKLVSKRSLRPDPNFDALISKIYPSRDEYEAHQERVLARINKHNNQQALSHSIEEGLKIQAMNRLQRGKKQQIENGSGAEDNGDSSHCSNASTHSNQEAGPSNKRTKTSDDSGLELDNNNAAMAIDPVMDGASEIELVFRPHPTLMEKDDSAQTRYIKTSGNATVDHLSKYLAVRLALEELRSKGESNQMNLDTASEKQYTIYIATASGQFTVLNGSFSLELVSEKYWKVNKPMELYYAPTKEHK
+>DECOY_sp|Q99496|RING2_HUMAN E3 ubiquitin-protein ligase RING2 OS=Homo sapiens OX=9606 GN=RNF2 PE=1 SV=1
+KHEKTPAYYLEMPKNVKWYKESVLELSFSGNLVTFQGSATAIYITYQKESATDLNMQNSEGKSRLEELALRVALYKSLHDVTANGSTKIYRTQASDDKEMLTPHPRFVLEIESAGDMVPDIAMAANNNDLELGSDDSTKTRKNSPGAEQNSHTSANSCHSSDGNDEAGSGNEIQQKKGRQLRNMAQIKLGEEISHSLAQQNNHKNIRALVREQHAEYEDRSPYIKSILADFNPDPRLSRKSVLKKRCTPCEKNGSRLATIICDACFRHLCEKTTMTNKLMDLCIPCMLESHLSRPSVVIELGDTIAEQPTRQLEYLSLEWTKSLPQTGNTQVAQSM
+>sp|P13489|RINI_HUMAN Ribonuclease inhibitor OS=Homo sapiens OX=9606 GN=RNH1 PE=1 SV=2
+MSLDIQSLDIQCEELSDARWAELLPLLQQCQVVRLDDCGLTEARCKDISSALRVNPALAELNLRSNELGDVGVHCVLQGLQTPSCKIQKLSLQNCCLTGAGCGVLSSTLRTLPTLQELHLSDNLLGDAGLQLLCEGLLDPQCRLEKLQLEYCSLSAASCEPLASVLRAKPDFKELTVSNNDINEAGVRVLCQGLKDSPCQLEALKLESCGVTSDNCRDLCGIVASKASLRELALGSNKLGDVGMAELCPGLLHPSSRLRTLWIWECGITAKGCGDLCRVLRAKESLKELSLAGNELGDEGARLLCETLLEPGCQLESLWVKSCSFTAACCSHFSSVLAQNRFLLELQISNNRLEDAGVRELCQGLGQPGSVLRVLWLADCDVSDSSCSSLAATLLANHSLRELDLSNNCLGDAGILQLVESVRQPGCLLEQLVLYDIYWSEEMEDRLQALEKDKPSLRVIS
+>DECOY_sp|P13489|RINI_HUMAN Ribonuclease inhibitor OS=Homo sapiens OX=9606 GN=RNH1 PE=1 SV=2
+SIVRLSPKDKELAQLRDEMEESWYIDYLVLQELLCGPQRVSEVLQLIGADGLCNNSLDLERLSHNALLTAALSSCSSDSVDCDALWLVRLVSGPQGLGQCLERVGADELRNNSIQLELLFRNQALVSSFHSCCAATFSCSKVWLSELQCGPELLTECLLRAGEDGLENGALSLEKLSEKARLVRCLDGCGKATIGCEWIWLTRLRSSPHLLGPCLEAMGVDGLKNSGLALERLSAKSAVIGCLDRCNDSTVGCSELKLAELQCPSDKLGQCLVRVGAENIDNNSVTLEKFDPKARLVSALPECSAASLSCYELQLKELRCQPDLLGECLLQLGADGLLNDSLHLEQLTPLTRLTSSLVGCGAGTLCCNQLSLKQIKCSPTQLGQLVCHVGVDGLENSRLNLEALAPNVRLASSIDKCRAETLGCDDLRVVQCQQLLPLLEAWRADSLEECQIDLSQIDLSM
+>sp|O43353|RIPK2_HUMAN Receptor-interacting serine/threonine-protein kinase 2 OS=Homo sapiens OX=9606 GN=RIPK2 PE=1 SV=2
+MNGEAICSALPTIPYHKLADLRYLSRGASGTVSSARHADWRVQVAVKHLHIHTPLLDSERKDVLREAEILHKARFSYILPILGICNEPEFLGIVTEYMPNGSLNELLHRKTEYPDVAWPLRFRILHEIALGVNYLHNMTPPLLHHDLKTQNILLDNEFHVKIADFGLSKWRMMSLSQSRSSKSAPEGGTIIYMPPENYEPGQKSRASIKHDIYSYAVITWEVLSRKQPFEDVTNPLQIMYSVSQGHRPVINEESLPYDIPHRARMISLIESGWAQNPDERPSFLKCLIELEPVLRTFEEITFLEAVIQLKKTKLQSVSSAIHLCDKKKMELSLNIPVNHGPQEESCGSSQLHENSGSPETSRSLPAPQDNDFLSRKAQDCYFMKLHHCPGNHSWDSTISGSQRAAFCDHKTTPCSSAIINPLSTAGNSERLQPGIAQQWIQSKREDIVNQMTEACLNQSLDALLSRDLIMKEDYELVSTKPTRTSKVRQLLDTTDIQGEEFAKVIVQKLKDNKQMGLQPYPEILVVSRSPSLNLLQNKSM
+>DECOY_sp|O43353|RIPK2_HUMAN Receptor-interacting serine/threonine-protein kinase 2 OS=Homo sapiens OX=9606 GN=RIPK2 PE=1 SV=2
+MSKNQLLNLSPSRSVVLIEPYPQLGMQKNDKLKQVIVKAFEEGQIDTTDLLQRVKSTRTPKTSVLEYDEKMILDRSLLADLSQNLCAETMQNVIDERKSQIWQQAIGPQLRESNGATSLPNIIASSCPTTKHDCFAARQSGSITSDWSHNGPCHHLKMFYCDQAKRSLFDNDQPAPLSRSTEPSGSNEHLQSSGCSEEQPGHNVPINLSLEMKKKDCLHIASSVSQLKTKKLQIVAELFTIEEFTRLVPELEILCKLFSPREDPNQAWGSEILSIMRARHPIDYPLSEENIVPRHGQSVSYMIQLPNTVDEFPQKRSLVEWTIVAYSYIDHKISARSKQGPEYNEPPMYIITGGEPASKSSRSQSLSMMRWKSLGFDAIKVHFENDLLINQTKLDHHLLPPTMNHLYNVGLAIEHLIRFRLPWAVDPYETKRHLLENLSGNPMYETVIGLFEPENCIGLIPLIYSFRAKHLIEAERLVDKRESDLLPTHIHLHKVAVQVRWDAHRASSVTGSAGRSLYRLDALKHYPITPLASCIAEGNM
+>sp|P57078|RIPK4_HUMAN Receptor-interacting serine/threonine-protein kinase 4 OS=Homo sapiens OX=9606 GN=RIPK4 PE=1 SV=1
+MEGDGGTPWALALLRTFDAGEFTGWEKVGSGGFGQVYKVRHVHWKTWLAIKCSPSLHVDDRERMELLEEAKKMEMAKFRYILPVYGICREPVGLVMEYMETGSLEKLLASEPLPWDLRFRIIHETAVGMNFLHCMAPPLLHLDLKPANILLDAHYHVKISDFGLAKCNGLSHSHDLSMDGLFGTIAYLPPERIREKSRLFDTKHDVYSFAIVIWGVLTQKKPFADEKNILHIMVKVVKGHRPELPPVCRARPRACSHLIRLMQRCWQGDPRVRPTFQGNGLNGELIRQVLAALLPVTGRWRSPGEGFRLESEVIIRVTCPLSSPQEITSETEDLCEKPDDEVKETAHDLDVKSPPEPRSEVVPARLKRASAPTFDNDYSLSELLSQLDSGVSQAVEGPEELSRSSSESKLPSSGSGKRLSGVSSVDSAFSSRGSLSLSFEREPSTSDLGTTDVQKKKLVDAIVSGDTSKLMKILQPQDVDLALDSGASLLHLAVEAGQEECAKWLLLNNANPNLSNRRGSTPLHMAVERRVRGVVELLLARKISVNAKDEDQWTALHFAAQNGDESSTRLLLEKNASVNEVDFEGRTPMHVACQHGQENIVRILLRRGVDVSLQGKDAWLPLHYAAWQGHLPIVKLLAKQPGVSVNAQTLDGRTPLHLAAQRGHYRVARILIDLCSDVNVCSLLAQTPLHVAAETGHTSTARLLLHRGAGKEAMTSDGYTALHLAARNGHLATVKLLVEEKADVLARGPLNQTALHLAAAHGHSEVVEELVSADVIDLFDEQGLSALHLAAQGRHAQTVETLLRHGAHINLQSLKFQGGHGPAATLLRRSKT
+>DECOY_sp|P57078|RIPK4_HUMAN Receptor-interacting serine/threonine-protein kinase 4 OS=Homo sapiens OX=9606 GN=RIPK4 PE=1 SV=1
+TKSRRLLTAAPGHGGQFKLSQLNIHAGHRLLTEVTQAHRGQAALHLASLGQEDFLDIVDASVLEEVVESHGHAAALHLATQNLPGRALVDAKEEVLLKVTALHGNRAALHLATYGDSTMAEKGAGRHLLLRATSTHGTEAAVHLPTQALLSCVNVDSCLDILIRAVRYHGRQAALHLPTRGDLTQANVSVGPQKALLKVIPLHGQWAAYHLPLWADKGQLSVDVGRRLLIRVINEQGHQCAVHMPTRGEFDVENVSANKELLLRTSSEDGNQAAFHLATWQDEDKANVSIKRALLLEVVGRVRREVAMHLPTSGRRNSLNPNANNLLLWKACEEQGAEVALHLLSAGSDLALDVDQPQLIKMLKSTDGSVIADVLKKKQVDTTGLDSTSPEREFSLSLSGRSSFASDVSSVGSLRKGSGSSPLKSESSSRSLEEPGEVAQSVGSDLQSLLESLSYDNDFTPASARKLRAPVVESRPEPPSKVDLDHATEKVEDDPKECLDETESTIEQPSSLPCTVRIIVESELRFGEGPSRWRGTVPLLAALVQRILEGNLGNGQFTPRVRPDGQWCRQMLRILHSCARPRARCVPPLEPRHGKVVKVMIHLINKEDAFPKKQTLVGWIVIAFSYVDHKTDFLRSKERIREPPLYAITGFLGDMSLDHSHSLGNCKALGFDSIKVHYHADLLINAPKLDLHLLPPAMCHLFNMGVATEHIIRFRLDWPLPESALLKELSGTEMYEMVLGVPERCIGYVPLIYRFKAMEMKKAEELLEMRERDDVHLSPSCKIALWTKWHVHRVKYVQGFGGSGVKEWGTFEGADFTRLLALAWPTGGDGEM
+>sp|Q5EBL4|RIPL1_HUMAN RILP-like protein 1 OS=Homo sapiens OX=9606 GN=RILPL1 PE=1 SV=1
+MEEERGSALAAESALEKNVAELTVMDVYDIASLVGHEFERVIDQHGCEAIARLMPKVVRVLEILEVLVSRHHVAPELDELRLELDRLRLERMDRIEKERKHQKELELVEDVWRGEAQDLLSQIAQLQEENKQLMTNLSHKDVNFSEEEFQKHEGMSERERQVMKKLKEVVDKQRDEIRAKDRELGLKNEDVEALQQQQTRLMKINHDLRHRVTVVEAQGKALIEQKVELEADLQTKEQEMGSLRAELGKLRERLQGEHSQNGEEEPETEPVGEESISDAEKVAMDLKDPNRPRFTLQELRDVLHERNELKSKVFLLQEELAYYKSEEMEEENRIPQPPPIAHPRTSPQPESGIKRLFSFFSRDKKRLANTQRNVHIQESFGQWANTHRDDGYTEQGQEALQHL
+>DECOY_sp|Q5EBL4|RIPL1_HUMAN RILP-like protein 1 OS=Homo sapiens OX=9606 GN=RILPL1 PE=1 SV=1
+LHQLAEQGQETYGDDRHTNAWQGFSEQIHVNRQTNALRKKDRSFFSFLRKIGSEPQPSTRPHAIPPPQPIRNEEEMEESKYYALEEQLLFVKSKLENREHLVDRLEQLTFRPRNPDKLDMAVKEADSISEEGVPETEPEEEGNQSHEGQLRERLKGLEARLSGMEQEKTQLDAELEVKQEILAKGQAEVVTVRHRLDHNIKMLRTQQQQLAEVDENKLGLERDKARIEDRQKDVVEKLKKMVQRERESMGEHKQFEEESFNVDKHSLNTMLQKNEEQLQAIQSLLDQAEGRWVDEVLELEKQHKREKEIRDMRELRLRDLELRLEDLEPAVHHRSVLVELIELVRVVKPMLRAIAECGHQDIVREFEHGVLSAIDYVDMVTLEAVNKELASEAALASGREEEM
+>sp|Q969X0|RIPL2_HUMAN RILP-like protein 2 OS=Homo sapiens OX=9606 GN=RILPL2 PE=1 SV=1
+MEEPPVREEEEEEGEEDEERDEVGPEGALGKSPFQLTAEDVYDISYLLGRELMALGSDPRVTQLQFKVVRVLEMLEALVNEGSLALEELKMERDHLRKEVEGLRRQSPPASGEVNLGPNKMVVDLTDPNRPRFTLQELRDVLQERNKLKSQLLVVQEELQCYKSGLIPPREGPGGRREKDAVVTSAKNAGRNKEEKTIIKKLFFFRSGKQT
+>DECOY_sp|Q969X0|RIPL2_HUMAN RILP-like protein 2 OS=Homo sapiens OX=9606 GN=RILPL2 PE=1 SV=1
+TQKGSRFFFLKKIITKEEKNRGANKASTVVADKERRGGPGERPPILGSKYCQLEEQVVLLQSKLKNREQLVDRLEQLTFRPRNPDTLDVVMKNPGLNVEGSAPPSQRRLGEVEKRLHDREMKLEELALSGENVLAELMELVRVVKFQLQTVRPDSGLAMLERGLLYSIDYVDEATLQFPSKGLAGEPGVEDREEDEEGEEEEEERVPPEEM
+>sp|Q6ZS17|RIPR1_HUMAN Rho family-interacting cell polarization regulator 1 OS=Homo sapiens OX=9606 GN=RIPOR1 PE=1 SV=1
+MMSLSVRPQRRLLSARVNRSQSFAGVLGSHERGPSLSFRSFPVFSPPGPPRKPPALSRVSRMFSVAHPAAKVPQPERLDLVYTALKRGLTAYLEVHQQEQEKLQGQIRESKRNSRLGFLYDLDKQVKSIERFLRRLEFHASKIDELYEAYCVQRRLRDGAYNMVRAYTTGSPGSREARDSLAEATRGHREYTESMCLLESELEAQLGEFHLRMKGLAGFARLCVGDQYEICMKYGRQRWKLRGRIEGSGKQVWDSEETIFLPLLTEFLSIKVTELKGLANHVVVGSVSCETKDLFAALPQVVAVDINDLGTIKLSLEVTWSPFDKDDQPSAASSVNKASTVTKRFSTYSQSPPDTPSLREQAFYNMLRRQEELENGTAWSLSSESSDDSSSPQLSGTARHSPAPRPLVQQPEPLPIQVAFRRPETPSSGPLDEEGAVAPVLANGHAPYSRTLSHISEASVDAALAEASVEAVGPESLAWGPSPPTHPAPTHGEHPSPVPPALDPGHSATSSTLGTTGSVPTSTDPAPSAHLDSVHKSTDSGPSELPGPTHTTTGSTYSAITTTHSAPSPLTHTTTGSTHKPIISTLTTTGPTLNIIGPVQTTTSPTHTMPSPTHTTASPTHTSTSPTHTPTSPTHKTSMSPPTTTSPTPSGMGLVQTATSPTHPTTSPTHPTTSPILINVSPSTSLELATLSSPSKHSDPTLPGTDSLPCSPPVSNSYTQADPMAPRTPHPSPAHSSRKPLTSPAPDPSESTVQSLSPTPSPPTPAPQHSDLCLAMAVQTPVPTAAGGSGDRSLEEALGALMAALDDYRGQFPELQGLEQEVTRLESLLMQRQGLTRSRASSLSITVEHALESFSFLNEDEDEDNDVPGDRPPSSPEAGAEDSIDSPSARPLSTGCPALDAALVRHLYHCSRLLLKLGTFGPLRCQEAWALERLLREARVLEAVCEFSRRWEIPASSAQEVVQFSASRPGFLTFWDQCTERLSCFLCPVERVLLTFCNQYGARLSLRQPGLAEAVCVKFLEDALGQKLPRRPQPGPGEQLTVFQFWSFVETLDSPTMEAYVTETAEEVLLVRNLNSDDQAVVLKALRLAPEGRLRRDGLRALSSLLVHGNNKVMAAVSTQLRSLSLGPTFRERALLCFLDQLEDEDVQTRVAGCLALGCIKAPEGIEPLVYLCQTDTEAVREAARQSLQQCGEEGQSAHRRLEESLDALPRIFGPGSMASTAF
+>DECOY_sp|Q6ZS17|RIPR1_HUMAN Rho family-interacting cell polarization regulator 1 OS=Homo sapiens OX=9606 GN=RIPOR1 PE=1 SV=1
+FATSAMSGPGFIRPLADLSEELRRHASQGEEGCQQLSQRAAERVAETDTQCLYVLPEIGEPAKICGLALCGAVRTQVDEDELQDLFCLLARERFTPGLSLSRLQTSVAAMVKNNGHVLLSSLARLGDRRLRGEPALRLAKLVVAQDDSNLNRVLLVEEATETVYAEMTPSDLTEVFSWFQFVTLQEGPGPQPRRPLKQGLADELFKVCVAEALGPQRLSLRAGYQNCFTLLVREVPCLFCSLRETCQDWFTLFGPRSASFQVVEQASSAPIEWRRSFECVAELVRAERLLRELAWAEQCRLPGFTGLKLLLRSCHYLHRVLAADLAPCGTSLPRASPSDISDEAGAEPSSPPRDGPVDNDEDEDENLFSFSELAHEVTISLSSARSRTLGQRQMLLSELRTVEQELGQLEPFQGRYDDLAAMLAGLAEELSRDGSGGAATPVPTQVAMALCLDSHQPAPTPPSPTPSLSQVTSESPDPAPSTLPKRSSHAPSPHPTRPAMPDAQTYSNSVPPSCPLSDTGPLTPDSHKSPSSLTALELSTSPSVNILIPSTTPHTPSTTPHTPSTATQVLGMGSPTPSTTTPPSMSTKHTPSTPTHTPSTSTHTPSATTHTPSPMTHTPSTTTQVPGIINLTPGTTTLTSIIPKHTSGTTTHTLPSPASHTTTIASYTSGTTTHTPGPLESPGSDTSKHVSDLHASPAPDTSTPVSGTTGLTSSTASHGPDLAPPVPSPHEGHTPAPHTPPSPGWALSEPGVAEVSAEALAADVSAESIHSLTRSYPAHGNALVPAVAGEEDLPGSSPTEPRRFAVQIPLPEPQQVLPRPAPSHRATGSLQPSSSDDSSESSLSWATGNELEEQRRLMNYFAQERLSPTDPPSQSYTSFRKTVTSAKNVSSAASPQDDKDFPSWTVELSLKITGLDNIDVAVVQPLAAFLDKTECSVSGVVVHNALGKLETVKISLFETLLPLFITEESDWVQKGSGEIRGRLKWRQRGYKMCIEYQDGVCLRAFGALGKMRLHFEGLQAELESELLCMSETYERHGRTAEALSDRAERSGPSGTTYARVMNYAGDRLRRQVCYAEYLEDIKSAHFELRRLFREISKVQKDLDYLFGLRSNRKSERIQGQLKEQEQQHVELYATLGRKLATYVLDLREPQPVKAAPHAVSFMRSVRSLAPPKRPPGPPSFVPFSRFSLSPGREHSGLVGAFSQSRNVRASLLRRQPRVSLSMM
+>sp|Q96MK2|RIPR3_HUMAN RIPOR family member 3 OS=Homo sapiens OX=9606 GN=RIPOR3 PE=1 SV=4
+MSVRLRFLSPGDTGAVGVVGRSASFAGFSSAQSRRIAKSINRNSVRSRMPAKSSKMYGTLRKGSVCADPKPQQVKKIFEALKRGLKEYLCVQQAELDHLSGRHKDTRRNSRLAFYYDLDKQTRCVERHIRKMEFHISKVDELYEDYCIQCRLRDGASSMQRAFARCPPSRAARESLQELGRSLHECAEDMWLIEGALEVHLGEFHIRMKGLVGYARLCPGDHYEVLMRLGRQRWKLKGRIESDDSQTWDEEEKAFIPTLHENLDIKVTELRGLGSLAVGAVTCDIADFFTTRPQVIVVDITELGTIKLQLEVQWNPFDTESFLVSPSPTGKFSMGSRKGSLYNWTPPSTPSFRERYYLSVLQQPTQQALLLGGPRATSILSYLSDSDLRGPSLRSQSQELPEMDSFSSEDPRDTETSTSASTSDVGFLPLTFGPHASIEEEAREDPLPPGLLPEMAHLSGGPFAEQPGWRNLGGESPSLPQGSLFHSGTASSSQNGHEEGATGDREDGPGVALEGPLQEVLELLRPTDSTQPQLRELEYQVLGFRDRLKPCRARQEHTSAESLMECILESFAFLNADFALDELSLFGGSQGLRKDRPLPPPSSLKASSRELTAGAPELDVLLMVHLQVCKALLQKLASPNLSRLVQECLLEEVAQQKHVLETLSVLDFEKVGKATSIEEIIPQASRTKGCLKLWRGCTGPGRVLSCPATTLLNQLKKTFQHRVRGKYPGQLEIACRRLLEQVVSCGGLLPGAGLPEEQIITWFQFHSYLQRQSVSDLEKHFTQLTKEVTLIEELHCAGQAKVVRKLQGKRLGQLQPLPQTLRAWALLQLDGTPRVCRAASARLAGAVRNRSFREKALLFYTNALAENDARLQQAACLALKHLKGIESIDQTASLCQSDLEAVRAAARETTLSFGEKGRLAFEKMDKLCSEQREVFCQEADVEITIF
+>DECOY_sp|Q96MK2|RIPR3_HUMAN RIPOR family member 3 OS=Homo sapiens OX=9606 GN=RIPOR3 PE=1 SV=4
+FITIEVDAEQCFVERQESCLKDMKEFALRGKEGFSLTTERAAARVAELDSQCLSATQDISEIGKLHKLALCAAQQLRADNEALANTYFLLAKERFSRNRVAGALRASAARCVRPTGDLQLLAWARLTQPLPQLQGLRKGQLKRVVKAQGACHLEEILTVEKTLQTFHKELDSVSQRQLYSHFQFWTIIQEEPLGAGPLLGGCSVVQELLRRCAIELQGPYKGRVRHQFTKKLQNLLTTAPCSLVRGPGTCGRWLKLCGKTRSAQPIIEEISTAKGVKEFDLVSLTELVHKQQAVEELLCEQVLRSLNPSALKQLLAKCVQLHVMLLVDLEPAGATLERSSAKLSSPPPLPRDKRLGQSGGFLSLEDLAFDANLFAFSELICEMLSEASTHEQRARCPKLRDRFGLVQYELERLQPQTSDTPRLLELVEQLPGELAVGPGDERDGTAGEEHGNQSSSATGSHFLSGQPLSPSEGGLNRWGPQEAFPGGSLHAMEPLLGPPLPDERAEEEISAHPGFTLPLFGVDSTSASTSTETDRPDESSFSDMEPLEQSQSRLSPGRLDSDSLYSLISTARPGGLLLAQQTPQQLVSLYYRERFSPTSPPTWNYLSGKRSGMSFKGTPSPSVLFSETDFPNWQVELQLKITGLETIDVVIVQPRTTFFDAIDCTVAGVALSGLGRLETVKIDLNEHLTPIFAKEEEDWTQSDDSEIRGKLKWRQRGLRMLVEYHDGPCLRAYGVLGKMRIHFEGLHVELAGEILWMDEACEHLSRGLEQLSERAARSPPCRAFARQMSSAGDRLRCQICYDEYLEDVKSIHFEMKRIHREVCRTQKDLDYYFALRSNRRTDKHRGSLHDLEAQQVCLYEKLGRKLAEFIKKVQQPKPDACVSGKRLTGYMKSSKAPMRSRVSNRNISKAIRRSQASSFGAFSASRGVVGVAGTDGPSLFRLRVSM
+>sp|P35268|RL22_HUMAN 60S ribosomal protein L22 OS=Homo sapiens OX=9606 GN=RPL22 PE=1 SV=2
+MAPVKKLVVKGGKKKKQVLKFTLDCTHPVEDGIMDAANFEQFLQERIKVNGKAGNLGGGVVTIERSKSKITVTSEVPFSKRYLKYLTKKYLKKNNLRDWLRVVANSKESYELRYFQINQDEEEEEDED
+>DECOY_sp|P35268|RL22_HUMAN 60S ribosomal protein L22 OS=Homo sapiens OX=9606 GN=RPL22 PE=1 SV=2
+DEDEEEEEDQNIQFYRLEYSEKSNAVVRLWDRLNNKKLYKKTLYKLYRKSFPVESTVTIKSKSREITVVGGGLNGAKGNVKIREQLFQEFNAADMIGDEVPHTCDLTFKLVQKKKKGGKVVLKKVPAM
+>sp|O95072|REC8_HUMAN Meiotic recombination protein REC8 homolog OS=Homo sapiens OX=9606 GN=REC8 PE=1 SV=1
+MFYYPNVLQRHTGCFATIWLAATRGSRLVKREYLRVNVVKTCEEILNYVLVRVQPPQPGLPRPRFSLYLSAQLQIGVIRVYSQQCQYLVEDIQHILERLHRAQLQIRIDMETELPSLLLPNHLAMMETLEDAPDPFFGMMSVDPRLPSPFDIPQIRHLLEAAIPERVEEIPPEVPTEPREPERIPVTVLPPEAITILEAEPIRMLEIEGERELPEVSRRELDLLIAEEEEAILLEIPRLPPPAPAEVEGIGEALGPEELRLTGWEPGALLMEVTPPEELRLPAPPSPERRPPVPPPPRRRRRRRLLFWDKETQISPEKFQEQLQTRAHCWECPMVQPPERTIRGPAELFRTPTLSGWLPPELLGLWTHCAQPPPKALRRELPEEAAAEEERRKIEVPSEIEVPREALEPSVPLMVSLEISLEAAEEEKSRISLIPPEERWAWPEVEAPEAPALPVVPELPEVPMEMPLVLPPELELLSLEAVHRAVALELQANREPDFSSLVSPLSPRRMAARVFYLLLVLSAQQILHVKQEKPYGRLLIQPGPRFH
+>DECOY_sp|O95072|REC8_HUMAN Meiotic recombination protein REC8 homolog OS=Homo sapiens OX=9606 GN=REC8 PE=1 SV=1
+HFRPGPQILLRGYPKEQKVHLIQQASLVLLLYFVRAAMRRPSLPSVLSSFDPERNAQLELAVARHVAELSLLELEPPLVLPMEMPVEPLEPVVPLAPAEPAEVEPWAWREEPPILSIRSKEEEAAELSIELSVMLPVSPELAERPVEIESPVEIKRREEEAAAEEPLERRLAKPPPQACHTWLGLLEPPLWGSLTPTRFLEAPGRITREPPQVMPCEWCHARTQLQEQFKEPSIQTEKDWFLLRRRRRRRPPPPVPPRREPSPPAPLRLEEPPTVEMLLAGPEWGTLRLEEPGLAEGIGEVEAPAPPPLRPIELLIAEEEEAILLDLERRSVEPLEREGEIELMRIPEAELITIAEPPLVTVPIREPERPETPVEPPIEEVREPIAAELLHRIQPIDFPSPLRPDVSMMGFFPDPADELTEMMALHNPLLLSPLETEMDIRIQLQARHLRELIHQIDEVLYQCQQSYVRIVGIQLQASLYLSFRPRPLGPQPPQVRVLVYNLIEECTKVVNVRLYERKVLRSGRTAALWITAFCGTHRQLVNPYYFM
+>sp|P35243|RECO_HUMAN Recoverin OS=Homo sapiens OX=9606 GN=RCVRN PE=1 SV=2
+MGNSKSGALSKEILEELQLNTKFSEEELCSWYQSFLKDCPTGRITQQQFQSIYAKFFPDTDPKAYAQHVFRSFDSNLDGTLDFKEYVIALHMTTAGKTNQKLEWAFSLYDVDGNGTISKNEVLEIVMAIFKMITPEDVKLLPDDENTPEKRAEKIWKYFGKNDDDKLTEKEFIEGTLANKEILRLIQFEPQKVKEKMKNA
+>DECOY_sp|P35243|RECO_HUMAN Recoverin OS=Homo sapiens OX=9606 GN=RCVRN PE=1 SV=2
+ANKMKEKVKQPEFQILRLIEKNALTGEIFEKETLKDDDNKGFYKWIKEARKEPTNEDDPLLKVDEPTIMKFIAMVIELVENKSITGNGDVDYLSFAWELKQNTKGATTMHLAIVYEKFDLTGDLNSDFSRFVHQAYAKPDTDPFFKAYISQFQQQTIRGTPCDKLFSQYWSCLEEESFKTNLQLEELIEKSLAGSKSNGM
+>sp|Q9NS39|RED2_HUMAN Double-stranded RNA-specific editase B2 OS=Homo sapiens OX=9606 GN=ADARB2 PE=1 SV=1
+MASVLGSGRGSGGLSSQLKCKSKRRRRRRSKRKDKVSILSTFLAPFKHLSPGITNTEDDDTLSTSSAEVKENRNVGNLAARPPPSGDRARGGAPGAKRKRPLEEGNGGHLCKLQLVWKKLSWSVAPKNALVQLHELRPGLQYRTVSQTGPVHAPVFAVAVEVNGLTFEGTGPTKKKAKMRAAELALRSFVQFPNACQAHLAMGGGPGPGTDFTSDQADFPDTLFQEFEPPAPRPGLAGGRPGDAALLSAAYGRRRLLCRALDLVGPTPATPAAPGERNPVVLLNRLRAGLRYVCLAEPAERRARSFVMAVSVDGRTFEGSGRSKKLARGQAAQAALQELFDIQMPGHAPGRARRTPMPQEFADSISQLVTQKFREVTTDLTPMHARHKALAGIVMTKGLDARQAQVVALSSGTKCISGEHLSDQGLVVNDCHAEVVARRAFLHFLYTQLELHLSKRREDSERSIFVRLKEGGYRLRENILFHLYVSTSPCGDARLHSPYEITTDLHSSKHLVRKFRGHLRTKIESGEGTVPVRGPSAVQTWDGVLLGEQLITMSCTDKIARWNVLGLQGALLSHFVEPVYLQSIVVGSLHHTGHLARVMSHRMEGVGQLPASYRHNRPLLSGVSDAEARQPGKSPPFSMNWVVGSADLEIINATTGRRSCGGPSRLCKHVLSARWARLYGRLSTRTPSPGDTPSMYCEAKLGAHTYQSVKQQLFKAFQKAGLGTWVRKPPEQQQFLLTL
+>DECOY_sp|Q9NS39|RED2_HUMAN Double-stranded RNA-specific editase B2 OS=Homo sapiens OX=9606 GN=ADARB2 PE=1 SV=1
+LTLLFQQQEPPKRVWTGLGAKQFAKFLQQKVSQYTHAGLKAECYMSPTDGPSPTRTSLRGYLRAWRASLVHKCLRSPGGCSRRGTTANIIELDASGVVWNMSFPPSKGPQRAEADSVGSLLPRNHRYSAPLQGVGEMRHSMVRALHGTHHLSGVVISQLYVPEVFHSLLAGQLGLVNWRAIKDTCSMTILQEGLLVGDWTQVASPGRVPVTGEGSEIKTRLHGRFKRVLHKSSHLDTTIEYPSHLRADGCPSTSVYLHFLINERLRYGGEKLRVFISRESDERRKSLHLELQTYLFHLFARRAVVEAHCDNVVLGQDSLHEGSICKTGSSLAVVQAQRADLGKTMVIGALAKHRAHMPTLDTTVERFKQTVLQSISDAFEQPMPTRRARGPAHGPMQIDFLEQLAAQAAQGRALKKSRGSGEFTRGDVSVAMVFSRARREAPEALCVYRLGARLRNLLVVPNREGPAAPTAPTPGVLDLARCLLRRRGYAASLLAADGPRGGALGPRPAPPEFEQFLTDPFDAQDSTFDTGPGPGGGMALHAQCANPFQVFSRLALEAARMKAKKKTPGTGEFTLGNVEVAVAFVPAHVPGTQSVTRYQLGPRLEHLQVLANKPAVSWSLKKWVLQLKCLHGGNGEELPRKRKAGPAGGRARDGSPPPRAALNGVNRNEKVEASSTSLTDDDETNTIGPSLHKFPALFTSLISVKDKRKSRRRRRRKSKCKLQSSLGGSGRGSGLVSAM
+>sp|Q96HR9|REEP6_HUMAN Receptor expression-enhancing protein 6 OS=Homo sapiens OX=9606 GN=REEP6 PE=1 SV=2
+MDGLRQRVEHFLEQRNLVTEVLGALEAKTGVEKRYLAAGAVTLLSLYLLFGYGASLLCNLIGFVYPAYASIKAIESPSKDDDTVWLTYWVVYALFGLAEFFSDLLLSWFPFYYVGKCAFLLFCMAPRPWNGALMLYQRVVRPLFLRHHGAVDRIMNDLSGRALDAAAGITRNVLQVLARSRAGITPVAVAGPSTPLEADLKPSQTPQPKDK
+>DECOY_sp|Q96HR9|REEP6_HUMAN Receptor expression-enhancing protein 6 OS=Homo sapiens OX=9606 GN=REEP6 PE=1 SV=2
+KDKPQPTQSPKLDAELPTSPGAVAVPTIGARSRALVQLVNRTIGAAADLARGSLDNMIRDVAGHHRLFLPRVVRQYLMLAGNWPRPAMCFLLFACKGVYYFPFWSLLLDSFFEALGFLAYVVWYTLWVTDDDKSPSEIAKISAYAPYVFGILNCLLSAGYGFLLYLSLLTVAGAALYRKEVGTKAELAGLVETVLNRQELFHEVRQRLGDM
+>sp|Q6UW15|REG3G_HUMAN Regenerating islet-derived protein 3-gamma OS=Homo sapiens OX=9606 GN=REG3G PE=1 SV=1
+MLPPMALPSVSWMLLSCLILLCQVQGEETQKELPSPRISCPKGSKAYGSPCYALFLSPKSWMDADLACQKRPSGKLVSVLSGAEGSFVSSLVRSISNSYSYIWIGLHDPTQGSEPDGDGWEWSSTDVMNYFAWEKNPSTILNPGHCGSLSRSTGFLKWKDYNCDAKLPYVCKFKD
+>DECOY_sp|Q6UW15|REG3G_HUMAN Regenerating islet-derived protein 3-gamma OS=Homo sapiens OX=9606 GN=REG3G PE=1 SV=1
+DKFKCVYPLKADCNYDKWKLFGTSRSLSGCHGPNLITSPNKEWAFYNMVDTSSWEWGDGDPESGQTPDHLGIWIYSYSNSISRVLSSVFSGEAGSLVSVLKGSPRKQCALDADMWSKPSLFLAYCPSGYAKSGKPCSIRPSPLEKQTEEGQVQCLLILCSLLMWSVSPLAMPPLM
+>sp|P04808|REL1_HUMAN Prorelaxin H1 OS=Homo sapiens OX=9606 GN=RLN1 PE=1 SV=1
+MPRLFLFHLLEFCLLLNQFSRAVAAKWKDDVIKLCGRELVRAQIAICGMSTWSKRSLSQEDAPQTPRPVAEIVPSFINKDTETIIIMLEFIANLPPELKAALSERQPSLPELQQYVPALKDSNLSFEEFKKLIRNRQSEAADSNPSELKYLGLDTHSQKKRRPYVALFEKCCLIGCTKRSLAKYC
+>DECOY_sp|P04808|REL1_HUMAN Prorelaxin H1 OS=Homo sapiens OX=9606 GN=RLN1 PE=1 SV=1
+CYKALSRKTCGILCCKEFLAVYPRRKKQSHTDLGLYKLESPNSDAAESQRNRILKKFEEFSLNSDKLAPVYQQLEPLSPQRESLAAKLEPPLNAIFELMIIITETDKNIFSPVIEAVPRPTQPADEQSLSRKSWTSMGCIAIQARVLERGCLKIVDDKWKAAVARSFQNLLLCFELLHFLFLRPM
+>sp|P04090|REL2_HUMAN Prorelaxin H2 OS=Homo sapiens OX=9606 GN=RLN2 PE=1 SV=1
+MPRLFFFHLLGVCLLLNQFSRAVADSWMEEVIKLCGRELVRAQIAICGMSTWSKRSLSQEDAPQTPRPVAEIVPSFINKDTETINMMSEFVANLPQELKLTLSEMQPALPQLQQHVPVLKDSSLLFEEFKKLIRNRQSEAADSSPSELKYLGLDTHSRKKRQLYSALANKCCHVGCTKRSLARFC
+>DECOY_sp|P04090|REL2_HUMAN Prorelaxin H2 OS=Homo sapiens OX=9606 GN=RLN2 PE=1 SV=1
+CFRALSRKTCGVHCCKNALASYLQRKKRSHTDLGLYKLESPSSDAAESQRNRILKKFEEFLLSSDKLVPVHQQLQPLAPQMESLTLKLEQPLNAVFESMMNITETDKNIFSPVIEAVPRPTQPADEQSLSRKSWTSMGCIAIQARVLERGCLKIVEEMWSDAVARSFQNLLLCVGLLHFFFLRPM
+>sp|P51606|RENBP_HUMAN N-acylglucosamine 2-epimerase OS=Homo sapiens OX=9606 GN=RENBP PE=1 SV=2
+MSKGLPARQDMEKERETLQAWKERVGQELDRVVAFWMEHSHDQEHGGFFTCLGREGRVYDDLKYVWLQGRQVWMYCRLYRTFERFRHAQLLDAAKAGGEFLLRYARVAPPGKKCAFVLTRDGRPVKVQRTIFSECFYTMAMNELWRATGEVRYQTEAVEMMDQIVHWVQEDASGLGRPQLQGAPAAEPMAVPMMLLNLVEQLGEADEELAGKYAELGDWCARRILQHVQRDGQAVLENVSEGGKELPGCLGRQQNPGHTLEAGWFLLRHCIRKGDPELRAHVIDKFLLLPFHSGWDPDHGGLFYFQDADNFCPTQLEWAMKLWWPHSEAMIAFLMGYSDSGDPVLLRLFYQVAEYTFRQFRDPEYGEWFGYLSREGKVALSIKGGPFKGCFHVPRCLAMCEEMLGALLSRPAPAPSPAPTPACRGAE
+>DECOY_sp|P51606|RENBP_HUMAN N-acylglucosamine 2-epimerase OS=Homo sapiens OX=9606 GN=RENBP PE=1 SV=2
+EAGRCAPTPAPSPAPAPRSLLAGLMEECMALCRPVHFCGKFPGGKISLAVKGERSLYGFWEGYEPDRFQRFTYEAVQYFLRLLVPDGSDSYGMLFAIMAESHPWWLKMAWELQTPCFNDADQFYFLGGHDPDWGSHFPLLLFKDIVHARLEPDGKRICHRLLFWGAELTHGPNQQRGLCGPLEKGGESVNELVAQGDRQVHQLIRRACWDGLEAYKGALEEDAEGLQEVLNLLMMPVAMPEAAPAGQLQPRGLGSADEQVWHVIQDMMEVAETQYRVEGTARWLENMAMTYFCESFITRQVKVPRGDRTLVFACKKGPPAVRAYRLLFEGGAKAADLLQAHRFREFTRYLRCYMWVQRGQLWVYKLDDYVRGERGLCTFFGGHEQDHSHEMWFAVVRDLEQGVREKWAQLTEREKEMDQRAPLGKSM
+>sp|Q9HAU5|RENT2_HUMAN Regulator of nonsense transcripts 2 OS=Homo sapiens OX=9606 GN=UPF2 PE=1 SV=1
+MPAERKKPASMEEKDSLPNNKEKDCSERRTVSSKERPKDDIKLTAKKEVSKAPEDKKKRLEDDKRKKEDKERKKKDEEKVKAEEESKKKEEEEKKKHQEEERKKQEEQAKRQQEEEAAAQMKEKEESIQLHQEAWERHHLRKELRSKNQNAPDSRPEENFFSRLDSSLKKNTAFVKKLKTITEQQRDSLSHDFNGLNLSKYIAEAVASIVEAKLKISDVNCAVHLCSLFHQRYADFAPSLLQVWKKHFEARKEEKTPNITKLRTDLRFIAELTIVGIFTDKEGLSLIYEQLKNIINADRESHTHVSVVISFCRHCGDDIAGLVPRKVKSAAEKFNLSFPPSEIISPEKQQPFQNLLKEYFTSLTKHLKRDHRELQNTERQNRRILHSKGELSEDRHKQYEEFAMSYQKLLANSQSLADLLDENMPDLPQDKPTPEEHGPGIDIFTPGKPGEYDLEGGIWEDEDARNFYENLIDLKAFVPAILFKDNEKSCQNKESNKDDTKEAKESKENKEVSSPDDLELELENLEINDDTLELEGGDEAEDLTKKLLDEQEQEDEEASTGSHLKLIVDAFLQQLPNCVNRDLIDKAAMDFCMNMNTKANRKKLVRALFIVPRQRLDLLPFYARLVATLHPCMSDVAEDLCSMLRGDFRFHVRKKDQINIETKNKTVRFIGELTKFKMFTKNDTLHCLKMLLSDFSHHHIEMACTLLETCGRFLFRSPESHLRTSVLLEQMMRKKQAMHLDARYVTMVENAYYYCNPPPAEKTVKKKRPPLQEYVRKLLYKDLSKVTTEKVLRQMRKLPWQDQEVKDYVICCMINIWNVKYNSIHCVANLLAGLVLYQEDVGIHVVDGVLEDIRLGMEVNQPKFNQRRISSAKFLGELYNYRMVESAVIFRTLYSFTSFGVNPDGSPSSLDPPEHLFRIRLVCTILDTCGQYFDRGSSKRKLDCFLVYFQRYVWWKKSLEVWTKDHPFPIDIDYMISDTLELLRPKIKLCNSLEESIRQVQDLEREFLIKLGLVNDKDSKDSMTEGENLEEDEEEEEGGAETEEQSGNESEVNEPEEEEGSDNDDDEGEEEEEENTDYLTDSNKENETDEENTEVMIKGGGLKHVPCVEDEDFIQALDKMMLENLQQRSGESVKVHQLDVAIPLHLKSQLRKGPPLGGGEGEAESADTMPFVMLTRKGNKQQFKILNVPMSSQLAANHWNQQQAEQEERMRMKKLTLDINERQEQEDYQEMLQSLAQRPAPANTNRERRPRYQHPKGAPNADLIFKTGGRRR
+>DECOY_sp|Q9HAU5|RENT2_HUMAN Regulator of nonsense transcripts 2 OS=Homo sapiens OX=9606 GN=UPF2 PE=1 SV=1
+RRRGGTKFILDANPAGKPHQYRPRRERNTNAPAPRQALSQLMEQYDEQEQRENIDLTLKKMRMREEQEAQQQNWHNAALQSSMPVNLIKFQQKNGKRTLMVFPMTDASEAEGEGGGLPPGKRLQSKLHLPIAVDLQHVKVSEGSRQQLNELMMKDLAQIFDEDEVCPVHKLGGGKIMVETNEEDTENEKNSDTLYDTNEEEEEEGEDDDNDSGEEEEPENVESENGSQEETEAGGEEEEEDEELNEGETMSDKSDKDNVLGLKILFERELDQVQRISEELSNCLKIKPRLLELTDSIMYDIDIPFPHDKTWVELSKKWWVYRQFYVLFCDLKRKSSGRDFYQGCTDLITCVLRIRFLHEPPDLSSPSGDPNVGFSTFSYLTRFIVASEVMRYNYLEGLFKASSIRRQNFKPQNVEMGLRIDELVGDVVHIGVDEQYLVLGALLNAVCHISNYKVNWINIMCCIVYDKVEQDQWPLKRMQRLVKETTVKSLDKYLLKRVYEQLPPRKKKVTKEAPPPNCYYYANEVMTVYRADLHMAQKKRMMQELLVSTRLHSEPSRFLFRGCTELLTCAMEIHHHSFDSLLMKLCHLTDNKTFMKFKTLEGIFRVTKNKTEINIQDKKRVHFRFDGRLMSCLDEAVDSMCPHLTAVLRAYFPLLDLRQRPVIFLARVLKKRNAKTNMNMCFDMAAKDILDRNVCNPLQQLFADVILKLHSGTSAEEDEQEQEDLLKKTLDEAEDGGELELTDDNIELNELELELDDPSSVEKNEKSEKAEKTDDKNSEKNQCSKENDKFLIAPVFAKLDILNEYFNRADEDEWIGGELDYEGPKGPTFIDIGPGHEEPTPKDQPLDPMNEDLLDALSQSNALLKQYSMAFEEYQKHRDESLEGKSHLIRRNQRETNQLERHDRKLHKTLSTFYEKLLNQFPQQKEPSIIESPPFSLNFKEAASKVKRPVLGAIDDGCHRCFSIVVSVHTHSERDANIINKLQEYILSLGEKDTFIGVITLEAIFRLDTRLKTINPTKEEKRAEFHKKWVQLLSPAFDAYRQHFLSCLHVACNVDSIKLKAEVISAVAEAIYKSLNLGNFDHSLSDRQQETITKLKKVFATNKKLSSDLRSFFNEEPRSDPANQNKSRLEKRLHHREWAEQHLQISEEKEKMQAAAEEEQQRKAQEEQKKREEEQHKKKEEEEKKKSEEEAKVKEEDKKKREKDEKKRKDDELRKKKDEPAKSVEKKATLKIDDKPREKSSVTRRESCDKEKNNPLSDKEEMSAPKKREAPM
+>sp|Q15493|RGN_HUMAN Regucalcin OS=Homo sapiens OX=9606 GN=RGN PE=1 SV=1
+MSSIKIECVLPENCRCGESPVWEEVSNSLLFVDIPAKKVCRWDSFTKQVQRVTMDAPVSSVALRQSGGYVATIGTKFCALNWKEQSAVVLATVDNDKKNNRFNDGKVDPAGRYFAGTMAEETAPAVLERHQGALYSLFPDHHVKKYFDQVDISNGLDWSLDHKIFYYIDSLSYSVDAFDYDLQTGQISNRRSVYKLEKEEQIPDGMCIDAEGKLWVACYNGGRVIRLDPVTGKRLQTVKLPVDKTTSCCFGGKNYSEMYVTCARDGMDPEGLLRQPEAGGIFKITGLGVKGIAPYSYAG
+>DECOY_sp|Q15493|RGN_HUMAN Regucalcin OS=Homo sapiens OX=9606 GN=RGN PE=1 SV=1
+GAYSYPAIGKVGLGTIKFIGGAEPQRLLGEPDMGDRACTVYMESYNKGGFCCSTTKDVPLKVTQLRKGTVPDLRIVRGGNYCAVWLKGEADICMGDPIQEEKELKYVSRRNSIQGTQLDYDFADVSYSLSDIYYFIKHDLSWDLGNSIDVQDFYKKVHHDPFLSYLAGQHRELVAPATEEAMTGAFYRGAPDVKGDNFRNNKKDNDVTALVVASQEKWNLACFKTGITAVYGGSQRLAVSSVPADMTVRQVQKTFSDWRCVKKAPIDVFLLSNSVEEWVPSEGCRCNEPLVCEIKISSM
+>sp|Q6GYQ0|RGPA1_HUMAN Ral GTPase-activating protein subunit alpha-1 OS=Homo sapiens OX=9606 GN=RALGAPA1 PE=1 SV=1
+MFSKKPHGDVKKSTQKVLDTKKDALTRLKHLRIVIENAESIDLKQFFDQHFSHIYYVFFENFVTIEASLKQKGHKSQREELDAILFIFEKILQLLPERIHQRWQFHSIGLILKKLLHTGNSLKIRREGVRLFLLWLQALQNNCSKEQLWMFSCLIPGFSAPQSEHGPRTLDNLINPPLNLQETQVTIEEITPLVPPQSGDKGQEDLTSYFLEALLKYIVIQVKSLEWKNKENQERGFSFLFSHFKKYYLPYIFPNICKENSLYHPILDIPQMRPKPHYVVIKKDAETNEAIYCTKEPFIKARVIVIRWLVSFWLEPKPHTGPHIPGMEGEVLPKNIQRAAASLVSREESKNDNADKTDRTTEPEQSHSNTSTLTEREPSSSSLCSIDEEHLTDIEIVRRVFSSKRSNVNFVTEIFRQAFLLPICEAAAMRKVVKVYQEWIQQEEKPLFMQEPEEIVITSSDLPCIENVTDHDISMEEGEKREEENGTNTADHVRNSSWAKNGSYQGALHNASEEATEQNIRAGTQAVLQVFIINSSNIFLLEPANEIKNLLDEHTDMCKRILNIYRYMVVQVSMDKKTWEQMLLVLLRVTESVLKMPSQAFLQFQGKKNMTLAGRLAGPLFQTLIVAWIKANLNVYISRELWDDLLSVLSSLTYWEELATEWSLTMETLTKVLARNLYSLDLSDLPLDKLSEQKQKKHKGKGVGHEFQKVSVDKSFSRGWSRDQPGQAPMRQRSATTTGSPGTEKARSIVRQKTVDIDDAQILPRSTRVRHFSQSEETGNEVFGALNEEQPLPRSSSTSDILEPFTVERAKVNKEDMSQKLPPLNSDIGGSSANVPDLMDEFIAERLRSGNASTMTRRGSSPGSLEIPKDLPDILNKQNQMRPIDDPGVPSEWTSPASAGSSDLISSDSHSDSFSAFQYDGRKFDNFGFGTDTGVTSSADVDSGSGHHQSAEEQEVASLTTLHIDSETSSLNQQAFSAEVATITGSESASPVHSPLGSRSQTPSPSTLNIDHMEQKDLQLDEKLHHSVLQTPDDLEISEFPSECCSVMAGGTLTGWHADVATVMWRRMLGILGDVNSIMDPEIHAQVFDYLCELWQNLAKIRDNLGISTDNLTSPSPPVLIPPLRILTPWLFKATMLTDKYKQGKLHAYKLICNTMKRRQDVSPNRDFLTHFYNIMHCGLLHIDQDIVNTIIKHCSPQFFSLGLPGATMLIMDFIVAAGRVASSAFLNAPRVEAQVLLGSLVCFPNLYCELPSLHPNIPDVAVSQFTDVKELIIKTVLSSARDEPSGPARCVALCSLGIWICEELVHESHHPQIKEALNVICVSLKFTNKTVAHVACNMLHMLVHYVPRLQIYQPDSPLKIIQILIATITHLLPSTEASSYEMDKRLVVSLLLCLLDWIMALPLKTLLQPFHATGAESDKTEKSVLNCIYKVLHGCVYGAQCFSNPRYFPMSLSDLASVDYDPFMHLESLKEPEPLHSPDSERSSKLQPVTEVKTQMQHGLISIAARTVITHLVNHLGHYPMSGGPAMLTSQVCENHDNHYSESTELSPELFESPNIQFFVLNNTTLVSCIQIRSEENMPGGGLSAGLASANSNVRIIVRDLSGKYSWDSAILYGPPPVSGLSEPTSFMLSLSHQEKPEEPPTSNECLEDITVKDGLSLQFKRFRETVPTWDTIRDEEDVLDELLQYLGVTSPECLQRTGISLNIPAPQPVCISEKQENDVINAILKQHTEEKEFVEKHFNDLNMKAVEQDEPIPQKPQSAFYYCRLLLSILGMNSWDKRRSFHLLKKNEKLLRELRNLDSRQCRETHKIAVFYVAEGQEDKHSILTNTGGSQAYEDFVAGLGWEVNLTNHCGFMGGLQKNKSTGLTTPYFATSTVEVIFHVSTRMPSDSDDSLTKKLRHLGNDEVHIVWSEHTRDYRRGIIPTEFGDVLIVIYPMKNHMFSIQIMKKPEVPFFGPLFDGAIVNGKVLPIMVRATAINASRALKSLIPLYQNFYEERARYLQTIVQHHLEPTTFEDFAAQVFSPAPYHHLPSDADH
+>DECOY_sp|Q6GYQ0|RGPA1_HUMAN Ral GTPase-activating protein subunit alpha-1 OS=Homo sapiens OX=9606 GN=RALGAPA1 PE=1 SV=1
+HDADSPLHHYPAPSFVQAAFDEFTTPELHHQVITQLYRAREEYFNQYLPILSKLARSANIATARVMIPLVKGNVIAGDFLPGFFPVEPKKMIQISFMHNKMPYIVILVDGFETPIIGRRYDRTHESWVIHVEDNGLHRLKKTLSDDSDSPMRTSVHFIVEVTSTAFYPTTLGTSKNKQLGGMFGCHNTLNVEWGLGAVFDEYAQSGGTNTLISHKDEQGEAVYFVAIKHTERCQRSDLNRLERLLKENKKLLHFSRRKDWSNMGLISLLLRCYYFASQPKQPIPEDQEVAKMNLDNFHKEVFEKEETHQKLIANIVDNEQKESICVPQPAPINLSIGTRQLCEPSTVGLYQLLEDLVDEEDRITDWTPVTERFRKFQLSLGDKVTIDELCENSTPPEEPKEQHSLSLMFSTPESLGSVPPPGYLIASDWSYKGSLDRVIIRVNSNASALGASLGGGPMNEESRIQICSVLTTNNLVFFQINPSEFLEPSLETSESYHNDHNECVQSTLMAPGGSMPYHGLHNVLHTIVTRAAISILGHQMQTKVETVPQLKSSRESDPSHLPEPEKLSELHMFPDYDVSALDSLSMPFYRPNSFCQAGYVCGHLVKYICNLVSKETKDSEAGTAHFPQLLTKLPLAMIWDLLCLLLSVVLRKDMEYSSAETSPLLHTITAILIQIIKLPSDPQYIQLRPVYHVLMHLMNCAVHAVTKNTFKLSVCIVNLAEKIQPHHSEHVLEECIWIGLSCLAVCRAPGSPEDRASSLVTKIILEKVDTFQSVAVDPINPHLSPLECYLNPFCVLSGLLVQAEVRPANLFASSAVRGAAVIFDMILMTAGPLGLSFFQPSCHKIITNVIDQDIHLLGCHMINYFHTLFDRNPSVDQRRKMTNCILKYAHLKGQKYKDTLMTAKFLWPTLIRLPPILVPPSPSTLNDTSIGLNDRIKALNQWLECLYDFVQAHIEPDMISNVDGLIGLMRRWMVTAVDAHWGTLTGGAMVSCCESPFESIELDDPTQLVSHHLKEDLQLDKQEMHDINLTSPSPTQSRSGLPSHVPSASESGTITAVEASFAQQNLSSTESDIHLTTLSAVEQEEASQHHGSGSDVDASSTVGTDTGFGFNDFKRGDYQFASFSDSHSDSSILDSSGASAPSTWESPVGPDDIPRMQNQKNLIDPLDKPIELSGPSSGRRTMTSANGSRLREAIFEDMLDPVNASSGGIDSNLPPLKQSMDEKNVKAREVTFPELIDSTSSSRPLPQEENLAGFVENGTEESQSFHRVRTSRPLIQADDIDVTKQRVISRAKETGPSGTTTASRQRMPAQGPQDRSWGRSFSKDVSVKQFEHGVGKGKHKKQKQESLKDLPLDSLDLSYLNRALVKTLTEMTLSWETALEEWYTLSSLVSLLDDWLERSIYVNLNAKIWAVILTQFLPGALRGALTMNKKGQFQLFAQSPMKLVSETVRLLVLLMQEWTKKDMSVQVVMYRYINLIRKCMDTHEDLLNKIENAPELLFINSSNIIFVQLVAQTGARINQETAEESANHLAGQYSGNKAWSSNRVHDATNTGNEEERKEGEEMSIDHDTVNEICPLDSSTIVIEEPEQMFLPKEEQQIWEQYVKVVKRMAAAECIPLLFAQRFIETVFNVNSRKSSFVRRVIEIDTLHEEDISCLSSSSPERETLTSTNSHSQEPETTRDTKDANDNKSEERSVLSAAARQINKPLVEGEMGPIHPGTHPKPELWFSVLWRIVIVRAKIFPEKTCYIAENTEADKKIVVYHPKPRMQPIDLIPHYLSNEKCINPFIYPLYYKKFHSFLFSFGREQNEKNKWELSKVQIVIYKLLAELFYSTLDEQGKDGSQPPVLPTIEEITVQTEQLNLPPNILNDLTRPGHESQPASFGPILCSFMWLQEKSCNNQLAQLWLLFLRVGERRIKLSNGTHLLKKLILGISHFQWRQHIREPLLQLIKEFIFLIADLEERQSKHGKQKLSAEITVFNEFFVYYIHSFHQDFFQKLDISEANEIVIRLHKLRTLADKKTDLVKQTSKKVDGHPKKSFM
+>sp|P49795|RGS19_HUMAN Regulator of G-protein signaling 19 OS=Homo sapiens OX=9606 GN=RGS19 PE=1 SV=1
+MPTPHEAEKQITGPEEADRPPSMSSHDTASPAAPSRNPCCLCWCCCCSCSWNQERRRAWQASRESKLQPLPSCEVCATPSPEEVQSWAQSFDKLMHSPAGRSVFRAFLRTEYSEENMLFWLACEELKAEANQHVVDEKARLIYEDYVSILSPKEVSLDSRVREGINKKMQEPSAHTFDDAQLQIYTLMHRDSYPRFLSSPTYRALLLQGPSQSSSEA
+>DECOY_sp|P49795|RGS19_HUMAN Regulator of G-protein signaling 19 OS=Homo sapiens OX=9606 GN=RGS19 PE=1 SV=1
+AESSSQSPGQLLLARYTPSSLFRPYSDRHMLTYIQLQADDFTHASPEQMKKNIGERVRSDLSVEKPSLISVYDEYILRAKEDVVHQNAEAKLEECALWFLMNEESYETRLFARFVSRGAPSHMLKDFSQAWSQVEEPSPTACVECSPLPQLKSERSAQWARRREQNWSCSCCCCWCLCCPNRSPAAPSATDHSSMSPPRDAEEPGTIQKEAEHPTPM
+>sp|O94844|RHBT1_HUMAN Rho-related BTB domain-containing protein 1 OS=Homo sapiens OX=9606 GN=RHOBTB1 PE=2 SV=2
+MDADMDYERPNVETIKCVVVGDNAVGKTRLICARACNTTLTQYQLLATHVPTVWAIDQYRVCQEVLERSRDVVDEVSVSLRLWDTFGDHHKDRRFAYGRSDVVVLCFSIANPNSLNHVKSMWYPEIKHFCPRTPVILVGCQLDLRYADLEAVNRARRPLARPIKRGDILPPEKGREVAKELGLPYYETSVFDQFGIKDVFDNAIRAALISRRHLQFWKSHLKKVQKPLLQAPFLPPKAPPPVIKIPECPSMGTNEAACLLDNPLCADVLFILQDQEHIFAHRIYLATSSSKFYDLFLMECEESPNGSEGACEKEKQSRDFQGRILSVDPEEEREEGPPRIPQADQWKSSNKSLVEALGLEAEGAVPETQTLTGWSKGFIGMHREMQVNPISKRMGPMTVVRMDASVQPGPFRTLLQFLYTGQLDEKEKDLVGLAQIAEVLEMFDLRMMVENIMNKEAFMNQEITKAFHVRKANRIKECLSKGTFSDVTFKLDDGAISAHKPLLICSCEWMAAMFGGSFVESANSEVYLPNINKISMQAVLDYLYTKQLSPNLDLDPLELIALANRFCLPHLVALAEQHAVQELTKAATSGVGIDGEVLSYLELAQFHNAHQLAAWCLHHICTNYNSVCSKFRKEIKSKSADNQEYFERHRWPPVWYLKEEDHYQRVKREREKEDIALNKHRSRRKWCFWNSSPAVA
+>DECOY_sp|O94844|RHBT1_HUMAN Rho-related BTB domain-containing protein 1 OS=Homo sapiens OX=9606 GN=RHOBTB1 PE=2 SV=2
+AVAPSSNWFCWKRRSRHKNLAIDEKERERKVRQYHDEEKLYWVPPWRHREFYEQNDASKSKIEKRFKSCVSNYNTCIHHLCWAALQHANHFQALELYSLVEGDIGVGSTAAKTLEQVAHQEALAVLHPLCFRNALAILELPDLDLNPSLQKTYLYDLVAQMSIKNINPLYVESNASEVFSGGFMAAMWECSCILLPKHASIAGDDLKFTVDSFTGKSLCEKIRNAKRVHFAKTIEQNMFAEKNMINEVMMRLDFMELVEAIQALGVLDKEKEDLQGTYLFQLLTRFPGPQVSADMRVVTMPGMRKSIPNVQMERHMGIFGKSWGTLTQTEPVAGEAELGLAEVLSKNSSKWQDAQPIRPPGEEREEEPDVSLIRGQFDRSQKEKECAGESGNPSEECEMLFLDYFKSSSTALYIRHAFIHEQDQLIFLVDACLPNDLLCAAENTGMSPCEPIKIVPPPAKPPLFPAQLLPKQVKKLHSKWFQLHRRSILAARIANDFVDKIGFQDFVSTEYYPLGLEKAVERGKEPPLIDGRKIPRALPRRARNVAELDAYRLDLQCGVLIVPTRPCFHKIEPYWMSKVHNLSNPNAISFCLVVVDSRGYAFRRDKHHDGFTDWLRLSVSVEDVVDRSRELVEQCVRYQDIAWVTPVHTALLQYQTLTTNCARACILRTKGVANDGVVVCKITEVNPREYDMDADM
+>sp|O94955|RHBT3_HUMAN Rho-related BTB domain-containing protein 3 OS=Homo sapiens OX=9606 GN=RHOBTB3 PE=1 SV=2
+MSIHIVALGNEGDTFHQDNRPSGLIRTYLGRSPLVSGDESSLLLNAASTVARPVFTEYQASAFGNVKLVVHDCPVWDIFDSDWYTSRNLIGGADIIVIKYNVNDKFSFHEVKDNYIPVIKRALNSVPVIIAAVGTRQNEELPCTCPLCTSDRGSCVSTTEGIQLAKELGATYLELHSLDDFYIGKYFGGVLEYFMIQALNQKTSEKMKKRKMSNSFHGIRPPQLEQPEKMPVLKAEASHYNSDLNNLLFCCQCVDVVFYNPNLKKVVEAHKIVLCAVSHVFMLLFNVKSPTDIQDSSIIRTTQDLFAINRDTAFPGASHESSGNPPLRVIVKDALFCSCLSDILRFIYSGAFQWEELEEDIRKKLKDSGDVSNVIEKVKCILKTPGKINCLRNCKTYQARKPLWFYNTSLKFFLNKPMLADVVFEIQGTTVPAHRAILVARCEVMAAMFNGNYMEAKSVLIPVYGVSKETFLSFLEYLYTDSCCPAGIFQAMCLLICAEMYQVSRLQHICELFIITQLQSMPSRELASMNLDIVDLLKKAKFHHSDCLSTWLLHFIATNYLIFSQKPEFQDLSVEERSFVEKHRWPSNMYLKQLAEYRKYIHSRKCRCLVM
+>DECOY_sp|O94955|RHBT3_HUMAN Rho-related BTB domain-containing protein 3 OS=Homo sapiens OX=9606 GN=RHOBTB3 PE=1 SV=2
+MVLCRCKRSHIYKRYEALQKLYMNSPWRHKEVFSREEVSLDQFEPKQSFILYNTAIFHLLWTSLCDSHHFKAKKLLDVIDLNMSALERSPMSQLQTIIFLECIHQLRSVQYMEACILLCMAQFIGAPCCSDTYLYELFSLFTEKSVGYVPILVSKAEMYNGNFMAAMVECRAVLIARHAPVTTGQIEFVVDALMPKNLFFKLSTNYFWLPKRAQYTKCNRLCNIKGPTKLICKVKEIVNSVDGSDKLKKRIDEELEEWQFAGSYIFRLIDSLCSCFLADKVIVRLPPNGSSEHSAGPFATDRNIAFLDQTTRIISSDQIDTPSKVNFLLMFVHSVACLVIKHAEVVKKLNPNYFVVDVCQCCFLLNNLDSNYHSAEAKLVPMKEPQELQPPRIGHFSNSMKRKKMKESTKQNLAQIMFYELVGGFYKGIYFDDLSHLELYTAGLEKALQIGETTSVCSGRDSTCLPCTCPLEENQRTGVAAIIVPVSNLARKIVPIYNDKVEHFSFKDNVNYKIVIIDAGGILNRSTYWDSDFIDWVPCDHVVLKVNGFASAQYETFVPRAVTSAANLLLSSEDGSVLPSRGLYTRILGSPRNDQHFTDGENGLAVIHISM
+>sp|Q9UBD6|RHCG_HUMAN Ammonium transporter Rh type C OS=Homo sapiens OX=9606 GN=RHCG PE=1 SV=1
+MAWNTNLRWRLPLTCLLLQVIMVILFGVFVRYDFEADAHWWSERTHKNLSDMENEFYYRYPSFQDVHVMVFVGFGFLMTFLQRYGFSAVGFNFLLAAFGIQWALLMQGWFHFLQDRYIVVGVENLINADFCVASVCVAFGAVLGKVSPIQLLIMTFFQVTLFAVNEFILLNLLKVKDAGGSMTIHTFGAYFGLTVTRILYRRNLEQSKERQNSVYQSDLFAMIGTLFLWMYWPSFNSAISYHGDSQHRAAINTYCSLAACVLTSVAISSALHKKGKLDMVHIQNATLAGGVAVGTAAEMMLMPYGALIIGFVCGIISTLGFVYLTPFLESRLHIQDTCGINNLHGIPGIIGGIVGAVTAASASLEVYGKEGLVHSFDFQGFNGDWTARTQGKFQIYGLLVTLAMALMGGIIVGLILRLPFWGQPSDENCFEDAVYWEMPEGNSTVYIPEDPTFKPSGPSVPSVPMVSPLPMASSVPLVP
+>DECOY_sp|Q9UBD6|RHCG_HUMAN Ammonium transporter Rh type C OS=Homo sapiens OX=9606 GN=RHCG PE=1 SV=1
+PVLPVSSAMPLPSVMPVSPVSPGSPKFTPDEPIYVTSNGEPMEWYVADEFCNEDSPQGWFPLRLILGVIIGGMLAMALTVLLGYIQFKGQTRATWDGNFGQFDFSHVLGEKGYVELSASAATVAGVIGGIIGPIGHLNNIGCTDQIHLRSELFPTLYVFGLTSIIGCVFGIILAGYPMLMMEAATGVAVGGALTANQIHVMDLKGKKHLASSIAVSTLVCAALSCYTNIAARHQSDGHYSIASNFSPWYMWLFLTGIMAFLDSQYVSNQREKSQELNRRYLIRTVTLGFYAGFTHITMSGGADKVKLLNLLIFENVAFLTVQFFTMILLQIPSVKGLVAGFAVCVSAVCFDANILNEVGVVIYRDQLFHFWGQMLLAWQIGFAALLFNFGVASFGYRQLFTMLFGFGVFVMVHVDQFSPYRYYFENEMDSLNKHTRESWWHADAEFDYRVFVGFLIVMIVQLLLCTLPLRWRLNTNWAM
+>sp|Q96D21|RHES_HUMAN GTP-binding protein Rhes OS=Homo sapiens OX=9606 GN=RASD2 PE=1 SV=1
+MMKTLSSGNCTLSVPAKNSYRMVVLGASRVGKSSIVSRFLNGRFEDQYTPTIEDFHRKVYNIRGDMYQLDILDTSGNHPFPAMRRLSILTGDVFILVFSLDNRESFDEVKRLQKQILEVKSCLKNKTKEAAELPMVICGNKNDHGELCRQVPTTEAELLVSGDENCAYFEVSAKKNTNVDEMFYVLFSMAKLPHEMSPALHRKISVQYGDAFHPRPFCMRRVKEMDAYGMVSPFARRPSVNSDLKYIKAKVLREGQARERDKCTIQ
+>DECOY_sp|Q96D21|RHES_HUMAN GTP-binding protein Rhes OS=Homo sapiens OX=9606 GN=RASD2 PE=1 SV=1
+QITCKDRERAQGERLVKAKIYKLDSNVSPRRAFPSVMGYADMEKVRRMCFPRPHFADGYQVSIKRHLAPSMEHPLKAMSFLVYFMEDVNTNKKASVEFYACNEDGSVLLEAETTPVQRCLEGHDNKNGCIVMPLEAAEKTKNKLCSKVELIQKQLRKVEDFSERNDLSFVLIFVDGTLISLRRMAPFPHNGSTDLIDLQYMDGRINYVKRHFDEITPTYQDEFRGNLFRSVISSKGVRSAGLVVMRYSNKAPVSLTCNGSSLTKMM
+>sp|P85298|RHG08_HUMAN Rho GTPase-activating protein 8 OS=Homo sapiens OX=9606 GN=ARHGAP8 PE=1 SV=1
+MAGQDPALSTSHPFYDVARHGILQVAGDDRFGRRVVTFSCCRMPPSHELDHQRLLEYLKYTLDQYVENDYTIVYFHYGLNSRNKPSLGWLQSAYKEFDRKDGDLTMWPRLVSNSKLKRSSHLSLPKYWDYRYKKNLKALYVVHPTSFIKVLWNILKPLISHKFGKKVIYFNYLSELHEHLKYDQLVIPPEVLRYDEKLQSLHEGRTPPPTKTPPPRPPLPTQQFGVSLQYLKDKNQGELIPPVLRFTVTYLREKGLRTEGLFRRSASVQTVREIQRLYNQGKPVNFDDYGDIHIPAVILKTFLRELPQPLLTFQAYEQILGITCVESSLRVTGCRQILRSLPEHNYVVLRYLMGFLHAVSRESIFNKMNSSNLACVFGLNLIWPSQGVSSLSALVPLNMFTELLIEYYEKIFSTPEAPGEHGLAPWEQGSRAAPLQEAVPRTQATGLTKPTLPPSPLMAARRRL
+>DECOY_sp|P85298|RHG08_HUMAN Rho GTPase-activating protein 8 OS=Homo sapiens OX=9606 GN=ARHGAP8 PE=1 SV=1
+LRRRAAMLPSPPLTPKTLGTAQTRPVAEQLPAARSGQEWPALGHEGPAEPTSFIKEYYEILLETFMNLPVLASLSSVGQSPWILNLGFVCALNSSNMKNFISERSVAHLFGMLYRLVVYNHEPLSRLIQRCGTVRLSSEVCTIGLIQEYAQFTLLPQPLERLFTKLIVAPIHIDGYDDFNVPKGQNYLRQIERVTQVSASRRFLGETRLGKERLYTVTFRLVPPILEGQNKDKLYQLSVGFQQTPLPPRPPPTKTPPPTRGEHLSQLKEDYRLVEPPIVLQDYKLHEHLESLYNFYIVKKGFKHSILPKLINWLVKIFSTPHVVYLAKLNKKYRYDWYKPLSLHSSRKLKSNSVLRPWMTLDGDKRDFEKYASQLWGLSPKNRSNLGYHFYVITYDNEVYQDLTYKLYELLRQHDLEHSPPMRCCSFTVVRRGFRDDGAVQLIGHRAVDYFPHSTSLAPDQGAM
+>sp|Q68EM7|RHG17_HUMAN Rho GTPase-activating protein 17 OS=Homo sapiens OX=9606 GN=ARHGAP17 PE=1 SV=1
+MKKQFNRMKQLANQTVGRAEKTEVLSEDLLQIERRLDTVRSICHHSHKRLVACFQGQHGTDAERRHKKLPLTALAQNMQEASTQLEDSLLGKMLETCGDAENQLALELSQHEVFVEKEIVDPLYGIAEVEIPNIQKQRKQLARLVLDWDSVRARWNQAHKSSGTNFQGLPSKIDTLKEEMDEAGNKVEQCKDQLAADMYNFMAKEGEYGKFFVTLLEAQADYHRKALAVLEKTLPEMRAHQDKWAEKPAFGTPLEEHLKRSGREIALPIEACVMLLLETGMKEEGLFRIGAGASKLKKLKAALDCSTSHLDEFYSDPHAVAGALKSYLRELPEPLMTFNLYEEWTQVASVQDQDKKLQDLWRTCQKLPPQNFVNFRYLIKFLAKLAQTSDVNKMTPSNIAIVLGPNLLWARNEGTLAEMAAATSVHVVAVIEPIIQHADWFFPEEVEFNVSEAFVPLTTPSSNHSFHTGNDSDSGTLERKRPASMAVMEGDLVKKESFGVKLMDFQAHRRGGTLNRKHISPAFQPPLPPTDGSTVVPAGPEPPPQSSRAESSSGGGTVPSSAGILEQGPSPGDGSPPKPKDPVSAAVPAPGRNNSQIASGQNQPQAAAGSHQLSMGQPHNAAGPSPHTLRRAVKKPAPAPPKPGNPPPGHPGGQSSSGTSQHPPSLSPKPPTRSPSPPTQHTGQPPGQPSAPSQLSAPRRYSSSLSPIQAPNHPPPQPPTQATPLMHTKPNSQGPPNPMALPSEHGLEQPSHTPPQTPTPPSTPPLGKQNPSLPAPQTLAGGNPETAQPHAGTLPRPRPVPKPRNRPSVPPPPQPPGVHSAGDSSLTNTAPTASKIVTDSNSRVSEPHRSIFPEMHSDSASKDVPGRILLDIDNDTESTAL
+>DECOY_sp|Q68EM7|RHG17_HUMAN Rho GTPase-activating protein 17 OS=Homo sapiens OX=9606 GN=ARHGAP17 PE=1 SV=1
+LATSETDNDIDLLIRGPVDKSASDSHMEPFISRHPESVRSNSDTVIKSATPATNTLSSDGASHVGPPQPPPPVSPRNRPKPVPRPRPLTGAHPQATEPNGGALTQPAPLSPNQKGLPPTSPPTPTQPPTHSPQELGHESPLAMPNPPGQSNPKTHMLPTAQTPPQPPPHNPAQIPSLSSSYRRPASLQSPASPQGPPQGTHQTPPSPSRTPPKPSLSPPHQSTGSSSQGGPHGPPPNGPKPPAPAPKKVARRLTHPSPGAANHPQGMSLQHSGAAAQPQNQGSAIQSNNRGPAPVAASVPDKPKPPSGDGPSPGQELIGASSPVTGGGSSSEARSSQPPPEPGAPVVTSGDTPPLPPQFAPSIHKRNLTGGRRHAQFDMLKVGFSEKKVLDGEMVAMSAPRKRELTGSDSDNGTHFSHNSSPTTLPVFAESVNFEVEEPFFWDAHQIIPEIVAVVHVSTAAAMEALTGENRAWLLNPGLVIAINSPTMKNVDSTQALKALFKILYRFNVFNQPPLKQCTRWLDQLKKDQDQVSAVQTWEEYLNFTMLPEPLERLYSKLAGAVAHPDSYFEDLHSTSCDLAAKLKKLKSAGAGIRFLGEEKMGTELLLMVCAEIPLAIERGSRKLHEELPTGFAPKEAWKDQHARMEPLTKELVALAKRHYDAQAELLTVFFKGYEGEKAMFNYMDAALQDKCQEVKNGAEDMEEKLTDIKSPLGQFNTGSSKHAQNWRARVSDWDLVLRALQKRQKQINPIEVEAIGYLPDVIEKEVFVEHQSLELALQNEADGCTELMKGLLSDELQTSAEQMNQALATLPLKKHRREADTGHQGQFCAVLRKHSHHCISRVTDLRREIQLLDESLVETKEARGVTQNALQKMRNFQKKM
+>sp|P42331|RHG25_HUMAN Rho GTPase-activating protein 25 OS=Homo sapiens OX=9606 GN=ARHGAP25 PE=1 SV=2
+MSLKLPRNWDFNLKVEAAKIARSRSVMTGEQMAAFHPSSTPNPLERPIKMGWLKKQRSIVKNWQQRYFVLRAQQLYYYKDEEDTKPQGCMYLPGCTIKEIATNPEEAGKFVFEIIPASWDQNRMGQDSYVLMASSQAEMEEWVKFLRRVAGTPCGVFGQRLDETVAYEQKFGPHLVPILVEKCAEFILEHGRNEEGIFRLPGQDNLVKQLRDAFDAGERPSFDRDTDVHTVASLLKLYLRDLPEPVVPWSQYEGFLLCGQLTNADEAKAQQELMKQLSILPRDNYSLLSYICRFLHEIQLNCAVNKMSVDNLATVIGVNLIRSKVEDPAVIMRGTPQIQRVMTMMIRDHEVLFPKSKDIPLSPPAQKNDPKKAPVARSSVGWDATEDLRISRTDSFSSMTSDSDTTSPTGQQPSDAFPEDSSKVPREKPGDWKMQSRKRTQTLPNRKCFLTSAFQGANSSKMEIFKNEFWSPSSEAKAGEGHRRTMSQDLRQLSDSQRTSTYDNVPSLPGSPGEEASALSSQACDSKGDTLASPNSETGPGKKNSGEEEIDSLQRMVQELRKEIETQKQMYEEQIKNLEKENYDVWAKVVRLNEELEKEKKKSAALEISLRNMERSREDVEKRNKALEEEVKEFVKSMKEPKTEA
+>DECOY_sp|P42331|RHG25_HUMAN Rho GTPase-activating protein 25 OS=Homo sapiens OX=9606 GN=ARHGAP25 PE=1 SV=2
+AETKPEKMSKVFEKVEEELAKNRKEVDERSREMNRLSIELAASKKKEKELEENLRVVKAWVDYNEKELNKIQEEYMQKQTEIEKRLEQVMRQLSDIEEEGSNKKGPGTESNPSALTDGKSDCAQSSLASAEEGPSGPLSPVNDYTSTRQSDSLQRLDQSMTRRHGEGAKAESSPSWFENKFIEMKSSNAGQFASTLFCKRNPLTQTRKRSQMKWDGPKERPVKSSDEPFADSPQQGTPSTTDSDSTMSSFSDTRSIRLDETADWGVSSRAVPAKKPDNKQAPPSLPIDKSKPFLVEHDRIMMTMVRQIQPTGRMIVAPDEVKSRILNVGIVTALNDVSMKNVACNLQIEHLFRCIYSLLSYNDRPLISLQKMLEQQAKAEDANTLQGCLLFGEYQSWPVVPEPLDRLYLKLLSAVTHVDTDRDFSPREGADFADRLQKVLNDQGPLRFIGEENRGHELIFEACKEVLIPVLHPGFKQEYAVTEDLRQGFVGCPTGAVRRLFKVWEEMEAQSSAMLVYSDQGMRNQDWSAPIIEFVFKGAEEPNTAIEKITCGPLYMCGQPKTDEEDKYYYLQQARLVFYRQQWNKVISRQKKLWGMKIPRELPNPTSSPHFAAMQEGTMVSRSRAIKAAEVKLNFDWNRPLKLSM
+>sp|Q9UNA1|RHG26_HUMAN Rho GTPase-activating protein 26 OS=Homo sapiens OX=9606 GN=ARHGAP26 PE=1 SV=1
+MGLPALEFSDCCLDSPHFRETLKSHEAELDKTNKFIKELIKDGKSLISALKNLSSAKRKFADSLNEFKFQCIGDAETDDEMCIARSLQEFATVLRNLEDERIRMIENASEVLITPLEKFRKEQIGAAKEAKKKYDKETEKYCGILEKHLNLSSKKKESQLQEADSQVDLVRQHFYEVSLEYVFKVQEVQERKMFEFVEPLLAFLQGLFTFYHHGYELAKDFGDFKTQLTISIQNTRNRFEGTRSEVESLMKKMKENPLEHKTISPYTMEGYLYVQEKRHFGTSWVKHYCTYQRDSKQITMVPFDQKSGGKGGEDESVILKSCTRRKTDSIEKRFCFDVEAVDRPGVITMQALSEEDRRLWMEAMDGREPVYNSNKDSQSEGTAQLDSIGFSIIRKCIHAVETRGINEQGLYRIVGVNSRVQKLLSVLMDPKTASETETDICAEWEIKTITSALKTYLRMLPGPLMMYQFQRSFIKAAKLENQESRVSEIHSLVHRLPEKNRQMLQLLMNHLANVANNHKQNLMTVANLGVVFGPTLLRPQEETVAAIMDIKFQNIVIEILIENHEKIFNTVPDMPLTNAQLHLSRKKSSDSKPPSCSERPLTLFHTVQSTEKQEQRNSIINSSLESVSSNPNSILNSSSSLQPNMNSSDPDLAVVKPTRPNSLPPNPSPTSPLSPSWPMFSAPSSPMPTSSTSSDSSPVRSVAGFVWFSVAAVVLSLARSSLHAVFSLLVNFVPCHPNLHLLFDRPEEAVHEDSSTPFRKAKALYACKAEHDSELSFTAGTVFDNVHPSQEPGWLEGTLNGKTGLIPENYVEFL
+>DECOY_sp|Q9UNA1|RHG26_HUMAN Rho GTPase-activating protein 26 OS=Homo sapiens OX=9606 GN=ARHGAP26 PE=1 SV=1
+LFEVYNEPILGTKGNLTGELWGPEQSPHVNDFVTGATFSLESDHEAKCAYLAKAKRFPTSSDEHVAEEPRDFLLHLNPHCPVFNVLLSFVAHLSSRALSLVVAAVSFWVFGAVSRVPSSDSSTSSTPMPSSPASFMPWSPSLPSTPSPNPPLSNPRTPKVVALDPDSSNMNPQLSSSSNLISNPNSSVSELSSNIISNRQEQKETSQVTHFLTLPRESCSPPKSDSSKKRSLHLQANTLPMDPVTNFIKEHNEILIEIVINQFKIDMIAAVTEEQPRLLTPGFVVGLNAVTMLNQKHNNAVNALHNMLLQLMQRNKEPLRHVLSHIESVRSEQNELKAAKIFSRQFQYMMLPGPLMRLYTKLASTITKIEWEACIDTETESATKPDMLVSLLKQVRSNVGVIRYLGQENIGRTEVAHICKRIISFGISDLQATGESQSDKNSNYVPERGDMAEMWLRRDEESLAQMTIVGPRDVAEVDFCFRKEISDTKRRTCSKLIVSEDEGGKGGSKQDFPVMTIQKSDRQYTCYHKVWSTGFHRKEQVYLYGEMTYPSITKHELPNEKMKKMLSEVESRTGEFRNRTNQISITLQTKFDGFDKALEYGHHYFTFLGQLFALLPEVFEFMKREQVEQVKFVYELSVEYFHQRVLDVQSDAEQLQSEKKKSSLNLHKELIGCYKETEKDYKKKAEKAAGIQEKRFKELPTILVESANEIMRIREDELNRLVTAFEQLSRAICMEDDTEADGICQFKFENLSDAFKRKASSLNKLASILSKGDKILEKIFKNTKDLEAEHSKLTERFHPSDLCCDSFELAPLGM
+>sp|Q7Z6I6|RHG30_HUMAN Rho GTPase-activating protein 30 OS=Homo sapiens OX=9606 GN=ARHGAP30 PE=1 SV=3
+MKSRQKGKKKGSAKERVFGCDLQEHLQHSGQEVPQVLKSCAEFVEEYGVVDGIYRLSGVSSNIQKLRQEFESERKPDLRRDVYLQDIHCVSSLCKAYFRELPDPLLTYRLYDKFAEAVGVQLEPERLVKILEVLRELPVPNYRTLEFLMRHLVHMASFSAQTNMHARNLAIVWAPNLLRSKDIEASGFNGTAAFMEVRVQSIVVEFILTHVDQLFGGAALSGGEVESGWRSLPGTRASGSPEDLMPRPLPYHLPSILQAGDGPPQMRPYHTIIEIAEHKRKGSLKVRKWRSIFNLGRSGHETKRKLPRGAEDREDKSNKGTLRPAKSMDSLSAAAGASDEPEGLVGPSSPRPSPLLPESLENDSIEAAEGEQEPEAEALGGTNSEPGTPRAGRSAIRAGGSSRAERCAGVHISDPYNVNLPLHITSILSVPPNIISNVSLARLTRGLECPALQHRPSPASGPGPGPGLGPGPPDEKLEASPASSPLADSGPDDLAPALEDSLSQEVQDSFSFLEDSSSSEPEWVGAEDGEVAQAEAAGAAFSPGEDDPGMGYLEELLGVGPQVEEFSVEPPLDDLSLDEAQFVLAPSCCSLDSAGPRPEVEEENGEEVFLSAYDDLSPLLGPKPPIWKGSGSLEGEAAGCGRQALGQGGEEQACWEVGEDKQAEPGGRLDIREEAEGSPETKVEAGKASEDRGEAGGSQETKVRLREGSREETEAKEEKSKGQKKADSMEAKGVEEPGGDEYTDEKEKEIEREEDEQREEAQVEAGRDLEQGAQEDQVAEEKWEVVQKQEAEGVREDEDKGQREKGYHEARKDQGDGEDSRSPEAATEGGAGEVSKERESGDGEAEGDQRAGGYYLEEDTLSEGSGVASLEVDCAKEGNPHSSEMEEVAPQPPQPEEMEPEGQPSPDGCLCPCSLGLGGVGMRLASTLVQVQQVRSVPVVPPKPQFAKMPSAMCSKIHVAPANPCPRPGRLDGTPGERAWGSRASRSSWRNGGSLSFDAAVALARDRQRTEAQGVRRTQTCTEGGDYCLIPRTSPCSMISAHSPRPLSCLELPSEGAEGSGSRSRLSLPPREPQVPDPLLSSQRRSYAFETQANPGKGEGL
+>DECOY_sp|Q7Z6I6|RHG30_HUMAN Rho GTPase-activating protein 30 OS=Homo sapiens OX=9606 GN=ARHGAP30 PE=1 SV=3
+LGEGKGPNAQTEFAYSRRQSSLLPDPVQPERPPLSLRSRSGSGEAGESPLELCSLPRPSHASIMSCPSTRPILCYDGGETCTQTRRVGQAETRQRDRALAVAADFSLSGGNRWSSRSARSGWAREGPTGDLRGPRPCPNAPAVHIKSCMASPMKAFQPKPPVVPVSRVQQVQVLTSALRMGVGGLGLSCPCLCGDPSPQGEPEMEEPQPPQPAVEEMESSHPNGEKACDVELSAVGSGESLTDEELYYGGARQDGEAEGDGSEREKSVEGAGGETAAEPSRSDEGDGQDKRAEHYGKERQGKDEDERVGEAEQKQVVEWKEEAVQDEQAGQELDRGAEVQAEERQEDEEREIEKEKEDTYEDGGPEEVGKAEMSDAKKQGKSKEEKAETEERSGERLRVKTEQSGGAEGRDESAKGAEVKTEPSGEAEERIDLRGGPEAQKDEGVEWCAQEEGGQGLAQRGCGAAEGELSGSGKWIPPKPGLLPSLDDYASLFVEEGNEEEVEPRPGASDLSCCSPALVFQAEDLSLDDLPPEVSFEEVQPGVGLLEELYGMGPDDEGPSFAAGAAEAQAVEGDEAGVWEPESSSSDELFSFSDQVEQSLSDELAPALDDPGSDALPSSAPSAELKEDPPGPGLGPGPGPGSAPSPRHQLAPCELGRTLRALSVNSIINPPVSLISTIHLPLNVNYPDSIHVGACREARSSGGARIASRGARPTGPESNTGGLAEAEPEQEGEAAEISDNELSEPLLPSPRPSSPGVLGEPEDSAGAAASLSDMSKAPRLTGKNSKDERDEAGRPLKRKTEHGSRGLNFISRWKRVKLSGKRKHEAIEIITHYPRMQPPGDGAQLISPLHYPLPRPMLDEPSGSARTGPLSRWGSEVEGGSLAAGGFLQDVHTLIFEVVISQVRVEMFAATGNFGSAEIDKSRLLNPAWVIALNRAHMNTQASFSAMHVLHRMLFELTRYNPVPLERLVELIKVLREPELQVGVAEAFKDYLRYTLLPDPLERFYAKCLSSVCHIDQLYVDRRLDPKRESEFEQRLKQINSSVGSLRYIGDVVGYEEVFEACSKLVQPVEQGSHQLHEQLDCGFVREKASGKKKGKQRSKM
+>sp|A7KAX9|RHG32_HUMAN Rho GTPase-activating protein 32 OS=Homo sapiens OX=9606 GN=ARHGAP32 PE=1 SV=1
+METESESSTLGDDSVFWLESEVIIQVTDCEEEEREEKFRKMKSSVHSEEDDFVPELHRNVHPRERPDWEETLSAMARGADVPEIPGDLTLKTCGSTASMKVKHVKKLPFTKGHFPKMAECAHFHYENVEFGSIQLSLSEEQNEVMKNGCESKELVYLVQIACQGKSWIVKRSYEDFRVLDKHLHLCIYDRRFSQLSELPRSDTLKDSPESVTQMLMAYLSRLSAIAGNKINCGPALTWMEIDNKGNHLLVHEESSINTPAVGAAHVIKRYTARAPDELTLEVGDIVSVIDMPPKVLSTWWRGKHGFQVGLFPGHCVELINQKVPQSVTNSVPKPVSKKHGKLITFLRTFMKSRPTKQKLKQRGILKERVFGCDLGEHLLNSGFEVPQVLQSCTAFIERYGIVDGIYRLSGVASNIQRLRHEFDSEHVPDLTKEPYVQDIHSVGSLCKLYFRELPNPLLTYQLYEKFSDAVSAATDEERLIKIHDVIQQLPPPHYRTLEFLMRHLSLLADYCSITNMHAKNLAIVWAPNLLRSKQIESACFSGTAAFMEVRIQSVVVEFILNHVDVLFSGRISMAMQEGAASLSRPKSLLVSSPSTKLLTLEEAQARTQAQVNSPIVTENKYIEVGEGPAALQGKFHTIIEFPLERKRPQNKMKKSPVGSWRSFFNLGKSSSVSKRKLQRNESEPSEMKAMALKGGRAEGTLRSAKSEESLTSLHAVDGDSKLFRPRRPRSSSDALSASFNGEMLGNRCNSYDNLPHDNESEEEGGLLHIPALMSPHSAEDVDLSPPDIGVASLDFDPMSFQCSPPKAESECLESGASFLDSPGYSKDKPSANKKDAETGSSQCQTPGSTASSEPVSPLQEKLSPFFTLDLSPTEDKSSKPSSFTEKVVYAFSPKIGRKLSKSPSMSISEPISVTLPPRVSEVIGTVSNTTAQNASSSTWDKCVEERDATNRSPTQIVKMKTNETVAQEAYESEVQPLDQVAAEEVELPGKEDQSVSSSQSKAVASGQTQTGAVTHDPPQDSVPVSSVSLIPPPPPPKNVARMLALALAESAQQASTQSLKRPGTSQAGYTNYGDIAVATTEDNLSSSYSAVALDKAYFQTDRPAEQFHLQNNAPGNCDHPLPETTATGDPTHSNTTESGEQHHQVDLTGNQPHQAYLSGDPEKARITSVPLDSEKSDDHVSFPEDQSGKNSMPTVSFLDQDQSPPRFYSGDQPPSYLGASVDKLHHPLEFADKSPTPPNLPSDKIYPPSGSPEENTSTATMTYMTTTPATAQMSTKEASWDVAEQPTTADFAAATLQRTHRTNRPLPPPPSQRSAEQPPVVGQVQAATNIGLNNSHKVQGVVPVPERPPEPRAMDDPASAFISDSGAAAAQCPMATAVQPGLPEKVRDGARVPLLHLRAESVPAHPCGFPAPLPPTRMMESKMIAAIHSSSADATSSSNYHSFVTASSTSVDDALPLPLPVPQPKHASQKTVYSSFARPDVTTEPFGPDNCLHFNMTPNCQYRPQSVPPHHNKLEQHQVYGARSEPPASMGLRYNTYVAPGRNASGHHSKPCSRVEYVSSLSSSVRNTCYPEDIPPYPTIRRVQSLHAPPSSMIRSVPISRTEVPPDDEPAYCPRPLYQYKPYQSSQARSDYHVTQLQPYFENGRVHYRYSPYSSSSSSYYSPDGALCDVDAYGTVQLRPLHRLPNRDFAFYNPRLQGKSLYSYAGLAPRPRANVTGYFSPNDHNVVSMPPAADVKHTYTSWDLEDMEKYRMQSIRRESRARQKVKGPVMSQYDNMTPAVQDDLGGIYVIHLRSKSDPGKTGLLSVAEGKESRHAAKAISPEGEDRFYRRHPEAEMDRAHHHGGHGSTQPEKPSLPQKQSSLRSRKLPDMGCSLPEHRAHQEASHRQFCESKNGPPYPQGAGQLDYGSKGIPDTSEPVSYHNSGVKYAASGQESLRLNHKEVRLSKEMERPWVRQPSAPEKHSRDCYKEEEHLTQSIVPPPKPERSHSLKLHHTQNVERDPSVLYQYQPHGKRQSSVTVVSQYDNLEDYHSLPQHQRGVFGGGGMGTYVPPGFPHPQSRTYATALGQGAFLPAELSLQHPETQIHAE
+>DECOY_sp|A7KAX9|RHG32_HUMAN Rho GTPase-activating protein 32 OS=Homo sapiens OX=9606 GN=ARHGAP32 PE=1 SV=1
+EAHIQTEPHQLSLEAPLFAGQGLATAYTRSQPHPFGPPVYTGMGGGGFVGRQHQPLSHYDELNDYQSVVTVSSQRKGHPQYQYLVSPDREVNQTHHLKLSHSREPKPPPVISQTLHEEEKYCDRSHKEPASPQRVWPREMEKSLRVEKHNLRLSEQGSAAYKVGSNHYSVPESTDPIGKSGYDLQGAGQPYPPGNKSECFQRHSAEQHARHEPLSCGMDPLKRSRLSSQKQPLSPKEPQTSGHGGHHHARDMEAEPHRRYFRDEGEPSIAKAAHRSEKGEAVSLLGTKGPDSKSRLHIVYIGGLDDQVAPTMNDYQSMVPGKVKQRARSERRISQMRYKEMDELDWSTYTHKVDAAPPMSVVNHDNPSFYGTVNARPRPALGAYSYLSKGQLRPNYFAFDRNPLRHLPRLQVTGYADVDCLAGDPSYYSSSSSSYPSYRYHVRGNEFYPQLQTVHYDSRAQSSQYPKYQYLPRPCYAPEDDPPVETRSIPVSRIMSSPPAHLSQVRRITPYPPIDEPYCTNRVSSSLSSVYEVRSCPKSHHGSANRGPAVYTNYRLGMSAPPESRAGYVQHQELKNHHPPVSQPRYQCNPTMNFHLCNDPGFPETTVDPRAFSSYVTKQSAHKPQPVPLPLPLADDVSTSSATVFSHYNSSSTADASSSHIAAIMKSEMMRTPPLPAPFGCPHAPVSEARLHLLPVRAGDRVKEPLGPQVATAMPCQAAAAGSDSIFASAPDDMARPEPPREPVPVVGQVKHSNNLGINTAAQVQGVVPPQEASRQSPPPPLPRNTRHTRQLTAAAFDATTPQEAVDWSAEKTSMQATAPTTTMYTMTATSTNEEPSGSPPYIKDSPLNPPTPSKDAFELPHHLKDVSAGLYSPPQDGSYFRPPSQDQDLFSVTPMSNKGSQDEPFSVHDDSKESDLPVSTIRAKEPDGSLYAQHPQNGTLDVQHHQEGSETTNSHTPDGTATTEPLPHDCNGPANNQLHFQEAPRDTQFYAKDLAVASYSSSLNDETTAVAIDGYNTYGAQSTGPRKLSQTSAQQASEALALALMRAVNKPPPPPPILSVSSVPVSDQPPDHTVAGTQTQGSAVAKSQSSSVSQDEKGPLEVEEAAVQDLPQVESEYAEQAVTENTKMKVIQTPSRNTADREEVCKDWTSSSANQATTNSVTGIVESVRPPLTVSIPESISMSPSKSLKRGIKPSFAYVVKETFSSPKSSKDETPSLDLTFFPSLKEQLPSVPESSATSGPTQCQSSGTEADKKNASPKDKSYGPSDLFSAGSELCESEAKPPSCQFSMPDFDLSAVGIDPPSLDVDEASHPSMLAPIHLLGGEEESENDHPLNDYSNCRNGLMEGNFSASLADSSSRPRRPRFLKSDGDVAHLSTLSEESKASRLTGEARGGKLAMAKMESPESENRQLKRKSVSSSKGLNFFSRWSGVPSKKMKNQPRKRELPFEIITHFKGQLAAPGEGVEIYKNETVIPSNVQAQTRAQAEELTLLKTSPSSVLLSKPRSLSAAGEQMAMSIRGSFLVDVHNLIFEVVVSQIRVEMFAATGSFCASEIQKSRLLNPAWVIALNKAHMNTISCYDALLSLHRMLFELTRYHPPPLQQIVDHIKILREEDTAASVADSFKEYLQYTLLPNPLERFYLKCLSGVSHIDQVYPEKTLDPVHESDFEHRLRQINSAVGSLRYIGDVIGYREIFATCSQLVQPVEFGSNLLHEGLDCGFVREKLIGRQKLKQKTPRSKMFTRLFTILKGHKKSVPKPVSNTVSQPVKQNILEVCHGPFLGVQFGHKGRWWTSLVKPPMDIVSVIDGVELTLEDPARATYRKIVHAAGVAPTNISSEEHVLLHNGKNDIEMWTLAPGCNIKNGAIASLRSLYAMLMQTVSEPSDKLTDSRPLESLQSFRRDYICLHLHKDLVRFDEYSRKVIWSKGQCAIQVLYVLEKSECGNKMVENQEESLSLQISGFEVNEYHFHACEAMKPFHGKTFPLKKVHKVKMSATSGCTKLTLDGPIEPVDAGRAMASLTEEWDPRERPHVNRHLEPVFDDEESHVSSKMKRFKEEREEEECDTVQIIVESELWFVSDDGLTSSESETEM
+>sp|Q9C0H5|RHG39_HUMAN Rho GTPase-activating protein 39 OS=Homo sapiens OX=9606 GN=ARHGAP39 PE=1 SV=2
+MSQTQDYECRSHNVDLPESRIPGSNTRLEWVEIIEPRTRERMYANLVTGECVWDPPAGVRIKRTSENQWWELFDPNTSRFYYYNASTQRTVWHRPQGCDIIPLAKLQTLKQNTESPRASAESSPGRGSSVSREGSTSSSLEPEPDTEKAQELPARAGRPAAFGTVKEDSGSSSPPGVFLEKDYEIYRDYSADGQLLHYRTSSLRWNSGAKERMLIKVADREPSFLAAQGNGYAPDGPPGVRSRRPSGSQHSPSLQTFAPEADGTIFFPERRPSPFLKRAELPGSSSPLLAQPRKPSGDSQPSSPRYGYEPPLYEEPPVEYQAPIYDEPPMDVQFEAGGGYQAGSPQRSPGRKPRPFLQPNKQGPPSPCQQLVLTKQKCPERFLSLEYSPAGKEYVRQLVYVEQAGSSPKLRAGPRHKYAPNPGGGSYSLQPSPCLLRDQRLGVKSGDYSTMEGPELRHSQPPTPLPQAQEDAMSWSSQQDTLSSTGYSPGTRKRKSRKPSLCQATSATPTEGPGDLLVEQPLAEEQPPCGTSLAPVKRAEGEAEGARGAAEPFLAQARLAWEAQQAHFHMKQRSSWDSQQDGSGYESDGALPLPMPGPVVRAFSEDEALAQQENRHWRRGTFEKLGFPQILLEKSVSVQTNLASPEPYLHPSQSEDLAACAQFESSRQSRSGVPSSSCVFPTFTLRKPSSETDIENWASKHFNKHTQGLFRRKVSIANMLAWSSESIKKPMIVTSDRHVKKEACELFKLIQMYMGDRRAKADPLHVALEVATKGWSVQGLRDELYIQLCRQTTENFRLESLARGWELMAICLAFFPPTPKFHSYLEGYIYRHMDPVNDTKGVAISTYAKYCYHKLQKAALTGAKKGLKKPNVEEIRHAKNAVFSPSMFGSALQEVMGMQRERYPERQLPWVQTRLSEEVLALNGDQTEGIFRVPGDIDEVNALKLQVDQWKVPTGLEDPHVPASLLKLWYRELEEPLIPHEFYEQCIAHYDSPEAAVAVVHALPRINRMVLCYLIRFLQVFVQPANVAVTKMDVSNLAMVMAPNCLRCQSDDPRVIFENTRKEMSFLRVLIQHLDTSFMEGVL
+>DECOY_sp|Q9C0H5|RHG39_HUMAN Rho GTPase-activating protein 39 OS=Homo sapiens OX=9606 GN=ARHGAP39 PE=1 SV=2
+LVGEMFSTDLHQILVRLFSMEKRTNEFIVRPDDSQCRLCNPAMVMALNSVDMKTVAVNAPQVFVQLFRILYCLVMRNIRPLAHVVAVAAEPSDYHAICQEYFEHPILPEELERYWLKLLSAPVHPDELGTPVKWQDVQLKLANVEDIDGPVRFIGETQDGNLALVEESLRTQVWPLQREPYRERQMGMVEQLASGFMSPSFVANKAHRIEEVNPKKLGKKAGTLAAKQLKHYCYKAYTSIAVGKTDNVPDMHRYIYGELYSHFKPTPPFFALCIAMLEWGRALSELRFNETTQRCLQIYLEDRLGQVSWGKTAVELAVHLPDAKARRDGMYMQILKFLECAEKKVHRDSTVIMPKKISESSWALMNAISVKRRFLGQTHKNFHKSAWNEIDTESSPKRLTFTPFVCSSSPVGSRSQRSSEFQACAALDESQSPHLYPEPSALNTQVSVSKELLIQPFGLKEFTGRRWHRNEQQALAEDESFARVVPGPMPLPLAGDSEYGSGDQQSDWSSRQKMHFHAQQAEWALRAQALFPEAAGRAGEAEGEARKVPALSTGCPPQEEALPQEVLLDGPGETPTASTAQCLSPKRSKRKRTGPSYGTSSLTDQQSSWSMADEQAQPLPTPPQSHRLEPGEMTSYDGSKVGLRQDRLLCPSPQLSYSGGGPNPAYKHRPGARLKPSSGAQEVYVLQRVYEKGAPSYELSLFREPCKQKTLVLQQCPSPPGQKNPQLFPRPKRGPSRQPSGAQYGGGAEFQVDMPPEDYIPAQYEVPPEEYLPPEYGYRPSSPQSDGSPKRPQALLPSSSGPLEARKLFPSPRREPFFITGDAEPAFTQLSPSHQSGSPRRSRVGPPGDPAYGNGQAALFSPERDAVKILMREKAGSNWRLSSTRYHLLQGDASYDRYIEYDKELFVGPPSSSGSDEKVTGFAAPRGARAPLEQAKETDPEPELSSSTSGERSVSSGRGPSSEASARPSETNQKLTQLKALPIIDCGQPRHWVTRQTSANYYYFRSTNPDFLEWWQNESTRKIRVGAPPDWVCEGTVLNAYMRERTRPEIIEVWELRTNSGPIRSEPLDVNHSRCEYDQTQSM
+>sp|P62745|RHOB_HUMAN Rho-related GTP-binding protein RhoB OS=Homo sapiens OX=9606 GN=RHOB PE=1 SV=1
+MAAIRKKLVVVGDGACGKTCLLIVFSKDEFPEVYVPTVFENYVADIEVDGKQVELALWDTAGQEDYDRLRPLSYPDTDVILMCFSVDSPDSLENIPEKWVPEVKHFCPNVPIILVANKKDLRSDEHVRTELARMKQEPVRTDDGRAMAVRIQAYDYLECSAKTKEGVREVFETATRAALQKRYGSQNGCINCCKVL
+>DECOY_sp|P62745|RHOB_HUMAN Rho-related GTP-binding protein RhoB OS=Homo sapiens OX=9606 GN=RHOB PE=1 SV=1
+LVKCCNICGNQSGYRKQLAARTATEFVERVGEKTKASCELYDYAQIRVAMARGDDTRVPEQKMRALETRVHEDSRLDKKNAVLIIPVNPCFHKVEPVWKEPINELSDPSDVSFCMLIVDTDPYSLPRLRDYDEQGATDWLALEVQKGDVEIDAVYNEFVTPVYVEPFEDKSFVILLCTKGCAGDGVVVLKKRIAAM
+>sp|Q8NHV9|RHXF1_HUMAN Rhox homeobox family member 1 OS=Homo sapiens OX=9606 GN=RHOXF1 PE=1 SV=1
+MARSLVHDTVFYCLSVYQVKISPTPQLGAASSAEGHVGQGAPGLMGNMNPEGGVNHENGMNRDGGMIPEGGGGNQEPRQQPQPPPEEPAQAAMEGPQPENMQPRTRRTKFTLLQVEELESVFRHTQYPDVPTRRELAENLGVTEDKVRVWFKNKRARCRRHQRELMLANELRADPDDCVYIVVD
+>DECOY_sp|Q8NHV9|RHXF1_HUMAN Rhox homeobox family member 1 OS=Homo sapiens OX=9606 GN=RHOXF1 PE=1 SV=1
+DVVIYVCDDPDARLENALMLERQHRRCRARKNKFWVRVKDETVGLNEALERRTPVDPYQTHRFVSELEEVQLLTFKTRRTRPQMNEPQPGEMAAQAPEEPPPQPQQRPEQNGGGGEPIMGGDRNMGNEHNVGGEPNMNGMLGPAGQGVHGEASSAAGLQPTPSIKVQYVSLCYFVTDHVLSRAM
+>sp|A6NNM3|RIM3B_HUMAN RIMS-binding protein 3B OS=Homo sapiens OX=9606 GN=RIMBP3B PE=3 SV=3
+MAKDSPSPLGASPKKPGCSSPAAAVLENQRRELEKLRAELEAERAGWRAERRRFAARERQLREEAERERRQLADRLRSKWEAQRSRELRQLQEEMQREREAEIRQLLRWKEAEQRQLQQLLHRERDGVVRQARELQRQLAEELVNRGHCSRPGASEVSAAQCRCRLQEVLAQLRWQTDGEQAARIRYLQAALEVERQLFLKYILAHFRGHPALSGSPDPQAVHSLEEPLPQTSSGSCHAPKPACQLGSLDSLSAEVGVRSRSLGLVSSACSSSPDGLLSTHASSLDCFAPACSRSLDSTRSLPKASKSEERPSSPDTSTPGSRRLSPPPSPLPPPPPPSAHRKLSNPRGGEGSESQPCEVLTPSPPGLGHHELIKLNWLLAKALWVLARRCYTLQEENKQLRRAGCPYQADEKVKRLKVKRAELTGLARRLADRARELQETNLRAVSAPIPGESCAGLELCQVFARQRARDLSEQASAPLAKDKQIEELRQECHLLQARVASGPCSDLHTGRGGPCTQWLNVRDLDRLQRESQREVLRLQRQLMLQQGNGGAWPEAGGQSATCEEVRRQMLALERELDQRRRECQELGTQAAPARRRGEEAETQLQAALLKNAWLAEENGRLQAKTDWVRKVEAENSEVRGHLGRACQERDASGLIAEQLLQQAARGQDRQQQLQRDPQKALCDLHPSWKEIQALQCRPGHPPEQPWETSQMPESQVKGSRRPKFHARPEDYAVSQPNRDIQEKREASLEESPVALGESASVPQVSETVPASQPLSKKTSSQSNSSSEGSMWATVPSSPTLDRDTASEVDDLEPDSVSLALEMGGSAAPAAPKLKIFMAQYNYNPFEGPNDHPEGELPLTAGDYIYIFGDMDEDGFYEGELDDGRRGLVPSNFVEQIPDSYIPGCLPAKSPDLGPSQLPAGQDEALEEDSLLSGKAQGMVDRGLCQMVRVGSKTEVATEILDTKTEACQLGLLQSMGKQGLSRPLLGTKGVLRMAPMQLHLQNVTATSANITWVYSSHRHPHVVYLDDREHALTPAGVSCYTFQGLCPGTHYRVRVEVRLPWDLLQVYWGTMSSTVTFDTLLAGPPYPPLEVLVERHASPGVLVVSWLPVTIDSAGSSNGVQVTGYAVYADGLKVCEVADATAGSTVLEFSQLQVPLTWQKVSVRTMSLCGESLDSVPAQIPEDFFMCHRWPETPPFSYTCGDPSTYRVTFPVCPQKLSLAPPSAKASPHNPGSCGEPQAKFLEAFFEEPPRRQSPVSNLGSEGECPSSGAGSQAQELAEAWEGCRKDLLFQKSPQNHRPPSVSDQPGEKENCYQHMGTSKSPAPGFIHLRTECGPRKEPCQEKAALERVLRQKQDAQGFTPPQLGASQQYASDFHNVLKEEQEALCLDLRGTERREERREPEPHSRQGQALGVKRGCQLHEPSSALCPAPSAKVIKMPRGGPQQLGTGANTPARVFVALSDYNPLVMSANLKAAEEELVFQKRQLLRVWGSQDTHDFYLSECNRQVGNIPGRLVAEMEVGTEQTDRRWRSPAQGHLPSVAHLEDFQGLTIPQGSSLVLQGNSKRLPLWTPKIMIAALDYDPGDGQMGGQGKGRLALRAGDVVMVYGPMDDQGFYYGELGGHRGLVPAHLLDHMSLHGH
+>DECOY_sp|A6NNM3|RIM3B_HUMAN RIMS-binding protein 3B OS=Homo sapiens OX=9606 GN=RIMBP3B PE=3 SV=3
+HGHLSMHDLLHAPVLGRHGGLEGYYFGQDDMPGYVMVVDGARLALRGKGQGGMQGDGPDYDLAAIMIKPTWLPLRKSNGQLVLSSGQPITLGQFDELHAVSPLHGQAPSRWRRDTQETGVEMEAVLRGPINGVQRNCESLYFDHTDQSGWVRLLQRKQFVLEEEAAKLNASMVLPNYDSLAVFVRAPTNAGTGLQQPGGRPMKIVKASPAPCLASSPEHLQCGRKVGLAQGQRSHPEPERREERRETGRLDLCLAEQEEKLVNHFDSAYQQSAGLQPPTFGQADQKQRLVRELAAKEQCPEKRPGCETRLHIFGPAPSKSTGMHQYCNEKEGPQDSVSPPRHNQPSKQFLLDKRCGEWAEALEQAQSGAGSSPCEGESGLNSVPSQRRPPEEFFAELFKAQPEGCSGPNHPSAKASPPALSLKQPCVPFTVRYTSPDGCTYSFPPTEPWRHCMFFDEPIQAPVSDLSEGCLSMTRVSVKQWTLPVQLQSFELVTSGATADAVECVKLGDAYVAYGTVQVGNSSGASDITVPLWSVVLVGPSAHREVLVELPPYPPGALLTDFTVTSSMTGWYVQLLDWPLRVEVRVRYHTGPCLGQFTYCSVGAPTLAHERDDLYVVHPHRHSSYVWTINASTATVNQLHLQMPAMRLVGKTGLLPRSLGQKGMSQLLGLQCAETKTDLIETAVETKSGVRVMQCLGRDVMGQAKGSLLSDEELAEDQGAPLQSPGLDPSKAPLCGPIYSDPIQEVFNSPVLGRRGDDLEGEYFGDEDMDGFIYIYDGATLPLEGEPHDNPGEFPNYNYQAMFIKLKPAAPAASGGMELALSVSDPELDDVESATDRDLTPSSPVTAWMSGESSSNSQSSTKKSLPQSAPVTESVQPVSASEGLAVPSEELSAERKEQIDRNPQSVAYDEPRAHFKPRRSGKVQSEPMQSTEWPQEPPHGPRCQLAQIEKWSPHLDCLAKQPDRQLQQQRDQGRAAQQLLQEAILGSADREQCARGLHGRVESNEAEVKRVWDTKAQLRGNEEALWANKLLAAQLQTEAEEGRRRAPAAQTGLEQCERRRQDLERELALMQRRVEECTASQGGAEPWAGGNGQQLMLQRQLRLVERQSERQLRDLDRVNLWQTCPGGRGTHLDSCPGSAVRAQLLHCEQRLEEIQKDKALPASAQESLDRARQRAFVQCLELGACSEGPIPASVARLNTEQLERARDALRRALGTLEARKVKLRKVKEDAQYPCGARRLQKNEEQLTYCRRALVWLAKALLWNLKILEHHGLGPPSPTLVECPQSESGEGGRPNSLKRHASPPPPPPLPSPPPSLRRSGPTSTDPSSPREESKSAKPLSRTSDLSRSCAPAFCDLSSAHTSLLGDPSSSCASSVLGLSRSRVGVEASLSDLSGLQCAPKPAHCSGSSTQPLPEELSHVAQPDPSGSLAPHGRFHALIYKLFLQREVELAAQLYRIRAAQEGDTQWRLQALVEQLRCRCQAASVESAGPRSCHGRNVLEEALQRQLERAQRVVGDRERHLLQQLQRQEAEKWRLLQRIEAERERQMEEQLQRLERSRQAEWKSRLRDALQRREREAEERLQRERAAFRRREARWGAREAELEARLKELERRQNELVAAAPSSCGPKKPSAGLPSPSDKAM
+>sp|Q86UR5|RIMS1_HUMAN Regulating synaptic membrane exocytosis protein 1 OS=Homo sapiens OX=9606 GN=RIMS1 PE=1 SV=1
+MSSAVGPRGPRPPTVPPPMQELPDLSHLTEEERNIIMAVMDRQKEEEEKEEAMLKCVVRDMAKPAACKTPRNAENQPHQPSPRLHQQFESYKEQVRKIGEEARRYQGEHKDDAPTCGICHKTKFADGCGHLCSYCRTKFCARCGGRVSLRSNNEDKVVMWVCNLCRKQQEILTKSGAWFFGSGPQQTSQDGTLSDTATGAGSEVPREKKARLQERSRSQTPLSTAAASSQDAAPPSAPPDRSKGAEPSQQALGPEQKQASSRSRSEPPRERKKTPGLSEQNGKGALKSERKRVPKTSAQPVEGAVEERERKERRESRRLEKGRSQDYPDTPEKRDEGKAADEEKQRKEEDYQTRYRSDPNLARYPVKPPPEEQQMRMHARVSRARHERRHSDVALPRTEAGAALPEGKAGKRAPAAARASPPDSPRAYSAERTAETRAPGAKQLTNHSPPAPRHGPVPAEAPELKAQEPLRKQSRLDPSSAVLMRKAKREKVETMLRNDSLSSDQSESVRPSPPKPHRSKRGGKKRQMSVSSSEEEGVSTPEYTSCEDVELESESVSEKGDLDYYWLDPATWHSRETSPISSHPVTWQPSKEGDRLIGRVILNKRTTMPKDSGALLGLKVVGGKMTDLGRLGAFITKVKKGSLADVVGHLRAGDEVLEWNGKPLPGATNEEVYNIILESKSEPQVEIIVSRPIGDIPRIPESSHPPLESSSSSFESQKMERPSISVISPTSPGALKDAPQVLPGQLSVKLWYDKVGHQLIVNVLQATDLPARVDGRPRNPYVKMYFLPDRSDKSKRRTKTVKKILEPKWNQTFVYSHVHRRDFRERMLEITVWDQPRVQEEESEFLGEILIELETALLDDEPHWYKLQTHDESSLPLPQPSPFMPRRHIHGESSSKKLQRSQRISDSDISDYEVDDGIGVVPPVGYRSSARESKSTTLTVPEQQRTTHHRSRSVSPHRGNDQGKPRSRLPNVPLQRSLDEIHPTRRSRSPTRHHDASRSPVDHRTRDVDSQYLSEQDSELLMLPRAKRGRSAECLHTTRHLVRHYKTLPPKMPLLQSSSHWNIYSSILPAHTKTKSVTRQDISLHHECFNSTVLRFTDEILVSELQPFLDRARSASTNCLRPDTSLHSPERERGRWSPSLDRRRPPSPRIQIQHASPENDRHSRKSERSSIQKQTRKGTASDAERVLPTCLSRRGHAAPRATDQPVIRGKHPARSRSSEHSSIRTLCSMHHLVPGGSAPPSPLLTRMHRQRSPTQSPPADTSFSSRRGRQLPQVPVRSGSIEQASLVVEERTRQMKMKVHRFKQTTGSGSSQELDREQYSKYNIHKDQYRSCDNVSAKSSDSDVSDVSAISRTSSASRLSSTSFMSEQSERPRGRISSFTPKMQGRRMGTSGRSIMKSTSVSGEMYTLEHNDGSQSDTAVGTVGAGGKKRRSSLSAKVVAIVSRRSRSTSQLSQTESGHKKLKSTIQRSTETGMAAEMRKMVRQPSRESTDGSINSYSSEGNLIFPGVRLGADSQFSDFLDGLGPAQLVGRQTLATPAMGDIQIGMEDKKGQLEVEVIRARSLTQKPGSKSTPAPYVKVYLLENGACIAKKKTRIARKTLDPLYQQSLVFDESPQGKVLQVIVWGDYGRMDHKCFMGVAQILLEELDLSSMVIGWYKLFPPSSLVDPTLTPLTRRASQSSLESSTGPPCIRS
+>DECOY_sp|Q86UR5|RIMS1_HUMAN Regulating synaptic membrane exocytosis protein 1 OS=Homo sapiens OX=9606 GN=RIMS1 PE=1 SV=1
+SRICPPGTSSELSSQSARRTLPTLTPDVLSSPPFLKYWGIVMSSLDLEELLIQAVGMFCKHDMRGYDGWVIVQLVKGQPSEDFVLSQQYLPDLTKRAIRTKKKAICAGNELLYVKVYPAPTSKSGPKQTLSRARIVEVELQGKKDEMGIQIDGMAPTALTQRGVLQAPGLGDLFDSFQSDAGLRVGPFILNGESSYSNISGDTSERSPQRVMKRMEAAMGTETSRQITSKLKKHGSETQSLQSTSRSRRSVIAVVKASLSSRRKKGGAGVTGVATDSQSGDNHELTYMEGSVSTSKMISRGSTGMRRGQMKPTFSSIRGRPRESQESMFSTSSLRSASSTRSIASVDSVDSDSSKASVNDCSRYQDKHINYKSYQERDLEQSSGSGTTQKFRHVKMKMQRTREEVVLSAQEISGSRVPVQPLQRGRRSSFSTDAPPSQTPSRQRHMRTLLPSPPASGGPVLHHMSCLTRISSHESSRSRAPHKGRIVPQDTARPAAHGRRSLCTPLVREADSATGKRTQKQISSRESKRSHRDNEPSAHQIQIRPSPPRRRDLSPSWRGREREPSHLSTDPRLCNTSASRARDLFPQLESVLIEDTFRLVTSNFCEHHLSIDQRTVSKTKTHAPLISSYINWHSSSQLLPMKPPLTKYHRVLHRTTHLCEASRGRKARPLMLLESDQESLYQSDVDRTRHDVPSRSADHHRTPSRSRRTPHIEDLSRQLPVNPLRSRPKGQDNGRHPSVSRSRHHTTRQQEPVTLTTSKSERASSRYGVPPVVGIGDDVEYDSIDSDSIRQSRQLKKSSSEGHIHRRPMFPSPQPLPLSSEDHTQLKYWHPEDDLLATELEILIEGLFESEEEQVRPQDWVTIELMRERFDRRHVHSYVFTQNWKPELIKKVTKTRRKSKDSRDPLFYMKVYPNRPRGDVRAPLDTAQLVNVILQHGVKDYWLKVSLQGPLVQPADKLAGPSTPSIVSISPREMKQSEFSSSSSELPPHSSEPIRPIDGIPRSVIIEVQPESKSELIINYVEENTAGPLPKGNWELVEDGARLHGVVDALSGKKVKTIFAGLRGLDTMKGGVVKLGLLAGSDKPMTTRKNLIVRGILRDGEKSPQWTVPHSSIPSTERSHWTAPDLWYYDLDGKESVSESELEVDECSTYEPTSVGEEESSSVSMQRKKGGRKSRHPKPPSPRVSESQDSSLSDNRLMTEVKERKAKRMLVASSPDLRSQKRLPEQAKLEPAEAPVPGHRPAPPSHNTLQKAGPARTEATREASYARPSDPPSARAAAPARKGAKGEPLAAGAETRPLAVDSHRREHRARSVRAHMRMQQEEPPPKVPYRALNPDSRYRTQYDEEKRQKEEDAAKGEDRKEPTDPYDQSRGKELRRSERREKREREEVAGEVPQASTKPVRKRESKLAGKGNQESLGPTKKRERPPESRSRSSAQKQEPGLAQQSPEAGKSRDPPASPPAADQSSAAATSLPTQSRSREQLRAKKERPVESGAGTATDSLTGDQSTQQPGSGFFWAGSKTLIEQQKRCLNCVWMVVKDENNSRLSVRGGCRACFKTRCYSCLHGCGDAFKTKHCIGCTPADDKHEGQYRRAEEGIKRVQEKYSEFQQHLRPSPQHPQNEANRPTKCAAPKAMDRVVCKLMAEEKEEEEKQRDMVAMIINREEETLHSLDPLEQMPPPVTPPRPGRPGVASSM
+>sp|Q8WYP3|RIN2_HUMAN Ras and Rab interactor 2 OS=Homo sapiens OX=9606 GN=RIN2 PE=1 SV=1
+MTAWTMGARGLDKRGSFFKLIDTIASEIGELKQEMVRTDVNLENGLEPAETHSMVRHKDGGYSEEEDVKTCARDSGYDSLSNRLSILDRLLHTHPIWLQLSLSEEEAAEVLQAQPPGIFLVHKSTKMQKKVLSLRLPCEFGAPLKEFAIKESTYTFSLEGSGISFADLFRLIAFYCISRDVLPFTLKLPYAISTAKSEAQLEELAQMGLNFWSSPADSKPPNLPPPHRPLSSDGVCPASLRQLCLINGVHSIKTRTPSELECSQTNGALCFINPLFLKVHSQDLSGGLKRPSTRTPNANGTERTRSPPPRPPPPAINSLHTSPRLARTETQTSMPETVNHNKHGNVALPGTKPTPIPPPRLKKQASFLEAEGGAKTLSGGRPGAGPELELGTAGSPGGAPPEAAPGDCTRAPPPSSESRPPCHGGRQRLSDMSISTSSSDSLEFDRSMPLFGYEADTNSSLEDYEGESDQETMAPPIKSKKKRSSSFVLPKLVKSQLQKVSGVFSSFMTPEKRMVRRIAELSRDKCTYFGCLVQDYVSFLQENKECHVSSTDMLQTIRQFMTQVKNYLSQSSELDPPIESLIPEDQIDVVLEKAMHKCILKPLKGHVEAMLKDFHMADGSWKQLKENLQLVRQRNPQELGVFAPTPDFVDVEKIKVKFMTMQKMYSPEKKVMLLLRVCKLIYTVMENNSGRMYGADDFLPVLTYVIAQCDMLELDTEIEYMMELLDPSLLHGEGGYYLTSAYGALSLIKNFQEEQAARLLSSETRDTLRQWHKRRTTNRTIPSVDDFQNYLRVAFQEVNSGCTGKTLLVRPYITTEDVCQICAEKFKVGDPEEYSLFLFVDETWQQLAEDTYPQKIKAELHSRPQPHIFHFVYKRIKNDPYGIIFQNGEEDLTTS
+>DECOY_sp|Q8WYP3|RIN2_HUMAN Ras and Rab interactor 2 OS=Homo sapiens OX=9606 GN=RIN2 PE=1 SV=1
+STTLDEEGNQFIIGYPDNKIRKYVFHFIHPQPRSHLEAKIKQPYTDEALQQWTEDVFLFLSYEEPDGVKFKEACIQCVDETTIYPRVLLTKGTCGSNVEQFAVRLYNQFDDVSPITRNTTRRKHWQRLTDRTESSLLRAAQEEQFNKILSLAGYASTLYYGGEGHLLSPDLLEMMYEIETDLELMDCQAIVYTLVPLFDDAGYMRGSNNEMVTYILKCVRLLLMVKKEPSYMKQMTMFKVKIKEVDVFDPTPAFVGLEQPNRQRVLQLNEKLQKWSGDAMHFDKLMAEVHGKLPKLICKHMAKELVVDIQDEPILSEIPPDLESSQSLYNKVQTMFQRITQLMDTSSVHCEKNEQLFSVYDQVLCGFYTCKDRSLEAIRRVMRKEPTMFSSFVGSVKQLQSKVLKPLVFSSSRKKKSKIPPAMTEQDSEGEYDELSSNTDAEYGFLPMSRDFELSDSSSTSISMDSLRQRGGHCPPRSESSPPPARTCDGPAAEPPAGGPSGATGLELEPGAGPRGGSLTKAGGEAELFSAQKKLRPPPIPTPKTGPLAVNGHKNHNVTEPMSTQTETRALRPSTHLSNIAPPPPRPPPSRTRETGNANPTRTSPRKLGGSLDQSHVKLFLPNIFCLAGNTQSCELESPTRTKISHVGNILCLQRLSAPCVGDSSLPRHPPPLNPPKSDAPSSWFNLGMQALEELQAESKATSIAYPLKLTFPLVDRSICYFAILRFLDAFSIGSGELSFTYTSEKIAFEKLPAGFECPLRLSLVKKQMKTSKHVLFIGPPQAQLVEAAEEESLSLQLWIPHTHLLRDLISLRNSLSDYGSDRACTKVDEEESYGGDKHRVMSHTEAPELGNELNVDTRVMEQKLEGIESAITDILKFFSGRKDLGRAGMTWATM
+>sp|Q06587|RING1_HUMAN E3 ubiquitin-protein ligase RING1 OS=Homo sapiens OX=9606 GN=RING1 PE=1 SV=2
+MTTPANAQNASKTWELSLYELHRTPQEAIMDGTEIAVSPRSLHSELMCPICLDMLKNTMTTKECLHRFCSDCIVTALRSGNKECPTCRKKLVSKRSLRPDPNFDALISKIYPSREEYEAHQDRVLIRLSRLHNQQALSSSIEEGLRMQAMHRAQRVRRPIPGSDQTTTMSGGEGEPGEGEGDGEDVSSDSAPDSAPGPAPKRPRGGGAGGSSVGTGGGGTGGVGGGAGSEDSGDRGGTLGGGTLGPPSPPGAPSPPEPGGEIELVFRPHPLLVEKGEYCQTRYVKTTGNATVDHLSKYLALRIALERRQQQEAGEPGGPGGGASDTGGPDGCGGEGGGAGGGDGPEEPALPSLEGVSEKQYTIYIAPGGGAFTTLNGSLTLELVNEKFWKVSRPLELCYAPTKDPK
+>DECOY_sp|Q06587|RING1_HUMAN E3 ubiquitin-protein ligase RING1 OS=Homo sapiens OX=9606 GN=RING1 PE=1 SV=2
+KPDKTPAYCLELPRSVKWFKENVLELTLSGNLTTFAGGGPAIYITYQKESVGELSPLAPEEPGDGGGAGGGEGGCGDPGGTDSAGGGPGGPEGAEQQQRRELAIRLALYKSLHDVTANGTTKVYRTQCYEGKEVLLPHPRFVLEIEGGPEPPSPAGPPSPPGLTGGGLTGGRDGSDESGAGGGVGGTGGGGTGVSSGGAGGGRPRKPAPGPASDPASDSSVDEGDGEGEGPEGEGGSMTTTQDSGPIPRRVRQARHMAQMRLGEEISSSLAQQNHLRSLRILVRDQHAEYEERSPYIKSILADFNPDPRLSRKSVLKKRCTPCEKNGSRLATVICDSCFRHLCEKTTMTNKLMDLCIPCMLESHLSRPSVAIETGDMIAEQPTRHLEYLSLEWTKSANQANAPTTM
+>sp|Q9BVS4|RIOK2_HUMAN Serine/threonine-protein kinase RIO2 OS=Homo sapiens OX=9606 GN=RIOK2 PE=1 SV=2
+MGKVNVAKLRYMSRDDFRVLTAVEMGMKNHEIVPGSLIASIASLKHGGCNKVLRELVKHKLIAWERTKTVQGYRLTNAGYDYLALKTLSSRQVVESVGNQMGVGKESDIYIVANEEGQQFALKLHRLGRTSFRNLKNKRDYHKHRHNVSWLYLSRLSAMKEFAYMKALYERKFPVPKPIDYNRHAVVMELINGYPLCQIHHVEDPASVYDEAMELIVKLANHGLIHGDFNEFNLILDESDHITMIDFPQMVSTSHPNAEWYFDRDVKCIKDFFMKRFSYESELFPTFKDIRREDTLDVEVSASGYTKEMQADDELLHPLGPDDKNIETKEGSEFSFSDGEVAEKAEVYGSENESERNCLEESEGCYCRSSGDPEQIKEDSLSEESADARSFEMTEFNQALEEIKGQVVENNSVTEFSEEKNRTENYNRQDGQRVQGGVPAGSDEYEDECPHLIALSSLNREFRPFRDEENVGAMNQYRTRTLSITSSGSAVSCSTIPPELVKQKVKRQLTKQQKSAVRRRLQKGEANIFTKQRRENMQNIKSSLEAASFWGE
+>DECOY_sp|Q9BVS4|RIOK2_HUMAN Serine/threonine-protein kinase RIO2 OS=Homo sapiens OX=9606 GN=RIOK2 PE=1 SV=2
+EGWFSAAELSSKINQMNERRQKTFINAEGKQLRRRVASKQQKTLQRKVKQKVLEPPITSCSVASGSSTISLTRTRYQNMAGVNEEDRFPRFERNLSSLAILHPCEDEYEDSGAPVGGQVRQGDQRNYNETRNKEESFETVSNNEVVQGKIEELAQNFETMEFSRADASEESLSDEKIQEPDGSSRCYCGESEELCNRESENESGYVEAKEAVEGDSFSFESGEKTEINKDDPGLPHLLEDDAQMEKTYGSASVEVDLTDERRIDKFTPFLESEYSFRKMFFDKICKVDRDFYWEANPHSTSVMQPFDIMTIHDSEDLILNFENFDGHILGHNALKVILEMAEDYVSAPDEVHHIQCLPYGNILEMVVAHRNYDIPKPVPFKREYLAKMYAFEKMASLRSLYLWSVNHRHKHYDRKNKLNRFSTRGLRHLKLAFQQGEENAVIYIDSEKGVGMQNGVSEVVQRSSLTKLALYDYGANTLRYGQVTKTREWAILKHKVLERLVKNCGGHKLSAISAILSGPVIEHNKMGMEVATLVRFDDRSMYRLKAVNVKGM
+>sp|Q8IUF8|RIOX2_HUMAN Ribosomal oxygenase 2 OS=Homo sapiens OX=9606 GN=RIOX2 PE=1 SV=1
+MPKKAKPTGSGKEEGPAPCKQMKLEAAGGPSALNFDSPSSLFESLISPIKTETFFKEFWEQKPLLIQRDDPALATYYGSLFKLTDLKSLCSRGMYYGRDVNVCRCVNGKKKVLNKDGKAHFLQLRKDFDQKRATIQFHQPQRFKDELWRIQEKLECYFGSLVGSNVYITPAGSQGLPPHYDDVEVFILQLEGEKHWRLYHPTVPLAREYSVEAEERIGRPVHEFMLKPGDLLYFPRGTIHQADTPAGLAHSTHVTISTYQNNSWGDFLLDTISGLVFDTAKEDVELRTGIPRQLLLQVESTTVATRRLSGFLRTLADRLEGTKELLSSDMKKDFIMHRLPPYSAGDGAELSTPGGKLPRLDSVVRLQFKDHIVLTVLPDQDQSDEAQEKMVYIYHSLKNSRETHMMGNEEETEFHGLRFPLSHLDALKQIWNSPAISVKDLKLTTDEEKESLVLSLWTECLIQVV
+>DECOY_sp|Q8IUF8|RIOX2_HUMAN Ribosomal oxygenase 2 OS=Homo sapiens OX=9606 GN=RIOX2 PE=1 SV=1
+VVQILCETWLSLVLSEKEEDTTLKLDKVSIAPSNWIQKLADLHSLPFRLGHFETEEENGMMHTERSNKLSHYIYVMKEQAEDSQDQDPLVTLVIHDKFQLRVVSDLRPLKGGPTSLEAGDGASYPPLRHMIFDKKMDSSLLEKTGELRDALTRLFGSLRRTAVTTSEVQLLLQRPIGTRLEVDEKATDFVLGSITDLLFDGWSNNQYTSITVHTSHALGAPTDAQHITGRPFYLLDGPKLMFEHVPRGIREEAEVSYERALPVTPHYLRWHKEGELQLIFVEVDDYHPPLGQSGAPTIYVNSGVLSGFYCELKEQIRWLEDKFRQPQHFQITARKQDFDKRLQLFHAKGDKNLVKKKGNVCRCVNVDRGYYMGRSCLSKLDTLKFLSGYYTALAPDDRQILLPKQEWFEKFFTETKIPSILSEFLSSPSDFNLASPGGAAELKMQKCPAPGEEKGSGTPKAKKPM
+>sp|P63173|RL38_HUMAN 60S ribosomal protein L38 OS=Homo sapiens OX=9606 GN=RPL38 PE=1 SV=2
+MPRKIEEIKDFLLTARRKDAKSVKIKKNKDNVKFKVRCSRYLYTLVITDKEKAEKLKQSLPPGLAVKELK
+>DECOY_sp|P63173|RL38_HUMAN 60S ribosomal protein L38 OS=Homo sapiens OX=9606 GN=RPL38 PE=1 SV=2
+KLEKVALGPPLSQKLKEAKEKDTIVLTYLYRSCRVKFKVNDKNKKIKVSKADKRRATLLFDKIEEIKRPM
+>sp|P46777|RL5_HUMAN 60S ribosomal protein L5 OS=Homo sapiens OX=9606 GN=RPL5 PE=1 SV=3
+MGFVKVVKNKAYFKRYQVKFRRRREGKTDYYARKRLVIQDKNKYNTPKYRMIVRVTNRDIICQIAYARIEGDMIVCAAYAHELPKYGVKVGLTNYAAAYCTGLLLARRLLNRFGMDKIYEGQVEVTGDEYNVESIDGQPGAFTCYLDAGLARTTTGNKVFGALKGAVDGGLSIPHSTKRFPGYDSESKEFNAEVHRKHIMGQNVADYMRYLMEEDEDAYKKQFSQYIKNSVTPDMMEEMYKKAHAAIRENPVYEKKPKKEVKKKRWNRPKMSLAQKKDRVAQKKASFLRAQERAAES
+>DECOY_sp|P46777|RL5_HUMAN 60S ribosomal protein L5 OS=Homo sapiens OX=9606 GN=RPL5 PE=1 SV=3
+SEAAREQARLFSAKKQAVRDKKQALSMKPRNWRKKKVEKKPKKEYVPNERIAAHAKKYMEEMMDPTVSNKIYQSFQKKYADEDEEMLYRMYDAVNQGMIHKRHVEANFEKSESDYGPFRKTSHPISLGGDVAGKLAGFVKNGTTTRALGADLYCTFAGPQGDISEVNYEDGTVEVQGEYIKDMGFRNLLRRALLLGTCYAAAYNTLGVKVGYKPLEHAYAACVIMDGEIRAYAIQCIIDRNTVRVIMRYKPTNYKNKDQIVLRKRAYYDTKGERRRRFKVQYRKFYAKNKVVKVFGM
+>sp|P62917|RL8_HUMAN 60S ribosomal protein L8 OS=Homo sapiens OX=9606 GN=RPL8 PE=1 SV=2
+MGRVIRGQRKGAGSVFRAHVKHRKGAARLRAVDFAERHGYIKGIVKDIIHDPGRGAPLAKVVFRDPYRFKKRTELFIAAEGIHTGQFVYCGKKAQLNIGNVLPVGTMPEGTIVCCLEEKPGDRGKLARASGNYATVISHNPETKKTRVKLPSGSKKVISSANRAVVGVVAGGGRIDKPILKAGRAYHKYKAKRNCWPRVRGVAMNPVEHPFGGGNHQHIGKPSTIRRDAPAGRKVGLIAARRTGRLRGTKTVQEKEN
+>DECOY_sp|P62917|RL8_HUMAN 60S ribosomal protein L8 OS=Homo sapiens OX=9606 GN=RPL8 PE=1 SV=2
+NEKEQVTKTGRLRGTRRAAILGVKRGAPADRRITSPKGIHQHNGGGFPHEVPNMAVGRVRPWCNRKAKYKHYARGAKLIPKDIRGGGAVVGVVARNASSIVKKSGSPLKVRTKKTEPNHSIVTAYNGSARALKGRDGPKEELCCVITGEPMTGVPLVNGINLQAKKGCYVFQGTHIGEAAIFLETRKKFRYPDRFVVKALPAGRGPDHIIDKVIGKIYGHREAFDVARLRAAGKRHKVHARFVSGAGKRQGRIVRGM
+>sp|P05388|RLA0_HUMAN 60S acidic ribosomal protein P0 OS=Homo sapiens OX=9606 GN=RPLP0 PE=1 SV=1
+MPREDRATWKSNYFLKIIQLLDDYPKCFIVGADNVGSKQMQQIRMSLRGKAVVLMGKNTMMRKAIRGHLENNPALEKLLPHIRGNVGFVFTKEDLTEIRDMLLANKVPAAARAGAIAPCEVTVPAQNTGLGPEKTSFFQALGITTKISRGTIEILSDVQLIKTGDKVGASEATLLNMLNISPFSFGLVIQQVFDNGSIYNPEVLDITEETLHSRFLEGVRNVASVCLQIGYPTVASVPHSIINGYKRVLALSVETDYTFPLAEKVKAFLADPSAFVAAAPVAAATTAAPAAAAAPAKVEAKEESEESDEDMGFGLFD
+>DECOY_sp|P05388|RLA0_HUMAN 60S acidic ribosomal protein P0 OS=Homo sapiens OX=9606 GN=RPLP0 PE=1 SV=1
+DFLGFGMDEDSEESEEKAEVKAPAAAAAPAATTAAAVPAAAVFASPDALFAKVKEALPFTYDTEVSLALVRKYGNIISHPVSAVTPYGIQLCVSAVNRVGELFRSHLTEETIDLVEPNYISGNDFVQQIVLGFSFPSINLMNLLTAESAGVKDGTKILQVDSLIEITGRSIKTTIGLAQFFSTKEPGLGTNQAPVTVECPAIAGARAAAPVKNALLMDRIETLDEKTFVFGVNGRIHPLLKELAPNNELHGRIAKRMMTNKGMLVVAKGRLSMRIQQMQKSGVNDAGVIFCKPYDDLLQIIKLFYNSKWTARDERPM
+>sp|Q8IUW5|RELL1_HUMAN RELT-like protein 1 OS=Homo sapiens OX=9606 GN=RELL1 PE=1 SV=1
+MAPRALPGSAVLAAAVFVGGAVSSPLVAPDNGSSRTLHSRTETTPSPSNDTGNGHPEYIAYALVPVFFIMGLFGVLICHLLKKKGYRCTTEAEQDIEEEKVEKIELNDSVNENSDTVGQIVHYIMKNEANADVLKAMVADNSLYDPESPVTPSTPGSPPVSPGPLSPGGTPGKHVCGHHLHTVGGVVERDVCHRCRHKRWHFIKPTNKSRESRPRRQGEVTVLSVGRFRVTKVEHKSNQKERRSLMSVSGAETVNGEVPATPVKRERSGTE
+>DECOY_sp|Q8IUW5|RELL1_HUMAN RELT-like protein 1 OS=Homo sapiens OX=9606 GN=RELL1 PE=1 SV=1
+ETGSRERKVPTAPVEGNVTEAGSVSMLSRREKQNSKHEVKTVRFRGVSLVTVEGQRRPRSERSKNTPKIFHWRKHRCRHCVDREVVGGVTHLHHGCVHKGPTGGPSLPGPSVPPSGPTSPTVPSEPDYLSNDAVMAKLVDANAENKMIYHVIQGVTDSNENVSDNLEIKEVKEEEIDQEAETTCRYGKKKLLHCILVGFLGMIFFVPVLAYAIYEPHGNGTDNSPSPTTETRSHLTRSSGNDPAVLPSSVAGGVFVAAALVASGPLARPAM
+>sp|Q8NC24|RELL2_HUMAN RELT-like protein 2 OS=Homo sapiens OX=9606 GN=RELL2 PE=1 SV=1
+MSEPQPDLEPPQHGLYMLFLLVLVFFLMGLVGFMICHVLKKKGYRCRTSRGSEPDDAQLQPPEDDDMNEDTVERIVRCIIQNEANAEALKEMLGDSEGEGTVQLSSVDATSSLQDGAPSHHHTVHLGSAAPCLHCSRSKRPPLVRQGRSKEGKSRPRTGETTVFSVGRFRVTHIEKRYGLHEHRDGSPTDRSWGSGGGQDPGGGQGSGGGQPKAGMPAMERLPPERPQPQVLASPPVQNGGLRDSSLTPRALEGNPRASAEPTLRAGGRGPSPGLPTQEANGQPSKPDTSDHQVSLPQGAGSM
+>DECOY_sp|Q8NC24|RELL2_HUMAN RELT-like protein 2 OS=Homo sapiens OX=9606 GN=RELL2 PE=1 SV=1
+MSGAGQPLSVQHDSTDPKSPQGNAEQTPLGPSPGRGGARLTPEASARPNGELARPTLSSDRLGGNQVPPSALVQPQPREPPLREMAPMGAKPQGGGSGQGGGPDQGGGSGWSRDTPSGDRHEHLGYRKEIHTVRFRGVSFVTTEGTRPRSKGEKSRGQRVLPPRKSRSCHLCPAASGLHVTHHHSPAGDQLSSTADVSSLQVTGEGESDGLMEKLAEANAENQIICRVIREVTDENMDDDEPPQLQADDPESGRSTRCRYGKKKLVHCIMFGVLGMLFFVLVLLFLMYLGHQPPELDPQPESM
+>sp|Q9BWE0|REPI1_HUMAN Replication initiator 1 OS=Homo sapiens OX=9606 GN=REPIN1 PE=1 SV=1
+MLERRCRGPLAMGLAQPRLLSGPSQESPQTLGKESRGLRQQGTSVAQSGAQAPGRAHRCAHCRRHFPGWVALWLHTRRCQARLPLPCPECGRRFRHAPFLALHRQVHAAATPDLGFACHLCGQSFRGWVALVLHLRAHSAAKRPIACPKCERRFWRRKQLRAHLRRCHPPAPEARPFICGNCGRSFAQWDQLVAHKRVHVAEALEEAAAKALGPRPRGRPAVTAPRPGGDAVDRPFQCACCGKRFRHKPNLIAHRRVHTGERPHQCPECGKRFTNKPYLTSHRRIHTGEKPYPCKECGRRFRHKPNLLSHSKIHKRSEGSAQAAPGPGSPQLPAGPQESAAEPTPAVPLKPAQEPPPGAPPEHPQDPIEAPPSLYSCDDCGRSFRLERFLRAHQRQHTGERPFTCAECGKNFGKKTHLVAHSRVHSGERPFACEECGRRFSQGSHLAAHRRDHAPDRPFVCPDCGKAFRHKPYLAAHRRIHTGEKPYVCPDCGKAFSQKSNLVSHRRIHTGERPYACPDCDRSFSQKSNLITHRKSHIRDGAFCCAICGQTFDDEERLLAHQKKHDV
+>DECOY_sp|Q9BWE0|REPI1_HUMAN Replication initiator 1 OS=Homo sapiens OX=9606 GN=REPIN1 PE=1 SV=1
+VDHKKQHALLREEDDFTQGCIACCFAGDRIHSKRHTILNSKQSFSRDCDPCAYPREGTHIRRHSVLNSKQSFAKGCDPCVYPKEGTHIRRHAALYPKHRFAKGCDPCVFPRDPAHDRRHAALHSGQSFRRGCEECAFPREGSHVRSHAVLHTKKGFNKGCEACTFPREGTHQRQHARLFRELRFSRGCDDCSYLSPPAEIPDQPHEPPAGPPPEQAPKLPVAPTPEAASEQPGAPLQPSGPGPAAQASGESRKHIKSHSLLNPKHRFRRGCEKCPYPKEGTHIRRHSTLYPKNTFRKGCEPCQHPREGTHVRRHAILNPKHRFRKGCCACQFPRDVADGGPRPATVAPRGRPRPGLAKAAAEELAEAVHVRKHAVLQDWQAFSRGCNGCIFPRAEPAPPHCRRLHARLQKRRWFRRECKPCAIPRKAASHARLHLVLAVWGRFSQGCLHCAFGLDPTAAAHVQRHLALFPAHRFRRGCEPCPLPLRAQCRRTHLWLAVWGPFHRRCHACRHARGPAQAGSQAVSTGQQRLGRSEKGLTQPSEQSPGSLLRPQALGMALPGRCRRELM
+>sp|Q96D71|REPS1_HUMAN RalBP1-associated Eps domain-containing protein 1 OS=Homo sapiens OX=9606 GN=REPS1 PE=1 SV=3
+MEGLTLSDAEQKYYSDLFSYCDIESTKKVVVNGRVLELFRAAQLPNDVVLQIMELCGATRLGYFGRSQFYIALKLVAVAQSGFPLRVESINTVKDLPLPRFVASKNEQESRHAASYSSDSENQGSYSGVIPPPPGRGQVKKGSVSHDTVQPRTSADAQEPASPVVSPQQSPPTSPHTWRKHSRHPSGGNSERPLAGPGPFWSPFGEAQSGSSAGDAVWSGHSPPPPQENWVSFADTPPTSTLLTMHPASVQDQTTVRTVASATTAIEIRRQSSSYDDPWKITDEQRQYYVNQFKTIQPDLNGFIPGSAAKEFFTKSKLPILELSHIWELSDFDKDGALTLDEFCAAFHLVVARKNGYDLPEKLPESLMPKLIDLEDSADVGDQPGEVGYSGSPAEAPPSKSPSMPSLNQTWPELNQSSEQWETFSERSSSSQTLTQFDSNIAPADPDTAIVHPVPIRMTPSKIHMQEMELKRTGSDHTNPTSPLLVKPSDLLEENKINSSVKFASGNTVADGYSSSDSFTSDPEQIGSNVTRQRSHSGTSPDNTAPPPPPPRPQPSHSRSSSLDMNRTFTVTTGQQQAGVVAHPPAVPPRPQPSQAPGPAVHRPVDADGLITHTSTSPQQIPEQPNFADFSQFEVFAASNVNDEQDDEAEKHPEVLPAEKASDPASSLRVAKTDSKTEEKTAASAPANVSKGTTPLAPPPKPVRRRLKSEDELRPEVDEHTQKTGVLAAVLASQPSIPRSVGKDKKAIQASIRRNKETNTVLARLNSELQQQLKDVLEERISLEVQLEQLRPFSHL
+>DECOY_sp|Q96D71|REPS1_HUMAN RalBP1-associated Eps domain-containing protein 1 OS=Homo sapiens OX=9606 GN=REPS1 PE=1 SV=3
+LHSFPRLQELQVELSIREELVDKLQQQLESNLRALVTNTEKNRRISAQIAKKDKGVSRPISPQSALVAALVGTKQTHEDVEPRLEDESKLRRRVPKPPPALPTTGKSVNAPASAATKEETKSDTKAVRLSSAPDSAKEAPLVEPHKEAEDDQEDNVNSAAFVEFQSFDAFNPQEPIQQPSTSTHTILGDADVPRHVAPGPAQSPQPRPPVAPPHAVVGAQQQGTTVTFTRNMDLSSSRSHSPQPRPPPPPPATNDPSTGSHSRQRTVNSGIQEPDSTFSDSSSYGDAVTNGSAFKVSSNIKNEELLDSPKVLLPSTPNTHDSGTRKLEMEQMHIKSPTMRIPVPHVIATDPDAPAINSDFQTLTQSSSSRESFTEWQESSQNLEPWTQNLSPMSPSKSPPAEAPSGSYGVEGPQDGVDASDELDILKPMLSEPLKEPLDYGNKRAVVLHFAACFEDLTLAGDKDFDSLEWIHSLELIPLKSKTFFEKAASGPIFGNLDPQITKFQNVYYQRQEDTIKWPDDYSSSQRRIEIATTASAVTRVTTQDQVSAPHMTLLTSTPPTDAFSVWNEQPPPPSHGSWVADGASSGSQAEGFPSWFPGPGALPRESNGGSPHRSHKRWTHPSTPPSQQPSVVPSAPEQADASTRPQVTDHSVSGKKVQGRGPPPPIVGSYSGQNESDSSYSAAHRSEQENKSAVFRPLPLDKVTNISEVRLPFGSQAVAVLKLAIYFQSRGFYGLRTAGCLEMIQLVVDNPLQAARFLELVRGNVVVKKTSEIDCYSFLDSYYKQEADSLTLGEM
+>sp|P50120|RET2_HUMAN Retinol-binding protein 2 OS=Homo sapiens OX=9606 GN=RBP2 PE=1 SV=3
+MTRDQNGTWEMESNENFEGYMKALDIDFATRKIAVRLTQTKVIDQDGDNFKTKTTSTFRNYDVDFTVGVEFDEYTKSLDNRHVKALVTWEGDVLVCVQKGEKENRGWKQWIEGDKLYLELTCGDQVCRQVFKKK
+>DECOY_sp|P50120|RET2_HUMAN Retinol-binding protein 2 OS=Homo sapiens OX=9606 GN=RBP2 PE=1 SV=3
+KKKFVQRCVQDGCTLELYLKDGEIWQKWGRNEKEGKQVCVLVDGEWTVLAKVHRNDLSKTYEDFEVGVTFDVDYNRFTSTTKTKFNDGDQDIVKTQTLRVAIKRTAFDIDLAKMYGEFNENSEMEWTGNQDRTM
+>sp|Q8NC44|RETR2_HUMAN Reticulophagy regulator 2 OS=Homo sapiens OX=9606 GN=RETREG2 PE=1 SV=3
+MASGGGGGNTGAGGGPGMGLSLGLGLGLSLGMSEATSEAEEEAATAEAVGRLATTLWLRLRGWEAVLAAAQRLLVWEKPLHSLVTAAALNGLFWLLSSSSLRPFFLLSVSLLAYFLLDLWQPRFLPDVSASSPEEPHSDSEGAGSGARPHLLSVPELCRYLAESWLTFQIHLQELLQYKRQNPAQFCVRVCSGCAVLAVLGHYVPGIMISYIVLLSILLWPLVVYHELIQRMYTRLEPLLMQLDYSMKAEANALHHKHDKRKRQGKNAPPGGDEPLAETESESEAELAGFSPVVDVKKTALALAITDSELSDEEASILESGGFSVSRATTPQLTDVSEDLDQQSLPSEPEETLSRDLGEGEEGELAPPEDLLGRPQALSRQALDSEEEEEDVAAKETLLRLSSPLHFVNTHFNGAGSPPDGVKCSPGGPVETLSPETVSGGLTALPGTLSPPLCLVGSDPAPSPSILPPVPQDSPQPLPAPEEEEALTTEDFELLDQGELEQLNAELGLEPETPPKPPDAPPLGPDIHSLVQSDQEAQAVAEP
+>DECOY_sp|Q8NC44|RETR2_HUMAN Reticulophagy regulator 2 OS=Homo sapiens OX=9606 GN=RETREG2 PE=1 SV=3
+PEAVAQAEQDSQVLSHIDPGLPPADPPKPPTEPELGLEANLQELEGQDLLEFDETTLAEEEEPAPLPQPSDQPVPPLISPSPAPDSGVLCLPPSLTGPLATLGGSVTEPSLTEVPGGPSCKVGDPPSGAGNFHTNVFHLPSSLRLLTEKAAVDEEEEESDLAQRSLAQPRGLLDEPPALEGEEGEGLDRSLTEEPESPLSQQDLDESVDTLQPTTARSVSFGGSELISAEEDSLESDTIALALATKKVDVVPSFGALEAESESETEALPEDGGPPANKGQRKRKDHKHHLANAEAKMSYDLQMLLPELRTYMRQILEHYVVLPWLLISLLVIYSIMIGPVYHGLVALVACGSCVRVCFQAPNQRKYQLLEQLHIQFTLWSEALYRCLEPVSLLHPRAGSGAGESDSHPEEPSSASVDPLFRPQWLDLLFYALLSVSLLFFPRLSSSSLLWFLGNLAAATVLSHLPKEWVLLRQAAALVAEWGRLRLWLTTALRGVAEATAAEEEAESTAESMGLSLGLGLGLSLGMGPGGGAGTNGGGGGSAM
+>sp|Q86VR2|RETR3_HUMAN Reticulophagy regulator 3 OS=Homo sapiens OX=9606 GN=RETREG3 PE=1 SV=1
+MAEAEGVPTTPGPASGSTFRGRRDVSGSWERDQQVEAAQRALVEVLGPYEPLLSRVQAALVWERPARSALWCLGLNAAFWFFALTSLRLVFLLAFGLMIIVCIDQWKNKIWPEIKVPRPDALDNESWGFVHPRLLSVPELCHHVAEVWVSGTIFIRNVLLFKKQNPGKFCLLSCGILTFLAVLGRYVPGLLLSYLMLVTVMMWPLAVYHRLWDRAYVRLKPALQRLDFSVRGYMMSKQRERQLRRRALHPERAMDNHSDSEEELAAFCPQLDDSTVARELAITDSEHSDAEVSCTDNGTFNLSRGQTPLTEGSEDLDGHSDPEESFARDLPDFPSINMDPAGLDDEDDTSIGMPSLMYRSPPGAEEPQAPPASRDEAALPELLLGALPVGSNLTSNLASLVSQGMIQLALSGASQPGPSGAPAQRATRGFLRSPSSDLDTDAEGDDFELLDQSELSQLDPASSRSH
+>DECOY_sp|Q86VR2|RETR3_HUMAN Reticulophagy regulator 3 OS=Homo sapiens OX=9606 GN=RETREG3 PE=1 SV=1
+HSRSSAPDLQSLESQDLLEFDDGEADTDLDSSPSRLFGRTARQAPAGSPGPQSAGSLALQIMGQSVLSALNSTLNSGVPLAGLLLEPLAAEDRSAPPAQPEEAGPPSRYMLSPMGISTDDEDDLGAPDMNISPFDPLDRAFSEEPDSHGDLDESGETLPTQGRSLNFTGNDTCSVEADSHESDTIALERAVTSDDLQPCFAALEEESDSHNDMAREPHLARRRLQRERQKSMMYGRVSFDLRQLAPKLRVYARDWLRHYVALPWMMVTVLMLYSLLLGPVYRGLVALFTLIGCSLLCFKGPNQKKFLLVNRIFITGSVWVEAVHHCLEPVSLLRPHVFGWSENDLADPRPVKIEPWIKNKWQDICVIIMLGFALLFVLRLSTLAFFWFAANLGLCWLASRAPREWVLAAQVRSLLPEYPGLVEVLARQAAEVQQDREWSGSVDRRGRFTSGSAPGPTTPVGEAEAM
+>sp|Q9GZR2|REXO4_HUMAN RNA exonuclease 4 OS=Homo sapiens OX=9606 GN=REXO4 PE=1 SV=2
+MGKAKVPASKRAPSSPVAKPGPVKTLTRKKNKKKKRFWKSKAREVSKKPASGPGAVVRPPKAPEDFSQNWKALQEWLLKQKSQAPEKPLVISQMGSKKKPKIIQQNKKETSPQVKGEEMPAGKDQEASRGSVPSGSKMDRRAPVPRTKASGTEHNKKGTKERTNGDIVPERGDIEHKKRKAKEAAPAPPTEEDIWFDDVDPADIEAAIGPEAAKIARKQLGQSEGSVSLSLVKEQAFGGLTRALALDCEMVGVGPKGEESMAARVSIVNQYGKCVYDKYVKPTEPVTDYRTAVSGIRPENLKQGEELEVVQKEVAEMLKGRILVGHALHNDLKVLFLDHPKKKIRDTQKYKPFKSQVKSGRPSLRLLSEKILGLQVQQAEHCSIQDAQAAMRLYVMVKKEWESMARDRRPLLTAPDHCSDDA
+>DECOY_sp|Q9GZR2|REXO4_HUMAN RNA exonuclease 4 OS=Homo sapiens OX=9606 GN=REXO4 PE=1 SV=2
+ADDSCHDPATLLPRRDRAMSEWEKKVMVYLRMAAQADQISCHEAQQVQLGLIKESLLRLSPRGSKVQSKFPKYKQTDRIKKKPHDLFLVKLDNHLAHGVLIRGKLMEAVEKQVVELEEGQKLNEPRIGSVATRYDTVPETPKVYKDYVCKGYQNVISVRAAMSEEGKPGVGVMECDLALARTLGGFAQEKVLSLSVSGESQGLQKRAIKAAEPGIAAEIDAPDVDDFWIDEETPPAPAAEKAKRKKHEIDGREPVIDGNTREKTGKKNHETGSAKTRPVPARRDMKSGSPVSGRSAEQDKGAPMEEGKVQPSTEKKNQQIIKPKKKSGMQSIVLPKEPAQSKQKLLWEQLAKWNQSFDEPAKPPRVVAGPGSAPKKSVERAKSKWFRKKKKNKKRTLTKVPGPKAVPSSPARKSAPVKAKGM
+>sp|Q96IC2|REXO5_HUMAN RNA exonuclease 5 OS=Homo sapiens OX=9606 GN=REXO5 PE=2 SV=1
+MEPEREGTERHPRKVRESRQAPNKLVGAAEAMKAGWDLEESQPEAKKARLSTILFTDNCEVTHDQLCELLKYAVLGKSNVPKPSWCQLFHQNHLNNVVVFVLQGMSQLHFYRFYLEFGCLRKAFRHKFRLPPPSSDFLADVVGLQTEQRAGDLPKTMEGPLPSNAKAAINLQDDPIIQKYGSKKVGLTRCLLTKEEMRTFHFPLQGFPDCENFLLTKCNGSIADNSPLFGLDCEMCLTSKGRELTRISLVAEGGCCVMDELVKPENKILDYLTSFSGITKKILNPVTTKLKDVQRQLKALLPPDAVLVGHSLDLDLRALKMIHPYVIDTSLLYVREQGRRFKLKFLAKVILGKDIQCPDRLGHDATEDARTILELARYFLKHGPKKIAELNLEALANHQEIQAAGQEPKNTAEVLQHPNTSVLECLDSVGQKLLFLTRETDAGELPSSRNCQTIKCLSNKEVLEQARVEIPLFPFSIVQFSFKAFSPVLTEEMNKRMRIKWTEISTVYAGPFSKNCNLRALKRLFKSFGPVQSMTFVLETRQPHLCIQYEVLEAAQLAIESLDGILVDGICIKVQRPVTELTLDCDTLVNELEGDSENQGSIYLSGVSETFKEQLLQEPRLFLGLEAVILPKDLKSGKQKKYCFLKFKSFGSAQQALNILTGKDWKLKGRHALTPRHLHAWLRGLPPESTRLPGLRVVPPPFEQEALQTLKLDHPKIAAWRWSRKIGKLYNSLCPGTLCLILLPGTKSTHGSLSGLGLMGIKEEEESAGPGLCS
+>DECOY_sp|Q96IC2|REXO5_HUMAN RNA exonuclease 5 OS=Homo sapiens OX=9606 GN=REXO5 PE=2 SV=1
+SCLGPGASEEEEKIGMLGLGSLSGHTSKTGPLLILCLTGPCLSNYLKGIKRSWRWAAIKPHDLKLTQLAEQEFPPPVVRLGPLRTSEPPLGRLWAHLHRPTLAHRGKLKWDKGTLINLAQQASGFSKFKLFCYKKQKGSKLDKPLIVAELGLFLRPEQLLQEKFTESVGSLYISGQNESDGELENVLTDCDLTLETVPRQVKICIGDVLIGDLSEIALQAAELVEYQICLHPQRTELVFTMSQVPGFSKFLRKLARLNCNKSFPGAYVTSIETWKIRMRKNMEETLVPSFAKFSFQVISFPFLPIEVRAQELVEKNSLCKITQCNRSSPLEGADTERTLFLLKQGVSDLCELVSTNPHQLVEATNKPEQGAAQIEQHNALAELNLEAIKKPGHKLFYRALELITRADETADHGLRDPCQIDKGLIVKALFKLKFRRGQERVYLLSTDIVYPHIMKLARLDLDLSHGVLVADPPLLAKLQRQVDKLKTTVPNLIKKTIGSFSTLYDLIKNEPKVLEDMVCCGGEAVLSIRTLERGKSTLCMECDLGFLPSNDAISGNCKTLLFNECDPFGQLPFHFTRMEEKTLLCRTLGVKKSGYKQIIPDDQLNIAAKANSPLPGEMTKPLDGARQETQLGVVDALFDSSPPPLRFKHRFAKRLCGFELYFRYFHLQSMGQLVFVVVNNLHNQHFLQCWSPKPVNSKGLVAYKLLECLQDHTVECNDTFLITSLRAKKAEPQSEELDWGAKMAEAAGVLKNPAQRSERVKRPHRETGEREPEM
+>sp|O75570|RF1M_HUMAN Peptide chain release factor 1, mitochondrial OS=Homo sapiens OX=9606 GN=MTRF1 PE=1 SV=2
+MNRHLCVWLFRHPSLNGYLQCHIQLHSHQFRQIHLDTRLQVFRQNRNCILHLLSKNWSRRYCHQDTKMLWKHKALQKYMENLSKEYQTLEQCLQHIPVNEENRRSLNRRHAELAPLAAIYQEIQETEQAIEELESMCKSLNKQDEKQLQELALEERQTIDQKINMLYNELFQSLVPKEKYDKNDVILEVTAGRTTGGDICQQFTREIFDMYQNYSCYKHWQFELLNYTPADYGGLHHAAARISGDGVYKHLKYEGGIHRVQRIPEVGLSSRMQRIHTGTMSVIVLPQPDEVDVKLDPKDLRIDTFRAKGAGGQHVNKTDSAVRLVHIPTGLVVECQQERSQIKNKEIAFRVLRARLYQQIIEKDKRQQQSARKLQVGTRAQSERIRTYNFTQDRVSDHRIAYEVRDIKEFLCGGKGLDQLIQRLLQSADEEAIAELLDEHLKSAK
+>DECOY_sp|O75570|RF1M_HUMAN Peptide chain release factor 1, mitochondrial OS=Homo sapiens OX=9606 GN=MTRF1 PE=1 SV=2
+KASKLHEDLLEAIAEEDASQLLRQILQDLGKGGCLFEKIDRVEYAIRHDSVRDQTFNYTRIRESQARTGVQLKRASQQQRKDKEIIQQYLRARLVRFAIEKNKIQSREQQCEVVLGTPIHVLRVASDTKNVHQGGAGKARFTDIRLDKPDLKVDVEDPQPLVIVSMTGTHIRQMRSSLGVEPIRQVRHIGGEYKLHKYVGDGSIRAAAHHLGGYDAPTYNLLEFQWHKYCSYNQYMDFIERTFQQCIDGGTTRGATVELIVDNKDYKEKPVLSQFLENYLMNIKQDITQREELALEQLQKEDQKNLSKCMSELEEIAQETEQIEQYIAALPALEAHRRNLSRRNEENVPIHQLCQELTQYEKSLNEMYKQLAKHKWLMKTDQHCYRRSWNKSLLHLICNRNQRFVQLRTDLHIQRFQHSHLQIHCQLYGNLSPHRFLWVCLHRNM
+>sp|Q6WKZ4|RFIP1_HUMAN Rab11 family-interacting protein 1 OS=Homo sapiens OX=9606 GN=RAB11FIP1 PE=1 SV=3
+MSLMVSAGRGLGAVWSPTHVQVTVLQARGLRAKGPGGTSDAYAVIQVGKEKYATSVSERSLGAPVWREEATFELPSLLSSGPAAAATLQLTVLHRALLGLDKFLGRAEVDLRDLHRDQGRRKTQWYKLKSKPGKKDKERGEIEVDIQFMRNNMTASMFDLSMKDKSRNPFGKLKDKIKGKNKDSGSDTASAIIPSTTPSVDSDDESVVKDKKKKSKIKTLLSKSNLQKTPLSQSMSVLPTSKPEKVLLRPGDFQSQWDEDDNEDESSSASDVMSHKRTASTDLKQLNQVNFTLPKKEGLSFLGGLRSKNDVLSRSNVCINGNHVYLEQPEAKGEIKDSSPSSSPSPKGFRKKHLFSSTENLAAGSWKEPAEGGGLSSDRQLSESSTKDSLKSMTLPSYRPAPLVSGDLRENMAPANSEATKEAKESKKPESRRSSLLSLMTGKKDVAKGSEGENPLTVPGREKEGMLMGVKPGEDASGPAEDLVRRSEKDTAAVVSRQGSSLNLFEDVQITEPEAEPESKSEPRPPISSPRAPQTRAVKPRLEVSPEAQPTARLPSPTDSPSSLPPLPSSSGQASVPSELGHGADTQSSESPSVFSSLSSPIAAPISTSTPIESWPLVDRGQAKSEGPPLLPKAELQTESLTPVPNSGSSALGSLFKQPSFPANKGTEDSLMGRTRETGTEKNTSSLELEESLPEQPETGRQEEELPRFPCKKQDYSPSSGEAQEVPFALSLSSDGAVSPVGELAAGGDRDLESQAGSLVESKARDAAEEVAPPLPMGASVPSIDSMMRKLEEMGLNLRKDQKKTKKRVSFSEQLFTEEAVAGAALLVEGHSSCPQELNPAWSVAGNASDGEPPESPHAEDSERESVTTPGPATCGAPASPADHLLLPSQEESFSEVPMSEASSAKDTPLFRMEGEDALVTQYQSKASDHEGLLSDPLSDLQLVSDFKSPIMADLNLSLPSIPEVASDDERIDQVEDDGDQVEDDGETAKSSTLDIGALSLGLVVPCPERGKGPSGEADRLVLGEGLCDFRLQAPQASVTAPSEQTTEFGIHKPHLGKSSSLDKQLPGPSGGEEEKPMGNGSPSPPPGTSLDNPVPSPSPSEIFPVTHSFPSSAHSDTHHTSTAESQKKATAEGSAGRVENFGKRKPLLQAWVSPSETHPVSAQPGAGTGSAKHRLHPVKPMNAMATKVANCSLGTATIISENLNNEVMMKKYSPSDPAFAYAQLTHDELIQLVLKQKETISKKEFQVRELEDYIDNLLVRVMEETPNILRIPTQVGKKAGKM
+>DECOY_sp|Q6WKZ4|RFIP1_HUMAN Rab11 family-interacting protein 1 OS=Homo sapiens OX=9606 GN=RAB11FIP1 PE=1 SV=3
+MKGAKKGVQTPIRLINPTEEMVRVLLNDIYDELERVQFEKKSITEKQKLVLQILEDHTLQAYAFAPDSPSYKKMMVENNLNESIITATGLSCNAVKTAMANMPKVPHLRHKASGTGAGPQASVPHTESPSVWAQLLPKRKGFNEVRGASGEATAKKQSEATSTHHTDSHASSPFSHTVPFIESPSPSPVPNDLSTGPPPSPSGNGMPKEEEGGSPGPLQKDLSSSKGLHPKHIGFETTQESPATVSAQPAQLRFDCLGEGLVLRDAEGSPGKGREPCPVVLGLSLAGIDLTSSKATEGDDEVQDGDDEVQDIREDDSAVEPISPLSLNLDAMIPSKFDSVLQLDSLPDSLLGEHDSAKSQYQTVLADEGEMRFLPTDKASSAESMPVESFSEEQSPLLLHDAPSAPAGCTAPGPTTVSERESDEAHPSEPPEGDSANGAVSWAPNLEQPCSSHGEVLLAAGAVAEETFLQESFSVRKKTKKQDKRLNLGMEELKRMMSDISPVSAGMPLPPAVEEAADRAKSEVLSGAQSELDRDGGAALEGVPSVAGDSSLSLAFPVEQAEGSSPSYDQKKCPFRPLEEEQRGTEPQEPLSEELELSSTNKETGTERTRGMLSDETGKNAPFSPQKFLSGLASSGSNPVPTLSETQLEAKPLLPPGESKAQGRDVLPWSEIPTSTSIPAAIPSSLSSFVSPSESSQTDAGHGLESPVSAQGSSSPLPPLSSPSDTPSPLRATPQAEPSVELRPKVARTQPARPSSIPPRPESKSEPEAEPETIQVDEFLNLSSGQRSVVAATDKESRRVLDEAPGSADEGPKVGMLMGEKERGPVTLPNEGESGKAVDKKGTMLSLLSSRRSEPKKSEKAEKTAESNAPAMNERLDGSVLPAPRYSPLTMSKLSDKTSSESLQRDSSLGGGEAPEKWSGAALNETSSFLHKKRFGKPSPSSSPSSDKIEGKAEPQELYVHNGNICVNSRSLVDNKSRLGGLFSLGEKKPLTFNVQNLQKLDTSATRKHSMVDSASSSEDENDDEDWQSQFDGPRLLVKEPKSTPLVSMSQSLPTKQLNSKSLLTKIKSKKKKDKVVSEDDSDVSPTTSPIIASATDSGSDKNKGKIKDKLKGFPNRSKDKMSLDFMSATMNNRMFQIDVEIEGREKDKKGPKSKLKYWQTKRRGQDRHLDRLDVEARGLFKDLGLLARHLVTLQLTAAAAPGSSLLSPLEFTAEERWVPAGLSRESVSTAYKEKGVQIVAYADSTGGPGKARLGRAQLVTVQVHTPSWVAGLGRGASVMLSM
+>sp|Q52LD8|RFTN2_HUMAN Raftlin-2 OS=Homo sapiens OX=9606 GN=RFTN2 PE=1 SV=3
+MGCGLRKLEDPDDSSPGKIFSTLKRPQVETKTEFAYEYVLLDFTLQASSNPEVIKINSILDIVTKVENYYLKGYIVGAIHPVIQPVGQRKHLPASYLYRVVLLRLKLSPKNSAAPSGQRRPRLVIEECPLTSEAQTNDAAKELIEKINVAAKRGMKFVGFISQHYSPSKFCNGTNHDGDIESMLHVRHGSDENCRSWNEGTLSGQSSESGIEEELHHESGQYQMEQNGSPTSSKSRKGEASDNKLYTVFNAFDDDSTSWAYQEGILSMKVTRKGSVISTLDADWLELTTFYYKQGLSLIDSFVFWETSKGEHLPKSLEGFFIYEEEGSGVPGSSRKGNDAIVVEQWTVIEGCEIKTDYGPLLHTLAEFGWLLTSVLPTPVLRHDSEGNLATKQIVFLQRPVMWNSAAQTPDKKASRHIKGEDKNKATSRSIGLDTTSSQPAESRHLPEECRLSPSRECWTKEGRLAQHNSFSGFSSSDNVLRELDDGQFDQEDGVTQVTCM
+>DECOY_sp|Q52LD8|RFTN2_HUMAN Raftlin-2 OS=Homo sapiens OX=9606 GN=RFTN2 PE=1 SV=3
+MCTVQTVGDEQDFQGDDLERLVNDSSSFGSFSNHQALRGEKTWCERSPSLRCEEPLHRSEAPQSSTTDLGISRSTAKNKDEGKIHRSAKKDPTQAASNWMVPRQLFVIQKTALNGESDHRLVPTPLVSTLLWGFEALTHLLPGYDTKIECGEIVTWQEVVIADNGKRSSGPVGSGEEEYIFFGELSKPLHEGKSTEWFVFSDILSLGQKYYFTTLELWDADLTSIVSGKRTVKMSLIGEQYAWSTSDDDFANFVTYLKNDSAEGKRSKSSTPSGNQEMQYQGSEHHLEEEIGSESSQGSLTGENWSRCNEDSGHRVHLMSEIDGDHNTGNCFKSPSYHQSIFGVFKMGRKAAVNIKEILEKAADNTQAESTLPCEEIVLRPRRQGSPAASNKPSLKLRLLVVRYLYSAPLHKRQGVPQIVPHIAGVIYGKLYYNEVKTVIDLISNIKIVEPNSSAQLTFDLLVYEYAFETKTEVQPRKLTSFIKGPSSDDPDELKRLGCGM
+>sp|Q33E94|RFX4_HUMAN Transcription factor RFX4 OS=Homo sapiens OX=9606 GN=RFX4 PE=1 SV=2
+MHCGLLEEPDMDSTESWIERCLNESENKRYSSHTSLGNVSNDENEEKENNRASKPHSTPATLQWLEENYEIAEGVCIPRSALYMHYLDFCEKNDTQPVNAASFGKIIRQQFPQLTTRRLGTRGQSKYHYYGIAVKESSQYYDVMYSKKGAAWVSETGKKEVSKQTVAYSPRSKLGTLLPEFPNVKDLNLPASLPEEKVSTFIMMYRTHCQRILDTVIRANFDEVQSFLLHFWQGMPPHMLPVLGSSTVVNIVGVCDSILYKAISGVLMPTVLQALPDSLTQVIRKFAKQLDEWLKVALHDLPENLRNIKFELSRRFSQILRRQTSLNHLCQASRTVIHSADITFQMLEDWRNVDLNSITKQTLYTMEDSRDEHRKLITQLYQEFDHLLEEQSPIESYIEWLDTMVDRCVVKVAAKRQGSLKKVAQQFLLMWSCFGTRVIRDMTLHSAPSFGSFHLIHLMFDDYVLYLLESLHCQERANELMRAMKGEGSTAEVREEIILTEAAAPTPSPVPSFSPAKSATSVEVPPPSSPVSNPSPEYTGLSTTGAMQSYTWSLTYTVTTAAGSPAENSQQLPCMRNTHVPSSSVTHRIPVYPHREEHGYTGSYNYGSYGNQHPHPMQSQYPALPHDTAISGPLHYAPYHRSSAQYPFNSPTSRMEPCLMSSTPRLHPTPVTPRWPEVPSANTCYTSPSVHSARYGNSSDMYTPLTTRRNSEYEHMQHFPGFAYINGEASTGWAK
+>DECOY_sp|Q33E94|RFX4_HUMAN Transcription factor RFX4 OS=Homo sapiens OX=9606 GN=RFX4 PE=1 SV=2
+KAWGTSAEGNIYAFGPFHQMHEYESNRRTTLPTYMDSSNGYRASHVSPSTYCTNASPVEPWRPTVPTPHLRPTSSMLCPEMRSTPSNFPYQASSRHYPAYHLPGSIATDHPLAPYQSQMPHPHQNGYSGYNYSGTYGHEERHPYVPIRHTVSSSPVHTNRMCPLQQSNEAPSGAATTVTYTLSWTYSQMAGTTSLGTYEPSPNSVPSSPPPVEVSTASKAPSFSPVPSPTPAAAETLIIEERVEATSGEGKMARMLENAREQCHLSELLYLVYDDFMLHILHFSGFSPASHLTMDRIVRTGFCSWMLLFQQAVKKLSGQRKAAVKVVCRDVMTDLWEIYSEIPSQEELLHDFEQYLQTILKRHEDRSDEMTYLTQKTISNLDVNRWDELMQFTIDASHIVTRSAQCLHNLSTQRRLIQSFRRSLEFKINRLNEPLDHLAVKLWEDLQKAFKRIVQTLSDPLAQLVTPMLVGSIAKYLISDCVGVINVVTSSGLVPLMHPPMGQWFHLLFSQVEDFNARIVTDLIRQCHTRYMMIFTSVKEEPLSAPLNLDKVNPFEPLLTGLKSRPSYAVTQKSVEKKGTESVWAAGKKSYMVDYYQSSEKVAIGYYHYKSQGRTGLRRTTLQPFQQRIIKGFSAANVPQTDNKECFDLYHMYLASRPICVGEAIEYNEELWQLTAPTSHPKSARNNEKEENEDNSVNGLSTHSSYRKNESENLCREIWSETSDMDPEELLGCHM
+>sp|O14593|RFXK_HUMAN DNA-binding protein RFXANK OS=Homo sapiens OX=9606 GN=RFXANK PE=1 SV=2
+MELTQPAEDLIQTQQTPASELGDPEDPGEEAADGSDTVVLSLFPCTPEPVNPEPDASVSSPQAGSSLKHSTTLTNRQRGNEVSALPATLDSLSIHQLAAQGELDQLKEHLRKGDNLVNKPDERGFTPLIWASAFGEIETVRFLLEWGADPHILAKERESALSLASTGGYTDIVGLLLERDVDINIYDWNGGTPLLYAVRGNHVKCVEALLARGADLTTEADSGYTPMDLAVALGYRKVQQVIENHILKLFQSNLVPADPE
+>DECOY_sp|O14593|RFXK_HUMAN DNA-binding protein RFXANK OS=Homo sapiens OX=9606 GN=RFXANK PE=1 SV=2
+EPDAPVLNSQFLKLIHNEIVQQVKRYGLAVALDMPTYGSDAETTLDAGRALLAEVCKVHNGRVAYLLPTGGNWDYINIDVDRELLLGVIDTYGGTSALSLASEREKALIHPDAGWELLFRVTEIEGFASAWILPTFGREDPKNVLNDGKRLHEKLQDLEGQAALQHISLSDLTAPLASVENGRQRNTLTTSHKLSSGAQPSSVSADPEPNVPEPTCPFLSLVVTDSGDAAEEGPDEPDGLESAPTQQTQILDEAPQTLEM
+>sp|Q9NZL6|RGL1_HUMAN Ral guanine nucleotide dissociation stimulator-like 1 OS=Homo sapiens OX=9606 GN=RGL1 PE=1 SV=1
+MKLLWQAKMSSIQDWGEEVEEGAVYHVTLKRVQIQQAANKGARWLGVEGDQLPPGHTVSQYETCKIRTIKAGTLEKLVENLLTAFGDNDFTYISIFLSTYRGFASTKEVLELLLDRYGNLTSPNCEEDGSQSSSESKMVIRNAIASILRAWLDQCAEDFREPPHFPCLQKLLDYLTRMMPGSDPERRAQNLLEQFQKQEVETDNGLPNTISFSLEEEEELEGGESAEFTCFSEDLVAEQLTYMDAQLFKKVVPHHCLGCIWSRRDKKENKHLAPTIRATISQFNTLTKCVVSTILGGKELKTQQRAKIIEKWINIAHECRLLKNFSSLRAIVSALQSNSIYRLKKTWAAVPRDRMLMFEELSDIFSDHNNHLTSRELLMKEGTSKFANLDSSVKENQKRTQRRLQLQKDMGVMQGTVPYLGTFLTDLTMLDTALQDYIEGGLINFEKRRREFEVIAQIKLLQSACNSYCMTPDQKFIQWFQRQQLLTEEESYALSCEIEAAADASTTSPKPRKSMVKRLSLLFLGSDMITSPTPTKEQPKSTASGSSGESMDSVSVSSCESNHSEAEEGSITPMDTPDEPQKKLSESSSSCSSIHSMDTNSSGMSSLINPLSSPPSCNNNPKIHKRSVSVTSITSTVLPPVYNQQNEDTCIIRISVEDNNGNMYKSIMLTSQDKTPAVIQRAMLKHNLDSDPAEEYELVQVISEDKELVIPDSANVFYAMNSQVNFDFILRKKNSMEEQVKLRSRTSLTLPRTAKRGCWSNRHSKITL
+>DECOY_sp|Q9NZL6|RGL1_HUMAN Ral guanine nucleotide dissociation stimulator-like 1 OS=Homo sapiens OX=9606 GN=RGL1 PE=1 SV=1
+LTIKSHRNSWCGRKATRPLTLSTRSRLKVQEEMSNKKRLIFDFNVQSNMAYFVNASDPIVLEKDESIVQVLEYEEAPDSDLNHKLMARQIVAPTKDQSTLMISKYMNGNNDEVSIRIICTDENQQNYVPPLVTSTISTVSVSRKHIKPNNNCSPPSSLPNILSSMGSSNTDMSHISSCSSSSESLKKQPEDPTDMPTISGEEAESHNSECSSVSVSDMSEGSSGSATSKPQEKTPTPSTIMDSGLFLLSLRKVMSKRPKPSTTSADAAAEIECSLAYSEEETLLQQRQFWQIFKQDPTMCYSNCASQLLKIQAIVEFERRRKEFNILGGEIYDQLATDLMTLDTLFTGLYPVTGQMVGMDKQLQLRRQTRKQNEKVSSDLNAFKSTGEKMLLERSTLHNNHDSFIDSLEEFMLMRDRPVAAWTKKLRYISNSQLASVIARLSSFNKLLRCEHAINIWKEIIKARQQTKLEKGGLITSVVCKTLTNFQSITARITPALHKNEKKDRRSWICGLCHHPVVKKFLQADMYTLQEAVLDESFCTFEASEGGELEEEEELSFSITNPLGNDTEVEQKQFQELLNQARREPDSGPMMRTLYDLLKQLCPFHPPERFDEACQDLWARLISAIANRIVMKSESSSQSGDEECNPSTLNGYRDLLLELVEKTSAFGRYTSLFISIYTFDNDGFATLLNEVLKELTGAKITRIKCTEYQSVTHGPPLQDGEVGLWRAGKNAAQQIQVRKLTVHYVAGEEVEEGWDQISSMKAQWLLKM
+>sp|Q6NW40|RGMB_HUMAN RGM domain family member B OS=Homo sapiens OX=9606 GN=RGMB PE=1 SV=3
+MGLRAAPSSAAAAAAEVEQRRSPGLCPPPLELLLLLLFSLGLLHAGDCQQPAQCRIQKCTTDFVSLTSHLNSAVDGFDSEFCKALRAYAGCTQRTSKACRGNLVYHSAVLGISDLMSQRNCSKDGPTSSTNPEVTHDPCNYHSHAGAREHRRGDQNPPSYLFCGLFGDPHLRTFKDNFQTCKVEGAWPLIDNNYLSVQVTNVPVVPGSSATATNKITIIFKAHHECTDQKVYQAVTDDLPAAFVDGTTSGGDSDAKSLRIVERESGHYVEMHARYIGTTVFVRQVGRYLTLAIRMPEDLAMSYEESQDLQLCVNGCPLSERIDDGQGQVSAILGHSLPRTSLVQAWPGYTLETANTQCHEKMPVKDIYFQSCVFDLLTTGDANFTAAAHSALEDVEALHPRKERWHIFPSSGNGTPRGGSDLSVSLGLTCLILIVFL
+>DECOY_sp|Q6NW40|RGMB_HUMAN RGM domain family member B OS=Homo sapiens OX=9606 GN=RGMB PE=1 SV=3
+LFVILILCTLGLSVSLDSGGRPTGNGSSPFIHWREKRPHLAEVDELASHAAATFNADGTTLLDFVCSQFYIDKVPMKEHCQTNATELTYGPWAQVLSTRPLSHGLIASVQGQGDDIRESLPCGNVCLQLDQSEEYSMALDEPMRIALTLYRGVQRVFVTTGIYRAHMEVYHGSEREVIRLSKADSDGGSTTGDVFAAPLDDTVAQYVKQDTCEHHAKFIITIKNTATASSGPVVPVNTVQVSLYNNDILPWAGEVKCTQFNDKFTRLHPDGFLGCFLYSPPNQDGRRHERAGAHSHYNCPDHTVEPNTSSTPGDKSCNRQSMLDSIGLVASHYVLNGRCAKSTRQTCGAYARLAKCFESDFGDVASNLHSTLSVFDTTCKQIRCQAPQQCDGAHLLGLSFLLLLLLELPPPCLGPSRRQEVEAAAAAASSPAARLGM
+>sp|P0DJD0|RGPD1_HUMAN RANBP2-like and GRIP domain-containing protein 1 OS=Homo sapiens OX=9606 GN=RGPD1 PE=2 SV=1
+MNVMGFNTDRLAWTRNKLRGFYFAKLYYEAKEYDLAKKYVCTYLSVQERDPRAHRFLGLLYELEENTEKAVECYRRSLELNPPQKDLVLKIAELLCKNDVTDGRAKYWVERAAKLFPGSPAIYKLKEHLLDCEGEDGWNKLFDWIQSELYVRPDDVHMNIRLVELYRSNKRLKDAVARCHEAERNIALRSSLEWNSCVVQTLKEYLESLQCLESDKSDWRATNTDLLLAYANLMLLTLSTRDVQESRELLESFDSALQSAKSSLGGNDELSATFLEMKGHFYMHAGSLLLKMGQHGNNVQWQALSELAALCYVIAFQVPRPKIKLIKGEAGQNLLEMMACDRLSQSGHMLLNLSRGKQDFLKEVVETFANKSGQSVLYNALFSSQSSKDTSFLGSDDIGNIDVQEPELEDLARYDVGAIQAHNGSLQHLTWLGLQWNSLPALPGIRKWLKQLFHHLPQETSRLETNAPESICILDLEVFLLGVVYTSHLQLKEKCNSHHSSYQPLCLPLPVCKRLCTERQKSWWDAVCTLIHRKAVPGNSAELRLVVQHEINTLRAQEKHGLQPALLVHWAKCLQKMGRGLNSSYDQQEYIGRSVHYWKKVLPLLKIIKKNSIPEPIDPLFKHFHSVDIQASEIVEYEEDAHITFAILDAVHGNIEDAVTAFESIKSVVSYWNLALIFHRKAEDIENDAVFPEEQEECKNYLRKTRDYLIKIIDDSDSNLSVVKKLPVPLESVKEMLKSVMQELEDYSEGGPLYKNGSLRNADSEIKHSTPSPTKYSLSPSKSYKYSPKTPPRWAEDQNSLRKMICQEVKAITKLNSSKSASRHRWPTENYGPDSVPDGYQGSQTFHGAPLTVATTGPSVYYSQSPAYNSQYLLRPAANVTPTKGSSNTEFKSTKEGFSIAVSADGFKFGISEPGNQEKKSEKPLENDTGFQAQDISGQKNGRGVIFGQTSSTFTFADVAKSTSGEGFQFGKKDPNFKGFSGAGEKLFSSQCGKMANKANTSGDFEKDDDACKTEDSDDIHFEPVVQMPEKVELVTGEEGEKVLYSQGVKLFRFDAEISQWKERGLGNLKILKNEVNGKPRMLMRRDQVLKVCANHWITTTMNLKPLSGSDRAWMWLASDFSDGDAKLERLAAQFKTPELAEEFKQKFEECQRLLLDIPLQTPHKLVDTGRAAKLIQRAEEMKSGLKDFKTFLTNDQTKVTEEENKGSGTGAAGASDTTIKPNPENTGPTLEWDNYDLREDALDDNVSSSSVHDSPLASSPVRKNIFRFDESTTGFNFSFKSALSLSKSPAKLNQSGTSVGTDEESDVTQEEERDGQYFEPVVPLPDLVEVSSGEENEQVVFSHRAELYRYDKDVGQWKERGIGDIKILQNYDNKQVRIVMRRDQVLKLCANHRITPDMSLQNMKGTERVWVWTACDFADGERKVEHLAVRFKLQDVADSFKKIFDEAKTAQEKDSLITPHVSRSSTPRESPCGKIAVAVLEETTRERTDVIQGDDVADAASEVEVSSTSETTTKAVVSPPKFVFGSESVKRIFSSEKSNPFAFGNSSATGSLFGFSFNAPLKSNDSETSSVAQSGSESKVEPKKCELSKNSDIEQSSDSKVKNLSASFPMEESSINYTFKTPEKEPPLWHAEFTKEELVQKLSSTTKSADQLNGLLRETEATSAVLMEQIKLLKSEIRRLERNQEESAANVEHLKNVLLQFIFLKPGSERESLLPVINTMLQLSPEEKGKLAAVAQGLQETSIPKKK
+>DECOY_sp|P0DJD0|RGPD1_HUMAN RANBP2-like and GRIP domain-containing protein 1 OS=Homo sapiens OX=9606 GN=RGPD1 PE=2 SV=1
+KKKPISTEQLGQAVAALKGKEEPSLQLMTNIVPLLSERESGPKLFIFQLLVNKLHEVNAASEEQNRELRRIESKLLKIQEMLVASTAETERLLGNLQDASKTTSSLKQVLEEKTFEAHWLPPEKEPTKFTYNISSEEMPFSASLNKVKSDSSQEIDSNKSLECKKPEVKSESGSQAVSSTESDNSKLPANFSFGFLSGTASSNGFAFPNSKESSFIRKVSESGFVFKPPSVVAKTTTESTSSVEVESAADAVDDGQIVDTRERTTEELVAVAIKGCPSERPTSSRSVHPTILSDKEQATKAEDFIKKFSDAVDQLKFRVALHEVKREGDAFDCATWVWVRETGKMNQLSMDPTIRHNACLKLVQDRRMVIRVQKNDYNQLIKIDGIGREKWQGVDKDYRYLEARHSFVVQENEEGSSVEVLDPLPVVPEFYQGDREEEQTVDSEEDTGVSTGSQNLKAPSKSLSLASKFSFNFGTTSEDFRFINKRVPSSALPSDHVSSSSVNDDLADERLDYNDWELTPGTNEPNPKITTDSAGAAGTGSGKNEEETVKTQDNTLFTKFDKLGSKMEEARQILKAARGTDVLKHPTQLPIDLLLRQCEEFKQKFEEALEPTKFQAALRELKADGDSFDSALWMWARDSGSLPKLNMTTTIWHNACVKLVQDRRMLMRPKGNVENKLIKLNGLGREKWQSIEADFRFLKVGQSYLVKEGEEGTVLEVKEPMQVVPEFHIDDSDETKCADDDKEFDGSTNAKNAMKGCQSSFLKEGAGSFGKFNPDKKGFQFGEGSTSKAVDAFTFTSSTQGFIVGRGNKQGSIDQAQFGTDNELPKESKKEQNGPESIGFKFGDASVAISFGEKTSKFETNSSGKTPTVNAAPRLLYQSNYAPSQSYYVSPGTTAVTLPAGHFTQSGQYGDPVSDPGYNETPWRHRSASKSSNLKTIAKVEQCIMKRLSNQDEAWRPPTKPSYKYSKSPSLSYKTPSPTSHKIESDANRLSGNKYLPGGESYDELEQMVSKLMEKVSELPVPLKKVVSLNSDSDDIIKILYDRTKRLYNKCEEQEEPFVADNEIDEAKRHFILALNWYSVVSKISEFATVADEINGHVADLIAFTIHADEEYEVIESAQIDVSHFHKFLPDIPEPISNKKIIKLLPLVKKWYHVSRGIYEQQDYSSNLGRGMKQLCKAWHVLLAPQLGHKEQARLTNIEHQVVLRLEASNGPVAKRHILTCVADWWSKQRETCLRKCVPLPLCLPQYSSHHSNCKEKLQLHSTYVVGLLFVELDLICISEPANTELRSTEQPLHHFLQKLWKRIGPLAPLSNWQLGLWTLHQLSGNHAQIAGVDYRALDELEPEQVDINGIDDSGLFSTDKSSQSSFLANYLVSQGSKNAFTEVVEKLFDQKGRSLNLLMHGSQSLRDCAMMELLNQGAEGKILKIKPRPVQFAIVYCLAALESLAQWQVNNGHQGMKLLLSGAHMYFHGKMELFTASLEDNGGLSSKASQLASDFSELLERSEQVDRTSLTLLMLNAYALLLDTNTARWDSKDSELCQLSELYEKLTQVVCSNWELSSRLAINREAEHCRAVADKLRKNSRYLEVLRINMHVDDPRVYLESQIWDFLKNWGDEGECDLLHEKLKYIAPSGPFLKAAREVWYKARGDTVDNKCLLEAIKLVLDKQPPNLELSRRYCEVAKETNEELEYLLGLFRHARPDREQVSLYTCVYKKALDYEKAEYYLKAFYFGRLKNRTWALRDTNFGMVNM
+>sp|A6NKT7|RGPD3_HUMAN RanBP2-like and GRIP domain-containing protein 3 OS=Homo sapiens OX=9606 GN=RGPD3 PE=3 SV=2
+MSCSKAYGERYVASVQGSAPSPRKKSTRGFYFAKLYYEAKEYDLAKKYICTYINVREMDPRAHRFLGLLYELEENTEKAVECYRRSVELNPTQKDLVLKIAELLCKNDVTDGRAEYWVERAAKLFPGSPAIYKLKEQLLDCEGEDGWNKLFDLIQSELYVRPDDVHVNIRLVELYRSTKRLKDAVARCHEAERNIALRSSLEWNSCVVQTLKEYLESLQCLESDKSDWRATNTDLLLAYANLMLLTLSTRDVQESRELLESFDSALQSAKSSLGGNDELSATFLEMKGHFYMHAGSLLLKMGQHGNNVQWRALSELAALCYLIAFQVPRPKIKLIKGEAGQNLLEMMACDRLSQSGHMLLNLSRGKQDFLKVVVETFANKSGQSALYDALFSSQSPKDTSFLGSDDIGNIDVQEPELEDLARYDVGAIRAHNGSLQHLTWLGLQWNSLPALPGIRKWLKQLFHHLPQETSRLETNAPESICILDLEVFLLGVVYTSHLQLKEKCNSHHSSYQPLCLPLPVCKQLCTERQKSWWDAVCTLIHRKAVPGNSAKLRLLVQHEINTLRAQEKHGLQPALLVHWAKCLQKMGSGLNSFYDQREYIGRSVHYWKKVLPLLKIIKKKNSIPEPIDPLFKHFHSVDIQASEIVEYEEDAHVTFAILDAVNGNIEDAMTAFESIKSVVSYWNLALIFHRKAEDIANDALSPEEQEECKNYLRKTRGYLIKILDDSDSNLSVVKKLPVPLESVKEMLKSVMQELENYSEGGPLYKNGSLRNADSEIKHSTPSPTKYSLSPSKSYKYSPKTPPRWAEDQNSLLKMIRQEVKAIKEEMQELKLNSSKSASHHRWPTENYGPDSVPDGYQGSQTFHGAPLTVATTGPSVYYSQSPAYNSQYLLRPAANVTPTKGSSNTEFKSTKEGFSIPVSADGFKFGISEPGNQEKKSEKPLENDTGLQAQDISGRKKGRGVIFGQTSSTFTFADVAKSTSGEGFQFGKKDLNFKGFSGAGEKLFSSQYGKMANKANTSGDFEKDDDAYKTEDSDDIHFEPVVQMPEKVELVTGEEGEKVLYSQGVKLFRFDAEVSQWKERGLGNLKILKNEVNGKVRMLMQREQVLKVCANHWITTTMNLKPLSGSDRAWMWSASDFSDGDAKLERLAAKFKTPELAEEFKQKFEECQRLLLDIPLQTPHKLVDTGRAAKLIQRAEEMKSGLKDFKTFLTNDQTKVAEEENKGSGTGAAGASDTTIKPNAENTGPTLEWDNYDLREDALDDSVSSSSVHASPLASSPVRKNLFHFDESTTGSNFSFKSALSLSKSPAKLNQSGTSVGTDEESDVTQEEERDGQYFEPVVPLPDLVEVSSGEENEQVVFSHRAEFYRYDKDVGQWKERGIGDIKILQNYDNKHVRILMRRDQVLKLCANHRITPDMSLQNMKGTERVWVWTACDFADGERKVEHLAVRFKLQDVADSFKKIFDEAKTAQEKDSLITPHVSRSSTPRESPCGKIAVAVLEETTRERTDVIQGDDVADAASEVEVSSTSETTTKAVVSPPKFVFGSESVKRIFSSEKSKPFAFGNSSATGSLFGFSFNAPLKSNNSETSSVAQSGSESKVEPKKCELSKNSDIEQSSDSKVKNLSASFPTEESSINYTFKTPEKEPPLWYAEFTKEELVQKLSSTTKSADHLNGLLREIEATNAVLMEQIKLLKSEIRRLERNQEQEVSAANVEHLKNVLLQFIFLKPGSERERLLPVINTMLQLSLEEKGKLAAVAQGEE
+>DECOY_sp|A6NKT7|RGPD3_HUMAN RanBP2-like and GRIP domain-containing protein 3 OS=Homo sapiens OX=9606 GN=RGPD3 PE=3 SV=2
+EEGQAVAALKGKEELSLQLMTNIVPLLRERESGPKLFIFQLLVNKLHEVNAASVEQEQNRELRRIESKLLKIQEMLVANTAEIERLLGNLHDASKTTSSLKQVLEEKTFEAYWLPPEKEPTKFTYNISSEETPFSASLNKVKSDSSQEIDSNKSLECKKPEVKSESGSQAVSSTESNNSKLPANFSFGFLSGTASSNGFAFPKSKESSFIRKVSESGFVFKPPSVVAKTTTESTSSVEVESAADAVDDGQIVDTRERTTEELVAVAIKGCPSERPTSSRSVHPTILSDKEQATKAEDFIKKFSDAVDQLKFRVALHEVKREGDAFDCATWVWVRETGKMNQLSMDPTIRHNACLKLVQDRRMLIRVHKNDYNQLIKIDGIGREKWQGVDKDYRYFEARHSFVVQENEEGSSVEVLDPLPVVPEFYQGDREEEQTVDSEEDTGVSTGSQNLKAPSKSLSLASKFSFNSGTTSEDFHFLNKRVPSSALPSAHVSSSSVSDDLADERLDYNDWELTPGTNEANPKITTDSAGAAGTGSGKNEEEAVKTQDNTLFTKFDKLGSKMEEARQILKAARGTDVLKHPTQLPIDLLLRQCEEFKQKFEEALEPTKFKAALRELKADGDSFDSASWMWARDSGSLPKLNMTTTIWHNACVKLVQERQMLMRVKGNVENKLIKLNGLGREKWQSVEADFRFLKVGQSYLVKEGEEGTVLEVKEPMQVVPEFHIDDSDETKYADDDKEFDGSTNAKNAMKGYQSSFLKEGAGSFGKFNLDKKGFQFGEGSTSKAVDAFTFTSSTQGFIVGRGKKRGSIDQAQLGTDNELPKESKKEQNGPESIGFKFGDASVPISFGEKTSKFETNSSGKTPTVNAAPRLLYQSNYAPSQSYYVSPGTTAVTLPAGHFTQSGQYGDPVSDPGYNETPWRHHSASKSSNLKLEQMEEKIAKVEQRIMKLLSNQDEAWRPPTKPSYKYSKSPSLSYKTPSPTSHKIESDANRLSGNKYLPGGESYNELEQMVSKLMEKVSELPVPLKKVVSLNSDSDDLIKILYGRTKRLYNKCEEQEEPSLADNAIDEAKRHFILALNWYSVVSKISEFATMADEINGNVADLIAFTVHADEEYEVIESAQIDVSHFHKFLPDIPEPISNKKKIIKLLPLVKKWYHVSRGIYERQDYFSNLGSGMKQLCKAWHVLLAPQLGHKEQARLTNIEHQVLLRLKASNGPVAKRHILTCVADWWSKQRETCLQKCVPLPLCLPQYSSHHSNCKEKLQLHSTYVVGLLFVELDLICISEPANTELRSTEQPLHHFLQKLWKRIGPLAPLSNWQLGLWTLHQLSGNHARIAGVDYRALDELEPEQVDINGIDDSGLFSTDKPSQSSFLADYLASQGSKNAFTEVVVKLFDQKGRSLNLLMHGSQSLRDCAMMELLNQGAEGKILKIKPRPVQFAILYCLAALESLARWQVNNGHQGMKLLLSGAHMYFHGKMELFTASLEDNGGLSSKASQLASDFSELLERSEQVDRTSLTLLMLNAYALLLDTNTARWDSKDSELCQLSELYEKLTQVVCSNWELSSRLAINREAEHCRAVADKLRKTSRYLEVLRINVHVDDPRVYLESQILDFLKNWGDEGECDLLQEKLKYIAPSGPFLKAAREVWYEARGDTVDNKCLLEAIKLVLDKQTPNLEVSRRYCEVAKETNEELEYLLGLFRHARPDMERVNIYTCIYKKALDYEKAEYYLKAFYFGRTSKKRPSPASGQVSAVYREGYAKSCSM
+>sp|O14715|RGPD8_HUMAN RANBP2-like and GRIP domain-containing protein 8 OS=Homo sapiens OX=9606 GN=RGPD8 PE=1 SV=2
+MRRSKADVERYVASVLGLTPSPRQKSMKGFYFAKLYYEAKEYDLAKKYICTYINVQERDPKAHRFLGLLYELEENTEKAVECYRRSVELNPTQKDLVLKIAELLCKNDVTDGRAKYWVERAAKLFPGSPAIYKLKEQLLDCEGEDGWNKLFDLIQSELYVRPDDVHVNIRLVELYRSTKRLKDAVAHCHEAERNIALRSSLEWNSCVVQTLKEYLESLQCLESDKSDWRATNTDLLLAYANLMLLTLSTRDVQENRELLESFDSALQSAKSSLGGNDELSATFLEMKGHFYMYAGSLLLKMGQHGNNVQWRALSELAALCYLIAFQVPRPKIKLREGKAGQNLLEMMACDRLSQSGHMLLSLSRGKQDFLKEVVETFANKIGQSALYDALFSSQSPKDTSFLGSDDIGKIDVQEPELEDLARYDVGAIRAHNGSLQHLTWLGLQWNSLPALPGIRKWLKQLFHRLPHETSRLETNAPESICILDLEVFLLGVVYTSHLQLKEKCNSHHSSYQPLCLPFPVCKQLCTERQKSWWDAVCTLIHRKAVPGNLAKLRLLVQHEINTLRAQEKHGLQPALLVHWAKYLQKTGSGLNSFYGQLEYIGRSVHYWKKVLPLLKIIKKNSIPEPIDPLFKHFHSVDIQASEIVEYEEDAHITFAILDAVNGNIEDAVTAFESIKSVVSYWNLALIFHRKAEDIENDALSPEEQEECRNYLTKTRDYLIKIIDDGDSNLSVVKKLPVPLESVKQMLNSVMQELEDYSEGGPLYKNGSLRNADSEIKHSTPSPTKYSLSPSKSYKYSPETPPRWTEDRNSLLNMICQQVEAIKKEMQELKLNSSKSASRHRWPTENYGPDSVPDGYQGSQTFHGAPLTVATTGPSVYYSQSPAYNSQYLLRPAANVTPTKGSSNTEFKSTKEGFSIPVSADGFKFGISEPGNQEKKREKPLENDTGLQAQDIRGRKKGRGVIFGQTSSTFTFADVAKSTSGEGFQFGKKDLNFKGFSGAGEKLFSSRYGKMANKANTSGDFEKDDDAYKTEDSDDIHFEPVVQMPEKVELVTGEEGEKVLYSQGVKLFRFDAEVRQWKERGLGNLKILKNEVNGKLRMLMRREQVLKVCANHWITTTMNLKPLSGSDRAWMWSASDFSDGDAKLERLAAKFKTPELAEEFKQKFEECQRLLLDIPLQTPHKLVDTGRAAKLIQRAEEMKSGLKDFKTFLTNDQTKVTEEENKGSGTGVAGASDTTIKPNAENTGPTLEWDNYDLREDALDDSVSSSSVHASPLASSPVRKNLFRFDESTTGSNFSFKSALSLSKSPAKLNQSGTSVGTDEESVVTQEEERDGQYFEPVVPLPDLVEVSSGEENEQVVFSHRAEIYRYDKDVGQWKERGIGDIKILQNYDNKQVRIVMRRDQVLKLCANHRITPDMSLQNMKGTERVWVWTACDFADGERKVEHLAVRFKLQDVADSFKKIFDEAKTAQEKDSLITPHVSRSSTPRESPCGKIAVAVLEEITRERTDVIQGDDVADAASEVEVSSTSETTTKAVVSPPKFVFVSESVKRIFSSEKSKPFAFGNSSATGSLFGFSFNAPLKSNNSETSSVAQSGSESKVEPKKCELSKNSDIEQSSDSKVKNLSASFPTEESSINYTFKTPEKEPPLWHAEFTKEELVQKLRSTTKSADHLNGLLREIEATNAVLMEQIKLLKSEIRRLERNQEREKSAANLEYLKNVLLQFIFLKPGSERERLLPVINTMLQLSPEEKGKLAAVAQDEEENPSRSSG
+>DECOY_sp|O14715|RGPD8_HUMAN RANBP2-like and GRIP domain-containing protein 8 OS=Homo sapiens OX=9606 GN=RGPD8 PE=1 SV=2
+GSSRSPNEEEDQAVAALKGKEEPSLQLMTNIVPLLRERESGPKLFIFQLLVNKLYELNAASKEREQNRELRRIESKLLKIQEMLVANTAEIERLLGNLHDASKTTSRLKQVLEEKTFEAHWLPPEKEPTKFTYNISSEETPFSASLNKVKSDSSQEIDSNKSLECKKPEVKSESGSQAVSSTESNNSKLPANFSFGFLSGTASSNGFAFPKSKESSFIRKVSESVFVFKPPSVVAKTTTESTSSVEVESAADAVDDGQIVDTRERTIEELVAVAIKGCPSERPTSSRSVHPTILSDKEQATKAEDFIKKFSDAVDQLKFRVALHEVKREGDAFDCATWVWVRETGKMNQLSMDPTIRHNACLKLVQDRRMVIRVQKNDYNQLIKIDGIGREKWQGVDKDYRYIEARHSFVVQENEEGSSVEVLDPLPVVPEFYQGDREEEQTVVSEEDTGVSTGSQNLKAPSKSLSLASKFSFNSGTTSEDFRFLNKRVPSSALPSAHVSSSSVSDDLADERLDYNDWELTPGTNEANPKITTDSAGAVGTGSGKNEEETVKTQDNTLFTKFDKLGSKMEEARQILKAARGTDVLKHPTQLPIDLLLRQCEEFKQKFEEALEPTKFKAALRELKADGDSFDSASWMWARDSGSLPKLNMTTTIWHNACVKLVQERRMLMRLKGNVENKLIKLNGLGREKWQRVEADFRFLKVGQSYLVKEGEEGTVLEVKEPMQVVPEFHIDDSDETKYADDDKEFDGSTNAKNAMKGYRSSFLKEGAGSFGKFNLDKKGFQFGEGSTSKAVDAFTFTSSTQGFIVGRGKKRGRIDQAQLGTDNELPKERKKEQNGPESIGFKFGDASVPISFGEKTSKFETNSSGKTPTVNAAPRLLYQSNYAPSQSYYVSPGTTAVTLPAGHFTQSGQYGDPVSDPGYNETPWRHRSASKSSNLKLEQMEKKIAEVQQCIMNLLSNRDETWRPPTEPSYKYSKSPSLSYKTPSPTSHKIESDANRLSGNKYLPGGESYDELEQMVSNLMQKVSELPVPLKKVVSLNSDGDDIIKILYDRTKTLYNRCEEQEEPSLADNEIDEAKRHFILALNWYSVVSKISEFATVADEINGNVADLIAFTIHADEEYEVIESAQIDVSHFHKFLPDIPEPISNKKIIKLLPLVKKWYHVSRGIYELQGYFSNLGSGTKQLYKAWHVLLAPQLGHKEQARLTNIEHQVLLRLKALNGPVAKRHILTCVADWWSKQRETCLQKCVPFPLCLPQYSSHHSNCKEKLQLHSTYVVGLLFVELDLICISEPANTELRSTEHPLRHFLQKLWKRIGPLAPLSNWQLGLWTLHQLSGNHARIAGVDYRALDELEPEQVDIKGIDDSGLFSTDKPSQSSFLADYLASQGIKNAFTEVVEKLFDQKGRSLSLLMHGSQSLRDCAMMELLNQGAKGERLKIKPRPVQFAILYCLAALESLARWQVNNGHQGMKLLLSGAYMYFHGKMELFTASLEDNGGLSSKASQLASDFSELLERNEQVDRTSLTLLMLNAYALLLDTNTARWDSKDSELCQLSELYEKLTQVVCSNWELSSRLAINREAEHCHAVADKLRKTSRYLEVLRINVHVDDPRVYLESQILDFLKNWGDEGECDLLQEKLKYIAPSGPFLKAAREVWYKARGDTVDNKCLLEAIKLVLDKQTPNLEVSRRYCEVAKETNEELEYLLGLFRHAKPDREQVNIYTCIYKKALDYEKAEYYLKAFYFGKMSKQRPSPTLGLVSAVYREVDAKSRRM
+>sp|O14924|RGS12_HUMAN Regulator of G-protein signaling 12 OS=Homo sapiens OX=9606 GN=RGS12 PE=1 SV=1
+MFRAGEASKRPLPGPSPPRVRSVEVARGRAGYGFTLSGQAPCVLSCVMRGSPADFVGLRAGDQILAVNEINVKKASHEDVVKLIGKCSGVLHMVIAEGVGRFESCSSDEEGGLYEGKGWLKPKLDSKALGINRAERVVEEMQSGGIFNMIFENPSLCASNSEPLKLKQRSLSESAATRFDVGHESINNPNPNMLSKEEISKVIHDDSVFSIGLESHDDFALDASILNVAMIVGYLGSIELPSTSSNLESDSLQAIRGCMRRLRAEQKIHSLVTMKIMHDCVQLSTDKAGVVAEYPAEKLAFSAVCPDDRRFFGLVTMQTNDDGSLAQEEEGALRTSCHVFMVDPDLFNHKIHQGIARRFGFECTADPDTNGCLEFPASSLPVLQFISVLYRDMGELIEGMRARAFLDGDADAHQNNSTSSNSDSGIGNFHQEEKSNRVLVVDLGGSSSRHGPGGSAWDGVGGRGAQPWGAPWTGPFCPDPEGSPPFEAAHQTDRFWDLNKHLGPASPVEVPPASLRSSVPPSKRGTVGAGCGFNQRWLPVHVLREWQCGHTSDQDSYTDSTDGWSSINCGTLPPPMSKIPADRYRVEGSFAQPPLNAPKREWSRKAFGMQSIFGPHRNVRKTKEDKKGSKFGRGTGLTQPSQRTSARRSFGRSKRFSITRSLDDLESATVSDGELTGADLKDCVSNNSLSSNASLPSVQSCRRLRERRVASWAVSFERLLQDPVGVRYFSDFLRKEFSEENILFWQACEYFNHVPAHDKKELSYRAREIFSKFLCSKATTPVNIDSQAQLADDVLRAPHPDMFKEQQLQIFNLMKFDSYTRFLKSPLYQECILAEVEGRALPDSQQVPSSPASKHSLGSDHSSVSTPKKLSGKSKSGRSLNEELGDEDSEKKRKGAFFSWSRTRSTGRSQKKREHGDHADDALHANGGLCRRESQGSVSSAGSLDLSEACRTLAPEKDKATKHCCIHLPDGTSCVVAVKAGFSIKDILSGLCERHGINGAAADLFLVGGDKPLVLHQDSSILESRDLRLEKRTLFRLDLVPINRSVGLKAKPTKPVTEVLRPVVARYGLDLSGLLVRLSGEKEPLDLGAPISSLDGQRVVLEEKDPSRGKASADKQKGVPVKQNTAVNSSSRNHSATGEERTLGKSNSIKIKGENGKNARDPRLSKREESIAKIGKKKYQKINLDEAEEFFELISKAQSNRADDQRGLLRKEDLVLPEFLRLPPGSTELTLPTPAAVAKGFSKRSATGNGRESASQPGEQWEPVQESSDSPSTSPGSASSPPGPPGTTPPGQKSPSGPFCTPQSPVSLAQEGTAQIWKRQSQEVEAGGIQTVEDEHVAELTLMGEGDISSPNSTLLPPPSTPQEVPGPSRPGSGTHGSRDLPVNRIIDVDLVTGSAPGRDGGIAGAQAGPGRSQASGGPPTSDLPGLGPVPGEPAKPKTSAHHATFV
+>DECOY_sp|O14924|RGS12_HUMAN Regulator of G-protein signaling 12 OS=Homo sapiens OX=9606 GN=RGS12 PE=1 SV=1
+VFTAHHASTKPKAPEGPVPGLGPLDSTPPGGSAQSRGPGAQAGAIGGDRGPASGTVLDVDIIRNVPLDRSGHTGSGPRSPGPVEQPTSPPPLLTSNPSSIDGEGMLTLEAVHEDEVTQIGGAEVEQSQRKWIQATGEQALSVPSQPTCFPGSPSKQGPPTTGPPGPPSSASGPSTSPSDSSEQVPEWQEGPQSASERGNGTASRKSFGKAVAAPTPLTLETSGPPLRLFEPLVLDEKRLLGRQDDARNSQAKSILEFFEEAEDLNIKQYKKKGIKAISEERKSLRPDRANKGNEGKIKISNSKGLTREEGTASHNRSSSNVATNQKVPVGKQKDASAKGRSPDKEELVVRQGDLSSIPAGLDLPEKEGSLRVLLGSLDLGYRAVVPRLVETVPKTPKAKLGVSRNIPVLDLRFLTRKELRLDRSELISSDQHLVLPKDGGVLFLDAAAGNIGHRECLGSLIDKISFGAKVAVVCSTGDPLHICCHKTAKDKEPALTRCAESLDLSGASSVSGQSERRCLGGNAHLADDAHDGHERKKQSRGTSRTRSWSFFAGKRKKESDEDGLEENLSRGSKSKGSLKKPTSVSSHDSGLSHKSAPSSPVQQSDPLARGEVEALICEQYLPSKLFRTYSDFKMLNFIQLQQEKFMDPHPARLVDDALQAQSDINVPTTAKSCLFKSFIERARYSLEKKDHAPVHNFYECAQWFLINEESFEKRLFDSFYRVGVPDQLLREFSVAWSAVRRERLRRCSQVSPLSANSSLSNNSVCDKLDAGTLEGDSVTASELDDLSRTISFRKSRGFSRRASTRQSPQTLGTGRGFKSGKKDEKTKRVNRHPGFISQMGFAKRSWERKPANLPPQAFSGEVRYRDAPIKSMPPPLTGCNISSWGDTSDTYSDQDSTHGCQWERLVHVPLWRQNFGCGAGVTGRKSPPVSSRLSAPPVEVPSAPGLHKNLDWFRDTQHAAEFPPSGEPDPCFPGTWPAGWPQAGRGGVGDWASGGPGHRSSSGGLDVVLVRNSKEEQHFNGIGSDSNSSTSNNQHADADGDLFARARMGEILEGMDRYLVSIFQLVPLSSAPFELCGNTDPDATCEFGFRRAIGQHIKHNFLDPDVMFVHCSTRLAGEEEQALSGDDNTQMTVLGFFRRDDPCVASFALKEAPYEAVVGAKDTSLQVCDHMIKMTVLSHIKQEARLRRMCGRIAQLSDSELNSSTSPLEISGLYGVIMAVNLISADLAFDDHSELGISFVSDDHIVKSIEEKSLMNPNPNNISEHGVDFRTAASESLSRQKLKLPESNSACLSPNEFIMNFIGGSQMEEVVREARNIGLAKSDLKPKLWGKGEYLGGEEDSSCSEFRGVGEAIVMHLVGSCKGILKVVDEHSAKKVNIENVALIQDGARLGVFDAPSGRMVCSLVCPAQGSLTFGYGARGRAVEVSRVRPPSPGPLPRKSAEGARFM
+>sp|O43566|RGS14_HUMAN Regulator of G-protein signaling 14 OS=Homo sapiens OX=9606 GN=RGS14 PE=1 SV=4
+MPGKPKHLGVPNGRMVLAVSDGELSSTTGPQGQGEGRGSSLSIHSLPSGPSSPFPTEEQPVASWALSFERLLQDPLGLAYFTEFLKKEFSAENVTFWKACERFQQIPASDTQQLAQEARNIYQEFLSSQALSPVNIDRQAWLGEEVLAEPRPDMFRAQQLQIFNLMKFDSYARFVKSPLYRECLLAEAEGRPLREPGSSRLGSPDATRKKPKLKPGKSLPLGVEELGQLPPVEGPGGRPLRKSFRRELGGTANAALRRESQGSLNSSASLDLGFLAFVSSKSESHRKSLGSTEGESESRPGKYCCVYLPDGTASLALARPGLTIRDMLAGICEKRGLSLPDIKVYLVGNEQALVLDQDCTVLADQEVRLENRITFELELTALERVVRISAKPTKRLQEALQPILEKHGLSPLEVVLHRPGEKQPLDLGKLVSSVAAQRLVLDTLPGVKISKARDKSPCRSQGCPPRTQDKATHPPPASPSSLVKVPSSATGKRQTCDIEGLVELLNRVQSSGAHDQRGLLRKEDLVLPEFLQLPAQGPSSEETPPQTKSAAQPIGGSLNSTTDSAL
+>DECOY_sp|O43566|RGS14_HUMAN Regulator of G-protein signaling 14 OS=Homo sapiens OX=9606 GN=RGS14 PE=1 SV=4
+LASDTTSNLSGGIPQAASKTQPPTEESSPGQAPLQLFEPLVLDEKRLLGRQDHAGSSQVRNLLEVLGEIDCTQRKGTASSPVKVLSSPSAPPPHTAKDQTRPPCGQSRCPSKDRAKSIKVGPLTDLVLRQAAVSSVLKGLDLPQKEGPRHLVVELPSLGHKELIPQLAEQLRKTPKASIRVVRELATLELEFTIRNELRVEQDALVTCDQDLVLAQENGVLYVKIDPLSLGRKECIGALMDRITLGPRALALSATGDPLYVCCYKGPRSESEGETSGLSKRHSESKSSVFALFGLDLSASSNLSGQSERRLAANATGGLERRFSKRLPRGGPGEVPPLQGLEEVGLPLSKGPKLKPKKRTADPSGLRSSGPERLPRGEAEALLCERYLPSKVFRAYSDFKMLNFIQLQQARFMDPRPEALVEEGLWAQRDINVPSLAQSSLFEQYINRAEQALQQTDSAPIQQFRECAKWFTVNEASFEKKLFETFYALGLPDQLLREFSLAWSAVPQEETPFPSSPGSPLSHISLSSGRGEGQGQPGTTSSLEGDSVALVMRGNPVGLHKPKGPM
+>sp|P49802|RGS7_HUMAN Regulator of G-protein signaling 7 OS=Homo sapiens OX=9606 GN=RGS7 PE=1 SV=3
+MAQGNNYGQTSNGVADESPNMLVYRKMEDVIARMQDEKNGIPIRTVKSFLSKIPSVFSGSDIVQWLIKNLTIEDPVEALHLGTLMAAHGYFFPISDHVLTLKDDGTFYRFQTPYFWPSNCWEPENTDYAVYLCKRTMQNKARLELADYEAESLARLQRAFARKWEFIFMQAEAQAKVDKKRDKIERKILDSQERAFWDVHRPVPGCVNTTEVDIKKSSRMRNPHKTRKSVYGLQNDIRSHSPTHTPTPETKPPTEDELQQQIKYWQIQLDRHRLKMSKVADSLLSYTEQYLEYDPFLLPPDPSNPWLSDDTTFWELEASKEPSQQRVKRWGFGMDEALKDPVGREQFLKFLESEFSSENLRFWLAVEDLKKRPIKEVPSRVQEIWQEFLAPGAPSAINLDSKSYDKTTQNVKEPGRYTFEDAQEHIYKLMKSDSYPRFIRSSAYQELLQAKKKSGNSMDRRTSFEKFAQNVGRNIPIFPCHKNCTPTLRASTNLL
+>DECOY_sp|P49802|RGS7_HUMAN Regulator of G-protein signaling 7 OS=Homo sapiens OX=9606 GN=RGS7 PE=1 SV=3
+LLNTSARLTPTCNKHCPFIPINRGVNQAFKEFSTRRDMSNGSKKKAQLLEQYASSRIFRPYSDSKMLKYIHEQADEFTYRGPEKVNQTTKDYSKSDLNIASPAGPALFEQWIEQVRSPVEKIPRKKLDEVALWFRLNESSFESELFKLFQERGVPDKLAEDMGFGWRKVRQQSPEKSAELEWFTTDDSLWPNSPDPPLLFPDYELYQETYSLLSDAVKSMKLRHRDLQIQWYKIQQQLEDETPPKTEPTPTHTPSHSRIDNQLGYVSKRTKHPNRMRSSKKIDVETTNVCGPVPRHVDWFAREQSDLIKREIKDRKKDVKAQAEAQMFIFEWKRAFARQLRALSEAEYDALELRAKNQMTRKCLYVAYDTNEPEWCNSPWFYPTQFRYFTGDDKLTLVHDSIPFFYGHAAMLTGLHLAEVPDEITLNKILWQVIDSGSFVSPIKSLFSKVTRIPIGNKEDQMRAIVDEMKRYVLMNPSEDAVGNSTQGYNNGQAM
+>sp|Q9H310|RHBG_HUMAN Ammonium transporter Rh type B OS=Homo sapiens OX=9606 GN=RHBG PE=1 SV=2
+MAGSPSRAAGRRLQLPLLCLFLQGATAVLFAVFVRYNHKTDAALWHRSNHSNADNEFYFRYPSFQDVHAMVFVGFGFLMVFLQRYGFSSVGFTFLLAAFALQWSTLVQGFLHSFHGGHIHVGVESMINADFCAGAVLISFGAVLGKTGPTQLLLMALLEVVLFGINEFVLLHLLGVRDAGGSMTIHTFGAYFGLVLSRVLYRPQLEKSKHRQGSVYHSDLFAMIGTIFLWIFWPSFNAALTALGAGQHRTALNTYYSLAASTLGTFALSALVGEDGRLDMVHIQNAALAGGVVVGTSSEMMLTPFGALAAGFLAGTVSTLGYKFFTPILESKFKVQDTCGVHNLHGMPGVLGALLGVLVAGLATHEAYGDGLESVFPLIAEGQRSATSQAMHQLFGLFVTLMFASVGGGLGGLLLKLPFLDSPPRLPALRGPSSLAGAWRA
+>DECOY_sp|Q9H310|RHBG_HUMAN Ammonium transporter Rh type B OS=Homo sapiens OX=9606 GN=RHBG PE=1 SV=2
+ARWAGALSSPGRLAPLRPPSDLFPLKLLLGGLGGGVSAFMLTVFLGFLQHMAQSTASRQGEAILPFVSELGDGYAEHTALGAVLVGLLAGLVGPMGHLNHVGCTDQVKFKSELIPTFFKYGLTSVTGALFGAALAGFPTLMMESSTGVVVGGALAANQIHVMDLRGDEGVLASLAFTGLTSAALSYYTNLATRHQGAGLATLAANFSPWFIWLFITGIMAFLDSHYVSGQRHKSKELQPRYLVRSLVLGFYAGFTHITMSGGADRVGLLHLLVFENIGFLVVELLAMLLLQTPGTKGLVAGFSILVAGACFDANIMSEVGVHIHGGHFSHLFGQVLTSWQLAFAALLFTFGVSSFGYRQLFVMLFGFGVFVMAHVDQFSPYRFYFENDANSHNSRHWLAADTKHNYRVFVAFLVATAGQLFLCLLPLQLRRGAARSPSGAM
+>sp|Q07960|RHG01_HUMAN Rho GTPase-activating protein 1 OS=Homo sapiens OX=9606 GN=ARHGAP1 PE=1 SV=1
+MDPLSELQDDLTLDDTSEALNQLKLASIDEKNWPSDEMPDFPKSDDSKSSSPELVTHLKWDDPYYDIARHQIVEVAGDDKYGRKIIVFSACRMPPSHQLDHSKLLGYLKHTLDQYVESDYTLLYLHHGLTSDNKPSLSWLRDAYREFDRKYKKNIKALYIVHPTMFIKTLLILFKPLISFKFGQKIFYVNYLSELSEHVKLEQLGIPRQVLKYDDFLKSTQKSPATAPKPMPPRPPLPNQQFGVSLQHLQEKNPEQEPIPIVLRETVAYLQAHALTTEGIFRRSANTQVVREVQQKYNMGLPVDFDQYNELHLPAVILKTFLRELPEPLLTFDLYPHVVGFLNIDESQRVPATLQVLQTLPEENYQVLRFLTAFLVQISAHSDQNKMTNTNLAVVFGPNLLWAKDAAITLKAINPINTFTKFLLDHQGELFPSPDPSGL
+>DECOY_sp|Q07960|RHG01_HUMAN Rho GTPase-activating protein 1 OS=Homo sapiens OX=9606 GN=ARHGAP1 PE=1 SV=1
+LGSPDPSPFLEGQHDLLFKTFTNIPNIAKLTIAADKAWLLNPGFVVALNTNTMKNQDSHASIQVLFATLFRLVQYNEEPLTQLVQLTAPVRQSEDINLFGVVHPYLDFTLLPEPLERLFTKLIVAPLHLENYQDFDVPLGMNYKQQVERVVQTNASRRFIGETTLAHAQLYAVTERLVIPIPEQEPNKEQLHQLSVGFQQNPLPPRPPMPKPATAPSKQTSKLFDDYKLVQRPIGLQELKVHESLESLYNVYFIKQGFKFSILPKFLILLTKIFMTPHVIYLAKINKKYKRDFERYADRLWSLSPKNDSTLGHHLYLLTYDSEVYQDLTHKLYGLLKSHDLQHSPPMRCASFVIIKRGYKDDGAVEVIQHRAIDYYPDDWKLHTVLEPSSSKSDDSKPFDPMEDSPWNKEDISALKLQNLAESTDDLTLDDQLESLPDM
+>sp|O43182|RHG06_HUMAN Rho GTPase-activating protein 6 OS=Homo sapiens OX=9606 GN=ARHGAP6 PE=1 SV=3
+MSAQSLLHSVFSCSSPASSSAASAKGFSKRKLRQTRSLDPALIGGCGSDEAGAEGSARGATAGRLYSPSLPAESLGPRLASSSRGPPPRATRLPPPGPLCSSFSTPSTPQEKSPSGSFHFDYEVPLGRGGLKKSMAWDLPSVLAGPASSRSASSILCSSGGGPNGIFASPRRWLQQRKFQSPPDSRGHPYVVWKSEGDFTWNSMSGRSVRLRSVPIQSLSELERARLQEVAFYQLQQDCDLSCQITIPKDGQKRKKSLRKKLDSLGKEKNKDKEFIPQAFGMPLSQVIANDRAYKLKQDLQRDEQKDASDFVASLLPFGNKRQNKELSSSNSSLSSTSETPNESTSPNTPEPAPRARRRGAMSVDSITDLDDNQSRLLEALQLSLPAEAQSKKEKARDKKLSLNPIYRQVPRLVDSCCQHLEKHGLQTVGIFRVGSSKKRVRQLREEFDRGIDVSLEEEHSVHDVAALLKEFLRDMPDPLLTRELYTAFINTLLLEPEEQLGTLQLLIYLLPPCNCDTLHRLLQFLSIVARHADDNISKDGQEVTGNKMTSLNLATIFGPNLLHKQKSSDKEFSVQSSARAEESTAIIAVVQKMIENYEALFMVPPDLQNEVLISLLETDPDVVDYLLRRKASQSSSPDMLQSEVSFSVGGRHSSTDSNKASSGDISPYDNNSPVLSERSLLAMQEDAAPGGSEKLYRVPGQFMLVGHLSSSKSRESSPGPRLGKDLSEEPFDIWGTWHSTLKSGSKDPGMTGSSGDIFESSSLRAGPCSLSQGNLSPNWPRWQGSPAELDSDTQGARRTQAAAPATEGRAHPAVSRACSTPHVQVAGKAERPTARSEQYLTLSGAHDLSESELDVAGLQSRATPQCQRPHGSGRDDKRPPPPYPGPGKPAAAAAWIQGPPEGVETPTDQGGQAAEREQQVTQKKLSSANSLPAGEQDSPRLGDAGWLDWQRERWQIWELLSTDNPDALPETLV
+>DECOY_sp|O43182|RHG06_HUMAN Rho GTPase-activating protein 6 OS=Homo sapiens OX=9606 GN=ARHGAP6 PE=1 SV=3
+VLTEPLADPNDTSLLEWIQWRERQWDLWGADGLRPSDQEGAPLSNASSLKKQTVQQEREAAQGGQDTPTEVGEPPGQIWAAAAAPKGPGPYPPPPRKDDRGSGHPRQCQPTARSQLGAVDLESESLDHAGSLTLYQESRATPREAKGAVQVHPTSCARSVAPHARGETAPAAAQTRRAGQTDSDLEAPSGQWRPWNPSLNGQSLSCPGARLSSSEFIDGSSGTMGPDKSGSKLTSHWTGWIDFPEESLDKGLRPGPSSERSKSSSLHGVLMFQGPVRYLKESGGPAADEQMALLSRESLVPSNNDYPSIDGSSAKNSDTSSHRGGVSFSVESQLMDPSSSQSAKRRLLYDVVDPDTELLSILVENQLDPPVMFLAEYNEIMKQVVAIIATSEEARASSQVSFEKDSSKQKHLLNPGFITALNLSTMKNGTVEQGDKSINDDAHRAVISLFQLLRHLTDCNCPPLLYILLQLTGLQEEPELLLTNIFATYLERTLLPDPMDRLFEKLLAAVDHVSHEEELSVDIGRDFEERLQRVRKKSSGVRFIGVTQLGHKELHQCCSDVLRPVQRYIPNLSLKKDRAKEKKSQAEAPLSLQLAELLRSQNDDLDTISDVSMAGRRRARPAPEPTNPSTSENPTESTSSLSSNSSSLEKNQRKNGFPLLSAVFDSADKQEDRQLDQKLKYARDNAIVQSLPMGFAQPIFEKDKNKEKGLSDLKKRLSKKRKQGDKPITIQCSLDCDQQLQYFAVEQLRARELESLSQIPVSRLRVSRGSMSNWTFDGESKWVVYPHGRSDPPSQFKRQQLWRRPSAFIGNPGGGSSCLISSASRSSAPGALVSPLDWAMSKKLGGRGLPVEYDFHFSGSPSKEQPTSPTSFSSCLPGPPPLRTARPPPGRSSSALRPGLSEAPLSPSYLRGATAGRASGEAGAEDSGCGGILAPDLSRTQRLKRKSFGKASAASSSAPSSCSFVSHLLSQASM
+>sp|Q6ZUM4|RHG27_HUMAN Rho GTPase-activating protein 27 OS=Homo sapiens OX=9606 GN=ARHGAP27 PE=1 SV=3
+MAADVVGDVYVLVEHPFEYTGKDGRRVAIRPNERYRLLRRSTEHWWHVRREPGGRPFYLPAQYVRELPALGNPAAAAPPGPHPSPAAPEPLAYDYRFVSAAATAGPDGAPEESGGRASSLCGPAQRGAATQRSSLAPGLPACLYLRPAAPVRPAQSLNDLACAAVSPPAGLLGSSGSFKACSVAGSWVCPRPLARSDSENVYEVIQDLHVPPPEESAEQVDDPPEPVYANIERQPRATSPGAAAAPLPSPVWETHTDAGTGRPYYYNPDTGVTTWESPFEAAEGAASPATSPASVDSHVSLETEWGQYWDEESRRVFFYNPLTGETAWEDEAENEPEEELEMQPGLSPGSPGDPRPPTPETDYPESLTSYPEEDYSPVGSFGEPGPTSPLTTPPGWSCHVSQDKQMLYTNHFTQEQWVRLEDPHGKPYFYNPEDSSVRWELPQVPVPAPRSIHKSSQDGDTPAQASPPEEKVPAELDEVGSWEEVSPATAAVRTKTLDKAGVLHRTKTADKGKRLRKKHWSASWTVLEGGVLTFFKDSKTSAAGGLRQPSKFSTPEYTVELRGATLSWAPKDKSSRKNVLELRSRDGSEYLIQHDSEAIISTWHKAIAQGIQELSAELPPEESESSRVDFGSSERLGSWQEKEEDARPNAAAPALGPVGLESDLSKVRHKLRKFLQRRPTLQSLREKGYIKDQVFGCALAALCERERSRVPRFVQQCIRAVEARGLDIDGLYRISGNLATIQKLRYKVDHDERLDLDDGRWEDVHVITGALKLFFRELPEPLFPFSHFRQFIAAIKLQDQARRSRCVRDLVRSLPAPNHDTLRMLFQHLCRVIEHGEQNRMSVQSVAIVFGPTLLRPEVEETSMPMTMVFQNQVVELILQQCADIFPPH
+>DECOY_sp|Q6ZUM4|RHG27_HUMAN Rho GTPase-activating protein 27 OS=Homo sapiens OX=9606 GN=ARHGAP27 PE=1 SV=3
+HPPFIDACQQLILEVVQNQFVMTMPMSTEEVEPRLLTPGFVIAVSQVSMRNQEGHEIVRCLHQFLMRLTDHNPAPLSRVLDRVCRSRRAQDQLKIAAIFQRFHSFPFLPEPLERFFLKLAGTIVHVDEWRGDDLDLREDHDVKYRLKQITALNGSIRYLGDIDLGRAEVARICQQVFRPVRSRERECLAALACGFVQDKIYGKERLSQLTPRRQLFKRLKHRVKSLDSELGVPGLAPAAANPRADEEKEQWSGLRESSGFDVRSSESEEPPLEASLEQIGQAIAKHWTSIIAESDHQILYESGDRSRLELVNKRSSKDKPAWSLTAGRLEVTYEPTSFKSPQRLGGAASTKSDKFFTLVGGELVTWSASWHKKRLRKGKDATKTRHLVGAKDLTKTRVAATAPSVEEWSGVEDLEAPVKEEPPSAQAPTDGDQSSKHISRPAPVPVQPLEWRVSSDEPNYFYPKGHPDELRVWQEQTFHNTYLMQKDQSVHCSWGPPTTLPSTPGPEGFSGVPSYDEEPYSTLSEPYDTEPTPPRPDGPSGPSLGPQMELEEEPENEAEDEWATEGTLPNYFFVRRSEEDWYQGWETELSVHSDVSAPSTAPSAAGEAAEFPSEWTTVGTDPNYYYPRGTGADTHTEWVPSPLPAAAAGPSTARPQREINAYVPEPPDDVQEASEEPPPVHLDQIVEYVNESDSRALPRPCVWSGAVSCAKFSGSSGLLGAPPSVAACALDNLSQAPRVPAAPRLYLCAPLGPALSSRQTAAGRQAPGCLSSARGGSEEPAGDPGATAAASVFRYDYALPEPAAPSPHPGPPAAAAPNGLAPLERVYQAPLYFPRGGPERRVHWWHETSRRLLRYRENPRIAVRRGDKGTYEFPHEVLVYVDGVVDAAM
+>sp|P61586|RHOA_HUMAN Transforming protein RhoA OS=Homo sapiens OX=9606 GN=RHOA PE=1 SV=1
+MAAIRKKLVIVGDGACGKTCLLIVFSKDQFPEVYVPTVFENYVADIEVDGKQVELALWDTAGQEDYDRLRPLSYPDTDVILMCFSIDSPDSLENIPEKWTPEVKHFCPNVPIILVGNKKDLRNDEHTRRELAKMKQEPVKPEEGRDMANRIGAFGYMECSAKTKDGVREVFEMATRAALQARRGKKKSGCLVL
+>DECOY_sp|P61586|RHOA_HUMAN Transforming protein RhoA OS=Homo sapiens OX=9606 GN=RHOA PE=1 SV=1
+LVLCGSKKKGRRAQLAARTAMEFVERVGDKTKASCEMYGFAGIRNAMDRGEEPKVPEQKMKALERRTHEDNRLDKKNGVLIIPVNPCFHKVEPTWKEPINELSDPSDISFCMLIVDTDPYSLPRLRDYDEQGATDWLALEVQKGDVEIDAVYNEFVTPVYVEPFQDKSFVILLCTKGCAGDGVIVLKKRIAAM
+>sp|Q9H4E5|RHOJ_HUMAN Rho-related GTP-binding protein RhoJ OS=Homo sapiens OX=9606 GN=RHOJ PE=1 SV=1
+MNCKEGTDSSCGCRGNDEKKMLKCVVVGDGAVGKTCLLMSYANDAFPEEYVPTVFDHYAVTVTVGGKQHLLGLYDTAGQEDYNQLRPLSYPNTDVFLICFSVVNPASYHNVQEEWVPELKDCMPHVPYVLIGTQIDLRDDPKTLARLLYMKEKPLTYEHGVKLAKAIGAQCYLECSALTQKGLKAVFDEAILTIFHPKKKKKRCSEGHSCCSII
+>DECOY_sp|Q9H4E5|RHOJ_HUMAN Rho-related GTP-binding protein RhoJ OS=Homo sapiens OX=9606 GN=RHOJ PE=1 SV=1
+IISCCSHGESCRKKKKKPHFITLIAEDFVAKLGKQTLASCELYCQAGIAKALKVGHEYTLPKEKMYLLRALTKPDDRLDIQTGILVYPVHPMCDKLEPVWEEQVNHYSAPNVVSFCILFVDTNPYSLPRLQNYDEQGATDYLGLLHQKGGVTVTVAYHDFVTPVYEEPFADNAYSMLLCTKGVAGDGVVVCKLMKKEDNGRCGCSSDTGEKCNM
+>sp|P17081|RHOQ_HUMAN Rho-related GTP-binding protein RhoQ OS=Homo sapiens OX=9606 GN=RHOQ PE=1 SV=2
+MAHGPGALMLKCVVVGDGAVGKTCLLMSYANDAFPEEYVPTVFDHYAVSVTVGGKQYLLGLYDTAGQEDYDRLRPLSYPMTDVFLICFSVVNPASFQNVKEEWVPELKEYAPNVPFLLIGTQIDLRDDPKTLARLNDMKEKPICVEQGQKLAKEIGACCYVECSALTQKGLKTVFDEAIIAILTPKKHTVKKRIGSRCINCCLIT
+>DECOY_sp|P17081|RHOQ_HUMAN Rho-related GTP-binding protein RhoQ OS=Homo sapiens OX=9606 GN=RHOQ PE=1 SV=2
+TILCCNICRSGIRKKVTHKKPTLIAIIAEDFVTKLGKQTLASCEVYCCAGIEKALKQGQEVCIPKEKMDNLRALTKPDDRLDIQTGILLFPVNPAYEKLEPVWEEKVNQFSAPNVVSFCILFVDTMPYSLPRLRDYDEQGATDYLGLLYQKGGVTVSVAYHDFVTPVYEEPFADNAYSMLLCTKGVAGDGVVVCKLMLAGPGHAM
+>sp|A6NNX1|RIAD1_HUMAN RIIa domain-containing protein 1 OS=Homo sapiens OX=9606 GN=RIIAD1 PE=4 SV=1
+METLPGLLQRPDPGALSAAQLEQLRKFKIQTRIANEKYLRTHKEVEWLISGFFREIFLKRPDNILEFAADYFTDPRLPNKIHMQLIKDKKAA
+>DECOY_sp|A6NNX1|RIAD1_HUMAN RIIa domain-containing protein 1 OS=Homo sapiens OX=9606 GN=RIIAD1 PE=4 SV=1
+AAKKDKILQMHIKNPLRPDTFYDAAFELINDPRKLFIERFFGSILWEVEKHTRLYKENAIRTQIKFKRLQELQAASLAGPDPRQLLGPLTEM
+>sp|Q9H4K1|RIBC2_HUMAN RIB43A-like with coiled-coils protein 2 OS=Homo sapiens OX=9606 GN=RIBC2 PE=1 SV=1
+MRQNDKIMCILENRKKRDRKNLCRAINDFQQSFQKPETRREFDLSDPLALKKDLPARQSDNDVRNTISGMQKFMGEDLNFHERKKFQEEQNREWSLQQQREWKNARAEQKCAEALYTETRLQFDETAKHLQKLESTTRKAVCASVKDFNKSQAIESVERKKQEKKQEQEDNLAEITNLLRGDLLSENPQQAASSFGPHRVVPDRWKGMTQEQLEQIRLVQKQQIQEKLRLQEEKRQRDLDWDRRRIQGARATLLFERQQWRRQRDLRRALDSSNLSLAKEQHLQKKYMNEVYTNQPTGDYFTQFNTGSR
+>DECOY_sp|Q9H4K1|RIBC2_HUMAN RIB43A-like with coiled-coils protein 2 OS=Homo sapiens OX=9606 GN=RIBC2 PE=1 SV=1
+RSGTNFQTFYDGTPQNTYVENMYKKQLHQEKALSLNSSDLARRLDRQRRWQQREFLLTARAGQIRRRDWDLDRQRKEEQLRLKEQIQQKQVLRIQELQEQTMGKWRDPVVRHPGFSSAAQQPNESLLDGRLLNTIEALNDEQEQKKEQKKREVSEIAQSKNFDKVSACVAKRTTSELKQLHKATEDFQLRTETYLAEACKQEARANKWERQQQLSWERNQEEQFKKREHFNLDEGMFKQMGSITNRVDNDSQRAPLDKKLALPDSLDFERRTEPKQFSQQFDNIARCLNKRDRKKRNELICMIKDNQRM
+>sp|Q4ADV7|RIC1_HUMAN RAB6A-GEF complex partner protein 1 OS=Homo sapiens OX=9606 GN=RIC1 PE=1 SV=2
+MYFLSGWPKRLLCPLGSPAEAPFHVQSDPQRAFFAVLAAARLSIWYSRPSVLIVTYKEPAKSSTQFGSYKQAEWRPDSTMIAVSTANGYILFFHITSTRGDKYLYEPVYPKGSPQMKGTPHFKEEQCAPALNLEMRKILDLQAPIMSLQSVLEDLLVATSDGLLHLIHWEGMTNGRKAINLCTVPFSVDLQSSRVGSFLGFTDVHIRDMEYCATLDGFAVVFNDGKVGFITPVSSRFTAEQLHGVWPQDVVDGTCVAVNNKYRLMAFGCVSGSVQVYTIDNSTGAMLLSHKLELTAKQYPDIWNKTGAVKLMRWSPDNSVVIVTWEYGGLSLWSVFGAQLICTLGGDFAYRSDGTKKDPLKINSMSWGAEGYHLWVISGFGSQNTEIESDLRSVVKQPSILLFQFIKSVLTVNPCMSNQEQVLLQGEDRLYLNCGEASQTQNPRSSSTHSEHKPSREKSPFADGGLESQGLSTLLGHRHWHVVQISSTYLESNWPIRFSAIDKLGQNIAVVGKFGFAHYSLLTKKWKLFGNITQEQNMIVTGGLAWWNDFMVLACYNINDRQEELRVYLRTSNLDNAFAHVTKAQAETLLLSVFQDMVIVFRADCSICLYSIERKSDGPNTTAGIQVLQEVSMSRYIPHPFLVVSVTLTSVSTENGITLKMPQQARGAESIMLNLAGQLIMMQRDRSGPQIREKDSNPNNQRKLLPFCPPVVLAQSVENVWTTCRANKQKRHLLEALWLSCGGAGMKVWLPLFPRDHRKPHSFLSQRIMLPFHINIYPLAVLFEDALVLGAVNDTLLYDSLYTRNNAREQLEVLFPFCVVERTSQIYLHHILRQLLVRNLGEQALLLAQSCATLPYFPHVLELMLHEVLEEEATSREPIPDPLLPTVAKFITEFPLFLQTVVHCARKTEYALWNYLFAAVGNPKDLFEECLMAQDLDTAASYLIILQNMEVPAVSRQHATLLFNTALEQGKWDLCRHMIRFLKAIGSGESETPPSTPTAQEPSSSGGFEFFRNRSISLSQSAENVPASKFSLQKTLSMPSGPSGKRWSKDSDCAENMYIDMMLWRHARRLLEDVRLKDLGCFAAQLGFELISWLCKERTRAARVDNFVIALKRLHKDFLWPLPIIPASSISSPFKNGKYRTVGEQLLKSQSADPFLNLEMDAGISNIQRSQSWLSNIGPTHHEIDTASSHGPQMQDAFLSPLSNKGDECSIGSATDLTESSSMVDGDWTMVDENFSTLSLTQSELEHISMELASKGPHKSQVQLRYLLHIFMEAGCLDWCIVIGLILRESSIINQILVITQSSEVDGEMLQNIKTGLHAVDRWASTDCPGYKPFLNIIKPQLQKLSEITEEQVQPDAFQPITMGKTPEQTSPRAEESRGSSSHGSIPQGEVGSSNMVSRKEEDTAQAEEEEPFQDGTYDCSVS
+>DECOY_sp|Q4ADV7|RIC1_HUMAN RAB6A-GEF complex partner protein 1 OS=Homo sapiens OX=9606 GN=RIC1 PE=1 SV=2
+SVSCDYTGDQFPEEEEAQATDEEKRSVMNSSGVEGQPISGHSSSGRSEEARPSTQEPTKGMTIPQFADPQVQEETIESLKQLQPKIINLFPKYGPCDTSAWRDVAHLGTKINQLMEGDVESSQTIVLIQNIISSERLILGIVICWDLCGAEMFIHLLYRLQVQSKHPGKSALEMSIHELESQTLSLTSFNEDVMTWDGDVMSSSETLDTASGISCEDGKNSLPSLFADQMQPGHSSATDIEHHTPGINSLWSQSRQINSIGADMELNLFPDASQSKLLQEGVTRYKGNKFPSSISSAPIIPLPWLFDKHLRKLAIVFNDVRAARTREKCLWSILEFGLQAAFCGLDKLRVDELLRRAHRWLMMDIYMNEACDSDKSWRKGSPGSPMSLTKQLSFKSAPVNEASQSLSISRNRFFEFGGSSSPEQATPTSPPTESEGSGIAKLFRIMHRCLDWKGQELATNFLLTAHQRSVAPVEMNQLIILYSAATDLDQAMLCEEFLDKPNGVAAFLYNWLAYETKRACHVVTQLFLPFETIFKAVTPLLPDPIPERSTAEEELVEHLMLELVHPFYPLTACSQALLLAQEGLNRVLLQRLIHHLYIQSTREVVCFPFLVELQERANNRTYLSDYLLTDNVAGLVLADEFLVALPYINIHFPLMIRQSLFSHPKRHDRPFLPLWVKMGAGGCSLWLAELLHRKQKNARCTTWVNEVSQALVVPPCFPLLKRQNNPNSDKERIQPGSRDRQMMILQGALNLMISEAGRAQQPMKLTIGNETSVSTLTVSVVLFPHPIYRSMSVEQLVQIGATTNPGDSKREISYLCISCDARFVIVMDQFVSLLLTEAQAKTVHAFANDLNSTRLYVRLEEQRDNINYCALVMFDNWWALGGTVIMNQEQTINGFLKWKKTLLSYHAFGFKGVVAINQGLKDIASFRIPWNSELYTSSIQVVHWHRHGLLTSLGQSELGGDAFPSKERSPKHESHTSSSRPNQTQSAEGCNLYLRDEGQLLVQEQNSMCPNVTLVSKIFQFLLISPQKVVSRLDSEIETNQSGFGSIVWLHYGEAGWSMSNIKLPDKKTGDSRYAFDGGLTCILQAGFVSWLSLGGYEWTVIVVSNDPSWRMLKVAGTKNWIDPYQKATLELKHSLLMAGTSNDITYVQVSGSVCGFAMLRYKNNVAVCTGDVVDQPWVGHLQEATFRSSVPTIFGVKGDNFVVAFGDLTACYEMDRIHVDTFGLFSGVRSSQLDVSFPVTCLNIAKRGNTMGEWHILHLLGDSTAVLLDELVSQLSMIPAQLDLIKRMELNLAPACQEEKFHPTGKMQPSGKPYVPEYLYKDGRTSTIHFFLIYGNATSVAIMTSDPRWEAQKYSGFQTSSKAPEKYTVILVSPRSYWISLRAAALVAFFARQPDSQVHFPAEAPSGLPCLLRKPWGSLFYM
+>sp|Q9NVN3|RIC8B_HUMAN Synembryn-B OS=Homo sapiens OX=9606 GN=RIC8B PE=1 SV=2
+MDEERALYIVRAGEAGAIERVLRDYSDKHRATFKFESTDEDKRKKLCEGIFKVLIKDIPTTCQVSCLEVLRILSRDKKVLVPVTTKENMQILLRLAKLNELDDSLEKVSEFPVIVESLKCLCNIVFNSQMAQQLSLELNLAAKLCNLLRKCKDRKFINDIKCFDLRLLFLLSLLHTDIRSQLRYELQGLPLLTQILESAFSIKWTDEYESAIDHNGPPLSPQETDCAIEALKALFNVTVDSWKVHKESDSHQFRVMAAVLRHCLLIVGPTEDKTEELHSNAVNLLSNVPVSCLDVLICPLTHEETAQEATTLDELPSNKTAEKETVLKNNTMVYNGMNMEAIHVLLNFMEKRIDKGSSYREGLTPVLSLLTECSRAHRNIRKFLKDQVLPPLRDVTNRPEVGSTVRNKLVRLMTHVDLGVKQIAAEFLFVLCKERVDSLLKYTGYGNAAGLLAARGLLAGGRGDNWYSEDEDTDTEEYKNAKPKEELLKPMGLKPDGTITPLEEALNQYSVIEETSSDTD
+>DECOY_sp|Q9NVN3|RIC8B_HUMAN Synembryn-B OS=Homo sapiens OX=9606 GN=RIC8B PE=1 SV=2
+DTDSSTEEIVSYQNLAEELPTITGDPKLGMPKLLEEKPKANKYEETDTDEDESYWNDGRGGALLGRAALLGAANGYGTYKLLSDVREKCLVFLFEAAIQKVGLDVHTMLRVLKNRVTSGVEPRNTVDRLPPLVQDKLFKRINRHARSCETLLSLVPTLGERYSSGKDIRKEMFNLLVHIAEMNMGNYVMTNNKLVTEKEATKNSPLEDLTTAEQATEEHTLPCILVDLCSVPVNSLLNVANSHLEETKDETPGVILLCHRLVAAMVRFQHSDSEKHVKWSDVTVNFLAKLAEIACDTEQPSLPPGNHDIASEYEDTWKISFASELIQTLLPLGQLEYRLQSRIDTHLLSLLFLLRLDFCKIDNIFKRDKCKRLLNCLKAALNLELSLQQAMQSNFVINCLCKLSEVIVPFESVKELSDDLENLKALRLLIQMNEKTTVPVLVKKDRSLIRLVELCSVQCTTPIDKILVKFIGECLKKRKDEDTSEFKFTARHKDSYDRLVREIAGAEGARVIYLAREEDM
+>sp|Q969G6|RIFK_HUMAN Riboflavin kinase OS=Homo sapiens OX=9606 GN=RFK PE=1 SV=2
+MRHLPYFCRGQVVRGFGRGSKQLGIPTANFPEQVVDNLPADISTGIYYGWASVGSGDVHKMVVSIGWNPYYKNTKKSMETHIMHTFKEDFYGEILNVAIVGYLRPEKNFDSLESLISAIQGDIEEAKKRLELPEHLKIKEDNFFQVSKSKIMNGH
+>DECOY_sp|Q969G6|RIFK_HUMAN Riboflavin kinase OS=Homo sapiens OX=9606 GN=RFK PE=1 SV=2
+HGNMIKSKSVQFFNDEKIKLHEPLELRKKAEEIDGQIASILSELSDFNKEPRLYGVIAVNLIEGYFDEKFTHMIHTEMSKKTNKYYPNWGISVVMKHVDGSGVSAWGYYIGTSIDAPLNDVVQEPFNATPIGLQKSGRGFGRVVQGRCFYPLHRM
+>sp|Q6NUQ1|RINT1_HUMAN RAD50-interacting protein 1 OS=Homo sapiens OX=9606 GN=RINT1 PE=1 SV=1
+MLPAGEIGASPAAPCCSESGDERKNLEEKSDINVTVLIGSKQVSEGTDNGDLPSYVSAFIEKEVGNDLKSLKKLDKLIEQRTVSKMQLEEQVLTISSEIPKRIRSALKNAEESKQFLNQFLEQETHLFSAINSHLLTAQPWMDDLGTMISQIEEIERHLAYLKWISQIEELSDNIQQYLMTNNVPEAASTLVSMAELDIKLQESSCTHLLGFMRATVKFWHKILKDKLTSDFEEILAQLHWPFIAPPQSQTVGLSRPASAPEIYSYLETLFCQLLKLQTSDELLTEPKQLPEKYSLPASPSVILPIQVMLTPLQKRFRYHFRGNRQTNVLSKPEWYLAQVLMWIGNHTEFLDEKIQPILDKVGSLVNARLEFSRGLMMLVLEKLATDIPCLLYDDNLFCHLVDEVLLFERELHSVHGYPGTFASCMHILSEETCFQRWLTVERKFALQKMDSMLSSEAAWVSQYKDITDVDEMKVPDCAETFMTLLLVITDRYKNLPTASRKLQFLELQKDLVDDFRIRLTQVMKEETRASLGFRYCAILNAVNYISTVLADWADNVFFLQLQQAALEVFAENNTLSKLQLGQLASMESSVFDDMINLLERLKHDMLTRQVDHVFREVKDAAKLYKKERWLSLPSQSEQAVMSLSSSACPLLLTLRDHLLQLEQQLCFSLFKIFWQMLVEKLDVYIYQEIILANHFNEGGAAQLQFDMTRNLFPLFSHYCKRPENYFKHIKEACIVLNLNVGSALLLKDVLQSASGQLPATAALNEVGIYKLAQQDVEILLNLRTNWPNTGK
+>DECOY_sp|Q6NUQ1|RINT1_HUMAN RAD50-interacting protein 1 OS=Homo sapiens OX=9606 GN=RINT1 PE=1 SV=1
+KGTNPWNTRLNLLIEVDQQALKYIGVENLAATAPLQGSASQLVDKLLLASGVNLNLVICAEKIHKFYNEPRKCYHSFLPFLNRTMDFQLQAAGGENFHNALIIEQYIYVDLKEVLMQWFIKFLSFCLQQELQLLHDRLTLLLPCASSSLSMVAQESQSPLSLWREKKYLKAADKVERFVHDVQRTLMDHKLRELLNIMDDFVSSEMSALQGLQLKSLTNNEAFVELAAQQLQLFFVNDAWDALVTSIYNVANLIACYRFGLSARTEEKMVQTLRIRFDDVLDKQLELFQLKRSATPLNKYRDTIVLLLTMFTEACDPVKMEDVDTIDKYQSVWAAESSLMSDMKQLAFKREVTLWRQFCTEESLIHMCSAFTGPYGHVSHLEREFLLVEDVLHCFLNDDYLLCPIDTALKELVLMMLGRSFELRANVLSGVKDLIPQIKEDLFETHNGIWMLVQALYWEPKSLVNTQRNGRFHYRFRKQLPTLMVQIPLIVSPSAPLSYKEPLQKPETLLEDSTQLKLLQCFLTELYSYIEPASAPRSLGVTQSQPPAIFPWHLQALIEEFDSTLKDKLIKHWFKVTARMFGLLHTCSSEQLKIDLEAMSVLTSAAEPVNNTMLYQQINDSLEEIQSIWKLYALHREIEEIQSIMTGLDDMWPQATLLHSNIASFLHTEQELFQNLFQKSEEANKLASRIRKPIESSITLVQEELQMKSVTRQEILKDLKKLSKLDNGVEKEIFASVYSPLDGNDTGESVQKSGILVTVNIDSKEELNKREDGSESCCPAAPSAGIEGAPLM
+>sp|Q15835|RK_HUMAN Rhodopsin kinase OS=Homo sapiens OX=9606 GN=GRK1 PE=1 SV=1
+MDFGSLETVVANSAFIAARGSFDGSSSQPSRDKKYLAKLKLPPLSKCESLRDSLSLEFESVCLEQPIGKKLFQQFLQSAEKHLPALELWKDIEDYDTADNDLQPQKAQTILAQYLDPQAKLFCSFLDEGIVAKFKEGPVEIQDGLFQPLLQATLAHLGQAPFQEYLGSLYFLRFLQWKWLEAQPMGEDWFLDFRVLGKGGFGEVSACQMKATGKLYACKKLNKKRLKKRKGYQGAMVEKKILMKVHSRFIVSLAYAFETKADLCLVMTIMNGGDIRYHIYNVNEENPGFPEPRALFYTAQIICGLEHLHQRRIVYRDLKPENVLLDNDGNVRISDLGLAVELLDGQSKTKGYAGTPGFMAPELLQGEEYDFSVDYFALGVTLYEMIAARGPFRARGEKVENKELKHRIISEPVKYPDKFSQASKDFCEALLEKDPEKRLGFRDETCDKLRAHPLFKDLNWRQLEAGMLMPPFIPDSKTVYAKDIQDVGAFSTVKGVAFDKTDTEFFQEFATGNCPIPWQEEMIETGIFGELNVWRSDGQMPDDMKGISGGSSSSSKSGMCLVS
+>DECOY_sp|Q15835|RK_HUMAN Rhodopsin kinase OS=Homo sapiens OX=9606 GN=GRK1 PE=1 SV=1
+SVLCMGSKSSSSSGGSIGKMDDPMQGDSRWVNLEGFIGTEIMEEQWPIPCNGTAFEQFFETDTKDFAVGKVTSFAGVDQIDKAYVTKSDPIFPPMLMGAELQRWNLDKFLPHARLKDCTEDRFGLRKEPDKELLAECFDKSAQSFKDPYKVPESIIRHKLEKNEVKEGRARFPGRAAIMEYLTVGLAFYDVSFDYEEGQLLEPAMFGPTGAYGKTKSQGDLLEVALGLDSIRVNGDNDLLVNEPKLDRYVIRRQHLHELGCIIQATYFLARPEPFGPNEENVNYIHYRIDGGNMITMVLCLDAKTEFAYALSVIFRSHVKMLIKKEVMAGQYGKRKKLRKKNLKKCAYLKGTAKMQCASVEGFGGKGLVRFDLFWDEGMPQAELWKWQLFRLFYLSGLYEQFPAQGLHALTAQLLPQFLGDQIEVPGEKFKAVIGEDLFSCFLKAQPDLYQALITQAKQPQLDNDATDYDEIDKWLELAPLHKEASQLFQQFLKKGIPQELCVSEFELSLSDRLSECKSLPPLKLKALYKKDRSPQSSSGDFSGRAAIFASNAVVTELSGFDM
+>sp|P42677|RS27_HUMAN 40S ribosomal protein S27 OS=Homo sapiens OX=9606 GN=RPS27 PE=1 SV=3
+MPLAKDLLHPSPEEEKRKHKKKRLVQSPNSYFMDVKCPGCYKITTVFSHAQTVVLCVGCSTVLCQPTGGKARLTEGCSFRRKQH
+>DECOY_sp|P42677|RS27_HUMAN 40S ribosomal protein S27 OS=Homo sapiens OX=9606 GN=RPS27 PE=1 SV=3
+HQKRRFSCGETLRAKGGTPQCLVTSCGVCLVVTQAHSFVTTIKYCGPCKVDMFYSNPSQVLRKKKHKRKEEEPSPHLLDKALPM
+>sp|Q8TD47|RS4Y2_HUMAN 40S ribosomal protein S4, Y isoform 2 OS=Homo sapiens OX=9606 GN=RPS4Y2 PE=2 SV=3
+MARGPKKHLKRVAAPKHWMLDKLTGVFAPRPSTGPHKLRECLPLIVFLRNRLKYALTGDEVKKICMQHFLKIDGKVRVDITYPAGFIDVISIEKTGEHFRLVYNTKGCFAVHRITVEEAKYKLCKVRKITVGTKGIPHLVTHDARTIRYPDPLIKVNDTVQIDLGTGKITSFIKFDTGNVCMVIAGANLGRVGVITNRERHPGSCDVVHVKDANGNSFATRISNIFVIGNGNKPWISLPRGKGIRLTIAEERDKRLAAKQSSG
+>DECOY_sp|Q8TD47|RS4Y2_HUMAN 40S ribosomal protein S4, Y isoform 2 OS=Homo sapiens OX=9606 GN=RPS4Y2 PE=2 SV=3
+GSSQKAALRKDREEAITLRIGKGRPLSIWPKNGNGIVFINSIRTAFSNGNADKVHVVDCSGPHRERNTIVGVRGLNAGAIVMCVNGTDFKIFSTIKGTGLDIQVTDNVKILPDPYRITRADHTVLHPIGKTGVTIKRVKCLKYKAEEVTIRHVAFCGKTNYVLRFHEGTKEISIVDIFGAPYTIDVRVKGDIKLFHQMCIKKVEDGTLAYKLRNRLFVILPLCERLKHPGTSPRPAFVGTLKDLMWHKPAAVRKLHKKPGRAM
+>sp|Q6PCB5|RSBNL_HUMAN Round spermatid basic protein 1-like protein OS=Homo sapiens OX=9606 GN=RSBN1L PE=1 SV=2
+MAEPPSPVHCVAAAAPTATVSEKEPFGKLQLSSRDPPGSLSAKKVRTEEKKAPRRVNGEGGSGGNSRQLQPPAAPSPQSYGSPASWSFAPLSAAPSPSSSRSSFSFSAGTAVPSSASASLSQPVPRKLLVPPTLLHAQPHHLLLPAAAAAASANAKSRRPKEKREKERRRHGLGGAREAGGASREENGEVKPLPRDKIKDKIKERDKEKEREKKKHKVMNEIKKENGEVKILLKSGKEKPKTNIEDLQIKKVKKKKKKKHKENEKRKRPKMYSKSIQTICSGLLTDVEDQAAKGILNDNIKDYVGKNLDTKNYDSKIPENSEFPFVSLKEPRVQNNLKRLDTLEFKQLIHIEHQPNGGASVIHAYSNELSHLSPMEMERFAEEFVGLVFSENENSAAFYVMGIVHGAATYLPDFLDYFSFNFPNSPVKMEILGKKDIETTTMSNFHAQVKRTYSHGTYRAGPMRQISLVGAVDEEVGDYFPEFLDMLEESPFLKCTLPWGTLSSLKLQSRKDSDDGPIMWVRPGEQMIPVADMPKSPFKRKRTTNEIKNLQYLPRTSEPREMLFEDRTRAHADHIGQGFERQTTAAVGVLKAVHCGEWPDQPRITKDVICFHAEDFLEVVQRMQLDLHEPPLSQCVQWVDDAKLNQLRREGIRYARIQLYDNDIYFIPRNVVHQFKTVSAVCSLAWHIRLKLYHSEEDTSQNTATHETGTSSDSTSSVLGPHTDNMICAVSKASLDSVFSDKLHSKYELQQIKHEPIASVRIKEEPVNVNIPEKTTALNNMDGKNVKAKLDHVQFAEFKIDMDSKFENSNKDLKEELCPGNLSLVDTRQHSSAHSNQDKKDDDILC
+>DECOY_sp|Q6PCB5|RSBNL_HUMAN Round spermatid basic protein 1-like protein OS=Homo sapiens OX=9606 GN=RSBN1L PE=1 SV=2
+CLIDDDKKDQNSHASSHQRTDVLSLNGPCLEEKLDKNSNEFKSDMDIKFEAFQVHDLKAKVNKGDMNNLATTKEPINVNVPEEKIRVSAIPEHKIQQLEYKSHLKDSFVSDLSAKSVACIMNDTHPGLVSSTSDSSTGTEHTATNQSTDEESHYLKLRIHWALSCVASVTKFQHVVNRPIFYIDNDYLQIRAYRIGERRLQNLKADDVWQVCQSLPPEHLDLQMRQVVELFDEAHFCIVDKTIRPQDPWEGCHVAKLVGVAATTQREFGQGIHDAHARTRDEFLMERPESTRPLYQLNKIENTTRKRKFPSKPMDAVPIMQEGPRVWMIPGDDSDKRSQLKLSSLTGWPLTCKLFPSEELMDLFEPFYDGVEEDVAGVLSIQRMPGARYTGHSYTRKVQAHFNSMTTTEIDKKGLIEMKVPSNPFNFSFYDLFDPLYTAAGHVIGMVYFAASNENESFVLGVFEEAFREMEMPSLHSLENSYAHIVSAGGNPQHEIHILQKFELTDLRKLNNQVRPEKLSVFPFESNEPIKSDYNKTDLNKGVYDKINDNLIGKAAQDEVDTLLGSCITQISKSYMKPRKRKENEKHKKKKKKKVKKIQLDEINTKPKEKGSKLLIKVEGNEKKIENMVKHKKKEREKEKDREKIKDKIKDRPLPKVEGNEERSAGGAERAGGLGHRRREKERKEKPRRSKANASAAAAAAPLLLHHPQAHLLTPPVLLKRPVPQSLSASASSPVATGASFSFSSRSSSPSPAASLPAFSWSAPSGYSQPSPAAPPQLQRSNGGSGGEGNVRRPAKKEETRVKKASLSGPPDRSSLQLKGFPEKESVTATPAAAAVCHVPSPPEAM
+>sp|P08865|RSSA_HUMAN 40S ribosomal protein SA OS=Homo sapiens OX=9606 GN=RPSA PE=1 SV=4
+MSGALDVLQMKEEDVLKFLAAGTHLGGTNLDFQMEQYIYKRKSDGIYIINLKRTWEKLLLAARAIVAIENPADVSVISSRNTGQRAVLKFAAATGATPIAGRFTPGTFTNQIQAAFREPRLLVVTDPRADHQPLTEASYVNLPTIALCNTDSPLRYVDIAIPCNNKGAHSVGLMWWMLAREVLRMRGTISREHPWEVMPDLYFYRDPEEIEKEEQAAAEKAVTKEEFQGEWTAPAPEFTATQPEVADWSEGVQVPSVPIQQFPTEDWSAQPATEDWSAAPTAQATEWVGATTDWS
+>DECOY_sp|P08865|RSSA_HUMAN 40S ribosomal protein SA OS=Homo sapiens OX=9606 GN=RPSA PE=1 SV=4
+SWDTTAGVWETAQATPAASWDETAPQASWDETPFQQIPVSPVQVGESWDAVEPQTATFEPAPATWEGQFEEKTVAKEAAAQEEKEIEEPDRYFYLDPMVEWPHERSITGRMRLVERALMWWMLGVSHAGKNNCPIAIDVYRLPSDTNCLAITPLNVYSAETLPQHDARPDTVVLLRPERFAAQIQNTFTGPTFRGAIPTAGTAAAFKLVARQGTNRSSIVSVDAPNEIAVIARAALLLKEWTRKLNIIYIGDSKRKYIYQEMQFDLNTGGLHTGAALFKLVDEEKMQLVDLAGSM
+>sp|Q9NVS2|RT18A_HUMAN 39S ribosomal protein S18a, mitochondrial OS=Homo sapiens OX=9606 GN=MRPS18A PE=1 SV=1
+MAALKALVSGCGRLLRGLLAGPAATSWSRLPARGFREVVETQEGKTTIIEGRITATPKESPNPPNPSGQCPICRWNLKHKYNYDDVLLLSQFIRPHGGMLPRKITGLCQEEHRKIEECVKMAHRAGLLPNHRPRLPEGVVPKSKPQLNRYLTRWAPGSVKPIYKKGPRWNRVRMPVGSPLLRDNVCYSRTPWKLYH
+>DECOY_sp|Q9NVS2|RT18A_HUMAN 39S ribosomal protein S18a, mitochondrial OS=Homo sapiens OX=9606 GN=MRPS18A PE=1 SV=1
+HYLKWPTRSYCVNDRLLPSGVPMRVRNWRPGKKYIPKVSGPAWRTLYRNLQPKSKPVVGEPLRPRHNPLLGARHAMKVCEEIKRHEEQCLGTIKRPLMGGHPRIFQSLLLVDDYNYKHKLNWRCIPCQGSPNPPNPSEKPTATIRGEIITTKGEQTEVVERFGRAPLRSWSTAAPGALLGRLLRGCGSVLAKLAAM
+>sp|Q9NP92|RT30_HUMAN 39S ribosomal protein S30, mitochondrial OS=Homo sapiens OX=9606 GN=MRPS30 PE=1 SV=2
+MAAARCWRPLLRGPRLSLHTAANAAATATETTCQDVAATPVARYPPIVASMTADSKAARLRRIERWQATVHAAESVDEKLRILTKMQFMKYMVYPQTFALNADRWYQYFTKTVFLSGLPPPPAEPEPEPEPEPEPALDLAALRAVACDCLLQEHFYLRRRRRVHRYEESEVISLPFLDQLVSTLVGLLSPHNPALAAAALDYRCPVHFYWVRGEEIIPRGHRRGRIDDLRYQIDDKPNNQIRISKQLAEFVPLDYSVPIEIPTIKCKPDKLPLFKRQYENHIFVGSKTADPCCYGHTQFHLLPDKLRRERLLRQNCADQIEVVFRANAIASLFAWTGAQAMYQGFWSEADVTRPFVSQAVITDGKYFSFFCYQLNTLALTTQADQNNPRKNICWGTQSKPLYETIEDNDVKGFNDDVLLQIVHFLLNRPKEEKSQLLEN
+>DECOY_sp|Q9NP92|RT30_HUMAN 39S ribosomal protein S30, mitochondrial OS=Homo sapiens OX=9606 GN=MRPS30 PE=1 SV=2
+NELLQSKEEKPRNLLFHVIQLLVDDNFGKVDNDEITEYLPKSQTGWCINKRPNNQDAQTTLALTNLQYCFFSFYKGDTIVAQSVFPRTVDAESWFGQYMAQAGTWAFLSAIANARFVVEIQDACNQRLLRERRLKDPLLHFQTHGYCCPDATKSGVFIHNEYQRKFLPLKDPKCKITPIEIPVSYDLPVFEALQKSIRIQNNPKDDIQYRLDDIRGRRHGRPIIEEGRVWYFHVPCRYDLAAAALAPNHPSLLGVLTSVLQDLFPLSIVESEEYRHVRRRRRLYFHEQLLCDCAVARLAALDLAPEPEPEPEPEPEAPPPPLGSLFVTKTFYQYWRDANLAFTQPYVMYKMFQMKTLIRLKEDVSEAAHVTAQWREIRRLRAAKSDATMSAVIPPYRAVPTAAVDQCTTETATAAANAATHLSLRPGRLLPRWCRAAAM
+>sp|P82930|RT34_HUMAN 28S ribosomal protein S34, mitochondrial OS=Homo sapiens OX=9606 GN=MRPS34 PE=1 SV=2
+MARKKVRPRLIAELARRVRALREQLNRPRDSQLYAVDYETLTRPFSGRRLPVRAWADVRRESRLLQLLGRLPLFGLGRLVTRKSWLWQHDEPCYWRLTRVRPDYTAQNLDHGKAWGILTFKGKTESEAREIEHVMYHDWRLVPKHEEEAFTAFTPAPEDSLASVPYPPLLRAMIIAERQKNGDTSTEEPMLNVQRIRMEPWDYPAKQEDKGRAKGTPV
+>DECOY_sp|P82930|RT34_HUMAN 28S ribosomal protein S34, mitochondrial OS=Homo sapiens OX=9606 GN=MRPS34 PE=1 SV=2
+VPTGKARGKDEQKAPYDWPEMRIRQVNLMPEETSTDGNKQREAIIMARLLPPYPVSALSDEPAPTFATFAEEEHKPVLRWDHYMVHEIERAESETKGKFTLIGWAKGHDLNQATYDPRVRTLRWYCPEDHQWLWSKRTVLRGLGFLPLRGLLQLLRSERRVDAWARVPLRRGSFPRTLTEYDVAYLQSDRPRNLQERLARVRRALEAILRPRVKKRAM
+>sp|Q8WWV3|RT4I1_HUMAN Reticulon-4-interacting protein 1, mitochondrial OS=Homo sapiens OX=9606 GN=RTN4IP1 PE=1 SV=2
+MEFLKTCVLRRNACTAVCFWRSKVVQKPSVRRISTTSPRSTVMPAWVIDKYGKNEVLRFTQNMMMPIIHYPNEVIVKVHAASVNPIDVNMRSGYGATALNMKRDPLHVKIKGEEFPLTLGRDVSGVVMECGLDVKYFKPGDEVWAAVPPWKQGTLSEFVVVSGNEVSHKPKSLTHTQAASLPYVALTAWSAINKVGGLNDKNCTGKRVLILGASGGVGTFAIQVMKAWDAHVTAVCSQDASELVRKLGADDVIDYKSGSVEEQLKSLKPFDFILDNVGGSTETWAPDFLKKWSGATYVTLVTPFLLNMDRLGIADGMLQTGVTVGSKALKHFWKGVHYRWAFFMASGPCLDDIAELVDAGKIRPVIEQTFPFSKVPEAFLKVERGHARGKTVINVV
+>DECOY_sp|Q8WWV3|RT4I1_HUMAN Reticulon-4-interacting protein 1, mitochondrial OS=Homo sapiens OX=9606 GN=RTN4IP1 PE=1 SV=2
+VVNIVTKGRAHGREVKLFAEPVKSFPFTQEIVPRIKGADVLEAIDDLCPGSAMFFAWRYHVGKWFHKLAKSGVTVGTQLMGDAIGLRDMNLLFPTVLTVYTAGSWKKLFDPAWTETSGGVNDLIFDFPKLSKLQEEVSGSKYDIVDDAGLKRVLESADQSCVATVHADWAKMVQIAFTGVGGSAGLILVRKGTCNKDNLGGVKNIASWATLAVYPLSAAQTHTLSKPKHSVENGSVVVFESLTGQKWPPVAAWVEDGPKFYKVDLGCEMVVGSVDRGLTLPFEEGKIKVHLPDRKMNLATAGYGSRMNVDIPNVSAAHVKVIVENPYHIIPMMMNQTFRLVENKGYKDIVWAPMVTSRPSTTSIRRVSPKQVVKSRWFCVATCANRRLVCTKLFEM
+>sp|O00442|RTCA_HUMAN RNA 3'-terminal phosphate cyclase OS=Homo sapiens OX=9606 GN=RTCA PE=1 SV=1
+MAGPRVEVDGSIMEGGGQILRVSTALSCLLGLPLRVQKIRAGRSTPGLRPQHLSGLEMIRDLCDGQLEGAEIGSTEITFTPEKIKGGIHTADTKTAGSVCLLMQVSMPCVLFAASPSELHLKGGTNAEMAPQIDYTVMVFKPIVEKFGFIFNCDIKTRGYYPKGGGEVIVRMSPVKQLNPINLTERGCVTKIYGRAFVAGVLPFKVAKDMAAAAVRCIRKEIRDLYVNIQPVQEPKDQAFGNGNGIIIIAETSTGCLFAGSSLGKRGVNADKVGIEAAEMLLANLRHGGTVDEYLQDQLIVFMALANGVSRIKTGPVTLHTQTAIHFAEQIAKAKFIVKKSEDEEDAAKDTYIIECQGIGMTNPNL
+>DECOY_sp|O00442|RTCA_HUMAN RNA 3'-terminal phosphate cyclase OS=Homo sapiens OX=9606 GN=RTCA PE=1 SV=1
+LNPNTMGIGQCEIIYTDKAADEEDESKKVIFKAKAIQEAFHIATQTHLTVPGTKIRSVGNALAMFVILQDQLYEDVTGGHRLNALLMEAAEIGVKDANVGRKGLSSGAFLCGTSTEAIIIIGNGNGFAQDKPEQVPQINVYLDRIEKRICRVAAAAMDKAVKFPLVGAVFARGYIKTVCGRETLNIPNLQKVPSMRVIVEGGGKPYYGRTKIDCNFIFGFKEVIPKFVMVTYDIQPAMEANTGGKLHLESPSAAFLVCPMSVQMLLCVSGATKTDATHIGGKIKEPTFTIETSGIEAGELQGDCLDRIMELGSLHQPRLGPTSRGARIKQVRLPLGLLCSLATSVRLIQGGGEMISGDVEVRPGAM
+>sp|Q16799|RTN1_HUMAN Reticulon-1 OS=Homo sapiens OX=9606 GN=RTN1 PE=1 SV=1
+MAAPGDPQDELLPLAGPGSQWLRHRGEGENEAVTPKGATPAPQAGEPSPGLGARAREAASREAGSGPARQSPVAMETASTGVAGVSSAMDHTFSTTSKDGEGSCYTSLISDICYPPQEDSTYFTGILQKENGHVTISESPEELGTPGPSLPDVPGIESRGLFSSDSGIEMTPAESTEVNKILADPLDQMKAEAYKYIDITRPEEVKHQEQHHPELEDKDLDFKNKDTDISIKPEGVREPDKPAPVEGKIIKDHLLEESTFAPYIDDLSEEQRRAPQITTPVKITLTEIEPSVETTTQEKTPEKQDICLKPSPDTVPTVTVSEPEDDSPGSITPPSSGTEPSAAESQGKGSISEDELITAIKEAKGLSYETAENPRPVGQLADRPEVKARSGPPTIPSPLDHEASSAESGDSEIELVSEDPMAAEDALPSGYVSFGHVGGPPPSPASPSIQYSILREEREAELDSELIIESCDASSASEESPKREQDSPPMKPSALDAIREETGVRAEERAPSRRGLAEPGSFLDYPSTEPQPGPELPPGDGALEPETPMLPRKPEEDSSSNQSPAATKGPGPLGPGAPPPLLFLNKQKAIDLLYWRDIKQTGIVFGSFLLLLFSLTQFSVVSVVAYLALAALSATISFRIYKSVLQAVQKTDEGHPFKAYLELEITLSQEQIQKYTDCLQFYVNSTLKELRRLFLVQDLVDSLKFAVLMWLLTYVGALFNGLTLLLMAVVSMFTLPVVYVKHQAQIDQYLGLVRTHINAVVAKIQAKIPGAKRHAE
+>DECOY_sp|Q16799|RTN1_HUMAN Reticulon-1 OS=Homo sapiens OX=9606 GN=RTN1 PE=1 SV=1
+EAHRKAGPIKAQIKAVVANIHTRVLGLYQDIQAQHKVYVVPLTFMSVVAMLLLTLGNFLAGVYTLLWMLVAFKLSDVLDQVLFLRRLEKLTSNVYFQLCDTYKQIQEQSLTIELELYAKFPHGEDTKQVAQLVSKYIRFSITASLAALALYAVVSVVSFQTLSFLLLLFSGFVIGTQKIDRWYLLDIAKQKNLFLLPPPAGPGLPGPGKTAAPSQNSSSDEEPKRPLMPTEPELAGDGPPLEPGPQPETSPYDLFSGPEALGRRSPAREEARVGTEERIADLASPKMPPSDQERKPSEESASSADCSEIILESDLEAEREERLISYQISPSAPSPPPGGVHGFSVYGSPLADEAAMPDESVLEIESDGSEASSAEHDLPSPITPPGSRAKVEPRDALQGVPRPNEATEYSLGKAEKIATILEDESISGKGQSEAASPETGSSPPTISGPSDDEPESVTVTPVTDPSPKLCIDQKEPTKEQTTTEVSPEIETLTIKVPTTIQPARRQEESLDDIYPAFTSEELLHDKIIKGEVPAPKDPERVGEPKISIDTDKNKFDLDKDELEPHHQEQHKVEEPRTIDIYKYAEAKMQDLPDALIKNVETSEAPTMEIGSDSSFLGRSEIGPVDPLSPGPTGLEEPSESITVHGNEKQLIGTFYTSDEQPPYCIDSILSTYCSGEGDKSTTSFTHDMASSVGAVGTSATEMAVPSQRAPGSGAERSAAERARAGLGPSPEGAQPAPTAGKPTVAENEGEGRHRLWQSGPGALPLLEDQPDGPAAM
+>sp|Q9BZR6|RTN4R_HUMAN Reticulon-4 receptor OS=Homo sapiens OX=9606 GN=RTN4R PE=1 SV=1
+MKRASAGGSRLLAWVLWLQAWQVAAPCPGACVCYNEPKVTTSCPQQGLQAVPVGIPAASQRIFLHGNRISHVPAASFRACRNLTILWLHSNVLARIDAAAFTGLALLEQLDLSDNAQLRSVDPATFHGLGRLHTLHLDRCGLQELGPGLFRGLAALQYLYLQDNALQALPDDTFRDLGNLTHLFLHGNRISSVPERAFRGLHSLDRLLLHQNRVAHVHPHAFRDLGRLMTLYLFANNLSALPTEALAPLRALQYLRLNDNPWVCDCRARPLWAWLQKFRGSSSEVPCSLPQRLAGRDLKRLAANDLQGCAVATGPYHPIWTGRATDEEPLGLPKCCQPDAADKASVLEPGRPASAGNALKGRVPPGDSPPGNGSGPRHINDSPFGTLPGSAEPPLTAVRPEGSEPPGFPTSGPRRRPGCSRKNRTRSHCRLGQAGSGGGGTGDSEGSGALPSLTCSLTPLGLALVLWTVLGPC
+>DECOY_sp|Q9BZR6|RTN4R_HUMAN Reticulon-4 receptor OS=Homo sapiens OX=9606 GN=RTN4R PE=1 SV=1
+CPGLVTWLVLALGLPTLSCTLSPLAGSGESDGTGGGGSGAQGLRCHSRTRNKRSCGPRRRPGSTPFGPPESGEPRVATLPPEASGPLTGFPSDNIHRPGSGNGPPSDGPPVRGKLANGASAPRGPELVSAKDAADPQCCKPLGLPEEDTARGTWIPHYPGTAVACGQLDNAALRKLDRGALRQPLSCPVESSSGRFKQLWAWLPRARCDCVWPNDNLRLYQLARLPALAETPLASLNNAFLYLTMLRGLDRFAHPHVHAVRNQHLLLRDLSHLGRFAREPVSSIRNGHLFLHTLNGLDRFTDDPLAQLANDQLYLYQLAALGRFLGPGLEQLGCRDLHLTHLRGLGHFTAPDVSRLQANDSLDLQELLALGTFAAADIRALVNSHLWLITLNRCARFSAAPVHSIRNGHLFIRQSAAPIGVPVAQLGQQPCSTTVKPENYCVCAGPCPAAVQWAQLWLVWALLRSGGASARKM
+>sp|Q9NQC3|RTN4_HUMAN Reticulon-4 OS=Homo sapiens OX=9606 GN=RTN4 PE=1 SV=2
+MEDLDQSPLVSSSDSPPRPQPAFKYQFVREPEDEEEEEEEEEEDEDEDLEELEVLERKPAAGLSAAPVPTAPAAGAPLMDFGNDFVPPAPRGPLPAAPPVAPERQPSWDPSPVSSTVPAPSPLSAAAVSPSKLPEDDEPPARPPPPPPASVSPQAEPVWTPPAPAPAAPPSTPAAPKRRGSSGSVDETLFALPAASEPVIRSSAENMDLKEQPGNTISAGQEDFPSVLLETAASLPSLSPLSAASFKEHEYLGNLSTVLPTEGTLQENVSEASKEVSEKAKTLLIDRDLTEFSELEYSEMGSSFSVSPKAESAVIVANPREEIIVKNKDEEEKLVSNNILHNQQELPTALTKLVKEDEVVSSEKAKDSFNEKRVAVEAPMREEYADFKPFERVWEVKDSKEDSDMLAAGGKIESNLESKVDKKCFADSLEQTNHEKDSESSNDDTSFPSTPEGIKDRSGAYITCAPFNPAATESIATNIFPLLGDPTSENKTDEKKIEEKKAQIVTEKNTSTKTSNPFLVAAQDSETDYVTTDNLTKVTEEVVANMPEGLTPDLVQEACESELNEVTGTKIAYETKMDLVQTSEVMQESLYPAAQLCPSFEESEATPSPVLPDIVMEAPLNSAVPSAGASVIQPSSSPLEASSVNYESIKHEPENPPPYEEAMSVSLKKVSGIKEEIKEPENINAALQETEAPYISIACDLIKETKLSAEPAPDFSDYSEMAKVEQPVPDHSELVEDSSPDSEPVDLFSDDSIPDVPQKQDETVMLVKESLTETSFESMIEYENKEKLSALPPEGGKPYLESFKLSLDNTKDTLLPDEVSTLSKKEKIPLQMEELSTAVYSNDDLFISKEAQIRETETFSDSSPIEIIDEFPTLISSKTDSFSKLAREYTDLEVSHKSEIANAPDGAGSLPCTELPHDLSLKNIQPKVEEKISFSDDFSKNGSATSKVLLLPPDVSALATQAEIESIVKPKVLVKEAEKKLPSDTEKEDRSPSAIFSAELSKTSVVDLLYWRDIKKTGVVFGASLFLLLSLTVFSIVSVTAYIALALLSVTISFRIYKGVIQAIQKSDEGHPFRAYLESEVAISEELVQKYSNSALGHVNCTIKELRRLFLVDDLVDSLKFAVLMWVFTYVGALFNGLTLLILALISLFSVPVIYERHQAQIDHYLGLANKNVKDAMAKIQAKIPGLKRKAE
+>DECOY_sp|Q9NQC3|RTN4_HUMAN Reticulon-4 OS=Homo sapiens OX=9606 GN=RTN4 PE=1 SV=2
+EAKRKLGPIKAQIKAMADKVNKNALGLYHDIQAQHREYIVPVSFLSILALILLTLGNFLAGVYTFVWMLVAFKLSDVLDDVLFLRRLEKITCNVHGLASNSYKQVLEESIAVESELYARFPHGEDSKQIAQIVGKYIRFSITVSLLALAIYATVSVISFVTLSLLLFLSAGFVVGTKKIDRWYLLDVVSTKSLEASFIASPSRDEKETDSPLKKEAEKVLVKPKVISEIEAQTALASVDPPLLLVKSTASGNKSFDDSFSIKEEVKPQINKLSLDHPLETCPLSGAGDPANAIESKHSVELDTYERALKSFSDTKSSILTPFEDIIEIPSSDSFTETERIQAEKSIFLDDNSYVATSLEEMQLPIKEKKSLTSVEDPLLTDKTNDLSLKFSELYPKGGEPPLASLKEKNEYEIMSEFSTETLSEKVLMVTEDQKQPVDPISDDSFLDVPESDPSSDEVLESHDPVPQEVKAMESYDSFDPAPEASLKTEKILDCAISIYPAETEQLAANINEPEKIEEKIGSVKKLSVSMAEEYPPPNEPEHKISEYNVSSAELPSSSPQIVSAGASPVASNLPAEMVIDPLVPSPTAESEEFSPCLQAAPYLSEQMVESTQVLDMKTEYAIKTGTVENLESECAEQVLDPTLGEPMNAVVEETVKTLNDTTVYDTESDQAAVLFPNSTKTSTNKETVIQAKKEEIKKEDTKNESTPDGLLPFINTAISETAAPNFPACTIYAGSRDKIGEPTSPFSTDDNSSESDKEHNTQELSDAFCKKDVKSELNSEIKGGAALMDSDEKSDKVEWVREFPKFDAYEERMPAEVAVRKENFSDKAKESSVVEDEKVLKTLATPLEQQNHLINNSVLKEEEDKNKVIIEERPNAVIVASEAKPSVSFSSGMESYELESFETLDRDILLTKAKESVEKSAESVNEQLTGETPLVTSLNGLYEHEKFSAASLPSLSPLSAATELLVSPFDEQGASITNGPQEKLDMNEASSRIVPESAAPLAFLTEDVSGSSGRRKPAAPTSPPAAPAPAPPTWVPEAQPSVSAPPPPPPRAPPEDDEPLKSPSVAAASLPSPAPVTSSVPSPDWSPQREPAVPPAAPLPGRPAPPVFDNGFDMLPAGAAPATPVPAASLGAAPKRELVELEELDEDEDEEEEEEEEEEDEPERVFQYKFAPQPRPPSDSSSVLPSQDLDEM
+>sp|Q9BQQ7|RTP3_HUMAN Receptor-transporting protein 3 OS=Homo sapiens OX=9606 GN=RTP3 PE=1 SV=1
+MAGDTEVWKQMFQELMREVKPWHRWTLRPDKGLLPNVLKPGWMQYQQWTFARFQCSSCSRNWASAQVLVLFHMNWSEEKSRGQVKMRVFTQRCKKCPQPLFEDPEFTQENISRILKNLVFRILKKCYRGRFQLIEEVPMIKDISLEGPHNSDNCEACLQGFCAGPIQVTSLPPSQTPRVHSIYKVEEVVKPWASGENVYSYACQNHICRNLSIFCCCVILIVIVVIVVKTAI
+>DECOY_sp|Q9BQQ7|RTP3_HUMAN Receptor-transporting protein 3 OS=Homo sapiens OX=9606 GN=RTP3 PE=1 SV=1
+IATKVVIVVIVILIVCCCFISLNRCIHNQCAYSYVNEGSAWPKVVEEVKYISHVRPTQSPPLSTVQIPGACFGQLCAECNDSNHPGELSIDKIMPVEEILQFRGRYCKKLIRFVLNKLIRSINEQTFEPDEFLPQPCKKCRQTFVRMKVQGRSKEESWNMHFLVLVQASAWNRSCSSCQFRAFTWQQYQMWGPKLVNPLLGKDPRLTWRHWPKVERMLEQFMQKWVETDGAM
+>sp|Q96DX8|RTP4_HUMAN Receptor-transporting protein 4 OS=Homo sapiens OX=9606 GN=RTP4 PE=1 SV=3
+MVVDFWTWEQTFQELIQEAKPRATWTLKLDGNLQLDCLAQGWKQYQQRAFGWFRCSSCQRSWASAQVQILCHTYWEHWTSQGQVRMRLFGQRCQKCSWSQYEMPEFSSDSTMRILSNLVQHILKKYYGNGTRKSPEMPVILEVSLEGSHDTANCEACTLGICGQGLKSCMTKPSKSLLPHLKTGNSSPGIGAVYLANQAKNQSAEAKEAKGSGYEKLGPSRDPDPLNICVFILLLVFIVVKCFTSE
+>DECOY_sp|Q96DX8|RTP4_HUMAN Receptor-transporting protein 4 OS=Homo sapiens OX=9606 GN=RTP4 PE=1 SV=3
+ESTFCKVVIFVLLLIFVCINLPDPDRSPGLKEYGSGKAEKAEASQNKAQNALYVAGIGPSSNGTKLHPLLSKSPKTMCSKLGQGCIGLTCAECNATDHSGELSVELIVPMEPSKRTGNGYYKKLIHQVLNSLIRMTSDSSFEPMEYQSWSCKQCRQGFLRMRVQGQSTWHEWYTHCLIQVQASAWSRQCSSCRFWGFARQQYQKWGQALCDLQLNGDLKLTWTARPKAEQILEQFTQEWTWFDVVM
+>sp|Q9H714|RUBCL_HUMAN Protein RUBCNL-like OS=Homo sapiens OX=9606 GN=RUBCNL PE=1 SV=3
+MVSQSTVRQDSPVEPWEGISDHSGIIDGSPRLLNTDHPPCQLDIRLMRHKAVWINPQDVQQQPQDLQSQVPAAGNSGTHFVTDAASPSGPSPSCLGDSLAETTLSEDTTDSVGSASPHGSSEKSSSFSLSSTEVHMVRPGYSHRVSLPTSPGILATSPYPETDSAFFEPSHLTSAADEGAVQVSRRTISSNSFSPEVFVLPVDVEKENAHFYVADMIISAMEKMKCNILSQQQTESWSKEVSGLLGSDQPDSEMTFDTNIKQESGSSTSSYSGYEGCAVLQVSPVTETRTYHDVKEICKCDVDEFVILELGDFNDITETCSCSCSSSKSVTYEPDFNSAELLAKELYRVFQKCWILSVVNSQLAGSLSAAGSIVVNEECVRKDFESSMNVVQEIKFKSRIRGTEDWAPPRFQIIFNIHPPLKRDLVVAAQNFFCAGCGTPVEPKFVKRLRYCEYLGKYFCDCCHSYAESCIPARILMMWDFKKYYVSNFSKQLLDSIWHQPIFNLLSIGQSLYAKAKELDRVKEIQEQLFHIKKLLKTCRFANSALKEFEQVPGHLTDELHLFSLEDLVRIKKGLLAPLLKDILKASLAHVAGCELCQGKGFICEFCQNTTVIFPFQTATCRRCSACRACFHKQCFQSSECPRCARITARRKLLESVASAAT
+>DECOY_sp|Q9H714|RUBCL_HUMAN Protein RUBCNL-like OS=Homo sapiens OX=9606 GN=RUBCNL PE=1 SV=3
+TAASAVSELLKRRATIRACRPCESSQFCQKHFCARCASCRRCTATQFPFIVTTNQCFECIFGKGQCLECGAVHALSAKLIDKLLPALLGKKIRVLDELSFLHLEDTLHGPVQEFEKLASNAFRCTKLLKKIHFLQEQIEKVRDLEKAKAYLSQGISLLNFIPQHWISDLLQKSFNSVYYKKFDWMMLIRAPICSEAYSHCCDCFYKGLYECYRLRKVFKPEVPTGCGACFFNQAAVVLDRKLPPHINFIIQFRPPAWDETGRIRSKFKIEQVVNMSSEFDKRVCEENVVISGAASLSGALQSNVVSLIWCKQFVRYLEKALLEASNFDPEYTVSKSSSCSCSCTETIDNFDGLELIVFEDVDCKCIEKVDHYTRTETVPSVQLVACGEYGSYSSTSSGSEQKINTDFTMESDPQDSGLLGSVEKSWSETQQQSLINCKMKEMASIIMDAVYFHANEKEVDVPLVFVEPSFSNSSITRRSVQVAGEDAASTLHSPEFFASDTEPYPSTALIGPSTPLSVRHSYGPRVMHVETSSLSFSSSKESSGHPSASGVSDTTDESLTTEALSDGLCSPSPGSPSAADTVFHTGSNGAAPVQSQLDQPQQQVDQPNIWVAKHRMLRIDLQCPPHDTNLLRPSGDIIGSHDSIGEWPEVPSDQRVTSQSVM
+>sp|Q6ZNE9|RUFY4_HUMAN RUN and FYVE domain-containing protein 4 OS=Homo sapiens OX=9606 GN=RUFY4 PE=1 SV=2
+MAEEGAILKVTKDLRAAVSAILQGYGDGQGPVTDTSAELHRLCGCLELLLQFDQKEQKSFLGPRKDYWDFLCTALRRQRGNMEPIHFVRSQDKLKTPLGKGRAFIRFCLARGQLAEALQLCLLNSELTREWYGPRSPLLCPERQEDILDSLYALNGVAFELDLQQPDLDGAWPMFSESRCSSSTQTQGRRPRKNKDAPKKIPAAYGGPENVQIEDSHTSQAICLQDAPSGQQLAGLPRSQQQRHLPFFLEKKGESSRKHRYPQSMWEPEGKELQLDQEERAPWIEIFLGNSTPSTQGQGKGAMGTQKEVIGMEAEVTGVLLVAEGQRTTEGTHKKEAEWSHVQRLLMPSPRGAVEGAVSGSRQGSGGSSILGEPWVLQGHATKEDSTVENPQVQTEVTLVARREEQAEVSLQDEIKSLRLGLRKAEEQAQRQEQLLREQEGELQALREQLSRCQEERAELQAQLEQKQQEAERRDAMYQEELGGQRDLVQAMKRRVLELIQEKDRLWQRLQHLSSMAPECCVACSKIFGRFSRRYPCRLCGGLLCHACSMDYKKRDRCCPPCAQGREAQVT
+>DECOY_sp|Q6ZNE9|RUFY4_HUMAN RUN and FYVE domain-containing protein 4 OS=Homo sapiens OX=9606 GN=RUFY4 PE=1 SV=2
+TVQAERGQACPPCCRDRKKYDMSCAHCLLGGCLRCPYRRSFRGFIKSCAVCCEPAMSSLHQLRQWLRDKEQILELVRRKMAQVLDRQGGLEEQYMADRREAEQQKQELQAQLEAREEQCRSLQERLAQLEGEQERLLQEQRQAQEEAKRLGLRLSKIEDQLSVEAQEERRAVLTVETQVQPNEVTSDEKTAHGQLVWPEGLISSGGSGQRSGSVAGEVAGRPSPMLLRQVHSWEAEKKHTGETTRQGEAVLLVGTVEAEMGIVEKQTGMAGKGQGQTSPTSNGLFIEIWPAREEQDLQLEKGEPEWMSQPYRHKRSSEGKKELFFPLHRQQQSRPLGALQQGSPADQLCIAQSTHSDEIQVNEPGGYAAPIKKPADKNKRPRRGQTQTSSSCRSESFMPWAGDLDPQQLDLEFAVGNLAYLSDLIDEQREPCLLPSRPGYWERTLESNLLCLQLAEALQGRALCFRIFARGKGLPTKLKDQSRVFHIPEMNGRQRRLATCLFDWYDKRPGLFSKQEKQDFQLLLELCGCLRHLEASTDTVPGQGDGYGQLIASVAARLDKTVKLIAGEEAM
+>sp|Q13950|RUNX2_HUMAN Runt-related transcription factor 2 OS=Homo sapiens OX=9606 GN=RUNX2 PE=1 SV=2
+MASNSLFSTVTPCQQNFFWDPSTSRRFSPPSSSLQPGKMSDVSPVVAAQQQQQQQQQQQQQQQQQQQQQQQEAAAAAAAAAAAAAAAAAVPRLRPPHDNRTMVEIIADHPAELVRTDSPNFLCSVLPSHWRCNKTLPVAFKVVALGEVPDGTVVTVMAGNDENYSAELRNASAVMKNQVARFNDLRFVGRSGRGKSFTLTITVFTNPPQVATYHRAIKVTVDGPREPRRHRQKLDDSKPSLFSDRLSDLGRIPHPSMRVGVPPQNPRPSLNSAPSPFNPQGQSQITDPRQAQSSPPWSYDQSYPSYLSQMTSPSIHSTTPLSSTRGTGLPAITDVPRRISDDDTATSDFCLWPSTLSKKSQAGASELGPFSDPRQFPSISSLTESRFSNPRMHYPATFTYTPPVTSGMSLGMSATTHYHTYLPPPYPGSSQSQSGPFQTSSTPYLYYGTSSGSYQFPMVPGGDRSPSRMLPPCTTTSNGSTLLNPNLPNQNDGVDADGSHSSSPTVLNSSGRMDESVWRPY
+>DECOY_sp|Q13950|RUNX2_HUMAN Runt-related transcription factor 2 OS=Homo sapiens OX=9606 GN=RUNX2 PE=1 SV=2
+YPRWVSEDMRGSSNLVTPSSSHSGDADVGDNQNPLNPNLLTSGNSTTTCPPLMRSPSRDGGPVMPFQYSGSSTGYYLYPTSSTQFPGSQSQSSGPYPPPLYTHYHTTASMGLSMGSTVPPTYTFTAPYHMRPNSFRSETLSSISPFQRPDSFPGLESAGAQSKKSLTSPWLCFDSTATDDDSIRRPVDTIAPLGTGRTSSLPTTSHISPSTMQSLYSPYSQDYSWPPSSQAQRPDTIQSQGQPNFPSPASNLSPRPNQPPVGVRMSPHPIRGLDSLRDSFLSPKSDDLKQRHRRPERPGDVTVKIARHYTAVQPPNTFVTITLTFSKGRGSRGVFRLDNFRAVQNKMVASANRLEASYNEDNGAMVTVVTGDPVEGLAVVKFAVPLTKNCRWHSPLVSCLFNPSDTRVLEAPHDAIIEVMTRNDHPPRLRPVAAAAAAAAAAAAAAAAAEQQQQQQQQQQQQQQQQQQQQQQQAAVVPSVDSMKGPQLSSSPPSFRRSTSPDWFFNQQCPTVTSFLSNSAM
+>sp|Q71UM5|RS27L_HUMAN 40S ribosomal protein S27-like OS=Homo sapiens OX=9606 GN=RPS27L PE=1 SV=3
+MPLARDLLHPSLEEEKKKHKKKRLVQSPNSYFMDVKCPGCYKITTVFSHAQTVVLCVGCSTVLCQPTGGKARLTEGCSFRRKQH
+>DECOY_sp|Q71UM5|RS27L_HUMAN 40S ribosomal protein S27-like OS=Homo sapiens OX=9606 GN=RPS27L PE=1 SV=3
+HQKRRFSCGETLRAKGGTPQCLVTSCGVCLVVTQAHSFVTTIKYCGPCKVDMFYSNPSQVLRKKKHKKKEEELSPHLLDRALPM
+>sp|P46782|RS5_HUMAN 40S ribosomal protein S5 OS=Homo sapiens OX=9606 GN=RPS5 PE=1 SV=4
+MTEWETAAPAVAETPDIKLFGKWSTDDVQINDISLQDYIAVKEKYAKYLPHSAGRYAAKRFRKAQCPIVERLTNSMMMHGRNNGKKLMTVRIVKHAFEIIHLLTGENPLQVLVNAIINSGPREDSTRIGRAGTVRRQAVDVSPLRRVNQAIWLLCTGAREAAFRNIKTIAECLADELINAAKGSSNSYAIKKKDELERVAKSNR
+>DECOY_sp|P46782|RS5_HUMAN 40S ribosomal protein S5 OS=Homo sapiens OX=9606 GN=RPS5 PE=1 SV=4
+RNSKAVRELEDKKKIAYSNSSGKAANILEDALCEAITKINRFAAERAGTCLLWIAQNVRRLPSVDVAQRRVTGARGIRTSDERPGSNIIANVLVQLPNEGTLLHIIEFAHKVIRVTMLKKGNNRGHMMMSNTLREVIPCQAKRFRKAAYRGASHPLYKAYKEKVAIYDQLSIDNIQVDDTSWKGFLKIDPTEAVAPAATEWETM
+>sp|P46781|RS9_HUMAN 40S ribosomal protein S9 OS=Homo sapiens OX=9606 GN=RPS9 PE=1 SV=3
+MPVARSWVCRKTYVTPRRPFEKSRLDQELKLIGEYGLRNKREVWRVKFTLAKIRKAARELLTLDEKDPRRLFEGNALLRRLVRIGVLDEGKMKLDYILGLKIEDFLERRLQTQVFKLGLAKSIHHARVLIRQRHIRVRKQVVNIPSFIVRLDSQKHIDFSLRSPYGGGRPGRVKRKNAKKGQGGAGAGDDEEED
+>DECOY_sp|P46781|RS9_HUMAN 40S ribosomal protein S9 OS=Homo sapiens OX=9606 GN=RPS9 PE=1 SV=3
+DEEEDDGAGAGGQGKKANKRKVRGPRGGGYPSRLSFDIHKQSDLRVIFSPINVVQKRVRIHRQRILVRAHHISKALGLKFVQTQLRRELFDEIKLGLIYDLKMKGEDLVGIRVLRRLLANGEFLRRPDKEDLTLLERAAKRIKALTFKVRWVERKNRLGYEGILKLEQDLRSKEFPRRPTVYTKRCVWSRAVPM
+>sp|Q96T23|RSF1_HUMAN Remodeling and spacing factor 1 OS=Homo sapiens OX=9606 GN=RSF1 PE=1 SV=2
+MATAAAAAAVMAPPGCPGSCPNFAVVCSFLERYGPLLDLPELPFPELERVLQAPPPDVGNGEVPKELVELHLKLMRKIGKSVTADRWEKYLIKICQEFNSTWAWEMEKKGYLEMSVECKLALLKYLCECQFDDNLKFKNIINEEDADTMRLQPIGRDKDGLMYWYQLDQDHNVRMYIEEQDDQDGSSWKCIVRNRNELAETLALLKAQIDPVLLKNSSQQDNSSRESPSLEDEETKKEEETPKQEEQKESEKMKSEEQPMDLENRSTANVLEETTVKKEKEDEKELVKLPVIVKLEKPLPENEEKKIIKEESDSFKENVKPIKVEVKECRADPKDTKSSMEKPVAQEPERIEFGGNIKSSHEITEKSTEETEKLKNDQQAKIPLKKREIKLSDDFDSPVKGPLCKSVTPTKEFLKDEIKQEEETCKRISTITALGHEGKQLVNGEVSDERVAPNFKTEPIETKFYETKEESYSPSKDRNIITEGNGTESLNSVITSMKTGELEKETAPLRKDADSSISVLEIHSQKAQIEEPDPPEMETSLDSSEMAKDLSSKTALSSTESCTMKGEEKSPKTKKDKRPPILECLEKLEKSKKTFLDKDAQRLSPIPEEVPKSTLESEKPGSPEAAETSPPSNIIDHCEKLASEKEVVECQSTSTVGGQSVKKVDLETLKEDSEFTKVEMDNLDNAQTSGIEEPSETKGSMQKSKFKYKLVPEEETTASENTEITSERQKEGIKLTIRISSRKKKPDSPPKVLEPENKQEKTEKEEEKTNVGRTLRRSPRISRPTAKVAEIRDQKADKKRGEGEDEVEEESTALQKTDKKEILKKSEKDTNSKVSKVKPKGKVRWTGSRTRGRWKYSSNDESEGSGSEKSSAASEEEEEKESEEAILADDDEPCKKCGLPNHPELILLCDSCDSGYHTACLRPPLMIIPDGEWFCPPCQHKLLCEKLEEQLQDLDVALKKKERAERRKERLVYVGISIENIIPPQEPDFSEDQEEKKKDSKKSKANLLERRSTRTRKCISYRFDEFDEAIDEAIEDDIKEADGGGVGRGKDISTITGHRGKDISTILDEERKENKRPQRAAAARRKKRRRLNDLDSDSNLDEEESEDEFKISDGSQDEFVVSDENPDESEEDPPSNDDSDTDFCSRRLRRHPSRPMRQSRRLRRKTPKKKYSDDDEEEESEENSRDSESDFSDDFSDDFVETRRRRSRRNQKRQINYKEDSESDGSQKSLRRGKEIRRVHKRRLSSSESEESYLSKNSEDDELAKESKRSVRKRGRSTDEYSEADEEEEEEEGKPSRKRLHRIETDEEESCDNAHGDANQPARDSQPRVLPSEQESTKKPYRIESDEEEDFENVGKVGSPLDYSLVDLPSTNGQSPGKAIENLIGKPTEKSQTPKDNSTASASLASNGTSGGQEAGAPEEEEDELLRVTDLVDYVCNSEQL
+>DECOY_sp|Q96T23|RSF1_HUMAN Remodeling and spacing factor 1 OS=Homo sapiens OX=9606 GN=RSF1 PE=1 SV=2
+LQESNCVYDVLDTVRLLEDEEEEPAGAEQGGSTGNSALSASATSNDKPTQSKETPKGILNEIAKGPSQGNTSPLDVLSYDLPSGVKGVNEFDEEEDSEIRYPKKTSEQESPLVRPQSDRAPQNADGHANDCSEEEDTEIRHLRKRSPKGEEEEEEEDAESYEDTSRGRKRVSRKSEKALEDDESNKSLYSEESESSSLRRKHVRRIEKGRRLSKQSGDSESDEKYNIQRKQNRRSRRRRTEVFDDSFDDSFDSESDRSNEESEEEEDDDSYKKKPTKRRLRRSQRMPRSPHRRLRRSCFDTDSDDNSPPDEESEDPNEDSVVFEDQSGDSIKFEDESEEEDLNSDSDLDNLRRRKKRRAAAARQPRKNEKREEDLITSIDKGRHGTITSIDKGRGVGGGDAEKIDDEIAEDIAEDFEDFRYSICKRTRTSRRELLNAKSKKSDKKKEEQDESFDPEQPPIINEISIGVYVLREKRREAREKKKLAVDLDQLQEELKECLLKHQCPPCFWEGDPIIMLPPRLCATHYGSDCSDCLLILEPHNPLGCKKCPEDDDALIAEESEKEEEEESAASSKESGSGESEDNSSYKWRGRTRSGTWRVKGKPKVKSVKSNTDKESKKLIEKKDTKQLATSEEEVEDEGEGRKKDAKQDRIEAVKATPRSIRPSRRLTRGVNTKEEEKETKEQKNEPELVKPPSDPKKKRSSIRITLKIGEKQRESTIETNESATTEEEPVLKYKFKSKQMSGKTESPEEIGSTQANDLNDMEVKTFESDEKLTELDVKKVSQGGVTSTSQCEVVEKESALKECHDIINSPPSTEAAEPSGPKESELTSKPVEEPIPSLRQADKDLFTKKSKELKELCELIPPRKDKKTKPSKEEGKMTCSETSSLATKSSLDKAMESSDLSTEMEPPDPEEIQAKQSHIELVSISSDADKRLPATEKELEGTKMSTIVSNLSETGNGETIINRDKSPSYSEEKTEYFKTEIPETKFNPAVREDSVEGNVLQKGEHGLATITSIRKCTEEEQKIEDKLFEKTPTVSKCLPGKVPSDFDDSLKIERKKLPIKAQQDNKLKETEETSKETIEHSSKINGGFEIREPEQAVPKEMSSKTDKPDARCEKVEVKIPKVNEKFSDSEEKIIKKEENEPLPKELKVIVPLKVLEKEDEKEKKVTTEELVNATSRNELDMPQEESKMKESEKQEEQKPTEEEKKTEEDELSPSERSSNDQQSSNKLLVPDIQAKLLALTEALENRNRVICKWSSGDQDDQEEIYMRVNHDQDLQYWYMLGDKDRGIPQLRMTDADEENIINKFKLNDDFQCECLYKLLALKCEVSMELYGKKEMEWAWTSNFEQCIKILYKEWRDATVSKGIKRMLKLHLEVLEKPVEGNGVDPPPAQLVRELEPFPLEPLDLLPGYRELFSCVVAFNPCSGPCGPPAMVAAAAAATAM
+>sp|Q96S79|RSLAB_HUMAN Ras-like protein family member 10B OS=Homo sapiens OX=9606 GN=RASL10B PE=2 SV=1
+MVSTYRVAVLGARGVGKSAIVRQFLYNEFSEVCVPTTARRLYLPAVVMNGHVHDLQILDFPPISAFPVNTLQEWADTCCRGLRSVHAYILVYDICCFDSFEYVKTIRQQILETRVIGTSETPIIIVGNKRDLQRGRVIPRWNVSHLVRKTWKCGYVECSAKYNWHILLLFSELLKSVGCARCKHVHAALRFQGALRRNRCAIM
+>DECOY_sp|Q96S79|RSLAB_HUMAN Ras-like protein family member 10B OS=Homo sapiens OX=9606 GN=RASL10B PE=2 SV=1
+MIACRNRRLAGQFRLAAHVHKCRACGVSKLLESFLLLIHWNYKASCEVYGCKWTKRVLHSVNWRPIVRGRQLDRKNGVIIIPTESTGIVRTELIQQRITKVYEFSDFCCIDYVLIYAHVSRLGRCCTDAWEQLTNVPFASIPPFDLIQLDHVHGNMVVAPLYLRRATTPVCVESFENYLFQRVIASKGVGRAGLVAVRYTSVM
+>sp|Q9BUV0|RSRP1_HUMAN Arginine/serine-rich protein 1 OS=Homo sapiens OX=9606 GN=RSRP1 PE=1 SV=2
+MSNYVNDMWPGSPQEKDSPSTSRSGGSSRLSSRSRSRSFSRSSRSHSRVSSRFSSRSRRSKSRSRSRRRHQRKYRRYSRSYSRSRSRSRSRRYRERRYGFTRRYYRSPSRYRSRSRSRSRSRGRSYCGRAYAIARGQRYYGFGRTVYPEEHSRWRDRSRTRSRSRTPFRLSEKDRMELLEIAKTNAAKALGTTNIDLPASLRTVPSAKETSRGIGVSSNGAKPELSEKVTEDGTRNPNEKPTQQRSIAFSSNNSVAKPIQKSAKAATEEASSRSPKIDQKKSPYGLWIPI
+>DECOY_sp|Q9BUV0|RSRP1_HUMAN Arginine/serine-rich protein 1 OS=Homo sapiens OX=9606 GN=RSRP1 PE=1 SV=2
+IPIWLGYPSKKQDIKPSRSSAEETAAKASKQIPKAVSNNSSFAISRQQTPKENPNRTGDETVKESLEPKAGNSSVGIGRSTEKASPVTRLSAPLDINTTGLAKAANTKAIELLEMRDKESLRFPTRSRSRTRSRDRWRSHEEPYVTRGFGYYRQGRAIAYARGCYSRGRSRSRSRSRSRYRSPSRYYRRTFGYRRERYRRSRSRSRSRSYSRSYRRYKRQHRRRSRSRSKSRRSRSSFRSSVRSHSRSSRSFSRSRSRSSLRSSGGSRSTSPSDKEQPSGPWMDNVYNSM
+>sp|Q15404|RSU1_HUMAN Ras suppressor protein 1 OS=Homo sapiens OX=9606 GN=RSU1 PE=1 SV=3
+MSKSLKKLVEESREKNQPEVDMSDRGISNMLDVNGLFTLSHITQLVLSHNKLTMVPPNIAELKNLEVLNFFNNQIEELPTQISSLQKLKHLNLGMNRLNTLPRGFGSLPALEVLDLTYNNLSENSLPGNFFYLTTLRALYLSDNDFEILPPDIGKLTKLQILSLRDNDLISLPKEIGELTQLKELHIQGNRLTVLPPELGNLDLTGQKQVFKAENNPWVTPIADQFQLGVSHVFEYIRSETYKYLYGRHMQANPEPPKKNNDKSKKISRKPLAAKNR
+>DECOY_sp|Q15404|RSU1_HUMAN Ras suppressor protein 1 OS=Homo sapiens OX=9606 GN=RSU1 PE=1 SV=3
+RNKAALPKRSIKKSKDNNKKPPEPNAQMHRGYLYKYTESRIYEFVHSVGLQFQDAIPTVWPNNEAKFVQKQGTLDLNGLEPPLVTLRNGQIHLEKLQTLEGIEKPLSILDNDRLSLIQLKTLKGIDPPLIEFDNDSLYLARLTTLYFFNGPLSNESLNNYTLDLVELAPLSGFGRPLTNLRNMGLNLHKLKQLSSIQTPLEEIQNNFFNLVELNKLEAINPPVMTLKNHSLVLQTIHSLTFLGNVDLMNSIGRDSMDVEPQNKERSEEVLKKLSKSM
+>sp|P82932|RT06_HUMAN 28S ribosomal protein S6, mitochondrial OS=Homo sapiens OX=9606 GN=MRPS6 PE=1 SV=3
+MPRYELALILKAMQRPETAATLKRTIEALMDRGAIVRDLENLGERALPYRISAHSQQHNRGGYFLVDFYAPTAAVESMVEHLSRDIDVIRGNIVKHPLTQELKECEGIVPVPLAEKLYSTKKRKK
+>DECOY_sp|P82932|RT06_HUMAN 28S ribosomal protein S6, mitochondrial OS=Homo sapiens OX=9606 GN=MRPS6 PE=1 SV=3
+KKRKKTSYLKEALPVPVIGECEKLEQTLPHKVINGRIVDIDRSLHEVMSEVAATPAYFDVLFYGGRNHQQSHASIRYPLAREGLNELDRVIAGRDMLAEITRKLTAATEPRQMAKLILALEYRPM
+>sp|O60783|RT14_HUMAN 28S ribosomal protein S14, mitochondrial OS=Homo sapiens OX=9606 GN=MRPS14 PE=1 SV=1
+MAAFMLGSLLRTFKQMVPSSASGQVRSHYVDWRMWRDVKRRKMAYEYADERLRINSLRKNTILPKILQDVADEEIAALPRDSCPVRIRNRCVMTSRPRGVKRRWRLSRIVFRHLADHGQLSGIQRATW
+>DECOY_sp|O60783|RT14_HUMAN 28S ribosomal protein S14, mitochondrial OS=Homo sapiens OX=9606 GN=MRPS14 PE=1 SV=1
+WTARQIGSLQGHDALHRFVIRSLRWRRKVGRPRSTMVCRNRIRVPCSDRPLAAIEEDAVDQLIKPLITNKRLSNIRLREDAYEYAMKRRKVDRWMRWDVYHSRVQGSASSPVMQKFTRLLSGLMFAAM
+>sp|Q9Y3D9|RT23_HUMAN 28S ribosomal protein S23, mitochondrial OS=Homo sapiens OX=9606 GN=MRPS23 PE=1 SV=2
+MAGSRLETVGSIFSRTRDLVRAGVLKEKPLWFDVYDAFPPLREPVFQRPRVRYGKAKAPIQDIWYHEDRIRAKFYSVYGSGQRAFDLFNPNFKSTCQRFVEKYTELQKLGETDEEKLFVETGKALLAEGVILRRVGEARTQHGGSHVSRKSEHLSVRPQTALEENETQKEVPQDQHLEAPADQSKGLLPP
+>DECOY_sp|Q9Y3D9|RT23_HUMAN 28S ribosomal protein S23, mitochondrial OS=Homo sapiens OX=9606 GN=MRPS23 PE=1 SV=2
+PPLLGKSQDAPAELHQDQPVEKQTENEELATQPRVSLHESKRSVHSGGHQTRAEGVRRLIVGEALLAKGTEVFLKEEDTEGLKQLETYKEVFRQCTSKFNPNFLDFARQGSGYVSYFKARIRDEHYWIDQIPAKAKGYRVRPRQFVPERLPPFADYVDFWLPKEKLVGARVLDRTRSFISGVTELRSGAM
+>sp|Q9Y2Q9|RT28_HUMAN 28S ribosomal protein S28, mitochondrial OS=Homo sapiens OX=9606 GN=MRPS28 PE=1 SV=1
+MAALCRTRAVAAESHFLRVFLFFRPFRGVGTESGSESGSSNAKEPKTRAGGFASALERHSELLQKVEPLQKGSPKNVESFASMLRHSPLTQMGPAKDKLVIGRIFHIVENDLYIDFGGKFHCVCRRPEVDGEKYQKGTRVRLRLLDLELTSRFLGATTDTTVLEANAVLLGIQESKDSRSKEEHHEK
+>DECOY_sp|Q9Y2Q9|RT28_HUMAN 28S ribosomal protein S28, mitochondrial OS=Homo sapiens OX=9606 GN=MRPS28 PE=1 SV=1
+KEHHEEKSRSDKSEQIGLLVANAELVTTDTTAGLFRSTLELDLLRLRVRTGKQYKEGDVEPRRCVCHFKGGFDIYLDNEVIHFIRGIVLKDKAPGMQTLPSHRLMSAFSEVNKPSGKQLPEVKQLLESHRELASAFGGARTKPEKANSSGSESGSETGVGRFPRFFLFVRLFHSEAAVARTRCLAAM
+>sp|Q6ZR62|RTL4_HUMAN Retrotransposon Gag-like protein 4 OS=Homo sapiens OX=9606 GN=RTL4 PE=2 SV=2
+MEKCTKSSSTMQVEPSFLQAENLILRLQMQHPTTENTAKRGQVMPALATTVMPVPYSLEHLTQFHGDPANCSEFLTQVTTYLTALQISNPANDAQIKLFFDYLSQQLESCGIISGPDKSTLLKQYENLILEFQQSFGKPTKQEINPLMNAKFDKGDNSSQQDPATFHLLAQNLICNETNQSGQFEKALADPNQDEESVTDMMDNLPDLITQCIQLDKKHSDRPELLQSETQLPLLASLIQHQALFSPTDPPPKKGPIQLREGQLPLTPAKRARQQETQLCLYCSQSGHFTRDCLAKRSRAPATTNNTAHQ
+>DECOY_sp|Q6ZR62|RTL4_HUMAN Retrotransposon Gag-like protein 4 OS=Homo sapiens OX=9606 GN=RTL4 PE=2 SV=2
+QHATNNTTAPARSRKALCDRTFHGSQSCYLCLQTEQQRARKAPTLPLQGERLQIPGKKPPPDTPSFLAQHQILSALLPLQTESQLLEPRDSHKKDLQICQTILDPLNDMMDTVSEEDQNPDALAKEFQGSQNTENCILNQALLHFTAPDQQSSNDGKDFKANMLPNIEQKTPKGFSQQFELILNEYQKLLTSKDPGSIIGCSELQQSLYDFFLKIQADNAPNSIQLATLYTTVQTLFESCNAPDGHFQTLHELSYPVPMVTTALAPMVQGRKATNETTPHQMQLRLILNEAQLFSPEVQMTSSSKTCKEM
+>sp|Q17RB0|RTL8B_HUMAN Retrotransposon Gag-like protein 8B OS=Homo sapiens OX=9606 GN=RTL8B PE=1 SV=1
+MEGRVQLMKALLARPLRPAARRWRNPIPFPETFDGDTDRLPEFIVQTSSYMFVDENTFSNDALKVTFLITRLTGPALQWVIPYIKKESPLLSDYRGFLAEMKRVFGWEEDEDF
+>DECOY_sp|Q17RB0|RTL8B_HUMAN Retrotransposon Gag-like protein 8B OS=Homo sapiens OX=9606 GN=RTL8B PE=1 SV=1
+FDEDEEWGFVRKMEALFGRYDSLLPSEKKIYPIVWQLAPGTLRTILFTVKLADNSFTNEDVFMYSSTQVIFEPLRDTDGDFTEPFPIPNRWRRAAPRLPRALLAKMLQVRGEM
+>sp|Q5QGT7|RTP2_HUMAN Receptor-transporting protein 2 OS=Homo sapiens OX=9606 GN=RTP2 PE=1 SV=1
+MCTSLTTCEWKKVFYEKMEVAKPADSWELIIDPNLKPSELAPGWKQYLEQHASGRFHCSWCWHTWQSAHVVILFHMFLDRAQRAGSVRMRVFKQLCYECGTARLDESSMLEENIEGLVDNLITSLREQCYEEDGGQYRIHVASRPDSGPHRAEFCEACQEGIVHWKPSEKLLEEEVTTYTSEASKPRAQAGSGYNFLSLRWCLFWASLCLLVVYLQFSFLSPAFF
+>DECOY_sp|Q5QGT7|RTP2_HUMAN Receptor-transporting protein 2 OS=Homo sapiens OX=9606 GN=RTP2 PE=1 SV=1
+FFAPSLFSFQLYVVLLCLSAWFLCWRLSLFNYGSGAQARPKSAESTYTTVEEELLKESPKWHVIGEQCAECFEARHPGSDPRSAVHIRYQGGDEEYCQERLSTILNDVLGEINEELMSSEDLRATGCEYCLQKFVRMRVSGARQARDLFMHFLIVVHASQWTHWCWSCHFRGSAHQELYQKWGPALESPKLNPDIILEWSDAPKAVEMKEYFVKKWECTTLSTCM
+>sp|Q14D33|RTP5_HUMAN Receptor-transporting protein 5 OS=Homo sapiens OX=9606 GN=RTP5 PE=1 SV=2
+MDRAGADMWASTFTLAMAERKPQDVWVLLPEHSLVPGCLDGGGVQYLLVGLSRLQCGHCPGTWDSAHVHVLFHLWWDRASHRGLVKMRIWGQRCRLCPAPGDCQVRPPGEQPFLSRLVLHILQDCYGDGPGPARHPREAYEGCCEACELGVCFLQKAPDPAWSANATKGNFPATAWGGTGTVSRGKPLSTPGDDLGKGGVVIAIPFSLVGTSNDQVPIAEGPAPPAGASLPVTGSCEALVIGQGSIFLSGDSVAMPGGKGFPVAIGDPLFHGPGLLGSSIQTFELKGFLFKGRGSLCSPVGVAQGWGPISLNNGLVPVGKHTPTVFYCVGLSASGEGSLTFPSSLTSIFTNTLSEPTDGPVATKEASITFPFIFTDVKDAVAEVAEGNGKEGGGQGLVPVGHDALPETNAGGLPSQVKGSLALPFPADVQGKDAFTDITEGKEKEGGLVTAGHDAPLEANAEGPITVSEGCITIPFAVFDVIKRKGGGHVAYGPQGNGCFSQGYYQKRQLRSRFHKARCGCRREEDERPGRACRRPHAEPYEDFWIWVSMTVCVFWLMCMCRLNPGIYPQQV
+>DECOY_sp|Q14D33|RTP5_HUMAN Receptor-transporting protein 5 OS=Homo sapiens OX=9606 GN=RTP5 PE=1 SV=2
+VQQPYIGPNLRCMCMLWFVCVTMSVWIWFDEYPEAHPRRCARGPREDEERRCGCRAKHFRSRLQRKQYYGQSFCGNGQPGYAVHGGGKRKIVDFVAFPITICGESVTIPGEANAELPADHGATVLGGEKEKGETIDTFADKGQVDAPFPLALSGKVQSPLGGANTEPLADHGVPVLGQGGGEKGNGEAVEAVADKVDTFIFPFTISAEKTAVPGDTPESLTNTFISTLSSPFTLSGEGSASLGVCYFVTPTHKGVPVLGNNLSIPGWGQAVGVPSCLSGRGKFLFGKLEFTQISSGLLGPGHFLPDGIAVPFGKGGPMAVSDGSLFISGQGIVLAECSGTVPLSAGAPPAPGEAIPVQDNSTGVLSFPIAIVVGGKGLDDGPTSLPKGRSVTGTGGWATAPFNGKTANASWAPDPAKQLFCVGLECAECCGEYAERPHRAPGPGDGYCDQLIHLVLRSLFPQEGPPRVQCDGPAPCLRCRQGWIRMKVLGRHSARDWWLHFLVHVHASDWTGPCHGCQLRSLGVLLYQVGGGDLCGPVLSHEPLLVWVDQPKREAMALTFTSAWMDAGARDM
+>sp|Q8TF17|S3TC2_HUMAN SH3 domain and tetratricopeptide repeat-containing protein 2 OS=Homo sapiens OX=9606 GN=SH3TC2 PE=1 SV=2
+MGGCFCIPRERSLTRGPGKETPSKDPTVSSECIASSEYKEKCFLPQNINPDLTLSFCVKSRSRRCVNGPLQEAARRRLWALENEDQEVRMLFKDLSARLVSIQSQRAQFLITFKTMEEIWKFSTYLNLGYVSMCLEHLLFDHKYWLNCILVEDTEIQVSVDDKHLETIYLGLLIQEGHFFCRALCSVTPPAEKEGECLTLCKNELISVKMAEAGSELEGVSLVTGQRGLVLVSALEPLPLPFHQWFLKNYPGSCGLSRKRDWTGSYQIGRGRCKALTGYEPGEKDELNFYQGESIEIIGFVIPGLQWFIGKSTSSGQVGFVPTRNIDPDSYSPMSRNSAFLSDEERCSLLALGSDKQTECSSFLHTLARTDITSVYRLSGFESIQNPPNDLSASQPEGFKEVRPGRAWEEHQAVGSRQSSSSEDSSLEEELLSATSDSYRLPEPDDLDDPELLMDLSTGQEEEAENFAPILAFLDHEGYADHFKSLYDFSFSFLTSSFYSFSEEDEFVAYLEASRKWAKKSHMTWAHARLCFLLGRLSIRKVKLSQARVYFEEAIHILNGAFEDLSLVATLYINLAAIYLKQRLRHKGSALLEKAGALLACLPDRESSAKHELDVVAYVLRQGIVVGSSPLEARACFLAIRLLLSLGRHEEVLPFAERLQLLSGHPPASEAVASVLSFLYDKKYLPHLAVASVQQHGIQSAQGMSLPIWQVHLVLQNTTKLLGFPSPGWGEVSALACPMLRQALAACEELADRSTQRALCLILSKVYLEHRSPDGAIHYLSQALVLGQLLGEQESFESSLCLAWAYLLASQAKKALDVLEPLLCSLKETESLTQRGVIYNLLGLALQGEGRVNRAAKSYLRALNRAQEVGDVHNQAVAMANLGHLSLKSWAQHPARNYLLQAVRLYCELQASKETDMELVQVFLWLAQVLVSGHQLTHGLLCYEMALLFGLRHRHLKSQLQATKSLCHFYSSVSPNPEACITYHEHWLALAQQLRDREMEGRLLESLGQLYRNLNTARSLRRSLTCIKESLRIFIDLGETDKAAEAWLGAGRLHYLMQEDELVELCLQAAIQTALKSEEPLLALKLYEEAGDVFFNGTRHRHHAVEYYRAGAVPLARRLKAVRTELRIFNKLTELQISLEGYEKALEFATLAARLSTVTGDQRQELVAFHRLATVYYSLHMYEMAEDCYLKTLSLCPPWLQSPKEALYYAKVYYRLGRLTFCQLKDAHDATEYFLLALAAAVLLGDEELQDTIRSRLDNICQSPLWHSRPSGCSSERARWLSGGGLAL
+>DECOY_sp|Q8TF17|S3TC2_HUMAN SH3 domain and tetratricopeptide repeat-containing protein 2 OS=Homo sapiens OX=9606 GN=SH3TC2 PE=1 SV=2
+LALGGGSLWRARESSCGSPRSHWLPSQCINDLRSRITDQLEEDGLLVAAALALLFYETADHADKLQCFTLRGLRYYVKAYYLAEKPSQLWPPCLSLTKLYCDEAMEYMHLSYYVTALRHFAVLEQRQDGTVTSLRAALTAFELAKEYGELSIQLETLKNFIRLETRVAKLRRALPVAGARYYEVAHHRHRTGNFFVDGAEEYLKLALLPEESKLATQIAAQLCLEVLEDEQMLYHLRGAGLWAEAAKDTEGLDIFIRLSEKICTLSRRLSRATNLNRYLQGLSELLRGEMERDRLQQALALWHEHYTICAEPNPSVSSYFHCLSKTAQLQSKLHRHRLGFLLAMEYCLLGHTLQHGSVLVQALWLFVQVLEMDTEKSAQLECYLRVAQLLYNRAPHQAWSKLSLHGLNAMAVAQNHVDGVEQARNLARLYSKAARNVRGEGQLALGLLNYIVGRQTLSETEKLSCLLPELVDLAKKAQSALLYAWALCLSSEFSEQEGLLQGLVLAQSLYHIAGDPSRHELYVKSLILCLARQTSRDALEECAALAQRLMPCALASVEGWGPSPFGLLKTTNQLVLHVQWIPLSMGQASQIGHQQVSAVALHPLYKKDYLFSLVSAVAESAPPHGSLLQLREAFPLVEEHRGLSLLLRIALFCARAELPSSGVVIGQRLVYAVVDLEHKASSERDPLCALLAGAKELLASGKHRLRQKLYIAALNIYLTAVLSLDEFAGNLIHIAEEFYVRAQSLKVKRISLRGLLFCLRAHAWTMHSKKAWKRSAELYAVFEDEESFSYFSSTLFSFSFDYLSKFHDAYGEHDLFALIPAFNEAEEEQGTSLDMLLEPDDLDDPEPLRYSDSTASLLEEELSSDESSSSQRSGVAQHEEWARGPRVEKFGEPQSASLDNPPNQISEFGSLRYVSTIDTRALTHLFSSCETQKDSGLALLSCREEDSLFASNRSMPSYSDPDINRTPVFGVQGSSTSKGIFWQLGPIVFGIIEISEGQYFNLEDKEGPEYGTLAKCRGRGIQYSGTWDRKRSLGCSGPYNKLFWQHFPLPLPELASVLVLGRQGTVLSVGELESGAEAMKVSILENKCLTLCEGEKEAPPTVSCLARCFFHGEQILLGLYITELHKDDVSVQIETDEVLICNLWYKHDFLLHELCMSVYGLNLYTSFKWIEEMTKFTILFQARQSQISVLRASLDKFLMRVEQDENELAWLRRRAAEQLPGNVCRRSRSKVCFSLTLDPNINQPLFCKEKYESSAICESSVTPDKSPTEKGPGRTLSRERPICFCGGM
+>sp|Q9NP59|S40A1_HUMAN Solute carrier family 40 member 1 OS=Homo sapiens OX=9606 GN=SLC40A1 PE=1 SV=1
+MTRAGDHNRQRGCCGSLADYLTSAKFLLYLGHSLSTWGDRMWHFAVSVFLVELYGNSLLLTAVYGLVVAGSVLVLGAIIGDWVDKNARLKVAQTSLVVQNVSVILCGIILMMVFLHKHELLTMYHGWVLTSCYILIITIANIANLASTATAITIQRDWIVVVAGEDRSKLANMNATIRRIDQLTNILAPMAVGQIMTFGSPVIGCGFISGWNLVSMCVEYVLLWKVYQKTPALAVKAGLKEEETELKQLNLHKDTEPKPLEGTHLMGVKDSNIHELEHEQEPTCASQMAEPFRTFRDGWVSYYNQPVFLAGMGLAFLYMTVLGFDCITTGYAYTQGLSGSILSILMGASAITGIMGTVAFTWLRRKCGLVRTGLISGLAQLSCLILCVISVFMPGSPLDLSVSPFEDIRSRFIQGESITPTKIPEITTEIYMSNGSNSANIVPETSPESVPIISVSLLFAGVIAARIGLWSFDLTVTQLLQENVIESERGIINGVQNSMNYLLDLLHFIMVILAPNPEAFGLLVLISVSFVAMGHIMYFRFAQNTLGNKLFACGPDAKEVRKENQANTSVV
+>DECOY_sp|Q9NP59|S40A1_HUMAN Solute carrier family 40 member 1 OS=Homo sapiens OX=9606 GN=SLC40A1 PE=1 SV=1
+VVSTNAQNEKRVEKADPGCAFLKNGLTNQAFRFYMIHGMAVFSVSILVLLGFAEPNPALIVMIFHLLDLLYNMSNQVGNIIGRESEIVNEQLLQTVTLDFSWLGIRAAIVGAFLLSVSIIPVSEPSTEPVINASNSGNSMYIETTIEPIKTPTISEGQIFRSRIDEFPSVSLDLPSGPMFVSIVCLILCSLQALGSILGTRVLGCKRRLWTFAVTGMIGTIASAGMLISLISGSLGQTYAYGTTICDFGLVTMYLFALGMGALFVPQNYYSVWGDRFTRFPEAMQSACTPEQEHELEHINSDKVGMLHTGELPKPETDKHLNLQKLETEEEKLGAKVALAPTKQYVKWLLVYEVCMSVLNWGSIFGCGIVPSGFTMIQGVAMPALINTLQDIRRITANMNALKSRDEGAVVVIWDRQITIATATSALNAINAITIILIYCSTLVWGHYMTLLEHKHLFVMMLIIGCLIVSVNQVVLSTQAVKLRANKDVWDGIIAGLVLVSGAVVLGYVATLLLSNGYLEVLFVSVAFHWMRDGWTSLSHGLYLLFKASTLYDALSGCCGRQRNHDGARTM
+>sp|Q8IVJ1|S41A1_HUMAN Solute carrier family 41 member 1 OS=Homo sapiens OX=9606 GN=SLC41A1 PE=2 SV=2
+MSSKPEPKDVHQLNGTGPSASPCSSDGPGREPLAGTSEFLGPDGAGVEVVIESRANAKGVREEDALLENGSQSNESDDVSTDRGPAPPSPLKETSFSIGLQVLFPFLLAGFGTVAAGMVLDIVQHWEVFQKVTEVFILVPALLGLKGNLEMTLASRLSTAANIGHMDTPKELWRMITGNMALIQVQATVVGFLASIAAVVFGWIPDGHFSIPHAFLLCASSVATAFIASLVLGMIMIGVIIGSRKIGINPDNVATPIAASLGDLITLALLSGISWGLYLELNHWRYIYPLVCAFFVALLPVWVVLARRSPATREVLYSGWEPVIIAMAISSVGGLILDKTVSDPNFAGMAVFTPVINGVGGNLVAVQASRISTFLHMNGMPGENSEQAPRRCPSPCTTFFSPDVNSRSARVLFLLVVPGHLVFLYTISCMQGGHTTLTLIFIIFYMTAALLQVLILLYIADWMVHWMWGRGLDPDNFSIPYLTALGDLLGTGLLALSFHVLWLIGDRDTDVGD
+>DECOY_sp|Q8IVJ1|S41A1_HUMAN Solute carrier family 41 member 1 OS=Homo sapiens OX=9606 GN=SLC41A1 PE=2 SV=2
+DGVDTDRDGILWLVHFSLALLGTGLLDGLATLYPISFNDPDLGRGWMWHVMWDAIYLLILVQLLAATMYFIIFILTLTTHGGQMCSITYLFVLHGPVVLLFLVRASRSNVDPSFFTTCPSPCRRPAQESNEGPMGNMHLFTSIRSAQVAVLNGGVGNIVPTFVAMGAFNPDSVTKDLILGGVSSIAMAIIVPEWGSYLVERTAPSRRALVVWVPLLAVFFACVLPYIYRWHNLELYLGWSIGSLLALTILDGLSAAIPTAVNDPNIGIKRSGIIVGIMIMGLVLSAIFATAVSSACLLFAHPISFHGDPIWGFVVAAISALFGVVTAQVQILAMNGTIMRWLEKPTDMHGINAATSLRSALTMELNGKLGLLAPVLIFVETVKQFVEWHQVIDLVMGAAVTGFGALLFPFLVQLGISFSTEKLPSPPAPGRDTSVDDSENSQSGNELLADEERVGKANARSEIVVEVGAGDPGLFESTGALPERGPGDSSCPSASPGTGNLQHVDKPEPKSSM
+>sp|Q96JW4|S41A2_HUMAN Solute carrier family 41 member 2 OS=Homo sapiens OX=9606 GN=SLC41A2 PE=1 SV=2
+MTNSKGRSITDKTSGGPSSGGGFVDWTLRLNTIQSDKFLNLLLSMVPVIYQKNQEDRHKKANGIWQDGLSTAVQTFSNRSEQHMEYHSFSEQSFHANNGHASSSCSQKYDDYANYNYCDGRETSETTAMLQDEDISSDGDEDAIVEVTPKLPKESSGIMALQILVPFLLAGFGTVSAGMVLDIVQHWEVFRKVTEVFILVPALLGLKGNLEMTLASRLSTAVNIGKMDSPIEKWNLIIGNLALKQVQATVVGFLAAVAAIILGWIPEGKYYLDHSILLCSSSVATAFIASLLQGIIMVGVIVGSKKTGINPDNVATPIAASFGDLITLAILAWISQGLYSCLETYYYISPLVGVFFLALTPIWIIIAAKHPATRTVLHSGWEPVITAMVISSIGGLILDTTVSDPNLVGIVVYTPVINGIGGNLVAIQASRISTYLHLHSIPGELPDEPKGCYYPFRTFFGPGVNNKSAQVLLLLVIPGHLIFLYTIHLMKSGHTSLTIIFIVVYLFGAVLQVFTLLWIADWMVHHFWRKGKDPDSFSIPYLTALGDLLGTALLALSFHFLWLIGDRDGDVGD
+>DECOY_sp|Q96JW4|S41A2_HUMAN Solute carrier family 41 member 2 OS=Homo sapiens OX=9606 GN=SLC41A2 PE=1 SV=2
+DGVDGDRDGILWLFHFSLALLATGLLDGLATLYPISFSDPDKGKRWFHHVMWDAIWLLTFVQLVAGFLYVVIFIITLSTHGSKMLHITYLFILHGPIVLLLLVQASKNNVGPGFFTRFPYYCGKPEDPLEGPISHLHLYTSIRSAQIAVLNGGIGNIVPTYVVIGVLNPDSVTTDLILGGISSIVMATIVPEWGSHLVTRTAPHKAAIIIWIPTLALFFVGVLPSIYYYTELCSYLGQSIWALIALTILDGFSAAIPTAVNDPNIGTKKSGVIVGVMIIGQLLSAIFATAVSSSCLLISHDLYYKGEPIWGLIIAAVAALFGVVTAQVQKLALNGIILNWKEIPSDMKGINVATSLRSALTMELNGKLGLLAPVLIFVETVKRFVEWHQVIDLVMGASVTGFGALLFPVLIQLAMIGSSEKPLKPTVEVIADEDGDSSIDEDQLMATTESTERGDCYNYNAYDDYKQSCSSSAHGNNAHFSQESFSHYEMHQESRNSFTQVATSLGDQWIGNAKKHRDEQNKQYIVPVMSLLLNLFKDSQITNLRLTWDVFGGGSSPGGSTKDTISRGKSNTM
+>sp|Q9Y2W3|S45A1_HUMAN Proton-associated sugar transporter A OS=Homo sapiens OX=9606 GN=SLC45A1 PE=1 SV=5
+MLQQPGPRPGRQQPSGDRDACRLHPQGRPPALPTMIPAASSTPPGDALFPSVAPQDFWRSQVTGYSGSVTRHLSHRANNFKRHPKRRKCIRPSPPPPPNTPCPLELVDFGDLHPQRSFRELLFNGCILFGIEFSYAMETAYVTPVLLQMGLPDQLYSLVWFISPILGFLLQPLLGAWSDRCTSRFGRRRPFILVLAIGALLGLSLLLNGRDIGIALADVTGNHKWGLLLTVCGVVLMDFSADSADNPSHAYMMDVCSPADQDRGLNIHALLAGLGGGFGYVVGGIHWDKTGFGRALGGQLRVIYLFTAVTLSVTTVLTLVSIPERPLRPPSEKRAAMKSPSLPLPPSPPVLPEEGPGDSLPSHTATNFSSPISPPSPLTPKYGSFISRDSSLTGISEFASSFGTANIDSVLIDCFTGGHDSYLAIPGSVPRPPISVSFPRAPDGFYRQDRGLLEGREGALTSGCDGDILRVGSLDTSKPRSSGILKRPQTLAIPDAAGGGGPETSRRRNVTFSQQVANILLNGVKYESELTGSSERAEQPLSVGRLCSTICNMPKALRTLCVNHFLGWLSFEGMLLFYTDFMGEVVFQGDPKAPHTSEAYQKYNSGVTMGCWGMCIYAFSAAFYSAILEKLEEFLSVRTLYFIAYLAFGLGTGLATLSRNLYVVLSLCITYGILFSTLCTLPYSLLCDYYQSKKFAGSSADGTRRGMGVDISLLSCQYFLAQILVSLVLGPLTSAVGSANGVMYFSSLVSFLGCLYSSLFVIYEIPPSDAADEEHRPLLLNV
+>DECOY_sp|Q9Y2W3|S45A1_HUMAN Proton-associated sugar transporter A OS=Homo sapiens OX=9606 GN=SLC45A1 PE=1 SV=5
+VNLLLPRHEEDAADSPPIEYIVFLSSYLCGLFSVLSSFYMVGNASGVASTLPGLVLSVLIQALFYQCSLLSIDVGMGRRTGDASSGAFKKSQYYDCLLSYPLTCLTSFLIGYTICLSLVVYLNRSLTALGTGLGFALYAIFYLTRVSLFEELKELIASYFAASFAYICMGWCGMTVGSNYKQYAESTHPAKPDGQFVVEGMFDTYFLLMGEFSLWGLFHNVCLTRLAKPMNCITSCLRGVSLPQEARESSGTLESEYKVGNLLINAVQQSFTVNRRRSTEPGGGGAADPIALTQPRKLIGSSRPKSTDLSGVRLIDGDCGSTLAGERGELLGRDQRYFGDPARPFSVSIPPRPVSGPIALYSDHGGTFCDILVSDINATGFSSAFESIGTLSSDRSIFSGYKPTLPSPPSIPSSFNTATHSPLSDGPGEEPLVPPSPPLPLSPSKMAARKESPPRLPREPISVLTLVTTVSLTVATFLYIVRLQGGLARGFGTKDWHIGGVVYGFGGGLGALLAHINLGRDQDAPSCVDMMYAHSPNDASDASFDMLVVGCVTLLLGWKHNGTVDALAIGIDRGNLLLSLGLLAGIALVLIFPRRRGFRSTCRDSWAGLLPQLLFGLIPSIFWVLSYLQDPLGMQLLVPTVYATEMAYSFEIGFLICGNFLLERFSRQPHLDGFDVLELPCPTNPPPPPSPRICKRRKPHRKFNNARHSLHRTVSGSYGTVQSRWFDQPAVSPFLADGPPTSSAAPIMTPLAPPRGQPHLRCADRDGSPQQRGPRPGPQQLM
+>sp|Q9UMX9|S45A2_HUMAN Membrane-associated transporter protein OS=Homo sapiens OX=9606 GN=SLC45A2 PE=1 SV=2
+MGSNSGQAGRHIYKSLADDGPFDSVEPPKRPTSRLIMHSMAMFGREFCYAVEAAYVTPVLLSVGLPSSLYSIVWFLSPILGFLLQPVVGSASDHCRSRWGRRRPYILTLGVMMLVGMALYLNGATVVAALIANPRRKLVWAISVTMIGVVLFDFAADFIDGPIKAYLFDVCSHQDKEKGLHYHALFTGFGGALGYLLGAIDWAHLELGRLLGTEFQVMFFFSALVLTLCFTVHLCSISEAPLTEVAKGIPPQQTPQDPPLSSDGMYEYGSIEKVKNGYVNPELAMQGAKNKNHAEQTRRAMTLKSLLRALVNMPPHYRYLCISHLIGWTAFLSNMLFFTDFMGQIVYRGDPYSAHNSTEFLIYERGVEVGCWGLCINSVFSSLYSYFQKVLVSYIGLKGLYFTGYLLFGLGTGFIGLFPNVYSTLVLCSLFGVMSSTLYTVPFNLITEYHREEEKERQQAPGGDPDNSVRGKGMDCATLTCMVQLAQILVGGGLGFLVNTAGTVVVVVITASAVALIGCCFVALFVRYVD
+>DECOY_sp|Q9UMX9|S45A2_HUMAN Membrane-associated transporter protein OS=Homo sapiens OX=9606 GN=SLC45A2 PE=1 SV=2
+DVYRVFLAVFCCGILAVASATIVVVVVTGATNVLFGLGGGVLIQALQVMCTLTACDMGKGRVSNDPDGGPAQQREKEEERHYETILNFPVTYLTSSMVGFLSCLVLTSYVNPFLGIFGTGLGFLLYGTFYLGKLGIYSVLVKQFYSYLSSFVSNICLGWCGVEVGREYILFETSNHASYPDGRYVIQGMFDTFFLMNSLFATWGILHSICLYRYHPPMNVLARLLSKLTMARRTQEAHNKNKAGQMALEPNVYGNKVKEISGYEYMGDSSLPPDQPTQQPPIGKAVETLPAESISCLHVTFCLTLVLASFFFMVQFETGLLRGLELHAWDIAGLLYGLAGGFGTFLAHYHLGKEKDQHSCVDFLYAKIPGDIFDAAFDFLVVGIMTVSIAWVLKRRPNAILAAVVTAGNLYLAMGVLMMVGLTLIYPRRRGWRSRCHDSASGVVPQLLFGLIPSLFWVISYLSSPLGVSLLVPTVYAAEVAYCFERGFMAMSHMILRSTPRKPPEVSDFPGDDALSKYIHRGAQGSNSGM
+>sp|Q9Y6M7|S4A7_HUMAN Sodium bicarbonate cotransporter 3 OS=Homo sapiens OX=9606 GN=SLC4A7 PE=1 SV=2
+MERFRLEKKLPGPDEEAVVDLGKTSSTVNTKFEKEELESHRAVYIGVHVPFSKESRRRHRHRGHKHHHRRRKDKESDKEDGRESPSYDTPSQRVQFILGTEDDDEEHIPHDLFTEMDELCYRDGEEYEWKETARWLKFEEDVEDGGDRWSKPYVATLSLHSLFELRSCILNGTVMLDMRASTLDEIADMVLDNMIASGQLDESIRENVREALLKRHHHQNEKRFTSRIPLVRSFADIGKKHSDPHLLERNGEGLSASRHSLRTGLSASNLSLRGESPLSLLLGHLLPSSRAGTPAGSRCTTPVPTPQNSPPSSPSISRLTSRSSQESQRQAPELLVSPASDDIPTVVIHPPEEDLEAALKGEEQKNEENVDLTPGILASPQSAPGNLDNSKSGEIKGNGSGGSRENSTVDFSKVDMNFMRKIPTGAEASNVLVGEVDFLERPIIAFVRLAPAVLLTGLTEVPVPTRFLFLLLGPAGKAPQYHEIGRSIATLMTDEIFHDVAYKAKDRNDLLSGIDEFLDQVTVLPPGEWDPSIRIEPPKSVPSQEKRKIPVFHNGSTPTLGETPKEAAHHAGPELQRTGRLFGGLILDIKRKAPFFLSDFKDALSLQCLASILFLYCACMSPVITFGGLLGEATEGRISAIESLFGASLTGIAYSLFAGQPLTILGSTGPVLVFEKILYKFCRDYQLSYLSLRTSIGLWTSFLCIVLVATDASSLVCYITRFTEEAFAALICIIFIYEALEKLFDLGETYAFNMHNNLDKLTSYSCVCTEPPNPSNETLAQWKKDNITAHNISWRNLTVSECKKLRGVFLGSACGHHGPYIPDVLFWCVILFFTTFFLSSFLKQFKTKRYFPTKVRSTISDFAVFLTIVIMVTIDYLVGVPSPKLHVPEKFEPTHPERGWIISPLGDNPWWTLLIAAIPALLCTILIFMDQQITAVIINRKEHKLKKGAGYHLDLLMVGVMLGVCSVMGLPWFVAATVLSISHVNSLKVESECSAPGEQPKFLGIREQRVTGLMIFILMGLSVFMTSVLKFIPMPVLYGVFLYMGVSSLKGIQLFDRIKLFGMPAKHQPDLIYLRYVPLWKVHIFTVIQLTCLVLLWVIKVSAAAVVFPMMVLALVFVRKLMDLCFTKRELSWLDDLMPESKKKKEDDKKKKEKEEAERMLQDDDDTVHLPFEGGSLLQIPVKALKYSPDKPVSVKISFEDEPRKKYVDAETSL
+>DECOY_sp|Q9Y6M7|S4A7_HUMAN Sodium bicarbonate cotransporter 3 OS=Homo sapiens OX=9606 GN=SLC4A7 PE=1 SV=2
+LSTEADVYKKRPEDEFSIKVSVPKDPSYKLAKVPIQLLSGGEFPLHVTDDDDQLMREAEEKEKKKKDDEKKKKSEPMLDDLWSLERKTFCLDMLKRVFVLALVMMPFVVAAASVKIVWLLVLCTLQIVTFIHVKWLPVYRLYILDPQHKAPMGFLKIRDFLQIGKLSSVGMYLFVGYLVPMPIFKLVSTMFVSLGMLIFIMLGTVRQERIGLFKPQEGPASCESEVKLSNVHSISLVTAAVFWPLGMVSCVGLMVGVMLLDLHYGAGKKLKHEKRNIIVATIQQDMFILITCLLAPIAAILLTWWPNDGLPSIIWGREPHTPEFKEPVHLKPSPVGVLYDITVMIVITLFVAFDSITSRVKTPFYRKTKFQKLFSSLFFTTFFLIVCWFLVDPIYPGHHGCASGLFVGRLKKCESVTLNRWSINHATINDKKWQALTENSPNPPETCVCSYSTLKDLNNHMNFAYTEGLDFLKELAEYIFIICILAAFAEETFRTIYCVLSSADTAVLVICLFSTWLGISTRLSLYSLQYDRCFKYLIKEFVLVPGTSGLITLPQGAFLSYAIGTLSAGFLSEIASIRGETAEGLLGGFTIVPSMCACYLFLISALCQLSLADKFDSLFFPAKRKIDLILGGFLRGTRQLEPGAHHAAEKPTEGLTPTSGNHFVPIKRKEQSPVSKPPEIRISPDWEGPPLVTVQDLFEDIGSLLDNRDKAKYAVDHFIEDTMLTAISRGIEHYQPAKGAPGLLLFLFRTPVPVETLGTLLVAPALRVFAIIPRELFDVEGVLVNSAEAGTPIKRMFNMDVKSFDVTSNERSGGSGNGKIEGSKSNDLNGPASQPSALIGPTLDVNEENKQEEGKLAAELDEEPPHIVVTPIDDSAPSVLLEPAQRQSEQSSRSTLRSISPSSPPSNQPTPVPTTCRSGAPTGARSSPLLHGLLLSLPSEGRLSLNSASLGTRLSHRSASLGEGNRELLHPDSHKKGIDAFSRVLPIRSTFRKENQHHHRKLLAERVNERISEDLQGSAIMNDLVMDAIEDLTSARMDLMVTGNLICSRLEFLSHLSLTAVYPKSWRDGGDEVDEEFKLWRATEKWEYEEGDRYCLEDMETFLDHPIHEEDDDETGLIFQVRQSPTDYSPSERGDEKDSEKDKRRRHHHKHGRHRHRRRSEKSFPVHVGIYVARHSELEEKEFKTNVTSSTKGLDVVAEEDPGPLKKELRFREM
+>sp|Q9HAB3|S52A2_HUMAN Solute carrier family 52, riboflavin transporter, member 2 OS=Homo sapiens OX=9606 GN=SLC52A2 PE=1 SV=1
+MAAPTPARPVLTHLLVALFGMGSWAAVNGIWVELPVVVKELPEGWSLPSYVSVLVALGNLGLLVVTLWRRLAPGKDEQVPIRVVQVLGMVGTALLASLWHHVAPVAGQLHSVAFLALAFVLALACCASNVTFLPFLSHLPPRFLRSFFLGQGLSALLPCVLALVQGVGRLECPPAPINGTPGPPLDFLERFPASTFFWALTALLVASAAAFQGLLLLLPPPPSVPTGELGSGLQVGAPGAEEEVEESSPLQEPPSQAAGTTPGPDPKAYQLLSARSACLLGLLAATNALTNGVLPAVQSFSCLPYGRLAYHLAVVLGSAANPLACFLAMGVLCRSLAGLGGLSLLGVFCGGYLMALAVLSPCPPLVGTSAGVVLVVLSWVLCLGVFSYVKVAASSLLHGGGRPALLAAGVAIQVGSLLGAVAMFPPTSIYHVFHSRKDCADPCDS
+>DECOY_sp|Q9HAB3|S52A2_HUMAN Solute carrier family 52, riboflavin transporter, member 2 OS=Homo sapiens OX=9606 GN=SLC52A2 PE=1 SV=1
+SDCPDACDKRSHFVHYISTPPFMAVAGLLSGVQIAVGAALLAPRGGGHLLSSAAVKVYSFVGLCLVWSLVVLVVGASTGVLPPCPSLVALAMLYGGCFVGLLSLGGLGALSRCLVGMALFCALPNAASGLVVALHYALRGYPLCSFSQVAPLVGNTLANTAALLGLLCASRASLLQYAKPDPGPTTGAAQSPPEQLPSSEEVEEEAGPAGVQLGSGLEGTPVSPPPPLLLLLGQFAAASAVLLATLAWFFTSAPFRELFDLPPGPTGNIPAPPCELRGVGQVLALVCPLLASLGQGLFFSRLFRPPLHSLFPLFTVNSACCALALVFALALFAVSHLQGAVPAVHHWLSALLATGVMGLVQVVRIPVQEDKGPALRRWLTVVLLGLNGLAVLVSVYSPLSWGEPLEKVVVPLEVWIGNVAAWSGMGFLAVLLHTLVPRAPTPAAM
+>sp|P18405|S5A1_HUMAN 3-oxo-5-alpha-steroid 4-dehydrogenase 1 OS=Homo sapiens OX=9606 GN=SRD5A1 PE=1 SV=1
+MATATGVAEERLLAALAYLQCAVGCAVFARNRQTNSVYGRHALPSHRLRVPARAAWVVQELPSLALPLYQYASESAPRLRSAPNCILLAMFLVHYGHRCLIYPFLMRGGKPMPLLACTMAIMFCTCNGYLQSRYLSHCAVYADDWVTDPRFLIGFGLWLTGMLINIHSDHILRNLRKPGDTGYKIPRGGLFEYVTAANYFGEIMEWCGYALASWSVQGAAFAFFTFCFLSGRAKEHHEWYLRKFEEYPKFRKIIIPFLF
+>DECOY_sp|P18405|S5A1_HUMAN 3-oxo-5-alpha-steroid 4-dehydrogenase 1 OS=Homo sapiens OX=9606 GN=SRD5A1 PE=1 SV=1
+FLFPIIIKRFKPYEEFKRLYWEHHEKARGSLFCFTFFAFAAGQVSWSALAYGCWEMIEGFYNAATVYEFLGGRPIKYGTDGPKRLNRLIHDSHINILMGTLWLGFGILFRPDTVWDDAYVACHSLYRSQLYGNCTCFMIAMTCALLPMPKGGRMLFPYILCRHGYHVLFMALLICNPASRLRPASESAYQYLPLALSPLEQVVWAARAPVRLRHSPLAHRGYVSNTQRNRAFVACGVACQLYALAALLREEAVGTATAM
+>sp|Q9HBV2|SACA1_HUMAN Sperm acrosome membrane-associated protein 1 OS=Homo sapiens OX=9606 GN=SPACA1 PE=1 SV=1
+MSPRGTGCSAGLLMTVGWLLLAGLQSARGTNVTAAVQDAGLAHEGEGEEETENNDSETAENYAPPETEDVSNRNVVKEVEFGMCTVTCGIGVREVILTNGCPGGESKCVVRVEECRGPTDCGWGKPISESLESVRLACIHTSPLNRFKYMWKLLRQDQQSIILVNDSAILEVRKESHPLAFECDTLDNNEIVATIKFTVYTSSELQMRRSSLPATDAALIFVLTIGVIICVFIIFLLIFIIINWAAVKAFWGAKASTPEVQSEQSSVRYKDSTSLDQLPTEMPGEDDALSEWNE
+>DECOY_sp|Q9HBV2|SACA1_HUMAN Sperm acrosome membrane-associated protein 1 OS=Homo sapiens OX=9606 GN=SPACA1 PE=1 SV=1
+ENWESLADDEGPMETPLQDLSTSDKYRVSSQESQVEPTSAKAGWFAKVAAWNIIIFILLFIIFVCIIVGITLVFILAADTAPLSSRRMQLESSTYVTFKITAVIENNDLTDCEFALPHSEKRVELIASDNVLIISQQDQRLLKWMYKFRNLPSTHICALRVSELSESIPKGWGCDTPGRCEEVRVVCKSEGGPCGNTLIVERVGIGCTVTCMGFEVEKVVNRNSVDETEPPAYNEATESDNNETEEEGEGEHALGADQVAATVNTGRASQLGALLLWGVTMLLGASCGTGRPSM
+>sp|Q9UBE0|SAE1_HUMAN SUMO-activating enzyme subunit 1 OS=Homo sapiens OX=9606 GN=SAE1 PE=1 SV=1
+MVEKEEAGGGISEEEAAQYDRQIRLWGLEAQKRLRASRVLLVGLKGLGAEIAKNLILAGVKGLTMLDHEQVTPEDPGAQFLIRTGSVGRNRAEASLERAQNLNPMVDVKVDTEDIEKKPESFFTQFDAVCLTCCSRDVIVKVDQICHKNSIKFFTGDVFGYHGYTFANLGEHEFVEEKTKVAKVSQGVEDGPDTKRAKLDSSETTMVKKKVVFCPVKEALEVDWSSEKAKAALKRTTSDYFLLQVLLKFRTDKGRDPSSDTYEEDSELLLQIRNDVLDSLGISPDLLPEDFVRYCFSEMAPVCAVVGGILAQEIVKALSQRDPPHNNFFFFDGMKGNGIVECLGPK
+>DECOY_sp|Q9UBE0|SAE1_HUMAN SUMO-activating enzyme subunit 1 OS=Homo sapiens OX=9606 GN=SAE1 PE=1 SV=1
+KPGLCEVIGNGKMGDFFFFNNHPPDRQSLAKVIEQALIGGVVACVPAMESFCYRVFDEPLLDPSIGLSDLVDNRIQLLLESDEEYTDSSPDRGKDTRFKLLVQLLFYDSTTRKLAAKAKESSWDVELAEKVPCFVVKKKVMTTESSDLKARKTDPGDEVGQSVKAVKTKEEVFEHEGLNAFTYGHYGFVDGTFFKISNKHCIQDVKVIVDRSCCTLCVADFQTFFSEPKKEIDETDVKVDVMPNLNQARELSAEARNRGVSGTRILFQAGPDEPTVQEHDLMTLGKVGALILNKAIEAGLGKLGVLLVRSARLRKQAELGWLRIQRDYQAAEEESIGGGAEEKEVM
+>sp|Q96HN2|SAHH3_HUMAN Adenosylhomocysteinase 3 OS=Homo sapiens OX=9606 GN=AHCYL2 PE=1 SV=1
+MSVQVVSAAAAAKVPEVELKDLSPSEAESQLGLSTAAVGAMAPPAGGGDPEAPAPAAERPPVPGPGSGPAAALSPAAGKVPQASAMKRSDPHHQHQRHRDGGEALVSPDGTVTEAPRTVKKQIQFADQKQEFNKRPTKIGRRSLSRSISQSSTDSYSSAASYTDSSDDETSPRDKQQKNSKGSSDFCVKNIKQAEFGRREIEIAEQEMPALMALRKRAQGEKPLAGAKIVGCTHITAQTAVLMETLGALGAQCRWAACNIYSTLNEVAAALAESGFPVFAWKGESEDDFWWCIDRCVNVEGWQPNMILDDGGDLTHWIYKKYPNMFKKIKGIVEESVTGVHRLYQLSKAGKLCVPAMNVNDSVTKQKFDNLYCCRESILDGLKRTTDMMFGGKQVVVCGYGEVGKGCCAALKAMGSIVYVTEIDPICALQACMDGFRLVKLNEVIRQVDIVITCTGNKNVVTREHLDRMKNSCIVCNMGHSNTEIDVASLRTPELTWERVRSQVDHVIWPDGKRIVLLAEGRLLNLSCSTVPTFVLSITATTQALALIELYNAPEGRYKQDVYLLPKKMDEYVASLHLPTFDAHLTELTDEQAKYLGLNKNGPFKPNYYRY
+>DECOY_sp|Q96HN2|SAHH3_HUMAN Adenosylhomocysteinase 3 OS=Homo sapiens OX=9606 GN=AHCYL2 PE=1 SV=1
+YRYYNPKFPGNKNLGLYKAQEDTLETLHADFTPLHLSAVYEDMKKPLLYVDQKYRGEPANYLEILALAQTTATISLVFTPVTSCSLNLLRGEALLVIRKGDPWIVHDVQSRVREWTLEPTRLSAVDIETNSHGMNCVICSNKMRDLHERTVVNKNGTCTIVIDVQRIVENLKVLRFGDMCAQLACIPDIETVYVISGMAKLAACCGKGVEGYGCVVVQKGGFMMDTTRKLGDLISERCCYLNDFKQKTVSDNVNMAPVCLKGAKSLQYLRHVGTVSEEVIGKIKKFMNPYKKYIWHTLDGGDDLIMNPQWGEVNVCRDICWWFDDESEGKWAFVPFGSEALAAAVENLTSYINCAAWRCQAGLAGLTEMLVATQATIHTCGVIKAGALPKEGQARKRLAMLAPMEQEAIEIERRGFEAQKINKVCFDSSGKSNKQQKDRPSTEDDSSDTYSAASSYSDTSSQSISRSLSRRGIKTPRKNFEQKQDAFQIQKKVTRPAETVTGDPSVLAEGGDRHRQHQHHPDSRKMASAQPVKGAAPSLAAAPGSGPGPVPPREAAPAPAEPDGGGAPPAMAGVAATSLGLQSEAESPSLDKLEVEPVKAAAAASVVQVSM
+>sp|Q9BXA9|SALL3_HUMAN Sal-like protein 3 OS=Homo sapiens OX=9606 GN=SALL3 PE=1 SV=2
+MSRRKQAKPQHLKSDEELLPPDGAPEHAAPGEGAEDADSGPESRSGGEETSVCEKCCAEFFKWADFLEHQRSCTKLPPVLIVHEDAPAPPPEDFPEPSPASSPSERAESEAAEEAGAEGAEGEARPVEKEAEPMDAEPAGDTRAPRPPPAAPAPPTPAYGAPSTNVTLEALLSTKVAVAQFSQGARAAGGSGAGGGVAAAAVPLILEQLMALQQQQIHQLQLIEQIRSQVALMQRPPPRPSLSPAAAPSAPGPAPSQLPGLAALPLSAGAPAAAIAGSGPAAPAAFEGAQPLSRPESGASTPGGPAEPSAPAAPSAAPAPAAPAPAPAPQSAASSQPQSASTPPALAPGSLLGAAPGLPSPLLPQTSASGVIFPNPLVSIAATANALDPLSALMKHRKGKPPNVSVFEPKASAEDPFFKHKCRFCAKVFGSDSALQIHLRSHTGERPFKCNICGNRFSTKGNLKVHFQRHKEKYPHIQMNPYPVPEYLDNVPTCSGIPYGMSLPPEKPVTTWLDSKPVLPTVPTSVGLQLPPTVPGAHGYADSPSATPASRSPQRPSPASSECASLSPGLNHVESGVSATAESPQSLLGGPPLTKAEPVSLPCTNARAGDAPVGAQASAAPTSVDGAPTSLGSPGLPAVSEQFKAQFPFGGLLDSMQTSETSKLQQLVENIDKKMTDPNQCVICHRVLSCQSALKMHYRTHTGERPFKCKICGRAFTTKGNLKTHFGVHRAKPPLRVQHSCPICQKKFTNAVVLQQHIRMHMGGQIPNTPLPEGFQDAMDSELAYDDKNAETLSSYDDDMDENSMEDDAELKDAATDPAKPLLSYAGSCPPSPPSVISSIAALENQMKMIDSVMSCQQLTGLKSVENGSGESDRLSNDSSSAVGDLESRSAGSPALSESSSSQALSPAPSNGESFRSKSPGLGAPEEPQEIPLKTERPDSPAAAPGSGGAPGRAGIKEEAPFSLLFLSRERGKCPSTVCGVCGKPFACKSALEIHYRSHTKERPFVCALCRRGCSTMGNLKQHLLTHRLKELPSQLFDPNFALGPSQSTPSLISSAAPTMIKMEVNGHGKAMALGEGPPLPAGVQVPAGPQTVMGPGLAPMLAPPPRRTPKQHNCQSCGKTFSSASALQIHERTHTGEKPFGCTICGRAFTTKGNLKVHMGTHMWNNAPARRGRRLSVENPMALLGGDALKFSEMFQKDLAARAMNVDPSFWNQYAAAITNGLAMKNNEISVIQNGGIPQLPVSLGGSALPPLGSMASGMDKARTGSSPPIVSLDKASSETAASRPFTRFIEDNKEIGIN
+>DECOY_sp|Q9BXA9|SALL3_HUMAN Sal-like protein 3 OS=Homo sapiens OX=9606 GN=SALL3 PE=1 SV=2
+NIGIEKNDEIFRTFPRSAATESSAKDLSVIPPSSGTRAKDMGSAMSGLPPLASGGLSVPLQPIGGNQIVSIENNKMALGNTIAAAYQNWFSPDVNMARAALDKQFMESFKLADGGLLAMPNEVSLRRGRRAPANNWMHTGMHVKLNGKTTFARGCITCGFPKEGTHTREHIQLASASSFTKGCSQCNHQKPTRRPPPALMPALGPGMVTQPGAPVQVGAPLPPGEGLAMAKGHGNVEMKIMTPAASSILSPTSQSPGLAFNPDFLQSPLEKLRHTLLHQKLNGMTSCGRRCLACVFPREKTHSRYHIELASKCAFPKGCVGCVTSPCKGRERSLFLLSFPAEEKIGARGPAGGSGPAAAPSDPRETKLPIEQPEEPAGLGPSKSRFSEGNSPAPSLAQSSSSESLAPSGASRSELDGVASSSDNSLRDSEGSGNEVSKLGTLQQCSMVSDIMKMQNELAAISSIVSPPSPPCSGAYSLLPKAPDTAADKLEADDEMSNEDMDDDYSSLTEANKDDYALESDMADQFGEPLPTNPIQGGMHMRIHQQLVVANTFKKQCIPCSHQVRLPPKARHVGFHTKLNGKTTFARGCIKCKFPREGTHTRYHMKLASQCSLVRHCIVCQNPDTMKKDINEVLQQLKSTESTQMSDLLGGFPFQAKFQESVAPLGPSGLSTPAGDVSTPAASAQAGVPADGARANTCPLSVPEAKTLPPGGLLSQPSEATASVGSEVHNLGPSLSACESSAPSPRQPSRSAPTASPSDAYGHAGPVTPPLQLGVSTPVTPLVPKSDLWTTVPKEPPLSMGYPIGSCTPVNDLYEPVPYPNMQIHPYKEKHRQFHVKLNGKTSFRNGCINCKFPREGTHSRLHIQLASDSGFVKACFRCKHKFFPDEASAKPEFVSVNPPKGKRHKMLASLPDLANATAAISVLPNPFIVGSASTQPLLPSPLGPAAGLLSGPALAPPTSASQPQSSAASQPAPAPAPAAPAPAASPAAPASPEAPGGPTSAGSEPRSLPQAGEFAAPAAPGSGAIAAAPAGASLPLAALGPLQSPAPGPASPAAAPSLSPRPPPRQMLAVQSRIQEILQLQHIQQQQLAMLQELILPVAAAAVGGGAGSGGAARAGQSFQAVAVKTSLLAELTVNTSPAGYAPTPPAPAAPPPRPARTDGAPEADMPEAEKEVPRAEGEAGEAGAEEAAESEARESPSSAPSPEPFDEPPPAPADEHVILVPPLKTCSRQHELFDAWKFFEACCKECVSTEEGGSRSEPGSDADEAGEGPAAHEPAGDPPLLEEDSKLHQPKAQKRRSM
+>sp|Q96NU1|SAM11_HUMAN Sterile alpha motif domain-containing protein 11 OS=Homo sapiens OX=9606 GN=SAMD11 PE=1 SV=3
+MSKGILQVHPPICDCPGCRISSPVNRGRLADKRTVALPAARNLKKERTPSFSASDGDSDGSGPTCGRRPGLKQEDGPHIRIMKRRVHTHWDVNISFREASCSQDGNLPTLISSVHRSRHLVMPEHQSRCEFQRGSLEIGLRPAGDLLGKRLGRSPRISSDCFSEKRARSESPQEALLLPRELGPSMAPEDHYRRLVSALSEASTFEDPQRLYHLGLPSHGEDPPWHDPPHHLPSHDLLRVRQEVAAAALRGPSGLEAHLPSSTAGQRRKQGLAQHREGAAPAAAPSFSERELPQPPPLLSPQNAPHVALGPHLRPPFLGVPSALCQTPGYGFLPPAQAEMFAWQQELLRKQNLARLELPADLLRQKELESARPQLLAPETALRPNDGAEELQRRGALLVLNHGAAPLLALPPQGPPGSGPPTPSRDSARRAPRKGGPGPASARPSESKEMTGARLWAQDGSEDEPPKDSDGEDPETAAVGCRGPTPGQAPAGGAGAEGKGLFPGSTLPLGFPYAVSPYFHTGAVGGLSMDGEEAPAPEDVTKWTVDDVCSFVGGLSGCGEYTRVFREQGIDGETLPLLTEEHLLTNMGLKLGPALKIRAQVARRLGRVFYVASFPVALPLQPPTLRAPERELGTGEQPLSPTTATSPYGGGHALAGQTSPKQENGTLALLPGAPDPSQPLC
+>DECOY_sp|Q96NU1|SAM11_HUMAN Sterile alpha motif domain-containing protein 11 OS=Homo sapiens OX=9606 GN=SAMD11 PE=1 SV=3
+CLPQSPDPAGPLLALTGNEQKPSTQGALAHGGGYPSTATTPSLPQEGTGLEREPARLTPPQLPLAVPFSAVYFVRGLRRAVQARIKLAPGLKLGMNTLLHEETLLPLTEGDIGQERFVRTYEGCGSLGGVFSCVDDVTWKTVDEPAPAEEGDMSLGGVAGTHFYPSVAYPFGLPLTSGPFLGKGEAGAGGAPAQGPTPGRCGVAATEPDEGDSDKPPEDESGDQAWLRAGTMEKSESPRASAPGPGGKRPARRASDRSPTPPGSGPPGQPPLALLPAAGHNLVLLAGRRQLEEAGDNPRLATEPALLQPRASELEKQRLLDAPLELRALNQKRLLEQQWAFMEAQAPPLFGYGPTQCLASPVGLFPPRLHPGLAVHPANQPSLLPPPQPLERESFSPAAAPAAGERHQALGQKRRQGATSSPLHAELGSPGRLAAAAVEQRVRLLDHSPLHHPPDHWPPDEGHSPLGLHYLRQPDEFTSAESLASVLRRYHDEPAMSPGLERPLLLAEQPSESRARKESFCDSSIRPSRGLRKGLLDGAPRLGIELSGRQFECRSQHEPMVLHRSRHVSSILTPLNGDQSCSAERFSINVDWHTHVRRKMIRIHPGDEQKLGPRRGCTPGSGDSDGDSASFSPTREKKLNRAAPLAVTRKDALRGRNVPSSIRCGPCDCIPPHVQLIGKSM
+>sp|Q5TGI4|SAMD5_HUMAN Sterile alpha motif domain-containing protein 5 OS=Homo sapiens OX=9606 GN=SAMD5 PE=4 SV=1
+MCTNIVYEWLKALQLPQYAESFVDNGYDDLEVCKQIGDPDLDAIGVLAPAHRRRILEAVRRLREQDANAAGLYFTLEPQPAPPGPPADAVPTGRRGEPCGGPAQGTRGDSRGHTTAPRSRELVSYPKLKLKIMIRDKLVRDGIHLSKPPYSRKVPMAGILEYLMNWPKSSQSR
+>DECOY_sp|Q5TGI4|SAMD5_HUMAN Sterile alpha motif domain-containing protein 5 OS=Homo sapiens OX=9606 GN=SAMD5 PE=4 SV=1
+RSQSSKPWNMLYELIGAMPVKRSYPPKSLHIGDRVLKDRIMIKLKLKPYSVLERSRPATTHGRSDGRTGQAPGGCPEGRRGTPVADAPPGPPAPQPELTFYLGAANADQERLRRVAELIRRRHAPALVGIADLDPDGIQKCVELDDYGNDVFSEAYQPLQLAKLWEYVINTCM
+>sp|O75446|SAP30_HUMAN Histone deacetylase complex subunit SAP30 OS=Homo sapiens OX=9606 GN=SAP30 PE=1 SV=1
+MNGFTPDEMSRGGDAAAAVAAVVAAAAAAASAGNGTGAGTGAEVPGAGAVSAAGPPGAAGPGPGQLCCLREDGERCGRAAGNASFSKRIQKSISQKKVKIELDKSARHLYICDYHKNLIQSVRNRRKRKGSDDDGGDSPVQDIDTPEVDLYQLQVNTLRRYKRHFKLPTRPGLNKAQLVEIVGCHFRSIPVNEKDTLTYFIYSVKNDKNKSDLKVDSGVH
+>DECOY_sp|O75446|SAP30_HUMAN Histone deacetylase complex subunit SAP30 OS=Homo sapiens OX=9606 GN=SAP30 PE=1 SV=1
+HVGSDVKLDSKNKDNKVSYIFYTLTDKENVPISRFHCGVIEVLQAKNLGPRTPLKFHRKYRRLTNVQLQYLDVEPTDIDQVPSDGGDDDSGKRKRRNRVSQILNKHYDCIYLHRASKDLEIKVKKQSISKQIRKSFSANGAARGCREGDERLCCLQGPGPGAAGPPGAASVAGAGPVEAGTGAGTGNGASAAAAAAAVVAAVAAAADGGRSMEDPTFGNM
+>sp|P07602|SAP_HUMAN Prosaposin OS=Homo sapiens OX=9606 GN=PSAP PE=1 SV=2
+MYALFLLASLLGAALAGPVLGLKECTRGSAVWCQNVKTASDCGAVKHCLQTVWNKPTVKSLPCDICKDVVTAAGDMLKDNATEEEILVYLEKTCDWLPKPNMSASCKEIVDSYLPVILDIIKGEMSRPGEVCSALNLCESLQKHLAELNHQKQLESNKIPELDMTEVVAPFMANIPLLLYPQDGPRSKPQPKDNGDVCQDCIQMVTDIQTAVRTNSTFVQALVEHVKEECDRLGPGMADICKNYISQYSEIAIQMMMHMQPKEICALVGFCDEVKEMPMQTLVPAKVASKNVIPALELVEPIKKHEVPAKSDVYCEVCEFLVKEVTKLIDNNKTEKEILDAFDKMCSKLPKSLSEECQEVVDTYGSSILSILLEEVSPELVCSMLHLCSGTRLPALTVHVTQPKDGGFCEVCKKLVGYLDRNLEKNSTKQEILAALEKGCSFLPDPYQKQCDQFVAEYEPVLIEILVEVMDPSFVCLKIGACPSAHKPLLGTEKCIWGPSYWCQNTETAAQCNAVEHCKRHVWN
+>DECOY_sp|P07602|SAP_HUMAN Prosaposin OS=Homo sapiens OX=9606 GN=PSAP PE=1 SV=2
+NWVHRKCHEVANCQAATETNQCWYSPGWICKETGLLPKHASPCAGIKLCVFSPDMVEVLIEILVPEYEAVFQDCQKQYPDPLFSCGKELAALIEQKTSNKELNRDLYGVLKKCVECFGGDKPQTVHVTLAPLRTGSCLHLMSCVLEPSVEELLISLISSGYTDVVEQCEESLSKPLKSCMKDFADLIEKETKNNDILKTVEKVLFECVECYVDSKAPVEHKKIPEVLELAPIVNKSAVKAPVLTQMPMEKVEDCFGVLACIEKPQMHMMMQIAIESYQSIYNKCIDAMGPGLRDCEEKVHEVLAQVFTSNTRVATQIDTVMQICDQCVDGNDKPQPKSRPGDQPYLLLPINAMFPAVVETMDLEPIKNSELQKQHNLEALHKQLSECLNLASCVEGPRSMEGKIIDLIVPLYSDVIEKCSASMNPKPLWDCTKELYVLIEEETANDKLMDGAATVVDKCIDCPLSKVTPKNWVTQLCHKVAGCDSATKVNQCWVASGRTCEKLGLVPGALAAGLLSALLFLAYM
+>sp|Q6UVJ0|SAS6_HUMAN Spindle assembly abnormal protein 6 homolog OS=Homo sapiens OX=9606 GN=SASS6 PE=1 SV=1
+MSQVLFHQLVPLQVKCKDCEERRVSIRMSIELQSVSNPVHRKDLVIRLTDDTDPFFLYNLVISEEDFQSLKFQQGLLVDFLAFPQKFIDLLQQCTQEHAKEIPRFLLQLVSPAAILDNSPAFLNVVETNPFKHLTHLSLKLLPGNDVEIKKFLAGCLKCSKEEKLSLMQSLDDATKQLDFTRKTLAEKKQELDKLRNEWASHTAALTNKHSQELTNEKEKALQAQVQYQQQHEQQKKDLEILHQQNIHQLQNRLSELEAANKDLTERKYKGDSTIRELKAKLSGVEEELQRTKQEVLSLRRENSTLDVECHEKEKHVNQLQTKVAVLEQEIKDKDQLVLRTKEAFDTIQEQKVVLEENGEKNQVQLGKLEATIKSLSAELLKANEIIKKLQGDLKTLMGKLKLKNTVTIQQEKLLAEKEEKLQKEQKELQDVGQSLRIKEQEVCKLQEQLEATVKKLEESKQLLKNNEKLITWLNKELNENQLVRKQDVLGPSTTPPAHSSSNTIRSGISPNLNVVDGRLTYPTCGIGYPVSSAFAFQNTFPHSISAKNTSHPGSGTKVQFNLQFTKPNASLGDVQSGATISMPCSTDKENGENVGLESKYLKKREDSIPLRGLSQNLFSNSDHQRDGTLGALHTSSKPTALPSASSAYFPGQLPNS
+>DECOY_sp|Q6UVJ0|SAS6_HUMAN Spindle assembly abnormal protein 6 homolog OS=Homo sapiens OX=9606 GN=SASS6 PE=1 SV=1
+SNPLQGPFYASSASPLATPKSSTHLAGLTGDRQHDSNSFLNQSLGRLPISDERKKLYKSELGVNEGNEKDTSCPMSITAGSQVDGLSANPKTFQLNFQVKTGSGPHSTNKASISHPFTNQFAFASSVPYGIGCTPYTLRGDVVNLNPSIGSRITNSSSHAPPTTSPGLVDQKRVLQNENLEKNLWTILKENNKLLQKSEELKKVTAELQEQLKCVEQEKIRLSQGVDQLEKQEKQLKEEKEALLKEQQITVTNKLKLKGMLTKLDGQLKKIIENAKLLEASLSKITAELKGLQVQNKEGNEELVVKQEQITDFAEKTRLVLQDKDKIEQELVAVKTQLQNVHKEKEHCEVDLTSNERRLSLVEQKTRQLEEEVGSLKAKLERITSDGKYKRETLDKNAAELESLRNQLQHINQQHLIELDKKQQEHQQQYQVQAQLAKEKENTLEQSHKNTLAATHSAWENRLKDLEQKKEALTKRTFDLQKTADDLSQMLSLKEEKSCKLCGALFKKIEVDNGPLLKLSLHTLHKFPNTEVVNLFAPSNDLIAAPSVLQLLFRPIEKAHEQTCQQLLDIFKQPFALFDVLLGQQFKLSQFDEESIVLNYLFFPDTDDTLRIVLDKRHVPNSVSQLEISMRISVRREECDKCKVQLPVLQHFLVQSM
+>sp|O94885|SASH1_HUMAN SAM and SH3 domain-containing protein 1 OS=Homo sapiens OX=9606 GN=SASH1 PE=1 SV=3
+MEDAGAAGPGPEPEPEPEPEPEPAPEPEPEPKPGAGTSEAFSRLWTDVMGILDGSLGNIDDLAQQYADYYNTCFSDVCERMEELRKRRVSQDLEVEKPDASPTSLQLRSQIEESLGFCSAVSTPEVERKNPLHKSNSEDSSVGKGDWKKKNKYFWQNFRKNQKGIMRQTSKGEDVGYVASEITMSDEERIQLMMMVKEKMITIEEALARLKEYEAQHRQSAALDPADWPDGSYPTFDGSSNCNSREQSDDETEESVKFKRLHKLVNSTRRVRKKLIRVEEMKKPSTEGGEEHVFENSPVLDERSALYSGVHKKPLFFDGSPEKPPEDDSDSLTTSPSSSSLDTWGAGRKLVKTFSKGESRGLIKPPKKMGTFFSYPEEEKAQKVSRSLTEGEMKKGLGSLSHGRTCSFGGFDLTNRSLHVGSNNSDPMGKEGDFVYKEVIKSPTASRISLGKKVKSVKETMRKRMSKKYSSSVSEQDSGLDGMPGSPPPSQPDPEHLDKPKLKAGGSVESLRSSLSGQSSMSGQTVSTTDSSTSNRESVKSEDGDDEEPPYRGPFCGRARVHTDFTPSPYDTDSLKLKKGDIIDIISKPPMGTWMGLLNNKVGTFKFIYVDVLSEDEEKPKRPTRRRRKGRPPQPKSVEDLLDRINLKEHMPTFLFNGYEDLDTFKLLEEEDLDELNIRDPEHRAVLLTAVELLQEYDSNSDQSGSQEKLLVDSQGLSGCSPRDSGCYESSENLENGKTRKASLLSAKSSTEPSLKSFSRNQLGNYPTLPLMKSGDALKQGQEEGRLGGGLAPDTSKSCDPPGVTGLNKNRRSLPVSICRSCETLEGPQTVDTWPRSHSLDDLQVEPGAEQDVPTEVTEPPPQIVPEVPQKTTASSTKAQPLEQDSAVDNALLLTQSKRFSEPQKLTTKKLEGSIAASGRGLSPPQCLPRNYDAQPPGAKHGLARTPLEGHRKGHEFEGTHHPLGTKEGVDAEQRMQPKIPSQPPPVPAKKSRERLANGLHPVPMGPSGALPSPDAPCLPVKRGSPASPTSPSDCPPALAPRPLSGQAPGSPPSTRPPPWLSELPENTSLQEHGVKLGPALTRKVSCARGVDLETLTENKLHAEGIDLTEEPYSDKHGRCGIPEALVQRYAEDLDQPERDVAANMDQIRVKQLRKQHRMAIPSGGLTEICRKPVSPGCISSVSDWLISIGLPMYAGTLSTAGFSTLSQVPSLSHTCLQEAGITEERHIRKLLSAARLFKLPPGPEAM
+>DECOY_sp|O94885|SASH1_HUMAN SAM and SH3 domain-containing protein 1 OS=Homo sapiens OX=9606 GN=SASH1 PE=1 SV=3
+MAEPGPPLKFLRAASLLKRIHREETIGAEQLCTHSLSPVQSLTSFGATSLTGAYMPLGISILWDSVSSICGPSVPKRCIETLGGSPIAMRHQKRLQKVRIQDMNAAVDREPQDLDEAYRQVLAEPIGCRGHKDSYPEETLDIGEAHLKNETLTELDVGRACSVKRTLAPGLKVGHEQLSTNEPLESLWPPPRTSPPSGPAQGSLPRPALAPPCDSPSTPSAPSGRKVPLCPADPSPLAGSPGMPVPHLGNALRERSKKAPVPPPQSPIKPQMRQEADVGEKTGLPHHTGEFEHGKRHGELPTRALGHKAGPPQADYNRPLCQPPSLGRGSAAISGELKKTTLKQPESFRKSQTLLLANDVASDQELPQAKTSSATTKQPVEPVIQPPPETVETPVDQEAGPEVQLDDLSHSRPWTDVTQPGELTECSRCISVPLSRRNKNLGTVGPPDCSKSTDPALGGGLRGEEQGQKLADGSKMLPLTPYNGLQNRSFSKLSPETSSKASLLSAKRTKGNELNESSEYCGSDRPSCGSLGQSDVLLKEQSGSQDSNSDYEQLLEVATLLVARHEPDRINLEDLDEEELLKFTDLDEYGNFLFTPMHEKLNIRDLLDEVSKPQPPRGKRRRRTPRKPKEEDESLVDVYIFKFTGVKNNLLGMWTGMPPKSIIDIIDGKKLKLSDTDYPSPTFDTHVRARGCFPGRYPPEEDDGDESKVSERNSTSSDTTSVTQGSMSSQGSLSSRLSEVSGGAKLKPKDLHEPDPQSPPPSGPMGDLGSDQESVSSSYKKSMRKRMTEKVSKVKKGLSIRSATPSKIVEKYVFDGEKGMPDSNNSGVHLSRNTLDFGGFSCTRGHSLSGLGKKMEGETLSRSVKQAKEEEPYSFFTGMKKPPKILGRSEGKSFTKVLKRGAGWTDLSSSSPSTTLSDSDDEPPKEPSGDFFLPKKHVGSYLASREDLVPSNEFVHEEGGETSPKKMEEVRILKKRVRRTSNVLKHLRKFKVSEETEDDSQERSNCNSSGDFTPYSGDPWDAPDLAASQRHQAEYEKLRALAEEITIMKEKVMMMLQIREEDSMTIESAVYGVDEGKSTQRMIGKQNKRFNQWFYKNKKKWDGKGVSSDESNSKHLPNKREVEPTSVASCFGLSEEIQSRLQLSTPSADPKEVELDQSVRRKRLEEMRECVDSFCTNYYDAYQQALDDINGLSGDLIGMVDTWLRSFAESTGAGPKPEPEPEPAPEPEPEPEPEPEPGPGAAGADEM
+>sp|Q6UWP8|SBSN_HUMAN Suprabasin OS=Homo sapiens OX=9606 GN=SBSN PE=1 SV=2
+MHLARLVGSCSLLLLLGALSGWAASDDPIEKVIEGINRGLSNAEREVGKALDGINSGITHAGREVEKVFNGLSNMGSHTGKELDKGVQGLNHGMDKVAHEINHGIGQAGKEAEKLGHGVNNAAGQVGKEADKLIHHGVHHGANQAGSEAGKFGQGVDNAAGQAGNEAGRFGQGVHHAAGQAGNEAGRFGQGVHHAAGQAGNEAGRFGQGAHHGLSEGWKETEKFGQGIHHAAGQVGKEAEKFGQGAHHAAGQAGNEAGRFGQGVHHGLSEGWKETEKFGQGVHHTAGQVGKEAEKFGQGAHHAAGQAGNEAGRFGQGAHHAAGQAGNEAGRFGQGVHHGLSEGWKETEKFGQGVHHAASQFGKETEKLGHGVHHGVNEAWKEAEKFGQGVHHAASQVGKEEDRVVQGLHHGVSQAGREAGQFGHDIHHTAGQAGKEGDIAVHGVQPGVHEAGKEAGQFGQGVHHTLEQAGKEADKAVQGFHTGVHQAGKEAEKLGQGVNHAADQAGKEVEKLGQGAHHAAGQAGKELQNAHNGVNQASKEANQLLNGNHQSGSSSHQGGATTTPLASGASVNTPFINLPALWRSVANIMP
+>DECOY_sp|Q6UWP8|SBSN_HUMAN Suprabasin OS=Homo sapiens OX=9606 GN=SBSN PE=1 SV=2
+PMINAVSRWLAPLNIFPTNVSAGSALPTTTAGGQHSSSGSQHNGNLLQNAEKSAQNVGNHANQLEKGAQGAAHHAGQGLKEVEKGAQDAAHNVGQGLKEAEKGAQHVGTHFGQVAKDAEKGAQELTHHVGQGFQGAEKGAEHVGPQVGHVAIDGEKGAQGATHHIDHGFQGAERGAQSVGHHLGQVVRDEEKGVQSAAHHVGQGFKEAEKWAENVGHHVGHGLKETEKGFQSAAHHVGQGFKETEKWGESLGHHVGQGFRGAENGAQGAAHHAGQGFRGAENGAQGAAHHAGQGFKEAEKGVQGATHHVGQGFKETEKWGESLGHHVGQGFRGAENGAQGAAHHAGQGFKEAEKGVQGAAHHIGQGFKETEKWGESLGHHAGQGFRGAENGAQGAAHHVGQGFRGAENGAQGAAHHVGQGFRGAENGAQGAANDVGQGFKGAESGAQNAGHHVGHHILKDAEKGVQGAANNVGHGLKEAEKGAQGIGHNIEHAVKDMGHNLGQVGKDLEKGTHSGMNSLGNFVKEVERGAHTIGSNIGDLAKGVEREANSLGRNIGEIVKEIPDDSAAWGSLAGLLLLLSCSGVLRALHM
+>sp|Q8IVN8|SBSPO_HUMAN Somatomedin-B and thrombospondin type-1 domain-containing protein OS=Homo sapiens OX=9606 GN=SBSPON PE=1 SV=2
+MRTLWMALCALSRLWPGAQAGCAEAGRCCPGRDPACFARGWRLDRVYGTCFCDQACRFTGDCCFDYDRACPARPCFVGEWSPWSGCADQCKPTTRVRRRSVQQEPQNGGAPCPPLEERAGCLEYSTPQGQDCGHTYVPAFITTSAFNKERTRQATSPHWSTHTEDAGYCMEFKTESLTPHCALENWPLTRWMQYLREGYTVCVDCQPPAMNSVSLRCSGDGLDSDGNQTLHWQAIGNPRCQGTWKKVRRVDQCSCPAVHSFIFI
+>DECOY_sp|Q8IVN8|SBSPO_HUMAN Somatomedin-B and thrombospondin type-1 domain-containing protein OS=Homo sapiens OX=9606 GN=SBSPON PE=1 SV=2
+IFIFSHVAPCSCQDVRRVKKWTGQCRPNGIAQWHLTQNGDSDLGDGSCRLSVSNMAPPQCDVCVTYGERLYQMWRTLPWNELACHPTLSETKFEMCYGADETHTSWHPSTAQRTREKNFASTTIFAPVYTHGCDQGQPTSYELCGAREELPPCPAGGNQPEQQVSRRRVRTTPKCQDACGSWPSWEGVFCPRAPCARDYDFCCDGTFRCAQDCFCTGYVRDLRWGRAFCAPDRGPCCRGAEACGAQAGPWLRSLACLAMWLTRM
+>sp|Q9BRL7|SC22C_HUMAN Vesicle-trafficking protein SEC22c OS=Homo sapiens OX=9606 GN=SEC22C PE=1 SV=1
+MSVIFFACVVRVRDGLPLSASTDFYHTQDFLEWRRRLKSLALRLAQYPGRGSAEGCDFSIHFSSFGDVACMAICSCQCPAAMAFCFLETLWWEFTASYDTTCIGLASRPYAFLEFDSIIQKVKWHFNYVSSSQMECSLEKIQEELKLQPPAVLTLEDTDVANGVMNGHTPMHLEPAPNFRMEPVTALGILSLILNIMCAALNLIRGVHLAEHSLQVAHEEIGNILAFLVPFVACIFQCYLYLFYSPARTMKVVLMLLFICLGNMYLHGLRNLWQILFHIGVAFLSSYQILTRQLQEKQSDCGV
+>DECOY_sp|Q9BRL7|SC22C_HUMAN Vesicle-trafficking protein SEC22c OS=Homo sapiens OX=9606 GN=SEC22C PE=1 SV=1
+VGCDSQKEQLQRTLIQYSSLFAVGIHFLIQWLNRLGHLYMNGLCIFLLMLVVKMTRAPSYFLYLYCQFICAVFPVLFALINGIEEHAVQLSHEALHVGRILNLAACMINLILSLIGLATVPEMRFNPAPELHMPTHGNMVGNAVDTDELTLVAPPQLKLEEQIKELSCEMQSSSVYNFHWKVKQIISDFELFAYPRSALGICTTDYSATFEWWLTELFCFAMAAPCQCSCIAMCAVDGFSSFHISFDCGEASGRGPYQALRLALSKLRRRWELFDQTHYFDTSASLPLGDRVRVVCAFFIVSM
+>sp|Q15436|SC23A_HUMAN Protein transport protein Sec23A OS=Homo sapiens OX=9606 GN=SEC23A PE=1 SV=2
+MTTYLEFIQQNEERDGVRFSWNVWPSSRLEATRMVVPVAALFTPLKERPDLPPIQYEPVLCSRTTCRAVLNPLCQVDYRAKLWACNFCYQRNQFPPSYAGISELNQPAELLPQFSSIEYVVLRGPQMPLIFLYVVDTCMEDEDLQALKESMQMSLSLLPPTALVGLITFGRMVQVHELGCEGISKSYVFRGTKDLSAKQLQEMLGLSKVPLTQATRGPQVQQPPPSNRFLQPVQKIDMNLTDLLGELQRDPWPVPQGKRPLRSSGVALSIAVGLLECTFPNTGARIMMFIGGPATQGPGMVVGDELKTPIRSWHDIDKDNAKYVKKGTKHFEALANRAATTGHVIDIYACALDQTGLLEMKCCPNLTGGYMVMGDSFNTSLFKQTFQRVFTKDMHGQFKMGFGGTLEIKTSREIKISGAIGPCVSLNSKGPCVSENEIGTGGTCQWKICGLSPTTTLAIYFEVVNQHNAPIPQGGRGAIQFVTQYQHSSGQRRIRVTTIARNWADAQTQIQNIAASFDQEAAAILMARLAIYRAETEEGPDVLRWLDRQLIRLCQKFGEYHKDDPSSFRFSETFSLYPQFMFHLRRSSFLQVFNNSPDESSYYRHHFMRQDLTQSLIMIQPILYAYSFSGPPEPVLLDSSSILADRILLMDTFFQILIYHGETIAQWRKSGYQDMPEYENFRHLLQAPVDDAQEILHSRFPMPRYIDTEHGGSQARFLLSKVNPSQTHNNMYAWGQESGAPILTDDVSLQVFMDHLKKLAVSSAA
+>DECOY_sp|Q15436|SC23A_HUMAN Protein transport protein Sec23A OS=Homo sapiens OX=9606 GN=SEC23A PE=1 SV=2
+AASSVALKKLHDMFVQLSVDDTLIPAGSEQGWAYMNNHTQSPNVKSLLFRAQSGGHETDIYRPMPFRSHLIEQADDVPAQLLHRFNEYEPMDQYGSKRWQAITEGHYILIQFFTDMLLIRDALISSSDLLVPEPPGSFSYAYLIPQIMILSQTLDQRMFHHRYYSSEDPSNNFVQLFSSRRLHFMFQPYLSFTESFRFSSPDDKHYEGFKQCLRILQRDLWRLVDPGEETEARYIALRAMLIAAAEQDFSAAINQIQTQADAWNRAITTVRIRRQGSSHQYQTVFQIAGRGGQPIPANHQNVVEFYIALTTTPSLGCIKWQCTGGTGIENESVCPGKSNLSVCPGIAGSIKIERSTKIELTGGFGMKFQGHMDKTFVRQFTQKFLSTNFSDGMVMYGGTLNPCCKMELLGTQDLACAYIDIVHGTTAARNALAEFHKTGKKVYKANDKDIDHWSRIPTKLEDGVVMGPGQTAPGGIFMMIRAGTNPFTCELLGVAISLAVGSSRLPRKGQPVPWPDRQLEGLLDTLNMDIKQVPQLFRNSPPPQQVQPGRTAQTLPVKSLGLMEQLQKASLDKTGRFVYSKSIGECGLEHVQVMRGFTILGVLATPPLLSLSMQMSEKLAQLDEDEMCTDVVYLFILPMQPGRLVVYEISSFQPLLEAPQNLESIGAYSPPFQNRQYCFNCAWLKARYDVQCLPNLVARCTTRSCLVPEYQIPPLDPREKLPTFLAAVPVVMRTAELRSSPWVNWSFRVGDREENQQIFELYTTM
+>sp|O95486|SC24A_HUMAN Protein transport protein Sec24A OS=Homo sapiens OX=9606 GN=SEC24A PE=1 SV=2
+MSQPGIPASGGAPASLQAQNGAALASGSPYTNGPVQNALLSSQESVSQGYNFQLPGSYPHPIPAKTLNPVSGQSNYGGSQGSGQTLNRPPVASNPVTPSLHSGPAPRMPLPASQNPATTPMPSSSFLPEANLPPPLNWQYNYPSTASQTNHCPRASSQPTVSGNTSLTTNHQYVSSGYPSLQNSFIKSGPSVPPLVNPPLPTTFQPGAPHGPPPAGGPPPVRALTPLTSSYRDVPQPLFNSAVNQEGITSNTNNGSMVVHSSYDEIEGGGLLATPQLTNKNPKMSRSVGYSYPSLPPGYQNTTPPGATGVPPSSLNYPSGPQAFTQTPLGANHLTTSMSGLSLQPEGLRVVNLLQERNMLPSTPLKPPVPNLHEDIQKLNCNPELFRCTLTSIPQTQALLNKAKLPLGLLLHPFKDLVQLPVVTSSTIVRCRSCRTYINPFVSFLDQRRWKCNLCYRVNDVPEEFLYNPLTRVYGEPHRRPEVQNATIEFMAPSEYMLRPPQPPVYLFVFDVSHNAVETGYLNSVCQSLLDNLDLLPGNTRTKIGFITFDSTIHFYGLQESLSQPQMLIVSDIEDVFIPMPENLLVNLNESKELVQDLLKTLPQMFTKTLETQSALGPALQAAFKLMSPTGGRMSVFQTQLPTLGVGALKPREEPNHRSSAKDIHMTPSTDFYKKLALDCSGQQVAVDLFLLSGQYSDLASLGCISRYSAGSVYYYPSYHHQHNPVQVQKLQKELQRYLTRKIGFEAVMRIRCTKGLSIHTFHGNFFVRSTDLLSLPNVNPDAGYAVQMSVEESLTDTQLVSFQSALLYTSSKGERRIRVHTLCLPVVSTLNDVFLGADVQAISGLLANMAVDRSMTASLSDARDALVNAVIDSLSAYRSSVLSNQQPGLMVPFSLRLFPLFVLALLKQKSFQTGTNARLDERIFAMCQVKNQPLVYLMLTTHPSLYRVDNLSDEGALNISDRTIPQPPILQLSVEKLSRDGAFLMDAGSVLMLWVGKNCTQNFLSQVLGVQNYASIPQPMTDLPELDTPESARIIAFISWLREQRPFFPILYVIRDESPMKANFLQNMIEDRTESALSYYEFLLHIQQQVNK
+>DECOY_sp|O95486|SC24A_HUMAN Protein transport protein Sec24A OS=Homo sapiens OX=9606 GN=SEC24A PE=1 SV=2
+KNVQQQIHLLFEYYSLASETRDEIMNQLFNAKMPSEDRIVYLIPFFPRQERLWSIFAIIRASEPTDLEPLDTMPQPISAYNQVGLVQSLFNQTCNKGVWLMLVSGADMLFAGDRSLKEVSLQLIPPQPITRDSINLAGEDSLNDVRYLSPHTTLMLYVLPQNKVQCMAFIREDLRANTGTQFSKQKLLALVFLPFLRLSFPVMLGPQQNSLVSSRYASLSDIVANVLADRADSLSATMSRDVAMNALLGSIAQVDAGLFVDNLTSVVPLCLTHVRIRREGKSSTYLLASQFSVLQTDTLSEEVSMQVAYGADPNVNPLSLLDTSRVFFNGHFTHISLGKTCRIRMVAEFGIKRTLYRQLEKQLKQVQVPNHQHHYSPYYYVSGASYRSICGLSALDSYQGSLLFLDVAVQQGSCDLALKKYFDTSPTMHIDKASSRHNPEERPKLAGVGLTPLQTQFVSMRGGTPSMLKFAAQLAPGLASQTELTKTFMQPLTKLLDQVLEKSENLNVLLNEPMPIFVDEIDSVILMQPQSLSEQLGYFHITSDFTIFGIKTRTNGPLLDLNDLLSQCVSNLYGTEVANHSVDFVFLYVPPQPPRLMYESPAMFEITANQVEPRRHPEGYVRTLPNYLFEEPVDNVRYCLNCKWRRQDLFSVFPNIYTRCSRCRVITSSTVVPLQVLDKFPHLLLGLPLKAKNLLAQTQPISTLTCRFLEPNCNLKQIDEHLNPVPPKLPTSPLMNREQLLNVVRLGEPQLSLGSMSTTLHNAGLPTQTFAQPGSPYNLSSPPVGTAGPPTTNQYGPPLSPYSYGVSRSMKPNKNTLQPTALLGGGEIEDYSSHVVMSGNNTNSTIGEQNVASNFLPQPVDRYSSTLPTLARVPPPGGAPPPGHPAGPQFTTPLPPNVLPPVSPGSKIFSNQLSPYGSSVYQHNTTLSTNGSVTPQSSARPCHNTQSATSPYNYQWNLPPPLNAEPLFSSSPMPTTAPNQSAPLPMRPAPGSHLSPTVPNSAVPPRNLTQGSGQSGGYNSQGSVPNLTKAPIPHPYSGPLQFNYGQSVSEQSSLLANQVPGNTYPSGSALAAGNQAQLSAPAGGSAPIGPQSM
+>sp|Q9NQW1|SC31B_HUMAN Protein transport protein Sec31B OS=Homo sapiens OX=9606 GN=SEC31B PE=1 SV=1
+MKLKELERPAVQAWSPASQYPLYLATGTSAQQLDSSFSTNGTLEIFEVDFRDPSLDLKHRGVLSALSRFHKLVWGSFGSGLLESSGVIVGGGDNGMLILYNVTHILSSGKEPVIAQKQKHTGAVRALDLNPFQGNLLASGASDSEIFIWDLNNLNVPMTLGSKSQQPPEDIKALSWNRQAQHILSSAHPSGKAVVWDLRKNEPIIKVSDHSNRMHCSGLAWHPDIATQLVLCSEDDRLPVIQLWDLRFASSPLKVLESHSRGILSVSWSQADAELLLTSAKDSQILCRNLGSSEVVYKLPTQSSWCFDVQWCPRDPSVFSAASFNGWISLYSVMGRSWEVQHMRQADKISSSFSKGQPLPPLQVPEQVAQAPLIPPLKKPPKWIRRPTGVSFAFGGKLVTFGLPSTPAHLVPQPCPRLVFISQVTTESEFLMRSAELQEALGSGNLLNYCQNKSQQALLQSEKMLWQFLKVTLEQDSRMKFLKLLGYSKDELQKKVATWLKSDVGLGESPQPKGNDLNSDRQQAFCSQASKHTTKEASASSAFFDELVPQNMTPWEIPITKDIDGLLSQALLLGELGPAVELCLKEERFADAIILAQAGGTDLLKQTQERYLAKKKTKISSLLACVVQKNWKDVVCTCSLKNWREALALLLTYSGTEKFPELCDMLGTRMEQEGSRALTSEARLCYVCSGSVERLVECWAKCHQALSPMALQDLMEKVMVLNRSLEQLRGPHGVSPGPATTYRVTQYANLLAAQGSLATAMSFLPRDCAQPPVQQLRDRLFHAQGSAVLGQQSPPFPFPRIVVGATLHSKETSSYRLGSQPSHQVPTPSPRPRVFTPQSSPAMPLAPSHPSPYQGPRTQNISDYRAPGPQAIQPLPLSPGVRPASSQPQLLGGQRVQVPNPVGFPGTWPLPGSPLPMACPGIMRPGSTSLPETPRLFPLLPLRPLGPGRMVSHTPAPPASFPVPYLPGDPGAPCSSVLPTTGILTPHPGPQDSWKEAPAPRGNLQRNKLPETFMPPAPITAPVMSLTPELQGILPSQPPVSSVSHAPPGVPGELSLQLQHLPPEKMERKELPPEHQSLKSSFEALLQRCSLSATDLKTKRKLEEAAQRLEYLYEKLCEGTLSPHVVAGLHEVARCVDAGSFEQGLAVHAQVAGCSSFSEVSSFMPILKAVLIIAHKLLV
+>DECOY_sp|Q9NQW1|SC31B_HUMAN Protein transport protein Sec31B OS=Homo sapiens OX=9606 GN=SEC31B PE=1 SV=1
+VLLKHAIILVAKLIPMFSSVESFSSCGAVQAHVALGQEFSGADVCRAVEHLGAVVHPSLTGECLKEYLYELRQAAEELKRKTKLDTASLSCRQLLAEFSSKLSQHEPPLEKREMKEPPLHQLQLSLEGPVGPPAHSVSSVPPQSPLIGQLEPTLSMVPATIPAPPMFTEPLKNRQLNGRPAPAEKWSDQPGPHPTLIGTTPLVSSCPAGPDGPLYPVPFSAPPAPTHSVMRGPGLPRLPLLPFLRPTEPLSTSGPRMIGPCAMPLPSGPLPWTGPFGVPNPVQVRQGGLLQPQSSAPRVGPSLPLPQIAQPGPARYDSINQTRPGQYPSPHSPALPMAPSSQPTFVRPRPSPTPVQHSPQSGLRYSSTEKSHLTAGVVIRPFPFPPSQQGLVASGQAHFLRDRLQQVPPQACDRPLFSMATALSGQAALLNAYQTVRYTTAPGPSVGHPGRLQELSRNLVMVKEMLDQLAMPSLAQHCKAWCEVLREVSGSCVYCLRAESTLARSGEQEMRTGLMDCLEPFKETGSYTLLLALAERWNKLSCTCVVDKWNKQVVCALLSSIKTKKKALYREQTQKLLDTGGAQALIIADAFREEKLCLEVAPGLEGLLLAQSLLGDIDKTIPIEWPTMNQPVLEDFFASSASAEKTTHKSAQSCFAQQRDSNLDNGKPQPSEGLGVDSKLWTAVKKQLEDKSYGLLKLFKMRSDQELTVKLFQWLMKESQLLAQQSKNQCYNLLNGSGLAEQLEASRMLFESETTVQSIFVLRPCPQPVLHAPTSPLGFTVLKGGFAFSVGTPRRIWKPPKKLPPILPAQAVQEPVQLPPLPQGKSFSSSIKDAQRMHQVEWSRGMVSYLSIWGNFSAASFVSPDRPCWQVDFCWSSQTPLKYVVESSGLNRCLIQSDKASTLLLEADAQSWSVSLIGRSHSELVKLPSSAFRLDWLQIVPLRDDESCLVLQTAIDPHWALGSCHMRNSHDSVKIIPENKRLDWVVAKGSPHASSLIHQAQRNWSLAKIDEPPQQSKSGLTMPVNLNNLDWIFIESDSAGSALLNGQFPNLDLARVAGTHKQKQAIVPEKGSSLIHTVNYLILMGNDGGGVIVGSSELLGSGFSGWVLKHFRSLASLVGRHKLDLSPDRFDVEFIELTGNTSFSSDLQQASTGTALYLPYQSAPSWAQVAPRELEKLKM
+>sp|P13866|SC5A1_HUMAN Sodium/glucose cotransporter 1 OS=Homo sapiens OX=9606 GN=SLC5A1 PE=1 SV=1
+MDSSTWSPKTTAVTRPVETHELIRNAADISIIVIYFVVVMAVGLWAMFSTNRGTVGGFFLAGRSMVWWPIGASLFASNIGSGHFVGLAGTGAASGIAIGGFEWNALVLVVVLGWLFVPIYIKAGVVTMPEYLRKRFGGQRIQVYLSLLSLLLYIFTKISADIFSGAIFINLALGLNLYLAIFLLLAITALYTITGGLAAVIYTDTLQTVIMLVGSLILTGFAFHEVGGYDAFMEKYMKAIPTIVSDGNTTFQEKCYTPRADSFHIFRDPLTGDLPWPGFIFGMSILTLWYWCTDQVIVQRCLSAKNMSHVKGGCILCGYLKLMPMFIMVMPGMISRILYTEKIACVVPSECEKYCGTKVGCTNIAYPTLVVELMPNGLRGLMLSVMLASLMSSLTSIFNSASTLFTMDIYAKVRKRASEKELMIAGRLFILVLIGISIAWVPIVQSAQSGQLFDYIQSITSYLGPPIAAVFLLAIFWKRVNEPGAFWGLILGLLIGISRMITEFAYGTGSCMEPSNCPTIICGVHYLYFAIILFAISFITIVVISLLTKPIPDVHLYRLCWSLRNSKEERIDLDAEEENIQEGPKETIEIETQVPEKKKGIFRRAYDLFCGLEQHGAPKMTEEEEKAMKMKMTDTSEKPLWRTVLNVNGIILVTVAVFCHAYFA
+>DECOY_sp|P13866|SC5A1_HUMAN Sodium/glucose cotransporter 1 OS=Homo sapiens OX=9606 GN=SLC5A1 PE=1 SV=1
+AFYAHCFVAVTVLIIGNVNLVTRWLPKESTDTMKMKMAKEEEETMKPAGHQELGCFLDYARRFIGKKKEPVQTEIEITEKPGEQINEEEADLDIREEKSNRLSWCLRYLHVDPIPKTLLSIVVITIFSIAFLIIAFYLYHVGCIITPCNSPEMCSGTGYAFETIMRSIGILLGLILGWFAGPENVRKWFIALLFVAAIPPGLYSTISQIYDFLQGSQASQVIPVWAISIGILVLIFLRGAIMLEKESARKRVKAYIDMTFLTSASNFISTLSSMLSALMVSLMLGRLGNPMLEVVLTPYAINTCGVKTGCYKECESPVVCAIKETYLIRSIMGPMVMIFMPMLKLYGCLICGGKVHSMNKASLCRQVIVQDTCWYWLTLISMGFIFGPWPLDGTLPDRFIHFSDARPTYCKEQFTTNGDSVITPIAKMYKEMFADYGGVEHFAFGTLILSGVLMIVTQLTDTYIVAALGGTITYLATIALLLFIALYLNLGLALNIFIAGSFIDASIKTFIYLLLSLLSLYVQIRQGGFRKRLYEPMTVVGAKIYIPVFLWGLVVVLVLANWEFGGIAIGSAAGTGALGVFHGSGINSAFLSAGIPWWVMSRGALFFGGVTGRNTSFMAWLGVAMVVVFYIVIISIDAANRILEHTEVPRTVATTKPSWTSSDM
+>sp|P31639|SC5A2_HUMAN Sodium/glucose cotransporter 2 OS=Homo sapiens OX=9606 GN=SLC5A2 PE=1 SV=1
+MEEHTEAGSAPEMGAQKALIDNPADILVIAAYFLLVIGVGLWSMCRTNRGTVGGYFLAGRSMVWWPVGASLFASNIGSGHFVGLAGTGAASGLAVAGFEWNALFVVLLLGWLFAPVYLTAGVITMPQYLRKRFGGRRIRLYLSVLSLFLYIFTKISVDMFSGAVFIQQALGWNIYASVIALLGITMIYTVTGGLAALMYTDTVQTFVILGGACILMGYAFHEVGGYSGLFDKYLGAATSLTVSEDPAVGNISSFCYRPRPDSYHLLRHPVTGDLPWPALLLGLTIVSGWYWCSDQVIVQRCLAGKSLTHIKAGCILCGYLKLTPMFLMVMPGMISRILYPDEVACVVPEVCRRVCGTEVGCSNIAYPRLVVKLMPNGLRGLMLAVMLAALMSSLASIFNSSSTLFTMDIYTRLRPRAGDRELLLVGRLWVVFIVVVSVAWLPVVQAAQGGQLFDYIQAVSSYLAPPVSAVFVLALFVPRVNEQGAFWGLIGGLLMGLARLIPEFSFGSGSCVQPSACPAFLCGVHYLYFAIVLFFCSGLLTLTVSLCTAPIPRKHLHRLVFSLRHSKEEREDLDADEQQGSSLPVQNGCPESAMEMNEPQAPAPSLFRQCLLWFCGMSRGGVGSPPPLTQEEAAAAARRLEDISEDPSWARVVNLNALLMMAVAVFLWGFYA
+>DECOY_sp|P31639|SC5A2_HUMAN Sodium/glucose cotransporter 2 OS=Homo sapiens OX=9606 GN=SLC5A2 PE=1 SV=1
+AYFGWLFVAVAMMLLANLNVVRAWSPDESIDELRRAAAAAEEQTLPPPSGVGGRSMGCFWLLCQRFLSPAPAQPENMEMASEPCGNQVPLSSGQQEDADLDEREEKSHRLSFVLRHLHKRPIPATCLSVTLTLLGSCFFLVIAFYLYHVGCLFAPCASPQVCSGSGFSFEPILRALGMLLGGILGWFAGQENVRPVFLALVFVASVPPALYSSVAQIYDFLQGGQAAQVVPLWAVSVVVIFVVWLRGVLLLERDGARPRLRTYIDMTFLTSSSNFISALSSMLAALMVALMLGRLGNPMLKVVLRPYAINSCGVETGCVRRCVEPVVCAVEDPYLIRSIMGPMVMLFMPTLKLYGCLICGAKIHTLSKGALCRQVIVQDSCWYWGSVITLGLLLAPWPLDGTVPHRLLHYSDPRPRYCFSSINGVAPDESVTLSTAAGLYKDFLGSYGGVEHFAYGMLICAGGLIVFTQVTDTYMLAALGGTVTYIMTIGLLAIVSAYINWGLAQQIFVAGSFMDVSIKTFIYLFLSLVSLYLRIRRGGFRKRLYQPMTIVGATLYVPAFLWGLLLVVFLANWEFGAVALGSAAGTGALGVFHGSGINSAFLSAGVPWWVMSRGALFYGGVTGRNTRCMSWLGVGIVLLFYAAIVLIDAPNDILAKQAGMEPASGAETHEEM
+>sp|P53794|SC5A3_HUMAN Sodium/myo-inositol cotransporter OS=Homo sapiens OX=9606 GN=SLC5A3 PE=1 SV=2
+MRAVLDTADIAIVALYFILVMCIGFFAMWKSNRSTVSGYFLAGRSMTWVTIGASLFVSNIGSEHFIGLAGSGAASGFAVGAWEFNALLLLQLLGWVFIPIYIRSGVYTMPEYLSKRFGGHRIQVYFAALSLILYIFTKLSVDLYSGALFIQESLGWNLYVSVILLIGMTALLTVTGGLVAVIYTDTLQALLMIIGALTLMIISIMEIGGFEEVKRRYMLASPDVTSILLTYNLSNTNSCNVSPKKEALKMLRNPTDEDVPWPGFILGQTPASVWYWCADQVIVQRVLAAKNIAHAKGSTLMAGFLKLLPMFIIVVPGMISRILFTDDIACINPEHCMLVCGSRAGCSNIAYPRLVMKLVPVGLRGLMMAVMIAALMSDLDSIFNSASTIFTLDVYKLIRKSASSRELMIVGRIFVAFMVVISIAWVPIIVEMQGGQMYLYIQEVADYLTPPVAALFLLAIFWKRCNEQGAFYGGMAGFVLGAVRLILAFAYRAPECDQPDNRPGFIKDIHYMYVATGLFWVTGLITVIVSLLTPPPTKEQIRTTTFWSKKNLVVKENCSPKEEPYQMQEKSILRCSENNETINHIIPNGKSEDSIKGLQPEDVNLLVTCREEGNPVASLGHSEAETPVDAYSNGQAALMGEKERKKETDDGGRYWKFIDWFCGFKSKSLSKRSLRDLMEEEAVCLQMLEETRQVKVILNIGLFAVCSLGIFMFVYFSL
+>DECOY_sp|P53794|SC5A3_HUMAN Sodium/myo-inositol cotransporter OS=Homo sapiens OX=9606 GN=SLC5A3 PE=1 SV=2
+LSFYVFMFIGLSCVAFLGINLIVKVQRTEELMQLCVAEEEMLDRLSRKSLSKSKFGCFWDIFKWYRGGDDTEKKREKEGMLAAQGNSYADVPTEAESHGLSAVPNGEERCTVLLNVDEPQLGKISDESKGNPIIHNITENNESCRLISKEQMQYPEEKPSCNEKVVLNKKSWFTTTRIQEKTPPPTLLSVIVTILGTVWFLGTAVYMYHIDKIFGPRNDPQDCEPARYAFALILRVAGLVFGAMGGYFAGQENCRKWFIALLFLAAVPPTLYDAVEQIYLYMQGGQMEVIIPVWAISIVVMFAVFIRGVIMLERSSASKRILKYVDLTFITSASNFISDLDSMLAAIMVAMMLGRLGVPVLKMVLRPYAINSCGARSGCVLMCHEPNICAIDDTFLIRSIMGPVVIIFMPLLKLFGAMLTSGKAHAINKAALVRQVIVQDACWYWVSAPTQGLIFGPWPVDEDTPNRLMKLAEKKPSVNCSNTNSLNYTLLISTVDPSALMYRRKVEEFGGIEMISIIMLTLAGIIMLLAQLTDTYIVAVLGGTVTLLATMGILLIVSVYLNWGLSEQIFLAGSYLDVSLKTFIYLILSLAAFYVQIRHGGFRKSLYEPMTYVGSRIYIPIFVWGLLQLLLLANFEWAGVAFGSAAGSGALGIFHESGINSVFLSAGITVWTMSRGALFYGSVTSRNSKWMAFFGICMVLIFYLAVIAIDATDLVARM
+>sp|Q92911|SC5A5_HUMAN Sodium/iodide cotransporter OS=Homo sapiens OX=9606 GN=SLC5A5 PE=1 SV=1
+MEAVETGERPTFGAWDYGVFALMLLVSTGIGLWVGLARGGQRSAEDFFTGGRRLAALPVGLSLSASFMSAVQVLGVPSEAYRYGLKFLWMCLGQLLNSVLTALLFMPVFYRLGLTSTYEYLEMRFSRAVRLCGTLQYIVATMLYTGIVIYAPALILNQVTGLDIWASLLSTGIICTFYTAVGGMKAVVWTDVFQVVVMLSGFWVVLARGVMLVGGPRQVLTLAQNHSRINLMDFNPDPRSRYTFWTFVVGGTLVWLSMYGVNQAQVQRYVACRTEKQAKLALLINQVGLFLIVSSAACCGIVMFVFYTDCDPLLLGRISAPDQYMPLLVLDIFEDLPGVPGLFLACAYSGTLSTASTSINAMAAVTVEDLIKPRLRSLAPRKLVIISKGLSLIYGSACLTVAALSSLLGGGVLQGSFTVMGVISGPLLGAFILGMFLPACNTPGVLAGLGAGLALSLWVALGATLYPPSEQTMRVLPSSAARCVALSVNASGLLDPALLPANDSSRAPSSGMDASRPALADSFYAISYLYYGALGTLTTVLCGALISCLTGPTKRSTLAPGLLWWDLARQTASVAPKEEVAILDDNLVKGPEELPTGNKKPPGFLPTNEDRLFFLGQKELEGAGSWTPCVGHDGGRDQQETNL
+>DECOY_sp|Q92911|SC5A5_HUMAN Sodium/iodide cotransporter OS=Homo sapiens OX=9606 GN=SLC5A5 PE=1 SV=1
+LNTEQQDRGGDHGVCPTWSGAGELEKQGLFFLRDENTPLFGPPKKNGTPLEEPGKVLNDDLIAVEEKPAVSATQRALDWWLLGPALTSRKTPGTLCSILAGCLVTTLTGLAGYYLYSIAYFSDALAPRSADMGSSPARSSDNAPLLAPDLLGSANVSLAVCRAASSPLVRMTQESPPYLTAGLAVWLSLALGAGLGALVGPTNCAPLFMGLIFAGLLPGSIVGMVTFSGQLVGGGLLSSLAAVTLCASGYILSLGKSIIVLKRPALSRLRPKILDEVTVAAMANISTSATSLTGSYACALFLGPVGPLDEFIDLVLLPMYQDPASIRGLLLPDCDTYFVFMVIGCCAASSVILFLGVQNILLALKAQKETRCAVYRQVQAQNVGYMSLWVLTGGVVFTWFTYRSRPDPNFDMLNIRSHNQALTLVQRPGGVLMVGRALVVWFGSLMVVVQFVDTWVVAKMGGVATYFTCIIGTSLLSAWIDLGTVQNLILAPAYIVIGTYLMTAVIYQLTGCLRVARSFRMELYEYTSTLGLRYFVPMFLLATLVSNLLQGLCMWLFKLGYRYAESPVGLVQVASMFSASLSLGVPLAALRRGGTFFDEASRQGGRALGVWLGIGTSVLLMLAFVGYDWAGFTPREGTEVAEM
+>sp|Q9Y289|SC5A6_HUMAN Sodium-dependent multivitamin transporter OS=Homo sapiens OX=9606 GN=SLC5A6 PE=2 SV=2
+MSVGVSTSAPLSPTSGTSVGMSTFSIMDYVVFVLLLVLSLAIGLYHACRGWGRHTVGELLMADRKMGCLPVALSLLATFQSAVAILGVPSEIYRFGTQYWFLGCCYFLGLLIPAHIFIPVFYRLHLTSAYEYLELRFNKTVRVCGTVTFIFQMVIYMGVVLYAPSLALNAVTGFDLWLSVLALGIVCTVYTALGGLKAVIWTDVFQTLVMFLGQLAVIIVGSAKVGGLGRVWAVASQHGRISGFELDPDPFVRHTFWTLAFGGVFMMLSLYGVNQAQVQRYLSSRTEKAAVLSCYAVFPFQQVSLCVGCLIGLVMFAYYQEYPMSIQQAQAAPDQFVLYFVMDLLKGLPGLPGLFIACLFSGSLSTISSAFNSLATVTMEDLIRPWFPEFSEARAIMLSRGLAFGYGLLCLGMAYISSQMGPVLQAAISIFGMVGGPLLGLFCLGMFFPCANPPGAVVGLLAGLVMAFWIGIGSIVTSMGSSMPPSPSNGSSFSLPTNLTVATVTTLMPLTTFSKPTGLQRFYSLSYLWYSAHNSTTVIVVGLIVSLLTGRMRGRSLNPATIYPVLPKLLSLLPLSCQKRLHCRSYGQDHLDTGLFPEKPRNGVLGDSRDKEAMALDGTAYQGSSSTCILQETSL
+>DECOY_sp|Q9Y289|SC5A6_HUMAN Sodium-dependent multivitamin transporter OS=Homo sapiens OX=9606 GN=SLC5A6 PE=2 SV=2
+LSTEQLICTSSSGQYATGDLAMAEKDRSDGLVGNRPKEPFLGTDLHDQGYSRCHLRKQCSLPLLSLLKPLVPYITAPNLSRGRMRGTLLSVILGVVIVTTSNHASYWLYSLSYFRQLGTPKSFTTLPMLTTVTAVTLNTPLSFSSGNSPSPPMSSGMSTVISGIGIWFAMVLGALLGVVAGPPNACPFFMGLCFLGLLPGGVMGFISIAAQLVPGMQSSIYAMGLCLLGYGFALGRSLMIARAESFEPFWPRILDEMTVTALSNFASSITSLSGSFLCAIFLGPLGPLGKLLDMVFYLVFQDPAAQAQQISMPYEQYYAFMVLGILCGVCLSVQQFPFVAYCSLVAAKETRSSLYRQVQAQNVGYLSLMMFVGGFALTWFTHRVFPDPDLEFGSIRGHQSAVAWVRGLGGVKASGVIIVALQGLFMVLTQFVDTWIVAKLGGLATYVTCVIGLALVSLWLDFGTVANLALSPAYLVVGMYIVMQFIFTVTGCVRVTKNFRLELYEYASTLHLRYFVPIFIHAPILLGLFYCCGLFWYQTGFRYIESPVGLIAVASQFTALLSLAVPLCGMKRDAMLLEGVTHRGWGRCAHYLGIALSLVLLLVFVVYDMISFTSMGVSTGSTPSLPASTSVGVSM
+>sp|Q2M3M2|SC5A9_HUMAN Sodium/glucose cotransporter 4 OS=Homo sapiens OX=9606 GN=SLC5A9 PE=1 SV=2
+MSKELAAMGPGASGDGVRTETAPHIALDSRVGLHAYDISVVVIYFVFVIAVGIWSSIRASRGTIGGYFLAGRSMSWWPIGASLMSSNVGSGLFIGLAGTGAAGGLAVGGFEWNATWLLLALGWVFVPVYIAAGVVTMPQYLKKRFGGQRIQVYMSVLSLILYIFTKISTDIFSGALFIQMALGWNLYLSTGILLVVTAVYTIAGGLMAVIYTDALQTVIMVGGALVLMFLGFQDVGWYPGLEQRYRQAIPNVTVPNTTCHLPRPDAFHILRDPVSGDIPWPGLIFGLTVLATWCWCTDQVIVQRSLSAKSLSHAKGGSVLGGYLKILPMFFIVMPGMISRALFPDEVGCVDPDVCQRICGARVGCSNIAYPKLVMALMPVGLRGLMIAVIMAALMSSLTSIFNSSSTLFTIDVWQRFRRKSTEQELMVVGRVFVVFLVVISILWIPIIQSSNSGQLFDYIQAVTSYLAPPITALFLLAIFCKRVTEPGAFWGLVFGLGVGLLRMILEFSYPAPACGEVDRRPAVLKDFHYLYFAILLCGLTAIVIVIVSLCTTPIPEEQLTRLTWWTRNCPLSELEKEAHESTPEISERPAGECPAGGGAAENSSLGQEQPEAPSRSWGKLLWSWFCGLSGTPEQALSPAEKAALEQKLTSIEEEPLWRHVCNINAVLLLAINIFLWGYFA
+>DECOY_sp|Q2M3M2|SC5A9_HUMAN Sodium/glucose cotransporter 4 OS=Homo sapiens OX=9606 GN=SLC5A9 PE=1 SV=2
+AFYGWLFINIALLLVANINCVHRWLPEEEISTLKQELAAKEAPSLAQEPTGSLGCFWSWLLKGWSRSPAEPQEQGLSSNEAAGGGAPCEGAPRESIEPTSEHAEKELESLPCNRTWWTLRTLQEEPIPTTCLSVIVIVIATLGCLLIAFYLYHFDKLVAPRRDVEGCAPAPYSFELIMRLLGVGLGFVLGWFAGPETVRKCFIALLFLATIPPALYSTVAQIYDFLQGSNSSQIIPIWLISIVVLFVVFVRGVVMLEQETSKRRFRQWVDITFLTSSSNFISTLSSMLAAMIVAIMLGRLGVPMLAMVLKPYAINSCGVRAGCIRQCVDPDVCGVEDPFLARSIMGPMVIFFMPLIKLYGGLVSGGKAHSLSKASLSRQVIVQDTCWCWTALVTLGFILGPWPIDGSVPDRLIHFADPRPLHCTTNPVTVNPIAQRYRQELGPYWGVDQFGLFMLVLAGGVMIVTQLADTYIVAMLGGAITYVATVVLLIGTSLYLNWGLAMQIFLAGSFIDTSIKTFIYLILSLVSMYVQIRQGGFRKKLYQPMTVVGAAIYVPVFVWGLALLLWTANWEFGGVALGGAAGTGALGIFLGSGVNSSMLSAGIPWWSMSRGALFYGGITGRSARISSWIGVAIVFVFYIVVVSIDYAHLGVRSDLAIHPATETRVGDGSAGPGMAALEKSM
+>sp|P31645|SC6A4_HUMAN Sodium-dependent serotonin transporter OS=Homo sapiens OX=9606 GN=SLC6A4 PE=1 SV=1
+METTPLNSQKQLSACEDGEDCQENGVLQKVVPTPGDKVESGQISNGYSAVPSPGAGDDTRHSIPATTTTLVAELHQGERETWGKKVDFLLSVIGYAVDLGNVWRFPYICYQNGGGAFLLPYTIMAIFGGIPLFYMELALGQYHRNGCISIWRKICPIFKGIGYAICIIAFYIASYYNTIMAWALYYLISSFTDQLPWTSCKNSWNTGNCTNYFSEDNITWTLHSTSPAEEFYTRHVLQIHRSKGLQDLGGISWQLALCIMLIFTVIYFSIWKGVKTSGKVVWVTATFPYIILSVLLVRGATLPGAWRGVLFYLKPNWQKLLETGVWIDAAAQIFFSLGPGFGVLLAFASYNKFNNNCYQDALVTSVVNCMTSFVSGFVIFTVLGYMAEMRNEDVSEVAKDAGPSLLFITYAEAIANMPASTFFAIIFFLMLITLGLDSTFAGLEGVITAVLDEFPHVWAKRRERFVLAVVITCFFGSLVTLTFGGAYVVKLLEEYATGPAVLTVALIEAVAVSWFYGITQFCRDVKEMLGFSPGWFWRICWVAISPLFLLFIICSFLMSPPQLRLFQYNYPYWSIILGYCIGTSSFICIPTYIAYRLIITPGTFKERIIKSITPETPTEIPCGDIRLNAV
+>DECOY_sp|P31645|SC6A4_HUMAN Sodium-dependent serotonin transporter OS=Homo sapiens OX=9606 GN=SLC6A4 PE=1 SV=1
+VANLRIDGCPIETPTEPTISKIIREKFTGPTIILRYAIYTPICIFSSTGICYGLIISWYPYNYQFLRLQPPSMLFSCIIFLLFLPSIAVWCIRWFWGPSFGLMEKVDRCFQTIGYFWSVAVAEILAVTLVAPGTAYEELLKVVYAGGFTLTVLSGFFCTIVVALVFRERRKAWVHPFEDLVATIVGELGAFTSDLGLTILMLFFIIAFFTSAPMNAIAEAYTIFLLSPGADKAVESVDENRMEAMYGLVTFIVFGSVFSTMCNVVSTVLADQYCNNNFKNYSAFALLVGFGPGLSFFIQAAADIWVGTELLKQWNPKLYFLVGRWAGPLTAGRVLLVSLIIYPFTATVWVVKGSTKVGKWISFYIVTFILMICLALQWSIGGLDQLGKSRHIQLVHRTYFEEAPSTSHLTWTINDESFYNTCNGTNWSNKCSTWPLQDTFSSILYYLAWAMITNYYSAIYFAIICIAYGIGKFIPCIKRWISICGNRHYQGLALEMYFLPIGGFIAMITYPLLFAGGGNQYCIYPFRWVNGLDVAYGIVSLLFDVKKGWTEREGQHLEAVLTTTTAPISHRTDDGAGPSPVASYGNSIQGSEVKDGPTPVVKQLVGNEQCDEGDECASLQKQSNLPTTEM
+>sp|Q9Y345|SC6A5_HUMAN Sodium- and chloride-dependent glycine transporter 2 OS=Homo sapiens OX=9606 GN=SLC6A5 PE=1 SV=3
+MDCSAPKEMNKLPANSPEAAAAQGHPDGPCAPRTSPEQELPAAAAPPPPRVPRSASTGAQTFQSADARACEAERPGVGSCKLSSPRAQAASAALRDLREAQGAQASPPPGSSGPGNALHCKIPFLRGPEGDANVSVGKGTLERNNTPVVGWVNMSQSTVVLATDGITSVLPGSVATVATQEDEQGDENKARGNWSSKLDFILSMVGYAVGLGNVWRFPYLAFQNGGGAFLIPYLMMLALAGLPIFFLEVSLGQFASQGPVSVWKAIPALQGCGIAMLIISVLIAIYYNVIICYTLFYLFASFVSVLPWGSCNNPWNTPECKDKTKLLLDSCVISDHPKIQIKNSTFCMTAYPNVTMVNFTSQANKTFVSGSEEYFKYFVLKISAGIEYPGEIRWPLALCLFLAWVIVYASLAKGIKTSGKVVYFTATFPYVVLVILLIRGVTLPGAGAGIWYFITPKWEKLTDATVWKDAATQIFFSLSAAWGGLITLSSYNKFHNNCYRDTLIVTCTNSATSIFAGFVIFSVIGFMANERKVNIENVADQGPGIAFVVYPEALTRLPLSPFWAIIFFLMLLTLGLDTMFATIETIVTSISDEFPKYLRTHKPVFTLGCCICFFIMGFPMITQGGIYMFQLVDTYAASYALVIIAIFELVGISYVYGLQRFCEDIEMMIGFQPNIFWKVCWAFVTPTILTFILCFSFYQWEPMTYGSYRYPNWSMVLGWLMLACSVIWIPIMFVIKMHLAPGRFIERLKLVCSPQPDWGPFLAQHRGERYKNMIDPLGTSSLGLKLPVKDLELGTQC
+>DECOY_sp|Q9Y345|SC6A5_HUMAN Sodium- and chloride-dependent glycine transporter 2 OS=Homo sapiens OX=9606 GN=SLC6A5 PE=1 SV=3
+CQTGLELDKVPLKLGLSSTGLPDIMNKYREGRHQALFPGWDPQPSCVLKLREIFRGPALHMKIVFMIPIWIVSCALMLWGLVMSWNPYRYSGYTMPEWQYFSFCLIFTLITPTVFAWCVKWFINPQFGIMMEIDECFRQLGYVYSIGVLEFIAIIVLAYSAAYTDVLQFMYIGGQTIMPFGMIFFCICCGLTFVPKHTRLYKPFEDSISTVITEITAFMTDLGLTLLMLFFIIAWFPSLPLRTLAEPYVVFAIGPGQDAVNEINVKRENAMFGIVSFIVFGAFISTASNTCTVILTDRYCNNHFKNYSSLTILGGWAASLSFFIQTAADKWVTADTLKEWKPTIFYWIGAGAGPLTVGRILLIVLVVYPFTATFYVVKGSTKIGKALSAYVIVWALFLCLALPWRIEGPYEIGASIKLVFYKFYEESGSVFTKNAQSTFNVMTVNPYATMCFTSNKIQIKPHDSIVCSDLLLKTKDKCEPTNWPNNCSGWPLVSVFSAFLYFLTYCIIVNYYIAILVSIILMAIGCGQLAPIAKWVSVPGQSAFQGLSVELFFIPLGALALMMLYPILFAGGGNQFALYPFRWVNGLGVAYGVMSLIFDLKSSWNGRAKNEDGQEDEQTAVTAVSGPLVSTIGDTALVVTSQSMNVWGVVPTNNRELTGKGVSVNADGEPGRLFPIKCHLANGPGSSGPPPSAQAGQAERLDRLAASAAQARPSSLKCSGVGPREAECARADASQFTQAGTSASRPVRPPPPAAAAPLEQEPSTRPACPGDPHGQAAAAEPSNAPLKNMEKPASCDM
+>sp|O95171|SCEL_HUMAN Sciellin OS=Homo sapiens OX=9606 GN=SCEL PE=1 SV=2
+MSNVTLRKMSPTGNEMKSTTQGTTRKQQDFHEVNKRRTFLQDNSWIKKRPEEEKDENYGRVVLNRHNSHDALDRKVNERDVPKATISRYSSDDTLDRISDRNDAAKTYKANTLDNQLTNRSMSMFRSLEVTKLQPGGSLNANTSNTIASTSATTPVKKKRQSWFPPPPPGYNASSSTGTRRREPGVHPPIPPKPSSPVSSPNQLRQDNRQIHPPKPGVYTETNRSAERNIRSQDLDNIVKVATSLQRSDKGEELDNLIKMNKSLNRNQGLDSLFRANPKVEEREKRAKSLESLIYMSTRTDKDGKGIQSLGSPIKVNQRTDKNEKGRQNLESVAKVNARMNKTSRRSEDLDNATEVNPKGHENTTGKKDLDGLIKVDPETNKNITRGQSLDNLIKVTPEVKRSNQGSKDLNNFIKVYPGTEKSTEGGQSLDSLIKVTPERNRTNQGNQDLENLIKVIPSANKSSEQGLDEHINVSPKAVKNTDGKQDLDKLIKVNPEIFTNNQRNQDLANLIKVNPAVIRNNQSQDLDNLIKVKPSALRNTNRDQNLENLIEVNSHVSENKNGSSNTGAKQAGPQDTVVYTRTYVENSKSPKDGYQENISGKYIQTVYSTSDRSVIERDMCTYCRKPLGVETKMILDELQICCHSTCFKCEICKQPLENLQAGDSIWIYRQTIHCEPCYSKIMAKWIP
+>DECOY_sp|O95171|SCEL_HUMAN Sciellin OS=Homo sapiens OX=9606 GN=SCEL PE=1 SV=2
+PIWKAMIKSYCPECHITQRYIWISDGAQLNELPQKCIECKFCTSHCCIQLEDLIMKTEVGLPKRCYTCMDREIVSRDSTSYVTQIYKGSINEQYGDKPSKSNEVYTRTYVVTDQPGAQKAGTNSSGNKNESVHSNVEILNELNQDRNTNRLASPKVKILNDLDQSQNNRIVAPNVKILNALDQNRQNNTFIEPNVKILKDLDQKGDTNKVAKPSVNIHEDLGQESSKNASPIVKILNELDQNGQNTRNREPTVKILSDLSQGGETSKETGPYVKIFNNLDKSGQNSRKVEPTVKILNDLSQGRTINKNTEPDVKILGDLDKKGTTNEHGKPNVETANDLDESRRSTKNMRANVKAVSELNQRGKENKDTRQNVKIPSGLSQIGKGDKDTRTSMYILSELSKARKEREEVKPNARFLSDLGQNRNLSKNMKILNDLEEGKDSRQLSTAVKVINDLDQSRINREASRNTETYVGPKPPHIQRNDQRLQNPSSVPSSPKPPIPPHVGPERRRTGTSSSANYGPPPPPFWSQRKKKVPTTASTSAITNSTNANLSGGPQLKTVELSRFMSMSRNTLQNDLTNAKYTKAADNRDSIRDLTDDSSYRSITAKPVDRENVKRDLADHSNHRNLVVRGYNEDKEEEPRKKIWSNDQLFTRRKNVEHFDQQKRTTGQTTSKMENGTPSMKRLTVNSM
+>sp|P05060|SCG1_HUMAN Secretogranin-1 OS=Homo sapiens OX=9606 GN=CHGB PE=1 SV=2
+MQPTLLLSLLGAVGLAAVNSMPVDNRNHNEGMVTRCIIEVLSNALSKSSAPPITPECRQVLKTSRKDVKDKETTENENTKFEVRLLRDPADASEAHESSSRGEAGAPGEEDIQGPTKADTEKWAEGGGHSRERADEPQWSLYPSDSQVSEEVKTRHSEKSQREDEEEEEGENYQKGERGEDSSEEKHLEEPGETQNAFLNERKQASAIKKEELVARSETHAAGHSQEKTHSREKSSQESGEETGSQENHPQESKGQPRSQEESEEGEEDATSEVDKRRTRPRHHHGRSRPDRSSQGGSLPSEEKGHPQEESEESNVSMASLGEKRDHHSTHYRASEEEPEYGEEIKGYPGVQAPEDLEWERYRGRGSEEYRAPRPQSEESWDEEDKRNYPSLELDKMAHGYGEESEEERGLEPGKGRHHRGRGGEPRAYFMSDTREEKRFLGEGHHRVQENQMDKARRHPQGAWKELDRNYLNYGEEGAPGKWQQQGDLQDTKENREEARFQDKQYSSHHTAEKRKRLGELFNPYYDPLQWKSSHFERRDNMNDNFLEGEEENELTLNEKNFFPEYNYDWWEKKPFSEDVNWGYEKRNLARVPKLDLKRQYDRVAQLDQLLHYRKKSAEFPDFYDSEEPVSTHQEAENEKDRADQTVLTEDEKKELENLAAMDLELQKIAEKFSQRG
+>DECOY_sp|P05060|SCG1_HUMAN Secretogranin-1 OS=Homo sapiens OX=9606 GN=CHGB PE=1 SV=2
+GRQSFKEAIKQLELDMAALNELEKKEDETLVTQDARDKENEAEQHTSVPEESDYFDPFEASKKRYHLLQDLQAVRDYQRKLDLKPVRALNRKEYGWNVDESFPKKEWWDYNYEPFFNKENLTLENEEEGELFNDNMNDRREFHSSKWQLPDYYPNFLEGLRKRKEATHHSSYQKDQFRAEERNEKTDQLDGQQQWKGPAGEEGYNLYNRDLEKWAGQPHRRAKDMQNEQVRHHGEGLFRKEERTDSMFYARPEGGRGRHHRGKGPELGREEESEEGYGHAMKDLELSPYNRKDEEDWSEESQPRPARYEESGRGRYREWELDEPAQVGPYGKIEEGYEPEEESARYHTSHHDRKEGLSAMSVNSEESEEQPHGKEESPLSGGQSSRDPRSRGHHHRPRTRRKDVESTADEEGEESEEQSRPQGKSEQPHNEQSGTEEGSEQSSKERSHTKEQSHGAAHTESRAVLEEKKIASAQKRENLFANQTEGPEELHKEESSDEGREGKQYNEGEEEEEDERQSKESHRTKVEESVQSDSPYLSWQPEDARERSHGGGEAWKETDAKTPGQIDEEGPAGAEGRSSSEHAESADAPDRLLRVEFKTNENETTEKDKVDKRSTKLVQRCEPTIPPASSKSLANSLVEIICRTVMGENHNRNDVPMSNVAALGVAGLLSLLLTPQM
+>sp|O60939|SCN2B_HUMAN Sodium channel subunit beta-2 OS=Homo sapiens OX=9606 GN=SCN2B PE=1 SV=1
+MHRDAWLPRPAFSLTGLSLFFSLVPPGRSMEVTVPATLNVLNGSDARLPCTFNSCYTVNHKQFSLNWTYQECNNCSEEMFLQFRMKIINLKLERFQDRVEFSGNPSKYDVSVMLRNVQPEDEGIYNCYIMNPPDRHRGHGKIHLQVLMEEPPERDSTVAVIVGASVGGFLAVVILVLMVVKCVRRKKEQKLSTDDLKTEEEGKTDGEGNPDDGAK
+>DECOY_sp|O60939|SCN2B_HUMAN Sodium channel subunit beta-2 OS=Homo sapiens OX=9606 GN=SCN2B PE=1 SV=1
+KAGDDPNGEGDTKGEEETKLDDTSLKQEKKRRVCKVVMLVLIVVALFGGVSAGVIVAVTSDREPPEEMLVQLHIKGHGRHRDPPNMIYCNYIGEDEPQVNRLMVSVDYKSPNGSFEVRDQFRELKLNIIKMRFQLFMEESCNNCEQYTWNLSFQKHNVTYCSNFTCPLRADSGNLVNLTAPVTVEMSRGPPVLSFFLSLGTLSFAPRPLWADRHM
+>sp|P35499|SCN4A_HUMAN Sodium channel protein type 4 subunit alpha OS=Homo sapiens OX=9606 GN=SCN4A PE=1 SV=4
+MARPSLCTLVPLGPECLRPFTRESLAAIEQRAVEEEARLQRNKQMEIEEPERKPRSDLEAGKNLPMIYGDPPPEVIGIPLEDLDPYYSNKKTFIVLNKGKAIFRFSATPALYLLSPFSVVRRGAIKVLIHALFSMFIMITILTNCVFMTMSDPPPWSKNVEYTFTGIYTFESLIKILARGFCVDDFTFLRDPWNWLDFSVIMMAYLTEFVDLGNISALRTFRVLRALKTITVIPGLKTIVGALIQSVKKLSDVMILTVFCLSVFALVGLQLFMGNLRQKCVRWPPPFNDTNTTWYSNDTWYGNDTWYGNEMWYGNDSWYANDTWNSHASWATNDTFDWDAYISDEGNFYFLEGSNDALLCGNSSDAGHCPEGYECIKTGRNPNYGYTSYDTFSWAFLALFRLMTQDYWENLFQLTLRAAGKTYMIFFVVIIFLGSFYLINLILAVVAMAYAEQNEATLAEDKEKEEEFQQMLEKFKKHQEELEKAKAAQALEGGEADGDPAHGKDCNGSLDTSQGEKGAPRQSSSGDSGISDAMEELEEAHQKCPPWWYKCAHKVLIWNCCAPWLKFKNIIHLIVMDPFVDLGITICIVLNTLFMAMEHYPMTEHFDNVLTVGNLVFTGIFTAEMVLKLIAMDPYEYFQQGWNIFDSIIVTLSLVELGLANVQGLSVLRSFRLLRVFKLAKSWPTLNMLIKIIGNSVGALGNLTLVLAIIVFIFAVVGMQLFGKSYKECVCKIALDCNLPRWHMHDFFHSFLIVFRILCGEWIETMWDCMEVAGQAMCLTVFLMVMVIGNLVVLNLFLALLLSSFSADSLAASDEDGEMNNLQIAIGRIKLGIGFAKAFLLGLLHGKILSPKDIMLSLGEADGAGEAGEAGETAPEDEKKEPPEEDLKKDNHILNHMGLADGPPSSLELDHLNFINNPYLTIQVPIASEESDLEMPTEEETDTFSEPEDSKKPPQPLYDGNSSVCSTADYKPPEEDPEEQAEENPEGEQPEECFTEACVQRWPCLYVDISQGRGKKWWTLRRACFKIVEHNWFETFIVFMILLSSGALAFEDIYIEQRRVIRTILEYADKVFTYIFIMEMLLKWVAYGFKVYFTNAWCWLDFLIVDVSIISLVANWLGYSELGPIKSLRTLRALRPLRALSRFEGMRVVVNALLGAIPSIMNVLLVCLIFWLIFSIMGVNLFAGKFYYCINTTTSERFDISEVNNKSECESLMHTGQVRWLNVKVNYDNVGLGYLSLLQVATFKGWMDIMYAAVDSREKEEQPQYEVNLYMYLYFVIFIIFGSFFTLNLFIGVIIDNFNQQKKKLGGKDIFMTEEQKKYYNAMKKLGSKKPQKPIPRPQNKIQGMVYDLVTKQAFDITIMILICLNMVTMMVETDNQSQLKVDILYNINMIFIIIFTGECVLKMLALRQYYFTVGWNIFDFVVVILSIVGLALSDLIQKYFVSPTLFRVIRLARIGRVLRLIRGAKGIRTLLFALMMSLPALFNIGLLLFLVMFIYSIFGMSNFAYVKKESGIDDMFNFETFGNSIICLFEITTSAGWDGLLNPILNSGPPDCDPNLENPGTSVKGDCGNPSIGICFFCSYIIISFLIVVNMYIAIILENFNVATEESSEPLGEDDFEMFYETWEKFDPDATQFIAYSRLSDFVDTLQEPLRIAKPNKIKLITLDLPMVPGDKIHCLDILFALTKEVLGDSGEMDALKQTMEEKFMAANPSKVSYEPITTTLKRKHEEVCAIKIQRAYRRHLLQRSMKQASYMYRHSHDGSGDDAPEKEGLLANTMSKMYGHENGNSSSPSPEEKGEAGDAGPTMGLMPISPSDTAWPPAPPPGQTVRPGVKESLV
+>DECOY_sp|P35499|SCN4A_HUMAN Sodium channel protein type 4 subunit alpha OS=Homo sapiens OX=9606 GN=SCN4A PE=1 SV=4
+VLSEKVGPRVTQGPPPAPPWATDSPSIPMLGMTPGADGAEGKEEPSPSSSNGNEHGYMKSMTNALLGEKEPADDGSGDHSHRYMYSAQKMSRQLLHRRYARQIKIACVEEHKRKLTTTIPEYSVKSPNAAMFKEEMTQKLADMEGSDGLVEKTLAFLIDLCHIKDGPVMPLDLTILKIKNPKAIRLPEQLTDVFDSLRSYAIFQTADPDFKEWTEYFMEFDDEGLPESSEETAVNFNELIIAIYMNVVILFSIIIYSCFFCIGISPNGCDGKVSTGPNELNPDCDPPGSNLIPNLLGDWGASTTIEFLCIISNGFTEFNFMDDIGSEKKVYAFNSMGFISYIFMVLFLLLGINFLAPLSMMLAFLLTRIGKAGRILRLVRGIRALRIVRFLTPSVFYKQILDSLALGVISLIVVVFDFINWGVTFYYQRLALMKLVCEGTFIIIFIMNINYLIDVKLQSQNDTEVMMTVMNLCILIMITIDFAQKTVLDYVMGQIKNQPRPIPKQPKKSGLKKMANYYKKQEETMFIDKGGLKKKQQNFNDIIVGIFLNLTFFSGFIIFIVFYLYMYLNVEYQPQEEKERSDVAAYMIDMWGKFTAVQLLSLYGLGVNDYNVKVNLWRVQGTHMLSECESKNNVESIDFRESTTTNICYYFKGAFLNVGMISFILWFILCVLLVNMISPIAGLLANVVVRMGEFRSLARLPRLARLTRLSKIPGLESYGLWNAVLSIISVDVILFDLWCWANTFYVKFGYAVWKLLMEMIFIYTFVKDAYELITRIVRRQEIYIDEFALAGSSLLIMFVIFTEFWNHEVIKFCARRLTWWKKGRGQSIDVYLCPWRQVCAETFCEEPQEGEPNEEAQEEPDEEPPKYDATSCVSSNGDYLPQPPKKSDEPESFTDTEEETPMELDSEESAIPVQITLYPNNIFNLHDLELSSPPGDALGMHNLIHNDKKLDEEPPEKKEDEPATEGAEGAEGAGDAEGLSLMIDKPSLIKGHLLGLLFAKAFGIGLKIRGIAIQLNNMEGDEDSAALSDASFSSLLLALFLNLVVLNGIVMVMLFVTLCMAQGAVEMCDWMTEIWEGCLIRFVILFSHFFDHMHWRPLNCDLAIKCVCEKYSKGFLQMGVVAFIFVIIALVLTLNGLAGVSNGIIKILMNLTPWSKALKFVRLLRFSRLVSLGQVNALGLEVLSLTVIISDFINWGQQFYEYPDMAILKLVMEATFIGTFVLNGVTLVNDFHETMPYHEMAMFLTNLVICITIGLDVFPDMVILHIINKFKLWPACCNWILVKHACKYWWPPCKQHAEELEEMADSIGSDGSSSQRPAGKEGQSTDLSGNCDKGHAPDGDAEGGELAQAAKAKELEEQHKKFKELMQQFEEEKEKDEALTAENQEAYAMAVVALILNILYFSGLFIIVVFFIMYTKGAARLTLQFLNEWYDQTMLRFLALFAWSFTDYSTYGYNPNRGTKICEYGEPCHGADSSNGCLLADNSGELFYFNGEDSIYADWDFTDNTAWSAHSNWTDNAYWSDNGYWMENGYWTDNGYWTDNSYWTTNTDNFPPPWRVCKQRLNGMFLQLGVLAFVSLCFVTLIMVDSLKKVSQILAGVITKLGPIVTITKLARLVRFTRLASINGLDVFETLYAMMIVSFDLWNWPDRLFTFDDVCFGRALIKILSEFTYIGTFTYEVNKSWPPPDSMTMFVCNTLITIMIFMSFLAHILVKIAGRRVVSFPSLLYLAPTASFRFIAKGKNLVIFTKKNSYYPDLDELPIGIVEPPPDGYIMPLNKGAELDSRPKREPEEIEMQKNRQLRAEEEVARQEIAALSERTFPRLCEPGLPVLTCLSPRAM
+>sp|Q01118|SCN7A_HUMAN Sodium channel protein type 7 subunit alpha OS=Homo sapiens OX=9606 GN=SCN7A PE=1 SV=2
+MLASPEPKGLVPFTKESFELIKQHIAKTHNEDHEEEDLKPTPDLEVGKKLPFIYGNLSQGMVSEPLEDVDPYYYKKKNTFIVLNKNRTIFRFNAASILCTLSPFNCIRRTTIKVLVHPFFQLFILISVLIDCVFMSLTNLPKWRPVLENTLLGIYTFEILVKLFARGVWAGSFSFLGDPWNWLDFSVTVFEVIIRYSPLDFIPTLQTARTLRILKIIPLNQGLKSLVGVLIHCLKQLIGVIILTLFFLSIFSLIGMGLFMGNLKHKCFRWPQENENETLHNRTGNPYYIRETENFYYLEGERYALLCGNRTDAGQCPEGYVCVKAGINPDQGFTNFDSFGWALFALFRLMAQDYPEVLYHQILYASGKVYMIFFVVVSFLFSFYMASLFLGILAMAYEEEKQRVGEISKKIEPKFQQTGKELQEGNETDEAKTIQIEMKKRSPISTDTSLDVLEDATLRHKEELEKSKKICPLYWYKFAKTFLIWNCSPCWLKLKEFVHRIIMAPFTDLFLIICIILNVCFLTLEHYPMSKQTNTLLNIGNLVFIGIFTAEMIFKIIAMHPYGYFQVGWNIFDSMIVFHGLIELCLANVAGMALLRLFRMLRIFKLGKYWPTFQILMWSLSNSWVALKDLVLLLFTFIFFSAAFGMKLFGKNYEEFVCHIDKDCQLPRWHMHDFFHSFLNVFRILCGEWVETLWDCMEVAGQSWCIPFYLMVILIGNLLVLYLFLALVSSFSSCKDVTAEENNEAKNLQLAVARIKKGINYVLLKILCKTQNVPKDTMDHVNEVYVKEDISDHTLSELSNTQDFLKDKEKSSGTEKNATENESQSLIPSPSVSETVPIASGESDIENLDNKEIQSKSGDGGSKEKIKQSSSSECSTVDIAISEEEEMFYGGERSKHLKNGCRRGSSLGQISGASKKGKIWQNIRKTCCKIVENNWFKCFIGLVTLLSTGTLAFEDIYMDQRKTIKILLEYADMIFTYIFILEMLLKWMAYGFKAYFSNGWYRLDFVVVIVFCLSLIGKTREELKPLISMKFLRPLRVLSQFERMKVVVRALIKTTLPTLNVFLVCLMIWLIFSIMGVDLFAGRFYECIDPTSGERFPSSEVMNKSRCESLLFNESMLWENAKMNFDNVGNGFLSLLQVATFNGWITIMNSAIDSVAVNIQPHFEVNIYMYCYFINFIIFGVFLPLSMLITVIIDNFNKHKIKLGGSNIFITVKQRKQYRRLKKLMYEDSQRPVPRPLNKLQGFIFDVVTSQAFNVIVMVLICFQAIAMMIDTDVQSLQMSIALYWINSIFVMLYTMECILKLIAFRCFYFTIAWNIFDFMVVIFSITGLCLPMTVGSYLVPPSLVQLILLSRIIHMLRLGKGPKVFHNLMLPLMLSLPALLNIILLIFLVMFIYAVFGMYNFAYVKKEAGINDVSNFETFGNSMLCLFQVAIFAGWDGMLDAIFNSKWSDCDPDKINPGTQVRGDCGNPSVGIFYFVSYILISWLIIVNMYIVVVMEFLNIASKKKNKTLSEDDFRKFFQVWKRFDPDRTQYIDSSKLSDFAAALDPPLFMAKPNKGQLIALDLPMAVGDRIHCLDILLAFTKRVMGQDVRMEKVVSEIESGFLLANPFKITCEPITTTLKRKQEAVSATIIQRAYKNYRLRRNDKNTSDIHMIDGDRDVHATKEGAYFDKAKEKSPIQSQI
+>DECOY_sp|Q01118|SCN7A_HUMAN Sodium channel protein type 7 subunit alpha OS=Homo sapiens OX=9606 GN=SCN7A PE=1 SV=2
+IQSQIPSKEKAKDFYAGEKTAHVDRDGDIMHIDSTNKDNRRLRYNKYARQIITASVAEQKRKLTTTIPECTIKFPNALLFGSEIESVVKEMRVDQGMVRKTFALLIDLCHIRDGVAMPLDLAILQGKNPKAMFLPPDLAAAFDSLKSSDIYQTRDPDFRKWVQFFKRFDDESLTKNKKKSAINLFEMVVVIYMNVIILWSILIYSVFYFIGVSPNGCDGRVQTGPNIKDPDCDSWKSNFIADLMGDWGAFIAVQFLCLMSNGFTEFNSVDNIGAEKKVYAFNYMGFVAYIFMVLFILLIINLLAPLSLMLPLMLNHFVKPGKGLRLMHIIRSLLILQVLSPPVLYSGVTMPLCLGTISFIVVMFDFINWAITFYFCRFAILKLICEMTYLMVFISNIWYLAISMQLSQVDTDIMMAIAQFCILVMVIVNFAQSTVVDFIFGQLKNLPRPVPRQSDEYMLKKLRRYQKRQKVTIFINSGGLKIKHKNFNDIIVTILMSLPLFVGFIIFNIFYCYMYINVEFHPQINVAVSDIASNMITIWGNFTAVQLLSLFGNGVNDFNMKANEWLMSENFLLSECRSKNMVESSPFREGSTPDICEYFRGAFLDVGMISFILWIMLCVLFVNLTPLTTKILARVVVKMREFQSLVRLPRLFKMSILPKLEERTKGILSLCFVIVVVFDLRYWGNSFYAKFGYAMWKLLMELIFIYTFIMDAYELLIKITKRQDMYIDEFALTGTSLLTVLGIFCKFWNNEVIKCCTKRINQWIKGKKSAGSIQGLSSGRRCGNKLHKSREGGYFMEEEESIAIDVTSCESSSSQKIKEKSGGDGSKSQIEKNDLNEIDSEGSAIPVTESVSPSPILSQSENETANKETGSSKEKDKLFDQTNSLESLTHDSIDEKVYVENVHDMTDKPVNQTKCLIKLLVYNIGKKIRAVALQLNKAENNEEATVDKCSSFSSVLALFLYLVLLNGILIVMLYFPICWSQGAVEMCDWLTEVWEGCLIRFVNLFSHFFDHMHWRPLQCDKDIHCVFEEYNKGFLKMGFAASFFIFTFLLLVLDKLAVWSNSLSWMLIQFTPWYKGLKFIRLMRFLRLLAMGAVNALCLEILGHFVIMSDFINWGVQFYGYPHMAIIKFIMEATFIGIFVLNGINLLTNTQKSMPYHELTLFCVNLIICIILFLDTFPAMIIRHVFEKLKLWCPSCNWILFTKAFKYWYLPCIKKSKELEEKHRLTADELVDLSTDTSIPSRKKMEIQITKAEDTENGEQLEKGTQQFKPEIKKSIEGVRQKEEEYAMALIGLFLSAMYFSFLFSVVVFFIMYVKGSAYLIQHYLVEPYDQAMLRFLAFLAWGFSDFNTFGQDPNIGAKVCVYGEPCQGADTRNGCLLAYREGELYYFNETERIYYPNGTRNHLTENENEQPWRFCKHKLNGMFLGMGILSFISLFFLTLIIVGILQKLCHILVGVLSKLGQNLPIIKLIRLTRATQLTPIFDLPSYRIIVEFVTVSFDLWNWPDGLFSFSGAWVGRAFLKVLIEFTYIGLLTNELVPRWKPLNTLSMFVCDILVSILIFLQFFPHVLVKITTRRICNFPSLTCLISAANFRFITRNKNLVIFTNKKKYYYPDVDELPESVMGQSLNGYIFPLKKGVELDPTPKLDEEEHDENHTKAIHQKILEFSEKTFPVLGKPEPSALM
+>sp|Q9UQD0|SCN8A_HUMAN Sodium channel protein type 8 subunit alpha OS=Homo sapiens OX=9606 GN=SCN8A PE=1 SV=1
+MAARLLAPPGPDSFKPFTPESLANIERRIAESKLKKPPKADGSHREDDEDSKPKPNSDLEAGKSLPFIYGDIPQGLVAVPLEDFDPYYLTQKTFVVLNRGKTLFRFSATPALYILSPFNLIRRIAIKILIHSVFSMIIMCTILTNCVFMTFSNPPDWSKNVEYTFTGIYTFESLVKIIARGFCIDGFTFLRDPWNWLDFSVIMMAYITEFVNLGNVSALRTFRVLRALKTISVIPGLKTIVGALIQSVKKLSDVMILTVFCLSVFALIGLQLFMGNLRNKCVVWPINFNESYLENGTKGFDWEEYINNKTNFYTVPGMLEPLLCGNSSDAGQCPEGYQCMKAGRNPNYGYTSFDTFSWAFLALFRLMTQDYWENLYQLTLRAAGKTYMIFFVLVIFVGSFYLVNLILAVVAMAYEEQNQATLEEAEQKEAEFKAMLEQLKKQQEEAQAAAMATSAGTVSEDAIEEEGEEGGGSPRSSSEISKLSSKSAKERRNRRKKRKQKELSEGEEKGDPEKVFKSESEDGMRRKAFRLPDNRIGRKFSIMNQSLLSIPGSPFLSRHNSKSSIFSFRGPGRFRDPGSENEFADDEHSTVEESEGRRDSLFIPIRARERRSSYSGYSGYSQGSRSSRIFPSLRRSVKRNSTVDCNGVVSLIGGPGSHIGGRLLPEATTEVEIKKKGPGSLLVSMDQLASYGRKDRINSIMSVVTNTLVEELEESQRKCPPCWYKFANTFLIWECHPYWIKLKEIVNLIVMDPFVDLAITICIVLNTLFMAMEHHPMTPQFEHVLAVGNLVFTGIFTAEMFLKLIAMDPYYYFQEGWNIFDGFIVSLSLMELSLADVEGLSVLRSFRLLRVFKLAKSWPTLNMLIKIIGNSVGALGNLTLVLAIIVFIFAVVGMQLFGKSYKECVCKINQDCELPRWHMHDFFHSFLIVFRVLCGEWIETMWDCMEVAGQAMCLIVFMMVMVIGNLVVLNLFLALLLSSFSADNLAATDDDGEMNNLQISVIRIKKGVAWTKLKVHAFMQAHFKQREADEVKPLDELYEKKANCIANHTGADIHRNGDFQKNGNGTTSGIGSSVEKYIIDEDHMSFINNPNLTVRVPIAVGESDFENLNTEDVSSESDPEGSKDKLDDTSSSEGSTIDIKPEVEEVPVEQPEEYLDPDACFTEGCVQRFKCCQVNIEEGLGKSWWILRKTCFLIVEHNWFETFIIFMILLSSGALAFEDIYIEQRKTIRTILEYADKVFTYIFILEMLLKWTAYGFVKFFTNAWCWLDFLIVAVSLVSLIANALGYSELGAIKSLRTLRALRPLRALSRFEGMRVVVNALVGAIPSIMNVLLVCLIFWLIFSIMGVNLFAGKYHYCFNETSEIRFEIEDVNNKTECEKLMEGNNTEIRWKNVKINFDNVGAGYLALLQVATFKGWMDIMYAAVDSRKPDEQPKYEDNIYMYIYFVIFIIFGSFFTLNLFIGVIIDNFNQQKKKFGGQDIFMTEEQKKYYNAMKKLGSKKPQKPIPRPLNKIQGIVFDFVTQQAFDIVIMMLICLNMVTMMVETDTQSKQMENILYWINLVFVIFFTCECVLKMFALRHYYFTIGWNIFDFVVVILSIVGMFLADIIEKYFVSPTLFRVIRLARIGRILRLIKGAKGIRTLLFALMMSLPALFNIGLLLFLVMFIFSIFGMSNFAYVKHEAGIDDMFNFETFGNSMICLFQITTSAGWDGLLLPILNRPPDCSLDKEHPGSGFKGDCGNPSVGIFFFVSYIIISFLIVVNMYIAIILENFSVATEESADPLSEDDFETFYEIWEKFDPDATQFIEYCKLADFADALEHPLRVPKPNTIELIAMDLPMVSGDRIHCLDILFAFTKRVLGDSGELDILRQQMEERFVASNPSKVSYEPITTTLRRKQEEVSAVVLQRAYRGHLARRGFICKKTTSNKLENGGTHREKKESTPSTASLPSYDSVTKPEKEKQQRAEEGRRERAKRQKEVRESKC
+>DECOY_sp|Q9UQD0|SCN8A_HUMAN Sodium channel protein type 8 subunit alpha OS=Homo sapiens OX=9606 GN=SCN8A PE=1 SV=1
+CKSERVEKQRKARERRGEEARQQKEKEPKTVSDYSPLSATSPTSEKKERHTGGNELKNSTTKKCIFGRRALHGRYARQLVVASVEEQKRRLTTTIPEYSVKSPNSAVFREEMQQRLIDLEGSDGLVRKTFAFLIDLCHIRDGSVMPLDMAILEITNPKPVRLPHELADAFDALKCYEIFQTADPDFKEWIEYFTEFDDESLPDASEETAVSFNELIIAIYMNVVILFSIIIYSVFFFIGVSPNGCDGKFGSGPHEKDLSCDPPRNLIPLLLGDWGASTTIQFLCIMSNGFTEFNFMDDIGAEHKVYAFNSMGFISFIFMVLFLLLGINFLAPLSMMLAFLLTRIGKAGKILRLIRGIRALRIVRFLTPSVFYKEIIDALFMGVISLIVVVFDFINWGITFYYHRLAFMKLVCECTFFIVFVLNIWYLINEMQKSQTDTEVMMTVMNLCILMMIVIDFAQQTVFDFVIGQIKNLPRPIPKQPKKSGLKKMANYYKKQEETMFIDQGGFKKKQQNFNDIIVGIFLNLTFFSGFIIFIVFYIYMYINDEYKPQEDPKRSDVAAYMIDMWGKFTAVQLLALYGAGVNDFNIKVNKWRIETNNGEMLKECETKNNVDEIEFRIESTENFCYHYKGAFLNVGMISFILWFILCVLLVNMISPIAGVLANVVVRMGEFRSLARLPRLARLTRLSKIAGLESYGLANAILSVLSVAVILFDLWCWANTFFKVFGYATWKLLMELIFIYTFVKDAYELITRITKRQEIYIDEFALAGSSLLIMFIIFTEFWNHEVILFCTKRLIWWSKGLGEEINVQCCKFRQVCGETFCADPDLYEEPQEVPVEEVEPKIDITSGESSSTDDLKDKSGEPDSESSVDETNLNEFDSEGVAIPVRVTLNPNNIFSMHDEDIIYKEVSSGIGSTTGNGNKQFDGNRHIDAGTHNAICNAKKEYLEDLPKVEDAERQKFHAQMFAHVKLKTWAVGKKIRIVSIQLNNMEGDDDTAALNDASFSSLLLALFLNLVVLNGIVMVMMFVILCMAQGAVEMCDWMTEIWEGCLVRFVILFSHFFDHMHWRPLECDQNIKCVCEKYSKGFLQMGVVAFIFVIIALVLTLNGLAGVSNGIIKILMNLTPWSKALKFVRLLRFSRLVSLGEVDALSLEMLSLSVIFGDFINWGEQFYYYPDMAILKLFMEATFIGTFVLNGVALVHEFQPTMPHHEMAMFLTNLVICITIALDVFPDMVILNVIEKLKIWYPHCEWILFTNAFKYWCPPCKRQSEELEEVLTNTVVSMISNIRDKRGYSALQDMSVLLSGPGKKKIEVETTAEPLLRGGIHSGPGGILSVVGNCDVTSNRKVSRRLSPFIRSSRSGQSYGSYGSYSSRRERARIPIFLSDRRGESEEVTSHEDDAFENESGPDRFRGPGRFSFISSKSNHRSLFPSGPISLLSQNMISFKRGIRNDPLRFAKRRMGDESESKFVKEPDGKEEGESLEKQKRKKRRNRREKASKSSLKSIESSSRPSGGGEEGEEEIADESVTGASTAMAAAQAEEQQKKLQELMAKFEAEKQEAEELTAQNQEEYAMAVVALILNVLYFSGVFIVLVFFIMYTKGAARLTLQYLNEWYDQTMLRFLALFAWSFTDFSTYGYNPNRGAKMCQYGEPCQGADSSNGCLLPELMGPVTYFNTKNNIYEEWDFGKTGNELYSENFNIPWVVCKNRLNGMFLQLGILAFVSLCFVTLIMVDSLKKVSQILAGVITKLGPIVSITKLARLVRFTRLASVNGLNVFETIYAMMIVSFDLWNWPDRLFTFGDICFGRAIIKVLSEFTYIGTFTYEVNKSWDPPNSFTMFVCNTLITCMIIMSFVSHILIKIAIRRILNFPSLIYLAPTASFRFLTKGRNLVVFTKQTLYYPDFDELPVAVLGQPIDGYIFPLSKGAELDSNPKPKSDEDDERHSGDAKPPKKLKSEAIRREINALSEPTFPKFSDPGPPALLRAAM
+>sp|Q15858|SCN9A_HUMAN Sodium channel protein type 9 subunit alpha OS=Homo sapiens OX=9606 GN=SCN9A PE=1 SV=3
+MAMLPPPGPQSFVHFTKQSLALIEQRIAERKSKEPKEEKKDDDEEAPKPSSDLEAGKQLPFIYGDIPPGMVSEPLEDLDPYYADKKTFIVLNKGKTIFRFNATPALYMLSPFSPLRRISIKILVHSLFSMLIMCTILTNCIFMTMNNPPDWTKNVEYTFTGIYTFESLVKILARGFCVGEFTFLRDPWNWLDFVVIVFAYLTEFVNLGNVSALRTFRVLRALKTISVIPGLKTIVGALIQSVKKLSDVMILTVFCLSVFALIGLQLFMGNLKHKCFRNSLENNETLESIMNTLESEEDFRKYFYYLEGSKDALLCGFSTDSGQCPEGYTCVKIGRNPDYGYTSFDTFSWAFLALFRLMTQDYWENLYQQTLRAAGKTYMIFFVVVIFLGSFYLINLILAVVAMAYEEQNQANIEEAKQKELEFQQMLDRLKKEQEEAEAIAAAAAEYTSIRRSRIMGLSESSSETSKLSSKSAKERRNRRKKKNQKKLSSGEEKGDAEKLSKSESEDSIRRKSFHLGVEGHRRAHEKRLSTPNQSPLSIRGSLFSARRSSRTSLFSFKGRGRDIGSETEFADDEHSIFGDNESRRGSLFVPHRPQERRSSNISQASRSPPMLPVNGKMHSAVDCNGVVSLVDGRSALMLPNGQLLPEVIIDKATSDDSGTTNQIHKKRRCSSYLLSEDMLNDPNLRQRAMSRASILTNTVEELEESRQKCPPWWYRFAHKFLIWNCSPYWIKFKKCIYFIVMDPFVDLAITICIVLNTLFMAMEHHPMTEEFKNVLAIGNLVFTGIFAAEMVLKLIAMDPYEYFQVGWNIFDSLIVTLSLVELFLADVEGLSVLRSFRLLRVFKLAKSWPTLNMLIKIIGNSVGALGNLTLVLAIIVFIFAVVGMQLFGKSYKECVCKINDDCTLPRWHMNDFFHSFLIVFRVLCGEWIETMWDCMEVAGQAMCLIVYMMVMVIGNLVVLNLFLALLLSSFSSDNLTAIEEDPDANNLQIAVTRIKKGINYVKQTLREFILKAFSKKPKISREIRQAEDLNTKKENYISNHTLAEMSKGHNFLKEKDKISGFGSSVDKHLMEDSDGQSFIHNPSLTVTVPIAPGESDLENMNAEELSSDSDSEYSKVRLNRSSSSECSTVDNPLPGEGEEAEAEPMNSDEPEACFTDGCVWRFSCCQVNIESGKGKIWWNIRKTCYKIVEHSWFESFIVLMILLSSGALAFEDIYIERKKTIKIILEYADKIFTYIFILEMLLKWIAYGYKTYFTNAWCWLDFLIVDVSLVTLVANTLGYSDLGPIKSLRTLRALRPLRALSRFEGMRVVVNALIGAIPSIMNVLLVCLIFWLIFSIMGVNLFAGKFYECINTTDGSRFPASQVPNRSECFALMNVSQNVRWKNLKVNFDNVGLGYLSLLQVATFKGWTIIMYAAVDSVNVDKQPKYEYSLYMYIYFVVFIIFGSFFTLNLFIGVIIDNFNQQKKKLGGQDIFMTEEQKKYYNAMKKLGSKKPQKPIPRPGNKIQGCIFDLVTNQAFDISIMVLICLNMVTMMVEKEGQSQHMTEVLYWINVVFIILFTGECVLKLISLRHYYFTVGWNIFDFVVVIISIVGMFLADLIETYFVSPTLFRVIRLARIGRILRLVKGAKGIRTLLFALMMSLPALFNIGLLLFLVMFIYAIFGMSNFAYVKKEDGINDMFNFETFGNSMICLFQITTSAGWDGLLAPILNSKPPDCDPKKVHPGSSVEGDCGNPSVGIFYFVSYIIISFLVVVNMYIAVILENFSVATEESTEPLSEDDFEMFYEVWEKFDPDATQFIEFSKLSDFAAALDPPLLIAKPNKVQLIAMDLPMVSGDRIHCLDILFAFTKRVLGESGEMDSLRSQMEERFMSANPSKVSYEPITTTLKRKQEDVSATVIQRAYRRYRLRQNVKNISSIYIKDGDRDDDLLNKKDMAFDNVNENSSPEKTDATSSTTSPPSYDSVTKPDKEKYEQDRTEKEDKGKDSKESKK
+>DECOY_sp|Q15858|SCN9A_HUMAN Sodium channel protein type 9 subunit alpha OS=Homo sapiens OX=9606 GN=SCN9A PE=1 SV=3
+KKSEKSDKGKDEKETRDQEYKEKDPKTVSDYSPPSTTSSTADTKEPSSNENVNDFAMDKKNLLDDDRDGDKIYISSINKVNQRLRYRRYARQIVTASVDEQKRKLTTTIPEYSVKSPNASMFREEMQSRLSDMEGSEGLVRKTFAFLIDLCHIRDGSVMPLDMAILQVKNPKAILLPPDLAAAFDSLKSFEIFQTADPDFKEWVEYFMEFDDESLPETSEETAVSFNELIVAIYMNVVVLFSIIIYSVFYFIGVSPNGCDGEVSSGPHVKKPDCDPPKSNLIPALLGDWGASTTIQFLCIMSNGFTEFNFMDNIGDEKKVYAFNSMGFIAYIFMVLFLLLGINFLAPLSMMLAFLLTRIGKAGKVLRLIRGIRALRIVRFLTPSVFYTEILDALFMGVISIIVVVFDFINWGVTFYYHRLSILKLVCEGTFLIIFVVNIWYLVETMHQSQGEKEVMMTVMNLCILVMISIDFAQNTVLDFICGQIKNGPRPIPKQPKKSGLKKMANYYKKQEETMFIDQGGLKKKQQNFNDIIVGIFLNLTFFSGFIIFVVFYIYMYLSYEYKPQKDVNVSDVAAYMIITWGKFTAVQLLSLYGLGVNDFNVKLNKWRVNQSVNMLAFCESRNPVQSAPFRSGDTTNICEYFKGAFLNVGMISFILWFILCVLLVNMISPIAGILANVVVRMGEFRSLARLPRLARLTRLSKIPGLDSYGLTNAVLTVLSVDVILFDLWCWANTFYTKYGYAIWKLLMELIFIYTFIKDAYELIIKITKKREIYIDEFALAGSSLLIMLVIFSEFWSHEVIKYCTKRINWWIKGKGSEINVQCCSFRWVCGDTFCAEPEDSNMPEAEAEEGEGPLPNDVTSCESSSSRNLRVKSYESDSDSSLEEANMNELDSEGPAIPVTVTLSPNHIFSQGDSDEMLHKDVSSGFGSIKDKEKLFNHGKSMEALTHNSIYNEKKTNLDEAQRIERSIKPKKSFAKLIFERLTQKVYNIGKKIRTVAIQLNNADPDEEIATLNDSSFSSLLLALFLNLVVLNGIVMVMMYVILCMAQGAVEMCDWMTEIWEGCLVRFVILFSHFFDNMHWRPLTCDDNIKCVCEKYSKGFLQMGVVAFIFVIIALVLTLNGLAGVSNGIIKILMNLTPWSKALKFVRLLRFSRLVSLGEVDALFLEVLSLTVILSDFINWGVQFYEYPDMAILKLVMEAAFIGTFVLNGIALVNKFEETMPHHEMAMFLTNLVICITIALDVFPDMVIFYICKKFKIWYPSCNWILFKHAFRYWWPPCKQRSEELEEVTNTLISARSMARQRLNPDNLMDESLLYSSCRRKKHIQNTTGSDDSTAKDIIVEPLLQGNPLMLASRGDVLSVVGNCDVASHMKGNVPLMPPSRSAQSINSSRREQPRHPVFLSGRRSENDGFISHEDDAFETESGIDRGRGKFSFLSTRSSRRASFLSGRISLPSQNPTSLRKEHARRHGEVGLHFSKRRISDESESKSLKEADGKEEGSSLKKQNKKKRRNRREKASKSSLKSTESSSESLGMIRSRRISTYEAAAAAIAEAEEQEKKLRDLMQQFELEKQKAEEINAQNQEEYAMAVVALILNILYFSGLFIVVVFFIMYTKGAARLTQQYLNEWYDQTMLRFLALFAWSFTDFSTYGYDPNRGIKVCTYGEPCQGSDTSFGCLLADKSGELYYFYKRFDEESELTNMISELTENNELSNRFCKHKLNGMFLQLGILAFVSLCFVTLIMVDSLKKVSQILAGVITKLGPIVSITKLARLVRFTRLASVNGLNVFETLYAFVIVVFDLWNWPDRLFTFEGVCFGRALIKVLSEFTYIGTFTYEVNKTWDPPNNMTMFICNTLITCMILMSFLSHVLIKISIRRLPSFPSLMYLAPTANFRFITKGKNLVIFTKKDAYYPDLDELPESVMGPPIDGYIFPLQKGAELDSSPKPAEEDDDKKEEKPEKSKREAIRQEILALSQKTFHVFSQPGPPPLMAM
+>sp|P57086|SCND1_HUMAN SCAN domain-containing protein 1 OS=Homo sapiens OX=9606 GN=SCAND1 PE=1 SV=1
+MAATEPILAATGSPAAVPPEKLEGAGSSSAPERNCVGSSLPEASPPAPEPSSPNAAVPEAIPTPRAAASAALELPLGPAPVSVAPQAEAEARSTPGPAGSRLGPETFRQRFRQFRYQDAAGPREAFRQLRELSRQWLRPDIRTKEQIVEMLVQEQLLAILPEAARARRIRRRTDVRITG
+>DECOY_sp|P57086|SCND1_HUMAN SCAN domain-containing protein 1 OS=Homo sapiens OX=9606 GN=SCAND1 PE=1 SV=1
+GTIRVDTRRRIRRARAAEPLIALLQEQVLMEVIQEKTRIDPRLWQRSLERLQRFAERPGAADQYRFQRFRQRFTEPGLRSGAPGPTSRAEAEAQPAVSVPAPGLPLELAASAAARPTPIAEPVAANPSSPEPAPPSAEPLSSGVCNREPASSSGAGELKEPPVAAPSGTAALIPETAAM
+>sp|Q9BWG6|SCNM1_HUMAN Sodium channel modifier 1 OS=Homo sapiens OX=9606 GN=SCNM1 PE=1 SV=1
+MSFKREGDDWSQLNVLKKRRVGDLLASYIPEDEALMLRDGRFACAICPHRPVLDTLAMLTAHRAGKKHLSSLQLFYGKKQPGKERKQNPKHQNELRREETKAEAPLLTQTRLITQSALHRAPHYNSCCRRKYRPEAPGPSVSLSPMPPSEVKLQSGKISREPEPAAGPQAEESATVSAPAPMSPTRRRALDHYLTLRSSGWIPDGRGRWVKDENVEFDSDEEEPPDLPLD
+>DECOY_sp|Q9BWG6|SCNM1_HUMAN Sodium channel modifier 1 OS=Homo sapiens OX=9606 GN=SCNM1 PE=1 SV=1
+DLPLDPPEEEDSDFEVNEDKVWRGRGDPIWGSSRLTLYHDLARRRTPSMPAPASVTASEEAQPGAAPEPERSIKGSQLKVESPPMPSLSVSPGPAEPRYKRRCCSNYHPARHLASQTILRTQTLLPAEAKTEERRLENQHKPNQKREKGPQKKGYFLQLSSLHKKGARHATLMALTDLVPRHPCIACAFRGDRLMLAEDEPIYSALLDGVRRKKLVNLQSWDDGERKFSM
+>sp|P37088|SCNNA_HUMAN Amiloride-sensitive sodium channel subunit alpha OS=Homo sapiens OX=9606 GN=SCNN1A PE=1 SV=1
+MEGNKLEEQDSSPPQSTPGLMKGNKREEQGLGPEPAAPQQPTAEEEALIEFHRSYRELFEFFCNNTTIHGAIRLVCSQHNRMKTAFWAVLWLCTFGMMYWQFGLLFGEYFSYPVSLNINLNSDKLVFPAVTICTLNPYRYPEIKEELEELDRITEQTLFDLYKYSSFTTLVAGSRSRRDLRGTLPHPLQRLRVPPPPHGARRARSVASSLRDNNPQVDWKDWKIGFQLCNQNKSDCFYQTYSSGVDAVREWYRFHYINILSRLPETLPSLEEDTLGNFIFACRFNQVSCNQANYSHFHHPMYGNCYTFNDKNNSNLWMSSMPGINNGLSLMLRAEQNDFIPLLSTVTGARVMVHGQDEPAFMDDGGFNLRPGVETSISMRKETLDRLGGDYGDCTKNGSDVPVENLYPSKYTQQVCIHSCFQESMIKECGCAYIFYPRPQNVEYCDYRKHSSWGYCYYKLQVDFSSDHLGCFTKCRKPCSVTSYQLSAGYSRWPSVTSQEWVFQMLSRQNNYTVNNKRNGVAKVNIFFKELNYKTNSESPSVTMVTLLSNLGSQWSLWFGSSVLSVVEMAELVFDLLVIMFLMLLRRFRSRYWSPGRGGRGAQEVASTLASSPPSHFCPHPMSLSLSQPGPAPSPALTAPPPAYATLGPRPSPGGSAGASSSTCPLGGP
+>DECOY_sp|P37088|SCNNA_HUMAN Amiloride-sensitive sodium channel subunit alpha OS=Homo sapiens OX=9606 GN=SCNN1A PE=1 SV=1
+PGGLPCTSSSAGASGGPSPRPGLTAYAPPPATLAPSPAPGPQSLSLSMPHPCFHSPPSSALTSAVEQAGRGGRGPSWYRSRFRRLLMLFMIVLLDFVLEAMEVVSLVSSGFWLSWQSGLNSLLTVMTVSPSESNTKYNLEKFFINVKAVGNRKNNVTYNNQRSLMQFVWEQSTVSPWRSYGASLQYSTVSCPKRCKTFCGLHDSSFDVQLKYYCYGWSSHKRYDCYEVNQPRPYFIYACGCEKIMSEQFCSHICVQQTYKSPYLNEVPVDSGNKTCDGYDGGLRDLTEKRMSISTEVGPRLNFGGDDMFAPEDQGHVMVRAGTVTSLLPIFDNQEARLMLSLGNNIGPMSSMWLNSNNKDNFTYCNGYMPHHFHSYNAQNCSVQNFRCAFIFNGLTDEELSPLTEPLRSLINIYHFRYWERVADVGSSYTQYFCDSKNQNCLQFGIKWDKWDVQPNNDRLSSAVSRARRAGHPPPPVRLRQLPHPLTGRLDRRSRSGAVLTTFSSYKYLDFLTQETIRDLEELEEKIEPYRYPNLTCITVAPFVLKDSNLNINLSVPYSFYEGFLLGFQWYMMGFTCLWLVAWFATKMRNHQSCVLRIAGHITTNNCFFEFLERYSRHFEILAEEEATPQQPAAPEPGLGQEERKNGKMLGPTSQPPSSDQEELKNGEM
+>sp|O75056|SDC3_HUMAN Syndecan-3 OS=Homo sapiens OX=9606 GN=SDC3 PE=1 SV=2
+MKPGPPHRAGAAHGAGAGAGAAAGPGARGLLLPPLLLLLLAGRAAGAQRWRSENFERPVDLEGSGDDDSFPDDELDDLYSGSGSGYFEQESGIETAMRFSPDVALAVSTTPAVLPTTNIQPVGTPFEELPSERPTLEPATSPLVVTEVPEEPSQRATTVSTTMATTAATSTGDPTVATVPATVATATPSTPAAPPFTATTAVIRTTGVRRLLPLPLTTVATARATTPEAPSPPTTAAVLDTEAPTPRLVSTATSRPRALPRPATTQEPDIPERSTLPLGTTAPGPTEVAQTPTPETFLTTIRDEPEVPVSGGPSGDFELPEEETTQPDTANEVVAVGGAAAKASSPPGTLPKGARPGPGLLDNAIDSGSSAAQLPQKSILERKEVLVAVIVGGVVGALFAAFLVTLLIYRMKKKDEGSYTLEEPKQASVTYQKPDKQEEFYA
+>DECOY_sp|O75056|SDC3_HUMAN Syndecan-3 OS=Homo sapiens OX=9606 GN=SDC3 PE=1 SV=2
+AYFEEQKDPKQYTVSAQKPEELTYSGEDKKKMRYILLTVLFAAFLAGVVGGVIVAVLVEKRELISKQPLQAASSGSDIANDLLGPGPRAGKPLTGPPSSAKAAAGGVAVVENATDPQTTEEEPLEFDGSPGGSVPVEPEDRITTLFTEPTPTQAVETPGPATTGLPLTSREPIDPEQTTAPRPLARPRSTATSVLRPTPAETDLVAATTPPSPAEPTTARATAVTTLPLPLLRRVGTTRIVATTATFPPAAPTSPTATAVTAPVTAVTPDGTSTAATTAMTTSVTTARQSPEEPVETVVLPSTAPELTPRESPLEEFPTGVPQINTTPLVAPTTSVALAVDPSFRMATEIGSEQEFYGSGSGSYLDDLEDDPFSDDDGSGELDVPREFNESRWRQAGAARGALLLLLLPPLLLGRAGPGAAAGAGAGAGHAAGARHPPGPKM
+>sp|Q86SQ7|SDCG8_HUMAN Serologically defined colon cancer antigen 8 OS=Homo sapiens OX=9606 GN=SDCCAG8 PE=1 SV=1
+MAKSPENSTLEEILGQYQRSLREHASRSIHQLTCALKEGDVTIGEDAPNLSFSTSVGNEDARTAWPELQQSHAVNQLKDLLRQQADKESEVSPSRRRKMSPLRSLEHEETNMPTMHDLVHTINDQSQYIHHLEAEVKFCKEELSGMKNKIQVVVLENEGLQQQLKSQRQEETLREQTLLDASGNMHNSWITTGEDSGVGETSKRPFSHDNADFGKAASAGEQLELEKLKLTYEEKCEIEESQLKFLRNDLAEYQRTCEDLKEQLKHKEFLLAANTCNRVGGLCLKCAQHEAVLSQTHTNVHMQTIERLVKERDDLMSALVSVRSSLADTQQREASAYEQVKQVLQISEEANFEKTKALIQCDQLRKELERQAERLEKELASQQEKRAIEKDMMKKEITKEREYMGSKMLILSQNIAQLEAQVEKVTKEKISAINQLEEIQSQLASREMDVTKVCGEMRYQLNKTNMEKDEAEKEHREFRAKTNRDLEIKDQEIEKLRIELDESKQHLEQEQQKAALAREECLRLTELLGESEHQLHLTRQEKDSIQQSFSKEAKAQALQAQQREQELTQKIQQMEAQHDKTENEQYLLLTSQNTFLTKLKEECCTLAKKLEQISQKTRSEIAQLSQEKRYTYDKLGKLQRRNEELEEQCVQHGRVHETMKQRLRQLDKHSQATAQQLVQLLSKQNQLLLERQSLSEEVDRLRTQLPSMPQSDC
+>DECOY_sp|Q86SQ7|SDCG8_HUMAN Serologically defined colon cancer antigen 8 OS=Homo sapiens OX=9606 GN=SDCCAG8 PE=1 SV=1
+CDSQPMSPLQTRLRDVEESLSQRELLLQNQKSLLQVLQQATAQSHKDLQRLRQKMTEHVRGHQVCQEELEENRRQLKGLKDYTYRKEQSLQAIESRTKQSIQELKKALTCCEEKLKTLFTNQSTLLLYQENETKDHQAEMQQIKQTLEQERQQAQLAQAKAEKSFSQQISDKEQRTLHLQHESEGLLETLRLCEERALAAKQQEQELHQKSEDLEIRLKEIEQDKIELDRNTKARFERHEKEAEDKEMNTKNLQYRMEGCVKTVDMERSALQSQIEELQNIASIKEKTVKEVQAELQAINQSLILMKSGMYEREKTIEKKMMDKEIARKEQQSALEKELREAQRELEKRLQDCQILAKTKEFNAEESIQLVQKVQEYASAERQQTDALSSRVSVLASMLDDREKVLREITQMHVNTHTQSLVAEHQACKLCLGGVRNCTNAALLFEKHKLQEKLDECTRQYEALDNRLFKLQSEEIECKEEYTLKLKELELQEGASAAKGFDANDHSFPRKSTEGVGSDEGTTIWSNHMNGSADLLTQERLTEEQRQSKLQQQLGENELVVVQIKNKMGSLEEKCFKVEAELHHIYQSQDNITHVLDHMTPMNTEEHELSRLPSMKRRRSPSVESEKDAQQRLLDKLQNVAHSQQLEPWATRADENGVSTSFSLNPADEGITVDGEKLACTLQHISRSAHERLSRQYQGLIEELTSNEPSKAM
+>sp|Q9HCN8|SDF2L_HUMAN Stromal cell-derived factor 2-like protein 1 OS=Homo sapiens OX=9606 GN=SDF2L1 PE=1 SV=2
+MWSAGRGGAAWPVLLGLLLALLVPGGGAAKTGAELVTCGSVLKLLNTHHRVRLHSHDIKYGSGSGQQSVTGVEASDDANSYWRIRGGSEGGCPRGSPVRCGQAVRLTHVLTGKNLHTHHFPSPLSNNQEVSAFGEDGEGDDLDLWTVRCSGQHWEREAAVRFQHVGTSVFLSVTGEQYGSPIRGQHEVHGMPSANTHNTWKAMEGIFIKPSVEPSAGHDEL
+>DECOY_sp|Q9HCN8|SDF2L_HUMAN Stromal cell-derived factor 2-like protein 1 OS=Homo sapiens OX=9606 GN=SDF2L1 PE=1 SV=2
+LEDHGASPEVSPKIFIGEMAKWTNHTNASPMGHVEHQGRIPSGYQEGTVSLFVSTGVHQFRVAAEREWHQGSCRVTWLDLDDGEGDEGFASVEQNNSLPSPFHHTHLNKGTLVHTLRVAQGCRVPSGRPCGGESGGRIRWYSNADDSAEVGTVSQQGSGSGYKIDHSHLRVRHHTNLLKLVSGCTVLEAGTKAAGGGPVLLALLLGLLVPWAAGGRGASWM
+>sp|P55735|SEC13_HUMAN Protein SEC13 homolog OS=Homo sapiens OX=9606 GN=SEC13 PE=1 SV=3
+MVSVINTVDTSHEDMIHDAQMDYYGTRLATCSSDRSVKIFDVRNGGQILIADLRGHEGPVWQVAWAHPMYGNILASCSYDRKVIIWREENGTWEKSHEHAGHDSSVNSVCWAPHDYGLILACGSSDGAISLLTYTGEGQWEVKKINNAHTIGCNAVSWAPAVVPGSLIDHPSGQKPNYIKRFASGGCDNLIKLWKEEEDGQWKEEQKLEAHSDWVRDVAWAPSIGLPTSTIASCSQDGRVFIWTCDDASSNTWSPKLLHKFNDVVWHVSWSITANILAVSGGDNKVTLWKESVDGQWVCISDVNKGQGSVSASVTEGQQNEQ
+>DECOY_sp|P55735|SEC13_HUMAN Protein SEC13 homolog OS=Homo sapiens OX=9606 GN=SEC13 PE=1 SV=3
+QENQQGETVSASVSGQGKNVDSICVWQGDVSEKWLTVKNDGGSVALINATISWSVHWVVDNFKHLLKPSWTNSSADDCTWIFVRGDQSCSAITSTPLGISPAWAVDRVWDSHAELKQEEKWQGDEEEKWLKILNDCGGSAFRKIYNPKQGSPHDILSGPVVAPAWSVANCGITHANNIKKVEWQGEGTYTLLSIAGDSSGCALILGYDHPAWCVSNVSSDHGAHEHSKEWTGNEERWIIVKRDYSCSALINGYMPHAWAVQWVPGEHGRLDAILIQGGNRVDFIKVSRDSSCTALRTGYYDMQADHIMDEHSTDVTNIVSVM
+>sp|Q9H4I8|SEHL2_HUMAN Serine hydrolase-like protein 2 OS=Homo sapiens OX=9606 GN=SERHL2 PE=2 SV=1
+MSENAAPGLISELKLAVPWGHIAAKAWGSLQGPPVLCLHGWLDNASSFDRLIPLLPQDFYYVAMDFGGHGLSSHYSPGVPYYLQTFVSEIRRVVAALKWNRFSILGHSFGGVVGGMFFCTFPEMVDKLILLDTPLFLLESDEMENLLTYKRRAIEHVLQVEASQEPSHVFSLKQLLQRLLKSNSHLSEECGELLLQRGTTKVATGLVLNRDQRLAWAENSIDFISRELCAHSIRKLQAHVLLIKAVHGYFDSRQNYSEKESLSFMIDTMKSTLKEQFQFVEVPGNHCVHMSEPQHVASIISSFLQCTHMLPAQL
+>DECOY_sp|Q9H4I8|SEHL2_HUMAN Serine hydrolase-like protein 2 OS=Homo sapiens OX=9606 GN=SERHL2 PE=2 SV=1
+LQAPLMHTCQLFSSIISAVHQPESMHVCHNGPVEVFQFQEKLTSKMTDIMFSLSEKESYNQRSDFYGHVAKILLVHAQLKRISHACLERSIFDISNEAWALRQDRNLVLGTAVKTTGRQLLLEGCEESLHSNSKLLRQLLQKLSFVHSPEQSAEVQLVHEIARRKYTLLNEMEDSELLFLPTDLLILKDVMEPFTCFFMGGVVGGFSHGLISFRNWKLAAVVRRIESVFTQLYYPVGPSYHSSLGHGGFDMAVYYFDQPLLPILRDFSSANDLWGHLCLVPPGQLSGWAKAAIHGWPVALKLESILGPAANESM
+>sp|Q8IZQ5|SELH_HUMAN Selenoprotein H OS=Homo sapiens OX=9606 GN=SELENOH PE=1 SV=2
+MAPRGRKRKAEAAVVAVAEKREKLANGGEGMEEATVVIEHCTSURVYGRNAAALSQALRLEAPELPVKVNPTKPRRGSFEVTLLRPDGSSAELWTGIKKGPPRKLKFPEPQEVVEELKKYLS
+>DECOY_sp|Q8IZQ5|SELH_HUMAN Selenoprotein H OS=Homo sapiens OX=9606 GN=SELENOH PE=1 SV=2
+SLYKKLEEVVEQPEPFKLKRPPGKKIGTWLEASSGDPRLLTVEFSGRRPKTPNVKVPLEPAELRLAQSLAAANRGYVRUSTCHEIVVTAEEMGEGGNALKERKEAVAVVAAEAKRKRGRPAM
+>sp|O95025|SEM3D_HUMAN Semaphorin-3D OS=Homo sapiens OX=9606 GN=SEMA3D PE=2 SV=2
+MNANKDERLKARSQDFHLFPALMMLSMTMLFLPVTGTLKQNIPRLKLTYKDLLLSNSCIPFLGSSEGLDFQTLLLDEERGRLLLGAKDHIFLLSLVDLNKNFKKIYWPAAKERVELCKLAGKDANTECANFIRVLQPYNKTHIYVCGTGAFHPICGYIDLGVYKEDIIFKLDTHNLESGRLKCPFDPQQPFASVMTDEYLYSGTASDFLGKDTAFTRSLGPTHDHHYIRTDISEHYWLNGAKFIGTFFIPDTYNPDDDKIYFFFRESSQEGSTSDKTILSRVGRVCKNDVGGQRSLINKWTTFLKARLICSIPGSDGADTYFDELQDIYLLPTRDERNPVVYGVFTTTSSIFKGSAVCVYSMADIRAVFNGPYAHKESADHRWVQYDGRIPYPRPGTCPSKTYDPLIKSTRDFPDDVISFIKRHSVMYKSVYPVAGGPTFKRINVDYRLTQIVVDHVIAEDGQYDVMFLGTDIGTVLKVVSISKEKWNMEEVVLEELQIFKHSSIILNMELSLKQQQLYIGSRDGLVQLSLHRCDTYGKACADCCLARDPYCAWDGNACSRYAPTSKRRARRQDVKYGDPITQCWDIEDSISHETADEKVIFGIEFNSTFLECIPKSQQATIKWYIQRSGDEHREELKPDERIIKTEYGLLIRSLQKKDSGMYYCKAQEHTFIHTIVKLTLNVIENEQMENTQRAEHEEGKVKDLLAESRLRYKDYIQILSSPNFSLDQYCEQMWHREKRRQRNKGGPKWKHMQEMKKKRNRRHHRDLDELPRAVAT
+>DECOY_sp|O95025|SEM3D_HUMAN Semaphorin-3D OS=Homo sapiens OX=9606 GN=SEMA3D PE=2 SV=2
+TAVARPLEDLDRHHRRNRKKKMEQMHKWKPGGKNRQRRKERHWMQECYQDLSFNPSSLIQIYDKYRLRSEALLDKVKGEEHEARQTNEMQENEIVNLTLKVITHIFTHEQAKCYYMGSDKKQLSRILLGYETKIIREDPKLEERHEDGSRQIYWKITAQQSKPICELFTSNFEIGFIVKEDATEHSISDEIDWCQTIPDGYKVDQRRARRKSTPAYRSCANGDWACYPDRALCCDACAKGYTDCRHLSLQVLGDRSGIYLQQQKLSLEMNLIISSHKFIQLEELVVEEMNWKEKSISVVKLVTGIDTGLFMVDYQGDEAIVHDVVIQTLRYDVNIRKFTPGGAVPYVSKYMVSHRKIFSIVDDPFDRTSKILPDYTKSPCTGPRPYPIRGDYQVWRHDASEKHAYPGNFVARIDAMSYVCVASGKFISSTTTFVGYVVPNREDRTPLLYIDQLEDFYTDAGDSGPISCILRAKLFTTWKNILSRQGGVDNKCVRGVRSLITKDSTSGEQSSERFFFYIKDDDPNYTDPIFFTGIFKAGNLWYHESIDTRIYHHDHTPGLSRTFATDKGLFDSATGSYLYEDTMVSAFPQQPDFPCKLRGSELNHTDLKFIIDEKYVGLDIYGCIPHFAGTGCVYIHTKNYPQLVRIFNACETNADKGALKCLEVREKAAPWYIKKFNKNLDVLSLLFIHDKAGLLLRGREEDLLLTQFDLGESSGLFPICSNSLLLDKYTLKLRPINQKLTGTVPLFLMTMSLMMLAPFLHFDQSRAKLREDKNANM
+>sp|Q13275|SEM3F_HUMAN Semaphorin-3F OS=Homo sapiens OX=9606 GN=SEMA3F PE=2 SV=2
+MLVAGLLLWASLLTGAWPSFPTQDHLPATPRVRLSFKELKATGTAHFFNFLLNTTDYRILLKDEDHDRMYVGSKDYVLSLDLHDINREPLIIHWAASPQRIEECVLSGKDVNGECGNFVRLIQPWNRTHLYVCGTGAYNPMCTYVNRGRRAQATPWTQTQAVRGRGSRATDGALRPMPTAPRQDYIFYLEPERLESGKGKCPYDPKLDTASALINEELYAGVYIDFMGTDAAIFRTLGKQTAMRTDQYNSRWLNDPSFIHAELIPDSAERNDDKLYFFFRERSAEAPQSPAVYARIGRICLNDDGGHCCLVNKWSTFLKARLVCSVPGEDGIETHFDELQDVFVQQTQDVRNPVIYAVFTSSGSVFRGSAVCVYSMADIRMVFNGPFAHKEGPNYQWMPFSGKMPYPRPGTCPGGTFTPSMKSTKDYPDEVINFMRSHPLMYQAVYPLQRRPLVVRTGAPYRLTTIAVDQVDAADGRYEVLFLGTDRGTVQKVIVLPKDDQELEELMLEEVEVFKDPAPVKTMTISSKRQQLYVASAVGVTHLSLHRCQAYGAACADCCLARDPYCAWDGQACSRYTASSKRRSRRQDVRHGNPIRQCRGFNSNANKNAVESVQYGVAGSAAFLECQPRSPQATVKWLFQRDPGDRRREIRAEDRFLRTEQGLLLRALQLSDRGLYSCTATENNFKHVVTRVQLHVLGRDAVHAALFPPLSMSAPPPPGAGPPTPPYQELAQLLAQPEVGLIHQYCQGYWRHVPPSPREAPGAPRSPEPQDQKKPRNRRHHPPDT
+>DECOY_sp|Q13275|SEM3F_HUMAN Semaphorin-3F OS=Homo sapiens OX=9606 GN=SEMA3F PE=2 SV=2
+TDPPHHRRNRPKKQDQPEPSRPAGPAERPSPPVHRWYGQCYQHILGVEPQALLQALEQYPPTPPGAGPPPPASMSLPPFLAAHVADRGLVHLQVRTVVHKFNNETATCSYLGRDSLQLARLLLGQETRLFRDEARIERRRDGPDRQFLWKVTAQPSRPQCELFAASGAVGYQVSEVANKNANSNFGRCQRIPNGHRVDQRRSRRKSSATYRSCAQGDWACYPDRALCCDACAAGYAQCRHLSLHTVGVASAVYLQQRKSSITMTKVPAPDKFVEVEELMLEELEQDDKPLVIVKQVTGRDTGLFLVEYRGDAADVQDVAITTLRYPAGTRVVLPRRQLPYVAQYMLPHSRMFNIVEDPYDKTSKMSPTFTGGPCTGPRPYPMKGSFPMWQYNPGEKHAFPGNFVMRIDAMSYVCVASGRFVSGSSTFVAYIVPNRVDQTQQVFVDQLEDFHTEIGDEGPVSCVLRAKLFTSWKNVLCCHGGDDNLCIRGIRAYVAPSQPAEASRERFFFYLKDDNREASDPILEAHIFSPDNLWRSNYQDTRMATQKGLTRFIAADTGMFDIYVGAYLEENILASATDLKPDYPCKGKGSELREPELYFIYDQRPATPMPRLAGDTARSGRGRVAQTQTWPTAQARRGRNVYTCMPNYAGTGCVYLHTRNWPQILRVFNGCEGNVDKGSLVCEEIRQPSAAWHIILPERNIDHLDLSLVYDKSGVYMRDHDEDKLLIRYDTTNLLFNFFHATGTAKLEKFSLRVRPTAPLHDQTPFSPWAGTLLSAWLLLGAVLM
+>sp|P50454|SERPH_HUMAN Serpin H1 OS=Homo sapiens OX=9606 GN=SERPINH1 PE=1 SV=2
+MRSLLLLSAFCLLEAALAAEVKKPAAAAAPGTAEKLSPKAATLAERSAGLAFSLYQAMAKDQAVENILVSPVVVASSLGLVSLGGKATTASQAKAVLSAEQLRDEEVHAGLGELLRSLSNSTARNVTWKLGSRLYGPSSVSFADDFVRSSKQHYNCEHSKINFRDKRSALQSINEWAAQTTDGKLPEVTKDVERTDGALLVNAMFFKPHWDEKFHHKMVDNRGFMVTRSYTVGVMMMHRTGLYNYYDDEKEKLQIVEMPLAHKLSSLIILMPHHVEPLERLEKLLTKEQLKIWMGKMQKKAVAISLPKGVVEVTHDLQKHLAGLGLTEAIDKNKADLSRMSGKKDLYLASVFHATAFELDTDGNPFDQDIYGREELRSPKLFYADHPFIFLVRDTQSGSLLFIGRLVRPKGDKMRDEL
+>DECOY_sp|P50454|SERPH_HUMAN Serpin H1 OS=Homo sapiens OX=9606 GN=SERPINH1 PE=1 SV=2
+LEDRMKDGKPRVLRGIFLLSGSQTDRVLFIFPHDAYFLKPSRLEERGYIDQDFPNGDTDLEFATAHFVSALYLDKKGSMRSLDAKNKDIAETLGLGALHKQLDHTVEVVGKPLSIAVAKKQMKGMWIKLQEKTLLKELRELPEVHHPMLIILSSLKHALPMEVIQLKEKEDDYYNYLGTRHMMMVGVTYSRTVMFGRNDVMKHHFKEDWHPKFFMANVLLAGDTREVDKTVEPLKGDTTQAAWENISQLASRKDRFNIKSHECNYHQKSSRVFDDAFSVSSPGYLRSGLKWTVNRATSNSLSRLLEGLGAHVEEDRLQEASLVAKAQSATTAKGGLSVLGLSSAVVVPSVLINEVAQDKAMAQYLSFALGASREALTAAKPSLKEATGPAAAAAPKKVEAALAAELLCFASLLLLSRM
+>sp|Q86VW0|SESD1_HUMAN SEC14 domain and spectrin repeat-containing protein 1 OS=Homo sapiens OX=9606 GN=SESTD1 PE=1 SV=2
+MEASVILPILKKKLAFLSGGKDRRSGLILTIPLCLEQTNMDELSVTLDYLLSIPSEKCKARGFTVIVDGRKSQWNVVKTVVVMLQNVVPAEVSLVCVVKPDEFWDKKVTHFCFWKEKDRLGFEVILVSANKLTRYIEPCQLTEDFGGSLTYDHMDWLNKRLVFEKFTKESTSLLDELALINNGSDKGNQQEKERSVDLNFLPSVDPETVLQTGHELLSELQQRRFNGSDGGVSWSPMDDELLAQPQVMKLLDSLREQYTRYQEVCRQRSKRTQLEEIQQKVMQVVNWLEGPGSEQLRAQWGIGDSIRASQALQQKHEEIESQHSEWFAVYVELNQQIAALLNAGDEEDLVELKSLQQQLSDVCYRQASQLEFRQNLLQAALEFHGVAQDLSQQLDGLLGMLCVDVAPADGASIQQTLKLLEEKLKSVDVGLQGLREKGQGLLDQISNQASWAYGKDVTIENKENVDHIQGVMEDMQLRKQRCEDMVDVRRLKMLQMVQLFKCEEDAAQAVEWLSELLDALLKTHIRLGDDAQETKVLLEKHRKFVDVAQSTYDYGRQLLQATVVLCQSLRCTSRSSGDTLPRLNRVWKQFTIASEERVHRLEMAIAFHSNAEKILQDCPEEPEAINDEEQFDEIEAVGKSLLDRLTVPVVYPDGTEQYFGSPSDMASTAENIRDRMKLVNLKRQQLRHPEMVTTES
+>DECOY_sp|Q86VW0|SESD1_HUMAN SEC14 domain and spectrin repeat-containing protein 1 OS=Homo sapiens OX=9606 GN=SESTD1 PE=1 SV=2
+SETTVMEPHRLQQRKLNVLKMRDRINEATSAMDSPSGFYQETGDPYVVPVTLRDLLSKGVAEIEDFQEEDNIAEPEEPCDQLIKEANSHFAIAMELRHVREESAITFQKWVRNLRPLTDGSSRSTCRLSQCLVVTAQLLQRGYDYTSQAVDVFKRHKELLVKTEQADDGLRIHTKLLADLLESLWEVAQAADEECKFLQVMQLMKLRRVDVMDECRQKRLQMDEMVGQIHDVNEKNEITVDKGYAWSAQNSIQDLLGQGKERLGQLGVDVSKLKEELLKLTQQISAGDAPAVDVCLMGLLGDLQQSLDQAVGHFELAAQLLNQRFELQSAQRYCVDSLQQQLSKLEVLDEEDGANLLAAIQQNLEVYVAFWESHQSEIEEHKQQLAQSARISDGIGWQARLQESGPGELWNVVQMVKQQIEELQTRKSRQRCVEQYRTYQERLSDLLKMVQPQALLEDDMPSWSVGGDSGNFRRQQLESLLEHGTQLVTEPDVSPLFNLDVSREKEQQNGKDSGNNILALEDLLSTSEKTFKEFVLRKNLWDMHDYTLSGGFDETLQCPEIYRTLKNASVLIVEFGLRDKEKWFCFHTVKKDWFEDPKVVCVLSVEAPVVNQLMVVVTKVVNWQSKRGDVIVTFGRAKCKESPISLLYDLTVSLEDMNTQELCLPITLILGSRRDKGGSLFALKKKLIPLIVSAEM
+>sp|P58005|SESN3_HUMAN Sestrin-3 OS=Homo sapiens OX=9606 GN=SESN3 PE=1 SV=2
+MNRGGGSPSAAANYLLCTNCRKVLRKDKRIRVSQPLTRGPSAFIPEKEVVQANTVDERTNFLVEEYSTSGRLDNITQVMSLHTQYLESFLRSQFYMLRMDGPLPLPYRHYIAIMAAARHQCSYLINMHVDEFLKTGGIAEWLNGLEYVPQRLKNLNEINKLLAHRPWLITKEHIQKLVKTGENNWSLPELVHAVVLLAHYHALASFVFGSGINPERDPEISNGFRLISVNNFCVCDLANDNNIENASLSGSNFGIVDSLSELEALMERMKRLQEEREDEEASQEEMSTRFEKEKKESLFVVSGDTFHSFPHSDFEDDMIITSDVSRYIEDPGFGYEDFARRGEEHLPTFRAQDYTWENHGFSLVNRLYSDIGHLLDEKFRMVYNLTYNTMATHEDVDTTMLRRALFNYVHCMFGIRYDDYDYGEVNQLLERSLKVYIKTVTCYPERTTKRMYDSYWRQFKHSEKVHVNLLLMEARMQAELLYALRAITRHLT
+>DECOY_sp|P58005|SESN3_HUMAN Sestrin-3 OS=Homo sapiens OX=9606 GN=SESN3 PE=1 SV=2
+TLHRTIARLAYLLEAQMRAEMLLLNVHVKESHKFQRWYSDYMRKTTREPYCTVTKIYVKLSRELLQNVEGYDYDDYRIGFMCHVYNFLARRLMTTDVDEHTAMTNYTLNYVMRFKEDLLHGIDSYLRNVLSFGHNEWTYDQARFTPLHEEGRRAFDEYGFGPDEIYRSVDSTIIMDDEFDSHPFSHFTDGSVVFLSEKKEKEFRTSMEEQSAEEDEREEQLRKMREMLAELESLSDVIGFNSGSLSANEINNDNALDCVCFNNVSILRFGNSIEPDREPNIGSGFVFSALAHYHALLVVAHVLEPLSWNNEGTKVLKQIHEKTILWPRHALLKNIENLNKLRQPVYELGNLWEAIGGTKLFEDVHMNILYSCQHRAAAMIAIYHRYPLPLPGDMRLMYFQSRLFSELYQTHLSMVQTINDLRGSTSYEEVLFNTREDVTNAQVVEKEPIFASPGRTLPQSVRIRKDKRLVKRCNTCLLYNAAASPSGGGRNM
+>sp|Q15047|SETB1_HUMAN Histone-lysine N-methyltransferase SETDB1 OS=Homo sapiens OX=9606 GN=SETDB1 PE=1 SV=1
+MSSLPGCIGLDAATATVESEEIAELQQAVVEELGISMEELRHFIDEELEKMDCVQQRKKQLAELETWVIQKESEVAHVDQLFDDASRAVTNCESLVKDFYSKLGLQYRDSSSEDESSRPTEIIEIPDEDDDVLSIDSGDAGSRTPKDQKLREAMAALRKSAQDVQKFMDAVNKKSSSQDLHKGTLSQMSGELSKDGDLIVSMRILGKKRTKTWHKGTLIAIQTVGPGKKYKVKFDNKGKSLLSGNHIAYDYHPPADKLYVGSRVVAKYKDGNQVWLYAGIVAETPNVKNKLRFLIFFDDGYASYVTQSELYPICRPLKKTWEDIEDISCRDFIEEYVTAYPNRPMVLLKSGQLIKTEWEGTWWKSRVEEVDGSLVRILFLDDKRCEWIYRGSTRLEPMFSMKTSSASALEKKQGQLRTRPNMGAVRSKGPVVQYTQDLTGTGTQFKPVEPPQPTAPPAPPFPPAPPLSPQAGDSDLESQLAQSRKQVAKKSTSFRPGSVGSGHSSPTSPALSENVSGGKPGINQTYRSPLGSTASAPAPSALPAPPAPPVFHGMLERAPAEPSYRAPMEKLFYLPHVCSYTCLSRVRPMRNEQYRGKNPLLVPLLYDFRRMTARRRVNRKMGFHVIYKTPCGLCLRTMQEIERYLFETGCDFLFLEMFCLDPYVLVDRKFQPYKPFYYILDITYGKEDVPLSCVNEIDTTPPPQVAYSKERIPGKGVFINTGPEFLVGCDCKDGCRDKSKCACHQLTIQATACTPGGQINPNSGYQYKRLEECLPTGVYECNKRCKCDPNMCTNRLVQHGLQVRLQLFKTQNKGWGIRCLDDIAKGSFVCIYAGKILTDDFADKEGLEMGDEYFANLDHIESVENFKEGYESDAPCSSDSSGVDLKDQEDGNSGTEDPEESNDDSSDDNFCKDEDFSTSSVWRSYATRRQTRGQKENGLSETTSKDSHPPDLGPPHIPVPPSIPVGGCNPPSSEETPKNKVASWLSCNSVSEGGFADSDSHSSFKTNEGGEGRAGGSRMEAEKASTSGLGIKDEGDIKQAKKEDTDDRNKMSVVTESSRNYGYNPSPVKPEGLRRPPSKTSMHQSRRLMASAQSNPDDVLTLSSSTESEGESGTSRKPTAGQTSATAVDSDDIQTISSGSEGDDFEDKKNMTGPMKRQVAVKSTRGFALKSTHGIAIKSTNMASVDKGESAPVRKNTRQFYDGEESCYIIDAKLEGNLGRYLNHSCSPNLFVQNVFVDTHDLRFPWVAFFASKRIRAGTELTWDYNYEVGSVEGKELLCCCGAIECRGRLL
+>DECOY_sp|Q15047|SETB1_HUMAN Histone-lysine N-methyltransferase SETDB1 OS=Homo sapiens OX=9606 GN=SETDB1 PE=1 SV=1
+LLRGRCEIAGCCCLLEKGEVSGVEYNYDWTLETGARIRKSAFFAVWPFRLDHTDVFVNQVFLNPSCSHNLYRGLNGELKADIIYCSEEGDYFQRTNKRVPASEGKDVSAMNTSKIAIGHTSKLAFGRTSKVAVQRKMPGTMNKKDEFDDGESGSSITQIDDSDVATASTQGATPKRSTGSEGESETSSSLTLVDDPNSQASAMLRRSQHMSTKSPPRRLGEPKVPSPNYGYNRSSETVVSMKNRDDTDEKKAQKIDGEDKIGLGSTSAKEAEMRSGGARGEGGENTKFSSHSDSDAFGGESVSNCSLWSAVKNKPTEESSPPNCGGVPISPPVPIHPPGLDPPHSDKSTTESLGNEKQGRTQRRTAYSRWVSSTSFDEDKCFNDDSSDDNSEEPDETGSNGDEQDKLDVGSSDSSCPADSEYGEKFNEVSEIHDLNAFYEDGMELGEKDAFDDTLIKGAYICVFSGKAIDDLCRIGWGKNQTKFLQLRVQLGHQVLRNTCMNPDCKCRKNCEYVGTPLCEELRKYQYGSNPNIQGGPTCATAQITLQHCACKSKDRCGDKCDCGVLFEPGTNIFVGKGPIREKSYAVQPPPTTDIENVCSLPVDEKGYTIDLIYYFPKYPQFKRDVLVYPDLCFMELFLFDCGTEFLYREIEQMTRLCLGCPTKYIVHFGMKRNVRRRATMRRFDYLLPVLLPNKGRYQENRMPRVRSLCTYSCVHPLYFLKEMPARYSPEAPARELMGHFVPPAPPAPLASPAPASATSGLPSRYTQNIGPKGGSVNESLAPSTPSSHGSGVSGPRFSTSKKAVQKRSQALQSELDSDGAQPSLPPAPPFPPAPPATPQPPEVPKFQTGTGTLDQTYQVVPGKSRVAGMNPRTRLQGQKKELASASSTKMSFMPELRTSGRYIWECRKDDLFLIRVLSGDVEEVRSKWWTGEWETKILQGSKLLVMPRNPYATVYEEIFDRCSIDEIDEWTKKLPRCIPYLESQTVYSAYGDDFFILFRLKNKVNPTEAVIGAYLWVQNGDKYKAVVRSGVYLKDAPPHYDYAIHNGSLLSKGKNDFKVKYKKGPGVTQIAILTGKHWTKTRKKGLIRMSVILDGDKSLEGSMQSLTGKHLDQSSSKKNVADMFKQVDQASKRLAAMAERLKQDKPTRSGADGSDISLVDDDEDPIEIIETPRSSEDESSSDRYQLGLKSYFDKVLSECNTVARSADDFLQDVHAVESEKQIVWTELEALQKKRQQVCDMKELEEDIFHRLEEMSIGLEEVVAQQLEAIEESEVTATAADLGICGPLSSM
+>sp|Q9C0A6|SETD5_HUMAN SET domain-containing protein 5 OS=Homo sapiens OX=9606 GN=SETD5 PE=1 SV=2
+MSIAIPLGVTTSDTSYSDMAAGSDPESVEASPAVNEKSVYSTHNYGTTQRHGCRGLPYATIIPRSDLNGLPSPVEERCGDSPNSEGETVPTWCPCGLSQDGFLLNCDKCRGMSRGKVIRLHRRKQDNISGGDSSATESWDEELSPSTVLYTATQHTPTSITLTVRRTKPKKRKKSPEKGRAAPKTKKIKNSPSEAQNLDENTTEGWENRIRLWTDQYEEAFTNQYSADVQNALEQHLHSSKEFVGKPTILDTINKTELACNNTVIGSQMQLQLGRVTRVQKHRKILRAARDLALDTLIIEYRGKVMLRQQFEVNGHFFKKPYPFVLFYSKFNGVEMCVDARTFGNDARFIRRSCTPNAEVRHMIADGMIHLCIYAVSAITKDAEVTIAFDYEYSNCNYKVDCACHKGNRNCPIQKRNPNATELPLLPPPPSLPTIGAETRRRKARRKELEMEQQNEASEENNDQQSQEVPEKVTVSSDHEEVDNPEEKPEEEKEEVIDDQENLAHSRRTREDRKVEAIMHAFENLEKRKKRRDQPLEQSNSDVEITTTTSETPVGEETKTEAPESEVSNSVSNVTIPSTPQSVGVNTRRSSQAGDIAAEKLVPKPPPAKPSRPRPKSRISRYRTSSAQRLKRQKQANAQQAELSQAALEEGGSNSLVTPTEAGSLDSSGENRPLTGSDPTVVSITGSHVNRAASKYPKTKKYLVTEWLNDKAEKQECPVECPLRITTDPTVLATTLNMLPGLIHSPLICTTPKHYIRFGSPFIPERRRRPLLPDGTFSSCKKRWIKQALEEGMTQTSSVPQETRTQHLYQSNENSSSSSICKDNADLLSPLKKWKSRYLMEQNVTKLLRPLSPVTPPPPNSGSKSPQLATPGSSHPGEEECRNGYSLMFSPVTSLTTASRCNTPLQFELCHRKDLDLAKVGYLDSNTNSCADRPSLLNSGHSDLAPHPSLGPTSETGFPSRSGDGHQTLVRNSDQAFRTEFNLMYAYSPLNAMPRADGLYRGSPLVGDRKPLHLDGGYCSPAEGFSSRYEHGLMKDLSRGSLSPGGERACEGVPSAPQNPPQRKKVSLLEYRKRKQEAKENSAGGGGDSAQSKSKSAGAGQGSSNSVSDTGAHGVQGSSARTPSSPHKKFSPSHSSMSHLEAVSPSDSRGTSSSHCRPQENISSRWMVPTSVERLREGGSIPKVLRSSVRVAQKGEPSPTWESNITEKDSDPADGEGPETLSSALSKGATVYSPSRYSYQLLQCDSPRTESQSLLQQSSSPFRGHPTQSPGYSYRTTALRPGNPPSHGSSESSLSSTSYSSPAHPVSTDSLAPFTGTPGYFSSQPHSGNSTGSNLPRRSCPSSAASPTLQGPSDSPTSDSVSQSSTGTLSSTSFPQNSRSSLPSDLRTISLPSAGQSAVYQASRVSAVSNSQHYPHRGSGGVHQYRLQPLQGSGVKTQTGLS
+>DECOY_sp|Q9C0A6|SETD5_HUMAN SET domain-containing protein 5 OS=Homo sapiens OX=9606 GN=SETD5 PE=1 SV=2
+SLGTQTKVGSGQLPQLRYQHVGGSGRHPYHQSNSVASVRSAQYVASQGASPLSITRLDSPLSSRSNQPFSTSSLTGTSSQSVSDSTPSDSPGQLTPSAASSPCSRRPLNSGTSNGSHPQSSFYGPTGTFPALSDTSVPHAPSSYSTSSLSSESSGHSPPNGPRLATTRYSYGPSQTPHGRFPSSSQQLLSQSETRPSDCQLLQYSYRSPSYVTAGKSLASSLTEPGEGDAPDSDKETINSEWTPSPEGKQAVRVSSRLVKPISGGERLREVSTPVMWRSSINEQPRCHSSSTGRSDSPSVAELHSMSSHSPSFKKHPSSPTRASSGQVGHAGTDSVSNSSGQGAGASKSKSQASDGGGGASNEKAEQKRKRYELLSVKKRQPPNQPASPVGECAREGGPSLSGRSLDKMLGHEYRSSFGEAPSCYGGDLHLPKRDGVLPSGRYLGDARPMANLPSYAYMLNFETRFAQDSNRVLTQHGDGSRSPFGTESTPGLSPHPALDSHGSNLLSPRDACSNTNSDLYGVKALDLDKRHCLEFQLPTNCRSATTLSTVPSFMLSYGNRCEEEGPHSSGPTALQPSKSGSNPPPPTVPSLPRLLKTVNQEMLYRSKWKKLPSLLDANDKCISSSSSNENSQYLHQTRTEQPVSSTQTMGEELAQKIWRKKCSSFTGDPLLPRRRREPIFPSGFRIYHKPTTCILPSHILGPLMNLTTALVTPDTTIRLPCEVPCEQKEAKDNLWETVLYKKTKPYKSAARNVHSGTISVVTPDSGTLPRNEGSSDLSGAETPTVLSNSGGEELAAQSLEAQQANAQKQRKLRQASSTRYRSIRSKPRPRSPKAPPPKPVLKEAAIDGAQSSRRTNVGVSQPTSPITVNSVSNSVESEPAETKTEEGVPTESTTTTIEVDSNSQELPQDRRKKRKELNEFAHMIAEVKRDERTRRSHALNEQDDIVEEKEEEPKEEPNDVEEHDSSVTVKEPVEQSQQDNNEESAENQQEMELEKRRAKRRRTEAGITPLSPPPPLLPLETANPNRKQIPCNRNGKHCACDVKYNCNSYEYDFAITVEADKTIASVAYICLHIMGDAIMHRVEANPTCSRRIFRADNGFTRADVCMEVGNFKSYFLVFPYPKKFFHGNVEFQQRLMVKGRYEIILTDLALDRAARLIKRHKQVRTVRGLQLQMQSGIVTNNCALETKNITDLITPKGVFEKSSHLHQELANQVDASYQNTFAEEYQDTWLRIRNEWGETTNEDLNQAESPSNKIKKTKPAARGKEPSKKRKKPKTRRVTLTISTPTHQTATYLVTSPSLEEDWSETASSDGGSINDQKRRHLRIVKGRSMGRCKDCNLLFGDQSLGCPCWTPVTEGESNPSDGCREEVPSPLGNLDSRPIITAYPLGRCGHRQTTGYNHTSYVSKENVAPSAEVSEPDSGAAMDSYSTDSTTVGLPIAISM
+>sp|Q8NE22|SETD9_HUMAN SET domain-containing protein 9 OS=Homo sapiens OX=9606 GN=SETD9 PE=2 SV=2
+MPGRLLRGLWQRWRRYKYRFVPWIALNLSHNPRTLRYVPEESKDKVISDEDVLGTLLKVFQALFLNDFNKQSEILSMLPESVKSKYQDLLAVEHQGVKLLENRHQQQSTFKPEEILYKTLGFSVAQATSSLISAGKGVFVTKGLVPKGAVVSMYPGTVYQKYEPIFFQSIGNPFIFRCLDGVLIDGNDKGISKVVYRSCNGRDRLGPLKMSDSTWLTSEIHNPLAVGQYVNNCSNDRAANVCYQEFDVPAVFPIELKQYLPNIAYSYDKQSPLRCVVLVALRDINQGEELFSNYYTIVS
+>DECOY_sp|Q8NE22|SETD9_HUMAN SET domain-containing protein 9 OS=Homo sapiens OX=9606 GN=SETD9 PE=2 SV=2
+SVITYYNSFLEEGQNIDRLAVLVVCRLPSQKDYSYAINPLYQKLEIPFVAPVDFEQYCVNAARDNSCNNVYQGVALPNHIESTLWTSDSMKLPGLRDRGNCSRYVVKSIGKDNGDILVGDLCRFIFPNGISQFFIPEYKQYVTGPYMSVVAGKPVLGKTVFVGKGASILSSTAQAVSFGLTKYLIEEPKFTSQQQHRNELLKVGQHEVALLDQYKSKVSEPLMSLIESQKNFDNLFLAQFVKLLTGLVDEDSIVKDKSEEPVYRLTRPNHSLNLAIWPVFRYKYRRWRQWLGRLLRGPM
+>sp|O75533|SF3B1_HUMAN Splicing factor 3B subunit 1 OS=Homo sapiens OX=9606 GN=SF3B1 PE=1 SV=3
+MAKIAKTHEDIEAQIREIQGKKAALDEAQGVGLDSTGYYDQEIYGGSDSRFAGYVTSIAATELEDDDDDYSSSTSLLGQKKPGYHAPVALLNDIPQSTEQYDPFAEHRPPKIADREDEYKKHRRTMIISPERLDPFADGGKTPDPKMNARTYMDVMREQHLTKEEREIRQQLAEKAKAGELKVVNGAAASQPPSKRKRRWDQTADQTPGATPKKLSSWDQAETPGHTPSLRWDETPGRAKGSETPGATPGSKIWDPTPSHTPAGAATPGRGDTPGHATPGHGGATSSARKNRWDETPKTERDTPGHGSGWAETPRTDRGGDSIGETPTPGASKRKSRWDETPASQMGGSTPVLTPGKTPIGTPAMNMATPTPGHIMSMTPEQLQAWRWEREIDERNRPLSDEELDAMFPEGYKVLPPPAGYVPIRTPARKLTATPTPLGGMTGFHMQTEDRTMKSVNDQPSGNLPFLKPDDIQYFDKLLVDVDESTLSPEEQKERKIMKLLLKIKNGTPPMRKAALRQITDKAREFGAGPLFNQILPLLMSPTLEDQERHLLVKVIDRILYKLDDLVRPYVHKILVVIEPLLIDEDYYARVEGREIISNLAKAAGLATMISTMRPDIDNMDEYVRNTTARAFAVVASALGIPSLLPFLKAVCKSKKSWQARHTGIKIVQQIAILMGCAILPHLRSLVEIIEHGLVDEQQKVRTISALAIAALAEAATPYGIESFDSVLKPLWKGIRQHRGKGLAAFLKAIGYLIPLMDAEYANYYTREVMLILIREFQSPDEEMKKIVLKVVKQCCGTDGVEANYIKTEILPPFFKHFWQHRMALDRRNYRQLVDTTVELANKVGAAEIISRIVDDLKDEAEQYRKMVMETIEKIMGNLGAADIDHKLEEQLIDGILYAFQEQTTEDSVMLNGFGTVVNALGKRVKPYLPQICGTVLWRLNNKSAKVRQQAADLISRTAVVMKTCQEEKLMGHLGVVLYEYLGEEYPEVLGSILGALKAIVNVIGMHKMTPPIKDLLPRLTPILKNRHEKVQENCIDLVGRIADRGAEYVSAREWMRICFELLELLKAHKKAIRRATVNTFGYIAKAIGPHDVLATLLNNLKVQERQNRVCTTVAIAIVAETCSPFTVLPALMNEYRVPELNVQNGVLKSLSFLFEYIGEMGKDYIYAVTPLLEDALMDRDLVHRQTASAVVQHMSLGVYGFGCEDSLNHLLNYVWPNVFETSPHVIQAVMGALEGLRVAIGPCRMLQYCLQGLFHPARKVRDVYWKIYNSIYIGSQDALIAHYPRIYNDDKNTYIRYELDYIL
+>DECOY_sp|O75533|SF3B1_HUMAN Splicing factor 3B subunit 1 OS=Homo sapiens OX=9606 GN=SF3B1 PE=1 SV=3
+LIYDLEYRIYTNKDDNYIRPYHAILADQSGIYISNYIKWYVDRVKRAPHFLGQLCYQLMRCPGIAVRLGELAGMVAQIVHPSTEFVNPWVYNLLHNLSDECGFGYVGLSMHQVVASATQRHVLDRDMLADELLPTVAYIYDKGMEGIYEFLFSLSKLVGNQVNLEPVRYENMLAPLVTFPSCTEAVIAIAVTTCVRNQREQVKLNNLLTALVDHPGIAKAIYGFTNVTARRIAKKHAKLLELLEFCIRMWERASVYEAGRDAIRGVLDICNEQVKEHRNKLIPTLRPLLDKIPPTMKHMGIVNVIAKLAGLISGLVEPYEEGLYEYLVVGLHGMLKEEQCTKMVVATRSILDAAQQRVKASKNNLRWLVTGCIQPLYPKVRKGLANVVTGFGNLMVSDETTQEQFAYLIGDILQEELKHDIDAAGLNGMIKEITEMVMKRYQEAEDKLDDVIRSIIEAAGVKNALEVTTDVLQRYNRRDLAMRHQWFHKFFPPLIETKIYNAEVGDTGCCQKVVKLVIKKMEEDPSQFERILILMVERTYYNAYEADMLPILYGIAKLFAALGKGRHQRIGKWLPKLVSDFSEIGYPTAAEALAAIALASITRVKQQEDVLGHEIIEVLSRLHPLIACGMLIAIQQVIKIGTHRAQWSKKSKCVAKLFPLLSPIGLASAVVAFARATTNRVYEDMNDIDPRMTSIMTALGAAKALNSIIERGEVRAYYDEDILLPEIVVLIKHVYPRVLDDLKYLIRDIVKVLLHREQDELTPSMLLPLIQNFLPGAGFERAKDTIQRLAAKRMPPTGNKIKLLLKMIKREKQEEPSLTSEDVDVLLKDFYQIDDPKLFPLNGSPQDNVSKMTRDETQMHFGTMGGLPTPTATLKRAPTRIPVYGAPPPLVKYGEPFMADLEEDSLPRNREDIEREWRWAQLQEPTMSMIHGPTPTAMNMAPTGIPTKGPTLVPTSGGMQSAPTEDWRSKRKSAGPTPTEGISDGGRDTRPTEAWGSGHGPTDRETKPTEDWRNKRASSTAGGHGPTAHGPTDGRGPTAAGAPTHSPTPDWIKSGPTAGPTESGKARGPTEDWRLSPTHGPTEAQDWSSLKKPTAGPTQDATQDWRRKRKSPPQSAAAGNVVKLEGAKAKEALQQRIEREEKTLHQERMVDMYTRANMKPDPTKGGDAFPDLREPSIIMTRRHKKYEDERDAIKPPRHEAFPDYQETSQPIDNLLAVPAHYGPKKQGLLSTSSSYDDDDDELETAAISTVYGAFRSDSGGYIEQDYYGTSDLGVGQAEDLAAKKGQIERIQAEIDEHTKAIKAM
+>sp|Q15393|SF3B3_HUMAN Splicing factor 3B subunit 3 OS=Homo sapiens OX=9606 GN=SF3B3 PE=1 SV=4
+MFLYNLTLQRATGISFAIHGNFSGTKQQEIVVSRGKILELLRPDPNTGKVHTLLTVEVFGVIRSLMAFRLTGGTKDYIVVGSDSGRIVILEYQPSKNMFEKIHQETFGKSGCRRIVPGQFLAVDPKGRAVMISAIEKQKLVYILNRDAAARLTISSPLEAHKANTLVYHVVGVDVGFENPMFACLEMDYEEADNDPTGEAAANTQQTLTFYELDLGLNHVVRKYSEPLEEHGNFLITVPGGSDGPSGVLICSENYITYKNFGDQPDIRCPIPRRRNDLDDPERGMIFVCSATHKTKSMFFFLAQTEQGDIFKITLETDEDMVTEIRLKYFDTVPVAAAMCVLKTGFLFVASEFGNHYLYQIAHLGDDDEEPEFSSAMPLEEGDTFFFQPRPLKNLVLVDELDSLSPILFCQIADLANEDTPQLYVACGRGPRSSLRVLRHGLEVSEMAVSELPGNPNAVWTVRRHIEDEFDAYIIVSFVNATLVLSIGETVEEVTDSGFLGTTPTLSCSLLGDDALVQVYPDGIRHIRADKRVNEWKTPGKKTIVKCAVNQRQVVIALTGGELVYFEMDPSGQLNEYTERKEMSADVVCMSLANVPPGEQRSRFLAVGLVDNTVRIISLDPSDCLQPLSMQALPAQPESLCIVEMGGTEKQDELGERGSIGFLYLNIGLQNGVLLRTVLDPVTGDLSDTRTRYLGSRPVKLFRVRMQGQEAVLAMSSRSWLSYSYQSRFHLTPLSYETLEFASGFASEQCPEGIVAISTNTLRILALEKLGAVFNQVAFPLQYTPRKFVIHPESNNLIIIETDHNAYTEATKAQRKQQMAEEMVEAAGEDERELAAEMAAAFLNENLPESIFGAPKAGNGQWASVIRVMNPIQGNTLDLVQLEQNEAAFSVAVCRFSNTGEDWYVLVGVAKDLILNPRSVAGGFVYTYKLVNNGEKLEFLHKTPVEEVPAAIAPFQGRVLIGVGKLLRVYDLGKKKLLRKCENKHIANYISGIQTIGHRVIVSDVQESFIWVRYKRNENQLIIFADDTYPRWVTTASLLDYDTVAGADKFGNICVVRLPPNTNDEVDEDPTGNKALWDRGLLNGASQKAEVIMNYHVGETVLSLQKTTLIPGGSESLVYTTLSGGIGILVPFTSHEDHDFFQHVEMHLRSEHPPLCGRDHLSFRSYYFPVKNVIDGDLCEQFNSMEPNKQKNVSEELDRTPPEVSKKLEDIRTRYAF
+>DECOY_sp|Q15393|SF3B3_HUMAN Splicing factor 3B subunit 3 OS=Homo sapiens OX=9606 GN=SF3B3 PE=1 SV=4
+FAYRTRIDELKKSVEPPTRDLEESVNKQKNPEMSNFQECLDGDIVNKVPFYYSRFSLHDRGCLPPHESRLHMEVHQFFDHDEHSTFPVLIGIGGSLTTYVLSESGGPILTTKQLSLVTEGVHYNMIVEAKQSAGNLLGRDWLAKNGTPDEDVEDNTNPPLRVVCINGFKDAGAVTDYDLLSATTVWRPYTDDAFIILQNENRKYRVWIFSEQVDSVIVRHGITQIGSIYNAIHKNECKRLLKKKGLDYVRLLKGVGILVRGQFPAIAAPVEEVPTKHLFELKEGNNVLKYTYVFGGAVSRPNLILDKAVGVLVYWDEGTNSFRCVAVSFAAENQELQVLDLTNGQIPNMVRIVSAWQGNGAKPAGFISEPLNENLFAAAMEAALEREDEGAAEVMEEAMQQKRQAKTAETYANHDTEIIILNNSEPHIVFKRPTYQLPFAVQNFVAGLKELALIRLTNTSIAVIGEPCQESAFGSAFELTEYSLPTLHFRSQYSYSLWSRSSMALVAEQGQMRVRFLKVPRSGLYRTRTDSLDGTVPDLVTRLLVGNQLGINLYLFGISGREGLEDQKETGGMEVICLSEPQAPLAQMSLPQLCDSPDLSIIRVTNDVLGVALFRSRQEGPPVNALSMCVVDASMEKRETYENLQGSPDMEFYVLEGGTLAIVVQRQNVACKVITKKGPTKWENVRKDARIHRIGDPYVQVLADDGLLSCSLTPTTGLFGSDTVEEVTEGISLVLTANVFSVIIYADFEDEIHRRVTWVANPNGPLESVAMESVELGHRLVRLSSRPGRGCAVYLQPTDENALDAIQCFLIPSLSDLEDVLVLNKLPRPQFFFTDGEELPMASSFEPEEDDDGLHAIQYLYHNGFESAVFLFGTKLVCMAAAVPVTDFYKLRIETVMDEDTELTIKFIDGQETQALFFFMSKTKHTASCVFIMGREPDDLDNRRRPIPCRIDPQDGFNKYTIYNESCILVGSPGDSGGPVTILFNGHEELPESYKRVVHNLGLDLEYFTLTQQTNAAAEGTPDNDAEEYDMELCAFMPNEFGVDVGVVHYVLTNAKHAELPSSITLRAAADRNLIYVLKQKEIASIMVARGKPDVALFQGPVIRRCGSKGFTEQHIKEFMNKSPQYELIVIRGSDSGVVIYDKTGGTLRFAMLSRIVGFVEVTLLTHVKGTNPDPRLLELIKGRSVVIEQQKTGSFNGHIAFSIGTARQLTLNYLFM
+>sp|Q15427|SF3B4_HUMAN Splicing factor 3B subunit 4 OS=Homo sapiens OX=9606 GN=SF3B4 PE=1 SV=1
+MAAGPISERNQDATVYVGGLDEKVSEPLLWELFLQAGPVVNTHMPKDRVTGQHQGYGFVEFLSEEDADYAIKIMNMIKLYGKPIRVNKASAHNKNLDVGANIFIGNLDPEIDEKLLYDTFSAFGVILQTPKIMRDPDTGNSKGYAFINFASFDASDAAIEAMNGQYLCNRPITVSYAFKKDSKGERHGSAAERLLAAQNPLSQADRPHQLFADAPPPPSAPNPVVSSLGSGLPPPGMPPPGSFPPPVPPPGALPPGIPPAMPPPPMPPGAAGHGPPSAGTPGAGHPGHGHSHPHPFPPGGMPHPGMSQMQLAHHGPHGLGHPHAGPPGSGGQPPPRPPPGMPHPGPPPMGMPPRGPPFGSPMGHPGPMPPHGMRGPPPLMPPHGYTGPPRPPPYGYQRGPLPPPRPTPRPPVPPRGPLRGPLPQ
+>DECOY_sp|Q15427|SF3B4_HUMAN Splicing factor 3B subunit 4 OS=Homo sapiens OX=9606 GN=SF3B4 PE=1 SV=1
+QPLPGRLPGRPPVPPRPTPRPPPLPGRQYGYPPPRPPGTYGHPPMLPPPGRMGHPPMPGPHGMPSGFPPGRPPMGMPPPGPHPMGPPPRPPPQGGSGPPGAHPHGLGHPGHHALQMQSMGPHPMGGPPFPHPHSHGHGPHGAGPTGASPPGHGAAGPPMPPPPMAPPIGPPLAGPPPVPPPFSGPPPMGPPPLGSGLSSVVPNPASPPPPADAFLQHPRDAQSLPNQAALLREAASGHREGKSDKKFAYSVTIPRNCLYQGNMAEIAADSADFSAFNIFAYGKSNGTDPDRMIKPTQLIVGFASFTDYLLKEDIEPDLNGIFINAGVDLNKNHASAKNVRIPKGYLKIMNMIKIAYDADEESLFEVFGYGQHQGTVRDKPMHTNVVPGAQLFLEWLLPESVKEDLGGVYVTADQNRESIPGAAM
+>sp|Q9UPN6|SCAF8_HUMAN Protein SCAF8 OS=Homo sapiens OX=9606 GN=SCAF8 PE=1 SV=1
+MEAVKTFNSELYSLNDYKPPISKAKMTQITKAAIKAIKFYKHVVQSVEKFIQKCKPEYKVPGLYVIDSIVRQSRHQFGQEKDVFAPRFSNNIISTFQNLYRCPGDDKSKIVRVLNLWQKNNVFKSEIIQPLLDMAAGIPPPVVTPVLASTTTAMSNTPGTPVTPVTPANVVQGLPDPWVSQITNTDTLAAVAQILQSPQGQQLQQLIQTLQIQQQKPQPSILQALDAGLVVQLQALTAQLTAAAAAANTLTPLEQGVSFNKKLMDRFDFGEDSEHSEEPKKEIPASQLSHVSESVNNSIFHQIAEQLQQQNLEHLRQQLLEQQQPQKATPQDSQEGTFGSEHSASPSQGSSQQHFLEPEVNLDDSIDIQQQDMDIDEGQDGVEEEVFEQEAKKVAVRSRSRTHSRSRSRSPRKRRSRSRSGSRKRKHRKRSRSRSRERKRKSSRSYSSERRAREREKERQKKGLPPIRSKTLSVCSTTLWVGQVDKKATQQDLTNLFEEFGQIESINMIPPRGCAYVCMVHRQDAFRALQKLSSGSYKIGSKVIKIAWALNKGVKTEYKQFWDVDLGVTYIPWEKVKVDDLEGFAEGGMIDQETVNTEWETVKSSEPVKETVQTTQSPTPVEKETVVTTQAEVFPPPVAMLQIPVAPAVPTVSLVPPAFPVSMPVPPPGFSPIPPPPFLRASFNPSQPPPGFMPPPVPPPVVPPPTIPPVVPTSLVQPSLSMTPETVKDVGFGSLVIPGGSVASNLATSALPAGNVFNAPTKQAEPEEKVPHLIDHQISSGENTRSVIPNDISSNAAILGGQPPNVTSNSGILGVQRPNVSSNSEILGVRPSNVSSSSGIIAAQPPNILNNSGILGIQPPSVSNSSGLLGVLPPNIPNNSGLVGVQPPNVPNTPGLLGTQPPAGPQNLPPLSIPNQRMPTMPMLDIRPGLIPQAPGPRFPLIQPGIPPQRGIPPPSVLDSALHPPPRGPFPPGDIFSQPERPFLAPGRQSVDNVTNPEKRIPLGNDNIQQEGDRDYRFPPIETRESISRPPPVDVRDVVGRPIDPREGPGRPPLDGRDHFGRPPVDIRENLVRPGIDHLGRRDHFGFNPEKPWGHRGDFDEREHRVLPVYGGPKGLHEERGRFRSGNYRFDPRSGPWNRGFGQEVHRDFDDRRRPWERQRDRDDRDFDFCREMNGNRLGRDRIQNTWVPPPHARVFDYFEGATSQRKGDNVPQVNGENTERHAQPPPIPVQNDPELYEKLTSSNEINKEKSDTVADIESEPVVESTETEGT
+>DECOY_sp|Q9UPN6|SCAF8_HUMAN Protein SCAF8 OS=Homo sapiens OX=9606 GN=SCAF8 PE=1 SV=1
+TGETETSEVVPESEIDAVTDSKEKNIENSSTLKEYLEPDNQVPIPPPQAHRETNEGNVQPVNDGKRQSTAGEFYDFVRAHPPPVWTNQIRDRGLRNGNMERCFDFDRDDRDRQREWPRRRDDFDRHVEQGFGRNWPGSRPDFRYNGSRFRGREEHLGKPGGYVPLVRHEREDFDGRHGWPKEPNFGFHDRRGLHDIGPRVLNERIDVPPRGFHDRGDLPPRGPGERPDIPRGVVDRVDVPPPRSISERTEIPPFRYDRDGEQQINDNGLPIRKEPNTVNDVSQRGPALFPREPQSFIDGPPFPGRPPPHLASDLVSPPPIGRQPPIGPQILPFRPGPAQPILGPRIDLMPMTPMRQNPISLPPLNQPGAPPQTGLLGPTNPVNPPQVGVLGSNNPINPPLVGLLGSSNSVSPPQIGLIGSNNLINPPQAAIIGSSSSVNSPRVGLIESNSSVNPRQVGLIGSNSTVNPPQGGLIAANSSIDNPIVSRTNEGSSIQHDILHPVKEEPEAQKTPANFVNGAPLASTALNSAVSGGPIVLSGFGVDKVTEPTMSLSPQVLSTPVVPPITPPPVVPPPVPPPMFGPPPQSPNFSARLFPPPPIPSFGPPPVPMSVPFAPPVLSVTPVAPAVPIQLMAVPPPFVEAQTTVVTEKEVPTPSQTTQVTEKVPESSKVTEWETNVTEQDIMGGEAFGELDDVKVKEWPIYTVGLDVDWFQKYETKVGKNLAWAIKIVKSGIKYSGSSLKQLARFADQRHVMCVYACGRPPIMNISEIQGFEEFLNTLDQQTAKKDVQGVWLTTSCVSLTKSRIPPLGKKQREKERERARRESSYSRSSKRKRERSRSRSRKRHKRKRSGSRSRSRRKRPSRSRSRSHTRSRSRVAVKKAEQEFVEEEVGDQGEDIDMDQQQIDISDDLNVEPELFHQQSSGQSPSASHESGFTGEQSDQPTAKQPQQQELLQQRLHELNQQQLQEAIQHFISNNVSESVHSLQSAPIEKKPEESHESDEGFDFRDMLKKNFSVGQELPTLTNAAAAAATLQATLAQLQVVLGADLAQLISPQPKQQQIQLTQILQQLQQGQPSQLIQAVAALTDTNTIQSVWPDPLGQVVNAPTVPTVPTGPTNSMATTTSALVPTVVPPPIGAAMDLLPQIIESKFVNNKQWLNLVRVIKSKDDGPCRYLNQFTSIINNSFRPAFVDKEQGFQHRSQRVISDIVYLGPVKYEPKCKQIFKEVSQVVHKYFKIAKIAAKTIQTMKAKSIPPKYDNLSYLESNFTKVAEM
+>sp|O15127|SCAM2_HUMAN Secretory carrier-associated membrane protein 2 OS=Homo sapiens OX=9606 GN=SCAMP2 PE=1 SV=2
+MSAFDTNPFADPVDVNPFQDPSVTQLTNAPQGGLAEFNPFSETNAATTVPVTQLPGSSQPAVLQPSVEPTQPTPQAVVSAAQAGLLRQQEELDRKAAELERKERELQNTVANLHVRQNNWPPLPSWCPVKPCFYQDFSTEIPADYQRICKMLYYLWMLHSVTLFLNLLACLAWFSGNSSKGVDFGLSILWFLIFTPCAFLCWYRPIYKAFRSDNSFSFFVFFFVFFCQIGIYIIQLVGIPGLGDSGWIAALSTLDNHSLAISVIMMVVAGFFTLCAVLSVFLLQRVHSLYRRTGASFQQAQEEFSQGIFSSRTFHRAASSAAQGAFQGN
+>DECOY_sp|O15127|SCAM2_HUMAN Secretory carrier-associated membrane protein 2 OS=Homo sapiens OX=9606 GN=SCAMP2 PE=1 SV=2
+NGQFAGQAASSAARHFTRSSFIGQSFEEQAQQFSAGTRRYLSHVRQLLFVSLVACLTFFGAVVMMIVSIALSHNDLTSLAAIWGSDGLGPIGVLQIIYIGIQCFFVFFFVFFSFSNDSRFAKYIPRYWCLFACPTFILFWLISLGFDVGKSSNGSFWALCALLNLFLTVSHLMWLYYLMKCIRQYDAPIETSFDQYFCPKVPCWSPLPPWNNQRVHLNAVTNQLEREKRELEAAKRDLEEQQRLLGAQAASVVAQPTPQTPEVSPQLVAPQSSGPLQTVPVTTAANTESFPNFEALGGQPANTLQTVSPDQFPNVDVPDAFPNTDFASM
+>sp|Q6ZMJ2|SCAR5_HUMAN Scavenger receptor class A member 5 OS=Homo sapiens OX=9606 GN=SCARA5 PE=1 SV=1
+MENKAMYLHTVSDCDTSSICEDSFDGRSLSKLNLCEDGPCHKRRASICCTQLGSLSALKHAVLGLYLLVFLILVGIFILAVSRPRSSPDDLKALTRNVNRLNESFRDLQLRLLQAPLQADLTEQVWKVQDALQNQSDSLLALAGAVQRLEGALWGLQAQAVQTEQAVALLRDRTGQQSDTAQLELYQLQVESNSSQLLLRRHAGLLDGLARRVGILGEELADVGGVLRGLNHSLSYDVALHRTRLQDLRVLVSNASEDTRRLRLAHVGMELQLKQELAMLNAVTEDLRLKDWEHSIALRNISLAKGPPGPKGDQGDEGKEGRPGIPGLPGLRGLPGERGTPGLPGPKGDDGKLGATGPMGMRGFKGDRGPKGEKGEKGDRAGDASGVEAPMMIRLVNGSGPHEGRVEVYHDRRWGTVCDDGWDKKDGDVVCRMLGFRGVEEVYRTARFGQGTGRIWMDDVACKGTEETIFRCSFSKWGVTNCGHAEDASVTCNRH
+>DECOY_sp|Q6ZMJ2|SCAR5_HUMAN Scavenger receptor class A member 5 OS=Homo sapiens OX=9606 GN=SCARA5 PE=1 SV=1
+HRNCTVSADEAHGCNTVGWKSFSCRFITEETGKCAVDDMWIRGTGQGFRATRYVEEVGRFGLMRCVVDGDKKDWGDDCVTGWRRDHYVEVRGEHPGSGNVLRIMMPAEVGSADGARDGKEGKEGKPGRDGKFGRMGMPGTAGLKGDDGKPGPLGPTGREGPLGRLGPLGPIGPRGEKGEDGQDGKPGPPGKALSINRLAISHEWDKLRLDETVANLMALEQKLQLEMGVHALRLRRTDESANSVLVRLDQLRTRHLAVDYSLSHNLGRLVGGVDALEEGLIGVRRALGDLLGAHRRLLLQSSNSEVQLQYLELQATDSQQGTRDRLLAVAQETQVAQAQLGWLAGELRQVAGALALLSDSQNQLADQVKWVQETLDAQLPAQLLRLQLDRFSENLRNVNRTLAKLDDPSSRPRSVALIFIGVLILFVLLYLGLVAHKLASLSGLQTCCISARRKHCPGDECLNLKSLSRGDFSDECISSTDCDSVTHLYMAKNEM
+>sp|Q86SK9|SCD5_HUMAN Stearoyl-CoA desaturase 5 OS=Homo sapiens OX=9606 GN=SCD5 PE=1 SV=2
+MPGPATDAGKIPFCDAKEEIRAGLESSEGGGGPERPGARGQRQNIVWRNVVLMSLLHLGAVYSLVLIPKAKPLTLLWAYFCFLLAALGVTAGAHRLWSHRSYRAKLPLRIFLAVANSMAFQNDIFEWSRDHRAHHKYSETDADPHNARRGFFFSHIGWLFVRKHRDVIEKGRKLDVTDLLADPVVRIQRKYYKISVVLMCFVVPTLVPWYIWGESLWNSYFLASILRYTISLNISWLVNSAAHMYGNRPYDKHISPRQNPLVALGAIGEGFHNYHHTFPFDYSASEFGLNFNPTTWFIDFMCWLGLATDRKRATKPMIEARKARTGDSSA
+>DECOY_sp|Q86SK9|SCD5_HUMAN Stearoyl-CoA desaturase 5 OS=Homo sapiens OX=9606 GN=SCD5 PE=1 SV=2
+ASSDGTRAKRAEIMPKTARKRDTALGLWCMFDIFWTTPNFNLGFESASYDFPFTHHYNHFGEGIAGLAVLPNQRPSIHKDYPRNGYMHAASNVLWSINLSITYRLISALFYSNWLSEGWIYWPVLTPVVFCMLVVSIKYYKRQIRVVPDALLDTVDLKRGKEIVDRHKRVFLWGIHSFFFGRRANHPDADTESYKHHARHDRSWEFIDNQFAMSNAVALFIRLPLKARYSRHSWLRHAGATVGLAALLFCFYAWLLTLPKAKPILVLSYVAGLHLLSMLVVNRWVINQRQGRAGPREPGGGGESSELGARIEEKADCFPIKGADTAPGPM
+>sp|P13521|SCG2_HUMAN Secretogranin-2 OS=Homo sapiens OX=9606 GN=SCG2 PE=1 SV=2
+MAEAKTHWLGAALSLIPLIFLISGAEAASFQRNQLLQKEPDLRLENVQKFPSPEMIRALEYIENLRQQAHKEESSPDYNPYQGVSVPLQQKENGDESHLPERDSLSEEDWMRIILEALRQAENEPQSAPKENKPYALNSEKNFPMDMSDDYETQQWPERKLKHMQFPPMYEENSRDNPFKRTNEIVEEQYTPQSLATLESVFQELGKLTGPNNQKRERMDEEQKLYTDDEDDIYKANNIAYEDVVGGEDWNPVEEKIESQTQEEVRDSKENIEKNEQINDEMKRSGQLGIQEEDLRKESKDQLSDDVSKVIAYLKRLVNAAGSGRLQNGQNGERATRLFEKPLDSQSIYQLIEISRNLQIPPEDLIEMLKTGEKPNGSVEPERELDLPVDLDDISEADLDHPDLFQNRMLSKSGYPKTPGRAGTEALPDGLSVEDILNLLGMESAANQKTSYFPNPYNQEKVLPRLPYGAGRSRSNQLPKAAWIPHVENRQMAYENLNDKDQELGEYLARMLVKYPEIINSNQVKRVPGQGSSEDDLQEEEQIEQAIKEHLNQGSSQETDKLAPVSKRFPVGPPKNDDTPNRQYWDEDLLMKVLEYLNQEKAEKGREHIAKRAMENM
+>DECOY_sp|P13521|SCG2_HUMAN Secretogranin-2 OS=Homo sapiens OX=9606 GN=SCG2 PE=1 SV=2
+MNEMARKAIHERGKEAKEQNLYELVKMLLDEDWYQRNPTDDNKPPGVPFRKSVPALKDTEQSSGQNLHEKIAQEIQEEEQLDDESSGQGPVRKVQNSNIIEPYKVLMRALYEGLEQDKDNLNEYAMQRNEVHPIWAAKPLQNSRSRGAGYPLRPLVKEQNYPNPFYSTKQNAASEMGLLNLIDEVSLGDPLAETGARGPTKPYGSKSLMRNQFLDPHDLDAESIDDLDVPLDLEREPEVSGNPKEGTKLMEILDEPPIQLNRSIEILQYISQSDLPKEFLRTAREGNQGNQLRGSGAANVLRKLYAIVKSVDDSLQDKSEKRLDEEQIGLQGSRKMEDNIQENKEINEKSDRVEEQTQSEIKEEVPNWDEGGVVDEYAINNAKYIDDEDDTYLKQEEDMRERKQNNPGTLKGLEQFVSELTALSQPTYQEEVIENTRKFPNDRSNEEYMPPFQMHKLKREPWQQTEYDDSMDMPFNKESNLAYPKNEKPASQPENEAQRLAELIIRMWDEESLSDREPLHSEDGNEKQQLPVSVGQYPNYDPSSEEKHAQQRLNEIYELARIMEPSPFKQVNELRLDPEKQLLQNRQFSAAEAGSILFILPILSLAAGLWHTKAEAM
+>sp|Q9GZW5|SCND2_HUMAN Putative SCAN domain-containing protein SCAND2P OS=Homo sapiens OX=9606 GN=SCAND2P PE=5 SV=2
+MAVAVDQQIQTPSVQDLQIVKLEEDSHWEQEISLQGNYPGPETSCQSFWHFRYQEASRPREALLQLQKLCCQWLRPEKCTKEQILELLVLEQFPTVLLQEIQIWVRQQHPESGEEAVALVEDLQKEPGRQRLEPRARPSGRTPPAQLRSPWPMTAAGPASRARASETGSTASCAGRWRTCCAAAAAPSAARSASARTGRSTSSCARAARAPSATEGALTRTPAPRRPLQRRRPGTGPWRPGRQRGAGTAPPGTQPRQRPSPRPTPRRPRPRLARPRAGQKPWLLVIRSMERVMYVMLIIQMETEDV
+>DECOY_sp|Q9GZW5|SCND2_HUMAN Putative SCAN domain-containing protein SCAND2P OS=Homo sapiens OX=9606 GN=SCAND2P PE=5 SV=2
+VDETEMQIILMVYMVREMSRIVLLWPKQGARPRALRPRPRRPTPRPSPRQRPQTGPPATGAGRQRGPRWPGTGPRRRQLPRRPAPTRTLAGETASPARAARACSSTSRGTRASASRAASPAAAAACCTRWRGACSATSGTESARARSAPGAATMPWPSRLQAPPTRGSPRARPELRQRGPEKQLDEVLAVAEEGSEPHQQRVWIQIEQLLVTPFQELVLLELIQEKTCKEPRLWQCCLKQLQLLAERPRSAEQYRFHWFSQCSTEPGPYNGQLSIEQEWHSDEELKVIQLDQVSPTQIQQDVAVAM
+>sp|Q9UIL1|SCOC_HUMAN Short coiled-coil protein OS=Homo sapiens OX=9606 GN=SCOC PE=1 SV=2
+MRRRVFSSQDWRASGWDGMGFFSRRTFCGRSGRSCRGQLVQVSRPEVSAGSLLLPAPQAEDHSSRILYPRPKSLLPKMMNADMDAVDAENQVELEEKTRLINQVLELQHTLEDLSARVDAVKEENLKLKSENQVLGQYIENLMSASSVFQTTDTKSKRK
+>DECOY_sp|Q9UIL1|SCOC_HUMAN Short coiled-coil protein OS=Homo sapiens OX=9606 GN=SCOC PE=1 SV=2
+KRKSKTDTTQFVSSASMLNEIYQGLVQNESKLKLNEEKVADVRASLDELTHQLELVQNILRTKEELEVQNEADVADMDANMMKPLLSKPRPYLIRSSHDEAQPAPLLLSGASVEPRSVQVLQGRCSRGSRGCFTRRSFFGMGDWGSARWDQSSFVRRRM
+>sp|Q14108|SCRB2_HUMAN Lysosome membrane protein 2 OS=Homo sapiens OX=9606 GN=SCARB2 PE=1 SV=2
+MGRCCFYTAGTLSLLLLVTSVTLLVARVFQKAVDQSIEKKIVLRNGTEAFDSWEKPPLPVYTQFYFFNVTNPEEILRGETPRVEEVGPYTYRELRNKANIQFGDNGTTISAVSNKAYVFERDQSVGDPKIDLIRTLNIPVLTVIEWSQVHFLREIIEAMLKAYQQKLFVTHTVDELLWGYKDEILSLIHVFRPDISPYFGLFYEKNGTNDGDYVFLTGEDSYLNFTKIVEWNGKTSLDWWITDKCNMINGTDGDSFHPLITKDEVLYVFPSDFCRSVYITFSDYESVQGLPAFRYKVPAEILANTSDNAGFCIPEGNCLGSGVLNVSICKNGAPIIMSFPHFYQADERFVSAIEGMHPNQEDHETFVDINPLTGIILKAAKRFQINIYVKKLDDFVETGDIRTMVFPVMYLNESVHIDKETASRLKSMINTTLIITNIPYIIMALGVFFGLVFTWLACKGQGSMDEGTADERAPLIRT
+>DECOY_sp|Q14108|SCRB2_HUMAN Lysosome membrane protein 2 OS=Homo sapiens OX=9606 GN=SCARB2 PE=1 SV=2
+TRILPAREDATGEDMSGQGKCALWTFVLGFFVGLAMIIYPINTIILTTNIMSKLRSATEKDIHVSENLYMVPFVMTRIDGTEVFDDLKKVYINIQFRKAAKLIIGTLPNIDVFTEHDEQNPHMGEIASVFREDAQYFHPFSMIIPAGNKCISVNLVGSGLCNGEPICFGANDSTNALIEAPVKYRFAPLGQVSEYDSFTIYVSRCFDSPFVYLVEDKTILPHFSDGDTGNIMNCKDTIWWDLSTKGNWEVIKTFNLYSDEGTLFVYDGDNTGNKEYFLGFYPSIDPRFVHILSLIEDKYGWLLEDVTHTVFLKQQYAKLMAEIIERLFHVQSWEIVTLVPINLTRILDIKPDGVSQDREFVYAKNSVASITTGNDGFQINAKNRLERYTYPGVEEVRPTEGRLIEEPNTVNFFYFQTYVPLPPKEWSDFAETGNRLVIKKEISQDVAKQFVRAVLLTVSTVLLLLSLTGATYFCCRGM
+>sp|Q14160|SCRIB_HUMAN Protein scribble homolog OS=Homo sapiens OX=9606 GN=SCRIB PE=1 SV=4
+MLKCIPLWRCNRHVESVDKRHCSLQAVPEEIYRYSRSLEELLLDANQLRELPKPFFRLLNLRKLGLSDNEIQRLPPEVANFMQLVELDVSRNDIPEIPESIKFCKALEIADFSGNPLSRLPDGFTQLRSLAHLALNDVSLQALPGDVGNLANLVTLELRENLLKSLPASLSFLVKLEQLDLGGNDLEVLPDTLGALPNLRELWLDRNQLSALPPELGNLRRLVCLDVSENRLEELPAELGGLVLLTDLLLSQNLLRRLPDGIGQLKQLSILKVDQNRLCEVTEAIGDCENLSELILTENLLMALPRSLGKLTKLTNLNVDRNHLEALPPEIGGCVALSVLSLRDNRLAVLPPELAHTTELHVLDVAGNRLQSLPFALTHLNLKALWLAENQAQPMLRFQTEDDARTGEKVLTCYLLPQQPPPSLEDAGQQGSLSETWSDAPPSRVSVIQFLEAPIGDEDAEEAAAEKRGLQRRATPHPSELKVMKRSIEGRRSEACPCQPDSGSPLPAEEEKRLSAESGLSEDSRPSASTVSEAEPEGPSAEAQGGSQQEATTAGGEEDAEEDYQEPTVHFAEDALLPGDDREIEEGQPEAPWTLPGGRQRLIRKDTPHYKKHFKISKLPQPEAVVALLQGMQPDGEGPVAPGGWHNGPHAPWAPRAQKEEEEEEEGSPQEEEVEEEEENRAEEEEASTEEEDKEGAVVSAPSVKGVSFDQANNLLIEPARIEEEELTLTILRQTGGLGISIAGGKGSTPYKGDDEGIFISRVSEEGPAARAGVRVGDKLLEVNGVALQGAEHHEAVEALRGAGTAVQMRVWRERMVEPENAVTITPLRPEDDYSPRERRGGGLRLPLLPPESPGPLRQRHVACLARSERGLGFSIAGGKGSTPYRAGDAGIFVSRIAEGGAAHRAGTLQVGDRVLSINGVDVTEARHDHAVSLLTAASPTIALLLEREAGGPLPPSPLPHSSPPTAAVATTSITTATPGVPGLPSLAPSLLAAALEGPYPVEEIRLPRAGGPLGLSIVGGSDHSSHPFGVQEPGVFISKVLPRGLAARSGLRVGDRILAVNGQDVRDATHQEAVSALLRPCLELSLLVRRDPAPPGLRELCIQKAPGERLGISIRGGARGHAGNPRDPTDEGIFISKVSPTGAAGRDGRLRVGLRLLEVNQQSLLGLTHGEAVQLLRSVGDTLTVLVCDGFEASTDAALEVSPGVIANPFAAGIGHRNSLESISSIDRELSPEGPGKEKELPGQTLHWGPEATEAAGRGLQPLKLDYRALAAVPSAGSVQRVPSGAAGGKMAESPCSPSGQQPPSPPSPDELPANVKQAYRAFAAVPTSHPPEDAPAQPPTPGPAASPEQLSFRERQKYFELEVRVPQAEGPPKRVSLVGADDLRKMQEEEARKLQQKRAQMLREAAEAGAEARLALDGETLGEEEQEDEQPPWASPSPTSRQSPASPPPLGGGAPVRTAKAERRHQERLRVQSPEPPAPERALSPAELRALEAEKRALWRAARMKSLEQDALRAQMVLSRSQEGRGTRGPLERLAEAPSPAPTPSPTPVEDLGPQTSTSPGRLSPDFAEELRSLEPSPSPGPQEEDGEVALVLLGRPSPGAVGPEDVALCSSRRPVRPGRRGLGPVPS
+>DECOY_sp|Q14160|SCRIB_HUMAN Protein scribble homolog OS=Homo sapiens OX=9606 GN=SCRIB PE=1 SV=4
+SPVPGLGRRGPRVPRRSSCLAVDEPGVAGPSPRGLLVLAVEGDEEQPGPSPSPELSRLEEAFDPSLRGPSTSTQPGLDEVPTPSPTPAPSPAEALRELPGRTGRGEQSRSLVMQARLADQELSKMRAARWLARKEAELARLEAPSLAREPAPPEPSQVRLREQHRREAKATRVPAGGGLPPPSAPSQRSTPSPSAWPPQEDEQEEEGLTEGDLALRAEAGAEAAERLMQARKQQLKRAEEEQMKRLDDAGVLSVRKPPGEAQPVRVELEFYKQRERFSLQEPSAAPGPTPPQAPADEPPHSTPVAAFARYAQKVNAPLEDPSPPSPPQQGSPSCPSEAMKGGAAGSPVRQVSGASPVAALARYDLKLPQLGRGAAETAEPGWHLTQGPLEKEKGPGEPSLERDISSISELSNRHGIGAAFPNAIVGPSVELAADTSAEFGDCVLVTLTDGVSRLLQVAEGHTLGLLSQQNVELLRLGVRLRGDRGAAGTPSVKSIFIGEDTPDRPNGAHGRAGGRISIGLREGPAKQICLERLGPPAPDRRVLLSLELCPRLLASVAEQHTADRVDQGNVALIRDGVRLGSRAALGRPLVKSIFVGPEQVGFPHSSHDSGGVISLGLPGGARPLRIEEVPYPGELAAALLSPALSPLGPVGPTATTISTTAVAATPPSSHPLPSPPLPGGAERELLLAITPSAATLLSVAHDHRAETVDVGNISLVRDGVQLTGARHAAGGEAIRSVFIGADGARYPTSGKGGAISFGLGRESRALCAVHRQRLPGPSEPPLLPLRLGGGRRERPSYDDEPRLPTITVANEPEVMRERWVRMQVATGAGRLAEVAEHHEAGQLAVGNVELLKDGVRVGARAAPGEESVRSIFIGEDDGKYPTSGKGGAISIGLGGTQRLITLTLEEEEIRAPEILLNNAQDFSVGKVSPASVVAGEKDEEETSAEEEEARNEEEEEVEEEQPSGEEEEEEEKQARPAWPAHPGNHWGGPAVPGEGDPQMGQLLAVVAEPQPLKSIKFHKKYHPTDKRILRQRGGPLTWPAEPQGEEIERDDGPLLADEAFHVTPEQYDEEADEEGGATTAEQQSGGQAEASPGEPEAESVTSASPRSDESLGSEASLRKEEEAPLPSGSDPQCPCAESRRGEISRKMVKLESPHPTARRQLGRKEAAAEEADEDGIPAELFQIVSVRSPPADSWTESLSGQQGADELSPPPQQPLLYCTLVKEGTRADDETQFRLMPQAQNEALWLAKLNLHTLAFPLSQLRNGAVDLVHLETTHALEPPLVALRNDRLSLVSLAVCGGIEPPLAELHNRDVNLNTLKTLKGLSRPLAMLLNETLILESLNECDGIAETVECLRNQDVKLISLQKLQGIGDPLRRLLNQSLLLDTLLVLGGLEAPLEELRNESVDLCVLRRLNGLEPPLASLQNRDLWLERLNPLAGLTDPLVELDNGGLDLQELKVLFSLSAPLSKLLNERLELTVLNALNGVDGPLAQLSVDNLALHALSRLQTFGDPLRSLPNGSFDAIELAKCFKISEPIEPIDNRSVDLEVLQMFNAVEPPLRQIENDSLGLKRLNLLRFFPKPLERLQNADLLLEELSRSYRYIEEPVAQLSCHRKDVSEVHRNCRWLPICKLM
+>sp|Q12765|SCRN1_HUMAN Secernin-1 OS=Homo sapiens OX=9606 GN=SCRN1 PE=1 SV=2
+MAAAPPSYCFVAFPPRAKDGLVVFGKNSARPRDEVQEVVYFSAADHEPESKVECTYISIDQVPRTYAIMISRPAWLWGAEMGANEHGVCIANEAINTREPAAEIEALLGMDLVRLGLERGETAKEALDVIVSLLEEHGQGGNYFEDANSCHSFQSAYLIVDRDEAWVLETIGKYWAAEKVTEGVRCICSQLSLTTKMDAEHPELRSYAQSQGWWTGEGEFNFSEVFSPVEDHLDCGAGKDSLEKQEESITVQTMMNTLRDKASGVCIDSEFFLTTASGVSVLPQNRSSPCIHYFTGTPDPSRSIFKPFIFVDDVKLVPKTQSPCFGDDDPAKKEPRFQEKPDRRHELYKAHEWARAIIESDQEQGRKLRSTMLELEKQGLEAMEEILTSSEPLDPAEVGDLFYDCVDTEIKFFK
+>DECOY_sp|Q12765|SCRN1_HUMAN Secernin-1 OS=Homo sapiens OX=9606 GN=SCRN1 PE=1 SV=2
+KFFKIETDVCDYFLDGVEAPDLPESSTLIEEMAELGQKELELMTSRLKRGQEQDSEIIARAWEHAKYLEHRRDPKEQFRPEKKAPDDDGFCPSQTKPVLKVDDVFIFPKFISRSPDPTGTFYHICPSSRNQPLVSVGSATTLFFESDICVGSAKDRLTNMMTQVTISEEQKELSDKGAGCDLHDEVPSFVESFNFEGEGTWWGQSQAYSRLEPHEADMKTTLSLQSCICRVGETVKEAAWYKGITELVWAEDRDVILYASQFSHCSNADEFYNGGQGHEELLSVIVDLAEKATEGRELGLRVLDMGLLAEIEAAPERTNIAENAICVGHENAGMEAGWLWAPRSIMIAYTRPVQDISIYTCEVKSEPEHDAASFYVVEQVEDRPRASNKGFVVLGDKARPPFAVFCYSPPAAAM
+>sp|Q0VDG4|SCRN3_HUMAN Secernin-3 OS=Homo sapiens OX=9606 GN=SCRN3 PE=1 SV=1
+MEPFSCDTFVALPPATVDNRIIFGKNSDRLYDEVQEVVYFPAVVHDNLGERLKCTYIEIDQVPETYAVVLSRPAWLWGAEMGANEHGVCIGNEAVWGREEVCDEEALLGMDLVRLGLERADTAEKALNVIVDLLEKYGQGGNCTEGRMVFSYHNSFLIADRNEAWILETAGKYWAAEKVQEGVRNISNQLSITTKIAREHPDMRNYAKRKGWWDGKKEFDFAAAYSYLDTAKMMTSSGRYCEGYKLLNKHKGNITFETMMEILRDKPSGINMEGEFLTTASMVSILPQDSSLPCIHFFTGTPDPERSVFKPFIFVPHISQLLDTSSPTFELEDLVKKKSHFKPDRRHPLYQKHQQALEVVNNNEEKAKIMLDNMRKLEKELFREMESILQNKHLDVEKIVNLFPQCTKDEIQIYQSNLSVKVSS
+>DECOY_sp|Q0VDG4|SCRN3_HUMAN Secernin-3 OS=Homo sapiens OX=9606 GN=SCRN3 PE=1 SV=1
+SSVKVSLNSQYIQIEDKTCQPFLNVIKEVDLHKNQLISEMERFLEKELKRMNDLMIKAKEENNNVVELAQQHKQYLPHRRDPKFHSKKKVLDELEFTPSSTDLLQSIHPVFIFPKFVSREPDPTGTFFHICPLSSDQPLISVMSATTLFEGEMNIGSPKDRLIEMMTEFTINGKHKNLLKYGECYRGSSTMMKATDLYSYAAAFDFEKKGDWWGKRKAYNRMDPHERAIKTTISLQNSINRVGEQVKEAAWYKGATELIWAENRDAILFSNHYSFVMRGETCNGGQGYKELLDVIVNLAKEATDARELGLRVLDMGLLAEEDCVEERGWVAENGICVGHENAGMEAGWLWAPRSLVVAYTEPVQDIEIYTCKLREGLNDHVVAPFYVVEQVEDYLRDSNKGFIIRNDVTAPPLAVFTDCSFPEM
+>sp|P18827|SDC1_HUMAN Syndecan-1 OS=Homo sapiens OX=9606 GN=SDC1 PE=1 SV=3
+MRRAALWLWLCALALSLQPALPQIVATNLPPEDQDGSGDDSDNFSGSGAGALQDITLSQQTPSTWKDTQLLTAIPTSPEPTGLEATAASTSTLPAGEGPKEGEAVVLPEVEPGLTAREQEATPRPRETTQLPTTHLASTTTATTAQEPATSHPHRDMQPGHHETSTPAGPSQADLHTPHTEDGGPSATERAAEDGASSQLPAAEGSGEQDFTFETSGENTAVVAVEPDRRNQSPVDQGATGASQGLLDRKEVLGGVIAGGLVGLIFAVCLVGFMLYRMKKKDEGSYSLEEPKQANGGAYQKPTKQEEFYA
+>DECOY_sp|P18827|SDC1_HUMAN Syndecan-1 OS=Homo sapiens OX=9606 GN=SDC1 PE=1 SV=3
+AYFEEQKTPKQYAGGNAQKPEELSYSGEDKKKMRYLMFGVLCVAFILGVLGGAIVGGLVEKRDLLGQSAGTAGQDVPSQNRRDPEVAVVATNEGSTEFTFDQEGSGEAAPLQSSAGDEAARETASPGGDETHPTHLDAQSPGAPTSTEHHGPQMDRHPHSTAPEQATTATTTSALHTTPLQTTERPRPTAEQERATLGPEVEPLVVAEGEKPGEGAPLTSTSAATAELGTPEPSTPIATLLQTDKWTSPTQQSLTIDQLAGAGSGSFNDSDDGSGDQDEPPLNTAVIQPLAPQLSLALACLWLWLAARRM
+>sp|Q9NRP4|SDHF3_HUMAN Succinate dehydrogenase assembly factor 3, mitochondrial OS=Homo sapiens OX=9606 GN=SDHAF3 PE=1 SV=1
+MPGRHVSRVRALYKRVLQLHRVLPPDLKSLGDQYVKDEFRRHKTVGSDEAQRFLQEWEVYATALLQQANENRQNSTGKACFGTFLPEEKLNDFRDEQIGQLQELMQEATKPNRQFSISESMKPKF
+>DECOY_sp|Q9NRP4|SDHF3_HUMAN Succinate dehydrogenase assembly factor 3, mitochondrial OS=Homo sapiens OX=9606 GN=SDHAF3 PE=1 SV=1
+FKPKMSESISFQRNPKTAEQMLEQLQGIQEDRFDNLKEEPLFTGFCAKGTSNQRNENAQQLLATAYVEWEQLFRQAEDSGVTKHRRFEDKVYQDGLSKLDPPLVRHLQLVRKYLARVRSVHRGPM
+>sp|P20132|SDHL_HUMAN L-serine dehydratase/L-threonine deaminase OS=Homo sapiens OX=9606 GN=SDS PE=1 SV=2
+MMSGEPLHVKTPIRDSMALSKMAGTSVYLKMDSAQPSGSFKIRGIGHFCKRWAKQGCAHFVCSSAGNAGMAAAYAARQLGVPATIVVPSTTPALTIERLKNEGATVKVVGELLDEAFELAKALAKNNPGWVYIPPFDDPLIWEGHASIVKELKETLWEKPGAIALSVGGGGLLCGVVQGLQEVGWGDVPVIAMETFGAHSFHAATTAGKLVSLPKITSVAKALGVKTVGAQALKLFQEHPIFSEVISDQEAVAAIEKFVDDEKILVEPACGAALAAVYSHVIQKLQLEGNLRTPLPSLVVIVCGGSNISLAQLRALKEQLGMTNRLPK
+>DECOY_sp|P20132|SDHL_HUMAN L-serine dehydratase/L-threonine deaminase OS=Homo sapiens OX=9606 GN=SDS PE=1 SV=2
+KPLRNTMGLQEKLARLQALSINSGGCVIVVLSPLPTRLNGELQLKQIVHSYVAALAAGCAPEVLIKEDDVFKEIAAVAEQDSIVESFIPHEQFLKLAQAGVTKVGLAKAVSTIKPLSVLKGATTAAHFSHAGFTEMAIVPVDGWGVEQLGQVVGCLLGGGGVSLAIAGPKEWLTEKLEKVISAHGEWILPDDFPPIYVWGPNNKALAKALEFAEDLLEGVVKVTAGENKLREITLAPTTSPVVITAPVGLQRAAYAAAMGANGASSCVFHACGQKAWRKCFHGIGRIKFSGSPQASDMKLYVSTGAMKSLAMSDRIPTKVHLPEGSMM
+>sp|Q58EX2|SDK2_HUMAN Protein sidekick-2 OS=Homo sapiens OX=9606 GN=SDK2 PE=1 SV=3
+MWGLLIWTLLALHQIRAARAQDDVSPYFKTEPVRTQVHLEGNRLVLTCMAEGSWPLEFKWLHNNRELTKFSLEYRYMITSLDRTHAGFYRCIVRNRMGALLQRQTEVQVAYMGSFEEGEKHQSVSHGEAAVIRAPRIASFPQPQVTWFRDGRKIPPSSRIAITLENTLVILSTVAPDAGRYYVQAVNDKNGDNKTSQPITLTVENVGGPADPIAPTIIIPPKNTSVVAGTSEVTLECVANARPLIKLHIIWKKDGVLLSGGISDHNRRLTIPNPTGSDAGYYECEAVLRSSSVPSVVRGAYLSVLEPPQFVKEPERHITAEMEKVVDIPCQAKGVPPPSITWYKDAAVVEVEKLTRFRQRNDGGLQISGLVPDDTGMFQCFARNAAGEVQTSTYLAVTSIAPNITRGPLDSTVIDGMSVVLACETSGAPRPAITWQKGERILASGSVQLPRFTPLESGSLLISPTHISDAGTYTCLATNSRGVDEASADLVVWARTRITKPPQDQSVIKGTQASMVCGVTHDPRVTIRYIWEKDGATLGTESHPRIRLDRNGSLHISQTWSGDIGTYTCRVISAGGNDSRSAHLRVRQLPHAPEHPVATLSTVERRAINLTWTKPFDGNSPLIRYILEMSENNAPWTVLLASVDPKATSVTVKGLVPARSYQFRLCAVNDVGKGQFSKDTERVSLPEEPPTAPPQNVIASGRTNQSIMIQWQPPPESHQNGILKGYIIRYCLAGLPVGYQFKNITDADVNNLLLEDLIIWTNYEIEVAAYNSAGLGVYSSKVTEWTLQGVPTVPPGNVHAEATNSTTIRFTWNAPSPQFINGINQGYKLIAWEPEQEEEVTMVTARPNFQDSIHVGFVSGLKKFTEYFTSVLCFTTPGDGPRSTPQLVRTHEDVPGPVGHLSFSEILDTSLKVSWQEPGEKNGILTGYRISWEEYNRTNTRVTHYLPNVTLEYRVTGLTALTTYTIEVAAMTSKGQGQVSASTISSGVPPELPGPPTNLGISNIGPRSVTLQFRPGYDGKTSISRWLVEAQVGVVGEGEEWLLIHQLSNEPDARSMEVPDLNPFTCYSFRMRQVNIVGTSPPSQPSRKIQTLQAPPDMAPANVSLRTASETSLWLRWMPLPEMEYNGNPESVGYKIKYSRSDGHGKTLSHVVQDRVERDYTIEDLEEWTEYRVQVQAFNAIGSGPWSQTVVGRTRESVPSSGPTNVSALATTSSSMLVRWSEVPEADRNGLVLGYKVMYKEKDSDTQPRFWLVEGNSSRSAQLTGLGKYVLYEVQVLAFTRIGDGSPSHPPILERTLDDVPGPPMGILFPEVRTTSVRLIWQPPAAPNGIILAYQITHRLNTTTANTATVEVLAPSARQYTATGLKPESVYLFRITAQTRKGWGEAAEALVVTTEKRDRPQPPSRPMVQQEDVRARSVLLSWEPGSDGLSPVRYYTIQTRELPSGRWALHSASVSHNASSFIVDRLKPFTSYKFRVKATNDIGDSEFSEESESLTTLQAAPDEAPTILSVTPHTTTSVLIRWQPPAEDKINGILLGFRIRYRELLYEGLRGFTLRGINNPGATWAELTSMYSMRNLSRPSLTQYELDNLNKHRRYEIRMSVYNAVGEGPSSPPQEVFVGEAVPTAAPRNVVVHGATATQLDVTWEPPPLDSQNGDIQGYKIYFWEAQRGNLTERVKTLFLAENSVKLKNLTGYTAYMVSVAAFNAAGDGPRSTPTQGQTQQAAPSAPSSVKFSELTTTSVNVSWEAPQFPNGILEGYRLVYEPCSPVDGVSKIVTVDVKGNSPLWLKVKDLAEGVTYRFRIRAKTFTYGPEIEANVTTGPGEGAPGPPGVPIIVRYSSAIAIHWSSGDPGKGPITRYVIEARPSDEGLWDILIKDIPKEVSSYTFSMDILKPGVSYDFRVIAVNDYGFGTPSSPSQSVPAQKANPFYEEWWFLVVIALVGLIFILLLVFVLIIRGQSKKYAKKTDSGNSAKSGALGHSEMMSLDESSFPALELNNRRLSVKNSFCRKNGLYTRSPPRPSPGSLHYSDEDVTKYNDLIPAESSSLTEKPSEISDSQGSDSEYEVDSNHQKAHSFVNHYISDPTYYNSWRRQQKGISRAQAYSYTESDSGEPDHTTVTNSTSTQQGSLFRPKASRTPTPQNPPNPPSQQSTLYRPPSSLAPGSRAPIAGFSSFV
+>DECOY_sp|Q58EX2|SDK2_HUMAN Protein sidekick-2 OS=Homo sapiens OX=9606 GN=SDK2 PE=1 SV=3
+VFSSFGAIPARSGPALSSPPRYLTSQQSPPNPPNQPTPTRSAKPRFLSGQQTSTSNTVTTHDPEGSDSETYSYAQARSIGKQQRRWSNYYTPDSIYHNVFSHAKQHNSDVEYESDSGQSDSIESPKETLSSSEAPILDNYKTVDEDSYHLSGPSPRPPSRTYLGNKRCFSNKVSLRRNNLELAPFSSEDLSMMESHGLAGSKASNGSDTKKAYKKSQGRIILVFVLLLIFILGVLAIVVLFWWEEYFPNAKQAPVSQSPSSPTGFGYDNVAIVRFDYSVGPKLIDMSFTYSSVEKPIDKILIDWLGEDSPRAEIVYRTIPGKGPDGSSWHIAIASSYRVIIPVGPPGPAGEGPGTTVNAEIEPGYTFTKARIRFRYTVGEALDKVKLWLPSNGKVDVTVIKSVGDVPSCPEYVLRYGELIGNPFQPAEWSVNVSTTTLESFKVSSPASPAAQQTQGQTPTSRPGDGAANFAAVSVMYATYGTLNKLKVSNEALFLTKVRETLNGRQAEWFYIKYGQIDGNQSDLPPPEWTVDLQTATAGHVVVNRPAATPVAEGVFVEQPPSSPGEGVANYVSMRIEYRRHKNLNDLEYQTLSPRSLNRMSYMSTLEAWTAGPNNIGRLTFGRLGEYLLERYRIRFGLLIGNIKDEAPPQWRILVSTTTHPTVSLITPAEDPAAQLTTLSESEESFESDGIDNTAKVRFKYSTFPKLRDVIFSSANHSVSASHLAWRGSPLERTQITYYRVPSLGDSGPEWSLLVSRARVDEQQVMPRSPPQPRDRKETTVVLAEAAEGWGKRTQATIRFLYVSEPKLGTATYQRASPALVEVTATNATTTNLRHTIQYALIIGNPAAPPQWILRVSTTRVEPFLIGMPPGPVDDLTRELIPPHSPSGDGIRTFALVQVEYLVYKGLGTLQASRSSNGEVLWFRPQTDSDKEKYMVKYGLVLGNRDAEPVESWRVLMSSSTTALASVNTPGSSPVSERTRGVVTQSWPGSGIANFAQVQVRYETWEELDEITYDREVRDQVVHSLTKGHGDSRSYKIKYGVSEPNGNYEMEPLPMWRLWLSTESATRLSVNAPAMDPPAQLTQIKRSPQSPPSTGVINVQRMRFSYCTFPNLDPVEMSRADPENSLQHILLWEEGEGVVGVQAEVLWRSISTKGDYGPRFQLTVSRPGINSIGLNTPPGPLEPPVGSSITSASVQGQGKSTMAAVEITYTTLATLGTVRYELTVNPLYHTVRTNTRNYEEWSIRYGTLIGNKEGPEQWSVKLSTDLIESFSLHGVPGPVDEHTRVLQPTSRPGDGPTTFCLVSTFYETFKKLGSVFGVHISDQFNPRATVMTVEEEQEPEWAILKYGQNIGNIFQPSPANWTFRITTSNTAEAHVNGPPVTPVGQLTWETVKSSYVGLGASNYAAVEIEYNTWIILDELLLNNVDADTINKFQYGVPLGALCYRIIYGKLIGNQHSEPPPQWQIMISQNTRGSAIVNQPPATPPEEPLSVRETDKSFQGKGVDNVACLRFQYSRAPVLGKVTVSTAKPDVSALLVTWPANNESMELIYRILPSNGDFPKTWTLNIARREVTSLTAVPHEPAHPLQRVRLHASRSDNGGASIVRCTYTGIDGSWTQSIHLSGNRDLRIRPHSETGLTAGDKEWIYRITVRPDHTVGCVMSAQTGKIVSQDQPPKTIRTRAWVVLDASAEDVGRSNTALCTYTGADSIHTPSILLSGSELPTFRPLQVSGSALIREGKQWTIAPRPAGSTECALVVSMGDIVTSDLPGRTINPAISTVALYTSTQVEGAANRAFCQFMGTDDPVLGSIQLGGDNRQRFRTLKEVEVVAADKYWTISPPPVGKAQCPIDVVKEMEATIHREPEKVFQPPELVSLYAGRVVSPVSSSRLVAECEYYGADSGTPNPITLRRNHDSIGGSLLVGDKKWIIHLKILPRANAVCELTVESTGAVVSTNKPPIIITPAIPDAPGGVNEVTLTIPQSTKNDGNKDNVAQVYYRGADPAVTSLIVLTNELTIAIRSSPPIKRGDRFWTVQPQPFSAIRPARIVAAEGHSVSQHKEGEEFSGMYAVQVETQRQLLAGMRNRVICRYFGAHTRDLSTIMYRYELSFKTLERNNHLWKFELPWSGEAMCTLVLRNGELHVQTRVPETKFYPSVDDQARAARIQHLALLTWILLGWM
+>sp|Q6UXD5|SE6L2_HUMAN Seizure 6-like protein 2 OS=Homo sapiens OX=9606 GN=SEZ6L2 PE=1 SV=2
+MGTPRAQHPPPPQLLFLILLSCPWIQGLPLKEEEILPEPGSETPTVASEALAELLHGALLRRGPEMGYLPGSDRDPTLATPPAGQTLAVPSLPRATEPGTGPLTTAVTPNGVRGAGPTAPELLTPPPGTTAPPPPSPASPGPPLGPEGGEEETTTTIITTTTVTTTVTSPVLCNNNISEGEGYVESPDLGSPVSRTLGLLDCTYSIHVYPGYGIEIQVQTLNLSQEEELLVLAGGGSPGLAPRLLANSSMLGEGQVLRSPTNRLLLHFQSPRVPRGGGFRIHYQAYLLSCGFPPRPAHGDVSVTDLHPGGTATFHCDSGYQLQGEETLICLNGTRPSWNGETPSCMASCGGTIHNATLGRIVSPEPGGAVGPNLTCRWVIEAAEGRRLHLHFERVSLDEDNDRLMVRSGGSPLSPVIYDSDMDDVPERGLISDAQSLYVELLSETPANPLLLSLRFEAFEEDRCFAPFLAHGNVTTTDPEYRPGALATFSCLPGYALEPPGPPNAIECVDPTEPHWNDTEPACKAMCGGELSEPAGVVLSPDWPQSYSPGQDCVWGVHVQEEKRILLQVEILNVREGDMLTLFDGDGPSARVLAQLRGPQPRRRLLSSGPDLTLQFQAPPGPPNPGLGQGFVLHFKEVPRNDTCPELPPPEWGWRTASHGDLIRGTVLTYQCEPGYELLGSDILTCQWDLSWSAAPPACQKIMTCADPGEIANGHRTASDAGFPVGSHVQYRCLPGYSLEGAAMLTCYSRDTGTPKWSDRVPKCALKYEPCLNPGVPENGYQTLYKHHYQAGESLRFFCYEGFELIGEVTITCVPGHPSQWTSQPPLCKVTQTTDPSRQLEGGNLALAILLPLGLVIVLGSGVYIYYTKLQGKSLFGFSGSHSYSPITVESDFSNPLYEAGDTREYEVSI
+>DECOY_sp|Q6UXD5|SE6L2_HUMAN Seizure 6-like protein 2 OS=Homo sapiens OX=9606 GN=SEZ6L2 PE=1 SV=2
+ISVEYERTDGAEYLPNSFDSEVTIPSYSHSGSFGFLSKGQLKTYYIYVGSGLVIVLGLPLLIALALNGGELQRSPDTTQTVKCLPPQSTWQSPHGPVCTITVEGILEFGEYCFFRLSEGAQYHHKYLTQYGNEPVGPNLCPEYKLACKPVRDSWKPTGTDRSYCTLMAAGELSYGPLCRYQVHSGVPFGADSATRHGNAIEGPDACTMIKQCAPPAASWSLDWQCTLIDSGLLEYGPECQYTLVTGRILDGHSATRWGWEPPPLEPCTDNRPVEKFHLVFGQGLGPNPPGPPAQFQLTLDPGSSLLRRRPQPGRLQALVRASPGDGDFLTLMDGERVNLIEVQLLIRKEEQVHVGWVCDQGPSYSQPWDPSLVVGAPESLEGGCMAKCAPETDNWHPETPDVCEIANPPGPPELAYGPLCSFTALAGPRYEPDTTTVNGHALFPAFCRDEEFAEFRLSLLLPNAPTESLLEVYLSQADSILGREPVDDMDSDYIVPSLPSGGSRVMLRDNDEDLSVREFHLHLRRGEAAEIVWRCTLNPGVAGGPEPSVIRGLTANHITGGCSAMCSPTEGNWSPRTGNLCILTEEGQLQYGSDCHFTATGGPHLDTVSVDGHAPRPPFGCSLLYAQYHIRFGGGRPVRPSQFHLLLRNTPSRLVQGEGLMSSNALLRPALGPSGGGALVLLEEEQSLNLTQVQIEIGYGPYVHISYTCDLLGLTRSVPSGLDPSEVYGEGESINNNCLVPSTVTTTVTTTTIITTTTEEEGGEPGLPPGPSAPSPPPPATTGPPPTLLEPATPGAGRVGNPTVATTLPGTGPETARPLSPVALTQGAPPTALTPDRDSGPLYGMEPGRRLLAGHLLEALAESAVTPTESGPEPLIEEEKLPLGQIWPCSLLILFLLQPPPPHQARPTGM
+>sp|Q9HB31|SEBOX_HUMAN Homeobox protein SEBOX OS=Homo sapiens OX=9606 GN=SEBOX PE=3 SV=2
+MGGSGVGTAWHGPLARPSGTLPFASSMPSPVDASSADGGSGLGSHRRKRTTFSKGQLLELERAFAAWPYPNISTHEHLAWVTCLPEAKVQVWFQKRWAKIIKNRKSGILSPGSECPQSSCSLPDTLQQPWDPQMPGQPPPSSGTPQRTSVCRHSSCPAPGLSPRQGWEGAKAVAPWGSAGASEVHPSLERATPQTSLGSLSDLIYALAIVVNVDHS
+>DECOY_sp|Q9HB31|SEBOX_HUMAN Homeobox protein SEBOX OS=Homo sapiens OX=9606 GN=SEBOX PE=3 SV=2
+SHDVNVVIALAYILDSLSGLSTQPTARELSPHVESAGASGWPAVAKAGEWGQRPSLGPAPCSSHRCVSTRQPTGSSPPPQGPMQPDWPQQLTDPLSCSSQPCESGPSLIGSKRNKIIKAWRKQFWVQVKAEPLCTVWALHEHTSINPYPWAAFARELELLQGKSFTTRKRRHSGLGSGGDASSADVPSPMSSAFPLTGSPRALPGHWATGVGSGGM
+>sp|Q96T21|SEBP2_HUMAN Selenocysteine insertion sequence-binding protein 2 OS=Homo sapiens OX=9606 GN=SECISBP2 PE=1 SV=2
+MASEGPREPESEGIKLSADVKPFVPRFAGLNVAWLESSEACVFPSSAATYYPFVQEPPVTEQKIYTEDMAFGASTFPPQYLSSEITLHPYAYSPYTLDSTQNVYSVPGSQYLYNQPSCYRGFQTVKHRNENTCPLPQEMKALFKKKTYDEKKTYDQQKFDSERADGTISSEIKSARGSHHLSIYAENSLKSDGYHKRTDRKSRIIAKNVSTSKPEFEFTTLDFPELQGAENNMSEIQKQPKWGPVHSVSTDISLLREVVKPAAVLSKGEIVVKNNPNESVTANAATNSPSCTRELSWTPMGYVVRQTLSTELSAAPKNVTSMINLKTIASSADPKNVSIPSSEALSSDPSYNKEKHIIHPTQKSKASQGSDLEQNEASRKNKKKKEKSTSKYEVLTVQEPPRIEDAEEFPNLAVASERRDRIETPKFQSKQQPQDNFKNNVKKSQLPVQLDLGGMLTALEKKQHSQHAKQSSKPVVVSVGAVPVLSKECASGERGRRMSQMKTPHNPLDSSAPLMKKGKQREIPKAKKPTSLKKIILKERQERKQRLQENAVSPAFTSDDTQDGESGGDDQFPEQAELSGPEGMDELISTPSVEDKSEEPPGTELQRDTEASHLAPNHTTFPKIHSRRFRDYCSQMLSKEVDACVTDLLKELVRFQDRMYQKDPVKAKTKRRLVLGLREVLKHLKLKKLKCVIISPNCEKIQSKGGLDDTLHTIIDYACEQNIPFVFALNRKALGRSLNKAVPVSVVGIFSYDGAQDQFHKMVELTVAARQAYKTMLENVQQELVGEPRPQAPPSLPTQGPSCPAEDGPPALKEKEEPHYIEIWKKHLEAYSGCTLELEESLEASTSQMMNLNL
+>DECOY_sp|Q96T21|SEBP2_HUMAN Selenocysteine insertion sequence-binding protein 2 OS=Homo sapiens OX=9606 GN=SECISBP2 PE=1 SV=2
+LNLNMMQSTSAELSEELELTCGSYAELHKKWIEIYHPEEKEKLAPPGDEAPCSPGQTPLSPPAQPRPEGVLEQQVNELMTKYAQRAAVTLEVMKHFQDQAGDYSFIGVVSVPVAKNLSRGLAKRNLAFVFPINQECAYDIITHLTDDLGGKSQIKECNPSIIVCKLKKLKLHKLVERLGLVLRRKTKAKVPDKQYMRDQFRVLEKLLDTVCADVEKSLMQSCYDRFRRSHIKPFTTHNPALHSAETDRQLETGPPEESKDEVSPTSILEDMGEPGSLEAQEPFQDDGGSEGDQTDDSTFAPSVANEQLRQKREQREKLIIKKLSTPKKAKPIERQKGKKMLPASSDLPNHPTKMQSMRRGREGSACEKSLVPVAGVSVVVPKSSQKAHQSHQKKELATLMGGLDLQVPLQSKKVNNKFNDQPQQKSQFKPTEIRDRRESAVALNPFEEADEIRPPEQVTLVEYKSTSKEKKKKNKRSAENQELDSGQSAKSKQTPHIIHKEKNYSPDSSLAESSPISVNKPDASSAITKLNIMSTVNKPAASLETSLTQRVVYGMPTWSLERTCSPSNTAANATVSENPNNKVVIEGKSLVAAPKVVERLLSIDTSVSHVPGWKPQKQIESMNNEAGQLEPFDLTTFEFEPKSTSVNKAIIRSKRDTRKHYGDSKLSNEAYISLHHSGRASKIESSITGDARESDFKQQDYTKKEDYTKKKFLAKMEQPLPCTNENRHKVTQFGRYCSPQNYLYQSGPVSYVNQTSDLTYPSYAYPHLTIESSLYQPPFTSAGFAMDETYIKQETVPPEQVFPYYTAASSPFVCAESSELWAVNLGAFRPVFPKVDASLKIGESEPERPGESAM
+>sp|Q99442|SEC62_HUMAN Translocation protein SEC62 OS=Homo sapiens OX=9606 GN=SEC62 PE=1 SV=1
+MAERRRHKKRIQEVGEPSKEEKAVAKYLRFNCPTKSTNMMGHRVDYFIASKAVDCLLDSKWAKAKKGEEALFTTRESVVDYCNRLLKKQFFHRALKVMKMKYDKDIKKEKDKGKAESGKEEDKKSKKENIKDEKTKKEKEKKKDGEKEESKKEETPGTPKKKETKKKFKLEPHDDQVFLDGNEVYVWIYDPVHFKTFVMGLILVIAVIAATLFPLWPAEMRVGVYYLSVGAGCFVASILLLAVARCILFLIIWLITGGRHHFWFLPNLTADVGFIDSFRPLYTHEYKGPKADLKKDEKSETKKQQKSDSEEKSDSEKKEDEEGKVGPGNHGTEGSGGERHSDTDSDRREDDRSQHSSGNGNDFEMITKEELEQQTDGDCEEDEEEENDGETPKSSHEKS
+>DECOY_sp|Q99442|SEC62_HUMAN Translocation protein SEC62 OS=Homo sapiens OX=9606 GN=SEC62 PE=1 SV=1
+SKEHSSKPTEGDNEEEEDEECDGDTQQELEEKTIMEFDNGNGSSHQSRDDERRDSDTDSHREGGSGETGHNGPGVKGEEDEKKESDSKEESDSKQQKKTESKEDKKLDAKPGKYEHTYLPRFSDIFGVDATLNPLFWFHHRGGTILWIILFLICRAVALLLISAVFCGAGVSLYYVGVRMEAPWLPFLTAAIVAIVLILGMVFTKFHVPDYIWVYVENGDLFVQDDHPELKFKKKTEKKKPTGPTEEKKSEEKEGDKKKEKEKKTKEDKINEKKSKKDEEKGSEAKGKDKEKKIDKDYKMKMVKLARHFFQKKLLRNCYDVVSERTTFLAEEGKKAKAWKSDLLCDVAKSAIFYDVRHGMMNTSKTPCNFRLYKAVAKEEKSPEGVEQIRKKHRRREAM
+>sp|Q9UGP8|SEC63_HUMAN Translocation protein SEC63 homolog OS=Homo sapiens OX=9606 GN=SEC63 PE=1 SV=2
+MAGQQFQYDDSGNTFFYFLTSFVGLIVIPATYYLWPRDQNAEQIRLKNIRKVYGRCMWYRLRLLKPQPNIIPTVKKIVLLAGWALFLFLAYKVSKTDREYQEYNPYEVLNLDPGATVAEIKKQYRLLSLKYHPDKGGDEVMFMRIAKAYAALTDEESRKNWEEFGNPDGPQATSFGIALPAWIVDQKNSILVLLVYGLAFMVILPVVVGSWWYRSIRYSGDQILIRTTQIYTYFVYKTRNMDMKRLIMVLAGASEFDPQYNKDATSRPTDNILIPQLIREIGSINLKKNEPPLTCPYSLKARVLLLSHLARMKIPETLEEDQQFMLKKCPALLQEMVNVICQLIVMARNREEREFRAPTLASLENCMKLSQMAVQGLQQFKSPLLQLPHIEEDNLRRVSNHKKYKIKTIQDLVSLKESDRHTLLHFLEDEKYEEVMAVLGSFPYVTMDIKSQVLDDEDSNNITVGSLVTVLVKLTRQTMAEVFEKEQSICAAEEQPAEDGQGETNKNRTKGGWQQKSKGPKKTAKSKKKKPLKKKPTPVLLPQSKQQKQKQANGVVGNEAAVKEDEEEVSDKGSDSEEEETNRDSQSEKDDGSDRDSDREQDEKQNKDDEAEWQELQQSIQRKERALLETKSKITHPVYSLYFPEEKQEWWWLYIADRKEQTLISMPYHVCTLKDTEEVELKFPAPGKPGNYQYTVFLRSDSYMGLDQIKPLKLEVHEAKPVPENHPQWDTAIEGDEDQEDSEGFEDSFEEEEEEEEDDD
+>DECOY_sp|Q9UGP8|SEC63_HUMAN Translocation protein SEC63 homolog OS=Homo sapiens OX=9606 GN=SEC63 PE=1 SV=2
+DDDEEEEEEEEFSDEFGESDEQDEDGEIATDWQPHNEPVPKAEHVELKLPKIQDLGMYSDSRLFVTYQYNGPKGPAPFKLEVEETDKLTCVHYPMSILTQEKRDAIYLWWWEQKEEPFYLSYVPHTIKSKTELLAREKRQISQQLEQWEAEDDKNQKEDQERDSDRDSGDDKESQSDRNTEEEESDSGKDSVEEEDEKVAAENGVVGNAQKQKQQKSQPLLVPTPKKKLPKKKKSKATKKPGKSKQQWGGKTRNKNTEGQGDEAPQEEAACISQEKEFVEAMTQRTLKVLVTVLSGVTINNSDEDDLVQSKIDMTVYPFSGLVAMVEEYKEDELFHLLTHRDSEKLSVLDQITKIKYKKHNSVRRLNDEEIHPLQLLPSKFQQLGQVAMQSLKMCNELSALTPARFEREERNRAMVILQCIVNVMEQLLAPCKKLMFQQDEELTEPIKMRALHSLLLVRAKLSYPCTLPPENKKLNISGIERILQPILINDTPRSTADKNYQPDFESAGALVMILRKMDMNRTKYVFYTYIQTTRILIQDGSYRISRYWWSGVVVPLIVMFALGYVLLVLISNKQDVIWAPLAIGFSTAQPGDPNGFEEWNKRSEEDTLAAYAKAIRMFMVEDGGKDPHYKLSLLRYQKKIEAVTAGPDLNLVEYPNYEQYERDTKSVKYALFLFLAWGALLVIKKVTPIINPQPKLLRLRYWMCRGYVKRINKLRIQEANQDRPWLYYTAPIVILGVFSTLFYFFTNGSDDYQFQQGAM
+>sp|Q96EE3|SEH1_HUMAN Nucleoporin SEH1 OS=Homo sapiens OX=9606 GN=SEH1L PE=1 SV=3
+MFVARSIAADHKDLIHDVSFDFHGRRMATCSSDQSVKVWDKSESGDWHCTASWKTHSGSVWRVTWAHPEFGQVLASCSFDRTAAVWEEIVGESNDKLRGQSHWVKRTTLVDSRTSVTDVKFAPKHMGLMLATCSADGIVRIYEAPDVMNLSQWSLQHEISCKLSCSCISWNPSSSRAHSPMIAVGSDDSSPNAMAKVQIFEYNENTRKYAKAETLMTVTDPVHDIAFAPNLGRSFHILAIATKDVRIFTLKPVRKELTSSGGPTKFEIHIVAQFDNHNSQVWRVSWNITGTVLASSGDDGCVRLWKANYMDNWKCTGILKGNGSPVNGSSQQGTSNPSLGSTIPSLQNSLNGSSAGRKHS
+>DECOY_sp|Q96EE3|SEH1_HUMAN Nucleoporin SEH1 OS=Homo sapiens OX=9606 GN=SEH1L PE=1 SV=3
+SHKRGASSGNLSNQLSPITSGLSPNSTGQQSSGNVPSGNGKLIGTCKWNDMYNAKWLRVCGDDGSSALVTGTINWSVRWVQSNHNDFQAVIHIEFKTPGGSSTLEKRVPKLTFIRVDKTAIALIHFSRGLNPAFAIDHVPDTVTMLTEAKAYKRTNENYEFIQVKAMANPSSDDSGVAIMPSHARSSSPNWSICSCSLKCSIEHQLSWQSLNMVDPAEYIRVIGDASCTALMLGMHKPAFKVDTVSTRSDVLTTRKVWHSQGRLKDNSEGVIEEWVAATRDFSCSALVQGFEPHAWTVRWVSGSHTKWSATCHWDGSESKDWVKVSQDSSCTAMRRGHFDFSVDHILDKHDAAISRAVFM
+>sp|Q13214|SEM3B_HUMAN Semaphorin-3B OS=Homo sapiens OX=9606 GN=SEMA3B PE=2 SV=1
+MGRAGAAAVIPGLALLWAVGLGSAAPSPPRLRLSFQELQAWHGLQTFSLERTCCYQALLVDEERGRLFVGAENHVASLNLDNISKRAKKLAWPAPVEWREECNWAGKDIGTECMNFVKLLHAYNRTHLLACGTGAFHPTCAFVEVGHRAEEPVLRLDPGRIEDGKGKSPYDPRHRAASVLVGEELYSGVAADLMGRDFTIFRSLGQRPSLRTEPHDSRWLNEPKFVKVFWIPESENPDDDKIYFFFRETAVEAAPALGRLSVSRVGQICRNDVGGQRSLVNKWTTFLKARLVCSVPGVEGDTHFDQLQDVFLLSSRDHRTPLLYAVFSTSSSIFQGSAVCVYSMNDVRRAFLGPFAHKEGPMHQWVSYQGRVPYPRPGMCPSKTFGTFSSTKDFPDDVIQFARNHPLMYNSVLPTGGRPLFLQVGANYTFTQIAADRVAAADGHYDVLFIGTDVGTVLKVISVPKGSRPSAEGLLLEELHVFEDSAAVTSMQISSKRHQLYVASRSAVAQIALHRCAAHGRVCTECCLARDPYCAWDGVACTRFQPSAKRRFRRQDVRNGDPSTLCSGDSSRPALLEHKVFGVEGSSAFLECEPRSLQARVEWTFQRAGVTAHTQVLAEERTERTARGLLLRRLRRRDSGVYLCAAVEQGFTQPLRRLSLHVLSATQAERLARAEEAAPAAPPGPKLWYRDFLQLVEPGGGGSANSLRMCRPQPALQSLPLESRRKGRNRRTHAPEPRAERGPRSATHW
+>DECOY_sp|Q13214|SEM3B_HUMAN Semaphorin-3B OS=Homo sapiens OX=9606 GN=SEMA3B PE=2 SV=1
+WHTASRPGREARPEPAHTRRNRGKRRSELPLSQLAPQPRCMRLSNASGGGGPEVLQLFDRYWLKPGPPAAPAAEEARALREAQTASLVHLSLRRLPQTFGQEVAACLYVGSDRRRLRRLLLGRATRETREEALVQTHATVGARQFTWEVRAQLSRPECELFASSGEVGFVKHELLAPRSSDGSCLTSPDGNRVDQRRFRRKASPQFRTCAVGDWACYPDRALCCETCVRGHAACRHLAIQAVASRSAVYLQHRKSSIQMSTVAASDEFVHLEELLLGEASPRSGKPVSIVKLVTGVDTGIFLVDYHGDAAAVRDAAIQTFTYNAGVQLFLPRGGTPLVSNYMLPHNRAFQIVDDPFDKTSSFTGFTKSPCMGPRPYPVRGQYSVWQHMPGEKHAFPGLFARRVDNMSYVCVASGQFISSSTSFVAYLLPTRHDRSSLLFVDQLQDFHTDGEVGPVSCVLRAKLFTTWKNVLSRQGGVDNRCIQGVRSVSLRGLAPAAEVATERFFFYIKDDDPNESEPIWFVKVFKPENLWRSDHPETRLSPRQGLSRFITFDRGMLDAAVGSYLEEGVLVSAARHRPDYPSKGKGDEIRGPDLRLVPEEARHGVEVFACTPHFAGTGCALLHTRNYAHLLKVFNMCETGIDKGAWNCEERWEVPAPWALKKARKSINDLNLSAVHNEAGVFLRGREEDVLLAQYCCTRELSFTQLGHWAQLEQFSLRLRPPSPAASGLGVAWLLALGPIVAAAGARGM
+>sp|O15041|SEM3E_HUMAN Semaphorin-3E OS=Homo sapiens OX=9606 GN=SEMA3E PE=1 SV=1
+MASAGHIITLLLWGYLLELWTGGHTADTTHPRLRLSHKELLNLNRTSIFHSPFGFLDLHTMLLDEYQERLFVGGRDLVYSLSLERISDGYKEIHWPSTALKMEECIMKGKDAGECANYVRVLHHYNRTHLLTCGTGAFDPVCAFIRVGYHLEDPLFHLESPRSERGRGRCPFDPSSSFISTLIGSELFAGLYSDYWSRDAAIFRSMGRLAHIRTEHDDERLLKEPKFVGSYMIPDNEDRDDNKVYFFFTEKALEAENNAHAIYTRVGRLCVNDVGGQRILVNKWSTFLKARLVCSVPGMNGIDTYFDELEDVFLLPTRDHKNPVIFGLFNTTSNIFRGHAICVYHMSSIRAAFNGPYAHKEGPEYHWSVYEGKVPYPRPGSCASKVNGGRYGTTKDYPDDAIRFARSHPLMYQAIKPAHKKPILVKTDGKYNLKQIAVDRVEAEDGQYDVLFIGTDNGIVLKVITIYNQEMESMEEVILEELQIFKDPVPIISMEISSKRQQLYIGSASAVAQVRFHHCDMYGSACADCCLARDPYCAWDGISCSRYYPTGTHAKRRFRRQDVRHGNAAQQCFGQQFVGDALDKTEEHLAYGIENNSTLLECTPRSLQAKVIWFVQKGRETRKEEVKTDDRVVKMDLGLLFLRLHKSDAGTYFCQTVEHSFVHTVRKITLEVVEEEKVEDMFNKDDEEDRHHRMPCPAQSSISQGAKPWYKEFLQLIGYSNFQRVEEYCEKVWCTDRKRKKLKMSPSKWKYANPQEKKLRSKPEHYRLPRHTLDS
+>DECOY_sp|O15041|SEM3E_HUMAN Semaphorin-3E OS=Homo sapiens OX=9606 GN=SEMA3E PE=1 SV=1
+SDLTHRPLRYHEPKSRLKKEQPNAYKWKSPSMKLKKRKRDTCWVKECYEEVRQFNSYGILQLFEKYWPKAGQSISSQAPCPMRHHRDEEDDKNFMDEVKEEEVVELTIKRVTHVFSHEVTQCFYTGADSKHLRLFLLGLDMKVVRDDTKVEEKRTERGKQVFWIVKAQLSRPTCELLTSNNEIGYALHEETKDLADGVFQQGFCQQAANGHRVDQRRFRRKAHTGTPYYRSCSIGDWACYPDRALCCDACASGYMDCHHFRVQAVASASGIYLQQRKSSIEMSIIPVPDKFIQLEELIVEEMSEMEQNYITIVKLVIGNDTGIFLVDYQGDEAEVRDVAIQKLNYKGDTKVLIPKKHAPKIAQYMLPHSRAFRIADDPYDKTTGYRGGNVKSACSGPRPYPVKGEYVSWHYEPGEKHAYPGNFAARISSMHYVCIAHGRFINSTTNFLGFIVPNKHDRTPLLFVDELEDFYTDIGNMGPVSCVLRAKLFTSWKNVLIRQGGVDNVCLRGVRTYIAHANNEAELAKETFFFYVKNDDRDENDPIMYSGVFKPEKLLREDDHETRIHALRGMSRFIAADRSWYDSYLGAFLESGILTSIFSSSPDFPCRGRGRESRPSELHFLPDELHYGVRIFACVPDFAGTGCTLLHTRNYHHLVRVYNACEGADKGKMICEEMKLATSPWHIEKYGDSIRELSLSYVLDRGGVFLREQYEDLLMTHLDLFGFPSHFISTRNLNLLEKHSLRLRPHTTDATHGGTWLELLYGWLLLTIIHGASAM
+>sp|Q9C0C4|SEM4C_HUMAN Semaphorin-4C OS=Homo sapiens OX=9606 GN=SEMA4C PE=1 SV=2
+MAPHWAVWLLAARLWGLGIGAEVWWNLVPRKTVSSGELATVVRRFSQTGIQDFLTLTLTEPTGLLYVGAREALFAFSMEALELQGAISWEAPVEKKTECIQKGKNNQTECFNFIRFLQPYNASHLYVCGTYAFQPKCTYVNMLTFTLEHGEFEDGKGKCPYDPAKGHAGLLVDGELYSATLNNFLGTEPIILRNMGPHHSMKTEYLAFWLNEPHFVGSAYVPESVGSFTGDDDKVYFFFRERAVESDCYAEQVVARVARVCKGDMGGARTLQRKWTTFLKARLACSAPNWQLYFNQLQAMHTLQDTSWHNTTFFGVFQAQWGDMYLSAICEYQLEEIQRVFEGPYKEYHEEAQKWDRYTDPVPSPRPGSCINNWHRRHGYTSSLELPDNILNFVKKHPLMEEQVGPRWSRPLLVKKGTNFTHLVADRVTGLDGATYTVLFIGTGDGWLLKAVSLGPWVHLIEELQLFDQEPMRSLVLSQSKKLLFAGSRSQLVQLPVADCMKYRSCADCVLARDPYCAWSVNTSRCVAVGGHSGSLLIQHVMTSDTSGICNLRGSKKVRPTPKNITVVAGTDLVLPCHLSSNLAHARWTFGGRDLPAEQPGSFLYDARLQALVVMAAQPRHAGAYHCFSEEQGARLAAEGYLVAVVAGPSVTLEARAPLENLGLVWLAVVALGAVCLVLLLLVLSLRRRLREELEKGAKATERTLVYPLELPKEPTSPPFRPCPEPDEKLWDPVGYYYSDGSLKIVPGHARCQPGGGPPSPPPGIPGQPLPSPTRLHLGGGRNSNANGYVRLQLGGEDRGGLGHPLPELADELRRKLQQRQPLPDSNPEESSV
+>DECOY_sp|Q9C0C4|SEM4C_HUMAN Semaphorin-4C OS=Homo sapiens OX=9606 GN=SEMA4C PE=1 SV=2
+VSSEEPNSDPLPQRQQLKRRLEDALEPLPHGLGGRDEGGLQLRVYGNANSNRGGGLHLRTPSPLPQGPIGPPPSPPGGGPQCRAHGPVIKLSGDSYYYGVPDWLKEDPEPCPRFPPSTPEKPLELPYVLTRETAKAGKELEERLRRRLSLVLLLLVLCVAGLAVVALWVLGLNELPARAELTVSPGAVVAVLYGEAALRAGQEESFCHYAGAHRPQAAMVVLAQLRADYLFSGPQEAPLDRGGFTWRAHALNSSLHCPLVLDTGAVVTINKPTPRVKKSGRLNCIGSTDSTMVHQILLSGSHGGVAVCRSTNVSWACYPDRALVCDACSRYKMCDAVPLQVLQSRSGAFLLKKSQSLVLSRMPEQDFLQLEEILHVWPGLSVAKLLWGDGTGIFLVTYTAGDLGTVRDAVLHTFNTGKKVLLPRSWRPGVQEEMLPHKKVFNLINDPLELSSTYGHRRHWNNICSGPRPSPVPDTYRDWKQAEEHYEKYPGEFVRQIEELQYECIASLYMDGWQAQFVGFFTTNHWSTDQLTHMAQLQNFYLQWNPASCALRAKLFTTWKRQLTRAGGMDGKCVRAVRAVVQEAYCDSEVARERFFFYVKDDDGTFSGVSEPVYASGVFHPENLWFALYETKMSHHPGMNRLIIPETGLFNNLTASYLEGDVLLGAHGKAPDYPCKGKGDEFEGHELTFTLMNVYTCKPQFAYTGCVYLHSANYPQLFRIFNFCETQNNKGKQICETKKEVPAEWSIAGQLELAEMSFAFLAERAGVYLLGTPETLTLTLFDQIGTQSFRRVVTALEGSSVTKRPVLNWWVEAGIGLGWLRAALLWVAWHPAM
+>sp|O95754|SEM4F_HUMAN Semaphorin-4F OS=Homo sapiens OX=9606 GN=SEMA4F PE=2 SV=2
+MPASAARPRPGPGQPTASPFPLLLLAVLSGPVSGRVPRSVPRTSLPISEADSCLTRFAVPHTYNYSVLLVDPASHTLYVGARDTIFALSLPFSGERPRRIDWMVPEAHRQNCRKKGKKEDECHNFVQILAIANASHLLTCGTFAFDPKCGVIDVSRFQQVERLESGRGKCPFEPAQRSAAVMAGGVLYAATVKNYLGTEPIITRAVGRAEDWIRTDTLPSWLNAPAFVAAVALSPAEWGDEDGDDEIYFFFTETSRAFDSYERIKVPRVARVCAGDLGGRKTLQQRWTTFLKADLLCPGPEHGRASSVLQDVAVLRPELGAGTPIFYGIFSSQWEGATISAVCAFRPQDIRTVLNGPFRELKHDCNRGLPVVDNDVPQPRPGECITNNMKLRHFGSSLSLPDRVLTFIRDHPLMDRPVFPADGHPLLVTTDTAYLRVVAHRVTSLSGKEYDVLYLGTEDGHLHRAVRIGAQLSVLEDLALFPEPQPVENMKLYHSWLLVGSRTEVTQVNTTNCGRLQSCSECILAQDPVCAWSFRLDECVAHAGEHRGLVQDIESADVSSLCPKEPGERPVVFEVPVATAAHVVLPCSPSSAWASCVWHQPSGVTALTPRRDGLEVVVTPGAMGAYACECQEGGAAHVVAAYSLVWGSQRDAPSRAHTVGAGLAGFFLGILAASLTLILIGRRQQRRRQRELLARDKVGLDLGAPPSGTTSYSQDPPSPSPEDERLPLALAKRGSGFGGFSPPFLLDPCPSPAHIRLTGAPLATCDETSI
+>DECOY_sp|O95754|SEM4F_HUMAN Semaphorin-4F OS=Homo sapiens OX=9606 GN=SEMA4F PE=2 SV=2
+ISTEDCTALPAGTLRIHAPSPCPDLLFPPSFGGFGSGRKALALPLREDEPSPSPPDQSYSTTGSPPAGLDLGVKDRALLERQRRRQQRRGILILTLSAALIGLFFGALGAGVTHARSPADRQSGWVLSYAAVVHAAGGEQCECAYAGMAGPTVVVELGDRRPTLATVGSPQHWVCSAWASSPSCPLVVHAATAVPVEFVVPREGPEKPCLSSVDASEIDQVLGRHEGAHAVCEDLRFSWACVPDQALICESCSQLRGCNTTNVQTVETRSGVLLWSHYLKMNEVPQPEPFLALDELVSLQAGIRVARHLHGDETGLYLVDYEKGSLSTVRHAVVRLYATDTTVLLPHGDAPFVPRDMLPHDRIFTLVRDPLSLSSGFHRLKMNNTICEGPRPQPVDNDVVPLGRNCDHKLERFPGNLVTRIDQPRFACVASITAGEWQSSFIGYFIPTGAGLEPRLVAVDQLVSSARGHEPGPCLLDAKLFTTWRQQLTKRGGLDGACVRAVRPVKIREYSDFARSTETFFFYIEDDGDEDGWEAPSLAVAAVFAPANLWSPLTDTRIWDEARGVARTIIPETGLYNKVTAAYLVGGAMVAASRQAPEFPCKGRGSELREVQQFRSVDIVGCKPDFAFTGCTLLHSANAIALIQVFNHCEDEKKGKKRCNQRHAEPVMWDIRRPREGSFPLSLAFITDRAGVYLTHSAPDVLLVSYNYTHPVAFRTLCSDAESIPLSTRPVSRPVRGSVPGSLVALLLLPFPSATPQGPGPRPRAASAPM
+>sp|Q9H3T2|SEM6C_HUMAN Semaphorin-6C OS=Homo sapiens OX=9606 GN=SEMA6C PE=2 SV=4
+MPRAPHFMPLLLLLLLLSLPHTQAAFPQDPLPLLISDLQGTSPLSWFRGLEDDAVAAELGLDFQRFLTLNRTLLVAARDHVFSFDLQAEEEGEGLVPNKYLTWRSQDVENCAVRGKLTDECYNYIRVLVPWDSQTLLACGTNSFSPVCRSYGITSLQQEGEELSGQARCPFDATQSNVAIFAEGSLYSATAADFQASDAVVYRSLGPQPPLRSAKYDSKWLREPHFVQALEHGDHVYFFFREVSVEDARLGRVQFSRVARVCKRDMGGSPRALDRHWTSFLKLRLNCSVPGDSTFYFDVLQALTGPVNLHGRSALFGVFTTQTNSIPGSAVCAFYLDEIERGFEGKFKEQRSLDGAWTPVSEDRVPSPRPGSCAGVGGAALFSSSRDLPDDVLTFIKAHPLLDPAVPPVTHQPLLTLTSRALLTQVAVDGMAGPHSNITVMFLGSNDGTVLKVLTPGGRSGGPEPILLEEIDAYSPARCSGKRTAQTARRIIGLELDTEGHRLFVAFSGCIVYLPLSRCARHGACQRSCLASQDPYCGWHSSRGCVDIRGSGGTDVDQAGNQESMEHGDCQDGATGSQSGPGDSAYGVRRDLPPASASRSVPIPLLLASVAAAFALGASVSGLLVSCACRRAHRRRGKDIETPGLPRPLSLRSLARLHGGGPEPPPPSKDGDAVQTPQLYTTFLPPPEGVPPPELACLPTPESTPELPVKHLRAAGDPWEWNQNRNNAKEGPGRSRGGHAAGGPAPRVLVRPPPPGCPGQAVEVTTLEELLRYLHGPQPPRKGAEPPAPLTSRALPPEPAPALLGGPSPRPHECASPLRLDVPPEGRCASAPARPALSAPAPRLGVGGGRRLPFSGHRAPPALLTRVPSGGPSRYSGGPGKHLLYLGRPEGYRGRALKRVDVEKPQLSLKPPLVGPSSRQAVPNGGRFNF
+>DECOY_sp|Q9H3T2|SEM6C_HUMAN Semaphorin-6C OS=Homo sapiens OX=9606 GN=SEMA6C PE=2 SV=4
+FNFRGGNPVAQRSSPGVLPPKLSLQPKEVDVRKLARGRYGEPRGLYLLHKGPGGSYRSPGGSPVRTLLAPPARHGSFPLRRGGGVGLRPAPASLAPRAPASACRGEPPVDLRLPSACEHPRPSPGGLLAPAPEPPLARSTLPAPPEAGKRPPQPGHLYRLLEELTTVEVAQGPCGPPPPRVLVRPAPGGAAHGGRSRGPGEKANNRNQNWEWPDGAARLHKVPLEPTSEPTPLCALEPPPVGEPPPLFTTYLQPTQVADGDKSPPPPEPGGGHLRALSRLSLPRPLGPTEIDKGRRRHARRCACSVLLGSVSAGLAFAAAVSALLLPIPVSRSASAPPLDRRVGYASDGPGSQSGTAGDQCDGHEMSEQNGAQDVDTGGSGRIDVCGRSSHWGCYPDQSALCSRQCAGHRACRSLPLYVICGSFAVFLRHGETDLELGIIRRATQATRKGSCRAPSYADIEELLIPEPGGSRGGPTLVKLVTGDNSGLFMVTINSHPGAMGDVAVQTLLARSTLTLLPQHTVPPVAPDLLPHAKIFTLVDDPLDRSSSFLAAGGVGACSGPRPSPVRDESVPTWAGDLSRQEKFKGEFGREIEDLYFACVASGPISNTQTTFVGFLASRGHLNVPGTLAQLVDFYFTSDGPVSCNLRLKLFSTWHRDLARPSGGMDRKCVRAVRSFQVRGLRADEVSVERFFFYVHDGHELAQVFHPERLWKSDYKASRLPPQPGLSRYVVADSAQFDAATASYLSGEAFIAVNSQTADFPCRAQGSLEEGEQQLSTIGYSRCVPSFSNTGCALLTQSDWPVLVRIYNYCEDTLKGRVACNEVDQSRWTLYKNPVLGEGEEEAQLDFSFVHDRAAVLLTRNLTLFRQFDLGLEAAVADDELGRFWSLPSTGQLDSILLPLPDQPFAAQTHPLSLLLLLLLLPMFHPARPM
+>sp|O75326|SEM7A_HUMAN Semaphorin-7A OS=Homo sapiens OX=9606 GN=SEMA7A PE=1 SV=1
+MTPPPPGRAAPSAPRARVPGPPARLGLPLRLRLLLLLWAAAASAQGHLRSGPRIFAVWKGHVGQDRVDFGQTEPHTVLFHEPGSSSVWVGGRGKVYLFDFPEGKNASVRTVNIGSTKGSCLDKRDCENYITLLERRSEGLLACGTNARHPSCWNLVNGTVVPLGEMRGYAPFSPDENSLVLFEGDEVYSTIRKQEYNGKIPRFRRIRGESELYTSDTVMQNPQFIKATIVHQDQAYDDKIYYFFREDNPDKNPEAPLNVSRVAQLCRGDQGGESSLSVSKWNTFLKAMLVCSDAATNKNFNRLQDVFLLPDPSGQWRDTRVYGVFSNPWNYSAVCVYSLGDIDKVFRTSSLKGYHSSLPNPRPGKCLPDQQPIPTETFQVADRHPEVAQRVEPMGPLKTPLFHSKYHYQKVAVHRMQASHGETFHVLYLTTDRGTIHKVVEPGEQEHSFAFNIMEIQPFRRAAAIQTMSLDAERRKLYVSSQWEVSQVPLDLCEVYGGGCHGCLMSRDPYCGWDQGRCISIYSSERSVLQSINPAEPHKECPNPKPDKAPLQKVSLAPNSRYYLSCPMESRHATYSWRHKENVEQSCEPGHQSPNCILFIENLTAQQYGHYFCEAQEGSYFREAQHWQLLPEDGIMAEHLLGHACALAASLWLGVLPTLTLGLLVH
+>DECOY_sp|O75326|SEM7A_HUMAN Semaphorin-7A OS=Homo sapiens OX=9606 GN=SEMA7A PE=1 SV=1
+HVLLGLTLTPLVGLWLSAALACAHGLLHEAMIGDEPLLQWHQAERFYSGEQAECFYHGYQQATLNEIFLICNPSQHGPECSQEVNEKHRWSYTAHRSEMPCSLYYRSNPALSVKQLPAKDPKPNPCEKHPEAPNISQLVSRESSYISICRGQDWGCYPDRSMLCGHCGGGYVECLDLPVQSVEWQSSVYLKRREADLSMTQIAAARRFPQIEMINFAFSHEQEGPEVVKHITGRDTTLYLVHFTEGHSAQMRHVAVKQYHYKSHFLPTKLPGMPEVRQAVEPHRDAVQFTETPIPQQDPLCKGPRPNPLSSHYGKLSSTRFVKDIDGLSYVCVASYNWPNSFVGYVRTDRWQGSPDPLLFVDQLRNFNKNTAADSCVLMAKLFTNWKSVSLSSEGGQDGRCLQAVRSVNLPAEPNKDPNDERFFYYIKDDYAQDQHVITAKIFQPNQMVTDSTYLESEGRIRRFRPIKGNYEQKRITSYVEDGEFLVLSNEDPSFPAYGRMEGLPVVTGNVLNWCSPHRANTGCALLGESRRELLTIYNECDRKDLCSGKTSGINVTRVSANKGEPFDFLYVKGRGGVWVSSSGPEHFLVTHPETQGFDVRDQGVHGKWVAFIRPGSRLHGQASAAAAWLLLLLRLRLPLGLRAPPGPVRARPASPAARGPPPPTM
+>sp|Q8NCE0|SEN2_HUMAN tRNA-splicing endonuclease subunit Sen2 OS=Homo sapiens OX=9606 GN=TSEN2 PE=1 SV=2
+MAEAVFHAPKRKRRVYETYESPLPIPFGQDHGPLKEFKIFRAEMINNNVIVRNAEDIEQLYGKGYFGKGILSRSRPSFTISDPKLVAKWKDMKTNMPIITSKRYQHSVEWAAELMRRQGQDESTVRRILKDYTKPLEHPPVKRNEEAQVHDKLNSGMVSNMEGTAGGERPSVVNGDSGKSGGVGDPREPLGCLQEGSGCHPTTESFEKSVREDASPLPHVCCCKQDALILQRGLHHEDGSQHIGLLHPGDRGPDHEYVLVEEAECAMSEREAAPNEELVQRNRLICRRNPYRIFEYLQLSLEEAFFLVYALGCLSIYYEKEPLTIVKLWKAFTVVQPTFRTTYMAYHYFRSKGWVPKVGLKYGTDLLLYRKGPPFYHASYSVIIELVDDHFEGSLRRPLSWKSLAALSRVSVNVSKELMLCYLIKPSTMTDKEMESPECMKRIKVQEVILSRWVSSRERSDQDDL
+>DECOY_sp|Q8NCE0|SEN2_HUMAN tRNA-splicing endonuclease subunit Sen2 OS=Homo sapiens OX=9606 GN=TSEN2 PE=1 SV=2
+LDDQDSRERSSVWRSLIVEQVKIRKMCEPSEMEKDTMTSPKILYCLMLEKSVNVSVRSLAALSKWSLPRRLSGEFHDDVLEIIVSYSAHYFPPGKRYLLLDTGYKLGVKPVWGKSRFYHYAMYTTRFTPQVVTFAKWLKVITLPEKEYYISLCGLAYVLFFAEELSLQLYEFIRYPNRRCILRNRQVLEENPAAERESMACEAEEVLVYEHDPGRDGPHLLGIHQSGDEHHLGRQLILADQKCCCVHPLPSADERVSKEFSETTPHCGSGEQLCGLPERPDGVGGSKGSDGNVVSPREGGATGEMNSVMGSNLKDHVQAEENRKVPPHELPKTYDKLIRRVTSEDQGQRRMLEAAWEVSHQYRKSTIIPMNTKMDKWKAVLKPDSITFSPRSRSLIGKGFYGKGYLQEIDEANRVIVNNNIMEARFIKFEKLPGHDQGFPIPLPSEYTEYVRRKRKPAHFVAEAM
+>sp|Q9BSV6|SEN34_HUMAN tRNA-splicing endonuclease subunit Sen34 OS=Homo sapiens OX=9606 GN=TSEN34 PE=1 SV=1
+MLVVEVANGRSLVWGAEAVQALRERLGVGGRTVGALPRGPRQNSRLGLPLLLMPEEARLLAEIGAVTLVSAPRPDSRHHSLALTSFKRQQEESFQEQSALAAEARETRRQELLEKITEGQAAKKQKLEQASGASSSQEAGSSQAAKEDETSDGQASGEQEEAGPSSSQAGPSNGVAPLPRSALLVQLATARPRPVKARPLDWRVQSKDWPHAGRPAHELRYSIYRDLWERGFFLSAAGKFGGDFLVYPGDPLRFHAHYIAQCWAPEDTIPLQDLVAAGRLGTSVRKTLLLCSPQPDGKVVYTSLQWASLQ
+>DECOY_sp|Q9BSV6|SEN34_HUMAN tRNA-splicing endonuclease subunit Sen34 OS=Homo sapiens OX=9606 GN=TSEN34 PE=1 SV=1
+QLSAWQLSTYVVKGDPQPSCLLLTKRVSTGLRGAAVLDQLPITDEPAWCQAIYHAHFRLPDGPYVLFDGGFKGAASLFFGREWLDRYISYRLEHAPRGAHPWDKSQVRWDLPRAKVPRPRATALQVLLASRPLPAVGNSPGAQSSSPGAEEQEGSAQGDSTEDEKAAQSSGAEQSSSAGSAQELKQKKAAQGETIKELLEQRRTERAEAALASQEQFSEEQQRKFSTLALSHHRSDPRPASVLTVAGIEALLRAEEPMLLLPLGLRSNQRPGRPLAGVTRGGVGLRERLAQVAEAGWVLSRGNAVEVVLM
+>sp|Q9HC62|SENP2_HUMAN Sentrin-specific protease 2 OS=Homo sapiens OX=9606 GN=SENP2 PE=1 SV=3
+MYRWLVRILGTIFRFCDRSVPPARALLKRRRSDSTLFSTVDTDEIPAKRPRLDCFIHQVKNSLYNAASLFGFPFQLTTKPMVTSACNGTRNVAPSGEVFSNSSSCELTGSGSWNNMLKLGNKSPNGISDYPKIRVTVTRDQPRRVLPSFGFTLNSEGCNRRPGGRRHSKGNPESSLMWKPQEQAVTEMISEESGKGLRRPHCTVEEGVQKEEREKYRKLLERLKESGHGNSVCPVTSNYHSSQRSQMDTLKTKGWGEEQNHGVKTTQFVPKQYRLVETRGPLCSLRSEKRCSKGKITDTETMVGIRFENESRRGYQLEPDLSEEVSARLRLGSGSNGLLRRKVSIIETKEKNCSGKERDRRTDDLLELTEDMEKEISNALGHGPQDEILSSAFKLRITRGDIQTLKNYHWLNDEVINFYMNLLVERNKKQGYPALHVFSTFFYPKLKSGGYQAVKRWTKGVNLFEQEIILVPIHRKVHWSLVVIDLRKKCLKYLDSMGQKGHRICEILLQYLQDESKTKRNSDLNLLEWTHHSMKPHEIPQQLNGSDCGMFTCKYADYISRDKPITFTQHQMPLFRKKMVWEILHQQLL
+>DECOY_sp|Q9HC62|SENP2_HUMAN Sentrin-specific protease 2 OS=Homo sapiens OX=9606 GN=SENP2 PE=1 SV=3
+LLQQHLIEWVMKKRFLPMQHQTFTIPKDRSIYDAYKCTFMGCDSGNLQQPIEHPKMSHHTWELLNLDSNRKTKSEDQLYQLLIECIRHGKQGMSDLYKLCKKRLDIVVLSWHVKRHIPVLIIEQEFLNVGKTWRKVAQYGGSKLKPYFFTSFVHLAPYGQKKNREVLLNMYFNIVEDNLWHYNKLTQIDGRTIRLKFASSLIEDQPGHGLANSIEKEMDETLELLDDTRRDREKGSCNKEKTEIISVKRRLLGNSGSGLRLRASVEESLDPELQYGRRSENEFRIGVMTETDTIKGKSCRKESRLSCLPGRTEVLRYQKPVFQTTKVGHNQEEGWGKTKLTDMQSRQSSHYNSTVPCVSNGHGSEKLRELLKRYKEREEKQVGEEVTCHPRRLGKGSEESIMETVAQEQPKWMLSSEPNGKSHRRGGPRRNCGESNLTFGFSPLVRRPQDRTVTVRIKPYDSIGNPSKNGLKLMNNWSGSGTLECSSSNSFVEGSPAVNRTGNCASTVMPKTTLQFPFGFLSAANYLSNKVQHIFCDLRPRKAPIEDTDVTSFLTSDSRRRKLLARAPPVSRDCFRFITGLIRVLWRYM
+>sp|Q9GZR1|SENP6_HUMAN Sentrin-specific protease 6 OS=Homo sapiens OX=9606 GN=SENP6 PE=1 SV=2
+MAAGKSGGSAGEITFLEALARSESKRDGGFKNNWSFDHEEESEGDTDKDGTNLLSVDEDEDSETSKGKKLNRRSEIVANSSGEFILKTYVRRNKSESFKTLKGNPIGLNMLSNNKKLSENTQNTSLCSGTVVHGRRFHHAHAQIPVVKTAAQSSLDRKERKEYPPHVQKVEINPVRLSRLQGVERIMKKTEESESQVEPEIKRKVQQKRHCSTYQPTPPLSPASKKCLTHLEDLQRNCRQAITLNESTGPLLRTSIHQNSGGQKSQNTGLTTKKFYGNNVEKVPIDIIVNCDDSKHTYLQTNGKVILPGAKIPKITNLKERKTSLSDLNDPIILSSDDDDDNDRTNRRESISPQPADSACSSPAPSTGKVEAALNENTCRAERELRSIPEDSELNTVTLPRKARMKDQFGNSIINTPLKRRKVFSQEPPDALALSCQSSFDSVILNCRSIRVGTLFRLLIEPVIFCLDFIKIQLDEPDHDPVEIILNTSDLTKCEWCNVRKLPVVFLQAIPAVYQKLSIQLQMNKEDKVWNDCKGVNKLTNLEEQYIILIFQNGLDPPANMVFESIINEIGIKNNISNFFAKIPFEEANGRLVACTRTYEESIKGSCGQKENKIKTVSFESKIQLRSKQEFQFFDEEEETGENHTIFIGPVEKLIVYPPPPAKGGISVTNEDLHCLNEGEFLNDVIIDFYLKYLVLEKLKKEDADRIHIFSSFFYKRLNQRERRNHETTNLSIQQKRHGRVKTWTRHVDIFEKDFIFVPLNEAAHWFLAVVCFPGLEKPKYEPNPHYHENAVIQKCSTVEDSCISSSASEMESCSQNSSAKPVIKKMLNKKHCIAVIDSNPGQEESDPRYKRNICSVKYSVKKINHTASENEEFNKGESTSQKVADRTKSENGLQNESLSSTHHTDGLSKIRLNYSDESPEAGKMLEDELVDFSEDQDNQDDSSDDGFLADDNCSSEIGQWHLKPTICKQPCILLMDSLRGPSRSNVVKILREYLEVEWEVKKGSKRSFSKDVMKGSNPKVPQQNNFSDCGVYVLQYVESFFENPILSFELPMNLANWFPPPRMRTKREEIRNIILKLQEDQSKEKRKHKDTYSTEAPLGEGTEQYVNSISD
+>DECOY_sp|Q9GZR1|SENP6_HUMAN Sentrin-specific protease 6 OS=Homo sapiens OX=9606 GN=SENP6 PE=1 SV=2
+DSISNVYQETGEGLPAETSYTDKHKRKEKSQDEQLKLIINRIEERKTRMRPPPFWNALNMPLEFSLIPNEFFSEVYQLVYVGCDSFNNQQPVKPNSGKMVDKSFSRKSGKKVEWEVELYERLIKVVNSRSPGRLSDMLLICPQKCITPKLHWQGIESSCNDDALFGDDSSDDQNDQDESFDVLEDELMKGAEPSEDSYNLRIKSLGDTHHTSSLSENQLGNESKTRDAVKQSTSEGKNFEENESATHNIKKVSYKVSCINRKYRPDSEEQGPNSDIVAICHKKNLMKKIVPKASSNQSCSEMESASSSICSDEVTSCKQIVANEHYHPNPEYKPKELGPFCVVALFWHAAENLPVFIFDKEFIDVHRTWTKVRGHRKQQISLNTTEHNRRERQNLRKYFFSSFIHIRDADEKKLKELVLYKLYFDIIVDNLFEGENLCHLDENTVSIGGKAPPPPYVILKEVPGIFITHNEGTEEEEDFFQFEQKSRLQIKSEFSVTKIKNEKQGCSGKISEEYTRTCAVLRGNAEEFPIKAFFNSINNKIGIENIISEFVMNAPPDLGNQFILIIYQEELNTLKNVGKCDNWVKDEKNMQLQISLKQYVAPIAQLFVVPLKRVNCWECKTLDSTNLIIEVPDHDPEDLQIKIFDLCFIVPEILLRFLTGVRISRCNLIVSDFSSQCSLALADPPEQSFVKRRKLPTNIISNGFQDKMRAKRPLTVTNLESDEPISRLEREARCTNENLAAEVKGTSPAPSSCASDAPQPSISERRNTRDNDDDDDSSLIIPDNLDSLSTKREKLNTIKPIKAGPLIVKGNTQLYTHKSDDCNVIIDIPVKEVNNGYFKKTTLGTNQSKQGGSNQHISTRLLPGTSENLTIAQRCNRQLDELHTLCKKSAPSLPPTPQYTSCHRKQQVKRKIEPEVQSESEETKKMIREVGQLRSLRVPNIEVKQVHPPYEKREKRDLSSQAATKVVPIQAHAHHFRRGHVVTGSCLSTNQTNESLKKNNSLMNLGIPNGKLTKFSESKNRRVYTKLIFEGSSNAVIESRRNLKKGKSTESDEDEDVSLLNTGDKDTDGESEEEHDFSWNNKFGGDRKSESRALAELFTIEGASGGSKGAAM
+>sp|O43556|SGCE_HUMAN Epsilon-sarcoglycan OS=Homo sapiens OX=9606 GN=SGCE PE=1 SV=6
+MQLPRWWELGDPCAWTGQGRGTRRMSPATTGTFLLTVYSIFSKVHSDRNVYPSAGVLFVHVLEREYFKGEFPPYPKPGEISNDPITFNTNLMGYPDRPGWLRYIQRTPYSDGVLYGSPTAENVGKPTIIEITAYNRRTFETARHNLIINIMSAEDFPLPYQAEFFIKNMNVEEMLASEVLGDFLGAVKNVWQPERLNAINITSALDRGGRVPLPINDLKEGVYVMVGADVPFSSCLREVENPQNQLRCSQEMEPVITCDKKFRTQFYIDWCKISLVDKTKQVSTYQEVIRGEGILPDGGEYKPPSDSLKSRDYYTDFLITLAVPSAVALVLFLILAYIMCCRREGVEKRNMQTPDIQLVHHSAIQKSTKELRDMSKNREIAWPLSTLPVFHPVTGEIIPPLHTDNYDSTNMPLMQTQQNLPHQTQIPQQQTTGKWYP
+>DECOY_sp|O43556|SGCE_HUMAN Epsilon-sarcoglycan OS=Homo sapiens OX=9606 GN=SGCE PE=1 SV=6
+PYWKGTTQQQPIQTQHPLNQQTQMLPMNTSDYNDTHLPPIIEGTVPHFVPLTSLPWAIERNKSMDRLEKTSKQIASHHVLQIDPTQMNRKEVGERRCCMIYALILFLVLAVASPVALTILFDTYYDRSKLSDSPPKYEGGDPLIGEGRIVEQYTSVQKTKDVLSIKCWDIYFQTRFKKDCTIVPEMEQSCRLQNQPNEVERLCSSFPVDAGVMVYVGEKLDNIPLPVRGGRDLASTINIANLREPQWVNKVAGLFDGLVESALMEEVNMNKIFFEAQYPLPFDEASMINIILNHRATEFTRRNYATIEIITPKGVNEATPSGYLVGDSYPTRQIYRLWGPRDPYGMLNTNFTIPDNSIEGPKPYPPFEGKFYERELVHVFLVGASPYVNRDSHVKSFISYVTLLFTGTTAPSMRRTGRGQGTWACPDGLEWWRPLQM
+>sp|Q9BQI5|SGIP1_HUMAN SH3-containing GRB2-like protein 3-interacting protein 1 OS=Homo sapiens OX=9606 GN=SGIP1 PE=1 SV=2
+MMEGLKKRTRKAFGIRKKEKDTDSTGSPDRDGIQPSPHEPPYNSKAECAREGGKKVSKKSNGAPNGFYAEIDWERYNSPELDEEGYSIRPEEPGSTKGKHFYSSSESEEEEESHKKFNIKIKPLQSKDILKNAATVDELKASIGNIALSPSPVRKSPRRSPGAIKRNLSSEEVARPRRSTPTPELISKKPPDDTTALAPLFGPPLESAFDEQKTEVLLDQPEIWGSGQPINPSMESPKLTRPFPTGTPPPLPPKNVPATPPRTGSPLTIGPGNDQSATEVKIEKLPSINDLDSIFGPVLSPKSVAVNAEEKWVHFSDTSPEHVTPELTPREKVVSPPATPDNPADSPAPGPLGPPGPTGPPGPPGPPRNVLSPLNLEEVQKKVAEQTFIKDDYLETISSPKDFGLGQRATPPPPPPPTYRTVVSSPGPGSGPGPGTTSGASSPARPATPLVPCRSTTPPPPPPRPPSRPKLPPGKPGVGDVSRPFSPPIHSSSPPPIAPLARAESTSSISSTNSLSAATTPTVENEQPSLVWFDRGKFYLTFEGSSRGPSPLTMGAQDTLPVAAAFTETVNAYFKGADPSKCIVKITGEMVLSFPAGITRHFANNPSPAALTFRVINFSRLEHVLPNPQLLCCDNTQNDANTKEFWVNMPNLMTHLKKVSEQKPQATYYNVDMLKYQVSAQGIQSTPLNLAVNWRCEPSSTDLRIDYKYNTDAMTTAVALNNVQFLVPIDGGVTKLQAVLPPAVWNAEQQRILWKIPDISQKSENGGVGSLLARFQLSEGPSKPSPLVVQFTSEGSTLSGCDIELVGAGYRFSLIKKRFAAGKYLADN
+>DECOY_sp|Q9BQI5|SGIP1_HUMAN SH3-containing GRB2-like protein 3-interacting protein 1 OS=Homo sapiens OX=9606 GN=SGIP1 PE=1 SV=2
+NDALYKGAAFRKKILSFRYGAGVLEIDCGSLTSGESTFQVVLPSPKSPGESLQFRALLSGVGGNESKQSIDPIKWLIRQQEANWVAPPLVAQLKTVGGDIPVLFQVNNLAVATTMADTNYKYDIRLDTSSPECRWNVALNLPTSQIGQASVQYKLMDVNYYTAQPKQESVKKLHTMLNPMNVWFEKTNADNQTNDCCLLQPNPLVHELRSFNIVRFTLAAPSPNNAFHRTIGAPFSLVMEGTIKVICKSPDAGKFYANVTETFAAAVPLTDQAGMTLPSPGRSSGEFTLYFKGRDFWVLSPQENEVTPTTAASLSNTSSISSTSEARALPAIPPPSSSHIPPSFPRSVDGVGPKGPPLKPRSPPRPPPPPPTTSRCPVLPTAPRAPSSAGSTTGPGPGSGPGPSSVVTRYTPPPPPPPTARQGLGFDKPSSITELYDDKIFTQEAVKKQVEELNLPSLVNRPPGPPGPPGTPGPPGLPGPAPSDAPNDPTAPPSVVKERPTLEPTVHEPSTDSFHVWKEEANVAVSKPSLVPGFISDLDNISPLKEIKVETASQDNGPGITLPSGTRPPTAPVNKPPLPPPTGTPFPRTLKPSEMSPNIPQGSGWIEPQDLLVETKQEDFASELPPGFLPALATTDDPPKKSILEPTPTSRRPRAVEESSLNRKIAGPSRRPSKRVPSPSLAINGISAKLEDVTAANKLIDKSQLPKIKINFKKHSEEEEESESSSYFHKGKTSGPEEPRISYGEEDLEPSNYREWDIEAYFGNPAGNSKKSVKKGGERACEAKSNYPPEHPSPQIGDRDPSGTSDTDKEKKRIGFAKRTRKKLGEMM
+>sp|Q5FBB7|SGO1_HUMAN Shugoshin 1 OS=Homo sapiens OX=9606 GN=SGO1 PE=1 SV=1
+MAKERCLKKSFQDSLEDIKKRMKEKRNKNLAEIGKRRSFIAAPCQIITNTSTLLKNYQDNNKMLVLALENEKSKVKEAQDIILQLRKECYYLTCQLYALKGKLTSQQTVEPAQNQEICSSGMDPNSDDSSRNLFVKDLPQIPLEETELPGQGESFQIEDQIPTIPQDTLGVDFDSGEAKSTDNVLPRTVSVRSSLKKHCNSICQFDSLDDFETSHLAGKSFEFERVGFLDPLVNMHIPENVQHNACQWSKDQVNLSPKLIQPGTFTKTKEDILESKSEQTKSKQRDTQERKREEKRKANRRKSKRMSKYKENKSENKKTVPQKKMHKSVSSNDAYNFNLEEGVHLTPFRQKVSNDSNREENNESEVSLCESSGSGDDSDDLYLPTCKYIQNPTSNSDRPVTRPLAKRALKYTDEKETEGSKPTKTPTTTPPETQQSPHLSLKDITNVSLYPVVKIRRLSLSPKKNKASPAVALPKRRCTASVNYKEPTLASKLRRGDPFTDLCFLNSPIFKQKKDLRRSKKRALEVSPAKEAIFILYYVREFVSRFPDCRKCKLETHICLR
+>DECOY_sp|Q5FBB7|SGO1_HUMAN Shugoshin 1 OS=Homo sapiens OX=9606 GN=SGO1 PE=1 SV=1
+RLCIHTELKCKRCDPFRSVFERVYYLIFIAEKAPSVELARKKSRRLDKKQKFIPSNLFCLDTFPDGRRLKSALTPEKYNVSATCRRKPLAVAPSAKNKKPSLSLRRIKVVPYLSVNTIDKLSLHPSQQTEPPTTTPTKTPKSGETEKEDTYKLARKALPRTVPRDSNSTPNQIYKCTPLYLDDSDDGSGSSECLSVESENNEERNSDNSVKQRFPTLHVGEELNFNYADNSSVSKHMKKQPVTKKNESKNEKYKSMRKSKRRNAKRKEERKREQTDRQKSKTQESKSELIDEKTKTFTGPQILKPSLNVQDKSWQCANHQVNEPIHMNVLPDLFGVREFEFSKGALHSTEFDDLSDFQCISNCHKKLSSRVSVTRPLVNDTSKAEGSDFDVGLTDQPITPIQDEIQFSEGQGPLETEELPIQPLDKVFLNRSSDDSNPDMGSSCIEQNQAPEVTQQSTLKGKLAYLQCTLYYCEKRLQLIIDQAEKVKSKENELALVLMKNNDQYNKLLTSTNTIIQCPAAIFSRRKGIEALNKNRKEKMRKKIDELSDQFSKKLCREKAM
+>sp|Q562F6|SGO2_HUMAN Shugoshin 2 OS=Homo sapiens OX=9606 GN=SGO2 PE=1 SV=2
+MECPVMETGSLFTSGIKRHLKDKRISKTTKLNVSLASKIKTKILNNSSIFKISLKHNNRALAQALSREKENSRRITTEKMLLQKEVEKLNFENTFLRLKLNNLNKKLIDIEALMNNNLITAIEMSSLSEFHQSSFLLSASKKKRISKQCKLMRLPFARVPLTSNDDEDEDKEKMQCDNNIKSKTLPDIPSSGSTTQPLSTQDNSEVLFLKENNQNVYGLDDSEHISSIVDVPPRESHSHSDQSSKTSLMSEMRNAQSIGRRWEKPSPSNVTERKKRGSSWESNNLSADTPCATVLDKQHISSPELNCNNEINGHTNETNTEMQRNKQDLPGLSSESAREPNAECMNQIEDNDDFQLQKTVYDADMDLTASEVSKIVTVSTGIKKKSNKKTNEHGMKTFRKVKDSSSEKKRERSKRQFKNSSDVDIGEKIENRTERSDVLDGKRGAEDPGFIFNNEQLAQMNEQLAQVNELKKMTLQTGFEQGDRENVLCNKKEKRITNEQEETYSLSQSSGKFHQESKFDKGQNSLTCNKSKASRQTFVIHKLEKDNLLPNQKDKVTIYENLDVTNEFHTANLSTKDNGNLCDYGTHNILDLKKYVTDIQPSEQNESNINKLRKKVNRKTEIISGMNHMYEDNDKDVVHGLKKGNFFFKTQEDKEPISENIEVSKELQIPALSTRDNENQCDYRTQNVLGLQKQITNMYPVQQNESKVNKKLRQKVNRKTEIISEVNHLDNDKSIEYTVKSHSLFLTQKDKEIIPGNLEDPSEFETPALSTKDSGNLYDSEIQNVLGVKHGHDMQPACQNDSKIGKKPRLNVCQKSEIIPETNQIYENDNKGVHDLEKDNFFSLTPKDKETISENLQVTNEFQTVDLLIKDNGNLCDYDTQNILELKKYVTDRKSAEQNESKINKLRNKVNWKTEIISEMNQIYEDNDKDAHVQESYTKDLDFKVNKSKQKLECQDIINKHYMEVNSNEKESCDQILDSYKVVKKRKKESSCKAKNILTKAKNKLASQLTESSQTSISLESDLKHITSEADSDPGNPVELCKTQKQSTTTLNKKDLPFVEEIKEGECQVKKVNKMTSKSKKRKTSIDPSPESHEVMERILDSVQGKSTVSEQADKENNLENEKMVKNKPDFYTKAFRSLSEIHSPNIQDSSFDSVREGLVPLSVSSGKNVIIKENFALECSPAFQVSDDEHEKMNKMKFKVNRRTQKSGIGDRPLQDLSNTSFVSNNTAESENKSEDLSSERTSRRRRCTPFYFKEPSLRDKMRR
+>DECOY_sp|Q562F6|SGO2_HUMAN Shugoshin 2 OS=Homo sapiens OX=9606 GN=SGO2 PE=1 SV=2
+RRMKDRLSPEKFYFPTCRRRRSTRESSLDESKNESEATNNSVFSTNSLDQLPRDGIGSKQTRRNVKFKMKNMKEHEDDSVQFAPSCELAFNEKIIVNKGSSVSLPVLGERVSDFSSDQINPSHIESLSRFAKTYFDPKNKVMKENELNNEKDAQESVTSKGQVSDLIREMVEHSEPSPDISTKRKKSKSTMKNVKKVQCEGEKIEEVFPLDKKNLTTTSQKQTKCLEVPNGPDSDAESTIHKLDSELSISTQSSETLQSALKNKAKTLINKAKCSSEKKRKKVVKYSDLIQDCSEKENSNVEMYHKNIIDQCELKQKSKNVKFDLDKTYSEQVHADKDNDEYIQNMESIIETKWNVKNRLKNIKSENQEASKRDTVYKKLELINQTDYDCLNGNDKILLDVTQFENTVQLNESITEKDKPTLSFFNDKELDHVGKNDNEYIQNTEPIIESKQCVNLRPKKGIKSDNQCAPQMDHGHKVGLVNQIESDYLNGSDKTSLAPTEFESPDELNGPIIEKDKQTLFLSHSKVTYEISKDNDLHNVESIIETKRNVKQRLKKNVKSENQQVPYMNTIQKQLGLVNQTRYDCQNENDRTSLAPIQLEKSVEINESIPEKDEQTKFFFNGKKLGHVVDKDNDEYMHNMGSIIETKRNVKKRLKNINSENQESPQIDTVYKKLDLINHTGYDCLNGNDKTSLNATHFENTVDLNEYITVKDKQNPLLNDKELKHIVFTQRSAKSKNCTLSNQGKDFKSEQHFKGSSQSLSYTEEQENTIRKEKKNCLVNERDGQEFGTQLTMKKLENVQALQENMQALQENNFIFGPDEAGRKGDLVDSRETRNEIKEGIDVDSSNKFQRKSRERKKESSSDKVKRFTKMGHENTKKNSKKKIGTSVTVIKSVESATLDMDADYVTKQLQFDDNDEIQNMCEANPERASESSLGPLDQKNRQMETNTENTHGNIENNCNLEPSSIHQKDLVTACPTDASLNNSEWSSGRKKRETVNSPSPKEWRRGISQANRMESMLSTKSSQDSHSHSERPPVDVISSIHESDDLGYVNQNNEKLFLVESNDQTSLPQTTSGSSPIDPLTKSKINNDCQMKEKDEDEDDNSTLPVRAFPLRMLKCQKSIRKKKSASLLFSSQHFESLSSMEIATILNNNMLAEIDILKKNLNNLKLRLFTNEFNLKEVEKQLLMKETTIRRSNEKERSLAQALARNNHKLSIKFISSNNLIKTKIKSALSVNLKTTKSIRKDKLHRKIGSTFLSGTEMVPCEM
+>sp|O95470|SGPL1_HUMAN Sphingosine-1-phosphate lyase 1 OS=Homo sapiens OX=9606 GN=SGPL1 PE=1 SV=3
+MPSTDLLMLKAFEPYLEILEVYSTKAKNYVNGHCTKYEPWQLIAWSVVWTLLIVWGYEFVFQPESLWSRFKKKCFKLTRKMPIIGRKIQDKLNKTKDDISKNMSFLKVDKEYVKALPSQGLSSSAVLEKLKEYSSMDAFWQEGRASGTVYSGEEKLTELLVKAYGDFAWSNPLHPDIFPGLRKIEAEIVRIACSLFNGGPDSCGCVTSGGTESILMACKAYRDLAFEKGIKTPEIVAPQSAHAAFNKAASYFGMKIVRVPLTKMMEVDVRAMRRAISRNTAMLVCSTPQFPHGVIDPVPEVAKLAVKYKIPLHVDACLGGFLIVFMEKAGYPLEHPFDFRVKGVTSISADTHKYGYAPKGSSLVLYSDKKYRNYQFFVDTDWQGGIYASPTIAGSRPGGISAACWAALMHFGENGYVEATKQIIKTARFLKSELENIKGIFVFGNPQLSVIALGSRDFDIYRLSNLMTAKGWNLNQLQFPPSIHFCITLLHARKRVAIQFLKDIRESVTQIMKNPKAKTTGMGAIYGMAQTTVDRNMVAELSSVFLDSLYSTDTVTQGSQMNGSPKPH
+>DECOY_sp|O95470|SGPL1_HUMAN Sphingosine-1-phosphate lyase 1 OS=Homo sapiens OX=9606 GN=SGPL1 PE=1 SV=3
+HPKPSGNMQSGQTVTDTSYLSDLFVSSLEAVMNRDVTTQAMGYIAGMGTTKAKPNKMIQTVSERIDKLFQIAVRKRAHLLTICFHISPPFQLQNLNWGKATMLNSLRYIDFDRSGLAIVSLQPNGFVFIGKINELESKLFRATKIIQKTAEVYGNEGFHMLAAWCAASIGGPRSGAITPSAYIGGQWDTDVFFQYNRYKKDSYLVLSSGKPAYGYKHTDASISTVGKVRFDFPHELPYGAKEMFVILFGGLCADVHLPIKYKVALKAVEPVPDIVGHPFQPTSCVLMATNRSIARRMARVDVEMMKTLPVRVIKMGFYSAAKNFAAHASQPAVIEPTKIGKEFALDRYAKCAMLISETGGSTVCGCSDPGGNFLSCAIRVIEAEIKRLGPFIDPHLPNSWAFDGYAKVLLETLKEEGSYVTGSARGEQWFADMSSYEKLKELVASSSLGQSPLAKVYEKDVKLFSMNKSIDDKTKNLKDQIKRGIIPMKRTLKFCKKKFRSWLSEPQFVFEYGWVILLTWVVSWAILQWPEYKTCHGNVYNKAKTSYVELIELYPEFAKLMLLDTSPM
+>sp|Q9BX95|SGPP1_HUMAN Sphingosine-1-phosphate phosphatase 1 OS=Homo sapiens OX=9606 GN=SGPP1 PE=1 SV=2
+MSLRQRLAQLVGRLQDPQKVARFQRLCGVEAPPRRSADRREDEKAEAPLAGDPRLRGRQPGAPGGPQPPGSDRNQCPAKPDGGGAPNGVRNGLAAELGPASPRRAGALRRNSLTGEEGQLARVSNWPLYCLFCFGTELGNELFYILFFPFWIWNLDPLVGRRLVVIWVLVMYLGQCTKDIIRWPRPASPPVVKLEVFYNSEYSMPSTHAMSGTAIPISMVLLTYGRWQYPLIYGLILIPCWCSLVCLSRIYMGMHSILDIIAGFLYTILILAVFYPFVDLIDNFNQTHKYAPFIIIGLHLALGIFSFTLDTWSTSRGDTAEILGSGAGIACGSHVTYNMGLVLDPSLDTLPLAGPPITVTLFGKAILRILIGMVFVLIIRDVMKKITIPLACKIFNIPCDDIRKARQHMEVELPYRYITYGMVGFSITFFVPYIFFFIGIS
+>DECOY_sp|Q9BX95|SGPP1_HUMAN Sphingosine-1-phosphate phosphatase 1 OS=Homo sapiens OX=9606 GN=SGPP1 PE=1 SV=2
+SIGIFFFIYPVFFTISFGVMGYTIYRYPLEVEMHQRAKRIDDCPINFIKCALPITIKKMVDRIILVFVMGILIRLIAKGFLTVTIPPGALPLTDLSPDLVLGMNYTVHSGCAIGAGSGLIEATDGRSTSWTDLTFSFIGLALHLGIIIFPAYKHTQNFNDILDVFPYFVALILITYLFGAIIDLISHMGMYIRSLCVLSCWCPILILGYILPYQWRGYTLLVMSIPIATGSMAHTSPMSYESNYFVELKVVPPSAPRPWRIIDKTCQGLYMVLVWIVVLRRGVLPDLNWIWFPFFLIYFLENGLETGFCFLCYLPWNSVRALQGEEGTLSNRRLAGARRPSAPGLEAALGNRVGNPAGGGDPKAPCQNRDSGPPQPGGPAGPQRGRLRPDGALPAEAKEDERRDASRRPPAEVGCLRQFRAVKQPDQLRGVLQALRQRLSM
+>sp|Q5HYK7|SH319_HUMAN SH3 domain-containing protein 19 OS=Homo sapiens OX=9606 GN=SH3D19 PE=1 SV=2
+MNIMNTEQSQNSIVSRIKVFEGQTNIETSGLPKKPEITPRSLPPKPTVSSGKPSVAPKPAANRASGEWDSGTENRLKVTSKEGLTPYPPLQEAGSIPVTKPELPKKPNPGLIRSVNPEIPGRGPLAESSDSGKKVPTPAPRPLLLKKSVSSENPTYPSAPLKPVTVPPRLAGASQAKAYKSLGEGPPANPPVPVLQSKPLVDIDLISFDDDVLPTPSGNLAEESVGSEMVLDPFQLPAKTEPIKERAVQPAPTRKPTVIRIPAKPGKCLHEDPQSPPPLPAEKPIGNTFSTVSGKLSNVERTRNLESNHPGQTGGFVRVPPRLPPRPVNGKTIPTQQPPTKVPPERPPPPKLSATRRSNKKLPFNRSSSDMDLQKKQSNLATGLSKAKSQVFKNQDPVLPPRPKPGHPLYSKYMLSVPHGIANEDIVSQNPGELSCKRGDVLVMLKQTENNYLECQKGEDTGRVHLSQMKIITPLDEHLRSRPNDPSHAQKPVDSGAPHAVVLHDFPAEQVDDLNLTSGEIVYLLEKIDTDWYRGNCRNQIGIFPANYVKVIIDIPEGGNGKRECVSSHCVKGSRCVARFEYIGEQKDELSFSEGEIIILKEYVNEEWARGEVRGRTGIFPLNFVEPVEDYPTSGANVLSTKVPLKTKKEDSGSNSQVNSLPAEWCEALHSFTAETSDDLSFKRGDRIQILERLDSDWCRGRLQDREGIFPAVFVRPCPAEAKSMLAIVPKGRKAKALYDFRGENEDELSFKAGDIITELESVDDDWMSGELMGKSGIFPKNYIQFLQIS
+>DECOY_sp|Q5HYK7|SH319_HUMAN SH3 domain-containing protein 19 OS=Homo sapiens OX=9606 GN=SH3D19 PE=1 SV=2
+SIQLFQIYNKPFIGSKGMLEGSMWDDDVSELETIIDGAKFSLEDENEGRFDYLAKAKRGKPVIALMSKAEAPCPRVFVAPFIGERDQLRGRCWDSDLRELIQIRDGRKFSLDDSTEATFSHLAECWEAPLSNVQSNSGSDEKKTKLPVKTSLVNAGSTPYDEVPEVFNLPFIGTRGRVEGRAWEENVYEKLIIIEGESFSLEDKQEGIYEFRAVCRSGKVCHSSVCERKGNGGEPIDIIVKVYNAPFIGIQNRCNGRYWDTDIKELLYVIEGSTLNLDDVQEAPFDHLVVAHPAGSDVPKQAHSPDNPRSRLHEDLPTIIKMQSLHVRGTDEGKQCELYNNETQKLMVLVDGRKCSLEGPNQSVIDENAIGHPVSLMYKSYLPHGPKPRPPLVPDQNKFVQSKAKSLGTALNSQKKQLDMDSSSRNFPLKKNSRRTASLKPPPPREPPVKTPPQQTPITKGNVPRPPLRPPVRVFGGTQGPHNSELNRTREVNSLKGSVTSFTNGIPKEAPLPPPSQPDEHLCKGPKAPIRIVTPKRTPAPQVAREKIPETKAPLQFPDLVMESGVSEEALNGSPTPLVDDDFSILDIDVLPKSQLVPVPPNAPPGEGLSKYAKAQSAGALRPPVTVPKLPASPYTPNESSVSKKLLLPRPAPTPVKKGSDSSEALPGRGPIEPNVSRILGPNPKKPLEPKTVPISGAEQLPPYPTLGEKSTVKLRNETGSDWEGSARNAAPKPAVSPKGSSVTPKPPLSRPTIEPKKPLGSTEINTQGEFVKIRSVISNQSQETNMINM
+>sp|Q99963|SH3G3_HUMAN Endophilin-A3 OS=Homo sapiens OX=9606 GN=SH3GL3 PE=1 SV=1
+MSVAGLKKQFHKASQLFSEKISGAEGTKLDDEFLDMERKIDVTNKVVAEILSKTTEYLQPNPAYRAKLGMLNTVSKIRGQVKTTGYPQTEGLLGDCMLKYGKELGEDSTFGNALIEVGESMKLMAEVKDSLDINVKQTFIDPLQLLQDKDLKEIGHHLKKLEGRRLDYDYKKKRVGKIPDEEVRQAVEKFEESKELAERSMFNFLENDVEQVSQLAVFIEAALDYHRQSTEILQELQSKLQMRISAASSVPRREYKPRPVKRSSSELNGVSTTSVVKTTGSNIPMDQPCCRGLYDFEPENQGELGFKEGDIITLTNQIDENWYEGMIHGESGFFPINYVEVIVPLPQ
+>DECOY_sp|Q99963|SH3G3_HUMAN Endophilin-A3 OS=Homo sapiens OX=9606 GN=SH3GL3 PE=1 SV=1
+QPLPVIVEVYNIPFFGSEGHIMGEYWNEDIQNTLTIIDGEKFGLEGQNEPEFDYLGRCCPQDMPINSGTTKVVSTTSVGNLESSSRKVPRPKYERRPVSSAASIRMQLKSQLEQLIETSQRHYDLAAEIFVALQSVQEVDNELFNFMSREALEKSEEFKEVAQRVEEDPIKGVRKKKYDYDLRRGELKKLHHGIEKLDKDQLLQLPDIFTQKVNIDLSDKVEAMLKMSEGVEILANGFTSDEGLEKGYKLMCDGLLGETQPYGTTKVQGRIKSVTNLMGLKARYAPNPQLYETTKSLIEAVVKNTVDIKREMDLFEDDLKTGEAGSIKESFLQSAKHFQKKLGAVSM
+>sp|Q8TEC5|SH3R2_HUMAN Putative E3 ubiquitin-protein ligase SH3RF2 OS=Homo sapiens OX=9606 GN=SH3RF2 PE=1 SV=3
+MDDLTLLDLLECPVCFEKLDVTAKVLPCQHTFCKPCLQRVFKAHKELRCPECRTPVFSNIEALPANLLLVRLLDGVRSGQSSGRGGSFRRPGTMTLQDGRKSRTNPRRLQASPFRLVPNVRIHMDGVPRAKALCNYRGQNPGDLRFNKGDIILLRRQLDENWYQGEINGISGNFPASSVEVIKQLPQPPPLCRALYNFDLRGKDKSENQDCLTFLKDDIITVISRVDENWAEGKLGDKVGIFPILFVEPNLTARHLLEKNKGRQSSRTKNLSLVSSSSRGNTSTLRRGPGSRRKVPGQFSITTALNTLNRMVHSPSGRHMVEISTPVLISSSNPSVITQPMEKADVPSSCVGQVSTYHPAPVSPGHSTAVVSLPGSQQHLSANMFVALHSYSAHGPDELDLQKGEGVRVLGKCQDGWLRGVSLVTGRVGIFPNNYVIPIFRKTSSFPDSRSPGLYTTWTLSTSSVSSQGSISEGDPRQSRPFKSVFVPTAIVNPVRSTAGPGTLGQGSLRKGRSSMRKNGSLQRPLQSGIPTLVVGSLRRSPTMVLRPQQFQFYQPQGIPSSPSAVVVEMGSKPALTGEPALTCISRGSEAWIHSAASSLIMEDKEIPIKSEPLPKPPASAPPSILVKPENSRNGIEKQVKTVRFQNYSPPPTKHYTSHPTSGKPEQPATLKASQPEAASLGPEMTVLFAHRSGCHSGQQTDLRRKSALGKATTLVSTASGTQTVFPSK
+>DECOY_sp|Q8TEC5|SH3R2_HUMAN Putative E3 ubiquitin-protein ligase SH3RF2 OS=Homo sapiens OX=9606 GN=SH3RF2 PE=1 SV=3
+KSPFVTQTGSATSVLTTAKGLASKRRLDTQQGSHCGSRHAFLVTMEPGLSAAEPQSAKLTAPQEPKGSTPHSTYHKTPPPSYNQFRVTKVQKEIGNRSNEPKVLISPPASAPPKPLPESKIPIEKDEMILSSAASHIWAESGRSICTLAPEGTLAPKSGMEVVVASPSSPIGQPQYFQFQQPRLVMTPSRRLSGVVLTPIGSQLPRQLSGNKRMSSRGKRLSGQGLTGPGATSRVPNVIATPVFVSKFPRSQRPDGESISGQSSVSSTSLTWTTYLGPSRSDPFSSTKRFIPIVYNNPFIGVRGTVLSVGRLWGDQCKGLVRVGEGKQLDLEDPGHASYSHLAVFMNASLHQQSGPLSVVATSHGPSVPAPHYTSVQGVCSSPVDAKEMPQTIVSPNSSSILVPTSIEVMHRGSPSHVMRNLTNLATTISFQGPVKRRSGPGRRLTSTNGRSSSSVLSLNKTRSSQRGKNKELLHRATLNPEVFLIPFIGVKDGLKGEAWNEDVRSIVTIIDDKLFTLCDQNESKDKGRLDFNYLARCLPPPQPLQKIVEVSSAPFNGSIGNIEGQYWNEDLQRRLLIIDGKNFRLDGPNQGRYNCLAKARPVGDMHIRVNPVLRFPSAQLRRPNTRSKRGDQLTMTGPRRFSGGRGSSQGSRVGDLLRVLLLNAPLAEINSFVPTRCEPCRLEKHAKFVRQLCPKCFTHQCPLVKATVDLKEFCVPCELLDLLTLDDM
+>sp|Q96HL8|SH3Y1_HUMAN SH3 domain-containing YSC84-like protein 1 OS=Homo sapiens OX=9606 GN=SH3YL1 PE=1 SV=1
+MNNPIPSNLKSEAKKAAKILREFTEITSRNGPDKIIPAHVIAKAKGLAILSVIKAGFLVTARGGSGIVVARLPDGKWSAPSAIGIAGLGGGFEIGIEVSDLVIILNYDRAVEAFAKGGNLTLGGNLTVAVGPLGRNLEGNVALRSSAAVFTYCKSRGLFAGVSLEGSCLIERKETNRKFYCQDIRAYDILFGDTPRPAQAEDLYEILDSFTEKYENEGQRINARKAAREQRKSSAKELPPKPLSRPQQSSAPVQLNSGSQSNRNEYKLYPGLSSYHERVGNLNQPIEVTALYSFEGQQPGDLNFQAGDRITVISKTDSHFDWWEGKLRGQTGIFPANYVTMN
+>DECOY_sp|Q96HL8|SH3Y1_HUMAN SH3 domain-containing YSC84-like protein 1 OS=Homo sapiens OX=9606 GN=SH3YL1 PE=1 SV=1
+NMTVYNAPFIGTQGRLKGEWWDFHSDTKSIVTIRDGAQFNLDGPQQGEFSYLATVEIPQNLNGVREHYSSLGPYLKYENRNSQSGSNLQVPASSQQPRSLPKPPLEKASSKRQERAAKRANIRQGENEYKETFSDLIEYLDEAQAPRPTDGFLIDYARIDQCYFKRNTEKREILCSGELSVGAFLGRSKCYTFVAASSRLAVNGELNRGLPGVAVTLNGGLTLNGGKAFAEVARDYNLIIVLDSVEIGIEFGGGLGAIGIASPASWKGDPLRAVVIGSGGRATVLFGAKIVSLIALGKAKAIVHAPIIKDPGNRSTIETFERLIKAAKKAESKLNSPIPNNM
+>sp|Q9UPX8|SHAN2_HUMAN SH3 and multiple ankyrin repeat domains protein 2 OS=Homo sapiens OX=9606 GN=SHANK2 PE=1 SV=3
+MKSLLNAFTKKEVPFREAPAYSNRRRRPPNTLAAPRVLLRSNSDNNLNASAPDWAVCSTATSHRSLSPQLLQQMPSKPEGAAKTIGSYVPGPRSRSPSLNRLGGAGEDGKRPQPLWHVGSPFALGANKDSLSAFEYPGPKRKLYSAVPGRLFVAVKPYQPQVDGEIPLHRGDRVKVLSIGEGGFWEGSARGHIGWFPAECVEEVQCKPRDSQAETRADRSKKLFRHYTVGSYDSFDTSSDCIIEEKTVVLQKKDNEGFGFVLRGAKADTPIEEFTPTPAFPALQYLESVDEGGVAWQAGLRTGDFLIEVNNENVVKVGHRQVVNMIRQGGNHLVLKVVTVTRNLDPDDTARKKAPPPPKRAPTTALTLRSKSMTSELEELVDKASVRKKKDKPEEIVPASKPSRAAENMAVEPRVATIKQRPSSRCFPAGSDMNSVYERQGIAVMTPTVPGSPKAPFLGIPRGTMRRQKSIDSRIFLSGITEEERQFLAPPMLKFTRSLSMPDTSEDIPPPPQSVPPSPPPPSPTTYNCPKSPTPRVYGTIKPAFNQNSAAKVSPATRSDTVATMMREKGMYFRRELDRYSLDSEDLYSRNAGPQANFRNKRGQMPENPYSEVGKIASKAVYVPAKPARRKGMLVKQSNVEDSPEKTCSIPIPTIIVKEPSTSSSGKSSQGSSMEIDPQAPEPPSQLRPDESLTVSSPFAAAIAGAVRDREKRLEARRNSPAFLSTDLGDEDVGLGPPAPRTRPSMFPEEGDFADEDSAEQLSSPMPSATPREPENHFVGGAEASAPGEAGRPLNSTSKAQGPESSPAVPSASSGTAGPGNYVHPLTGRLLDPSSPLALALSARDRAMKESQQGPKGEAPKADLNKPLYIDTKMRPSLDAGFPTVTRQNTRGPLRRQETENKYETDLGRDRKGDDKKNMLIDIMDTSQQKSAGLLMVHTVDATKLDNALQEEDEKAEVEMKPDSSPSEVPEGVSETEGALQISAAPEPTTVPGRTIVAVGSMEEAVILPFRIPPPPLASVDLDEDFIFTEPLPPPLEFANSFDIPDDRAASVPALSDLVKQKKSDTPQSPSLNSSQPTNSADSKKPASLSNCLPASFLPPPESFDAVADSGIEEVDSRSSSDHHLETTSTISTVSSISTLSSEGGENVDTCTVYADGQAFMVDKPPVPPKPKMKPIIHKSNALYQDALVEEDVDSFVIPPPAPPPPPGSAQPGMAKVLQPRTSKLWGDVTEIKSPILSGPKANVISELNSILQQMNREKLAKPGEGLDSPMGAKSASLAPRSPEIMSTISGTRSTTVTFTVRPGTSQPITLQSRPPDYESRTSGTRRAPSPVVSPTEMNKETLPAPLSAATASPSPALSDVFSLPSQPPSGDLFGLNPAGRSRSPSPSILQQPISNKPFTTKPVHLWTKPDVADWLESLNLGEHKEAFMDNEIDGSHLPNLQKEDLIDLGVTRVGHRMNIERALKQLLDR
+>DECOY_sp|Q9UPX8|SHAN2_HUMAN SH3 and multiple ankyrin repeat domains protein 2 OS=Homo sapiens OX=9606 GN=SHANK2 PE=1 SV=3
+RDLLQKLAREINMRHGVRTVGLDILDEKQLNPLHSGDIENDMFAEKHEGLNLSELWDAVDPKTWLHVPKTTFPKNSIPQQLISPSPSRSRGAPNLGFLDGSPPQSPLSFVDSLAPSPSATAASLPAPLTEKNMETPSVVPSPARRTGSTRSEYDPPRSQLTIPQSTGPRVTFTVTTSRTGSITSMIEPSRPALSASKAGMPSDLGEGPKALKERNMQQLISNLESIVNAKPGSLIPSKIETVDGWLKSTRPQLVKAMGPQASGPPPPPAPPPIVFSDVDEEVLADQYLANSKHIIPKMKPKPPVPPKDVMFAQGDAYVTCTDVNEGGESSLTSISSVTSITSTTELHHDSSSRSDVEEIGSDAVADFSEPPPLFSAPLCNSLSAPKKSDASNTPQSSNLSPSQPTDSKKQKVLDSLAPVSAARDDPIDFSNAFELPPPLPETFIFDEDLDVSALPPPPIRFPLIVAEEMSGVAVITRGPVTTPEPAASIQLAGETESVGEPVESPSSDPKMEVEAKEDEEQLANDLKTADVTHVMLLGASKQQSTDMIDILMNKKDDGKRDRGLDTEYKNETEQRRLPGRTNQRTVTPFGADLSPRMKTDIYLPKNLDAKPAEGKPGQQSEKMARDRASLALALPSSPDLLRGTLPHVYNGPGATGSSASPVAPSSEPGQAKSTSNLPRGAEGPASAEAGGVFHNEPERPTASPMPSSLQEASDEDAFDGEEPFMSPRTRPAPPGLGVDEDGLDTSLFAPSNRRAELRKERDRVAGAIAAAFPSSVTLSEDPRLQSPPEPAQPDIEMSSGQSSKGSSSTSPEKVIITPIPISCTKEPSDEVNSQKVLMGKRRAPKAPVYVAKSAIKGVESYPNEPMQGRKNRFNAQPGANRSYLDESDLSYRDLERRFYMGKERMMTAVTDSRTAPSVKAASNQNFAPKITGYVRPTPSKPCNYTTPSPPPPSPPVSQPPPPIDESTDPMSLSRTFKLMPPALFQREEETIGSLFIRSDISKQRRMTGRPIGLFPAKPSGPVTPTMVAIGQREYVSNMDSGAPFCRSSPRQKITAVRPEVAMNEAARSPKSAPVIEEPKDKKKRVSAKDVLEELESTMSKSRLTLATTPARKPPPPAKKRATDDPDLNRTVTVVKLVLHNGGQRIMNVVQRHGVKVVNENNVEILFDGTRLGAQWAVGGEDVSELYQLAPFAPTPTFEEIPTDAKAGRLVFGFGENDKKQLVVTKEEIICDSSTDFSDYSGVTYHRFLKKSRDARTEAQSDRPKCQVEEVCEAPFWGIHGRASGEWFGGEGISLVKVRDGRHLPIEGDVQPQYPKVAVFLRGPVASYLKRKPGPYEFASLSDKNAGLAFPSGVHWLPQPRKGDEGAGGLRNLSPSRSRPGPVYSGITKAAGEPKSPMQQLLQPSLSRHSTATSCVAWDPASANLNNDSNSRLLVRPAALTNPPRRRRNSYAPAERFPVEKKTFANLLSKM
+>sp|P98077|SHC2_HUMAN SHC-transforming protein 2 OS=Homo sapiens OX=9606 GN=SHC2 PE=1 SV=4
+MTQGPGGRAPPAPPAPPEPEAPTTFCALLPRMPQWKFAAPGGFLGRGPAAARAAGASGGADPQPEPAGPGGVPALAAAVLGACEPRCAAPCPLPALSRCRGAGSRGSRGGRGAAGSGDAAAAAEWIRKGSFIHKPAHGWLHPDARVLGPGVSYVVRYMGCIEVLRSMRSLDFNTRTQVTREAINRLHEAVPGVRGSWKKKAPNKALASVLGKSNLRFAGMSISIHISTDGLSLSVPATRQVIANHHMPSISFASGGDTDMTDYVAYVAKDPINQRACHILECCEGLAQSIISTVGQAFELRFKQYLHSPPKVALPPERLAGPEESAWGDEEDSLEHNYYNSIPGKEPPLGGLVDSRLALTQPCALTALDQGPSPSLRDACSLPWDVGSTGTAPPGDGYVQADARGPPDHEEHLYVNTQGLDAPEPEDSPKKDLFDMRPFEDALKLHECSVAAGVTAAPLPLEDQWPSPPTRRAPVAPTEEQLRQEPWYHGRMSRRAAERMLRADGDFLVRDSVTNPGQYVLTGMHAGQPKHLLLVDPEGVVRTKDVLFESISHLIDHHLQNGQPIVAAESELHLRGVVSREP
+>DECOY_sp|P98077|SHC2_HUMAN SHC-transforming protein 2 OS=Homo sapiens OX=9606 GN=SHC2 PE=1 SV=4
+PERSVVGRLHLESEAAVIPQGNQLHHDILHSISEFLVDKTRVVGEPDVLLLHKPQGAHMGTLVYQGPNTVSDRVLFDGDARLMREAARRSMRGHYWPEQRLQEETPAVPARRTPPSPWQDELPLPAATVGAAVSCEHLKLADEFPRMDFLDKKPSDEPEPADLGQTNVYLHEEHDPPGRADAQVYGDGPPATGTSGVDWPLSCADRLSPSPGQDLATLACPQTLALRSDVLGGLPPEKGPISNYYNHELSDEEDGWASEEPGALREPPLAVKPPSHLYQKFRLEFAQGVTSIISQALGECCELIHCARQNIPDKAVYAVYDTMDTDGGSAFSISPMHHNAIVQRTAPVSLSLGDTSIHISISMGAFRLNSKGLVSALAKNPAKKKWSGRVGPVAEHLRNIAERTVQTRTNFDLSRMSRLVEICGMYRVVYSVGPGLVRADPHLWGHAPKHIFSGKRIWEAAAAADGSGAAGRGGRSGRSGAGRCRSLAPLPCPAACRPECAGLVAAALAPVGGPGAPEPQPDAGGSAGAARAAAPGRGLFGGPAAFKWQPMRPLLACFTTPAEPEPPAPPAPPARGGPGQTM
+>sp|Q6UWV7|SHL2A_HUMAN Protein shisa-like-2A OS=Homo sapiens OX=9606 GN=SHISAL2A PE=2 SV=2
+MSGACTSYVSAEQEVVRGFSCPRPGGEAAAVFCCGFRDHKYCCDDPHSFFPYEHSYMWWLSIGALIGLSVAAVVLLAFIVTACVLCYLFISSKPHTKLDLGLSLQTAGPEEVSPDCQGVNTGMAAEVPKVSPLQQSYSCLNPQLESNEGQAVNSKRLLHHCFMATVTTSDIPGSPEEASVPNPDLCGPVP
+>DECOY_sp|Q6UWV7|SHL2A_HUMAN Protein shisa-like-2A OS=Homo sapiens OX=9606 GN=SHISAL2A PE=2 SV=2
+PVPGCLDPNPVSAEEPSGPIDSTTVTAMFCHHLLRKSNVAQGENSELQPNLCSYSQQLPSVKPVEAAMGTNVGQCDPSVEEPGATQLSLGLDLKTHPKSSIFLYCLVCATVIFALLVVAAVSLGILAGISLWWMYSHEYPFFSHPDDCCYKHDRFGCCFVAAAEGGPRPCSFGRVVEQEASVYSTCAGSM
+>sp|O60902|SHOX2_HUMAN Short stature homeobox protein 2 OS=Homo sapiens OX=9606 GN=SHOX2 PE=2 SV=4
+MEELTAFVSKSFDQKVKEKKEAITYREVLESGPLRGAKEPTGCTEAGRDDRSSPAVRAAGGGGGGGGGGGGGGGGGGVGGGGAGGGAGGGRSPVRELDMGAAERSREPGSPRLTEVSPELKDRKEDAKGMEDEGQTKIKQRRSRTNFTLEQLNELERLFDETHYPDAFMREELSQRLGLSEARVQVWFQNRRAKCRKQENQLHKGVLIGAASQFEACRVAPYVNVGALRMPFQQDSHCNVTPLSFQVQAQLQLDSAVAHAHHHLHPHLAAHAPYMMFPAPPFGLPLATLAADSASAASVVAAAAAAKTTSKNSSIADLRLKAKKHAAALGL
+>DECOY_sp|O60902|SHOX2_HUMAN Short stature homeobox protein 2 OS=Homo sapiens OX=9606 GN=SHOX2 PE=2 SV=4
+LGLAAAHKKAKLRLDAISSNKSTTKAAAAAAVVSAASASDAALTALPLGFPPAPFMMYPAHAALHPHLHHHAHAVASDLQLQAQVQFSLPTVNCHSDQQFPMRLAGVNVYPAVRCAEFQSAAGILVGKHLQNEQKRCKARRNQFWVQVRAESLGLRQSLEERMFADPYHTEDFLRELENLQELTFNTRSRRQKIKTQGEDEMGKADEKRDKLEPSVETLRPSGPERSREAAGMDLERVPSRGGGAGGGAGGGGVGGGGGGGGGGGGGGGGGGAARVAPSSRDDRGAETCGTPEKAGRLPGSELVERYTIAEKKEKVKQDFSKSVFATLEEM
+>sp|O15266|SHOX_HUMAN Short stature homeobox protein OS=Homo sapiens OX=9606 GN=SHOX PE=1 SV=1
+MEELTAFVSKSFDQKSKDGNGGGGGGGGKKDSITYREVLESGLARSRELGTSDSSLQDITEGGGHCPVHLFKDHVDNDKEKLKEFGTARVAEGIYECKEKREDVKSEDEDGQTKLKQRRSRTNFTLEQLNELERLFDETHYPDAFMREELSQRLGLSEARVQVWFQNRRAKCRKQENQMHKGVILGTANHLDACRVAPYVNMGALRMPFQQVQAQLQLEGVAHAHPHLHPHLAAHAPYLMFPPPPFGLPIASLAESASAAAVVAAAAKSNSKNSSIADLRLKARKHAEALGL
+>DECOY_sp|O15266|SHOX_HUMAN Short stature homeobox protein OS=Homo sapiens OX=9606 GN=SHOX PE=1 SV=1
+LGLAEAHKRAKLRLDAISSNKSNSKAAAAVVAAASASEALSAIPLGFPPPPFMLYPAHAALHPHLHPHAHAVGELQLQAQVQQFPMRLAGMNVYPAVRCADLHNATGLIVGKHMQNEQKRCKARRNQFWVQVRAESLGLRQSLEERMFADPYHTEDFLRELENLQELTFNTRSRRQKLKTQGDEDESKVDERKEKCEYIGEAVRATGFEKLKEKDNDVHDKFLHVPCHGGGETIDQLSSDSTGLERSRALGSELVERYTISDKKGGGGGGGGNGDKSKQDFSKSVFATLEEM
+>sp|Q149N8|SHPRH_HUMAN E3 ubiquitin-protein ligase SHPRH OS=Homo sapiens OX=9606 GN=SHPRH PE=1 SV=2
+MSSRRKRAPPVRVDEEKRQQLHWNMHEDRRNEPIIISDDDEQPCPGSDTSSAHYIILSDSLKEEVAHRDKKRCSKVVSFSKPIEKEETVGIFSPLSVKLNIVISPYHFDNSWKAFLGELTLQLLPAQSLIENFSERSITLMSSESSNQFLIYVHSKGEDVEKQKKEPMSICDKGILVESSFSGEMLEDLGWLQKKRRIKLYQKPEGNHIIKVGIYLLEAGLAKLDFLSDANSRMKKFNQLMKKVMEKLHNSIIPDVLEEDEDDPESEPEGQDIDELYHFVKQTHQQETQSIQVDVQHPALIPVLRPYQREAVNWMLQQECFRSSPATESALHFLWREIVTSEGLKLYYNPYTGCIIREYPNSGPQLLGGILADEMGLGKTVEVLALILTHTRQDVKQDALTLPEGKVVNYFIPSHYFGGKLKKTEIQNIEFEPKEKVQCPPTRVMILTAVKEMNGKKGVSILSIYKYVSSIYRYDVQRNRSLLKRMLKCLIFEGLVKQIKGHGFSGTFTLGKNYKEEDICDKTKKQAVGSPRKIQKETRKSGNKDTDSEYLPSDTSDDDDDPYYYYYKSRRNRSKLRKKLVPSTKKGKSQPFINPDSQGHCPATSDSGITDVAMSKSTCISEFNQEHETEDCAESLNHADSDVPPSNTMSPFNTSDYRFECICGELDQIDRKPRVQCLKCHLWQHAKCVNYDEKNLKIKPFYCPHCLVAMEPVSTRATLIISPSSICHQWVDEINRHVRSSSLRVLVYQGVKKDGFLQPHFLAEQDIVIITYDVLRSELNYVDIPHSNSEDGRRLRNQKRYMAIPSPLVAVEWWRICLDEAQMVECPTVKAAEMAQRLSGINRWCISGTPVQRGLEDLFGLVVFLGIEPYCVKHWWVRLLYRPYCKKNPQHLYSFIAKILWRSAKKDVIDQIQIPPQTEEIHWLHFSPVERHFYHRQHEVCCQDVVVKLRKISDWALKLSSLDRRTVTSILYPLLRLRQACCHPQAVRGEFLPLQKSTMTMEELLTSLQKKCGTECEEAHRQLVCALNGLAGIHIIKGEYALAAELYREVLRSSEEHKGKLKTDSLQRLHATHNLMELLIARHPGIPPTLRDGRLEEEAKQLREHYMSKCNTEVAEAQQALYPVQQTIHELQRKIHSNSPWWLNVIHRAIEFTIDEELVQRVRNEITSNYKQQTGKLSMSEKFRDCRGLQFLLTTQMEELNKCQKLVREAVKNLEGPPSRNVIESATVCHLRPARLPLNCCVFCKADELFTEYESKLFSNTVKGQTAIFEEMIEDEEGLVDDRAPTTTRGLWAISETERSMKAILSFAKSHRFDVEFVDEGSTSMDLFEAWKKEYKLLHEYWMALRNRVSAVDELAMATERLRVRDPREPKPNPPVLHIIEPHEVEQNRIKLLNDKAVATSQLQKKLGQLLYLTNLEKSQDKTSGGVNPEPCPICARQLGKQWAVLTCGHCFCNECISIIIEQYSVGSHRSSIKCAICRQTTSHKEISYVFTSEKANQEEDIPVKGSHSTKVEAVVRTLMKIQLRDPGAKALVFSTWQDVLDIISKALTDNNMEFAQISRVKTFQENLSAFKRDPQINILLLPLHTGSNGLTIIEATHVLLVEPILNPAHELQAIGRVHRIGQTKPTIVHRFLIKATIEERMQAMLKTAERSHTNSSAKHSEASVLTVADLADLFTKETEELE
+>DECOY_sp|Q149N8|SHPRH_HUMAN E3 ubiquitin-protein ligase SHPRH OS=Homo sapiens OX=9606 GN=SHPRH PE=1 SV=2
+ELEETEKTFLDALDAVTLVSAESHKASSNTHSREATKLMAQMREEITAKILFRHVITPKTQGIRHVRGIAQLEHAPNLIPEVLLVHTAEIITLGNSGTHLPLLLINIQPDRKFASLNEQFTKVRSIQAFEMNNDTLAKSIIDLVDQWTSFVLAKAGPDRLQIKMLTRVVAEVKTSHSGKVPIDEEQNAKESTFVYSIEKHSTTQRCIACKISSRHSGVSYQEIIISICENCFCHGCTLVAWQKGLQRACIPCPEPNVGGSTKDQSKELNTLYLLQGLKKQLQSTAVAKDNLLKIRNQEVEHPEIIHLVPPNPKPERPDRVRLRETAMALEDVASVRNRLAMWYEHLLKYEKKWAEFLDMSTSGEDVFEVDFRHSKAFSLIAKMSRETESIAWLGRTTTPARDDVLGEEDEIMEEFIATQGKVTNSFLKSEYETFLEDAKCFVCCNLPLRAPRLHCVTASEIVNRSPPGELNKVAERVLKQCKNLEEMQTTLLFQLGRCDRFKESMSLKGTQQKYNSTIENRVRQVLEEDITFEIARHIVNLWWPSNSHIKRQLEHITQQVPYLAQQAEAVETNCKSMYHERLQKAEEELRGDRLTPPIGPHRAILLEMLNHTAHLRQLSDTKLKGKHEESSRLVERYLEAALAYEGKIIHIGALGNLACVLQRHAEECETGCKKQLSTLLEEMTMTSKQLPLFEGRVAQPHCCAQRLRLLPYLISTVTRRDLSSLKLAWDSIKRLKVVVDQCCVEHQRHYFHREVPSFHLWHIEETQPPIQIQDIVDKKASRWLIKAIFSYLHQPNKKCYPRYLLRVWWHKVCYPEIGLFVVLGFLDELGRQVPTGSICWRNIGSLRQAMEAAKVTPCEVMQAEDLCIRWWEVAVLPSPIAMYRKQNRLRRGDESNSHPIDVYNLESRLVDYTIIVIDQEALFHPQLFGDKKVGQYVLVRLSSSRVHRNIEDVWQHCISSPSIILTARTSVPEMAVLCHPCYFPKIKLNKEDYNVCKAHQWLHCKLCQVRPKRDIQDLEGCICEFRYDSTNFPSMTNSPPVDSDAHNLSEACDETEHEQNFESICTSKSMAVDTIGSDSTAPCHGQSDPNIFPQSKGKKTSPVLKKRLKSRNRRSKYYYYYPDDDDDSTDSPLYESDTDKNGSKRTEKQIKRPSGVAQKKTKDCIDEEKYNKGLTFTGSFGHGKIQKVLGEFILCKLMRKLLSRNRQVDYRYISSVYKYISLISVGKKGNMEKVATLIMVRTPPCQVKEKPEFEINQIETKKLKGGFYHSPIFYNVVKGEPLTLADQKVDQRTHTLILALVEVTKGLGMEDALIGGLLQPGSNPYERIICGTYPNYYLKLGESTVIERWLFHLASETAPSSRFCEQQLMWNVAERQYPRLVPILAPHQVDVQISQTEQQHTQKVFHYLEDIDQGEPESEPDDEDEELVDPIISNHLKEMVKKMLQNFKKMRSNADSLFDLKALGAELLYIGVKIIHNGEPKQYLKIRRKKQLWGLDELMEGSFSSEVLIGKDCISMPEKKQKEVDEGKSHVYILFQNSSESSMLTISRESFNEILSQAPLLQLTLEGLFAKWSNDFHYPSIVINLKVSLPSFIGVTEEKEIPKSFSVVKSCRKKDRHAVEEKLSDSLIIYHASSTDSGPCPQEDDDSIIIPENRRDEHMNWHLQQRKEEDVRVPPARKRRSSM
+>sp|Q2M3G4|SHRM1_HUMAN Protein Shroom1 OS=Homo sapiens OX=9606 GN=SHROOM1 PE=1 SV=1
+MEALGPGGDRASPASSTSSLDLWHLSMRADSAYSSFSAASGGPEPRTQSPGTDLLPYLDWDYVRVVWGGPGPAPPDAALCTSPRPRPAVAARSGPQPTEVPGTPGPLNRQATPLLYALAAEAEAAAQAAEPPSPPASRAAYRQRLQGAQRRVLRETSFQRKELRMSLPARLRPTVPARPPATHPRSASLSHPGGEGEPARSRAPAPGTAGRGPLANQQRKWCFSEPGKLDRVGRGGGPARECLGEACSSSGLPGPEPLEFQHPALAKFEDHEVGWLPETQPQGSMNLDSGSLKLGDAFRPASRSRSASGEVLGSWGGSGGTIPIVQAVPQGAETPRPLFQTKLSRFLPQKEAAVMYPAELPQSSPADSEQRVSETCIVPAWLPSLPDEVFLEEAPLVRMRSPPDPHASQGPPASVHASDQPYGTGLGQRTGQVTVPTEYPLHECPGTAGADDCWQGVNGSVGISRPTSHTPTGTANDNIPTIDPTGLTTNPPTAAESDLLKPVPADALGLSGNDTPGPSHNTALARGTGQPGSRPTWPSQCLEELVQELARLDPSLCDPLASQPSPEPPLGLLDGLIPLAEVRAAMRPACGEAGEEAASTFEPGSYQFSFTQLLPAPREETRLENPATHPVLDQPCGQGLPAPNNSIQGKKVELAARLQKMLQDLHTEQERLQGEAQAWARRQAALEAAVRQACAPQELERFSRFMADLERVLGLLLLLGSRLARVRRALARAASDSDPDEQASLLQRLRLLQRQEEDAKELKEHVARRERAVREVLVRALPVEELRVYCALLAGKAAVLAQQRNLDERIRLLQDQLDAIRDDLGHHAPSPSPARPPGTCPPVQPPFPLLLT
+>DECOY_sp|Q2M3G4|SHRM1_HUMAN Protein Shroom1 OS=Homo sapiens OX=9606 GN=SHROOM1 PE=1 SV=1
+TLLLPFPPQVPPCTGPPRAPSPSPAHHGLDDRIADLQDQLLRIREDLNRQQALVAAKGALLACYVRLEEVPLARVLVERVARERRAVHEKLEKADEEQRQLLRLRQLLSAQEDPDSDSAARALARRVRALRSGLLLLLGLVRELDAMFRSFRELEQPACAQRVAAELAAQRRAWAQAEGQLREQETHLDQLMKQLRAALEVKKGQISNNPAPLGQGCPQDLVPHTAPNELRTEERPAPLLQTFSFQYSGPEFTSAAEEGAEGCAPRMAARVEALPILGDLLGLPPEPSPQSALPDCLSPDLRALEQVLEELCQSPWTPRSGPQGTGRALATNHSPGPTDNGSLGLADAPVPKLLDSEAATPPNTTLGTPDITPINDNATGTPTHSTPRSIGVSGNVGQWCDDAGATGPCEHLPYETPVTVQGTRQGLGTGYPQDSAHVSAPPGQSAHPDPPSRMRVLPAEELFVEDPLSPLWAPVICTESVRQESDAPSSQPLEAPYMVAAEKQPLFRSLKTQFLPRPTEAGQPVAQVIPITGGSGGWSGLVEGSASRSRSAPRFADGLKLSGSDLNMSGQPQTEPLWGVEHDEFKALAPHQFELPEPGPLGSSSCAEGLCERAPGGGRGVRDLKGPESFCWKRQQNALPGRGATGPAPARSRAPEGEGGPHSLSASRPHTAPPRAPVTPRLRAPLSMRLEKRQFSTERLVRRQAGQLRQRYAARSAPPSPPEAAQAAAEAEAALAYLLPTAQRNLPGPTGPVETPQPGSRAAVAPRPRPSTCLAADPPAPGPGGWVVRVYDWDLYPLLDTGPSQTRPEPGGSAASFSSYASDARMSLHWLDLSSTSSAPSARDGGPGLAEM
+>sp|Q13796|SHRM2_HUMAN Protein Shroom2 OS=Homo sapiens OX=9606 GN=SHROOM2 PE=1 SV=1
+MEGAEPRARPERLAEAETRAADGGRLVEVQLSGGAPWGFTLKGGREHGEPLVITKIEEGSKAAAVDKLLAGDEIVGINDIGLSGFRQEAICLVKGSHKTLKLVVKRRSELGWRPHSWHATKFSDSHPELAASPFTSTSGCPSWSGRHHASSSSHDLSSSWEQTNLQRTLDHFSSLGSVDSLDHPSSRLSVAKSNSSIDHLGSHSKRDSAYGSFSTSSSTPDHTLSKADTSSAENILYTVGLWEAPRQGGRQAQAAGDPQGSEEKLSCFPPRVPGDSGKGPRPEYNAEPKLAAPGRSNFGPVWYVPDKKKAPSSPPPPPPPLRSDSFAATKSHEKAQGPVFSEAAAAQHFTALAQAQPRGDRRPELTDRPWRSAHPGSLGKGSGGPGCPQEAHADGSWPPSKDGASSRLQASLSSSDVRFPQSPHSGRHPPLYSDHSPLCADSLGQEPGAASFQNDSPPQVRGLSSCDQKLGSGWQGPRPCVQGDLQAAQLWAGCWPSDTALGALESLPPPTVGQSPRHHLPQPEGPPDARETGRCYPLDKGAEGCSAGAQEPPRASRAEKASQRLAASITWADGESSRICPQETPLLHSLTQEGKRRPESSPEDSATRPPPFDAHVGKPTRRSDRFATTLRNEIQMHRAKLQKSRSTVALTAAGEAEDGTGRWRAGLGGGTQEGPLAGTYKDHLKEAQARVLRATSFKRRDLDPNPGDLYPESLEHRMGDPDTVPHFWEAGLAQPPSSTSGGPHPPRIGGRRRFTAEQKLKSYSEPEKMNEVGLTRGYSPHQHPRTSEDTVGTFADRWKFFEETSKPVPQRPAQKQALHGIPRDKPERPRTAGRTCEGTEPWSRTTSLGDSLNAHSAAEKAGTSDLPRRLGTFAEYQASWKEQRKPLEARSSGRCHSADDILDVSLDPQERPQHVHGRSRSSPSTDHYKQEASVELRRQAGDPGEPREELPSAVRAEEGQSTPRQADAQCREGSPGSQQHPPSQKAPNPPTFSELSHCRGAPELPREGRGRAGTLPRDYRYSEESTPADLGPRAQSPGSPLHARGQDSWPVSSALLSKRPAPQRPPPPKREPRRYRATDGAPADAPVGVLGRPFPTPSPASLDVYVARLSLSHSPSVFSSAQPQDTPKATVCERGSQHVSGDASRPLPEALLPPKQQHLRLQTATMETSRSPSPQFAPQKLTDKPPLLIQDEDSTRIERVMDNNTTVKMVPIKIVHSESQPEKESRQSLACPAEPPALPHGLEKDQIKTLSTSEQFYSRFCLYTRQGAEPEAPHRAQPAEPQPLGTQVPPEKDRCTSPPGLSYMKAKEKTVEDLKSEELAREIVGKDKSLADILDPSVKIKTTMDLMEGIFPKDEHLLEEAQQRRKLLPKIPSPRSTEERKEEPSVPAAVSLATNSTYYSTSAPKAELLIKMKDLQEQQEHEEDSGSDLDHDLSVKKQELIESISRKLQVLREARESLLEDVQANTVLGAEVEAIVKGVCKPSEFDKFRMFIGDLDKVVNLLLSLSGRLARVENALNNLDDGASPGDRQSLLEKQRVLIQQHEDAKELKENLDRRERIVFDILANYLSEESLADYEHFVKMKSALIIEQRELEDKIHLGEEQLKCLLDSLQPERGK
+>DECOY_sp|Q13796|SHRM2_HUMAN Protein Shroom2 OS=Homo sapiens OX=9606 GN=SHROOM2 PE=1 SV=1
+KGREPQLSDLLCKLQEEGLHIKDELERQEIILASKMKVFHEYDALSEESLYNALIDFVIRERRDLNEKLEKADEHQQILVRQKELLSQRDGPSAGDDLNNLANEVRALRGSLSLLLNVVKDLDGIFMRFKDFESPKCVGKVIAEVEAGLVTNAQVDELLSERAERLVQLKRSISEILEQKKVSLDHDLDSGSDEEHEQQEQLDKMKILLEAKPASTSYYTSNTALSVAAPVSPEEKREETSRPSPIKPLLKRRQQAEELLHEDKPFIGEMLDMTTKIKVSPDLIDALSKDKGVIERALEESKLDEVTKEKAKMYSLGPPSTCRDKEPPVQTGLPQPEAPQARHPAEPEAGQRTYLCFRSYFQESTSLTKIQDKELGHPLAPPEAPCALSQRSEKEPQSESHVIKIPVMKVTTNNDMVREIRTSDEDQILLPPKDTLKQPAFQPSPSRSTEMTATQLRLHQQKPPLLAEPLPRSADGSVHQSGRECVTAKPTDQPQASSFVSPSHSLSLRAVYVDLSAPSPTPFPRGLVGVPADAPAGDTARYRRPERKPPPPRQPAPRKSLLASSVPWSDQGRAHLPSGPSQARPGLDAPTSEESYRYDRPLTGARGRGERPLEPAGRCHSLESFTPPNPAKQSPPHQQSGPSGERCQADAQRPTSQGEEARVASPLEERPEGPDGAQRRLEVSAEQKYHDTSPSSRSRGHVHQPREQPDLSVDLIDDASHCRGSSRAELPKRQEKWSAQYEAFTGLRRPLDSTGAKEAASHANLSDGLSTTRSWPETGECTRGATRPREPKDRPIGHLAQKQAPRQPVPKSTEEFFKWRDAFTGVTDESTRPHQHPSYGRTLGVENMKEPESYSKLKQEATFRRRGGIRPPHPGGSTSSPPQALGAEWFHPVTDPDGMRHELSEPYLDGPNPDLDRRKFSTARLVRAQAEKLHDKYTGALPGEQTGGGLGARWRGTGDEAEGAATLAVTSRSKQLKARHMQIENRLTTAFRDSRRTPKGVHADFPPPRTASDEPSSEPRRKGEQTLSHLLPTEQPCIRSSEGDAWTISAALRQSAKEARSARPPEQAGASCGEAGKDLPYCRGTERADPPGEPQPLHHRPSQGVTPPPLSELAGLATDSPWCGAWLQAAQLDGQVCPRPGQWGSGLKQDCSSLGRVQPPSDNQFSAAGPEQGLSDACLPSHDSYLPPHRGSHPSQPFRVDSSSLSAQLRSSAGDKSPPWSGDAHAEQPCGPGGSGKGLSGPHASRWPRDTLEPRRDGRPQAQALATFHQAAAAESFVPGQAKEHSKTAAFSDSRLPPPPPPPSSPAKKKDPVYWVPGFNSRGPAALKPEANYEPRPGKGSDGPVRPPFCSLKEESGQPDGAAQAQRGGQRPAEWLGVTYLINEASSTDAKSLTHDPTSSSTSFSGYASDRKSHSGLHDISSNSKAVSLRSSPHDLSDVSGLSSFHDLTRQLNTQEWSSSLDHSSSSAHHRGSWSPCGSTSTFPSAALEPHSDSFKTAHWSHPRWGLESRRKVVLKLTKHSGKVLCIAEQRFGSLGIDNIGVIEDGALLKDVAAAKSGEEIKTIVLPEGHERGGKLTFGWPAGGSLQVEVLRGGDAARTEAEALREPRARPEAGEM
+>sp|Q9ULL8|SHRM4_HUMAN Protein Shroom4 OS=Homo sapiens OX=9606 GN=SHROOM4 PE=1 SV=3
+MENRPGSFQYVPVQLQGGAPWGFTLKGGLEHCEPLTVSKIEDGGKAALSQKMRTGDELVNINGTPLYGSRQEALILIKGSFRILKLIVRRRNAPVSRPHSWHVAKLLEGCPEAATTMHFPSEAFSLSWHSGCNTSDVCVQWCPLSRHCSTEKSSSIGSMESLEQPGQATYESHLLPIDQNMYPNQRDSAYSSFSASSNASDCALSLRPEEPASTDCIMQGPGPTKAPSGRPNVAETSGGSRRTNGGHLTPSSQMSSRPQEGYQSGPAKAVRGPPQPPVRRDSLQASRAQLLNGEQRRASEPVVPLPQKEKLSLEPVLPARNPNRFCCLSGHDQVTSEGHQNCEFSQPPESSQQGSEHLLMQASTKAVGSPKACDRASSVDSNPLNEASAELAKASFGRPPHLIGPTGHRHSAPEQLLASHLQHVHLDTRGSKGMELPPVQDGHQWTLSPLHSSHKGKKSPCPPTGGTHDQSSKERKTRQVDDRSLVLGHQSQSSPPHGEADGHPSEKGFLDPNRTSRAASELANQQPSASGSLVQQATDCSSTTKAASGTEAGEEGDSEPKECSRMGGRRSGGTRGRSIQNRRKSERFATNLRNEIQRRKAQLQKSKGPLSQLCDTKEPVEETQEPPESPPLTASNTSLLSSCKKPPSPRDKLFNKSMMLRARSSECLSQAPESHESRTGLEGRISPGQRPGQSSLGLNTWWKAPDPSSSDPEKAHAHCGVRGGHWRWSPEHNSQPLVAAAMEGPSNPGDNKELKASTAQAGEDAILLPFADRRKFFEESSKSLSTSHLPGLTTHSNKTFTQRPKPIDQNFQPMSSSCRELRRHPMDQSYHSADQPYHATDQSYHSMSPLQSETPTYSECFASKGLENSMCCKPLHCGDFDYHRTCSYSCSVQGALVHDPCIYCSGEICPALLKRNMMPNCYNCRCHHHQCIRCSVCYHNPQHSALEDSSLAPGNTWKPRKLTVQEFPGDKWNPITGNRKTSQSGREMAHSKTSFSWATPFHPCLENPALDLSSYRAISSLDLLGDFKHALKKSEETSVYEEGSSLASMPHPLRSRAFSESHISLAPQSTRAWGQHRRELFSKGDETQSDLLGARKKAFPPPRPPPPNWEKYRLFRAAQQQKQQQQQQKQQEEEEEEEEEEEEEEEEEEEEAEEEEEELPPQYFSSETSGSCALNPEEVLEQPQPLSFGHLEGSRQGSQSVPAEQESFALHSSDFLPPIRGHLGSQPEQAQPPCYYGIGGLWRTSGQEATESAKQEFQHFSPPSGAPGIPTSYSAYYNISVAKAELLNKLKDQPEMAEIGLGEEEVDHELAQKKIQLIESISRKLSVLREAQRGLLEDINANSALGEEVEANLKAVCKSNEFEKYHLFVGDLDKVVNLLLSLSGRLARVENALNSIDSEANQEKLVLIEKKQQLTGQLADAKELKEHVDRREKLVFGMVSRYLPQDQLQDYQHFVKMKSALIIEQRELEEKIKLGEEQLKCLRESLLLGPSNF
+>DECOY_sp|Q9ULL8|SHRM4_HUMAN Protein Shroom4 OS=Homo sapiens OX=9606 GN=SHROOM4 PE=1 SV=3
+FNSPGLLLSERLCKLQEEGLKIKEELERQEIILASKMKVFHQYDQLQDQPLYRSVMGFVLKERRDVHEKLEKADALQGTLQQKKEILVLKEQNAESDISNLANEVRALRGSLSLLLNVVKDLDGVFLHYKEFENSKCVAKLNAEVEEGLASNANIDELLGRQAERLVSLKRSISEILQIKKQALEHDVEEEGLGIEAMEPQDKLKNLLEAKAVSINYYASYSTPIGPAGSPPSFHQFEQKASETAEQGSTRWLGGIGYYCPPQAQEPQSGLHGRIPPLFDSSHLAFSEQEAPVSQSGQRSGELHGFSLPQPQELVEEPNLACSGSTESSFYQPPLEEEEEEAEEEEEEEEEEEEEEEEEEEEQQKQQQQQQKQQQAARFLRYKEWNPPPPRPPPFAKKRAGLLDSQTEDGKSFLERRHQGWARTSQPALSIHSESFARSRLPHPMSALSSGEEYVSTEESKKLAHKFDGLLDLSSIARYSSLDLAPNELCPHFPTAWSFSTKSHAMERGSQSTKRNGTIPNWKDGPFEQVTLKRPKWTNGPALSSDELASHQPNHYCVSCRICQHHHCRCNYCNPMMNRKLLAPCIEGSCYICPDHVLAGQVSCSYSCTRHYDFDGCHLPKCCMSNELGKSAFCESYTPTESQLPSMSHYSQDTAHYPQDASHYSQDMPHRRLERCSSSMPQFNQDIPKPRQTFTKNSHTTLGPLHSTSLSKSSEEFFKRRDAFPLLIADEGAQATSAKLEKNDGPNSPGEMAAAVLPQSNHEPSWRWHGGRVGCHAHAKEPDSSSPDPAKWWTNLGLSSQGPRQGPSIRGELGTRSEHSEPAQSLCESSRARLMMSKNFLKDRPSPPKKCSSLLSTNSATLPPSEPPEQTEEVPEKTDCLQSLPGKSKQLQAKRRQIENRLNTAFRESKRRNQISRGRTGGSRRGGMRSCEKPESDGEEGAETGSAAKTTSSCDTAQQVLSGSASPQQNALESAARSTRNPDLFGKESPHGDAEGHPPSSQSQHGLVLSRDDVQRTKREKSSQDHTGGTPPCPSKKGKHSSHLPSLTWQHGDQVPPLEMGKSGRTDLHVHQLHSALLQEPASHRHGTPGILHPPRGFSAKALEASAENLPNSDVSSARDCAKPSGVAKTSAQMLLHESGQQSSEPPQSFECNQHGESTVQDHGSLCCFRNPNRAPLVPELSLKEKQPLPVVPESARRQEGNLLQARSAQLSDRRVPPQPPGRVAKAPGSQYGEQPRSSMQSSPTLHGGNTRRSGGSTEAVNPRGSPAKTPGPGQMICDTSAPEEPRLSLACDSANSSASFSSYASDRQNPYMNQDIPLLHSEYTAQGPQELSEMSGISSSKETSCHRSLPCWQVCVDSTNCGSHWSLSFAESPFHMTTAAEPCGELLKAVHWSHPRSVPANRRRVILKLIRFSGKILILAEQRSGYLPTGNINVLEDGTRMKQSLAAKGGDEIKSVTLPECHELGGKLTFGWPAGGQLQVPVYQFSGPRNEM
+>sp|Q9H0F6|SHRPN_HUMAN Sharpin OS=Homo sapiens OX=9606 GN=SHARPIN PE=1 SV=1
+MAPPAGGAAAAASDLGSAAVLLAVHAAVRPLGAGPDAEAQLRRLQLSADPERPGRFRLELLGAGPGAVNLEWPLESVSYTIRGPTQHELQPPPGGPGTLSLHFLNPQEAQRWAVLVRGATVEGQNGSKSNSPPALGPEACPVSLPSPPEASTLKGPPPEADLPRSPGNLTEREELAGSLARAIAGGDEKGAAQVAAVLAQHRVALSVQLQEACFPPGPIRLQVTLEDAASAASAASSAHVALQVHPHCTVAALQEQVFSELGFPPAVQRWVIGRCLCVPERSLASYGVRQDGDPAFLYLLSAPREAPATGPSPQHPQKMDGELGRLFPPSLGLPPGPQPAASSLPSPLQPSWSCPSCTFINAPDRPGCEMCSTQRPCTWDPLAAAST
+>DECOY_sp|Q9H0F6|SHRPN_HUMAN Sharpin OS=Homo sapiens OX=9606 GN=SHARPIN PE=1 SV=1
+TSAAALPDWTCPRQTSCMECGPRDPANIFTCSPCSWSPQLPSPLSSAAPQPGPPLGLSPPFLRGLEGDMKQPHQPSPGTAPAERPASLLYLFAPDGDQRVGYSALSREPVCLCRGIVWRQVAPPFGLESFVQEQLAAVTCHPHVQLAVHASSAASAASAADELTVQLRIPGPPFCAEQLQVSLAVRHQALVAAVQAAGKEDGGAIARALSGALEERETLNGPSRPLDAEPPPGKLTSAEPPSPLSVPCAEPGLAPPSNSKSGNQGEVTAGRVLVAWRQAEQPNLFHLSLTGPGGPPPQLEHQTPGRITYSVSELPWELNVAGPGAGLLELRFRGPREPDASLQLRRLQAEADPGAGLPRVAAHVALLVAASGLDSAAAAAGGAPPAM
+>sp|A0PJX4|SHSA3_HUMAN Protein shisa-3 homolog OS=Homo sapiens OX=9606 GN=SHISA3 PE=1 SV=1
+MRALLALCLLLGWLRWGPAGAQQSGEYCHGWVDVQGNYHEGFQCPEDFDTLDATICCGSCALRYCCAAADARLEQGGCTNDRRELEHPGITAQPVYVPFLIVGSIFIAFIILGSVVAIYCCTCLRPKEPSQQPIRFSLRSYQTETLPMILTSTSPRAPSRQSSTATSSSSTGGSIRRFSFARAEPGCLVPSPPPPYTTSHSIHLAQPSGFLVSPQYFAYPLQQEPPLPGKSCPDFSSS
+>DECOY_sp|A0PJX4|SHSA3_HUMAN Protein shisa-3 homolog OS=Homo sapiens OX=9606 GN=SHISA3 PE=1 SV=1
+SSSFDPCSKGPLPPEQQLPYAFYQPSVLFGSPQALHISHSTTYPPPPSPVLCGPEARAFSFRRISGGTSSSSTATSSQRSPARPSTSTLIMPLTETQYSRLSFRIPQQSPEKPRLCTCCYIAVVSGLIIFAIFISGVILFPVYVPQATIGPHELERRDNTCGGQELRADAAACCYRLACSGCCITADLTDFDEPCQFGEHYNGQVDVWGHCYEGSQQAGAPGWRLWGLLLCLALLARM
+>sp|Q8N114|SHSA5_HUMAN Protein shisa-5 OS=Homo sapiens OX=9606 GN=SHISA5 PE=1 SV=1
+MTAPVPAPRILLPLLLLLLLTPPPGARGEVCMASRGLSLFPESCPDFCCGTCDDQYCCSDVLKKFVWSEERCAVPEASVPASVEPVEQLGSALRFRPGYNDPMSGFGATLAVGLTIFVLSVVTIIICFTCSCCCLYKTCRRPRPVVTTTTSTTVVHAPYPQPPSVPPSYPGPSYQGYHTMPPQPGMPAAPYPMQYPPPYPAQPMGPPAYHETLAGGAAAPYPASQPPYNPAYMDAPKAAL
+>DECOY_sp|Q8N114|SHSA5_HUMAN Protein shisa-5 OS=Homo sapiens OX=9606 GN=SHISA5 PE=1 SV=1
+LAAKPADMYAPNYPPQSAPYPAAAGGALTEHYAPPGMPQAPYPPPYQMPYPAAPMGPQPPMTHYGQYSPGPYSPPVSPPQPYPAHVVTTSTTTTVVPRPRRCTKYLCCCSCTFCIIITVVSLVFITLGVALTAGFGSMPDNYGPRFRLASGLQEVPEVSAPVSAEPVACREESWVFKKLVDSCCYQDDCTGCCFDPCSEPFLSLGRSAMCVEGRAGPPPTLLLLLLLPLLIRPAPVPATM
+>sp|A6NL88|SHSA7_HUMAN Protein shisa-7 OS=Homo sapiens OX=9606 GN=SHISA7 PE=2 SV=3
+MPALLLLVLLASSAGQARARPSNATSAEPAGPLPALLAHLRRLTGALTGGGGAASPGANGTRTGPAGGAGAAARAPPPAELCHGYYDVMGQYDATFNCSTGSYRFCCGTCHYRFCCEHRHMRLAQASCSNYDTPRWATTPPPLAGGAGGAGGAGGGPGPGQAGWLEGGRTGGAGGRGGEGPGGSTAYVVCGVISFALAVGVGAKVAFSKASRAPRAHRDINVPRALVDILRHQAGPGTRPDRARSSSLTPGIGGPDSMPPRTPKNLYNTVKTPNLDWRALPPPSPSLHYSTLSCSRSFHNLSHLPPSYEAAVKSELNRYSSLKRLAEKDLDEAYLKRRPLELPRGTLPLHALRRPGTGGGYRMEAWGGPEELGLAPAPNPRRVMSQEHLLGDGGRSRYEFTLPRARLVSQEHLLLSSPEALRQSREHLLSPPRSPALPPDPTARASLAASHSNLLLGPGGPPTPLRGLPPPSSLHAHHHHALHGSPQPAWMSDAGGGGGTLARRPPFQRQGTLEQLQFIPGHHLPQHLRTASKNEVTV
+>DECOY_sp|A6NL88|SHSA7_HUMAN Protein shisa-7 OS=Homo sapiens OX=9606 GN=SHISA7 PE=2 SV=3
+VTVENKSATRLHQPLHHGPIFQLQELTGQRQFPPRRALTGGGGGADSMWAPQPSGHLAHHHHAHLSSPPPLGRLPTPPGGPGLLLNSHSAALSARATPDPPLAPSRPPSLLHERSQRLAEPSSLLLHEQSVLRARPLTFEYRSRGGDGLLHEQSMVRRPNPAPALGLEEPGGWAEMRYGGGTGPRRLAHLPLTGRPLELPRRKLYAEDLDKEALRKLSSYRNLESKVAAEYSPPLHSLNHFSRSCSLTSYHLSPSPPPLARWDLNPTKVTNYLNKPTRPPMSDPGGIGPTLSSSRARDPRTGPGAQHRLIDVLARPVNIDRHARPARSAKSFAVKAGVGVALAFSIVGCVVYATSGGPGEGGRGGAGGTRGGELWGAQGPGPGGGAGGAGGAGGALPPPTTAWRPTDYNSCSAQALRMHRHECCFRYHCTGCCFRYSGTSCNFTADYQGMVDYYGHCLEAPPPARAAAGAGGAPGTRTGNAGPSAAGGGGTLAGTLRRLHALLAPLPGAPEASTANSPRARAQGASSALLVLLLLAPM
+>sp|Q8NDV1|SIA7C_HUMAN Alpha-N-acetylgalactosaminide alpha-2,6-sialyltransferase 3 OS=Homo sapiens OX=9606 GN=ST6GALNAC3 PE=2 SV=1
+MACILKRKSVIAVSFIAAFLFLLVVRLVNEVNFPLLLNCFGQPGTKWIPFSYTYRRPLRTHYGYINVKTQEPLQLDCDLCAIVSNSGQMVGQKVGNEIDRSSCIWRMNNAPTKGYEEDVGRMTMIRVVSHTSVPLLLKNPDYFFKEANTTIYVIWGPFRNMRKDGNGIVYNMLKKTVGIYPNAQIYVTTEKRMSYCDGVFKKETGKDRVQSGSYLSTGWFTFLLAMDACYGIHVYGMINDTYCKTEGYRKVPYHYYEQGRDECDEYFLHEHAPYGGHRFITEKKVFAKWAKKHRIIFTHPNWTLS
+>DECOY_sp|Q8NDV1|SIA7C_HUMAN Alpha-N-acetylgalactosaminide alpha-2,6-sialyltransferase 3 OS=Homo sapiens OX=9606 GN=ST6GALNAC3 PE=2 SV=1
+SLTWNPHTFIIRHKKAWKAFVKKETIFRHGGYPAHEHLFYEDCEDRGQEYYHYPVKRYGETKCYTDNIMGYVHIGYCADMALLFTFWGTSLYSGSQVRDKGTEKKFVGDCYSMRKETTVYIQANPYIGVTKKLMNYVIGNGDKRMNRFPGWIVYITTNAEKFFYDPNKLLLPVSTHSVVRIMTMRGVDEEYGKTPANNMRWICSSRDIENGVKQGVMQGSNSVIACLDCDLQLPEQTKVNIYGYHTRLPRRYTYSFPIWKTGPQGFCNLLLPFNVENVLRVVLLFLFAAIFSVAIVSKRKLICAM
+>sp|Q9BVH7|SIA7E_HUMAN Alpha-N-acetylgalactosaminide alpha-2,6-sialyltransferase 5 OS=Homo sapiens OX=9606 GN=ST6GALNAC5 PE=2 SV=1
+MKTLMRHGLAVCLALTTMCTSLLLVYSSLGGQKERPPQQQQQQQQQQQQASATGSSQPAAESSTQQRPGVPAGPRPLDGYLGVADHKPLKMHCRDCALVTSSGHLLHSRQGSQIDQTECVIRMNDAPTRGYGRDVGNRTSLRVIAHSSIQRILRNRHDLLNVSQGTVFIFWGPSSYMRRDGKGQVYNNLHLLSQVLPRLKAFMITRHKMLQFDELFKQETGKDRKISNTWLSTGWFTMTIALELCDRINVYGMVPPDFCRDPNHPSVPYHYYEPFGPDECTMYLSHERGRKGSHHRFITEKRVFKNWARTFNIHFFQPDWKPESLAINHPENKPVF
+>DECOY_sp|Q9BVH7|SIA7E_HUMAN Alpha-N-acetylgalactosaminide alpha-2,6-sialyltransferase 5 OS=Homo sapiens OX=9606 GN=ST6GALNAC5 PE=2 SV=1
+FVPKNEPHNIALSEPKWDPQFFHINFTRAWNKFVRKETIFRHHSGKRGREHSLYMTCEDPGFPEYYHYPVSPHNPDRCFDPPVMGYVNIRDCLELAITMTFWGTSLWTNSIKRDKGTEQKFLEDFQLMKHRTIMFAKLRPLVQSLLHLNNYVQGKGDRRMYSSPGWFIFVTGQSVNLLDHRNRLIRQISSHAIVRLSTRNGVDRGYGRTPADNMRIVCETQDIQSGQRSHLLHGSSTVLACDRCHMKLPKHDAVGLYGDLPRPGAPVGPRQQTSSEAAPQSSGTASAQQQQQQQQQQQQPPREKQGGLSSYVLLLSTCMTTLALCVALGHRMLTKM
+>sp|O15466|SIA8E_HUMAN Alpha-2,8-sialyltransferase 8E OS=Homo sapiens OX=9606 GN=ST8SIA5 PE=1 SV=2
+MRYADPSANRDLLGSRTLLFIFICAFALVTLLQQILYGRNYIKRYFEFYEGPFEYNSTRCLELRHEILEVKVLSMVKQSELFDRWKSLQMCKWAMNISEANQFKSTLSRCCNAPAFLFTTQKNTPLGTKLKYEVDTSGIYHINQEIFRMFPKDMPYYRSQFKKCAVVGNGGILKNSRCGREINSADFVFRCNLPPISEKYTMDVGVKTDVVTVNPSIITERFHKLEKWRRPFYRVLQVYENASVLLPAFYNTRNTDVSIRVKYVLDDFESPQAVYYFHPQYLVNVSRYWLSLGVRAKRISTGLILVTAALELCEEVHLFGFWAFPMNPSGLYITHHYYDNVKPRPGFHAMPSEIFNFLHLHSRGILRVHTGTCSCC
+>DECOY_sp|O15466|SIA8E_HUMAN Alpha-2,8-sialyltransferase 8E OS=Homo sapiens OX=9606 GN=ST8SIA5 PE=1 SV=2
+CCSCTGTHVRLIGRSHLHLFNFIESPMAHFGPRPKVNDYYHHTIYLGSPNMPFAWFGFLHVEECLELAATVLILGTSIRKARVGLSLWYRSVNVLYQPHFYYVAQPSEFDDLVYKVRISVDTNRTNYFAPLLVSANEYVQLVRYFPRRWKELKHFRETIISPNVTVVDTKVGVDMTYKESIPPLNCRFVFDASNIERGCRSNKLIGGNGVVACKKFQSRYYPMDKPFMRFIEQNIHYIGSTDVEYKLKTGLPTNKQTTFLFAPANCCRSLTSKFQNAESINMAWKCMQLSKWRDFLESQKVMSLVKVELIEHRLELCRTSNYEFPGEYFEFYRKIYNRGYLIQQLLTVLAFACIFIFLLTRSGLLDRNASPDAYRM
+>sp|Q9UNP4|SIAT9_HUMAN Lactosylceramide alpha-2,3-sialyltransferase OS=Homo sapiens OX=9606 GN=ST3GAL5 PE=1 SV=4
+MRTKAAGCAERRPLQPRTEAAAAPAGRAMPSEYTYVKLRSDCSRPSLQWYTRAQSKMRRPSLLLKDILKCTLLVFGVWILYILKLNYTTEECDMKKMHYVDPDHVKRAQKYAQQVLQKECRPKFAKTSMALLFEHRYSVDLLPFVQKAPKDSEAESKYDPPFGFRKFSSKVQTLLELLPEHDLPEHLKAKTCRRCVVIGSGGILHGLELGHTLNQFDVVIRLNSAPVEGYSEHVGNKTTIRMTYPEGAPLSDLEYYSNDLFVAVLFKSVDFNWLQAMVKKETLPFWVRLFFWKQVAEKIPLQPKHFRILNPVIIKETAFDILQYSEPQSRFWGRDKNVPTIGVIAVVLATHLCDEVSLAGFGYDLNQPRTPLHYFDSQCMAAMNFQTMHNVTTETKFLLKLVKEGVVKDLSGGIDREF
+>DECOY_sp|Q9UNP4|SIAT9_HUMAN Lactosylceramide alpha-2,3-sialyltransferase OS=Homo sapiens OX=9606 GN=ST3GAL5 PE=1 SV=4
+FERDIGGSLDKVVGEKVLKLLFKTETTVNHMTQFNMAAMCQSDFYHLPTRPQNLDYGFGALSVEDCLHTALVVAIVGITPVNKDRGWFRSQPESYQLIDFATEKIIVPNLIRFHKPQLPIKEAVQKWFFLRVWFPLTEKKVMAQLWNFDVSKFLVAVFLDNSYYELDSLPAGEPYTMRITTKNGVHESYGEVPASNLRIVVDFQNLTHGLELGHLIGGSGIVVCRRCTKAKLHEPLDHEPLLELLTQVKSSFKRFGFPPDYKSEAESDKPAKQVFPLLDVSYRHEFLLAMSTKAFKPRCEKQLVQQAYKQARKVHDPDVYHMKKMDCEETTYNLKLIYLIWVGFVLLTCKLIDKLLLSPRRMKSQARTYWQLSPRSCDSRLKVYTYESPMARGAPAAAAETRPQLPRREACGAAKTRM
+>sp|A6NMB1|SIG16_HUMAN Sialic acid-binding Ig-like lectin 16 OS=Homo sapiens OX=9606 GN=SIGLEC16 PE=2 SV=3
+MLLLPLLLPVLGAGSLNKDPSYSLQVQRQVPVPEGLCVIVSCNLSYPRDGWDESTAAYGYWFKGRTSPKTGAPVATNNQSREVAMSTRDRFQLTGDPGKGSCSLVIRDAQREDEAWYFFRVERGSRVRHSFLSNAFFLKVTALTQKPDVYIPETLEPGQPVTVICVFNWAFKKCPAPSFSWTGAALSPRRTRPSTSHFSVLSFTPSPQDHDTDLTCHVDFSRKGVSAQRTVRLRVASLELQGNVIYLEVQKGQFLRLLCAADSQPPATLSWVLQDRVLSSSHPWGPRTLGLELPGVKAGDSGRYTCRAENRLGSQQRALDLSVQYPPENLRVMVSQANRTVLENLRNGTSLRVLEGQSLRLVCVTHSSPPARLSWTWGEQTVGPSQPSDPGVLQLPRVQMEHEGEFTCHARHPLGSQRVSLSFSVHCKSGPMTGVVLVAVGEVAMKILLLCLCLILLRVRSCRRKAARAALGMEAADAVTD
+>DECOY_sp|A6NMB1|SIG16_HUMAN Sialic acid-binding Ig-like lectin 16 OS=Homo sapiens OX=9606 GN=SIGLEC16 PE=2 SV=3
+DTVADAAEMGLAARAAKRRCSRVRLLILCLCLLLIKMAVEGVAVLVVGTMPGSKCHVSFSLSVRQSGLPHRAHCTFEGEHEMQVRPLQLVGPDSPQSPGVTQEGWTWSLRAPPSSHTVCVLRLSQGELVRLSTGNRLNELVTRNAQSVMVRLNEPPYQVSLDLARQQSGLRNEARCTYRGSDGAKVGPLELGLTRPGWPHSSSLVRDQLVWSLTAPPQSDAACLLRLFQGKQVELYIVNGQLELSAVRLRVTRQASVGKRSFDVHCTLDTDHDQPSPTFSLVSFHSTSPRTRRPSLAAGTWSFSPAPCKKFAWNFVCIVTVPQGPELTEPIYVDPKQTLATVKLFFANSLFSHRVRSGREVRFFYWAEDERQADRIVLSCSGKGPDGTLQFRDRTSMAVERSQNNTAVPAGTKPSTRGKFWYGYAATSEDWGDRPYSLNCSVIVCLGEPVPVQRQVQLSYSPDKNLSGAGLVPLLLPLLLM
+>sp|Q9NVU7|SDA1_HUMAN Protein SDA1 homolog OS=Homo sapiens OX=9606 GN=SDAD1 PE=1 SV=3
+MSNRNNNKLPSNLPQLQNLIKRDPPAYIEEFLQQYNHYKSNVEIFKLQPNKPSKELAELVMFMAQISHCYPEYLSNFPQEVKDLLSCNHTVLDPDLRMTFCKALILLRNKNLINPSSLLELFFELFRCHDKLLRKTLYTHIVTDIKNINAKHKNNKVNVVLQNFMYTMLRDSNATAAKMSLDVMIELYRRNIWNDAKTVNVITTACFSKVTKILVAALTFFLGKDEDEKQDSDSESEDDGPTARDLLVQYATGKKSSKNKKKLEKAMKVLKKQKKKKKPEVFNFSAIHLIHDPQDFAEKLLKQLECCKERFEVKMMLMNLISRLVGIHELFLFNFYPFLQRFLQPHQREVTKILLFAAQASHHLVPPEIIQSLLMTVANNFVTDKNSGEVMTVGINAIKEITARCPLAMTEELLQDLAQYKTHKDKNVMMSARTLIHLFRTLNPQMLQKKFRGKPTEASIEARVQEYGELDAKDYIPGAEVLEVEKEENAENDEDGWESTSLSEEEDADGEWIDVQHSSDEEQQEISKKLNSMPMEERKAKAAAISTSRVLTQEDFQKIRMAQMRKELDAAPGKSQKRKYIEIDSDEEPRGELLSLRDIERLHKKPKSDKETRLATAMAGKTDRKEFVRKKTKTNPFSSSTNKEKKKQKNFMMMRYSQNVRSKNKRSFREKQLALRDALLKKRKRMK
+>DECOY_sp|Q9NVU7|SDA1_HUMAN Protein SDA1 homolog OS=Homo sapiens OX=9606 GN=SDAD1 PE=1 SV=3
+KMRKRKKLLADRLALQKERFSRKNKSRVNQSYRMMMFNKQKKKEKNTSSSFPNTKTKKRVFEKRDTKGAMATALRTEKDSKPKKHLREIDRLSLLEGRPEEDSDIEIYKRKQSKGPAADLEKRMQAMRIKQFDEQTLVRSTSIAAAKAKREEMPMSNLKKSIEQQEEDSSHQVDIWEGDADEEESLSTSEWGDEDNEANEEKEVELVEAGPIYDKADLEGYEQVRAEISAETPKGRFKKQLMQPNLTRFLHILTRASMMVNKDKHTKYQALDQLLEETMALPCRATIEKIANIGVTMVEGSNKDTVFNNAVTMLLSQIIEPPVLHHSAQAAFLLIKTVERQHPQLFRQLFPYFNFLFLEHIGVLRSILNMLMMKVEFREKCCELQKLLKEAFDQPDHILHIASFNFVEPKKKKKQKKLVKMAKELKKKNKSSKKGTAYQVLLDRATPGDDESESDSDQKEDEDKGLFFTLAAVLIKTVKSFCATTIVNVTKADNWINRRYLEIMVDLSMKAATANSDRLMTYMFNQLVVNVKNNKHKANINKIDTVIHTYLTKRLLKDHCRFLEFFLELLSSPNILNKNRLLILAKCFTMRLDPDLVTHNCSLLDKVEQPFNSLYEPYCHSIQAMFMVLEALEKSPKNPQLKFIEVNSKYHNYQQLFEEIYAPPDRKILNQLQPLNSPLKNNNRNSM
+>sp|P31431|SDC4_HUMAN Syndecan-4 OS=Homo sapiens OX=9606 GN=SDC4 PE=1 SV=2
+MAPARLFALLLFFVGGVAESIRETEVIDPQDLLEGRYFSGALPDDEDVVGPGQESDDFELSGSGDLDDLEDSMIGPEVVHPLVPLDNHIPERAGSGSQVPTEPKKLEENEVIPKRISPVEESEDVSNKVSMSSTVQGSNIFERTEVLAALIVGGIVGILFAVFLILLLMYRMKKKDEGSYDLGKKPIYKKAPTNEFYA
+>DECOY_sp|P31431|SDC4_HUMAN Syndecan-4 OS=Homo sapiens OX=9606 GN=SDC4 PE=1 SV=2
+AYFENTPAKKYIPKKGLDYSGEDKKKMRYMLLLILFVAFLIGVIGGVILAALVETREFINSGQVTSSMSVKNSVDESEEVPSIRKPIVENEELKKPETPVQSGSGAREPIHNDLPVLPHVVEPGIMSDELDDLDGSGSLEFDDSEQGPGVVDEDDPLAGSFYRGELLDQPDIVETERISEAVGGVFFLLLAFLRAPAM
+>sp|O00560|SDCB1_HUMAN Syntenin-1 OS=Homo sapiens OX=9606 GN=SDCBP PE=1 SV=1
+MSLYPSLEDLKVDKVIQAQTAFSANPANPAILSEASAPIPHDGNLYPRLYPELSQYMGLSLNEEEIRANVAVVSGAPLQGQLVARPSSINYMVAPVTGNDVGIRRAEIKQGIREVILCKDQDGKIGLRLKSIDNGIFVQLVQANSPASLVGLRFGDQVLQINGENCAGWSSDKAHKVLKQAFGEKITMTIRDRPFERTITMHKDSTGHVGFIFKNGKITSIVKDSSAARNGLLTEHNICEINGQNVIGLKDSQIADILSTSGTVVTITIMPAFIFEHIIKRMAPSIMKSLMDHTIPEV
+>DECOY_sp|O00560|SDCB1_HUMAN Syntenin-1 OS=Homo sapiens OX=9606 GN=SDCBP PE=1 SV=1
+VEPITHDMLSKMISPAMRKIIHEFIFAPMITITVVTGSTSLIDAIQSDKLGIVNQGNIECINHETLLGNRAASSDKVISTIKGNKFIFGVHGTSDKHMTITREFPRDRITMTIKEGFAQKLVKHAKDSSWGACNEGNIQLVQDGFRLGVLSAPSNAQVLQVFIGNDISKLRLGIKGDQDKCLIVERIGQKIEARRIGVDNGTVPAVMYNISSPRAVLQGQLPAGSVVAVNARIEEENLSLGMYQSLEPYLRPYLNGDHPIPASAESLIAPNAPNASFATQAQIVKDVKLDELSPYLSM
+>sp|A6NFY7|SDHF1_HUMAN Succinate dehydrogenase assembly factor 1, mitochondrial OS=Homo sapiens OX=9606 GN=SDHAF1 PE=1 SV=1
+MSRHSRLQRQVLSLYRDLLRAGRGKPGAEARVRAEFRQHAGLPRSDVLRIEYLYRRGRRQLQLLRSGHATAMGAFVRPRAPTGEPGGVGCQPDDGDSPRNPHDSTGAPETRPDGR
+>DECOY_sp|A6NFY7|SDHF1_HUMAN Succinate dehydrogenase assembly factor 1, mitochondrial OS=Homo sapiens OX=9606 GN=SDHAF1 PE=1 SV=1
+RGDPRTEPAGTSDHPNRPSDGDDPQCGVGGPEGTPARPRVFAGMATAHGSRLLQLQRRGRRYLYEIRLVDSRPLGAHQRFEARVRAEAGPKGRGARLLDRYLSLVQRQLRSHRSM
+>sp|Q96GA7|SDSL_HUMAN Serine dehydratase-like OS=Homo sapiens OX=9606 GN=SDSL PE=1 SV=1
+MDGPVAEHAKQEPFHVVTPLLESWALSQVAGMPVFLKCENVQPSGSFKIRGIGHFCQEMAKKGCRHLVCSSGGNAGIAAAYAARKLGIPATIVLPESTSLQVVQRLQGEGAEVQLTGKVWDEANLRAQELAKRDGWENVPPFDHPLIWKGHASLVQELKAVLRTPPGALVLAVGGGGLLAGVVAGLLEVGWQHVPIIAMETHGAHCFNAAITAGKLVTLPDITSVAKSLGAKTVAARALECMQVCKIHSEVVEDTEAVSAVQQLLDDERMLVEPACGAALAAIYSGLLRRLQAEGCLPPSLTSVVVIVCGGNNINSRELQALKTHLGQV
+>DECOY_sp|Q96GA7|SDSL_HUMAN Serine dehydratase-like OS=Homo sapiens OX=9606 GN=SDSL PE=1 SV=1
+VQGLHTKLAQLERSNINNGGCVIVVVSTLSPPLCGEAQLRRLLGSYIAALAAGCAPEVLMREDDLLQQVASVAETDEVVESHIKCVQMCELARAAVTKAGLSKAVSTIDPLTVLKGATIAANFCHAGHTEMAIIPVHQWGVELLGAVVGALLGGGGVALVLAGPPTRLVAKLEQVLSAHGKWILPHDFPPVNEWGDRKALEQARLNAEDWVKGTLQVEAGEGQLRQVVQLSTSEPLVITAPIGLKRAAYAAAIGANGGSSCVLHRCGKKAMEQCFHGIGRIKFSGSPQVNECKLFVPMGAVQSLAWSELLPTVVHFPEQKAHEAVPGDM
+>sp|Q5TEA6|SE1L2_HUMAN Protein sel-1 homolog 2 OS=Homo sapiens OX=9606 GN=SEL1L2 PE=2 SV=2
+MKPLSLLIEILIILGVTIKTIKAEEHNKRQKERNVTTQVSVNEIKQYLSHILEQRTSSNVINKRENLLEKKKNQRKIRIKGIQNKDILKRNKNHLQKQAEKNFTDEGDQLFKMGIKVLQQSKSQKQKEEAYLLFAKAADMGNLKAMEKMADALLFGNFGVQNITAAIQLYESLAKEGSCKAQNALGFLSSYGIGMEYDQAKALIYYTFGSAGGNMMSQMILGYRYLSGINVLQNCEVALSYYKKVADYIADTFEKSEGVPVEKVRLTERPENLSSNSEILDWDIYQYYKFLAERGDVQIQVSLGQLHLIGRKGLDQDYYKALHYFLKAAKAGSANAMAFIGKMYLEGNAAVPQNNATAFKYFSMAASKGNAIGLHGLGLLYFHGKGVPLNYAEALKYFQKAAEKGWPDAQFQLGFMYYSGSGIWKDYKLAFKYFYLASQSGQPLAIYYLAKMYATGTGVVRSCRTAVELYKGVCELGHWAEKFLTAYFAYKDGDIDSSLVQYALLAEMGYEVAQSNSAFILESKKANILEKEKMYPMALLLWNRAAIQGNAFARVKIGDYHYYGYGTKKDYQTAATHYSIAANKYHNAQAMFNLAYMYEHGLGITKDIHLARRLYDMAAQTSPDAHIPVLFAVMKLETTHLLRDILFFNFTTRWNWLKLDNTIGPHWDLFVIGLIVPGLILLLRNHHG
+>DECOY_sp|Q5TEA6|SE1L2_HUMAN Protein sel-1 homolog 2 OS=Homo sapiens OX=9606 GN=SEL1L2 PE=2 SV=2
+GHHNRLLLILGPVILGIVFLDWHPGITNDLKLWNWRTTFNFFLIDRLLHTTELKMVAFLVPIHADPSTQAAMDYLRRALHIDKTIGLGHEYMYALNFMAQANHYKNAAISYHTAATQYDKKTGYGYYHYDGIKVRAFANGQIAARNWLLLAMPYMKEKELINAKKSELIFASNSQAVEYGMEALLAYQVLSSDIDGDKYAFYATLFKEAWHGLECVGKYLEVATRCSRVVGTGTAYMKALYYIALPQGSQSALYFYKFALKYDKWIGSGSYYMFGLQFQADPWGKEAAKQFYKLAEAYNLPVGKGHFYLLGLGHLGIANGKSAAMSFYKFATANNQPVAANGELYMKGIFAMANASGAKAAKLFYHLAKYYDQDLGKRGILHLQGLSVQIQVDGREALFKYYQYIDWDLIESNSSLNEPRETLRVKEVPVGESKEFTDAIYDAVKKYYSLAVECNQLVNIGSLYRYGLIMQSMMNGGASGFTYYILAKAQDYEMGIGYSSLFGLANQAKCSGEKALSEYLQIAATINQVGFNGFLLADAMKEMAKLNGMDAAKAFLLYAEEKQKQSKSQQLVKIGMKFLQDGEDTFNKEAQKQLHNKNRKLIDKNQIGKIRIKRQNKKKELLNERKNIVNSSTRQELIHSLYQKIENVSVQTTVNREKQRKNHEEAKITKITVGLIILIEILLSLPKM
+>sp|Q9BYH1|SE6L1_HUMAN Seizure 6-like protein OS=Homo sapiens OX=9606 GN=SEZ6L PE=1 SV=1
+MPAARPPAAGLRGISLFLALLLGSPAAALERDALPEGDASPLGPYLLPSGAPERGSPGKEHPEERVVTAPPSSSQSAEVLGELVLDGTAPSAHHDIPALSPLLPEEARPKHALPPKKKLPSLKQVNSARKQLRPKATSAATVQRAGSQPASQGLDLLSSSTEKPGPPGDPDPIVASEEASEVPLWLDRKESAVPTTPAPLQISPFTSQPYVAHTLPQRPEPGEPGPDMAQEAPQEDTSPMALMDKGENELTGSASEESQETTTSTIITTTVITTEQAPALCSVSFSNPEGYIDSSDYPLLPLNNFLECTYNVTVYTGYGVELQVKSVNLSDGELLSIRGVDGPTLTVLANQTLLVEGQVIRSPTNTISVYFRTFQDDGLGTFQLHYQAFMLSCNFPRRPDSGDVTVMDLHSGGVAHFHCHLGYELQGAKMLTCINASKPHWSSQEPICSAPCGGAVHNATIGRVLSPSYPENTNGSQFCIWTIEAPEGQKLHLHFERLLLHDKDRMTVHSGQTNKSALLYDSLQTESVPFEGLLSEGNTIRIEFTSDQARAASTFNIRFEAFEKGHCYEPYIQNGNFTTSDPTYNIGTIVEFTCDPGHSLEQGPAIIECINVRDPYWNDTEPLCRAMCGGELSAVAGVVLSPNWPEPYVEGEDCIWKIHVGEEKRIFLDIQFLNLSNSDILTIYDGDEVMPHILGQYLGNSGPQKLYSSTPDLTIQFHSDPAGLIFGKGQGFIMNYIEVSRNDSCSDLPEIQNGWKTTSHTELVRGARITYQCDPGYDIVGSDTLTCQWDLSWSSDPPFCEKIMYCTDPGEVDHSTRLISDPVLLVGTTIQYTCNPGFVLEGSSLLTCYSRETGTPIWTSRLPHCVSEESLACDNPGLPENGYQILYKRLYLPGESLTFMCYEGFELMGEVTIRCILGQPSHWNGPLPVCKVNQDSFEHALEVAEAAAETSLEGGNMALAIFIPVLIISLLLGGAYIYITRCRYYSNLRLPLMYSHPYSQITVETEFDNPIYETGETREYEVSI
+>DECOY_sp|Q9BYH1|SE6L1_HUMAN Seizure 6-like protein OS=Homo sapiens OX=9606 GN=SEZ6L PE=1 SV=1
+ISVEYERTEGTEYIPNDFETEVTIQSYPHSYMLPLRLNSYYRCRTIYIYAGGLLLSIILVPIFIALAMNGGELSTEAAAEAVELAHEFSDQNVKCVPLPGNWHSPQGLICRITVEGMLEFGEYCMFTLSEGPLYLRKYLIQYGNEPLGPNDCALSEESVCHPLRSTWIPTGTERSYCTLLSSGELVFGPNCTYQITTGVLLVPDSILRTSHDVEGPDTCYMIKECFPPDSSWSLDWQCTLTDSGVIDYGPDCQYTIRAGRVLETHSTTKWGNQIEPLDSCSDNRSVEIYNMIFGQGKGFILGAPDSHFQITLDPTSSYLKQPGSNGLYQGLIHPMVEDGDYITLIDSNSLNLFQIDLFIRKEEGVHIKWICDEGEVYPEPWNPSLVVGAVASLEGGCMARCLPETDNWYPDRVNICEIIAPGQELSHGPDCTFEVITGINYTPDSTTFNGNQIYPEYCHGKEFAEFRINFTSAARAQDSTFEIRITNGESLLGEFPVSETQLSDYLLASKNTQGSHVTMRDKDHLLLREFHLHLKQGEPAEITWICFQSGNTNEPYSPSLVRGITANHVAGGCPASCIPEQSSWHPKSANICTLMKAGQLEYGLHCHFHAVGGSHLDMVTVDGSDPRRPFNCSLMFAQYHLQFTGLGDDQFTRFYVSITNTPSRIVQGEVLLTQNALVTLTPGDVGRISLLEGDSLNVSKVQLEVGYGTYVTVNYTCELFNNLPLLPYDSSDIYGEPNSFSVSCLAPAQETTIVTTTIITSTTTEQSEESASGTLENEGKDMLAMPSTDEQPAEQAMDPGPEGPEPRQPLTHAVYPQSTFPSIQLPAPTTPVASEKRDLWLPVESAEESAVIPDPDGPPGPKETSSSLLDLGQSAPQSGARQVTAASTAKPRLQKRASNVQKLSPLKKKPPLAHKPRAEEPLLPSLAPIDHHASPATGDLVLEGLVEASQSSSPPATVVREEPHEKGPSGREPAGSPLLYPGLPSADGEPLADRELAAAPSGLLLALFLSIGRLGAAPPRAAPM
+>sp|Q12981|SEC20_HUMAN Vesicle transport protein SEC20 OS=Homo sapiens OX=9606 GN=BNIP1 PE=1 SV=3
+MAAPQDVHVRICNQEIVKFDLEVKALIQDIRDCSGPLSALTELNTKVKEKFQQLRHRIQDLEQLAKEQDKESEKQLLLQEVENHKKQMLSNQASWRKANLTCKIAIDNLEKAELLQGGDLLRQRKTTKESLAQTSSTITESLMGISRMMAQQVQQSEEAMQSLVTSSRTILDANEEFKSMSGTIQLGRKLITKYNRRELTDKLLIFLALALFLATVLYIVKKRLFPFL
+>DECOY_sp|Q12981|SEC20_HUMAN Vesicle transport protein SEC20 OS=Homo sapiens OX=9606 GN=BNIP1 PE=1 SV=3
+LFPFLRKKVIYLVTALFLALALFILLKDTLERRNYKTILKRGLQITGSMSKFEENADLITRSSTVLSQMAEESQQVQQAMMRSIGMLSETITSSTQALSEKTTKRQRLLDGGQLLEAKELNDIAIKCTLNAKRWSAQNSLMQKKHNEVEQLLLQKESEKDQEKALQELDQIRHRLQQFKEKVKTNLETLASLPGSCDRIDQILAKVELDFKVIEQNCIRVHVDQPAAM
+>sp|P09683|SECR_HUMAN Secretin OS=Homo sapiens OX=9606 GN=SCT PE=1 SV=2
+MAPRPLLLLLLLLGGSAARPAPPRARRHSDGTFTSELSRLREGARLQRLLQGLVGKRSEQDAENSMAWTRLSAGLLCPSGSNMPILQAWMPLDGTWSPWLPPGPMVSEPAGAAAEGTLRPR
+>DECOY_sp|P09683|SECR_HUMAN Secretin OS=Homo sapiens OX=9606 GN=SCT PE=1 SV=2
+RPRLTGEAAAGAPESVMPGPPLWPSWTGDLPMWAQLIPMNSGSPCLLGASLRTWAMSNEADQESRKGVLGQLLRQLRAGERLRSLESTFTGDSHRRARPPAPRAASGGLLLLLLLLPRPAM
+>sp|P57772|SELB_HUMAN Selenocysteine-specific elongation factor OS=Homo sapiens OX=9606 GN=EEFSEC PE=1 SV=4
+MAGRRVNVNVGVLGHIDSGKTALARALSTTASTAAFDKQPQSRERGITLDLGFSCFSVPLPARLRSSLPEFQAAPEAEPEPGEPLLQVTLVDCPGHASLIRTIIGGAQIIDLMMLVIDVTKGMQTQSAECLVIGQIACQKLVVVLNKIDLLPEGKRQAAIDKMTKKMQKTLENTKFRGAPIIPVAAKPGGPEAPETEAPQGIPELIELLTSQISIPTRDPSGPFLMSVDHCFSIKGQGTVMTGTILSGSISLGDSVEIPALKVVKKVKSMQMFHMPITSAMQGDRLGICVTQFDPKLLERGLVCAPESLHTVHAALISVEKIPYFRGPLQTKAKFHITVGHETVMGRLMFFSPAPDNFDQEPILDSFNFSQEYLFQEQYLSKDLTPAVTDNDEADKKAGQATEGHCPRQQWALVEFEKPVTCPRLCLVIGSRLDADIHTNTCRLAFHGILLHGLEDRNYADSFLPRLKVYKLKHKHGLVERAMDDYSVIGRSLFKKETNIQLFVGLKVHLSTGELGIIDSAFGQSGKFKIHIPGGLSPESKKILTPALKKRARAGRGEATRQEESAERSEPSQHVVLSLTFKRYVFDTHKRMVQSP
+>DECOY_sp|P57772|SELB_HUMAN Selenocysteine-specific elongation factor OS=Homo sapiens OX=9606 GN=EEFSEC PE=1 SV=4
+PSQVMRKHTDFVYRKFTLSLVVHQSPESREASEEQRTAEGRGARARKKLAPTLIKKSEPSLGGPIHIKFKGSQGFASDIIGLEGTSLHVKLGVFLQINTEKKFLSRGIVSYDDMAREVLGHKHKLKYVKLRPLFSDAYNRDELGHLLIGHFALRCTNTHIDADLRSGIVLCLRPCTVPKEFEVLAWQQRPCHGETAQGAKKDAEDNDTVAPTLDKSLYQEQFLYEQSFNFSDLIPEQDFNDPAPSFFMLRGMVTEHGVTIHFKAKTQLPGRFYPIKEVSILAAHVTHLSEPACVLGRELLKPDFQTVCIGLRDGQMASTIPMHFMQMSKVKKVVKLAPIEVSDGLSISGSLITGTMVTGQGKISFCHDVSMLFPGSPDRTPISIQSTLLEILEPIGQPAETEPAEPGGPKAAVPIIPAGRFKTNELTKQMKKTMKDIAAQRKGEPLLDIKNLVVVLKQCAIQGIVLCEASQTQMGKTVDIVLMMLDIIQAGGIITRILSAHGPCDVLTVQLLPEGPEPEAEPAAQFEPLSSRLRAPLPVSFCSFGLDLTIGRERSQPQKDFAATSATTSLARALATKGSDIHGLVGVNVNVRRGAM
+>sp|Q6ZVN7|SEML_HUMAN Putative protein SEM1, isoform 2 OS=Homo sapiens OX=9606 GN=SEM1 PE=2 SV=2
+MYCQDSNICAVFAVQGGKVGRKHGIKRGRRPSIRSPAQRARGPWIHESKHPAFAKQQINLEMPNSRATTELAWVCSSTSRKKKWARSLTLSTAPLSPPPSLVHCEDCSCLPGCHSGDLYNLAPAERTC
+>DECOY_sp|Q6ZVN7|SEML_HUMAN Putative protein SEM1, isoform 2 OS=Homo sapiens OX=9606 GN=SEM1 PE=2 SV=2
+CTREAPALNYLDGSHCGPLCSCDECHVLSPPPSLPATSLTLSRAWKKKRSTSSCVWALETTARSNPMELNIQQKAFAPHKSEHIWPGRARQAPSRISPRRGRKIGHKRGVKGGQVAFVACINSDQCYM
+>sp|Q96LD8|SENP8_HUMAN Sentrin-specific protease 8 OS=Homo sapiens OX=9606 GN=SENP8 PE=1 SV=1
+MDPVVLSYMDSLLRQSDVSLLDPPSWLNDHIIGFAFEYFANSQFHDCSDHVSFISPEVTQFIKCTSNPAEIAMFLEPLDLPNKRVVFLAINDNSNQAAGGTHWSLLVYLQDKNSFFHYDSHSRSNSVHAKQVAEKLEAFLGRKGDKLAFVEEKAPAQQNSYDCGMYVICNTEALCQNFFRQQTESLLQLLTPAYITKKRGEWKDLITTLAKK
+>DECOY_sp|Q96LD8|SENP8_HUMAN Sentrin-specific protease 8 OS=Homo sapiens OX=9606 GN=SENP8 PE=1 SV=1
+KKALTTILDKWEGRKKTIYAPTLLQLLSETQQRFFNQCLAETNCIVYMGCDYSNQQAPAKEEVFALKDGKRGLFAELKEAVQKAHVSNSRSHSDYHFFSNKDQLYVLLSWHTGGAAQNSNDNIALFVVRKNPLDLPELFMAIEAPNSTCKIFQTVEPSIFSVHDSCDHFQSNAFYEFAFGIIHDNLWSPPDLLSVDSQRLLSDMYSLVVPDM
+>sp|Q9NVA2|SEP11_HUMAN Septin-11 OS=Homo sapiens OX=9606 GN=SEPT11 PE=1 SV=3
+MAVAVGRPSNEELRNLSLSGHVGFDSLPDQLVNKSTSQGFCFNILCVGETGIGKSTLMDTLFNTKFESDPATHNEPGVRLKARSYELQESNVRLKLTIVDTVGFGDQINKDDSYKPIVEYIDAQFEAYLQEELKIKRSLFNYHDTRIHACLYFIAPTGHSLKSLDLVTMKKLDSKVNIIPIIAKADTIAKNELHKFKSKIMSELVSNGVQIYQFPTDEETVAEINATMSVHLPFAVVGSTEEVKIGNKMAKARQYPWGVVQVENENHCDFVKLREMLIRVNMEDLREQTHTRHYELYRRCKLEEMGFKDTDPDSKPFSLQETYEAKRNEFLGELQKKEEEMRQMFVMRVKEKEAELKEAEKELHEKFDLLKRTHQEEKKKVEDKKKELEEEVNNFQKKKAAAQLLQSQAQQSGAQQTKKDKDKKNASFT
+>DECOY_sp|Q9NVA2|SEP11_HUMAN Septin-11 OS=Homo sapiens OX=9606 GN=SEPT11 PE=1 SV=3
+TFSANKKDKDKKTQQAGSQQAQSQLLQAAAKKKQFNNVEEELEKKKDEVKKKEEQHTRKLLDFKEHLEKEAEKLEAEKEKVRMVFMQRMEEEKKQLEGLFENRKAEYTEQLSFPKSDPDTDKFGMEELKCRRYLEYHRTHTQERLDEMNVRILMERLKVFDCHNENEVQVVGWPYQRAKAMKNGIKVEETSGVVAFPLHVSMTANIEAVTEEDTPFQYIQVGNSVLESMIKSKFKHLENKAITDAKAIIPIINVKSDLKKMTVLDLSKLSHGTPAIFYLCAHIRTDHYNFLSRKIKLEEQLYAEFQADIYEVIPKYSDDKNIQDGFGVTDVITLKLRVNSEQLEYSRAKLRVGPENHTAPDSEFKTNFLTDMLTSKGIGTEGVCLINFCFGQSTSKNVLQDPLSDFGVHGSLSLNRLEENSPRGVAVAM
+>sp|Q8IYM1|SEP12_HUMAN Septin-12 OS=Homo sapiens OX=9606 GN=SEPT12 PE=1 SV=1
+MDPLRRSPSPCLSSQPSSPSTPPCEMLGPVGIEAVLDQLKIKAMKMGFEFNIMVVGQSGLGKSTMVNTLFKSKVWKSNPPGLGVPTPQTLQLHSLTHVIEEKGVKLKLTVTDTPGFGDQINNDNCWDPILGYINEQYEQYLQEEILITRQRHIPDTRVHCCVYFVPPTGHCLRPLDIEFLQRLCRTVNVVPVIARADSLTMEEREAFRRRIQQNLRTHCIDVYPQMCFDEDINDKILNSKLRDRIPFAVVGADQEHLVNGRCVLGRKTKWGIIEVENMAHCEFPLLRDLLIRSHLQDLKDITHNIHYENYRVIRLNESHLLPRGPGWVNLAPASPGQLTTPRTFKVCRGAHDDSDDEF
+>DECOY_sp|Q8IYM1|SEP12_HUMAN Septin-12 OS=Homo sapiens OX=9606 GN=SEPT12 PE=1 SV=1
+FEDDSDDHAGRCVKFTRPTTLQGPSAPALNVWGPGRPLLHSENLRIVRYNEYHINHTIDKLDQLHSRILLDRLLPFECHAMNEVEIIGWKTKRGLVCRGNVLHEQDAGVVAFPIRDRLKSNLIKDNIDEDFCMQPYVDICHTRLNQQIRRRFAEREEMTLSDARAIVPVVNVTRCLRQLFEIDLPRLCHGTPPVFYVCCHVRTDPIHRQRTILIEEQLYQEYQENIYGLIPDWCNDNNIQDGFGPTDTVTLKLKVGKEEIVHTLSHLQLTQPTPVGLGPPNSKWVKSKFLTNVMTSKGLGSQGVVMINFEFGMKMAKIKLQDLVAEIGVPGLMECPPTSPSSPQSSLCPSPSRRLPDM
+>sp|P0DME0|SETLP_HUMAN Protein SETSIP OS=Homo sapiens OX=9606 GN=SETSIP PE=1 SV=1
+MVWFLDFPNSMAPKRQSPLPLQKKKPRPPPALGLEETSASAGLPKKGEKEQQEAIEHIDEVQNEIDRLNEQDSEEILKVEQKYNKLRQPFFQKRSELIAKIPNFGVTTFVNHPQVSSLLGEEDEEALHYLTKVEVTEFEDIKSGYRIDFYFDENPYFENKVFSKEFHLNESGDPSSKSTKIKWKSGKDVTKRSSQTQNKASRKRQHEEPESFFTWFTDHSDAGADELEEVIKDDIWPNPLQYYLVPDMDDEEGGEDDDDDDDDGDEGEEELEDIDEGDEDEGEEDEDDDEGEEGEEDEGEDD
+>DECOY_sp|P0DME0|SETLP_HUMAN Protein SETSIP OS=Homo sapiens OX=9606 GN=SETSIP PE=1 SV=1
+DDEGEDEEGEEGEDDDEDEEGEDEDGEDIDELEEEGEDGDDDDDDDDEGGEEDDMDPVLYYQLPNPWIDDKIVEELEDAGADSHDTFWTFFSEPEEHQRKRSAKNQTQSSRKTVDKGSKWKIKTSKSSPDGSENLHFEKSFVKNEFYPNEDFYFDIRYGSKIDEFETVEVKTLYHLAEEDEEGLLSSVQPHNVFTTVGFNPIKAILESRKQFFPQRLKNYKQEVKLIEESDQENLRDIENQVEDIHEIAEQQEKEGKKPLGASASTEELGLAPPPRPKKKQLPLPSQRKPAMSNPFDLFWVM
+>sp|Q7Z333|SETX_HUMAN Probable helicase senataxin OS=Homo sapiens OX=9606 GN=SETX PE=1 SV=4
+MSTCCWCTPGGASTIDFLKRYASNTPSGEFQTADEDLCYCLECVAEYHKARDELPFLHEVLWELETLRLINHFEKSMKAEIGDDDELYIVDNNGEMPLFDITGQDFENKLRVPLLEILKYPYLLLHERVNELCVEALCRMEQANCSFQVFDKHPGIYLFLVHPNEMVRRWAILTARNLGKVDRDDYYDLQEVLLCLFKVIELGLLESPDIYTSSVLEKGKLILLPSHMYDTTNYKSYWLGICMLLTILEEQAMDSLLLGSDKQNDFMQSILHTMEREADDDSVDPFWPALHCFMVILDRLGSKVWGQLMDPIVAFQTIINNASYNREIRHIRNSSVRTKLEPESYLDDMVTCSQIVYNYNPEKTKKDSGWRTAICPDYCPNMYEEMETLASVLQSDIGQDMRVHNSTFLWFIPFVQSLMDLKDLGVAYIAQVVNHLYSEVKEVLNQTDAVCDKVTEFFLLILVSVIELHRNKKCLHLLWVSSQQWVEAVVKCAKLPTTAFTRSSEKSSGNCSKGTAMISSLSLHSMPSNSVQLAYVQLIRSLLKEGYQLGQQSLCKRFWDKLNLFLRGNLSLGWQLTSQETHELQSCLKQIIRNIKFKAPPCNTFVDLTSACKISPASYNKEESEQMGKTSRKDMHCLEASSPTFSKEPMKVQDSVLIKADNTIEGDNNEQNYIKDVKLEDHLLAGSCLKQSSKNIFTERAEDQIKISTRKQKSVKEISSYTPKDCTSRNGPERGCDRGIIVSTRLLTDSSTDALEKVSTSNEDFSLKDDALAKTSKRKTKVQKDEICAKLSHVIKKQHRKSTLVDNTINLDENLTVSNIESFYSRKDTGVQKGDGFIHNLSLDPSGVLDDKNGEQKSQNNVLPKEKQLKNEELVIFSFHENNCKIQEFHVDGKELIPFTEMTNASEKKSSPFKDLMTVPESRDEEMSNSTSVIYSNLTREQAPDISPKSDTLTDSQIDRDLHKLSLLAQASVITFPSDSPQNSSQLQRKVKEDKRCFTANQNNVGDTSRGQVIIISDSDDDDDERILSLEKLTKQDKICLEREHPEQHVSTVNSKEEKNPVKEEKTETLFQFEESDSQCFEFESSSEVFSVWQDHPDDNNSVQDGEKKCLAPIANTTNGQGCTDYVSEVVKKGAEGIEEHTRPRSISVEEFCEIEVKKPKRKRSEKPMAEDPVRPSSSVRNEGQSDTNKRDLVGNDFKSIDRRTSTPNSRIQRATTVSQKKSSKLCTCTEPIRKVPVSKTPKKTHSDAKKGQNRSSNYLSCRTTPAIVPPKKFRQCPEPTSTAEKLGLKKGPRKAYELSQRSLDYVAQLRDHGKTVGVVDTRKKTKLISPQNLSVRNNKKLLTSQELQMQRQIRPKSQKNRRRLSDCESTDVKRAGSHTAQNSDIFVPESDRSDYNCTGGTEVLANSNRKQLIKCMPSEPETIKAKHGSPATDDACPLNQCDSVVLNGTVPTNEVIVSTSEDPLGGGDPTARHIEMAALKEGEPDSSSDAEEDNLFLTQNDPEDMDLCSQMENDNYKLIELIHGKDTVEVEEDSVSRPQLESLSGTKCKYKDCLETTKNQGEYCPKHSEVKAADEDVFRKPGLPPPASKPLRPTTKIFSSKSTSRIAGLSKSLETSSALSPSLKNKSKGIQSILKVPQPVPLIAQKPVGEMKNSCNVLHPQSPNNSNRQGCKVPFGESKYFPSSSPVNILLSSQSVSDTFVKEVLKWKYEMFLNFGQCGPPASLCQSISRPVPVRFHNYGDYFNVFFPLMVLNTFETVAQEWLNSPNRENFYQLQVRKFPADYIKYWEFAVYLEECELAKQLYPKENDLVFLAPERINEEKKDTERNDIQDLHEYHSGYVHKFRRTSVMRNGKTECYLSIQTQENFPANLNELVNCIVISSLVTTQRKLKAMSLLGSRNQLARAVLNPNPMDFCTKDLLTTTSERIIAYLRDFNEDQKKAIETAYAMVKHSPSVAKICLIHGPPGTGKSKTIVGLLYRLLTENQRKGHSDENSNAKIKQNRVLVCAPSNAAVDELMKKIILEFKEKCKDKKNPLGNCGDINLVRLGPEKSINSEVLKFSLDSQVNHRMKKELPSHVQAMHKRKEFLDYQLDELSRQRALCRGGREIQRQELDENISKVSKERQELASKIKEVQGRPQKTQSIIILESHIICCTLSTSGGLLLESAFRGQGGVPFSCVIVDEAGQSCEIETLTPLIHRCNKLILVGDPKQLPPTVISMKAQEYGYDQSMMARFCRLLEENVEHNMISRLPILQLTVQYRMHPDICLFPSNYVYNRNLKTNRQTEAIRCSSDWPFQPYLVFDVGDGSERRDNDSYINVQEIKLVMEIIKLIKDKRKDVSFRNIGIITHYKAQKTMIQKDLDKEFDRKGPAEVDTVDAFQGRQKDCVIVTCVRANSIQGSIGFLASLQRLNVTITRAKYSLFILGHLRTLMENQHWNQLIQDAQKRGAIIKTCDKNYRHDAVKILKLKPVLQRSLTHPPTIAPEGSRPQGGLPSSKLDSGFAKTSVAASLYHTPSDSKEITLTVTSKDPERPPVHDQLQDPRLLKRMGIEVKGGIFLWDPQPSSPQHPGATPPTGEPGFPVVHQDLSHIQQPAAVVAALSSHKPPVRGEPPAASPEASTCQSKCDDPEEELCHRREARAFSEGEQEKCGSETHHTRRNSRWDKRTLEQEDSSSKKRKLL
+>DECOY_sp|Q7Z333|SETX_HUMAN Probable helicase senataxin OS=Homo sapiens OX=9606 GN=SETX PE=1 SV=4
+LLKRKKSSSDEQELTRKDWRSNRRTHHTESGCKEQEGESFARAERRHCLEEEPDDCKSQCTSAEPSAAPPEGRVPPKHSSLAAVVAAPQQIHSLDQHVVPFGPEGTPPTAGPHQPSSPQPDWLFIGGKVEIGMRKLLRPDQLQDHVPPREPDKSTVTLTIEKSDSPTHYLSAAVSTKAFGSDLKSSPLGGQPRSGEPAITPPHTLSRQLVPKLKLIKVADHRYNKDCTKIIAGRKQADQILQNWHQNEMLTRLHGLIFLSYKARTITVNLRQLSALFGISGQISNARVCTVIVCDKQRGQFADVTDVEAPGKRDFEKDLDKQIMTKQAKYHTIIGINRFSVDKRKDKILKIIEMVLKIEQVNIYSDNDRRESGDGVDFVLYPQFPWDSSCRIAETQRNTKLNRNYVYNSPFLCIDPHMRYQVTLQLIPLRSIMNHEVNEELLRCFRAMMSQDYGYEQAKMSIVTPPLQKPDGVLILKNCRHILPTLTEIECSQGAEDVIVCSFPVGGQGRFASELLLGGSTSLTCCIIHSELIIISQTKQPRGQVEKIKSALEQREKSVKSINEDLEQRQIERGGRCLARQRSLEDLQYDLFEKRKHMAQVHSPLEKKMRHNVQSDLSFKLVESNISKEPGLRVLNIDGCNGLPNKKDKCKEKFELIIKKMLEDVAANSPACVLVRNQKIKANSNEDSHGKRQNETLLRYLLGVITKSKGTGPPGHILCIKAVSPSHKVMAYATEIAKKQDENFDRLYAIIRESTTTLLDKTCFDMPNPNLVARALQNRSGLLSMAKLKRQTTVLSSIVICNVLENLNAPFNEQTQISLYCETKGNRMVSTRRFKHVYGSHYEHLDQIDNRETDKKEENIREPALFVLDNEKPYLQKALECEELYVAFEWYKIYDAPFKRVQLQYFNERNPSNLWEQAVTEFTNLVMLPFFVNFYDGYNHFRVPVPRSISQCLSAPPGCQGFNLFMEYKWKLVEKVFTDSVSQSSLLINVPSSSPFYKSEGFPVKCGQRNSNNPSQPHLVNCSNKMEGVPKQAILPVPQPVKLISQIGKSKNKLSPSLASSTELSKSLGAIRSTSKSSFIKTTPRLPKSAPPPLGPKRFVDEDAAKVESHKPCYEGQNKTTELCDKYKCKTGSLSELQPRSVSDEEVEVTDKGHILEILKYNDNEMQSCLDMDEPDNQTLFLNDEEADSSSDPEGEKLAAMEIHRATPDGGGLPDESTSVIVENTPVTGNLVVSDCQNLPCADDTAPSGHKAKITEPESPMCKILQKRNSNALVETGGTCNYDSRDSEPVFIDSNQATHSGARKVDTSECDSLRRRNKQSKPRIQRQMQLEQSTLLKKNNRVSLNQPSILKTKKRTDVVGVTKGHDRLQAVYDLSRQSLEYAKRPGKKLGLKEATSTPEPCQRFKKPPVIAPTTRCSLYNSSRNQGKKADSHTKKPTKSVPVKRIPETCTCLKSSKKQSVTTARQIRSNPTSTRRDISKFDNGVLDRKNTDSQGENRVSSSPRVPDEAMPKESRKRKPKKVEIECFEEVSISRPRTHEEIGEAGKKVVESVYDTCGQGNTTNAIPALCKKEGDQVSNNDDPHDQWVSFVESSSEFEFCQSDSEEFQFLTETKEEKVPNKEEKSNVTSVHQEPHERELCIKDQKTLKELSLIREDDDDDSDSIIIVQGRSTDGVNNQNATFCRKDEKVKRQLQSSNQPSDSPFTIVSAQALLSLKHLDRDIQSDTLTDSKPSIDPAQERTLNSYIVSTSNSMEEDRSEPVTMLDKFPSSKKESANTMETFPILEKGDVHFEQIKCNNEHFSFIVLEENKLQKEKPLVNNQSKQEGNKDDLVGSPDLSLNHIFGDGKQVGTDKRSYFSEINSVTLNEDLNITNDVLTSKRHQKKIVHSLKACIEDKQVKTKRKSTKALADDKLSFDENSTSVKELADTSSDTLLRTSVIIGRDCGREPGNRSTCDKPTYSSIEKVSKQKRTSIKIQDEARETFINKSSQKLCSGALLHDELKVDKIYNQENNDGEITNDAKILVSDQVKMPEKSFTPSSAELCHMDKRSTKGMQESEEKNYSAPSIKCASTLDVFTNCPPAKFKINRIIQKLCSQLEHTEQSTLQWGLSLNGRLFLNLKDWFRKCLSQQGLQYGEKLLSRILQVYALQVSNSPMSHLSLSSIMATGKSCNGSSKESSRTFATTPLKACKVVAEVWQQSSVWLLHLCKKNRHLEIVSVLILLFFETVKDCVADTQNLVEKVESYLHNVVQAIYAVGLDKLDMLSQVFPIFWLFTSNHVRMDQGIDSQLVSALTEMEEYMNPCYDPCIATRWGSDKKTKEPNYNYVIQSCTVMDDLYSEPELKTRVSSNRIHRIERNYSANNIITQFAVIPDMLQGWVKSGLRDLIVMFCHLAPWFPDVSDDDAEREMTHLISQMFDNQKDSGLLLSDMAQEELITLLMCIGLWYSKYNTTDYMHSPLLILKGKELVSSTYIDPSELLGLEIVKFLCLLVEQLDYYDDRDVKGLNRATLIAWRRVMENPHVLFLYIGPHKDFVQFSCNAQEMRCLAEVCLENVREHLLLYPYKLIELLPVRLKNEFDQGTIDFLPMEGNNDVIYLEDDDGIEAKMSKEFHNILRLTELEWLVEHLFPLEDRAKHYEAVCELCYCLDEDATQFEGSPTNSAYRKLFDITSAGGPTCWCCTSM
+>sp|Q53EL9|SEZ6_HUMAN Seizure protein 6 homolog OS=Homo sapiens OX=9606 GN=SEZ6 PE=1 SV=2
+MRPVALLLLPSLLALLAHGLSLEAPTVGKGQAPGIEETDGELTAAPTPEQPERGVHFVTTAPTLKLLNHHPLLEEFLQEGLEKGDEELRPALPFQPDPPAPFTPSPLPRLANQDSRPVFTSPTPAMAAVPTQPQSKEGPWSPESESPMLRITAPLPPGPSMAVPTLGPGEIASTTPPSRAWTPTQEGPGDMGRPWVAEVVSQGAGIGIQGTITSSTASGDDEETTTTTTIITTTITTVQTPGPCSWNFSGPEGSLDSPTDLSSPTDVGLDCFFYISVYPGYGVEIKVQNISLREGETVTVEGLGGPDPLPLANQSFLLRGQVIRSPTHQAALRFQSLPPPAGPGTFHFHYQAYLLSCHFPRRPAYGDVTVTSLHPGGSARFHCATGYQLKGARHLTCLNATQPFWDSKEPVCIAACGGVIRNATTGRIVSPGFPGNYSNNLTCHWLLEAPEGQRLHLHFEKVSLAEDDDRLIIRNGDNVEAPPVYDSYEVEYLPIEGLLSSGKHFFVELSTDSSGAAAGMALRYEAFQQGHCYEPFVKYGNFSSSTPTYPVGTTVEFSCDPGYTLEQGSIIIECVDPHDPQWNETEPACRAVCSGEITDSAGVVLSPNWPEPYGRGQDCIWGVHVEEDKRIMLDIRVLRIGPGDVLTFYDGDDLTARVLGQYSGPRSHFKLFTSMADVTIQFQSDPGTSVLGYQQGFVIHFFEVPRNDTCPELPEIPNGWKSPSQPELVHGTVVTYQCYPGYQVVGSSVLMCQWDLTWSEDLPSCQRVTSCHDPGDVEHSRRLISSPKFPVGATVQYICDQGFVLMGSSILTCHDRQAGSPKWSDRAPKCLLEQLKPCHGLSAPENGARSPEKQLHPAGATIHFSCAPGYVLKGQASIKCVPGHPSHWSDPPPICRAASLDGFYNSRSLDVAKAPAASSTLDAAHIAAAIFLPLVAMVLLVGGVYFYFSRLQGKSSLQLPRPRPRPYNRITIESAFDNPTYETGSLSFAGDERI
+>DECOY_sp|Q53EL9|SEZ6_HUMAN Seizure protein 6 homolog OS=Homo sapiens OX=9606 GN=SEZ6 PE=1 SV=2
+IREDGAFSLSGTEYTPNDFASEITIRNYPRPRPRPLQLSSKGQLRSFYFYVGGVLLVMAVLPLFIAAAIHAADLTSSAAPAKAVDLSRSNYFGDLSAARCIPPPDSWHSPHGPVCKISAQGKLVYGPACSFHITAGAPHLQKEPSRAGNEPASLGHCPKLQELLCKPARDSWKPSGAQRDHCTLISSGMLVFGQDCIYQVTAGVPFKPSSILRRSHEVDGPDHCSTVRQCSPLDESWTLDWQCMLVSSGVVQYGPYCQYTVVTGHVLEPQSPSKWGNPIEPLEPCTDNRPVEFFHIVFGQQYGLVSTGPDSQFQITVDAMSTFLKFHSRPGSYQGLVRATLDDGDYFTLVDGPGIRLVRIDLMIRKDEEVHVGWICDQGRGYPEPWNPSLVVGASDTIEGSCVARCAPETENWQPDHPDVCEIIISGQELTYGPDCSFEVTTGVPYTPTSSSFNGYKVFPEYCHGQQFAEYRLAMGAAAGSSDTSLEVFFHKGSSLLGEIPLYEVEYSDYVPPAEVNDGNRIILRDDDEALSVKEFHLHLRQGEPAELLWHCTLNNSYNGPFGPSVIRGTTANRIVGGCAAICVPEKSDWFPQTANLCTLHRAGKLQYGTACHFRASGGPHLSTVTVDGYAPRRPFHCSLLYAQYHFHFTGPGAPPPLSQFRLAAQHTPSRIVQGRLLFSQNALPLPDPGGLGEVTVTEGERLSINQVKIEVGYGPYVSIYFFCDLGVDTPSSLDTPSDLSGEPGSFNWSCPGPTQVTTITTTIITTTTTTEEDDGSATSSTITGQIGIGAGQSVVEAVWPRGMDGPGEQTPTWARSPPTTSAIEGPGLTPVAMSPGPPLPATIRLMPSESEPSWPGEKSQPQTPVAAMAPTPSTFVPRSDQNALRPLPSPTFPAPPDPQFPLAPRLEEDGKELGEQLFEELLPHHNLLKLTPATTVFHVGREPQEPTPAATLEGDTEEIGPAQGKGVTPAELSLGHALLALLSPLLLLAVPRM
+>sp|Q8IWL1|SFPA2_HUMAN Pulmonary surfactant-associated protein A2 OS=Homo sapiens OX=9606 GN=SFTPA2 PE=1 SV=1
+MWLCPLALNLILMAASGAACEVKDVCVGSPGIPGTPGSHGLPGRDGRDGVKGDPGPPGPMGPPGETPCPPGNNGLPGAPGVPGERGEKGEAGERGPPGLPAHLDEELQATLHDFRHQILQTRGALSLQGSIMTVGEKVFSSNGQSITFDAIQEACARAGGRIAVPRNPEENEAIASFVKKYNTYAYVGLTEGPSPGDFRYSDGTPVNYTNWYRGEPAGRGKEQCVEMYTDGQWNDRNCLYSRLTICEF
+>DECOY_sp|Q8IWL1|SFPA2_HUMAN Pulmonary surfactant-associated protein A2 OS=Homo sapiens OX=9606 GN=SFTPA2 PE=1 SV=1
+FECITLRSYLCNRDNWQGDTYMEVCQEKGRGAPEGRYWNTYNVPTGDSYRFDGPSPGETLGVYAYTNYKKVFSAIAENEEPNRPVAIRGGARACAEQIADFTISQGNSSFVKEGVTMISGQLSLAGRTQLIQHRFDHLTAQLEEDLHAPLGPPGREGAEGKEGREGPVGPAGPLGNNGPPCPTEGPPGMPGPPGPDGKVGDRGDRGPLGHSGPTGPIGPSGVCVDKVECAAGSAAMLILNLALPCLWM
+>sp|P23246|SFPQ_HUMAN Splicing factor, proline- and glutamine-rich OS=Homo sapiens OX=9606 GN=SFPQ PE=1 SV=2
+MSRDRFRSRGGGGGGFHRRGGGGGRGGLHDFRSPPPGMGLNQNRGPMGPGPGQSGPKPPIPPPPPHQQQQQPPPQQPPPQQPPPHQPPPHPQPHQQQQPPPPPQDSSKPVVAQGPGPAPGVGSAPPASSSAPPATPPTSGAPPGSGPGPTPTPPPAVTSAPPGAPPPTPPSSGVPTTPPQAGGPPPPPAAVPGPGPGPKQGPGPGGPKGGKMPGGPKPGGGPGLSTPGGHPKPPHRGGGEPRGGRQHHPPYHQQHHQGPPPGGPGGRSEEKISDSEGFKANLSLLRRPGEKTYTQRCRLFVGNLPADITEDEFKRLFAKYGEPGEVFINKGKGFGFIKLESRALAEIAKAELDDTPMRGRQLRVRFATHAAALSVRNLSPYVSNELLEEAFSQFGPIERAVVIVDDRGRSTGKGIVEFASKPAARKAFERCSEGVFLLTTTPRPVIVEPLEQLDDEDGLPEKLAQKNPMYQKERETPPRFAQHGTFEYEYSQRWKSLDEMEKQQREQVEKNMKDAKDKLESEMEDAYHEHQANLLRQDLMRRQEELRRMEELHNQEMQKRKEMQLRQEEERRRREEEMMIRQREMEEQMRRQREESYSRMGYMDPRERDMRMGGGGAMNMGDPYGSGGQKFPPLGGGGGIGYEANPGVPPATMSGSMMGSDMRTERFGQGGAGPVGGQGPRGMGPGTPAGYGRGREEYEGPNKKPRF
+>DECOY_sp|P23246|SFPQ_HUMAN Splicing factor, proline- and glutamine-rich OS=Homo sapiens OX=9606 GN=SFPQ PE=1 SV=2
+FRPKKNPGEYEERGRGYGAPTGPGMGRPGQGGVPGAGGQGFRETRMDSGMMSGSMTAPPVGPNAEYGIGGGGGLPPFKQGGSGYPDGMNMAGGGGMRMDRERPDMYGMRSYSEERQRRMQEEMERQRIMMEEERRRREEEQRLQMEKRKQMEQNHLEEMRRLEEQRRMLDQRLLNAQHEHYADEMESELKDKADKMNKEVQERQQKEMEDLSKWRQSYEYEFTGHQAFRPPTEREKQYMPNKQALKEPLGDEDDLQELPEVIVPRPTTTLLFVGESCREFAKRAAPKSAFEVIGKGTSRGRDDVIVVAREIPGFQSFAEELLENSVYPSLNRVSLAAAHTAFRVRLQRGRMPTDDLEAKAIEALARSELKIFGFGKGKNIFVEGPEGYKAFLRKFEDETIDAPLNGVFLRCRQTYTKEGPRRLLSLNAKFGESDSIKEESRGGPGGPPPGQHHQQHYPPHHQRGGRPEGGGRHPPKPHGGPTSLGPGGGPKPGGPMKGGKPGGPGPGQKPGPGPGPVAAPPPPPGGAQPPTTPVGSSPPTPPPAGPPASTVAPPPTPTPGPGSGPPAGSTPPTAPPASSSAPPASGVGPAPGPGQAVVPKSSDQPPPPPQQQQHPQPHPPPQHPPPQQPPPQQPPPQQQQQHPPPPPIPPKPGSQGPGPGMPGRNQNLGMGPPPSRFDHLGGRGGGGGRRHFGGGGGGRSRFRDRSM
+>sp|O95562|SFT2B_HUMAN Vesicle transport protein SFT2B OS=Homo sapiens OX=9606 GN=SFT2D2 PE=1 SV=1
+MDKLKKVLSGQDTEDRSGLSEVVEASSLSWSTRIKGFIACFAIGILCSLLGTVLLWVPRKGLHLFAVFYTFGNIASIGSTIFLMGPVKQLKRMFEPTRLIATIMVLLCFALTLCSAFWWHNKGLALIFCILQSLALTWYSLSFIPFARDAVKKCFAVCLA
+>DECOY_sp|O95562|SFT2B_HUMAN Vesicle transport protein SFT2B OS=Homo sapiens OX=9606 GN=SFT2D2 PE=1 SV=1
+ALCVAFCKKVADRAFPIFSLSYWTLALSQLICFILALGKNHWWFASCLTLAFCLLVMITAILRTPEFMRKLQKVPGMLFITSGISAINGFTYFVAFLHLGKRPVWLLVTGLLSCLIGIAFCAIFGKIRTSWSLSSAEVVESLGSRDETDQGSLVKKLKDM
+>sp|P0C7M3|SFTA3_HUMAN Surfactant-associated protein 3 OS=Homo sapiens OX=9606 GN=SFTA3 PE=1 SV=1
+MRAGFSDFQLIRDQVLFLQDQAQRLTEWLQLSGFENPVSESTTLCLREREKRIPTCVAVCVPSPGTVHTALLHPTTLSQSRSSSEAKMLIIHTA
+>DECOY_sp|P0C7M3|SFTA3_HUMAN Surfactant-associated protein 3 OS=Homo sapiens OX=9606 GN=SFTA3 PE=1 SV=1
+ATHIILMKAESSSRSQSLTTPHLLATHVTGPSPVCVAVCTPIRKERERLCLTTSESVPNEFGSLQLWETLRQAQDQLFLVQDRILQFDSFGARM
+>sp|Q8TD22|SFXN5_HUMAN Sideroflexin-5 OS=Homo sapiens OX=9606 GN=SFXN5 PE=1 SV=1
+MADTATTASAAAASAASASSDAPPFQLGKPRFQQTSFYGRFRHFLDIIDPRTLFVTERRLREAVQLLEDYKHGTLRPGVTNEQLWSAQKIKQAILHPDTNEKIFMPFRMSGYIPFGTPIVVGLLLPNQTLASTVFWQWLNQSHNACVNYANRNATKPSPASKFIQGYLGAVISAVSIAVGLNVLVQKANKFTPATRLLIQRFVPFPAVASANICNVVLMRYGELEEGIDVLDSDGNLVGSSKIAARHALLETALTRVVLPMPILVLPPIVMSMLEKTALLQARPRLLLPVQSLVCLAAFGLALPLAISLFPQMSEIETSQLEPEIAQATSSRTVVYNKGL
+>DECOY_sp|Q8TD22|SFXN5_HUMAN Sideroflexin-5 OS=Homo sapiens OX=9606 GN=SFXN5 PE=1 SV=1
+LGKNYVVTRSSTAQAIEPELQSTEIESMQPFLSIALPLALGFAALCVLSQVPLLLRPRAQLLATKELMSMVIPPLVLIPMPLVVRTLATELLAHRAAIKSSGVLNGDSDLVDIGEELEGYRMLVVNCINASAVAPFPVFRQILLRTAPTFKNAKQVLVNLGVAISVASIVAGLYGQIFKSAPSPKTANRNAYNVCANHSQNLWQWFVTSALTQNPLLLGVVIPTGFPIYGSMRFPMFIKENTDPHLIAQKIKQASWLQENTVGPRLTGHKYDELLQVAERLRRETVFLTRPDIIDLFHRFRGYFSTQQFRPKGLQFPPADSSASAASAAAASATTATDAM
+>sp|Q6XE38|SG1D4_HUMAN Secretoglobin family 1D member 4 OS=Homo sapiens OX=9606 GN=SCGB1D4 PE=1 SV=1
+MRLSVCLLMVSLALCCYQAHALVCPAVASEITVFLFLSDAAVNLQVAKLNPPPEALAAKLEVKHCTDQISFKKRLSLKKSWWK
+>DECOY_sp|Q6XE38|SG1D4_HUMAN Secretoglobin family 1D member 4 OS=Homo sapiens OX=9606 GN=SCGB1D4 PE=1 SV=1
+KWWSKKLSLRKKFSIQDTCHKVELKAALAEPPPNLKAVQLNVAADSLFLFVTIESAVAPCVLAHAQYCCLALSVMLLCVSLRM
+>sp|Q13326|SGCG_HUMAN Gamma-sarcoglycan OS=Homo sapiens OX=9606 GN=SGCG PE=1 SV=4
+MVREQYTTATEGICIERPENQYVYKIGIYGWRKRCLYLFVLLLLIILVVNLALTIWILKVMWFSPAGMGHLCVTKDGLRLEGESEFLFPLYAKEIHSRVDSSLLLQSTQNVTVNARNSEGEVTGRLKVGPKMVEVQNQQFQINSNDGKPLFTVDEKEVVVGTDKLRVTGPEGALFEHSVETPLVRADPFQDLRLESPTRSLSMDAPRGVHIQAHAGKIEALSQMDILFHSSDGMLVLDAETVCLPKLVQGTWGPSGSSQSLYEICVCPDGKLYLSVAGVSTTCQEHNHICL
+>DECOY_sp|Q13326|SGCG_HUMAN Gamma-sarcoglycan OS=Homo sapiens OX=9606 GN=SGCG PE=1 SV=4
+LCIHNHEQCTTSVGAVSLYLKGDPCVCIEYLSQSSGSPGWTGQVLKPLCVTEADLVLMGDSSHFLIDMQSLAEIKGAHAQIHVGRPADMSLSRTPSELRLDQFPDARVLPTEVSHEFLAGEPGTVRLKDTGVVVEKEDVTFLPKGDNSNIQFQQNQVEVMKPGVKLRGTVEGESNRANVTVNQTSQLLLSSDVRSHIEKAYLPFLFESEGELRLGDKTVCLHGMGAPSFWMVKLIWITLALNVVLIILLLLVFLYLCRKRWGYIGIKYVYQNEPREICIGETATTYQERVM
+>sp|A6NJ88|SGE2P_HUMAN Putative SAGE1-like protein OS=Homo sapiens OX=9606 GN=SAGE2P PE=5 SV=4
+MYSQEDHIFQLCSTDLCASYVPVINQSVLVTHIVNYEDYLQIQASPLQTSQPTPPEELHTVGYVFTNDGQQTRSDEVNQVATGHQSKQKRSRESKRHSSSKRRKSMSRWLNKQEDAAVTHIVCEEKINNDQPAPDNVLSTAPPWLRDMAAAGISSTSTRDLYATVTHNVCDERMENDQLQLNNVLLTFPPERVNMAVADFSAMSPRDLYATINHNVYEVRMENNQPQFNNVLSTVKPGHINMAAAGIPAMSAKDAVVNLNVHGEKINKGQPAPDNFLSSVTPGLINVSGDGATVTHNAYEEKMENGQQAADNILSAVPPGLINTSEAGIPAMSTNDLYATITHDVSEKKIKNSQPATDNFLCTVTPGLINLAEAGILATSTRDLYDTATHNVHEEKMKNKHLITPCQQFHWDLLICQELLNFFIWIPDATVIHNIQEEEMENGQMPPDGFLSNSAPLELINMTEDCMPLNALDSFSYDFTSLSREELLYKHDSNEFAVGTKNYSVSAGDPPVTAMSSVETPQISPAMAKKINQDIKCQLMKEVQRFGQNYKRIFILLEELQGSMKVKRQFVEFTIREAVRFKKVALIQQLEKVLKEIDSHCHLRKVKHMRKKIIVI
+>DECOY_sp|A6NJ88|SGE2P_HUMAN Putative SAGE1-like protein OS=Homo sapiens OX=9606 GN=SAGE2P PE=5 SV=4
+IVIIKKRMHKVKRLHCHSDIEKLVKELQQILAVKKFRVAERITFEVFQRKVKMSGQLEELLIFIRKYNQGFRQVEKMLQCKIDQNIKKAMAPSIQPTEVSSMATVPPDGASVSYNKTGVAFENSDHKYLLEERSLSTFDYSFSDLANLPMCDETMNILELPASNSLFGDPPMQGNEMEEEQINHIVTADPIWIFFNLLEQCILLDWHFQQCPTILHKNKMKEEHVNHTATDYLDRTSTALIGAEALNILGPTVTCLFNDTAPQSNKIKKESVDHTITAYLDNTSMAPIGAESTNILGPPVASLINDAAQQGNEMKEEYANHTVTAGDGSVNILGPTVSSLFNDPAPQGKNIKEGHVNLNVVADKASMAPIGAAAMNIHGPKVTSLVNNFQPQNNEMRVEYVNHNITAYLDRPSMASFDAVAMNVREPPFTLLVNNLQLQDNEMREDCVNHTVTAYLDRTSTSSIGAAAMDRLWPPATSLVNDPAPQDNNIKEECVIHTVAADEQKNLWRSMSKRRKSSSHRKSERSRKQKSQHGTAVQNVEDSRTQQGDNTFVYGVTHLEEPPTPQSTQLPSAQIQLYDEYNVIHTVLVSQNIVPVYSACLDTSCLQFIHDEQSYM
+>sp|Q16842|SIA4B_HUMAN CMP-N-acetylneuraminate-beta-galactosamide-alpha-2,3-sialyltransferase 2 OS=Homo sapiens OX=9606 GN=ST3GAL2 PE=2 SV=1
+MKCSLRVWFLSVAFLLVFIMSLLFTYSHHSMATLPYLDSGALDGTHRVKLVPGYAGLQRLSKERLSGKSCACRRCMGDAGASDWFDSHFDGNISPVWTRENMDLPPDVQRWWMMLQPQFKSHNTNEVLEKLFQIVPGENPYRFRDPHQCRRCAVVGNSGNLRGSGYGQDVDGHNFIMRMNQAPTVGFEQDVGSRTTHHFMYPESAKNLPANVSFVLVPFKVLDLLWIASALSTGQIRFTYAPVKSFLRVDKEKVQIYNPAFFKYIHDRWTEHHGRYPSTGMLVLFFALHVCDEVNVYGFGADSRGNWHHYWENNRYAGEFRKTGVHDADFEAHIIDMLAKASKIEVYRGN
+>DECOY_sp|Q16842|SIA4B_HUMAN CMP-N-acetylneuraminate-beta-galactosamide-alpha-2,3-sialyltransferase 2 OS=Homo sapiens OX=9606 GN=ST3GAL2 PE=2 SV=1
+NGRYVEIKSAKALMDIIHAEFDADHVGTKRFEGAYRNNEWYHHWNGRSDAGFGYVNVEDCVHLAFFLVLMGTSPYRGHHETWRDHIYKFFAPNYIQVKEKDVRLFSKVPAYTFRIQGTSLASAIWLLDLVKFPVLVFSVNAPLNKASEPYMFHHTTRSGVDQEFGVTPAQNMRMIFNHGDVDQGYGSGRLNGSNGVVACRRCQHPDRFRYPNEGPVIQFLKELVENTNHSKFQPQLMMWWRQVDPPLDMNERTWVPSINGDFHSDFWDSAGADGMCRRCACSKGSLREKSLRQLGAYGPVLKVRHTGDLAGSDLYPLTAMSHHSYTFLLSMIFVLLFAVSLFWVRLSCKM
+>sp|Q92185|SIA8A_HUMAN Alpha-N-acetylneuraminide alpha-2,8-sialyltransferase OS=Homo sapiens OX=9606 GN=ST8SIA1 PE=1 SV=1
+MSPCGRARRQTSRGAMAVLAWKFPRTRLPMGASALCVVVLCWLYIFPVYRLPNEKEIVQGVLQQGTAWRRNQTAARAFRKQMEDCCDPAHLFAMTKMNSPMGKSMWYDGEFLYSFTIDNSTYSLFPQATPFQLPLKKCAVVGNGGILKKSGCGRQIDEANFVMRCNLPPLSSEYTKDVGSKSQLVTANPSIIRQRFQNLLWSRKTFVDNMKIYNHSYIYMPAFSMKTGTEPSLRVYYTLSDVGANQTVLFANPNFLRSIGKFWKSRGIHAKRLSTGLFLVSAALGLCEEVAIYGFWPFSVNMHEQPISHHYYDNVLPFSGFHAMPEEFLQLWYLHKIGALRMQLDPCEDTSLQPTS
+>DECOY_sp|Q92185|SIA8A_HUMAN Alpha-N-acetylneuraminide alpha-2,8-sialyltransferase OS=Homo sapiens OX=9606 GN=ST8SIA1 PE=1 SV=1
+STPQLSTDECPDLQMRLAGIKHLYWLQLFEEPMAHFGSFPLVNDYYHHSIPQEHMNVSFPWFGYIAVEECLGLAASVLFLGTSLRKAHIGRSKWFKGISRLFNPNAFLVTQNAGVDSLTYYVRLSPETGTKMSFAPMYIYSHNYIKMNDVFTKRSWLLNQFRQRIISPNATVLQSKSGVDKTYESSLPPLNCRMVFNAEDIQRGCGSKKLIGGNGVVACKKLPLQFPTAQPFLSYTSNDITFSYLFEGDYWMSKGMPSNMKTMAFLHAPDCCDEMQKRFARAATQNRRWATGQQLVGQVIEKENPLRYVPFIYLWCLVVVCLASAGMPLRTRPFKWALVAMAGRSTQRRARGCPSM
+>sp|O43173|SIA8C_HUMAN Sia-alpha-2,3-Gal-beta-1,4-GlcNAc-R:alpha 2,8-sialyltransferase OS=Homo sapiens OX=9606 GN=ST8SIA3 PE=1 SV=3
+MRNCKMARVASVLGLVMLSVALLILSLISYVSLKKENIFTTPKYASPGAPRMYMFHAGFRSQFALKFLDPSFVPITNSLTQELQEKPSKWKFNRTAFLHQRQEILQHVDVIKNFSLTKNSVRIGQLMHYDYSSHKYVFSISNNFRSLLPDVSPIMNKHYNICAVVGNSGILTGSQCGQEIDKSDFVFRCNFAPTEAFQRDVGRKTNLTTFNPSILEKYYNNLLTIQDRNNFFLSLKKLDGAILWIPAFFFHTSATVTRTLVDFFVEHRGQLKVQLAWPGNIMQHVNRYWKNKHLSPKRLSTGILMYTLASAICEEIHLYGFWPFGFDPNTREDLPYHYYDKKGTKFTTKWQESHQLPAEFQLLYRMHGEGLTKLTLSHCA
+>DECOY_sp|O43173|SIA8C_HUMAN Sia-alpha-2,3-Gal-beta-1,4-GlcNAc-R:alpha 2,8-sialyltransferase OS=Homo sapiens OX=9606 GN=ST8SIA3 PE=1 SV=3
+ACHSLTLKTLGEGHMRYLLQFEAPLQHSEQWKTTFKTGKKDYYHYPLDERTNPDFGFPWFGYLHIEECIASALTYMLIGTSLRKPSLHKNKWYRNVHQMINGPWALQVKLQGRHEVFFDVLTRTVTASTHFFFAPIWLIAGDLKKLSLFFNNRDQITLLNNYYKELISPNFTTLNTKRGVDRQFAETPAFNCRFVFDSKDIEQGCQSGTLIGSNGVVACINYHKNMIPSVDPLLSRFNNSISFVYKHSSYDYHMLQGIRVSNKTLSFNKIVDVHQLIEQRQHLFATRNFKWKSPKEQLEQTLSNTIPVFSPDLFKLAFQSRFGAHFMYMRPAGPSAYKPTTFINEKKLSVYSILSLILLAVSLMVLGLVSAVRAMKCNRM
+>sp|Q92187|SIA8D_HUMAN CMP-N-acetylneuraminate-poly-alpha-2,8-sialyltransferase OS=Homo sapiens OX=9606 GN=ST8SIA4 PE=1 SV=1
+MRSIRKRWTICTISLLLIFYKTKEIARTEEHQETQLIGDGELSLSRSLVNSSDKIIRKAGSSIFQHNVEGWKINSSLVLEIRKNILRFLDAERDVSVVKSSFKPGDVIHYVLDRRRTLNISHDLHSLLPEVSPMKNRRFKTCAVVGNSGILLDSECGKEIDSHNFVIRCNLAPVVEFAADVGTKSDFITMNPSVVQRAFGGFRNESDREKFVHRLSMLNDSVLWIPAFMVKGGEKHVEWVNALILKNKLKVRTAYPSLRLIHAVRGYWLTNKVPIKRPSTGLLMYTLATRFCDEIHLYGFWPFPKDLNGKAVKYHYYDDLKYRYFSNASPHRMPLEFKTLNVLHNRGALKLTTGKCVKQ
+>DECOY_sp|Q92187|SIA8D_HUMAN CMP-N-acetylneuraminate-poly-alpha-2,8-sialyltransferase OS=Homo sapiens OX=9606 GN=ST8SIA4 PE=1 SV=1
+QKVCKGTTLKLAGRNHLVNLTKFELPMRHPSANSFYRYKLDDYYHYKVAKGNLDKPFPWFGYLHIEDCFRTALTYMLLGTSPRKIPVKNTLWYGRVAHILRLSPYATRVKLKNKLILANVWEVHKEGGKVMFAPIWLVSDNLMSLRHVFKERDSENRFGGFARQVVSPNMTIFDSKTGVDAAFEVVPALNCRIVFNHSDIEKGCESDLLIGSNGVVACTKFRRNKMPSVEPLLSHLDHSINLTRRRDLVYHIVDGPKFSSKVVSVDREADLFRLINKRIELVLSSNIKWGEVNHQFISSGAKRIIKDSSNVLSRSLSLEGDGILQTEQHEETRAIEKTKYFILLLSITCITWRKRISRM
+>sp|Q9HAT2|SIAE_HUMAN Sialate O-acetylesterase OS=Homo sapiens OX=9606 GN=SIAE PE=1 SV=1
+MVAPGLVLGLVLPLILWADRSAGIGFRFASYINNDMVLQKEPAGAVIWGFGTPGATVTVTLRQGQETIMKKVTSVKAHSDTWMVVLDPMKPGGPFEVMAQQTLEKINFTLRVHDVLFGDVWLCSGQSNMQMTVLQIFNATRELSNTAAYQSVRILSVSPIQAEQELEDLVAVDLQWSKPTSENLGHGYFKYMSAVCWLFGRHLYDTLQYPIGLIASSWGGTPIEAWSSGRSLKACGVPKQGSIPYDSVTGPSKHSVLWNAMIHPLCNMTLKGVVWYQGESNINYNTDLYNCTFPALIEDWRETFHRGSQGQTERFFPFGLVQLSSDLSKKSSDDGFPQIRWHQTADFGYVPNPKMPNTFMAVAMDLCDRDSPFGSIHPRDKQTVAYRLHLGARALAYGEKNLTFEGPLPEKIELLAHKGLLNLTYYQQIQVQKKDNKIFEISCCSDHRCKWLPASMNTVSTQSLTLAIDSCHGTVVALRYAWTTWPCEYKQCPLYHPSSALPAPPFIAFITDQGPGHQSNVAK
+>DECOY_sp|Q9HAT2|SIAE_HUMAN Sialate O-acetylesterase OS=Homo sapiens OX=9606 GN=SIAE PE=1 SV=1
+KAVNSQHGPGQDTIFAIFPPAPLASSPHYLPCQKYECPWTTWAYRLAVVTGHCSDIALTLSQTSVTNMSAPLWKCRHDSCCSIEFIKNDKKQVQIQQYYTLNLLGKHALLEIKEPLPGEFTLNKEGYALARAGLHLRYAVTQKDRPHISGFPSDRDCLDMAVAMFTNPMKPNPVYGFDATQHWRIQPFGDDSSKKSLDSSLQVLGFPFFRETQGQSGRHFTERWDEILAPFTCNYLDTNYNINSEGQYWVVGKLTMNCLPHIMANWLVSHKSPGTVSDYPISGQKPVGCAKLSRGSSWAEIPTGGWSSAILGIPYQLTDYLHRGFLWCVASMYKFYGHGLNESTPKSWQLDVAVLDELEQEAQIPSVSLIRVSQYAATNSLERTANFIQLVTMQMNSQGSCLWVDGFLVDHVRLTFNIKELTQQAMVEFPGGPKMPDLVVMWTDSHAKVSTVKKMITEQGQRLTVTVTAGPTGFGWIVAGAPEKQLVMDNNIYSAFRFGIGASRDAWLILPLVLGLVLGPAVM
+>sp|Q9Y336|SIGL9_HUMAN Sialic acid-binding Ig-like lectin 9 OS=Homo sapiens OX=9606 GN=SIGLEC9 PE=1 SV=2
+MLLLLLPLLWGRERAEGQTSKLLTMQSSVTVQEGLCVHVPCSFSYPSHGWIYPGPVVHGYWFREGANTDQDAPVATNNPARAVWEETRDRFHLLGDPHTKNCTLSIRDARRSDAGRYFFRMEKGSIKWNYKHHRLSVNVTALTHRPNILIPGTLESGCPQNLTCSVPWACEQGTPPMISWIGTSVSPLDPSTTRSSVLTLIPQPQDHGTSLTCQVTFPGASVTTNKTVHLNVSYPPQNLTMTVFQGDGTVSTVLGNGSSLSLPEGQSLRLVCAVDAVDSNPPARLSLSWRGLTLCPSQPSNPGVLELPWVHLRDAAEFTCRAQNPLGSQQVYLNVSLQSKATSGVTQGVVGGAGATALVFLSFCVIFVVVRSCRKKSARPAAGVGDTGIEDANAVRGSASQGPLTEPWAEDSPPDQPPPASARSSVGEGELQYASLSFQMVKPWDSRGQEATDTEYSEIKIHR
+>DECOY_sp|Q9Y336|SIGL9_HUMAN Sialic acid-binding Ig-like lectin 9 OS=Homo sapiens OX=9606 GN=SIGLEC9 PE=1 SV=2
+RHIKIESYETDTAEQGRSDWPKVMQFSLSAYQLEGEGVSSRASAPPPQDPPSDEAWPETLPGQSASGRVANADEIGTDGVGAAPRASKKRCSRVVVFIVCFSLFVLATAGAGGVVGQTVGSTAKSQLSVNLYVQQSGLPNQARCTFEAADRLHVWPLELVGPNSPQSPCLTLGRWSLSLRAPPNSDVADVACVLRLSQGEPLSLSSGNGLVTSVTGDGQFVTMTLNQPPYSVNLHVTKNTTVSAGPFTVQCTLSTGHDQPQPILTLVSSRTTSPDLPSVSTGIWSIMPPTGQECAWPVSCTLNQPCGSELTGPILINPRHTLATVNVSLRHHKYNWKISGKEMRFFYRGADSRRADRISLTCNKTHPDGLLHFRDRTEEWVARAPNNTAVPADQDTNAGERFWYGHVVPGPYIWGHSPYSFSCPVHVCLGEQVTVSSQMTLLKSTQGEARERGWLLPLLLLLM
+>sp|Q9H173|SIL1_HUMAN Nucleotide exchange factor SIL1 OS=Homo sapiens OX=9606 GN=SIL1 PE=1 SV=1
+MAPQSLPSSRMAPLGMLLGLLMAACFTFCLSHQNLKEFALTNPEKSSTKETERKETKAEEELDAEVLEVFHPTHEWQALQPGQAVPAGSHVRLNLQTGEREAKLQYEDKFRNNLKGKRLDINTNTYTSQDLKSALAKFKEGAEMESSKEDKARQAEVKRLFRPIEELKKDFDELNVVIETDMQIMVRLINKFNSSSSSLEEKIAALFDLEYYVHQMDNAQDLLSFGGLQVVINGLNSTEPLVKEYAAFVLGAAFSSNPKVQVEAIEGGALQKLLVILATEQPLTAKKKVLFALCSLLRHFPYAQRQFLKLGGLQVLRTLVQEKGTEVLAVRVVTLLYDLVTEKMFAEEEAELTQEMSPEKLQQYRQVHLLPGLWEQGWCEITAHLLALPEHDAREKVLQTLGVLLTTCRDRYRQDPQLGRTLASLQAEYQVLASLELQDGEDEGYFQELLGSVNSLLKELR
+>DECOY_sp|Q9H173|SIL1_HUMAN Nucleotide exchange factor SIL1 OS=Homo sapiens OX=9606 GN=SIL1 PE=1 SV=1
+RLEKLLSNVSGLLEQFYGEDEGDQLELSALVQYEAQLSALTRGLQPDQRYRDRCTTLLVGLTQLVKERADHEPLALLHATIECWGQEWLGPLLHVQRYQQLKEPSMEQTLEAEEEAFMKETVLDYLLTVVRVALVETGKEQVLTRLVQLGGLKLFQRQAYPFHRLLSCLAFLVKKKATLPQETALIVLLKQLAGGEIAEVQVKPNSSFAAGLVFAAYEKVLPETSNLGNIVVQLGGFSLLDQANDMQHVYYELDFLAAIKEELSSSSSNFKNILRVMIQMDTEIVVNLEDFDKKLEEIPRFLRKVEAQRAKDEKSSEMEAGEKFKALASKLDQSTYTNTNIDLRKGKLNNRFKDEYQLKAEREGTQLNLRVHSGAPVAQGPQLAQWEHTPHFVELVEADLEEEAKTEKRETEKTSSKEPNTLAFEKLNQHSLCFTFCAAMLLGLLMGLPAMRSSPLSQPAM
+>sp|O75264|SIM24_HUMAN Small integral membrane protein 24 OS=Homo sapiens OX=9606 GN=SMIM24 PE=2 SV=2
+METLGALLVLEFLLLSPVEAQQATEHRLKPWLVGLAAVVGFLFIVYLVLLANRLWCSKARAEDEEETTFRMESNLYQDQSEDKREKKEAKEKEEKRKKEKKTAKEGESNLGLDLEEKEPGDHERAKSTVM
+>DECOY_sp|O75264|SIM24_HUMAN Small integral membrane protein 24 OS=Homo sapiens OX=9606 GN=SMIM24 PE=2 SV=2
+MVTSKAREHDGPEKEELDLGLNSEGEKATKKEKKRKEEKEKAEKKERKDESQDQYLNSEMRFTTEEEDEARAKSCWLRNALLVLYVIFLFGVVAALGVLWPKLRHETAQQAEVPSLLLFELVLLAGLTEM
+>sp|Q5JXA9|SIRB2_HUMAN Signal-regulatory protein beta-2 OS=Homo sapiens OX=9606 GN=SIRPB2 PE=2 SV=1
+MCSTMSAPTCLAHLPPCFLLLALVLVPSDASGQSSRNDWQVLQPEGPMLVAEGETLLLRCMVVGSCTDGMIKWVKVSTQDQQEIYNFKRGSFPGVMPMIQRTSEPLNCDYSIYIHNVTREHTGTYHCVRFDGLSEHSEMKSDEGTSVLVKGAGDPEPDLWIIQPQELVLGTTGDTVFLNCTVLGDGPPGPIRWFQGAGLSREAIYNFGGISHPKETAVQASNNDFSILLQNVSSEDAGTYYCVKFQRKPNRQYLSGQGTSLKVKAKSTSSKEAEFTSEPATEMSPTGLLVVFAPVVLGLKAITLAALLLALATSRRSPGQEDVKTTGPAGAMNTLAWSKGQE
+>DECOY_sp|Q5JXA9|SIRB2_HUMAN Signal-regulatory protein beta-2 OS=Homo sapiens OX=9606 GN=SIRPB2 PE=2 SV=1
+EQGKSWALTNMAGAPGTTKVDEQGPSRRSTALALLLAALTIAKLGLVVPAFVVLLGTPSMETAPESTFEAEKSSTSKAKVKLSTGQGSLYQRNPKRQFKVCYYTGADESSVNQLLISFDNNSAQVATEKPHSIGGFNYIAERSLGAGQFWRIPGPPGDGLVTCNLFVTDGTTGLVLEQPQIIWLDPEPDGAGKVLVSTGEDSKMESHESLGDFRVCHYTGTHERTVNHIYISYDCNLPESTRQIMPMVGPFSGRKFNYIEQQDQTSVKVWKIMGDTCSGVVMCRLLLTEGEAVLMPGEPQLVQWDNRSSQGSADSPVLVLALLLFCPPLHALCTPASMTSCM
+>sp|Q15475|SIX1_HUMAN Homeobox protein SIX1 OS=Homo sapiens OX=9606 GN=SIX1 PE=1 SV=1
+MSMLPSFGFTQEQVACVCEVLQQGGNLERLGRFLWSLPACDHLHKNESVLKAKAVVAFHRGNFRELYKILESHQFSPHNHPKLQQLWLKAHYVEAEKLRGRPLGAVGKYRVRRKFPLPRTIWDGEETSYCFKEKSRGVLREWYAHNPYPSPREKRELAEATGLTTTQVSNWFKNRRQRDRAAEAKERENTENNNSSSNKQNQLSPLEGGKPLMSSSEEEFSPPQSPDQNSVLLLQGNMGHARSSNYSLPGLTASQPSHGLQTHQHQLQDSLLGPLTSSLVDLGS
+>DECOY_sp|Q15475|SIX1_HUMAN Homeobox protein SIX1 OS=Homo sapiens OX=9606 GN=SIX1 PE=1 SV=1
+SGLDVLSSTLPGLLSDQLQHQHTQLGHSPQSATLGPLSYNSSRAHGMNGQLLLVSNQDPSQPPSFEEESSSMLPKGGELPSLQNQKNSSSNNNETNEREKAEAARDRQRRNKFWNSVQTTTLGTAEALERKERPSPYPNHAYWERLVGRSKEKFCYSTEEGDWITRPLPFKRRVRYKGVAGLPRGRLKEAEVYHAKLWLQQLKPHNHPSFQHSELIKYLERFNGRHFAVVAKAKLVSENKHLHDCAPLSWLFRGLRELNGGQQLVECVCAVQEQTFGFSPLMSM
+>sp|O95475|SIX6_HUMAN Homeobox protein SIX6 OS=Homo sapiens OX=9606 GN=SIX6 PE=1 SV=2
+MFQLPILNFSPQQVAGVCETLEESGDVERLGRFLWSLPVAPAACEALNKNESVLRARAIVAFHGGNYRELYHILENHKFTKESHAKLQALWLEAHYQEAEKLRGRPLGPVDKYRVRKKFPLPRTIWDGEQKTHCFKERTRHLLREWYLQDPYPNPSKKRELAQATGLTPTQVGNWFKNRRQRDRAAAAKNRLQQQVLSQGSGRALRAEGDGTPEVLGVATSPAASLSSKAATSAISITSSDSECDI
+>DECOY_sp|O95475|SIX6_HUMAN Homeobox protein SIX6 OS=Homo sapiens OX=9606 GN=SIX6 PE=1 SV=2
+IDCESDSSTISIASTAAKSSLSAAPSTAVGLVEPTGDGEARLARGSGQSLVQQQLRNKAAAARDRQRRNKFWNGVQTPTLGTAQALERKKSPNPYPDQLYWERLLHRTREKFCHTKQEGDWITRPLPFKKRVRYKDVPGLPRGRLKEAEQYHAELWLAQLKAHSEKTFKHNELIHYLERYNGGHFAVIARARLVSENKNLAECAAPAVPLSWLFRGLREVDGSEELTECVGAVQQPSFNLIPLQFM
+>sp|Q8WVK7|SKA2_HUMAN Spindle and kinetochore-associated protein 2 OS=Homo sapiens OX=9606 GN=SKA2 PE=1 SV=1
+MEAEVDKLELMFQKAESDLDYIQYRLEYEIKTNHPDSASEKNPVTLLKELSVIKSRYQTLYARFKPVAVEQKESKSRICATVKKTMNMIQKLQKQTDLELSPLTKEEKTAAEQFKFHMPDL
+>DECOY_sp|Q8WVK7|SKA2_HUMAN Spindle and kinetochore-associated protein 2 OS=Homo sapiens OX=9606 GN=SKA2 PE=1 SV=1
+LDPMHFKFQEAATKEEKTLPSLELDTQKQLKQIMNMTKKVTACIRSKSEKQEVAVPKFRAYLTQYRSKIVSLEKLLTVPNKESASDPHNTKIEYELRYQIYDLDSEAKQFMLELKDVEAEM
+>sp|Q1XH10|SKDA1_HUMAN SKI/DACH domain-containing protein 1 OS=Homo sapiens OX=9606 GN=SKIDA1 PE=1 SV=2
+MGDLKSGFEEVDGVRLGYLIIKGKQMFALSQVFTDLLKNIPRTTVHKRMDHLKVKKHHCDLEELRKLKAINSIAFHAAKCTLISREDVEALYTSCKTERVLKTKRRRVGRALATKAPPPERAAAASPRPGFWKDKHQLWRGLSGAARPLPISAQSQRPGAAAARPAAHLPQIFSKYPGSHYPEIVRSPCKPPLNYETAPLQGNYVAFPSDPAYFRSLLCSKHPAAAAAAAAAAAAAAAAAAAAAYYQVSAAGPQPKAAAGAGGPGSLSYRCKRKRGGAKDCLLAPHAGARRLLLLPRSYKAKAAAAAAAAAAAAAAAAGATCLERFHLVNGFCPPPHHHHHHHHHHHHHHHRAQPPQQSHHPPHHHRPQPHLGSFPESCSSDSESSSYSDHAANDSDFGSSLSSSSNSVSSEEEEEEGEEEEEEEEEEGGSGASDSSEVSSEEEDSSTESDSSSGSSQVSVQSIRFRRTSFCKPPSVQAQANFLYHLASAAAATKPAAFEDAGRLPDLKSSVKAESPAEWNLQSWAPKASPVYCPASLGSCFAEIRNDRVSEITFPHSEISNAVKRTDLTINCLAEGASSPSPKTNNAFPQQRILREARKCLQTTPTTHCADNNTIAARFLNNDSSGAEANSEKYSKILHCPEFATDLPSSQTDPEVNAAGAAATKAENPCTDTGDKTLPFLHNIKIKVEDSSANEEYEPHLFTNKLKCECNDTKGEFYSVTESKEEDALLTTAKEGFACPEKETPSLNPLAQSQGLSCTLGSPKPEDGEYKFGARVRKNYRTLVLGKRPVLQTPPVKPNLKSARSPRPTGKTETNEGTLDDFTVINRRKKVASNVASAVKRPFHFMANFPCPPSLIIGRDGDLWPAYSLNTTKDSQTPHKAHPIWKWQLGGSAIPLPPSHKFRKFNS
+>DECOY_sp|Q1XH10|SKDA1_HUMAN SKI/DACH domain-containing protein 1 OS=Homo sapiens OX=9606 GN=SKIDA1 PE=1 SV=2
+SNFKRFKHSPPLPIASGGLQWKWIPHAKHPTQSDKTTNLSYAPWLDGDRGIILSPPCPFNAMFHFPRKVASAVNSAVKKRRNIVTFDDLTGENTETKGTPRPSRASKLNPKVPPTQLVPRKGLVLTRYNKRVRAGFKYEGDEPKPSGLTCSLGQSQALPNLSPTEKEPCAFGEKATTLLADEEKSETVSYFEGKTDNCECKLKNTFLHPEYEENASSDEVKIKINHLFPLTKDGTDTCPNEAKTAAAGAANVEPDTQSSPLDTAFEPCHLIKSYKESNAEAGSSDNNLFRAAITNNDACHTTPTTQLCKRAERLIRQQPFANNTKPSPSSAGEALCNITLDTRKVANSIESHPFTIESVRDNRIEAFCSGLSAPCYVPSAKPAWSQLNWEAPSEAKVSSKLDPLRGADEFAAPKTAAAASALHYLFNAQAQVSPPKCFSTRRFRISQVSVQSSGSSSDSETSSDEEESSVESSDSAGSGGEEEEEEEEEEGEEEEEESSVSNSSSSLSSGFDSDNAAHDSYSSSESDSSCSEPFSGLHPQPRHHHPPHHSQQPPQARHHHHHHHHHHHHHHHPPPCFGNVLHFRELCTAGAAAAAAAAAAAAAAAAKAKYSRPLLLLRRAGAHPALLCDKAGGRKRKCRYSLSGPGGAGAAAKPQPGAASVQYYAAAAAAAAAAAAAAAAAAAAAPHKSCLLSRFYAPDSPFAVYNGQLPATEYNLPPKCPSRVIEPYHSGPYKSFIQPLHAAPRAAAAGPRQSQASIPLPRAAGSLGRWLQHKDKWFGPRPSAAAAREPPPAKTALARGVRRRKTKLVRETKCSTYLAEVDERSILTCKAAHFAISNIAKLKRLEELDCHHKKVKLHDMRKHVTTRPINKLLDTFVQSLAFMQKGKIILYGLRVGDVEEFGSKLDGM
+>sp|P12757|SKIL_HUMAN Ski-like protein OS=Homo sapiens OX=9606 GN=SKIL PE=1 SV=2
+MENLQTNFSLVQGSTKKLNGMGDDGSPPAKKMITDIHANGKTINKVPTVKKEHLDDYGEAPVETDGEHVKRTCTSVPETLHLNPSLKHTLAQFHLSSQSSLGGPAAFSARHSQESMSPTVFLPLPSPQVLPGPLLIPSDSSTELTQTVLEGESISCFQVGGEKRLCLPQVLNSVLREFTLQQINTVCDELYIYCSRCTSDQLHILKVLGILPFNAPSCGLITLTDAQRLCNALLRPRTFPQNGSVLPAKSSLAQLKETGSAFEVEHECLGKCQGLFAPQFYVQPDAPCIQCLECCGMFAPQTFVMHSHRSPDKRTCHWGFESAKWHCYLHVNQKYLGTPEEKKLKIILEEMKEKFSMRSGKRNQSKTDAPSGMELQSWYPVIKQEGDHVSQTHSFLHPSYYLYMCDKVVAPNVSLTSAVSQSKELTKTEASKSISRQSEKAHSSGKLQKTVSYPDVSLEEQEKMDLKTSRELCSRLDASISNNSTSKRKSESATCNLVRDINKVGIGLVAAASSPLLVKDVICEDDKGKIMEEVMRTYLKQQEKLNLILQKKQQLQMEVKMLSSSKSMKELTEEQQNLQKELESLQNEHAQRMEEFYVEQKDLEKKLEQIMKQKCTCDSNLEKDKEAEYAGQLAELRQRLDHAEADRQELQDELRQEREARQKLEMMIKELKLQILKSSKTAKE
+>DECOY_sp|P12757|SKIL_HUMAN Ski-like protein OS=Homo sapiens OX=9606 GN=SKIL PE=1 SV=2
+EKATKSSKLIQLKLEKIMMELKQRAEREQRLEDQLEQRDAEAHDLRQRLEALQGAYEAEKDKELNSDCTCKQKMIQELKKELDKQEVYFEEMRQAHENQLSELEKQLNQQEETLEKMSKSSSLMKVEMQLQQKKQLILNLKEQQKLYTRMVEEMIKGKDDECIVDKVLLPSSAAAVLGIGVKNIDRVLNCTASESKRKSTSNNSISADLRSCLERSTKLDMKEQEELSVDPYSVTKQLKGSSHAKESQRSISKSAETKTLEKSQSVASTLSVNPAVVKDCMYLYYSPHLFSHTQSVHDGEQKIVPYWSQLEMGSPADTKSQNRKGSRMSFKEKMEELIIKLKKEEPTGLYKQNVHLYCHWKASEFGWHCTRKDPSRHSHMVFTQPAFMGCCELCQICPADPQVYFQPAFLGQCKGLCEHEVEFASGTEKLQALSSKAPLVSGNQPFTRPRLLANCLRQADTLTILGCSPANFPLIGLVKLIHLQDSTCRSCYIYLEDCVTNIQQLTFERLVSNLVQPLCLRKEGGVQFCSISEGELVTQTLETSSDSPILLPGPLVQPSPLPLFVTPSMSEQSHRASFAAPGGLSSQSSLHFQALTHKLSPNLHLTEPVSTCTRKVHEGDTEVPAEGYDDLHEKKVTPVKNITKGNAHIDTIMKKAPPSGDDGMGNLKKTSGQVLSFNTQLNEM
+>sp|Q15477|SKIV2_HUMAN Helicase SKI2W OS=Homo sapiens OX=9606 GN=SKIV2L PE=1 SV=3
+MMETERLVLPPPDPLDLPLRAVELGCTGHWELLNLPGAPESSLPHGLPPCAPDLQQEAEQLFLSSPAWLPLHGVEHSARKWQRKTDPWSLLAVLGAPVPSDLQAQRHPTTGQILGYKEVLLENTNLSATTSLSLRRPPGPASQSLWGNPTQYPFWPGGMDEPTITDLNTREEAEEEIDFEKDLLTIPPGFKKGMDFAPKDCPTPAPGLLSLSCMLEPLDLGGGDEDENEAVGQPGGPRGDTVSASPCSAPLARASSLEDLVLKEASTAVSTPEAPEPPSQEQWAIPVDATSPVGDFYRLIPQPAFQWAFEPDVFQKQAILHLERHDSVFVAAHTSAGKTVVAEYAIALAQKHMTRTIYTSPIKALSNQKFRDFRNTFGDVGLLTGDVQLHPEASCLIMTTEILRSMLYSGSDVIRDLEWVIFDEVHYINDVERGVVWEEVLIMLPDHVSIILLSATVPNALEFADWIGRLKRRQIYVISTVTRPVPLEHYLFTGNSSKTQGELFLLLDSRGAFHTKGYYAAVEAKKERMSKHAQTFGAKQPTHQGGPAQDRGVYLSLLASLRTRAQLPVVVFTFSRGRCDEQASGLTSLDLTTSSEKSEIHLFLQRCLARLRGSDRQLPQVLHMSELLNRGLGVHHSGILPILKEIVEMLFSRGLVKVLFATETFAMGVNMPARTVVFDSMRKHDGSTFRDLLPGEYVQMAGRAGRRGLDPTGTVILLCKGRVPEMADLHRMMMGKPSQLQSQFRLTYTMILNLLRVDALRVEDMMKRSFSEFPSRKDSKAHEQALAELTKRLGALEEPDMTGQLVDLPEYYSWGEELTETQHMIQRRIMESVNGLKSLSAGRVVVVKNQEHHNALGVILQVSSNSTSRVFTTLVLCDKPLSQDPQDRGPATAEVPYPDDLVGFKLFLPEGPCDHTVVKLQPGDMAAITTKVLRVNGEKILEDFSKRQQPKFKKDPPLAAVTTAVQELLRLAQAHPAGPPTLDPVNDLQLKDMSVVEGGLRARKLEELIQGAQCVHSPRFPAQYLKLRERMQIQKEMERLRFLLSDQSLLLLPEYHQRVEVLRTLGYVDEAGTVKLAGRVACAMSSHELLLTELMFDNALSTLRPEEIAALLSGLVCQSPGDAGDQLPNTLKQGIERVRAVAKRIGEVQVACGLNQTVEEFVGELNFGLVEVVYEWARGMPFSELAGLSGTPEGLVVRCIQRLAEMCRSLRGAARLVGEPVLGAKMETAATLLRRDIVFAASLYTQ
+>DECOY_sp|Q15477|SKIV2_HUMAN Helicase SKI2W OS=Homo sapiens OX=9606 GN=SKIV2L PE=1 SV=3
+QTYLSAAFVIDRRLLTAATEMKAGLVPEGVLRAAGRLSRCMEALRQICRVVLGEPTGSLGALESFPMGRAWEYVVEVLGFNLEGVFEEVTQNLGCAVQVEGIRKAVARVREIGQKLTNPLQDGADGPSQCVLGSLLAAIEEPRLTSLANDFMLETLLLEHSSMACAVRGALKVTGAEDVYGLTRLVEVRQHYEPLLLLSQDSLLFRLREMEKQIQMRERLKLYQAPFRPSHVCQAGQILEELKRARLGGEVVSMDKLQLDNVPDLTPPGAPHAQALRLLEQVATTVAALPPDKKFKPQQRKSFDELIKEGNVRLVKTTIAAMDGPQLKVVTHDCPGEPLFLKFGVLDDPYPVEATAPGRDQPDQSLPKDCLVLTTFVRSTSNSSVQLIVGLANHHEQNKVVVVRGASLSKLGNVSEMIRRQIMHQTETLEEGWSYYEPLDVLQGTMDPEELAGLRKTLEALAQEHAKSDKRSPFESFSRKMMDEVRLADVRLLNLIMTYTLRFQSQLQSPKGMMMRHLDAMEPVRGKCLLIVTGTPDLGRRGARGAMQVYEGPLLDRFTSGDHKRMSDFVVTRAPMNVGMAFTETAFLVKVLGRSFLMEVIEKLIPLIGSHHVGLGRNLLESMHLVQPLQRDSGRLRALCRQLFLHIESKESSTTLDLSTLGSAQEDCRGRSFTFVVVPLQARTRLSALLSLYVGRDQAPGGQHTPQKAGFTQAHKSMREKKAEVAAYYGKTHFAGRSDLLLFLEGQTKSSNGTFLYHELPVPRTVTSIVYIQRRKLRGIWDAFELANPVTASLLIISVHDPLMILVEEWVVGREVDNIYHVEDFIVWELDRIVDSGSYLMSRLIETTMILCSAEPHLQVDGTLLGVDGFTNRFDRFKQNSLAKIPSTYITRTMHKQALAIAYEAVVTKGASTHAAVFVSDHRELHLIAQKQFVDPEFAWQFAPQPILRYFDGVPSTADVPIAWQEQSPPEPAEPTSVATSAEKLVLDELSSARALPASCPSASVTDGRPGGPQGVAENEDEDGGGLDLPELMCSLSLLGPAPTPCDKPAFDMGKKFGPPITLLDKEFDIEEEAEERTNLDTITPEDMGGPWFPYQTPNGWLSQSAPGPPRRLSLSTTASLNTNELLVEKYGLIQGTTPHRQAQLDSPVPAGLVALLSWPDTKRQWKRASHEVGHLPLWAPSSLFLQEAEQQLDPACPPLGHPLSSEPAGPLNLLEWHGTCGLEVARLPLDLPDPPPLVLRETEMM
+>sp|P63208|SKP1_HUMAN S-phase kinase-associated protein 1 OS=Homo sapiens OX=9606 GN=SKP1 PE=1 SV=2
+MPSIKLQSSDGEIFEVDVEIAKQSVTIKTMLEDLGMDDEGDDDPVPLPNVNAAILKKVIQWCTHHKDDPPPPEDDENKEKRTDDIPVWDQEFLKVDQGTLFELILAANYLDIKGLLDVTCKTVANMIKGKTPEEIRKTFNIKNDFTEEEEAQVRKENQWCEEK
+>DECOY_sp|P63208|SKP1_HUMAN S-phase kinase-associated protein 1 OS=Homo sapiens OX=9606 GN=SKP1 PE=1 SV=2
+KEECWQNEKRVQAEEEETFDNKINFTKRIEEPTKGKIMNAVTKCTVDLLGKIDLYNAALILEFLTGQDVKLFEQDWVPIDDTRKEKNEDDEPPPPDDKHHTCWQIVKKLIAANVNPLPVPDDDGEDDMGLDELMTKITVSQKAIEVDVEFIEGDSSQLKISPM
+>sp|Q9P0V8|SLAF8_HUMAN SLAM family member 8 OS=Homo sapiens OX=9606 GN=SLAMF8 PE=1 SV=1
+MVMRPLWSLLLWEALLPITVTGAQVLSKVGGSVLLVAARPPGFQVREAIWRSLWPSEELLATFFRGSLETLYHSRFLGRAQLHSNLSLELGPLESGDSGNFSVLMVDTRGQPWTQTLQLKVYDAVPRPVVQVFIAVERDAQPSKTCQVFLSCWAPNISEITYSWRRETTMDFGMEPHSLFTDGQVLSISLGPGDRDVAYSCIVSNPVSWDLATVTPWDSCHHEAAPGKASYKDVLLVVVPVSLLLMLVTLFSAWHWCPCSGKKKKDVHADRVGPETENPLVQDLP
+>DECOY_sp|Q9P0V8|SLAF8_HUMAN SLAM family member 8 OS=Homo sapiens OX=9606 GN=SLAMF8 PE=1 SV=1
+PLDQVLPNETEPGVRDAHVDKKKKGSCPCWHWASFLTVLMLLLSVPVVVLLVDKYSAKGPAAEHHCSDWPTVTALDWSVPNSVICSYAVDRDGPGLSISLVQGDTFLSHPEMGFDMTTERRWSYTIESINPAWCSLFVQCTKSPQADREVAIFVQVVPRPVADYVKLQLTQTWPQGRTDVMLVSFNGSDGSELPGLELSLNSHLQARGLFRSHYLTELSGRFFTALLEESPWLSRWIAERVQFGPPRAAVLLVSGGVKSLVQAGTVTIPLLAEWLLLSWLPRMVM
+>sp|Q14493|SLBP_HUMAN Histone RNA hairpin-binding protein OS=Homo sapiens OX=9606 GN=SLBP PE=1 SV=1
+MACRPRSPPRHQSRCDGDASPPSPARWSLGRKRRADGRRWRPEDAEEAEHRGAERRPESFTTPEGPKPRSRCSDWASAVEEDEMRTRVNKEMARYKRKLLINDFGRERKSSSGSSDSKESMSTVPADFETDESVLMRRQKQINYGKNTIAYDRYIKEVPRHLRQPGIHPKTPNKFKKYSRRSWDQQIKLWKVALHFWDPPAEEGCDLQEIHPVDLESAESSSEPQTSSQDDFDVYSGTPTKVRHMDSQVEDEFDLEACLTEPLRDFSAMS
+>DECOY_sp|Q14493|SLBP_HUMAN Histone RNA hairpin-binding protein OS=Homo sapiens OX=9606 GN=SLBP PE=1 SV=1
+SMASFDRLPETLCAELDFEDEVQSDMHRVKTPTGSYVDFDDQSSTQPESSSEASELDVPHIEQLDCGEEAPPDWFHLAVKWLKIQQDWSRRSYKKFKNPTKPHIGPQRLHRPVEKIYRDYAITNKGYNIQKQRRMLVSEDTEFDAPVTSMSEKSDSSGSSSKRERGFDNILLKRKYRAMEKNVRTRMEDEEVASAWDSCRSRPKPGEPTTFSEPRREAGRHEAEEADEPRWRRGDARRKRGLSWRAPSPPSADGDCRSQHRPPSRPRCAM
+>sp|Q8IX21|SLF2_HUMAN SMC5-SMC6 complex localization factor protein 2 OS=Homo sapiens OX=9606 GN=SLF2 PE=1 SV=2
+MTRRCMPARPGFPSSPAPGSSPPRCHLRPGSTAHAAAGKRTESPGDRKQSIIDFFKPASKQDRHMLDSPQKSNIKYGGSRLSITGTEQFERKLSSPKESKPKRVPPEKSPIIEAFMKGVKEHHEDHGIHESRRPCLSLASKYLAKGTNIYVPSSYHLPKEMKSLKKKHRSPERRKSLFIHENNEKNDRDRGKTNADSKKQTTVAEADIFNNSSRSLSSRSSLSRHHPEESPLGAKFQLSLASYCRERELKRLRKEQMEQRINSENSFSEASSLSLKSSIERKYKPRQEQRKQNDIIPGKNNLSNVENGHLSRKRSSSDSWEPTSAGSKQNKFPEKRKRNSVDSDLKSTRESMIPKARESFLEKRPDGPHQKEKFIKHIALKTPGDVLRLEDISKEPSDETDGSSAGLAPSNSGNSGHHSTRNSDQIQVAGTKETKMQKPHLPLSQEKSAIKKASNLQKNKTASSTTKEKETKLPLLSRVPSAGSSLVPLNAKNCALPVSKKDKERSSSKECSGHSTESTKHKEHKAKTNKADSNVSSGKISGGPLRSEYGTPTKSPPAALEVVPCIPSPAAPSDKAPSEGESSGNSNAGSSALKRKLRGDFDSDEESLGYNLDSDEEEETLKSLEEIMALNFNQTPAATGKPPALSKGLRSQSSDYTGHVHPGTYTNTLERLVKEMEDTQRLDELQKQLQEDIRQGRGIKSPIRIGEEDSTDDEDGLLEEHKEFLKKFSVTIDAIPDHHPGEEIFNFLNSGKIFNQYTLDLRDSGFIGQSAVEKLILKSGKTDQIFLTTQGFLTSAYHYVQCPVPVLKWLFRMMSVHTDCIVSVQILSTLMEITIRNDTFSDSPVWPWIPSLSDVAAVFFNMGIDFRSLFPLENLQPDFNEDYLVSETQTTSRGKESEDSSYKPIFSTLPETNILNVVKFLGLCTSIHPEGYQDREIMLLILMLFKMSLEKQLKQIPLVDFQSLLINLMKNIRDWNTKVPELCLGINELSSHPHNLLWLVQLVPNWTSRGRQLRQCLSLVIISKLLDEKHEDVPNASNLQVSVLHRYLVQMKPSDLLKKMVLKKKAEQPDGIIDDSLHLELEKQAYYLTYILLHLVGEVSCSHSFSSGQRKHFVLLCGALEKHVKCDIREDARLFYRTKVKDLVARIHGKWQEIIQNCRPTQGQLHDFWVPDS
+>DECOY_sp|Q8IX21|SLF2_HUMAN SMC5-SMC6 complex localization factor protein 2 OS=Homo sapiens OX=9606 GN=SLF2 PE=1 SV=2
+SDPVWFDHLQGQTPRCNQIIEQWKGHIRAVLDKVKTRYFLRADERIDCKVHKELAGCLLVFHKRQGSSFSHSCSVEGVLHLLIYTLYYAQKELELHLSDDIIGDPQEAKKKLVMKKLLDSPKMQVLYRHLVSVQLNSANPVDEHKEDLLKSIIVLSLCQRLQRGRSTWNPVLQVLWLLNHPHSSLENIGLCLEPVKTNWDRINKMLNILLSQFDVLPIQKLQKELSMKFLMLILLMIERDQYGEPHISTCLGLFKVVNLINTEPLTSFIPKYSSDESEKGRSTTQTESVLYDENFDPQLNELPFLSRFDIGMNFFVAAVDSLSPIWPWVPSDSFTDNRITIEMLTSLIQVSVICDTHVSMMRFLWKLVPVPCQVYHYASTLFGQTTLFIQDTKGSKLILKEVASQGIFGSDRLDLTYQNFIKGSNLFNFIEEGPHHDPIADITVSFKKLFEKHEELLGDEDDTSDEEGIRIPSKIGRGQRIDEQLQKQLEDLRQTDEMEKVLRELTNTYTGPHVHGTYDSSQSRLGKSLAPPKGTAAPTQNFNLAMIEELSKLTEEEEDSDLNYGLSEEDSDFDGRLKRKLASSGANSNGSSEGESPAKDSPAAPSPICPVVELAAPPSKTPTGYESRLPGGSIKGSSVNSDAKNTKAKHEKHKTSETSHGSCEKSSSREKDKKSVPLACNKANLPVLSSGASPVRSLLPLKTEKEKTTSSATKNKQLNSAKKIASKEQSLPLHPKQMKTEKTGAVQIQDSNRTSHHGSNGSNSPALGASSGDTEDSPEKSIDELRLVDGPTKLAIHKIFKEKQHPGDPRKELFSERAKPIMSERTSKLDSDVSNRKRKEPFKNQKSGASTPEWSDSSSRKRSLHGNEVNSLNNKGPIIDNQKRQEQRPKYKREISSKLSLSSAESFSNESNIRQEMQEKRLRKLERERCYSALSLQFKAGLPSEEPHHRSLSSRSSLSRSSNNFIDAEAVTTQKKSDANTKGRDRDNKENNEHIFLSKRREPSRHKKKLSKMEKPLHYSSPVYINTGKALYKSALSLCPRRSEHIGHDEHHEKVGKMFAEIIPSKEPPVRKPKSEKPSSLKREFQETGTISLRSGGYKINSKQPSDLMHRDQKSAPKFFDIISQKRDGPSETRKGAAAHATSGPRLHCRPPSSGPAPSSPFGPRAPMCRRTM
+>sp|Q9GZT3|SLIRP_HUMAN SRA stem-loop-interacting RNA-binding protein, mitochondrial OS=Homo sapiens OX=9606 GN=SLIRP PE=1 SV=1
+MAASAARGAAALRRSINQPVAFVRRIPWTAASSQLKEHFAQFGHVRRCILPFDKETGFHRGLGWVQFSSEEGLRNALQQENHIIDGVKVQVHTRRPKLPQTSDDEKKDF
+>DECOY_sp|Q9GZT3|SLIRP_HUMAN SRA stem-loop-interacting RNA-binding protein, mitochondrial OS=Homo sapiens OX=9606 GN=SLIRP PE=1 SV=1
+FDKKEDDSTQPLKPRRTHVQVKVGDIIHNEQQLANRLGEESSFQVWGLGRHFGTEKDFPLICRRVHGFQAFHEKLQSSAATWPIRRVFAVPQNISRRLAAAGRAASAAM
+>sp|O75093|SLIT1_HUMAN Slit homolog 1 protein OS=Homo sapiens OX=9606 GN=SLIT1 PE=2 SV=4
+MALTPGWGSSAGPVRPELWLLLWAAAWRLGASACPALCTCTGTTVDCHGTGLQAIPKNIPRNTERLELNGNNITRIHKNDFAGLKQLRVLQLMENQIGAVERGAFDDMKELERLRLNRNQLHMLPELLFQNNQALSRLDLSENAIQAIPRKAFRGATDLKNLQLDKNQISCIEEGAFRALRGLEVLTLNNNNITTIPVSSFNHMPKLRTFRLHSNHLFCDCHLAWLSQWLRQRPTIGLFTQCSGPASLRGLNVAEVQKSEFSCSGQGEAGRVPTCTLSSGSCPAMCTCSNGIVDCRGKGLTAIPANLPETMTEIRLELNGIKSIPPGAFSPYRKLRRIDLSNNQIAEIAPDAFQGLRSLNSLVLYGNKITDLPRGVFGGLYTLQLLLLNANKINCIRPDAFQDLQNLSLLSLYDNKIQSLAKGTFTSLRAIQTLHLAQNPFICDCNLKWLADFLRTNPIETSGARCASPRRLANKRIGQIKSKKFRCSAKEQYFIPGTEDYQLNSECNSDVVCPHKCRCEANVVECSSLKLTKIPERIPQSTAELRLNNNEISILEATGMFKKLTHLKKINLSNNKVSEIEDGAFEGAASVSELHLTANQLESIRSGMFRGLDGLRTLMLRNNRISCIHNDSFTGLRNVRLLSLYDNQITTVSPGAFDTLQSLSTLNLLANPFNCNCQLAWLGGWLRKRKIVTGNPRCQNPDFLRQIPLQDVAFPDFRCEEGQEEGGCLPRPQCPQECACLDTVVRCSNKHLRALPKGIPKNVTELYLDGNQFTLVPGQLSTFKYLQLVDLSNNKISSLSNSSFTNMSQLTTLILSYNALQCIPPLAFQGLRSLRLLSLHGNDISTLQEGIFADVTSLSHLAIGANPLYCDCHLRWLSSWVKTGYKEPGIARCAGPQDMEGKLLLTTPAKKFECQGPPTLAVQAKCDLCLSSPCQNQGTCHNDPLEVYRCACPSGYKGRDCEVSLDSCSSGPCENGGTCHAQEGEDAPFTCSCPTGFEGPTCGVNTDDCVDHACANGGVCVDGVGNYTCQCPLQYEGKACEQLVDLCSPDLNPCQHEAQCVGTPDGPRCECMPGYAGDNCSENQDDCRDHRCQNGAQCMDEVNSYSCLCAEGYSGQLCEIPPHLPAPKSPCEGTECQNGANCVDQGNRPVCQCLPGFGGPECEKLLSVNFVDRDTYLQFTDLQNWPRANITLQVSTAEDNGILLYNGDNDHIAVELYQGHVRVSYDPGSYPSSAIYSAETINDGQFHTVELVAFDQMVNLSIDGGSPMTMDNFGKHYTLNSEAPLYVGGMPVDVNSAAFRLWQILNGTGFHGCIRNLYINNELQDFTKTQMKPGVVPGCEPCRKLYCLHGICQPNATPGPMCHCEAGWVGLHCDQPADGPCHGHKCVHGQCVPLDALSYSCQCQDGYSGALCNQAGALAEPCRGLQCLHGHCQASGTKGAHCVCDPGFSGELCEQESECRGDPVRDFHQVQRGYAICQTTRPLSWVECRGSCPGQGCCQGLRLKRRKFTFECSDGTSFAEEVEKPTKCGCALCA
+>DECOY_sp|O75093|SLIT1_HUMAN Slit homolog 1 protein OS=Homo sapiens OX=9606 GN=SLIT1 PE=2 SV=4
+ACLACGCKTPKEVEEAFSTGDSCEFTFKRRKLRLGQCCGQGPCSGRCEVWSLPRTTQCIAYGRQVQHFDRVPDGRCESEQECLEGSFGPDCVCHAGKTGSAQCHGHLCQLGRCPEALAGAQNCLAGSYGDQCQCSYSLADLPVCQGHVCKHGHCPGDAPQDCHLGVWGAECHCMPGPTANPQCIGHLCYLKRCPECGPVVGPKMQTKTFDQLENNIYLNRICGHFGTGNLIQWLRFAASNVDVPMGGVYLPAESNLTYHKGFNDMTMPSGGDISLNVMQDFAVLEVTHFQGDNITEASYIASSPYSGPDYSVRVHGQYLEVAIHDNDGNYLLIGNDEATSVQLTINARPWNQLDTFQLYTDRDVFNVSLLKECEPGGFGPLCQCVPRNGQDVCNAGNQCETGECPSKPAPLHPPIECLQGSYGEACLCSYSNVEDMCQAGNQCRHDRCDDQNESCNDGAYGPMCECRPGDPTGVCQAEHQCPNLDPSCLDVLQECAKGEYQLPCQCTYNGVGDVCVGGNACAHDVCDDTNVGCTPGEFGTPCSCTFPADEGEQAHCTGGNECPGSSCSDLSVECDRGKYGSPCACRYVELPDNHCTGQNQCPSSLCLDCKAQVALTPPGQCEFKKAPTTLLLKGEMDQPGACRAIGPEKYGTKVWSSLWRLHCDCYLPNAGIALHSLSTVDAFIGEQLTSIDNGHLSLLRLSRLGQFALPPICQLANYSLILTTLQSMNTFSSNSLSSIKNNSLDVLQLYKFTSLQGPVLTFQNGDLYLETVNKPIGKPLARLHKNSCRVVTDLCACEQPCQPRPLCGGEEQGEECRFDPFAVDQLPIQRLFDPNQCRPNGTVIKRKRLWGGLWALQCNCNFPNALLNLTSLSQLTDFAGPSVTTIQNDYLSLLRVNRLGTFSDNHICSIRNNRLMLTRLGDLGRFMGSRISELQNATLHLESVSAAGEFAGDEIESVKNNSLNIKKLHTLKKFMGTAELISIENNNLRLEATSQPIREPIKTLKLSSCEVVNAECRCKHPCVVDSNCESNLQYDETGPIFYQEKASCRFKKSKIQGIRKNALRRPSACRAGSTEIPNTRLFDALWKLNCDCIFPNQALHLTQIARLSTFTGKALSQIKNDYLSLLSLNQLDQFADPRICNIKNANLLLLQLTYLGGFVGRPLDTIKNGYLVLSNLSRLGQFADPAIEAIQNNSLDIRRLKRYPSFAGPPISKIGNLELRIETMTEPLNAPIATLGKGRCDVIGNSCTCMAPCSGSSLTCTPVRGAEGQGSCSFESKQVEAVNLGRLSAPGSCQTFLGITPRQRLWQSLWALHCDCFLHNSHLRFTRLKPMHNFSSVPITTINNNNLTLVELGRLARFAGEEICSIQNKDLQLNKLDTAGRFAKRPIAQIANESLDLRSLAQNNQFLLEPLMHLQNRNLRLRELEKMDDFAGREVAGIQNEMLQLVRLQKLGAFDNKHIRTINNGNLELRETNRPINKPIAQLGTGHCDVTTGTCTCLAPCASAGLRWAAAWLLLWLEPRVPGASSGWGPTLAM
+>sp|O75094|SLIT3_HUMAN Slit homolog 3 protein OS=Homo sapiens OX=9606 GN=SLIT3 PE=2 SV=3
+MAPGWAGVGAAVRARLALALALASVLSGPPAVACPTKCTCSAASVDCHGLGLRAVPRGIPRNAERLDLDRNNITRITKMDFAGLKNLRVLHLEDNQVSVIERGAFQDLKQLERLRLNKNKLQVLPELLFQSTPKLTRLDLSENQIQGIPRKAFRGITDVKNLQLDNNHISCIEDGAFRALRDLEILTLNNNNISRILVTSFNHMPKIRTLRLHSNHLYCDCHLAWLSDWLRQRRTVGQFTLCMAPVHLRGFNVADVQKKEYVCPAPHSEPPSCNANSISCPSPCTCSNNIVDCRGKGLMEIPANLPEGIVEIRLEQNSIKAIPAGAFTQYKKLKRIDISKNQISDIAPDAFQGLKSLTSLVLYGNKITEIVKGLFDGLVSLQLLLLNANKINCLRVNTFQDLQNLNLLSLYDNKLQTISKGLFAPLQSIQTLHLAQNPFVCDCHLKWLADYLQDNPIETSGARCSSPRRLANKRISQIKSKKFRCSGSEDYRSRFSSECFMDLVCPEKCRCEGTIVDCSNQKLVRIPSHLPEYVTDLRLNDNEVSVLEATGIFKKLPNLRKINLSNNKIKEVREGAFDGAASVQELMLTGNQLETVHGRVFRGLSGLKTLMLRSNLIGCVSNDTFAGLSSVRLLSLYDNRITTITPGAFTTLVSLSTINLLSNPFNCNCHLAWLGKWLRKRRIVSGNPRCQKPFFLKEIPIQDVAIQDFTCDGNEESSCQLSPRCPEQCTCMETVVRCSNKGLRALPRGMPKDVTELYLEGNHLTAVPRELSALRHLTLIDLSNNSISMLTNYTFSNMSHLSTLILSYNRLRCIPVHAFNGLRSLRVLTLHGNDISSVPEGSFNDLTSLSHLALGTNPLHCDCSLRWLSEWVKAGYKEPGIARCSSPEPMADRLLLTTPTHRFQCKGPVDINIVAKCNACLSSPCKNNGTCTQDPVELYRCACPYSYKGKDCTVPINTCIQNPCQHGGTCHLSDSHKDGFSCSCPLGFEGQRCEINPDDCEDNDCENNATCVDGINNYVCICPPNYTGELCDEVIDHCVPELNLCQHEAKCIPLDKGFSCECVPGYSGKLCETDNDDCVAHKCRHGAQCVDTINGYTCTCPQGFSGPFCEHPPPMVLLQTSPCDQYECQNGAQCIVVQQEPTCRCPPGFAGPRCEKLITVNFVGKDSYVELASAKVRPQANISLQVATDKDNGILLYKGDNDPLALELYQGHVRLVYDSLSSPPTTVYSVETVNDGQFHSVELVTLNQTLNLVVDKGTPKSLGKLQKQPAVGINSPLYLGGIPTSTGLSALRQGTDRPLGGFHGCIHEVRINNELQDFKALPPQSLGVSPGCKSCTVCKHGLCRSVEKDSVVCECRPGWTGPLCDQEARDPCLGHRCHHGKCVATGTSYMCKCAEGYGGDLCDNKNDSANACSAFKCHHGQCHISDQGEPYCLCQPGFSGEHCQQENPCLGQVVREVIRRQKGYASCATASKVPIMECRGGCGPQCCQPTRSKRRKYVFQCTDGSSFVEEVERHLECGCLACS
+>DECOY_sp|O75094|SLIT3_HUMAN Slit homolog 3 protein OS=Homo sapiens OX=9606 GN=SLIT3 PE=2 SV=3
+SCALCGCELHREVEEVFSSGDTCQFVYKRRKSRTPQCCQPGCGGRCEMIPVKSATACSAYGKQRRIVERVVQGLCPNEQQCHEGSFGPQCLCYPEGQDSIHCQGHHCKFASCANASDNKNDCLDGGYGEACKCMYSTGTAVCKGHHCRHGLCPDRAEQDCLPGTWGPRCECVVSDKEVSRCLGHKCVTCSKCGPSVGLSQPPLAKFDQLENNIRVEHICGHFGGLPRDTGQRLASLGTSTPIGGLYLPSNIGVAPQKQLKGLSKPTGKDVVLNLTQNLTVLEVSHFQGDNVTEVSYVTTPPSSLSDYVLRVHGQYLELALPDNDGKYLLIGNDKDTAVQLSINAQPRVKASALEVYSDKGVFNVTILKECRPGAFGPPCRCTPEQQVVICQAGNQCEYQDCPSTQLLVMPPPHECFPGSFGQPCTCTYGNITDVCQAGHRCKHAVCDDNDTECLKGSYGPVCECSFGKDLPICKAEHQCLNLEPVCHDIVEDCLEGTYNPPCICVYNNIGDVCTANNECDNDECDDPNIECRQGEFGLPCSCSFGDKHSDSLHCTGGHQCPNQICTNIPVTCDKGKYSYPCACRYLEVPDQTCTGNNKCPSSLCANCKAVINIDVPGKCQFRHTPTTLLLRDAMPEPSSCRAIGPEKYGAKVWESLWRLSCDCHLPNTGLALHSLSTLDNFSGEPVSSIDNGHLTLVRLSRLGNFAHVPICRLRNYSLILTSLHSMNSFTYNTLMSISNNSLDILTLHRLASLERPVATLHNGELYLETVDKPMGRPLARLGKNSCRVVTEMCTCQEPCRPSLQCSSEENGDCTFDQIAVDQIPIEKLFFPKQCRPNGSVIRRKRLWKGLWALHCNCNFPNSLLNITSLSVLTTFAGPTITTIRNDYLSLLRVSSLGAFTDNSVCGILNSRLMLTKLGSLGRFVRGHVTELQNGTLMLEQVSAAGDFAGERVEKIKNNSLNIKRLNPLKKFIGTAELVSVENDNLRLDTVYEPLHSPIRVLKQNSCDVITGECRCKEPCVLDMFCESSFRSRYDESGSCRFKKSKIQSIRKNALRRPSSCRAGSTEIPNDQLYDALWKLHCDCVFPNQALHLTQISQLPAFLGKSITQLKNDYLSLLNLNQLDQFTNVRLCNIKNANLLLLQLSVLGDFLGKVIETIKNGYLVLSTLSKLGQFADPAIDSIQNKSIDIRKLKKYQTFAGAPIAKISNQELRIEVIGEPLNAPIEMLGKGRCDVINNSCTCPSPCSISNANCSPPESHPAPCVYEKKQVDAVNFGRLHVPAMCLTFQGVTRRQRLWDSLWALHCDCYLHNSHLRLTRIKPMHNFSTVLIRSINNNNLTLIELDRLARFAGDEICSIHNNDLQLNKVDTIGRFAKRPIGQIQNESLDLRTLKPTSQFLLEPLVQLKNKNLRLRELQKLDQFAGREIVSVQNDELHLVRLNKLGAFDMKTIRTINNRDLDLREANRPIGRPVARLGLGHCDVSAASCTCKTPCAVAPPGSLVSALALALALRARVAAGVGAWGPAM
+>sp|Q15797|SMAD1_HUMAN Mothers against decapentaplegic homolog 1 OS=Homo sapiens OX=9606 GN=SMAD1 PE=1 SV=1
+MNVTSLFSFTSPAVKRLLGWKQGDEEEKWAEKAVDALVKKLKKKKGAMEELEKALSCPGQPSNCVTIPRSLDGRLQVSHRKGLPHVIYCRVWRWPDLQSHHELKPLECCEFPFGSKQKEVCINPYHYKRVESPVLPPVLVPRHSEYNPQHSLLAQFRNLGQNEPHMPLNATFPDSFQQPNSHPFPHSPNSSYPNSPGSSSSTYPHSPTSSDPGSPFQMPADTPPPAYLPPEDPMTQDGSQPMDTNMMAPPLPSEINRGDVQAVAYEEPKHWCSIVYYELNNRVGEAFHASSTSVLVDGFTDPSNNKNRFCLGLLSNVNRNSTIENTRRHIGKGVHLYYVGGEVYAECLSDSSIFVQSRNCNYHHGFHPTTVCKIPSGCSLKIFNNQEFAQLLAQSVNHGFETVYELTKMCTIRMSFVKGWGAEYHRQDVTSTPCWIEIHLHGPLQWLDKVLTQMGSPHNPISSVS
+>DECOY_sp|Q15797|SMAD1_HUMAN Mothers against decapentaplegic homolog 1 OS=Homo sapiens OX=9606 GN=SMAD1 PE=1 SV=1
+SVSSIPNHPSGMQTLVKDLWQLPGHLHIEIWCPTSTVDQRHYEAGWGKVFSMRITCMKTLEYVTEFGHNVSQALLQAFEQNNFIKLSCGSPIKCVTTPHFGHHYNCNRSQVFISSDSLCEAYVEGGVYYLHVGKGIHRRTNEITSNRNVNSLLGLCFRNKNNSPDTFGDVLVSTSSAHFAEGVRNNLEYYVISCWHKPEEYAVAQVDGRNIESPLPPAMMNTDMPQSGDQTMPDEPPLYAPPPTDAPMQFPSGPDSSTPSHPYTSSSSGPSNPYSSNPSHPFPHSNPQQFSDPFTANLPMHPENQGLNRFQALLSHQPNYESHRPVLVPPLVPSEVRKYHYPNICVEKQKSGFPFECCELPKLEHHSQLDPWRWVRCYIVHPLGKRHSVQLRGDLSRPITVCNSPQGPCSLAKELEEMAGKKKKLKKVLADVAKEAWKEEEDGQKWGLLRKVAPSTFSFLSTVNM
+>sp|Q15796|SMAD2_HUMAN Mothers against decapentaplegic homolog 2 OS=Homo sapiens OX=9606 GN=SMAD2 PE=1 SV=1
+MSSILPFTPPVVKRLLGWKKSAGGSGGAGGGEQNGQEEKWCEKAVKSLVKKLKKTGRLDELEKAITTQNCNTKCVTIPSTCSEIWGLSTPNTIDQWDTTGLYSFSEQTRSLDGRLQVSHRKGLPHVIYCRLWRWPDLHSHHELKAIENCEYAFNLKKDEVCVNPYHYQRVETPVLPPVLVPRHTEILTELPPLDDYTHSIPENTNFPAGIEPQSNYIPETPPPGYISEDGETSDQQLNQSMDTGSPAELSPTTLSPVNHSLDLQPVTYSEPAFWCSIAYYELNQRVGETFHASQPSLTVDGFTDPSNSERFCLGLLSNVNRNATVEMTRRHIGRGVRLYYIGGEVFAECLSDSAIFVQSPNCNQRYGWHPATVCKIPPGCNLKIFNNQEFAALLAQSVNQGFEAVYQLTRMCTIRMSFVKGWGAEYRRQTVTSTPCWIELHLNGPLQWLDKVLTQMGSPSVRCSSMS
+>DECOY_sp|Q15796|SMAD2_HUMAN Mothers against decapentaplegic homolog 2 OS=Homo sapiens OX=9606 GN=SMAD2 PE=1 SV=1
+SMSSCRVSPSGMQTLVKDLWQLPGNLHLEIWCPTSTVTQRRYEAGWGKVFSMRITCMRTLQYVAEFGQNVSQALLAAFEQNNFIKLNCGPPIKCVTAPHWGYRQNCNPSQVFIASDSLCEAFVEGGIYYLRVGRGIHRRTMEVTANRNVNSLLGLCFRESNSPDTFGDVTLSPQSAHFTEGVRQNLEYYAISCWFAPESYTVPQLDLSHNVPSLTTPSLEAPSGTDMSQNLQQDSTEGDESIYGPPPTEPIYNSQPEIGAPFNTNEPISHTYDDLPPLETLIETHRPVLVPPLVPTEVRQYHYPNVCVEDKKLNFAYECNEIAKLEHHSHLDPWRWLRCYIVHPLGKRHSVQLRGDLSRTQESFSYLGTTDWQDITNPTSLGWIESCTSPITVCKTNCNQTTIAKELEDLRGTKKLKKVLSKVAKECWKEEQGNQEGGGAGGSGGASKKWGLLRKVVPPTFPLISSM
+>sp|Q99717|SMAD5_HUMAN Mothers against decapentaplegic homolog 5 OS=Homo sapiens OX=9606 GN=SMAD5 PE=1 SV=1
+MTSMASLFSFTSPAVKRLLGWKQGDEEEKWAEKAVDALVKKLKKKKGAMEELEKALSSPGQPSKCVTIPRSLDGRLQVSHRKGLPHVIYCRVWRWPDLQSHHELKPLDICEFPFGSKQKEVCINPYHYKRVESPVLPPVLVPRHNEFNPQHSLLVQFRNLSHNEPHMPQNATFPDSFHQPNNTPFPLSPNSPYPPSPASSTYPNSPASSGPGSPFQLPADTPPPAYMPPDDQMGQDNSQPMDTSNNMIPQIMPSISSRDVQPVAYEEPKHWCSIVYYELNNRVGEAFHASSTSVLVDGFTDPSNNKSRFCLGLLSNVNRNSTIENTRRHIGKGVHLYYVGGEVYAECLSDSSIFVQSRNCNFHHGFHPTTVCKIPSSCSLKIFNNQEFAQLLAQSVNHGFEAVYELTKMCTIRMSFVKGWGAEYHRQDVTSTPCWIEIHLHGPLQWLDKVLTQMGSPLNPISSVS
+>DECOY_sp|Q99717|SMAD5_HUMAN Mothers against decapentaplegic homolog 5 OS=Homo sapiens OX=9606 GN=SMAD5 PE=1 SV=1
+SVSSIPNLPSGMQTLVKDLWQLPGHLHIEIWCPTSTVDQRHYEAGWGKVFSMRITCMKTLEYVAEFGHNVSQALLQAFEQNNFIKLSCSSPIKCVTTPHFGHHFNCNRSQVFISSDSLCEAYVEGGVYYLHVGKGIHRRTNEITSNRNVNSLLGLCFRSKNNSPDTFGDVLVSTSSAHFAEGVRNNLEYYVISCWHKPEEYAVPQVDRSSISPMIQPIMNNSTDMPQSNDQGMQDDPPMYAPPPTDAPLQFPSGPGSSAPSNPYTSSAPSPPYPSNPSLPFPTNNPQHFSDPFTANQPMHPENHSLNRFQVLLSHQPNFENHRPVLVPPLVPSEVRKYHYPNICVEKQKSGFPFECIDLPKLEHHSQLDPWRWVRCYIVHPLGKRHSVQLRGDLSRPITVCKSPQGPSSLAKELEEMAGKKKKLKKVLADVAKEAWKEEEDGQKWGLLRKVAPSTFSFLSAMSTM
+>sp|Q12884|SEPR_HUMAN Prolyl endopeptidase FAP OS=Homo sapiens OX=9606 GN=FAP PE=1 SV=5
+MKTWVKIVFGVATSAVLALLVMCIVLRPSRVHNSEENTMRALTLKDILNGTFSYKTFFPNWISGQEYLHQSADNNIVLYNIETGQSYTILSNRTMKSVNASNYGLSPDRQFVYLESDYSKLWRYSYTATYYIYDLSNGEFVRGNELPRPIQYLCWSPVGSKLAYVYQNNIYLKQRPGDPPFQITFNGRENKIFNGIPDWVYEEEMLATKYALWWSPNGKFLAYAEFNDTDIPVIAYSYYGDEQYPRTINIPYPKAGAKNPVVRIFIIDTTYPAYVGPQEVPVPAMIASSDYYFSWLTWVTDERVCLQWLKRVQNVSVLSICDFREDWQTWDCPKTQEHIEESRTGWAGGFFVSTPVFSYDAISYYKIFSDKDGYKHIHYIKDTVENAIQITSGKWEAINIFRVTQDSLFYSSNEFEEYPGRRNIYRISIGSYPPSKKCVTCHLRKERCQYYTASFSDYAKYYALVCYGPGIPISTLHDGRTDQEIKILEENKELENALKNIQLPKEEIKKLEVDEITLWYKMILPPQFDRSKKYPLLIQVYGGPCSQSVRSVFAVNWISYLASKEGMVIALVDGRGTAFQGDKLLYAVYRKLGVYEVEDQITAVRKFIEMGFIDEKRIAIWGWSYGGYVSSLALASGTGLFKCGIAVAPVSSWEYYASVYTERFMGLPTKDDNLEHYKNSTVMARAEYFRNVDYLLIHGTADDNVHFQNSAQIAKALVNAQVDFQAMWYSDQNHGLSGLSTNHLYTHMTHFLKQCFSLSD
+>DECOY_sp|Q12884|SEPR_HUMAN Prolyl endopeptidase FAP OS=Homo sapiens OX=9606 GN=FAP PE=1 SV=5
+DSLSFCQKLFHTMHTYLHNTSLGSLGHNQDSYWMAQFDVQANVLAKAIQASNQFHVNDDATGHILLYDVNRFYEARAMVTSNKYHELNDDKTPLGMFRETYVSAYYEWSSVPAVAIGCKFLGTGSALALSSVYGGYSWGWIAIRKEDIFGMEIFKRVATIQDEVEYVGLKRYVAYLLKDGQFATGRGDVLAIVMGEKSALYSIWNVAFVSRVSQSCPGGYVQILLPYKKSRDFQPPLIMKYWLTIEDVELKKIEEKPLQINKLANELEKNEELIKIEQDTRGDHLTSIPIGPGYCVLAYYKAYDSFSATYYQCREKRLHCTVCKKSPPYSGISIRYINRRGPYEEFENSSYFLSDQTVRFINIAEWKGSTIQIANEVTDKIYHIHKYGDKDSFIKYYSIADYSFVPTSVFFGGAWGTRSEEIHEQTKPCDWTQWDERFDCISLVSVNQVRKLWQLCVREDTVWTLWSFYYDSSAIMAPVPVEQPGVYAPYTTDIIFIRVVPNKAGAKPYPINITRPYQEDGYYSYAIVPIDTDNFEAYALFKGNPSWWLAYKTALMEEEYVWDPIGNFIKNERGNFTIQFPPDGPRQKLYINNQYVYALKSGVPSWCLYQIPRPLENGRVFEGNSLDYIYYTATYSYRWLKSYDSELYVFQRDPSLGYNSANVSKMTRNSLITYSQGTEINYLVINNDASQHLYEQGSIWNPFFTKYSFTGNLIDKLTLARMTNEESNHVRSPRLVICMVLLALVASTAVGFVIKVWTKM
+>sp|Q15019|SEPT2_HUMAN Septin-2 OS=Homo sapiens OX=9606 GN=SEPT2 PE=1 SV=1
+MSKQQPTQFINPETPGYVGFANLPNQVHRKSVKKGFEFTLMVVGESGLGKSTLINSLFLTDLYPERVIPGAAEKIERTVQIEASTVEIEERGVKLRLTVVDTPGYGDAINCRDCFKTIISYIDEQFERYLHDESGLNRRHIIDNRVHCCFYFISPFGHGLKPLDVAFMKAIHNKVNIVPVIAKADTLTLKERERLKKRILDEIEEHNIKIYHLPDAESDEDEDFKEQTRLLKASIPFSVVGSNQLIEAKGKKVRGRLYPWGVVEVENPEHNDFLKLRTMLITHMQDLQEVTQDLHYENFRSERLKRGGRKVENEDMNKDQILLEKEAELRRMQEMIARMQAQMQMQMQGGDGDGGALGHHV
+>DECOY_sp|Q15019|SEPT2_HUMAN Septin-2 OS=Homo sapiens OX=9606 GN=SEPT2 PE=1 SV=1
+VHHGLAGGDGDGGQMQMQMQAQMRAIMEQMRRLEAEKELLIQDKNMDENEVKRGGRKLRESRFNEYHLDQTVEQLDQMHTILMTRLKLFDNHEPNEVEVVGWPYLRGRVKKGKAEILQNSGVVSFPISAKLLRTQEKFDEDEDSEADPLHYIKINHEEIEDLIRKKLREREKLTLTDAKAIVPVINVKNHIAKMFAVDLPKLGHGFPSIFYFCCHVRNDIIHRRNLGSEDHLYREFQEDIYSIITKFCDRCNIADGYGPTDVVTLRLKVGREEIEVTSAEIQVTREIKEAAGPIVREPYLDTLFLSNILTSKGLGSEGVVMLTFEFGKKVSKRHVQNPLNAFGVYGPTEPNIFQTPQQKSM
+>sp|Q99719|SEPT5_HUMAN Septin-5 OS=Homo sapiens OX=9606 GN=SEPT5 PE=1 SV=1
+MSTGLRYKSKLATPEDKQDIDKQYVGFATLPNQVHRKSVKKGFDFTLMVAGESGLGKSTLVHSLFLTDLYKDRKLLSAEERISQTVEILKHTVDIEEKGVKLKLTIVDTPGFGDAVNNTECWKPITDYVDQQFEQYFRDESGLNRKNIQDNRVHCCLYFISPFGHGLRPVDVGFMKALHEKVNIVPLIAKADCLVPSEIRKLKERIREEIDKFGIHVYQFPECDSDEDEDFKQQDRELKESAPFAVIGSNTVVEAKGQRVRGRLYPWGIVEVENQAHCDFVKLRNMLIRTHMHDLKDVTCDVHYENYRAHCIQQMTSKLTQDSRMESPIPILPLPTPDAETEKLIRMKDEELRRMQEMLQRMKQQMQDQ
+>DECOY_sp|Q99719|SEPT5_HUMAN Septin-5 OS=Homo sapiens OX=9606 GN=SEPT5 PE=1 SV=1
+QDQMQQKMRQLMEQMRRLEEDKMRILKETEADPTPLPLIPIPSEMRSDQTLKSTMQQICHARYNEYHVDCTVDKLDHMHTRILMNRLKVFDCHAQNEVEVIGWPYLRGRVRQGKAEVVTNSGIVAFPASEKLERDQQKFDEDEDSDCEPFQYVHIGFKDIEERIREKLKRIESPVLCDAKAILPVINVKEHLAKMFGVDVPRLGHGFPSIFYLCCHVRNDQINKRNLGSEDRFYQEFQQDVYDTIPKWCETNNVADGFGPTDVITLKLKVGKEEIDVTHKLIEVTQSIREEASLLKRDKYLDTLFLSHVLTSKGLGSEGAVMLTFDFGKKVSKRHVQNPLTAFGVYQKDIDQKDEPTALKSKYRLGTSM
+>sp|Q16181|SEPT7_HUMAN Septin-7 OS=Homo sapiens OX=9606 GN=SEPT7 PE=1 SV=2
+MSVSARSAAAEERSVNSSTMVAQQKNLEGYVGFANLPNQVYRKSVKRGFEFTLMVVGESGLGKSTLINSLFLTDLYSPEYPGPSHRIKKTVQVEQSKVLIKEGGVQLLLTIVDTPGFGDAVDNSNCWQPVIDYIDSKFEDYLNAESRVNRRQMPDNRVQCCLYFIAPSGHGLKPLDIEFMKRLHEKVNIIPLIAKADTLTPEECQQFKKQIMKEIQEHKIKIYEFPETDDEEENKLVKKIKDRLPLAVVGSNTIIEVNGKRVRGRQYPWGVAEVENGEHCDFTILRNMLIRTHMQDLKDVTNNVHYENYRSRKLAAVTYNGVDNNKNKGQLTKSPLAQMEEERREHVAKMKKMEMEMEQVFEMKVKEKVQKLKDSEAELQRRHEQMKKNLEAQHKELEEKRRQFEDEKANWEAQQRILEQQNSSRTLEKNKKKGKIF
+>DECOY_sp|Q16181|SEPT7_HUMAN Septin-7 OS=Homo sapiens OX=9606 GN=SEPT7 PE=1 SV=2
+FIKGKKKNKELTRSSNQQELIRQQAEWNAKEDEFQRRKEELEKHQAELNKKMQEHRRQLEAESDKLKQVKEKVKMEFVQEMEMEMKKMKAVHERREEEMQALPSKTLQGKNKNNDVGNYTVAALKRSRYNEYHVNNTVDKLDQMHTRILMNRLITFDCHEGNEVEAVGWPYQRGRVRKGNVEIITNSGVVALPLRDKIKKVLKNEEEDDTEPFEYIKIKHEQIEKMIQKKFQQCEEPTLTDAKAILPIINVKEHLRKMFEIDLPKLGHGSPAIFYLCCQVRNDPMQRRNVRSEANLYDEFKSDIYDIVPQWCNSNDVADGFGPTDVITLLLQVGGEKILVKSQEVQVTKKIRHSPGPYEPSYLDTLFLSNILTSKGLGSEGVVMLTFEFGRKVSKRYVQNPLNAFGVYGELNKQQAVMTSSNVSREEAAASRASVSM
+>sp|O75920|SERF1_HUMAN Small EDRK-rich factor 1 OS=Homo sapiens OX=9606 GN=SERF1A PE=2 SV=1
+MARGNQRELARQKNMKKTQEISKGKRKEDSLTASQRKQSSGGQKSESKMSAGPHLPLKAPRENPCFPLPAAGGSRYYLAYGSITPISAFVFVVFFSVFFPSFYEDFCCWI
+>DECOY_sp|O75920|SERF1_HUMAN Small EDRK-rich factor 1 OS=Homo sapiens OX=9606 GN=SERF1A PE=2 SV=1
+IWCCFDEYFSPFFVSFFVVFVFASIPTISGYALYYRSGGAAPLPFCPNERPAKLPLHPGASMKSESKQGGSSQKRQSATLSDEKRKGKSIEQTKKMNKQRALERQNGRAM
+>sp|P58004|SESN2_HUMAN Sestrin-2 OS=Homo sapiens OX=9606 GN=SESN2 PE=1 SV=1
+MIVADSECRAELKDYLRFAPGGVGDSGPGEEQRESRARRGPRGPSAFIPVEEVLREGAESLEQHLGLEALMSSGRVDNLAVVMGLHPDYFTSFWRLHYLLLHTDGPLASSWRHYIAIMAAARHQCSYLVGSHMAEFLQTGGDPEWLLGLHRAPEKLRKLSEINKLLAHRPWLITKEHIQALLKTGEHTWSLAELIQALVLLTHCHSLSSFVFGCGILPEGDADGSPAPQAPTPPSEQSSPPSRDPLNNSGGFESARDVEALMERMQQLQESLLRDEGTSQEEMESRFELEKSESLLVTPSADILEPSPHPDMLCFVEDPTFGYEDFTRRGAQAPPTFRAQDYTWEDHGYSLIQRLYPEGGQLLDEKFQAAYSLTYNTIAMHSGVDTSVLRRAIWNYIHCVFGIRYDDYDYGEVNQLLERNLKVYIKTVACYPEKTTRRMYNLFWRHFRHSEKVHVNLLLLEARMQAALLYALRAITRYMT
+>DECOY_sp|P58004|SESN2_HUMAN Sestrin-2 OS=Homo sapiens OX=9606 GN=SESN2 PE=1 SV=1
+TMYRTIARLAYLLAAQMRAELLLLNVHVKESHRFHRWFLNYMRRTTKEPYCAVTKIYVKLNRELLQNVEGYDYDDYRIGFVCHIYNWIARRLVSTDVGSHMAITNYTLSYAAQFKEDLLQGGEPYLRQILSYGHDEWTYDQARFTPPAQAGRRTFDEYGFTPDEVFCLMDPHPSPELIDASPTVLLSESKELEFRSEMEEQSTGEDRLLSEQLQQMREMLAEVDRASEFGGSNNLPDRSPPSSQESPPTPAQPAPSGDADGEPLIGCGFVFSSLSHCHTLLVLAQILEALSWTHEGTKLLAQIHEKTILWPRHALLKNIESLKRLKEPARHLGLLWEPDGGTQLFEAMHSGVLYSCQHRAAAMIAIYHRWSSALPGDTHLLLYHLRWFSTFYDPHLGMVVALNDVRGSSMLAELGLHQELSEAGERLVEEVPIFASPGRPGRRARSERQEEGPGSDGVGGPAFRLYDKLEARCESDAVIM
+>sp|Q6ICB4|SESQ2_HUMAN Sesquipedalian-2 OS=Homo sapiens OX=9606 GN=PHETA2 PE=1 SV=1
+MKLNERSVAHYALSDSPADHMGFLRTWGGPGTPPTPSGTGRRCWFVLKGNLLFSFESREGRAPLSLVVLEGCTVELAEAPVPEEFAFAICFDAPGVRPHLLAAEGPAAQEAWVKVLSRASFGYMRLVVRELESQLQDARQSLALQRRSSWKSVASRCKPQAPNHRAAGLENGHCLSKDSSPVGLVEEAGSRSAGWGLAEWELQGPASLLLGKGQSPVSPETSCFSTLHDWYGQEIVELRQCWQKRAQGSHSKCEEQDRP
+>DECOY_sp|Q6ICB4|SESQ2_HUMAN Sesquipedalian-2 OS=Homo sapiens OX=9606 GN=PHETA2 PE=1 SV=1
+PRDQEECKSHSGQARKQWCQRLEVIEQGYWDHLTSFCSTEPSVPSQGKGLLLSAPGQLEWEALGWGASRSGAEEVLGVPSSDKSLCHGNELGAARHNPAQPKCRSAVSKWSSRRQLALSQRADQLQSELERVVLRMYGFSARSLVKVWAEQAAPGEAALLHPRVGPADFCIAFAFEEPVPAEALEVTCGELVVLSLPARGERSEFSFLLNGKLVFWCRRGTGSPTPPTGPGGWTRLFGMHDAPSDSLAYHAVSRENLKM
+>sp|Q9UPS6|SET1B_HUMAN Histone-lysine N-methyltransferase SETD1B OS=Homo sapiens OX=9606 GN=SETD1B PE=1 SV=3
+MENSHPPHHHHQQPPPQPGPSGERRNHHWRSYKLMIDPALKKGHHKLYRYDGQHFSLAMSSNRPVEIVEDPRVVGIWTKNKELELSVPKFKIDEFYVGPVPPKQVTFAKLNDNIRENFLRDMCKKYGEVEEVEILYNPKTKKHLGIAKVVFATVRGAKDAVQHLHSTSVMGNIIHVELDTKGETRMRFYELLVTGRYTPQTLPVGELDAVSPIVNETLQLSDALKRLKDGGLSAGCGSGSSSVTPNSGGTPFSQDTAYSSCRLDTPNSYGQGTPLTPRLGTPFSQDSSYSSRQPTPSYLFSQDPAVTFKARRHESKFTDAYNRRHEHHYVHNSPAVTAVAGATAAFRGSSDLPFGAVGGTGGSSGPPFKAQPQDSATFAHTPPPAQATPAPGFKSAFSPYQTPVAHFPPPPEEPTATAAFGARDSGEFRRAPAPPPLPPAEPLAKEKPGTPPGPPPPDTNSMELGGRPTFGWSPEPCDSPGTPTLESSPAGPEKPHDSLDSRIEMLLKEQRTKLLFLREPDSDTELQMEGSPISSSSSQLSPLAPFGTNSQPGFRGPTPPSSRPSSTGLEDISPTPLPDSDEDEELDLGLGPRPPPEPGPPDPAGLLSQTAEVALDLVGDRTPTSEKMDEGQQSSGEDMEISDDEMPSAPITSADCPKPMVVTPGAAAVAAPSVLAPTLPLPPPPGFPPLPPPPPPPPPQPGFPMPPPLPPPPPPPPPAHPAVTVPPPPLPAPPGVPPPPILPPLPPFPPGLFPVMQVDMSHVLGGQWGGMPMSFQMQTQVLSRLMTGQGACPYPPFMAAAAAAASAGLQFVNLPPYRGPFSLSNSGPGRGQHWPPLPKFDPSVPPPGYMPRQEDPHKATVDGVLLVVLKELKAIMKRDLNRKMVEVVAFRAFDEWWDKKERMAKASLTPVKSGEHKDEDRPKPKDRIASCLLESWGKGEGLGYEGLGLGIGLRGAIRLPSFKVKRKEPPDTTSSGDQKRLRPSTSVDEEDEESERERDRDMADTPCELAKRDPKGVGVRRRPARPLELDSGGEEDEKESLSASSSSSASSSSGSSTTSPSSSASDKEEEQESTEEEEEAEEEEEEEVPRSQLSSSSTSSTSDKDDDDDDSDDRDESENDDEDTALSEASEKDEGDSDEEETVSIVTSKAEATSSSESSESSEFESSSESSPSSSEDEEEVVAREEEEEEEEEEMVAEESMASAGPEDFEQDGEEAALAPGAPAVDSLGMEEEVDIETEAVAPEERPSMLDEPPLPVGVEEPADSREPPEEPGLSQEGAMLLSPEPPAKEVEARPPLSPERAPEHDLEVEPEPPMMLPLPLQPPLPPPRPPRPPSPPPEPETTDASHPSVPPEPLAEDHPPHTPGLCGSLAKSQSTETVPATPGGEPPLSGGSSGLSLSSPQVPGSPFSYPAPSPSLSSGGLPRTPGRDFSFTPTFSEPSGPLLLPVCPLPTGRRDERSGPLASPVLLETGLPLPLPLPLPLPLALPAVLRAQARAPTPLPPLLPAPLASCPPPMKRKPGRPRRSPPSMLSLDGPLVRPPAGAALGRELLLLPGQPQTPVFPSTHDPRTVTLDFRNAGIPAPPPPLPPQPPPPPPPPPVEPTKLPFKELDNQWPSEAIPPGPRGRDEVTEEYMELAKSRGPWRRPPKKRHEDLVPPAGSPELSPPQPLFRPRSEFEEMTILYDIWNGGIDEEDIRFLCVTYERLLQQDNGMDWLNDTLWVYHPSTSLSSAKKKKRDDGIREHVTGCARSEGFYTIDKKDKLRYLNSSRASTDEPPADTQGMSIPAQPHASTRAGSERRSEQRRLLSSFTGSCDSDLLKFNQLKFRKKKLKFCKSHIHDWGLFAMEPIAADEMVIEYVGQNIRQVIADMREKRYEDEGIGSSYMFRVDHDTIIDATKCGNFARFINHSCNPNCYAKVITVESQKKIVIYSKQHINVNEEITYDYKFPIEDVKIPCLCGSENCRGTLN
+>DECOY_sp|Q9UPS6|SET1B_HUMAN Histone-lysine N-methyltransferase SETD1B OS=Homo sapiens OX=9606 GN=SETD1B PE=1 SV=3
+NLTGRCNESGCLCPIKVDEIPFKYDYTIEENVNIHQKSYIVIKKQSEVTIVKAYCNPNCSHNIFRAFNGCKTADIITDHDVRFMYSSGIGEDEYRKERMDAIVQRINQGVYEIVMEDAAIPEMAFLGWDHIHSKCFKLKKKRFKLQNFKLLDSDCSGTFSSLLRRQESRRESGARTSAHPQAPISMGQTDAPPEDTSARSSNLYRLKDKKDITYFGESRACGTVHERIGDDRKKKKASSLSTSPHYVWLTDNLWDMGNDQQLLREYTVCLFRIDEEDIGGNWIDYLITMEEFESRPRFLPQPPSLEPSGAPPVLDEHRKKPPRRWPGRSKALEMYEETVEDRGRPGPPIAESPWQNDLEKFPLKTPEVPPPPPPPPPQPPLPPPPAPIGANRFDLTVTRPDHTSPFVPTQPQGPLLLLERGLAAGAPPRVLPGDLSLMSPPSRRPRGPKRKMPPPCSALPAPLLPPLPTPARAQARLVAPLALPLPLPLPLPLPLGTELLVPSALPGSREDRRGTPLPCVPLLLPGSPESFTPTFSFDRGPTRPLGGSSLSPSPAPYSFPSGPVQPSSLSLGSSGGSLPPEGGPTAPVTETSQSKALSGCLGPTHPPHDEALPEPPVSPHSADTTEPEPPPSPPRPPRPPPLPPQLPLPLMMPPEPEVELDHEPAREPSLPPRAEVEKAPPEPSLLMAGEQSLGPEEPPERSDAPEEVGVPLPPEDLMSPREEPAVAETEIDVEEEMGLSDVAPAGPALAAEEGDQEFDEPGASAMSEEAVMEEEEEEEEEERAVVEEEDESSSPSSESSSEFESSESSESSSTAEAKSTVISVTEEEDSDGEDKESAESLATDEDDNESEDRDDSDDDDDDKDSTSSTSSSSLQSRPVEEEEEEEAEEEEETSEQEEEKDSASSSPSTTSSGSSSSASSSSSASLSEKEDEEGGSDLELPRAPRRRVGVGKPDRKALECPTDAMDRDRERESEEDEEDVSTSPRLRKQDGSSTTDPPEKRKVKFSPLRIAGRLGIGLGLGEYGLGEGKGWSELLCSAIRDKPKPRDEDKHEGSKVPTLSAKAMREKKDWWEDFARFAVVEVMKRNLDRKMIAKLEKLVVLLVGDVTAKHPDEQRPMYGPPPVSPDFKPLPPWHQGRGPGSNSLSFPGRYPPLNVFQLGASAAAAAAAMFPPYPCAGQGTMLRSLVQTQMQFSMPMGGWQGGLVHSMDVQMVPFLGPPFPPLPPLIPPPPVGPPAPLPPPPVTVAPHAPPPPPPPPPLPPPMPFGPQPPPPPPPPPLPPFGPPPPLPLTPALVSPAAVAAAGPTVVMPKPCDASTIPASPMEDDSIEMDEGSSQQGEDMKESTPTRDGVLDLAVEATQSLLGAPDPPGPEPPPRPGLGLDLEEDEDSDPLPTPSIDELGTSSPRSSPPTPGRFGPQSNTGFPALPSLQSSSSSIPSGEMQLETDSDPERLFLLKTRQEKLLMEIRSDLSDHPKEPGAPSSELTPTGPSDCPEPSWGFTPRGGLEMSNTDPPPPGPPTGPKEKALPEAPPLPPPAPARRFEGSDRAGFAATATPEEPPPPFHAVPTQYPSFASKFGPAPTAQAPPPTHAFTASDQPQAKFPPGSSGGTGGVAGFPLDSSGRFAATAGAVATVAPSNHVYHHEHRRNYADTFKSEHRRAKFTVAPDQSFLYSPTPQRSSYSSDQSFPTGLRPTLPTGQGYSNPTDLRCSSYATDQSFPTGGSNPTVSSSGSGCGASLGGDKLRKLADSLQLTENVIPSVADLEGVPLTQPTYRGTVLLEYFRMRTEGKTDLEVHIINGMVSTSHLHQVADKAGRVTAFVVKAIGLHKKTKPNYLIEVEEVEGYKKCMDRLFNERINDNLKAFTVQKPPVPGVYFEDIKFKPVSLELEKNKTWIGVVRPDEVIEVPRNSSMALSFHQGDYRYLKHHGKKLAPDIMLKYSRWHHNRREGSPGPQPPPQQHHHHPPHSNEM
+>sp|Q5T4F7|SFRP5_HUMAN Secreted frizzled-related protein 5 OS=Homo sapiens OX=9606 GN=SFRP5 PE=2 SV=3
+MRAAAAGGGVRTAALALLLGALHWAPARCEEYDYYGWQAEPLHGRSYSKPPQCLDIPADLPLCHTVGYKRMRLPNLLEHESLAEVKQQASSWLPLLAKRCHSDTQVFLCSLFAPVCLDRPIYPCRSLCEAVRAGCAPLMEAYGFPWPEMLHCHKFPLDNDLCIAVQFGHLPATAPPVTKICAQCEMEHSADGLMEQMCSSDFVVKMRIKEIKIENGDRKLIGAQKKKKLLKPGPLKRKDTKRLVLHMKNGAGCPCPQLDSLAGSFLVMGRKVDGQLLLMAVYRWDKKNKEMKFAVKFMFSYPCSLYYPFFYGAAEPH
+>DECOY_sp|Q5T4F7|SFRP5_HUMAN Secreted frizzled-related protein 5 OS=Homo sapiens OX=9606 GN=SFRP5 PE=2 SV=3
+HPEAAGYFFPYYLSCPYSFMFKVAFKMEKNKKDWRYVAMLLLQGDVKRGMVLFSGALSDLQPCPCGAGNKMHLVLRKTDKRKLPGPKLLKKKKQAGILKRDGNEIKIEKIRMKVVFDSSCMQEMLGDASHEMECQACIKTVPPATAPLHGFQVAICLDNDLPFKHCHLMEPWPFGYAEMLPACGARVAECLSRCPYIPRDLCVPAFLSCLFVQTDSHCRKALLPLWSSAQQKVEALSEHELLNPLRMRKYGVTHCLPLDAPIDLCQPPKSYSRGHLPEAQWGYYDYEECRAPAWHLAGLLLALAATRVGGGAAAARM
+>sp|Q96HU1|SGSM3_HUMAN Small G protein signaling modulator 3 OS=Homo sapiens OX=9606 GN=SGSM3 PE=1 SV=1
+MSGSHTPACGPFSALTPSIWPQEILAKYTQKEESAEQPEFYYDEFGFRVYKEEGDEPGSSLLANSPLMEDAPQRLRWQAHLEFTHNHDVGDLTWDKIAVSLPRSEKLRSLVLAGIPHGMRPQLWMRLSGALQKKRNSELSYREIVKNSSNDETIAAKQIEKDLLRTMPSNACFASMGSIGVPRLRRVLRALAWLYPEIGYCQGTGMVAACLLLFLEEEDAFWMMSAIIEDLLPASYFSTTLLGVQTDQRVLRHLIVQYLPRLDKLLQEHDIELSLITLHWFLTAFASVVDIKLLLRIWDLFFYEGSRVLFQLTLGMLHLKEEELIQSENSASIFNTLSDIPSQMEDAELLLGVAMRLAGSLTDVAVETQRRKHLAYLIADQGQLLGAGTLTNLSQVVRRRTQRRKSTITALLFGEDDLEALKAKNIKQTELVADLREAILRVARHFQCTDPKNCSVELTPDYSMESHQRDHENYVACSRSHRRRAKALLDFERHDDDELGFRKNDIITIVSQKDEHCWVGELNGLRGWFPAKFVEVLDERSKEYSIAGDDSVTEGVTDLVRGTLCPALKALFEHGLKKPSLLGGACHPWLFIEEAAGREVERDFASVYSRLVLCKTFRLDEDGKVLTPEELLYRAVQSVNVTHDAVHAQMDVKLRSLICVGLNEQVLHLWLEVLCSSLPTVEKWYQPWSFLRSPGWVQIKCELRVLCCFAFSLSQDWELPAKREAQQPLKEGVRDMLVKHHLFSWDVDG
+>DECOY_sp|Q96HU1|SGSM3_HUMAN Small G protein signaling modulator 3 OS=Homo sapiens OX=9606 GN=SGSM3 PE=1 SV=1
+GDVDWSFLHHKVLMDRVGEKLPQQAERKAPLEWDQSLSFAFCCLVRLECKIQVWGPSRLFSWPQYWKEVTPLSSCLVELWLHLVQENLGVCILSRLKVDMQAHVADHTVNVSQVARYLLEEPTLVKGDEDLRFTKCLVLRSYVSAFDREVERGAAEEIFLWPHCAGGLLSPKKLGHEFLAKLAPCLTGRVLDTVGETVSDDGAISYEKSREDLVEVFKAPFWGRLGNLEGVWCHEDKQSVITIIDNKRFGLEDDDHREFDLLAKARRRHSRSCAVYNEHDRQHSEMSYDPTLEVSCNKPDTCQFHRAVRLIAERLDAVLETQKINKAKLAELDDEGFLLATITSKRRQTRRRVVQSLNTLTGAGLLQGQDAILYALHKRRQTEVAVDTLSGALRMAVGLLLEADEMQSPIDSLTNFISASNESQILEEEKLHLMGLTLQFLVRSGEYFFLDWIRLLLKIDVVSAFATLFWHLTILSLEIDHEQLLKDLRPLYQVILHRLVRQDTQVGLLTTSFYSAPLLDEIIASMMWFADEEELFLLLCAAVMGTGQCYGIEPYLWALARLVRRLRPVGISGMSAFCANSPMTRLLDKEIQKAAITEDNSSNKVIERYSLESNRKKQLAGSLRMWLQPRMGHPIGALVLSRLKESRPLSVAIKDWTLDGVDHNHTFELHAQWRLRQPADEMLPSNALLSSGPEDGEEKYVRFGFEDYYFEPQEASEEKQTYKALIEQPWISPTLASFPGCAPTHSGSM
+>sp|Q9NP31|SH22A_HUMAN SH2 domain-containing protein 2A OS=Homo sapiens OX=9606 GN=SH2D2A PE=1 SV=3
+MEFPLAQICPQGSHEAPIPTFSTFQITDMTRRSCQNLGYTAASPQAPEAASNTGNAERAEEVPGEGSLFLQAETRAWFQKTQAHWLLQHGAAPAWFHGFITRREAERLLEPKPQGCYLVRFSESAVTFVLTYRSRTCCRHFLLAQLRDGRHVVLGEDSAHARLQDLLLHYTAHPLSPYGETLTEPLARQTPEPAGLSLRTEESNFGSKSQDPNPQYSPIIKQGQAPVPMQKEGAGEKEPSQLLRPKPPIPAKPQLPPEVYTIPVPRHRPAPRPKPSNPIYNEPDEPIAFYAMGRGSPGEAPSNIYVEVEDEGLPATLGHPVLRKSWSRPVPGGQNTGGSQLHSENSVIGQGPPLPHQPPPAWRHTLPHNLSRQVLQDRGQAWLPLGPPQ
+>DECOY_sp|Q9NP31|SH22A_HUMAN SH2 domain-containing protein 2A OS=Homo sapiens OX=9606 GN=SH2D2A PE=1 SV=3
+QPPGLPLWAQGRDQLVQRSLNHPLTHRWAPPPQHPLPPGQGIVSNESHLQSGGTNQGGPVPRSWSKRLVPHGLTAPLGEDEVEVYINSPAEGPSGRGMAYFAIPEDPENYIPNSPKPRPAPRHRPVPITYVEPPLQPKAPIPPKPRLLQSPEKEGAGEKQMPVPAQGQKIIPSYQPNPDQSKSGFNSEETRLSLGAPEPTQRALPETLTEGYPSLPHATYHLLLDQLRAHASDEGLVVHRGDRLQALLFHRCCTRSRYTLVFTVASESFRVLYCGQPKPELLREAERRTIFGHFWAPAAGHQLLWHAQTKQFWARTEAQLFLSGEGPVEEAREANGTNSAAEPAQPSAATYGLNQCSRRTMDTIQFTSFTPIPAEHSGQPCIQALPFEM
+>sp|Q9NRF2|SH2B1_HUMAN SH2B adapter protein 1 OS=Homo sapiens OX=9606 GN=SH2B1 PE=1 SV=3
+MNGAPSPEDGASPSSPPLPPPPPPSWREFCESHARAAALDFARRFRLYLASHPQYAGPGAEAAFSRRFAELFLQHFEAEVARASGSLSPPILAPLSPGAEISPHDLSLESCRVGGPLAVLGPSRSSEDLAGPLPSSVSSSSTTSSKPKLKKRFSLRSVGRSVRGSVRGILQWRGTVDPPSSAGPLETSSGPPVLGGNSNSNSSGGAGTVGRGLVSDGTSPGERWTHRFERLRLSRGGGALKDGAGMVQREELLSFMGAEEAAPDPAGVGRGGGVAGPPSGGGGQPQWQKCRLLLRSEGEGGGGSRLEFFVPPKASRPRLSIPCSSITDVRTTTALEMPDRENTFVVKVEGPSEYIMETVDAQHVKAWVSDIQECLSPGPCPATSPRPMTLPLAPGTSFLTRENTDSLELSCLNHSESLPSQDLLLGPSESNDRLSQGAYGGLSDRPSASISPSSASIAASHFDSMELLPPELPPRIPIEEGPPTGTVHPLSAPYPPLDTPETATGSFLFQGEPEGGEGDQPLSGYPWFHGMLSRLKAAQLVLTGGTGSHGVFLVRQSETRRGEYVLTFNFQGKAKHLRLSLNEEGQCRVQHLWFQSIFDMLEHFRVHPIPLESGGSSDVVLVSYVPSSQRQQEPTTSHDPPQPPEPPSWTDPPQPGAEEASRAPEVAAAAAAAAKERQEKEKAGGGGVPEELVPVVELVPVVELEEAIAPGSEAQGAGSGGDAGVPPMVQLQQSPLGGDGEEGGHPRAINNQYSFV
+>DECOY_sp|Q9NRF2|SH2B1_HUMAN SH2B adapter protein 1 OS=Homo sapiens OX=9606 GN=SH2B1 PE=1 SV=3
+VFSYQNNIARPHGGEEGDGGLPSQQLQVMPPVGADGGSGAGQAESGPAIAEELEVVPVLEVVPVLEEPVGGGGAKEKEQREKAAAAAAAAVEPARSAEEAGPQPPDTWSPPEPPQPPDHSTTPEQQRQSSPVYSVLVVDSSGGSELPIPHVRFHELMDFISQFWLHQVRCQGEENLSLRLHKAKGQFNFTLVYEGRRTESQRVLFVGHSGTGGTLVLQAAKLRSLMGHFWPYGSLPQDGEGGEPEGQFLFSGTATEPTDLPPYPASLPHVTGTPPGEEIPIRPPLEPPLLEMSDFHSAAISASSPSISASPRDSLGGYAGQSLRDNSESPGLLLDQSPLSESHNLCSLELSDTNERTLFSTGPALPLTMPRPSTAPCPGPSLCEQIDSVWAKVHQADVTEMIYESPGEVKVVFTNERDPMELATTTRVDTISSCPISLRPRSAKPPVFFELRSGGGGEGESRLLLRCKQWQPQGGGGSPPGAVGGGRGVGAPDPAAEEAGMFSLLEERQVMGAGDKLAGGGRSLRLREFRHTWREGPSTGDSVLGRGVTGAGGSSNSNSNGGLVPPGSSTELPGASSPPDVTGRWQLIGRVSGRVSRGVSRLSFRKKLKPKSSTTSSSSVSSPLPGALDESSRSPGLVALPGGVRCSELSLDHPSIEAGPSLPALIPPSLSGSARAVEAEFHQLFLEAFRRSFAAEAGPGAYQPHSALYLRFRRAFDLAAARAHSECFERWSPPPPPPLPPSSPSAGDEPSPAGNM
+>sp|Q9UQQ2|SH2B3_HUMAN SH2B adapter protein 3 OS=Homo sapiens OX=9606 GN=SH2B3 PE=1 SV=2
+MNGPALQPSSPSSAPSASPAAAPRGWSEFCELHAVAAARELARQYWLFAREHPQHAPLRAELVSLQFTDLFQRYFCREVRDGRAPGRDYRDTGRGPPAKAEASPEPGPGPAAPGLPKARSSEELAPPRPPGPCSFQHFRRSLRHIFRRRSAGELPAAHTAAAPGTPGEAAETPARPGLAKKFLPWSLAREPPPEALKEAVLRYSLADEASMDSGARWQRGRLALRRAPGPDGPDRVLELFDPPKSSRPKLQAACSSIQEVRWCTRLEMPDNLYTFVLKVKDRTDIIFEVGDEQQLNSWMAELSECTGRGLESTEAEMHIPSALEPSTSSSPRGSTDSLNQGASPGGLLDPACQKTDHFLSCYPWFHGPISRVKAAQLVQLQGPDAHGVFLVRQSETRRGEYVLTFNFQGIAKHLRLSLTERGQCRVQHLHFPSVVDMLHHFQRSPIPLECGAACDVRLSSYVVVVSQPPGSCNTVLFPFSLPHWDSESLPHWGSELGLPHLSSSGCPRGLSPEGLPGRSSPPEQIFHLVPSPEELANSLQHLEHEPVNRARDSDYEMDSSSRSHLRAIDNQYTPL
+>DECOY_sp|Q9UQQ2|SH2B3_HUMAN SH2B adapter protein 3 OS=Homo sapiens OX=9606 GN=SH2B3 PE=1 SV=2
+LPTYQNDIARLHSRSSSDMEYDSDRARNVPEHELHQLSNALEEPSPVLHFIQEPPSSRGPLGEPSLGRPCGSSSLHPLGLESGWHPLSESDWHPLSFPFLVTNCSGPPQSVVVVYSSLRVDCAAGCELPIPSRQFHHLMDVVSPFHLHQVRCQGRETLSLRLHKAIGQFNFTLVYEGRRTESQRVLFVGHADPGQLQVLQAAKVRSIPGHFWPYCSLFHDTKQCAPDLLGGPSAGQNLSDTSGRPSSSTSPELASPIHMEAETSELGRGTCESLEAMWSNLQQEDGVEFIIDTRDKVKLVFTYLNDPMELRTCWRVEQISSCAAQLKPRSSKPPDFLELVRDPGDPGPARRLALRGRQWRAGSDMSAEDALSYRLVAEKLAEPPPERALSWPLFKKALGPRAPTEAAEGPTGPAAATHAAPLEGASRRRFIHRLSRRFHQFSCPGPPRPPALEESSRAKPLGPAAPGPGPEPSAEAKAPPGRGTDRYDRGPARGDRVERCFYRQFLDTFQLSVLEARLPAHQPHERAFLWYQRALERAAAVAHLECFESWGRPAAAPSASPASSPSSPQLAPGNM
+>sp|A6NKC9|SH2D7_HUMAN SH2 domain-containing protein 7 OS=Homo sapiens OX=9606 GN=SH2D7 PE=4 SV=2
+MEDSLKQLSLGRDPEGAGDSQALAELQELALKWFMETQAPFILQNGALPPWFHGFITRKQTEQLLRDKALGSFLIRLSDRATGYILSYRGSDRCRHFVINQLRNRRYIISGDTQSHSTLAELVHHYQEAQLEPFKEMLTAACPRPEDNDLYDAITRGLHQTIVDPENPPATAFLTVVPDKAASPRSSPKPQVSFLHAQKSLDVSPRNLSQEESMEAPIRVSPLPEKSSSLLEESFGGPSDIIYADLRRMNQARLGLGTEGSGRHGPVPAGSQAYSPGREAQRRLSDGEQNRPDGLGPVLSGVSPDQGPTESPTSWGCSDAMGSLGATWRQEFPKLSQEAQPCSQGSSADIYEFIGTEGLLQEARDTPDQEGSTYEQIPACWGGPARAPHPGASPTYSPWVHGYKRISGTPELSEPGNTYEQIPATKSKETGRTHKPDKLRRLFFTYRKHKF
+>DECOY_sp|A6NKC9|SH2D7_HUMAN SH2 domain-containing protein 7 OS=Homo sapiens OX=9606 GN=SH2D7 PE=4 SV=2
+FKHKRYTFFLRRLKDPKHTRGTEKSKTAPIQEYTNGPESLEPTGSIRKYGHVWPSYTPSAGPHPARAPGGWCAPIQEYTSGEQDPTDRAEQLLGETGIFEYIDASSGQSCPQAEQSLKPFEQRWTAGLSGMADSCGWSTPSETPGQDPSVGSLVPGLGDPRNQEGDSLRRQAERGPSYAQSGAPVPGHRGSGETGLGLRAQNMRRLDAYIIDSPGGFSEELLSSSKEPLPSVRIPAEMSEEQSLNRPSVDLSKQAHLFSVQPKPSSRPSAAKDPVVTLFATAPPNEPDVITQHLGRTIADYLDNDEPRPCAATLMEKFPELQAEQYHHVLEALTSHSQTDGSIIYRRNRLQNIVFHRCRDSGRYSLIYGTARDSLRILFSGLAKDRLLQETQKRTIFGHFWPPLAGNQLIFPAQTEMFWKLALEQLEALAQSDGAGEPDRGLSLQKLSDEM
+>sp|Q99961|SH3G1_HUMAN Endophilin-A2 OS=Homo sapiens OX=9606 GN=SH3GL1 PE=1 SV=1
+MSVAGLKKQFYKASQLVSEKVGGAEGTKLDDDFKEMEKKVDVTSKAVTEVLARTIEYLQPNPASRAKLTMLNTVSKIRGQVKNPGYPQSEGLLGECMIRHGKELGGESNFGDALLDAGESMKRLAEVKDSLDIEVKQNFIDPLQNLCEKDLKEIQHHLKKLEGRRLDFDYKKKRQGKIPDEELRQALEKFEESKEVAETSMHNLLETDIEQVSQLSALVDAQLDYHRQAVQILDELAEKLKRRMREASSRPKREYKPKPREPFDLGEPEQSNGGFPCTTAPKIAASSSFRSSDKPIRTPSRSMPPLDQPSCKALYDFEPENDGELGFHEGDVITLTNQIDENWYEGMLDGQSGFFPLSYVEVLVPLPQ
+>DECOY_sp|Q99961|SH3G1_HUMAN Endophilin-A2 OS=Homo sapiens OX=9606 GN=SH3GL1 PE=1 SV=1
+QPLPVLVEVYSLPFFGSQGDLMGEYWNEDIQNTLTIVDGEHFGLEGDNEPEFDYLAKCSPQDLPPMSRSPTRIPKDSSRFSSSAAIKPATTCPFGGNSQEPEGLDFPERPKPKYERKPRSSAERMRRKLKEALEDLIQVAQRHYDLQADVLASLQSVQEIDTELLNHMSTEAVEKSEEFKELAQRLEEDPIKGQRKKKYDFDLRRGELKKLHHQIEKLDKECLNQLPDIFNQKVEIDLSDKVEALRKMSEGADLLADGFNSEGGLEKGHRIMCEGLLGESQPYGPNKVQGRIKSVTNLMTLKARSAPNPQLYEITRALVETVAKSTVDVKKEMEKFDDDLKTGEAGGVKESVLQSAKYFQKKLGAVSM
+>sp|Q96B97|SH3K1_HUMAN SH3 domain-containing kinase-binding protein 1 OS=Homo sapiens OX=9606 GN=SH3KBP1 PE=1 SV=2
+MVEAIVEFDYQAQHDDELTISVGEIITNIRKEDGGWWEGQINGRRGLFPDNFVREIKKEMKKDPLTNKAPEKPLHEVPSGNSLLSSETILRTNKRGERRRRRCQVAFSYLPQNDDELELKVGDIIEVVGEVEEGWWEGVLNGKTGMFPSNFIKELSGESDELGISQDEQLSKSSLRETTGSESDGGDSSSTKSEGANGTVATAAIQPKKVKGVGFGDIFKDKPIKLRPRSIEVENDFLPVEKTIGKKLPATTATPDSSKTEMDSRTKSKDYCKVIFPYEAQNDDELTIKEGDIVTLINKDCIDVGWWEGELNGRRGVFPDNFVKLLPPDFEKEGNRPKKPPPPSAPVIKQGAGTTERKHEIKKIPPERPEMLPNRTEEKERPEREPKLDLQKPSVPAIPPKKPRPPKTNSLSRPGALPPRRPERPVGPLTHTRGDSPKIDLAGSSLSGILDKDLSDRSNDIDLEGFDSVVSSTEKLSHPTTSRPKATGRRPPSQSLTSSSLSSPDIFDSPSPEEDKEEHISLAHRGVDASKKTSKTVTISQVSDNKASLPPKPGTMAAGGGGPAPLSSAAPSPLSSSLGTAGHRANSPSLFGTEGKPKMEPAASSQAAVEELRTQVRELRSIIETMKDQQKREIKQLLSELDEEKKIRLRLQMEVNDIKKALQSK
+>DECOY_sp|Q96B97|SH3K1_HUMAN SH3 domain-containing kinase-binding protein 1 OS=Homo sapiens OX=9606 GN=SH3KBP1 PE=1 SV=2
+KSQLAKKIDNVEMQLRLRIKKEEDLESLLQKIERKQQDKMTEIISRLERVQTRLEEVAAQSSAAPEMKPKGETGFLSPSNARHGATGLSSSLPSPAASSLPAPGGGGAAMTGPKPPLSAKNDSVQSITVTKSTKKSADVGRHALSIHEEKDEEPSPSDFIDPSSLSSSTLSQSPPRRGTAKPRSTTPHSLKETSSVVSDFGELDIDNSRDSLDKDLIGSLSSGALDIKPSDGRTHTLPGVPREPRRPPLAGPRSLSNTKPPRPKKPPIAPVSPKQLDLKPEREPREKEETRNPLMEPREPPIKKIEHKRETTGAGQKIVPASPPPPKKPRNGEKEFDPPLLKVFNDPFVGRRGNLEGEWWGVDICDKNILTVIDGEKITLEDDNQAEYPFIVKCYDKSKTRSDMETKSSDPTATTAPLKKGITKEVPLFDNEVEISRPRLKIPKDKFIDGFGVGKVKKPQIAATAVTGNAGESKTSSSDGGDSESGTTERLSSKSLQEDQSIGLEDSEGSLEKIFNSPFMGTKGNLVGEWWGEEVEGVVEIIDGVKLELEDDNQPLYSFAVQCRRRRREGRKNTRLITESSLLSNGSPVEHLPKEPAKNTLPDKKMEKKIERVFNDPFLGRRGNIQGEWWGGDEKRINTIIEGVSITLEDDHQAQYDFEVIAEVM
+>sp|Q9BTD1|SHAS3_HUMAN Putative uncharacterized protein SHANK2-AS3 OS=Homo sapiens OX=9606 GN=SHANK2-AS3 PE=5 SV=2
+MAQLPSAQMPAPRTQPDLILVHPVLALSGRAPSILCSVPWDACELLATAMWWKTRILWGVFLISRTRPPAPMQILILTLDPSEGEVCCKKRKPGQTGRNRVRMTTATCKPGGEASGETSPGTP
+>DECOY_sp|Q9BTD1|SHAS3_HUMAN Putative uncharacterized protein SHANK2-AS3 OS=Homo sapiens OX=9606 GN=SHANK2-AS3 PE=5 SV=2
+PTGPSTEGSAEGGPKCTATTMRVRNRGTQGPKRKKCCVEGESPDLTLILIQMPAPPRTRSILFVGWLIRTKWWMATALLECADWPVSCLISPARGSLALVPHVLILDPQTRPAPMQASPLQAM
+>sp|P29353|SHC1_HUMAN SHC-transforming protein 1 OS=Homo sapiens OX=9606 GN=SHC1 PE=1 SV=4
+MDLLPPKPKYNPLRNESLSSLEEGASGSTPPEELPSPSASSLGPILPPLPGDDSPTTLCSFFPRMSNLRLANPAGGRPGSKGEPGRAADDGEGIVGAAMPDSGPLPLLQDMNKLSGGGGRRTRVEGGQLGGEEWTRHGSFVNKPTRGWLHPNDKVMGPGVSYLVRYMGCVEVLQSMRALDFNTRTQVTREAISLVCEAVPGAKGATRRRKPCSRPLSSILGRSNLKFAGMPITLTVSTSSLNLMAADCKQIIANHHMQSISFASGGDPDTAEYVAYVAKDPVNQRACHILECPEGLAQDVISTIGQAFELRFKQYLRNPPKLVTPHDRMAGFDGSAWDEEEEEPPDHQYYNDFPGKEPPLGGVVDMRLREGAAPGAARPTAPNAQTPSHLGATLPVGQPVGGDPEVRKQMPPPPPCPGRELFDDPSYVNVQNLDKARQAVGGAGPPNPAINGSAPRDLFDMKPFEDALRVPPPPQSVSMAEQLRGEPWFHGKLSRREAEALLQLNGDFLVRESTTTPGQYVLTGLQSGQPKHLLLVDPEGVVRTKDHRFESVSHLISYHMDNHLPIISAGSELCLQQPVERKL
+>DECOY_sp|P29353|SHC1_HUMAN SHC-transforming protein 1 OS=Homo sapiens OX=9606 GN=SHC1 PE=1 SV=4
+LKREVPQQLCLESGASIIPLHNDMHYSILHSVSEFRHDKTRVVGEPDVLLLHKPQGSQLGTLVYQGPTTTSERVLFDGNLQLLAEAERRSLKGHFWPEGRLQEAMSVSQPPPPVRLADEFPKMDFLDRPASGNIAPNPPGAGGVAQRAKDLNQVNVYSPDDFLERGPCPPPPPMQKRVEPDGGVPQGVPLTAGLHSPTQANPATPRAAGPAAGERLRMDVVGGLPPEKGPFDNYYQHDPPEEEEEDWASGDFGAMRDHPTVLKPPNRLYQKFRLEFAQGITSIVDQALGEPCELIHCARQNVPDKAVYAVYEATDPDGGSAFSISQMHHNAIIQKCDAAMLNLSSTSVTLTIPMGAFKLNSRGLISSLPRSCPKRRRTAGKAGPVAECVLSIAERTVQTRTNFDLARMSQLVEVCGMYRVLYSVGPGMVKDNPHLWGRTPKNVFSGHRTWEEGGLQGGEVRTRRGGGGSLKNMDQLLPLPGSDPMAAGVIGEGDDAARGPEGKSGPRGGAPNALRLNSMRPFFSCLTTPSDDGPLPPLIPGLSSASPSPLEEPPTSGSAGEELSSLSENRLPNYKPKPPLLDM
+>sp|Q7M4L6|SHF_HUMAN SH2 domain-containing adapter protein F OS=Homo sapiens OX=9606 GN=SHF PE=1 SV=2
+MQQEGGPVRSAPCRTGTLEGSRQGSPGHRKRASPKGSLSSAQPHSWMLTPSPLNSHCAHREPISSSPQPVANGPKQKKKSNWRSTTRLRIIRLRDRLEPRPLAILEDYADPFDVQETGEGSAGASGAPEKVPENDGYMEPYEAQKMMAEIRGSKETATQPLPLYDTPYEPEEDGATAEGEGAPWPRESRLPEDDERPPEEYDQPWEWKKERISKAFAVDIKVIKDLPWPPPVGQLDSSPSLPDGDRDISGPASPLPEPSLEDSSAQFEGPEKSCLSPGREEKGRLPPRLSAGNPKSAKPLSMEPSSPLGEWTDPALPLENQVWYHGAISRTDAENLLRLCKEASYLVRNSETSKNDFSLSLKSSQGFMHMKLSRTKEHKYVLGQNSPPFSSVPEIVHHYASRKLPIKGAEHMSLLYPVAIRTL
+>DECOY_sp|Q7M4L6|SHF_HUMAN SH2 domain-containing adapter protein F OS=Homo sapiens OX=9606 GN=SHF PE=1 SV=2
+LTRIAVPYLLSMHEAGKIPLKRSAYHHVIEPVSSFPPSNQGLVYKHEKTRSLKMHMFGQSSKLSLSFDNKSTESNRVLYSAEKCLRLLNEADTRSIAGHYWVQNELPLAPDTWEGLPSSPEMSLPKASKPNGASLRPPLRGKEERGPSLCSKEPGEFQASSDELSPEPLPSAPGSIDRDGDPLSPSSDLQGVPPPWPLDKIVKIDVAFAKSIREKKWEWPQDYEEPPREDDEPLRSERPWPAGEGEATAGDEEPEYPTDYLPLPQTATEKSGRIEAMMKQAEYPEMYGDNEPVKEPAGSAGASGEGTEQVDFPDAYDELIALPRPELRDRLRIIRLRTTSRWNSKKKQKPGNAVPQPSSSIPERHACHSNLPSPTLMWSHPQASSLSGKPSARKRHGPSGQRSGELTGTRCPASRVPGGEQQM
+>sp|Q15465|SHH_HUMAN Sonic hedgehog protein OS=Homo sapiens OX=9606 GN=SHH PE=1 SV=1
+MLLLARCLLLVLVSSLLVCSGLACGPGRGFGKRRHPKKLTPLAYKQFIPNVAEKTLGASGRYEGKISRNSERFKELTPNYNPDIIFKDEENTGADRLMTQRCKDKLNALAISVMNQWPGVKLRVTEGWDEDGHHSEESLHYEGRAVDITTSDRDRSKYGMLARLAVEAGFDWVYYESKAHIHCSVKAENSVAAKSGGCFPGSATVHLEQGGTKLVKDLSPGDRVLAADDQGRLLYSDFLTFLDRDDGAKKVFYVIETREPRERLLLTAAHLLFVAPHNDSATGEPEASSGSGPPSGGALGPRALFASRVRPGQRVYVVAERDGDRRLLPAAVHSVTLSEEAAGAYAPLTAQGTILINRVLASCYAVIEEHSWAHRAFAPFRLAHALLAALAPARTDRGGDSGGGDRGGGGGRVALTAPGAADAPGAGATAGIHWYSQLLYQIGTWLLDSEALHPLGMAVKSS
+>DECOY_sp|Q15465|SHH_HUMAN Sonic hedgehog protein OS=Homo sapiens OX=9606 GN=SHH PE=1 SV=1
+SSKVAMGLPHLAESDLLWTGIQYLLQSYWHIGATAGAGPADAAGPATLAVRGGGGGRDGGGSDGGRDTRAPALAALLAHALRFPAFARHAWSHEEIVAYCSALVRNILITGQATLPAYAGAAEESLTVSHVAAPLLRRDGDREAVVYVRQGPRVRSAFLARPGLAGGSPPGSGSSAEPEGTASDNHPAVFLLHAATLLLRERPERTEIVYFVKKAGDDRDLFTLFDSYLLRGQDDAALVRDGPSLDKVLKTGGQELHVTASGPFCGGSKAAVSNEAKVSCHIHAKSEYYVWDFGAEVALRALMGYKSRDRDSTTIDVARGEYHLSEESHHGDEDWGETVRLKVGPWQNMVSIALANLKDKCRQTMLRDAGTNEEDKFIIDPNYNPTLEKFRESNRSIKGEYRGSAGLTKEAVNPIFQKYALPTLKKPHRRKGFGRGPGCALGSCVLLSSVLVLLLCRALLLM
+>sp|Q92835|SHIP1_HUMAN Phosphatidylinositol 3,4,5-trisphosphate 5-phosphatase 1 OS=Homo sapiens OX=9606 GN=INPP5D PE=1 SV=2
+MVPCWNHGNITRSKAEELLSRTGKDGSFLVRASESISRAYALCVLYRNCVYTYRILPNEDDKFTVQASEGVSMRFFTKLDQLIEFYKKENMGLVTHLQYPVPLEEEDTGDDPEEDTVESVVSPPELPPRNIPLTASSCEAKEVPFSNENPRATETSRPSLSETLFQRLQSMDTSGLPEEHLKAIQDYLSTQLAQDSEFVKTGSSSLPHLKKLTTLLCKELYGEVIRTLPSLESLQRLFDQQLSPGLRPRPQVPGEANPINMVSKLSQLTSLLSSIEDKVKALLHEGPESPHRPSLIPPVTFEVKAESLGIPQKMQLKVDVESGKLIIKKSKDGSEDKFYSHKKILQLIKSQKFLNKLVILVETEKEKILRKEYVFADSKKREGFCQLLQQMKNKHSEQPEPDMITIFIGTWNMGNAPPPKKITSWFLSKGQGKTRDDSADYIPHDIYVIGTQEDPLSEKEWLEILKHSLQEITSVTFKTVAIHTLWNIRIVVLAKPEHENRISHICTDNVKTGIANTLGNKGAVGVSFMFNGTSLGFVNSHLTSGSEKKLRRNQNYMNILRFLALGDKKLSPFNITHRFTHLFWFGDLNYRVDLPTWEAETIIQKIKQQQYADLLSHDQLLTERREQKVFLHFEEEEITFAPTYRFERLTRDKYAYTKQKATGMKYNLPSWCDRVLWKSYPLVHVVCQSYGSTSDIMTSDHSPVFATFEAGVTSQFVSKNGPGTVDSQGQIEFLRCYATLKTKSQTKFYLEFHSSCLESFVKSQEGENEEGSEGELVVKFGETLPKLKPIISDPEYLLDQHILISIKSSDSDESYGEGCIALRLEATETQLPIYTPLTHHGELTGHFQGEIKLQTSQGKTREKLYDFVKTERDESSGPKTLKSLTSHDPMKQWEVTSRAPPCSGSSITEIINPNYMGVGPFGPPMPLHVKQTLSPDQQPTAWSYDQPPKDSPLGPCRGESPPTPPGQPPISPKKFLPSTANRGLPPRTQESRPSDLGKNAGDTLPQEDLPLTKPEMFENPLYGSLSSFPKPAPRKDQESPKMPRKEPPPCPEPGILSPSIVLTKAQEADRGEGPGKQVPAPRLRSFTCSSSAEGRAAGGDKSQGKPKTPVSSQAPVPAKRPIKPSRSEINQQTPPTPTPRPPLPVKSPAVLHLQHSKGRDYRDNTELPHHGKHRPEEGPPGPLGRTAMQ
+>DECOY_sp|Q92835|SHIP1_HUMAN Phosphatidylinositol 3,4,5-trisphosphate 5-phosphatase 1 OS=Homo sapiens OX=9606 GN=INPP5D PE=1 SV=2
+QMATRGLPGPPGEEPRHKGHHPLETNDRYDRGKSHQLHLVAPSKVPLPPRPTPTPPTQQNIESRSPKIPRKAPVPAQSSVPTKPKGQSKDGGAARGEASSSCTFSRLRPAPVQKGPGEGRDAEQAKTLVISPSLIGPEPCPPPEKRPMKPSEQDKRPAPKPFSSLSGYLPNEFMEPKTLPLDEQPLTDGANKGLDSPRSEQTRPPLGRNATSPLFKKPSIPPQGPPTPPSEGRCPGLPSDKPPQDYSWATPQQDPSLTQKVHLPMPPGFPGVGMYNPNIIETISSGSCPPARSTVEWQKMPDHSTLSKLTKPGSSEDRETKVFDYLKERTKGQSTQLKIEGQFHGTLEGHHTLPTYIPLQTETAELRLAICGEGYSEDSDSSKISILIHQDLLYEPDSIIPKLKPLTEGFKVVLEGESGEENEGEQSKVFSELCSSHFELYFKTQSKTKLTAYCRLFEIQGQSDVTGPGNKSVFQSTVGAEFTAFVPSHDSTMIDSTSGYSQCVVHVLPYSKWLVRDCWSPLNYKMGTAKQKTYAYKDRTLREFRYTPAFTIEEEEFHLFVKQERRETLLQDHSLLDAYQQQKIKQIITEAEWTPLDVRYNLDGFWFLHTFRHTINFPSLKKDGLALFRLINMYNQNRRLKKESGSTLHSNVFGLSTGNFMFSVGVAGKNGLTNAIGTKVNDTCIHSIRNEHEPKALVVIRINWLTHIAVTKFTVSTIEQLSHKLIELWEKESLPDEQTGIVYIDHPIYDASDDRTKGQGKSLFWSTIKKPPPANGMNWTGIFITIMDPEPQESHKNKMQQLLQCFGERKKSDAFVYEKRLIKEKETEVLIVLKNLFKQSKILQLIKKHSYFKDESGDKSKKIILKGSEVDVKLQMKQPIGLSEAKVEFTVPPILSPRHPSEPGEHLLAKVKDEISSLLSTLQSLKSVMNIPNAEGPVQPRPRLGPSLQQDFLRQLSELSPLTRIVEGYLEKCLLTTLKKLHPLSSSGTKVFESDQALQTSLYDQIAKLHEEPLGSTDMSQLRQFLTESLSPRSTETARPNENSFPVEKAECSSATLPINRPPLEPPSVVSEVTDEEPDDGTDEEELPVPYQLHTVLGMNEKKYFEILQDLKTFFRMSVGESAQVTFKDDENPLIRYTYVCNRYLVCLAYARSISESARVLFSGDKGTRSLLEEAKSRTINGHNWCPVM
+>sp|O15357|SHIP2_HUMAN Phosphatidylinositol 3,4,5-trisphosphate 5-phosphatase 2 OS=Homo sapiens OX=9606 GN=INPPL1 PE=1 SV=2
+MASACGAPGPGGALGSQAPSWYHRDLSRAAAEELLARAGRDGSFLVRDSESVAGAFALCVLYQKHVHTYRILPDGEDFLAVQTSQGVPVRRFQTLGELIGLYAQPNQGLVCALLLPVEGEREPDPPDDRDASDGEDEKPPLPPRSGSTSISAPTGPSSPLPAPETPTAPAAESAPNGLSTVSHDYLKGSYGLDLEAVRGGASHLPHLTRTLATSCRRLHSEVDKVLSGLEILSKVFDQQSSPMVTRLLQQQNLPQTGEQELESLVLKLSVLKDFLSGIQKKALKALQDMSSTAPPAPQPSTRKAKTIPVQAFEVKLDVTLGDLTKIGKSQKFTLSVDVEGGRLVLLRRQRDSQEDWTTFTHDRIRQLIKSQRVQNKLGVVFEKEKDRTQRKDFIFVSARKREAFCQLLQLMKNKHSKQDEPDMISVFIGTWNMGSVPPPKNVTSWFTSKGLGKTLDEVTVTIPHDIYVFGTQENSVGDREWLDLLRGGLKELTDLDYRPIAMQSLWNIKVAVLVKPEHENRISHVSTSSVKTGIANTLGNKGAVGVSFMFNGTSFGFVNCHLTSGNEKTARRNQNYLDILRLLSLGDRQLNAFDISLRFTHLFWFGDLNYRLDMDIQEILNYISRKEFEPLLRVDQLNLEREKHKVFLRFSEEEISFPPTYRYERGSRDTYAWHKQKPTGVRTNVPSWCDRILWKSYPETHIICNSYGCTDDIVTSDHSPVFGTFEVGVTSQFISKKGLSKTSDQAYIEFESIEAIVKTASRTKFFIEFYSTCLEEYKKSFENDAQSSDNINFLKVQWSSRQLPTLKPILADIEYLQDQHLLLTVKSMDGYESYGECVVALKSMIGSTAQQFLTFLSHRGEETGNIRGSMKVRVPTERLGTRERLYEWISIDKDEAGAKSKAPSVSRGSQEPRSGSRKPAFTEASCPLSRLFEEPEKPPPTGRPPAPPRAAPREEPLTPRLKPEGAPEPEGVAAPPPKNSFNNPAYYVLEGVPHQLLPPEPPSPARAPVPSATKNKVAITVPAPQLGHHRHPRVGEGSSSDEESGGTLPPPDFPPPPLPDSAIFLPPSLDPLPGPVVRGRGGAEARGPPPPKAHPRPPLPPGPSPASTFLGEVASGDDRSCSVLQMAKTLSEVDYAPAGPARSALLPGPLELQPPRGLPSDYGRPLSFPPPRIRESIQEDLAEEAPCLQGGRASGLGEAGMSAWLRAIGLERYEEGLVHNGWDDLEFLSDITEEDLEEAGVQDPAHKRLLLDTLQLSK
+>DECOY_sp|O15357|SHIP2_HUMAN Phosphatidylinositol 3,4,5-trisphosphate 5-phosphatase 2 OS=Homo sapiens OX=9606 GN=INPPL1 PE=1 SV=2
+KSLQLTDLLLRKHAPDQVGAEELDEETIDSLFELDDWGNHVLGEEYRELGIARLWASMGAEGLGSARGGQLCPAEEALDEQISERIRPPPFSLPRGYDSPLGRPPQLELPGPLLASRAPGAPAYDVESLTKAMQLVSCSRDDGSAVEGLFTSAPSPGPPLPPRPHAKPPPPGRAEAGGRGRVVPGPLPDLSPPLFIASDPLPPPPFDPPPLTGGSEEDSSSGEGVRPHRHHGLQPAPVTIAVKNKTASPVPARAPSPPEPPLLQHPVGELVYYAPNNFSNKPPPAAVGEPEPAGEPKLRPTLPEERPAARPPAPPRGTPPPKEPEEFLRSLPCSAETFAPKRSGSRPEQSGRSVSPAKSKAGAEDKDISIWEYLRERTGLRETPVRVKMSGRINGTEEGRHSLFTLFQQATSGIMSKLAVVCEGYSEYGDMSKVTLLLHQDQLYEIDALIPKLTPLQRSSWQVKLFNINDSSQADNEFSKKYEELCTSYFEIFFKTRSATKVIAEISEFEIYAQDSTKSLGKKSIFQSTVGVEFTGFVPSHDSTVIDDTCGYSNCIIHTEPYSKWLIRDCWSPVNTRVGTPKQKHWAYTDRSGREYRYTPPFSIEEESFRLFVKHKERELNLQDVRLLPEFEKRSIYNLIEQIDMDLRYNLDGFWFLHTFRLSIDFANLQRDGLSLLRLIDLYNQNRRATKENGSTLHCNVFGFSTGNFMFSVGVAGKNGLTNAIGTKVSSTSVHSIRNEHEPKVLVAVKINWLSQMAIPRYDLDTLEKLGGRLLDLWERDGVSNEQTGFVYIDHPITVTVEDLTKGLGKSTFWSTVNKPPPVSGMNWTGIFVSIMDPEDQKSHKNKMLQLLQCFAERKRASVFIFDKRQTRDKEKEFVVGLKNQVRQSKILQRIRDHTFTTWDEQSDRQRRLLVLRGGEVDVSLTFKQSKGIKTLDGLTVDLKVEFAQVPITKAKRTSPQPAPPATSSMDQLAKLAKKQIGSLFDKLVSLKLVLSELEQEGTQPLNQQQLLRTVMPSSQQDFVKSLIELGSLVKDVESHLRRCSTALTRTLHPLHSAGGRVAELDLGYSGKLYDHSVTSLGNPASEAAPATPTEPAPLPSSPGTPASISTSGSRPPLPPKEDEGDSADRDDPPDPEREGEVPLLLACVLGQNPQAYLGILEGLTQFRRVPVGQSTQVALFDEGDPLIRYTHVHKQYLVCLAFAGAVSESDRVLFSGDRGARALLEEAAARSLDRHYWSPAQSGLAGGPGPAGCASAM
+>sp|Q8TBC3|SHKB1_HUMAN SH3KBP1-binding protein 1 OS=Homo sapiens OX=9606 GN=SHKBP1 PE=1 SV=2
+MAAAATAAEGVPSRGPPGEVIHLNVGGKRFSTSRQTLTWIPDSFFSSLLSGRISTLKDETGAIFIDRDPTVFAPILNFLRTKELDPRGVHGSSLLHEAQFYGLTPLVRRLQLREELDRSSCGNVLFNGYLPPPVFPVKRRNRHSLVGPQQLGGRPAPVRRSNTMPPNLGNAGLLGRMLDEKTPPSPSGQPEEPGMVRLVCGHHNWIAVAYTQFLVCYRLKEASGWQLVFSSPRLDWPIERLALTARVHGGALGEHDKMVAAATGSEILLWALQAEGGGSEIGVFHLGVPVEALFFVGNQLIATSHTGRIGVWNAVTKHWQVQEVQPITSYDAAGSFLLLGCNNGSIYYVDVQKFPLRMKDNDLLVSELYRDPAEDGVTALSVYLTPKTSDSGNWIEIAYGTSSGGVRVIVQHPETVGSGPQLFQTFTVHRSPVTKIMLSEKHLISVCADNNHVRTWSVTRFRGMISTQPGSTPLASFKILALESADGHGGCSAGNDIGPYGERDDQQVFIQKVVPSASQLFVRLSSTGQRVCSVRSVDGSPTTAFTVLECEGSRRLGSRPRRYLLTGQANGSLAMWDLTTAMDGLGQAPAGGLTEQELMEQLEHCELAPPAPSAPSWGCLPSPSPRISLTSLHSASSNTSLSGHRGSPSPPQAEARRRGGGSFVERCQELVRSGPDLRRPPTPAPWPSSGLGTPLTPPKMKLNETSF
+>DECOY_sp|Q8TBC3|SHKB1_HUMAN SH3KBP1-binding protein 1 OS=Homo sapiens OX=9606 GN=SHKBP1 PE=1 SV=2
+FSTENLKMKPPTLPTGLGSSPWPAPTPPRRLDPGSRVLEQCREVFSGGGRRRAEAQPPSPSGRHGSLSTNSSASHLSTLSIRPSPSPLCGWSPASPAPPALECHELQEMLEQETLGGAPAQGLGDMATTLDWMALSGNAQGTLLYRRPRSGLRRSGECELVTFATTPSGDVSRVSCVRQGTSSLRVFLQSASPVVKQIFVQQDDREGYPGIDNGASCGGHGDASELALIKFSALPTSGPQTSIMGRFRTVSWTRVHNNDACVSILHKESLMIKTVPSRHVTFTQFLQPGSGVTEPHQVIVRVGGSSTGYAIEIWNGSDSTKPTLYVSLATVGDEAPDRYLESVLLDNDKMRLPFKQVDVYYISGNNCGLLLFSGAADYSTIPQVEQVQWHKTVANWVGIRGTHSTAILQNGVFFLAEVPVGLHFVGIESGGGEAQLAWLLIESGTAAAVMKDHEGLAGGHVRATLALREIPWDLRPSSFVLQWGSAEKLRYCVLFQTYAVAIWNHHGCVLRVMGPEEPQGSPSPPTKEDLMRGLLGANGLNPPMTNSRRVPAPRGGLQQPGVLSHRNRRKVPFVPPPLYGNFLVNGCSSRDLEERLQLRRVLPTLGYFQAEHLLSSGHVGRPDLEKTRLFNLIPAFVTPDRDIFIAGTEDKLTSIRGSLLSSFFSDPIWTLTQRSTSFRKGGVNLHIVEGPPGRSPVGEAATAAAAM
+>sp|Q8IYI0|SHLD1_HUMAN Shieldin complex subunit 1 OS=Homo sapiens OX=9606 GN=SHLD1 PE=1 SV=1
+MAARDATSGSLSEESSALDLPSACDIRDYVLQGPSQEANSEAFSSLEFHSFPYSSDVDPDTSNLNIEQNNSWTAENFWLDPAVKGQSEKEEDDGLRKSLDRFYEMFGHPQPGSANSLSASVCKCLSQKITQLRGQESQKYALRSFQMARVIFNRDGCSVLQRHSRDTHFYPLEEGSTSLDDEKPNPGLSKDITHFLLQQNVMKDL
+>DECOY_sp|Q8IYI0|SHLD1_HUMAN Shieldin complex subunit 1 OS=Homo sapiens OX=9606 GN=SHLD1 PE=1 SV=1
+LDKMVNQQLLFHTIDKSLGPNPKEDDLSTSGEELPYFHTDRSHRQLVSCGDRNFIVRAMQFSRLAYKQSEQGRLQTIKQSLCKCVSASLSNASGPQPHGFMEYFRDLSKRLGDDEEKESQGKVAPDLWFNEATWSNNQEINLNSTDPDVDSSYPFSHFELSSFAESNAEQSPGQLVYDRIDCASPLDLASSEESLSGSTADRAAM
+>sp|Q96DD7|SHSA4_HUMAN Protein shisa-4 OS=Homo sapiens OX=9606 GN=SHISA4 PE=2 SV=3
+MPPAGLRRAAPLTAIALLVLGAPLVLAGEDCLWYLDRNGSWHPGFNCEFFTFCCGTCYHRYCCRDLTLLITERQQKHCLAFSPKTIAGIASAVILFVAVVATTICCFLCSCCYLYRRRQQLQSPFEGQEIPMTGIPVQPVYPYPQDPKAGPAPPQPGFIYPPSGPAPQYPLYPAGPPVYNPAAPPPYMPPQPSYPGA
+>DECOY_sp|Q96DD7|SHSA4_HUMAN Protein shisa-4 OS=Homo sapiens OX=9606 GN=SHISA4 PE=2 SV=3
+AGPYSPQPPMYPPPAAPNYVPPGAPYLPYQPAPGSPPYIFGPQPPAPGAKPDQPYPYVPQVPIGTMPIEQGEFPSQLQQRRRYLYCCSCLFCCITTAVVAVFLIVASAIGAITKPSFALCHKQQRETILLTLDRCCYRHYCTGCCFTFFECNFGPHWSGNRDLYWLCDEGALVLPAGLVLLAIATLPAARRLGAPPM
+>sp|Q9P2F8|SI1L2_HUMAN Signal-induced proliferation-associated 1-like protein 2 OS=Homo sapiens OX=9606 GN=SIPA1L2 PE=1 SV=2
+MSDPRQSQEEKHKLGRASSKFKDPPRIMQSDDYFARKFKAINGNMGPTTSLNASNSNETGGGGPANGTPAVPKMGVRARVSEWPPKKDCSKELTCKALWESRSQTSYESITSVLQNGQSDQSEGQQDEQLDLDFVEAKYTIGDIFVHSPQRGLHPIRQRSNSDVTISDIDAEDVLDQNAVNPNTGAALHREYGSTSSIDRQGLSGENFFAMLRGYRVENYDHKAMVPFGFPEFFRCDPAISPSLHAAAQISRGEFVRISGLDYVDSALLMGRDRDKPFKRRLKSESVETSLFRKLRTVKSEHETFKFTSELEESRLERGIRPWNCQRCFAHYDVQSILFNINEAMATRANVGKRKNITTGASAASQTQMPTGQTGNCESPLGSKEDLNSKENLDADEGDGKSNDLVLSCPYFRNETGGEGDRRIALSRANSSSFSSGESCSFESSLSSHCTNAGVSVLEVPRENQPIHREKVKRYIIEHIDLGAYYYRKFFYGKEHQNYFGIDENLGPVAVSIRREKVEDAKEKEGSQFNYRVAFRTSELTTLRGAILEDAIPSTARHGTARGLPLKEVLEYVIPELSIQCLRQASNSPKVSEQLLKLDEQGLSFQHKIGILYCKAGQSTEEEMYNNETAGPAFEEFLDLLGQRVRLKGFSKYRAQLDNKTDSTGTHSLYTTYKDYELMFHVSTLLPYMPNNRQQLLRKRHIGNDIVTIVFQEPGALPFTPKSIRSHFQHVFVIVKVHNPCTENVCYSVGVSRSKDVPPFGPPIPKGVTFPKSAVFRDFLLAKVINAENAAHKSEKFRAMATRTRQEYLKDLAENFVTTATVDTSVKFSFITLGAKKKEKVKPRKDAHLFSIGAIMWHVIARDFGQSADIECLLGISNEFIMLIEKDSKNVVFNCSCRDVIGWTSGLVSIKVFYERGECVLLSSVDNCAEDIREIVQRLVIVTRGCETVEMTLRRNGLGQLGFHVNFEGIVADVEPFGFAWKAGLRQGSRLVEICKVAVATLTHEQMIDLLRTSVTVKVVIIQPHDDGSPRRGCSELCRIPMVEYKLDSEGTPCEYKTPFRRNTTWHRVPTPALQPLSRASPIPGTPDRLPCQQLLQQAQAAIPRSTSFDRKLPDGTRSSPSNQSSSSDPGPGGSGPWRPQVGYDGCQSPLLLEHQGSGPLECDGAREREDTMEASRHPETKWHGPPSKVLGSYKERALQKDGSCKDSPNKLSHIGDKSCSSHSSSNTLSSNTSSNSDDKHFGSGDLMDPELLGLTYIKGASTDSGIDTAPCMPATILGPVHLAGSRSLIHSRAEQWADAADVSGPDDEPAKLYSVHGYASTISAGSAAEGSMGDLSEISSHSSGSHHSGSPSAHCSKSSGSLDSSKVYIVSHSSGQQVPGSMSKPYHRQGAVNKYVIGWKKSEGSPPPEEPEVTECPGMYSEMDVMSTATQHQTVVGDAVAETQHVLSKEDFLKLMLPDSPLVEEGRRKFSFYGNLSPRRSLYRTLSDESICSNRRGSSFGSSRSSVLDQALPNDILFSTTPPYHSTLPPRAHPAPSMGSLRNEFWFSDGSLSDKSKCADPGLMPLPDTATGLDWTHLVDAARAFEGLDSDEELGLLCHHTSYLDQRVASFCTLTDMQHGQDLEGAQELPLCVDPGSGKEFMDTTGERSPSPLTGKVNQLELILRQLQTDLRKEKQDKAVLQAEVQHLRQDNMRLQEESQTATAQLRKFTEWFFTTIDKKS
+>DECOY_sp|Q9P2F8|SI1L2_HUMAN Signal-induced proliferation-associated 1-like protein 2 OS=Homo sapiens OX=9606 GN=SIPA1L2 PE=1 SV=2
+SKKDITTFFWETFKRLQATATQSEEQLRMNDQRLHQVEAQLVAKDQKEKRLDTQLQRLILELQNVKGTLPSPSREGTTDMFEKGSGPDVCLPLEQAGELDQGHQMDTLTCFSAVRQDLYSTHHCLLGLEEDSDLGEFARAADVLHTWDLGTATDPLPMLGPDACKSKDSLSGDSFWFENRLSGMSPAPHARPPLTSHYPPTTSFLIDNPLAQDLVSSRSSGFSSGRRNSCISEDSLTRYLSRRPSLNGYFSFKRRGEEVLPSDPLMLKLFDEKSLVHQTEAVADGVVTQHQTATSMVDMESYMGPCETVEPEEPPPSGESKKWGIVYKNVAGQRHYPKSMSGPVQQGSSHSVIYVKSSDLSGSSKSCHASPSGSHHSGSSHSSIESLDGMSGEAASGASITSAYGHVSYLKAPEDDPGSVDAADAWQEARSHILSRSGALHVPGLITAPMCPATDIGSDTSAGKIYTLGLLEPDMLDGSGFHKDDSNSSTNSSLTNSSSHSSCSKDGIHSLKNPSDKCSGDKQLAREKYSGLVKSPPGHWKTEPHRSAEMTDERERAGDCELPGSGQHELLLPSQCGDYGVQPRWPGSGGPGPDSSSSQNSPSSRTGDPLKRDFSTSRPIAAQAQQLLQQCPLRDPTGPIPSARSLPQLAPTPVRHWTTNRRFPTKYECPTGESDLKYEVMPIRCLESCGRRPSGDDHPQIIVVKVTVSTRLLDIMQEHTLTAVAVKCIEVLRSGQRLGAKWAFGFPEVDAVIGEFNVHFGLQGLGNRRLTMEVTECGRTVIVLRQVIERIDEACNDVSSLLVCEGREYFVKISVLGSTWGIVDRCSCNFVVNKSDKEILMIFENSIGLLCEIDASQGFDRAIVHWMIAGISFLHADKRPKVKEKKKAGLTIFSFKVSTDVTATTVFNEALDKLYEQRTRTAMARFKESKHAANEANIVKALLFDRFVASKPFTVGKPIPPGFPPVDKSRSVGVSYCVNETCPNHVKVIVFVHQFHSRISKPTFPLAGPEQFVITVIDNGIHRKRLLQQRNNPMYPLLTSVHFMLEYDKYTTYLSHTGTSDTKNDLQARYKSFGKLRVRQGLLDLFEEFAPGATENNYMEEETSQGAKCYLIGIKHQFSLGQEDLKLLQESVKPSNSAQRLCQISLEPIVYELVEKLPLGRATGHRATSPIADELIAGRLTTLESTRFAVRYNFQSGEKEKADEVKERRISVAVPGLNEDIGFYNQHEKGYFFKRYYYAGLDIHEIIYRKVKERHIPQNERPVELVSVGANTCHSSLSSEFSCSEGSSFSSSNARSLAIRRDGEGGTENRFYPCSLVLDNSKGDGEDADLNEKSNLDEKSGLPSECNGTQGTPMQTQSAASAGTTINKRKGVNARTAMAENINFLISQVDYHAFCRQCNWPRIGRELRSEELESTFKFTEHESKVTRLKRFLSTEVSESKLRRKFPKDRDRGMLLASDVYDLGSIRVFEGRSIQAAAHLSPSIAPDCRFFEPFGFPVMAKHDYNEVRYGRLMAFFNEGSLGQRDISSTSGYERHLAAGTNPNVANQDLVDEADIDSITVDSNSRQRIPHLGRQPSHVFIDGITYKAEVFDLDLQEDQQGESQDSQGNQLVSTISEYSTQSRSEWLAKCTLEKSCDKKPPWESVRARVGMKPVAPTGNAPGGGGTENSNSANLSTTPGMNGNIAKFKRAFYDDSQMIRPPDKFKSSARGLKHKEEQSQRPDSM
+>sp|Q9Y274|SIA10_HUMAN Type 2 lactosamine alpha-2,3-sialyltransferase OS=Homo sapiens OX=9606 GN=ST3GAL6 PE=1 SV=1
+MRGYLVAIFLSAVFLYYVLHCILWGTNVYWVAPVEMKRRNKIQPCLSKPAFASLLRFHQFHPFLCAADFRKIASLYGSDKFDLPYGMRTSAEYFRLALSKLQSCDLFDEFDNIPCKKCVVVGNGGVLKNKTLGEKIDSYDVIIRMNNGPVLGHEEEVGRRTTFRLFYPESVFSDPIHNDPNTTVILTAFKPHDLRWLLELLMGDKINTNGFWKKPALNLIYKPYQIRILDPFIIRTAAYELLHFPKVFPKNQKPKHPTTGIIAITLAFYICHEVHLAGFKYNFSDLKSPLHYYGNATMSLMNKNAYHNVTAEQLFLKDIIEKNLVINLTQD
+>DECOY_sp|Q9Y274|SIA10_HUMAN Type 2 lactosamine alpha-2,3-sialyltransferase OS=Homo sapiens OX=9606 GN=ST3GAL6 PE=1 SV=1
+DQTLNIVLNKEIIDKLFLQEATVNHYANKNMLSMTANGYYHLPSKLDSFNYKFGALHVEHCIYFALTIAIIGTTPHKPKQNKPFVKPFHLLEYAATRIIFPDLIRIQYPKYILNLAPKKWFGNTNIKDGMLLELLWRLDHPKFATLIVTTNPDNHIPDSFVSEPYFLRFTTRRGVEEEHGLVPGNNMRIIVDYSDIKEGLTKNKLVGGNGVVVCKKCPINDFEDFLDCSQLKSLALRFYEASTRMGYPLDFKDSGYLSAIKRFDAACLFPHFQHFRLLSAFAPKSLCPQIKNRRKMEVPAVWYVNTGWLICHLVYYLFVASLFIAVLYGRM
+>sp|Q9NSC7|SIA7A_HUMAN Alpha-N-acetylgalactosaminide alpha-2,6-sialyltransferase 1 OS=Homo sapiens OX=9606 GN=ST6GALNAC1 PE=2 SV=1
+MRSCLWRCRHLSQGVQWSLLLAVLVFFLFALPSFIKEPQTKPSRHQRTENIKERSLQSLAKPKSQAPTRARRTTIYAEPVPENNALNTQTQPKAHTTGDRGKEANQAPPEEQDKVPHTAQRAAWKSPEKEKTMVNTLSPRGQDAGMASGRTEAQSWKSQDTKTTQGNGGQTRKLTASRTVSEKHQGKAATTAKTLIPKSQHRMLAPTGAVSTRTRQKGVTTAVIPPKEKKPQATPPPAPFQSPTTQRNQRLKAANFKSEPRWDFEEKYSFEIGGLQTTCPDSVKIKASKSLWLQKLFLPNLTLFLDSRHFNQSEWDRLEHFAPPFGFMELNYSLVQKVVTRFPPVPQQQLLLASLPAGSLRCITCAVVGNGGILNNSHMGQEIDSHDYVFRLSGALIKGYEQDVGTRTSFYGFTAFSLTQSLLILGNRGFKNVPLGKDVRYLHFLEGTRDYEWLEALLMNQTVMSKNLFWFRHRPQEAFREALHMDRYLLLHPDFLRYMKNRFLRSKTLDGAHWRIYRPTTGALLLLTALQLCDQVSAYGFITEGHERFSDHYYDTSWKRLIFYINHDFKLEREVWKRLHDEGIIRLYQRPGPGTAKAKN
+>DECOY_sp|Q9NSC7|SIA7A_HUMAN Alpha-N-acetylgalactosaminide alpha-2,6-sialyltransferase 1 OS=Homo sapiens OX=9606 GN=ST6GALNAC1 PE=2 SV=1
+NKAKATGPGPRQYLRIIGEDHLRKWVERELKFDHNIYFILRKWSTDYYHDSFREHGETIFGYASVQDCLQLATLLLLAGTTPRYIRWHAGDLTKSRLFRNKMYRLFDPHLLLYRDMHLAERFAEQPRHRFWFLNKSMVTQNMLLAELWEYDRTGELFHLYRVDKGLPVNKFGRNGLILLSQTLSFATFGYFSTRTGVDQEYGKILAGSLRFVYDHSDIEQGMHSNNLIGGNGVVACTICRLSGAPLSALLLQQQPVPPFRTVVKQVLSYNLEMFGFPPAFHELRDWESQNFHRSDLFLTLNPLFLKQLWLSKSAKIKVSDPCTTQLGGIEFSYKEEFDWRPESKFNAAKLRQNRQTTPSQFPAPPPTAQPKKEKPPIVATTVGKQRTRTSVAGTPALMRHQSKPILTKATTAAKGQHKESVTRSATLKRTQGGNGQTTKTDQSKWSQAETRGSAMGADQGRPSLTNVMTKEKEPSKWAARQATHPVKDQEEPPAQNAEKGRDGTTHAKPQTQTNLANNEPVPEAYITTRRARTPAQSKPKALSQLSREKINETRQHRSPKTQPEKIFSPLAFLFFVLVALLLSWQVGQSLHRCRWLCSRM
+>sp|O43255|SIAH2_HUMAN E3 ubiquitin-protein ligase SIAH2 OS=Homo sapiens OX=9606 GN=SIAH2 PE=1 SV=1
+MSRPSSTGPSANKPCSKQPPPQPQHTPSPAAPPAAATISAAGPGSSAVPAAAAVISGPGGGGGAGPVSPQHHELTSLFECPVCFDYVLPPILQCQAGHLVCNQCRQKLSCCPTCRGALTPSIRNLAMEKVASAVLFPCKYATTGCSLTLHHTEKPEHEDICEYRPYSCPCPGASCKWQGSLEAVMSHLMHAHKSITTLQGEDIVFLATDINLPGAVDWVMMQSCFGHHFMLVLEKQEKYEGHQQFFAIVLLIGTRKQAENFAYRLELNGNRRRLTWEATPRSIHDGVAAAIMNSDCLVFDTAIAHLFADNGNLGINVTISTCCP
+>DECOY_sp|O43255|SIAH2_HUMAN E3 ubiquitin-protein ligase SIAH2 OS=Homo sapiens OX=9606 GN=SIAH2 PE=1 SV=1
+PCCTSITVNIGLNGNDAFLHAIATDFVLCDSNMIAAAVGDHISRPTAEWTLRRRNGNLELRYAFNEAQKRTGILLVIAFFQQHGEYKEQKELVLMFHHGFCSQMMVWDVAGPLNIDTALFVIDEGQLTTISKHAHMLHSMVAELSGQWKCSAGPCPCSYPRYECIDEHEPKETHHLTLSCGTTAYKCPFLVASAVKEMALNRISPTLAGRCTPCCSLKQRCQNCVLHGAQCQLIPPLVYDFCVPCEFLSTLEHHQPSVPGAGGGGGPGSIVAAAAPVASSGPGAASITAAAPPAAPSPTHQPQPPPQKSCPKNASPGTSSPRSM
+>sp|P21815|SIAL_HUMAN Bone sialoprotein 2 OS=Homo sapiens OX=9606 GN=IBSP PE=1 SV=4
+MKTALILLSILGMACAFSMKNLHRRVKIEDSEENGVFKYRPRYYLYKHAYFYPHLKRFPVQGSSDSSEENGDDSSEEEEEEEETSNEGENNEESNEDEDSEAENTTLSATTLGYGEDATPGTGYTGLAAIQLPKKAGDITNKATKEKESDEEEEEEEEGNENEESEAEVDENEQGINGTSTNSTEAENGNGSSGGDNGEEGEEESVTGANAEDTTETGRQGKGTSKTTTSPNGGFEPTTPPQVYRTTSPPFGKTTTVEYEGEYEYTGANEYDNGYEIYESENGEPRGDNYRAYEDEYSYFKGQGYDGYDGQNYYHHQ
+>DECOY_sp|P21815|SIAL_HUMAN Bone sialoprotein 2 OS=Homo sapiens OX=9606 GN=IBSP PE=1 SV=4
+QHHYYNQGDYGDYGQGKFYSYEDEYARYNDGRPEGNESEYIEYGNDYENAGTYEYEGEYEVTTTKGFPPSTTRYVQPPTTPEFGGNPSTTTKSTGKGQRGTETTDEANAGTVSEEEGEEGNDGGSSGNGNEAETSNTSTGNIGQENEDVEAESEENENGEEEEEEEEDSEKEKTAKNTIDGAKKPLQIAALGTYGTGPTADEGYGLTTASLTTNEAESDEDENSEENNEGENSTEEEEEEEESSDDGNEESSDSSGQVPFRKLHPYFYAHKYLYYRPRYKFVGNEESDEIKVRRHLNKMSFACAMGLISLLILATKM
+>sp|Q9NR45|SIAS_HUMAN Sialic acid synthase OS=Homo sapiens OX=9606 GN=NANS PE=1 SV=2
+MPLELELCPGRWVGGQHPCFIIAEIGQNHQGDLDVAKRMIRMAKECGADCAKFQKSELEFKFNRKALERPYTSKHSWGKTYGEHKRHLEFSHDQYRELQRYAEEVGIFFTASGMDEMAVEFLHELNVPFFKVGSGDTNNFPYLEKTAKKGRPMVISSGMQSMDTMKQVYQIVKPLNPNFCFLQCTSAYPLQPEDVNLRVISEYQKLFPDIPIGYSGHETGIAISVAAVALGAKVLERHITLDKTWKGSDHSASLEPGELAELVRSVRLVERALGSPTKQLLPCEMACNEKLGKSVVAKVKIPEGTILTMDMLTVKVGEPKGYPPEDIFNLVGKKVLVTVEEDDTIMEELVDNHGKKIKS
+>DECOY_sp|Q9NR45|SIAS_HUMAN Sialic acid synthase OS=Homo sapiens OX=9606 GN=NANS PE=1 SV=2
+SKIKKGHNDVLEEMITDDEEVTVLVKKGVLNFIDEPPYGKPEGVKVTLMDMTLITGEPIKVKAVVSKGLKENCAMECPLLQKTPSGLAREVLRVSRVLEALEGPELSASHDSGKWTKDLTIHRELVKAGLAVAAVSIAIGTEHGSYGIPIDPFLKQYESIVRLNVDEPQLPYASTCQLFCFNPNLPKVIQYVQKMTDMSQMGSSIVMPRGKKATKELYPFNNTDGSGVKFFPVNLEHLFEVAMEDMGSATFFIGVEEAYRQLERYQDHSFELHRKHEGYTKGWSHKSTYPRELAKRNFKFELESKQFKACDAGCEKAMRIMRKAVDLDGQHNQGIEAIIFCPHQGGVWRGPCLELELPM
+>sp|P15907|SIAT1_HUMAN Beta-galactoside alpha-2,6-sialyltransferase 1 OS=Homo sapiens OX=9606 GN=ST6GAL1 PE=1 SV=1
+MIHTNLKKKFSCCVLVFLLFAVICVWKEKKKGSYYDSFKLQTKEFQVLKSLGKLAMGSDSQSVSSSSTQDPHRGRQTLGSLRGLAKAKPEASFQVWNKDSSSKNLIPRLQKIWKNYLSMNKYKVSYKGPGPGIKFSAEALRCHLRDHVNVSMVEVTDFPFNTSEWEGYLPKESIRTKAGPWGRCAVVSSAGSLKSSQLGREIDDHDAVLRFNGAPTANFQQDVGTKTTIRLMNSQLVTTEKRFLKDSLYNEGILIVWDPSVYHSDIPKWYQNPDYNFFNNYKTYRKLHPNQPFYILKPQMPWELWDILQEISPEEIQPNPPSSGMLGIIIMMTLCDQVDIYEFLPSKRKTDVCYYYQKFFDSACTMGAYHPLLYEKNLVKHLNQGTDEDIYLLGKATLPGFRTIHC
+>DECOY_sp|P15907|SIAT1_HUMAN Beta-galactoside alpha-2,6-sialyltransferase 1 OS=Homo sapiens OX=9606 GN=ST6GAL1 PE=1 SV=1
+CHITRFGPLTAKGLLYIDEDTGQNLHKVLNKEYLLPHYAGMTCASDFFKQYYYCVDTKRKSPLFEYIDVQDCLTMMIIIGLMGSSPPNPQIEEPSIEQLIDWLEWPMQPKLIYFPQNPHLKRYTKYNNFFNYDPNQYWKPIDSHYVSPDWVILIGENYLSDKLFRKETTVLQSNMLRITTKTGVDQQFNATPAGNFRLVADHDDIERGLQSSKLSGASSVVACRGWPGAKTRISEKPLYGEWESTNFPFDTVEVMSVNVHDRLHCRLAEASFKIGPGPGKYSVKYKNMSLYNKWIKQLRPILNKSSSDKNWVQFSAEPKAKALGRLSGLTQRGRHPDQTSSSSVSQSDSGMALKGLSKLVQFEKTQLKFSDYYSGKKKEKWVCIVAFLLFVLVCCSFKKKLNTHIM
+>sp|Q11203|SIAT6_HUMAN CMP-N-acetylneuraminate-beta-1,4-galactoside alpha-2,3-sialyltransferase OS=Homo sapiens OX=9606 GN=ST3GAL3 PE=1 SV=1
+MGLLVFVRNLLLALCLFLVLGFLYYSAWKLHLLQWEEDSNSVVLSFDSAGQTLGSEYDRLGFLLNLDSKLPAELATKYANFSEGACKPGYASALMTAIFPRFSKPAPMFLDDSFRKWARIREFVPPFGIKGQDNLIKAILSVTKEYRLTPALDSLRCRRCIIVGNGGVLANKSLGSRIDDYDIVVRLNSAPVKGFEKDVGSKTTLRITYPEGAMQRPEQYERDSLFVLAGFKWQDFKWLKYIVYKERVSASDGFWKSVATRVPKEPPEIRILNPYFIQEAAFTLIGLPFNNGLMGRGNIPTLGSVAVTMALHGCDEVAVAGFGYDMSTPNAPLHYYETVRMAAIKESWTHNIQREKEFLRKLVKARVITDLSSGI
+>DECOY_sp|Q11203|SIAT6_HUMAN CMP-N-acetylneuraminate-beta-1,4-galactoside alpha-2,3-sialyltransferase OS=Homo sapiens OX=9606 GN=ST3GAL3 PE=1 SV=1
+IGSSLDTIVRAKVLKRLFEKERQINHTWSEKIAAMRVTEYYHLPANPTSMDYGFGAVAVEDCGHLAMTVAVSGLTPINGRGMLGNNFPLGILTFAAEQIFYPNLIRIEPPEKPVRTAVSKWFGDSASVREKYVIYKLWKFDQWKFGALVFLSDREYQEPRQMAGEPYTIRLTTKSGVDKEFGKVPASNLRVVIDYDDIRSGLSKNALVGGNGVIICRRCRLSDLAPTLRYEKTVSLIAKILNDQGKIGFPPVFERIRAWKRFSDDLFMPAPKSFRPFIATMLASAYGPKCAGESFNAYKTALEAPLKSDLNLLFGLRDYESGLTQGASDFSLVVSNSDEEWQLLHLKWASYYLFGLVLFLCLALLLNRVFVLLGM
+>sp|Q8NDZ2|SIMC1_HUMAN SUMO-interacting motif-containing protein 1 OS=Homo sapiens OX=9606 GN=SIMC1 PE=1 SV=3
+MAPASASGEDLRKLPTMAEVNGEQDFIDLTRETRPRTKDRSGLYVIDLTRAEGENRPIATLDLTLEPVTPSQKEPTSLQTCASLSGKAVMEGHVDRSSQPTARRIINSDPVDLDLVEENTFVGPPPATSISGGSVYPTEPNCSSATFTGNLSFLASLQLSSDVSSLSPTSNNSRSSSSSSNQKAPLPCPQQDVSRPPQALPCPLRPLPCPPRASPCPPRASSCPPRALSCPSQTMQCQLPALTHPPQEVPCPRQNIPGPPQDSLGLPQDVPGLPQSILHPQDVAYLQDMPRSPGDVPQSPSDVSPSPDAPQSPGGMPHLPGDVLHSPGDMPHSSGDVTHSPRDIPHLPGDRPDFTQNDVQNRDMPMDISALSSPSCSPSPQSETPLEKVPWLSVMETPARKEISLSEPAKPGSAHVQSRTPQGGLYNRPCLHRLKYFLRPPVHHLFFQTLIPDKDTRENKGQKLEPIPHRRLRMVTNTIEENFPLGTVQFLMDFVSPQHYPPREIVAHIIQKILLSGSETVDVLKEAYMLLMKIQQLHPANAKTVEWDWKLLTYVMEEEGQTLPGRVLFLRYVVQTLEDDFQQTLRRQRQHLQQSIANMVLSCDKQPHNVRDVIKWLVKAVTEDGLTQPPNGNQTSSGTGILKASSSHPSSQPNLTKNTNQLIVCQLQRMLSIAVEVDRTPTCSSNKIAEMMFGFVLDIPERSQREMFFTTMESHLLRCKVLEIIFLHSCETPTRLPLSLAQALYFLNNSTSLLKCQSDKSQWQTWDELVEHLQFLLSSYQHVLREHLRSSVIDRKDLIIKRIKPKPQQGDDITVVDVEKQIEAFRSRLIQMLGEPLVPQLQDKVHLLKLLLFYAADLNPDAEPFQKGWSGS
+>DECOY_sp|Q8NDZ2|SIMC1_HUMAN SUMO-interacting motif-containing protein 1 OS=Homo sapiens OX=9606 GN=SIMC1 PE=1 SV=3
+SGSWGKQFPEADPNLDAAYFLLLKLLHVKDQLQPVLPEGLMQILRSRFAEIQKEVDVVTIDDGQQPKPKIRKIILDKRDIVSSRLHERLVHQYSSLLFQLHEVLEDWTQWQSKDSQCKLLSTSNNLFYLAQALSLPLRTPTECSHLFIIELVKCRLLHSEMTTFFMERQSREPIDLVFGFMMEAIKNSSCTPTRDVEVAISLMRQLQCVILQNTNKTLNPQSSPHSSSAKLIGTGSSTQNGNPPQTLGDETVAKVLWKIVDRVNHPQKDCSLVMNAISQQLHQRQRRLTQQFDDELTQVVYRLFLVRGPLTQGEEEMVYTLLKWDWEVTKANAPHLQQIKMLLMYAEKLVDVTESGSLLIKQIIHAVIERPPYHQPSVFDMLFQVTGLPFNEEITNTVMRLRRHPIPELKQGKNERTDKDPILTQFFLHHVPPRLFYKLRHLCPRNYLGGQPTRSQVHASGPKAPESLSIEKRAPTEMVSLWPVKELPTESQPSPSCSPSSLASIDMPMDRNQVDNQTFDPRDGPLHPIDRPSHTVDGSSHPMDGPSHLVDGPLHPMGGPSQPADPSPSVDSPSQPVDGPSRPMDQLYAVDQPHLISQPLGPVDQPLGLSDQPPGPINQRPCPVEQPPHTLAPLQCQMTQSPCSLARPPCSSARPPCPSARPPCPLPRLPCPLAQPPRSVDQQPCPLPAKQNSSSSSSRSNNSTPSLSSVDSSLQLSALFSLNGTFTASSCNPETPYVSGGSISTAPPPGVFTNEEVLDLDVPDSNIIRRATPQSSRDVHGEMVAKGSLSACTQLSTPEKQSPTVPELTLDLTAIPRNEGEARTLDIVYLGSRDKTRPRTERTLDIFDQEGNVEAMTPLKRLDEGSASAPAM
+>sp|Q9BPZ7|SIN1_HUMAN Target of rapamycin complex 2 subunit MAPKAP1 OS=Homo sapiens OX=9606 GN=MAPKAP1 PE=1 SV=2
+MAFLDNPTIILAHIRQSHVTSDDTGMCEMVLIDHDVDLEKIHPPSMPGDSGSEIQGSNGETQGYVYAQSVDITSSWDFGIRRRSNTAQRLERLRKERQNQIKCKNIQWKERNSKQSAQELKSLFEKKSLKEKPPISGKQSILSVRLEQCPLQLNNPFNEYSKFDGKGHVGTTATKKIDVYLPLHSSQDRLLPMTVVTMASARVQDLIGLICWQYTSEGREPKLNDNVSAYCLHIAEDDGEVDTDFPPLDSNEPIHKFGFSTLALVEKYSSPGLTSKESLFVRINAAHGFSLIQVDNTKVTMKEILLKAVKRRKGSQKVSGPQYRLEKQSEPNVAVDLDSTLESQSAWEFCLVRENSSRADGVFEEDSQIDIATVQDMLSSHHYKSFKVSMIHRLRFTTDVQLGISGDKVEIDPVTNQKASTKFWIKQKPISIDSDLLCACDLAEEKSPSHAIFKLTYLSNHDYKHLYFESDAATVNEIVLKVNYILESRASTARADYFAQKQRKLNRRTSFSFQKEKKSGQQ
+>DECOY_sp|Q9BPZ7|SIN1_HUMAN Target of rapamycin complex 2 subunit MAPKAP1 OS=Homo sapiens OX=9606 GN=MAPKAP1 PE=1 SV=2
+QQGSKKEKQFSFSTRRNLKRQKQAFYDARATSARSELIYNVKLVIENVTAADSEFYLHKYDHNSLYTLKFIAHSPSKEEALDCACLLDSDISIPKQKIWFKTSAKQNTVPDIEVKDGSIGLQVDTTFRLRHIMSVKFSKYHHSSLMDQVTAIDIQSDEEFVGDARSSNERVLCFEWASQSELTSDLDVAVNPESQKELRYQPGSVKQSGKRRKVAKLLIEKMTVKTNDVQILSFGHAANIRVFLSEKSTLGPSSYKEVLALTSFGFKHIPENSDLPPFDTDVEGDDEAIHLCYASVNDNLKPERGESTYQWCILGILDQVRASAMTVVTMPLLRDQSSHLPLYVDIKKTATTGVHGKGDFKSYENFPNNLQLPCQELRVSLISQKGSIPPKEKLSKKEFLSKLEQASQKSNREKWQINKCKIQNQREKRLRELRQATNSRRRIGFDWSSTIDVSQAYVYGQTEGNSGQIESGSDGPMSPPHIKELDVDHDILVMECMGTDDSTVHSQRIHALIITPNDLFAM
+>sp|Q96ST3|SIN3A_HUMAN Paired amphipathic helix protein Sin3a OS=Homo sapiens OX=9606 GN=SIN3A PE=1 SV=2
+MKRRLDDQESPVYAAQQRRIPGSTEAFPHQHRVLAPAPPVYEAVSETMQSATGIQYSVTPSYQVSAMPQSSGSHGPAIAAVHSSHHHPTAVQPHGGQVVQSHAHPAPPVAPVQGQQQFQRLKVEDALSYLDQVKLQFGSQPQVYNDFLDIMKEFKSQSIDTPGVISRVSQLFKGHPDLIMGFNTFLPPGYKIEVQTNDMVNVTTPGQVHQIPTHGIQPQPQPPPQHPSQPSAQSAPAPAQPAPQPPPAKVSKPSQLQAHTPASQQTPPLPPYASPRSPPVQPHTPVTISLGTAPSLQNNQPVEFNHAINYVNKIKNRFQGQPDIYKAFLEILHTYQKEQRNAKEAGGNYTPALTEQEVYAQVARLFKNQEDLLSEFGQFLPDANSSVLLSKTTAEKVDSVRNDHGGTVKKPQLNNKPQRPSQNGCQIRRHPTGTTPPVKKKPKLLNLKDSSMADASKHGGGTESLFFDKVRKALRSAEAYENFLRCLVIFNQEVISRAELVQLVSPFLGKFPELFNWFKNFLGYKESVHLETYPKERATEGIAMEIDYASCKRLGSSYRALPKSYQQPKCTGRTPLCKEVLNDTWVSFPSWSEDSTFVSSKKTQYEEHIYRCEDERFELDVVLETNLATIRVLEAIQKKLSRLSAEEQAKFRLDNTLGGTSEVIHRKALQRIYADKAADIIDGLRKNPSIAVPIVLKRLKMKEEEWREAQRGFNKVWREQNEKYYLKSLDHQGINFKQNDTKVLRSKSLLNEIESIYDERQEQATEENAGVPVGPHLSLAYEDKQILEDAAALIIHHVKRQTGIQKEDKYKIKQIMHHFIPDLLFAQRGDLSDVEEEEEEEMDVDEATGAVKKHNGVGGSPPKSKLLFSNTAAQKLRGMDEVYNLFYVNNNWYIFMRLHQILCLRLLRICSQAERQIEEENREREWEREVLGIKRDKSDSPAIQLRLKEPMDVDVEDYYPAFLDMVRSLLDGNIDSSQYEDSLREMFTIHAYIAFTMDKLIQSIVRQLQHIVSDEICVQVTDLYLAENNNGATGGQLNTQNSRSLLESTYQRKAEQLMSDENCFKLMFIQSQGQVQLTIELLDTEEENSDDPVEAERWSDYVERYMNSDTTSPELREHLAQKPVFLPRNLRRIRKCQRGREQQEKEGKEGNSKKTMENVDSLDKLECRFKLNSYKMVYVIKSEDYMYRRTALLRAHQSHERVSKRLHQRFQAWVDKWTKEHVPREMAAETSKWLMGEGLEGLVPCTTTCDTETLHFVSINKYRVKYGTVFKAP
+>DECOY_sp|Q96ST3|SIN3A_HUMAN Paired amphipathic helix protein Sin3a OS=Homo sapiens OX=9606 GN=SIN3A PE=1 SV=2
+PAKFVTGYKVRYKNISVFHLTETDCTTTCPVLGELGEGMLWKSTEAAMERPVHEKTWKDVWAQFRQHLRKSVREHSQHARLLATRRYMYDESKIVYVMKYSNLKFRCELKDLSDVNEMTKKSNGEKGEKEQQERGRQCKRIRRLNRPLFVPKQALHERLEPSTTDSNMYREVYDSWREAEVPDDSNEEETDLLEITLQVQGQSQIFMLKFCNEDSMLQEAKRQYTSELLSRSNQTNLQGGTAGNNNEALYLDTVQVCIEDSVIHQLQRVISQILKDMTFAIYAHITFMERLSDEYQSSDINGDLLSRVMDLFAPYYDEVDVDMPEKLRLQIAPSDSKDRKIGLVEREWERERNEEEIQREAQSCIRLLRLCLIQHLRMFIYWNNNVYFLNYVEDMGRLKQAATNSFLLKSKPPSGGVGNHKKVAGTAEDVDMEEEEEEEVDSLDGRQAFLLDPIFHHMIQKIKYKDEKQIGTQRKVHHIILAAADELIQKDEYALSLHPGVPVGANEETAQEQREDYISEIENLLSKSRLVKTDNQKFNIGQHDLSKLYYKENQERWVKNFGRQAERWEEEKMKLRKLVIPVAISPNKRLGDIIDAAKDAYIRQLAKRHIVESTGGLTNDLRFKAQEEASLRSLKKQIAELVRITALNTELVVDLEFREDECRYIHEEYQTKKSSVFTSDESWSPFSVWTDNLVEKCLPTRGTCKPQQYSKPLARYSSGLRKCSAYDIEMAIGETAREKPYTELHVSEKYGLFNKFWNFLEPFKGLFPSVLQVLEARSIVEQNFIVLCRLFNEYAEASRLAKRVKDFFLSETGGGHKSADAMSSDKLNLLKPKKKVPPTTGTPHRRIQCGNQSPRQPKNNLQPKKVTGGHDNRVSDVKEATTKSLLVSSNADPLFQGFESLLDEQNKFLRAVQAYVEQETLAPTYNGGAEKANRQEKQYTHLIELFAKYIDPQGQFRNKIKNVYNIAHNFEVPQNNQLSPATGLSITVPTHPQVPPSRPSAYPPLPPTQQSAPTHAQLQSPKSVKAPPPQPAPQAPAPASQASPQSPHQPPPQPQPQIGHTPIQHVQGPTTVNVMDNTQVEIKYGPPLFTNFGMILDPHGKFLQSVRSIVGPTDISQSKFEKMIDLFDNYVQPQSGFQLKVQDLYSLADEVKLRQFQQQGQVPAVPPAPHAHSQVVQGGHPQVATPHHHSSHVAAIAPGHSGSSQPMASVQYSPTVSYQIGTASQMTESVAEYVPPAPALVRHQHPFAETSGPIRRQQAAYVPSEQDDLRRKM
+>sp|Q4G0N8|SL9C1_HUMAN Sodium/hydrogen exchanger 10 OS=Homo sapiens OX=9606 GN=SLC9C1 PE=2 SV=2
+MAGIFKEFFFSTEDLPEVILTLSLISSIGAFLNRHLEDFPIPVPVILFLLGCSFEVLSFTSSQVQRYANAIQWMSPDLFFRIFTPVVFFTTAFDMDTYMLQKLFWQILLISIPGFLVNYILVLWHLASVNQLLLKPTQWLLFSAILVSSDPMLTAAAIRDLGLSRSLISLINGESLMTSVISLITFTSIMDFDQRLQSKRNHTLAEEIVGGICSYIIASFLFGILSSKLIQFWMSTVFGDDVNHISLIFSILYLIFYICELVGMSGIFTLAIVGLLLNSTSFKAAIEETLLLEFWTFLSRIAFLMVFTFFGLLIPAHTYLYIEFVDIYYSLNIYLTLIVLRFLTLLLISPVLSRVGHEFSWRWIFIMVCSEMKGMPNINMALLLAYSDLYFGSDKEKSQILFHGVLVCLITLVVNRFILPVAVTILGLRDATSTKYKSVCCTFQHFQELTKSAASALKFDKDLANADWNMIEKAITLENPYMLNEEETTEHQKVKCPHCNKEIDEIFNTEAMELANRRLLSAQIASYQRQYRNEILSQSAVQVLVGAAESFGEKKGKCMSLDTIKNYSESQKTVTFARKLLLNWVYNTRKEKEGPSKYFFFRICHTIVFTEEFEHVGYLVILMNIFPFIISWISQLNVIYHSELKHTNYCFLTLYILEALLKIAAMRKDFFSHAWNIFELAITLIGILHVILIEIDTIKYIFNETEVIVFIKVVQFFRILRIFKLIAPKLLQIIDKRMSHQKTFWYGILKGYVQGEADIMTIIDQITSSKQIKQMLLKQVIRNMEHAIKELGYLEYDHPEIAVTVKTKEEINVMLNMATEILKAFGLKGIISKTEGAGINKLIMAKKKEVLDSQSIIRPLTVEEVLYHIPWLDKNKDYINFIQEKAKVVTFDCGNDIFEEGDEPKGIYIIISGMVKLEKSKPGLGIDQMVESKEKDFPIIDTDYMLSGEIIGEINCLTNEPMKYSATCKTVVETCFIPKTHLYDAFEQCSPLIKQKMWLKLGLAITARKIREHLSYEDWNYNMQLKLSNIYVVDIPMSTKTDIYDENLIYVILIHGAVEDCLLRKTYRAPFLIPITCHQIQSIEDFTKVVIIQTPINMKTFRRNIRKFVPKHKSYLTPGLIGSVGTLEEGIQEERNVKEDGAHSAATARSPQPCSLLGTKFNCKESPRINLRKVRKE
+>DECOY_sp|Q4G0N8|SL9C1_HUMAN Sodium/hydrogen exchanger 10 OS=Homo sapiens OX=9606 GN=SLC9C1 PE=2 SV=2
+EKRVKRLNIRPSEKCNFKTGLLSCPQPSRATAASHAGDEKVNREEQIGEELTGVSGILGPTLYSKHKPVFKRINRRFTKMNIPTQIIVVKTFDEISQIQHCTIPILFPARYTKRLLCDEVAGHILIVYILNEDYIDTKTSMPIDVVYINSLKLQMNYNWDEYSLHERIKRATIALGLKLWMKQKILPSCQEFADYLHTKPIFCTEVVTKCTASYKMPENTLCNIEGIIEGSLMYDTDIIPFDKEKSEVMQDIGLGPKSKELKVMGSIIIYIGKPEDGEEFIDNGCDFTVVKAKEQIFNIYDKNKDLWPIHYLVEEVTLPRIISQSDLVEKKKAMILKNIGAGETKSIIGKLGFAKLIETAMNLMVNIEEKTKVTVAIEPHDYELYGLEKIAHEMNRIVQKLLMQKIQKSSTIQDIITMIDAEGQVYGKLIGYWFTKQHSMRKDIIQLLKPAILKFIRLIRFFQVVKIFVIVETENFIYKITDIEILIVHLIGILTIALEFINWAHSFFDKRMAAIKLLAELIYLTLFCYNTHKLESHYIVNLQSIWSIIFPFINMLIVLYGVHEFEETFVITHCIRFFFYKSPGEKEKRTNYVWNLLLKRAFTVTKQSESYNKITDLSMCKGKKEGFSEAAGVLVQVASQSLIENRYQRQYSAIQASLLRRNALEMAETNFIEDIEKNCHPCKVKQHETTEEENLMYPNELTIAKEIMNWDANALDKDFKLASAASKTLEQFHQFTCCVSKYKTSTADRLGLITVAVPLIFRNVVLTILCVLVGHFLIQSKEKDSGFYLDSYALLLAMNINPMGKMESCVMIFIWRWSFEHGVRSLVPSILLLTLFRLVILTLYINLSYYIDVFEIYLYTHAPILLGFFTFVMLFAIRSLFTWFELLLTEEIAAKFSTSNLLLGVIALTFIGSMGVLECIYFILYLISFILSIHNVDDGFVTSMWFQILKSSLIGFLFSAIIYSCIGGVIEEALTHNRKSQLRQDFDMISTFTILSIVSTMLSEGNILSILSRSLGLDRIAAATLMPDSSVLIASFLLWQTPKLLLQNVSALHWLVLIYNVLFGPISILLIQWFLKQLMYTDMDFATTFFVVPTFIRFFLDPSMWQIANAYRQVQSSTFSLVEFSCGLLFLIVPVPIPFDELHRNLFAGISSILSLTLIVEPLDETSFFFEKFIGAM
+>sp|Q9NQ25|SLAF7_HUMAN SLAM family member 7 OS=Homo sapiens OX=9606 GN=SLAMF7 PE=1 SV=1
+MAGSPTCLTLIYILWQLTGSAASGPVKELVGSVGGAVTFPLKSKVKQVDSIVWTFNTTPLVTIQPEGGTIIVTQNRNRERVDFPDGGYSLKLSKLKKNDSGIYYVGIYSSSLQQPSTQEYVLHVYEHLSKPKVTMGLQSNKNGTCVTNLTCCMEHGEEDVIYTWKALGQAANESHNGSILPISWRWGESDMTFICVARNPVSRNFSSPILARKLCEGAADDPDSSMVLLCLLLVPLLLSLFVLGLFLWFLKRERQEEYIEEKKRVDICRETPNICPHSGENTEYDTIPHTNRTILKEDPANTVYSTVEIPKKMENPHSLLTMPDTPRLFAYENVI
+>DECOY_sp|Q9NQ25|SLAF7_HUMAN SLAM family member 7 OS=Homo sapiens OX=9606 GN=SLAMF7 PE=1 SV=1
+IVNEYAFLRPTDPMTLLSHPNEMKKPIEVTSYVTNAPDEKLITRNTHPITDYETNEGSHPCINPTERCIDVRKKEEIYEEQRERKLFWLFLGLVFLSLLLPVLLLCLLVMSSDPDDAAGECLKRALIPSSFNRSVPNRAVCIFTMDSEGWRWSIPLISGNHSENAAQGLAKWTYIVDEEGHEMCCTLNTVCTGNKNSQLGMTVKPKSLHEYVHLVYEQTSPQQLSSSYIGVYYIGSDNKKLKSLKLSYGGDPFDVRERNRNQTVIITGGEPQITVLPTTNFTWVISDVQKVKSKLPFTVAGGVSGVLEKVPGSAASGTLQWLIYILTLCTPSGAM
+>sp|Q13239|SLAP1_HUMAN Src-like-adapter OS=Homo sapiens OX=9606 GN=SLA PE=1 SV=3
+MGNSMKSTPAPAERPLPNPEGLDSDFLAVLSDYPSPDISPPIFRRGEKLRVISDEGGWWKAISLSTGRESYIPGICVARVYHGWLFEGLGRDKAEELLQLPDTKVGSFMIRESETKKGFYSLSVRHRQVKHYRIFRLPNNWYYISPRLTFQCLEDLVNHYSEVADGLCCVLTTPCLTQSTAAPAVRASSSPVTLRQKTVDWRRVSRLQEDPEGTENPLGVDESLFSYGLRESIASYLSLTSEDNTSFDRKKKSISLMYGGSKRKSSFFSSPPYFED
+>DECOY_sp|Q13239|SLAP1_HUMAN Src-like-adapter OS=Homo sapiens OX=9606 GN=SLA PE=1 SV=3
+DEFYPPSSFFSSKRKSGGYMLSISKKKRDFSTNDESTLSLYSAISERLGYSFLSEDVGLPNETGEPDEQLRSVRRWDVTKQRLTVPSSSARVAPAATSQTLCPTTLVCCLGDAVESYHNVLDELCQFTLRPSIYYWNNPLRFIRYHKVQRHRVSLSYFGKKTESERIMFSGVKTDPLQLLEEAKDRGLGEFLWGHYVRAVCIGPIYSERGTSLSIAKWWGGEDSIVRLKEGRRFIPPSIDPSPYDSLVALFDSDLGEPNPLPREAPAPTSKMSNGM
+>sp|Q9BRT9|SLD5_HUMAN DNA replication complex GINS protein SLD5 OS=Homo sapiens OX=9606 GN=GINS4 PE=1 SV=1
+MTEEVDFLGQDSDGGSEEVVLTPAELIERLEQAWMNEKFAPELLESKPEIVECVMEQLEHMEENLRRAKREDLKVSIHQMEMERIRYVLSSYLRCRLMKIEKFFPHVLEKEKTRPEGEPSSLSPEELAFAREFMANTESYLKNVALKHMPPNLQKVDLFRAVPKPDLDSYVFLRVRERQENILVEPDTDEQRDYVIDLEKGSQHLIRYKTIAPLVASGAVQLI
+>DECOY_sp|Q9BRT9|SLD5_HUMAN DNA replication complex GINS protein SLD5 OS=Homo sapiens OX=9606 GN=GINS4 PE=1 SV=1
+ILQVAGSAVLPAITKYRILHQSGKELDIVYDRQEDTDPEVLINEQRERVRLFVYSDLDPKPVARFLDVKQLNPPMHKLAVNKLYSETNAMFERAFALEEPSLSSPEGEPRTKEKELVHPFFKEIKMLRCRLYSSLVYRIREMEMQHISVKLDERKARRLNEEMHELQEMVCEVIEPKSELLEPAFKENMWAQELREILEAPTLVVEESGGDSDQGLFDVEETM
+>sp|Q9BQI6|SLF1_HUMAN SMC5-SMC6 complex localization factor protein 1 OS=Homo sapiens OX=9606 GN=SLF1 PE=1 SV=2
+MEDGTPKHIIQMTGFKMEEKEALVKLLLKLDCTFIKSEKYKNCTHLIAERLCKSEKFLAACAAGKWILTKDYIIHSAKSGRWLDETTYEWGYKIEKDSRYSPQMQSAPKRWREELKRTGAPGAFHRWKVVLLVRTDKRSDSLIRVLEAGKANVILPKSSPSGITHVIASNARIKAEKEKDNFKAPFYPIQYLGDFLLEKEIQNDEDSQTNSVWTEHSNEETNKDFRKDAGFLEMKGALRETMYRTQKEMQNHEDVNVGSILIQHHKKEKFSGSSKDLKFVKMRNTFGSHTYENQKEIKKKDEDIQRSYTLRRKRKKGKESNCKKGVEHEKIKSTLRRHIYNRDQKEMKNSIFAEYAKESKAMAIKTDVDVVEIKNTLRKHIYRAQAVRYNCIRIDKQPVYNVEVKNAEFPRGVLNLIESLIEGHFFKEAIEELSTLQAHYIPPVCVLHALLENVLQDNIDTFSGRYFHILSALLHLHPPWKSPAMSRYYLELFQCPTCMKGAWSLVEVLIRSCLFNESFCHQISENIGSKVLHLTLLKFFFNLIESEVQHLSQKLYDWSDSQNLKITGKAMLLEIFWSGSETSGLLTKPVNMLLEWTIYSHKEKFKSNDVFKHELAYLLAGILGAAIDYWIFLGLKMGRNVMRHMSDDLGSYVSLSCDDFSSQELEIFICSFSSSWLQMFVAEAVFKKLCLQSSGSVSSEPLSLQKMVYSYLPALGKTGVLGSGKIQVSKKIGQRPCFDSQRTLLMLNGTKQKQVEGLPELLDLNLAKCSSSLKKLKKKSEGELSCSKENCPSVVKKMNFHKTNLKGETALHRACINNQVEKLILLLSLPGIDINVKDNAGWTPLHEACNYGNTVCVQEILQRCPEVDLLTQVDGVTPLHDALSNGHVEIGKLLLQHGGPVLLQQRNAKGELPLDYVVSPQIKEELFAITKIEDTVENFHAQAEKHFHYQQLEFGSFLLSRMLLNFCSIFDLSSEFILASKGLTHLNELLMACKSHKETTSVHTDWLLDLYAGNIKTLQKLPHILKELPENLKVCPGVHTEALMITLEMMCRSVMEFS
+>DECOY_sp|Q9BQI6|SLF1_HUMAN SMC5-SMC6 complex localization factor protein 1 OS=Homo sapiens OX=9606 GN=SLF1 PE=1 SV=2
+SFEMVSRCMMELTIMLAETHVGPCVKLNEPLEKLIHPLKQLTKINGAYLDLLWDTHVSTTEKHSKCAMLLENLHTLGKSALIFESSLDFISCFNLLMRSLLFSGFELQQYHFHKEAQAHFNEVTDEIKTIAFLEEKIQPSVVYDLPLEGKANRQQLLVPGGHQLLLKGIEVHGNSLADHLPTVGDVQTLLDVEPCRQLIEQVCVTNGYNCAEHLPTWGANDKVNIDIGPLSLLLILKEVQNNICARHLATEGKLNTKHFNMKKVVSPCNEKSCSLEGESKKKLKKLSSSCKALNLDLLEPLGEVQKQKTGNLMLLTRQSDFCPRQGIKKSVQIKGSGLVGTKGLAPLYSYVMKQLSLPESSVSGSSQLCLKKFVAEAVFMQLWSSSFSCIFIELEQSSFDDCSLSVYSGLDDSMHRMVNRGMKLGLFIWYDIAAGLIGALLYALEHKFVDNSKFKEKHSYITWELLMNVPKTLLGSTESGSWFIELLMAKGTIKLNQSDSWDYLKQSLHQVESEILNFFFKLLTLHLVKSGINESIQHCFSENFLCSRILVEVLSWAGKMCTPCQFLELYYRSMAPSKWPPHLHLLASLIHFYRGSFTDINDQLVNELLAHLVCVPPIYHAQLTSLEEIAEKFFHGEILSEILNLVGRPFEANKVEVNYVPQKDIRICNYRVAQARYIHKRLTNKIEVVDVDTKIAMAKSEKAYEAFISNKMEKQDRNYIHRRLTSKIKEHEVGKKCNSEKGKKRKRRLTYSRQIDEDKKKIEKQNEYTHSGFTNRMKVFKLDKSSGSFKEKKHHQILISGVNVDEHNQMEKQTRYMTERLAGKMELFGADKRFDKNTEENSHETWVSNTQSDEDNQIEKELLFDGLYQIPYFPAKFNDKEKEAKIRANSAIVHTIGSPSSKPLIVNAKGAELVRILSDSRKDTRVLLVVKWRHFAGPAGTRKLEERWRKPASQMQPSYRSDKEIKYGWEYTTEDLWRGSKASHIIYDKTLIWKGAACAALFKESKCLREAILHTCNKYKESKIFTCDLKLLLKVLAEKEEMKFGTMQIIHKPTGDEM
+>sp|Q8IW52|SLIK4_HUMAN SLIT and NTRK-like protein 4 OS=Homo sapiens OX=9606 GN=SLITRK4 PE=1 SV=1
+MFLWLFLILSALISSTNADSDISVEICNVCSCVSVENVLYVNCEKVSVYRPNQLKPPWSNFYHLNFQNNFLNILYPNTFLNFSHAVSLHLGNNKLQNIEGGAFLGLSALKQLHLNNNELKILRADTFLGIENLEYLQADYNLIKYIERGAFNKLHKLKVLILNDNLISFLPDNIFRFASLTHLDIRGNRIQKLPYIGVLEHIGRVVELQLEDNPWNCSCDLLPLKAWLENMPYNIYIGEAICETPSDLYGRLLKETNKQELCPMGTGSDFDVRILPPSQLENGYTTPNGHTTQTSLHRLVTKPPKTTNPSKISGIVAGKALSNRNLSQIVSYQTRVPPLTPCPAPCFCKTHPSDLGLSVNCQEKNIQSMSELIPKPLNAKKLHVNGNSIKDVDVSDFTDFEGLDLLHLGSNQITVIKGDVFHNLTNLRRLYLNGNQIERLYPEIFSGLHNLQYLYLEYNLIKEISAGTFDSMPNLQLLYLNNNLLKSLPVYIFSGAPLARLNLRNNKFMYLPVSGVLDQLQSLTQIDLEGNPWDCTCDLVALKLWVEKLSDGIVVKELKCETPVQFANIELKSLKNEILCPKLLNKPSAPFTSPAPAITFTTPLGPIRSPPGGPVPLSILILSILVVLILTVFVAFCLLVFVLRRNKKPTVKHEGLGNPDCGSMQLQLRKHDHKTNKKDGLSTEAFIPQTIEQMSKSHTCGLKESETGFMFSDPPGQKVVMRNVADKEKDLLHVDTRKRLSTIDELDELFPSRDSNVFIQNFLESKKEYNSIGVSGFEIRYPEKQPDKKSKKSLIGGNHSKIVVEQRKSEYFELKAKLQSSPDYLQVLEEQTALNKI
+>DECOY_sp|Q8IW52|SLIK4_HUMAN SLIT and NTRK-like protein 4 OS=Homo sapiens OX=9606 GN=SLITRK4 PE=1 SV=1
+IKNLATQEELVQLYDPSSQLKAKLEFYESKRQEVVIKSHNGGILSKKSKKDPQKEPYRIEFGSVGISNYEKKSELFNQIFVNSDRSPFLEDLEDITSLRKRTDVHLLDKEKDAVNRMVVKQGPPDSFMFGTESEKLGCTHSKSMQEITQPIFAETSLGDKKNTKHDHKRLQLQMSGCDPNGLGEHKVTPKKNRRLVFVLLCFAVFVTLILVVLISLILISLPVPGGPPSRIPGLPTTFTIAPAPSTFPASPKNLLKPCLIENKLSKLEINAFQVPTECKLEKVVIGDSLKEVWLKLAVLDCTCDWPNGELDIQTLSQLQDLVGSVPLYMFKNNRLNLRALPAGSFIYVPLSKLLNNNLYLLQLNPMSDFTGASIEKILNYELYLYQLNHLGSFIEPYLREIQNGNLYLRRLNTLNHFVDGKIVTIQNSGLHLLDLGEFDTFDSVDVDKISNGNVHLKKANLPKPILESMSQINKEQCNVSLGLDSPHTKCFCPAPCPTLPPVRTQYSVIQSLNRNSLAKGAVIGSIKSPNTTKPPKTVLRHLSTQTTHGNPTTYGNELQSPPLIRVDFDSGTGMPCLEQKNTEKLLRGYLDSPTECIAEGIYINYPMNELWAKLPLLDCSCNWPNDELQLEVVRGIHELVGIYPLKQIRNGRIDLHTLSAFRFINDPLFSILNDNLILVKLKHLKNFAGREIYKILNYDAQLYELNEIGLFTDARLIKLENNNLHLQKLASLGLFAGGEINQLKNNGLHLSVAHSFNLFTNPYLINLFNNQFNLHYFNSWPPKLQNPRYVSVKECNVYLVNEVSVCSCVNCIEVSIDSDANTSSILASLILFLWLFM
+>sp|Q9H5Y7|SLIK6_HUMAN SLIT and NTRK-like protein 6 OS=Homo sapiens OX=9606 GN=SLITRK6 PE=2 SV=3
+MKLWIHLFYSSLLACISLHSQTPVLSSRGSCDSLCNCEEKDGTMLINCEAKGIKMVSEISVPPSRPFQLSLLNNGLTMLHTNDFSGLTNAISIHLGFNNIADIEIGAFNGLGLLKQLHINHNSLEILKEDTFHGLENLEFLQADNNFITVIEPSAFSKLNRLKVLILNDNAIESLPPNIFRFVPLTHLDLRGNQLQTLPYVGFLEHIGRILDLQLEDNKWACNCDLLQLKTWLENMPPQSIIGDVVCNSPPFFKGSILSRLKKESICPTPPVYEEHEDPSGSLHLAATSSINDSRMSTKTTSILKLPTKAPGLIPYITKPSTQLPGPYCPIPCNCKVLSPSGLLIHCQERNIESLSDLRPPPQNPRKLILAGNIIHSLMKSDLVEYFTLEMLHLGNNRIEVLEEGSFMNLTRLQKLYLNGNHLTKLSKGMFLGLHNLEYLYLEYNAIKEILPGTFNPMPKLKVLYLNNNLLQVLPPHIFSGVPLTKVNLKTNQFTHLPVSNILDDLDLLTQIDLEDNPWDCSCDLVGLQQWIQKLSKNTVTDDILCTSPGHLDKKELKALNSEILCPGLVNNPSMPTQTSYLMVTTPATTTNTADTILRSLTDAVPLSVLILGLLIMFITIVFCAAGIVVLVLHRRRRYKKKQVDEQMRDNSPVHLQYSMYGHKTTHHTTERPSASLYEQHMVSPMVHVYRSPSFGPKHLEEEEERNEKEGSDAKHLQRSLLEQENHSPLTGSNMKYKTTNQSTEFLSFQDASSLYRNILEKERELQQLGITEYLRKNIAQLQPDMEAHYPGAHEELKLMETLMYSRPRKVLVEQTKNEYFELKANLHAEPDYLEVLEQQT
+>DECOY_sp|Q9H5Y7|SLIK6_HUMAN SLIT and NTRK-like protein 6 OS=Homo sapiens OX=9606 GN=SLITRK6 PE=2 SV=3
+TQQELVELYDPEAHLNAKLEFYENKTQEVLVKRPRSYMLTEMLKLEEHAGPYHAEMDPQLQAINKRLYETIGLQQLEREKELINRYLSSADQFSLFETSQNTTKYKMNSGTLPSHNEQELLSRQLHKADSGEKENREEEEELHKPGFSPSRYVHVMPSVMHQEYLSASPRETTHHTTKHGYMSYQLHVPSNDRMQEDVQKKKYRRRRHLVLVVIGAACFVITIFMILLGLILVSLPVADTLSRLITDATNTTTAPTTVMLYSTQTPMSPNNVLGPCLIESNLAKLEKKDLHGPSTCLIDDTVTNKSLKQIWQQLGVLDCSCDWPNDELDIQTLLDLDDLINSVPLHTFQNTKLNVKTLPVGSFIHPPLVQLLNNNLYLVKLKPMPNFTGPLIEKIANYELYLYELNHLGLFMGKSLKTLHNGNLYLKQLRTLNMFSGEELVEIRNNGLHLMELTFYEVLDSKMLSHIINGALILKRPNQPPPRLDSLSEINREQCHILLGSPSLVKCNCPIPCYPGPLQTSPKTIYPILGPAKTPLKLISTTKTSMRSDNISSTAALHLSGSPDEHEEYVPPTPCISEKKLRSLISGKFFPPSNCVVDGIISQPPMNELWTKLQLLDCNCAWKNDELQLDLIRGIHELFGVYPLTQLQNGRLDLHTLPVFRFINPPLSEIANDNLILVKLRNLKSFASPEIVTIFNNDAQLFELNELGHFTDEKLIELSNHNIHLQKLLGLGNFAGIEIDAINNFGLHISIANTLGSFDNTHLMTLGNNLLSLQFPRSPPVSIESVMKIGKAECNILMTGDKEECNCLSDCSGRSSLVPTQSHLSICALLSSYFLHIWLKM
+>sp|O43541|SMAD6_HUMAN Mothers against decapentaplegic homolog 6 OS=Homo sapiens OX=9606 GN=SMAD6 PE=1 SV=2
+MFRSKRSGLVRRLWRSRVVPDREEGGSGGGGGGDEDGSLGSRAEPAPRAREGGGCGRSEVRPVAPRRPRDAVGQRGAQGAGRRRRAGGPPRPMSEPGAGAGSSLLDVAEPGGPGWLPESDCETVTCCLFSERDAAGAPRDASDPLAGAALEPAGGGRSREARSRLLLLEQELKTVTYSLLKRLKERSLDTLLEAVESRGGVPGGCVLVPRADLRLGGQPAPPQLLLGRLFRWPDLQHAVELKPLCGCHSFAAAADGPTVCCNPYHFSRLCGPESPPPPYSRLSPRDEYKPLDLSDSTLSYTETEATNSLITAPGEFSDASMSPDATKPSHWCSVAYWEHRTRVGRLYAVYDQAVSIFYDLPQGSGFCLGQLNLEQRSESVRRTRSKIGFGILLSKEPDGVWAYNRGEHPIFVNSPTLDAPGGRALVVRKVPPGYSIKVFDFERSGLQHAPEPDAADGPYDPNSVRISFAKGWGPCYSRQFITSCPCWLEILLNNPR
+>DECOY_sp|O43541|SMAD6_HUMAN Mothers against decapentaplegic homolog 6 OS=Homo sapiens OX=9606 GN=SMAD6 PE=1 SV=2
+RPNNLLIELWCPCSTIFQRSYCPGWGKAFSIRVSNPDYPGDAADPEPAHQLGSREFDFVKISYGPPVKRVVLARGGPADLTPSNVFIPHEGRNYAWVGDPEKSLLIGFGIKSRTRRVSESRQELNLQGLCFGSGQPLDYFISVAQDYVAYLRGVRTRHEWYAVSCWHSPKTADPSMSADSFEGPATILSNTAETETYSLTSDSLDLPKYEDRPSLRSYPPPPSEPGCLRSFHYPNCCVTPGDAAAAFSHCGCLPKLEVAHQLDPWRFLRGLLLQPPAPQGGLRLDARPVLVCGGPVGGRSEVAELLTDLSREKLRKLLSYTVTKLEQELLLLRSRAERSRGGGAPELAAGALPDSADRPAGAADRESFLCCTVTECDSEPLWGPGGPEAVDLLSSGAGAGPESMPRPPGGARRRRGAGQAGRQGVADRPRRPAVPRVESRGCGGGERARPAPEARSGLSGDEDGGGGGGSGGEERDPVVRSRWLRRVLGSRKSRFM
+>sp|O15105|SMAD7_HUMAN Mothers against decapentaplegic homolog 7 OS=Homo sapiens OX=9606 GN=SMAD7 PE=1 SV=1
+MFRTKRSALVRRLWRSRAPGGEDEEEGAGGGGGGGELRGEGATDSRAHGAGGGGPGRAGCCLGKAVRGAKGHHHPHPPAAGAGAAGGAEADLKALTHSVLKKLKERQLELLLQAVESRGGTRTACLLLPGRLDCRLGPGAPAGAQPAQPPSSYSLPLLLCKVFRWPDLRHSSEVKRLCCCESYGKINPELVCCNPHHLSRLCELESPPPPYSRYPMDFLKPTADCPDAVPSSAETGGTNYLAPGGLSDSQLLLEPGDRSHWCVVAYWEEKTRVGRLYCVQEPSLDIFYDLPQGNGFCLGQLNSDNKSQLVQKVRSKIGCGIQLTREVDGVWVYNRSSYPIFIKSATLDNPDSRTLLVHKVFPGFSIKAFDYEKAYSLQRPNDHEFMQQPWTGFTVQISFVKGWGQCYTRQFISSCPCWLEVIFNSR
+>DECOY_sp|O15105|SMAD7_HUMAN Mothers against decapentaplegic homolog 7 OS=Homo sapiens OX=9606 GN=SMAD7 PE=1 SV=1
+RSNFIVELWCPCSSIFQRTYCQGWGKVFSIQVTFGTWPQQMFEHDNPRQLSYAKEYDFAKISFGPFVKHVLLTRSDPNDLTASKIFIPYSSRNYVWVGDVERTLQIGCGIKSRVKQVLQSKNDSNLQGLCFGNGQPLDYFIDLSPEQVCYLRGVRTKEEWYAVVCWHSRDGPELLLQSDSLGGPALYNTGGTEASSPVADPCDATPKLFDMPYRSYPPPPSELECLRSLHHPNCCVLEPNIKGYSECCCLRKVESSHRLDPWRFVKCLLLPLSYSSPPQAPQAGAPAGPGLRCDLRGPLLLCATRTGGRSEVAQLLLELQREKLKKLVSHTLAKLDAEAGGAAGAGAAPPHPHHHGKAGRVAKGLCCGARGPGGGGAGHARSDTAGEGRLEGGGGGGGAGEEEDEGGPARSRWLRRVLASRKTRFM
+>sp|Q8IYB5|SMAP1_HUMAN Stromal membrane-associated protein 1 OS=Homo sapiens OX=9606 GN=SMAP1 PE=1 SV=2
+MATRSCREKAQKLNEQHQLILSKLLREEDNKYCADCEAKGPRWASWNIGVFICIRCAGIHRNLGVHISRVKSVNLDQWTAEQIQCMQDMGNTKARLLYEANLPENFRRPQTDQAVEFFIRDKYEKKKYYDKNAIAITNISSSDAPLQPLVSSPSLQAAVDKNKLEKEKEKKKEEKKREKEPEKPAKPLTAEKLQKKDQQLEPKKSTSPKKAAEPTVDLLGLDGPAVAPVTNGNTTVPPLNDDLDIFGPMISNPLPATVMPPAQGTPSAPAAATLSTVTSGDLDLFTEQTTKSEEVAKKQLSKDSILSLYGTGTIQQQSTPGVFMGPTNIPFTSQAPAAFQGFPSMGVPVPAAPGLIGNVMGQSPSMMVGMPMPNGFMGNAQTGVMPLPQNVVGPQGGMVGQMGAPQSKFGLPQAQQPQWSLSQMNQQMAGMSISSATPTAGFGQPSSTTAGWSGSSSGQTLSTQLWK
+>DECOY_sp|Q8IYB5|SMAP1_HUMAN Stromal membrane-associated protein 1 OS=Homo sapiens OX=9606 GN=SMAP1 PE=1 SV=2
+KWLQTSLTQGSSSGSWGATTSSPQGFGATPTASSISMGAMQQNMQSLSWQPQQAQPLGFKSQPAGMQGVMGGQPGVVNQPLPMVGTQANGMFGNPMPMGVMMSPSQGMVNGILGPAAPVPVGMSPFGQFAAPAQSTFPINTPGMFVGPTSQQQITGTGYLSLISDKSLQKKAVEESKTTQETFLDLDGSTVTSLTAAAPASPTGQAPPMVTAPLPNSIMPGFIDLDDNLPPVTTNGNTVPAVAPGDLGLLDVTPEAAKKPSTSKKPELQQDKKQLKEATLPKAPKEPEKERKKEEKKKEKEKELKNKDVAAQLSPSSVLPQLPADSSSINTIAIANKDYYKKKEYKDRIFFEVAQDTQPRRFNEPLNAEYLLRAKTNGMDQMCQIQEATWQDLNVSKVRSIHVGLNRHIGACRICIFVGINWSAWRPGKAECDACYKNDEERLLKSLILQHQENLKQAKERCSRTAM
+>sp|Q147U7|SMCO1_HUMAN Single-pass membrane and coiled-coil domain-containing protein 1 OS=Homo sapiens OX=9606 GN=SMCO1 PE=2 SV=2
+MNNETTTLISLKEAMKRVDHKLQALETQFKELDFTKDNLMQKFEHHSKALASQAAQDEMWTAVRALQLTSMELNILYSYVIEVLICLHTRVLEKLPDLVRGLPTLASVLRRKVKNKRVRVVWESILEECGLQEGDITALCTFFIARGNKAEHYTAKVRQMYIRDVTFLITNMVKNQALQDSLLRAVQVIEKGKAVRTPEKQKSSLEELIPSVKN
+>DECOY_sp|Q147U7|SMCO1_HUMAN Single-pass membrane and coiled-coil domain-containing protein 1 OS=Homo sapiens OX=9606 GN=SMCO1 PE=2 SV=2
+NKVSPILEELSSKQKEPTRVAKGKEIVQVARLLSDQLAQNKVMNTILFTVDRIYMQRVKATYHEAKNGRAIFFTCLATIDGEQLGCEELISEWVVRVRKNKVKRRLVSALTPLGRVLDPLKELVRTHLCILVEIVYSYLINLEMSTLQLARVATWMEDQAAQSALAKSHHEFKQMLNDKTFDLEKFQTELAQLKHDVRKMAEKLSILTTTENNM
+>sp|Q8TEV8|SMCR5_HUMAN Smith-Magenis syndrome chromosomal region candidate gene 5 protein OS=Homo sapiens OX=9606 GN=SMCR5 PE=2 SV=1
+MRRCLRVKTRRGQLGLASSCFEQHSCFSPRVNRILSAVQNTLCTGPSSQAPPQPPQASPPAAADHSRTPSLLASSHSASGGESLFQLYIASLAWPQNCCVLESCRRIPLGGLSSMENRRPLLRKGRLLRGQIHHSQTNEL
+>DECOY_sp|Q8TEV8|SMCR5_HUMAN Smith-Magenis syndrome chromosomal region candidate gene 5 protein OS=Homo sapiens OX=9606 GN=SMCR5 PE=2 SV=1
+LENTQSHHIQGRLLRGKRLLPRRNEMSSLGGLPIRRCSELVCCNQPWALSAIYLQFLSEGGSASHSSALLSPTRSHDAAAPPSAQPPQPPAQSSPGTCLTNQVASLIRNVRPSFCSHQEFCSSALGLQGRRTKVRLCRRM
+>sp|Q8TEV9|SMCR8_HUMAN Guanine nucleotide exchange protein SMCR8 OS=Homo sapiens OX=9606 GN=SMCR8 PE=1 SV=2
+MISAPDVVAFTKEEEYEEEPYNEPALPEEYSVPLFPFASQGANPWSKLSGAKFSRDFILISEFSEQVGPQPLLTIPNDTKVFGTFDLNYFSLRIMSVDYQASFVGHPPGSAYPKLNFVEDSKVVLGDSKEGAFAYVHHLTLYDLEARGFVRPFCMAYISADQHKIMQQFQELSAEFSRASECLKTGNRKAFAGELEKKLKDLDYTRTVLHTETEIQKKANDKGFYSSQAIEKANELASVEKSIIEHQDLLKQIRSYPHRKLKGHDLCPGEMEHIQDQASQASTTSNPDESADTDLYTCRPAYTPKLIKAKSTKCFDKKLKTLEELCDTEYFTQTLAQLSHIEHMFRGDLCYLLTSQIDRALLKQQHITNFLFEDFVEVDDRMVEKQESIPSKPSQDRPPSSSLEECPIPKVLISVGSYKSSVESVLIKMEQELGDEEYKEVEVTELSSFDPQENLDYLDMDMKGSISSGESIEVLGTEKSTSVLSKSDSQASLTVPLSPQVVRSKAVSHRTISEDSIEVLSTCPSEALIPDDFKASYPSAINEEESYPDGNEGAIRFQASISPPELGETEEGSIENTPSQIDSSCCIGKESDGQLVLPSTPAHTHSDEDGVVSSPPQRHRQKDQGFRVDFSVENANPSSRDNSCEGFPAYELDPSHLLASRDISKTSLDNYSDTTSYVSSVASTSSDRIPSAYPAGLSSDRHKKRAGQNALKFIRQYPFAHPAIYSLLSGRTLVVLGEDEAIVRKLVTALAIFVPSYGCYAKPVKHWASSPLHIMDFQKWKLIGLQRVASPAGAGTLHALSRYSRYTSILDLDNKTLRCPLYRGTLVPRLADHRTQIKRGSTYYLHVQSMLTQLCSKAFLYTFCHHLHLPTHDKETEELVASRQMSFLKLTLGLVNEDVRVVQYLAELLKLHYMQESPGTSHPMLRFDYVPSFLYKI
+>DECOY_sp|Q8TEV9|SMCR8_HUMAN Guanine nucleotide exchange protein SMCR8 OS=Homo sapiens OX=9606 GN=SMCR8 PE=1 SV=2
+IKYLFSPVYDFRLMPHSTGPSEQMYHLKLLEALYQVVRVDENVLGLTLKLFSMQRSAVLEETEKDHTPLHLHHCFTYLFAKSCLQTLMSQVHLYYTSGRKIQTRHDALRPVLTGRYLPCRLTKNDLDLISTYRSYRSLAHLTGAGAPSAVRQLGILKWKQFDMIHLPSSAWHKVPKAYCGYSPVFIALATVLKRVIAEDEGLVVLTRGSLLSYIAPHAFPYQRIFKLANQGARKKHRDSSLGAPYASPIRDSSTSAVSSVYSTTDSYNDLSTKSIDRSALLHSPDLEYAPFGECSNDRSSPNANEVSFDVRFGQDKQRHRQPPSSVVGDEDSHTHAPTSPLVLQGDSEKGICCSSDIQSPTNEISGEETEGLEPPSISAQFRIAGENGDPYSEEENIASPYSAKFDDPILAESPCTSLVEISDESITRHSVAKSRVVQPSLPVTLSAQSDSKSLVSTSKETGLVEISEGSSISGKMDMDLYDLNEQPDFSSLETVEVEKYEEDGLEQEMKILVSEVSSKYSGVSILVKPIPCEELSSSPPRDQSPKSPISEQKEVMRDDVEVFDEFLFNTIHQQKLLARDIQSTLLYCLDGRFMHEIHSLQALTQTFYETDCLEELTKLKKDFCKTSKAKILKPTYAPRCTYLDTDASEDPNSTTSAQSAQDQIHEMEGPCLDHGKLKRHPYSRIQKLLDQHEIISKEVSALENAKEIAQSSYFGKDNAKKQIETETHLVTRTYDLDKLKKELEGAFAKRNGTKLCESARSFEASLEQFQQMIKHQDASIYAMCFPRVFGRAELDYLTLHHVYAFAGEKSDGLVVKSDEVFNLKPYASGPPHGVFSAQYDVSMIRLSFYNLDFTGFVKTDNPITLLPQPGVQESFESILIFDRSFKAGSLKSWPNAGQSAFPFLPVSYEEPLAPENYPEEEYEEEKTFAVVDPASIM
+>sp|Q92540|SMG7_HUMAN Protein SMG7 OS=Homo sapiens OX=9606 GN=SMG7 PE=1 SV=2
+MSLQSAQYLRQAEVLKADMTDSKLGPAEVWTSRQALQDLYQKMLVTDLEYALDKKVEQDLWNHAFKNQITTLQGQAKNRANPNRSEVQANLSLFLEAASGFYTQLLQELCTVFNVDLPCRVKSSQLGIISNKQTHTSAIVKPQSSSCSYICQHCLVHLGDIARYRNQTSQAESYYRHAAQLVPSNGQPYNQLAILASSKGDHLTTIFYYCRSIAVKFPFPAASTNLQKALSKALESRDEVKTKWGVSDFIKAFIKFHGHVYLSKSLEKLSPLREKLEEQFKRLLFQKAFNSQQLVHVTVINLFQLHHLRDFSNETEQHTYSQDEQLCWTQLLALFMSFLGILCKCPLQNESQEESYNAYPLPAVKVSMDWLRLRPRVFQEAVVDERQYIWPWLISLLNSFHPHEEDLSSISATPLPEEFELQGFLALRPSFRNLDFSKGHQGITGDKEGQQRRIRQQRLISIGKWIADNQPRLIQCENEVGKLLFITEIPELILEDPSEAKENLILQETSVIESLAADGSPGLKSVLSTSRNLSNNCDTGEKPVVTFKENIKTREVNRDQGRSFPPKEVRRDYSKGITVTKNDGKKDNNKRKTETKKCTLEKLQETGKQNVAVQVKSQTELRKTPVSEARKTPVTQTPTQASNSQFIPIHHPGAFPPLPSRPGFPPPTYVIPPPVAFSMGSGYTFPAGVSVPGTFLQPTAHSPAGNQVQAGKQSHIPYSQQRPSGPGPMNQGPQQSQPPSQQPLTSLPAQPTAQSTSQLQVQALTQQQQSPTKAVPALGKSPPHHSGFQQYQQADASKQLWNPPQVQGPLGKIMPVKQPYYLQTQDPIKLFEPSLQPPVMQQQPLEKKMKPFPMEPYNHNPSEVKVPEFYWDSSYSMADNRSVMAQQANIDRRGKRSPGVFRPEQDPVPRMPFEKSLLEKPSELMSHSSSFLSLTGFSLNQERYPNNSMFNEVYGKNLTSSSKAELSPSMAPQETSLYSLFEGTPWSPSLPASSDHSTPASQSPHSSNPSSLPSSPPTHNHNSVPFSNFGPIGTPDNRDRRTADRWKTDKPAMGGFGIDYLSATSSSESSWHQASTPSGTWTGHGPSMEDSSAVLMESLKSIWSSSMMHPGPSALEQLLMQQKQKQQRGQGTMNPPH
+>DECOY_sp|Q92540|SMG7_HUMAN Protein SMG7 OS=Homo sapiens OX=9606 GN=SMG7 PE=1 SV=2
+HPPNMTGQGRQQKQKQQMLLQELASPGPHMMSSSWISKLSEMLVASSDEMSPGHGTWTGSPTSAQHWSSESSSTASLYDIGFGGMAPKDTKWRDATRRDRNDPTGIPGFNSFPVSNHNHTPPSSPLSSPNSSHPSQSAPTSHDSSAPLSPSWPTGEFLSYLSTEQPAMSPSLEAKSSSTLNKGYVENFMSNNPYREQNLSFGTLSLFSSSHSMLESPKELLSKEFPMRPVPDQEPRFVGPSRKGRRDINAQQAMVSRNDAMSYSSDWYFEPVKVESPNHNYPEMPFPKMKKELPQQQMVPPQLSPEFLKIPDQTQLYYPQKVPMIKGLPGQVQPPNWLQKSADAQQYQQFGSHHPPSKGLAPVAKTPSQQQQTLAQVQLQSTSQATPQAPLSTLPQQSPPQSQQPGQNMPGPGSPRQQSYPIHSQKGAQVQNGAPSHATPQLFTGPVSVGAPFTYGSGMSFAVPPPIVYTPPPFGPRSPLPPFAGPHHIPIFQSNSAQTPTQTVPTKRAESVPTKRLETQSKVQVAVNQKGTEQLKELTCKKTETKRKNNDKKGDNKTVTIGKSYDRRVEKPPFSRGQDRNVERTKINEKFTVVPKEGTDCNNSLNRSTSLVSKLGPSGDAALSEIVSTEQLILNEKAESPDELILEPIETIFLLKGVENECQILRPQNDAIWKGISILRQQRIRRQQGEKDGTIGQHGKSFDLNRFSPRLALFGQLEFEEPLPTASISSLDEEHPHFSNLLSILWPWIYQREDVVAEQFVRPRLRLWDMSVKVAPLPYANYSEEQSENQLPCKCLIGLFSMFLALLQTWCLQEDQSYTHQETENSFDRLHHLQFLNIVTVHVLQQSNFAKQFLLRKFQEELKERLPSLKELSKSLYVHGHFKIFAKIFDSVGWKTKVEDRSELAKSLAKQLNTSAAPFPFKVAISRCYYFITTLHDGKSSALIALQNYPQGNSPVLQAAHRYYSEAQSTQNRYRAIDGLHVLCHQCIYSCSSSQPKVIASTHTQKNSIIGLQSSKVRCPLDVNFVTCLEQLLQTYFGSAAELFLSLNAQVESRNPNARNKAQGQLTTIQNKFAHNWLDQEVKKDLAYELDTVLMKQYLDQLAQRSTWVEAPGLKSDTMDAKLVEAQRLYQASQLSM
+>sp|Q99835|SMO_HUMAN Smoothened homolog OS=Homo sapiens OX=9606 GN=SMO PE=1 SV=1
+MAAARPARGPELPLLGLLLLLLLGDPGRGAASSGNATGPGPRSAGGSARRSAAVTGPPPPLSHCGRAAPCEPLRYNVCLGSVLPYGATSTLLAGDSDSQEEAHGKLVLWSGLRNAPRCWAVIQPLLCAVYMPKCENDRVELPSRTLCQATRGPCAIVERERGWPDFLRCTPDRFPEGCTNEVQNIKFNSSGQCEVPLVRTDNPKSWYEDVEGCGIQCQNPLFTEAEHQDMHSYIAAFGAVTGLCTLFTLATFVADWRNSNRYPAVILFYVNACFFVGSIGWLAQFMDGARREIVCRADGTMRLGEPTSNETLSCVIIFVIVYYALMAGVVWFVVLTYAWHTSFKALGTTYQPLSGKTSYFHLLTWSLPFVLTVAILAVAQVDGDSVSGICFVGYKNYRYRAGFVLAPIGLVLIVGGYFLIRGVMTLFSIKSNHPGLLSEKAASKINETMLRLGIFGFLAFGFVLITFSCHFYDFFNQAEWERSFRDYVLCQANVTIGLPTKQPIPDCEIKNRPSLLVEKINLFAMFGTGIAMSTWVWTKATLLIWRRTWCRLTGQSDDEPKRIKKSKMIAKAFSKRHELLQNPGQELSFSMHTVSHDGPVAGLAFDLNEPSADVSSAWAQHVTKMVARRGAILPQDISVTPVATPVPPEEQANLWLVEAEISPELQKRLGRKKKRRKRKKEVCPLAPPPELHPPAPAPSTIPRLPQLPRQKCLVAAGAWGAGDSCRQGAWTLVSNPFCPEPSPPQDPFLPSAPAPVAWAHGRRQGLGPIHSRTNLMDTELMDADSDF
+>DECOY_sp|Q99835|SMO_HUMAN Smoothened homolog OS=Homo sapiens OX=9606 GN=SMO PE=1 SV=1
+FDSDADMLETDMLNTRSHIPGLGQRRGHAWAVPAPASPLFPDQPPSPEPCFPNSVLTWAGQRCSDGAGWAGAAVLCKQRPLQPLRPITSPAPAPPHLEPPPALPCVEKKRKRRKKKRGLRKQLEPSIEAEVLWLNAQEEPPVPTAVPTVSIDQPLIAGRRAVMKTVHQAWASSVDASPENLDFALGAVPGDHSVTHMSFSLEQGPNQLLEHRKSFAKAIMKSKKIRKPEDDSQGTLRCWTRRWILLTAKTWVWTSMAIGTGFMAFLNIKEVLLSPRNKIECDPIPQKTPLGITVNAQCLVYDRFSREWEAQNFFDYFHCSFTILVFGFALFGFIGLRLMTENIKSAAKESLLGPHNSKISFLTMVGRILFYGGVILVLGIPALVFGARYRYNKYGVFCIGSVSDGDVQAVALIAVTLVFPLSWTLLHFYSTKGSLPQYTTGLAKFSTHWAYTLVVFWVVGAMLAYYVIVFIIVCSLTENSTPEGLRMTGDARCVIERRAGDMFQALWGISGVFFCANVYFLIVAPYRNSNRWDAVFTALTFLTCLGTVAGFAAIYSHMDQHEAETFLPNQCQIGCGEVDEYWSKPNDTRVLPVECQGSSNFKINQVENTCGEPFRDPTCRLFDPWGREREVIACPGRTAQCLTRSPLEVRDNECKPMYVACLLPQIVAWCRPANRLGSWLVLKGHAEEQSDSDGALLTSTAGYPLVSGLCVNYRLPECPAARGCHSLPPPPGTVAASRRASGGASRPGPGTANGSSAAGRGPDGLLLLLLLGLLPLEPGRAPRAAAM
+>sp|Q8TAQ2|SMRC2_HUMAN SWI/SNF complex subunit SMARCC2 OS=Homo sapiens OX=9606 GN=SMARCC2 PE=1 SV=1
+MAVRKKDGGPNVKYYEAADTVTQFDNVRLWLGKNYKKYIQAEPPTNKSLSSLVVQLLQFQEEVFGKHVSNAPLTKLPIKCFLDFKAGGSLCHILAAAYKFKSDQGWRRYDFQNPSRMDRNVEMFMTIEKSLVQNNCLSRPNIFLCPEIEPKLLGKLKDIIKRHQGTVTEDKNNASHVVYPVPGNLEEEEWVRPVMKRDKQVLLHWGYYPDSYDTWIPASEIEASVEDAPTPEKPRKVHAKWILDTDTFNEWMNEEDYEVNDDKNPVSRRKKISAKTLTDEVNSPDSDRRDKKGGNYKKRKRSPSPSPTPEAKKKNAKKGPSTPYTKSKRGHREEEQEDLTKDMDEPSPVPNVEEVTLPKTVNTKKDSESAPVKGGTMTDLDEQEDESMETTGKDEDENSTGNKGEQTKNPDLHEDNVTEQTHHIIIPSYAAWFDYNSVHAIERRALPEFFNGKNKSKTPEIYLAYRNFMIDTYRLNPQEYLTSTACRRNLAGDVCAIMRVHAFLEQWGLINYQVDAESRPTPMGPPPTSHFHVLADTPSGLVPLQPKTPQQTSASQQMLNFPDKGKEKPTDMQNFGLRTDMYTKKNVPSKSKAAASATREWTEQETLLLLEALEMYKDDWNKVSEHVGSRTQDECILHFLRLPIEDPYLEDSEASLGPLAYQPIPFSQSGNPVMSTVAFLASVVDPRVASAAAKSALEEFSKMKEEVPTALVEAHVRKVEEAAKVTGKADPAFGLESSGIAGTTSDEPERIEESGNDEARVEGQATDEKKEPKEPREGGGAIEEEAKEKTSEAPKKDEEKGKEGDSEKESEKSDGDPIVDPEKEKEPKEGQEEVLKEVVESEGERKTKVERDIGEGNLSTAAAAALAAAAVKAKHLAAVEERKIKSLVALLVETQMKKLEIKLRHFEELETIMDREREALEYQRQQLLADRQAFHMEQLKYAEMRARQQHFQQMHQQQQQPPPALPPGSQPIPPTGAAGPPAVHGLAVAPASVVPAPAGSGAPPGSLGPSEQIGQAGSTAGPQQQQPAGAPQPGAVPPGVPPPGPHGPSPFPNQQTPPSMMPGAVPGSGHPGVAGNAPLGLPFGMPPPPPPPAPSIIPFGSLADSISINLPAPPNLHGHHHHLPFAPGTLPPPNLPVSMANPLHPNLPATTTMPSSLPLGPGLGSAAAQSPAIVAAVQGNLLPSASPLPDPGTPLPPDPTAPSPGTVTPVPPPQ
+>DECOY_sp|Q8TAQ2|SMRC2_HUMAN SWI/SNF complex subunit SMARCC2 OS=Homo sapiens OX=9606 GN=SMARCC2 PE=1 SV=1
+QPPPVPTVTGPSPATPDPPLPTGPDPLPSASPLLNGQVAAVIAPSQAAASGLGPGLPLSSPMTTTAPLNPHLPNAMSVPLNPPPLTGPAFPLHHHHGHLNPPAPLNISISDALSGFPIISPAPPPPPPPMGFPLGLPANGAVGPHGSGPVAGPMMSPPTQQNPFPSPGHPGPPPVGPPVAGPQPAGAPQQQQPGATSGAQGIQESPGLSGPPAGSGAPAPVVSAPAVALGHVAPPGAAGTPPIPQSGPPLAPPPQQQQQHMQQFHQQRARMEAYKLQEMHFAQRDALLQQRQYELAERERDMITELEEFHRLKIELKKMQTEVLLAVLSKIKREEVAALHKAKVAAAALAAAAATSLNGEGIDREVKTKREGESEVVEKLVEEQGEKPEKEKEPDVIPDGDSKESEKESDGEKGKEEDKKPAESTKEKAEEEIAGGGERPEKPEKKEDTAQGEVRAEDNGSEEIREPEDSTTGAIGSSELGFAPDAKGTVKAAEEVKRVHAEVLATPVEEKMKSFEELASKAAASAVRPDVVSALFAVTSMVPNGSQSFPIPQYALPGLSAESDELYPDEIPLRLFHLICEDQTRSGVHESVKNWDDKYMELAELLLLTEQETWERTASAAAKSKSPVNKKTYMDTRLGFNQMDTPKEKGKDPFNLMQQSASTQQPTKPQLPVLGSPTDALVHFHSTPPPGMPTPRSEADVQYNILGWQELFAHVRMIACVDGALNRRCATSTLYEQPNLRYTDIMFNRYALYIEPTKSKNKGNFFEPLARREIAHVSNYDFWAAYSPIIIHHTQETVNDEHLDPNKTQEGKNGTSNEDEDKGTTEMSEDEQEDLDTMTGGKVPASESDKKTNVTKPLTVEEVNPVPSPEDMDKTLDEQEEERHGRKSKTYPTSPGKKANKKKAEPTPSPSPSRKRKKYNGGKKDRRDSDPSNVEDTLTKASIKKRRSVPNKDDNVEYDEENMWENFTDTDLIWKAHVKRPKEPTPADEVSAEIESAPIWTDYSDPYYGWHLLVQKDRKMVPRVWEEEELNGPVPYVVHSANNKDETVTGQHRKIIDKLKGLLKPEIEPCLFINPRSLCNNQVLSKEITMFMEVNRDMRSPNQFDYRRWGQDSKFKYAAALIHCLSGGAKFDLFCKIPLKTLPANSVHKGFVEEQFQLLQVVLSSLSKNTPPEAQIYKKYNKGLWLRVNDFQTVTDAAEYYKVNPGGDKKRVAM
+>sp|Q6STE5|SMRD3_HUMAN SWI/SNF-related matrix-associated actin-dependent regulator of chromatin subfamily D member 3 OS=Homo sapiens OX=9606 GN=SMARCD3 PE=1 SV=1
+MAADEVAGGARKATKSKLFEFLVHGVRPGMPSGARMPHQGAPMGPPGSPYMGSPAVRPGLAPAGMEPARKRAAPPPGQSQAQSQGQPVPTAPARSRSAKRRKMADKILPQRIRELVPESQAYMDLLAFERKLDQTIMRKRVDIQEALKRPMKQKRKLRLYISNTFNPAKPDAEDSDGSIASWELRVEGKLLDDPSKQKRKFSSFFKSLVIELDKDLYGPDNHLVEWHRTPTTQETDGFQVKRPGDLSVRCTLLLMLDYQPPQFKLDPRLARLLGLHTQSRSAIVQALWQYVKTNRLQDSHDKEYINGDKYFQQIFDCPRLKFSEIPQRLTALLLPPDPIVINHVISVDPSDQKKTACYDIDVEVEEPLKGQMSSFLLSTANQQEISALDSKIHETIESINQLKIQRDFMLSFSRDPKGYVQDLLRSQSRDLKVMTDVAGNPEEERRAEFYHQPWSQEAVSRYFYCKIQQRRQELEQSLVVRNT
+>DECOY_sp|Q6STE5|SMRD3_HUMAN SWI/SNF-related matrix-associated actin-dependent regulator of chromatin subfamily D member 3 OS=Homo sapiens OX=9606 GN=SMARCD3 PE=1 SV=1
+TNRVVLSQELEQRRQQIKCYFYRSVAEQSWPQHYFEARREEEPNGAVDTMVKLDRSQSRLLDQVYGKPDRSFSLMFDRQIKLQNISEITEHIKSDLASIEQQNATSLLFSSMQGKLPEEVEVDIDYCATKKQDSPDVSIVHNIVIPDPPLLLATLRQPIESFKLRPCDFIQQFYKDGNIYEKDHSDQLRNTKVYQWLAQVIASRSQTHLGLLRALRPDLKFQPPQYDLMLLLTCRVSLDGPRKVQFGDTEQTTPTRHWEVLHNDPGYLDKDLEIVLSKFFSSFKRKQKSPDDLLKGEVRLEWSAISGDSDEADPKAPNFTNSIYLRLKRKQKMPRKLAEQIDVRKRMITQDLKREFALLDMYAQSEPVLERIRQPLIKDAMKRRKASRSRAPATPVPQGQSQAQSQGPPPAARKRAPEMGAPALGPRVAPSGMYPSGPPGMPAGQHPMRAGSPMGPRVGHVLFEFLKSKTAKRAGGAVEDAAM
+>sp|Q9H7B4|SMYD3_HUMAN Histone-lysine N-methyltransferase SMYD3 OS=Homo sapiens OX=9606 GN=SMYD3 PE=1 SV=4
+MEPLKVEKFATAKRGNGLRAVTPLRPGELLFRSDPLAYTVCKGSRGVVCDRCLLGKEKLMRCSQCRVAKYCSAKCQKKAWPDHKRECKCLKSCKPRYPPDSVRLLGRVVFKLMDGAPSESEKLYSFYDLESNINKLTEDKKEGLRQLVMTFQHFMREEIQDASQLPPAFDLFEAFAKVICNSFTICNAEMQEVGVGLYPSISLLNHSCDPNCSIVFNGPHLLLRAVRDIEVGEELTICYLDMLMTSEERRKQLRDQYCFECDCFRCQTQDKDADMLTGDEQVWKEVQESLKKIEELKAHWKWEQVLAMCQAIISSNSERLPDINIYQLKVLDCAMDACINLGLLEEALFYGTRTMEPYRIFFPGSHPVRGVQVMKVGKLQLHQGMFPQAMKNLRLAFDIMRVTHGREHSLIEDLILLLEECDANIRAS
+>DECOY_sp|Q9H7B4|SMYD3_HUMAN Histone-lysine N-methyltransferase SMYD3 OS=Homo sapiens OX=9606 GN=SMYD3 PE=1 SV=4
+SARINADCEELLLILDEILSHERGHTVRMIDFALRLNKMAQPFMGQHLQLKGVKMVQVGRVPHSGPFFIRYPEMTRTGYFLAEELLGLNICADMACDLVKLQYINIDPLRESNSSIIAQCMALVQEWKWHAKLEEIKKLSEQVEKWVQEDGTLMDADKDQTQCRFCDCEFCYQDRLQKRREESTMLMDLYCITLEEGVEIDRVARLLLHPGNFVISCNPDCSHNLLSISPYLGVGVEQMEANCITFSNCIVKAFAEFLDFAPPLQSADQIEERMFHQFTMVLQRLGEKKDETLKNINSELDYFSYLKESESPAGDMLKFVVRGLLRVSDPPYRPKCSKLCKCERKHDPWAKKQCKASCYKAVRCQSCRMLKEKGLLCRDCVVGRSGKCVTYALPDSRFLLEGPRLPTVARLGNGRKATAFKEVKLPEM
+>sp|Q6IEE8|SN12L_HUMAN Schlafen family member 12-like OS=Homo sapiens OX=9606 GN=SLFN12L PE=2 SV=4
+MDLARKEFLRGNGLAAGKMNISIDLDTNYAELVLNVGRVTLGENNRKKMKDCQLRKQQNENVSRAVCALLNSGGGVIKAEVENKGYSYKKDGIGLDLENSFSNMLPFVPNFLDFMQNGNYFHIFVKSWSLETSGPQIATLSSSLYKRDVTSAKVMNASAALEFLKDMEKTGGRAYLRPEFPAKRACVDVQEESNMEALAADFFNRTELGYKEKLTFTESTHVEIKNFSTEKLLQRITEILPQYVSAFANTDGGYLFVGLNEDKEVIGFKAEKSYLTKLEEVTKNSIGKLPVHHFCVEKGTINYLCKFLGVYDKGRLCGYVYALRVERFCCAVFAKKPDSWHVKDNRVKQLTEKEWIQFMVDSEPVCEELPSPASTSSPVSQSYPLREYINFKIQPLRYHLPGLSEKITCAPKTFCRNLFSQHEGLKQLICEEMGSVNKGSLIFSRSWSLDLGLQENHKVLCDALLISQDKPPVLYTFHMVQDEEFKDYSTQTAQTLKQKLAKIGGYTKKVCVMTKIFYLSPEGKTSCQYDLNSQVIYPESYYWTTAQTMKDLEKALSNILPKENQIFLFVCLFRFCLFVCWFVCFFLR
+>DECOY_sp|Q6IEE8|SN12L_HUMAN Schlafen family member 12-like OS=Homo sapiens OX=9606 GN=SLFN12L PE=2 SV=4
+RLFFCVFWCVFLCFRFLCVFLFIQNEKPLINSLAKELDKMTQATTWYYSEPYIVQSNLDYQCSTKGEPSLYFIKTMVCVKKTYGGIKALKQKLTQATQTSYDKFEEDQVMHFTYLVPPKDQSILLADCLVKHNEQLGLDLSWSRSFILSGKNVSGMEECILQKLGEHQSFLNRCFTKPACTIKESLGPLHYRLPQIKFNIYERLPYSQSVPSSTSAPSPLEECVPESDVMFQIWEKETLQKVRNDKVHWSDPKKAFVACCFREVRLAYVYGCLRGKDYVGLFKCLYNITGKEVCFHHVPLKGISNKTVEELKTLYSKEAKFGIVEKDENLGVFLYGGDTNAFASVYQPLIETIRQLLKETSFNKIEVHTSETFTLKEKYGLETRNFFDAALAEMNSEEQVDVCARKAPFEPRLYARGGTKEMDKLFELAASANMVKASTVDRKYLSSSLTAIQPGSTELSWSKVFIHFYNGNQMFDLFNPVFPLMNSFSNELDLGIGDKKYSYGKNEVEAKIVGGGSNLLACVARSVNENQQKRLQCDKMKKRNNEGLTVRGVNLVLEAYNTDLDISINMKGAALGNGRLFEKRALDM
+>sp|Q99747|SNAG_HUMAN Gamma-soluble NSF attachment protein OS=Homo sapiens OX=9606 GN=NAPG PE=1 SV=1
+MAAQKINEGLEHLAKAEKYLKTGFLKWKPDYDSAASEYGKAAVAFKNAKQFEQAKDACLREAVAHENNRALFHAAKAYEQAGMMLKEMQKLPEAVQLIEKASMMYLENGTPDTAAMALERAGKLIENVDPEKAVQLYQQTANVFENEERLRQAVELLGKASRLLVRGRRFDEAALSIQKEKNIYKEIENYPTCYKKTIAQVLVHLHRNDYVAAERCVRESYSIPGFNGSEDCAALEQLLEGYDQQDQDQVSDVCNSPLFKYMDNDYAKLGLSLVVPGGGIKKKSPATPQAKPDGVTATAADEEEDEYSGGLC
+>DECOY_sp|Q99747|SNAG_HUMAN Gamma-soluble NSF attachment protein OS=Homo sapiens OX=9606 GN=NAPG PE=1 SV=1
+CLGGSYEDEEEDAATATVGDPKAQPTAPSKKKIGGGPVVLSLGLKAYDNDMYKFLPSNCVDSVQDQDQQDYGELLQELAACDESGNFGPISYSERVCREAAVYDNRHLHVLVQAITKKYCTPYNEIEKYINKEKQISLAAEDFRRGRVLLRSAKGLLEVAQRLREENEFVNATQQYLQVAKEPDVNEILKGARELAMAATDPTGNELYMMSAKEILQVAEPLKQMEKLMMGAQEYAKAAHFLARNNEHAVAERLCADKAQEFQKANKFAVAAKGYESAASDYDPKWKLFGTKLYKEAKALHELGENIKQAAM
+>sp|O95863|SNAI1_HUMAN Zinc finger protein SNAI1 OS=Homo sapiens OX=9606 GN=SNAI1 PE=1 SV=2
+MPRSFLVRKPSDPNRKPNYSELQDSNPEFTFQQPYDQAHLLAAIPPPEILNPTASLPMLIWDSVLAPQAQPIAWASLRLQESPRVAELTSLSDEDSGKGSQPPSPPSPAPSSFSSTSVSSLEAEAYAAFPGLGQVPKQLAQLSEAKDLQARKAFNCKYCNKEYLSLGALKMHIRSHTLPCVCGTCGKAFSRPWLLQGHVRTHTGEKPFSCPHCSRAFADRSNLRAHLQTHSDVKKYQCQACARTFSRMSLLHKHQESGCSGCPR
+>DECOY_sp|O95863|SNAI1_HUMAN Zinc finger protein SNAI1 OS=Homo sapiens OX=9606 GN=SNAI1 PE=1 SV=2
+RPCGSCGSEQHKHLLSMRSFTRACAQCQYKKVDSHTQLHARLNSRDAFARSCHPCSFPKEGTHTRVHGQLLWPRSFAKGCTGCVCPLTHSRIHMKLAGLSLYEKNCYKCNFAKRAQLDKAESLQALQKPVQGLGPFAAYAEAELSSVSTSSFSSPAPSPPSPPQSGKGSDEDSLSTLEAVRPSEQLRLSAWAIPQAQPALVSDWILMPLSATPNLIEPPPIAALLHAQDYPQQFTFEPNSDQLESYNPKRNPDSPKRVLFSRPM
+>sp|A8MWL6|SNG2L_HUMAN Putative synaptogyrin-2 like protein OS=Homo sapiens OX=9606 PE=5 SV=1
+MESGAYGVAEAGGSFDLRPFLTQPQVVARALCLVFALIVFSCIYGEGYSNTHKSKQMYCVFNHNEDACRYGSAIGVLAFLASAFLVVDAYFPQISNATDRKYLVIGDLLFSALWTFLWFVGFCFLTNQWAVTDPEDVLVGADSARAAITFSFFSIFSWGVLASLTYQRYKAGVDDFIQNYVDPSPDPNTAYASYPGASVDNYQQPPFTQNAETTEGYQPPPVY
+>DECOY_sp|A8MWL6|SNG2L_HUMAN Putative synaptogyrin-2 like protein OS=Homo sapiens OX=9606 PE=5 SV=1
+YVPPPQYGETTEANQTFPPQQYNDVSAGPYSAYATNPDPSPDVYNQIFDDVGAKYRQYTLSALVGWSFISFFSFTIAARASDAGVLVDEPDTVAWQNTLFCFGVFWLFTWLASFLLDGIVLYKRDTANSIQPFYADVVLFASALFALVGIASGYRCADENHNFVCYMQKSKHTNSYGEGYICSFVILAFVLCLARAVVQPQTLFPRLDFSGGAEAVGYAGSEM
+>sp|O00161|SNP23_HUMAN Synaptosomal-associated protein 23 OS=Homo sapiens OX=9606 GN=SNAP23 PE=1 SV=1
+MDNLSSEEIQQRAHQITDESLESTRRILGLAIESQDAGIKTITMLDEQKEQLNRIEEGLDQINKDMRETEKTLTELNKCCGLCVCPCNRTKNFESGKAYKTTWGDGGENSPCNVVSKQPGPVTNGQLQQPTTGAASGGYIKRITNDAREDEMEENLTQVGSILGNLKDMALNIGNEIDAQNPQIKRITDKADTNRDRIDIANARAKKLIDS
+>DECOY_sp|O00161|SNP23_HUMAN Synaptosomal-associated protein 23 OS=Homo sapiens OX=9606 GN=SNAP23 PE=1 SV=1
+SDILKKARANAIDIRDRNTDAKDTIRKIQPNQADIENGINLAMDKLNGLISGVQTLNEEMEDERADNTIRKIYGGSAAGTTPQQLQGNTVPGPQKSVVNCPSNEGGDGWTTKYAKGSEFNKTRNCPCVCLGCCKNLETLTKETERMDKNIQDLGEEIRNLQEKQEDLMTITKIGADQSEIALGLIRRTSELSEDTIQHARQQIEESSLNDM
+>sp|Q92966|SNPC3_HUMAN snRNA-activating protein complex subunit 3 OS=Homo sapiens OX=9606 GN=SNAPC3 PE=1 SV=1
+MAEGSRGGPTCSGVGGRQDPVSGSGGCNFPEYELPELNTRAFHVGAFGELWRGRLRGAGDLSLREPPASALPGSQAADSDREDAAVARDLDCSLEAAAELRAVCGLDKLKCLEDGEDPEVIPENTDLVTLGVRKRFLEHREETITIDRACRQETFVYEMESHAIGKKPENSADMIEEGELILSVNILYPVIFHKHKEHKPYQTMLVLGSQKLTQLRDSIRCVSDLQIGGEFSNTPDQAPEHISKDLYKSAFFYFEGTFYNDKRYPECRDLSRTIIEWSESHDRGYGKFQTARMEDFTFNDLCIKLGFPYLYCHQGDCEHVIVITDIRLVHHDDCLDRTLYPLLIKKHWLWTRKCFVCKMYTARWVTNNDSFAPEDPCFFCDVCFRMLHYDSEGNKLGEFLAYPYVDPGTFN
+>DECOY_sp|Q92966|SNPC3_HUMAN snRNA-activating protein complex subunit 3 OS=Homo sapiens OX=9606 GN=SNAPC3 PE=1 SV=1
+NFTGPDVYPYALFEGLKNGESDYHLMRFCVDCFFCPDEPAFSDNNTVWRATYMKCVFCKRTWLWHKKILLPYLTRDLCDDHHVLRIDTIVIVHECDGQHCYLYPFGLKICLDNFTFDEMRATQFKGYGRDHSESWEIITRSLDRCEPYRKDNYFTGEFYFFASKYLDKSIHEPAQDPTNSFEGGIQLDSVCRISDRLQTLKQSGLVLMTQYPKHEKHKHFIVPYLINVSLILEGEEIMDASNEPKKGIAHSEMEYVFTEQRCARDITITEERHELFRKRVGLTVLDTNEPIVEPDEGDELCKLKDLGCVARLEAAAELSCDLDRAVAADERDSDAAQSGPLASAPPERLSLDGAGRLRGRWLEGFAGVHFARTNLEPLEYEPFNCGGSGSVPDQRGGVGSCTPGGRSGEAM
+>sp|Q5SXM2|SNPC4_HUMAN snRNA-activating protein complex subunit 4 OS=Homo sapiens OX=9606 GN=SNAPC4 PE=1 SV=1
+MDVDAEREKITQEIKELERILDPGSSGSHVEISESSLESDSEADSLPSEDLDPADPPISEEERWGEASNDEDDPKDKTLPEDPETCLQLNMVYQEVIQEKLAEANLLLAQNREQQEELMRDLAGSKGTKVKDGKSLPPSTYMGHFMKPYFKDKVTGVGPPANEDTREKAAQGIKAFEELLVTKWKNWEKALLRKSVVSDRLQRLLQPKLLKLEYLHQKQSKVSSELERQALEKQGREAEKEIQDINQLPEEALLGNRLDSHDWEKISNINFEGSRSAEEIRKFWQNSEHPSINKQEWSREEEERLQAIAAAHGHLEWQKIAEELGTSRSAFQCLQKFQQHNKALKRKEWTEEEDRMLTQLVQEMRVGSHIPYRRIVYYMEGRDSMQLIYRWTKSLDPGLKKGYWAPEEDAKLLQAVAKYGEQDWFKIREEVPGRSDAQCRDRYLRRLHFSLKKGRWNLKEEEQLIELIEKYGVGHWAKIASELPHRSGSQCLSKWKIMMGKKQGLRRRRRRARHSVRWSSTSSSGSSSGSSGGSSSSSSSSSEEDEPEQAQAGEGDRALLSPQYMVPDMDLWVPARQSTSQPWRGGAGAWLGGPAASLSPPKGSSASQGGSKEASTTAAAPGEETSPVQVPARAHGPVPRSAQASHSADTRPAGAEKQALEGGRRLLTVPVETVLRVLRANTAARSCTQKEQLRQPPLPTSSPGVSSGDSVARSHVQWLRHRATQSGQRRWRHALHRRLLNRRLLLAVTPWVGDVVVPCTQASQRPAVVQTQADGLREQLQQARLASTPVFTLFTQLFHIDTAGCLEVVRERKALPPRLPQAGARDPPVHLLQASSSAQSTPGHLFPNVPAQEASKSASHKGSRRLASSRVERTLPQASLLASTGPRPKPKTVSELLQEKRLQEARAREATRGPVVLPSQLLVSSSVILQPPLPHTPHGRPAPGPTVLNVPLSGPGAPAAAKPGTSGSWQEAGTSAKDKRLSTMQALPLAPVFSEAEGTAPAASQAPALGPGQISVSCPESGLGQSQAPAASRKQGLPEAPPFLPAAPSPTPLPVQPLSLTHIGGPHVATSVPLPVTWVLTAQGLLPVPVPAVVSLPRPAGTPGPAGLLATLLPPLTETRAAQGPRAPALSSSWQPPANMNREPEPSCRTDTPAPPTHALSQSPAEADGSVAFVPGEAQVAREIPEPRTSSHADPPEAEPPWSGRLPAFGGVIPATEPRGTPGSPSGTQEPRGPLGLEKLPLRQPGPEKGALDLEKPPLPQPGPEKGALDLGLLSQEGEAATQQWLGGQRGVRVPLLGSRLPYQPPALCSLRALSGLLLHKKALEHKATSLVVGGEAERPAGALQASLGLVRGQLQDNPAYLLLRARFLAAFTLPALLATLAPQGVRTTLSVPSRVGSESEDEDLLSELELADRDGQPGCTTATCPIQGAPDSGKCSASSCLDTSNDPDDLDVLRTRHARHTRKRRRLV
+>DECOY_sp|Q5SXM2|SNPC4_HUMAN snRNA-activating protein complex subunit 4 OS=Homo sapiens OX=9606 GN=SNAPC4 PE=1 SV=1
+VLRRRKRTHRAHRTRLVDLDDPDNSTDLCSSASCKGSDPAGQIPCTATTCGPQGDRDALELESLLDEDESESGVRSPVSLTTRVGQPALTALLAPLTFAALFRARLLLYAPNDQLQGRVLGLSAQLAGAPREAEGGVVLSTAKHELAKKHLLLGSLARLSCLAPPQYPLRSGLLPVRVGRQGGLWQQTAAEGEQSLLGLDLAGKEPGPQPLPPKELDLAGKEPGPQRLPLKELGLPGRPEQTGSPSGPTGRPETAPIVGGFAPLRGSWPPEAEPPDAHSSTRPEPIERAVQAEGPVFAVSGDAEAPSQSLAHTPPAPTDTRCSPEPERNMNAPPQWSSSLAPARPGQAARTETLPPLLTALLGAPGPTGAPRPLSVVAPVPVPLLGQATLVWTVPLPVSTAVHPGGIHTLSLPQVPLPTPSPAAPLFPPAEPLGQKRSAAPAQSQGLGSEPCSVSIQGPGLAPAQSAAPATGEAESFVPALPLAQMTSLRKDKASTGAEQWSGSTGPKAAAPAGPGSLPVNLVTPGPAPRGHPTHPLPPQLIVSSSVLLQSPLVVPGRTAERARAEQLRKEQLLESVTKPKPRPGTSALLSAQPLTREVRSSALRRSGKHSASKSAEQAPVNPFLHGPTSQASSSAQLLHVPPDRAGAQPLRPPLAKRERVVELCGATDIHFLQTFLTFVPTSALRAQQLQERLGDAQTQVVAPRQSAQTCPVVVDGVWPTVALLLRRNLLRRHLAHRWRRQGSQTARHRLWQVHSRAVSDGSSVGPSSTPLPPQRLQEKQTCSRAATNARLVRLVTEVPVTLLRRGGELAQKEAGAPRTDASHSAQASRPVPGHARAPVQVPSTEEGPAAATTSAEKSGGQSASSGKPPSLSAAPGGLWAGAGGRWPQSTSQRAPVWLDMDPVMYQPSLLARDGEGAQAQEPEDEESSSSSSSSSGGSSGSSSGSSSTSSWRVSHRARRRRRRLGQKKGMMIKWKSLCQSGSRHPLESAIKAWHGVGYKEILEILQEEEKLNWRGKKLSFHLRRLYRDRCQADSRGPVEERIKFWDQEGYKAVAQLLKADEEPAWYGKKLGPDLSKTWRYILQMSDRGEMYYVIRRYPIHSGVRMEQVLQTLMRDEEETWEKRKLAKNHQQFKQLCQFASRSTGLEEAIKQWELHGHAAAIAQLREEEERSWEQKNISPHESNQWFKRIEEASRSGEFNINSIKEWDHSDLRNGLLAEEPLQNIDQIEKEAERGQKELAQRELESSVKSQKQHLYELKLLKPQLLRQLRDSVVSKRLLAKEWNKWKTVLLEEFAKIGQAAKERTDENAPPGVGTVKDKFYPKMFHGMYTSPPLSKGDKVKTGKSGALDRMLEEQQERNQALLLNAEALKEQIVEQYVMNLQLCTEPDEPLTKDKPDDEDNSAEGWREEESIPPDAPDLDESPLSDAESDSELSSESIEVHSGSSGPDLIRELEKIEQTIKEREADVDM
+>sp|Q6IEG0|SNR48_HUMAN U11/U12 small nuclear ribonucleoprotein 48 kDa protein OS=Homo sapiens OX=9606 GN=SNRNP48 PE=1 SV=2
+MEGEPPPVEERRRLQEELNEFVESGCRTLEEVTASLGWDLDSLDPGEEEAAEDEVVICPYDSNHHMPKSSLAKHMASCRLRKMGYTKEEEDEMYNPEFFYENVKIPSITLNKDSQFQIIKQARTAVGKDSDCYNQRIYSSLPVEVPLNHKRFVCDLTQADRLALYDFVVEETKKKRSDSQIIENDSDLFVDLAAKINQDNSRKSPKSYLEILAEVRDYKRRRQSYRAKNVHITKKSYTEVIRDVINVHMEELSNHWQEEQEKAEDDAEKNEERRSASVDSRQSGGSYLDAECSRHRRDRSRSPHKRKRNKDKDKNCESRRRKERDGERHHSHKRRKQKI
+>DECOY_sp|Q6IEG0|SNR48_HUMAN U11/U12 small nuclear ribonucleoprotein 48 kDa protein OS=Homo sapiens OX=9606 GN=SNRNP48 PE=1 SV=2
+IKQKRRKHSHHREGDREKRRRSECNKDKDKNRKRKHPSRSRDRRHRSCEADLYSGGSQRSDVSASRREENKEADDEAKEQEEQWHNSLEEMHVNIVDRIVETYSKKTIHVNKARYSQRRRKYDRVEALIELYSKPSKRSNDQNIKAALDVFLDSDNEIIQSDSRKKKTEEVVFDYLALRDAQTLDCVFRKHNLPVEVPLSSYIRQNYCDSDKGVATRAQKIIQFQSDKNLTISPIKVNEYFFEPNYMEDEEEKTYGMKRLRCSAMHKALSSKPMHHNSDYPCIVVEDEAAEEEGPDLSDLDWGLSATVEELTRCGSEVFENLEEQLRRREEVPPPEGEM
+>sp|Q9Y5W8|SNX13_HUMAN Sorting nexin-13 OS=Homo sapiens OX=9606 GN=SNX13 PE=1 SV=4
+MLTEASLSIWGWGSLGIVLFLITFGPFVIFYLTFYILCFVGGGLVVTLLFGKTNSEKYLEQCEHSFLPPTSPGVPKCLEEMKREARTIKIDRRLTGANIIDEPLQQVIQFSLRDYVQYWYYTLSDDESFLLEIRQTLQNALIQFATRSKEIDWQPYFTTRIVDDFGTHLRVFRKAQQKITEKDDQVKGTAEDLVDTFFEVEVEMEKEVCRDLVCTSPKDEEGFLRDLCEVLLYLLLPPGDFQNKIMRYFVREILARGILLPLINQLSDPDYINQYVIWMIRDSNCNYEAFMNIIKLSDNIGELEAVRDKAAEELQYLRSLDTAGDDINTIKNQINSLLFVKKVCDSRIQRLQSGKEINTVKLAANFGKLCTVPLDSILVDNVALQFFMDYMQQTGGQAHLFFWMTVEGYRVTAQQQLEVLLSRQRDGKHQTNQTKGLLRAAAVGIYEQYLSEKASPRVTVDDYLVAKLADTLNHEDPTPEIFDDIQRKVYELMLRDERFYPSFRQNALYVRMLAELDMLKDPSFRGSDDGDGESFNGSPTGSINLSLDDLSNVSSDDSVQLHAYISDTVYADYDPYAVAGVCNDHGKTYALYAITVHRRNLNSEEMWKTYRRYSDFHDFHMRITEQFESLSSILKLPGKKTFNNMDRDFLEKRKKDLNAYLQLLLAPEMMKASPALAHYVYDFLENKAYSKGKGDFARKMDTFVNPLRNSMRNVSNAVKSLPDSLAEGMTKMSDNMGKMSERLGQDIKQSFFKVPPLIPKTDSDPEHRRVSAQLDDNVDDNIPLRVMLLLMDEVFDLKERNQWLRRNIKNLLQQLIRATYGDTINRKIVDHVDWMTSPEQVADSVKRFRDAFWPNGILAEAVPCRDKSIRMRTRVAGKTKLLAIMPDELKHIIGAETTRKGILRVFEMFQHNQLNRRMVYVFLEGFLETLFPQYKFRELFNKLHSRSKQMQKYKQKLQTTQAPSLQKR
+>DECOY_sp|Q9Y5W8|SNX13_HUMAN Sorting nexin-13 OS=Homo sapiens OX=9606 GN=SNX13 PE=1 SV=4
+RKQLSPAQTTQLKQKYKQMQKSRSHLKNFLERFKYQPFLTELFGELFVYVMRRNLQNHQFMEFVRLIGKRTTEAGIIHKLEDPMIALLKTKGAVRTRMRISKDRCPVAEALIGNPWFADRFRKVSDAVQEPSTMWDVHDVIKRNITDGYTARILQQLLNKINRRLWQNREKLDFVEDMLLLMVRLPINDDVNDDLQASVRRHEPDSDTKPILPPVKFFSQKIDQGLRESMKGMNDSMKTMGEALSDPLSKVANSVNRMSNRLPNVFTDMKRAFDGKGKSYAKNELFDYVYHALAPSAKMMEPALLLQLYANLDKKRKELFDRDMNNFTKKGPLKLISSLSEFQETIRMHFDHFDSYRRYTKWMEESNLNRRHVTIAYLAYTKGHDNCVGAVAYPDYDAYVTDSIYAHLQVSDDSSVNSLDDLSLNISGTPSGNFSEGDGDDSGRFSPDKLMDLEALMRVYLANQRFSPYFREDRLMLEYVKRQIDDFIEPTPDEHNLTDALKAVLYDDVTVRPSAKESLYQEYIGVAAARLLGKTQNTQHKGDRQRSLLVELQQQATVRYGEVTMWFFLHAQGGTQQMYDMFFQLAVNDVLISDLPVTCLKGFNAALKVTNIEKGSQLRQIRSDCVKKVFLLSNIQNKITNIDDGATDLSRLYQLEEAAKDRVAELEGINDSLKIINMFAEYNCNSDRIMWIVYQNIYDPDSLQNILPLLIGRALIERVFYRMIKNQFDGPPLLLYLLVECLDRLFGEEDKPSTCVLDRCVEKEMEVEVEFFTDVLDEATGKVQDDKETIKQQAKRFVRLHTGFDDVIRTTFYPQWDIEKSRTAFQILANQLTQRIELLFSEDDSLTYYWYQVYDRLSFQIVQQLPEDIINAGTLRRDIKITRAERKMEELCKPVGPSTPPLFSHECQELYKESNTKGFLLTVVLGGGVFCLIYFTLYFIVFPGFTILFLVIGLSGWGWISLSAETLM
+>sp|Q96L94|SNX22_HUMAN Sorting nexin-22 OS=Homo sapiens OX=9606 GN=SNX22 PE=1 SV=1
+MLEVHIPSVGPEAEGPRQSPEKSHMVFRVEVLCSGRRHTVPRRYSEFHALHKRIKKLYKVPDFPSKRLPNWRTRGLEQRRQGLEAYIQGILYLNQEVPKELLEFLRLRHFPTDPKASNWGTLREFLPGDSSSQQHQRPVLSFHVDPYVCNPSPESLPNVVVNGVLQGLYSFSISPDKAQPKAACHPAPLPPMP
+>DECOY_sp|Q96L94|SNX22_HUMAN Sorting nexin-22 OS=Homo sapiens OX=9606 GN=SNX22 PE=1 SV=1
+PMPPLPAPHCAAKPQAKDPSISFSYLGQLVGNVVVNPLSEPSPNCVYPDVHFSLVPRQHQQSSSDGPLFERLTGWNSAKPDTPFHRLRLFELLEKPVEQNLYLIGQIYAELGQRRQELGRTRWNPLRKSPFDPVKYLKKIRKHLAHFESYRRPVTHRRGSCLVEVRFVMHSKEPSQRPGEAEPGVSPIHVELM
+>sp|O60493|SNX3_HUMAN Sorting nexin-3 OS=Homo sapiens OX=9606 GN=SNX3 PE=1 SV=3
+MAETVADTRRLITKPQNLNDAYGPPSNFLEIDVSNPQTVGVGRGRFTTYEIRVKTNLPIFKLKESTVRRRYSDFEWLRSELERESKVVVPPLPGKAFLRQLPFRGDDGIFDDNFIEERKQGLEQFINKVAGHPLAQNERCLHMFLQDEIIDKSYTPSKIRHA
+>DECOY_sp|O60493|SNX3_HUMAN Sorting nexin-3 OS=Homo sapiens OX=9606 GN=SNX3 PE=1 SV=3
+AHRIKSPTYSKDIIEDQLFMHLCRENQALPHGAVKNIFQELGQKREEIFNDDFIGDDGRFPLQRLFAKGPLPPVVVKSERELESRLWEFDSYRRRVTSEKLKFIPLNTKVRIEYTTFRGRGVGVTQPNSVDIELFNSPPGYADNLNQPKTILRRTDAVTEAM
+>sp|O15524|SOCS1_HUMAN Suppressor of cytokine signaling 1 OS=Homo sapiens OX=9606 GN=SOCS1 PE=1 SV=1
+MVAHNQVAADNAVSTAAEPRRRPEPSSSSSSSPAAPARPRPCPAVPAPAPGDTHFRTFRSHADYRRITRASALLDACGFYWGPLSVHGAHERLRAEPVGTFLVRDSRQRNCFFALSVKMASGPTSIRVHFQAGRFHLDGSRESFDCLFELLEHYVAAPRRMLGAPLRQRRVRPLQELCRQRIVATVGRENLARIPLNPVLRDYLSSFPFQI
+>DECOY_sp|O15524|SOCS1_HUMAN Suppressor of cytokine signaling 1 OS=Homo sapiens OX=9606 GN=SOCS1 PE=1 SV=1
+IQFPFSSLYDRLVPNLPIRALNERGVTAVIRQRCLEQLPRVRRQRLPAGLMRRPAAVYHELLEFLCDFSERSGDLHFRGAQFHVRISTPGSAMKVSLAFFCNRQRSDRVLFTGVPEARLREHAGHVSLPGWYFGCADLLASARTIRRYDAHSRFTRFHTDGPAPAPVAPCPRPRAPAAPSSSSSSSPEPRRRPEAATSVANDAAVQNHAVM
+>sp|O14544|SOCS6_HUMAN Suppressor of cytokine signaling 6 OS=Homo sapiens OX=9606 GN=SOCS6 PE=1 SV=2
+MKKISLKTLRKSFNLNKSKEETDFMVVQQPSLASDFGKDDSLFGSCYGKDMASCDINGEDEKGGKNRSKSESLMGTLKRRLSAKQKSKGKAGTPSGSSADEDTFSSSSAPIVFKDVRAQRPIRSTSLRSHHYSPAPWPLRPTNSEETCIKMEVRVKALVHSSSPSPALNGVRKDFHDLQSETTCQEQANSLKSSASHNGDLHLHLDEHVPVVIGLMPQDYIQYTVPLDEGMYPLEGSRSYCLDSSSPMEVSAVPPQVGGRAFPEDESQVDQDLVVAPEIFVDQSVNGLLIGTTGVMLQSPRAGHDDVPPLSPLLPPMQNNQIQRNFSGLTGTEAHVAESMRCHLNFDPNSAPGVARVYDSVQSSGPMVVTSLTEELKKLAKQGWYWGPITRWEAEGKLANVPDGSFLVRDSSDDRYLLSLSFRSHGKTLHTRIEHSNGRFSFYEQPDVEGHTSIVDLIEHSIRDSENGAFCYSRSRLPGSATYPVRLTNPVSRFMQVRSLQYLCRFVIRQYTRIDLIQKLPLPNKMKDYLQEKHY
+>DECOY_sp|O14544|SOCS6_HUMAN Suppressor of cytokine signaling 6 OS=Homo sapiens OX=9606 GN=SOCS6 PE=1 SV=2
+YHKEQLYDKMKNPLPLKQILDIRTYQRIVFRCLYQLSRVQMFRSVPNTLRVPYTASGPLRSRSYCFAGNESDRISHEILDVISTHGEVDPQEYFSFRGNSHEIRTHLTKGHSRFSLSLLYRDDSSDRVLFSGDPVNALKGEAEWRTIPGWYWGQKALKKLEETLSTVVMPGSSQVSDYVRAVGPASNPDFNLHCRMSEAVHAETGTLGSFNRQIQNNQMPPLLPSLPPVDDHGARPSQLMVGTTGILLGNVSQDVFIEPAVVLDQDVQSEDEPFARGGVQPPVASVEMPSSSDLCYSRSGELPYMGEDLPVTYQIYDQPMLGIVVPVHEDLHLHLDGNHSASSKLSNAQEQCTTESQLDHFDKRVGNLAPSPSSSHVLAKVRVEMKICTEESNTPRLPWPAPSYHHSRLSTSRIPRQARVDKFVIPASSSSFTDEDASSGSPTGAKGKSKQKASLRRKLTGMLSESKSRNKGGKEDEGNIDCSAMDKGYCSGFLSDDKGFDSALSPQQVVMFDTEEKSKNLNFSKRLTKLSIKKM
+>sp|P34925|RYK_HUMAN Tyrosine-protein kinase RYK OS=Homo sapiens OX=9606 GN=RYK PE=1 SV=3
+MRGAARLGRPGRSCLPGARGLRAPPPPPLLLLLALLPLLPAPGAAAAPAPRPPELQSASAGPSVSLYLSEDEVRRLIGLDAELYYVRNDLISHYALSFSLLVPSETNFLHFTWHAKSKVEYKLGFQVDNVLAMDMPQVNISVQGEVPRTLSVFRVELSCTGKVDSEVMILMQLNLTVNSSKNFTVLNFKRRKMCYKKLEEVKTSALDKNTSRTIYDPVHAAPTTSTRVFYISVGVCCAVIFLVAIILAVLHLHSMKRIELDDSISASSSSQGLSQPSTQTTQYLRADTPNNATPITSYPTLRIEKNDLRSVTLLEAKGKVKDIAISRERITLKDVLQEGTFGRIFHGILIDEKDPNKEKQAFVKTVKDQASEIQVTMMLTESCKLRGLHHRNLLPITHVCIEEGEKPMVILPYMNWGNLKLFLRQCKLVEANNPQAISQQDLVHMAIQIACGMSYLARREVIHKDLAARNCVIDDTLQVKITDNALSRDLFPMDYHCLGDNENRPVRWMALESLVNNEFSSASDVWAFGVTLWELMTLGQTPYVDIDPFEMAAYLKDGYRIAQPINCPDELFAVMACCWALDPEERPKFQQLVQCLTEFHAALGAYV
+>DECOY_sp|P34925|RYK_HUMAN Tyrosine-protein kinase RYK OS=Homo sapiens OX=9606 GN=RYK PE=1 SV=3
+VYAGLAAHFETLCQVLQQFKPREEPDLAWCCAMVAFLEDPCNIPQAIRYGDKLYAAMEFPDIDVYPTQGLTMLEWLTVGFAWVDSASSFENNVLSELAMWRVPRNENDGLCHYDMPFLDRSLANDTIKVQLTDDIVCNRAALDKHIVERRALYSMGCAIQIAMHVLDQQSIAQPNNAEVLKCQRLFLKLNGWNMYPLIVMPKEGEEICVHTIPLLNRHHLGRLKCSETLMMTVQIESAQDKVTKVFAQKEKNPDKEDILIGHFIRGFTGEQLVDKLTIRERSIAIDKVKGKAELLTVSRLDNKEIRLTPYSTIPTANNPTDARLYQTTQTSPQSLGQSSSSASISDDLEIRKMSHLHLVALIIAVLFIVACCVGVSIYFVRTSTTPAAHVPDYITRSTNKDLASTKVEELKKYCMKRRKFNLVTFNKSSNVTLNLQMLIMVESDVKGTCSLEVRFVSLTRPVEGQVSINVQPMDMALVNDVQFGLKYEVKSKAHWTFHLFNTESPVLLSFSLAYHSILDNRVYYLEADLGILRRVEDESLYLSVSPGASASQLEPPRPAPAAAAGPAPLLPLLALLLLLPPPPPARLGRAGPLCSRGPRGLRAAGRM
+>sp|P21817|RYR1_HUMAN Ryanodine receptor 1 OS=Homo sapiens OX=9606 GN=RYR1 PE=1 SV=3
+MGDAEGEDEVQFLRTDDEVVLQCSATVLKEQLKLCLAAEGFGNRLCFLEPTSNAQNVPPDLAICCFVLEQSLSVRALQEMLANTVEAGVESSQGGGHRTLLYGHAILLRHAHSRMYLSCLTTSRSMTDKLAFDVGLQEDATGEACWWTMHPASKQRSEGEKVRVGDDIILVSVSSERYLHLSTASGELQVDASFMQTLWNMNPICSRCEEGFVTGGHVLRLFHGHMDECLTISPADSDDQRRLVYYEGGAVCTHARSLWRLEPLRISWSGSHLRWGQPLRVRHVTTGQYLALTEDQGLVVVDASKAHTKATSFCFRISKEKLDVAPKRDVEGMGPPEIKYGESLCFVQHVASGLWLTYAAPDPKALRLGVLKKKAMLHQEGHMDDALSLTRCQQEESQAARMIHSTNGLYNQFIKSLDSFSGKPRGSGPPAGTALPIEGVILSLQDLIIYFEPPSEDLQHEEKQSKLRSLRNRQSLFQEEGMLSMVLNCIDRLNVYTTAAHFAEFAGEEAAESWKEIVNLLYELLASLIRGNRSNCALFSTNLDWLVSKLDRLEASSGILEVLYCVLIESPEVLNIIQENHIKSIISLLDKHGRNHKVLDVLCSLCVCNGVAVRSNQDLITENLLPGRELLLQTNLINYVTSIRPNIFVGRAEGTTQYSKWYFEVMVDEVTPFLTAQATHLRVGWALTEGYTPYPGAGEGWGGNGVGDDLYSYGFDGLHLWTGHVARPVTSPGQHLLAPEDVISCCLDLSVPSISFRINGCPVQGVFESFNLDGLFFPVVSFSAGVKVRFLLGGRHGEFKFLPPPGYAPCHEAVLPRERLHLEPIKEYRREGPRGPHLVGPSRCLSHTDFVPCPVDTVQIVLPPHLERIREKLAENIHELWALTRIEQGWTYGPVRDDNKRLHPCLVDFHSLPEPERNYNLQMSGETLKTLLALGCHVGMADEKAEDNLKKTKLPKTYMMSNGYKPAPLDLSHVRLTPAQTTLVDRLAENGHNVWARDRVGQGWSYSAVQDIPARRNPRLVPYRLLDEATKRSNRDSLCQAVRTLLGYGYNIEPPDQEPSQVENQSRCDRVRIFRAEKSYTVQSGRWYFEFEAVTTGEMRVGWARPELRPDVELGADELAYVFNGHRGQRWHLGSEPFGRPWQPGDVVGCMIDLTENTIIFTLNGEVLMSDSGSETAFREIEIGDGFLPVCSLGPGQVGHLNLGQDVSSLRFFAICGLQEGFEPFAINMQRPVTTWFSKGLPQFEPVPLEHPHYEVSRVDGTVDTPPCLRLTHRTWGSQNSLVEMLFLRLSLPVQFHQHFRCTAGATPLAPPGLQPPAEDEARAAEPDPDYENLRRSAGGWSEAENGKEGTAKEGAPGGTPQAGGEAQPARAENEKDATTEKNKKRGFLFKAKKVAMMTQPPATPTLPRLPHDVVPADNRDDPEIILNTTTYYYSVRVFAGQEPSCVWAGWVTPDYHQHDMSFDLSKVRVVTVTMGDEQGNVHSSLKCSNCYMVWGGDFVSPGQQGRISHTDLVIGCLVDLATGLMTFTANGKESNTFFQVEPNTKLFPAVFVLPTHQNVIQFELGKQKNIMPLSAAMFQSERKNPAPQCPPRLEMQMLMPVSWSRMPNHFLQVETRRAGERLGWAVQCQEPLTMMALHIPEENRCMDILELSERLDLQRFHSHTLRLYRAVCALGNNRVAHALCSHVDQAQLLHALEDAHLPGPLRAGYYDLLISIHLESACRSRRSMLSEYIVPLTPETRAITLFPPGRSTENGHPRHGLPGVGVTTSLRPPHHFSPPCFVAALPAAGAAEAPARLSPAIPLEALRDKALRMLGEAVRDGGQHARDPVGGSVEFQFVPVLKLVSTLLVMGIFGDEDVKQILKMIEPEVFTEEEEEEDEEEEGEEEDEEEKEEDEEETAQEKEDEEKEEEEAAEGEKEEGLEEGLLQMKLPESVKLQMCHLLEYFCDQELQHRVESLAAFAERYVDKLQANQRSRYGLLIKAFSMTAAETARRTREFRSPPQEQINMLLQFKDGTDEEDCPLPEEIRQDLLDFHQDLLAHCGIQLDGEEEEPEEETTLGSRLMSLLEKVRLVKKKEEKPEEERSAEESKPRSLQELVSHMVVRWAQEDFVQSPELVRAMFSLLHRQYDGLGELLRALPRAYTISPSSVEDTMSLLECLGQIRSLLIVQMGPQEENLMIQSIGNIMNNKVFYQHPNLMRALGMHETVMEVMVNVLGGGESKEIRFPKMVTSCCRFLCYFCRISRQNQRSMFDHLSYLLENSGIGLGMQGSTPLDVAAASVIDNNELALALQEQDLEKVVSYLAGCGLQSCPMLVAKGYPDIGWNPCGGERYLDFLRFAVFVNGESVEENANVVVRLLIRKPECFGPALRGEGGSGLLAAIEEAIRISEDPARDGPGIRRDRRREHFGEEPPEENRVHLGHAIMSFYAALIDLLGRCAPEMHLIQAGKGEALRIRAILRSLVPLEDLVGIISLPLQIPTLGKDGALVQPKMSASFVPDHKASMVLFLDRVYGIENQDFLLHVLDVGFLPDMRAAASLDTATFSTTEMALALNRYLCLAVLPLITKCAPLFAGTEHRAIMVDSMLHTVYRLSRGRSLTKAQRDVIEDCLMSLCRYIRPSMLQHLLRRLVFDVPILNEFAKMPLKLLTNHYERCWKYYCLPTGWANFGVTSEEELHLTRKLFWGIFDSLAHKKYDPELYRMAMPCLCAIAGALPPDYVDASYSSKAEKKATVDAEGNFDPRPVETLNVIIPEKLDSFINKFAEYTHEKWAFDKIQNNWSYGENIDEELKTHPMLRPYKTFSEKDKEIYRWPIKESLKAMIAWEWTIEKAREGEEEKTEKKKTRKISQSAQTYDPREGYNPQPPDLSAVTLSRELQAMAEQLAENYHNTWGRKKKQELEAKGGGTHPLLVPYDTLTAKEKARDREKAQELLKFLQMNGYAVTRGLKDMELDSSSIEKRFAFGFLQQLLRWMDISQEFIAHLEAVVSSGRVEKSPHEQEIKFFAKILLPLINQYFTNHCLYFLSTPAKVLGSGGHASNKEKEMITSLFCKLAALVRHRVSLFGTDAPAVVNCLHILARSLDARTVMKSGPEIVKAGLRSFFESASEDIEKMVENLRLGKVSQARTQVKGVGQNLTYTTVALLPVLTTLFQHIAQHQFGDDVILDDVQVSCYRTLCSIYSLGTTKNTYVEKLRPALGECLARLAAAMPVAFLEPQLNEYNACSVYTTKSPRERAILGLPNSVEEMCPDIPVLERLMADIGGLAESGARYTEMPHVIEITLPMLCSYLPRWWERGPEAPPSALPAGAPPPCTAVTSDHLNSLLGNILRIIVNNLGIDEASWMKRLAVFAQPIVSRARPELLQSHFIPTIGRLRKRAGKVVSEEEQLRLEAKAEAQEGELLVRDEFSVLCRDLYALYPLLIRYVDNNRAQWLTEPNPSAEELFRMVGEIFIYWSKSHNFKREEQNFVVQNEINNMSFLTADNKSKMAKAGDIQSGGSDQERTKKKRRGDRYSVQTSLIVATLKKMLPIGLNMCAPTDQDLITLAKTRYALKDTDEEVREFLHNNLHLQGKVEGSPSLRWQMALYRGVPGREEDADDPEKIVRRVQEVSAVLYYLDQTEHPYKSKKAVWHKLLSKQRRRAVVACFRMTPLYNLPTHRACNMFLESYKAAWILTEDHSFEDRMIDDLSKAGEQEEEEEEVEEKKPDPLHQLVLHFSRTALTEKSKLDEDYLYMAYADIMAKSCHLEEGGENGEAEEEVEVSFEEKQMEKQRLLYQQARLHTRGAAEMVLQMISACKGETGAMVSSTLKLGISILNGGNAEVQQKMLDYLKDKKEVGFFQSIQALMQTCSVLDLNAFERQNKAEGLGMVNEDGTVINRQNGEKVMADDEFTQDLFRFLQLLCEGHNNDFQNYLRTQTGNTTTINIIICTVDYLLRLQESISDFYWYYSGKDVIEEQGKRNFSKAMSVAKQVFNSLTEYIQGPCTGNQQSLAHSRLWDAVVGFLHVFAHMMMKLAQDSSQIELLKELLDLQKDMVVMLLSLLEGNVVNGMIARQMVDMLVESSSNVEMILKFFDMFLKLKDIVGSEAFQDYVTDPRGLISKKDFQKAMDSQKQFSGPEIQFLLSCSEADENEMINCEEFANRFQEPARDIGFNVAVLLTNLSEHVPHDPRLHNFLELAESILEYFRPYLGRIEIMGASRRIERIYFEISETNRAQWEMPQVKESKRQFIFDVVNEGGEAEKMELFVSFCEDTIFEMQIAAQISEPEGEPETDEDEGAGAAEAGAEGAEEGAAGLEGTAATAAAGATARVVAAAGRALRGLSYRSLRRRVRRLRRLTAREAATAVAALLWAAVTRAGAAGAGAAAGALGLLWGSLFGGGLVEGAKKVTVTELLAGMPDPTSDEVHGEQPAGPGGDADGEGASEGAGDAAEGAGDEEEAVHEAGPGGADGAVAVTDGGPFRPEGAGGLGDMGDTTPAEPPTPEGSPILKRKLGVDGVEEELPPEPEPEPEPELEPEKADAENGEKEEVPEPTPEPPKKQAPPSPPPKKEEAGGEFWGELEVQRVKFLNYLSRNFYTLRFLALFLAFAINFILLFYKVSDSPPGEDDMEGSAAGDVSGAGSGGSSGWGLGAGEEAEGDEDENMVYYFLEESTGYMEPALRCLSLLHTLVAFLCIIGYNCLKVPLVIFKREKELARKLEFDGLYITEQPEDDDVKGQWDRLVLNTPSFPSNYWDKFVKRKVLDKHGDIYGRERIAELLGMDLATLEITAHNERKPNPPPGLLTWLMSIDVKYQIWKFGVIFTDNSFLYLGWYMVMSLLGHYNNFFFAAHLLDIAMGVKTLRTILSSVTHNGKQLVMTVGLLAVVVYLYTVVAFNFFRKFYNKSEDEDEPDMKCDDMMTCYLFHMYVGVRAGGGIGDEIEDPAGDEYELYRVVFDITFFFFVIVILLAIIQGLIIDAFGELRDQQEQVKEDMETKCFICGIGSDYFDTTPHGFETHTLEEHNLANYMFFLMYLINKDETEHTGQESYVWKMYQERCWDFFPAGDCFRKQYEDQLS
+>DECOY_sp|P21817|RYR1_HUMAN Ryanodine receptor 1 OS=Homo sapiens OX=9606 GN=RYR1 PE=1 SV=3
+SLQDEYQKRFCDGAPFFDWCREQYMKWVYSEQGTHETEDKNILYMLFFMYNALNHEELTHTEFGHPTTDFYDSGIGCIFCKTEMDEKVQEQQDRLEGFADIILGQIIALLIVIVFFFFTIDFVVRYLEYEDGAPDEIEDGIGGGARVGVYMHFLYCTMMDDCKMDPEDEDESKNYFKRFFNFAVVTYLYVVVALLGVTMVLQKGNHTVSSLITRLTKVGMAIDLLHAAFFFNNYHGLLSMVMYWGLYLFSNDTFIVGFKWIQYKVDISMLWTLLGPPPNPKRENHATIELTALDMGLLEAIRERGYIDGHKDLVKRKVFKDWYNSPFSPTNLVLRDWQGKVDDDEPQETIYLGDFELKRALEKERKFIVLPVKLCNYGIICLFAVLTHLLSLCRLAPEMYGTSEELFYYVMNEDEDGEAEEGAGLGWGSSGGSGAGSVDGAASGEMDDEGPPSDSVKYFLLIFNIAFALFLALFRLTYFNRSLYNLFKVRQVELEGWFEGGAEEKKPPPSPPAQKKPPEPTPEPVEEKEGNEADAKEPELEPEPEPEPEPPLEEEVGDVGLKRKLIPSGEPTPPEAPTTDGMDGLGGAGEPRFPGGDTVAVAGDAGGPGAEHVAEEEDGAGEAADGAGESAGEGDADGGPGAPQEGHVEDSTPDPMGALLETVTVKKAGEVLGGGFLSGWLLGLAGAAAGAGAAGARTVAAWLLAAVATAAERATLRRLRRVRRRLSRYSLGRLARGAAAVVRATAGAAATAATGELGAAGEEAGEAGAEAAGAGEDEDTEPEGEPESIQAAIQMEFITDECFSVFLEMKEAEGGENVVDFIFQRKSEKVQPMEWQARNTESIEFYIREIRRSAGMIEIRGLYPRFYELISEALELFNHLRPDHPVHESLNTLLVAVNFGIDRAPEQFRNAFEECNIMENEDAESCSLLFQIEPGSFQKQSDMAKQFDKKSILGRPDTVYDQFAESGVIDKLKLFMDFFKLIMEVNSSSEVLMDVMQRAIMGNVVNGELLSLLMVVMDKQLDLLEKLLEIQSSDQALKMMMHAFVHLFGVVADWLRSHALSQQNGTCPGQIYETLSNFVQKAVSMAKSFNRKGQEEIVDKGSYYWYFDSISEQLRLLYDVTCIIINITTTNGTQTRLYNQFDNNHGECLLQLFRFLDQTFEDDAMVKEGNQRNIVTGDENVMGLGEAKNQREFANLDLVSCTQMLAQISQFFGVEKKDKLYDLMKQQVEANGGNLISIGLKLTSSVMAGTEGKCASIMQLVMEAAGRTHLRAQQYLLRQKEMQKEEFSVEVEEEAEGNEGGEELHCSKAMIDAYAMYLYDEDLKSKETLATRSFHLVLQHLPDPKKEEVEEEEEEQEGAKSLDDIMRDEFSHDETLIWAAKYSELFMNCARHTPLNYLPTMRFCAVVARRRQKSLLKHWVAKKSKYPHETQDLYYLVASVEQVRRVIKEPDDADEERGPVGRYLAMQWRLSPSGEVKGQLHLNNHLFERVEEDTDKLAYRTKALTILDQDTPACMNLGIPLMKKLTAVILSTQVSYRDGRRKKKTREQDSGGSQIDGAKAMKSKNDATLFSMNNIENQVVFNQEERKFNHSKSWYIFIEGVMRFLEEASPNPETLWQARNNDVYRILLPYLAYLDRCLVSFEDRVLLEGEQAEAKAELRLQEEESVVKGARKRLRGITPIFHSQLLEPRARSVIPQAFVALRKMWSAEDIGLNNVIIRLINGLLSNLHDSTVATCPPPAGAPLASPPAEPGREWWRPLYSCLMPLTIEIVHPMETYRAGSEALGGIDAMLRELVPIDPCMEEVSNPLGLIARERPSKTTYVSCANYENLQPELFAVPMAAALRALCEGLAPRLKEVYTNKTTGLSYISCLTRYCSVQVDDLIVDDGFQHQAIHQFLTTLVPLLAVTTYTLNQGVGKVQTRAQSVKGLRLNEVMKEIDESASEFFSRLGAKVIEPGSKMVTRADLSRALIHLCNVVAPADTGFLSVRHRVLAALKCFLSTIMEKEKNSAHGGSGLVKAPTSLFYLCHNTFYQNILPLLIKAFFKIEQEHPSKEVRGSSVVAELHAIFEQSIDMWRLLQQLFGFAFRKEISSSDLEMDKLGRTVAYGNMQLFKLLEQAKERDRAKEKATLTDYPVLLPHTGGGKAELEQKKKRGWTNHYNEALQEAMAQLERSLTVASLDPPQPNYGERPDYTQASQSIKRTKKKETKEEEGERAKEITWEWAIMAKLSEKIPWRYIEKDKESFTKYPRLMPHTKLEEDINEGYSWNNQIKDFAWKEHTYEAFKNIFSDLKEPIIVNLTEVPRPDFNGEADVTAKKEAKSSYSADVYDPPLAGAIACLCPMAMRYLEPDYKKHALSDFIGWFLKRTLHLEEESTVGFNAWGTPLCYYKWCREYHNTLLKLPMKAFENLIPVDFVLRRLLHQLMSPRIYRCLSMLCDEIVDRQAKTLSRGRSLRYVTHLMSDVMIARHETGAFLPACKTILPLVALCLYRNLALAMETTSFTATDLSAAARMDPLFGVDLVHLLFDQNEIGYVRDLFLVMSAKHDPVFSASMKPQVLAGDKGLTPIQLPLSIIGVLDELPVLSRLIARIRLAEGKGAQILHMEPACRGLLDILAAYFSMIAHGLHVRNEEPPEEGFHERRRDRRIGPGDRAPDESIRIAEEIAALLGSGGEGRLAPGFCEPKRILLRVVVNANEEVSEGNVFVAFRLFDLYREGGCPNWGIDPYGKAVLMPCSQLGCGALYSVVKELDQEQLALALENNDIVSAAAVDLPTSGQMGLGIGSNELLYSLHDFMSRQNQRSIRCFYCLFRCCSTVMKPFRIEKSEGGGLVNVMVEMVTEHMGLARMLNPHQYFVKNNMINGISQIMLNEEQPGMQVILLSRIQGLCELLSMTDEVSSPSITYARPLARLLEGLGDYQRHLLSFMARVLEPSQVFDEQAWRVVMHSVLEQLSRPKSEEASREEEPKEEKKKVLRVKELLSMLRSGLTTEEEPEEEEGDLQIGCHALLDQHFDLLDQRIEEPLPCDEEDTGDKFQLLMNIQEQPPSRFERTRRATEAATMSFAKILLGYRSRQNAQLKDVYREAFAALSEVRHQLEQDCFYELLHCMQLKVSEPLKMQLLGEELGEEKEGEAAEEEEKEEDEKEQATEEEDEEKEEEDEEEGEEEEDEEEEEETFVEPEIMKLIQKVDEDGFIGMVLLTSVLKLVPVFQFEVSGGVPDRAHQGGDRVAEGLMRLAKDRLAELPIAPSLRAPAEAAGAAPLAAVFCPPSFHHPPRLSTTVGVGPLGHRPHGNETSRGPPFLTIARTEPTLPVIYESLMSRRSRCASELHISILLDYYGARLPGPLHADELAHLLQAQDVHSCLAHAVRNNGLACVARYLRLTHSHFRQLDLRESLELIDMCRNEEPIHLAMMTLPEQCQVAWGLREGARRTEVQLFHNPMRSWSVPMLMQMELRPPCQPAPNKRESQFMAASLPMINKQKGLEFQIVNQHTPLVFVAPFLKTNPEVQFFTNSEKGNATFTMLGTALDVLCGIVLDTHSIRGQQGPSVFDGGWVMYCNSCKLSSHVNGQEDGMTVTVVRVKSLDFSMDHQHYDPTVWGAWVCSPEQGAFVRVSYYYTTTNLIIEPDDRNDAPVVDHPLRPLTPTAPPQTMMAVKKAKFLFGRKKNKETTADKENEARAPQAEGGAQPTGGPAGEKATGEKGNEAESWGGASRRLNEYDPDPEAARAEDEAPPQLGPPALPTAGATCRFHQHFQVPLSLRLFLMEVLSNQSGWTRHTLRLCPPTDVTGDVRSVEYHPHELPVPEFQPLGKSFWTTVPRQMNIAFPEFGEQLGCIAFFRLSSVDQGLNLHGVQGPGLSCVPLFGDGIEIERFATESGSDSMLVEGNLTFIITNETLDIMCGVVDGPQWPRGFPESGLHWRQGRHGNFVYALEDAGLEVDPRLEPRAWGVRMEGTTVAEFEFYWRGSQVTYSKEARFIRVRDCRSQNEVQSPEQDPPEINYGYGLLTRVAQCLSDRNSRKTAEDLLRYPVLRPNRRAPIDQVASYSWGQGVRDRAWVNHGNEALRDVLTTQAPTLRVHSLDLPAPKYGNSMMYTKPLKTKKLNDEAKEDAMGVHCGLALLTKLTEGSMQLNYNREPEPLSHFDVLCPHLRKNDDRVPGYTWGQEIRTLAWLEHINEALKERIRELHPPLVIQVTDVPCPVFDTHSLCRSPGVLHPGRPGERRYEKIPELHLRERPLVAEHCPAYGPPPLFKFEGHRGGLLFRVKVGASFSVVPFFLGDLNFSEFVGQVPCGNIRFSISPVSLDLCCSIVDEPALLHQGPSTVPRAVHGTWLHLGDFGYSYLDDGVGNGGWGEGAGPYPTYGETLAWGVRLHTAQATLFPTVEDVMVEFYWKSYQTTGEARGVFINPRISTVYNILNTQLLLERGPLLNETILDQNSRVAVGNCVCLSCLVDLVKHNRGHKDLLSIISKIHNEQIINLVEPSEILVCYLVELIGSSAELRDLKSVLWDLNTSFLACNSRNGRILSALLEYLLNVIEKWSEAAEEGAFEAFHAATTYVNLRDICNLVMSLMGEEQFLSQRNRLSRLKSQKEEHQLDESPPEFYIILDQLSLIVGEIPLATGAPPGSGRPKGSFSDLSKIFQNYLGNTSHIMRAAQSEEQQCRTLSLADDMHGEQHLMAKKKLVGLRLAKPDPAAYTLWLGSAVHQVFCLSEGYKIEPPGMGEVDRKPAVDLKEKSIRFCFSTAKTHAKSADVVVLGQDETLALYQGTTVHRVRLPQGWRLHSGSWSIRLPELRWLSRAHTCVAGGEYYVLRRQDDSDAPSITLCEDMHGHFLRLVHGGTVFGEECRSCIPNMNWLTQMFSADVQLEGSATSLHLYRESSVSVLIIDDGVRVKEGESRQKSAPHMTWWCAEGTADEQLGVDFALKDTMSRSTTLCSLYMRSHAHRLLIAHGYLLTRHGGGQSSEVGAEVTNALMEQLARVSLSQELVFCCIALDPPVNQANSTPELFCLRNGFGEAALCLKLQEKLVTASCQLVVEDDTRLFQVEDEGEADGM
+>sp|Q15413|RYR3_HUMAN Ryanodine receptor 3 OS=Homo sapiens OX=9606 GN=RYR3 PE=1 SV=3
+MAEGGEGGEDEIQFLRTEDEVVLQCIATIHKEQRKFCLAAEGLGNRLCFLEPTSEAKYIPPDLCVCNFVLEQSLSVRALQEMLANTGENGGEGAAQGGGHRTLLYGHAVLLRHSFSGMYLTCLTTSRSQTDKLAFDVGLREHATGEACWWTIHPASKQRSEGEKVRIGDDLILVSVSSERYLHLSVSNGNIQVDASFMQTLWNVHPTCSGSSIEEGYLLGGHVVRLFHGHDECLTIPSTDQNDSQHRRIFYEAGGAGTRARSLWRVEPLRISWSGSNIRWGQAFRLRHLTTGHYLALTEDQGLILQDRAKSDTKSTAFSFRASKELKEKLDSSHKRDIEGMGVPEIKYGDSVCFVQHIASGLWVTYKAQDAKTSRLGPLKRKVILHQEGHMDDGLTLQRCQREESQAARIIRNTTALFSQFVSGNNRTAAPITLPIEEVLQTLQDLIAYFQPPEEEMRHEDKQNKLRSLKNRQNLFKEEGMLALVLNCIDRLNVYNSVAHFAGIAREESGMAWKEILNLLYKLLAALIRGNRNNCAQFSNNLDWLISKLDRLESSSGILEVLHCILTESPEALNLIAEGHIKSIISLLDKHGRNHKVLDILCSLCLCNGVAVRANQNLICDNLLPRRNLLLQTRLINDVTSIRPNIFLGVAEGSAQYKKWYFELIIDQVDPFLTAEPTHLRVGWASSSGYAPYPGGGEGWGGNGVGDDLYSYGFDGLHLWSGRIPRAVASINQHLLRSDDVVSCCLDLGVPSISFRINGQPVQGMFENFNTDGLFFPVMSFSAGVKVRFLMGGRHGEFKFLPPSGYAPCYEALLPKEKMRLEPVKEYKRDADGIRDLLGTTQFLSQASFIPCPVDTSQVILPPHLEKIRDRLAENIHELWGMNKIELGWTFGKIRDDNKRQHPCLVEFSKLPETEKNYNLQMSTETLKTLLALGCHIAHVNPAAEEDLKKVKLPKNYMMSNGYKPAPLDLSDVKLLPPQEILVDKLAENAHNVWAKDRIKQGWTYGIQQDLKNKRNPRLVPYALLDERTKKSNRDSLREAVRTFVGYGYNIEPSDQELADSAVEKVSIDKIRFFRVERSYAVRSGKWYFEFEVVTGGDMRVGWARPGCRPDVELGADDQAFVFEGNRGQRWHQGSGYFGRTWQPGDVVGCMINLDDASMIFTLNGELLITNKGSELAFADYEIENGFVPICCLGLSQIGRMNLGTDASTFKFYTMCGLQEGFEPFAVNMNRDVAMWFSKRLPTFVNVPKDHPHIEVMRIDGTMDSPPCLKVTHKTFGTQNSNADMIYCRLSMPVECHSSFSHSPCLDSEAFQKRKQMQEILSHTTTQCYYAIRIFAGQDPSCVWVGWVTPDYHLYSEKFDLNKNCTVTVTLGDERGRVHESVKRSNCYMVWGGDIVASSQRSNRSNVDLEIGCLVDLAMGMLSFSANGKELGTCYQVEPNTKVFPAVFLQPTSTSLFQFELGKLKNAMPLSAAIFRSEEKNPVPQCPPRLDVQTIQPVLWSRMPNSFLKVETERVSERHGWVVQCLEPLQMMALHIPEENRCVDILELCEQEDLMRFHYHTLRLYSAVCALGNSRVAYALCSHVDLSQLFYAIDNKYLPGLLRSGFYDLLISIHLASAKERKLMMKNEYIIPITSTTRNIRLFPDESKRHGLPGVGLRTCLKPGFRFSTPCFVVTGEDHQKQSPEIPLESLRTKALSMLTEAVQCSGAHIRDPVGGSVEFQFVPVLKLIGTLLVMGVFDDDDVRQILLLIDPSVFGEHSAGTEEGAEKEEVTQVEEKAVEAGEKAGKEAPVKGLLQTRLPESVKLQMCELLSYLCDCELQHRVEAIVAFGDIYVSKLQANQKFRYNELMQALNMSAALTARKTKEFRSPPQEQINMLLNFQLGENCPCPEEIREELYDFHEDLLLHCGVPLEEEEEEEEDTSWTGKLCALVYKIKGPPKPEKEQPTEEEERCPTTLKELISQTMICWAQEDQIQDSELVRMMFNLLRRQYDSIGELLQALRKTYTISHTSVSDTINLLAALGQIRSLLSVRMGKEEELLMINGLGDIMNNKVFYQHPNLMRVLGMHETVMEVMVNVLGTEKSQIAFPKMVASCCRFLCYFCRISRQNQKAMFEHLSYLLENSSVGLASPSMRGSTPLDVAASSVMDNNELALSLEEPDLEKVVTYLAGCGLQSCPMLLAKGYPDVGWNPIEGERYLSFLRFAVFVNSESVEENASVVVKLLIRRPECFGPALRGEGGNGLLAAMQGAIKISENPALDLPSQGYKREVSTGDDEEEEEIVHMGNAIMSFYSALIDLLGRCAPEMHLIQTGKGEAIRIRSILRSLVPTEDLVGIISIPLKLPSLNKDGSVSEPDMAANFCPDHKAPMVLFLDRVYGIKDQTFLLHLLEVGFLPDLRASASLDTVSLSTTEAALALNRYICSAVLPLLTRCAPLFAGTEHCTSLIDSTLQTIYRLSKGRSLTKAQRDTIEECLLAICNHLRPSMLQQLLRRLVFDVPQLNEYCKMPLKLLTNHYEQCWKYYCLPSGWGSYGLAVEEELHLTEKLFWGIFDSLSHKKYDPDLFRMALPCLSAIAGALPPDYLDTRITATLEKQISVDADGNFDPKPINTMNFSLPEKLEYIVTKYAEHSHDKWACDKSQSGWKYGISLDENVKTHPLIRPFKTLTEKEKEIYRWPARESLKTMLAVGWTVERTKEGEALVQQRENEKLRSVSQANQGNSYSPAPLDLSNVVLSRELQGMVEVVAENYHNIWAKKKKLELESKGGGSHPLLVPYDTLTAKEKFKDREKAQDLFKFLQVNGIIVSRGMKDMELDASSMEKRFAYKFLKKILKYVDSAQEFIAHLEAIVSSGKTEKSPRDQEIKFFAKVLLPLVDQYFTSHCLYFLSSPLKPLSSSGYASHKEKEMVAGLFCKLAALVRHRISLFGSDSTTMVSCLHILAQTLDTRTVMKSGSELVKAGLRAFFENAAEDLEKTSENLKLGKFTHSRTQIKGVSQNINYTTVALLPILTSIFEHVTQHQFGMDLLLGDVQISCYHILCSLYSLGTGKNIYVERQRPALGECLASLAAAIPVAFLEPTLNRYNPLSVFNTKTPRERSILGMPDTVEDMCPDIPQLEGLMKEINDLAESGARYTEMPHVIEVILPMLCNYLSYWWERGPENLPPSTGPCCTKVTSEHLSLILGNILKIINNNLGIDEASWMKRIAVYAQPIISKARPDLLRSHFIPTLEKLKKKAVKTVQEEEQLKADGKGDTQEAELLILDEFAVLCRDLYAFYPMLIRYVDNNRSNWLKSPDADSDQLFRMVAEVFILWCKSHNFKREEQNFVIQNEINNLAFLTGDSKSKMSKAMQVKSGGQDQERKKTKRRGDLYSIQTSLIVAALKKMLPIGLNMCTPGDQELISLAKSRYSHRDTDEEVREHLRNNLHLQEKSDDPAVKWQLNLYKDVLKSEEPFNPEKTVERVQRISAAVFHLEQVEQPLRSKKAVWHKLLSKQRKRAVVACFRMAPLYNLPRHRSINLFLHGYQRFWIETEEYSFEEKLVQDLAKSPKVEEEEEEETEKQPDPLHQIILYFSRNALTERSKLEDDPLYTSYSSMMAKSCQSGEDEEEDEDKEKTFEEKEMEKQKTLYQQARLHERGAAEMVLQMISASKGEMSPMVVETLKLGIAILNGGNAGVQQKMLDYLKEKKDAGFFQSLSGLMQSCSVLDLNAFERQNKAEGLGMVTEEGTLIVRERGEKVLQNDEFTRDLFRFLQLLCEGHNSDFQNFLRTQMGNTTTVNVIISTVDYLLRLQESISDFYWYYSGKDIIDESGQHNFSKALAVTKQIFNSLTEYIQGPCIGNQQSLAHSRLWDAVVGFLHVFANMQMKLSQDSSQIELLKELLDLLQDMVVMLLSLLEGNVVNGTIGKQMVDTLVESSTNVEMILKFFDMFLKLKDLTSSDTFKEYDPDGKGIISKKEFQKAMEGQKQYTQSEIDFLLSCAEADENDMFNYVDFVDRFHEPAKDIGFNVAVLLTNLSEHMPNDSRLKCLLDPAESVLNYFEPYLGRIEIMGGAKKIERVYFEISESSRTQWEKPQVKESKRQFIFDVVNEGGEQEKMELFVNFCEDTIFEMQLASQISESDSADRPEEEEEDEDSSYVLEIAGEEEEDGSLEPASAFAMACASVKRNVTDFLKRATLKNLRKQYRNVKKMTAKELVKVLFSFFWMLFVGLFQLLFTILGGIFQILWSTVFGGGLVEGAKNIRVTKILGDMPDPTQFGIHDDTMEAERAEVMEPGITTELVHFIKGEKGDTDIMSDLFGLHPKKEGSLKHGPEVGLGDLSEIIGKDEPPTLESTVQKKRKAQAAEMKAANEAEGKVESEKADMEDGEKEDKDKEEEQAEYLWTEVTKKKKRRCGQKVEKPEAFTANFFKGLEIYQTKLLHYLARNFYNLRFLALFVAFAINFILLFYKVTEEPLEEETEDVANLWNSFNDEEEEEAMVFFVLQESTGYMAPTLRALAIIHTIISLVCVVGYYCLKVPLVVFKREKEIARKLEFDGLYITEQPSEDDIKGQWDRLVINTPSFPNNYWDKFVKRKVINKYGDLYGAERIAELLGLDKNALDFSPVEETKAEAASLVSWLSSIDMKYHIWKLGVVFTDNSFLYLAWYTTMSVLGHYNNFFFAAHLLDIAMGFKTLRTILSSVTHNGKQLVLTVGLLAVVVYLYTVVAFNFFRKFYNKSEDDDEPDMKCDDMMTCYLFHMYVGVRAGGGIGDEIEDPAGDPYEMYRIVFDITFFFFVIVILLAIIQGLIIDAFGELRDQQEQVREDMETKCFICGIGNDYFDTTPHGFETHTLQEHNLANYLFFLMYLINKDETEHTGQESYVWKMYQERCWDFFPAGDCFRKQYEDQLG
+>DECOY_sp|Q15413|RYR3_HUMAN Ryanodine receptor 3 OS=Homo sapiens OX=9606 GN=RYR3 PE=1 SV=3
+GLQDEYQKRFCDGAPFFDWCREQYMKWVYSEQGTHETEDKNILYMLFFLYNALNHEQLTHTEFGHPTTDFYDNGIGCIFCKTEMDERVQEQQDRLEGFADIILGQIIALLIVIVFFFFTIDFVIRYMEYPDGAPDEIEDGIGGGARVGVYMHFLYCTMMDDCKMDPEDDDESKNYFKRFFNFAVVTYLYVVVALLGVTLVLQKGNHTVSSLITRLTKFGMAIDLLHAAFFFNNYHGLVSMTTYWALYLFSNDTFVVGLKWIHYKMDISSLWSVLSAAEAKTEEVPSFDLANKDLGLLEAIREAGYLDGYKNIVKRKVFKDWYNNPFSPTNIVLRDWQGKIDDESPQETIYLGDFELKRAIEKERKFVVLPVKLCYYGVVCVLSIITHIIALARLTPAMYGTSEQLVFFVMAEEEEEDNFSNWLNAVDETEEELPEETVKYFLLIFNIAFAVFLALFRLNYFNRALYHLLKTQYIELGKFFNATFAEPKEVKQGCRRKKKKTVETWLYEAQEEEKDKDEKEGDEMDAKESEVKGEAENAAKMEAAQAKRKKQVTSELTPPEDKGIIESLDGLGVEPGHKLSGEKKPHLGFLDSMIDTDGKEGKIFHVLETTIGPEMVEAREAEMTDDHIGFQTPDPMDGLIKTVRINKAGEVLGGGFVTSWLIQFIGGLITFLLQFLGVFLMWFFSFLVKVLEKATMKKVNRYQKRLNKLTARKLFDTVNRKVSACAMAFASAPELSGDEEEEGAIELVYSSDEDEEEEEPRDASDSESIQSALQMEFITDECFNVFLEMKEQEGGENVVDFIFQRKSEKVQPKEWQTRSSESIEFYVREIKKAGGMIEIRGLYPEFYNLVSEAPDLLCKLRSDNPMHESLNTLLVAVNFGIDKAPEHFRDVFDVYNFMDNEDAEACSLLFDIESQTYQKQGEMAKQFEKKSIIGKGDPDYEKFTDSSTLDKLKLFMDFFKLIMEVNTSSEVLTDVMQKGITGNVVNGELLSLLMVVMDQLLDLLEKLLEIQSSDQSLKMQMNAFVHLFGVVADWLRSHALSQQNGICPGQIYETLSNFIQKTVALAKSFNHQGSEDIIDKGSYYWYFDSISEQLRLLYDVTSIIVNVTTTNGMQTRLFNQFDSNHGECLLQLFRFLDRTFEDNQLVKEGRERVILTGEETVMGLGEAKNQREFANLDLVSCSQMLGSLSQFFGADKKEKLYDLMKQQVGANGGNLIAIGLKLTEVVMPSMEGKSASIMQLVMEAAGREHLRAQQYLTKQKEMEKEEFTKEKDEDEEEDEGSQCSKAMMSSYSTYLPDDELKSRETLANRSFYLIIQHLPDPQKETEEEEEEEVKPSKALDQVLKEEFSYEETEIWFRQYGHLFLNISRHRPLNYLPAMRFCAVVARKRQKSLLKHWVAKKSRLPQEVQELHFVAASIRQVREVTKEPNFPEESKLVDKYLNLQWKVAPDDSKEQLHLNNRLHERVEEDTDRHSYRSKALSILEQDGPTCMNLGIPLMKKLAAVILSTQISYLDGRRKTKKREQDQGGSKVQMAKSMKSKSDGTLFALNNIENQIVFNQEERKFNHSKCWLIFVEAVMRFLQDSDADPSKLWNSRNNDVYRILMPYFAYLDRCLVAFEDLILLEAEQTDGKGDAKLQEEEQVTKVAKKKLKELTPIFHSRLLDPRAKSIIPQAYVAIRKMWSAEDIGLNNNIIKLINGLILSLHESTVKTCCPGTSPPLNEPGREWWYSLYNCLMPLIVEIVHPMETYRAGSEALDNIEKMLGELQPIDPCMDEVTDPMGLISRERPTKTNFVSLPNYRNLTPELFAVPIAAALSALCEGLAPRQREVYINKGTGLSYLSCLIHYCSIQVDGLLLDMGFQHQTVHEFISTLIPLLAVTTYNINQSVGKIQTRSHTFKGLKLNESTKELDEAANEFFARLGAKVLESGSKMVTRTDLTQALIHLCSVMTTSDSGFLSIRHRVLAALKCFLGAVMEKEKHSAYGSSSLPKLPSSLFYLCHSTFYQDVLPLLVKAFFKIEQDRPSKETKGSSVIAELHAIFEQASDVYKLIKKLFKYAFRKEMSSADLEMDKMGRSVIIGNVQLFKFLDQAKERDKFKEKATLTDYPVLLPHSGGGKSELELKKKKAWINHYNEAVVEVMGQLERSLVVNSLDLPAPSYSNGQNAQSVSRLKENERQQVLAEGEKTREVTWGVALMTKLSERAPWRYIEKEKETLTKFPRILPHTKVNEDLSIGYKWGSQSKDCAWKDHSHEAYKTVIYELKEPLSFNMTNIPKPDFNGDADVSIQKELTATIRTDLYDPPLAGAIASLCPLAMRFLDPDYKKHSLSDFIGWFLKETLHLEEEVALGYSGWGSPLCYYKWCQEYHNTLLKLPMKCYENLQPVDFVLRRLLQQLMSPRLHNCIALLCEEITDRQAKTLSRGKSLRYITQLTSDILSTCHETGAFLPACRTLLPLVASCIYRNLALAAETTSLSVTDLSASARLDPLFGVELLHLLFTQDKIGYVRDLFLVMPAKHDPCFNAAMDPESVSGDKNLSPLKLPISIIGVLDETPVLSRLISRIRIAEGKGTQILHMEPACRGLLDILASYFSMIANGMHVIEEEEEDDGTSVERKYGQSPLDLAPNESIKIAGQMAALLGNGGEGRLAPGFCEPRRILLKVVVSANEEVSESNVFVAFRLFSLYREGEIPNWGVDPYGKALLMPCSQLGCGALYTVVKELDPEELSLALENNDMVSSAAVDLPTSGRMSPSALGVSSNELLYSLHEFMAKQNQRSIRCFYCLFRCCSAVMKPFAIQSKETGLVNVMVEMVTEHMGLVRMLNPHQYFVKNNMIDGLGNIMLLEEEKGMRVSLLSRIQGLAALLNITDSVSTHSITYTKRLAQLLEGISDYQRRLLNFMMRVLESDQIQDEQAWCIMTQSILEKLTTPCREEEETPQEKEPKPPGKIKYVLACLKGTWSTDEEEEEEEELPVGCHLLLDEHFDYLEERIEEPCPCNEGLQFNLLMNIQEQPPSRFEKTKRATLAASMNLAQMLENYRFKQNAQLKSVYIDGFAVIAEVRHQLECDCLYSLLECMQLKVSEPLRTQLLGKVPAEKGAKEGAEVAKEEVQTVEEKEAGEETGASHEGFVSPDILLLIQRVDDDDFVGMVLLTGILKLVPVFQFEVSGGVPDRIHAGSCQVAETLMSLAKTRLSELPIEPSQKQHDEGTVVFCPTSFRFGPKLCTRLGVGPLGHRKSEDPFLRINRTTSTIPIIYENKMMLKREKASALHISILLDYFGSRLLGPLYKNDIAYFLQSLDVHSCLAYAVRSNGLACVASYLRLTHYHFRMLDEQECLELIDVCRNEEPIHLAMMQLPELCQVVWGHRESVRETEVKLFSNPMRSWLVPQITQVDLRPPCQPVPNKEESRFIAASLPMANKLKGLEFQFLSTSTPQLFVAPFVKTNPEVQYCTGLEKGNASFSLMGMALDVLCGIELDVNSRNSRQSSAVIDGGWVMYCNSRKVSEHVRGREDGLTVTVTCNKNLDFKESYLHYDPTVWGVWVCSPDQGAFIRIAYYCQTTTHSLIEQMQKRKQFAESDLCPSHSFSSHCEVPMSLRCYIMDANSNQTGFTKHTVKLCPPSDMTGDIRMVEIHPHDKPVNVFTPLRKSFWMAVDRNMNVAFPEFGEQLGCMTYFKFTSADTGLNMRGIQSLGLCCIPVFGNEIEYDAFALESGKNTILLEGNLTFIMSADDLNIMCGVVDGPQWTRGFYGSGQHWRQGRNGEFVFAQDDAGLEVDPRCGPRAWGVRMDGGTVVEFEFYWKGSRVAYSREVRFFRIKDISVKEVASDALEQDSPEINYGYGVFTRVAERLSDRNSKKTREDLLAYPVLRPNRKNKLDQQIGYTWGQKIRDKAWVNHANEALKDVLIEQPPLLKVDSLDLPAPKYGNSMMYNKPLKVKKLDEEAAPNVHAIHCGLALLTKLTETSMQLNYNKETEPLKSFEVLCPHQRKNDDRIKGFTWGLEIKNMGWLEHINEALRDRIKELHPPLIVQSTDVPCPIFSAQSLFQTTGLLDRIGDADRKYEKVPELRMKEKPLLAEYCPAYGSPPLFKFEGHRGGMLFRVKVGASFSMVPFFLGDTNFNEFMGQVPQGNIRFSISPVGLDLCCSVVDDSRLLHQNISAVARPIRGSWLHLGDFGYSYLDDGVGNGGWGEGGGPYPAYGSSSAWGVRLHTPEATLFPDVQDIILEFYWKKYQASGEAVGLFINPRISTVDNILRTQLLLNRRPLLNDCILNQNARVAVGNCLCLSCLIDLVKHNRGHKDLLSIISKIHGEAILNLAEPSETLICHLVELIGSSSELRDLKSILWDLNNSFQACNNRNGRILAALLKYLLNLIEKWAMGSEERAIGAFHAVSNYVNLRDICNLVLALMGEEKFLNQRNKLSRLKNQKDEHRMEEEPPQFYAILDQLTQLVEEIPLTIPAATRNNGSVFQSFLATTNRIIRAAQSEERQCRQLTLGDDMHGEQHLIVKRKLPGLRSTKADQAKYTVWLGSAIHQVFCVSDGYKIEPVGMGEIDRKHSSDLKEKLEKSARFSFATSKTDSKARDQLILGQDETLALYHGTTLHRLRFAQGWRINSGSWSIRLPEVRWLSRARTGAGGAEYFIRRHQSDNQDTSPITLCEDHGHFLRVVHGGLLYGEEISSGSCTPHVNWLTQMFSADVQINGNSVSLHLYRESSVSVLILDDGIRVKEGESRQKSAPHITWWCAEGTAHERLGVDFALKDTQSRSTTLCTLYMGSFSHRLLVAHGYLLTRHGGGQAAGEGGNEGTNALMEQLARVSLSQELVFNCVCLDPPIYKAESTPELFCLRNGLGEAALCFKRQEKHITAICQLVVEDETRLFQIEDEGGEGGEAM
+>sp|P06703|S10A6_HUMAN Protein S100-A6 OS=Homo sapiens OX=9606 GN=S100A6 PE=1 SV=1
+MACPLDQAIGLLVAIFHKYSGREGDKHTLSKKELKELIQKELTIGSKLQDAEIARLMEDLDRNKDQEVNFQEYVTFLGALALIYNEALKG
+>DECOY_sp|P06703|S10A6_HUMAN Protein S100-A6 OS=Homo sapiens OX=9606 GN=S100A6 PE=1 SV=1
+GKLAENYILALAGLFTVYEQFNVEQDKNRDLDEMLRAIEADQLKSGITLEKQILEKLEKKSLTHKDGERGSYKHFIAVLLGIAQDLPCAM
+>sp|P60903|S10AA_HUMAN Protein S100-A10 OS=Homo sapiens OX=9606 GN=S100A10 PE=1 SV=2
+MPSQMEHAMETMMFTFHKFAGDKGYLTKEDLRVLMEKEFPGFLENQKDPLAVDKIMKDLDQCRDGKVGFQSFFSLIAGLTIACNDYFVVHMKQKGKK
+>DECOY_sp|P60903|S10AA_HUMAN Protein S100-A10 OS=Homo sapiens OX=9606 GN=S100A10 PE=1 SV=2
+KKGKQKMHVVFYDNCAITLGAILSFFSQFGVKGDRCQDLDKMIKDVALPDKQNELFGPFEKEMLVRLDEKTLYGKDGAFKHFTFMMTEMAHEMQSPM
+>sp|Q99584|S10AD_HUMAN Protein S100-A13 OS=Homo sapiens OX=9606 GN=S100A13 PE=1 SV=1
+MAAEPLTELEESIETVVTTFFTFARQEGRKDSLSVNEFKELVTQQLPHLLKDVGSLDEKMKSLDVNQDSELKFNEYWRLIGELAKEIRKKKDLKIRKK
+>DECOY_sp|Q99584|S10AD_HUMAN Protein S100-A13 OS=Homo sapiens OX=9606 GN=S100A13 PE=1 SV=1
+KKRIKLDKKKRIEKALEGILRWYENFKLESDQNVDLSKMKEDLSGVDKLLHPLQQTVLEKFENVSLSDKRGEQRAFTFFTTVVTEISEELETLPEAAM
+>sp|Q96FQ6|S10AG_HUMAN Protein S100-A16 OS=Homo sapiens OX=9606 GN=S100A16 PE=1 SV=1
+MSDCYTELEKAVIVLVENFYKYVSKYSLVKNKISKSSFREMLQKELNHMLSDTGNRKAADKLIQNLDANHDGRISFDEYWTLIGGITGPIAKLIHEQEQQSSS
+>DECOY_sp|Q96FQ6|S10AG_HUMAN Protein S100-A16 OS=Homo sapiens OX=9606 GN=S100A16 PE=1 SV=1
+SSSQQEQEHILKAIPGTIGGILTWYEDFSIRGDHNADLNQILKDAAKRNGTDSLMHNLEKQLMERFSSKSIKNKVLSYKSVYKYFNEVLVIVAKELETYCDSM
+>sp|P55011|S12A2_HUMAN Solute carrier family 12 member 2 OS=Homo sapiens OX=9606 GN=SLC12A2 PE=1 SV=1
+MEPRPTAPSSGAPGLAGVGETPSAAALAAARVELPGTAVPSVPEDAAPASRDGGGVRDEGPAAAGDGLGRPLGPTPSQSRFQVDLVSENAGRAAAAAAAAAAAAAAAGAGAGAKQTPADGEASGESEPAKGSEEAKGRFRVNFVDPAASSSAEDSLSDAAGVGVDGPNVSFQNGGDTVLSEGSSLHSGGGGGSGHHQHYYYDTHTNTYYLRTFGHNTMDAVPRIDHYRHTAAQLGEKLLRPSLAELHDELEKEPFEDGFANGEESTPTRDAVVTYTAESKGVVKFGWIKGVLVRCMLNIWGVMLFIRLSWIVGQAGIGLSVLVIMMATVVTTITGLSTSAIATNGFVRGGGAYYLISRSLGPEFGGAIGLIFAFANAVAVAMYVVGFAETVVELLKEHSILMIDEINDIRIIGAITVVILLGISVAGMEWEAKAQIVLLVILLLAIGDFVIGTFIPLESKKPKGFFGYKSEIFNENFGPDFREEETFFSVFAIFFPAATGILAGANISGDLADPQSAIPKGTLLAILITTLVYVGIAVSVGSCVVRDATGNVNDTIVTELTNCTSAACKLNFDFSSCESSPCSYGLMNNFQVMSMVSGFTPLISAGIFSATLSSALASLVSAPKIFQALCKDNIYPAFQMFAKGYGKNNEPLRGYILTFLIALGFILIAELNVIAPIISNFFLASYALINFSVFHASLAKSPGWRPAFKYYNMWISLLGAILCCIVMFVINWWAALLTYVIVLGLYIYVTYKKPDVNWGSSTQALTYLNALQHSIRLSGVEDHVKNFRPQCLVMTGAPNSRPALLHLVHDFTKNVGLMICGHVHMGPRRQAMKEMSIDQAKYQRWLIKNKMKAFYAPVHADDLREGAQYLMQAAGLGRMKPNTLVLGFKKDWLQADMRDVDMYINLFHDAFDIQYGVVVIRLKEGLDISHLQGQEELLSSQEKSPGTKDVVVSVEYSKKSDLDTSKPLSEKPITHKVEEEDGKTATQPLLKKESKGPIVPLNVADQKLLEASTQFQKKQGKNTIDVWWLFDDGGLTLLIPYLLTTKKKWKDCKIRVFIGGKINRIDHDRRAMATLLSKFRIDFSDIMVLGDINTKPKKENIIAFEEIIEPYRLHEDDKEQDIADKMKEDEPWRITDNELELYKTKTYRQIRLNELLKEHSSTANIIVMSLPVARKGAVSSALYMAWLEALSKDLPPILLVRGNHQSVLTFYS
+>DECOY_sp|P55011|S12A2_HUMAN Solute carrier family 12 member 2 OS=Homo sapiens OX=9606 GN=SLC12A2 PE=1 SV=1
+SYFTLVSQHNGRVLLIPPLDKSLAELWAMYLASSVAGKRAVPLSMVIINATSSHEKLLENLRIQRYTKTKYLELENDTIRWPEDEKMKDAIDQEKDDEHLRYPEIIEEFAIINEKKPKTNIDGLVMIDSFDIRFKSLLTAMARRDHDIRNIKGGIFVRIKCDKWKKKTTLLYPILLTLGGDDFLWWVDITNKGQKKQFQTSAELLKQDAVNLPVIPGKSEKKLLPQTATKGDEEEVKHTIPKESLPKSTDLDSKKSYEVSVVVDKTGPSKEQSSLLEEQGQLHSIDLGEKLRIVVVGYQIDFADHFLNIYMDVDRMDAQLWDKKFGLVLTNPKMRGLGAAQMLYQAGERLDDAHVPAYFAKMKNKILWRQYKAQDISMEKMAQRRPGMHVHGCIMLGVNKTFDHVLHLLAPRSNPAGTMVLCQPRFNKVHDEVGSLRISHQLANLYTLAQTSSGWNVDPKKYTVYIYLGLVIVYTLLAAWWNIVFMVICCLIAGLLSIWMNYYKFAPRWGPSKALSAHFVSFNILAYSALFFNSIIPAIVNLEAILIFGLAILFTLIYGRLPENNKGYGKAFMQFAPYINDKCLAQFIKPASVLSALASSLTASFIGASILPTFGSVMSMVQFNNMLGYSCPSSECSSFDFNLKCAASTCNTLETVITDNVNGTADRVVCSGVSVAIGVYVLTTILIALLTGKPIASQPDALDGSINAGALIGTAAPFFIAFVSFFTEEERFDPGFNENFIESKYGFFGKPKKSELPIFTGIVFDGIALLLIVLLVIQAKAEWEMGAVSIGLLIVVTIAGIIRIDNIEDIMLISHEKLLEVVTEAFGVVYMAVAVANAFAFILGIAGGFEPGLSRSILYYAGGGRVFGNTAIASTSLGTITTVVTAMMIVLVSLGIGAQGVIWSLRIFLMVGWINLMCRVLVGKIWGFKVVGKSEATYTVVADRTPTSEEGNAFGDEFPEKELEDHLEALSPRLLKEGLQAATHRYHDIRPVADMTNHGFTRLYYTNTHTDYYYHQHHGSGGGGGSHLSSGESLVTDGGNQFSVNPGDVGVGAADSLSDEASSSAAPDVFNVRFRGKAEESGKAPESEGSAEGDAPTQKAGAGAGAAAAAAAAAAAAAAARGANESVLDVQFRSQSPTPGLPRGLGDGAAAPGEDRVGGGDRSAPAADEPVSPVATGPLEVRAAALAAASPTEGVGALGPAGSSPATPRPEM
+>sp|Q9H2X9|S12A5_HUMAN Solute carrier family 12 member 5 OS=Homo sapiens OX=9606 GN=SLC12A5 PE=1 SV=3
+MSRRFTVTSLPPAGPARSPDPESRRHSVADPRHLPGEDVKGDGNPKESSPFINSTDTEKGKEYDGKNMALFEEEMDTSPMVSSLLSGLANYTNLPQGSREHEEAENNEGGKKKPVQAPRMGTFMGVYLPCLQNIFGVILFLRLTWVVGIAGIMESFCMVFICCSCTMLTAISMSAIATNGVVPAGGSYYMISRSLGPEFGGAVGLCFYLGTTFAGAMYILGTIEILLAYLFPAMAIFKAEDASGEAAAMLNNMRVYGTCVLTCMATVVFVGVKYVNKFALVFLGCVILSILAIYAGVIKSAFDPPNFPICLLGNRTLSRHGFDVCAKLAWEGNETVTTRLWGLFCSSRFLNATCDEYFTRNNVTEIQGIPGAASGLIKENLWSSYLTKGVIVERSGMTSVGLADGTPIDMDHPYVFSDMTSYFTLLVGIYFPSVTGIMAGSNRSGDLRDAQKSIPTGTILAIATTSAVYISSVVLFGACIEGVVLRDKFGEAVNGNLVVGTLAWPSPWVIVIGSFFSTCGAGLQSLTGAPRLLQAISRDGIVPFLQVFGHGKANGEPTWALLLTACICEIGILIASLDEVAPILSMFFLMCYMFVNLACAVQTLLRTPNWRPRFRYYHWTLSFLGMSLCLALMFICSWYYALVAMLIAGLIYKYIEYRGAEKEWGDGIRGLSLSAARYALLRLEEGPPHTKNWRPQLLVLVRVDQDQNVVHPQLLSLTSQLKAGKGLTIVGSVLEGTFLENHPQAQRAEESIRRLMEAEKVKGFCQVVISSNLRDGVSHLIQSGGLGGLQHNTVLVGWPRNWRQKEDHQTWRNFIELVRETTAGHLALLVTKNVSMFPGNPERFSEGSIDVWWIVHDGGMLMLLPFLLRHHKVWRKCKMRIFTVAQMDDNSIQMKKDLTTFLYHLRITAEVEVVEMHESDISAYTYEKTLVMEQRSQILKQMHLTKNEREREIQSITDESRGSIRRKNPANTRLRLNVPEETAGDSEEKPEEEVQLIHDQSAPSCPSSSPSPGEEPEGEGETDPEKVHLTWTKDKSVAEKNKGPSPVSSEGIKDFFSMKPEWENLNQSNVRRMHTAVRLNEVIVKKSRDAKLVLLNMPGPPRNRNGDENYMEFLEVLTEHLDRVMLVRGGGREVITIYS
+>DECOY_sp|Q9H2X9|S12A5_HUMAN Solute carrier family 12 member 5 OS=Homo sapiens OX=9606 GN=SLC12A5 PE=1 SV=3
+SYITIVERGGGRVLMVRDLHETLVELFEMYNEDGNRNRPPGPMNLLVLKADRSKKVIVENLRVATHMRRVNSQNLNEWEPKMSFFDKIGESSVPSPGKNKEAVSKDKTWTLHVKEPDTEGEGEPEEGPSPSSSPCSPASQDHILQVEEEPKEESDGATEEPVNLRLRTNAPNKRRISGRSEDTISQIERERENKTLHMQKLIQSRQEMVLTKEYTYASIDSEHMEVVEVEATIRLHYLFTTLDKKMQISNDDMQAVTFIRMKCKRWVKHHRLLFPLLMLMGGDHVIWWVDISGESFREPNGPFMSVNKTVLLALHGATTERVLEIFNRWTQHDEKQRWNRPWGVLVTNHQLGGLGGSQILHSVGDRLNSSIVVQCFGKVKEAEMLRRISEEARQAQPHNELFTGELVSGVITLGKGAKLQSTLSLLQPHVVNQDQDVRVLVLLQPRWNKTHPPGEELRLLAYRAASLSLGRIGDGWEKEAGRYEIYKYILGAILMAVLAYYWSCIFMLALCLSMGLFSLTWHYYRFRPRWNPTRLLTQVACALNVFMYCMLFFMSLIPAVEDLSAILIGIECICATLLLAWTPEGNAKGHGFVQLFPVIGDRSIAQLLRPAGTLSQLGAGCTSFFSGIVIVWPSPWALTGVVLNGNVAEGFKDRLVVGEICAGFLVVSSIYVASTTAIALITGTPISKQADRLDGSRNSGAMIGTVSPFYIGVLLTFYSTMDSFVYPHDMDIPTGDALGVSTMGSREVIVGKTLYSSWLNEKILGSAAGPIGQIETVNNRTFYEDCTANLFRSSCFLGWLRTTVTENGEWALKACVDFGHRSLTRNGLLCIPFNPPDFASKIVGAYIALISLIVCGLFVLAFKNVYKVGVFVVTAMCTLVCTGYVRMNNLMAAAEGSADEAKFIAMAPFLYALLIEITGLIYMAGAFTTGLYFCLGVAGGFEPGLSRSIMYYSGGAPVVGNTAIASMSIATLMTCSCCIFVMCFSEMIGAIGVVWTLRLFLIVGFINQLCPLYVGMFTGMRPAQVPKKKGGENNEAEEHERSGQPLNTYNALGSLLSSVMPSTDMEEEFLAMNKGDYEKGKETDTSNIFPSSEKPNGDGKVDEGPLHRPDAVSHRRSEPDPSRAPGAPPLSTVTFRRSM
+>sp|Q13183|S13A2_HUMAN Solute carrier family 13 member 2 OS=Homo sapiens OX=9606 GN=SLC13A2 PE=2 SV=1
+MATCWQALWAYRSYLIVFFVPILLLPLPILVPSKEAYCAYAIILMALFWCTEALPLAVTALFPLILFPMMGIVDASEVAVEYLKDSNLLFFGGLLVAIAVEHWNLHKRIALRVLLIVGVRPAPLILGFMLVTAFLSMWISNTATSAMMVPIAHAVLDQLHSSQASSNVEEGSNNPTFELQEPSPQKEVTKLDNGQALPVTSASSEGRAHLSQKHLHLTQCMSLCVCYSASIGGIATLTGTAPNLVLQGQINSLFPQNGNVVNFASWFSFAFPTMVILLLLAWLWLQILFLGFNFRKNFGIGEKMQEQQQAAYCVIQTEHRLLGPMTFAEKAISILFVILVLLWFTREPGFFLGWGNLAFPNAKGESMVSDGTVAIFIGIIMFIIPSKFPGLTQDPENPGKLKAPLGLLDWKTVNQKMPWNIVLLLGGGYALAKGSERSGLSEWLGNKLTPLQSVPAPAIAIILSLLVATFTECTSNVATTTIFLPILASMAQAICLHPLYVMLPCTLATSLAFMLPVATPPNAIVFSFGDLKVLDMARAGFLLNIIGVLIIALAINSWGIPLFSLHSFPSWAQSNTTAQCLPSLANTTTPSP
+>DECOY_sp|Q13183|S13A2_HUMAN Solute carrier family 13 member 2 OS=Homo sapiens OX=9606 GN=SLC13A2 PE=2 SV=1
+PSPTTTNALSPLCQATTNSQAWSPFSHLSFLPIGWSNIALAIILVGIINLLFGARAMDLVKLDGFSFVIANPPTAVPLMFALSTALTCPLMVYLPHLCIAQAMSALIPLFITTTAVNSTCETFTAVLLSLIIAIAPAPVSQLPTLKNGLWESLGSRESGKALAYGGGLLLVINWPMKQNVTKWDLLGLPAKLKGPNEPDQTLGPFKSPIIFMIIGIFIAVTGDSVMSEGKANPFALNGWGLFFGPERTFWLLVLIVFLISIAKEAFTMPGLLRHETQIVCYAAQQQEQMKEGIGFNKRFNFGLFLIQLWLWALLLLIVMTPFAFSFWSAFNVVNGNQPFLSNIQGQLVLNPATGTLTAIGGISASYCVCLSMCQTLHLHKQSLHARGESSASTVPLAQGNDLKTVEKQPSPEQLEFTPNNSGEEVNSSAQSSHLQDLVAHAIPVMMASTATNSIWMSLFATVLMFGLILPAPRVGVILLVRLAIRKHLNWHEVAIAVLLGGFFLLNSDKLYEVAVESADVIGMMPFLILPFLATVALPLAETCWFLAMLIIAYACYAEKSPVLIPLPLLLIPVFFVILYSRYAWLAQWCTAM
+>sp|Q8WWT9|S13A3_HUMAN Solute carrier family 13 member 3 OS=Homo sapiens OX=9606 GN=SLC13A3 PE=1 SV=1
+MAALAAAAKKVWSARRLLVLLFTPLALLPVVFALPPKEGRCLFVILLMAVYWCTEALPLSVTALLPIVLFPFMGILPSNKVCPQYFLDTNFLFLSGLIMASAIEEWNLHRRIALKILMLVGVQPARLILGMMVTTSFLSMWLSNTASTAMMLPIANAILKSLFGQKEVRKDPSQESEENTAAVRRNGLHTVPTEMQFLASTEAKDHPGETEVPLDLPADSRKEDEYRRNIWKGFLISIPYSASIGGTATLTGTAPNLILLGQLKSFFPQCDVVNFGSWFIFAFPLMLLFLLAGWLWISFLYGGLSFRGWRKNKSEIRTNAEDRARAVIREEYQNLGPIKFAEQAVFILFCMFAILLFTRDPKFIPGWASLFNPGFLSDAVTGVAIVTILFFFPSQRPSLKWWFDFKAPNTETEPLLTWKKAQETVPWNIILLLGGGFAMAKGCEESGLSVWIGGQLHPLENVPPALAVLLITVVIAFFTEFASNTATIIIFLPVLAELAIRLRVHPLYLMIPGTVGCSFAFMLPVSTPPNSIAFASGHLLVKDMVRTGLLMNLMGVLLLSLAMNTWAQTIFQLGTFPDWADMYSVNVTALPPTLANDTFRTL
+>DECOY_sp|Q8WWT9|S13A3_HUMAN Solute carrier family 13 member 3 OS=Homo sapiens OX=9606 GN=SLC13A3 PE=1 SV=1
+LTRFTDNALTPPLATVNVSYMDAWDPFTGLQFITQAWTNMALSLLLVGMLNMLLGTRVMDKVLLHGSAFAISNPPTSVPLMFAFSCGVTGPIMLYLPHVRLRIALEALVPLFIIITATNSAFETFFAIVVTILLVALAPPVNELPHLQGGIWVSLGSEECGKAMAFGGGLLLIINWPVTEQAKKWTLLPETETNPAKFDFWWKLSPRQSPFFFLITVIAVGTVADSLFGPNFLSAWGPIFKPDRTFLLIAFMCFLIFVAQEAFKIPGLNQYEERIVARARDEANTRIESKNKRWGRFSLGGYLFSIWLWGALLFLLMLPFAFIFWSGFNVVDCQPFFSKLQGLLILNPATGTLTATGGISASYPISILFGKWINRRYEDEKRSDAPLDLPVETEGPHDKAETSALFQMETPVTHLGNRRVAATNEESEQSPDKRVEKQGFLSKLIANAIPLMMATSATNSLWMSLFSTTVMMGLILRAPQVGVLMLIKLAIRRHLNWEEIASAMILGSLFLFNTDLFYQPCVKNSPLIGMFPFLVIPLLATVSLPLAETCWYVAMLLIVFLCRGEKPPLAFVVPLLALPTFLLVLLRRASWVKKAAAALAAM
+>sp|Q92503|S14L1_HUMAN SEC14-like protein 1 OS=Homo sapiens OX=9606 GN=SEC14L1 PE=1 SV=2
+MVQKYQSPVRVYKYPFELIMAAYERRFPTCPLIPMFVGSDTVNEFKSEDGAIHVIERRCKLDVDAPRLLKKIAGVDYVYFVQKNSLNSRERTLHIEAYNETFSNRVIINEHCCYTVHPENEDWTCFEQSASLDIKSFFGFESTVEKIAMKQYTSNIKKGKEIIEYYLRQLEEEGITFVPRWSPPSITTSSETSSSSSKKQAASMAVVIPEAALKEGLSGDALSSPSAPEPVVGTPDDKLDADYIKRYLGDLTPLQESCLIRLRQWLQETHKGKIPKDEHILRFLRARDFNIDKAREIMCQSLTWRKQHQVDYILETWTPPQVLQDYYAGGWHHHDKDGRPLYVLRLGQMDTKGLVRALGEEALLRYVLSINEEGLRRCEENTKVFGRPISSWTCLVDLEGLNMRHLWRPGVKALLRIIEVVEANYPETLGRLLILRAPRVFPVLWTLVSPFIDDNTRRKFLIYAGNDYQGPGGLLDYIDKEIIPDFLSGECMCEVPEGGLVPKSLYRTAEELENEDLKLWTETIYQSASVFKGAPHEILIQIVDASSVITWDFDVCKGDIVFNIYHSKRSPQPPKKDSLGAHSITSPGGNNVQLIDKVWQLGRDYSMVESPLICKEGESVQGSHVTRWPGFYILQWKFHSMPACAASSLPRVDDVLASLQVSSHKCKVMYYTEVIGSEDFRGSMTSLESSHSGFSQLSAATTSSSQSHSSSMISR
+>DECOY_sp|Q92503|S14L1_HUMAN SEC14-like protein 1 OS=Homo sapiens OX=9606 GN=SEC14L1 PE=1 SV=2
+RSIMSSSHSQSSSTTAASLQSFGSHSSELSTMSGRFDESGIVETYYMVKCKHSSVQLSALVDDVRPLSSAACAPMSHFKWQLIYFGPWRTVHSGQVSEGEKCILPSEVMSYDRGLQWVKDILQVNNGGPSTISHAGLSDKKPPQPSRKSHYINFVIDGKCVDFDWTIVSSADVIQILIEHPAGKFVSASQYITETWLKLDENELEEATRYLSKPVLGGEPVECMCEGSLFDPIIEKDIYDLLGGPGQYDNGAYILFKRRTNDDIFPSVLTWLVPFVRPARLILLRGLTEPYNAEVVEIIRLLAKVGPRWLHRMNLGELDVLCTWSSIPRGFVKTNEECRRLGEENISLVYRLLAEEGLARVLGKTDMQGLRLVYLPRGDKDHHHWGGAYYDQLVQPPTWTELIYDVQHQKRWTLSQCMIERAKDINFDRARLFRLIHEDKPIKGKHTEQLWQRLRILCSEQLPTLDGLYRKIYDADLKDDPTGVVPEPASPSSLADGSLGEKLAAEPIVVAMSAAQKKSSSSSTESSTTISPPSWRPVFTIGEEELQRLYYEIIEKGKKINSTYQKMAIKEVTSEFGFFSKIDLSASQEFCTWDENEPHVTYCCHENIIVRNSFTENYAEIHLTRERSNLSNKQVFYVYDVGAIKKLLRPADVDLKCRREIVHIAGDESKFENVTDSGVFMPILPCTPFRREYAAMILEFPYKYVRVPSQYKQVM
+>sp|Q9UDX3|S14L4_HUMAN SEC14-like protein 4 OS=Homo sapiens OX=9606 GN=SEC14L4 PE=1 SV=1
+MSSRVGDLSPQQQEALARFRENLQDLLPILPNADDYFLLRWLRARNFDLQKSEDMLRRHMEFRKQQDLDNIVTWQPPEVIQLYDSGGLCGYDYEGCPVYFNIIGSLDPKGLLLSASKQDMIRKRIKVCELLLHECELQTQKLGRKIEMALMVFDMEGLSLKHLWKPAVEVYQQFFSILEANYPETLKNLIVIRAPKLFPVAFNLVKSFMSEETRRKIVILGDNWKQELTKFISPDQLPVEFGGTMTDPDGNPKCLTKINYGGEVPKSYYLCEQVRLQYEHTRSVGRGSSLQVENEILFPGCVLRWQFASDGGDIGFGVFLKTKMGEQQSAREMTEVLPSQRYNAHMVPEDGSLTCLQAGVYVLRFDNTYSRMHAKKLSYTVEVLLPDKASEETLQSLKAMRPSPTQ
+>DECOY_sp|Q9UDX3|S14L4_HUMAN SEC14-like protein 4 OS=Homo sapiens OX=9606 GN=SEC14L4 PE=1 SV=1
+QTPSPRMAKLSQLTEESAKDPLLVEVTYSLKKAHMRSYTNDFRLVYVGAQLCTLSGDEPVMHANYRQSPLVETMERASQQEGMKTKLFVGFGIDGGDSAFQWRLVCGPFLIENEVQLSSGRGVSRTHEYQLRVQECLYYSKPVEGGYNIKTLCKPNGDPDTMTGGFEVPLQDPSIFKTLEQKWNDGLIVIKRRTEESMFSKVLNFAVPFLKPARIVILNKLTEPYNAELISFFQQYVEVAPKWLHKLSLGEMDFVMLAMEIKRGLKQTQLECEHLLLECVKIRKRIMDQKSASLLLGKPDLSGIINFYVPCGEYDYGCLGGSDYLQIVEPPQWTVINDLDQQKRFEMHRRLMDESKQLDFNRARLWRLLFYDDANPLIPLLDQLNERFRALAEQQQPSLDGVRSSM
+>sp|P46059|S15A1_HUMAN Solute carrier family 15 member 1 OS=Homo sapiens OX=9606 GN=SLC15A1 PE=2 SV=1
+MGMSKSHSFFGYPLSIFFIVVNEFCERFSYYGMRAILILYFTNFISWDDNLSTAIYHTFVALCYLTPILGALIADSWLGKFKTIVSLSIVYTIGQAVTSVSSINDLTDHNHDGTPDSLPVHVVLSLIGLALIALGTGGIKPCVSAFGGDQFEEGQEKQRNRFFSIFYLAINAGSLLSTIITPMLRVQQCGIHSKQACYPLAFGVPAALMAVALIVFVLGSGMYKKFKPQGNIMGKVAKCIGFAIKNRFRHRSKAFPKREHWLDWAKEKYDERLISQIKMVTRVMFLYIPLPMFWALFDQQGSRWTLQATTMSGKIGALEIQPDQMQTVNAILIVIMVPIFDAVLYPLIAKCGFNFTSLKKMAVGMVLASMAFVVAAIVQVEIDKTLPVFPKGNEVQIKVLNIGNNTMNISLPGEMVTLGPMSQTNAFMTFDVNKLTRINISSPGSPVTAVTDDFKQGQRHTLLVWAPNHYQVVKDGLNQKPEKGENGIRFVNTFNELITITMSGKVYANISSYNASTYQFFPSGIKGFTISSTEIPPQCQPNFNTFYLEFGSAYTYIVQRKNDSCPEVKVFEDISANTVNMALQIPQYFLLTCGEVVFSVTGLEFSYSQAPSNMKSVLQAGWLLTVAVGNIIVLIVAGAGQFSKQWAEYILFAALLLVVCVIFAIMARFYTYINPAEIEAQFDEDEKKNRLEKSNPYFMSGANSQKQM
+>DECOY_sp|P46059|S15A1_HUMAN Solute carrier family 15 member 1 OS=Homo sapiens OX=9606 GN=SLC15A1 PE=2 SV=1
+MQKQSNAGSMFYPNSKELRNKKEDEDFQAEIEAPNIYTYFRAMIAFIVCVVLLLAAFLIYEAWQKSFQGAGAVILVIINGVAVTLLWGAQLVSKMNSPAQSYSFELGTVSFVVEGCTLLFYQPIQLAMNVTNASIDEFVKVEPCSDNKRQVIYTYASGFELYFTNFNPQCQPPIETSSITFGKIGSPFFQYTSANYSSINAYVKGSMTITILENFTNVFRIGNEGKEPKQNLGDKVVQYHNPAWVLLTHRQGQKFDDTVATVPSGPSSINIRTLKNVDFTMFANTQSMPGLTVMEGPLSINMTNNGINLVKIQVENGKPFVPLTKDIEVQVIAAVVFAMSALVMGVAMKKLSTFNFGCKAILPYLVADFIPVMIVILIANVTQMQDPQIELAGIKGSMTTAQLTWRSGQQDFLAWFMPLPIYLFMVRTVMKIQSILREDYKEKAWDLWHERKPFAKSRHRFRNKIAFGICKAVKGMINGQPKFKKYMGSGLVFVILAVAMLAAPVGFALPYCAQKSHIGCQQVRLMPTIITSLLSGANIALYFISFFRNRQKEQGEEFQDGGFASVCPKIGGTGLAILALGILSLVVHVPLSDPTGDHNHDTLDNISSVSTVAQGITYVISLSVITKFKGLWSDAILAGLIPTLYCLAVFTHYIATSLNDDWSIFNTFYLILIARMGYYSFRECFENVVIFFISLPYGFFSHSKSMGM
+>sp|Q9BYT1|S17A9_HUMAN Solute carrier family 17 member 9 OS=Homo sapiens OX=9606 GN=SLC17A9 PE=1 SV=2
+MQPPPDEARRDMAGDTQWSRPECQAWTGTLLLGTCLLYCARSSMPICTVSMSQDFGWNKKEAGIVLSSFFWGYCLTQVVGGHLGDRIGGEKVILLSASAWGSITAVTPLLAHLSSAHLAFMTFSRILMGLLQGVYFPALTSLLSQKVRESERAFTYSIVGAGSQFGTLLTGAVGSLLLEWYGWQSIFYFSGGLTLLWVWYVYRYLLSEKDLILALGVLAQSRPVSRHNRVPWRRLFRKPAVWAAVVSQLSAACSFFILLSWLPTFFEETFPDAKGWIFNVVPWLVAIPASLFSGFLSDHLINQGYRAITVRKLMQGMGLGLSSVFALCLGHTSSFCESVVFASASIGLQTFNHSGISVNIQDLAPSCAGFLFGVANTAGALAGVVGVCLGGYLMETTGSWTCLFNLVAIISNLGLCTFLVFGQAQRVDLSSTHEDL
+>DECOY_sp|Q9BYT1|S17A9_HUMAN Solute carrier family 17 member 9 OS=Homo sapiens OX=9606 GN=SLC17A9 PE=1 SV=2
+LDEHTSSLDVRQAQGFVLFTCLGLNSIIAVLNFLCTWSGTTEMLYGGLCVGVVGALAGATNAVGFLFGACSPALDQINVSIGSHNFTQLGISASAFVVSECFSSTHGLCLAFVSSLGLGMGQMLKRVTIARYGQNILHDSLFGSFLSAPIAVLWPVVNFIWGKADPFTEEFFTPLWSLLIFFSCAASLQSVVAAWVAPKRFLRRWPVRNHRSVPRSQALVGLALILDKESLLYRYVYWVWLLTLGGSFYFISQWGYWELLLSGVAGTLLTGFQSGAGVISYTFARESERVKQSLLSTLAPFYVGQLLGMLIRSFTMFALHASSLHALLPTVATISGWASASLLIVKEGGIRDGLHGGVVQTLCYGWFFSSLVIGAEKKNWGFDQSMSVTCIPMSSRACYLLCTGLLLTGTWAQCEPRSWQTDGAMDRRAEDPPPQM
+>sp|Q6NT16|S18B1_HUMAN MFS-type transporter SLC18B1 OS=Homo sapiens OX=9606 GN=SLC18B1 PE=1 SV=1
+MEALGDLEGPRAPGGDDPAGSAGETPGWLSREQVFVLISAASVNLGSMMCYSILGPFFPKEAEKKGASNTIIGMIFGCFALFELLASLVFGNYLVHIGAKFMFVAGMFVSGGVTILFGVLDRVPDGPVFIAMCFLVRVMDAVSFAAAMTASSSILAKAFPNNVATVLGSLETFSGLGLILGPPVGGFLYQSFGYEVPFIVLGCVVLLMVPLNMYILPNYESDPGEHSFWKLIALPKVGLIAFVINSLSSCFGFLDPTLSLFVLEKFNLPAGYVGLVFLGMALSYAISSPLFGLLSDKRPPLRKWLLVFGNLITAGCYMLLGPVPILHIKSQLWLLVLILVVSGLSAGMSIIPTFPEILSCAHENGFEEGLSTLGLVSGLFSAMWSIGAFMGPTLGGFLYEKIGFEWAAAIQGLWALISGLAMGLFYLLEYSRRKRSKSQNILSTEEERTTLLPNET
+>DECOY_sp|Q6NT16|S18B1_HUMAN MFS-type transporter SLC18B1 OS=Homo sapiens OX=9606 GN=SLC18B1 PE=1 SV=1
+TENPLLTTREEETSLINQSKSRKRRSYELLYFLGMALGSILAWLGQIAAAWEFGIKEYLFGGLTPGMFAGISWMASFLGSVLGLTSLGEEFGNEHACSLIEPFTPIISMGASLGSVVLILVLLWLQSKIHLIPVPGLLMYCGATILNGFVLLWKRLPPRKDSLLGFLPSSIAYSLAMGLFVLGVYGAPLNFKELVFLSLTPDLFGFCSSLSNIVFAILGVKPLAILKWFSHEGPDSEYNPLIYMNLPVMLLVVCGLVIFPVEYGFSQYLFGGVPPGLILGLGSFTELSGLVTAVNNPFAKALISSSATMAAAFSVADMVRVLFCMAIFVPGDPVRDLVGFLITVGGSVFMGAVFMFKAGIHVLYNGFVLSALLEFLAFCGFIMGIITNSAGKKEAEKPFFPGLISYCMMSGLNVSAASILVFVQERSLWGPTEGASGAPDDGGPARPGELDGLAEM
+>sp|P41440|S19A1_HUMAN Folate transporter 1 OS=Homo sapiens OX=9606 GN=SLC19A1 PE=1 SV=3
+MVPSSPAVEKQVPVEPGPDPELRSWRHLVCYLCFYGFMAQIRPGESFITPYLLGPDKNFTREQVTNEITPVLSYSYLAVLVPVFLLTDYLRYTPVLLLQGLSFVSVWLLLLLGHSVAHMQLMELFYSVTMAARIAYSSYIFSLVRPARYQRVAGYSRAAVLLGVFTSSVLGQLLVTVGRVSFSTLNYISLAFLTFSVVLALFLKRPKRSLFFNRDDRGRCETSASELERMNPGPGGKLGHALRVACGDSVLARMLRELGDSLRRPQLRLWSLWWVFNSAGYYLVVYYVHILWNEVDPTTNSARVYNGAADAASTLLGAITSFAAGFVKIRWARWSKLLIAGVTATQAGLVFLLAHTRHPSSIWLCYAAFVLFRGSYQFLVPIATFQIASSLSKELCALVFGVNTFFATIVKTIITFIVSDVRGLGLPVRKQFQLYSVYFLILSIIYFLGAMLDGLRHCQRGHHPRQPPAQGLRSAAEEKAAQALSVQDKGLGGLQPAQSPPLSPEDSLGAVGPASLEQRQSDPYLAQAPAPQAAEFLSPVTTPSPCTLCSAQASGPEAADETCPQLAVHPPGVSKLGLQCLPSDGVQNVNQ
+>DECOY_sp|P41440|S19A1_HUMAN Folate transporter 1 OS=Homo sapiens OX=9606 GN=SLC19A1 PE=1 SV=3
+QNVNQVGDSPLCQLGLKSVGPPHVALQPCTEDAAEPGSAQASCLTCPSPTTVPSLFEAAQPAPAQALYPDSQRQELSAPGVAGLSDEPSLPPSQAPQLGGLGKDQVSLAQAAKEEAASRLGQAPPQRPHHGRQCHRLGDLMAGLFYIISLILFYVSYLQFQKRVPLGLGRVDSVIFTIITKVITAFFTNVGFVLACLEKSLSSAIQFTAIPVLFQYSGRFLVFAAYCLWISSPHRTHALLFVLGAQTATVGAILLKSWRAWRIKVFGAAFSTIAGLLTSAADAAGNYVRASNTTPDVENWLIHVYYVVLYYGASNFVWWLSWLRLQPRRLSDGLERLMRALVSDGCAVRLAHGLKGGPGPNMRELESASTECRGRDDRNFFLSRKPRKLFLALVVSFTLFALSIYNLTSFSVRGVTVLLQGLVSSTFVGLLVAARSYGAVRQYRAPRVLSFIYSSYAIRAAMTVSYFLEMLQMHAVSHGLLLLLWVSVFSLGQLLLVPTYRLYDTLLFVPVLVALYSYSLVPTIENTVQERTFNKDPGLLYPTIFSEGPRIQAMFGYFCLYCVLHRWSRLEPDPGPEVPVQKEVAPSSPVM
+>sp|Q5SY68|S1A7B_HUMAN Protein S100-A7-like 2 OS=Homo sapiens OX=9606 GN=S100A7L2 PE=1 SV=1
+MNIPLGEKVMLDIVAMFRQYSGDDGRMDMPGLVNLMKENFPNFLSGCEKSDMDYLSNALEKKDDNKDKKVNYSEFLSLLGDITIDHHKIMHGVAPCSGGSQ
+>DECOY_sp|Q5SY68|S1A7B_HUMAN Protein S100-A7-like 2 OS=Homo sapiens OX=9606 GN=S100A7L2 PE=1 SV=1
+QSGGSCPAVGHMIKHHDITIDGLLSLFESYNVKKDKNDDKKELANSLYDMDSKECGSLFNPFNEKMLNVLGPMDMRGDDGSYQRFMAVIDLMVKEGLPINM
+>sp|Q8WUM9|S20A1_HUMAN Sodium-dependent phosphate transporter 1 OS=Homo sapiens OX=9606 GN=SLC20A1 PE=1 SV=1
+MATLITSTTAATAASGPLVDYLWMLILGFIIAFVLAFSVGANDVANSFGTAVGSGVVTLKQACILASIFETVGSVLLGAKVSETIRKGLIDVEMYNSTQGLLMAGSVSAMFGSAVWQLVASFLKLPISGTHCIVGATIGFSLVAKGQEGVKWSELIKIVMSWFVSPLLSGIMSGILFFLVRAFILHKADPVPNGLRALPVFYACTVGINLFSIMYTGAPLLGFDKLPLWGTILISVGCAVFCALIVWFFVCPRMKRKIEREIKCSPSESPLMEKKNSLKEDHEETKLSVGDIENKHPVSEVGPATVPLQAVVEERTVSFKLGDLEEAPERERLPSVDLKEETSIDSTVNGAVQLPNGNLVQFSQAVSNQINSSGHYQYHTVHKDSGLYKELLHKLHLAKVGDCMGDSGDKPLRRNNSYTSYTMAICGMPLDSFRAKEGEQKGEEMEKLTWPNADSKKRIRMDSYTSYCNAVSDLHSASEIDMSVKAEMGLGDRKGSNGSLEEWYDQDKPEVSLLFQFLQILTACFGSFAHGGNDVSNAIGPLVALYLVYDTGDVSSKVATPIWLLLYGGVGICVGLWVWGRRVIQTMGKDLTPITPSSGFSIELASALTVVIASNIGLPISTTHCKVGSVVSVGWLRSKKAVDWRLFRNIFMAWFVTVPISGVISAAIMAIFRYVILRM
+>DECOY_sp|Q8WUM9|S20A1_HUMAN Sodium-dependent phosphate transporter 1 OS=Homo sapiens OX=9606 GN=SLC20A1 PE=1 SV=1
+MRLIVYRFIAMIAASIVGSIPVTVFWAMFINRFLRWDVAKKSRLWGVSVVSGVKCHTTSIPLGINSAIVVTLASALEISFGSSPTIPTLDKGMTQIVRRGWVWLGVCIGVGGYLLLWIPTAVKSSVDGTDYVLYLAVLPGIANSVDNGGHAFSGFCATLIQLFQFLLSVEPKDQDYWEELSGNSGKRDGLGMEAKVSMDIESASHLDSVANCYSTYSDMRIRKKSDANPWTLKEMEEGKQEGEKARFSDLPMGCIAMTYSTYSNNRRLPKDGSDGMCDGVKALHLKHLLEKYLGSDKHVTHYQYHGSSNIQNSVAQSFQVLNGNPLQVAGNVTSDISTEEKLDVSPLREREPAEELDGLKFSVTREEVVAQLPVTAPGVESVPHKNEIDGVSLKTEEHDEKLSNKKEMLPSESPSCKIEREIKRKMRPCVFFWVILACFVACGVSILITGWLPLKDFGLLPAGTYMISFLNIGVTCAYFVPLARLGNPVPDAKHLIFARVLFFLIGSMIGSLLPSVFWSMVIKILESWKVGEQGKAVLSFGITAGVICHTGSIPLKLFSAVLQWVASGFMASVSGAMLLGQTSNYMEVDILGKRITESVKAGLLVSGVTEFISALICAQKLTVVGSGVATGFSNAVDNAGVSFALVFAIIFGLILMWLYDVLPGSAATAATTSTILTAM
+>sp|Q3KQZ1|S2535_HUMAN Solute carrier family 25 member 35 OS=Homo sapiens OX=9606 GN=SLC25A35 PE=2 SV=1
+MDFLMSGLAACGACVFTNPLEVVKTRMQLQGELQAPGTYQRHYRNVFHAFITIGKVDGLAALQKGLAPALLYQFLMNGIRLGTYGLAEAGGYLHTAEGTHSPARSAAAGAMAGVMGAYLGSPIYMVKTHLQAQAASEIAVGHQYKHQGMFQALTEIGQKHGLVGLWRGALGGLPRVIVGSSTQLCTFSSTKDLLSQWEIFPPQSWKLALVAAMMSGIAVVLAMAPFDVACTRLYNQPTDAQGKGLMYRGILDALLQTARTEGIFGMYKGIGASYFRLGPHTILSLFFWDQLRSLYYTDTK
+>DECOY_sp|Q3KQZ1|S2535_HUMAN Solute carrier family 25 member 35 OS=Homo sapiens OX=9606 GN=SLC25A35 PE=2 SV=1
+KTDTYYLSRLQDWFFLSLITHPGLRFYSAGIGKYMGFIGETRATQLLADLIGRYMLGKGQADTPQNYLRTCAVDFPAMALVVAIGSMMAAVLALKWSQPPFIEWQSLLDKTSSFTCLQTSSGVIVRPLGGLAGRWLGVLGHKQGIETLAQFMGQHKYQHGVAIESAAQAQLHTKVMYIPSGLYAGMVGAMAGAAASRAPSHTGEATHLYGGAEALGYTGLRIGNMLFQYLLAPALGKQLAALGDVKGITIFAHFVNRYHRQYTGPAQLEGQLQMRTKVVELPNTFVCAGCAALGSMLFDM
+>sp|Q96CQ1|S2536_HUMAN Solute carrier family 25 member 36 OS=Homo sapiens OX=9606 GN=SLC25A36 PE=1 SV=1
+MSQRDTLVHLFAGGCGGTVGAILTCPLEVVKTRLQSSSVTLYISEVQLNTMAGASVNRVVSPGPLHCLKVILEKEGPRSLFRGLGPNLVGVAPSRAIYFAAYSNCKEKLNDVFDPDSTQVHMISAAMAGFTAITATNPIWLIKTRLQLDARNRGERRMGAFECVRKVYQTDGLKGFYRGMSASYAGISETVIHFVIYESIKQKLLEYKTASTMENDEESVKEASDFVGMMLAAATSKTCATTIAYPHEVVRTRLREEGTKYRSFFQTLSLLVQEEGYGSLYRGLTTHLVRQIPNTAIMMATYELVVYLLNG
+>DECOY_sp|Q96CQ1|S2536_HUMAN Solute carrier family 25 member 36 OS=Homo sapiens OX=9606 GN=SLC25A36 PE=1 SV=1
+GNLLYVVLEYTAMMIATNPIQRVLHTTLGRYLSGYGEEQVLLSLTQFFSRYKTGEERLRTRVVEHPYAITTACTKSTAAALMMGVFDSAEKVSEEDNEMTSATKYELLKQKISEYIVFHIVTESIGAYSASMGRYFGKLGDTQYVKRVCEFAGMRREGRNRADLQLRTKILWIPNTATIATFGAMAASIMHVQTSDPDFVDNLKEKCNSYAAFYIARSPAVGVLNPGLGRFLSRPGEKELIVKLCHLPGPSVVRNVSAGAMTNLQVESIYLTVSSSQLRTKVVELPCTLIAGVTGGCGGAFLHVLTDRQSM
+>sp|Q9BZJ4|S2539_HUMAN Solute carrier family 25 member 39 OS=Homo sapiens OX=9606 GN=SLC25A39 PE=2 SV=2
+MADQDPAGISPLQQMVASGTGAVVTSLFMTPLDVVKVRLQSQRPSMASELMPSSRLWSLSYTKLPSSLQSTGKCLLYCNGVLEPLYLCPNGARCATWFQDPTRFTGTMDAFVKIVRHEGTRTLWSGLPATLVMTVPATAIYFTAYDQLKAFLCGRALTSDLYAPMVAGALARLGTVTVISPLELMRTKLQAQHVSYRELGACVRTAVAQGGWRSLWLGWGPTALRDVPFSALYWFNYELVKSWLNGFRPKDQTSVGMSFVAGGISGTVAAVLTLPFDVVKTQRQVALGAMEAVRVNPLHVDSTWLLLRRIRAESGTKGLFAGFLPRIIKAAPSCAIMISTYEFGKSFFQRLNQDRLLGG
+>DECOY_sp|Q9BZJ4|S2539_HUMAN Solute carrier family 25 member 39 OS=Homo sapiens OX=9606 GN=SLC25A39 PE=2 SV=2
+GGLLRDQNLRQFFSKGFEYTSIMIACSPAAKIIRPLFGAFLGKTGSEARIRRLLLWTSDVHLPNVRVAEMAGLAVQRQTKVVDFPLTLVAAVTGSIGGAVFSMGVSTQDKPRFGNLWSKVLEYNFWYLASFPVDRLATPGWGLWLSRWGGQAVATRVCAGLERYSVHQAQLKTRMLELPSIVTVTGLRALAGAVMPAYLDSTLARGCLFAKLQDYATFYIATAPVTMVLTAPLGSWLTRTGEHRVIKVFADMTGTFRTPDQFWTACRAGNPCLYLPELVGNCYLLCKGTSQLSSPLKTYSLSWLRSSPMLESAMSPRQSQLRVKVVDLPTMFLSTVVAGTGSAVMQQLPSIGAPDQDAM
+>sp|Q86VD7|S2542_HUMAN Mitochondrial coenzyme A transporter SLC25A42 OS=Homo sapiens OX=9606 GN=SLC25A42 PE=1 SV=2
+MGNGVKEGPVRLHEDAEAVLSSSVSSKRDHRQVLSSLLSGALAGALAKTAVAPLDRTKIIFQVSSKRFSAKEAFRVLYYTYLNEGFLSLWRGNSATMVRVVPYAAIQFSAHEEYKRILGSYYGFRGEALPPWPRLFAGALAGTTAASLTYPLDLVRARMAVTPKEMYSNIFHVFIRISREEGLKTLYHGFMPTVLGVIPYAGLSFFTYETLKSLHREYSGRRQPYPFERMIFGACAGLIGQSASYPLDVVRRRMQTAGVTGYPRASIARTLRTIVREEGAVRGLYKGLSMNWVKGPIAVGISFTTFDLMQILLRHLQS
+>DECOY_sp|Q86VD7|S2542_HUMAN Mitochondrial coenzyme A transporter SLC25A42 OS=Homo sapiens OX=9606 GN=SLC25A42 PE=1 SV=2
+SQLHRLLIQMLDFTTFSIGVAIPGKVWNMSLGKYLGRVAGEERVITRLTRAISARPYGTVGATQMRRRVVDLPYSASQGILGACAGFIMREFPYPQRRGSYERHLSKLTEYTFFSLGAYPIVGLVTPMFGHYLTKLGEERSIRIFVHFINSYMEKPTVAMRARVLDLPYTLSAATTGALAGAFLRPWPPLAEGRFGYYSGLIRKYEEHASFQIAAYPVVRVMTASNGRWLSLFGENLYTYYLVRFAEKASFRKSSVQFIIKTRDLPAVATKALAGALAGSLLSSLVQRHDRKSSVSSSLVAEADEHLRVPGEKVGNGM
+>sp|Q8WUT9|S2543_HUMAN Solute carrier family 25 member 43 OS=Homo sapiens OX=9606 GN=SLC25A43 PE=2 SV=2
+MATWRRDGRLTGGQRLLCAGLAGTLSLSLTAPLELATVLAQVGVVRGHARGPWATGHRVWRAEGLRALWKGNAVACLRLFPCSAVQLAAYRKFVVLFTDDLGHISQWSSIMAGSLAGMVSTIVTYPTDLIKTRLIMQNILEPSYRGLLHAFSTIYQQEGFLALYRGVSLTVVGALPFSAGSLLVYMNLEKIWNGPRDQFSLPQNFANVCLAAAVTQTLSFPFETVKRKMQAQSPYLPHSGGVDVHFSGAVDCFRQIVKAQGVLGLWNGLTANLLKIVPYFGIMFSTFEFCKRICLYQNGYILSPLSYKLTPGVDQSLQPQELRELKKFFKTRKPKPKKPTL
+>DECOY_sp|Q8WUT9|S2543_HUMAN Solute carrier family 25 member 43 OS=Homo sapiens OX=9606 GN=SLC25A43 PE=2 SV=2
+LTPKKPKPKRTKFFKKLERLEQPQLSQDVGPTLKYSLPSLIYGNQYLCIRKCFEFTSFMIGFYPVIKLLNATLGNWLGLVGQAKVIQRFCDVAGSFHVDVGGSHPLYPSQAQMKRKVTEFPFSLTQTVAAALCVNAFNQPLSFQDRPGNWIKELNMYVLLSGASFPLAGVVTLSVGRYLALFGEQQYITSFAHLLGRYSPELINQMILRTKILDTPYTVITSVMGALSGAMISSWQSIHGLDDTFLVVFKRYAALQVASCPFLRLCAVANGKWLARLGEARWVRHGTAWPGRAHGRVVGVQALVTALELPATLSLSLTGALGACLLRQGGTLRGDRRWTAM
+>sp|Q3SY17|S2552_HUMAN Solute carrier family 25 member 52 OS=Homo sapiens OX=9606 GN=SLC25A52 PE=2 SV=2
+MIDSEAHEKRPPILTSSKQDISPHITNVGEMKHYLCGCCAAFNNVAITYPIQKVLFRQQLYGIKTRDAVLQLRRDGFRNLYRGILPPLMQKTTTLALMFGLYEDLSCLLRKHVRAPEFATHGVAAVLAGTAEAIFTPLERVQTLLQNHKHHDKFTNTYQAFKALKCHGIGEYYRGLVPILFRNGLSNVLFFGLRGPIKEHLPTATTHSAHLVNDFIGGGLLGAMLGFLCFPINVVKTRLQSQIGGEFQSFPKVFQKIWLERDRKLINLFRGAHLNYHRSLISWGIINATYEFLLKFI
+>DECOY_sp|Q3SY17|S2552_HUMAN Solute carrier family 25 member 52 OS=Homo sapiens OX=9606 GN=SLC25A52 PE=2 SV=2
+IFKLLFEYTANIIGWSILSRHYNLHAGRFLNILKRDRELWIKQFVKPFSQFEGGIQSQLRTKVVNIPFCLFGLMAGLLGGGIFDNVLHASHTTATPLHEKIPGRLGFFLVNSLGNRFLIPVLGRYYEGIGHCKLAKFAQYTNTFKDHHKHNQLLTQVRELPTFIAEATGALVAAVGHTAFEPARVHKRLLCSLDEYLGFMLALTTTKQMLPPLIGRYLNRFGDRRLQLVADRTKIGYLQQRFLVKQIPYTIAVNNFAACCGCLYHKMEGVNTIHPSIDQKSSTLIPPRKEHAESDIM
+>sp|Q8NG04|S2610_HUMAN Solute carrier family 26 member 10 OS=Homo sapiens OX=9606 GN=SLC26A10 PE=2 SV=1
+MRLDLASLMSAPKSLGSAFKSWRLDKAPSPQHTFPSTSIPGMAFALLASVPPVFGLYTSFFPVLIYSLLGTGRHLSTGTFAILSLMTGSAVERLVPEPLVGNLSGIEKEQLDAQRVGVAAAVAFGSGALMLGMFVLQLGVLSTFLSEPVVKALTSGAALHVLLSQLPSLLGLSLPRQIGCFSLFKTLASLLTALPRSSPAELTISALSLALLVPVKELNVRFRDRLPTPIPGEVVLVLLASVLCFTSSVDTRYQVQIVGLLPGGFPQPLLPNLAELPRILADSLPIALVSFAVSASLASIHADKYSYTIDSNQEFLAHGASNLISSLFSCFPNSATLATTNLLVDAGGKTQLAGLFSCTVVLSVLLWLGPFFYYLPKAVLACINISSMRQVFCQMQELPQLWHISRVDFLLQVPGLCILSYPTPLYFGTRGQFRCNLEWHLGLGEGEKETSKPDGPMVAVAEPVRVVVLDFSGVTFADAAGAREVVQVRERLASRCRDARIRLLLAQCNALVQGTLTRVGLLDRVTPDQLFVSVQDAAAYALGSLLRGSSTRSGSQEALGCGK
+>DECOY_sp|Q8NG04|S2610_HUMAN Solute carrier family 26 member 10 OS=Homo sapiens OX=9606 GN=SLC26A10 PE=2 SV=1
+KGCGLAEQSGSRTSSGRLLSGLAYAAADQVSVFLQDPTVRDLLGVRTLTGQVLANCQALLLRIRADRCRSALRERVQVVERAGAADAFTVGSFDLVVVRVPEAVAVMPGDPKSTEKEGEGLGLHWELNCRFQGRTGFYLPTPYSLICLGPVQLLFDVRSIHWLQPLEQMQCFVQRMSSINICALVAKPLYYFFPGLWLLVSLVVTCSFLGALQTKGGADVLLNTTALTASNPFCSFLSSILNSAGHALFEQNSDITYSYKDAHISALSASVAFSVLAIPLSDALIRPLEALNPLLPQPFGGPLLGVIQVQYRTDVSSTFCLVSALLVLVVEGPIPTPLRDRFRVNLEKVPVLLALSLASITLEAPSSRPLATLLSALTKFLSFCGIQRPLSLGLLSPLQSLLVHLAAGSTLAKVVPESLFTSLVGLQLVFMGLMLAGSGFAVAAAVGVRQADLQEKEIGSLNGVLPEPVLREVASGTMLSLIAFTGTSLHRGTGLLSYILVPFFSTYLGFVPPVSALLAFAMGPISTSPFTHQPSPAKDLRWSKFASGLSKPASMLSALDLRM
+>sp|Q96RN1|S26A8_HUMAN Testis anion transporter 1 OS=Homo sapiens OX=9606 GN=SLC26A8 PE=1 SV=1
+MAQLERSAISGFSSKSRRNSFAYDVKREVYNEETFQQEHKRKASSSGNMNINITTFRHHVQCRCSWHRFLRCVLTIFPFLEWMCMYRLKDWLLGDLLAGISVGLVQVPQGLTLSLLARQLIPPLNIAYAAFCSSVIYVIFGSCHQMSIGSFFLVSALLINVLKVSPFNNGQLVMGSFVKNEFSAPSYLMGYNKSLSVVATTTFLTGIIQLIMGVLGLGFIATYLPESAMSAYLAAVALHIMLSQLTFIFGIMISFHAGPISFFYDIINYCVALPKANSTSILVFLTVVVALRINKCIRISFNQYPIEFPMELFLIIGFTVIANKISMATETSQTLIDMIPYSFLLPVTPDFSLLPKIILQAFSLSLVSSFLLIFLGKKIASLHNYSVNSNQDLIAIGLCNVVSSFFRSCVFTGAIARTIIQDKSGGRQQFASLVGAGVMLLLMVKMGHFFYTLPNAVLAGIILSNVIPYLETISNLPSLWRQDQYDCALWMMTFSSSIFLGLDIGLIISVVSAFFITTVRSHRAKILLLGQIPNTNIYRSINDYREIITIPGVKIFQCCSSITFVNVYYLKHKLLKEVDMVKVPLKEEEIFSLFNSSDTNLQGGKICRCFCNCDDLEPLPRILYTERFENKLDPEASSINLIHCSHFESMNTSQTASEDQVPYTVSSVSQKNQGQQYEEVEEVWLPNNSSRNSSPGLPDVAESQGRRSLIPYSDASLLPSVHTIILDFSMVHYVDSRGLVVLRQICNAFQNANILILIAGCHSSIVRAFERNDFFDAGITKTQLFLSVHDAVLFALSRKVIGSSELSIDESETVIRETYSETDKNDNSRYKMSSSFLGSQKNVSPGFIKIQQPVEEESELDLELESEQEAGLGLDLDLDRELEPEMEPKAETETKTQTEMEPQPETEPEMEPNPKSRPRAHTFPQQRYWPMYHPSMASTQSQTQTRTWSVERRRHPMDSYSPEGNSNEDV
+>DECOY_sp|Q96RN1|S26A8_HUMAN Testis anion transporter 1 OS=Homo sapiens OX=9606 GN=SLC26A8 PE=1 SV=1
+VDENSNGEPSYSDMPHRRREVSWTRTQTQSQTSAMSPHYMPWYRQQPFTHARPRSKPNPEMEPETEPQPEMETQTKTETEAKPEMEPELERDLDLDLGLGAEQESELELDLESEEEVPQQIKIFGPSVNKQSGLFSSSMKYRSNDNKDTESYTERIVTESEDISLESSGIVKRSLAFLVADHVSLFLQTKTIGADFFDNREFARVISSHCGAILILINANQFANCIQRLVVLGRSDVYHVMSFDLIITHVSPLLSADSYPILSRRGQSEAVDPLGPSSNRSSNNPLWVEEVEEYQQGQNKQSVSSVTYPVQDESATQSTNMSEFHSCHILNISSAEPDLKNEFRETYLIRPLPELDDCNCFCRCIKGGQLNTDSSNFLSFIEEEKLPVKVMDVEKLLKHKLYYVNVFTISSCCQFIKVGPITIIERYDNISRYINTNPIQGLLLIKARHSRVTTIFFASVVSIILGIDLGLFISSSFTMMWLACDYQDQRWLSPLNSITELYPIVNSLIIGALVANPLTYFFHGMKVMLLLMVGAGVLSAFQQRGGSKDQIITRAIAGTFVCSRFFSSVVNCLGIAILDQNSNVSYNHLSAIKKGLFILLFSSVLSLSFAQLIIKPLLSFDPTVPLLFSYPIMDILTQSTETAMSIKNAIVTFGIILFLEMPFEIPYQNFSIRICKNIRLAVVVTLFVLISTSNAKPLAVCYNIIDYFFSIPGAHFSIMIGFIFTLQSLMIHLAVAALYASMASEPLYTAIFGLGLVGMILQIIGTLFTTTAVVSLSKNYGMLYSPASFENKVFSGMVLQGNNFPSVKLVNILLASVLFFSGISMQHCSGFIVYIVSSCFAAYAINLPPILQRALLSLTLGQPVQVLGVSIGALLDGLLWDKLRYMCMWELFPFITLVCRLFRHWSCRCQVHHRFTTININMNGSSSAKRKHEQQFTEENYVERKVDYAFSNRRSKSSFGSIASRELQAM
+>sp|Q7RTT9|S29A4_HUMAN Equilibrative nucleoside transporter 4 OS=Homo sapiens OX=9606 GN=SLC29A4 PE=1 SV=1
+MGSVGSQRLEEPSVAGTPDPGVVMSFTFDSHQLEEAAEAAQGQGLRARGVPAFTDTTLDEPVPDDRYHAIYFAMLLAGVGFLLPYNSFITDVDYLHHKYPGTSIVFDMSLTYILVALAAVLLNNVLVERLTLHTRITAGYLLALGPLLFISICDVWLQLFSRDQAYAINLAAVGTVAFGCTVQQSSFYGYTGMLPKRYTQGVMTGESTAGVMISLSRILTKLLLPDERASTLIFFLVSVALELLCFLLHLLVRRSRFVLFYTTRPRDSHRGRPGLGRGYGYRVHHDVVAGDVHFEHPAPALAPNESPKDSPAHEVTGSGGAYMRFDVPRPRVQRSWPTFRALLLHRYVVARVIWADMLSIAVTYFITLCLFPGLESEIRHCILGEWLPILIMAVFNLSDFVGKILAALPVDWRGTHLLACSCLRVVFIPLFILCVYPSGMPALRHPAWPCIFSLLMGISNGYFGSVPMILAAGKVSPKQRELAGNTMTVSYMSGLTLGSAVAYCTYSLTRDAHGSCLHASTANGSILAGL
+>DECOY_sp|Q7RTT9|S29A4_HUMAN Equilibrative nucleoside transporter 4 OS=Homo sapiens OX=9606 GN=SLC29A4 PE=1 SV=1
+LGALISGNATSAHLCSGHADRTLSYTCYAVASGLTLGSMYSVTMTNGALERQKPSVKGAALIMPVSGFYGNSIGMLLSFICPWAPHRLAPMGSPYVCLIFLPIFVVRLCSCALLHTGRWDVPLAALIKGVFDSLNFVAMILIPLWEGLICHRIESELGPFLCLTIFYTVAISLMDAWIVRAVVYRHLLLARFTPWSRQVRPRPVDFRMYAGGSGTVEHAPSDKPSENPALAPAPHEFHVDGAVVDHHVRYGYGRGLGPRGRHSDRPRTTYFLVFRSRRVLLHLLFCLLELAVSVLFFILTSAREDPLLLKTLIRSLSIMVGATSEGTMVGQTYRKPLMGTYGYFSSQQVTCGFAVTGVAALNIAYAQDRSFLQLWVDCISIFLLPGLALLYGATIRTHLTLREVLVNNLLVAALAVLIYTLSMDFVISTGPYKHHLYDVDTIFSNYPLLFGVGALLMAFYIAHYRDDPVPEDLTTDTFAPVGRARLGQGQAAEAAEELQHSDFTFSMVVGPDPTGAVSPEELRQSGVSGM
+>sp|Q5VVP1|S31A6_HUMAN Spermatogenesis-associated protein 31A6 OS=Homo sapiens OX=9606 GN=SPATA31A6 PE=3 SV=1
+MENLPFPLKLLSASSLNAPSSTPWVLDIFLTLVFALGFFFLLLPYLSYFHCDDPPSPSPGKRKCPVGRRRRPRGRMKNHSLRAGRECPRGLEETSDLLSQLQSLLGPHLDKGDFGQLSGPDPPGEVGERAPDGASQSSHEPMEDAAPILSPLASPDPQAKHPQDLASTPSPGPMTTSVSSLSASQPPEPSLPLEHPSPEPPALFPHPPHTPDPLACSPPPPKGFTAPPLRDSTLITPSHCDSVALPLGTVPQSLSPHEDLVASVPAISGLGGSNSHVSASSRWQETARTSCAFNSSVQQDPLSRHPPETCQMEAGSLFLLSSDGQNVVGIQVTETAKVNIWEEKENVGSFTNQMTPEKHLNSLGNLAKSLDAEQDTTNPKPFWNMGENSKQLPGPQKCSDPRLLQESFWKNYSQLFWGLPSLHSESLVANAWVTDRSYTLQSPPFLFNEMSNVCPIQRETTMSPLLFQAQPLSHRQPFISSTPQFLPTPMAQAEAQAHLQSSFPVLSPAFPSLIKNTGVACPASQNKVQALSLPETQHPEWPLLRKQLEGRLALPSRVQKSQDVFSVSTPNLPQESLTSILPENFPVSPELRRQLEQHIKKWIIQHWGNLGRIQESLDLMQLRDESPGTSQAKGKPSPWQSSTSTGESSKEAQKVKFQLERDLCPHLGQILGETPQNLSRDMKSFPRKVLGVTSEESERNLRKPLRSDSGSDLLRCTERTHIENILKAHMGRNLGQTNEGLIPVRVRRSWLAVNQALPVSNTHVKTSNLAAPKSGKACVNTAQVLSFLEPCTQQGLGAHIVRFWAKHRWGLPLRVLKPIQCFKLEKVSSLSLTQLAGPSSATCESGAGSEVEVDMFLRKPPMASLRKQVLTKASDHMPESLLASSPAWKQFQRAPRGIPSWNDHGPLKPPPAGQEGRWPSKPLTYSLTGSTQQSRSLGAQSSKAGETREAVPQCRVPLETCMLANLQATSEDVHGFEAPGTSKSSLHPRVSVSQDPRKLCLMEEVVSEFEPGMATKSETQPQVCAAVVLLPDGQASVVPHASENLVSQVPQGHLQSMPTGNMRASQELHDLMAARRSKLVQEEPRNPNCQGSCKSQRPMFPPIHKSEKSRKPNLEKHEERLEGLRTPQLTPVRKTEDTHQDEGVQLLPSKKQPPSVSHFGENIKQFFQWIFSKKKSKPAPVTAESQKTVKNRSCVYSSSAEAQGLMTAVGQMLDKKMSLCHAHHASKVNQHKQKFQAPVCGFPCNHRHLFYSEHGRILSYAASSQQATLKSQGCPNRDRQIRNQQPLKSVRCNNEQWGLRHPQILHPKKAVSPVSPPQHWPKTSGASSHHHHCPRHCLLWEGI
+>DECOY_sp|Q5VVP1|S31A6_HUMAN Spermatogenesis-associated protein 31A6 OS=Homo sapiens OX=9606 GN=SPATA31A6 PE=3 SV=1
+IGEWLLCHRPCHHHHSSAGSTKPWHQPPSVPSVAKKPHLIQPHRLGWQENNCRVSKLPQQNRIQRDRNPCGQSKLTAQQSSAAYSLIRGHESYFLHRHNCPFGCVPAQFKQKHQNVKSAHHAHCLSMKKDLMQGVATMLGQAEASSSYVCSRNKVTKQSEATVPAPKSKKKSFIWQFFQKINEGFHSVSPPQKKSPLLQVGEDQHTDETKRVPTLQPTRLGELREEHKELNPKRSKESKHIPPFMPRQSKCSGQCNPNRPEEQVLKSRRAAMLDHLEQSARMNGTPMSQLHGQPVQSVLNESAHPVVSAQGDPLLVVAACVQPQTESKTAMGPEFESVVEEMLCLKRPDQSVSVRPHLSSKSTGPAEFGHVDESTAQLNALMCTELPVRCQPVAERTEGAKSSQAGLSRSQQTSGTLSYTLPKSPWRGEQGAPPPKLPGHDNWSPIGRPARQFQKWAPSSALLSEPMHDSAKTLVQKRLSAMPPKRLFMDVEVESGAGSECTASSPGALQTLSLSSVKELKFCQIPKLVRLPLGWRHKAWFRVIHAGLGQQTCPELFSLVQATNVCAKGSKPAALNSTKVHTNSVPLAQNVALWSRRVRVPILGENTQGLNRGMHAKLINEIHTRETCRLLDSGSDSRLPKRLNRESEESTVGLVKRPFSKMDRSLNQPTEGLIQGLHPCLDRELQFKVKQAEKSSEGTSTSSQWPSPKGKAQSTGPSEDRLQMLDLSEQIRGLNGWHQIIWKKIHQELQRRLEPSVPFNEPLISTLSEQPLNPTSVSFVDQSKQVRSPLALRGELQKRLLPWEPHQTEPLSLAQVKNQSAPCAVGTNKILSPFAPSLVPFSSQLHAQAEAQAMPTPLFQPTSSIFPQRHSLPQAQFLLPSMTTERQIPCVNSMENFLFPPSQLTYSRDTVWANAVLSESHLSPLGWFLQSYNKWFSEQLLRPDSCKQPGPLQKSNEGMNWFPKPNTTDQEADLSKALNGLSNLHKEPTMQNTFSGVNEKEEWINVKATETVQIGVVNQGDSSLLFLSGAEMQCTEPPHRSLPDQQVSSNFACSTRATEQWRSSASVHSNSGGLGSIAPVSAVLDEHPSLSQPVTGLPLAVSDCHSPTILTSDRLPPATFGKPPPPSCALPDPTHPPHPFLAPPEPSPHELPLSPEPPQSASLSSVSTTMPGPSPTSALDQPHKAQPDPSALPSLIPAADEMPEHSSQSAGDPAREGVEGPPDPGSLQGFDGKDLHPGLLSQLQSLLDSTEELGRPCERGARLSHNKMRGRPRRRRGVPCKRKGPSPSPPDDCHFYSLYPLLLFFFGLAFVLTLFIDLVWPTSSPANLSSASLLKLPFPLNEM
+>sp|B4DYI2|S31C2_HUMAN Putative spermatogenesis-associated protein 31C2 OS=Homo sapiens OX=9606 GN=SPATA31C2 PE=5 SV=2
+MENLPFPLKLLSASSLNTPSSTPWVLDIFLTLVFALGFFFLLLPYFSYLRCDNPPSPSPKKRKRHLVSQRPAGRRGRPRGRMKNHSLRACRECPRGLEETWDLLSQLQSLLGPHLEKGDFGQLSGPDPPGEVGKRTPDGASRSSHEPTEDAAPIVSPLASPDPRTKHPQDLASTPPPGPMTTSVSSLSASQPPEPSLLLEHPSPEPPALFPHPPRTPDPLACSPPPPKGFTPPPLRDSTLLTPSHCDSVALPLDTVPQSLSPREDLAASVPGISGLGGSNSQVSALSWSQETTKTWCVFNSSVQQDHLSRQRDTTMSPLLFQAQPLSHLEPESQPFISSTPQFWPTPMAQAEAQAHLQSSFPVLSPAFLSPMKNTGVACPASQNKVQALSLPETQHPERPLLKKQLEGGLALPSRVQKSQDVFSVSTPNLPQERLTSILPENFPVSPELWRQLEQHMGQRGRIQESLDLMQLQDELPGTSQAKGKPRPWQSSTSTGESSKEAQTVKFQLERDPCPHLGQILGETPQNLSRGMESFPGKVLGATSEESERNLRKPLRSDSGSDLLRRTERNHIENILKAHMSRKLGQTNEGLIPVSVRRSWLAVNQAFPVSNTHVKTSNLAAPKSRKACVNTAQVLSFLEPCTQQVLGAHIVRFWAKHRWGLPLRVLKPIQCFQLEKVSSLSLIQLAGPSSDTCESGAGSKVEVATFLGEPPMASLRKQVLTKPSVHMPERLQASSPACKQFQRAPRGIPSSNDHGSLKAPTAGQEGRWPSKPLTYSLTGSTQQSRSLGAQSSRAGETREAVPQPTVPLGTCMRANLQATSEDVRGFKAPGASKSSLLPRMSVSQDPRKLCLMEEAVSEFEPGKATKSETQPQVSATVVLLPDGQASVVPHASENLASQVPQGHLQSMPTGNMQASQELCDLMSARRSNMGHKEPRNPNCQGSCKSQSPMFPPTHKRENSRKPNLEKHEEMFQGLRTPQLTPGRKTEDTRQNEGVQLLPSKKQPPSISHFGENIKQFFQTIFSKKERKPAPVTAESQKTVKNRSCVYGSSAEAERLMTAVGQILEENMSLCHARHASKVNQQRQQFQAPVCGFPCNHRHPFYSEHSRMLSYAASSQQATLKNQSRPNRDRQIRDQ
+>DECOY_sp|B4DYI2|S31C2_HUMAN Putative spermatogenesis-associated protein 31C2 OS=Homo sapiens OX=9606 GN=SPATA31C2 PE=5 SV=2
+QDRIQRDRNPRSQNKLTAQQSSAAYSLMRSHESYFPHRHNCPFGCVPAQFQQRQQNVKSAHRAHCLSMNEELIQGVATMLREAEASSGYVCSRNKVTKQSEATVPAPKREKKSFITQFFQKINEGFHSISPPQKKSPLLQVGENQRTDETKRGPTLQPTRLGQFMEEHKELNPKRSNERKHTPPFMPSQSKCSGQCNPNRPEKHGMNSRRASMLDCLEQSAQMNGTPMSQLHGQPVQSALNESAHPVVSAQGDPLLVVTASVQPQTESKTAKGPEFESVAEEMLCLKRPDQSVSMRPLLSSKSAGPAKFGRVDESTAQLNARMCTGLPVTPQPVAERTEGARSSQAGLSRSQQTSGTLSYTLPKSPWRGEQGATPAKLSGHDNSSPIGRPARQFQKCAPSSAQLREPMHVSPKTLVQKRLSAMPPEGLFTAVEVKSGAGSECTDSSPGALQILSLSSVKELQFCQIPKLVRLPLGWRHKAWFRVIHAGLVQQTCPELFSLVQATNVCAKRSKPAALNSTKVHTNSVPFAQNVALWSRRVSVPILGENTQGLKRSMHAKLINEIHNRETRRLLDSGSDSRLPKRLNRESEESTAGLVKGPFSEMGRSLNQPTEGLIQGLHPCPDRELQFKVTQAEKSSEGTSTSSQWPRPKGKAQSTGPLEDQLQMLDLSEQIRGRQGMHQELQRWLEPSVPFNEPLISTLREQPLNPTSVSFVDQSKQVRSPLALGGELQKKLLPREPHQTEPLSLAQVKNQSAPCAVGTNKMPSLFAPSLVPFSSQLHAQAEAQAMPTPWFQPTSSIFPQSEPELHSLPQAQFLLPSMTTDRQRSLHDQQVSSNFVCWTKTTEQSWSLASVQSNSGGLGSIGPVSAALDERPSLSQPVTDLPLAVSDCHSPTLLTSDRLPPPTFGKPPPPSCALPDPTRPPHPFLAPPEPSPHELLLSPEPPQSASLSSVSTTMPGPPPTSALDQPHKTRPDPSALPSVIPAADETPEHSSRSAGDPTRKGVEGPPDPGSLQGFDGKELHPGLLSQLQSLLDWTEELGRPCERCARLSHNKMRGRPRGRRGAPRQSVLHRKRKKPSPSPPNDCRLYSFYPLLLFFFGLAFVLTLFIDLVWPTSSPTNLSSASLLKLPFPLNEM
+>sp|Q9NQQ7|S35C2_HUMAN Solute carrier family 35 member C2 OS=Homo sapiens OX=9606 GN=SLC35C2 PE=1 SV=2
+MGRWALDVAFLWKAVLTLGLVLLYYCFSIGITFYNKWLTKSFHFPLFMTMLHLAVIFLFSALSRALVQCSSHRARVVLSWADYLRRVAPTALATALDVGLSNWSFLYVTVSLYTMTKSSAVLFILIFSLIFKLEELRAALVLVVLLIAGGLFMFTYKSTQFNVEGFALVLGASFIGGIRWTLTQMLLQKAELGLQNPIDTMFHLQPLMFLGLFPLFAVFEGLHLSTSEKIFRFQDTGLLLRVLGSLFLGGILAFGLGFSEFLLVSRTSSLTLSIAGIFKEVCTLLLAAHLLGDQISLLNWLGFALCLSGISLHVALKALHSRGDGGPKALKGLGSSPDLELLLRSSQREEGDNEEEEYFVAQGQQ
+>DECOY_sp|Q9NQQ7|S35C2_HUMAN Solute carrier family 35 member C2 OS=Homo sapiens OX=9606 GN=SLC35C2 PE=1 SV=2
+QQGQAVFYEEEENDGEERQSSRLLLELDPSSGLGKLAKPGGDGRSHLAKLAVHLSIGSLCLAFGLWNLLSIQDGLLHAALLLTCVEKFIGAISLTLSSTRSVLLFESFGLGFALIGGLFLSGLVRLLLGTDQFRFIKESTSLHLGEFVAFLPFLGLFMLPQLHFMTDIPNQLGLEAKQLLMQTLTWRIGGIFSAGLVLAFGEVNFQTSKYTFMFLGGAILLVVLVLAARLEELKFILSFILIFLVASSKTMTYLSVTVYLFSWNSLGVDLATALATPAVRRLYDAWSLVVRARHSSCQVLARSLASFLFIVALHLMTMFLPFHFSKTLWKNYFTIGISFCYYLLVLGLTLVAKWLFAVDLAWRGM
+>sp|A4IF30|S35F4_HUMAN Solute carrier family 35 member F4 OS=Homo sapiens OX=9606 GN=SLC35F4 PE=2 SV=2
+MDELLLDLFHKLTSGRQLAAGNGLCGISHKEQEVWKPGHNILVKMRKEDKSLVWLIHSTLARYTQVTNFLGTSRSSVTRCKPGANCPSSHSGISRQLSPLSVTEDSSAPILELQNQGSSGVCGHRVERQNRSADDGTQTHSENSSQENRIKARCLSCTSMVLKGIWGLLIILSVSSSWVGTTQIVKITYKNFYCPFFMTWFSTNWNIMFFPVYYSGHLATAQEKQSPMKKFRECSRIFGEDGLTLKLFLKRTAPFSILWTLTNYLYLLALKKLTATDVSALFCCNKAFVFLLSWIVLKDRFMGVRIVAAIMAITGIVMMAYADNFHADSIIGVAFAVGSASTSALYKVLFKMFLGSANFGEAAHFVSTLGFFNLIFISFTPVILYFTKVEHWSSFAALPWGCLCGMAGLWLAFNILVNVGVVLTYPILISIGTVLSVPGNAAVDLLKQEVIFNVVRLAATIIICIGFLLMLLPEEWDEITLRFINSLKEKKSEEHVDDVTDPSIHLRGRGRANGTVSIPLA
+>DECOY_sp|A4IF30|S35F4_HUMAN Solute carrier family 35 member F4 OS=Homo sapiens OX=9606 GN=SLC35F4 PE=2 SV=2
+ALPISVTGNARGRGRLHISPDTVDDVHEESKKEKLSNIFRLTIEDWEEPLLMLLFGICIIITAALRVVNFIVEQKLLDVAANGPVSLVTGISILIPYTLVVGVNVLINFALWLGAMGCLCGWPLAAFSSWHEVKTFYLIVPTFSIFILNFFGLTSVFHAAEGFNASGLFMKFLVKYLASTSASGVAFAVGIISDAHFNDAYAMMVIGTIAMIAAVIRVGMFRDKLVIWSLLFVFAKNCCFLASVDTATLKKLALLYLYNTLTWLISFPATRKLFLKLTLGDEGFIRSCERFKKMPSQKEQATALHGSYYVPFFMINWNTSFWTMFFPCYFNKYTIKVIQTTGVWSSSVSLIILLGWIGKLVMSTCSLCRAKIRNEQSSNESHTQTGDDASRNQREVRHGCVGSSGQNQLELIPASSDETVSLPSLQRSIGSHSSPCNAGPKCRTVSSRSTGLFNTVQTYRALTSHILWVLSKDEKRMKVLINHGPKWVEQEKHSIGCLGNGAALQRGSTLKHFLDLLLEDM
+>sp|Q2M3R5|S35G1_HUMAN Solute carrier family 35 member G1 OS=Homo sapiens OX=9606 GN=SLC35G1 PE=1 SV=1
+MRPQDSTGVAELQEPGLPLTDDAPPGATEEPAAAEAAGAPDRGRCWLCLSSPCCSRTEPEAKKKAPCPGLGLFYTLLSAFLFSVGSLFVKKVQDVHAVEISAFRCVFQMLVVIPCLIYRKTGFIGPKGQRIFLILRGVLGSTAMMLIYYAYQTMSLADATVITFSSPVFTSIFAWICLKEKYSPWDALFTVFTITGVILIVRPPFLFGSDTSGMEESYSGHLKGTFAAIGSAVFAASTLVILRKMGKSVDYFLSIWYYVVLGLVESVIILSVLGEWSLPYCGLDRLFLIFIGLFGLGGQIFITKALQIEKAGPVAIMKTMDVVFAFIFQIIFFNNVPTWWTVGGALCVVASNVGAAIRKWYQSSK
+>DECOY_sp|Q2M3R5|S35G1_HUMAN Solute carrier family 35 member G1 OS=Homo sapiens OX=9606 GN=SLC35G1 PE=1 SV=1
+KSSQYWKRIAAGVNSAVVCLAGGVTWWTPVNNFFIIQFIFAFVVDMTKMIAVPGAKEIQLAKTIFIQGGLGFLGIFILFLRDLGCYPLSWEGLVSLIIVSEVLGLVVYYWISLFYDVSKGMKRLIVLTSAAFVASGIAAFTGKLHGSYSEEMGSTDSGFLFPPRVILIVGTITFVTFLADWPSYKEKLCIWAFISTFVPSSFTIVTADALSMTQYAYYILMMATSGLVGRLILFIRQGKPGIFGTKRYILCPIVVLMQFVCRFASIEVAHVDQVKKVFLSGVSFLFASLLTYFLGLGPCPAKKKAEPETRSCCPSSLCLWCRGRDPAGAAEAAAPEETAGPPADDTLPLGPEQLEAVGTSDQPRM
+>sp|Q8N808|S35G3_HUMAN Solute carrier family 35 member G3 OS=Homo sapiens OX=9606 GN=SLC35G3 PE=2 SV=1
+MAGSHPYFNQPDSTHPSPPSAPPSLRWYQRCQPSDATSGLLVALLGGGLPAGFVGPLSRMAYQASNLPSLELLIWRCLFHLPIALLLKLRGDPLLGTPDIRSRAFFCALLNILSIGCAYSAVQVVPAGNAATVRKGSSTVCSAVLTLCLESQGLSGYDWCGLLGCILGLIIIVGPGLWTLQEGTTGVYTALGYVEAFLGGLALSLRLLVYRSLHFPPCLPTVAFLSGLVGLLGSVPGLFVLQAPVLPSDLLSWSCVGAVGILALVSFTCVGYAVTKAHPALVCAVLHSEVVVALILQYYMLHETVAPSDIVAAGVVLGSIAIITAQNLSCERTGRVEE
+>DECOY_sp|Q8N808|S35G3_HUMAN Solute carrier family 35 member G3 OS=Homo sapiens OX=9606 GN=SLC35G3 PE=2 SV=1
+EEVRGTRECSLNQATIIAISGLVVGAAVIDSPAVTEHLMYYQLILAVVVESHLVACVLAPHAKTVAYGVCTFSVLALIGVAGVCSWSLLDSPLVPAQLVFLGPVSGLLGVLGSLFAVTPLCPPFHLSRYVLLRLSLALGGLFAEVYGLATYVGTTGEQLTWLGPGVIIILGLICGLLGCWDYGSLGQSELCLTLVASCVTSSGKRVTAANGAPVVQVASYACGISLINLLACFFARSRIDPTGLLPDGRLKLLLAIPLHFLCRWILLELSPLNSAQYAMRSLPGVFGAPLGGGLLAVLLGSTADSPQCRQYWRLSPPASPPSPHTSDPQNFYPHSGAM
+>sp|P0C7Q5|S35G4_HUMAN Putative solute carrier family 35 member G4 OS=Homo sapiens OX=9606 GN=SLC35G4 PE=5 SV=1
+MAGSHPYFNLPDSTHPSPPSTPPSLHWHQRCQPSDATNGLLVALLGGGLPAGFVGPLSRMAYQASNLPSLELVICRCLFHLPIALLLKLRGDPLLGPPDIRGRTCFCALLNVLNIGCAYSAVQVVPTGNAATVRKHSSTVCSAILTLCLESQVLSGYDWCGLLGSILGLIIIVGPGLWTLQEGTTGVYTGLGYVQAFLGGLALSLGLLVYRSLHFPSCLPTVAFLSGLVGLLGSVPGLFVLQSPVLPSDLLSWSCVGAVGILTLVSFTCVGYAVTKAHPALVCAVLHSEVVMALILQYFMLHETVAPSDIMGAGVVLGSIAIITARNLICERTGKVEE
+>DECOY_sp|P0C7Q5|S35G4_HUMAN Putative solute carrier family 35 member G4 OS=Homo sapiens OX=9606 GN=SLC35G4 PE=5 SV=1
+EEVKGTRECILNRATIIAISGLVVGAGMIDSPAVTEHLMFYQLILAMVVESHLVACVLAPHAKTVAYGVCTFSVLTLIGVAGVCSWSLLDSPLVPSQLVFLGPVSGLLGVLGSLFAVTPLCSPFHLSRYVLLGLSLALGGLFAQVYGLGTYVGTTGEQLTWLGPGVIIILGLISGLLGCWDYGSLVQSELCLTLIASCVTSSHKRVTAANGTPVVQVASYACGINLVNLLACFCTRGRIDPPGLLPDGRLKLLLAIPLHFLCRCIVLELSPLNSAQYAMRSLPGVFGAPLGGGLLAVLLGNTADSPQCRQHWHLSPPTSPPSPHTSDPLNFYPHSGAM
+>sp|P0C7Q6|S35G6_HUMAN Solute carrier family 35 member G6 OS=Homo sapiens OX=9606 GN=SLC35G6 PE=2 SV=1
+MAGSHPYLNPPDSTHPSPPSAPPSLRWHQCCQPSDATNGLLVALLGGGLPAGFVGPLSHMAYQASNLPSLELLICRCLFHLPIALLLKLRGDPLLGPPDIRGRAYFYALLNVLSIGCAYSAVQVVPAGNAATVRKGSSTVCSAVLTLCLESQGLSGYDWCGLLGSILGLIIIVGPGLWTLQEGITGVYTALGYGQAFVGGLALSLGLLVYRSLHFPSCLPTVAFLSGLVGLLGSVPGLFVLQPPVLPSDLPSWSCVGAVGILALVSFTCVSYAVTKAHPALVCAVLHSEVVVALILQYYMLHETVAPSDIVGAGVVLGSIAIITAWNLSCEREGKVEE
+>DECOY_sp|P0C7Q6|S35G6_HUMAN Solute carrier family 35 member G6 OS=Homo sapiens OX=9606 GN=SLC35G6 PE=2 SV=1
+EEVKGERECSLNWATIIAISGLVVGAGVIDSPAVTEHLMYYQLILAVVVESHLVACVLAPHAKTVAYSVCTFSVLALIGVAGVCSWSPLDSPLVPPQLVFLGPVSGLLGVLGSLFAVTPLCSPFHLSRYVLLGLSLALGGVFAQGYGLATYVGTIGEQLTWLGPGVIIILGLISGLLGCWDYGSLGQSELCLTLVASCVTSSGKRVTAANGAPVVQVASYACGISLVNLLAYFYARGRIDPPGLLPDGRLKLLLAIPLHFLCRCILLELSPLNSAQYAMHSLPGVFGAPLGGGLLAVLLGNTADSPQCCQHWRLSPPASPPSPHTSDPPNLYPHSGAM
+>sp|Q96QD8|S38A2_HUMAN Sodium-coupled neutral amino acid transporter 2 OS=Homo sapiens OX=9606 GN=SLC38A2 PE=1 SV=2
+MKKAEMGRFSISPDEDSSSYSSNSDFNYSYPTKQAALKSHYADVDPENQNFLLESNLGKKKYETEFHPGTTSFGMSVFNLSNAIVGSGILGLSYAMANTGIALFIILLTFVSIFSLYSVHLLLKTANEGGSLLYEQLGYKAFGLVGKLAASGSITMQNIGAMSSYLFIVKYELPLVIQALTNIEDKTGLWYLNGNYLVLLVSLVVILPLSLFRNLGYLGYTSGLSLLCMVFFLIVVICKKFQVPCPVEAALIINETINTTLTQPTALVPALSHNVTENDSCRPHYFIFNSQTVYAVPILIFSFVCHPAVLPIYEELKDRSRRRMMNVSKISFFAMFLMYLLAALFGYLTFYEHVESELLHTYSSILGTDILLLIVRLAVLMAVTLTVPVVIFPIRSSVTHLLCASKDFSWWRHSLITVSILAFTNLLVIFVPTIRDIFGFIGASAASMLIFILPSAFYIKLVKKEPMKSVQKIGALFFLLSGVLVMTGSMALIVLDWVHNAPGGGH
+>DECOY_sp|Q96QD8|S38A2_HUMAN Sodium-coupled neutral amino acid transporter 2 OS=Homo sapiens OX=9606 GN=SLC38A2 PE=1 SV=2
+HGGGPANHVWDLVILAMSGTMVLVGSLLFFLAGIKQVSKMPEKKVLKIYFASPLIFILMSAASAGIFGFIDRITPVFIVLLNTFALISVTILSHRWWSFDKSACLLHTVSSRIPFIVVPVTLTVAMLVALRVILLLIDTGLISSYTHLLESEVHEYFTLYGFLAALLYMLFMAFFSIKSVNMMRRRSRDKLEEYIPLVAPHCVFSFILIPVAYVTQSNFIFYHPRCSDNETVNHSLAPVLATPQTLTTNITENIILAAEVPCPVQFKKCIVVILFFVMCLLSLGSTYGLYGLNRFLSLPLIVVLSVLLVLYNGNLYWLGTKDEINTLAQIVLPLEYKVIFLYSSMAGINQMTISGSAALKGVLGFAKYGLQEYLLSGGENATKLLLHVSYLSFISVFTLLIIFLAIGTNAMAYSLGLIGSGVIANSLNFVSMGFSTTGPHFETEYKKKGLNSELLFNQNEPDVDAYHSKLAAQKTPYSYNFDSNSSYSSSDEDPSISFRGMEAKKM
+>sp|Q99624|S38A3_HUMAN Sodium-coupled neutral amino acid transporter 3 OS=Homo sapiens OX=9606 GN=SLC38A3 PE=1 SV=1
+MEAPLQTEMVELVPNGKHSEGLLPVITPMAGNQRVEDPARSCMEGKSFLQKSPSKEPHFTDFEGKTSFGMSVFNLSNAIMGSGILGLAYAMANTGIILFLFLLTAVALLSSYSIHLLLKSSGVVGIRAYEQLGYRAFGTPGKLAAALAITLQNIGAMSSYLYIIKSELPLVIQTFLNLEEKTSDWYMNGNYLVILVSVTIILPLALMRQLGYLGYSSGFSLSCMVFFLIAVIYKKFHVPCPLPPNFNNTTGNFSHVEIVKEKVQLQVEPEASAFCTPSYFTLNSQTAYTIPIMAFAFVCHPEVLPIYTELKDPSKKKMQHISNLSIAVMYIMYFLAALFGYLTFYNGVESELLHTYSKVDPFDVLILCVRVAVLTAVTLTVPIVLFPVRRAIQQMLFPNQEFSWLRHVLIAVGLLTCINLLVIFAPNILGIFGVIGATSAPFLIFIFPAIFYFRIMPTEKEPARSTPKILALCFAMLGFLLMTMSLSFIIIDWASGTSRHGGNH
+>DECOY_sp|Q99624|S38A3_HUMAN Sodium-coupled neutral amino acid transporter 3 OS=Homo sapiens OX=9606 GN=SLC38A3 PE=1 SV=1
+HNGGHRSTGSAWDIIIFSLSMTMLLFGLMAFCLALIKPTSRAPEKETPMIRFYFIAPFIFILFPASTAGIVGFIGLINPAFIVLLNICTLLGVAILVHRLWSFEQNPFLMQQIARRVPFLVIPVTLTVATLVAVRVCLILVDFPDVKSYTHLLESEVGNYFTLYGFLAALFYMIYMVAISLNSIHQMKKKSPDKLETYIPLVEPHCVFAFAMIPITYATQSNLTFYSPTCFASAEPEVQLQVKEKVIEVHSFNGTTNNFNPPLPCPVHFKKYIVAILFFVMCSLSFGSSYGLYGLQRMLALPLIITVSVLIVLYNGNMYWDSTKEELNLFTQIVLPLESKIIYLYSSMAGINQLTIALAAALKGPTGFARYGLQEYARIGVVGSSKLLLHISYSSLLAVATLLFLFLIIGTNAMAYALGLIGSGMIANSLNFVSMGFSTKGEFDTFHPEKSPSKQLFSKGEMCSRAPDEVRQNGAMPTIVPLLGESHKGNPVLEVMETQLPAEM
+>sp|Q8NBI5|S43A3_HUMAN Solute carrier family 43 member 3 OS=Homo sapiens OX=9606 GN=SLC43A3 PE=1 SV=2
+MAGQGLPLHVATLLTGLLECLGFAGVLFGWPSLVFVFKNEDYFKDLCGPDAGPIGNATGQADCKAQDERFSLIFTLGSFMNNFMTFPTGYIFDRFKTTVARLIAIFFYTTATLIIAFTSAGSAVLLFLAMPMLTIGGILFLITNLQIGNLFGQHRSTIITLYNGAFDSSSAVFLIIKLLYEKGISLRASFIFISVCSTWHVARTFLLMPRGHIPYPLPPNYSYGLCPGNGTTKEEKETAEHENRELQSKEFLSAKEETPGAGQKQELRSFWSYAFSRRFAWHLVWLSVIQLWHYLFIGTLNSLLTNMAGGDMARVSTYTNAFAFTQFGVLCAPWNGLLMDRLKQKYQKEARKTGSSTLAVALCSTVPSLALTSLLCLGFALCASVPILPLQYLTFILQVISRSFLYGSNAAFLTLAFPSEHFGKLFGLVMALSAVVSLLQFPIFTLIKGSLQNDPFYVNVMFMLAILLTFFHPFLVYRECRTWKESPSAIA
+>DECOY_sp|Q8NBI5|S43A3_HUMAN Solute carrier family 43 member 3 OS=Homo sapiens OX=9606 GN=SLC43A3 PE=1 SV=2
+AIASPSEKWTRCERYVLFPHFFTLLIALMFMVNVYFPDNQLSGKILTFIPFQLLSVVASLAMVLGFLKGFHESPFALTLFAANSGYLFSRSIVQLIFTLYQLPLIPVSACLAFGLCLLSTLALSPVTSCLAVALTSSGTKRAEKQYKQKLRDMLLGNWPACLVGFQTFAFANTYTSVRAMDGGAMNTLLSNLTGIFLYHWLQIVSLWVLHWAFRRSFAYSWFSRLEQKQGAGPTEEKASLFEKSQLERNEHEATEKEEKTTGNGPCLGYSYNPPLPYPIHGRPMLLFTRAVHWTSCVSIFIFSARLSIGKEYLLKIILFVASSSDFAGNYLTIITSRHQGFLNGIQLNTILFLIGGITLMPMALFLLVASGASTFAIILTATTYFFIAILRAVTTKFRDFIYGTPFTMFNNMFSGLTFILSFREDQAKCDAQGTANGIPGADPGCLDKFYDENKFVFVLSPWGFLVGAFGLCELLGTLLTAVHLPLGQGAM
+>sp|Q96FL8|S47A1_HUMAN Multidrug and toxin extrusion protein 1 OS=Homo sapiens OX=9606 GN=SLC47A1 PE=1 SV=1
+MEAPEEPAPVRGGPEATLEVRGSRCLRLSAFREELRALLVLAGPAFLVQLMVFLISFISSVFCGHLGKLELDAVTLAIAVINVTGVSVGFGLSSACDTLISQTYGSQNLKHVGVILQRSALVLLLCCFPCWALFLNTQHILLLFRQDPDVSRLTQTYVTIFIPALPATFLYMLQVKYLLNQGIVLPQIVTGVAANLVNALANYLFLHQLHLGVIGSALANLISQYTLALLLFLYILGKKLHQATWGGWSLECLQDWASFLRLAIPSMLMLCMEWWAYEVGSFLSGILGMVELGAQSIVYELAIIVYMVPAGFSVAASVRVGNALGAGDMEQARKSSTVSLLITVLFAVAFSVLLLSCKDHVGYIFTTDRDIINLVAQVVPIYAVSHLFEALACTSGGVLRGSGNQKVGAIVNTIGYYVVGLPIGIALMFATTLGVMGLWSGIIICTVFQAVCFLGFIIQLNWKKACQQAQVHANLKVNNVPRSGNSALPQDPLHPGCPENLEGILTNDVGKTGEPQSDQQMRQEEPLPEHPQDGAKLSRKQLVLRRGLLLLGVFLILLVGILVRFYVRIQ
+>DECOY_sp|Q96FL8|S47A1_HUMAN Multidrug and toxin extrusion protein 1 OS=Homo sapiens OX=9606 GN=SLC47A1 PE=1 SV=1
+QIRVYFRVLIGVLLILFVGLLLLGRRLVLQKRSLKAGDQPHEPLPEEQRMQQDSQPEGTKGVDNTLIGELNEPCGPHLPDQPLASNGSRPVNNVKLNAHVQAQQCAKKWNLQIIFGLFCVAQFVTCIIIGSWLGMVGLTTAFMLAIGIPLGVVYYGITNVIAGVKQNGSGRLVGGSTCALAEFLHSVAYIPVVQAVLNIIDRDTTFIYGVHDKCSLLLVSFAVAFLVTILLSVTSSKRAQEMDGAGLANGVRVSAAVSFGAPVMYVIIALEYVISQAGLEVMGLIGSLFSGVEYAWWEMCLMLMSPIALRLFSAWDQLCELSWGGWTAQHLKKGLIYLFLLLALTYQSILNALASGIVGLHLQHLFLYNALANVLNAAVGTVIQPLVIGQNLLYKVQLMYLFTAPLAPIFITVYTQTLRSVDPDQRFLLLIHQTNLFLAWCPFCCLLLVLASRQLIVGVHKLNQSGYTQSILTDCASSLGFGVSVGTVNIVAIALTVADLELKGLHGCFVSSIFSILFVMLQVLFAPGALVLLARLEERFASLRLCRSGRVELTAEPGGRVPAPEEPAEM
+>sp|Q6U841|S4A10_HUMAN Sodium-driven chloride bicarbonate exchanger OS=Homo sapiens OX=9606 GN=SLC4A10 PE=2 SV=1
+MEIKDQGAQMEPLLPTRNDEEAVVDRGGTRSILKTHFEKEDLEGHRTLFIGVHVPLGGRKSHRRHRHRGHKHRKRDRERDSGLEDGRESPSFDTPSQRVQFILGTEDDDEEHIPHDLFTELDEICWREGEDAEWRETARWLKFEEDVEDGGERWSKPYVATLSLHSLFELRSCILNGTVLLDMHANTLEEIADMVLDQQVSSGQLNEDVRHRVHEALMKQHHHQNQKKLTNRIPIVRSFADIGKKQSEPNSMDKNAGQVVSPQSAPACVENKNDVSRENSTVDFSKGLGGQQKGHTSPCGMKQRHEKGPPHQQEREVDLHFMKKIPPGAEASNILVGELEFLDRTVVAFVRLSPAVLLQGLAEVPIPTRFLFILLGPLGKGQQYHEIGRSIATLMTDEVFHDVAYKAKDRNDLVSGIDEFLDQVTVLPPGEWDPSIRIEPPKNVPSQEKRKIPAVPNGTAAHGEAEPHGGHSGPELQRTGRIFGGLILDIKRKAPYFWSDFRDAFSLQCLASFLFLYCACMSPVITFGGLLGEATEGRISAIESLFGASMTGIAYSLFGGQPLTILGSTGPVLVFEKILFKFCKEYGLSYLSLRASIGLWTATLCIILVATDASSLVCYITRFTEEAFASLICIIFIYEALEKLFELSEAYPINMHNDLELLTQYSCNCVEPHNPSNGTLKEWRESNISASDIIWENLTVSECKSLHGEYVGRACGHDHPYVPDVLFWSVILFFSTVTLSATLKQFKTSRYFPTKVRSIVSDFAVFLTILCMVLIDYAIGIPSPKLQVPSVFKPTRDDRGWFVTPLGPNPWWTVIAAIIPALLCTILIFMDQQITAVIINRKEHKLKKGCGYHLDLLMVAVMLGVCSIMGLPWFVAATVLSITHVNSLKLESECSAPGEQPKFLGIREQRVTGLMIFILMGSSVFMTSILKFIPMPVLYGVFLYMGASSLKGIQFFDRIKLFWMPAKHQPDFIYLRHVPLRKVHLFTIIQMSCLGLLWIIKVSRAAIVFPMMVLALVFVRKLMDLLFTKRELSWLDDLMPESKKKKLEDAEKEEEQSMLAMEDEGTVQLPLEGHYRDDPSVINISDEMSKTALWRNLLITADNSKDKESSFPSKSSPS
+>DECOY_sp|Q6U841|S4A10_HUMAN Sodium-driven chloride bicarbonate exchanger OS=Homo sapiens OX=9606 GN=SLC4A10 PE=2 SV=1
+SPSSKSPFSSEKDKSNDATILLNRWLATKSMEDSINIVSPDDRYHGELPLQVTGEDEMALMSQEEEKEADELKKKKSEPMLDDLWSLERKTFLLDMLKRVFVLALVMMPFVIAARSVKIIWLLGLCSMQIITFLHVKRLPVHRLYIFDPQHKAPMWFLKIRDFFQIGKLSSAGMYLFVGYLVPMPIFKLISTMFVSSGMLIFIMLGTVRQERIGLFKPQEGPASCESELKLSNVHTISLVTAAVFWPLGMISCVGLMVAVMLLDLHYGCGKKLKHEKRNIIVATIQQDMFILITCLLAPIIAAIVTWWPNPGLPTVFWGRDDRTPKFVSPVQLKPSPIGIAYDILVMCLITLFVAFDSVISRVKTPFYRSTKFQKLTASLTVTSFFLIVSWFLVDPVYPHDHGCARGVYEGHLSKCESVTLNEWIIDSASINSERWEKLTGNSPNHPEVCNCSYQTLLELDNHMNIPYAESLEFLKELAEYIFIICILSAFAEETFRTIYCVLSSADTAVLIICLTATWLGISARLSLYSLGYEKCFKFLIKEFVLVPGTSGLITLPQGGFLSYAIGTMSAGFLSEIASIRGETAEGLLGGFTIVPSMCACYLFLFSALCQLSFADRFDSWFYPAKRKIDLILGGFIRGTRQLEPGSHGGHPEAEGHAATGNPVAPIKRKEQSPVNKPPEIRISPDWEGPPLVTVQDLFEDIGSVLDNRDKAKYAVDHFVEDTMLTAISRGIEHYQQGKGLPGLLIFLFRTPIPVEALGQLLVAPSLRVFAVVTRDLFELEGVLINSAEAGPPIKKMFHLDVEREQQHPPGKEHRQKMGCPSTHGKQQGGLGKSFDVTSNERSVDNKNEVCAPASQPSVVQGANKDMSNPESQKKGIDAFSRVIPIRNTLKKQNQHHHQKMLAEHVRHRVDENLQGSSVQQDLVMDAIEELTNAHMDLLVTGNLICSRLEFLSHLSLTAVYPKSWREGGDEVDEEFKLWRATERWEADEGERWCIEDLETFLDHPIHEEDDDETGLIFQVRQSPTDFSPSERGDELGSDRERDRKRHKHGRHRHRRHSKRGGLPVHVGIFLTRHGELDEKEFHTKLISRTGGRDVVAEEDNRTPLLPEMQAGQDKIEM
+>sp|Q8NBS3|S4A11_HUMAN Sodium bicarbonate transporter-like protein 11 OS=Homo sapiens OX=9606 GN=SLC4A11 PE=1 SV=2
+MSQVGGRGDRCTQEVQGLVHGAGDLSASLAENSPTMSQNGYFEDSSYYKCDTDDTFEAREEILGDEAFDTANSSIVSGESIRFFVNVNLEMQATNTENEATSGGCVLLHTSRKYLKLKNFKEEIRAHRDLDGFLAQASIVLNETATSLDNVLRTMLRRFARDPDNNEPNCNLDLLMAMLFTDAGAPMRGKVHLLSDTIQGVTATVTGVRYQQSWLCIICTMKALQKRHVCISRLVRPQNWGENSCEVRFVILVLAPPKMKSTKTAMEVARTFATMFSDIAFRQKLLETRTEEEFKEALVHQRQLLTMVSHGPVAPRTKERSTVSLPAHRHPEPPKCKDFVPFGKGIREDIARRFPLYPLDFTDGIIGKNKAVGKYITTTLFLYFACLLPTIAFGSLNDENTDGAIDVQKTIAGQSIGGLLYALFSGQPLVILLTTAPLALYIQVIRVICDDYDLDFNSFYAWTGLWNSFFLALYAFFNLSLVMSLFKRSTEEIIALFISITFVLDAVKGTVKIFWKYYYGHYLDDYHTKRTSSLVSLSGLGASLNASLHTALNASFLASPTELPSATHSGQATAVLSLLIMLGTLWLGYTLYQFKKSPYLHPCVREILSDCALPIAVLAFSLISSHGFREIEMSKFRYNPSESPFAMAQIQSLSLRAVSGAMGLGFLLSMLFFIEQNLVAALVNAPENRLVKGTAYHWDLLLLAIINTGLSLFGLPWIHAAYPHSPLHVRALALVEERVENGHIYDTIVNVKETRLTSLGASVLVGLSLLLLPVPLQWIPKPVLYGLFLYIALTSLDGNQLVQRVALLLKEQTAYPPTHYIRRVPQRKIHYFTGLQVLQLLLLCAFGMSSLPYMKMIFPLIMIAMIPIRYILLPRIIEAKYLDVMDAEHRP
+>DECOY_sp|Q8NBS3|S4A11_HUMAN Sodium bicarbonate transporter-like protein 11 OS=Homo sapiens OX=9606 GN=SLC4A11 PE=1 SV=2
+PRHEADMVDLYKAEIIRPLLIYRIPIMAIMILPFIMKMYPLSSMGFACLLLLQLVQLGTFYHIKRQPVRRIYHTPPYATQEKLLLAVRQVLQNGDLSTLAIYLFLGYLVPKPIWQLPVPLLLLSLGVLVSAGLSTLRTEKVNVITDYIHGNEVREEVLALARVHLPSHPYAAHIWPLGFLSLGTNIIALLLLDWHYATGKVLRNEPANVLAAVLNQEIFFLMSLLFGLGMAGSVARLSLSQIQAMAFPSESPNYRFKSMEIERFGHSSILSFALVAIPLACDSLIERVCPHLYPSKKFQYLTYGLWLTGLMILLSLVATAQGSHTASPLETPSALFSANLATHLSANLSAGLGSLSVLSSTRKTHYDDLYHGYYYKWFIKVTGKVADLVFTISIFLAIIEETSRKFLSMVLSLNFFAYLALFFSNWLGTWAYFSNFDLDYDDCIVRIVQIYLALPATTLLIVLPQGSFLAYLLGGISQGAITKQVDIAGDTNEDNLSGFAITPLLCAFYLFLTTTIYKGVAKNKGIIGDTFDLPYLPFRRAIDERIGKGFPVFDKCKPPEPHRHAPLSVTSREKTRPAVPGHSVMTLLQRQHVLAEKFEEETRTELLKQRFAIDSFMTAFTRAVEMATKTSKMKPPALVLIVFRVECSNEGWNQPRVLRSICVHRKQLAKMTCIICLWSQQYRVGTVTATVGQITDSLLHVKGRMPAGADTFLMAMLLDLNCNPENNDPDRAFRRLMTRLVNDLSTATENLVISAQALFGDLDRHARIEEKFNKLKLYKRSTHLLVCGGSTAENETNTAQMELNVNVFFRISEGSVISSNATDFAEDGLIEERAEFTDDTDCKYYSSDEFYGNQSMTPSNEALSASLDGAGHVLGQVEQTCRDGRGGVQSM
+>sp|Q9BY07|S4A5_HUMAN Electrogenic sodium bicarbonate cotransporter 4 OS=Homo sapiens OX=9606 GN=SLC4A5 PE=2 SV=2
+MKVKEEKAGVGKLDHTNHRRRFPDQKECPPIHIGLPVPTYPQRKTDQKGHLSGLQKVHWGLRPDQPQQELTGPGSGASSQDSSMDLISRTRSPAAEQLQDILGEEDEAPNPTLFTEMDTLQHDGDQMEWKESARWIKFEEKVEEGGERWSKPHVSTLSLHSLFELRTCLQTGTVLLDLDSGSLPQIIDDVIEKQIEDGLLRPELRERVSYVLLRRHRHQTKKPIHRSLADIGKSVSTTNRSPARSPGAGPSLHHSTEDLRMRQSANYGRLCHAQSRSMNDISLTPNTDQRKNKFMKKIPKDSEASNVLVGEVDFLDQPFIAFVRLIQSAMLGGVTEVPVPTRFLFILLGPSGRAKSYNEIGRAIATLMVDDLFSDVAYKARNREDLIAGIDEFLDEVIVLPPGEWDPNIRIEPPKKVPSADKRKSVFSLAELGQMNGSVGGGGGAPGGGNGGGGGGGSGGGAGSGGAGGTSSGDDGEMPAMHEIGEELIWTGRFFGGLCLDIKRKLPWFPSDFYDGFHIQSISAILFIYLGCITNAITFGGLLGDATDNYQGVMESFLGTAMAGSLFCLFSGQPLIILSSTGPILIFEKLLFDFSKGNGLDYMEFRLWIGLHSAVQCLILVATDASFIIKYITRFTEEGFSTLISFIFIYDAIKKMIGAFKYYPINMDFKPNFITTYKCECVAPDTVNTTVFNASAPLAPDTNASLYNLLNLTALDWSLLSKKECLSYGGRLLGNSCKFIPDLALMSFILFFGTYSMTLTLKKFKFSRYFPTKVRALVADFSIVFSILMFCGIDACFGLETPKLHVPSVIKPTRPDRGWFVAPFGKNPWWVYPASILPALLVTILIFMDQQITAVIVNRKENKLKKAAGYHLDLFWVGILMALCSFMGLPWYVAATVISIAHIDSLKMETETSAPGEQPQFLGVREQRVTGIIVFILTGISVFLAPILKCIPLPVLYGVFLYMGVASLNGIQMGTGGSEFKIQKKLTPFWERCKLFLMPAKHQPDHAFLRHVPLRRIHLFTLVQILCLAVLWILKSTVAAIIFPVMILGLIIVRRLLDFIFSQHDLAWIDNILPEKEKKETDKKRKRKKGAHEDCDEEPQFPPPSVIKIPMESVQSDPQNGIHCIARKRSSSWSYSL
+>DECOY_sp|Q9BY07|S4A5_HUMAN Electrogenic sodium bicarbonate cotransporter 4 OS=Homo sapiens OX=9606 GN=SLC4A5 PE=2 SV=2
+LSYSWSSSRKRAICHIGNQPDSQVSEMPIKIVSPPPFQPEEDCDEHAGKKRKRKKDTEKKEKEPLINDIWALDHQSFIFDLLRRVIILGLIMVPFIIAAVTSKLIWLVALCLIQVLTFLHIRRLPVHRLFAHDPQHKAPMLFLKCREWFPTLKKQIKFESGGTGMQIGNLSAVGMYLFVGYLVPLPICKLIPALFVSIGTLIFVIIGTVRQERVGLFQPQEGPASTETEMKLSDIHAISIVTAAVYWPLGMFSCLAMLIGVWFLDLHYGAAKKLKNEKRNVIVATIQQDMFILITVLLAPLISAPYVWWPNKGFPAVFWGRDPRTPKIVSPVHLKPTELGFCADIGCFMLISFVISFDAVLARVKTPFYRSFKFKKLTLTMSYTGFFLIFSMLALDPIFKCSNGLLRGGYSLCEKKSLLSWDLATLNLLNYLSANTDPALPASANFVTTNVTDPAVCECKYTTIFNPKFDMNIPYYKFAGIMKKIADYIFIFSILTSFGEETFRTIYKIIFSADTAVLILCQVASHLGIWLRFEMYDLGNGKSFDFLLKEFILIPGTSSLIILPQGSFLCFLSGAMATGLFSEMVGQYNDTADGLLGGFTIANTICGLYIFLIASISQIHFGDYFDSPFWPLKRKIDLCLGGFFRGTWILEEGIEHMAPMEGDDGSSTGGAGGSGAGGGSGGGGGGGNGGGPAGGGGGVSGNMQGLEALSFVSKRKDASPVKKPPEIRINPDWEGPPLVIVEDLFEDIGAILDERNRAKYAVDSFLDDVMLTAIARGIENYSKARGSPGLLIFLFRTPVPVETVGGLMASQILRVFAIFPQDLFDVEGVLVNSAESDKPIKKMFKNKRQDTNPTLSIDNMSRSQAHCLRGYNASQRMRLDETSHHLSPGAGPSRAPSRNTTSVSKGIDALSRHIPKKTQHRHRRLLVYSVRERLEPRLLGDEIQKEIVDDIIQPLSGSDLDLLVTGTQLCTRLEFLSHLSLTSVHPKSWREGGEEVKEEFKIWRASEKWEMQDGDHQLTDMETFLTPNPAEDEEGLIDQLQEAAPSRTRSILDMSSDQSSAGSGPGTLEQQPQDPRLGWHVKQLGSLHGKQDTKRQPYTPVPLGIHIPPCEKQDPFRRRHNTHDLKGVGAKEEKVKM
+>sp|P48066|S6A11_HUMAN Sodium- and chloride-dependent GABA transporter 3 OS=Homo sapiens OX=9606 GN=SLC6A11 PE=2 SV=1
+MTAEKALPLGNGKAAEEARESEAPGGGCSSGGAAPARHPRVKRDKAVHERGHWNNKVEFVLSVAGEIIGLGNVWRFPYLCYKNGGGAFLIPYVVFFICCGIPVFFLETALGQFTSEGGITCWRKVCPLFEGIGYATQVIEAHLNVYYIIILAWAIFYLSNCFTTELPWATCGHEWNTENCVEFQKLNVSNYSHVSLQNATSPVMEFWEHRVLAISDGIEHIGNLRWELALCLLAAWTICYFCIWKGTKSTGKVVYVTATFPYIMLLILLIRGVTLPGASEGIKFYLYPDLSRLSDPQVWVDAGTQIFFSYAICLGCLTALGSYNNYNNNCYRDCIMLCCLNSGTSFVAGFAIFSVLGFMAYEQGVPIAEVAESGPGLAFIAYPKAVTMMPLSPLWATLFFMMLIFLGLDSQFVCVESLVTAVVDMYPKVFRRGYRRELLILALSVISYFLGLVMLTEGGMYIFQLFDSYAASGMCLLFVAIFECICIGWVYGSNRFYDNIEDMIGYRPPSLIKWCWMIMTPGICAGIFIFFLIKYKPLKYNNIYTYPAWGYGIGWLMALSSMLCIPLWICITVWKTEGTLPEKLQKLTTPSTDLKMRGKLGVSPRMVTVNDCDAKLKSDGTIAAITEKETHF
+>DECOY_sp|P48066|S6A11_HUMAN Sodium- and chloride-dependent GABA transporter 3 OS=Homo sapiens OX=9606 GN=SLC6A11 PE=2 SV=1
+FHTEKETIAAITGDSKLKADCDNVTVMRPSVGLKGRMKLDTSPTTLKQLKEPLTGETKWVTICIWLPICLMSSLAMLWGIGYGWAPYTYINNYKLPKYKILFFIFIGACIGPTMIMWCWKILSPPRYGIMDEINDYFRNSGYVWGICICEFIAVFLLCMGSAAYSDFLQFIYMGGETLMVLGLFYSIVSLALILLERRYGRRFVKPYMDVVATVLSEVCVFQSDLGLFILMMFFLTAWLPSLPMMTVAKPYAIFALGPGSEAVEAIPVGQEYAMFGLVSFIAFGAVFSTGSNLCCLMICDRYCNNNYNNYSGLATLCGLCIAYSFFIQTGADVWVQPDSLRSLDPYLYFKIGESAGPLTVGRILLILLMIYPFTATVYVVKGTSKTGKWICFYCITWAALLCLALEWRLNGIHEIGDSIALVRHEWFEMVPSTANQLSVHSYNSVNLKQFEVCNETNWEHGCTAWPLETTFCNSLYFIAWALIIIYYVNLHAEIVQTAYGIGEFLPCVKRWCTIGGESTFQGLATELFFVPIGCCIFFVVYPILFAGGGNKYCLYPFRWVNGLGIIEGAVSLVFEVKNNWHGREHVAKDRKVRPHRAPAAGGSSCGGGPAESERAEEAAKGNGLPLAKEATM
+>sp|Q9NSD5|S6A13_HUMAN Sodium- and chloride-dependent GABA transporter 2 OS=Homo sapiens OX=9606 GN=SLC6A13 PE=1 SV=3
+MDSRVSGTTSNGETKPVYPVMEKKEEDGTLERGHWNNKMEFVLSVAGEIIGLGNVWRFPYLCYKNGGGAFFIPYLVFLFTCGIPVFLLETALGQYTSQGGVTAWRKICPIFEGIGYASQMIVILLNVYYIIVLAWALFYLFSSFTIDLPWGGCYHEWNTEHCMEFQKTNGSLNGTSENATSPVIEFWERRVLKISDGIQHLGALRWELALCLLLAWVICYFCIWKGVKSTGKVVYFTATFPYLMLVVLLIRGVTLPGAAQGIQFYLYPNLTRLWDPQVWMDAGTQIFFSFAICLGCLTALGSYNKYHNNCYRDCIALCFLNSGTSFVAGFAIFSILGFMSQEQGVPISEVAESGPGLAFIAYPRAVVMLPFSPLWACCFFFMVVLLGLDSQFVCVESLVTALVDMYPHVFRKKNRREVLILGVSVVSFLVGLIMLTEGGMYVFQLFDYYAASGMCLLFVAIFESLCVAWVYGAKRFYDNIEDMIGYRPWPLIKYCWLFLTPAVCTATFLFSLIKYTPLTYNKKYTYPWWGDALGWLLALSSMVCIPAWSLYRLGTLKGPFRERIRQLMCPAEDLPQRNPAGPSAPATPRTSLLRLTELESHC
+>DECOY_sp|Q9NSD5|S6A13_HUMAN Sodium- and chloride-dependent GABA transporter 2 OS=Homo sapiens OX=9606 GN=SLC6A13 PE=1 SV=3
+CHSELETLRLLSTRPTAPASPGAPNRQPLDEAPCMLQRIRERFPGKLTGLRYLSWAPICVMSSLALLWGLADGWWPYTYKKNYTLPTYKILSFLFTATCVAPTLFLWCYKILPWPRYGIMDEINDYFRKAGYVWAVCLSEFIAVFLLCMGSAAYYDFLQFVYMGGETLMILGVLFSVVSVGLILVERRNKKRFVHPYMDVLATVLSEVCVFQSDLGLLVVMFFFCCAWLPSFPLMVVARPYAIFALGPGSEAVESIPVGQEQSMFGLISFIAFGAVFSTGSNLFCLAICDRYCNNHYKNYSGLATLCGLCIAFSFFIQTGADMWVQPDWLRTLNPYLYFQIGQAAGPLTVGRILLVVLMLYPFTATFYVVKGTSKVGKWICFYCIVWALLLCLALEWRLAGLHQIGDSIKLVRREWFEIVPSTANESTGNLSGNTKQFEMCHETNWEHYCGGWPLDITFSSFLYFLAWALVIIYYVNLLIVIMQSAYGIGEFIPCIKRWATVGGQSTYQGLATELLFVPIGCTFLFVLYPIFFAGGGNKYCLYPFRWVNGLGIIEGAVSLVFEMKNNWHGRELTGDEEKKEMVPYVPKTEGNSTTGSVRSDM
+>sp|Q9H2J7|S6A15_HUMAN Sodium-dependent neutral amino acid transporter B(0)AT2 OS=Homo sapiens OX=9606 GN=SLC6A15 PE=1 SV=1
+MPKNSKVVKRELDDDVTESVKDLLSNEDAADDAFKTSELIVDGQEEKDTDVEEGSEVEDERPAWNSKLQYILAQVGFSVGLGNVWRFPYLCQKNGGGAYLLPYLILLMVIGIPLFFLELSVGQRIRRGSIGVWNYISPKLGGIGFASCVVCYFVALYYNVIIGWSLFYFSQSFQQPLPWDQCPLVKNASHTFVEPECEQSSATTYYWYREALNISSSISESGGLNWKMTICLLAAWVMVCLAMIKGIQSSGKIIYFSSLFPYVVLICFLIRAFLLNGSIDGIRHMFTPKLEIMLEPKVWREAATQVFFALGLGFGGVIAFSSYNKRDNNCHFDAVLVSFINFFTSVLATLVVFAVLGFKANVINEKCITQNSETIMKFLKMGNISQDIIPHHINLSTVTAEDYHLVYDIIQKVKEEEFPALHLNSCKIEEELNKAVQGTGLAFIAFTEAMTHFPASPFWSVMFFLMLVNLGLGSMFGTIEGIVTPIVDTFKVRKEILTVICCLLAFCIGLIFVQRSGNYFVTMFDDYSATLPLLIVVILENIAVCFVYGIDKFMEDLKDMLGFAPSRYYYYMWKYISPLMLLSLLIASVVNMGLSPPGYNAWIEDKASEEFLSYPTWGLVVCVSLVVFAILPVPVVFIVRRFNLIDDSSGNLASVTYKRGRVLKEPVNLEGDDTSLIHGKIPSEMPSPNFGKNIYRKQSGSPTLDTAPNGRYGIGYLMADIMPDMPESDL
+>DECOY_sp|Q9H2J7|S6A15_HUMAN Sodium-dependent neutral amino acid transporter B(0)AT2 OS=Homo sapiens OX=9606 GN=SLC6A15 PE=1 SV=1
+LDSEPMDPMIDAMLYGIGYRGNPATDLTPSGSQKRYINKGFNPSPMESPIKGHILSTDDGELNVPEKLVRGRKYTVSALNGSSDDILNFRRVIFVVPVPLIAFVVLSVCVVLGWTPYSLFEESAKDEIWANYGPPSLGMNVVSAILLSLLMLPSIYKWMYYYYRSPAFGLMDKLDEMFKDIGYVFCVAINELIVVILLPLTASYDDFMTVFYNGSRQVFILGICFALLCCIVTLIEKRVKFTDVIPTVIGEITGFMSGLGLNVLMLFFMVSWFPSAPFHTMAETFAIFALGTGQVAKNLEEEIKCSNLHLAPFEEEKVKQIIDYVLHYDEATVTSLNIHHPIIDQSINGMKLFKMITESNQTICKENIVNAKFGLVAFVVLTALVSTFFNIFSVLVADFHCNNDRKNYSSFAIVGGFGLGLAFFVQTAAERWVKPELMIELKPTFMHRIGDISGNLLFARILFCILVVYPFLSSFYIIKGSSQIGKIMALCVMVWAALLCITMKWNLGGSESISSSINLAERYWYYTTASSQECEPEVFTHSANKVLPCQDWPLPQQFSQSFYFLSWGIIVNYYLAVFYCVVCSAFGIGGLKPSIYNWVGISGRRIRQGVSLELFFLPIGIVMLLILYPLLYAGGGNKQCLYPFRWVNGLGVSFGVQALIYQLKSNWAPREDEVESGEEVDTDKEEQGDVILESTKFADDAADENSLLDKVSETVDDDLERKVVKSNKPM
+>sp|Q8TCU3|S7A13_HUMAN Solute carrier family 7 member 13 OS=Homo sapiens OX=9606 GN=SLC7A13 PE=2 SV=1
+MDRGEKIQLKRVFGYWWGTSFLLINIIGAGIFVSPKGVLAYSCMNVGVSLCVWAGCAILAMTSTLCSAEISISFPCSGAQYYFLKRYFGSTVAFLNLWTSLFLGSGVVAGQALLLAEYSIQPFFPSCSVPKLPKKCLALAMLWIVGILTSRGVKEVTWLQIASSVLKVSILSFISLTGVVFLIRGKKENVERFQNAFDAELPDISHLIQAIFQGYFAYSGGACFTLIAGELKKPRTTIPKCIFTALPLVTVVYLLVNISYLTVLTPREILSSDAVAITWADRAFPSLAWIMPFAISTSLFSNLLISIFKSSRPIYLASQEGQLPLLFNTLNSHSSPFTAVLLLVTLGSLAIILTSLIDLINYIFFTGSLWSILLMIGILRRRYQEPNLSIPYKVFLSFPLATIVIDVGLVVIPLVKSPNVHYVYVLLLVLSGLLFYIPLIHFKIRLAWFEKMTCYLQLLFNICLPDVSEE
+>DECOY_sp|Q8TCU3|S7A13_HUMAN Solute carrier family 7 member 13 OS=Homo sapiens OX=9606 GN=SLC7A13 PE=2 SV=1
+EESVDPLCINFLLQLYCTMKEFWALRIKFHILPIYFLLGSLVLLLVYVYHVNPSKVLPIVVLGVDIVITALPFSLFVKYPISLNPEQYRRRLIGIMLLISWLSGTFFIYNILDILSTLIIALSGLTVLLLVATFPSSHSNLTNFLLPLQGEQSALYIPRSSKFISILLNSFLSTSIAFPMIWALSPFARDAWTIAVADSSLIERPTLVTLYSINVLLYVVTVLPLATFICKPITTRPKKLEGAILTFCAGGSYAFYGQFIAQILHSIDPLEADFANQFREVNEKKGRILFVVGTLSIFSLISVKLVSSAIQLWTVEKVGRSTLIGVIWLMALALCKKPLKPVSCSPFFPQISYEALLLAQGAVVGSGLFLSTWLNLFAVTSGFYRKLFYYQAGSCPFSISIEASCLTSTMALIACGAWVCLSVGVNMCSYALVGKPSVFIGAGIINILLFSTGWWYGFVRKLQIKEGRDM
+>sp|P0DJI8|SAA1_HUMAN Serum amyloid A-1 protein OS=Homo sapiens OX=9606 GN=SAA1 PE=1 SV=1
+MKLLTGLVFCSLVLGVSSRSFFSFLGEAFDGARDMWRAYSDMREANYIGSDKYFHARGNYDAAKRGPGGVWAAEAISDARENIQRFFGHGAEDSLADQAANEWGRSGKDPNHFRPAGLPEKY
+>DECOY_sp|P0DJI8|SAA1_HUMAN Serum amyloid A-1 protein OS=Homo sapiens OX=9606 GN=SAA1 PE=1 SV=1
+YKEPLGAPRFHNPDKGSRGWENAAQDALSDEAGHGFFRQINERADSIAEAAWVGGPGRKAADYNGRAHFYKDSGIYNAERMDSYARWMDRAGDFAEGLFSFFSRSSVGLVLSCFVLGTLLKM
+>sp|P35542|SAA4_HUMAN Serum amyloid A-4 protein OS=Homo sapiens OX=9606 GN=SAA4 PE=1 SV=2
+MRLFTGIVFCSLVMGVTSESWRSFFKEALQGVGDMGRAYWDIMISNHQNSNRYLYARGNYDAAQRGPGGVWAAKLISRSRVYLQGLIDCYLFGNSSTVLEDSKSNEKAEEWGRSGKDPDRFRPDGLPKKY
+>DECOY_sp|P35542|SAA4_HUMAN Serum amyloid A-4 protein OS=Homo sapiens OX=9606 GN=SAA4 PE=1 SV=2
+YKKPLGDPRFRDPDKGSRGWEEAKENSKSDELVTSSNGFLYCDILGQLYVRSRSILKAAWVGGPGRQAADYNGRAYLYRNSNQHNSIMIDWYARGMDGVGQLAEKFFSRWSESTVGMVLSCFVIGTFLRM
+>sp|A6NKF1|SAC31_HUMAN SAC3 domain-containing protein 1 OS=Homo sapiens OX=9606 GN=SAC3D1 PE=1 SV=2
+MAGRRAQTGSAPPRPAAPHPRPASRAFPQHCRPRDAERPPSPRSPLMPGCELPVGTCPDMCPAAERAQREREHRLHRLEVVPGCRQDPPRADPQRAVKEYSRPAAGKPRPPPSQLRPPSVLLATVRYLAGEVAESADIARAEVASFVADRLRAVLLDLALQGAGDAEAAVVLEAALATLLTVVARLGPDAARGPADPVLLQAQVQEGFGSLRRCYARGAGPHPRQPAFQGLFLLYNLGSVEALHEVLQLPAALRACPPLRKALAVDAAFREGNAARLFRLLQTLPYLPSCAVQCHVGHARREALARFARAFSTPKGQTLPLGFMVNLLALDGLREARDLCQAHGLPLDGEERVVFLRGRYVEEGLPPASTCKVLVESKLRGRTLEEVVMAEEEDEGTDRPGSPA
+>DECOY_sp|A6NKF1|SAC31_HUMAN SAC3 domain-containing protein 1 OS=Homo sapiens OX=9606 GN=SAC3D1 PE=1 SV=2
+APSGPRDTGEDEEEAMVVEELTRGRLKSEVLVKCTSAPPLGEEVYRGRLFVVREEGDLPLGHAQCLDRAERLGDLALLNVMFGLPLTQGKPTSFARAFRALAERRAHGVHCQVACSPLYPLTQLLRFLRAANGERFAADVALAKRLPPCARLAAPLQLVEHLAEVSGLNYLLFLGQFAPQRPHPGAGRAYCRRLSGFGEQVQAQLLVPDAPGRAADPGLRAVVTLLTALAAELVVAAEADGAGQLALDLLVARLRDAVFSAVEARAIDASEAVEGALYRVTALLVSPPRLQSPPPRPKGAAPRSYEKVARQPDARPPDQRCGPVVELRHLRHERERQAREAAPCMDPCTGVPLECGPMLPSRPSPPREADRPRCHQPFARSAPRPHPAAPRPPASGTQARRGAM
+>sp|Q14151|SAFB2_HUMAN Scaffold attachment factor B2 OS=Homo sapiens OX=9606 GN=SAFB2 PE=1 SV=1
+MAETLPGSGDSGPGTASLGPGVAETGTRRLSELRVIDLRAELKKRNLDTGGNKSVLMERLKKAVKEEGQDPDEIGIELEATSKKSAKRCVKGLKMEEEGTEDNGLEDDSRDGQEDMEASLENLQNMGMMDMSVLDETEVANSSAPDFGEDGTDGLLDSFCDSKEYVAAQLRQLPAQPPEHAVDGEGFKNTLETSSLNFKVTPDIEESLLEPENEKILDILGETCKSEPVKEESSELEQPFAQDTSSVGPDRKLAEEEDLFDSAHPEEGDLDLASESTAHAQSSKADSLLAVVKREPAEQPGDGERTDCEPVGLEPAVEQSSAASELAEASSEELAEAPTEAPSPEARDSKEDGRKFDFDACNEVPPAPKESSTSEGADQKMSSFKEEKDIKPIIKDEKGRVGSGSGRNLWVSGLSSTTRATDLKNLFSKYGKVVGAKVVTNARSPGARCYGFVTMSTSDEATKCISHLHRTELHGRMISVEKAKNEPAGKKLSDRKECEVKKEKLSSVDRHHSVEIKIEKTVIKKEEKIEKKEEKKPEDIKKEEKDQDELKPGPTNRSRVTKSGSRGMERTVVMDKSKGEPVISVKTTSRSKERSSKSQDRKSESKEKRDILSFDKIKEQRERERQRQREREIRETERRREREQREREQRLEAFHERKEKARLQRERLQLECQRQRLERERMERERLERERMRVERERRKEQERIHREREELRRQQEQLRYEQERRPGRRPYDLDRRDDAYWPEGKRVAMEDRYRADFPRPDHRFHDFDHRDRGQYQDHAIDRREGSRPMMGDHRDGQHYGDDRHGHGGPPERHGRDSRDGWGGYGSDKRLSEGRGLPPPPRGGRDWGEHNQRLEEHQARAWQGAMDAGAASREHARWQGGERGLSGPSGPGHMASRGGVAGRGGFAQGGHSQGHVVPGGGLEGGGVASQDRGSRVPHPHPHPPPYPHFTRRY
+>DECOY_sp|Q14151|SAFB2_HUMAN Scaffold attachment factor B2 OS=Homo sapiens OX=9606 GN=SAFB2 PE=1 SV=1
+YRRTFHPYPPPHPHPHPVRSGRDQSAVGGGELGGGPVVHGQSHGGQAFGGRGAVGGRSAMHGPGSPGSLGREGGQWRAHERSAAGADMAGQWARAQHEELRQNHEGWDRGGRPPPPLGRGESLRKDSGYGGWGDRSDRGHREPPGGHGHRDDGYHQGDRHDGMMPRSGERRDIAHDQYQGRDRHDFDHFRHDPRPFDARYRDEMAVRKGEPWYADDRRDLDYPRRGPRREQEYRLQEQQRRLEERERHIREQEKRREREVRMRERELREREMRERELRQRQCELQLRERQLRAKEKREHFAELRQERERQERERRRETERIERERQRQRERERQEKIKDFSLIDRKEKSESKRDQSKSSREKSRSTTKVSIVPEGKSKDMVVTREMGRSGSKTVRSRNTPGPKLEDQDKEEKKIDEPKKEEKKEIKEEKKIVTKEIKIEVSHHRDVSSLKEKKVECEKRDSLKKGAPENKAKEVSIMRGHLETRHLHSICKTAEDSTSMTVFGYCRAGPSRANTVVKAGVVKGYKSFLNKLDTARTTSSLGSVWLNRGSGSGVRGKEDKIIPKIDKEEKFSSMKQDAGESTSSEKPAPPVENCADFDFKRGDEKSDRAEPSPAETPAEALEESSAEALESAASSQEVAPELGVPECDTREGDGPQEAPERKVVALLSDAKSSQAHATSESALDLDGEEPHASDFLDEEEALKRDPGVSSTDQAFPQELESSEEKVPESKCTEGLIDLIKENEPELLSEEIDPTVKFNLSSTELTNKFGEGDVAHEPPQAPLQRLQAAVYEKSDCFSDLLGDTGDEGFDPASSNAVETEDLVSMDMMGMNQLNELSAEMDEQGDRSDDELGNDETGEEEMKLGKVCRKASKKSTAELEIGIEDPDQGEEKVAKKLREMLVSKNGGTDLNRKKLEARLDIVRLESLRRTGTEAVGPGLSATGPGSDGSGPLTEAM
+>sp|Q9NSC2|SALL1_HUMAN Sal-like protein 1 OS=Homo sapiens OX=9606 GN=SALL1 PE=1 SV=2
+MSRRKQAKPQHFQSDPEVASLPRRDGDTEKGQPSRPTKSKDAHVCGRCCAEFFELSDLLLHKKNCTKNQLVLIVNENPASPPETFSPSPPPDNPDEQMNDTVNKTDQVDCSDLSEHNGLDREESMEVEAPVANKSGSGTSSGSHSSTAPSSSSSSSSSSGGGGSSSTGTSAITTSLPQLGDLTTLGNFSVINSNVIIENLQSTKVAVAQFSQEARCGGASGGKLAVPALMEQLLALQQQQIHQLQLIEQIRHQILLLASQNADLPTSSSPSQGTLRTSANPLSTLSSHLSQQLAAAAGLAQSLASQSASISGVKQLPPIQLPQSSSGNTIIPSNSGSSPNMNILAAAVTTPSSEKVASSAGASHVSNPAVSSSSSPAFAISSLLSPASNPLLPQQASANSVFPSPLPNIGTTAEDLNSLSALAQQRKSKPPNVTAFEAKSTSDEAFFKHKCRFCAKVFGSDSALQIHLRSHTGERPFKCNICGNRFSTKGNLKVHFQRHKEKYPHIQMNPYPVPEHLDNIPTSTGIPYGMSIPPEKPVTSWLDTKPVLPTLTTSVGLPLPPTLPSLIPFIKTEEPAPIPISHSATSPPGSVKSDSGGPESATRNLGGLPEEAEGSTLPPSGGKSEESGMVTNSVPTASSSVLSSPAADCGPAGSATTFTNPLLPLMSEQFKAKFPFGGLLDSAQASETSKLQQLVENIDKKATDPNECIICHRVLSCQSALKMHYRTHTGERPFKCKICGRAFTTKGNLKTHYSVHRAMPPLRVQHSCPICQKKFTNAVVLQQHIRMHMGGQIPNTPVPDSYSESMESDTGSFDEKNFDDLDNFSDENMEDCPEGSIPDTPKSADASQDSLSSSPLPLEMSSIAALENQMKMINAGLAEQLQASLKSVENGSIEGDVLTNDSSSVGGDMESQSAGSPAISESTSSMQALSPSNSTQEFHKSPSIEEKPQRAVPSEFANGLSPTPVNGGALDLTSSHAEKIIKEDSLGILFPFRDRGKFKNTACDICGKTFACQSALDIHYRSHTKERPFICTVCNRGFSTKGNLKQHMLTHQMRDLPSQLFEPSSNLGPNQNSAVIPANSLSSLIKTEVNGFVHVSPQDSKDTPTSHVPSGPLSSSATSPVLLPALPRRTPKQHYCNTCGKTFSSSSALQIHERTHTGEKPFACTICGRAFTTKGNLKVHMGTHMWNSTPARRGRRLSVDGPMTFLGGNPVKFPEMFQKDLAARSGSGDPSSFWNQYAAALSNGLAMKANEISVIQNGGIPPIPGSLGSGNSSPVSGLTGNLERLQNSEPNAPLAGLEKMASSENGTNFRFTRFVEDSKEIVTS
+>DECOY_sp|Q9NSC2|SALL1_HUMAN Sal-like protein 1 OS=Homo sapiens OX=9606 GN=SALL1 PE=1 SV=2
+STVIEKSDEVFRTFRFNTGNESSAMKELGALPANPESNQLRELNGTLGSVPSSNGSGLSGPIPPIGGNQIVSIENAKMALGNSLAAAYQNWFSSPDGSGSRAALDKQFMEPFKVPNGGLFTMPGDVSLRRGRRAPTSNWMHTGMHVKLNGKTTFARGCITCAFPKEGTHTREHIQLASSSSFTKGCTNCYHQKPTRRPLAPLLVPSTASSSLPGSPVHSTPTDKSDQPSVHVFGNVETKILSSLSNAPIVASNQNPGLNSSPEFLQSPLDRMQHTLMHQKLNGKTSFGRNCVTCIFPREKTHSRYHIDLASQCAFTKGCIDCATNKFKGRDRFPFLIGLSDEKIIKEAHSSTLDLAGGNVPTPSLGNAFESPVARQPKEEISPSKHFEQTSNSPSLAQMSSTSESIAPSGASQSEMDGGVSSSDNTLVDGEISGNEVSKLSAQLQEALGANIMKMQNELAAISSMELPLPSSSLSDQSADASKPTDPISGEPCDEMNEDSFNDLDDFNKEDFSGTDSEMSESYSDPVPTNPIQGGMHMRIHQQLVVANTFKKQCIPCSHQVRLPPMARHVSYHTKLNGKTTFARGCIKCKFPREGTHTRYHMKLASQCSLVRHCIICENPDTAKKDINEVLQQLKSTESAQASDLLGGFPFKAKFQESMLPLLPNTFTTASGAPGCDAAPSSLVSSSATPVSNTVMGSEESKGGSPPLTSGEAEEPLGGLNRTASEPGGSDSKVSGPPSTASHSIPIPAPEETKIFPILSPLTPPLPLGVSTTLTPLVPKTDLWSTVPKEPPISMGYPIGTSTPINDLHEPVPYPNMQIHPYKEKHRQFHVKLNGKTSFRNGCINCKFPREGTHSRLHIQLASDSGFVKACFRCKHKFFAEDSTSKAEFATVNPPKSKRQQALASLSNLDEATTGINPLPSPFVSNASAQQPLLPNSAPSLLSSIAFAPSSSSSVAPNSVHSAGASSAVKESSPTTVAAALINMNPSSGSNSPIITNGSSSQPLQIPPLQKVGSISASQSALSQALGAAAALQQSLHSSLTSLPNASTRLTGQSPSSSTPLDANQSALLLIQHRIQEILQLQHIQQQQLALLQEMLAPVALKGGSAGGCRAEQSFQAVAVKTSQLNEIIVNSNIVSFNGLTTLDGLQPLSTTIASTGTSSSGGGGSSSSSSSSSSPATSSHSGSSTGSGSKNAVPAEVEMSEERDLGNHESLDSCDVQDTKNVTDNMQEDPNDPPPSPSFTEPPSAPNENVILVLQNKTCNKKHLLLDSLEFFEACCRGCVHADKSKTPRSPQGKETDGDRRPLSAVEPDSQFHQPKAQKRRSM
+>sp|Q9UJQ4|SALL4_HUMAN Sal-like protein 4 OS=Homo sapiens OX=9606 GN=SALL4 PE=1 SV=1
+MSRRKQAKPQHINSEEDQGEQQPQQQTPEFADAAPAAPAAGELGAPVNHPGNDEVASEDEATVKRLRREETHVCEKCCAEFFSISEFLEHKKNCTKNPPVLIMNDSEGPVPSEDFSGAVLSHQPTSPGSKDCHRENGGSSEDMKEKPDAESVVYLKTETALPPTPQDISYLAKGKVANTNVTLQALRGTKVAVNQRSADALPAPVPGANSIPWVLEQILCLQQQQLQQIQLTEQIRIQVNMWASHALHSSGAGADTLKTLGSHMSQQVSAAVALLSQKAGSQGLSLDALKQAKLPHANIPSATSSLSPGLAPFTLKPDGTRVLPNVMSRLPSALLPQAPGSVLFQSPFSTVALDTSKKGKGKPPNISAVDVKPKDEAALYKHKCKYCSKVFGTDSSLQIHLRSHTGERPFVCSVCGHRFTTKGNLKVHFHRHPQVKANPQLFAEFQDKVAAGNGIPYALSVPDPIDEPSLSLDSKPVLVTTSVGLPQNLSSGTNPKDLTGGSLPGDLQPGPSPESEGGPTLPGVGPNYNSPRAGGFQGSGTPEPGSETLKLQQLVENIDKATTDPNECLICHRVLSCQSSLKMHYRTHTGERPFQCKICGRAFSTKGNLKTHLGVHRTNTSIKTQHSCPICQKKFTNAVMLQQHIRMHMGGQIPNTPLPENPCDFTGSEPMTVGENGSTGAICHDDVIESIDVEEVSSQEAPSSSSKVPTPLPSIHSASPTLGFAMMASLDAPGKVGPAPFNLQRQGSRENGSVESDGLTNDSSSLMGDQEYQSRSPDILETTSFQALSPANSQAESIKSKSPDAGSKAESSENSRTEMEGRSSLPSTFIRAPPTYVKVEVPGTFVGPSTLSPGMTPLLAAQPRRQAKQHGCTRCGKNFSSASALQIHERTHTGEKPFVCNICGRAFTTKGNLKVHYMTHGANNNSARRGRKLAIENTMALLGTDGKRVSEIFPKEILAPSVNVDPVVWNQYTSMLNGGLAVKTNEISVIQSGGVPTLPVSLGATSVVNNATVSKMDGSQSGISADVEKPSATDGVPKHQFPHFLEENKIAVS
+>DECOY_sp|Q9UJQ4|SALL4_HUMAN Sal-like protein 4 OS=Homo sapiens OX=9606 GN=SALL4 PE=1 SV=1
+SVAIKNEELFHPFQHKPVGDTASPKEVDASIGSQSGDMKSVTANNVVSTAGLSVPLTPVGGSQIVSIENTKVALGGNLMSTYQNWVVPDVNVSPALIEKPFIESVRKGDTGLLAMTNEIALKRGRRASNNNAGHTMYHVKLNGKTTFARGCINCVFPKEGTHTREHIQLASASSFNKGCRTCGHQKAQRRPQAALLPTMGPSLTSPGVFTGPVEVKVYTPPARIFTSPLSSRGEMETRSNESSEAKSGADPSKSKISEAQSNAPSLAQFSTTELIDPSRSQYEQDGMLSSSDNTLGDSEVSGNERSGQRQLNFPAPGVKGPADLSAMMAFGLTPSASHISPLPTPVKSSSSPAEQSSVEEVDISEIVDDHCIAGTSGNEGVTMPESGTFDCPNEPLPTNPIQGGMHMRIHQQLMVANTFKKQCIPCSHQTKISTNTRHVGLHTKLNGKTSFARGCIKCQFPREGTHTRYHMKLSSQCSLVRHCILCENPDTTAKDINEVLQQLKLTESGPEPTGSGQFGGARPSNYNPGVGPLTPGGESEPSPGPQLDGPLSGGTLDKPNTGSSLNQPLGVSTTVLVPKSDLSLSPEDIPDPVSLAYPIGNGAAVKDQFEAFLQPNAKVQPHRHFHVKLNGKTTFRHGCVSCVFPREGTHSRLHIQLSSDTGFVKSCYKCKHKYLAAEDKPKVDVASINPPKGKGKKSTDLAVTSFPSQFLVSGPAQPLLASPLRSMVNPLVRTGDPKLTFPALGPSLSSTASPINAHPLKAQKLADLSLGQSGAKQSLLAVAASVQQSMHSGLTKLTDAGAGSSHLAHSAWMNVQIRIQETLQIQQLQQQQLCLIQELVWPISNAGPVPAPLADASRQNVAVKTGRLAQLTVNTNAVKGKALYSIDQPTPPLATETKLYVVSEADPKEKMDESSGGNERHCDKSGPSTPQHSLVAGSFDESPVPGESDNMILVPPNKTCNKKHELFESISFFEACCKECVHTEERRLRKVTAEDESAVEDNGPHNVPAGLEGAAPAAPAADAFEPTQQQPQQEGQDEESNIHQPKAQKRRSM
+>sp|Q9BYL1|SAM10_HUMAN Sterile alpha motif domain-containing protein 10 OS=Homo sapiens OX=9606 GN=SAMD10 PE=2 SV=1
+MFTELRSKLSPPRGRAGAVRAGFGERRDVDATAHFSFCRTLLEHTVSAESIPCHLPRTPGTSLTWHDSRSQRAASSRPIKLLQQPGTDTPQGRLYSDHYGLYHTSPSLGGLTRPVVLWSQQDVCKWLKKHCPHNYLVYVEAFSQHAITGRALLRLNAEKLQRMGLAQEAQRQEVLQQVLRLQVREEGRSLQLLSQASFGKMS
+>DECOY_sp|Q9BYL1|SAM10_HUMAN Sterile alpha motif domain-containing protein 10 OS=Homo sapiens OX=9606 GN=SAMD10 PE=2 SV=1
+SMKGFSAQSLLQLSRGEERVQLRLVQQLVEQRQAEQALGMRQLKEANLRLLARGTIAHQSFAEVYVLYNHPCHKKLWKCVDQQSWLVVPRTLGGLSPSTHYLGYHDSYLRGQPTDTGPQQLLKIPRSSAARQSRSDHWTLSTGPTRPLHCPISEASVTHELLTRCFSFHATADVDRREGFGARVAGARGRPPSLKSRLETFM
+>sp|Q5VXD3|SAM13_HUMAN Sterile alpha motif domain-containing protein 13 OS=Homo sapiens OX=9606 GN=SAMD13 PE=2 SV=1
+MANSLLEGVFAEVKEPCSLPMLSVDMENKENGSVGVKNSMENGRPPDPADWAVMDVVNYFRTVGFEEQASAFQEQEIDGKSLLLMTRNDVLTGLQLKLGPALKIYEYHVKPLQTKHLKNNSS
+>DECOY_sp|Q5VXD3|SAM13_HUMAN Sterile alpha motif domain-containing protein 13 OS=Homo sapiens OX=9606 GN=SAMD13 PE=2 SV=1
+SSNNKLHKTQLPKVHYEYIKLAPGLKLQLGTLVDNRTMLLLSKGDIEQEQFASAQEEFGVTRFYNVVDMVAWDAPDPPRGNEMSNKVGVSGNEKNEMDVSLMPLSCPEKVEAFVGELLSNAM
+>sp|Q9P1V8|SAM15_HUMAN Sterile alpha motif domain-containing protein 15 OS=Homo sapiens OX=9606 GN=SAMD15 PE=2 SV=1
+MAEVPEDYDSGPDEDGELEPERPELPGLHKLYENAEPDTMAKADSKLPAEIYQEPQPETEEEDFKEGEPDSAKNVQLKPGGTSQEGIAKESKRDVPSETEPGIHQEVKSETSREMGEFFKDLEAPMDETHKESDLEPPEEAKPNVTEDVFLESAMETDPDPVPPTETMSEVSGATVRERNLELLEEETEPGVPEESLRVQHEETGLEPPEQTKQDFPSEKLGESLEETDLQPPKMTKPETPEETQRESTEKKRTEPPEQARLEFLEKEPRKSSEEAGLEPPEETQPEVPEEMQRKATEEKGTELPERTKPDFPDHKPRKSTDENVPEPLEEIKLEFPEEESRKTNEETILEQSEMMKPESPEEIRKSNEKKNPQPPEETGPVLPQEINPQVEEKTQTKPTEKILELPDETKPRETHVEFSKEDRPEPIKSKYSVGNDELEHREPKRGKLSLSDKFRKEYYALGSLRESEESIGTHYEFLQPLQKLLNVSEECSYSDPSESQTELSEFVHEKEVVDLSQELKERVSEDDETQPEKGTELQFEHLNWDPEEVAEWISQLGFPQYKECFITNFISGRKLIHVNCSNLPQMGITNFEDMKAISRHTQELLEIEEPLFKRSISLPYRDIIGLYLEQKGHTGIKSDSLTLSEFVKAAGLQDYAPEITAPEENEELPCTEP
+>DECOY_sp|Q9P1V8|SAM15_HUMAN Sterile alpha motif domain-containing protein 15 OS=Homo sapiens OX=9606 GN=SAMD15 PE=2 SV=1
+PETCPLEENEEPATIEPAYDQLGAAKVFESLTLSDSKIGTHGKQELYLGIIDRYPLSISRKFLPEEIELLEQTHRSIAKMDEFNTIGMQPLNSCNVHILKRGSIFNTIFCEKYQPFGLQSIWEAVEEPDWNLHEFQLETGKEPQTEDDESVREKLEQSLDVVEKEHVFESLETQSESPDSYSCEESVNLLKQLPQLFEYHTGISEESERLSGLAYYEKRFKDSLSLKGRKPERHELEDNGVSYKSKIPEPRDEKSFEVHTERPKTEDPLELIKETPKTQTKEEVQPNIEQPLVPGTEEPPQPNKKENSKRIEEPSEPKMMESQELITEENTKRSEEEPFELKIEELPEPVNEDTSKRPKHDPFDPKTREPLETGKEETAKRQMEEPVEPQTEEPPELGAEESSKRPEKELFELRAQEPPETRKKETSERQTEEPTEPKTMKPPQLDTEELSEGLKESPFDQKTQEPPELGTEEHQVRLSEEPVGPETEEELLELNRERVTAGSVESMTETPPVPDPDTEMASELFVDETVNPKAEEPPELDSEKHTEDMPAELDKFFEGMERSTESKVEQHIGPETESPVDRKSEKAIGEQSTGGPKLQVNKASDPEGEKFDEEETEPQPEQYIEAPLKSDAKAMTDPEANEYLKHLGPLEPREPELEGDEDPGSDYDEPVEAM
+>sp|Q70HW3|SAMC_HUMAN S-adenosylmethionine mitochondrial carrier protein OS=Homo sapiens OX=9606 GN=SLC25A26 PE=1 SV=2
+MDRPGFVAALVAGGVAGVSVDLILFPLDTIKTRLQSPQGFSKAGGFHGIYAGVPSAAIGSFPNAAAFFITYEYVKWFLHADSSSYLTPMKHMLAASAGEVVACLIRVPSEVVKQRAQVSASTRTFQIFSNILYEEGIQGLYRGYKSTVLREIPFSLVQFPLWESLKALWSWRQDHVVDSWQSAVCGAFAGGFAAAVTTPLDVAKTRITLAKAGSSTADGNVLSVLHGVWRSQGLAGLFAGVFPRMAAISLGGFIFLGAYDRTHSLLLEVGRKSP
+>DECOY_sp|Q70HW3|SAMC_HUMAN S-adenosylmethionine mitochondrial carrier protein OS=Homo sapiens OX=9606 GN=SLC25A26 PE=1 SV=2
+PSKRGVELLLSHTRDYAGLFIFGGLSIAAMRPFVGAFLGALGQSRWVGHLVSLVNGDATSSGAKALTIRTKAVDLPTTVAAAFGGAFAGCVASQWSDVVHDQRWSWLAKLSEWLPFQVLSFPIERLVTSKYGRYLGQIGEEYLINSFIQFTRTSASVQARQKVVESPVRILCAVVEGASAALMHKMPTLYSSSDAHLFWKVYEYTIFFAAANPFSGIAASPVGAYIGHFGGAKSFGQPSQLRTKITDLPFLILDVSVGAVGGAVLAAVFGPRDM
+>sp|Q8N6K7|SAMD3_HUMAN Sterile alpha motif domain-containing protein 3 OS=Homo sapiens OX=9606 GN=SAMD3 PE=1 SV=2
+METWSVEQVCSWLVEKNLGELVHRFQEEEVSGAALLALNDRMVQQLVKKIGHQAVLMDLIKKYKQNTQGLKSPENPKKAALVMQTEAARDYRDEESSSPARHGEQMPSFYPAENLDNGLIDQRVLKQRRNVKQILARSKALQWTKSYVLPEFPYDVKCMLAEQKCPDHSMRIRIIEFLQADMTKYLEGSLYPSTQQYNDVVNALLQAHPFLDEDGCGFFLWKRALKDRFKYVRRPIEDDEQVIRNKCKFGHRRGQTRKSLADIRFDEIKLVQIKEEAVCFDSELDEHIKWFQQEYVKTEKDWREIDKRMSQTLEIRRKMIGSRTPLKDILKLFPFLKCPYQMFREFQLLTRTDIYKKTRHILESYSENILTSFSVVDNPINIVLQEKMKHYTDEDMLKYMKMTATCLLLPDVFGDDPSLFVIMNEQVQVSTPVLEVKNPFNMEVCEFSLYLERERLTKVDDCVTALAALVAAFHVFRIECPRRLSQTFNFLETLIFDMHSPYFPSLKEKENEVGFQHPLT
+>DECOY_sp|Q8N6K7|SAMD3_HUMAN Sterile alpha motif domain-containing protein 3 OS=Homo sapiens OX=9606 GN=SAMD3 PE=1 SV=2
+TLPHQFGVENEKEKLSPFYPSHMDFILTELFNFTQSLRRPCEIRFVHFAAVLAALATVCDDVKTLRERELYLSFECVEMNFPNKVELVPTSVQVQENMIVFLSPDDGFVDPLLLCTATMKMYKLMDEDTYHKMKEQLVINIPNDVVSFSTLINESYSELIHRTKKYIDTRTLLQFERFMQYPCKLFPFLKLIDKLPTRSGIMKRRIELTQSMRKDIERWDKETKVYEQQFWKIHEDLESDFCVAEEKIQVLKIEDFRIDALSKRTQGRRHGFKCKNRIVQEDDEIPRRVYKFRDKLARKWLFFGCGDEDLFPHAQLLANVVDNYQQTSPYLSGELYKTMDAQLFEIIRIRMSHDPCKQEALMCKVDYPFEPLVYSKTWQLAKSRALIQKVNRRQKLVRQDILGNDLNEAPYFSPMQEGHRAPSSSEEDRYDRAAETQMVLAAKKPNEPSKLGQTNQKYKKILDMLVAQHGIKKVLQQVMRDNLALLAAGSVEEEQFRHVLEGLNKEVLWSCVQEVSWTEM
+>sp|Q9Y3Z3|SAMH1_HUMAN Deoxynucleoside triphosphate triphosphohydrolase SAMHD1 OS=Homo sapiens OX=9606 GN=SAMHD1 PE=1 SV=2
+MQRADSEQPSKRPRCDDSPRTPSNTPSAEADWSPGLELHPDYKTWGPEQVCSFLRRGGFEEPVLLKNIRENEITGALLPCLDESRFENLGVSSLGERKKLLSYIQRLVQIHVDTMKVINDPIHGHIELHPLLVRIIDTPQFQRLRYIKQLGGGYYVFPGASHNRFEHSLGVGYLAGCLVHALGEKQPELQISERDVLCVQIAGLCHDLGHGPFSHMFDGRFIPLARPEVKWTHEQGSVMMFEHLINSNGIKPVMEQYGLIPEEDICFIKEQIVGPLESPVEDSLWPYKGRPENKSFLYEIVSNKRNGIDVDKWDYFARDCHHLGIQNNFDYKRFIKFARVCEVDNELRICARDKEVGNLYDMFHTRNSLHRRAYQHKVGNIIDTMITDAFLKADDYIEITGAGGKKYRISTAIDDMEAYTKLTDNIFLEILYSTDPKLKDAREILKQIEYRNLFKYVGETQPTGQIKIKREDYESLPKEVASAKPKVLLDVKLKAEDFIVDVINMDYGMQEKNPIDHVSFYCKTAPNRAIRITKNQVSQLLPEKFAEQLIRVYCKKVDRKSLYAARQYFVQWCADRNFTKPQDGDVIAPLITPQKKEWNDSTSVQNPTRLREASKSRVQLFKDDPM
+>DECOY_sp|Q9Y3Z3|SAMH1_HUMAN Deoxynucleoside triphosphate triphosphohydrolase SAMHD1 OS=Homo sapiens OX=9606 GN=SAMHD1 PE=1 SV=2
+MPDDKFLQVRSKSAERLRTPNQVSTSDNWEKKQPTILPAIVDGDQPKTFNRDACWQVFYQRAAYLSKRDVKKCYVRILQEAFKEPLLQSVQNKTIRIARNPATKCYFSVHDIPNKEQMGYDMNIVDVIFDEAKLKVDLLVKPKASAVEKPLSEYDERKIKIQGTPQTEGVYKFLNRYEIQKLIERADKLKPDTSYLIELFINDTLKTYAEMDDIATSIRYKKGGAGTIEIYDDAKLFADTIMTDIINGVKHQYARRHLSNRTHFMDYLNGVEKDRACIRLENDVECVRAFKIFRKYDFNNQIGLHHCDRAFYDWKDVDIGNRKNSVIEYLFSKNEPRGKYPWLSDEVPSELPGVIQEKIFCIDEEPILGYQEMVPKIGNSNILHEFMMVSGQEHTWKVEPRALPIFRGDFMHSFPGHGLDHCLGAIQVCLVDRESIQLEPQKEGLAHVLCGALYGVGLSHEFRNHSAGPFVYYGGGLQKIYRLRQFQPTDIIRVLLPHLEIHGHIPDNIVKMTDVHIQVLRQIYSLLKKREGLSSVGLNEFRSEDLCPLLAGTIENERINKLLVPEEFGGRRLFSCVQEPGWTKYDPHLELGPSWDAEASPTNSPTRPSDDCRPRKSPQESDARQM
+>sp|Q9UL12|SARDH_HUMAN Sarcosine dehydrogenase, mitochondrial OS=Homo sapiens OX=9606 GN=SARDH PE=1 SV=1
+MASLSRALRVAAAHPRQSPTRGMGPCNLSSAAGPTAEKSVPYQRTLKEGQGTSVVAQGPSRPLPSTANVVVIGGGSLGCQTLYHLAKLGMSGAVLLERERLTSGTTWHTAGLLWQLRPSDVEVELLAHTRRVVSRELEEETGLHTGWIQNGGLFIASNRQRLDEYKRLMSLGKAYGVESHVLSPAETKTLYPLMNVDDLYGTLYVPHDGTMDPAGTCTTLARAASARGAQVIENCPVTGIRVWTDDFGVRRVAGVETQHGSIQTPCVVNCAGVWASAVGRMAGVKVPLVAMHHAYVVTERIEGIQNMPNVRDHDASVYLRLQGDALSVGGYEANPIFWEEVSDKFAFGLFDLDWEVFTQHIEGAINRVPVLEKTGIKSTVCGPESFTPDHKPLMGEAPELRGFFLGCGFNSAGMMLGGGCGQELAHWIIHGRPEKDMHGYDIRRFHHSLTDHPRWIRERSHESYAKNYSVVFPHDEPLAGRNMRRDPLHEELLGQGCVFQERHGWERPGWFHPRGPAPVLEYDYYGAYGSRAHEDYAYRRLLADEYTFAFPPHHDTIKKECLACRGAAAVFDMSYFGKFYLVGLDARKAADWLFSADVSRPPGSTVYTCMLNHRGGTESDLTVSRLAPSHQASPLAPAFEGDGYYLAMGGAVAQHNWSHITTVLQDQKSQCQLIDSSEDLGMISIQGPASRAILQEVLDADLSNEAFPFSTHKLLRAAGHLVRAMRLSFVGELGWELHIPKASCVPVYRAVMAAGAKHGLINAGYRAIDSLSIEKGYRHWHADLRPDDSPLEAGLAFTCKLKSPVPFLGREALEQQRAAGLRRRLVCFTMEDKVPMFGLEAIWRNGQVVGHVRRADFGFAIDKTIAYGYIHDPSGGPVSLDFVKSGDYALERMGVTYGAQAHLKSPFDPNNKRVKGIY
+>DECOY_sp|Q9UL12|SARDH_HUMAN Sarcosine dehydrogenase, mitochondrial OS=Homo sapiens OX=9606 GN=SARDH PE=1 SV=1
+YIGKVRKNNPDFPSKLHAQAGYTVGMRELAYDGSKVFDLSVPGGSPDHIYGYAITKDIAFGFDARRVHGVVQGNRWIAELGFMPVKDEMTFCVLRRRLGAARQQELAERGLFPVPSKLKCTFALGAELPSDDPRLDAHWHRYGKEISLSDIARYGANILGHKAGAAMVARYVPVCSAKPIHLEWGLEGVFSLRMARVLHGAARLLKHTSFPFAENSLDADLVEQLIARSAPGQISIMGLDESSDILQCQSKQDQLVTTIHSWNHQAVAGGMALYYGDGEFAPALPSAQHSPALRSVTLDSETGGRHNLMCTYVTSGPPRSVDASFLWDAAKRADLGVLYFKGFYSMDFVAAAGRCALCEKKITDHHPPFAFTYEDALLRRYAYDEHARSGYAGYYDYELVPAPGRPHFWGPREWGHREQFVCGQGLLEEHLPDRRMNRGALPEDHPFVVSYNKAYSEHSRERIWRPHDTLSHHFRRIDYGHMDKEPRGHIIWHALEQGCGGGLMMGASNFGCGLFFGRLEPAEGMLPKHDPTFSEPGCVTSKIGTKELVPVRNIAGEIHQTFVEWDLDFLGFAFKDSVEEWFIPNAEYGGVSLADGQLRLYVSADHDRVNPMNQIGEIRETVVYAHHMAVLPVKVGAMRGVASAWVGACNVVCPTQISGHQTEVGAVRRVGFDDTWVRIGTVPCNEIVQAGRASAARALTTCTGAPDMTGDHPVYLTGYLDDVNMLPYLTKTEAPSLVHSEVGYAKGLSMLRKYEDLRQRNSAIFLGGNQIWGTHLGTEEELERSVVRRTHALLEVEVDSPRLQWLLGATHWTTGSTLRERELLVAGSMGLKALHYLTQCGLSGGGIVVVNATSPLPRSPGQAVVSTGQGEKLTRQYPVSKEATPGAASSLNCPGMGRTPSQRPHAAAVRLARSLSAM
+>sp|Q96F10|SAT2_HUMAN Diamine acetyltransferase 2 OS=Homo sapiens OX=9606 GN=SAT2 PE=1 SV=1
+MASVRIREAKEGDCGDILRLIRELAEFEKLSDQVKISEEALRADGFGDNPFYHCLVAEILPAPGKLLGPCVVGYGIYYFIYSTWKGRTIYLEDIYVMPEYRGQGIGSKIIKKVAEVALDKGCSQFRLAVLDWNQRAMDLYKALGAQDLTEAEGWHFFCFQGEATRKLAGK
+>DECOY_sp|Q96F10|SAT2_HUMAN Diamine acetyltransferase 2 OS=Homo sapiens OX=9606 GN=SAT2 PE=1 SV=1
+KGALKRTAEGQFCFFHWGEAETLDQAGLAKYLDMARQNWDLVALRFQSCGKDLAVEAVKKIIKSGIGQGRYEPMVYIDELYITRGKWTSYIFYYIGYGVVCPGLLKGPAPLIEAVLCHYFPNDGFGDARLAEESIKVQDSLKEFEALERILRLIDGCDGEKAERIRVSAM
+>sp|Q9Y3A5|SBDS_HUMAN Ribosome maturation protein SBDS OS=Homo sapiens OX=9606 GN=SBDS PE=1 SV=4
+MSIFTPTNQIRLTNVAVVRMKRAGKRFEIACYKNKVVGWRSGVEKDLDEVLQTHSVFVNVSKGQVAKKEDLISAFGTDDQTEICKQILTKGEVQVSDKERHTQLEQMFRDIATIVADKCVNPETKRPYTVILIERAMKDIHYSVKTNKSTKQQALEVIKQLKEKMKIERAHMRLRFILPVNEGKKLKEKLKPLIKVIESEDYGQQLEIVCLIDPGCFREIDELIKKETKGKGSLEVLNLKDVEEGDEKFE
+>DECOY_sp|Q9Y3A5|SBDS_HUMAN Ribosome maturation protein SBDS OS=Homo sapiens OX=9606 GN=SBDS PE=1 SV=4
+EFKEDGEEVDKLNLVELSGKGKTEKKILEDIERFCGPDILCVIELQQGYDESEIVKILPKLKEKLKKGENVPLIFRLRMHAREIKMKEKLQKIVELAQQKTSKNTKVSYHIDKMAREILIVTYPRKTEPNVCKDAVITAIDRFMQELQTHREKDSVQVEGKTLIQKCIETQDDTGFASILDEKKAVQGKSVNVFVSHTQLVEDLDKEVGSRWGVVKNKYCAIEFRKGARKMRVVAVNTLRIQNTPTFISM
+>sp|Q9Y2G9|SBNO2_HUMAN Protein strawberry notch homolog 2 OS=Homo sapiens OX=9606 GN=SBNO2 PE=2 SV=3
+MLAVGPAMDRDYPQHEPPPAGSLLYSPPPLQSAMLHCPYWNTFSLPPYPAFSSDSRPFMSSASFLGSQPCPDTSYAPVATASSLPPKTCDFAQDSSYFEDFSNISIFSSSVDSLSDIVDTPDFLPADSLNQVSTIWDDNPAPSTHDKLFQLSRPFAGFEDFLPSHSTPLLVSYQEQSVQSQPEEEDEAEEEEAEELGHTETYADYVPSKSKIGKQHPDRVVETSTLSSVPPPDITYTLALPSDSGALSALQLEAITYACQQHEVLLPSGQRAGFLIGDGAGVGKGRTVAGVILENHLRGRKKALWFSVSNDLKYDAERDLRDIEATGIAVHALSKIKYGDTTTSEGVLFATYSALIGESQAGGQHRTRLRQILDWCGEAFEGVIVFDECHKAKNAGSTKMGKAVLDLQNKLPLARVVYASATGASEPRNMIYMSRLGIWGEGTPFRNFEEFLHAIEKRGVGAMEIVAMDMKVSGMYIARQLSFSGVTFRIEEIPLAPAFECVYNRAALLWAEALNVFQQAADWIGLESRKSLWGQFWSAHQRFFKYLCIAAKVRRLVELAREELARDKCVVIGLQSTGEARTREVLGENDGHLNCFVSAAEGVFLSLIQKHFPSTKRKRDRGAGSKRKRRPRGRGAKAPRLACETAGVIRISDDSSTESDPGLDSDFNSSPESLVDDDVVIVDAVGLPSDDRGPLCLLQRDPHGPGVLERVERLKQDLLDKVRRLGRELPVNTLDELIDQLGGPQRVAEMTGRKGRVVSRPDGTVAFESRAEQGLSIDHVNLREKQRFMSGEKLVAIISEASSSGVSLQADRRVQNQRRRVHMTLELPWSADRAIQQFGRTHRSNQVSAPEYVFLISELAGERRFASIVAKRLESLGALTHGDRRATESRDLSKYNFENKYGTRALHCVLTTILSQTENKVPVPQGYPGGVPTFFRDMKQGLLSVGIGGRESRNGCLDVEKDCSITKFLNRILGLEVHKQNALFQYFSDTFDHLIEMDKREGKYDMGILDLAPGIEEIYEESQQVFLAPGHPQDGQVVFYKISVDRGLKWEDAFAKSLALTGPYDGFYLSYKVRGNKPSCLLAEQNRGQFFTVYKPNIGRQSQLEALDSLRRKFHRVTAEEAKEPWESGYALSLTHCSHSAWNRHCRLAQEGKDCLQGLRLRHHYMLCGALLRVWGRIAAVMADVSSSSYLQIVRLKTKDRKKQVGIKIPEGCVRRVLQELRLMDADVKRRQAPALGCPAPPAPRPLALPCGPGEVLDLTYSPPAEAFPPPPHFSFPAPLSLDAGPGVVPLGTPDAQADPAALAHQGCDINFKEVLEDMLRSLHAGPPSEGALGEGAGAGGAAGGGPERQSVIQFSPPFPGAQAPL
+>DECOY_sp|Q9Y2G9|SBNO2_HUMAN Protein strawberry notch homolog 2 OS=Homo sapiens OX=9606 GN=SBNO2 PE=2 SV=3
+LPAQAGPFPPSFQIVSQREPGGGAAGGAGAGEGLAGESPPGAHLSRLMDELVEKFNIDCGQHALAAPDAQADPTGLPVVGPGADLSLPAPFSFHPPPPFAEAPPSYTLDLVEGPGCPLALPRPAPPAPCGLAPAQRRKVDADMLRLEQLVRRVCGEPIKIGVQKKRDKTKLRVIQLYSSSSVDAMVAAIRGWVRLLAGCLMYHHRLRLGQLCDKGEQALRCHRNWASHSCHTLSLAYGSEWPEKAEEATVRHFKRRLSDLAELQSQRGINPKYVTFFQGRNQEALLCSPKNGRVKYSLYFGDYPGTLALSKAFADEWKLGRDVSIKYFVVQGDQPHGPALFVQQSEEYIEEIGPALDLIGMDYKGERKDMEILHDFTDSFYQFLANQKHVELGLIRNLFKTISCDKEVDLCGNRSERGGIGVSLLGQKMDRFFTPVGGPYGQPVPVKNETQSLITTLVCHLARTGYKNEFNYKSLDRSETARRDGHTLAGLSELRKAVISAFRREGALESILFVYEPASVQNSRHTRGFQQIARDASWPLELTMHVRRRQNQVRRDAQLSVGSSSAESIIAVLKEGSMFRQKERLNVHDISLGQEARSEFAVTGDPRSVVRGKRGTMEAVRQPGGLQDILEDLTNVPLERGLRRVKDLLDQKLREVRELVGPGHPDRQLLCLPGRDDSPLGVADVIVVDDDVLSEPSSNFDSDLGPDSETSSDDSIRIVGATECALRPAKAGRGRPRRKRKSGAGRDRKRKTSPFHKQILSLFVGEAASVFCNLHGDNEGLVERTRAEGTSQLGIVVCKDRALEERALEVLRRVKAAICLYKFFRQHASWFQGWLSKRSELGIWDAAQQFVNLAEAWLLAARNYVCEFAPALPIEEIRFTVGSFSLQRAIYMGSVKMDMAVIEMAGVGRKEIAHLFEEFNRFPTGEGWIGLRSMYIMNRPESAGTASAYVVRALPLKNQLDLVAKGMKTSGANKAKHCEDFVIVGEFAEGCWDLIQRLRTRHQGGAQSEGILASYTAFLVGESTTTDGYKIKSLAHVAIGTAEIDRLDREADYKLDNSVSFWLAKKRGRLHNELIVGAVTRGKGVGAGDGILFGARQGSPLLVEHQQCAYTIAELQLASLAGSDSPLALTYTIDPPPVSSLTSTEVVRDPHQKGIKSKSPVYDAYTETHGLEEAEEEEAEDEEEPQSQVSQEQYSVLLPTSHSPLFDEFGAFPRSLQFLKDHTSPAPNDDWITSVQNLSDAPLFDPTDVIDSLSDVSSSFISINSFDEFYSSDQAFDCTKPPLSSATAVPAYSTDPCPQSGLFSASSMFPRSDSSFAPYPPLSFTNWYPCHLMASQLPPPSYLLSGAPPPEHQPYDRDMAPGVALM
+>sp|Q93073|SBP2L_HUMAN Selenocysteine insertion sequence-binding protein 2-like OS=Homo sapiens OX=9606 GN=SECISBP2L PE=1 SV=3
+MDRAPTEQNVKLSAEVEPFIPQKKSPDTFMIPMALPNDNGSVSGVEPTPIPSYLITCYPFVQENQSNRQFPLYNNDIRWQQPNPNPTGPYFAYPIISAQPPVSTEYTYYQLMPAPCAQVMGFYHPFPTPYSNTFQAANTVNAITTECTERPSQLGQVFPLSSHRSRNSNRGSVVPKQQLLQQHIKSKRPLVKNVATQKETNAAGPDSRSKIVLLVDASQQTDFPSDIANKSLSETTATMLWKSKGRRRRASHPTAESSSEQGASEADIDSDSGYCSPKHSNNQPAAGALRNPDSGTMNHVESSMCAGGVNWSNVTCQATQKKPWMEKNQTFSRGGRQTEQRNNSQVGFRCRGHSTSSERRQNLQKRPDNKHLSSSQSHRSDPNSESLYFEDEDGFQELNENGNAKDENIQQKLSSKVLDDLPENSPINIVQTPIPITTSVPKRAKSQKKKALAAALATAQEYSEISMEQKKLQEALSKAAGKKNKTPVQLDLGDMLAALEKQQQAMKARQITNTRPLSYTVVTAASFHTKDSTNRKPLTKSQPCLTSFNSVDIASSKAKKGKEKEIAKLKRPTALKKVILKEREEKKGRLTVDHNLLGSEEPTEMHLDFIDDLPQEIVSQEDTGLSMPSDTSLSPASQNSPYCMTPVSQGSPASSGIGSPMASSTITKIHSKRFREYCNQVLCKEIDECVTLLLQELVSFQERIYQKDPVRAKARRRLVMGLREVTKHMKLNKIKCVIISPNCEKIQSKGGLDEALYNVIAMAREQEIPFVFALGRKALGRCVNKLVPVSVVGIFNYFGAESLFNKLVELTEEARKAYKDMVAAMEQEQAEEALKNVKKVPHHMGHSRNPSAASAISFCSVISEPISEVNEKEYETNWRNMVETSDGLEASENEKEVSCKHSTSEKPSKLPFDTPPIGKQPSLVATGSTTSATSAGKSTASDKEEVKPDDLEWASQQSTETGSLDGSCRDLLNSSITSTTSTLVPGMLEEEEDEDEEEEEDYTHEPISVEVQLNSRIESWVSETQRTMETLQLGKTLNGSEEDNVEQSGEEEAEAPEVLEPGMDSEAWTADQQASPGQQKSSNCSSLNKEHSDSNYTTQTT
+>DECOY_sp|Q93073|SBP2L_HUMAN Selenocysteine insertion sequence-binding protein 2-like OS=Homo sapiens OX=9606 GN=SECISBP2L PE=1 SV=3
+TTQTTYNSDSHEKNLSSCNSSKQQGPSAQQDATWAESDMGPELVEPAEAEEEGSQEVNDEESGNLTKGLQLTEMTRQTESVWSEIRSNLQVEVSIPEHTYDEEEEEDEDEEEELMGPVLTSTTSTISSNLLDRCSGDLSGTETSQQSAWELDDPKVEEKDSATSKGASTASTTSGTAVLSPQKGIPPTDFPLKSPKESTSHKCSVEKENESAELGDSTEVMNRWNTEYEKENVESIPESIVSCFSIASAASPNRSHGMHHPVKKVNKLAEEAQEQEMAAVMDKYAKRAEETLEVLKNFLSEAGFYNFIGVVSVPVLKNVCRGLAKRGLAFVFPIEQERAMAIVNYLAEDLGGKSQIKECNPSIIVCKIKNLKMHKTVERLGMVLRRRAKARVPDKQYIREQFSVLEQLLLTVCEDIEKCLVQNCYERFRKSHIKTITSSAMPSGIGSSAPSGQSVPTMCYPSNQSAPSLSTDSPMSLGTDEQSVIEQPLDDIFDLHMETPEESGLLNHDVTLRGKKEEREKLIVKKLATPRKLKAIEKEKGKKAKSSAIDVSNFSTLCPQSKTLPKRNTSDKTHFSAATVVTYSLPRTNTIQRAKMAQQQKELAALMDGLDLQVPTKNKKGAAKSLAEQLKKQEMSIESYEQATALAAALAKKKQSKARKPVSTTIPIPTQVINIPSNEPLDDLVKSSLKQQINEDKANGNENLEQFGDEDEFYLSESNPDSRHSQSSSLHKNDPRKQLNQRRESSTSHGRCRFGVQSNNRQETQRGGRSFTQNKEMWPKKQTAQCTVNSWNVGGACMSSEVHNMTGSDPNRLAGAAPQNNSHKPSCYGSDSDIDAESAGQESSSEATPHSARRRRGKSKWLMTATTESLSKNAIDSPFDTQQSADVLLVIKSRSDPGAANTEKQTAVNKVLPRKSKIHQQLLQQKPVVSGRNSNRSRHSSLPFVQGLQSPRETCETTIANVTNAAQFTNSYPTPFPHYFGMVQACPAPMLQYYTYETSVPPQASIIPYAFYPGTPNPNPQQWRIDNNYLPFQRNSQNEQVFPYCTILYSPIPTPEVGSVSGNDNPLAMPIMFTDPSKKQPIFPEVEASLKVNQETPARDM
+>sp|O95487|SC24B_HUMAN Protein transport protein Sec24B OS=Homo sapiens OX=9606 GN=SEC24B PE=1 SV=2
+MSAPAGSSHPAASARIPPKFGGAAVSGAAAPAGPGAGPAPHQQNGPAQNQMQVPSGYGLHHQNYIAPSGHYSQGPGKMTSLPLDTQCGDYYSALYTVPTQNVTPNTVNQQPGAQQLYSRGPPAPHIVGSTLGSFQGAASSASHLHTSASQPYSSFVNHYNSPAMYSASSSVASQGFPSTCGHYAMSTVSNAAYPSVSYPSLPAGDTYGQMFTSQNAPTVRPVKDNSFSGQNTAISHPSPLPPLPSQQHHQQQSLSGYSTLTWSSPGLPSTQDNLIRNHTGSLAVANNNPTITVADSLSCPVMQNVQPPKSSPVVSTVLSGSSGSSSTRTPPTANHPVEPVTSVTQPSELLQQKGVQYGEYVNNQASSAPTPLSSTSDDEEEEEEDEEAGVDSSSTTSSASPMPNSYDALEGGSYPDMLSSSASSPAPDPAPEPDPASAPAPASAPAPVVPQPSKMAKPFGYGYPTLQPGYQNATAPLISGVQPSNPVYSGFQQYPQQYPGVNQLSSSIGGLSLQSSPQPESLRPVNLTQERNILPMTPVWAPVPNLNADLKKLNCSPDSFRCTLTNIPQTQALLNKAKLPLGLLLHPFRDLTQLPVITSNTIVRCRSCRTYINPFVSFIDQRRWKCNLCYRVNDVPEEFMYNPLTRSYGEPHKRPEVQNSTVEFIASSDYMLRPPQPAVYLFVLDVSHNAVEAGYLTILCQSLLENLDKLPGDSRTRIGFMTFDSTIHFYNLQEGLSQPQMLIVSDIDDVFLPTPDSLLVNLYESKELIKDLLNALPNMFTNTRETHSALGPALQAAFKLMSPTGGRVSVFQTQLPSLGAGLLQSREDPNQRSSTKVVQHLGPATDFYKKLALDCSGQQTAVDLFLLSSQYSDLASLACMSKYSAGCIYYYPSFHYTHNPSQAEKLQKDLKRYLTRKIGFEAVMRIRCTKGLSMHTFHGNFFVRSTDLLSLANINPDAGFAVQLSIEESLTDTSLVCFQTALLYTSSKGERRIRVHTLCLPVVSSLADVYAGVDVQAAICLLANMAVDRSVSSSLSDARDALVNAVVDSLSAYGSTVSNLQHSALMAPSSLKLFPLYVLALLKQKAFRTGTSTRLDDRVYAMCQIKSQPLVHLMKMIHPNLYRIDRLTDEGAVHVNDRIVPQPPLQKLSAEKLTREGAFLMDCGSVFYIWVGKGCDNNFIEDVLGYTNFASIPQKMTHLPELDTLSSERARSFITWLRDSRPLSPILHIVKDESPAKAEFFQHLIEDRTEAAFSYYEFLLHVQQQICK
+>DECOY_sp|O95487|SC24B_HUMAN Protein transport protein Sec24B OS=Homo sapiens OX=9606 GN=SEC24B PE=1 SV=2
+KCIQQQVHLLFEYYSFAAETRDEILHQFFEAKAPSEDKVIHLIPSLPRSDRLWTIFSRARESSLTDLEPLHTMKQPISAFNTYGLVDEIFNNDCGKGVWIYFVSGCDMLFAGERTLKEASLKQLPPQPVIRDNVHVAGEDTLRDIRYLNPHIMKMLHVLPQSKIQCMAYVRDDLRTSTGTRFAKQKLLALVYLPFLKLSSPAMLASHQLNSVTSGYASLSDVVANVLADRADSLSSSVSRDVAMNALLCIAAQVDVGAYVDALSSVVPLCLTHVRIRREGKSSTYLLATQFCVLSTDTLSEEISLQVAFGADPNINALSLLDTSRVFFNGHFTHMSLGKTCRIRMVAEFGIKRTLYRKLDKQLKEAQSPNHTYHFSPYYYICGASYKSMCALSALDSYQSSLLFLDVATQQGSCDLALKKYFDTAPGLHQVVKTSSRQNPDERSQLLGAGLSPLQTQFVSVRGGTPSMLKFAAQLAPGLASHTERTNTFMNPLANLLDKILEKSEYLNVLLSDPTPLFVDDIDSVILMQPQSLGEQLNYFHITSDFTMFGIRTRSDGPLKDLNELLSQCLITLYGAEVANHSVDLVFLYVAPQPPRLMYDSSAIFEVTSNQVEPRKHPEGYSRTLPNYMFEEPVDNVRYCLNCKWRRQDIFSVFPNIYTRCSRCRVITNSTIVPLQTLDRFPHLLLGLPLKAKNLLAQTQPINTLTCRFSDPSCNLKKLDANLNPVPAWVPTMPLINREQTLNVPRLSEPQPSSQLSLGGISSSLQNVGPYQQPYQQFGSYVPNSPQVGSILPATANQYGPQLTPYGYGFPKAMKSPQPVVPAPASAPAPASAPDPEPAPDPAPSSASSSLMDPYSGGELADYSNPMPSASSTTSSSDVGAEEDEEEEEEDDSTSSLPTPASSAQNNVYEGYQVGKQQLLESPQTVSTVPEVPHNATPPTRTSSSGSSGSLVTSVVPSSKPPQVNQMVPCSLSDAVTITPNNNAVALSGTHNRILNDQTSPLGPSSWTLTSYGSLSQQQHHQQSPLPPLPSPHSIATNQGSFSNDKVPRVTPANQSTFMQGYTDGAPLSPYSVSPYAANSVTSMAYHGCTSPFGQSAVSSSASYMAPSNYHNVFSSYPQSASTHLHSASSAAGQFSGLTSGVIHPAPPGRSYLQQAGPQQNVTNPTVNQTPVTYLASYYDGCQTDLPLSTMKGPGQSYHGSPAIYNQHHLGYGSPVQMQNQAPGNQQHPAPGAGPGAPAAAGSVAAGGFKPPIRASAAPHSSGAPASM
+>sp|P53992|SC24C_HUMAN Protein transport protein Sec24C OS=Homo sapiens OX=9606 GN=SEC24C PE=1 SV=3
+MNVNQSVPPVPPFGQPQPIYPGYHQSSYGGQSGSTAPAIPYGAYNGPVPGYQQTPPQGMSRAPPSSGAPPASTAQAPCGQAAYGQFGQGDVQNGPSSTVQMQRLPGSQPFGSPLAPVGNQPPVLQPYGPPPTSAQVATQLSGMQISGAVAPAPPSSGLGFGPPTSLASASGSFPNSGLYGSYPQGQAPPLSQAQGHPGIQTPQRSAPSQASSFTPPASGGPRLPSMTGPLLPGQSFGGPSVSQPNHVSSPPQALPPGTQMTGPLGPLPPMHSPQQPGYQPQQNGSFGPARGPQSNYGGPYPAAPTFGSQPGPPQPLPPKRLDPDAIPSPIQVIEDDRNNRGTEPFVTGVRGQVPPLVTTNFLVKDQGNASPRYIRCTSYNIPCTSDMAKQAQVPLAAVIKPLARLPPEEASPYVVDHGESGPLRCNRCKAYMCPFMQFIEGGRRFQCCFCSCINDVPPQYFQHLDHTGKRVDAYDRPELSLGSYEFLATVDYCKNNKFPSPPAFIFMIDVSYNAIRTGLVRLLCEELKSLLDFLPREGGAEESAIRVGFVTYNKVLHFYNVKSSLAQPQMMVVSDVADMFVPLLDGFLVNVNESRAVITSLLDQIPEMFADTRETETVFVPVIQAGMEALKAAECAGKLFLFHTSLPIAEAPGKLKNRDDRKLINTDKEKTLFQPQTGAYQTLAKECVAQGCCVDLFLFPNQYVDVATLSVVPQLTGGSVYKYASFQVENDQERFLSDLRRDVQKVVGFDAVMRVRTSTGIRAVDFFGAFYMSNTTDVELAGLDGDKTVTVEFKHDDRLNEESGALLQCALLYTSCAGQRRLRIHNLALNCCTQLADLYRNCETDTLINYMAKFAYRGVLNSPVKAVRDTLITQCAQILACYRKNCASPSSAGQLILPECMKLLPVYLNCVLKSDVLQPGAEVTTDDRAYVRQLVTSMDVTETNVFFYPRLLPLTKSPVESTTEPPAVRASEERLSNGDIYLLENGLNLFLWVGASVQQGVVQSLFSVSSFSQITSGLSVLPVLDNPLSKKVRGLIDSLRAQRSRYMKLTVVKQEDKMEMLFKHFLVEDKSLSGGASYVDFLCHMHKEIRQLLS
+>DECOY_sp|P53992|SC24C_HUMAN Protein transport protein Sec24C OS=Homo sapiens OX=9606 GN=SEC24C PE=1 SV=3
+SLLQRIEKHMHCLFDVYSAGGSLSKDEVLFHKFLMEMKDEQKVVTLKMYRSRQARLSDILGRVKKSLPNDLVPLVSLGSTIQSFSSVSFLSQVVGQQVSAGVWLFLNLGNELLYIDGNSLREESARVAPPETTSEVPSKTLPLLRPYFFVNTETVDMSTVLQRVYARDDTTVEAGPQLVDSKLVCNLYVPLLKMCEPLILQGASSPSACNKRYCALIQACQTILTDRVAKVPSNLVGRYAFKAMYNILTDTECNRYLDALQTCCNLALNHIRLRRQGACSTYLLACQLLAGSEENLRDDHKFEVTVTKDGDLGALEVDTTNSMYFAGFFDVARIGTSTRVRMVADFGVVKQVDRRLDSLFREQDNEVQFSAYKYVSGGTLQPVVSLTAVDVYQNPFLFLDVCCGQAVCEKALTQYAGTQPQFLTKEKDTNILKRDDRNKLKGPAEAIPLSTHFLFLKGACEAAKLAEMGAQIVPVFVTETERTDAFMEPIQDLLSTIVARSENVNVLFGDLLPVFMDAVDSVVMMQPQALSSKVNYFHLVKNYTVFGVRIASEEAGGERPLFDLLSKLEECLLRVLGTRIANYSVDIMFIFAPPSPFKNNKCYDVTALFEYSGLSLEPRDYADVRKGTHDLHQFYQPPVDNICSCFCCQFRRGGEIFQMFPCMYAKCRNCRLPGSEGHDVVYPSAEEPPLRALPKIVAALPVQAQKAMDSTCPINYSTCRIYRPSANGQDKVLFNTTVLPPVQGRVGTVFPETGRNNRDDEIVQIPSPIADPDLRKPPLPQPPGPQSGFTPAAPYPGGYNSQPGRAPGFSGNQQPQYGPQQPSHMPPLPGLPGTMQTGPPLAQPPSSVHNPQSVSPGGFSQGPLLPGTMSPLRPGGSAPPTFSSAQSPASRQPTQIGPHGQAQSLPPAQGQPYSGYLGSNPFSGSASALSTPPGFGLGSSPPAPAVAGSIQMGSLQTAVQASTPPPGYPQLVPPQNGVPALPSGFPQSGPLRQMQVTSSPGNQVDGQGFQGYAAQGCPAQATSAPPAGSSPPARSMGQPPTQQYGPVPGNYAGYPIAPATSGSQGGYSSQHYGPYIPQPQGFPPVPPVSQNVNM
+>sp|O75845|SC5D_HUMAN Lathosterol oxidase OS=Homo sapiens OX=9606 GN=SC5D PE=1 SV=2
+MDLVLRVADYYFFTPYVYPATWPEDDIFRQAISLLIVTNVGAYILYFFCATLSYYFVFDHALMKHPQFLKNQVRREIKFTVQALPWISILTVALFLLEIRGYSKLHDDLGEFPYGLFELVVSIISFLFFTDMFIYWIHRGLHHRLVYKRLHKPHHIWKIPTPFASHAFHPIDGFLQSLPYHIYPFIFPLHKVVYLSLYILVNIWTISIHDGDFRVPQILQPFINGSAHHTDHHMFFDYNYGQYFTLWDRIGGSFKNPSSFEGKGPLSYVKEMTEGKRSSHSGNGCKNEKLFNGEFTKTE
+>DECOY_sp|O75845|SC5D_HUMAN Lathosterol oxidase OS=Homo sapiens OX=9606 GN=SC5D PE=1 SV=2
+ETKTFEGNFLKENKCGNGSHSSRKGETMEKVYSLPGKGEFSSPNKFSGGIRDWLTFYQGYNYDFFMHHDTHHASGNIFPQLIQPVRFDGDHISITWINVLIYLSLYVVKHLPFIFPYIHYPLSQLFGDIPHFAHSAFPTPIKWIHHPKHLRKYVLRHHLGRHIWYIFMDTFFLFSIISVVLEFLGYPFEGLDDHLKSYGRIELLFLAVTLISIWPLAQVTFKIERRVQNKLFQPHKMLAHDFVFYYSLTACFFYLIYAGVNTVILLSIAQRFIDDEPWTAPYVYPTFFYYDAVRLVLDM
+>sp|P23975|SC6A2_HUMAN Sodium-dependent noradrenaline transporter OS=Homo sapiens OX=9606 GN=SLC6A2 PE=1 SV=1
+MLLARMNPQVQPENNGADTGPEQPLRARKTAELLVVKERNGVQCLLAPRDGDAQPRETWGKKIDFLLSVVGFAVDLANVWRFPYLCYKNGGGAFLIPYTLFLIIAGMPLFYMELALGQYNREGAATVWKICPFFKGVGYAVILIALYVGFYYNVIIAWSLYYLFSSFTLNLPWTDCGHTWNSPNCTDPKLLNGSVLGNHTKYSKYKFTPAAEFYERGVLHLHESSGIHDIGLPQWQLLLCLMVVVIVLYFSLWKGVKTSGKVVWITATLPYFVLFVLLVHGVTLPGASNGINAYLHIDFYRLKEATVWIDAATQIFFSLGAGFGVLIAFASYNKFDNNCYRDALLTSSINCITSFVSGFAIFSILGYMAHEHKVNIEDVATEGAGLVFILYPEAISTLSGSTFWAVVFFVMLLALGLDSSMGGMEAVITGLADDFQVLKRHRKLFTFGVTFSTFLLALFCITKGGIYVLTLLDTFAAGTSILFAVLMEAIGVSWFYGVDRFSNDIQQMMGFRPGLYWRLCWKFVSPAFLLFVVVVSIINFKPLTYDDYIFPPWANWVGWGIALSSMVLVPIYVIYKFLSTQGSLWERLAYGITPENEHHLVAQRDIRQFQLQHWLAI
+>DECOY_sp|P23975|SC6A2_HUMAN Sodium-dependent noradrenaline transporter OS=Homo sapiens OX=9606 GN=SLC6A2 PE=1 SV=1
+IALWHQLQFQRIDRQAVLHHENEPTIGYALREWLSGQTSLFKYIVYIPVLVMSSLAIGWGVWNAWPPFIYDDYTLPKFNIISVVVVFLLFAPSVFKWCLRWYLGPRFGMMQQIDNSFRDVGYFWSVGIAEMLVAFLISTGAAFTDLLTLVYIGGKTICFLALLFTSFTVGFTFLKRHRKLVQFDDALGTIVAEMGGMSSDLGLALLMVFFVVAWFTSGSLTSIAEPYLIFVLGAGETAVDEINVKHEHAMYGLISFIAFGSVFSTICNISSTLLADRYCNNDFKNYSAFAILVGFGAGLSFFIQTAADIWVTAEKLRYFDIHLYANIGNSAGPLTVGHVLLVFLVFYPLTATIWVVKGSTKVGKWLSFYLVIVVVMLCLLLQWQPLGIDHIGSSEHLHLVGREYFEAAPTFKYKSYKTHNGLVSGNLLKPDTCNPSNWTHGCDTWPLNLTFSSFLYYLSWAIIVNYYFGVYLAILIVAYGVGKFFPCIKWVTAAGERNYQGLALEMYFLPMGAIILFLTYPILFAGGGNKYCLYPFRWVNALDVAFGVVSLLFDIKKGWTERPQADGDRPALLCQVGNREKVVLLEATKRARLPQEPGTDAGNNEPQVQPNMRALLM
+>sp|P48067|SC6A9_HUMAN Sodium- and chloride-dependent glycine transporter 1 OS=Homo sapiens OX=9606 GN=SLC6A9 PE=1 SV=3
+MSGGDTRAAIARPRMAAAHGPVAPSSPEQVTLLPVQRSFFLPPFSGATPSTSLAESVLKVWHGAYNSGLLPQLMAQHSLAMAQNGAVPSEATKRDQNLKRGNWGNQIEFVLTSVGYAVGLGNVWRFPYLCYRNGGGAFMFPYFIMLIFCGIPLFFMELSFGQFASQGCLGVWRISPMFKGVGYGMMVVSTYIGIYYNVVICIAFYYFFSSMTHVLPWAYCNNPWNTHDCAGVLDASNLTNGSRPAALPSNLSHLLNHSLQRTSPSEEYWRLYVLKLSDDIGNFGEVRLPLLGCLGVSWLVVFLCLIRGVKSSGKVVYFTATFPYVVLTILFVRGVTLEGAFDGIMYYLTPQWDKILEAKVWGDAASQIFYSLGCAWGGLITMASYNKFHNNCYRDSVIISITNCATSVYAGFVIFSILGFMANHLGVDVSRVADHGPGLAFVAYPEALTLLPISPLWSLLFFFMLILLGLGTQFCLLETLVTAIVDEVGNEWILQKKTYVTLGVAVAGFLLGIPLTSQAGIYWLLLMDNYAASFSLVVISCIMCVAIMYIYGHRNYFQDIQMMLGFPPPLFFQICWRFVSPAIIFFILVFTVIQYQPITYNHYQYPGWAVAIGFLMALSSVLCIPLYAMFRLCRTDGDTLLQRLKNATKPSRDWGPALLEHRTGRYAPTIAPSPEDGFEVQPLHPDKAQIPIVGSNGSSRLQDSRI
+>DECOY_sp|P48067|SC6A9_HUMAN Sodium- and chloride-dependent glycine transporter 1 OS=Homo sapiens OX=9606 GN=SLC6A9 PE=1 SV=3
+IRSDQLRSSGNSGVIPIQAKDPHLPQVEFGDEPSPAITPAYRGTRHELLAPGWDRSPKTANKLRQLLTDGDTRCLRFMAYLPICLVSSLAMLFGIAVAWGPYQYHNYTIPQYQIVTFVLIFFIIAPSVFRWCIQFFLPPPFGLMMQIDQFYNRHGYIYMIAVCMICSIVVLSFSAAYNDMLLLWYIGAQSTLPIGLLFGAVAVGLTVYTKKQLIWENGVEDVIATVLTELLCFQTGLGLLILMFFFLLSWLPSIPLLTLAEPYAVFALGPGHDAVRSVDVGLHNAMFGLISFIVFGAYVSTACNTISIIVSDRYCNNHFKNYSAMTILGGWACGLSYFIQSAADGWVKAELIKDWQPTLYYMIGDFAGELTVGRVFLITLVVYPFTATFYVVKGSSKVGRILCLFVVLWSVGLCGLLPLRVEGFNGIDDSLKLVYLRWYEESPSTRQLSHNLLHSLNSPLAAPRSGNTLNSADLVGACDHTNWPNNCYAWPLVHTMSSFFYYFAICIVVNYYIGIYTSVVMMGYGVGKFMPSIRWVGLCGQSAFQGFSLEMFFLPIGCFILMIFYPFMFAGGGNRYCLYPFRWVNGLGVAYGVSTLVFEIQNGWNGRKLNQDRKTAESPVAGNQAMALSHQAMLQPLLGSNYAGHWVKLVSEALSTSPTAGSFPPLFFSRQVPLLTVQEPSSPAVPGHAAAMRPRAIAARTDGGSM
+>sp|Q9Y6X3|SCC4_HUMAN MAU2 chromatid cohesion factor homolog OS=Homo sapiens OX=9606 GN=MAU2 PE=1 SV=2
+MAAQAAAAAQAAAAQAAQAEAADSWYLALLGFAEHFRTSSPPKIRLCVHCLQAVFPFKPPQRIEARTHLQLGSVLYHHTKNSEQARSHLEKAWLISQQIPQFEDVKFEAASLLSELYCQENSVDAAKPLLRKAIQISQQTPYWHCRLLFQLAQLHTLEKDLVSACDLLGVGAEYARVVGSEYTRALFLLSKGMLLLMERKLQEVHPLLTLCGQIVENWQGNPIQKESLRVFFLVLQVTHYLDAGQVKSVKPCLKQLQQCIQTISTLHDDEILPSNPADLFHWLPKEHMCVLVYLVTVMHSMQAGYLEKAQKYTDKALMQLEKLKMLDCSPILSSFQVILLEHIIMCRLVTGHKATALQEISQVCQLCQQSPRLFSNHAAQLHTLLGLYCVSVNCMDNAEAQFTTALRLTNHQELWAFIVTNLASVYIREGNRHQEVLYSLLERINPDHSFPVSSHCLRAAAFYVRGLFSFFQGRYNEAKRFLRETLKMSNAEDLNRLTACSLVLLGHIFYVLGNHRESNNMVVPAMQLASKIPDMSVQLWSSALLRDLNKACGNAMDAHEAAQMHQNFSQQLLQDHIEACSLPEHNLITWTDGPPPVQFQAQNGPNTSLASLL
+>DECOY_sp|Q9Y6X3|SCC4_HUMAN MAU2 chromatid cohesion factor homolog OS=Homo sapiens OX=9606 GN=MAU2 PE=1 SV=2
+LLSALSTNPGNQAQFQVPPPGDTWTILNHEPLSCAEIHDQLLQQSFNQHMQAAEHADMANGCAKNLDRLLASSWLQVSMDPIKSALQMAPVVMNNSERHNGLVYFIHGLLVLSCATLRNLDEANSMKLTERLFRKAENYRGQFFSFLGRVYFAAARLCHSSVPFSHDPNIRELLSYLVEQHRNGERIYVSALNTVIFAWLEQHNTLRLATTFQAEANDMCNVSVCYLGLLTHLQAAHNSFLRPSQQCLQCVQSIEQLATAKHGTVLRCMIIHELLIVQFSSLIPSCDLMKLKELQMLAKDTYKQAKELYGAQMSHMVTVLYVLVCMHEKPLWHFLDAPNSPLIEDDHLTSITQICQQLQKLCPKVSKVQGADLYHTVQLVLFFVRLSEKQIPNGQWNEVIQGCLTLLPHVEQLKREMLLLMGKSLLFLARTYESGVVRAYEAGVGLLDCASVLDKELTHLQALQFLLRCHWYPTQQSIQIAKRLLPKAADVSNEQCYLESLLSAAEFKVDEFQPIQQSILWAKELHSRAQESNKTHHYLVSGLQLHTRAEIRQPPKFPFVAQLCHVCLRIKPPSSTRFHEAFGLLALYWSDAAEAQAAQAAAAQAAAAAQAAM
+>sp|Q96I15|SCLY_HUMAN Selenocysteine lyase OS=Homo sapiens OX=9606 GN=SCLY PE=1 SV=4
+MEAAVAPGRDAPAPAASQPSGCGKHNSPERKVYMDYNATTPLEPEVIQAMTKAMWEAWGNPSSPYSAGRKAKDIINAARESLAKMIGGKPQDIIFTSGGTESNNLVIHSVVKHFHANQTSKGHTGGHHSPVKGAKPHFITSSVEHDSIRLPLEHLVEEQVAAVTFVPVSKVSGQAEVDDILAAVRPTTRLVTIMLANNETGIVMPVPEISQRIKALNQERVAAGLPPILVHTDAAQALGKQRVDVEDLGVDFLTIVGHKFYGPRIGALYIRGLGEFTPLYPMLFGGGQERNFRPGTENTPMIAGLGKAAELVTQNCEAYEAHMRDVRDYLEERLEAEFGQKRIHLNSQFPGTQRLPNTCNFSIRGPRLQGHVVLAQCRVLMASVGAACHSDHGDQPSPVLLSYGVPFDVARNALRLSVGRSTTRAEVDLVVQDLKQAVAQLEDQA
+>DECOY_sp|Q96I15|SCLY_HUMAN Selenocysteine lyase OS=Homo sapiens OX=9606 GN=SCLY PE=1 SV=4
+AQDELQAVAQKLDQVVLDVEARTTSRGVSLRLANRAVDFPVGYSLLVPSPQDGHDSHCAAGVSAMLVRCQALVVHGQLRPGRISFNCTNPLRQTGPFQSNLHIRKQGFEAELREELYDRVDRMHAEYAECNQTVLEAAKGLGAIMPTNETGPRFNREQGGGFLMPYLPTFEGLGRIYLAGIRPGYFKHGVITLFDVGLDEVDVRQKGLAQAADTHVLIPPLGAAVREQNLAKIRQSIEPVPMVIGTENNALMITVLRTTPRVAALIDDVEAQGSVKSVPVFTVAAVQEEVLHELPLRISDHEVSSTIFHPKAGKVPSHHGGTHGKSTQNAHFHKVVSHIVLNNSETGGSTFIIDQPKGGIMKALSERAANIIDKAKRGASYPSSPNGWAEWMAKTMAQIVEPELPTTANYDMYVKREPSNHKGCGSPQSAAPAPADRGPAVAAEM
+>sp|Q6KCM7|SCMC2_HUMAN Calcium-binding mitochondrial carrier protein SCaMC-2 OS=Homo sapiens OX=9606 GN=SLC25A25 PE=1 SV=1
+MLCLCLYVPVIGEAQTEFQYFESKGLPAELKSIFKLSVFIPSQEFSTYRQWKQKIVQAGDKDLDGQLDFEEFVHYLQDHEKKLRLVFKSLDKKNDGRIDAQEIMQSLRDLGVKISEQQAEKILKSMDKNGTMTIDWNEWRDYHLLHPVENIPEIILYWKHSTIFDVGENLTVPDEFTVEERQTGMWWRHLVAGGGAGAVSRTCTAPLDRLKVLMQVHASRSNNMGIVGGFTQMIREGGARSLWRGNGINVLKIAPESAIKFMAYEQIKRLVGSDQETLRIHERLVAGSLAGAIAQSSIYPMEVLKTRMALRKTGQYSGMLDCARRILAREGVAAFYKGYVPNMLGIIPYAGIDLAVYETLKNAWLQHYAVNSADPGVFVLLACGTMSSTCGQLASYPLALVRTRMQAQASIEGAPEVTMSSLFKHILRTEGAFGLYRGLAPNFMKVIPAVSISYVVYENLKITLGVQSR
+>DECOY_sp|Q6KCM7|SCMC2_HUMAN Calcium-binding mitochondrial carrier protein SCaMC-2 OS=Homo sapiens OX=9606 GN=SLC25A25 PE=1 SV=1
+RSQVGLTIKLNEYVVYSISVAPIVKMFNPALGRYLGFAGETRLIHKFLSSMTVEPAGEISAQAQMRTRVLALPYSALQGCTSSMTGCALLVFVGPDASNVAYHQLWANKLTEYVALDIGAYPIIGLMNPVYGKYFAAVGERALIRRACDLMGSYQGTKRLAMRTKLVEMPYISSQAIAGALSGAVLREHIRLTEQDSGVLRKIQEYAMFKIASEPAIKLVNIGNGRWLSRAGGERIMQTFGGVIGMNNSRSAHVQMLVKLRDLPATCTRSVAGAGGGAVLHRWWMGTQREEVTFEDPVTLNEGVDFITSHKWYLIIEPINEVPHLLHYDRWENWDITMTGNKDMSKLIKEAQQESIKVGLDRLSQMIEQADIRGDNKKDLSKFVLRLKKEHDQLYHVFEEFDLQGDLDKDGAQVIKQKWQRYTSFEQSPIFVSLKFISKLEAPLGKSEFYQFETQAEGIVPVYLCLCLM
+>sp|Q07699|SCN1B_HUMAN Sodium channel subunit beta-1 OS=Homo sapiens OX=9606 GN=SCN1B PE=1 SV=1
+MGRLLALVVGAALVSSACGGCVEVDSETEAVYGMTFKILCISCKRRSETNAETFTEWTFRQKGTEEFVKILRYENEVLQLEEDERFEGRVVWNGSRGTKDLQDLSIFITNVTYNHSGDYECHVYRLLFFENYEHNTSVVKKIHIEVVDKANRDMASIVSEIMMYVLIVVLTIWLVAEMIYCYKKIAAATETAAQENASEYLAITSESKENCTGVQVAE
+>DECOY_sp|Q07699|SCN1B_HUMAN Sodium channel subunit beta-1 OS=Homo sapiens OX=9606 GN=SCN1B PE=1 SV=1
+EAVQVGTCNEKSESTIALYESANEQAATETAAAIKKYCYIMEAVLWITLVVILVYMMIESVISAMDRNAKDVVEIHIKKVVSTNHEYNEFFLLRYVHCEYDGSHNYTVNTIFISLDQLDKTGRSGNWVVRGEFREDEELQLVENEYRLIKVFEETGKQRFTWETFTEANTESRRKCSICLIKFTMGYVAETESDVEVCGGCASSVLAAGVVLALLRGM
+>sp|Q99250|SCN2A_HUMAN Sodium channel protein type 2 subunit alpha OS=Homo sapiens OX=9606 GN=SCN2A PE=1 SV=3
+MAQSVLVPPGPDSFRFFTRESLAAIEQRIAEEKAKRPKQERKDEDDENGPKPNSDLEAGKSLPFIYGDIPPEMVSVPLEDLDPYYINKKTFIVLNKGKAISRFSATPALYILTPFNPIRKLAIKILVHSLFNMLIMCTILTNCVFMTMSNPPDWTKNVEYTFTGIYTFESLIKILARGFCLEDFTFLRDPWNWLDFTVITFAYVTEFVDLGNVSALRTFRVLRALKTISVIPGLKTIVGALIQSVKKLSDVMILTVFCLSVFALIGLQLFMGNLRNKCLQWPPDNSSFEINITSFFNNSLDGNGTTFNRTVSIFNWDEYIEDKSHFYFLEGQNDALLCGNSSDAGQCPEGYICVKAGRNPNYGYTSFDTFSWAFLSLFRLMTQDFWENLYQLTLRAAGKTYMIFFVLVIFLGSFYLINLILAVVAMAYEEQNQATLEEAEQKEAEFQQMLEQLKKQQEEAQAAAAAASAESRDFSGAGGIGVFSESSSVASKLSSKSEKELKNRRKKKKQKEQSGEEEKNDRVRKSESEDSIRRKGFRFSLEGSRLTYEKRFSSPHQSLLSIRGSLFSPRRNSRASLFSFRGRAKDIGSENDFADDEHSTFEDNDSRRDSLFVPHRHGERRHSNVSQASRASRVLPILPMNGKMHSAVDCNGVVSLVGGPSTLTSAGQLLPEGTTTETEIRKRRSSSYHVSMDLLEDPTSRQRAMSIASILTNTMEELEESRQKCPPCWYKFANMCLIWDCCKPWLKVKHLVNLVVMDPFVDLAITICIVLNTLFMAMEHYPMTEQFSSVLSVGNLVFTGIFTAEMFLKIIAMDPYYYFQEGWNIFDGFIVSLSLMELGLANVEGLSVLRSFRLLRVFKLAKSWPTLNMLIKIIGNSVGALGNLTLVLAIIVFIFAVVGMQLFGKSYKECVCKISNDCELPRWHMHDFFHSFLIVFRVLCGEWIETMWDCMEVAGQTMCLTVFMMVMVIGNLVVLNLFLALLLSSFSSDNLAATDDDNEMNNLQIAVGRMQKGIDFVKRKIREFIQKAFVRKQKALDEIKPLEDLNNKKDSCISNHTTIEIGKDLNYLKDGNGTTSGIGSSVEKYVVDESDYMSFINNPSLTVTVPIAVGESDFENLNTEEFSSESDMEESKEKLNATSSSEGSTVDIGAPAEGEQPEVEPEESLEPEACFTEDCVRKFKCCQISIEEGKGKLWWNLRKTCYKIVEHNWFETFIVFMILLSSGALAFEDIYIEQRKTIKTMLEYADKVFTYIFILEMLLKWVAYGFQVYFTNAWCWLDFLIVDVSLVSLTANALGYSELGAIKSLRTLRALRPLRALSRFEGMRVVVNALLGAIPSIMNVLLVCLIFWLIFSIMGVNLFAGKFYHCINYTTGEMFDVSVVNNYSECKALIESNQTARWKNVKVNFDNVGLGYLSLLQVATFKGWMDIMYAAVDSRNVELQPKYEDNLYMYLYFVIFIIFGSFFTLNLFIGVIIDNFNQQKKKFGGQDIFMTEEQKKYYNAMKKLGSKKPQKPIPRPANKFQGMVFDFVTKQVFDISIMILICLNMVTMMVETDDQSQEMTNILYWINLVFIVLFTGECVLKLISLRYYYFTIGWNIFDFVVVILSIVGMFLAELIEKYFVSPTLFRVIRLARIGRILRLIKGAKGIRTLLFALMMSLPALFNIGLLLFLVMFIYAIFGMSNFAYVKREVGIDDMFNFETFGNSMICLFQITTSAGWDGLLAPILNSGPPDCDPDKDHPGSSVKGDCGNPSVGIFFFVSYIIISFLVVVNMYIAVILENFSVATEESAEPLSEDDFEMFYEVWEKFDPDATQFIEFAKLSDFADALDPPLLIAKPNKVQLIAMDLPMVSGDRIHCLDILFAFTKRVLGESGEMDALRIQMEERFMASNPSKVSYEPITTTLKRKQEEVSAIIIQRAYRRYLLKQKVKKVSSIYKKDKGKECDGTPIKEDTLIDKLNENSTPEKTDMTPSTTSPPSYDSVTKPEKEKFEKDKSEKEDKGKDIRESKK
+>DECOY_sp|Q99250|SCN2A_HUMAN Sodium channel protein type 2 subunit alpha OS=Homo sapiens OX=9606 GN=SCN2A PE=1 SV=3
+KKSERIDKGKDEKESKDKEFKEKEPKTVSDYSPPSTTSPTMDTKEPTSNENLKDILTDEKIPTGDCEKGKDKKYISSVKKVKQKLLYRRYARQIIIASVEEQKRKLTTTIPEYSVKSPNSAMFREEMQIRLADMEGSEGLVRKTFAFLIDLCHIRDGSVMPLDMAILQVKNPKAILLPPDLADAFDSLKAFEIFQTADPDFKEWVEYFMEFDDESLPEASEETAVSFNELIVAIYMNVVVLFSIIIYSVFFFIGVSPNGCDGKVSSGPHDKDPDCDPPGSNLIPALLGDWGASTTIQFLCIMSNGFTEFNFMDDIGVERKVYAFNSMGFIAYIFMVLFLLLGINFLAPLSMMLAFLLTRIGKAGKILRLIRGIRALRIVRFLTPSVFYKEILEALFMGVISLIVVVFDFINWGITFYYYRLSILKLVCEGTFLVIFVLNIWYLINTMEQSQDDTEVMMTVMNLCILIMISIDFVQKTVFDFVMGQFKNAPRPIPKQPKKSGLKKMANYYKKQEETMFIDQGGFKKKQQNFNDIIVGIFLNLTFFSGFIIFIVFYLYMYLNDEYKPQLEVNRSDVAAYMIDMWGKFTAVQLLSLYGLGVNDFNVKVNKWRATQNSEILAKCESYNNVVSVDFMEGTTYNICHYFKGAFLNVGMISFILWFILCVLLVNMISPIAGLLANVVVRMGEFRSLARLPRLARLTRLSKIAGLESYGLANATLSVLSVDVILFDLWCWANTFYVQFGYAVWKLLMELIFIYTFVKDAYELMTKITKRQEIYIDEFALAGSSLLIMFVIFTEFWNHEVIKYCTKRLNWWLKGKGEEISIQCCKFKRVCDETFCAEPELSEEPEVEPQEGEAPAGIDVTSGESSSTANLKEKSEEMDSESSFEETNLNEFDSEGVAIPVTVTLSPNNIFSMYDSEDVVYKEVSSGIGSTTGNGDKLYNLDKGIEITTHNSICSDKKNNLDELPKIEDLAKQKRVFAKQIFERIKRKVFDIGKQMRGVAIQLNNMENDDDTAALNDSSFSSLLLALFLNLVVLNGIVMVMMFVTLCMTQGAVEMCDWMTEIWEGCLVRFVILFSHFFDHMHWRPLECDNSIKCVCEKYSKGFLQMGVVAFIFVIIALVLTLNGLAGVSNGIIKILMNLTPWSKALKFVRLLRFSRLVSLGEVNALGLEMLSLSVIFGDFINWGEQFYYYPDMAIIKLFMEATFIGTFVLNGVSLVSSFQETMPYHEMAMFLTNLVICITIALDVFPDMVVLNVLHKVKLWPKCCDWILCMNAFKYWCPPCKQRSEELEEMTNTLISAISMARQRSTPDELLDMSVHYSSSRRKRIETETTTGEPLLQGASTLTSPGGVLSVVGNCDVASHMKGNMPLIPLVRSARSAQSVNSHRREGHRHPVFLSDRRSDNDEFTSHEDDAFDNESGIDKARGRFSFLSARSNRRPSFLSGRISLLSQHPSSFRKEYTLRSGELSFRFGKRRISDESESKRVRDNKEEEGSQEKQKKKKRRNKLEKESKSSLKSAVSSSESFVGIGGAGSFDRSEASAAAAAAQAEEQQKKLQELMQQFEAEKQEAEELTAQNQEEYAMAVVALILNILYFSGLFIVLVFFIMYTKGAARLTLQYLNEWFDQTMLRFLSLFAWSFTDFSTYGYNPNRGAKVCIYGEPCQGADSSNGCLLADNQGELFYFHSKDEIYEDWNFISVTRNFTTGNGDLSNNFFSTINIEFSSNDPPWQLCKNRLNGMFLQLGILAFVSLCFVTLIMVDSLKKVSQILAGVITKLGPIVSITKLARLVRFTRLASVNGLDVFETVYAFTIVTFDLWNWPDRLFTFDELCFGRALIKILSEFTYIGTFTYEVNKTWDPPNSMTMFVCNTLITCMILMNFLSHVLIKIALKRIPNFPTLIYLAPTASFRSIAKGKNLVIFTKKNIYYPDLDELPVSVMEPPIDGYIFPLSKGAELDSNPKPGNEDDEDKREQKPRKAKEEAIRQEIAALSERTFFRFSDPGPPVLVSQAM
+>sp|Q9NY72|SCN3B_HUMAN Sodium channel subunit beta-3 OS=Homo sapiens OX=9606 GN=SCN3B PE=1 SV=1
+MPAFNRLFPLASLVLIYWVSVCFPVCVEVPSETEAVQGNPMKLRCISCMKREEVEATTVVEWFYRPEGGKDFLIYEYRNGHQEVESPFQGRLQWNGSKDLQDVSITVLNVTLNDSGLYTCNVSREFEFEAHRPFVKTTRLIPLRVTEEAGEDFTSVVSEIMMYILLVFLTLWLLIEMIYCYRKVSKAEEAAQENASDYLAIPSENKENSAVPVEE
+>DECOY_sp|Q9NY72|SCN3B_HUMAN Sodium channel subunit beta-3 OS=Homo sapiens OX=9606 GN=SCN3B PE=1 SV=1
+EEVPVASNEKNESPIALYDSANEQAAEEAKSVKRYCYIMEILLWLTLFVLLIYMMIESVVSTFDEGAEETVRLPILRTTKVFPRHAEFEFERSVNCTYLGSDNLTVNLVTISVDQLDKSGNWQLRGQFPSEVEQHGNRYEYILFDKGGEPRYFWEVVTTAEVEERKMCSICRLKMPNGQVAETESPVEVCVPFCVSVWYILVLSALPFLRNFAPM
+>sp|Q9UI33|SCNBA_HUMAN Sodium channel protein type 11 subunit alpha OS=Homo sapiens OX=9606 GN=SCN11A PE=1 SV=2
+MDDRCYPVIFPDERNFRPFTSDSLAAIEKRIAIQKEKKKSKDQTGEVPQPRPQLDLKASRKLPKLYGDIPRELIGKPLEDLDPFYRNHKTFMVLNRKRTIYRFSAKHALFIFGPFNSIRSLAIRVSVHSLFSMFIIGTVIINCVFMATGPAKNSNSNNTDIAECVFTGIYIFEALIKILARGFILDEFSFLRDPWNWLDSIVIGIAIVSYIPGITIKLLPLRTFRVFRALKAISVVSRLKVIVGALLRSVKKLVNVIILTFFCLSIFALVGQQLFMGSLNLKCISRDCKNISNPEAYDHCFEKKENSPEFKMCGIWMGNSACSIQYECKHTKINPDYNYTNFDNFGWSFLAMFRLMTQDSWEKLYQQTLRTTGLYSVFFFIVVIFLGSFYLINLTLAVVTMAYEEQNKNVAAEIEAKEKMFQEAQQLLKEEKEALVAMGIDRSSLTSLETSYFTPKKRKLFGNKKRKSFFLRESGKDQPPGSDSDEDCQKKPQLLEQTKRLSQNLSLDHFDEHGDPLQRQRALSAVSILTITMKEQEKSQEPCLPCGENLASKYLVWNCCPQWLCVKKVLRTVMTDPFTELAITICIIINTVFLAMEHHKMEASFEKMLNIGNLVFTSIFIAEMCLKIIALDPYHYFRRGWNIFDSIVALLSFADVMNCVLQKRSWPFLRSFRVLRVFKLAKSWPTLNTLIKIIGNSVGALGSLTVVLVIVIFIFSVVGMQLFGRSFNSQKSPKLCNPTGPTVSCLRHWHMGDFWHSFLVVFRILCGEWIENMWECMQEANASSSLCVIVFILITVIGKLVVLNLFIALLLNSFSNEERNGNLEGEARKTKVQLALDRFRRAFCFVRHTLEHFCHKWCRKQNLPQQKEVAGGCAAQSKDIIPLVMEMKRGSETQEELGILTSVPKTLGVRHDWTWLAPLAEEEDDVEFSGEDNAQRITQPEPEQQAYELHQENKKPTSQRVQSVEIDMFSEDEPHLTIQDPRKKSDVTSILSECSTIDLQDGFGWLPEMVPKKQPERCLPKGFGCCFPCCSVDKRKPPWVIWWNLRKTCYQIVKHSWFESFIIFVILLSSGALIFEDVHLENQPKIQELLNCTDIIFTHIFILEMVLKWVAFGFGKYFTSAWCCLDFIIVIVSVTTLINLMELKSFRTLRALRPLRALSQFEGMKVVVNALIGAIPAILNVLLVCLIFWLVFCILGVYFFSGKFGKCINGTDSVINYTIITNKSQCESGNFSWINQKVNFDNVGNAYLALLQVATFKGWMDIIYAAVDSTEKEQQPEFESNSLGYIYFVVFIIFGSFFTLNLFIGVIIDNFNQQQKKLGGQDIFMTEEQKKYYNAMKKLGSKKPQKPIPRPLNKCQGLVFDIVTSQIFDIIIISLIILNMISMMAESYNQPKAMKSILDHLNWVFVVIFTLECLIKIFALRQYYFTNGWNLFDCVVVLLSIVSTMISTLENQEHIPFPPTLFRIVRLARIGRILRLVRAARGIRTLLFALMMSLPSLFNIGLLLFLIMFIYAILGMNWFSKVNPESGIDDIFNFKTFASSMLCLFQISTSAGWDSLLSPMLRSKESCNSSSENCHLPGIATSYFVSYIIISFLIVVNMYIAVILENFNTATEESEDPLGEDDFDIFYEVWEKFDPEATQFIKYSALSDFADALPEPLRVAKPNKYQFLVMDLPMVSEDRLHCMDILFAFTARVLGGSDGLDSMKAMMEEKFMEANPLKKLYEPIVTTTKRKEEERGAAIIQKAFRKYMMKVTKGDQGDQNDLENGPHSPLQTLCNGDLSSFGVAKGKVHCD
+>DECOY_sp|Q9UI33|SCNBA_HUMAN Sodium channel protein type 11 subunit alpha OS=Homo sapiens OX=9606 GN=SCN11A PE=1 SV=2
+DCHVKGKAVGFSSLDGNCLTQLPSHPGNELDNQDGQDGKTVKMMYKRFAKQIIAAGREEEKRKTTTVIPEYLKKLPNAEMFKEEMMAKMSDLGDSGGLVRATFAFLIDMCHLRDESVMPLDMVLFQYKNPKAVRLPEPLADAFDSLASYKIFQTAEPDFKEWVEYFIDFDDEGLPDESEETATNFNELIVAIYMNVVILFSIIIYSVFYSTAIGPLHCNESSSNCSEKSRLMPSLLSDWGASTSIQFLCLMSSAFTKFNFIDDIGSEPNVKSFWNMGLIAYIFMILFLLLGINFLSPLSMMLAFLLTRIGRAARVLRLIRGIRALRVIRFLTPPFPIHEQNELTSIMTSVISLLVVVCDFLNWGNTFYYQRLAFIKILCELTFIVVFVWNLHDLISKMAKPQNYSEAMMSIMNLIILSIIIIDFIQSTVIDFVLGQCKNLPRPIPKQPKKSGLKKMANYYKKQEETMFIDQGGLKKQQQNFNDIIVGIFLNLTFFSGFIIFVVFYIYGLSNSEFEPQQEKETSDVAAYIIDMWGKFTAVQLLALYANGVNDFNVKQNIWSFNGSECQSKNTIITYNIVSDTGNICKGFKGSFFYVGLICFVLWFILCVLLVNLIAPIAGILANVVVKMGEFQSLARLPRLARLTRFSKLEMLNILTTVSVIVIIFDLCCWASTFYKGFGFAVWKLVMELIFIHTFIIDTCNLLEQIKPQNELHVDEFILAGSSLLIVFIIFSEFWSHKVIQYCTKRLNWWIVWPPKRKDVSCCPFCCGFGKPLCREPQKKPVMEPLWGFGDQLDITSCESLISTVDSKKRPDQITLHPEDESFMDIEVSQVRQSTPKKNEQHLEYAQQEPEPQTIRQANDEGSFEVDDEEEALPALWTWDHRVGLTKPVSTLIGLEEQTESGRKMEMVLPIIDKSQAACGGAVEKQQPLNQKRCWKHCFHELTHRVFCFARRFRDLALQVKTKRAEGELNGNREENSFSNLLLAIFLNLVVLKGIVTILIFVIVCLSSSANAEQMCEWMNEIWEGCLIRFVVLFSHWFDGMHWHRLCSVTPGTPNCLKPSKQSNFSRGFLQMGVVSFIFIVIVLVVTLSGLAGVSNGIIKILTNLTPWSKALKFVRLVRFSRLFPWSRKQLVCNMVDAFSLLAVISDFINWGRRFYHYPDLAIIKLCMEAIFISTFVLNGINLMKEFSAEMKHHEMALFVTNIIICITIALETFPDTMVTRLVKKVCLWQPCCNWVLYKSALNEGCPLCPEQSKEQEKMTITLISVASLARQRQLPDGHEDFHDLSLNQSLRKTQELLQPKKQCDEDSDSGPPQDKGSERLFFSKRKKNGFLKRKKPTFYSTELSTLSSRDIGMAVLAEKEEKLLQQAEQFMKEKAEIEAAVNKNQEEYAMTVVALTLNILYFSGLFIVVIFFFVSYLGTTRLTQQYLKEWSDQTMLRFMALFSWGFNDFNTYNYDPNIKTHKCEYQISCASNGMWIGCMKFEPSNEKKEFCHDYAEPNSINKCDRSICKLNLSGMFLQQGVLAFISLCFFTLIIVNVLKKVSRLLAGVIVKLRSVVSIAKLARFVRFTRLPLLKITIGPIYSVIAIGIVISDLWNWPDRLFSFEDLIFGRALIKILAEFIYIGTFVCEAIDTNNSNSNKAPGTAMFVCNIIVTGIIFMSFLSHVSVRIALSRISNFPGFIFLAHKASFRYITRKRNLVMFTKHNRYFPDLDELPKGILERPIDGYLKPLKRSAKLDLQPRPQPVEGTQDKSKKKEKQIAIRKEIAALSDSTFPRFNREDPFIVPYCRDDM
+>sp|Q6R2W3|SCND3_HUMAN SCAN domain-containing protein 3 OS=Homo sapiens OX=9606 GN=ZBED9 PE=2 SV=1
+MEAVSRVFPALAGQAPEEQGEIIKVKVKEEDHTWDQESALRRNLSYTRELSRQRFRQFCYQETPGPREALSQLRELCRQWLNPEIHTKEQILELLVLEQFLTILPEELQSWVREHNPESGEEVVTLLEDLERELDEPRQQVSQGTYGQEVSMEEMIPLDSAKESLGTQLQSMEDRMECESPEPHPLQDNGSFLWFSMMSQSMGGDNLSSLDTNEAEIEPENMREKFFRSLARLLENKSNNTKIFSKAKYCQLIKEVKEAKAKAKKESVDYRRLARFDVILVQGNEKLIEAVNGETDKIRYYLHSEDLFDILHNTHLSIGHGGRTRMEKELQAKYKNITKEVIMLYLTLCKPCQQKNSKLKKVLTSKSIKEVSSRCQVDLIDMQLNPDGEYRFILHYQDLCTKLTFLRSLKSKRPTEVAHALLDIFTIIGAPSVLQSDNGREFSSQVVSELSNIWPELKIVHGKSQTCQSQSSAEQTEDIRKRIFSWMQTNNSSHWTEFLWFIQMSQNQPYHRSMQQTPCESAFSSEAKLGLSHSQLTEELVASLHTENELDQADKELENTLRAQYEENIETGTDSSDIEENLSVTPKVAEKSPPESRLRFLSCVVCEKECTGVNSCISCDGNIHAICGVPSQHGTEGCGRQITCSLCYETSTMKRKHDEIQRSLPVKPSKMLKPSGTPFSPDKVGDWMAKQASLDFFVKKRHAFSEHSSSNKRNVNNRSYPEEGKTKRVHASFTRKYDPSYIEFGFVAVIDGEVLKPQCIICGDVLANEAMKPSKLKRHLYSKHKEISSQPKEFFERKSSELKSQPKQVFNVSHINISALRASYKVALPVAKSKTPYTIAETLVKDCIKEVCLEMLGESAAKKVAQVPLSNDTIARRIQELANDMEDQLIEQIKLAKYFSLQLDECRDIANMIILLVYVRFEHDDDIKEEFFFSASLPTNTTSSELYEAVKNYIVNKCGLEFKFCVGVCSDGAASMTGKHSEVVTQIKELAPECKTTHCFIHRESLAMKKISAELNSVLNDIVKIVNYIKSNSLNSRLFSLLCDNMEADHKQLLLHAEIRWLSRGKVLSRMFEIRNELLVFLQGKKPMWSQLFKDVNWTARLAYLSDIFSIFNDLNASMQGKNATYFSMADKVEGQKQKLEAWKNRISTDCYDMFHNLTTIINEVGNDLDIAHLRKVISEHLTNLLECFEFYFPSKEDPRIGNLWIQNPFLSSKDNLNLTVTLQDKLLKLATDEGLKISFENTASLPSFWIKAKNDYPELAEIALKLLLLFPSTYLCETGFSTLSVIKTKHRNSLNIHYPLRVALSSIQPRLDKLTSKKQAHLSH
+>DECOY_sp|Q6R2W3|SCND3_HUMAN SCAN domain-containing protein 3 OS=Homo sapiens OX=9606 GN=ZBED9 PE=2 SV=1
+HSLHAQKKSTLKDLRPQISSLAVRLPYHINLSNRHKTKIVSLTSFGTECLYTSPFLLLLKLAIEALEPYDNKAKIWFSPLSATNEFSIKLGEDTALKLLKDQLTVTLNLNDKSSLFPNQIWLNGIRPDEKSPFYFEFCELLNTLHESIVKRLHAIDLDNGVENIITTLNHFMDYCDTSIRNKWAELKQKQGEVKDAMSFYTANKGQMSANLDNFISFIDSLYALRATWNVDKFLQSWMPKKGQLFVLLENRIEFMRSLVKGRSLWRIEAHLLLQKHDAEMNDCLLSFLRSNLSNSKIYNVIKVIDNLVSNLEASIKKMALSERHIFCHTTKCEPALEKIQTVVESHKGTMSAAGDSCVGVCFKFELGCKNVIYNKVAEYLESSTTNTPLSASFFFEEKIDDDHEFRVYVLLIIMNAIDRCEDLQLSFYKALKIQEILQDEMDNALEQIRRAITDNSLPVQAVKKAASEGLMELCVEKICDKVLTEAITYPTKSKAVPLAVKYSARLASINIHSVNFVQKPQSKLESSKREFFEKPQSSIEKHKSYLHRKLKSPKMAENALVDGCIICQPKLVEGDIVAVFGFEIYSPDYKRTFSAHVRKTKGEEPYSRNNVNRKNSSSHESFAHRKKVFFDLSAQKAMWDGVKDPSFPTGSPKLMKSPKVPLSRQIEDHKRKMTSTEYCLSCTIQRGCGETGHQSPVGCIAHINGDCSICSNVGTCEKECVVCSLFRLRSEPPSKEAVKPTVSLNEEIDSSDTGTEINEEYQARLTNELEKDAQDLENETHLSAVLEETLQSHSLGLKAESSFASECPTQQMSRHYPQNQSMQIFWLFETWHSSNNTQMWSFIRKRIDETQEASSQSQCTQSKGHVIKLEPWINSLESVVQSSFERGNDSQLVSPAGIITFIDLLAHAVETPRKSKLSRLFTLKTCLDQYHLIFRYEGDPNLQMDILDVQCRSSVEKISKSTLVKKLKSNKQQCPKCLTLYLMIVEKTINKYKAQLEKEMRTRGGHGISLHTNHLIDFLDESHLYYRIKDTEGNVAEILKENGQVLIVDFRALRRYDVSEKKAKAKAEKVEKILQCYKAKSFIKTNNSKNELLRALSRFFKERMNEPEIEAENTDLSSLNDGGMSQSMMSFWLFSGNDQLPHPEPSECEMRDEMSQLQTGLSEKASDLPIMEEMSVEQGYTGQSVQQRPEDLERELDELLTVVEEGSEPNHERVWSQLEEPLITLFQELVLLELIQEKTHIEPNLWQRCLERLQSLAERPGPTEQYCFQRFRQRSLERTYSLNRRLASEQDWTHDEEKVKVKIIEGQEEPAQGALAPFVRSVAEM
+>sp|P51172|SCNND_HUMAN Amiloride-sensitive sodium channel subunit delta OS=Homo sapiens OX=9606 GN=SCNN1D PE=1 SV=2
+MAEHRSMDGRMEAATRGGSHLQAAAQTPPRPGPPSAPPPPPKEGHQEGLVELPASFRELLTFFCTNATIHGAIRLVCSRGNRLKTTSWGLLSLGALVALCWQLGLLFERHWHRPVLMAVSVHSERKLLPLVTLCDGNPRRPSPVLRHLELLDEFARENIDSLYNVNLSKGRAALSATVPRHEPPFHLDREIRLQRLSHSGSRVRVGFRLCNSTGGDCFYRGYTSGVAAVQDWYHFHYVDILALLPAAWEDSHGSQDGHFVLSCSYDGLDCQARQFRTFHHPTYGSCYTVDGVWTAQRPGITHGVGLVLRVEQQPHLPLLSTLAGIRVMVHGRNHTPFLGHHSFSVRPGTEATISIREDEVHRLGSPYGHCTAGGEGVEVELLHNTSYTRQACLVSCFQQLMVETCSCGYYLHPLPAGAEYCSSARHPAWGHCFYRLYQDLETHRLPCTSRCPRPCRESAFKLSTGTSRWPSAKSAGWTLATLGEQGLPHQSHRQRSSLAKINIVYQELNYRSVEEAPVYSVPQLLSAMGSLCSLWFGASVLSLLELLELLLDASALTLVLGGRRLRRAWFSWPRASPASGASSIKPEASQMPPPAGGTSDDPEPSGPHLPRVMLPGVLAGVSAEESWAGPQPLETLDT
+>DECOY_sp|P51172|SCNND_HUMAN Amiloride-sensitive sodium channel subunit delta OS=Homo sapiens OX=9606 GN=SCNN1D PE=1 SV=2
+TDLTELPQPGAWSEEASVGALVGPLMVRPLHPGSPEPDDSTGGAPPPMQSAEPKISSAGSAPSARPWSFWARRLRRGGLVLTLASADLLLELLELLSLVSAGFWLSCLSGMASLLQPVSYVPAEEVSRYNLEQYVINIKALSSRQRHSQHPLGQEGLTALTWGASKASPWRSTGTSLKFASERCPRPCRSTCPLRHTELDQYLRYFCHGWAPHRASSCYEAGAPLPHLYYGCSCTEVMLQQFCSVLCAQRTYSTNHLLEVEVGEGGATCHGYPSGLRHVEDERISITAETGPRVSFSHHGLFPTHNRGHVMVRIGALTSLLPLHPQQEVRLVLGVGHTIGPRQATWVGDVTYCSGYTPHHFTRFQRAQCDLGDYSCSLVFHGDQSGHSDEWAAPLLALIDVYHFHYWDQVAAVGSTYGRYFCDGGTSNCLRFGVRVRSGSHSLRQLRIERDLHFPPEHRPVTASLAARGKSLNVNYLSDINERAFEDLLELHRLVPSPRRPNGDCLTVLPLLKRESHVSVAMLVPRHWHREFLLGLQWCLAVLAGLSLLGWSTTKLRNGRSCVLRIAGHITANTCFFTLLERFSAPLEVLGEQHGEKPPPPPASPPGPRPPTQAAAQLHSGGRTAAEMRGDMSRHEAM
+>sp|O75880|SCO1_HUMAN Protein SCO1 homolog, mitochondrial OS=Homo sapiens OX=9606 GN=SCO1 PE=1 SV=1
+MAMLVLVPGRVMRPLGGQLWRFLPRGLEFWGPAEGTARVLLRQFCARQAEAWRASGRPGYCLGTRPLSTARPPPPWSQKGPGDSTRPSKPGPVSWKSLAITFAIGGALLAGMKHVKKEKAEKLEKERQRHIGKPLLGGPFSLTTHTGERKTDKDYLGQWLLIYFGFTHCPDVCPEELEKMIQVVDEIDSITTLPDLTPLFISIDPERDTKEAIANYVKEFSPKLVGLTGTREEVDQVARAYRVYYSPGPKDEDEDYIVDHTIIMYLIGPDGEFLDYFGQNKRKGEIAASIATHMRPYRKKS
+>DECOY_sp|O75880|SCO1_HUMAN Protein SCO1 homolog, mitochondrial OS=Homo sapiens OX=9606 GN=SCO1 PE=1 SV=1
+SKKRYPRMHTAISAAIEGKRKNQGFYDLFEGDPGILYMIITHDVIYDEDEDKPGPSYYVRYARAVQDVEERTGTLGVLKPSFEKVYNAIAEKTDREPDISIFLPTLDPLTTISDIEDVVQIMKELEEPCVDPCHTFGFYILLWQGLYDKDTKREGTHTTLSFPGGLLPKGIHRQREKELKEAKEKKVHKMGALLAGGIAFTIALSKWSVPGPKSPRTSDGPGKQSWPPPPRATSLPRTGLCYGPRGSARWAEAQRACFQRLLVRATGEAPGWFELGRPLFRWLQGGLPRMVRGPVLVLMAM
+>sp|Q8NBX0|SCPDL_HUMAN Saccharopine dehydrogenase-like oxidoreductase OS=Homo sapiens OX=9606 GN=SCCPDH PE=1 SV=1
+MATEQRPFHLVVFGASGFTGQFVTEEVAREQVDPERSSRLPWAVAGRSREKLQRVLEKAALKLGRPTLSSEVGIIICDIANPASLDEMAKQATVVLNCVGPYRFYGEPVIKACIENGASCIDISGEPQFLELMQLKYHEKAADKGVYIIGSSGFDSIPADLGVIYTRNKMNGTLTAVESFLTIHSGPEGLSIHDGTWKSAIYGFGDQSNLRKLRNVSNLKPVPLIGPKLKRRWPISYCRELKGYSIPFMGSDVSVVRRTQRYLYENLEESPVQYAAYVTVGGITSVIKLMFAGLFFLFFVRFGIGRQLLIKFPWFFSFGYFSKQGPTQKQIDAASFTLTFFGQGYSQGTGTDKNKPNIKICTQVKGPEAGYVATPIAMVQAAMTLLSDASHLPKAGGVFTPGAAFSKTKLIDRLNKHGIEFSVISSSEV
+>DECOY_sp|Q8NBX0|SCPDL_HUMAN Saccharopine dehydrogenase-like oxidoreductase OS=Homo sapiens OX=9606 GN=SCCPDH PE=1 SV=1
+VESSSIVSFEIGHKNLRDILKTKSFAAGPTFVGGAKPLHSADSLLTMAAQVMAIPTAVYGAEPGKVQTCIKINPKNKDTGTGQSYGQGFFTLTFSAADIQKQTPGQKSFYGFSFFWPFKILLQRGIGFRVFFLFFLGAFMLKIVSTIGGVTVYAAYQVPSEELNEYLYRQTRRVVSVDSGMFPISYGKLERCYSIPWRRKLKPGILPVPKLNSVNRLKRLNSQDGFGYIASKWTGDHISLGEPGSHITLFSEVATLTGNMKNRTYIVGLDAPISDFGSSGIIYVGKDAAKEHYKLQMLELFQPEGSIDICSAGNEICAKIVPEGYFRYPGVCNLVVTAQKAMEDLSAPNAIDCIIIGVESSLTPRGLKLAAKELVRQLKERSRGAVAWPLRSSREPDVQERAVEETVFQGTFGSAGFVVLHFPRQETAM
+>sp|Q9NQ03|SCRT2_HUMAN Transcriptional repressor scratch 2 OS=Homo sapiens OX=9606 GN=SCRT2 PE=2 SV=3
+MPRSFLVKKIKGDGFQCSGVPAPTYHPLETAYVLPGARGPPGDNGYAPHRLPPSSYDADQKPGLELAPAEPAYPPAAPEEYSDPESPQSSLSARYFRGEAAVTDSYSMDAFFISDGRSRRRRGGGGGDAGGSGDAGGAGGRAGRAGAQAGGGHRHACAECGKTYATSSNLSRHKQTHRSLDSQLARKCPTCGKAYVSMPALAMHLLTHNLRHKCGVCGKAFSRPWLLQGHMRSHTGEKPFGCAHCGKAFADRSNLRAHMQTHSAFKHYRCRQCDKSFALKSYLHKHCEAACAKAAEPPPPTPAGPAS
+>DECOY_sp|Q9NQ03|SCRT2_HUMAN Transcriptional repressor scratch 2 OS=Homo sapiens OX=9606 GN=SCRT2 PE=2 SV=3
+SAPGAPTPPPPEAAKACAAECHKHLYSKLAFSKDCQRCRYHKFASHTQMHARLNSRDAFAKGCHACGFPKEGTHSRMHGQLLWPRSFAKGCVGCKHRLNHTLLHMALAPMSVYAKGCTPCKRALQSDLSRHTQKHRSLNSSTAYTKGCEACAHRHGGGAQAGARGARGGAGGADGSGGADGGGGGRRRRSRGDSIFFADMSYSDTVAAEGRFYRASLSSQPSEPDSYEEPAAPPYAPEAPALELGPKQDADYSSPPLRHPAYGNDGPPGRAGPLVYATELPHYTPAPVGSCQFGDGKIKKVLFSRPM
+>sp|Q8IWY4|SCUB1_HUMAN Signal peptide, CUB and EGF-like domain-containing protein 1 OS=Homo sapiens OX=9606 GN=SCUBE1 PE=1 SV=3
+MGAAAVRWHLCVLLALGTRGRLAGGSGLPGSVDVDECSEGTDDCHIDAICQNTPKSYKCLCKPGYKGEGKQCEDIDECENDYYNGGCVHECINIPGNYRCTCFDGFMLAHDGHNCLDVDECQDNNGGCQQICVNAMGSYECQCHSGFFLSDNQHTCIHRSNEGMNCMNKDHGCAHICRETPKGGVACDCRPGFDLAQNQKDCTLTCNYGNGGCQHSCEDTDTGPTCGCHQKYALHSDGRTCIETCAVNNGGCDRTCKDTATGVRCSCPVGFTLQPDGKTCKDINECLVNNGGCDHFCRNTVGSFECGCRKGYKLLTDERTCQDIDECSFERTCDHICINSPGSFQCLCHRGYILYGTTHCGDVDECSMSNGSCDQGCVNTKGSYECVCPPGRRLHWNGKDCVETGKCLSRAKTSPRAQLSCSKAGGVESCFLSCPAHTLFVPDSENSYVLSCGVPGPQGKALQKRNGTSSGLGPSCSDAPTTPIKQKARFKIRDAKCHLRPHSQARAKETARQPLLDHCHVTFVTLKCDSSKKRRRGRKSPSKEVSHITAEFEIETKMEEASDTCEADCLRKRAEQSLQAAIKTLRKSIGRQQFYVQVSGTEYEVAQRPAKALEGQGACGAGQVLQDSKCVACGPGTHFGGELGQCVSCMPGTYQDMEGQLSCTPCPSSDGLGLPGARNVSECGGQCSPGFFSADGFKPCQACPVGTYQPEPGRTGCFPCGGGLLTKHEGTTSFQDCEAKVHCSPGHHYNTTTHRCIRCPVGTYQPEFGQNHCITCPGNTSTDFDGSTNVTHCKNQHCGGELGDYTGYIESPNYPGDYPANAECVWHIAPPPKRRILIVVPEIFLPIEDECGDVLVMRKSASPTSITTYETCQTYERPIAFTSRSRKLWIQFKSNEGNSGKGFQVPYVTYDEDYQQLIEDIVRDGRLYASENHQEILKDKKLIKALFDVLAHPQNYFKYTAQESKEMFPRSFIKLLRSKVSRFLRPYK
+>DECOY_sp|Q8IWY4|SCUB1_HUMAN Signal peptide, CUB and EGF-like domain-containing protein 1 OS=Homo sapiens OX=9606 GN=SCUBE1 PE=1 SV=3
+KYPRLFRSVKSRLLKIFSRPFMEKSEQATYKFYNQPHALVDFLAKILKKDKLIEQHNESAYLRGDRVIDEILQQYDEDYTVYPVQFGKGSNGENSKFQIWLKRSRSTFAIPREYTQCTEYTTISTPSASKRMVLVDGCEDEIPLFIEPVVILIRRKPPPAIHWVCEANAPYDGPYNPSEIYGTYDGLEGGCHQNKCHTVNTSGDFDTSTNGPCTICHNQGFEPQYTGVPCRICRHTTTNYHHGPSCHVKAECDQFSTTGEHKTLLGGGCPFCGTRGPEPQYTGVPCAQCPKFGDASFFGPSCQGGCESVNRAGPLGLGDSSPCPTCSLQGEMDQYTGPMCSVCQGLEGGFHTGPGCAVCKSDQLVQGAGCAGQGELAKAPRQAVEYETGSVQVYFQQRGISKRLTKIAAQLSQEARKRLCDAECTDSAEEMKTEIEFEATIHSVEKSPSKRGRRRKKSSDCKLTVFTVHCHDLLPQRATEKARAQSHPRLHCKADRIKFRAKQKIPTTPADSCSPGLGSSTGNRKQLAKGQPGPVGCSLVYSNESDPVFLTHAPCSLFCSEVGGAKSCSLQARPSTKARSLCKGTEVCDKGNWHLRRGPPCVCEYSGKTNVCGQDCSGNSMSCEDVDGCHTTGYLIYGRHCLCQFSGPSNICIHDCTREFSCEDIDQCTREDTLLKYGKRCGCEFSGVTNRCFHDCGGNNVLCENIDKCTKGDPQLTFGVPCSCRVGTATDKCTRDCGGNNVACTEICTRGDSHLAYKQHCGCTPGTDTDECSHQCGGNGYNCTLTCDKQNQALDFGPRCDCAVGGKPTERCIHACGHDKNMCNMGENSRHICTHQNDSLFFGSHCQCEYSGMANVCIQQCGGNNDQCEDVDLCNHGDHALMFGDFCTCRYNGPINICEHVCGGNYYDNECEDIDECQKGEGKYGPKCLCKYSKPTNQCIADIHCDDTGESCEDVDVSGPLGSGGALRGRTGLALLVCLHWRVAAAGM
+>sp|Q9NQ36|SCUB2_HUMAN Signal peptide, CUB and EGF-like domain-containing protein 2 OS=Homo sapiens OX=9606 GN=SCUBE2 PE=1 SV=2
+MGVAGRNRPGAAWAVLLLLLLLPPLLLLAGAVPPGRGRAAGPQEDVDECAQGLDDCHADALCQNTPTSYKCSCKPGYQGEGRQCEDIDECGNELNGGCVHDCLNIPGNYRCTCFDGFMLAHDGHNCLDVDECLENNGGCQHTCVNVMGSYECCCKEGFFLSDNQHTCIHRSEEGLSCMNKDHGCSHICKEAPRGSVACECRPGFELAKNQRDCILTCNHGNGGCQHSCDDTADGPECSCHPQYKMHTDGRSCLEREDTVLEVTESNTTSVVDGDKRVKRRLLMETCAVNNGGCDRTCKDTSTGVHCSCPVGFTLQLDGKTCKDIDECQTRNGGCDHFCKNIVGSFDCGCKKGFKLLTDEKSCQDVDECSLDRTCDHSCINHPGTFACACNRGYTLYGFTHCGDTNECSINNGGCQQVCVNTVGSYECQCHPGYKLHWNKKDCVEVKGLLPTSVSPRVSLHCGKSGGGDGCFLRCHSGIHLSSDVTTIRTSVTFKLNEGKCSLKNAELFPEGLRPALPEKHSSVKESFRYVNLTCSSGKQVPGAPGRPSTPKEMFITVEFELETNQKEVTASCDLSCIVKRTEKRLRKAIRTLRKAVHREQFHLQLSGMNLDVAKKPPRTSERQAESCGVGQGHAENQCVSCRAGTYYDGARERCILCPNGTFQNEEGQMTCEPCPRPGNSGALKTPEAWNMSECGGLCQPGEYSADGFAPCHLCALGTFQPEAGRTSCFPCGGGLATKHQGATSFQDCETRVQCSPGHFYNTTTHRCIRCPVGTYQPEFGKNNCVSCPGNTTTDFDGSTNITQCKNRRCGGELGDFTGYIESPNYPGNYPANTECTWTINPPPKRRILIVVPEIFLPIEDDCGDYLVMRKTSSSNSVTTYETCQTYERPIAFTSRSKKLWIQFKSNEGNSARGFQVPYVTYDEDYQELIEDIVRDGRLYASENHQEILKDKKLIKALFDVLAHPQNYFKYTAQESREMFPRSFIRLLRSKVSRFLRPYK
+>DECOY_sp|Q9NQ36|SCUB2_HUMAN Signal peptide, CUB and EGF-like domain-containing protein 2 OS=Homo sapiens OX=9606 GN=SCUBE2 PE=1 SV=2
+KYPRLFRSVKSRLLRIFSRPFMERSEQATYKFYNQPHALVDFLAKILKKDKLIEQHNESAYLRGDRVIDEILEQYDEDYTVYPVQFGRASNGENSKFQIWLKKSRSTFAIPREYTQCTEYTTVSNSSSTKRMVLYDGCDDEIPLFIEPVVILIRRKPPPNITWTCETNAPYNGPYNPSEIYGTFDGLEGGCRRNKCQTINTSGDFDTTTNGPCSVCNNKGFEPQYTGVPCRICRHTTTNYFHGPSCQVRTECDQFSTAGQHKTALGGGCPFCSTRGAEPQFTGLACLHCPAFGDASYEGPQCLGGCESMNWAEPTKLAGSNGPRPCPECTMQGEENQFTGNPCLICRERAGDYYTGARCSVCQNEAHGQGVGCSEAQRESTRPPKKAVDLNMGSLQLHFQERHVAKRLTRIAKRLRKETRKVICSLDCSATVEKQNTELEFEVTIFMEKPTSPRGPAGPVQKGSSCTLNVYRFSEKVSSHKEPLAPRLGEPFLEANKLSCKGENLKFTVSTRITTVDSSLHIGSHCRLFCGDGGGSKGCHLSVRPSVSTPLLGKVEVCDKKNWHLKYGPHCQCEYSGVTNVCVQQCGGNNISCENTDGCHTFGYLTYGRNCACAFTGPHNICSHDCTRDLSCEDVDQCSKEDTLLKFGKKCGCDFSGVINKCFHDCGGNRTQCEDIDKCTKGDLQLTFGVPCSCHVGTSTDKCTRDCGGNNVACTEMLLRRKVRKDGDVVSTTNSETVELVTDERELCSRGDTHMKYQPHCSCEPGDATDDCSHQCGGNGHNCTLICDRQNKALEFGPRCECAVSGRPAEKCIHSCGHDKNMCSLGEESRHICTHQNDSLFFGEKCCCEYSGMVNVCTHQCGGNNELCEDVDLCNHGDHALMFGDFCTCRYNGPINLCDHVCGGNLENGCEDIDECQRGEGQYGPKCSCKYSTPTNQCLADAHCDDLGQACEDVDEQPGAARGRGPPVAGALLLLPPLLLLLLLVAWAAGPRNRGAVGM
+>sp|Q6P3W7|SCYL2_HUMAN SCY1-like protein 2 OS=Homo sapiens OX=9606 GN=SCYL2 PE=1 SV=1
+MESMLNKLKSTVTKVTADVTSAVMGNPVTREFDVGRHIASGGNGLAWKIFNGTKKSTKQEVAVFVFDKKLIDKYQKFEKDQIIDSLKRGVQQLTRLRHPRLLTVQHPLEESRDCLAFCTEPVFASLANVLGNWENLPSPISPDIKDYKLYDVETKYGLLQVSEGLSFLHSSVKMVHGNITPENIILNKSGAWKIMGFDFCVSSTNPSEQEPKFPCKEWDPNLPSLCLPNPEYLAPEYILSVSCETASDMYSLGTVMYAVFNKGKPIFEVNKQDIYKSFSRQLDQLSRLGSSSLTNIPEEVREHVKLLLNVTPTVRPDADQMTKIPFFDDVGAVTLQYFDTLFQRDNLQKSQFFKGLPKVLPKLPKRVIVQRILPCLTSEFVNPDMVPFVLPNVLLIAEECTKEEYVKLILPELGPVFKQQEPIQILLIFLQKMDLLLTKTPPDEIKNSVLPMVYRALEAPSIQIQELCLNIIPTFANLIDYPSMKNALIPRIKNACLQTSSLAVRVNSLVCLGKILEYLDKWFVLDDILPFLQQIPSKEPAVLMGILGIYKCTFTHKKLGITKEQLAGKVLPHLIPLSIENNLNLNQFNSFISVIKEMLNRLESEHKTKLEQLHIMQEQQKSLDIGNQMNVSEEMKVTNIGNQQIDKVFNNIGADLLTGSESENKEDGLQNKHKRASLTLEEKQKLAKEQEQAQKLKSQQPLKPQVHTPVATVKQTKDLTDTLMDNMSSLTSLSVSTPKSSASSTFTSVPSMGIGMMFSTPTDNTKRNLTNGLNANMGFQTSGFNMPVNTNQNFYSSPSTVGVTKMTLGTPPTLPNFNALSVPPAGAKQTQQRPTDMSALNNLFGPQKPKVSMNQLSQQKPNQWLNQFVPPQGSPTMGSSVMGTQMNVIGQSAFGMQGNPFFNPQNFAQPPTTMTNSSSASNDLKDLFG
+>DECOY_sp|Q6P3W7|SCYL2_HUMAN SCY1-like protein 2 OS=Homo sapiens OX=9606 GN=SCYL2 PE=1 SV=1
+GFLDKLDNSASSSNTMTTPPQAFNQPNFFPNGQMGFASQGIVNMQTGMVSSGMTPSGQPPVFQNLWQNPKQQSLQNMSVKPKQPGFLNNLASMDTPRQQTQKAGAPPVSLANFNPLTPPTGLTMKTVGVTSPSSYFNQNTNVPMNFGSTQFGMNANLGNTLNRKTNDTPTSFMMGIGMSPVSTFTSSASSKPTSVSLSTLSSMNDMLTDTLDKTQKVTAVPTHVQPKLPQQSKLKQAQEQEKALKQKEELTLSARKHKNQLGDEKNESESGTLLDAGINNFVKDIQQNGINTVKMEESVNMQNGIDLSKQQEQMIHLQELKTKHESELRNLMEKIVSIFSNFQNLNLNNEISLPILHPLVKGALQEKTIGLKKHTFTCKYIGLIGMLVAPEKSPIQQLFPLIDDLVFWKDLYELIKGLCVLSNVRVALSSTQLCANKIRPILANKMSPYDILNAFTPIINLCLEQIQISPAELARYVMPLVSNKIEDPPTKTLLLDMKQLFILLIQIPEQQKFVPGLEPLILKVYEEKTCEEAILLVNPLVFPVMDPNVFESTLCPLIRQVIVRKPLKPLVKPLGKFFQSKQLNDRQFLTDFYQLTVAGVDDFFPIKTMQDADPRVTPTVNLLLKVHERVEEPINTLSSSGLRSLQDLQRSFSKYIDQKNVEFIPKGKNFVAYMVTGLSYMDSATECSVSLIYEPALYEPNPLCLSPLNPDWEKCPFKPEQESPNTSSVCFDFGMIKWAGSKNLIINEPTINGHVMKVSSHLFSLGESVQLLGYKTEVDYLKYDKIDPSIPSPLNEWNGLVNALSAFVPETCFALCDRSEELPHQVTLLRPHRLRTLQQVGRKLSDIIQDKEFKQYKDILKKDFVFVAVEQKTSKKTGNFIKWALGNGGSAIHRGVDFERTVPNGMVASTVDATVKTVTSKLKNLMSEM
+>sp|Q14563|SEM3A_HUMAN Semaphorin-3A OS=Homo sapiens OX=9606 GN=SEMA3A PE=1 SV=1
+MGWLTRIVCLFWGVLLTARANYQNGKNNVPRLKLSYKEMLESNNVITFNGLANSSSYHTFLLDEERSRLYVGAKDHIFSFDLVNIKDFQKIVWPVSYTRRDECKWAGKDILKECANFIKVLKAYNQTHLYACGTGAFHPICTYIEIGHHPEDNIFKLENSHFENGRGKSPYDPKLLTASLLIDGELYSGTAADFMGRDFAIFRTLGHHHPIRTEQHDSRWLNDPKFISAHLISESDNPEDDKVYFFFRENAIDGEHSGKATHARIGQICKNDFGGHRSLVNKWTTFLKARLICSVPGPNGIDTHFDELQDVFLMNFKDPKNPVVYGVFTTSSNIFKGSAVCMYSMSDVRRVFLGPYAHRDGPNYQWVPYQGRVPYPRPGTCPSKTFGGFDSTKDLPDDVITFARSHPAMYNPVFPMNNRPIVIKTDVNYQFTQIVVDRVDAEDGQYDVMFIGTDVGTVLKVVSIPKETWYDLEEVLLEEMTVFREPTAISAMELSTKQQQLYIGSTAGVAQLPLHRCDIYGKACAECCLARDPYCAWDGSACSRYFPTAKRRTRRQDIRNGDPLTHCSDLHHDNHHGHSPEERIIYGVENSSTFLECSPKSQRALVYWQFQRRNEERKEEIRVDDHIIRTDQGLLLRSLQQKDSGNYLCHAVEHGFIQTLLKVTLEVIDTEHLEELLHKDDDGDGSKTKEMSNSMTPSQKVWYRDFMQLINHPNLNTMDEFCEQVWKRDRKQRRQRPGHTPGNSNKWKHLQENKKGRNRRTHEFERAPRSV
+>DECOY_sp|Q14563|SEM3A_HUMAN Semaphorin-3A OS=Homo sapiens OX=9606 GN=SEMA3A PE=1 SV=1
+VSRPAREFEHTRRNRGKKNEQLHKWKNSNGPTHGPRQRRQKRDRKWVQECFEDMTNLNPHNILQMFDRYWVKQSPTMSNSMEKTKSGDGDDDKHLLEELHETDIVELTVKLLTQIFGHEVAHCLYNGSDKQQLSRLLLGQDTRIIHDDVRIEEKREENRRQFQWYVLARQSKPSCELFTSSNEVGYIIREEPSHGHHNDHHLDSCHTLPDGNRIDQRRTRRKATPFYRSCASGDWACYPDRALCCEACAKGYIDCRHLPLQAVGATSGIYLQQQKTSLEMASIATPERFVTMEELLVEELDYWTEKPISVVKLVTGVDTGIFMVDYQGDEADVRDVVIQTFQYNVDTKIVIPRNNMPFVPNYMAPHSRAFTIVDDPLDKTSDFGGFTKSPCTGPRPYPVRGQYPVWQYNPGDRHAYPGLFVRRVDSMSYMCVASGKFINSSTTFVGYVVPNKPDKFNMLFVDQLEDFHTDIGNPGPVSCILRAKLFTTWKNVLSRHGGFDNKCIQGIRAHTAKGSHEGDIANERFFFYVKDDEPNDSESILHASIFKPDNLWRSDHQETRIPHHHGLTRFIAFDRGMFDAATGSYLEGDILLSATLLKPDYPSKGRGNEFHSNELKFINDEPHHGIEIYTCIPHFAGTGCAYLHTQNYAKLVKIFNACEKLIDKGAWKCEDRRTYSVPWVIKQFDKINVLDFSFIHDKAGVYLRSREEDLLFTHYSSSNALGNFTIVNNSELMEKYSLKLRPVNNKGNQYNARATLLVGWFLCVIRTLWGM
+>sp|Q9P0V9|SEP10_HUMAN Septin-10 OS=Homo sapiens OX=9606 GN=SEPT10 PE=1 SV=2
+MASSEVARHLLFQSHMATKTTCMSSQGSDDEQIKRENIRSLTMSGHVGFESLPDQLVNRSIQQGFCFNILCVGETGIGKSTLIDTLFNTNFEDYESSHFCPNVKLKAQTYELQESNVQLKLTIVNTVGFGDQINKEESYQPIVDYIDAQFEAYLQEELKIKRSLFTYHDSRIHVCLYFISPTGHSLKTLDLLTMKNLDSKVNIIPVIAKADTVSKTELQKFKIKLMSELVSNGVQIYQFPTDDDTIAKVNAAMNGQLPFAVVGSMDEVKVGNKMVKARQYPWGVVQVENENHCDFVKLREMLICTNMEDLREQTHTRHYELYRRCKLEEMGFTDVGPENKPVSVQETYEAKRHEFHGERQRKEEEMKQMFVQRVKEKEAILKEAERELQAKFEHLKRLHQEERMKLEEKRRLLEEEIIAFSKKKATSEIFHSQSFLATGSNLRKDKDRKNSNFL
+>DECOY_sp|Q9P0V9|SEP10_HUMAN Septin-10 OS=Homo sapiens OX=9606 GN=SEPT10 PE=1 SV=2
+LFNSNKRDKDKRLNSGTALFSQSHFIESTAKKKSFAIIEEELLRRKEELKMREEQHLRKLHEFKAQLEREAEKLIAEKEKVRQVFMQKMEEEKRQREGHFEHRKAEYTEQVSVPKNEPGVDTFGMEELKCRRYLEYHRTHTQERLDEMNTCILMERLKVFDCHNENEVQVVGWPYQRAKVMKNGVKVEDMSGVVAFPLQGNMAANVKAITDDDTPFQYIQVGNSVLESMLKIKFKQLETKSVTDAKAIVPIINVKSDLNKMTLLDLTKLSHGTPSIFYLCVHIRSDHYTFLSRKIKLEEQLYAEFQADIYDVIPQYSEEKNIQDGFGVTNVITLKLQVNSEQLEYTQAKLKVNPCFHSSEYDEFNTNFLTDILTSKGIGTEGVCLINFCFGQQISRNVLQDPLSEFGVHGSMTLSRINERKIQEDDSGQSSMCTTKTAMHSQFLLHRAVESSAM
+>sp|Q6ZU15|SEP14_HUMAN Septin-14 OS=Homo sapiens OX=9606 GN=SEPT14 PE=1 SV=2
+MAERTMAMPTQIPADGDTQKENNIRCLTTIGHFGFECLPNQLVSRSIRQGFTFNILCVGETGIGKSTLIDTLFNTNLKDNKSSHFYSNVGLQIQTYELQESNVQLKLTVVETVGYGDQIDKEASYQPIVDYIDAQFEAYLQEELKIKRSLFEYHDSRVHVCLYFISPTGHSLKSLDLLTMKNLDSKVNIIPLIAKADTISKNDLQTFKNKIMSELISNGIQIYQLPTDEETAAQANSSVSGLLPFAVVGSTDEVKVGKRMVRGRHYPWGVLQVENENHCDFVKLRDMLLCTNMENLKEKTHTQHYECYRYQKLQKMGFTDVGPNNQPVSFQEIFEAKRQEFYDQCQREEEELKQRFMQRVKEKEATFKEAEKELQDKFEHLKMIQQEEIRKLEEEKKQLEGEIIDFYKMKAASEALQTQLSTDTKKDKHRKK
+>DECOY_sp|Q6ZU15|SEP14_HUMAN Septin-14 OS=Homo sapiens OX=9606 GN=SEPT14 PE=1 SV=2
+KKRHKDKKTDTSLQTQLAESAAKMKYFDIIEGELQKKEEELKRIEEQQIMKLHEFKDQLEKEAEKFTAEKEKVRQMFRQKLEEEERQCQDYFEQRKAEFIEQFSVPQNNPGVDTFGMKQLKQYRYCEYHQTHTKEKLNEMNTCLLMDRLKVFDCHNENEVQLVGWPYHRGRVMRKGVKVEDTSGVVAFPLLGSVSSNAQAATEEDTPLQYIQIGNSILESMIKNKFTQLDNKSITDAKAILPIINVKSDLNKMTLLDLSKLSHGTPSIFYLCVHVRSDHYEFLSRKIKLEEQLYAEFQADIYDVIPQYSAEKDIQDGYGVTEVVTLKLQVNSEQLEYTQIQLGVNSYFHSSKNDKLNTNFLTDILTSKGIGTEGVCLINFTFGQRISRSVLQNPLCEFGFHGITTLCRINNEKQTDGDAPIQTPMAMTREAM
+>sp|O60613|SEP15_HUMAN Selenoprotein F OS=Homo sapiens OX=9606 GN=SELENOF PE=1 SV=4
+MVAMAAGPSGCLVPAFGLRLLLATVLQAVSAFGAEFSSEACRELGFSSNLLCSSCDLLGQFNLLQLDPDCRGCCQEEAQFETKKLYAGAILEVCGUKLGRFPQVQAFVRSDKPKLFRGLQIKYVRGSDPVLKLLDDNGNIAEELSILKWNTDSVEEFLSEKLERI
+>DECOY_sp|O60613|SEP15_HUMAN Selenoprotein F OS=Homo sapiens OX=9606 GN=SELENOF PE=1 SV=4
+IRELKESLFEEVSDTNWKLISLEEAINGNDDLLKLVPDSGRVYKIQLGRFLKPKDSRVFAQVQPFRGLKUGCVELIAGAYLKKTEFQAEEQCCGRCDPDLQLLNFQGLLDCSSCLLNSSFGLERCAESSFEAGFASVAQLVTALLLRLGFAPVLCGSPGAAMAVM
+>sp|Q01196|RUNX1_HUMAN Runt-related transcription factor 1 OS=Homo sapiens OX=9606 GN=RUNX1 PE=1 SV=3
+MRIPVDASTSRRFTPPSTALSPGKMSEALPLGAPDAGAALAGKLRSGDRSMVEVLADHPGELVRTDSPNFLCSVLPTHWRCNKTLPIAFKVVALGDVPDGTLVTVMAGNDENYSAELRNATAAMKNQVARFNDLRFVGRSGRGKSFTLTITVFTNPPQVATYHRAIKITVDGPREPRRHRQKLDDQTKPGSLSFSERLSELEQLRRTAMRVSPHHPAPTPNPRASLNHSTAFNPQPQSQMQDTRQIQPSPPWSYDQSYQYLGSIASPSVHPATPISPGRASGMTTLSAELSSRLSTAPDLTAFSDPRQFPALPSISDPRMHYPGAFTYSPTPVTSGIGIGMSAMGSATRYHTYLPPPYPGSSQAQGGPFQASSPSYHLYYGASAGSYQFSMVGGERSPPRILPPCTNASTGSALLNPSLPNQSDVVEAEGSHSNSPTNMAPSARLEEAVWRPY
+>DECOY_sp|Q01196|RUNX1_HUMAN Runt-related transcription factor 1 OS=Homo sapiens OX=9606 GN=RUNX1 PE=1 SV=3
+YPRWVAEELRASPAMNTPSNSHSGEAEVVDSQNPLSPNLLASGTSANTCPPLIRPPSREGGVMSFQYSGASAGYYLHYSPSSAQFPGGQAQSSGPYPPPLYTHYRTASGMASMGIGIGSTVPTPSYTFAGPYHMRPDSISPLAPFQRPDSFATLDPATSLRSSLEASLTTMGSARGPSIPTAPHVSPSAISGLYQYSQDYSWPPSPQIQRTDQMQSQPQPNFATSHNLSARPNPTPAPHHPSVRMATRRLQELESLRESFSLSGPKTQDDLKQRHRRPERPGDVTIKIARHYTAVQPPNTFVTITLTFSKGRGSRGVFRLDNFRAVQNKMAATANRLEASYNEDNGAMVTVLTGDPVDGLAVVKFAIPLTKNCRWHTPLVSCLFNPSDTRVLEGPHDALVEVMSRDGSRLKGALAAGADPAGLPLAESMKGPSLATSPPTFRRSTSADVPIRM
+>sp|P62306|RUXF_HUMAN Small nuclear ribonucleoprotein F OS=Homo sapiens OX=9606 GN=SNRPF PE=1 SV=1
+MSLPLNPKPFLNGLTGKPVMVKLKWGMEYKGYLVSVDGYMNMQLANTEEYIDGALSGHLGEVLIRCNNVLYIRGVEEEEEDGEMRE
+>DECOY_sp|P62306|RUXF_HUMAN Small nuclear ribonucleoprotein F OS=Homo sapiens OX=9606 GN=SNRPF PE=1 SV=1
+ERMEGDEEEEEVGRIYLVNNCRILVEGLHGSLAGDIYEETNALQMNMYGDVSVLYGKYEMGWKLKVMVPKGTLGNLFPKPNLPLSM
+>sp|Q9Y3V2|RWDD3_HUMAN RWD domain-containing protein 3 OS=Homo sapiens OX=9606 GN=RWDD3 PE=1 SV=4
+MAEPVQEELSVLAAIFCRPHEWEVLSRSETDGTVFRIHTKAEGFMDVDIPLELVFHLPVNYPSCLPGISINSEQLTRAQCVTVKENLLEQAESLLSEPMVHELVLWIQQNLRHILSQPETGSGSEKCTFSTSTTMDDGLWITLLHLDHMRAKTKYVKIVEKWASDLRLTGRLMFMGKIILILLQGDRNNLKEYLILQKTSKVDVDSSGKKCKEKMISVLFETKVQTEHKRFLAFEVKEYSALDELQKEFETAGLKKLFSEFVLALVK
+>DECOY_sp|Q9Y3V2|RWDD3_HUMAN RWD domain-containing protein 3 OS=Homo sapiens OX=9606 GN=RWDD3 PE=1 SV=4
+KVLALVFESFLKKLGATEFEKQLEDLASYEKVEFALFRKHETQVKTEFLVSIMKEKCKKGSSDVDVKSTKQLILYEKLNNRDGQLLILIIKGMFMLRGTLRLDSAWKEVIKVYKTKARMHDLHLLTIWLGDDMTTSTSFTCKESGSGTEPQSLIHRLNQQIWLVLEHVMPESLLSEAQELLNEKVTVCQARTLQESNISIGPLCSPYNVPLHFVLELPIDVDMFGEAKTHIRFVTGDTESRSLVEWEHPRCFIAALVSLEEQVPEAM
+>sp|P28702|RXRB_HUMAN Retinoic acid receptor RXR-beta OS=Homo sapiens OX=9606 GN=RXRB PE=1 SV=2
+MSWAARPPFLPQRHAAGQCGPVGVRKEMHCGVASRWRRRRPWLDPAAAAAAAVAGGEQQTPEPEPGEAGRDGMGDSGRDSRSPDSSSPNPLPQGVPPPSPPGPPLPPSTAPSLGGSGAPPPPPMPPPPLGSPFPVISSSMGSPGLPPPAPPGFSGPVSSPQINSTVSLPGGGSGPPEDVKPPVLGVRGLHCPPPPGGPGAGKRLCAICGDRSSGKHYGVYSCEGCKGFFKRTIRKDLTYSCRDNKDCTVDKRQRNRCQYCRYQKCLATGMKREAVQEERQRGKDKDGDGEGAGGAPEEMPVDRILEAELAVEQKSDQGVEGPGGTGGSGSSPNDPVTNICQAADKQLFTLVEWAKRIPHFSSLPLDDQVILLRAGWNELLIASFSHRSIDVRDGILLATGLHVHRNSAHSAGVGAIFDRVLTELVSKMRDMRMDKTELGCLRAIILFNPDAKGLSNPSEVEVLREKVYASLETYCKQKYPEQQGRFAKLLLRLPALRSIGLKCLEHLFFFKLIGDTPIDTFLMEMLEAPHQLA
+>DECOY_sp|P28702|RXRB_HUMAN Retinoic acid receptor RXR-beta OS=Homo sapiens OX=9606 GN=RXRB PE=1 SV=2
+ALQHPAELMEMLFTDIPTDGILKFFFLHELCKLGISRLAPLRLLLKAFRGQQEPYKQKCYTELSAYVKERLVEVESPNSLGKADPNFLIIARLCGLETKDMRMDRMKSVLETLVRDFIAGVGASHASNRHVHLGTALLIGDRVDISRHSFSAILLENWGARLLIVQDDLPLSSFHPIRKAWEVLTFLQKDAAQCINTVPDNPSSGSGGTGGPGEVGQDSKQEVALEAELIRDVPMEEPAGGAGEGDGDKDKGRQREEQVAERKMGTALCKQYRCYQCRNRQRKDVTCDKNDRCSYTLDKRITRKFFGKCGECSYVGYHKGSSRDGCIACLRKGAGPGGPPPPCHLGRVGLVPPKVDEPPGSGGGPLSVTSNIQPSSVPGSFGPPAPPPLGPSGMSSSIVPFPSGLPPPPMPPPPPAGSGGLSPATSPPLPPGPPSPPPVGQPLPNPSSSDPSRSDRGSDGMGDRGAEGPEPEPTQQEGGAVAAAAAAAPDLWPRRRRWRSAVGCHMEKRVGVPGCQGAAHRQPLFPPRAAWSM
+>sp|Q92736|RYR2_HUMAN Ryanodine receptor 2 OS=Homo sapiens OX=9606 GN=RYR2 PE=1 SV=3
+MADGGEGEDEIQFLRTDDEVVLQCTATIHKEQQKLCLAAEGFGNRLCFLESTSNSKNVPPDLSICTFVLEQSLSVRALQEMLANTVEKSEGQVDVEKWKFMMKTAQGGGHRTLLYGHAILLRHSYSGMYLCCLSTSRSSTDKLAFDVGLQEDTTGEACWWTIHPASKQRSEGEKVRVGDDLILVSVSSERYLHLSYGNGSLHVDAAFQQTLWSVAPISSGSEAAQGYLIGGDVLRLLHGHMDECLTVPSGEHGEEQRRTVHYEGGAVSVHARSLWRLETLRVAWSGSHIRWGQPFRLRHVTTGKYLSLMEDKNLLLMDKEKADVKSTAFTFRSSKEKLDVGVRKEVDGMGTSEIKYGDSVCYIQHVDTGLWLTYQSVDVKSVRMGSIQRKAIMHHEGHMDDGISLSRSQHEESRTARVIRSTVFLFNRFIRGLDALSKKAKASTVDLPIESVSLSLQDLIGYFHPPDEHLEHEDKQNRLRALKNRQNLFQEEGMINLVLECIDRLHVYSSAAHFADVAGREAGESWKSILNSLYELLAALIRGNRKNCAQFSGSLDWLISRLERLEASSGILEVLHCVLVESPEALNIIKEGHIKSIISLLDKHGRNHKVLDVLCSLCVCHGVAVRSNQHLICDNLLPGRDLLLQTRLVNHVSSMRPNIFLGVSEGSAQYKKWYYELMVDHTEPFVTAEATHLRVGWASTEGYSPYPGGGEEWGGNGVGDDLFSYGFDGLHLWSGCIARTVSSPNQHLLRTDDVISCCLDLSAPSISFRINGQPVQGMFENFNIDGLFFPVVSFSAGIKVRFLLGGRHGEFKFLPPPGYAPCYEAVLPKEKLKVEHSREYKQERTYTRDLLGPTVSLTQAAFTPIPVDTSQIVLPPHLERIREKLAENIHELWVMNKIELGWQYGPVRDDNKRQHPCLVEFSKLPEQERNYNLQMSLETLKTLLALGCHVGISDEHAEDKVKKMKLPKNYQLTSGYKPAPMDLSFIKLTPSQEAMVDKLAENAHNVWARDRIRQGWTYGIQQDVKNRRNPRLVPYTLLDDRTKKSNKDSLREAVRTLLGYGYNLEAPDQDHAARAEVCSGTGERFRIFRAEKTYAVKAGRWYFEFETVTAGDMRVGWSRPGCQPDQELGSDERAFAFDGFKAQRWHQGNEHYGRSWQAGDVVGCMVDMNEHTMMFTLNGEILLDDSGSELAFKDFDVGDGFIPVCSLGVAQVGRMNFGKDVSTLKYFTICGLQEGYEPFAVNTNRDITMWLSKRLPQFLQVPSNHEHIEVTRIDGTIDSSPCLKVTQKSFGSQNSNTDIMFYRLSMPIECAEVFSKTVAGGLPGAGLFGPKNDLEDYDADSDFEVLMKTAHGHLVPDRVDKDKEATKPEFNNHKDYAQEKPSRLKQRFLLRRTKPDYSTSHSARLTEDVLADDRDDYDFLMQTSTYYYSVRIFPGQEPANVWVGWITSDFHQYDTGFDLDRVRTVTVTLGDEKGKVHESIKRSNCYMVCAGESMSPGQGRNNNGLEIGCVVDAASGLLTFIANGKELSTYYQVEPSTKLFPAVFAQATSPNVFQFELGRIKNVMPLSAGLFKSEHKNPVPQCPPRLHVQFLSHVLWSRMPNQFLKVDVSRISERQGWLVQCLDPLQFMSLHIPEENRSVDILELTEQEELLKFHYHTLRLYSAVCALGNHRVAHALCSHVDEPQLLYAIENKYMPGLLRAGYYDLLIDIHLSSYATARLMMNNEYIVPMTEETKSITLFPDENKKHGLPGIGLSTSLRPRMQFSSPSFVSISNECYQYSPEFPLDILKSKTIQMLTEAVKEGSLHARDPVGGTTEFLFVPLIKLFYTLLIMGIFHNEDLKHILQLIEPSVFKEAATPEEESDTLEKELSVDDAKLQGAGEEEAKGGKRPKEGLLQMKLPEPVKLQMCLLLQYLCDCQVRHRIEAIVAFSDDFVAKLQDNQRFRYNEVMQALNMSAALTARKTKEFRSPPQEQINMLLNFKDDKSECPCPEEIRDQLLDFHEDLMTHCGIELDEDGSLDGNSDLTIRGRLLSLVEKVTYLKKKQAEKPVESDSKKSSTLQQLISETMVRWAQESVIEDPELVRAMFVLLHRQYDGIGGLVRALPKTYTINGVSVEDTINLLASLGQIRSLLSVRMGKEEEKLMIRGLGDIMNNKVFYQHPNLMRALGMHETVMEVMVNVLGGGESKEITFPKMVANCCRFLCYFCRISRQNQKAMFDHLSYLLENSSVGLASPAMRGSTPLDVAAASVMDNNELALALREPDLEKVVRYLAGCGLQSCQMLVSKGYPDIGWNPVEGERYLDFLRFAVFCNGESVEENANVVVRLLIRRPECFGPALRGEGGNGLLAAMEEAIKIAEDPSRDGPSPNSGSSKTLDTEEEEDDTIHMGNAIMTFYSALIDLLGRCAPEMHLIHAGKGEAIRIRSILRSLIPLGDLVGVISIAFQMPTIAKDGNVVEPDMSAGFCPDHKAAMVLFLDRVYGIEVQDFLLHLLEVGFLPDLRAAASLDTAALSATDMALALNRYLCTAVLPLLTRCAPLFAGTEHHASLIDSLLHTVYRLSKGCSLTKAQRDSIEVCLLSICGQLRPSMMQHLLRRLVFDVPLLNEHAKMPLKLLTNHYERCWKYYCLPGGWGNFGAASEEELHLSRKLFWGIFDALSQKKYEQELFKLALPCLSAVAGALPPDYMESNYVSMMEKQSSMDSEGNFNPQPVDTSNITIPEKLEYFINKYAEHSHDKWSMDKLANGWIYGEIYSDSSKVQPLMKPYKLLSEKEKEIYRWPIKESLKTMLAWGWRIERTREGDSMALYNRTRRISQTSQVSVDAAHGYSPRAIDMSNVTLSRDLHAMAEMMAENYHNIWAKKKKMELESKGGGNHPLLVPYDTLTAKEKAKDREKAQDILKFLQINGYAVSRGFKDLELDTPSIEKRFAYSFLQQLIRYVDEAHQYILEFDGGSRGKGEHFPYEQEIKFFAKVVLPLIDQYFKNHRLYFLSAASRPLCSGGHASNKEKEMVTSLFCKLGVLVRHRISLFGNDATSIVNCLHILGQTLDARTVMKTGLESVKSALRAFLDNAAEDLEKTMENLKQGQFTHTRNQPKGVTQIINYTTVALLPMLSSLFEHIGQHQFGEDLILEDVQVSCYRILTSLYALGTSKSIYVERQRSALGECLAAFAGAFPVAFLETHLDKHNIYSIYNTKSSRERAALSLPTNVEDVCPNIPSLEKLMEEIVELAESGIRYTQMPHVMEVILPMLCSYMSRWWEHGPENNPERAEMCCTALNSEHMNTLLGNILKIIYNNLGIDEGAWMKRLAVFSQPIINKVKPQLLKTHFLPLMEKLKKKAATVVSEEDHLKAEARGDMSEAELLILDEFTTLARDLYAFYPLLIRFVDYNRAKWLKEPNPEAEELFRMVAEVFIYWSKSHNFKREEQNFVVQNEINNMSFLITDTKSKMSKAAVSDQERKKMKRKGDRYSMQTSLIVAALKRLLPIGLNICAPGDQELIALAKNRFSLKDTEDEVRDIIRSNIHLQGKLEDPAIRWQMALYKDLPNRTDDTSDPEKTVERVLDIANVLFHLEQKSKRVGRRHYCLVEHPQRSKKAVWHKLLSKQRKRAVVACFRMAPLYNLPRHRAVNLFLQGYEKSWIETEEHYFEDKLIEDLAKPGAEPPEEDEGTKRVDPLHQLILLFSRTALTEKCKLEEDFLYMAYADIMAKSCHDEEDDDGEEEVKSFEEKEMEKQKLLYQQARLHDRGAAEMVLQTISASKGETGPMVAATLKLGIAILNGGNSTVQQKMLDYLKEKKDVGFFQSLAGLMQSCSVLDLNAFERQNKAEGLGMVTEEGSGEKVLQDDEFTCDLFRFLQLLCEGHNSDFQNYLRTQTGNNTTVNIIISTVDYLLRVQESISDFYWYYSGKDVIDEQGQRNFSKAIQVAKQVFNTLTEYIQGPCTGNQQSLAHSRLWDAVVGFLHVFAHMQMKLSQDSSQIELLKELMDLQKDMVVMLLSMLEGNVVNGTIGKQMVDMLVESSNNVEMILKFFDMFLKLKDLTSSDTFKEYDPDGKGVISKRDFHKAMESHKHYTQSETEFLLSCAETDENETLDYEEFVKRFHEPAKDIGFNVAVLLTNLSEHMPNDTRLQTFLELAESVLNYFQPFLGRIEIMGSAKRIERVYFEISESSRTQWEKPQVKESKRQFIFDVVNEGGEKEKMELFVNFCEDTIFEMQLAAQISESDLNERSANKEESEKERPEEQGPRMAFFSILTVRSALFALRYNILTLMRMLSLKSLKKQMKKVKKMTVKDMVTAFFSSYWSIFMTLLHFVASVFRGFFRIICSLLLGGSLVEGAKKIKVAELLANMPDPTQDEVRGDGEEGERKPLEAALPSEDLTDLKELTEESDLLSDIFGLDLKREGGQYKLIPHNPNAGLSDLMSNPVPMPEVQEKFQEQKAKEEEKEEKEETKSEPEKAEGEDGEKEEKAKEDKGKQKLRQLHTHRYGEPEVPESAFWKKIIAYQQKLLNYFARNFYNMRMLALFVAFAINFILLFYKVSTSSVVEGKELPTRSSSENAKVTSLDSSSHRIIAVHYVLEESSGYMEPTLRILAILHTVISFFCIIGYYCLKVPLVIFKREKEVARKLEFDGLYITEQPSEDDIKGQWDRLVINTQSFPNNYWDKFVKRKVMDKYGEFYGRDRISELLGMDKAALDFSDAREKKKPKKDSSLSAVLNSIDVKYQMWKLGVVFTDNSFLYLAWYMTMSVLGHYNNFFFAAHLLDIAMGFKTLRTILSSVTHNGKQLVLTVGLLAVVVYLYTVVAFNFFRKFYNKSEDGDTPDMKCDDMLTCYMFHMYVGVRAGGGIGDEIEDPAGDEYEIYRIIFDITFFFFVIVILLAIIQGLIIDAFGELRDQQEQVKEDMETKCFICGIGNDYFDTVPHGFETHTLQEHNLANYLFFLMYLINKDETEHTGQESYVWKMYQERCWEFFPAGDCFRKQYEDQLN
+>DECOY_sp|Q92736|RYR2_HUMAN Ryanodine receptor 2 OS=Homo sapiens OX=9606 GN=RYR2 PE=1 SV=3
+NLQDEYQKRFCDGAPFFEWCREQYMKWVYSEQGTHETEDKNILYMLFFLYNALNHEQLTHTEFGHPVTDFYDNGIGCIFCKTEMDEKVQEQQDRLEGFADIILGQIIALLIVIVFFFFTIDFIIRYIEYEDGAPDEIEDGIGGGARVGVYMHFMYCTLMDDCKMDPTDGDESKNYFKRFFNFAVVTYLYVVVALLGVTLVLQKGNHTVSSLITRLTKFGMAIDLLHAAFFFNNYHGLVSMTMYWALYLFSNDTFVVGLKWMQYKVDISNLVASLSSDKKPKKKERADSFDLAAKDMGLLESIRDRGYFEGYKDMVKRKVFKDWYNNPFSQTNIVLRDWQGKIDDESPQETIYLGDFELKRAVEKERKFIVLPVKLCYYGIICFFSIVTHLIALIRLTPEMYGSSEELVYHVAIIRHSSSDLSTVKANESSSRTPLEKGEVVSSTSVKYFLLIFNIAFAVFLALMRMNYFNRAFYNLLKQQYAIIKKWFASEPVEPEGYRHTHLQRLKQKGKDEKAKEEKEGDEGEAKEPESKTEEKEEKEEEKAKQEQFKEQVEPMPVPNSMLDSLGANPNHPILKYQGGERKLDLGFIDSLLDSEETLEKLDTLDESPLAAELPKREGEEGDGRVEDQTPDPMNALLEAVKIKKAGEVLSGGLLLSCIIRFFGRFVSAVFHLLTMFISWYSSFFATVMDKVTMKKVKKMQKKLSKLSLMRMLTLINYRLAFLASRVTLISFFAMRPGQEEPREKESEEKNASRENLDSESIQAALQMEFITDECFNVFLEMKEKEGGENVVDFIFQRKSEKVQPKEWQTRSSESIEFYVREIRKASGMIEIRGLFPQFYNLVSEALELFTQLRTDNPMHESLNTLLVAVNFGIDKAPEHFRKVFEEYDLTENEDTEACSLLFETESQTYHKHSEMAKHFDRKSIVGKGDPDYEKFTDSSTLDKLKLFMDFFKLIMEVNNSSEVLMDVMQKGITGNVVNGELMSLLMVVMDKQLDMLEKLLEIQSSDQSLKMQMHAFVHLFGVVADWLRSHALSQQNGTCPGQIYETLTNFVQKAVQIAKSFNRQGQEDIVDKGSYYWYFDSISEQVRLLYDVTSIIINVTTNNGTQTRLYNQFDSNHGECLLQLFRFLDCTFEDDQLVKEGSGEETVMGLGEAKNQREFANLDLVSCSQMLGALSQFFGVDKKEKLYDLMKQQVTSNGGNLIAIGLKLTAAVMPGTEGKSASITQLVMEAAGRDHLRAQQYLLKQKEMEKEEFSKVEEEGDDDEEDHCSKAMIDAYAMYLFDEELKCKETLATRSFLLILQHLPDVRKTGEDEEPPEAGPKALDEILKDEFYHEETEIWSKEYGQLFLNVARHRPLNYLPAMRFCAVVARKRQKSLLKHWVAKKSRQPHEVLCYHRRGVRKSKQELHFLVNAIDLVREVTKEPDSTDDTRNPLDKYLAMQWRIAPDELKGQLHINSRIIDRVEDETDKLSFRNKALAILEQDGPACINLGIPLLRKLAAVILSTQMSYRDGKRKMKKREQDSVAAKSMKSKTDTILFSMNNIENQVVFNQEERKFNHSKSWYIFVEAVMRFLEEAEPNPEKLWKARNYDVFRILLPYFAYLDRALTTFEDLILLEAESMDGRAEAKLHDEESVVTAAKKKLKEMLPLFHTKLLQPKVKNIIPQSFVALRKMWAGEDIGLNNYIIKLINGLLTNMHESNLATCCMEAREPNNEPGHEWWRSMYSCLMPLIVEMVHPMQTYRIGSEALEVIEEMLKELSPINPCVDEVNTPLSLAARERSSKTNYISYINHKDLHTELFAVPFAGAFAALCEGLASRQREVYISKSTGLAYLSTLIRYCSVQVDELILDEGFQHQGIHEFLSSLMPLLAVTTYNIIQTVGKPQNRTHTFQGQKLNEMTKELDEAANDLFARLASKVSELGTKMVTRADLTQGLIHLCNVISTADNGFLSIRHRVLVGLKCFLSTVMEKEKNSAHGGSCLPRSAASLFYLRHNKFYQDILPLVVKAFFKIEQEYPFHEGKGRSGGDFELIYQHAEDVYRILQQLFSYAFRKEISPTDLELDKFGRSVAYGNIQLFKLIDQAKERDKAKEKATLTDYPVLLPHNGGGKSELEMKKKKAWINHYNEAMMEAMAHLDRSLTVNSMDIARPSYGHAADVSVQSTQSIRRTRNYLAMSDGERTREIRWGWALMTKLSEKIPWRYIEKEKESLLKYPKMLPQVKSSDSYIEGYIWGNALKDMSWKDHSHEAYKNIFYELKEPITINSTDVPQPNFNGESDMSSQKEMMSVYNSEMYDPPLAGAVASLCPLALKFLEQEYKKQSLADFIGWFLKRSLHLEEESAAGFNGWGGPLCYYKWCREYHNTLLKLPMKAHENLLPVDFVLRRLLHQMMSPRLQGCISLLCVEISDRQAKTLSCGKSLRYVTHLLSDILSAHHETGAFLPACRTLLPLVATCLYRNLALAMDTASLAATDLSAAARLDPLFGVELLHLLFDQVEIGYVRDLFLVMAAKHDPCFGASMDPEVVNGDKAITPMQFAISIVGVLDGLPILSRLISRIRIAEGKGAHILHMEPACRGLLDILASYFTMIANGMHITDDEEEETDLTKSSGSNPSPGDRSPDEAIKIAEEMAALLGNGGEGRLAPGFCEPRRILLRVVVNANEEVSEGNCFVAFRLFDLYREGEVPNWGIDPYGKSVLMQCSQLGCGALYRVVKELDPERLALALENNDMVSAAAVDLPTSGRMAPSALGVSSNELLYSLHDFMAKQNQRSIRCFYCLFRCCNAVMKPFTIEKSEGGGLVNVMVEMVTEHMGLARMLNPHQYFVKNNMIDGLGRIMLKEEEKGMRVSLLSRIQGLSALLNITDEVSVGNITYTKPLARVLGGIGDYQRHLLVFMARVLEPDEIVSEQAWRVMTESILQQLTSSKKSDSEVPKEAQKKKLYTVKEVLSLLRGRITLDSNGDLSGDEDLEIGCHTMLDEHFDLLQDRIEEPCPCESKDDKFNLLMNIQEQPPSRFEKTKRATLAASMNLAQMVENYRFRQNDQLKAVFDDSFAVIAEIRHRVQCDCLYQLLLCMQLKVPEPLKMQLLGEKPRKGGKAEEEGAGQLKADDVSLEKELTDSEEEPTAAEKFVSPEILQLIHKLDENHFIGMILLTYFLKILPVFLFETTGGVPDRAHLSGEKVAETLMQITKSKLIDLPFEPSYQYCENSISVFSPSSFQMRPRLSTSLGIGPLGHKKNEDPFLTISKTEETMPVIYENNMMLRATAYSSLHIDILLDYYGARLLGPMYKNEIAYLLQPEDVHSCLAHAVRHNGLACVASYLRLTHYHFKLLEEQETLELIDVSRNEEPIHLSMFQLPDLCQVLWGQRESIRSVDVKLFQNPMRSWLVHSLFQVHLRPPCQPVPNKHESKFLGASLPMVNKIRGLEFQFVNPSTAQAFVAPFLKTSPEVQYYTSLEKGNAIFTLLGSAADVVCGIELGNNNRGQGPSMSEGACVMYCNSRKISEHVKGKEDGLTVTVTRVRDLDFGTDYQHFDSTIWGVWVNAPEQGPFIRVSYYYTSTQMLFDYDDRDDALVDETLRASHSTSYDPKTRRLLFRQKLRSPKEQAYDKHNNFEPKTAEKDKDVRDPVLHGHATKMLVEFDSDADYDELDNKPGFLGAGPLGGAVTKSFVEACEIPMSLRYFMIDTNSNQSGFSKQTVKLCPSSDITGDIRTVEIHEHNSPVQLFQPLRKSLWMTIDRNTNVAFPEYGEQLGCITFYKLTSVDKGFNMRGVQAVGLSCVPIFGDGVDFDKFALESGSDDLLIEGNLTFMMTHENMDVMCGVVDGAQWSRGYHENGQHWRQAKFGDFAFAREDSGLEQDPQCGPRSWGVRMDGATVTEFEFYWRGAKVAYTKEARFIRFREGTGSCVEARAAHDQDPAELNYGYGLLTRVAERLSDKNSKKTRDDLLTYPVLRPNRRNKVDQQIGYTWGQRIRDRAWVNHANEALKDVMAEQSPTLKIFSLDMPAPKYGSTLQYNKPLKMKKVKDEAHEDSIGVHCGLALLTKLTELSMQLNYNREQEPLKSFEVLCPHQRKNDDRVPGYQWGLEIKNMVWLEHINEALKERIRELHPPLVIQSTDVPIPTFAAQTLSVTPGLLDRTYTREQKYERSHEVKLKEKPLVAEYCPAYGPPPLFKFEGHRGGLLFRVKIGASFSVVPFFLGDINFNEFMGQVPQGNIRFSISPASLDLCCSIVDDTRLLHQNPSSVTRAICGSWLHLGDFGYSFLDDGVGNGGWEEGGGPYPSYGETSAWGVRLHTAEATVFPETHDVMLEYYWKKYQASGESVGLFINPRMSSVHNVLRTQLLLDRGPLLNDCILHQNSRVAVGHCVCLSCLVDLVKHNRGHKDLLSIISKIHGEKIINLAEPSEVLVCHLVELIGSSAELRELRSILWDLSGSFQACNKRNGRILAALLEYLSNLISKWSEGAERGAVDAFHAASSYVHLRDICELVLNIMGEEQFLNQRNKLARLRNQKDEHELHEDPPHFYGILDQLSLSVSEIPLDVTSAKAKKSLADLGRIFRNFLFVTSRIVRATRSEEHQSRSLSIGDDMHGEHHMIAKRQISGMRVSKVDVSQYTLWLGTDVHQIYCVSDGYKIESTGMGDVEKRVGVDLKEKSSRFTFATSKVDAKEKDMLLLNKDEMLSLYKGTTVHRLRFPQGWRIHSGSWAVRLTELRWLSRAHVSVAGGEYHVTRRQEEGHEGSPVTLCEDMHGHLLRLVDGGILYGQAAESGSSIPAVSWLTQQFAADVHLSGNGYSLHLYRESSVSVLILDDGVRVKEGESRQKSAPHITWWCAEGTTDEQLGVDFALKDTSSRSTSLCCLYMGSYSHRLLIAHGYLLTRHGGGQATKMMFKWKEVDVQGESKEVTNALMEQLARVSLSQELVFTCISLDPPVNKSNSTSELFCLRNGFGEAALCLKQQEKHITATCQLVVEDDTRLFQIEDEGEGGDAM
+>sp|P29377|S100G_HUMAN Protein S100-G OS=Homo sapiens OX=9606 GN=S100G PE=3 SV=2
+MSTKKSPEELKRIFEKYAAKEGDPDQLSKDELKLLIQAEFPSLLKGPNTLDDLFQELDKNGDGEVSFEEFQVLVKKISQ
+>DECOY_sp|P29377|S100G_HUMAN Protein S100-G OS=Homo sapiens OX=9606 GN=S100G PE=3 SV=2
+QSIKKVLVQFEEFSVEGDGNKDLEQFLDDLTNPGKLLSPFEAQILLKLEDKSLQDPDGEKAAYKEFIRKLEEPSKKTSM
+>sp|Q8WXG8|S100Z_HUMAN Protein S100-Z OS=Homo sapiens OX=9606 GN=S100Z PE=1 SV=4
+MPTQLEMAMDTMIRIFHRYSGKERKRFKLSKGELKLLLQRELTEFLSCQKETQLVDKIVQDLDANKDNEVDFNEFVVMVAALTVACNDYFVEQLKKKGK
+>DECOY_sp|Q8WXG8|S100Z_HUMAN Protein S100-Z OS=Homo sapiens OX=9606 GN=S100Z PE=1 SV=4
+KGKKKLQEVFYDNCAVTLAAVMVVFENFDVENDKNADLDQVIKDVLQTEKQCSLFETLERQLLLKLEGKSLKFRKREKGSYRHFIRIMTDMAMELQTPM
+>sp|P23297|S10A1_HUMAN Protein S100-A1 OS=Homo sapiens OX=9606 GN=S100A1 PE=1 SV=2
+MGSELETAMETLINVFHAHSGKEGDKYKLSKKELKELLQTELSGFLDAQKDVDAVDKVMKELDENGDGEVDFQEYVVLVAALTVACNNFFWENS
+>DECOY_sp|P23297|S10A1_HUMAN Protein S100-A1 OS=Homo sapiens OX=9606 GN=S100A1 PE=1 SV=2
+SNEWFFNNCAVTLAAVLVVYEQFDVEGDGNEDLEKMVKDVADVDKQADLFGSLETQLLEKLEKKSLKYKDGEKGSHAHFVNILTEMATELESGM
+>sp|P06702|S10A9_HUMAN Protein S100-A9 OS=Homo sapiens OX=9606 GN=S100A9 PE=1 SV=1
+MTCKMSQLERNIETIINTFHQYSVKLGHPDTLNQGEFKELVRKDLQNFLKKENKNEKVIEHIMEDLDTNADKQLSFEEFIMLMARLTWASHEKMHEGDEGPGHHHKPGLGEGTP
+>DECOY_sp|P06702|S10A9_HUMAN Protein S100-A9 OS=Homo sapiens OX=9606 GN=S100A9 PE=1 SV=1
+PTGEGLGPKHHHGPGEDGEHMKEHSAWTLRAMLMIFEEFSLQKDANTDLDEMIHEIVKENKNEKKLFNQLDKRVLEKFEGQNLTDPHGLKVSYQHFTNIITEINRELQSMKCTM
+>sp|Q9UHA2|S18L2_HUMAN SS18-like protein 2 OS=Homo sapiens OX=9606 GN=SS18L2 PE=3 SV=1
+MSVAFVPDWLRGKAEVNQETIQRLLEENDQLIRCIVEYQNKGRGNECVQYQHVLHRNLIYLATIADASPTSTSKAME
+>DECOY_sp|Q9UHA2|S18L2_HUMAN SS18-like protein 2 OS=Homo sapiens OX=9606 GN=SS18L2 PE=3 SV=1
+EMAKSTSTPSADAITALYILNRHLVHQYQVCENGRGKNQYEVICRILQDNEELLRQITEQNVEAKGRLWDPVFAVSM
+>sp|O60779|S19A2_HUMAN Thiamine transporter 1 OS=Homo sapiens OX=9606 GN=SLC19A2 PE=1 SV=2
+MDVPGPVSRRAAAAAATVLLRTARVRRECWFLPTALLCAYGFFASLRPSEPFLTPYLLGPDKNLTEREVFNEIYPVWTYSYLVLLFPVFLATDYLRYKPVVLLQGLSLIVTWFMLLYAQGLLAIQFLEFFYGIATATEIAYYSYIYSVVDLGMYQKVTSYCRSATLVGFTVGSVLGQILVSVAGWSLFSLNVISLTCVSVAFAVAWFLPMPQKSLFFHHIPSTCQRVNGIKVQNGGIVTDTPASNHLPGWEDIESKIPLNMEEPPVEEPEPKPDRLLVLKVLWNDFLMCYSSRPLLCWSVWWALSTCGYFQVVNYTQGLWEKVMPSRYAAIYNGGVEAVSTLLGAVAVFAVGYIKISWSTWGEMTLSLFSLLIAAAVYIMDTVGNIWVCYASYVVFRIIYMLLITIATFQIAANLSMERYALVFGVNTFIALALQTLLTLIVVDASGLGLEITTQFLIYASYFALIAVVFLASGAVSVMKKCRKLEDPQSSSQVTTS
+>DECOY_sp|O60779|S19A2_HUMAN Thiamine transporter 1 OS=Homo sapiens OX=9606 GN=SLC19A2 PE=1 SV=2
+STTVQSSSQPDELKRCKKMVSVAGSALFVVAILAFYSAYILFQTTIELGLGSADVVILTLLTQLALAIFTNVGFVLAYREMSLNAAIQFTAITILLMYIIRFVVYSAYCVWINGVTDMIYVAAAILLSFLSLTMEGWTSWSIKIYGVAFVAVAGLLTSVAEVGGNYIAAYRSPMVKEWLGQTYNVVQFYGCTSLAWWVSWCLLPRSSYCMLFDNWLVKLVLLRDPKPEPEEVPPEEMNLPIKSEIDEWGPLHNSAPTDTVIGGNQVKIGNVRQCTSPIHHFFLSKQPMPLFWAVAFAVSVCTLSIVNLSFLSWGAVSVLIQGLVSGVTFGVLTASRCYSTVKQYMGLDVVSYIYSYYAIETATAIGYFFELFQIALLGQAYLLMFWTVILSLGQLLVVPKYRLYDTALFVPFLLVLYSYTWVPYIENFVERETLNKDPGLLYPTLFPESPRLSAFFGYACLLATPLFWCERRVRATRLLVTAAAAAARRSVPGPVDM
+>sp|Q4U2R8|S22A6_HUMAN Solute carrier family 22 member 6 OS=Homo sapiens OX=9606 GN=SLC22A6 PE=1 SV=1
+MAFNDLLQQVGGVGRFQQIQVTLVVLPLLLMASHNTLQNFTAAIPTHHCRPPADANLSKNGGLEVWLPRDRQGQPESCLRFTSPQWGLPFLNGTEANGTGATEPCTDGWIYDNSTFPSTIVTEWDLVCSHRALRQLAQSLYMVGVLLGAMVFGYLADRLGRRKVLILNYLQTAVSGTCAAFAPNFPIYCAFRLLSGMALAGISLNCMTLNVEWMPIHTRACVGTLIGYVYSLGQFLLAGVAYAVPHWRHLQLLVSAPFFAFFIYSWFFIESARWHSSSGRLDLTLRALQRVARINGKREEGAKLSMEVLRASLQKELTMGKGQASAMELLRCPTLRHLFLCLSMLWFATSFAYYGLVMDLQGFGVSIYLIQVIFGAVDLPAKLVGFLVINSLGRRPAQMAALLLAGICILLNGVIPQDQSIVRTSLAVLGKGCLAASFNCIFLYTGELYPTMIRQTGMGMGSTMARVGSIVSPLVSMTAELYPSMPLFIYGAVPVAASAVTVLLPETLGQPLPDTVQDLESRWAPTQKEAGIYPRKGKQTRQQQEHQKYMVPLQASAQEKNGL
+>DECOY_sp|Q4U2R8|S22A6_HUMAN Solute carrier family 22 member 6 OS=Homo sapiens OX=9606 GN=SLC22A6 PE=1 SV=1
+LGNKEQASAQLPVMYKQHEQQQRTQKGKRPYIGAEKQTPAWRSELDQVTDPLPQGLTEPLLVTVASAAVPVAGYIFLPMSPYLEATMSVLPSVISGVRAMTSGMGMGTQRIMTPYLEGTYLFICNFSAALCGKGLVALSTRVISQDQPIVGNLLICIGALLLAAMQAPRRGLSNIVLFGVLKAPLDVAGFIVQILYISVGFGQLDMVLGYYAFSTAFWLMSLCLFLHRLTPCRLLEMASAQGKGMTLEKQLSARLVEMSLKAGEERKGNIRAVRQLARLTLDLRGSSSHWRASEIFFWSYIFFAFFPASVLLQLHRWHPVAYAVGALLFQGLSYVYGILTGVCARTHIPMWEVNLTMCNLSIGALAMGSLLRFACYIPFNPAFAACTGSVATQLYNLILVKRRGLRDALYGFVMAGLLVGVMYLSQALQRLARHSCVLDWETVITSPFTSNDYIWGDTCPETAGTGNAETGNLFPLGWQPSTFRLCSEPQGQRDRPLWVELGGNKSLNADAPPRCHHTPIAATFNQLTNHSAMLLLPLVVLTVQIQQFRGVGGVQQLLDNFAM
+>sp|Q63ZE4|S22AA_HUMAN Solute carrier family 22 member 10 OS=Homo sapiens OX=9606 GN=SLC22A10 PE=2 SV=2
+MAFEELLSQVGGLGRFQMLHLVFILPSLMLLIPHILLENFAAAIPGHRCWVHMLDNNTGSGNETGILSEDALLRISIPLDSNLRPEKCRRFVHPQWQLLHLNGTIHSTSEADTEPCVDGWVYDQSYFPSTIVTKWDLVCDYQSLKSVVQFLLLTGMLVGGIIGGHVSDRFGRRFILRWCLLQLAITDTCAAFAPTFPVYCVLRFLAGFSSMIIISNNSLPITEWIRPNSKALVVILSSGALSIGQIILGGLAYVFRDWQTLHVVASVPFFVFFLLSRWLVESARWLIITNKLDEGLKALRKVARTNGIKNAEETLNIEVVRSTMQEELDAAQTKTTVCDLFRNPSMRKRICILVFLRFANTIPFYGTMVNLQHVGSNIFLLQVLYGAVALIVRCLALLTLNHMGRRISQILFMFLVGLSILANTFVPKEMQTLRVALACLGIGCSAATFSSVAVHFIELIPTVLRARASGIDLTASRIGAALAPLLMTLTVFFTTLPWIIYGIFPIIGGLIVFLLPETKNLPLPDTIKDVENQKKNLKEKA
+>DECOY_sp|Q63ZE4|S22AA_HUMAN Solute carrier family 22 member 10 OS=Homo sapiens OX=9606 GN=SLC22A10 PE=2 SV=2
+AKEKLNKKQNEVDKITDPLPLNKTEPLLFVILGGIIPFIGYIIWPLTTFFVTLTMLLPALAAGIRSATLDIGSARARLVTPILEIFHVAVSSFTAASCGIGLCALAVRLTQMEKPVFTNALISLGVLFMFLIQSIRRGMHNLTLLALCRVILAVAGYLVQLLFINSGVHQLNVMTGYFPITNAFRLFVLICIRKRMSPNRFLDCVTTKTQAADLEEQMTSRVVEINLTEEANKIGNTRAVKRLAKLGEDLKNTIILWRASEVLWRSLLFFVFFPVSAVVHLTQWDRFVYALGGLIIQGISLAGSSLIVVLAKSNPRIWETIPLSNNSIIIMSSFGALFRLVCYVPFTPAFAACTDTIALQLLCWRLIFRRGFRDSVHGGIIGGVLMGTLLLFQVVSKLSQYDCVLDWKTVITSPFYSQDYVWGDVCPETDAESTSHITGNLHLLQWQPHVFRRCKEPRLNSDLPISIRLLADESLIGTENGSGTNNDLMHVWCRHGPIAAAFNELLIHPILLMLSPLIFVLHLMQFRGLGGVQSLLEEFAM
+>sp|Q9NSA0|S22AB_HUMAN Solute carrier family 22 member 11 OS=Homo sapiens OX=9606 GN=SLC22A11 PE=1 SV=1
+MAFSKLLEQAGGVGLFQTLQVLTFILPCLMIPSQMLLENFSAAIPGHRCWTHMLDNGSAVSTNMTPKALLTISIPPGPNQGPHQCRRFRQPQWQLLDPNATATSWSEADTEPCVDGWVYDRSVFTSTIVAKWDLVCSSQGLKPLSQSIFMSGILVGSFIWGLLSYRFGRKPMLSWCCLQLAVAGTSTIFAPTFVIYCGLRFVAAFGMAGIFLSSLTLMVEWTTTSRRAVTMTVVGCAFSAGQAALGGLAFALRDWRTLQLAASVPFFAISLISWWLPESARWLIIKGKPDQALQELRKVARINGHKEAKNLTIEVLMSSVKEEVASAKEPRSVLDLFCVPVLRWRSCAMLVVNFSLLISYYGLVFDLQSLGRDIFLLQALFGAVDFLGRATTALLLSFLGRRTIQAGSQAMAGLAILANMLVPQDLQTLRVVFAVLGKGCFGISLTCLTIYKAELFPTPVRMTADGILHTVGRLGAMMGPLILMSRQALPLLPPLLYGVISIASSLVVLFFLPETQGLPLPDTIQDLESQKSTAAQGNRQEAVTVESTSL
+>DECOY_sp|Q9NSA0|S22AB_HUMAN Solute carrier family 22 member 11 OS=Homo sapiens OX=9606 GN=SLC22A11 PE=1 SV=1
+LSTSEVTVAEQRNGQAATSKQSELDQITDPLPLGQTEPLFFLVVLSSAISIVGYLLPPLLPLAQRSMLILPGMMAGLRGVTHLIGDATMRVPTPFLEAKYITLCTLSIGFCGKGLVAFVVRLTQLDQPVLMNALIALGAMAQSGAQITRRGLFSLLLATTARGLFDVAGFLAQLLFIDRGLSQLDFVLGYYSILLSFNVVLMACSRWRLVPVCFLDLVSRPEKASAVEEKVSSMLVEITLNKAEKHGNIRAVKRLEQLAQDPKGKIILWRASEPLWWSILSIAFFPVSAALQLTRWDRLAFALGGLAAQGASFACGVVTMTVARRSTTTWEVMLTLSSLFIGAMGFAAVFRLGCYIVFTPAFITSTGAVALQLCCWSLMPKRGFRYSLLGWIFSGVLIGSMFISQSLPKLGQSSCVLDWKAVITSTFVSRDYVWGDVCPETDAESWSTATANPDLLQWQPQRFRRCQHPGQNPGPPISITLLAKPTMNTSVASGNDLMHTWCRHGPIAASFNELLMQSPIMLCPLIFTLVQLTQFLGVGGAQELLKSFAM
+>sp|Q6T423|S22AP_HUMAN Solute carrier family 22 member 25 OS=Homo sapiens OX=9606 GN=SLC22A25 PE=2 SV=2
+MAFQDLLDQVGGLGRFQILQMVFLIMFNVIVYHQTQLENFAAFILDHRCWVHILDNDTIPDNDPGTLSQDALLRISIPFDSNLRPEKCRRFVHPQWKLIHLNGTFPNTSEPDTEPCVDGWVYDQSSFPSTIVTKWDLVCESQPLNSVAKFLFMAGMMVGGNLYGHLSDRFGRKFVLRWSYLQLAIVGTCAAFAPTILVYCSLRFLAGAATFSIIVNTVLLIVEWITHQFCAMALTLTLCAASIGHITLGSLAFVIRDQCILQLVMSAPCFVFFLFSRWLAESARWLIINNKPEEGLKELRKAAHRNGMKNAEDILTMEVLKSTMKQELEAAQKKHSLCELLRIPNICKRICFLSFVRFASTIPFWGLTLHLQHLGNNVFLLQTLFGAVTLLANCVAPWALNHMSRRLSQMLLMFLLATCLLAIIFVPQEMQTLRVVLATLGVGAASLGITCSTAQENELIPSIIRGRATGITGNFANIGGALASLMMILSIYSRPLPWIIYGVFAILSGLVVLLLPETRNQPLLDSIQDVENEGVNSLAAPQRSSVL
+>DECOY_sp|Q6T423|S22AP_HUMAN Solute carrier family 22 member 25 OS=Homo sapiens OX=9606 GN=SLC22A25 PE=2 SV=2
+LVSSRQPAALSNVGENEVDQISDLLPQNRTEPLLLVVLGSLIAFVGYIIWPLPRSYISLIMMLSALAGGINAFNGTIGTARGRIISPILENEQATSCTIGLSAAGVGLTALVVRLTQMEQPVFIIALLCTALLFMLLMQSLRRSMHNLAWPAVCNALLTVAGFLTQLLFVNNGLHQLHLTLGWFPITSAFRVFSLFCIRKCINPIRLLECLSHKKQAAELEQKMTSKLVEMTLIDEANKMGNRHAAKRLEKLGEEPKNNIILWRASEALWRSFLFFVFCPASMVLQLICQDRIVFALSGLTIHGISAACLTLTLAMACFQHTIWEVILLVTNVIISFTAAGALFRLSCYVLITPAFAACTGVIALQLYSWRLVFKRGFRDSLHGYLNGGVMMGAMFLFKAVSNLPQSECVLDWKTVITSPFSSQDYVWGDVCPETDPESTNPFTGNLHILKWQPHVFRRCKEPRLNSDFPISIRLLADQSLTGPDNDPITDNDLIHVWCRHDLIFAAFNELQTQHYVIVNFMILFVMQLIQFRGLGGVQDLLDQFAM
+>sp|Q6PIS1|S23A3_HUMAN Solute carrier family 23 member 3 OS=Homo sapiens OX=9606 GN=SLC23A3 PE=2 SV=2
+MSRSPLNPSQLRSVGSQDALAPLPPPAPQNPSTHSWDPLCGSLPWGLSCLLALQHVLVMASLLCVSHLLLLCSLSPGGLSYSPSQLLASSFFSCGMSTILQTWMGSRLPLVQAPSLEFLIPALVLTSQKLPRAIQTPGNSSLMLHLCRGPSCHGLGHWNTSLQEVSGAVVVSGLLQGMMGLLGSPGHVFPHCGPLVLAPSLVVAGLSAHREVAQFCFTHWGLALLVILLMVVCSQHLGSCQFHVCPWRRASTSSTHTPLPVFRLLSVLIPVACVWIVSAFVGFSVIPQELSAPTKAPWIWLPHPGEWNWPLLTPRALAAGISMALAASTSSLGCYALCGRLLHLPPPPPHACSRGLSLEGLGSVLAGLLGSPMGTASSFPNVGKVGLIQAGSQQVAHLVGLLCVGLGLSPRLAQLLTTIPLPVVGGVLGVTQAVVLSAGFSSFYLADIDSGRNIFIVGFSIFMALLLPRWFREAPVLFSTGWSPLDVLLHSLLTQPIFLAGLSGFLLENTIPGTQLERGLGQGLPSPFTAQEARMPQKPREKAAQVYRLPFPIQNLCPCIPQPLHCLCPLPEDPGDEEGGSSEPEEMADLLPGSGEPCPESSREGFRSQK
+>DECOY_sp|Q6PIS1|S23A3_HUMAN Solute carrier family 23 member 3 OS=Homo sapiens OX=9606 GN=SLC23A3 PE=2 SV=2
+KQSRFGERSSEPCPEGSGPLLDAMEEPESSGGEEDGPDEPLPCLCHLPQPICPCLNQIPFPLRYVQAAKERPKQPMRAEQATFPSPLGQGLGRELQTGPITNELLFGSLGALFIPQTLLSHLLVDLPSWGTSFLVPAERFWRPLLLAMFISFGVIFINRGSDIDALYFSSFGASLVVAQTVGLVGGVVPLPITTLLQALRPSLGLGVCLLGVLHAVQQSGAQILGVKGVNPFSSATGMPSGLLGALVSGLGELSLGRSCAHPPPPPLHLLRGCLAYCGLSSTSAALAMSIGAALARPTLLPWNWEGPHPLWIWPAKTPASLEQPIVSFGVFASVIWVCAVPILVSLLRFVPLPTHTSSTSARRWPCVHFQCSGLHQSCVVMLLIVLLALGWHTFCFQAVERHASLGAVVLSPALVLPGCHPFVHGPSGLLGMMGQLLGSVVVAGSVEQLSTNWHGLGHCSPGRCLHLMLSSNGPTQIARPLKQSTLVLAPILFELSPAQVLPLRSGMWTQLITSMGCSFFSSALLQSPSYSLGGPSLSCLLLLHSVCLLSAMVLVHQLALLCSLGWPLSGCLPDWSHTSPNQPAPPPLPALADQSGVSRLQSPNLPSRSM
+>sp|Q9Y6Y8|S23IP_HUMAN SEC23-interacting protein OS=Homo sapiens OX=9606 GN=SEC23IP PE=1 SV=1
+MAERKPNGGSGGASTSSSGTNLLFSSSATEFSFNVPFIPVTQASASPASLLLPGEDSTDVGEEDSFLGQTSIHTSAPQTFSYFSQVSSSSDPFGNIGQSPLTTAATSVGQSGFPKPLTALPFTTGSQDVSNAFSPSISKAQPGAPPSSLMGINSYLPSQPSSLPPSYFGNQPQGIPQPGYNPYRHTPGSSRANPYIAPPQLQQCQTPGPPAHPPPSGPPVQMYQMPPGSLPPVPSSVQSPAQQQVPARPGAPSVQVPSPFLLQNQYEPVQPHWFYCKEVEYKQLWMPFSVFDSLNLEEIYNSVQPDPESVVLGTDGGRYDVYLYDRIRKAAYWEEEPAEVRRCTWFYKGDTDSRFIPYTEEFSEKLEAEYKKAVTTNQWHRRLEFPSGETIVMHNPKVIVQFQPSSVPDEWGTTQDGQTRPRVVKRGIDDNLDEIPDGEMPQVDHLVFVVHGIGPVCDLRFRSIIECVDDFRVVSLKLLRTHFKKSLDDGKVSRVEFLPVHWHSSLGGDATGVDRNIKKITLPSIGRFRHFTNETLLDILFYNSPTYCQTIVEKVGMEINHLHALFMSRNPDFKGGVSVAGHSLGSLILFDILSNQKDLNLSKCPGPLAVANGVVKQLHFQEKQMPEEPKLTLDESYDLVVENKEVLTLQETLEALSLSEYFSTFEKEKIDMESLLMCTVDDLKEMGIPLGPRKKIANFVEHKAAKLKKAASEKKAVAATSTKGQEQSAQKTKDMASLPSESNEPKRKLPVGACVSSVCVNYESFEVGAGQVSVAYNSLDFEPEIFFALGSPIAMFLTIRGVDRIDENYSLPTCKGFFNIYHPLDPVAYRLEPMIVPDLDLKAVLIPHHKGRKRLHLELKESLSRMGSDLKQGFISSLKSAWQTLNEFARAHTSSTQLQEELEKVANQIKEEEEKQVVEAEKVVESPDFSKDEDYLGKVGMLNGGRRIDYVLQEKPIESFNEYLFALQSHLCYWESEDTALLLLKEIYRTMNISPEQPQH
+>DECOY_sp|Q9Y6Y8|S23IP_HUMAN SEC23-interacting protein OS=Homo sapiens OX=9606 GN=SEC23IP PE=1 SV=1
+HQPQEPSINMTRYIEKLLLLATDESEWYCLHSQLAFLYENFSEIPKEQLVYDIRRGGNLMGVKGLYDEDKSFDPSEVVKEAEVVQKEEEEKIQNAVKELEEQLQTSSTHARAFENLTQWASKLSSIFGQKLDSGMRSLSEKLELHLRKRGKHHPILVAKLDLDPVIMPELRYAVPDLPHYINFFGKCTPLSYNEDIRDVGRITLFMAIPSGLAFFIEPEFDLSNYAVSVQGAGVEFSEYNVCVSSVCAGVPLKRKPENSESPLSAMDKTKQASQEQGKTSTAAVAKKESAAKKLKAAKHEVFNAIKKRPGLPIGMEKLDDVTCMLLSEMDIKEKEFTSFYESLSLAELTEQLTLVEKNEVVLDYSEDLTLKPEEPMQKEQFHLQKVVGNAVALPGPCKSLNLDKQNSLIDFLILSGLSHGAVSVGGKFDPNRSMFLAHLHNIEMGVKEVITQCYTPSNYFLIDLLTENTFHRFRGISPLTIKKINRDVGTADGGLSSHWHVPLFEVRSVKGDDLSKKFHTRLLKLSVVRFDDVCEIISRFRLDCVPGIGHVVFVLHDVQPMEGDPIEDLNDDIGRKVVRPRTQGDQTTGWEDPVSSPQFQVIVKPNHMVITEGSPFELRRHWQNTTVAKKYEAELKESFEETYPIFRSDTDGKYFWTCRRVEAPEEEWYAAKRIRDYLYVDYRGGDTGLVVSEPDPQVSNYIEELNLSDFVSFPMWLQKYEVEKCYFWHPQVPEYQNQLLFPSPVQVSPAGPRAPVQQQAPSQVSSPVPPLSGPPMQYMQVPPGSPPPHAPPGPTQCQQLQPPAIYPNARSSGPTHRYPNYGPQPIGQPQNGFYSPPLSSPQSPLYSNIGMLSSPPAGPQAKSISPSFANSVDQSGTTFPLATLPKPFGSQGVSTAATTLPSQGINGFPDSSSSVQSFYSFTQPASTHISTQGLFSDEEGVDTSDEGPLLLSAPSASAQTVPIFPVNFSFETASSSFLLNTGSSSTSAGGSGGNPKREAM
+>sp|Q9BSK2|S2533_HUMAN Solute carrier family 25 member 33 OS=Homo sapiens OX=9606 GN=SLC25A33 PE=1 SV=1
+MATGGQQKENTLLHLFAGGCGGTVGAIFTCPLEVIKTRLQSSRLALRTVYYPQVHLGTISGAGMVRPTSVTPGLFQVLKSILEKEGPKSLFRGLGPNLVGVAPSRAVYFACYSKAKEQFNGIFVPNSNIVHIFSAGSAAFITNSLMNPIWMVKTRMQLEQKVRGSKQMNTLQCARYVYQTEGIRGFYRGLTASYAGISETIICFAIYESLKKYLKEAPLASSANGTEKNSTSFFGLMAAAALSKGCASCIAYPHEVIRTRLREEGTKYKSFVQTARLVFREEGYLAFYRGLFAQLIRQIPNTAIVLSTYELIVYLLEDRTQ
+>DECOY_sp|Q9BSK2|S2533_HUMAN Solute carrier family 25 member 33 OS=Homo sapiens OX=9606 GN=SLC25A33 PE=1 SV=1
+QTRDELLYVILEYTSLVIATNPIQRILQAFLGRYFALYGEERFVLRATQVFSKYKTGEERLRTRIVEHPYAICSACGKSLAAAAMLGFFSTSNKETGNASSALPAEKLYKKLSEYIAFCIITESIGAYSATLGRYFGRIGETQYVYRACQLTNMQKSGRVKQELQMRTKVMWIPNMLSNTIFAASGASFIHVINSNPVFIGNFQEKAKSYCAFYVARSPAVGVLNPGLGRFLSKPGEKELISKLVQFLGPTVSTPRVMGAGSITGLHVQPYYVTRLALRSSQLRTKIVELPCTFIAGVTGGCGGAFLHLLTNEKQQGGTAM
+>sp|P40879|S26A3_HUMAN Chloride anion exchanger OS=Homo sapiens OX=9606 GN=SLC26A3 PE=1 SV=1
+MIEPFGNQYIVARPVYSTNAFEENHKKTGRHHKTFLDHLKVCCSCSPQKAKRIVLSLFPIASWLPAYRLKEWLLSDIVSGISTGIVAVLQGLAFALLVDIPPVYGLYASFFPAIIYLFFGTSRHISVGPFPILSMMVGLAVSGAVSKAVPDRNATTLGLPNNSNNSSLLDDERVRVAAAASVTVLSGIIQLAFGILRIGFVVIYLSESLISGFTTAAAVHVLVSQLKFIFQLTVPSHTDPVSIFKVLYSVFSQIEKTNIADLVTALIVLLVVSIVKEINQRFKDKLPVPIPIEFIMTVIAAGVSYGCDFKNRFKVAVVGDMNPGFQPPITPDVETFQNTVGDCFGIAMVAFAVAFSVASVYSLKYDYPLDGNQELIALGLGNIVCGVFRGFAGSTALSRSAVQESTGGKTQIAGLIGAIIVLIVVLAIGFLLAPLQKSVLAALALGNLKGMLMQFAEIGRLWRKDKYDCLIWIMTFIFTIVLGLGLGLAASVAFQLLTIVFRTQFPKCSTLANIGRTNIYKNKKDYYDMYEPEGVKIFRCPSPIYFANIGFFRRKLIDAVGFSPLRILRKRNKALRKIRKLQKQGLLQVTPKGFICTVDTIKDSDEELDNNQIEVLDQPINTTDLPFHIDWNDDLPLNIEVPKISLHSLILDFSAVSFLDVSSVRGLKSILQEFIRIKVDVYIVGTDDDFIEKLNRYEFFDGEVKSSIFFLTIHDAVLHILMKKDYSTSKFNPSQEKDGKIDFTINTNGGLRNRVYEVPVETKF
+>DECOY_sp|P40879|S26A3_HUMAN Chloride anion exchanger OS=Homo sapiens OX=9606 GN=SLC26A3 PE=1 SV=1
+FKTEVPVEYVRNRLGGNTNITFDIKGDKEQSPNFKSTSYDKKMLIHLVADHITLFFISSKVEGDFFEYRNLKEIFDDDTGVIYVDVKIRIFEQLISKLGRVSSVDLFSVASFDLILSHLSIKPVEINLPLDDNWDIHFPLDTTNIPQDLVEIQNNDLEEDSDKITDVTCIFGKPTVQLLGQKQLKRIKRLAKNRKRLIRLPSFGVADILKRRFFGINAFYIPSPCRFIKVGEPEYMDYYDKKNKYINTRGINALTSCKPFQTRFVITLLQFAVSAALGLGLGLVITFIFTMIWILCDYKDKRWLRGIEAFQMLMGKLNGLALAALVSKQLPALLFGIALVVILVIIAGILGAIQTKGGTSEQVASRSLATSGAFGRFVGCVINGLGLAILEQNGDLPYDYKLSYVSAVSFAVAFAVMAIGFCDGVTNQFTEVDPTIPPQFGPNMDGVVAVKFRNKFDCGYSVGAAIVTMIFEIPIPVPLKDKFRQNIEKVISVVLLVILATVLDAINTKEIQSFVSYLVKFISVPDTHSPVTLQFIFKLQSVLVHVAAATTFGSILSESLYIVVFGIRLIGFALQIIGSLVTVSAAAAVRVREDDLLSSNNSNNPLGLTTANRDPVAKSVAGSVALGVMMSLIPFPGVSIHRSTGFFLYIIAPFFSAYLGYVPPIDVLLAFALGQLVAVIGTSIGSVIDSLLWEKLRYAPLWSAIPFLSLVIRKAKQPSCSCCVKLHDLFTKHHRGTKKHNEEFANTSYVPRAVIYQNGFPEIM
+>sp|O43511|S26A4_HUMAN Pendrin OS=Homo sapiens OX=9606 GN=SLC26A4 PE=1 SV=1
+MAAPGGRSEPPQLPEYSCSYMVSRPVYSELAFQQQHERRLQERKTLRESLAKCCSCSRKRAFGVLKTLVPILEWLPKYRVKEWLLSDVISGVSTGLVATLQGMAYALLAAVPVGYGLYSAFFPILTYFIFGTSRHISVGPFPVVSLMVGSVVLSMAPDEHFLVSSSNGTVLNTTMIDTAARDTARVLIASALTLLVGIIQLIFGGLQIGFIVRYLADPLVGGFTTAAAFQVLVSQLKIVLNVSTKNYNGVLSIIYTLVEIFQNIGDTNLADFTAGLLTIVVCMAVKELNDRFRHKIPVPIPIEVIVTIIATAISYGANLEKNYNAGIVKSIPRGFLPPELPPVSLFSEMLAASFSIAVVAYAIAVSVGKVYATKYDYTIDGNQEFIAFGISNIFSGFFSCFVATTALSRTAVQESTGGKTQVAGIISAAIVMIAILALGKLLEPLQKSVLAAVVIANLKGMFMQLCDIPRLWRQNKIDAVIWVFTCIVSIILGLDLGLLAGLIFGLLTVVLRVQFPSWNGLGSIPSTDIYKSTKNYKNIEEPQGVKILRFSSPIFYGNVDGFKKCIKSTVGFDAIRVYNKRLKALRKIQKLIKSGQLRATKNGIISDAVSTNNAFEPDEDIEDLEELDIPTKEIEIQVDWNSELPVKVNVPKVPIHSLVLDCGAISFLDVVGVRSLRVIVKEFQRIDVNVYFASLQDYVIEKLEQCGFFDDNIRKDTFFLTVHDAILYLQNQVKSQEGQGSILETITLIQDCKDTLELIETELTEEELDVQDEAMRTLAS
+>DECOY_sp|O43511|S26A4_HUMAN Pendrin OS=Homo sapiens OX=9606 GN=SLC26A4 PE=1 SV=1
+SALTRMAEDQVDLEEETLETEILELTDKCDQILTITELISGQGEQSKVQNQLYLIADHVTLFFTDKRINDDFFGCQELKEIVYDQLSAFYVNVDIRQFEKVIVRLSRVGVVDLFSIAGCDLVLSHIPVKPVNVKVPLESNWDVQIEIEKTPIDLEELDEIDEDPEFANNTSVADSIIGNKTARLQGSKILKQIKRLAKLRKNYVRIADFGVTSKICKKFGDVNGYFIPSSFRLIKVGQPEEINKYNKTSKYIDTSPISGLGNWSPFQVRLVVTLLGFILGALLGLDLGLIISVICTFVWIVADIKNQRWLRPIDCLQMFMGKLNAIVVAALVSKQLPELLKGLALIAIMVIAASIIGAVQTKGGTSEQVATRSLATTAVFCSFFGSFINSIGFAIFEQNGDITYDYKTAYVKGVSVAIAYAVVAISFSAALMESFLSVPPLEPPLFGRPISKVIGANYNKELNAGYSIATAIITVIVEIPIPVPIKHRFRDNLEKVAMCVVITLLGATFDALNTDGINQFIEVLTYIISLVGNYNKTSVNLVIKLQSVLVQFAAATTFGGVLPDALYRVIFGIQLGGFILQIIGVLLTLASAILVRATDRAATDIMTTNLVTGNSSSVLFHEDPAMSLVVSGVMLSVVPFPGVSIHRSTGFIFYTLIPFFASYLGYGVPVAALLAYAMGQLTAVLGTSVGSIVDSLLWEKVRYKPLWELIPVLTKLVGFARKRSCSCCKALSERLTKREQLRREHQQQFALESYVPRSVMYSCSYEPLQPPESRGGPAAM
+>sp|Q9BXS9|S26A6_HUMAN Solute carrier family 26 member 6 OS=Homo sapiens OX=9606 GN=SLC26A6 PE=1 SV=1
+MGLADASGPRDTQALLSATQAMDLRRRDYHMERPLLNQEHLEELGRWGSAPRTHQWRTWLQCSRARAYALLLQHLPVLVWLPRYPVRDWLLGDLLSGLSVAIMQLPQGLAYALLAGLPPVFGLYSSFYPVFIYFLFGTSRHISVGTFAVMSVMVGSVTESLAPQALNDSMINETARDAARVQVASTLSVLVGLFQVGLGLIHFGFVVTYLSEPLVRGYTTAAAVQVFVSQLKYVFGLHLSSHSGPLSLIYTVLEVCWKLPQSKVGTVVTAAVAGVVLVVVKLLNDKLQQQLPMPIPGELLTLIGATGISYGMGLKHRFEVDVVGNIPAGLVPPVAPNTQLFSKLVGSAFTIAVVGFAIAISLGKIFALRHGYRVDSNQELVALGLSNLIGGIFQCFPVSCSMSRSLVQESTGGNSQVAGAISSLFILLIIVKLGELFHDLPKAVLAAIIIVNLKGMLRQLSDMRSLWKANRADLLIWLVTFTATILLNLDLGLVVAVIFSLLLVVVRTQMPHYSVLGQVPDTDIYRDVAEYSEAKEVRGVKVFRSSATVYFANAEFYSDALKQRCGVDVDFLISQKKKLLKKQEQLKLKQLQKEEKLRKQAASPKGASVSINVNTSLEDMRSNNVEDCKMMQVSSGDKMEDATANGQEDSKAPDGSTLKALGLPQPDFHSLILDLGALSFVDTVCLKSLKNIFHDFREIEVEVYMAACHSPVVSQLEAGHFFDASITKKHLFASVHDAVTFALQHPRPVPDSPVSVTRL
+>DECOY_sp|Q9BXS9|S26A6_HUMAN Solute carrier family 26 member 6 OS=Homo sapiens OX=9606 GN=SLC26A6 PE=1 SV=1
+LRTVSVPSDPVPRPHQLAFTVADHVSAFLHKKTISADFFHGAELQSVVPSHCAAMYVEVEIERFDHFINKLSKLCVTDVFSLAGLDLILSHFDPQPLGLAKLTSGDPAKSDEQGNATADEMKDGSSVQMMKCDEVNNSRMDELSTNVNISVSAGKPSAAQKRLKEEKQLQKLKLQEQKKLLKKKQSILFDVDVGCRQKLADSYFEANAFYVTASSRFVKVGRVEKAESYEAVDRYIDTDPVQGLVSYHPMQTRVVVLLLSFIVAVVLGLDLNLLITATFTVLWILLDARNAKWLSRMDSLQRLMGKLNVIIIAALVAKPLDHFLEGLKVIILLIFLSSIAGAVQSNGGTSEQVLSRSMSCSVPFCQFIGGILNSLGLAVLEQNSDVRYGHRLAFIKGLSIAIAFGVVAITFASGVLKSFLQTNPAVPPVLGAPINGVVDVEFRHKLGMGYSIGTAGILTLLEGPIPMPLQQQLKDNLLKVVVLVVGAVAATVVTGVKSQPLKWCVELVTYILSLPGSHSSLHLGFVYKLQSVFVQVAAATTYGRVLPESLYTVVFGFHILGLGVQFLGVLVSLTSAVQVRAADRATENIMSDNLAQPALSETVSGVMVSMVAFTGVSIHRSTGFLFYIFVPYFSSYLGFVPPLGALLAYALGQPLQMIAVSLGSLLDGLLWDRVPYRPLWVLVPLHQLLLAYARARSCQLWTRWQHTRPASGWRGLEELHEQNLLPREMHYDRRRLDMAQTASLLAQTDRPGSADALGM
+>sp|Q6P1M0|S27A4_HUMAN Long-chain fatty acid transport protein 4 OS=Homo sapiens OX=9606 GN=SLC27A4 PE=1 SV=1
+MLLGASLVGVLLFSKLVLKLPWTQVGFSLLFLYLGSGGWRFIRVFIKTIRRDIFGGLVLLKVKAKVRQCLQERRTVPILFASTVRRHPDKTALIFEGTDTHWTFRQLDEYSSSVANFLQARGLASGDVAAIFMENRNEFVGLWLGMAKLGVEAALINTNLRRDALLHCLTTSRARALVFGSEMASAICEVHASLDPSLSLFCSGSWEPGAVPPSTEHLDPLLKDAPKHLPSCPDKGFTDKLFYIYTSGTTGLPKAAIVVHSRYYRMAALVYYGFRMRPNDIVYDCLPLYHSAGNIVGIGQCLLHGMTVVIRKKFSASRFWDDCIKYNCTIVQYIGELCRYLLNQPPREAENQHQVRMALGNGLRQSIWTNFSSRFHIPQVAEFYGATECNCSLGNFDSQVGACGFNSRILSFVYPIRLVRVNEDTMELIRGPDGVCIPCQPGEPGQLVGRIIQKDPLRRFDGYLNQGANNKKIAKDVFKKGDQAYLTGDVLVMDELGYLYFRDRTGDTFRWKGENVSTTEVEGTLSRLLDMADVAVYGVEVPGTEGRAGMAAVASPTGNCDLERFAQVLEKELPLYARPIFLRLLPELHKTGTYKFQKTELRKEGFDPAIVKDPLFYLDAQKGRYVPLDQEAYSRIQAGEEKL
+>DECOY_sp|Q6P1M0|S27A4_HUMAN Long-chain fatty acid transport protein 4 OS=Homo sapiens OX=9606 GN=SLC27A4 PE=1 SV=1
+LKEEGAQIRSYAEQDLPVYRGKQADLYFLPDKVIAPDFGEKRLETKQFKYTGTKHLEPLLRLFIPRAYLPLEKELVQAFRELDCNGTPSAVAAMGARGETGPVEVGYVAVDAMDLLRSLTGEVETTSVNEGKWRFTDGTRDRFYLYGLEDMVLVDGTLYAQDGKKFVDKAIKKNNAGQNLYGDFRRLPDKQIIRGVLQGPEGPQCPICVGDPGRILEMTDENVRVLRIPYVFSLIRSNFGCAGVQSDFNGLSCNCETAGYFEAVQPIHFRSSFNTWISQRLGNGLAMRVQHQNEAERPPQNLLYRCLEGIYQVITCNYKICDDWFRSASFKKRIVVTMGHLLCQGIGVINGASHYLPLCDYVIDNPRMRFGYYVLAAMRYYRSHVVIAAKPLGTTGSTYIYFLKDTFGKDPCSPLHKPADKLLPDLHETSPPVAGPEWSGSCFLSLSPDLSAHVECIASAMESGFVLARARSTTLCHLLADRRLNTNILAAEVGLKAMGLWLGVFENRNEMFIAAVDGSALGRAQLFNAVSSSYEDLQRFTWHTDTGEFILATKDPHRRVTSAFLIPVTRREQLCQRVKAKVKLLVLGGFIDRRITKIFVRIFRWGGSGLYLFLLSFGVQTWPLKLVLKSFLLVGVLSAGLLM
+>sp|Q9Y2P5|S27A5_HUMAN Bile acyl-CoA synthetase OS=Homo sapiens OX=9606 GN=SLC27A5 PE=1 SV=1
+MGVRQQLALLLLLLLLLWGLGQPVWPVAVALTLRWLLGDPTCCVLLGLAMLARPWLGPWVPHGLSLAAAALALTLLPARLPPGLRWLPADVIFLAKILHLGLKIRGCLSRQPPDTFVDAFERRARAQPGRALLVWTGPGAGSVTFGELDARACQAAWALKAELGDPASLCAGEPTALLVLASQAVPALCMWLGLAKLGCPTAWINPHGRGMPLAHSVLSSGARVLVVDPDLRESLEEILPKLQAENIRCFYLSHTSPTPGVGALGAALDAAPSHPVPADLRAGITWRSPALFIYTSGTTGLPKPAILTHERVLQMSKMLSLSGATADDVVYTVLPLYHVMGLVVGILGCLDLGATCVLAPKFSTSCFWDDCRQHGVTVILYVGELLRYLCNIPQQPEDRTHTVRLAMGNGLRADVWETFQQRFGPIRIWEVYGSTEGNMGLVNYVGRCGALGKMSCLLRMLSPFELVQFDMEAAEPVRDNQGFCIPVGLGEPGLLLTKVVSQQPFVGYRGPRELSERKLVRNVRQSGDVYYNTGDVLAMDREGFLYFRDRLGDTFRWKGENVSTHEVEGVLSQVDFLQQVNVYGVCVPGCEGKVGMAAVQLAPGQTFDGEKLYQHVRAWLPAYATPHFIRIQDAMEVTSTFKLMKTRLVREGFNVGIVVDPLFVLDNRAQSFRPLTAEMYQAVCEGTWRL
+>DECOY_sp|Q9Y2P5|S27A5_HUMAN Bile acyl-CoA synthetase OS=Homo sapiens OX=9606 GN=SLC27A5 PE=1 SV=1
+LRWTGECVAQYMEATLPRFSQARNDLVFLPDVVIGVNFGERVLRTKMLKFTSTVEMADQIRIFHPTAYAPLWARVHQYLKEGDFTQGPALQVAAMGVKGECGPVCVGYVNVQQLFDVQSLVGEVEHTSVNEGKWRFTDGLRDRFYLFGERDMALVDGTNYYVDGSQRVNRVLKRESLERPGRYGVFPQQSVVKTLLLGPEGLGVPICFGQNDRVPEAAEMDFQVLEFPSLMRLLCSMKGLAGCRGVYNVLGMNGETSGYVEWIRIPGFRQQFTEWVDARLGNGMALRVTHTRDEPQQPINCLYRLLEGVYLIVTVGHQRCDDWFCSTSFKPALVCTAGLDLCGLIGVVLGMVHYLPLVTYVVDDATAGSLSLMKSMQLVREHTLIAPKPLGTTGSTYIFLAPSRWTIGARLDAPVPHSPAADLAAGLAGVGPTPSTHSLYFCRINEAQLKPLIEELSERLDPDVVLVRAGSSLVSHALPMGRGHPNIWATPCGLKALGLWMCLAPVAQSALVLLATPEGACLSAPDGLEAKLAWAAQCARADLEGFTVSGAGPGTWVLLARGPQARARREFADVFTDPPQRSLCGRIKLGLHLIKALFIVDAPLWRLGPPLRAPLLTLALAAAALSLGHPVWPGLWPRALMALGLLVCCTPDGLLWRLTLAVAVPWVPQGLGWLLLLLLLLLALQQRVGM
+>sp|Q9HAS3|S28A3_HUMAN Solute carrier family 28 member 3 OS=Homo sapiens OX=9606 GN=SLC28A3 PE=1 SV=1
+MELRSTAAPRAEGYSNVGFQNEENFLENENTSGNNSIRSRAVQSREHTNTKQDEEQVTVEQDSPRNREHMEDDDEEMQQKGCLERRYDTVCGFCRKHKTTLRHIIWGILLAGYLVMVISACVLNFHRALPLFVITVAAIFFVVWDHLMAKYEHRIDEMLSPGRRLLNSHWFWLKWVIWSSLVLAVIFWLAFDTAKLGQQQLVSFGGLIMYIVLLFLFSKYPTRVYWRPVLWGIGLQFLLGLLILRTDPGFIAFDWLGRQVQTFLEYTDAGASFVFGEKYKDHFFAFKVLPIVVFFSTVMSMLYYLGLMQWIIRKVGWIMLVTTGSSPIESVVASGNIFVGQTESPLLVRPYLPYITKSELHAIMTAGFSTIAGSVLGAYISFGVPSSHLLTASVMSAPASLAAAKLFWPETEKPKITLKNAMKMESGDSGNLLEAATQGASSSISLVANIAVNLIAFLALLSFMNSALSWFGNMFDYPQLSFELICSYIFMPFSFMMGVEWQDSFMVARLIGYKTFFNEFVAYEHLSKWIHLRKEGGPKFVNGVQQYISIRSEIIATYALCGFANIGSLGIVIGGLTSMAPSRKRDIASGAVRALIAGTVACFMTACIAGILSSTPVDINCHHVLENAFNSTFPGNTTKVIACCQSLLSSTVAKGPGEVIPGGNHSLYSLKGCCTLLNPSTFNCNGISNTF
+>DECOY_sp|Q9HAS3|S28A3_HUMAN Solute carrier family 28 member 3 OS=Homo sapiens OX=9606 GN=SLC28A3 PE=1 SV=1
+FTNSIGNCNFTSPNLLTCCGKLSYLSHNGGPIVEGPGKAVTSSLLSQCCAIVKTTNGPFTSNFANELVHHCNIDVPTSSLIGAICATMFCAVTGAILARVAGSAIDRKRSPAMSTLGGIVIGLSGINAFGCLAYTAIIESRISIYQQVGNVFKPGGEKRLHIWKSLHEYAVFENFFTKYGILRAVMFSDQWEVGMMFSFPMFIYSCILEFSLQPYDFMNGFWSLASNMFSLLALFAILNVAINAVLSISSSAGQTAAELLNGSDGSEMKMANKLTIKPKETEPWFLKAAALSAPASMVSATLLHSSPVGFSIYAGLVSGAITSFGATMIAHLESKTIYPLYPRVLLPSETQGVFINGSAVVSEIPSSGTTVLMIWGVKRIIWQMLGLYYLMSMVTSFFVVIPLVKFAFFHDKYKEGFVFSAGADTYELFTQVQRGLWDFAIFGPDTRLILLGLLFQLGIGWLVPRWYVRTPYKSFLFLLVIYMILGGFSVLQQQGLKATDFALWFIVALVLSSWIVWKLWFWHSNLLRRGPSLMEDIRHEYKAMLHDWVVFFIAAVTIVFLPLARHFNLVCASIVMVLYGALLIGWIIHRLTTKHKRCFGCVTDYRRELCGKQQMEEDDDEMHERNRPSDQEVTVQEEDQKTNTHERSQVARSRISNNGSTNENELFNEENQFGVNSYGEARPAATSRLEM
+>sp|Q8IUI4|S29P2_HUMAN Putative protein SNX29P2 OS=Homo sapiens OX=9606 GN=SNX29P2 PE=5 SV=2
+MDEERSSMLPTMAAGPNSILFAINIDNKDLNGQSKFAPTVSDLLKESTQNVTLLKESTQGVSSVFREITASSAISILIKPEQETDPLPVVSRNVSADAKCKKERKKKKQVTNIISFDDEEDEQNSGDMFKKTPGAGESSEDNSDHSSVNIMSAFESPFGPNSNGSQSSNSWKIDSLSLNREFGYQKLDVKSIDDEDVDENEDDVYGNSSGRKHRGHSESPEKNGAHSVTQAGVQWHDLSSLQPLPPGFK
+>DECOY_sp|Q8IUI4|S29P2_HUMAN Putative protein SNX29P2 OS=Homo sapiens OX=9606 GN=SNX29P2 PE=5 SV=2
+KFGPPLPQLSSLDHWQVGAQTVSHAGNKEPSESHGRHKRGSSNGYVDDENEDVDEDDISKVDLKQYGFERNLSLSDIKWSNSSQSGNSNPGFPSEFASMINVSSHDSNDESSEGAGPTKKFMDGSNQEDEEDDFSIINTVQKKKKREKKCKADASVNRSVVPLPDTEQEPKILISIASSATIERFVSSVGQTSEKLLTVNQTSEKLLDSVTPAFKSQGNLDKNDINIAFLISNPGAAMTPLMSSREEDM
+>sp|Q5VU36|S31A5_HUMAN Spermatogenesis-associated protein 31A5 OS=Homo sapiens OX=9606 GN=SPATA31A5 PE=3 SV=1
+MENLPFPLKLLSASSLNAPSSTPWVLDIFLTLVFALGFFFLLLPYLSYFRCDDPPSPSPGKRKCPVGRRRRPRGRMKNHSLRAGRECRRGLEETSDLLSQLQSLLGPHLDKGDFGQLSGPDPPGEVGERAPDGASQSSHEPMEDAAPILSPLASPDPQAKHPQDLASTPSPGPMTTSVSSLSASQPPEPSLPLEHPSPEPPALFPHPPHTPDPLACSLPPPKGFTAPPLRDSTLITPSHCDSVAFPLGTVPQSLSPHEDLVASVPAISGLGGSNSHVSASSRWQETARTSCAFNSSVQQDHLSRHPPETCQMEAGSLFLLSSDGQNVVGIQVTETAKVNIWEEKENVGSFTNRMTPEKHLNSLRNLAKSLDAEQDTTNPKPFWNMGENSKQLPGPQKLSDPRLWQESFWKNYSQLFWGLPSLHSESLVANAWVTDRSYTLQSPPFLFNEMSNVCPIQRETTMSPLLFQAQPLSHLGPECQPFISSTPQFRPTPMAQAEAQAHLQSSFPVLSPAFPSLIQNTGVACPASQNKVQALSLPETQHPEWPLLRRQLEGRLALPSRVQKSQDVFSVSTPNLPQESLTSILPENFPVSPELRRQLEQHIKKWIIQHWGNLGRIQESLDLMQLRDESPGTSQAKGKPSPWQSSMSTGEGSKEAQKVKFQLERDPCPHLGQILGETPQNLSRDMKSFPRKVLGVTSEELERNLRKPLRSDSGSDLLRCTERTHIENILKAHMGRNLGQTNEGLIPVCVRRSWLAVNQALPVSNTHVKTSNLAAPKSGKACVNTAQVLSFLEPCTQQGLGAHIVRFWAKHRWGLPLRVLKPIQCFKLEKVSSLSLTQLAGPSSATCESGAGSEVEVDMFLRKPPMASLRKQVLTKASDHMPESLLASSPAWKQFQRAPRGIPSWNDHEPLKPPPAGQEGRWPSKPLTYSLTGSIQQSRSLGAQSSKAGETREAVPQCRVPLETCMLANLQATSEDVHGFEAPGTSKSSLHPRVSVSQDPRKLCLMEEVVNEFEPGMATKSETQPQVCAAVVLLPDGQASVVPHASENLVSQVPQGHLQSMPTGNMRASQELHDLMAARRSKLVHEEPRNPNCQGSCKSQRPMFPPIHKSEKSRKPNLEKHEERLEGLRTPQLTPVRKTEDTHQDEGVQLLPSKKQPPSVSPFGENIKQIFQWIFSKKKSKPAPVTAESQKTVKNRSRVYSSSAEAQGLMTAVGQMLDEKMSLCHARHASKVNQHKQKFQAPVCGFPCNHRHLFYSEHGRILSYAASSQQATLKSQGCPNRDRQIRNQQPLKSVRCNNEQWGLRHPQILHPKKAVSPVSPPQHWPKTSGASSHHHHCPRHCLLWEGI
+>DECOY_sp|Q5VU36|S31A5_HUMAN Spermatogenesis-associated protein 31A5 OS=Homo sapiens OX=9606 GN=SPATA31A5 PE=3 SV=1
+IGEWLLCHRPCHHHHSSAGSTKPWHQPPSVPSVAKKPHLIQPHRLGWQENNCRVSKLPQQNRIQRDRNPCGQSKLTAQQSSAAYSLIRGHESYFLHRHNCPFGCVPAQFKQKHQNVKSAHRAHCLSMKEDLMQGVATMLGQAEASSSYVRSRNKVTKQSEATVPAPKSKKKSFIWQFIQKINEGFPSVSPPQKKSPLLQVGEDQHTDETKRVPTLQPTRLGELREEHKELNPKRSKESKHIPPFMPRQSKCSGQCNPNRPEEHVLKSRRAAMLDHLEQSARMNGTPMSQLHGQPVQSVLNESAHPVVSAQGDPLLVVAACVQPQTESKTAMGPEFENVVEEMLCLKRPDQSVSVRPHLSSKSTGPAEFGHVDESTAQLNALMCTELPVRCQPVAERTEGAKSSQAGLSRSQQISGTLSYTLPKSPWRGEQGAPPPKLPEHDNWSPIGRPARQFQKWAPSSALLSEPMHDSAKTLVQKRLSAMPPKRLFMDVEVESGAGSECTASSPGALQTLSLSSVKELKFCQIPKLVRLPLGWRHKAWFRVIHAGLGQQTCPELFSLVQATNVCAKGSKPAALNSTKVHTNSVPLAQNVALWSRRVCVPILGENTQGLNRGMHAKLINEIHTRETCRLLDSGSDSRLPKRLNRELEESTVGLVKRPFSKMDRSLNQPTEGLIQGLHPCPDRELQFKVKQAEKSGEGTSMSSQWPSPKGKAQSTGPSEDRLQMLDLSEQIRGLNGWHQIIWKKIHQELQRRLEPSVPFNEPLISTLSEQPLNPTSVSFVDQSKQVRSPLALRGELQRRLLPWEPHQTEPLSLAQVKNQSAPCAVGTNQILSPFAPSLVPFSSQLHAQAEAQAMPTPRFQPTSSIFPQCEPGLHSLPQAQFLLPSMTTERQIPCVNSMENFLFPPSQLTYSRDTVWANAVLSESHLSPLGWFLQSYNKWFSEQWLRPDSLKQPGPLQKSNEGMNWFPKPNTTDQEADLSKALNRLSNLHKEPTMRNTFSGVNEKEEWINVKATETVQIGVVNQGDSSLLFLSGAEMQCTEPPHRSLHDQQVSSNFACSTRATEQWRSSASVHSNSGGLGSIAPVSAVLDEHPSLSQPVTGLPFAVSDCHSPTILTSDRLPPATFGKPPPLSCALPDPTHPPHPFLAPPEPSPHELPLSPEPPQSASLSSVSTTMPGPSPTSALDQPHKAQPDPSALPSLIPAADEMPEHSSQSAGDPAREGVEGPPDPGSLQGFDGKDLHPGLLSQLQSLLDSTEELGRRCERGARLSHNKMRGRPRRRRGVPCKRKGPSPSPPDDCRFYSLYPLLLFFFGLAFVLTLFIDLVWPTSSPANLSSASLLKLPFPLNEM
+>sp|P0C874|S31D3_HUMAN Spermatogenesis-associated protein 31D3 OS=Homo sapiens OX=9606 GN=SPATA31D3 PE=2 SV=1
+MENILCFLNSYTETGLSPDSHCLDIDLNFICLSGLGLFILYLFYMVLTLYSSPTEKNNDTQKHQGRARRKRKSVTFKDRKSLQKEAEEERKLHSFLKSFGPPVSCSPLGQHHDTTLFRRLLCPDPVCRVCNRATADIQRLLSWESLKDAAPSVSPLASSASGAESSFTLASTPSATTPEDLILSSRPKPSPPPPLILSPDLITTLADLFSPSPLRDPLPPQPVSPLDSKFPIDHSPPQQLPFPLLPPHHIERVEPSLQPEASLSLNTIFSFGSTLCQDISQAVNRTDSCARHHGPPTPSALPPEDCTVTQSKSNLTVLKTFPEMLSLGGSGGSSTSAPTTKGIDHSCPASSEFSWWQPHAKDSFSSNFVPSDFMEELLTLHSSEASLGGHSVANIIQPVNISFLSHDIPALLERQVKRRGDFLMWKENGKKPGSFPTQLRPNYQLNSSRNMLTSTAVKHDLAESFPFWASKGKLEWQHIHQQPPYSKCFEDHLEQKYVQLFWGLPSLHSESLHPTVFVQHGRSSMFVFFNGITNTSMSHESPVLPPPQPLFLPSTQPLPLPQTLPRGQSLHLTQVKSLAQPQSPFPALPPSPLFLIRVCGVCFHRPQNEARSLMPSEINHLEWNVLQKVQESVWGLPSVVQKSQEDFCPPAPNPVLVRKSFKVHVPISIIPGDFPLSSEVRKKLEQHIRKRLIQRRWGLPRRIHESLSLLRPQNKISELSVSESIHGPLNISLVEGQRCNVLKKSASSFPRSFHERSSNMLSMENVGNYQGCSQETAPKNHLLHDPETSSEEDLRSNSERDLGTHMMHLSGNDSGVRLGQKQLENALTVHLSKKFEEINEGRMPGTVHSSWHSVKQTICLPEKSHSQIKHRNLAALVSEDHRVDTSQEMSFLSSNKQKMLEAHIKSFHMKPILNLSI
+>DECOY_sp|P0C874|S31D3_HUMAN Spermatogenesis-associated protein 31D3 OS=Homo sapiens OX=9606 GN=SPATA31D3 PE=2 SV=1
+ISLNLIPKMHFSKIHAELMKQKNSSLFSMEQSTDVRHDESVLAALNRHKIQSHSKEPLCITQKVSHWSSHVTGPMRGENIEEFKKSLHVTLANELQKQGLRVGSDNGSLHMMHTGLDRESNSRLDEESSTEPDHLLHNKPATEQSCGQYNGVNEMSLMNSSREHFSRPFSSASKKLVNCRQGEVLSINLPGHISESVSLESIKNQPRLLSLSEHIRRPLGWRRQILRKRIHQELKKRVESSLPFDGPIISIPVHVKFSKRVLVPNPAPPCFDEQSKQVVSPLGWVSEQVKQLVNWELHNIESPMLSRAENQPRHFCVGCVRILFLPSPPLAPFPSQPQALSKVQTLHLSQGRPLTQPLPLPQTSPLFLPQPPPLVPSEHSMSTNTIGNFFVFMSSRGHQVFVTPHLSESHLSPLGWFLQVYKQELHDEFCKSYPPQQHIHQWELKGKSAWFPFSEALDHKVATSTLMNRSSNLQYNPRLQTPFSGPKKGNEKWMLFDGRRKVQRELLAPIDHSLFSINVPQIINAVSHGGLSAESSHLTLLEEMFDSPVFNSSFSDKAHPQWWSFESSAPCSHDIGKTTPASTSSGGSGGLSLMEPFTKLVTLNSKSQTVTCDEPPLASPTPPGHHRACSDTRNVAQSIDQCLTSGFSFITNLSLSAEPQLSPEVREIHHPPLLPFPLQQPPSHDIPFKSDLPSVPQPPLPDRLPSPSFLDALTTILDPSLILPPPPSPKPRSSLILDEPTTASPTSALTFSSEAGSASSALPSVSPAADKLSEWSLLRQIDATARNCVRCVPDPCLLRRFLTTDHHQGLPSCSVPPGFSKLFSHLKREEEAEKQLSKRDKFTVSKRKRRARGQHKQTDNNKETPSSYLTLVMYFLYLIFLGLGSLCIFNLDIDLCHSDPSLGTETYSNLFCLINEM
+>sp|P0CK96|S352B_HUMAN Solute carrier family 35 member E2B OS=Homo sapiens OX=9606 GN=SLC35E2B PE=2 SV=1
+MSSSVKTPALEELVPGSEEKPKGRSPLSWGSLFGHRSEKIVFAKSDGGTDENVLTVTITETTVIESDLGVWSSRALLYLTLWFFFSFCTLFLNKYILSLLGGEPSMLGAVQMLSTTVIGCVKTLVPCCLYQHKARLSYPPNFLMTMLFVGLMRFATVVLGLVSLKNVAVSFAETVKSSAPIFTVIMSRMILGEYTGLLVNLSLIPVMGGLALCTATEISFNVLGFSAALSTNIMDCLQNVFSKKLLSGDKYRFSAPELQFYTSAAAVAMLVPARVFFTDVPVIGRSGKSFSYNQDVVLLLLTDGVLFHLQSVTAYALMGKISPVTFSVASTVKHALSIWLSVIVFGNKITSLSAVGTALVTVGVLLYNKARQHQQEALQSLAAATGRAPDDTVEPLLPQDPRQHP
+>DECOY_sp|P0CK96|S352B_HUMAN Solute carrier family 35 member E2B OS=Homo sapiens OX=9606 GN=SLC35E2B PE=2 SV=1
+PHQRPDQPLLPEVTDDPARGTAAALSQLAEQQHQRAKNYLLVGVTVLATGVASLSTIKNGFVIVSLWISLAHKVTSAVSFTVPSIKGMLAYATVSQLHFLVGDTLLLLVVDQNYSFSKGSRGIVPVDTFFVRAPVLMAVAAASTYFQLEPASFRYKDGSLLKKSFVNQLCDMINTSLAASFGLVNFSIETATCLALGGMVPILSLNVLLGTYEGLIMRSMIVTFIPASSKVTEAFSVAVNKLSVLGLVVTAFRMLGVFLMTMLFNPPYSLRAKHQYLCCPVLTKVCGIVTTSLMQVAGLMSPEGGLLSLIYKNLFLTCFSFFFWLTLYLLARSSWVGLDSEIVTTETITVTLVNEDTGGDSKAFVIKESRHGFLSGWSLPSRGKPKEESGPVLEELAPTKVSSSM
+>sp|Q9Y2D2|S35A3_HUMAN UDP-N-acetylglucosamine transporter OS=Homo sapiens OX=9606 GN=SLC35A3 PE=1 SV=1
+MFANLKYVSLGILVFQTTSLVLTMRYSRTLKEEGPRYLSSTAVVVAELLKIMACILLVYKDSKCSLRALNRVLHDEILNKPMETLKLAIPSGIYTLQNNLLYVALSNLDAATYQVTYQLKILTTALFSVSMLSKKLGVYQWLSLVILMTGVAFVQWPSDSQLDSKELSAGSQFVGLMAVLTACFSSGFAGVYFEKILKETKQSVWIRNIQLGFFGSIFGLMGVYIYDGELVSKNGFFQGYNRLTWIVVVLQALGGLVIAAVIKYADNILKGFATSLSIILSTLISYFWLQDFVPTSVFFLGAILVITATFLYGYDPKPAGNPTKA
+>DECOY_sp|Q9Y2D2|S35A3_HUMAN UDP-N-acetylglucosamine transporter OS=Homo sapiens OX=9606 GN=SLC35A3 PE=1 SV=1
+AKTPNGAPKPDYGYLFTATIVLIAGLFFVSTPVFDQLWFYSILTSLIISLSTAFGKLINDAYKIVAAIVLGGLAQLVVVIWTLRNYGQFFGNKSVLEGDYIYVGMLGFISGFFGLQINRIWVSQKTEKLIKEFYVGAFGSSFCATLVAMLGVFQSGASLEKSDLQSDSPWQVFAVGTMLIVLSLWQYVGLKKSLMSVSFLATTLIKLQYTVQYTAADLNSLAVYLLNNQLTYIGSPIALKLTEMPKNLIEDHLVRNLARLSCKSDKYVLLICAMIKLLEAVVVATSSLYRPGEEKLTRSYRMTLVLSTTQFVLIGLSVYKLNAFM
+>sp|Q9GZN6|S6A16_HUMAN Orphan sodium- and chloride-dependent neurotransmitter transporter NTT5 OS=Homo sapiens OX=9606 GN=SLC6A16 PE=2 SV=1
+MKTEAQPSTSLLANTSWTGTVISDSVPGSQTWEDKGSLTRSATSWTSEAQVSAARVAEAQARTSQPKQISVLEALTASALNQKPTHEKVQMTEKKESEVLLARPFWSSKTEYILAQVGFSMKPSCLWRFAYLWLNSGGCSFAAIYIFMLFLVGVPLLFLEMAAGQSMRQGGMGVWKIIAPWIGGVGYSSFMVCFILGLYFNVVNSWIIFYMSQSFQFPVPWEKCPLTMNSSGFDPECERTTPSIYFWYQQALKASDRIEDGGSPVYSLVLPFFLCWCLVGAFMINGLKSTGKVIYVLVLLPCFIIVGFFIRTLLLEGAKFGLQQLVVAKISDVYNMSVWSLAGGQVLSNTGIGLGSVASLASYMPQSNNCLSDAFLVSVINLLTLLVFTSFNFCVLGFWATVITHRCCERNAEILLKLINLGKLPPDAKPPVNLLYNPTSIYNAWLSGLPQHIKSMVLREVTECNIETQFLKASEGPKFAFLSFVEAMSFLPPSVFWSFIFFLMLLAMGLSSAIGIMQGIITPLQDTFSFFRKHTKLLIVGVFLLMFVCGLFFTRPSGSYFIRLLSDYWIVFPIIVVVVFETMAVSWAYGARRFLADLTILLGHPISPIFGWLWPHLCPVVLLIIFVTMMVHLCMKPITYMSWDSSTSKEVLRPYPPWALLLMITLFAIVILPIPAYFVYCRIHRIPFRPKSGDGPMTASTSLPLSHQLTPSKEVQKEEILQVDETKYPSTCNVTS
+>DECOY_sp|Q9GZN6|S6A16_HUMAN Orphan sodium- and chloride-dependent neurotransmitter transporter NTT5 OS=Homo sapiens OX=9606 GN=SLC6A16 PE=2 SV=1
+STVNCTSPYKTEDVQLIEEKQVEKSPTLQHSLPLSTSATMPGDGSKPRFPIRHIRCYVFYAPIPLIVIAFLTIMLLLAWPPYPRLVEKSTSSDWSMYTIPKMCLHVMMTVFIILLVVPCLHPWLWGFIPSIPHGLLITLDALFRRAGYAWSVAMTEFVVVVIIPFVIWYDSLLRIFYSGSPRTFFLGCVFMLLFVGVILLKTHKRFFSFTDQLPTIIGQMIGIASSLGMALLMLFFIFSWFVSPPLFSMAEVFSLFAFKPGESAKLFQTEINCETVERLVMSKIHQPLGSLWANYISTPNYLLNVPPKADPPLKGLNILKLLIEANRECCRHTIVTAWFGLVCFNFSTFVLLTLLNIVSVLFADSLCNNSQPMYSALSAVSGLGIGTNSLVQGGALSWVSMNYVDSIKAVVLQQLGFKAGELLLTRIFFGVIIFCPLLVLVYIVKGTSKLGNIMFAGVLCWCLFFPLVLSYVPSGGDEIRDSAKLAQQYWFYISPTTRECEPDFGSSNMTLPCKEWPVPFQFSQSMYFIIWSNVVNFYLGLIFCVMFSSYGVGGIWPAIIKWVGMGGQRMSQGAAMELFLLPVGVLFLMFIYIAAFSCGGSNLWLYAFRWLCSPKMSFGVQALIYETKSSWFPRALLVESEKKETMQVKEHTPKQNLASATLAELVSIQKPQSTRAQAEAVRAASVQAESTWSTASRTLSGKDEWTQSGPVSDSIVTGTWSTNALLSTSPQAETKM
+>sp|Q9NP91|S6A20_HUMAN Sodium- and chloride-dependent transporter XTRP3 OS=Homo sapiens OX=9606 GN=SLC6A20 PE=1 SV=1
+MEKARPLWANSLQFVFACISYAVGLGNVWRFPYLCQMYGGGSFLVPYIIMLIVEGMPLLYLELAVGQRMRQGSIGAWRTISPYLSGVGVASVVVSFFLSMYYNVINAWAFWYLFHSFQDPLPWSVCPLNGNHTGYDEECEKASSTQYFWYRKTLNISPSLQENGGVQWEPALCLLLAWLVVYLCILRGTESTGKVVYFTASLPYCVLIIYLIRGLTLHGATNGLMYMFTPKIEQLANPKAWINAATQIFFSLGLGFGSLIAFASYNEPSNNCQKHAIIVSLINSFTSIFASIVTFSIYGFKATFNYENCLKKVSLLLTNTFDLEDGFLTASNLEQVKGYLASAYPSKYSEMFPQIKNCSLESELDTAVQGTGLAFIVYTEAIKNMEVSQLWSVLYFFMLLMLGIGSMLGNTAAILTPLTDSKIISSHLPKEAISGLVCLVNCAIGMVFTMEAGNYWFDIFNDYAATLSLLLIVLVETIAVCYVYGLRRFESDLKAMTGRAVSWYWKVMWAGVSPLLIVSLFVFYLSDYILTGTLKYQAWDASQGQLVTKDYPAYALAVIGLLVASSTMCIPLAALGTFVQRRLKRGDADPVA
+>DECOY_sp|Q9NP91|S6A20_HUMAN Sodium- and chloride-dependent transporter XTRP3 OS=Homo sapiens OX=9606 GN=SLC6A20 PE=1 SV=1
+AVPDADGRKLRRQVFTGLAALPICMTSSAVLLGIVALAYAPYDKTVLQGQSADWAQYKLTGTLIYDSLYFVFLSVILLPSVGAWMVKWYWSVARGTMAKLDSEFRRLGYVYCVAITEVLVILLLSLTAAYDNFIDFWYNGAEMTFVMGIACNVLCVLGSIAEKPLHSSIIKSDTLPTLIAATNGLMSGIGLMLLMFFYLVSWLQSVEMNKIAETYVIFALGTGQVATDLESELSCNKIQPFMESYKSPYASALYGKVQELNSATLFGDELDFTNTLLLSVKKLCNEYNFTAKFGYISFTVISAFISTFSNILSVIIAHKQCNNSPENYSAFAILSGFGLGLSFFIQTAANIWAKPNALQEIKPTFMYMLGNTAGHLTLGRILYIILVCYPLSATFYVVKGTSETGRLICLYVVLWALLLCLAPEWQVGGNEQLSPSINLTKRYWFYQTSSAKECEEDYGTHNGNLPCVSWPLPDQFSHFLYWFAWANIVNYYMSLFFSVVVSAVGVGSLYPSITRWAGISGQRMRQGVALELYLLPMGEVILMIIYPVLFSGGGYMQCLYPFRWVNGLGVAYSICAFVFQLSNAWLPRAKEM
+>sp|Q8N1H7|S6OS1_HUMAN Protein SIX6OS1 OS=Homo sapiens OX=9606 GN=SIX6OS1 PE=2 SV=2
+MNDSLFVSLDRLLLEFVFQYEQDISTKEEMIQRINKCCEDIKENKVTICRIHETINATDEEIDHYCKHSEEIKDNCRNWKPTCDVFRKHEDYMQDQFTVYQGTVEKDKEMYHDYICQYKEVLKQYQLKYSETPFSREYYEKKREHEEIQSRVLACTEQLKMNETIFMKFRVPAPFPSLTKWTLNIVNLRCETQDILKHASNLTKSSSELKKEVDEMEIEINYLNQQISRHNETKALSETLEEKNKNTENRKELKERIFGKDEHVLTLNKTQSSQLFLPYESQKLVRPIKMHSSEPRVADIKEESSAKQSKLANIDFRQKENDTQIFNDSAVDNHSKCSHITTITSSQKFMQVRLLTPQKQSNSNQWSEKGDKDAEYGDKGTVRQVRESKCTSQAIYTEHFGKSVENDSDEVEERAENFPRTSEIPIFLGTPKAVKAPESLEKIKFPKTPPFEINRNRNAVPEVQTEKESPGLSFLMSYTSRSPGLNLFDSSVFDTEISSDQFNEHYSARNLNPLSSEQEIGNLLEKPEGEDGFTFSFPSDTSTHTFGAGKDDFSFPFSFGQGQNSIPSSSLKGFSSSSQNTTQFTFF
+>DECOY_sp|Q8N1H7|S6OS1_HUMAN Protein SIX6OS1 OS=Homo sapiens OX=9606 GN=SIX6OS1 PE=2 SV=2
+FFTFQTTNQSSSSFGKLSSSPISNQGQGFSFPFSFDDKGAGFTHTSTDSPFSFTFGDEGEPKELLNGIEQESSLPNLNRASYHENFQDSSIETDFVSSDFLNLGPSRSTYSMLFSLGPSEKETQVEPVANRNRNIEFPPTKPFKIKELSEPAKVAKPTGLFIPIESTRPFNEAREEVEDSDNEVSKGFHETYIAQSTCKSERVQRVTGKDGYEADKDGKESWQNSNSQKQPTLLRVQMFKQSSTITTIHSCKSHNDVASDNFIQTDNEKQRFDINALKSQKASSEEKIDAVRPESSHMKIPRVLKQSEYPLFLQSSQTKNLTLVHEDKGFIREKLEKRNETNKNKEELTESLAKTENHRSIQQNLYNIEIEMEDVEKKLESSSKTLNSAHKLIDQTECRLNVINLTWKTLSPFPAPVRFKMFITENMKLQETCALVRSQIEEHERKKEYYERSFPTESYKLQYQKLVEKYQCIYDHYMEKDKEVTGQYVTFQDQMYDEHKRFVDCTPKWNRCNDKIEESHKCYHDIEEDTANITEHIRCITVKNEKIDECCKNIRQIMEEKTSIDQEYQFVFELLLRDLSVFLSDNM
+>sp|Q6SPF0|SAMD1_HUMAN Atherin OS=Homo sapiens OX=9606 GN=SAMD1 PE=1 SV=1
+MAGPPALPPPETAAAATTAAAASSSAASPHYQEWILDTIDSLRSRKARPDLERICRMVRRRHGPEPERTRAELEKLIQQRAVLRVSYKGSISYRNAARVQPPRRGATPPAPPRAPRGAPAAAAAAAPPPTPAPPPPPAPVAAAAPARAPRAAAAAATAPPSPGPAQPGPRAQRAAPLAAPPPAPAAPPAVAPPAGPRRAPPPAVAAREPPLPPPPQPPAPPQQQQPPPPQPQPPPEGGAVRAGGAARPVSLREVVRYLGGSGGAGGRLTRGRVQGLLEEEAAARGRLERTRLGALALPRGDRPGRAPPAASARPSRSKRGGEERVLEKEEEEDDDEDEDEEDDVSEGSEVPESDRPAGAQHHQLNGERGPQSAKERVKEWTPCGPHQGQDEGRGPAPGSGTRQVFSMAAMNKEGGTASVATGPDSPSPVPLPPGKPALPGADGTPFGCPPGRKEKPSDPVEWTVMDVVEYFTEAGFPEQATAFQEQEIDGKSLLLMQRTDVLTGLSIRLGPALKIYEHHIKVLQQGHFEDDDPDGFLG
+>DECOY_sp|Q6SPF0|SAMD1_HUMAN Atherin OS=Homo sapiens OX=9606 GN=SAMD1 PE=1 SV=1
+GLFGDPDDDEFHGQQLVKIHHEYIKLAPGLRISLGTLVDTRQMLLLSKGDIEQEQFATAQEPFGAETFYEVVDMVTWEVPDSPKEKRGPPCGFPTGDAGPLAPKGPPLPVPSPSDPGTAVSATGGEKNMAAMSFVQRTGSGPAPGRGEDQGQHPGCPTWEKVREKASQPGREGNLQHHQAGAPRDSEPVESGESVDDEEDEDEDDDEEEEKELVREEGGRKSRSPRASAAPPARGPRDGRPLALAGLRTRELRGRAAAEEELLGQVRGRTLRGGAGGSGGLYRVVERLSVPRAAGGARVAGGEPPPQPQPPPPQQQQPPAPPQPPPPLPPERAAVAPPPARRPGAPPAVAPPAAPAPPPAALPAARQARPGPQAPGPSPPATAAAAAARPARAPAAAAVPAPPPPPAPTPPPAAAAAAAPAGRPARPPAPPTAGRRPPQVRAANRYSISGKYSVRLVARQQILKELEARTREPEPGHRRRVMRCIRELDPRAKRSRLSDITDLIWEQYHPSAASSSAAAATTAAAATEPPPLAPPGAM
+>sp|Q7Z3H4|SAMD7_HUMAN Sterile alpha motif domain-containing protein 7 OS=Homo sapiens OX=9606 GN=SAMD7 PE=1 SV=1
+MAVNPLLTPTGQQTIPLIPSPFGPPTVDRDVLPSTVAPTDPRQFCVPSQFGSSVLPNTNMANVLSSRIYPGWGILPPESIKAVARRNEMIQRHHTARTEMEMYAIYQQRRMEKINPKGLAGLGIPFLYGSSVPAAPAAYHGRSMLPAGDLHFHRSTLRNLQGNPMLAATAPHFEESWGQRCRRLRKNTGNQKALDSDAESSKSQAEEKILGQTHAVPYEEDHYAKDPDIEAPSNQKSSETNEKPTTALANTCGELEPTHRKPWGSHTTTLKAKAWDDGKEEASEQIFATCDEKNGVCPPVPRPSLPGTHALVTIGGNLSLDEDIQKWTVDDVHSFIRSLPGCSDYAQVFKDHAIDGETLPLLTEEHLRGTMGLKLGPALKIQSQVSQHVGSMFYKKTLSFPIRQAFDQPADTSPLLDPNSWSDTMNIFCPQDTIIPKGIERGSMRN
+>DECOY_sp|Q7Z3H4|SAMD7_HUMAN Sterile alpha motif domain-containing protein 7 OS=Homo sapiens OX=9606 GN=SAMD7 PE=1 SV=1
+NRMSGREIGKPIITDQPCFINMTDSWSNPDLLPSTDAPQDFAQRIPFSLTKKYFMSGVHQSVQSQIKLAPGLKLGMTGRLHEETLLPLTEGDIAHDKFVQAYDSCGPLSRIFSHVDDVTWKQIDEDLSLNGGITVLAHTGPLSPRPVPPCVGNKEDCTAFIQESAEEKGDDWAKAKLTTTHSGWPKRHTPELEGCTNALATTPKENTESSKQNSPAEIDPDKAYHDEEYPVAHTQGLIKEEAQSKSSEADSDLAKQNGTNKRLRRCRQGWSEEFHPATAALMPNGQLNRLTSRHFHLDGAPLMSRGHYAAPAAPVSSGYLFPIGLGALGKPNIKEMRRQQYIAYMEMETRATHHRQIMENRRAVAKISEPPLIGWGPYIRSSLVNAMNTNPLVSSGFQSPVCFQRPDTPAVTSPLVDRDVTPPGFPSPILPITQQGTPTLLPNVAM
+>sp|Q5K651|SAMD9_HUMAN Sterile alpha motif domain-containing protein 9 OS=Homo sapiens OX=9606 GN=SAMD9 PE=1 SV=1
+MAKQLNLPENTDDWTKEDVNQWLESHKIDQKHREILTEQDVNGAVLKWLKKEHLVDMGITHGPAIQIEELFKELRKTAIEDSIQTSKMGKPSKNAPKDQTVSQKERRETSKQKQKGKENPDMANPSAMSTTAKGSKSLKVELIEDKIDYTKERQPSIDLTCVSYPFDEFSNPYRYKLDFSLQPETGPGNLIDPIHEFKAFTNTATATEEDVKMKFSNEVFRFASACMNSRTNGTIHFGVKDKPHGKIVGIKVTNDTKEALINHFNLMINKYFEDHQVQQAKKCIREPRFVEVLLPNSTLSDRFVIEVDIIPQFSECQYDYFQIKMQNYNNKIWEQSKKFSLFVRDGTSSKDITKNKVDFRAFKADFKTLAESRKAAEEKFRAKTNKKEREGPKLVKLLTGNQDLLDNSYYEQYILVTNKCHPDQTKHLDFLKEIKWFAVLEFDPESNINGVVKAYKESRVANLHFPSVYVEQKTTPNETISTLNLYHQPSWIFCNGRLDLDSEKYKPFDPSSWQRERASDVRKLISFLTHEDIMPRGKFLVVFLLLSSVDDPRDPLIETFCAFYQDLKGMENILCICVHPHIFQGWKDLLEARLIKHQDEISSQCISALSLEEINGTILKLKSVTQSSKRLLPSIGLSTVLLKKEEDIMTALEIICENECEGTLLEKDKNKFLEFKASKEEDFYRGGKVSWWNFYFSSESYSSPFVKRDKYERLEAMIQNCADSSKPTSTKIIHLYHHPGCGGTTLAMHILWELRKKFRCAVLKNKTVDFSEIGEQVTSLITYGAMNRQEYVPVLLLVDDFEEQDNVYLLQYSIQTAIAKKYIRYEKPLVIILNCMRSQNPEKSARIPDSIAVIQQLSPKEQRAFELKLKEIKEQHKNFEDFYSFMIMKTNFNKEYIENVVRNILKGQNIFTKEAKLFSFLALLNSYVPDTTISLSQCEKFLGIGNKKAFWGTEKFEDKMGTYSTILIKTEVIECGNYCGVRIIHSLIAEFSLEELKKSYHLNKSQIMLDMLTENLFFDTGMGKSKFLQDMHTLLLTRHRDEHEGETGNWFSPFIEALHKDEGNEAVEAVLLESIHRFNPNAFICQALARHFYIKKKDFGNALNWAKQAKIIEPDNSYISDTLGQVYKSKIRWWIEENGGNGNISVDDLIALLDLAEHASSAFKESQQQSEDREYEVKERLYPKSKRRYDTYNIAGYQGEIEVGLYTIQILQLIPFFDNKNELSKRYMVNFVSGSSDIPGDPNNEYKLALKNYIPYLTKLKFSLKKSFDFFDEYFVLLKPRNNIKQNEEAKTRRKVAGYFKKYVDIFCLLEESQNNTGLGSKFSEPLQVERCRRNLVALKADKFSGLLEYLIKSQEDAISTMKCIVNEYTFLLEQCTVKIQSKEKLNFILANIILSCIQPTSRLVKPVEKLKDQLREVLQPIGLTYQFSEPYFLASLLFWPENQQLDQHSEQMKEYAQALKNSFKGQYKHMHRTKQPIAYFFLGKGKRLERLVHKGKIDQCFKKTPDINSLWQSGDVWKEEKVQELLLRLQGRAENNCLYIEYGINEKITIPITPAFLGQLRSGRSIEKVSFYLGFSIGGPLAYDIEIV
+>DECOY_sp|Q5K651|SAMD9_HUMAN Sterile alpha motif domain-containing protein 9 OS=Homo sapiens OX=9606 GN=SAMD9 PE=1 SV=1
+VIEIDYALPGGISFGLYFSVKEISRGSRLQGLFAPTIPITIKENIGYEIYLCNNEARGQLRLLLEQVKEEKWVDGSQWLSNIDPTKKFCQDIKGKHVLRELRKGKGLFFYAIPQKTRHMHKYQGKFSNKLAQAYEKMQESHQDLQQNEPWFLLSALFYPESFQYTLGIPQLVERLQDKLKEVPKVLRSTPQICSLIINALIFNLKEKSQIKVTCQELLFTYENVICKMTSIADEQSKILYELLGSFKDAKLAVLNRRCREVQLPESFKSGLGTNNQSEELLCFIDVYKKFYGAVKRRTKAEENQKINNRPKLLVFYEDFFDFSKKLSFKLKTLYPIYNKLALKYENNPDGPIDSSGSVFNVMYRKSLENKNDFFPILQLIQITYLGVEIEGQYGAINYTDYRRKSKPYLREKVEYERDESQQQSEKFASSAHEALDLLAILDDVSINGNGGNEEIWWRIKSKYVQGLTDSIYSNDPEIIKAQKAWNLANGFDKKKIYFHRALAQCIFANPNFRHISELLVAEVAENGEDKHLAEIFPSFWNGTEGEHEDRHRTLLLTHMDQLFKSKGMGTDFFLNETLMDLMIQSKNLHYSKKLEELSFEAILSHIIRVGCYNGCEIVETKILITSYTGMKDEFKETGWFAKKNGIGLFKECQSLSITTDPVYSNLLALFSFLKAEKTFINQGKLINRVVNEIYEKNFNTKMIMFSYFDEFNKHQEKIEKLKLEFARQEKPSLQQIVAISDPIRASKEPNQSRMCNLIIVLPKEYRIYKKAIATQISYQLLYVNDQEEFDDVLLLVPVYEQRNMAGYTILSTVQEGIESFDVTKNKLVACRFKKRLEWLIHMALTTGGCGPHHYLHIIKTSTPKSSDACNQIMAELREYKDRKVFPSSYSESSFYFNWWSVKGGRYFDEEKSAKFELFKNKDKELLTGECENECIIELATMIDEEKKLLVTSLGISPLLRKSSQTVSKLKLITGNIEELSLASICQSSIEDQHKILRAELLDKWGQFIHPHVCICLINEMGKLDQYFACFTEILPDRPDDVSSLLLFVVLFKGRPMIDEHTLFSILKRVDSARERQWSSPDFPKYKESDLDLRGNCFIWSPQHYLNLTSITENPTTKQEVYVSPFHLNAVRSEKYAKVVGNINSEPDFELVAFWKIEKLFDLHKTQDPHCKNTVLIYQEYYSNDLLDQNGTLLKVLKPGEREKKNTKARFKEEAAKRSEALTKFDAKFARFDVKNKTIDKSSTGDRVFLSFKKSQEWIKNNYNQMKIQFYDYQCESFQPIIDVEIVFRDSLTSNPLLVEVFRPERICKKAQQVQHDEFYKNIMLNFHNILAEKTDNTVKIGVIKGHPKDKVGFHITGNTRSNMCASAFRFVENSFKMKVDEETATATNTFAKFEHIPDILNGPGTEPQLSFDLKYRYPNSFEDFPYSVCTLDISPQREKTYDIKDEILEVKLSKSGKATTSMASPNAMDPNEKGKQKQKSTERREKQSVTQDKPANKSPKGMKSTQISDEIATKRLEKFLEEIQIAPGHTIGMDVLHEKKLWKLVAGNVDQETLIERHKQDIKHSELWQNVDEKTWDDTNEPLNLQKAM
+>sp|P21673|SAT1_HUMAN Diamine acetyltransferase 1 OS=Homo sapiens OX=9606 GN=SAT1 PE=1 SV=1
+MAKFVIRPATAADCSDILRLIKELAKYEYMEEQVILTEKDLLEDGFGEHPFYHCLVAEVPKEHWTPEGHSIVGFAMYYFTYDPWIGKLLYLEDFFVMSDYRGFGIGSEILKNLSQVAMRCRCSSMHFLVAEWNEPSINFYKRRGASDLSSEEGWRLFKIDKEYLLKMATEE
+>DECOY_sp|P21673|SAT1_HUMAN Diamine acetyltransferase 1 OS=Homo sapiens OX=9606 GN=SAT1 PE=1 SV=1
+EETAMKLLYEKDIKFLRWGEESSLDSAGRRKYFNISPENWEAVLFHMSSCRCRMAVQSLNKLIESGIGFGRYDSMVFFDELYLLKGIWPDYTFYYMAFGVISHGEPTWHEKPVEAVLCHYFPHEGFGDELLDKETLIVQEEMYEYKALEKILRLIDSCDAATAPRIVFKAM
+>sp|Q9UPW6|SATB2_HUMAN DNA-binding protein SATB2 OS=Homo sapiens OX=9606 GN=SATB2 PE=1 SV=2
+MERRSESPCLRDSPDRRSGSPDVKGPPPVKVARLEQNGSPMGARGRPNGAVAKAVGGLMIPVFCVVEQLDGSLEYDNREEHAEFVLVRKDVLFSQLVETALLALGYSHSSAAQAQGIIKLGRWNPLPLSYVTDAPDATVADMLQDVYHVVTLKIQLQSCSKLEDLPAEQWNHATVRNALKELLKEMNQSTLAKECPLSQSMISSIVNSTYYANVSATKCQEFGRWYKKYKKIKVERVERENLSDYCVLGQRPMHLPNMNQLASLGKTNEQSPHSQIHHSTPIRNQVPALQPIMSPGLLSPQLSPQLVRQQIAMAHLINQQIAVSRLLAHQHPQAINQQFLNHPPIPRAVKPEPTNSSVEVSPDIYQQVRDELKRASVSQAVFARVAFNRTQGLLSEILRKEEDPRTASQSLLVNLRAMQNFLNLPEVERDRIYQDERERSMNPNVSMVSSASSSPSSSRTPQAKTSTPTTDLPIKVDGANINITAAIYDEIQQEMKRAKVSQALFAKVAANKSQGWLCELLRWKENPSPENRTLWENLCTIRRFLNLPQHERDVIYEEESRHHHSERMQHVVQLPPEPVQVLHRQQSQPAKESSPPREEAPPPPPPTEDSCAKKPRSRTKISLEALGILQSFIHDVGLYPDQEAIHTLSAQLDLPKHTIIKFFQNQRYHVKHHGKLKEHLGSAVDVAEYKDEELLTESEENDSEEGSEEMYKVEAEEENADKSKAAPAEIDQR
+>DECOY_sp|Q9UPW6|SATB2_HUMAN DNA-binding protein SATB2 OS=Homo sapiens OX=9606 GN=SATB2 PE=1 SV=2
+RQDIEAPAAKSKDANEEEAEVKYMEESGEESDNEESETLLEEDKYEAVDVASGLHEKLKGHHKVHYRQNQFFKIITHKPLDLQASLTHIAEQDPYLGVDHIFSQLIGLAELSIKTRSRPKKACSDETPPPPPPAEERPPSSEKAPQSQQRHLVQVPEPPLQVVHQMRESHHHRSEEEYIVDREHQPLNLFRRITCLNEWLTRNEPSPNEKWRLLECLWGQSKNAAVKAFLAQSVKARKMEQQIEDYIAATININAGDVKIPLDTTPTSTKAQPTRSSSPSSSASSVMSVNPNMSREREDQYIRDREVEPLNLFNQMARLNVLLSQSATRPDEEKRLIESLLGQTRNFAVRAFVAQSVSARKLEDRVQQYIDPSVEVSSNTPEPKVARPIPPHNLFQQNIAQPHQHALLRSVAIQQNILHAMAIQQRVLQPSLQPSLLGPSMIPQLAPVQNRIPTSHHIQSHPSQENTKGLSALQNMNPLHMPRQGLVCYDSLNEREVREVKIKKYKKYWRGFEQCKTASVNAYYTSNVISSIMSQSLPCEKALTSQNMEKLLEKLANRVTAHNWQEAPLDELKSCSQLQIKLTVVHYVDQLMDAVTADPADTVYSLPLPNWRGLKIIGQAQAASSHSYGLALLATEVLQSFLVDKRVLVFEAHEERNDYELSGDLQEVVCFVPIMLGGVAKAVAGNPRGRAGMPSGNQELRAVKVPPPGKVDPSGSRRDPSDRLCPSESRREM
+>sp|Q52WX2|SBK1_HUMAN Serine/threonine-protein kinase SBK1 OS=Homo sapiens OX=9606 GN=SBK1 PE=2 SV=1
+MSVGCPEPEPPRSLTCCGPGTAPGPGAGVPLLTEDMQALTLRTLAASDVTKHYELVRELGKGTYGKVDLVVYKGTGTKMALKFVNKSKTKLKNFLREVSITNSLSSSPFIIKVFDVVFETEDCYVFAQEYAPAGDLFDIIPPQVGLPEDTVKRCVQQLGLALDFMHGRQLVHRDIKPENVLLFDRECRRVKLADFGMTRRVGCRVKRVSGTIPYTAPEVCQAGRADGLAVDTGVDVWAFGVLIFCVLTGNFPWEAASGADAFFEEFVRWQRGRLPGLPSQWRRFTEPALRMFQRLLALEPERRGPAKEVFRFLKHELTSELRRRPSHRARKPPGDRPPAAGPLRLEAPGPLKRTVLTESGSGSRPAPPAVGSVPLPVPVPVPVPVPVPVPEPGLAPQGPPGRTDGRADKSKGQVVLATAIEICV
+>DECOY_sp|Q52WX2|SBK1_HUMAN Serine/threonine-protein kinase SBK1 OS=Homo sapiens OX=9606 GN=SBK1 PE=2 SV=1
+VCIEIATALVVQGKSKDARGDTRGPPGQPALGPEPVPVPVPVPVPVPVPLPVSGVAPPAPRSGSGSETLVTRKLPGPAELRLPGAAPPRDGPPKRARHSPRRRLESTLEHKLFRFVEKAPGRREPELALLRQFMRLAPETFRRWQSPLGPLRGRQWRVFEEFFADAGSAAEWPFNGTLVCFILVGFAWVDVGTDVALGDARGAQCVEPATYPITGSVRKVRCGVRRTMGFDALKVRRCERDFLLVNEPKIDRHVLQRGHMFDLALGLQQVCRKVTDEPLGVQPPIIDFLDGAPAYEQAFVYCDETEFVVDFVKIIFPSSSLSNTISVERLFNKLKTKSKNVFKLAMKTGTGKYVVLDVKGYTGKGLERVLEYHKTVDSAALTRLTLAQMDETLLPVGAGPGPATGPGCCTLSRPPEPEPCGVSM
+>sp|A3KN83|SBNO1_HUMAN Protein strawberry notch homolog 1 OS=Homo sapiens OX=9606 GN=SBNO1 PE=1 SV=1
+MVEPGQDLLLAALSESGISPNDLFDIDGGDAGLATPMPTPSVQQSVPLSALELGLETEAAVPVKQEPETVPTPALLNVRQQPPSTTTFVLNQINHLPPLGSTIVMTKTPPVTTNRQTITLTKFIQTTASTRPSVSAPTVRNAMTSAPSKDQVQLKDLLKNNSLNELMKLKPPANIAQPVATAATDVSNGTVKKESSNKEGARMWINDMKMRSFSPTMKVPVVKEDDEPEEEDEEEMGHAETYAEYMPIKLKIGLRHPDAVVETSSLSSVTPPDVWYKTSISEETIDNGWLSALQLEAITYAAQQHETFLPNGDRAGFLIGDGAGVGKGRTIAGIIYENYLLSRKRALWFSVSNDLKYDAERDLRDIGAKNILVHSLNKFKYGKISSKHNGSVKKGVIFATYSSLIGESQSGGKYKTRLKQLLHWCGDDFDGVIVFDECHKAKNLCPVGSSKPTKTGLAVLELQNKLPKARVVYASATGASEPRNMAYMNRLGIWGEGTPFREFSDFIQAVERRGVGAMEIVAMDMKLRGMYIARQLSFTGVTFKIEEVLLSQSYVKMYNKAVKLWVIARERFQQAADLIDAEQRMKKSMWGQFWSAHQRFFKYLCIASKVKRVVQLAREEIKNGKCVVIGLQSTGEARTLEALEEGGGELNDFVSTAKGVLQSLIEKHFPAPDRKKLYSLLGIDLTAPSNNSSPRDSPCKENKIKKRKGEEITREAKKARKVGGLTGSSSDDSGSESDASDNEESDYESSKNMSSGDDDDFNPFLDESNEDDENDPWLIRKDHKKNKEKKKKKSIDPDSIQSALLASGLGSKRPSFSSTPVISPAPNSTPANSNTNSNSSLITSQDAVERAQQMKKDLLDKLEKLAEDLPPNTLDELIDELGGPENVAEMTGRKGRVVSNDDGSISYESRSELDVPVEILNITEKQRFMDGDKNIAIISEAASSGISLQADRRAKNQRRRVHMTLELPWSADRAIQQFGRTHRSNQVTAPEYVFLISELAGEQRFASIVAKRLESLGALTHGDRRATESRDLSRFNFDNKYGRNALEIVMKSIVNLDSPMVSPPPDYPGEFFKDVRQGLIGVGLINVEDRSGILTLDKDYNNIGKFLNRILGMEVHQQNALFQYFADTLTAVVQNAKKNGRYDMGILDLGSGDEKVRKSDVKKFLTPGYSTSGHVELYTISVERGMSWEEATKIWAELTGPDDGFYLSLQIRNNKKTAILVKEVNPKKKLFLVYRPNTGKQLKLEIYADLKKKYKKVVSDDALMHWLDQYNSSADTCTHAYWRGNCKKASLGLVCEIGLRCRTYYVLCGSVLSVWTKVEGVLASVSGTNVKMQIVRLRTEDGQRIVGLIIPANCVSPLVNLLSTSDQSQQLAVQQKQLWQQHHPQSITNLSNA
+>DECOY_sp|A3KN83|SBNO1_HUMAN Protein strawberry notch homolog 1 OS=Homo sapiens OX=9606 GN=SBNO1 PE=1 SV=1
+ANSLNTISQPHHQQWLQKQQVALQQSQDSTSLLNVLPSVCNAPIILGVIRQGDETRLRVIQMKVNTGSVSALVGEVKTWVSLVSGCLVYYTRCRLGIECVLGLSAKKCNGRWYAHTCTDASSNYQDLWHMLADDSVVKKYKKKLDAYIELKLQKGTNPRYVLFLKKKPNVEKVLIATKKNNRIQLSLYFGDDPGTLEAWIKTAEEWSMGREVSITYLEVHGSTSYGPTLFKKVDSKRVKEDGSGLDLIGMDYRGNKKANQVVATLTDAFYQFLANQQHVEMGLIRNLFKGINNYDKDLTLIGSRDEVNILGVGILGQRVDKFFEGPYDPPPSVMPSDLNVISKMVIELANRGYKNDFNFRSLDRSETARRDGHTLAGLSELRKAVISAFRQEGALESILFVYEPATVQNSRHTRGFQQIARDASWPLELTMHVRRRQNKARRDAQLSIGSSAAESIIAINKDGDMFRQKETINLIEVPVDLESRSEYSISGDDNSVVRGKRGTMEAVNEPGGLEDILEDLTNPPLDEALKELKDLLDKKMQQAREVADQSTILSSNSNTNSNAPTSNPAPSIVPTSSFSPRKSGLGSALLASQISDPDISKKKKKEKNKKHDKRILWPDNEDDENSEDLFPNFDDDDGSSMNKSSEYDSEENDSADSESGSDDSSSGTLGGVKRAKKAERTIEEGKRKKIKNEKCPSDRPSSNNSPATLDIGLLSYLKKRDPAPFHKEILSQLVGKATSVFDNLEGGGEELAELTRAEGTSQLGIVVCKGNKIEERALQVVRKVKSAICLYKFFRQHASWFQGWMSKKMRQEADILDAAQQFRERAIVWLKVAKNYMKVYSQSLLVEEIKFTVGTFSLQRAIYMGRLKMDMAVIEMAGVGRREVAQIFDSFERFPTGEGWIGLRNMYAMNRPESAGTASAYVVRAKPLKNQLELVALGTKTPKSSGVPCLNKAKHCEDFVIVGDFDDGCWHLLQKLRTKYKGGSQSEGILSSYTAFIVGKKVSGNHKSSIKGYKFKNLSHVLINKAGIDRLDREADYKLDNSVSFWLARKRSLLYNEYIIGAITRGKGVGAGDGILFGARDGNPLFTEHQQAAYTIAELQLASLWGNDITEESISTKYWVDPPTVSSLSSTEVVADPHRLGIKLKIPMYEAYTEAHGMEEEDEEEPEDDEKVVPVKMTPSFSRMKMDNIWMRAGEKNSSEKKVTGNSVDTAATAVPQAINAPPKLKMLENLSNNKLLDKLQVQDKSPASTMANRVTPASVSPRTSATTQIFKTLTITQRNTTVPPTKTMVITSGLPPLHNIQNLVFTTTSPPQQRVNLLAPTPVTEPEQKVPVAAETELGLELASLPVSQQVSPTPMPTALGADGGDIDFLDNPSIGSESLAALLLDQGPEVM
+>sp|Q4G0G5|SC2B2_HUMAN Secretoglobin family 2B member 2 OS=Homo sapiens OX=9606 GN=SCGB2B2 PE=3 SV=1
+MRVTSATCALLLALICSVQLGDACLDIDKLLANVVFDVSQDLLKEELARYNPSPLTEESFLNVQQCFANVSVTERFAHSVVIKKILQSNDCIEAAF
+>DECOY_sp|Q4G0G5|SC2B2_HUMAN Secretoglobin family 2B member 2 OS=Homo sapiens OX=9606 GN=SCGB2B2 PE=3 SV=1
+FAAEICDNSQLIKKIVVSHAFRETVSVNAFCQQVNLFSEETLPSPNYRALEEKLLDQSVDFVVNALLKDIDLCADGLQVSCILALLLACTASTVRM
+>sp|Q9GZV3|SC5A7_HUMAN High affinity choline transporter 1 OS=Homo sapiens OX=9606 GN=SLC5A7 PE=1 SV=1
+MAFHVEGLIAIIVFYLLILLVGIWAAWRTKNSGSAEERSEAIIVGGRDIGLLVGGFTMTATWVGGGYINGTAEAVYVPGYGLAWAQAPIGYSLSLILGGLFFAKPMRSKGYVTMLDPFQQIYGKRMGGLLFIPALMGEMFWAAAIFSALGATISVIIDVDMHISVIISALIATLYTLVGGLYSVAYTDVVQLFCIFVGLWISVPFALSHPAVADIGFTAVHAKYQKPWLGTVDSSEVYSWLDSFLLLMLGGIPWQAYFQRVLSSSSATYAQVLSFLAAFGCLVMAIPAILIGAIGASTDWNQTAYGLPDPKTTEEADMILPIVLQYLCPVYISFFGLGAVSAAVMSSADSSILSASSMFARNIYQLSFRQNASDKEIVWVMRITVFVFGASATAMALLTKTVYGLWYLSSDLVYIVIFPQLLCVLFVKGTNTYGAVAGYVSGLFLRITGGEPYLYLQPLIFYPGYYPDDNGIYNQKFPFKTLAMVTSFLTNICISYLAKYLFESGTLPPKLDVFDAVVARHSEENMDKTILVKNENIKLDELALVKPRQSMTLSSTFTNKEAFLDVDSSPEGSGTEDNLQ
+>DECOY_sp|Q9GZV3|SC5A7_HUMAN High affinity choline transporter 1 OS=Homo sapiens OX=9606 GN=SLC5A7 PE=1 SV=1
+QLNDETGSGEPSSDVDLFAEKNTFTSSLTMSQRPKVLALEDLKINENKVLITKDMNEESHRAVVADFVDLKPPLTGSEFLYKALYSICINTLFSTVMALTKFPFKQNYIGNDDPYYGPYFILPQLYLYPEGGTIRLFLGSVYGAVAGYTNTGKVFLVCLLQPFIVIYVLDSSLYWLGYVTKTLLAMATASAGFVFVTIRMVWVIEKDSANQRFSLQYINRAFMSSASLISSDASSMVAASVAGLGFFSIYVPCLYQLVIPLIMDAEETTKPDPLGYATQNWDTSAGIAGILIAPIAMVLCGFAALFSLVQAYTASSSSLVRQFYAQWPIGGLMLLLFSDLWSYVESSDVTGLWPKQYKAHVATFGIDAVAPHSLAFPVSIWLGVFICFLQVVDTYAVSYLGGVLTYLTAILASIIVSIHMDVDIIVSITAGLASFIAAAWFMEGMLAPIFLLGGMRKGYIQQFPDLMTVYGKSRMPKAFFLGGLILSLSYGIPAQAWALGYGPVYVAEATGNIYGGGVWTATMTFGGVLLGIDRGGVIIAESREEASGSNKTRWAAWIGVLLILLYFVIIAILGEVHFAM
+>sp|Q8N695|SC5A8_HUMAN Sodium-coupled monocarboxylate transporter 1 OS=Homo sapiens OX=9606 GN=SLC5A8 PE=1 SV=2
+MDTPRGIGTFVVWDYVVFAGMLVISAAIGIYYAFAGGGQQTSKDFLMGGRRMTAVPVALSLTASFMSAVTVLGTPSEVYRFGAIFSIFAFTYFFVVVISAEVFLPVFYKLGITSTYEYLELRFNKCVRLCGTVLFIVQTILYTGIVIYAPALALNQVTGFDLWGAVVATGVVCTFYCTLGGLKAVIWTDVFQVGIMVAGFASVIIQAVVMQGGISTILNDAYDGGRLNFWNFNPNPLQRHTFWTIIIGGTFTWTSIYGVNQSQVQRYISCKSRFQAKLSLYINLVGLWAILTCSVFCGLALYSRYHDCDPWTAKKVSAPDQLMPYLVLDILQDYPGLPGLFVACAYSGTLSTVSSSINALAAVTVEDLIKPYFRSLSERSLSWISQGMSVVYGALCIGMAALASLMGALLQAALSVFGMVGGPLMGLFALGILVPFANSIGALVGLMAGFAISLWVGIGAQIYPPLPERTLPLHLDIQGCNSTYNETNLMTTTEMPFTTSVFQIYNVQRTPLMDNWYSLSYLYFSTVGTLVTLLVGILVSLSTGGRKQNLDPRYILTKEDFLSNFDIFKKKKHVLSYKSHPVEDGGTDNPAFNHIELNSDQSGKSNGTRL
+>DECOY_sp|Q8N695|SC5A8_HUMAN Sodium-coupled monocarboxylate transporter 1 OS=Homo sapiens OX=9606 GN=SLC5A8 PE=1 SV=2
+LRTGNSKGSQDSNLEIHNFAPNDTGGDEVPHSKYSLVHKKKKFIDFNSLFDEKTLIYRPDLNQKRGGTSLSVLIGVLLTVLTGVTSFYLYSLSYWNDMLPTRQVNYIQFVSTTFPMETTTMLNTENYTSNCGQIDLHLPLTREPLPPYIQAGIGVWLSIAFGAMLGVLAGISNAFPVLIGLAFLGMLPGGVMGFVSLAAQLLAGMLSALAAMGICLAGYVVSMGQSIWSLSRESLSRFYPKILDEVTVAALANISSSVTSLTGSYACAVFLGPLGPYDQLIDLVLYPMLQDPASVKKATWPDCDHYRSYLALGCFVSCTLIAWLGVLNIYLSLKAQFRSKCSIYRQVQSQNVGYISTWTFTGGIIITWFTHRQLPNPNFNWFNLRGGDYADNLITSIGGQMVVAQIIVSAFGAVMIGVQFVDTWIVAKLGGLTCYFTCVVGTAVVAGWLDFGTVQNLALAPAYIVIGTYLITQVIFLVTGCLRVCKNFRLELYEYTSTIGLKYFVPLFVEASIVVVFFYTFAFISFIAGFRYVESPTGLVTVASMFSATLSLAVPVATMRRGGMLFDKSTQQGGGAFAYYIGIAASIVLMGAFVVYDWVVFTGIGRPTDM
+>sp|Q8WWX8|SC5AB_HUMAN Sodium/myo-inositol cotransporter 2 OS=Homo sapiens OX=9606 GN=SLC5A11 PE=1 SV=1
+MESGTSSPQPPQLDPLDAFPQKGLEPGDIAVLVLYFLFVLAVGLWSTVKTKRDTVKGYFLAGGDMVWWPVGASLFASNVGSGHFIGLAGSGAATGISVSAYELNGLFSVLMLAWIFLPIYIAGQVTTMPEYLRKRFGGIRIPIILAVLYLFIYIFTKISVDMYAGAIFIQQSLHLDLYLAIVGLLAITAVYTVAGGLAAVIYTDALQTLIMLIGALTLMGYSFAAVGGMEGLKEKYFLALASNRSENSSCGLPREDAFHIFRDPLTSDLPWPGVLFGMSIPSLWYWCTDQVIVQRTLAAKNLSHAKGGALMAAYLKVLPLFIMVFPGMVSRILFPDQVACADPEICQKICSNPSGCSDIAYPKLVLELLPTGLRGLMMAVMVAALMSSLTSIFNSASTIFTMDLWNHLRPRASEKELMIVGRVFVLLLVLVSILWIPVVQASQGGQLFIYIQSISSYLQPPVAVVFIMGCFWKRTNEKGAFWGLISGLLLGLVRLVLDFIYVQPRCDQPDERPVLVKSIHYLYFSMILSTVTLITVSTVSWFTEPPSKEMVSHLTWFTRHDPVVQKEQAPPAAPLSLTLSQNGMPEASSSSSVQFEMVQENTSKTHSCDMTPKQSKVVKAILWLCGIQEKGKEELPARAEAIIVSLEENPLVKTLLDVNLIFCVSCAIFIWGYFA
+>DECOY_sp|Q8WWX8|SC5AB_HUMAN Sodium/myo-inositol cotransporter 2 OS=Homo sapiens OX=9606 GN=SLC5A11 PE=1 SV=1
+AFYGWIFIACSVCFILNVDLLTKVLPNEELSVIIAEARAPLEEKGKEQIGCLWLIAKVVKSQKPTMDCSHTKSTNEQVMEFQVSSSSSAEPMGNQSLTLSLPAAPPAQEKQVVPDHRTFWTLHSVMEKSPPETFWSVTSVTILTVTSLIMSFYLYHISKVLVPREDPQDCRPQVYIFDLVLRVLGLLLGSILGWFAGKENTRKWFCGMIFVVAVPPQLYSSISQIYIFLQGGQSAQVVPIWLISVLVLLLVFVRGVIMLEKESARPRLHNWLDMTFITSASNFISTLSSMLAAVMVAMMLGRLGTPLLELVLKPYAIDSCGSPNSCIKQCIEPDACAVQDPFLIRSVMGPFVMIFLPLVKLYAAMLAGGKAHSLNKAALTRQVIVQDTCWYWLSPISMGFLVGPWPLDSTLPDRFIHFADERPLGCSSNESRNSALALFYKEKLGEMGGVAAFSYGMLTLAGILMILTQLADTYIVAALGGAVTYVATIALLGVIALYLDLHLSQQIFIAGAYMDVSIKTFIYIFLYLVALIIPIRIGGFRKRLYEPMTTVQGAIYIPLFIWALMLVSFLGNLEYASVSIGTAAGSGALGIFHGSGVNSAFLSAGVPWWVMDGGALFYGKVTDRKTKVTSWLGVALVFLFYLVLVAIDGPELGKQPFADLPDLQPPQPSSTGSEM
+>sp|Q8TAC9|SCAM5_HUMAN Secretory carrier-associated membrane protein 5 OS=Homo sapiens OX=9606 GN=SCAMP5 PE=1 SV=1
+MAEKVNNFPPLPKFIPLKPCFYQDFEADIPPQHVSMTKRLYYLWMLNSVTLAVNLVGCLAWLIGGGGATNFGLAFLWLILFTPCSYVCWFRPIYKAFKTDSSFSFMAFFFTFMAQLVISIIQAVGIPGWGVCGWIATISFFGTNIGSAVVMLIPTVMFTVMAVFSFIALSMVHKFYRGSGGSFSKAQEEWTTGAWKNPHVQQAAQNAAMGAAQGAMNQPQTQYSATPNYTYSNEM
+>DECOY_sp|Q8TAC9|SCAM5_HUMAN Secretory carrier-associated membrane protein 5 OS=Homo sapiens OX=9606 GN=SCAMP5 PE=1 SV=1
+MENSYTYNPTASYQTQPQNMAGQAAGMAANQAAQQVHPNKWAGTTWEEQAKSFSGGSGRYFKHVMSLAIFSFVAMVTFMVTPILMVVASGINTGFFSITAIWGCVGWGPIGVAQIISIVLQAMFTFFFAMFSFSSDTKFAKYIPRFWCVYSCPTFLILWLFALGFNTAGGGGILWALCGVLNVALTVSNLMWLYYLRKTMSVHQPPIDAEFDQYFCPKLPIFKPLPPFNNVKEAM
+>sp|Q6AZY7|SCAR3_HUMAN Scavenger receptor class A member 3 OS=Homo sapiens OX=9606 GN=SCARA3 PE=1 SV=1
+MKVRSAGGDGDALCVTEEDLAGDDEDMPTFPCTQKGRPGPRCSRCQKNLSLHTSVRILYLFLALLLVAVAVLASLVFRKVDSLSEDISLTQSIYDKKLVLMQKNLQGLDPKALNNCSFCHEAGQLGPEIRKLQEELEGIQKLLLAQEVQLDQTLQAQEVLSTTSRQISQEMGSCSFSIHQVNQSLGLFLAQVRGWQATTAGLDLSLKDLTQECYDVKAAVHQINFTVGQTSEWIHGIQRKTDEETLTLQKIVTDWQNYTRLFSGLRTTSTKTGEAVKNIQATLGASSQRISQNSESMHDLVLQVMGLQLQLDNISSFLDDHEENMHDLQYHTHYAQNRTVERFESLEGRMASHEIEIGTIFTNINATDNHVHSMLKYLDDVRLSCTLGFHTHAEELYYLNKSVSIMLGTTDLLRERFSLLSARLDLNVRNLSMIVEEMKAVDTQHGEILRNVTILRGAPGPPGPRGFKGDMGVKGPVGGRGPKGDPGSLGPLGPQGPQGQPGEAGPVGERGPVGPRGFPGLKGSKGSFGTGGPRGQPGPKGDIGPPGPEGPPGSPGPSGPQGKPGIAGKTGSPGQRGAMGPKGEPGIQGPPGLPGPPGPPGSQSFY
+>DECOY_sp|Q6AZY7|SCAR3_HUMAN Scavenger receptor class A member 3 OS=Homo sapiens OX=9606 GN=SCARA3 PE=1 SV=1
+YFSQSGPPGPPGPLGPPGQIGPEGKPGMAGRQGPSGTKGAIGPKGQPGSPGPSGPPGEPGPPGIDGKPGPQGRPGGTGFSGKSGKLGPFGRPGVPGREGVPGAEGPQGQPGQPGLPGLSGPDGKPGRGGVPGKVGMDGKFGRPGPPGPAGRLITVNRLIEGHQTDVAKMEEVIMSLNRVNLDLRASLLSFRERLLDTTGLMISVSKNLYYLEEAHTHFGLTCSLRVDDLYKLMSHVHNDTANINTFITGIEIEHSAMRGELSEFREVTRNQAYHTHYQLDHMNEEHDDLFSSINDLQLQLGMVQLVLDHMSESNQSIRQSSAGLTAQINKVAEGTKTSTTRLGSFLRTYNQWDTVIKQLTLTEEDTKRQIGHIWESTQGVTFNIQHVAAKVDYCEQTLDKLSLDLGATTAQWGRVQALFLGLSQNVQHISFSCSGMEQSIQRSTTSLVEQAQLTQDLQVEQALLLKQIGELEEQLKRIEPGLQGAEHCFSCNNLAKPDLGQLNKQMLVLKKDYISQTLSIDESLSDVKRFVLSALVAVAVLLLALFLYLIRVSTHLSLNKQCRSCRPGPRGKQTCPFTPMDEDDGALDEETVCLADGDGGASRVKM
+>sp|Q8WVM8|SCFD1_HUMAN Sec1 family domain-containing protein 1 OS=Homo sapiens OX=9606 GN=SCFD1 PE=1 SV=4
+MAAAAAATAAAAASIRERQTVALKRMLNFNVPHIKNSTGEPVWKVLIYDRFGQDIISPLLSVKELRDMGITLHLLLHSDRDPIPDVPAVYFVMPTEENIDRMCQDLRNQLYESYYLNFISAISRSKLEDIANAALAASAVTQVAKVFDQYLNFITLEDDMFVLCNQNKELVSYRAINRPDITDTEMETVMDTIVDSLFCFFVTLGAVPIIRCSRGTAAEMVAVKLDKKLRENLRDARNSLFTGDTLGAGQFSFQRPLLVLVDRNIDLATPLHHTWTYQALVHDVLDFHLNRVNLEESSGVENSPAGARPKRKNKKSYDLTPVDKFWQKHKGSPFPEVAESVQQELESYRAQEDEVKRLKSIMGLEGEDEGAISMLSDNTAKLTSAVSSLPELLEKKRLIDLHTNVATAVLEHIKARKLDVYFEYEEKIMSKTTLDKSLLDIISDPDAGTPEDKMRLFLIYYISTQQAPSEADLEQYKKALTDAGCNLNPLQYIKQWKAFTKMASAPASYGSTTTKPMGLLSRVMNTGSQFVMEGVKNLVLKQQNLPVTRILDNLMEMKSNPETDDYRYFDPKMLRGNDSSVPRNKNPFQEAIVFVVGGGNYIEYQNLVDYIKGKQGKHILYGCSELFNATQFIKQLSQLGQK
+>DECOY_sp|Q8WVM8|SCFD1_HUMAN Sec1 family domain-containing protein 1 OS=Homo sapiens OX=9606 GN=SCFD1 PE=1 SV=4
+KQGLQSLQKIFQTANFLESCGYLIHKGQKGKIYDVLNQYEIYNGGGVVFVIAEQFPNKNRPVSSDNGRLMKPDFYRYDDTEPNSKMEMLNDLIRTVPLNQQKLVLNKVGEMVFQSGTNMVRSLLGMPKTTTSGYSAPASAMKTFAKWQKIYQLPNLNCGADTLAKKYQELDAESPAQQTSIYYILFLRMKDEPTGADPDSIIDLLSKDLTTKSMIKEEYEFYVDLKRAKIHELVATAVNTHLDILRKKELLEPLSSVASTLKATNDSLMSIAGEDEGELGMISKLRKVEDEQARYSELEQQVSEAVEPFPSGKHKQWFKDVPTLDYSKKNKRKPRAGAPSNEVGSSEELNVRNLHFDLVDHVLAQYTWTHHLPTALDINRDVLVLLPRQFSFQGAGLTDGTFLSNRADRLNERLKKDLKVAVMEAATGRSCRIIPVAGLTVFFCFLSDVITDMVTEMETDTIDPRNIARYSVLEKNQNCLVFMDDELTIFNLYQDFVKAVQTVASAALAANAIDELKSRSIASIFNLYYSEYLQNRLDQCMRDINEETPMVFYVAPVDPIPDRDSHLLLHLTIGMDRLEKVSLLPSIIDQGFRDYILVKWVPEGTSNKIHPVNFNLMRKLAVTQRERISAAAAATAAAAAAM
+>sp|Q9BWW7|SCRT1_HUMAN Transcriptional repressor scratch 1 OS=Homo sapiens OX=9606 GN=SCRT1 PE=1 SV=1
+MPRSFLVKKVKLDAFSSADLESAYGRARSDLGAPLHDKGYLSDYVGPSSVYDGDAEAALLKGPSPEPMYAAAVRGELGPAAAGSAPPPTPRPELATAAGGYINGDAAVSEGYAADAFFITDGRSRRKASNAGSAAAPSTASAAAPDGDAGGGGGAGGRSLGSGPGGRGGTRAGAGTEARAGPGAAGAGGRHACGECGKTYATSSNLSRHKQTHRSLDSQLARRCPTCGKVYVSMPAMAMHLLTHDLRHKCGVCGKAFSRPWLLQGHMRSHTGEKPFGCAHCGKAFADRSNLRAHMQTHSAFKHFQCKRCKKSFALKSYLNKHYESACFKGGAGGPAAPAPPQLSPVQA
+>DECOY_sp|Q9BWW7|SCRT1_HUMAN Transcriptional repressor scratch 1 OS=Homo sapiens OX=9606 GN=SCRT1 PE=1 SV=1
+AQVPSLQPPAPAAPGGAGGKFCASEYHKNLYSKLAFSKKCRKCQFHKFASHTQMHARLNSRDAFAKGCHACGFPKEGTHSRMHGQLLWPRSFAKGCVGCKHRLDHTLLHMAMAPMSVYVKGCTPCRRALQSDLSRHTQKHRSLNSSTAYTKGCEGCAHRGGAGAAGPGARAETGAGARTGGRGGPGSGLSRGGAGGGGGADGDPAAASATSPAAASGANSAKRRSRGDTIFFADAAYGESVAADGNIYGGAATALEPRPTPPPASGAAAPGLEGRVAAAYMPEPSPGKLLAAEADGDYVSSPGVYDSLYGKDHLPAGLDSRARGYASELDASSFADLKVKKVLFSRPM
+>sp|Q7RTU7|SCX_HUMAN Basic helix-loop-helix transcription factor scleraxis OS=Homo sapiens OX=9606 GN=SCX PE=3 SV=1
+MSFATLRPAPPGRYLYPEVSPLSEDEDRGSDSSGSDEKPCRVHAARCGLQGARRRAGGRRAGGGGPGGRPGREPRQRHTANARERDRTNSVNTAFTALRTLIPTEPADRKLSKIETLRLASSYISHLGNVLLAGEACGDGQPCHSGPAFFHAARAGSPPPPPPPPPARDGENTQPKQICTFCLSNQRKLSKDRDRKTAIRS
+>DECOY_sp|Q7RTU7|SCX_HUMAN Basic helix-loop-helix transcription factor scleraxis OS=Homo sapiens OX=9606 GN=SCX PE=3 SV=1
+SRIATKRDRDKSLKRQNSLCFTCIQKPQTNEGDRAPPPPPPPPPSGARAAHFFAPGSHCPQGDGCAEGALLVNGLHSIYSSALRLTEIKSLKRDAPETPILTRLATFATNVSNTRDRERANATHRQRPERGPRGGPGGGGARRGGARRRAGQLGCRAAHVRCPKEDSGSSDSGRDEDESLPSVEPYLYRGPPAPRLTAFSM
+>sp|Q96C92|SDCG3_HUMAN Serologically defined colon cancer antigen 3 OS=Homo sapiens OX=9606 GN=SDCCAG3 PE=1 SV=3
+MSGYQRRPGATPLSRARSLAIPDAPAFYERRSCLPQLNCERPHGRDLDSPFFGIRPAFMCYVPSPVLASVGDTDFGYGKGKCSKQSPSGAHGTHFGDDRFEDLEEANPFSFREFLKTKNLGLSKEDPASRIYAKEASRHSLGLDHNSPPSQTGGYGLEYQQPFFEDPTGAGDLLDEEEDEDTGWSGAYLPSAIEQTHPERVPAGTSPCSTYLSFFSTPSELAGPESLPSWALSDTDSRVSPASPAGSPSADFAVHGESLGDRHLRTLQISYDALKDENSKLRRKLNEVQSFSEAQTEMVRTLERKLEAKMIKEESDYHDLESVVQQVEQNLELMTKRAVKAENHVVKLKQEISLLQAQVSNFQRENEALRCGQGASLTVVKQNADVALQNLRVVMNSAQASIKQLVSGAETLNLVAEILKSIDRISEVKDEEEDS
+>DECOY_sp|Q96C92|SDCG3_HUMAN Serologically defined colon cancer antigen 3 OS=Homo sapiens OX=9606 GN=SDCCAG3 PE=1 SV=3
+SDEEEDKVESIRDISKLIEAVLNLTEAGSVLQKISAQASNMVVRLNQLAVDANQKVVTLSAGQGCRLAENERQFNSVQAQLLSIEQKLKVVHNEAKVARKTMLELNQEVQQVVSELDHYDSEEKIMKAELKRELTRVMETQAESFSQVENLKRRLKSNEDKLADYSIQLTRLHRDGLSEGHVAFDASPSGAPSAPSVRSDTDSLAWSPLSEPGALESPTSFFSLYTSCPSTGAPVREPHTQEIASPLYAGSWGTDEDEEEDLLDGAGTPDEFFPQQYELGYGGTQSPPSNHDLGLSHRSAEKAYIRSAPDEKSLGLNKTKLFERFSFPNAEELDEFRDDGFHTGHAGSPSQKSCKGKGYGFDTDGVSALVPSPVYCMFAPRIGFFPSDLDRGHPRECNLQPLCSRREYFAPADPIALSRARSLPTAGPRRQYGSM
+>sp|Q6IQ49|SDE2_HUMAN Replication stress response regulator SDE2 OS=Homo sapiens OX=9606 GN=SDE2 PE=1 SV=1
+MAEAAALVWIRGPGFGCKAVRCASGRCTVRDFIHRHCQDQNVPVENFFVKCNGALINTSDTVQHGAVYSLEPRLCGGKGGFGSMLRALGAQIEKTTNREACRDLSGRRLRDVNHEKAMAEWVKQQAEREAEKEQKRLERLQRKLVEPKHCFTSPDYQQQCHEMAERLEDSVLKGMQAASSKMVSAEISENRKRQWPTKSQTDRGASAGKRRCFWLGMEGLETAEGSNSESSDDDSEEAPSTSGMGFHAPKIGSNGVEMAAKFPSGSQRARVVNTDHGSPEQLQIPVTDSGRHILEDSCAELGESKEHMESRMVTETEETQEKKAESKEPIEEEPTGAGLNKDKETEERTDGERVAEVAPEERENVAVAKLQESQPGNAVIDKETIDLLAFTSVAELELLGLEKLKCELMALGLKCGGTLQERAARLFSVRGLAKEQIDPALFAKPLKGKKK
+>DECOY_sp|Q6IQ49|SDE2_HUMAN Replication stress response regulator SDE2 OS=Homo sapiens OX=9606 GN=SDE2 PE=1 SV=1
+KKKGKLPKAFLAPDIQEKALGRVSFLRAAREQLTGGCKLGLAMLECKLKELGLLELEAVSTFALLDITEKDIVANGPQSEQLKAVAVNEREEPAVEAVREGDTREETEKDKNLGAGTPEEEIPEKSEAKKEQTEETETVMRSEMHEKSEGLEACSDELIHRGSDTVPIQLQEPSGHDTNVVRARQSGSPFKAAMEVGNSGIKPAHFGMGSTSPAEESDDDSSESNSGEATELGEMGLWFCRRKGASAGRDTQSKTPWQRKRNESIEASVMKSSAAQMGKLVSDELREAMEHCQQQYDPSTFCHKPEVLKRQLRELRKQEKEAEREAQQKVWEAMAKEHNVDRLRRGSLDRCAERNTTKEIQAGLARLMSGFGGKGGCLRPELSYVAGHQVTDSTNILAGNCKVFFNEVPVNQDQCHRHIFDRVTCRGSACRVAKCGFGPGRIWVLAAAEAM
+>sp|Q6ZPB5|SDIM1_HUMAN Stress-responsive DNAJB4-interacting membrane protein 1 OS=Homo sapiens OX=9606 GN=SDIM1 PE=1 SV=2
+MWPAPCSVGRLLIFFMCSSSGYVVQGCGPSPGARTTLGSPLSLWSIKTPSHIFCTRRAINLGFPSPPLVQLIFWSLNAGLDLYLCLISSCGFSQVFWPVEAFCSFSLSFFALALSHKFVICRLDQHIFSGFTKSLKNLPPCHRTDI
+>DECOY_sp|Q6ZPB5|SDIM1_HUMAN Stress-responsive DNAJB4-interacting membrane protein 1 OS=Homo sapiens OX=9606 GN=SDIM1 PE=1 SV=2
+IDTRHCPPLNKLSKTFGSFIHQDLRCIVFKHSLALAFFSLSFSCFAEVPWFVQSFGCSSILCLYLDLGANLSWFILQVLPPSPFGLNIARRTCFIHSPTKISWLSLPSGLTTRAGPSPGCGQVVYGSSSCMFFILLRGVSCPAPWM
+>sp|Q68CR1|SE1L3_HUMAN Protein sel-1 homolog 3 OS=Homo sapiens OX=9606 GN=SEL1L3 PE=1 SV=2
+MQRRGAGLGWPRQQQQQPPPLAVGPRAAAMVPSGGVPQGLGGRSACALLLLCYLNVVPSLGRQTSLTTSVIPKAEQSVAYKDFIYFTVFEGNVRNVSEVSVEYLCSQPCVVNLEAVVSSEFRSSIPVYKKRWKNEKHLHTSRTQIVHVKFPSIMVYRDDYFIRHSISVSAVIVRAWITHKYSGRDWNVKWEENLLHAVAKNYTLLQTIPPFERPFKDHQVCLEWNMGYIWNLRANRIPQCPLENDVVALLGFPYASSGENTGIVKKFPRFRNRELEATRRQRMDYPVFTVSLWLYLLHYCKANLCGILYFVDSNEMYGTPSVFLTEEGYLHIQMHLVKGEDLAVKTKFIIPLKEWFRLDISFNGGQIVVTTSIGQDLKSYHNQTISFREDFHYNDTAGYFIIGGSRYVAGIEGFFGPLKYYRLRSLHPAQIFNPLLEKQLAEQIKLYYERCAEVQEIVSVYASAAKHGGERQEACHLHNSYLDLQRRYGRPSMCRAFPWEKELKDKHPSLFQALLEMDLLTVPRNQNESVSEIGGKIFEKAVKRLSSIDGLHQISSIVPFLTDSSCCGYHKASYYLAVFYETGLNVPRDQLQGMLYSLVGGQGSERLSSMNLGYKHYQGIDNYPLDWELSYAYYSNIATKTPLDQHTLQGDQAYVETIRLKDDEILKVQTKEDGDVFMWLKHEATRGNAAAQQRLAQMLFWGQQGVAKNPEAAIEWYAKGALETEDPALIYDYAIVLFKGQGVKKNRRLALELMKKAASKGLHQAVNGLGWYYHKFKKNYAKAAKYWLKAEEMGNPDASYNLGVLHLDGIFPGVPGRNQTLAGEYFHKAAQGGHMEGTLWCSLYYITGNLETFPRDPEKAVVWAKHVAEKNGYLGHVIRKGLNAYLEGSWHEALLYYVLAAETGIEVSQTNLAHICEERPDLARRYLGVNCVWRYYNFSVFQIDAPSFAYLKMGDLYYYGHQNQSQDLELSVQMYAQAALDGDSQGFFNLALLIEEGTIIPHHILDFLEIDSTLHSNNISILQELYERCWSHSNEESFSPCSLAWLYLHLRLLWGAILHSALIYFLGTFLLSILIAWTVQYFQSVSASDPPPRPSQASPDTATSTASPAVTPAADASDQDQPTVTNNPEPRG
+>DECOY_sp|Q68CR1|SE1L3_HUMAN Protein sel-1 homolog 3 OS=Homo sapiens OX=9606 GN=SEL1L3 PE=1 SV=2
+GRPEPNNTVTPQDQDSADAAPTVAPSATSTATDPSAQSPRPPPDSASVSQFYQVTWAILISLLFTGLFYILASHLIAGWLLRLHLYLWALSCPSFSEENSHSWCREYLEQLISINNSHLTSDIELFDLIHHPIITGEEILLALNFFGQSDGDLAAQAYMQVSLELDQSQNQHGYYYLDGMKLYAFSPADIQFVSFNYYRWVCNVGLYRRALDPREECIHALNTQSVEIGTEAALVYYLLAEHWSGELYANLGKRIVHGLYGNKEAVHKAWVVAKEPDRPFTELNGTIYYLSCWLTGEMHGGQAAKHFYEGALTQNRGPVGPFIGDLHLVGLNYSADPNGMEEAKLWYKAAKAYNKKFKHYYWGLGNVAQHLGKSAAKKMLELALRRNKKVGQGKFLVIAYDYILAPDETELAGKAYWEIAAEPNKAVGQQGWFLMQALRQQAAANGRTAEHKLWMFVDGDEKTQVKLIEDDKLRITEVYAQDGQLTHQDLPTKTAINSYYAYSLEWDLPYNDIGQYHKYGLNMSSLRESGQGGVLSYLMGQLQDRPVNLGTEYFVALYYSAKHYGCCSSDTLFPVISSIQHLGDISSLRKVAKEFIKGGIESVSENQNRPVTLLDMELLAQFLSPHKDKLEKEWPFARCMSPRGYRRQLDLYSNHLHCAEQREGGHKAASAYVSVIEQVEACREYYLKIQEALQKELLPNFIQAPHLSRLRYYKLPGFFGEIGAVYRSGGIIFYGATDNYHFDERFSITQNHYSKLDQGISTTVVIQGGNFSIDLRFWEKLPIIFKTKVALDEGKVLHMQIHLYGEETLFVSPTGYMENSDVFYLIGCLNAKCYHLLYLWLSVTFVPYDMRQRRTAELERNRFRPFKKVIGTNEGSSAYPFGLLAVVDNELPCQPIRNARLNWIYGMNWELCVQHDKFPREFPPITQLLTYNKAVAHLLNEEWKVNWDRGSYKHTIWARVIVASVSISHRIFYDDRYVMISPFKVHVIQTRSTHLHKENKWRKKYVPISSRFESSVVAELNVVCPQSCLYEVSVESVNRVNGEFVTFYIFDKYAVSQEAKPIVSTTLSTQRGLSPVVNLYCLLLLACASRGGLGQPVGGSPVMAAARPGVALPPPQQQQQRPWGLGAGRRQM
+>sp|Q5TG53|SEAS1_HUMAN Putative uncharacterized protein SERTAD4-AS1 OS=Homo sapiens OX=9606 GN=SERTAD4-AS1 PE=5 SV=2
+MSARPSLPPLPAKPAGSPRLRERPAPVGPGGEDAYSLLLRPQLGTRKVARAEEAGGEEGKREAEAWTRRAAASARRGGELRTEEPPPPAARLCCLGGGCGGGGGGGQKVSATASIPFSCKRALLTSTIPLSPPAKRRGIRTWGHPSYLTPSPTMRD
+>DECOY_sp|Q5TG53|SEAS1_HUMAN Putative uncharacterized protein SERTAD4-AS1 OS=Homo sapiens OX=9606 GN=SERTAD4-AS1 PE=5 SV=2
+DRMTPSPTLYSPHGWTRIGRRKAPPSLPITSTLLARKCSFPISATASVKQGGGGGGGCGGGLCCLRAAPPPPEETRLEGGRRASAAARRTWAEAERKGEEGGAEEARAVKRTGLQPRLLLSYADEGGPGVPAPRERLRPSGAPKAPLPPLSPRASM
+>sp|Q8WWX9|SELM_HUMAN Selenoprotein M OS=Homo sapiens OX=9606 GN=SELENOM PE=1 SV=3
+MSLLLPPLALLLLLAALVAPATAATAYRPDWNRLSGLTRARVETCGGUQLNRLKEVKAFVTQDIPFYHNLVMKHLPGADPELVLLGRRYEELERIPLSEMTREEINALVQELGFYRKAAPDAQVPPEYVWAPAKPPEETSDHADL
+>DECOY_sp|Q8WWX9|SELM_HUMAN Selenoprotein M OS=Homo sapiens OX=9606 GN=SELENOM PE=1 SV=3
+LDAHDSTEEPPKAPAWVYEPPVQADPAAKRYFGLEQVLANIEERTMESLPIRELEEYRRGLLVLEPDAGPLHKMVLNHYFPIDQTVFAKVEKLRNLQUGGCTEVRARTLGSLRNWDPRYATAATAPAVLAALLLLLALPPLLLSM
+>sp|Q9BVL4|SELO_HUMAN Selenoprotein O OS=Homo sapiens OX=9606 GN=SELENOO PE=1 SV=3
+MAVYRAALGASLAAARLLPLGRCSPSPAPRSTLSGAAMEPAPRWLAGLRFDNRALRALPVEAPPPGPEGAPSAPRPVPGACFTRVQPTPLRQPRLVALSEPALALLGLGAPPAREAEAEAALFFSGNALLPGAEPAAHCYCGHQFGQFAGQLGDGAAMYLGEVCTATGERWELQLKGAGPTPFSRQADGRKVLRSSIREFLCSEAMFHLGVPTTRAGACVTSESTVVRDVFYDGNPKYEQCTVVLRVASTFIRFGSFEIFKSADEHTGRAGPSVGRNDIRVQLLDYVISSFYPEIQAAHASDSVQRNAAFFREVTRRTARMVAEWQCVGFCHGVLNTDNMSILGLTIDYGPFGFLDRYDPDHVCNASDNTGRYAYSKQPEVCRWNLRKLAEALQPELPLELGEAILAEEFDAEFQRHYLQKMRRKLGLVQVELEEDGALVSKLLETMHLTGADFTNTFYLLSSFPVELESPGLAEFLARLMEQCASLEELRLAFRPQMDPRQLSMMLMLAQSNPQLFALMGTRAGIARELERVEQQSRLEQLSAAELQSRNQGHWADWLQAYRARLDKDLEGAGDAAAWQAEHVRVMHANNPKYVLRNYIAQNAIEAAERGDFSEVRRVLKLLETPYHCEAGAATDAEATEADGADGRQRSYSSKPPLWAAELCVTUSS
+>DECOY_sp|Q9BVL4|SELO_HUMAN Selenoprotein O OS=Homo sapiens OX=9606 GN=SELENOO PE=1 SV=3
+SSUTVCLEAAWLPPKSSYSRQRGDAGDAETAEADTAAGAECHYPTELLKLVRRVESFDGREAAEIANQAIYNRLVYKPNNAHMVRVHEAQWAAADGAGELDKDLRARYAQLWDAWHGQNRSQLEAASLQELRSQQEVRELERAIGARTGMLAFLQPNSQALMLMMSLQRPDMQPRFALRLEELSACQEMLRALFEALGPSELEVPFSSLLYFTNTFDAGTLHMTELLKSVLAGDEELEVQVLGLKRRMKQLYHRQFEADFEEALIAEGLELPLEPQLAEALKRLNWRCVEPQKSYAYRGTNDSANCVHDPDYRDLFGFPGYDITLGLISMNDTNLVGHCFGVCQWEAVMRATRRTVERFFAANRQVSDSAHAAQIEPYFSSIVYDLLQVRIDNRGVSPGARGTHEDASKFIEFSGFRIFTSAVRLVVTCQEYKPNGDYFVDRVVTSESTVCAGARTTPVGLHFMAESCLFERISSRLVKRGDAQRSFPTPGAGKLQLEWREGTATCVEGLYMAAGDGLQGAFQGFQHGCYCHAAPEAGPLLANGSFFLAAEAEAERAPPAGLGLLALAPESLAVLRPQRLPTPQVRTFCAGPVPRPASPAGEPGPPPAEVPLARLARNDFRLGALWRPAPEMAAGSLTSRPAPSPSCRGLPLLRAAALSAGLAARYVAM
+>sp|Q8WYJ6|SEPT1_HUMAN Septin-1 OS=Homo sapiens OX=9606 GN=SEPT1 PE=1 SV=2
+MDKEYVGFAALPNQLHRKSVKKGFDFTLMVAGESGLGKSTLINSLFLTNLYEDRQVPEASARLTQTLAIERRGVEIEEGGVKVKLTLVDTPGFGDSVDCSDCWLPVVKFIEEQFEQYLRDESGLNRKNIQDSRVHCCLYFISPFGRGLRPLDVAFLRAVHEKVNIIPVIGKADALMPQETQALKQKIRDQLKEEEIHIYQFPECDSDEDEDFKRQDAEMKESIPFAVVGSCEVVRDGGNRPVRGRRYSWGTVEVENPHHCDFLNLRRMLVQTHLQDLKEVTHDLLYEGYRARCLQSLARPGARDRASRSKLSRQSATEIPLPMLPLADTEKLIREKDEELRRMQEMLEKMQAQMQQSQAQGEQSDAL
+>DECOY_sp|Q8WYJ6|SEPT1_HUMAN Septin-1 OS=Homo sapiens OX=9606 GN=SEPT1 PE=1 SV=2
+LADSQEGQAQSQQMQAQMKELMEQMRRLEEDKERILKETDALPLMPLPIETASQRSLKSRSARDRAGPRALSQLCRARYGEYLLDHTVEKLDQLHTQVLMRRLNLFDCHHPNEVEVTGWSYRRGRVPRNGGDRVVECSGVVAFPISEKMEADQRKFDEDEDSDCEPFQYIHIEEEKLQDRIKQKLAQTEQPMLADAKGIVPIINVKEHVARLFAVDLPRLGRGFPSIFYLCCHVRSDQINKRNLGSEDRLYQEFQEEIFKVVPLWCDSCDVSDGFGPTDVLTLKVKVGGEEIEVGRREIALTQTLRASAEPVQRDEYLNTLFLSNILTSKGLGSEGAVMLTFDFGKKVSKRHLQNPLAAFGVYEKDM
+>sp|Q9UH03|SEPT3_HUMAN Neuronal-specific septin-3 OS=Homo sapiens OX=9606 GN=SEPT3 PE=1 SV=3
+MSKGLPETRTDAAMSELVPEPRPKPAVPMKPMSINSNLLGYIGIDTIIEQMRKKTMKTGFDFNIMVVGQSGLGKSTLVNTLFKSQVSRKASSWNREEKIPKTVEIKAIGHVIEEGGVKMKLTVIDTPGFGDQINNENCWEPIEKYINEQYEKFLKEEVNIARKKRIPDTRVHCCLYFISPTGHSLRPLDLEFMKHLSKVVNIIPVIAKADTMTLEEKSEFKQRVRKELEVNGIEFYPQKEFDEDLEDKTENDKIRQESMPFAVVGSDKEYQVNGKRVLGRKTPWGIIEVENLNHCEFALLRDFVIRTHLQDLKEVTHNIHYETYRAKRLNDNGGLPPGEGLLGTVLPPVPATPCPTAE
+>DECOY_sp|Q9UH03|SEPT3_HUMAN Neuronal-specific septin-3 OS=Homo sapiens OX=9606 GN=SEPT3 PE=1 SV=3
+EATPCPTAPVPPLVTGLLGEGPPLGGNDNLRKARYTEYHINHTVEKLDQLHTRIVFDRLLAFECHNLNEVEIIGWPTKRGLVRKGNVQYEKDSGVVAFPMSEQRIKDNETKDELDEDFEKQPYFEIGNVELEKRVRQKFESKEELTMTDAKAIVPIINVVKSLHKMFELDLPRLSHGTPSIFYLCCHVRTDPIRKKRAINVEEKLFKEYQENIYKEIPEWCNENNIQDGFGPTDIVTLKMKVGGEEIVHGIAKIEVTKPIKEERNWSSAKRSVQSKFLTNVLTSKGLGSQGVVMINFDFGTKMTKKRMQEIITDIGIYGLLNSNISMPKMPVAPKPRPEPVLESMAADTRTEPLGKSM
+>sp|O43175|SERA_HUMAN D-3-phosphoglycerate dehydrogenase OS=Homo sapiens OX=9606 GN=PHGDH PE=1 SV=4
+MAFANLRKVLISDSLDPCCRKILQDGGLQVVEKQNLSKEELIAELQDCEGLIVRSATKVTADVINAAEKLQVVGRAGTGVDNVDLEAATRKGILVMNTPNGNSLSAAELTCGMIMCLARQIPQATASMKDGKWERKKFMGTELNGKTLGILGLGRIGREVATRMQSFGMKTIGYDPIISPEVSASFGVQQLPLEEIWPLCDFITVHTPLLPSTTGLLNDNTFAQCKKGVRVVNCARGGIVDEGALLRALQSGQCAGAALDVFTEEPPRDRALVDHENVISCPHLGASTKEAQSRCGEEIAVQFVDMVKGKSLTGVVNAQALTSAFSPHTKPWIGLAEALGTLMRAWAGSPKGTIQVITQGTSLKNAGNCLSPAVIVGLLKEASKQADVNLVNAKLLVKEAGLNVTTSHSPAAPGEQGFGECLLAVALAGAPYQAVGLVQGTTPVLQGLNGAVFRPEVPLRRDLPLLLFRTQTSDPAMLPTMIGLLAEAGVRLLSYQTSLVSDGETWHVMGISSLLPSLEAWKQHVTEAFQFHF
+>DECOY_sp|O43175|SERA_HUMAN D-3-phosphoglycerate dehydrogenase OS=Homo sapiens OX=9606 GN=PHGDH PE=1 SV=4
+FHFQFAETVHQKWAELSPLLSSIGMVHWTEGDSVLSTQYSLLRVGAEALLGIMTPLMAPDSTQTRFLLLPLDRRLPVEPRFVAGNLGQLVPTTGQVLGVAQYPAGALAVALLCEGFGQEGPAAPSHSTTVNLGAEKVLLKANVLNVDAQKSAEKLLGVIVAPSLCNGANKLSTGQTIVQITGKPSGAWARMLTGLAEALGIWPKTHPSFASTLAQANVVGTLSKGKVMDVFQVAIEEGCRSQAEKTSAGLHPCSIVNEHDVLARDRPPEETFVDLAAGACQGSQLARLLAGEDVIGGRACNVVRVGKKCQAFTNDNLLGTTSPLLPTHVTIFDCLPWIEELPLQQVGFSASVEPSIIPDYGITKMGFSQMRTAVERGIRGLGLIGLTKGNLETGMFKKREWKGDKMSATAQPIQRALCMIMGCTLEAASLSNGNPTNMVLIGKRTAAELDVNDVGTGARGVVQLKEAANIVDATVKTASRVILGECDQLEAILEEKSLNQKEVVQLGGDQLIKRCCPDLSDSILVKRLNAFAM
+>sp|P78330|SERB_HUMAN Phosphoserine phosphatase OS=Homo sapiens OX=9606 GN=PSPH PE=1 SV=2
+MVSHSELRKLFYSADAVCFDVDSTVIREEGIDELAKICGVEDAVSEMTRRAMGGAVPFKAALTERLALIQPSREQVQRLIAEQPPHLTPGIRELVSRLQERNVQVFLISGGFRSIVEHVASKLNIPATNVFANRLKFYFNGEYAGFDETQPTAESGGKGKVIKLLKEKFHFKKIIMIGDGATDMEACPPADAFIGFGGNVIRQQVKDNAKWYITDFVELLGELEE
+>DECOY_sp|P78330|SERB_HUMAN Phosphoserine phosphatase OS=Homo sapiens OX=9606 GN=PSPH PE=1 SV=2
+EELEGLLEVFDTIYWKANDKVQQRIVNGGFGIFADAPPCAEMDTAGDGIMIIKKFHFKEKLLKIVKGKGGSEATPQTEDFGAYEGNFYFKLRNAFVNTAPINLKSAVHEVISRFGGSILFVQVNREQLRSVLERIGPTLHPPQEAILRQVQERSPQILALRETLAAKFPVAGGMARRTMESVADEVGCIKALEDIGEERIVTSDVDFCVADASYFLKRLESHSVM
+>sp|Q96SA4|SERC2_HUMAN Serine incorporator 2 OS=Homo sapiens OX=9606 GN=SERINC2 PE=2 SV=3
+MGACLGACSLLSCASCLCGSAPCILCSCCPASRNSTVSRLIFTFFLFLGVLVSIIMLSPGVESQLYKLPWVCEEGAGIPTVLQGHIDCGSLLGYRAVYRMCFATAAFFFFFTLLMLCVSSSRDPRAAIQNGFWFFKFLILVGLTVGAFYIPDGSFTNIWFYFGVVGSFLFILIQLVLLIDFAHSWNQRWLGKAEECDSRAWYAGLFFFTLLFYLLSIAAVALMFMYYTEPSGCHEGKVFISLNLTFCVCVSIAAVLPKVQDAQPNSGLLQASVITLYTMFVTWSALSSIPEQKCNPHLPTQLGNETVVAGPEGYETQWWDAPSIVGLIIFLLCTLFISLRSSDHRQVNSLMQTEECPPMLDATQQQQQVAACEGRAFDNEQDGVTYSYSFFHFCLVLASLHVMMTLTNWYKPGETRKMISTWTAVWVKICASWAGLLLYLWTLVAPLLLRNRDFS
+>DECOY_sp|Q96SA4|SERC2_HUMAN Serine incorporator 2 OS=Homo sapiens OX=9606 GN=SERINC2 PE=2 SV=3
+SFDRNRLLLPAVLTWLYLLLGAWSACIKVWVATWTSIMKRTEGPKYWNTLTMMVHLSALVLCFHFFSYSYTVGDQENDFARGECAAVQQQQQTADLMPPCEETQMLSNVQRHDSSRLSIFLTCLLFIILGVISPADWWQTEYGEPGAVVTENGLQTPLHPNCKQEPISSLASWTVFMTYLTIVSAQLLGSNPQADQVKPLVAAISVCVCFTLNLSIFVKGEHCGSPETYYMFMLAVAAISLLYFLLTFFFLGAYWARSDCEEAKGLWRQNWSHAFDILLVLQILIFLFSGVVGFYFWINTFSGDPIYFAGVTLGVLILFKFFWFGNQIAARPDRSSSVCLMLLTFFFFFAATAFCMRYVARYGLLSGCDIHGQLVTPIGAGEECVWPLKYLQSEVGPSLMIISVLVGLFLFFTFILRSVTSNRSAPCCSCLICPASGCLCSACSLLSCAGLCAGM
+>sp|Q86VE9|SERC5_HUMAN Serine incorporator 5 OS=Homo sapiens OX=9606 GN=SERINC5 PE=2 SV=1
+MSAQCCAGQLACCCGSAGCSLCCDCCPRIRQSLSTRFMYALYFILVVVLCCIMMSTTVAHKMKEHIPFFEDMCKGIKAGDTCEKLVGYSAVYRVCFGMACFFFIFCLLTLKINNSKSCRAHIHNGFWFFKLLLLGAMCSGAFFIPDQDTFLNAWRYVGAVGGFLFIGIQLLLLVEFAHKWNKNWTAGTASNKLWYASLALVTLIMYSIATGGLVLMAVFYTQKDSCMENKILLGVNGGLCLLISLVAISPWVQNRQPHSGLLQSGVISCYVTYLTFSALSSKPAEVVLDEHGKNVTICVPDFGQDLYRDENLVTILGTSLLIGCILYSCLTSTTRSSSDALQGRYAAPELEIARCCFCFSPGGEDTEEQQPGKEGPRVIYDEKKGTVYIYSYFHFVFFLASLYVMMTVTNWFNHVRSAFHLLP
+>DECOY_sp|Q86VE9|SERC5_HUMAN Serine incorporator 5 OS=Homo sapiens OX=9606 GN=SERINC5 PE=2 SV=1
+PLLHFASRVHNFWNTVTMMVYLSALFFVFHFYSYIYVTGKKEDYIVRPGEKGPQQEETDEGGPSFCFCCRAIELEPAAYRGQLADSSSRTTSTLCSYLICGILLSTGLITVLNEDRYLDQGFDPVCITVNKGHEDLVVEAPKSSLASFTLYTVYCSIVGSQLLGSHPQRNQVWPSIAVLSILLCLGGNVGLLIKNEMCSDKQTYFVAMLVLGGTAISYMILTVLALSAYWLKNSATGATWNKNWKHAFEVLLLLQIGIFLFGGVAGVYRWANLFTDQDPIFFAGSCMAGLLLLKFFWFGNHIHARCSKSNNIKLTLLCFIFFFCAMGFCVRYVASYGVLKECTDGAKIGKCMDEFFPIHEKMKHAVTTSMMICCLVVVLIFYLAYMFRTSLSQRIRPCCDCCLSCGASGCCCALQGACCQASM
+>sp|Q9Y617|SERC_HUMAN Phosphoserine aminotransferase OS=Homo sapiens OX=9606 GN=PSAT1 PE=1 SV=2
+MDAPRQVVNFGPGPAKLPHSVLLEIQKELLDYKGVGISVLEMSHRSSDFAKIINNTENLVRELLAVPDNYKVIFLQGGGCGQFSAVPLNLIGLKAGRCADYVVTGAWSAKAAEEAKKFGTINIVHPKLGSYTKIPDPSTWNLNPDASYVYYCANETVHGVEFDFIPDVKGAVLVCDMSSNFLSKPVDVSKFGVIFAGAQKNVGSAGVTVVIVRDDLLGFALRECPSVLEYKVQAGNSSLYNTPPCFSIYVMGLVLEWIKNNGGAAAMEKLSSIKSQTIYEIIDNSQGFYVCPVEPQNRSKMNIPFRIGNAKGDDALEKRFLDKALELNMLSLKGHRSVGGIRASLYNAVTIEDVQKLAAFMKKFLEMHQL
+>DECOY_sp|Q9Y617|SERC_HUMAN Phosphoserine aminotransferase OS=Homo sapiens OX=9606 GN=PSAT1 PE=1 SV=2
+LQHMELFKKMFAALKQVDEITVANYLSARIGGVSRHGKLSLMNLELAKDLFRKELADDGKANGIRFPINMKSRNQPEVPCVYFGQSNDIIEYITQSKISSLKEMAAAGGNNKIWELVLGMVYISFCPPTNYLSSNGAQVKYELVSPCERLAFGLLDDRVIVVTVGASGVNKQAGAFIVGFKSVDVPKSLFNSSMDCVLVAGKVDPIFDFEVGHVTENACYYVYSADPNLNWTSPDPIKTYSGLKPHVINITGFKKAEEAAKASWAGTVVYDACRGAKLGILNLPVASFQGCGGGQLFIVKYNDPVALLERVLNETNNIIKAFDSSRHSMELVSIGVGKYDLLEKQIELLVSHPLKAPGPGFNVVQRPADM
+>sp|Q8TBK2|SETD6_HUMAN N-lysine methyltransferase SETD6 OS=Homo sapiens OX=9606 GN=SETD6 PE=1 SV=2
+MATQAKRPRVAGPVDGGDLDPVACFLSWCRRVGLELSPKVSERAGGRRTRGGARAALTSPPAQVAVSRQGTVAGYGMVARESVQAGELLFVVPRAALLSQHTCSIGGLLERERVALQSQSGWVPLLLALLHELQAPASRWRPYFALWPELGRLEHPMFWPEEERRCLLQGTGVPEAVEKDLANIRSEYQSIVLPFMEAHPDLFSLRVRSLELYHQLVALVMAYSFQEPLEEEEDEKEPNSPVMVPAADILNHLANHNANLEYSANCLRMVATQPIPKGHEIFNTYGQMANWQLIHMYGFVEPYPDNTDDTADIQMVTVREAALQGTKTEAERHLVYERWDFLCKLEMVGEEGAFVIGREEVLTEEELTTTLKVLCMPAEEFRELKDQDGGGDDKREEGSLTITNIPKLKASWRQLLQNSVLLTLQTYATDLKTDQGLLSNKEVYAKLSWREQQALQVRYGQKMILHQLLELTS
+>DECOY_sp|Q8TBK2|SETD6_HUMAN N-lysine methyltransferase SETD6 OS=Homo sapiens OX=9606 GN=SETD6 PE=1 SV=2
+STLELLQHLIMKQGYRVQLAQQERWSLKAYVEKNSLLGQDTKLDTAYTQLTLLVSNQLLQRWSAKLKPINTITLSGEERKDDGGGDQDKLERFEEAPMCLVKLTTTLEEETLVEERGIVFAGEEGVMELKCLFDWREYVLHREAETKTGQLAAERVTVMQIDATDDTNDPYPEVFGYMHILQWNAMQGYTNFIEHGKPIPQTAVMRLCNASYELNANHNALHNLIDAAPVMVPSNPEKEDEEEELPEQFSYAMVLAVLQHYLELSRVRLSFLDPHAEMFPLVISQYESRINALDKEVAEPVGTGQLLCRREEEPWFMPHELRGLEPWLAFYPRWRSAPAQLEHLLALLLPVWGSQSQLAVRERELLGGISCTHQSLLAARPVVFLLEGAQVSERAVMGYGAVTGQRSVAVQAPPSTLAARAGGRTRRGGARESVKPSLELGVRRCWSLFCAVPDLDGGDVPGAVRPRKAQTAM
+>sp|Q01105|SET_HUMAN Protein SET OS=Homo sapiens OX=9606 GN=SET PE=1 SV=3
+MAPKRQSPLPPQKKKPRPPPALGPEETSASAGLPKKGEKEQQEAIEHIDEVQNEIDRLNEQASEEILKVEQKYNKLRQPFFQKRSELIAKIPNFWVTTFVNHPQVSALLGEEDEEALHYLTRVEVTEFEDIKSGYRIDFYFDENPYFENKVLSKEFHLNESGDPSSKSTEIKWKSGKDLTKRSSQTQNKASRKRQHEEPESFFTWFTDHSDAGADELGEVIKDDIWPNPLQYYLVPDMDDEEGEGEEDDDDDEEEEGLEDIDEEGDEDEGEEDEDDDEGEEGEEDEGEDD
+>DECOY_sp|Q01105|SET_HUMAN Protein SET OS=Homo sapiens OX=9606 GN=SET PE=1 SV=3
+DDEGEDEEGEEGEDDDEDEEGEDEDGEEDIDELGEEEEDDDDDEEGEGEEDDMDPVLYYQLPNPWIDDKIVEGLEDAGADSHDTFWTFFSEPEEHQRKRSAKNQTQSSRKTLDKGSKWKIETSKSSPDGSENLHFEKSLVKNEFYPNEDFYFDIRYGSKIDEFETVEVRTLYHLAEEDEEGLLASVQPHNVFTTVWFNPIKAILESRKQFFPQRLKNYKQEVKLIEESAQENLRDIENQVEDIHEIAEQQEKEGKKPLGASASTEEPGLAPPPRPKKKQPPLPSQRKPAM
+>sp|Q15459|SF3A1_HUMAN Splicing factor 3A subunit 1 OS=Homo sapiens OX=9606 GN=SF3A1 PE=1 SV=1
+MPAGPVQAVPPPPPVPTEPKQPTEEEASSKEDSAPSKPVVGIIYPPPEVRNIVDKTASFVARNGPEFEARIRQNEINNPKFNFLNPNDPYHAYYRHKVSEFKEGKAQEPSAAIPKVMQQQQQTTQQQLPQKVQAQVIQETIVPKEPPPEFEFIADPPSISAFDLDVVKLTAQFVARNGRQFLTQLMQKEQRNYQFDFLRPQHSLFNYFTKLVEQYTKILIPPKGLFSKLKKEAENPREVLDQVCYRVEWAKFQERERKKEEEEKEKERVAYAQIDWHDFVVVETVDFQPNEQGNFPPPTTPEELGARILIQERYEKFGESEEVEMEVESDEEDDKQEKAEEPPSQLDQDTQVQDMDEGSDDEEEGQKVPPPPETPMPPPLPPTPDQVIVRKDYDPKASKPLPPAPAPDEYLVSPITGEKIPASKMQEHMRIGLLDPRWLEQRDRSIREKQSDDEVYAPGLDIESSLKQLAERRTDIFGVEETAIGKKIGEEEIQKPEEKVTWDGHSGSMARTQQAAQANITLQEQIEAIHKAKGLVPEDDTKEKIGPSKPNEIPQQPPPPSSATNIPSSAPPITSVPRPPTMPPPVRTTVVSAVPVMPRPPMASVVRLPPGSVIAPMPPIIHAPRINVVPMPPSAPPIMAPRPPPMIVPTAFVPAPPVAPVPAPAPMPPVHPPPPMEDEPTSKKLKTEDSLMPEEEFLRRNKGPVSIKVQVPNMQDKTEWKLNGQVLVFTLPLTDQVSVIKVKIHEATGMPAGKQKLQYEGIFIKDSNSLAYYNMANGAVIHLALKERGGRKK
+>DECOY_sp|Q15459|SF3A1_HUMAN Splicing factor 3A subunit 1 OS=Homo sapiens OX=9606 GN=SF3A1 PE=1 SV=1
+KKRGGREKLALHIVAGNAMNYYALSNSDKIFIGEYQLKQKGAPMGTAEHIKVKIVSVQDTLPLTFVLVQGNLKWETKDQMNPVQVKISVPGKNRRLFEEEPMLSDETKLKKSTPEDEMPPPPHVPPMPAPAPVPAVPPAPVFATPVIMPPPRPAMIPPASPPMPVVNIRPAHIIPPMPAIVSGPPLRVVSAMPPRPMVPVASVVTTRVPPPMTPPRPVSTIPPASSPINTASSPPPPQQPIENPKSPGIKEKTDDEPVLGKAKHIAEIQEQLTINAQAAQQTRAMSGSHGDWTVKEEPKQIEEEGIKKGIATEEVGFIDTRREALQKLSSEIDLGPAYVEDDSQKERISRDRQELWRPDLLGIRMHEQMKSAPIKEGTIPSVLYEDPAPAPPLPKSAKPDYDKRVIVQDPTPPLPPPMPTEPPPPVKQGEEEDDSGEDMDQVQTDQDLQSPPEEAKEQKDDEEDSEVEMEVEESEGFKEYREQILIRAGLEEPTTPPPFNGQENPQFDVTEVVVFDHWDIQAYAVREKEKEEEEKKREREQFKAWEVRYCVQDLVERPNEAEKKLKSFLGKPPILIKTYQEVLKTFYNFLSHQPRLFDFQYNRQEKQMLQTLFQRGNRAVFQATLKVVDLDFASISPPDAIFEFEPPPEKPVITEQIVQAQVKQPLQQQTTQQQQQMVKPIAASPEQAKGEKFESVKHRYYAHYPDNPNLFNFKPNNIENQRIRAEFEPGNRAVFSATKDVINRVEPPPYIIGVVPKSPASDEKSSAEEETPQKPETPVPPPPPVAQVPGAPM
+>sp|Q13435|SF3B2_HUMAN Splicing factor 3B subunit 2 OS=Homo sapiens OX=9606 GN=SF3B2 PE=1 SV=2
+MATEHPEPPKAELQLPPPPPPGHYGAWAAQELQAKLAEIGAPIQGNREELVERLQSYTRQTGIVLNRPVLRGEDGDKAAPPPMSAQLPGIPMPPPPLGLPPLQPPPPPPPPPPGLGLGFPMAHPPNLGPPPPLRVGEPVALSEEERLKLAQQQAALLMQQEERAKQQGDHSLKEHELLEQQKRAAVLLEQERQQEIAKMGTPVPRPPQDMGQIGVRTPLGPRVAAPVGPVGPTPTVLPMGAPVPRPRGPPPPPGDENREMDDPSVGPKIPQALEKILQLKESRQEEMNSQQEEEEMETDARSSLGQSASETEEDTVSVSKKEKNRKRRNRKKKKKPQRVRGVSSESSGDREKDSTRSRGSDSPAADVEIEYVTEEPEIYEPNFIFFKRIFEAFKLTDDVKKEKEKEPEKLDKLENSAAPKKKGFEEEHKDSDDDSSDDEQEKKPEAPKLSKKKLRRMNRFTVAELKQLVARPDVVEMHDVTAQDPKLLVHLKATRNSVPVPRHWCFKRKYLQGKRGIEKPPFELPDFIKRTGIQEMREALQEKEEQKTMKSKMREKVRPKMGKIDIDYQKLHDAFFKWQTKPKLTIHGDLYYEGKEFETRLKEKKPGDLSDELRISLGMPVGPNAHKVPPPWLIAMQRYGPPPSYPNLKIPGLNSPIPESCSFGYHAGGWGKPPVDETGKPLYGDVFGTNAAEFQTKTEEEEIDRTPWGELEPSDEESSEEEEEEESDEDKPDETGFITPADSGLITPGGFSSVPAGMETPELIELRKKKIEEAMDGSETPQLFTVLPEKRTATVGGAMMGSTHIYDMSTVMSRKGPAPELQGVEVALAPEELELDPMAMTQKYEEHVREQQAQVEKEDFSDMVAEHAAKQKQKKRKAQPQDSRGGSKKYKEFKF
+>DECOY_sp|Q13435|SF3B2_HUMAN Splicing factor 3B subunit 2 OS=Homo sapiens OX=9606 GN=SF3B2 PE=1 SV=2
+FKFEKYKKSGGRSDQPQAKRKKQKQKAAHEAVMDSFDEKEVQAQQERVHEEYKQTMAMPDLELEEPALAVEVGQLEPAPGKRSMVTSMDYIHTSGMMAGGVTATRKEPLVTFLQPTESGDMAEEIKKKRLEILEPTEMGAPVSSFGGPTILGSDAPTIFGTEDPKDEDSEEEEEEESSEEDSPELEGWPTRDIEEEETKTQFEAANTGFVDGYLPKGTEDVPPKGWGGAHYGFSCSEPIPSNLGPIKLNPYSPPPGYRQMAILWPPPVKHANPGVPMGLSIRLEDSLDGPKKEKLRTEFEKGEYYLDGHITLKPKTQWKFFADHLKQYDIDIKGMKPRVKERMKSKMTKQEEKEQLAERMEQIGTRKIFDPLEFPPKEIGRKGQLYKRKFCWHRPVPVSNRTAKLHVLLKPDQATVDHMEVVDPRAVLQKLEAVTFRNMRRLKKKSLKPAEPKKEQEDDSSDDDSDKHEEEFGKKKPAASNELKDLKEPEKEKEKKVDDTLKFAEFIRKFFIFNPEYIEPEETVYEIEVDAAPSDSGRSRTSDKERDGSSESSVGRVRQPKKKKKRNRRKRNKEKKSVSVTDEETESASQGLSSRADTEMEEEEQQSNMEEQRSEKLQLIKELAQPIKPGVSPDDMERNEDGPPPPPGRPRPVPAGMPLVTPTPGVPGVPAAVRPGLPTRVGIQGMDQPPRPVPTGMKAIEQQREQELLVAARKQQELLEHEKLSHDGQQKAREEQQMLLAAQQQALKLREEESLAVPEGVRLPPPPGLNPPHAMPFGLGLGPPPPPPPPPPQLPPLGLPPPPMPIGPLQASMPPPAAKDGDEGRLVPRNLVIGTQRTYSQLREVLEERNGQIPAGIEALKAQLEQAAWAGYHGPPPPPPLQLEAKPPEPHETAM
+>sp|Q9Y3B4|SF3B6_HUMAN Splicing factor 3B subunit 6 OS=Homo sapiens OX=9606 GN=SF3B6 PE=1 SV=1
+MAMQAAKRANIRLPPEVNRILYIRNLPYKITAEEMYDIFGKYGPIRQIRVGNTPETRGTAYVVYEDIFDAKNACDHLSGFNVCNRYLVVLYYNANRAFQKMDTKKKEEQLKLLKEKYGINTDPPK
+>DECOY_sp|Q9Y3B4|SF3B6_HUMAN Splicing factor 3B subunit 6 OS=Homo sapiens OX=9606 GN=SF3B6 PE=1 SV=1
+KPPDTNIGYKEKLLKLQEEKKKTDMKQFARNANYYLVVLYRNCVNFGSLHDCANKADFIDEYVVYATGRTEPTNGVRIQRIPGYKGFIDYMEEATIKYPLNRIYLIRNVEPPLRINARKAAQMAM
+>sp|A8K8P3|SFI1_HUMAN Protein SFI1 homolog OS=Homo sapiens OX=9606 GN=SFI1 PE=1 SV=2
+MKNLLTEKCISSHNFHQKVIKQRMEKKVDSRYFKDGAVKKPYSAKTLSNKKSSASFGIRRELPSTSHLVQYRGTHTCTRQGRLRELRIRCVARKFLYLWIRMTFGRVFPSKARFYYEQRLLRKVFEEWKEEWWVFQHEWKLCVRADCHYRYYLYNLMFQTWKTYVRQQQEMRNKYIRAEVHDAKQKMRQAWKSWLIYVVVRRTKLQMQTTALEFRQRIILRVWWSTWRQRLGQVRVSRALHASALKHRALSLQVQAWSQWREQLLYVQKEKQKVVSAVKHHQHWQKRRFLKAWLEYLQVRRVKRQQNEMAERFHHVTVLQIYFCDWQQAWERRESLYAHHAQVEKLARKMALRRAFTHWKHYMLLCAEEAAQFEMAEEHHRHSQLYFCFRALKDNVTHAHLQQIRRNLAHQQHGVTLLHRFWNLWRSQIEQKKERELLPLLHAAWDHYRIALLCKCIELWLQYTQKRRYKQLLQARADGHFQQRALPAAFHTWNRLWRWRHQENVLSARATRFHRETLEKQVFSLWRQKMFQHRENRLAERMAILHAERQLLYRSWFMWHQQAAARHQEQEWQTVACAHHRHGRLKKAFCLWRESAQGLRTERTGRVRAAEFHMAQLLRWAWSQWRECLALRGAERQKLMRADLHHQHSVLHRALQAWVTYQGRVRSILREVAARESQHNRQLLRGALRRWKENTMARVDEAKKTFQASTHYRRTICSKVLVQWREAVSVQMYYRQQEDCAIWEAQKVLDRGCLRTWFQRWWDCSRRSAQQRLQLERAVQHHHRQLLLEGLARWKTHHLQCVRKRLLHRQSTQLLAQRLSRTCFRQWRQQLAARRQEQRATVRALWFWAFSLQAKVWATWLAFVLERRRKKARLQWALQAYQGQLLQEGATRLLRFAASMKASRQQLQAQQQVQAAHSLHRAVRRCATLWKQKVLGRGGKPQPLAAIAPSRKVTFEGPLLNRIAAGAGDGTLETKRPQASRPLGALGRLAAEEPHALELNTAHSARKQPRRPHFLLEPAQSQRPQKPQEHGLGMAQPAAPSLTRPFLAEAPTALVPHSPLPGALSSAPGPKQPPTASTGPELLLLPLSSFMPCGAAAPARVSAQRATPRDKPPVPSSLASVPDPHLLLPGDFSATRAGPGLSTAGSLDLEAELEEIQQQLLHYQTTKQNLWSCRRQASSLRRWLELNREEPGPEDQEVEQQVQKELEQVEMQIQLLAEELQAQRQPIGACVARIQALRQALC
+>DECOY_sp|A8K8P3|SFI1_HUMAN Protein SFI1 homolog OS=Homo sapiens OX=9606 GN=SFI1 PE=1 SV=2
+CLAQRLAQIRAVCAGIPQRQAQLEEALLQIQMEVQELEKQVQQEVEQDEPGPEERNLELWRRLSSAQRRCSWLNQKTTQYHLLQQQIEELEAELDLSGATSLGPGARTASFDGPLLLHPDPVSALSSPVPPKDRPTARQASVRAPAAAGCPMFSSLPLLLLEPGTSATPPQKPGPASSLAGPLPSHPVLATPAEALFPRTLSPAAPQAMGLGHEQPKQPRQSQAPELLFHPRRPQKRASHATNLELAHPEEAALRGLAGLPRSAQPRKTELTGDGAGAAIRNLLPGEFTVKRSPAIAALPQPKGGRGLVKQKWLTACRRVARHLSHAAQVQQQAQLQQRSAKMSAAFRLLRTAGEQLLQGQYAQLAWQLRAKKRRRELVFALWTAWVKAQLSFAWFWLARVTARQEQRRAALQQRWQRFCTRSLRQALLQTSQRHLLRKRVCQLHHTKWRALGELLLQRHHHQVARELQLRQQASRRSCDWWRQFWTRLCGRDLVKQAEWIACDEQQRYYMQVSVAERWQVLVKSCITRRYHTSAQFTKKAEDVRAMTNEKWRRLAGRLLQRNHQSERAAVERLISRVRGQYTVWAQLARHLVSHQHHLDARMLKQREAGRLALCERWQSWAWRLLQAMHFEAARVRGTRETRLGQASERWLCFAKKLRGHRHHACAVTQWEQEQHRAAAQQHWMFWSRYLLQREAHLIAMREALRNERHQFMKQRWLSFVQKELTERHFRTARASLVNEQHRWRWLRNWTHFAAPLARQQFHGDARAQLLQKYRRKQTYQLWLEICKCLLAIRYHDWAAHLLPLLEREKKQEIQSRWLNWFRHLLTVGHQQHALNRRIQQLHAHTVNDKLARFCFYLQSHRHHEEAMEFQAAEEACLLMYHKWHTFARRLAMKRALKEVQAHHAYLSERREWAQQWDCFYIQLVTVHHFREAMENQQRKVRRVQLYELWAKLFRRKQWHQHHKVASVVKQKEKQVYLLQERWQSWAQVQLSLARHKLASAHLARSVRVQGLRQRWTSWWVRLIIRQRFELATTQMQLKTRRVVVYILWSKWAQRMKQKADHVEARIYKNRMEQQQRVYTKWTQFMLNYLYYRYHCDARVCLKWEHQFVWWEEKWEEFVKRLLRQEYYFRAKSPFVRGFTMRIWLYLFKRAVCRIRLERLRGQRTCTHTGRYQVLHSTSPLERRIGFSASSKKNSLTKASYPKKVAGDKFYRSDVKKEMRQKIVKQHFNHSSICKETLLNKM
+>sp|O95104|SFR15_HUMAN Splicing factor, arginine/serine-rich 15 OS=Homo sapiens OX=9606 GN=SCAF4 PE=1 SV=3
+MDAVNAFNQELFSLMDMKPPISRAKMILITKAAIKAIKLYKHVVQIVEKFIKKCKPEYKVPGLYVIDSIVRQSRHQFGTDKDVFGPRFSKNITATFQYLYLCPSEDKSKIVRVLNLWQKNGVFKIEIIQPLLDMAAGTSNAAPVAENVTNNEGSPPPPVKVSSEPPTQATPNSVPAVPQLPSSDAFAAVAQLFQTTQGQQLQQILQTFQQPPKPQSPALDNAVMAQVQAITAQLKTTPTQPSEQKAAFPPPEQKTAFDKKLLDRFDYDDEPEAVEESKKEDTTAVTTTAPAAAVPPAPTATVPAAAAPAAASPPPPQAPFGFPGDGMQQPAYTQHQNMDQFQPRMMGIQQDPMHHQVPLPPNGQMPGFGLLPTPPFPPMAQPVIPPTPPVQQPFQASFQAQNEPLTQKPHQQEMEVEQPCIQEVKRHMSDNRKSRSRSASRSPKRRRSRSGSRSRRSRHRRSRSRSRDRRRHSPRSRSQERRDREKERERRQKGLPQVKPETASVCSTTLWVGQLDKRTTQQDVASLLEEFGPIESINMIPPRGCAYIVMVHRQDAYRALQKLSRGNYKVNQKSIKIAWALNKGIKADYKQYWDVELGVTYIPWDKVKPEELESFCEGGMLDSDTLNPDWKGIPKKPENEVAQNGGAETSHTEPVSPIPKPLPVPVPPIPVPAPITVPPPQVPPHQPGPPVVGALQPPAFTPPLGIPPPGFGPGVPPPPPPPPFLRPGFNPMHLPPGFLPPGPPPPITPPVSIPPPHTPPISIPNSTIAGINEDTTKDLSIGNPIPTVVSGARGNAESGDSVKMYGSAVPPAAPTNLPTPPVTQPVSLLGTQGVAPGPVIGLQAPSTGLLGARPGLIPLQRPPGMPPPHLQRFPLMPPRPMPPHMMHRGPPPGPGGFAMPPPHGMKGPFPPHGPFVRPGGMPGLGGPGPGPGGPEDRDGRQQPPQQPQQQPQPQAPQQPQQQQQQQPPPSQQPPPTQQQPQQFRNDNRQQFNSGRDQERFGRRSFGNRVENDRERYGNRNDDRDNSNRDRREWGRRSPDRDRHRDLEERNRRSSGHRDRERDSRDRESRREKEEARGKEKPEVTDRAGGNKTVEPPISQVGNVDTASELEKGVSEAAVLKPSEELPAEATSSVEPEKDSGSAAEAPR
+>DECOY_sp|O95104|SFR15_HUMAN Splicing factor, arginine/serine-rich 15 OS=Homo sapiens OX=9606 GN=SCAF4 PE=1 SV=3
+RPAEAASGSDKEPEVSSTAEAPLEESPKLVAAESVGKELESATDVNGVQSIPPEVTKNGGARDTVEPKEKGRAEEKERRSERDRSDRERDRHGSSRRNREELDRHRDRDPSRRGWERRDRNSNDRDDNRNGYRERDNEVRNGFSRRGFREQDRGSNFQQRNDNRFQQPQQQTPPPQQSPPPQQQQQQQPQQPAQPQPQQQPQQPPQQRGDRDEPGGPGPGPGGLGPMGGPRVFPGHPPFPGKMGHPPPMAFGGPGPPPGRHMMHPPMPRPPMLPFRQLHPPPMGPPRQLPILGPRAGLLGTSPAQLGIVPGPAVGQTGLLSVPQTVPPTPLNTPAAPPVASGYMKVSDGSEANGRAGSVVTPIPNGISLDKTTDENIGAITSNPISIPPTHPPPISVPPTIPPPPGPPLFGPPLHMPNFGPRLFPPPPPPPPVGPGFGPPPIGLPPTFAPPQLAGVVPPGPQHPPVQPPPVTIPAPVPIPPVPVPLPKPIPSVPETHSTEAGGNQAVENEPKKPIGKWDPNLTDSDLMGGECFSELEEPKVKDWPIYTVGLEVDWYQKYDAKIGKNLAWAIKISKQNVKYNGRSLKQLARYADQRHVMVIYACGRPPIMNISEIPGFEELLSAVDQQTTRKDLQGVWLTTSCVSATEPKVQPLGKQRREREKERDRREQSRSRPSHRRRDRSRSRSRRHRSRRSRSGSRSRRRKPSRSASRSRSKRNDSMHRKVEQICPQEVEMEQQHPKQTLPENQAQFSAQFPQQVPPTPPIVPQAMPPFPPTPLLGFGPMQGNPPLPVQHHMPDQQIGMMRPQFQDMNQHQTYAPQQMGDGPFGFPAQPPPPSAAAPAAAAPVTATPAPPVAAAPATTTVATTDEKKSEEVAEPEDDYDFRDLLKKDFATKQEPPPFAAKQESPQTPTTKLQATIAQVQAMVANDLAPSQPKPPQQFTQLIQQLQQGQTTQFLQAVAAFADSSPLQPVAPVSNPTAQTPPESSVKVPPPPSGENNTVNEAVPAANSTGAAMDLLPQIIEIKFVGNKQWLNLVRVIKSKDESPCLYLYQFTATINKSFRPGFVDKDTGFQHRSQRVISDIVYLGPVKYEPKCKKIFKEVIQVVHKYLKIAKIAAKTILIMKARSIPPKMDMLSFLEQNFANVADM
+>sp|Q6FHJ7|SFRP4_HUMAN Secreted frizzled-related protein 4 OS=Homo sapiens OX=9606 GN=SFRP4 PE=1 SV=2
+MFLSILVALCLWLHLALGVRGAPCEAVRIPMCRHMPWNITRMPNHLHHSTQENAILAIEQYEELVDVNCSAVLRFFLCAMYAPICTLEFLHDPIKPCKSVCQRARDDCEPLMKMYNHSWPESLACDELPVYDRGVCISPEAIVTDLPEDVKWIDITPDMMVQERPLDVDCKRLSPDRCKCKKVKPTLATYLSKNYSYVIHAKIKAVQRSGCNEVTTVVDVKEIFKSSSPIPRTQVPLITNSSCQCPHILPHQDVLIMCYEWRSRMMLLENCLVEKWRDQLSKRSIQWEERLQEQRRTVQDKKKTAGRTSRSNPPKPKGKPPAPKPASPKKNIKTRSAQKRTNPKRV
+>DECOY_sp|Q6FHJ7|SFRP4_HUMAN Secreted frizzled-related protein 4 OS=Homo sapiens OX=9606 GN=SFRP4 PE=1 SV=2
+VRKPNTRKQASRTKINKKPSAPKPAPPKGKPKPPNSRSTRGATKKKDQVTRRQEQLREEWQISRKSLQDRWKEVLCNELLMMRSRWEYCMILVDQHPLIHPCQCSSNTILPVQTRPIPSSSKFIEKVDVVTTVENCGSRQVAKIKAHIVYSYNKSLYTALTPKVKKCKCRDPSLRKCDVDLPREQVMMDPTIDIWKVDEPLDTVIAEPSICVGRDYVPLEDCALSEPWSHNYMKMLPECDDRARQCVSKCPKIPDHLFELTCIPAYMACLFFRLVASCNVDVLEEYQEIALIANEQTSHHLHNPMRTINWPMHRCMPIRVAECPAGRVGLALHLWLCLAVLISLFM
+>sp|Q16586|SGCA_HUMAN Alpha-sarcoglycan OS=Homo sapiens OX=9606 GN=SGCA PE=1 SV=1
+MAETLFWTPLLVVLLAGLGDTEAQQTTLHPLVGRVFVHTLDHETFLSLPEHVAVPPAVHITYHAHLQGHPDLPRWLRYTQRSPHHPGFLYGSATPEDRGLQVIEVTAYNRDSFDTTRQRLVLEIGDPEGPLLPYQAEFLVRSHDAEEVLPSTPASRFLSALGGLWEPGELQLLNVTSALDRGGRVPLPIEGRKEGVYIKVGSASPFSTCLKMVASPDSHARCAQGQPPLLSCYDTLAPHFRVDWCNVTLVDKSVPEPADEVPTPGDGILEHDPFFCPPTEAPDRDFLVDALVTLLVPLLVALLLTLLLAYVMCCRREGRLKRDLATSDIQMVHHCTIHGNTEELRQMAASREVPRPLSTLPMFNVHTGERLPPRVDSAQVPLILDQH
+>DECOY_sp|Q16586|SGCA_HUMAN Alpha-sarcoglycan OS=Homo sapiens OX=9606 GN=SGCA PE=1 SV=1
+HQDLILPVQASDVRPPLREGTHVNFMPLTSLPRPVERSAAMQRLEETNGHITCHHVMQIDSTALDRKLRGERRCCMVYALLLTLLLAVLLPVLLTVLADVLFDRDPAETPPCFFPDHELIGDGPTPVEDAPEPVSKDVLTVNCWDVRFHPALTDYCSLLPPQGQACRAHSDPSAVMKLCTSFPSASGVKIYVGEKRGEIPLPVRGGRDLASTVNLLQLEGPEWLGGLASLFRSAPTSPLVEEADHSRVLFEAQYPLLPGEPDGIELVLRQRTTDFSDRNYATVEIVQLGRDEPTASGYLFGPHHPSRQTYRLWRPLDPHGQLHAHYTIHVAPPVAVHEPLSLFTEHDLTHVFVRGVLPHLTTQQAETDGLGALLVVLLPTWFLTEAM
+>sp|Q16585|SGCB_HUMAN Beta-sarcoglycan OS=Homo sapiens OX=9606 GN=SGCB PE=1 SV=1
+MAAAAAAAAEQQSSNGPVKKSMREKAVERRSVNKEHNSNFKAGYIPIDEDRLHKTGLRGRKGNLAICVIILLFILAVINLIITLVIWAVIRIGPNGCDSMEFHESGLLRFKQVSDMGVIHPLYKSTVGGRRNENLVITGNNQPIVFQQGTTKLSVENNKTSITSDIGMQFFDPRTQNILFSTDYETHEFHLPSGVKSLNVQKASTERITSNATSDLNIKVDGRAIVRGNEGVFIMGKTIEFHMGGNMELKAENSIILNGSVMVSTTRLPSSSSGDQLGSGDWVRYKLCMCADGTLFKVQVTSQNMGCQISDNPCGNTH
+>DECOY_sp|Q16585|SGCB_HUMAN Beta-sarcoglycan OS=Homo sapiens OX=9606 GN=SGCB PE=1 SV=1
+HTNGCPNDSIQCGMNQSTVQVKFLTGDACMCLKYRVWDGSGLQDGSSSSPLRTTSVMVSGNLIISNEAKLEMNGGMHFEITKGMIFVGENGRVIARGDVKINLDSTANSTIRETSAKQVNLSKVGSPLHFEHTEYDTSFLINQTRPDFFQMGIDSTISTKNNEVSLKTTGQQFVIPQNNGTIVLNENRRGGVTSKYLPHIVGMDSVQKFRLLGSEHFEMSDCGNPGIRIVAWIVLTIILNIVALIFLLIIVCIALNGKRGRLGTKHLRDEDIPIYGAKFNSNHEKNVSRREVAKERMSKKVPGNSSQQEAAAAAAAAM
+>sp|Q96LD1|SGCZ_HUMAN Zeta-sarcoglycan OS=Homo sapiens OX=9606 GN=SGCZ PE=2 SV=1
+MTREQYILATQQNNLPRTENAQLYPVGIYGWRKRCLYFFVLLLLVTMIVNLAMTIWILKVMNFTVDGMGNLRVTKKGIRLEGISEFLLPLYVKEIHSRKDSPLVLQSDRNVTVNARNHMGQLTGQLTIGADAVEAQCKRFEVRASEDGRVLFSADEDEITIGAEKLKVTGTEGAVFGHSVETPHIRAEPSQDLRLESPTRSLIMEAPRGVQVSAAAGDFKATCRKELHLQSTEGEIFLNAETIKLGNLPTGSFSSSSPSSSSSRQTVYELCVCPNGKLYLSPAGVGSTCQSSSNICLWS
+>DECOY_sp|Q96LD1|SGCZ_HUMAN Zeta-sarcoglycan OS=Homo sapiens OX=9606 GN=SGCZ PE=2 SV=1
+SWLCINSSSQCTSGVGAPSLYLKGNPCVCLEYVTQRSSSSSPSSSSFSGTPLNGLKITEANLFIEGETSQLHLEKRCTAKFDGAAASVQVGRPAEMILSRTPSELRLDQSPEARIHPTEVSHGFVAGETGTVKLKEAGITIEDEDASFLVRGDESARVEFRKCQAEVADAGITLQGTLQGMHNRANVTVNRDSQLVLPSDKRSHIEKVYLPLLFESIGELRIGKKTVRLNGMGDVTFNMVKLIWITMALNVIMTVLLLLVFFYLCRKRWGYIGVPYLQANETRPLNNQQTALIYQERTM
+>sp|Q9NP50|SHCAF_HUMAN SIN3-HDAC complex-associated factor OS=Homo sapiens OX=9606 GN=SINHCAF PE=1 SV=1
+MFGFHKPKMYRSIEGCCICRAKSSSSRFTDSKRYEKDFQSCFGLHETRSGDICNACVLLVKRWKKLPAGSKKNWNHVVDARAGPSLKTTLKPKKVKTLSGNRIKSNQISKLQKEFKRHNSDAHSTTSSASPAQSPCYSNQSDDGSDTEMASGSNRTPVFSFLDLTYWKRQKICCGIIYKGRFGEVLIDTHLFKPCCSNKKAAAEKPEEQGPEPLPISTQEW
+>DECOY_sp|Q9NP50|SHCAF_HUMAN SIN3-HDAC complex-associated factor OS=Homo sapiens OX=9606 GN=SINHCAF PE=1 SV=1
+WEQTSIPLPEPGQEEPKEAAAKKNSCCPKFLHTDILVEGFRGKYIIGCCIKQRKWYTLDLFSFVPTRNSGSAMETDSGDDSQNSYCPSQAPSASSTTSHADSNHRKFEKQLKSIQNSKIRNGSLTKVKKPKLTTKLSPGARADVVHNWNKKSGAPLKKWRKVLLVCANCIDGSRTEHLGFCSQFDKEYRKSDTFRSSSSKARCICCGEISRYMKPKHFGFM
+>sp|Q96IW2|SHD_HUMAN SH2 domain-containing adapter protein D OS=Homo sapiens OX=9606 GN=SHD PE=1 SV=1
+MAKWLRDYLSFGGRRPPPQPPTPDYTESDILRAYRAQKNLDFEDPYEDAESRLEPDPAGPGDSKNPGDAKYGSPKHRLIKVEAADMARAKALLGGPGEELEADTEYLDPFDAQPHPAPPDDGYMEPYDAQWVMSELPGRGVQLYDTPYEEQDPETADGPPSGQKPRQSRMPQEDERPADEYDQPWEWKKDHISRAFAVQFDSPEWERTPGSAKELRRPPPRSPQPAERVDPALPLEKQPWFHGPLNRADAESLLSLCKEGSYLVRLSETNPQDCSLSLRSSQGFLHLKFARTRENQVVLGQHSGPFPSVPELVLHYSSRPLPVQGAEHLALLYPVVTQTP
+>DECOY_sp|Q96IW2|SHD_HUMAN SH2 domain-containing adapter protein D OS=Homo sapiens OX=9606 GN=SHD PE=1 SV=1
+PTQTVVPYLLALHEAGQVPLPRSSYHLVLEPVSPFPGSHQGLVVQNERTRAFKLHLFGQSSRLSLSCDQPNTESLRVLYSGEKCLSLLSEADARNLPGHFWPQKELPLAPDVREAPQPSRPPPRRLEKASGPTREWEPSDFQVAFARSIHDKKWEWPQDYEDAPREDEQPMRSQRPKQGSPPGDATEPDQEEYPTDYLQVGRGPLESMVWQADYPEMYGDDPPAPHPQADFPDLYETDAELEEGPGGLLAKARAMDAAEVKILRHKPSGYKADGPNKSDGPGAPDPELRSEADEYPDEFDLNKQARYARLIDSETYDPTPPQPPPRRGGFSLYDRLWKAM
+>sp|A6NKW6|SHL2B_HUMAN Protein shisa-like-2B OS=Homo sapiens OX=9606 GN=SHISAL2B PE=3 SV=2
+MSEASRLCSGYYSLNQSFVEPFQCPRRGEGAALQYCCGFADLKYCCSEPGSYFPYKHSYMWSLSIGALIGLGIAALVLLAFVISVCVLCYLFLYTKPQRLDTGLKLQHLEASSTQEGKSNGKTKALNSNAASNATNETYYEADDIIQEKTMDATQIHIAY
+>DECOY_sp|A6NKW6|SHL2B_HUMAN Protein shisa-like-2B OS=Homo sapiens OX=9606 GN=SHISAL2B PE=3 SV=2
+YAIHIQTADMTKEQIIDDAEYYTENTANSAANSNLAKTKGNSKGEQTSSAELHQLKLGTDLRQPKTYLFLYCLVCVSIVFALLVLAAIGLGILAGISLSWMYSHKYPFYSGPESCCYKLDAFGCCYQLAAGEGRRPCQFPEVFSQNLSYYGSCLRSAESM
+>sp|Q9Y371|SHLB1_HUMAN Endophilin-B1 OS=Homo sapiens OX=9606 GN=SH3GLB1 PE=1 SV=1
+MNIMDFNVKKLAADAGTFLSRAVQFTEEKLGQAEKTELDAHLENLLSKAECTKIWTEKIMKQTEVLLQPNPNARIEEFVYEKLDRKAPSRINNPELLGQYMIDAGTEFGPGTAYGNALIKCGETQKRIGTADRELIQTSALNFLTPLRNFIEGDYKTIAKERKLLQNKRLDLDAAKTRLKKAKAAETRNSSEQELRITQSEFDRQAEITRLLLEGISSTHAHHLRCLNDFVEAQMTYYAQCYQYMLDLQKQLGSFPSNYLSNNNQTSVTPVPSVLPNAIGSSAMASTSGLVITSPSNLSDLKECSGSRKARVLYDYDAANSTELSLLADEVITVFSVVGMDSDWLMGERGNQKGKVPITYLELLN
+>DECOY_sp|Q9Y371|SHLB1_HUMAN Endophilin-B1 OS=Homo sapiens OX=9606 GN=SH3GLB1 PE=1 SV=1
+NLLELYTIPVKGKQNGREGMLWDSDMGVVSFVTIVEDALLSLETSNAADYDYLVRAKRSGSCEKLDSLNSPSTIVLGSTSAMASSGIANPLVSPVPTVSTQNNNSLYNSPFSGLQKQLDLMYQYCQAYYTMQAEVFDNLCRLHHAHTSSIGELLLRTIEAQRDFESQTIRLEQESSNRTEAAKAKKLRTKAADLDLRKNQLLKREKAITKYDGEIFNRLPTLFNLASTQILERDATGIRKQTEGCKILANGYATGPGFETGADIMYQGLLEPNNIRSPAKRDLKEYVFEEIRANPNPQLLVETQKMIKETWIKTCEAKSLLNELHADLETKEAQGLKEETFQVARSLFTGADAALKKVNFDMINM
+>sp|Q9UQ13|SHOC2_HUMAN Leucine-rich repeat protein SHOC-2 OS=Homo sapiens OX=9606 GN=SHOC2 PE=1 SV=2
+MSSSLGKEKDSKEKDPKVPSAKEREKEAKASGGFGKESKEKEPKTKGKDAKDGKKDSSAAQPGVAFSVDNTIKRPNPAPGTRKKSSNAEVIKELNKCREENSMRLDLSKRSIHILPSSIKELTQLTELYLYSNKLQSLPAEVGCLVNLMTLALSENSLTSLPDSLDNLKKLRMLDLRHNKLREIPSVVYRLDSLTTLYLRFNRITTVEKDIKNLSKLSMLSIRENKIKQLPAEIGELCNLITLDVAHNQLEHLPKEIGNCTQITNLDLQHNELLDLPDTIGNLSSLSRLGLRYNRLSAIPRSLAKCSALEELNLENNNISTLPESLLSSLVKLNSLTLARNCFQLYPVGGPSQFSTIYSLNMEHNRINKIPFGIFSRAKVLSKLNMKDNQLTSLPLDFGTWTSMVELNLATNQLTKIPEDVSGLVSLEVLILSNNLLKKLPHGLGNLRKLRELDLEENKLESLPNEIAYLKDLQKLVLTNNQLTTLPRGIGHLTNLTHLGLGENLLTHLPEEIGTLENLEELYLNDNPNLHSLPFELALCSKLSIMSIENCPLSHLPPQIVAGGPSFIIQFLKMQGPYRAMV
+>DECOY_sp|Q9UQ13|SHOC2_HUMAN Leucine-rich repeat protein SHOC-2 OS=Homo sapiens OX=9606 GN=SHOC2 PE=1 SV=2
+VMARYPGQMKLFQIIFSPGGAVIQPPLHSLPCNEISMISLKSCLALEFPLSHLNPNDNLYLEELNELTGIEEPLHTLLNEGLGLHTLNTLHGIGRPLTTLQNNTLVLKQLDKLYAIENPLSELKNEELDLERLKRLNGLGHPLKKLLNNSLILVELSVLGSVDEPIKTLQNTALNLEVMSTWTGFDLPLSTLQNDKMNLKSLVKARSFIGFPIKNIRNHEMNLSYITSFQSPGGVPYLQFCNRALTLSNLKVLSSLLSEPLTSINNNELNLEELASCKALSRPIASLRNYRLGLRSLSSLNGITDPLDLLENHQLDLNTIQTCNGIEKPLHELQNHAVDLTILNCLEGIEAPLQKIKNERISLMSLKSLNKIDKEVTTIRNFRLYLTTLSDLRYVVSPIERLKNHRLDLMRLKKLNDLSDPLSTLSNESLALTMLNVLCGVEAPLSQLKNSYLYLETLQTLEKISSPLIHISRKSLDLRMSNEERCKNLEKIVEANSSKKRTGPAPNPRKITNDVSFAVGPQAASSDKKGDKADKGKTKPEKEKSEKGFGGSAKAEKEREKASPVKPDKEKSDKEKGLSSSM
+>sp|Q8TF72|SHRM3_HUMAN Protein Shroom3 OS=Homo sapiens OX=9606 GN=SHROOM3 PE=1 SV=2
+MMRTTEDFHKPSATLNSNTATKGRYIYLEAFLEGGAPWGFTLKGGLEHGEPLIISKVEEGGKADTLSSKLQAGDEVVHINEVTLSSSRKEAVSLVKGSYKTLRLVVRRDVCTDPGHADTGASNFVSPEHLTSGPQHRKAAWSGGVKLRLKHRRSEPAGRPHSWHTTKSGEKQPDASMMQISQGMIGPPWHQSYHSSSSTSDLSNYDHAYLRRSPDQCSSQGSMESLEPSGAYPPCHLSPAKSTGSIDQLSHFHNKRDSAYSSFSTSSSILEYPHPGISGRERSGSMDNTSARGGLLEGMRQADIRYVKTVYDTRRGVSAEYEVNSSALLLQGREARASANGQGYDKWSNIPRGKGVPPPSWSQQCPSSLETATDNLPPKVGAPLPPARSDSYAAFRHRERPSSWSSLDQKRLCRPQANSLGSLKSPFIEEQLHTVLEKSPENSPPVKPKHNYTQKAQPGQPLLPTSIYPVPSLEPHFAQVPQPSVSSNGMLYPALAKESGYIAPQGACNKMATIDENGNQNGSGRPGFAFCQPLEHDLLSPVEKKPEATAKYVPSKVHFCSVPENEEDASLKRHLTPPQGNSPHSNERKSTHSNKPSSHPHSLKCPQAQAWQAGEDKRSSRLSEPWEGDFQEDHNANLWRRLEREGLGQSLSGNFGKTKSAFSSLQNIPESLRRHSSLELGRGTQEGYPGGRPTCAVNTKAEDPGRKAAPDLGSHLDRQVSYPRPEGRTGASASFNSTDPSPEEPPAPSHPHTSSLGRRGPGPGSASALQGFQYGKPHCSVLEKVSKFEQREQGSQRPSVGGSGFGHNYRPHRTVSTSSTSGNDFEETKAHIRFSESAEPLGNGEQHFKNGELKLEEASRQPCGQQLSGGASDSGRGPQRPDARLLRSQSTFQLSSEPEREPEWRDRPGSPESPLLDAPFSRAYRNSIKDAQSRVLGATSFRRRDLELGAPVASRSWRPRPSSAHVGLRSPEASASASPHTPRERHSVTPAEGDLARPVPPAARRGARRRLTPEQKKRSYSEPEKMNEVGIVEEAEPAPLGPQRNGMRFPESSVADRRRLFERDGKACSTLSLSGPELKQFQQSALADYIQRKTGKRPTSAAGCSLQEPGPLRERAQSAYLQPGPAALEGSGLASASSLSSLREPSLQPRREATLLPATVAETQQAPRDRSSSFAGGRRLGERRRGDLLSGANGGTRGTQRGDETPREPSSWGARAGKSMSAEDLLERSDVLAGPVHVRSRSSPATADKRQDVLLGQDSGFGLVKDPCYLAGPGSRSLSCSERGQEEMLPLFHHLTPRWGGSGCKAIGDSSVPSECPGTLDHQRQASRTPCPRPPLAGTQGLVTDTRAAPLTPIGTPLPSAIPSGYCSQDGQTGRQPLPPYTPAMMHRSNGHTLTQPPGPRGCEGDGPEHGVEEGTRKRVSLPQWPPPSRAKWAHAAREDSLPEESSAPDFANLKHYQKQQSLPSLCSTSDPDTPLGAPSTPGRISLRISESVLRDSPPPHEDYEDEVFVRDPHPKATSSPTFEPLPPPPPPPPSQETPVYSMDDFPPPPPHTVCEAQLDSEDPEGPRPSFNKLSKVTIARERHMPGAAHVVGSQTLASRLQTSIKGSEAESTPPSFMSVHAQLAGSLGGQPAPIQTQSLSHDPVSGTQGLEKKVSPDPQKSSEDIRTEALAKEIVHQDKSLADILDPDSRLKTTMDLMEGLFPRDVNLLKENSVKRKAIQRTVSSSGCEGKRNEDKEAVSMLVNCPAYYSVSAPKAELLNKIKEMPAEVNEEEEQADVNEKKAELIGSLTHKLETLQEAKGSLLTDIKLNNALGEEVEALISELCKPNEFDKYRMFIGDLDKVVNLLLSLSGRLARVENVLSGLGEDASNEERSSLYEKRKILAGQHEDARELKENLDRRERVVLGILANYLSEEQLQDYQHFVKMKSTLLIEQRKLDDKIKLGQEQVKCLLESLPSDFIPKAGALALPPNLTSEPIPAGGCTFSGIFPTLTSPL
+>DECOY_sp|Q8TF72|SHRM3_HUMAN Protein Shroom3 OS=Homo sapiens OX=9606 GN=SHROOM3 PE=1 SV=2
+LPSTLTPFIGSFTCGGAPIPESTLNPPLALAGAKPIFDSPLSELLCKVQEQGLKIKDDLKRQEILLTSKMKVFHQYDQLQEESLYNALIGLVVRERRDLNEKLERADEHQGALIKRKEYLSSREENSADEGLGSLVNEVRALRGSLSLLLNVVKDLDGIFMRYKDFENPKCLESILAEVEEGLANNLKIDTLLSGKAEQLTELKHTLSGILEAKKENVDAQEEEENVEAPMEKIKNLLEAKPASVSYYAPCNVLMSVAEKDENRKGECGSSSVTRQIAKRKVSNEKLLNVDRPFLGEMLDMTTKLRSDPDLIDALSKDQHVIEKALAETRIDESSKQPDPSVKKELGQTGSVPDHSLSQTQIPAPQGGLSGALQAHVSMFSPPTSEAESGKISTQLRSALTQSGVVHAAGPMHRERAITVKSLKNFSPRPGEPDESDLQAECVTHPPPPPFDDMSYVPTEQSPPPPPPPPLPEFTPSSTAKPHPDRVFVEDEYDEHPPPSDRLVSESIRLSIRGPTSPAGLPTDPDSTSCLSPLSQQKQYHKLNAFDPASSEEPLSDERAAHAWKARSPPPWQPLSVRKRTGEEVGHEPGDGECGRPGPPQTLTHGNSRHMMAPTYPPLPQRGTQGDQSCYGSPIASPLPTGIPTLPAARTDTVLGQTGALPPRPCPTRSAQRQHDLTGPCESPVSSDGIAKCGSGGWRPTLHHFLPLMEEQGRESCSLSRSGPGALYCPDKVLGFGSDQGLLVDQRKDATAPSSRSRVHVPGALVDSRELLDEASMSKGARAGWSSPERPTEDGRQTGRTGGNAGSLLDGRRREGLRRGGAFSSSRDRPAQQTEAVTAPLLTAERRPQLSPERLSSLSSASALGSGELAAPGPQLYASQARERLPGPEQLSCGAASTPRKGTKRQIYDALASQQFQKLEPGSLSLTSCAKGDREFLRRRDAVSSEPFRMGNRQPGLPAPEAEEVIGVENMKEPESYSRKKQEPTLRRRAGRRAAPPVPRALDGEAPTVSHRERPTHPSASASAEPSRLGVHASSPRPRWSRSAVPAGLELDRRRFSTAGLVRSQADKISNRYARSFPADLLPSEPSGPRDRWEPEREPESSLQFTSQSRLLRADPRQPGRGSDSAGGSLQQGCPQRSAEELKLEGNKFHQEGNGLPEASESFRIHAKTEEFDNGSTSSTSVTRHPRYNHGFGSGGVSPRQSGQERQEFKSVKELVSCHPKGYQFGQLASASGPGPGRRGLSSTHPHSPAPPEEPSPDTSNFSASAGTRGEPRPYSVQRDLHSGLDPAAKRGPDEAKTNVACTPRGGPYGEQTGRGLELSSHRRLSEPINQLSSFASKTKGFNGSLSQGLGERELRRWLNANHDEQFDGEWPESLRSSRKDEGAQWAQAQPCKLSHPHSSPKNSHTSKRENSHPSNGQPPTLHRKLSADEENEPVSCFHVKSPVYKATAEPKKEVPSLLDHELPQCFAFGPRGSGNQNGNEDITAMKNCAGQPAIYGSEKALAPYLMGNSSVSPQPVQAFHPELSPVPYISTPLLPQGPQAKQTYNHKPKVPPSNEPSKELVTHLQEEIFPSKLSGLSNAQPRCLRKQDLSSWSSPRERHRFAAYSDSRAPPLPAGVKPPLNDTATELSSPCQQSWSPPPVGKGRPINSWKDYGQGNASARAERGQLLLASSNVEYEASVGRRTDYVTKVYRIDAQRMGELLGGRASTNDMSGSRERGSIGPHPYELISSSTSFSSYASDRKNHFHSLQDISGTSKAPSLHCPPYAGSPELSEMSGQSSCQDPSRRLYAHDYNSLDSTSSSSHYSQHWPPGIMGQSIQMMSADPQKEGSKTTHWSHPRGAPESRRHKLRLKVGGSWAAKRHQPGSTLHEPSVFNSAGTDAHGPDTCVDRRVVLRLTKYSGKVLSVAEKRSSSLTVENIHVVEDGAQLKSSLTDAKGGEEVKSIILPEGHELGGKLTFGWPAGGELFAELYIYRGKTATNSNLTASPKHFDETTRMM
+>sp|Q8TCY0|SI11B_HUMAN Small integral membrane protein 11B OS=Homo sapiens OX=9606 GN=SMIM11B PE=3 SV=1
+MNWKVLTGTTYSPWRVRMEFPLCGCLSLILHHFADKEGRTIGRRESCLATIWTISRPWQAGSLWITLS
+>DECOY_sp|Q8TCY0|SI11B_HUMAN Small integral membrane protein 11B OS=Homo sapiens OX=9606 GN=SMIM11B PE=3 SV=1
+SLTIWLSGAQWPRSITWITALCSERRGITRGEKDAFHHLILSLCGCLPFEMRVRWPSYTTGTLVKWNM
+>sp|Q96PQ1|SIG12_HUMAN Sialic acid-binding Ig-like lectin 12 OS=Homo sapiens OX=9606 GN=SIGLEC12 PE=2 SV=1
+MLLLLLLLPPLLCGRVGAKEQKDYLLTMQKSVTVQEGLCVSVLCSFSYPQNGWTASDPVHGYWFRAGDHVSRNIPVATNNPARAVQEETRDRFHLLGDPQNKDCTLSIRDTRESDAGTYVFCVERGNMKWNYKYDQLSVNVTASQDLLSRYRLEVPESVTVQEGLCVSVPCSVLYPHYNWTASSPVYGSWFKEGADIPWDIPVATNTPSGKVQEDTHGRFLLLGDPQTNNCSLSIRDARKGDSGKYYFQVERGSRKWNYIYDKLSVHVTALTHMPTFSIPGTLESGHPRNLTCSVPWACEQGTPPTITWMGASVSSLDPTITRSSMLSLIPQPQDHGTSLTCQVTLPGAGVTMTRAVRLNISYPPQNLTMTVFQGDGTASTTLRNGSALSVLEGQSLHLVCAVDSNPPARLSWTWGSLTLSPSQSSNLGVLELPRVHVKDEGEFTCRAQNPLGSQHISLSLSLQNEYTGKMRPISGVTLGAFGGAGATALVFLYFCIIFVVVRSCRKKSARPAVGVGDTGMEDANAVRGSASQGPLIESPADDSPPHHAPPALATPSPEEGEIQYASLSFHKARPQYPQEQEAIGYEYSEINIPK
+>DECOY_sp|Q96PQ1|SIG12_HUMAN Sialic acid-binding Ig-like lectin 12 OS=Homo sapiens OX=9606 GN=SIGLEC12 PE=2 SV=1
+KPINIESYEYGIAEQEQPYQPRAKHFSLSAYQIEGEEPSPTALAPPAHHPPSDDAPSEILPGQSASGRVANADEMGTDGVGVAPRASKKRCSRVVVFIICFYLFVLATAGAGGFAGLTVGSIPRMKGTYENQLSLSLSIHQSGLPNQARCTFEGEDKVHVRPLELVGLNSSQSPSLTLSGWTWSLRAPPNSDVACVLHLSQGELVSLASGNRLTTSATGDGQFVTMTLNQPPYSINLRVARTMTVGAGPLTVQCTLSTGHDQPQPILSLMSSRTITPDLSSVSAGMWTITPPTGQECAWPVSCTLNRPHGSELTGPISFTPMHTLATVHVSLKDYIYNWKRSGREVQFYYKGSDGKRADRISLSCNNTQPDGLLLFRGHTDEQVKGSPTNTAVPIDWPIDAGEKFWSGYVPSSATWNYHPYLVSCPVSVCLGEQVTVSEPVELRYRSLLDQSATVNVSLQDYKYNWKMNGREVCFVYTGADSERTDRISLTCDKNQPDGLLHFRDRTEEQVARAPNNTAVPINRSVHDGARFWYGHVPDSATWGNQPYSFSCLVSVCLGEQVTVSKQMTLLYDKQEKAGVRGCLLPPLLLLLLLM
+>sp|Q08ET2|SIG14_HUMAN Sialic acid-binding Ig-like lectin 14 OS=Homo sapiens OX=9606 GN=SIGLEC14 PE=1 SV=1
+MLPLLLLPLLWGGSLQEKPVYELQVQKSVTVQEGLCVLVPCSFSYPWRSWYSSPPLYVYWFRDGEIPYYAEVVATNNPDRRVKPETQGRFRLLGDVQKKNCSLSIGDARMEDTGSYFFRVERGRDVKYSYQQNKLNLEVTALIEKPDIHFLEPLESGRPTRLSCSLPGSCEAGPPLTFSWTGNALSPLDPETTRSSELTLTPRPEDHGTNLTCQVKRQGAQVTTERTVQLNVSYAPQNLAISIFFRNGTGTALRILSNGMSVPIQEGQSLFLACTVDSNPPASLSWFREGKALNPSQTSMSGTLELPNIGAREGGEFTCRVQHPLGSQHLSFILSVQRSSSSCICVTEKQQGSWPLVLTLIRGALMGAGFLLTYGLTWIYYTRCGGPQQSRAERPG
+>DECOY_sp|Q08ET2|SIG14_HUMAN Sialic acid-binding Ig-like lectin 14 OS=Homo sapiens OX=9606 GN=SIGLEC14 PE=1 SV=1
+GPREARSQQPGGCRTYYIWTLGYTLLFGAGMLAGRILTLVLPWSGQQKETVCICSSSSRQVSLIFSLHQSGLPHQVRCTFEGGERAGINPLELTGSMSTQSPNLAKGERFWSLSAPPNSDVTCALFLSQGEQIPVSMGNSLIRLATGTGNRFFISIALNQPAYSVNLQVTRETTVQAGQRKVQCTLNTGHDEPRPTLTLESSRTTEPDLPSLANGTWSFTLPPGAECSGPLSCSLRTPRGSELPELFHIDPKEILATVELNLKNQQYSYKVDRGREVRFFYSGTDEMRADGISLSCNKKQVDGLLRFRGQTEPKVRRDPNNTAVVEAYYPIEGDRFWYVYLPPSSYWSRWPYSFSCPVLVCLGEQVTVSKQVQLEYVPKEQLSGGWLLPLLLLPLM
+>sp|P57059|SIK1_HUMAN Serine/threonine-protein kinase SIK1 OS=Homo sapiens OX=9606 GN=SIK1 PE=1 SV=2
+MVIMSEFSADPAGQGQGQQKPLRVGFYDIERTLGKGNFAVVKLARHRVTKTQVAIKIIDKTRLDSSNLEKIYREVQLMKLLNHPHIIKLYQVMETKDMLYIVTEFAKNGEMFDYLTSNGHLSENEARKKFWQILSAVEYCHDHHIVHRDLKTENLLLDGNMDIKLADFGFGNFYKSGEPLSTWCGSPPYAAPEVFEGKEYEGPQLDIWSLGVVLYVLVCGSLPFDGPNLPTLRQRVLEGRFRIPFFMSQDCESLIRRMLVVDPARRITIAQIRQHRWMRAEPCLPGPACPAFSAHSYTSNLGDYDEQALGIMQTLGVDRQRTVESLQNSSYNHFAAIYYLLLERLKEYRNAQCARPGPARQPRPRSSDLSGLEVPQEGLSTDPFRPALLCPQPQTLVQSVLQAEMDCELQSSLQWPLFFPVDASCSGVFRPRPVSPSSLLDTAISEEARQGPGLEEEQDTQESLPSSTGRRHTLAEVSTRLSPLTAPCIVVSPSTTASPAEGTSSDSCLTFSASKSPAGLSGTPATQGLLGACSPVRLASPFLGSQSATPVLQAQGGLGGAVLLPVSFQEGRRASDTSLTQGLKAFRQQLRKTTRTKGFLGLNKIKGLARQVCQAPASRASRGGLSPFHAPAQSPGLHGGAAGSREGWSLLEEVLEQQRLLQLQHHPAAAPGCSQAPQPAPAPFVIAPCDGPGAAPLPSTLLTSGLPLLPPPLLQTGASPVASAAQLLDTHLHIGTGPTALPAVPPPRLARLAPGCEPLGLLQGDCEMEDLMPCSLGTFVLVQ
+>DECOY_sp|P57059|SIK1_HUMAN Serine/threonine-protein kinase SIK1 OS=Homo sapiens OX=9606 GN=SIK1 PE=1 SV=2
+QVLVFTGLSCPMLDEMECDGQLLGLPECGPALRALRPPPVAPLATPGTGIHLHTDLLQAASAVPSAGTQLLPPPLLPLGSTLLTSPLPAAGPGDCPAIVFPAPAPQPAQSCGPAAAPHHQLQLLRQQELVEELLSWGERSGAAGGHLGPSQAPAHFPSLGGRSARSAPAQCVQRALGKIKNLGLFGKTRTTKRLQQRFAKLGQTLSTDSARRGEQFSVPLLVAGGLGGQAQLVPTASQSGLFPSALRVPSCAGLLGQTAPTGSLGAPSKSASFTLCSDSSTGEAPSATTSPSVVICPATLPSLRTSVEALTHRRGTSSPLSEQTDQEEELGPGQRAEESIATDLLSSPSVPRPRFVGSCSADVPFFLPWQLSSQLECDMEAQLVSQVLTQPQPCLLAPRFPDTSLGEQPVELGSLDSSRPRPQRAPGPRACQANRYEKLRELLLYYIAAFHNYSSNQLSEVTRQRDVGLTQMIGLAQEDYDGLNSTYSHASFAPCAPGPLCPEARMWRHQRIQAITIRRAPDVVLMRRILSECDQSMFFPIRFRGELVRQRLTPLNPGDFPLSGCVLVYLVVGLSWIDLQPGEYEKGEFVEPAAYPPSGCWTSLPEGSKYFNGFGFDALKIDMNGDLLLNETKLDRHVIHHDHCYEVASLIQWFKKRAENESLHGNSTLYDFMEGNKAFETVIYLMDKTEMVQYLKIIHPHNLLKMLQVERYIKELNSSDLRTKDIIKIAVQTKTVRHRALKVVAFNGKGLTREIDYFGVRLPKQQGQGQGAPDASFESMIVM
+>sp|Q9BRV8|SIKE1_HUMAN Suppressor of IKBKE 1 OS=Homo sapiens OX=9606 GN=SIKE1 PE=1 SV=1
+MSCTIEKILTDAKTLLERLREHDAAAESLVDQSAALHRRVAAMREAGTALPDQYQEDASDMKDMSKYKPHILLSQENTQIRDLQQENRELWISLEEHQDALELIMSKYRKQMLQLMVAKKAVDAEPVLKAHQSHSAEIESQIDRICEMGEVMRKAVQVDDDQFCKIQEKLAQLELENKELRELLSISSESLQARKENSMDTASQAIK
+>DECOY_sp|Q9BRV8|SIKE1_HUMAN Suppressor of IKBKE 1 OS=Homo sapiens OX=9606 GN=SIKE1 PE=1 SV=1
+KIAQSATDMSNEKRAQLSESSISLLERLEKNELELQALKEQIKCFQDDDVQVAKRMVEGMECIRDIQSEIEASHSQHAKLVPEADVAKKAVMLQLMQKRYKSMILELADQHEELSIWLERNEQQLDRIQTNEQSLLIHPKYKSMDKMDSADEQYQDPLATGAERMAAVRRHLAASQDVLSEAAADHERLRELLTKADTLIKEITCSM
+>sp|P0DJ93|SIM13_HUMAN Small integral membrane protein 13 OS=Homo sapiens OX=9606 GN=SMIM13 PE=3 SV=1
+MWHSVGLTLLVFVATLLIVLLLMVCGWYFVWHLFLSKFKFLRELVGDTGSQEGDHEPSGSETEEDTSSSPHRIRSARQRRAPADEGHRPLT
+>DECOY_sp|P0DJ93|SIM13_HUMAN Small integral membrane protein 13 OS=Homo sapiens OX=9606 GN=SMIM13 PE=3 SV=1
+TLPRHGEDAPARRQRASRIRHPSSSTDEETESGSPEHDGEQSGTDGVLERLFKFKSLFLHWVFYWGCVMLLLVILLTAVFVLLTLGVSHWM
+>sp|Q96QK8|SIM14_HUMAN Small integral membrane protein 14 OS=Homo sapiens OX=9606 GN=SMIM14 PE=1 SV=1
+MAEGGFDPCECVCSHEHAMRRLINLLRQSQSYCTDTECLQELPGPSGDNGISVTMILVAWMVIALILFLLRPPNLRGSSLPGKPTSPHNGQDPPAPPVD
+>DECOY_sp|Q96QK8|SIM14_HUMAN Small integral membrane protein 14 OS=Homo sapiens OX=9606 GN=SMIM14 PE=1 SV=1
+DVPPAPPDQGNHPSTPKGPLSSGRLNPPRLLFLILAIVMWAVLIMTVSIGNDGSPGPLEQLCETDTCYSQSQRLLNILRRMAHEHSCVCECPDFGGEAM
+>sp|A6NCI5|SIM16_HUMAN Putative transmembrane protein encoded by LINC00862 OS=Homo sapiens OX=9606 GN=LINC00862 PE=5 SV=1
+MVCYLYWETFPSISHLLKITLSARDCHVCGLNLFIFMDPVENQALHPVIMALILMPSLHCFGNILILLFLKSPAQLFCRMSVDLALLFPHK
+>DECOY_sp|A6NCI5|SIM16_HUMAN Putative transmembrane protein encoded by LINC00862 OS=Homo sapiens OX=9606 GN=LINC00862 PE=5 SV=1
+KHPFLLALDVSMRCFLQAPSKLFLLILINGFCHLSPMLILAMIVPHLAQNEVPDMFIFLNLGCVHCDRASLTIKLLHSISPFTEWYLYCVM
+>sp|P81133|SIM1_HUMAN Single-minded homolog 1 OS=Homo sapiens OX=9606 GN=SIM1 PE=2 SV=2
+MKEKSKNAARTRREKENSEFYELAKLLPLPSAITSQLDKASIIRLTTSYLKMRVVFPEGLGEAWGHSSRTSPLDNVGRELGSHLLQTLDGFIFVVAPDGKIMYISETASVHLGLSQVELTGNSIYEYIHPADHDEMTAVLTAHQPYHSHFVQEYEIERSFFLRMKCVLAKRNAGLTCGGYKVIHCSGYLKIRQYSLDMSPFDGCYQNVGLVAVGHSLPPSAVTEIKLHSNMFMFRASLDMKLIFLDSRVAELTGYEPQDLIEKTLYHHVHGCDTFHLRCAHHLLLVKGQVTTKYYRFLAKHGGWVWVQSYATIVHNSRSSRPHCIVSVNYVLTDTEYKGLQLSLDQISASKPAFSYTSSSTPTMTDNRKGAKSRLSSSKSKSRTSPYPQYSGFHTERSESDHDSQWGGSPLTDTASPQLLDPADRPGSQHDASCAYRQFSDRSSLCYGFALDHSRLVEERHFHTQACEGGRCEAGRYFLGTPQAGREPWWGSRAALPLTKASPESREAYENSMPHIASVHRIHGRGHWDEDSVVSSPDPGSASESGDRYRTEQYQSSPHEPSKIETLIRATQQMIKEEENRLQLRKAPSDQLASINGAGKKHSLCFANYQQPPPTGEVCHGSALANTSPCDHIQQREGKMLSPHENDYDNSPTALSRISSPNSDRISKSSLILAKDYLHSDISPHQTAGDHPTVSPNCFGSHRQYFDKHAYTLTGYALEHLYDSETIRNYSLGCNGSHFDVTSHLRMQPDPAQGHKGTSVIITNGS
+>DECOY_sp|P81133|SIM1_HUMAN Single-minded homolog 1 OS=Homo sapiens OX=9606 GN=SIM1 PE=2 SV=2
+SGNTIIVSTGKHGQAPDPQMRLHSTVDFHSGNCGLSYNRITESDYLHELAYGTLTYAHKDFYQRHSGFCNPSVTPHDGATQHPSIDSHLYDKALILSSKSIRDSNPSSIRSLATPSNDYDNEHPSLMKGERQQIHDCPSTNALASGHCVEGTPPPQQYNAFCLSHKKGAGNISALQDSPAKRLQLRNEEEKIMQQTARILTEIKSPEHPSSQYQETRYRDGSESASGPDPSSVVSDEDWHGRGHIRHVSAIHPMSNEYAERSEPSAKTLPLAARSGWWPERGAQPTGLFYRGAECRGGECAQTHFHREEVLRSHDLAFGYCLSSRDSFQRYACSADHQSGPRDAPDLLQPSATDTLPSGGWQSDHDSESRETHFGSYQPYPSTRSKSKSSSLRSKAGKRNDTMTPTSSSTYSFAPKSASIQDLSLQLGKYETDTLVYNVSVICHPRSSRSNHVITAYSQVWVWGGHKALFRYYKTTVQGKVLLLHHACRLHFTDCGHVHHYLTKEILDQPEYGTLEAVRSDLFILKMDLSARFMFMNSHLKIETVASPPLSHGVAVLGVNQYCGDFPSMDLSYQRIKLYGSCHIVKYGGCTLGANRKALVCKMRLFFSREIEYEQVFHSHYPQHATLVATMEDHDAPHIYEYISNGTLEVQSLGLHVSATESIYMIKGDPAVVFIFGDLTQLLHSGLERGVNDLPSTRSSHGWAEGLGEPFVVRMKLYSTTLRIISAKDLQSTIASPLPLLKALEYFESNEKERRTRAANKSKEKM
+>sp|K7EJ46|SIM22_HUMAN Small integral membrane protein 22 OS=Homo sapiens OX=9606 GN=SMIM22 PE=2 SV=1
+MAVSTEELEATVQEVLGRLKSHQFFQSTWDTVAFIVFLTFMGTVLLLLLLVVAHCCCCSSPGPRRESPRKVSPWKVSPAGLWDLHGTVLGVEAEGEGSGGKGAHPPREQRANLLGPAVLLVQERPKGVDNLALEP
+>DECOY_sp|K7EJ46|SIM22_HUMAN Small integral membrane protein 22 OS=Homo sapiens OX=9606 GN=SMIM22 PE=2 SV=1
+PELALNDVGKPREQVLLVAPGLLNARQERPPHAGKGGSGEGEAEVGLVTGHLDWLGAPSVKWPSVKRPSERRPGPSSCCCCHAVVLLLLLLVTGMFTLFVIFAVTDWTSQFFQHSKLRGLVEQVTAELEETSVAM
+>sp|A0A096LP01|SIM26_HUMAN Small integral membrane protein 26 OS=Homo sapiens OX=9606 GN=SMIM26 PE=3 SV=1
+MYRNEFTAWYRRMSVVYGIGTWSVLGSLLYYSRTMAKSSVDQKDGSASEVPSELSERPKGFYVETVVTYKEDFVPNTEKILNYWKSWTGGPGTEP
+>DECOY_sp|A0A096LP01|SIM26_HUMAN Small integral membrane protein 26 OS=Homo sapiens OX=9606 GN=SMIM26 PE=3 SV=1
+PETGPGGTWSKWYNLIKETNPVFDEKYTVVTEVYFGKPRESLESPVESASGDKQDVSSKAMTRSYYLLSGLVSWTGIGYVVSMRRYWATFENRYM
+>sp|Q14190|SIM2_HUMAN Single-minded homolog 2 OS=Homo sapiens OX=9606 GN=SIM2 PE=1 SV=2
+MKEKSKNAAKTRREKENGEFYELAKLLPLPSAITSQLDKASIIRLTTSYLKMRAVFPEGLGDAWGQPSRAGPLDGVAKELGSHLLQTLDGFVFVVASDGKIMYISETASVHLGLSQVELTGNSIYEYIHPSDHDEMTAVLTAHQPLHHHLLQEYEIERSFFLRMKCVLAKRNAGLTCSGYKVIHCSGYLKIRQYMLDMSLYDSCYQIVGLVAVGQSLPPSAITEIKLYSNMFMFRASLDLKLIFLDSRVTEVTGYEPQDLIEKTLYHHVHGCDVFHLRYAHHLLLVKGQVTTKYYRLLSKRGGWVWVQSYATVVHNSRSSRPHCIVSVNYVLTEIEYKELQLSLEQVSTAKSQDSWRTALSTSQETRKLVKPKNTKMKTKLRTNPYPPQQYSSFQMDKLECGQLGNWRASPPASAAAPPELQPHSESSDLLYTPSYSLPFSYHYGHFPLDSHVFSSKKPMLPAKFGQPQGSPCEVARFFLSTLPASGECQWHYANPLVPSSSSPAKNPPEPPANTARHSLVPSYEAPAAAVRRFGEDTAPPSFPSCGHYREEPALGPAKAARQAARDGARLALARAAPECCAPPTPEAPGAPAQLPFVLLNYHRVLARRGPLGGAAPAASGLACAPGGPEAATGALRLRHPSPAATSPPGAPLPHYLGASVIITNGR
+>DECOY_sp|Q14190|SIM2_HUMAN Single-minded homolog 2 OS=Homo sapiens OX=9606 GN=SIM2 PE=1 SV=2
+RGNTIIVSAGLYHPLPAGPPSTAAPSPHRLRLAGTAAEPGGPACALGSAAPAAGGLPGRRALVRHYNLLVFPLQAPAGPAEPTPPACCEPAARALALRAGDRAAQRAAKAPGLAPEERYHGCSPFSPPATDEGFRRVAAAPAEYSPVLSHRATNAPPEPPNKAPSSSSPVLPNAYHWQCEGSAPLTSLFFRAVECPSGQPQGFKAPLMPKKSSFVHSDLPFHGYHYSFPLSYSPTYLLDSSESHPQLEPPAAASAPPSARWNGLQGCELKDMQFSSYQQPPYPNTRLKTKMKTNKPKVLKRTEQSTSLATRWSDQSKATSVQELSLQLEKYEIETLVYNVSVICHPRSSRSNHVVTAYSQVWVWGGRKSLLRYYKTTVQGKVLLLHHAYRLHFVDCGHVHHYLTKEILDQPEYGTVETVRSDLFILKLDLSARFMFMNSYLKIETIASPPLSQGVAVLGVIQYCSDYLSMDLMYQRIKLYGSCHIVKYGSCTLGANRKALVCKMRLFFSREIEYEQLLHHHLPQHATLVATMEDHDSPHIYEYISNGTLEVQSLGLHVSATESIYMIKGDSAVVFVFGDLTQLLHSGLEKAVGDLPGARSPQGWADGLGEPFVARMKLYSTTLRIISAKDLQSTIASPLPLLKALEYFEGNEKERRTKAANKSKEKM
+>sp|Q8IXJ6|SIR2_HUMAN NAD-dependent protein deacetylase sirtuin-2 OS=Homo sapiens OX=9606 GN=SIRT2 PE=1 SV=2
+MAEPDPSHPLETQAGKVQEAQDSDSDSEGGAAGGEADMDFLRNLFSQTLSLGSQKERLLDELTLEGVARYMQSERCRRVICLVGAGISTSAGIPDFRSPSTGLYDNLEKYHLPYPEAIFEISYFKKHPEPFFALAKELYPGQFKPTICHYFMRLLKDKGLLLRCYTQNIDTLERIAGLEQEDLVEAHGTFYTSHCVSASCRHEYPLSWMKEKIFSEVTPKCEDCQSLVKPDIVFFGESLPARFFSCMQSDFLKVDLLLVMGTSLQVQPFASLISKAPLSTPRLLINKEKAGQSDPFLGMIMGLGGGMDFDSKKAYRDVAWLGECDQGCLALAELLGWKKELEDLVRREHASIDAQSGAGVPNPSTSASPKKSPPPAKDEARTTEREKPQ
+>DECOY_sp|Q8IXJ6|SIR2_HUMAN NAD-dependent protein deacetylase sirtuin-2 OS=Homo sapiens OX=9606 GN=SIRT2 PE=1 SV=2
+QPKERETTRAEDKAPPPSKKPSASTSPNPVGAGSQADISAHERRVLDELEKKWGLLEALALCGQDCEGLWAVDRYAKKSDFDMGGGLGMIMGLFPDSQGAKEKNILLRPTSLPAKSILSAFPQVQLSTGMVLLLDVKLFDSQMCSFFRAPLSEGFFVIDPKVLSQCDECKPTVESFIKEKMWSLPYEHRCSASVCHSTYFTGHAEVLDEQELGAIRELTDINQTYCRLLLGKDKLLRMFYHCITPKFQGPYLEKALAFFPEPHKKFYSIEFIAEPYPLHYKELNDYLGTSPSRFDPIGASTSIGAGVLCIVRRCRESQMYRAVGELTLEDLLREKQSGLSLTQSFLNRLFDMDAEGGAAGGESDSDSDQAEQVKGAQTELPHSPDPEAM
+>sp|Q9NXA8|SIR5_HUMAN NAD-dependent protein deacylase sirtuin-5, mitochondrial OS=Homo sapiens OX=9606 GN=SIRT5 PE=1 SV=2
+MRPLQIVPSRLISQLYCGLKPPASTRNQICLKMARPSSSMADFRKFFAKAKHIVIISGAGVSAESGVPTFRGAGGYWRKWQAQDLATPLAFAHNPSRVWEFYHYRREVMGSKEPNAGHRAIAECETRLGKQGRRVVVITQNIDELHRKAGTKNLLEIHGSLFKTRCTSCGVVAENYKSPICPALSGKGAPEPGTQDASIPVEKLPRCEEAGCGGLLRPHVVWFGENLDPAILEEVDRELAHCDLCLVVGTSSVVYPAAMFAPQVAARGVPVAEFNTETTPATNRFRFHFQGPCGTTLPEALACHENETVS
+>DECOY_sp|Q9NXA8|SIR5_HUMAN NAD-dependent protein deacylase sirtuin-5, mitochondrial OS=Homo sapiens OX=9606 GN=SIRT5 PE=1 SV=2
+SVTENEHCALAEPLTTGCPGQFHFRFRNTAPTTETNFEAVPVGRAAVQPAFMAAPYVVSSTGVVLCLDCHALERDVEELIAPDLNEGFWVVHPRLLGGCGAEECRPLKEVPISADQTGPEPAGKGSLAPCIPSKYNEAVVGCSTCRTKFLSGHIELLNKTGAKRHLEDINQTIVVVRRGQKGLRTECEAIARHGANPEKSGMVERRYHYFEWVRSPNHAFALPTALDQAQWKRWYGGAGRFTPVGSEASVGAGSIIVIHKAKAFFKRFDAMSSSPRAMKLCIQNRTSAPPKLGCYLQSILRSPVIQLPRM
+>sp|Q5T5P2|SKT_HUMAN Sickle tail protein homolog OS=Homo sapiens OX=9606 GN=KIAA1217 PE=1 SV=2
+MEENESQKCEPCLPYSADRRQMQEQGKGNLHVTSPEDAECRRTKERLSNGNSRGSVSKSSRNIPRRHTLGGPRSSKEILGMQTSEMDRKREAFLEHLKQKYPHHASAIMGHQERLRDQTRSPKLSHSPQPPSLGDPVEHLSETSADSLEAMSEGDAPTPFSRGSRTRASLPVVRSTNQTKERSLGVLYLQYGDETKQLRMPNEITSADTIRALFVSAFPQQLTMKMLESPSVAIYIKDESRNVYYELNDVRNIQDRSLLKVYNKDPAHAFNHTPKTMNGDMRMQRELVYARGDGPGAPRPGSTAHPPHAIPNSPPSTPVPHSMPPSPSRIPYGGTRSMVVPGNATIPRDRISSLPVSRPISPSPSAILERRDVKPDEDMSGKNIAMYRNEGFYADPYLYHEGRMSIASSHGGHPLDVPDHIIAYHRTAIRSASAYCNPSMQAEMHMEQSLYRQKSRKYPDSHLPTLGSKTPPASPHRVSDLRMIDMHAHYNAHGPPHTMQPDRASPSRQAFKKEPGTLVYIEKPRSAAGLSSLVDLGPPLMEKQVFAYSTATIPKDRETRERMQAMEKQIASLTGLVQSALFKGPITSYSKDASSEKMMKTTANRNHTDSAGTPHVSGGKMLSALESTVPPSQPPPVGTSAIHMSLLEMRRSVAELRLQLQQMRQLQLQNQELLRAMMKKAELEISGKVMETMKRLEDPVQRQRVLVEQERQKYLHEEEKIVKKLCELEDFVEDLKKDSTAASRLVTLKDVEDGAFLLRQVGEAVATLKGEFPTLQNKMRAILRIEVEAVRFLKEEPHKLDSLLKRVRSMTDVLTMLRRHVTDGLLKGTDAAQAAQYMAMEKATAAEVLKSQEEAAHTSGQPFHSTGAPGDAKSEVVPLSGMMVRHAQSSPVVIQPSQHSVALLNPAQNLPHVASSPAVPQEATSTLQMSQAPQSPQIPMNGSAMQSLFIEEIHSVSAKNRAVSIEKAEKKWEEKRQNLDHYNGKEFEKLLEEAQANIMKSIPNLEMPPATGPLPRGDAPVDKVELSEDSPNSEQDLEKLGGKSPPPPPPPPRRSYLPGSGLTTTRSGDVVYTGRKENITAKASSEDAGPSPQTRATKYPAEEPASAWTPSPPPVTTSSSKDEEEEEEEGDKIMAELQAFQKCSFMDVNSNSHAEPSRADSHVKDTRSGATVPPKEKKNLEFFHEDVRKSDVEYENGPQMEFQKVTTGAVRPSDPPKWERGMENSISDASRTSEYKTEIIMKENSISNMSLLRDSRNYSQETVPKASFGFSGISPLEDEINKGSKISGLQYSIPDTENQTLNYGKTKEMEKQNTDKCHVSSHTRLTESSVHDFKTEDQEVITTDFGQVVLRPKEARHANVNPNEDGESSSSSPTEENAATDNIAFMITETTVQVLSSGEVHDIVSQKGEDIQTVNIDARKEMTPRQEGTDNEDPVVCLDKKPVIIIFDEPMDIRSAYKRLSTIFEECDEELERMMMEEKIEEEEEEENGDSVVQNNNTSQMSHKKVAPGNLRTGQQVETKSQPHSLATETRNPGGQEMNRTELNKFSHVDSPNSECKGEDATDDQFESPKKKFKFKFPKKQLAALTQAIRTGTKTGKKTLQVVVYEEEEEDGTLKQHKEAKRFEIARSQPEDTPENTVRRQEQPSIESTSPISRTDEIRKNTYRTLDSLEQTIKQLENTISEMSPKALVDTSCSSNRDSVASSSHIAQEASPRPLLVPDEGPTALEPPTSIPSASRKGSSGAPQTSRMPVPMSAKNRPGTLDKPGKQSKLQDPRQYRQANGSAKKSGGDFKPTSPSLPASKIPALSPSSGKSSSLPSSSGDSSNLPNPPATKPSIASNPLSPQTGPPAHSASLIPSVSNGSLKFQSLTHTGKGHHLSFSPQSQNGRAPPPLSFSSSPPSPASSVSLNQGAKGTRTIHTPSLTSYKAQNGSSSKATPSTAKETS
+>DECOY_sp|Q5T5P2|SKT_HUMAN Sickle tail protein homolog OS=Homo sapiens OX=9606 GN=KIAA1217 PE=1 SV=2
+STEKATSPTAKSSSGNQAKYSTLSPTHITRTGKAGQNLSVSSAPSPPSSSFSLPPPARGNQSQPSFSLHHGKGTHTLSQFKLSGNSVSPILSASHAPPGTQPSLPNSAISPKTAPPNPLNSSDGSSSPLSSSKGSSPSLAPIKSAPLSPSTPKFDGGSKKASGNAQRYQRPDQLKSQKGPKDLTGPRNKASMPVPMRSTQPAGSSGKRSASPISTPPELATPGEDPVLLPRPSAEQAIHSSSAVSDRNSSCSTDVLAKPSMESITNELQKITQELSDLTRYTNKRIEDTRSIPSTSEISPQEQRRVTNEPTDEPQSRAIEFRKAEKHQKLTGDEEEEEYVVVQLTKKGTKTGTRIAQTLAALQKKPFKFKFKKKPSEFQDDTADEGKCESNPSDVHSFKNLETRNMEQGGPNRTETALSHPQSKTEVQQGTRLNGPAVKKHSMQSTNNNQVVSDGNEEEEEEEIKEEMMMRELEEDCEEFITSLRKYASRIDMPEDFIIIVPKKDLCVVPDENDTGEQRPTMEKRADINVTQIDEGKQSVIDHVEGSSLVQVTTETIMFAINDTAANEETPSSSSSEGDENPNVNAHRAEKPRLVVQGFDTTIVEQDETKFDHVSSETLRTHSSVHCKDTNQKEMEKTKGYNLTQNETDPISYQLGSIKSGKNIEDELPSIGSFGFSAKPVTEQSYNRSDRLLSMNSISNEKMIIETKYESTRSADSISNEMGREWKPPDSPRVAGTTVKQFEMQPGNEYEVDSKRVDEHFFELNKKEKPPVTAGSRTDKVHSDARSPEAHSNSNVDMFSCKQFAQLEAMIKDGEEEEEEEDKSSSTTVPPPSPTWASAPEEAPYKTARTQPSPGADESSAKATINEKRGTYVVDGSRTTTLGSGPLYSRRPPPPPPPPSKGGLKELDQESNPSDESLEVKDVPADGRPLPGTAPPMELNPISKMINAQAEELLKEFEKGNYHDLNQRKEEWKKEAKEISVARNKASVSHIEEIFLSQMASGNMPIQPSQPAQSMQLTSTAEQPVAPSSAVHPLNQAPNLLAVSHQSPQIVVPSSQAHRVMMGSLPVVESKADGPAGTSHFPQGSTHAAEEQSKLVEAATAKEMAMYQAAQAADTGKLLGDTVHRRLMTLVDTMSRVRKLLSDLKHPEEKLFRVAEVEIRLIARMKNQLTPFEGKLTAVAEGVQRLLFAGDEVDKLTVLRSAATSDKKLDEVFDELECLKKVIKEEEHLYKQREQEVLVRQRQVPDELRKMTEMVKGSIELEAKKMMARLLEQNQLQLQRMQQLQLRLEAVSRRMELLSMHIASTGVPPPQSPPVTSELASLMKGGSVHPTGASDTHNRNATTKMMKESSADKSYSTIPGKFLASQVLGTLSAIQKEMAQMRERTERDKPITATSYAFVQKEMLPPGLDVLSSLGAASRPKEIYVLTGPEKKFAQRSPSARDPQMTHPPGHANYHAHMDIMRLDSVRHPSAPPTKSGLTPLHSDPYKRSKQRYLSQEMHMEAQMSPNCYASASRIATRHYAIIHDPVDLPHGGHSSAISMRGEHYLYPDAYFGENRYMAINKGSMDEDPKVDRRELIASPSPSIPRSVPLSSIRDRPITANGPVVMSRTGGYPIRSPSPPMSHPVPTSPPSNPIAHPPHATSGPRPAGPGDGRAYVLERQMRMDGNMTKPTHNFAHAPDKNYVKLLSRDQINRVDNLEYYVNRSEDKIYIAVSPSELMKMTLQQPFASVFLARITDASTIENPMRLQKTEDGYQLYLVGLSREKTQNTSRVVPLSARTRSGRSFPTPADGESMAELSDASTESLHEVPDGLSPPQPSHSLKPSRTQDRLREQHGMIASAHHPYKQKLHELFAERKRDMESTQMGLIEKSSRPGGLTHRRPINRSSKSVSGRSNGNSLREKTRRCEADEPSTVHLNGKGQEQMQRRDASYPLCPECKQSENEEM
+>sp|Q8IVB4|SL9A9_HUMAN Sodium/hydrogen exchanger 9 OS=Homo sapiens OX=9606 GN=SLC9A9 PE=1 SV=1
+MERQSRVMSEKDEYQFQHQGAVELLVFNFLLILTILTIWLFKNHRFRFLHETGGAMVYGLIMGLILRYATAPTDIESGTVYDCVKLTFSPSTLLVNITDQVYEYKYKREISQHNINPHQGNAILEKMTFDPEIFFNVLLPPIIFHAGYSLKKRHFFQNLGSILTYAFLGTAISCIVIGLIMYGFVKAMIHAGQLKNGDFHFTDCLFFGSLMSATDPVTVLAIFHELHVDPDLYTLLFGESVLNDAVAIVLTYSISIYSPKENPNAFDAAAFFQSVGNFLGIFAGSFAMGSAYAIITALLTKFTKLCEFPMLETGLFFLLSWSAFLSAEAAGLTGIVAVLFCGVTQAHYTYNNLSSDSKIRTKQLFEFMNFLAENVIFCYMGLALFTFQNHIFNALFILGAFLAIFVARACNIYPLSFLLNLGRKQKIPWNFQHMMMFSGLRGAIAFALAIRNTESQPKQMMFTTTLLLVFFTVWVFGGGTTPMLTWLQIRVGVDLDENLKEDPSSQHQEANNLDKNMTKAESARLFRMWYSFDHKYLKPILTHSGPPLTTTLPEWCGPISRLLTSPQAYGEQLKEDDVECIVNQDELAINYQEQASSPCSPPARLGLDQKASPQTPGKENIYEGDLGLGGYELKLEQTLGQSQLN
+>DECOY_sp|Q8IVB4|SL9A9_HUMAN Sodium/hydrogen exchanger 9 OS=Homo sapiens OX=9606 GN=SLC9A9 PE=1 SV=1
+NLQSQGLTQELKLEYGGLGLDGEYINEKGPTQPSAKQDLGLRAPPSCPSSAQEQYNIALEDQNVICEVDDEKLQEGYAQPSTLLRSIPGCWEPLTTTLPPGSHTLIPKLYKHDFSYWMRFLRASEAKTMNKDLNNAEQHQSSPDEKLNEDLDVGVRIQLWTLMPTTGGGFVWVTFFVLLLTTTFMMQKPQSETNRIALAFAIAGRLGSFMMMHQFNWPIKQKRGLNLLFSLPYINCARAVFIALFAGLIFLANFIHNQFTFLALGMYCFIVNEALFNMFEFLQKTRIKSDSSLNNYTYHAQTVGCFLVAVIGTLGAAEASLFASWSLLFFLGTELMPFECLKTFKTLLATIIAYASGMAFSGAFIGLFNGVSQFFAAADFANPNEKPSYISISYTLVIAVADNLVSEGFLLTYLDPDVHLEHFIALVTVPDTASMLSGFFLCDTFHFDGNKLQGAHIMAKVFGYMILGIVICSIATGLFAYTLISGLNQFFHRKKLSYGAHFIIPPLLVNFFIEPDFTMKELIANGQHPNINHQSIERKYKYEYVQDTINVLLTSPSFTLKVCDYVTGSEIDTPATAYRLILGMILGYVMAGGTEHLFRFRHNKFLWITLITLILLFNFVLLEVAGQHQFQYEDKESMVRSQREM
+>sp|Q86UD5|SL9B2_HUMAN Sodium/hydrogen exchanger 9B2 OS=Homo sapiens OX=9606 GN=SLC9B2 PE=1 SV=2
+MGDEDKRITYEDSEPSTGMNYTPSMHQEAQEETVMKLKGIDANEPTEGSILLKSSEKKLQETPTEANHVQRLRQMLACPPHGLLDRVITNVTIIVLLWAVVWSITGSECLPGGNLFGIIILFYCAIIGGKLLGLIKLPTLPPLPSLLGMLLAGFLIRNIPVINDNVQIKHKWSSSLRSIALSIILVRAGLGLDSKALKKLKGVCVRLSMGPCIVEACTSALLAHYLLGLPWQWGFILGFVLGAVSPAVVVPSMLLLQGGGYGVEKGVPTLLMAAGSFDDILAITGFNTCLGIAFSTGSTVFNVLRGVLEVVIGVATGSVLGFFIQYFPSRDQDKLVCKRTFLVLGLSVLAVFSSVHFGFPGSGGLCTLVMAFLAGMGWTSEKAEVEKIIAVAWDIFQPLLFGLIGAEVSIASLRPETVGLCVATVGIAVLIRILTTFLMVCFAGFNLKEKIFISFAWLPKATVQAAIGSVALDTARSHGEKQLEDYGMDVLTVAFLSILITAPIGSLLIGLLGPRLLQKVEHQNKDEEVQGETSVQV
+>DECOY_sp|Q86UD5|SL9B2_HUMAN Sodium/hydrogen exchanger 9B2 OS=Homo sapiens OX=9606 GN=SLC9B2 PE=1 SV=2
+VQVSTEGQVEEDKNQHEVKQLLRPGLLGILLSGIPATILISLFAVTLVDMGYDELQKEGHSRATDLAVSGIAAQVTAKPLWAFSIFIKEKLNFGAFCVMLFTTLIRILVAIGVTAVCLGVTEPRLSAISVEAGILGFLLPQFIDWAVAIIKEVEAKESTWGMGALFAMVLTCLGGSGPFGFHVSSFVALVSLGLVLFTRKCVLKDQDRSPFYQIFFGLVSGTAVGIVVELVGRLVNFVTSGTSFAIGLCTNFGTIALIDDFSGAAMLLTPVGKEVGYGGGQLLLMSPVVVAPSVAGLVFGLIFGWQWPLGLLYHALLASTCAEVICPGMSLRVCVGKLKKLAKSDLGLGARVLIISLAISRLSSSWKHKIQVNDNIVPINRILFGALLMGLLSPLPPLTPLKILGLLKGGIIACYFLIIIGFLNGGPLCESGTISWVVAWLLVIITVNTIVRDLLGHPPCALMQRLRQVHNAETPTEQLKKESSKLLISGETPENADIGKLKMVTEEQAEQHMSPTYNMGTSPESDEYTIRKDEDGM
+>sp|Q13291|SLAF1_HUMAN Signaling lymphocytic activation molecule OS=Homo sapiens OX=9606 GN=SLAMF1 PE=1 SV=1
+MDPKGLLSLTFVLFLSLAFGASYGTGGRMMNCPKILRQLGSKVLLPLTYERINKSMNKSIHIVVTMAKSLENSVENKIVSLDPSEAGPPRYLGDRYKFYLENLTLGIRESRKEDEGWYLMTLEKNVSVQRFCLQLRLYEQVSTPEIKVLNKTQENGTCTLILGCTVEKGDHVAYSWSEKAGTHPLNPANSSHLLSLTLGPQHADNIYICTVSNPISNNSQTFSPWPGCRTDPSETKPWAVYAGLLGGVIMILIMVVILQLRRRGKTNHYQTTVEKKSLTIYAQVQKPGPLQKKLDSFPAQDPCTTIYVAATEPVPESVQETNSITVYASVTLPES
+>DECOY_sp|Q13291|SLAF1_HUMAN Signaling lymphocytic activation molecule OS=Homo sapiens OX=9606 GN=SLAMF1 PE=1 SV=1
+SEPLTVSAYVTISNTEQVSEPVPETAAVYITTCPDQAPFSDLKKQLPGPKQVQAYITLSKKEVTTQYHNTKGRRRLQLIVVMILIMIVGGLLGAYVAWPKTESPDTRCGPWPSFTQSNNSIPNSVTCIYINDAHQPGLTLSLLHSSNAPNLPHTGAKESWSYAVHDGKEVTCGLILTCTGNEQTKNLVKIEPTSVQEYLRLQLCFRQVSVNKELTMLYWGEDEKRSERIGLTLNELYFKYRDGLYRPPGAESPDLSVIKNEVSNELSKAMTVVIHISKNMSKNIREYTLPLLVKSGLQRLIKPCNMMRGGTGYSAGFALSLFLVFTLSLLGKPDM
+>sp|Q96DU3|SLAF6_HUMAN SLAM family member 6 OS=Homo sapiens OX=9606 GN=SLAMF6 PE=1 SV=3
+MLWLFQSLLFVFCFGPGNVVSQSSLTPLMVNGILGESVTLPLEFPAGEKVNFITWLFNETSLAFIVPHETKSPEIHVTNPKQGKRLNFTQSYSLQLSNLKMEDTGSYRAQISTKTSAKLSSYTLRILRQLRNIQVTNHSQLFQNMTCELHLTCSVEDADDNVSFRWEALGNTLSSQPNLTVSWDPRISSEQDYTCIAENAVSNLSFSVSAQKLCEDVKIQYTDTKMILFMVSGICIVFGFIILLLLVLRKRRDSLSLSTQRTQGPAESARNLEYVSVSPTNNTVYASVTHSNRETEIWTPRENDTITIYSTINHSKESKPTFSRATALDNVV
+>DECOY_sp|Q96DU3|SLAF6_HUMAN SLAM family member 6 OS=Homo sapiens OX=9606 GN=SLAMF6 PE=1 SV=3
+VVNDLATARSFTPKSEKSHNITSYITITDNERPTWIETERNSHTVSAYVTNNTPSVSVYELNRASEAPGQTRQTSLSLSDRRKRLVLLLLIIFGFVICIGSVMFLIMKTDTYQIKVDECLKQASVSFSLNSVANEAICTYDQESSIRPDWSVTLNPQSSLTNGLAEWRFSVNDDADEVSCTLHLECTMNQFLQSHNTVQINRLQRLIRLTYSSLKASTKTSIQARYSGTDEMKLNSLQLSYSQTFNLRKGQKPNTVHIEPSKTEHPVIFALSTENFLWTIFNVKEGAPFELPLTVSEGLIGNVMLPTLSSQSVVNGPGFCFVFLLSQFLWLM
+>sp|P01286|SLIB_HUMAN Somatoliberin OS=Homo sapiens OX=9606 GN=GHRH PE=1 SV=1
+MPLWVFFFVILTLSNSSHCSPPPPLTLRMRRYADAIFTNSYRKVLGQLSARKLLQDIMSRQQGESNQERGARARLGRQVDSMWAEQKQMELESILVALLQKHSRNSQG
+>DECOY_sp|P01286|SLIB_HUMAN Somatoliberin OS=Homo sapiens OX=9606 GN=GHRH PE=1 SV=1
+GQSNRSHKQLLAVLISELEMQKQEAWMSDVQRGLRARAGREQNSEGQQRSMIDQLLKRASLQGLVKRYSNTFIADAYRRMRLTLPPPPSCHSSNSLTLIVFFFVWLPM
+>sp|Q9H156|SLIK2_HUMAN SLIT and NTRK-like protein 2 OS=Homo sapiens OX=9606 GN=SLITRK2 PE=1 SV=1
+MLSGVWFLSVLTVAGILQTESRKTAKDICKIRCLCEEKENVLNINCENKGFTTVSLLQPPQYRIYQLFLNGNLLTRLYPNEFVNYSNAVTLHLGNNGLQEIRTGAFSGLKTLKRLHLNNNKLEILREDTFLGLESLEYLQADYNYISAIEAGAFSKLNKLKVLILNDNLLLSLPSNVFRFVLLTHLDLRGNRLKVMPFAGVLEHIGGIMEIQLEENPWNCTCDLLPLKAWLDTITVFVGEIVCETPFRLHGKDVTQLTRQDLCPRKSASDSSQRGSHADTHVQRLSPTMNPALNPTRAPKASRPPKMRNRPTPRVTVSKDRQSFGPIMVYQTKSPVPLTCPSSCVCTSQSSDNGLNVNCQERKFTNISDLQPKPTSPKKLYLTGNYLQTVYKNDLLEYSSLDLLHLGNNRIAVIQEGAFTNLTSLRRLYLNGNYLEVLYPSMFDGLQSLQYLYLEYNVIKEIKPLTFDALINLQLLFLNNNLLRSLPDNIFGGTALTRLNLRNNHFSHLPVKGVLDQLPAFIQIDLQENPWDCTCDIMGLKDWTEHANSPVIINEVTCESPAKHAGEILKFLGREAICPDSPNLSDGTVLSMNHNTDTPRSLSVSPSSYPELHTEVPLSVLILGLLVVFILSVCFGAGLFVFVLKRRKGVPSVPRNTNNLDVSSFQLQYGSYNTETHDKTDGHVYNYIPPPVGQMCQNPIYMQKEGDPVAYYRNLQEFSYSNLEEKKEEPATPAYTISATELLEKQATPREPELLYQNIAERVKELPSAGLVHYNFCTLPKRQFAPSYESRRQNQDRINKTVLYGTPRKCFVGQSKPNHPLLQAKPQSEPDYLEVLEKQTAISQL
+>DECOY_sp|Q9H156|SLIK2_HUMAN SLIT and NTRK-like protein 2 OS=Homo sapiens OX=9606 GN=SLITRK2 PE=1 SV=1
+LQSIATQKELVELYDPESQPKAQLLPHNPKSQGVFCKRPTGYLVTKNIRDQNQRRSEYSPAFQRKPLTCFNYHVLGASPLEKVREAINQYLLEPERPTAQKELLETASITYAPTAPEEKKEELNSYSFEQLNRYYAVPDGEKQMYIPNQCMQGVPPPIYNYVHGDTKDHTETNYSGYQLQFSSVDLNNTNRPVSPVGKRRKLVFVFLGAGFCVSLIFVVLLGLILVSLPVETHLEPYSSPSVSLSRPTDTNHNMSLVTGDSLNPSDPCIAERGLFKLIEGAHKAPSECTVENIIVPSNAHETWDKLGMIDCTCDWPNEQLDIQIFAPLQDLVGKVPLHSFHNNRLNLRTLATGGFINDPLSRLLNNNLFLLQLNILADFTLPKIEKIVNYELYLYQLSQLGDFMSPYLVELYNGNLYLRRLSTLNTFAGEQIVAIRNNGLHLLDLSSYELLDNKYVTQLYNGTLYLKKPSTPKPQLDSINTFKREQCNVNLGNDSSQSTCVCSSPCTLPVPSKTQYVMIPGFSQRDKSVTVRPTPRNRMKPPRSAKPARTPNLAPNMTPSLRQVHTDAHSGRQSSDSASKRPCLDQRTLQTVDKGHLRFPTECVIEGVFVTITDLWAKLPLLDCTCNWPNEELQIEMIGGIHELVGAFPMVKLRNGRLDLHTLLVFRFVNSPLSLLLNDNLILVKLKNLKSFAGAEIASIYNYDAQLYELSELGLFTDERLIELKNNNLHLRKLTKLGSFAGTRIEQLGNNGLHLTVANSYNVFENPYLRTLLNGNLFLQYIRYQPPQLLSVTTFGKNECNINLVNEKEECLCRIKCIDKATKRSETQLIGAVTLVSLFWVGSLM
+>sp|O94933|SLIK3_HUMAN SLIT and NTRK-like protein 3 OS=Homo sapiens OX=9606 GN=SLITRK3 PE=2 SV=2
+MKPSIAEMLHRGRMLWIILLSTIALGWTTPIPLIEDSEEIDEPCFDPCYCEVKESLFHIHCDSKGFTNISQITEFWSRPFKLYLQRNSMRKLYTNSFLHLNNAVSINLGNNALQDIQTGAFNGLKILKRLYLHENKLDVFRNDTFLGLESLEYLQADYNVIKRIESGAFRNLSKLRVLILNDNLIPMLPTNLFKAVSLTHLDLRGNRLKVLFYRGMLDHIGRSLMELQLEENPWNCTCEIVQLKSWLERIPYTALVGDITCETPFHFHGKDLREIRKTELCPLLSDSEVEASLGIPHSSSSKENAWPTKPSSMLSSVHFTASSVEYKSSNKQPKPTKQPRTPRPPSTSQALYPGPNQPPIAPYQTRPPIPIICPTGCTCNLHINDLGLTVNCKERGFNNISELLPRPLNAKKLYLSSNLIQKIYRSDFWNFSSLDLLHLGNNRISYVQDGAFINLPNLKSLFLNGNDIEKLTPGMFRGLQSLHYLYFEFNVIREIQPAAFSLMPNLKLLFLNNNLLRTLPTDAFAGTSLARLNLRKNYFLYLPVAGVLEHLNAIVQIDLNENPWDCTCDLVPFKQWIETISSVSVVGDVLCRSPENLTHRDVRTIELEVLCPEMLHVAPAGESPAQPGDSHLIGAPTSASPYEFSPPGGPVPLSVLILSLLVLFFSAVFVAAGLFAYVLRRRRKKLPFRSKRQEGVDLTGIQMQCHRLFEDGGGGGGGSGGGGRPTLSSPEKAPPVGHVYEYIPHPVTQMCNNPIYKPREEEEVAVSSAQEAGSAERGGPGTQPPGMGEALLGSEQFAETPKENHSNYRTLLEKEKEWALAVSSSQLNTIVTVNHHHPHHPAVGGVSGVVGGTGGDLAGFRHHEKNGGVVLFPPGGGCGSGSMLLDRERPQPAPCTVGFVDCLYGTVPKLKELHVHPPGMQYPDLQQDARLKETLLFSAGKGFTDHQTQKSDYLELRAKLQTKPDYLEVLEKTTYRF
+>DECOY_sp|O94933|SLIK3_HUMAN SLIT and NTRK-like protein 3 OS=Homo sapiens OX=9606 GN=SLITRK3 PE=2 SV=2
+FRYTTKELVELYDPKTQLKARLELYDSKQTQHDTFGKGASFLLTEKLRADQQLDPYQMGPPHVHLEKLKPVTGYLCDVFGVTCPAPQPRERDLLMSGSGCGGGPPFLVVGGNKEHHRFGALDGGTGGVVGSVGGVAPHHPHHHNVTVITNLQSSSVALAWEKEKELLTRYNSHNEKPTEAFQESGLLAEGMGPPQTGPGGREASGAEQASSVAVEEEERPKYIPNNCMQTVPHPIYEYVHGVPPAKEPSSLTPRGGGGSGGGGGGGDEFLRHCQMQIGTLDVGEQRKSRFPLKKRRRRLVYAFLGAAVFVASFFLVLLSLILVSLPVPGGPPSFEYPSASTPAGILHSDGPQAPSEGAPAVHLMEPCLVELEITRVDRHTLNEPSRCLVDGVVSVSSITEIWQKFPVLDCTCDWPNENLDIQVIANLHELVGAVPLYLFYNKRLNLRALSTGAFADTPLTRLLNNNLFLLKLNPMLSFAAPQIERIVNFEFYLYHLSQLGRFMGPTLKEIDNGNLFLSKLNPLNIFAGDQVYSIRNNGLHLLDLSSFNWFDSRYIKQILNSSLYLKKANLPRPLLESINNFGREKCNVTLGLDNIHLNCTCGTPCIIPIPPRTQYPAIPPQNPGPYLAQSTSPPRPTRPQKTPKPQKNSSKYEVSSATFHVSSLMSSPKTPWANEKSSSSHPIGLSAEVESDSLLPCLETKRIERLDKGHFHFPTECTIDGVLATYPIRELWSKLQVIECTCNWPNEELQLEMLSRGIHDLMGRYFLVKLRNGRLDLHTLSVAKFLNTPLMPILNDNLILVRLKSLNRFAGSEIRKIVNYDAQLYELSELGLFTDNRFVDLKNEHLYLRKLIKLGNFAGTQIDQLANNGLNISVANNLHLFSNTYLKRMSNRQLYLKFPRSWFETIQSINTFGKSDCHIHFLSEKVECYCPDFCPEDIEESDEILPIPTTWGLAITSLLIIWLMRGRHLMEAISPKM
+>sp|O94991|SLIK5_HUMAN SLIT and NTRK-like protein 5 OS=Homo sapiens OX=9606 GN=SLITRK5 PE=2 SV=2
+MHTCCPPVTLEQDLHRKMHSWMLQTLAFAVTSLVLSCAETIDYYGEICDNACPCEEKDGILTVSCENRGIISLSEISPPRFPIYHLLLSGNLLNRLYPNEFVNYTGASILHLGSNVIQDIETGAFHGLRGLRRLHLNNNKLELLRDDTFLGLENLEYLQVDYNYISVIEPNAFGKLHLLQVLILNDNLLSSLPNNLFRFVPLTHLDLRGNRLKLLPYVGLLQHMDKVVELQLEENPWNCSCELISLKDWLDSISYSALVGDVVCETPFRLHGRDLDEVSKQELCPRRLISDYEMRPQTPLSTTGYLHTTPASVNSVATSSSAVYKPPLKPPKGTRQPNKPRVRPTSRQPSKDLGYSNYGPSIAYQTKSPVPLECPTACSCNLQISDLGLNVNCQERKIESIAELQPKPYNPKKMYLTENYIAVVRRTDFLEATGLDLLHLGNNRISMIQDRAFGDLTNLRRLYLNGNRIERLSPELFYGLQSLQYLFLQYNLIREIQSGTFDPVPNLQLLFLNNNLLQAMPSGVFSGLTLLRLNLRSNHFTSLPVSGVLDQLKSLIQIDLHDNPWDCTCDIVGMKLWVEQLKVGVLVDEVICKAPKKFAETDMRSIKSELLCPDYSDVVVSTPTPSSIQVPARTSAVTPAVRLNSTGAPASLGAGGGASSVPLSVLILSLLLVFIMSVFVAAGLFVLVMKRRKKNQSDHTSTNNSDVSSFNMQYSVYGGGGGTGGHPHAHVHHRGPALPKVKTPAGHVYEYIPHPLGHMCKNPIYRSREGNSVEDYKDLHELKVTYSSNHHLQQQQQPPPPPQQPQQQPPPQLQLQPGEEERRESHHLRSPAYSVSTIEPREDLLSPVQDADRFYRGILEPDKHCSTTPAGNSLPEYPKFPCSPAAYTFSPNYDLRRPHQYLHPGAGDSRLREPVLYSPPSAVFVEPNRNEYLELKAKLNVEPDYLEVLEKQTTFSQF
+>DECOY_sp|O94991|SLIK5_HUMAN SLIT and NTRK-like protein 5 OS=Homo sapiens OX=9606 GN=SLITRK5 PE=2 SV=2
+FQSFTTQKELVELYDPEVNLKAKLELYENRNPEVFVASPPSYLVPERLRSDGAGPHLYQHPRRLDYNPSFTYAAPSCPFKPYEPLSNGAPTTSCHKDPELIGRYFRDADQVPSLLDERPEITSVSYAPSRLHHSERREEEGPQLQLQPPPQQQPQQPPPPPQQQQQLHHNSSYTVKLEHLDKYDEVSNGERSRYIPNKCMHGLPHPIYEYVHGAPTKVKPLAPGRHHVHAHPHGGTGGGGGYVSYQMNFSSVDSNNTSTHDSQNKKRRKMVLVFLGAAVFVSMIFVLLLSLILVSLPVSSAGGGAGLSAPAGTSNLRVAPTVASTRAPVQISSPTPTSVVVDSYDPCLLESKISRMDTEAFKKPAKCIVEDVLVGVKLQEVWLKMGVIDCTCDWPNDHLDIQILSKLQDLVGSVPLSTFHNSRLNLRLLTLGSFVGSPMAQLLNNNLFLLQLNPVPDFTGSQIERILNYQLFLYQLSQLGYFLEPSLREIRNGNLYLRRLNTLDGFARDQIMSIRNNGLHLLDLGTAELFDTRRVVAIYNETLYMKKPNYPKPQLEAISEIKREQCNVNLGLDSIQLNCSCATPCELPVPSKTQYAISPGYNSYGLDKSPQRSTPRVRPKNPQRTGKPPKLPPKYVASSSTAVSNVSAPTTHLYGTTSLPTQPRMEYDSILRRPCLEQKSVEDLDRGHLRFPTECVVDGVLASYSISDLWDKLSILECSCNWPNEELQLEVVKDMHQLLGVYPLLKLRNGRLDLHTLPVFRFLNNPLSSLLNDNLILVQLLHLKGFANPEIVSIYNYDVQLYELNELGLFTDDRLLELKNNNLHLRRLGRLGHFAGTEIDQIVNSGLHLISAGTYNVFENPYLRNLLNGSLLLHYIPFRPPSIESLSIIGRNECSVTLIGDKEECPCANDCIEGYYDITEACSLVLSTVAFALTQLMWSHMKRHLDQELTVPPCCTHM
+>sp|Q68CJ6|SLIP_HUMAN Nuclear GTPase SLIP-GC OS=Homo sapiens OX=9606 GN=NUGGC PE=2 SV=3
+MAETKDVFGQEPHPVEDDLYKERTRKRRKSDRDQRFRAFPSMEQSALKEYEKLESRTRRVLSNTYQKLIQSVFLDDSIPNGVKYLINRLLALIEKPTVDPIYIALFGSTGAGKSSLINAIIQQAMFLPVSGESICTSCIVQVSSGCCVQYEAKIHLLSDQEWREELKNLTKLLHRTEELSREEADAWNRDEAVEEATWKLQMIYGNGAESKNYEELLRAKPKRKIPTSRVITLKAEEAEELSIKLDPYIRTQRRDWDGEAAEMRIWPLIKHVEVTLPKSDLIPEGVVLVDIPGTGDFNSKRDEMWKKTIDKCSVIWVISDIERVSGGQAHEDLLNESIKACQRGFCRDVALVVTKMDKLHLPEYLRERKAGNQAIQSQREAVLERNEMIKLQRTRILKEKLKRKLPADFKVLEASDLVYTVSAQEYWQQALLTEEETEIPKLREYIRKSLLDKKKRTVTKYVTEAFGLLLLTDSFNSTQNLPNEHLHMSVLRRFAEEKVELLEKAIAQCFACMEQPLQEGVRTARTSYRCILRACLVRSKGNQGFHQTLKAVCLKNGIYASRTLARIDLNEALTQPVYDQIDPVFGSIFRTGKPTGSALMPHIDAFKQSLQEKMTEIGIRSGWKYDSCKKNFLIQEISAILGGLEDHILRRKRRIYESLTASVQSDLKLCYEEAAQITGKKACERMKDAIRRGVDRQVAEGMFERAQERMQHQFQQLKTGIVEKVKGSITTMLALASSQGDGLYKELADVGSEYKEMEKLHRSLREVAENARLRKGMQEFLLRASPSKAGPPGTSL
+>DECOY_sp|Q68CJ6|SLIP_HUMAN Nuclear GTPase SLIP-GC OS=Homo sapiens OX=9606 GN=NUGGC PE=2 SV=3
+LSTGPPGAKSPSARLLFEQMGKRLRANEAVERLSRHLKEMEKYESGVDALEKYLGDGQSSALALMTTISGKVKEVIGTKLQQFQHQMREQAREFMGEAVQRDVGRRIADKMRECAKKGTIQAAEEYCLKLDSQVSATLSEYIRRKRRLIHDELGGLIASIEQILFNKKCSDYKWGSRIGIETMKEQLSQKFADIHPMLASGTPKGTRFISGFVPDIQDYVPQTLAENLDIRALTRSAYIGNKLCVAKLTQHFGQNGKSRVLCARLICRYSTRATRVGEQLPQEMCAFCQAIAKELLEVKEEAFRRLVSMHLHENPLNQTSNFSDTLLLLGFAETVYKTVTRKKKDLLSKRIYERLKPIETEEETLLAQQWYEQASVTYVLDSAELVKFDAPLKRKLKEKLIRTRQLKIMENRELVAERQSQIAQNGAKRERLYEPLHLKDMKTVVLAVDRCFGRQCAKISENLLDEHAQGGSVREIDSIVWIVSCKDITKKWMEDRKSNFDGTGPIDVLVVGEPILDSKPLTVEVHKILPWIRMEAAEGDWDRRQTRIYPDLKISLEEAEEAKLTIVRSTPIKRKPKARLLEEYNKSEAGNGYIMQLKWTAEEVAEDRNWADAEERSLEETRHLLKTLNKLEERWEQDSLLHIKAEYQVCCGSSVQVICSTCISEGSVPLFMAQQIIANILSSKGAGTSGFLAIYIPDVTPKEILALLRNILYKVGNPISDDLFVSQILKQYTNSLVRRTRSELKEYEKLASQEMSPFARFRQDRDSKRRKRTREKYLDDEVPHPEQGFVDKTEAM
+>sp|Q9H2G2|SLK_HUMAN STE20-like serine/threonine-protein kinase OS=Homo sapiens OX=9606 GN=SLK PE=1 SV=1
+MSFFNFRKIFKLGSEKKKKQYEHVKRDLNPEDFWEIIGELGDGAFGKVYKAQNKETSVLAAAKVIDTKSEEELEDYMVEIDILASCDHPNIVKLLDAFYYENNLWILIEFCAGGAVDAVMLELERPLTESQIQVVCKQTLDALNYLHDNKIIHRDLKAGNILFTLDGDIKLADFGVSAKNTRTIQRRDSFIGTPYWMAPEVVMCETSKDRPYDYKADVWSLGITLIEMAEIEPPHHELNPMRVLLKIAKSEPPTLAQPSRWSSNFKDFLKKCLEKNVDARWTTSQLLQHPFVTVDSNKPIRELIAEAKAEVTEEVEDGKEEDEEEETENSLPIPASKRASSDLSIASSEEDKLSQNACILESVSEKTERSNSEDKLNSKILNEKPTTDEPEKAVEDINEHITDAQLEAMTELHDRTAVIKENEREKRPKLENLPDTEDQETVDINSVSEGKENNIMITLETNIEHNLKSEEEKDQEKQQMFENKLIKSEEIKDTILQTVDLVSQETGEKEANIQAVDSEVGLTKEDTQEKLGEDDKTQKDVISNTSDVIGTCEAADVAQKVDEDSAEDTQSNDGKEVVEVGQKLINKPMVGPEAGGTKEVPIKEIVEMNEIEEGKNKEQAINSSENIMDINEEPGTTEGEEITESSSTEEMEVRSVVADTDQKALGSEVQDASKVTTQIDKEKKEIPVSIKKEPEVTVVSQPTEPQPVLIPSININSDSGENKEEIGSLSKTETILPPESENPKENDNDSGTGSTADTSSIDLNLSISSFLSKTKDSGSISLQETRRQKKTLKKTRKFIVDGVEVSVTTSKIVTDSDSKTEELRFLRRQELRELRFLQKEEQRAQQQLNSKLQQQREQIFRRFEQEMMSKKRQYDQEIENLEKQQKQTIERLEQEHTNRLRDEAKRIKGEQEKELSKFQNMLKNRKKEVINEVEKAPKELRKELMKRRKEELAQSQHAQEQEFVQKQQQELDGSLKKIIQQQKAELANIERECLNNKQQLMRAREAAIWELEERHLQEKHQLLKQQLKDQYFMQRHQLLKRHEKETEQMQRYNQRLIEELKNRQTQERARLPKIQRSEAKTRMAMFKKSLRINSTATPDQDRDKIKQFAAQEEKRQKNERMAQHQKHENQMRDLQLQCEANVRELHQLQNEKCHLLVEHETQKLKELDEEHSQELKEWREKLRPRKKTLEEEFARKLQEQEVFFKMTGESECLNPSTQSRISKFYPIPSLHSTGS
+>DECOY_sp|Q9H2G2|SLK_HUMAN STE20-like serine/threonine-protein kinase OS=Homo sapiens OX=9606 GN=SLK PE=1 SV=1
+SGTSHLSPIPYFKSIRSQTSPNLCESEGTMKFFVEQEQLKRAFEEELTKKRPRLKERWEKLEQSHEEDLEKLKQTEHEVLLHCKENQLQHLERVNAECQLQLDRMQNEHKQHQAMRENKQRKEEQAAFQKIKDRDQDPTATSNIRLSKKFMAMRTKAESRQIKPLRAREQTQRNKLEEILRQNYRQMQETEKEHRKLLQHRQMFYQDKLQQKLLQHKEQLHREELEWIAAERARMLQQKNNLCEREINALEAKQQQIIKKLSGDLEQQQKQVFEQEQAHQSQALEEKRRKMLEKRLEKPAKEVENIVEKKRNKLMNQFKSLEKEQEGKIRKAEDRLRNTHEQELREITQKQQKELNEIEQDYQRKKSMMEQEFRRFIQERQQQLKSNLQQQARQEEKQLFRLERLEQRRLFRLEETKSDSDTVIKSTTVSVEVGDVIFKRTKKLTKKQRRTEQLSISGSDKTKSLFSSISLNLDISSTDATSGTGSDNDNEKPNESEPPLITETKSLSGIEEKNEGSDSNINISPILVPQPETPQSVVTVEPEKKISVPIEKKEKDIQTTVKSADQVESGLAKQDTDAVVSRVEMEETSSSETIEEGETTGPEENIDMINESSNIAQEKNKGEEIENMEVIEKIPVEKTGGAEPGVMPKNILKQGVEVVEKGDNSQTDEASDEDVKQAVDAAECTGIVDSTNSIVDKQTKDDEGLKEQTDEKTLGVESDVAQINAEKEGTEQSVLDVTQLITDKIEESKILKNEFMQQKEQDKEEESKLNHEINTELTIMINNEKGESVSNIDVTEQDETDPLNELKPRKERENEKIVATRDHLETMAELQADTIHENIDEVAKEPEDTTPKENLIKSNLKDESNSRETKESVSELICANQSLKDEESSAISLDSSARKSAPIPLSNETEEEEDEEKGDEVEETVEAKAEAILERIPKNSDVTVFPHQLLQSTTWRADVNKELCKKLFDKFNSSWRSPQALTPPESKAIKLLVRMPNLEHHPPEIEAMEILTIGLSWVDAKYDYPRDKSTECMVVEPAMWYPTGIFSDRRQITRTNKASVGFDALKIDGDLTFLINGAKLDRHIIKNDHLYNLADLTQKCVVQIQSETLPRELELMVADVAGGACFEILIWLNNEYYFADLLKVINPHDCSALIDIEVMYDELEEESKTDIVKAAALVSTEKNQAKYVKGFAGDGLEGIIEWFDEPNLDRKVHEYQKKKKESGLKFIKRFNFFSM
+>sp|Q8IYM2|SLN12_HUMAN Schlafen family member 12 OS=Homo sapiens OX=9606 GN=SLFN12 PE=2 SV=2
+MNISVDLETNYAELVLDVGRVTLGENSRKKMKDCKLRKKQNESVSRAMCALLNSGGGVIKAEIENEDYSYTKDGIGLDLENSFSNILLFVPEYLDFMQNGNYFLIFVKSWSLNTSGLRITTLSSNLYKRDITSAKVMNATAALEFLKDMKKTRGRLYLRPELLAKRPCVDIQEENNMKALAGVFFDRTELDRKEKLTFTESTHVEIKNFSTEKLLQRIKEILPQYVSAFANTDGGYLFIGLNEDKEIIGFKAEMSDLDDLEREIEKSIRKMPVHHFCMEKKKINYSCKFLGVYDKGSLCGYVCALRVERFCCAVFAKEPDSWHVKDNRVMQLTRKEWIQFMVEAEPKFSSSYEEVISQINTSLPAPHSWPLLEWQRQRHHCPGLSGRITYTPENLCRKLFLQHEGLKQLICEEMDSVRKGSLIFSRSWSVDLGLQENHKVLCDALLISQDSPPVLYTFHMVQDEEFKGYSTQTALTLKQKLAKIGGYTKKVCVMTKIFYLSPEGMTSCQYDLRSQVIYPESYYFTRRKYLLKALFKALKRLKSLRDQFSFAENLYQIIGIDCFQKNDKKMFKSCRRLT
+>DECOY_sp|Q8IYM2|SLN12_HUMAN Schlafen family member 12 OS=Homo sapiens OX=9606 GN=SLFN12 PE=2 SV=2
+TLRRCSKFMKKDNKQFCDIGIIQYLNEAFSFQDRLSKLRKLAKFLAKLLYKRRTFYYSEPYIVQSRLDYQCSTMGEPSLYFIKTMVCVKKTYGGIKALKQKLTLATQTSYGKFEEDQVMHFTYLVPPSDQSILLADCLVKHNEQLGLDVSWSRSFILSGKRVSDMEECILQKLGEHQLFLKRCLNEPTYTIRGSLGPCHHRQRQWELLPWSHPAPLSTNIQSIVEEYSSSFKPEAEVMFQIWEKRTLQMVRNDKVHWSDPEKAFVACCFREVRLACVYGCLSGKDYVGLFKCSYNIKKKEMCFHHVPMKRISKEIERELDDLDSMEAKFGIIEKDENLGIFLYGGDTNAFASVYQPLIEKIRQLLKETSFNKIEVHTSETFTLKEKRDLETRDFFVGALAKMNNEEQIDVCPRKALLEPRLYLRGRTKKMDKLFELAATANMVKASTIDRKYLNSSLTTIRLGSTNLSWSKVFILFYNGNQMFDLYEPVFLLINSFSNELDLGIGDKTYSYDENEIEAKIVGGGSNLLACMARSVSENQKKRLKCDKMKKRSNEGLTVRGVDLVLEAYNTELDVSINM
+>sp|Q68D06|SLN13_HUMAN Schlafen family member 13 OS=Homo sapiens OX=9606 GN=SLFN13 PE=1 SV=1
+MEANHCSLGVYPSYPDLVIDVGEVTLGEENRKKLQKTQRDQERARVIRAACALLNSGGGVIQMEMANRDERPTEMGLDLEESLRKLIQYPYLQAFFETKQHGRCFYIFVKSWSGDPFLKDGSFNSRICSLSSSLYCRSGTSVLHMNSRQAFDFLKTKERQSKYNLINEGSPPSKIMKAVYQNISESNPAYEVFQTDTIEYGEILSFPESPSIEFKQFSTKHIQQYVENIIPEYISAFANTEGGYLFIGVDDKSRKVLGCAKEQVDPDSLKNVIARAISKLPIVHFCSSKPRVEYSTKIVEVFCGKELYGYLCVIKVKAFCCVVFSEAPKSWMVREKYIRPLTTEEWVEKMMDADPEFPPDFAEAFESQLSLSDSPSLCRPVYSKKGLEHKADLQQHLFPVPPGHLECTPESLWKELSLQHEGLKELIHKQMRPFSQGIVILSRSWAVDLNLQEKPGVICDALLIAQNSTPILYTILREQDAEGQDYCTRTAFTLKQKLVNMGGYTGKVCVRAKVLCLSPESSAEALEAAVSPMDYPASYSLAGTQHMEALLQSLVIVLLGFRSLLSDQLGCEVLNLLTAQQYEIFSRSLRKNRELFVHGLPGSGKTIMAMKIMEKIRNVFHCEAHRILYVCENQPLRNFISDRNICRAETRETFLREKFEHIQHIVIDEAQNFRTEDGDWYRKAKTITQREKDCPGVLWIFLDYFQTSHLGHSGLPPLSAQYPREELTRVVRNADEIAEYIQQEMQLIIENPPINIPHGYLAILSEAKWVPGVPGNTKIIKNFTLEQIVTYVADTCRCFFERGYSPKDVAVLVSTVTEVEQYQSKLLKAMRKKMVVQLSDACDMLGVHIVLDSVRRFSGLERSIVFGIHPRTADPAILPNILICLASRAKQHLYIFL
+>DECOY_sp|Q68D06|SLN13_HUMAN Schlafen family member 13 OS=Homo sapiens OX=9606 GN=SLFN13 PE=1 SV=1
+LFIYLHQKARSALCILINPLIAPDATRPHIGFVISRELGSFRRVSDLVIHVGLMDCADSLQVVMKKRMAKLLKSQYQEVETVTSVLVAVDKPSYGREFFCRCTDAVYTVIQELTFNKIIKTNGPVGPVWKAESLIALYGHPINIPPNEIILQMEQQIYEAIEDANRVVRTLEERPYQASLPPLGSHGLHSTQFYDLFIWLVGPCDKERQTITKAKRYWDGDETRFNQAEDIVIHQIHEFKERLFTERTEARCINRDSIFNRLPQNECVYLIRHAECHFVNRIKEMIKMAMITKGSGPLGHVFLERNKRLSRSFIEYQQATLLNLVECGLQDSLLSRFGLLVIVLSQLLAEMHQTGALSYSAPYDMPSVAAELAEASSEPSLCLVKARVCVKGTYGGMNVLKQKLTFATRTCYDQGEADQERLITYLIPTSNQAILLADCIVGPKEQLNLDVAWSRSLIVIGQSFPRMQKHILEKLGEHQLSLEKWLSEPTCELHGPPVPFLHQQLDAKHELGKKSYVPRCLSPSDSLSLQSEFAEAFDPPFEPDADMMKEVWEETTLPRIYKERVMWSKPAESFVVCCFAKVKIVCLYGYLEKGCFVEVIKTSYEVRPKSSCFHVIPLKSIARAIVNKLSDPDVQEKACGLVKRSKDDVGIFLYGGETNAFASIYEPIINEVYQQIHKTSFQKFEISPSEPFSLIEGYEITDTQFVEYAPNSESINQYVAKMIKSPPSGENILNYKSQREKTKLFDFAQRSNMHLVSTGSRCYLSSSLSCIRSNFSGDKLFPDGSWSKVFIYFCRGHQKTEFFAQLYPYQILKRLSEELDLGMETPREDRNAMEMQIVGGGSNLLACAARIVRAREQDRQTKQLKKRNEEGLTVEGVDIVLDPYSPYVGLSCHNAEM
+>sp|O95391|SLU7_HUMAN Pre-mRNA-splicing factor SLU7 OS=Homo sapiens OX=9606 GN=SLU7 PE=1 SV=2
+MSATVVDAVNAAPLSGSKEMSLEEPKKMTREDWRKKKELEEQRKLGNAPAEVDEEGKDINPHIPQYISSVPWYIDPSKRPTLKHQRPQPEKQKQFSSSGEWYKRGVKENSIITKYRKGACENCGAMTHKKKDCFERPRRVGAKFTGTNIAPDEHVQPQLMFDYDGKRDRWNGYNPEEHMKIVEEYAKVDLAKRTLKAQKLQEELASGKLVEQANSPKHQWGEEEPNSQMEKDHNSEDEDEDKYADDIDMPGQNFDSKRRITVRNLRIREDIAKYLRNLDPNSAYYDPKTRAMRENPYANAGKNPDEVSYAGDNFVRYTGDTISMAQTQLFAWEAYDKGSEVHLQADPTKLELLYKSFKVKKEDFKEQQKESILEKYGGQEHLDAPPAELLLAQTEDYVEYSRHGTVIKGQERAVACSKYEEDVKIHNHTHIWGSYWKEGRWGYKCCHSFFKYSYCTGEAGKEIVNSEECIINEITGEESVKKPQTLMELHQEKLKEEKKKKKKKKKKHRKSSSDSDDEEKKHEKLKKALNAEEARLLHVKETMQIDERKRPYNSMYETREPTEEEMEAYRMKRQRPDDPMASFLGQ
+>DECOY_sp|O95391|SLU7_HUMAN Pre-mRNA-splicing factor SLU7 OS=Homo sapiens OX=9606 GN=SLU7 PE=1 SV=2
+QGLFSAMPDDPRQRKMRYAEMEEETPERTEYMSNYPRKREDIQMTEKVHLLRAEEANLAKKLKEHKKEEDDSDSSSKRHKKKKKKKKKKEEKLKEQHLEMLTQPKKVSEEGTIENIICEESNVIEKGAEGTCYSYKFFSHCCKYGWRGEKWYSGWIHTHNHIKVDEEYKSCAVAREQGKIVTGHRSYEVYDETQALLLEAPPADLHEQGGYKELISEKQQEKFDEKKVKFSKYLLELKTPDAQLHVESGKDYAEWAFLQTQAMSITDGTYRVFNDGAYSVEDPNKGANAYPNERMARTKPDYYASNPDLNRLYKAIDERIRLNRVTIRRKSDFNQGPMDIDDAYKDEDEDESNHDKEMQSNPEEEGWQHKPSNAQEVLKGSALEEQLKQAKLTRKALDVKAYEEVIKMHEEPNYGNWRDRKGDYDFMLQPQVHEDPAINTGTFKAGVRRPREFCDKKKHTMAGCNECAGKRYKTIISNEKVGRKYWEGSSSFQKQKEPQPRQHKLTPRKSPDIYWPVSSIYQPIHPNIDKGEEDVEAPANGLKRQEELEKKKRWDERTMKKPEELSMEKSGSLPAANVADVVTASM
+>sp|P0DPE8|SM34B_HUMAN Small integral membrane protein 34B OS=Homo sapiens OX=9606 GN=SMIM34B PE=2 SV=1
+MEWAKWTPHEASNQTQASTLLGLLLGDHTEGRNDTNSTRALKVPDGTSAAWYILTIIGIYAVIFVFRLASNILRKNDKSLEDVYYSNLTSELKMTGLQGKVAKCSTLSISNRAVLQPCQAHLGAKGGSSGPQTATPETP
+>DECOY_sp|P0DPE8|SM34B_HUMAN Small integral membrane protein 34B OS=Homo sapiens OX=9606 GN=SMIM34B PE=2 SV=1
+PTEPTATQPGSSGGKAGLHAQCPQLVARNSISLTSCKAVKGQLGTMKLESTLNSYYVDELSKDNKRLINSALRFVFIVAYIGIITLIYWAASTGDPVKLARTSNTDNRGETHDGLLLGLLTSAQTQNSAEHPTWKAWEM
+>sp|P62314|SMD1_HUMAN Small nuclear ribonucleoprotein Sm D1 OS=Homo sapiens OX=9606 GN=SNRPD1 PE=1 SV=1
+MKLVRFLMKLSHETVTIELKNGTQVHGTITGVDVSMNTHLKAVKMTLKNREPVQLETLSIRGNNIRYFILPDSLPLDTLLVDVEPKVKSKKREAVAGRGRGRGRGRGRGRGRGRGGPRR
+>DECOY_sp|P62314|SMD1_HUMAN Small nuclear ribonucleoprotein Sm D1 OS=Homo sapiens OX=9606 GN=SNRPD1 PE=1 SV=1
+RRPGGRGRGRGRGRGRGRGRGRGAVAERKKSKVKPEVDVLLTDLPLSDPLIFYRINNGRISLTELQVPERNKLTMKVAKLHTNMSVDVGTITGHVQTGNKLEITVTEHSLKMLFRVLKM
+>sp|P62318|SMD3_HUMAN Small nuclear ribonucleoprotein Sm D3 OS=Homo sapiens OX=9606 GN=SNRPD3 PE=1 SV=1
+MSIGVPIKVLHEAEGHIVTCETNTGEVYRGKLIEAEDNMNCQMSNITVTYRDGRVAQLEQVYIRGSKIRFLILPDMLKNAPMLKSMKNKNQGSGAGRGKAAILKAQVAARGRGRGMGRGNIFQKRR
+>DECOY_sp|P62318|SMD3_HUMAN Small nuclear ribonucleoprotein Sm D3 OS=Homo sapiens OX=9606 GN=SNRPD3 PE=1 SV=1
+RRKQFINGRGMGRGRGRAAVQAKLIAAKGRGAGSGQNKNKMSKLMPANKLMDPLILFRIKSGRIYVQELQAVRGDRYTVTINSMQCNMNDEAEILKGRYVEGTNTECTVIHGEAEHLVKIPVGISM
+>sp|Q9UPR3|SMG5_HUMAN Protein SMG5 OS=Homo sapiens OX=9606 GN=SMG5 PE=1 SV=3
+MSQGPPTGESSEPEAKVLHTKRLYRAVVEAVHRLDLILCNKTAYQEVFKPENISLRNKLRELCVKLMFLHPVDYGRKAEELLWRKVYYEVIQLIKTNKKHIHSRSTLECAYRTHLVAGIGFYQHLLLYIQSHYQLELQCCIDWTHVTDPLIGCKKPVSASGKEMDWAQMACHRCLVYLGDLSRYQNELAGVDTELLAERFYYQALSVAPQIGMPFNQLGTLAGSKYYNVEAMYCYLRCIQSEVSFEGAYGNLKRLYDKAAKMYHQLKKCETRKLSPGKKRCKDIKRLLVNFMYLQSLLQPKSSSVDSELTSLCQSVLEDFNLCLFYLPSSPNLSLASEDEEEYESGYAFLPDLLIFQMVIICLMCVHSLERAGSKQYSAAIAFTLALFSHLVNHVNIRLQAELEEGENPVPAFQSDGTDEPESKEPVEKEEEPDPEPPPVTPQVGEGRKSRKFSRLSCLRRRRHPPKVGDDSDLSEGFESDSSHDSARASEGSDSGSDKSLEGGGTAFDAETDSEMNSQESRSDLEDMEEEEGTRSPTLEPPRGRSEAPDSLNGPLGPSEASIASNLQAMSTQMFQTKRCFRLAPTFSNLLLQPTTNPHTSASHRPCVNGDVDKPSEPASEEGSESEGSESSGRSCRNERSIQEKLQVLMAEGLLPAVKVFLDWLRTNPDLIIVCAQSSQSLWNRLSVLLNLLPAAGELQESGLALCPEVQDLLEGCELPDLPSSLLLPEDMALRNLPPLRAAHRRFNFDTDRPLLSTLEESVVRICCIRSFGHFIARLQGSILQFNPEVGIFVSIAQSEQESLLQQAQAQFRMAQEEARRNRLMRDMAQLRLQLEVSQLEGSLQQPKAQSAMSPYLVPDTQALCHHLPVIRQLATSGRFIVIIPRTVIDGLDLLKKEHPGARDGIRYLEAEFKKGNRYIRCQKEVGKSFERHKLKRQDADAWTLYKILDSCKQLTLAQGAGEEDPSGMVTIITGLPLDNPSVLSGPMQAALQAAAHASVDIKNVLDFYKQWKEIG
+>DECOY_sp|Q9UPR3|SMG5_HUMAN Protein SMG5 OS=Homo sapiens OX=9606 GN=SMG5 PE=1 SV=3
+GIEKWQKYFDLVNKIDVSAHAAAQLAAQMPGSLVSPNDLPLGTIITVMGSPDEEGAGQALTLQKCSDLIKYLTWADADQRKLKHREFSKGVEKQCRIYRNGKKFEAELYRIGDRAGPHEKKLLDLGDIVTRPIIVIFRGSTALQRIVPLHHCLAQTDPVLYPSMASQAKPQQLSGELQSVELQLRLQAMDRMLRNRRAEEQAMRFQAQAQQLLSEQESQAISVFIGVEPNFQLISGQLRAIFHGFSRICCIRVVSEELTSLLPRDTDFNFRRHAARLPPLNRLAMDEPLLLSSPLDPLECGELLDQVEPCLALGSEQLEGAAPLLNLLVSLRNWLSQSSQACVIILDPNTRLWDLFVKVAPLLGEAMLVQLKEQISRENRCSRGSSESGESESGEESAPESPKDVDGNVCPRHSASTHPNTTPQLLLNSFTPALRFCRKTQFMQTSMAQLNSAISAESPGLPGNLSDPAESRGRPPELTPSRTGEEEEMDELDSRSEQSNMESDTEADFATGGGELSKDSGSDSGESARASDHSSDSEFGESLDSDDGVKPPHRRRRLCSLRSFKRSKRGEGVQPTVPPPEPDPEEEKEVPEKSEPEDTGDSQFAPVPNEGEELEAQLRINVHNVLHSFLALTFAIAASYQKSGARELSHVCMLCIIVMQFILLDPLFAYGSEYEEEDESALSLNPSSPLYFLCLNFDELVSQCLSTLESDVSSSKPQLLSQLYMFNVLLRKIDKCRKKGPSLKRTECKKLQHYMKAAKDYLRKLNGYAGEFSVESQICRLYCYMAEVNYYKSGALTGLQNFPMGIQPAVSLAQYYFREALLETDVGALENQYRSLDGLYVLCRHCAMQAWDMEKGSASVPKKCGILPDTVHTWDICCQLELQYHSQIYLLLHQYFGIGAVLHTRYACELTSRSHIHKKNTKILQIVEYYVKRWLLEEAKRGYDVPHLFMLKVCLERLKNRLSINEPKFVEQYATKNCLILDLRHVAEVVARYLRKTHLVKAEPESSEGTPPGQSM
+>sp|Q9BZL3|SMIM3_HUMAN Small integral membrane protein 3 OS=Homo sapiens OX=9606 GN=SMIM3 PE=1 SV=1
+MDAVSQVPMEVVLPKHILDIWVIVLIILATIVIMTSLLLCPATAVIIYRMRTHPILSGAV
+>DECOY_sp|Q9BZL3|SMIM3_HUMAN Small integral membrane protein 3 OS=Homo sapiens OX=9606 GN=SMIM3 PE=1 SV=1
+VAGSLIPHTRMRYIIVATAPCLLLSTMIVITALIILVIVWIDLIHKPLVVEMPVQSVADM
+>sp|Q9H4F8|SMOC1_HUMAN SPARC-related modular calcium-binding protein 1 OS=Homo sapiens OX=9606 GN=SMOC1 PE=1 SV=1
+MLPARCARLLTPHLLLVLVQLSPARGHRTTGPRFLISDRDPQCNLHCSRTQPKPICASDGRSYESMCEYQRAKCRDPTLGVVHRGRCKDAGQSKCRLERAQALEQAKKPQEAVFVPECGEDGSFTQVQCHTYTGYCWCVTPDGKPISGSSVQNKTPVCSGSVTDKPLSQGNSGRKDDGSKPTPTMETQPVFDGDEITAPTLWIKHLVIKDSKLNNTNIRNSEKVYSCDQERQSALEEAQQNPREGIVIPECAPGGLYKPVQCHQSTGYCWCVLVDTGRPLPGTSTRYVMPSCESDARAKTTEADDPFKDRELPGCPEGKKMEFITSLLDALTTDMVQAINSAAPTGGGRFSEPDPSHTLEERVVHWYFSQLDSNSSNDINKREMKPFKRYVKKKAKPKKCARRFTDYCDLNKDKVISLPELKGCLGVSKEGRLV
+>DECOY_sp|Q9H4F8|SMOC1_HUMAN SPARC-related modular calcium-binding protein 1 OS=Homo sapiens OX=9606 GN=SMOC1 PE=1 SV=1
+VLRGEKSVGLCGKLEPLSIVKDKNLDCYDTFRRACKKPKAKKKVYRKFPKMERKNIDNSSNSDLQSFYWHVVREELTHSPDPESFRGGGTPAASNIAQVMDTTLADLLSTIFEMKKGEPCGPLERDKFPDDAETTKARADSECSPMVYRTSTGPLPRGTDVLVCWCYGTSQHCQVPKYLGGPACEPIVIGERPNQQAEELASQREQDCSYVKESNRINTNNLKSDKIVLHKIWLTPATIEDGDFVPQTEMTPTPKSGDDKRGSNGQSLPKDTVSGSCVPTKNQVSSGSIPKGDPTVCWCYGTYTHCQVQTFSGDEGCEPVFVAEQPKKAQELAQARELRCKSQGADKCRGRHVVGLTPDRCKARQYECMSEYSRGDSACIPKPQTRSCHLNCQPDRDSILFRPGTTRHGRAPSLQVLVLLLHPTLLRACRAPLM
+>sp|Q96GM5|SMRD1_HUMAN SWI/SNF-related matrix-associated actin-dependent regulator of chromatin subfamily D member 1 OS=Homo sapiens OX=9606 GN=SMARCD1 PE=1 SV=2
+MAARAGFQSVAPSGGAGASGGAGAAAALGPGGTPGPPVRMGPAPGQGLYRSPMPGAAYPRPGMLPGSRMTPQGPSMGPPGYGGNPSVRPGLAQSGMDQSRKRPAPQQIQQVQQQAVQNRNHNAKKKKMADKILPQRIRELVPESQAYMDLLAFERKLDQTIMRKRLDIQEALKRPIKQKRKLRIFISNTFNPAKSDAEDGEGTVASWELRVEGRLLEDSALSKYDATKQKRKFSSFFKSLVIELDKDLYGPDNHLVEWHRTATTQETDGFQVKRPGDVNVRCTVLLMLDYQPPQFKLDPRLARLLGIHTQTRPVIIQALWQYIKTHKLQDPHEREFVICDKYLQQIFESQRMKFSEIPQRLHALLMPPEPIIINHVISVDPNDQKKTACYDIDVEVDDTLKTQMNSFLLSTASQQEIATLDNKIHETIETINQLKTQREFMLSFARDPQGFINDWLQSQCRDLKTMTDVVGNPEEERRAEFYFQPWAQEAVCRYFYSKVQQRRQELEQALGIRNT
+>DECOY_sp|Q96GM5|SMRD1_HUMAN SWI/SNF-related matrix-associated actin-dependent regulator of chromatin subfamily D member 1 OS=Homo sapiens OX=9606 GN=SMARCD1 PE=1 SV=2
+TNRIGLAQELEQRRQQVKSYFYRCVAEQAWPQFYFEARREEEPNGVVDTMTKLDRCQSQLWDNIFGQPDRAFSLMFERQTKLQNITEITEHIKNDLTAIEQQSATSLLFSNMQTKLTDDVEVDIDYCATKKQDNPDVSIVHNIIIPEPPMLLAHLRQPIESFKMRQSEFIQQLYKDCIVFEREHPDQLKHTKIYQWLAQIIVPRTQTHIGLLRALRPDLKFQPPQYDLMLLVTCRVNVDGPRKVQFGDTEQTTATRHWEVLHNDPGYLDKDLEIVLSKFFSSFKRKQKTADYKSLASDELLRGEVRLEWSAVTGEGDEADSKAPNFTNSIFIRLKRKQKIPRKLAEQIDLRKRMITQDLKREFALLDMYAQSEPVLERIRQPLIKDAMKKKKANHNRNQVAQQQVQQIQQPAPRKRSQDMGSQALGPRVSPNGGYGPPGMSPGQPTMRSGPLMGPRPYAAGPMPSRYLGQGPAPGMRVPPGPTGGPGLAAAAGAGGSAGAGGSPAVSQFGARAAM
+>sp|P53814|SMTN_HUMAN Smoothelin OS=Homo sapiens OX=9606 GN=SMTN PE=1 SV=7
+MADEALAGLDEGALRKLLEVTADLAERRRIRSAIRELQRQELEREEEALASKRFRAERQDNKENWLHSQQREAEQRAALARLAGQLESMNDVEELTALLRSAGEYEERKLIRAAIRRVRAQEIEAATLAGRLYSGRPNSGSREDSKGLAAHRLEQCEVPEREEQEQQAEVSKPTPTPEGTSQDVTTVTLLLRAPPGSTSSSPASPSSSPTPASPEPPLEPAEAQCLTAEVPGSPEPPPSPPKTTSPEPQESPTLPSTEGQVVNKLLSGPKETPAAQSPTRGPSDTKRADVAGPRPCQRSLSVLSPRQPAQNRESTPLASGPSSFQRAGSVRDRVHKFTSDSPMAARLQDGTPQAALSPLTPARLLGPSLTSTTPASSSSGSSSRGPSDTSSRFSKEQRGVAQPLAQLRSCPQEEGPRGRGLAARPLENRAGGPVARSEEPGAPLPVAVGTAEPGGSMKTTFTIEIKDGRGQASTGRVLLPTGNQRAELTLGLRAPPTLLSTSSGGKSTITRVNSPGTLARLGSVTHVTSFSHAPPSSRGGCSIKMEAEPAEPLAAAVEAANGAEQTRVNKAPEGRSPLSAEELMTIEDEGVLDKMLDQSTDFEERKLIRAALRELRQRKRDQRDKERERRLQEARGRPGEGRGNTATETTTRHSQRAADGSAVSTVTKTERLVHSNDGTRTARTTTVESSFVRRSENGSGSTMMQTKTFSSSSSSKKMGSIFDREDQASPRAGSLAALEKRQAEKKKELMKAQSLPKTSASQARKAMIEKLEKEGAAGSPGGPRAAVQRSTSFGVPNANSIKQMLLDWCRAKTRGYEHVDIQNFSSSWSDGMAFCALVHNFFPEAFDYGQLSPQNRRQNFEVAFSSAEMLVDCVPLVEVDDMMIMGKKPDPKCVFTYVQSLYNHLRRHELRLRGKNV
+>DECOY_sp|P53814|SMTN_HUMAN Smoothelin OS=Homo sapiens OX=9606 GN=SMTN PE=1 SV=7
+VNKGRLRLEHRRLHNYLSQVYTFVCKPDPKKGMIMMDDVEVLPVCDVLMEASSFAVEFNQRRNQPSLQGYDFAEPFFNHVLACFAMGDSWSSSFNQIDVHEYGRTKARCWDLLMQKISNANPVGFSTSRQVAARPGGPSGAAGEKELKEIMAKRAQSASTKPLSQAKMLEKKKEAQRKELAALSGARPSAQDERDFISGMKKSSSSSSFTKTQMMTSGSGNESRRVFSSEVTTTRATRTGDNSHVLRETKTVTSVASGDAARQSHRTTTETATNGRGEGPRGRAEQLRREREKDRQDRKRQRLERLAARILKREEFDTSQDLMKDLVGEDEITMLEEASLPSRGEPAKNVRTQEAGNAAEVAAALPEAPEAEMKISCGGRSSPPAHSFSTVHTVSGLRALTGPSNVRTITSKGGSSTSLLTPPARLGLTLEARQNGTPLLVRGTSAQGRGDKIEITFTTKMSGGPEATGVAVPLPAGPEESRAVPGGARNELPRAALGRGRPGEEQPCSRLQALPQAVGRQEKSFRSSTDSPGRSSSGSSSSAPTTSTLSPGLLRAPTLPSLAAQPTGDQLRAAMPSDSTFKHVRDRVSGARQFSSPGSALPTSERNQAPQRPSLVSLSRQCPRPGAVDARKTDSPGRTPSQAAPTEKPGSLLKNVVQGETSPLTPSEQPEPSTTKPPSPPPEPSGPVEATLCQAEAPELPPEPSAPTPSSSPSAPSSSTSGPPARLLLTVTTVDQSTGEPTPTPKSVEAQQEQEEREPVECQELRHAALGKSDERSGSNPRGSYLRGALTAAEIEQARVRRIAARILKREEYEGASRLLATLEEVDNMSELQGALRALAARQEAERQQSHLWNEKNDQREARFRKSALAEEERELEQRQLERIASRIRRREALDATVELLKRLAGEDLGALAEDAM
+>sp|Q9HCE7|SMUF1_HUMAN E3 ubiquitin-protein ligase SMURF1 OS=Homo sapiens OX=9606 GN=SMURF1 PE=1 SV=2
+MSNPGTRRNGSSIKIRLTVLCAKNLAKKDFFRLPDPFAKIVVDGSGQCHSTDTVKNTLDPKWNQHYDLYVGKTDSITISVWNHKKIHKKQGAGFLGCVRLLSNAISRLKDTGYQRLDLCKLNPSDTDAVRGQIVVSLQTRDRIGTGGSVVDCRGLLENEGTVYEDSGPGRPLSCFMEEPAPYTDSTGAAAGGGNCRFVESPSQDQRLQAQRLRNPDVRGSLQTPQNRPHGHQSPELPEGYEQRTTVQGQVYFLHTQTGVSTWHDPRIPSPSGTIPGGDAAFLYEFLLQGHTSEPRDLNSVNCDELGPLPPGWEVRSTVSGRIYFVDHNNRTTQFTDPRLHHIMNHQCQLKEPSQPLPLPSEGSLEDEELPAQRYERDLVQKLKVLRHELSLQQPQAGHCRIEVSREEIFEESYRQIMKMRPKDLKKRLMVKFRGEEGLDYGGVAREWLYLLCHEMLNPYYGLFQYSTDNIYMLQINPDSSINPDHLSYFHFVGRIMGLAVFHGHYINGGFTVPFYKQLLGKPIQLSDLESVDPELHKSLVWILENDITPVLDHTFCVEHNAFGRILQHELKPNGRNVPVTEENKKEYVRLYVNWRFMRGIEAQFLALQKGFNELIPQHLLKPFDQKELELIIGGLDKIDLNDWKSNTRLKHCVADSNIVRWFWQAVETFDEERRARLLQFVTGSTRVPLQGFKALQGSTGAAGPRLFTIHLIDANTDNLPKAHTCFNRIDIPPYESYEKLYEKLLTAVEETCGFAVE
+>DECOY_sp|Q9HCE7|SMUF1_HUMAN E3 ubiquitin-protein ligase SMURF1 OS=Homo sapiens OX=9606 GN=SMURF1 PE=1 SV=2
+EVAFGCTEEVATLLKEYLKEYSEYPPIDIRNFCTHAKPLNDTNADILHITFLRPGAAGTSGQLAKFGQLPVRTSGTVFQLLRARREEDFTEVAQWFWRVINSDAVCHKLRTNSKWDNLDIKDLGGIILELEKQDFPKLLHQPILENFGKQLALFQAEIGRMFRWNVYLRVYEKKNEETVPVNRGNPKLEHQLIRGFANHEVCFTHDLVPTIDNELIWVLSKHLEPDVSELDSLQIPKGLLQKYFPVTFGGNIYHGHFVALGMIRGVFHFYSLHDPNISSDPNIQLMYINDTSYQFLGYYPNLMEHCLLYLWERAVGGYDLGEEGRFKVMLRKKLDKPRMKMIQRYSEEFIEERSVEIRCHGAQPQQLSLEHRLVKLKQVLDREYRQAPLEEDELSGESPLPLPQSPEKLQCQHNMIHHLRPDTFQTTRNNHDVFYIRGSVTSRVEWGPPLPGLEDCNVSNLDRPESTHGQLLFEYLFAADGGPITGSPSPIRPDHWTSVGTQTHLFYVQGQVTTRQEYGEPLEPSQHGHPRNQPTQLSGRVDPNRLRQAQLRQDQSPSEVFRCNGGGAAAGTSDTYPAPEEMFCSLPRGPGSDEYVTGENELLGRCDVVSGGTGIRDRTQLSVVIQGRVADTDSPNLKCLDLRQYGTDKLRSIANSLLRVCGLFGAGQKKHIKKHNWVSITISDTKGVYLDYHQNWKPDLTNKVTDTSHCQGSGDVVIKAFPDPLRFFDKKALNKACLVTLRIKISSGNRRTGPNSM
+>sp|Q8WVN6|SCTM1_HUMAN Secreted and transmembrane protein 1 OS=Homo sapiens OX=9606 GN=SECTM1 PE=1 SV=2
+MQTCPLAFPGHVSQALGTLLFLAASLSAQNEGWDSPICTEGVVSVSWGENTVMSCNISNAFSHVNIKLRAHGQESAIFNEVAPGYFSRDGWQLQVQGGVAQLVIKGARDSHAGLYMWHLVGHQRNNRQVTLEVSGAEPQSAPDTGFWPVPAVVTAVFILLVALVMFAWYRCRCSQQRREKKFFLLEPQMKVAALRAGAQQGLSRASAELWTPDSEPTPRPLALVFKPSPLGALELLSPQPLFPYAADP
+>DECOY_sp|Q8WVN6|SCTM1_HUMAN Secreted and transmembrane protein 1 OS=Homo sapiens OX=9606 GN=SECTM1 PE=1 SV=2
+PDAAYPFLPQPSLLELAGLPSPKFVLALPRPTPESDPTWLEASARSLGQQAGARLAAVKMQPELLFFKKERRQQSCRCRYWAFMVLAVLLIFVATVVAPVPWFGTDPASQPEAGSVELTVQRNNRQHGVLHWMYLGAHSDRAGKIVLQAVGGQVQLQWGDRSFYGPAVENFIASEQGHARLKINVHSFANSINCSMVTNEGWSVSVVGETCIPSDWGENQASLSAALFLLTGLAQSVHGPFALPCTQM
+>sp|P34741|SDC2_HUMAN Syndecan-2 OS=Homo sapiens OX=9606 GN=SDC2 PE=1 SV=2
+MRRAWILLTLGLVACVSAESRAELTSDKDMYLDNSSIEEASGVYPIDDDDYASASGSGADEDVESPELTTSRPLPKILLTSAAPKVETTTLNIQNKIPAQTKSPEETDKEKVHLSDSERKMDPAEEDTNVYTEKHSDSLFKRTEVLAAVIAGGVIGFLFAIFLILLLVYRMRKKDEGSYDLGERKPSSAAYQKAPTKEFYA
+>DECOY_sp|P34741|SDC2_HUMAN Syndecan-2 OS=Homo sapiens OX=9606 GN=SDC2 PE=1 SV=2
+AYFEKTPAKQYAASSPKREGLDYSGEDKKRMRYVLLLILFIAFLFGIVGGAIVAALVETRKFLSDSHKETYVNTDEEAPDMKRESDSLHVKEKDTEEPSKTQAPIKNQINLTTTEVKPAASTLLIKPLPRSTTLEPSEVDEDAGSGSASAYDDDDIPYVGSAEEISSNDLYMDKDSTLEARSEASVCAVLGLTLLIWARRM
+>sp|Q99470|SDF2_HUMAN Stromal cell-derived factor 2 OS=Homo sapiens OX=9606 GN=SDF2 PE=1 SV=2
+MAVVPLLLLGGLWSAVGASSLGVVTCGSVVKLLNTRHNVRLHSHDVRYGSGSGQQSVTGVTSVDDSNSYWRIRGKSATVCERGTPIKCGQPIRLTHVNTGRNLHSHHFTSPLSGNQEVSAFGEEGEGDYLDDWTVLCNGPYWVRDGEVRFKHSSTEVLLSVTGEQYGRPISGQKEVHGMAQPSQNNYWKAMEGIFMKPSELLKAEAHHAEL
+>DECOY_sp|Q99470|SDF2_HUMAN Stromal cell-derived factor 2 OS=Homo sapiens OX=9606 GN=SDF2 PE=1 SV=2
+LEAHHAEAKLLESPKMFIGEMAKWYNNQSPQAMGHVEKQGSIPRGYQEGTVSLLVETSSHKFRVEGDRVWYPGNCLVTWDDLYDGEGEEGFASVEQNGSLPSTFHHSHLNRGTNVHTLRIPQGCKIPTGRECVTASKGRIRWYSNSDDVSTVGTVSQQGSGSGYRVDHSHLRVNHRTNLLKVVSGCTVVGLSSAGVASWLGGLLLLPVVAM
+>sp|Q7Z5N4|SDK1_HUMAN Protein sidekick-1 OS=Homo sapiens OX=9606 GN=SDK1 PE=2 SV=3
+MARGARPSAAGGGGGGAEPPERAGPGRPRGSPPGRARPSLAPRPGPEPSRPRAAPETSGGDTAGAGRCGGRRAAKLGPGRRGWWALLALQLHLLRALAQDDVAPYFKTEPGLPQIHLEGNRLVLTCLAEGSWPLEFKWMRDDSELTTYSSEYKYIIPSLQKLDAGFYRCVVRNRMGALLQRKSEVQVAYMGSFMDTDQRKTVSQGRAAILNLLPITSYPRPQVTWFREGHKIIPSNRIAITLENQLVILATTTSDAGAYYVQAVNEKNGENKTSPFIHLSIARDVGTPETMAPTIVVPPGNRSVVAGSSETTLECIASARPVEDLSVTWKRNGVRITSGLHSFGRRLTISNPTSADTGPYVCEAALPGSAFEPARATAFLFIIEPPYFTAEPESRISAEVEETVDIGCQAMGVPLPTLQWYKDAISISRLQNPRYKVLASGGLRIQKLRPEDSGIFQCFASNEGGEIQTHTYLDVTNIAPVFTQRPVDTTVTDGMTAILRCEVSGAPKPAITWKRENHILASGSVRIPRFMLLESGGLQIAPVFIQDAGNYTCYAANTEGSLNASATLTVWNRTSIVHPPEDHVVIKGTTATLHCGATHDPRVSLRYVWKKDNVALTPSSTSRIVVEKDGSLLISQTWSGDIGDYSCEIVSEGGNDSRMARLEVIELPHSPQNLLVSPNSSHSHAVVLSWVRPFDGNSPILYYIVELSENNSPWKVHLSNVGPEMTGVTVSGLTPARTYQFRVCAVNEVGRGQYSAETSRLMLPEEPPSAPPKNIVASGRTNQSIMVQWQPPPETEHNGVLRGYILRYRLAGLPGEYQQRNITSPEVNYCLVTDLIIWTQYEIQVAAYNGAGLGVFSRAVTEYTLQGVPTAPPQNVQTEAVNSTTIQFLWNPPPQQFINGINQGYKLLAWPADAPEAVTVVTIAPDFHGVHHGHITNLKKFTAYFTSVLCFTTPGDGPPSTPQLVWTQEDKPGAVGHLSFTEILDTSLKVSWQEPLEKNGIITGYQISWEVYGRNDSRLTHTLNSTTHEYKIQGLSSLTTYTIDVAAVTAVGTGLVTSSTISSGVPPDLPGAPSNLVISNISPRSATLQFRPGYDGKTSISRWIVEGQVGAIGDEEEWVTLYEEENEPDAQMLEIPNLTPYTHYRFRMKQVNIVGPSPYSPSSRVIQTLQAPPDVAPTSVTVRTASETSLRLRWVPLPDSQYNGNPESVGYRIKYWRSDLQSSAVAQVVSDRLEREFTIEELEEWMEYELQMQAFNAVGAGPWSEVVRGRTRESVPSAAPENVSAEAVSSTQILLTWTSVPEQDQNGLILGYKILFRAKDLDPEPRSHIVRGNHTQSALLAGLRKFVLYELQVLAFTRIGNGVPSTPLILERTKDDAPGPPVRLVFPEVRLTSVRIVWQPPEEPNGIILGYQIAYRLASSSPHTFTTVEVGATVRQFTATDLAPESAYIFRLSAKTRQGWGEPLEATVITTEKRERPAPPRELLVPQAEVTARSLRLQWVPGSDGASPIRYFTMQVRELPRGEWQTYSSSISHEATACVVDRLRPFTSYKLRLKATNDIGDSDFSSETEAVTTLQDVPGEPPGSVSATPHTTSSVLIQWQPPRDESLNGLLQGYRIYYRELEYEAGSGTEAKTLKNPIALHAELTAQSSFKTVNSSSTSTMCELTHLKKYRRYEVIMTAYNIIGESPASAPVEVFVGEAAPAMAPQNVQVTPLTASQLEVTWDPPPPESQNGNIQGYKIYYWEADSQNETEKMKVLFLPEPVVRLKNLTSHTKYLVSISAFNAAGDGPKSDPQQGRTHQAAPGAPSFLAFSEITSTTLNVSWGEPAAANGILQGYRVVYEPLAPVQGVSKVVTVEVRGNWQRWLKVRDLTKGVTYFFRVQARTITYGPELQANITAGPAEGSPGSPRDVLVTKSASELTLQWTEGHSGDTPTTGYVIEARPSDEGLWDMFVKDIPRSATSYTLSLDKLRQGVTYEFRVVAVNEAGYGEPSNPSTAVSAQVEAPFYEEWWFLLVMALSSLIVILLVVFALVLHGQNKKYKNCSTGKGISTMEESVTLDNGGFAALELSSRHLNVKSTFSKKNGTRSPPRPSPGGLHYSDEDICNKYNGAVLTESVSLKEKSADASESEATDSDYEDALPKHSFVNHYMSDPTYYNSWKRRAQGRAPAPHRYEAVAGSEAGAQLHPVITTQSAGGVYTPAGPGARTPLTGFSSFV
+>DECOY_sp|Q7Z5N4|SDK1_HUMAN Protein sidekick-1 OS=Homo sapiens OX=9606 GN=SDK1 PE=2 SV=3
+VFSSFGTLPTRAGPGAPTYVGGASQTTIVPHLQAGAESGAVAEYRHPAPARGQARRKWSNYYTPDSMYHNVFSHKPLADEYDSDTAESESADASKEKLSVSETLVAGNYKNCIDEDSYHLGGPSPRPPSRTGNKKSFTSKVNLHRSSLELAAFGGNDLTVSEEMTSIGKGTSCNKYKKNQGHLVLAFVVLLIVILSSLAMVLLFWWEEYFPAEVQASVATSPNSPEGYGAENVAVVRFEYTVGQRLKDLSLTYSTASRPIDKVFMDWLGEDSPRAEIVYGTTPTDGSHGETWQLTLESASKTVLVDRPSGPSGEAPGATINAQLEPGYTITRAQVRFFYTVGKTLDRVKLWRQWNGRVEVTVVKSVGQVPALPEYVVRYGQLIGNAAAPEGWSVNLTTSTIESFALFSPAGPAAQHTRGQQPDSKPGDGAANFASISVLYKTHSTLNKLRVVPEPLFLVKMKETENQSDAEWYYIKYGQINGNQSEPPPPDWTVELQSATLPTVQVNQPAMAPAAEGVFVEVPASAPSEGIINYATMIVEYRRYKKLHTLECMTSTSSSNVTKFSSQATLEAHLAIPNKLTKAETGSGAEYELERYYIRYGQLLGNLSEDRPPQWQILVSSTTHPTASVSGPPEGPVDQLTTVAETESSFDSDGIDNTAKLRLKYSTFPRLRDVVCATAEHSISSSYTQWEGRPLERVQMTFYRIPSAGDSGPVWQLRLSRATVEAQPVLLERPPAPRERKETTIVTAELPEGWGQRTKASLRFIYASEPALDTATFQRVTAGVEVTTFTHPSSSALRYAIQYGLIIGNPEEPPQWVIRVSTLRVEPFVLRVPPGPADDKTRELILPTSPVGNGIRTFALVQLEYLVFKRLGALLASQTHNGRVIHSRPEPDLDKARFLIKYGLILGNQDQEPVSTWTLLIQTSSVAEASVNEPAASPVSERTRGRVVESWPGAGVANFAQMQLEYEMWEELEEITFERELRDSVVQAVASSQLDSRWYKIRYGVSEPNGNYQSDPLPVWRLRLSTESATRVTVSTPAVDPPAQLTQIVRSSPSYPSPGVINVQKMRFRYHTYPTLNPIELMQADPENEEEYLTVWEEEDGIAGVQGEVIWRSISTKGDYGPRFQLTASRPSINSIVLNSPAGPLDPPVGSSITSSTVLGTGVATVAAVDITYTTLSSLGQIKYEHTTSNLTHTLRSDNRGYVEWSIQYGTIIGNKELPEQWSVKLSTDLIETFSLHGVAGPKDEQTWVLQPTSPPGDGPTTFCLVSTFYATFKKLNTIHGHHVGHFDPAITVVTVAEPADAPWALLKYGQNIGNIFQQPPPNWLFQITTSNVAETQVNQPPATPVGQLTYETVARSFVGLGAGNYAAVQIEYQTWIILDTVLCYNVEPSTINRQQYEGPLGALRYRLIYGRLVGNHETEPPPQWQVMISQNTRGSAVINKPPASPPEEPLMLRSTEASYQGRGVENVACVRFQYTRAPTLGSVTVGTMEPGVNSLHVKWPSNNESLEVIYYLIPSNGDFPRVWSLVVAHSHSSNPSVLLNQPSHPLEIVELRAMRSDNGGESVIECSYDGIDGSWTQSILLSGDKEVVIRSTSSPTLAVNDKKWVYRLSVRPDHTAGCHLTATTGKIVVHDEPPHVISTRNWVTLTASANLSGETNAAYCTYNGADQIFVPAIQLGGSELLMFRPIRVSGSALIHNERKWTIAPKPAGSVECRLIATMGDTVTTDVPRQTFVPAINTVDLYTHTQIEGGENSAFCQFIGSDEPRLKQIRLGGSALVKYRPNQLRSISIADKYWQLTPLPVGMAQCGIDVTEEVEASIRSEPEATFYPPEIIFLFATARAPEFASGPLAAECVYPGTDASTPNSITLRRGFSHLGSTIRVGNRKWTVSLDEVPRASAICELTTESSGAVVSRNGPPVVITPAMTEPTGVDRAISLHIFPSTKNEGNKENVAQVYYAGADSTTTALIVLQNELTIAIRNSPIIKHGERFWTVQPRPYSTIPLLNLIAARGQSVTKRQDTDMFSGMYAVQVESKRQLLAGMRNRVVCRYFGADLKQLSPIIYKYESSYTTLESDDRMWKFELPWSGEALCTLVLRNGELHIQPLGPETKFYPAVDDQALARLLHLQLALLAWWGRRGPGLKAARRGGCRGAGATDGGSTEPAARPRSPEPGPRPALSPRARGPPSGRPRGPGAREPPEAGGGGGGAASPRAGRAM
+>sp|Q9UBV2|SE1L1_HUMAN Protein sel-1 homolog 1 OS=Homo sapiens OX=9606 GN=SEL1L PE=1 SV=3
+MRVRIGLTLLLCAVLLSLASASSDEEGSQDESLDSKTTLTSDESVKDHTTAGRVVAGQIFLDSEESELESSIQEEEDSLKSQEGESVTEDISFLESPNPENKDYEEPKKVRKPALTAIEGTAHGEPCHFPFLFLDKEYDECTSDGREDGRLWCATTYDYKADEKWGFCETEEEAAKRRQMQEAEMMYQTGMKILNGSNKKSQKREAYRYLQKAASMNHTKALERVSYALLFGDYLPQNIQAAREMFEKLTEEGSPKGQTALGFLYASGLGVNSSQAKALVYYTFGALGGNLIAHMVLGYRYWAGIGVLQSCESALTHYRLVANHVASDISLTGGSVVQRIRLPDEVENPGMNSGMLEEDLIQYYQFLAEKGDVQAQVGLGQLHLHGGRGVEQNHQRAFDYFNLAANAGNSHAMAFLGKMYSEGSDIVPQSNETALHYFKKAADMGNPVGQSGLGMAYLYGRGVQVNYDLALKYFQKAAEQGWVDGQLQLGSMYYNGIGVKRDYKQALKYFNLASQGGHILAFYNLAQMHASGTGVMRSCHTAVELFKNVCERGRWSERLMTAYNSYKDGDYNAAVIQYLLLAEQGYEVAQSNAAFILDQREASIVGENETYPRALLHWNRAASQGYTVARIKLGDYHFYGFGTDVDYETAFIHYRLASEQQHSAQAMFNLGYMHEKGLGIKQDIHLAKRFYDMAAEASPDAQVPVFLALCKLGVVYFLQYIRETNIRDMFTQLDMDQLLGPEWDLYLMTIIALLLGTVIAYRQRQHQDMPAPRPPGPRPAPPQQEGPPEQQPPQ
+>DECOY_sp|Q9UBV2|SE1L1_HUMAN Protein sel-1 homolog 1 OS=Homo sapiens OX=9606 GN=SEL1L PE=1 SV=3
+QPPQQEPPGEQQPPAPRPGPPRPAPMDQHQRQRYAIVTGLLLAIITMLYLDWEPGLLQDMDLQTFMDRINTERIYQLFYVVGLKCLALFVPVQADPSAEAAMDYFRKALHIDQKIGLGKEHMYGLNFMAQASHQQESALRYHIFATEYDVDTGFGYFHYDGLKIRAVTYGQSAARNWHLLARPYTENEGVISAERQDLIFAANSQAVEYGQEALLLYQIVAANYDGDKYSNYATMLRESWRGRECVNKFLEVATHCSRMVGTGSAHMQALNYFALIHGGQSALNFYKLAQKYDRKVGIGNYYMSGLQLQGDVWGQEAAKQFYKLALDYNVQVGRGYLYAMGLGSQGVPNGMDAAKKFYHLATENSQPVIDSGESYMKGLFAMAHSNGANAALNFYDFARQHNQEVGRGGHLHLQGLGVQAQVDGKEALFQYYQILDEELMGSNMGPNEVEDPLRIRQVVSGGTLSIDSAVHNAVLRYHTLASECSQLVGIGAWYRYGLVMHAILNGGLAGFTYYVLAKAQSSNVGLGSAYLFGLATQGKPSGEETLKEFMERAAQINQPLYDGFLLAYSVRELAKTHNMSAAKQLYRYAERKQSKKNSGNLIKMGTQYMMEAEQMQRRKAAEEETECFGWKEDAKYDYTTACWLRGDERGDSTCEDYEKDLFLFPFHCPEGHATGEIATLAPKRVKKPEEYDKNEPNPSELFSIDETVSEGEQSKLSDEEEQISSELESEESDLFIQGAVVRGATTHDKVSEDSTLTTKSDLSEDQSGEEDSSASALSLLVACLLLTLGIRVRM
+>sp|O76038|SEGN_HUMAN Secretagogin OS=Homo sapiens OX=9606 GN=SCGN PE=2 SV=2
+MDSSREPTLGRLDAAGFWQVWQRFDADEKGYIEEKELDAFFLHMLMKLGTDDTVMKANLHKVKQQFMTTQDASKDGRIRMKELAGMFLSEDENFLLLFRRENPLDSSVEFMQIWRKYDADSSGFISAAELRNFLRDLFLHHKKAISEAKLEEYTGTMMKIFDRNKDGRLDLNDLARILALQENFLLQFKMDACSTEERKRDFEKIFAYYDVSKTGALEGPEVDGFVKDMMELVQPSISGVDLDKFREILLRHCDVNKDGKIQKSELALCLGLKINP
+>DECOY_sp|O76038|SEGN_HUMAN Secretagogin OS=Homo sapiens OX=9606 GN=SCGN PE=2 SV=2
+PNIKLGLCLALESKQIKGDKNVDCHRLLIERFKDLDVGSISPQVLEMMDKVFGDVEPGELAGTKSVDYYAFIKEFDRKREETSCADMKFQLLFNEQLALIRALDNLDLRGDKNRDFIKMMTGTYEELKAESIAKKHHLFLDRLFNRLEAASIFGSSDADYKRWIQMFEVSSDLPNERRFLLLFNEDESLFMGALEKMRIRGDKSADQTTMFQQKVKHLNAKMVTDDTGLKMLMHLFFADLEKEEIYGKEDADFRQWVQWFGAADLRGLTPERSSDM
+>sp|Q9BQE4|SELS_HUMAN Selenoprotein S OS=Homo sapiens OX=9606 GN=SELENOS PE=1 SV=3
+MERQEESLSARPALETEGLRFLHTTVGSLLATYGWYIVFSCILLYVVFQKLSARLRALRQRQLDRAAAAVEPDVVVKRQEALAAARLKMQEELNAQVEKHKEKLKQLEEEKRRQKIEMWDSMQEGKSYKGNAKKPQEEDSPGPSTSSVLKRKSDRKPLRGGGYNPLSGEGGGACSWRPGRRGPSSGGUG
+>DECOY_sp|Q9BQE4|SELS_HUMAN Selenoprotein S OS=Homo sapiens OX=9606 GN=SELENOS PE=1 SV=3
+GUGGSSPGRRGPRWSCAGGGEGSLPNYGGGRLPKRDSKRKLVSSTSPGPSDEEQPKKANGKYSKGEQMSDWMEIKQRRKEEELQKLKEKHKEVQANLEEQMKLRAAALAEQRKVVVDPEVAAAARDLQRQRLARLRASLKQFVVYLLICSFVIYWGYTALLSGVTTHLFRLGETELAPRASLSEEQREM
+>sp|P62341|SELT_HUMAN Thioredoxin reductase-like selenoprotein T OS=Homo sapiens OX=9606 GN=SELENOT PE=1 SV=2
+MRLLLLLLVAASAMVRSEASANLGGVPSKRLKMQYATGPLLKFQICVSUGYRRVFEEYMRVISQRYPDIRIEGENYLPQPIYRHIASFLSVFKLVLIGLIIVGKDPFAFFGMQAPSIWQWGQENKVYACMMVFFLSNMIENQCMSTGAFEITLNDVPVWSKLESGHLPSMQQLVQILDNEMKLNVHMDSIPHHRS
+>DECOY_sp|P62341|SELT_HUMAN Thioredoxin reductase-like selenoprotein T OS=Homo sapiens OX=9606 GN=SELENOT PE=1 SV=2
+SRHHPISDMHVNLKMENDLIQVLQQMSPLHGSELKSWVPVDNLTIEFAGTSMCQNEIMNSLFFVMMCAYVKNEQGWQWISPAQMGFFAFPDKGVIILGILVLKFVSLFSAIHRYIPQPLYNEGEIRIDPYRQSIVRMYEEFVRRYGUSVCIQFKLLPGTAYQMKLRKSPVGGLNASAESRVMASAAVLLLLLLRM
+>sp|P63302|SELW_HUMAN Selenoprotein W OS=Homo sapiens OX=9606 GN=SELENOW PE=1 SV=3
+MALAVRVVYCGAUGYKSKYLQLKKKLEDEFPGRLDICGEGTPQATGFFEVMVAGKLIHSKKKGDGYVDTESKFLKLVAAIKAALAQG
+>DECOY_sp|P63302|SELW_HUMAN Selenoprotein W OS=Homo sapiens OX=9606 GN=SELENOW PE=1 SV=3
+GQALAAKIAAVLKLFKSETDVYGDGKKKSHILKGAVMVEFFGTAQPTGEGCIDLRGPFEDELKKKLQLYKSKYGUAGCYVVRVALAM
+>sp|Q9NPR2|SEM4B_HUMAN Semaphorin-4B OS=Homo sapiens OX=9606 GN=SEMA4B PE=1 SV=3
+MGLRSWLAAPWGALPPRPPLLLLLLLLLLLQPPPPTWALSPRISLPLGSEERPFLRFEAEHISNYTALLLSRDGRTLYVGAREALFALSSNLSFLPGGEYQELLWGADAEKKQQCSFKGKDPQRDCQNYIKILLPLSGSHLFTCGTAAFSPMCTYINMENFTLARDEKGNVLLEDGKGRCPFDPNFKSTALVVDGELYTGTVSSFQGNDPAISRSQSLRPTKTESSLNWLQDPAFVASAYIPESLGSLQGDDDKIYFFFSETGQEFEFFENTIVSRIARICKGDEGGERVLQQRWTSFLKAQLLCSRPDDGFPFNVLQDVFTLSPSPQDWRDTLFYGVFTSQWHRGTTEGSAVCVFTMKDVQRVFSGLYKEVNRETQQWYTVTHPVPTPRPGACITNSARERKINSSLQLPDRVLNFLKDHFLMDGQVRSRMLLLQPQARYQRVAVHRVPGLHHTYDVLFLGTGDGRLHKAVSVGPRVHIIEELQIFSSGQPVQNLLLDTHRGLLYAASHSGVVQVPMANCSLYRSCGDCLLARDPYCAWSGSSCKHVSLYQPQLATRPWIQDIEGASAKDLCSASSVVSPSFVPTGEKPCEQVQFQPNTVNTLACPLLSNLATRLWLRNGAPVNASASCHVLPTGDLLLVGTQQLGEFQCWSLEEGFQQLVASYCPEVVEDGVADQTDEGGSVPVIISTSRVSAPAGGKASWGADRSYWKEFLVMCTLFVLAVLLPVLFLLYRHRNSMKVFLKQGECASVHPKTCPVVLPPETRPLNGLGPPSTPLDHRGYQSLSDSPPGSRVFTESEKRPLSIQDSFVEVSPVCPRPRVRLGSEIRDSVV
+>DECOY_sp|Q9NPR2|SEM4B_HUMAN Semaphorin-4B OS=Homo sapiens OX=9606 GN=SEMA4B PE=1 SV=3
+VVSDRIESGLRVRPRPCVPSVEVFSDQISLPRKESETFVRSGPPSDSLSQYGRHDLPTSPPGLGNLPRTEPPLVVPCTKPHVSACEGQKLFVKMSNRHRYLLFLVPLLVALVFLTCMVLFEKWYSRDAGWSAKGGAPASVRSTSIIVPVSGGEDTQDAVGDEVVEPCYSAVLQQFGEELSWCQFEGLQQTGVLLLDGTPLVHCSASANVPAGNRLWLRTALNSLLPCALTNVTNPQFQVQECPKEGTPVFSPSVVSSASCLDKASAGEIDQIWPRTALQPQYLSVHKCSSGSWACYPDRALLCDGCSRYLSCNAMPVQVVGSHSAAYLLGRHTDLLLNQVPQGSSFIQLEEIIHVRPGVSVAKHLRGDGTGLFLVDYTHHLGPVRHVAVRQYRAQPQLLLMRSRVQGDMLFHDKLFNLVRDPLQLSSNIKRERASNTICAGPRPTPVPHTVTYWQQTERNVEKYLGSFVRQVDKMTFVCVASGETTGRHWQSTFVGYFLTDRWDQPSPSLTFVDQLVNFPFGDDPRSCLLQAKLFSTWRQQLVREGGEDGKCIRAIRSVITNEFFEFEQGTESFFFYIKDDDGQLSGLSEPIYASAVFAPDQLWNLSSETKTPRLSQSRSIAPDNGQFSSVTGTYLEGDVVLATSKFNPDFPCRGKGDELLVNGKEDRALTFNEMNIYTCMPSFAATGCTFLHSGSLPLLIKIYNQCDRQPDKGKFSCQQKKEADAGWLLEQYEGGPLFSLNSSLAFLAERAGVYLTRGDRSLLLATYNSIHEAEFRLFPREESGLPLSIRPSLAWTPPPPQLLLLLLLLLLLPPRPPLAGWPAALWSRLGM
+>sp|Q92854|SEM4D_HUMAN Semaphorin-4D OS=Homo sapiens OX=9606 GN=SEMA4D PE=1 SV=1
+MRMCTPIRGLLMALAVMFGTAMAFAPIPRITWEHREVHLVQFHEPDIYNYSALLLSEDKDTLYIGAREAVFAVNALNISEKQHEVYWKVSEDKKAKCAEKGKSKQTECLNYIRVLQPLSATSLYVCGTNAFQPACDHLNLTSFKFLGKNEDGKGRCPFDPAHSYTSVMVDGELYSGTSYNFLGSEPIISRNSSHSPLRTEYAIPWLNEPSFVFADVIRKSPDSPDGEDDRVYFFFTEVSVEYEFVFRVLIPRIARVCKGDQGGLRTLQKKWTSFLKARLICSRPDSGLVFNVLRDVFVLRSPGLKVPVFYALFTPQLNNVGLSAVCAYNLSTAEEVFSHGKYMQSTTVEQSHTKWVRYNGPVPKPRPGACIDSEARAANYTSSLNLPDKTLQFVKDHPLMDDSVTPIDNRPRLIKKDVNYTQIVVDRTQALDGTVYDVMFVSTDRGALHKAISLEHAVHIIEETQLFQDFEPVQTLLLSSKKGNRFVYAGSNSGVVQAPLAFCGKHGTCEDCVLARDPYCAWSPPTATCVALHQTESPSRGLIQEMSGDASVCPDKSKGSYRQHFFKHGGTAELKCSQKSNLARVFWKFQNGVLKAESPKYGLMGRKNLLIFNLSEGDSGVYQCLSEERVKNKTVFQVVAKHVLEVKVVPKPVVAPTLSVVQTEGSRIATKVLVASTQGSSPPTPAVQATSSGAITLPPKPAPTGTSCEPKIVINTVPQLHSEKTMYLKSSDNRLLMSLFLFFFVLFLCLFFYNCYKGYLPRQCLKFRSALLIGKKKPKSDFCDREQSLKETLVEPGSFSQQNGEHPKPALDTGYETEQDTITSKVPTDREDSQRIDDLSARDKPFDVKCELKFADSDADGD
+>DECOY_sp|Q92854|SEM4D_HUMAN Semaphorin-4D OS=Homo sapiens OX=9606 GN=SEMA4D PE=1 SV=1
+DGDADSDAFKLECKVDFPKDRASLDDIRQSDERDTPVKSTITDQETEYGTDLAPKPHEGNQQSFSGPEVLTEKLSQERDCFDSKPKKKGILLASRFKLCQRPLYGKYCNYFFLCLFLVFFFLFLSMLLRNDSSKLYMTKESHLQPVTNIVIKPECSTGTPAPKPPLTIAGSSTAQVAPTPPSSGQTSAVLVKTAIRSGETQVVSLTPAVVPKPVVKVELVHKAVVQFVTKNKVREESLCQYVGSDGESLNFILLNKRGMLGYKPSEAKLVGNQFKWFVRALNSKQSCKLEATGGHKFFHQRYSGKSKDPCVSADGSMEQILGRSPSETQHLAVCTATPPSWACYPDRALVCDECTGHKGCFALPAQVVGSNSGAYVFRNGKKSSLLLTQVPEFDQFLQTEEIIHVAHELSIAKHLAGRDTSVFMVDYVTGDLAQTRDVVIQTYNVDKKILRPRNDIPTVSDDMLPHDKVFQLTKDPLNLSSTYNAARAESDICAGPRPKPVPGNYRVWKTHSQEVTTSQMYKGHSFVEEATSLNYACVASLGVNNLQPTFLAYFVPVKLGPSRLVFVDRLVNFVLGSDPRSCILRAKLFSTWKKQLTRLGGQDGKCVRAIRPILVRFVFEYEVSVETFFFYVRDDEGDPSDPSKRIVDAFVFSPENLWPIAYETRLPSHSSNRSIIPESGLFNYSTGSYLEGDVMVSTYSHAPDFPCRGKGDENKGLFKFSTLNLHDCAPQFANTGCVYLSTASLPQLVRIYNLCETQKSKGKEACKAKKDESVKWYVEHQKESINLANVAFVAERAGIYLTDKDESLLLASYNYIDPEHFQVLHVERHEWTIRPIPAFAMATGFMVALAMLLGRIPTCMRM
+>sp|Q02383|SEMG2_HUMAN Semenogelin-2 OS=Homo sapiens OX=9606 GN=SEMG2 PE=1 SV=1
+MKSIILFVLSLLLILEKQAAVMGQKGGSKGQLPSGSSQFPHGQKGQHYFGQKDQQHTKSKGSFSIQHTYHVDINDHDWTRKSQQYDLNALHKATKSKQHLGGSQQLLNYKQEGRDHDKSKGHFHMIVIHHKGGQAHHGTQNPSQDQGNSPSGKGLSSQCSNTEKRLWVHGLSKEQASASGAQKGRTQGGSQSSYVLQTEELVVNKQQRETKNSHQNKGHYQNVVDVREEHSSKLQTSLHPAHQDRLQHGPKDIFTTQDELLVYNKNQHQTKNLSQDQEHGRKAHKISYPSSRTEERQLHHGEKSVQKDVSKGSISIQTEEKIHGKSQNQVTIHSQDQEHGHKENKISYQSSSTEERHLNCGEKGIQKGVSKGSISIQTEEQIHGKSQNQVRIPSQAQEYGHKENKISYQSSSTEERRLNSGEKDVQKGVSKGSISIQTEEKIHGKSQNQVTIPSQDQEHGHKENKMSYQSSSTEERRLNYGGKSTQKDVSQSSISFQIEKLVEGKSQIQTPNPNQDQWSGQNAKGKSGQSADSKQDLLSHEQKGRYKQESSESHNIVITEHEVAQDDHLTQQYNEDRNPIST
+>DECOY_sp|Q02383|SEMG2_HUMAN Semenogelin-2 OS=Homo sapiens OX=9606 GN=SEMG2 PE=1 SV=1
+TSIPNRDENYQQTLHDDQAVEHETIVINHSESSEQKYRGKQEHSLLDQKSDASQGSKGKANQGSWQDQNPNPTQIQSKGEVLKEIQFSISSQSVDKQTSKGGYNLRREETSSSQYSMKNEKHGHEQDQSPITVQNQSKGHIKEETQISISGKSVGKQVDKEGSNLRREETSSSQYSIKNEKHGYEQAQSPIRVQNQSKGHIQEETQISISGKSVGKQIGKEGCNLHREETSSSQYSIKNEKHGHEQDQSHITVQNQSKGHIKEETQISISGKSVDKQVSKEGHHLQREETRSSPYSIKHAKRGHEQDQSLNKTQHQNKNYVLLEDQTTFIDKPGHQLRDQHAPHLSTQLKSSHEERVDVVNQYHGKNQHSNKTERQQKNVVLEETQLVYSSQSGGQTRGKQAGSASAQEKSLGHVWLRKETNSCQSSLGKGSPSNGQDQSPNQTGHHAQGGKHHIVIMHFHGKSKDHDRGEQKYNLLQQSGGLHQKSKTAKHLANLDYQQSKRTWDHDNIDVHYTHQISFSGKSKTHQQDKQGFYHQGKQGHPFQSSGSPLQGKSGGKQGMVAAQKELILLLSLVFLIISKM
+>sp|Q7Z6J9|SEN54_HUMAN tRNA-splicing endonuclease subunit Sen54 OS=Homo sapiens OX=9606 GN=TSEN54 PE=1 SV=3
+MEPEPEPAAVEVPAGRVLSARELFAARSRSQKLPQRSHGPKDFLPDGSAAQAERLRRCREELWQLLAEQRVERLGSLVAAEWRPEEGFVELKSPAGKFWQTMGFSEQGRQRLHPEEALYLLECGSIHLFHQDLPLSIQEAYQLLLTDHTVTFLQYQVFSHLKRLGYVVRRFQPSSVLSPYERQLNLDASVQHLEDGDGKRKRSSSSPRSINKKAKALDNSLQPKSLAASSPPPCSQPSQCPEEKPQESSPMKGPGGPFQLLGSLGPSPGPAREGVGCSWESGRAENGVTGAGKRRWNFEQISFPNMASDSRHTLLRAPAPELLPANVAGRETDAESWCQKLNQRKEKLSRREREHHAEAAQFQEDVNADPEVQRCSSWREYKELLQRRQVQRSQRRAPHLWGQPVTPLLSPGQASSPAVVLQHISVLQTTHLPDGGARLLEKSGGLEIIFDVYQADAVATFRKNNPGKPYARMCISGFDEPVPDLCSLKRLSYQSGDVPLIFALVDHGDISFYSFRDFTLPQDVGH
+>DECOY_sp|Q7Z6J9|SEN54_HUMAN tRNA-splicing endonuclease subunit Sen54 OS=Homo sapiens OX=9606 GN=TSEN54 PE=1 SV=3
+HGVDQPLTFDRFSYFSIDGHDVLAFILPVDGSQYSLRKLSCLDPVPEDFGSICMRAYPKGPNNKRFTAVADAQYVDFIIELGGSKELLRAGGDPLHTTQLVSIHQLVVAPSSAQGPSLLPTVPQGWLHPARRQSRQVQRRQLLEKYERWSSCRQVEPDANVDEQFQAAEAHHERERRSLKEKRQNLKQCWSEADTERGAVNAPLLEPAPARLLTHRSDSAMNPFSIQEFNWRRKGAGTVGNEARGSEWSCGVGERAPGPSPGLSGLLQFPGGPGKMPSSEQPKEEPCQSPQSCPPPSSAALSKPQLSNDLAKAKKNISRPSSSSRKRKGDGDELHQVSADLNLQREYPSLVSSPQFRRVVYGLRKLHSFVQYQLFTVTHDTLLLQYAEQISLPLDQHFLHISGCELLYLAEEPHLRQRGQESFGMTQWFKGAPSKLEVFGEEPRWEAAVLSGLREVRQEALLQWLEERCRRLREAQAASGDPLFDKPGHSRQPLKQSRSRAAFLERASLVRGAPVEVAAPEPEPEM
+>sp|Q9P0U3|SENP1_HUMAN Sentrin-specific protease 1 OS=Homo sapiens OX=9606 GN=SENP1 PE=1 SV=2
+MDDIADRMRMDAGEVTLVNHNSVFKTHLLPQTGFPEDQLSLSDQQILSSRQGHLDRSFTCSTRSAAYNPSYYSDNPSSDSFLGSGDLRTFGQSANGQWRNSTPSSSSSLQKSRNSRSLYLETRKTSSGLSNSFAGKSNHHCHVSAYEKSFPIKPVPSPSWSGSCRRSLLSPKKTQRRHVSTAEETVQEEEREIYRQLLQMVTGKQFTIAKPTTHFPLHLSRCLSSSKNTLKDSLFKNGNSCASQIIGSDTSSSGSASILTNQEQLSHSVYSLSSYTPDVAFGSKDSGTLHHPHHHHSVPHQPDNLAASNTQSEGSDSVILLKVKDSQTPTPSSTFFQAELWIKELTSVYDSRARERLRQIEEQKALALQLQNQRLQEREHSVHDSVELHLRVPLEKEIPVTVVQETQKKGHKLTDSEDEFPEITEEMEKEIKNVFRNGNQDEVLSEAFRLTITRKDIQTLNHLNWLNDEIINFYMNMLMERSKEKGLPSVHAFNTFFFTKLKTAGYQAVKRWTKKVDVFSVDILLVPIHLGVHWCLAVVDFRKKNITYYDSMGGINNEACRILLQYLKQESIDKKRKEFDTNGWQLFSKKSQEIPQQMNGSDCGMFACKYADCITKDRPINFTQQHMPYFRKRMVWEILHRKLL
+>DECOY_sp|Q9P0U3|SENP1_HUMAN Sentrin-specific protease 1 OS=Homo sapiens OX=9606 GN=SENP1 PE=1 SV=2
+LLKRHLIEWVMRKRFYPMHQQTFNIPRDKTICDAYKCAFMGCDSGNMQQPIEQSKKSFLQWGNTDFEKRKKDISEQKLYQLLIRCAENNIGGMSDYYTINKKRFDVVALCWHVGLHIPVLLIDVSFVDVKKTWRKVAQYGATKLKTFFFTNFAHVSPLGKEKSREMLMNMYFNIIEDNLWNLHNLTQIDKRTITLRFAESLVEDQNGNRFVNKIEKEMEETIEPFEDESDTLKHGKKQTEQVVTVPIEKELPVRLHLEVSDHVSHEREQLRQNQLQLALAKQEEIQRLRERARSDYVSTLEKIWLEAQFFTSSPTPTQSDKVKLLIVSDSGESQTNSAALNDPQHPVSHHHHPHHLTGSDKSGFAVDPTYSSLSYVSHSLQEQNTLISASGSSSTDSGIIQSACSNGNKFLSDKLTNKSSSLCRSLHLPFHTTPKAITFQKGTVMQLLQRYIEREEEQVTEEATSVHRRQTKKPSLLSRRCSGSWSPSPVPKIPFSKEYASVHCHHNSKGAFSNSLGSSTKRTELYLSRSNRSKQLSSSSSPTSNRWQGNASQGFTRLDGSGLFSDSSPNDSYYSPNYAASRTSCTFSRDLHGQRSSLIQQDSLSLQDEPFGTQPLLHTKFVSNHNVLTVEGADMRMRDAIDDM
+>sp|Q96HI0|SENP5_HUMAN Sentrin-specific protease 5 OS=Homo sapiens OX=9606 GN=SENP5 PE=1 SV=3
+MKKQRKILWRKGIHLAFSEKWNTGFGGFKKFYFHQHLCILKAKLGRPVTWNRQLRHFQGRKKALQIQKTWIKDEPLCAKTKFNVATQNVSTLSSKVKRKDAKHFISSSKTLLRLQAEKLLSSAKNSDHEYCREKNLLKAVTDFPSNSALGQANGHRPRTDPQPSDFPMKFNGESQSPGESGTIVVTLNNHKRKGFCYGCCQGPEHHRNGGPLIPKKFQLNQHRRIKLSPLMMYEKLSMIRFRYRILRSQHFRTKSKVCKLRKAQRSWVQKVTGDHQETRRENGEGGSCSPFPSPEPKDPSCRHQPYFPDMDSSAVVKGTNSHVPDCHTKGSSFLGKELSLDEAFPDQQNGSATNAWDQSSCSSPKWECTELIHDIPLPEHRSNTMFISETEREIMTLGQENQTSSVSDDRVKLSVSGADTSVSSVDGPVSQKAVQNENSYQMEEDGSLKQSILSSELLDHPYCKSPLEAPLVCSGLKLENQVGGGKNSQKASPVDDEQLSVCLSGFLDEVMKKYGSLVPLSEKEVLGRLKDVFNEDFSNRKPFINREITNYRARHQKCNFRIFYNKHMLDMDDLATLDGQNWLNDQVINMYGELIMDAVPDKVHFFNSFFHRQLVTKGYNGVKRWTKKVDLFKKSLLLIPIHLEVHWSLITVTLSNRIISFYDSQGIHFKFCVENIRKYLLTEAREKNRPEFLQGWQTAVTKCIPQQKNDSDCGVFVLQYCKCLALEQPFQFSQEDMPRVRKRIYKELCECRLMD
+>DECOY_sp|Q96HI0|SENP5_HUMAN Sentrin-specific protease 5 OS=Homo sapiens OX=9606 GN=SENP5 PE=1 SV=3
+DMLRCECLEKYIRKRVRPMDEQSFQFPQELALCKCYQLVFVGCDSDNKQQPICKTVATQWGQLFEPRNKERAETLLYKRINEVCFKFHIGQSDYFSIIRNSLTVTILSWHVELHIPILLLSKKFLDVKKTWRKVGNYGKTVLQRHFFSNFFHVKDPVADMILEGYMNIVQDNLWNQGDLTALDDMDLMHKNYFIRFNCKQHRARYNTIERNIFPKRNSFDENFVDKLRGLVEKESLPVLSGYKKMVEDLFGSLCVSLQEDDVPSAKQSNKGGGVQNELKLGSCVLPAELPSKCYPHDLLESSLISQKLSGDEEMQYSNENQVAKQSVPGDVSSVSTDAGSVSLKVRDDSVSSTQNEQGLTMIERETESIFMTNSRHEPLPIDHILETCEWKPSSCSSQDWANTASGNQQDPFAEDLSLEKGLFSSGKTHCDPVHSNTGKVVASSDMDPFYPQHRCSPDKPEPSPFPSCSGGEGNERRTEQHDGTVKQVWSRQAKRLKCVKSKTRFHQSRLIRYRFRIMSLKEYMMLPSLKIRRHQNLQFKKPILPGGNRHHEPGQCCGYCFGKRKHNNLTVVITGSEGPSQSEGNFKMPFDSPQPDTRPRHGNAQGLASNSPFDTVAKLLNKERCYEHDSNKASSLLKEAQLRLLTKSSSIFHKADKRKVKSSLTSVNQTAVNFKTKACLPEDKIWTKQIQLAKKRGQFHRLQRNWTVPRGLKAKLICLHQHFYFKKFGGFGTNWKESFALHIGKRWLIKRQKKM
+>sp|Q9BQF6|SENP7_HUMAN Sentrin-specific protease 7 OS=Homo sapiens OX=9606 GN=SENP7 PE=1 SV=4
+MDKRKLGRRPSSSEIITEGKRKKSSSDLSEIRKMLNAKPEDVHVQSPLSKFRSSERWTLPLQWERSLRNKVISLDHKNKKHIRGCPVTSKSSPERQLKVMLTNVLWTDLGRKFRKTLPRNDANLCDANKVQSDSLPSTSVDSLETCQKLEPLRQSLNLSERIPRVILTNVLGTELGRKYIRTPPVTEGSLSDTDNLQSEQLSSSSDGSLESYQNLNPHKSCYLSERGSQRSKTVDDNSAKQTAHNKEKRRKDDGISLLISDTQPEDLNSGSRGCDHLEQESRNKDVKYSDSKVELTLISRKTKRRLRNNLPDSQYCTSLDKSTEQTKKQEDDSTISTEFEKPSENYHQDPKLPEEITTKPTKSDFTKLSSLNSQELTLSNATKSASAGSTTETVENSNSIDIVGISSLVEKDENELNTIEKPILRGHNEGNQSLISAEPIVVSSDEEGPVEHKSSEILKLQSKQDRETTNENESTSESALLELPLITCESVQMSSELCPYNPVMENISSIMPSNEMDLQLDFIFTSVYIGKIKGASKGCVTITKKYIKIPFQVSLNEISLLVDTTHLKRFGLWKSKDDNHSKRSHAILFFWVSSDYLQEIQTQLEHSVLSQQSKSSEFIFLELHNPVSQREELKLKDIMTEISIISGELELSYPLSWVQAFPLFQNLSSKESSFIHYYCVSTCSFPAGVAVAEEMKLKSVSQPSNTDAAKPTYTFLQKQSSGCYSLSITSNPDEEWREVRHTGLVQKLIVYPPPPTKGGLGVTNEDLECLEEGEFLNDVIIDFYLKYLILEKASDELVERSHIFSSFFYKCLTRKENNLTEDNPNLSMAQRRHKRVRTWTRHINIFNKDYIFVPVNESSHWYLAVICFPWLEEAVYEDFPQTVSQQSQAQQSQNDNKTIDNDLRTTSTLSLSAEDSQSTESNMSVPKKMCKRPCILILDSLKAASVQNTVQNLREYLEVEWEVKLKTHRQFSKTNMVDLCPKVPKQDNSSDCGVYLLQYVESFFKDPIVNFELPIHLEKWFPRHVIKTKREDIRELILKLHLQQQKGSSS
+>DECOY_sp|Q9BQF6|SENP7_HUMAN Sentrin-specific protease 7 OS=Homo sapiens OX=9606 GN=SENP7 PE=1 SV=4
+SSSGKQQQLHLKLILERIDERKTKIVHRPFWKELHIPLEFNVIPDKFFSEVYQLLYVGCDSSNDQKPVKPCLDVMNTKSFQRHTKLKVEWEVELYERLNQVTNQVSAAKLSDLILICPRKCMKKPVSMNSETSQSDEASLSLTSTTRLDNDITKNDNQSQQAQSQQSVTQPFDEYVAEELWPFCIVALYWHSSENVPVFIYDKNFINIHRTWTRVRKHRRQAMSLNPNDETLNNEKRTLCKYFFSSFIHSREVLEDSAKELILYKLYFDIIVDNLFEGEELCELDENTVGLGGKTPPPPYVILKQVLGTHRVERWEEDPNSTISLSYCGSSQKQLFTYTPKAADTNSPQSVSKLKMEEAVAVGAPFSCTSVCYYHIFSSEKSSLNQFLPFAQVWSLPYSLELEGSIISIETMIDKLKLEERQSVPNHLELFIFESSKSQQSLVSHELQTQIEQLYDSSVWFFLIAHSRKSHNDDKSKWLGFRKLHTTDVLLSIENLSVQFPIKIYKKTITVCGKSAGKIKGIYVSTFIFDLQLDMENSPMISSINEMVPNYPCLESSMQVSECTILPLELLASESTSENENTTERDQKSQLKLIESSKHEVPGEEDSSVVIPEASILSQNGENHGRLIPKEITNLENEDKEVLSSIGVIDISNSNEVTETTSGASASKTANSLTLEQSNLSSLKTFDSKTPKTTIEEPLKPDQHYNESPKEFETSITSDDEQKKTQETSKDLSTCYQSDPLNNRLRRKTKRSILTLEVKSDSYKVDKNRSEQELHDCGRSGSNLDEPQTDSILLSIGDDKRRKEKNHATQKASNDDVTKSRQSGRESLYCSKHPNLNQYSELSGDSSSSLQESQLNDTDSLSGETVPPTRIYKRGLETGLVNTLIVRPIRESLNLSQRLPELKQCTELSDVSTSPLSDSQVKNADCLNADNRPLTKRFKRGLDTWLVNTLMVKLQREPSSKSTVPCGRIHKKNKHDLSIVKNRLSREWQLPLTWRESSRFKSLPSQVHVDEPKANLMKRIESLDSSSKKRKGETIIESSSPRRGLKRKDM
+>sp|P49908|SEPP1_HUMAN Selenoprotein P OS=Homo sapiens OX=9606 GN=SELENOP PE=1 SV=3
+MWRSLGLALALCLLPSGGTESQDQSSLCKQPPAWSIRDQDPMLNSNGSVTVVALLQASUYLCILQASKLEDLRVKLKKEGYSNISYIVVNHQGISSRLKYTHLKNKVSEHIPVYQQEENQTDVWTLLNGSKDDFLIYDRCGRLVYHLGLPFSFLTFPYVEEAIKIAYCEKKCGNCSLTTLKDEDFCKRVSLATVDKTVETPSPHYHHEHHHNHGHQHLGSSELSENQQPGAPNAPTHPAPPGLHHHHKHKGQHRQGHPENRDMPASEDLQDLQKKLCRKRCINQLLCKLPTDSELAPRSUCCHCRHLIFEKTGSAITUQCKENLPSLCSUQGLRAEENITESCQURLPPAAUQISQQLIPTEASASURUKNQAKKUEUPSN
+>DECOY_sp|P49908|SEPP1_HUMAN Selenoprotein P OS=Homo sapiens OX=9606 GN=SELENOP PE=1 SV=3
+NSPUEUKKAQNKURUSASAETPILQQSIQUAAPPLRUQCSETINEEARLGQUSCLSPLNEKCQUTIASGTKEFILHRCHCCUSRPALESDTPLKCLLQNICRKRCLKKQLDQLDESAPMDRNEPHGQRHQGKHKHHHHLGPPAPHTPANPAGPQQNESLESSGLHQHGHNHHHEHHYHPSPTEVTKDVTALSVRKCFDEDKLTTLSCNGCKKECYAIKIAEEVYPFTLFSFPLGLHYVLRGCRDYILFDDKSGNLLTWVDTQNEEQQYVPIHESVKNKLHTYKLRSSIGQHNVVIYSINSYGEKKLKVRLDELKSAQLICLYUSAQLLAVVTVSGNSNLMPDQDRISWAPPQKCLSSQDQSETGGSPLLCLALALGLSRWM
+>sp|Q9NRX5|SERC1_HUMAN Serine incorporator 1 OS=Homo sapiens OX=9606 GN=SERINC1 PE=1 SV=1
+MGSVLGLCSMASWIPCLCGSAPCLLCRCCPSGNNSTVTRLIYALFLLVGVCVACVMLIPGMEEQLNKIPGFCENEKGVVPCNILVGYKAVYRLCFGLAMFYLLLSLLMIKVKSSSDPRAAVHNGFWFFKFAAAIAIIIGAFFIPEGTFTTVWFYVGMAGAFCFILIQLVLLIDFAHSWNESWVEKMEEGNSRCWYAALLSATALNYLLSLVAIVLFFVYYTHPASCSENKAFISVNMLLCVGASVMSILPKIQESQPRSGLLQSSVITVYTMYLTWSAMTNEPETNCNPSLLSIIGYNTTSTVPKEGQSVQWWHAQGIIGLILFLLCVFYSSIRTSNNSQVNKLTLTSDESTLIEDGGARSDGSLEDGDDVHRAVDNERDGVTYSYSFFHFMLFLASLYIMMTLTNWYRYEPSREMKSQWTAVWVKISSSWIGIVLYVWTLVAPLVLTNRDFD
+>DECOY_sp|Q9NRX5|SERC1_HUMAN Serine incorporator 1 OS=Homo sapiens OX=9606 GN=SERINC1 PE=1 SV=1
+DFDRNTLVLPAVLTWVYLVIGIWSSSIKVWVATWQSKMERSPEYRYWNTLTMMIYLSALFLMFHFFSYSYTVGDRENDVARHVDDGDELSGDSRAGGDEILTSEDSTLTLKNVQSNNSTRISSYFVCLLFLILGIIGQAHWWQVSQGEKPVTSTTNYGIISLLSPNCNTEPENTMASWTLYMTYVTIVSSQLLGSRPQSEQIKPLISMVSAGVCLLMNVSIFAKNESCSAPHTYYVFFLVIAVLSLLYNLATASLLAAYWCRSNGEEMKEVWSENWSHAFDILLVLQILIFCFAGAMGVYFWVTTFTGEPIFFAGIIIAIAAAFKFFWFGNHVAARPDSSSKVKIMLLSLLLYFMALGFCLRYVAKYGVLINCPVVGKENECFGPIKNLQEEMGPILMVCAVCVGVLLFLAYILRTVTSNNGSPCCRCLLCPASGCLCPIWSAMSCLGLVSGM
+>sp|A6NH21|SERC4_HUMAN Serine incorporator 4 OS=Homo sapiens OX=9606 GN=SERINC4 PE=2 SV=1
+MVGAKAGPSPGTSLGLAQQHSGGSSVLVKSPFCQVCCCGPAPCASCCHSRWPSLTASTCSRLFYILLHVGASAICCLLLSRTVVERVWGKTHRIQMPSGLCAHLFGLSDCPVLSGSGAVYRVCAGTATFHLLQAVLLVHLHSPTSPRAQLHNSFWLLKLLFLLGLCAIAFCIPDEHLFPAWHYIGICGGFAFILLQLVLITAFAHSWNKNWQTGAAQDCSWFLAVLLATLGFYSMAGVGAVLLFHYYTHPAGCLLNKMLLSLHLCFCGLISFLSIAPCIRLKQPRSGLLQASVISCYIMYLTFSALSSRPPERVILQGQNHTLCLPGLSKMEPQTPDISLAMLSASIMYACVLFACNEASYLAEVFGPLWIVKVYSYEFQKPSLCFCCPETVEADKGQRGGAARPADQETPPAPPVQVQHLSYNYSAFHFVFFLASLYVMVTLTNWFSYEGAELEKTFIKGSWATFWVKVASCWACVLLYLGLLLAPLCWPPTQKPQPLILRRRRHRIISPDNKYPPV
+>DECOY_sp|A6NH21|SERC4_HUMAN Serine incorporator 4 OS=Homo sapiens OX=9606 GN=SERINC4 PE=2 SV=1
+VPPYKNDPSIIRHRRRRLILPQPKQTPPWCLPALLLGLYLLVCAWCSAVKVWFTAWSGKIFTKELEAGEYSFWNTLTVMVYLSALFFVFHFASYNYSLHQVQVPPAPPTEQDAPRAAGGRQGKDAEVTEPCCFCLSPKQFEYSYVKVIWLPGFVEALYSAENCAFLVCAYMISASLMALSIDPTQPEMKSLGPLCLTHNQGQLIVREPPRSSLASFTLYMIYCSIVSAQLLGSRPQKLRICPAISLFSILGCFCLHLSLLMKNLLCGAPHTYYHFLLVAGVGAMSYFGLTALLVALFWSCDQAAGTQWNKNWSHAFATILVLQLLIFAFGGCIGIYHWAPFLHEDPICFAIACLGLLFLLKLLWFSNHLQARPSTPSHLHVLLVAQLLHFTATGACVRYVAGSGSLVPCDSLGFLHACLGSPMQIRHTKGWVREVVTRSLLLCCIASAGVHLLIYFLRSCTSATLSPWRSHCCSACPAPGCCCVQCFPSKVLVSSGGSHQQALGLSTGPSPGAKAGVM
+>sp|P84101|SERF2_HUMAN Small EDRK-rich factor 2 OS=Homo sapiens OX=9606 GN=SERF2 PE=1 SV=1
+MTRGNQRELARQKNMKKQSDSVKGKRRDDGLSAAARKQRDSEIMQQKQKKANEKKEEPK
+>DECOY_sp|P84101|SERF2_HUMAN Small EDRK-rich factor 2 OS=Homo sapiens OX=9606 GN=SERF2 PE=1 SV=1
+KPEEKKENAKKQKQQMIESDRQKRAAASLGDDRRKGKVSDSQKKMNKQRALERQNGRTM
+>sp|Q9NQF3|SERHL_HUMAN Serine hydrolase-like protein OS=Homo sapiens OX=9606 GN=SERHL PE=2 SV=1
+MAENAAPGLISELKLAVPWGHIAAKAWGSLQGPPVLCLHGWLDNASSFDRLIPLLPQDFYYVAMDFGGHGLSSHYSPGVPYYLQTFVSEIRRVVAALKWNRFSILGHSFGGVVGGMFFCTFPEMVDKLILLDTPLFLLESDEMENLLTYKRRAIEHVLQVEASQEPSHVFSLKQLLQRQRTALTSSAGSCVRIPSGSCRPMSC
+>DECOY_sp|Q9NQF3|SERHL_HUMAN Serine hydrolase-like protein OS=Homo sapiens OX=9606 GN=SERHL PE=2 SV=1
+CSMPRCSGSPIRVCSGASSTLATRQRQLLQKLSFVHSPEQSAEVQLVHEIARRKYTLLNEMEDSELLFLPTDLLILKDVMEPFTCFFMGGVVGGFSHGLISFRNWKLAAVVRRIESVFTQLYYPVGPSYHSSLGHGGFDMAVYYFDQPLLPILRDFSSANDLWGHLCLVPPGQLSGWAKAAIHGWPVALKLESILGPAANEAM
+>sp|Q8N6R1|SERP2_HUMAN Stress-associated endoplasmic reticulum protein 2 OS=Homo sapiens OX=9606 GN=SERP2 PE=1 SV=1
+MVAKQRIRMANEKHSKNITQRGNVAKTLRPQEEKYPVGPWLLALFVFVVCGSAIFQIIQSIRMGM
+>DECOY_sp|Q8N6R1|SERP2_HUMAN Stress-associated endoplasmic reticulum protein 2 OS=Homo sapiens OX=9606 GN=SERP2 PE=1 SV=1
+MGMRISQIIQFIASGCVVFVFLALLWPGVPYKEEQPRLTKAVNGRQTINKSHKENAMRIRQKAVM
+>sp|A8MV23|SERP3_HUMAN Serpin E3 OS=Homo sapiens OX=9606 GN=SERPINE3 PE=2 SV=2
+MPPFLITLFLFHSCCLRANGHLREGMTLLKTEFALHLYQSVAACRNETNFVISPAGVSLPLEILQFGAEGSTGQQLADALGYTVHDKRVKDFLHAVYATLPTSSQGTEMELACSLFVQVGTPLSPCFVEHVSWWANSSLEPADLSEPNSTAIQTSEGASRETAGGGPSEGPGGWPWEQVSAAFAQLVLVSTMSFQGTWRKRFSSTDTQILPFTCAYGLVLQVPMMHQTTEVNYGQFQDTAGHQVGVLELPYLGSAVSLFLVLPRDKDTPLSHIEPHLTASTIHLWTTSLRRARMDVFLPRFRIQNQFNLKSILNSWGVTDLFDPLKANLKGISGQDGFYVSEAIHKAKIEVLEEGTKASGATALLLLKRSRIPIFKADRPFIYFLREPNTGITVFFDRIQIIYQCLSSNKGSFVHYPLKNKHSF
+>DECOY_sp|A8MV23|SERP3_HUMAN Serpin E3 OS=Homo sapiens OX=9606 GN=SERPINE3 PE=2 SV=2
+FSHKNKLPYHVFSGKNSSLCQYIIQIRDFFVTIGTNPERLFYIFPRDAKFIPIRSRKLLLLATAGSAKTGEELVEIKAKHIAESVYFGDQGSIGKLNAKLPDFLDTVGWSNLISKLNFQNQIRFRPLFVDMRARRLSTTWLHITSATLHPEIHSLPTDKDRPLVLFLSVASGLYPLELVGVQHGATDQFQGYNVETTQHMMPVQLVLGYACTFPLIQTDTSSFRKRWTGQFSMTSVLVLQAFAASVQEWPWGGPGESPGGGATERSAGESTQIATSNPESLDAPELSSNAWWSVHEVFCPSLPTGVQVFLSCALEMETGQSSTPLTAYVAHLFDKVRKDHVTYGLADALQQGTSGEAGFQLIELPLSVGAPSIVFNTENRCAAVSQYLHLAFETKLLTMGERLHGNARLCCSHFLFLTILFPPM
+>sp|Q9Y6P5|SESN1_HUMAN Sestrin-1 OS=Homo sapiens OX=9606 GN=SESN1 PE=1 SV=2
+MRLAAAANEAYTAPLAVSGLLGCKQCGGGRDQDEELGIRIPRPLGQGPSRFIPEKEILQVGSEDAQMHALFADSFAALGRLDNITLVMVFHPQYLESFLKTQHYLLQMDGPLPLHYRHYIGIMAAARHQCSYLVNLHVNDFLHVGGDPKWLNGLENAPQKLQNLGELNKVLAHRPWLITKEHIEGLLKAEEHSWSLAELVHAVVLLTHYHSLASFTFGCGISPEIHCDGGHTFRPPSVSNYCICDITNGNHSVDEMPVNSAENVSVSDSFFEVEALMEKMRQLQECRDEEEASQEEMASRFEIEKRESMFVFSSDDEEVTPARAVSRHFEDTSYGYKDFSRHGMHVPTFRVQDYCWEDHGYSLVNRLYPDVGQLIDEKFHIAYNLTYNTMAMHKDVDTSMLRRAIWNYIHCMFGIRYDDYDYGEINQLLDRSFKVYIKTVVCTPEKVTKRMYDSFWRQFKHSEKVHVNLLLIEARMQAELLYALRAITRYMT
+>DECOY_sp|Q9Y6P5|SESN1_HUMAN Sestrin-1 OS=Homo sapiens OX=9606 GN=SESN1 PE=1 SV=2
+TMYRTIARLAYLLEAQMRAEILLLNVHVKESHKFQRWFSDYMRKTVKEPTCVVTKIYVKFSRDLLQNIEGYDYDDYRIGFMCHIYNWIARRLMSTDVDKHMAMTNYTLNYAIHFKEDILQGVDPYLRNVLSYGHDEWCYDQVRFTPVHMGHRSFDKYGYSTDEFHRSVARAPTVEEDDSSFVFMSERKEIEFRSAMEEQSAEEEDRCEQLQRMKEMLAEVEFFSDSVSVNEASNVPMEDVSHNGNTIDCICYNSVSPPRFTHGGDCHIEPSIGCGFTFSALSHYHTLLVVAHVLEALSWSHEEAKLLGEIHEKTILWPRHALVKNLEGLNQLKQPANELGNLWKPDGGVHLFDNVHLNVLYSCQHRAAAMIGIYHRYHLPLPGDMQLLYHQTKLFSELYQPHFVMVLTINDLRGLAAFSDAFLAHMQADESGVQLIEKEPIFRSPGQGLPRPIRIGLEEDQDRGGGCQKCGLLGSVALPATYAENAAAALRM
+>sp|O15047|SET1A_HUMAN Histone-lysine N-methyltransferase SETD1A OS=Homo sapiens OX=9606 GN=SETD1A PE=1 SV=3
+MDQEGGGDGQKAPSFQWRNYKLIVDPALDPALRRPSQKVYRYDGVHFSVNDSKYIPVEDLQDPRCHVRSKNRDFSLPVPKFKLDEFYIGQIPLKEVTFARLNDNVRETFLKDMCRKYGEVEEVEILLHPRTRKHLGLARVLFTSTRGAKETVKNLHLTSVMGNIIHAQLDIKGQQRMKYYELIVNGSYTPQTVPTGGKALSEKFQGSGAATETAESRRRSSSDTAAYPAGTTAVGTPGNGTPCSQDTSFSSSRQDTPSSFGQFTPQSSQGTPYTSRGSTPYSQDSAYSSSTTSTSFKPRRSENSYQDAFSRRHFSASSASTTASTAIAATTAATASSSASSSSLSSSSSSSSSSSSSQFRSSDANYPAYYESWNRYQRHTSYPPRRATREEPPGAPFAENTAERFPPSYTSYLPPEPSRPTDQDYRPPASEAPPPEPPEPGGGGGGGGPSPEREEVRTSPRPASPARSGSPAPETTNESVPFAQHSSLDSRIEMLLKEQRSKFSFLASDTEEEEENSSMVLGARDTGSEVPSGSGHGPCTPPPAPANFEDVAPTGSGEPGATRESPKANGQNQASPCSSGDDMEISDDDRGGSPPPAPTPPQQPPPPPPPPPPPPPYLASLPLGYPPHQPAYLLPPRPDGPPPPEYPPPPPPPPHIYDFVNSLELMDRLGAQWGGMPMSFQMQTQMLTRLHQLRQGKGLIAASAGPPGGAFGEAFLPFPPPQEAAYGLPYALYAQGQEGRGAYSREAYHLPMPMAAEPLPSSSVSGEEARLPPREEAELAEGKTLPTAGTVGRVLAMLVQEMKSIMQRDLNRKMVENVAFGAFDQWWESKEEKAKPFQNAAKQQAKEEDKEKTKLKEPGLLSLVDWAKSGGTTGIEAFAFGSGLRGALRLPSFKVKRKEPSEISEASEEKRPRPSTPAEEDEDDPEQEKEAGEPGRPGTKPPKRDEERGKTQGKHRKSFALDSEGEEASQESSSEKDEEDDEEDEEDEDREEAVDTTKKETEVSDGEDEESDSSSKCSLYADSDGENDSTSDSESSSSSSSSSSSSSSSSSSSSSSSSESSSEDEEEEERPAALPSASPPPREVPVPTPAPVEVPVPERVAGSPVTPLPEQEASPARPAGPTEESPPSAPLRPPEPPAGPPAPAPRPDERPSSPIPLLPPPKKRRKTVSFSAIEVVPAPEPPPATPPQAKFPGPASRKAPRGVERTIRNLPLDHASLVKSWPEEVSRGGRSRAGGRGRLTEEEEAEPGTEVDLAVLADLALTPARRGLPALPAVEDSEATETSDEAERPRPLLSHILLEHNYALAVKPTPPAPALRPPEPVPAPAALFSSPADEVLEAPEVVVAEAEEPKPQQLQQQREEGEEEGEEEGEEEEEESSDSSSSSDGEGALRRRSLRSHARRRRPPPPPPPPPPRAYEPRSEFEQMTILYDIWNSGLDSEDMSYLRLTYERLLQQTSGADWLNDTHWVHHTITNLTTPKRKRRPQDGPREHQTGSARSEGYYPISKKEKDKYLDVCPVSARQLEGVDTQGTNRVLSERRSEQRRLLSAIGTSAIMDSDLLKLNQLKFRKKKLRFGRSRIHEWGLFAMEPIAADEMVIEYVGQNIRQMVADMREKRYVQEGIGSSYLFRVDHDTIIDATKCGNLARFINHCCTPNCYAKVITIESQKKIVIYSKQPIGVDEEITYDYKFPLEDNKIPCLCGTESCRGSLN
+>DECOY_sp|O15047|SET1A_HUMAN Histone-lysine N-methyltransferase SETD1A OS=Homo sapiens OX=9606 GN=SETD1A PE=1 SV=3
+NLSGRCSETGCLCPIKNDELPFKYDYTIEEDVGIPQKSYIVIKKQSEITIVKAYCNPTCCHNIFRALNGCKTADIITDHDVRFLYSSGIGEQVYRKERMDAVMQRINQGVYEIVMEDAAIPEMAFLGWEHIRSRGFRLKKKRFKLQNLKLLDSDMIASTGIASLLRRQESRRESLVRNTGQTDVGELQRASVPCVDLYKDKEKKSIPYYGESRASGTQHERPGDQPRRKRKPTTLNTITHHVWHTDNLWDAGSTQQLLREYTLRLYSMDESDLGSNWIDYLITMQEFESRPEYARPPPPPPPPPPRRRRAHSRLSRRRLAGEGDSSSSSDSSEEEEEEGEEEGEEEGEERQQQLQQPKPEEAEAVVVEPAELVEDAPSSFLAAPAPVPEPPRLAPAPPTPKVALAYNHELLIHSLLPRPREAEDSTETAESDEVAPLAPLGRRAPTLALDALVALDVETGPEAEEEETLRGRGGARSRGGRSVEEPWSKVLSAHDLPLNRITREVGRPAKRSAPGPFKAQPPTAPPPEPAPVVEIASFSVTKRRKKPPPLLPIPSSPREDPRPAPAPPGAPPEPPRLPASPPSEETPGAPRAPSAEQEPLPTVPSGAVREPVPVEVPAPTPVPVERPPPSASPLAAPREEEEEDESSSESSSSSSSSSSSSSSSSSSSSSSSSESDSTSDNEGDSDAYLSCKSSSDSEEDEGDSVETEKKTTDVAEERDEDEEDEEDDEEDKESSSEQSAEEGESDLAFSKRHKGQTKGREEDRKPPKTGPRGPEGAEKEQEPDDEDEEAPTSPRPRKEESAESIESPEKRKVKFSPLRLAGRLGSGFAFAEIGTTGGSKAWDVLSLLGPEKLKTKEKDEEKAQQKAANQFPKAKEEKSEWWQDFAGFAVNEVMKRNLDRQMISKMEQVLMALVRGVTGATPLTKGEALEAEERPPLRAEEGSVSSSPLPEAAMPMPLHYAERSYAGRGEQGQAYLAYPLGYAAEQPPPFPLFAEGFAGGPPGASAAILGKGQRLQHLRTLMQTQMQFSMPMGGWQAGLRDMLELSNVFDYIHPPPPPPPPYEPPPPGDPRPPLLYAPQHPPYGLPLSALYPPPPPPPPPPPPPQQPPTPAPPPSGGRDDDSIEMDDGSSCPSAQNQGNAKPSERTAGPEGSGTPAVDEFNAPAPPPTCPGHGSGSPVESGTDRAGLVMSSNEEEEETDSALFSFKSRQEKLLMEIRSDLSSHQAFPVSENTTEPAPSGSRAPSAPRPSTRVEEREPSPGGGGGGGGPEPPEPPPAESAPPRYDQDTPRSPEPPLYSTYSPPFREATNEAFPAGPPEERTARRPPYSTHRQYRNWSEYYAPYNADSSRFQSSSSSSSSSSSSSLSSSSASSSATAATTAAIATSATTSASSASFHRRSFADQYSNESRRPKFSTSTTSSSYASDQSYPTSGRSTYPTGQSSQPTFQGFSSPTDQRSSSFSTDQSCPTGNGPTGVATTGAPYAATDSSSRRRSEATETAAGSGQFKESLAKGGTPVTQPTYSGNVILEYYKMRQQGKIDLQAHIINGMVSTLHLNKVTEKAGRTSTFLVRALGLHKRTRPHLLIEVEEVEGYKRCMDKLFTERVNDNLRAFTVEKLPIQGIYFEDLKFKPVPLSFDRNKSRVHCRPDQLDEVPIYKSDNVSFHVGDYRYVKQSPRRLAPDLAPDVILKYNRWQFSPAKQGDGGGEQDM
+>sp|Q8WTS6|SETD7_HUMAN Histone-lysine N-methyltransferase SETD7 OS=Homo sapiens OX=9606 GN=SETD7 PE=1 SV=1
+MDSDDEMVEEAVEGHLDDDGLPHGFCTVTYSSTDRFEGNFVHGEKNGRGKFFFFDGSTLEGYYVDDALQGQGVYTYEDGGVLQGTYVDGELNGPAQEYDTDGRLIFKGQYKDNIRHGVCWIYYPDGGSLVGEVNEDGEMTGEKIAYVYPDERTALYGKFIDGEMIEGKLATLMSTEEGRPHFELMPGNSVYHFDKSTSSCISTNALLPDPYESERVYVAESLISSAGEGLFSKVAVGPNTVMSFYNGVRITHQEVDSRDWALNGNTLSLDEETVIDVPEPYNHVSKYCASLGHKANHSFTPNCIYDMFVHPRFGPIKCIRTLRAVEADEELTVAYGYDHSPPGKSGPEAPEWYQVELKAFQATQQK
+>DECOY_sp|Q8WTS6|SETD7_HUMAN Histone-lysine N-methyltransferase SETD7 OS=Homo sapiens OX=9606 GN=SETD7 PE=1 SV=1
+KQQTAQFAKLEVQYWEPAEPGSKGPPSHDYGYAVTLEEDAEVARLTRICKIPGFRPHVFMDYICNPTFSHNAKHGLSACYKSVHNYPEPVDIVTEEDLSLTNGNLAWDRSDVEQHTIRVGNYFSMVTNPGVAVKSFLGEGASSILSEAVYVRESEYPDPLLANTSICSSTSKDFHYVSNGPMLEFHPRGEETSMLTALKGEIMEGDIFKGYLATREDPYVYAIKEGTMEGDENVEGVLSGGDPYYIWCVGHRINDKYQGKFILRGDTDYEQAPGNLEGDVYTGQLVGGDEYTYVGQGQLADDVYYGELTSGDFFFFKGRGNKEGHVFNGEFRDTSSYTVTCFGHPLGDDDLHGEVAEEVMEDDSDM
+>sp|Q15637|SF01_HUMAN Splicing factor 1 OS=Homo sapiens OX=9606 GN=SF1 PE=1 SV=4
+MATGANATPLDFPSKKRKRSRWNQDTMEQKTVIPGMPTVIPPGLTREQERAYIVQLQIEDLTRKLRTGDLGIPPNPEDRSPSPEPIYNSEGKRLNTREFRTRKKLEEERHNLITEMVALNPDFKPPADYKPPATRVSDKVMIPQDEYPEINFVGLLIGPRGNTLKNIEKECNAKIMIRGKGSVKEGKVGRKDGQMLPGEDEPLHALVTANTMENVKKAVEQIRNILKQGIETPEDQNDLRKMQLRELARLNGTLREDDNRILRPWQSSETRSITNTTVCTKCGGAGHIASDCKFQRPGDPQSAQDKARMDKEYLSLMAELGEAPVPASVGSTSGPATTPLASAPRPAAPANNPPPPSLMSTTQSRPPWMNSGPSESRPYHGMHGGGPGGPGGGPHSFPHPLPSLTGGHGGHPMQHNPNGPPPPWMQPPPPPMNQGPHPPGHHGPPPMDQYLGSTPVGSGVYRLHQGKGMMPPPPMGMMPPPPPPPSGQPPPPPSGPLPPWQQQQQQPPPPPPPSSSMASSTPLPWQQNTTTTTTSAGTGSIPPWQQQQAAAAASPGAPQMQGNPTMVPLPPGVQPPLPPGAPPPPPPPPPGSAGMMYAPPPPPPPPMDPSNFVTMMGMGVAGMPPFGMPPAPPPPPPQN
+>DECOY_sp|Q15637|SF01_HUMAN Splicing factor 1 OS=Homo sapiens OX=9606 GN=SF1 PE=1 SV=4
+NQPPPPPPAPPMGFPPMGAVGMGMMTVFNSPDMPPPPPPPPAYMMGASGPPPPPPPPPAGPPLPPQVGPPLPVMTPNGQMQPAGPSAAAAAQQQQWPPISGTGASTTTTTTNQQWPLPTSSAMSSSPPPPPPPQQQQQQWPPLPGSPPPPPQGSPPPPPPPMMGMPPPPMMGKGQHLRYVGSGVPTSGLYQDMPPPGHHGPPHPGQNMPPPPPQMWPPPPGNPNHQMPHGGHGGTLSPLPHPFSHPGGGPGGPGGGHMGHYPRSESPGSNMWPPRSQTTSMLSPPPPNNAPAAPRPASALPTTAPGSTSGVSAPVPAEGLEAMLSLYEKDMRAKDQASQPDGPRQFKCDSAIHGAGGCKTCVTTNTISRTESSQWPRLIRNDDERLTGNLRALERLQMKRLDNQDEPTEIGQKLINRIQEVAKKVNEMTNATVLAHLPEDEGPLMQGDKRGVKGEKVSGKGRIMIKANCEKEINKLTNGRPGILLGVFNIEPYEDQPIMVKDSVRTAPPKYDAPPKFDPNLAVMETILNHREEELKKRTRFERTNLRKGESNYIPEPSPSRDEPNPPIGLDGTRLKRTLDEIQLQVIYAREQERTLGPPIVTPMGPIVTKQEMTDQNWRSRKRKKSPFDLPTANAGTAM
+>sp|Q12874|SF3A3_HUMAN Splicing factor 3A subunit 3 OS=Homo sapiens OX=9606 GN=SF3A3 PE=1 SV=1
+METILEQQRRYHEEKERLMDVMAKEMLTKKSTLRDQINSDHRTRAMQDRYMEVSGNLRDLYDDKDGLRKEELNAISGPNEFAEFYNRLKQIKEFHRKHPNEICVPMSVEFEELLKARENPSEEAQNLVEFTDEEGYGRYLDLHDCYLKYINLKASEKLDYITYLSIFDQLFDIPKERKNAEYKRYLEMLLEYLQDYTDRVKPLQDQNELFGKIQAEFEKKWENGTFPGWPKETSSALTHAGAHLDLSAFSSWEELASLGLDRLKSALLALGLKCGGTLEERAQRLFSTKGKSLESLDTSLFAKNPKSKGTKRDTERNKDIAFLEAQIYEYVEILGEQRHLTHENVQRKQARTGEEREEEEEEQISESESEDEENEIIYNPKNLPLGWDGKPIPYWLYKLHGLNINYNCEICGNYTYRGPKAFQRHFAEWRHAHGMRCLGIPNTAHFANVTQIEDAVSLWAKLKLQKASERWQPDTEEEYEDSSGNVVNKKTYEDLKRQGLL
+>DECOY_sp|Q12874|SF3A3_HUMAN Splicing factor 3A subunit 3 OS=Homo sapiens OX=9606 GN=SF3A3 PE=1 SV=1
+LLGQRKLDEYTKKNVVNGSSDEYEEETDPQWRESAKQLKLKAWLSVADEIQTVNAFHATNPIGLCRMGHAHRWEAFHRQFAKPGRYTYNGCIECNYNINLGHLKYLWYPIPKGDWGLPLNKPNYIIENEEDESESESIQEEEEEEREEGTRAQKRQVNEHTLHRQEGLIEVYEYIQAELFAIDKNRETDRKTGKSKPNKAFLSTDLSELSKGKTSFLRQAREELTGGCKLGLALLASKLRDLGLSALEEWSSFASLDLHAGAHTLASSTEKPWGPFTGNEWKKEFEAQIKGFLENQDQLPKVRDTYDQLYELLMELYRKYEANKREKPIDFLQDFISLYTIYDLKESAKLNIYKLYCDHLDLYRGYGEEDTFEVLNQAEESPNERAKLLEEFEVSMPVCIENPHKRHFEKIQKLRNYFEAFENPGSIANLEEKRLGDKDDYLDRLNGSVEMYRDQMARTRHDSNIQDRLTSKKTLMEKAMVDMLREKEEHYRRQQELITEM
+>sp|Q9BWJ5|SF3B5_HUMAN Splicing factor 3B subunit 5 OS=Homo sapiens OX=9606 GN=SF3B5 PE=1 SV=1
+MTDRYTIHSQLEHLQSKYIGTGHADTTKWEWLVNQHRDSYCSYMGHFDLLNYFAIAENESKARVRFNLMEKMLQPCGPPADKPEEN
+>DECOY_sp|Q9BWJ5|SF3B5_HUMAN Splicing factor 3B subunit 5 OS=Homo sapiens OX=9606 GN=SF3B5 PE=1 SV=1
+NEEPKDAPPGCPQLMKEMLNFRVRAKSENEAIAFYNLLDFHGMYSCYSDRHQNVLWEWKTTDAHGTGIYKSQLHELQSHITYRDTM
+>sp|Q96HF1|SFRP2_HUMAN Secreted frizzled-related protein 2 OS=Homo sapiens OX=9606 GN=SFRP2 PE=1 SV=2
+MLQGPGSLLLLFLASHCCLGSARGLFLFGQPDFSYKRSNCKPIPANLQLCHGIEYQNMRLPNLLGHETMKEVLEQAGAWIPLVMKQCHPDTKKFLCSLFAPVCLDDLDETIQPCHSLCVQVKDRCAPVMSAFGFPWPDMLECDRFPQDNDLCIPLASSDHLLPATEEAPKVCEACKNKNDDDNDIMETLCKNDFALKIKVKEITYINRDTKIILETKSKTIYKLNGVSERDLKKSVLWLKDSLQCTCEEMNDINAPYLVMGQKQGGELVITSVKRWQKGQREFKRISRSIRKLQC
+>DECOY_sp|Q96HF1|SFRP2_HUMAN Secreted frizzled-related protein 2 OS=Homo sapiens OX=9606 GN=SFRP2 PE=1 SV=2
+CQLKRISRSIRKFERQGKQWRKVSTIVLEGGQKQGMVLYPANIDNMEECTCQLSDKLWLVSKKLDRESVGNLKYITKSKTELIIKTDRNIYTIEKVKIKLAFDNKCLTEMIDNDDDNKNKCAECVKPAEETAPLLHDSSALPICLDNDQPFRDCELMDPWPFGFASMVPACRDKVQVCLSHCPQITEDLDDLCVPAFLSCLFKKTDPHCQKMVLPIWAGAQELVEKMTEHGLLNPLRMNQYEIGHCLQLNAPIPKCNSRKYSFDPQGFLFLGRASGLCCHSALFLLLLSGPGQLM
+>sp|Q8WV19|SFT2A_HUMAN Vesicle transport protein SFT2A OS=Homo sapiens OX=9606 GN=SFT2D1 PE=1 SV=1
+MEKLRRVLSGQDDEEQGLTAQVLDASSLSFNTRLKWFAICFVCGVFFSILGTGLLWLPGGIKLFAVFYTLGNLAALASTCFLMGPVKQLKKMFEATRLLATIVMLLCFIFTLCAALWWHKKGLAVLFCILQFLSMTWYSLSYIPYARDAVIKCCSSLLS
+>DECOY_sp|Q8WV19|SFT2A_HUMAN Vesicle transport protein SFT2A OS=Homo sapiens OX=9606 GN=SFT2D1 PE=1 SV=1
+SLLSSCCKIVADRAYPIYSLSYWTMSLFQLICFLVALGKKHWWLAACLTFIFCLLMVITALLRTAEFMKKLQKVPGMLFCTSALAALNGLTYFVAFLKIGGPLWLLGTGLISFFVGCVFCIAFWKLRTNFSLSSADLVQATLGQEEDDQGSLVRRLKEM
+>sp|Q96NB2|SFXN2_HUMAN Sideroflexin-2 OS=Homo sapiens OX=9606 GN=SFXN2 PE=1 SV=2
+MEADLSGFNIDAPRWDQRTFLGRVKHFLNITDPRTVFVSERELDWAKVMVEKSRMGVVPPGTQVEQLLYAKKLYDSAFHPDTGEKMNVIGRMSFQLPGGMIITGFMLQFYRTMPAVIFWQWVNQSFNALVNYTNRNAASPTSVRQMALSYFTATTTAVATAVGMNMLTKKAPPLVGRWVPFAAVAAANCVNIPMMRQQELIKGICVKDRNENEIGHSRRAAAIGITQVVISRITMSAPGMILLPVIMERLEKLHFMQKVKVLHAPLQVMLSGCFLIFMVPVACGLFPQKCELPVSYLEPKLQDTIKAKYGELEPYVYFNKGL
+>DECOY_sp|Q96NB2|SFXN2_HUMAN Sideroflexin-2 OS=Homo sapiens OX=9606 GN=SFXN2 PE=1 SV=2
+LGKNFYVYPELEGYKAKITDQLKPELYSVPLECKQPFLGCAVPVMFILFCGSLMVQLPAHLVKVKQMFHLKELREMIVPLLIMGPASMTIRSIVVQTIGIAAARRSHGIENENRDKVCIGKILEQQRMMPINVCNAAAVAAFPVWRGVLPPAKKTLMNMGVATAVATTTATFYSLAMQRVSTPSAANRNTYNVLANFSQNVWQWFIVAPMTRYFQLMFGTIIMGGPLQFSMRGIVNMKEGTDPHFASDYLKKAYLLQEVQTGPPVVGMRSKEVMVKAWDLERESVFVTRPDTINLFHKVRGLFTRQDWRPADINFGSLDAEM
+>sp|Q08648|SG11B_HUMAN Sperm-associated antigen 11B OS=Homo sapiens OX=9606 GN=SPAG11B PE=2 SV=4
+MRQRLLPSVTSLLLVALLFPGSSQARHVNHSATEALGELRERAPGQGTNGFQLLRHAVKRDLLPPRTPPYQVHISHREARGPSFRICVDFLGPRWARGCSTGN
+>DECOY_sp|Q08648|SG11B_HUMAN Sperm-associated antigen 11B OS=Homo sapiens OX=9606 GN=SPAG11B PE=2 SV=4
+NGTSCGRAWRPGLFDVCIRFSPGRAERHSIHVQYPPTRPPLLDRKVAHRLLQFGNTGQGPARERLEGLAETASHNVHRAQSSGPFLLAVLLLSTVSPLLRQRM
+>sp|Q9H5K3|SG196_HUMAN Protein O-mannose kinase OS=Homo sapiens OX=9606 GN=POMK PE=1 SV=1
+MEKQPQNSRRGLAPREVPPAVGLLLIMALMNTLLYLCLDHFFIAPRQSTVDPTHCPYGHFRIGQMKNCSPWLSCEELRTEVRQLKRVGEGAVKRVFLSEWKEHKVALSQLTSLEMKDDFLHGLQMLKSLQGTHVVTLLGYCEDDNTMLTEYHPLGSLSNLEETLNLSKYQNVNTWQHRLELAMDYVSIINYLHHSPVGTRVMCDSNDLPKTLSQYLLTSNFSILANDLDALPLVNHSSGMLVKCGHRELHGDFVAPEQLWPYGEDVPFHDDLMPSYDEKIDIWKIPDISSFLLGHIEGSDMVRFHLFDIHKACKSQTPSERPTAQDVLETYQKVLDTLRDAMMSQAREML
+>DECOY_sp|Q9H5K3|SG196_HUMAN Protein O-mannose kinase OS=Homo sapiens OX=9606 GN=POMK PE=1 SV=1
+LMERAQSMMADRLTDLVKQYTELVDQATPRESPTQSKCAKHIDFLHFRVMDSGEIHGLLFSSIDPIKWIDIKEDYSPMLDDHFPVDEGYPWLQEPAVFDGHLERHGCKVLMGSSHNVLPLADLDNALISFNSTLLYQSLTKPLDNSDCMVRTGVPSHHLYNIISVYDMALELRHQWTNVNQYKSLNLTEELNSLSGLPHYETLMTNDDECYGLLTVVHTGQLSKLMQLGHLFDDKMELSTLQSLAVKHEKWESLFVRKVAGEGVRKLQRVETRLEECSLWPSCNKMQGIRFHGYPCHTPDVTSQRPAIFFHDLCLYLLTNMLAMILLLGVAPPVERPALGRRSNQPQKEM
+>sp|O95968|SG1D1_HUMAN Secretoglobin family 1D member 1 OS=Homo sapiens OX=9606 GN=SCGB1D1 PE=1 SV=1
+MRLSVCLLLLTLALCCYRANAVVCQALGSEITGFLLAGKPVFKFQLAKFKAPLEAVAAKMEVKKCVDTMAYEKRVLITKTLGKIAEKCDR
+>DECOY_sp|O95968|SG1D1_HUMAN Secretoglobin family 1D member 1 OS=Homo sapiens OX=9606 GN=SCGB1D1 PE=1 SV=1
+RDCKEAIKGLTKTILVRKEYAMTDVCKKVEMKAAVAELPAKFKALQFKFVPKGALLFGTIESGLAQCVVANARYCCLALTLLLLCVSLRM
+>sp|O75556|SG2A1_HUMAN Mammaglobin-B OS=Homo sapiens OX=9606 GN=SCGB2A1 PE=1 SV=1
+MKLLMVLMLAALLLHCYADSGCKLLEDMVEKTINSDISIPEYKELLQEFIDSDAAAEAMGKFKQCFLNQSHRTLKNFGLMMHTVYDSIWCNMKSN
+>DECOY_sp|O75556|SG2A1_HUMAN Mammaglobin-B OS=Homo sapiens OX=9606 GN=SCGB2A1 PE=1 SV=1
+NSKMNCWISDYVTHMMLGFNKLTRHSQNLFCQKFKGMAEAAADSDIFEQLLEKYEPISIDSNITKEVMDELLKCGSDAYCHLLLAALMLVMLLKM
+>sp|Q92629|SGCD_HUMAN Delta-sarcoglycan OS=Homo sapiens OX=9606 GN=SGCD PE=1 SV=2
+MPQEQYTHHRSTMPGSVGPQVYKVGIYGWRKRCLYFFVLLLMILILVNLAMTIWILKVMNFTIDGMGNLRITEKGLKLEGDSEFLQPLYAKEIQSRPGNALYFKSARNVTVNILNDQTKVLTQLITGPKAVEAYGKKFEVKTVSGKLLFSADNNEVVVGAERLRVLGAEGTVFPKSIETPNVRADPFKELRLESPTRSLVMEAPKGVEINAEAGNMEATCRTELRLESKDGEIKLDAAKIRLPRLPHGSYTPTGTRQKVFEICVCANGRLFLSQAGAGSTCQINTSVCL
+>DECOY_sp|Q92629|SGCD_HUMAN Delta-sarcoglycan OS=Homo sapiens OX=9606 GN=SGCD PE=1 SV=2
+LCVSTNIQCTSGAGAQSLFLRGNACVCIEFVKQRTGTPTYSGHPLRPLRIKAADLKIEGDKSELRLETRCTAEMNGAEANIEVGKPAEMVLSRTPSELRLEKFPDARVNPTEISKPFVTGEAGLVRLREAGVVVENNDASFLLKGSVTKVEFKKGYAEVAKPGTILQTLVKTQDNLINVTVNRASKFYLANGPRSQIEKAYLPQLFESDGELKLGKETIRLNGMGDITFNMVKLIWITMALNVLILIMLLLVFFYLCRKRWGYIGVKYVQPGVSGPMTSRHHTYQEQPM
+>sp|Q8N7X8|SIGL1_HUMAN SIGLEC family-like protein 1 OS=Homo sapiens OX=9606 GN=SIGLECL1 PE=2 SV=1
+MLPLLQLVPAKLLNSSCSLEKTLQCSCSFHGIPTPSVQWWMGGVPVGVDGMDGSLQVTSTMLGPWANSTISLTEEPEMGMRLLCEGKNQNGTHALSILLMSRKSSLAAQAFVKGLIQGAIYAGIVIALLFLCLLPLIVKHIRKKQAKKAAAIRAKKSSKVRASQELEMSLKPEEPGKPVVATFSESRILEKQDKRAS
+>DECOY_sp|Q8N7X8|SIGL1_HUMAN SIGLEC family-like protein 1 OS=Homo sapiens OX=9606 GN=SIGLECL1 PE=2 SV=1
+SARKDQKELIRSESFTAVVPKGPEEPKLSMELEQSARVKSSKKARIAAAKKAQKKRIHKVILPLLCLFLLAIVIGAYIAGQILGKVFAQAALSSKRSMLLISLAHTGNQNKGECLLRMGMEPEETLSITSNAWPGLMTSTVQLSGDMGDVGVPVGGMWWQVSPTPIGHFSCSCQLTKELSCSSNLLKAPVLQLLPLM
+>sp|O43699|SIGL6_HUMAN Sialic acid-binding Ig-like lectin 6 OS=Homo sapiens OX=9606 GN=SIGLEC6 PE=1 SV=2
+MQGAQEASASEMLPLLLPLLWAGALAQERRFQLEGPESLTVQEGLCVLVPCRLPTTLPASYYGYGYWFLEGADVPVATNDPDEEVQEETRGRFHLLWDPRRKNCSLSIRDARRRDNAAYFFRLKSKWMKYGYTSSKLSVRVMALTHRPNISIPGTLESGHPSNLTCSVPWVCEQGTPPIFSWMSAAPTSLGPRTTQSSVLTITPRPQDHSTNLTCQVTFPGAGVTMERTIQLNVSYAPQKVAISIFQGNSAAFKILQNTSSLPVLEGQALRLLCDADGNPPAHLSWFQGFPALNATPISNTGVLELPQVGSAEEGDFTCRAQHPLGSLQISLSLFVHWKPEGRAGGVLGAVWGASITTLVFLCVCFIFRVKTRRKKAAQPVQNTDDVNPVMVSGSRGHQHQFQTGIVSDHPAEAGPISEDEQELHYAVLHFHKVQPQEPKVTDTEYSEIKIHK
+>DECOY_sp|O43699|SIGL6_HUMAN Sialic acid-binding Ig-like lectin 6 OS=Homo sapiens OX=9606 GN=SIGLEC6 PE=1 SV=2
+KHIKIESYETDTVKPEQPQVKHFHLVAYHLEQEDESIPGAEAPHDSVIGTQFQHQHGRSGSVMVPNVDDTNQVPQAAKKRRTKVRFIFCVCLFVLTTISAGWVAGLVGGARGEPKWHVFLSLSIQLSGLPHQARCTFDGEEASGVQPLELVGTNSIPTANLAPFGQFWSLHAPPNGDADCLLRLAQGELVPLSSTNQLIKFAASNGQFISIAVKQPAYSVNLQITREMTVGAGPFTVQCTLNTSHDQPRPTITLVSSQTTRPGLSTPAASMWSFIPPTGQECVWPVSCTLNSPHGSELTGPISINPRHTLAMVRVSLKSSTYGYKMWKSKLRFFYAANDRRRADRISLSCNKRRPDWLLHFRGRTEEQVEEDPDNTAVPVDAGELFWYGYGYYSAPLTTPLRCPVLVCLGEQVTLSEPGELQFRREQALAGAWLLPLLLPLMESASAEQAGQM
+>sp|Q7Z3B0|SIM15_HUMAN Small integral membrane protein 15 OS=Homo sapiens OX=9606 GN=SMIM15 PE=3 SV=1
+MFDIKAWAEYVVEWAAKDPYGFLTTVILALTPLFLASAVLSWKLAKMIEAREKEQKKKQKRQENIAKAKRLKKD
+>DECOY_sp|Q7Z3B0|SIM15_HUMAN Small integral membrane protein 15 OS=Homo sapiens OX=9606 GN=SMIM15 PE=3 SV=1
+DKKLRKAKAINEQRKQKKKQEKERAEIMKALKWSLVASALFLPTLALIVTTLFGYPDKAAWEVVYEAWAKIDFM
+>sp|P0DKX4|SIM18_HUMAN Small integral membrane protein 18 OS=Homo sapiens OX=9606 GN=SMIM18 PE=4 SV=1
+MASSHWNETTTSVYQYLGFQVQKIYPFHDNWNTACFVILLLFIFTVVSLVVLAFLYEVLDCCCCVKNKTVKDLKSEPNPLRSMMDNIRKRETEVV
+>DECOY_sp|P0DKX4|SIM18_HUMAN Small integral membrane protein 18 OS=Homo sapiens OX=9606 GN=SMIM18 PE=4 SV=1
+VVETERKRINDMMSRLPNPESKLDKVTKNKVCCCCDLVEYLFALVVLSVVTFIFLLLIVFCATNWNDHFPYIKQVQFGLYQYVSTTTENWHSSAM
+>sp|A6NLE4|SIM23_HUMAN Small integral membrane protein 23 OS=Homo sapiens OX=9606 GN=SMIM23 PE=2 SV=3
+MATQQVDSRRQVAAEQVAAQLLERRRGSHCDDEKQTLLALLILVLYLSTEIWGSSWEVSERIRECNYYQNLAVPQGLEYQTNEPSEEPIKTIRNWLKEKLHVFSEKLEEEVQQLEQLAWDLELWLDALLGEPHQEEHCSTYKSHLWEWAWALGREHKGGEGLLEISLSGAEL
+>DECOY_sp|A6NLE4|SIM23_HUMAN Small integral membrane protein 23 OS=Homo sapiens OX=9606 GN=SMIM23 PE=2 SV=3
+LEAGSLSIELLGEGGKHERGLAWAWEWLHSKYTSCHEEQHPEGLLADLWLELDWALQELQQVEEELKESFVHLKEKLWNRITKIPEESPENTQYELGQPVALNQYYNCERIRESVEWSSGWIETSLYLVLILLALLTQKEDDCHSGRRRELLQAAVQEAAVQRRSDVQQTAM
+>sp|Q5TFQ8|SIRBL_HUMAN Signal-regulatory protein beta-1 isoform 3 OS=Homo sapiens OX=9606 GN=SIRPB1 PE=1 SV=1
+MPVPASWPHLPSPFLLMTLLLGRLTGVAGEEELQVIQPDKSISVAAGESATLHCTVTSLIPVGPIQWFRGAGPGRELIYNQKEGHFPRVTTVSDLTKRNNMDFSIRISNITPADAGTYYCVKFRKGSPDHVEFKSGAGTELSVRAKPSAPVVSGPAARATPQHTVSFTCESHGFSPRDITLKWFKNGNELSDFQTNVDPAGDSVSYSIHSTAKVVLTREDVHSQVICEVAHVTLQGDPLRGTANLSETIRVPPTLEVTQQPVRAENQVNVTCQVRKFYPQRLQLTWLENGNVSRTETASTLTENKDGTYNWMSWLLVNVSAHRDDVKLTCQVEHDGQPAVSKSHDLKVSAHPKEQGSNTAPGPALASAAPLLIAFLLGPKVLLVVGVSVIYVYWKQKA
+>DECOY_sp|Q5TFQ8|SIRBL_HUMAN Signal-regulatory protein beta-1 isoform 3 OS=Homo sapiens OX=9606 GN=SIRPB1 PE=1 SV=1
+AKQKWYVYIVSVGVVLLVKPGLLFAILLPAASALAPGPATNSGQEKPHASVKLDHSKSVAPQGDHEVQCTLKVDDRHASVNVLLWSMWNYTGDKNETLTSATETRSVNGNELWTLQLRQPYFKRVQCTVNVQNEARVPQQTVELTPPVRITESLNATGRLPDGQLTVHAVECIVQSHVDERTLVVKATSHISYSVSDGAPDVNTQFDSLENGNKFWKLTIDRPSFGHSECTFSVTHQPTARAAPGSVVPASPKARVSLETGAGSKFEVHDPSGKRFKVCYYTGADAPTINSIRISFDMNNRKTLDSVTTVRPFHGEKQNYILERGPGAGRFWQIPGVPILSTVTCHLTASEGAAVSISKDPQIVQLEEEGAVGTLRGLLLTMLLFPSPLHPWSAPVPM
+>sp|O95343|SIX3_HUMAN Homeobox protein SIX3 OS=Homo sapiens OX=9606 GN=SIX3 PE=1 SV=1
+MVFRSPLDLYSSHFLLPNFADSHHRSILLASSGGGNGAGGGGGAGGGSGGGNGAGGGGAGGAGGGGGGGSRAPPEELSMFQLPTLNFSPEQVASVCETLEETGDIERLGRFLWSLPVAPGACEAINKHESILRARAVVAFHTGNFRDLYHILENHKFTKESHGKLQAMWLEAHYQEAEKLRGRPLGPVDKYRVRKKFPLPRTIWDGEQKTHCFKERTRSLLREWYLQDPYPNPSKKRELAQATGLTPTQVGNWFKNRRQRDRAAAAKNRLQHQAIGPSGMRSLAEPGCPTHGSAESPSTAASPTTSVSSLTERADTGTSILSVTSSDSECDV
+>DECOY_sp|O95343|SIX3_HUMAN Homeobox protein SIX3 OS=Homo sapiens OX=9606 GN=SIX3 PE=1 SV=1
+VDCESDSSTVSLISTGTDARETLSSVSTTPSAATSPSEASGHTPCGPEALSRMGSPGIAQHQLRNKAAAARDRQRRNKFWNGVQTPTLGTAQALERKKSPNPYPDQLYWERLLSRTREKFCHTKQEGDWITRPLPFKKRVRYKDVPGLPRGRLKEAEQYHAELWMAQLKGHSEKTFKHNELIHYLDRFNGTHFAVVARARLISEHKNIAECAGPAVPLSWLFRGLREIDGTEELTECVSAVQEPSFNLTPLQFMSLEEPPARSGGGGGGGAGGAGGGGAGNGGGSGGGAGGGGGAGNGGGSSALLISRHHSDAFNPLLFHSSYLDLPSRFVM
+>sp|Q8N196|SIX5_HUMAN Homeobox protein SIX5 OS=Homo sapiens OX=9606 GN=SIX5 PE=1 SV=3
+MATLPAEPSAGPAAGGEAVAAAAATEEEEEEARQLLQTLQAAEGEAAAAAGAGAGAAAAGAEGPGSPGVPGSPPEAASEPPTGLRFSPEQVACVCEALLQAGHAGRLSRFLGALPPAERLRGSDPVLRARALVAFQRGEYAELYRLLESRPFPAAHHAFLQDLYLRARYHEAERARGRALGAVDKYRLRKKFPLPKTIWDGEETVYCFKERSRAALKACYRGNRYPTPDEKRRLATLTGLSLTQVSNWFKNRRQRDRTGAGGGAPCKSESDGNPTTEDESSRSPEDLERGAAPVSAEAAAQGSIFLAGTGPPAPCPASSSILVNGSFLAASGSPAVLLNGGPVIINGLALGEASSLGPLLLTGGGGAPPPQPSPQGASETKTSLVLDPQTGEVRLEEAQSEAPETKGAQVAAPGPALGEEVLGPLAQVVPGPPTAATFPLPPGPVPAVAAPQVVPLSPPPGYPTGLSPTSPLLNLPQVVPTSQVVTLPQAVGPLQLLAAGPGSPVKVAAAAGPANVHLINSGVGVTALQLPSATAPGNFLLANPVSGSPIVTGVALQQGKIILTATFPTSMLVSQVLPPAPGLALPLKPETAISVPEGGLPVAPSPALPEAHALGTLSAQQPPPAAATTSSTSLPFSPDSPGLLPNFPAPPPEGLMLSPAAVPVWSAGLELSAGTEGLLEAEKGLGTQAPHTVLRLPDPDPEGLLLGATAGGEVDEGLEAEAKVLTQLQSVPVEEPLEL
+>DECOY_sp|Q8N196|SIX5_HUMAN Homeobox protein SIX5 OS=Homo sapiens OX=9606 GN=SIX5 PE=1 SV=3
+LELPEEVPVSQLQTLVKAEAELGEDVEGGATAGLLLGEPDPDPLRLVTHPAQTGLGKEAELLGETGASLELGASWVPVAAPSLMLGEPPPAPFNPLLGPSDPSFPLSTSSTTAAAPPPQQASLTGLAHAEPLAPSPAVPLGGEPVSIATEPKLPLALGPAPPLVQSVLMSTPFTATLIIKGQQLAVGTVIPSGSVPNALLFNGPATASPLQLATVGVGSNILHVNAPGAAAAVKVPSGPGAALLQLPGVAQPLTVVQSTPVVQPLNLLPSTPSLGTPYGPPPSLPVVQPAAVAPVPGPPLPFTAATPPGPVVQALPGLVEEGLAPGPAAVQAGKTEPAESQAEELRVEGTQPDLVLSTKTESAGQPSPQPPPAGGGGTLLLPGLSSAEGLALGNIIVPGGNLLVAPSGSAALFSGNVLISSSAPCPAPPGTGALFISGQAAAEASVPAAGRELDEPSRSSEDETTPNGDSESKCPAGGGAGTRDRQRRNKFWNSVQTLSLGTLTALRRKEDPTPYRNGRYCAKLAARSREKFCYVTEEGDWITKPLPFKKRLRYKDVAGLARGRAREAEHYRARLYLDQLFAHHAAPFPRSELLRYLEAYEGRQFAVLARARLVPDSGRLREAPPLAGLFRSLRGAHGAQLLAECVCAVQEPSFRLGTPPESAAEPPSGPVGPSGPGEAGAAAAGAGAGAAAAAEGEAAQLTQLLQRAEEEEEETAAAAAVAEGGAAPGASPEAPLTAM
+>sp|O75563|SKAP2_HUMAN Src kinase-associated phosphoprotein 2 OS=Homo sapiens OX=9606 GN=SKAP2 PE=1 SV=1
+MPNPSSTSSPYPLPEEIRNLLADVETFVADILKGENLSKKAKEKRESLIKKIKDVKSIYLQEFQDKGDAEDGEEYDDPFAGPPDTISLASERYDKDDEAPSDGAQFPPIAAQDLPFVLKAGYLEKRRKDHSFLGFEWQKRWCALSKTVFYYYGSDKDKQQKGEFAIDGYSVRMNNTLRKDGKKDCCFEISAPDKRIYQFTAASPKDAEEWVQQLKFVLQDMESDIIPEDYDERGELYDDVDHPLPISNPLTSSQPIDDEIYEELPEEEEDSAPVKVEEQRKMSQDSVHHTSGDKSTDYANFYQGLWDCTGAFSDELSFKRGDVIYILSKEYNRYGWWVGEMKGAIGLVPKAYIMEMYDI
+>DECOY_sp|O75563|SKAP2_HUMAN Src kinase-associated phosphoprotein 2 OS=Homo sapiens OX=9606 GN=SKAP2 PE=1 SV=1
+IDYMEMIYAKPVLGIAGKMEGVWWGYRNYEKSLIYIVDGRKFSLEDSFAGTCDWLGQYFNAYDTSKDGSTHHVSDQSMKRQEEVKVPASDEEEEPLEEYIEDDIPQSSTLPNSIPLPHDVDDYLEGREDYDEPIIDSEMDQLVFKLQQVWEEADKPSAATFQYIRKDPASIEFCCDKKGDKRLTNNMRVSYGDIAFEGKQQKDKDSGYYYFVTKSLACWRKQWEFGLFSHDKRRKELYGAKLVFPLDQAAIPPFQAGDSPAEDDKDYRESALSITDPPGAFPDDYEEGDEADGKDQFEQLYISKVDKIKKILSERKEKAKKSLNEGKLIDAVFTEVDALLNRIEEPLPYPSSTSSPNPM
+>sp|P12755|SKI_HUMAN Ski oncogene OS=Homo sapiens OX=9606 GN=SKI PE=1 SV=1
+MEAAAGGRGCFQPHPGLQKTLEQFHLSSMSSLGGPAAFSARWAQEAYKKESAKEAGAAAVPAPVPAATEPPPVLHLPAIQPPPPVLPGPFFMPSDRSTERCETVLEGETISCFVVGGEKRLCLPQILNSVLRDFSLQQINAVCDELHIYCSRCTADQLEILKVMGILPFSAPSCGLITKTDAERLCNALLYGGAYPPPCKKELAASLALGLELSERSVRVYHECFGKCKGLLVPELYSSPSAACIQCLDCRLMYPPHKFVVHSHKALENRTCHWGFDSANWRAYILLSQDYTGKEEQARLGRCLDDVKEKFDYGNKYKRRVPRVSSEPPASIRPKTDDTSSQSPAPSEKDKPSSWLRTLAGSSNKSLGCVHPRQRLSAFRPWSPAVSASEKELSPHLPALIRDSFYSYKSFETAVAPNVALAPPAQQKVVSSPPCAAAVSRAPEPLATCTQPRKRKLTVDTPGAPETLAPVAAPEEDKDSEAEVEVESREEFTSSLSSLSSPSFTSSSSAKDLGSPGARALPSAVPDAAAPADAPSGLEAELEHLRQALEGGLDTKEAKEKFLHEVVKMRVKQEEKLSAALQAKRSLHQELEFLRVAKKEKLREATEAKRNLRKEIERLRAENEKKMKEANESRLRLKRELEQARQARVCDKGCEAGRLRAKYSAQIEDLQVKLQHAEADREQLRADLLREREAREHLEKVVKELQEQLWPRARPEAAGSEGAAELEP
+>DECOY_sp|P12755|SKI_HUMAN Ski oncogene OS=Homo sapiens OX=9606 GN=SKI PE=1 SV=1
+PELEAAGESGAAEPRARPWLQEQLEKVVKELHERAERERLLDARLQERDAEAHQLKVQLDEIQASYKARLRGAECGKDCVRAQRAQELERKLRLRSENAEKMKKENEARLREIEKRLNRKAETAERLKEKKAVRLFELEQHLSRKAQLAASLKEEQKVRMKVVEHLFKEKAEKTDLGGELAQRLHELEAELGSPADAPAAADPVASPLARAGPSGLDKASSSSTFSPSSLSSLSSTFEERSEVEVEAESDKDEEPAAVPALTEPAGPTDVTLKRKRPQTCTALPEPARSVAAACPPSSVVKQQAPPALAVNPAVATEFSKYSYFSDRILAPLHPSLEKESASVAPSWPRFASLRQRPHVCGLSKNSSGALTRLWSSPKDKESPAPSQSSTDDTKPRISAPPESSVRPVRRKYKNGYDFKEKVDDLCRGLRAQEEKGTYDQSLLIYARWNASDFGWHCTRNELAKHSHVVFKHPPYMLRCDLCQICAASPSSYLEPVLLGKCKGFCEHYVRVSRESLELGLALSAALEKKCPPPYAGGYLLANCLREADTKTILGCSPASFPLIGMVKLIELQDATCRSCYIHLEDCVANIQQLSFDRLVSNLIQPLCLRKEGGVVFCSITEGELVTECRETSRDSPMFFPGPLVPPPPQIAPLHLVPPPETAAPVPAPVAAAGAEKASEKKYAEQAWRASFAAPGGLSSMSSLHFQELTKQLGPHPQFCGRGGAAAEM
+>sp|Q13309|SKP2_HUMAN S-phase kinase-associated protein 2 OS=Homo sapiens OX=9606 GN=SKP2 PE=1 SV=2
+MHRKHLQEIPDLSSNVATSFTWGWDSSKTSELLSGMGVSALEKEEPDSENIPQELLSNLGHPESPPRKRLKSKGSDKDFVIVRRPKLNRENFPGVSWDSLPDELLLGIFSCLCLPELLKVSGVCKRWYRLASDESLWQTLDLTGKNLHPDVTGRLLSQGVIAFRCPRSFMDQPLAEHFSPFRVQHMDLSNSVIEVSTLHGILSQCSKLQNLSLEGLRLSDPIVNTLAKNSNLVRLNLSGCSGFSEFALQTLLSSCSRLDELNLSWCFDFTEKHVQVAVAHVSETITQLNLSGYRKNLQKSDLSTLVRRCPNLVHLDLSDSVMLKNDCFQEFFQLNYLQHLSLSRCYDIIPETLLELGEIPTLKTLQVFGIVPDGTLQLLKEALPHLQINCSHFTTIARPTIGNKKNQEIWGIKCRLTLQKPSCL
+>DECOY_sp|Q13309|SKP2_HUMAN S-phase kinase-associated protein 2 OS=Homo sapiens OX=9606 GN=SKP2 PE=1 SV=2
+LCSPKQLTLRCKIGWIEQNKKNGITPRAITTFHSCNIQLHPLAEKLLQLTGDPVIGFVQLTKLTPIEGLELLTEPIIDYCRSLSLHQLYNLQFFEQFCDNKLMVSDSLDLHVLNPCRRVLTSLDSKQLNKRYGSLNLQTITESVHAVAVQVHKETFDFCWSLNLEDLRSCSSLLTQLAFESFGSCGSLNLRVLNSNKALTNVIPDSLRLGELSLNQLKSCQSLIGHLTSVEIVSNSLDMHQVRFPSFHEALPQDMFSRPCRFAIVGQSLLRGTVDPHLNKGTLDLTQWLSEDSALRYWRKCVGSVKLLEPLCLCSFIGLLLEDPLSDWSVGPFNERNLKPRRVIVFDKDSGKSKLRKRPPSEPHGLNSLLEQPINESDPEEKELASVGMGSLLESTKSSDWGWTFSTAVNSSLDPIEQLHKRHM
+>sp|Q9UBY0|SL9A2_HUMAN Sodium/hydrogen exchanger 2 OS=Homo sapiens OX=9606 GN=SLC9A2 PE=2 SV=1
+MEPLGNWRSLRAPLPPMLLLLLLQVAGPVGALAETLLNAPRAMGTSSSPPSPASVVAPGTTLFEESRLPVFTLDYPHVQIPFEITLWILLASLAKIGFHLYHKLPTIVPESCLLIMVGLLLGGIIFGVDEKSPPAMKTDVFFLYLLPPIVLDAGYFMPTRPFFENIGTIFWYAVVGTLWNSIGIGVSLFGICQIEAFGLSDITLLQNLLFGSLISAVDPVAVLAVFENIHVNEQLYILVFGESLLNDAVTVVLYNLFKSFCQMKTIETIDVFAGIANFFVVGIGGVLIGIFLGFIAAFTTRFTHNIRVIEPLFVFLYSYLSYITAEMFHLSGIMAITACAMTMNKYVEENVSQKSYTTIKYFMKMLSSVSETLIFIFMGVSTVGKNHEWNWAFVCFTLAFCLMWRALGVFVLTQVINRFRTIPLTFKDQFIIAYGGLRGAICFALVFLLPAAVFPRKKLFITAAIVVIFFTVFILGITIRPLVEFLDVKRSNKKQQAVSEEIYCRLFDHVKTGIEDVCGHWGHNFWRDKFKKFDDKYLRKLLIRENQPKSSIVSLYKKLEIKHAIEMAETGMISTVPTFASLNDCREEKIRKVTSSETDEIRELLSRNLYQIRQRTLSYNRHSLTADTSERQAKEILIRRRHSLRESIRKDSSLNREHRASTSTSRYLSLPKNTKLPEKLQKRRTISIADGNSSDSDADAGTTVLNLQPRARRFLPEQFSKKSPQSYKMEWKNEVDVDSGRDMPSTPPTPHSREKGTQTSGLLQQPLLSKDQSGSEREDSLTEGIPPKPPPRLVWRASEPGSRKARFGSEKP
+>DECOY_sp|Q9UBY0|SL9A2_HUMAN Sodium/hydrogen exchanger 2 OS=Homo sapiens OX=9606 GN=SLC9A2 PE=2 SV=1
+PKESGFRAKRSGPESARWVLRPPPKPPIGETLSDERESGSQDKSLLPQQLLGSTQTGKERSHPTPPTSPMDRGSDVDVENKWEMKYSQPSKKSFQEPLFRRARPQLNLVTTGADADSDSSNGDAISITRRKQLKEPLKTNKPLSLYRSTSTSARHERNLSSDKRISERLSHRRRILIEKAQRESTDATLSHRNYSLTRQRIQYLNRSLLERIEDTESSTVKRIKEERCDNLSAFTPVTSIMGTEAMEIAHKIELKKYLSVISSKPQNERILLKRLYKDDFKKFKDRWFNHGWHGCVDEIGTKVHDFLRCYIEESVAQQKKNSRKVDLFEVLPRITIGLIFVTFFIVVIAATIFLKKRPFVAAPLLFVLAFCIAGRLGGYAIIFQDKFTLPITRFRNIVQTLVFVGLARWMLCFALTFCVFAWNWEHNKGVTSVGMFIFILTESVSSLMKMFYKITTYSKQSVNEEVYKNMTMACATIAMIGSLHFMEATIYSLYSYLFVFLPEIVRINHTFRTTFAAIFGLFIGILVGGIGVVFFNAIGAFVDITEITKMQCFSKFLNYLVVTVADNLLSEGFVLIYLQENVHINEFVALVAVPDVASILSGFLLNQLLTIDSLGFAEIQCIGFLSVGIGISNWLTGVVAYWFITGINEFFPRTPMFYGADLVIPPLLYLFFVDTKMAPPSKEDVGFIIGGLLLGVMILLCSEPVITPLKHYLHFGIKALSALLIWLTIEFPIQVHPYDLTFVPLRSEEFLTTGPAVVSAPSPPSSSTGMARPANLLTEALAGVPGAVQLLLLLLMPPLPARLSRWNGLPEM
+>sp|P48764|SL9A3_HUMAN Sodium/hydrogen exchanger 3 OS=Homo sapiens OX=9606 GN=SLC9A3 PE=1 SV=2
+MWGLGARGPDRGLLLALALGGLARAGGVEVEPGGAHGESGGFQVVTFEWAHVQDPYVIALWILVASLAKIGFHLSHKVTSVVPESALLIVLGLVLGGIVWAADHIASFTLTPTVFFFYLLPPIVLDAGYFMPNRLFFGNLGTILLYAVVGTVWNAATTGLSLYGVFLSGLMGDLQIGLLDFLLFGSLMAAVDPVAVLAVFEEVHVNEVLFIIVFGESLLNDAVTVVLYNVFESFVALGGDNVTGVDCVKGIVSFFVVSLGGTLVGVVFAFLLSLVTRFTKHVRIIEPGFVFIISYLSYLTSEMLSLSAILAITFCGICCQKYVKANISEQSATTVRYTMKMLASSAETIIFMFLGISAVNPFIWTWNTAFVLLTLVFISVYRAIGVVLQTWLLNRYRMVQLEPIDQVVLSYGGLRGAVAFALVVLLDGDKVKEKNLFVSTTIIVVFFTVIFQGLTIKPLVQWLKVKRSEHREPRLNEKLHGRAFDHILSAIEDISGQIGHNYLRDKWSHFDRKFLSRVLMRRSAQKSRDRILNVFHELNLKDAISYVAEGERRGSLAFIRSPSTDNVVNVDFTPRSSTVEASVSYLLRENVSAVCLDMQSLEQRRRSIRDAEDMVTHHTLQQYLYKPRQEYKHLYSRHELTPTEDEKQDREIFHRTMRKRLESFKSTKLGLNQNKKAAKLYKRERAQKRRNSSIPNGKLPMESPAQNFTIKEKDLELSDTEEPPNYDEEMSGGIEFLASVTKDTASDSPAGIDNPVFSPDEALDRSLLARLPPWLSPGETVVPSQRARTQIPYSPGTFCRLMPFRLSSKSVDSFLQADGPEERPPAALPESTHM
+>DECOY_sp|P48764|SL9A3_HUMAN Sodium/hydrogen exchanger 3 OS=Homo sapiens OX=9606 GN=SLC9A3 PE=1 SV=2
+MHTSEPLAAPPREEPGDAQLFSDVSKSSLRFPMLRCFTGPSYPIQTRARQSPVVTEGPSLWPPLRALLSRDLAEDPSFVPNDIGAPSDSATDKTVSALFEIGGSMEEDYNPPEETDSLELDKEKITFNQAPSEMPLKGNPISSNRRKQARERKYLKAAKKNQNLGLKTSKFSELRKRMTRHFIERDQKEDETPTLEHRSYLHKYEQRPKYLYQQLTHHTVMDEADRISRRRQELSQMDLCVASVNERLLYSVSAEVTSSRPTFDVNVVNDTSPSRIFALSGRREGEAVYSIADKLNLEHFVNLIRDRSKQASRRMLVRSLFKRDFHSWKDRLYNHGIQGSIDEIASLIHDFARGHLKENLRPERHESRKVKLWQVLPKITLGQFIVTFFVVIITTSVFLNKEKVKDGDLLVVLAFAVAGRLGGYSLVVQDIPELQVMRYRNLLWTQLVVGIARYVSIFVLTLLVFATNWTWIFPNVASIGLFMFIITEASSALMKMTYRVTTASQESINAKVYKQCCIGCFTIALIASLSLMESTLYSLYSIIFVFGPEIIRVHKTFRTVLSLLFAFVVGVLTGGLSVVFFSVIGKVCDVGTVNDGGLAVFSEFVNYLVVTVADNLLSEGFVIIFLVENVHVEEFVALVAVPDVAAMLSGFLLFDLLGIQLDGMLGSLFVGYLSLGTTAANWVTGVVAYLLITGLNGFFLRNPMFYGADLVIPPLLYFFFVTPTLTFSAIHDAAWVIGGLVLGLVILLASEPVVSTVKHSLHFGIKALSAVLIWLAIVYPDQVHAWEFTVVQFGGSEGHAGGPEVEVGGARALGGLALALLLGRDPGRAGLGWM
+>sp|Q96T83|SL9A7_HUMAN Sodium/hydrogen exchanger 7 OS=Homo sapiens OX=9606 GN=SLC9A7 PE=1 SV=1
+MEPGDAARPGSGRATGAPPPRLLLLPLLLGWGLRVAAAASASSSGAAAEDSSAMEELATEKEAEESHRQDSVSLLTFILLLTLTILTIWLFKHRRVRFLHETGLAMIYGLIVGVILRYGTPATSGRDKSLSCTQEDRAFSTLLVNVSGKFFEYTLKGEISPGKINSVEQNDMLRKVTFDPEVFFNILLPPIIFHAGYSLKKRHFFRNLGSILAYAFLGTAVSCFIIGNLMYGVVKLMKIMGQLSDKFYYTDCLFFGAIISATDPVTVLAIFNELHADVDLYALLFGESVLNDAVAIVLSSSIVAYQPAGLNTHAFDAAAFFKSVGIFLGIFSGSFTMGAVTGVNANVTKFTKLHCFPLLETALFFLMSWSTFLLAEACGFTGVVAVLFCGITQAHYTYNNLSVESRSRTKQLFEVLHFLAENFIFSYMGLALFTFQKHVFSPIFIIGAFVAIFLGRAAHIYPLSFFLNLGRRHKIGWNFQHMMMFSGLRGAMAFALAIRDTASYARQMMFTTTLLIVFFTVWIIGGGTTPMLSWLNIRVGVEEPSEEDQNEHHWQYFRVGVDPDQDPPPNNDSFQVLQGDGPDSARGNRTKQESAWIFRLWYSFDHNYLKPILTHSGPPLTTTLPAWCGLLARCLTSPQVYDNQEPLREEDSDFILTEGDLTLTYGDSTVTANGSSSSHTASTSLEGSRRTKSSSEEVLERDLGMGDQKVSSRGTRLVFPLEDNA
+>DECOY_sp|Q96T83|SL9A7_HUMAN Sodium/hydrogen exchanger 7 OS=Homo sapiens OX=9606 GN=SLC9A7 PE=1 SV=1
+ANDELPFVLRTGRSSVKQDGMGLDRELVEESSSKTRRSGELSTSATHSSSSGNATVTSDGYTLTLDGETLIFDSDEERLPEQNDYVQPSTLCRALLGCWAPLTTTLPPGSHTLIPKLYNHDFSYWLRFIWASEQKTRNGRASDPGDGQLVQFSDNNPPPDQDPDVGVRFYQWHHENQDEESPEEVGVRINLWSLMPTTGGGIIWVTFFVILLTTTFMMQRAYSATDRIALAFAMAGRLGSFMMMHQFNWGIKHRRGLNLFFSLPYIHAARGLFIAVFAGIIFIPSFVHKQFTFLALGMYSFIFNEALFHLVEFLQKTRSRSEVSLNNYTYHAQTIGCFLVAVVGTFGCAEALLFTSWSMLFFLATELLPFCHLKTFKTVNANVGTVAGMTFSGSFIGLFIGVSKFFAAADFAHTNLGAPQYAVISSSLVIAVADNLVSEGFLLAYLDVDAHLENFIALVTVPDTASIIAGFFLCDTYYFKDSLQGMIKMLKVVGYMLNGIIFCSVATGLFAYALISGLNRFFHRKKLSYGAHFIIPPLLINFFVEPDFTVKRLMDNQEVSNIKGPSIEGKLTYEFFKGSVNVLLTSFARDEQTCSLSKDRGSTAPTGYRLIVGVILGYIMALGTEHLFRVRRHKFLWITLITLTLLLIFTLLSVSDQRHSEEAEKETALEEMASSDEAAAGSSSASAAAAVRLGWGLLLPLLLLRPPPAGTARGSGPRAADGPEM
+>sp|Q5TAH2|SL9C2_HUMAN Sodium/hydrogen exchanger 11 OS=Homo sapiens OX=9606 GN=SLC9C2 PE=2 SV=1
+MSSYFWAQNESNRPDLLCGQPADYLVEEKHFTTLVCFIVVLGGLLKMCLKNCEVIVLTILSLSGFVIGHMAYNSVEVHQIVYPLLRTSSFSLYSYFSPLIIFMVALDVEFYTLKKMFWQVLLTGLISFSTASIIIGYVVIKFNKDSWDLQSCLLFSITLGIIDPLRSVNSLKTIGISKIYIDLIRGESLIICSIASIFFGNFRGNRIHFSIFRDLHVGIELSYDILGSIIFGYWCAKIIQCILADVFSNMLTNIILCFSMVYMTFYIVEFLGMSGTLALAAVGLNLDSLTFKPKIELVITKFLRIFSSVYEHLIYAFFGIVIGCGELSHYEFHTIPFIFILFTTVNLVRLLTILLVSPILMHSNYEYNWRWGVVITWSGIKGVFNLLWAPDVYNLAERKVEVPQMFILYVQVISLLTMGINSYVMTQSARKLDLCVLSLPRQMILQNATQHIQEIVQNTITLFKTEKILTNVNWTLVEDKTRIEYIPFSHVSHNDMKTESTTDEALMEEARLHVAAIQMSSFEKQRNNGILEIEAARILIGAAKCYYSIQGKFMSIYDVSTYMRTRSWLIKFKNVLTFLEYCIEKIHFIPPESNTFLTFIFHIVFSEEFEYTGQIINLIYIYPMIIHLWPMARGLNVSALISINYYFMFLYVLESTLKIIILKRKYFQQCWNTLEFFILVIGIIDIFCVYFVKLRPDNLALIQLTVIMGYLRIIRFLPLFKIIVPILIRIADVQIKKRLSLMYSITKGYIKSQEDAKLLIKQIAVCESIYQKLCEILETNKQDAVKELVLMEHEGRDVVIALKTKQAIRNVIAKALKNLTFLCSRGIIDKHEVIEINKVLLKKLKALNNFPKAIPPPTPDIYLHNIIWLEGKDVLIDFFKERAKLACFDSGDTICKGGEMPQGIYLIISGMAILHSLSPTFGIESNQRCDRGSRDMFTEFCTTGDIIGELSCLLKREIEYTVICETSLQACFISLEDLYEGFDAFWPSLEYKIWLKLALSTAYQYFESSLIDEDLRFQNCVMFNQAYVETLSSYSDMIIDNMTMKFVIIVYGSVIDTKTEEPYFAPCIIPTTCEQVQGTSDLSKLLIIQASELTQRNSNTNVMASVNTVFEQPGKNINGRQKMS
+>DECOY_sp|Q5TAH2|SL9C2_HUMAN Sodium/hydrogen exchanger 11 OS=Homo sapiens OX=9606 GN=SLC9C2 PE=2 SV=1
+SMKQRGNINKGPQEFVTNVSAMVNTNSNRQTLESAQIILLKSLDSTGQVQECTTPIICPAFYPEETKTDIVSGYVIIVFKMTMNDIIMDSYSSLTEVYAQNFMVCNQFRLDEDILSSEFYQYATSLALKLWIKYELSPWFADFGEYLDELSIFCAQLSTECIVTYEIERKLLCSLEGIIDGTTCFETFMDRSGRDCRQNSEIGFTPSLSHLIAMGSIILYIGQPMEGGKCITDGSDFCALKAREKFFDILVDKGELWIINHLYIDPTPPPIAKPFNNLAKLKKLLVKNIEIVEHKDIIGRSCLFTLNKLAKAIVNRIAQKTKLAIVVDRGEHEMLVLEKVADQKNTELIECLKQYISECVAIQKILLKADEQSKIYGKTISYMLSLRKKIQVDAIRILIPVIIKFLPLFRIIRLYGMIVTLQILALNDPRLKVFYVCFIDIIGIVLIFFELTNWCQQFYKRKLIIIKLTSELVYLFMFYYNISILASVNLGRAMPWLHIIMPYIYILNIIQGTYEFEESFVIHFIFTLFTNSEPPIFHIKEICYELFTLVNKFKILWSRTRMYTSVDYISMFKGQISYYCKAAGILIRAAEIELIGNNRQKEFSSMQIAAVHLRAEEMLAEDTTSETKMDNHSVHSFPIYEIRTKDEVLTWNVNTLIKETKFLTITNQVIEQIHQTANQLIMQRPLSLVCLDLKRASQTMVYSNIGMTLLSIVQVYLIFMQPVEVKREALNYVDPAWLLNFVGKIGSWTIVVGWRWNYEYNSHMLIPSVLLITLLRVLNVTTFLIFIFPITHFEYHSLEGCGIVIGFFAYILHEYVSSFIRLFKTIVLEIKPKFTLSDLNLGVAALALTGSMGLFEVIYFTMYVMSFCLIINTLMNSFVDALICQIIKACWYGFIISGLIDYSLEIGVHLDRFISFHIRNGRFNGFFISAISCIILSEGRILDIYIKSIGITKLSNVSRLPDIIGLTISFLLCSQLDWSDKNFKIVVYGIIISATSFSILGTLLVQWFMKKLTYFEVDLAVMFIILPSFYSYLSFSSTRLLPYVIQHVEVSNYAMHGIVFGSLSLITLVIVECNKLCMKLLGGLVVIFCVLTTFHKEEVLYDAPQGCLLDPRNSENQAWFYSSM
+>sp|Q96A28|SLAF9_HUMAN SLAM family member 9 OS=Homo sapiens OX=9606 GN=SLAMF9 PE=2 SV=2
+MCAFPWLLLLLLLQEGSQRRLWRWCGSEEVVAVLQESISLPLEIPPDEEVENIIWSSHKSLATVVPGKEGHPATIMVTNPHYQGQVSFLDPSYSLHISNLSWEDSGLYQAQVNLRTSQISTMQQYNICVYRWLSEPQITVNFESSGEGACSMSLVCSVEKAGMDMTYSWLSRGDSTYTFHEGPVLSTSWRPGDSALSYTCRANNPISNVSSCPIPDGPFYADPNYASEKPSTAFCLLAKGLLIFLLLVILAMGLWVIRVQKRHKMPRMKKLMRNRMKLRKEAKPGSSPA
+>DECOY_sp|Q96A28|SLAF9_HUMAN SLAM family member 9 OS=Homo sapiens OX=9606 GN=SLAMF9 PE=2 SV=2
+APSSGPKAEKRLKMRNRMLKKMRPMKHRKQVRIVWLGMALIVLLLFILLGKALLCFATSPKESAYNPDAYFPGDPIPCSSVNSIPNNARCTYSLASDGPRWSTSLVPGEHFTYTSDGRSLWSYTMDMGAKEVSCVLSMSCAGEGSSEFNVTIQPESLWRYVCINYQQMTSIQSTRLNVQAQYLGSDEWSLNSIHLSYSPDLFSVQGQYHPNTVMITAPHGEKGPVVTALSKHSSWIINEVEEDPPIELPLSISEQLVAVVEESGCWRWLRRQSGEQLLLLLLLWPFACM
+>sp|P17900|SAP3_HUMAN Ganglioside GM2 activator OS=Homo sapiens OX=9606 GN=GM2A PE=1 SV=4
+MQSLMQAPLLIALGLLLAAPAQAHLKKPSQLSSFSWDNCDEGKDPAVIRSLTLEPDPIIVPGNVTLSVMGSTSVPLSSPLKVDLVLEKEVAGLWIKIPCTDYIGSCTFEHFCDVLDMLIPTGEPCPEPLRTYGLPCHCPFKEGTYSLPKSEFVVPDLELPSWLTTGNYRIESVLSSSGKRLGCIKIAASLKGI
+>DECOY_sp|P17900|SAP3_HUMAN Ganglioside GM2 activator OS=Homo sapiens OX=9606 GN=GM2A PE=1 SV=4
+IGKLSAAIKICGLRKGSSSLVSEIRYNGTTLWSPLELDPVVFESKPLSYTGEKFPCHCPLGYTRLPEPCPEGTPILMDLVDCFHEFTCSGIYDTCPIKIWLGAVEKELVLDVKLPSSLPVSTSGMVSLTVNGPVIIPDPELTLSRIVAPDKGEDCNDWSFSSLQSPKKLHAQAPAALLLGLAILLPAQMLSQM
+>sp|Q6NUJ1|SAPL1_HUMAN Proactivator polypeptide-like 1 OS=Homo sapiens OX=9606 GN=PSAPL1 PE=2 SV=2
+MLCALLLLPSLLGATRASPTSGPQECAKGSTVWCQDLQTAARCGAVGYCQGAVWNKPTAKSLPCDVCQDIAAAAGNGLNPDATESDILALVMKTCEWLPSQESSAGCKWMVDAHSSAILSMLRGAPDSAPAQVCTALSLCEPLQRHLATLRPLSKEDTFEAVAPFMANGPLTFHPRQAPEGALCQDCVRQVSRLQEAVRSNLTLADLNIQEQCESLGPGLAVLCKNYLFQFFVPADQALRLLPPQELCRKGGFCEELGAPARLTQVVAMDGVPSLELGLPRKQSEMQMKAGVTCEVCMNVVQKLDHWLMSNSSELMITHALERVCSVMPASITKECIILVDTYSPSLVQLVAKITPEKVCKFIRLCGNRRRARAVHDAYAIVPSPEWDAENQGSFCNGCKRLLTVSSHNLESKSTKRDILVAFKGGCSILPLPYMIQCKHFVTQYEPVLIESLKDMMDPVAVCKKVGACHGPRTPLLGTDQCALGPSFWCRSQEAAKLCNAVQHCQKHVWKEMHLHAGEHA
+>DECOY_sp|Q6NUJ1|SAPL1_HUMAN Proactivator polypeptide-like 1 OS=Homo sapiens OX=9606 GN=PSAPL1 PE=2 SV=2
+AHEGAHLHMEKWVHKQCHQVANCLKAAEQSRCWFSPGLACQDTGLLPTRPGHCAGVKKCVAVPDMMDKLSEILVPEYQTVFHKCQIMYPLPLISCGGKFAVLIDRKTSKSELNHSSVTLLRKCGNCFSGQNEADWEPSPVIAYADHVARARRRNGCLRIFKCVKEPTIKAVLQVLSPSYTDVLIICEKTISAPMVSCVRELAHTIMLESSNSMLWHDLKQVVNMCVECTVGAKMQMESQKRPLGLELSPVGDMAVVQTLRAPAGLEECFGGKRCLEQPPLLRLAQDAPVFFQFLYNKCLVALGPGLSECQEQINLDALTLNSRVAEQLRSVQRVCDQCLAGEPAQRPHFTLPGNAMFPAVAEFTDEKSLPRLTALHRQLPECLSLATCVQAPASDPAGRLMSLIASSHADVMWKCGASSEQSPLWECTKMVLALIDSETADPNLGNGAAAAIDQCVDCPLSKATPKNWVAGQCYGVAGCRAATQLDQCWVTSGKACEQPGSTPSARTAGLLSPLLLLACLM
+>sp|O00631|SARCO_HUMAN Sarcolipin OS=Homo sapiens OX=9606 GN=SLN PE=1 SV=1
+MGINTRELFLNFTIVLITVILMWLLVRSYQY
+>DECOY_sp|O00631|SARCO_HUMAN Sarcolipin OS=Homo sapiens OX=9606 GN=SLN PE=1 SV=1
+YQYSRVLLWMLIVTILVITFNLFLERTNIGM
+>sp|Q9NV23|SAST_HUMAN S-acyl fatty acid synthase thioesterase, medium chain OS=Homo sapiens OX=9606 GN=OLAH PE=1 SV=1
+MERGDQPKRTRNENIFNCLYKNPEATFKLICFPWMGGGSTHFAKWGQDTHDLLEVHSLRLPGRESRVEEPLENDISQLVDEVVCALQPVIQDKPFAFFGHSMGSYIAFRTALGLKENNQPEPLHLFLSSATPVHSKAWHRIPKDDELSEEQISHYLMEFGGTPKHFAEAKEFVKQCSPIIRADLNIVRSCTSNVPSKAVLSCDLTCFVGSEDIAKDMEAWKDVTSGNAKIYQLPGGHFYLLDPANEKLIKNYIIKCLEVSSISNF
+>DECOY_sp|Q9NV23|SAST_HUMAN S-acyl fatty acid synthase thioesterase, medium chain OS=Homo sapiens OX=9606 GN=OLAH PE=1 SV=1
+FNSISSVELCKIIYNKILKENAPDLLYFHGGPLQYIKANGSTVDKWAEMDKAIDESGVFCTLDCSLVAKSPVNSTCSRVINLDARIIPSCQKVFEKAEAFHKPTGGFEMLYHSIQEESLEDDKPIRHWAKSHVPTASSLFLHLPEPQNNEKLGLATRFAIYSGMSHGFFAFPKDQIVPQLACVVEDVLQSIDNELPEEVRSERGPLRLSHVELLDHTDQGWKAFHTSGGGMWPFCILKFTAEPNKYLCNFINENRTRKPQDGREM
+>sp|Q658L1|SAXO2_HUMAN Stabilizer of axonemal microtubules 2 OS=Homo sapiens OX=9606 GN=SAXO2 PE=2 SV=1
+MGAKSMRSWCLCQICSCGSDYCPYEIVKQPRHVPEEYKPKQGKIDLGTTYKRDLNSYKVQPVAIVRPLERQVKKGKLDTVPTYKDDYRAWDLHKSELYKPEQTYHPPTVKFGNSTTFQDDFVPQEIKPRQSFKPSSVVKRSTAPFNGITSHRLDYIPHQLELKFERPKEVYKPTDQRFEDLTTHRCDFQGLIGETAKLCRPVHTRVTQNALFEGSTEFRESFQPWEIPPPEVKKVPEYVPPTGSMLLNSTSHLDYVPYQANHVVPIRPVSQKRSNNFPFQGKSIMKEDFPAWESCRQGLIKKQQQIPNPSGKFDGLSTFRSHYVPHELIPTESCKPLNIAFKSSVPFDDVTMYSVEYTPKRQEICPASYPSPPGYIFDNTNSQGHKFFRKIIPAVKAF
+>DECOY_sp|Q658L1|SAXO2_HUMAN Stabilizer of axonemal microtubules 2 OS=Homo sapiens OX=9606 GN=SAXO2 PE=2 SV=1
+FAKVAPIIKRFFKHGQSNTNDFIYGPPSPYSAPCIEQRKPTYEVSYMTVDDFPVSSKFAINLPKCSETPILEHPVYHSRFTSLGDFKGSPNPIQQQKKILGQRCSEWAPFDEKMISKGQFPFNNSRKQSVPRIPVVHNAQYPVYDLHSTSNLLMSGTPPVYEPVKKVEPPPIEWPQFSERFETSGEFLANQTVRTHVPRCLKATEGILGQFDCRHTTLDEFRQDTPKYVEKPREFKLELQHPIYDLRHSTIGNFPATSRKVVSSPKFSQRPKIEQPVFDDQFTTSNGFKVTPPHYTQEPKYLESKHLDWARYDDKYTPVTDLKGKKVQRELPRVIAVPQVKYSNLDRKYTTGLDIKGQKPKYEEPVHRPQKVIEYPCYDSGCSCIQCLCWSRMSKAGM
+>sp|Q99590|SCAFB_HUMAN Protein SCAF11 OS=Homo sapiens OX=9606 GN=SCAF11 PE=1 SV=2
+MKKKTVCTLNMGDKKYEDMEGEENGDNTISTGLLYSEADRCPICLNCLLEKEVGFPESCNHVFCMTCILKWAETLASCPIDRKPFQAVFKFSALEGYVKVQVKKQLRETKDKKNENSFEKQVSCHENSKSCIRRKAIVREDLLSAKVCDLKWIHRNSLYSETGGKKNAAIKINKPQRSNWSTNQCFRNFFSNMFSSVSHSGESSFTYRAYCTEFIEASEISALIRQKRHELELSWFPDTLPGIGRIGFIPWNVETEVLPLISSVLPRTIFPTSTISFEHFGTSCKGYALAHTQEGEEKKQTSGTSNTRGSRRKPAMTTPTRRSTRNTRAETASQSQRSPISDNSGCDAPGNSNPSLSVPSSAESEKQTRQAPKRKSVRRGRKPPLLKKKLRSSVAAPEKSSSNDSVDEETAESDTSPVLEKEHQPDVDSSNICTVQTHVENQSANCLKSCNEQIEESEKHTANYDTEERVGSSSSESCAQDLPVLVGEEGEVKKLENTGIEANVLCLESEISENILEKGGDPLEKQDQISGLSQSEVKTDVCTVHLPNDFPTCLTSESKVYQPVSCPLSDLSENVESVVNEEKITESSLVEITEHKDFTLKTEELIESPKLESSEGEIIQTVDRQSVKSPEVQLLGHVETEDVEIIATCDTFGNEDFNNIQDSENNLLKNNLLNTKLEKSLEEKNESLTEHPRSTELPKTHIEQIQKHFSEDNNEMIPMECDSFCSDQNESEVEPSVNADLKQMNENSVTHCSENNMPSSDLADEKVETVSQPSESPKDTIDKTKKPRTRRSRFHSPSTTWSPNKDTPQEKKRPQSPSPRRETGKESRKSQSPSPKNESARGRKKSRSQSPKKDIARERRQSQSRSPKRDTTRESRRSESLSPRRETSRENKRSQPRVKDSSPGEKSRSQSRERESDRDGQRRERERRTRKWSRSRSHSRSPSRCRTKSKSSSFGRIDRDSYSPRWKGRWANDGWRCPRGNDRYRKNDPEKQNENTRKEKNDIHLDADDPNSADKHRNDCPNWITEKINSGPDPRTRNPEKLKESHWEENRNENSGNSWNKNFGSGWVSNRGRGRGNRGRGTYRSSFAYKDQNENRWQNRKPLSGNSNSSGSESFKFVEQQSYKRKSEQEFSFDTPADRSGWTSASSWAVRKTLPADVQNYYSRRGRNSSGPQSGWMKQEEETSGQDSSLKDQTNQQVDGSQLPINMMQPQMNVMQQQMNAQHQPMNIFPYPVGVHAPLMNIQRNPFNIHPQLPLHLHTGVPLMQVATPTSVSQGLPPPPPPPPPSQQVNYIASQPDGKQLQGIPSSSHVSNNMSTPVLPAPTAAPGNTGMVQGPSSGNTSSSSHSKASNAAVKLAESKVSVAVEASADSSKTDKKLQIQEKAAQEVKLAIKPFYQNKDITKEEYKEIVRKAVDKVCHSKSGEVNSTKVANLVKAYVDKYKYSRKGSQKKTLEEPVSTEKNIG
+>DECOY_sp|Q99590|SCAFB_HUMAN Protein SCAF11 OS=Homo sapiens OX=9606 GN=SCAF11 PE=1 SV=2
+GINKETSVPEELTKKQSGKRSYKYKDVYAKVLNAVKTSNVEGSKSHCVKDVAKRVIEKYEEKTIDKNQYFPKIALKVEQAAKEQIQLKKDTKSSDASAEVAVSVKSEALKVAANSAKSHSSSSTNGSSPGQVMGTNGPAATPAPLVPTSMNNSVHSSSPIGQLQKGDPQSAIYNVQQSPPPPPPPPPLGQSVSTPTAVQMLPVGTHLHLPLQPHINFPNRQINMLPAHVGVPYPFINMPQHQANMQQQMVNMQPQMMNIPLQSGDVQQNTQDKLSSDQGSTEEEQKMWGSQPGSSNRGRRSYYNQVDAPLTKRVAWSSASTWGSRDAPTDFSFEQESKRKYSQQEVFKFSESGSSNSNGSLPKRNQWRNENQDKYAFSSRYTGRGRNGRGRGRNSVWGSGFNKNWSNGSNENRNEEWHSEKLKEPNRTRPDPGSNIKETIWNPCDNRHKDASNPDDADLHIDNKEKRTNENQKEPDNKRYRDNGRPCRWGDNAWRGKWRPSYSDRDIRGFSSSKSKTRCRSPSRSHSRSRSWKRTRRERERRQGDRDSERERSQSRSKEGPSSDKVRPQSRKNERSTERRPSLSESRRSERTTDRKPSRSQSQRRERAIDKKPSQSRSKKRGRASENKPSPSQSKRSEKGTERRPSPSQPRKKEQPTDKNPSWTTSPSHFRSRRTRPKKTKDITDKPSESPQSVTEVKEDALDSSPMNNESCHTVSNENMQKLDANVSPEVESENQDSCFSDCEMPIMENNDESFHKQIQEIHTKPLETSRPHETLSENKEELSKELKTNLLNNKLLNNESDQINNFDENGFTDCTAIIEVDETEVHGLLQVEPSKVSQRDVTQIIEGESSELKPSEILEETKLTFDKHETIEVLSSETIKEENVVSEVNESLDSLPCSVPQYVKSESTLCTPFDNPLHVTCVDTKVESQSLGSIQDQKELPDGGKELINESIESELCLVNAEIGTNELKKVEGEEGVLVPLDQACSESSSSGVREETDYNATHKESEEIQENCSKLCNASQNEVHTQVTCINSSDVDPQHEKELVPSTDSEATEEDVSDNSSSKEPAAVSSRLKKKLLPPKRGRRVSKRKPAQRTQKESEASSPVSLSPNSNGPADCGSNDSIPSRQSQSATEARTNRTSRRTPTTMAPKRRSGRTNSTGSTQKKEEGEQTHALAYGKCSTGFHEFSITSTPFITRPLVSSILPLVETEVNWPIFGIRGIGPLTDPFWSLELEHRKQRILASIESAEIFETCYARYTFSSEGSHSVSSFMNSFFNRFCQNTSWNSRQPKNIKIAANKKGGTESYLSNRHIWKLDCVKASLLDERVIAKRRICSKSNEHCSVQKEFSNENKKDKTERLQKKVQVKVYGELASFKFVAQFPKRDIPCSALTEAWKLICTMCFVHNCSEPFGVEKELLCNLCIPCRDAESYLLGTSITNDGNEEGEMDEYKKDGMNLTCVTKKKM
+>sp|Q969E2|SCAM4_HUMAN Secretory carrier-associated membrane protein 4 OS=Homo sapiens OX=9606 GN=SCAMP4 PE=1 SV=1
+MSEKENNFPPLPKFIPVKPCFYQNFSDEIPVEHQVLVKRIYRLWMFYCATLGVNLIACLAWWIGGGSGTNFGLAFVWLLLFTPCGYVCWFRPVYKAFRADSSFNFMAFFFIFGAQFVLTVIQAIGFSGWGACGWLSAIGFFQYSPGAAVVMLLPAIMFSVSAAMMAIAIMKVHRIYRGAGGSFQKAQTEWNTGTWRNPPSREAQYNNFSGNSLPEYPTVPSYPGSGQWP
+>DECOY_sp|Q969E2|SCAM4_HUMAN Secretory carrier-associated membrane protein 4 OS=Homo sapiens OX=9606 GN=SCAMP4 PE=1 SV=1
+PWQGSGPYSPVTPYEPLSNGSFNNYQAERSPPNRWTGTNWETQAKQFSGGAGRYIRHVKMIAIAMMAASVSFMIAPLLMVVAAGPSYQFFGIASLWGCAGWGSFGIAQIVTLVFQAGFIFFFAMFNFSSDARFAKYVPRFWCVYGCPTFLLLWVFALGFNTGSGGGIWWALCAILNVGLTACYFMWLRYIRKVLVQHEVPIEDSFNQYFCPKVPIFKPLPPFNNEKESM
+>sp|Q9BV35|SCMC3_HUMAN Calcium-binding mitochondrial carrier protein SCaMC-3 OS=Homo sapiens OX=9606 GN=SLC25A23 PE=1 SV=2
+MRGSPGDAERRQRWGRLFEELDSNKDGRVDVHELRQGLARLGGGNPDPGAQQGISSEGDADPDGGLDLEEFSRYLQEREQRLLLMFHSLDRNQDGHIDVSEIQQSFRALGISISLEQAEKILHSMDRDGTMTIDWQEWRDHFLLHSLENVEDVLYFWKHSTVLDIGECLTVPDEFSKQEKLTGMWWKQLVAGAVAGAVSRTGTAPLDRLKVFMQVHASKTNRLNILGGLRSMVLEGGIRSLWRGNGINVLKIAPESAIKFMAYEQIKRAILGQQETLHVQERFVAGSLAGATAQTIIYPMEVLKTRLTLRRTGQYKGLLDCARRILEREGPRAFYRGYLPNVLGIIPYAGIDLAVYETLKNWWLQQYSHDSADPGILVLLACGTISSTCGQIASYPLALVRTRMQAQASIEGGPQLSMLGLLRHILSQEGMRGLYRGIAPNFMKVIPAVSISYVVYENMKQALGVTSR
+>DECOY_sp|Q9BV35|SCMC3_HUMAN Calcium-binding mitochondrial carrier protein SCaMC-3 OS=Homo sapiens OX=9606 GN=SLC25A23 PE=1 SV=2
+RSTVGLAQKMNEYVVYSISVAPIVKMFNPAIGRYLGRMGEQSLIHRLLGLMSLQPGGEISAQAQMRTRVLALPYSAIQGCTSSITGCALLVLIGPDASDHSYQQLWWNKLTEYVALDIGAYPIIGLVNPLYGRYFARPGERELIRRACDLLGKYQGTRRLTLRTKLVEMPYIITQATAGALSGAVFREQVHLTEQQGLIARKIQEYAMFKIASEPAIKLVNIGNGRWLSRIGGELVMSRLGGLINLRNTKSAHVQMFVKLRDLPATGTRSVAGAVAGAVLQKWWMGTLKEQKSFEDPVTLCEGIDLVTSHKWFYLVDEVNELSHLLFHDRWEQWDITMTGDRDMSHLIKEAQELSISIGLARFSQQIESVDIHGDQNRDLSHFMLLLRQEREQLYRSFEELDLGGDPDADGESSIGQQAGPDPNGGGLRALGQRLEHVDVRGDKNSDLEEFLRGWRQRREADGPSGRM
+>sp|Q96GD3|SCMH1_HUMAN Polycomb protein SCMH1 OS=Homo sapiens OX=9606 GN=SCMH1 PE=1 SV=1
+MLVCYSVLACEILWDLPCSIMGSPLGHFTWDKYLKETCSVPAPVHCFKQSYTPPSNEFKISMKLEAQDPRNTTSTCIATVVGLTGARLRLRLDGSDNKNDFWRLVDSAEIQPIGNCEKNGGMLQPPLGFRLNASSWPMFLLKTLNGAEMAPIRIFHKEPPSPSHNFFKMGMKLEAVDRKNPHFICPATIGEVRGSEVLVTFDGWRGAFDYWCRFDSRDIFPVGWCSLTGDNLQPPGTKVVIPKNPYPASDVNTEKPSIHSSTKTVLEHQPGQRGRKPGKKRGRTPKTLISHPISAPSKTAEPLKFPKKRGPKPGSKRKPRTLLNPPPASPTTSTPEPDTSTVPQDAATIPSSAMQAPTVCIYLNKNGSTGPHLDKKKVQQLPDHFGPARASVVLQQAVQACIDCAYHQKTVFSFLKQGHGGEVISAVFDREQHTLNLPAVNSITYVLRFLEKLCHNLRSDNLFGNQPFTQTHLSLTAIEYSHSHDRYLPGETFVLGNSLARSLEPHSDSMDSASNPTNLVSTSQRHRPLLSSCGLPPSTASAVRRLCSRGVLKGSNERRDMESFWKLNRSPGSDRYLESRDASRLSGRDPSSWTVEDVMQFVREADPQLGPHADLFRKHEIDGKALLLLRSDMMMKYMGLKLGPALKLSYHIDRLKQGKF
+>DECOY_sp|Q96GD3|SCMH1_HUMAN Polycomb protein SCMH1 OS=Homo sapiens OX=9606 GN=SCMH1 PE=1 SV=1
+FKGQKLRDIHYSLKLAPGLKLGMYKMMMDSRLLLLAKGDIEHKRFLDAHPGLQPDAERVFQMVDEVTWSSPDRGSLRSADRSELYRDSGPSRNLKWFSEMDRRENSGKLVGRSCLRRVASATSPPLGCSSLLPRHRQSTSVLNTPNSASDMSDSHPELSRALSNGLVFTEGPLYRDHSHSYEIATLSLHTQTFPQNGFLNDSRLNHCLKELFRLVYTISNVAPLNLTHQERDFVASIVEGGHGQKLFSFVTKQHYACDICAQVAQQLVVSARAPGFHDPLQQVKKKDLHPGTSGNKNLYICVTPAQMASSPITAADQPVTSTDPEPTSTTPSAPPPNLLTRPKRKSGPKPGRKKPFKLPEATKSPASIPHSILTKPTRGRKKGPKRGRQGPQHELVTKTSSHISPKETNVDSAPYPNKPIVVKTGPPQLNDGTLSCWGVPFIDRSDFRCWYDFAGRWGDFTVLVESGRVEGITAPCIFHPNKRDVAELKMGMKFFNHSPSPPEKHFIRIPAMEAGNLTKLLFMPWSSANLRFGLPPQLMGGNKECNGIPQIEASDVLRWFDNKNDSGDLRLRLRAGTLGVVTAICTSTTNRPDQAELKMSIKFENSPPTYSQKFCHVPAPVSCTEKLYKDWTFHGLPSGMISCPLDWLIECALVSYCVLM
+>sp|Q9UQR0|SCML2_HUMAN Sex comb on midleg-like protein 2 OS=Homo sapiens OX=9606 GN=SCML2 PE=1 SV=1
+MGQTVNEDSMDVKKENQEKTPQSSTSSVQRDDFHWEEYLKETGSISAPSECFRQSQIPPVNDFKVGMKLEARDPRNATSVCIATVIGITGARLRLRLDGSDNRNDFWRLVDSPDIQPVGTCEKEGDLLQPPLGYQMNTSSWPMFLLKTLNGSEMASATLFKKEPPKPPLNNFKVGMKLEAIDKKNPYLICPATIGDVKGDEVHITFDGWSGAFDYWCKYDSRDIFPAGWCRLTGDVLQPPGTSVPIVKNIAKTESSPSEASQHSMQSPQKTTLILPTQQVRRSSRIKPPGPTAVPKRSSSVKNITPRKKGPNSGKKEKPLPVICSTSAASLKSLTRDRGMLYKDVASGPCKIVMSTVCVYVNKHGNFGPHLDPKRIQQLPDHFGPGPVNVVLRRIVQACVDCALETKTVFGYLKPDNRGGEVITASFDGETHSIQLPPVNSASFALRFLENFCHSLQCDNLLSSQPFSSSRGHTHSSAEHDKNQSAKEDVTERQSTKRSPQQTVPYVVPLSPKLPKTKEYASEGEPLFAGGSAIPKEENLSEDSKSSSLNSGNYLNPACRNPMYIHTSVSQDFSRSVPGTTSSPLVGDISPKSSPHEVKFQMQRKSEAPSYIAVPDPSVLKQGFSKDPSTWSVDEVIQFMKHTDPQISGPLADLFRQHEIDGKALFLLKSDVMMKYMGLKLGPALKLCYYIEKLKEGKYS
+>DECOY_sp|Q9UQR0|SCML2_HUMAN Sex comb on midleg-like protein 2 OS=Homo sapiens OX=9606 GN=SCML2 PE=1 SV=1
+SYKGEKLKEIYYCLKLAPGLKLGMYKMMVDSKLLFLAKGDIEHQRFLDALPGSIQPDTHKMFQIVEDVSWTSPDKSFGQKLVSPDPVAIYSPAESKRQMQFKVEHPSSKPSIDGVLPSSTTGPVSRSFDQSVSTHIYMPNRCAPNLYNGSNLSSSKSDESLNEEKPIASGGAFLPEGESAYEKTKPLKPSLPVVYPVTQQPSRKTSQRETVDEKASQNKDHEASSHTHGRSSSFPQSSLLNDCQLSHCFNELFRLAFSASNVPPLQISHTEGDFSATIVEGGRNDPKLYGFVTKTELACDVCAQVIRRLVVNVPGPGFHDPLQQIRKPDLHPGFNGHKNVYVCVTSMVIKCPGSAVDKYLMGRDRTLSKLSAASTSCIVPLPKEKKGSNPGKKRPTINKVSSSRKPVATPGPPKIRSSRRVQQTPLILTTKQPSQMSHQSAESPSSETKAINKVIPVSTGPPQLVDGTLRCWGAPFIDRSDYKCWYDFAGSWGDFTIHVEDGKVDGITAPCILYPNKKDIAELKMGVKFNNLPPKPPEKKFLTASAMESGNLTKLLFMPWSSTNMQYGLPPQLLDGEKECTGVPQIDPSDVLRWFDNRNDSGDLRLRLRAGTIGIVTAICVSTANRPDRAELKMGVKFDNVPPIQSQRFCESPASISGTEKLYEEWHFDDRQVSSTSSQPTKEQNEKKVDMSDENVTQGM
+>sp|P35498|SCN1A_HUMAN Sodium channel protein type 1 subunit alpha OS=Homo sapiens OX=9606 GN=SCN1A PE=1 SV=2
+MEQTVLVPPGPDSFNFFTRESLAAIERRIAEEKAKNPKPDKKDDDENGPKPNSDLEAGKNLPFIYGDIPPEMVSEPLEDLDPYYINKKTFIVLNKGKAIFRFSATSALYILTPFNPLRKIAIKILVHSLFSMLIMCTILTNCVFMTMSNPPDWTKNVEYTFTGIYTFESLIKIIARGFCLEDFTFLRDPWNWLDFTVITFAYVTEFVDLGNVSALRTFRVLRALKTISVIPGLKTIVGALIQSVKKLSDVMILTVFCLSVFALIGLQLFMGNLRNKCIQWPPTNASLEEHSIEKNITVNYNGTLINETVFEFDWKSYIQDSRYHYFLEGFLDALLCGNSSDAGQCPEGYMCVKAGRNPNYGYTSFDTFSWAFLSLFRLMTQDFWENLYQLTLRAAGKTYMIFFVLVIFLGSFYLINLILAVVAMAYEEQNQATLEEAEQKEAEFQQMIEQLKKQQEAAQQAATATASEHSREPSAAGRLSDSSSEASKLSSKSAKERRNRRKKRKQKEQSGGEEKDEDEFQKSESEDSIRRKGFRFSIEGNRLTYEKRYSSPHQSLLSIRGSLFSPRRNSRTSLFSFRGRAKDVGSENDFADDEHSTFEDNESRRDSLFVPRRHGERRNSNLSQTSRSSRMLAVFPANGKMHSTVDCNGVVSLVGGPSVPTSPVGQLLPEVIIDKPATDDNGTTTETEMRKRRSSSFHVSMDFLEDPSQRQRAMSIASILTNTVEELEESRQKCPPCWYKFSNIFLIWDCSPYWLKVKHVVNLVVMDPFVDLAITICIVLNTLFMAMEHYPMTDHFNNVLTVGNLVFTGIFTAEMFLKIIAMDPYYYFQEGWNIFDGFIVTLSLVELGLANVEGLSVLRSFRLLRVFKLAKSWPTLNMLIKIIGNSVGALGNLTLVLAIIVFIFAVVGMQLFGKSYKDCVCKIASDCQLPRWHMNDFFHSFLIVFRVLCGEWIETMWDCMEVAGQAMCLTVFMMVMVIGNLVVLNLFLALLLSSFSADNLAATDDDNEMNNLQIAVDRMHKGVAYVKRKIYEFIQQSFIRKQKILDEIKPLDDLNNKKDSCMSNHTAEIGKDLDYLKDVNGTTSGIGTGSSVEKYIIDESDYMSFINNPSLTVTVPIAVGESDFENLNTEDFSSESDLEESKEKLNESSSSSEGSTVDIGAPVEEQPVVEPEETLEPEACFTEGCVQRFKCCQINVEEGRGKQWWNLRRTCFRIVEHNWFETFIVFMILLSSGALAFEDIYIDQRKTIKTMLEYADKVFTYIFILEMLLKWVAYGYQTYFTNAWCWLDFLIVDVSLVSLTANALGYSELGAIKSLRTLRALRPLRALSRFEGMRVVVNALLGAIPSIMNVLLVCLIFWLIFSIMGVNLFAGKFYHCINTTTGDRFDIEDVNNHTDCLKLIERNETARWKNVKVNFDNVGFGYLSLLQVATFKGWMDIMYAAVDSRNVELQPKYEESLYMYLYFVIFIIFGSFFTLNLFIGVIIDNFNQQKKKFGGQDIFMTEEQKKYYNAMKKLGSKKPQKPIPRPGNKFQGMVFDFVTRQVFDISIMILICLNMVTMMVETDDQSEYVTTILSRINLVFIVLFTGECVLKLISLRHYYFTIGWNIFDFVVVILSIVGMFLAELIEKYFVSPTLFRVIRLARIGRILRLIKGAKGIRTLLFALMMSLPALFNIGLLLFLVMFIYAIFGMSNFAYVKREVGIDDMFNFETFGNSMICLFQITTSAGWDGLLAPILNSKPPDCDPNKVNPGSSVKGDCGNPSVGIFFFVSYIIISFLVVVNMYIAVILENFSVATEESAEPLSEDDFEMFYEVWEKFDPDATQFMEFEKLSQFAAALEPPLNLPQPNKLQLIAMDLPMVSGDRIHCLDILFAFTKRVLGESGEMDALRIQMEERFMASNPSKVSYQPITTTLKRKQEEVSAVIIQRAYRRHLLKRTVKQASFTYNKNKIKGGANLLIKEDMIIDRINENSITEKTDLTMSTAACPPSYDRVTKPIVEKHEQEGKDEKAKGK
+>DECOY_sp|P35498|SCN1A_HUMAN Sodium channel protein type 1 subunit alpha OS=Homo sapiens OX=9606 GN=SCN1A PE=1 SV=2
+KGKAKEDKGEQEHKEVIPKTVRDYSPPCAATSMTLDTKETISNENIRDIIMDEKILLNAGGKIKNKNYTFSAQKVTRKLLHRRYARQIIVASVEEQKRKLTTTIPQYSVKSPNSAMFREEMQIRLADMEGSEGLVRKTFAFLIDLCHIRDGSVMPLDMAILQLKNPQPLNLPPELAAAFQSLKEFEMFQTADPDFKEWVEYFMEFDDESLPEASEETAVSFNELIVAIYMNVVVLFSIIIYSVFFFIGVSPNGCDGKVSSGPNVKNPDCDPPKSNLIPALLGDWGASTTIQFLCIMSNGFTEFNFMDDIGVERKVYAFNSMGFIAYIFMVLFLLLGINFLAPLSMMLAFLLTRIGKAGKILRLIRGIRALRIVRFLTPSVFYKEILEALFMGVISLIVVVFDFINWGITFYYHRLSILKLVCEGTFLVIFVLNIRSLITTVYESQDDTEVMMTVMNLCILIMISIDFVQRTVFDFVMGQFKNGPRPIPKQPKKSGLKKMANYYKKQEETMFIDQGGFKKKQQNFNDIIVGIFLNLTFFSGFIIFIVFYLYMYLSEEYKPQLEVNRSDVAAYMIDMWGKFTAVQLLSLYGFGVNDFNVKVNKWRATENREILKLCDTHNNVDEIDFRDGTTTNICHYFKGAFLNVGMISFILWFILCVLLVNMISPIAGLLANVVVRMGEFRSLARLPRLARLTRLSKIAGLESYGLANATLSVLSVDVILFDLWCWANTFYTQYGYAVWKLLMELIFIYTFVKDAYELMTKITKRQDIYIDEFALAGSSLLIMFVIFTEFWNHEVIRFCTRRLNWWQKGRGEEVNIQCCKFRQVCGETFCAEPELTEEPEVVPQEEVPAGIDVTSGESSSSSENLKEKSEELDSESSFDETNLNEFDSEGVAIPVTVTLSPNNIFSMYDSEDIIYKEVSSGTGIGSTTGNVDKLYDLDKGIEATHNSMCSDKKNNLDDLPKIEDLIKQKRIFSQQIFEYIKRKVYAVGKHMRDVAIQLNNMENDDDTAALNDASFSSLLLALFLNLVVLNGIVMVMMFVTLCMAQGAVEMCDWMTEIWEGCLVRFVILFSHFFDNMHWRPLQCDSAIKCVCDKYSKGFLQMGVVAFIFVIIALVLTLNGLAGVSNGIIKILMNLTPWSKALKFVRLLRFSRLVSLGEVNALGLEVLSLTVIFGDFINWGEQFYYYPDMAIIKLFMEATFIGTFVLNGVTLVNNFHDTMPYHEMAMFLTNLVICITIALDVFPDMVVLNVVHKVKLWYPSCDWILFINSFKYWCPPCKQRSEELEEVTNTLISAISMARQRQSPDELFDMSVHFSSSRRKRMETETTTGNDDTAPKDIIVEPLLQGVPSTPVSPGGVLSVVGNCDVTSHMKGNAPFVALMRSSRSTQSLNSNRREGHRRPVFLSDRRSENDEFTSHEDDAFDNESGVDKARGRFSFLSTRSNRRPSFLSGRISLLSQHPSSYRKEYTLRNGEISFRFGKRRISDESESKQFEDEDKEEGGSQEKQKRKKRRNRREKASKSSLKSAESSSDSLRGAASPERSHESATATAAQQAAEQQKKLQEIMQQFEAEKQEAEELTAQNQEEYAMAVVALILNILYFSGLFIVLVFFIMYTKGAARLTLQYLNEWFDQTMLRFLSLFAWSFTDFSTYGYNPNRGAKVCMYGEPCQGADSSNGCLLADLFGELFYHYRSDQIYSKWDFEFVTENILTGNYNVTINKEISHEELSANTPPWQICKNRLNGMFLQLGILAFVSLCFVTLIMVDSLKKVSQILAGVITKLGPIVSITKLARLVRFTRLASVNGLDVFETVYAFTIVTFDLWNWPDRLFTFDELCFGRAIIKILSEFTYIGTFTYEVNKTWDPPNSMTMFVCNTLITCMILMSFLSHVLIKIAIKRLPNFPTLIYLASTASFRFIAKGKNLVIFTKKNIYYPDLDELPESVMEPPIDGYIFPLNKGAELDSNPKPGNEDDDKKDPKPNKAKEEAIRREIAALSERTFFNFSDPGPPVLVTQEM
+>sp|Q8IWT1|SCN4B_HUMAN Sodium channel subunit beta-4 OS=Homo sapiens OX=9606 GN=SCN4B PE=1 SV=1
+MPGAGDGGKAPARWLGTGLLGLFLLPVTLSLEVSVGKATDIYAVNGTEILLPCTFSSCFGFEDLHFRWTYNSSDAFKILIEGTVKNEKSDPKVTLKDDDRITLVGSTKEKMNNISIVLRDLEFSDTGKYTCHVKNPKENNLQHHATIFLQVVDRLEEVDNTVTLIILAVVGGVIGLLILILLIKKLIIFILKKTREKKKECLVSSSGNDNTENGLPGSKAEEKPPSKV
+>DECOY_sp|Q8IWT1|SCN4B_HUMAN Sodium channel subunit beta-4 OS=Homo sapiens OX=9606 GN=SCN4B PE=1 SV=1
+VKSPPKEEAKSGPLGNETNDNGSSSVLCEKKKERTKKLIFIILKKILLILILLGIVGGVVALIILTVTNDVEELRDVVQLFITAHHQLNNEKPNKVHCTYKGTDSFELDRLVISINNMKEKTSGVLTIRDDDKLTVKPDSKENKVTGEILIKFADSSNYTWRFHLDEFGFCSSFTCPLLIETGNVAYIDTAKGVSVELSLTVPLLFLGLLGTGLWRAPAKGGDGAGPM
+>sp|Q9Y5Y9|SCNAA_HUMAN Sodium channel protein type 10 subunit alpha OS=Homo sapiens OX=9606 GN=SCN10A PE=1 SV=2
+MEFPIGSLETNNFRRFTPESLVEIEKQIAAKQGTKKAREKHREQKDQEEKPRPQLDLKACNQLPKFYGELPAELIGEPLEDLDPFYSTHRTFMVLNKGRTISRFSATRALWLFSPFNLIRRTAIKVSVHSWFSLFITVTILVNCVCMTRTDLPEKIEYVFTVIYTFEALIKILARGFCLNEFTYLRDPWNWLDFSVITLAYVGTAIDLRGISGLRTFRVLRALKTVSVIPGLKVIVGALIHSVKKLADVTILTIFCLSVFALVGLQLFKGNLKNKCVKNDMAVNETTNYSSHRKPDIYINKRGTSDPLLCGNGSDSGHCPDGYICLKTSDNPDFNYTSFDSFAWAFLSLFRLMTQDSWERLYQQTLRTSGKIYMIFFVLVIFLGSFYLVNLILAVVTMAYEEQNQATTDEIEAKEKKFQEALEMLRKEQEVLAALGIDTTSLHSHNGSPLTSKNASERRHRIKPRVSEGSTEDNKSPRSDPYNQRRMSFLGLASGKRRASHGSVFHFRSPGRDISLPEGVTDDGVFPGDHESHRGSLLLGGGAGQQGPLPRSPLPQPSNPDSRHGEDEHQPPPTSELAPGAVDVSAFDAGQKKTFLSAEYLDEPFRAQRAMSVVSIITSVLEELEESEQKCPPCLTSLSQKYLIWDCCPMWVKLKTILFGLVTDPFAELTITLCIVVNTIFMAMEHHGMSPTFEAMLQIGNIVFTIFFTAEMVFKIIAFDPYYYFQKKWNIFDCIIVTVSLLELGVAKKGSLSVLRSFRLLRVFKLAKSWPTLNTLIKIIGNSVGALGNLTIILAIIVFVFALVGKQLLGENYRNNRKNISAPHEDWPRWHMHDFFHSFLIVFRILCGEWIENMWACMEVGQKSICLILFLTVMVLGNLVVLNLFIALLLNSFSADNLTAPEDDGEVNNLQVALARIQVFGHRTKQALCSFFSRSCPFPQPKAEPELVVKLPLSSSKAENHIAANTARGSSGGLQAPRGPRDEHSDFIANPTVWVSVPIAEGESDLDDLEDDGGEDAQSFQQEVIPKGQQEQLQQVERCGDHLTPRSPGTGTSSEDLAPSLGETWKDESVPQVPAEGVDDTSSSEGSTVDCLDPEEILRKIPELADDLEEPDDCFTEGCIRHCPCCKLDTTKSPWDVGWQVRKTCYRIVEHSWFESFIIFMILLSSGSLAFEDYYLDQKPTVKALLEYTDRVFTFIFVFEMLLKWVAYGFKKYFTNAWCWLDFLIVNISLISLTAKILEYSEVAPIKALRTLRALRPLRALSRFEGMRVVVDALVGAIPSIMNVLLVCLIFWLIFSIMGVNLFAGKFWRCINYTDGEFSLVPLSIVNNKSDCKIQNSTGSFFWVNVKVNFDNVAMGYLALLQVATFKGWMDIMYAAVDSREVNMQPKWEDNVYMYLYFVIFIIFGGFFTLNLFVGVIIDNFNQQKKKLGGQDIFMTEEQKKYYNAMKKLGSKKPQKPIPRPLNKFQGFVFDIVTRQAFDITIMVLICLNMITMMVETDDQSEEKTKILGKINQFFVAVFTGECVMKMFALRQYYFTNGWNVFDFIVVVLSIASLIFSAILKSLQSYFSPTLFRVIRLARIGRILRLIRAAKGIRTLLFALMMSLPALFNIGLLLFLVMFIYSIFGMSSFPHVRWEAGIDDMFNFQTFANSMLCLFQITTSAGWDGLLSPILNTGPPYCDPNLPNSNGTRGDCGSPAVGIIFFTTYIIISFLIMVNMYIAVILENFNVATEESTEPLSEDDFDMFYETWEKFDPEATQFITFSALSDFADTLSGPLRIPKPNRNILIQMDLPLVPGDKIHCLDILFAFTKNVLGESGELDSLKANMEEKFMATNLSKSSYEPIATTLRWKQEDISATVIQKAYRSYVLHRSMALSNTPCVPRAEEEAASLPDEGFVAFTANENCVLPDKSETASATSFPPSYESVTRGLSDRVNMRTSSSIQNEDEATSMELIAPGP
+>DECOY_sp|Q9Y5Y9|SCNAA_HUMAN Sodium channel protein type 10 subunit alpha OS=Homo sapiens OX=9606 GN=SCN10A PE=1 SV=2
+PGPAILEMSTAEDENQISSSTRMNVRDSLGRTVSEYSPPFSTASATESKDPLVCNENATFAVFGEDPLSAAEEEARPVCPTNSLAMSRHLVYSRYAKQIVTASIDEQKWRLTTAIPEYSSKSLNTAMFKEEMNAKLSDLEGSEGLVNKTFAFLIDLCHIKDGPVLPLDMQILINRNPKPIRLPGSLTDAFDSLASFTIFQTAEPDFKEWTEYFMDFDDESLPETSEETAVNFNELIVAIYMNVMILFSIIIYTTFFIIGVAPSGCDGRTGNSNPLNPDCYPPGTNLIPSLLGDWGASTTIQFLCLMSNAFTQFNFMDDIGAEWRVHPFSSMGFISYIFMVLFLLLGINFLAPLSMMLAFLLTRIGKAARILRLIRGIRALRIVRFLTPSFYSQLSKLIASFILSAISLVVVIFDFVNWGNTFYYQRLAFMKMVCEGTFVAVFFQNIKGLIKTKEESQDDTEVMMTIMNLCILVMITIDFAQRTVIDFVFGQFKNLPRPIPKQPKKSGLKKMANYYKKQEETMFIDQGGLKKKQQNFNDIIVGVFLNLTFFGGFIIFIVFYLYMYVNDEWKPQMNVERSDVAAYMIDMWGKFTAVQLLALYGMAVNDFNVKVNVWFFSGTSNQIKCDSKNNVISLPVLSFEGDTYNICRWFKGAFLNVGMISFILWFILCVLLVNMISPIAGVLADVVVRMGEFRSLARLPRLARLTRLAKIPAVESYELIKATLSILSINVILFDLWCWANTFYKKFGYAVWKLLMEFVFIFTFVRDTYELLAKVTPKQDLYYDEFALSGSSLLIMFIIFSEFWSHEVIRYCTKRVQWGVDWPSKTTDLKCCPCHRICGETFCDDPEELDDALEPIKRLIEEPDLCDVTSGESSSTDDVGEAPVQPVSEDKWTEGLSPALDESSTGTGPSRPTLHDGCREVQQLQEQQGKPIVEQQFSQADEGGDDELDDLDSEGEAIPVSVWVTPNAIFDSHEDRPGRPAQLGGSSGRATNAAIHNEAKSSSLPLKVVLEPEAKPQPFPCSRSFFSCLAQKTRHGFVQIRALAVQLNNVEGDDEPATLNDASFSNLLLAIFLNLVVLNGLVMVTLFLILCISKQGVEMCAWMNEIWEGCLIRFVILFSHFFDHMHWRPWDEHPASINKRNNRYNEGLLQKGVLAFVFVIIALIITLNGLAGVSNGIIKILTNLTPWSKALKFVRLLRFSRLVSLSGKKAVGLELLSVTVIICDFINWKKQFYYYPDFAIIKFVMEATFFITFVINGIQLMAEFTPSMGHHEMAMFITNVVICLTITLEAFPDTVLGFLITKLKVWMPCCDWILYKQSLSTLCPPCKQESEELEELVSTIISVVSMARQARFPEDLYEASLFTKKQGADFASVDVAGPALESTPPPQHEDEGHRSDPNSPQPLPSRPLPGQQGAGGGLLLSGRHSEHDGPFVGDDTVGEPLSIDRGPSRFHFVSGHSARRKGSALGLFSMRRQNYPDSRPSKNDETSGESVRPKIRHRRESANKSTLPSGNHSHLSTTDIGLAALVEQEKRLMELAEQFKKEKAEIEDTTAQNQEEYAMTVVALILNVLYFSGLFIVLVFFIMYIKGSTRLTQQYLREWSDQTMLRFLSLFAWAFSDFSTYNFDPNDSTKLCIYGDPCHGSDSGNGCLLPDSTGRKNIYIDPKRHSSYNTTENVAMDNKVCKNKLNGKFLQLGVLAFVSLCFITLITVDALKKVSHILAGVIVKLGPIVSVTKLARLVRFTRLGSIGRLDIATGVYALTIVSFDLWNWPDRLYTFENLCFGRALIKILAEFTYIVTFVYEIKEPLDTRTMCVCNVLITVTIFLSFWSHVSVKIATRRILNFPSFLWLARTASFRSITRGKNLVMFTRHTSYFPDLDELPEGILEAPLEGYFKPLQNCAKLDLQPRPKEEQDKQERHKERAKKTGQKAAIQKEIEVLSEPTFRRFNNTELSGIPFEM
+>sp|P55809|SCOT1_HUMAN Succinyl-CoA:3-ketoacid coenzyme A transferase 1, mitochondrial OS=Homo sapiens OX=9606 GN=OXCT1 PE=1 SV=1
+MAALKLLSSGLRLCASARGSGATWYKGCVCSFSTSAHRHTKFYTDPVEAVKDIPDGATVLVGGFGLCGIPENLIDALLKTGVKGLTAVSNNAGVDNFGLGLLLRSKQIKRMVSSYVGENAEFERQYLSGELEVELTPQGTLAERIRAGGAGVPAFYTPTGYGTLVQEGGSPIKYNKDGSVAIASKPREVREFNGQHFILEEAITGDFALVKAWKADRAGNVIFRKSARNFNLPMCKAAETTVVEVEEIVDIGAFAPEDIHIPQIYVHRLIKGEKYEKRIERLSIRKEGDGEAKSAKPGDDVRERIIKRAALEFEDGMYANLGIGIPLLASNFISPNITVHLQSENGVLGLGPYPRQHEADADLINAGKETVTILPGASFFSSDESFAMIRGGHVDLTMLGAMQVSKYGDLANWMIPGKMVKGMGGAMDLVSSAKTKVVVTMEHSAKGNAHKIMEKCTLPLTGKQCVNRIITEKAVFDVDKKKGLTLIELWEGLTVDDVQKSTGCDFAVSPKLMPMQQIAN
+>DECOY_sp|P55809|SCOT1_HUMAN Succinyl-CoA:3-ketoacid coenzyme A transferase 1, mitochondrial OS=Homo sapiens OX=9606 GN=OXCT1 PE=1 SV=1
+NAIQQMPMLKPSVAFDCGTSKQVDDVTLGEWLEILTLGKKKDVDFVAKETIIRNVCQKGTLPLTCKEMIKHANGKASHEMTVVVKTKASSVLDMAGGMGKVMKGPIMWNALDGYKSVQMAGLMTLDVHGGRIMAFSEDSSFFSAGPLITVTEKGANILDADAEHQRPYPGLGLVGNESQLHVTINPSIFNSALLPIGIGLNAYMGDEFELAARKIIRERVDDGPKASKAEGDGEKRISLREIRKEYKEGKILRHVYIQPIHIDEPAFAGIDVIEEVEVVTTEAAKCMPLNFNRASKRFIVNGARDAKWAKVLAFDGTIAEELIFHQGNFERVERPKSAIAVSGDKNYKIPSGGEQVLTGYGTPTYFAPVGAGGARIREALTGQPTLEVELEGSLYQREFEANEGVYSSVMRKIQKSRLLLGLGFNDVGANNSVATLGKVGTKLLADILNEPIGCLGFGGVLVTAGDPIDKVAEVPDTYFKTHRHASTSFSCVCGKYWTAGSGRASACLRLGSSLLKLAAM
+>sp|Q9BYC2|SCOT2_HUMAN Succinyl-CoA:3-ketoacid coenzyme A transferase 2, mitochondrial OS=Homo sapiens OX=9606 GN=OXCT2 PE=2 SV=2
+MAALRLLASVLGRGVPAGGSGLALSQGCARCFATSPRLRAKFYADPVEMVKDISDGATVMIGGFGLCGIPENLIAALLRTRVKDLQVVSSNVGVEDFGLGLLLAARQVRRIVCSYVGENTLCESQYLAGELELELTPQGTLAERIRAGGAGVPAFYTPTGYGTLVQEGGAPIRYTPDGHLALMSQPREVREFNGDHFLLERAIRADFALVKGWKADRAGNVVFRRSARNFNVPMCKAADVTAVEVEEIVEVGAFPPEDIHVPNIYVDRVIKGQKYEKRIERLTILKEEDGDAGKEEDARTRIIRRAALEFEDGMYANLGIGIPLLASNFISPSMTVHLHSENGILGLGPFPTEDEVDADLINAGKQTVTVLPGGCFFASDDSFAMIRGGHIQLTMLGAMQVSKYGDLANWMIPGKKVKGMGGAMDLVSSQKTRVVVTMQHCTKDNTPKIMEKCTMPLTGKRCVDRIITEKAVFDVHRKKELTLRELWEGLTVDDIKKSTGCAFAVSPNLRPMQQVAP
+>DECOY_sp|Q9BYC2|SCOT2_HUMAN Succinyl-CoA:3-ketoacid coenzyme A transferase 2, mitochondrial OS=Homo sapiens OX=9606 GN=OXCT2 PE=2 SV=2
+PAVQQMPRLNPSVAFACGTSKKIDDVTLGEWLERLTLEKKRHVDFVAKETIIRDVCRKGTLPMTCKEMIKPTNDKTCHQMTVVVRTKQSSVLDMAGGMGKVKKGPIMWNALDGYKSVQMAGLMTLQIHGGRIMAFSDDSAFFCGGPLVTVTQKGANILDADVEDETPFPGLGLIGNESHLHVTMSPSIFNSALLPIGIGLNAYMGDEFELAARRIIRTRADEEKGADGDEEKLITLREIRKEYKQGKIVRDVYINPVHIDEPPFAGVEVIEEVEVATVDAAKCMPVNFNRASRRFVVNGARDAKWGKVLAFDARIARELLFHDGNFERVERPQSMLALHGDPTYRIPAGGEQVLTGYGTPTYFAPVGAGGARIREALTGQPTLELELEGALYQSECLTNEGVYSCVIRRVQRAALLLGLGFDEVGVNSSVVQLDKVRTRLLAAILNEPIGCLGFGGIMVTAGDSIDKVMEVPDAYFKARLRPSTAFCRACGQSLALGSGGAPVGRGLVSALLRLAAM
+>sp|Q9H190|SDCB2_HUMAN Syntenin-2 OS=Homo sapiens OX=9606 GN=SDCBP2 PE=1 SV=2
+MSSLYPSLEDLKVDQAIQAQVRASPKMPALPVQATAISPPPVLYPNLAELENYMGLSLSSQEVQESLLQIPEGDSTAVSGPGPGQMVAPVTGYSLGVRRAEIKPGVREIHLCKDERGKTGLRLRKVDQGLFVQLVQANTPASLVGLRFGDQLLQIDGRDCAGWSSHKAHQVVKKASGDKIVVVVRDRPFQRTVTMHKDSMGHVGFVIKKGKIVSLVKGSSAARNGLLTNHYVCEVDGQNVIGLKDKKIMEILATAGNVVTLTIIPSVIYEHMVKKLPPVLLHHTMDHSIPDA
+>DECOY_sp|Q9H190|SDCB2_HUMAN Syntenin-2 OS=Homo sapiens OX=9606 GN=SDCBP2 PE=1 SV=2
+ADPISHDMTHHLLVPPLKKVMHEYIVSPIITLTVVNGATALIEMIKKDKLGIVNQGDVECVYHNTLLGNRAASSGKVLSVIKGKKIVFGVHGMSDKHMTVTRQFPRDRVVVVIKDGSAKKVVQHAKHSSWGACDRGDIQLLQDGFRLGVLSAPTNAQVLQVFLGQDVKRLRLGTKGREDKCLHIERVGPKIEARRVGLSYGTVPAVMQGPGPGSVATSDGEPIQLLSEQVEQSSLSLGMYNELEALNPYLVPPPSIATAQVPLAPMKPSARVQAQIAQDVKLDELSPYLSSM
+>sp|P48061|SDF1_HUMAN Stromal cell-derived factor 1 OS=Homo sapiens OX=9606 GN=CXCL12 PE=1 SV=1
+MNAKVVVVLVLVLTALCLSDGKPVSLSYRCPCRFFESHVARANVKHLKILNTPNCALQIVARLKNNNRQVCIDPKLKWIQEYLEKALNKRFKM
+>DECOY_sp|P48061|SDF1_HUMAN Stromal cell-derived factor 1 OS=Homo sapiens OX=9606 GN=CXCL12 PE=1 SV=1
+MKFRKNLAKELYEQIWKLKPDICVQRNNNKLRAVIQLACNPTNLIKLHKVNARAVHSEFFRCPCRYSLSVPKGDSLCLATLVLVLVVVVKANM
+>sp|P31040|SDHA_HUMAN Succinate dehydrogenase [ubiquinone] flavoprotein subunit, mitochondrial OS=Homo sapiens OX=9606 GN=SDHA PE=1 SV=2
+MSGVRGLSRLLSARRLALAKAWPTVLQTGTRGFHFTVDGNKRASAKVSDSISAQYPVVDHEFDAVVVGAGGAGLRAAFGLSEAGFNTACVTKLFPTRSHTVAAQGGINAALGNMEEDNWRWHFYDTVKGSDWLGDQDAIHYMTEQAPAAVVELENYGMPFSRTEDGKIYQRAFGGQSLKFGKGGQAHRCCCVADRTGHSLLHTLYGRSLRYDTSYFVEYFALDLLMENGECRGVIALCIEDGSIHRIRAKNTVVATGGYGRTYFSCTSAHTSTGDGTAMITRAGLPCQDLEFVQFHPTGIYGAGCLITEGCRGEGGILINSQGERFMERYAPVAKDLASRDVVSRSMTLEIREGRGCGPEKDHVYLQLHHLPPEQLATRLPGISETAMIFAGVDVTKEPIPVLPTVHYNMGGIPTNYKGQVLRHVNGQDQIVPGLYACGEAACASVHGANRLGANSLLDLVVFGRACALSIEESCRPGDKVPPIKPNAGEESVMNLDKLRFADGSIRTSELRLSMQKSMQNHAAVFRVGSVLQEGCGKISKLYGDLKHLKTFDRGMVWNTDLVETLELQNLMLCALQTIYGAEARKESRGAHAREDYKVRIDEYDYSKPIQGQQKKPFEEHWRKHTLSYVDVGTGKVTLEYRPVIDKTLNEADCATVPPAIRSY
+>DECOY_sp|P31040|SDHA_HUMAN Succinate dehydrogenase [ubiquinone] flavoprotein subunit, mitochondrial OS=Homo sapiens OX=9606 GN=SDHA PE=1 SV=2
+YSRIAPPVTACDAENLTKDIVPRYELTVKGTGVDVYSLTHKRWHEEFPKKQQGQIPKSYDYEDIRVKYDERAHAGRSEKRAEAGYITQLACLMLNQLELTEVLDTNWVMGRDFTKLHKLDGYLKSIKGCGEQLVSGVRFVAAHNQMSKQMSLRLESTRISGDAFRLKDLNMVSEEGANPKIPPVKDGPRCSEEISLACARGFVVLDLLSNAGLRNAGHVSACAAEGCAYLGPVIQDQGNVHRLVQGKYNTPIGGMNYHVTPLVPIPEKTVDVGAFIMATESIGPLRTALQEPPLHHLQLYVHDKEPGCGRGERIELTMSRSVVDRSALDKAVPAYREMFREGQSNILIGGEGRCGETILCGAGYIGTPHFQVFELDQCPLGARTIMATGDGTSTHASTCSFYTRGYGGTAVVTNKARIRHISGDEICLAIVGRCEGNEMLLDLAFYEVFYSTDYRLSRGYLTHLLSHGTRDAVCCCRHAQGGKGFKLSQGGFARQYIKGDETRSFPMGYNELEVVAAPAQETMYHIADQDGLWDSGKVTDYFHWRWNDEEMNGLAANIGGQAAVTHSRTPFLKTVCATNFGAESLGFAARLGAGGAGVVVADFEHDVVPYQASISDSVKASARKNGDVTFHFGRTGTQLVTPWAKALALRRASLLRSLGRVGSM
+>sp|P21912|SDHB_HUMAN Succinate dehydrogenase [ubiquinone] iron-sulfur subunit, mitochondrial OS=Homo sapiens OX=9606 GN=SDHB PE=1 SV=3
+MAAVVALSLRRRLPATTLGGACLQASRGAQTAAATAPRIKKFAIYRWDPDKAGDKPHMQTYEVDLNKCGPMVLDALIKIKNEVDSTLTFRRSCREGICGSCAMNINGGNTLACTRRIDTNLNKVSKIYPLPHMYVIKDLVPDLSNFYAQYKSIEPYLKKKDESQEGKQQYLQSIEEREKLDGLYECILCACCSTSCPSYWWNGDKYLGPAVLMQAYRWMIDSRDDFTEERLAKLQDPFSLYRCHTIMNCTRTCPKGLNPGKAIAEIKKMMATYKEKKASV
+>DECOY_sp|P21912|SDHB_HUMAN Succinate dehydrogenase [ubiquinone] iron-sulfur subunit, mitochondrial OS=Homo sapiens OX=9606 GN=SDHB PE=1 SV=3
+VSAKKEKYTAMMKKIEAIAKGPNLGKPCTRTCNMITHCRYLSFPDQLKALREETFDDRSDIMWRYAQMLVAPGLYKDGNWWYSPCSTSCCACLICEYLGDLKEREEISQLYQQKGEQSEDKKKLYPEISKYQAYFNSLDPVLDKIVYMHPLPYIKSVKNLNTDIRRTCALTNGGNINMACSGCIGERCSRRFTLTSDVENKIKILADLVMPGCKNLDVEYTQMHPKDGAKDPDWRYIAFKKIRPATAAATQAGRSAQLCAGGLTTAPLRRRLSLAVVAAM
+>sp|Q5VUM1|SDHF4_HUMAN Succinate dehydrogenase assembly factor 4, mitochondrial OS=Homo sapiens OX=9606 GN=SDHAF4 PE=3 SV=1
+MTPSRLPWLLSWVSATAWRAARSPLLCHSLRKTSSSQGGKSELVKQSLKKPKLPEGRFDAPEDSHLEKEPLEKFPDDVNPVTKEKGGPRGPEPTRYGDWERKGRCIDF
+>DECOY_sp|Q5VUM1|SDHF4_HUMAN Succinate dehydrogenase assembly factor 4, mitochondrial OS=Homo sapiens OX=9606 GN=SDHAF4 PE=3 SV=1
+FDICRGKREWDGYRTPEPGRPGGKEKTVPNVDDPFKELPEKELHSDEPADFRGEPLKPKKLSQKVLESKGGQSSSTKRLSHCLLPSRAARWATASVWSLLWPLRSPTM
+>sp|Q9Y6D0|SELK_HUMAN Selenoprotein K OS=Homo sapiens OX=9606 GN=SELENOK PE=1 SV=3
+MVYISNGQVLDSRSQSPWRLSLITDFFWGIAEFVVLFFKTLLQQDVKKRRSYGNSSDSRYDDGRGPPGNPPRRMGRINHLRGPSPPPMAGGUGR
+>DECOY_sp|Q9Y6D0|SELK_HUMAN Selenoprotein K OS=Homo sapiens OX=9606 GN=SELENOK PE=1 SV=3
+RGUGGAMPPPSPGRLHNIRGMRRPPNGPPGRGDDYRSDSSNGYSRRKKVDQQLLTKFFLVVFEAIGWFFDTILSLRWPSQSRSDLVQGNSIYVM
+>sp|Q9NZV5|SELN_HUMAN Selenoprotein N OS=Homo sapiens OX=9606 GN=SELENON PE=1 SV=5
+MGRARPGQRGPPSPGPAAQPPAPPRRRARSLALLGALLAAAAAAAVRVCARHAEAQAAARQELALKTLGTDGLFLFSSLDTDGDMYISPEEFKPIAEKLTGSCSVTQTGVQWCSHSSLQPQLPWLNUSSCLSLLRSTPAASCEEEELPPDPSEETLTIEARFQPLLPETMTKSKDGFLGVSRLALSGLRNWTAAASPSAVFATRHFQPFLPPPGQELGEPWWIIPSELSMFTGYLSNNRFYPPPPKGKEVIIHRLLSMFHPRPFVKTRFAPQGAVACLTAISDFYYTVMFRIHAEFQLSEPPDFPFWFSPAQFTGHIILSKDATHVRDFRLFVPNHRSLNVDMEWLYGASESSNMEVDIGYIPQMELEATGPSVPSVILDEDGSMIDSHLPSGEPLQFVFEEIKWQQELSWEEAARRLEVAMYPFKKVSYLPFTEAFDRAKAENKLVHSILLWGALDDQSCUGSGRTLRETVLESSPILTLLNESFISTWSLVKELEELQNNQENSSHQKLAGLHLEKYSFPVEMMICLPNGTVVHHINANYFLDITSVKPEEIESNLFSFSSTFEDPSTATYMQFLKEGLRRGLPLLQP
+>DECOY_sp|Q9NZV5|SELN_HUMAN Selenoprotein N OS=Homo sapiens OX=9606 GN=SELENON PE=1 SV=5
+PQLLPLGRRLGEKLFQMYTATSPDEFTSSFSFLNSEIEEPKVSTIDLFYNANIHHVVTGNPLCIMMEVPFSYKELHLGALKQHSSNEQNNQLEELEKVLSWTSIFSENLLTLIPSSELVTERLTRGSGUCSQDDLAGWLLISHVLKNEAKARDFAETFPLYSVKKFPYMAVELRRAAEEWSLEQQWKIEEFVFQLPEGSPLHSDIMSGDEDLIVSPVSPGTAELEMQPIYGIDVEMNSSESAGYLWEMDVNLSRHNPVFLRFDRVHTADKSLIIHGTFQAPSFWFPFDPPESLQFEAHIRFMVTYYFDSIATLCAVAGQPAFRTKVFPRPHFMSLLRHIIVEKGKPPPPYFRNNSLYGTFMSLESPIIWWPEGLEQGPPPLFPQFHRTAFVASPSAAATWNRLGSLALRSVGLFGDKSKTMTEPLLPQFRAEITLTEESPDPPLEEEECSAAPTSRLLSLCSSUNLWPLQPQLSSHSCWQVGTQTVSCSGTLKEAIPKFEEPSIYMDGDTDLSSFLFLGDTGLTKLALEQRAAAQAEAHRACVRVAAAAAAALLAGLLALSRARRRPPAPPQAAPGPSPPGRQGPRARGM
+>sp|Q14242|SELPL_HUMAN P-selectin glycoprotein ligand 1 OS=Homo sapiens OX=9606 GN=SELPLG PE=1 SV=1
+MPLQLLLLLILLGPGNSLQLWDTWADEAEKALGPLLARDRRQATEYEYLDYDFLPETEPPEMLRNSTDTTPLTGPGTPESTTVEPAARRSTGLDAGGAVTELTTELANMGNLSTDSAAMEIQTTQPAATEAQTTQPVPTEAQTTPLAATEAQTTRLTATEAQTTPLAATEAQTTPPAATEAQTTQPTGLEAQTTAPAAMEAQTTAPAAMEAQTTPPAAMEAQTTQTTAMEAQTTAPEATEAQTTQPTATEAQTTPLAAMEALSTEPSATEALSMEPTTKRGLFIPFSVSSVTHKGIPMAASNLSVNYPVGAPDHISVKQCLLAILILALVATIFFVCTVVLAVRLSRKGHMYPVRNYSPTEMVCISSLLPDGGEGPSATANGGLSKAKSPGLTPEPREDREGDDLTLHSFLP
+>DECOY_sp|Q14242|SELPL_HUMAN P-selectin glycoprotein ligand 1 OS=Homo sapiens OX=9606 GN=SELPLG PE=1 SV=1
+PLFSHLTLDDGERDERPEPTLGPSKAKSLGGNATASPGEGGDPLLSSICVMETPSYNRVPYMHGKRSLRVALVVTCVFFITAVLALILIALLCQKVSIHDPAGVPYNVSLNSAAMPIGKHTVSSVSFPIFLGRKTTPEMSLAETASPETSLAEMAALPTTQAETATPQTTQAETAEPATTQAEMATTQTTQAEMAAPPTTQAEMAAPATTQAEMAAPATTQAELGTPQTTQAETAAPPTTQAETAALPTTQAETATLRTTQAETAALPTTQAETPVPQTTQAETAAPQTTQIEMAASDTSLNGMNALETTLETVAGGADLGTSRRAAPEVTTSEPTGPGTLPTTDTSNRLMEPPETEPLFDYDLYEYETAQRRDRALLPGLAKEAEDAWTDWLQLSNGPGLLILLLLLQLPM
+>sp|P59797|SELV_HUMAN Selenoprotein V OS=Homo sapiens OX=9606 GN=SELENOV PE=1 SV=2
+MNNQARTPAPSSARTSTSVRASTPTRTPTPLRTPTPVRTRTPIRTLTPVLTPSPAGTSPLVLTPAPAQIPTLVPTPALARIPRLVPPPAPAWIPTPVPTPVPVRNPTPVPTPARTLTPPVRVPAPAPAQLLAGIRAALPVLDSYLAPALPLDPPPEPAPELPLLPEEDPEPAPSLKLIPSVSSEAGPAPGPLPTRTPLAANSPGPTLDFTFRADPSAIGLADPPIPSPVPSPILGTIPSAISLQNCTETFPSSSENFALDKRVLIRVTYCGLUSYSLRYILLKKSLEQQFPNHLLFEEDRAAQATGEFEVFVNGRLVHSKKRGDGFVNESRLQKIVSVIDEEIKKR
+>DECOY_sp|P59797|SELV_HUMAN Selenoprotein V OS=Homo sapiens OX=9606 GN=SELENOV PE=1 SV=2
+RKKIEEDIVSVIKQLRSENVFGDGRKKSHVLRGNVFVEFEGTAQAARDEEFLLHNPFQQELSKKLLIYRLSYSULGCYTVRILVRKDLAFNESSSPFTETCNQLSIASPITGLIPSPVPSPIPPDALGIASPDARFTFDLTPGPSNAALPTRTPLPGPAPGAESSVSPILKLSPAPEPDEEPLLPLEPAPEPPPDLPLAPALYSDLVPLAARIGALLQAPAPAPVRVPPTLTRAPTPVPTPNRVPVPTPVPTPIWAPAPPPVLRPIRALAPTPVLTPIQAPAPTLVLPSTGAPSPTLVPTLTRIPTRTRVPTPTRLPTPTRTPTSARVSTSTRASSPAPTRAQNNM
+>sp|P60896|SEM1_HUMAN 26S proteasome complex subunit SEM1 OS=Homo sapiens OX=9606 GN=SEM1 PE=1 SV=1
+MSEKKQPVDLGLLEEDDEFEEFPAEDWAGLDEDEDAHVWEDNWDDDNVEDDFSNQLRAELEKHGYKMETS
+>DECOY_sp|P60896|SEM1_HUMAN 26S proteasome complex subunit SEM1 OS=Homo sapiens OX=9606 GN=SEM1 PE=1 SV=1
+STEMKYGHKELEARLQNSFDDEVNDDDWNDEWVHADEDEDLGAWDEAPFEEFEDDEELLGLDVPQKKESM
+>sp|Q99985|SEM3C_HUMAN Semaphorin-3C OS=Homo sapiens OX=9606 GN=SEMA3C PE=2 SV=2
+MAFRTICVLVGVFICSICVKGSSQPQARVYLTFDELRETKTSEYFSLSHHPLDYRILLMDEDQDRIYVGSKDHILSLNINNISQEALSVFWPASTIKVEECKMAGKDPTHGCGNFVRVIQTFNRTHLYVCGSGAFSPVCTYLNRGRRSEDQVFMIDSKCESGKGRCSFNPNVNTVSVMINEELFSGMYIDFMGTDAAIFRSLTKRNAVRTDQHNSKWLSEPMFVDAHVIPDGTDPNDAKVYFFFKEKLTDNNRSTKQIHSMIARICPNDTGGLRSLVNKWTTFLKARLVCSVTDEDGPETHFDELEDVFLLETDNPRTTLVYGIFTTSSSVFKGSAVCVYHLSDIQTVFNGPFAHKEGPNHQLISYQGRIPYPRPGTCPGGAFTPNMRTTKEFPDDVVTFIRNHPLMYNSIYPIHKRPLIVRIGTDYKYTKIAVDRVNAADGRYHVLFLGTDRGTVQKVVVLPTNNSVSGELILEELEVFKNHAPITTMKISSKKQQLYVSSNEGVSQVSLHRCHIYGTACADCCLARDPYCAWDGHSCSRFYPTGKRRSRRQDVRHGNPLTQCRGFNLKAYRNAAEIVQYGVKNNTTFLECAPKSPQASIKWLLQKDKDRRKEVKLNERIIATSQGLLIRSVQGSDQGLYHCIATENSFKQTIAKINFKVLDSEMVAVVTDKWSPWTWASSVRALPFHPKDIMGAFSHSEMQMINQYCKDTRQQHQQGDESQKMRGDYGKLKALINSRKSRNRRNQLPES
+>DECOY_sp|Q99985|SEM3C_HUMAN Semaphorin-3C OS=Homo sapiens OX=9606 GN=SEMA3C PE=2 SV=2
+SEPLQNRRNRSKRSNILAKLKGYDGRMKQSEDGQQHQQRTDKCYQNIMQMESHSFAGMIDKPHFPLARVSSAWTWPSWKDTVVAVMESDLVKFNIKAITQKFSNETAICHYLGQDSGQVSRILLGQSTAIIRENLKVEKRRDKDKQLLWKISAQPSKPACELFTTNNKVGYQVIEAANRYAKLNFGRCQTLPNGHRVDQRRSRRKGTPYFRSCSHGDWACYPDRALCCDACATGYIHCRHLSVQSVGENSSVYLQQKKSSIKMTTIPAHNKFVELEELILEGSVSNNTPLVVVKQVTGRDTGLFLVHYRGDAANVRDVAIKTYKYDTGIRVILPRKHIPYISNYMLPHNRIFTVVDDPFEKTTRMNPTFAGGPCTGPRPYPIRGQYSILQHNPGEKHAFPGNFVTQIDSLHYVCVASGKFVSSSTTFIGYVLTTRPNDTELLFVDELEDFHTEPGDEDTVSCVLRAKLFTTWKNVLSRLGGTDNPCIRAIMSHIQKTSRNNDTLKEKFFFYVKADNPDTGDPIVHADVFMPESLWKSNHQDTRVANRKTLSRFIAADTGMFDIYMGSFLEENIMVSVTNVNPNFSCRGKGSECKSDIMFVQDESRRGRNLYTCVPSFAGSGCVYLHTRNFTQIVRVFNGCGHTPDKGAMKCEEVKITSAPWFVSLAEQSINNINLSLIHDKSGVYIRDQDEDMLLIRYDLPHHSLSFYESTKTERLEDFTLYVRAQPQSSGKVCISCIFVGVLVCITRFAM
+>sp|Q9NS98|SEM3G_HUMAN Semaphorin-3G OS=Homo sapiens OX=9606 GN=SEMA3G PE=2 SV=1
+MAPSAWAICWLLGGLLLHGGSSGPSPGPSVPRLRLSYRDLLSANRSAIFLGPQGSLNLQAMYLDEYRDRLFLGGLDALYSLRLDQAWPDPREVLWPPQPGQREECVRKGRDPLTECANFVRVLQPHNRTHLLACGTGAFQPTCALITVGHRGEHVLHLEPGSVESGRGRCPHEPSRPFASTFIDGELYTGLTADFLGREAMIFRSGGPRPALRSDSDQSLLHDPRFVMAARIPENSDQDNDKVYFFFSETVPSPDGGSNHVTVSRVGRVCVNDAGGQRVLVNKWSTFLKARLVCSVPGPGGAETHFDQLEDVFLLWPKAGKSLEVYALFSTVSAVFQGFAVCVYHMADIWEVFNGPFAHRDGPQHQWGPYGGKVPFPRPGVCPSKMTAQPGRPFGSTKDYPDEVLQFARAHPLMFWPVRPRHGRPVLVKTHLAQQLHQIVVDRVEAEDGTYDVIFLGTDSGSVLKVIALQAGGSAEPEEVVLEELQVFKVPTPITEMEISVKRQMLYVGSRLGVAQLRLHQCETYGTACAECCLARDPYCAWDGASCTHYRPSLGKRRFRRQDIRHGNPALQCLGQSQEEEAVGLVAATMVYGTEHNSTFLECLPKSPQAAVRWLLQRPGDEGPDQVKTDERVLHTERGLLFRRLSRFDAGTYTCTTLEHGFSQTVVRLALVVIVASQLDNLFPPEPKPEEPPARGGLASTPPKAWYKDILQLIGFANLPRVDEYCERVWCRGTTECSGCFRSRSRGKQARGKSWAGLELGKKMKSRVHAEHNRTPREVEAT
+>DECOY_sp|Q9NS98|SEM3G_HUMAN Semaphorin-3G OS=Homo sapiens OX=9606 GN=SEMA3G PE=2 SV=1
+TAEVERPTRNHEAHVRSKMKKGLELGAWSKGRAQKGRSRSRFCGSCETTGRCWVRECYEDVRPLNAFGILQLIDKYWAKPPTSALGGRAPPEEPKPEPPFLNDLQSAVIVVLALRVVTQSFGHELTTCTYTGADFRSLRRFLLGRETHLVREDTKVQDPGEDGPRQLLWRVAAQPSKPLCELFTSNHETGYVMTAAVLGVAEEEQSQGLCQLAPNGHRIDQRRFRRKGLSPRYHTCSAGDWACYPDRALCCEACATGYTECQHLRLQAVGLRSGVYLMQRKVSIEMETIPTPVKFVQLEELVVEEPEASGGAQLAIVKLVSGSDTGLFIVDYTGDEAEVRDVVIQHLQQALHTKVLVPRGHRPRVPWFMLPHARAFQLVEDPYDKTSGFPRGPQATMKSPCVGPRPFPVKGGYPGWQHQPGDRHAFPGNFVEWIDAMHYVCVAFGQFVASVTSFLAYVELSKGAKPWLLFVDELQDFHTEAGGPGPVSCVLRAKLFTSWKNVLVRQGGADNVCVRGVRSVTVHNSGGDPSPVTESFFFYVKDNDQDSNEPIRAAMVFRPDHLLSQDSDSRLAPRPGGSRFIMAERGLFDATLGTYLEGDIFTSAFPRSPEHPCRGRGSEVSGPELHLVHEGRHGVTILACTPQFAGTGCALLHTRNHPQLVRVFNACETLPDRGKRVCEERQGPQPPWLVERPDPWAQDLRLSYLADLGGLFLRDRYEDLYMAQLNLSGQPGLFIASRNASLLDRYSLRLRPVSPGPSPGSSGGHLLLGGLLWCIAWASPAM
+>sp|Q9H3S1|SEM4A_HUMAN Semaphorin-4A OS=Homo sapiens OX=9606 GN=SEMA4A PE=1 SV=2
+MALPALGLDPWSLLGLFLFQLLQLLLPTTTAGGGGQGPMPRVRYYAGDERRALSFFHQKGLQDFDTLLLSGDGNTLYVGAREAILALDIQDPGVPRLKNMIPWPASDRKKSECAFKKKSNETQCFNFIRVLVSYNVTHLYTCGTFAFSPACTFIELQDSYLLPISEDKVMEGKGQSPFDPAHKHTAVLVDGMLYSGTMNNFLGSEPILMRTLGSQPVLKTDNFLRWLHHDASFVAAIPSTQVVYFFFEETASEFDFFERLHTSRVARVCKNDVGGEKLLQKKWTTFLKAQLLCTQPGQLPFNVIRHAVLLPADSPTAPHIYAVFTSQWQVGGTRSSAVCAFSLLDIERVFKGKYKELNKETSRWTTYRGPETNPRPGSCSVGPSSDKALTFMKDHFLMDEQVVGTPLLVKSGVEYTRLAVETAQGLDGHSHLVMYLGTTTGSLHKAVVSGDSSAHLVEEIQLFPDPEPVRNLQLAPTQGAVFVGFSGGVWRVPRANCSVYESCVDCVLARDPHCAWDPESRTCCLLSAPNLNSWKQDMERGNPEWACASGPMSRSLRPQSRPQIIKEVLAVPNSILELPCPHLSALASYYWSHGPAAVPEASSTVYNGSLLLIVQDGVGGLYQCWATENGFSYPVISYWVDSQDQTLALDPELAGIPREHVKVPLTRVSGGAALAAQQSYWPHFVTVTVLFALVLSGALIILVASPLRALRARGKVQGCETLRPGEKAPLSREQHLQSPKECRTSASDVDADNNCLGTEVA
+>DECOY_sp|Q9H3S1|SEM4A_HUMAN Semaphorin-4A OS=Homo sapiens OX=9606 GN=SEMA4A PE=1 SV=2
+AVETGLCNNDADVDSASTRCEKPSQLHQERSLPAKEGPRLTECGQVKGRARLARLPSAVLIILAGSLVLAFLVTVTVFHPWYSQQAALAAGGSVRTLPVKVHERPIGALEPDLALTQDQSDVWYSIVPYSFGNETAWCQYLGGVGDQVILLLSGNYVTSSAEPVAAPGHSWYYSALASLHPCPLELISNPVALVEKIIQPRSQPRLSRSMPGSACAWEPNGREMDQKWSNLNPASLLCCTRSEPDWACHPDRALVCDVCSEYVSCNARPVRWVGGSFGVFVAGQTPALQLNRVPEPDPFLQIEEVLHASSDGSVVAKHLSGTTTGLYMVLHSHGDLGQATEVALRTYEVGSKVLLPTGVVQEDMLFHDKMFTLAKDSSPGVSCSGPRPNTEPGRYTTWRSTEKNLEKYKGKFVREIDLLSFACVASSRTGGVQWQSTFVAYIHPATPSDAPLLVAHRIVNFPLQGPQTCLLQAKLFTTWKKQLLKEGGVDNKCVRAVRSTHLREFFDFESATEEFFFYVVQTSPIAAVFSADHHLWRLFNDTKLVPQSGLTRMLIPESGLFNNMTGSYLMGDVLVATHKHAPDFPSQGKGEMVKDESIPLLYSDQLEIFTCAPSFAFTGCTYLHTVNYSVLVRIFNFCQTENSKKKFACESKKRDSAPWPIMNKLRPVGPDQIDLALIAERAGVYLTNGDGSLLLTDFDQLGKQHFFSLARREDGAYYRVRPMPGQGGGGATTTPLLLQLLQFLFLGLLSWPDLGLAPLAM
+>sp|Q9NTN9|SEM4G_HUMAN Semaphorin-4G OS=Homo sapiens OX=9606 GN=SEMA4G PE=1 SV=1
+MWGRLWPLLLSILTATAVPGPSLRRPSRELDATPRMTIPYEELSGTRHFKGQAQNYSTLLLEEASARLLVGARGALFSLSANDIGDGAHKEIHWEASPEMQSKCHQKGKNNQTECFNHVRFLQRLNSTHLYACGTHAFQPLCAAIDAEAFTLPTSFEEGKEKCPYDPARGFTGLIIDGGLYTATRYEFRSIPDIRRSRHPHSLRTEETPMHWLNDAEFVFSVLVRESKASAVGDDDKVYYFFTERATEEGSGSFTQSRSSHRVARVARVCKGDLGGKKILQKKWTSFLKARLICHIPLYETLRGVCSLDAETSSRTHFYAAFTLSTQWKTLEASAICRYDLAEIQAVFAGPYMEYQDGSRRWGRYEGGVPEPRPGSCITDSLRSQGYNSSQDLPSLVLDFVKLHPLMARPVVPTRGRPLLLKRNIRYTHLTGTPVTTPAGPTYDLLFLGTADGWIHKAVVLGSGMHIIEETQVFRESQSVENLVISLLQHSLYVGAPSGVIQLPLSSCSRYRSCYDCILARDPYCGWDPGTHACAAATTIANRTALIQDIERGNRGCESSRDTGPPPPLKTRSVLRGDDVLLPCDQPSNLARALWLLNGSMGLSDGQGGYRVGVDGLLVTDAQPEHSGNYGCYAEENGLRTLLASYSLTVRPATPAPAPKAPATPGAQLAPDVRLLYVLAIAALGGLCLILASSLLYVACLREGRRGRRRKYSLGRASRAGGSAVQLQTVSGQCPGEEDEGDDEGAGGLEGSCLQIIPGEGAPAPPPPPPPPPPAELTNGLVALPSRLRRMNGNSYVLLRQSNNGVPAGPCSFAEELSRILEKRKHTQLVEQLDESSV
+>DECOY_sp|Q9NTN9|SEM4G_HUMAN Semaphorin-4G OS=Homo sapiens OX=9606 GN=SEMA4G PE=1 SV=1
+VSSEDLQEVLQTHKRKELIRSLEEAFSCPGAPVGNNSQRLLVYSNGNMRRLRSPLAVLGNTLEAPPPPPPPPPPAPAGEGPIIQLCSGELGGAGEDDGEDEEGPCQGSVTQLQVASGGARSARGLSYKRRRGRRGERLCAVYLLSSALILCLGGLAAIALVYLLRVDPALQAGPTAPAKPAPAPTAPRVTLSYSALLTRLGNEEAYCGYNGSHEPQADTVLLGDVGVRYGGQGDSLGMSGNLLWLARALNSPQDCPLLVDDGRLVSRTKLPPPPGTDRSSECGRNGREIDQILATRNAITTAAACAHTGPDWGCYPDRALICDYCSRYRSCSSLPLQIVGSPAGVYLSHQLLSIVLNEVSQSERFVQTEEIIHMGSGLVVAKHIWGDATGLFLLDYTPGAPTTVPTGTLHTYRINRKLLLPRGRTPVVPRAMLPHLKVFDLVLSPLDQSSNYGQSRLSDTICSGPRPEPVGGEYRGWRRSGDQYEMYPGAFVAQIEALDYRCIASAELTKWQTSLTFAAYFHTRSSTEADLSCVGRLTEYLPIHCILRAKLFSTWKKQLIKKGGLDGKCVRAVRAVRHSSRSQTFSGSGEETARETFFYYVKDDDGVASAKSERVLVSFVFEADNLWHMPTEETRLSHPHRSRRIDPISRFEYRTATYLGGDIILGTFGRAPDYPCKEKGEEFSTPLTFAEADIAACLPQFAHTGCAYLHTSNLRQLFRVHNFCETQNNKGKQHCKSQMEPSAEWHIEKHAGDGIDNASLSFLAGRAGVLLRASAEELLLTSYNQAQGKFHRTGSLEEYPITMRPTADLERSPRRLSPGPVATATLISLLLPWLRGWM
+>sp|Q13591|SEM5A_HUMAN Semaphorin-5A OS=Homo sapiens OX=9606 GN=SEMA5A PE=1 SV=3
+MKGTCVIAWLFSSLGLWRLAHPEAQGTTQCQRTEHPVISYKEIGPWLREFRAKNAVDFSQLTFDPGQKELVVGARNYLFRLQLEDLSLIQAVEWECDEATKKACYSKGKSKEECQNYIRVLLVGGDRLFTCGTNAFTPVCTNRSLSNLTEIHDQISGMARCPYSPQHNSTALLTAGGELYAATAMDFPGRDPAIYRSLGILPPLRTAQYNSKWLNEPNFVSSYDIGNFTYFFFRENAVEHDCGKTVFSRAARVCKNDIGGRFLLEDTWTTFMKARLNCSRPGEVPFYYNELQSTFFLPELDLIYGIFTTNVNSIAASAVCVFNLSAIAQAFSGPFKYQENSRSAWLPYPNPNPHFQCGTVDQGLYVNLTERNLQDAQKFILMHEVVQPVTTVPSFMEDNSRFSHVAVDVVQGREALVHIIYLATDYGTIKKVRVPLNQTSSSCLLEEIELFPERRREPIRSLQILHSQSVLFVGLREHVVKIPLKRCQFYRTRSTCIGAQDPYCGWDVVMKKCTSLEESLSMTQWEQSISACPTRNLTVDGHFGVWSPWTPCTHTDGSAVGSCLCRTRSCDSPAPQCGGWQCEGPGMEIANCSRNGGWTPWTSWSPCSTTCGIGFQVRQRSCSNPTPRHGGRVCVGQNREERYCNEHLLCPPHMFWTGWGPWERCTAQCGGGIQARRRICENGPDCAGCNVEYQSCNTNPCPELKKTTPWTPWTPVNISDNGGHYEQRFRYTCKARLADPNLLEVGRQRIEMRYCSSDGTSGCSTDGLSGDFLRAGRYSAHTVNGAWSAWTSWSQCSRDCSRGIRNRKRVCNNPEPKYGGMPCLGPSLEYQECNILPCPVDGVWSCWSPWTKCSATCGGGHYMRTRSCSNPAPAYGGDICLGLHTEEALCNTQPCPESWSEWSDWSECEASGVQVRARQCILLFPMGSQCSGNTTESRPCVFDSNFIPEVSVARSSSVEEKRCGEFNMFHMIAVGLSSSILGCLLTLLVYTYCQRYQQQSHDATVIHPVSPAPLNTSITNHINKLDKYDSVEAIKAFNKNNLILEERNKYFNPHLTGKTYSNAYFTDLNNYDEY
+>DECOY_sp|Q13591|SEM5A_HUMAN Semaphorin-5A OS=Homo sapiens OX=9606 GN=SEMA5A PE=1 SV=3
+YEDYNNLDTFYANSYTKGTLHPNFYKNREELILNNKNFAKIAEVSDYKDLKNIHNTISTNLPAPSVPHIVTADHSQQQYRQCYTYVLLTLLCGLISSSLGVAIMHFMNFEGCRKEEVSSSRAVSVEPIFNSDFVCPRSETTNGSCQSGMPFLLICQRARVQVGSAECESWDSWESWSEPCPQTNCLAEETHLGLCIDGGYAPAPNSCSRTRMYHGGGCTASCKTWPSWCSWVGDVPCPLINCEQYELSPGLCPMGGYKPEPNNCVRKRNRIGRSCDRSCQSWSTWASWAGNVTHASYRGARLFDGSLGDTSCGSTGDSSCYRMEIRQRGVELLNPDALRAKCTYRFRQEYHGGNDSINVPTWPTWPTTKKLEPCPNTNCSQYEVNCGACDPGNECIRRRAQIGGGCQATCREWPGWGTWFMHPPCLLHENCYREERNQGVCVRGGHRPTPNSCSRQRVQFGIGCTTSCPSWSTWPTWGGNRSCNAIEMGPGECQWGGCQPAPSDCSRTRCLCSGVASGDTHTCPTWPSWVGFHGDVTLNRTPCASISQEWQTMSLSEELSTCKKMVVDWGCYPDQAGICTSRTRYFQCRKLPIKVVHERLGVFLVSQSHLIQLSRIPERRREPFLEIEELLCSSSTQNLPVRVKKITGYDTALYIIHVLAERGQVVDVAVHSFRSNDEMFSPVTTVPQVVEHMLIFKQADQLNRETLNVYLGQDVTGCQFHPNPNPYPLWASRSNEQYKFPGSFAQAIASLNFVCVASAAISNVNTTFIGYILDLEPLFFTSQLENYYFPVEGPRSCNLRAKMFTTWTDELLFRGGIDNKCVRAARSFVTKGCDHEVANERFFFYTFNGIDYSSVFNPENLWKSNYQATRLPPLIGLSRYIAPDRGPFDMATAAYLEGGATLLATSNHQPSYPCRAMGSIQDHIETLNSLSRNTCVPTFANTGCTFLRDGGVLLVRIYNQCEEKSKGKSYCAKKTAEDCEWEVAQILSLDELQLRFLYNRAGVVLEKQGPDFTLQSFDVANKARFERLWPGIEKYSIVPHETRQCQTTGQAEPHALRWLGLSSFLWAIVCTGKM
+>sp|Q9P283|SEM5B_HUMAN Semaphorin-5B OS=Homo sapiens OX=9606 GN=SEMA5B PE=2 SV=4
+MPCGFSPSPVAHHLVPGPPDTPAQQLRCGWTVGGWLLSLVRGLLPCLPPGARTAEGPIMVLAGPLAVSLLLPSLTLLVSHLSSSQDVSSEPSSEQQLCALSKHPTVAFEDLQPWVSNFTYPGARDFSQLALDPSGNQLIVGARNYLFRLSLANVSLLQATEWASSEDTRRSCQSKGKTEEECQNYVRVLIVAGRKVFMCGTNAFSPMCTSRQVGNLSRTIEKINGVARCPYDPRHNSTAVISSQGELYAATVIDFSGRDPAIYRSLGSGPPLRTAQYNSKWLNEPNFVAAYDIGLFAYFFLRENAVEHDCGRTVYSRVARVCKNDVGGRFLLEDTWTTFMKARLNCSRPGEVPFYYNELQSAFHLPEQDLIYGVFTTNVNSIAASAVCAFNLSAISQAFNGPFRYQENPRAAWLPIANPIPNFQCGTLPETGPNENLTERSLQDAQRLFLMSEAVQPVTPEPCVTQDSVRFSHLVVDLVQAKDTLYHVLYIGTESGTILKALSTASRSLHGCYLEELHVLPPGRREPLRSLRILHSARALFVGLRDGVLRVPLERCAAYRSQGACLGARDPYCGWDGKQQRCSTLEDSSNMSLWTQNITACPVRNVTRDGGFGPWSPWQPCEHLDGDNSGSCLCRARSCDSPRPRCGGLDCLGPAIHIANCSRNGAWTPWSSWALCSTSCGIGFQVRQRSCSNPAPRHGGRICVGKSREERFCNENTPCPVPIFWASWGSWSKCSSNCGGGMQSRRRACENGNSCLGCGVEFKTCNPEGCPEVRRNTPWTPWLPVNVTQGGARQEQRFRFTCRAPLADPHGLQFGRRRTETRTCPADGSGSCDTDALVEVLLRSGSTSPHTVSGGWAAWGPWSSCSRDCELGFRVRKRTCTNPEPRNGGLPCVGDAAEYQDCNPQACPVRGAWSCWTSWSPCSASCGGGHYQRTRSCTSPAPSPGEDICLGLHTEEALCATQACPEGWSPWSEWSKCTDDGAQSRSRHCEELLPGSSACAGNSSQSRPCPYSEIPVILPASSMEEATDCAGFNLIHLVATGISCFLGSGLLTLAVYLSCQHCQRQSQESTLVHPATPNHLHYKGGGTPKNEKYTPMEFKTLNKNNLIPDDRANFYPLQQTNVYTTTYYPSPLNKHSFRPEASPGQRCFPNS
+>DECOY_sp|Q9P283|SEM5B_HUMAN Semaphorin-5B OS=Homo sapiens OX=9606 GN=SEMA5B PE=2 SV=4
+SNPFCRQGPSAEPRFSHKNLPSPYYTTTYVNTQQLPYFNARDDPILNNKNLTKFEMPTYKENKPTGGGKYHLHNPTAPHVLTSEQSQRQCHQCSLYVALTLLGSGLFCSIGTAVLHILNFGACDTAEEMSSAPLIVPIESYPCPRSQSSNGACASSGPLLEECHRSRSQAGDDTCKSWESWPSWGEPCAQTACLAEETHLGLCIDEGPSPAPSTCSRTRQYHGGGCSASCPSWSTWCSWAGRVPCAQPNCDQYEAADGVCPLGGNRPEPNTCTRKRVRFGLECDRSCSSWPGWAAWGGSVTHPSTSGSRLLVEVLADTDCSGSGDAPCTRTETRRRGFQLGHPDALPARCTFRFRQEQRAGGQTVNVPLWPTWPTNRRVEPCGEPNCTKFEVGCGLCSNGNECARRRSQMGGGCNSSCKSWSGWSAWFIPVPCPTNENCFREERSKGVCIRGGHRPAPNSCSRQRVQFGIGCSTSCLAWSSWPTWAGNRSCNAIHIAPGLCDLGGCRPRPSDCSRARCLCSGSNDGDLHECPQWPSWPGFGGDRTVNRVPCATINQTWLSMNSSDELTSCRQQKGDWGCYPDRAGLCAGQSRYAACRELPVRLVGDRLGVFLARASHLIRLSRLPERRGPPLVHLEELYCGHLSRSATSLAKLITGSETGIYLVHYLTDKAQVLDVVLHSFRVSDQTVCPEPTVPQVAESMLFLRQADQLSRETLNENPGTEPLTGCQFNPIPNAIPLWAARPNEQYRFPGNFAQSIASLNFACVASAAISNVNTTFVGYILDQEPLHFASQLENYYFPVEGPRSCNLRAKMFTTWTDELLFRGGVDNKCVRAVRSYVTRGCDHEVANERLFFYAFLGIDYAAVFNPENLWKSNYQATRLPPGSGLSRYIAPDRGSFDIVTAAYLEGQSSIVATSNHRPDYPCRAVGNIKEITRSLNGVQRSTCMPSFANTGCMFVKRGAVILVRVYNQCEEETKGKSQCSRRTDESSAWETAQLLSVNALSLRFLYNRAGVILQNGSPDLALQSFDRAGPYTFNSVWPQLDEFAVTPHKSLACLQQESSPESSVDQSSSLHSVLLTLSPLLLSVALPGALVMIPGEATRAGPPLCPLLGRVLSLLWGGVTWGCRLQQAPTDPPGPVLHHAVPSPSFGCPM
+>sp|Q9H2E6|SEM6A_HUMAN Semaphorin-6A OS=Homo sapiens OX=9606 GN=SEMA6A PE=1 SV=2
+MRSEALLLYFTLLHFAGAGFPEDSEPISISHGNYTKQYPVFVGHKPGRNTTQRHRLDIQMIMIMNGTLYIAARDHIYTVDIDTSHTEEIYCSKKLTWKSRQADVDTCRMKGKHKDECHNFIKVLLKKNDDALFVCGTNAFNPSCRNYKMDTLEPFGDEFSGMARCPYDAKHANVALFADGKLYSATVTDFLAIDAVIYRSLGESPTLRTVKHDSKWLKEPYFVQAVDYGDYIYFFFREIAVEYNTMGKVVFPRVAQVCKNDMGGSQRVLEKQWTSFLKARLNCSVPGDSHFYFNILQAVTDVIRINGRDVVLATFSTPYNSIPGSAVCAYDMLDIASVFTGRFKEQKSPDSTWTPVPDERVPKPRPGCCAGSSSLERYATSNEFPDDTLNFIKTHPLMDEAVPSIFNRPWFLRTMVRYRLTKIAVDTAAGPYQNHTVVFLGSEKGIILKFLARIGNSGFLNDSLFLEEMSVYNSEKCSYDGVEDKRIMGMQLDRASSSLYVAFSTCVIKVPLGRCERYGKCKKTCIASRDPYCGWIKEGGACSHLSPNSRLTFEQDIERGNTDGLGDCHNSFVALNGHSSSLLPSTTTSDSTAQEGYESRGGMLDWKHLLDSPDSTDPLGAVSSHNHQDKKGVIRESYLKGHDQLVPVTLLAIAVILAFVMGAVFSGITVYCVCDHRRKDVAVVQRKEKELTHSRRGSMSSVTKLSGLFGDTQSKDPKPEAILTPLMHNGKLATPGNTAKMLIKADQHHLDLTALPTPESTPTLQQKRKPSRGSREWERNQNLINACTKDMPPMGSPVIPTDLPLRASPSHIPSVVVLPITQQGYQHEYVDQPKMSEVAQMALEDQAATLEYKTIKEHLSSKSPNHGVNLVENLDSLPPKVPQREASLGPPGASLSQTGLSKRLEMHHSSSYGVDYKRSYPTNSLTRSHQATTLKRNNTNSSNSSHLSRNQSFGRGDNPPPAPQRVDSIQVHSSQPSGQAVTVSRQPSLNAYNSLTRSGLKRTPSLKPDVPPKPSFAPLSTSMKPNDACT
+>DECOY_sp|Q9H2E6|SEM6A_HUMAN Semaphorin-6A OS=Homo sapiens OX=9606 GN=SEMA6A PE=1 SV=2
+TCADNPKMSTSLPAFSPKPPVDPKLSPTRKLGSRTLSNYANLSPQRSVTVAQGSPQSSHVQISDVRQPAPPPNDGRGFSQNRSLHSSNSSNTNNRKLTTAQHSRTLSNTPYSRKYDVGYSSSHHMELRKSLGTQSLSAGPPGLSAERQPVKPPLSDLNEVLNVGHNPSKSSLHEKITKYELTAAQDELAMQAVESMKPQDVYEHQYGQQTIPLVVVSPIHSPSARLPLDTPIVPSGMPPMDKTCANILNQNREWERSGRSPKRKQQLTPTSEPTPLATLDLHHQDAKILMKATNGPTALKGNHMLPTLIAEPKPDKSQTDGFLGSLKTVSSMSGRRSHTLEKEKRQVVAVDKRRHDCVCYVTIGSFVAGMVFALIVAIALLTVPVLQDHGKLYSERIVGKKDQHNHSSVAGLPDTSDPSDLLHKWDLMGGRSEYGEQATSDSTTTSPLLSSSHGNLAVFSNHCDGLGDTNGREIDQEFTLRSNPSLHSCAGGEKIWGCYPDRSAICTKKCKGYRECRGLPVKIVCTSFAVYLSSSARDLQMGMIRKDEVGDYSCKESNYVSMEELFLSDNLFGSNGIRALFKLIIGKESGLFVVTHNQYPGAATDVAIKTLRYRVMTRLFWPRNFISPVAEDMLPHTKIFNLTDDPFENSTAYRELSSSGACCGPRPKPVREDPVPTWTSDPSKQEKFRGTFVSAIDLMDYACVASGPISNYPTSFTALVVDRGNIRIVDTVAQLINFYFHSDGPVSCNLRAKLFSTWQKELVRQSGGMDNKCVQAVRPFVVKGMTNYEVAIERFFFYIYDGYDVAQVFYPEKLWKSDHKVTRLTPSEGLSRYIVADIALFDTVTASYLKGDAFLAVNAHKADYPCRAMGSFEDGFPELTDMKYNRCSPNFANTGCVFLADDNKKLLVKIFNHCEDKHKGKMRCTDVDAQRSKWTLKKSCYIEETHSTDIDVTYIHDRAAIYLTGNMIMIMQIDLRHRQTTNRGPKHGVFVPYQKTYNGHSISIPESDEPFGAGAFHLLTFYLLLAESRM
+>sp|Q9H3T3|SEM6B_HUMAN Semaphorin-6B OS=Homo sapiens OX=9606 GN=SEMA6B PE=1 SV=4
+MQTPRASPPRPALLLLLLLLGGAHGLFPEEPPPLSVAPRDYLNHYPVFVGSGPGRLTPAEGADDLNIQRVLRVNRTLFIGDRDNLYRVELEPPTSTELRYQRKLTWRSNPSDINVCRMKGKQEGECRNFVKVLLLRDESTLFVCGSNAFNPVCANYSIDTLQPVGDNISGMARCPYDPKHANVALFSDGMLFTATVTDFLAIDAVIYRSLGDRPTLRTVKHDSKWFKEPYFVHAVEWGSHVYFFFREIAMEFNYLEKVVVSRVARVCKNDVGGSPRVLEKQWTSFLKARLNCSVPGDSHFYFNVLQAVTGVVSLGGRPVVLAVFSTPSNSIPGSAVCAFDLTQVAAVFEGRFREQKSPESIWTPVPEDQVPRPRPGCCAAPGMQYNASSALPDDILNFVKTHPLMDEAVPSLGHAPWILRTLMRHQLTRVAVDVGAGPWGNQTVVFLGSEAGTVLKFLVRPNASTSGTSGLSVFLEEFETYRPDRCGRPGGGETGQRLLSLELDAASGGLLAAFPRCVVRVPVARCQQYSGCMKNCIGSQDPYCGWAPDGSCIFLSPGTRAAFEQDVSGASTSGLGDCTGLLRASLSEDRAGLVSVNLLVTSSVAAFVVGAVVSGFSVGWFVGLRERRELARRKDKEAILAHGAGEAVLSVSRLGERRAQGPGGRGGGGGGGAGVPPEALLAPLMQNGWAKATLLQGGPHDLDSGLLPTPEQTPLPQKRLPTPHPHPHALGPRAWDHGHPLLPASASSSLLLLAPARAPEQPPAPGEPTPDGRLYAARPGRASHGDFPLTPHASPDRRRVVSAPTGPLDPASAADGLPRPWSPPPTGSLRRPLGPHAPPAATLRRTHTFNSGEARPGDRHRGCHARPGTDLAHLLPYGGADRTAPPVP
+>DECOY_sp|Q9H3T3|SEM6B_HUMAN Semaphorin-6B OS=Homo sapiens OX=9606 GN=SEMA6B PE=1 SV=4
+PVPPATRDAGGYPLLHALDTGPRAHCGRHRDGPRAEGSNFTHTRRLTAAPPAHPGLPRRLSGTPPPSWPRPLGDAASAPDLPGTPASVVRRRDPSAHPTLPFDGHSARGPRAAYLRGDPTPEGPAPPQEPARAPALLLLSSSASAPLLPHGHDWARPGLAHPHPHPTPLRKQPLPTQEPTPLLGSDLDHPGGQLLTAKAWGNQMLPALLAEPPVGAGGGGGGGRGGPGQARREGLRSVSLVAEGAGHALIAEKDKRRALERRERLGVFWGVSFGSVVAGVVFAAVSSTVLLNVSVLGARDESLSARLLGTCDGLGSTSAGSVDQEFAARTGPSLFICSGDPAWGCYPDQSGICNKMCGSYQQCRAVPVRVVCRPFAALLGGSAADLELSLLRQGTEGGGPRGCRDPRYTEFEELFVSLGSTGSTSANPRVLFKLVTGAESGLFVVTQNGWPGAGVDVAVRTLQHRMLTRLIWPAHGLSPVAEDMLPHTKVFNLIDDPLASSANYQMGPAACCGPRPRPVQDEPVPTWISEPSKQERFRGEFVAAVQTLDFACVASGPISNSPTSFVALVVPRGGLSVVGTVAQLVNFYFHSDGPVSCNLRAKLFSTWQKELVRPSGGVDNKCVRAVRSVVVKELYNFEMAIERFFFYVHSGWEVAHVFYPEKFWKSDHKVTRLTPRDGLSRYIVADIALFDTVTATFLMGDSFLAVNAHKPDYPCRAMGSINDGVPQLTDISYNACVPNFANSGCVFLTSEDRLLLVKVFNRCEGEQKGKMRCVNIDSPNSRWTLKRQYRLETSTPPELEVRYLNDRDGIFLTRNVRLVRQINLDDAGEAPTLRGPGSGVFVPYHNLYDRPAVSLPPPEEPFLGHAGGLLLLLLLLAPRPPSARPTQM
+>sp|Q8NFY4|SEM6D_HUMAN Semaphorin-6D OS=Homo sapiens OX=9606 GN=SEMA6D PE=1 SV=1
+MRVFLLCAYILLLMVSQLRAVSFPEDDEPLNTVDYHYSRQYPVFRGRPSGNESQHRLDFQLMLKIRDTLYIAGRDQVYTVNLNEMPKTEVIPNKKLTWRSRQQDRENCAMKGKHKDECHNFIKVFVPRNDEMVFVCGTNAFNPMCRYYRLSTLEYDGEEISGLARCPFDARQTNVALFADGKLYSATVADFLASDAVIYRSMGDGSALRTIKYDSKWIKEPHFLHAIEYGNYVYFFFREIAVEHNNLGKAVYSRVARICKNDMGGSQRVLEKHWTSFLKARLNCSVPGDSFFYFDVLQSITDIIQINGIPTVVGVFTTQLNSIPGSAVCAFSMDDIEKVFKGRFKEQKTPDSVWTAVPEDKVPKPRPGCCAKHGLAEAYKTSIDFPDETLSFIKSHPLMDSAVPPIADEPWFTKTRVRYRLTAISVDHSAGPYQNYTVIFVGSEAGMVLKVLAKTSPFSLNDSVLLEEIEAYNHAKCSAENEEDKKVISLQLDKDHHALYVAFSSCIIRIPLSRCERYGSCKKSCIASRDPYCGWLSQGSCGRVTPGMLAEGYEQDTEFGNTAHLGDCHEILPTSTTPDYKIFGGPTSDMEVSSSSVTTMASIPEITPKVIDTWRPKLTSSRKFVVQDDPNTSDFTDPLSGIPKGVRWEVQSGESNQMVHMNVLITCVFAAFVLGAFIAGVAVYCYRDMFVRKNRKIHKDAESAQSCTDSSGSFAKLNGLFDSPVKEYQQNIDSPKLYSNLLTSRKELPPNGDTKSMVMDHRGQPPELAALPTPESTPVLHQKTLQAMKSHSEKAHGHGASRKETPQFFPSSPPPHSPLSHGHIPSAIVLPNATHDYNTSFSNSNAHKAEKKLQNIDHPLTKSSSKRDHRRSVDSRNTLNDLLKHLNDPNSNPKAIMGDIQMAHQNLMLDPMGSMSEVPPKVPNREASLYSPPSTLPRNSPTKRVDVPTTPGVPMTSLERQRGYHKNSSQRHSISAMPKNLNSPNGVLLSRQPSMNRGGYMPTPTGAKVDYIQGTPVSVHLQPSLSRQSSYTSNGTLPRTGLKRTPSLKPDVPPKPSFVPQTPSVRPLNKYTY
+>DECOY_sp|Q8NFY4|SEM6D_HUMAN Semaphorin-6D OS=Homo sapiens OX=9606 GN=SEMA6D PE=1 SV=1
+YTYKNLPRVSPTQPVFSPKPPVDPKLSPTRKLGTRPLTGNSTYSSQRSLSPQLHVSVPTGQIYDVKAGTPTPMYGGRNMSPQRSLLVGNPSNLNKPMASISHRQSSNKHYGRQRELSTMPVGPTTPVDVRKTPSNRPLTSPPSYLSAERNPVKPPVESMSGMPDLMLNQHAMQIDGMIAKPNSNPDNLHKLLDNLTNRSDVSRRHDRKSSSKTLPHDINQLKKEAKHANSNSFSTNYDHTANPLVIASPIHGHSLPSHPPPSSPFFQPTEKRSAGHGHAKESHSKMAQLTKQHLVPTSEPTPLAALEPPQGRHDMVMSKTDGNPPLEKRSTLLNSYLKPSDINQQYEKVPSDFLGNLKAFSGSSDTCSQASEADKHIKRNKRVFMDRYCYVAVGAIFAGLVFAAFVCTILVNMHVMQNSEGSQVEWRVGKPIGSLPDTFDSTNPDDQVVFKRSSTLKPRWTDIVKPTIEPISAMTTVSSSSVEMDSTPGGFIKYDPTTSTPLIEHCDGLHATNGFETDQEYGEALMGPTVRGCSGQSLWGCYPDRSAICSKKCSGYRECRSLPIRIICSSFAVYLAHHDKDLQLSIVKKDEENEASCKAHNYAEIEELLVSDNLSFPSTKALVKLVMGAESGVFIVTYNQYPGASHDVSIATLRYRVRTKTFWPEDAIPPVASDMLPHSKIFSLTEDPFDISTKYAEALGHKACCGPRPKPVKDEPVATWVSDPTKQEKFRGKFVKEIDDMSFACVASGPISNLQTTFVGVVTPIGNIQIIDTISQLVDFYFFSDGPVSCNLRAKLFSTWHKELVRQSGGMDNKCIRAVRSYVAKGLNNHEVAIERFFFYVYNGYEIAHLFHPEKIWKSDYKITRLASGDGMSRYIVADSALFDAVTASYLKGDAFLAVNTQRADFPCRALGSIEEGDYELTSLRYYRCMPNFANTGCVFVMEDNRPVFVKIFNHCEDKHKGKMACNERDQQRSRWTLKKNPIVETKPMENLNVTYVQDRGAIYLTDRIKLMLQFDLRHQSENGSPRGRFVPYQRSYHYDVTNLPEDDEPFSVARLQSVMLLLIYACLLFVRM
+>sp|P04279|SEMG1_HUMAN Semenogelin-1 OS=Homo sapiens OX=9606 GN=SEMG1 PE=1 SV=2
+MKPNIIFVLSLLLILEKQAAVMGQKGGSKGRLPSEFSQFPHGQKGQHYSGQKGKQQTESKGSFSIQYTYHVDANDHDQSRKSQQYDLNALHKTTKSQRHLGGSQQLLHNKQEGRDHDKSKGHFHRVVIHHKGGKAHRGTQNPSQDQGNSPSGKGISSQYSNTEERLWVHGLSKEQTSVSGAQKGRKQGGSQSSYVLQTEELVANKQQRETKNSHQNKGHYQNVVEVREEHSSKVQTSLCPAHQDKLQHGSKDIFSTQDELLVYNKNQHQTKNLNQDQQHGRKANKISYQSSSTEERRLHYGENGVQKDVSQSSIYSQTEEKAQGKSQKQITIPSQEQEHSQKANKISYQSSSTEERRLHYGENGVQKDVSQRSIYSQTEKLVAGKSQIQAPNPKQEPWHGENAKGESGQSTNREQDLLSHEQKGRHQHGSHGGLDIVIIEQEDDSDRHLAQHLNNDRNPLFT
+>DECOY_sp|P04279|SEMG1_HUMAN Semenogelin-1 OS=Homo sapiens OX=9606 GN=SEMG1 PE=1 SV=2
+TFLPNRDNNLHQALHRDSDDEQEIIVIDLGGHSGHQHRGKQEHSLLDQERNTSQGSEGKANEGHWPEQKPNPAQIQSKGAVLKETQSYISRQSVDKQVGNEGYHLRREETSSSQYSIKNAKQSHEQEQSPITIQKQSKGQAKEETQSYISSQSVDKQVGNEGYHLRREETSSSQYSIKNAKRGHQQDQNLNKTQHQNKNYVLLEDQTSFIDKSGHQLKDQHAPCLSTQVKSSHEERVEVVNQYHGKNQHSNKTERQQKNAVLEETQLVYSSQSGGQKRGKQAGSVSTQEKSLGHVWLREETNSYQSSIGKGSPSNGQDQSPNQTGRHAKGGKHHIVVRHFHGKSKDHDRGEQKNHLLQQSGGLHRQSKTTKHLANLDYQQSKRSQDHDNADVHYTYQISFSGKSETQQKGKQGSYHQGKQGHPFQSFESPLRGKSGGKQGMVAAQKELILLLSLVFIINPKM
+>sp|Q8WW01|SEN15_HUMAN tRNA-splicing endonuclease subunit Sen15 OS=Homo sapiens OX=9606 GN=TSEN15 PE=1 SV=1
+MEERGDSEPTPGCSGLGPGGVRGFGDGGGAPSWAPEDAWMGTHPKYLEMMELDIGDATQVYVAFLVYLDLMESKSWHEVNCVGLPELQLICLVGTEIEGEGLQTVVPTPITASLSHNRIREILKASRKLQGDPDLPMSFTLAIVESDSTIVYYKLTDGFMLPDPQNISLRR
+>DECOY_sp|Q8WW01|SEN15_HUMAN tRNA-splicing endonuclease subunit Sen15 OS=Homo sapiens OX=9606 GN=TSEN15 PE=1 SV=1
+RRLSINQPDPLMFGDTLKYYVITSDSEVIALTFSMPLDPDGQLKRSAKLIERIRNHSLSATIPTPVVTQLGEGEIETGVLCILQLEPLGVCNVEHWSKSEMLDLYVLFAVYVQTADGIDLEMMELYKPHTGMWADEPAWSPAGGGDGFGRVGGPGLGSCGPTPESDGREEM
+>sp|Q9H4L4|SENP3_HUMAN Sentrin-specific protease 3 OS=Homo sapiens OX=9606 GN=SENP3 PE=1 SV=2
+MKETIQGTGSWGPEPPGPGIPPAYSSPRRERLRWPPPPKPRLKSGGGFGPDPGSGTTVPARRLPVPRPSFDASASEEEEEEEEEEDEDEEEEVAAWRLPPRWSQLGTSQRPRPSRPTHRKTCSQRRRRAMRAFRMLLYSKSTSLTFHWKLWGRHRGRRRGLAHPKNHLSPQQGGATPQVPSPCCRFDSPRGPPPPRLGLLGALMAEDGVRGSPPVPSGPPMEEDGLRWTPKSPLDPDSGLLSCTLPNGFGGQSGPEGERSLAPPDASILISNVCSIGDHVAQELFQGSDLGMAEEAERPGEKAGQHSPLREEHVTCVQSILDEFLQTYGSLIPLSTDEVVEKLEDIFQQEFSTPSRKGLVLQLIQSYQRMPGNAMVRGFRVAYKRHVLTMDDLGTLYGQNWLNDQVMNMYGDLVMDTVPEKVHFFNSFFYDKLRTKGYDGVKRWTKNVDIFNKELLLIPIHLEVHWSLISVDVRRRTITYFDSQRTLNRRCPKHIAKYLQAEAVKKDRLDFHQGWKGYFKMNVARQNNDSDCGAFVLQYCKHLALSQPFSFTQQDMPKLRRQIYKELCHCKLTV
+>DECOY_sp|Q9H4L4|SENP3_HUMAN Sentrin-specific protease 3 OS=Homo sapiens OX=9606 GN=SENP3 PE=1 SV=2
+VTLKCHCLEKYIQRRLKPMDQQTFSFPQSLALHKCYQLVFAGCDSDNNQRAVNMKFYGKWGQHFDLRDKKVAEAQLYKAIHKPCRRNLTRQSDFYTITRRRVDVSILSWHVELHIPILLLEKNFIDVNKTWRKVGDYGKTRLKDYFFSNFFHVKEPVTDMVLDGYMNMVQDNLWNQGYLTGLDDMTLVHRKYAVRFGRVMANGPMRQYSQILQLVLGKRSPTSFEQQFIDELKEVVEDTSLPILSGYTQLFEDLISQVCTVHEERLPSHQGAKEGPREAEEAMGLDSGQFLEQAVHDGISCVNSILISADPPALSREGEPGSQGGFGNPLTCSLLGSDPDLPSKPTWRLGDEEMPPGSPVPPSGRVGDEAMLAGLLGLRPPPPGRPSDFRCCPSPVQPTAGGQQPSLHNKPHALGRRRGRHRGWLKWHFTLSTSKSYLLMRFARMARRRRQSCTKRHTPRSPRPRQSTGLQSWRPPLRWAAVEEEEDEDEEEEEEEEEESASADFSPRPVPLRRAPVTTGSGPDPGFGGGSKLRPKPPPPWRLRERRPSSYAPPIGPGPPEPGWSGTGQITEKM
+>sp|O43236|SEPT4_HUMAN Septin-4 OS=Homo sapiens OX=9606 GN=SEPT4 PE=1 SV=1
+MDRSLGWQGNSVPEDRTEAGIKRFLEDTTDDGELSKFVKDFSGNASCHPPEAKTWASRPQVPEPRPQAPDLYDDDLEFRPPSRPQSSDNQQYFCAPAPLSPSARPRSPWGKLDPYDSSEDDKEYVGFATLPNQVHRKSVKKGFDFTLMVAGESGLGKSTLVNSLFLTDLYRDRKLLGAEERIMQTVEITKHAVDIEEKGVRLRLTIVDTPGFGDAVNNTECWKPVAEYIDQQFEQYFRDESGLNRKNIQDNRVHCCLYFISPFGHGLRPLDVEFMKALHQRVNIVPILAKADTLTPPEVDHKKRKIREEIEHFGIKIYQFPDCDSDEDEDFKLQDQALKESIPFAVIGSNTVVEARGRRVRGRLYPWGIVEVENPGHCDFVKLRTMLVRTHMQDLKDVTRETHYENYRAQCIQSMTRLVVKERNRNKLTRESGTDFPIPAVPPGTDPETEKLIREKDEELRRMQEMLHKIQKQMKENY
+>DECOY_sp|O43236|SEPT4_HUMAN Septin-4 OS=Homo sapiens OX=9606 GN=SEPT4 PE=1 SV=1
+YNEKMQKQIKHLMEQMRRLEEDKERILKETEPDTGPPVAPIPFDTGSERTLKNRNREKVVLRTMSQICQARYNEYHTERTVDKLDQMHTRVLMTRLKVFDCHGPNEVEVIGWPYLRGRVRRGRAEVVTNSGIVAFPISEKLAQDQLKFDEDEDSDCDPFQYIKIGFHEIEERIKRKKHDVEPPTLTDAKALIPVINVRQHLAKMFEVDLPRLGHGFPSIFYLCCHVRNDQINKRNLGSEDRFYQEFQQDIYEAVPKWCETNNVADGFGPTDVITLRLRVGKEEIDVAHKTIEVTQMIREEAGLLKRDRYLDTLFLSNVLTSKGLGSEGAVMLTFDFGKKVSKRHVQNPLTAFGVYEKDDESSDYPDLKGWPSRPRASPSLPAPACFYQQNDSSQPRSPPRFELDDDYLDPAQPRPEPVQPRSAWTKAEPPHCSANGSFDKVFKSLEGDDTTDELFRKIGAETRDEPVSNGQWGLSRDM
+>sp|Q14141|SEPT6_HUMAN Septin-6 OS=Homo sapiens OX=9606 GN=SEPT6 PE=1 SV=4
+MAATDIARQVGEGCRTVPLAGHVGFDSLPDQLVNKSVSQGFCFNILCVGETGLGKSTLMDTLFNTKFEGEPATHTQPGVQLQSNTYDLQESNVRLKLTIVSTVGFGDQINKEDSYKPIVEFIDAQFEAYLQEELKIRRVLHTYHDSRIHVCLYFIAPTGHSLKSLDLVTMKKLDSKVNIIPIIAKADAISKSELTKFKIKITSELVSNGVQIYQFPTDDESVAEINGTMNAHLPFAVIGSTEELKIGNKMMRARQYPWGTVQVENEAHCDFVKLREMLIRVNMEDLREQTHTRHYELYRRCKLEEMGFKDTDPDSKPFSLQETYEAKRNEFLGELQKKEEEMRQMFVQRVKEKEAELKEAEKELHEKFDRLKKLHQDEKKKLEDKKKSLDDEVNAFKQRKTAAELLQSQGSQAGGSQTLKRDKEKKNNPWLCTE
+>DECOY_sp|Q14141|SEPT6_HUMAN Septin-6 OS=Homo sapiens OX=9606 GN=SEPT6 PE=1 SV=4
+ETCLWPNNKKEKDRKLTQSGGAQSGQSQLLEAATKRQKFANVEDDLSKKKDELKKKEDQHLKKLRDFKEHLEKEAEKLEAEKEKVRQVFMQRMEEEKKQLEGLFENRKAEYTEQLSFPKSDPDTDKFGMEELKCRRYLEYHRTHTQERLDEMNVRILMERLKVFDCHAENEVQVTGWPYQRARMMKNGIKLEETSGIVAFPLHANMTGNIEAVSEDDTPFQYIQVGNSVLESTIKIKFKTLESKSIADAKAIIPIINVKSDLKKMTVLDLSKLSHGTPAIFYLCVHIRSDHYTHLVRRIKLEEQLYAEFQADIFEVIPKYSDEKNIQDGFGVTSVITLKLRVNSEQLDYTNSQLQVGPQTHTAPEGEFKTNFLTDMLTSKGLGTEGVCLINFCFGQSVSKNVLQDPLSDFGVHGALPVTRCGEGVQRAIDTAAM
+>sp|Q92599|SEPT8_HUMAN Septin-8 OS=Homo sapiens OX=9606 GN=SEPT8 PE=1 SV=4
+MAATDLERFSNAEPEPRSLSLGGHVGFDSLPDQLVSKSVTQGFSFNILCVGETGIGKSTLMNTLFNTTFETEEASHHEACVRLRPQTYDLQESNVQLKLTIVDAVGFGDQINKDESYRPIVDYIDAQFENYLQEELKIRRSLFDYHDTRIHVCLYFITPTGHSLKSLDLVTMKKLDSKVNIIPIIAKADTISKSELHKFKIKIMGELVSNGVQIYQFPTDDEAVAEINAVMNAHLPFAVVGSTEEVKVGNKLVRARQYPWGVVQVENENHCDFVKLREMLIRVNMEDLREQTHSRHYELYRRCKLEEMGFQDSDGDSQPFSLQETYEAKRKEFLSELQRKEEEMRQMFVNKVKETELELKEKERELHEKFEHLKRVHQEEKRKVEEKRRELEEETNAFNRRKAAVEALQSQALHATSQQPLRKDKDKKNRSDIGAHQPGMSLSSSKVMMTKASVEPLNCSSWWPAIQCCSCLVRDATWREGFL
+>DECOY_sp|Q92599|SEPT8_HUMAN Septin-8 OS=Homo sapiens OX=9606 GN=SEPT8 PE=1 SV=4
+LFGERWTADRVLCSCCQIAPWWSSCNLPEVSAKTMMVKSSSLSMGPQHAGIDSRNKKDKDKRLPQQSTAHLAQSQLAEVAAKRRNFANTEEELERRKEEVKRKEEQHVRKLHEFKEHLEREKEKLELETEKVKNVFMQRMEEEKRQLESLFEKRKAEYTEQLSFPQSDGDSDQFGMEELKCRRYLEYHRSHTQERLDEMNVRILMERLKVFDCHNENEVQVVGWPYQRARVLKNGVKVEETSGVVAFPLHANMVANIEAVAEDDTPFQYIQVGNSVLEGMIKIKFKHLESKSITDAKAIIPIINVKSDLKKMTVLDLSKLSHGTPTIFYLCVHIRTDHYDFLSRRIKLEEQLYNEFQADIYDVIPRYSEDKNIQDGFGVADVITLKLQVNSEQLDYTQPRLRVCAEHHSAEETEFTTNFLTNMLTSKGIGTEGVCLINFSFGQTVSKSVLQDPLSDFGVHGGLSLSRPEPEANSFRELDTAAM
+>sp|Q9Y6X1|SERP1_HUMAN Stress-associated endoplasmic reticulum protein 1 OS=Homo sapiens OX=9606 GN=SERP1 PE=1 SV=1
+MVAKQRIRMANEKHSKNITQRGNVAKTSRNAPEEKASVGPWLLALFIFVVCGSAIFQIIQSIRMGM
+>DECOY_sp|Q9Y6X1|SERP1_HUMAN Stress-associated endoplasmic reticulum protein 1 OS=Homo sapiens OX=9606 GN=SERP1 PE=1 SV=1
+MGMRISQIIQFIASGCVVFIFLALLWPGVSAKEEPANRSTKAVNGRQTINKSHKENAMRIRQKAVM
+>sp|Q86TU7|SETD3_HUMAN Histone-lysine N-methyltransferase setd3 OS=Homo sapiens OX=9606 GN=SETD3 PE=1 SV=1
+MGKKSRVKTQKSGTGATATVSPKEILNLTSELLQKCSSPAPGPGKEWEEYVQIRTLVEKIRKKQKGLSVTFDGKREDYFPDLMKWASENGASVEGFEMVNFKEEGFGLRATRDIKAEELFLWVPRKLLMTVESAKNSVLGPLYSQDRILQAMGNIALAFHLLCERASPNSFWQPYIQTLPSEYDTPLYFEEDEVRYLQSTQAIHDVFSQYKNTARQYAYFYKVIQTHPHANKLPLKDSFTYEDYRWAVSSVMTRQNQIPTEDGSRVTLALIPLWDMCNHTNGLITTGYNLEDDRCECVALQDFRAGEQIYIFYGTRSNAEFVIHSGFFFDNNSHDRVKIKLGVSKSDRLYAMKAEVLARAGIPTSSVFALHFTEPPISAQLLAFLRVFCMTEEELKEHLLGDSAIDRIFTLGNSEFPVSWDNEVKLWTFLEDRASLLLKTYKTTIEEDKSVLKNHDLSVRAKMAIKLRLGEKEILEKAVKSAAVNREYYRQQMEEKAPLPKYEESNLGLLESSVGDSRLPLVLRNLEEEAGVQDALNIREAISKAKATENGLVNGENSIPNGTRSENESLNQESKRAVEDAKGSSSDSTAGVKE
+>DECOY_sp|Q86TU7|SETD3_HUMAN Histone-lysine N-methyltransferase setd3 OS=Homo sapiens OX=9606 GN=SETD3 PE=1 SV=1
+EKVGATSDSSSGKADEVARKSEQNLSENESRTGNPISNEGNVLGNETAKAKSIAERINLADQVGAEEELNRLVLPLRSDGVSSELLGLNSEEYKPLPAKEEMQQRYYERNVAASKVAKELIEKEGLRLKIAMKARVSLDHNKLVSKDEEITTKYTKLLLSARDELFTWLKVENDWSVPFESNGLTFIRDIASDGLLHEKLEEETMCFVRLFALLQASIPPETFHLAFVSSTPIGARALVEAKMAYLRDSKSVGLKIKVRDHSNNDFFFGSHIVFEANSRTGYFIYIQEGARFDQLAVCECRDDELNYGTTILGNTHNCMDWLPILALTVRSGDETPIQNQRTMVSSVAWRYDEYTFSDKLPLKNAHPHTQIVKYFYAYQRATNKYQSFVDHIAQTSQLYRVEDEEFYLPTDYESPLTQIYPQWFSNPSARECLLHFALAINGMAQLIRDQSYLPGLVSNKASEVTMLLKRPVWLFLEEAKIDRTARLGFGEEKFNVMEFGEVSAGNESAWKMLDPFYDERKGDFTVSLGKQKKRIKEVLTRIQVYEEWEKGPGPAPSSCKQLLESTLNLIEKPSVTATAGTGSKQTKVRSKKGM
+>sp|Q9NVD3|SETD4_HUMAN SET domain-containing protein 4 OS=Homo sapiens OX=9606 GN=SETD4 PE=2 SV=1
+MQKGKGRTSRIRRRKLCGSSESRGVNESHKSEFIELRKWLKARKFQDSNLAPACFPGTGRGLMSQTSLQEGQMIISLPESCLLTTDTVIRSYLGAYITKWKPPPSPLLALCTFLVSEKHAGHRSLWKPYLEILPKAYTCPVCLEPEVVNLLPKSLKAKAEEQRAHVQEFFASSRDFFSSLQPLFAEAVDSIFSYSALLWAWCTVNTRAVYLRPRQRECLSAEPDTCALAPYLDLLNHSPHVQVKAAFNEETHSYEIRTTSRWRKHEEVFICYGPHDNQRLFLEYGFVSVHNPHACVYVSREILVKYLPSTDKQMDKKISILKDHGYIENLTFGWDGPSWRLLTALKLLCLEAEKFTCWKKVLLGEVISDTNEKTSLDIAQKICYYFIEETNAVLQKVSHMKDEKEALINQLTLVESLWTEELKILRASAETLHSLQTAFT
+>DECOY_sp|Q9NVD3|SETD4_HUMAN SET domain-containing protein 4 OS=Homo sapiens OX=9606 GN=SETD4 PE=2 SV=1
+TFATQLSHLTEASARLIKLEETWLSEVLTLQNILAEKEDKMHSVKQLVANTEEIFYYCIKQAIDLSTKENTDSIVEGLLVKKWCTFKEAELCLLKLATLLRWSPGDWGFTLNEIYGHDKLISIKKDMQKDTSPLYKVLIERSVYVCAHPNHVSVFGYELFLRQNDHPGYCIFVEEHKRWRSTTRIEYSHTEENFAAKVQVHPSHNLLDLYPALACTDPEASLCERQRPRLYVARTNVTCWAWLLASYSFISDVAEAFLPQLSSFFDRSSAFFEQVHARQEEAKAKLSKPLLNVVEPELCVPCTYAKPLIELYPKWLSRHGAHKESVLFTCLALLPSPPPKWKTIYAGLYSRIVTDTTLLCSEPLSIIMQGEQLSTQSMLGRGTGPFCAPALNSDQFKRAKLWKRLEIFESKHSENVGRSESSGCLKRRRIRSTRGKGKQM
+>sp|Q8N474|SFRP1_HUMAN Secreted frizzled-related protein 1 OS=Homo sapiens OX=9606 GN=SFRP1 PE=1 SV=1
+MGIGRSEGGRRGAALGVLLALGAALLAVGSASEYDYVSFQSDIGPYQSGRFYTKPPQCVDIPADLRLCHNVGYKKMVLPNLLEHETMAEVKQQASSWVPLLNKNCHAGTQVFLCSLFAPVCLDRPIYPCRWLCEAVRDSCEPVMQFFGFYWPEMLKCDKFPEGDVCIAMTPPNATEASKPQGTTVCPPCDNELKSEAIIEHLCASEFALRMKIKEVKKENGDKKIVPKKKKPLKLGPIKKKDLKKLVLYLKNGADCPCHQLDNLSHHFLIMGRKVKSQYLLTAIHKWDKKNKEFKNFMKKMKNHECPTFQSVFK
+>DECOY_sp|Q8N474|SFRP1_HUMAN Secreted frizzled-related protein 1 OS=Homo sapiens OX=9606 GN=SFRP1 PE=1 SV=1
+KFVSQFTPCEHNKMKKMFNKFEKNKKDWKHIATLLYQSKVKRGMILFHHSLNDLQHCPCDAGNKLYLVLKKLDKKKIPGLKLPKKKKPVIKKDGNEKKVEKIKMRLAFESACLHEIIAESKLENDCPPCVTTGQPKSAETANPPTMAICVDGEPFKDCKLMEPWYFGFFQMVPECSDRVAECLWRCPYIPRDLCVPAFLSCLFVQTGAHCNKNLLPVWSSAQQKVEAMTEHELLNPLVMKKYGVNHCLRLDAPIDVCQPPKTYFRGSQYPGIDSQFSVYDYESASGVALLAAGLALLVGLAAGRRGGESRGIGM
+>sp|Q92765|SFRP3_HUMAN Secreted frizzled-related protein 3 OS=Homo sapiens OX=9606 GN=FRZB PE=1 SV=2
+MVCGSPGGMLLLRAGLLALAALCLLRVPGARAAACEPVRIPLCKSLPWNMTKMPNHLHHSTQANAILAIEQFEGLLGTHCSPDLLFFLCAMYAPICTIDFQHEPIKPCKSVCERARQGCEPILIKYRHSWPENLACEELPVYDRGVCISPEAIVTADGADFPMDSSNGNCRGASSERCKCKPIRATQKTYFRNNYNYVIRAKVKEIKTKCHDVTAVVEVKEILKSSLVNIPRDTVNLYTSSGCLCPPLNVNEEYIIMGYEDEERSRLLLVEGSIAEKWKDRLGKKVKRWDMKLRHLGLSKSDSSNSDSTQSQKSGRNSNPRQARN
+>DECOY_sp|Q92765|SFRP3_HUMAN Secreted frizzled-related protein 3 OS=Homo sapiens OX=9606 GN=FRZB PE=1 SV=2
+NRAQRPNSNRGSKQSQTSDSNSSDSKSLGLHRLKMDWRKVKKGLRDKWKEAISGEVLLLRSREEDEYGMIIYEENVNLPPCLCGSSTYLNVTDRPINVLSSKLIEKVEVVATVDHCKTKIEKVKARIVYNYNNRFYTKQTARIPKCKCRESSAGRCNGNSSDMPFDAGDATVIAEPSICVGRDYVPLEECALNEPWSHRYKILIPECGQRARECVSKCPKIPEHQFDITCIPAYMACLFFLLDPSCHTGLLGEFQEIALIANAQTSHHLHNPMKTMNWPLSKCLPIRVPECAAARAGPVRLLCLAALALLGARLLLMGGPSGCVM
+>sp|Q9BWM7|SFXN3_HUMAN Sideroflexin-3 OS=Homo sapiens OX=9606 GN=SFXN3 PE=1 SV=3
+MGELPLDINIQEPRWDQSTFLGRARHFFTVTDPRNLLLSGAQLEASRNIVQNYRAGVVTPGITEDQLWRAKYVYDSAFHPDTGEKVVLIGRMSAQVPMNMTITGCMLTFYRKTPTVVFWQWVNQSFNAIVNYSNRSGDTPITVRQLGTAYVSATTGAVATALGLKSLTKHLPPLVGRFVPFAAVAAANCINIPLMRQRELQVGIPVADEAGQRLGYSVTAAKQGIFQVVISRICMAIPAMAIPPLIMDTLEKKDFLKRRPWLGAPLQVGLVGFCLVFATPLCCALFPQKSSIHISNLEPELRAQIHEQNPSVEVVYYNKGL
+>DECOY_sp|Q9BWM7|SFXN3_HUMAN Sideroflexin-3 OS=Homo sapiens OX=9606 GN=SFXN3 PE=1 SV=3
+LGKNYYVVEVSPNQEHIQARLEPELNSIHISSKQPFLACCLPTAFVLCFGVLGVQLPAGLWPRRKLFDKKELTDMILPPIAMAPIAMCIRSIVVQFIGQKAATVSYGLRQGAEDAVPIGVQLERQRMLPINICNAAAVAAFPVFRGVLPPLHKTLSKLGLATAVAGTTASVYATGLQRVTIPTDGSRNSYNVIANFSQNVWQWFVVTPTKRYFTLMCGTITMNMPVQASMRGILVVKEGTDPHFASDYVYKARWLQDETIGPTVVGARYNQVINRSAELQAGSLLLNRPDTVTFFHRARGLFTSQDWRPEQINIDLPLEGM
+>sp|O95969|SG1D2_HUMAN Secretoglobin family 1D member 2 OS=Homo sapiens OX=9606 GN=SCGB1D2 PE=2 SV=1
+MKLSVCLLLVTLALCCYQANAEFCPALVSELLDFFFISEPLFKLSLAKFDAPPEAVAAKLGVKRCTDQMSLQKRSLIAEVLVKILKKCSV
+>DECOY_sp|O95969|SG1D2_HUMAN Secretoglobin family 1D member 2 OS=Homo sapiens OX=9606 GN=SCGB1D2 PE=2 SV=1
+VSCKKLIKVLVEAILSRKQLSMQDTCRKVGLKAAVAEPPADFKALSLKFLPESIFFFDLLESVLAPCFEANAQYCCLALTVLLLCVSLKM
+>sp|Q96QR1|SG3A1_HUMAN Secretoglobin family 3A member 1 OS=Homo sapiens OX=9606 GN=SCGB3A1 PE=1 SV=2
+MKLAALLGLCVALSCSSAAAFLVGSAKPVAQPVAALESAAEAGAGTLANPLGTLNPLKLLLSSLGIPVNHLIEGSQKCVAELGPQAVGAVKALKALLGALTVFG
+>DECOY_sp|Q96QR1|SG3A1_HUMAN Secretoglobin family 3A member 1 OS=Homo sapiens OX=9606 GN=SCGB3A1 PE=1 SV=2
+GFVTLAGLLAKLAKVAGVAQPGLEAVCKQSGEILHNVPIGLSSLLLKLPNLTGLPNALTGAGAEAASELAAVPQAVPKASGVLFAAASSCSLAVCLGLLAALKM
+>sp|Q96BR1|SGK3_HUMAN Serine/threonine-protein kinase Sgk3 OS=Homo sapiens OX=9606 GN=SGK3 PE=1 SV=1
+MQRDHTMDYKESCPSVSIPSSDEHREKKKRFTVYKVLVSVGRSEWFVFRRYAEFDKLYNTLKKQFPAMALKIPAKRIFGDNFDPDFIKQRRAGLNEFIQNLVRYPELYNHPDVRAFLQMDSPKHQSDPSEDEDERSSQKLHSTSQNINLGPSGNPHAKPTDFDFLKVIGKGSFGKVLLAKRKLDGKFYAVKVLQKKIVLNRKEQKHIMAERNVLLKNVKHPFLVGLHYSFQTTEKLYFVLDFVNGGELFFHLQRERSFPEHRARFYAAEIASALGYLHSIKIVYRDLKPENILLDSVGHVVLTDFGLCKEGIAISDTTTTFCGTPEYLAPEVIRKQPYDNTVDWWCLGAVLYEMLYGLPPFYCRDVAEMYDNILHKPLSLRPGVSLTAWSILEELLEKDRQNRLGAKEDFLEIQNHPFFESLSWADLVQKKIPPPFNPNVAGPDDIRNFDTAFTEETVPYSVCVSSDYSIVNASVLEADDAFVGFSYAPPSEDLFL
+>DECOY_sp|Q96BR1|SGK3_HUMAN Serine/threonine-protein kinase Sgk3 OS=Homo sapiens OX=9606 GN=SGK3 PE=1 SV=1
+LFLDESPPAYSFGVFADDAELVSANVISYDSSVCVSYPVTEETFATDFNRIDDPGAVNPNFPPPIKKQVLDAWSLSEFFPHNQIELFDEKAGLRNQRDKELLEELISWATLSVGPRLSLPKHLINDYMEAVDRCYFPPLGYLMEYLVAGLCWWDVTNDYPQKRIVEPALYEPTGCFTTTTDSIAIGEKCLGFDTLVVHGVSDLLINEPKLDRYVIKISHLYGLASAIEAAYFRARHEPFSRERQLHFFLEGGNVFDLVFYLKETTQFSYHLGVLFPHKVNKLLVNREAMIHKQEKRNLVIKKQLVKVAYFKGDLKRKALLVKGFSGKGIVKLFDFDTPKAHPNGSPGLNINQSTSHLKQSSREDEDESPDSQHKPSDMQLFARVDPHNYLEPYRVLNQIFENLGARRQKIFDPDFNDGFIRKAPIKLAMAPFQKKLTNYLKDFEAYRRFVFWESRGVSVLVKYVTFRKKKERHEDSSPISVSPCSEKYDMTHDRQM
+>sp|Q9Y2Z0|SGT1_HUMAN Protein SGT1 homolog OS=Homo sapiens OX=9606 GN=SUGT1 PE=1 SV=3
+MAAAAAGTATSQRFFQSFSDALIDEDPQAALEELTKALEQKPDDAQYYCQRAYCHILLGNYCVAVADAKKSLELNPNNSTAMLRKGICEYHEKNYAAALETFTEGQKLDIETGFHRVGQAGLQLLTSSDPPALDSQSAGITGADANFSVWIKRCQEAQNGSESEVWTHQSKIKYDWYQTESQVVITLMIKNVQKNDVNVEFSEKELSALVKLPSGEDYNLKLELLHPIIPEQSTFKVLSTKIEIKLKKPEAVRWEKLEGQGDVPTPKQFVADVKNLYPSSSPYTRNWDKLVGEIKEEEKNEKLEGDAALNRLFQQIYSDGSDEVKRAMNKSFMESGGTVLSTNWSDVGKRKVEINPPDDMEWKKY
+>DECOY_sp|Q9Y2Z0|SGT1_HUMAN Protein SGT1 homolog OS=Homo sapiens OX=9606 GN=SUGT1 PE=1 SV=3
+YKKWEMDDPPNIEVKRKGVDSWNTSLVTGGSEMFSKNMARKVEDSGDSYIQQFLRNLAADGELKENKEEEKIEGVLKDWNRTYPSSSPYLNKVDAVFQKPTPVDGQGELKEWRVAEPKKLKIEIKTSLVKFTSQEPIIPHLLELKLNYDEGSPLKVLASLEKESFEVNVDNKQVNKIMLTIVVQSETQYWDYKIKSQHTWVESESGNQAEQCRKIWVSFNADAGTIGASQSDLAPPDSSTLLQLGAQGVRHFGTEIDLKQGETFTELAAAYNKEHYECIGKRLMATSNNPNLELSKKADAVAVCYNGLLIHCYARQCYYQADDPKQELAKTLEELAAQPDEDILADSFSQFFRQSTATGAAAAAM
+>sp|Q5SQS7|SH24B_HUMAN SH2 domain-containing protein 4B OS=Homo sapiens OX=9606 GN=SH2D4B PE=2 SV=1
+MLQQILHDMYIDPELLAELSDVQKHILFYKMREEQLRRWKERETWEALAQDEGLRPPKTKRASDKHIQWLLGADGEVWVWIMGEGPGDKPYEEISEELIAERARLQAQREAEELWRQKEAEITKKFRDALANEKARILAEKWKVEMEDRKAAKVLEERIHEEFKRKEEEERKRGEEQIRLQEEQRAKELYWTLKQAQLHCQASEKEEREWEEQLRRSKAADEERSRRAQRARDEYRHHSLRAIQKGTVAGLSSMFRELGQSHEQEARLYHHLPDPGLPQPLALPVRTWERPLRPVSRDVIVRWFKEEQLPRRAGFERNTKFIAPWFHGIISREDAEALLENMTEGAFLVRVSEKIWGYTLSYRLQKGFKHFLVDASGDFYSFLGVDPNRHATLTDLVDFHKEEIITVSGGELLQEPCGQRDSPPDYHLLFE
+>DECOY_sp|Q5SQS7|SH24B_HUMAN SH2 domain-containing protein 4B OS=Homo sapiens OX=9606 GN=SH2D4B PE=2 SV=1
+EFLLHYDPPSDRQGCPEQLLEGGSVTIIEEKHFDVLDTLTAHRNPDVGLFSYFDGSADVLFHKFGKQLRYSLTYGWIKESVRVLFAGETMNELLAEADERSIIGHFWPAIFKTNREFGARRPLQEEKFWRVIVDRSVPRLPREWTRVPLALPQPLGPDPLHHYLRAEQEHSQGLERFMSSLGAVTGKQIARLSHHRYEDRARQARRSREEDAAKSRRLQEEWEREEKESAQCHLQAQKLTWYLEKARQEEQLRIQEEGRKREEEEKRKFEEHIREELVKAAKRDEMEVKWKEALIRAKENALADRFKKTIEAEKQRWLEEAERQAQLRAREAILEESIEEYPKDGPGEGMIWVWVEGDAGLLWQIHKDSARKTKPPRLGEDQALAEWTEREKWRRLQEERMKYFLIHKQVDSLEALLEPDIYMDHLIQQLM
+>sp|Q8N5H7|SH2D3_HUMAN SH2 domain-containing protein 3C OS=Homo sapiens OX=9606 GN=SH2D3C PE=1 SV=1
+MTEGTKKTSKKFKFFKFKGFGSLSNLPRSFTLRRSSASISRQSHLEPDTFEATQDDMVTVPKSPPAYARSSDMYSHMGTMPRPSIKKAQNSQAARQAQEAGPKPNLVPGGVPDPPGLEAAKEVMVKATGPLEDTPAMEPNPSAVEVDPIRKPEVPTGDVEEERPPRDVHSERAAGEPEAGSDYVKFSKEKYILDSSPEKLHKELEEELKLSSTDLRSHAWYHGRIPREVSETLVQRNGDFLIRDSLTSLGDYVLTCRWRNQALHFKINKVVVKAGESYTHIQYLFEQESFDHVPALVRYHVGSRKAVSEQSGAIIYCPVNRTFPLRYLEASYGLGQGSSKPASPVSPSGPKGSHMKRRSVTMTDGLTADKVTRSDGCPTSTSLPRPRDSIRSCALSMDQIPDLHSPMSPISESPSSPAYSTVTRVHAAPAAPSATALPASPVARRSSEPQLCPGSAPKTHGESDKGPHTSPSHTLGKASPSPSLSSYSDPDSGHYCQLQPPVRGSREWAATETSSQQARSYGERLKELSENGAPEGDWGKTFTVPIVEVTSSFNPATFQSLLIPRDNRPLEVGLLRKVKELLAEVDARTLARHVTKVDCLVARILGVTKEMQTLMGVRWGMELLTLPHGRQLRLDLLERFHTMSIMLAVDILGCTGSAEERAALLHKTIQLAAELRGTMGNMFSFAAVMGALDMAQISRLEQTWVTLRQRHTEGAILYEKKLKPFLKSLNEGKEGPPLSNTTFPHVLPLITLLECDSAPPEGPEPWGSTEHGVEVVLAHLEAARTVAHHGGLYHTNAEVKLQGFQARPELLEVFSTEFQMRLLWGSQGASSSQARRYEKFDKVLTALSHKLEPAVRSSEL
+>DECOY_sp|Q8N5H7|SH2D3_HUMAN SH2 domain-containing protein 3C OS=Homo sapiens OX=9606 GN=SH2D3C PE=1 SV=1
+LESSRVAPELKHSLATLVKDFKEYRRAQSSSAGQSGWLLRMQFETSFVELLEPRAQFGQLKVEANTHYLGGHHAVTRAAELHALVVEVGHETSGWPEPGEPPASDCELLTILPLVHPFTTNSLPPGEKGENLSKLFPKLKKEYLIAGETHRQRLTVWTQELRSIQAMDLAGMVAAFSFMNGMTGRLEAALQITKHLLAAREEASGTCGLIDVALMISMTHFRELLDLRLQRGHPLTLLEMGWRVGMLTQMEKTVGLIRAVLCDVKTVHRALTRADVEALLEKVKRLLGVELPRNDRPILLSQFTAPNFSSTVEVIPVTFTKGWDGEPAGNESLEKLREGYSRAQQSSTETAAWERSGRVPPQLQCYHGSDPDSYSSLSPSPSAKGLTHSPSTHPGKDSEGHTKPASGPCLQPESSRRAVPSAPLATASPAAPAAHVRTVTSYAPSSPSESIPSMPSHLDPIQDMSLACSRISDRPRPLSTSTPCGDSRTVKDATLGDTMTVSRRKMHSGKPGSPSVPSAPKSSGQGLGYSAELYRLPFTRNVPCYIIAGSQESVAKRSGVHYRVLAPVHDFSEQEFLYQIHTYSEGAKVVVKNIKFHLAQNRWRCTLVYDGLSTLSDRILFDGNRQVLTESVERPIRGHYWAHSRLDTSSLKLEEELEKHLKEPSSDLIYKEKSFKVYDSGAEPEGAARESHVDRPPREEEVDGTPVEPKRIPDVEVASPNPEMAPTDELPGTAKVMVEKAAELGPPDPVGGPVLNPKPGAEQAQRAAQSNQAKKISPRPMTGMHSYMDSSRAYAPPSKPVTVMDDQTAEFTDPELHSQRSISASSRRLTFSRPLNSLSGFGKFKFFKFKKSTKKTGETM
+>sp|Q9UJC5|SH3L2_HUMAN SH3 domain-binding glutamic acid-rich-like protein 2 OS=Homo sapiens OX=9606 GN=SH3BGRL2 PE=1 SV=2
+MVIRVFIASSSGFVAIKKKQQDVVRFLEANKIEFEEVDITMSEEQRQWMYKNVPPEKKPTQGNPLPPQIFNGDRYCGDYDSFFESKESNTVFSFLGLKPRLASKAEP
+>DECOY_sp|Q9UJC5|SH3L2_HUMAN SH3 domain-binding glutamic acid-rich-like protein 2 OS=Homo sapiens OX=9606 GN=SH3BGRL2 PE=1 SV=2
+PEAKSALRPKLGLFSFVTNSEKSEFFSDYDGCYRDGNFIQPPLPNGQTPKKEPPVNKYMWQRQEESMTIDVEEFEIKNAELFRVVDQQKKKIAVFGSSSAIFVRIVM
+>sp|Q12872|SFSWA_HUMAN Splicing factor, suppressor of white-apricot homolog OS=Homo sapiens OX=9606 GN=SFSWAP PE=1 SV=3
+MYGASGGRAKPERKSGAKEEAGPGGAGGGGSRVELLVFGYACKLFRDDERALAQEQGQHLIPWMGDHKILIDRYDGRGHLHDLSEYDAEYSTWNRDYQLSEEEARIEALCDEERYLALHTDLLEEEARQEEEYKRLSEALAEDGSYNAVGFTYGSDYYDPSEPTEEEEPSKQREKNEAENLEENEEPFVAPLGLSVPSDVELPPTAKMHAIIERTASFVCRQGAQFEIMLKAKQARNSQFDFLRFDHYLNPYYKFIQKAMKEGRYTVLAENKSDEKKKSGVSSDNEDDDDEEDGNYLHPSLFASKKCNRLEELMKPLKVVDPDHPLAALVRKAQADSSTPTPHNADGAPVQPSQVEYTADSTVAAMYYSYYMLPDGTYCLAPPPPGIDVTTYYSTLPAGVTVSNSPGVTTTAPPPPGTTPLPPPTTAETSSGATSTTTTTSALAPVAAIIPPPPDVQPVIDKLAEYVARNGLKFETSVRAKNDQRFEFLQPWHQYNAYYEFKKQFFLQKEGGDSMQAVSAPEEAPTDSAPEKPSDAGEDGAPEDAAEVGARAGSGGKKEASSSKTVPDGKLVKASFAPISFAIKAKENDLLPLEKNRVKLDDDSDDDEESKEGQESSSSAANTNPAVAPPCVVVEEKKPQLTQEELEAKQAKQKLEDRLAAAAREKLAQASKESKEKQLQAERKRKAALFLQTLKNPLPEAEAGKIEESPFSVEESSTTPCPLLTGGRPLPTLEVKPPDRPSSKSKDPPREEEKEKKKKKHKKRSRTRSRSPKYHSSSKSRSRSHSKAKHSLPSAYRTVRRSRSRSRSPRRRAHSPERRREERSVPTAYRVSRSPGASRKRTRSRSPHEKKKKRRSRSRTKSKARSQSVSPSKQAAPRPAAPAAHSAHSASVSPVESRGSSQERSRGVSQEKEAQISSAIVSSVQSKITQDLMAKVRAMLAASKNLQTSAS
+>DECOY_sp|Q12872|SFSWA_HUMAN Splicing factor, suppressor of white-apricot homolog OS=Homo sapiens OX=9606 GN=SFSWAP PE=1 SV=3
+SASTQLNKSAALMARVKAMLDQTIKSQVSSVIASSIQAEKEQSVGRSREQSSGRSEVPSVSASHASHAAPAAPRPAAQKSPSVSQSRAKSKTRSRSRRKKKKEHPSRSRTRKRSAGPSRSVRYATPVSREERRREPSHARRRPSRSRSRSRRVTRYASPLSHKAKSHSRSRSKSSSHYKPSRSRTRSRKKHKKKKKEKEEERPPDKSKSSPRDPPKVELTPLPRGGTLLPCPTTSSEEVSFPSEEIKGAEAEPLPNKLTQLFLAAKRKREAQLQKEKSEKSAQALKERAAAALRDELKQKAQKAELEEQTLQPKKEEVVVCPPAVAPNTNAASSSSEQGEKSEEDDDSDDDLKVRNKELPLLDNEKAKIAFSIPAFSAKVLKGDPVTKSSSAEKKGGSGARAGVEAADEPAGDEGADSPKEPASDTPAEEPASVAQMSDGGEKQLFFQKKFEYYANYQHWPQLFEFRQDNKARVSTEFKLGNRAVYEALKDIVPQVDPPPPIIAAVPALASTTTTTSTAGSSTEATTPPPLPTTGPPPPATTTVGPSNSVTVGAPLTSYYTTVDIGPPPPALCYTGDPLMYYSYYMAAVTSDATYEVQSPQVPAGDANHPTPTSSDAQAKRVLAALPHDPDVVKLPKMLEELRNCKKSAFLSPHLYNGDEEDDDDENDSSVGSKKKEDSKNEALVTYRGEKMAKQIFKYYPNLYHDFRLFDFQSNRAQKAKLMIEFQAGQRCVFSATREIIAHMKATPPLEVDSPVSLGLPAVFPEENEELNEAENKERQKSPEEEETPESPDYYDSGYTFGVANYSGDEALAESLRKYEEEQRAEEELLDTHLALYREEDCLAEIRAEEESLQYDRNWTSYEADYESLDHLHGRGDYRDILIKHDGMWPILHQGQEQALAREDDRFLKCAYGFVLLEVRSGGGGAGGPGAEEKAGSKREPKARGGSAGYM
+>sp|Q587I9|SFT2C_HUMAN Vesicle transport protein SFT2C OS=Homo sapiens OX=9606 GN=SFT2D3 PE=2 SV=1
+MADLHRQLQEYLAQGKAGGPAAAEPLLAAEKAEEPGDRPAEEWLGRAGLRWTWARSPAESAAAGLTCLPSVTRGQRLAAGGGCLLLAALCFGLAALYAPVLLLRARKFALLWSLGSALALAGSALLRGGAACGRLLRCEEAPSRPALLYMAALGATLFAALGLRSTLLTVLGAGAQVAALLAALVGLLPWGGGTALRLALGRLGRGAGLAKVLPV
+>DECOY_sp|Q587I9|SFT2C_HUMAN Vesicle transport protein SFT2C OS=Homo sapiens OX=9606 GN=SFT2D3 PE=2 SV=1
+VPLVKALGAGRGLRGLALRLATGGGWPLLGVLAALLAAVQAGAGLVTLLTSRLGLAAFLTAGLAAMYLLAPRSPAEECRLLRGCAAGGRLLASGALALASGLSWLLAFKRARLLLVPAYLAALGFCLAALLLCGGGAALRQGRTVSPLCTLGAAASEAPSRAWTWRLGARGLWEEAPRDGPEEAKEAALLPEAAAPGGAKGQALYEQLQRHLDAM
+>sp|Q6UW10|SFTA2_HUMAN Surfactant-associated protein 2 OS=Homo sapiens OX=9606 GN=SFTA2 PE=1 SV=1
+MGSGLPLVLLLTLLGSSHGTGPGMTLQLKLKESFLTNSSYESSFLELLEKLCLLLHLPSGTSVTLHHARSQHHVVCNT
+>DECOY_sp|Q6UW10|SFTA2_HUMAN Surfactant-associated protein 2 OS=Homo sapiens OX=9606 GN=SFTA2 PE=1 SV=1
+TNCVVHHQSRAHHLTVSTGSPLHLLLCLKELLELFSSEYSSNTLFSEKLKLQLTMGPGTGHSSGLLTLLLVLPLGSGM
+>sp|P35247|SFTPD_HUMAN Pulmonary surfactant-associated protein D OS=Homo sapiens OX=9606 GN=SFTPD PE=1 SV=3
+MLLFLLSALVLLTQPLGYLEAEMKTYSHRTMPSACTLVMCSSVESGLPGRDGRDGREGPRGEKGDPGLPGAAGQAGMPGQAGPVGPKGDNGSVGEPGPKGDTGPSGPPGPPGVPGPAGREGPLGKQGNIGPQGKPGPKGEAGPKGEVGAPGMQGSAGARGLAGPKGERGVPGERGVPGNTGAAGSAGAMGPQGSPGARGPPGLKGDKGIPGDKGAKGESGLPDVASLRQQVEALQGQVQHLQAAFSQYKKVELFPNGQSVGEKIFKTAGFVKPFTEAQLLCTQAGGQLASPRSAAENAALQQLVVAKNEAAFLSMTDSKTEGKFTYPTGESLVYSNWAPGEPNDDGGSEDCVEIFTNGKWNDRACGEKRLVVCEF
+>DECOY_sp|P35247|SFTPD_HUMAN Pulmonary surfactant-associated protein D OS=Homo sapiens OX=9606 GN=SFTPD PE=1 SV=3
+FECVVLRKEGCARDNWKGNTFIEVCDESGGDDNPEGPAWNSYVLSEGTPYTFKGETKSDTMSLFAAENKAVVLQQLAANEAASRPSALQGGAQTCLLQAETFPKVFGATKFIKEGVSQGNPFLEVKKYQSFAAQLHQVQGQLAEVQQRLSAVDPLGSEGKAGKDGPIGKDGKLGPPGRAGPSGQPGMAGASGAAGTNGPVGREGPVGREGKPGALGRAGASGQMGPAGVEGKPGAEGKPGPKGQPGINGQKGLPGERGAPGPVGPPGPPGSPGTDGKPGPEGVSGNDGKPGVPGAQGPMGAQGAAGPLGPDGKEGRPGERGDRGDRGPLGSEVSSCMVLTCASPMTRHSYTKMEAELYGLPQTLLVLASLLFLLM
+>sp|Q6P4A7|SFXN4_HUMAN Sideroflexin-4 OS=Homo sapiens OX=9606 GN=SFXN4 PE=1 SV=1
+MSLEQEEETQPGRLLGRRDAVPAFIEPNVRFWITERQSFIRRFLQWTELLDPTNVFISVESIENSRQLLCTNEDVSSPASADQRIQEAWKRSLATVHPDSSNLIPKLFRPAAFLPFMAPTVFLSMTPLKGIKSVILPQVFLCAYMAAFNSINGNRSYTCKPLERSLLMAGAVASSTFLGVIPQFVQMKYGLTGPWIKRLLPVIFLVQASGMNVYMSRSLESIKGIAVMDKEGNVLGHSRIAGTKAVRETLASRIVLFGTSALIPEVFTYFFKRTQYFRKNPGSLWILKLSCTVLAMGLMVPFSFSIFPQIGQIQYCSLEEKIQSPTEETEIFYHRGV
+>DECOY_sp|Q6P4A7|SFXN4_HUMAN Sideroflexin-4 OS=Homo sapiens OX=9606 GN=SFXN4 PE=1 SV=1
+VGRHYFIETEETPSQIKEELSCYQIQGIQPFISFSFPVMLGMALVTCSLKLIWLSGPNKRFYQTRKFFYTFVEPILASTGFLVIRSALTERVAKTGAIRSHGLVNGEKDMVAIGKISELSRSMYVNMGSAQVLFIVPLLRKIWPGTLGYKMQVFQPIVGLFTSSAVAGAMLLSRELPKCTYSRNGNISNFAAMYACLFVQPLIVSKIGKLPTMSLFVTPAMFPLFAAPRFLKPILNSSDPHVTALSRKWAEQIRQDASAPSSVDENTCLLQRSNEISEVSIFVNTPDLLETWQLFRRIFSQRETIWFRVNPEIFAPVADRRGLLRGPQTEEEQELSM
+>sp|Q6PDA7|SG11A_HUMAN Sperm-associated antigen 11A OS=Homo sapiens OX=9606 GN=SPAG11A PE=2 SV=3
+MRQRLLPSVTSLLLVALLFPGSSQARHVNHSATEALGELRERAPGQGTNGFQLLRHAVKRDLLPPRTPPYQVHISHQEARGPSFKICVGFLGPRWARGCSTGNEKYHLPYAARDLQTFFLPFW
+>DECOY_sp|Q6PDA7|SG11A_HUMAN Sperm-associated antigen 11A OS=Homo sapiens OX=9606 GN=SPAG11A PE=2 SV=3
+WFPLFFTQLDRAAYPLHYKENGTSCGRAWRPGLFGVCIKFSPGRAEQHSIHVQYPPTRPPLLDRKVAHRLLQFGNTGQGPARERLEGLAETASHNVHRAQSSGPFLLAVLLLSTVSPLLRQRM
+>sp|Q8TD33|SG1C1_HUMAN Secretoglobin family 1C member 1 OS=Homo sapiens OX=9606 GN=SCGB1C1 PE=3 SV=2
+MKGSRALLLVALTLFCICRMATGEDNDEFFMDFLQTLLVGTPEELYEGTLGKYNVNEDAKAAMTELKSCIDGLQPMHKAELVKLLVQVLGSQDGA
+>DECOY_sp|Q8TD33|SG1C1_HUMAN Secretoglobin family 1C member 1 OS=Homo sapiens OX=9606 GN=SCGB1C1 PE=3 SV=2
+AGDQSGLVQVLLKVLEAKHMPQLGDICSKLETMAAKADENVNYKGLTGEYLEEPTGVLLTQLFDMFFEDNDEGTAMRCICFLTLAVLLLARSGKM
+>sp|Q13296|SG2A2_HUMAN Mammaglobin-A OS=Homo sapiens OX=9606 GN=SCGB2A2 PE=1 SV=1
+MKLLMVLMLAALSQHCYAGSGCPLLENVISKTINPQVSKTEYKELLQEFIDDNATTNAIDELKECFLNQTDETLSNVEVFMQLIYDSSLCDLF
+>DECOY_sp|Q13296|SG2A2_HUMAN Mammaglobin-A OS=Homo sapiens OX=9606 GN=SCGB2A2 PE=1 SV=1
+FLDCLSSDYILQMFVEVNSLTEDTQNLFCEKLEDIANTTANDDIFEQLLEKYETKSVQPNITKSIVNELLPCGSGAYCHQSLAALMLVMLLKM
+>sp|Q96PL1|SG3A2_HUMAN Secretoglobin family 3A member 2 OS=Homo sapiens OX=9606 GN=SCGB3A2 PE=1 SV=1
+MKLVTIFLLVTISLCSYSATAFLINKVPLPVDKLAPLPLDNILPFMDPLKLLLKTLGISVEHLVEGLRKCVNELGPEASEAVKKLLEALSHLV
+>DECOY_sp|Q96PL1|SG3A2_HUMAN Secretoglobin family 3A member 2 OS=Homo sapiens OX=9606 GN=SCGB3A2 PE=1 SV=1
+VLHSLAELLKKVAESAEPGLENVCKRLGEVLHEVSIGLTKLLLKLPDMFPLINDLPLPALKDVPLPVKNILFATASYSCLSITVLLFITVLKM
+>sp|Q96ES7|SGF29_HUMAN SAGA-associated factor 29 OS=Homo sapiens OX=9606 GN=SGF29 PE=1 SV=1
+MALVSADSRIAELLTELHQLIKQTQEERSRSEHNLVNIQKTHERMQTENKISPYYRTKLRGLYTTAKADAEAECNILRKALDKIAEIKSLLEERRIAAKIAGLYNDSEPPRKTMRRGVLMTLLQQSAMTLPLWIGKPGDKPPPLCGAIPASGDYVARPGDKVAARVKAVDGDEQWILAEVVSYSHATNKYEVDDIDEEGKERHTLSRRRVIPLPQWKANPETDPEALFQKEQLVLALYPQTTCFYRALIHAPPQRPQDDYSVLFEDTSYADGYSPPLNVAQRYVVACKEPKKK
+>DECOY_sp|Q96ES7|SGF29_HUMAN SAGA-associated factor 29 OS=Homo sapiens OX=9606 GN=SGF29 PE=1 SV=1
+KKKPEKCAVVYRQAVNLPPSYGDAYSTDEFLVSYDDQPRQPPAHILARYFCTTQPYLALVLQEKQFLAEPDTEPNAKWQPLPIVRRRSLTHREKGEEDIDDVEYKNTAHSYSVVEALIWQEDGDVAKVRAAVKDGPRAVYDGSAPIAGCLPPPKDGPKGIWLPLTMASQQLLTMLVGRRMTKRPPESDNYLGAIKAAIRREELLSKIEAIKDLAKRLINCEAEADAKATTYLGRLKTRYYPSIKNETQMREHTKQINVLNHESRSREEQTQKILQHLETLLEAIRSDASVLAM
+>sp|O00141|SGK1_HUMAN Serine/threonine-protein kinase Sgk1 OS=Homo sapiens OX=9606 GN=SGK1 PE=1 SV=2
+MTVKTEAAKGTLTYSRMRGMVAILIAFMKQRRMGLNDFIQKIANNSYACKHPEVQSILKISQPQEPELMNANPSPPPSPSQQINLGPSSNPHAKPSDFHFLKVIGKGSFGKVLLARHKAEEVFYAVKVLQKKAILKKKEEKHIMSERNVLLKNVKHPFLVGLHFSFQTADKLYFVLDYINGGELFYHLQRERCFLEPRARFYAAEIASALGYLHSLNIVYRDLKPENILLDSQGHIVLTDFGLCKENIEHNSTTSTFCGTPEYLAPEVLHKQPYDRTVDWWCLGAVLYEMLYGLPPFYSRNTAEMYDNILNKPLQLKPNITNSARHLLEGLLQKDRTKRLGAKDDFMEIKSHVFFSLINWDDLINKKITPPFNPNVSGPNDLRHFDPEFTEEPVPNSIGKSPDSVLVTASVKEAAEAFLGFSYAPPTDSFL
+>DECOY_sp|O00141|SGK1_HUMAN Serine/threonine-protein kinase Sgk1 OS=Homo sapiens OX=9606 GN=SGK1 PE=1 SV=2
+LFSDTPPAYSFGLFAEAAEKVSATVLVSDPSKGISNPVPEETFEPDFHRLDNPGSVNPNFPPTIKKNILDDWNILSFFVHSKIEMFDDKAGLRKTRDKQLLGELLHRASNTINPKLQLPKNLINDYMEATNRSYFPPLGYLMEYLVAGLCWWDVTRDYPQKHLVEPALYEPTGCFTSTTSNHEINEKCLGFDTLVIHGQSDLLINEPKLDRYVINLSHLYGLASAIEAAYFRARPELFCRERQLHYFLEGGNIYDLVFYLKDATQFSFHLGVLFPHKVNKLLVNRESMIHKEEKKKLIAKKQLVKVAYFVEEAKHRALLVKGFSGKGIVKLFHFDSPKAHPNSSPGLNIQQSPSPPPSPNANMLEPEQPQSIKLISQVEPHKCAYSNNAIKQIFDNLGMRRQKMFAILIAVMGRMRSYTLTGKAAETKVTM
+>sp|Q2NKQ1|SGSM1_HUMAN Small G protein signaling modulator 1 OS=Homo sapiens OX=9606 GN=SGSM1 PE=1 SV=2
+MASAPAEAETRQRLLRTVKKEVKQIMEEAVTRKFVHEDSSHIISFCAAVEACVLHGLRRRAAGFLRSNKIAALFMKVGKNFPPAEDLSRKVQDLEQLIESARNQIQGLQENVRKLPKLPNLSPLAIKHLWIRTALFEKVLDKIVHYLVENSSKYYEKEALLMDPVDGPILASLLVGPCALEYTKMKTADHFWTDPSADELVQRHRIHSSHVRQDSPTKRPALCIQKRHSSGSMDDRPSLSARDYVESLHQNSRATLLYGKNNVLVQPRDDMEAVPGYLSLHQTADVMTLKWTPNQLMNGSVGDLDYEKSVYWDYAMTIRLEEIVYLHCHQQVDSGGTVVLVSQDGIQRPPFRFPKGGHLLQFLSCLENGLLPHGQLDPPLWSQRGKGKVFPKLRKRSPQGSAESTSSDKDDDEATDYVFRIIYPGMQSEFVAPDFLGSTSSVSVGPAWMMVPAGRSMLVVARGSQWEPARWDTTLPTPSPKEQPPMPQDLMDVSVSNLPSLWQPSPRKSSCSSCSQSGSADGSSTNGCNHERAPLKLLCDNMKYQILSRAFYGWLAYCRHLSTVRTHLSALVNHMIVSPDLPCDAGQGLTARIWEQYLHDSTSYEEQELLRLIYYGGIQPEIRKAVWPFLLGHYQFGMTETERKEVDEQIHACYAQTMAEWLGCEAIVRQRERESHAAALAKCSSGASLDSHLHRMLHRDSTISNESSQSCSSGRQNIRLHSDSSSSTQVFESVDEVEQVEAEGRLEEKQPKIPNGNLVNGTCSPDSGHPSSHNFSSGLSEHSEPSLSTEDSVLDAQRNTPTVLRPRDGSVDDRQSSEATTSQDEAPREELAVQDSLESDLLANESMDEFMSITGSLDMALPEKDDVVMEGWRSSETEKHGQADSEDNLSEEPEMESLFPALASLAVTTSANEVSPVSSSGVTYSPELLDLYTVNLHRIEKDVQRCDRNYWYFTPANLEKLRNIMCSYIWQHIEIGYVQGMCDLLAPLLVILDDEALAFSCFTELMKRMNQNFPHGGAMDTHFANMRSLIQILDSELFELMHQNGDYTHFYFCYRWFLLDFKRELVYDDVFLVWETIWAAKHVSSAHYVLFIALALVEVYRDIILENNMDFTDIIKFFNEMAERHNTKQVLKLARDLVYKVQTLIENK
+>DECOY_sp|Q2NKQ1|SGSM1_HUMAN Small G protein signaling modulator 1 OS=Homo sapiens OX=9606 GN=SGSM1 PE=1 SV=2
+KNEILTQVKYVLDRALKLVQKTNHREAMENFFKIIDTFDMNNELIIDRYVEVLALAIFLVYHASSVHKAAWITEWVLFVDDYVLERKFDLLFWRYCFYFHTYDGNQHMLEFLESDLIQILSRMNAFHTDMAGGHPFNQNMRKMLETFCSFALAEDDLIVLLPALLDCMGQVYGIEIHQWIYSCMINRLKELNAPTFYWYNRDCRQVDKEIRHLNVTYLDLLEPSYTVGSSSVPSVENASTTVALSALAPFLSEMEPEESLNDESDAQGHKETESSRWGEMVVDDKEPLAMDLSGTISMFEDMSENALLDSELSDQVALEERPAEDQSTTAESSQRDDVSGDRPRLVTPTNRQADLVSDETSLSPESHESLGSSFNHSSPHGSDPSCTGNVLNGNPIKPQKEELRGEAEVQEVEDVSEFVQTSSSSDSHLRINQRGSSCSQSSENSITSDRHLMRHLHSDLSAGSSCKALAAAHSERERQRVIAECGLWEAMTQAYCAHIQEDVEKRETETMGFQYHGLLFPWVAKRIEPQIGGYYILRLLEQEEYSTSDHLYQEWIRATLGQGADCPLDPSVIMHNVLASLHTRVTSLHRCYALWGYFARSLIQYKMNDCLLKLPAREHNCGNTSSGDASGSQSCSSCSSKRPSPQWLSPLNSVSVDMLDQPMPPQEKPSPTPLTTDWRAPEWQSGRAVVLMSRGAPVMMWAPGVSVSSTSGLFDPAVFESQMGPYIIRFVYDTAEDDDKDSSTSEASGQPSRKRLKPFVKGKGRQSWLPPDLQGHPLLGNELCSLFQLLHGGKPFRFPPRQIGDQSVLVVTGGSDVQQHCHLYVIEELRITMAYDWYVSKEYDLDGVSGNMLQNPTWKLTMVDATQHLSLYGPVAEMDDRPQVLVNNKGYLLTARSNQHLSEVYDRASLSPRDDMSGSSHRKQICLAPRKTPSDQRVHSSHIRHRQVLEDASPDTWFHDATKMKTYELACPGVLLSALIPGDVPDMLLAEKEYYKSSNEVLYHVIKDLVKEFLATRIWLHKIALPSLNPLKPLKRVNEQLGQIQNRASEILQELDQVKRSLDEAPPFNKGVKMFLAAIKNSRLFGAARRRLGHLVCAEVAACFSIIHSSDEHVFKRTVAEEMIQKVEKKVTRLLRQRTEAEAPASAM
+>sp|O43147|SGSM2_HUMAN Small G protein signaling modulator 2 OS=Homo sapiens OX=9606 GN=SGSM2 PE=1 SV=4
+MGSAEDAVKEKLLWNVKKEVKQIMEEAVTRKFVHEDSSHIIALCGAVEACLLHQLRRRAAGFLRSDKMAALFTKVGKTCPVAGEICHKVQELQQQAEGRKPSGVSQEALRRQGSASGKAPALSPQALKHVWVRTALIEKVLDKVVQYLAENCSKYYEKEALLADPVFGPILASLLVGPCALEYTKLKTADHYWTDPSADELVQRHRIRGPPTRQDSPAKRPALGIRKRHSSGSASEDRLAACARECVESLHQNSRTRLLYGKNHVLVQPKEDMEAVPGYLSLHQSAESLTLKWTPNQLMNGTLGDSELEKSVYWDYALVVPFSQVVCIHCHQQKSGGTLVLVSQDGIQRPPLHFPQGGHLLSFLSCLENGLLPRGQLEPPLWTQQGKGKVFPKLRKRSSIRSVDMEEMGTGRATDYVFRIIYPGHRHEHNAGDMIEMQGFGPSLPAWHLEPLCSQGSSCLSCSSSSSPHATPSHCSCIPDRLPLRLLCESMKRQIVSRAFYGWLAHCRHLSTVRTHLSALVHHSVIPPDRPPGASAGLTKDVWSKYQKDKKNYKELELLRQVYYGGIEHEIRKDVWPFLLGHYKFGMSKKEMEQVDAVVAARYQQVLAEWKACEVVVRQREREAHPATRTKFSSGSSIDSHVQRLIHRDSTISNDVFISVDDLEPPEPQDPEDSRPKPEQEAGPGTPGTAVVEQQHSVEFDSPDSGLPSSRNYSVASGIQSSLDEGQSVGFEEEDGGGEEGSSGPGPAAHTLREPQDPSQEKPQAGELEAGEELAAVCAAAYTIELLDTVALNLHRIDKDVQRCDRNYWYFTPPNLERLRDVMCSYVWEHLDVGYVQGMCDLLAPLLVTLDNDQLAYSCFSHLMKRMSQNFPNGGAMDTHFANMRSLIQILDSELFELMHQNGDYTHFYFCYRWFLLDFKRELLYEDVFAVWEVIWAARHISSEHFVLFIALALVEAYREIIRDNNMDFTDIIKFFNERAEHHDAQEILRIARDLVHKVQMLIENK
+>DECOY_sp|O43147|SGSM2_HUMAN Small G protein signaling modulator 2 OS=Homo sapiens OX=9606 GN=SGSM2 PE=1 SV=4
+KNEILMQVKHVLDRAIRLIEQADHHEARENFFKIIDTFDMNNDRIIERYAEVLALAIFLVFHESSIHRAAWIVEWVAFVDEYLLERKFDLLFWRYCFYFHTYDGNQHMLEFLESDLIQILSRMNAFHTDMAGGNPFNQSMRKMLHSFCSYALQDNDLTVLLPALLDCMGQVYGVDLHEWVYSCMVDRLRELNPPTFYWYNRDCRQVDKDIRHLNLAVTDLLEITYAAACVAALEEGAELEGAQPKEQSPDQPERLTHAAPGPGSSGEEGGGDEEEFGVSQGEDLSSQIGSAVSYNRSSPLGSDPSDFEVSHQQEVVATGPTGPGAEQEPKPRSDEPDQPEPPELDDVSIFVDNSITSDRHILRQVHSDISSGSSFKTRTAPHAERERQRVVVECAKWEALVQQYRAAVVADVQEMEKKSMGFKYHGLLFPWVDKRIEHEIGGYYVQRLLELEKYNKKDKQYKSWVDKTLGASAGPPRDPPIVSHHVLASLHTRVTSLHRCHALWGYFARSVIQRKMSECLLRLPLRDPICSCHSPTAHPSSSSSCSLCSSGQSCLPELHWAPLSPGFGQMEIMDGANHEHRHGPYIIRFVYDTARGTGMEEMDVSRISSRKRLKPFVKGKGQQTWLPPELQGRPLLGNELCSLFSLLHGGQPFHLPPRQIGDQSVLVLTGGSKQQHCHICVVQSFPVVLAYDWYVSKELESDGLTGNMLQNPTWKLTLSEASQHLSLYGPVAEMDEKPQVLVHNKGYLLRTRSNQHLSEVCERACAALRDESASGSSHRKRIGLAPRKAPSDQRTPPGRIRHRQVLEDASPDTWYHDATKLKTYELACPGVLLSALIPGFVPDALLAEKEYYKSCNEALYQVVKDLVKEILATRVWVHKLAQPSLAPAKGSASGQRRLAEQSVGSPKRGEAQQQLEQVKHCIEGAVPCTKGVKTFLAAMKDSRLFGAARRRLQHLLCAEVAGCLAIIHSSDEHVFKRTVAEEMIQKVEKKVNWLLKEKVADEASGM
+>sp|Q96EQ0|SGTB_HUMAN Small glutamine-rich tetratricopeptide repeat-containing protein beta OS=Homo sapiens OX=9606 GN=SGTB PE=1 SV=1
+MSSIKHLVYAVIRFLREQSQMDTYTSDEQESLEVAIQCLETVFKISPEDTHLAVSQPLTEMFTSSFCKNDVLPLSNSVPEDVGKADQLKDEGNNHMKEENYAAAVDCYTQAIELDPNNAVYYCNRAAAQSKLGHYTDAIKDCEKAIAIDSKYSKAYGRMGLALTALNKFEEAVTSYQKALDLDPENDSYKSNLKIAEQKLREVSSPTGTGLSFDMASLINNPAFISMAASLMQNPQVQQLMSGMMTNAIGGPAAGVGGLTDLSSLIQAGQQFAQQIQQQNPELIEQLRNHIRSRSFSSSAEEHS
+>DECOY_sp|Q96EQ0|SGTB_HUMAN Small glutamine-rich tetratricopeptide repeat-containing protein beta OS=Homo sapiens OX=9606 GN=SGTB PE=1 SV=1
+SHEEASSSFSRSRIHNRLQEILEPNQQQIQQAFQQGAQILSSLDTLGGVGAAPGGIANTMMGSMLQQVQPNQMLSAAMSIFAPNNILSAMDFSLGTGTPSSVERLKQEAIKLNSKYSDNEPDLDLAKQYSTVAEEFKNLATLALGMRGYAKSYKSDIAIAKECDKIADTYHGLKSQAAARNCYYVANNPDLEIAQTYCDVAAAYNEEKMHNNGEDKLQDAKGVDEPVSNSLPLVDNKCFSSTFMETLPQSVALHTDEPSIKFVTELCQIAVELSEQEDSTYTDMQSQERLFRIVAYVLHKISSM
+>sp|O60880|SH21A_HUMAN SH2 domain-containing protein 1A OS=Homo sapiens OX=9606 GN=SH2D1A PE=1 SV=1
+MDAVAVYHGKISRETGEKLLLATGLDGSYLLRDSESVPGVYCLCVLYHGYIYTYRVSQTETGSWSAETAPGVHKRYFRKIKNLISAFQKPDQGIVIPLQYPVEKKSSARSTQGTTGIREDPDVCLKAP
+>DECOY_sp|O60880|SH21A_HUMAN SH2 domain-containing protein 1A OS=Homo sapiens OX=9606 GN=SH2D1A PE=1 SV=1
+PAKLCVDPDERIGTTGQTSRASSKKEVPYQLPIVIGQDPKQFASILNKIKRFYRKHVGPATEASWSGTETQSVRYTYIYGHYLVCLCYVGPVSESDRLLYSGDLGTALLLKEGTERSIKGHYVAVADM
+>sp|P55822|SH3BG_HUMAN SH3 domain-binding glutamic acid-rich protein OS=Homo sapiens OX=9606 GN=SH3BGR PE=2 SV=3
+MPLLLLGETEPLKLERDCRSPVDPWAAASPDLALACLCHCQDLSSGAFPDRGVLGGVLFPTVEMVIKVFVATSSGSIAIRKKQQEVVGFLEANKIDFKELDIAGDEDNRRWMRENVPGEKKPQNGIPLPPQIFNEEQYCGDFDSFFSAKEENIIYSFLGLAPPPDSKGSEKAEEGGETEAQKEGSEDVGNLPEAQEKNEEEGETATEETEEIAMEGAEGEAEEEEETAEGEEPGEDEDS
+>DECOY_sp|P55822|SH3BG_HUMAN SH3 domain-binding glutamic acid-rich protein OS=Homo sapiens OX=9606 GN=SH3BGR PE=2 SV=3
+SDEDEGPEEGEATEEEEEAEGEAGEMAIEETEETATEGEEENKEQAEPLNGVDESGEKQAETEGGEEAKESGKSDPPPALGLFSYIINEEKASFFSDFDGCYQEENFIQPPLPIGNQPKKEGPVNERMWRRNDEDGAIDLEKFDIKNAELFGVVEQQKKRIAISGSSTAVFVKIVMEVTPFLVGGLVGRDPFAGSSLDQCHCLCALALDPSAAAWPDVPSRCDRELKLPETEGLLLLPM
+>sp|Q99962|SH3G2_HUMAN Endophilin-A1 OS=Homo sapiens OX=9606 GN=SH3GL2 PE=1 SV=1
+MSVAGLKKQFHKATQKVSEKVGGAEGTKLDDDFKEMERKVDVTSRAVMEIMTKTIEYLQPNPASRAKLSMINTMSKIRGQEKGPGYPQAEALLAEAMLKFGRELGDDCNFGPALGEVGEAMRELSEVKDSLDIEVKQNFIDPLQNLHDKDLREIQHHLKKLEGRRLDFDYKKKRQGKIPDEELRQALEKFDESKEIAESSMFNLLEMDIEQVSQLSALVQAQLEYHKQAVQILQQVTVRLEERIRQASSQPRREYQPKPRMSLEFPTGDSTQPNGGLSHTGTPKPSGVQMDQPCCRALYDFEPENEGELGFKEGDIITLTNQIDENWYEGMLHGHSGFFPINYVEILVALPH
+>DECOY_sp|Q99962|SH3G2_HUMAN Endophilin-A1 OS=Homo sapiens OX=9606 GN=SH3GL2 PE=1 SV=1
+HPLAVLIEVYNIPFFGSHGHLMGEYWNEDIQNTLTIIDGEKFGLEGENEPEFDYLARCCPQDMQVGSPKPTGTHSLGGNPQTSDGTPFELSMRPKPQYERRPQSSAQRIREELRVTVQQLIQVAQKHYELQAQVLASLQSVQEIDMELLNFMSSEAIEKSEDFKELAQRLEEDPIKGQRKKKYDFDLRRGELKKLHHQIERLDKDHLNQLPDIFNQKVEIDLSDKVESLERMAEGVEGLAPGFNCDDGLERGFKLMAEALLAEAQPYGPGKEQGRIKSMTNIMSLKARSAPNPQLYEITKTMIEMVARSTVDVKREMEKFDDDLKTGEAGGVKESVKQTAKHFQKKLGAVSM
+>sp|O75368|SH3L1_HUMAN SH3 domain-binding glutamic acid-rich-like protein OS=Homo sapiens OX=9606 GN=SH3BGRL PE=1 SV=1
+MVIRVYIASSSGSTAIKKKQQDVLGFLEANKIGFEEKDIAANEENRKWMRENVPENSRPATGYPLPPQIFNESQYRGDYDAFFEARENNAVYAFLGLTAPPGSKEAEVQAKQQA
+>DECOY_sp|O75368|SH3L1_HUMAN SH3 domain-binding glutamic acid-rich-like protein OS=Homo sapiens OX=9606 GN=SH3BGRL PE=1 SV=1
+AQQKAQVEAEKSGPPATLGLFAYVANNERAEFFADYDGRYQSENFIQPPLPYGTAPRSNEPVNERMWKRNEENAAIDKEEFGIKNAELFGLVDQQKKKIATSGSSSAIYVRIVM
+>sp|Q8TEJ3|SH3R3_HUMAN SH3 domain-containing RING finger protein 3 OS=Homo sapiens OX=9606 GN=SH3RF3 PE=1 SV=2
+MLLGASWLCASKAAAAAAQSEGDEDRPGERRRRRAAATAAGAGEDMDESSLLDLLECSVCLERLDTTAKVLPCQHTFCRRCLESIVCSRHELRCPECRILVGCGVDELPANILLVRLLDGIRQRPRAGTSPGGSPPARPIPGQSAAPTLAGGGGGAAGSTPGSPVFLSAAAGSTAGSLRELATSRTAPAAKNPCLLPYGKALYSYEGKEPGDLKFNKGDIIVLRRKVDEQWYHGELHGTQGFLPASYIQCIQPLPHAPPQGKALYDFEMKDKDQDKDCLTFTKDEILTVLRRVDENWAEGMLGDKIGIFPLLYVELNDSAKQLIEMDKPCPAAASSCNASLPSDSGAVASVAPSPTLSSSGAVSAFQRRVDGKKNTKKRHSFTALSVTHRSSQAASHRHSMEISAPVLISSSDPRAAARIGDLAHLSCAAPTQDVSSSAGSTPTAVPRAASVSGEQGTPPKVQLPLNVYLALYAYKPQKSDELELHKGEMYRVLEKCQDGWFKGASLRTGVSGVFPGNYVTPVSRVPAGGAGPPRNNVVGGSPLAKGITTTMHPGSGSLSSLATATRPALPITTPQAHAQHPTASPPTGSCLRHSAQPTASQARSTISTAAHSAAQAQDRPTATVSPLRTQNSPSRLPATSLRPHSVVSPQHSHQPPVQMCPRPAIPLTSAASAITPPNVSAANLNGEAGGGPIGVLSTSSPTNTGCKLDEKKSEKKEKKSGLLKLLAGASTKKKSRSPPSVSPTHDPQVAVDALLQGAVGPEVSSLSIHGRAGSCPIESEMQGAMGMEPLHRKAGSLDLNFTSPSRQAPLSMAAIRPEPKLLPRERYRVVVSYPPQSEAEIELKEGDIVFVHKKREDGWYKGTLQRNGRTGLFPGSFVESF
+>DECOY_sp|Q8TEJ3|SH3R3_HUMAN SH3 domain-containing RING finger protein 3 OS=Homo sapiens OX=9606 GN=SH3RF3 PE=1 SV=2
+FSEVFSGPFLGTRGNRQLTGKYWGDERKKHVFVIDGEKLEIEAESQPPYSVVVRYRERPLLKPEPRIAAMSLPAQRSPSTFNLDLSGAKRHLPEMGMAGQMESEIPCSGARGHISLSSVEPGVAGQLLADVAVQPDHTPSVSPPSRSKKKTSAGALLKLLGSKKEKKESKKEDLKCGTNTPSSTSLVGIPGGGAEGNLNAASVNPPTIASAASTLPIAPRPCMQVPPQHSHQPSVVSHPRLSTAPLRSPSNQTRLPSVTATPRDQAQAASHAATSITSRAQSATPQASHRLCSGTPPSATPHQAHAQPTTIPLAPRTATALSSLSGSGPHMTTTIGKALPSGGVVNNRPPGAGGAPVRSVPTVYNGPFVGSVGTRLSAGKFWGDQCKELVRYMEGKHLELEDSKQPKYAYLALYVNLPLQVKPPTGQEGSVSAARPVATPTSGASSSVDQTPAACSLHALDGIRAAARPDSSSILVPASIEMSHRHSAAQSSRHTVSLATFSHRKKTNKKGDVRRQFASVAGSSSLTPSPAVSAVAGSDSPLSANCSSAAAPCPKDMEILQKASDNLEVYLLPFIGIKDGLMGEAWNEDVRRLVTLIEDKTFTLCDKDQDKDKMEFDYLAKGQPPAHPLPQICQIYSAPLFGQTGHLEGHYWQEDVKRRLVIIDGKNFKLDGPEKGEYSYLAKGYPLLCPNKAAPATRSTALERLSGATSGAAASLFVPSGPTSGAAGGGGGALTPAASQGPIPRAPPSGGPSTGARPRQRIGDLLRVLLINAPLEDVGCGVLIRCEPCRLEHRSCVISELCRRCFTHQCPLVKATTDLRELCVSCELLDLLSSEDMDEGAGAATAAARRRRREGPRDEDGESQAAAAAAKSACLWSAGLLM
+>sp|Q9Y566|SHAN1_HUMAN SH3 and multiple ankyrin repeat domains protein 1 OS=Homo sapiens OX=9606 GN=SHANK1 PE=1 SV=2
+MTHSPATSEDEERHSASECPEGGSESDSSPDGPGRGPRGTRGQGSGAPGSLASVRGLQGRSMSVPDDAHFSMMVFRIGIPDLHQTKCLRFNPDATIWTAKQQVLCALSESLQDVLNYGLFQPATSGRDANFLEEERLLREYPQSFEKGVPYLEFRYKTRVYKQTNLDEKQLAKLHTKTGLKKFLEYVQLGTSDKVARLLDKGLDPNYHDSDSGETPLTLAAQTEGSVEVIRTLCLGGAHIDFRARDGMTALHKAACARHCLALTALLDLGGSPNYKDRRGLTPLFHTAMVGGDPRCCELLLFNRAQLGIADENGWQEIHQACQRGHSQHLEHLLFYGAEPGAQNASGNTALHICALYNKETCARILLYRGADKDVKNNNGQTPFQVAVIAGNFELGELIRNHREQDVVPFQESPKYAARRRGPPGTGLTVPPALLRANSDTSMALPDWMVFSAPGAASSGAPGPTSGSQGQSQPSAPTTKLSSGTLRSASSPRGARARSPSRGRHPEDAKRQPRGRPSSSGTPREGPAGGTGGSGGPGGSLGSRGRRRKLYSAVPGRSFMAVKSYQAQAEGEISLSKGEKIKVLSIGEGGFWEGQVKGRVGWFPSDCLEEVANRSQESKQESRSDKAKRLFRHYTVGSYDSFDAPSLMDGIGPGSDYIIKEKTVLLQKKDSEGFGFVLRGAKAQTPIEEFTPTPAFPALQYLESVDEGGVAWRAGLRMGDFLIEVNGQNVVKVGHRQVVNMIRQGGNTLMVKVVMVTRHPDMDEAVHKKAPQQAKRLPPPTISLRSKSMTSELEEMEYEQQPAPVPSMEKKRTVYQMALNKLDEILAAAQQTISASESPGPGGLASLGKHRPKGFFATESSFDPHHRAQPSYERPSFLPPGPGLMLRQKSIGAAEDDRPYLAPPAMKFSRSLSVPGSEDIPPPPTTSPPEPPYSTPPVPSSSGRLTPSPRGGPFNPGSGGPLPASSPASFDGPSPPDTRVGSREKSLYHSGPLPPAHHHPPHHHHHHAPPPQPHHHHAHPPHPPEMETGGSPDDPPPRLALGPQPSLRGWRGGGPSPTPGAPSPSHHGSAGGGGGSSQGPALRYFQLPPRAASAAMYVPARSGRGRKGPLVKQTKVEGEPQKGGGLPPAPSPTSPASPQPPPAVAAPSEKNSIPIPTIIIKAPSTSSSGRSSQGSSTEAEPPTQPEPTGGGGGGGSSPSPAPAMSPVPPSPSPVPTPASPSGPATLDFTSQFGAALVGAARREGGWQNEARRRSTLFLSTDAGDEDGGDGGLGTGAAPGPRLRHSKSIDEGMFSAEPYLRLESAGSGAGYGGYGAGSRAYGGGGGSSAFTSFLPPRPLVHPLTGKALDPASPLGLALAARERALKESSEGGGAPQPPPRPPSPRYEAPPPTPHHHSPHAHHEPVLRLWGASPPDPARRELGYRAGLGSQEKSLPASPPAARRSLLHRLPPTAPGVGPLLLQLGTEPPAPHPGVSKPWRSAAPEEPERLPLHVRFLENCQPRAPVTSGRGPPSEDGPGVPPPSPRRSVPPSPTSPRASEENGLPLLVLPPPAPSVDVEDGEFLFVEPLPPPLEFSNSFEKPESPLTPGPPHPLPDTPAPATPLPPVPPPAVAAAPPTLDSTASSLTSYDSEVATLTQGASAAPGDPHPPGPPAPAAPAPAAPQPGPDPPPGTDSGIEEVDSRSSSDHPLETISSASTLSSLSAEGGGSAGGGGGAGAGVASGPELLDTYVAYLDGQAFGGSSTPGPPYPPQLMTPSKLRGRALGASGGLRPGPSGGLRDPVTPTSPTVSVTGAGTDGLLALRACSGPPTAGVAGGPVAVEPEVPPVPLPTASSLPRKLLPWEEGPGPPPPPLPGPLAQPQASALATVKASIISELSSKLQQFGGSSAAGGALPWARGGSGGGGDSHHGGASYVPERTSSLQRQRLSDDSQSSLLSKPVSSLFQNWPKPPLPPLPTGTGVSPTAAAAPGATSPSASSSSTSTRHLQGVEFEMRPPLLRRAPSPSLLPASEHKVSPAPRPSSLPILPSGPLYPGLFDIRGSPTGGAGGSADPFAPVFVPPHPGISGGLGGALSGASRSLSPTRLLSLPPDKPFGAKPLGFWTKFDVADWLEWLGLAEHRAQFLDHEIDGSHLPALTKEDYVDLGVTRVGHRMNIDRALKFFLER
+>DECOY_sp|Q9Y566|SHAN1_HUMAN SH3 and multiple ankyrin repeat domains protein 1 OS=Homo sapiens OX=9606 GN=SHANK1 PE=1 SV=2
+RELFFKLARDINMRHGVRTVGLDVYDEKTLAPLHSGDIEHDLFQARHEALGLWELWDAVDFKTWFGLPKAGFPKDPPLSLLRTPSLSRSAGSLAGGLGGSIGPHPPVFVPAFPDASGGAGGTPSGRIDFLGPYLPGSPLIPLSSPRPAPSVKHESAPLLSPSPARRLLPPRMEFEVGQLHRTSTSSSSASPSTAGPAAAATPSVGTGTPLPPLPPKPWNQFLSSVPKSLLSSQSDDSLRQRQLSSTREPVYSAGGHHSDGGGGSGGRAWPLAGGAASSGGFQQLKSSLESIISAKVTALASAQPQALPGPLPPPPPGPGEEWPLLKRPLSSATPLPVPPVEPEVAVPGGAVGATPPGSCARLALLGDTGAGTVSVTPSTPTVPDRLGGSPGPRLGGSAGLARGRLKSPTMLQPPYPPGPTSSGGFAQGDLYAVYTDLLEPGSAVGAGAGGGGGASGGGEASLSSLTSASSITELPHDSSSRSDVEEIGSDTGPPPDPGPQPAAPAPAAPAPPGPPHPDGPAASAGQTLTAVESDYSTLSSATSDLTPPAAAVAPPPVPPLPTAPAPTDPLPHPPGPTLPSEPKEFSNSFELPPPLPEVFLFEGDEVDVSPAPPPLVLLPLGNEESARPSTPSPPVSRRPSPPPVGPGDESPPGRGSTVPARPQCNELFRVHLPLREPEEPAASRWPKSVGPHPAPPETGLQLLLPGVGPATPPLRHLLSRRAAPPSAPLSKEQSGLGARYGLERRAPDPPSAGWLRLVPEHHAHPSHHHPTPPPAEYRPSPPRPPPQPAGGGESSEKLARERAALALGLPSAPDLAKGTLPHVLPRPPLFSTFASSGGGGGYARSGAGYGGYGAGSGASELRLYPEASFMGEDISKSHRLRPGPAAGTGLGGDGGDEDGADTSLFLTSRRRAENQWGGERRAAGVLAAGFQSTFDLTAPGSPSAPTPVPSPSPPVPSMAPAPSPSSGGGGGGGTPEPQTPPEAETSSGQSSRGSSSTSPAKIIITPIPISNKESPAAVAPPPQPSAPSTPSPAPPLGGGKQPEGEVKTQKVLPGKRGRGSRAPVYMAASAARPPLQFYRLAPGQSSGGGGGASGHHSPSPAGPTPSPGGGRWGRLSPQPGLALRPPPDDPSGGTEMEPPHPPHAHHHHPQPPPAHHHHHHPPHHHAPPLPGSHYLSKERSGVRTDPPSPGDFSAPSSAPLPGGSGPNFPGGRPSPTLRGSSSPVPPTSYPPEPPSTTPPPPIDESGPVSLSRSFKMAPPALYPRDDEAAGISKQRLMLGPGPPLFSPREYSPQARHHPDFSSETAFFGKPRHKGLSALGGPGPSESASITQQAAALIEDLKNLAMQYVTRKKEMSPVPAPQQEYEMEELESTMSKSRLSITPPPLRKAQQPAKKHVAEDMDPHRTVMVVKVMLTNGGQRIMNVVQRHGVKVVNQGNVEILFDGMRLGARWAVGGEDVSELYQLAPFAPTPTFEEIPTQAKAGRLVFGFGESDKKQLLVTKEKIIYDSGPGIGDMLSPADFSDYSGVTYHRFLRKAKDSRSEQKSEQSRNAVEELCDSPFWGVRGKVQGEWFGGEGISLVKIKEGKSLSIEGEAQAQYSKVAMFSRGPVASYLKRRRGRSGLSGGPGGSGGTGGAPGERPTGSSSPRGRPQRKADEPHRGRSPSRARAGRPSSASRLTGSSLKTTPASPQSQGQSGSTPGPAGSSAAGPASFVMWDPLAMSTDSNARLLAPPVTLGTGPPGRRRAAYKPSEQFPVVDQERHNRILEGLEFNGAIVAVQFPTQGNNNKVDKDAGRYLLIRACTEKNYLACIHLATNGSANQAGPEAGYFLLHELHQSHGRQCAQHIEQWGNEDAIGLQARNFLLLECCRPDGGVMATHFLPTLGRRDKYNPSGGLDLLATLALCHRACAAKHLATMGDRARFDIHAGGLCLTRIVEVSGETQAALTLPTEGSDSDHYNPDLGKDLLRAVKDSTGLQVYELFKKLGTKTHLKALQKEDLNTQKYVRTKYRFELYPVGKEFSQPYERLLREEELFNADRGSTAPQFLGYNLVDQLSESLACLVQQKATWITADPNFRLCKTQHLDPIGIRFVMMSFHADDPVSMSRGQLGRVSALSGPAGSGQGRTGRPGRGPGDPSSDSESGGEPCESASHREEDESTAPSHTM
+>sp|Q9BYB0|SHAN3_HUMAN SH3 and multiple ankyrin repeat domains protein 3 OS=Homo sapiens OX=9606 GN=SHANK3 PE=1 SV=3
+MDGPGASAVVVRVGIPDLQQTKCLRLDPAAPVWAAKQRVLCALNHSLQDALNYGLFQPPSRGRAGKFLDEERLLQEYPPNLDTPLPYLEFRYKRRVYAQNLIDDKQFAKLHTKANLKKFMDYVQLHSTDKVARLLDKGLDPNFHDPDSGECPLSLAAQLDNATDLLKVLKNGGAHLDFRTRDGLTAVHCATRQRNAAALTTLLDLGASPDYKDSRGLTPLYHSALGGGDALCCELLLHDHAQLGITDENGWQEIHQACRFGHVQHLEHLLFYGADMGAQNASGNTALHICALYNQESCARVLLFRGANRDVRNYNSQTAFQVAIIAGNFELAEVIKTHKDSDVVPFRETPSYAKRRRLAGPSGLASPRPLQRSASDINLKGEAQPAASPGPSLRSLPHQLLLQRLQEEKDRDRDADQESNISGPLAGRAGQSKISPSGPGGPGPAPGPGPAPPAPPAPPPRGPKRKLYSAVPGRKFIAVKAHSPQGEGEIPLHRGEAVKVLSIGEGGFWEGTVKGRTGWFPADCVEEVQMRQHDTRPETREDRTKRLFRHYTVGSYDSLTSHSDYVIDDKVAVLQKRDHEGFGFVLRGAKAETPIEEFTPTPAFPALQYLESVDVEGVAWRAGLRTGDFLIEVNGVNVVKVGHKQVVALIRQGGNRLVMKVVSVTRKPEEDGARRRAPPPPKRAPSTTLTLRSKSMTAELEELASIRRRKGEKLDEMLAAAAEPTLRPDIADADSRAATVKQRPTSRRITPAEISSLFERQGLPGPEKLPGSLRKGIPRTKSVGEDEKLASLLEGRFPRSTSMQDPVREGRGIPPPPQTAPPPPPAPYYFDSGPPPAFSPPPPPGRAYDTVRSSFKPGLEARLGAGAAGLYEPGAALGPLPYPERQKRARSMIILQDSAPESGDAPRPPPAATPPERPKRRPRPPGPDSPYANLGAFSASLFAPSKPQRRKSPLVKQLQVEDAQERAALAVGSPGPGGGSFAREPSPTHRGPRPGGLDYGAGDGPGLAFGGPGPAKDRRLEERRRSTVFLSVGAIEGSAPGADLPSLQPSRSIDERLLGTGPTAGRDLLLPSPVSALKPLVSGPSLGPSGSTFIHPLTGKPLDPSSPLALALAARERALASQAPSRSPTPVHSPDADRPGPLFVDVQARDPERGSLASPAFSPRSPAWIPVPARREAEKVPREERKSPEDKKSMILSVLDTSLQRPAGLIVVHATSNGQEPSRLGGAEEERPGTPELAPAPMQSAAVAEPLPSPRAQPPGGTPADAGPGQGSSEEEPELVFAVNLPPAQLSSSDEETREELARIGLVPPPEEFANGVLLATPLAGPGPSPTTVPSPASGKPSSEPPPAPESAADSGVEEADTRSSSDPHLETTSTISTVSSMSTLSSESGELTDTHTSFADGHTFLLEKPPVPPKPKLKSPLGKGPVTFRDPLLKQSSDSELMAQQHHAASAGLASAAGPARPRYLFQRRSKLWGDPVESRGLPGPEDDKPTVISELSSRLQQLNKDTRSLGEEPVGGLGSLLDPAKKSPIAAARLFSSLGELSSISAQRSPGGPGGGASYSVRPSGRYPVARRAPSPVKPASLERVEGLGAGAGGAGRPFGLTPPTILKSSSLSIPHEPKEVRFVVRSVSARSRSPSPSPLPSPASGPGPGAPGPRRPFQQKPLQLWSKFDVGDWLESIHLGEHRDRFEDHEIEGAHLPALTKDDFVELGVTRVGHRMNIERALRQLDGS
+>DECOY_sp|Q9BYB0|SHAN3_HUMAN SH3 and multiple ankyrin repeat domains protein 3 OS=Homo sapiens OX=9606 GN=SHANK3 PE=1 SV=3
+SGDLQRLAREINMRHGVRTVGLEVFDDKTLAPLHAGEIEHDEFRDRHEGLHISELWDGVDFKSWLQLPKQQFPRRPGPAGPGPGSAPSPLPSPSPSRSRASVSRVVFRVEKPEHPISLSSSKLITPPTLGFPRGAGGAGAGLGEVRELSAPKVPSPARRAVPYRGSPRVSYSAGGGPGGPSRQASISSLEGLSSFLRAAAIPSKKAPDLLSGLGGVPEEGLSRTDKNLQQLRSSLESIVTPKDDEPGPLGRSEVPDGWLKSRRQFLYRPRAPGAASALGASAAHHQQAMLESDSSQKLLPDRFTVPGKGLPSKLKPKPPVPPKELLFTHGDAFSTHTDTLEGSESSLTSMSSVTSITSTTELHPDSSSRTDAEEVGSDAASEPAPPPESSPKGSAPSPVTTPSPGPGALPTALLVGNAFEEPPPVLGIRALEERTEEDSSSLQAPPLNVAFVLEPEEESSGQGPGADAPTGGPPQARPSPLPEAVAASQMPAPALEPTGPREEEAGGLRSPEQGNSTAHVVILGAPRQLSTDLVSLIMSKKDEPSKREERPVKEAERRAPVPIWAPSRPSFAPSALSGREPDRAQVDVFLPGPRDADPSHVPTPSRSPAQSALARERAALALALPSSPDLPKGTLPHIFTSGSPGLSPGSVLPKLASVPSPLLLDRGATPGTGLLREDISRSPQLSPLDAGPASGEIAGVSLFVTSRRREELRRDKAPGPGGFALGPGDGAGYDLGGPRPGRHTPSPERAFSGGGPGPSGVALAAREQADEVQLQKVLPSKRRQPKSPAFLSASFAGLNAYPSDPGPPRPRRKPREPPTAAPPPRPADGSEPASDQLIIMSRARKQREPYPLPGLAAGPEYLGAAGAGLRAELGPKFSSRVTDYARGPPPPPSFAPPPGSDFYYPAPPPPPATQPPPPIGRGERVPDQMSTSRPFRGELLSALKEDEGVSKTRPIGKRLSGPLKEPGPLGQREFLSSIEAPTIRRSTPRQKVTAARSDADAIDPRLTPEAAAALMEDLKEGKRRRISALEELEATMSKSRLTLTTSPARKPPPPARRRAGDEEPKRTVSVVKMVLRNGGQRILAVVQKHGVKVVNVGNVEILFDGTRLGARWAVGEVDVSELYQLAPFAPTPTFEEIPTEAKAGRLVFGFGEHDRKQLVAVKDDIVYDSHSTLSDYSGVTYHRFLRKTRDERTEPRTDHQRMQVEEVCDAPFWGTRGKVTGEWFGGEGISLVKVAEGRHLPIEGEGQPSHAKVAIFKRGPVASYLKRKPGRPPPAPPAPPAPGPGPAPGPGGPGSPSIKSQGARGALPGSINSEQDADRDRDKEEQLRQLLLQHPLSRLSPGPSAAPQAEGKLNIDSASRQLPRPSALGSPGALRRRKAYSPTERFPVVDSDKHTKIVEALEFNGAIIAVQFATQSNYNRVDRNAGRFLLVRACSEQNYLACIHLATNGSANQAGMDAGYFLLHELHQVHGFRCAQHIEQWGNEDTIGLQAHDHLLLECCLADGGGLASHYLPTLGRSDKYDPSAGLDLLTTLAAANRQRTACHVATLGDRTRFDLHAGGNKLVKLLDTANDLQAALSLPCEGSDPDHFNPDLGKDLLRAVKDTSHLQVYDMFKKLNAKTHLKAFQKDDILNQAYVRRKYRFELYPLPTDLNPPYEQLLREEDLFKGARGRSPPQFLGYNLADQLSHNLACLVRQKAAWVPAAPDLRLCKTQQLDPIGVRVVVASAGPGDM
+>sp|Q8IW03|SIAH3_HUMAN Seven in absentia homolog 3 OS=Homo sapiens OX=9606 GN=SIAH3 PE=2 SV=3
+MLFFTQCFGAVLDLIHLRFQHYKAKRVFSAAGQLVCVVNPTHNLKYVSSRRAVTQSAPEQGSFHPHHLSHHHCHHRHHHHLRHHAHPHHLHHQEAGLHANPVTPCLCMCPLFSCQWEGRLEVVVPHLRQIHRVDILQGAEIVFLATDMHLPAPADWIIMHSCLGHHFLLVLRKQERHEGHPQFFATMMLIGTPTQADCFTYRLELNRNHRRLKWEATPRSVLECVDSVITDGDCLVLNTSLAQLFSDNGSLAIGIAITATEVLPSEAEM
+>DECOY_sp|Q8IW03|SIAH3_HUMAN Seven in absentia homolog 3 OS=Homo sapiens OX=9606 GN=SIAH3 PE=2 SV=3
+MEAESPLVETATIAIGIALSGNDSFLQALSTNLVLCDGDTIVSDVCELVSRPTAEWKLRRHNRNLELRYTFCDAQTPTGILMMTAFFQPHGEHREQKRLVLLFHHGLCSHMIIWDAPAPLHMDTALFVIEAGQLIDVRHIQRLHPVVVELRGEWQCSFLPCMCLCPTVPNAHLGAEQHHLHHPHAHHRLHHHHRHHCHHHSLHHPHFSGQEPASQTVARRSSVYKLNHTPNVVCVLQGAASFVRKAKYHQFRLHILDLVAGFCQTFFLM
+>sp|Q9NYZ4|SIGL8_HUMAN Sialic acid-binding Ig-like lectin 8 OS=Homo sapiens OX=9606 GN=SIGLEC8 PE=1 SV=2
+MLLLLLLLPLLWGTKGMEGDRQYGDGYLLQVQELVTVQEGLCVHVPCSFSYPQDGWTDSDPVHGYWFRAGDRPYQDAPVATNNPDREVQAETQGRFQLLGDIWSNDCSLSIRDARKRDKGSYFFRLERGSMKWSYKSQLNYKTKQLSVFVTALTHRPDILILGTLESGHSRNLTCSVPWACKQGTPPMISWIGASVSSPGPTTARSSVLTLTPKPQDHGTSLTCQVTLPGTGVTTTSTVRLDVSYPPWNLTMTVFQGDATASTALGNGSSLSVLEGQSLRLVCAVNSNPPARLSWTRGSLTLCPSRSSNPGLLELPRVHVRDEGEFTCRAQNAQGSQHISLSLSLQNEGTGTSRPVSQVTLAAVGGAGATALAFLSFCIIFIIVRSCRKKSARPAAGVGDTGMEDAKAIRGSASQGPLTESWKDGNPLKKPPPAVAPSSGEEGELHYATLSFHKVKPQDPQGQEATDSEYSEIKIHKRETAETQACLRNHNPSSKEVRG
+>DECOY_sp|Q9NYZ4|SIGL8_HUMAN Sialic acid-binding Ig-like lectin 8 OS=Homo sapiens OX=9606 GN=SIGLEC8 PE=1 SV=2
+GRVEKSSPNHNRLCAQTEATERKHIKIESYESDTAEQGQPDQPKVKHFSLTAYHLEGEEGSSPAVAPPPKKLPNGDKWSETLPGQSASGRIAKADEMGTDGVGAAPRASKKRCSRVIIFIICFSLFALATAGAGGVAALTVQSVPRSTGTGENQLSLSLSIHQSGQANQARCTFEGEDRVHVRPLELLGPNSSRSPCLTLSGRTWSLRAPPNSNVACVLRLSQGELVSLSSGNGLATSATADGQFVTMTLNWPPYSVDLRVTSTTTVGTGPLTVQCTLSTGHDQPKPTLTLVSSRATTPGPSSVSAGIWSIMPPTGQKCAWPVSCTLNRSHGSELTGLILIDPRHTLATVFVSLQKTKYNLQSKYSWKMSGRELRFFYSGKDRKRADRISLSCDNSWIDGLLQFRGQTEAQVERDPNNTAVPADQYPRDGARFWYGHVPDSDTWGDQPYSFSCPVHVCLGEQVTVLEQVQLLYGDGYQRDGEMGKTGWLLPLLLLLLLM
+>sp|A0A0B4J2F2|SIK1B_HUMAN Probable serine/threonine-protein kinase SIK1B OS=Homo sapiens OX=9606 GN=SIK1B PE=3 SV=1
+MVIMSEFSADPAGQGQGQQKPLRVGFYDIERTLGKGNFAVVKLARHRVTKTQVAIKIIDKTRLDSSNLEKIYREVQLMKLLNHPHIIKLYQVMETKDMLYIVTEFAKNGEMFDYLTSNGHLSENEARKKFWQILSAVEYCHDHHIVHRDLKTENLLLDGNMDIKLADFGFGNFYKSGEPLSTWCGSPPYAAPEVFEGKEYEGPQLDIWSLGVVLYVLVCGSLPFDGPNLPTLRQRVLEGRFRIPFFMSQDCESLIRRMLVVDPARRITIAQIRQHRWMRAEPCLPGPACPAFSAHSYTSNLGDYDEQALGIMQTLGVDRQRTVESLQNSSYNHFAAIYYLLLERLKEYRNAQCARPGPARQPRPRSSDLSGLEVPQEGLSTDPFRPALLCPQPQTLVQSVLQAEMDCELQSSLQWPLFFPVDASCSGVFRPRPVSPSSLLDTAISEEARQGPGLEEEQDTQESLPSSTGRRHTLAEVSTRLSPLTAPCIVVSPSTTASPAEGTSSDSCLTFSASKSPAGLSGTPATQGLLGACSPVRLASPFLGSQSATPVLQAQGGLGGAVLLPVSFQEGRRASDTSLTQGLKAFRQQLRKTTRTKGFLGLNKIKGLARQVCQVPASRASRGGLSPFHAPAQSPGLHGGAAGSREGWSLLEEVLEQQRLLQLQHHPAAAPGCSQAPQPAPAPFVIAPCDGPGAAPLPSTLLTSGLPLLPPPLLQTGASPVASAAQLLDTHLHIGTGPTALPAVPPPRLARLAPGCEPLGLLQGDCEMEDLMPCSLGTFVLVQ
+>DECOY_sp|A0A0B4J2F2|SIK1B_HUMAN Probable serine/threonine-protein kinase SIK1B OS=Homo sapiens OX=9606 GN=SIK1B PE=3 SV=1
+QVLVFTGLSCPMLDEMECDGQLLGLPECGPALRALRPPPVAPLATPGTGIHLHTDLLQAASAVPSAGTQLLPPPLLPLGSTLLTSPLPAAGPGDCPAIVFPAPAPQPAQSCGPAAAPHHQLQLLRQQELVEELLSWGERSGAAGGHLGPSQAPAHFPSLGGRSARSAPVQCVQRALGKIKNLGLFGKTRTTKRLQQRFAKLGQTLSTDSARRGEQFSVPLLVAGGLGGQAQLVPTASQSGLFPSALRVPSCAGLLGQTAPTGSLGAPSKSASFTLCSDSSTGEAPSATTSPSVVICPATLPSLRTSVEALTHRRGTSSPLSEQTDQEEELGPGQRAEESIATDLLSSPSVPRPRFVGSCSADVPFFLPWQLSSQLECDMEAQLVSQVLTQPQPCLLAPRFPDTSLGEQPVELGSLDSSRPRPQRAPGPRACQANRYEKLRELLLYYIAAFHNYSSNQLSEVTRQRDVGLTQMIGLAQEDYDGLNSTYSHASFAPCAPGPLCPEARMWRHQRIQAITIRRAPDVVLMRRILSECDQSMFFPIRFRGELVRQRLTPLNPGDFPLSGCVLVYLVVGLSWIDLQPGEYEKGEFVEPAAYPPSGCWTSLPEGSKYFNGFGFDALKIDMNGDLLLNETKLDRHVIHHDHCYEVASLIQWFKKRAENESLHGNSTLYDFMEGNKAFETVIYLMDKTEMVQYLKIIHPHNLLKMLQVERYIKELNSSDLRTKDIIKIAVQTKTVRHRALKVVAFNGKGLTREIDYFGVRLPKQQGQGQGAPDASFESMIVM
+>sp|A0A1B0GW64|SIM33_HUMAN Small integral membrane protein 33 OS=Homo sapiens OX=9606 GN=SMIM33 PE=3 SV=1
+MHQAGHYSWPSPAVNSSSEQEPQRQLPEVLSGTWEQPRVDGLPVVTVIVAVFVLLAVCIIVAVHFGPRLHQGHATLPTEPPTPKPDGGIYLIHWRVLGPQDSPEEAPPGPLVPGSCPAPDGPRPSIDEVTCL
+>DECOY_sp|A0A1B0GW64|SIM33_HUMAN Small integral membrane protein 33 OS=Homo sapiens OX=9606 GN=SMIM33 PE=3 SV=1
+LCTVEDISPRPGDPAPCSGPVLPGPPAEEPSDQPGLVRWHILYIGGDPKPTPPETPLTAHGQHLRPGFHVAVIICVALLVFVAVIVTVVPLGDVRPQEWTGSLVEPLQRQPEQESSSNVAPSPWSYHGAQHM
+>sp|A0A1B0GVT2|SIM36_HUMAN Small integral membrane protein 36 OS=Homo sapiens OX=9606 GN=SMIM36 PE=3 SV=1
+MEFYLEIDPVTLNLIILVASYVILLLVFLISCVLYDCRGKDPSKEYAPEATLEAQPSIRLVVMHPSVAGPHWPKGPGLSLGDPAPLGKKSTMV
+>DECOY_sp|A0A1B0GVT2|SIM36_HUMAN Small integral membrane protein 36 OS=Homo sapiens OX=9606 GN=SMIM36 PE=3 SV=1
+VMTSKKGLPAPDGLSLGPGKPWHPGAVSPHMVVLRISPQAELTAEPAYEKSPDKGRCDYLVCSILFVLLLIVYSAVLIILNLTVPDIELYFEM
+>sp|Q9P1W8|SIRPG_HUMAN Signal-regulatory protein gamma OS=Homo sapiens OX=9606 GN=SIRPG PE=1 SV=3
+MPVPASWPHPPGPFLLLTLLLGLTEVAGEEELQMIQPEKLLLVTVGKTATLHCTVTSLLPVGPVLWFRGVGPGRELIYNQKEGHFPRVTTVSDLTKRNNMDFSIRISSITPADVGTYYCVKFRKGSPENVEFKSGPGTEMALGAKPSAPVVLGPAARTTPEHTVSFTCESHGFSPRDITLKWFKNGNELSDFQTNVDPTGQSVAYSIRSTARVVLDPWDVRSQVICEVAHVTLQGDPLRGTANLSEAIRVPPTLEVTQQPMRVGNQVNVTCQVRKFYPQSLQLTWSENGNVCQRETASTLTENKDGTYNWTSWFLVNISDQRDDVVLTCQVKHDGQLAVSKRLALEVTVHQKDQSSDATPGPASSLTALLLIAVLLGPIYVPWKQKT
+>DECOY_sp|Q9P1W8|SIRPG_HUMAN Signal-regulatory protein gamma OS=Homo sapiens OX=9606 GN=SIRPG PE=1 SV=3
+TKQKWPVYIPGLLVAILLLATLSSAPGPTADSSQDKQHVTVELALRKSVALQGDHKVQCTLVVDDRQDSINVLFWSTWNYTGDKNETLTSATERQCVNGNESWTLQLSQPYFKRVQCTVNVQNGVRMPQQTVELTPPVRIAESLNATGRLPDGQLTVHAVECIVQSRVDWPDLVVRATSRISYAVSQGTPDVNTQFDSLENGNKFWKLTIDRPSFGHSECTFSVTHEPTTRAAPGLVVPASPKAGLAMETGPGSKFEVNEPSGKRFKVCYYTGVDAPTISSIRISFDMNNRKTLDSVTTVRPFHGEKQNYILERGPGVGRFWLVPGVPLLSTVTCHLTATKGVTVLLLKEPQIMQLEEEGAVETLGLLLTLLLFPGPPHPWSAPVPM
+>sp|Q9Y3P8|SIT1_HUMAN Signaling threshold-regulating transmembrane adapter 1 OS=Homo sapiens OX=9606 GN=SIT1 PE=1 SV=1
+MNQADPRLRAVCLWTLTSAAMSRGDNCTDLLALGIPSITQAWGLWVLLGAVTLLFLISLAAHLSQWTRGRSRSHPGQGRSGESVEEVPLYGNLHYLQTGRLSQDPEPDQQDPTLGGPARAAEEVMCYTSLQLRPPQGRIPGPGTPVKYSEVVLDSEPKSQASGPEPELYASVCAQTRRARASFPDQAYANSQPAAS
+>DECOY_sp|Q9Y3P8|SIT1_HUMAN Signaling threshold-regulating transmembrane adapter 1 OS=Homo sapiens OX=9606 GN=SIT1 PE=1 SV=1
+SAAPQSNAYAQDPFSARARRTQACVSAYLEPEPGSAQSKPESDLVVESYKVPTGPGPIRGQPPRLQLSTYCMVEEAARAPGGLTPDQQDPEPDQSLRGTQLYHLNGYLPVEEVSEGSRGQGPHSRSRGRTWQSLHAALSILFLLTVAGLLVWLGWAQTISPIGLALLDTCNDGRSMAASTLTWLCVARLRPDAQNM
+>sp|O15304|SIVA_HUMAN Apoptosis regulatory protein Siva OS=Homo sapiens OX=9606 GN=SIVA1 PE=1 SV=2
+MPKRSCPFADVAPLQLKVRVSQRELSRGVCAERYSQEVFEKTKRLLFLGAQAYLDHVWDEGCAVVHLPESPKPGPTGAPRAARGQMLIGPDGRLIRSLGQASEADPSGVASIACSSCVRAVDGKAVCGQCERALCGQCVRTCWGCGSVACTLCGLVDCSDMYEKVLCTSCAMFET
+>DECOY_sp|O15304|SIVA_HUMAN Apoptosis regulatory protein Siva OS=Homo sapiens OX=9606 GN=SIVA1 PE=1 SV=2
+TEFMACSTCLVKEYMDSCDVLGCLTCAVSGCGWCTRVCQGCLARECQGCVAKGDVARVCSSCAISAVGSPDAESAQGLSRILRGDPGILMQGRAARPAGTPGPKPSEPLHVVACGEDWVHDLYAQAGLFLLRKTKEFVEQSYREACVGRSLERQSVRVKLQLPAVDAFPCSRKPM
+>sp|Q9NPC8|SIX2_HUMAN Homeobox protein SIX2 OS=Homo sapiens OX=9606 GN=SIX2 PE=1 SV=1
+MSMLPTFGFTQEQVACVCEVLQQGGNIERLGRFLWSLPACEHLHKNESVLKAKAVVAFHRGNFRELYKILESHQFSPHNHAKLQQLWLKAHYIEAEKLRGRPLGAVGKYRVRRKFPLPRSIWDGEETSYCFKEKSRSVLREWYAHNPYPSPREKRELAEATGLTTTQVSNWFKNRRQRDRAAEAKERENNENSNSNSHNPLNGSGKSVLGSSEDEKTPSGTPDHSSSSPALLLSPPPPGLPSLHSLGHPPGPSAVPVPVPGGGGADPLQHHHGLQDSILNPMSANLVDLGS
+>DECOY_sp|Q9NPC8|SIX2_HUMAN Homeobox protein SIX2 OS=Homo sapiens OX=9606 GN=SIX2 PE=1 SV=1
+SGLDVLNASMPNLISDQLGHHHQLPDAGGGGPVPVPVASPGPPHGLSHLSPLGPPPPSLLLAPSSSSHDPTGSPTKEDESSGLVSKGSGNLPNHSNSNSNENNEREKAEAARDRQRRNKFWNSVQTTTLGTAEALERKERPSPYPNHAYWERLVSRSKEKFCYSTEEGDWISRPLPFKRRVRYKGVAGLPRGRLKEAEIYHAKLWLQQLKAHNHPSFQHSELIKYLERFNGRHFAVVAKAKLVSENKHLHECAPLSWLFRGLREINGGQQLVECVCAVQEQTFGFTPLMSM
+>sp|Q9UIU6|SIX4_HUMAN Homeobox protein SIX4 OS=Homo sapiens OX=9606 GN=SIX4 PE=1 SV=2
+MSSSSPTGQIASAADIKQENGMESASEGQEAHREVAGGAAVGLSPPAPAPFPLEPGDAATAAARVSGEEGAVAAAAAGAAADQVQLHSELLGRHHHAAAAAAQTPLAFSPDHVACVCEALQQGGNLDRLARFLWSLPQSDLLRGNESLLKARALVAFHQGIYPELYSILESHSFESANHPLLQQLWYKARYTEAERARGRPLGAVDKYRLRRKFPLPRTIWDGEETVYCFKEKSRNALKELYKQNRYPSPAEKRHLAKITGLSLTQVSNWFKNRRQRDRNPSETQSKSESDGNPSTEDESSKGHEDLSPHPLSSSSDGITNLSLSSHMEPVYMQQIGNAKISLSSSGVLLNGSLVPASTSPVFLNGNSFIQGPSGVILNGLNVGNTQAVALNPPKMSSNIVSNGISMTDILGSTSQDVKEFKVLQSSANSATTTSYSPSVPVSFPGLIPSTEVKREGIQTVASQDGGSVVTFTTPVQINQYGIVQIPNSGANSQFLNGSIGFSPLQLPPVSVAASQGNISVSSSTSDGSTFTSESTTVQQGKVFLSSLAPSAVVYTVPNTGQTIGSVKQEGLERSLVFSQLMPVNQNAQVNANLSSENISGSGLHPLASSLVNVSPTHNFSLSPSTLLNPTELNRDIADSQPMSAPVASKSTVTSVSNTNYATLQNCSLITGQDLLSVPMTQAALGEIVPTAEDQVGHPSPAVHQDFVQEHRLVLQSVANMKENFLSNSESKATSSLMMLDSKSKYVLDGMVDTVCEDLETDKKELAKLQTVQLDEDMQDL
+>DECOY_sp|Q9UIU6|SIX4_HUMAN Homeobox protein SIX4 OS=Homo sapiens OX=9606 GN=SIX4 PE=1 SV=2
+LDQMDEDLQVTQLKALEKKDTELDECVTDVMGDLVYKSKSDLMMLSSTAKSESNSLFNEKMNAVSQLVLRHEQVFDQHVAPSPHGVQDEATPVIEGLAAQTMPVSLLDQGTILSCNQLTAYNTNSVSTVTSKSAVPASMPQSDAIDRNLETPNLLTSPSLSFNHTPSVNVLSSALPHLGSGSINESSLNANVQANQNVPMLQSFVLSRELGEQKVSGITQGTNPVTYVVASPALSSLFVKGQQVTTSESTFTSGDSTSSSVSINGQSAAVSVPPLQLPSFGISGNLFQSNAGSNPIQVIGYQNIQVPTTFTVVSGGDQSAVTQIGERKVETSPILGPFSVPVSPSYSTTTASNASSQLVKFEKVDQSTSGLIDTMSIGNSVINSSMKPPNLAVAQTNGVNLGNLIVGSPGQIFSNGNLFVPSTSAPVLSGNLLVGSSSLSIKANGIQQMYVPEMHSSLSLNTIGDSSSSLPHPSLDEHGKSSEDETSPNGDSESKSQTESPNRDRQRRNKFWNSVQTLSLGTIKALHRKEAPSPYRNQKYLEKLANRSKEKFCYVTEEGDWITRPLPFKRRLRYKDVAGLPRGRAREAETYRAKYWLQQLLPHNASEFSHSELISYLEPYIGQHFAVLARAKLLSENGRLLDSQPLSWLFRALRDLNGGQQLAECVCAVHDPSFALPTQAAAAAAHHHRGLLESHLQVQDAAAGAAAAAVAGEEGSVRAAATAADGPELPFPAPAPPSLGVAAGGAVERHAEQGESASEMGNEQKIDAASAIQGTPSSSSM
+>sp|Q8IX90|SKA3_HUMAN Spindle and kinetochore-associated protein 3 OS=Homo sapiens OX=9606 GN=SKA3 PE=1 SV=2
+MDPIRSFCGKLRSLASTLDCETARLQRALDGEESDFEDYPMRILYDLHSEVQTLKDDVNILLDKARLENQEGIDFIKATKVLMEKNSMDIMKIREYFQKYGYSPRVKKNSVHEQEAINSDPELSNCENFQKTDVKDDLSDPPVASSCISEKSPRSPQLSDFGLERYIVSQVLPNPPQAVNNYKEEPVIVTPPTKQSLVKVLKTPKCALKMDDFECVTPKLEHFGISEYTMCLNEDYTMGLKNARNNKSEEAIDTESRLNDNVFATPSPIIQQLEKSDAEYTNSPLVPTFCTPGLKIPSTKNSIALVSTNYPLSKTNSSSNDLEVEDRTSLVLNSDTCFENLTDPSSPTISSYENLLRTPTPPEVTKIPEDILQLLSKYNSNLATPIAIKAVPPSKRFLKHGQNIRDVSNKEN
+>DECOY_sp|Q8IX90|SKA3_HUMAN Spindle and kinetochore-associated protein 3 OS=Homo sapiens OX=9606 GN=SKA3 PE=1 SV=2
+NEKNSVDRINQGHKLFRKSPPVAKIAIPTALNSNYKSLLQLIDEPIKTVEPPTPTRLLNEYSSITPSSPDTLNEFCTDSNLVLSTRDEVELDNSSSNTKSLPYNTSVLAISNKTSPIKLGPTCFTPVLPSNTYEADSKELQQIIPSPTAFVNDNLRSETDIAEESKNNRANKLGMTYDENLCMTYESIGFHELKPTVCEFDDMKLACKPTKLVKVLSQKTPPTVIVPEEKYNNVAQPPNPLVQSVIYRELGFDSLQPSRPSKESICSSAVPPDSLDDKVDTKQFNECNSLEPDSNIAEQEHVSNKKVRPSYGYKQFYERIKMIDMSNKEMLVKTAKIFDIGEQNELRAKDLLINVDDKLTQVESHLDYLIRMPYDEFDSEEGDLARQLRATECDLTSALSRLKGCFSRIPDM
+>sp|Q9Y448|SKAP_HUMAN Small kinetochore-associated protein OS=Homo sapiens OX=9606 GN=KNSTRN PE=1 SV=2
+MAAPEAPPLDRVFRTTWLSTECDSHPLPPSYRKFLFETQAADLAGGTTVAAGNLLNESEKDCGQDRRAPGVQPCRLVTMTSVVKTVYSLQPPSALSGGQPADTQTRATSKSLLPVRSKEVDVSKQLHSGGPENDVTKITKLRRENGQMKATDTATRRNVRKGYKPLSKQKSEEELKDKNQLLEAVNKQLHQKLTETQGELKDLTQKVELLEKFRDNCLAILESKGLDPALGSETLASRQESTTDHMDSMLLLETLQEELKLFNETAKKQMEELQALKVKLEMKEERVRFLEQQTLCNNQVNDLTTALKEMEQLLEM
+>DECOY_sp|Q9Y448|SKAP_HUMAN Small kinetochore-associated protein OS=Homo sapiens OX=9606 GN=KNSTRN PE=1 SV=2
+MELLQEMEKLATTLDNVQNNCLTQQELFRVREEKMELKVKLAQLEEMQKKATENFLKLEEQLTELLLMSDMHDTTSEQRSALTESGLAPDLGKSELIALCNDRFKELLEVKQTLDKLEGQTETLKQHLQKNVAELLQNKDKLEEESKQKSLPKYGKRVNRRTATDTAKMQGNERRLKTIKTVDNEPGGSHLQKSVDVEKSRVPLLSKSTARTQTDAPQGGSLASPPQLSYVTKVVSTMTVLRCPQVGPARRDQGCDKESENLLNGAAVTTGGALDAAQTEFLFKRYSPPLPHSDCETSLWTTRFVRDLPPAEPAAM
+>sp|P84550|SKOR1_HUMAN SKI family transcriptional corepressor 1 OS=Homo sapiens OX=9606 GN=SKOR1 PE=1 SV=1
+MALLCGLGQVTLRIWVSLPSQSENGIGFLAARAFLRSGGMEALTTQLGPGREGSSSPNSKQELQPYSGSSALKPNQVGETSLYGVPIVSLVIDGQERLCLAQISNTLLKNYSYNEIHNRRVALGITCVQCTPVQLEILRRAGAMPISSRRCGMITKREAERLCKSFLGEHKPPKLPENFAFDVVHECAWGSRGSFIPARYNSSRAKCIKCGYCSMYFSPNKFIFHSHRTPDAKYTQPDAANFNSWRRHLKLSDKSATDELSHAWEDVKAMFNGGTRKRTFSLQGGGGGGANGGSGGQGKGGAGGGGGGGPGCGAEMAPGPPPHKSLRCGEDEAAGPPGPPPPHPQRGLGLATGASGPAGPGGPGGGAGVRSYPVIPVPSKGFGLLQKLPPPLFPHPYGFPTAFGLCPKKDDPVLGAGEPKGGPGTGSGGGGAGTGGGAGGPGASHLPPGAGAGPGGGAMFWGHQPSGAAKDAAAVAAAAAAATVYPTFPMFWPAAGSLPVPSYPAAQSQAKAVAAAVAAAAAAAAAAAGSGAPEPLDGAEPAKESGLGAEERCPSALSRGPLDEDGTDEALPPPLAPLPPPPPPPARKGSYVSAFRPVVKDTESIAKLYGSAREAYGAGPARGPGPGAGSGGYVSPDFLSEGSSSYNSASPDVDTADEPEVDVESNRFPDDEDAQEETEPSAPSAGGGPDGEQPTGPPSATSSGADGPANSPDGGSPRPRRRLGPPPAGRPAFGDLAAEDLVRRPERSPPSGGGGYELREPCGPLGGPAPAKVFAPERDEHVKSAAVALGPAASYVCTPEAHEPDKEDNHSPADDLETRKSYPDQRSISQPSPANTDRGEDGLTLDVTGTHLVEKDIENLAREELQKLLLEQMELRKKLEREFQSLKDNFQDQMKRELAYREEMVQQLQIVRDTLCNELDQERKARYAIQQKLKEAHDALHHFSCKMLTPRHCTGNCSFKPPLLP
+>DECOY_sp|P84550|SKOR1_HUMAN SKI family transcriptional corepressor 1 OS=Homo sapiens OX=9606 GN=SKOR1 PE=1 SV=1
+PLLPPKFSCNGTCHRPTLMKCSFHHLADHAEKLKQQIAYRAKREQDLENCLTDRVIQLQQVMEERYALERKMQDQFNDKLSQFERELKKRLEMQELLLKQLEERALNEIDKEVLHTGTVDLTLGDEGRDTNAPSPQSISRQDPYSKRTELDDAPSHNDEKDPEHAEPTCVYSAAPGLAVAASKVHEDREPAFVKAPAPGGLPGCPERLEYGGGGSPPSREPRRVLDEAALDGFAPRGAPPPGLRRRPRPSGGDPSNAPGDAGSSTASPPGTPQEGDPGGGASPASPETEEQADEDDPFRNSEVDVEPEDATDVDPSASNYSSSGESLFDPSVYGGSGAGPGPGRAPGAGYAERASGYLKAISETDKVVPRFASVYSGKRAPPPPPPPLPALPPPLAEDTGDEDLPGRSLASPCREEAGLGSEKAPEAGDLPEPAGSGAAAAAAAAAAAVAAAVAKAQSQAAPYSPVPLSGAAPWFMPFTPYVTAAAAAAAVAAADKAAGSPQHGWFMAGGGPGAGAGPPLHSAGPGGAGGGTGAGGGGSGTGPGGKPEGAGLVPDDKKPCLGFATPFGYPHPFLPPPLKQLLGFGKSPVPIVPYSRVGAGGGPGGPGAPGSAGTALGLGRQPHPPPPGPPGAAEDEGCRLSKHPPPGPAMEAGCGPGGGGGGGAGGKGQGGSGGNAGGGGGGQLSFTRKRTGGNFMAKVDEWAHSLEDTASKDSLKLHRRWSNFNAADPQTYKADPTRHSHFIFKNPSFYMSCYGCKICKARSSNYRAPIFSGRSGWACEHVVDFAFNEPLKPPKHEGLFSKCLREAERKTIMGCRRSSIPMAGARRLIELQVPTCQVCTIGLAVRRNHIENYSYNKLLTNSIQALCLREQGDIVLSVIPVGYLSTEGVQNPKLASSGSYPQLEQKSNPSSSGERGPGLQTTLAEMGGSRLFARAALFGIGNESQSPLSVWIRLTVQGLGCLLAM
+>sp|Q14BN4|SLMAP_HUMAN Sarcolemmal membrane-associated protein OS=Homo sapiens OX=9606 GN=SLMAP PE=1 SV=1
+MPSALAIFTCRPNSHPFQERHVYLDEPIKIGRSVARCRPAQNNATFDCKVLSRNHALVWFDHKTGKFYLQDTKSSNGTFINSQRLSRGSEESPPCEILSGDIIQFGVDVTENTRKVTHGCIVSTIKLFLPDGMEARLRSDVIHAPLPSPVDKVAANTPSMYSQELFQLSQYLQEALHREQMLEQKLATLQRLLAITQEASDTSWQALIDEDRLLSRLEVMGNQLQACSKNQTEDSLRKELIALQEDKHNYETTAKESLRRVLQEKIEVVRKLSEVERSLSNTEDECTHLKEMNERTQEELRELANKYNGAVNEIKDLSDKLKVAEGKQEEIQQKGQAEKKELQHKIDEMEEKEQELQAKIEALQADNDFTNERLTALQVRLEHLQEKTLKECSSLEHLLSKSGGDCTFIHQFIECQKKLIVEGHLTKAVEETKLSKENQTRAKESDFSDTLSPSKEKSSDDTTDAQMDEQDLNEPLAKVSLLKDDLQGAQSEIEAKQEIQHLRKELIEAQELARTSKQKCFELQALLEEERKAYRNQVEESTKQIQVLQAQLQRLHIDTENLREEKDSEITSTRDELLSARDEILLLHQAAAKVASERDTDIASLQEELKKVRAELERWRKAASEYEKEITSLQNSFQLRCQQCEDQQREEATRLQGELEKLRKEWNALETECHSLKRENVLLSSELQRQEKELHNSQKQSLELTSDLSILQMSRKELENQVGSLKEQHLRDSADLKTLLSKAENQAKDVQKEYEKTQTVLSELKLKFEMTEQEKQSITDELKQCKNNLKLLREKGNNKPWPWMPMLAALVAVTAIVLYVPGLARASP
+>DECOY_sp|Q14BN4|SLMAP_HUMAN Sarcolemmal membrane-associated protein OS=Homo sapiens OX=9606 GN=SLMAP PE=1 SV=1
+PSARALGPVYLVIATVAVLAALMPMWPWPKNNGKERLLKLNNKCQKLEDTISQKEQETMEFKLKLESLVTQTKEYEKQVDKAQNEAKSLLTKLDASDRLHQEKLSGVQNELEKRSMQLISLDSTLELSQKQSNHLEKEQRQLESSLLVNERKLSHCETELANWEKRLKELEGQLRTAEERQQDECQQCRLQFSNQLSTIEKEYESAAKRWRELEARVKKLEEQLSAIDTDRESAVKAAAQHLLLIEDRASLLEDRTSTIESDKEERLNETDIHLRQLQAQLVQIQKTSEEVQNRYAKREEELLAQLEFCKQKSTRALEQAEILEKRLHQIEQKAEIESQAGQLDDKLLSVKALPENLDQEDMQADTTDDSSKEKSPSLTDSFDSEKARTQNEKSLKTEEVAKTLHGEVILKKQCEIFQHIFTCDGGSKSLLHELSSCEKLTKEQLHELRVQLATLRENTFDNDAQLAEIKAQLEQEKEEMEDIKHQLEKKEAQGKQQIEEQKGEAVKLKDSLDKIENVAGNYKNALERLEEQTRENMEKLHTCEDETNSLSREVESLKRVVEIKEQLVRRLSEKATTEYNHKDEQLAILEKRLSDETQNKSCAQLQNGMVELRSLLRDEDILAQWSTDSAEQTIALLRQLTALKQELMQERHLAEQLYQSLQFLEQSYMSPTNAAVKDVPSPLPAHIVDSRLRAEMGDPLFLKITSVICGHTVKRTNETVDVGFQIIDGSLIECPPSEESGRSLRQSNIFTGNSSKTDQLYFKGTKHDFWVLAHNRSLVKCDFTANNQAPRCRAVSRGIKIPEDLYVHREQFPHSNPRCTFIALASPM
+>sp|P0C7P3|SLN14_HUMAN Protein SLFN14 OS=Homo sapiens OX=9606 GN=SLFN14 PE=1 SV=2
+MESLKTDTEMPYPEVIVDVGRVIFGEENRKKMTNSCLKRSENSRIIRAICALLNSGGGVIKAEIDDKTYSYQCHGLGQDLETSFQKLLPSGSQKYLDYMQQGHNLLIFVKSWSPDVFSLPLRICSLRSNLYRRDVTSAINLSASSALELLREKGFRAQRGRPRVKKLHPQQVLNRCIQEEEDMRILASEFFKKDKLMYKEKLNFTESTHVEFKRFTTKKVIPRIKEMLPHYVSAFANTQGGYVLIGVDDKSKEVVGCKWEKVNPDLLKKEIENCIEKLPTFHFCCEKPKVNFTTKILNVYQKDVLDGYVCVIQVEPFCCVVFAEAPDSWIMKDNSVTRLTAEQWVVMMLDTQSAPPSLVTDYNSCLISSASSARKSPGYPIKVHKFKEALQRHLFPVTQEEVQFKPESLCKKLFSDHKELEGLMKTLIHPCSQGIVIFSRSWAGDVGFRKEQNVLCDALLIAVNSPVVLYTILIDPNWPGGLEYARNTAHQLKQKLQTVGGYTGKVCIIPRLIHLSSTQSRPGEIPLRYPRSYRLADEEEMEDLLQALVVVSLSSRSLLSDQMGCEFFNLLIMEQSQLLSESLQKTRELFIYCFPGVRKTALAIKIMEKIKDLFHCKPKEILYVCESDSLKDFVTQQTTCQAVTRKTFMQGEFLKIKHIVMDETENFCSKYGNWYMKAKNITHPKAKGTGSENLHHGILWLFLDPFQIHHADVNGLPPPSAQFPRKTITSGIHCALEIAKVMKEEMKRIKENPPSNMSPDTLALFSETAYEEATCAQALPGVCETKTNLTTEQIANYVARKCHSLFQCGYLPKDIAILCRRGEDRGRYRLALLKAMELIETHRPSEVVFSPATGVWGSHIVLDSIQQFSGLERTVVFGLSPECDQSEEFHKLCFASRAIKHLYLLYEKRAAY
+>DECOY_sp|P0C7P3|SLN14_HUMAN Protein SLFN14 OS=Homo sapiens OX=9606 GN=SLFN14 PE=1 SV=2
+YAARKEYLLYLHKIARSAFCLKHFEESQDCEPSLGFVVTRELGSFQQISDLVIHSGWVGTAPSFVVESPRHTEILEMAKLLALRYRGRDEGRRCLIAIDKPLYGCQFLSHCKRAVYNAIQETTLNTKTECVGPLAQACTAEEYATESFLALTDPSMNSPPNEKIRKMEEKMVKAIELACHIGSTITKRPFQASPPPLGNVDAHHIQFPDLFLWLIGHHLNESGTGKAKPHTINKAKMYWNGYKSCFNETEDMVIHKIKLFEGQMFTKRTVAQCTTQQTVFDKLSDSECVYLIEKPKCHFLDKIKEMIKIALATKRVGPFCYIFLERTKQLSESLLQSQEMILLNFFECGMQDSLLSRSSLSVVVLAQLLDEMEEEDALRYSRPYRLPIEGPRSQTSSLHILRPIICVKGTYGGVTQLKQKLQHATNRAYELGGPWNPDILITYLVVPSNVAILLADCLVNQEKRFGVDGAWSRSFIVIGQSCPHILTKMLGELEKHDSFLKKCLSEPKFQVEEQTVPFLHRQLAEKFKHVKIPYGPSKRASSASSILCSNYDTVLSPPASQTDLMMVVWQEATLRTVSNDKMIWSDPAEAFVVCCFPEVQIVCVYGDLVDKQYVNLIKTTFNVKPKECCFHFTPLKEICNEIEKKLLDPNVKEWKCGVVEKSKDDVGILVYGGQTNAFASVYHPLMEKIRPIVKKTTFRKFEVHTSETFNLKEKYMLKDKKFFESALIRMDEEEQICRNLVQQPHLKKVRPRGRQARFGKERLLELASSASLNIASTVDRRYLNSRLSCIRLPLSFVDPSWSKVFILLNHGQQMYDLYKQSGSPLLKQFSTELDQGLGHCQYSYTKDDIEAKIVGGGSNLLACIARIIRSNESRKLCSNTMKKRNEEGFIVRGVDVIVEPYPMETDTKLSEM
+>sp|Q499Z3|SLNL1_HUMAN Schlafen-like protein 1 OS=Homo sapiens OX=9606 GN=SLFNL1 PE=2 SV=2
+MTPMKRSVQTQVSEPFMESWGEESLPELPAEQSLTEYSDLEEAPSAHTLYVGHLNPQFSVPVLACLLRDTLERLEMPVAREHIEVVRRPRKAYALVQVTVHRDTLASLPWRLQTALEEHLILKELAARGKDLLLSEAQGPFSHREEKEEEEEDSGLSPGPSPGSGVPLPTWPTHTLPDRPQAQQLQSCQGRPSGVCSDSAIVHQQIVGKDQLFQGAFLGSETRNMEFKRGSGEYLSLAFKHHVRRYVCAFLNSEGGSLLVGVEDSGLVQGIRCSHRDEDRARLLVDSILQGFKPQIFPDAYTLTFIPVISTSETSVPLKVIRLTVHTPKAQSQPQLYQTDQGEVFLRRDGSIQGPLSASAIQEWCRQRWLVELGKLEEKMKALMMEKEQLQQQLQQHGPVSCTCCVL
+>DECOY_sp|Q499Z3|SLNL1_HUMAN Schlafen-like protein 1 OS=Homo sapiens OX=9606 GN=SLFNL1 PE=2 SV=2
+LVCCTCSVPGHQQLQQQLQEKEMMLAKMKEELKGLEVLWRQRCWEQIASASLPGQISGDRRLFVEGQDTQYLQPQSQAKPTHVTLRIVKLPVSTESTSIVPIFTLTYADPFIQPKFGQLISDVLLRARDEDRHSCRIGQVLGSDEVGVLLSGGESNLFACVYRRVHHKFALSLYEGSGRKFEMNRTESGLFAGQFLQDKGVIQQHVIASDSCVGSPRGQCSQLQQAQPRDPLTHTPWTPLPVGSGPSPGPSLGSDEEEEEKEERHSFPGQAESLLLDKGRAALEKLILHEELATQLRWPLSALTDRHVTVQVLAYAKRPRRVVEIHERAVPMELRELTDRLLCALVPVSFQPNLHGVYLTHASPAEELDSYETLSQEAPLEPLSEEGWSEMFPESVQTQVSRKMPTM
+>sp|Q9NWH9|SLTM_HUMAN SAFB-like transcription modulator OS=Homo sapiens OX=9606 GN=SLTM PE=1 SV=2
+MAAATGAVAASAASGQAEGKKITDLRVIDLKSELKRRNLDITGVKTVLISRLKQAIEEEGGDPDNIELTVSTDTPNKKPTKGKGKKHEADELSGDASVEDDAFIKDCELENQEAHEQDGNDELKDSEEFGENEEENVHSKELLSAEENKRAHELIEAEGIEDIEKEDIESQEIEAQEGEDDTFLTAQDGEEEENEKDIAGSGDGTQEVSKPLPSEGSLAEADHTAHEEMEAHTTVKEAEDDNISVTIQAEDAITLDFDGDDLLETGKNVKITDSEASKPKDGQDAIAQSPEKESKDYEMNANHKDGKKEDCVKGDPVEKEARESSKKAESGDKEKDTLKKGPSSTGASGQAKSSSKESKDSKTSSKDDKGSTSSTSGSSGSSTKNIWVSGLSSNTKAADLKNLFGKYGKVLSAKVVTNARSPGAKCYGIVTMSSSTEVSRCIAHLHRTELHGQLISVEKVKGDPSKKEMKKENDEKSSSRSSGDKKNTSDRSSKTQASVKKEEKRSSEKSEKKESKDTKKIEGKDEKNDNGASGQTSESIKKSEEKKRISSKSPGHMVILDQTKGDHCRPSRRGRYEKIHGRSKEKERASLDKKRDKDYRRKEILPFEKMKEQRLREHLVRFERLRRAMELRRRREIAERERRERERIRIIREREERERLQRERERLEIERQKLERERMERERLERERIRIEQERRKEAERIAREREELRRQQQQLRYEQEKRNSLKRPRDVDHRRDDPYWSENKKLSLDTDARFGHGSDYSRQQNRFNDFDHRERGRFPESSAVQSSSFERRDRFVGQSEGKKARPTARREDPSFERYPKNFSDSRRNEPPPPRNELRESDRREVRGERDERRTVIIHDRPDITHPRHPREAGPNPSRPTSWKSEGSMSTDKRETRVERPERSGREVSGHSVRGAPPGNRSSASGYGSREGDRGVITDRGGGSQHYPEERHVVERHGRDTSGPRKEWHGPPSQGPSYHDTRRMGDGRAGAGMITQHSSNASPINRIVQISGNSMPRGSGSGFKPFKGGPPRRF
+>DECOY_sp|Q9NWH9|SLTM_HUMAN SAFB-like transcription modulator OS=Homo sapiens OX=9606 GN=SLTM PE=1 SV=2
+FRRPPGGKFPKFGSGSGRPMSNGSIQVIRNIPSANSSHQTIMGAGARGDGMRRTDHYSPGQSPPGHWEKRPGSTDRGHREVVHREEPYHQSGGGRDTIVGRDGERSGYGSASSRNGPPAGRVSHGSVERGSREPREVRTERKDTSMSGESKWSTPRSPNPGAERPHRPHTIDPRDHIIVTRREDREGRVERRDSERLENRPPPPENRRSDSFNKPYREFSPDERRATPRAKKGESQGVFRDRREFSSSQVASSEPFRGRERHDFDNFRNQQRSYDSGHGFRADTDLSLKKNESWYPDDRRHDVDRPRKLSNRKEQEYRLQQQQRRLEERERAIREAEKRREQEIRIRERELREREMRERELKQREIELRERERQLREREERERIIRIRERERREREAIERRRRLEMARRLREFRVLHERLRQEKMKEFPLIEKRRYDKDRKKDLSAREKEKSRGHIKEYRGRRSPRCHDGKTQDLIVMHGPSKSSIRKKEESKKISESTQGSAGNDNKEDKGEIKKTDKSEKKESKESSRKEEKKVSAQTKSSRDSTNKKDGSSRSSSKEDNEKKMEKKSPDGKVKEVSILQGHLETRHLHAICRSVETSSSMTVIGYCKAGPSRANTVVKASLVKGYKGFLNKLDAAKTNSSLGSVWINKTSSGSSGSTSSTSGKDDKSSTKSDKSEKSSSKAQGSAGTSSPGKKLTDKEKDGSEAKKSSERAEKEVPDGKVCDEKKGDKHNANMEYDKSEKEPSQAIADQGDKPKSAESDTIKVNKGTELLDDGDFDLTIADEAQITVSINDDEAEKVTTHAEMEEHATHDAEALSGESPLPKSVEQTGDGSGAIDKENEEEEGDQATLFTDDEGEQAEIEQSEIDEKEIDEIGEAEILEHARKNEEASLLEKSHVNEEENEGFEESDKLEDNGDQEHAEQNELECDKIFADDEVSADGSLEDAEHKKGKGKTPKKNPTDTSVTLEINDPDGGEEEIAQKLRSILVTKVGTIDLNRRKLESKLDIVRLDTIKKGEAQGSAASAAVAGTAAAM
+>sp|Q5VYV7|SLX4I_HUMAN Protein SLX4IP OS=Homo sapiens OX=9606 GN=SLX4IP PE=1 SV=1
+MASKKFAVKCGNFAVLVDLHILPQGSNKDTSWFSEQKKEEVCLLLKETIDSRVQEYLEVRKQHRPSNAEFTRSNPLSLKGYGFQITAYFLKRGIRLRCIRSTQNAELCVFPDRFVVCVSQLAFSRDLLASQNEDLTERVLHGVSDYFAECAESSLPPSAKLRRNALKEIVKRTETKSSVTSKSQTRRDTVETSSDSVIAEIARRRNDGQASSSPPSESMGQAKDSIKAAESHWGLPVQKLEKVNQTQPEDTSGQQKPHPGERLKTGLLSRSPVCSCESASPCPKQSPRVAKTQQKRRNCSSAEDFDHHGRVSLGSDRLVPREIIVEKSKAVRVLPASELSDPGLLLKQDLAKTTSKEELHVLESLSSRHLMKNNPGQAQQTGLATNTERLSTIQNSPTKKRKKYERGH
+>DECOY_sp|Q5VYV7|SLX4I_HUMAN Protein SLX4IP OS=Homo sapiens OX=9606 GN=SLX4IP PE=1 SV=1
+HGREYKKRKKTPSNQITSLRETNTALGTQQAQGPNNKMLHRSSLSELVHLEEKSTTKALDQKLLLGPDSLESAPLVRVAKSKEVIIERPVLRDSGLSVRGHHDFDEASSCNRRKQQTKAVRPSQKPCPSASECSCVPSRSLLGTKLREGPHPKQQGSTDEPQTQNVKELKQVPLGWHSEAAKISDKAQGMSESPPSSSAQGDNRRRAIEAIVSDSSTEVTDRRTQSKSTVSSKTETRKVIEKLANRRLKASPPLSSEACEAFYDSVGHLVRETLDENQSALLDRSFALQSVCVVFRDPFVCLEANQTSRICRLRIGRKLFYATIQFGYGKLSLPNSRTFEANSPRHQKRVELYEQVRSDITEKLLLCVEEKKQESFWSTDKNSGQPLIHLDVLVAFNGCKVAFKKSAM
+>sp|Q9Y6J3|SMA5O_HUMAN SMAD5 antisense gene protein 1 OS=Homo sapiens OX=9606 GN=SMAD5-AS1 PE=2 SV=1
+MHKQPKLLPPPATPPPPPQSSSWSGNIVFTIKINIWLRVFSHSSPTGLPKPHSPMPSPPEPEHSVGKPANVQIPQVSSPEFCNQKSVLATEHAQT
+>DECOY_sp|Q9Y6J3|SMA5O_HUMAN SMAD5 antisense gene protein 1 OS=Homo sapiens OX=9606 GN=SMAD5-AS1 PE=2 SV=1
+TQAHETALVSKQNCFEPSSVQPIQVNAPKGVSHEPEPPSPMPSHPKPLGTPSSHSFVRLWINIKITFVINGSWSSSQPPPPPTAPPPLLKPQKHM
+>sp|Q13485|SMAD4_HUMAN Mothers against decapentaplegic homolog 4 OS=Homo sapiens OX=9606 GN=SMAD4 PE=1 SV=1
+MDNMSITNTPTSNDACLSIVHSLMCHRQGGESETFAKRAIESLVKKLKEKKDELDSLITAITTNGAHPSKCVTIQRTLDGRLQVAGRKGFPHVIYARLWRWPDLHKNELKHVKYCQYAFDLKCDSVCVNPYHYERVVSPGIDLSGLTLQSNAPSSMMVKDEYVHDFEGQPSLSTEGHSIQTIQHPPSNRASTETYSTPALLAPSESNATSTANFPNIPVASTSQPASILGGSHSEGLLQIASGPQPGQQQNGFTGQPATYHHNSTTTWTGSRTAPYTPNLPHHQNGHLQHHPPMPPHPGHYWPVHNELAFQPPISNHPAPEYWCSIAYFEMDVQVGETFKVPSSCPIVTVDGYVDPSGGDRFCLGQLSNVHRTEAIERARLHIGKGVQLECKGEGDVWVRCLSDHAVFVQSYYLDREAGRAPGDAVHKIYPSAYIKVFDLRQCHRQMQQQAATAQAAAAAQAAAVAGNIPGPGSVGGIAPAISLSAAAGIGVDDLRRLCILRMSFVKGWGPDYPRQSIKETPCWIEIHLHRALQLLDEVLHTMPIADPQPLD
+>DECOY_sp|Q13485|SMAD4_HUMAN Mothers against decapentaplegic homolog 4 OS=Homo sapiens OX=9606 GN=SMAD4 PE=1 SV=1
+DLPQPDAIPMTHLVEDLLQLARHLHIEIWCPTEKISQRPYDPGWGKVFSMRLICLRRLDDVGIGAAASLSIAPAIGGVSGPGPINGAVAAAQAAAAAQATAAQQQMQRHCQRLDFVKIYASPYIKHVADGPARGAERDLYYSQVFVAHDSLCRVWVDGEGKCELQVGKGIHLRAREIAETRHVNSLQGLCFRDGGSPDVYGDVTVIPCSSPVKFTEGVQVDMEFYAISCWYEPAPHNSIPPQFALENHVPWYHGPHPPMPPHHQLHGNQHHPLNPTYPATRSGTWTTTSNHHYTAPQGTFGNQQQGPQPGSAIQLLGESHSGGLISAPQSTSAVPINPFNATSTANSESPALLAPTSYTETSARNSPPHQITQISHGETSLSPQGEFDHVYEDKVMMSSPANSQLTLGSLDIGPSVVREYHYPNVCVSDCKLDFAYQCYKVHKLENKHLDPWRWLRAYIVHPFGKRGAVQLRGDLTRQITVCKSPHAGNTTIATILSDLEDKKEKLKKVLSEIARKAFTESEGGQRHCMLSHVISLCADNSTPTNTISMNDM
+>sp|O15198|SMAD9_HUMAN Mothers against decapentaplegic homolog 9 OS=Homo sapiens OX=9606 GN=SMAD9 PE=1 SV=1
+MHSTTPISSLFSFTSPAVKRLLGWKQGDEEEKWAEKAVDSLVKKLKKKKGAMDELERALSCPGQPSKCVTIPRSLDGRLQVSHRKGLPHVIYCRVWRWPDLQSHHELKPLECCEFPFGSKQKEVCINPYHYRRVETPVLPPVLVPRHSEYNPQLSLLAKFRSASLHSEPLMPHNATYPDSFQQPPCSALPPSPSHAFSQSPCTASYPHSPGSPSEPESPYQHSVDTPPLPYHATEASETQSGQPVDATADRHVVLSIPNGDFRPVCYEEPQHWCSVAYYELNNRVGETFQASSRSVLIDGFTDPSNNRNRFCLGLLSNVNRNSTIENTRRHIGKGVHLYYVGGEVYAECVSDSSIFVQSRNCNYQHGFHPATVCKIPSGCSLKVFNNQLFAQLLAQSVHHGFEVVYELTKMCTIRMSFVKGWGAEYHRQDVTSTPCWIEIHLHGPLQWLDKVLTQMGSPHNPISSVS
+>DECOY_sp|O15198|SMAD9_HUMAN Mothers against decapentaplegic homolog 9 OS=Homo sapiens OX=9606 GN=SMAD9 PE=1 SV=1
+SVSSIPNHPSGMQTLVKDLWQLPGHLHIEIWCPTSTVDQRHYEAGWGKVFSMRITCMKTLEYVVEFGHHVSQALLQAFLQNNFVKLSCGSPIKCVTAPHFGHQYNCNRSQVFISSDSVCEAYVEGGVYYLHVGKGIHRRTNEITSNRNVNSLLGLCFRNRNNSPDTFGDILVSRSSAQFTEGVRNNLEYYAVSCWHQPEEYCVPRFDGNPISLVVHRDATADVPQGSQTESAETAHYPLPPTDVSHQYPSEPESPSGPSHPYSATCPSQSFAHSPSPPLASCPPQQFSDPYTANHPMLPESHLSASRFKALLSLQPNYESHRPVLVPPLVPTEVRRYHYPNICVEKQKSGFPFECCELPKLEHHSQLDPWRWVRCYIVHPLGKRHSVQLRGDLSRPITVCKSPQGPCSLARELEDMAGKKKKLKKVLSDVAKEAWKEEEDGQKWGLLRKVAPSTFSFLSSIPTTSHM
+>sp|Q9UPU9|SMAG1_HUMAN Protein Smaug homolog 1 OS=Homo sapiens OX=9606 GN=SAMD4A PE=1 SV=3
+MMFRDQVGVLAGWFKGWNECEQTVALLSLLKRVSQTQARFLQLCLEHSLADCAELHVLEREANSPGIINQWQQESKDKVISLLLTHLPLLKPGNLDAKVEYMKLLPKILAHSIEHNQHIEESRQLLSYALIHPATSLEDRSALAMWLNHLEDRTSTSFGGQNRGRSDSVDYGQTHYYHQRQNSDDKLNGWQNSRDSGICINASNWQDKSMGCENGHVPLYSSSSVPTTINTIGTSTSTILSGQAHHSPLKRSVSLTPPMNVPNQPLGHGWMSHEDLRARGPQCLPSDHAPLSPQSSVASSGSGGSEHLEDQTTARNTFQEEGSGMKDVPAWLKSLRLHKYAALFSQMTYEEMMALTECQLEAQNVTKGARHKIVISIQKLKERQNLLKSLERDIIEGGSLRIPLQELHQMILTPIKAYSSPSTTPEARRREPQAPRQPSLMGPESQSPDCKDGAAATGATATPSAGASGGLQPHQLSSCDGELAVAPLPEGDLPGQFTRVMGKVCTQLLVSRPDEENISSYLQLIDKCLIHEAFTETQKKRLLSWKQQVQKLFRSFPRKTLLDISGYRQQRNRGFGQSNSLPTAGSVGGGMGRRNPRQYQIPSRNVPSARLGLLGTSGFVSSNQRNTTATPTIMKQGRQNLWFANPGGSNSMPSRTHSSVQRTRSLPVHTSPQNMLMFQQPEFQLPVTEPDINNRLESLCLSMTEHALGDGVDRTSTI
+>DECOY_sp|Q9UPU9|SMAG1_HUMAN Protein Smaug homolog 1 OS=Homo sapiens OX=9606 GN=SAMD4A PE=1 SV=3
+ITSTRDVGDGLAHETMSLCLSELRNNIDPETVPLQFEPQQFMLMNQPSTHVPLSRTRQVSSHTRSPMSNSGGPNAFWLNQRGQKMITPTATTNRQNSSVFGSTGLLGLRASPVNRSPIQYQRPNRRGMGGGVSGATPLSNSQGFGRNRQQRYGSIDLLTKRPFSRFLKQVQQKWSLLRKKQTETFAEHILCKDILQLYSSINEEDPRSVLLQTCVKGMVRTFQGPLDGEPLPAVALEGDCSSLQHPQLGGSAGASPTATAGTAAAGDKCDPSQSEPGMLSPQRPAQPERRRAEPTTSPSSYAKIPTLIMQHLEQLPIRLSGGEIIDRELSKLLNQREKLKQISIVIKHRAGKTVNQAELQCETLAMMEEYTMQSFLAAYKHLRLSKLWAPVDKMGSGEEQFTNRATTQDELHESGGSGSSAVSSQPSLPAHDSPLCQPGRARLDEHSMWGHGLPQNPVNMPPTLSVSRKLPSHHAQGSLITSTSTGITNITTPVSSSSYLPVHGNECGMSKDQWNSANICIGSDRSNQWGNLKDDSNQRQHYYHTQGYDVSDSRGRNQGGFSTSTRDELHNLWMALASRDELSTAPHILAYSLLQRSEEIHQNHEISHALIKPLLKMYEVKADLNGPKLLPLHTLLLSIVKDKSEQQWQNIIGPSNAERELVHLEACDALSHELCLQLFRAQTQSVRKLLSLLAVTQECENWGKFWGALVGVQDRFMM
+>sp|Q0VAQ4|SMAGP_HUMAN Small cell adhesion glycoprotein OS=Homo sapiens OX=9606 GN=SMAGP PE=1 SV=1
+MTSLLTTPSPREELMTTPILQPTEALSPEDGASTALIAVVITVVFLTLLSVVILIFFYLYKNKGSYVTYEPTEGEPSAIVQMESDLAKGSEKEEYFI
+>DECOY_sp|Q0VAQ4|SMAGP_HUMAN Small cell adhesion glycoprotein OS=Homo sapiens OX=9606 GN=SMAGP PE=1 SV=1
+IFYEEKESGKALDSEMQVIASPEGETPEYTVYSGKNKYLYFFILIVVSLLTLFVVTIVVAILATSAGDEPSLAETPQLIPTTMLEERPSPTTLLSTM
+>sp|Q8WU79|SMAP2_HUMAN Stromal membrane-associated protein 2 OS=Homo sapiens OX=9606 GN=SMAP2 PE=1 SV=1
+MTGKSVKDVDRYQAVLANLLLEEDNKFCADCQSKGPRWASWNIGVFICIRCAGIHRNLGVHISRVKSVNLDQWTQEQIQCMQEMGNGKANRLYEAYLPETFRRPQIDPAVEGFIRDKYEKKKYMDRSLDINAFRKEKDDKWKRGSEPVPEKKLEPVVFEKVKMPQKKEDPQLPRKSSPKSTAPVMDLLGLDAPVACSIANSKTSNTLEKDLDLLASVPSPSSSGSRKVVGSMPTAGSAGSVPENLNLFPEPGSKSEEIGKKQLSKDSILSLYGSQTPQMPTQAMFMAPAQMAYPTAYPSFPGVTPPNSIMGSMMPPPVGMVAQPGASGMVAPMAMPAGYMGGMQASMMGVPNGMMTTQQAGYMAGMAAMPQTVYGVQPAQQLQWNLTQMTQQMAGMNFYGANGMMNYGQSMSGGNGQAANQTLSPQMWK
+>DECOY_sp|Q8WU79|SMAP2_HUMAN Stromal membrane-associated protein 2 OS=Homo sapiens OX=9606 GN=SMAP2 PE=1 SV=1
+KWMQPSLTQNAAQGNGGSMSQGYNMMGNAGYFNMGAMQQTMQTLNWQLQQAPQVGYVTQPMAAMGAMYGAQQTTMMGNPVGMMSAQMGGMYGAPMAMPAVMGSAGPQAVMGVPPPMMSGMISNPPTVGPFSPYATPYAMQAPAMFMAQTPMQPTQSGYLSLISDKSLQKKGIEESKSGPEPFLNLNEPVSGASGATPMSGVVKRSGSSSPSPVSALLDLDKELTNSTKSNAISCAVPADLGLLDMVPATSKPSSKRPLQPDEKKQPMKVKEFVVPELKKEPVPESGRKWKDDKEKRFANIDLSRDMYKKKEYKDRIFGEVAPDIQPRRFTEPLYAEYLRNAKGNGMEQMCQIQEQTWQDLNVSKVRSIHVGLNRHIGACRICIFVGINWSAWRPGKSQCDACFKNDEELLLNALVAQYRDVDKVSKGTM
+>sp|Q5VUG0|SMBT2_HUMAN Scm-like with four MBT domains protein 2 OS=Homo sapiens OX=9606 GN=SFMBT2 PE=1 SV=1
+MESTLSASNMQDPSSSPLEKCLGSANGNGDLDSEEGSSLEETGFNWGEYLEETGASAAPHTSFKHVEISIQSNFQPGMKLEVANKNNPDTYWVATIITTCGQLLLLRYCGYGEDRRADFWCDVVIADLHPVGWCTQNNKVLMPPDAIKEKYTDWTEFLIRDLTGSRTAPANLLEGPLRGKGPIDLITVGSLIELQDSQNPFQYWIVSVIENVGGRLRLRYVGLEDTESYDQWLFYLDYRLRPVGWCQENKYRMDPPSEIYPLKMASEWKCTLEKSLIDAAKFPLPMEVFKDHADLRSHFFTVGMKLETVNMCEPFYISPASVTKVFNNHFFQVTIDDLRPEPSKLSMLCHADSLGILPVQWCLKNGVSLTPPKGYSGQDFDWADYHKQHGAQEAPPFCFRNTSFSRGFTKNMKLEAVNPRNPGELCVASVVSVKGRLMWLHLEGLQTPVPEVIVDVESMDIFPVGWCEANSYPLTAPHKTVSQKKRKIAVVQPEKQLPPTVPVKKIPHDLCLFPHLDTTGTVNGKYCCPQLFINHRCFSGPYLNKGRIAELPQSVGPGKCVLVLKEVLSMIINAAYKPGRVLRELQLVEDPHWNFQEETLKAKYRGKTYRAVVKIVRTSDQVANFCRRVCAKLECCPNLFSPVLISENCPENCSIHTKTKYTYYYGKRKKISKPPIGESNPDSGHPKPARRRKRRKSIFVQKKRRSSAVDFTAGSGEESEEEDADAMDDDTASEETGSELRDDQTDTSSAEVPSARPRRAVTLRSGSEPVRRPPPERTRRGRGAPAASSAEEGEKCPPTKPEGTEDTKQEEEERLVLESNPLEWTVTDVVRFIKLTDCAPLAKIFQEQDIDGQALLLLTLPTVQECMELKLGPAIKLCHQIERVKVAFYAQYAN
+>DECOY_sp|Q5VUG0|SMBT2_HUMAN Scm-like with four MBT domains protein 2 OS=Homo sapiens OX=9606 GN=SFMBT2 PE=1 SV=1
+NAYQAYFAVKVREIQHCLKIAPGLKLEMCEQVTPLTLLLLAQGDIDQEQFIKALPACDTLKIFRVVDTVTWELPNSELVLREEEEQKTDETGEPKTPPCKEGEEASSAAPAGRGRRTREPPPRRVPESGSRLTVARRPRASPVEASSTDTQDDRLESGTEESATDDDMADADEEESEEGSGATFDVASSRRKKQVFISKRRKRRRAPKPHGSDPNSEGIPPKSIKKRKGYYYTYKTKTHISCNEPCNESILVPSFLNPCCELKACVRRCFNAVQDSTRVIKVVARYTKGRYKAKLTEEQFNWHPDEVLQLERLVRGPKYAANIIMSLVEKLVLVCKGPGVSQPLEAIRGKNLYPGSFCRHNIFLQPCCYKGNVTGTTDLHPFLCLDHPIKKVPVTPPLQKEPQVVAIKRKKQSVTKHPATLPYSNAECWGVPFIDMSEVDVIVEPVPTQLGELHLWMLRGKVSVVSAVCLEGPNRPNVAELKMNKTFGRSFSTNRFCFPPAEQAGHQKHYDAWDFDQGSYGKPPTLSVGNKLCWQVPLIGLSDAHCLMSLKSPEPRLDDITVQFFHNNFVKTVSAPSIYFPECMNVTELKMGVTFFHSRLDAHDKFVEMPLPFKAADILSKELTCKWESAMKLPYIESPPDMRYKNEQCWGVPRLRYDLYFLWQDYSETDELGVYRLRLRGGVNEIVSVIWYQFPNQSDQLEILSGVTILDIPGKGRLPGELLNAPATRSGTLDRILFETWDTYKEKIADPPMLVKNNQTCWGVPHLDAIVVDCWFDARRDEGYGCYRLLLLQGCTTIITAVWYTDPNNKNAVELKMGPQFNSQISIEVHKFSTHPAASAGTEELYEGWNFGTEELSSGEESDLDGNGNASGLCKELPSSSPDQMNSASLTSEM
+>sp|Q14683|SMC1A_HUMAN Structural maintenance of chromosomes protein 1A OS=Homo sapiens OX=9606 GN=SMC1A PE=1 SV=2
+MGFLKLIEIENFKSYKGRQIIGPFQRFTAIIGPNGSGKSNLMDAISFVLGEKTSNLRVKTLRDLIHGAPVGKPAANRAFVSMVYSEEGAEDRTFARVIVGGSSEYKINNKVVQLHEYSEELEKLGILIKARNFLVFQGAVESIAMKNPKERTALFEEISRSGELAQEYDKRKKEMVKAEEDTQFNYHRKKNIAAERKEAKQEKEEADRYQRLKDEVVRAQVQLQLFKLYHNEVEIEKLNKELASKNKEIEKDKKRMDKVEDELKEKKKELGKMMREQQQIEKEIKEKDSELNQKRPQYIKAKENTSHKIKKLEAAKKSLQNAQKHYKKRKGDMDELEKEMLSVEKARQEFEERMEEESQSQGRDLTLEENQVKKYHRLKEEASKRAATLAQELEKFNRDQKADQDRLDLEERKKVETEAKIKQKLREIEENQKRIEKLEEYITTSKQSLEEQKKLEGELTEEVEMAKRRIDEINKELNQVMEQLGDARIDRQESSRQQRKAEIMESIKRLYPGSVYGRLIDLCQPTQKKYQIAVTKVLGKNMDAIIVDSEKTGRDCIQYIKEQRGEPETFLPLDYLEVKPTDEKLRELKGAKLVIDVIRYEPPHIKKALQYACGNALVCDNVEDARRIAFGGHQRHKTVALDGTLFQKSGVISGGASDLKAKARRWDEKAVDKLKEKKERLTEELKEQMKAKRKEAELRQVQSQAHGLQMRLKYSQSDLEQTKTRHLALNLQEKSKLESELANFGPRINDIKRIIQSREREMKDLKEKMNQVEDEVFEEFCREIGVRNIREFEEEKVKRQNEIAKKRLEFENQKTRLGIQLDFEKNQLKEDQDKVHMWEQTVKKDENEIEKLKKEEQRHMKIIDETMAQLQDLKNQHLAKKSEVNDKNHEMEEIRKKLGGANKEMTHLQKEVTAIETKLEQKRSDRHNLLQACKMQDIKLPLSKGTMDDISQEEGSSQGEDSVSGSQRISSIYAREALIEIDYGDLCEDLKDAQAEEEIKQEMNTLQQKLNEQQSVLQRIAAPNMKAMEKLESVRDKFQETSDEFEAARKRAKKAKQAFEQIKKERFDRFNACFESVATNIDEIYKALSRNSSAQAFLGPENPEEPYLDGINYNCVAPGKRFRPMDNLSGGEKTVAALALLFAIHSYKPAPFFVLDEIDAALDNTNIGKVANYIKEQSTCNFQAIVISLKEEFYTKAESLIGVYPEQGDCVISKVLTFDLTKYPDANPNPNEQ
+>DECOY_sp|Q14683|SMC1A_HUMAN Structural maintenance of chromosomes protein 1A OS=Homo sapiens OX=9606 GN=SMC1A PE=1 SV=2
+QENPNPNADPYKTLDFTLVKSIVCDGQEPYVGILSEAKTYFEEKLSIVIAQFNCTSQEKIYNAVKGINTNDLAADIEDLVFFPAPKYSHIAFLLALAAVTKEGGSLNDMPRFRKGPAVCNYNIGDLYPEEPNEPGLFAQASSNRSLAKYIEDINTAVSEFCANFRDFREKKIQEFAQKAKKARKRAAEFEDSTEQFKDRVSELKEMAKMNPAAIRQLVSQQENLKQQLTNMEQKIEEEAQADKLDECLDGYDIEILAERAYISSIRQSGSVSDEGQSSGEEQSIDDMTGKSLPLKIDQMKCAQLLNHRDSRKQELKTEIATVEKQLHTMEKNAGGLKKRIEEMEHNKDNVESKKALHQNKLDQLQAMTEDIIKMHRQEEKKLKEIENEDKKVTQEWMHVKDQDEKLQNKEFDLQIGLRTKQNEFELRKKAIENQRKVKEEEFERINRVGIERCFEEFVEDEVQNMKEKLDKMERERSQIIRKIDNIRPGFNALESELKSKEQLNLALHRTKTQELDSQSYKLRMQLGHAQSQVQRLEAEKRKAKMQEKLEETLREKKEKLKDVAKEDWRRAKAKLDSAGGSIVGSKQFLTGDLAVTKHRQHGGFAIRRADEVNDCVLANGCAYQLAKKIHPPEYRIVDIVLKAGKLERLKEDTPKVELYDLPLFTEPEGRQEKIYQICDRGTKESDVIIADMNKGLVKTVAIQYKKQTPQCLDILRGYVSGPYLRKISEMIEAKRQQRSSEQRDIRADGLQEMVQNLEKNIEDIRRKAMEVEETLEGELKKQEELSQKSTTIYEELKEIRKQNEEIERLKQKIKAETEVKKREELDLRDQDAKQDRNFKELEQALTAARKSAEEKLRHYKKVQNEELTLDRGQSQSEEEMREEFEQRAKEVSLMEKELEDMDGKRKKYHKQANQLSKKAAELKKIKHSTNEKAKIYQPRKQNLESDKEKIEKEIQQQERMMKGLEKKKEKLEDEVKDMRKKDKEIEKNKSALEKNLKEIEVENHYLKFLQLQVQARVVEDKLRQYRDAEEKEQKAEKREAAINKKRHYNFQTDEEAKVMEKKRKDYEQALEGSRSIEEFLATREKPNKMAISEVAGQFVLFNRAKILIGLKELEESYEHLQVVKNNIKYESSGGVIVRAFTRDEAGEESYVMSVFARNAAPKGVPAGHILDRLTKVRLNSTKEGLVFSIADMLNSKGSGNPGIIATFRQFPGIIQRGKYSKFNEIEILKLFGM
+>sp|P51531|SMCA2_HUMAN Probable global transcription activator SNF2L2 OS=Homo sapiens OX=9606 GN=SMARCA2 PE=1 SV=2
+MSTPTDPGAMPHPGPSPGPGPSPGPILGPSPGPGPSPGSVHSMMGPSPGPPSVSHPMPTMGSTDFPQEGMHQMHKPIDGIHDKGIVEDIHCGSMKGTGMRPPHPGMGPPQSPMDQHSQGYMSPHPSPLGAPEHVSSPMSGGGPTPPQMPPSQPGALIPGDPQAMSQPNRGPSPFSPVQLHQLRAQILAYKMLARGQPLPETLQLAVQGKRTLPGLQQQQQQQQQQQQQQQQQQQQQQQPQQQPPQPQTQQQQQPALVNYNRPSGPGPELSGPSTPQKLPVPAPGGRPSPAPPAAAQPPAAAVPGPSVPQPAPGQPSPVLQLQQKQSRISPIQKPQGLDPVEILQEREYRLQARIAHRIQELENLPGSLPPDLRTKATVELKALRLLNFQRQLRQEVVACMRRDTTLETALNSKAYKRSKRQTLREARMTEKLEKQQKIEQERKRRQKHQEYLNSILQHAKDFKEYHRSVAGKIQKLSKAVATWHANTEREQKKETERIEKERMRRLMAEDEEGYRKLIDQKKDRRLAYLLQQTDEYVANLTNLVWEHKQAQAAKEKKKRRRRKKKAEENAEGGESALGPDGEPIDESSQMSDLPVKVTHTETGKVLFGPEAPKASQLDAWLEMNPGYEVAPRSDSEESDSDYEEEDEEEESSRQETEEKILLDPNSEEVSEKDAKQIIETAKQDVDDEYSMQYSARGSQSYYTVAHAISERVEKQSALLINGTLKHYQLQGLEWMVSLYNNNLNGILADEMGLGKTIQTIALITYLMEHKRLNGPYLIIVPLSTLSNWTYEFDKWAPSVVKISYKGTPAMRRSLVPQLRSGKFNVLLTTYEYIIKDKHILAKIRWKYMIVDEGHRMKNHHCKLTQVLNTHYVAPRRILLTGTPLQNKLPELWALLNFLLPTIFKSCSTFEQWFNAPFAMTGERVDLNEEETILIIRRLHKVLRPFLLRRLKKEVESQLPEKVEYVIKCDMSALQKILYRHMQAKGILLTDGSEKDKKGKGGAKTLMNTIMQLRKICNHPYMFQHIEESFAEHLGYSNGVINGAELYRASGKFELLDRILPKLRATNHRVLLFCQMTSLMTIMEDYFAFRNFLYLRLDGTTKSEDRAALLKKFNEPGSQYFIFLLSTRAGGLGLNLQAADTVVIFDSDWNPHQDLQAQDRAHRIGQQNEVRVLRLCTVNSVEEKILAAAKYKLNVDQKVIQAGMFDQKSSSHERRAFLQAILEHEEENEEEDEVPDDETLNQMIARREEEFDLFMRMDMDRRREDARNPKRKPRLMEEDELPSWIIKDDAEVERLTCEEEEEKIFGRGSRQRRDVDYSDALTEKQWLRAIEDGNLEEMEEEVRLKKRKRRRNVDKDPAKEDVEKAKKRRGRPPAEKLSPNPPKLTKQMNAIIDTVINYKDRCNVEKVPSNSQLEIEGNSSGRQLSEVFIQLPSRKELPEYYELIRKPVDFKKIKERIRNHKYRSLGDLEKDVMLLCHNAQTFNLEGSQIYEDSIVLQSVFKSARQKIAKEEESEDESNEEEEEEDEEESESEAKSVKVKIKLNKKDDKGRDKGKGKKRPNRGKAKPVVSDFDSDEEQDEREQSEGSGTDDE
+>DECOY_sp|P51531|SMCA2_HUMAN Probable global transcription activator SNF2L2 OS=Homo sapiens OX=9606 GN=SMARCA2 PE=1 SV=2
+EDDTGSGESQEREDQEEDSDFDSVVPKAKGRNPRKKGKGKDRGKDDKKNLKIKVKVSKAESESEEEDEEEEEENSEDESEEEKAIKQRASKFVSQLVISDEYIQSGELNFTQANHCLLMVDKELDGLSRYKHNRIREKIKKFDVPKRILEYYEPLEKRSPLQIFVESLQRGSSNGEIELQSNSPVKEVNCRDKYNIVTDIIANMQKTLKPPNPSLKEAPPRGRRKKAKEVDEKAPDKDVNRRRKRKKLRVEEEMEELNGDEIARLWQKETLADSYDVDRRQRSGRGFIKEEEEECTLREVEADDKIIWSPLEDEEMLRPKRKPNRADERRRDMDMRMFLDFEEERRAIMQNLTEDDPVEDEEENEEEHELIAQLFARREHSSSKQDFMGAQIVKQDVNLKYKAAALIKEEVSNVTCLRLVRVENQQGIRHARDQAQLDQHPNWDSDFIVVTDAAQLNLGLGGARTSLLFIFYQSGPENFKKLLAARDESKTTGDLRLYLFNRFAFYDEMITMLSTMQCFLLVRHNTARLKPLIRDLLEFKGSARYLEAGNIVGNSYGLHEAFSEEIHQFMYPHNCIKRLQMITNMLTKAGGKGKKDKESGDTLLIGKAQMHRYLIKQLASMDCKIVYEVKEPLQSEVEKKLRRLLFPRLVKHLRRIILITEEENLDVREGTMAFPANFWQEFTSCSKFITPLLFNLLAWLEPLKNQLPTGTLLIRRPAVYHTNLVQTLKCHHNKMRHGEDVIMYKWRIKALIHKDKIIYEYTTLLVNFKGSRLQPVLSRRMAPTGKYSIKVVSPAWKDFEYTWNSLTSLPVIILYPGNLRKHEMLYTILAITQITKGLGMEDALIGNLNNNYLSVMWELGQLQYHKLTGNILLASQKEVRESIAHAVTYYSQSGRASYQMSYEDDVDQKATEIIQKADKESVEESNPDLLIKEETEQRSSEEEEDEEEYDSDSEESDSRPAVEYGPNMELWADLQSAKPAEPGFLVKGTETHTVKVPLDSMQSSEDIPEGDPGLASEGGEANEEAKKKRRRRKKKEKAAQAQKHEWVLNTLNAVYEDTQQLLYALRRDKKQDILKRYGEEDEAMLRRMREKEIRETEKKQERETNAHWTAVAKSLKQIKGAVSRHYEKFDKAHQLISNLYEQHKQRRKREQEIKQQKELKETMRAERLTQRKSRKYAKSNLATELTTDRRMCAVVEQRLQRQFNLLRLAKLEVTAKTRLDPPLSGPLNELEQIRHAIRAQLRYEREQLIEVPDLGQPKQIPSIRSQKQQLQLVPSPQGPAPQPVSPGPVAAAPPQAAAPPAPSPRGGPAPVPLKQPTSPGSLEPGPGSPRNYNVLAPQQQQQTQPQPPQQQPQQQQQQQQQQQQQQQQQQQQQQQLGPLTRKGQVALQLTEPLPQGRALMKYALIQARLQHLQVPSFPSPGRNPQSMAQPDGPILAGPQSPPMQPPTPGGGSMPSSVHEPAGLPSPHPSMYGQSHQDMPSQPPGMGPHPPRMGTGKMSGCHIDEVIGKDHIGDIPKHMQHMGEQPFDTSGMTPMPHSVSPPGPSPGMMSHVSGPSPGPGPSPGLIPGPSPGPGPSPGPHPMAGPDTPTSM
+>sp|A6NHR9|SMHD1_HUMAN Structural maintenance of chromosomes flexible hinge domain-containing protein 1 OS=Homo sapiens OX=9606 GN=SMCHD1 PE=1 SV=2
+MAAADGGGPGGASVGTEEDGGGVGHRTVYLFDRREKESELGDRPLQVGERSDYAGFRACVCQTLGISPEEKFVITTTSRKEITCDNFDETVKDGVTLYLLQSVNQLLLTATKERIDFLPHYDTLVKSGMYEYYASEGQNPLPFALAELIDNSLSATSRNIGVRRIQIKLLFDETQGKPAVAVIDNGRGMTSKQLNNWAVYRLSKFTRQGDFESDHSGYVRPVPVPRSLNSDISYFGVGGKQAVFFVGQSARMISKPADSQDVHELVLSKEDFEKKEKNKEAIYSGYIRNRKPSDSVHITNDDERFLHHLIIEEKEKDSFTAVVITGVQPEHIQYLKNYFHLWTRQLAHIYHYYIHGPKGNEIRTSKEVEPFNNIDIEISMFEKGKVPKIVNLREIQDDMQTLYVNTAADSFEFKAHVEGDGVVEGIIRYHPFLYDRETYPDDPCFPSKLKDEDDEDDCFILEKAARGKRPIFECFWNGRLIPYTSVEDFDWCTPPKKRGLAPIECYNRISGALFTNDKFQVSTNKLTFMDLELKLKDKNTLFTRILNGQEQRMKIDREFALWLKDCHEKYDKQIKFTLFKGVITRPDLPSKKQGPWATYAAIEWDGKIYKAGQLVKTIKTLPLFYGSIVRFFLYGDHDGEVYATGGEVQIAMEPQALYDEVRTVPIAKLDRTVAEKAVKKYVEDEMARLPDRLSVTWPEGDELLPNEVRPAGTPIGALRIEILNKKGEAMQKLPGTSHGGSKKLLVELKVILHSSSGNKEIISHISQHGGKWPYWFKKMENIQKLGNYTLKLQVVLNESNADTYAGRPLPSKAIKFSVKEGKPEKFSFGLLDLPFRVGVPFNIPLEFQDEFGHTSQLVTDIQPVLEASGLSLHYEEITKGPNCVIRGVTAKGPVNSCQGKNYNLKVTLPGLKEDSQILKIRLLPGHPRRLKVKPDSEILVIENGTAFPFQVEVLDESDNITAQPKLIVHCKFSGAPNLPVYVVDCSSSGTSILTGSAIQVQNIKKDQTLKARIEIPSCKDVAPVEKTIKLLPSSHVARLQIFSVEGQKAIQIKHQDEVNWIAGDIMHNLIFQMYDEGEREINITSALAEKIKVNWTPEINKEHLLQGLLPDVQVPTSVKDMRYCQVSFQDDHVSLESAFTVRPLPDEPKHLKCEMKGGKTVQMGQELQGEVVIIITDQYGNQIQAFSPSSLSSLSIAGVGLDSSNLKTTFQENTQSISVRGIKFIPGPPGNKDLCFTWREFSDFIRVQLISGPPAKLLLIDWPELKESIPVINGRDLQNPIIVQLCDQWDNPAPVQHVKISLTKASNLKLMPSNQQHKTDEKGRANLGVFSVFAPRGEHTLQVKAIYNKSIIEGPIIKLMILPDPEKPVRLNVKYDKDASFLAGGLFTDFMISVISEDDSIIKNINPARISMKMWKLSTSGNRPPANAETFSCNKIKDNDKEDGCFYFRDKVIPNKVGTYCIQFGFMMDKTNILNSEQVIVEVLPNQPVKLVPKIKPPTPAVSNVRSVASRTLVRDLHLSITDDYDNHTGIDLVGTIIATIKGSNEEDTDTPLFIGKVRTLEFPFVNGSAEIMSLVLAESSPGRDSTEYFIVFEPRLPLLSRTLEPYILPFMFYNDVKKQQQMAALTKEKDQLSQSIVMYKSLFEASQQLLNEMKCQVEEARLKEAQLRNELKIHNIDIPTTQQVPHIEALLKRKLSEQEELKKKPRRSCTLPNYTKGSGDVLGKIAHLAQIEDDRAAMVISWHLASDMDCVVTLTTDAARRIYDETQGRQQVLPLDSIYKKTLPDWKRSLPHFRNGKLYFKPIGDPVFARDLLTFPDNVEHCETVFGMLLGDTIILDNLDAANHYRKEVVKITHCPTLLTRDGDRIRSNGKFGGLQNKAPPMDKLRGMVFGAPVPKQCLILGEQIDLLQQYRSAVCKLDSVNKDLNSQLEYLRTPDMRKKKQELDEHEKNLKLIEEKLGMTPIRKCNDSLRHSPKVETTDCPVPPKRMRREATRQNRIITKTDV
+>DECOY_sp|A6NHR9|SMHD1_HUMAN Structural maintenance of chromosomes flexible hinge domain-containing protein 1 OS=Homo sapiens OX=9606 GN=SMCHD1 PE=1 SV=2
+VDTKTIIRNQRTAERRMRKPPVPCDTTEVKPSHRLSDNCKRIPTMGLKEEILKLNKEHEDLEQKKKRMDPTRLYELQSNLDKNVSDLKCVASRYQQLLDIQEGLILCQKPVPAGFVMGRLKDMPPAKNQLGGFKGNSRIRDGDRTLLTPCHTIKVVEKRYHNAADLNDLIITDGLLMGFVTECHEVNDPFTLLDRAFVPDGIPKFYLKGNRFHPLSRKWDPLTKKYISDLPLVQQRGQTEDYIRRAADTTLTVVCDMDSALHWSIVMAARDDEIQALHAIKGLVDGSGKTYNPLTCSRRPKKKLEEQESLKRKLLAEIHPVQQTTPIDINHIKLENRLQAEKLRAEEVQCKMENLLQQSAEFLSKYMVISQSLQDKEKTLAAMQQQKKVDNYFMFPLIYPELTRSLLPLRPEFVIFYETSDRGPSSEALVLSMIEASGNVFPFELTRVKGIFLPTDTDEENSGKITAIITGVLDIGTHNDYDDTISLHLDRVLTRSAVSRVNSVAPTPPKIKPVLKVPQNPLVEVIVQESNLINTKDMMFGFQICYTGVKNPIVKDRFYFCGDEKDNDKIKNCSFTEANAPPRNGSTSLKWMKMSIRAPNINKIISDDESIVSIMFDTFLGGALFSADKDYKVNLRVPKEPDPLIMLKIIPGEIISKNYIAKVQLTHEGRPAFVSFVGLNARGKEDTKHQQNSPMLKLNSAKTLSIKVHQVPAPNDWQDCLQVIIPNQLDRGNIVPISEKLEPWDILLLKAPPGSILQVRIFDSFERWTFCLDKNGPPGPIFKIGRVSISQTNEQFTTKLNSSDLGVGAISLSSLSSPSFAQIQNGYQDTIIIVVEGQLEQGMQVTKGGKMECKLHKPEDPLPRVTFASELSVHDDQFSVQCYRMDKVSTPVQVDPLLGQLLHEKNIEPTWNVKIKEALASTINIEREGEDYMQFILNHMIDGAIWNVEDQHKIQIAKQGEVSFIQLRAVHSSPLLKITKEVPAVDKCSPIEIRAKLTQDKKINQVQIASGTLISTGSSSCDVVYVPLNPAGSFKCHVILKPQATINDSEDLVEVQFPFATGNEIVLIESDPKVKLRRPHGPLLRIKLIQSDEKLGPLTVKLNYNKGQCSNVPGKATVGRIVCNPGKTIEEYHLSLGSAELVPQIDTVLQSTHGFEDQFELPINFPVGVRFPLDLLGFSFKEPKGEKVSFKIAKSPLPRGAYTDANSENLVVQLKLTYNGLKQINEMKKFWYPWKGGHQSIHSIIEKNGSSSHLIVKLEVLLKKSGGHSTGPLKQMAEGKKNLIEIRLAGIPTGAPRVENPLLEDGEPWTVSLRDPLRAMEDEVYKKVAKEAVTRDLKAIPVTRVEDYLAQPEMAIQVEGGTAYVEGDHDGYLFFRVISGYFLPLTKITKVLQGAKYIKGDWEIAAYTAWPGQKKSPLDPRTIVGKFLTFKIQKDYKEHCDKLWLAFERDIKMRQEQGNLIRTFLTNKDKLKLELDMFTLKNTSVQFKDNTFLAGSIRNYCEIPALGRKKPPTCWDFDEVSTYPILRGNWFCEFIPRKGRAAKELIFCDDEDDEDKLKSPFCPDDPYTERDYLFPHYRIIGEVVGDGEVHAKFEFSDAATNVYLTQMDDQIERLNVIKPVKGKEFMSIEIDINNFPEVEKSTRIENGKPGHIYYHYIHALQRTWLHFYNKLYQIHEPQVGTIVVATFSDKEKEEIILHHLFREDDNTIHVSDSPKRNRIYGSYIAEKNKEKKEFDEKSLVLEHVDQSDAPKSIMRASQGVFFVAQKGGVGFYSIDSNLSRPVPVPRVYGSHDSEFDGQRTFKSLRYVAWNNLQKSTMGRGNDIVAVAPKGQTEDFLLKIQIRRVGINRSTASLSNDILEALAFPLPNQGESAYYEYMGSKVLTDYHPLFDIREKTATLLLQNVSQLLYLTVGDKVTEDFNDCTIEKRSTTTIVFKEEPSIGLTQCVCARFGAYDSREGVQLPRDGLESEKERRDFLYVTRHGVGGGDEETGVSAGGPGGGDAAAM
+>sp|B2RUZ4|SMIM1_HUMAN Small integral membrane protein 1 OS=Homo sapiens OX=9606 GN=SMIM1 PE=1 SV=1
+MQPQESHVHYSRWEDGSRDGVSLGAVSSTEEASRCRRISQRLCTGKLGIAMKVLGGVALFWIIFILGYLTGYYVHKCK
+>DECOY_sp|B2RUZ4|SMIM1_HUMAN Small integral membrane protein 1 OS=Homo sapiens OX=9606 GN=SMIM1 PE=1 SV=1
+KCKHVYYGTLYGLIFIIWFLAVGGLVKMAIGLKGTCLRQSIRRCRSAEETSSVAGLSVGDRSGDEWRSYHVHSEQPQM
+>sp|Q8WVI0|SMIM4_HUMAN Small integral membrane protein 4 OS=Homo sapiens OX=9606 GN=SMIM4 PE=1 SV=2
+MFTRAQVRRILQRVPGKQRFGIYRFLPFFFVLGGTMEWIMIKVRVGQETFYDVYRRKASERQYQRRLEDE
+>DECOY_sp|Q8WVI0|SMIM4_HUMAN Small integral membrane protein 4 OS=Homo sapiens OX=9606 GN=SMIM4 PE=1 SV=2
+EDELRRQYQRESAKRRYVDYFTEQGVRVKIMIWEMTGGLVFFFPLFRYIGFRQKGPVRQLIRRVQARTFM
+>sp|P0DI80|SMIM6_HUMAN Small integral membrane protein 6 OS=Homo sapiens OX=9606 GN=SMIM6 PE=4 SV=1
+MDQLVFKETIWNDAFWQNPWDQGGLAVIILFITAVLLLILFAIVFGLLTSTENTQCEAGEEE
+>DECOY_sp|P0DI80|SMIM6_HUMAN Small integral membrane protein 6 OS=Homo sapiens OX=9606 GN=SMIM6 PE=4 SV=1
+EEEGAECQTNETSTLLGFVIAFLILLLVATIFLIIVALGGQDWPNQWFADNWITEKFVLQDM
+>sp|A6NGZ8|SMIM9_HUMAN Small integral membrane protein 9 OS=Homo sapiens OX=9606 GN=SMIM9 PE=3 SV=1
+MEPQKLLIIGFLLCSLTCLLLETVASSPLPLSALGIQEKTGSKPRSGGNHRSWLNNFRDYLWQLIKSALPPAAIVAFLLTSALMGILCCFTILVVDPVH
+>DECOY_sp|A6NGZ8|SMIM9_HUMAN Small integral membrane protein 9 OS=Homo sapiens OX=9606 GN=SMIM9 PE=3 SV=1
+HVPDVVLITFCCLIGMLASTLLFAVIAAPPLASKILQWLYDRFNNLWSRHNGGSRPKSGTKEQIGLASLPLPSSAVTELLLCTLSCLLFGIILLKQPEM
+>sp|P02814|SMR3B_HUMAN Submaxillary gland androgen-regulated protein 3B OS=Homo sapiens OX=9606 GN=SMR3B PE=1 SV=2
+MKSLTWILGLWALAACFTPGESQRGPRGPYPPGPLAPPQPFGPGFVPPPPPPPYGPGRIPPPPPAPYGPGIFPPPPPQP
+>DECOY_sp|P02814|SMR3B_HUMAN Submaxillary gland androgen-regulated protein 3B OS=Homo sapiens OX=9606 GN=SMR3B PE=1 SV=2
+PQPPPPPFIGPGYPAPPPPPIRGPGYPPPPPPPVFGPGFPQPPALPGPPYPGRPGRQSEGPTFCAALAWLGLIWTLSKM
+>sp|Q2TAY7|SMU1_HUMAN WD40 repeat-containing protein SMU1 OS=Homo sapiens OX=9606 GN=SMU1 PE=1 SV=2
+MSIEIESSDVIRLIMQYLKENSLHRALATLQEETTVSLNTVDSIESFVADINSGHWDTVLQAIQSLKLPDKTLIDLYEQVVLELIELRELGAARSLLRQTDPMIMLKQTQPERYIHLENLLARSYFDPREAYPDGSSKEKRRAAIAQALAGEVSVVPPSRLMALLGQALKWQQHQGLLPPGMTIDLFRGKAAVKDVEEEKFPTQLSRHIKFGQKSHVECARFSPDGQYLVTGSVDGFIEVWNFTTGKIRKDLKYQAQDNFMMMDDAVLCMCFSRDTEMLATGAQDGKIKVWKIQSGQCLRRFERAHSKGVTCLSFSKDSSQILSASFDQTIRIHGLKSGKTLKEFRGHSSFVNEATFTQDGHYIISASSDGTVKIWNMKTTECSNTFKSLGSTAGTDITVNSVILLPKNPEHFVVCNRSNTVVIMNMQGQIVRSFSSGKREGGDFVCCALSPRGEWIYCVGEDFVLYCFSTVTGKLERTLTVHEKDVIGIAHHPHQNLIATYSEDGLLKLWKP
+>DECOY_sp|Q2TAY7|SMU1_HUMAN WD40 repeat-containing protein SMU1 OS=Homo sapiens OX=9606 GN=SMU1 PE=1 SV=2
+PKWLKLLGDESYTAILNQHPHHAIGIVDKEHVTLTRELKGTVTSFCYLVFDEGVCYIWEGRPSLACCVFDGGERKGSSFSRVIQGQMNMIVVTNSRNCVVFHEPNKPLLIVSNVTIDTGATSGLSKFTNSCETTKMNWIKVTGDSSASIIYHGDQTFTAENVFSSHGRFEKLTKGSKLGHIRITQDFSASLIQSSDKSFSLCTVGKSHAREFRRLCQGSQIKWVKIKGDQAGTALMETDRSFCMCLVADDMMMFNDQAQYKLDKRIKGTTFNWVEIFGDVSGTVLYQGDPSFRACEVHSKQGFKIHRSLQTPFKEEEVDKVAAKGRFLDITMGPPLLGQHQQWKLAQGLLAMLRSPPVVSVEGALAQAIAARRKEKSSGDPYAERPDFYSRALLNELHIYREPQTQKLMIMPDTQRLLSRAAGLERLEILELVVQEYLDILTKDPLKLSQIAQLVTDWHGSNIDAVFSEISDVTNLSVTTEEQLTALARHLSNEKLYQMILRIVDSSEIEISM
+>sp|Q8NB12|SMYD1_HUMAN Histone-lysine N-methyltransferase SMYD1 OS=Homo sapiens OX=9606 GN=SMYD1 PE=1 SV=1
+MTIGRMENVEVFTAEGKGRGLKATKEFWAADIIFAERAYSAVVFDSLVNFVCHTCFKRQEKLHRCGQCKFAHYCDRTCQKDAWLNHKNECSAIKRYGKVPNENIRLAARIMWRVEREGTGLTEGCLVSVDDLQNHVEHFGEEEQKDLRVDVDTFLQYWPPQSQQFSMQYISHIFGVINCNGFTLSDQRGLQAVGVGIFPNLGLVNHDCWPNCTVIFNNGNHEAVKSMFHTQMRIELRALGKISEGEELTVSYIDFLNVSEERKRQLKKQYYFDCTCEHCQKKLKDDLFLGVKDNPKPSQEVVKEMIQFSKDTLEKIDKARSEGLYHEVVKLCRECLEKQEPVFADTNIYMLRMLSIVSEVLSYLQAFEEASFYARRMVDGYMKLYHPNNAQLGMAVMRAGLTNWHAGNIEVGHGMICKAYAILLVTHGPSHPITKDLEAMRVQTEMELRMFRQNEFMYYKMREAALNNQPMQVMAEPSNEPSPALFHKKQ
+>DECOY_sp|Q8NB12|SMYD1_HUMAN Histone-lysine N-methyltransferase SMYD1 OS=Homo sapiens OX=9606 GN=SMYD1 PE=1 SV=1
+QKKHFLAPSPENSPEAMVQMPQNNLAAERMKYYMFENQRFMRLEMETQVRMAELDKTIPHSPGHTVLLIAYAKCIMGHGVEINGAHWNTLGARMVAMGLQANNPHYLKMYGDVMRRAYFSAEEFAQLYSLVESVISLMRLMYINTDAFVPEQKELCERCLKVVEHYLGESRAKDIKELTDKSFQIMEKVVEQSPKPNDKVGLFLDDKLKKQCHECTCDFYYQKKLQRKREESVNLFDIYSVTLEEGESIKGLARLEIRMQTHFMSKVAEHNGNNFIVTCNPWCDHNVLGLNPFIGVGVAQLGRQDSLTFGNCNIVGFIHSIYQMSFQQSQPPWYQLFTDVDVRLDKQEEEGFHEVHNQLDDVSVLCGETLGTGEREVRWMIRAALRINENPVKGYRKIASCENKHNLWADKQCTRDCYHAFKCQGCRHLKEQRKFCTHCVFNVLSDFVVASYAREAFIIDAAWFEKTAKLGRGKGEATFVEVNEMRGITM
+>sp|Q9Y675|SNURF_HUMAN SNRPN upstream reading frame protein OS=Homo sapiens OX=9606 GN=SNURF PE=1 SV=1
+MERARDRLHLRRTTEQHVPEVEVQVKRRRTASLSNQECQLYPRRSQQQQVPVVDFQAELRQAFLAETPRGG
+>DECOY_sp|Q9Y675|SNURF_HUMAN SNRPN upstream reading frame protein OS=Homo sapiens OX=9606 GN=SNURF PE=1 SV=1
+GGRPTEALFAQRLEAQFDVVPVQQQQSRRPYLQCEQNSLSATRRRKVQVEVEPVHQETTRRLHLRDRAREM
+>sp|Q9UMY4|SNX12_HUMAN Sorting nexin-12 OS=Homo sapiens OX=9606 GN=SNX12 PE=1 SV=3
+MSDTAVADTRRLNSKPQDLTDAYGPPSNFLEIDIFNPQTVGVGRARFTTYEVRMRTNLPIFKLKESCVRRRYSDFEWLKNELERDSKIVVPPLPGKALKRQLPFRGDEGIFEESFIEERRQGLEQFINKIAGHPLAQNERCLHMFLQEEAIDRNYVPGKSLAVSCPGWSAVA
+>DECOY_sp|Q9UMY4|SNX12_HUMAN Sorting nexin-12 OS=Homo sapiens OX=9606 GN=SNX12 PE=1 SV=3
+AVASWGPCSVALSKGPVYNRDIAEEQLFMHLCRENQALPHGAIKNIFQELGQRREEIFSEEFIGEDGRFPLQRKLAKGPLPPVVIKSDRELENKLWEFDSYRRRVCSEKLKFIPLNTRMRVEYTTFRARGVGVTQPNFIDIELFNSPPGYADTLDQPKSNLRRTDAVATDSM
+>sp|Q9NRS6|SNX15_HUMAN Sorting nexin-15 OS=Homo sapiens OX=9606 GN=SNX15 PE=1 SV=1
+MSRQAKDDFLRHYTVSDPRTHPKGYTEYKVTAQFISKKDPEDVKEVVVWKRYSDFRKLHGDLAYTHRNLFRRLEEFPAFPRAQVFGRFEASVIEERRKGAEDLLRFTVHIPALNNSPQLKEFFRGGEVTRPLEVSRDLHILPPPLIPTPPPDDPRLSQLLPAERRGLEELEVPVDPPPSSPAQEALDLLFNCESTEEASGSPARGPLTEAELALFDPFSKEEGAAPSPTHVAELATMEVESARLDQEPWEPGGQEEEEDGEGGPTPAYLSQATELITQALRDEKAGAYAAALQGYRDGVHVLLQGVPSDPLPARQEGVKKKAAEYLKRAEEILRLHLSQLPP
+>DECOY_sp|Q9NRS6|SNX15_HUMAN Sorting nexin-15 OS=Homo sapiens OX=9606 GN=SNX15 PE=1 SV=1
+PPLQSLHLRLIEEARKLYEAAKKKVGEQRAPLPDSPVGQLLVHVGDRYGQLAAAYAGAKEDRLAQTILETAQSLYAPTPGGEGDEEEEQGGPEWPEQDLRASEVEMTALEAVHTPSPAAGEEKSFPDFLALEAETLPGRAPSGSAEETSECNFLLDLAEQAPSSPPPDVPVELEELGRREAPLLQSLRPDDPPPTPILPPPLIHLDRSVELPRTVEGGRFFEKLQPSNNLAPIHVTFRLLDEAGKRREEIVSAEFRGFVQARPFAPFEELRRFLNRHTYALDGHLKRFDSYRKWVVVEKVDEPDKKSIFQATVKYETYGKPHTRPDSVTYHRLFDDKAQRSM
+>sp|Q92543|SNX19_HUMAN Sorting nexin-19 OS=Homo sapiens OX=9606 GN=SNX19 PE=1 SV=2
+MKTETVPPFQETPAGSSCHLNNLLSSRKLMAVGVLLGWLLVIHLLVNVWLLCLLSALLVVLGGWLGSSLAGVASGRLHLERFIPLATCPPCPEAERQLEREINRTIQMIIRDFVLSWYRSVSQEPAFEEEMEAAMKGLVQELRRRMSVMDSHAVAQSVLTLCGCHLQSYIQAKEATAGKNGPVEPSHLWEAYCRATAPHPAVHSPSAEVTYTRGVVNLLLQGLVPKPHLETRTGRHVVVELITCNVILPLISRLSDPDWIHLVLVGIFSKARDPAPCPASAPEQPSVPTSLPLIAEVEQLPEGRASPVAAPVFLSYSEPEGSAGPSPEVEEGHEAVEGDLGGMCEERKVGNNSSHFLQPNVRGPLFLCEDSELESPLSELGKETIMLMTPGSFLSDRIQDALCALESSQALEPKDGEASEGAEAEEGPGTETETGLPVSTLNSCPEIHIDTADKEIEQGDVTASVTALLEGPEKTCPSRPSCLEKDLTNDVSSLDPTLPPVLLSSSPPGPLSSATFSFEPLSSPDGPVIIQNLRITGTITAREHSGTGFHPYTLYTVKYETALDGENSSGLQQLAYHTVNRRYREFLNLQTRLEEKPDLRKFIKNVKGPKKLFPDLPLGNMDSDRVEARKSLLESFLKQLCAIPEIANSEEVQEFLALNTDARIAFVKKPFMVSRIDKMVVSAIVDTLKTAFPRSEPQSPTEELSEAETESKPQTEGKKASKSRLRFSSSKISPALSVTEAQDKILYCLQEGNVESETLSMSAMESFIEKQTKLLEMQPTKAPEKDPEQPPKGRVDSCVSDAAVPAQDPSNSDPGTETELADTALDLLLLLLTEQWKWLCTENMQKFLRLIFGTLVQRWLEVQVANLTSPQRWVQYLLLLQESIWPGGVLPKFPRPVRTQEQKLAAEKQALQSLMGVLPDLVVEILGVNKCRLSWGLVLESLQQPLINRHLIYCLGDIILEFLDLSASVEESAATTSASDTPGNSKRMGVSS
+>DECOY_sp|Q92543|SNX19_HUMAN Sorting nexin-19 OS=Homo sapiens OX=9606 GN=SNX19 PE=1 SV=2
+SSVGMRKSNGPTDSASTTAASEEVSASLDLFELIIDGLCYILHRNILPQQLSELVLGWSLRCKNVGLIEVVLDPLVGMLSQLAQKEAALKQEQTRVPRPFKPLVGGPWISEQLLLLYQVWRQPSTLNAVQVELWRQVLTGFILRLFKQMNETCLWKWQETLLLLLLDLATDALETETGPDSNSPDQAPVAADSVCSDVRGKPPQEPDKEPAKTPQMELLKTQKEIFSEMASMSLTESEVNGEQLCYLIKDQAETVSLAPSIKSSSFRLRSKSAKKGETQPKSETEAESLEETPSQPESRPFATKLTDVIASVVMKDIRSVMFPKKVFAIRADTNLALFEQVEESNAIEPIACLQKLFSELLSKRAEVRDSDMNGLPLDPFLKKPGKVNKIFKRLDPKEELRTQLNLFERYRRNVTHYALQQLGSSNEGDLATEYKVTYLTYPHFGTGSHERATITGTIRLNQIIVPGDPSSLPEFSFTASSLPGPPSSSLLVPPLTPDLSSVDNTLDKELCSPRSPCTKEPGELLATVSATVDGQEIEKDATDIHIEPCSNLTSVPLGTETETGPGEEAEAGESAEGDKPELAQSSELACLADQIRDSLFSGPTMLMITEKGLESLPSELESDECLFLPGRVNPQLFHSSNNGVKREECMGGLDGEVAEHGEEVEPSPGASGEPESYSLFVPAAVPSARGEPLQEVEAILPLSTPVSPQEPASAPCPAPDRAKSFIGVLVLHIWDPDSLRSILPLIVNCTILEVVVHRGTRTELHPKPVLGQLLLNVVGRTYTVEASPSHVAPHPATARCYAEWLHSPEVPGNKGATAEKAQIYSQLHCGCLTLVSQAVAHSDMVSMRRRLEQVLGKMAAEMEEEFAPEQSVSRYWSLVFDRIIMQITRNIERELQREAEPCPPCTALPIFRELHLRGSAVGALSSGLWGGLVVLLASLLCLLWVNVLLHIVLLWGLLVGVAMLKRSSLLNNLHCSSGAPTEQFPPVTETKM
+>sp|Q9Y343|SNX24_HUMAN Sorting nexin-24 OS=Homo sapiens OX=9606 GN=SNX24 PE=1 SV=1
+MEVYIPSFRYEESDLERGYTVFKIEVLMNGRKHFVEKRYSEFHALHKKLKKCIKTPEIPSKHVRNWVPKVLEQRRQGLETYLQAVILENEELPKLFLDFLNVRHLPSLPKAESCGSFDETESEESSKLSHQPVLLFLRDPYVLPAASDFPNVVIEGVLHGIFYPHLQPR
+>DECOY_sp|Q9Y343|SNX24_HUMAN Sorting nexin-24 OS=Homo sapiens OX=9606 GN=SNX24 PE=1 SV=1
+RPQLHPYFIGHLVGEIVVNPFDSAAPLVYPDRLFLLVPQHSLKSSEESETEDFSGCSEAKPLSPLHRVNLFDLFLKPLEENELIVAQLYTELGQRRQELVKPVWNRVHKSPIEPTKICKKLKKHLAHFESYRKEVFHKRGNMLVEIKFVTYGRELDSEEYRFSPIYVEM
+>sp|Q9H3E2|SNX25_HUMAN Sorting nexin-25 OS=Homo sapiens OX=9606 GN=SNX25 PE=1 SV=2
+MDKALKEVFDYSYRDYILSWYGNLSRDEGQLYHLLLEDFWEIARQLHHRLSHVDVVKVVCNDVVRTLLTHFCDLKAANARHEEQPRPFVLHACLRNSDDEVRFLQTCSRVLVFCLLPSKDVQSLSLRIMLAEILTTKVLKPVVELLSNPDYINQMLLAQLAYREQMNEHHKRAYTYAPSYEDFIKLINSNSDVEFLKQLRYQIVVEIIQATTISSFPQLKRHKGKETAAMKADLLRARNMKRYINQLTVAKKQCEKRIRILGGPAYDQQEDGALDEGEGPQSQKILQFEDILANTFYREHFGMYMERMDKRALISFWESVEHLKNANKNEIPQLVGEIYQNFFVESKEISVEKSLYKEIQQCLVGNKGIEVFYKIQEDVYETLKDRYYPSFIVSDLYEKLLIKEEEKHASQMISNKDEMGPRDEAGEEAVDDGTNQINEQASFAVNKLRELNEKLEYKRQALNSIQNAPKPDKKIVSKLKDEIILIEKERTDLQLHMARTDWWCENLGMWKASITSGEVTEENGEQLPCYFVMVSLQEVGGVETKNWTVPRRLSEFQNLHRKLSECVPSLKKVQLPSLSKLPFKSIDQKFMEKSKNQLNKFLQNLLSDERLCQSEALYAFLSPSPDYLKVIDVQGKKNSFSLSSFLERLPRDFFSHQEEETEEDSDLSDYGDDVDGRKDALAEPCFMLIGEIFELRGMFKWVRRTLIALVQVTFGRTINKQIRDTVSWIFSEQMLVYYINIFRDAFWPNGKLAPPTTIRSKEQSQETKQRAQQKLLENIPDMLQSLVGQQNARHGIIKIFNALQETRANKHLLYALMELLLIELCPELRVHLDQLKAGQV
+>DECOY_sp|Q9H3E2|SNX25_HUMAN Sorting nexin-25 OS=Homo sapiens OX=9606 GN=SNX25 PE=1 SV=2
+VQGAKLQDLHVRLEPCLEILLLEMLAYLLHKNARTEQLANFIKIIGHRANQQGVLSQLMDPINELLKQQARQKTEQSQEKSRITTPPALKGNPWFADRFINIYYVLMQESFIWSVTDRIQKNITRGFTVQVLAILTRRVWKFMGRLEFIEGILMFCPEALADKRGDVDDGYDSLDSDEETEEEQHSFFDRPLRELFSSLSFSNKKGQVDIVKLYDPSPSLFAYLAESQCLREDSLLNQLFKNLQNKSKEMFKQDISKFPLKSLSPLQVKKLSPVCESLKRHLNQFESLRRPVTWNKTEVGGVEQLSVMVFYCPLQEGNEETVEGSTISAKWMGLNECWWDTRAMHLQLDTREKEILIIEDKLKSVIKKDPKPANQISNLAQRKYELKENLERLKNVAFSAQENIQNTGDDVAEEGAEDRPGMEDKNSIMQSAHKEEEKILLKEYLDSVIFSPYYRDKLTEYVDEQIKYFVEIGKNGVLCQQIEKYLSKEVSIEKSEVFFNQYIEGVLQPIENKNANKLHEVSEWFSILARKDMREMYMGFHERYFTNALIDEFQLIKQSQPGEGEDLAGDEQQDYAPGGLIRIRKECQKKAVTLQNIYRKMNRARLLDAKMAATEKGKHRKLQPFSSITTAQIIEVVIQYRLQKLFEVDSNSNILKIFDEYSPAYTYARKHHENMQERYALQALLMQNIYDPNSLLEVVPKLVKTTLIEALMIRLSLSQVDKSPLLCFVLVRSCTQLFRVEDDSNRLCAHLVFPRPQEEHRANAAKLDCFHTLLTRVVDNCVVKVVDVHSLRHHLQRAIEWFDELLLHYLQGEDRSLNGYWSLIYDRYSYDFVEKLAKDM
+>sp|Q9Y5X3|SNX5_HUMAN Sorting nexin-5 OS=Homo sapiens OX=9606 GN=SNX5 PE=1 SV=1
+MAAVPELLQQQEEDRSKLRSVSVDLNVDPSLQIDIPDALSERDKVKFTVHTKTTLPTFQSPEFSVTRQHEDFVWLHDTLIETTDYAGLIIPPAPTKPDFDGPREKMQKLGEGEGSMTKEEFAKMKQELEAEYLAVFKKTVSSHEVFLQRLSSHPVLSKDRNFHVFLEYDQDLSVRRKNTKEMFGGFFKSVVKSADEVLFTGVKEVDDFFEQEKNFLINYYNRIKDSCVKADKMTRSHKNVADDYIHTAACLHSLALEEPTVIKKYLLKVAELFEKLRKVEGRVSSDEDLKLTELLRYYMLNIEAAKDLLYRRTKALIDYENSNKALDKARLKSKDVKLAEAHQQECCQKFEQLSESAKEELINFKRKRVAAFRKNLIEMSELEIKHARNNVSLLQSCIDLFKNN
+>DECOY_sp|Q9Y5X3|SNX5_HUMAN Sorting nexin-5 OS=Homo sapiens OX=9606 GN=SNX5 PE=1 SV=1
+NNKFLDICSQLLSVNNRAHKIELESMEILNKRFAAVRKRKFNILEEKASESLQEFKQCCEQQHAEALKVDKSKLRAKDLAKNSNEYDILAKTRRYLLDKAAEINLMYYRLLETLKLDEDSSVRGEVKRLKEFLEAVKLLYKKIVTPEELALSHLCAATHIYDDAVNKHSRTMKDAKVCSDKIRNYYNILFNKEQEFFDDVEKVGTFLVEDASKVVSKFFGGFMEKTNKRRVSLDQDYELFVHFNRDKSLVPHSSLRQLFVEHSSVTKKFVALYEAELEQKMKAFEEKTMSGEGEGLKQMKERPGDFDPKTPAPPIILGAYDTTEILTDHLWVFDEHQRTVSFEPSQFTPLTTKTHVTFKVKDRESLADPIDIQLSPDVNLDVSVSRLKSRDEEQQQLLEPVAAM
+>sp|Q9BQ15|SOSB1_HUMAN SOSS complex subunit B1 OS=Homo sapiens OX=9606 GN=NABP2 PE=1 SV=1
+MTTETFVKDIKPGLKNLNLIFIVLETGRVTKTKDGHEVRTCKVADKTGSINISVWDDVGNLIQPGDIIRLTKGYASVFKGCLTLYTGRGGDLQKIGEFCMVYSEVPNFSEPNPEYSTQQAPNKAVQNDSNPSASQPTTGPSAASPASENQNGNGLSAPPGPGGGPHPPHTPSHPPSTRITRSQPNHTPAGPPGPSSNPVSNGKETRRSSKR
+>DECOY_sp|Q9BQ15|SOSB1_HUMAN SOSS complex subunit B1 OS=Homo sapiens OX=9606 GN=NABP2 PE=1 SV=1
+RKSSRRTEKGNSVPNSSPGPPGAPTHNPQSRTIRTSPPHSPTHPPHPGGGPGPPASLGNGNQNESAPSAASPGTTPQSASPNSDNQVAKNPAQQTSYEPNPESFNPVESYVMCFEGIKQLDGGRGTYLTLCGKFVSAYGKTLRIIDGPQILNGVDDWVSINISGTKDAVKCTRVEHGDKTKTVRGTELVIFILNLNKLGPKIDKVFTETTM
+>sp|Q9BQB4|SOST_HUMAN Sclerostin OS=Homo sapiens OX=9606 GN=SOST PE=1 SV=1
+MQLPLALCLVCLLVHTAFRVVEGQGWQAFKNDATEIIPELGEYPEPPPELENNKTMNRAENGGRPPHHPFETKDVSEYSCRELHFTRYVTDGPCRSAKPVTELVCSGQCGPARLLPNAIGRGKWWRPSGPDFRCIPDRYRAQRVQLLCPGGEAPRARKVRLVASCKCKRLTRFHNQSELKDFGTEAARPQKGRKPRPRARSAKANQAELENAY
+>DECOY_sp|Q9BQB4|SOST_HUMAN Sclerostin OS=Homo sapiens OX=9606 GN=SOST PE=1 SV=1
+YANELEAQNAKASRARPRPKRGKQPRAAETGFDKLESQNHFRTLRKCKCSAVLRVKRARPAEGGPCLLQVRQARYRDPICRFDPGSPRWWKGRGIANPLLRAPGCQGSCVLETVPKASRCPGDTVYRTFHLERCSYESVDKTEFPHHPPRGGNEARNMTKNNELEPPPEPYEGLEPIIETADNKFAQWGQGEVVRFATHVLLCVLCLALPLQM
+>sp|Q8TDD2|SP7_HUMAN Transcription factor Sp7 OS=Homo sapiens OX=9606 GN=SP7 PE=1 SV=1
+MASSLLEEEVHYGSSPLAMLTAACSKFGGSSPLRDSTTLGKAGTKKPYSVGSDLSASKTMGDAYPAPFTSTNGLLSPAGSPPAPTSGYANDYPPFSHSFPGPTGTQDPGLLVPKGHSSSDCLPSVYTSLDMTHPYGSWYKAGIHAGISPGPGNTPTPWWDMHPGGNWLGGGQGQGDGLQGTLPTGPAQPPLNPQLPTYPSDFAPLNPAPYPAPHLLQPGPQHVLPQDVYKPKAVGNSGQLEGSGGAKPPRGASTGGSGGYGGSGAGRSSCDCPNCQELERLGAAAAGLRKKPIHSCHIPGCGKVYGKASHLKAHLRWHTGERPFVCNWLFCGKRFTRSDELERHVRTHTREKKFTCLLCSKRFTRSDHLSKHQRTHGEPGPGPPPSGPKELGEGRSTGEEEASQTPRPSASPATPEKAPGGSPEQSNLLEI
+>DECOY_sp|Q8TDD2|SP7_HUMAN Transcription factor Sp7 OS=Homo sapiens OX=9606 GN=SP7 PE=1 SV=1
+IELLNSQEPSGGPAKEPTAPSASPRPTQSAEEEGTSRGEGLEKPGSPPPGPGPEGHTRQHKSLHDSRTFRKSCLLCTFKKERTHTRVHRELEDSRTFRKGCFLWNCVFPREGTHWRLHAKLHSAKGYVKGCGPIHCSHIPKKRLGAAAAGLRELEQCNPCDCSSRGAGSGGYGGSGGTSAGRPPKAGGSGELQGSNGVAKPKYVDQPLVHQPGPQLLHPAPYPAPNLPAFDSPYTPLQPNLPPQAPGTPLTGQLGDGQGQGGGLWNGGPHMDWWPTPTNGPGPSIGAHIGAKYWSGYPHTMDLSTYVSPLCDSSSHGKPVLLGPDQTGTPGPFSHSFPPYDNAYGSTPAPPSGAPSLLGNTSTFPAPYADGMTKSASLDSGVSYPKKTGAKGLTTSDRLPSSGGFKSCAATLMALPSSGYHVEEELLSSAM
+>sp|Q8IW75|SPA12_HUMAN Serpin A12 OS=Homo sapiens OX=9606 GN=SERPINA12 PE=1 SV=1
+MNPTLGLAIFLAVLLTVKGLLKPSFSPRNYKALSEVQGWKQRMAAKELARQNMDLGFKLLKKLAFYNPGRNIFLSPLSISTAFSMLCLGAQDSTLDEIKQGFNFRKMPEKDLHEGFHYIIHELTQKTQDLKLSIGNTLFIDQRLQPQRKFLEDAKNFYSAETILTNFQNLEMAQKQINDFISQKTHGKINNLIENIDPGTVMLLANYIFFRARWKHEFDPNVTKEEDFFLEKNSSVKVPMMFRSGIYQVGYDDKLSCTILEIPYQKNITAIFILPDEGKLKHLEKGLQVDTFSRWKTLLSRRVVDVSVPRLHMTGTFDLKKTLSYIGVSKIFEEHGDLTKIAPHRSLKVGEAVHKAELKMDERGTEGAAGTGAQTLPMETPLVVKIDKPYLLLIYSEKIPSVLFLGKIVNPIGK
+>DECOY_sp|Q8IW75|SPA12_HUMAN Serpin A12 OS=Homo sapiens OX=9606 GN=SERPINA12 PE=1 SV=1
+KGIPNVIKGLFLVSPIKESYILLLYPKDIKVVLPTEMPLTQAGTGAAGETGREDMKLEAKHVAEGVKLSRHPAIKTLDGHEEFIKSVGIYSLTKKLDFTGTMHLRPVSVDVVRRSLLTKWRSFTDVQLGKELHKLKGEDPLIFIATINKQYPIELITCSLKDDYGVQYIGSRFMMPVKVSSNKELFFDEEKTVNPDFEHKWRARFFIYNALLMVTGPDINEILNNIKGHTKQSIFDNIQKQAMELNQFNTLITEASYFNKADELFKRQPQLRQDIFLTNGISLKLDQTKQTLEHIIYHFGEHLDKEPMKRFNFGQKIEDLTSDQAGLCLMSFATSISLPSLFINRGPNYFALKKLLKFGLDMNQRALEKAAMRQKWGQVESLAKYNRPSFSPKLLGKVTLLVALFIALGLTPNM
+>sp|Q99932|SPAG8_HUMAN Sperm-associated antigen 8 OS=Homo sapiens OX=9606 GN=SPAG8 PE=1 SV=2
+METNESTEGSRSRSRSLDIQPSSEGLGPTSEPFPSSDDSPRSALAAATAAAAAAASAAAATAAFTTAKAAALSTKTPAPCSEFMEPSSDPSLLGEPCAGPGFTHNIAHGSLGFEPVYVSCIAQDTCTTTDHSSNPGPVPGSSSGPVLGSSSGAGHGSGSGSGPGCGSVPGSGSGPGPGSGPGSGPGHGSGSHPGPASGPGPDTGPDSELSPCIPPGFRNLVADRVPNYTSWSQHCPWEPQKQPPWEFLQVLEPGARGLWKPPDIKGKLMVCYETLPRGQCLLYNWEEERATNHLDQVPSMQDGSESFFFRHGHRGLLTMQLKSPMPSSTTQKDSYQPPGNVYWPLRGKREAMLEMLLQHQICKEVQAEQEPTRKLFEVESVTHHDYRMELAQAGTPAPTKPHDYRQEQPETFWIQRAPQLPVCEGD
+>DECOY_sp|Q99932|SPAG8_HUMAN Sperm-associated antigen 8 OS=Homo sapiens OX=9606 GN=SPAG8 PE=1 SV=2
+DGECVPLQPARQIWFTEPQEQRYDHPKTPAPTGAQALEMRYDHHTVSEVEFLKRTPEQEAQVEKCIQHQLLMELMAERKGRLPWYVNGPPQYSDKQTTSSPMPSKLQMTLLGRHGHRFFFSESGDQMSPVQDLHNTAREEEWNYLLCQGRPLTEYCVMLKGKIDPPKWLGRAGPELVQLFEWPPQKQPEWPCHQSWSTYNPVRDAVLNRFGPPICPSLESDPGTDPGPGSAPGPHSGSGHGPGSGPGSGPGPGSGSGPVSGCGPGSGSGSGHGAGSSSGLVPGSSSGPVPGPNSSHDTTTCTDQAICSVYVPEFGLSGHAINHTFGPGACPEGLLSPDSSPEMFESCPAPTKTSLAAAKATTFAATAAAASAAAAAAATAAALASRPSDDSSPFPESTPGLGESSPQIDLSRSRSRSGETSENTEM
+>sp|O75934|SPF27_HUMAN Pre-mRNA-splicing factor SPF27 OS=Homo sapiens OX=9606 GN=BCAS2 PE=1 SV=1
+MAGTGLVAGEVVVDALPYFDQGYEAPGVREAAAALVEEETRRYRPTKNYLSYLTAPDYSAFETDIMRNEFERLAARQPIELLSMKRYELPAPSSGQKNDITAWQECVNNSMAQLEHQAVRIENLELMSQHGCNAWKVYNENLVHMIEHAQKELQKLRKHIQDLNWQRKNMQLTAGSKLREMESNWVSLVSKNYEIERTIVQLENEIYQIKQQHGEANKENIRQDF
+>DECOY_sp|O75934|SPF27_HUMAN Pre-mRNA-splicing factor SPF27 OS=Homo sapiens OX=9606 GN=BCAS2 PE=1 SV=1
+FDQRINEKNAEGHQQKIQYIENELQVITREIEYNKSVLSVWNSEMERLKSGATLQMNKRQWNLDQIHKRLKQLEKQAHEIMHVLNENYVKWANCGHQSMLELNEIRVAQHELQAMSNNVCEQWATIDNKQGSSPAPLEYRKMSLLEIPQRAALREFENRMIDTEFASYDPATLYSLYNKTPRYRRTEEEVLAAAAERVGPAEYGQDFYPLADVVVEGAVLGTGAM
+>sp|Q6Q759|SPG17_HUMAN Sperm-associated antigen 17 OS=Homo sapiens OX=9606 GN=SPAG17 PE=2 SV=1
+MAPKKEKGGTVNTSSKIWEPSLIAAQFNQNDWQASIAFVVGNQIEDDLLIQALTVAVQVPQRKLFSMVSWQDILQQINEINTLVGSASSKKAKKPVGGNAPLYYEVLTAAKAIMDSGEKLTLPLIGKLLKFQLLQIKFKDQQRRENEKKVIEDKPKLEKDKGKAKSPKEKKAPSAKPAKGKGKDQPEANAPVKKTTQLKRRGEDDHTNRYIDDEPDDGAQHYIIVVGFNNPQLLAIMAELGIPITSVIKISSENYEPLQTHLAAVNQQQEVLLQSEDLEAEKLKKENAIKELKTFWKYLEPVLNNEKPETNLFDVARLEYMVKAADFPSDWSDGEMMLKLGTDIFENIACLMYDILDWKRQHQHYLESMQLINVPQVVNEKPVLEAMPTSEAPQPAVPAPGKKKAQYEEPQAPPPVTSVITTEVDMRYYNYLLNPIREEFISVPLILHCMLEQVVATEEDLVPPSLREPSPRADGLDHRIAAHIVSLLPSLCLSEREKKNLHDIFLSEEENESKAVPKGPLLLNYHDAHAHKKYALQDQKNFDPVQIEQEMQSKLPLWEFLQFPLPPPWNNTKRLATIHELMHFCTSDVLSWNEVERAFKVFTFESLKLSEVDEKGKLKPSGMMCGSDSEMFNIPWDNPARFAKQIRQQYVMKMNTQEAKQKADIKIKDRTLFVDQNLSMSVQDNESNREPSDPSQCDANNMKHSDLNNLKLSVPDNRQLLEQESIMKAQPQHESLEQTTNNEIKDDAVTKADSHEKKPKKMMVEADLEDIKKTQQRSLMDWSFTEHFKPKVLLQVLQEAHKQYRCVDSYYHTQDNSLLLVFHNPMNRQRLHCEYWNIALHSNVGFRNYLELVAKSIQDWITKEEAIYQESKMNEKIIRTRAELELKSSANAKLTSASKIFSIKESKSNKGISKTEISDQEKEKEKEKIPFILEGSLKAWKEEQHRLAEEERLREEKKAEKKGKEAGKKKGKDNAEKEDSRSLKKKSPYKEKSKEEQVKIQEVTEESPHQPEPKITYPFHGYNMGNIPTQISGSNYYLYPSDGGQIEVEKTMFEKGPTFIKVRVVKDNHNFMIHLNDPKEIVKKEEKGDYYLEEEEEGDEEQSLETEVSDAKNKAFSKFGSFSATLENGICLSISYYGSNGMAPEDKDPDLETILNIPSALTPTVVPVIVTVPQSKAKGKIKGKEKPKESLKEEEHPKEEEKKEEEVEPEPVLQETLDVPTFQSLNVSCPSGLLLTFIGQESTGQYVIDEEPTWDIMVRQSYPQRVKHYEFYKTVMPPAEQEASRVITSQGTVVKYMLDGSTQILFADGAVSRSPNSGLICPPSEMPATPHSGDLMDSISQQKSETIPSEITNTKKGKSHKSQSSMAHKGEIHDPPPEAVQTVTPVEVHIGTWFTTTPEGNRIGTKGLERIADLTPLLSFQATDPVNGTVMTTREDKVVIVERKDGTRIVDHADGTRITTFYQVYEDQIILPDDQETTEGPRTVTRQVKCMRVESSRYATVIANCEDSSCCATFGDGTTIIAKPQGTYQVLPPNTGSLYIDKDCSAVYCHESSSNIYYPFQKREQLRAGRYIMRHTSEVICEVLDPEGNTFQVMADGSISTILPEKKLEDDLNEKTEGYDSLSSMHLEKNHQQIYGEHVPRFFVMYADGSGMELLRDSDIEEYLSLAYKESNTVVLQEPVQEQPGTLTITVLRPFHEASPWQVKKEDTIVPPNLRSRSWETFPSVEKKTPGPPFGTQIWKGLCIESKQLVSAPGAILKSPSVLQMRQFIQHEVIKNEVKLRLQVSLKDYINYILKKEDELQEMMVKDSRTEEERGNAADLLKLVMSFPKMEETTKSHVTEVAAHLTDLFKQSLATPPKCPPDTFGKDFFEKTWRHTASSKRWKEKIDKTRKEIETTQNYLMDIKNRIIPPFFKSELNQLYQSQYNHLDSLSKKLPSFTKKNEDANETAVQDTSDLNLDFKPHKVSEQKSSSVPSLPKPEISADKKDFTAQNQTENLTKSPEEAESYEPVKIPTQSLLQDVAGQTRKEKVKLPHYLLSSKPKSQPLAKVQDSVGGKVNTSSVASAAINNAKSSLFGFHLLPSSVKFGVLKEGHTYATVVKLKNVGVDFCRFKVKQPPPSTGLKVTYKPGPVAAGMQTELNIELFATAVGEDGAKGSAHISHNIEIMTEHEVLFLPVEATVLTSSNYDKRPKDFPQGKENPMVQRTSTIYSSTLGVFMSRKVSPH
+>DECOY_sp|Q6Q759|SPG17_HUMAN Sperm-associated antigen 17 OS=Homo sapiens OX=9606 GN=SPAG17 PE=2 SV=1
+HPSVKRSMFVGLTSSYITSTRQVMPNEKGQPFDKPRKDYNSSTLVTAEVPLFLVEHETMIEINHSIHASGKAGDEGVATAFLEINLETQMGAAVPGPKYTVKLGTSPPPQKVKFRCFDVGVNKLKVVTAYTHGEKLVGFKVSSPLLHFGFLSSKANNIAASAVSSTNVKGGVSDQVKALPQSKPKSSLLYHPLKVKEKRTQGAVDQLLSQTPIKVPEYSEAEEPSKTLNETQNQATFDKKDASIEPKPLSPVSSSKQESVKHPKFDLNLDSTDQVATENADENKKTFSPLKKSLSDLHNYQSQYLQNLESKFFPPIIRNKIDMLYNQTTEIEKRTKDIKEKWRKSSATHRWTKEFFDKGFTDPPCKPPTALSQKFLDTLHAAVETVHSKTTEEMKPFSMVLKLLDAANGREEETRSDKVMMEQLEDEKKLIYNIYDKLSVQLRLKVENKIVEHQIFQRMQLVSPSKLIAGPASVLQKSEICLGKWIQTGFPPGPTKKEVSPFTEWSRSRLNPPVITDEKKVQWPSAEHFPRLVTITLTGPQEQVPEQLVVTNSEKYALSLYEEIDSDRLLEMGSGDAYMVFFRPVHEGYIQQHNKELHMSSLSDYGETKENLDDELKKEPLITSISGDAMVQFTNGEPDLVECIVESTHRMIYRGARLQERKQFPYYINSSSEHCYVASCDKDIYLSGTNPPLVQYTGQPKAIITTGDGFTACCSSDECNAIVTAYRSSEVRMCKVQRTVTRPGETTEQDDPLIIQDEYVQYFTTIRTGDAHDVIRTGDKREVIVVKDERTTMVTGNVPDTAQFSLLPTLDAIRELGKTGIRNGEPTTTFWTGIHVEVPTVTQVAEPPPDHIEGKHAMSSQSKHSKGKKTNTIESPITESKQQSISDMLDGSHPTAPMESPPCILGSNPSRSVAGDAFLIQTSGDLMYKVVTGQSTIVRSAEQEAPPMVTKYFEYHKVRQPYSQRVMIDWTPEEDIVYQGTSEQGIFTLLLGSPCSVNLSQFTPVDLTEQLVPEPEVEEEKKEEEKPHEEEKLSEKPKEKGKIKGKAKSQPVTVIVPVVTPTLASPINLITELDPDKDEPAMGNSGYYSISLCIGNELTASFSGFKSFAKNKADSVETELSQEEDGEEEEELYYDGKEEKKVIEKPDNLHIMFNHNDKVVRVKIFTPGKEFMTKEVEIQGGDSPYLYYNSGSIQTPINGMNYGHFPYTIKPEPQHPSEETVEQIKVQEEKSKEKYPSKKKLSRSDEKEANDKGKKKGAEKGKKEAKKEERLREEEALRHQEEKWAKLSGELIFPIKEKEKEKEQDSIETKSIGKNSKSEKISFIKSASTLKANASSKLELEARTRIIKENMKSEQYIAEEKTIWDQISKAVLELYNRFGVNSHLAINWYECHLRQRNMPNHFVLLLSNDQTHYYSDVCRYQKHAEQLVQLLVKPKFHETFSWDMLSRQQTKKIDELDAEVMMKKPKKEHSDAKTVADDKIENNTTQELSEHQPQAKMISEQELLQRNDPVSLKLNNLDSHKMNNADCQSPDSPERNSENDQVSMSLNQDVFLTRDKIKIDAKQKAEQTNMKMVYQQRIQKAFRAPNDWPINFMESDSGCMMGSPKLKGKEDVESLKLSEFTFVKFAREVENWSLVDSTCFHMLEHITALRKTNNWPPPLPFQLFEWLPLKSQMEQEIQVPDFNKQDQLAYKKHAHADHYNLLLPGKPVAKSENEEESLFIDHLNKKERESLCLSPLLSVIHAAIRHDLGDARPSPERLSPPVLDEETAVVQELMCHLILPVSIFEERIPNLLYNYYRMDVETTIVSTVPPPAQPEEYQAKKKGPAPVAPQPAESTPMAELVPKENVVQPVNILQMSELYHQHQRKWDLIDYMLCAINEFIDTGLKLMMEGDSWDSPFDAAKVMYELRAVDFLNTEPKENNLVPELYKWFTKLEKIANEKKLKEAELDESQLLVEQQQNVAALHTQLPEYNESSIKIVSTIPIGLEAMIALLQPNNFGVVIIYHQAGDDPEDDIYRNTHDDEGRRKLQTTKKVPANAEPQDKGKGKAPKASPAKKEKPSKAKGKDKELKPKDEIVKKENERRQQDKFKIQLLQFKLLKGILPLTLKEGSDMIAKAATLVEYYLPANGGVPKKAKKSSASGVLTNIENIQQLIDQWSVMSFLKRQPVQVAVTLAQILLDDEIQNGVVFAISAQWDNQNFQAAILSPEWIKSSTNVTGGKEKKPAM
+>sp|Q15513|SPHAR_HUMAN Protein SPHAR OS=Homo sapiens OX=9606 GN=SPHAR PE=4 SV=1
+MTRIKISVCICFRYFEFCFFYALNILFQKVSEANSQTELLLRPHCKNILFNVSFMIDLQAAHF
+>DECOY_sp|Q15513|SPHAR_HUMAN Protein SPHAR OS=Homo sapiens OX=9606 GN=SPHAR PE=4 SV=1
+FHAAQLDIMFSVNFLINKCHPRLLLETQSNAESVKQFLINLAYFFCFEFYRFCICVSIKIRTM
+>sp|Q9NYA1|SPHK1_HUMAN Sphingosine kinase 1 OS=Homo sapiens OX=9606 GN=SPHK1 PE=1 SV=1
+MDPAGGPRGVLPRPCRVLVLLNPRGGKGKALQLFRSHVQPLLAEAEISFTLMLTERRNHARELVRSEELGRWDALVVMSGDGLMHEVVNGLMERPDWETAIQKPLCSLPAGSGNALAASLNHYAGYEQVTNEDLLTNCTLLLCRRLLSPMNLLSLHTASGLRLFSVLSLAWGFIADVDLESEKYRRLGEMRFTLGTFLRLAALRTYRGRLAYLPVGRVGSKTPASPVVVQQGPVDAHLVPLEEPVPSHWTVVPDEDFVLVLALLHSHLGSEMFAAPMGRCAAGVMHLFYVRAGVSRAMLLRLFLAMEKGRHMEYECPYLVYVPVVAFRLEPKDGKGVFAVDGELMVSEAVQGQVHPNYFWMVSGCVEPPPSWKPQQMPPPEEPL
+>DECOY_sp|Q9NYA1|SPHK1_HUMAN Sphingosine kinase 1 OS=Homo sapiens OX=9606 GN=SPHK1 PE=1 SV=1
+LPEEPPPMQQPKWSPPPEVCGSVMWFYNPHVQGQVAESVMLEGDVAFVGKGDKPELRFAVVPVYVLYPCEYEMHRGKEMALFLRLLMARSVGARVYFLHMVGAACRGMPAAFMESGLHSHLLALVLVFDEDPVVTWHSPVPEELPVLHADVPGQQVVVPSAPTKSGVRGVPLYALRGRYTRLAALRLFTGLTFRMEGLRRYKESELDVDAIFGWALSLVSFLRLGSATHLSLLNMPSLLRRCLLLTCNTLLDENTVQEYGAYHNLSAALANGSGAPLSCLPKQIATEWDPREMLGNVVEHMLGDGSMVVLADWRGLEESRVLERAHNRRETLMLTFSIEAEALLPQVHSRFLQLAKGKGGRPNLLVLVRCPRPLVGRPGGAPDM
+>sp|P51688|SPHM_HUMAN N-sulphoglucosamine sulphohydrolase OS=Homo sapiens OX=9606 GN=SGSH PE=1 SV=1
+MSCPVPACCALLLVLGLCRARPRNALLLLADDGGFESGAYNNSAIATPHLDALARRSLLFRNAFTSVSSCSPSRASLLTGLPQHQNGMYGLHQDVHHFNSFDKVRSLPLLLSQAGVRTGIIGKKHVGPETVYPFDFAYTEENGSVLQVGRNITRIKLLVRKFLQTQDDRPFFLYVAFHDPHRCGHSQPQYGTFCEKFGNGESGMGRIPDWTPQAYDPLDVLVPYFVPNTPAARADLAAQYTTVGRMDQGVGLVLQELRDAGVLNDTLVIFTSDNGIPFPSGRTNLYWPGTAEPLLVSSPEHPKRWGQVSEAYVSLLDLTPTILDWFSIPYPSYAIFGSKTIHLTGRSLLPALEAEPLWATVFGSQSHHEVTMSYPMRSVQHRHFRLVHNLNFKMPFPIDQDFYVSPTFQDLLNRTTAGQPTGWYKDLRHYYYRARWELYDRSRDPHETQNLATDPRFAQLLEMLRDQLAKWQWETHDPWVCAPDGVLEEKLSPQCQPLHNEL
+>DECOY_sp|P51688|SPHM_HUMAN N-sulphoglucosamine sulphohydrolase OS=Homo sapiens OX=9606 GN=SGSH PE=1 SV=1
+LENHLPQCQPSLKEELVGDPACVWPDHTEWQWKALQDRLMELLQAFRPDTALNQTEHPDRSRDYLEWRARYYYHRLDKYWGTPQGATTRNLLDQFTPSVYFDQDIPFPMKFNLNHVLRFHRHQVSRMPYSMTVEHHSQSGFVTAWLPEAELAPLLSRGTLHITKSGFIAYSPYPISFWDLITPTLDLLSVYAESVQGWRKPHEPSSVLLPEATGPWYLNTRGSPFPIGNDSTFIVLTDNLVGADRLEQLVLGVGQDMRGVTTYQAALDARAAPTNPVFYPVLVDLPDYAQPTWDPIRGMGSEGNGFKECFTGYQPQSHGCRHPDHFAVYLFFPRDDQTQLFKRVLLKIRTINRGVQLVSGNEETYAFDFPYVTEPGVHKKGIIGTRVGAQSLLLPLSRVKDFSNFHHVDQHLGYMGNQHQPLGTLLSARSPSCSSVSTFANRFLLSRRALADLHPTAIASNNYAGSEFGGDDALLLLANRPRARCLGLVLLLACCAPVPCSM
+>sp|Q9BUA3|SPNDC_HUMAN SPIN1-docking protein OS=Homo sapiens OX=9606 GN=C11orf84 PE=1 SV=3
+MALKAEGAALDCFEVTLKCEEGEDEEEAMVVAVIPRPEPMLRVTQQEKTPPPRPSPLEAGSDGCEEPKQQVSWEQEFLVGSSPGGSGRALCMVCGAEIRAPSADTARSHILEQHPHTLDLSPSEKSNILEAWSEGVALLQDVRAEQPSPPNSDSGQDAHPDPDANPDAARMPAEIVVLLDSEDNPSLPKRSRPRGLRPLELPAVPATEPGNKKPRGQRWKEPPGEEPVRKKRGRPMTKNLDPDPEPPSPDSPTETFAAPAEVRHFTDGSFPAGFVLQLFSHTQLRGPDSKDSPKDREVAEGGLPRAESPSPAPPPGLRGTLDLQVIRVRMEEPPAVSLLQDWSRHPQGTKRVGAGDTSDWPTVLSESSTTVAGKPEKGNGV
+>DECOY_sp|Q9BUA3|SPNDC_HUMAN SPIN1-docking protein OS=Homo sapiens OX=9606 GN=C11orf84 PE=1 SV=3
+VGNGKEPKGAVTTSSESLVTPWDSTDGAGVRKTGQPHRSWDQLLSVAPPEEMRVRIVQLDLTGRLGPPPAPSPSEARPLGGEAVERDKPSDKSDPGRLQTHSFLQLVFGAPFSGDTFHRVEAPAAFTETPSDPSPPEPDPDLNKTMPRGRKKRVPEEGPPEKWRQGRPKKNGPETAPVAPLELPRLGRPRSRKPLSPNDESDLLVVIEAPMRAADPNADPDPHADQGSDSNPPSPQEARVDQLLAVGESWAELINSKESPSLDLTHPHQELIHSRATDASPARIEAGCVMCLARGSGGPSSGVLFEQEWSVQQKPEECGDSGAELPSPRPPPTKEQQTVRLMPEPRPIVAVVMAEEEDEGEECKLTVEFCDLAAGEAKLAM
+>sp|Q8IVW8|SPNS2_HUMAN Protein spinster homolog 2 OS=Homo sapiens OX=9606 GN=SPNS2 PE=1 SV=2
+MMCLECASAAAGGAEEEEADAERRRRRRGAQRGAGGSGCCGARGAGGAGVSAAGDEVQTLSGSVRRAPTGPPGTPGTPGCAATAKGPGAQQPKPASLGRGRGAAAAILSLGNVLNYLDRYTVAGVLLDIQQHFGVKDRGAGLLQSVFICSFMVAAPIFGYLGDRFNRKVILSCGIFFWSAVTFSSSFIPQQYFWLLVLSRGLVGIGEASYSTIAPTIIGDLFTKNTRTLMLSVFYFAIPLGSGLGYITGSSVKQAAGDWHWALRVSPVLGMITGTLILILVPATKRGHADQLGDQLKARTSWLRDMKALIRNRSYVFSSLATSAVSFATGALGMWIPLYLHRAQVVQKTAETCNSPPCGAKDSLIFGAITCFTGFLGVVTGAGATRWCRLKTQRADPLVCAVGMLGSAIFICLIFVAAKSSIVGAYICIFVGETLLFSNWAITADILMYVVIPTRRATAVALQSFTSHLLGDAGSPYLIGFISDLIRQSTKDSPLWEFLSLGYALMLCPFVVVLGGMFFLATALFFVSDRARAEQQVNQLAMPPASVKV
+>DECOY_sp|Q8IVW8|SPNS2_HUMAN Protein spinster homolog 2 OS=Homo sapiens OX=9606 GN=SPNS2 PE=1 SV=2
+VKVSAPPMALQNVQQEARARDSVFFLATALFFMGGLVVVFPCLMLAYGLSLFEWLPSDKTSQRILDSIFGILYPSGADGLLHSTFSQLAVATARRTPIVVYMLIDATIAWNSFLLTEGVFICIYAGVISSKAAVFILCIFIASGLMGVACVLPDARQTKLRCWRTAGAGTVVGLFGTFCTIAGFILSDKAGCPPSNCTEATKQVVQARHLYLPIWMGLAGTAFSVASTALSSFVYSRNRILAKMDRLWSTRAKLQDGLQDAHGRKTAPVLILILTGTIMGLVPSVRLAWHWDGAAQKVSSGTIYGLGSGLPIAFYFVSLMLTRTNKTFLDGIITPAITSYSAEGIGVLGRSLVLLWFYQQPIFSSSFTVASWFFIGCSLIVKRNFRDGLYGFIPAAVMFSCIFVSQLLGAGRDKVGFHQQIDLLVGAVTYRDLYNLVNGLSLIAAAAGRGRGLSAPKPQQAGPGKATAACGPTGPTGPPGTPARRVSGSLTQVEDGAASVGAGGAGRAGCCGSGGAGRQAGRRRRRREADAEEEEAGGAAASACELCMM
+>sp|P22528|SPR1B_HUMAN Cornifin-B OS=Homo sapiens OX=9606 GN=SPRR1B PE=1 SV=2
+MSSQQQKQPCTPPPQLQQQQVKQPCQPPPQEPCIPKTKEPCHPKVPEPCHPKVPEPCQPKVPEPCHPKVPEPCPSIVTPAPAQQKTKQK
+>DECOY_sp|P22528|SPR1B_HUMAN Cornifin-B OS=Homo sapiens OX=9606 GN=SPRR1B PE=1 SV=2
+KQKTKQQAPAPTVISPCPEPVKPHCPEPVKPQCPEPVKPHCPEPVKPHCPEKTKPICPEQPPPQCPQKVQQQQLQPPPTCPQKQQQSSM
+>sp|P22532|SPR2D_HUMAN Small proline-rich protein 2D OS=Homo sapiens OX=9606 GN=SPRR2D PE=2 SV=2
+MSYQQQQCKQPCQPPPVCPTPKCPEPCPPPKCPEPCPSPKCPQPCPPQQCQQKYPPVTPSPPCQPKCPPKSK
+>DECOY_sp|P22532|SPR2D_HUMAN Small proline-rich protein 2D OS=Homo sapiens OX=9606 GN=SPRR2D PE=2 SV=2
+KSKPPCKPQCPPSPTVPPYKQQCQQPPCPQPCKPSPCPEPCKPPPCPEPCKPTPCVPPPQCPQKCQQQQYSM
+>sp|Q7Z699|SPRE1_HUMAN Sprouty-related, EVH1 domain-containing protein 1 OS=Homo sapiens OX=9606 GN=SPRED1 PE=1 SV=2
+MSEETATSDNDNSYARVRAVVMTRDDSSGGWLPLGGSGLSSVTVFKVPHQEENGCADFFIRGERLRDKMVVLECMLKKDLIYNKVTPTFHHWKIDDKKFGLTFQSPADARAFDRGIRRAIEDISQGCPESKNEAEGADDLQANEEDSSSSLVKDHLFQQETVVTSEPYRSSNIRPSPFEDLNARRVYMQSQANQITFGQPGLDIQSRSMEYVQRQISKECGSLKSQNRVPLKSIRHVSFQDEDEIVRINPRDILIRRYADYRHPDMWKNDLERDDADSSIQFSKPDSKKSDYLYSCGDETKLSSPKDSVVFKTQPSSLKIKKSKRRKEDGERSRCVYCQERFNHEENVRGKCQDAPDPIKRCIYQVSCMLCAESMLYHCMSDSEGDFSDPCSCDTSDDKFCLRWLALVALSFIVPCMCCYVPLRMCHRCGEACGCCGGKHKAAG
+>DECOY_sp|Q7Z699|SPRE1_HUMAN Sprouty-related, EVH1 domain-containing protein 1 OS=Homo sapiens OX=9606 GN=SPRED1 PE=1 SV=2
+GAAKHKGGCCGCAEGCRHCMRLPVYCCMCPVIFSLAVLALWRLCFKDDSTDCSCPDSFDGESDSMCHYLMSEACLMCSVQYICRKIPDPADQCKGRVNEEHNFREQCYVCRSREGDEKRRKSKKIKLSSPQTKFVVSDKPSSLKTEDGCSYLYDSKKSDPKSFQISSDADDRELDNKWMDPHRYDAYRRILIDRPNIRVIEDEDQFSVHRISKLPVRNQSKLSGCEKSIQRQVYEMSRSQIDLGPQGFTIQNAQSQMYVRRANLDEFPSPRINSSRYPESTVVTEQQFLHDKVLSSSSDEENAQLDDAGEAENKSEPCGQSIDEIARRIGRDFARADAPSQFTLGFKKDDIKWHHFTPTVKNYILDKKLMCELVVMKDRLREGRIFFDACGNEEQHPVKFVTVSSLGSGGLPLWGGSSDDRTMVVARVRAYSNDNDSTATEESM
+>sp|Q2MJR0|SPRE3_HUMAN Sprouty-related, EVH1 domain-containing protein 3 OS=Homo sapiens OX=9606 GN=SPRED3 PE=2 SV=1
+MVRVRAVVMARDDSSGGWLPVGGGGLSQVSVCRVRGARPEGGARQGHYVIHGERLRDQKTTLECTLKPGLVYNKVNPIFHHWSLGDCKFGLTFQSPAEADEFQKSLLAALAALGRGSLTPSSSSSSSSPSQDTAETPCPLTSHVDSDSSSSHSRQETPPSAAAAPIITMESASGFGPTTPPQRRRSSAQSYPPLLPFTGIPEPSEPLAGAGGLGWGGRGYEDYRRSGPPAPLALSTCVVRFAKTGALRGAALGPPAALPAPLTEAAPPAPPARPPPGPGPSSAPAKASPEAEEAARCVHCRALFRRRADGRGGRCAEAPDPGRLLVRRLSCLWCAESLLYHCLSDAEGDFSDPCACEPGHPRPAARWAALAALSLAVPCLCCYAPLRACHWVAARCGCAGCGGRHEEAAR
+>DECOY_sp|Q2MJR0|SPRE3_HUMAN Sprouty-related, EVH1 domain-containing protein 3 OS=Homo sapiens OX=9606 GN=SPRED3 PE=2 SV=1
+RAAEEHRGGCGACGCRAAVWHCARLPAYCCLCPVALSLAALAAWRAAPRPHGPECACPDSFDGEADSLCHYLLSEACWLCSLRRVLLRGPDPAEACRGGRGDARRRFLARCHVCRAAEEAEPSAKAPASSPGPGPPPRAPPAPPAAETLPAPLAAPPGLAAGRLAGTKAFRVVCTSLALPAPPGSRRYDEYGRGGWGLGGAGALPESPEPIGTFPLLPPYSQASSRRRQPPTTPGFGSASEMTIIPAAAASPPTEQRSHSSSSDSDVHSTLPCPTEATDQSPSSSSSSSSPTLSGRGLAALAALLSKQFEDAEAPSQFTLGFKCDGLSWHHFIPNVKNYVLGPKLTCELTTKQDRLREGHIVYHGQRAGGEPRAGRVRCVSVQSLGGGGVPLWGGSSDDRAMVVARVRVM
+>sp|Q5W111|SPRY7_HUMAN SPRY domain-containing protein 7 OS=Homo sapiens OX=9606 GN=SPRYD7 PE=1 SV=2
+MATSVLCCLRCCRDGGTGHIPLKEMPAVQLDTQHMGTDVVIVKNGRRICGTGGCLASAPLHQNKSYFEFKIQSTGIWGIGVATQKVNLNQIPLGRDMHSLVMRNDGALYHNNEEKNRLPANSLPQEGDVVGITYDHVELNVYLNGKNMHCPASGIRGTVYPVVYVDDSAILDCQFSEFYHTPPPGFEKILFEQQIF
+>DECOY_sp|Q5W111|SPRY7_HUMAN SPRY domain-containing protein 7 OS=Homo sapiens OX=9606 GN=SPRYD7 PE=1 SV=2
+FIQQEFLIKEFGPPPTHYFESFQCDLIASDDVYVVPYVTGRIGSAPCHMNKGNLYVNLEVHDYTIGVVDGEQPLSNAPLRNKEENNHYLAGDNRMVLSHMDRGLPIQNLNVKQTAVGIGWIGTSQIKFEFYSKNQHLPASALCGGTGCIRRGNKVIVVDTGMHQTDLQVAPMEKLPIHGTGGDRCCRLCCLVSTAM
+>sp|Q99619|SPSB2_HUMAN SPRY domain-containing SOCS box protein 2 OS=Homo sapiens OX=9606 GN=SPSB2 PE=1 SV=1
+MGQTALAGGSSSTPTPQALYPDLSCPEGLEELLSAPPPDLGAQRRHGWNPKDCSENIEVKEGGLYFERRPVAQSTDGARGKRGYSRGLHAWEISWPLEQRGTHAVVGVATALAPLQTDHYAALLGSNSESWGWDIGRGKLYHQSKGPGAPQYPAGTQGEQLEVPERLLVVLDMEEGTLGYAIGGTYLGPAFRGLKGRTLYPAVSAVWGQCQVRIRYLGERRAEPHSLLHLSRLCVRHNLGDTRLGQVSALPLPPAMKRYLLYQ
+>DECOY_sp|Q99619|SPSB2_HUMAN SPRY domain-containing SOCS box protein 2 OS=Homo sapiens OX=9606 GN=SPSB2 PE=1 SV=1
+QYLLYRKMAPPLPLASVQGLRTDGLNHRVCLRSLHLLSHPEARREGLYRIRVQCQGWVASVAPYLTRGKLGRFAPGLYTGGIAYGLTGEEMDLVVLLREPVELQEGQTGAPYQPAGPGKSQHYLKGRGIDWGWSESNSGLLAAYHDTQLPALATAVGVVAHTGRQELPWSIEWAHLGRSYGRKGRAGDTSQAVPRREFYLGGEKVEINESCDKPNWGHRRQAGLDPPPASLLEELGEPCSLDPYLAQPTPTSSSGGALATQGM
+>sp|Q7Z6I5|SPT12_HUMAN Spermatogenesis-associated protein 12 OS=Homo sapiens OX=9606 GN=SPATA12 PE=1 SV=1
+MSSSALTCGSTLEKSGDTWEMKALDSSRLVPWPPRGLGSSTQHPNKPHCALASCQGPGVLPGAASALPELTFQGDVCQSETCQRYLQAAISLDIAVSQINLLGRPSSPPALLIQQGSCEQVIHNSTPQFLGMEDGDNERTTGWLWRLCEDIDAEPSSTGCSRSNQLTFTEGCFVRSLSTVYSNTHIHTHL
+>DECOY_sp|Q7Z6I5|SPT12_HUMAN Spermatogenesis-associated protein 12 OS=Homo sapiens OX=9606 GN=SPATA12 PE=1 SV=1
+LHTHIHTNSYVTSLSRVFCGETFTLQNSRSCGTSSPEADIDECLRWLWGTTRENDGDEMGLFQPTSNHIVQECSGQQILLAPPSSPRGLLNIQSVAIDLSIAAQLYRQCTESQCVDGQFTLEPLASAAGPLVGPGQCSALACHPKNPHQTSSGLGRPPWPVLRSSDLAKMEWTDGSKELTSGCTLASSSM
+>sp|Q96L03|SPT17_HUMAN Spermatogenesis-associated protein 17 OS=Homo sapiens OX=9606 GN=SPATA17 PE=1 SV=1
+MATLARLQARSSTVGNQYYFRNSVVDPFRKKENDAAVKIQSWFRGCQVRAYIRHLNRIVTIIQKWWRSFLGRKQYQLTVQVAYYTMMMNLYNAMAVRIQRRWRGYRVRKYLFNYYYLKEYLKVVSETNDAIRKALEEFAEMKEREEKKANLEREEKKRDYQARKMHYLLSTKQIPGIYNSPFRKEPDPWELQLQKAKPLTHRRPKVKQKDSTSLTDWLACTSARSFPRSEILPPINRKQCQGPFRDITEVLEQRYRPLEPTLRVAEPIDELKLAREELRREEWLQNVNDNMFLPFSSYHKNEKYIPSMHLSSKYGPISYKEQFRSENPKKWICDKDFQTVLPSFELFSKYGKLYSKAGQIV
+>DECOY_sp|Q96L03|SPT17_HUMAN Spermatogenesis-associated protein 17 OS=Homo sapiens OX=9606 GN=SPATA17 PE=1 SV=1
+VIQGAKSYLKGYKSFLEFSPLVTQFDKDCIWKKPNESRFQEKYSIPGYKSSLHMSPIYKENKHYSSFPLFMNDNVNQLWEERRLEERALKLEDIPEAVRLTPELPRYRQELVETIDRFPGQCQKRNIPPLIESRPFSRASTCALWDTLSTSDKQKVKPRRHTLPKAKQLQLEWPDPEKRFPSNYIGPIQKTSLLYHMKRAQYDRKKEERELNAKKEEREKMEAFEELAKRIADNTESVVKLYEKLYYYNFLYKRVRYGRWRRQIRVAMANYLNMMMTYYAVQVTLQYQKRGLFSRWWKQIITVIRNLHRIYARVQCGRFWSQIKVAADNEKKRFPDVVSNRFYYQNGVTSSRAQLRALTAM
+>sp|Q8NHS9|SPT22_HUMAN Spermatogenesis-associated protein 22 OS=Homo sapiens OX=9606 GN=SPATA22 PE=1 SV=2
+MKRSLNENSARSTAGCLPVPLFNQKKRNRQPLTSNPLKDDSGISTPSDNYDFPPLPTDWAWEAVNPELAPVMKTVDTGQIPHSVSRPLRSQDSVFNSIQSNTGRSQGGWSYRDGNKNTSLKTWNKNDFKPQCKRTNLVANDGKNSCPVSSGAQQQKQLRIPEPPNLSRNKETELLRQTHSSKISGCTMRGLDKNSALQTLKPNFQQNQYKKQMLDDIPEDNTLKETSLYQLQFKEKASSLRIISAVIESMKYWREHAQKTVLLFEVLAVLDSAVTPGPYYSKTFLMRDGKNTLPCVFYEIDRELPRLIRGRVHRCVGNYDQKKNIFQCVSVRPASVSEQKTFQAFVKIADVEMQYYINVMNET
+>DECOY_sp|Q8NHS9|SPT22_HUMAN Spermatogenesis-associated protein 22 OS=Homo sapiens OX=9606 GN=SPATA22 PE=1 SV=2
+TENMVNIYYQMEVDAIKVFAQFTKQESVSAPRVSVCQFINKKQDYNGVCRHVRGRILRPLERDIEYFVCPLTNKGDRMLFTKSYYPGPTVASDLVALVEFLLVTKQAHERWYKMSEIVASIIRLSSAKEKFQLQYLSTEKLTNDEPIDDLMQKKYQNQQFNPKLTQLASNKDLGRMTCGSIKSSHTQRLLETEKNRSLNPPEPIRLQKQQQAGSSVPCSNKGDNAVLNTRKCQPKFDNKNWTKLSTNKNGDRYSWGGQSRGTNSQISNFVSDQSRLPRSVSHPIQGTDVTKMVPALEPNVAEWAWDTPLPPFDYNDSPTSIGSDDKLPNSTLPQRNRKKQNFLPVPLCGATSRASNENLSRKM
+>sp|Q96N06|SPT33_HUMAN Spermatogenesis-associated protein 33 OS=Homo sapiens OX=9606 GN=SPATA33 PE=2 SV=1
+MVTHAAGARTFCEEQKKGSTYSVPKSKEKLMEKHSQEARQADRESEKPVDSLHPGAGTAKHPPPAASLEEKPDVKQKSSRKKVVVPQIIITRASNETLVSCSSSGSDQQRTIREPEDWGPYRRHRNPSTADAYNSHLKE
+>DECOY_sp|Q96N06|SPT33_HUMAN Spermatogenesis-associated protein 33 OS=Homo sapiens OX=9606 GN=SPATA33 PE=2 SV=1
+EKLHSNYADATSPNRHRRYPGWDEPERITRQQDSGSSSCSVLTENSARTIIIQPVVVKKRSSKQKVDPKEELSAAPPPHKATGAGPHLSDVPKESERDAQRAEQSHKEMLKEKSKPVSYTSGKKQEECFTRAGAAHTVM
+>sp|O00267|SPT5H_HUMAN Transcription elongation factor SPT5 OS=Homo sapiens OX=9606 GN=SUPT5H PE=1 SV=1
+MSDSEDSNFSEEEDSERSSDGEEAEVDEERRSAAGSEKEEEPEDEEEEEEEEEYDEEEEEEDDDRPPKKPRHGGFILDEADVDDEYEDEDQWEDGAEDILEKEEIEASNIDNVVLDEDRSGARRLQNLWRDQREEELGEYYMKKYAKSSVGETVYGGSDELSDDITQQQLLPGVKDPNLWTVKCKIGEERATAISLMRKFIAYQFTDTPLQIKSVVAPEHVKGYIYVEAYKQTHVKQAIEGVGNLRLGYWNQQMVPIKEMTDVLKVVKEVANLKPKSWVRLKRGIYKDDIAQVDYVEPSQNTISLKMIPRIDYDRIKARMSLKDWFAKRKKFKRPPQRLFDAEKIRSLGGDVASDGDFLIFEGNRYSRKGFLFKSFAMSAVITEGVKPTLSELEKFEDQPEGIDLEVVTESTGKEREHNFQPGDNVEVCEGELINLQGKILSVDGNKITIMPKHEDLKDMLEFPAQELRKYFKMGDHVKVIAGRFEGDTGLIVRVEENFVILFSDLTMHELKVLPRDLQLCSETASGVDVGGQHEWGELVQLDPQTVGVIVRLERETFQVLNMYGKVVTVRHQAVTRKKDNRFAVALDSEQNNIHVKDIVKVIDGPHSGREGEIRHLFRSFAFLHCKKLVENGGMFVCKTRHLVLAGGSKPRDVTNFTVGGFAPMSPRISSPMHPSAGGQRGGFGSPGGGSGGMSRGRGRRDNELIGQTVRISQGPYKGYIGVVKDATESTARVELHSTCQTISVDRQRLTTVGSRRPGGMTSTYGRTPMYGSQTPMYGSGSRTPMYGSQTPLQDGSRTPHYGSQTPLHDGSRTPAQSGAWDPNNPNTPSRAEEEYEYAFDDEPTPSPQAYGGTPNPQTPGYPDPSSPQVNPQYNPQTPGTPAMYNTDQFSPYAAPSPQGSYQPSPSPQSYHQVAPSPAGYQNTHSPASYHPTPSPMAYQASPSPSPVGYSPMTPGAPSPGGYNPHTPGSGIEQNSSDWVTTDIQVKVRDTYLDTQVVGQTGVIRSVTGGMCSVYLKDSEKVVSISSEHLEPITPTKNNKVKVILGEDREATGVLLSIDGEDGIVRMDLDEQLKILNLRFLGKLLEA
+>DECOY_sp|O00267|SPT5H_HUMAN Transcription elongation factor SPT5 OS=Homo sapiens OX=9606 GN=SUPT5H PE=1 SV=1
+AELLKGLFRLNLIKLQEDLDMRVIGDEGDISLLVGTAERDEGLIVKVKNNKTPTIPELHESSISVVKESDKLYVSCMGGTVSRIVGTQGVVQTDLYTDRVKVQIDTTVWDSSNQEIGSGPTHPNYGGPSPAGPTMPSYGVPSPSPSAQYAMPSPTPHYSAPSHTNQYGAPSPAVQHYSQPSPSPQYSGQPSPAAYPSFQDTNYMAPTGPTQPNYQPNVQPSSPDPYGPTQPNPTGGYAQPSPTPEDDFAYEYEEEARSPTNPNNPDWAGSQAPTRSGDHLPTQSGYHPTRSGDQLPTQSGYMPTRSGSGYMPTQSGYMPTRGYTSTMGGPRRSGVTTLRQRDVSITQCTSHLEVRATSETADKVVGIYGKYPGQSIRVTQGILENDRRGRGRSMGGSGGGPSGFGGRQGGASPHMPSSIRPSMPAFGGVTFNTVDRPKSGGALVLHRTKCVFMGGNEVLKKCHLFAFSRFLHRIEGERGSHPGDIVKVIDKVHINNQESDLAVAFRNDKKRTVAQHRVTVVKGYMNLVQFTERELRVIVGVTQPDLQVLEGWEHQGGVDVGSATESCLQLDRPLVKLEHMTLDSFLIVFNEEVRVILGTDGEFRGAIVKVHDGMKFYKRLEQAPFELMDKLDEHKPMITIKNGDVSLIKGQLNILEGECVEVNDGPQFNHEREKGTSETVVELDIGEPQDEFKELESLTPKVGETIVASMAFSKFLFGKRSYRNGEFILFDGDSAVDGGLSRIKEADFLRQPPRKFKKRKAFWDKLSMRAKIRDYDIRPIMKLSITNQSPEVYDVQAIDDKYIGRKLRVWSKPKLNAVEKVVKLVDTMEKIPVMQQNWYGLRLNGVGEIAQKVHTQKYAEVYIYGKVHEPAVVSKIQLPTDTFQYAIFKRMLSIATAREEGIKCKVTWLNPDKVGPLLQQQTIDDSLEDSGGYVTEGVSSKAYKKMYYEGLEEERQDRWLNQLRRAGSRDEDLVVNDINSAEIEEKELIDEAGDEWQDEDEYEDDVDAEDLIFGGHRPKKPPRDDDEEEEEEDYEEEEEEEEEDEPEEEKESGAASRREEDVEAEEGDSSRESDEEESFNSDESDSM
+>sp|P11277|SPTB1_HUMAN Spectrin beta chain, erythrocytic OS=Homo sapiens OX=9606 GN=SPTB PE=1 SV=5
+MTSATEFENVGNQPPYSRINARWDAPDDELDNDNSSARLFERSRIKALADEREVVQKKTFTKWVNSHLARVSCRITDLYKDLRDGRMLIKLLEVLSGEMLPKPTKGKMRIHCLENVDKALQFLKEQRVHLENMGSHDIVDGNHRLVLGLIWTIILRFQIQDIVVQTQEGRETRSAKDALLLWCQMKTAGYPHVNVTNFTSSWKDGLAFNALIHKHRPDLIDFDKLKDSNARHNLEHAFNVAERQLGIIPLLDPEDVFTENPDEKSIITYVVAFYHYFSKMKVLAVEGKRVGKVIDHAIETEKMIEKYSGLASDLLTWIEQTITVLNSRKFANSLTGVQQQLQAFSTYRTVEKPPKFQEKGNLEVLLFTIQSRMRANNQKVYTPHDGKLVSDINRAWESLEEAEYRRELALRNELIRQEKLEQLARRFDRKAAMRETWLSENQRLVAQDNFGYDLAAVEAAKKKHEAIETDTAAYEERVRALEDLAQELEKENYHDQKRITARKDNILRLWSYLQELLQSRRQRLETTLALQKLFQDMLHSIDWMDEIKAHLLSAEFGKHLLEVEDLLQKHKLMEADIAIQGDKVKAITAATLKFTEGKGYQPCDPQVIQDRISHLEQCFEELSNMAAGRKAQLEQSKRLWKFFWEMDEAESWIKEKEQIYSSLDYGKDLTSVLILQRKHKAFEDELRGLDAHLEQIFQEAHGMVARKQFGHPQIEARIKEVSAQWDQLKDLAAFCKKNLQDAENFFQFQGDADDLKAWLQDAHRLLSGEDVGQDEGATRALGKKHKDFLEELEESRGVMEHLEQQAQGFPEEFRDSPDVTHRLQALRELYQQVVAQADLRQQRLQEALDLYTVFGETDACELWMGEKEKWLAEMEMPDTLEDLEVVQHRFDILDQEMKTLMTQIDGVNLAANSLVESGHPRSREVKQYQDHLNTRWQAFQTLVSERREAVDSALRVHNYCVDCEETSKWITDKTKVVESTKDLGRDLAGIIAIQRKLSGLERDVAAIQARVDALERESQQLMDSHPEQKEDIGQRQKHLEELWQGLQQSLQGQEDLLGEVSQLQAFLQDLDDFQAWLSITQKAVASEDMPESLPEAEQLLQQHAGIKDEIDGHQDSYQRVKESGEKVIQGQTDPEYLLLGQRLEGLDTGWNALGRMWESRSHTLAQCLGFQEFQKDAKQAEAILSNQEYTLAHLEPPDSLEAAEAGIRKFEDFLGSMENNRDKVLSPVDSGNKLVAEGNLYSDKIKEKVQLIEDRHRKNNEKAQEASVLLRDNLELQNFLQNCQELTLWINDKLLTSQDVSYDEARNLHNKWLKHQAFVAELASHEGWLENIDAEGKQLMDEKPQFTALVSQKLEALHRLWDELQATTKEKTQHLSAARSSDLRLQTHADLNKWISAMEDQLRSDDPGKDLTSVNRMLAKLKRVEDQVNVRKEELGELFAQVPSMGEEGGDADLSIEKRFLDLLEPLGRRKKQLESSRAKLQISRDLEDETLWVEERLPLAQSADYGTNLQTVQLFMKKNQTLQNEILGHTPRVEDVLQRGQQLVEAAEIDCQDLEERLGHLQSSWDRLREAAAGRLQRLRDANEAQQYYLDADEAEAWIGEQELYVISDEIPKDEEGAIVMLKRHLRQQRAVEDYGRNIKQLASRAQGLLSAGHPEGEQIIRLQGQVDKHYAGLKDVAEERKRKLENMYHLFQLKRETDDLEQWISEKELVASSPEMGQDFDHVTLLRDKFRDFARETGAIGQERVDNVNAFIERLIDAGHSEAATIAEWKDGLNEMWADLLELIDTRMQLLAASYDLHRYFYTGAEILGLIDEKHRELPEDVGLDASTAESFHRVHTAFERELHLLGVQVQQFQDVATRLQTAYAGEKAEAIQNKEQEVSAAWQALLDACAGRRTQLVDTADKFRFFSMARDLLSWMESIIRQIETQERPRDVSSVELLMKYHQGINAEIETRSKNFSACLELGESLLQRQHQASEEIREKLQQVMSRRKEMNEKWEARWERLRMLLEVCQFSRDASVAEAWLIAQEPYLASGDFGHTVDSVEKLIKRHEAFEKSTASWAERFAALEKPTTLELKERQIAERPAEETGPQEEEGETAGEAPVSHHAATERTSPVSLWSRLSSSWESLQPEPSHPY
+>DECOY_sp|P11277|SPTB1_HUMAN Spectrin beta chain, erythrocytic OS=Homo sapiens OX=9606 GN=SPTB PE=1 SV=5
+YPHSPEPQLSEWSSSLRSWLSVPSTRETAAHHSVPAEGATEGEEEQPGTEEAPREAIQREKLELTTPKELAAFREAWSATSKEFAEHRKILKEVSDVTHGFDGSALYPEQAILWAEAVSADRSFQCVELLMRLREWRAEWKENMEKRRSMVQQLKERIEESAQHQRQLLSEGLELCASFNKSRTEIEANIGQHYKMLLEVSSVDRPREQTEIQRIISEMWSLLDRAMSFFRFKDATDVLQTRRGACADLLAQWAASVEQEKNQIAEAKEGAYATQLRTAVDQFQQVQVGLLHLEREFATHVRHFSEATSADLGVDEPLERHKEDILGLIEAGTYFYRHLDYSAALLQMRTDILELLDAWMENLGDKWEAITAAESHGADILREIFANVNDVREQGIAGTERAFDRFKDRLLTVHDFDQGMEPSSAVLEKESIWQELDDTERKLQFLHYMNELKRKREEAVDKLGAYHKDVQGQLRIIQEGEPHGASLLGQARSALQKINRGYDEVARQQRLHRKLMVIAGEEDKPIEDSIVYLEQEGIWAEAEDADLYYQQAENADRLRQLRGAAAERLRDWSSQLHGLREELDQCDIEAAEVLQQGRQLVDEVRPTHGLIENQLTQNKKMFLQVTQLNTGYDASQALPLREEVWLTEDELDRSIQLKARSSELQKKRRGLPELLDLFRKEISLDADGGEEGMSPVQAFLEGLEEKRVNVQDEVRKLKALMRNVSTLDKGPDDSRLQDEMASIWKNLDAHTQLRLDSSRAASLHQTKEKTTAQLEDWLRHLAELKQSVLATFQPKEDMLQKGEADINELWGEHSALEAVFAQHKLWKNHLNRAEDYSVDQSTLLKDNIWLTLEQCNQLFNQLELNDRLLVSAEQAKENNKRHRDEILQVKEKIKDSYLNGEAVLKNGSDVPSLVKDRNNEMSGLFDEFKRIGAEAAELSDPPELHALTYEQNSLIAEAQKADKQFEQFGLCQALTHSRSEWMRGLANWGTDLGELRQGLLLYEPDTQGQIVKEGSEKVRQYSDQHGDIEDKIGAHQQLLQEAEPLSEPMDESAVAKQTISLWAQFDDLDQLFAQLQSVEGLLDEQGQLSQQLGQWLEELHKQRQGIDEKQEPHSDMLQQSERELADVRAQIAAVDRELGSLKRQIAIIGALDRGLDKTSEVVKTKDTIWKSTEECDVCYNHVRLASDVAERRESVLTQFAQWRTNLHDQYQKVERSRPHGSEVLSNAALNVGDIQTMLTKMEQDLIDFRHQVVELDELTDPMEMEALWKEKEGMWLECADTEGFVTYLDLAEQLRQQRLDAQAVVQQYLERLAQLRHTVDPSDRFEEPFGQAQQELHEMVGRSEELEELFDKHKKGLARTAGEDQGVDEGSLLRHADQLWAKLDDADGQFQFFNEADQLNKKCFAALDKLQDWQASVEKIRAEIQPHGFQKRAVMGHAEQFIQELHADLGRLEDEFAKHKRQLILVSTLDKGYDLSSYIQEKEKIWSEAEDMEWFFKWLRKSQELQAKRGAAMNSLEEFCQELHSIRDQIVQPDCPQYGKGETFKLTAATIAKVKDGQIAIDAEMLKHKQLLDEVELLHKGFEASLLHAKIEDMWDISHLMDQFLKQLALTTELRQRRSQLLEQLYSWLRLINDKRATIRKQDHYNEKELEQALDELARVREEYAATDTEIAEHKKKAAEVAALDYGFNDQAVLRQNESLWTERMAAKRDFRRALQELKEQRILENRLALERRYEAEELSEWARNIDSVLKGDHPTYVKQNNARMRSQITFLLVELNGKEQFKPPKEVTRYTSFAQLQQQVGTLSNAFKRSNLVTITQEIWTLLDSALGSYKEIMKETEIAHDIVKGVRKGEVALVKMKSFYHYFAVVYTIISKEDPNETFVDEPDLLPIIGLQREAVNFAHELNHRANSDKLKDFDILDPRHKHILANFALGDKWSSTFNTVNVHPYGATKMQCWLLLADKASRTERGEQTQVVIDQIQFRLIITWILGLVLRHNGDVIDHSGMNELHVRQEKLFQLAKDVNELCHIRMKGKTPKPLMEGSLVELLKILMRGDRLDKYLDTIRCSVRALHSNVWKTFTKKQVVEREDALAKIRSREFLRASSNDNDLEDDPADWRANIRSYPPQNGVNEFETASTM
+>sp|Q01082|SPTB2_HUMAN Spectrin beta chain, non-erythrocytic 1 OS=Homo sapiens OX=9606 GN=SPTBN1 PE=1 SV=2
+MTTTVATDYDNIEIQQQYSDVNNRWDVDDWDNENSSARLFERSRIKALADEREAVQKKTFTKWVNSHLARVSCRITDLYTDLRDGRMLIKLLEVLSGERLPKPTKGRMRIHCLENVDKALQFLKEQRVHLENMGSHDIVDGNHRLTLGLIWTIILRFQIQDISVETEDNKEKKSAKDALLLWCQMKTAGYPNVNIHNFTTSWRDGMAFNALIHKHRPDLIDFDKLKKSNAHYNLQNAFNLAEQHLGLTKLLDPEDISVDHPDEKSIITYVVTYYHYFSKMKALAVEGKRIGKVLDNAIETEKMIEKYESLASDLLEWIEQTIIILNNRKFANSLVGVQQQLQAFNTYRTVEKPPKFTEKGNLEVLLFTIQSKMRANNQKVYMPREGKLISDINKAWERLEKAEHERELALRNELIRQEKLEQLARRFDRKAAMRETWLSENQRLVSQDNFGFDLPAVEAATKKHEAIETDIAAYEERVQAVVAVARELEAENYHDIKRITARKDNVIRLWEYLLELLRARRQRLEMNLGLQKIFQEMLYIMDWMDEMKVLVLSQDYGKHLLGVEDLLQKHTLVEADIGIQAERVRGVNASAQKFATDGEGYKPCDPQVIRDRVAHMEFCYQELCQLAAERRARLEESRRLWKFFWEMAEEEGWIREKEKILSSDDYGKDLTSVMRLLSKHRAFEDEMSGRSGHFEQAIKEGEDMIAEEHFGSEKIRERIIYIREQWANLEQLSAIRKKRLEEASLLHQFQADADDIDAWMLDILKIVSSSDVGHDEYSTQSLVKKHKDVAEEIANYRPTLDTLHEQASALPQEHAESPDVRGRLSGIEERYKEVAELTRLRKQALQDTLALYKMFSEADACELWIDEKEQWLNNMQIPEKLEDLEVIQHRFESLEPEMNNQASRVAVVNQIARQLMHSGHPSEKEIKAQQDKLNTRWSQFRELVDRKKDALLSALSIQNYHLECNETKSWIREKTKVIESTQDLGNDLAGVMALQRKLTGMERDLVAIEAKLSDLQKEAEKLESEHPDQAQAILSRLAEISDVWEEMKTTLKNREASLGEASKLQQFLRDLDDFQSWLSRTQTAIASEDMPNTLTEAEKLLTQHENIKNEIDNYEEDYQKMRDMGEMVTQGQTDAQYMFLRQRLQALDTGWNELHKMWENRQNLLSQSHAYQQFLRDTKQAEAFLNNQEYVLAHTEMPTTLEGAEAAIKKQEDFMTTMDANEEKINAVVETGRRLVSDGNINSDRIQEKVDSIDDRHRKNRETASELLMRLKDNRDLQKFLQDCQELSLWINEKMLTAQDMSYDEARNLHSKWLKHQAFMAELASNKEWLDKIEKEGMQLISEKPETEAVVKEKLTGLHKMWEVLESTTQTKAQRLFDANKAELFTQSCADLDKWLHGLESQIQSDDYGKDLTSVNILLKKQQMLENQMEVRKKEIEELQSQAQALSQEGKSTDEVDSKRLTVQTKFMELLEPLNERKHNLLASKEIHQFNRDVEDEILWVGERMPLATSTDHGHNLQTVQLLIKKNQTLQKEIQGHQPRIDDIFERSQNIVTDSSSLSAEAIRQRLADLKQLWGLLIEETEKRHRRLEEAHRAQQYYFDAAEAEAWMSEQELYMMSEEKAKDEQSAVSMLKKHQILEQAVEDYAETVHQLSKTSRALVADSHPESERISMRQSKVDKLYAGLKDLAEERRGKLDERHRLFQLNREVDDLEQWIAEREVVAGSHELGQDYEHVTMLQERFREFARDTGNIGQERVDTVNHLADELINSGHSDAATIAEWKDGLNEAWADLLELIDTRTQILAASYELHKFYHDAKEIFGRIQDKHKKLPEELGRDQNTVETLQRMHTTFEHDIQALGTQVRQLQEDAARLQAAYAGDKADDIQKRENEVLEAWKSLLDACESRRVRLVDTGDKFRFFSMVRDLMLWMEDVIRQIEAQEKPRDVSSVELLMNNHQGIKAEIDARNDSFTTCIELGKSLLARKHYASEEIKEKLLQLTEKRKEMIDKWEDRWEWLRLILEVHQFSRDASVAEAWLLGQEPYLSSREIGQSVDEVEKLIKRHEAFEKSAATWDERFSALERLTTLELLEVRRQQEEEERKRRPPSPEPSTKVSEEAESQQQWDTSKGEQVSQNGLPAEQGSPRMAETVDTSEMVNGATEQRTSSKESSPIPSPTSDRKAKTALPAQSAATLPARTQETPSAQMEGFLNRKHEWEAHNKKASSRSWHNVYCVINNQEMGFYKDAKTAASGIPYHSEVPVSLKEAVCEVALDYKKKKHVFKLRLNDGNEYLFQAKDDEEMNTWIQAISSAISSDKHEVSASTQSTPASSRAQTLPTSVVTITSESSPGKREKDKEKDKEKRFSLFGKKK
+>DECOY_sp|Q01082|SPTB2_HUMAN Spectrin beta chain, non-erythrocytic 1 OS=Homo sapiens OX=9606 GN=SPTBN1 PE=1 SV=2
+KKKGFLSFRKEKDKEKDKERKGPSSESTITVVSTPLTQARSSAPTSQTSASVEHKDSSIASSIAQIWTNMEEDDKAQFLYENGDNLRLKFVHKKKKYDLAVECVAEKLSVPVESHYPIGSAATKADKYFGMEQNNIVCYVNHWSRSSAKKNHAEWEHKRNLFGEMQASPTEQTRAPLTAASQAPLATKAKRDSTPSPIPSSEKSSTRQETAGNVMESTDVTEAMRPSGQEAPLGNQSVQEGKSTDWQQQSEAEESVKTSPEPSPPRRKREEEEQQRRVELLELTTLRELASFREDWTAASKEFAEHRKILKEVEDVSQGIERSSLYPEQGLLWAEAVSADRSFQHVELILRLWEWRDEWKDIMEKRKETLQLLKEKIEESAYHKRALLSKGLEICTTFSDNRADIEAKIGQHNNMLLEVSSVDRPKEQAEIQRIVDEMWLMLDRVMSFFRFKDGTDVLRVRRSECADLLSKWAELVENERKQIDDAKDGAYAAQLRAADEQLQRVQTGLAQIDHEFTTHMRQLTEVTNQDRGLEEPLKKHKDQIRGFIEKADHYFKHLEYSAALIQTRTDILELLDAWAENLGDKWEAITAADSHGSNILEDALHNVTDVREQGINGTDRAFERFREQLMTVHEYDQGLEHSGAVVEREAIWQELDDVERNLQFLRHREDLKGRREEALDKLGAYLKDVKSQRMSIRESEPHSDAVLARSTKSLQHVTEAYDEVAQELIQHKKLMSVASQEDKAKEESMMYLEQESMWAEAEAADFYYQQARHAEELRRHRKETEEILLGWLQKLDALRQRIAEASLSSSDTVINQSREFIDDIRPQHGQIEKQLTQNKKILLQVTQLNHGHDTSTALPMREGVWLIEDEVDRNFQHIEKSALLNHKRENLPELLEMFKTQVTLRKSDVEDTSKGEQSLAQAQSQLEEIEKKRVEMQNELMQQKKLLINVSTLDKGYDDSQIQSELGHLWKDLDACSQTFLEAKNADFLRQAKTQTTSELVEWMKHLGTLKEKVVAETEPKESILQMGEKEIKDLWEKNSALEAMFAQHKLWKSHLNRAEDYSMDQATLMKENIWLSLEQCDQLFKQLDRNDKLRMLLESATERNKRHRDDISDVKEQIRDSNINGDSVLRRGTEVVANIKEENADMTTMFDEQKKIAAEAGELTTPMETHALVYEQNNLFAEAQKTDRLFQQYAHSQSLLNQRNEWMKHLENWGTDLAQLRQRLFMYQADTQGQTVMEGMDRMKQYDEEYNDIENKINEHQTLLKEAETLTNPMDESAIATQTRSLWSQFDDLDRLFQQLKSAEGLSAERNKLTTKMEEWVDSIEALRSLIAQAQDPHESELKEAEKQLDSLKAEIAVLDREMGTLKRQLAMVGALDNGLDQTSEIVKTKERIWSKTENCELHYNQISLASLLADKKRDVLERFQSWRTNLKDQQAKIEKESPHGSHMLQRAIQNVVAVRSAQNNMEPELSEFRHQIVELDELKEPIQMNNLWQEKEDIWLECADAESFMKYLALTDQLAQKRLRTLEAVEKYREEIGSLRGRVDPSEAHEQPLASAQEHLTDLTPRYNAIEEAVDKHKKVLSQTSYEDHGVDSSSVIKLIDLMWADIDDADAQFQHLLSAEELRKKRIASLQELNAWQERIYIIRERIKESGFHEEAIMDEGEKIAQEFHGSRGSMEDEFARHKSLLRMVSTLDKGYDDSSLIKEKERIWGEEEAMEWFFKWLRRSEELRARREAALQCLEQYCFEMHAVRDRIVQPDCPKYGEGDTAFKQASANVGRVREAQIGIDAEVLTHKQLLDEVGLLHKGYDQSLVLVKMEDMWDMIYLMEQFIKQLGLNMELRQRRARLLELLYEWLRIVNDKRATIRKIDHYNEAELERAVAVVAQVREEYAAIDTEIAEHKKTAAEVAPLDFGFNDQSVLRQNESLWTERMAAKRDFRRALQELKEQRILENRLALEREHEAKELREWAKNIDSILKGERPMYVKQNNARMKSQITFLLVELNGKETFKPPKEVTRYTNFAQLQQQVGVLSNAFKRNNLIIITQEIWELLDSALSEYKEIMKETEIANDLVKGIRKGEVALAKMKSFYHYYTVVYTIISKEDPHDVSIDEPDLLKTLGLHQEALNFANQLNYHANSKKLKDFDILDPRHKHILANFAMGDRWSTTFNHINVNPYGATKMQCWLLLADKASKKEKNDETEVSIDQIQFRLIITWILGLTLRHNGDVIDHSGMNELHVRQEKLFQLAKDVNELCHIRMRGKTPKPLREGSLVELLKILMRGDRLDTYLDTIRCSVRALHSNVWKTFTKKQVAEREDALAKIRSREFLRASSNENDWDDVDWRNNVDSYQQQIEINDYDTAVTTTM
+>sp|Q9UHD8|SEPT9_HUMAN Septin-9 OS=Homo sapiens OX=9606 GN=SEPT9 PE=1 SV=2
+MKKSYSGGTRTSSGRLRRLGDSSGPALKRSFEVEEVETPNSTPPRRVQTPLLRATVASSTQKFQDLGVKNSEPSARHVDSLSQRSPKASLRRVELSGPKAAEPVSRRTELSIDISSKQVENAGAIGPSRFGLKRAEVLGHKTPEPAPRRTEITIVKPQESAHRRMEPPASKVPEVPTAPATDAAPKRVEIQMPKPAEAPTAPSPAQTLENSEPAPVSQLQSRLEPKPQPPVAEATPRSQEATEAAPSCVGDMADTPRDAGLKQAPASRNEKAPVDFGYVGIDSILEQMRRKAMKQGFEFNIMVVGQSGLGKSTLINTLFKSKISRKSVQPTSEERIPKTIEIKSITHDIEEKGVRMKLTVIDTPGFGDHINNENCWQPIMKFINDQYEKYLQEEVNINRKKRIPDTRVHCCLYFIPATGHSLRPLDIEFMKRLSKVVNIVPVIAKADTLTLEERVHFKQRITADLLSNGIDVYPQKEFDEDSEDRLVNEKFREMIPFAVVGSDHEYQVNGKRILGRKTKWGTIEVENTTHCEFAYLRDLLIRTHMQNIKDITSSIHFEAYRVKRLNEGSSAMANGMEEKEPEAPEM
+>DECOY_sp|Q9UHD8|SEPT9_HUMAN Septin-9 OS=Homo sapiens OX=9606 GN=SEPT9 PE=1 SV=2
+MEPAEPEKEEMGNAMASSGENLRKVRYAEFHISSTIDKINQMHTRILLDRLYAFECHTTNEVEITGWKTKRGLIRKGNVQYEHDSGVVAFPIMERFKENVLRDESDEDFEKQPYVDIGNSLLDATIRQKFHVREELTLTDAKAIVPVINVVKSLRKMFEIDLPRLSHGTAPIFYLCCHVRTDPIRKKRNINVEEQLYKEYQDNIFKMIPQWCNENNIHDGFGPTDIVTLKMRVGKEEIDHTISKIEITKPIREESTPQVSKRSIKSKFLTNILTSKGLGSQGVVMINFEFGQKMAKRRMQELISDIGVYGFDVPAKENRSAPAQKLGADRPTDAMDGVCSPAAETAEQSRPTAEAVPPQPKPELRSQLQSVPAPESNELTQAPSPATPAEAPKPMQIEVRKPAADTAPATPVEPVKSAPPEMRRHASEQPKVITIETRRPAPEPTKHGLVEARKLGFRSPGIAGANEVQKSSIDISLETRRSVPEAAKPGSLEVRRLSAKPSRQSLSDVHRASPESNKVGLDQFKQTSSAVTARLLPTQVRRPPTSNPTEVEEVEFSRKLAPGSSDGLRRLRGSSTRTGGSYSKKM
+>sp|Q13530|SERC3_HUMAN Serine incorporator 3 OS=Homo sapiens OX=9606 GN=SERINC3 PE=2 SV=2
+MGAVLGVFSLASWVPCLCSGASCLLCSCCPNSKNSTVTRLIYAFILLLSTVVSYIMQRKEMETYLKKIPGFCEGGFKIHEADINADKDCDVLVGYKAVYRISFAMAIFFFVFSLLMFKVKTSKDLRAAVHNGFWFFKIAALIGIMVGSFYIPGGYFSSVWFVVGMIGAALFILIQLVLLVDFAHSWNESWVNRMEEGNPRLWYAALLSFTSAFYILSIICVGLLYTYYTKPDGCTENKFFISINLILCVVASIISIHPKIQEHQPRSGLLQSSLITLYTMYLTWSAMSNEPDRSCNPNLMSFITRITAPTLAPGNSTAVVPTPTPPSKSGSLLDSDNFIGLFVFVLCLLYSSIRTSTNSQVDKLTLSGSDSVILGDTTTSGASDEEDGQPRRAVDNEKEGVQYSYSLFHLMLCLASLYIMMTLTSWYSPDAKFQSMTSKWPAVWVKISSSWVCLLLYVWTLVAPLVLTSRDFS
+>DECOY_sp|Q13530|SERC3_HUMAN Serine incorporator 3 OS=Homo sapiens OX=9606 GN=SERINC3 PE=2 SV=2
+SFDRSTLVLPAVLTWVYLLLCVWSSSIKVWVAPWKSTMSQFKADPSYWSTLTMMIYLSALCLMLHFLSYSYQVGEKENDVARRPQGDEEDSAGSTTTDGLIVSDSGSLTLKDVQSNTSTRISSYLLCLVFVFLGIFNDSDLLSGSKSPPTPTPVVATSNGPALTPATIRTIFSMLNPNCSRDPENSMASWTLYMTYLTILSSQLLGSRPQHEQIKPHISIISAVVCLILNISIFFKNETCGDPKTYYTYLLGVCIISLIYFASTFSLLAAYWLRPNGEEMRNVWSENWSHAFDVLLVLQILIFLAAGIMGVVFWVSSFYGGPIYFSGVMIGILAAIKFFWFGNHVAARLDKSTKVKFMLLSFVFFFIAMAFSIRYVAKYGVLVDCDKDANIDAEHIKFGGECFGPIKKLYTEMEKRQMIYSVVTSLLLIFAYILRTVTSNKSNPCCSCLLCSAGSCLCPVWSALSFVGLVAGM
+>sp|Q8N4B1|SESQ1_HUMAN Sesquipedalian-1 OS=Homo sapiens OX=9606 GN=PHETA1 PE=1 SV=1
+MKLNERSLAFYATCDAPVDNAGFLYKKGGRHAAYHRRWFVLRGNMLFYFEDAASREPVGVIILEGCTVELVEAAEEFAFAVRFAGTRARTYVLAAESQDAMEGWVKALSRASFDYLRLVVRELEQQLAAVRGGGGMALPQPQPQSLPLPPSLPSALAPVPSLPSAPAPVPALPLPRRPSALPPKENGCAVWSTEATFRPGPEPPPPPPRRRASAPHGPLDMAPFARLHECYGQEIRALRGQWLSSRVQP
+>DECOY_sp|Q8N4B1|SESQ1_HUMAN Sesquipedalian-1 OS=Homo sapiens OX=9606 GN=PHETA1 PE=1 SV=1
+PQVRSSLWQGRLARIEQGYCEHLRAFPAMDLPGHPASARRRPPPPPPEPGPRFTAETSWVACGNEKPPLASPRRPLPLAPVPAPASPLSPVPALASPLSPPLPLSQPQPQPLAMGGGGRVAALQQELERVVLRLYDFSARSLAKVWGEMADQSEAALVYTRARTGAFRVAFAFEEAAEVLEVTCGELIIVGVPERSAADEFYFLMNGRLVFWRRHYAAHRGGKKYLFGANDVPADCTAYFALSRENLKM
+>sp|Q96T68|SETB2_HUMAN Histone-lysine N-methyltransferase SETDB2 OS=Homo sapiens OX=9606 GN=SETDB2 PE=1 SV=2
+MGEKNGDAKTFWMELEDDGKVDFIFEQVQNVLQSLKQKIKDGSATNKEYIQAMILVNEATIINSSTSIKGASQKEVNAQSSDPMPVTQKEQENKSNAFPSTSCENSFPEDCTFLTTENKEILSLEDKVVDFREKDSSSNLSYQSHDCSGACLMKMPLNLKGENPLQLPIKCHFQRRHAKTNSHSSALHVSYKTPCGRSLRNVEEVFRYLLETECNFLFTDNFSFNTYVQLARNYPKQKEVVSDVDISNGVESVPISFCNEIDSRKLPQFKYRKTVWPRAYNLTNFSSMFTDSCDCSEGCIDITKCACLQLTARNAKTSPLSSDKITTGYKYKRLQRQIPTGIYECSLLCKCNRQLCQNRVVQHGPQVRLQVFKTEQKGWGVRCLDDIDRGTFVCIYSGRLLSRANTEKSYGIDENGRDENTMKNIFSKKRKLEVACSDCEVEVLPLGLETHPRTAKTEKCPPKFSNNPKELTVETKYDNISRIQYHSVIRDPESKTAIFQHNGKKMEFVSSESVTPEDNDGFKPPREHLNSKTKGAQKDSSSNHVDEFEDNLLIESDVIDITKYREETPPRSRCNQATTLDNQNIKKAIEVQIQKPQEGRSTACQRQQVFCDEELLSETKNTSSDSLTKFNKGNVFLLDATKEGNVGRFLNHSCCPNLLVQNVFVETHNRNFPLVAFFTNRYVKARTELTWDYGYEAGTVPEKEIFCQCGVNKCRKKIL
+>DECOY_sp|Q96T68|SETB2_HUMAN Histone-lysine N-methyltransferase SETDB2 OS=Homo sapiens OX=9606 GN=SETDB2 PE=1 SV=2
+LIKKRCKNVGCQCFIEKEPVTGAEYGYDWTLETRAKVYRNTFFAVLPFNRNHTEVFVNQVLLNPCCSHNLFRGVNGEKTADLLFVNGKNFKTLSDSSTNKTESLLEEDCFVQQRQCATSRGEQPKQIQVEIAKKINQNDLTTAQNCRSRPPTEERYKTIDIVDSEILLNDEFEDVHNSSSDKQAGKTKSNLHERPPKFGDNDEPTVSESSVFEMKKGNHQFIATKSEPDRIVSHYQIRSINDYKTEVTLEKPNNSFKPPCKETKATRPHTELGLPLVEVECDSCAVELKRKKSFINKMTNEDRGNEDIGYSKETNARSLLRGSYICVFTGRDIDDLCRVGWGKQETKFVQLRVQPGHQVVRNQCLQRNCKCLLSCEYIGTPIQRQLRKYKYGTTIKDSSLPSTKANRATLQLCACKTIDICGESCDCSDTFMSSFNTLNYARPWVTKRYKFQPLKRSDIENCFSIPVSEVGNSIDVDSVVEKQKPYNRALQVYTNFSFNDTFLFNCETELLYRFVEEVNRLSRGCPTKYSVHLASSHSNTKAHRRQFHCKIPLQLPNEGKLNLPMKMLCAGSCDHSQYSLNSSSDKERFDVVKDELSLIEKNETTLFTCDEPFSNECSTSPFANSKNEQEKQTVPMPDSSQANVEKQSAGKISTSSNIITAENVLIMAQIYEKNTASGDKIKQKLSQLVNQVQEFIFDVKGDDELEMWFTKADGNKEGM
+>sp|Q9Y6X0|SETBP_HUMAN SET-binding protein OS=Homo sapiens OX=9606 GN=SETBP1 PE=1 SV=3
+MESRETLSSSRQRGGESDFLPVSSAKPPAAPGCAGEPLLSTPGPGKGIPVGGERMEPEEEDELGSGRDVDSNSNADSEKWVAGDGLEEQEFSIKEANFTEGSLKLKIQTTKRAKKPPKNLENYICPPEIKITIKQSGDQKVSRAGKNSKATKEEERSHSKKKLLTASDLAASDLKGFQPQAYERPQKHSTLHYDTGLPQDFTGDTLKPKHQQKSSSQNHMDWSTNSDSGPVTQNCFISPESGRETASTSKIPALEPVASFAKAQGKKGSAGNTWSQLSNNNKDLLLGGVAPSPSSHSSPAPPSSSAECNGLQPLVDQDGGGTKEPPEPPTVGSKKKSSKKDVISQTIPNPDLDWVKNAQKAFDNTEGKREGYSADSAQEASPARQNVSSASNPENDSSHVRITIPIKAPSLDPTNHKRKKRQSIKAVVEKIMPEKALASGITMSSEVVNRILSNSEGNKKDPRVPKLSKMIENESPSVGLETGGNAEKVIPGGVSKPRKPPMVMTPPTCTDHSPSRKLPEIQHPKFAAKRRWTCSKPKPSTMLREAVMATSDKLMLEPPSAYPITPSSPLYTNTDSLTVITPVKKKRGRPKKQPLLTVETIHEGTSTSPVSPISREFPGTKKRKRRRNLAKLAQLVPGEDKPMSEMKFHKKVGKLGVLDKKTIKTINKMKTLKRKNILNQILSCSSSVALKAKAPPETSPGAAAIESKLGKQINVSKRGTIYIGKKRGRKPRAELPPPSEEPKTAIKHPRPVSSQPDVPAVPSNFQSLVASSPAAMHPLSTQLGGSNGNLSPASTETNFSELKTMPNLQPISALPTKTQKGIHSGTWKLSPPRLMANSPSHLCEIGSLKEITLSPVSESHSEETIPSDSGIGTDNNSTSDQAEKSSESRRRYSFDFCSLDNPEAIPSDTSTKNRHGHRQKHLIVDNFLAHESLKKPKHKRKRKSLQNRDDLQFLADLEELITKFQVFRISHRSYTFYHENPYPSIFRINFDHYYPVPYIQYDPLLYLRRTSDLKSKKKRGRPAKTNDTMTKVPFLQGFSYPIPSGSYYAPYGMPYTSMPMMNLGYYGQYPAPLYLSHTLGAASPFMRPTVPPPQFHTNSHVKMSGAAKHKAKHGVHLQGPVSMGLGDMQPSLNPPKVGSASLSSGRLHKRKHKHKHKHKEDRILGTHDNLSGLFAGKATGFSSHILSERLSSADKELPLVSEKNKHKEKQKHQHSEAGHKASKNNFEVDTLSTLSLSDAQHWTQAKEKGDLSSEPVDSCTKRYSGSGGDGGSTRSENLDVFSEMNPSNDKWDSDVSGSKRRSYEGFGTYREKDIQAFKMNRKERSSYDSSMSPGMPSPHLKVDQTAVHSKNEGSVPTMMTRKKPAAVDSVTIPPAPVLSLLAASAATSDAVGSSLKKRFKRREIEAIQCEVRKMCNYTKILSTKKNLDHVNKILKAKRLQRQSKTGNNFVKKRRGRPRKQPTQFDEDSRDQMPVLEKCIDLPSKRGQKPSLSPLVLEPAASQDTIMATIEAVIHMAREAPPLPPPPPPPLPPPPPPPLPPPPPLPKTPRGGKRKHKPQAPAQPPQQSPPQQPLPQEEEVKAKRQRKSRGSESEVLP
+>DECOY_sp|Q9Y6X0|SETBP_HUMAN SET-binding protein OS=Homo sapiens OX=9606 GN=SETBP1 PE=1 SV=3
+PLVESESGRSKRQRKAKVEEEQPLPQQPPSQQPPQAPAQPKHKRKGGRPTKPLPPPPPLPPPPPPPLPPPPPPPLPPAERAMHIVAEITAMITDQSAAPELVLPSLSPKQGRKSPLDICKELVPMQDRSDEDFQTPQKRPRGRRKKVFNNGTKSQRQLRKAKLIKNVHDLNKKTSLIKTYNCMKRVECQIAEIERRKFRKKLSSGVADSTAASAALLSLVPAPPITVSDVAAPKKRTMMTPVSGENKSHVATQDVKLHPSPMGPSMSSDYSSREKRNMKFAQIDKERYTGFGEYSRRKSGSVDSDWKDNSPNMESFVDLNESRTSGGDGGSGSYRKTCSDVPESSLDGKEKAQTWHQADSLSLTSLTDVEFNNKSAKHGAESHQHKQKEKHKNKESVLPLEKDASSLRESLIHSSFGTAKGAFLGSLNDHTGLIRDEKHKHKHKHKRKHLRGSSLSASGVKPPNLSPQMDGLGMSVPGQLHVGHKAKHKAAGSMKVHSNTHFQPPPVTPRMFPSAAGLTHSLYLPAPYQGYYGLNMMPMSTYPMGYPAYYSGSPIPYSFGQLFPVKTMTDNTKAPRGRKKKSKLDSTRRLYLLPDYQIYPVPYYHDFNIRFISPYPNEHYFTYSRHSIRFVQFKTILEELDALFQLDDRNQLSKRKRKHKPKKLSEHALFNDVILHKQRHGHRNKTSTDSPIAEPNDLSCFDFSYRRRSESSKEAQDSTSNNDTGIGSDSPITEESHSESVPSLTIEKLSGIECLHSPSNAMLRPPSLKWTGSHIGKQTKTPLASIPQLNPMTKLESFNTETSAPSLNGNSGGLQTSLPHMAAPSSAVLSQFNSPVAPVDPQSSVPRPHKIATKPEESPPPLEARPKRGRKKGIYITGRKSVNIQKGLKSEIAAAGPSTEPPAKAKLAVSSSCSLIQNLINKRKLTKMKNITKITKKDLVGLKGVKKHFKMESMPKDEGPVLQALKALNRRRKRKKTGPFERSIPSVPSTSTGEHITEVTLLPQKKPRGRKKKVPTIVTLSDTNTYLPSSPTIPYASPPELMLKDSTAMVAERLMTSPKPKSCTWRRKAAFKPHQIEPLKRSPSHDTCTPPTMVMPPKRPKSVGGPIVKEANGGTELGVSPSENEIMKSLKPVRPDKKNGESNSLIRNVVESSMTIGSALAKEPMIKEVVAKISQRKKRKHNTPDLSPAKIPITIRVHSSDNEPNSASSVNQRAPSAEQASDASYGERKGETNDFAKQANKVWDLDPNPITQSIVDKKSSKKKSGVTPPEPPEKTGGGDQDVLPQLGNCEASSSPPAPSSHSSPSPAVGGLLLDKNNNSLQSWTNGASGKKGQAKAFSAVPELAPIKSTSATERGSEPSIFCNQTVPGSDSNTSWDMHNQSSSKQQHKPKLTDGTFDQPLGTDYHLTSHKQPREYAQPQFGKLDSAALDSATLLKKKSHSREEEKTAKSNKGARSVKQDGSQKITIKIEPPCIYNELNKPPKKARKTTQIKLKLSGETFNAEKISFEQEELGDGAVWKESDANSNSDVDRGSGLEDEEEPEMREGGVPIGKGPGPTSLLPEGACGPAAPPKASSVPLFDSEGGRQRSSSLTERSEM
+>sp|Q9BYW2|SETD2_HUMAN Histone-lysine N-methyltransferase SETD2 OS=Homo sapiens OX=9606 GN=SETD2 PE=1 SV=3
+MKQLQPQPPPKMGDFYDPEHPTPEEEENEAKIENVQKTGFIKGPMFKGVASSRFLPKGTKTKVNLEEQGRQKVSFSFSLTKKTLQNRFLTALGNEKQSDTPNPPAVPLQVDSTPKMKMEIGDTLSTAEESSPPKSRVELGKIHFKKHLLHVTSRPLLATTTAVASPPTHAAPLPAVIAESTTVDSPPSSPPPPPPPAQATTLSSPAPVTEPVALPHTPITVLMAAPVPLPVDVAVRSLKEPPIIIVPESLEADTKQDTISNSLEEHVTQILNEQADISSKKEDSHIGKDEEIPDSSKISLSCKKTGSKKKSSQSEGIFLGSESDEDSVRTSSSQRSHDLKFSASIEKERDFKKSSAPLKSEDLGKPSRSKTDRDDKYFSYSKLERDTRYVSSRCRSERERRRSRSHSRSERGSRTNLSYSRSERSHYYDSDRRYHRSSPYRERTRYSRPYTDNRARESSDSEEEYKKTYSRRTSSHSSSYRDLRTSSYSKSDRDCKTETSYLEMERRGKYSSKLERESKRTSENEAIKRCCSPPNELGFRRGSSYSKHDSSASRYKSTLSKPIPKSDKFKNSFCCTELNEEIKQSHSFSLQTPCSKGSELRMINKNPEREKAGSPAPSNRLNDSPTLKKLDELPIFKSEFITHDSHDSIKELDSLSKVKNDQLRSFCPIELNINGSPGAESDLATFCTSKTDAVLMTSDDSVTGSELSPLVKACMLSSNGFQNISRCKEKDLDDTCMLHKKSESPFRETEPLVSPHQDKLMSMPVMTVDYSKTVVKEPVDTRVSCCKTKDSDIYCTLNDSNPSLCNSEAENIEPSVMKISSNSFMNVHLESKPVICDSRNLTDHSKFACEEYKQSIGSTSSASVNHFDDLYQPIGSSGIASSLQSLPPGIKVDSLTLLKCGENTSPVLDAVLKSKKSSEFLKHAGKETIVEVGSDLPDSGKGFASRENRRNNGLSGKCLQEAQEEGNSILPERRGRPEISLDERGEGGHVHTSDDSEVVFSSCDLNLTMEDSDGVTYALKCDSSGHAPEIVSTVHEDYSGSSESSNDESDSEDTDSDDSSIPRNRLQSVVVVPKNSTLPMEETSPCSSRSSQSYRHYSDHWEDERLESRRHLYEEKFESIASKACPQTDKFFLHKGTEKNPEISFTQSSRKQIDNRLPELSHPQSDGVDSTSHTDVKSDPLGHPNSEETVKAKIPSRQQEELPIYSSDFEDVPNKSWQQTTFQNRPDSRLGKTELSFSSSCEIPHVDGLHSSEELRNLGWDFSQEKPSTTYQQPDSSYGACGGHKYQQNAEQYGGTRDYWQGNGYWDPRSGRPPGTGVVYDRTQGQVPDSLTDDREEEENWDQQDGSHFSDQSDKFLLSLQKDKGSVQAPEISSNSIKDTLAVNEKKDFSKNLEKNDIKDRGPLKKRRQEIESDSESDGELQDRKKVRVEVEQGETSVPPGSALVGPSCVMDDFRDPQRWKECAKQGKMPCYFDLIEENVYLTERKKNKSHRDIKRMQCECTPLSKDERAQGEIACGEDCLNRLLMIECSSRCPNGDYCSNRRFQRKQHADVEVILTEKKGWGLRAAKDLPSNTFVLEYCGEVLDHKEFKARVKEYARNKNIHYYFMALKNDEIIDATQKGNCSRFMNHSCEPNCETQKWTVNGQLRVGFFTTKLVPSGSELTFDYQFQRYGKEAQKCFCGSANCRGYLGGENRVSIRAAGGKMKKERSRKKDSVDGELEALMENGEGLSDKNQVLSLSRLMVRIETLEQKLTCLELIQNTHSQSCLKSFLERHGLSLLWIWMAELGDGRESNQKLQEEIIKTLEHLPIPTKNMLEESKVLPIIQRWSQTKTAVPPLSEGDGYSSENTSRAHTPLNTPDPSTKLSTEADTDTPKKLMFRRLKIISENSMDSAISDATSELEGKDGKEDLDQLENVPVEEEEELQSQQLLPQQLPECKVDSETNIEASKLPTSEPEADAEIEPKESNGTKLEEPINEETPSQDEEEGVSDVESERSQEQPDKTVDISDLATKLLDSWKDLKEVYRIPKKSQTEKENTTTERGRDAVGFRDQTPAPKTPNRSRERDPDKQTQNKEKRKRRSSLSPPSSAYERGTKRPDDRYDTPTSKKKVRIKDRNKLSTEERRKLFEQEVAQREAQKQQQQMQNLGMTSPLPYDSLGYNAPHHPFAGYPPGYPMQAYVDPSNPNAGKVLLPTPSMDPVCSPAPYDHAQPLVGHSTEPLSAPPPVPVVPHVAAPVEVSSSQYVAQSDGVVHQDSSVAVLPVPAPGPVQGQNYSVWDSNQQSVSVQQQYSPAQSQATIYYQGQTCPTVYGVTSPYSQTTPPIVQSYAQPSLQYIQGQQIFTAHPQGVVVQPAAAVTTIVAPGQPQPLQPSEMVVTNNLLDLPPPSPPKPKTIVLPPNWKTARDPEGKIYYYHVITRQTQWDPPTWESPGDDASLEHEAEMDLGTPTYDENPMKASKKPKTAEADTSSELAKKSKEVFRKEMSQFIVQCLNPYRKPDCKVGRITTTEDFKHLARKLTHGVMNKELKYCKNPEDLECNENVKHKTKEYIKKYMQKFGAVYKPKEDTELE
+>DECOY_sp|Q9BYW2|SETD2_HUMAN Histone-lysine N-methyltransferase SETD2 OS=Homo sapiens OX=9606 GN=SETD2 PE=1 SV=3
+ELETDEKPKYVAGFKQMYKKIYEKTKHKVNENCELDEPNKCYKLEKNMVGHTLKRALHKFDETTTIRGVKCDPKRYPNLCQVIFQSMEKRFVEKSKKALESSTDAEATKPKKSAKMPNEDYTPTGLDMEAEHELSADDGPSEWTPPDWQTQRTIVHYYYIKGEPDRATKWNPPLVITKPKPPSPPPLDLLNNTVVMESPQLPQPQGPAVITTVAAAPQVVVGQPHATFIQQGQIYQLSPQAYSQVIPPTTQSYPSTVGYVTPCTQGQYYITAQSQAPSYQQQVSVSQQNSDWVSYNQGQVPGPAPVPLVAVSSDQHVVGDSQAVYQSSSVEVPAAVHPVVPVPPPASLPETSHGVLPQAHDYPAPSCVPDMSPTPLLVKGANPNSPDVYAQMPYGPPYGAFPHHPANYGLSDYPLPSTMGLNQMQQQQKQAERQAVEQEFLKRREETSLKNRDKIRVKKKSTPTDYRDDPRKTGREYASSPPSLSSRRKRKEKNQTQKDPDRERSRNPTKPAPTQDRFGVADRGRETTTNEKETQSKKPIRYVEKLDKWSDLLKTALDSIDVTKDPQEQSRESEVDSVGEEEDQSPTEENIPEELKTGNSEKPEIEADAEPESTPLKSAEINTESDVKCEPLQQPLLQQSQLEEEEEVPVNELQDLDEKGDKGELESTADSIASDMSNESIIKLRRFMLKKPTDTDAETSLKTSPDPTNLPTHARSTNESSYGDGESLPPVATKTQSWRQIIPLVKSEELMNKTPIPLHELTKIIEEQLKQNSERGDGLEAMWIWLLSLGHRELFSKLCSQSHTNQILELCTLKQELTEIRVMLRSLSLVQNKDSLGEGNEMLAELEGDVSDKKRSREKKMKGGAARISVRNEGGLYGRCNASGCFCKQAEKGYRQFQYDFTLESGSPVLKTTFFGVRLQGNVTWKQTECNPECSHNMFRSCNGKQTADIIEDNKLAMFYYHINKNRAYEKVRAKFEKHDLVEGCYELVFTNSPLDKAARLGWGKKETLIVEVDAHQKRQFRRNSCYDGNPCRSSCEIMLLRNLCDEGCAIEGQAREDKSLPTCECQMRKIDRHSKNKKRETLYVNEEILDFYCPMKGQKACEKWRQPDRFDDMVCSPGVLASGPPVSTEGQEVEVRVKKRDQLEGDSESDSEIEQRRKKLPGRDKIDNKELNKSFDKKENVALTDKISNSSIEPAQVSGKDKQLSLLFKDSQDSFHSGDQQDWNEEEERDDTLSDPVQGQTRDYVVGTGPPRGSRPDWYGNGQWYDRTGGYQEANQQYKHGGCAGYSSDPQQYTTSPKEQSFDWGLNRLEESSHLGDVHPIECSSSFSLETKGLRSDPRNQFTTQQWSKNPVDEFDSSYIPLEEQQRSPIKAKVTEESNPHGLPDSKVDTHSTSDVGDSQPHSLEPLRNDIQKRSSQTFSIEPNKETGKHLFFKDTQPCAKSAISEFKEEYLHRRSELREDEWHDSYHRYSQSSRSSCPSTEEMPLTSNKPVVVVSQLRNRPISSDDSDTDESDSEDNSSESSGSYDEHVTSVIEPAHGSSDCKLAYTVGDSDEMTLNLDCSSFVVESDDSTHVHGGEGREDLSIEPRGRREPLISNGEEQAEQLCKGSLGNNRRNERSAFGKGSDPLDSGVEVITEKGAHKLFESSKKSKLVADLVPSTNEGCKLLTLSDVKIGPPLSQLSSAIGSSGIPQYLDDFHNVSASSTSGISQKYEECAFKSHDTLNRSDCIVPKSELHVNMFSNSSIKMVSPEINEAESNCLSPNSDNLTCYIDSDKTKCCSVRTDVPEKVVTKSYDVTMVPMSMLKDQHPSVLPETERFPSESKKHLMCTDDLDKEKCRSINQFGNSSLMCAKVLPSLESGTVSDDSTMLVADTKSTCFTALDSEAGPSGNINLEIPCFSRLQDNKVKSLSDLEKISDHSDHTIFESKFIPLEDLKKLTPSDNLRNSPAPSGAKEREPNKNIMRLESGKSCPTQLSFSHSQKIEENLETCCFSNKFKDSKPIPKSLTSKYRSASSDHKSYSSGRRFGLENPPSCCRKIAENESTRKSERELKSSYKGRREMELYSTETKCDRDSKSYSSTRLDRYSSSHSSTRRSYTKKYEEESDSSERARNDTYPRSYRTRERYPSSRHYRRDSDYYHSRESRSYSLNTRSGRESRSHSRSRRRERESRCRSSVYRTDRELKSYSFYKDDRDTKSRSPKGLDESKLPASSKKFDREKEISASFKLDHSRQSSSTRVSDEDSESGLFIGESQSSKKKSGTKKCSLSIKSSDPIEEDKGIHSDEKKSSIDAQENLIQTVHEELSNSITDQKTDAELSEPVIIIPPEKLSRVAVDVPLPVPAAMLVTIPTHPLAVPETVPAPSSLTTAQAPPPPPPPSSPPSDVTTSEAIVAPLPAAHTPPSAVATTTALLPRSTVHLLHKKFHIKGLEVRSKPPSSEEATSLTDGIEMKMKPTSDVQLPVAPPNPTDSQKENGLATLFRNQLTKKTLSFSFSVKQRGQEELNVKTKTGKPLFRSSAVGKFMPGKIFGTKQVNEIKAENEEEEPTPHEPDYFDGMKPPPQPQLQKM
+>sp|Q53H47|SETMR_HUMAN Histone-lysine N-methyltransferase SETMAR OS=Homo sapiens OX=9606 GN=SETMAR PE=1 SV=2
+MFAEAAKTTRPCGMAEFKEKPEAPTEQLDVACGQENLPVGAWPPGAAPAPFQYTPDHVVGPGADIDPTQITFPGCICVKTPCLPGTCSCLRHGENYDDNSCLRDIGSGGKYAEPVFECNVLCRCSDHCRNRVVQKGLQFHFQVFKTHKKGWGLRTLEFIPKGRFVCEYAGEVLGFSEVQRRIHLQTKSDSNYIIAIREHVYNGQVMETFVDPTYIGNIGRFLNHSCEPNLLMIPVRIDSMVPKLALFAAKDIVPEEELSYDYSGRYLNLTVSEDKERLDHGKLRKPCYCGAKSCTAFLPFDSSLYCPVEKSNISCGNEKEPSMCGSAPSVFPSCKRLTLETMKMMLDKKQIRAIFLFEFKMGRKAAETTRNINNAFGPGTANERTVQWWFKKFCKGDESLEDEERSGRPSEVDNDQLRAIIEADPLTTTREVAEELNVNHSTVVRHLKQIGKVKKLDKWVPHELTENQKNRRFEVSSSLILRNHNEPFLDRIVTCDEKWILYDNRRRSAQWLDQEEAPKHFPKPILHPKKVMVTIWWSAAGLIHYSFLNPGETITSEKYAQEIDEMNQKLQRLQLALVNRKGPILLHDNARPHVAQPTLQKLNELGYEVLPHPPYSPDLLPTNYHVFKHLNNFLQGKRFHNQQDAENAFQEFVESQSTDFYATGINQLISRWQKCVDCNGSYFD
+>DECOY_sp|Q53H47|SETMR_HUMAN Histone-lysine N-methyltransferase SETMAR OS=Homo sapiens OX=9606 GN=SETMAR PE=1 SV=2
+DFYSGNCDVCKQWRSILQNIGTAYFDTSQSEVFEQFANEADQQNHFRKGQLFNNLHKFVHYNTPLLDPSYPPHPLVEYGLENLKQLTPQAVHPRANDHLLIPGKRNVLALQLRQLKQNMEDIEQAYKESTITEGPNLFSYHILGAASWWITVMVKKPHLIPKPFHKPAEEQDLWQASRRRNDYLIWKEDCTVIRDLFPENHNRLILSSSVEFRRNKQNETLEHPVWKDLKKVKGIQKLHRVVTSHNVNLEEAVERTTTLPDAEIIARLQDNDVESPRGSREEDELSEDGKCFKKFWWQVTRENATGPGFANNINRTTEAAKRGMKFEFLFIARIQKKDLMMKMTELTLRKCSPFVSPASGCMSPEKENGCSINSKEVPCYLSSDFPLFATCSKAGCYCPKRLKGHDLREKDESVTLNLYRGSYDYSLEEEPVIDKAAFLALKPVMSDIRVPIMLLNPECSHNLFRGINGIYTPDVFTEMVQGNYVHERIAIIYNSDSKTQLHIRRQVESFGLVEGAYECVFRGKPIFELTRLGWGKKHTKFVQFHFQLGKQVVRNRCHDSCRCLVNCEFVPEAYKGGSGIDRLCSNDDYNEGHRLCSCTGPLCPTKVCICGPFTIQTPDIDAGPGVVHDPTYQFPAPAAGPPWAGVPLNEQGCAVDLQETPAEPKEKFEAMGCPRTTKAAEAFM
+>sp|Q15428|SF3A2_HUMAN Splicing factor 3A subunit 2 OS=Homo sapiens OX=9606 GN=SF3A2 PE=1 SV=2
+MDFQHRPGGKTGSGGVASSSESNRDRRERLRQLALETIDINKDPYFMKNHLGSYECKLCLTLHNNEGSYLAHTQGKKHQTNLARRAAKEAKEAPAQPAPEKVKVEVKKFVKIGRPGYKVTKQRDSEMGQQSLLFQIDYPEIAEGIMPRHRFMSAYEQRIEPPDRRWQYLLMAAEPYETIAFKVPSREIDKAEGKFWTHWNRETKQFFLQFHFKMEKPPAPPSLPAGPPGVKRPPPPLMNGLPPRPPLPESLPPPPPGGLPLPPMPPTGPAPSGPPGPPQLPPPAPGVHPPAPVVHPPASGVHPPAPGVHPPAPGVHPPAPGVHPPTSGVHPPAPGVHPPAPGVHPPAPGVHPPAPGVHPPAPGVHPPPSAGVHPQAPGVHPAAPAVHPQAPGVHPPAPGMHPQAPGVHPQPPGVHPSAPGVHPQPPGVHPSNPGVHPPTPMPPMLRPPLPSEGPGNIPPPPPTN
+>DECOY_sp|Q15428|SF3A2_HUMAN Splicing factor 3A subunit 2 OS=Homo sapiens OX=9606 GN=SF3A2 PE=1 SV=2
+NTPPPPPINGPGESPLPPRLMPPMPTPPHVGPNSPHVGPPQPHVGPASPHVGPPQPHVGPAQPHMGPAPPHVGPAQPHVAPAAPHVGPAQPHVGASPPPHVGPAPPHVGPAPPHVGPAPPHVGPAPPHVGPAPPHVGSTPPHVGPAPPHVGPAPPHVGPAPPHVGSAPPHVVPAPPHVGPAPPPLQPPGPPGSPAPGTPPMPPLPLGGPPPPPLSEPLPPRPPLGNMLPPPPRKVGPPGAPLSPPAPPKEMKFHFQLFFQKTERNWHTWFKGEAKDIERSPVKFAITEYPEAAMLLYQWRRDPPEIRQEYASMFRHRPMIGEAIEPYDIQFLLSQQGMESDRQKTVKYGPRGIKVFKKVEVKVKEPAPQAPAEKAEKAARRALNTQHKKGQTHALYSGENNHLTLCLKCEYSGLHNKMFYPDKNIDITELALQRLRERRDRNSESSSAVGGSGTKGGPRHQFDM
+>sp|Q9H7N4|SFR19_HUMAN Splicing factor, arginine/serine-rich 19 OS=Homo sapiens OX=9606 GN=SCAF1 PE=1 SV=3
+MEEEDESRGKTEESGEDRGDGPPDRDPTLSPSAFILRAIQQAVGSSLQGDLPNDKDGSRCHGLRWRRCRSPRSEPRSQESGGTDTATVLDMATDSFLAGLVSVLDPPDTWVPSRLDLRPGESEDMLELVAEVRIGDRDPIPLPVPSLLPRLRAWRTGKTVSPQSNSSRPTCARHLTLGTGDGGPAPPPAPSSASSSPSPSPSSSSPSPPPPPPPPAPPAPPAPRFDIYDPFHPTDEAYSPPPAPEQKYDPFEPTGSNPSSSAGTPSPEEEEEEEEEEEEEEEDEEEEEGLSQSISRISETLAGIYDDNSLSQDFPGDESPRPDAQPTQPTPAPGTPPQVDSTRADGAMRRRVFVVGTEAEACREGKVSVEVVTAGGAALPPPLLPPGDSEIEEGEIVQPEEEPRLALSLFRPGGRAARPTPAASATPTAQPLPQPPAPRAPEGDDFLSLHAESDGEGALQVDLGEPAPAPPAADSRWGGLDLRRKILTQRRERYRQRSPSPAPAPAPAAAAGPPTRKKSRRERKRSGEAKEAASSSSGTQPAPPAPASPWDSKKHRSRDRKPGSHASSSARRRSRSRSRSRSTRRRSRSTDRRRGGSRRSRSREKRRRRRRSASPPPATSSSSSSRRERHRGKHRDGGGSKKKKKRSRSRGEKRSGDGSEKAPAPAPPPSGSTSCGDRDSRRRGAVPPSIQDLTDHDLFAIKRTITVGRLDKSDPRGPSPAPASSPKREVLYDSEGLSGEERGGKSSQKDRRRSGAASSSSSSREKGSRRKALDGGDRDRDRDRDRDRDRSSKKARPPKESAPSSGPPPKPPVSSGSGSSSSSSSCSSRKVKLQSKVAVLIREGVSSTTPAKDAASAGLGSIGVKFSRDRESRSPFLKPDERAPTEMAKAAPGSTKPKKTKVKAKAGAKKTKGTKGKTKPSKTRKKVRSGGGSGGSGGQVSLKKSKADSCSQAAGTKGAEETSWSGEERAAKVPSTPPPKAAPPPPALTPDSQTVDSSCKTPEVSFLPEEATEEAGVRGGAEEEEEEEEEEEEEEEEEEQQPATTTATSTAAAAPSTAPSAGSTAGDSGAEDGPASRVSQLPTLPPPMPWNLPAGVDCTTSGVLALTALLFKMEEANLASRAKAQELIQATNQILSHRKPPSSLGMTPAPVPTSLGLPPGPSSYLLPGSLPLGGCGSTPPTPTGLAATSDKREGSSSSEGRGDTDKYLKKLHTQERAVEEVKLAIKPYYQKKDITKEEYKDILRKAVHKICHSKSGEINPVKVSNLVRAYVQRYRYFRKHGRKPGDPPGPPRPPKEPGPPDKGGPGLPLPPL
+>DECOY_sp|Q9H7N4|SFR19_HUMAN Splicing factor, arginine/serine-rich 19 OS=Homo sapiens OX=9606 GN=SCAF1 PE=1 SV=3
+LPPLPLGPGGKDPPGPEKPPRPPGPPDGPKRGHKRFYRYRQVYARVLNSVKVPNIEGSKSHCIKHVAKRLIDKYEEKTIDKKQYYPKIALKVEEVAREQTHLKKLYKDTDGRGESSSSGERKDSTAALGTPTPPTSGCGGLPLSGPLLYSSPGPPLGLSTPVPAPTMGLSSPPKRHSLIQNTAQILEQAKARSALNAEEMKFLLATLALVGSTTCDVGAPLNWPMPPPLTPLQSVRSAPGDEAGSDGATSGASPATSPAAAATSTATTTAPQQEEEEEEEEEEEEEEEEEEAGGRVGAEETAEEPLFSVEPTKCSSDVTQSDPTLAPPPPAAKPPPTSPVKAAREEGSWSTEEAGKTGAAQSCSDAKSKKLSVQGGSGGSGGGSRVKKRTKSPKTKGKTGKTKKAGAKAKVKTKKPKTSGPAAKAMETPAREDPKLFPSRSERDRSFKVGISGLGASAADKAPTTSSVGERILVAVKSQLKVKRSSCSSSSSSSGSGSSVPPKPPPGSSPASEKPPRAKKSSRDRDRDRDRDRDRDGGDLAKRRSGKERSSSSSSAAGSRRRDKQSSKGGREEGSLGESDYLVERKPSSAPAPSPGRPDSKDLRGVTITRKIAFLDHDTLDQISPPVAGRRRSDRDGCSTSGSPPPAPAPAKESGDGSRKEGRSRSRKKKKKSGGGDRHKGRHRERRSSSSSSTAPPPSASRRRRRRKERSRSRRSGGRRRDTSRSRRRTSRSRSRSRSRRRASSSAHSGPKRDRSRHKKSDWPSAPAPPAPQTGSSSSAAEKAEGSRKRERRSKKRTPPGAAAAPAPAPAPSPSRQRYRERRQTLIKRRLDLGGWRSDAAPPAPAPEGLDVQLAGEGDSEAHLSLFDDGEPARPAPPQPLPQATPTASAAPTPRAARGGPRFLSLALRPEEEPQVIEGEEIESDGPPLLPPPLAAGGATVVEVSVKGERCAEAETGVVFVRRRMAGDARTSDVQPPTGPAPTPQTPQADPRPSEDGPFDQSLSNDDYIGALTESIRSISQSLGEEEEEDEEEEEEEEEEEEEEEPSPTGASSSPNSGTPEFPDYKQEPAPPPSYAEDTPHFPDYIDFRPAPPAPPAPPPPPPPPSPSSSSPSPSPSSSASSPAPPPAPGGDGTGLTLHRACTPRSSNSQPSVTKGTRWARLRPLLSPVPLPIPDRDGIRVEAVLELMDESEGPRLDLRSPVWTDPPDLVSVLGALFSDTAMDLVTATDTGGSEQSRPESRPSRCRRWRLGHCRSGDKDNPLDGQLSSGVAQQIARLIFASPSLTPDRDPPGDGRDEGSEETKGRSEDEEEM
+>sp|Q86XK3|SFR1_HUMAN Swi5-dependent recombination DNA repair protein 1 homolog OS=Homo sapiens OX=9606 GN=SFR1 PE=1 SV=2
+MAEGEKNQDFTFKMESPSDSAVVLPSTPQASANPSSPYTNSSRKQPMSATLRERLRKTRFSFNSSYNVVKRLKVESEENDQTFSEKPASSTEENCLEFQESFKHIDSEFEENTNLKNTLKNLNVCESQSLDSGSCSALQNEFVSEKLPKQRLNAEKAKLVKQVQEKEDLLRRLKLVKMYRSKNDLSQLQLLIKKWRSCSQLLLYELQSAVSEENKKLSLTQLIDHYGLDDKLLHYNRSEEEFIDV
+>DECOY_sp|Q86XK3|SFR1_HUMAN Swi5-dependent recombination DNA repair protein 1 homolog OS=Homo sapiens OX=9606 GN=SFR1 PE=1 SV=2
+VDIFEEESRNYHLLKDDLGYHDILQTLSLKKNEESVASQLEYLLLQSCSRWKKILLQLQSLDNKSRYMKVLKLRRLLDEKEQVQKVLKAKEANLRQKPLKESVFENQLASCSGSDLSQSECVNLNKLTNKLNTNEEFESDIHKFSEQFELCNEETSSAPKESFTQDNEESEVKLRKVVNYSSNFSFRTKRLRERLTASMPQKRSSNTYPSSPNASAQPTSPLVVASDSPSEMKFTFDQNKEGEAM
+>sp|Q8IWL2|SFTA1_HUMAN Pulmonary surfactant-associated protein A1 OS=Homo sapiens OX=9606 GN=SFTPA1 PE=1 SV=2
+MWLCPLALNLILMAASGAVCEVKDVCVGSPGIPGTPGSHGLPGRDGRDGLKGDPGPPGPMGPPGEMPCPPGNDGLPGAPGIPGECGEKGEPGERGPPGLPAHLDEELQATLHDFRHQILQTRGALSLQGSIMTVGEKVFSSNGQSITFDAIQEACARAGGRIAVPRNPEENEAIASFVKKYNTYAYVGLTEGPSPGDFRYSDGTPVNYTNWYRGEPAGRGKEQCVEMYTDGQWNDRNCLYSRLTICEF
+>DECOY_sp|Q8IWL2|SFTA1_HUMAN Pulmonary surfactant-associated protein A1 OS=Homo sapiens OX=9606 GN=SFTPA1 PE=1 SV=2
+FECITLRSYLCNRDNWQGDTYMEVCQEKGRGAPEGRYWNTYNVPTGDSYRFDGPSPGETLGVYAYTNYKKVFSAIAENEEPNRPVAIRGGARACAEQIADFTISQGNSSFVKEGVTMISGQLSLAGRTQLIQHRFDHLTAQLEEDLHAPLGPPGREGPEGKEGCEGPIGPAGPLGDNGPPCPMEGPPGMPGPPGPDGKLGDRGDRGPLGHSGPTGPIGPSGVCVDKVECVAGSAAMLILNLALPCLWM
+>sp|Q9H9B4|SFXN1_HUMAN Sideroflexin-1 OS=Homo sapiens OX=9606 GN=SFXN1 PE=1 SV=4
+MSGELPPNINIKEPRWDQSTFIGRANHFFTVTDPRNILLTNEQLESARKIVHDYRQGIVPPGLTENELWRAKYIYDSAFHPDTGEKMILIGRMSAQVPMNMTITGCMMTFYRTTPAVLFWQWINQSFNAVVNYTNRSGDAPLTVNELGTAYVSATTGAVATALGLNALTKHVSPLIGRFVPFAAVAAANCINIPLMRQRELKVGIPVTDENGNRLGESANAAKQAITQVVVSRILMAAPGMAIPPFIMNTLEKKAFLKRFPWMSAPIQVGLVGFCLVFATPLCCALFPQKSSMSVTSLEAELQAKIQESHPELRRVYFNKGL
+>DECOY_sp|Q9H9B4|SFXN1_HUMAN Sideroflexin-1 OS=Homo sapiens OX=9606 GN=SFXN1 PE=1 SV=4
+LGKNFYVRRLEPHSEQIKAQLEAELSTVSMSSKQPFLACCLPTAFVLCFGVLGVQIPASMWPFRKLFAKKELTNMIFPPIAMGPAAMLIRSVVVQTIAQKAANASEGLRNGNEDTVPIGVKLERQRMLPINICNAAAVAAFPVFRGILPSVHKTLANLGLATAVAGTTASVYATGLENVTLPADGSRNTYNVVANFSQNIWQWFLVAPTTRYFTMMCGTITMNMPVQASMRGILIMKEGTDPHFASDYIYKARWLENETLGPPVIGQRYDHVIKRASELQENTLLINRPDTVTFFHNARGIFTSQDWRPEKININPPLEGSM
+>sp|P0DMR2|SG1C2_HUMAN Secretoglobin family 1C member 2 OS=Homo sapiens OX=9606 GN=SCGB1C2 PE=3 SV=1
+MKGSRALLLVALTLFCICRMATGEDNDEFFMDFLQTLLVGTPEELYEGTLGKYNVNEDAKAAMTELKSCRDGLQPMHKAELVKLLVQVLGSQDGA
+>DECOY_sp|P0DMR2|SG1C2_HUMAN Secretoglobin family 1C member 2 OS=Homo sapiens OX=9606 GN=SCGB1C2 PE=3 SV=1
+AGDQSGLVQVLLKVLEAKHMPQLGDRCSKLETMAAKADENVNYKGLTGEYLEEPTGVLLTQLFDMFFEDNDEGTAMRCICFLTLAVLLLARSGKM
+>sp|Q96LW2|SG494_HUMAN Uncharacterized serine/threonine-protein kinase SgK494 OS=Homo sapiens OX=9606 GN=SGK494 PE=2 SV=2
+MGAVSCRQGQHTQQGEHTRVAVPHKQGGNIRGPWARGWKSLWTGLGTIRSDLEELWELRGHHYLHQESLKPAPVLVEKPLPEWPVPQFINLFLPEFPIRPIRGQQQLKILGLVAKGSFGTVLKVLDCTQKAVFAVKVVPKVKVLQRDTVRQCKEEVSIQRQINHPFVHSLGDSWQGKRHLFIMCSYCSTDLYSLWSAVGCFPEASIRLFAAELVLVLCYLHDLGIMHRDVKVENILLDERGHLKLTDFGLSRHVPQGAQAYTICGTLQYMAPEVLSGGPYNHAADWWSLGVLLFSLATGKFPVAAERDHVAMLASVTHSDSEIPASLNQGLSLLLHELLCQNPLHRLRYLHHFQVHPFFRGVAFDPELLQKQPVNFVTETQATQPSSAETMPFDDFDCDLESFLLYPIPA
+>DECOY_sp|Q96LW2|SG494_HUMAN Uncharacterized serine/threonine-protein kinase SgK494 OS=Homo sapiens OX=9606 GN=SGK494 PE=2 SV=2
+APIPYLLFSELDCDFDDFPMTEASSPQTAQTETVFNVPQKQLLEPDFAVGRFFPHVQFHHLYRLRHLPNQCLLEHLLLSLGQNLSAPIESDSHTVSALMAVHDREAAVPFKGTALSFLLVGLSWWDAAHNYPGGSLVEPAMYQLTGCITYAQAGQPVHRSLGFDTLKLHGREDLLINEVKVDRHMIGLDHLYCLVLVLEAAFLRISAEPFCGVASWLSYLDTSCYSCMIFLHRKGQWSDGLSHVFPHNIQRQISVEEKCQRVTDRQLVKVKPVVKVAFVAKQTCDLVKLVTGFSGKAVLGLIKLQQQGRIPRIPFEPLFLNIFQPVPWEPLPKEVLVPAPKLSEQHLYHHGRLEWLEELDSRITGLGTWLSKWGRAWPGRINGGQKHPVAVRTHEGQQTHQGQRCSVAGM
+>sp|Q9H788|SH24A_HUMAN SH2 domain-containing protein 4A OS=Homo sapiens OX=9606 GN=SH2D4A PE=1 SV=1
+MLKQILSEMYIDPDLLAELSEEQKQILFFKMREEQIRRWKEREAAMERKESLPVKPRPKKENGKSVHWKLGADKEVWVWVMGEHHLDKPYDVLCNEIIAERARLKAEQEAEEPRKTHSEEFTNSLKTKSQYHDLQAPDNQQTKDIWKKVAEKEELEQGSRPAPTLEEEKIRSLSSSSRNIQQMLADSINRMKAYAFHQKKESMKKKQDEEINQIEEERTKQICKSWKEDSEWQASLRKSKAADEKRRSLAKQAREDYKRLSLGAQKGRGGERLQSPLRVPQKPERPPLPPKPQFLNSGAYPQKPLRNQGVVRTLSSSAQEDIIRWFKEEQLPLRAGYQKTSDTIAPWFHGILTLKKANELLLSTGMPGSFLIRVSERIKGYALSYLSEDGCKHFLIDASADAYSFLGVDQLQHATLADLVEYHKEEPITSLGKELLLYPCGQQDQLPDYLELFE
+>DECOY_sp|Q9H788|SH24A_HUMAN SH2 domain-containing protein 4A OS=Homo sapiens OX=9606 GN=SH2D4A PE=1 SV=1
+EFLELYDPLQDQQGCPYLLLEKGLSTIPEEKHYEVLDALTAHQLQDVGLFSYADASADILFHKCGDESLYSLAYGKIRESVRILFSGPMGTSLLLENAKKLTLIGHFWPAITDSTKQYGARLPLQEEKFWRIIDEQASSSLTRVVGQNRLPKQPYAGSNLFQPKPPLPPREPKQPVRLPSQLREGGRGKQAGLSLRKYDERAQKALSRRKEDAAKSKRLSAQWESDEKWSKCIQKTREEEIQNIEEDQKKKMSEKKQHFAYAKMRNISDALMQQINRSSSSLSRIKEEELTPAPRSGQELEEKEAVKKWIDKTQQNDPAQLDHYQSKTKLSNTFEESHTKRPEEAEQEAKLRAREAIIENCLVDYPKDLHHEGMVWVWVEKDAGLKWHVSKGNEKKPRPKVPLSEKREMAAEREKWRRIQEERMKFFLIQKQEESLEALLDPDIYMESLIQKLM
+>sp|O14492|SH2B2_HUMAN SH2B adapter protein 2 OS=Homo sapiens OX=9606 GN=SH2B2 PE=1 SV=2
+MNGAGPGPAAAAPVPVPVPVPDWRQFCELHAQAAAVDFAHKFCRFLRDNPAYDTPDAGASFSRHFAANFLDVFGEEVRRVLVAGPTTRGAAVSAEAMEPELADTSALKAAPYGHSRSSEDVSTHAATKARVRKGFSLRNMSLCVVDGVRDMWHRRASPEPDAAAAPRTAEPRDKWTRRLRLSRTLAAKVELVDIQREGALRFMVADDAAAGSGGSAQWQKCRLLLRRAVAEERFRLEFFVPPKASRPKVSIPLSAIIEVRTTMPLEMPEKDNTFVLKVENGAEYILETIDSLQKHSWVADIQGCVDPGDSEEDTELSCTRGGCLASRVASCSCELLTDAVDLPRPPETTAVGAVVTAPHSRGRDAVRESLIHVPLETFLQTLESPGGSGSDSNNTGEQGAETDPEAEPELELSDYPWFHGTLSRVKAAQLVLAGGPRNHGLFVIRQSETRPGEYVLTFNFQGKAKHLRLSLNGHGQCHVQHLWFQSVLDMLRHFHTHPIPLESGGSADITLRSYVRAQDPPPEPGPTPPAAPASPACWSDSPGQHYFSSLAAAACPPASPSDAAGASSSSASSSSAASGPAPPRPVEGQLSARSRSNSAERLLEAVAATAAEEPPEAAPGRARAVENQYSFY
+>DECOY_sp|O14492|SH2B2_HUMAN SH2B adapter protein 2 OS=Homo sapiens OX=9606 GN=SH2B2 PE=1 SV=2
+YFSYQNEVARARGPAAEPPEEAATAAVAELLREASNSRSRASLQGEVPRPPAPGSAASSSSASSSSAGAADSPSAPPCAAAALSSFYHQGPSDSWCAPSAPAAPPTPGPEPPPDQARVYSRLTIDASGGSELPIPHTHFHRLMDLVSQFWLHQVHCQGHGNLSLRLHKAKGQFNFTLVYEGPRTESQRIVFLGHNRPGGALVLQAAKVRSLTGHFWPYDSLELEPEAEPDTEAGQEGTNNSDSGSGGPSELTQLFTELPVHILSERVADRGRSHPATVVAGVATTEPPRPLDVADTLLECSCSAVRSALCGGRTCSLETDEESDGPDVCGQIDAVWSHKQLSDITELIYEAGNEVKLVFTNDKEPMELPMTTRVEIIASLPISVKPRSAKPPVFFELRFREEAVARRLLLRCKQWQASGGSGAAADDAVMFRLAGERQIDVLEVKAALTRSLRLRRTWKDRPEATRPAAAADPEPSARRHWMDRVGDVVCLSMNRLSFGKRVRAKTAAHTSVDESSRSHGYPAAKLASTDALEPEMAEASVAAGRTTPGAVLVRRVEEGFVDLFNAAFHRSFSAGADPTDYAPNDRLFRCFKHAFDVAAAQAHLECFQRWDPVPVPVPVPAAAAPGPGAGNM
+>sp|Q7Z4S9|SH2D6_HUMAN SH2 domain-containing protein 6 OS=Homo sapiens OX=9606 GN=SH2D6 PE=2 SV=1
+MYASSYPPPPQLSPRSHLCPPPPHPTPPQLNNLLLLEGRKSSLPSVAPTGSASAAEDSDLLTQPWYSGNCDRYAVESALLHLQKDGAYTVRPSSGPHGSQPFTLAVLLRGRVFNIPIRRLDGGRHYALGREGRNREELFSSVAAMVQHFMWHPLPLVDRHSGSRELTCLLFPTKP
+>DECOY_sp|Q7Z4S9|SH2D6_HUMAN SH2 domain-containing protein 6 OS=Homo sapiens OX=9606 GN=SH2D6 PE=2 SV=1
+PKTPFLLCTLERSGSHRDVLPLPHWMFHQVMAAVSSFLEERNRGERGLAYHRGGDLRRIPINFVRGRLLVALTFPQSGHPGSSPRVTYAGDKQLHLLASEVAYRDCNGSYWPQTLLDSDEAASASGTPAVSPLSSKRGELLLLNNLQPPTPHPPPPCLHSRPSLQPPPPYSSAYM
+>sp|Q9P0V3|SH3B4_HUMAN SH3 domain-binding protein 4 OS=Homo sapiens OX=9606 GN=SH3BP4 PE=1 SV=1
+MAAQRIRAANSNGLPRCKSEGTLIDLSEGFSETSFNDIKVPSPSALLVDNPTPFGNAKEVIAIKDYCPTNFTTLKFSKGDHLYVLDTSGGEWWYAHNTTEMGYIPSSYVQPLNYRNSTLSDSGMIDNLPDSPDEVAKELELLGGWTDDKKVPGRMYSNNPFWNGVQTNPFLNGNVPVMPSLDELNPKSTVDLLLFDAGTSSFTESSSATTNSTGNIFDELPVTNGLHAEPPVRRDNPFFRSKRSYSLSELSVLQAKSDAPTSSSFFTGLKSPAPEQFQSREDFRTAWLNHRKLARSCHDLDLLGQSPGWGQTQAVETNIVCKLDSSGGAVQLPDTSISIHVPEGHVAPGETQQISMKALLDPPLELNSDRSCSISPVLEVKLSNLEVKTSIILEMKVSAEIKNDLFSKSTVGLQCLRSDSKEGPYVSVPLNCSCGDTVQAQLHNLEPCMYVAVVAHGPSILYPSTVWDFINKKVTVGLYGPKHIHPSFKTVVTIFGHDCAPKTLLVSEVTRQAPNPAPVALQLWGKHQFVLSRPQDLKVCMFSNMTNYEVKASEQAKVVRGFQLKLGKVSRLIFPITSQNPNELSDFTLRVQVKDDQEAILTQFCVQTPQPPPKSAIKPSGQRRFLKKNEVGKIILSPFATTTKYPTFQDRPVSSLKFGKLLKTVVRQNKNHYLLEYKKGDGIALLSEERVRLRGQLWTKEWYIGYYQGRVGLVHTKNVLVVGRARPSLCSGPELSTSVLLEQILRPCKFLTYIYASVRTLLMENISSWRSFADALGYVNLPLTFFCRAELDSEPERVASVLEKLKEDCNNTENKERKSFQKELVMALLKMDCQGLVVRLIQDFVLLTTAVEVAQRWRELAEKLAKVSKQQMDAYESPHRDRNGVVDSEAMWKPAYDFLLTWSHQIGDSYRDVIQELHLGLDKMKNPITKRWKHLTGTLILVNSLDVLRAAAFSPADQDDFVI
+>DECOY_sp|Q9P0V3|SH3B4_HUMAN SH3 domain-binding protein 4 OS=Homo sapiens OX=9606 GN=SH3BP4 PE=1 SV=1
+IVFDDQDAPSFAAARLVDLSNVLILTGTLHKWRKTIPNKMKDLGLHLEQIVDRYSDGIQHSWTLLFDYAPKWMAESDVVGNRDRHPSEYADMQQKSVKALKEALERWRQAVEVATTLLVFDQILRVVLGQCDMKLLAMVLEKQFSKREKNETNNCDEKLKELVSAVREPESDLEARCFFTLPLNVYGLADAFSRWSSINEMLLTRVSAYIYTLFKCPRLIQELLVSTSLEPGSCLSPRARGVVLVNKTHVLGVRGQYYGIYWEKTWLQGRLRVREESLLAIGDGKKYELLYHNKNQRVVTKLLKGFKLSSVPRDQFTPYKTTTAFPSLIIKGVENKKLFRRQGSPKIASKPPPQPTQVCFQTLIAEQDDKVQVRLTFDSLENPNQSTIPFILRSVKGLKLQFGRVVKAQESAKVEYNTMNSFMCVKLDQPRSLVFQHKGWLQLAVPAPNPAQRTVESVLLTKPACDHGFITVVTKFSPHIHKPGYLGVTVKKNIFDWVTSPYLISPGHAVVAVYMCPELNHLQAQVTDGCSCNLPVSVYPGEKSDSRLCQLGVTSKSFLDNKIEASVKMELIISTKVELNSLKVELVPSISCSRDSNLELPPDLLAKMSIQQTEGPAVHGEPVHISISTDPLQVAGGSSDLKCVINTEVAQTQGWGPSQGLLDLDHCSRALKRHNLWATRFDERSQFQEPAPSKLGTFFSSSTPADSKAQLVSLESLSYSRKSRFFPNDRRVPPEAHLGNTVPLEDFINGTSNTTASSSETFSSTGADFLLLDVTSKPNLEDLSPMVPVNGNLFPNTQVGNWFPNNSYMRGPVKKDDTWGGLLELEKAVEDPSDPLNDIMGSDSLTSNRYNLPQVYSSPIYGMETTNHAYWWEGGSTDLVYLHDGKSFKLTTFNTPCYDKIAIVEKANGFPTPNDVLLASPSPVKIDNFSTESFGESLDILTGESKCRPLGNSNAARIRQAAM
+>sp|Q6ZNX1|SHLD3_HUMAN Shieldin complex subunit 3 OS=Homo sapiens OX=9606 GN=SHLD3 PE=1 SV=1
+MTTEVILHYRPCESDPTQLPKIAEKAIQDFPTRPLSRFIPWFPYDGSKLPLRPKRSPPVISEEAAEDVKQYLTISEHDAKSHSYDCTVDLLEFQPSLKKQHLTWSHTLKEQTNSGNLGKQSEKGKQHKRRSWSISLPSNNCTKNVSPLSKKLQDSLKALNLHSLYRARWTIEHTICNSQTLEDIWTKLNQIIRHNELPSCNATIQRHLGQIWVFCDIMYCEYVGSLLKGRLALTGKINLFVHKYGVIFSM
+>DECOY_sp|Q6ZNX1|SHLD3_HUMAN Shieldin complex subunit 3 OS=Homo sapiens OX=9606 GN=SHLD3 PE=1 SV=1
+MSFIVGYKHVFLNIKGTLALRGKLLSGVYECYMIDCFVWIQGLHRQITANCSPLENHRIIQNLKTWIDELTQSNCITHEITWRARYLSHLNLAKLSDQLKKSLPSVNKTCNNSPLSISWSRRKHQKGKESQKGLNGSNTQEKLTHSWTLHQKKLSPQFELLDVTCDYSHSKADHESITLYQKVDEAAEESIVPPSRKPRLPLKSGDYPFWPIFRSLPRTPFDQIAKEAIKPLQTPDSECPRYHLIVETTM
+>sp|Q6PI26|SHQ1_HUMAN Protein SHQ1 homolog OS=Homo sapiens OX=9606 GN=SHQ1 PE=1 SV=2
+MLTPAFDLSQDPDFLTIAIRVPYARVSEFDVYFEGSDFKFYAKPYFLRLTLPGRIVENGSEQGSYDADKGIFTIRLPKETPGQHFEGLNMLTALLAPRKSRTAKPLVEEIGASEIPEEVVDDEEFDWEIEQTPCEEVSESALNPQCHYGFGNLRSGVLQRLQDELSDVIDIKDPDFTPAAERRQKRLAAELAKFDPDHYLADFFEDEAIEQILKYNPWWTDKYSKMMAFLEKSQEQENHATLVSFSEEEKYQLRKFVNKSYLLDKRACRQVCYSLIDILLAYCYETRVTEGEKNVESAWNIRKLSPTLCWFETWTNVHDIMVSFGRRVLCYPLYRHFKLVMKAYRDTIKILQLGKSAVLKCLLDIHKIFQENDPAYILNDLYISDYCVWIQKVKSKKLAALAEALKEVSLTKAQLGLELEELEAAALLVQEEETALKAAHSVSGQQTLCSSSEASDSEDSDSSVSSGNEDSGSDSEQDELKDSPSETVSSLQGPFLEESSAFLIVDGGVRRNTAIQESDASQGKPLASSWPLGVSGPLIEELGEQLKTTVQVSEPKGTTAVNRSNIQERDGCQTPNN
+>DECOY_sp|Q6PI26|SHQ1_HUMAN Protein SHQ1 homolog OS=Homo sapiens OX=9606 GN=SHQ1 PE=1 SV=2
+NNPTQCGDREQINSRNVATTGKPESVQVTTKLQEGLEEILPGSVGLPWSSALPKGQSADSEQIATNRRVGGDVILFASSEELFPGQLSSVTESPSDKLEDQESDSGSDENGSSVSSDSDESDSAESSSCLTQQGSVSHAAKLATEEEQVLLAAAELEELELGLQAKTLSVEKLAEALAALKKSKVKQIWVCYDSIYLDNLIYAPDNEQFIKHIDLLCKLVASKGLQLIKITDRYAKMVLKFHRYLPYCLVRRGFSVMIDHVNTWTEFWCLTPSLKRINWASEVNKEGETVRTEYCYALLIDILSYCVQRCARKDLLYSKNVFKRLQYKEEESFSVLTAHNEQEQSKELFAMMKSYKDTWWPNYKLIQEIAEDEFFDALYHDPDFKALEAALRKQRREAAPTFDPDKIDIVDSLEDQLRQLVGSRLNGFGYHCQPNLASESVEECPTQEIEWDFEEDDVVEEPIESAGIEEVLPKATRSKRPALLATLMNLGEFHQGPTEKPLRITFIGKDADYSGQESGNEVIRGPLTLRLFYPKAYFKFDSGEFYVDFESVRAYPVRIAITLFDPDQSLDFAPTLM
+>sp|Q6UWI4|SHSA2_HUMAN Protein shisa-2 homolog OS=Homo sapiens OX=9606 GN=SHISA2 PE=1 SV=1
+MWGARRSSVSSSWNAASLLQLLLAALLAAGARASGEYCHGWLDAQGVWRIGFQCPERFDGGDATICCGSCALRYCCSSAEARLDQGGCDNDRQQGAGEPGRADKDGPDGSAVPIYVPFLIVGSVFVAFIILGSLVAACCCRCLRPKQDPQQSRAPGGNRLMETIPMIPSASTSRGSSSRQSSTAASSSSSANSGARAPPTRSQTNCCLPEGTMNNVYVNMPTNFSVLNCQQATQIVPHQGQYLHPPYVGYTVQHDSVPMTAVPPFMDGLQPGYRQIQSPFPHTNSEQKMYPAVTV
+>DECOY_sp|Q6UWI4|SHSA2_HUMAN Protein shisa-2 homolog OS=Homo sapiens OX=9606 GN=SHISA2 PE=1 SV=1
+VTVAPYMKQESNTHPFPSQIQRYGPQLGDMFPPVATMPVSDHQVTYGVYPPHLYQGQHPVIQTAQQCNLVSFNTPMNVYVNNMTGEPLCCNTQSRTPPARAGSNASSSSSAATSSQRSSSGRSTSASPIMPITEMLRNGGPARSQQPDQKPRLCRCCCAAVLSGLIIFAVFVSGVILFPVYIPVASGDPGDKDARGPEGAGQQRDNDCGGQDLRAEASSCCYRLACSGCCITADGGDFREPCQFGIRWVGQADLWGHCYEGSARAGAALLAALLLQLLSAANWSSSVSSRRAGWM
+>sp|Q6ZSJ9|SHSA6_HUMAN Protein shisa-6 OS=Homo sapiens OX=9606 GN=SHISA6 PE=2 SV=2
+MALRRLLLLLLLSLESLDLLPSVHGARGRAANRTLSAGGAAVGGRRAGGALARGGRELNGTARAPGIPEAGSRRGQPAAAVAAAASAAVTYETCWGYYDVSGQYDKEFECNNSESGYLYCCGTCYYRFCCKKRHEKLDQRQCTNYQSPVWVQTPSTKVVSPGPENKYDPEKDKTNFTVYITCGVIAFVIVAGVFAKVSYDKAHRPPREMNIHRALADILRQQGPIPIAHCERETISAIDTSPKENTPVRSSSKNHYTPVRTAKQTPEKPRMNNILTSATEPYDLSFSRSFQNLAHLPPSYESAVKTNPSKYSSLKRLTDKEADEYYMRRRHLPDLAARGTLPLNVIQMSQQKPLPRERPRRPIRAMSQDRVLSPDRGLPDEFSMPYDRILSDEQLLSTERLHSQDPLLSPERTAFPEQSLSRAISHTDVFVSTPVLDRYRMSKMHSHPSASNNSYATLGQSQTAAKRHAFASRRHNTVEQLHYIPGHHTCYTASKTEVTV
+>DECOY_sp|Q6ZSJ9|SHSA6_HUMAN Protein shisa-6 OS=Homo sapiens OX=9606 GN=SHISA6 PE=2 SV=2
+VTVETKSATYCTHHGPIYHLQEVTNHRRSAFAHRKAATQSQGLTAYSNNSASPHSHMKSMRYRDLVPTSVFVDTHSIARSLSQEPFATREPSLLPDQSHLRETSLLQEDSLIRDYPMSFEDPLGRDPSLVRDQSMARIPRRPRERPLPKQQSMQIVNLPLTGRAALDPLHRRRMYYEDAEKDTLRKLSSYKSPNTKVASEYSPPLHALNQFSRSFSLDYPETASTLINNMRPKEPTQKATRVPTYHNKSSSRVPTNEKPSTDIASITERECHAIPIPGQQRLIDALARHINMERPPRHAKDYSVKAFVGAVIVFAIVGCTIYVTFNTKDKEPDYKNEPGPSVVKTSPTQVWVPSQYNTCQRQDLKEHRKKCCFRYYCTGCCYLYGSESNNCEFEKDYQGSVDYYGWCTEYTVAASAAAAVAAAPQGRRSGAEPIGPARATGNLERGGRALAGGARRGGVAAGGASLTRNAARGRAGHVSPLLDLSELSLLLLLLLRRLAM
+>sp|B4DS77|SHSA9_HUMAN Protein shisa-9 OS=Homo sapiens OX=9606 GN=SHISA9 PE=2 SV=3
+MRRVLRLLLGCFLTELCARVCRAQERAGHGQLAQLGGVLLLAGGNRSGAASGEASEGAEASDAPPTRAPTPDFCRGYFDVMGQWDPPFNCSSGDFIFCCGTCGFRFCCTFKKRRLNQSTCTNYDTPLWLNTGKPPARKDDPLHDPTKDKTNLIVYIICGVVAVMVLVGIFTKLGLEKAHRPQREHMSRALADVMRPQGHCNTDHMERDLNIVVHVQHYENMDTRTPINNLHATQMNNAVPTSPLLQQMGHPHSYPNLGQISNPYEQQPPGKELNKYASLKAVGSSDGDWAVSTLKSPKADKVNDDFYTKRRHLAELAAKGNLPLHPVRVEDEPRAFSPEHGPAKQNGQKSRTNKMPPHPLAYTSTTNFKGWDPNEQSLRRQAYSNKGKLGTAETGSSDPLGTRPQHYPPPQPYFITNSKTEVTV
+>DECOY_sp|B4DS77|SHSA9_HUMAN Protein shisa-9 OS=Homo sapiens OX=9606 GN=SHISA9 PE=2 SV=3
+VTVETKSNTIFYPQPPPYHQPRTGLPDSSGTEATGLKGKNSYAQRRLSQENPDWGKFNTTSTYALPHPPMKNTRSKQGNQKAPGHEPSFARPEDEVRVPHLPLNGKAALEALHRRKTYFDDNVKDAKPSKLTSVAWDGDSSGVAKLSAYKNLEKGPPQQEYPNSIQGLNPYSHPHGMQQLLPSTPVANNMQTAHLNNIPTRTDMNEYHQVHVVINLDREMHDTNCHGQPRMVDALARSMHERQPRHAKELGLKTFIGVLVMVAVVGCIIYVILNTKDKTPDHLPDDKRAPPKGTNLWLPTDYNTCTSQNLRRKKFTCCFRFGCTGCCFIFDGSSCNFPPDWQGMVDFYGRCFDPTPARTPPADSAEAGESAEGSAAGSRNGGALLLVGGLQALQGHGAREQARCVRACLETLFCGLLLRLVRRM
+>sp|P58511|SI11A_HUMAN Small integral membrane protein 11A OS=Homo sapiens OX=9606 GN=SMIM11A PE=2 SV=1
+MNWKVLEHVPLLLYILAAKTLILCLTFAGVKMYQRKRLEAKQQKLEAERKKQSEKKDN
+>DECOY_sp|P58511|SI11A_HUMAN Small integral membrane protein 11A OS=Homo sapiens OX=9606 GN=SMIM11A PE=2 SV=1
+NDKKESQKKREAELKQQKAELRKRQYMKVGAFTLCLILTKAALIYLLLPVHELVKWNM
+>sp|Q9H4F1|SIA7D_HUMAN Alpha-N-acetyl-neuraminyl-2,3-beta-galactosyl-1,3-N-acetyl-galactosaminide alpha-2,6-sialyltransferase OS=Homo sapiens OX=9606 GN=ST6GALNAC4 PE=2 SV=2
+MKAPGRLVLIILCSVVFSAVYILLCCWAGLPLCLATCLDHHFPTGSRPTVPGPLHFSGYSSVPDGKPLVREPCRSCAVVSSSGQMLGSGLGAEIDSAECVFRMNQAPTVGFEADVGQRSTLRVVSHTSVPLLLRNYSHYFQKARDTLYMVWGQGRHMDRVLGGRTYRTLLQLTRMYPGLQVYTFTERMMAYCDQIFQDETGKNRRQSGSFLSTGWFTMILALELCEEIVVYGMVSDSYCREKSHPSVPYHYFEKGRLDECQMYLAHEQAPRSAHRFITEKAVFSRWAKKRPIVFAHPSWRTE
+>DECOY_sp|Q9H4F1|SIA7D_HUMAN Alpha-N-acetyl-neuraminyl-2,3-beta-galactosyl-1,3-N-acetyl-galactosaminide alpha-2,6-sialyltransferase OS=Homo sapiens OX=9606 GN=ST6GALNAC4 PE=2 SV=2
+ETRWSPHAFVIPRKKAWRSFVAKETIFRHASRPAQEHALYMQCEDLRGKEFYHYPVSPHSKERCYSDSVMGYVVIEECLELALIMTFWGTSLFSGSQRRNKGTEDQFIQDCYAMMRETFTYVQLGPYMRTLQLLTRYTRGGLVRDMHRGQGWVMYLTDRAKQFYHSYNRLLLPVSTHSVVRLTSRQGVDAEFGVTPAQNMRFVCEASDIEAGLGSGLMQGSSSVVACSRCPERVLPKGDPVSSYGSFHLPGPVTPRSGTPFHHDLCTALCLPLGAWCCLLIYVASFVVSCLIILVLRGPAKM
+>sp|Q969X2|SIA7F_HUMAN Alpha-N-acetylgalactosaminide alpha-2,6-sialyltransferase 6 OS=Homo sapiens OX=9606 GN=ST6GALNAC6 PE=1 SV=1
+MACSRPPSQCEPTSLPPGPPAGRRHLPLSRRRREMSSNKEQRSAVFVILFALITILILYSSNSANEVFHYGSLRGRSRRPVNLKKWSITDGYVPILGNKTLPSRCHQCVIVSSSSHLLGTKLGPEIERAECTIRMNDAPTTGYSADVGNKTTYRVVAHSSVFRVLRRPQEFVNRTPETVFIFWGPPSKMQKPQGSLVRVIQRAGLVFPNMEAYAVSPGRMRQFDDLFRGETGKDREKSHSWLSTGWFTMVIAVELCDHVHVYGMVPPNYCSQRPRLQRMPYHYYEPKGPDECVTYIQNEHSRKGNHHRFITEKRVFSSWAQLYGITFSHPSWT
+>DECOY_sp|Q969X2|SIA7F_HUMAN Alpha-N-acetylgalactosaminide alpha-2,6-sialyltransferase 6 OS=Homo sapiens OX=9606 GN=ST6GALNAC6 PE=1 SV=1
+TWSPHSFTIGYLQAWSSFVRKETIFRHHNGKRSHENQIYTVCEDPGKPEYYHYPMRQLRPRQSCYNPPVMGYVHVHDCLEVAIVMTFWGTSLWSHSKERDKGTEGRFLDDFQRMRGPSVAYAEMNPFVLGARQIVRVLSGQPKQMKSPPGWFIFVTEPTRNVFEQPRRLVRFVSSHAVVRYTTKNGVDASYGTTPADNMRITCEAREIEPGLKTGLLHSSSSVIVCQHCRSPLTKNGLIPVYGDTISWKKLNVPRRSRGRLSGYHFVENASNSSYLILITILAFLIVFVASRQEKNSSMERRRRSLPLHRRGAPPGPPLSTPECQSPPRSCAM
+>sp|Q92186|SIA8B_HUMAN Alpha-2,8-sialyltransferase 8B OS=Homo sapiens OX=9606 GN=ST8SIA2 PE=2 SV=1
+MQLQFRSWMLAALTLLVVFLIFADISEIEEEIGNSGGRGTIRSAVNSLHSKSNRAEVVINGSSSPAVVDRSNESIKHNIQPASSKWRHNQTLSLRIRKQILKFLDAEKDISVLKGTLKPGDIIHYIFDRDSTMNVSQNLYELLPRTSPLKNKHFGTCAIVGNSGVLLNSGCGQEIDAHSFVIRCNLAPVQEYARDVGLKTDLVTMNPSVIQRAFEDLVNATWREKLLQRLHSLNGSILWIPAFMARGGKERVEWVNELILKHHVNVRTAYPSLRLLHAVRGYWLTNKVHIKRPTTGLLMYTLATRFCKQIYLYGFWPFPLDQNQNPVKYHYYDSLKYGYTSQASPHTMPLEFKALKSLHEQGALKLTVGQCDGAT
+>DECOY_sp|Q92186|SIA8B_HUMAN Alpha-2,8-sialyltransferase 8B OS=Homo sapiens OX=9606 GN=ST8SIA2 PE=2 SV=1
+TAGDCQGVTLKLAGQEHLSKLAKFELPMTHPSAQSTYGYKLSDYYHYKVPNQNQDLPFPWFGYLYIQKCFRTALTYMLLGTTPRKIHVKNTLWYGRVAHLLRLSPYATRVNVHHKLILENVWEVREKGGRAMFAPIWLISGNLSHLRQLLKERWTANVLDEFARQIVSPNMTVLDTKLGVDRAYEQVPALNCRIVFSHADIEQGCGSNLLVGSNGVIACTGFHKNKLPSTRPLLEYLNQSVNMTSDRDFIYHIIDGPKLTGKLVSIDKEADLFKLIQKRIRLSLTQNHRWKSSAPQINHKISENSRDVVAPSSSGNIVVEARNSKSHLSNVASRITGRGGSNGIEEEIESIDAFILFVVLLTLAALMWSRFQLQM
+>sp|P61647|SIA8F_HUMAN Alpha-2,8-sialyltransferase 8F OS=Homo sapiens OX=9606 GN=ST8SIA6 PE=2 SV=1
+MRPGGALLALLASLLLLLLLRLLWCPADAPGRARILVEESREATHGTPAALRTLRSPATAVPRATNSTYLNEKSLQLTEKCKNLQYGIESFSNKTKGYSENDYLQIITDIQSCPWKRQAEEYANFRAKLASCCDAVQNFVVSQNNTPVGTNMSYEVESKKEIPIKKNIFHMFPVSQPFVDYPYNQCAVVGNGGILNKSLCGTEIDKSDFVFRCNLPPTTGDVSKDVGSKTNLVTINPSIITLKYGNLKEKKALFLEDIATYGDAFFLLPAFSFRANTGTSFKVYYTLEESKARQKVLFFHPKYLKDLALFWRTKGVTAYRLSTGLMITSVAVELCKNVKLYGFWPFSKTVEDIPVSHHYYDNKLPKHGFHQMPKEYSQILQLHMKGILKLQFSKCEVA
+>DECOY_sp|P61647|SIA8F_HUMAN Alpha-2,8-sialyltransferase 8F OS=Homo sapiens OX=9606 GN=ST8SIA6 PE=2 SV=1
+AVECKSFQLKLIGKMHLQLIQSYEKPMQHFGHKPLKNDYYHHSVPIDEVTKSFPWFGYLKVNKCLEVAVSTIMLGTSLRYATVGKTRWFLALDKLYKPHFFLVKQRAKSEELTYYVKFSTGTNARFSFAPLLFFADGYTAIDELFLAKKEKLNGYKLTIISPNITVLNTKSGVDKSVDGTTPPLNCRFVFDSKDIETGCLSKNLIGGNGVVACQNYPYDVFPQSVPFMHFINKKIPIEKKSEVEYSMNTGVPTNNQSVVFNQVADCCSALKARFNAYEEAQRKWPCSQIDTIIQLYDNESYGKTKNSFSEIGYQLNKCKETLQLSKENLYTSNTARPVATAPSRLTRLAAPTGHTAERSEEVLIRARGPADAPCWLLRLLLLLLLSALLALLAGGPRM
+>sp|Q96LC7|SIG10_HUMAN Sialic acid-binding Ig-like lectin 10 OS=Homo sapiens OX=9606 GN=SIGLEC10 PE=1 SV=3
+MLLPLLLSSLLGGSQAMDGRFWIRVQESVMVPEGLCISVPCSFSYPRQDWTGSTPAYGYWFKAVTETTKGAPVATNHQSREVEMSTRGRFQLTGDPAKGNCSLVIRDAQMQDESQYFFRVERGSYVRYNFMNDGFFLKVTALTQKPDVYIPETLEPGQPVTVICVFNWAFEECPPPSFSWTGAALSSQGTKPTTSHFSVLSFTPRPQDHNTDLTCHVDFSRKGVSAQRTVRLRVAYAPRDLVISISRDNTPALEPQPQGNVPYLEAQKGQFLRLLCAADSQPPATLSWVLQNRVLSSSHPWGPRPLGLELPGVKAGDSGRYTCRAENRLGSQQRALDLSVQYPPENLRVMVSQANRTVLENLGNGTSLPVLEGQSLCLVCVTHSSPPARLSWTQRGQVLSPSQPSDPGVLELPRVQVEHEGEFTCHARHPLGSQHVSLSLSVHYSPKLLGPSCSWEAEGLHCSCSSQASPAPSLRWWLGEELLEGNSSQDSFEVTPSSAGPWANSSLSLHGGLSSGLRLRCEAWNVHGAQSGSILQLPDKKGLISTAFSNGAFLGIGITALLFLCLALIIMKILPKRRTQTETPRPRFSRHSTILDYINVVPTAGPLAQKRNQKATPNSPRTPLPPGAPSPESKKNQKKQYQLPSFPEPKSSTQAPESQESQEELHYATLNFPGVRPRPEARMPKGTQADYAEVKFQ
+>DECOY_sp|Q96LC7|SIG10_HUMAN Sialic acid-binding Ig-like lectin 10 OS=Homo sapiens OX=9606 GN=SIGLEC10 PE=1 SV=3
+QFKVEAYDAQTGKPMRAEPRPRVGPFNLTAYHLEEQSEQSEPAQTSSKPEPFSPLQYQKKQNKKSEPSPAGPPLPTRPSNPTAKQNRKQALPGATPVVNIYDLITSHRSFRPRPTETQTRRKPLIKMIILALCLFLLATIGIGLFAGNSFATSILGKKDPLQLISGSQAGHVNWAECRLRLGSSLGGHLSLSSNAWPGASSPTVEFSDQSSNGELLEEGLWWRLSPAPSAQSSCSCHLGEAEWSCSPGLLKPSYHVSLSLSVHQSGLPHRAHCTFEGEHEVQVRPLELVGPDSPQSPSLVQGRQTWSLRAPPSSHTVCVLCLSQGELVPLSTGNGLNELVTRNAQSVMVRLNEPPYQVSLDLARQQSGLRNEARCTYRGSDGAKVGPLELGLPRPGWPHSSSLVRNQLVWSLTAPPQSDAACLLRLFQGKQAELYPVNGQPQPELAPTNDRSISIVLDRPAYAVRLRVTRQASVGKRSFDVHCTLDTNHDQPRPTFSLVSFHSTTPKTGQSSLAAGTWSFSPPPCEEFAWNFVCIVTVPQGPELTEPIYVDPKQTLATVKLFFGDNMFNYRVYSGREVRFFYQSEDQMQADRIVLSCNGKAPDGTLQFRGRTSMEVERSQHNTAVPAGKTTETVAKFWYGYAPTSGTWDQRPYSFSCPVSICLGEPVMVSEQVRIWFRGDMAQSGGLLSSLLLPLLM
+>sp|P0DMW4|SIL2A_HUMAN Small integral membrane protein 10-like protein 2A OS=Homo sapiens OX=9606 GN=SMIM10L2A PE=4 SV=1
+MAASAALSAAAAAAALSGLAVRLSRSAAARGSYGAFCKGLTRTLLTFFDLAWRLRMNFPYFYIVASVMLNVRLQVRIE
+>DECOY_sp|P0DMW4|SIL2A_HUMAN Small integral membrane protein 10-like protein 2A OS=Homo sapiens OX=9606 GN=SMIM10L2A PE=4 SV=1
+EIRVQLRVNLMVSAVIYFYPFNMRLRWALDFFTLLTRTLGKCFAGYSGRAAASRSLRVALGSLAAAAAAASLAASAAM
+>sp|A0A1B0GUA5|SIM32_HUMAN Small integral membrane protein 32 OS=Homo sapiens OX=9606 GN=SMIM32 PE=3 SV=1
+MYGDIFNATGGPEAAVGSALAPGATVKAEGALPLELATARGMRDGAATKPDLPTYLLLFFLLLLSVALVVLFIGCQLRHSAFAALPHDRSLRDARAPWKTRPV
+>DECOY_sp|A0A1B0GUA5|SIM32_HUMAN Small integral membrane protein 32 OS=Homo sapiens OX=9606 GN=SMIM32 PE=3 SV=1
+VPRTKWPARADRLSRDHPLAAFASHRLQCGIFLVVLAVSLLLLFFLLLYTPLDPKTAAGDRMGRATALELPLAGEAKVTAGPALASGVAAEPGGTANFIDGYM
+>sp|A0A1B0GVV1|SIM35_HUMAN Small integral membrane protein 35 OS=Homo sapiens OX=9606 GN=SMIM35 PE=3 SV=1
+MTGEDSISTLGLILGVGLLLLLVSILGYSLAKWYQRGYCWEGPNFVFNLYQIRNLKDLEMGPPFTISGHISSTDGGYMKFSNGLV
+>DECOY_sp|A0A1B0GVV1|SIM35_HUMAN Small integral membrane protein 35 OS=Homo sapiens OX=9606 GN=SMIM35 PE=3 SV=1
+VLGNSFKMYGGDTSSIHGSITFPPGMELDKLNRIQYLNFVFNPGEWCYGRQYWKALSYGLISVLLLLLGVGLILGLTSISDEGTM
+>sp|O75182|SIN3B_HUMAN Paired amphipathic helix protein Sin3b OS=Homo sapiens OX=9606 GN=SIN3B PE=1 SV=2
+MAHAGGGSGGSGAGGPAGRGLSGARWGRSGSAGHEKLPVHVEDALTYLDQVKIRFGSDPATYNGFLEIMKEFKSQSIDTPGVIRRVSQLFHEHPDLIVGFNAFLPLGYRIDIPKNGKLNIQSPLTSQENSHNHGDGAEDFKQQVPYKEDKPQVPLESDSVEFNNAISYVNKIKTRFLDHPEIYRSFLEILHTYQKEQLNTRGRPFRGMSEEEVFTEVANLFRGQEDLLSEFGQFLPEAKRSLFTGNGPCEMHSVQKNEHDKTPEHSRKRSRPSLLRPVSAPAKKKMKLRGTKDLSIAAVGKYGTLQEFSFFDKVRRVLKSQEVYENFLRCIALFNQELVSGSELLQLVSPFLGKFPELFAQFKSFLGVKELSFAPPMSDRSGDGISREIDYASCKRIGSSYRALPKTYQQPKCSGRTAICKELDHWTLLQGSWTDDYCMSKFKNTCWIPGYSAGVLNDTWVSFPSWSEDSTFVSSKKTPYEEQLHRCEDERFELDVVLETNLATIRVLESVQKKLSRMAPEDQEKFRLDDSLGGTSEVIQRRAIYRIYGDKAPEIIESLKKNPVTAVPVVLKRLKAKEEEWREAQQGFNKIWREQYEKAYLKSLDHQAVNFKQNDTKALRSKSLLNEIESVYDEHQEQHSEGRSAPSSEPHLIFVYEDRQILEDAAALISYYVKRQPAIQKEDQGTIHQLLHQFVPSLFFSQQLDLGASEESADEDRDSPQGQTTDPSERKKPAPGPHSSPPEEKGAFGDAPATEQPPLPPPAPHKPLDDVYSLFFANNNWYFFLRLHQTLCSRLLKIYRQAQKQLLEYRTEKEREKLLCEGRREKGSDPAMELRLKQPSEVELEEYYPAFLDMVRSLLEGSIDPTQYEDTLREMFTIHAYVGFTMDKLVQNIARQLHHLVSDDVCLKVVELYLNEKKRGAAGGNLSSRCVRAARETSYQWKAERCMADENCFKVMFLQRKGQVIMTIELLDTEEAQTEDPVEVQHLARYVEQYVGTEGASSSPTEGFLLKPVFLQRNLKKFRRRWQSEQARALRGEARSSWKRLVGVESACDVDCRFKLSTHKMVFIVNSEDYMYRRGTLCRAKQVQPLVLLRHHQHFEEWHSRWLEDNVTVEAASLVQDWLMGEEDEDMVPCKTLCETVHVHGLPVTRYRVQYSRRPASP
+>DECOY_sp|O75182|SIN3B_HUMAN Paired amphipathic helix protein Sin3b OS=Homo sapiens OX=9606 GN=SIN3B PE=1 SV=2
+PSAPRRSYQVRYRTVPLGHVHVTECLTKCPVMDEDEEGMLWDQVLSAAEVTVNDELWRSHWEEFHQHHRLLVLPQVQKARCLTGRRYMYDESNVIFVMKHTSLKFRCDVDCASEVGVLRKWSSRAEGRLARAQESQWRRRFKKLNRQLFVPKLLFGETPSSSAGETGVYQEVYRALHQVEVPDETQAEETDLLEITMIVQGKRQLFMVKFCNEDAMCREAKWQYSTERAARVCRSSLNGGAAGRKKENLYLEVVKLCVDDSVLHHLQRAINQVLKDMTFGVYAHITFMERLTDEYQTPDISGELLSRVMDLFAPYYEELEVESPQKLRLEMAPDSGKERRGECLLKEREKETRYELLQKQAQRYIKLLRSCLTQHLRLFFYWNNNAFFLSYVDDLPKHPAPPPLPPQETAPADGFAGKEEPPSSHPGPAPKKRESPDTTQGQPSDRDEDASEESAGLDLQQSFFLSPVFQHLLQHITGQDEKQIAPQRKVYYSILAAADELIQRDEYVFILHPESSPASRGESHQEQHEDYVSEIENLLSKSRLAKTDNQKFNVAQHDLSKLYAKEYQERWIKNFGQQAERWEEEKAKLRKLVVPVATVPNKKLSEIIEPAKDGYIRYIARRQIVESTGGLSDDLRFKEQDEPAMRSLKKQVSELVRITALNTELVVDLEFREDECRHLQEEYPTKKSSVFTSDESWSPFSVWTDNLVGASYGPIWCTNKFKSMCYDDTWSGQLLTWHDLEKCIATRGSCKPQQYTKPLARYSSGIRKCSAYDIERSIGDGSRDSMPPAFSLEKVGLFSKFQAFLEPFKGLFPSVLQLLESGSVLEQNFLAICRLFNEYVEQSKLVRRVKDFFSFEQLTGYKGVAAISLDKTGRLKMKKKAPASVPRLLSPRSRKRSHEPTKDHENKQVSHMECPGNGTFLSRKAEPLFQGFESLLDEQGRFLNAVETFVEEESMGRFPRGRTNLQEKQYTHLIELFSRYIEPHDLFRTKIKNVYSIANNFEVSDSELPVQPKDEKYPVQQKFDEAGDGHNHSNEQSTLPSQINLKGNKPIDIRYGLPLFANFGVILDPHEHFLQSVRRIVGPTDISQSKFEKMIELFGNYTAPDSGFRIKVQDLYTLADEVHVPLKEHGASGSRGWRAGSLGRGAPGGAGSGGSGGGAHAM
+>sp|P19634|SL9A1_HUMAN Sodium/hydrogen exchanger 1 OS=Homo sapiens OX=9606 GN=SLC9A1 PE=1 SV=2
+MVLRSGICGLSPHRIFPSLLVVVALVGLLPVLRSHGLQLSPTASTIRSSEPPRERSIGDVTTAPPEVTPESRPVNHSVTDHGMKPRKAFPVLGIDYTHVRTPFEISLWILLACLMKIGFHVIPTISSIVPESCLLIVVGLLVGGLIKGVGETPPFLQSDVFFLFLLPPIILDAGYFLPLRQFTENLGTILIFAVVGTLWNAFFLGGLMYAVCLVGGEQINNIGLLDNLLFGSIISAVDPVAVLAVFEEIHINELLHILVFGESLLNDAVTVVLYHLFEEFANYEHVGIVDIFLGFLSFFVVALGGVLVGVVYGVIAAFTSRFTSHIRVIEPLFVFLYSYMAYLSAELFHLSGIMALIASGVVMRPYVEANISHKSHTTIKYFLKMWSSVSETLIFIFLGVSTVAGSHHWNWTFVISTLLFCLIARVLGVLGLTWFINKFRIVKLTPKDQFIIAYGGLRGAIAFSLGYLLDKKHFPMCDLFLTAIITVIFFTVFVQGMTIRPLVDLLAVKKKQETKRSINEEIHTQFLDHLLTGIEDICGHYGHHHWKDKLNRFNKKYVKKCLIAGERSKEPQLIAFYHKMEMKQAIELVESGGMGKIPSAVSTVSMQNIHPKSLPSERILPALSKDKEEEIRKILRNNLQKTRQRLRSYNRHTLVADPYEEAWNQMLLRRQKARQLEQKINNYLTVPAHKLDSPTMSRARIGSDPLAYEPKEDLPVITIDPASPQSPESVDLVNEELKGKVLGLSRDPAKVAEEDEDDDGGIMMRSKETSSPGTDDVFTPAPSDSPSSQRIQRCLSDPGPHPEPGEGEPFFPKGQ
+>DECOY_sp|P19634|SL9A1_HUMAN Sodium/hydrogen exchanger 1 OS=Homo sapiens OX=9606 GN=SLC9A1 PE=1 SV=2
+QGKPFFPEGEGPEPHPGPDSLCRQIRQSSPSDSPAPTFVDDTGPSSTEKSRMMIGGDDDEDEEAVKAPDRSLGLVKGKLEENVLDVSEPSQPSAPDITIVPLDEKPEYALPDSGIRARSMTPSDLKHAPVTLYNNIKQELQRAKQRRLLMQNWAEEYPDAVLTHRNYSRLRQRTKQLNNRLIKRIEEEKDKSLAPLIRESPLSKPHINQMSVTSVASPIKGMGGSEVLEIAQKMEMKHYFAILQPEKSREGAILCKKVYKKNFRNLKDKWHHHGYHGCIDEIGTLLHDLFQTHIEENISRKTEQKKKVALLDVLPRITMGQVFVTFFIVTIIATLFLDCMPFHKKDLLYGLSFAIAGRLGGYAIIFQDKPTLKVIRFKNIFWTLGLVGLVRAILCFLLTSIVFTWNWHHSGAVTSVGLFIFILTESVSSWMKLFYKITTHSKHSINAEVYPRMVVGSAILAMIGSLHFLEASLYAMYSYLFVFLPEIVRIHSTFRSTFAAIVGYVVGVLVGGLAVVFFSLFGLFIDVIGVHEYNAFEEFLHYLVVTVADNLLSEGFVLIHLLENIHIEEFVALVAVPDVASIISGFLLNDLLGINNIQEGGVLCVAYMLGGLFFANWLTGVVAFILITGLNETFQRLPLFYGADLIIPPLLFLFFVDSQLFPPTEGVGKILGGVLLGVVILLCSEPVISSITPIVHFGIKMLCALLIWLSIEFPTRVHTYDIGLVPFAKRPKMGHDTVSHNVPRSEPTVEPPATTVDGISRERPPESSRITSATPSLQLGHSRLVPLLGVLAVVVLLSPFIRHPSLGCIGSRLVM
+>sp|Q14940|SL9A5_HUMAN Sodium/hydrogen exchanger 5 OS=Homo sapiens OX=9606 GN=SLC9A5 PE=1 SV=2
+MLRAALSLLALPLAGAAEEPTQKPESPGEPPPGLELFRWQWHEVEAPYLVALWILVASLAKIVFHLSRKVTSLVPESCLLILLGLVLGGIVLAVAKKAEYQLEPGTFFLFLLPPIVLDSGYFMPSRLFFDNLGAILTYAVVGTLWNAFTTGAALWGLQQAGLVAPRVQAGLLDFLLFGSLISAVDPVAVLAVFEEVHVNETLFIIVFGESLLNDAVTVVLYKVCNSFVEMGSANVQATDYLKGVASLFVVSLGGAAVGLVFAFLLALTTRFTKRVRIIEPLLVFLLAYAAYLTAEMASLSAILAVTMCGLGCKKYVEANISHKSRTTVKYTMKTLASCAETVIFMLLGISAVDSSKWAWDSGLVLGTLIFILFFRALGVVLQTWVLNQFRLVPLDKIDQVVMSYGGLRGAVAFALVILLDRTKVPAKDYFVATTIVVVFFTVIVQGLTIKPLVKWLKVKRSEHHKPTLNQELHEHTFDHILAAVEDVVGHHGYHYWRDRWEQFDKKYLSQLLMRRSAYRIRDQIWDVYYRLNIRDAISFVDQGGHVLSSTGLTLPSMPSRNSVAETSVTNLLRESGSGACLDLQVIDTVRSGRDREDAVMHHLLCGGLYKPRRRYKASCSRHFISEDAQERQDKEVFQQNMKRRLESFKSTKHNICFTKSKPRPRKTGRRKKDGVANAEATNGKHRGLGFQDTAAVILTVESEEEEEESDSSETEKEDDEGIIFVARATSEVLQEGKVSGSLEVCPSPRIIPPSPTCAEKELPWKSGQGDLAVYVSSETTKIVPVDMQTGWNQSISSLESLASPPCNQAPILTCLPPHPRGTEEPQVPLHLPSDPRSSFAFPPSLAKAGRSRSESSADLPQQQELQPLMGHKDHTHLSPGTATSHWCIQFNRGSRL
+>DECOY_sp|Q14940|SL9A5_HUMAN Sodium/hydrogen exchanger 5 OS=Homo sapiens OX=9606 GN=SLC9A5 PE=1 SV=2
+LRSGRNFQICWHSTATGPSLHTHDKHGMLPQLEQQQPLDASSESRSRGAKALSPPFAFSSRPDSPLHLPVQPEETGRPHPPLCTLIPAQNCPPSALSELSSISQNWGTQMDVPVIKTTESSVYVALDGQGSKWPLEKEACTPSPPIIRPSPCVELSGSVKGEQLVESTARAVFIIGEDDEKETESSDSEEEEEESEVTLIVAATDQFGLGRHKGNTAEANAVGDKKRRGTKRPRPKSKTFCINHKTSKFSELRRKMNQQFVEKDQREQADESIFHRSCSAKYRRRPKYLGGCLLHHMVADERDRGSRVTDIVQLDLCAGSGSERLLNTVSTEAVSNRSPMSPLTLGTSSLVHGGQDVFSIADRINLRYYVDWIQDRIRYASRRMLLQSLYKKDFQEWRDRWYHYGHHGVVDEVAALIHDFTHEHLEQNLTPKHHESRKVKLWKVLPKITLGQVIVTFFVVVITTAVFYDKAPVKTRDLLIVLAFAVAGRLGGYSMVVQDIKDLPVLRFQNLVWTQLVVGLARFFLIFILTGLVLGSDWAWKSSDVASIGLLMFIVTEACSALTKMTYKVTTRSKHSINAEVYKKCGLGCMTVALIASLSAMEATLYAAYALLFVLLPEIIRVRKTFRTTLALLFAFVLGVAAGGLSVVFLSAVGKLYDTAQVNASGMEVFSNCVKYLVVTVADNLLSEGFVIIFLTENVHVEEFVALVAVPDVASILSGFLLFDLLGAQVRPAVLGAQQLGWLAAGTTFANWLTGVVAYTLIAGLNDFFLRSPMFYGSDLVIPPLLFLFFTGPELQYEAKKAVALVIGGLVLGLLILLCSEPVLSTVKRSLHFVIKALSAVLIWLAVLYPAEVEHWQWRFLELGPPPEGPSEPKQTPEEAAGALPLALLSLAARLM
+>sp|Q92581|SL9A6_HUMAN Sodium/hydrogen exchanger 6 OS=Homo sapiens OX=9606 GN=SLC9A6 PE=1 SV=2
+MARRGWRRAPLRRGVGSSPRARRLMRPLWLLLAVGVFDWAGASDGGGGEARAMDEEIVSEKQAEESHRQDSANLLIFILLLTLTILTIWLFKHRRARFLHETGLAMIYGLLVGLVLRYGIHVPSDVNNVTLSCEVQSSPTTLLVTFDPEVFFNILLPPIIFYAGYSLKRRHFFRNLGSILAYAFLGTAISCFVIGSIMYGCVTLMKVTGQLAGDFYFTDCLLFGAIVSATDPVTVLAIFHELQVDVELYALLFGESVLNDAVAIVLSSSIVAYQPAGDNSHTFDVTAMFKSIGIFLGIFSGSFAMGAATGVVTALVTKFTKLREFQLLETGLFFLMSWSTFLLAEAWGFTGVVAVLFCGITQAHYTYNNLSTESQHRTKQLFELLNFLAENFIFSYMGLTLFTFQNHVFNPTFVVGAFVAIFLGRAANIYPLSLLLNLGRRSKIGSNFQHMMMFAGLRGAMAFALAIRDTATYARQMMFSTTLLIVFFTVWVFGGGTTAMLSCLHIRVGVDSDQEHLGVPENERRTTKAESAWLFRMWYNFDHNYLKPLLTHSGPPLTTTLPACCGPIARCLTSPQAYENQEQLKDDDSDLILNDGDISLTYGDSTVNTEPATSSAPRRFMGNSSEDALDRELAFGDHELVIRGTRLVLPMDDSEPPLNLLDNTRHGPA
+>DECOY_sp|Q92581|SL9A6_HUMAN Sodium/hydrogen exchanger 6 OS=Homo sapiens OX=9606 GN=SLC9A6 PE=1 SV=2
+APGHRTNDLLNLPPESDDMPLVLRTGRIVLEHDGFALERDLADESSNGMFRRPASSTAPETNVTSDGYTLSIDGDNLILDSDDDKLQEQNEYAQPSTLCRAIPGCCAPLTTTLPPGSHTLLPKLYNHDFNYWMRFLWASEAKTTRRENEPVGLHEQDSDVGVRIHLCSLMATTGGGFVWVTFFVILLTTSFMMQRAYTATDRIALAFAMAGRLGAFMMMHQFNSGIKSRRGLNLLLSLPYINAARGLFIAVFAGVVFTPNFVHNQFTFLTLGMYSFIFNEALFNLLEFLQKTRHQSETSLNNYTYHAQTIGCFLVAVVGTFGWAEALLFTSWSMLFFLGTELLQFERLKTFKTVLATVVGTAAGMAFSGSFIGLFIGISKFMATVDFTHSNDGAPQYAVISSSLVIAVADNLVSEGFLLAYLEVDVQLEHFIALVTVPDTASVIAGFLLCDTFYFDGALQGTVKMLTVCGYMISGIVFCSIATGLFAYALISGLNRFFHRRKLSYGAYFIIPPLLINFFVEPDFTVLLTTPSSQVECSLTVNNVDSPVHIGYRLVLGVLLGYIMALGTEHLFRARRHKFLWITLITLTLLLIFILLNASDQRHSEEAQKESVIEEDMARAEGGGGDSAGAWDFVGVALLLWLPRMLRRARPSSGVGRRLPARRWGRRAM
+>sp|Q4ZJI4|SL9B1_HUMAN Sodium/hydrogen exchanger 9B1 OS=Homo sapiens OX=9606 GN=SLC9B1 PE=2 SV=2
+MHTTESKNEHLEDENFQTSTTPQSLIDPNNTAQEETKTVLSDTEEIKPQTKKETYISCPLRGVLNVIITNGVILFVIWCMTWSILGSEALPGGNLFGLFIIFYSAIIGGKILQLIRIPLVPPLPPLLGMLLAGFTIRNVPFINEHVHVPNTWSSILRSIALTIILIRAGLGLDPQALRHLKVVCFRLAVGPCLMEASAAAVFSHFIMKFPWQWAFLLGFVLGAVSPAVVVPYMMVLQENGYGVEEGIPTLLMAASSMDDILAITGFNTCLSIVFSSGGILNNAIASIRNVCISLLAGIVLGFFVRYFPSEDQKKLTLKRGFLVLTMCVSAVLGSQRIGLHGSGGLCTLVLSFIAGTKWSQEKMKVQKIITTVWDIFQPLLFGLVGAEVSVSSLESNIVGISVATLSLALCVRILTTYLLMCFAGFSFKEKIFIALAWMPKATVQAVLGPLALETARVSAPHLEPYAKDVMTVAFLAILITAPNGALLMGILGPKMLTRHYDPSKIKLQLSTLEHH
+>DECOY_sp|Q4ZJI4|SL9B1_HUMAN Sodium/hydrogen exchanger 9B1 OS=Homo sapiens OX=9606 GN=SLC9B1 PE=2 SV=2
+HHELTSLQLKIKSPDYHRTLMKPGLIGMLLAGNPATILIALFAVTMVDKAYPELHPASVRATELALPGLVAQVTAKPMWALAIFIKEKFSFGAFCMLLYTTLIRVCLALSLTAVSIGVINSELSSVSVEAGVLGFLLPQFIDWVTTIIKQVKMKEQSWKTGAIFSLVLTCLGGSGHLGIRQSGLVASVCMTLVLFGRKLTLKKQDESPFYRVFFGLVIGALLSICVNRISAIANNLIGGSSFVISLCTNFGTIALIDDMSSAAMLLTPIGEEVGYGNEQLVMMYPVVVAPSVAGLVFGLLFAWQWPFKMIFHSFVAAASAEMLCPGVALRFCVVKLHRLAQPDLGLGARILIITLAISRLISSWTNPVHVHENIFPVNRITFGALLMGLLPPLPPVLPIRILQLIKGGIIASYFIIFLGFLNGGPLAESGLISWTMCWIVFLIVGNTIIVNLVGRLPCSIYTEKKTQPKIEETDSLVTKTEEQATNNPDILSQPTTSTQFNEDELHENKSETTHM
+>sp|A6NJY1|SL9P1_HUMAN Putative SLC9B1-like protein SLC9B1P1 OS=Homo sapiens OX=9606 GN=SLC9B1P1 PE=5 SV=4
+MVLQENGYGVEEDIPTLLMAASSMDDLLAITGFNTCLSIVFYSGGMINNAIASLRNVCISLLAGIVLGFFVRYFPSEDQKKITLKRGFLVLITFVSAVLGSQPIGLHGSGGLCTLVLHFIEWTKWSQEKMKVQKIITNVWDIFQPLLFGLVGAEVSVSLLESNIVGISVSTLSLALCVRILNIYLLMCFAGFSFKEKIFIALAWMPKATVQAVLGPLALETARVSTPHLETYAKDVMTVAFLAIMITAPNGALLMGILGPKMLTRHYDPSKIKLQLSTLEHH
+>DECOY_sp|A6NJY1|SL9P1_HUMAN Putative SLC9B1-like protein SLC9B1P1 OS=Homo sapiens OX=9606 GN=SLC9B1P1 PE=5 SV=4
+HHELTSLQLKIKSPDYHRTLMKPGLIGMLLAGNPATIMIALFAVTMVDKAYTELHPTSVRATELALPGLVAQVTAKPMWALAIFIKEKFSFGAFCMLLYINLIRVCLALSLTSVSIGVINSELLSVSVEAGVLGFLLPQFIDWVNTIIKQVKMKEQSWKTWEIFHLVLTCLGGSGHLGIPQSGLVASVFTILVLFGRKLTIKKQDESPFYRVFFGLVIGALLSICVNRLSAIANNIMGGSYFVISLCTNFGTIALLDDMSSAAMLLTPIDEEVGYGNEQLVM
+>sp|Q08AF3|SLFN5_HUMAN Schlafen family member 5 OS=Homo sapiens OX=9606 GN=SLFN5 PE=1 SV=1
+MSLRIDVDTNFPECVVDAGKVTLGTQQRQEMDPRLREKQNEIILRAVCALLNSGGGIIKAEIENKGYNYERHGVGLDVPPIFRSHLDKMQKENHFLIFVKSWNTEAGVPLATLCSNLYHRERTSTDVMDSQEALAFLKCRTQTPTNINVSNSLGPQAAQGSVQYEGNINVSAAALFDRKRLQYLEKLNLPESTHVEFVMFSTDVSHCVKDRLPKCVSAFANTEGGYVFFGVHDETCQVIGCEKEKIDLTSLRASIDGCIKKLPVHHFCTQRPEIKYVLNFLEVHDKGALRGYVCAIKVEKFCCAVFAKVPSSWQVKDNRVRQLPTREWTAWMMEADPDLSRCPEMVLQLSLSSATPRSKPVCIHKNSECLKEQQKRYFPVFSDRVVYTPESLYKELFSQHKGLRDLINTEMRPFSQGILIFSQSWAVDLGLQEKQGVICDALLISQNNTPILYTIFSKWDAGCKGYSMIVAYSLKQKLVNKGGYTGRLCITPLVCVLNSDRKAQSVYSSYLQIYPESYNFMTPQHMEALLQSLVIVLLGFKSFLSEELGSEVLNLLTNKQYELLSKNLRKTRELFVHGLPGSGKTILALRIMEKIRNVFHCEPANILYICENQPLKKLVSFSKKNICQPVTRKTFMKNNFEHIQHIIIDDAQNFRTEDGDWYGKAKFITQTARDGPGVLWIFLDYFQTYHLSCSGLPPPSDQYPREEINRVVRNAGPIANYLQQVMQEARQNPPPNLPPGSLVMLYEPKWAQGVPGNLEIIEDLNLEEILIYVANKCRFLLRNGYSPKDIAVLFTKASEVEKYKDRLLTAMRKRKLSQLHEESDLLLQIGDASDVLTDHIVLDSVCRFSGLERNIVFGINPGVAPPAGAYNLLLCLASRAKRHLYILKASV
+>DECOY_sp|Q08AF3|SLFN5_HUMAN Schlafen family member 5 OS=Homo sapiens OX=9606 GN=SLFN5 PE=1 SV=1
+VSAKLIYLHRKARSALCLLLNYAGAPPAVGPNIGFVINRELGSFRCVSDLVIHDTLVDSADGIQLLLDSEEHLQSLKRKRMATLLRDKYKEVESAKTFLVAIDKPSYGNRLLFRCKNAVYILIEELNLDEIIELNGPVGQAWKPEYLMVLSGPPLNPPPNQRAEQMVQQLYNAIPGANRVVRNIEERPYQDSPPPLGSCSLHYTQFYDLFIWLVGPGDRATQTIFKAKGYWDGDETRFNQADDIIIHQIHEFNNKMFTKRTVPQCINKKSFSVLKKLPQNECIYLINAPECHFVNRIKEMIRLALITKGSGPLGHVFLERTKRLNKSLLEYQKNTLLNLVESGLEESLFSKFGLLVIVLSQLLAEMHQPTMFNYSEPYIQLYSSYVSQAKRDSNLVCVLPTICLRGTYGGKNVLKQKLSYAVIMSYGKCGADWKSFITYLIPTNNQSILLADCIVGQKEQLGLDVAWSQSFILIGQSFPRMETNILDRLGKHQSFLEKYLSEPTYVVRDSFVPFYRKQQEKLCESNKHICVPKSRPTASSLSLQLVMEPCRSLDPDAEMMWATWERTPLQRVRNDKVQWSSPVKAFVACCFKEVKIACVYGRLAGKDHVELFNLVYKIEPRQTCFHHVPLKKICGDISARLSTLDIKEKECGIVQCTEDHVGFFVYGGETNAFASVCKPLRDKVCHSVDTSFMVFEVHTSEPLNLKELYQLRKRDFLAAASVNINGEYQVSGQAAQPGLSNSVNINTPTQTRCKLFALAEQSDMVDTSTRERHYLNSCLTALPVGAETNWSKVFILFHNEKQMKDLHSRFIPPVDLGVGHREYNYGKNEIEAKIIGGGSNLLACVARLIIENQKERLRPDMEQRQQTGLTVKGADVVCEPFNTDVDIRLSM
+>sp|Q96PX8|SLIK1_HUMAN SLIT and NTRK-like protein 1 OS=Homo sapiens OX=9606 GN=SLITRK1 PE=1 SV=2
+MLLWILLLETSLCFAAGNVTGDVCKEKICSCNEIEGDLHVDCEKKGFTSLQRFTAPTSQFYHLFLHGNSLTRLFPNEFANFYNAVSLHMENNGLHEIVPGAFLGLQLVKRLHINNNKIKSFRKQTFLGLDDLEYLQADFNLLRDIDPGAFQDLNKLEVLILNDNLISTLPANVFQYVPITHLDLRGNRLKTLPYEEVLEQIPGIAEILLEDNPWDCTCDLLSLKEWLENIPKNALIGRVVCEAPTRLQGKDLNETTEQDLCPLKNRVDSSLPAPPAQEETFAPGPLPTPFKTNGQEDHATPGSAPNGGTKIPGNWQIKIRPTAAIATGSSRNKPLANSLPCPGGCSCDHIPGSGLKMNCNNRNVSSLADLKPKLSNVQELFLRDNKIHSIRKSHFVDYKNLILLDLGNNNIATVENNTFKNLLDLRWLYMDSNYLDTLSREKFAGLQNLEYLNVEYNAIQLILPGTFNAMPKLRILILNNNLLRSLPVDVFAGVSLSKLSLHNNYFMYLPVAGVLDQLTSIIQIDLHGNPWECSCTIVPFKQWAERLGSEVLMSDLKCETPVNFFRKDFMLLSNDEICPQLYARISPTLTSHSKNSTGLAETGTHSNSYLDTSRVSISVLVPGLLLVFVTSAFTVVGMLVFILRNRKRSKRRDANSSASEINSLQTVCDSSYWHNGPYNADGAHRVYDCGSHSLSD
+>DECOY_sp|Q96PX8|SLIK1_HUMAN SLIT and NTRK-like protein 1 OS=Homo sapiens OX=9606 GN=SLITRK1 PE=1 SV=2
+DSLSHSGCDYVRHAGDANYPGNHWYSSDCVTQLSNIESASSNADRRKSRKRNRLIFVLMGVVTFASTVFVLLLGPVLVSISVRSTDLYSNSHTGTEALGTSNKSHSTLTPSIRAYLQPCIEDNSLLMFDKRFFNVPTECKLDSMLVESGLREAWQKFPVITCSCEWPNGHLDIQIISTLQDLVGAVPLYMFYNNHLSLKSLSVGAFVDVPLSRLLNNNLILIRLKPMANFTGPLILQIANYEVNLYELNQLGAFKERSLTDLYNSDMYLWRLDLLNKFTNNEVTAINNNGLDLLILNKYDVFHSKRISHIKNDRLFLEQVNSLKPKLDALSSVNRNNCNMKLGSGPIHDCSCGGPCPLSNALPKNRSSGTAIAATPRIKIQWNGPIKTGGNPASGPTAHDEQGNTKFPTPLPGPAFTEEQAPPAPLSSDVRNKLPCLDQETTENLDKGQLRTPAECVVRGILANKPINELWEKLSLLDCTCDWPNDELLIEAIGPIQELVEEYPLTKLRNGRLDLHTIPVYQFVNAPLTSILNDNLILVELKNLDQFAGPDIDRLLNFDAQLYELDDLGLFTQKRFSKIKNNNIHLRKVLQLGLFAGPVIEHLGNNEMHLSVANYFNAFENPFLRTLSNGHLFLHYFQSTPATFRQLSTFGKKECDVHLDGEIENCSCIKEKCVDGTVNGAAFCLSTELLLIWLLM
+>sp|O94813|SLIT2_HUMAN Slit homolog 2 protein OS=Homo sapiens OX=9606 GN=SLIT2 PE=1 SV=1
+MRGVGWQMLSLSLGLVLAILNKVAPQACPAQCSCSGSTVDCHGLALRSVPRNIPRNTERLDLNGNNITRITKTDFAGLRHLRVLQLMENKISTIERGAFQDLKELERLRLNRNHLQLFPELLFLGTAKLYRLDLSENQIQAIPRKAFRGAVDIKNLQLDYNQISCIEDGAFRALRDLEVLTLNNNNITRLSVASFNHMPKLRTFRLHSNNLYCDCHLAWLSDWLRQRPRVGLYTQCMGPSHLRGHNVAEVQKREFVCSGHQSFMAPSCSVLHCPAACTCSNNIVDCRGKGLTEIPTNLPETITEIRLEQNTIKVIPPGAFSPYKKLRRIDLSNNQISELAPDAFQGLRSLNSLVLYGNKITELPKSLFEGLFSLQLLLLNANKINCLRVDAFQDLHNLNLLSLYDNKLQTIAKGTFSPLRAIQTMHLAQNPFICDCHLKWLADYLHTNPIETSGARCTSPRRLANKRIGQIKSKKFRCSAKEQYFIPGTEDYRSKLSGDCFADLACPEKCRCEGTTVDCSNQKLNKIPEHIPQYTAELRLNNNEFTVLEATGIFKKLPQLRKINFSNNKITDIEEGAFEGASGVNEILLTSNRLENVQHKMFKGLESLKTLMLRSNRITCVGNDSFIGLSSVRLLSLYDNQITTVAPGAFDTLHSLSTLNLLANPFNCNCYLAWLGEWLRKKRIVTGNPRCQKPYFLKEIPIQDVAIQDFTCDDGNDDNSCSPLSRCPTECTCLDTVVRCSNKGLKVLPKGIPRDVTELYLDGNQFTLVPKELSNYKHLTLIDLSNNRISTLSNQSFSNMTQLLTLILSYNRLRCIPPRTFDGLKSLRLLSLHGNDISVVPEGAFNDLSALSHLAIGANPLYCDCNMQWLSDWVKSEYKEPGIARCAGPGEMADKLLLTTPSKKFTCQGPVDVNILAKCNPCLSNPCKNDGTCNSDPVDFYRCTCPYGFKGQDCDVPIHACISNPCKHGGTCHLKEGEEDGFWCICADGFEGENCEVNVDDCEDNDCENNSTCVDGINNYTCLCPPEYTGELCEEKLDFCAQDLNPCQHDSKCILTPKGFKCDCTPGYVGEHCDIDFDDCQDNKCKNGAHCTDAVNGYTCICPEGYSGLFCEFSPPMVLPRTSPCDNFDCQNGAQCIVRINEPICQCLPGYQGEKCEKLVSVNFINKESYLQIPSAKVRPQTNITLQIATDEDSGILLYKGDKDHIAVELYRGRVRASYDTGSHPASAIYSVETINDGNFHIVELLALDQSLSLSVDGGNPKIITNLSKQSTLNFDSPLYVGGMPGKSNVASLRQAPGQNGTSFHGCIRNLYINSELQDFQKVPMQTGILPGCEPCHKKVCAHGTCQPSSQAGFTCECQEGWMGPLCDQRTNDPCLGNKCVHGTCLPINAFSYSCKCLEGHGGVLCDEEEDLFNPCQAIKCKHGKCRLSGLGQPYCECSSGYTGDSCDREISCRGERIRDYYQKQQGYAACQTTKKVSRLECRGGCAGGQCCGPLRSKRRKYSFECTDGSSFVDEVEKVVKCGCTRCVS
+>DECOY_sp|O94813|SLIT2_HUMAN Slit homolog 2 protein OS=Homo sapiens OX=9606 GN=SLIT2 PE=1 SV=1
+SVCRTCGCKVVKEVEDVFSSGDTCEFSYKRRKSRLPGCCQGGACGGRCELRSVKKTTQCAAYGQQKQYYDRIREGRCSIERDCSDGTYGSSCECYPQGLGSLRCKGHKCKIAQCPNFLDEEEDCLVGGHGELCKCSYSFANIPLCTGHVCKNGLCPDNTRQDCLPGMWGEQCECTFGAQSSPQCTGHACVKKHCPECGPLIGTQMPVKQFDQLESNIYLNRICGHFSTGNQGPAQRLSAVNSKGPMGGVYLPSDFNLTSQKSLNTIIKPNGGDVSLSLSQDLALLEVIHFNGDNITEVSYIASAPHSGTDYSARVRGRYLEVAIHDKDGKYLLIGSDEDTAIQLTINTQPRVKASPIQLYSEKNIFNVSVLKECKEGQYGPLCQCIPENIRVICQAGNQCDFNDCPSTRPLVMPPSFECFLGSYGEPCICTYGNVADTCHAGNKCKNDQCDDFDIDCHEGVYGPTCDCKFGKPTLICKSDHQCPNLDQACFDLKEECLEGTYEPPCLCTYNNIGDVCTSNNECDNDECDDVNVECNEGEFGDACICWFGDEEGEKLHCTGGHKCPNSICAHIPVDCDQGKFGYPCTCRYFDVPDSNCTGDNKCPNSLCPNCKALINVDVPGQCTFKKSPTTLLLKDAMEGPGACRAIGPEKYESKVWDSLWQMNCDCYLPNAGIALHSLASLDNFAGEPVVSIDNGHLSLLRLSKLGDFTRPPICRLRNYSLILTLLQTMNSFSQNSLTSIRNNSLDILTLHKYNSLEKPVLTFQNGDLYLETVDRPIGKPLVKLGKNSCRVVTDLCTCETPCRSLPSCSNDDNGDDCTFDQIAVDQIPIEKLFYPKQCRPNGTVIRKKRLWEGLWALYCNCNFPNALLNLTSLSHLTDFAGPAVTTIQNDYLSLLRVSSLGIFSDNGVCTIRNSRLMLTKLSELGKFMKHQVNELRNSTLLIENVGSAGEFAGEEIDTIKNNSFNIKRLQPLKKFIGTAELVTFENNNLRLEATYQPIHEPIKNLKQNSCDVTTGECRCKEPCALDAFCDGSLKSRYDETGPIFYQEKASCRFKKSKIQGIRKNALRRPSTCRAGSTEIPNTHLYDALWKLHCDCIFPNQALHMTQIARLPSFTGKAITQLKNDYLSLLNLNHLDQFADVRLCNIKNANLLLLQLSFLGEFLSKPLETIKNGYLVLSNLSRLGQFADPALESIQNNSLDIRRLKKYPSFAGPPIVKITNQELRIETITEPLNTPIETLGKGRCDVINNSCTCAAPCHLVSCSPAMFSQHGSCVFERKQVEAVNHGRLHSPGMCQTYLGVRPRQRLWDSLWALHCDCYLNNSHLRFTRLKPMHNFSAVSLRTINNNNLTLVELDRLARFAGDEICSIQNYDLQLNKIDVAGRFAKRPIAQIQNESLDLRYLKATGLFLLEPFLQLHNRNLRLRELEKLDQFAGREITSIKNEMLQLVRLHRLGAFDTKTIRTINNGNLDLRETNRPINRPVSRLALGHCDVTSGSCSCQAPCAQPAVKNLIALVLGLSLSLMQWGVGRM
+>sp|Q7Z7L1|SLN11_HUMAN Schlafen family member 11 OS=Homo sapiens OX=9606 GN=SLFN11 PE=1 SV=2
+MEANQCPLVVEPSYPDLVINVGEVTLGEENRKKLQKIQRDQEKERVMRAACALLNSGGGVIRMAKKVEHPVEMGLDLEQSLRELIQSSDLQAFFETKQQGRCFYIFVKSWSSGPFPEDRSVKPRLCSLSSSLYRRSETSVRSMDSREAFCFLKTKRKPKILEEGPFHKIHKGVYQELPNSDPADPNSDPADLIFQKDYLEYGEILPFPESQLVEFKQFSTKHFQEYVKRTIPEYVPAFANTGGGYLFIGVDDKSREVLGCAKENVDPDSLRRKIEQAIYKLPCVHFCQPQRPITFTLKIVNVLKRGELYGYACMIRVNPFCCAVFSEAPNSWIVEDKYVCSLTTEKWVGMMTDTDPDLLQLSEDFECQLSLSSGPPLSRPVYSKKGLEHKKELQQLLFSVPPGYLRYTPESLWRDLISEHRGLEELINKQMQPFFRGILIFSRSWAVDLNLQEKPGVICDALLIAQNSTPILYTILREQDAEGQDYCTRTAFTLKQKLVNMGGYTGKVCVRAKVLCLSPESSAEALEAAVSPMDYPASYSLAGTQHMEALLQSLVIVLLGFRSLLSDQLGCEVLNLLTAQQYEIFSRSLRKNRELFVHGLPGSGKTIMAMKIMEKIRNVFHCEAHRILYVCENQPLRNFISDRNICRAETRKTFLRENFEHIQHIVIDEAQNFRTEDGDWYGKAKSITRRAKGGPGILWIFLDYFQTSHLDCSGLPPLSDQYPREELTRIVRNADPIAKYLQKEMQVIRSNPSFNIPTGCLEVFPEAEWSQGVQGTLRIKKYLTVEQIMTCVADTCRRFFDRGYSPKDVAVLVSTAKEVEHYKYELLKAMRKKRVVQLSDACDMLGDHIVLDSVRRFSGLERSIVFGIHPRTADPAILPNVLICLASRAKQHLYIFPWGGH
+>DECOY_sp|Q7Z7L1|SLN11_HUMAN Schlafen family member 11 OS=Homo sapiens OX=9606 GN=SLFN11 PE=1 SV=2
+HGGWPFIYLHQKARSALCILVNPLIAPDATRPHIGFVISRELGSFRRVSDLVIHDGLMDCADSLQVVRKKRMAKLLEYKYHEVEKATSVLVAVDKPSYGRDFFRRCTDAVCTMIQEVTLYKKIRLTGQVGQSWEAEPFVELCGTPINFSPNSRIVQMEKQLYKAIPDANRVIRTLEERPYQDSLPPLGSCDLHSTQFYDLFIWLIGPGGKARRTISKAKGYWDGDETRFNQAEDIVIHQIHEFNERLFTKRTEARCINRDSIFNRLPQNECVYLIRHAECHFVNRIKEMIKMAMITKGSGPLGHVFLERNKRLSRSFIEYQQATLLNLVECGLQDSLLSRFGLLVIVLSQLLAEMHQTGALSYSAPYDMPSVAAELAEASSEPSLCLVKARVCVKGTYGGMNVLKQKLTFATRTCYDQGEADQERLITYLIPTSNQAILLADCIVGPKEQLNLDVAWSRSFILIGRFFPQMQKNILEELGRHESILDRWLSEPTYRLYGPPVSFLLQQLEKKHELGKKSYVPRSLPPGSSLSLQCEFDESLQLLDPDTDTMMGVWKETTLSCVYKDEVIWSNPAESFVACCFPNVRIMCAYGYLEGRKLVNVIKLTFTIPRQPQCFHVCPLKYIAQEIKRRLSDPDVNEKACGLVERSKDDVGIFLYGGGTNAFAPVYEPITRKVYEQFHKTSFQKFEVLQSEPFPLIEGYELYDKQFILDAPDSNPDAPDSNPLEQYVGKHIKHFPGEELIKPKRKTKLFCFAERSDMSRVSTESRRYLSSSLSCLRPKVSRDEPFPGSSWSKVFIYFCRGQQKTEFFAQLDSSQILERLSQELDLGMEVPHEVKKAMRIVGGGSNLLACAARMVREKEQDRQIKQLKKRNEEGLTVEGVNIVLDPYSPEVVLPCQNAEM
+>sp|P0DP57|SLUR2_HUMAN Secreted Ly-6/uPAR domain-containing protein 2 OS=Homo sapiens OX=9606 GN=SLURP2 PE=1 SV=1
+MQLGTGLLLAAVLSLQLAAAEAIWCHQCTGFGGCSHGSRCLRDSTHCVTTATRVLSNTEDLPLVTKMCHIGCPDIPSLGLGPYVSIACCQTSLCNHD
+>DECOY_sp|P0DP57|SLUR2_HUMAN Secreted Ly-6/uPAR domain-containing protein 2 OS=Homo sapiens OX=9606 GN=SLURP2 PE=1 SV=1
+DHNCLSTQCCAISVYPGLGLSPIDPCGIHCMKTVLPLDETNSLVRTATTVCHTSDRLCRSGHSCGGFGTCQHCWIAEAAALQLSLVAALLLGTGLQM
+>sp|Q8IY92|SLX4_HUMAN Structure-specific endonuclease subunit SLX4 OS=Homo sapiens OX=9606 GN=SLX4 PE=1 SV=3
+MKLSVNEAQLGFYLGSLSHLSACPGIDPRSSEDQPESLKTGQMMDESDEDFKELCASFFQRVKKHGIKEVSGERKTQKAASNGTQIRSKLKRTKQTATKTKTLQGPAEKKPPSGSQAPRTKKQRVTKWQASEPAHSVNGEGGVLASAPDPPVLRETAQNTQTGNQQEPSPNLSREKTRENVPNSDSQPPPSCLTTAVPSPSKPRTAQLVLQRMQQFKRADPERLRHASEECSLEAAREENVPKDPQEEMMAGNVYGLGPPAPESDAAVALTLQQEFARVGASAHDDSLEEKGLFFCQICQKNLSAMNVTRREQHVNRCLDEAEKTLRPSVPQIPECPICGKPFLTLKSRTSHLKQCAVKMEVGPQLLLQAVRLQTAQPEGSSSPPMFSFSDHSRGLKRRGPTSKKEPRKRRKVDEAPSEDLLVAMALSRSEMEPGAAVPALRLESAFSERIRPEAENKSRKKKPPVSPPLLLVQDSETTGRQIEDRVALLLSEEVELSSTPPLPASRILKEGWERAGQCPPPPERKQSFLWEGSALTGAWAMEDFYTARLVPPLVPQRPAQGLMQEPVPPLVPPEHSELSERRSPALHGTPTAGCGSRGPSPSASQREHQALQDLVDLAREGLSASPWPGSGGLAGSEGTAGLDVVPGGLPLTGFVVPSQDKHPDRGGRTLLSLGLLVADFGAMVNNPHLSDVQFQTDSGEVLYAHKFVLYARCPLLIQYVNNEGFSAVEDGVLTQRVLLGDVSTEAARTFLHYLYTADTGLPPGLSSELSSLAHRFGVSELVHLCEQVPIATDSEGKPWEEKEAENCESRAENFQELLRSMWADEEEEAETLLKSKDHEEDQENVNEAEMEEIYEFAATQRKLLQEERAAGAGEDADWLEGGSPVSGQLLAGVQVQKQWDKVEEMEPLEPGRDEAATTWEKMGQCALPPPQGQHSGARGAEAPEQEAPEEALGHSSCSSPSRDCQAERKEGSLPHSDDAGDYEQLFSSTQGEISEPSQITSEPEEQSGAVRERGLEVSHRLAPWQASPPHPCRFLLGPPQGGSPRGSHHTSGSSLSTPRSRGGTSQVGSPTLLSPAVPSKQKRDRSILTLSKEPGHQKGKERRSVLECRNKGVLMFPEKSPSIDLTQSNPDHSSSRSQKSSSKLNEEDEVILLLDSDEELELEQTKMKSISSDPLEEKKALEISPRSCELFSIIDVDADQEPSQSPPRSEAVLQQEDEGALPENRGSLGRRGAPWLFCDRESSPSEASTTDTSWLVPATPLASRSRDCSSQTQISSLRSGLAVQAVTQHTPRASVGNREGNEVAQKFSVIRPQTPPPQTPSSCLTPVSPGTSDGRRQGHRSPSRPHPGGHPHSSPLAPHPISGDRAHFSRRFLKHSPPGPSFLNQTPAGEVVEVGDSDDEQEVASHQANRSPPLDSDPPIPIDDCCWHMEPLSPIPIDHWNLERTGPLSTSSPSRRMNEAADSRDCRSPGLLDTTPIRGSCTTQRKLQEKSSGAGSLGNSRPSFLNSALWDVWDGEEQRPPETPPPAQMPSAGGAQKPEGLETPKGANRKKNLPPKVPITPMPQYSIMETPVLKKELDRFGVRPLPKRQMVLKLKEIFQYTHQTLDSDSEDESQSSQPLLQAPHCQTLASQTYKPSRAGVHAQQEATTGPGAHRPKGPAKTKGPRHQRKHHESITPPSRSPTKEAPPGLNDDAQIPASQESVATSVDGSDSSLSSQSSSSCEFGAAFESAGEEEGEGEVSASQAAVQAADTDEALRCYIRSKPALYQKVLLYQPFELRELQAELRQNGLRVSSRRLLDFLDTHCITFTTAATRREKLQGRRRQPRGKKKVERN
+>DECOY_sp|Q8IY92|SLX4_HUMAN Structure-specific endonuclease subunit SLX4 OS=Homo sapiens OX=9606 GN=SLX4 PE=1 SV=3
+NREVKKKGRPQRRRGQLKERRTAATTFTICHTDLFDLLRRSSVRLGNQRLEAQLERLEFPQYLLVKQYLAPKSRIYCRLAEDTDAAQVAAQSASVEGEGEEEGASEFAAGFECSSSSQSSLSSDSGDVSTAVSEQSAPIQADDNLGPPAEKTPSRSPPTISEHHKRQHRPGKTKAPGKPRHAGPGTTAEQQAHVGARSPKYTQSALTQCHPAQLLPQSSQSEDESDSDLTQHTYQFIEKLKLVMQRKPLPRVGFRDLEKKLVPTEMISYQPMPTIPVKPPLNKKRNAGKPTELGEPKQAGGASPMQAPPPTEPPRQEEGDWVDWLASNLFSPRSNGLSGAGSSKEQLKRQTTCSGRIPTTDLLGPSRCDRSDAAENMRRSPSSTSLPGTRELNWHDIPIPSLPEMHWCCDDIPIPPDSDLPPSRNAQHSAVEQEDDSDGVEVVEGAPTQNLFSPGPPSHKLFRRSFHARDGSIPHPALPSSHPHGGPHPRSPSRHGQRRGDSTGPSVPTLCSSPTQPPPTQPRIVSFKQAVENGERNGVSARPTHQTVAQVALGSRLSSIQTQSSCDRSRSALPTAPVLWSTDTTSAESPSSERDCFLWPAGRRGLSGRNEPLAGEDEQQLVAESRPPSQSPEQDADVDIISFLECSRPSIELAKKEELPDSSISKMKTQELELEEDSDLLLIVEDEENLKSSSKQSRSSSHDPNSQTLDISPSKEPFMLVGKNRCELVSRREKGKQHGPEKSLTLISRDRKQKSPVAPSLLTPSGVQSTGGRSRPTSLSSGSTHHSGRPSGGQPPGLLFRCPHPPSAQWPALRHSVELGRERVAGSQEEPESTIQSPESIEGQTSSFLQEYDGADDSHPLSGEKREAQCDRSPSSCSSHGLAEEPAEQEPAEAGRAGSHQGQPPPLACQGMKEWTTAAEDRGPELPEMEEVKDWQKQVQVGALLQGSVPSGGELWDADEGAGAAREEQLLKRQTAAFEYIEEMEAENVNEQDEEHDKSKLLTEAEEEEDAWMSRLLEQFNEARSECNEAEKEEWPKGESDTAIPVQECLHVLESVGFRHALSSLESSLGPPLGTDATYLYHLFTRAAETSVDGLLVRQTLVGDEVASFGENNVYQILLPCRAYLVFKHAYLVEGSDTQFQVDSLHPNNVMAGFDAVLLGLSLLTRGGRDPHKDQSPVVFGTLPLGGPVVDLGATGESGALGGSGPWPSASLGERALDVLDQLAQHERQSASPSPGRSGCGATPTGHLAPSRRESLESHEPPVLPPVPEQMLGQAPRQPVLPPVLRATYFDEMAWAGTLASGEWLFSQKREPPPPCQGAREWGEKLIRSAPLPPTSSLEVEESLLLAVRDEIQRGTTESDQVLLLPPSVPPKKKRSKNEAEPRIRESFASELRLAPVAAGPEMESRSLAMAVLLDESPAEDVKRRKRPEKKSTPGRRKLGRSHDSFSFMPPSSSGEPQATQLRVAQLLLQPGVEMKVACQKLHSTRSKLTLFPKGCIPCEPIQPVSPRLTKEAEDLCRNVHQERRTVNMASLNKQCIQCFFLGKEELSDDHASAGVRAFEQQLTLAVAADSEPAPPGLGYVNGAMMEEQPDKPVNEERAAELSCEESAHRLREPDARKFQQMRQLVLQATRPKSPSPVATTLCSPPPQSDSNPVNERTKERSLNPSPEQQNGTQTNQATERLVPPDPASALVGGEGNVSHAPESAQWKTVRQKKTRPAQSGSPPKKEAPGQLTKTKTATQKTRKLKSRIQTGNSAAKQTKREGSVEKIGHKKVRQFFSACLEKFDEDSEDMMQGTKLSEPQDESSRPDIGPCASLHSLSGLYFGLQAENVSLKM
+>sp|Q9UHJ3|SMBT1_HUMAN Scm-like with four MBT domains protein 1 OS=Homo sapiens OX=9606 GN=SFMBT1 PE=1 SV=2
+MNGEQQLDADAGSGMEEVELSWEDYLEETGSTAVPYGSFKHVDTRLQNGFAPGMKLEVAVRTDPETYWVATVITTCEQLLLLRYDGYGEDRRADFWCDIRKADLYPIGWCEQNKKTLEAPEGIRDKVSDWDEFLRQTLIGACSPPVPLLEGLRNGRNPLDLIAPGSRLECQAFQDSLSTWIVTVVENIGGRLKLRYEGLESSDNYEHWLYYLDPFLHHVGWAAQQGYELQPPSAIRHLKNEAEWQEILAKVKEEEEEPLPSYLFKDKQVIGIHTFSVNMKLEAVDPWSPFGISPATVVKVFDEKYFLVEMDDLRPENHARRSFVCHADSPGIFPVQWSLKNGLHISPPPGYPSQDFDWADYLKQCGAEAAPQRCFPPLISEHEFKENMKLEAVNPILPEEVCVATITAVRGSYLWLQLEGSKKPIPECIVSVESMDIFPLGWCETNGHPLSTPRRARVYKQRKIAVVQPEKQVPSSRTVHEGLRNQELNSTESVMINGKYCCPKIYFNHRCFSGPYLNKGRIAELPQCVGPGNCVLVLREVLTLLINAAYKPSRVLRELQLDKDSVWHGCGEVLKAKYKGKSYRATVEIVKTADRVTEFCRQTCIKLECCPNLFGPRMVLDKCSENCSVLTKTKYTHYYGKKKNKRIGRPPGGHSNLACALKKASKRRKRRKNVFVHKKKRSSASVDNTPAGSPQGSGGEDEDDPDEGDDDSLSEGSTSEQQDELQEESEMSEKKSCSSSPTQSEISTSLPPDRQRRKRELRTFSFSDDENKPPSPKEIRIEVAERLHLDSNPLKWSVADVVRFIRSTDCAPLARIFLDQEIDGQALLLLTLPTVQECMDLKLGPAIKLCHHIERIKFAFYEQFAN
+>DECOY_sp|Q9UHJ3|SMBT1_HUMAN Scm-like with four MBT domains protein 1 OS=Homo sapiens OX=9606 GN=SFMBT1 PE=1 SV=2
+NAFQEYFAFKIREIHHCLKIAPGLKLDMCEQVTPLTLLLLAQGDIEQDLFIRALPACDTSRIFRVVDAVSWKLPNSDLHLREAVEIRIEKPSPPKNEDDSFSFTRLERKRRQRDPPLSTSIESQTPSSSCSKKESMESEEQLEDQQESTSGESLSDDDGEDPDDEDEGGSGQPSGAPTNDVSASSRKKKHVFVNKRRKRRKSAKKLACALNSHGGPPRGIRKNKKKGYYHTYKTKTLVSCNESCKDLVMRPGFLNPCCELKICTQRCFETVRDATKVIEVTARYSKGKYKAKLVEGCGHWVSDKDLQLERLVRSPKYAANILLTLVERLVLVCNGPGVCQPLEAIRGKNLYPGSFCRHNFYIKPCCYKGNIMVSETSNLEQNRLGEHVTRSSPVQKEPQVVAIKRQKYVRARRPTSLPHGNTECWGLPFIDMSEVSVICEPIPKKSGELQLWLYSGRVATITAVCVEEPLIPNVAELKMNEKFEHESILPPFCRQPAAEAGCQKLYDAWDFDQSPYGPPPSIHLGNKLSWQVPFIGPSDAHCVFSRRAHNEPRLDDMEVLFYKEDFVKVVTAPSIGFPSWPDVAELKMNVSFTHIGIVQKDKFLYSPLPEEEEEKVKALIEQWEAENKLHRIASPPQLEYGQQAAWGVHHLFPDLYYLWHEYNDSSELGEYRLKLRGGINEVVTVIWTSLSDQFAQCELRSGPAILDLPNRGNRLGELLPVPPSCAGILTQRLFEDWDSVKDRIGEPAELTKKNQECWGIPYLDAKRIDCWFDARRDEGYGDYRLLLLQECTTIVTAVWYTEPDTRVAVELKMGPAFGNQLRTDVHKFSGYPVATSGTEELYDEWSLEVEEMGSGADADLQQEGNM
+>sp|Q9UQE7|SMC3_HUMAN Structural maintenance of chromosomes protein 3 OS=Homo sapiens OX=9606 GN=SMC3 PE=1 SV=2
+MYIKQVIIQGFRSYRDQTIVDPFSSKHNVIVGRNGSGKSNFFYAIQFVLSDEFSHLRPEQRLALLHEGTGPRVISAFVEIIFDNSDNRLPIDKEEVSLRRVIGAKKDQYFLDKKMVTKNDVMNLLESAGFSRSNPYYIVKQGKINQMATAPDSQRLKLLREVAGTRVYDERKEESISLMKETEGKREKINELLKYIEERLHTLEEEKEELAQYQKWDKMRRALEYTIYNQELNETRAKLDELSAKRETSGEKSRQLRDAQQDARDKMEDIERQVRELKTKISAMKEEKEQLSAERQEQIKQRTKLELKAKDLQDELAGNSEQRKRLLKERQKLLEKIEEKQKELAETEPKFNSVKEKEERGIARLAQATQERTDLYAKQGRGSQFTSKEERDKWIKKELKSLDQAINDKKRQIAAIHKDLEDTEANKEKNLEQYNKLDQDLNEVKARVEELDRKYYEVKNKKDELQSERNYLWREENAEQQALAAKREDLEKKQQLLRAATGKAILNGIDSINKVLDHFRRKGINQHVQNGYHGIVMNNFECEPAFYTCVEVTAGNRLFYHIVDSDEVSTKILMEFNKMNLPGEVTFLPLNKLDVRDTAYPETNDAIPMISKLRYNPRFDKAFKHVFGKTLICRSMEVSTQLARAFTMDCITLEGDQVSHRGALTGGYYDTRKSRLELQKDVRKAEEELGELEAKLNENLRRNIERINNEIDQLMNQMQQIETQQRKFKASRDSILSEMKMLKEKRQQSEKTFMPKQRSLQSLEASLHAMESTRESLKAELGTDLLSQLSLEDQKRVDALNDEIRQLQQENRQLLNERIKLEGIITRVETYLNENLRKRLDQVEQELNELRETEGGTVLTATTSELEAINKRVKDTMARSEDLDNSIDKTEAGIKELQKSMERWKNMEKEHMDAINHDTKELEKMTNRQGMLLKKKEECMKKIRELGSLPQEAFEKYQTLSLKQLFRKLEQCNTELKKYSHVNKKALDQFVNFSEQKEKLIKRQEELDRGYKSIMELMNVLELRKYEAIQLTFKQVSKNFSEVFQKLVPGGKATLVMKKGDVEGSQSQDEGEGSGESERGSGSQSSVPSVDQFTGVGIRVSFTGKQGEMREMQQLSGGQKSLVALALIFAIQKCDPAPFYLFDEIDQALDAQHRKAVSDMIMELAVHAQFITTTFRPELLESADKFYGVKFRNKVSHIDVITAEMAKDFVEDDTTHG
+>DECOY_sp|Q9UQE7|SMC3_HUMAN Structural maintenance of chromosomes protein 3 OS=Homo sapiens OX=9606 GN=SMC3 PE=1 SV=2
+GHTTDDEVFDKAMEATIVDIHSVKNRFKVGYFKDASELLEPRFTTTIFQAHVALEMIMDSVAKRHQADLAQDIEDFLYFPAPDCKQIAFILALAVLSKQGGSLQQMERMEGQKGTFSVRIGVGTFQDVSPVSSQSGSGRESEGSGEGEDQSQSGEVDGKKMVLTAKGGPVLKQFVESFNKSVQKFTLQIAEYKRLELVNMLEMISKYGRDLEEQRKILKEKQESFNVFQDLAKKNVHSYKKLETNCQELKRFLQKLSLTQYKEFAEQPLSGLERIKKMCEEKKKLLMGQRNTMKELEKTDHNIADMHEKEMNKWREMSKQLEKIGAETKDISNDLDESRAMTDKVRKNIAELESTTATLVTGGETERLENLEQEVQDLRKRLNENLYTEVRTIIGELKIRENLLQRNEQQLQRIEDNLADVRKQDELSLQSLLDTGLEAKLSERTSEMAHLSAELSQLSRQKPMFTKESQQRKEKLMKMESLISDRSAKFKRQQTEIQQMQNMLQDIENNIREINRRLNENLKAELEGLEEEAKRVDKQLELRSKRTDYYGGTLAGRHSVQDGELTICDMTFARALQTSVEMSRCILTKGFVHKFAKDFRPNYRLKSIMPIADNTEPYATDRVDLKNLPLFTVEGPLNMKNFEMLIKTSVEDSDVIHYFLRNGATVEVCTYFAPECEFNNMVIGHYGNQVHQNIGKRRFHDLVKNISDIGNLIAKGTAARLLQQKKELDERKAALAQQEANEERWLYNRESQLEDKKNKVEYYKRDLEEVRAKVENLDQDLKNYQELNKEKNAETDELDKHIAAIQRKKDNIAQDLSKLEKKIWKDREEKSTFQSGRGQKAYLDTREQTAQALRAIGREEKEKVSNFKPETEALEKQKEEIKELLKQREKLLRKRQESNGALEDQLDKAKLELKTRQKIQEQREASLQEKEEKMASIKTKLERVQREIDEMKDRADQQADRLQRSKEGSTERKASLEDLKARTENLEQNYITYELARRMKDWKQYQALEEKEEELTHLREEIYKLLENIKERKGETEKMLSISEEKREDYVRTGAVERLLKLRQSDPATAMQNIKGQKVIYYPNSRSFGASELLNMVDNKTVMKKDLFYQDKKAGIVRRLSVEEKDIPLRNDSNDFIIEVFASIVRPGTGEHLLALRQEPRLHSFEDSLVFQIAYFFNSKGSGNRGVIVNHKSSFPDVITQDRYSRFGQIIVQKIYM
+>sp|Q9NTJ3|SMC4_HUMAN Structural maintenance of chromosomes protein 4 OS=Homo sapiens OX=9606 GN=SMC4 PE=1 SV=2
+MPRKGTQPSTARRREEGPPPPSPDGASSDAEPEPPSGRTESPATAAETASEELDNRSLEEILNSIPPPPPPAMTNEAGAPRLMITHIVNQNFKSYAGEKILGPFHKRFSCIIGPNGSGKSNVIDSMLFVFGYRAQKIRSKKLSVLIHNSDEHKDIQSCTVEVHFQKIIDKEGDDYEVIPNSNFYVSRTACRDNTSVYHISGKKKTFKDVGNLLRSHGIDLDHNRFLILQGEVEQIAMMKPKGQTEHDEGMLEYLEDIIGCGRLNEPIKVLCRRVEILNEHRGEKLNRVKMVEKEKDALEGEKNIAIEFLTLENEIFRKKNHVCQYYIYELQKRIAEMETQKEKIHEDTKEINEKSNILSNEMKAKNKDVKDTEKKLNKITKFIEENKEKFTQLDLEDVQVREKLKHATSKAKKLEKQLQKDKEKVEEFKSIPAKSNNIINETTTRNNALEKEKEKEEKKLKEVMDSLKQETQGLQKEKESREKELMGFSKSVNEARSKMDVAQSELDIYLSRHNTAVSQLTKAKEALIAASETLKERKAAIRDIEGKLPQTEQELKEKEKELQKLTQEETNFKSLVHDLFQKVEEAKSSLAMNRSRGKVLDAIIQEKKSGRIPGIYGRLGDLGAIDEKYDVAISSCCHALDYIVVDSIDIAQECVNFLKRQNIGVATFIGLDKMAVWAKKMTEIQTPENTPRLFDLVKVKDEKIRQAFYFALRDTLVADNLDQATRVAYQKDRRWRVVTLQGQIIEQSGTMTGGGSKVMKGRMGSSLVIEISEEEVNKMESQLQNDSKKAMQIQEQKVQLEERVVKLRHSEREMRNTLEKFTASIQRLIEQEEYLNVQVKELEANVLATAPDKKKQKLLEENVSAFKTEYDAVAEKAGKVEAEVKRLHNTIVEINNHKLKAQQDKLDKINKQLDECASAITKAQVAIKTADRNLQKAQDSVLRTEKEIKDTEKEVDDLTAELKSLEDKAAEVVKNTNAAEESLPEIQKEHRNLLQELKVIQENEHALQKDALSIKLKLEQIDGHIAEHNSKIKYWHKEISKISLHPIEDNPIEEISVLSPEDLEAIKNPDSITNQIALLEARCHEMKPNLGAIAEYKKKEELYLQRVAELDKITYERDSFRQAYEDLRKQRLNEFMAGFYIITNKLKENYQMLTLGGDAELELVDSLDPFSEGIMFSVRPPKKSWKKIFNLSGGEKTLSSLALVFALHHYKPTPLYFMDEIDAALDFKNVSIVAFYIYEQTKNAQFIIISLRNNMFEISDRLIGIYKTYNITKSVAVNPKEIASKGLC
+>DECOY_sp|Q9NTJ3|SMC4_HUMAN Structural maintenance of chromosomes protein 4 OS=Homo sapiens OX=9606 GN=SMC4 PE=1 SV=2
+CLGKSAIEKPNVAVSKTINYTKYIGILRDSIEFMNNRLSIIIFQANKTQEYIYFAVISVNKFDLAADIEDMFYLPTPKYHHLAFVLALSSLTKEGGSLNFIKKWSKKPPRVSFMIGESFPDLSDVLELEADGGLTLMQYNEKLKNTIIYFGAMFENLRQKRLDEYAQRFSDREYTIKDLEAVRQLYLEEKKKYEAIAGLNPKMEHCRAELLAIQNTISDPNKIAELDEPSLVSIEEIPNDEIPHLSIKSIEKHWYKIKSNHEAIHGDIQELKLKISLADKQLAHENEQIVKLEQLLNRHEKQIEPLSEEAANTNKVVEAAKDELSKLEATLDDVEKETDKIEKETRLVSDQAKQLNRDATKIAVQAKTIASACEDLQKNIKDLKDQQAKLKHNNIEVITNHLRKVEAEVKGAKEAVADYETKFASVNEELLKQKKKDPATALVNAELEKVQVNLYEEQEILRQISATFKELTNRMERESHRLKVVREELQVKQEQIQMAKKSDNQLQSEMKNVEEESIEIVLSSGMRGKMVKSGGGTMTGSQEIIQGQLTVVRWRRDKQYAVRTAQDLNDAVLTDRLAFYFAQRIKEDKVKVLDFLRPTNEPTQIETMKKAWVAMKDLGIFTAVGINQRKLFNVCEQAIDISDVVIYDLAHCCSSIAVDYKEDIAGLDGLRGYIGPIRGSKKEQIIADLVKGRSRNMALSSKAEEVKQFLDHVLSKFNTEEQTLKQLEKEKEKLEQETQPLKGEIDRIAAKREKLTESAAILAEKAKTLQSVATNHRSLYIDLESQAVDMKSRAENVSKSFGMLEKERSEKEKQLGQTEQKLSDMVEKLKKEEKEKEKELANNRTTTENIINNSKAPISKFEEVKEKDKQLQKELKKAKSTAHKLKERVQVDELDLQTFKEKNEEIFKTIKNLKKETDKVDKNKAKMENSLINSKENIEKTDEHIKEKQTEMEAIRKQLEYIYYQCVHNKKRFIENELTLFEIAINKEGELADKEKEVMKVRNLKEGRHENLIEVRRCLVKIPENLRGCGIIDELYELMGEDHETQGKPKMMAIQEVEGQLILFRNHDLDIGHSRLLNGVDKFTKKKGSIHYVSTNDRCATRSVYFNSNPIVEYDDGEKDIIKQFHVEVTCSQIDKHEDSNHILVSLKKSRIKQARYGFVFLMSDIVNSKGSGNPGIICSFRKHFPGLIKEGAYSKFNQNVIHTIMLRPAGAENTMAPPPPPPISNLIEELSRNDLEESATEAATAPSETRGSPPEPEADSSAGDPSPPPPGEERRRATSPQTGKRPM
+>sp|P28370|SMCA1_HUMAN Probable global transcription activator SNF2L1 OS=Homo sapiens OX=9606 GN=SMARCA1 PE=1 SV=2
+MEQDTAAVAATVAAADATATIVVIEDEQPGPSTSQEEGAAAAATEATAATEKGEKKKEKNVSSFQLKLAAKAPKSEKEMDPEYEEKMKADRAKRFEFLLKQTELFAHFIQPSAQKSPTSPLNMKLGRPRIKKDEKQSLISAGDYRHRRTEQEEDEELLSESRKTSNVCIRFEVSPSYVKGGPLRDYQIRGLNWLISLYENGVNGILADEMGLGKTLQTIALLGYLKHYRNIPGPHMVLVPKSTLHNWMNEFKRWVPSLRVICFVGDKDARAAFIRDEMMPGEWDVCVTSYEMVIKEKSVFKKFHWRYLVIDEAHRIKNEKSKLSEIVREFKSTNRLLLTGTPLQNNLHELWALLNFLLPDVFNSADDFDSWFDTKNCLGDQKLVERLHAVLKPFLLRRIKTDVEKSLPPKKEIKIYLGLSKMQREWYTKILMKDIDVLNSSGKMDKMRLLNILMQLRKCCNHPYLFDGAEPGPPYTTDEHIVSNSGKMVVLDKLLAKLKEQGSRVLIFSQMTRLLDILEDYCMWRGYEYCRLDGQTPHEEREDKFLEVEFLGQREAIEAFNAPNSSKFIFMLSTRAGGLGINLASADVVILYDSDWNPQVDLQAMDRAHRIGQKKPVRVFRLITDNTVEERIVERAEIKLRLDSIVIQQGRLIDQQSNKLAKEEMLQMIRHGATHVFASKESELTDEDITTILERGEKKTAEMNERLQKMGESSLRNFRMDIEQSLYKFEGEDYREKQKLGMVEWIEPPKRERKANYAVDAYFREALRVSEPKIPKAPRPPKQPNVQDFQFFPPRLFELLEKEILYYRKTIGYKVPRNPDIPNPALAQREEQKKIDGAEPLTPEETEEKEKLLTQGFTNWTKRDFNQFIKANEKYGRDDIDNIAREVEGKSPEEVMEYSAVFWERCNELQDIEKIMAQIERGEARIQRRISIKKALDAKIARYKAPFHQLRIQYGTSKGKNYTEEEDRFLICMLHKMGFDRENVYEELRQCVRNAPQFRFDWFIKSRTAMEFQRRCNTLISLIEKENMEIEERERAEKKKRATKTPMVKFSAFS
+>DECOY_sp|P28370|SMCA1_HUMAN Probable global transcription activator SNF2L1 OS=Homo sapiens OX=9606 GN=SMARCA1 PE=1 SV=2
+SFASFKVMPTKTARKKKEAREREEIEMNEKEILSILTNCRRQFEMATRSKIFWDFRFQPANRVCQRLEEYVNERDFGMKHLMCILFRDEEETYNKGKSTGYQIRLQHFPAKYRAIKADLAKKISIRRQIRAEGREIQAMIKEIDQLENCREWFVASYEMVEEPSKGEVERAINDIDDRGYKENAKIFQNFDRKTWNTFGQTLLKEKEETEEPTLPEAGDIKKQEERQALAPNPIDPNRPVKYGITKRYYLIEKELLEFLRPPFFQFDQVNPQKPPRPAKPIKPESVRLAERFYADVAYNAKRERKPPEIWEVMGLKQKERYDEGEFKYLSQEIDMRFNRLSSEGMKQLRENMEATKKEGRELITTIDEDTLESEKSAFVHTAGHRIMQLMEEKALKNSQQDILRGQQIVISDLRLKIEAREVIREEVTNDTILRFVRVPKKQGIRHARDMAQLDVQPNWDSDYLIVVDASALNIGLGGARTSLMFIFKSSNPANFAEIAERQGLFEVELFKDEREEHPTQGDLRCYEYGRWMCYDELIDLLRTMQSFILVRSGQEKLKALLKDLVVMKGSNSVIHEDTTYPPGPEAGDFLYPHNCCKRLQMLINLLRMKDMKGSSNLVDIDKMLIKTYWERQMKSLGLYIKIEKKPPLSKEVDTKIRRLLFPKLVAHLREVLKQDGLCNKTDFWSDFDDASNFVDPLLFNLLAWLEHLNNQLPTGTLLLRNTSKFERVIESLKSKENKIRHAEDIVLYRWHFKKFVSKEKIVMEYSTVCVDWEGPMMEDRIFAARADKDGVFCIVRLSPVWRKFENMWNHLTSKPVLVMHPGPINRYHKLYGLLAITQLTKGLGMEDALIGNVGNEYLSILWNLGRIQYDRLPGGKVYSPSVEFRICVNSTKRSESLLEEDEEQETRRHRYDGASILSQKEDKKIRPRGLKMNLPSTPSKQASPQIFHAFLETQKLLFEFRKARDAKMKEEYEPDMEKESKPAKAALKLQFSSVNKEKKKEGKETAATAETAAAAAGEEQSTSPGPQEDEIVVITATADAAAVTAAVAATDQEM
+>sp|P51532|SMCA4_HUMAN Transcription activator BRG1 OS=Homo sapiens OX=9606 GN=SMARCA4 PE=1 SV=2
+MSTPDPPLGGTPRPGPSPGPGPSPGAMLGPSPGPSPGSAHSMMGPSPGPPSAGHPIPTQGPGGYPQDNMHQMHKPMESMHEKGMSDDPRYNQMKGMGMRSGGHAGMGPPPSPMDQHSQGYPSPLGGSEHASSPVPASGPSSGPQMSSGPGGAPLDGADPQALGQQNRGPTPFNQNQLHQLRAQIMAYKMLARGQPLPDHLQMAVQGKRPMPGMQQQMPTLPPPSVSATGPGPGPGPGPGPGPGPAPPNYSRPHGMGGPNMPPPGPSGVPPGMPGQPPGGPPKPWPEGPMANAAAPTSTPQKLIPPQPTGRPSPAPPAVPPAASPVMPPQTQSPGQPAQPAPMVPLHQKQSRITPIQKPRGLDPVEILQEREYRLQARIAHRIQELENLPGSLAGDLRTKATIELKALRLLNFQRQLRQEVVVCMRRDTALETALNAKAYKRSKRQSLREARITEKLEKQQKIEQERKRRQKHQEYLNSILQHAKDFKEYHRSVTGKIQKLTKAVATYHANTEREQKKENERIEKERMRRLMAEDEEGYRKLIDQKKDKRLAYLLQQTDEYVANLTELVRQHKAAQVAKEKKKKKKKKKAENAEGQTPAIGPDGEPLDETSQMSDLPVKVIHVESGKILTGTDAPKAGQLEAWLEMNPGYEVAPRSDSEESGSEEEEEEEEEEQPQAAQPPTLPVEEKKKIPDPDSDDVSEVDARHIIENAKQDVDDEYGVSQALARGLQSYYAVAHAVTERVDKQSALMVNGVLKQYQIKGLEWLVSLYNNNLNGILADEMGLGKTIQTIALITYLMEHKRINGPFLIIVPLSTLSNWAYEFDKWAPSVVKVSYKGSPAARRAFVPQLRSGKFNVLLTTYEYIIKDKHILAKIRWKYMIVDEGHRMKNHHCKLTQVLNTHYVAPRRLLLTGTPLQNKLPELWALLNFLLPTIFKSCSTFEQWFNAPFAMTGEKVDLNEEETILIIRRLHKVLRPFLLRRLKKEVEAQLPEKVEYVIKCDMSALQRVLYRHMQAKGVLLTDGSEKDKKGKGGTKTLMNTIMQLRKICNHPYMFQHIEESFSEHLGFTGGIVQGLDLYRASGKFELLDRILPKLRATNHKVLLFCQMTSLMTIMEDYFAYRGFKYLRLDGTTKAEDRGMLLKTFNEPGSEYFIFLLSTRAGGLGLNLQSADTVIIFDSDWNPHQDLQAQDRAHRIGQQNEVRVLRLCTVNSVEEKILAAAKYKLNVDQKVIQAGMFDQKSSSHERRAFLQAILEHEEQDESRHCSTGSGSASFAHTAPPPAGVNPDLEEPPLKEEDEVPDDETVNQMIARHEEEFDLFMRMDLDRRREEARNPKRKPRLMEEDELPSWIIKDDAEVERLTCEEEEEKMFGRGSRHRKEVDYSDSLTEKQWLKAIEEGTLEEIEEEVRQKKSSRKRKRDSDAGSSTPTTSTRSRDKDDESKKQKKRGRPPAEKLSPNPPNLTKKMKKIVDAVIKYKDSSSGRQLSEVFIQLPSRKELPEYYELIRKPVDFKKIKERIRNHKYRSLNDLEKDVMLLCQNAQTFNLEGSLIYEDSIVLQSVFTSVRQKIEKEDDSEGEESEEEEEGEEEGSESESRSVKVKIKLGRKEKAQDRLKGGRRRPSRGSRAKPVVSDDDSEEEQEEDRSGSGSEED
+>DECOY_sp|P51532|SMCA4_HUMAN Transcription activator BRG1 OS=Homo sapiens OX=9606 GN=SMARCA4 PE=1 SV=2
+DEESGSGSRDEEQEEESDDDSVVPKARSGRSPRRRGGKLRDQAKEKRGLKIKVKVSRSESESGEEEGEEEEESEEGESDDEKEIKQRVSTFVSQLVISDEYILSGELNFTQANQCLLMVDKELDNLSRYKHNRIREKIKKFDVPKRILEYYEPLEKRSPLQIFVESLQRGSSSDKYKIVADVIKKMKKTLNPPNPSLKEAPPRGRKKQKKSEDDKDRSRTSTTPTSSGADSDRKRKRSSKKQRVEEEIEELTGEEIAKLWQKETLSDSYDVEKRHRSGRGFMKEEEEECTLREVEADDKIIWSPLEDEEMLRPKRKPNRAEERRRDLDMRMFLDFEEEHRAIMQNVTEDDPVEDEEKLPPEELDPNVGAPPPATHAFSASGSGTSCHRSEDQEEHELIAQLFARREHSSSKQDFMGAQIVKQDVNLKYKAAALIKEEVSNVTCLRLVRVENQQGIRHARDQAQLDQHPNWDSDFIIVTDASQLNLGLGGARTSLLFIFYESGPENFTKLLMGRDEAKTTGDLRLYKFGRYAFYDEMITMLSTMQCFLLVKHNTARLKPLIRDLLEFKGSARYLDLGQVIGGTFGLHESFSEEIHQFMYPHNCIKRLQMITNMLTKTGGKGKKDKESGDTLLVGKAQMHRYLVRQLASMDCKIVYEVKEPLQAEVEKKLRRLLFPRLVKHLRRIILITEEENLDVKEGTMAFPANFWQEFTSCSKFITPLLFNLLAWLEPLKNQLPTGTLLLRRPAVYHTNLVQTLKCHHNKMRHGEDVIMYKWRIKALIHKDKIIYEYTTLLVNFKGSRLQPVFARRAAPSGKYSVKVVSPAWKDFEYAWNSLTSLPVIILFPGNIRKHEMLYTILAITQITKGLGMEDALIGNLNNNYLSVLWELGKIQYQKLVGNVMLASQKDVRETVAHAVAYYSQLGRALAQSVGYEDDVDQKANEIIHRADVESVDDSDPDPIKKKEEVPLTPPQAAQPQEEEEEEEEEESGSEESDSRPAVEYGPNMELWAELQGAKPADTGTLIKGSEVHIVKVPLDSMQSTEDLPEGDPGIAPTQGEANEAKKKKKKKKKEKAVQAAKHQRVLETLNAVYEDTQQLLYALRKDKKQDILKRYGEEDEAMLRRMREKEIRENEKKQERETNAHYTAVAKTLKQIKGTVSRHYEKFDKAHQLISNLYEQHKQRRKREQEIKQQKELKETIRAERLSQRKSRKYAKANLATELATDRRMCVVVEQRLQRQFNLLRLAKLEITAKTRLDGALSGPLNELEQIRHAIRAQLRYEREQLIEVPDLGRPKQIPTIRSQKQHLPVMPAPQAPQGPSQTQPPMVPSAAPPVAPPAPSPRGTPQPPILKQPTSTPAAANAMPGEPWPKPPGGPPQGPMGPPVGSPGPPPMNPGGMGHPRSYNPPAPGPGPGPGPGPGPGPGTASVSPPPLTPMQQQMGPMPRKGQVAMQLHDPLPQGRALMKYAMIQARLQHLQNQNFPTPGRNQQGLAQPDAGDLPAGGPGSSMQPGSSPGSAPVPSSAHESGGLPSPYGQSHQDMPSPPPGMGAHGGSRMGMGKMQNYRPDDSMGKEHMSEMPKHMQHMNDQPYGGPGQTPIPHGASPPGPSPGMMSHASGPSPGPSPGLMAGPSPGPGPSPGPRPTGGLPPDPTSM
+>sp|A2RU48|SMCO3_HUMAN Single-pass membrane and coiled-coil domain-containing protein 3 OS=Homo sapiens OX=9606 GN=SMCO3 PE=1 SV=1
+MAQSDFLYPENPKRREEVNRLHQQLLDCLSDSFDVTNKLTEVLNMHLGCRLASIEMKRDGTIKENCDLIIQAIMKIQKELQKVDEALKDKLEPTLYRKLQDIKEKETDKIAIVQKVISVILGEATSAASAVAVKLVGSNVTTGIINKLVTVLAQIGASLLGSIGVAVLGLGIDMIVRAILGAVEKTQLQAAIKSYEKHLVEFKSASEKYNHAITEVINTVKHQMK
+>DECOY_sp|A2RU48|SMCO3_HUMAN Single-pass membrane and coiled-coil domain-containing protein 3 OS=Homo sapiens OX=9606 GN=SMCO3 PE=1 SV=1
+KMQHKVTNIVETIAHNYKESASKFEVLHKEYSKIAAQLQTKEVAGLIARVIMDIGLGLVAVGISGLLSAGIQALVTVLKNIIGTTVNSGVLKVAVASAASTAEGLIVSIVKQVIAIKDTEKEKIDQLKRYLTPELKDKLAEDVKQLEKQIKMIAQIILDCNEKITGDRKMEISALRCGLHMNLVETLKNTVDFSDSLCDLLQQHLRNVEERRKPNEPYLFDSQAM
+>sp|Q9NRQ5|SMCO4_HUMAN Single-pass membrane and coiled-coil domain-containing protein 4 OS=Homo sapiens OX=9606 GN=SMCO4 PE=1 SV=1
+MRQLKGKPKKETSKDKKERKQAMQEARQQITTVVLPTLAVVVLLIVVFVYVATRPTITE
+>DECOY_sp|Q9NRQ5|SMCO4_HUMAN Single-pass membrane and coiled-coil domain-containing protein 4 OS=Homo sapiens OX=9606 GN=SMCO4 PE=1 SV=1
+ETITPRTAVYVFVVILLVVVALTPLVVTTIQQRAEQMAQKREKKDKSTEKKPKGKLQRM
+>sp|Q71RC9|SMIM5_HUMAN Small integral membrane protein 5 OS=Homo sapiens OX=9606 GN=SMIM5 PE=1 SV=2
+MAATDFVQEMRAVGERLLLKLQRLPQAEPVEIVAFSVIILFTATVLLLLLIACSCCCTHCCCPERRGRKVQVQPTPP
+>DECOY_sp|Q71RC9|SMIM5_HUMAN Small integral membrane protein 5 OS=Homo sapiens OX=9606 GN=SMIM5 PE=1 SV=2
+PPTPQVQVKRGRREPCCCHTCCCSCAILLLLLVTATFLIIVSFAVIEVPEAQPLRQLKLLLREGVARMEQVFDTAAM
+>sp|Q16637|SMN_HUMAN Survival motor neuron protein OS=Homo sapiens OX=9606 GN=SMN1 PE=1 SV=1
+MAMSSGGSGGGVPEQEDSVLFRRGTGQSDDSDIWDDTALIKAYDKAVASFKHALKNGDICETSGKPKTTPKRKPAKKNKSQKKNTAASLQQWKVGDKCSAIWSEDGCIYPATIASIDFKRETCVVVYTGYGNREEQNLSDLLSPICEVANNIEQNAQENENESQVSTDESENSRSPGNKSDNIKPKSAPWNSFLPPPPPMPGPRLGPGKPGLKFNGPPPPPPPPPPHLLSCWLPPFPSGPPIIPPPPPICPDSLDDADALGSMLISWYMSGYHTGYYMGFRQNQKEGRCSHSLN
+>DECOY_sp|Q16637|SMN_HUMAN Survival motor neuron protein OS=Homo sapiens OX=9606 GN=SMN1 PE=1 SV=1
+NLSHSCRGEKQNQRFGMYYGTHYGSMYWSILMSGLADADDLSDPCIPPPPPIIPPGSPFPPLWCSLLHPPPPPPPPPPGNFKLGPKGPGLRPGPMPPPPPLFSNWPASKPKINDSKNGPSRSNESEDTSVQSENENEQANQEINNAVECIPSLLDSLNQEERNGYGTYVVVCTERKFDISAITAPYICGDESWIASCKDGVKWQQLSAATNKKQSKNKKAPKRKPTTKPKGSTECIDGNKLAHKFSAVAKDYAKILATDDWIDSDDSQGTGRRFLVSDEQEPVGGGSGGSSMAM
+>sp|Q8NHU3|SMS2_HUMAN Phosphatidylcholine:ceramide cholinephosphotransferase 2 OS=Homo sapiens OX=9606 GN=SGMS2 PE=1 SV=1
+MDIIETAKLEEHLENQPSDPTNTYARPAEPVEEENKNGNGKPKSLSSGLRKGTKKYPDYIQIAMPTESRNKFPLEWWKTGIAFIYAVFNLVLTTVMITVVHERVPPKELSPPLPDKFFDYIDRVKWAFSVSEINGIILVGLWITQWLFLRYKSIVGRRFCFIIGTLYLYRCITMYVTTLPVPGMHFQCAPKLNGDSQAKVQRILRLISGGGLSITGSHILCGDFLFSGHTVTLTLTYLFIKEYSPRHFWWYHLICWLLSAAGIICILVAHEHYTIDVIIAYYITTRLFWWYHSMANEKNLKVSSQTNFLSRAWWFPIFYFFEKNVQGSIPCCFSWPLSWPPGCFKSSCKKYSRVQKIGEDNEKST
+>DECOY_sp|Q8NHU3|SMS2_HUMAN Phosphatidylcholine:ceramide cholinephosphotransferase 2 OS=Homo sapiens OX=9606 GN=SGMS2 PE=1 SV=1
+TSKENDEGIKQVRSYKKCSSKFCGPPWSLPWSFCCPISGQVNKEFFYFIPFWWARSLFNTQSSVKLNKENAMSHYWWFLRTTIYYAIIVDITYHEHAVLICIIGAASLLWCILHYWWFHRPSYEKIFLYTLTLTVTHGSFLFDGCLIHSGTISLGGGSILRLIRQVKAQSDGNLKPACQFHMGPVPLTTVYMTICRYLYLTGIIFCFRRGVISKYRLFLWQTIWLGVLIIGNIESVSFAWKVRDIYDFFKDPLPPSLEKPPVREHVVTIMVTTLVLNFVAYIFAIGTKWWELPFKNRSETPMAIQIYDPYKKTGKRLGSSLSKPKGNGNKNEEEVPEAPRAYTNTPDSPQNELHEELKATEIIDM
+>sp|Q2TAL5|SMTL2_HUMAN Smoothelin-like protein 2 OS=Homo sapiens OX=9606 GN=SMTNL2 PE=2 SV=1
+MEPAPDAQEARTVREALGRYEAALEGAVRALHEDMRGLQRGVERRVAEAMRLAGPLARTVADLQRDNQRLQAQLERLTRQVEALGLASGMSPVPGTPGTPSPPPAPGVPDRAPRLGSARFASHATFSLSGRGQSLDHDEASESEMRKTSNSCIMENGHQPGAGPGDGPPEIAQNFSAPDPPRPRPVSLSLRLPHQPVTAITRVSDRFSGETSAAALSPMSAATLGGLNPSPSEVITPWTPSPSEKNSSFTWSVPSSGYGAVTASKHSNSPPLVTPPQSPVSPQPPAITQVHRQGERRRELVRSQTLPRTSEAQARKALFEKWEQETAAGKGKGEARARLKRSQSFGVASASSIKQILLEWCRSKTLGYQHVDLQNFSSSWSDGMAFCALVHSFFPDAFDYNSLSPTQRQKNFELAFTMAENLANCERLIEVEDMMVMGRKPDPMCVFTYVQSLYNHLRRFE
+>DECOY_sp|Q2TAL5|SMTL2_HUMAN Smoothelin-like protein 2 OS=Homo sapiens OX=9606 GN=SMTNL2 PE=2 SV=1
+EFRRLHNYLSQVYTFVCMPDPKRGMVMMDEVEILRECNALNEAMTFALEFNKQRQTPSLSNYDFADPFFSHVLACFAMGDSWSSSFNQLDVHQYGLTKSRCWELLIQKISSASAVGFSQSRKLRARAEGKGKGAATEQEWKEFLAKRAQAESTRPLTQSRVLERRREGQRHVQTIAPPQPSVPSQPPTVLPPSNSHKSATVAGYGSSPVSWTFSSNKESPSPTWPTIVESPSPNLGGLTAASMPSLAAASTEGSFRDSVRTIATVPQHPLRLSLSVPRPRPPDPASFNQAIEPPGDGPGAGPQHGNEMICSNSTKRMESESAEDHDLSQGRGSLSFTAHSAFRASGLRPARDPVGPAPPPSPTGPTGPVPSMGSALGLAEVQRTLRELQAQLRQNDRQLDAVTRALPGALRMAEAVRREVGRQLGRMDEHLARVAGELAAEYRGLAERVTRAEQADPAPEM
+>sp|Q9HAU4|SMUF2_HUMAN E3 ubiquitin-protein ligase SMURF2 OS=Homo sapiens OX=9606 GN=SMURF2 PE=1 SV=1
+MSNPGGRRNGPVKLRLTVLCAKNLVKKDFFRLPDPFAKVVVDGSGQCHSTDTVKNTLDPKWNQHYDLYIGKSDSVTISVWNHKKIHKKQGAGFLGCVRLLSNAINRLKDTGYQRLDLCKLGPNDNDTVRGQIVVSLQSRDRIGTGGQVVDCSRLFDNDLPDGWEERRTASGRIQYLNHITRTTQWERPTRPASEYSSPGRPLSCFVDENTPISGTNGATCGQSSDPRLAERRVRSQRHRNYMSRTHLHTPPDLPEGYEQRTTQQGQVYFLHTQTGVSTWHDPRVPRDLSNINCEELGPLPPGWEIRNTATGRVYFVDHNNRTTQFTDPRLSANLHLVLNRQNQLKDQQQQQVVSLCPDDTECLTVPRYKRDLVQKLKILRQELSQQQPQAGHCRIEVSREEIFEESYRQVMKMRPKDLWKRLMIKFRGEEGLDYGGVAREWLYLLSHEMLNPYYGLFQYSRDDIYTLQINPDSAVNPEHLSYFHFVGRIMGMAVFHGHYIDGGFTLPFYKQLLGKSITLDDMELVDPDLHNSLVWILENDITGVLDHTFCVEHNAYGEIIQHELKPNGKSIPVNEENKKEYVRLYVNWRFLRGIEAQFLALQKGFNEVIPQHLLKTFDEKELELIICGLGKIDVNDWKVNTRLKHCTPDSNIVKWFWKAVEFFDEERRARLLQFVTGSSRVPLQGFKALQGAAGPRLFTIHQIDACTNNLPKAHTCFNRIDIPPYESYEKLYEKLLTAIEETCGFAVE
+>DECOY_sp|Q9HAU4|SMUF2_HUMAN E3 ubiquitin-protein ligase SMURF2 OS=Homo sapiens OX=9606 GN=SMURF2 PE=1 SV=1
+EVAFGCTEEIATLLKEYLKEYSEYPPIDIRNFCTHAKPLNNTCADIQHITFLRPGAAGQLAKFGQLPVRSSGTVFQLLRARREEDFFEVAKWFWKVINSDPTCHKLRTNVKWDNVDIKGLGCIILELEKEDFTKLLHQPIVENFGKQLALFQAEIGRLFRWNVYLRVYEKKNEENVPISKGNPKLEHQIIEGYANHEVCFTHDLVGTIDNELIWVLSNHLDPDVLEMDDLTISKGLLQKYFPLTFGGDIYHGHFVAMGMIRGVFHFYSLHEPNVASDPNIQLTYIDDRSYQFLGYYPNLMEHSLLYLWERAVGGYDLGEEGRFKIMLRKWLDKPRMKMVQRYSEEFIEERSVEIRCHGAQPQQQSLEQRLIKLKQVLDRKYRPVTLCETDDPCLSVVQQQQQDKLQNQRNLVLHLNASLRPDTFQTTRNNHDVFYVRGTATNRIEWGPPLPGLEECNINSLDRPVRPDHWTSVGTQTHLFYVQGQQTTRQEYGEPLDPPTHLHTRSMYNRHRQSRVRREALRPDSSQGCTAGNTGSIPTNEDVFCSLPRGPSSYESAPRTPREWQTTRTIHNLYQIRGSATRREEWGDPLDNDFLRSCDVVQGGTGIRDRSQLSVVIQGRVTDNDNPGLKCLDLRQYGTDKLRNIANSLLRVCGLFGAGQKKHIKKHNWVSITVSDSKGIYLDYHQNWKPDLTNKVTDTSHCQGSGDVVVKAFPDPLRFFDKKVLNKACLVTLRLKVPGNRRGGPNSM
+>sp|Q53HV7|SMUG1_HUMAN Single-strand selective monofunctional uracil DNA glycosylase OS=Homo sapiens OX=9606 GN=SMUG1 PE=1 SV=2
+MPQAFLLGSIHEPAGALMEPQPCPGSLAESFLEEELRLNAELSQLQFSEPVGIIYNPVEYAWEPHRNYVTRYCQGPKEVLFLGMNPGPFGMAQTGVPFGEVSMVRDWLGIVGPVLTPPQEHPKRPVLGLECPQSEVSGARFWGFFRNLCGQPEVFFHHCFVHNLCPLLFLAPSGRNLTPAELPAKQREQLLGICDAALCRQVQLLGVRLVVGVGRLAEQRARRALAGLMPEVQVEGLLHPSPRNPQANKGWEAVAKERLNELGLLPLLLK
+>DECOY_sp|Q53HV7|SMUG1_HUMAN Single-strand selective monofunctional uracil DNA glycosylase OS=Homo sapiens OX=9606 GN=SMUG1 PE=1 SV=2
+KLLLPLLGLENLREKAVAEWGKNAQPNRPSPHLLGEVQVEPMLGALARRARQEALRGVGVVLRVGLLQVQRCLAADCIGLLQERQKAPLEAPTLNRGSPALFLLPCLNHVFCHHFFVEPQGCLNRFFGWFRAGSVESQPCELGLVPRKPHEQPPTLVPGVIGLWDRVMSVEGFPVGTQAMGFPGPNMGLFLVEKPGQCYRTVYNRHPEWAYEVPNYIIGVPESFQLQSLEANLRLEEELFSEALSGPCPQPEMLAGAPEHISGLLFAQPM
+>sp|Q96H20|SNF8_HUMAN Vacuolar-sorting protein SNF8 OS=Homo sapiens OX=9606 GN=SNF8 PE=1 SV=1
+MHRRGVGAGAIAKKKLAEAKYKERGTVLAEDQLAQMSKQLDMFKTNLEEFASKHKQEIRKNPEFRVQFQDMCATIGVDPLASGKGFWSEMLGVGDFYYELGVQIIEVCLALKHRNGGLITLEELHQQVLKGRGKFAQDVSQDDLIRAIKKLKALGTGFGIIPVGGTYLIQSVPAELNMDHTVVLQLAEKNGYVTVSEIKASLKWETERARQVLEHLLKEGLAWLDLQAPGEAHYWLPALFTDLYSQEITAEEAREALP
+>DECOY_sp|Q96H20|SNF8_HUMAN Vacuolar-sorting protein SNF8 OS=Homo sapiens OX=9606 GN=SNF8 PE=1 SV=1
+PLAERAEEATIEQSYLDTFLAPLWYHAEGPAQLDLWALGEKLLHELVQRARETEWKLSAKIESVTVYGNKEALQLVVTHDMNLEAPVSQILYTGGVPIIGFGTGLAKLKKIARILDDQSVDQAFKGRGKLVQQHLEELTILGGNRHKLALCVEIIQVGLEYYFDGVGLMESWFGKGSALPDVGITACMDQFQVRFEPNKRIEQKHKSAFEELNTKFMDLQKSMQALQDEALVTGREKYKAEALKKKAIAGAGVGRRHM
+>sp|O43759|SNG1_HUMAN Synaptogyrin-1 OS=Homo sapiens OX=9606 GN=SYNGR1 PE=1 SV=2
+MEGGAYGAGKAGGAFDPYTLVRQPHTILRVVSWLFSIVVFGSIVNEGYLNSASEGEEFCIYNRNPNACSYGVAVGVLAFLTCLLYLALDVYFPQISSVKDRKKAVLSDIGVSAFWAFLWFVGFCYLANQWQVSKPKDNPLNEGTDAARAAIAFSFFSIFTWAGQAVLAFQRYQIGADSALFSQDYMDPSQDSSMPYAPYVEPTGPDPAGMGGTYQQPANTFDTEPQGYQSQGY
+>DECOY_sp|O43759|SNG1_HUMAN Synaptogyrin-1 OS=Homo sapiens OX=9606 GN=SYNGR1 PE=1 SV=2
+YGQSQYGQPETDFTNAPQQYTGGMGAPDPGTPEVYPAYPMSSDQSPDMYDQSFLASDAGIQYRQFALVAQGAWTFISFFSFAIAARAADTGENLPNDKPKSVQWQNALYCFGVFWLFAWFASVGIDSLVAKKRDKVSSIQPFYVDLALYLLCTLFALVGVAVGYSCANPNRNYICFEEGESASNLYGENVISGFVVISFLWSVVRLITHPQRVLTYPDFAGGAKGAGYAGGEM
+>sp|O43760|SNG2_HUMAN Synaptogyrin-2 OS=Homo sapiens OX=9606 GN=SYNGR2 PE=1 SV=1
+MESGAYGAAKAGGSFDLRRFLTQPQVVARAVCLVFALIVFSCIYGEGYSNAHESKQMYCVFNRNEDACRYGSAIGVLAFLASAFFLVVDAYFPQISNATDRKYLVIGDLLFSALWTFLWFVGFCFLTNQWAVTNPKDVLVGADSVRAAITFSFFSIFSWGVLASLAYQRYKAGVDDFIQNYVDPTPDPNTAYASYPGASVDNYQQPPFTQNAETTEGYQPPPVY
+>DECOY_sp|O43760|SNG2_HUMAN Synaptogyrin-2 OS=Homo sapiens OX=9606 GN=SYNGR2 PE=1 SV=1
+YVPPPQYGETTEANQTFPPQQYNDVSAGPYSAYATNPDPTPDVYNQIFDDVGAKYRQYALSALVGWSFISFFSFTIAARVSDAGVLVDKPNTVAWQNTLFCFGVFWLFTWLASFLLDGIVLYKRDTANSIQPFYADVVLFFASALFALVGIASGYRCADENRNFVCYMQKSEHANSYGEGYICSFVILAFVLCVARAVVQPQTLFRRLDFSGGAKAAGYAGSEM
+>sp|Q9BXW3|SNH12_HUMAN Putative uncharacterized protein SNHG12 OS=Homo sapiens OX=9606 GN=SNHG12 PE=5 SV=1
+MQGTWLPPSFLAVCDTEEVSLFLELCFKIHVTCKAVLICDYGPMELGQSLWEAEGKDPGHFR
+>DECOY_sp|Q9BXW3|SNH12_HUMAN Putative uncharacterized protein SNHG12 OS=Homo sapiens OX=9606 GN=SNHG12 PE=5 SV=1
+RFHGPDKGEAEWLSQGLEMPGYDCILVAKCTVHIKFCLELFLSVEETDCVALFSPPLWTGQM
+>sp|O95721|SNP29_HUMAN Synaptosomal-associated protein 29 OS=Homo sapiens OX=9606 GN=SNAP29 PE=1 SV=1
+MSAYPKSYNPFDDDGEDEGARPAPWRDARDLPDGPDAPADRQQYLRQEVLRRAEATAASTSRSLALMYESEKVGVASSEELARQRGVLERTEKMVDKMDQDLKISQKHINSIKSVFGGLVNYFKSKPVETPPEQNGTLTSQPNNRLKEAISTSKEQEAKYQASHPNLRKLDDTDPVPRGAGSAMSTDAYPKNPHLRAYHQKIDSNLDELSMGLGRLKDIALGMQTEIEEQDDILDRLTTKVDKLDVNIKSTERKVRQL
+>DECOY_sp|O95721|SNP29_HUMAN Synaptosomal-associated protein 29 OS=Homo sapiens OX=9606 GN=SNAP29 PE=1 SV=1
+LQRVKRETSKINVDLKDVKTTLRDLIDDQEEIETQMGLAIDKLRGLGMSLEDLNSDIKQHYARLHPNKPYADTSMASGAGRPVPDTDDLKRLNPHSAQYKAEQEKSTSIAEKLRNNPQSTLTGNQEPPTEVPKSKFYNVLGGFVSKISNIHKQSIKLDQDMKDVMKETRELVGRQRALEESSAVGVKESEYMLALSRSTSAATAEARRLVEQRLYQQRDAPADPGDPLDRADRWPAPRAGEDEGDDDFPNYSKPYASM
+>sp|A6NMZ2|SNTAN_HUMAN Sentan OS=Homo sapiens OX=9606 GN=SNTN PE=2 SV=1
+MGGCMHSTQDKSLHLEGDPNPSAAPTSTCAPRKMPKRISISKQLASVKALRKCSDLEKAIATTALIFRNSSDSDGKLEKAIAKDLLQTQFRNFAEGQETKPKYREILSELDEHTENKLDFEDFMILLLSITVMSDLLQNIRNVKIMK
+>DECOY_sp|A6NMZ2|SNTAN_HUMAN Sentan OS=Homo sapiens OX=9606 GN=SNTN PE=2 SV=1
+KMIKVNRINQLLDSMVTISLLLIMFDEFDLKNETHEDLESLIERYKPKTEQGEAFNRFQTQLLDKAIAKELKGDSDSSNRFILATTAIAKELDSCKRLAKVSALQKSISIRKPMKRPACTSTPAASPNPDGELHLSKDQTSHMCGGM
+>sp|Q9Y5X0|SNX10_HUMAN Sorting nexin-10 OS=Homo sapiens OX=9606 GN=SNX10 PE=1 SV=2
+MFPEQQKEEFVSVWVRDPRIQKEDFWHSYIDYEICIHTNSMCFTMKTSCVRRRYREFVWLRQRLQSNALLVQLPELPSKNLFFNMNNRQHVDQRRQGLEDFLRKVLQNALLLSDSSLHLFLQSHLNSEDIEACVSGQTKYSVEEAIHKFALMNRRFPEEDEEGKKENDIDYDSESSSSGLGHSSDDSSSHGCKVNTAPQES
+>DECOY_sp|Q9Y5X0|SNX10_HUMAN Sorting nexin-10 OS=Homo sapiens OX=9606 GN=SNX10 PE=1 SV=2
+SEQPATNVKCGHSSSDDSSHGLGSSSSESDYDIDNEKKGEEDEEPFRRNMLAFKHIAEEVSYKTQGSVCAEIDESNLHSQLFLHLSSDSLLLANQLVKRLFDELGQRRQDVHQRNNMNFFLNKSPLEPLQVLLANSQLRQRLWVFERYRRRVCSTKMTFCMSNTHICIEYDIYSHWFDEKQIRPDRVWVSVFEEKQQEPFM
+>sp|P57768|SNX16_HUMAN Sorting nexin-16 OS=Homo sapiens OX=9606 GN=SNX16 PE=1 SV=2
+MATPYVPVPMPIGNSASSFTTNRNQRSSSFGSVSTSSNSSKGQLEDSNMGNFKQTSVPDQMDNTSSVCSSPLIRTKFTGTASSIEYSTRPRDTEEQNPETVNWEDRPSTPTILGYEVMEERAKFTVYKILVKKTPEESWVVFRRYTDFSRLNDKLKEMFPGFRLALPPKRWFKDNYNADFLEDRQLGLQAFLQNLVAHKDIANCLAVREFLCLDDPPGPFDSLEESRAFCETLEETNYRLQKELLEKQKEMESLKKLLSEKQLHIDTLENRIRTLSLEPEESLDVSETEGEQILKVESSALEVDQDVLDEESRADNKPCLSFSEPENAVSEIEVAEVAYDAEED
+>DECOY_sp|P57768|SNX16_HUMAN Sorting nexin-16 OS=Homo sapiens OX=9606 GN=SNX16 PE=1 SV=2
+DEEADYAVEAVEIESVANEPESFSLCPKNDARSEEDLVDQDVELASSEVKLIQEGETESVDLSEEPELSLTRIRNELTDIHLQKESLLKKLSEMEKQKELLEKQLRYNTEELTECFARSEELSDFPGPPDDLCLFERVALCNAIDKHAVLNQLFAQLGLQRDELFDANYNDKFWRKPPLALRFGPFMEKLKDNLRSFDTYRRFVVWSEEPTKKVLIKYVTFKAREEMVEYGLITPTSPRDEWNVTEPNQEETDRPRTSYEISSATGTFKTRILPSSCVSSTNDMQDPVSTQKFNGMNSDELQGKSSNSSTSVSGFSSSRQNRNTTFSSASNGIPMPVPVYPTAM
+>sp|Q15036|SNX17_HUMAN Sorting nexin-17 OS=Homo sapiens OX=9606 GN=SNX17 PE=1 SV=1
+MHFSIPETESRSGDSGGSAYVAYNIHVNGVLHCRVRYSQLLGLHEQLRKEYGANVLPAFPPKKLFSLTPAEVEQRREQLEKYMQAVRQDPLLGSSETFNSFLRRAQQETQQVPTEEVSLEVLLSNGQKVLVNVLTSDQTEDVLEAVAAKLDLPDDLIGYFSLFLVREKEDGAFSFVRKLQEFELPYVSVTSLRSQEYKIVLRKSYWDSAYDDDVMENRVGLNLLYAQTVSDIERGWILVTKEQHRQLKSLQEKVSKKEFLRLAQTLRHYGYLRFDACVADFPEKDCPVVVSAGNSELSLQLRLPGQQLREGSFRVTRMRCWRVTSSVPLPSGSTSSPGRGRGEVRLELAFEYLMSKDRLQWVTITSPQAIMMSICLQSMVDELMVKKSGGSIRKMLRRRVGGTLRRSDSQQAVKSPPLLESPDATRESMVKLSSKLSAVSLRGIGSPSTDASASDVHGNFAFEGIGDEDL
+>DECOY_sp|Q15036|SNX17_HUMAN Sorting nexin-17 OS=Homo sapiens OX=9606 GN=SNX17 PE=1 SV=1
+LDEDGIGEFAFNGHVDSASADTSPSGIGRLSVASLKSSLKVMSERTADPSELLPPSKVAQQSDSRRLTGGVRRRLMKRISGGSKKVMLEDVMSQLCISMMIAQPSTITVWQLRDKSMLYEFALELRVEGRGRGPSSTSGSPLPVSSTVRWCRMRTVRFSGERLQQGPLRLQLSLESNGASVVVPCDKEPFDAVCADFRLYGYHRLTQALRLFEKKSVKEQLSKLQRHQEKTVLIWGREIDSVTQAYLLNLGVRNEMVDDDYASDWYSKRLVIKYEQSRLSTVSVYPLEFEQLKRVFSFAGDEKERVLFLSFYGILDDPLDLKAAVAELVDETQDSTLVNVLVKQGNSLLVELSVEETPVQQTEQQARRLFSNFTESSGLLPDQRVAQMYKELQERRQEVEAPTLSFLKKPPFAPLVNAGYEKRLQEHLGLLQSYRVRCHLVGNVHINYAVYASGGSDGSRSETEPISFHM
+>sp|Q7Z614|SNX20_HUMAN Sorting nexin-20 OS=Homo sapiens OX=9606 GN=SNX20 PE=1 SV=1
+MASPEHPGSPGCMGPITQCTARTQQEAPATGPDLPHPGPDGHLDTHSGLSSNSSMTTRELQQYWQNQKCRWKHVKLLFEIASARIEERKVSKFVVYQIIVIQTGSFDNNKAVLERRYSDFAKLQKALLKTFREEIEDVEFPRKHLTGNFAEEMICERRRALQEYLGLLYAIRCVRRSREFLDFLTRPELREAFGCLRAGQYPRALELLLRVLPLQEKLTAHCPAAAVPALCAVLLCHRDLDRPAEAFAAGERALQRLQAREGHRYYAPLLDAMVRLAYALGKDFVTLQERLEESQLRRPTPRGITLKELTVREYLH
+>DECOY_sp|Q7Z614|SNX20_HUMAN Sorting nexin-20 OS=Homo sapiens OX=9606 GN=SNX20 PE=1 SV=1
+HLYERVTLEKLTIGRPTPRRLQSEELREQLTVFDKGLAYALRVMADLLPAYYRHGERAQLRQLAREGAAFAEAPRDLDRHCLLVACLAPVAAAPCHATLKEQLPLVRLLLELARPYQGARLCGFAERLEPRTLFDLFERSRRVCRIAYLLGLYEQLARRRECIMEEAFNGTLHKRPFEVDEIEERFTKLLAKQLKAFDSYRRELVAKNNDFSGTQIVIIQYVVFKSVKREEIRASAIEFLLKVHKWRCKQNQWYQQLERTTMSSNSSLGSHTDLHGDPGPHPLDPGTAPAEQQTRATCQTIPGMCGPSGPHEPSAM
+>sp|Q969T3|SNX21_HUMAN Sorting nexin-21 OS=Homo sapiens OX=9606 GN=SNX21 PE=2 SV=1
+MHRGTQEGAMASRLLHRLRHALAGDGPGEAAASPEAEQFPESSELEDDDAEGLSSRLSGTLSFTSAEDDEDDEDEDDEEAGPDQLPLGDGTSGEDAERSPPPDGQWGSQLLARQLQDFWKKSRNTLAPQRLLFEVTSANVVKDPPSKYVLYTLAVIGPGPPDCQPAQISRRYSDFERLHRNLQRQFRGPMAAISFPRKRLRRNFTAETIARRSRAFEQFLGHLQAVPELRHAPDLQDFFVLPELRRAQSLTCTGLYREALALWANAWQLQAQLGTPSGPDRPLLTLAGLAVCHQELEDPGEARACCEKALQLLGDKSLHPLLAPFLEAHVRLSWRLGLDKRQSEARLQALQEAGLTPTPPPSLKELLIKEVLD
+>DECOY_sp|Q969T3|SNX21_HUMAN Sorting nexin-21 OS=Homo sapiens OX=9606 GN=SNX21 PE=2 SV=1
+DLVEKILLEKLSPPPTPTLGAEQLAQLRAESQRKDLGLRWSLRVHAELFPALLPHLSKDGLLQLAKECCARAEGPDELEQHCVALGALTLLPRDPGSPTGLQAQLQWANAWLALAERYLGTCTLSQARRLEPLVFFDQLDPAHRLEPVAQLHGLFQEFARSRRAITEATFNRRLRKRPFSIAAMPGRFQRQLNRHLREFDSYRRSIQAPQCDPPGPGIVALTYLVYKSPPDKVVNASTVEFLLRQPALTNRSKKWFDQLQRALLQSGWQGDPPPSREADEGSTGDGLPLQDPGAEEDDEDEDDEDDEASTFSLTGSLRSSLGEADDDELESSEPFQEAEPSAAAEGPGDGALAHRLRHLLRSAMAGEQTGRHM
+>sp|O60749|SNX2_HUMAN Sorting nexin-2 OS=Homo sapiens OX=9606 GN=SNX2 PE=1 SV=2
+MAAEREPPPLGDGKPTDFEDLEDGEDLFTSTVSTLESSPSSPEPASLPAEDISANSNGPKPTEVVLDDDREDLFAEATEEVSLDSPEREPILSSEPSPAVTPVTPTTLIAPRIESKSMSAPVIFDRSREEIEEEANGDIFDIEIGVSDPEKVGDGMNAYMAYRVTTKTSLSMFSKSEFSVKRRFSDFLGLHSKLASKYLHVGYIVPPAPEKSIVGMTKVKVGKEDSSSTEFVEKRRAALERYLQRTVKHPTLLQDPDLRQFLESSELPRAVNTQALSGAGILRMVNKAADAVNKMTIKMNESDAWFEEKQQQFENLDQQLRKLHVSVEALVCHRKELSANTAAFAKSAAMLGNSEDHTALSRALSQLAEVEEKIDQLHQEQAFADFYMFSELLSDYIRLIAAVKGVFDHRMKCWQKWEDAQITLLKKREAEAKMMVANKPDKIQQAKNEIREWEAKVQQGERDFEQISKTIRKEVGRFEKERVKDFKTVIIKYLESLVQTQQQLIKYWEAFLPEAKAIA
+>DECOY_sp|O60749|SNX2_HUMAN Sorting nexin-2 OS=Homo sapiens OX=9606 GN=SNX2 PE=1 SV=2
+AIAKAEPLFAEWYKILQQQTQVLSELYKIIVTKFDKVREKEFRGVEKRITKSIQEFDREGQQVKAEWERIENKAQQIKDPKNAVMMKAEAERKKLLTIQADEWKQWCKMRHDFVGKVAAILRIYDSLLESFMYFDAFAQEQHLQDIKEEVEALQSLARSLATHDESNGLMAASKAFAATNASLEKRHCVLAEVSVHLKRLQQDLNEFQQQKEEFWADSENMKITMKNVADAAKNVMRLIGAGSLAQTNVARPLESSELFQRLDPDQLLTPHKVTRQLYRELAARRKEVFETSSSDEKGVKVKTMGVISKEPAPPVIYGVHLYKSALKSHLGLFDSFRRKVSFESKSFMSLSTKTTVRYAMYANMGDGVKEPDSVGIEIDFIDGNAEEEIEERSRDFIVPASMSKSEIRPAILTTPTVPTVAPSPESSLIPEREPSDLSVEETAEAFLDERDDDLVVETPKPGNSNASIDEAPLSAPEPSSPSSELTSVTSTFLDEGDELDEFDTPKGDGLPPPEREAAM
+>sp|Q86XE0|SNX32_HUMAN Sorting nexin-32 OS=Homo sapiens OX=9606 GN=SNX32 PE=1 SV=1
+METYAEVGKEGKPSCASVDLQGDSSLQVEISDAVSERDKVKFTVQTKSCLPHFAQTEFSVVRQHEEFIWLHDAYVENEEYAGLIIPPAPPRPDFEASREKLQKLGEGDSSVTREEFAKMKQELEAEYLAIFKKTVAMHEVFLQRLAAHPTLRRDHNFFVFLEYGQDLSVRGKNRKELLGGFLRNIVKSADEALITGMSGLKEVDDFFEHERTFLLEYHTRIRDACLRADRVMRAHKCLADDYIPISAALSSLGTQEVNQLRTSFLKLAELFERLRKLEGRVASDEDLKLSDMLRYYMRDSQAAKDLLYRRLRALADYENANKALDKARTRNREVRPAESHQQLCCQRFERLSDSAKQELMDFKSRRVSSFRKNLIELAELELKHAKASTLILRNTLVALKGEP
+>DECOY_sp|Q86XE0|SNX32_HUMAN Sorting nexin-32 OS=Homo sapiens OX=9606 GN=SNX32 PE=1 SV=1
+PEGKLAVLTNRLILTSAKAHKLELEALEILNKRFSSVRRSKFDMLEQKASDSLREFRQCCLQQHSEAPRVERNRTRAKDLAKNANEYDALARLRRYLLDKAAQSDRMYYRLMDSLKLDEDSAVRGELKRLREFLEALKLFSTRLQNVEQTGLSSLAASIPIYDDALCKHARMVRDARLCADRIRTHYELLFTREHEFFDDVEKLGSMGTILAEDASKVINRLFGGLLEKRNKGRVSLDQGYELFVFFNHDRRLTPHAALRQLFVEHMAVTKKFIALYEAELEQKMKAFEERTVSSDGEGLKQLKERSAEFDPRPPAPPIILGAYEENEVYADHLWIFEEHQRVVSFETQAFHPLCSKTQVTFKVKDRESVADSIEVQLSSDGQLDVSACSPKGEKGVEAYTEM
+>sp|Q9UNH6|SNX7_HUMAN Sorting nexin-7 OS=Homo sapiens OX=9606 GN=SNX7 PE=1 SV=1
+MDMNSFSPMMPTSPLSMINQIKFEDEPDLKDLFITVDEPESHVTTIETFITYRIITKTSRGEFDSSEFEVRRRYQDFLWLKGKLEEAHPTLIIPPLPEKFIVKGMVERFNDDFIETRRKALHKFLNRIADHPTLTFNEDFKIFLTAQAWELSSHKKQGPGLLSRMGQTVRAVASSMRGVKNRPEEFMEMNNFIELFSQKINLIDKISQRIYKEEREYFDEMKEYGPIHILWSASEEDLVDTLKDVASCIDRCCKATEKRMSGLSEALLPVVHEYVLYSEMLMGVMKRRDQIQAELDSKVEVLTYKKADTDLLPEEIGKLEDKVECANNALKADWERWKQNMQNDIKLAFTDMAEENIHYYEQCLATWESFLTSQTNLHLEEASEDKP
+>DECOY_sp|Q9UNH6|SNX7_HUMAN Sorting nexin-7 OS=Homo sapiens OX=9606 GN=SNX7 PE=1 SV=1
+PKDESAEELHLNTQSTLFSEWTALCQEYYHINEEAMDTFALKIDNQMNQKWREWDAKLANNACEVKDELKGIEEPLLDTDAKKYTLVEVKSDLEAQIQDRRKMVGMLMESYLVYEHVVPLLAESLGSMRKETAKCCRDICSAVDKLTDVLDEESASWLIHIPGYEKMEDFYEREEKYIRQSIKDILNIKQSFLEIFNNMEMFEEPRNKVGRMSSAVARVTQGMRSLLGPGQKKHSSLEWAQATLFIKFDENFTLTPHDAIRNLFKHLAKRRTEIFDDNFREVMGKVIFKEPLPPIILTPHAEELKGKLWLFDQYRRRVEFESSDFEGRSTKTIIRYTIFTEITTVHSEPEDVTIFLDKLDPEDEFKIQNIMSLPSTPMMPSFSNMDM
+>sp|Q9Y5X1|SNX9_HUMAN Sorting nexin-9 OS=Homo sapiens OX=9606 GN=SNX9 PE=1 SV=1
+MATKARVMYDFAAEPGNNELTVNEGEIITITNPDVGGGWLEGRNIKGERGLVPTDYVEILPSDGKDQFSCGNSVADQAFLDSLSASTAQASSSAASNNHQVGSGNDPWSAWSASKSGNWESSEGWGAQPEGAGAQRNTNTPNNWDTAFGHPQAYQGPATGDDDDWDEDWDGPKSSSYFKDSESADAGGAQRGNSRASSSSMKIPLNKFPGFAKPGTEQYLLAKQLAKPKEKIPIIVGDYGPMWVYPTSTFDCVVADPRKGSKMYGLKSYIEYQLTPTNTNRSVNHRYKHFDWLYERLLVKFGSAIPIPSLPDKQVTGRFEEEFIKMRMERLQAWMTRMCRHPVISESEVFQQFLNFRDEKEWKTGKRKAERDELAGVMIFSTMEPEAPDLDLVEIEQKCEAVGKFTKAMDDGVKELLTVGQEHWKRCTGPLPKEYQKIGKALQSLATVFSSSGYQGETDLNDAITEAGKTYEEIASLVAEQPKKDLHFLMECNHEYKGFLGCFPDIIGTHKGAIEKVKESDKLVATSKITLQDKQNMVKRVSIMSYALQAEMNHFHSNRIYDYNSVIRLYLEQQVQFYETIAEKLRQALSRFPVM
+>DECOY_sp|Q9Y5X1|SNX9_HUMAN Sorting nexin-9 OS=Homo sapiens OX=9606 GN=SNX9 PE=1 SV=1
+MVPFRSLAQRLKEAITEYFQVQQELYLRIVSNYDYIRNSHFHNMEAQLAYSMISVRKVMNQKDQLTIKSTAVLKDSEKVKEIAGKHTGIIDPFCGLFGKYEHNCEMLFHLDKKPQEAVLSAIEEYTKGAETIADNLDTEGQYGSSSFVTALSQLAKGIKQYEKPLPGTCRKWHEQGVTLLEKVGDDMAKTFKGVAECKQEIEVLDLDPAEPEMTSFIMVGALEDREAKRKGTKWEKEDRFNLFQQFVESESIVPHRCMRTMWAQLREMRMKIFEEEFRGTVQKDPLSPIPIASGFKVLLREYLWDFHKYRHNVSRNTNTPTLQYEIYSKLGYMKSGKRPDAVVCDFTSTPYVWMPGYDGVIIPIKEKPKALQKALLYQETGPKAFGPFKNLPIKMSSSSARSNGRQAGGADASESDKFYSSSKPGDWDEDWDDDDGTAPGQYAQPHGFATDWNNPTNTNRQAGAGEPQAGWGESSEWNGSKSASWASWPDNGSGVQHNNSAASSSAQATSASLSDLFAQDAVSNGCSFQDKGDSPLIEVYDTPVLGREGKINRGELWGGGVDPNTITIIEGENVTLENNGPEAAFDYMVRAKTAM
+>sp|Q9BZZ2|SN_HUMAN Sialoadhesin OS=Homo sapiens OX=9606 GN=SIGLEC1 PE=1 SV=2
+MGFLPKLLLLASFFPAGQASWGVSSPQDVQGVKGSCLLIPCIFSFPADVEVPDGITAIWYYDYSGQRQVVSHSADPKLVEARFRGRTEFMGNPEHRVCNLLLKDLQPEDSGSYNFRFEISEVNRWSDVKGTLVTVTEEPRVPTIASPVELLEGTEVDFNCSTPYVCLQEQVRLQWQGQDPARSVTFNSQKFEPTGVGHLETLHMAMSWQDHGRILRCQLSVANHRAQSEIHLQVKYAPKGVKILLSPSGRNILPGELVTLTCQVNSSYPAVSSIKWLKDGVRLQTKTGVLHLPQAAWSDAGVYTCQAENGVGSLVSPPISLHIFMAEVQVSPAGPILENQTVTLVCNTPNEAPSDLRYSWYKNHVLLEDAHSHTLRLHLATRADTGFYFCEVQNVHGSERSGPVSVVVNHPPLTPVLTAFLETQAGLVGILHCSVVSEPLATLVLSHGGHILASTSGDSDHSPRFSGTSGPNSLRLEIRDLEETDSGEYKCSATNSLGNATSTLDFHANAARLLISPAAEVVEGQAVTLSCRSGLSPTPDARFSWYLNGALLHEGPGSSLLLPAASSTDAGSYHCRARDGHSASGPSSPAVLTVLYPPRQPTFTTRLDLDAAGAGAGRRGLLLCRVDSDPPARLQLLHKDRVVATSLPSGGGCSTCGGCSPRMKVTKAPNLLRVEIHNPLLEEEGLYLCEASNALGNASTSATFNGQATVLAIAPSHTLQEGTEANLTCNVSREAAGSPANFSWFRNGVLWAQGPLETVTLLPVARTDAALYACRILTEAGAQLSTPVLLSVLYPPDRPKLSALLDMGQGHMALFICTVDSRPLALLALFHGEHLLATSLGPQVPSHGRFQAKAEANSLKLEVRELGLGDSGSYRCEATNVLGSSNTSLFFQVRGAWVQVSPSPELQEGQAVVLSCQVHTGVPEGTSYRWYRDGQPLQESTSATLRFAAITLTQAGAYHCQAQAPGSATTSLAAPISLHVSYAPRHVTLTTLMDTGPGRLGLLLCRVDSDPPAQLRLLHGDRLVASTLQGVGGPEGSSPRLHVAVAPNTLRLEIHGAMLEDEGVYICEASNTLGQASASADFDAQAVNVQVWPGATVREGQLVNLTCLVWTTHPAQLTYTWYQDGQQRLDAHSIPLPNVTVRDATSYRCGVGPPGRAPRLSRPITLDVLYAPRNLRLTYLLESHGGQLALVLCTVDSRPPAQLALSHAGRLLASSTAASVPNTLRLELRGPQPRDEGFYSCSARSPLGQANTSLELRLEGVRVILAPEAAVPEGAPITVTCADPAAHAPTLYTWYHNGRWLQEGPAASLSFLVATRAHAGAYSCQAQDAQGTRSSRPAALQVLYAPQDAVLSSFRDSRARSMAVIQCTVDSEPPAELALSHDGKVLATSSGVHSLASGTGHVQVARNALRLQVQDVPAGDDTYVCTAQNLLGSISTIGRLQVEGARVVAEPGLDVPEGAALNLSCRLLGGPGPVGNSTFAWFWNDRRLHAEPVPTLAFTHVARAQAGMYHCLAELPTGAAASAPVMLRVLYPPKTPTMMVFVEPEGGLRGILDCRVDSEPLASLTLHLGSRLVASSQPQGAPAEPHIHVLASPNALRVDIEALRPSDQGEYICSASNVLGSASTSTYFGVRALHRLHQFQQLLWVLGLLVGLLLLLLGLGACYTWRRRRVCKQSMGENSVEMAFQKETTQLIDPDAATCETSTCAPPLG
+>DECOY_sp|Q9BZZ2|SN_HUMAN Sialoadhesin OS=Homo sapiens OX=9606 GN=SIGLEC1 PE=1 SV=2
+GLPPACTSTECTAADPDILQTTEKQFAMEVSNEGMSQKCVRRRRWTYCAGLGLLLLLLGVLLGLVWLLQQFQHLRHLARVGFYTSTSASGLVNSASCIYEGQDSPRLAEIDVRLANPSALVHIHPEAPAGQPQSSAVLRSGLHLTLSALPESDVRCDLIGRLGGEPEVFVMMTPTKPPYLVRLMVPASAAAGTPLEALCHYMGAQARAVHTFALTPVPEAHLRRDNWFWAFTSNGVPGPGGLLRCSLNLAAGEPVDLGPEAVVRAGEVQLRGITSISGLLNQATCVYTDDGAPVDQVQLRLANRAVQVHGTGSALSHVGSSTALVKGDHSLALEAPPESDVTCQIVAMSRARSDRFSSLVADQPAYLVQLAAPRSSRTGQADQAQCSYAGAHARTAVLFSLSAAPGEQLWRGNHYWTYLTPAHAAPDACTVTIPAGEPVAAEPALIVRVGELRLELSTNAQGLPSRASCSYFGEDRPQPGRLELRLTNPVSAATSSALLRGAHSLALQAPPRSDVTCLVLALQGGHSELLYTLRLNRPAYLVDLTIPRSLRPARGPPGVGCRYSTADRVTVNPLPISHADLRQQGDQYWTYTLQAPHTTWVLCTLNVLQGERVTAGPWVQVNVAQADFDASASAQGLTNSAECIYVGEDELMAGHIELRLTNPAVAVHLRPSSGEPGGVGQLTSAVLRDGHLLRLQAPPDSDVRCLLLGLRGPGTDMLTTLTVHRPAYSVHLSIPAALSTTASGPAQAQCHYAGAQTLTIAAFRLTASTSEQLPQGDRYWRYSTGEPVGTHVQCSLVVAQGEQLEPSPSVQVWAGRVQFFLSTNSSGLVNTAECRYSGSDGLGLERVELKLSNAEAKAQFRGHSPVQPGLSTALLHEGHFLALLALPRSDVTCIFLAMHGQGMDLLASLKPRDPPYLVSLLVPTSLQAGAETLIRCAYLAADTRAVPLLTVTELPGQAWLVGNRFWSFNAPSGAAERSVNCTLNAETGEQLTHSPAIALVTAQGNFTASTSANGLANSAECLYLGEEELLPNHIEVRLLNPAKTVKMRPSCGGCTSCGGGSPLSTAVVRDKHLLQLRAPPDSDVRCLLLGRRGAGAGAADLDLRTTFTPQRPPYLVTLVAPSSPGSASHGDRARCHYSGADTSSAAPLLLSSGPGEHLLAGNLYWSFRADPTPSLGSRCSLTVAQGEVVEAAPSILLRAANAHFDLTSTANGLSNTASCKYEGSDTEELDRIELRLSNPGSTGSFRPSHDSDGSTSALIHGGHSLVLTALPESVVSCHLIGVLGAQTELFATLVPTLPPHNVVVSVPGSRESGHVNQVECFYFGTDARTALHLRLTHSHADELLVHNKYWSYRLDSPAENPTNCVLTVTQNELIPGAPSVQVEAMFIHLSIPPSVLSGVGNEAQCTYVGADSWAAQPLHLVGTKTQLRVGDKLWKISSVAPYSSNVQCTLTVLEGPLINRGSPSLLIKVGKPAYKVQLHIESQARHNAVSLQCRLIRGHDQWSMAMHLTELHGVGTPEFKQSNFTVSRAPDQGQWQLRVQEQLCVYPTSCNFDVETGELLEVPSAITPVRPEETVTVLTGKVDSWRNVESIEFRFNYSGSDEPQLDKLLLNCVRHEPNGMFETRGRFRAEVLKPDASHSVVQRQGSYDYYWIATIGDPVEVDAPFSFICPILLCSGKVGQVDQPSSVGWSAQGAPFFSALLLLKPLFGM
+>sp|G3V0H7|SO1B7_HUMAN Putative solute carrier organic anion transporter family member 1B7 OS=Homo sapiens OX=9606 GN=SLCO1B7 PE=5 SV=1
+MKISTTQIERRFEISSSLVGLIDGSFEIGNLFVIVFVSYFGSKLHRPKLIGIGCFLMGTGSILMALPHFFMGYYRYSKETNIDPSENSTSNLPNCLINQMLSLNRTPSEIIERGCVKESGSHMWIYVFMGNMLRGIGETPIVPLGISYIDDFAKEGHSSLYLGTVNVMGMTGLVFAFMLGSLFAKMYVDIGYVDLSTIRITPKDSRWVGAWWLGFLVSGIVSIISSIPFFFLPLNPNKPQKERKVSLFLHVLKTNDKRNQIANLTNRRKYITKNVTGFFQSLKSILTNPLYVIFVIFTLLHMSSYIASLTYIIKMVEQQYGWSASKTNFLLGVLALPAVAIGMFSGGYIIKKFKLSLVGLAKLAFCSATVHLLSQVLYFFLICESKSVAGLTLTYDGNSPVRSHVDVPLSYCNSECNCDESQWEPVCGNNGITYLSPCLAGCKSSSGNKEPIVFYNCSCVEVIGLQNKNYSAHLGECPRDDACTRKSYVYFVIQVLDAFLCAVGLTSYSVLVIRIVQPELKALAIGFHSMIMRSLGGILVPIYFGALIDTTCMKWSTNSCGARGACRIYNSTYLGRAFFGLKVALIFPVLVLLTVFIFVVRKKSHGKDTKVLENERQVMDEANLEFLNDSEHFVPSAEEQ
+>DECOY_sp|G3V0H7|SO1B7_HUMAN Putative solute carrier organic anion transporter family member 1B7 OS=Homo sapiens OX=9606 GN=SLCO1B7 PE=5 SV=1
+QEEASPVFHESDNLFELNAEDMVQRENELVKTDKGHSKKRVVFIFVTLLVLVPFILAVKLGFFARGLYTSNYIRCAGRAGCSNTSWKMCTTDILAGFYIPVLIGGLSRMIMSHFGIALAKLEPQVIRIVLVSYSTLGVACLFADLVQIVFYVYSKRTCADDRPCEGLHASYNKNQLGIVEVCSCNYFVIPEKNGSSSKCGALCPSLYTIGNNGCVPEWQSEDCNCESNCYSLPVDVHSRVPSNGDYTLTLGAVSKSECILFFYLVQSLLHVTASCFALKALGVLSLKFKKIIYGGSFMGIAVAPLALVGLLFNTKSASWGYQQEVMKIIYTLSAIYSSMHLLTFIVFIVYLPNTLISKLSQFFGTVNKTIYKRRNTLNAIQNRKDNTKLVHLFLSVKREKQPKNPNLPLFFFPISSIISVIGSVLFGLWWAGVWRSDKPTIRITSLDVYGIDVYMKAFLSGLMFAFVLGTMGMVNVTGLYLSSHGEKAFDDIYSIGLPVIPTEGIGRLMNGMFVYIWMHSGSEKVCGREIIESPTRNLSLMQNILCNPLNSTSNESPDINTEKSYRYYGMFFHPLAMLISGTGMLFCGIGILKPRHLKSGFYSVFVIVFLNGIEFSGDILGVLSSSIEFRREIQTTSIKM
+>sp|Q9UIG8|SO3A1_HUMAN Solute carrier organic anion transporter family member 3A1 OS=Homo sapiens OX=9606 GN=SLCO3A1 PE=1 SV=3
+MQGKKPGGSSGGGRSGELQGDEAQRNKKKKKKVSCFSNIKIFLVSECALMLAQGTVGAYLVSVLTTLERRFNLQSADVGVIASSFEIGNLALILFVSYFGARGHRPRLIGCGGIVMALGALLSALPEFLTHQYKYEAGEIRWGAEGRDVCAANGSGGDEGPDPDLICRNRTATNMMYLLLIGAQVLLGIGATPVQPLGVSYIDDHVRRKDSSLYIGILFTMLVFGPACGFILGSFCTKIYVDAVFIDTSNLDITPDDPRWIGAWWGGFLLCGALLFFSSLLMFGFPQSLPPHSEPAMESEQAMLSEREYERPKPSNGVLRHPLEPDSSASCFQQLRVIPKVTKHLLSNPVFTCIILAACMEIAVVAGFAAFLGKYLEQQFNLTTSSANQLLGMTAIPCACLGIFLGGLLVKKLSLSALGAIRMAMLVNLVSTACYVSFLFLGCDTGPVAGVTVPYGNSTAPGSALDPYSPCNNNCECQTDSFTPVCGADGITYLSACFAGCNSTNLTGCACLTTVPAENATVVPGKCPSPGCQEAFLTFLCVMCICSLIGAMAQTPSVIILIRTVSPELKSYALGVLFLLLRLLGFIPPPLIFGAGIDSTCLFWSTFCGEQGACVLYDNVVYRYLYVSIAIALKSFAFILYTTTWQCLRKNYKRYIKNHEGGLSTSEFFASTLTLDNLGRDPVPANQTHRTKFIYNLEDHEWCENMESVL
+>DECOY_sp|Q9UIG8|SO3A1_HUMAN Solute carrier organic anion transporter family member 3A1 OS=Homo sapiens OX=9606 GN=SLCO3A1 PE=1 SV=3
+LVSEMNECWEHDELNYIFKTRHTQNAPVPDRGLNDLTLTSAFFESTSLGGEHNKIYRKYNKRLCQWTTTYLIFAFSKLAIAISVYLYRYVVNDYLVCAGQEGCFTSWFLCTSDIGAGFILPPPIFGLLRLLLFLVGLAYSKLEPSVTRILIIVSPTQAMAGILSCICMVCLFTLFAEQCGPSPCKGPVVTANEAPVTTLCACGTLNTSNCGAFCASLYTIGDAGCVPTFSDTQCECNNNCPSYPDLASGPATSNGYPVTVGAVPGTDCGLFLFSVYCATSVLNVLMAMRIAGLASLSLKKVLLGGLFIGLCACPIATMGLLQNASSTTLNFQQELYKGLFAAFGAVVAIEMCAALIICTFVPNSLLHKTVKPIVRLQQFCSASSDPELPHRLVGNSPKPREYERESLMAQESEMAPESHPPLSQPFGFMLLSSFFLLAGCLLFGGWWAGIWRPDDPTIDLNSTDIFVADVYIKTCFSGLIFGCAPGFVLMTFLIGIYLSSDKRRVHDDIYSVGLPQVPTAGIGLLVQAGILLLYMMNTATRNRCILDPDPGEDGGSGNAACVDRGEAGWRIEGAEYKYQHTLFEPLASLLAGLAMVIGGCGILRPRHGRAGFYSVFLILALNGIEFSSAIVGVDASQLNFRRELTTLVSVLYAGVTGQALMLACESVLFIKINSFCSVKKKKKKNRQAEDGQLEGSRGGGSSGGPKKGQM
+>sp|Q96BD0|SO4A1_HUMAN Solute carrier organic anion transporter family member 4A1 OS=Homo sapiens OX=9606 GN=SLCO4A1 PE=1 SV=2
+MPLHQLGDKPLTFPSPNSAMENGLDHTPPSRRASPGTPLSPGSLRSAAHSPLDTSKQPLCQLWAEKHGARGTHEVRYVSAGQSVACGWWAFAPPCLQVLNTPKGILFFLCAAAFLQGMTVNGFINTVITSLERRYDLHSYQSGLIASSYDIAACLCLTFVSYFGGSGHKPRWLGWGVLLMGTGSLVFALPHFTAGRYEVELDAGVRTCPANPGAVCADSTSGLSRYQLVFMLGQFLHGVGATPLYTLGVTYLDENVKSSCSPVYIAIFYTAAILGPAAGYLIGGALLNIYTEMGRRTELTTESPLWVGAWWVGFLGSGAAAFFTAVPILGYPRQLPGSQRYAVMRAAEMHQLKDSSRGEASNPDFGKTIRDLPLSIWLLLKNPTFILLCLAGATEATLITGMSTFSPKFLESQFSLSASEAATLFGYLVVPAGGGGTFLGGFFVNKLRLRGSAVIKFCLFCTVVSLLGILVFSLHCPSVPMAGVTASYGGSLLPEGHLNLTAPCNAACSCQPEHYSPVCGSDGLMYFSLCHAGCPAATETNVDGQKVYRDCSCIPQNLSSGFGHATAGKCTSTCQRKPLLLVFIFVVIFFTFLSSIPALTATLRCVRDPQRSFALGIQWIVVRILGGIPGPIAFGWVIDKACLLWQDQCGQQGSCLVYQNSAMSRYILIMGLLYKVLGVLFFAIACFLYKPLSESSDGLETCLPSQSSAPDSATDSQLQSSV
+>DECOY_sp|Q96BD0|SO4A1_HUMAN Solute carrier organic anion transporter family member 4A1 OS=Homo sapiens OX=9606 GN=SLCO4A1 PE=1 SV=2
+VSSQLQSDTASDPASSQSPLCTELGDSSESLPKYLFCAIAFFLVGLVKYLLGMILIYRSMASNQYVLCSGQQGCQDQWLLCAKDIVWGFAIPGPIGGLIRVVIWQIGLAFSRQPDRVCRLTATLAPISSLFTFFIVVFIFVLLLPKRQCTSTCKGATAHGFGSSLNQPICSCDRYVKQGDVNTETAAPCGAHCLSFYMLGDSGCVPSYHEPQCSCAANCPATLNLHGEPLLSGGYSATVGAMPVSPCHLSFVLIGLLSVVTCFLCFKIVASGRLRLKNVFFGGLFTGGGGAPVVLYGFLTAAESASLSFQSELFKPSFTSMGTILTAETAGALCLLIFTPNKLLLWISLPLDRITKGFDPNSAEGRSSDKLQHMEAARMVAYRQSGPLQRPYGLIPVATFFAAAGSGLFGVWWAGVWLPSETTLETRRGMETYINLLAGGILYGAAPGLIAATYFIAIYVPSCSSKVNEDLYTVGLTYLPTAGVGHLFQGLMFVLQYRSLGSTSDACVAGPNAPCTRVGADLEVEYRGATFHPLAFVLSGTGMLLVGWGLWRPKHGSGGFYSVFTLCLCAAIDYSSAILGSQYSHLDYRRELSTIVTNIFGNVTMGQLFAAACLFFLIGKPTNLVQLCPPAFAWWGCAVSQGASVYRVEHTGRAGHKEAWLQCLPQKSTDLPSHAASRLSGPSLPTGPSARRSPPTHDLGNEMASNPSPFTLPKDGLQHLPM
+>sp|Q86UG4|SO6A1_HUMAN Solute carrier organic anion transporter family member 6A1 OS=Homo sapiens OX=9606 GN=SLCO6A1 PE=2 SV=2
+MFVGVARHSGSQDEVSRGVEPLEAARAQPAKDRRAKGTPKSSKPGKKHRYLRLLPEALIRFGGFRKRKKAKSSVSKKPGEVDDSLEQPCGLGCLVSTCCECCNNIRCFMIFYCILLICQGVVFGLIDVSIGDFQKEYQLKTIEKLALEKSYDISSGLVAIFIAFYGDRKKVIWFVASSFLIGLGSLLCAFPSINEENKQSKVGIEDICEEIKVVSGCQSSGISFQSKYLSFFILGQTVQGIAGMPLYILGITFIDENVATHSAGIYLGIAECTSMIGYALGYVLGAPLVKVPENTTSATNTTVNNGSPEWLWTWWINFLFAAVVAWCTLIPLSCFPNNMPGSTRIKARKRKQLHFFDSRLKDLKLGTNIKDLCAALWILMKNPVLICLALSKATEYLVIIGASEFLPIYLENQFILTPTVATTLAGLVLIPGGALGQLLGGVIVSTLEMSCKALMRFIMVTSVISLILLVFIIFVRCNPVQFAGINEDYDGTGKLGNLTAPCNEKCRCSSSIYSSICGRDDIEYFSPCFAGCTYSKAQNQKKMYYNCSCIKEGLITADAEGDFIDARPGKCDAKCYKLPLFIAFIFSTLIFSGFSGVPIVLAMTRVVPDKLRSLALGVSYVILRIFGTIPGPSIFKMSGETSCILRDVNKCGHTGRCWIYNKTKMAFLLVGICFLCKLCTIIFTTIAFFIYKRRLNENTDFPDVTVKNPKVKKKEETDL
+>DECOY_sp|Q86UG4|SO6A1_HUMAN Solute carrier organic anion transporter family member 6A1 OS=Homo sapiens OX=9606 GN=SLCO6A1 PE=2 SV=2
+LDTEEKKKVKPNKVTVDPFDTNENLRRKYIFFAITTFIITCLKCLFCIGVLLFAMKTKNYIWCRGTHGCKNVDRLICSTEGSMKFISPGPITGFIRLIVYSVGLALSRLKDPVVRTMALVIPVGSFGSFILTSFIFAIFLPLKYCKADCKGPRADIFDGEADATILGEKICSCNYYMKKQNQAKSYTCGAFCPSFYEIDDRGCISSYISSSCRCKENCPATLNGLKGTGDYDENIGAFQVPNCRVFIIFVLLILSIVSTVMIFRMLAKCSMELTSVIVGGLLQGLAGGPILVLGALTTAVTPTLIFQNELYIPLFESAGIIVLYETAKSLALCILVPNKMLIWLAACLDKINTGLKLDKLRSDFFHLQKRKRAKIRTSGPMNNPFCSLPILTCWAVVAAFLFNIWWTWLWEPSGNNVTTNTASTTNEPVKVLPAGLVYGLAYGIMSTCEAIGLYIGASHTAVNEDIFTIGLIYLPMGAIGQVTQGLIFFSLYKSQFSIGSSQCGSVVKIEECIDEIGVKSQKNEENISPFACLLSGLGILFSSAVFWIVKKRDGYFAIFIAVLGSSIDYSKELALKEITKLQYEKQFDGISVDILGFVVGQCILLICYFIMFCRINNCCECCTSVLCGLGCPQELSDDVEGPKKSVSSKAKKRKRFGGFRILAEPLLRLYRHKKGPKSSKPTGKARRDKAPQARAAELPEVGRSVEDQSGSHRAVGVFM
+>sp|O75908|SOAT2_HUMAN Sterol O-acyltransferase 2 OS=Homo sapiens OX=9606 GN=SOAT2 PE=2 SV=1
+MEPGGARLRLQRTEGLGGERERQPCGDGNTETHRAPDLVQWTRHMEAVKAQLLEQAQGQLRELLDRAMREAIQSYPSQDKPLPPPPPGSLSRTQEPSLGKQKVFIIRKSLLDELMEVQHFRTIYHMFIAGLCVFIISTLAIDFIDEGRLLLEFDLLIFSFGQLPLALVTWVPMFLSTLLAPYQALRLWARGTWTQATGLGCALLAAHAVVLCALPVHVAVEHQLPPASRCVLVFEQVRFLMKSYSFLREAVPGTLRARRGEGIQAPSFSSYLYFLFCPTLIYRETYPRTPYVRWNYVAKNFAQALGCVLYACFILGRLCVPVFANMSREPFSTRALVLSILHATLPGIFMLLLIFFAFLHCWLNAFAEMLRFGDRMFYRDWWNSTSFSNYYRTWNVVVHDWLYSYVYQDGLRLLGARARGVAMLGVFLVSAVAHEYIFCFVLGFFYPVMLILFLVIGGMLNFMMHDQRTGPAWNVLMWTMLFLGQGIQVSLYCQEWYARRHCPLPQATFWGLVTPRSWSCHT
+>DECOY_sp|O75908|SOAT2_HUMAN Sterol O-acyltransferase 2 OS=Homo sapiens OX=9606 GN=SOAT2 PE=2 SV=1
+THCSWSRPTVLGWFTAQPLPCHRRAYWEQCYLSVQIGQGLFLMTWMLVNWAPGTRQDHMMFNLMGGIVLFLILMVPYFFGLVFCFIYEHAVASVLFVGLMAVGRARAGLLRLGDQYVYSYLWDHVVVNWTRYYNSFSTSNWWDRYFMRDGFRLMEAFANLWCHLFAFFILLLMFIGPLTAHLISLVLARTSFPERSMNAFVPVCLRGLIFCAYLVCGLAQAFNKAVYNWRVYPTRPYTERYILTPCFLFYLYSSFSPAQIGEGRRARLTGPVAERLFSYSKMLFRVQEFVLVCRSAPPLQHEVAVHVPLACLVVAHAALLACGLGTAQTWTGRAWLRLAQYPALLTSLFMPVWTVLALPLQGFSFILLDFELLLRGEDIFDIALTSIIFVCLGAIFMHYITRFHQVEMLEDLLSKRIIFVKQKGLSPEQTRSLSGPPPPPLPKDQSPYSQIAERMARDLLERLQGQAQELLQAKVAEMHRTWQVLDPARHTETNGDGCPQREREGGLGETRQLRLRAGGPEM
+>sp|O14512|SOCS7_HUMAN Suppressor of cytokine signaling 7 OS=Homo sapiens OX=9606 GN=SOCS7 PE=1 SV=2
+MVFRNVGRPPEEEDVEAAPEPGPSELLCPRHRCALDPKALPPGLALERTWGPAAGLEAQLAALGLGQPAGPGVKTVGGGCCPCPCPPQPPPPQPQPPAAAPQAGEDPTETSDALLVLEGLESEAESLETNSCSEEELSSPGRGGGGGGRLLLQPPGPELPPVPFPLQDLVPLGRLSRGEQQQQQQQQPPPPPPPPGPLRPLAGPSRKGSFKIRLSRLFRTKSCNGGSGGGDGTGKRPSGELAASAASLTDMGGSAGRELDAGRKPKLTRTQSAFSPVSFSPLFTGETVSLVDVDISQRGLTSPHPPTPPPPPRRSLSLLDDISGTLPTSVLVAPMGSSLQSFPLPPPPPPHAPDAFPRIAPIRAAESLHSQPPQHLQCPLYRPDSSSFAASLRELEKCGWYWGPMNWEDAEMKLKGKPDGSFLVRDSSDPRYILSLSFRSQGITHHTRMEHYRGTFSLWCHPKFEDRCQSVVEFIKRAIMHSKNGKFLYFLRSRVPGLPPTPVQLLYPVSRFSNVKSLQHLCRFRIRQLVRIDHIPDLPLPKPLISYIRKFYYYDPQEEVYLSLKEAQLISKQKQEVEPST
+>DECOY_sp|O14512|SOCS7_HUMAN Suppressor of cytokine signaling 7 OS=Homo sapiens OX=9606 GN=SOCS7 PE=1 SV=2
+TSPEVEQKQKSILQAEKLSLYVEEQPDYYYFKRIYSILPKPLPLDPIHDIRVLQRIRFRCLHQLSKVNSFRSVPYLLQVPTPPLGPVRSRLFYLFKGNKSHMIARKIFEVVSQCRDEFKPHCWLSFTGRYHEMRTHHTIGQSRFSLSLIYRPDSSDRVLFSGDPKGKLKMEADEWNMPGWYWGCKELERLSAAFSSSDPRYLPCQLHQPPQSHLSEAARIPAIRPFADPAHPPPPPPLPFSQLSSGMPAVLVSTPLTGSIDDLLSLSRRPPPPPTPPHPSTLGRQSIDVDVLSVTEGTFLPSFSVPSFASQTRTLKPKRGADLERGASGGMDTLSAASAALEGSPRKGTGDGGGSGGNCSKTRFLRSLRIKFSGKRSPGALPRLPGPPPPPPPPQQQQQQQQEGRSLRGLPVLDQLPFPVPPLEPGPPQLLLRGGGGGGRGPSSLEEESCSNTELSEAESELGELVLLADSTETPDEGAQPAAAPPQPQPPPPQPPCPCPCCGGGVTKVGPGAPQGLGLAALQAELGAAPGWTRELALGPPLAKPDLACRHRPCLLESPGPEPAAEVDEEEPPRGVNRFVM
+>sp|Q5TF21|SOGA3_HUMAN Protein SOGA3 OS=Homo sapiens OX=9606 GN=SOGA3 PE=3 SV=1
+MSQPPIGGAAPATAAASPAAAATEARLHPEGSSRKQQRAQSPARPRDSSLRQTIAATRSPVGAGTKLNSVRQQQLQQQQQQGNKTGSRTGPPASIRGGGGGAEKATPLAPKGAAPGAVQPVAGAEAAPAATLAALGGRRPGPPEEPPRELESVPSKLGEPPPLGEGGGGGGEGGGAGGGSGEREGGAPQPPPPRGWRGKGVRAQQRGGSGGEGASPSPSSSSAGKTPGTGSRNSGSGVAGGGSGGGGSYWKEGCLQSELIQFHLKKERAAAAAAAAQMHAKNGGGSSSRSSPVSGPPAVCETLAVASASPMAAAAEGPQQSAEGSASGGGMQAAAPPSSQPHPQQLQEQEEMQEEMEKLREENETLKNEIDELRTEMDEMRDTFFEEDACQLQEMRHELERANKNCRILQYRLRKAERKRLRYAQTGEIDGELLRSLEQDLKVAKDVSVRLHHELENVEEKRTTTEDENEKLRQQLIEVEIAKQALQNELEKMKELSLKRRGSKDLPKSEKKAQQTPTEEDNEDLKCQLQFVKEEAALMRKKMAKIDKEKDRFEHELQKYRSFYGDLDSPLPKGEAGGPPSTREAELKLRLRLVEEEANILGRKIVELEVENRGLKAELDDLRGDDFNGSANPLMREQSESLSELRQHLQLVEDETELLRRNVADLEEQNKRITAELNKYKYKSGGHDSARHHDNAKTEALQEELKAARLQINELSGKVMQLQYENRVLMSNMQRYDLASHLGIRGSPRDSDAESDAGKKESDDDSRPPHRKREGPIGGESDSEEVRNIRCLTPTRSFYPAPGPWPKSFSDRQQMKDIRSEAERLGKTIDRLIADTSTIITEARIYVANGDLFGLMDEEDDGSRIREHELLYRINAQMKAFRKELQTFIDRLEVPKSADDRGAEEPISVSQMFQPIILLILILVLFSSLSYTTIFKLVFLFTLFFVL
+>DECOY_sp|Q5TF21|SOGA3_HUMAN Protein SOGA3 OS=Homo sapiens OX=9606 GN=SOGA3 PE=3 SV=1
+LVFFLTFLFVLKFITTYSLSSFLVLILILLIIPQFMQSVSIPEEAGRDDASKPVELRDIFTQLEKRFAKMQANIRYLLEHERIRSGDDEEDMLGFLDGNAVYIRAETIITSTDAILRDITKGLREAESRIDKMQQRDSFSKPWPGPAPYFSRTPTLCRINRVEESDSEGGIPGERKRHPPRSDDDSEKKGADSEADSDRPSGRIGLHSALDYRQMNSMLVRNEYQLQMVKGSLENIQLRAAKLEEQLAETKANDHHRASDHGGSKYKYKNLEATIRKNQEELDAVNRRLLETEDEVLQLHQRLESLSESQERMLPNASGNFDDGRLDDLEAKLGRNEVELEVIKRGLINAEEEVLRLRLKLEAERTSPPGGAEGKPLPSDLDGYFSRYKQLEHEFRDKEKDIKAMKKRMLAAEEKVFQLQCKLDENDEETPTQQAKKESKPLDKSGRRKLSLEKMKELENQLAQKAIEVEILQQRLKENEDETTTRKEEVNELEHHLRVSVDKAVKLDQELSRLLEGDIEGTQAYRLRKREAKRLRYQLIRCNKNARELEHRMEQLQCADEEFFTDRMEDMETRLEDIENKLTENEERLKEMEEQMEEQEQLQQPHPQSSPPAAAQMGGGSASGEASQQPGEAAAAMPSASAVALTECVAPPGSVPSSRSSSGGGNKAHMQAAAAAAAAREKKLHFQILESQLCGEKWYSGGGGSGGGAVGSGSNRSGTGPTKGASSSSPSPSAGEGGSGGRQQARVGKGRWGRPPPPQPAGGEREGSGGGAGGGEGGGGGGEGLPPPEGLKSPVSELERPPEEPPGPRRGGLAALTAAPAAEAGAVPQVAGPAAGKPALPTAKEAGGGGGRISAPPGTRSGTKNGQQQQQQLQQQRVSNLKTGAGVPSRTAAITQRLSSDRPRAPSQARQQKRSSGEPHLRAETAAAAPSAAATAPAAGGIPPQSM
+>sp|Q9H6I2|SOX17_HUMAN Transcription factor SOX-17 OS=Homo sapiens OX=9606 GN=SOX17 PE=1 SV=1
+MSSPDAGYASDDQSQTQSALPAVMAGLGPCPWAESLSPIGDMKVKGEAPANSGAPAGAAGRAKGESRIRRPMNAFMVWAKDERKRLAQQNPDLHNAELSKMLGKSWKALTLAEKRPFVEEAERLRVQHMQDHPNYKYRPRRRKQVKRLKRVEGGFLHGLAEPQAAALGPEGGRVAMDGLGLQFPEQGFPAGPPLLPPHMGGHYRDCQSLGAPPLDGYPLPTPDTSPLDGVDPDPAFFAAPMPGDCPAAGTYSYAQVSDYAGPPEPPAGPMHPRLGPEPAGPSIPGLLAPPSALHVYYGAMGSPGAGGGRGFQMQPQHQHQHQHQHHPPGPGQPSPPPEALPCRDGTDPSQPAELLGEVDRTEFEQYLHFVCKPEMGLPYQGHDSGVNLPDSHGAISSVVSDASSAVYYCNYPDV
+>DECOY_sp|Q9H6I2|SOX17_HUMAN Transcription factor SOX-17 OS=Homo sapiens OX=9606 GN=SOX17 PE=1 SV=1
+VDPYNCYYVASSADSVVSSIAGHSDPLNVGSDHGQYPLGMEPKCVFHLYQEFETRDVEGLLEAPQSPDTGDRCPLAEPPPSPQGPGPPHHQHQHQHQHQPQMQFGRGGGAGPSGMAGYYVHLASPPALLGPISPGAPEPGLRPHMPGAPPEPPGAYDSVQAYSYTGAAPCDGPMPAAFFAPDPDVGDLPSTDPTPLPYGDLPPAGLSQCDRYHGGMHPPLLPPGAPFGQEPFQLGLGDMAVRGGEPGLAAAQPEALGHLFGGEVRKLRKVQKRRRPRYKYNPHDQMHQVRLREAEEVFPRKEALTLAKWSKGLMKSLEANHLDPNQQALRKREDKAWVMFANMPRRIRSEGKARGAAGAPAGSNAPAEGKVKMDGIPSLSEAWPCPGLGAMVAPLASQTQSQDDSAYGADPSSM
+>sp|O43765|SGTA_HUMAN Small glutamine-rich tetratricopeptide repeat-containing protein alpha OS=Homo sapiens OX=9606 GN=SGTA PE=1 SV=1
+MDNKKRLAYAIIQFLHDQLRHGGLSSDAQESLEVAIQCLETAFGVTVEDSDLALPQTLPEIFEAAATGKEMPQDLRSPARTPPSEEDSAEAERLKTEGNEQMKVENFEAAVHFYGKAIELNPANAVYFCNRAAAYSKLGNYAGAVQDCERAICIDPAYSKAYGRMGLALSSLNKHVEAVAYYKKALELDPDNETYKSNLKIAELKLREAPSPTGGVGSFDIAGLLNNPGFMSMASNLMNNPQIQQLMSGMISGGNNPLGTPGTSPSQNDLASLIQAGQQFAQQMQQQNPELIEQLRSQIRSRTPSASNDDQQE
+>DECOY_sp|O43765|SGTA_HUMAN Small glutamine-rich tetratricopeptide repeat-containing protein alpha OS=Homo sapiens OX=9606 GN=SGTA PE=1 SV=1
+EQQDDNSASPTRSRIQSRLQEILEPNQQQMQQAFQQGAQILSALDNQSPSTGPTGLPNNGGSIMGSMLQQIQPNNMLNSAMSMFGPNNLLGAIDFSGVGGTPSPAERLKLEAIKLNSKYTENDPDLELAKKYYAVAEVHKNLSSLALGMRGYAKSYAPDICIARECDQVAGAYNGLKSYAAARNCFYVANAPNLEIAKGYFHVAAEFNEVKMQENGETKLREAEASDEESPPTRAPSRLDQPMEKGTAAAEFIEPLTQPLALDSDEVTVGFATELCQIAVELSEQADSSLGGHRLQDHLFQIIAYALRKKNDM
+>sp|Q92529|SHC3_HUMAN SHC-transforming protein 3 OS=Homo sapiens OX=9606 GN=SHC3 PE=1 SV=1
+MLPRTKYNRFRNDSVTSVDDLLHSLSVSGGGGKVSAARATPAAAPYLVSGEALRKAPDDGPGSLGHLLHKVSHLKLSSSGLRGLSSAARERAGARLSGSCSAPSLAAPDGSAPSAPRAPAMSAARKGRPGDEPLPRPPRGAPHASDQVLGPGVTYVVKYLGCIEVLRSMRSLDFSTRTQITREAISRVCEAVPGAKGAFKKRKPPSKMLSSILGKSNLQFAGMSISLTISTASLNLRTPDSKQIIANHHMRSISFASGGDPDTTDYVAYVAKDPVNRRACHILECCDGLAQDVIGSIGQAFELRFKQYLQCPTKIPALHDRMQSLDEPWTEEEGDGSDHPYYNSIPSKMPPPGGFLDTRLKPRPHAPDTAQFAGKEQTYYQGRHLGDTFGEDWQQTPLRQGSSDIYSTPEGKLHVAPTGEAPTYVNTQQIPPQAWPAAVSSAESSPRKDLFDMKPFEDALKNQPLGPVLSKAASVECISPVSPRAPDAKMLEELQAETWYQGEMSRKEAEGLLEKDGDFLVRKSTTNPGSFVLTGMHNGQAKHLLLVDPEGTIRTKDRVFDSISHLINHHLESSLPIVSAGSELCLQQPVERKQ
+>DECOY_sp|Q92529|SHC3_HUMAN SHC-transforming protein 3 OS=Homo sapiens OX=9606 GN=SHC3 PE=1 SV=1
+QKREVPQQLCLESGASVIPLSSELHHNILHSISDFVRDKTRITGEPDVLLLHKAQGNHMGTLVFSGPNTTSKRVLFDGDKELLGEAEKRSMEGQYWTEAQLEELMKADPARPSVPSICEVSAAKSLVPGLPQNKLADEFPKMDFLDKRPSSEASSVAAPWAQPPIQQTNVYTPAEGTPAVHLKGEPTSYIDSSGQRLPTQQWDEGFTDGLHRGQYYTQEKGAFQATDPAHPRPKLRTDLFGGPPPMKSPISNYYPHDSGDGEEETWPEDLSQMRDHLAPIKTPCQLYQKFRLEFAQGISGIVDQALGDCCELIHCARRNVPDKAVYAVYDTTDPDGGSAFSISRMHHNAIIQKSDPTRLNLSATSITLSISMGAFQLNSKGLISSLMKSPPKRKKFAGKAGPVAECVRSIAERTIQTRTSFDLSRMSRLVEICGLYKVVYTVGPGLVQDSAHPAGRPPRPLPEDGPRGKRAASMAPARPASPASGDPAALSPASCSGSLRAGARERAASSLGRLGSSSLKLHSVKHLLHGLSGPGDDPAKRLAEGSVLYPAAAPTARAASVKGGGGSVSLSHLLDDVSTVSDNRFRNYKTRPLM
+>sp|Q9NR46|SHLB2_HUMAN Endophilin-B2 OS=Homo sapiens OX=9606 GN=SH3GLB2 PE=1 SV=1
+MDFNMKKLASDAGIFFTRAVQFTEEKFGQAEKTELDAHFENLLARADSTKNWTEKILRQTEVLLQPNPSARVEEFLYEKLDRKVPSRVTNGELLAQYMADAASELGPTTPYGKTLIKVAEAEKQLGAAERDFIHTASISFLTPLRNFLEGDWKTISKERRLLQNRRLDLDACKARLKKAKAAEAKATTVPDFQETRPRNYILSASASALWNDEVDKAEQELRVAQTEFDRQAEVTRLLLEGISSTHVNHLRCLHEFVKSQTTYYAQCYRHMLDLQKQLGRFPGTFVGTTEPASPPLSSTSPTTAAATMPVVPSVASLAPPGEASLCLEEVAPPASGTRKARVLYDYEAADSSELALLADELITVYSLPGMDPDWLIGERGNKKGKVPVTYLELLS
+>DECOY_sp|Q9NR46|SHLB2_HUMAN Endophilin-B2 OS=Homo sapiens OX=9606 GN=SH3GLB2 PE=1 SV=1
+SLLELYTVPVKGKKNGREGILWDPDMGPLSYVTILEDALLALESSDAAEYDYLVRAKRTGSAPPAVEELCLSAEGPPALSAVSPVVPMTAAATTPSTSSLPPSAPETTGVFTGPFRGLQKQLDLMHRYCQAYYTTQSKVFEHLCRLHNVHTSSIGELLLRTVEAQRDFETQAVRLEQEAKDVEDNWLASASASLIYNRPRTEQFDPVTTAKAEAAKAKKLRAKCADLDLRRNQLLRREKSITKWDGELFNRLPTLFSISATHIFDREAAGLQKEAEAVKILTKGYPTTPGLESAADAMYQALLEGNTVRSPVKRDLKEYLFEEVRASPNPQLLVETQRLIKETWNKTSDARALLNEFHADLETKEAQGFKEETFQVARTFFIGADSALKKMNFDM
+>sp|B8ZZ34|SHSA8_HUMAN Protein shisa-8 OS=Homo sapiens OX=9606 GN=SHISA8 PE=3 SV=3
+MARAGARGLLGGRRPPGLRLALALRLALLLARPPSGRAGAPEAQGPAAPGTTAPEGGDRCRGYYDVMGQWDPPFNCSSGAYSFCCGTCGYRFCCHDGPRRLDQSRCSNYDTPAWVQTGRPPARARDTAAPRDPGRERSHTAVYAVCGVAALLVLAGIGARLGLERAHSPRARRTVTRALTELLKQPGPQEPLPPTLGPPLGGCVQVQMGDGLPRGSPHNSADKKRLNNAPRGSAAPGPPRGPRLQGGGSLTLQPDYAKYATFKAAALKAAEAAPRDFCQRFPALEPSPRQPPARAPRPSPDLPAPLDACPWAPPVYAPPAAPGPYAAWTSSRPARPAPLSHPTARAFQVPRRPGHAARRQFSVKMPETFNPQLPGLYGSAGRGSRYLRTNSKTEVTV
+>DECOY_sp|B8ZZ34|SHSA8_HUMAN Protein shisa-8 OS=Homo sapiens OX=9606 GN=SHISA8 PE=3 SV=3
+VTVETKSNTRLYRSGRGASGYLGPLQPNFTEPMKVSFQRRAAHGPRRPVQFARATPHSLPAPRAPRSSTWAAYPGPAAPPAYVPPAWPCADLPAPLDPSPRPARAPPQRPSPELAPFRQCFDRPAAEAAKLAAAKFTAYKAYDPQLTLSGGGQLRPGRPPGPAASGRPANNLRKKDASNHPSGRPLGDGMQVQVCGGLPPGLTPPLPEQPGPQKLLETLARTVTRRARPSHARELGLRAGIGALVLLAAVGCVAYVATHSRERGPDRPAATDRARAPPRGTQVWAPTDYNSCRSQDLRRPGDHCCFRYGCTGCCFSYAGSSCNFPPDWQGMVDYYGRCRDGGEPATTGPAAPGQAEPAGARGSPPRALLLALRLALALRLGPPRRGGLLGRAGARAM
+>sp|Q3SXP7|SHSL1_HUMAN Protein shisa-like-1 OS=Homo sapiens OX=9606 GN=SHISAL1 PE=2 SV=2
+MTSCGQQSLNVLAVLFSLLFSAVLSAHFRVCEPYTDHKGRYHFGFHCPRLSDNKTFILCCHHNNTVFKYCCNETEFQAVMQANLTASSEGYMHNNYTALLGVWIYGFFVLMLLVLDLLYYSAMNYDICKVYLARWGIQGRWMKQDPRRWGNPARAPRPGQRAPQPQPPPGPLPQAPQAVHTLRGDAHSPPLMTFQSSSA
+>DECOY_sp|Q3SXP7|SHSL1_HUMAN Protein shisa-like-1 OS=Homo sapiens OX=9606 GN=SHISAL1 PE=2 SV=2
+ASSSQFTMLPPSHADGRLTHVAQPAQPLPGPPPQPQPARQGPRPARAPNGWRRPDQKMWRGQIGWRALYVKCIDYNMASYYLLDLVLLMLVFFGYIWVGLLATYNNHMYGESSATLNAQMVAQFETENCCYKFVTNNHHCCLIFTKNDSLRPCHFGFHYRGKHDTYPECVRFHASLVASFLLSFLVALVNLSQQGCSTM
+>sp|O43166|SI1L1_HUMAN Signal-induced proliferation-associated 1-like protein 1 OS=Homo sapiens OX=9606 GN=SIPA1L1 PE=1 SV=4
+MTSLKRSQTERPLATDRASVVGTDGTPKVHTDDFYMRRFRSQNGSLGSSVMAPVGPPRSEGSHHITSTPGVPKMGVRARIADWPPRKENIKESSRSSQEIETSSCLDSLSSKSSPVSQGSSVSLNSNDSAMLKSIQNTLKNKTRPSENMDSRFLMPEAYPSSPRKALRRIRQRSNSDITISELDVDSFDECISPTYKTGPSLHREYGSTSSIDKQGTSGESFFDLLKGYKDDKSDRGPTPTKLSDFLITGGGKGSGFSLDVIDGPISQRENLRLFKEREKPLKRRSKSETGDSSIFRKLRNAKGEELGKSSDLEDNRSEDSVRPWTCPKCFAHYDVQSILFDLNEAIMNRHNVIKRRNTTTGASAAAVASLVSGPLSHSASFSSPMGSTEDLNSKGSLSMDQGDDKSNELVMSCPYFRNEIGGEGERKISLSKSNSGSFSGCESASFESTLSSHCTNAGVAVLEVPKENLVLHLDRVKRYIVEHVDLGAYYYRKFFYQKEHWNYFGADENLGPVAVSIRREKPDEMKENGSPYNYRIIFRTSELMTLRGSVLEDAIPSTAKHSTARGLPLKEVLEHVVPELNVQCLRLAFNTPKVTEQLMKLDEQGLNYQQKVGIMYCKAGQSTEEEMYNNESAGPAFEEFLQLLGERVRLKGFEKYRAQLDTKTDSTGTHSLYTTYKDYEIMFHVSTMLPYTPNNKQQLLRKRHIGNDIVTIVFQEPGAQPFSPKNIRSHFQHVFVIVRVHNPCSDSVCYSVAVTRSRDVPSFGPPIPKGVTFPKSNVFRDFLLAKVINAENAAHKSEKFRAMATRTRQEYLKDLAEKNVTNTPIDPSGKFPFISLASKKKEKSKPYPGAELSSMGAIVWAVRAEDYNKAMELDCLLGISNEFIVLIEQETKSVVFNCSCRDVIGWTSTDTSLKIFYERGECVSVGSFINIEEIKEIVKRLQFVSKGCESVEMTLRRNGLGQLGFHVNYEGIVADVEPYGYAWQAGLRQGSRLVEICKVAVATLSHEQMIDLLRTSVTVKVVIIPPHDDCTPRRSCSETYRMPVMEYKMNEGVSYEFKFPFRNNNKWQRNASKGPHSPQVPSQVQSPMTSRLNAGKGDGKMPPPERAANIPRSISSDGRPLERRLSPGSDIYVTVSSMALARSQCRNSPSNLSSSSDTGSVGGTYRQKSMPEGFGVSRRSPASIDRQNTQSDIGGSGKSTPSWQRSEDSIADQMAYSYRGPQDFNSFVLEQHEYTEPTCHLPAVSKVLPAFRESPSGRLMRQDPVVHLSPNKQGHSDSHYSSHSSSNTLSSNASSAHSDEKWYDGDRTESELNSYNYLQGTSADSGIDTTSYGPSHGSTASLGAATSSPRSGPGKEKVAPLWHSSSEVISMADRTLETESHGLDRKTESSLSLDIHSKSQAGSTPLTRENSTFSINDAASHTSTMSSRHSASPVVFTSARSSPKEELHPAAPSQLAPSFSSSSSSSSGPRSFYPRQGATSKYLIGWKKPEGTINSVGFMDTRKRHQSDGNEIAHTRLRASTRDLRASPKPTSKSTIEEDLKKLIDLESPTPESQKSFKFHALSSPQSPFPSTPTSRRALHRTLSDESIYNSQREHFFTSRASLLDQALPNDVLFSSTYPSLPKSLPLRRPSYTLGMKSLHGEFSASDSSLTDIQETRRQPMPDPGLMPLPDTAADLDWSNLVDAAKAYEVQRASFFAASDENHRPLSAASNSDQLEDQALAQMKPYSSSKDSSPTLASKVDQLEGMLKMLREDLKKEKEDKAHLQAEVQHLREDNLRLQEESQNASDKLKKFTEWVFNTIDMS
+>DECOY_sp|O43166|SI1L1_HUMAN Signal-induced proliferation-associated 1-like protein 1 OS=Homo sapiens OX=9606 GN=SIPA1L1 PE=1 SV=4
+SMDITNFVWETFKKLKDSANQSEEQLRLNDERLHQVEAQLHAKDEKEKKLDERLMKLMGELQDVKSALTPSSDKSSSYPKMQALAQDELQDSNSAASLPRHNEDSAAFFSARQVEYAKAADVLNSWDLDAATDPLPMLGPDPMPQRRTEQIDTLSSDSASFEGHLSKMGLTYSPRRLPLSKPLSPYTSSFLVDNPLAQDLLSARSTFFHERQSNYISEDSLTRHLARRSTPTSPFPSQPSSLAHFKFSKQSEPTPSELDILKKLDEEITSKSTPKPSARLDRTSARLRTHAIENGDSQHRKRTDMFGVSNITGEPKKWGILYKSTAGQRPYFSRPGSSSSSSSSFSPALQSPAAPHLEEKPSSRASTFVVPSASHRSSMTSTHSAADNISFTSNERTLPTSGAQSKSHIDLSLSSETKRDLGHSETELTRDAMSIVESSSHWLPAVKEKGPGSRPSSTAAGLSATSGHSPGYSTTDIGSDASTGQLYNYSNLESETRDGDYWKEDSHASSANSSLTNSSSHSSYHSDSHGQKNPSLHVVPDQRMLRGSPSERFAPLVKSVAPLHCTPETYEHQELVFSNFDQPGRYSYAMQDAISDESRQWSPTSKGSGGIDSQTNQRDISAPSRRSVGFGEPMSKQRYTGGVSGTDSSSSLNSPSNRCQSRALAMSSVTVYIDSGPSLRRELPRGDSSISRPINAAREPPPMKGDGKGANLRSTMPSQVQSPVQPSHPGKSANRQWKNNNRFPFKFEYSVGENMKYEMVPMRYTESCSRRPTCDDHPPIIVVKVTVSTRLLDIMQEHSLTAVAVKCIEVLRSGQRLGAQWAYGYPEVDAVIGEYNVHFGLQGLGNRRLTMEVSECGKSVFQLRKVIEKIEEINIFSGVSVCEGREYFIKLSTDTSTWGIVDRCSCNFVVSKTEQEILVIFENSIGLLCDLEMAKNYDEARVAWVIAGMSSLEAGPYPKSKEKKKSALSIFPFKGSPDIPTNTVNKEALDKLYEQRTRTAMARFKESKHAANEANIVKALLFDRFVNSKPFTVGKPIPPGFSPVDRSRTVAVSYCVSDSCPNHVRVIVFVHQFHSRINKPSFPQAGPEQFVITVIDNGIHRKRLLQQKNNPTYPLMTSVHFMIEYDKYTTYLSHTGTSDTKTDLQARYKEFGKLRVREGLLQLFEEFAPGASENNYMEEETSQGAKCYMIGVKQQYNLGQEDLKMLQETVKPTNFALRLCQVNLEPVVHELVEKLPLGRATSHKATSPIADELVSGRLTMLESTRFIIRYNYPSGNEKMEDPKERRISVAVPGLNEDAGFYNWHEKQYFFKRYYYAGLDVHEVIYRKVRDLHLVLNEKPVELVAVGANTCHSSLTSEFSASECGSFSGSNSKSLSIKREGEGGIENRFYPCSMVLENSKDDGQDMSLSGKSNLDETSGMPSSFSASHSLPGSVLSAVAAASAGTTTNRRKIVNHRNMIAENLDFLISQVDYHAFCKPCTWPRVSDESRNDELDSSKGLEEGKANRLKRFISSDGTESKSRRKLPKEREKFLRLNERQSIPGDIVDLSFGSGKGGGTILFDSLKTPTPGRDSKDDKYGKLLDFFSEGSTGQKDISSTSGYERHLSPGTKYTPSICEDFSDVDLESITIDSNSRQRIRRLAKRPSSPYAEPMLFRSDMNESPRTKNKLTNQISKLMASDNSNLSVSSGQSVPSSKSSLSDLCSSTEIEQSSRSSEKINEKRPPWDAIRARVGMKPVGPTSTIHHSGESRPPGVPAMVSSGLSGNQSRFRRMYFDDTHVKPTGDTGVVSARDTALPRETQSRKLSTM
+>sp|O60292|SI1L3_HUMAN Signal-induced proliferation-associated 1-like protein 3 OS=Homo sapiens OX=9606 GN=SIPA1L3 PE=1 SV=3
+MTTYRAIPSDGVDLAASCGARVGDVLPGPHTGDYAPLGFWAQNGSMSQPLGESPATATATATATTRPSPTTPAMPKMGVRARVADWPPKREALREHSNPSPSQDTDGTKATKMAHSMRSIQNGQPPTSTPASSGSKAFHRLSRRRSKDVEFQDGWPRSPGRAFLPLRHRSSSEITLSECDAEDAGEPRGARHTGALPLFREYGSTSSIDVQGMPEQSFFDILNEFRSEQPDARGCQALTELLRADPGPHLMGGGGGAKGDSHNGQPAKDSLLPLQPTKEKEKARKKPARGLGGGDTVDSSIFRKLRSSKPEGEAGRSPGEADEGRSPPEASRPWVCQKSFAHFDVQSMLFDLNEAAANRVSVSQRRNTTTGASAASAASAMASLTASRAHSLGGLDPAFTSTEDLNCKENLEQDLGDDNSNDLLLSCPHFRNEIGGECERNVSFSRASVGSPSSGEGHLAEPALSAYRTNASISVLEVPKEQQRTQSRPRQYSIEHVDLGARYYQDYFVGKEHANYFGVDEKLGPVAVSIKREKLEDHKEHGPQYQYRIIFRTRELITLRGSILEDATPTATKHGTGRGLPLKDALEYVIPELNIHCLRLALNTPKVTEQLLKLDEQGLCRKHKVGILYCKAGQSSEEEMYNNEEAGPAFEEFLSLIGEKVCLKGFTKYAAQLDVKTDSTGTHSLYTMYQDYEIMFHVSTLLPYTPNNRQQLLRKRHIGNDIVTIIFQEPGALPFTPKNIRSHFQHVFIIVRVHNPCTDNVCYSMAVTRSKDAPPFGPPIPSGTTFRKSDVFRDFLLAKVINAENAAHKSDKFHTMATRTRQEYLKDLAENCVSNTPIDSTGKFNLISLTSKKKEKTKARAGAEQHSAGAIAWRVVAQDYAQGVEIDCILGISNEFVVLLDLRTKEVVFNCYCGDVIGWTPDSSTLKIFYGRGDHIFLQATEGSVEDIREIVQRLKVMTSGWETVDMTLRRNGLGQLGFHVKYDGTVAEVEDYGFAWQAGLRQGSRLVEICKVAVVTLTHDQMIDLLRTSVTVKVVIIPPFEDGTPRRGWPETYDMNTSEPKTEQESITPGGRPPYRSNAPWQWSGPASHNSLPASKWATPTTPGHAQSLSRPLKQTPIVPFRESQPLHSKRPVSFPETPYTVSPAGADRVPPYRQPSGSFSTPGSATYVRYKPSPERYTAAPHPLLSLDPHFSHDGTSSGDSSSGGLTSQESTMERQKPEPLWHVPAQARLSAIAGSSGNKHPSRQDAAGKDSPNRHSKGEPQYSSHSSSNTLSSNASSSHSDDRWFDPLDPLEPEQDPLSKGGSSDSGIDTTLYTSSPSCMSLAKAPRPAKPHKPPGSMGLCGGGREAAGRSHHADRRREVSPAPAVAGQSKGYRPKLYSSGSSTPTGLAGGSRDPPRQPSDMGSRVGYPAQVYKTASAETPRPSQLAQPSPFQLSASVPKSFFSKQPVRNKHPTGWKRTEEPPPRPLPFSDPKKQVDTNTKNVFGQPRLRASLRDLRSPRKNYKSTIEDDLKKLIIMDNLGPEQERDTGQSPQKGLQRTLSDESLCSGRREPSFASPAGLEPGLPSDVLFTSTCAFPSSTLPARRQHQHPHPPVGPGATPAAGSGFPEKKSTISASELSLADGRDRPLRRLDPGLMPLPDTAAGLEWSSLVNAAKAYEVQRAVSLFSLNDPALSPDIPPAHSPVHSHLSLERGPPTPRTTPTMSEEPPLDLTGKVYQLEVMLKQLHTDLQKEKQDKVVLQSEVASLRQNNQRLQEESQAASEQLRKFAEIFCREKKEL
+>DECOY_sp|O60292|SI1L3_HUMAN Signal-induced proliferation-associated 1-like protein 3 OS=Homo sapiens OX=9606 GN=SIPA1L3 PE=1 SV=3
+LEKKERCFIEAFKRLQESAAQSEEQLRQNNQRLSAVESQLVVKDQKEKQLDTHLQKLMVELQYVKGTLDLPPEESMTPTTRPTPPGRELSLHSHVPSHAPPIDPSLAPDNLSFLSVARQVEYAKAANVLSSWELGAATDPLPMLGPDLRRLPRDRGDALSLESASITSKKEPFGSGAAPTAGPGVPPHPHQHQRRAPLTSSPFACTSTFLVDSPLGPELGAPSAFSPERRGSCLSEDSLTRQLGKQPSQGTDREQEPGLNDMIILKKLDDEITSKYNKRPSRLDRLSARLRPQGFVNKTNTDVQKKPDSFPLPRPPPEETRKWGTPHKNRVPQKSFFSKPVSASLQFPSPQALQSPRPTEASATKYVQAPYGVRSGMDSPQRPPDRSGGALGTPTSSGSSYLKPRYGKSQGAVAPAPSVERRRDAHHSRGAAERGGGCLGMSGPPKHPKAPRPAKALSMCSPSSTYLTTDIGSDSSGGKSLPDQEPELPDLPDFWRDDSHSSSANSSLTNSSSHSSYQPEGKSHRNPSDKGAADQRSPHKNGSSGAIASLRAQAPVHWLPEPKQREMTSEQSTLGGSSSDGSSTGDHSFHPDLSLLPHPAATYREPSPKYRVYTASGPTSFSGSPQRYPPVRDAGAPSVTYPTEPFSVPRKSHLPQSERFPVIPTQKLPRSLSQAHGPTTPTAWKSAPLSNHSAPGSWQWPANSRYPPRGGPTISEQETKPESTNMDYTEPWGRRPTGDEFPPIIVVKVTVSTRLLDIMQDHTLTVVAVKCIEVLRSGQRLGAQWAFGYDEVEAVTGDYKVHFGLQGLGNRRLTMDVTEWGSTMVKLRQVIERIDEVSGETAQLFIHDGRGYFIKLTSSDPTWGIVDGCYCNFVVEKTRLDLLVVFENSIGLICDIEVGQAYDQAVVRWAIAGASHQEAGARAKTKEKKKSTLSILNFKGTSDIPTNSVCNEALDKLYEQRTRTAMTHFKDSKHAANEANIVKALLFDRFVDSKRFTTGSPIPPGFPPADKSRTVAMSYCVNDTCPNHVRVIIFVHQFHSRINKPTFPLAGPEQFIITVIDNGIHRKRLLQQRNNPTYPLLTSVHFMIEYDQYMTYLSHTGTSDTKVDLQAAYKTFGKLCVKEGILSLFEEFAPGAEENNYMEEESSQGAKCYLIGVKHKRCLGQEDLKLLQETVKPTNLALRLCHINLEPIVYELADKLPLGRGTGHKTATPTADELISGRLTILERTRFIIRYQYQPGHEKHDELKERKISVAVPGLKEDVGFYNAHEKGVFYDQYYRAGLDVHEISYQRPRSQTRQQEKPVELVSISANTRYASLAPEALHGEGSSPSGVSARSFSVNRECEGGIENRFHPCSLLLDNSNDDGLDQELNEKCNLDETSTFAPDLGGLSHARSATLSAMASAASAASAGTTTNRRQSVSVRNAAAENLDFLMSQVDFHAFSKQCVWPRSAEPPSRGEDAEGPSRGAEGEPKSSRLKRFISSDVTDGGGLGRAPKKRAKEKEKTPQLPLLSDKAPQGNHSDGKAGGGGGMLHPGPDARLLETLAQCGRADPQESRFENLIDFFSQEPMGQVDISSTSGYERFLPLAGTHRAGRPEGADEADCESLTIESSSRHRLPLFARGPSRPWGDQFEVDKSRRRSLRHFAKSGSSAPTSTPPQGNQISRMSHAMKTAKTGDTDQSPSPNSHERLAERKPPWDAVRARVGMKPMAPTTPSPRTTATATATATAPSEGLPQSMSGNQAWFGLPAYDGTHPGPLVDGVRAGCSAALDVGDSPIARYTTM
+>sp|Q11201|SIA4A_HUMAN CMP-N-acetylneuraminate-beta-galactosamide-alpha-2,3-sialyltransferase 1 OS=Homo sapiens OX=9606 GN=ST3GAL1 PE=2 SV=1
+MVTLRKRTLKVLTFLVLFIFLTSFFLNYSHTMVATTWFPKQMVLELSENLKRLIKHRPCTCTHCIGQRKLSAWFDERFNQTMQPLLTAQNALLEDDTYRWWLRLQREKKPNNLNDTIKELFRVVPGNVDPMLEKRSVGCRRCAVVGNSGNLRESSYGPEIDSHDFVLRMNKAPTAGFEADVGTKTTHHLVYPESFRELGDNVSMILVPFKTIDLEWVVSAITTGTISHTYIPVPAKIRVKQDKILIYHPAFIKYVFDNWLQGHGRYPSTGILSVIFSMHVCDEVDLYGFGADSKGNWHHYWENNPSAGAFRKTGVHDADFESNVTATLASINKIRIFKGR
+>DECOY_sp|Q11201|SIA4A_HUMAN CMP-N-acetylneuraminate-beta-galactosamide-alpha-2,3-sialyltransferase 1 OS=Homo sapiens OX=9606 GN=ST3GAL1 PE=2 SV=1
+RGKFIRIKNISALTATVNSEFDADHVGTKRFAGASPNNEWYHHWNGKSDAGFGYLDVEDCVHMSFIVSLIGTSPYRGHGQLWNDFVYKIFAPHYILIKDQKVRIKAPVPIYTHSITGTTIASVVWELDITKFPVLIMSVNDGLERFSEPYVLHHTTKTGVDAEFGATPAKNMRLVFDHSDIEPGYSSERLNGSNGVVACRRCGVSRKELMPDVNGPVVRFLEKITDNLNNPKKERQLRLWWRYTDDELLANQATLLPQMTQNFREDFWASLKRQGICHTCTCPRHKILRKLNESLELVMQKPFWTTAVMTHSYNLFFSTLFIFLVLFTLVKLTRKRLTVM
+>sp|Q11206|SIA4C_HUMAN CMP-N-acetylneuraminate-beta-galactosamide-alpha-2,3-sialyltransferase 4 OS=Homo sapiens OX=9606 GN=ST3GAL4 PE=2 SV=1
+MVSKSRWKLLAMLALVLVVMVWYSISREDRYIELFYFPIPEKKEPCLQGEAESKASKLFGNYSRDQPIFLRLEDYFWVKTPSAYELPYGTKGSEDLLLRVLAITSSSIPKNIQSLRCRRCVVVGNGHRLRNSSLGDAINKYDVVIRLNNAPVAGYEGDVGSKTTMRLFYPESAHFDPKVENNPDTLLVLVAFKAMDFHWIETILSDKKRVRKGFWKQPPLIWDVNPKQIRILNPFFMEIAADKLLSLPMQQPRKIKQKPTTGLLAITLALHLCDLVHIAGFGYPDAYNKKQTIHYYEQITLKSMAGSGHNVSQEALAIKRMLEMGAIKNLTSF
+>DECOY_sp|Q11206|SIA4C_HUMAN CMP-N-acetylneuraminate-beta-galactosamide-alpha-2,3-sialyltransferase 4 OS=Homo sapiens OX=9606 GN=ST3GAL4 PE=2 SV=1
+FSTLNKIAGMELMRKIALAEQSVNHGSGAMSKLTIQEYYHITQKKNYADPYGFGAIHVLDCLHLALTIALLGTTPKQKIKRPQQMPLSLLKDAAIEMFFPNLIRIQKPNVDWILPPQKWFGKRVRKKDSLITEIWHFDMAKFAVLVLLTDPNNEVKPDFHASEPYFLRMTTKSGVDGEYGAVPANNLRIVVDYKNIADGLSSNRLRHGNGVVVCRRCRLSQINKPISSSTIALVRLLLDESGKTGYPLEYASPTKVWFYDELRLFIPQDRSYNGFLKSAKSEAEGQLCPEKKEPIPFYFLEIYRDERSISYWVMVVLVLALMALLKWRSKSVM
+>sp|Q9UJ37|SIA7B_HUMAN Alpha-N-acetylgalactosaminide alpha-2,6-sialyltransferase 2 OS=Homo sapiens OX=9606 GN=ST6GALNAC2 PE=1 SV=1
+MGLPRGSFFWLLLLLTAACSGLLFALYFSAVQRYPGPAAGARDTTSFEAFFQSKASNSWTGKGQACRHLLHLAIQRHPHFRGLFNLSIPVLLWGDLFTPALWDRLSQHKAPYGWRGLSHQVIASTLSLLNGSESAKLFAPPRDTPPKCIRCAVVGNGGILNGSRQGPNIDAHDYVFRLNGAVIKGFERDVGTKTSFYGFTVNTMKNSLVSYWNLGFTSVPQGQDLQYIFIPSDIRDYVMLRSAILGVPVPEGLDKGDRPHAYFGPEASASKFKLLHPDFISYLTERFLKSKLINTHFGDLYMPSTGALMLLTALHTCDQVSAYGFITSNYWKFSDHYFERKMKPLIFYANHDLSLEAALWRDLHKAGILQLYQR
+>DECOY_sp|Q9UJ37|SIA7B_HUMAN Alpha-N-acetylgalactosaminide alpha-2,6-sialyltransferase 2 OS=Homo sapiens OX=9606 GN=ST6GALNAC2 PE=1 SV=1
+RQYLQLIGAKHLDRWLAAELSLDHNAYFILPKMKREFYHDSFKWYNSTIFGYASVQDCTHLATLLMLAGTSPMYLDGFHTNILKSKLFRETLYSIFDPHLLKFKSASAEPGFYAHPRDGKDLGEPVPVGLIASRLMVYDRIDSPIFIYQLDQGQPVSTFGLNWYSVLSNKMTNVTFGYFSTKTGVDREFGKIVAGNLRFVYDHADINPGQRSGNLIGGNGVVACRICKPPTDRPPAFLKASESGNLLSLTSAIVQHSLGRWGYPAKHQSLRDWLAPTFLDGWLLVPISLNFLGRFHPHRQIALHLLHRCAQGKGTWSNSAKSQFFAEFSTTDRAGAAPGPYRQVASFYLAFLLGSCAATLLLLLWFFSGRPLGM
+>sp|Q8IUQ4|SIAH1_HUMAN E3 ubiquitin-protein ligase SIAH1 OS=Homo sapiens OX=9606 GN=SIAH1 PE=1 SV=2
+MSRQTATALPTGTSKCPPSQRVPALTGTTASNNDLASLFECPVCFDYVLPPILQCQSGHLVCSNCRPKLTCCPTCRGPLGSIRNLAMEKVANSVLFPCKYASSGCEITLPHTEKADHEELCEFRPYSCPCPGASCKWQGSLDAVMPHLMHQHKSITTLQGEDIVFLATDINLPGAVDWVMMQSCFGFHFMLVLEKQEKYDGHQQFFAIVQLIGTRKQAENFAYRLELNGHRRRLTWEATPRSIHEGIATAIMNSDCLVFDTSIAQLFAENGNLGINVTISMC
+>DECOY_sp|Q8IUQ4|SIAH1_HUMAN E3 ubiquitin-protein ligase SIAH1 OS=Homo sapiens OX=9606 GN=SIAH1 PE=1 SV=2
+CMSITVNIGLNGNEAFLQAISTDFVLCDSNMIATAIGEHISRPTAEWTLRRRHGNLELRYAFNEAQKRTGILQVIAFFQQHGDYKEQKELVLMFHFGFCSQMMVWDVAGPLNIDTALFVIDEGQLTTISKHQHMLHPMVADLSGQWKCSAGPCPCSYPRFECLEEHDAKETHPLTIECGSSAYKCPFLVSNAVKEMALNRISGLPGRCTPCCTLKPRCNSCVLHGSQCQLIPPLVYDFCVPCEFLSALDNNSATTGTLAPVRQSPPCKSTGTPLATATQRSM
+>sp|Q96JF0|SIAT2_HUMAN Beta-galactoside alpha-2,6-sialyltransferase 2 OS=Homo sapiens OX=9606 GN=ST6GAL2 PE=1 SV=2
+MKPHLKQWRQRMLFGIFAWGLLFLLIFIYFTDSNPAEPVPSSLSFLETRRLLPVQGKQRAIMGAAHEPSPPGGLDARQALPRAHPAGSFHAGPGDLQKWAQSQDGFEHKEFFSSQVGRKSQSAFYPEDDDYFFAAGQPGWHSHTQGTLGFPSPGEPGPREGAFPAAQVQRRRVKKRHRRQRRSHVLEEGDDGDRLYSSMSRAFLYRLWKGNVSSKMLNPRLQKAMKDYLTANKHGVRFRGKREAGLSRAQLLCQLRSRARVRTLDGTEAPFSALGWRRLVPAVPLSQLHPRGLRSCAVVMSAGAILNSSLGEEIDSHDAVLRFNSAPTRGYEKDVGNKTTIRIINSQILTNPSHHFIDSSLYKDVILVAWDPAPYSANLNLWYKKPDYNLFTPYIQHRQRNPNQPFYILHPKFIWQLWDIIQENTKEKIQPNPPSSGFIGILIMMSMCREVHVYEYIPSVRQTELCHYHELYYDAACTLGAYHPLLYEKLLVQRLNMGTQGDLHRKGKVVLPGFQAVHCPAPSPVIPHS
+>DECOY_sp|Q96JF0|SIAT2_HUMAN Beta-galactoside alpha-2,6-sialyltransferase 2 OS=Homo sapiens OX=9606 GN=ST6GAL2 PE=1 SV=2
+SHPIVPSPAPCHVAQFGPLVVKGKRHLDGQTGMNLRQVLLKEYLLPHYAGLTCAADYYLEHYHCLETQRVSPIYEYVHVERCMSMMILIGIFGSSPPNPQIKEKTNEQIIDWLQWIFKPHLIYFPQNPNRQRHQIYPTFLNYDPKKYWLNLNASYPAPDWAVLIVDKYLSSDIFHHSPNTLIQSNIIRITTKNGVDKEYGRTPASNFRLVADHSDIEEGLSSNLIAGASMVVACSRLGRPHLQSLPVAPVLRRWGLASFPAETGDLTRVRARSRLQCLLQARSLGAERKGRFRVGHKNATLYDKMAKQLRPNLMKSSVNGKWLRYLFARSMSSYLRDGDDGEELVHSRRQRRHRKKVRRRQVQAAPFAGERPGPEGPSPFGLTGQTHSHWGPQGAAFFYDDDEPYFASQSKRGVQSSFFEKHEFGDQSQAWKQLDGPGAHFSGAPHARPLAQRADLGGPPSPEHAAGMIARQKGQVPLLRRTELFSLSSPVPEAPNSDTFYIFILLFLLGWAFIGFLMRQRWQKLHPKM
+>sp|Q9NXL6|SIDT1_HUMAN SID1 transmembrane family member 1 OS=Homo sapiens OX=9606 GN=SIDT1 PE=2 SV=2
+MRGCLRLALLCALPWLLLAASPGHPAKSPRQPPAPRRDPFDAARGADFDHVYSGVVNLSTENIYSFNYTSQPDQVTAVRVYVNSSSENLNYPVLVVVRQQKEVLSWQVPLLFQGLYQRSYNYQEVSRTLCPSEATNETGPLQQLIFVDVASMAPLGAQYKLLVTKLKHFQLRTNVAFHFTASPSQPQYFLYKFPKDVDSVIIKVVSEMAYPCSVVSVQNIMCPVYDLDHNVEFNGVYQSMTKKAAITLQKKDFPGEQFFVVFVIKPEDYACGGSFFIQEKENQTWNLQRKKNLEVTIVPSIKESVYVKSSLFSVFIFLSFYLGCLLVGFVHYLRFQRKSIDGSFGSNDGSGNMVASHPIAASTPEGSNYGTIDESSSSPGRQMSSSDGGPPGQSDTDSSVEESDFDTMPDIESDKNIIRTKMFLYLSDLSRKDRRIVSKKYKIYFWNIITIAVFYALPVIQLVITYQTVVNVTGNQDICYYNFLCAHPLGVLSAFNNILSNLGHVLLGFLFLLIVLRRDILHRRALEAKDIFAVEYGIPKHFGLFYAMGIALMMEGVLSACYHVCPNYSNFQFDTSFMYMIAGLCMLKLYQTRHPDINASAYSAYASFAVVIMVTVLGVVFGKNDVWFWVIFSAIHVLASLALSTQIYYMGRFKIDLGIFRRAAMVFYTDCIQQCSRPLYMDRMVLLVVGNLVNWSFALFGLIYRPRDFASYMLGIFICNLLLYLAFYIIMKLRSSEKVLPVPLFCIVATAVMWAAALYFFFQNLSSWEGTPAESREKNRECILLDFFDDHDIWHFLSATALFFSFLVLLTLDDDLDVVRRDQIPVF
+>DECOY_sp|Q9NXL6|SIDT1_HUMAN SID1 transmembrane family member 1 OS=Homo sapiens OX=9606 GN=SIDT1 PE=2 SV=2
+FVPIQDRRVVDLDDDLTLLVLFSFFLATASLFHWIDHDDFFDLLICERNKERSEAPTGEWSSLNQFFFYLAAAWMVATAVICFLPVPLVKESSRLKMIIYFALYLLLNCIFIGLMYSAFDRPRYILGFLAFSWNVLNGVVLLVMRDMYLPRSCQQICDTYFVMAARRFIGLDIKFRGMYYIQTSLALSALVHIASFIVWFWVDNKGFVVGLVTVMIVVAFSAYASYASANIDPHRTQYLKLMCLGAIMYMFSTDFQFNSYNPCVHYCASLVGEMMLAIGMAYFLGFHKPIGYEVAFIDKAELARRHLIDRRLVILLFLFGLLVHGLNSLINNFASLVGLPHACLFNYYCIDQNGTVNVVTQYTIVLQIVPLAYFVAITIINWFYIKYKKSVIRRDKRSLDSLYLFMKTRIINKDSEIDPMTDFDSEEVSSDTDSQGPPGGDSSSMQRGPSSSSEDITGYNSGEPTSAAIPHSAVMNGSGDNSGFSGDISKRQFRLYHVFGVLLCGLYFSLFIFVSFLSSKVYVSEKISPVITVELNKKRQLNWTQNEKEQIFFSGGCAYDEPKIVFVVFFQEGPFDKKQLTIAAKKTMSQYVGNFEVNHDLDYVPCMINQVSVVSCPYAMESVVKIIVSDVDKPFKYLFYQPQSPSATFHFAVNTRLQFHKLKTVLLKYQAGLPAMSAVDVFILQQLPGTENTAESPCLTRSVEQYNYSRQYLGQFLLPVQWSLVEKQQRVVVLVPYNLNESSSNVYVRVATVQDPQSTYNFSYINETSLNVVGSYVHDFDAGRAADFPDRRPAPPQRPSKAPHGPSAALLLWPLACLLALRLCGRM
+>sp|Q8NBJ9|SIDT2_HUMAN SID1 transmembrane family member 2 OS=Homo sapiens OX=9606 GN=SIDT2 PE=1 SV=2
+MFALGLPFLVLLVASVESHLGVLGPKNVSQKDAEFERTYVDEVNSELVNIYTFNHTVTRNRTEGVRVSVNVLNKQKGAPLLFVVRQKEAVVSFQVPLILRGMFQRKYLYQKVERTLCQPPTKNESEIQFFYVDVSTLSPVNTTYQLRVSRMDDFVLRTGEQFSFNTTAAQPQYFKYEFPEGVDSVIVKVTSNKAFPCSVISIQDVLCPVYDLDNNVAFIGMYQTMTKKAAITVQRKDFPSNSFYVVVVVKTEDQACGGSLPFYPFAEDEPVDQGHRQKTLSVLVSQAVTSEAYVSGMLFCLGIFLSFYLLTVLLACWENWRQKKKTLLVAIDRACPESGHPRVLADSFPGSSPYEGYNYGSFENVSGSTDGLVDSAGTGDLSYGYQGRSFEPVGTRPRVDSMSSVEEDDYDTLTDIDSDKNVIRTKQYLYVADLARKDKRVLRKKYQIYFWNIATIAVFYALPVVQLVITYQTVVNVTGNQDICYYNFLCAHPLGNLSAFNNILSNLGYILLGLLFLLIILQREINHNRALLRNDLCALECGIPKHFGLFYAMGTALMMEGLLSACYHVCPNYTNFQFDTSFMYMIAGLCMLKLYQKRHPDINASAYSAYACLAIVIFFSVLGVVFGKGNTAFWIVFSIIHIIATLLLSTQLYYMGRWKLDSGIFRRILHVLYTDCIRQCSGPLYVDRMVLLVMGNVINWSLAAYGLIMRPNDFASYLLAIGICNLLLYFAFYIIMKLRSGERIKLIPLLCIVCTSVVWGFALFFFFQGLSTWQKTPAESREHNRDCILLDFFDDHDIWHFLSSIAMFGSFLVLLTLDDDLDTVQRDKIYVF
+>DECOY_sp|Q8NBJ9|SIDT2_HUMAN SID1 transmembrane family member 2 OS=Homo sapiens OX=9606 GN=SIDT2 PE=1 SV=2
+FVYIKDRQVTDLDDDLTLLVLFSGFMAISSLFHWIDHDDFFDLLICDRNHERSEAPTKQWTSLGQFFFFLAFGWVVSTCVICLLPILKIREGSRLKMIIYFAFYLLLNCIGIALLYSAFDNPRMILGYAALSWNIVNGMVLLVMRDVYLPGSCQRICDTYLVHLIRRFIGSDLKWRGMYYLQTSLLLTAIIHIISFVIWFATNGKGFVVGLVSFFIVIALCAYASYASANIDPHRKQYLKLMCLGAIMYMFSTDFQFNTYNPCVHYCASLLGEMMLATGMAYFLGFHKPIGCELACLDNRLLARNHNIERQLIILLFLLGLLIYGLNSLINNFASLNGLPHACLFNYYCIDQNGTVNVVTQYTIVLQVVPLAYFVAITAINWFYIQYKKRLVRKDKRALDAVYLYQKTRIVNKDSDIDTLTDYDDEEVSSMSDVRPRTGVPEFSRGQYGYSLDGTGASDVLGDTSGSVNEFSGYNYGEYPSSGPFSDALVRPHGSEPCARDIAVLLTKKKQRWNEWCALLVTLLYFSLFIGLCFLMGSVYAESTVAQSVLVSLTKQRHGQDVPEDEAFPYFPLSGGCAQDETKVVVVVYFSNSPFDKRQVTIAAKKTMTQYMGIFAVNNDLDYVPCLVDQISIVSCPFAKNSTVKVIVSDVGEPFEYKFYQPQAATTNFSFQEGTRLVFDDMRSVRLQYTTNVPSLTSVDVYFFQIESENKTPPQCLTREVKQYLYKRQFMGRLILPVQFSVVAEKQRVVFLLPAGKQKNLVNVSVRVGETRNRTVTHNFTYINVLESNVEDVYTREFEADKQSVNKPGLVGLHSEVSAVLLVLFPLGLAFM
+>sp|Q96RL6|SIG11_HUMAN Sialic acid-binding Ig-like lectin 11 OS=Homo sapiens OX=9606 GN=SIGLEC11 PE=1 SV=2
+MVPGQAQPQSPEMLLLPLLLPVLGAGSLNKDPSYSLQVQRQVPVPEGLCVIVSCNLSYPRDGWDESTAAYGYWFKGRTSPKTGAPVATNNQSREVEMSTRDRFQLTGDPGKGSCSLVIRDAQREDEAWYFFRVERGSRVRHSFLSNAFFLKVTALTKKPDVYIPETLEPGQPVTVICVFNWAFKKCPAPSFSWTGAALSPRRTRPSTSHFSVLSFTPSPQDHDTDLTCHVDFSRKGVSAQRTVRLRVAYAPKDLIISISHDNTSALELQGNVIYLEVQKGQFLRLLCAADSQPPATLSWVLQDRVLSSSHPWGPRTLGLELRGVRAGDSGRYTCRAENRLGSQQQALDLSVQYPPENLRVMVSQANRTVLENLGNGTSLPVLEGQSLRLVCVTHSSPPARLSWTRWGQTVGPSQPSDPGVLELPPIQMEHEGEFTCHAQHPLGSQHVSLSLSVHYPPQLLGPSCSWEAEGLHCSCSSQASPAPSLRWWLGEELLEGNSSQGSFEVTPSSAGPWANSSLSLHGGLSSGLRLRCKAWNVHGAQSGSVFQLLPGKLEHGGGLGLGAALGAGVAALLAFCSCLVVFRVKICRKEARKRAAAEQDVPSTLGPISQGHQHECSAGSSQDHPPPGAATYTPGKGEEQELHYASLSFQGLRLWEPADQEAPSTTEYSEIKIHTGQPLRGPGFGLQLEREMSGMVPK
+>DECOY_sp|Q96RL6|SIG11_HUMAN Sialic acid-binding Ig-like lectin 11 OS=Homo sapiens OX=9606 GN=SIGLEC11 PE=1 SV=2
+KPVMGSMERELQLGFGPGRLPQGTHIKIESYETTSPAEQDAPEWLRLGQFSLSAYHLEQEEGKGPTYTAAGPPPHDQSSGASCEHQHGQSIPGLTSPVDQEAAARKRAEKRCIKVRFVVLCSCFALLAAVGAGLAAGLGLGGGHELKGPLLQFVSGSQAGHVNWAKCRLRLGSSLGGHLSLSSNAWPGASSPTVEFSGQSSNGELLEEGLWWRLSPAPSAQSSCSCHLGEAEWSCSPGLLQPPYHVSLSLSVHQSGLPHQAHCTFEGEHEMQIPPLELVGPDSPQSPGVTQGWRTWSLRAPPSSHTVCVLRLSQGELVPLSTGNGLNELVTRNAQSVMVRLNEPPYQVSLDLAQQQSGLRNEARCTYRGSDGARVGRLELGLTRPGWPHSSSLVRDQLVWSLTAPPQSDAACLLRLFQGKQVELYIVNGQLELASTNDHSISIILDKPAYAVRLRVTRQASVGKRSFDVHCTLDTDHDQPSPTFSLVSFHSTSPRTRRPSLAAGTWSFSPAPCKKFAWNFVCIVTVPQGPELTEPIYVDPKKTLATVKLFFANSLFSHRVRSGREVRFFYWAEDERQADRIVLSCSGKGPDGTLQFRDRTSMEVERSQNNTAVPAGTKPSTRGKFWYGYAATSEDWGDRPYSLNCSVIVCLGEPVPVQRQVQLSYSPDKNLSGAGLVPLLLPLLLMEPSQPQAQGPVM
+>sp|Q6ZMC9|SIG15_HUMAN Sialic acid-binding Ig-like lectin 15 OS=Homo sapiens OX=9606 GN=SIGLEC15 PE=1 SV=1
+MEKSIWLLACLAWVLPTGSFVRTKIDTTENLLNTEVHSSPAQRWSMQVPPEVSAEAGDAAVLPCTFTHPHRHYDGPLTAIWRAGEPYAGPQVFRCAAARGSELCQTALSLHGRFRLLGNPRRNDLSLRVERLALADDRRYFCRVEFAGDVHDRYESRHGVRLHVTAAPRIVNISVLPSPAHAFRALCTAEGEPPPALAWSGPALGNSLAAVRSPREGHGHLVTAELPALTHDGRYTCTAANSLGRSEASVYLFRFHGASGASTVALLLGALGFKALLLLGVLAARAARRRPEHLDTPDTPPRSQAQESNYENLSQMNPRSPPATMCSP
+>DECOY_sp|Q6ZMC9|SIG15_HUMAN Sialic acid-binding Ig-like lectin 15 OS=Homo sapiens OX=9606 GN=SIGLEC15 PE=1 SV=1
+PSCMTAPPSRPNMQSLNEYNSEQAQSRPPTDPTDLHEPRRRAARAALVGLLLLAKFGLAGLLLAVTSAGSAGHFRFLYVSAESRGLSNAATCTYRGDHTLAPLEATVLHGHGERPSRVAALSNGLAPGSWALAPPPEGEATCLARFAHAPSPLVSINVIRPAATVHLRVGHRSEYRDHVDGAFEVRCFYRRDDALALREVRLSLDNRRPNGLLRFRGHLSLATQCLESGRAAACRFVQPGAYPEGARWIATLPGDYHRHPHTFTCPLVAADGAEASVEPPVQMSWRQAPSSHVETNLLNETTDIKTRVFSGTPLVWALCALLWISKEM
+>sp|A0A1B0GU29|SIM28_HUMAN Small integral membrane protein 28 OS=Homo sapiens OX=9606 GN=SMIM28 PE=3 SV=1
+MRGLLGSSWKKFGHAGRGTYEWLTSEPGLPLLETQLQGTQGVSSTQEDVEPFLCILLPATILLFLAFLLLFLYRRCKSPPPQGQVFSIDLPEHPPAGEVTDLLPGLAWSSEDFPYSPLPPEATLPSQCLPPSYEEATRNPPGEEAQGCSPSV
+>DECOY_sp|A0A1B0GU29|SIM28_HUMAN Small integral membrane protein 28 OS=Homo sapiens OX=9606 GN=SMIM28 PE=3 SV=1
+VSPSCGQAEEGPPNRTAEEYSPPLCQSPLTAEPPLPSYPFDESSWALGPLLDTVEGAPPHEPLDISFVQGQPPPSKCRRYLFLLLFALFLLITAPLLICLFPEVDEQTSSVGQTGQLQTELLPLGPESTLWEYTGRGAHGFKKWSSGLLGRM
+>sp|A0A1B0GVY4|SIM31_HUMAN Small integral membrane protein 31 OS=Homo sapiens OX=9606 GN=SMIM31 PE=3 SV=1
+MELPYTNLEMAFILLAFVIFSLFTLASIYTTPDDSNEEEEHEKKGREKKRKKSEKKKNCSEEEHRIEAVEL
+>DECOY_sp|A0A1B0GVY4|SIM31_HUMAN Small integral membrane protein 31 OS=Homo sapiens OX=9606 GN=SMIM31 PE=3 SV=1
+LEVAEIRHEEESCNKKKESKKRKKERGKKEHEEEENSDDPTTYISALTFLSFIVFALLIFAMELNTYPLEM
+>sp|Q9Y6E7|SIR4_HUMAN NAD-dependent protein lipoamidase sirtuin-4, mitochondrial OS=Homo sapiens OX=9606 GN=SIRT4 PE=1 SV=1
+MKMSFALTFRSAKGRWIANPSQPCSKASIGLFVPASPPLDPEKVKELQRFITLSKRLLVMTGAGISTESGIPDYRSEKVGLYARTDRRPIQHGDFVRSAPIRQRYWARNFVGWPQFSSHQPNPAHWALSTWEKLGKLYWLVTQNVDALHTKAGSRRLTELHGCMDRVLCLDCGEQTPRGVLQERFQVLNPTWSAEAHGLAPDGDVFLSEEQVRSFQVPTCVQCGGHLKPDVVFFGDTVNPDKVDFVHKRVKEADSLLVVGSSLQVYSGYRFILTAWEKKLPIAILNIGPTRSDDLACLKLNSRCGELLPLIDPC
+>DECOY_sp|Q9Y6E7|SIR4_HUMAN NAD-dependent protein lipoamidase sirtuin-4, mitochondrial OS=Homo sapiens OX=9606 GN=SIRT4 PE=1 SV=1
+CPDILPLLEGCRSNLKLCALDDSRTPGINLIAIPLKKEWATLIFRYGSYVQLSSGVVLLSDAEKVRKHVFDVKDPNVTDGFFVVDPKLHGGCQVCTPVQFSRVQEESLFVDGDPALGHAEASWTPNLVQFREQLVGRPTQEGCDLCLVRDMCGHLETLRRSGAKTHLADVNQTVLWYLKGLKEWTSLAWHAPNPQHSSFQPWGVFNRAWYRQRIPASRVFDGHQIPRRDTRAYLGVKESRYDPIGSETSIGAGTMVLLRKSLTIFRQLEKVKEPDLPPSAPVFLGISAKSCPQSPNAIWRGKASRFTLAFSMKM
+>sp|Q8N6T7|SIR6_HUMAN NAD-dependent protein deacetylase sirtuin-6 OS=Homo sapiens OX=9606 GN=SIRT6 PE=1 SV=2
+MSVNYAAGLSPYADKGKCGLPEIFDPPEELERKVWELARLVWQSSSVVFHTGAGISTASGIPDFRGPHGVWTMEERGLAPKFDTTFESARPTQTHMALVQLERVGLLRFLVSQNVDGLHVRSGFPRDKLAELHGNMFVEECAKCKTQYVRDTVVGTMGLKATGRLCTVAKARGLRACRGELRDTILDWEDSLPDRDLALADEASRNADLSITLGTSLQIRPSGNLPLATKRRGGRLVIVNLQPTKHDRHADLRIHGYVDEVMTRLMKHLGLEIPAWDGPRVLERALPPLPRPPTPKLEPKEESPTRINGSIPAGPKQEPCAQHNGSEPASPKRERPTSPAPHRPPKRVKAKAVPS
+>DECOY_sp|Q8N6T7|SIR6_HUMAN NAD-dependent protein deacetylase sirtuin-6 OS=Homo sapiens OX=9606 GN=SIRT6 PE=1 SV=2
+SPVAKAKVRKPPRHPAPSTPRERKPSAPESGNHQACPEQKPGAPISGNIRTPSEEKPELKPTPPRPLPPLARELVRPGDWAPIELGLHKMLRTMVEDVYGHIRLDAHRDHKTPQLNVIVLRGGRRKTALPLNGSPRIQLSTGLTISLDANRSAEDALALDRDPLSDEWDLITDRLEGRCARLGRAKAVTCLRGTAKLGMTGVVTDRVYQTKCKACEEVFMNGHLEALKDRPFGSRVHLGDVNQSVLFRLLGVRELQVLAMHTQTPRASEFTTDFKPALGREEMTWVGHPGRFDPIGSATSIGAGTHFVVSSSQWVLRALEWVKRELEEPPDFIEPLGCKGKDAYPSLGAAYNVSM
+>sp|O00241|SIRB1_HUMAN Signal-regulatory protein beta-1 OS=Homo sapiens OX=9606 GN=SIRPB1 PE=1 SV=5
+MPVPASWPHLPSPFLLMTLLLGRLTGVAGEDELQVIQPEKSVSVAAGESATLRCAMTSLIPVGPIMWFRGAGAGRELIYNQKEGHFPRVTTVSELTKRNNLDFSISISNITPADAGTYYCVKFRKGSPDDVEFKSGAGTELSVRAKPSAPVVSGPAVRATPEHTVSFTCESHGFSPRDITLKWFKNGNELSDFQTNVDPAGDSVSYSIHSTARVVLTRGDVHSQVICEIAHITLQGDPLRGTANLSEAIRVPPTLEVTQQPMRAENQANVTCQVSNFYPRGLQLTWLENGNVSRTETASTLIENKDGTYNWMSWLLVNTCAHRDDVVLTCQVEHDGQQAVSKSYALEISAHQKEHGSDITHEAALAPTAPLLVALLLGPKLLLVVGVSAIYICWKQKA
+>DECOY_sp|O00241|SIRB1_HUMAN Signal-regulatory protein beta-1 OS=Homo sapiens OX=9606 GN=SIRPB1 PE=1 SV=5
+AKQKWCIYIASVGVVLLLKPGLLLAVLLPATPALAAEHTIDSGHEKQHASIELAYSKSVAQQGDHEVQCTLVVDDRHACTNVLLWSMWNYTGDKNEILTSATETRSVNGNELWTLQLGRPYFNSVQCTVNAQNEARMPQQTVELTPPVRIAESLNATGRLPDGQLTIHAIECIVQSHVDGRTLVVRATSHISYSVSDGAPDVNTQFDSLENGNKFWKLTIDRPSFGHSECTFSVTHEPTARVAPGSVVPASPKARVSLETGAGSKFEVDDPSGKRFKVCYYTGADAPTINSISISFDLNNRKTLESVTTVRPFHGEKQNYILERGAGAGRFWMIPGVPILSTMACRLTASEGAAVSVSKEPQIVQLEDEGAVGTLRGLLLTMLLFPSPLHPWSAPVPM
+>sp|Q9H106|SIRPD_HUMAN Signal-regulatory protein delta OS=Homo sapiens OX=9606 GN=SIRPD PE=2 SV=2
+MPIPASPLHPPLPSLLLYLLLELAGVTHVFHVQQTEMSQTVSTGESIILSCSVPNTLPNGPVLWFKGTGPNRKLIYNFKQGNFPRVKEIGDTTKPGNTDFSTRIREISLADAGTYYCVKFIKGRAIKEYQSGRGTQVFVTEQNPRPPKNRPAGRAGSRAHHDAHTCLSALPERNSTNYFVQPCCCLRLLGLTGLLSK
+>DECOY_sp|Q9H106|SIRPD_HUMAN Signal-regulatory protein delta OS=Homo sapiens OX=9606 GN=SIRPD PE=2 SV=2
+KSLLGTLGLLRLCCCPQVFYNTSNREPLASLCTHADHHARSGARGAPRNKPPRPNQETVFVQTGRGSQYEKIARGKIFKVCYYTGADALSIERIRTSFDTNGPKTTDGIEKVRPFNGQKFNYILKRNPGTGKFWLVPGNPLTNPVSCSLIISEGTSVTQSMETQQVHFVHTVGALELLLYLLLSPLPPHLPSAPIPM
+>sp|Q86WV1|SKAP1_HUMAN Src kinase-associated phosphoprotein 1 OS=Homo sapiens OX=9606 GN=SKAP1 PE=1 SV=3
+MQAAALPEEIRWLLEDAEEFLAEGLRNENLSAVARDHRDHILRGFQQIKARYYWDFQPQGGDIGQDSSDDNHSGTLGLSLTSDAPFLSDYQDEGMEDIVKGAQELDNVIKQGYLEKKSKDHSFFGSEWQKRWCVVSRGLFYYYANEKSKQPKGTFLIKGYGVRMAPHLRRDSKKESCFELTSQDRRSYEFTATSPAEARDWVDQISFLLKDLSSLTIPYEEDEEEEEKEETYDDIDGFDSPSCGSQCRPTILPGSVGIKEPTEEKEEEDIYEVLPDEEHDLEEDESGTRRKGVDYASYYQGLWDCHGDQPDELSFQRGDLIRILSKEYNMYGWWVGELNSLVGIVPKEYLTTAFEVEER
+>DECOY_sp|Q86WV1|SKAP1_HUMAN Src kinase-associated phosphoprotein 1 OS=Homo sapiens OX=9606 GN=SKAP1 PE=1 SV=3
+REEVEFATTLYEKPVIGVLSNLEGVWWGYMNYEKSLIRILDGRQFSLEDPQDGHCDWLGQYYSAYDVGKRRTGSEDEELDHEEDPLVEYIDEEEKEETPEKIGVSGPLITPRCQSGCSPSDFGDIDDYTEEKEEEEEDEEYPITLSSLDKLLFSIQDVWDRAEAPSTATFEYSRRDQSTLEFCSEKKSDRRLHPAMRVGYGKILFTGKPQKSKENAYYYFLGRSVVCWRKQWESGFFSHDKSKKELYGQKIVNDLEQAGKVIDEMGEDQYDSLFPADSTLSLGLTGSHNDDSSDQGIDGGQPQFDWYYRAKIQQFGRLIHDRHDRAVASLNENRLGEALFEEADELLWRIEEPLAAAQM
+>sp|Q9Y2E8|SL9A8_HUMAN Sodium/hydrogen exchanger 8 OS=Homo sapiens OX=9606 GN=SLC9A8 PE=1 SV=4
+MGEKMAEEERFPNTTHEGFNVTLHTTLVVTTKLVLPTPGKPILPVQTGEQAQQEEQSSGMTIFFSLLVLAICIILVHLLIRYRLHFLPESVAVVSLGILMGAVIKIIEFKKLANWKEEEMFRPNMFFLLLLPPIIFESGYSLHKGNFFQNIGSITLFAVFGTAISAFVVGGGIYFLGQADVISKLNMTDSFAFGSLISAVDPVATIAIFNALHVDPVLNMLVFGESILNDAVSIVLTNTAEGLTRKNMSDVSGWQTFLQALDYFLKMFFGSAALGTLTGLISALVLKHIDLRKTPSLEFGMMIIFAYLPYGLAEGISLSGIMAILFSGIVMSHYTHHNLSPVTQILMQQTLRTVAFLCETCVFAFLGLSIFSFPHKFEISFVIWCIVLVLFGRAVNIFPLSYLLNFFRDHKITPKMMFIMWFSGLRGAIPYALSLHLDLEPMEKRQLIGTTTIVIVLFTILLLGGSTMPLIRLMDIEDAKAHRRNKKDVNLSKTEKMGNTVESEHLSELTEEEYEAHYIRRQDLKGFVWLDAKYLNPFFTRRLTQEDLHHGRIQMKTLTNKWYEEVRQGPSGSEDDEQELL
+>DECOY_sp|Q9Y2E8|SL9A8_HUMAN Sodium/hydrogen exchanger 8 OS=Homo sapiens OX=9606 GN=SLC9A8 PE=1 SV=4
+LLEQEDDESGSPGQRVEEYWKNTLTKMQIRGHHLDEQTLRRTFFPNLYKADLWVFGKLDQRRIYHAEYEEETLESLHESEVTNGMKETKSLNVDKKNRRHAKADEIDMLRILPMTSGGLLLITFLVIVITTTGILQRKEMPELDLHLSLAYPIAGRLGSFWMIFMMKPTIKHDRFFNLLYSLPFINVARGFLVLVICWIVFSIEFKHPFSFISLGLFAFVCTECLFAVTRLTQQMLIQTVPSLNHHTYHSMVIGSFLIAMIGSLSIGEALGYPLYAFIIMMGFELSPTKRLDIHKLVLASILGTLTGLAASGFFMKLFYDLAQLFTQWGSVDSMNKRTLGEATNTLVISVADNLISEGFVLMNLVPDVHLANFIAITAVPDVASILSGFAFSDTMNLKSIVDAQGLFYIGGGVVFASIATGFVAFLTISGINQFFNGKHLSYGSEFIIPPLLLLFFMNPRFMEEEKWNALKKFEIIKIVAGMLIGLSVVAVSEPLFHLRYRILLHVLIICIALVLLSFFITMGSSQEEQQAQEGTQVPLIPKGPTPLVLKTTVVLTTHLTVNFGEHTTNPFREEEAMKEGM
+>sp|Q8ND83|SLAI1_HUMAN SLAIN motif-containing protein 1 OS=Homo sapiens OX=9606 GN=SLAIN1 PE=1 SV=3
+MMAEQVKCASAGVSSGAGSGPVVNAELEVKKLQELVRKLEKQNEQLRSRAASAAAAPHLLLLPPPPPAAPPPAGLQPLGPRSPPAATATAAASGGLGPAFPGTFCLPSPAPSLLCSLAQPPEAPFVYFKPAAGFFGAGGGGPEPGGAGTPPGAAAAPPSPPPTLLDEVELLDLESVAAWRDEDDYTWLYIGSSKTFTSSEKSLTPLQWCRHVLDNPTPEMEAARRSLCFRLEQGYTSRGSPLSPQSSIDSELSTSELEDDSISMGYKLQDLTDVQIMARLQEESLRQDYASTSASVSRHSSSVSLSSGKKGTCSDQEYDQYSLEDEEEFDHLPPPQPRLPRCSPFQRGIPHSQTFSSIRECRRSPSSQYFPSNNYQQQQYYSPQAQTPDQQPNRTNGDKLRRSMPNLARMPSTTAISSNISSPVTVRNSQSFDSSLHGAGNGISRIQSCIPSPGQLQHRVHSVGHFPVSIRQPLKATAYVSPTVQGSSNMPLSNGLQLYSNTGIPTPNKAAASGIMGRSALPRPSLAINGSNLPRSKIAQPVRSFLQPPKPLSSLSTLRDGNWRDGCY
+>DECOY_sp|Q8ND83|SLAI1_HUMAN SLAIN motif-containing protein 1 OS=Homo sapiens OX=9606 GN=SLAIN1 PE=1 SV=3
+YCGDRWNGDRLTSLSSLPKPPQLFSRVPQAIKSRPLNSGNIALSPRPLASRGMIGSAAAKNPTPIGTNSYLQLGNSLPMNSSGQVTPSVYATAKLPQRISVPFHGVSHVRHQLQGPSPICSQIRSIGNGAGHLSSDFSQSNRVTVPSSINSSIATTSPMRALNPMSRRLKDGNTRNPQQDPTQAQPSYYQQQQYNNSPFYQSSPSRRCERISSFTQSHPIGRQFPSCRPLRPQPPPLHDFEEEDELSYQDYEQDSCTGKKGSSLSVSSSHRSVSASTSAYDQRLSEEQLRAMIQVDTLDQLKYGMSISDDELESTSLESDISSQPSLPSGRSTYGQELRFCLSRRAAEMEPTPNDLVHRCWQLPTLSKESSTFTKSSGIYLWTYDDEDRWAAVSELDLLEVEDLLTPPPSPPAAAAGPPTGAGGPEPGGGGAGFFGAAPKFYVFPAEPPQALSCLLSPAPSPLCFTGPFAPGLGGSAAATATAAPPSRPGLPQLGAPPPAAPPPPPLLLLHPAAAASAARSRLQENQKELKRVLEQLKKVELEANVVPGSGAGSSVGASACKVQEAMM
+>sp|Q9P270|SLAI2_HUMAN SLAIN motif-containing protein 2 OS=Homo sapiens OX=9606 GN=SLAIN2 PE=1 SV=2
+MEDVNSNVNADQEVRKLQELVKKLEKQNEQLRSRSGAVQGAGSLGPGSPVRAGASIPSSGAASPRGFPLGLSAKSGGGPGSGPRRTSSEELRDATSLLAAGEGGLLDEVEPLRPDELERLSGWEEEEESWLYSSPKKKLTPMQKSVSPLVWCRQVLDYPSPDVECAKKSLIHKLDQTMSALKRQNLYNNPFNSMSYTSPYSPNASSPYSSGFNSPSSTPVRPPIVKQLILPGNSGNLKSSDRNPPLSPQSSIDSELSASELDEDSIGSNYKLNDVTDVQILARMQEESLRQEYAATTSRRSSGSSCNSTRRGTFSDQELDAQSLDDEDDNMHHAVYPAVNRFSPSPRNSPRPSPKQSPRNSPRSRSPARGIEYSRVSPQPMISRLQQPRLSLQGHPTDLQTSNVKNEEKLRRSLPNLSRTSNTQVDSVKSSRSDSNFQVPNGGIPRMQPQASAIPSPGKFRSPAAPSPLALRQPVKAFSNHGSGSPGSQEITQLTQTTSSPGPPMVQSTVSANPPSNINSATLTRPAGTTAMRSGLPRPSAPSAGGIPVPRSKLAQPVRRSLPAPKTYGSMKDDSWKDGCY
+>DECOY_sp|Q9P270|SLAI2_HUMAN SLAIN motif-containing protein 2 OS=Homo sapiens OX=9606 GN=SLAIN2 PE=1 SV=2
+YCGDKWSDDKMSGYTKPAPLSRRVPQALKSRPVPIGGASPASPRPLGSRMATTGAPRTLTASNINSPPNASVTSQVMPPGPSSTTQTLQTIEQSGPSGSGHNSFAKVPQRLALPSPAAPSRFKGPSPIASAQPQMRPIGGNPVQFNSDSRSSKVSDVQTNSTRSLNPLSRRLKEENKVNSTQLDTPHGQLSLRPQQLRSIMPQPSVRSYEIGRAPSRSRPSNRPSQKPSPRPSNRPSPSFRNVAPYVAHHMNDDEDDLSQADLEQDSFTGRRTSNCSSGSSRRSTTAAYEQRLSEEQMRALIQVDTVDNLKYNSGISDEDLESASLESDISSQPSLPPNRDSSKLNGSNGPLILQKVIPPRVPTSSPSNFGSSYPSSANPSYPSTYSMSNFPNNYLNQRKLASMTQDLKHILSKKACEVDPSPYDLVQRCWVLPSVSKQMPTLKKKPSSYLWSEEEEEWGSLRELEDPRLPEVEDLLGGEGAALLSTADRLEESSTRRPGSGPGGGSKASLGLPFGRPSAAGSSPISAGARVPSGPGLSGAGQVAGSRSRLQENQKELKKVLEQLKRVEQDANVNSNVDEM
+>sp|P55000|SLUR1_HUMAN Secreted Ly-6/uPAR-related protein 1 OS=Homo sapiens OX=9606 GN=SLURP1 PE=1 SV=2
+MASRWAVQLLLVAAWSMGCGEALKCYTCKEPMTSASCRTITRCKPEDTACMTTLVTVEAEYPFNQSPVVTRSCSSSCVATDPDSIGAAHLIFCCFRDLCNSEL
+>DECOY_sp|P55000|SLUR1_HUMAN Secreted Ly-6/uPAR-related protein 1 OS=Homo sapiens OX=9606 GN=SLURP1 PE=1 SV=2
+LESNCLDRFCCFILHAAGISDPDTAVCSSSCSRTVVPSQNFPYEAEVTVLTTMCATDEPKCRTITRCSASTMPEKCTYCKLAEGCGMSWAAVLLLQVAWRSAM
+>sp|Q5PRF9|SMAG2_HUMAN Protein Smaug homolog 2 OS=Homo sapiens OX=9606 GN=SAMD4B PE=1 SV=1
+MMFRDQVGILAGWFKGWNECEQTVALLSLLKRVTRTQARFLQLCLEHSLADCNDIHLLESEANSAAIVSQWQQESKEKVVSLLLSHLPLLQPGNTEAKSEYMRLLQKVLAYSIESNAFIEESRQLLSYALIHPATTLEDRNALALWLSHLEERLASGFRSRPEPSYHSRQGSDEWGGPAELGPGEAGPGWQDKPPRENGHVPFHPSSSVPPAINSIGSNANTGLPCQIHPSPLKRSMSLIPTSPQVPGEWPSPEELGARAAFTTPDHAPLSPQSSVASSGSEQTEEQGSSRNTFQEDGSGMKDVPSWLKSLRLHKYAALFSQMSYEEMMTLTEQHLESQNVTKGARHKIALSIQKLRERQSVLKSLEKDVLEGGNLRNALQELQQIIITPIKAYSVLQATVAAATTTPTAKDGAPGEPPLPGAEPPLAHPGTDKGTEAKDPPAVENYPPPPAPAPTDGSEPAPAPVADGDIPSQFTRVMGKVCTQLLVSRPDEENITSYLQLIEKCLTHEAFTETQKKRLLSWKQQVLKLLRTFPRKAALEMQNYRQQKGWAFGSNSLPIAGSVGMGVARRTQRQFPMPPRALPPGRMGLLSPSGIGGVSPRHALTSPSLGGQGRQNLWFANPGGSNSMPSQSRSSVQRTHSLPVHSSPQAILMFPPDCPVPGPDLEINPTLESLCLSMTEHALGDGTDKTSTI
+>DECOY_sp|Q5PRF9|SMAG2_HUMAN Protein Smaug homolog 2 OS=Homo sapiens OX=9606 GN=SAMD4B PE=1 SV=1
+ITSTKDTGDGLAHETMSLCLSELTPNIELDPGPVPCDPPFMLIAQPSSHVPLSHTRQVSSRSQSPMSNSGGPNAFWLNQRGQGGLSPSTLAHRPSVGGIGSPSLLGMRGPPLARPPMPFQRQTRRAVGMGVSGAIPLSNSGFAWGKQQRYNQMELAAKRPFTRLLKLVQQKWSLLRKKQTETFAEHTLCKEILQLYSTINEEDPRSVLLQTCVKGMVRTFQSPIDGDAVPAPAPESGDTPAPAPPPPYNEVAPPDKAETGKDTGPHALPPEAGPLPPEGPAGDKATPTTTAAAVTAQLVSYAKIPTIIIQQLEQLANRLNGGELVDKELSKLVSQRERLKQISLAIKHRAGKTVNQSELHQETLTMMEEYSMQSFLAAYKHLRLSKLWSPVDKMGSGDEQFTNRSSGQEETQESGSSAVSSQPSLPAHDPTTFAARAGLEEPSPWEGPVQPSTPILSMSRKLPSPHIQCPLGTNANSGISNIAPPVSSSPHFPVHGNERPPKDQWGPGAEGPGLEAPGGWEDSGQRSHYSPEPRSRFGSALREELHSLWLALANRDELTTAPHILAYSLLQRSEEIFANSEISYALVKQLLRMYESKAETNGPQLLPLHSLLLSVVKEKSEQQWQSVIAASNAESELLHIDNCDALSHELCLQLFRAQTRTVRKLLSLLAVTQECENWGKFWGALIGVQDRFMM
+>sp|Q9BSF0|SMAKA_HUMAN Small membrane A-kinase anchor protein OS=Homo sapiens OX=9606 GN=C2orf88 PE=1 SV=2
+MGCMKSKQTFPFPTIYEGEKQHESEEPFMPEERCLPRMASPVNVKEEVKEPPGTNTVILEYAHRLSQDILCDALQQWACNNIKYHDIPYIESEGP
+>DECOY_sp|Q9BSF0|SMAKA_HUMAN Small membrane A-kinase anchor protein OS=Homo sapiens OX=9606 GN=C2orf88 PE=1 SV=2
+PGESEIYPIDHYKINNCAWQQLADCLIDQSLRHAYELIVTNTGPPEKVEEKVNVPSAMRPLCREEPMFPEESEHQKEGEYITPFPFTQKSKMCGM
+>sp|Q9NZC9|SMAL1_HUMAN SWI/SNF-related matrix-associated actin-dependent regulator of chromatin subfamily A-like protein 1 OS=Homo sapiens OX=9606 GN=SMARCAL1 PE=1 SV=1
+MSLPLTEEQRKKIEENRQKALARRAEKLLAEQHQRTSSGTSIAGNPFQAKQGPSQNFPRESCKPVSHGVIFKQQNLSSSSNADQRPHDSHSFQAKGIWKKPEEMPTACPGHSPRSQMALTGISPPLAQSPPEVPKQQLLSYELGQGHAQASPEIRFTPFANPTHKPLAKPKSSQETPAHSSGQPPRDAKLEAKTAKASPSGQNISYIHSSSESVTPRTEGRLQQKSGSSVQKGVNSQKGKCVRNGDRFQVLIGYNAELIAVFKTLPSKNYDPDTKTWNFSMNDYSALMKAAQSLPTVNLQPLEWAYGSSESPSTSSEGQAGLPSAPSLSFVKGRCMLISRAYFEADISYSQDLIALFKQMDSRRYDVKTRKWSFLLEEHSKLIAKVRCLPQVQLDPLPTTLTLAFASQLKKTSLSLTPDVPEADLSEVDPKLVSNLMPFQRAGVNFAIAKGGRLLLADDMGLGKTIQAICIAAFYRKEWPLLVVVPSSVRFTWEQAFLRWLPSLSPDCINVVVTGKDRLTAGLINIVSFDLLSKLEKQLKTPFKVVIIDESHFLKNSRTARCRAAMPVLKVAKRVILLSGTPAMSRPAELYTQIIAVKPTFFPQFHAFGLRYCDAKRMPWGWDYSGSSNLGELKLLLEEAVMLRRLKSDVLSQLPAKQRKIVVIAPGRINARTRAALDAAAKEMTTKDKTKQQQKDALILFFNRTAEAKIPSVIEYILDLLESGREKFLVFAHHKVVLDAITQELERKHVQHIRIDGSTSSAEREDLCQQFQLSERHAVAVLSITAANMGLTFSSADLVVFAELFWNPGVLIQAEDRVHRIGQTSSVGIHYLVAKGTADDYLWPLIQEKIKVLAEAGLSETNFSEMTESTDYLYKDPKQQKIYDLFQKSFEKEGSDMELLEAAESFDPGSASGTSGSSSQNMGDTLDESSLTASPQKKRRFEFFDNWDSFTSPL
+>DECOY_sp|Q9NZC9|SMAL1_HUMAN SWI/SNF-related matrix-associated actin-dependent regulator of chromatin subfamily A-like protein 1 OS=Homo sapiens OX=9606 GN=SMARCAL1 PE=1 SV=1
+LPSTFSDWNDFFEFRRKKQPSATLSSEDLTDGMNQSSSGSTGSASGPDFSEAAELLEMDSGEKEFSKQFLDYIKQQKPDKYLYDTSETMESFNTESLGAEALVKIKEQILPWLYDDATGKAVLYHIGVSSTQGIRHVRDEAQILVGPNWFLEAFVVLDASSFTLGMNAATISLVAVAHRESLQFQQCLDEREASSTSGDIRIHQVHKRELEQTIADLVVKHHAFVLFKERGSELLDLIYEIVSPIKAEATRNFFLILADKQQQKTKDKTTMEKAAADLAARTRANIRGPAIVVIKRQKAPLQSLVDSKLRRLMVAEELLLKLEGLNSSGSYDWGWPMRKADCYRLGFAHFQPFFTPKVAIIQTYLEAPRSMAPTGSLLIVRKAVKLVPMAARCRATRSNKLFHSEDIIVVKFPTKLQKELKSLLDFSVINILGATLRDKGTVVVNICDPSLSPLWRLFAQEWTFRVSSPVVVLLPWEKRYFAAICIAQITKGLGMDDALLLRGGKAIAFNVGARQFPMLNSVLKPDVESLDAEPVDPTLSLSTKKLQSAFALTLTTPLPDLQVQPLCRVKAILKSHEELLFSWKRTKVDYRRSDMQKFLAILDQSYSIDAEFYARSILMCRGKVFSLSPASPLGAQGESSTSPSESSGYAWELPQLNVTPLSQAAKMLASYDNMSFNWTKTDPDYNKSPLTKFVAILEANYGILVQFRDGNRVCKGKQSNVGKQVSSGSKQQLRGETRPTVSESSSHIYSINQGSPSAKATKAELKADRPPQGSSHAPTEQSSKPKALPKHTPNAFPTFRIEPSAQAHGQGLEYSLLQQKPVEPPSQALPPSIGTLAMQSRPSHGPCATPMEEPKKWIGKAQFSHSDHPRQDANSSSSLNQQKFIVGHSVPKCSERPFNQSPGQKAQFPNGAISTGSSTRQHQEALLKEARRALAKQRNEEIKKRQEETLPLSM
+>sp|O00193|SMAP_HUMAN Small acidic protein OS=Homo sapiens OX=9606 GN=SMAP PE=1 SV=1
+MSAARESHPHGVKRSASPDDDLGSSNWEAADLGNEERKQKFLRLMGAGKKEHTGRLVIGDHKSTSHFRTGEEDKKINEELESQYQQSMDSKLSGRYRRHCGLGFSEVEDHDGEGDVAGDDDDDDDDSPDPESPDDSESDSESEKEESAEELQAAEHPDEVEDPKNKKDAKSNYKMMFVKSSGS
+>DECOY_sp|O00193|SMAP_HUMAN Small acidic protein OS=Homo sapiens OX=9606 GN=SMAP PE=1 SV=1
+SGSSKVFMMKYNSKADKKNKPDEVEDPHEAAQLEEASEEKESESDSESDDPSEPDPSDDDDDDDDGAVDGEGDHDEVESFGLGCHRRYRGSLKSDMSQQYQSELEENIKKDEEGTRFHSTSKHDGIVLRGTHEKKGAGMLRLFKQKREENGLDAAEWNSSGLDDDPSASRKVGHPHSERAASM
+>sp|P38935|SMBP2_HUMAN DNA-binding protein SMUBP-2 OS=Homo sapiens OX=9606 GN=IGHMBP2 PE=1 SV=3
+MASAAVESFVTKQLDLLELERDAEVEERRSWQENISLKELQSRGVCLLKLQVSSQRTGLYGRLLVTFEPRRYGSAAALPSNSFTSGDIVGLYDAANEGSQLATGILTRVTQKSVTVAFDESHDFQLSLDRENSYRLLKLANDVTYRRLKKALIALKKYHSGPASSLIEVLFGRSAPSPASEIHPLTFFNTCLDTSQKEAVLFALSQKELAIIHGPPGTGKTTTVVEIILQAVKQGLKVLCCAPSNIAVDNLVERLALCKQRILRLGHPARLLESIQQHSLDAVLARSDSAQIVADIRKDIDQVFVKNKKTQDKREKSNFRNEIKLLRKELKEREEAAMLESLTSANVVLATNTGASADGPLKLLPESYFDVVVIDECAQALEASCWIPLLKARKCILAGDHKQLPPTTVSHKAALAGLSLSLMERLAEEYGARVVRTLTVQYRMHQAIMRWASDTMYLGQLTAHSSVARHLLRDLPGVAATEETGVPLLLVDTAGCGLFELEEEDEQSKGNPGEVRLVSLHIQALVDAGVPARDIAVVSPYNLQVDLLRQSLVHRHPELEIKSVDGFQGREKEAVILSFVRSNRKGEVGFLAEDRRINVAVTRARRHVAVICDSRTVNNHAFLKTLVEYFTQHGEVRTAFEYLDDIVPENYSHENSQGSSHAATKPQGPATSTRTGSQRQEGGQEAAAPARQGRKKPAGKSLASEAPSQPSLNGGSPEGVESQDGVDHFRAMIVEFMASKKMQLEFPPSLNSHDRLRVHQIAEEHGLRHDSSGEGKRRFITVSKRAPRPRAALGPPAGTGGPAPLQPVPPTPAQTEQPPREQRGPDQPDLRTLHLERLQRVRSAQGQPASKEQQASGQQKLPEKKKKKAKGHPATDLPTEEDFEALVSAAVKADNTCGFAKCTAGVTTLGQFCQLCSRRYCLSHHLPEIHGCGERARAHARQRISREGVLYAGSGTKNGSLDPAKRAQLQRRLDKKLSELSNQRTSRRKERGT
+>DECOY_sp|P38935|SMBP2_HUMAN DNA-binding protein SMUBP-2 OS=Homo sapiens OX=9606 GN=IGHMBP2 PE=1 SV=3
+TGREKRRSTRQNSLESLKKDLRRQLQARKAPDLSGNKTGSGAYLVGERSIRQRAHARAREGCGHIEPLHHSLCYRRSCLQCFQGLTTVGATCKAFGCTNDAKVAASVLAEFDEETPLDTAPHGKAKKKKKEPLKQQGSAQQEKSAPQGQASRVRQLRELHLTRLDPQDPGRQERPPQETQAPTPPVPQLPAPGGTGAPPGLAARPRPARKSVTIFRRKGEGSSDHRLGHEEAIQHVRLRDHSNLSPPFELQMKKSAMFEVIMARFHDVGDQSEVGEPSGGNLSPQSPAESALSKGAPKKRGQRAPAAAEQGGEQRQSGTRTSTAPGQPKTAAHSSGQSNEHSYNEPVIDDLYEFATRVEGHQTFYEVLTKLFAHNNVTRSDCIVAVHRRARTVAVNIRRDEALFGVEGKRNSRVFSLIVAEKERGQFGDVSKIELEPHRHVLSQRLLDVQLNYPSVVAIDRAPVGADVLAQIHLSVLRVEGPNGKSQEDEEELEFLGCGATDVLLLPVGTEETAAVGPLDRLLHRAVSSHATLQGLYMTDSAWRMIAQHMRYQVTLTRVVRAGYEEALREMLSLSLGALAAKHSVTTPPLQKHDGALICKRAKLLPIWCSAELAQACEDIVVVDFYSEPLLKLPGDASAGTNTALVVNASTLSELMAAEEREKLEKRLLKIENRFNSKERKDQTKKNKVFVQDIDKRIDAVIQASDSRALVADLSHQQISELLRAPHGLRLIRQKCLALREVLNDVAINSPACCLVKLGQKVAQLIIEVVTTTKGTGPPGHIIALEKQSLAFLVAEKQSTDLCTNFFTLPHIESAPSPASRGFLVEILSSAPGSHYKKLAILAKKLRRYTVDNALKLLRYSNERDLSLQFDHSEDFAVTVSKQTVRTLIGTALQSGENAADYLGVIDGSTFSNSPLAAASGYRRPEFTVLLRGYLGTRQSSVQLKLLCVGRSQLEKLSINEQWSRREEVEADRELELLDLQKTVFSEVAASAM
+>sp|O95347|SMC2_HUMAN Structural maintenance of chromosomes protein 2 OS=Homo sapiens OX=9606 GN=SMC2 PE=1 SV=2
+MHIKSIILEGFKSYAQRTEVNGFDPLFNAITGLNGSGKSNILDSICFLLGISNLSQVRASNLQDLVYKNGQAGITKASVSITFDNSDKKQSPLGFEVHDEITVTRQVVIGGRNKYLINGVNANNTRVQDLFCSVGLNVNNPHFLIMQGRITKVLNMKPPEILSMIEEAAGTRMYEYKKIAAQKTIEKKEAKLKEIKTILEEEITPTIQKLKEERSSYLEYQKVMREIEHLSRLYIAYQFLLAEDTKVRSAEELKEMQDKVIKLQEELSENDKKIKALNHEIEELEKRKDKETGGILRSLEDALAEAQRVNTKSQSAFDLKKKNLACEESKRKELEKNMVEDSKTLAAKEKEVKKITDGLHALQEASNKDAEALAAAQQHFNAVSAGLSSNEDGAEATLAGQMMACKNDISKAQTEAKQAQMKLKHAQQELKNKQAEVKKMDSGYRKDQEALEAVKRLKEKLEAEMKKLNYEENKEESLLEKRRQLSRDIGRLKETYEALLARFPNLRFAYKDPEKNWNRNCVKGLVASLISVKDTSATTALELVAGERLYNVVVDTEVTGKKLLERGELKRRYTIIPLNKISARCIAPETLRVAQNLVGPDNVHVALSLVEYKPELQKAMEFVFGTTFVCDNMDNAKKVAFDKRIMTRTVTLGGDVFDPHGTLSGGARSQAASILTKFQELKDVQDELRIKENELRALEEELAGLKNTAEKYRQLKQQWEMKTEEADLLQTKLQQSSYHKQQEELDALKKTIEESEETLKNTKEIQRKAEEKYEVLENKMKNAEAERERELKDAQKKLDCAKTKADASSKKMKEKQQEVEAITLELEELKREHTSYKQQLEAVNEAIKSYESQIEVMAAEVAKNKESVNKAQEEVTKQKEVITAQDTVIKAKYAEVAKHKEQNNDSQLKIKELDHNISKHKREAEDGAAKVSKMLKDYDWINAERHLFGQPNSAYDFKTNNPKEAGQRLQKLQEMKEKLGRNVNMRAMNVLTEAEERYNDLMKKKRIVENDKSKILTTIEDLDQKKNQALNIAWQKVNKDFGSIFSTLLPGANAMLAPPEGQTVLDGLEFKVALGNTWKENLTELSGGQRSLVALSLILSMLLFKPAPIYILDEVDAALDLSHTQNIGQMLRTHFTHSQFIVVSLKEGMFNNANVLFKTKFVDGVSTVARFTQCQNGKISKEAKSKAKPPKGAHVEV
+>DECOY_sp|O95347|SMC2_HUMAN Structural maintenance of chromosomes protein 2 OS=Homo sapiens OX=9606 GN=SMC2 PE=1 SV=2
+VEVHAGKPPKAKSKAEKSIKGNQCQTFRAVTSVGDVFKTKFLVNANNFMGEKLSVVIFQSHTFHTRLMQGINQTHSLDLAADVEDLIYIPAPKFLLMSLILSLAVLSRQGGSLETLNEKWTNGLAVKFELGDLVTQGEPPALMANAGPLLTSFISGFDKNVKQWAINLAQNKKQDLDEITTLIKSKDNEVIRKKKMLDNYREEAETLVNMARMNVNRGLKEKMEQLKQLRQGAEKPNNTKFDYASNPQGFLHREANIWDYDKLMKSVKAAGDEAERKHKSINHDLEKIKLQSDNNQEKHKAVEAYKAKIVTDQATIVEKQKTVEEQAKNVSEKNKAVEAAMVEIQSEYSKIAENVAELQQKYSTHERKLEELELTIAEVEQQKEKMKKSSADAKTKACDLKKQADKLEREREAEANKMKNELVEYKEEAKRQIEKTNKLTEESEEITKKLADLEEQQKHYSSQQLKTQLLDAEETKMEWQQKLQRYKEATNKLGALEEELARLENEKIRLEDQVDKLEQFKTLISAAQSRAGGSLTGHPDFVDGGLTVTRTMIRKDFAVKKANDMNDCVFTTGFVFEMAKQLEPKYEVLSLAVHVNDPGVLNQAVRLTEPAICRASIKNLPIITYRRKLEGRELLKKGTVETDVVVNYLREGAVLELATTASTDKVSILSAVLGKVCNRNWNKEPDKYAFRLNPFRALLAEYTEKLRGIDRSLQRRKELLSEEKNEEYNLKKMEAELKEKLRKVAELAEQDKRYGSDMKKVEAQKNKLEQQAHKLKMQAQKAETQAKSIDNKCAMMQGALTAEAGDENSSLGASVANFHQQAAALAEADKNSAEQLAHLGDTIKKVEKEKAALTKSDEVMNKELEKRKSEECALNKKKLDFASQSKTNVRQAEALADELSRLIGGTEKDKRKELEEIEHNLAKIKKDNESLEEQLKIVKDQMEKLEEASRVKTDEALLFQYAIYLRSLHEIERMVKQYELYSSREEKLKQITPTIEEELITKIEKLKAEKKEITKQAAIKKYEYMRTGAAEEIMSLIEPPKMNLVKTIRGQMILFHPNNVNLGVSCFLDQVRTNNANVGNILYKNRGGIVVQRTVTIEDHVEFGLPSQKKDSNDFTISVSAKTIGAQGNKYVLDQLNSARVQSLNSIGLLFCISDLINSKGSGNLGTIANFLPDFGNVETRQAYSKFGELIISKIHM
+>sp|A6NFE2|SMCO2_HUMAN Single-pass membrane and coiled-coil domain-containing protein 2 OS=Homo sapiens OX=9606 GN=SMCO2 PE=2 SV=2
+MALTPTNLNNKMSLQMKMDCQEQQLTKKNNGFFQKLNVTEGAMQDLLKEIIKVDHILDRSDDEDDISSENPQTDFLHKGMLELEAEHDQDLSKQDKQETDVDEDPQASTSLQFSKKNLLELCLKGMFLKLNYWNTKIGLQVKELGADYIDGTEKIDNIIKKINVTENTVKSLLKDMLTLKGQIEKLEDRGLDLDQGTSTEVNTCNEVYELKKKVIERLEDLCKNVELLSAKLRMYQMEAEDTDSHSSEEIDTEEMEALLPQAPASFLVQKSPPRNTAWKRALRIFIMFDVLTVTGLLCYILFFGATFLFERVLLRMLGCRTTWDLREMREPFLNLEVEALLPS
+>DECOY_sp|A6NFE2|SMCO2_HUMAN Single-pass membrane and coiled-coil domain-containing protein 2 OS=Homo sapiens OX=9606 GN=SMCO2 PE=2 SV=2
+SPLLAEVELNLFPERMERLDWTTRCGLMRLLVREFLFTAGFFLIYCLLGTVTLVDFMIFIRLARKWATNRPPSKQVLFSAPAQPLLAEMEETDIEESSHSDTDEAEMQYMRLKASLLEVNKCLDELREIVKKKLEYVENCTNVETSTGQDLDLGRDELKEIQGKLTLMDKLLSKVTNETVNIKKIINDIKETGDIYDAGLEKVQLGIKTNWYNLKLFMGKLCLELLNKKSFQLSTSAQPDEDVDTEQKDQKSLDQDHEAELELMGKHLFDTQPNESSIDDEDDSRDLIHDVKIIEKLLDQMAGETVNLKQFFGNNKKTLQQEQCDMKMQLSMKNNLNTPTLAM
+>sp|Q9NPB0|SMDC1_HUMAN SAYSvFN domain-containing protein 1 OS=Homo sapiens OX=9606 GN=SAYSD1 PE=2 SV=1
+MEQRLAEFRAARKRAGLAAQPPAASQGAQTPGEKAEAAATLKAAPGWLKRFLVWKPRPASARAQPGLVQEAAQPQGSTSETPWNTAIPLPSCWDQSFLTNITFLKVLLWLVLLGLFVELEFGLAYFVLSLFYWMYVGTRGPEEKKEGEKSAYSVFNPGCEAIQGTLTAEQLERELQLRPLAGR
+>DECOY_sp|Q9NPB0|SMDC1_HUMAN SAYSvFN domain-containing protein 1 OS=Homo sapiens OX=9606 GN=SAYSD1 PE=2 SV=1
+RGALPRLQLERELQEATLTGQIAECGPNFVSYASKEGEKKEEPGRTGVYMWYFLSLVFYALGFELEVFLGLLVLWLLVKLFTINTLFSQDWCSPLPIATNWPTESTSGQPQAAEQVLGPQARASAPRPKWVLFRKLWGPAAKLTAAAEAKEGPTQAGQSAAPPQAALGARKRAARFEALRQEM
+>sp|Q8ND04|SMG8_HUMAN Protein SMG8 OS=Homo sapiens OX=9606 GN=SMG8 PE=1 SV=1
+MAGPVSLRDLLMGASAWMGSESPGGSPTEGGGSAAGGPEPPWREDEICVVGIFGKTALRLNSEKFSLVNTVCDRQVFPLFRHQDPGDPGPGIRTEAGAVGEAGGAEDPGAAAGGSVRGSGAVAEGNRTEAGSQDYSLLQAYYSQESKVLYLLLTSICDNSQLLRACRALQSGEAGGGLSLPHAEAHEFWKHQEKLQCLSLLYLFSVCHILLLVHPTCSFDITYDRVFRALDGLRQKVLPLLKTAIKDCPVGKDWKLNCRPCPPRLLFLFQLNGALKVEPPRNQDPAHPDKPKKHSPKRRLQHALEDQIYRIFRKSRVLTNQSINCLFTVPANQAFVYIVPGSQEEDPVGMLLDQLRSHCTVKDPESLLVPAPLSGPRRYQVMRQHSRQQLSFHIDSSSSSSSGQLVDFTLREFLWQHVELVLSKKGFDDSVGRNPQPSHFELPTYQKWISAASKLYEVAIDGKEEDLGSPTGELTSKILSSIKVLEGFLDIDTKFSENRCQKALPMAHSAYQSNLPHNYTMTVHKNQLAQALRVYSQHARGPAFHKYAMQLHEDCYKFWSNGHQLCEERSLTDQHCVHKFHSLPKSGEKPEADRNPPVLYHNSRARSTGACNCGRKQAPRDDPFDIKAANYDFYQLLEEKCCGKLDHINFPVFEPSTPDPAPAKNESSPAPPDSDADKLKEKEPQTQGESTSLSLALSLGQSTDSLGTYPADPQAGGDNPEVHGQVEVKTEKRPNFVDRQASTVEYLPGMLHSNCPKGLLPKFSSWSLVKLGPAKSYNFHTGLDQQGFIPGTNYLMPWDIVIRTRAEDEGDLDTNSWPAPNKAIPGKRSAVVMGRGRRRDDIARAFVGFEYEDSRGRRFMCSGPDKVMKVMGSGPKESALKALNSDMPLYILSSSQGRGLKPHYAQLMRLFVVVPDAPLQIILMPQVQPGPPPCPVFYPEKQEITLPPDGLWVLRFPYAYVTERGPCFPPKENVQLMSYKVLRGVLKAVTQ
+>DECOY_sp|Q8ND04|SMG8_HUMAN Protein SMG8 OS=Homo sapiens OX=9606 GN=SMG8 PE=1 SV=1
+QTVAKLVGRLVKYSMLQVNEKPPFCPGRETVYAYPFRLVWLGDPPLTIEQKEPYFVPCPPPGPQVQPMLIIQLPADPVVVFLRMLQAYHPKLGRGQSSSLIYLPMDSNLAKLASEKPGSGMVKMVKDPGSCMFRRGRSDEYEFGVFARAIDDRRRGRGMVVASRKGPIAKNPAPWSNTDLDGEDEARTRIVIDWPMLYNTGPIFGQQDLGTHFNYSKAPGLKVLSWSSFKPLLGKPCNSHLMGPLYEVTSAQRDVFNPRKETKVEVQGHVEPNDGGAQPDAPYTGLSDTSQGLSLALSLSTSEGQTQPEKEKLKDADSDPPAPSSENKAPAPDPTSPEFVPFNIHDLKGCCKEELLQYFDYNAAKIDFPDDRPAQKRGCNCAGTSRARSNHYLVPPNRDAEPKEGSKPLSHFKHVCHQDTLSREECLQHGNSWFKYCDEHLQMAYKHFAPGRAHQSYVRLAQALQNKHVTMTYNHPLNSQYASHAMPLAKQCRNESFKTDIDLFGELVKISSLIKSTLEGTPSGLDEEKGDIAVEYLKSAASIWKQYTPLEFHSPQPNRGVSDDFGKKSLVLEVHQWLFERLTFDVLQGSSSSSSSDIHFSLQQRSHQRMVQYRRPGSLPAPVLLSEPDKVTCHSRLQDLLMGVPDEEQSGPVIYVFAQNAPVTFLCNISQNTLVRSKRFIRYIQDELAHQLRRKPSHKKPKDPHAPDQNRPPEVKLAGNLQFLFLLRPPCPRCNLKWDKGVPCDKIATKLLPLVKQRLGDLARFVRDYTIDFSCTPHVLLLIHCVSFLYLLSLCQLKEQHKWFEHAEAHPLSLGGGAEGSQLARCARLLQSNDCISTLLLYLVKSEQSYYAQLLSYDQSGAETRNGEAVAGSGRVSGGAAAGPDEAGGAEGVAGAETRIGPGPDGPDQHRFLPFVQRDCVTNVLSFKESNLRLATKGFIGVVCIEDERWPPEPGGAASGGGETPSGGPSESGMWASAGMLLDRLSVPGAM
+>sp|Q9H0W8|SMG9_HUMAN Protein SMG9 OS=Homo sapiens OX=9606 GN=SMG9 PE=1 SV=1
+MSESGHSQPGLYGIERRRRWKEPGSGGPQNLSGPGGRERDYIAPWERERRDASEETSTSVMQKTPIILSKPPAERSKQPPPPTAPAAPPAPAPLEKPIVLMKPREEGKGPVAVTGASTPEGTAPPPPAAPAPPKGEKEGQRPTQPVYQIQNRGMGTAAPAAMDPVVGQAKLLPPERMKHSIKLVDDQMNWCDSAIEYLLDQTDVLVVGVLGLQGTGKSMVMSLLSANTPEEDQRTYVFRAQSAEMKERGGNQTSGIDFFITQERIVFLDTQPILSPSILDHLINNDRKLPPEYNLPHTYVEMQSLQIAAFLFTVCHVVIVVQDWFTDLSLYRFLQTAEMVKPSTPSPSHESSSSSGSDEGTEYYPHLVFLQNKARREDFCPRKLRQMHLMIDQLMAHSHLRYKGTLSMLQCNVFPGLPPDFLDSEVNLFLVPFMDSEAESENPPRAGPGSSPLFSLLPGYRGHPSFQSLVSKLRSQVMSMARPQLSHTILTEKNWFHYAARIWDGVRKSSALAEYSRLLA
+>DECOY_sp|Q9H0W8|SMG9_HUMAN Protein SMG9 OS=Homo sapiens OX=9606 GN=SMG9 PE=1 SV=1
+ALLRSYEALASSKRVGDWIRAAYHFWNKETLITHSLQPRAMSMVQSRLKSVLSQFSPHGRYGPLLSFLPSSGPGARPPNESEAESDMFPVLFLNVESDLFDPPLGPFVNCQLMSLTGKYRLHSHAMLQDIMLHMQRLKRPCFDERRAKNQLFVLHPYYETGEDSGSSSSSEHSPSPTSPKVMEATQLFRYLSLDTFWDQVVIVVHCVTFLFAAIQLSQMEVYTHPLNYEPPLKRDNNILHDLISPSLIPQTDLFVIREQTIFFDIGSTQNGGREKMEASQARFVYTRQDEEPTNASLLSMVMSKGTGQLGLVGVVLVDTQDLLYEIASDCWNMQDDVLKISHKMREPPLLKAQGVVPDMAAPAATGMGRNQIQYVPQTPRQGEKEGKPPAPAAPPPPATGEPTSAGTVAVPGKGEERPKMLVIPKELPAPAPPAAPATPPPPQKSREAPPKSLIIPTKQMVSTSTEESADRREREWPAIYDRERGGPGSLNQPGGSGPEKWRRRREIGYLGPQSHGSESM
+>sp|Q96E16|SMI19_HUMAN Small integral membrane protein 19 OS=Homo sapiens OX=9606 GN=SMIM19 PE=3 SV=2
+MAGGYGVMGDDGSIDYTVHEAWNEATNVYLIVILVSFGLFMYAKRNKRRIMRIFSVPPTEETLSEPNFYDTISKIRLRQQLEMYSISRKYDYQQPQNQADSVQLSLE
+>DECOY_sp|Q96E16|SMI19_HUMAN Small integral membrane protein 19 OS=Homo sapiens OX=9606 GN=SMIM19 PE=3 SV=2
+ELSLQVSDAQNQPQQYDYKRSISYMELQQRLRIKSITDYFNPESLTEETPPVSFIRMIRRKNRKAYMFLGFSVLIVILYVNTAENWAEHVTYDISGDDGMVGYGGAM
+>sp|Q3B7S5|SMI21_HUMAN Small integral membrane protein 21 OS=Homo sapiens OX=9606 GN=SMIM21 PE=4 SV=1
+MDQYVSTAPPRFPIAQLGTFKQDSAGMGRIFKGNLLQKKALTTFENEHHIRFFTLLVLFHVMVLLRNHSRIQGVSEDWKRANSIFRNFLRLKSSRNTAEAE
+>DECOY_sp|Q3B7S5|SMI21_HUMAN Small integral membrane protein 21 OS=Homo sapiens OX=9606 GN=SMIM21 PE=4 SV=1
+EAEATNRSSKLRLFNRFISNARKWDESVGQIRSHNRLLVMVHFLVLLTFFRIHHENEFTTLAKKQLLNGKFIRGMGASDQKFTGLQAIPFRPPATSVYQDM
+>sp|H3BMG3|SMKR1_HUMAN Small lysine-rich protein 1 OS=Homo sapiens OX=9606 GN=SMKR1 PE=4 SV=1
+MPAKGKKGKGQGKSHGKKQKKPEVDILSPAAMLNLYYIAHNVADCLHLRGFHWPGAPKGKKGRSK
+>DECOY_sp|H3BMG3|SMKR1_HUMAN Small lysine-rich protein 1 OS=Homo sapiens OX=9606 GN=SMKR1 PE=4 SV=1
+KSRGKKGKPAGPWHFGRLHLCDAVNHAIYYLNLMAAPSLIDVEPKKQKKGHSKGQGKGKKGKAPM
+>sp|Q9H3U7|SMOC2_HUMAN SPARC-related modular calcium-binding protein 2 OS=Homo sapiens OX=9606 GN=SMOC2 PE=2 SV=2
+MLLPQLCWLPLLAGLLPPVPAQKFSALTFLRVDQDKDKDCSLDCAGSPQKPLCASDGRTFLSRCEFQRAKCKDPQLEIAYRGNCKDVSRCVAERKYTQEQARKEFQQVFIPECNDDGTYSQVQCHSYTGYCWCVTPNGRPISGTAVAHKTPRCPGSVNEKLPQREGTGKTDDAAAPALETQPQGDEEDIASRYPTLWTEQVKSRQNKTNKNSVSSCDQEHQSALEEAKQPKNDNVVIPECAHGGLYKPVQCHPSTGYCWCVLVDTGRPIPGTSTRYEQPKCDNTARAHPAKARDLYKGRQLQGCPGAKKHEFLTSVLDALSTDMVHAASDPSSSSGRLSEPDPSHTLEERVVHWYFKLLDKNSSGDIGKKEIKPFKRFLRKKSKPKKCVKKFVEYCDVNNDKSISVQELMGCLGVAKEDGKADTKKRHTPRGHAESTSNRQPRKQG
+>DECOY_sp|Q9H3U7|SMOC2_HUMAN SPARC-related modular calcium-binding protein 2 OS=Homo sapiens OX=9606 GN=SMOC2 PE=2 SV=2
+GQKRPQRNSTSEAHGRPTHRKKTDAKGDEKAVGLCGMLEQVSISKDNNVDCYEVFKKVCKKPKSKKRLFRKFPKIEKKGIDGSSNKDLLKFYWHVVREELTHSPDPESLRGSSSSPDSAAHVMDTSLADLVSTLFEHKKAGPCGQLQRGKYLDRAKAPHARATNDCKPQEYRTSTGPIPRGTDVLVCWCYGTSPHCQVPKYLGGHACEPIVVNDNKPQKAEELASQHEQDCSSVSNKNTKNQRSKVQETWLTPYRSAIDEEDGQPQTELAPAAADDTKGTGERQPLKENVSGPCRPTKHAVATGSIPRGNPTVCWCYGTYSHCQVQSYTGDDNCEPIFVQQFEKRAQEQTYKREAVCRSVDKCNGRYAIELQPDKCKARQFECRSLFTRGDSACLPKQPSGACDLSCDKDKDQDVRLFTLASFKQAPVPPLLGALLPLWCLQPLLM
+>sp|Q9NWM0|SMOX_HUMAN Spermine oxidase OS=Homo sapiens OX=9606 GN=SMOX PE=1 SV=1
+MQSCESSGDSADDPLSRGLRRRGQPRVVVIGAGLAGLAAAKALLEQGFTDVTVLEASSHIGGRVQSVKLGHATFELGATWIHGSHGNPIYHLAEANGLLEETTDGERSVGRISLYSKNGVACYLTNHGRRIPKDVVEEFSDLYNEVYNLTQEFFRHDKPVNAESQNSVGVFTREEVRNRIRNDPDDPEATKRLKLAMIQQYLKVESCESSSHSMDEVSLSAFGEWTEIPGAHHIIPSGFMRVVELLAEGIPAHVIQLGKPVRCIHWDQASARPRGPEIEPRGEGDHNHDTGEGGQGGEEPRGGRWDEDEQWSVVVECEDCELIPADHVIVTVSLGVLKRQYTSFFRPGLPTEKVAAIHRLGIGTTDKIFLEFEEPFWGPECNSLQFVWEDEAESHTLTYPPELWYRKICGFDVLYPPERYGHVLSGWICGEEALVMEKCDDEAVAEICTEMLRQFTGNPNIPKPRRILRSAWGSNPYFRGSYSYTQVGSSGADVEKLAKPLPYTESSKTAPMQVLFSGEATHRKYYSTTHGALLSGQREAARLIEMYRDLFQQGT
+>DECOY_sp|Q9NWM0|SMOX_HUMAN Spermine oxidase OS=Homo sapiens OX=9606 GN=SMOX PE=1 SV=1
+TGQQFLDRYMEILRAAERQGSLLAGHTTSYYKRHTAEGSFLVQMPATKSSETYPLPKALKEVDAGSSGVQTYSYSGRFYPNSGWASRLIRRPKPINPNGTFQRLMETCIEAVAEDDCKEMVLAEEGCIWGSLVHGYREPPYLVDFGCIKRYWLEPPYTLTHSEAEDEWVFQLSNCEPGWFPEEFELFIKDTTGIGLRHIAAVKETPLGPRFFSTYQRKLVGLSVTVIVHDAPILECDECEVVVSWQEDEDWRGGRPEEGGQGGEGTDHNHDGEGRPEIEPGRPRASAQDWHICRVPKGLQIVHAPIGEALLEVVRMFGSPIIHHAGPIETWEGFASLSVEDMSHSSSECSEVKLYQQIMALKLRKTAEPDDPDNRIRNRVEERTFVGVSNQSEANVPKDHRFFEQTLNYVENYLDSFEEVVDKPIRRGHNTLYCAVGNKSYLSIRGVSREGDTTEELLGNAEALHYIPNGHSGHIWTAGLEFTAHGLKVSQVRGGIHSSAELVTVDTFGQELLAKAAALGALGAGIVVVRPQGRRRLGRSLPDDASDGSSECSQM
+>sp|Q9NRG4|SMYD2_HUMAN N-lysine methyltransferase SMYD2 OS=Homo sapiens OX=9606 GN=SMYD2 PE=1 SV=2
+MRAEGLGGLERFCSPGKGRGLRALQPFQVGDLLFSCPAYAYVLTVNERGNHCEYCFTRKEGLSKCGRCKQAFYCNVECQKEDWPMHKLECSPMVVFGENWNPSETVRLTARILAKQKIHPERTPSEKLLAVKEFESHLDKLDNEKKDLIQSDIAALHHFYSKHLGFPDNDSLVVLFAQVNCNGFTIEDEELSHLGSAIFPDVALMNHSCCPNVIVTYKGTLAEVRAVQEIKPGEEVFTSYIDLLYPTEDRNDRLRDSYFFTCECQECTTKDKDKAKVEIRKLSDPPKAEAIRDMVRYARNVIEEFRRAKHYKSPSELLEICELSQEKMSSVFEDSNVYMLHMMYQAMGVCLYMQDWEGALQYGQKIIKPYSKHYPLYSLNVASMWLKLGRLYMGLEHKAAGEKALKKAIAIMEVAHGKDHPYISEIKQEIESH
+>DECOY_sp|Q9NRG4|SMYD2_HUMAN N-lysine methyltransferase SMYD2 OS=Homo sapiens OX=9606 GN=SMYD2 PE=1 SV=2
+HSEIEQKIESIYPHDKGHAVEMIAIAKKLAKEGAAKHELGMYLRGLKLWMSAVNLSYLPYHKSYPKIIKQGYQLAGEWDQMYLCVGMAQYMMHLMYVNSDEFVSSMKEQSLECIELLESPSKYHKARRFEEIVNRAYRVMDRIAEAKPPDSLKRIEVKAKDKDKTTCEQCECTFFYSDRLRDNRDETPYLLDIYSTFVEEGPKIEQVARVEALTGKYTVIVNPCCSHNMLAVDPFIASGLHSLEEDEITFGNCNVQAFLVVLSDNDPFGLHKSYFHHLAAIDSQILDKKENDLKDLHSEFEKVALLKESPTREPHIKQKALIRATLRVTESPNWNEGFVVMPSCELKHMPWDEKQCEVNCYFAQKCRGCKSLGEKRTFCYECHNGRENVTLVYAYAPCSFLLDGVQFPQLARLGRGKGPSCFRELGGLGEARM
+>sp|P54920|SNAA_HUMAN Alpha-soluble NSF attachment protein OS=Homo sapiens OX=9606 GN=NAPA PE=1 SV=3
+MDNSGKEAEAMALLAEAERKVKNSQSFFSGLFGGSSKIEEACEIYARAANMFKMAKNWSAAGNAFCQAAQLHLQLQSKHDAATCFVDAGNAFKKADPQEAINCLMRAIEIYTDMGRFTIAAKHHISIAEIYETELVDIEKAIAHYEQSADYYKGEESNSSANKCLLKVAGYAALLEQYQKAIDIYEQVGTNAMDSPLLKYSAKDYFFKAALCHFCIDMLNAKLAVQKYEELFPAFSDSRECKLMKKLLEAHEEQNVDSYTESVKEYDSISRLDQWLTTMLLRIKKTIQGDEEDLR
+>DECOY_sp|P54920|SNAA_HUMAN Alpha-soluble NSF attachment protein OS=Homo sapiens OX=9606 GN=NAPA PE=1 SV=3
+RLDEEDGQITKKIRLLMTTLWQDLRSISDYEKVSETYSDVNQEEHAELLKKMLKCERSDSFAPFLEEYKQVALKANLMDICFHCLAAKFFYDKASYKLLPSDMANTGVQEYIDIAKQYQELLAAYGAVKLLCKNASSNSEEGKYYDASQEYHAIAKEIDVLETEYIEAISIHHKAAITFRGMDTYIEIARMLCNIAEQPDAKKFANGADVFCTAADHKSQLQLHLQAAQCFANGAASWNKAMKFMNAARAYIECAEEIKSSGGFLGSFFSQSNKVKREAEALLAMAEAEKGSNDM
+>sp|Q3KNW1|SNAI3_HUMAN Zinc finger protein SNAI3 OS=Homo sapiens OX=9606 GN=SNAI3 PE=2 SV=1
+MPRSFLVKTHSSHRVPNYRRLETQREINGACSACGGLVVPLLPRDKEAPSVPGDLPQPWDRSSAVACISLPLLPRIEEALGASGLDALEVSEVDPRASRAAIVPLKDSLNHLNLPPLLVLPTRWSPTLGPDRHGAPEKLLGAERMPRAPGGFECFHCHKPYHTLAGLARHRQLHCHLQVGRVFTCKYCDKEYTSLGALKMHIRTHTLPCTCKICGKAFSRPWLLQGHVRTHTGEKPYACSHCSRAFADRSNLRAHLQTHSDAKKYRCRRCTKTFSRMSLLARHEESGCCPGP
+>DECOY_sp|Q3KNW1|SNAI3_HUMAN Zinc finger protein SNAI3 OS=Homo sapiens OX=9606 GN=SNAI3 PE=2 SV=1
+PGPCCGSEEHRALLSMRSFTKTCRRCRYKKADSHTQLHARLNSRDAFARSCHSCAYPKEGTHTRVHGQLLWPRSFAKGCIKCTCPLTHTRIHMKLAGLSTYEKDCYKCTFVRGVQLHCHLQRHRALGALTHYPKHCHFCEFGGPARPMREAGLLKEPAGHRDPGLTPSWRTPLVLLPPLNLHNLSDKLPVIAARSARPDVESVELADLGSAGLAEEIRPLLPLSICAVASSRDWPQPLDGPVSPAEKDRPLLPVVLGGCASCAGNIERQTELRRYNPVRHSSHTKVLFSRPM
+>sp|Q5SQN1|SNP47_HUMAN Synaptosomal-associated protein 47 OS=Homo sapiens OX=9606 GN=SNAP47 PE=1 SV=3
+MRAARRGLHCAGAERPRRRGRLWDSSGVPQRQKRPGPWRTQTQEQMSRDVCIHTWPCTYYLEPKRRWVTGQLSLTSLSLRFMTDSTGEILVSFPLSSIVEIKKEASHFIFSSITILEKGHAKHWFSSLRPSRNVVFSIIEHFWRELLLSQPGAVADASVPRTRGEELTGLMAGSQKRLEDTARVLHHQGQQLDSVMRGLDKMESDLEVADRLLTELESPAWWPFSSKLWKTPPETKPREDVSMTSCEPFGKEGILIKIPAVISHRTESHVKPGRLTVLVSGLEIHDSSSLLMHRFEREDVDDIKVHSPYEISIRQRFIGKPDMAYRLISAKMPEVIPILEVQFSKKMELLEDALVLRSARTSSPAEKSCSVWHAASGLMGRTLHREPPAGDQEGTALHLQTSLPALSEADTQELTQILRRMKGLALEAESELERQDEALDGVAAAVDRATLTIDKHNRRMKRLT
+>DECOY_sp|Q5SQN1|SNP47_HUMAN Synaptosomal-associated protein 47 OS=Homo sapiens OX=9606 GN=SNAP47 PE=1 SV=3
+TLRKMRRNHKDITLTARDVAAAVGDLAEDQRELESEAELALGKMRRLIQTLEQTDAESLAPLSTQLHLATGEQDGAPPERHLTRGMLGSAAHWVSCSKEAPSSTRASRLVLADELLEMKKSFQVELIPIVEPMKASILRYAMDPKGIFRQRISIEYPSHVKIDDVDEREFRHMLLSSSDHIELGSVLVTLRGPKVHSETRHSIVAPIKILIGEKGFPECSTMSVDERPKTEPPTKWLKSSFPWWAPSELETLLRDAVELDSEMKDLGRMVSDLQQGQHHLVRATDELRKQSGAMLGTLEEGRTRPVSADAVAGPQSLLLERWFHEIISFVVNRSPRLSSFWHKAHGKELITISSFIFHSAEKKIEVISSLPFSVLIEGTSDTMFRLSLSTLSLQGTVWRRKPELYYTCPWTHICVDRSMQEQTQTRWPGPRKQRQPVGSSDWLRGRRRPREAGACHLGRRAARM
+>sp|Q16533|SNPC1_HUMAN snRNA-activating protein complex subunit 1 OS=Homo sapiens OX=9606 GN=SNAPC1 PE=1 SV=1
+MGTPPGLQTDCEALLSRFQETDSVRFEDFTELWRNMKFGTIFCGRMRNLEKNMFTKEALALAWRYFLPPYTFQIRVGALYLLYGLYNTQLCQPKQKIRVALKDWDEVLKFQQDLVNAQHFDAAYIFRKLRLDRAFHFTAMPKLLSYRMKKKIHRAEVTEEFKDPSDRVMKLITSDVLEEMLNVHDHYQNMKHVISVDKSKPDKALSLIKDDFFDNIKNIVLEHQQWHKDRKNPSLKSKTNDGEEKMEGNSQETERCERAESLAKIKSKAFSVVIQASKSRRHRQVKLDSSDSDSASGQGQVKATRKKEKKERLKPAGRKMSLRNKGNVQNIHKEDKPLSLSMPVITEEEENESLSGTEFTASKKRRKH
+>DECOY_sp|Q16533|SNPC1_HUMAN snRNA-activating protein complex subunit 1 OS=Homo sapiens OX=9606 GN=SNAPC1 PE=1 SV=1
+HKRRKKSATFETGSLSENEEEETIVPMSLSLPKDEKHINQVNGKNRLSMKRGAPKLREKKEKKRTAKVQGQGSASDSDSSDLKVQRHRRSKSAQIVVSFAKSKIKALSEARECRETEQSNGEMKEEGDNTKSKLSPNKRDKHWQQHELVINKINDFFDDKILSLAKDPKSKDVSIVHKMNQYHDHVNLMEELVDSTILKMVRDSPDKFEETVEARHIKKKMRYSLLKPMATFHFARDLRLKRFIYAADFHQANVLDQQFKLVEDWDKLAVRIKQKPQCLQTNYLGYLLYLAGVRIQFTYPPLFYRWALALAEKTFMNKELNRMRGCFITGFKMNRWLETFDEFRVSDTEQFRSLLAECDTQLGPPTGM
+>sp|O43290|SNUT1_HUMAN U4/U6.U5 tri-snRNP-associated protein 1 OS=Homo sapiens OX=9606 GN=SART1 PE=1 SV=1
+MGSSKKHRGEKEAAGTTAAAGTGGATEQPPRHREHKKHKHRSGGSGGSGGERRKRSRERGGERGSGRRGAEAEARSSTHGRERSQAEPSERRVKREKRDDGYEAAASSKTSSGDASSLSIEETNKLRAKLGLKPLEVNAIKKEAGTKEEPVTADVINPMALRQREELREKLAAAKEKRLLNQKLGKIKTLGEDDPWLDDTAAWIERSRQLQKEKDLAEKRAKLLEEMDQEFGVSTLVEEEFGQRRQDLYSARDLQGLTVEHAIDSFREGETMILTLKDKGVLQEEEDVLVNVNLVDKERAEKNVELRKKKPDYLPYAEDESVDDLAQQKPRSILSKYDEELEGERPHSFRLEQGGTADGLRERELEEIRAKLRLQAQSLSTVGPRLASEYLTPEEMVTFKKTKRRVKKIRKKEKEVVVRADDLLPLGDQTQDGDFGSRLRGRGRRRVSEVEEEKEPVPQPLPSDDTRVENMDISDEEEGGAPPPGSPQVLEEDEAELELQKQLEKGRRLRQLQQLQQLRDSGEKVVEIVKKLESRQRGWEEDEDPERKGAIVFNATSEFCRTLGEIPTYGLAGNREEQEELMDFERDEERSANGGSESDGEENIGWSTVNLDEEKQQQDFSASSTTILDEEPIVNRGLAAALLLCQNKGLLETTVQKVARVKAPNKSLPSAVYCIEDKMAIDDKYSRREEYRGFTQDFKEKDGYKPDVKIEYVDETGRKLTPKEAFRQLSHRFHGKGSGKMKTERRMKKLDEEALLKKMSSSDTPLGTVALLQEKQKAQKTPYIVLSGSGKSMNANTITK
+>DECOY_sp|O43290|SNUT1_HUMAN U4/U6.U5 tri-snRNP-associated protein 1 OS=Homo sapiens OX=9606 GN=SART1 PE=1 SV=1
+KTITNANMSKGSGSLVIYPTKQAKQKEQLLAVTGLPTDSSSMKKLLAEEDLKKMRRETKMKGSGKGHFRHSLQRFAEKPTLKRGTEDVYEIKVDPKYGDKEKFDQTFGRYEERRSYKDDIAMKDEICYVASPLSKNPAKVRAVKQVTTELLGKNQCLLLAAALGRNVIPEEDLITTSSASFDQQQKEEDLNVTSWGINEEGDSESGGNASREEDREFDMLEEQEERNGALGYTPIEGLTRCFESTANFVIAGKREPDEDEEWGRQRSELKKVIEVVKEGSDRLQQLQQLQRLRRGKELQKQLELEAEDEELVQPSGPPPAGGEEEDSIDMNEVRTDDSPLPQPVPEKEEEVESVRRRGRGRLRSGFDGDQTQDGLPLLDDARVVVEKEKKRIKKVRRKTKKFTVMEEPTLYESALRPGVTSLSQAQLRLKARIEELERERLGDATGGQELRFSHPREGELEEDYKSLISRPKQQALDDVSEDEAYPLYDPKKKRLEVNKEAREKDVLNVNVLVDEEEQLVGKDKLTLIMTEGERFSDIAHEVTLGQLDRASYLDQRRQGFEEEVLTSVGFEQDMEELLKARKEALDKEKQLQRSREIWAATDDLWPDDEGLTKIKGLKQNLLRKEKAAALKERLEERQRLAMPNIVDATVPEEKTGAEKKIANVELPKLGLKARLKNTEEISLSSADGSSTKSSAAAEYGDDRKERKVRRESPEAQSRERGHTSSRAEAEAGRRGSGREGGRERSRKRREGGSGGSGGSRHKHKKHERHRPPQETAGGTGAAATTGAAEKEGRHKKSSGM
+>sp|Q9Y5W9|SNX11_HUMAN Sorting nexin-11 OS=Homo sapiens OX=9606 GN=SNX11 PE=1 SV=2
+MGFWCRMSENQEQEEVITVRVQDPRVQNEGSWNSYVDYKIFLHTNSKAFTAKTSCVRRRYREFVWLRKQLQRNAGLVPVPELPGKSTFFGTSDEFIEKRRQGLQHFLEKVLQSVVLLSDSQLHLFLQSQLSVPEIEACVQGRSTMTVSDAILRYAMSNCGWAQEERQSSSHLAKGDQPKSCCFLPRSGRRSSPSPPPSEEKDHLEVWAPVVDSEVPSLESPTLPPLSSPLCCDFGRPKEGTSTLQSVRRAVGGDHAVPLDPGQLETVLEK
+>DECOY_sp|Q9Y5W9|SNX11_HUMAN Sorting nexin-11 OS=Homo sapiens OX=9606 GN=SNX11 PE=1 SV=2
+KELVTELQGPDLPVAHDGGVARRVSQLTSTGEKPRGFDCCLPSSLPPLTPSELSPVESDVVPAWVELHDKEESPPPSPSSRRGSRPLFCCSKPQDGKALHSSSQREEQAWGCNSMAYRLIADSVTMTSRGQVCAEIEPVSLQSQLFLHLQSDSLLVVSQLVKELFHQLGQRRKEIFEDSTGFFTSKGPLEPVPVLGANRQLQKRLWVFERYRRRVCSTKATFAKSNTHLFIKYDVYSNWSGENQVRPDQVRVTIVEEQEQNESMRCWFGM
+>sp|Q96RF0|SNX18_HUMAN Sorting nexin-18 OS=Homo sapiens OX=9606 GN=SNX18 PE=1 SV=2
+MALRARALYDFRSENPGEISLREHEVLSLCSEQDIEGWLEGVNSRGDRGLFPASYVQVIRAPEPGPAGDGGPGAPARYANVPPGGFEPLPVAPPASFKPPPDAFQALLQPQQAPPPSTFQPPGAGFPYGGGALQPSPQQLYGGYQASQGSDDDWDDEWDDSSTVADEPGALGSGAYPDLDGSSSAGVGAAGRYRLSTRSDLSLGSRGGSVPPQHHPSGPKSSATVSRNLNRFSTFVKSGGEAFVLGEASGFVKDGDKLCVVLGPYGPEWQENPYPFQCTIDDPTKQTKFKGMKSYISYKLVPTHTQVPVHRRYKHFDWLYARLAEKFPVISVPHLPEKQATGRFEEDFISKRRKGLIWWMNHMASHPVLAQCDVFQHFLTCPSSTDEKAWKQGKRKAEKDEMVGANFFLTLSTPPAAALDLQEVESKIDGFKCFTKKMDDSALQLNHTANEFARKQVTGFKKEYQKVGQSFRGLSQAFELDQQAFSVGLNQAIAFTGDAYDAIGELFAEQPRQDLDPVMDLLALYQGHLANFPDIIHVQKGKAWPLEQVIWSVLCRLKGATLTAVPLWVSESYSTGEEASRDVDAWVFSLECKLDCSTGSFLLEYLALGNEYSFSKVQRVPLMTVLSF
+>DECOY_sp|Q96RF0|SNX18_HUMAN Sorting nexin-18 OS=Homo sapiens OX=9606 GN=SNX18 PE=1 SV=2
+FSLVTMLPVRQVKSFSYENGLALYELLFSGTSCDLKCELSFVWADVDRSAEEGTSYSESVWLPVATLTAGKLRCLVSWIVQELPWAKGKQVHIIDPFNALHGQYLALLDMVPDLDQRPQEAFLEGIADYADGTFAIAQNLGVSFAQQDLEFAQSLGRFSQGVKQYEKKFGTVQKRAFENATHNLQLASDDMKKTFCKFGDIKSEVEQLDLAAAPPTSLTLFFNAGVMEDKEAKRKGQKWAKEDTSSPCTLFHQFVDCQALVPHSAMHNMWWILGKRRKSIFDEEFRGTAQKEPLHPVSIVPFKEALRAYLWDFHKYRRHVPVQTHTPVLKYSIYSKMGKFKTQKTPDDITCQFPYPNEQWEPGYPGLVVCLKDGDKVFGSAEGLVFAEGGSKVFTSFRNLNRSVTASSKPGSPHHQPPVSGGRSGLSLDSRTSLRYRGAAGVGASSSGDLDPYAGSGLAGPEDAVTSSDDWEDDWDDDSGQSAQYGGYLQQPSPQLAGGGYPFGAGPPQFTSPPPAQQPQLLAQFADPPPKFSAPPAVPLPEFGGPPVNAYRAPAGPGGDGAPGPEPARIVQVYSAPFLGRDGRSNVGELWGEIDQESCLSLVEHERLSIEGPNESRFDYLARARLAM
+>sp|Q13596|SNX1_HUMAN Sorting nexin-1 OS=Homo sapiens OX=9606 GN=SNX1 PE=1 SV=3
+MASGGGGCSASERLPPPFPGLEPESEGAAGGSEPEAGDSDTEGEDIFTGAAVVSKHQSPKITTSLLPINNGSKENGIHEEQDQEPQDLFADATVELSLDSTQNNQKKVLAKTLISLPPQEATNSSKPQPTYEELEEEEQEDQFDLTVGITDPEKIGDGMNAYVAYKVTTQTSLPLFRSKQFAVKRRFSDFLGLYEKLSEKHSQNGFIVPPPPEKSLIGMTKVKVGKEDSSSAEFLEKRRAALERYLQRIVNHPTMLQDPDVREFLEKEELPRAVGTQTLSGAGLLKMFNKATDAVSKMTIKMNESDIWFEEKLQEVECEEQRLRKLHAVVETLVNHRKELALNTAQFAKSLAMLGSSEDNTALSRALSQLAEVEEKIEQLHQEQANNDFFLLAELLSDYIRLLAIVRAAFDQRMKTWQRWQDAQATLQKKREAEARLLWANKPDKLQQAKDEILEWESRVTQYERDFERISTVVRKEVIRFEKEKSKDFKNHVIKYLETLLYSQQQLAKYWEAFLPEAKAIS
+>DECOY_sp|Q13596|SNX1_HUMAN Sorting nexin-1 OS=Homo sapiens OX=9606 GN=SNX1 PE=1 SV=3
+SIAKAEPLFAEWYKALQQQSYLLTELYKIVHNKFDKSKEKEFRIVEKRVVTSIREFDREYQTVRSEWELIEDKAQQLKDPKNAWLLRAEAERKKQLTAQADQWRQWTKMRQDFAARVIALLRIYDSLLEALLFFDNNAQEQHLQEIKEEVEALQSLARSLATNDESSGLMALSKAFQATNLALEKRHNVLTEVVAHLKRLRQEECEVEQLKEEFWIDSENMKITMKSVADTAKNFMKLLGAGSLTQTGVARPLEEKELFERVDPDQLMTPHNVIRQLYRELAARRKELFEASSSDEKGVKVKTMGILSKEPPPPVIFGNQSHKESLKEYLGLFDSFRRKVAFQKSRFLPLSTQTTVKYAVYANMGDGIKEPDTIGVTLDFQDEQEEEELEEYTPQPKSSNTAEQPPLSILTKALVKKQNNQTSDLSLEVTADAFLDQPEQDQEEHIGNEKSGNNIPLLSTTIKPSQHKSVVAAGTFIDEGETDSDGAEPESGGAAGESEPELGPFPPPLRESASCGGGGSAM
+>sp|Q96L92|SNX27_HUMAN Sorting nexin-27 OS=Homo sapiens OX=9606 GN=SNX27 PE=1 SV=2
+MADEDGEGIHPSAPHRNGGGGGGGGSGLHCAGNGGGGGGGPRVVRIVKSESGYGFNVRGQVSEGGQLRSINGELYAPLQHVSAVLPGGAADRAGVRKGDRILEVNHVNVEGATHKQVVDLIRAGEKELILTVLSVPPHEADNLDPSDDSLGQSFYDYTEKQAVPISVPRYKHVEQNGEKFVVYNVYMAGRQLCSKRYREFAILHQNLKREFANFTFPRLPGKWPFSLSEQQLDARRRGLEEYLEKVCSIRVIGESDIMQEFLSESDENYNGVSDVELRVALPDGTTVTVRVKKNSTTDQVYQAIAAKVGMDSTTVNYFALFEVISHSFVRKLAPNEFPHKLYIQNYTSAVPGTCLTIRKWLFTTEEEILLNDNDLAVTYFFHQAVDDVKKGYIKAEEKSYQLQKLYEQRKMVMYLNMLRTCEGYNEIIFPHCACDSRRKGHVITAISITHFKLHACTEEGQLENQVIAFEWDEMQRWDTDEEGMAFCFEYARGEKKPRWVKIFTPYFNYMHECFERVFCELKWRKENIFQMARSQQRDVAT
+>DECOY_sp|Q96L92|SNX27_HUMAN Sorting nexin-27 OS=Homo sapiens OX=9606 GN=SNX27 PE=1 SV=2
+TAVDRQQSRAMQFINEKRWKLECFVREFCEHMYNFYPTFIKVWRPKKEGRAYEFCFAMGEEDTDWRQMEDWEFAIVQNELQGEETCAHLKFHTISIATIVHGKRRSDCACHPFIIENYGECTRLMNLYMVMKRQEYLKQLQYSKEEAKIYGKKVDDVAQHFFYTVALDNDNLLIEEETTFLWKRITLCTGPVASTYNQIYLKHPFENPALKRVFSHSIVEFLAFYNVTTSDMGVKAAIAQYVQDTTSNKKVRVTVTTGDPLAVRLEVDSVGNYNEDSESLFEQMIDSEGIVRISCVKELYEELGRRRADLQQESLSFPWKGPLRPFTFNAFERKLNQHLIAFERYRKSCLQRGAMYVNYVVFKEGNQEVHKYRPVSIPVAQKETYDYFSQGLSDDSPDLNDAEHPPVSLVTLILEKEGARILDVVQKHTAGEVNVHNVELIRDGKRVGARDAAGGPLVASVHQLPAYLEGNISRLQGGESVQGRVNFGYGSESKVIRVVRPGGGGGGGNGACHLGSGGGGGGGGNRHPASPHIGEGDEDAM
+>sp|Q8TEQ0|SNX29_HUMAN Sorting nexin-29 OS=Homo sapiens OX=9606 GN=SNX29 PE=1 SV=3
+MSGSQNNDKRQFLLERLLDAVKQCQIRFGGRKEIASDSDSRVTCLCAQFEAVLQHGLKRSRGLALTAAAIKQAAGFASKTETEPVFWYYVKEVLNKHELQRFYSLRHIASDVGRGRAWLRCALNEHSLERYLHMLLADRCRLSTFYEDWSFVMDEERSSMLPTMAAGLNSILFAINIDNKDLNGQSKFAPTVSDLLKESTQNVTSLLKESTQGVSSLFREITASSAVSILIKPEQETDPLPVVSRNVSADAKCKKERKKKKKVTNIISFDDEEDEQNSGDVFKKTPGAGESSEDNSDRSSVNIMSAFESPFGPNSNGSQSSNSWKIDSLSLNGEFGYQKLDVKSIDDEDVDENEDDVYGNSSGRKHRGHSESPEKPLEGNTCLSQMHSWAPLKVLHNDSDILFPVSGVGSYSPADAPLGSLENGTGPEDHVLPDPGLRYSVEASSPGHGSPLSSLLPSASVPESMTISELRQATVAMMNRKDELEEENRSLRNLLDGEMEHSAALRQEVDTLKRKVAEQEERQGMKVQALARENEVLKVQLKKYVGAVQMLKREGQTAEVPNLWSVDGEVTVAEQKPGEIAEELASSYERKLIEVAEMHGELIEFNERLHRALVAKEALVSQMRQELIDLRGPVPGDLSQTSEDQSLSDFEISNRALINVWIPSVFLRGKAANAFHVYQVYIRIKDDEWNIYRRYTEFRSLHHKLQNKYPQVRAYNFPPKKAIGNKDAKFVEERRKQLQNYLRSVMNKVIQMVPEFAASPKKETLIQLMPFFVDITPPGEPVNSRPKAASRFPKLSRGQPRETRNVEPQSGDL
+>DECOY_sp|Q8TEQ0|SNX29_HUMAN Sorting nexin-29 OS=Homo sapiens OX=9606 GN=SNX29 PE=1 SV=3
+LDGSQPEVNRTERPQGRSLKPFRSAAKPRSNVPEGPPTIDVFFPMLQILTEKKPSAAFEPVMQIVKNMVSRLYNQLQKRREEVFKADKNGIAKKPPFNYARVQPYKNQLKHHLSRFETYRRYINWEDDKIRIYVQYVHFANAAKGRLFVSPIWVNILARNSIEFDSLSQDESTQSLDGPVPGRLDILEQRMQSVLAEKAVLARHLRENFEILEGHMEAVEILKREYSSALEEAIEGPKQEAVTVEGDVSWLNPVEATQGERKLMQVAGVYKKLQVKLVENERALAQVKMGQREEQEAVKRKLTDVEQRLAASHEMEGDLLNRLSRNEEELEDKRNMMAVTAQRLESITMSEPVSASPLLSSLPSGHGPSSAEVSYRLGPDPLVHDEPGTGNELSGLPADAPSYSGVGSVPFLIDSDNHLVKLPAWSHMQSLCTNGELPKEPSESHGRHKRGSSNGYVDDENEDVDEDDISKVDLKQYGFEGNLSLSDIKWSNSSQSGNSNPGFPSEFASMINVSSRDSNDESSEGAGPTKKFVDGSNQEDEEDDFSIINTVKKKKKREKKCKADASVNRSVVPLPDTEQEPKILISVASSATIERFLSSVGQTSEKLLSTVNQTSEKLLDSVTPAFKSQGNLDKNDINIAFLISNLGAAMTPLMSSREEDMVFSWDEYFTSLRCRDALLMHLYRELSHENLACRLWARGRGVDSAIHRLSYFRQLEHKNLVEKVYYWFVPETETKSAFGAAQKIAAATLALGRSRKLGHQLVAEFQACLCTVRSDSDSAIEKRGGFRIQCQKVADLLRELLFQRKDNNQSGSM
+>sp|Q8N9S9|SNX31_HUMAN Sorting nexin-31 OS=Homo sapiens OX=9606 GN=SNX31 PE=1 SV=3
+MKMHFCIPVSQQRSDALGGRYVLYSVHLDGFLFCRVRYSQLHGWNEQLRRVFGNCLPPFPPKYYLAMTTAMADERRDQLEQYLQNVTMDPNVLRSDVFVEFLKLAQLNTFDIATKKAYLDIFLPNEQSIRIEIITSDTAERVLEVVSHKIGLCRELLGYFGLFLIRFGKEGKLSVVKKLADFELPYVSLGSSEVENCKVGLRKWYMAPSLDSVLMDCRVAVDLLYMQAIQDIEKGWAKPTQAQRQKLEAFQKEDSQTKFLELAREVRHYGYLQLDPCTCDYPESGSGAVLSVGNNEISCCITLPDSQTQDIVFQMSRVKCWQVTFLGTLLDTDGPQRTLNQNLELRFQYSEDSCWQWFVIYTKQAFLLSSCLKKMISEKMVKLAAENTEMQIEVPEQSKSKKYHIQQSQQKDYSSFLSRKSKIKIAKDDCVFGNIKEEDL
+>DECOY_sp|Q8N9S9|SNX31_HUMAN Sorting nexin-31 OS=Homo sapiens OX=9606 GN=SNX31 PE=1 SV=3
+LDEEKINGFVCDDKAIKIKSKRSLFSSYDKQQSQQIHYKKSKSQEPVEIQMETNEAALKVMKESIMKKLCSSLLFAQKTYIVFWQWCSDESYQFRLELNQNLTRQPGDTDLLTGLFTVQWCKVRSMQFVIDQTQSDPLTICCSIENNGVSLVAGSGSEPYDCTCPDLQLYGYHRVERALELFKTQSDEKQFAELKQRQAQTPKAWGKEIDQIAQMYLLDVAVRCDMLVSDLSPAMYWKRLGVKCNEVESSGLSVYPLEFDALKKVVSLKGEKGFRILFLGFYGLLERCLGIKHSVVELVREATDSTIIEIRISQENPLFIDLYAKKTAIDFTNLQALKLFEVFVDSRLVNPDMTVNQLYQELQDRREDAMATTMALYYKPPFPPLCNGFVRRLQENWGHLQSYRVRCFLFGDLHVSYLVYRGGLADSRQQSVPICFHMKM
+>sp|Q9Y5X2|SNX8_HUMAN Sorting nexin-8 OS=Homo sapiens OX=9606 GN=SNX8 PE=1 SV=1
+MTGRAMDPLPAAAVGAAAEAEADEEADPPASDLPTPQAIEPQAIVQQVPAPSRMQMPQGNPLLLSHTLQELLARDTVQVELIPEKKGLFLKHVEYEVSSQRFKSSVYRRYNDFVVFQEMLLHKFPYRMVPALPPKRMLGADREFIEARRRALKRFVNLVARHPLFSEDVVLKLFLSFSGSDVQNKLKESAQCVGDEFLNCKLATRAKDFLPADIQAQFAISRELIRNIYNSFHKLRDRAERIASRAIDNAADLLIFGKELSAIGSDTTPLPSWAALNSSTWGSLKQALKGLSVEFALLADKAAQQGKQEENDVVEKLNLFLDLLQSYKDLCERHEKGVLHKHQRALHKYSLMKRQMMSATAQNREPESVEQLESRIVEQENAIQTMELRNYFSLYCLHQETQLIHVYLPLTSHILRAFVNSQIQGHKEMSKVWNDLRPKLSCLFAGPHSTLTPPCSPPEDGLCPH
+>DECOY_sp|Q9Y5X2|SNX8_HUMAN Sorting nexin-8 OS=Homo sapiens OX=9606 GN=SNX8 PE=1 SV=1
+HPCLGDEPPSCPPTLTSHPGAFLCSLKPRLDNWVKSMEKHGQIQSNVFARLIHSTLPLYVHILQTEQHLCYLSFYNRLEMTQIANEQEVIRSELQEVSEPERNQATASMMQRKMLSYKHLARQHKHLVGKEHRECLDKYSQLLDLFLNLKEVVDNEEQKGQQAAKDALLAFEVSLGKLAQKLSGWTSSNLAAWSPLPTTDSGIASLEKGFILLDAANDIARSAIREARDRLKHFSNYINRILERSIAFQAQIDAPLFDKARTALKCNLFEDGVCQASEKLKNQVDSGSFSLFLKLVVDESFLPHRAVLNVFRKLARRRAEIFERDAGLMRKPPLAPVMRYPFKHLLMEQFVVFDNYRRYVSSKFRQSSVEYEVHKLFLGKKEPILEVQVTDRALLEQLTHSLLLPNGQPMQMRSPAPVQQVIAQPEIAQPTPLDSAPPDAEEDAEAEAAAGVAAAPLPDMARGTM
+>sp|P46721|SO1A2_HUMAN Solute carrier organic anion transporter family member 1A2 OS=Homo sapiens OX=9606 GN=SLCO1A2 PE=2 SV=1
+MGETEKRIETHRIRCLSKLKMFLLAITCAFVSKTLSGSYMNSMLTQIERQFNIPTSLVGFINGSFEIGNLLLIIFVSYFGTKLHRPIMIGIGCVVMGLGCFLKSLPHFLMNQYEYESTVSVSGNLSSNSFLCMENGTQILRPTQDPSECTKEVKSLMWVYVLVGNIVRGMGETPILPLGISYIEDFAKFENSPLYIGLVETGAIIGPLIGLLLASFCANVYVDTGFVNTDDLIITPTDTRWVGAWWFGFLICAGVNVLTAIPFFFLPNTLPKEGLETNADIIKNENEDKQKEEVKKEKYGITKDFLPFMKSLSCNPIYMLFILVSVIQFNAFVNMISFMPKYLEQQYGISSSDAIFLMGIYNLPPICIGYIIGGLIMKKFKITVKQAAHIGCWLSLLEYLLYFLSFLMTCENSSVVGINTSYEGIPQDLYVENDIFADCNVDCNCPSKIWDPVCGNNGLSYLSACLAGCETSIGTGINMVFQNCSCIQTSGNSSAVLGLCDKGPDCSLMLQYFLILSAMSSFIYSLAAIPGYMVLLRCMKSEEKSLGVGLHTFCTRVFAGIPAPIYFGALMDSTCLHWGTLKCGESGACRIYDSTTFRYIYLGLPAALRGSSFVPALIILILLRKCHLPGENASSGTELIETKVKGKENECKDIYQKSTVLKDDELKTKL
+>DECOY_sp|P46721|SO1A2_HUMAN Solute carrier organic anion transporter family member 1A2 OS=Homo sapiens OX=9606 GN=SLCO1A2 PE=2 SV=1
+LKTKLEDDKLVTSKQYIDKCENEKGKVKTEILETGSSANEGPLHCKRLLILIILAPVFSSGRLAAPLGLYIYRFTTSDYIRCAGSEGCKLTGWHLCTSDMLAGFYIPAPIGAFVRTCFTHLGVGLSKEESKMCRLLVMYGPIAALSYIFSSMASLILFYQLMLSCDPGKDCLGLVASSNGSTQICSCNQFVMNIGTGISTECGALCASLYSLGNNGCVPDWIKSPCNCDVNCDAFIDNEVYLDQPIGEYSTNIGVVSSNECTMLFSLFYLLYELLSLWCGIHAAQKVTIKFKKMILGGIIYGICIPPLNYIGMLFIADSSSIGYQQELYKPMFSIMNVFANFQIVSVLIFLMYIPNCSLSKMFPLFDKTIGYKEKKVEEKQKDENENKIIDANTELGEKPLTNPLFFFPIATLVNVGACILFGFWWAGVWRTDTPTIILDDTNVFGTDVYVNACFSALLLGILPGIIAGTEVLGIYLPSNEFKAFDEIYSIGLPLIPTEGMGRVINGVLVYVWMLSKVEKTCESPDQTPRLIQTGNEMCLFSNSSLNGSVSVTSEYEYQNMLFHPLSKLFCGLGMVVCGIGIMIPRHLKTGFYSVFIILLLNGIEFSGNIFGVLSTPINFQREIQTLMSNMYSGSLTKSVFACTIALLFMKLKSLCRIRHTEIRKETEGM
+>sp|Q9Y6L6|SO1B1_HUMAN Solute carrier organic anion transporter family member 1B1 OS=Homo sapiens OX=9606 GN=SLCO1B1 PE=1 SV=2
+MDQNQHLNKTAEAQPSENKKTRYCNGLKMFLAALSLSFIAKTLGAIIMKSSIIHIERRFEISSSLVGFIDGSFEIGNLLVIVFVSYFGSKLHRPKLIGIGCFIMGIGGVLTALPHFFMGYYRYSKETNINSSENSTSTLSTCLINQILSLNRASPEIVGKGCLKESGSYMWIYVFMGNMLRGIGETPIVPLGLSYIDDFAKEGHSSLYLGILNAIAMIGPIIGFTLGSLFSKMYVDIGYVDLSTIRITPTDSRWVGAWWLNFLVSGLFSIISSIPFFFLPQTPNKPQKERKASLSLHVLETNDEKDQTANLTNQGKNITKNVTGFFQSFKSILTNPLYVMFVLLTLLQVSSYIGAFTYVFKYVEQQYGQPSSKANILLGVITIPIFASGMFLGGYIIKKFKLNTVGIAKFSCFTAVMSLSFYLLYFFILCENKSVAGLTMTYDGNNPVTSHRDVPLSYCNSDCNCDESQWEPVCGNNGITYISPCLAGCKSSSGNKKPIVFYNCSCLEVTGLQNRNYSAHLGECPRDDACTRKFYFFVAIQVLNLFFSALGGTSHVMLIVKIVQPELKSLALGFHSMVIRALGGILAPIYFGALIDTTCIKWSTNNCGTRGSCRTYNSTSFSRVYLGLSSMLRVSSLVLYIILIYAMKKKYQEKDINASENGSVMDEANLESLNKNKHFVPSAGADSETHC
+>DECOY_sp|Q9Y6L6|SO1B1_HUMAN Solute carrier organic anion transporter family member 1B1 OS=Homo sapiens OX=9606 GN=SLCO1B1 PE=1 SV=2
+CHTESDAGASPVFHKNKNLSELNAEDMVSGNESANIDKEQYKKKMAYILIIYLVLSSVRLMSSLGLYVRSFSTSNYTRCSGRTGCNNTSWKICTTDILAGFYIPALIGGLARIVMSHFGLALSKLEPQVIKVILMVHSTGGLASFFLNLVQIAVFFYFKRTCADDRPCEGLHASYNRNQLGTVELCSCNYFVIPKKNGSSSKCGALCPSIYTIGNNGCVPEWQSEDCNCDSNCYSLPVDRHSTVPNNGDYTMTLGAVSKNECLIFFYLLYFSLSMVATFCSFKAIGVTNLKFKKIIYGGLFMGSAFIPITIVGLLINAKSSPQGYQQEVYKFVYTFAGIYSSVQLLTLLVFMVYLPNTLISKFSQFFGTVNKTINKGQNTLNATQDKEDNTELVHLSLSAKREKQPKNPTQPLFFFPISSIISFLGSVLFNLWWAGVWRSDTPTIRITSLDVYGIDVYMKSFLSGLTFGIIPGIMAIANLIGLYLSSHGEKAFDDIYSLGLPVIPTEGIGRLMNGMFVYIWMYSGSEKLCGKGVIEPSARNLSLIQNILCTSLTSTSNESSNINTEKSYRYYGMFFHPLATLVGGIGMIFCGIGILKPRHLKSGFYSVFVIVLLNGIEFSGDIFGVLSSSIEFRREIHIISSKMIIAGLTKAIFSLSLAALFMKLGNCYRTKKNESPQAEATKNLHQNQDM
+>sp|Q9NPD5|SO1B3_HUMAN Solute carrier organic anion transporter family member 1B3 OS=Homo sapiens OX=9606 GN=SLCO1B3 PE=1 SV=1
+MDQHQHLNKTAESASSEKKKTRRCNGFKMFLAALSFSYIAKALGGIIMKISITQIERRFDISSSLAGLIDGSFEIGNLLVIVFVSYFGSKLHRPKLIGIGCLLMGTGSILTSLPHFFMGYYRYSKETHINPSENSTSSLSTCLINQTLSFNGTSPEIVEKDCVKESGSHMWIYVFMGNMLRGIGETPIVPLGISYIDDFAKEGHSSLYLGSLNAIGMIGPVIGFALGSLFAKMYVDIGYVDLSTIRITPKDSRWVGAWWLGFLVSGLFSIISSIPFFFLPKNPNKPQKERKISLSLHVLKTNDDRNQTANLTNQGKNVTKNVTGFFQSLKSILTNPLYVIFLLLTLLQVSSFIGSFTYVFKYMEQQYGQSASHANFLLGIITIPTVATGMFLGGFIIKKFKLSLVGIAKFSFLTSMISFLFQLLYFPLICESKSVAGLTLTYDGNNSVASHVDVPLSYCNSECNCDESQWEPVCGNNGITYLSPCLAGCKSSSGIKKHTVFYNCSCVEVTGLQNRNYSAHLGECPRDNTCTRKFFIYVAIQVINSLFSATGGTTFILLTVKIVQPELKALAMGFQSMVIRTLGGILAPIYFGALIDKTCMKWSTNSCGAQGACRIYNSVFFGRVYLGLSIALRFPALVLYIVFIFAMKKKFQGKDTKASDNERKVMDEANLEFLNNGEHFVPSAGTDSKTCNLDMQDNAAAN
+>DECOY_sp|Q9NPD5|SO1B3_HUMAN Solute carrier organic anion transporter family member 1B3 OS=Homo sapiens OX=9606 GN=SLCO1B3 PE=1 SV=1
+NAAANDQMDLNCTKSDTGASPVFHEGNNLFELNAEDMVKRENDSAKTDKGQFKKKMAFIFVIYLVLAPFRLAISLGLYVRGFFVSNYIRCAGQAGCSNTSWKMCTKDILAGFYIPALIGGLTRIVMSQFGMALAKLEPQVIKVTLLIFTTGGTASFLSNIVQIAVYIFFKRTCTNDRPCEGLHASYNRNQLGTVEVCSCNYFVTHKKIGSSSKCGALCPSLYTIGNNGCVPEWQSEDCNCESNCYSLPVDVHSAVSNNGDYTLTLGAVSKSECILPFYLLQFLFSIMSTLFSFKAIGVLSLKFKKIIFGGLFMGTAVTPITIIGLLFNAHSASQGYQQEMYKFVYTFSGIFSSVQLLTLLLFIVYLPNTLISKLSQFFGTVNKTVNKGQNTLNATQNRDDNTKLVHLSLSIKREKQPKNPNKPLFFFPISSIISFLGSVLFGLWWAGVWRSDKPTIRITSLDVYGIDVYMKAFLSGLAFGIVPGIMGIANLSGLYLSSHGEKAFDDIYSIGLPVIPTEGIGRLMNGMFVYIWMHSGSEKVCDKEVIEPSTGNFSLTQNILCTSLSSTSNESPNIHTEKSYRYYGMFFHPLSTLISGTGMLLCGIGILKPRHLKSGFYSVFVIVLLNGIEFSGDILGALSSSIDFRREIQTISIKMIIGGLAKAIYSFSLAALFMKFGNCRRTKKKESSASEATKNLHQHQDM
+>sp|Q9NYB5|SO1C1_HUMAN Solute carrier organic anion transporter family member 1C1 OS=Homo sapiens OX=9606 GN=SLCO1C1 PE=2 SV=1
+MDTSSKENIQLFCKTSVQPVGRPSFKTEYPSSEEKQPCCGELKVFLCALSFVYFAKALAEGYLKSTITQIERRFDIPSSLVGVIDGSFEIGNLLVITFVSYFGAKLHRPKIIGAGCVIMGVGTLLIAMPQFFMEQYKYERYSPSSNSTLSISPCLLESSSQLPVSVMEKSKSKISNECEVDTSSSMWIYVFLGNLLRGIGETPIQPLGIAYLDDFASEDNAAFYIGCVQTVAIIGPIFGFLLGSLCAKLYVDIGFVNLDHITITPKDPQWVGAWWLGYLIAGIISLLAAVPFWYLPKSLPRSQSREDSNSSSEKSKFIIDDHTDYQTPQGENAKIMEMARDFLPSLKNLFGNPVYFLYLCTSTVQFNSLFGMVTYKPKYIEQQYGQSSSRANFVIGLINIPAVALGIFSGGIVMKKFRISVCGAAKLYLGSSVFGYLLFLSLFALGCENSDVAGLTVSYQGTKPVSYHERALFSDCNSRCKCSETKWEPMCGENGITYVSACLAGCQTSNRSGKNIIFYNCTCVGIAASKSGNSSGIVGRCQKDNGCPQMFLYFLVISVITSYTLSLGGIPGYILLLRCIKPQLKSFALGIYTLAIRVLAGIPAPVYFGVLIDTSCLKWGFKRCGSRGSCRLYDSNVFRHIYLGLTVILGTVSILLSIAVLFILKKNYVSKHRSFITKRERTMVSTRFQKENYTTSDHLLQPNYWPGKETQL
+>DECOY_sp|Q9NYB5|SO1C1_HUMAN Solute carrier organic anion transporter family member 1C1 OS=Homo sapiens OX=9606 GN=SLCO1C1 PE=2 SV=1
+LQTEKGPWYNPQLLHDSTTYNEKQFRTSVMTRERKTIFSRHKSVYNKKLIFLVAISLLISVTGLIVTLGLYIHRFVNSDYLRCSGRSGCRKFGWKLCSTDILVGFYVPAPIGALVRIALTYIGLAFSKLQPKICRLLLIYGPIGGLSLTYSTIVSIVLFYLFMQPCGNDKQCRGVIGSSNGSKSAAIGVCTCNYFIINKGSRNSTQCGALCASVYTIGNEGCMPEWKTESCKCRSNCDSFLAREHYSVPKTGQYSVTLGAVDSNECGLAFLSLFLLYGFVSSGLYLKAAGCVSIRFKKMVIGGSFIGLAVAPINILGIVFNARSSSQGYQQEIYKPKYTVMGFLSNFQVTSTCLYLFYVPNGFLNKLSPLFDRAMEMIKANEGQPTQYDTHDDIIFKSKESSSNSDERSQSRPLSKPLYWFPVAALLSIIGAILYGLWWAGVWQPDKPTITIHDLNVFGIDVYLKACLSGLLFGFIPGIIAVTQVCGIYFAANDESAFDDLYAIGLPQIPTEGIGRLLNGLFVYIWMSSSTDVECENSIKSKSKEMVSVPLQSSSELLCPSISLTSNSSPSYREYKYQEMFFQPMAILLTGVGMIVCGAGIIKPRHLKAGFYSVFTIVLLNGIEFSGDIVGVLSSPIDFRREIQTITSKLYGEALAKAFYVFSLACLFVKLEGCCPQKEESSPYETKFSPRGVPQVSTKCFLQINEKSSTDM
+>sp|Q92959|SO2A1_HUMAN Solute carrier organic anion transporter family member 2A1 OS=Homo sapiens OX=9606 GN=SLCO2A1 PE=1 SV=2
+MGLLPKLGASQGSDTSTSRAGRCARSVFGNIKVFVLCQGLLQLCQLLYSAYFKSSLTTIEKRFGLSSSSSGLISSLNEISNAILIIFVSYFGSRVHRPRLIGIGGLFLAAGAFILTLPHFLSEPYQYTLASTGNNSRLQAELCQKHWQDLPPSKCHSTTQNPQKETSSMWGLMVVAQLLAGIGTVPIQPFGISYVDDFSEPSNSPLYISILFAISVFGPAFGYLLGSVMLQIFVDYGRVNTAAVNLVPGDPRWIGAWWLGLLISSALLVLTSFPFFFFPRAMPIGAKRAPATADEARKLEEAKSRGSLVDFIKRFPCIFLRLLMNSLFVLVVLAQCTFSSVIAGLSTFLNKFLEKQYGTSAAYANFLIGAVNLPAAALGMLFGGILMKRFVFSLQAIPRIATTIITISMILCVPLFFMGCSTPTVAEVYPPSTSSSIHPQSPACRRDCSCPDSIFHPVCGDNGIEYLSPCHAGCSNINMSSATSKQLIYLNCSCVTGGSASAKTGSCPVPCAHFLLPAIFLISFVSLIACISHNPLYMMVLRVVNQEEKSFAIGVQFLLMRLLAWLPSPALYGLTIDHSCIRWNSLCLGRRGACAYYDNDALRDRYLGLQMGYKALGMLLLCFISWRVKKNKEYNVQKAAGLI
+>DECOY_sp|Q92959|SO2A1_HUMAN Solute carrier organic anion transporter family member 2A1 OS=Homo sapiens OX=9606 GN=SLCO2A1 PE=1 SV=2
+ILGAAKQVNYEKNKKVRWSIFCLLLMGLAKYGMQLGLYRDRLADNDYYACAGRRGLCLSNWRICSHDITLGYLAPSPLWALLRMLLFQVGIAFSKEEQNVVRLVMMYLPNHSICAILSVFSILFIAPLLFHACPVPCSGTKASASGGTVCSCNLYILQKSTASSMNINSCGAHCPSLYEIGNDGCVPHFISDPCSCDRRCAPSQPHISSSTSPPYVEAVTPTSCGMFFLPVCLIMSITIITTAIRPIAQLSFVFRKMLIGGFLMGLAAAPLNVAGILFNAYAASTGYQKELFKNLFTSLGAIVSSFTCQALVVLVFLSNMLLRLFICPFRKIFDVLSGRSKAEELKRAEDATAPARKAGIPMARPFFFFPFSTLVLLASSILLGLWWAGIWRPDGPVLNVAATNVRGYDVFIQLMVSGLLYGFAPGFVSIAFLISIYLPSNSPESFDDVYSIGFPQIPVTGIGALLQAVVMLGWMSSTEKQPNQTTSHCKSPPLDQWHKQCLEAQLRSNNGTSALTYQYPESLFHPLTLIFAGAALFLGGIGILRPRHVRSGFYSVFIILIANSIENLSSILGSSSSSLGFRKEITTLSSKFYASYLLQCLQLLGQCLVFVKINGFVSRACRGARSTSTDSGQSAGLKPLLGM
+>sp|Q3KNW5|SOAT_HUMAN Solute carrier family 10 member 6 OS=Homo sapiens OX=9606 GN=SLC10A6 PE=1 SV=2
+MRANCSSSSACPANSSEEELPVGLEVHGNLELVFTVVSTVMMGLLMFSLGCSVEIRKLWSHIRRPWGIAVGLLCQFGLMPFTAYLLAISFSLKPVQAIAVLIMGCCPGGTISNIFTFWVDGDMDLSISMTTCSTVAALGMMPLCIYLYTWSWSLQQNLTIPYQNIGITLVCLTIPVAFGVYVNYRWPKQSKIILKIGAVVGGVLLLVVAVAGVVLAKGSWNSDITLLTISFIFPLIGHVTGFLLALFTHQSWQRCRTISLETGAQNIQMCITMLQLSFTAEHLVQMLSFPLAYGLFQLIDGFLIVAAYQTYKRRLKNKHGKKNSGCTEVCHTRKSTSSRETNAFLEVNEEGAITPGPPGPMDCHRALEPVGHITSCE
+>DECOY_sp|Q3KNW5|SOAT_HUMAN Solute carrier family 10 member 6 OS=Homo sapiens OX=9606 GN=SLC10A6 PE=1 SV=2
+ECSTIHGVPELARHCDMPGPPGPTIAGEENVELFANTERSSTSKRTHCVETCGSNKKGHKNKLRRKYTQYAAVILFGDILQFLGYALPFSLMQVLHEATFSLQLMTICMQINQAGTELSITRCRQWSQHTFLALLFGTVHGILPFIFSITLLTIDSNWSGKALVVGAVAVVLLLVGGVVAGIKLIIKSQKPWRYNVYVGFAVPITLCVLTIGINQYPITLNQQLSWSWTYLYICLPMMGLAAVTSCTTMSISLDMDGDVWFTFINSITGGPCCGMILVAIAQVPKLSFSIALLYATFPMLGFQCLLGVAIGWPRRIHSWLKRIEVSCGLSFMLLGMMVTSVVTFVLELNGHVELGVPLEEESSNAPCASSSSCNARM
+>sp|P01241|SOMA_HUMAN Somatotropin OS=Homo sapiens OX=9606 GN=GH1 PE=1 SV=2
+MATGSRTSLLLAFGLLCLPWLQEGSAFPTIPLSRLFDNAMLRAHRLHQLAFDTYQEFEEAYIPKEQKYSFLQNPQTSLCFSESIPTPSNREETQQKSNLELLRISLLLIQSWLEPVQFLRSVFANSLVYGASDSNVYDLLKDLEEGIQTLMGRLEDGSPRTGQIFKQTYSKFDTNSHNDDALLKNYGLLYCFRKDMDKVETFLRIVQCRSVEGSCGF
+>DECOY_sp|P01241|SOMA_HUMAN Somatotropin OS=Homo sapiens OX=9606 GN=GH1 PE=1 SV=2
+FGCSGEVSRCQVIRLFTEVKDMDKRFCYLLGYNKLLADDNHSNTDFKSYTQKFIQGTRPSGDELRGMLTQIGEELDKLLDYVNSDSAGYVLSNAFVSRLFQVPELWSQILLLSIRLLELNSKQQTEERNSPTPISESFCLSTQPNQLFSYKQEKPIYAEEFEQYTDFALQHLRHARLMANDFLRSLPITPFASGEQLWPLCLLGFALLLSTRSGTAM
+>sp|Q8WY21|SORC1_HUMAN VPS10 domain-containing receptor SorCS1 OS=Homo sapiens OX=9606 GN=SORCS1 PE=1 SV=3
+MGKVGAGGGSQARLSALLAGAGLLILCAPGVCGGGSCCPSPHPSSAPRSASTPRGFSHQGRPGRAPATPLPLVVRPLFSVAPGDRALSLERARGTGASMAVAARSGRRRRSGADQEKAERGEGASRSPRGVLRDGGQQEPGTRERDPDKATRFRMEELRLTSTTFALTGDSAHNQAMVHWSGHNSSVILILTKLYDYNLGSITESSLWRSTDYGTTYEKLNDKVGLKTILSYLYVCPTNKRKIMLLTDPEIESSLLISSDEGATYQKYRLNFYIQSLLFHPKQEDWILAYSQDQKLYSSAEFGRRWQLIQEGVVPNRFYWSVMGSNKEPDLVHLEARTVDGHSHYLTCRMQNCTEANRNQPFPGYIDPDSLIVQDHYVFVQLTSGGRPHYYVSYRRNAFAQMKLPKYALPKDMHVISTDENQVFAAVQEWNQNDTYNLYISDTRGVYFTLALENVQSSRGPEGNIMIDLYEVAGIKGMFLANKKIDNQVKTFITYNKGRDWRLLQAPDTDLRGDPVHCLLPYCSLHLHLKVSENPYTSGIIASKDTAPSIIVASGNIGSELSDTDISMFVSSDAGNTWRQIFEEEHSVLYLDQGGVLVAMKHTSLPIRHLWLSFDEGRSWSKYSFTSIPLFVDGVLGEPGEETLIMTVFGHFSHRSEWQLVKVDYKSIFDRRCAEEDYRPWQLHSQGEACIMGAKRIYKKRKSERKCMQGKYAGAMESEPCVCTEADFDCDYGYERHSNGQCLPAFWFNPSSLSKDCSLGQSYLNSTGYRKVVSNNCTDGVREQYTAKPQKCPGKAPRGLRIVTADGKLTAEQGHNVTLMVQLEEGDVQRTLIQVDFGDGIAVSYVNLSSMEDGIKHVYQNVGIFRVTVQVDNSLGSDSAVLYLHVTCPLEHVHLSLPFVTTKNKEVNATAVLWPSQVGTLTYVWWYGNNTEPLITLEGSISFRFTSEGMNTITVQVSAGNAILQDTKTIAVYEEFRSLRLSFSPNLDDYNPDIPEWRRDIGRVIKKSLVEATGVPGQHILVAVLPGLPTTAELFVLPYQDPAGENKRSTDDLEQISELLIHTLNQNSVHFELKPGVRVLVHAAHLTAAPLVDLTPTHSGSAMLMLLSVVFVGLAVFVIYKFKRRVALPSPPSPSTQPGDSSLRLQRARHATPPSTPKRGSAGAQYAI
+>DECOY_sp|Q8WY21|SORC1_HUMAN VPS10 domain-containing receptor SorCS1 OS=Homo sapiens OX=9606 GN=SORCS1 PE=1 SV=3
+IAYQAGASGRKPTSPPTAHRARQLRLSSDGPQTSPSPPSPLAVRRKFKYIVFVALGVFVVSLLMLMASGSHTPTLDVLPAATLHAAHVLVRVGPKLEFHVSNQNLTHILLESIQELDDTSRKNEGAPDQYPLVFLEATTPLGPLVAVLIHQGPVGTAEVLSKKIVRGIDRRWEPIDPNYDDLNPSFSLRLSRFEEYVAITKTDQLIANGASVQVTITNMGESTFRFSISGELTILPETNNGYWWVYTLTGVQSPWLVATANVEKNKTTVFPLSLHVHELPCTVHLYLVASDSGLSNDVQVTVRFIGVNQYVHKIGDEMSSLNVYSVAIGDGFDVQILTRQVDGEELQVMLTVNHGQEATLKGDATVIRLGRPAKGPCKQPKATYQERVGDTCNNSVVKRYGTSNLYSQGLSCDKSLSSPNFWFAPLCQGNSHREYGYDCDFDAETCVCPESEMAGAYKGQMCKRESKRKKYIRKAGMICAEGQSHLQWPRYDEEACRRDFISKYDVKVLQWESRHSFHGFVTMILTEEGPEGLVGDVFLPISTFSYKSWSRGEDFSLWLHRIPLSTHKMAVLVGGQDLYLVSHEEEFIQRWTNGADSSVFMSIDTDSLESGINGSAVIISPATDKSAIIGSTYPNESVKLHLHLSCYPLLCHVPDGRLDTDPAQLLRWDRGKNYTIFTKVQNDIKKNALFMGKIGAVEYLDIMINGEPGRSSQVNELALTFYVGRTDSIYLNYTDNQNWEQVAAFVQNEDTSIVHMDKPLAYKPLKMQAFANRRYSVYYHPRGGSTLQVFVYHDQVILSDPDIYGPFPQNRNAETCNQMRCTLYHSHGDVTRAELHVLDPEKNSGMVSWYFRNPVVGEQILQWRRGFEASSYLKQDQSYALIWDEQKPHFLLSQIYFNLRYKQYTAGEDSSILLSSEIEPDTLLMIKRKNTPCVYLYSLITKLGVKDNLKEYTTGYDTSRWLSSETISGLNYDYLKTLILIVSSNHGSWHVMAQNHASDGTLAFTTSTLRLEEMRFRTAKDPDRERTGPEQQGGDRLVGRPSRSAGEGREAKEQDAGSRRRRGSRAAVAMSAGTGRARELSLARDGPAVSFLPRVVLPLPTAPARGPRGQHSFGRPTSASRPASSPHPSPCCSGGGCVGPACLILLGAGALLASLRAQSGGGAGVKGM
+>sp|Q9UPU3|SORC3_HUMAN VPS10 domain-containing receptor SorCS3 OS=Homo sapiens OX=9606 GN=SORCS3 PE=2 SV=2
+MEAARTERPAGRPGAPLVRTGLLLLSTWVLAGAEITWDATGGPGRPAAPASRPPALSPLSPRAVASQWPEELASARRAAVLGRRAGPELLPQQGGGRGGEMQVEAGGTSPAGERRGRGIPAPAKLGGARRSRRAQPPITQERGDAWATAPADGSRGSRPLAKGSREEVKAPRAGGSAAEDLRLPSTSFALTGDSAHNQAMVHWSGHNSSVILILTKLYDFNLGSVTESSLWRSTDYGTTYEKLNDKVGLKTVLSYLYVNPTNKRKIMLLSDPEMESSILISSDEGATYQKYRLTFYIQSLLFHPKQEDWVLAYSLDQKLYSSMDFGRRWQLMHERITPNRFYWSVAGLDKEADLVHMEVRTTDGYAHYLTCRIQECAETTRSGPFARSIDISSLVVQDEYIFIQVTTSGRASYYVSYRREAFAQIKLPKYSLPKDMHIISTDENQVFAAVQEWNQNDTYNLYISDTRGIYFTLAMENIKSSRGLMGNIIIELYEVAGIKGIFLANKKVDDQVKTYITYNKGRDWRLLQAPDVDLRGSPVHCLLPFCSLHLHLQLSENPYSSGRISSKETAPGLVVATGNIGPELSYTDIGVFISSDGGNTWRQIFDEEYNVWFLDWGGALVAMKHTPLPVRHLWVSFDEGHSWDKYGFTSVPLFVDGALVEAGMETHIMTVFGHFSLRSEWQLVKVDYKSIFSRHCTKEDYQTWHLLNQGEPCVMGERKIFKKRKPGAQCALGRDHSGSVVSEPCVCANWDFECDYGYERHGESQCVPAFWYNPASPSKDCSLGQSYLNSTGYRRIVSNNCTDGLREKYTAKAQMCPGKAPRGLHVVTTDGRLVAEQGHNATFIILMEEGDLQRTNIQLDFGDGIAVSYANFSPIEDGIKHVYKSAGIFQVTAYAENNLGSDTAVLFLHVVCPVEHVHLRVPFVAIRNKEVNISAVVWPSQLGTLTYFWWFGNSTKPLITLDSSISFTFLAEGTDTITVQVAAGNALIQDTKEIAVHEYFQSQLLSFSPNLDYHNPDIPEWRKDIGNVIKRALVKVTSVPEDQILIAVFPGLPTSAELFILPPKNLTERRKGNEGDLEQIVETLFNALNQNLVQFELKPGVQVIVYVTQLTLAPLVDSSAGHSSSAMLMLLSVVFVGLAVFLIYKFKRKIPWINIYAQVQHDKEQEMIGSVSQSENAPKITLSDFTEPEELLDKELDTRVIGGIATIANSESTKEIPNCTSV
+>DECOY_sp|Q9UPU3|SORC3_HUMAN VPS10 domain-containing receptor SorCS3 OS=Homo sapiens OX=9606 GN=SORCS3 PE=2 SV=2
+VSTCNPIEKTSESNAITAIGGIVRTDLEKDLLEEPETFDSLTIKPANESQSVSGIMEQEKDHQVQAYINIWPIKRKFKYILFVALGVFVVSLLMLMASSSHGASSDVLPALTLQTVYVIVQVGPKLEFQVLNQNLANFLTEVIQELDGENGKRRETLNKPPLIFLEASTPLGPFVAILIQDEPVSTVKVLARKIVNGIDKRWEPIDPNHYDLNPSFSLLQSQFYEHVAIEKTDQILANGAAVQVTITDTGEALFTFSISSDLTILPKTSNGFWWFYTLTGLQSPWVVASINVEKNRIAVFPVRLHVHEVPCVVHLFLVATDSGLNNEAYATVQFIGASKYVHKIGDEIPSFNAYSVAIGDGFDLQINTRQLDGEEMLIIFTANHGQEAVLRGDTTVVHLGRPAKGPCMQAKATYKERLGDTCNNSVIRRYGTSNLYSQGLSCDKSPSAPNYWFAPVCQSEGHREYGYDCEFDWNACVCPESVVSGSHDRGLACQAGPKRKKFIKREGMVCPEGQNLLHWTQYDEKTCHRSFISKYDVKVLQWESRLSFHGFVTMIHTEMGAEVLAGDVFLPVSTFGYKDWSHGEDFSVWLHRVPLPTHKMAVLAGGWDLFWVNYEEDFIQRWTNGGDSSIFVGIDTYSLEPGINGTAVVLGPATEKSSIRGSSYPNESLQLHLHLSCFPLLCHVPSGRLDVDPAQLLRWDRGKNYTIYTKVQDDVKKNALFIGKIGAVEYLEIIINGMLGRSSKINEMALTFYIGRTDSIYLNYTDNQNWEQVAAFVQNEDTSIIHMDKPLSYKPLKIQAFAERRYSVYYSARGSTTVQIFIYEDQVVLSSIDISRAFPGSRTTEACEQIRCTLYHAYGDTTRVEMHVLDAEKDLGAVSWYFRNPTIREHMLQWRRGFDMSSYLKQDLSYALVWDEQKPHFLLSQIYFTLRYKQYTAGEDSSILISSEMEPDSLLMIKRKNTPNVYLYSLVTKLGVKDNLKEYTTGYDTSRWLSSETVSGLNFDYLKTLILIVSSNHGSWHVMAQNHASDGTLAFSTSPLRLDEAASGGARPAKVEERSGKALPRSGRSGDAPATAWADGREQTIPPQARRSRRAGGLKAPAPIGRGRREGAPSTGGAEVQMEGGRGGGQQPLLEPGARRGLVAARRASALEEPWQSAVARPSLPSLAPPRSAPAAPRGPGGTADWTIEAGALVWTSLLLLGTRVLPAGPRGAPRETRAAEM
+>sp|Q07889|SOS1_HUMAN Son of sevenless homolog 1 OS=Homo sapiens OX=9606 GN=SOS1 PE=1 SV=1
+MQAQQLPYEFFSEENAPKWRGLLVPALKKVQGQVHPTLESNDDALQYVEELILQLLNMLCQAQPRSASDVEERVQKSFPHPIDKWAIADAQSAIEKRKRRNPLSLPVEKIHPLLKEVLGYKIDHQVSVYIVAVLEYISADILKLVGNYVRNIRHYEITKQDIKVAMCADKVLMDMFHQDVEDINILSLTDEEPSTSGEQTYYDLVKAFMAEIRQYIRELNLIIKVFREPFVSNSKLFSANDVENIFSRIVDIHELSVKLLGHIEDTVEMTDEGSPHPLVGSCFEDLAEELAFDPYESYARDILRPGFHDRFLSQLSKPGAALYLQSIGEGFKEAVQYVLPRLLLAPVYHCLHYFELLKQLEEKSEDQEDKECLKQAITALLNVQSGMEKICSKSLAKRRLSESACRFYSQQMKGKQLAIKKMNEIQKNIDGWEGKDIGQCCNEFIMEGTLTRVGAKHERHIFLFDGLMICCKSNHGQPRLPGASNAEYRLKEKFFMRKVQINDKDDTNEYKHAFEIILKDENSVIFSAKSAEEKNNWMAALISLQYRSTLERMLDVTMLQEEKEEQMRLPSADVYRFAEPDSEENIIFEENMQPKAGIPIIKAGTVIKLIERLTYHMYADPNFVRTFLTTYRSFCKPQELLSLIIERFEIPEPEPTEADRIAIENGDQPLSAELKRFRKEYIQPVQLRVLNVCRHWVEHHFYDFERDAYLLQRMEEFIGTVRGKAMKKWVESITKIIQRKKIARDNGPGHNITFQSSPPTVEWHISRPGHIETFDLLTLHPIEIARQLTLLESDLYRAVQPSELVGSVWTKEDKEINSPNLLKMIRHTTNLTLWFEKCIVETENLEERVAVVSRIIEILQVFQELNNFNGVLEVVSAMNSSPVYRLDHTFEQIPSRQKKILEEAHELSEDHYKKYLAKLRSINPPCVPFFGIYLTNILKTEEGNPEVLKRHGKELINFSKRRKVAEITGEIQQYQNQPYCLRVESDIKRFFENLNPMGNSMEKEFTDYLFNKSLEIEPRNPKPLPRFPKKYSYPLKSPGVRPSNPRPGTMRHPTPLQQEPRKISYSRIPESETESTASAPNSPRTPLTPPPASGASSTTDVCSVFDSDHSSPFHSSNDTVFIQVTLPHGPRSASVSSISLTKGTDEVPVPPPVPPRRRPESAPAESSPSKIMSKHLDSPPAIPPRQPTSKAYSPRYSISDRTSISDPPESPPLLPPREPVRTPDVFSSSPLHLQPPPLGKKSDHGNAFFPNSPSPFTPPPPQTPSPHGTRRHLPSPPLTQEVDLHSIAGPPVPPRQSTSQHIPKLPPKTYKREHTHPSMHRDGPPLLENAHSS
+>DECOY_sp|Q07889|SOS1_HUMAN Son of sevenless homolog 1 OS=Homo sapiens OX=9606 GN=SOS1 PE=1 SV=1
+SSHANELLPPGDRHMSPHTHERKYTKPPLKPIHQSTSQRPPVPPGAISHLDVEQTLPPSPLHRRTGHPSPTQPPPPTFPSPSNPFFANGHDSKKGLPPPQLHLPSSSFVDPTRVPERPPLLPPSEPPDSISTRDSISYRPSYAKSTPQRPPIAPPSDLHKSMIKSPSSEAPASEPRRRPPVPPPVPVEDTGKTLSISSVSASRPGHPLTVQIFVTDNSSHFPSSHDSDFVSCVDTTSSAGSAPPPTLPTRPSNPASATSETESEPIRSYSIKRPEQQLPTPHRMTGPRPNSPRVGPSKLPYSYKKPFRPLPKPNRPEIELSKNFLYDTFEKEMSNGMPNLNEFFRKIDSEVRLCYPQNQYQQIEGTIEAVKRRKSFNILEKGHRKLVEPNGEETKLINTLYIGFFPVCPPNISRLKALYKKYHDESLEHAEELIKKQRSPIQEFTHDLRYVPSSNMASVVELVGNFNNLEQFVQLIEIIRSVVAVREELNETEVICKEFWLTLNTTHRIMKLLNPSNIEKDEKTWVSGVLESPQVARYLDSELLTLQRAIEIPHLTLLDFTEIHGPRSIHWEVTPPSSQFTINHGPGNDRAIKKRQIIKTISEVWKKMAKGRVTGIFEEMRQLLYADREFDYFHHEVWHRCVNLVRLQVPQIYEKRFRKLEASLPQDGNEIAIRDAETPEPEPIEFREIILSLLEQPKCFSRYTTLFTRVFNPDAYMHYTLREILKIVTGAKIIPIGAKPQMNEEFIINEESDPEAFRYVDASPLRMQEEKEEQLMTVDLMRELTSRYQLSILAAMWNNKEEASKASFIVSNEDKLIIEFAHKYENTDDKDNIQVKRMFFKEKLRYEANSAGPLRPQGHNSKCCIMLGDFLFIHREHKAGVRTLTGEMIFENCCQGIDKGEWGDINKQIENMKKIALQKGKMQQSYFRCASESLRRKALSKSCIKEMGSQVNLLATIAQKLCEKDEQDESKEELQKLLEFYHLCHYVPALLLRPLVYQVAEKFGEGISQLYLAAGPKSLQSLFRDHFGPRLIDRAYSEYPDFALEEALDEFCSGVLPHPSGEDTMEVTDEIHGLLKVSLEHIDVIRSFINEVDNASFLKSNSVFPERFVKIILNLERIYQRIEAMFAKVLDYYTQEGSTSPEEDTLSLINIDEVDQHFMDMLVKDACMAVKIDQKTIEYHRINRVYNGVLKLIDASIYELVAVIYVSVQHDIKYGLVEKLLPHIKEVPLSLPNRRKRKEIASQADAIAWKDIPHPFSKQVREEVDSASRPQAQCLMNLLQLILEEVYQLADDNSELTPHVQGQVKKLAPVLLGRWKPANEESFFEYPLQQAQM
+>sp|P35716|SOX11_HUMAN Transcription factor SOX-11 OS=Homo sapiens OX=9606 GN=SOX11 PE=1 SV=2
+MVQQAESLEAESNLPREALDTEEGEFMACSPVALDESDPDWCKTASGHIKRPMNAFMVWSKIERRKIMEQSPDMHNAEISKRLGKRWKMLKDSEKIPFIREAERLRLKHMADYPDYKYRPRKKPKMDPSAKPSASQSPEKSAAGGGGGSAGGGAGGAKTSKGSSKKCGKLKAPAAAGAKAGAGKAAQSGDYGGAGDDYVLGSLRVSGSGGGGAGKTVKCVFLDEDDDDDDDDDELQLQIKQEPDEEDEEPPHQQLLQPPGQQPSQLLRRYNVAKVPASPTLSSSAESPEGASLYDEVRAGATSGAGGGSRLYYSFKNITKQHPPPLAQPALSPASSRSVSTSSSSSSGSSSGSSGEDADDLMFDLSLNFSQSAHSASEQQLGGGAAAGNLSLSLVDKDLDSFSEGSLGSHFEFPDYCTPELSEMIAGDWLEANFSDLVFTY
+>DECOY_sp|P35716|SOX11_HUMAN Transcription factor SOX-11 OS=Homo sapiens OX=9606 GN=SOX11 PE=1 SV=2
+YTFVLDSFNAELWDGAIMESLEPTCYDPFEFHSGLSGESFSDLDKDVLSLSLNGAAAGGGLQQESASHASQSFNLSLDFMLDDADEGSSGSSSGSSSSSSTSVSRSSAPSLAPQALPPPHQKTINKFSYYLRSGGGAGSTAGARVEDYLSAGEPSEASSSLTPSAPVKAVNYRRLLQSPQQGPPQLLQQHPPEEDEEDPEQKIQLQLEDDDDDDDDDEDLFVCKVTKGAGGGGSGSVRLSGLVYDDGAGGYDGSQAAKGAGAKAGAAAPAKLKGCKKSSGKSTKAGGAGGGASGGGGGAASKEPSQSASPKASPDMKPKKRPRYKYDPYDAMHKLRLREAERIFPIKESDKLMKWRKGLRKSIEANHMDPSQEMIKRREIKSWVMFANMPRKIHGSATKCWDPDSEDLAVPSCAMFEGEETDLAERPLNSEAELSEAQQVM
+>sp|P41225|SOX3_HUMAN Transcription factor SOX-3 OS=Homo sapiens OX=9606 GN=SOX3 PE=1 SV=2
+MRPVRENSSGARSPRVPADLARSILISLPFPPDSLAHRPPSSAPTESQGLFTVAAPAPGAPSPPATLAHLLPAPAMYSLLETELKNPVGTPTQAAGTGGPAAPGGAGKSSANAAGGANSGGGSSGGASGGGGGTDQDRVKRPMNAFMVWSRGQRRKMALENPKMHNSEISKRLGADWKLLTDAEKRPFIDEAKRLRAVHMKEYPDYKYRPRRKTKTLLKKDKYSLPSGLLPPGAAAAAAAAAAAAAAASSPVGVGQRLDTYTHVNGWANGAYSLVQEQLGYAQPPSMSSPPPPPALPPMHRYDMAGLQYSPMMPPGAQSYMNVAAAAAAASGYGGMAPSATAAAAAAYGQQPATAAAAAAAAAAMSLGPMGSVVKSEPSSPPPAIASHSQRACLGDLRDMISMYLPPGGDAADAASPLPGGRLHGVHQHYQGAGTAVNGTVPLTHI
+>DECOY_sp|P41225|SOX3_HUMAN Transcription factor SOX-3 OS=Homo sapiens OX=9606 GN=SOX3 PE=1 SV=2
+IHTLPVTGNVATGAGQYHQHVGHLRGGPLPSAADAADGGPPLYMSIMDRLDGLCARQSHSAIAPPPSSPESKVVSGMPGLSMAAAAAAAAAATAPQQGYAAAAAATASPAMGGYGSAAAAAAAVNMYSQAGPPMMPSYQLGAMDYRHMPPLAPPPPPSSMSPPQAYGLQEQVLSYAGNAWGNVHTYTDLRQGVGVPSSAAAAAAAAAAAAAAAGPPLLGSPLSYKDKKLLTKTKRRPRYKYDPYEKMHVARLRKAEDIFPRKEADTLLKWDAGLRKSIESNHMKPNELAMKRRQGRSWVMFANMPRKVRDQDTGGGGGSAGGSSGGGSNAGGAANASSKGAGGPAAPGGTGAAQTPTGVPNKLETELLSYMAPAPLLHALTAPPSPAGPAPAAVTFLGQSETPASSPPRHALSDPPFPLSILISRALDAPVRPSRAGSSNERVPRM
+>sp|Q9P0Z9|SOX_HUMAN Peroxisomal sarcosine oxidase OS=Homo sapiens OX=9606 GN=PIPOX PE=1 SV=2
+MAAQKDLWDAIVIGAGIQGCFTAYHLAKHRKRILLLEQFFLPHSRGSSHGQSRIIRKAYLEDFYTRMMHECYQIWAQLEHEAGTQLHRQTGLLLLGMKENQELKTIQANLSRQRVEHQCLSSEELKQRFPNIRLPRGEVGLLDNSGGVIYAYKALRALQDAIRQLGGIVRDGEKVVEINPGLLVTVKTTSRSYQAKSLVITAGPWTNQLLRPLGIEMPLQTLRINVCYWREMVPGSYGVSQAFPCFLWLGLCPHHIYGLPTGEYPGLMKVSYHHGNHADPEERDCPTARTDIGDVQILSSFVRDHLPDLKPEPAVIESCMYTNTPDEQFILDRHPKYDNIVIGAGFSGHGFKLAPVVGKILYELSMKLTPSYDLAPFRISRFPSLGKAHL
+>DECOY_sp|Q9P0Z9|SOX_HUMAN Peroxisomal sarcosine oxidase OS=Homo sapiens OX=9606 GN=PIPOX PE=1 SV=2
+LHAKGLSPFRSIRFPALDYSPTLKMSLEYLIKGVVPALKFGHGSFGAGIVINDYKPHRDLIFQEDPTNTYMCSEIVAPEPKLDPLHDRVFSSLIQVDGIDTRATPCDREEPDAHNGHHYSVKMLGPYEGTPLGYIHHPCLGLWLFCPFAQSVGYSGPVMERWYCVNIRLTQLPMEIGLPRLLQNTWPGATIVLSKAQYSRSTTKVTVLLGPNIEVVKEGDRVIGGLQRIADQLARLAKYAYIVGGSNDLLGVEGRPLRINPFRQKLEESSLCQHEVRQRSLNAQITKLEQNEKMGLLLLGTQRHLQTGAEHELQAWIQYCEHMMRTYFDELYAKRIIRSQGHSSGRSHPLFFQELLLIRKRHKALHYATFCGQIGAGIVIADWLDKQAAM
+>sp|Q07617|SPAG1_HUMAN Sperm-associated antigen 1 OS=Homo sapiens OX=9606 GN=SPAG1 PE=1 SV=3
+MTTKDYPSLWGFGTTKTFKIPIEHLDFKYIEKCSDVKHLEKILCVLRSGEEGYYPELTEFCEKHLQALAPESRALRKDKPAATAASFTAEEWEKIDGDIKSWVSEIKKEEDKMHFHETETFPAMKDNLPPVRGSNSCLHVGKEKYSKRPTKKKTPRDYAEWDKFDVEKECLKIDEDYKEKTVIDKSHLSKIETRIDTAGLTEKEKDFLATREKEKGNEAFNSGDYEEAVMYYTRSISALPTVVAYNNRAQAEIKLQNWNSAFQDCEKVLELEPGNVKALLRRATTYKHQNKLREATEDLSKVLDVEPDNDLAKKTLSEVERDLKNSEAASETQTKGKRMVIQEIENSEDEEGKSGRKHEDGGGDKKPAEPAGAARAAQPCVMGNIQKKLTGKAEGGKRPARGAPQRGQTPEAGADKRSPRRASAAAAAGGGATGHPGGGQGAENPAGLKSQGNELFRSGQFAEAAGKYSAAIALLEPAGSEIADDLSILYSNRAACYLKEGNCSGCIQDCNRALELHPFSMKPLLRRAMAYETLEQYGKAYVDYKTVLQIDCGLQLANDSVNRLSRILMELDGPNWREKLSPIPAVPASVPLQAWHPAKEMISKQAGDSSSHRQQGITDEKTFKALKEEGNQCVNDKNYKDALSKYSECLKINNKECAIYTNRALCYLKLCQFEEAKQDCDQALQLADGNVKAFYRRALAHKGLKNYQKSLIDLNKVILLDPSIIEAKMELEEVTRLLNLKDKTAPFNKEKERRKIEIQEVNEGKEEPGRPAGEVSMGCLASEKGGKSSRSPEDPEKLPIAKPNNAYEFGQIINALSTRKDKEACAHLLAITAPKDLPMFLSNKLEGDTFLLLIQSLKNNLIEKDPSLVYQHLLYLSKAERFKMMLTLISKGQKELIEQLFEDLSDTPNNHFTLEDIQALKRQYEL
+>DECOY_sp|Q07617|SPAG1_HUMAN Sperm-associated antigen 1 OS=Homo sapiens OX=9606 GN=SPAG1 PE=1 SV=3
+LEYQRKLAQIDELTFHNNPTDSLDEFLQEILEKQGKSILTLMMKFREAKSLYLLHQYVLSPDKEILNNKLSQILLLFTDGELKNSLFMPLDKPATIALLHACAEKDKRTSLANIIQGFEYANNPKAIPLKEPDEPSRSSKGGKESALCGMSVEGAPRGPEEKGENVEQIEIKRREKEKNFPATKDKLNLLRTVEELEMKAEIISPDLLIVKNLDILSKQYNKLGKHALARRYFAKVNGDALQLAQDCDQKAEEFQCLKLYCLARNTYIACEKNNIKLCESYKSLADKYNKDNVCQNGEEKLAKFTKEDTIGQQRHSSSDGAQKSIMEKAPHWAQLPVSAPVAPIPSLKERWNPGDLEMLIRSLRNVSDNALQLGCDIQLVTKYDVYAKGYQELTEYAMARRLLPKMSFPHLELARNCDQICGSCNGEKLYCAARNSYLISLDDAIESGAPELLAIAASYKGAAEAFQGSRFLENGQSKLGAPNEAGQGGGPHGTAGGGAAAAASARRPSRKDAGAEPTQGRQPAGRAPRKGGEAKGTLKKQINGMVCPQAARAAGAPEAPKKDGGGDEHKRGSKGEEDESNEIEQIVMRKGKTQTESAAESNKLDREVESLTKKALDNDPEVDLVKSLDETAERLKNQHKYTTARRLLAKVNGPELELVKECDQFASNWNQLKIEAQARNNYAVVTPLASISRTYYMVAEEYDGSNFAENGKEKERTALFDKEKETLGATDIRTEIKSLHSKDIVTKEKYDEDIKLCEKEVDFKDWEAYDRPTKKKTPRKSYKEKGVHLCSNSGRVPPLNDKMAPFTETEHFHMKDEEKKIESVWSKIDGDIKEWEEATFSAATAAPKDKRLARSEPALAQLHKECFETLEPYYGEEGSRLVCLIKELHKVDSCKEIYKFDLHEIPIKFTKTTGFGWLSPYDKTTM
+>sp|Q496A3|SPAS1_HUMAN Spermatogenesis-associated serine-rich protein 1 OS=Homo sapiens OX=9606 GN=SPATS1 PE=2 SV=2
+MSPSMLTGNSPRGCRLPSISSTTCGRQLEKVPEKRDSGMTEVERTYSANCSDFLESKGCFANTTPSGKSVSSSSSVETGPSVSEPPGLPRVSAYVDTTADLDRKLSFSHSDHSSEMSLPEVQKDKYPEEFSLLKLQTKDGHRPEWTFYPRFSSNIHTYHVGKQCFFNGVFLGNKRSLSERTVDKCFGRKKYDIDPRNGIPKLTPGDNPYMYPEQSKGFHKAGSMLPPVNFSIVPYEKKFDTFIPLEPLPQIPNLPFWVKEKANSLKNEIQEVEELDNWQPAVPLMHMLHLSGALDFPRQS
+>DECOY_sp|Q496A3|SPAS1_HUMAN Spermatogenesis-associated serine-rich protein 1 OS=Homo sapiens OX=9606 GN=SPATS1 PE=2 SV=2
+SQRPFDLAGSLHLMHMLPVAPQWNDLEEVEQIENKLSNAKEKVWFPLNPIQPLPELPIFTDFKKEYPVISFNVPPLMSGAKHFGKSQEPYMYPNDGPTLKPIGNRPDIDYKKRGFCKDVTRESLSRKNGLFVGNFFCQKGVHYTHINSSFRPYFTWEPRHGDKTQLKLLSFEEPYKDKQVEPLSMESSHDSHSFSLKRDLDATTDVYASVRPLGPPESVSPGTEVSSSSSVSKGSPTTNAFCGKSELFDSCNASYTREVETMGSDRKEPVKELQRGCTTSSISPLRCGRPSNGTLMSPSM
+>sp|Q9NWH7|SPAT6_HUMAN Spermatogenesis-associated protein 6 OS=Homo sapiens OX=9606 GN=SPATA6 PE=1 SV=1
+MPKVKALQCALALEISSVTCPGVVLKDKEDIYLSICVFGQYKKTQCVPATFPLVFNARMVFEKVFPDAVDPGDVVTQLEYDTAVFELIQLVPPVGETLSTYDENTRDFMFPGPNQMSGHHDSNRQVTMRRISGLRGNAPRLEFSTTSVITECLISSRKCHTQDKFIYHLAPVEKSHGRLQNRTSRSQKKKSKSPERSKYCINAKNYEQPTISSKSHSPSPYTKRRMCELSEDTRRRLAHLNLGPYEFKKETDKPPFVIRHVDPPSPRADTLLGSSGRDCERDGWSRVHNDHSHLGCCRPKDYKVIRTPHGRDFDDSLEKCEEYLSPRSCSKPRHSARTLLVHSAPSTMPKHSPSPVLNRASLRERFHSDWCSPSNCDEIHDRVKNVLKSHQAHQRHLYDERDLEKDDELELKRSLLCRDSAYDSDPEYSSCQQPRGTFHLDDGEYWSNRAASYKGKSHRPIFENSMDKMYRNLYKKACSSASHTQESF
+>DECOY_sp|Q9NWH7|SPAT6_HUMAN Spermatogenesis-associated protein 6 OS=Homo sapiens OX=9606 GN=SPATA6 PE=1 SV=1
+FSEQTHSASSCAKKYLNRYMKDMSNEFIPRHSKGKYSAARNSWYEGDDLHFTGRPQQCSSYEPDSDYASDRCLLSRKLELEDDKELDREDYLHRQHAQHSKLVNKVRDHIEDCNSPSCWDSHFRERLSARNLVPSPSHKPMTSPASHVLLTRASHRPKSCSRPSLYEECKELSDDFDRGHPTRIVKYDKPRCCGLHSHDNHVRSWGDRECDRGSSGLLTDARPSPPDVHRIVFPPKDTEKKFEYPGLNLHALRRRTDESLECMRRKTYPSPSHSKSSITPQEYNKANICYKSREPSKSKKKQSRSTRNQLRGHSKEVPALHYIFKDQTHCKRSSILCETIVSTTSFELRPANGRLGSIRRMTVQRNSDHHGSMQNPGPFMFDRTNEDYTSLTEGVPPVLQILEFVATDYELQTVVDGPDVADPFVKEFVMRANFVLPFTAPVCQTKKYQGFVCISLYIDEKDKLVVGPCTVSSIELALACQLAKVKPM
+>sp|Q8IY81|SPB1_HUMAN pre-rRNA processing protein FTSJ3 OS=Homo sapiens OX=9606 GN=FTSJ3 PE=1 SV=2
+MGKKGKVGKSRRDKFYHLAKETGYRSRSAFKLIQLNRRFQFLQKARALLDLCAAPGGWLQVAAKFMPVSSLIVGVDLVPIKPLPNVVTLQQDITTERCRQALRKELKTWKVDVVLNDGAPNVGASWVHDAYSQAHLTLMALRLACDFLARGGSFITKVFRSRDYQPLLWIFQQLFRRVQATKPQASRHESAEIFVVCQGFLAPDKVDSKFFDPKFAFKEVEVQAKTVTELVTKKKPKAEGYAEGDLTLYHRTSVTDFLRAANPVDFLSKASEIMVDDEELAQHPATTEDIRVCCQDIRVLGRKELRSLLNWRTKLRRYVAKKLKEQAKALDISLSSGEEDEGDEEDSTAGTTKQPSKEEEEEEEEEQLNQTLAEMKAQEVAELKRKKKKLLREQRKQRERVELKMDLPGVSIADEGETGMFSLSTIRGHQLLEEVTQGDMSAADTFLSDLPRDDIYVSDVEDDGDDTSLDSDLDPEELAGVRGHQGLRDQKRMRLTEVQDDKEEEEEENPLLVPLEEKAVLQEEQANLWFSKGSFAGIEDDADEALEISQAQLLFENRRKGRQQQQKQQLPQTPPSCLKTEIMSPLYQDEAPKGTEASSGTEAATGLEGEEKDGISDSDSSTSSEEEESWEPLRGKKRSRGPKSDDDGFEIVPIEDPAKHRILDPEGLALGAVIASSKKAKRDLIDNSFNRYTFNEDEGELPEWFVQEEKQHRIRQLPVGKKEVEHYRKRWREINARPIKKVAEAKARKKRRMLKRLEQTRKKAEAVVNTVDISEREKVAQLRSLYKKAGLGKEKRHVTYVVAKKGVGRKVRRPAGVRGHFKVVDSRMKKDQRAQQRKEQKKKHKRK
+>DECOY_sp|Q8IY81|SPB1_HUMAN pre-rRNA processing protein FTSJ3 OS=Homo sapiens OX=9606 GN=FTSJ3 PE=1 SV=2
+KRKHKKKQEKRQQARQDKKMRSDVVKFHGRVGAPRRVKRGVGKKAVVYTVHRKEKGLGAKKYLSRLQAVKERESIDVTNVVAEAKKRTQELRKLMRRKKRAKAEAVKKIPRANIERWRKRYHEVEKKGVPLQRIRHQKEEQVFWEPLEGEDENFTYRNFSNDILDRKAKKSSAIVAGLALGEPDLIRHKAPDEIPVIEFGDDDSKPGRSRKKGRLPEWSEEEESSTSSDSDSIGDKEEGELGTAAETGSSAETGKPAEDQYLPSMIETKLCSPPTQPLQQKQQQQRGKRRNEFLLQAQSIELAEDADDEIGAFSGKSFWLNAQEEQLVAKEELPVLLPNEEEEEEKDDQVETLRMRKQDRLGQHGRVGALEEPDLDSDLSTDDGDDEVDSVYIDDRPLDSLFTDAASMDGQTVEELLQHGRITSLSFMGTEGEDAISVGPLDMKLEVRERQKRQERLLKKKKRKLEAVEQAKMEALTQNLQEEEEEEEEEKSPQKTTGATSDEEDGEDEEGSSLSIDLAKAQEKLKKAVYRRLKTRWNLLSRLEKRGLVRIDQCCVRIDETTAPHQALEEDDVMIESAKSLFDVPNAARLFDTVSTRHYLTLDGEAYGEAKPKKKTVLETVTKAQVEVEKFAFKPDFFKSDVKDPALFGQCVVFIEASEHRSAQPKTAQVRRFLQQFIWLLPQYDRSRFVKTIFSGGRALFDCALRLAMLTLHAQSYADHVWSAGVNPAGDNLVVDVKWTKLEKRLAQRCRETTIDQQLTVVNPLPKIPVLDVGVILSSVPMFKAAVQLWGGPAACLDLLARAKQLFQFRRNLQILKFASRSRYGTEKALHYFKDRRSKGVKGKKGM
+>sp|P29508|SPB3_HUMAN Serpin B3 OS=Homo sapiens OX=9606 GN=SERPINB3 PE=1 SV=2
+MNSLSEANTKFMFDLFQQFRKSKENNIFYSPISITSALGMVLLGAKDNTAQQIKKVLHFDQVTENTTGKAATYHVDRSGNVHHQFQKLLTEFNKSTDAYELKIANKLFGEKTYLFLQEYLDAIKKFYQTSVESVDFANAPEESRKKINSWVESQTNEKIKNLIPEGNIGSNTTLVLVNAIYFKGQWEKKFNKEDTKEEKFWPNKNTYKSIQMMRQYTSFHFASLEDVQAKVLEIPYKGKDLSMIVLLPNEIDGLQKLEEKLTAEKLMEWTSLQNMRETRVDLHLPRFKVEESYDLKDTLRTMGMVDIFNGDADLSGMTGSRGLVLSGVLHKAFVEVTEEGAEAAAATAVVGFGSSPTSTNEEFHCNHPFLFFIRQNKTNSILFYGRFSSP
+>DECOY_sp|P29508|SPB3_HUMAN Serpin B3 OS=Homo sapiens OX=9606 GN=SERPINB3 PE=1 SV=2
+PSSFRGYFLISNTKNQRIFFLFPHNCHFEENTSTPSSGFGVVATAAAAEAGEETVEVFAKHLVGSLVLGRSGTMGSLDADGNFIDVMGMTRLTDKLDYSEEVKFRPLHLDVRTERMNQLSTWEMLKEATLKEELKQLGDIENPLLVIMSLDKGKYPIELVKAQVDELSAFHFSTYQRMMQISKYTNKNPWFKEEKTDEKNFKKEWQGKFYIANVLVLTTNSGINGEPILNKIKENTQSEVWSNIKKRSEEPANAFDVSEVSTQYFKKIADLYEQLFLYTKEGFLKNAIKLEYADTSKNFETLLKQFQHHVNGSRDVHYTAAKGTTNETVQDFHLVKKIQQATNDKAGLLVMGLASTISIPSYFINNEKSKRFQQFLDFMFKTNAESLSNM
+>sp|P35237|SPB6_HUMAN Serpin B6 OS=Homo sapiens OX=9606 GN=SERPINB6 PE=1 SV=3
+MDVLAEANGTFALNLLKTLGKDNSKNVFFSPMSMSCALAMVYMGAKGNTAAQMAQILSFNKSGGGGDIHQGFQSLLTEVNKTGTQYLLRMANRLFGEKSCDFLSSFRDSCQKFYQAEMEELDFISAVEKSRKHINTWVAEKTEGKIAELLSPGSVDPLTRLVLVNAVYFRGNWDEQFDKENTEERLFKVSKNEEKPVQMMFKQSTFKKTYIGEIFTQILVLPYVGKELNMIIMLPDETTDLRTVEKELTYEKFVEWTRLDMMDEEEVEVSLPRFKLEESYDMESVLRNLGMTDAFELGKADFSGMSQTDLSLSKVVHKSFVEVNEEGTEAAAATAAIMMMRCARFVPRFCADHPFLFFIQHSKTNGILFCGRFSSP
+>DECOY_sp|P35237|SPB6_HUMAN Serpin B6 OS=Homo sapiens OX=9606 GN=SERPINB6 PE=1 SV=3
+PSSFRGCFLIGNTKSHQIFFLFPHDACFRPVFRACRMMMIAATAAAAETGEENVEVFSKHVVKSLSLDTQSMGSFDAKGLEFADTMGLNRLVSEMDYSEELKFRPLSVEVEEEDMMDLRTWEVFKEYTLEKEVTRLDTTEDPLMIIMNLEKGVYPLVLIQTFIEGIYTKKFTSQKFMMQVPKEENKSVKFLREETNEKDFQEDWNGRFYVANVLVLRTLPDVSGPSLLEAIKGETKEAVWTNIHKRSKEVASIFDLEEMEAQYFKQCSDRFSSLFDCSKEGFLRNAMRLLYQTGTKNVETLLSQFGQHIDGGGGSKNFSLIQAMQAATNGKAGMYVMALACSMSMPSFFVNKSNDKGLTKLLNLAFTGNAEALVDM
+>sp|P50453|SPB9_HUMAN Serpin B9 OS=Homo sapiens OX=9606 GN=SERPINB9 PE=1 SV=1
+METLSNASGTFAIRLLKILCQDNPSHNVFCSPVSISSALAMVLLGAKGNTATQMAQALSLNTEEDIHRAFQSLLTEVNKAGTQYLLRTANRLFGEKTCQFLSTFKESCLQFYHAELKELSFIRAAEESRKHINTWVSKKTEGKIEELLPGSSIDAETRLVLVNAIYFKGKWNEPFDETYTREMPFKINQEEQRPVQMMYQEATFKLAHVGEVRAQLLELPYARKELSLLVLLPDDGVELSTVEKSLTFEKLTAWTKPDCMKSTEVEVLLPKFKLQEDYDMESVLRHLGIVDAFQQGKADLSAMSAERDLCLSKFVHKSFVEVNEEGTEAAAASSCFVVAECCMESGPRFCADHPFLFFIRHNRANSILFCGRFSSP
+>DECOY_sp|P50453|SPB9_HUMAN Serpin B9 OS=Homo sapiens OX=9606 GN=SERPINB9 PE=1 SV=1
+PSSFRGCFLISNARNHRIFFLFPHDACFRPGSEMCCEAVVFCSSAAAAETGEENVEVFSKHVFKSLCLDREASMASLDAKGQQFADVIGLHRLVSEMDYDEQLKFKPLLVEVETSKMCDPKTWATLKEFTLSKEVTSLEVGDDPLLVLLSLEKRAYPLELLQARVEGVHALKFTAEQYMMQVPRQEEQNIKFPMERTYTEDFPENWKGKFYIANVLVLRTEADISSGPLLEEIKGETKKSVWTNIHKRSEEAARIFSLEKLEAHYFQLCSEKFTSLFQCTKEGFLRNATRLLYQTGAKNVETLLSQFARHIDEETNLSLAQAMQTATNGKAGLLVMALASSISVPSCFVNHSPNDQCLIKLLRIAFTGSANSLTEM
+>sp|Q495Y7|SPDE7_HUMAN Putative speedy protein E7 OS=Homo sapiens OX=9606 GN=SPDYE7P PE=5 SV=1
+MEWWDKSEESLEEEPRKVLAPEPEEIWVAEMLCGLKMKLKRRRVSLVLPEHHEAFNRLLEDPVIKRFLAWDKGLRVSDKYLLAMVIVYFSRAGLPSWQYQCIHFFLALYLANDMEEDDEDPKQNIFYFLYGKTRSRIPLLRKRRFQLCRCMNPRARKNRSQIVLFQKLRFQFFCSMSCRAWVSPEELEEIQAYDPEHWVWARDRARLS
+>DECOY_sp|Q495Y7|SPDE7_HUMAN Putative speedy protein E7 OS=Homo sapiens OX=9606 GN=SPDYE7P PE=5 SV=1
+SLRARDRAWVWHEPDYAQIEELEEPSVWARCSMSCFFQFRLKQFLVIQSRNKRARPNMCRCLQFRRKRLLPIRSRTKGYLFYFINQKPDEDDEEMDNALYLALFFHICQYQWSPLGARSFYVIVMALLYKDSVRLGKDWALFRKIVPDELLRNFAEHHEPLVLSVRRRKLKMKLGCLMEAVWIEEPEPALVKRPEEELSEESKDWWEM
+>sp|A6NJR5|SPDL3_HUMAN Putative speedy protein-like protein 3 OS=Homo sapiens OX=9606 PE=5 SV=3
+MQKHYTVAWFLYSAPGVDPSPPCRSLGWKRKKEWSDESEEEPEKELAPEPEETWVVEMLCGLKMKLKQQRVSPILPEHHKDFNSQLAPGVDPSPPHRSFCWKRKREWWDESEESLEEEPRKVLAPEPEEIWVAEMLCGLKMKLKRRRVSLVLPEHHEAFNRLLEDPVIKRFLAWDKDLRVSDKYLLAMVIAYFSRAGLPSWQYQRIHFFLALYLANDMEEDDEDPKQNIFYFLYGKTRSRIPLIALFQKLRFQFFCSMSGRAWVSREELEEIQAYDPEHWVWARDRARLS
+>DECOY_sp|A6NJR5|SPDL3_HUMAN Putative speedy protein-like protein 3 OS=Homo sapiens OX=9606 PE=5 SV=3
+SLRARDRAWVWHEPDYAQIEELEERSVWARGSMSCFFQFRLKQFLAILPIRSRTKGYLFYFINQKPDEDDEEMDNALYLALFFHIRQYQWSPLGARSFYAIVMALLYKDSVRLDKDWALFRKIVPDELLRNFAEHHEPLVLSVRRRKLKMKLGCLMEAVWIEEPEPALVKRPEEELSEESEDWWERKRKWCFSRHPPSPDVGPALQSNFDKHHEPLIPSVRQQKLKMKLGCLMEVVWTEEPEPALEKEPEEESEDSWEKKRKWGLSRCPPSPDVGPASYLFWAVTYHKQM
+>sp|Q9H9C1|SPE39_HUMAN Spermatogenesis-defective protein 39 homolog OS=Homo sapiens OX=9606 GN=VIPAS39 PE=1 SV=1
+MNRTKGDEEEYWNSSKFKAFTFDDEDDELSQLKESKRAVNSLRDFVDDDDDDDLERVSWSGEPVGSISWSIRETAGNSGSTHEGREQLKSRNSFSSYAQLPKPTSTYSLSSFFRGRTRPGSFQSLSDALSDTPAKSYAPELGRPKGEYRDYSNDWSPSDTVRRLRKGKVCSLERFRSLQDKLQLLEEAVSMHDGNVITAVLIFLKRTLSKEILFRELEVRQVALRHLIHFLKEIGDQKLLLDLFRFLDRTEELALSHYREHLNIQDPDKRKEFLKTCVGLPFSAEDSAHIQDHYTLLERQIIIEANDRHLESAGQTEIFRKHPRKASILNMPLVTTLFYSCFYHYTEAEGTFSSPVNLKKTFKIPDKQYVLTALAARAKLRAWNDVDALFTTKNWLGYTKKRAPIGFHRVVEILHKNNAPVQILQEYVNLVEDVDTKLNLATKFKCHDVVIDTYRDLKDRQQLLAYRSKVDKGSAEEEKIDALLSSSQIRWKN
+>DECOY_sp|Q9H9C1|SPE39_HUMAN Spermatogenesis-defective protein 39 homolog OS=Homo sapiens OX=9606 GN=VIPAS39 PE=1 SV=1
+NKWRIQSSSLLADIKEEEASGKDVKSRYALLQQRDKLDRYTDIVVDHCKFKTALNLKTDVDEVLNVYEQLIQVPANNKHLIEVVRHFGIPARKKTYGLWNKTTFLADVDNWARLKARAALATLVYQKDPIKFTKKLNVPSSFTGEAETYHYFCSYFLTTVLPMNLISAKRPHKRFIETQGASELHRDNAEIIIQRELLTYHDQIHASDEASFPLGVCTKLFEKRKDPDQINLHERYHSLALEETRDLFRFLDLLLKQDGIEKLFHILHRLAVQRVELERFLIEKSLTRKLFILVATIVNGDHMSVAEELLQLKDQLSRFRELSCVKGKRLRRVTDSPSWDNSYDRYEGKPRGLEPAYSKAPTDSLADSLSQFSGPRTRGRFFSSLSYTSTPKPLQAYSSFSNRSKLQERGEHTSGSNGATERISWSISGVPEGSWSVRELDDDDDDDVFDRLSNVARKSEKLQSLEDDEDDFTFAKFKSSNWYEEEDGKTRNM
+>sp|Q9Y4P9|SPEF1_HUMAN Sperm flagellar protein 1 OS=Homo sapiens OX=9606 GN=SPEF1 PE=1 SV=3
+MASSVDEEALHQLYLWVDNIPLSRPKRNLSRDFSDGVLVAEVIKFYFPKMVEMHNYVPANSLQQKLSNWGHLNRKVLKRLNFSVPDDVMRKIAQCAPGVVELVLIPLRQRLEERQRRRKQGAGSLQELAPQDGSGYMDVGVSQKARGEGVPDPQGGGQLSWDRPPAPRPPAYNRALQGDPSFVLQIAEKEQELLASQETVQVLQMKVRRLEHLLQLKNVRIEDLSRRLQQAERKQR
+>DECOY_sp|Q9Y4P9|SPEF1_HUMAN Sperm flagellar protein 1 OS=Homo sapiens OX=9606 GN=SPEF1 PE=1 SV=3
+RQKREAQQLRRSLDEIRVNKLQLLHELRRVKMQLVQVTEQSALLEQEKEAIQLVFSPDGQLARNYAPPRPAPPRDWSLQGGGQPDPVGEGRAKQSVGVDMYGSGDQPALEQLSGAGQKRRRQREELRQRLPILVLEVVGPACQAIKRMVDDPVSFNLRKLVKRNLHGWNSLKQQLSNAPVYNHMEVMKPFYFKIVEAVLVGDSFDRSLNRKPRSLPINDVWLYLQHLAEEDVSSAM
+>sp|Q15772|SPEG_HUMAN Striated muscle preferentially expressed protein kinase OS=Homo sapiens OX=9606 GN=SPEG PE=1 SV=4
+MQKARGTRGEDAGTRAPPSPGVPPKRAKVGAGGGAPVAVAGAPVFLRPLKNAAVCAGSDVRLRVVVSGTPQPSLRWFRDGQLLPAPAPEPSCLWLRRCGAQDAGVYSCMAQNERGRASCEAVLTVLEVGDSETAEDDISDVQGTQRLELRDDGAFSTPTGGSDTLVGTSLDTPPTSVTGTSEEQVSWWGSGQTVLEQEAGSGGGTRRLPGSPRQAQATGAGPRHLGVEPLVRASRANLVGASWGSEDSLSVASDLYGSAFSLYRGRALSIHVSVPQSGLRREEPDLQPQLASEAPRRPAQPPPSKSALLPPPSPRVGKRSPPGPPAQPAATPTSPHRRTQEPVLPEDTTTEEKRGKKSKSSGPSLAGTAESRPQTPLSEASGRLSALGRSPRLVRAGSRILDKLQFFEERRRSLERSDSPPAPLRPWVPLRKARSLEQPKSERGAPWGTPGASQEELRAPGSVAERRRLFQQKAASLDERTRQRSPASDLELRFAQELGRIRRSTSREELVRSHESLRATLQRAPSPREPGEPPLFSRPSTPKTSRAVSPAAAQPPSPSSAEKPGDEPGRPRSRGPAGRTEPGEGPQQEVRRRDQFPLTRSRAIQECRSPVPPPAADPPEARTKAPPGRKREPPAQAVRFLPWATPGLEGAAVPQTLEKNRAGPEAEKRLRRGPEEDGPWGPWDRRGARSQGKGRRARPTSPELESSDDSYVSAGEEPLEAPVFEIPLQNVVVAPGADVLLKCIITANPPPQVSWHKDGSALRSEGRLLLRAEGERHTLLLREARAADAGSYMATATNELGQATCAASLTVRPGGSTSPFSSPITSDEEYLSPPEEFPEPGETWPRTPTMKPSPSQNRRSSDTGSKAPPTFKVSLMDQSVREGQDVIMSIRVQGEPKPVVSWLRNRQPVRPDQRRFAEEAEGGLCRLRILAAERGDAGFYTCKAVNEYGARQCEARLEVRAHPESRSLAVLAPLQDVDVGAGEMALFECLVAGPTDVEVDWLCRGRLLQPALLKCKMHFDGRKCKLLLTSVHEDDSGVYTCKLSTAKDELTCSARLTVRPSLAPLFTRLLEDVEVLEGRAARFDCKISGTPPPVVTWTHFGCPMEESENLRLRQDGGLHSLHIAHVGSEDEGLYAVSAVNTHGQAHCSAQLYVEEPRTAASGPSSKLEKMPSIPEEPEQGELERLSIPDFLRPLQDLEVGLAKEAMLECQVTGLPYPTISWFHNGHRIQSSDDRRMTQYRDVHRLVFPAVGPQHAGVYKSVIANKLGKAACYAHLYVTDVVPGPPDGAPQVVAVTGRMVTLTWNPPRSLDMAIDPDSLTYTVQHQVLGSDQWTALVTGLREPGWAATGLRKGVQHIFRVLSTTVKSSSKPSPPSEPVQLLEHGPTLEEAPAMLDKPDIVYVVEGQPASVTVTFNHVEAQVVWRSCRGALLEARAGVYELSQPDDDQYCLRICRVSRRDMGALTCTARNRHGTQTCSVTLELAEAPRFESIMEDVEVGAGETARFAVVVEGKPLPDIMWYKDEVLLTESSHVSFVYEENECSLVVLSTGAQDGGVYTCTAQNLAGEVSCKAELAVHSAQTAMEVEGVGEDEDHRGRRLSDFYDIHQEIGRGAFSYLRRIVERSSGLEFAAKFIPSQAKPKASARREARLLARLQHDCVLYFHEAFERRRGLVIVTELCTEELLERIARKPTVCESEIRAYMRQVLEGIHYLHQSHVLHLDVKPENLLVWDGAAGEQQVRICDFGNAQELTPGEPQYCQYGTPEFVAPEIVNQSPVSGVTDIWPVGVVAFLCLTGISPFVGENDRTTLMNIRNYNVAFEETTFLSLSREARGFLIKVLVQDRLRPTAEETLEHPWFKTQAKGAEVSTDHLKLFLSRRRWQRSQISYKCHLVLRPIPELLRAPPERVWVTMPRRPPPSGGLSSSSDSEEEELEELPSVPRPLQPEFSGSRVSLTDIPTEDEALGTPETGAATPMDWQEQGRAPSQDQEAPSPEALPSPGQEPAAGASPRRGELRRGSSAESALPRAGPRELGRGLHKAASVELPQRRSPSPGATRLARGGLGEGEYAQRLQALRQRLLRGGPEDGKVSGLRGPLLESLGGRARDPRMARAASSEAAPHHQPPLENRGLQKSSSFSQGEAEPRGRHRRAGAPLEIPVARLGARRLQESPSLSALSEAQPSSPARPSAPKPSTPKSAEPSATTPSDAPQPPAPQPAQDKAPEPRPEPVRASKPAPPPQALQTLALPLTPYAQIIQSLQLSGHAQGPSQGPAAPPSEPKPHAAVFARVASPPPGAPEKRVPSAGGPPVLAEKARVPTVPPRPGSSLSSSIENLESEAVFEAKFKRSRESPLSLGLRLLSRSRSEERGPFRGAEEEDGIYRPSPAGTPLELVRRPERSRSVQDLRAVGEPGLVRRLSLSLSQRLRRTPPAQRHPAWEARGGDGESSEGGSSARGSPVLAMRRRLSFTLERLSSRLQRSGSSEDSGGASGRSTPLFGRLRRATSEGESLRRLGLPHNQLAAQAGATTPSAESLGSEASATSGSSAPGESRSRLRWGFSRPRKDKGLSPPNLSASVQEELGHQYVRSESDFPPVFHIKLKDQVLLEGEAATLLCLPAACPAPHISWMKDKKSLRSEPSVIIVSCKDGRQLLSIPRAGKRHAGLYECSATNVLGSITSSCTVAVARVPGKLAPPEVPQTYQDTALVLWKPGDSRAPCTYTLERRVDGESVWHPVSSGIPDCYYNVTHLPVGVTVRFRVACANRAGQGPFSNSSEKVFVRGTQDSSAVPSAAHQEAPVTSRPARARPPDSPTSLAPPLAPAAPTPPSVTVSPSSPPTPPSQALSSLKAVGPPPQTPPRRHRGLQAARPAEPTLPSTHVTPSEPKPFVLDTGTPIPASTPQGVKPVSSSTPVYVVTSFVSAPPAPEPPAPEPPPEPTKVTVQSLSPAKEVVSSPGSSPRSSPRPEGTTLRQGPPQKPYTFLEEKARGRFGVVRACRENATGRTFVAKIVPYAAEGKRRVLQEYEVLRTLHHERIMSLHEAYITPRYLVLIAESCGNRELLCGLSDRFRYSEDDVATYMVQLLQGLDYLHGHHVLHLDIKPDNLLLAPDNALKIVDFGSAQPYNPQALRPLGHRTGTLEFMAPEMVKGEPIGSATDIWGAGVLTYIMLSGRSPFYEPDPQETEARIVGGRFDAFQLYPNTSQSATLFLRKVLSVHPWSRPSLQDCLAHPWLQDAYLMKLRRQTLTFTTNRLKEFLGEQRRRRAEAATRHKVLLRSYPGGP
+>DECOY_sp|Q15772|SPEG_HUMAN Striated muscle preferentially expressed protein kinase OS=Homo sapiens OX=9606 GN=SPEG PE=1 SV=4
+PGGPYSRLLVKHRTAAEARRRRQEGLFEKLRNTTFTLTQRRLKMLYADQLWPHALCDQLSPRSWPHVSLVKRLFLTASQSTNPYLQFADFRGGVIRAETEQPDPEYFPSRGSLMIYTLVGAGWIDTASGIPEGKVMEPAMFELTGTRHGLPRLAQPNYPQASGFDVIKLANDPALLLNDPKIDLHLVHHGHLYDLGQLLQVMYTAVDDESYRFRDSLGCLLERNGCSEAILVLYRPTIYAEHLSMIREHHLTRLVEYEQLVRRKGEAAYPVIKAVFTRGTANERCARVVGFRGRAKEELFTYPKQPPGQRLTTGEPRPSSRPSSGPSSVVEKAPSLSQVTVKTPEPPPEPAPPEPAPPASVFSTVVYVPTSSSVPKVGQPTSAPIPTGTDLVFPKPESPTVHTSPLTPEAPRAAQLGRHRRPPTQPPPGVAKLSSLAQSPPTPPSSPSVTVSPPTPAAPALPPALSTPSDPPRARAPRSTVPAEQHAASPVASSDQTGRVFVKESSNSFPGQGARNACAVRFRVTVGVPLHTVNYYCDPIGSSVPHWVSEGDVRRELTYTCPARSDGPKWLVLATDQYTQPVEPPALKGPVRAVAVTCSSTISGLVNTASCEYLGAHRKGARPISLLQRGDKCSVIIVSPESRLSKKDKMWSIHPAPCAAPLCLLTAAEGELLVQDKLKIHFVPPFDSESRVYQHGLEEQVSASLNPPSLGKDKRPRSFGWRLRSRSEGPASSGSTASAESGLSEASPTTAGAQAALQNHPLGLRRLSEGESTARRLRGFLPTSRGSAGGSDESSGSRQLRSSLRELTFSLRRRMALVPSGRASSGGESSEGDGGRAEWAPHRQAPPTRRLRQSLSLSLRRVLGPEGVARLDQVSRSREPRRVLELPTGAPSPRYIGDEEEAGRFPGREESRSRSLLRLGLSLPSERSRKFKAEFVAESELNEISSSLSSGPRPPVTPVRAKEALVPPGGASPVRKEPAGPPPSAVRAFVAAHPKPESPPAAPGQSPGQAHGSLQLSQIIQAYPTLPLALTQLAQPPPAPKSARVPEPRPEPAKDQAPQPAPPQPADSPTTASPEASKPTSPKPASPRAPSSPQAESLASLSPSEQLRRAGLRAVPIELPAGARRHRGRPEAEGQSFSSSKQLGRNELPPQHHPAAESSAARAMRPDRARGGLSELLPGRLGSVKGDEPGGRLLRQRLAQLRQAYEGEGLGGRALRTAGPSPSRRQPLEVSAAKHLGRGLERPGARPLASEASSGRRLEGRRPSAGAAPEQGPSPLAEPSPAEQDQSPARGQEQWDMPTAAGTEPTGLAEDETPIDTLSVRSGSFEPQLPRPVSPLEELEEEESDSSSSLGGSPPPRRPMTVWVREPPARLLEPIPRLVLHCKYSIQSRQWRRRSLFLKLHDTSVEAGKAQTKFWPHELTEEATPRLRDQVLVKILFGRAERSLSLFTTEEFAVNYNRINMLTTRDNEGVFPSIGTLCLFAVVGVPWIDTVGSVPSQNVIEPAVFEPTGYQCYQPEGPTLEQANGFDCIRVQQEGAAGDWVLLNEPKVDLHLVHSQHLYHIGELVQRMYARIESECVTPKRAIRELLEETCLETVIVLGRRREFAEHFYLVCDHQLRALLRAERRASAKPKAQSPIFKAAFELGSSREVIRRLYSFAGRGIEQHIDYFDSLRRGRHDEDEGVGEVEMATQASHVALEAKCSVEGALNQATCTYVGGDQAGTSLVVLSCENEEYVFSVHSSETLLVEDKYWMIDPLPKGEVVVAFRATEGAGVEVDEMISEFRPAEALELTVSCTQTGHRNRATCTLAGMDRRSVRCIRLCYQDDDPQSLEYVGARAELLAGRCSRWVVQAEVHNFTVTVSAPQGEVVYVIDPKDLMAPAEELTPGHELLQVPESPPSPKSSSKVTTSLVRFIHQVGKRLGTAAWGPERLGTVLATWQDSGLVQHQVTYTLSDPDIAMDLSRPPNWTLTVMRGTVAVVQPAGDPPGPVVDTVYLHAYCAAKGLKNAIVSKYVGAHQPGVAPFVLRHVDRYQTMRRDDSSQIRHGNHFWSITPYPLGTVQCELMAEKALGVELDQLPRLFDPISLRELEGQEPEEPISPMKELKSSPGSAATRPEEVYLQASCHAQGHTNVASVAYLGEDESGVHAIHLSHLGGDQRLRLNESEEMPCGFHTWTVVPPPTGSIKCDFRAARGELVEVDELLRTFLPALSPRVTLRASCTLEDKATSLKCTYVGSDDEHVSTLLLKCKRGDFHMKCKLLAPQLLRGRCLWDVEVDTPGAVLCEFLAMEGAGVDVDQLPALVALSRSEPHARVELRAECQRAGYENVAKCTYFGADGREAALIRLRCLGGEAEEAFRRQDPRVPQRNRLWSVVPKPEGQVRISMIVDQGERVSQDMLSVKFTPPAKSGTDSSRRNQSPSPKMTPTRPWTEGPEPFEEPPSLYEEDSTIPSSFPSTSGGPRVTLSAACTAQGLENTATAMYSGADAARAERLLLTHREGEARLLLRGESRLASGDKHWSVQPPPNATIICKLLVDAGPAVVVNQLPIEFVPAELPEEGASVYSDDSSELEPSTPRARRGKGQSRAGRRDWPGWPGDEEPGRRLRKEAEPGARNKELTQPVAAGELGPTAWPLFRVAQAPPERKRGPPAKTRAEPPDAAPPPVPSRCEQIARSRTLPFQDRRRVEQQPGEGPETRGAPGRSRPRGPEDGPKEASSPSPPQAAAPSVARSTKPTSPRSFLPPEGPERPSPARQLTARLSEHSRVLEERSTSRRIRGLEQAFRLELDSAPSRQRTREDLSAAKQQFLRRREAVSGPARLEEQSAGPTGWPAGRESKPQELSRAKRLPVWPRLPAPPSDSRELSRRREEFFQLKDLIRSGARVLRPSRGLASLRGSAESLPTQPRSEATGALSPGSSKSKKGRKEETTTDEPLVPEQTRRHPSTPTAAPQAPPGPPSRKGVRPSPPPLLASKSPPPQAPRRPAESALQPQLDPEERRLGSQPVSVHISLARGRYLSFASGYLDSAVSLSDESGWSAGVLNARSARVLPEVGLHRPGAGTAQAQRPSGPLRRTGGGSGAEQELVTQGSGWWSVQEESTGTVSTPPTDLSTGVLTDSGGTPTSFAGDDRLELRQTGQVDSIDDEATESDGVELVTLVAECSARGRENQAMCSYVGADQAGCRRLWLCSPEPAPAPLLQGDRFWRLSPQPTGSVVVRLRVDSGACVAANKLPRLFVPAGAVAVPAGGGAGVKARKPPVGPSPPARTGADEGRTGRAKQM
+>sp|Q0P670|SPEM2_HUMAN Uncharacterized protein SPEM2 OS=Homo sapiens OX=9606 GN=SPEM2 PE=2 SV=2
+MENQLWHNTVRCCNQYQESPHDAEDILLLLLGLIVLVNIGINVATMMWHGLQNALDKMIDWATQKNEIQASESPPSGPPDKAQDVHIHCILDPVQVKMSRPTQYSSFSCHHFSNHHSSSLLRCVRRRRRRHRRCRRRCCNHQQRPQNYRQIPHSHSVFRNPHRSQKMSQLHRVPFFDQEDPDSYLEEEDNLPFPYPKYPRRGWGGFYQRAGLPSNVGLWGHQGGILASLPPPSLYLSPELRCMPKRVEARSELRLQSYGRHGSQSRLWGNVEAEQWASSPPPPHRLPPNPSWVPVGHSPYPSVGWMLYDSWDQRRRGTEGFERPPASVSRNARPEAQGCREHHSPQSHQQSLLGHAYGQSHRSPHPSTEPLGYSSQDPREVRRRAADWAEALPAWRPLTTSASLTVLDEASHQRTPAPSSVLVPHSSQPWPKVQAADPAPPPTMFVPLSRNPGGNANYQVYDSLELKRQVQKSRARSSSLPPASTSTLRPSLHRSQTEKLN
+>DECOY_sp|Q0P670|SPEM2_HUMAN Uncharacterized protein SPEM2 OS=Homo sapiens OX=9606 GN=SPEM2 PE=2 SV=2
+NLKETQSRHLSPRLTSTSAPPLSSSRARSKQVQRKLELSDYVQYNANGGPNRSLPVFMTPPPAPDAAQVKPWPQSSHPVLVSSPAPTRQHSAEDLVTLSASTTLPRWAPLAEAWDAARRRVERPDQSSYGLPETSPHPSRHSQGYAHGLLSQQHSQPSHHERCGQAEPRANRSVSAPPREFGETGRRRQDWSDYLMWGVSPYPSHGVPVWSPNPPLRHPPPPSSAWQEAEVNGWLRSQSGHRGYSQLRLESRAEVRKPMCRLEPSLYLSPPPLSALIGGQHGWLGVNSPLGARQYFGGWGRRPYKPYPFPLNDEEELYSDPDEQDFFPVRHLQSMKQSRHPNRFVSHSHPIQRYNQPRQQHNCCRRRCRRHRRRRRRVCRLLSSSHHNSFHHCSFSSYQTPRSMKVQVPDLICHIHVDQAKDPPGSPPSESAQIENKQTAWDIMKDLANQLGHWMMTAVNIGINVLVILGLLLLLIDEADHPSEQYQNCCRVTNHWLQNEM
+>sp|Q76KD6|SPERI_HUMAN Speriolin OS=Homo sapiens OX=9606 GN=SPATC1 PE=2 SV=2
+MSLLTNYEGLRHQIERLVRENEELKKLVRLIRENHELKSAIKTQAGGLGISGFTSGLGEATAGLSSRQNNGVFLPPSPAVANERVLEEVGIMALAPLAEMLTSLQPSATPGSLMSPLTGTLSTLLSGPAPTSQSSPLTSFLTSPIAGPLTGTLASSLGLPSTGTLTPSSLVAGPVAMSQSSPLIAPVMGTVAVSLSSPLLSSTATPPGVSQNLLANPMSNLVLPEAPRLRLAEPLRGGPTGPQSPACVVPTATTKVPLSTEPPQSTQDPEPLSMAFAGAPLQTSTPIGAMGTPAPKTAFSFNTSDTQAQPSAAQEQVVPASVPTSPTTSPTVTVLASAPALAPQVATSYTPSSTTHIAQGAPHPPSRMHNSPTQNLPVPHCPPHNAHSPPRTSSSPASVNDSRGPRTTEPSTKSMMEVERKLAHRKTSKFPENPRESKQLAWERLVGEIAFQLDRRILSSIFPERVRLYGFTVSNIPEKIIQASLNPSDHKLDEKLCQRLTQRYVSVMNRLQSLGYNGRVHPALTEQLVNAYGILRERPELAASEGGPYTVDFLQRVVVETVHPGMLADALLLLSCLSQLAHDDGKPMFIW
+>DECOY_sp|Q76KD6|SPERI_HUMAN Speriolin OS=Homo sapiens OX=9606 GN=SPATC1 PE=2 SV=2
+WIFMPKGDDHALQSLCSLLLLADALMGPHVTEVVVRQLFDVTYPGGESAALEPRERLIGYANVLQETLAPHVRGNYGLSQLRNMVSVYRQTLRQCLKEDLKHDSPNLSAQIIKEPINSVTFGYLRVREPFISSLIRRDLQFAIEGVLREWALQKSERPNEPFKSTKRHALKREVEMMSKTSPETTRPGRSDNVSAPSSSTRPPSHANHPPCHPVPLNQTPSNHMRSPPHPAGQAIHTTSSPTYSTAVQPALAPASALVTVTPSTTPSTPVSAPVVQEQAASPQAQTDSTNFSFATKPAPTGMAGIPTSTQLPAGAFAMSLPEPDQTSQPPETSLPVKTTATPVVCAPSQPGTPGGRLPEALRLRPAEPLVLNSMPNALLNQSVGPPTATSSLLPSSLSVAVTGMVPAILPSSQSMAVPGAVLSSPTLTGTSPLGLSSALTGTLPGAIPSTLFSTLPSSQSTPAPGSLLTSLTGTLPSMLSGPTASPQLSTLMEALPALAMIGVEELVRENAVAPSPPLFVGNNQRSSLGATAEGLGSTFGSIGLGGAQTKIASKLEHNERILRVLKKLEENERVLREIQHRLGEYNTLLSM
+>sp|Q6UW49|SPESP_HUMAN Sperm equatorial segment protein 1 OS=Homo sapiens OX=9606 GN=SPESP1 PE=1 SV=2
+MKPLVLLVALLLWPSSVPAYPSITVTPDEEQNLNHYIQVLENLVRSVPSGEPGREKKSNSPKHVYSIASKGSKFKELVTHGDASTENDVLTNPISEETTTFPTGGFTPEIGKKKHTESTPFWSIKPNNVSIVLHAEEPYIENEEPEPEPEPAAKQTEAPRMLPVVTESSTSPYVTSYKSPVTTLDKSTGIGISTESEDVPQLSGETAIEKPEEFGKHPESWNNDDILKKILDINSQVQQALLSDTSNPAYREDIEASKDHLKRSLALAAAAEHKLKTMYKSQLLPVGRTSNKIDDIETVINMLCNSRSKLYEYLDIKCVPPEMREKAATVFNTLKNMCRSRRVTALLKVY
+>DECOY_sp|Q6UW49|SPESP_HUMAN Sperm equatorial segment protein 1 OS=Homo sapiens OX=9606 GN=SPESP1 PE=1 SV=2
+YVKLLATVRRSRCMNKLTNFVTAAKERMEPPVCKIDLYEYLKSRSNCLMNIVTEIDDIKNSTRGVPLLQSKYMTKLKHEAAAALALSRKLHDKSAEIDERYAPNSTDSLLAQQVQSNIDLIKKLIDDNNWSEPHKGFEEPKEIATEGSLQPVDESETSIGIGTSKDLTTVPSKYSTVYPSTSSETVVPLMRPAETQKAAPEPEPEPEENEIYPEEAHLVISVNNPKISWFPTSETHKKKGIEPTFGGTPFTTTEESIPNTLVDNETSADGHTVLEKFKSGKSAISYVHKPSNSKKERGPEGSPVSRVLNELVQIYHNLNQEEDPTVTISPYAPVSSPWLLLAVLLVLPKM
+>sp|Q96I25|SPF45_HUMAN Splicing factor 45 OS=Homo sapiens OX=9606 GN=RBM17 PE=1 SV=1
+MSLYDDLGVETSDSKTEGWSKNFKLLQSQLQVKKAALTQAKSQRTKQSTVLAPVIDLKRGGSSDDRQIVDTPPHVAAGLKDPVPSGFSAGEVLIPLADEYDPMFPNDYEKVVKRQREERQRQRELERQKEIEEREKRRKDRHEASGFARRPDPDSDEDEDYERERRKRSMGGAAIAPPTSLVEKDKELPRDFPYEEDSRPRSQSSKAAIPPPVYEEQDRPRSPTGPSNSFLANMGGTVAHKIMQKYGFREGQGLGKHEQGLSTALSVEKTSKRGGKIIVGDATEKDASKKSDSNPLTEILKCPTKVVLLRNMVGAGEVDEDLEVETKEECEKYGKVGKCVIFEIPGAPDDEAVRIFLEFERVESAIKAVVDLNGRYFGGRVVKACFYNLDKFRVLDLAEQV
+>DECOY_sp|Q96I25|SPF45_HUMAN Splicing factor 45 OS=Homo sapiens OX=9606 GN=RBM17 PE=1 SV=1
+VQEALDLVRFKDLNYFCAKVVRGGFYRGNLDVVAKIASEVREFELFIRVAEDDPAGPIEFIVCKGVKGYKECEEKTEVELDEDVEGAGVMNRLLVVKTPCKLIETLPNSDSKKSADKETADGVIIKGGRKSTKEVSLATSLGQEHKGLGQGERFGYKQMIKHAVTGGMNALFSNSPGTPSRPRDQEEYVPPPIAAKSSQSRPRSDEEYPFDRPLEKDKEVLSTPPAIAAGGMSRKRREREYDEDEDSDPDPRRAFGSAEHRDKRRKEREEIEKQRELERQRQREERQRKVVKEYDNPFMPDYEDALPILVEGASFGSPVPDKLGAAVHPPTDVIQRDDSSGGRKLDIVPALVTSQKTRQSKAQTLAAKKVQLQSQLLKFNKSWGETKSDSTEVGLDDYLSM
+>sp|Q8N0X2|SPG16_HUMAN Sperm-associated antigen 16 protein OS=Homo sapiens OX=9606 GN=SPAG16 PE=2 SV=2
+MAAQRGMPSSAVRVLEEALGMGLTAAGDARDTADAVAAEGAYYLEQVTITEASEDDYEYEEIPDDNFSIPEGEEDLAKAIQMAQEQATDTEILERKTVLPSKHAVPEVIEDFLCNFLIKMGMTRTLDCFQSEWYELIQKGVTELRTVGNVPDVYTQIMLLENENKNLKKDLKHYKQAADKAREDLLKIQKERDFHRMHHKRIVQEKNKLINDLKGLKLHYASYEPTIRVLHEKHHTLLKEKMLTSLERDKVVGQISGLQETLKKLQRGHSYHGPQIKVDHSREKENAPEGPTQKGLREAREQNKCKTKMKGNTKDSEFPIDMQPNPNLNVSKESLSPAKFDYKLKNIFRLHELPVSCVSMQPHKDILVSCGEDRLWKVLGLPKCNVLLTGFGHTDWLSDCCFHPSGDKLATSSGDTTVKLWDLCKGDCILTFEGHSRAVWSCTWHSCGNFVASSSLDKTSKIWDVNSERCRCTLYGHTDSVNSIEFFPFSNTLLTSSADKTLSIWDARTGICEQSLYGHMHSINDAIFDPRGHMIASCDACGVTKLWDFRKLLPIVSIDIGPSPGNEVNFDSSGRVLAQASGNGVIHLLDLKSGEIHKLMGHENEAHTVVFSHDGEILFSGGSDGTVRTWS
+>DECOY_sp|Q8N0X2|SPG16_HUMAN Sperm-associated antigen 16 protein OS=Homo sapiens OX=9606 GN=SPAG16 PE=2 SV=2
+SWTRVTGDSGGSFLIEGDHSFVVTHAENEHGMLKHIEGSKLDLLHIVGNGSAQALVRGSSDFNVENGPSPGIDISVIPLLKRFDWLKTVGCADCSAIMHGRPDFIADNISHMHGYLSQECIGTRADWISLTKDASSTLLTNSFPFFEISNVSDTHGYLTCRCRESNVDWIKSTKDLSSSAVFNGCSHWTCSWVARSHGEFTLICDGKCLDWLKVTTDGSSTALKDGSPHFCCDSLWDTHGFGTLLVNCKPLGLVKWLRDEGCSVLIDKHPQMSVCSVPLEHLRFINKLKYDFKAPSLSEKSVNLNPNPQMDIPFESDKTNGKMKTKCKNQERAERLGKQTPGEPANEKERSHDVKIQPGHYSHGRQLKKLTEQLGSIQGVVKDRELSTLMKEKLLTHHKEHLVRITPEYSAYHLKLGKLDNILKNKEQVIRKHHMRHFDREKQIKLLDERAKDAAQKYHKLDKKLNKNENELLMIQTYVDPVNGVTRLETVGKQILEYWESQFCDLTRTMGMKILFNCLFDEIVEPVAHKSPLVTKRELIETDTAQEQAMQIAKALDEEGEPISFNDDPIEEYEYDDESAETITVQELYYAGEAAVADATDRADGAATLGMGLAEELVRVASSPMGRQAAM
+>sp|Q8WXH5|SOCS4_HUMAN Suppressor of cytokine signaling 4 OS=Homo sapiens OX=9606 GN=SOCS4 PE=1 SV=1
+MAENNENISKNVDVRPKTSRSRSADRKDGYVWSGKKLSWSKKSESYSDAETVNGIEKTEVSLRNQERKHSCSSIELDLDHSCGHRFLGRSLKQKLQDAVGQCFPIKNCSSRHSSGLPSKRKIHISELMLDKCPFPPRSDLAFRWHFIKRHTAPINSKSDEWVSTDLSQTELRDGQLKRRNMEENINCFSHTNVQPCVITTDNALCREGPMTGSVMNLVSNNSIEDSDMDSDDEILTLCTSSRKRNKPKWDLDDEILQLETPPKYHTQIDYVHCLVPDLLQINNNPCYWGVMDKYAAEALLEGKPEGTFLLRDSAQEDYLFSVSFRRYSRSLHARIEQWNHNFSFDAHDPCVFHSPDITGLLEHYKDPSACMFFEPLLSTPLIRTFPFSLQHICRTVICNCTTYDGIDALPIPSSMKLYLKEYHYKSKVRVLRIDAPEQQC
+>DECOY_sp|Q8WXH5|SOCS4_HUMAN Suppressor of cytokine signaling 4 OS=Homo sapiens OX=9606 GN=SOCS4 PE=1 SV=1
+CQQEPADIRLVRVKSKYHYEKLYLKMSSPIPLADIGDYTTCNCIVTRCIHQLSFPFTRILPTSLLPEFFMCASPDKYHELLGTIDPSHFVCPDHADFSFNHNWQEIRAHLSRSYRRFSVSFLYDEQASDRLLFTGEPKGELLAEAAYKDMVGWYCPNNNIQLLDPVLCHVYDIQTHYKPPTELQLIEDDLDWKPKNRKRSSTCLTLIEDDSDMDSDEISNNSVLNMVSGTMPGERCLANDTTIVCPQVNTHSFCNINEEMNRRKLQGDRLETQSLDTSVWEDSKSNIPATHRKIFHWRFALDSRPPFPCKDLMLESIHIKRKSPLGSSHRSSCNKIPFCQGVADQLKQKLSRGLFRHGCSHDLDLEISSCSHKREQNRLSVETKEIGNVTEADSYSESKKSWSLKKGSWVYGDKRDASRSRSTKPRVDVNKSINENNEAM
+>sp|A6NKU9|SPDE3_HUMAN Speedy protein E3 OS=Homo sapiens OX=9606 GN=SPDYE3 PE=2 SV=2
+MTSHQPQPQEEQSPQRSTSGYPLQEVVDDEVSGPSAPGVDPSPPRRSLGCKRKRECLDESDDEPEKELAPEPEETWVAETLCGLKMKAKRRRVSLVLPEYYEAFNRLLAPGVDPSPPRRSLGCKRKRECLDESDDEPEKELAPEPEETWVAETLCGLKMKAKRRRVSLVLPEYYEAFNRLLAPGVDPSPPRRSLGCKRKRECLDESDDEPEKELAPEPEETWVAETLCGLKMKAKRRRVSLVLPEYYEAFNRLLAPGVDPSPPRRSLGCKRKRECLDESDDEPEKELAPEPEETWVAETLCGLKMKAKRRRVSLVLPEYYEAFNRLLAPGVDPSPPRRSLGCKRKRECLDESDDEPEKELAPEPEETWVAETLCGLKMKAKRRRVSLVLPEYYEAFNRLLEDPVIKRFLAWDKDLRVSDKYLLAMVIAYFSRAGLPSWQYQRIHFFLALYLANDMEEDDEAPKQKIFYFLYGKTHSHIPLRPKHWFQLCRPMNPRARKNCSQIALFQKRRFQFFCSMRCRAWVSPEELEEIQAYDPEHWVWARDRAHLS
+>DECOY_sp|A6NKU9|SPDE3_HUMAN Speedy protein E3 OS=Homo sapiens OX=9606 GN=SPDYE3 PE=2 SV=2
+SLHARDRAWVWHEPDYAQIEELEEPSVWARCRMSCFFQFRRKQFLAIQSCNKRARPNMPRCLQFWHKPRLPIHSHTKGYLFYFIKQKPAEDDEEMDNALYLALFFHIRQYQWSPLGARSFYAIVMALLYKDSVRLDKDWALFRKIVPDELLRNFAEYYEPLVLSVRRRKAKMKLGCLTEAVWTEEPEPALEKEPEDDSEDLCERKRKCGLSRRPPSPDVGPALLRNFAEYYEPLVLSVRRRKAKMKLGCLTEAVWTEEPEPALEKEPEDDSEDLCERKRKCGLSRRPPSPDVGPALLRNFAEYYEPLVLSVRRRKAKMKLGCLTEAVWTEEPEPALEKEPEDDSEDLCERKRKCGLSRRPPSPDVGPALLRNFAEYYEPLVLSVRRRKAKMKLGCLTEAVWTEEPEPALEKEPEDDSEDLCERKRKCGLSRRPPSPDVGPALLRNFAEYYEPLVLSVRRRKAKMKLGCLTEAVWTEEPEPALEKEPEDDSEDLCERKRKCGLSRRPPSPDVGPASPGSVEDDVVEQLPYGSTSRQPSQEEQPQPQHSTM
+>sp|Q9C093|SPEF2_HUMAN Sperm flagellar protein 2 OS=Homo sapiens OX=9606 GN=SPEF2 PE=2 SV=2
+MSEILCQWLNKELKVSRTVSPKSFAKAFSSGYLLGEVLHKFELQDDFSEFLDSRVSSAKLNNFSRLEPTLNLLGVQFDQNVAHGIITEKPGVATKLLYQLYIALQKKKKSGLTGVEMQTMQRLTNLRLQNMKSDTFQERLRHMIPRQTDFNLMRITYRFQEKYKHVKEDLAHLHFEKLERFQKLKEEQRCFDIEKQYLNRRRQNEIMAKIQAAIIQIPKPASNRTLKALEAQKMMKKKKEAEDVADEIKKFEALIKKDLQAKESASKTSLDTAGQTTTDLLNTYSDDEYIKKIQKRLEEDAFAREQREKRRRKLLMDQLIAHEAQEEAYREEQLINRLMRQSQQERRIAVQLMHVRHEKEVLWQNRIFREKQHEERRLKDFQDALDREAALAKQAKIDFEEQFLKEKRFHDQIAVERAQARYEKHYSVCAEILDQIVDLSTKVADYRMLTNNLIPYKLMHDWKELFFNAKPIYEQASVKTLPANPSREQLTELEKRDLLDTNDYEEYKNMVGEWALPEEMVDNLPPSNNCILGHILHRLAEKSLPPRAESTTPELPSFAVKGCLLGKTLSGKTTILRSLQKDFPIQILSIDTLVQEAIQAFHDNEKVSEVLPIQKNDEEDALPVLQEEIKESQDPQHVFSAGPVSDEVLPETEGETMLSANADKTPKAEEVKSSDSFLKLTTRAQLGAKSEQLLKKGKSIPDVLLVDIIVNAINEIPVNQDCILDGFPMTLNQAQLLEEALTGCNRNLTEVERKKAQKSTLAIDPATSKEIPLPSPAFDFVILLDVSDTSSMSRMNDIIAEELSYKTAHEDISQRVAAENQDKDGDQNLRDQIQHRIIGFLDNWPLLEQWFSEPENILIKINAEIDKESLCEKVKEILTTEIAKKKNKVEKKLEEKEAEKKAAASLAELPLPTPPPAPPPEPEKEKEIHQSHVASKTPTAKGKPQSEAPHGKQESLQEGKGKKGETALKRKGSPKGKSSGGKVPVKKSPADSTDTSPVAIVPQPPKPGSEEWVYVNEPVPEEMPLFLVPYWELIENSYINTIKTVLRHLREDQHTVLAYLYEIRTSFQEFLKRPDHKQDFVAQWQADFNSLPDDLWDDEETKAELHQRVNDLRDRLWDICDARKEEAEQERLDIINESWLQDTLGMTMNHFFSLMQAELNRFQDTKRLLQDYYWGMESKIPVEDNKRFTRIPLVQLDSKDNSESQLRIPLVPRISISLETVTPKPKTKSVLKGKMDNSLENVESNFEADEKLVMDTWQQASLAVSHMVAAEIHQRLMEEEKENQPADPKEKSPQMGANKKVKKEPPKKKQEDKKPKGKSPPMAEATPVIVTTEEIAEIKRKNELRVKIKEEHLAALQFEEIATQFRLELIKTKALALLEDLVTKVVDVYKLMEKWLGERYLNEMASTEKLTDVARYHIETSTKIQNELYLSQEDFFINGNIKVFPDPPPSIRPPPVEKEEDGTLTIEQLDSLRDQFLDMAPKGIIGNKAFTDILIDLVTLNLGTNNFPSNWMHLTQPELQELTSLLTVNSEFVDWRKFLLVTSMPWPIPLEEELLETLQKFKAVDKEQLGTITFEQYMQAGLWFTGDEDIKIPENPLEPLPFNRQEHLIEFFFRLFADYEKDPPQLDYTQMLLYFACHPDTVEGVYRALSVAVGTHVFQQVKASIPSAEKTSSTDAGPAEEFPEPEENAAREERKLKDDTEKREQKDEEIPENANNEKMSMETLLKVFKGGSEAQDSNRFASHLKIENIYAEGFIKTFQDLGAKNLEPIEVAVLLKHPFIQDLISNYSDYKFPDIKIILQRSEHVQGSDGERSPSRHTEEKK
+>DECOY_sp|Q9C093|SPEF2_HUMAN Sperm flagellar protein 2 OS=Homo sapiens OX=9606 GN=SPEF2 PE=2 SV=2
+KKEETHRSPSREGDSGQVHESRQLIIKIDPFKYDSYNSILDQIFPHKLLVAVEIPELNKAGLDQFTKIFGEAYINEIKLHSAFRNSDQAESGGKFVKLLTEMSMKENNANEPIEEDKQERKETDDKLKREERAANEEPEPFEEAPGADTSSTKEASPISAKVQQFVHTGVAVSLARYVGEVTDPHCAFYLLMQTYDLQPPDKEYDAFLRFFFEILHEQRNFPLPELPNEPIKIDEDGTFWLGAQMYQEFTITGLQEKDVAKFKQLTELLEEELPIPWPMSTVLLFKRWDVFESNVTLLSTLEQLEPQTLHMWNSPFNNTGLNLTVLDILIDTFAKNGIIGKPAMDLFQDRLSDLQEITLTGDEEKEVPPPRISPPPDPFVKINGNIFFDEQSLYLENQIKTSTEIHYRAVDTLKETSAMENLYREGLWKEMLKYVDVVKTVLDELLALAKTKILELRFQTAIEEFQLAALHEEKIKVRLENKRKIEAIEETTVIVPTAEAMPPSKGKPKKDEQKKKPPEKKVKKNAGMQPSKEKPDAPQNEKEEEMLRQHIEAAVMHSVALSAQQWTDMVLKEDAEFNSEVNELSNDMKGKLVSKTKPKPTVTELSISIRPVLPIRLQSESNDKSDLQVLPIRTFRKNDEVPIKSEMGWYYDQLLRKTDQFRNLEAQMLSFFHNMTMGLTDQLWSENIIDLREQEAEEKRADCIDWLRDRLDNVRQHLEAKTEEDDWLDDPLSNFDAQWQAVFDQKHDPRKLFEQFSTRIEYLYALVTHQDERLHRLVTKITNIYSNEILEWYPVLFLPMEEPVPENVYVWEESGPKPPQPVIAVPSTDTSDAPSKKVPVKGGSSKGKPSGKRKLATEGKKGKGEQLSEQKGHPAESQPKGKATPTKSAVHSQHIEKEKEPEPPPAPPPTPLPLEALSAAAKKEAEKEELKKEVKNKKKAIETTLIEKVKECLSEKDIEANIKILINEPESFWQELLPWNDLFGIIRHQIQDRLNQDGDKDQNEAAVRQSIDEHATKYSLEEAIIDNMRSMSSTDSVDLLIVFDFAPSPLPIEKSTAPDIALTSKQAKKREVETLNRNCGTLAEELLQAQNLTMPFGDLICDQNVPIENIANVIIDVLLVDPISKGKKLLQESKAGLQARTTLKLFSDSSKVEEAKPTKDANASLMTEGETEPLVEDSVPGASFVHQPDQSEKIEEQLVPLADEEDNKQIPLVESVKENDHFAQIAEQVLTDISLIQIPFDKQLSRLITTKGSLTKGLLCGKVAFSPLEPTTSEARPPLSKEALRHLIHGLICNNSPPLNDVMEEPLAWEGVMNKYEEYDNTDLLDRKELETLQERSPNAPLTKVSAQEYIPKANFFLEKWDHMLKYPILNNTLMRYDAVKTSLDVIQDLIEACVSYHKEYRAQAREVAIQDHFRKEKLFQEEFDIKAQKALAAERDLADQFDKLRREEHQKERFIRNQWLVEKEHRVHMLQVAIRREQQSQRMLRNILQEERYAEEQAEHAILQDMLLKRRRKERQERAFADEELRKQIKKIYEDDSYTNLLDTTTQGATDLSTKSASEKAQLDKKILAEFKKIEDAVDEAEKKKKMMKQAELAKLTRNSAPKPIQIIAAQIKAMIENQRRRNLYQKEIDFCRQEEKLKQFRELKEFHLHALDEKVHKYKEQFRYTIRMLNFDTQRPIMHRLREQFTDSKMNQLRLNTLRQMTQMEVGTLGSKKKKQLAIYLQYLLKTAVGPKETIIGHAVNQDFQVGLLNLTPELRSFNNLKASSVRSDLFESFDDQLEFKHLVEGLLYGSSFAKAFSKPSVTRSVKLEKNLWQCLIESM
+>sp|A0A1B0GUW6|SPEM3_HUMAN Uncharacterized protein SPEM3 OS=Homo sapiens OX=9606 GN=SPEM3 PE=3 SV=1
+MGERAYHGAQVCSGTNPRKCQDLGDSILLLLGSFILLNVWINVVTLLWKHLKSSLRILFRHFFPKDKQPSGSHPICICSSVDPKNLCSKVSSRVHPRPGFLLRRVNHLDSWIPDTNDEKVSACCCVPPKCGHAGVPRESARGLYKAGMMGGGEAPQVTASKAQASLLSRPETSSQFPKMSKLDTGPCHLPQESKTKTPDCAPAEAPAQAQVHSPTHTPVCTPTHPWTRSTDHTAVHTPAHSWTHSKARTPEGTHSQAQDTSAQAQAHTSAPTPAQTPAHIQAHTPAPTPAKASAHTKAHTSAQAQTHSPPHTPEYTHSQAHSPEHTSAHSPAQAPMPVPAHPQAHAPEYTSAHAPAYIPDHSHLVRSSVPVPTSAPAPPGTLAPATTPVLAPTPAPVPASAPSPAPALVMALTTTPVPDPVPATTPAPIITPIPSTPPAFSHDLSTGHVVYDARREKQNFFHMSSPQNPEYSRKDLATLFRPQEGQDLVSSGISEQTKQCSGDSAKLPAGSILGYLELRNMEWKNSDDAKDKFPQTKTSPYCSFHPCSSEKNTDSQAPFYPKFLAYSRDTACAKTCFHSATTAQSSVCTLPPPFTLSLPLVPPRSFVPPQPTNHQRPSTLIQTPTVLPTSKSPQSILTSQFPIPSLFATISQPLIQPQCPECHESLGLTQDSGLQRTPGPSKDSRVPRNLDLAQNPDLYKNPGLTQDPGLHENPGLAPNQGLHEFPGLPQDSYLCQNPSPSQDFGLHKNSGITQDSHPQKNTGLTQEAGILRSPCLTQSPGLHKKTPFTQTSDLQRSSGFTQDSGIYRNLEPNQETVIYKNQDLSQATDHQKNLGSSKDSGGHKNTGNVQDPGVCSTAGLTEDSGSQKGPYVPQDSEVNKSSGVIQESFLHKSPGLVQTSGLPKCSGLTQNSGDYKNPGLIQDCGGHKVKGLTQDSNLPSLTQATKVERRFSLPQDVGVYRSSEHSQDSNLHKCPGINQDPGPHKDPALVQDSGLPKISGLTQESGPYKSSCLIPDPSLYKNPSPALGSDFVQLLSLLQTPKSTLSLMKSSVPEKAAQKEDAQRHVLWARVQLNENSCPSKAQVVSNDLQTFSEVPVLIELQSSSWRAGSQHGAYRPVDTVPSGYQNYRQMSMPTHINWKSHCPGPGTQAGHVVFDARQRRLAVGKDKCEALSPRRLHQEAPSNSGKPSRSGDIRM
+>DECOY_sp|A0A1B0GUW6|SPEM3_HUMAN Uncharacterized protein SPEM3 OS=Homo sapiens OX=9606 GN=SPEM3 PE=3 SV=1
+MRIDGSRSPKGSNSPAEQHLRRPSLAECKDKGVALRRQRADFVVHGAQTGPGPCHSKWNIHTPMSMQRYNQYGSPVTDVPRYAGHQSGARWSSSQLEILVPVESFTQLDNSVVQAKSPCSNENLQVRAWLVHRQADEKQAAKEPVSSKMLSLTSKPTQLLSLLQVFDSGLAPSPNKYLSPDPILCSSKYPGSEQTLGSIKPLGSDQVLAPDKHPGPDQNIGPCKHLNSDQSHESSRYVGVDQPLSFRREVKTAQTLSPLNSDQTLGKVKHGGCDQILGPNKYDGSNQTLGSCKPLGSTQVLGPSKHLFSEQIVGSSKNVESDQPVYPGKQSGSDETLGATSCVGPDQVNGTNKHGGSDKSSGLNKQHDTAQSLDQNKYIVTEQNPELNRYIGSDQTFGSSRQLDSTQTFPTKKHLGPSQTLCPSRLIGAEQTLGTNKQPHSDQTIGSNKHLGFDQSPSPNQCLYSDQPLGPFEHLGQNPALGPNEHLGPDQTLGPNKYLDPNQALDLNRPVRSDKSPGPTRQLGSDQTLGLSEHCEPCQPQILPQSITAFLSPIPFQSTLISQPSKSTPLVTPTQILTSPRQHNTPQPPVFSRPPVLPLSLTFPPPLTCVSSQATTASHFCTKACATDRSYALFKPYFPAQSDTNKESSCPHFSCYPSTKTQPFKDKADDSNKWEMNRLELYGLISGAPLKASDGSCQKTQESIGSSVLDQGEQPRFLTALDKRSYEPNQPSSMHFFNQKERRADYVVHGTSLDHSFAPPTSPIPTIIPAPTTAPVPDPVPTTTLAMVLAPAPSPASAPVPAPTPALVPTTAPALTGPPAPASTPVPVSSRVLHSHDPIYAPAHASTYEPAHAQPHAPVPMPAQAPSHASTHEPSHAQSHTYEPTHPPSHTQAQASTHAKTHASAKAPTPAPTHAQIHAPTQAPTPASTHAQAQASTDQAQSHTGEPTRAKSHTWSHAPTHVATHDTSRTWPHTPTCVPTHTPSHVQAQAPAEAPACDPTKTKSEQPLHCPGTDLKSMKPFQSSTEPRSLLSAQAKSATVQPAEGGGMMGAKYLGRASERPVGAHGCKPPVCCCASVKEDNTDPIWSDLHNVRRLLFGPRPHVRSSVKSCLNKPDVSSCICIPHSGSPQKDKPFFHRFLIRLSSKLHKWLLTVVNIWVNLLIFSGLLLLISDGLDQCKRPNTGSCVQAGHYAREGM
+>sp|Q8NA61|SPERT_HUMAN Spermatid-associated protein OS=Homo sapiens OX=9606 GN=SPERT PE=1 SV=1
+MSPLECSECFGDQLLHRTYTWQLTLHSRPNYTRKRDTRSESLEIPISVVLPQRGTAEPFPRLHNLYSTPRCAQQAALPRLSRRMASQHSYPLNRFSSVPLDPMERPMSQADLELDYNPPRVQLSDEMFVFQDGRWVNENCRLQSPYFSPSASFHHKLHHKRLAKECMLQEENKSLREENKALREENRMLSKENKILQVFWEEHKASLGREESRAPSPLLHKDSASLEVVKKDHVALQVPRGKEDSTLQLLREENRALQQLLEQKQAYWAQAEDTAAPAEESKPAPSPHEEPCSPGLLQDQGSGLSSRFEEPKGPPARQEDSKELRALRKMVSNMSGPSGEEEAKVGPGLPDGCQPLQLLREMRQALQALLKENRLLQEENRTLQVLRAEHRGFQEENKALWENNKLKLQQKLVIDTVTEVTARMEMLIEELYAFMPARSQDPKKPSRV
+>DECOY_sp|Q8NA61|SPERT_HUMAN Spermatid-associated protein OS=Homo sapiens OX=9606 GN=SPERT PE=1 SV=1
+VRSPKKPDQSRAPMFAYLEEILMEMRATVETVTDIVLKQQLKLKNNEWLAKNEEQFGRHEARLVQLTRNEEQLLRNEKLLAQLAQRMERLLQLPQCGDPLGPGVKAEEEGSPGSMNSVMKRLARLEKSDEQRAPPGKPEEFRSSLGSGQDQLLGPSCPEEHPSPAPKSEEAPAATDEAQAWYAQKQELLQQLARNEERLLQLTSDEKGRPVQLAVHDKKVVELSASDKHLLPSPARSEERGLSAKHEEWFVQLIKNEKSLMRNEERLAKNEERLSKNEEQLMCEKALRKHHLKHHFSASPSFYPSQLRCNENVWRGDQFVFMEDSLQVRPPNYDLELDAQSMPREMPDLPVSSFRNLPYSHQSAMRRSLRPLAAQQACRPTSYLNHLRPFPEATGRQPLVVSIPIELSESRTDRKRTYNPRSHLTLQWTYTRHLLQDGFCESCELPSM
+>sp|O43278|SPIT1_HUMAN Kunitz-type protease inhibitor 1 OS=Homo sapiens OX=9606 GN=SPINT1 PE=1 SV=2
+MAPARTMARARLAPAGIPAVALWLLCTLGLQGTQAGPPPAPPGLPAGADCLNSFTAGVPGFVLDTNASVSNGATFLESPTVRRGWDCVRACCTTQNCNLALVELQPDRGEDAIAACFLINCLYEQNFVCKFAPREGFINYLTREVYRSYRQLRTQGFGGSGIPKAWAGIDLKVQPQEPLVLKDVENTDWRLLRGDTDVRVERKDPNQVELWGLKEGTYLFQLTVTSSDHPEDTANVTVTVLSTKQTEDYCLASNKVGRCRGSFPRWYYDPTEQICKSFVYGGCLGNKNNYLREEECILACRGVQGGPLRGSSGAQATFPQGPSMERRHPVCSGTCQPTQFRCSNGCCIDSFLECDDTPNCPDASDEAACEKYTSGFDELQRIHFPSDKGHCVDLPDTGLCKESIPRWYYNPFSEHCARFTYGGCYGNKNNFEEEQQCLESCRGISKKDVFGLRREIPIPSTGSVEMAVAVFLVICIVVVVAILGYCFFKNQRKDFHGHHHHPPPTPASSTVSTTEDTEHLVYNHTTRPL
+>DECOY_sp|O43278|SPIT1_HUMAN Kunitz-type protease inhibitor 1 OS=Homo sapiens OX=9606 GN=SPINT1 PE=1 SV=2
+LPRTTHNYVLHETDETTSVTSSAPTPPPHHHHGHFDKRQNKFFCYGLIAVVVVICIVLFVAVAMEVSGTSPIPIERRLGFVDKKSIGRCSELCQQEEEFNNKNGYCGGYTFRACHESFPNYYWRPISEKCLGTDPLDVCHGKDSPFHIRQLEDFGSTYKECAAEDSADPCNPTDDCELFSDICCGNSCRFQTPQCTGSCVPHRREMSPGQPFTAQAGSSGRLPGGQVGRCALICEEERLYNNKNGLCGGYVFSKCIQETPDYYWRPFSGRCRGVKNSALCYDETQKTSLVTVTVNATDEPHDSSTVTLQFLYTGEKLGWLEVQNPDKREVRVDTDGRLLRWDTNEVDKLVLPEQPQVKLDIGAWAKPIGSGGFGQTRLQRYSRYVERTLYNIFGERPAFKCVFNQEYLCNILFCAAIADEGRDPQLEVLALNCNQTTCCARVCDWGRRVTPSELFTAGNSVSANTDLVFGPVGATFSNLCDAGAPLGPPAPPPGAQTGQLGLTCLLWLAVAPIGAPALRARAMTRAPAM
+>sp|Q6UDR6|SPIT4_HUMAN Kunitz-type protease inhibitor 4 OS=Homo sapiens OX=9606 GN=SPINT4 PE=3 SV=1
+MKSAKLGFLLRFFIFCSLNTLLLGGVNKIAEKICGDLKDPCKLDMNFGSCYEVHFRYFYNRTSKRCETFVFSGCNGNLNNFKLKIEREVACVAKYKPPR
+>DECOY_sp|Q6UDR6|SPIT4_HUMAN Kunitz-type protease inhibitor 4 OS=Homo sapiens OX=9606 GN=SPINT4 PE=3 SV=1
+RPPKYKAVCAVEREIKLKFNNLNGNCGSFVFTECRKSTRNYFYRFHVEYCSGFNMDLKCPDKLDGCIKEAIKNVGGLLLTNLSCFIFFRLLFGLKASKM
+>sp|O95149|SPN1_HUMAN Snurportin-1 OS=Homo sapiens OX=9606 GN=SNUPN PE=1 SV=1
+MEELSQALASSFSVSQDLNSTAAPHPRLSQYKSKYSSLEQSERRRRLLELQKSKRLDYVNHARRLAEDDWTGMESEEENKKDDEEMDIDTVKKLPKHYANQLMLSEWLIDVPSDLGQEWIVVVCPVGKRALIVASRGSTSAYTKSGYCVNRFSSLLPGGNRRNSTAKDYTILDCIYNEVNQTYYVLDVMCWRGHPFYDCQTDFRFYWMHSKLPEEEGLGEKTKLNPFKFVGLKNFPCTPESLCDVLSMDFPFEVDGLLFYHKQTHYSPGSTPLVGWLRPYMVSDVLGVAVPAGPLTTKPDYAGHQLQQIMEHKKSQKEGMKEKLTHKASENGHYELEHLSTPKLKGSSHSPDHPGCLMEN
+>DECOY_sp|O95149|SPN1_HUMAN Snurportin-1 OS=Homo sapiens OX=9606 GN=SNUPN PE=1 SV=1
+NEMLCGPHDPSHSSGKLKPTSLHELEYHGNESAKHTLKEKMGEKQSKKHEMIQQLQHGAYDPKTTLPGAPVAVGLVDSVMYPRLWGVLPTSGPSYHTQKHYFLLGDVEFPFDMSLVDCLSEPTCPFNKLGVFKFPNLKTKEGLGEEEPLKSHMWYFRFDTQCDYFPHGRWCMVDLVYYTQNVENYICDLITYDKATSNRRNGGPLLSSFRNVCYGSKTYASTSGRSAVILARKGVPCVVVIWEQGLDSPVDILWESLMLQNAYHKPLKKVTDIDMEEDDKKNEEESEMGTWDDEALRRAHNVYDLRKSKQLELLRRRRESQELSSYKSKYQSLRPHPAATSNLDQSVSFSSALAQSLEEM
+>sp|Q8TCT8|SPP2A_HUMAN Signal peptide peptidase-like 2A OS=Homo sapiens OX=9606 GN=SPPL2A PE=1 SV=2
+MGPQRRLSPAGAALLWGFLLQLTAAQEAILHASGNGTTKDYCMLYNPYWTALPSTLENATSISLMNLTSTPLCNLSDIPPVGIKSKAVVVPWGSCHFLEKARIAQKGGAEAMLVVNNSVLFPPSGNRSEFPDVKILIAFISYKDFRDMNQTLGDNITVKMYSPSWPNFDYTMVVIFVIAVFTVALGGYWSGLVELENLKAVTTEDREMRKKKEEYLTFSPLTVVIFVVICCVMMVLLYFFYKWLVYVMIAIFCIASAMSLYNCLAALIHKIPYGQCTIACRGKNMEVRLIFLSGLCIAVAVVWAVFRNEDRWAWILQDILGIAFCLNLIKTLKLPNFKSCVILLGLLLLYDVFFVFITPFITKNGESIMVELAAGPFGNNEKLPVVIRVPKLIYFSVMSVCLMPVSILGFGDIIVPGLLIAYCRRFDVQTGSSYIYYVSSTVAYAIGMILTFVVLVLMKKGQPALLYLVPCTLITASVVAWRRKEMKKFWKGNSYQMMDHLDCATNEENPVISGEQIVQQ
+>DECOY_sp|Q8TCT8|SPP2A_HUMAN Signal peptide peptidase-like 2A OS=Homo sapiens OX=9606 GN=SPPL2A PE=1 SV=2
+QQVIQEGSIVPNEENTACDLHDMMQYSNGKWFKKMEKRRWAVVSATILTCPVLYLLAPQGKKMLVLVVFTLIMGIAYAVTSSVYYIYSSGTQVDFRRCYAILLGPVIIDGFGLISVPMLCVSMVSFYILKPVRIVVPLKENNGFPGAALEVMISEGNKTIFPTIFVFFVDYLLLLGLLIVCSKFNPLKLTKILNLCFAIGLIDQLIWAWRDENRFVAWVVAVAICLGSLFILRVEMNKGRCAITCQGYPIKHILAALCNYLSMASAICFIAIMVYVLWKYFFYLLVMMVCCIVVFIVVTLPSFTLYEEKKKRMERDETTVAKLNELEVLGSWYGGLAVTFVAIVFIVVMTYDFNPWSPSYMKVTINDGLTQNMDRFDKYSIFAILIKVDPFESRNGSPPFLVSNNVVLMAEAGGKQAIRAKELFHCSGWPVVVAKSKIGVPPIDSLNCLPTSTLNMLSISTANELTSPLATWYPNYLMCYDKTTGNGSAHLIAEQAATLQLLFGWLLAAGAPSLRRQPGM
+>sp|Q8TCT7|SPP2B_HUMAN Signal peptide peptidase-like 2B OS=Homo sapiens OX=9606 GN=SPPL2B PE=1 SV=2
+MAAAVAAALARLLAAFLLLAAQVACEYGMVHVVSQAGGPEGKDYCILYNPQWAHLPHDLSKASFLQLRNWTASLLCSAADLPARGFSNQIPLVARGNCTFYEKVRLAQGSGARGLLIVSRERLVPPGGNKTQYDEIGIPVALLSYKDMLDIFTRFGRTVRAALYAPKEPVLDYNMVIIFIMAVGTVAIGGYWAGSRDVKKRYMKHKRDDGPEKQEDEAVDVTPVMTCVFVVMCCSMLVLLYYFYDLLVYVVIGIFCLASATGLYSCLAPCVRRLPFGKCRIPNNSLPYFHKRPQARMLLLALFCVAVSVVWGVFRNEDQWAWVLQDALGIAFCLYMLKTIRLPTFKACTLLLLVLFLYDIFFVFITPFLTKSGSSIMVEVATGPSDSATREKLPMVLKVPRLNSSPLALCDRPFSLLGFGDILVPGLLVAYCHRFDIQVQSSRVYFVACTIAYGVGLLVTFVALALMQRGQPALLYLVPCTLVTSCAVALWRRELGVFWTGSGFAKVLPPSPWAPAPADGPQPPKDSATPLSPQPPSEEPATSPWPAEQSPKSRTSEEMGAGAPMREPGSPAESEGRDQAQPSPVTQPGASA
+>DECOY_sp|Q8TCT7|SPP2B_HUMAN Signal peptide peptidase-like 2B OS=Homo sapiens OX=9606 GN=SPPL2B PE=1 SV=2
+ASAGPQTVPSPQAQDRGESEAPSGPERMPAGAGMEESTRSKPSQEAPWPSTAPEESPPQPSLPTASDKPPQPGDAPAPAWPSPPLVKAFGSGTWFVGLERRWLAVACSTVLTCPVLYLLAPQGRQMLALAVFTVLLGVGYAITCAVFYVRSSQVQIDFRHCYAVLLGPVLIDGFGLLSFPRDCLALPSSNLRPVKLVMPLKERTASDSPGTAVEVMISSGSKTLFPTIFVFFIDYLFLVLLLLTCAKFTPLRITKLMYLCFAIGLADQLVWAWQDENRFVGWVVSVAVCFLALLLMRAQPRKHFYPLSNNPIRCKGFPLRRVCPALCSYLGTASALCFIGIVVYVLLDYFYYLLVLMSCCMVVFVCTMVPTVDVAEDEQKEPGDDRKHKMYRKKVDRSGAWYGGIAVTGVAMIFIIVMNYDLVPEKPAYLAARVTRGFRTFIDLMDKYSLLAVPIGIEDYQTKNGGPPVLRERSVILLGRAGSGQALRVKEYFTCNGRAVLPIQNSFGRAPLDAASCLLSATWNRLQLFSAKSLDHPLHAWQPNYLICYDKGEPGGAQSVVHVMGYECAVQAALLLFAALLRALAAAVAAAM
+>sp|Q8IUH8|SPP2C_HUMAN Signal peptide peptidase-like 2C OS=Homo sapiens OX=9606 GN=SPPL2C PE=1 SV=3
+MACLGFLLPVGFLLLISTVAGGKYGVAHVVSENWSKDYCILFSSDYITLPRDLHHAPLLPLYDGTKAPWCPGEDSPHQAQLRSPSQRPLRQTTAMVMRGNCSFHTKGWLAQGQGAHGLLIVSRVSDQQCSDTTLAPQDPRQPLADLTIPVAMLHYADMLDILSHTRGEAVVRVAMYAPPEPIIDYNMLVIFILAVGTVAAGGYWAGLTEANRLQRRRARRGGGSGGHHQLQEAAAAEGAQKEDNEDIPVDFTPAMTGVVVTLSCSLMLLLYFFYDHFVYVTIGIFGLGAGIGLYSCLSPLVCRLSLRQYQRPPHSLWASLPLPLLLLASLCATVIIFWVAYRNEDRWAWLLQDTLGISYCLFVLHRVRLPTLKNCSSFLLALLAFDVFFVFVTPFFTKTGESIMAQVALGPAESSSHERLPMVLKVPRLRVSALTLCSQPFSILGFGDIVVPGFLVAYCCRFDVQVCSRQIYFVACTVAYAVGLLVTFMAMVLMQMGQPALLYLVSSTLLTSLAVAACRQELSLFWTGQGRAKMCGLGCAPSAGSRQKQEGAADAHTASTLERGTSRGAGDLDSNPGEDTTEIVTISENEATNPEDRSDSSEGWSDAHLDPNELPFIPPGASEELMPLMPMAMLIPLMPLMPPPSELGHVHAQAQAHETGLPWAGLHKRKGLKVRKSMSTQAPL
+>DECOY_sp|Q8IUH8|SPP2C_HUMAN Signal peptide peptidase-like 2C OS=Homo sapiens OX=9606 GN=SPPL2C PE=1 SV=3
+LPAQTSMSKRVKLGKRKHLGAWPLGTEHAQAQAHVHGLESPPPMLPMLPILMAMPMLPMLEESAGPPIFPLENPDLHADSWGESSDSRDEPNTAENESITVIETTDEGPNSDLDGAGRSTGRELTSATHADAAGEQKQRSGASPACGLGCMKARGQGTWFLSLEQRCAAVALSTLLTSSVLYLLAPQGMQMLVMAMFTVLLGVAYAVTCAVFYIQRSCVQVDFRCCYAVLFGPVVIDGFGLISFPQSCLTLASVRLRPVKLVMPLREHSSSEAPGLAVQAMISEGTKTFFPTVFVFFVDFALLALLFSSCNKLTPLRVRHLVFLCYSIGLTDQLLWAWRDENRYAVWFIIVTACLSALLLLPLPLSAWLSHPPRQYQRLSLRCVLPSLCSYLGIGAGLGFIGITVYVFHDYFFYLLLMLSCSLTVVVGTMAPTFDVPIDENDEKQAGEAAAAEQLQHHGGSGGGRRARRRQLRNAETLGAWYGGAAVTGVALIFIVLMNYDIIPEPPAYMAVRVVAEGRTHSLIDLMDAYHLMAVPITLDALPQRPDQPALTTDSCQQDSVRSVILLGHAGQGQALWGKTHFSCNGRMVMATTQRLPRQSPSRLQAQHPSDEGPCWPAKTGDYLPLLPAHHLDRPLTIYDSSFLICYDKSWNESVVHAVGYKGGAVTSILLLFGVPLLFGLCAM
+>sp|P35321|SPR1A_HUMAN Cornifin-A OS=Homo sapiens OX=9606 GN=SPRR1A PE=1 SV=2
+MNSQQQKQPCTPPPQPQQQQVKQPCQPPPQEPCIPKTKEPCHPKVPEPCHPKVPEPCQPKVPEPCQPKVPEPCPSTVTPAPAQQKTKQK
+>DECOY_sp|P35321|SPR1A_HUMAN Cornifin-A OS=Homo sapiens OX=9606 GN=SPRR1A PE=1 SV=2
+KQKTKQQAPAPTVTSPCPEPVKPQCPEPVKPQCPEPVKPHCPEPVKPHCPEKTKPICPEQPPPQCPQKVQQQQPQPPPTCPQKQQQSNM
+>sp|P35325|SPR2B_HUMAN Small proline-rich protein 2B OS=Homo sapiens OX=9606 GN=SPRR2B PE=2 SV=1
+MSYQQQQCKQPCQPPPVCPTPKCPEPCPPPKCPEPCPPPKCPQPCPPQQCQQKYPPVTPSPPCQPKYPPKSK
+>DECOY_sp|P35325|SPR2B_HUMAN Small proline-rich protein 2B OS=Homo sapiens OX=9606 GN=SPRR2B PE=2 SV=1
+KSKPPYKPQCPPSPTVPPYKQQCQQPPCPQPCKPPPCPEPCKPPPCPEPCKPTPCVPPPQCPQKCQQQQYSM
+>sp|Q9BYE4|SPR2G_HUMAN Small proline-rich protein 2G OS=Homo sapiens OX=9606 GN=SPRR2G PE=3 SV=1
+MSYQQQQCKQPCQPPPVCPTPKCPEPCPPPKCPEPYLPPPCPPEHCPPPPCQDKCPPVQPYPPCQQKYPPKSK
+>DECOY_sp|Q9BYE4|SPR2G_HUMAN Small proline-rich protein 2G OS=Homo sapiens OX=9606 GN=SPRR2G PE=3 SV=1
+KSKPPYKQQCPPYPQVPPCKDQCPPPPCHEPPCPPPLYPEPCKPPPCPEPCKPTPCVPPPQCPQKCQQQQYSM
+>sp|Q7Z698|SPRE2_HUMAN Sprouty-related, EVH1 domain-containing protein 2 OS=Homo sapiens OX=9606 GN=SPRED2 PE=1 SV=2
+MTEETHPDDDSYIVRVKAVVMTRDDSSGGWFPQEGGGISRVGVCKVMHPEGNGRSGFLIHGERQKDKLVVLECYVRKDLVYTKANPTFHHWKVDNRKFGLTFQSPADARAFDRGVRKAIEDLIEGSTTSSSTIHNEAELGDDDVFTTATDSSSNSSQKREQPTRTISSPTSCEHRRIYTLGHLHDSYPTDHYHLDQPMPRPYRQVSFPDDDEEIVRINPREKIWMTGYEDYRHAPVRGKYPDPSEDADSSYVRFAKGEVPKHDYNYPYVDSSDFGLGEDPKGRGGSVIKTQPSRGKSRRRKEDGERSRCVYCRDMFNHEENRRGHCQDAPDSVRTCIRRVSCMWCADSMLYHCMSDPEGDYTDPCSCDTSDEKFCLRWMALIALSFLAPCMCCYLPLRACYHCGVMCRCCGGKHKAAA
+>DECOY_sp|Q7Z698|SPRE2_HUMAN Sprouty-related, EVH1 domain-containing protein 2 OS=Homo sapiens OX=9606 GN=SPRED2 PE=1 SV=2
+AAAKHKGGCCRCMVGCHYCARLPLYCCMCPALFSLAILAMWRLCFKEDSTDCSCPDTYDGEPDSMCHYLMSDACWMCSVRRICTRVSDPADQCHGRRNEEHNFMDRCYVCRSREGDEKRRRSKGRSPQTKIVSGGRGKPDEGLGFDSSDVYPYNYDHKPVEGKAFRVYSSDADESPDPYKGRVPAHRYDEYGTMWIKERPNIRVIEEDDDPFSVQRYPRPMPQDLHYHDTPYSDHLHGLTYIRRHECSTPSSITRTPQERKQSSNSSSDTATTFVDDDGLEAENHITSSSTTSGEILDEIAKRVGRDFARADAPSQFTLGFKRNDVKWHHFTPNAKTYVLDKRVYCELVVLKDKQREGHILFGSRGNGEPHMVKCVGVRSIGGGEQPFWGGSSDDRTMVVAKVRVIYSDDDPHTEETM
+>sp|P35270|SPRE_HUMAN Sepiapterin reductase OS=Homo sapiens OX=9606 GN=SPR PE=1 SV=1
+MEGGLGRAVCLLTGASRGFGRTLAPLLASLLSPGSVLVLSARNDEALRQLEAELGAERSGLRVVRVPADLGAEAGLQQLLGALRELPRPKGLQRLLLINNAGSLGDVSKGFVDLSDSTQVNNYWALNLTSMLCLTSSVLKAFPDSPGLNRTVVNISSLCALQPFKGWALYCAGKAARDMLFQVLALEEPNVRVLNYAPGPLDTDMQQLARETSVDPDMRKGLQELKAKGKLVDCKVSAQKLLSLLEKDEFKSGAHVDFYDK
+>DECOY_sp|P35270|SPRE_HUMAN Sepiapterin reductase OS=Homo sapiens OX=9606 GN=SPR PE=1 SV=1
+KDYFDVHAGSKFEDKELLSLLKQASVKCDVLKGKAKLEQLGKRMDPDVSTERALQQMDTDLPGPAYNLVRVNPEELALVQFLMDRAAKGACYLAWGKFPQLACLSSINVVTRNLGPSDPFAKLVSSTLCLMSTLNLAWYNNVQTSDSLDVFGKSVDGLSGANNILLLRQLGKPRPLERLAGLLQQLGAEAGLDAPVRVVRLGSREAGLEAELQRLAEDNRASLVLVSGPSLLSALLPALTRGFGRSAGTLLCVARGLGGEM
+>sp|Q14515|SPRL1_HUMAN SPARC-like protein 1 OS=Homo sapiens OX=9606 GN=SPARCL1 PE=1 SV=2
+MKTGLFFLCLLGTAAAIPTNARLLSDHSKPTAETVAPDNTAIPSLRAEAEENEKETAVSTEDDSHHKAEKSSVLKSKEESHEQSAEQGKSSSQELGLKDQEDSDGHLSVNLEYAPTEGTLDIKEDMSEPQEKKLSENTDFLAPGVSSFTDSNQQESITKREENQEQPRNYSHHQLNRSSKHSQGLRDQGNQEQDPNISNGEEEEEKEPGEVGTHNDNQERKTELPREHANSKQEEDNTQSDDILEESDQPTQVSKMQEDEFDQGNQEQEDNSNAEMEEENASNVNKHIQETEWQSQEGKTGLEAISNHKETEEKTVSEALLMEPTDDGNTTPRNHGVDDDGDDDGDDGGTDGPRHSASDDYFIPSQAFLEAERAQSIAYHLKIEEQREKVHENENIGTTEPGEHQEAKKAENSSNEEETSSEGNMRVHAVDSCMSFQCKRGHICKADQQGKPHCVCQDPVTCPPTKPLDQVCGTDNQTYASSCHLFATKCRLEGTKKGHQLQLDYFGACKSIPTCTDFEVIQFPLRMRDWLKNILMQLYEANSEHAGYLNEKQRNKVKKIYLDEKRLLAGDHPIDLLLRDFKKNYHMYVYPVHWQFSELDQHPMDRVLTHSELAPLRASLVPMEHCITRFFEECDPNKDKHITLKEWGHCFGIKEEDIDENLLF
+>DECOY_sp|Q14515|SPRL1_HUMAN SPARC-like protein 1 OS=Homo sapiens OX=9606 GN=SPARCL1 PE=1 SV=2
+FLLNEDIDEEKIGFCHGWEKLTIHKDKNPDCEEFFRTICHEMPVLSARLPALESHTLVRDMPHQDLESFQWHVPYVYMHYNKKFDRLLLDIPHDGALLRKEDLYIKKVKNRQKENLYGAHESNAEYLQMLINKLWDRMRLPFQIVEFDTCTPISKCAGFYDLQLQHGKKTGELRCKTAFLHCSSAYTQNDTGCVQDLPKTPPCTVPDQCVCHPKGQQDAKCIHGRKCQFSMCSDVAHVRMNGESSTEEENSSNEAKKAEQHEGPETTGINENEHVKERQEEIKLHYAISQAREAELFAQSPIFYDDSASHRPGDTGGDDGDDDGDDDVGHNRPTTNGDDTPEMLLAESVTKEETEKHNSIAELGTKGEQSQWETEQIHKNVNSANEEEMEANSNDEQEQNGQDFEDEQMKSVQTPQDSEELIDDSQTNDEEQKSNAHERPLETKREQNDNHTGVEGPEKEEEEEGNSINPDQEQNGQDRLGQSHKSSRNLQHHSYNRPQEQNEERKTISEQQNSDTFSSVGPALFDTNESLKKEQPESMDEKIDLTGETPAYELNVSLHGDSDEQDKLGLEQSSSKGQEASQEHSEEKSKLVSSKEAKHHSDDETSVATEKENEEAEARLSPIATNDPAVTEATPKSHDSLLRANTPIAAATGLLCLFFLGTKM
+>sp|Q96PI1|SPRR4_HUMAN Small proline-rich protein 4 OS=Homo sapiens OX=9606 GN=SPRR4 PE=2 SV=1
+MSSQQQQRQQQQCPPQRAQQQQVKQPCQPPPVKCQETCAPKTKDPCAPQVKKQCPPKGTIIPAQQKCPSAQQASKSKQK
+>DECOY_sp|Q96PI1|SPRR4_HUMAN Small proline-rich protein 4 OS=Homo sapiens OX=9606 GN=SPRR4 PE=2 SV=1
+KQKSKSAQQASPCKQQAPIITGKPPCQKKVQPACPDKTKPACTEQCKVPPPQCPQKVQQQQARQPPCQQQQRQQQQSSM
+>sp|Q7Z572|SPT21_HUMAN Spermatogenesis-associated protein 21 OS=Homo sapiens OX=9606 GN=SPATA21 PE=2 SV=3
+MDNRNTQMYTEEEKTVNPFLPSTPGPKKAKGGGEAVETHPAPGPLPPPEVRDIGERREPDRAQQQPQKPAVAAGTQSLGNFRQGFMKCLLEVEKMEASHRRASKARSQTAQKSPRTLTPVPTSAPSLPQTPASVPASGPSWARLPAPGPEPAPMGAPVPTSMPCPVLLGPALDLGWRRMELLHQSSERTLSYAKARQEPEEQSLQKLYQNREKSEEQLTLKQEEAFRSYFEIFNGPGEVDAQSLKNILLLMGFSVTLAQVEDALMSADVNGDGRVDFKDFLAVMTDTRRFFCSVEQNALSDMAPHNPHTLLFEILSLLVEMLALPEAVLEEITNYYQKKLKEGTCKAQEMEAAVGRLRLQKLPYNPQQEESSEVPERKVLSILSRLKQQNYAPNLQSPYAQVPCILLCPQLDKKMVRRQPSNHYALDQCTPPGLDPDIRSPFFQSGSQGNREHNSDSRKWLSSVPARTH
+>DECOY_sp|Q7Z572|SPT21_HUMAN Spermatogenesis-associated protein 21 OS=Homo sapiens OX=9606 GN=SPATA21 PE=2 SV=3
+HTRAPVSSLWKRSDSNHERNGQSGSQFFPSRIDPDLGPPTCQDLAYHNSPQRRVMKKDLQPCLLICPVQAYPSQLNPAYNQQKLRSLISLVKREPVESSEEQQPNYPLKQLRLRGVAAEMEQAKCTGEKLKKQYYNTIEELVAEPLALMEVLLSLIEFLLTHPNHPAMDSLANQEVSCFFRRTDTMVALFDKFDVRGDGNVDASMLADEVQALTVSFGMLLLINKLSQADVEGPGNFIEFYSRFAEEQKLTLQEESKERNQYLKQLSQEEPEQRAKAYSLTRESSQHLLEMRRWGLDLAPGLLVPCPMSTPVPAGMPAPEPGPAPLRAWSPGSAPVSAPTQPLSPASTPVPTLTRPSKQATQSRAKSARRHSAEMKEVELLCKMFGQRFNGLSQTGAAVAPKQPQQQARDPERREGIDRVEPPPLPGPAPHTEVAEGGGKAKKPGPTSPLFPNVTKEEETYMQTNRNDM
+>sp|Q96LK8|SPT32_HUMAN Spermatogenesis-associated protein 32 OS=Homo sapiens OX=9606 GN=SPATA32 PE=1 SV=3
+MGVTGAHGFPCCGKGSVEVAEMRDDLSQHQIQEEQELEADMLEQKPQLQVDLDLDPDPDPDPELEIGQVPALLESELYPALKLEAELDTEANSNEESDFEEPMQLVCKIESVHSNMGLPTPQTFRPWSLNSNCRSFTEENHVSACHHSISAQTSKHLFWANKLIQASEHSLQRAINMQLNNGSAGQPIRSPLREAIPTNALCSEEQLQIPDAHSAPPTTSSQAPSPLLSSDLPPPIDLTELITFASSLAMASSSRMDLPSLEHMMKAPPQEALEPSTEPLLTTVEEREPENHAETLPEKPREARAPLKSWSQEDKNFAQSYFDFSKPGIKRATIKGQIQLLQPPATSPLLQGSKEDSVPPGKEKENPLLVKIHFKLSAPTIPEK
+>DECOY_sp|Q96LK8|SPT32_HUMAN Spermatogenesis-associated protein 32 OS=Homo sapiens OX=9606 GN=SPATA32 PE=1 SV=3
+KEPITPASLKFHIKVLLPNEKEKGPPVSDEKSGQLLPSTAPPQLLQIQGKITARKIGPKSFDFYSQAFNKDEQSWSKLPARAERPKEPLTEAHNEPEREEVTTLLPETSPELAEQPPAKMMHELSPLDMRSSSAMALSSAFTILETLDIPPPLDSSLLPSPAQSSTTPPASHADPIQLQEESCLANTPIAERLPSRIPQGASGNNLQMNIARQLSHESAQILKNAWFLHKSTQASISHHCASVHNEETFSRCNSNLSWPRFTQPTPLGMNSHVSEIKCVLQMPEEFDSEENSNAETDLEAELKLAPYLESELLAPVQGIELEPDPDPDPDLDLDVQLQPKQELMDAELEQEEQIQHQSLDDRMEAVEVSGKGCCPFGHAGTVGM
+>sp|P02549|SPTA1_HUMAN Spectrin alpha chain, erythrocytic 1 OS=Homo sapiens OX=9606 GN=SPTA1 PE=1 SV=5
+MEQFPKETVVESSGPKVLETAEEIQERRQEVLTRYQSFKERVAERGQKLEDSYHLQVFKRDADDLGKWIMEKVNILTDKSYEDPTNIQGKYQKHQSLEAEVQTKSRLMSELEKTREERFTMGHSAHEETKAHIEELRHLWDLLLELTLEKGDQLLRALKFQQYVQECADILEWIGDKEAIATSVELGEDWERTEVLHKKFEDFQVELVAKEGRVVEVNQYANECAEENHPDLPLIQSKQNEVNAAWERLRGLALQRQKALSNAANLQRFKRDVTEAIQWIKEKEPVLTSEDYGKDLVASEGLFHSHKGLERNLAVMSDKVKELCAKAEKLTLSHPSDAPQIQEMKEDLVSSWEHIRALATSRYEKLQATYWYHRFSSDFDELSGWMNEKTAAINADELPTDVAGGEVLLDRHQQHKHEIDSYDDRFQSADETGQDLVNANHEASDEVREKMEILDNNWTALLELWDERHRQYEQCLDFHLFYRDSEQVDSWMSRQEAFLENEDLGNSLGSAEALLQKHEDFEEAFTAQEEKIITVDKTATKLIGDDHYDSENIKAIRDGLLARRDALREKAATRRRLLKESLLLQKLYEDSDDLKNWINKKKKLADDEDYKDIQNLKSRVQKQQVFEKELAVNKTQLENIQKTGQEMIEGGHYASDNVTTRLSEVASLWEELLEATKQKGTQLHEANQQLQFENNAEDLQRWLEDVEWQVTSEDYGKGLAEVQNRLRKHGLLESAVAARQDQVDILTDLAAYFEEIGHPDSKDIRARQESLVCRFEALKEPLATRKKKLLDLLHLQLICRDTEDEEAWIQETEPSATSTYLGKDLIASKKLLNRHRVILENIASHEPRIQEITERGNKMVEEGHFAAEDVASRVKSLNQNMESLRARAARRQNDLEANVQFQQYLADLHEAETWIREKEPIVDNTNYGADEEAAGALLKKHEAFLLDLNSFGDSMKALRNQANACQQQQAAPVEGVAGEQRVMALYDFQARSPREVTMKKGDVLTLLSSINKDWWKVEAADHQGIVPAVYVRRLAHDEFPMLPQRRREEPGNITQRQEQIENQYRSLLDRAEERRRRLLQRYNEFLLAYEAGDMLEWIQEKKAENTGVELDDVWELQKKFDEFQKDLNTNEPRLRDINKVADDLLFEGLLTPEGAQIRQELNSRWGSLQRLADEQRQLLGSAHAVEVFHREADDTKEQIEKKCQALSAADPGSDLFSVQALQRRHEGFERDLVPLGDKVTILGETAERLSESHPDATEDLQRQKMELNEAWEDLQGRTKDRKESLNEAQKFYLFLSKARDLQNWISSIGGMVSSQELAEDLTGIEILLERHQEHRADMEAEAPTFQALEDFSAELIDSGHHASPEIEKKLQAVKLERDDLEKAWEKRKKILDQCLELQMFQGNCDQVESWMVARENSLRSDDKSSLDSLEALMKKRDDLDKAITAQEGKITDLEHFAESLIADEHYAKEEIATRLQRVLDRWKALKAQLIDERTKLGDYANLKQFYRDLEELEEWISEMLPTACDESYKDATNIQRKYLKHQTFAHEVDGRSEQVHGVINLGNSLIECSACDGNEEAMKEQLEQLKEHWDHLLERTNDKGKKLNEASRQQRFNTSIRDFEFWLSEAETLLAMKDQARDLASAGNLLKKHQLLEREMLAREDALKDLNTLAEDLLSSGTFNVDQIVKKKDNVNKRFLNVQELAAAHHEKLKEAYALFQFFQDLDDEESWIEEKLIRVSSQDYGRDLQGVQNLLKKHKRLEGELVAHEPAIQNVLDMAEKLKDKAAVGQEEIQLRLAQFVEHWEKLKELAKARGLKLEESLEYLQFMQNAEEEEAWINEKNALAVRGDCGDTLAATQSLLMKHEALENDFAVHETRVQNVCAQGEDILNKVLQEESQNKEISSKIEALNEKTPSLAKAIAAWKLQLEDDYAFQEFNWKADVVEAWIADKETSLKTNGNGADLGDFLTLLAKQDTLDASLQSFQQERLPEITDLKDKLISAQHNQSKAIEERYAALLKRWEQLLEASAVHRQKLLEKQLPLQKAEDLFVEFAHKASALNNWCEKMEENLSEPVHCVSLNEIRQLQKDHEDFLASLARAQADFKCLLELDQQIKALGVPSSPYTWLTVEVLERTWKHLSDIIEEREQELQKEEARQVKNFEMCQEFEQNASTFLQWILETRAYFLDGSLLKETGTLESQLEANKRKQKEIQAMKRQLTKIVDLGDNLEDALILDIKYSTIGLAQQWDQLYQLGLRMQHNLEQQIQAKDIKGVSEETLKEFSTIYKHFDENLTGRLTHKEFRSCLRGLNYYLPMVEEDEHEPKFEKFLDAVDPGRKGYVSLEDYTAFLIDKESENIKSSDEIENAFQALAEGKSYITKEDMKQALTPEQVSFCATHMQQYMDPRGRSHLSGYDYVGFTNSYFGN
+>DECOY_sp|P02549|SPTA1_HUMAN Spectrin alpha chain, erythrocytic 1 OS=Homo sapiens OX=9606 GN=SPTA1 PE=1 SV=5
+NGFYSNTFGVYDYGSLHSRGRPDMYQQMHTACFSVQEPTLAQKMDEKTIYSKGEALAQFANEIEDSSKINESEKDILFATYDELSVYGKRGPDVADLFKEFKPEHEDEEVMPLYYNLGRLCSRFEKHTLRGTLNEDFHKYITSFEKLTEESVGKIDKAQIQQELNHQMRLGLQYLQDWQQALGITSYKIDLILADELNDGLDVIKTLQRKMAQIEKQKRKNAELQSELTGTEKLLSGDLFYARTELIWQLFTSANQEFEQCMEFNKVQRAEEKQLEQEREEIIDSLHKWTRELVEVTLWTYPSSPVGLAKIQQDLELLCKFDAQARALSALFDEHDKQLQRIENLSVCHVPESLNEEMKECWNNLASAKHAFEVFLDEAKQLPLQKELLKQRHVASAELLQEWRKLLAAYREEIAKSQNHQASILKDKLDTIEPLREQQFSQLSADLTDQKALLTLFDGLDAGNGNTKLSTEKDAIWAEVVDAKWNFEQFAYDDELQLKWAAIAKALSPTKENLAEIKSSIEKNQSEEQLVKNLIDEGQACVNQVRTEHVAFDNELAEHKMLLSQTAALTDGCDGRVALANKENIWAEEEEANQMFQLYELSEELKLGRAKALEKLKEWHEVFQALRLQIEEQGVAAKDKLKEAMDLVNQIAPEHAVLEGELRKHKKLLNQVGQLDRGYDQSSVRILKEEIWSEEDDLDQFFQFLAYAEKLKEHHAAALEQVNLFRKNVNDKKKVIQDVNFTGSSLLDEALTNLDKLADERALMERELLQHKKLLNGASALDRAQDKMALLTEAESLWFEFDRISTNFRQQRSAENLKKGKDNTRELLHDWHEKLQELQEKMAEENGDCASCEILSNGLNIVGHVQESRGDVEHAFTQHKLYKRQINTADKYSEDCATPLMESIWEELEELDRYFQKLNAYDGLKTREDILQAKLAKWRDLVRQLRTAIEEKAYHEDAILSEAFHELDTIKGEQATIAKDLDDRKKMLAELSDLSSKDDSRLSNERAVMWSEVQDCNGQFMQLELCQDLIKKRKEWAKELDDRELKVAQLKKEIEPSAHHGSDILEASFDELAQFTPAEAEMDARHEQHRELLIEIGTLDEALEQSSVMGGISSIWNQLDRAKSLFLYFKQAENLSEKRDKTRGQLDEWAENLEMKQRQLDETADPHSESLREATEGLITVKDGLPVLDREFGEHRRQLAQVSFLDSGPDAASLAQCKKEIQEKTDDAERHFVEVAHASGLLQRQEDALRQLSGWRSNLEQRIQAGEPTLLGEFLLDDAVKNIDRLRPENTNLDKQFEDFKKQLEWVDDLEVGTNEAKKEQIWELMDGAEYALLFENYRQLLRRRREEARDLLSRYQNEIQEQRQTINGPEERRRQPLMPFEDHALRRVYVAPVIGQHDAAEVKWWDKNISSLLTLVDGKKMTVERPSRAQFDYLAMVRQEGAVGEVPAAQQQQCANAQNRLAKMSDGFSNLDLLFAEHKKLLAGAAEEDAGYNTNDVIPEKERIWTEAEHLDALYQQFQVNAELDNQRRAARARLSEMNQNLSKVRSAVDEAAFHGEEVMKNGRETIEQIRPEHSAINELIVRHRNLLKKSAILDKGLYTSTASPETEQIWAEEDETDRCILQLHLLDLLKKKRTALPEKLAEFRCVLSEQRARIDKSDPHGIEEFYAALDTLIDVQDQRAAVASELLGHKRLRNQVEALGKGYDESTVQWEVDELWRQLDEANNEFQLQQNAEHLQTGKQKTAELLEEWLSAVESLRTTVNDSAYHGGEIMEQGTKQINELQTKNVALEKEFVQQKQVRSKLNQIDKYDEDDALKKKKNIWNKLDDSDEYLKQLLLSEKLLRRRTAAKERLADRRALLGDRIAKINESDYHDDGILKTATKDVTIIKEEQATFAEEFDEHKQLLAEASGLSNGLDENELFAEQRSMWSDVQESDRYFLHFDLCQEYQRHREDWLELLATWNNDLIEMKERVEDSAEHNANVLDQGTEDASQFRDDYSDIEHKHQQHRDLLVEGGAVDTPLEDANIAATKENMWGSLEDFDSSFRHYWYTAQLKEYRSTALARIHEWSSVLDEKMEQIQPADSPHSLTLKEAKACLEKVKDSMVALNRELGKHSHFLGESAVLDKGYDESTLVPEKEKIWQIAETVDRKFRQLNAANSLAKQRQLALGRLREWAANVENQKSQILPLDPHNEEACENAYQNVEVVRGEKAVLEVQFDEFKKHLVETREWDEGLEVSTAIAEKDGIWELIDACEQVYQQFKLARLLQDGKELTLELLLDWLHRLEEIHAKTEEHASHGMTFREERTKELESMLRSKTQVEAELSQHKQYKGQINTPDEYSKDTLINVKEMIWKGLDDADRKFVQLHYSDELKQGREAVREKFSQYRTLVEQRREQIEEATELVKPGSSEVVTEKPFQEM
+>sp|O15270|SPTC2_HUMAN Serine palmitoyltransferase 2 OS=Homo sapiens OX=9606 GN=SPTLC2 PE=1 SV=1
+MRPEPGGCCCRRTVRANGCVANGEVRNGYVRSSAAAAAAAAAGQIHHVTQNGGLYKRPFNEAFEETPMLVAVLTYVGYGVLTLFGYLRDFLRYWRIEKCHHATEREEQKDFVSLYQDFENFYTRNLYMRIRDNWNRPICSVPGARVDIMERQSHDYNWSFKYTGNIIKGVINMGSYNYLGFARNTGSCQEAAAKVLEEYGAGVCSTRQEIGNLDKHEELEELVARFLGVEAAMAYGMGFATNSMNIPALVGKGCLILSDELNHASLVLGARLSGATIRIFKHNNMQSLEKLLKDAIVYGQPRTRRPWKKILILVEGIYSMEGSIVRLPEVIALKKKYKAYLYLDEAHSIGALGPTGRGVVEYFGLDPEDVDVMMGTFTKSFGASGGYIGGKKELIDYLRTHSHSAVYATSLSPPVVEQIITSMKCIMGQDGTSLGKECVQQLAENTRYFRRRLKEMGFIIYGNEDSPVVPLMLYMPAKIGAFGREMLKRNIGVVVVGFPATPIIESRARFCLSAAHTKEILDTALKEIDEVGDLLQLKYSRHRLVPLLDRPFDETTYEETED
+>DECOY_sp|O15270|SPTC2_HUMAN Serine palmitoyltransferase 2 OS=Homo sapiens OX=9606 GN=SPTLC2 PE=1 SV=1
+DETEEYTTEDFPRDLLPVLRHRSYKLQLLDGVEDIEKLATDLIEKTHAASLCFRARSEIIPTAPFGVVVVGINRKLMERGFAGIKAPMYLMLPVVPSDENGYIIFGMEKLRRRFYRTNEALQQVCEKGLSTGDQGMICKMSTIIQEVVPPSLSTAYVASHSHTRLYDILEKKGGIYGGSAGFSKTFTGMMVDVDEPDLGFYEVVGRGTPGLAGISHAEDLYLYAKYKKKLAIVEPLRVISGEMSYIGEVLILIKKWPRRTRPQGYVIADKLLKELSQMNNHKFIRITAGSLRAGLVLSAHNLEDSLILCGKGVLAPINMSNTAFGMGYAMAAEVGLFRAVLEELEEHKDLNGIEQRTSCVGAGYEELVKAAAEQCSGTNRAFGLYNYSGMNIVGKIINGTYKFSWNYDHSQREMIDVRAGPVSCIPRNWNDRIRMYLNRTYFNEFDQYLSVFDKQEERETAHHCKEIRWYRLFDRLYGFLTLVGYGVYTLVAVLMPTEEFAENFPRKYLGGNQTVHHIQGAAAAAAAAASSRVYGNRVEGNAVCGNARVTRRCCCGGPEPRM
+>sp|Q13813|SPTN1_HUMAN Spectrin alpha chain, non-erythrocytic 1 OS=Homo sapiens OX=9606 GN=SPTAN1 PE=1 SV=3
+MDPSGVKVLETAEDIQERRQQVLDRYHRFKELSTLRRQKLEDSYRFQFFQRDAEELEKWIQEKLQIASDENYKDPTNLQGKLQKHQAFEAEVQANSGAIVKLDETGNLMISEGHFASETIRTRLMELHRQWELLLEKMREKGIKLLQAQKLVQYLRECEDVMDWINDKEAIVTSEELGQDLEHVEVLQKKFEEFQTDMAAHEERVNEVNQFAAKLIQEQHPEEELIKTKQDEVNAAWQRLKGLALQRQGKLFGAAEVQRFNRDVDETISWIKEKEQLMASDDFGRDLASVQALLRKHEGLERDLAALEDKVKALCAEADRLQQSHPLSATQIQVKREELITNWEQIRTLAAERHARLNDSYRLQRFLADFRDLTSWVTEMKALINADELASDVAGAEALLDRHQEHKGEIDAHEDSFKSADESGQALLAAGHYASDEVREKLTVLSEERAALLELWELRRQQYEQCMDLQLFYRDTEQVDNWMSKQEAFLLNEDLGDSLDSVEALLKKHEDFEKSLSAQEEKITALDEFATKLIQNNHYAMEDVATRRDALLSRRNALHERAMRRRAQLADSFHLQQFFRDSDELKSWVNEKMKTATDEAYKDPSNLQGKVQKHQAFEAELSANQSRIDALEKAGQKLIDVNHYAKDEVAARMNEVISLWKKLLEATELKGIKLREANQQQQFNRNVEDIELWLYEVEGHLASDDYGKDLTNVQNLQKKHALLEADVAAHQDRIDGITIQARQFQDAGHFDAENIKKKQEALVARYEALKEPMVARKQKLADSLRLQQLFRDVEDEETWIREKEPIAASTNRGKDLIGVQNLLKKHQALQAEIAGHEPRIKAVTQKGNAMVEEGHFAAEDVKAKLHELNQKWEALKAKASQRRQDLEDSLQAQQYFADANEAESWMREKEPIVGSTDYGKDEDSAEALLKKHEALMSDLSAYGSSIQALREQAQSCRQQVAPTDDETGKELVLALYDYQEKSPREVTMKKGDILTLLNSTNKDWWKVEVNDRQGFVPAAYVKKLDPAQSASRENLLEEQGSIALRQEQIDNQTRITKEAGSVSLRMKQVEELYHSLLELGEKRKGMLEKSCKKFMLFREANELQQWINEKEAALTSEEVGADLEQVEVLQKKFDDFQKDLKANESRLKDINKVAEDLESEGLMAEEVQAVQQQEVYGMMPRDETDSKTASPWKSARLMVHTVATFNSIKELNERWRSLQQLAEERSQLLGSAHEVQRFHRDADETKEWIEEKNQALNTDNYGHDLASVQALQRKHEGFERDLAALGDKVNSLGETAERLIQSHPESAEDLQEKCTELNQAWSSLGKRADQRKAKLGDSHDLQRFLSDFRDLMSWINGIRGLVSSDELAKDVTGAEALLERHQEHRTEIDARAGTFQAFEQFGQQLLAHGHYASPEIKQKLDILDQERADLEKAWVQRRMMLDQCLELQLFHRDCEQAENWMAAREAFLNTEDKGDSLDSVEALIKKHEDFDKAINVQEEKIAALQAFADQLIAAGHYAKGDISSRRNEVLDRWRRLKAQMIEKRSKLGESQTLQQFSRDVDEIEAWISEKLQTASDESYKDPTNIQSKHQKHQAFEAELHANADRIRGVIDMGNSLIERGACAGSEDAVKARLAALADQWQFLVQKSAEKSQKLKEANKQQNFNTGIKDFDFWLSEVEALLASEDYGKDLASVNNLLKKHQLLEADISAHEDRLKDLNSQADSLMTSSAFDTSQVKDKRDTINGRFQKIKSMAASRRAKLNESHRLHQFFRDMDDEESWIKEKKLLVGSEDYGRDLTGVQNLRKKHKRLEAELAAHEPAIQGVLDTGKKLSDDNTIGKEEIQQRLAQFVEHWKELKQLAAARGQRLEESLEYQQFVANVEEEEAWINEKMTLVASEDYGDTLAAIQGLLKKHEAFETDFTVHKDRVNDVCTNGQDLIKKNNHHEENISSKMKGLNGKVSDLEKAAAQRKAKLDENSAFLQFNWKADVVESWIGEKENSLKTDDYGRDLSSVQTLLTKQETFDAGLQAFQQEGIANITALKDQLLAAKHVQSKAIEARHASLMKRWSQLLANSAARKKKLLEAQSHFRKVEDLFLTFAKKASAFNSWFENAEEDLTDPVRCNSLEEIKALREAHDAFRSSLSSAQADFNQLAELDRQIKSFRVASNPYTWFTMEALEETWRNLQKIIKERELELQKEQRRQEENDKLRQEFAQHANAFHQWIQETRTYLLDGSCMVEESGTLESQLEATKRKHQEIRAMRSQLKKIEDLGAAMEEALILDNKYTEHSTVGLAQQWDQLDQLGMRMQHNLEQQIQARNTTGVTEEALKEFSMMFKHFDKDKSGRLNHQEFKSCLRSLGYDLPMVEEGEPDPEFEAILDTVDPNRDGHVSLQEYMAFMISRETENVKSSEEIESAFRALSSEGKPYVTKEELYQNLTREQADYCVSHMKPYVDGKGRELPTAFDYVEFTRSLFVN
+>DECOY_sp|Q13813|SPTN1_HUMAN Spectrin alpha chain, non-erythrocytic 1 OS=Homo sapiens OX=9606 GN=SPTAN1 PE=1 SV=3
+NVFLSRTFEVYDFATPLERGKGDVYPKMHSVCYDAQERTLNQYLEEKTVYPKGESSLARFASEIEESSKVNETERSIMFAMYEQLSVHGDRNPDVTDLIAEFEPDPEGEEVMPLDYGLSRLCSKFEQHNLRGSKDKDFHKFMMSFEKLAEETVGTTNRAQIQQELNHQMRMGLQDLQDWQQALGVTSHETYKNDLILAEEMAAGLDEIKKLQSRMARIEQHKRKTAELQSELTGSEEVMCSGDLLYTRTEQIWQHFANAHQAFEQRLKDNEEQRRQEKQLELEREKIIKQLNRWTEELAEMTFWTYPNSAVRFSKIQRDLEALQNFDAQASSLSSRFADHAERLAKIEELSNCRVPDTLDEEANEFWSNFASAKKAFTLFLDEVKRFHSQAELLKKKRAASNALLQSWRKMLSAHRAEIAKSQVHKAALLQDKLATINAIGEQQFAQLGADFTEQKTLLTQVSSLDRGYDDTKLSNEKEGIWSEVVDAKWNFQLFASNEDLKAKRQAAAKELDSVKGNLGKMKSSINEEHHNNKKILDQGNTCVDNVRDKHVTFDTEFAEHKKLLGQIAALTDGYDESAVLTMKENIWAEEEEVNAVFQQYELSEELRQGRAAALQKLEKWHEVFQALRQQIEEKGITNDDSLKKGTDLVGQIAPEHAALEAELRKHKKRLNQVGTLDRGYDESGVLLKKEKIWSEEDDMDRFFQHLRHSENLKARRSAAMSKIKQFRGNITDRKDKVQSTDFASSTMLSDAQSNLDKLRDEHASIDAELLQHKKLLNNVSALDKGYDESALLAEVESLWFDFDKIGTNFNQQKNAEKLKQSKEASKQVLFQWQDALAALRAKVADESGACAGREILSNGMDIVGRIRDANAHLEAEFAQHKQHKSQINTPDKYSEDSATQLKESIWAEIEDVDRSFQQLTQSEGLKSRKEIMQAKLRRWRDLVENRRSSIDGKAYHGAAILQDAFAQLAAIKEEQVNIAKDFDEHKKILAEVSDLSDGKDETNLFAERAAMWNEAQECDRHFLQLELCQDLMMRRQVWAKELDAREQDLIDLKQKIEPSAYHGHALLQQGFQEFAQFTGARADIETRHEQHRELLAEAGTVDKALEDSSVLGRIGNIWSMLDRFDSLFRQLDHSDGLKAKRQDARKGLSSWAQNLETCKEQLDEASEPHSQILREATEGLSNVKDGLAALDREFGEHKRQLAQVSALDHGYNDTNLAQNKEEIWEKTEDADRHFRQVEHASGLLQSREEALQQLSRWRENLEKISNFTAVTHVMLRASKWPSATKSDTEDRPMMGYVEQQQVAQVEEAMLGESELDEAVKNIDKLRSENAKLDKQFDDFKKQLVEVQELDAGVEESTLAAEKENIWQQLENAERFLMFKKCSKELMGKRKEGLELLSHYLEEVQKMRLSVSGAEKTIRTQNDIQEQRLAISGQEELLNERSASQAPDLKKVYAAPVFGQRDNVEVKWWDKNTSNLLTLIDGKKMTVERPSKEQYDYLALVLEKGTEDDTPAVQQRCSQAQERLAQISSGYASLDSMLAEHKKLLAEASDEDKGYDTSGVIPEKERMWSEAENADAFYQQAQLSDELDQRRQSAKAKLAEWKQNLEHLKAKVDEAAFHGEEVMANGKQTVAKIRPEHGAIEAQLAQHKKLLNQVGILDKGRNTSAAIPEKERIWTEEDEVDRFLQQLRLSDALKQKRAVMPEKLAEYRAVLAEQKKKINEADFHGADQFQRAQITIGDIRDQHAAVDAELLAHKKQLNQVNTLDKGYDDSALHGEVEYLWLEIDEVNRNFQQQQNAERLKIGKLETAELLKKWLSIVENMRAAVEDKAYHNVDILKQGAKELADIRSQNASLEAEFAQHKQVKGQLNSPDKYAEDTATKMKENVWSKLEDSDRFFQQLHFSDALQARRRMAREHLANRRSLLADRRTAVDEMAYHNNQILKTAFEDLATIKEEQASLSKEFDEHKKLLAEVSDLSDGLDENLLFAEQKSMWNDVQETDRYFLQLDMCQEYQQRRLEWLELLAAREESLVTLKERVEDSAYHGAALLAQGSEDASKFSDEHADIEGKHEQHRDLLAEAGAVDSALEDANILAKMETVWSTLDRFDALFRQLRYSDNLRAHREAALTRIQEWNTILEERKVQIQTASLPHSQQLRDAEACLAKVKDELAALDRELGEHKRLLAQVSALDRGFDDSAMLQEKEKIWSITEDVDRNFRQVEAAGFLKGQRQLALGKLRQWAANVEDQKTKILEEEPHQEQILKAAFQNVENVREEHAAMDTQFEEFKKQLVEVHELDQGLEESTVIAEKDNIWDMVDECERLYQVLKQAQLLKIGKERMKELLLEWQRHLEMLRTRITESAFHGESIMLNGTEDLKVIAGSNAQVEAEFAQHKQLKGQLNTPDKYNEDSAIQLKEQIWKELEEADRQFFQFRYSDELKQRRLTSLEKFRHYRDLVQQRREQIDEATELVKVGSPDM
+>sp|A0A0U1RRN3|SPTOS_HUMAN Putative transmembrane protein SPTY2D1OS OS=Homo sapiens OX=9606 GN=SPTY2D1OS PE=3 SV=1
+MIVLGWMFFVGLVCYMGTFPELMPPTLKWQERWPVQESKTQLRRRALGEDLLQNHVEGI
+>DECOY_sp|A0A0U1RRN3|SPTOS_HUMAN Putative transmembrane protein SPTY2D1OS OS=Homo sapiens OX=9606 GN=SPTY2D1OS PE=3 SV=1
+IGEVHNQLLDEGLARRRLQTKSEQVPWREQWKLTPPMLEPFTGMYCVLGVFFMWGLVIM
+>sp|Q969W0|SPTSA_HUMAN Serine palmitoyltransferase small subunit A OS=Homo sapiens OX=9606 GN=SPTSSA PE=1 SV=2
+MAGMALARAWKQMSWFYYQYLLVTALYMLEPWERTVFNSMLVSIVGMALYTGYVFMPQHIMAILHYFEIVQ
+>DECOY_sp|Q969W0|SPTSA_HUMAN Serine palmitoyltransferase small subunit A OS=Homo sapiens OX=9606 GN=SPTSSA PE=1 SV=2
+QVIEFYHLIAMIHQPMFVYGTYLAMGVISVLMSNFVTREWPELMYLATVLLYQYYFWSMQKWARALAMGAM
+>sp|Q5MJ09|SPXN3_HUMAN Sperm protein associated with the nucleus on the X chromosome N3 OS=Homo sapiens OX=9606 GN=SPANXN3 PE=2 SV=1
+MEQPTSSTNGEKTKSPCESNNKKNDEMQEVPNRVLAPEQSLKKTKTSEYPIIFVYYLRKGKKINSNQLENEQSQENSINPIQKEEDEGVDLSEGSSNEDEDLGPCEGPSKEDKDLDSSEGSSQEDEDLGLSEGSSQDSGED
+>DECOY_sp|Q5MJ09|SPXN3_HUMAN Sperm protein associated with the nucleus on the X chromosome N3 OS=Homo sapiens OX=9606 GN=SPANXN3 PE=2 SV=1
+DEGSDQSSGESLGLDEDEQSSGESSDLDKDEKSPGECPGLDEDENSSGESLDVGEDEEKQIPNISNEQSQENELQNSNIKKGKRLYYVFIIPYESTKTKKLSQEPALVRNPVEQMEDNKKNNSECPSKTKEGNTSSTPQEM
+>sp|Q9BT56|SPXN_HUMAN Spexin OS=Homo sapiens OX=9606 GN=SPX PE=1 SV=1
+MKGLRSLAATTLALFLVFVFLGNSSCAPQRLLERRNWTPQAMLYLKGAQGRRFISDQSRRKDLSDRPLPERRSPNPQLLTIPEAATILLASLQKSPEDEEKNFDQTRFLEDSLLNW
+>DECOY_sp|Q9BT56|SPXN_HUMAN Spexin OS=Homo sapiens OX=9606 GN=SPX PE=1 SV=1
+WNLLSDELFRTQDFNKEEDEPSKQLSALLITAAEPITLLQPNPSRREPLPRDSLDKRRSQDSIFRRGQAGKLYLMAQPTWNRRELLRQPACSSNGLFVFVLFLALTTAALSRLGKM
+>sp|O43609|SPY1_HUMAN Protein sprouty homolog 1 OS=Homo sapiens OX=9606 GN=SPRY1 PE=1 SV=2
+MDPQNQHGSGSSLVVIQQPSLDSRQRLDYEREIQPTAILSLDQIKAIRGSNEYTEGPSVVKRPAPRTAPRQEKHERTHEIIPINVNNNYEHRHTSHLGHAVLPSNARGPILSRSTSTGSAASSGSNSSASSEQGLLGRSPPTRPVPGHRSERAIRTQPKQLIVDDLKGSLKEDLTQHKFICEQCGKCKCGECTAPRTLPSCLACNRQCLCSAESMVEYGTCMCLVKGIFYHCSNDDEGDSYSDNPCSCSQSHCCSRYLCMGAMSLFLPCLLCYPPAKGCLKLCRRCYDWIHRPGCRCKNSNTVYCKLESCPSRGQGKPS
+>DECOY_sp|O43609|SPY1_HUMAN Protein sprouty homolog 1 OS=Homo sapiens OX=9606 GN=SPRY1 PE=1 SV=2
+SPKGQGRSPCSELKCYVTNSNKCRCGPRHIWDYCRRCLKLCGKAPPYCLLCPLFLSMAGMCLYRSCCHSQSCSCPNDSYSDGEDDNSCHYFIGKVLCMCTGYEVMSEASCLCQRNCALCSPLTRPATCEGCKCKGCQECIFKHQTLDEKLSGKLDDVILQKPQTRIARESRHGPVPRTPPSRGLLGQESSASSNSGSSAASGTSTSRSLIPGRANSPLVAHGLHSTHRHEYNNNVNIPIIEHTREHKEQRPATRPAPRKVVSPGETYENSGRIAKIQDLSLIATPQIEREYDLRQRSDLSPQQIVVLSSGSGHQNQPDM
+>sp|O43597|SPY2_HUMAN Protein sprouty homolog 2 OS=Homo sapiens OX=9606 GN=SPRY2 PE=1 SV=1
+MEARAQSGNGSQPLLQTPRDGGRQRGEPDPRDALTQQVHVLSLDQIRAIRNTNEYTEGPTVVPRPGLKPAPRPSTQHKHERLHGLPEHRQPPRLQHSQVHSSARAPLSRSISTVSSGSRSSTRTSTSSSSSEQRLLGSSFSSGPVADGIIRVQPKSELKPGELKPLSKEDLGLHAYRCEDCGKCKCKECTYPRPLPSDWICDKQCLCSAQNVIDYGTCVCCVKGLFYHCSNDDEDNCADNPCSCSQSHCCTRWSAMGVMSLFLPCLWCYLPAKGCLKLCQGCYDRVNRPGCRCKNSNTVCCKVPTVPPRNFEKPT
+>DECOY_sp|O43597|SPY2_HUMAN Protein sprouty homolog 2 OS=Homo sapiens OX=9606 GN=SPRY2 PE=1 SV=1
+TPKEFNRPPVTPVKCCVTNSNKCRCGPRNVRDYCGQCLKLCGKAPLYCWLCPLFLSMVGMASWRTCCHSQSCSCPNDACNDEDDNSCHYFLGKVCCVCTGYDIVNQASCLCQKDCIWDSPLPRPYTCEKCKCKGCDECRYAHLGLDEKSLPKLEGPKLESKPQVRIIGDAVPGSSFSSGLLRQESSSSSTSTRTSSRSGSSVTSISRSLPARASSHVQSHQLRPPQRHEPLGHLREHKHQTSPRPAPKLGPRPVVTPGETYENTNRIARIQDLSLVHVQQTLADRPDPEGRQRGGDRPTQLLPQSGNGSQARAEM
+>sp|Q13501|SQSTM_HUMAN Sequestosome-1 OS=Homo sapiens OX=9606 GN=SQSTM1 PE=1 SV=1
+MASLTVKAYLLGKEDAAREIRRFSFCCSPEPEAEAEAAAGPGPCERLLSRVAALFPALRPGGFQAHYRDEDGDLVAFSSDEELTMAMSYVKDDIFRIYIKEKKECRRDHRPPCAQEAPRNMVHPNVICDGCNGPVVGTRYKCSVCPDYDLCSVCEGKGLHRGHTKLAFPSPFGHLSEGFSHSRWLRKVKHGHFGWPGWEMGPPGNWSPRPPRAGEARPGPTAESASGPSEDPSVNFLKNVGESVAAALSPLGIEVDIDVEHGGKRSRLTPVSPESSSTEEKSSSQPSSCCSDPSKPGGNVEGATQSLAEQMRKIALESEGRPEEQMESDNCSGGDDDWTHLSSKEVDPSTGELQSLQMPESEGPSSLDPSQEGPTGLKEAALYPHLPPEADPRLIESLSQMLSMGFSDEGGWLTRLLQTKNYDIGAALDTIQYSKHPPPL
+>DECOY_sp|Q13501|SQSTM_HUMAN Sequestosome-1 OS=Homo sapiens OX=9606 GN=SQSTM1 PE=1 SV=1
+LPPPHKSYQITDLAAGIDYNKTQLLRTLWGGEDSFGMSLMQSLSEILRPDAEPPLHPYLAAEKLGTPGEQSPDLSSPGESEPMQLSQLEGTSPDVEKSSLHTWDDDGGSCNDSEMQEEPRGESELAIKRMQEALSQTAGEVNGGPKSPDSCCSSPQSSSKEETSSSEPSVPTLRSRKGGHEVDIDVEIGLPSLAAAVSEGVNKLFNVSPDESPGSASEATPGPRAEGARPPRPSWNGPPGMEWGPWGFHGHKVKRLWRSHSFGESLHGFPSPFALKTHGRHLGKGECVSCLDYDPCVSCKYRTGVVPGNCGDCIVNPHVMNRPAEQACPPRHDRRCEKKEKIYIRFIDDKVYSMAMTLEEDSSFAVLDGDEDRYHAQFGGPRLAPFLAAVRSLLRECPGPGAAAEAEAEPEPSCCFSFRRIERAADEKGLLYAKVTLSAM
+>sp|P36956|SRBP1_HUMAN Sterol regulatory element-binding protein 1 OS=Homo sapiens OX=9606 GN=SREBF1 PE=1 SV=2
+MDEPPFSEAALEQALGEPCDLDAALLTDIEDMLQLINNQDSDFPGLFDPPYAGSGAGGTDPASPDTSSPGSLSPPPATLSSSLEAFLSGPQAAPSPLSPPQPAPTPLKMYPSMPAFSPGPGIKEESVPLSILQTPTPQPLPGALLPQSFPAPAPPQFSSTPVLGYPSPPGGFSTGSPPGNTQQPLPGLPLASPPGVPPVSLHTQVQSVVPQQLLTVTAAPTAAPVTTTVTSQIQQVPVLLQPHFIKADSLLLTAMKTDGATVKAAGLSPLVSGTTVQTGPLPTLVSGGTILATVPLVVDAEKLPINRLAAGSKAPASAQSRGEKRTAHNAIEKRYRSSINDKIIELKDLVVGTEAKLNKSAVLRKAIDYIRFLQHSNQKLKQENLSLRTAVHKSKSLKDLVSACGSGGNTDVLMEGVKTEVEDTLTPPPSDAGSPFQSSPLSLGSRGSGSGGSGSDSEPDSPVFEDSKAKPEQRPSLHSRGMLDRSRLALCTLVFLCLSCNPLASLLGARGLPSPSDTTSVYHSPGRNVLGTESRDGPGWAQWLLPPVVWLLNGLLVLVSLVLLFVYGEPVTRPHSGPAVYFWRHRKQADLDLARGDFAQAAQQLWLALRALGRPLPTSHLDLACSLLWNLIRHLLQRLWVGRWLAGRAGGLQQDCALRVDASASARDAALVYHKLHQLHTMGKHTGGHLTATNLALSALNLAECAGDAVSVATLAEIYVAAALRVKTSLPRALHFLTRFFLSSARQACLAQSGSVPPAMQWLCHPVGHRFFVDGDWSVLSTPWESLYSLAGNPVDPLAQVTQLFREHLLERALNCVTQPNPSPGSADGDKEFSDALGYLQLLNSCSDAAGAPAYSFSISSSMATTTGVDPVAKWWASLTAVVIHWLRRDEEAAERLCPLVEHLPRVLQESERPLPRAALHSFKAARALLGCAKAESGPASLTICEKASGYLQDSLATTPASSSIDKAVQLFLCDLLLVVRTSLWRQQQPPAPAPAAQGTSSRPQASALELRGFQRDLSSLRRLAQSFRPAMRRVFLHEATARLMAGASPTRTHQLLDRSLRRRAGPGGKGGAVAELEPRPTRREHAEALLLASCYLPPGFLSAPGQRVGMLAEAARTLEKLGDRRLLHDCQQMLMRLGGGTTVTSS
+>DECOY_sp|P36956|SRBP1_HUMAN Sterol regulatory element-binding protein 1 OS=Homo sapiens OX=9606 GN=SREBF1 PE=1 SV=2
+SSTVTTGGGLRMLMQQCDHLLRRDGLKELTRAAEALMGVRQGPASLFGPPLYCSALLLAEAHERRTPRPELEAVAGGKGGPGARRRLSRDLLQHTRTPSAGAMLRATAEHLFVRRMAPRFSQALRRLSSLDRQFGRLELASAQPRSSTGQAAPAPAPPQQQRWLSTRVVLLLDCLFLQVAKDISSSAPTTALSDQLYGSAKECITLSAPGSEAKACGLLARAAKFSHLAARPLPRESEQLVRPLHEVLPCLREAAEEDRRLWHIVVATLSAWWKAVPDVGTTTAMSSSISFSYAPAGAADSCSNLLQLYGLADSFEKDGDASGPSPNPQTVCNLARELLHERFLQTVQALPDVPNGALSYLSEWPTSLVSWDGDVFFRHGVPHCLWQMAPPVSGSQALCAQRASSLFFRTLFHLARPLSTKVRLAAAVYIEALTAVSVADGACEALNLASLALNTATLHGGTHKGMTHLQHLKHYVLAADRASASADVRLACDQQLGGARGALWRGVWLRQLLHRILNWLLSCALDLHSTPLPRGLARLALWLQQAAQAFDGRALDLDAQKRHRWFYVAPGSHPRTVPEGYVFLLVLSVLVLLGNLLWVVPPLLWQAWGPGDRSETGLVNRGPSHYVSTTDSPSPLGRAGLLSALPNCSLCLFVLTCLALRSRDLMGRSHLSPRQEPKAKSDEFVPSDPESDSGSGGSGSGRSGLSLPSSQFPSGADSPPPTLTDEVETKVGEMLVDTNGGSGCASVLDKLSKSKHVATRLSLNEQKLKQNSHQLFRIYDIAKRLVASKNLKAETGVVLDKLEIIKDNISSRYRKEIANHATRKEGRSQASAPAKSGAALRNIPLKEADVVLPVTALITGGSVLTPLPGTQVTTGSVLPSLGAAKVTAGDTKMATLLLSDAKIFHPQLLVPVQQIQSTVTTTVPAATPAATVTLLQQPVVSQVQTHLSVPPVGPPSALPLGPLPQQTNGPPSGTSFGGPPSPYGLVPTSSFQPPAPAPFSQPLLAGPLPQPTPTQLISLPVSEEKIGPGPSFAPMSPYMKLPTPAPQPPSLPSPAAQPGSLFAELSSSLTAPPPSLSGPSSTDPSAPDTGGAGSGAYPPDFLGPFDSDQNNILQLMDEIDTLLAADLDCPEGLAQELAAESFPPEDM
+>sp|Q14247|SRC8_HUMAN Src substrate cortactin OS=Homo sapiens OX=9606 GN=CTTN PE=1 SV=2
+MWKASAGHAVSIAQDDAGADDWETDPDFVNDVSEKEQRWGAKTVQGSGHQEHINIHKLRENVFQEHQTLKEKELETGPKASHGYGGKFGVEQDRMDKSAVGHEYQSKLSKHCSQVDSVRGFGGKFGVQMDRVDQSAVGFEYQGKTEKHASQKDYSSGFGGKYGVQADRVDKSAVGFDYQGKTEKHESQRDYSKGFGGKYGIDKDKVDKSAVGFEYQGKTEKHESQKDYVKGFGGKFGVQTDRQDKCALGWDHQEKLQLHESQKDYKTGFGGKFGVQSERQDSAAVGFDYKEKLAKHESQQDYSKGFGGKYGVQKDRMDKNASTFEDVTQVSSAYQKTVPVEAVTSKTSNIRANFENLAKEKEQEDRRKAEAERAQRMAKERQEQEEARRKLEEQARAKTQTPPVSPAPQPTEERLPSSPVYEDAASFKAELSYRGPVSGTEPEPVYSMEAADYREASSQQGLAYATEAVYESAEAPGHYPAEDSTYDEYENDLGITAVALYDYQAAGDDEISFDPDDIITNIEMIDDGWWRGVCKGRYGLFPANYVELRQ
+>DECOY_sp|Q14247|SRC8_HUMAN Src substrate cortactin OS=Homo sapiens OX=9606 GN=CTTN PE=1 SV=2
+QRLEVYNAPFLGYRGKCVGRWWGDDIMEINTIIDDPDFSIEDDGAAQYDYLAVATIGLDNEYEDYTSDEAPYHGPAEASEYVAETAYALGQQSSAERYDAAEMSYVPEPETGSVPGRYSLEAKFSAADEYVPSSPLREETPQPAPSVPPTQTKARAQEELKRRAEEQEQREKAMRQAREAEAKRRDEQEKEKALNEFNARINSTKSTVAEVPVTKQYASSVQTVDEFTSANKDMRDKQVGYKGGFGKSYDQQSEHKALKEKYDFGVAASDQRESQVGFKGGFGTKYDKQSEHLQLKEQHDWGLACKDQRDTQVGFKGGFGKVYDKQSEHKETKGQYEFGVASKDVKDKDIGYKGGFGKSYDRQSEHKETKGQYDFGVASKDVRDAQVGYKGGFGSSYDKQSAHKETKGQYEFGVASQDVRDMQVGFKGGFGRVSDVQSCHKSLKSQYEHGVASKDMRDQEVGFKGGYGHSAKPGTELEKEKLTQHEQFVNERLKHINIHEQHGSGQVTKAGWRQEKESVDNVFDPDTEWDDAGADDQAISVAHGASAKWM
+>sp|Q96GP6|SREC2_HUMAN Scavenger receptor class F member 2 OS=Homo sapiens OX=9606 GN=SCARF2 PE=1 SV=4
+MEGAGPRGAGPARRRGAGGPPSPLLPSLLLLLLLWMLPDTVAPQELNPRGRNVCRAPGSQVPTCCAGWRQQGDECGIAVCEGNSTCSENEVCVRPGECRCRHGYFGANCDTKCPRQFWGPDCKELCSCHPHGQCEDVTGQCTCHARRWGARCEHACQCQHGTCHPRSGACRCEPGWWGAQCASACYCSATSRCDPQTGACLCHAGWWGRSCNNQCACNSSPCEQQSGRCQCRERTFGARCDRYCQCFRGRCHPVDGTCACEPGYRGKYCREPCPAGFYGLGCRRRCGQCKGQQPCTVAEGRCLTCEPGWNGTKCDQPCATGFYGEGCSHRCPPCRDGHACNHVTGKCTRCNAGWIGDRCETKCSNGTYGEDCAFVCADCGSGHCDFQSGRCLCSPGVHGPHCNVTCPPGLHGADCAQACSCHEDTCDPVTGACHLETNQRKGVMGAGALLVLLVCLLLSLLGCCCACRGKDPTRRPRPRRELSLGRKKAPHRLCGRFSRISMKLPRIPLRRQKLPKVVVAHHDLDNTLNCSFLEPPSGLEQPSPSWSSRASFSSFDTTDEGPVYCVPHEEAPAESRDPEVPTVPAEAPAPSPVPLTTPASAEEAIPLPASSDSERSASSVEGPGGALYARVARREARPARARGEIGGLSLSPSPERRKPPPPDPATKPKVSWIHGKHSAAAAGRAPSPPPPGSEAAPSPSKRKRTPSDKSAHTVEHGSPRTRDPTPRPPGLPEEATALAAPSPPRARARAAPRPLGAHGRRRSPAKRAEAASMLAADVRGKTRSLGRAEVALGAQGPREKPAPPQKAKRSVPPASPARAPPATETPGPEKAATDLPAPETPRKKTPIQKPPRKKSREAAGELGRAGAPTL
+>DECOY_sp|Q96GP6|SREC2_HUMAN Scavenger receptor class F member 2 OS=Homo sapiens OX=9606 GN=SCARF2 PE=1 SV=4
+LTPAGARGLEGAAERSKKRPPKQIPTKKRPTEPAPLDTAAKEPGPTETAPPARAPSAPPVSRKAKQPPAPKERPGQAGLAVEARGLSRTKGRVDAALMSAAEARKAPSRRRGHAGLPRPAARARARPPSPAALATAEEPLGPPRPTPDRTRPSGHEVTHASKDSPTRKRKSPSPAAESGPPPPSPARGAAAASHKGHIWSVKPKTAPDPPPPKRREPSPSLSLGGIEGRARAPRAERRAVRAYLAGGPGEVSSASRESDSSAPLPIAEEASAPTTLPVPSPAPAEAPVTPVEPDRSEAPAEEHPVCYVPGEDTTDFSSFSARSSWSPSPQELGSPPELFSCNLTNDLDHHAVVVKPLKQRRLPIRPLKMSIRSFRGCLRHPAKKRGLSLERRPRPRRTPDKGRCACCCGLLSLLLCVLLVLLAGAGMVGKRQNTELHCAGTVPDCTDEHCSCAQACDAGHLGPPCTVNCHPGHVGPSCLCRGSQFDCHGSGCDACVFACDEGYTGNSCKTECRDGIWGANCRTCKGTVHNCAHGDRCPPCRHSCGEGYFGTACPQDCKTGNWGPECTLCRGEAVTCPQQGKCQGCRRRCGLGYFGAPCPERCYKGRYGPECACTGDVPHCRGRFCQCYRDCRAGFTRERCQCRGSQQECPSSNCACQNNCSRGWWGAHCLCAGTQPDCRSTASCYCASACQAGWWGPECRCAGSRPHCTGHQCQCAHECRAGWRRAHCTCQGTVDECQGHPHCSCLEKCDPGWFQRPCKTDCNAGFYGHRCRCEGPRVCVENESCTSNGECVAIGCEDGQQRWGACCTPVQSGPARCVNRGRPNLEQPAVTDPLMWLLLLLLLSPLLPSPPGGAGRRRAPGAGRPGAGEM
+>sp|P0DMP2|SRG2B_HUMAN SLIT-ROBO Rho GTPase-activating protein 2B OS=Homo sapiens OX=9606 GN=SRGAP2B PE=3 SV=1
+MTSPAKFKKDKEIIAEYDTQVKEIRAQLTEQMKCLDQQCELRVQLLQDLQDFFRKKAEIEMDYSRNLEKLAERFLAKTCSTKDQQFKKDQNVLSPVNCWNLLLNQVKRESRDHTTLSDIYLNNIIPRFVQVSEDSGRLFKKSKEVGQQLQDDLMKVLNELYSVMKTYHMYNADSISAQSKLKEAEKQEEKQIGKSVKQEDRQTPRSPDSTANVRIEEKHVRRSSVKKIEKMKEKRQAKYTENKLKAIKARNEYLLALEATNASVFKYYIHDLSDLIDCCDLGYHASLNRALRTFLSAELNLEQSKHEGLDAIENAVENLDATSDKQRLMEMYNNVFCPPMKFEFQPHMGDMASQLCAQQPVQSELLQRCQQLQSRLSTLKIENEEVKKTMEATLQTIQDIVTVEDFDVSDCFQYSNSMESVKSTVSETFMSKPSIAKRRANQQETEQFYFTVRECYGF
+>DECOY_sp|P0DMP2|SRG2B_HUMAN SLIT-ROBO Rho GTPase-activating protein 2B OS=Homo sapiens OX=9606 GN=SRGAP2B PE=3 SV=1
+FGYCERVTFYFQETEQQNARRKAISPKSMFTESVTSKVSEMSNSYQFCDSVDFDEVTVIDQITQLTAEMTKKVEENEIKLTSLRSQLQQCRQLLESQVPQQACLQSAMDGMHPQFEFKMPPCFVNNYMEMLRQKDSTADLNEVANEIADLGEHKSQELNLEASLFTRLARNLSAHYGLDCCDILDSLDHIYYKFVSANTAELALLYENRAKIAKLKNETYKAQRKEKMKEIKKVSSRRVHKEEIRVNATSDPSRPTQRDEQKVSKGIQKEEQKEAEKLKSQASISDANYMHYTKMVSYLENLVKMLDDQLQQGVEKSKKFLRGSDESVQVFRPIINNLYIDSLTTHDRSERKVQNLLLNWCNVPSLVNQDKKFQQDKTSCTKALFREALKELNRSYDMEIEAKKRFFDQLDQLLQVRLECQQDLCKMQETLQARIEKVQTDYEAIIEKDKKFKAPSTM
+>sp|P49458|SRP09_HUMAN Signal recognition particle 9 kDa protein OS=Homo sapiens OX=9606 GN=SRP9 PE=1 SV=2
+MPQYQTWEEFSRAAEKLYLADPMKARVVLKYRHSDGNLCVKVTDDLVCLVYKTDQAQDVKKIEKFHSQLMRLMVAKEARNVTMETE
+>DECOY_sp|P49458|SRP09_HUMAN Signal recognition particle 9 kDa protein OS=Homo sapiens OX=9606 GN=SRP9 PE=1 SV=2
+ETEMTVNRAEKAVMLRMLQSHFKEIKKVDQAQDTKYVLCVLDDTVKVCLNGDSHRYKLVVRAKMPDALYLKEAARSFEEWTQYQPM
+>sp|Q9UHB9|SRP68_HUMAN Signal recognition particle subunit SRP68 OS=Homo sapiens OX=9606 GN=SRP68 PE=1 SV=2
+MAAEKQVPGGGGGGGSGGGGGSGGGGSGGGRGAGGEENKENERPSAGSKANKEFGDSLSLEILQIIKESQQQHGLRHGDFQRYRGYCSRRQRRLRKTLNFKMGNRHKFTGKKVTEELLTDNRYLLLVLMDAERAWSYAMQLKQEANTEPRKRFHLLSRLRKAVKHAEELERLCESNRVDAKTKLEAQAYTAYLSGMLRFEHQEWKAAIEAFNKCKTIYEKLASAFTEEQAVLYNQRVEEISPNIRYCAYNIGDQSAINELMQMRLRSGGTEGLLAEKLEALITQTRAKQAATMSEVEWRGRTVPVKIDKVRIFLLGLADNEAAIVQAESEETKERLFESMLSECRDAIQVVREELKPDQKQRDYILEGEPGKVSNLQYLHSYLTYIKLSTAIKRNENMAKGLQRALLQQQPEDDSKRSPRPQDLIRLYDIILQNLVELLQLPGLEEDKAFQKEIGLKTLVFKAYRCFFIAQSYVLVKKWSEALVLYDRVLKYANEVNSDAGAFKNSLKDLPDVQELITQVRSEKCSLQAAAILDANDAHQTETSSSQVKDNKPLVERFETFCLDPSLVTKQANLVHFPPGFQPIPCKPLFFDLALNHVAFPPLEDKLEQKTKSGLTGYIKGIFGFRS
+>DECOY_sp|Q9UHB9|SRP68_HUMAN Signal recognition particle subunit SRP68 OS=Homo sapiens OX=9606 GN=SRP68 PE=1 SV=2
+SRFGFIGKIYGTLGSKTKQELKDELPPFAVHNLALDFFLPKCPIPQFGPPFHVLNAQKTVLSPDLCFTEFREVLPKNDKVQSSSTETQHADNADLIAAAQLSCKESRVQTILEQVDPLDKLSNKFAGADSNVENAYKLVRDYLVLAESWKKVLVYSQAIFFCRYAKFVLTKLGIEKQFAKDEELGPLQLLEVLNQLIIDYLRILDQPRPSRKSDDEPQQQLLARQLGKAMNENRKIATSLKIYTLYSHLYQLNSVKGPEGELIYDRQKQDPKLEERVVQIADRCESLMSEFLREKTEESEAQVIAAENDALGLLFIRVKDIKVPVTRGRWEVESMTAAQKARTQTILAELKEALLGETGGSRLRMQMLENIASQDGINYACYRINPSIEEVRQNYLVAQEETFASALKEYITKCKNFAEIAAKWEQHEFRLMGSLYATYAQAELKTKADVRNSECLRELEEAHKVAKRLRSLLHFRKRPETNAEQKLQMAYSWAREADMLVLLLYRNDTLLEETVKKGTFKHRNGMKFNLTKRLRRQRRSCYGRYRQFDGHRLGHQQQSEKIIQLIELSLSDGFEKNAKSGASPRENEKNEEGGAGRGGGSGGGGSGGGGGSGGGGGGGPVQKEAAM
+>sp|Q9UPE1|SRPK3_HUMAN SRSF protein kinase 3 OS=Homo sapiens OX=9606 GN=SRPK3 PE=1 SV=2
+MSASTGGGGDSGGSGGSSSSSQASCGPESSGSELALATPVPQMLQGLLGSDDEEQEDPKDYCKGGYHPVKIGDVFNGRYHVVRKLGWGHFSTVWLCWDIQRKRFVALKVVKSAGHYTETAVDEIKLLKCVRDSDPSDPKRETIVQLIDDFRISGVNGVHVCMVLEVLGHQLLKWIIKSNYQGLPVPCVKSIVRQVLHGLDYLHTKCKIIHTDIKPENILLCVGDAYIRRLAAEATEWQQAGAPPPSRSIVSTAPQEVLQTGKLSKNKRKKMRRKRKQQKRLLEERLRDLQRLEAMEAATQAEDSGLRLDGGSGSTSSSGCHPGGARAGPSPASSSPAPGGGRSLSAGSQTSGFSGSLFSPASCSILSGSSNQRETGGLLSPSTPFGASNLLVNPLEPQNADKIKIKIADLGNACWVHKHFTEDIQTRQYRAVEVLIGAEYGPPADIWSTACMAFELATGDYLFEPHSGEDYSRDEDHIAHIVELLGDIPPAFALSGRYSREFFNRRGELRHIHNLKHWGLYEVLMEKYEWPLEQATQFSAFLLPMMEYIPEKRASAADCLQHPWLNP
+>DECOY_sp|Q9UPE1|SRPK3_HUMAN SRSF protein kinase 3 OS=Homo sapiens OX=9606 GN=SRPK3 PE=1 SV=2
+PNLWPHQLCDAASARKEPIYEMMPLLFASFQTAQELPWEYKEMLVEYLGWHKLNHIHRLEGRRNFFERSYRGSLAFAPPIDGLLEVIHAIHDEDRSYDEGSHPEFLYDGTALEFAMCATSWIDAPPGYEAGILVEVARYQRTQIDETFHKHVWCANGLDAIKIKIKDANQPELPNVLLNSAGFPTSPSLLGGTERQNSSGSLISCSAPSFLSGSFGSTQSGASLSRGGGPAPSSSAPSPGARAGGPHCGSSSTSGSGGDLRLGSDEAQTAAEMAELRQLDRLREELLRKQQKRKRRMKKRKNKSLKGTQLVEQPATSVISRSPPPAGAQQWETAEAALRRIYADGVCLLINEPKIDTHIIKCKTHLYDLGHLVQRVISKVCPVPLGQYNSKIIWKLLQHGLVELVMCVHVGNVGSIRFDDILQVITERKPDSPDSDRVCKLLKIEDVATETYHGASKVVKLAVFRKRQIDWCLWVTSFHGWGLKRVVHYRGNFVDGIKVPHYGGKCYDKPDEQEEDDSGLLGQLMQPVPTALALESGSSEPGCSAQSSSSSGGSGGSDGGGGTSASM
+>sp|Q9NUC0|SRTD4_HUMAN SERTA domain-containing protein 4 OS=Homo sapiens OX=9606 GN=SERTAD4 PE=2 SV=1
+MTLVLSMNRFCEPIVSEGAAEIAGYQTLWEADSYGGPSPPGPAQAPLQGDRGAGPPLAGSHYRGISNPITTSKITYFKRKYVEEEDFHPPLSSCSHKTISIFEERAHILYMSLEKLKFIDDPEVYLRRSVLINNLMKRIHGEIIMQNNWCFPACSFNGTSAQEWFMAQDCPYRKRPRMAKEECEKFHACCFYQECGGHYLNLPLSVNANVGSASTAASSPSASSSSSSSSSSPPLPLPSCSRQVDFDVGSASIYKSDGQIPANEIFVTNVRSLGVQEKAKLNDEKANDDTNRDGGPLSHEPVGNDLAFECKGQFYDYFETGYNERNNVNESWKKSLRKKEASPPSNKLCCSKGSKI
+>DECOY_sp|Q9NUC0|SRTD4_HUMAN SERTA domain-containing protein 4 OS=Homo sapiens OX=9606 GN=SERTAD4 PE=2 SV=1
+IKSGKSCCLKNSPPSAEKKRLSKKWSENVNNRENYGTEFYDYFQGKCEFALDNGVPEHSLPGGDRNTDDNAKEDNLKAKEQVGLSRVNTVFIENAPIQGDSKYISASGVDFDVQRSCSPLPLPPSSSSSSSSSSASPSSAATSASGVNANVSLPLNLYHGGCEQYFCCAHFKECEEKAMRPRKRYPCDQAMFWEQASTGNFSCAPFCWNNQMIIEGHIRKMLNNILVSRRLYVEPDDIFKLKELSMYLIHAREEFISITKHSCSSLPPHFDEEEVYKRKFYTIKSTTIPNSIGRYHSGALPPGAGRDGQLPAQAPGPPSPGGYSDAEWLTQYGAIEAAGESVIPECFRNMSLVLTM
+>sp|P51649|SSDH_HUMAN Succinate-semialdehyde dehydrogenase, mitochondrial OS=Homo sapiens OX=9606 GN=ALDH5A1 PE=1 SV=2
+MATCIWLRSCGARRLGSTFPGCRLRPRAGGLVPASGPAPGPAQLRCYAGRLAGLSAALLRTDSFVGGRWLPAAATFPVQDPASGAALGMVADCGVREARAAVRAAYEAFCRWREVSAKERSSLLRKWYNLMIQNKDDLARIITAESGKPLKEAHGEILYSAFFLEWFSEEARRVYGDIIHTPAKDRRALVLKQPIGVAAVITPWNFPSAMITRKVGAALAAGCTVVVKPAEDTPFSALALAELASQAGIPSGVYNVIPCSRKNAKEVGEAICTDPLVSKISFTGSTTTGKILLHHAANSVKRVSMELGGLAPFIVFDSANVDQAVAGAMASKFRNTGQTCVCSNQFLVQRGIHDAFVKAFAEAMKKNLRVGNGFEEGTTQGPLINEKAVEKVEKQVNDAVSKGATVVTGGKRHQLGKNFFEPTLLCNVTQDMLCTHEETFGPLAPVIKFDTEEEAIAIANAADVGLAGYFYSQDPAQIWRVAEQLEVGMVGVNEGLISSVECPFGGVKQSGLGREGSKYGIDEYLELKYVCYGGL
+>DECOY_sp|P51649|SSDH_HUMAN Succinate-semialdehyde dehydrogenase, mitochondrial OS=Homo sapiens OX=9606 GN=ALDH5A1 PE=1 SV=2
+LGGYCVYKLELYEDIGYKSGERGLGSQKVGGFPCEVSSILGENVGVMGVELQEAVRWIQAPDQSYFYGALGVDAANAIAIAEEETDFKIVPALPGFTEEHTCLMDQTVNCLLTPEFFNKGLQHRKGGTVVTAGKSVADNVQKEVKEVAKENILPGQTTGEEFGNGVRLNKKMAEAFAKVFADHIGRQVLFQNSCVCTQGTNRFKSAMAGAVAQDVNASDFVIFPALGGLEMSVRKVSNAAHHLLIKGTTTSGTFSIKSVLPDTCIAEGVEKANKRSCPIVNYVGSPIGAQSALEALALASFPTDEAPKVVVTCGAALAAGVKRTIMASPFNWPTIVAAVGIPQKLVLARRDKAPTHIIDGYVRRAEESFWELFFASYLIEGHAEKLPKGSEATIIRALDDKNQIMLNYWKRLLSSREKASVERWRCFAEYAARVAARAERVGCDAVMGLAAGSAPDQVPFTAAAPLWRGGVFSDTRLLAASLGALRGAYCRLQAPGPAPGSAPVLGGARPRLRCGPFTSGLRRAGCSRLWICTAM
+>sp|Q8TE77|SSH3_HUMAN Protein phosphatase Slingshot homolog 3 OS=Homo sapiens OX=9606 GN=SSH3 PE=1 SV=2
+MALVTVSRSPPGSGASTPVGPWDQAVQRRSRLQRRQSFAVLRGAVLGLQDGGDNDDAAEASSEPTEKAPSEEELHGDQTDFGQGSQSPQKQEEQRQHLHLMVQLLRPQDDIRLAAQLEAPRPPRLRYLLVVSTREGEGLSQDETVLLGVDFPDSSSPSCTLGLVLPLWSDTQVYLDGDGGFSVTSGGQSRIFKPISIQTMWATLQVLHQACEAALGSGLVPGGSALTWASHYQERLNSEQSCLNEWTAMADLESLRPPSAEPGGSSEQEQMEQAIRAELWKVLDVSDLESVTSKEIRQALELRLGLPLQQYRDFIDNQMLLLVAQRDRASRIFPHLYLGSEWNAANLEELQRNRVTHILNMAREIDNFYPERFTYHNVRLWDEESAQLLPHWKETHRFIEAARAQGTHVLVHCKMGVSRSAATVLAYAMKQYECSLEQALRHVQELRPIARPNPGFLRQLQIYQGILTASRQSHVWEQKVGGVSPEEHPAPEVSTPFPPLPPEPEGGGEEKVVGMEESQAAPKEEPGPRPRINLRGVMRSISLLEPSLELESTSETSDMPEVFSSHESSHEEPLQPFPQLARTKGGQQVDRGPQPALKSRQSVVTLQGSAVVANRTQAFQEQEQGQGQGQGEPCISSTPRFRKVVRQASVHDSGEEGEA
+>DECOY_sp|Q8TE77|SSH3_HUMAN Protein phosphatase Slingshot homolog 3 OS=Homo sapiens OX=9606 GN=SSH3 PE=1 SV=2
+AEGEEGSDHVSAQRVVKRFRPTSSICPEGQGQGQGQEQEQFAQTRNAVVASGQLTVVSQRSKLAPQPGRDVQQGGKTRALQPFPQLPEEHSSEHSSFVEPMDSTESTSELELSPELLSISRMVGRLNIRPRPGPEEKPAAQSEEMGVVKEEGGGEPEPPLPPFPTSVEPAPHEEPSVGGVKQEWVHSQRSATLIGQYIQLQRLFGPNPRAIPRLEQVHRLAQELSCEYQKMAYALVTAASRSVGMKCHVLVHTGQARAAEIFRHTEKWHPLLQASEEDWLRVNHYTFREPYFNDIERAMNLIHTVRNRQLEELNAANWESGLYLHPFIRSARDRQAVLLLMQNDIFDRYQQLPLGLRLELAQRIEKSTVSELDSVDLVKWLEARIAQEMQEQESSGGPEASPPRLSELDAMATWENLCSQESNLREQYHSAWTLASGGPVLGSGLAAECAQHLVQLTAWMTQISIPKFIRSQGGSTVSFGGDGDLYVQTDSWLPLVLGLTCSPSSSDPFDVGLLVTEDQSLGEGERTSVVLLYRLRPPRPAELQAALRIDDQPRLLQVMLHLHQRQEEQKQPSQSGQGFDTQDGHLEEESPAKETPESSAEAADDNDGGDQLGLVAGRLVAFSQRRQLRSRRQVAQDWPGVPTSAGSGPPSRSVTVLAM
+>sp|Q7RTT5|SSX7_HUMAN Protein SSX7 OS=Homo sapiens OX=9606 GN=SSX7 PE=2 SV=1
+MNGDDAFARRPRAGAQIPEKIQKSFDDIAKYFSKKEWEKMKSLEKISYVYMKRKYEAMTKLGFKATLPPFMHNTGATDLQGNDFDNDRNQGNQVERPQMTFCRLQRIFPKIMPKKPAEEGNDSKGVPEASGSQNDGKHLCPPGKPSTSEKINKTSGPKRGKHAWTHRLRERKQLVIYEEISDPEEDDE
+>DECOY_sp|Q7RTT5|SSX7_HUMAN Protein SSX7 OS=Homo sapiens OX=9606 GN=SSX7 PE=2 SV=1
+EDDEEPDSIEEYIVLQKRERLRHTWAHKGRKPGSTKNIKESTSPKGPPCLHKGDNQSGSAEPVGKSDNGEEAPKKPMIKPFIRQLRCFTMQPREVQNGQNRDNDFDNGQLDTAGTNHMFPPLTAKFGLKTMAEYKRKMYVYSIKELSKMKEWEKKSFYKAIDDFSKQIKEPIQAGARPRRAFADDGNM
+>sp|P50225|ST1A1_HUMAN Sulfotransferase 1A1 OS=Homo sapiens OX=9606 GN=SULT1A1 PE=1 SV=3
+MELIQDTSRPPLEYVKGVPLIKYFAEALGPLQSFQARPDDLLISTYPKSGTTWVSQILDMIYQGGDLEKCHRAPIFMRVPFLEFKAPGIPSGMETLKDTPAPRLLKTHLPLALLPQTLLDQKVKVVYVARNAKDVAVSYYHFYHMAKVHPEPGTWDSFLEKFMVGEVSYGSWYQHVQEWWELSRTHPVLYLFYEDMKENPKREIQKILEFVGRSLPEETVDFVVQHTSFKEMKKNPMTNYTTVPQEFMDHSISPFMRKGMAGDWKTTFTVAQNERFDADYAEKMAGCSLSFRSEL
+>DECOY_sp|P50225|ST1A1_HUMAN Sulfotransferase 1A1 OS=Homo sapiens OX=9606 GN=SULT1A1 PE=1 SV=3
+LESRFSLSCGAMKEAYDADFRENQAVTFTTKWDGAMGKRMFPSISHDMFEQPVTTYNTMPNKKMEKFSTHQVVFDVTEEPLSRGVFELIKQIERKPNEKMDEYFLYLVPHTRSLEWWEQVHQYWSGYSVEGVMFKELFSDWTGPEPHVKAMHYFHYYSVAVDKANRAVYVVKVKQDLLTQPLLALPLHTKLLRPAPTDKLTEMGSPIGPAKFELFPVRMFIPARHCKELDGGQYIMDLIQSVWTTGSKPYTSILLDDPRAQFSQLPGLAEAFYKILPVGKVYELPPRSTDQILEM
+>sp|Q8TDW4|ST7L_HUMAN Suppressor of tumorigenicity 7 protein-like OS=Homo sapiens OX=9606 GN=ST7L PE=2 SV=1
+MADRGGVGEAAAVGASPASVPGLNPTLGWRERLRAGLAGTGASLWFVAGLGLLYALRIPLRLCENLAAVTVFLNSLTPKFYVALTGTSSLISGLIFIFEWWYFHKHGTSFIEQVSVSHLQPLMGGTESSISEPGSPSRNRENETSRQNLSECKVWRNPLNLFRGAEYRRYTWVTGKEPLTYYDMNLSAQDHQTFFTCDTDFLRPSDTVMQKAWRERNPPARIKAAYQALELNNDCATAYVLLAEEEATTIVDAERLFKQALKAGETIYRQSQQCQHQSPQHEAQLRRDTNVLVYIKRRLAMCARKLGRIREAVKIMRDLMKEFPPLTMLNIHENLLESLLELQAYPDVQAVLAKYDDISLPKSAAICYTAALLKTRTVSEKFSPETASRRGLSTAEINAVEAIHRAVEFNPHVPKYLLEMKSLILPPEHILKRGDSEAIAYAFFHLQHWKRIEGALNLLQCTWEGTFRMIPYPLEKGHLFYPYPSCTETADRELLPTFHHVSVYPKKELPLFIHFTAGFCSSTAMIAILTHQFPEIMGIFAKAVLGLWCPQPWASSGFEENTQDLKSEDLGLSSG
+>DECOY_sp|Q8TDW4|ST7L_HUMAN Suppressor of tumorigenicity 7 protein-like OS=Homo sapiens OX=9606 GN=ST7L PE=2 SV=1
+GSSLGLDESKLDQTNEEFGSSAWPQPCWLGLVAKAFIGMIEPFQHTLIAIMATSSCFGATFHIFLPLEKKPYVSVHHFTPLLERDATETCSPYPYFLHGKELPYPIMRFTGEWTCQLLNLAGEIRKWHQLHFFAYAIAESDGRKLIHEPPLILSKMELLYKPVHPNFEVARHIAEVANIEATSLGRRSATEPSFKESVTRTKLLAATYCIAASKPLSIDDYKALVAQVDPYAQLELLSELLNEHINLMTLPPFEKMLDRMIKVAERIRGLKRACMALRRKIYVLVNTDRRLQAEHQPSQHQCQQSQRYITEGAKLAQKFLREADVITTAEEEALLVYATACDNNLELAQYAAKIRAPPNRERWAKQMVTDSPRLFDTDCTFFTQHDQASLNMDYYTLPEKGTVWTYRRYEAGRFLNLPNRWVKCESLNQRSTENERNRSPSGPESISSETGGMLPQLHSVSVQEIFSTGHKHFYWWEFIFILGSILSSTGTLAVYFKPTLSNLFVTVAALNECLRLPIRLAYLLGLGAVFWLSAGTGALGARLRERWGLTPNLGPVSAPSAGVAAAEGVGGRDAM
+>sp|Q9Y365|STA10_HUMAN START domain-containing protein 10 OS=Homo sapiens OX=9606 GN=STARD10 PE=1 SV=2
+MEKLAASTEPQGPRPVLGRESVQVPDDQDFRSFRSECEAEVGWNLTYSRAGVSVWVQAVEMDRTLHKIKCRMECCDVPAETLYDVLHDIEYRKKWDSNVIETFDIARLTVNADVGYYSWRCPKPLKNRDVITLRSWLPMGADYIIMNYSVKHPKYPPRKDLVRAVSIQTGYLIQSTGPKSCVITYLAQVDPKGSLPKWVVNKSSQFLAPKAMKKMYKACLKYPEWKQKHLPHFKPWLHPEQSPLPSLALSELSVQHADSLENIDESAVAESREERMGGAGGEGSDDDTSLT
+>DECOY_sp|Q9Y365|STA10_HUMAN START domain-containing protein 10 OS=Homo sapiens OX=9606 GN=STARD10 PE=1 SV=2
+TLSTDDDSGEGGAGGMREERSEAVASEDINELSDAHQVSLESLALSPLPSQEPHLWPKFHPLHKQKWEPYKLCAKYMKKMAKPALFQSSKNVVWKPLSGKPDVQALYTIVCSKPGTSQILYGTQISVARVLDKRPPYKPHKVSYNMIIYDAGMPLWSRLTIVDRNKLPKPCRWSYYGVDANVTLRAIDFTEIVNSDWKKRYEIDHLVDYLTEAPVDCCEMRCKIKHLTRDMEVAQVWVSVGARSYTLNWGVEAECESRFSRFDQDDPVQVSERGLVPRPGQPETSAALKEM
+>sp|Q9Y3M8|STA13_HUMAN StAR-related lipid transfer protein 13 OS=Homo sapiens OX=9606 GN=STARD13 PE=1 SV=2
+MFSQVPRTPASGCYYLNSMTPEGQEMYLRFDQTTRRSPYRMSRILARHQLVTKIQQEIEAKEACDWLRAAGFPQYAQLYEDSQFPINIVAVKNDHDFLEKDLVEPLCRRLNTLNKCASMKLDVNFQRKKGDDSDEEDLCISNKWTFQRTSRRWSRVDDLYTLLPRGDRNGSPGGTGMRNTTSSESVLTDLSEPEVCSIHSESSGGSDSRSQPGQCCTDNPVMLDAPLVSSSLPQPPRDVLNHPFHPKNEKPTRARAKSFLKRMETLRGKGAHGRHKGSGRTGGLVISGPMLQQEPESFKAMQCIQIPNGDLQNSPPPACRKGLPCSGKSSGESSPSEHSSSGVSTPCLKERKCHEANKRGGMYLEDLDVLAGTALPDAGDQSRMHEFHSQENLVVHIPKDHKPGTFPKALSIESLSPTDSSNGVNWRTGSISLGREQVPGAREPRLMASCHRASRVSIYDNVPGSHLYASTGDLLDLEKDDLFPHLDDILQHVNGLQEVVDDWSKDVLPELQTHDTLVGEPGLSTFPSPNQITLDFEGNSVSEGRTTPSDVERDVTSLNESEPPGVRDRRDSGVGASLTRPNRRLRWNSFQLSHQPRPAPASPHISSQTASQLSLLQRFSLLRLTAIMEKHSMSNKHGWTWSVPKFMKRMKVPDYKDKAVFGVPLIVHVQRTGQPLPQSIQQALRYLRSNCLDQVGLFRKSGVKSRIHALRQMNENFPENVNYEDQSAYDVADMVKQFFRDLPEPLFTNKLSETFLHIYQYVSKEQRLQAVQAAILLLADENREVLQTLLCFLNDVVNLVEENQMTPMNLAVCLAPSLFHLNLLKKESSPRVIQKKYATGKPDQKDLNENLAAAQGLAHMIMECDRLFEVPHELVAQSRNSYVEAEIHVPTLEELGTQLEESGATFHTYLNHLIQGLQKEAKEKFKGWVTCSSTDNTDLAFKKVGDGNPLKLWKASVEVEAPPSVVLNRVLRERHLWDEDFVQWKVVETLDRQTEIYQYVLNSMAPHPSRDFVVLRTWKTDLPKGMCTLVSLSVEHEEAQLLGGVRAVVMDSQYLIEPCGSGKSRLTHICRIDLKGHSPEWYSKGFGHLCAAEVARIRNSFQPLIAEGPETKI
+>DECOY_sp|Q9Y3M8|STA13_HUMAN StAR-related lipid transfer protein 13 OS=Homo sapiens OX=9606 GN=STARD13 PE=1 SV=2
+IKTEPGEAILPQFSNRIRAVEAACLHGFGKSYWEPSHGKLDIRCIHTLRSKGSGCPEILYQSDMVVARVGGLLQAEEHEVSLSVLTCMGKPLDTKWTRLVVFDRSPHPAMSNLVYQYIETQRDLTEVVKWQVFDEDWLHRERLVRNLVVSPPAEVEVSAKWLKLPNGDGVKKFALDTNDTSSCTVWGKFKEKAEKQLGQILHNLYTHFTAGSEELQTGLEELTPVHIEAEVYSNRSQAVLEHPVEFLRDCEMIMHALGQAAALNENLDKQDPKGTAYKKQIVRPSSEKKLLNLHFLSPALCVALNMPTMQNEEVLNVVDNLFCLLTQLVERNEDALLLIAAQVAQLRQEKSVYQYIHLFTESLKNTFLPEPLDRFFQKVMDAVDYASQDEYNVNEPFNENMQRLAHIRSKVGSKRFLGVQDLCNSRLYRLAQQISQPLPQGTRQVHVILPVGFVAKDKYDPVKMRKMFKPVSWTWGHKNSMSHKEMIATLRLLSFRQLLSLQSATQSSIHPSAPAPRPQHSLQFSNWRLRRNPRTLSAGVGSDRRDRVGPPESENLSTVDREVDSPTTRGESVSNGEFDLTIQNPSPFTSLGPEGVLTDHTQLEPLVDKSWDDVVEQLGNVHQLIDDLHPFLDDKELDLLDGTSAYLHSGPVNDYISVRSARHCSAMLRPERAGPVQERGLSISGTRWNVGNSSDTPSLSEISLAKPFTGPKHDKPIHVVLNEQSHFEHMRSQDGADPLATGALVDLDELYMGGRKNAEHCKREKLCPTSVGSSSHESPSSEGSSKGSCPLGKRCAPPPSNQLDGNPIQICQMAKFSEPEQQLMPGSIVLGGTRGSGKHRGHAGKGRLTEMRKLFSKARARTPKENKPHFPHNLVDRPPQPLSSSVLPADLMVPNDTCCQGPQSRSDSGGSSESHISCVEPESLDTLVSESSTTNRMGTGGPSGNRDGRPLLTYLDDVRSWRRSTRQFTWKNSICLDEEDSDDGKKRQFNVDLKMSACKNLTNLRRCLPEVLDKELFDHDNKVAVINIPFQSDEYLQAYQPFGAARLWDCAEKAEIEQQIKTVLQHRALIRSMRYPSRRTTQDFRLYMEQGEPTMSNLYYCGSAPTRPVQSFM
+>sp|Q99469|STAC_HUMAN SH3 and cysteine-rich domain-containing protein OS=Homo sapiens OX=9606 GN=STAC PE=1 SV=1
+MIPPSSPREDGVDGLPKEAVGAEQPPSPASTSSQESKLQKLKRSLSFKTKSLRSKSADNFFQRTNSEDMKLQAHMVAEISPSSSPLPAPGSLTSTPARAGLHPGGKAHAFQEYIFKKPTFCDVCNHMIVGTNAKHGLRCKACKMSIHHKCTDGLAPQRCMGKLPKGFRRYYSSPLLIHEQFGCIKEVMPIACGNKVDPVYETLRFGTSLAQRTKKGSSGSGSDSPHRTSTSDLVEVPEEANGPGGGYDLRKRSNSVFTYPENGTDDFRDPAKNINHQGSLSKDPLQMNTYVALYKFVPQENEDLEMRPGDIITLLEDSNEDWWKGKIQDRIGFFPANFVQRLQQNEKIFRCVRTFIGCKEQGQITLKENQICVSSEEEQDGFIRVLSGKKKGLIPLDVLENI
+>DECOY_sp|Q99469|STAC_HUMAN SH3 and cysteine-rich domain-containing protein OS=Homo sapiens OX=9606 GN=STAC PE=1 SV=1
+INELVDLPILGKKKGSLVRIFGDQEEESSVCIQNEKLTIQGQEKCGIFTRVCRFIKENQQLRQVFNAPFFGIRDQIKGKWWDENSDELLTIIDGPRMELDENEQPVFKYLAVYTNMQLPDKSLSGQHNINKAPDRFDDTGNEPYTFVSNSRKRLDYGGGPGNAEEPVEVLDSTSTRHPSDSGSGSSGKKTRQALSTGFRLTEYVPDVKNGCAIPMVEKICGFQEHILLPSSYYRRFGKPLKGMCRQPALGDTCKHHISMKCAKCRLGHKANTGVIMHNCVDCFTPKKFIYEQFAHAKGGPHLGARAPTSTLSGPAPLPSSSPSIEAVMHAQLKMDESNTRQFFNDASKSRLSKTKFSLSRKLKQLKSEQSSTSAPSPPQEAGVAEKPLGDVGDERPSSPPIM
+>sp|Q8WVM7|STAG1_HUMAN Cohesin subunit SA-1 OS=Homo sapiens OX=9606 GN=STAG1 PE=1 SV=3
+MITSELPVLQDSTNETTAHSDAGSELEETEVKGKRKRGRPGRPPSTNKKPRKSPGEKSRIEAGIRGAGRGRANGHPQQNGEGEPVTLFEVVKLGKSAMQSVVDDWIESYKQDRDIALLDLINFFIQCSGCRGTVRIEMFRNMQNAEIIRKMTEEFDEDSGDYPLTMPGPQWKKFRSNFCEFIGVLIRQCQYSIIYDEYMMDTVISLLTGLSDSQVRAFRHTSTLAAMKLMTALVNVALNLSIHQDNTQRQYEAERNKMIGKRANERLELLLQKRKELQENQDEIENMMNSIFKGIFVHRYRDAIAEIRAICIEEIGVWMKMYSDAFLNDSYLKYVGWTLHDRQGEVRLKCLKALQSLYTNRELFPKLELFTNRFKDRIVSMTLDKEYDVAVEAIRLVTLILHGSEEALSNEDCENVYHLVYSAHRPVAVAAGEFLHKKLFSRHDPQAEEALAKRRGRNSPNGNLIRMLVLFFLESELHEHAAYLVDSLWESSQELLKDWECMTELLLEEPVQGEEAMSDRQESALIELMVCTIRQAAEAHPPVGRGTGKRVLTAKERKTQIDDRNKLTEHFIITLPMLLSKYSADAEKVANLLQIPQYFDLEIYSTGRMEKHLDALLKQIKFVVEKHVESDVLEACSKTYSILCSEEYTIQNRVDIARSQLIDEFVDRFNHSVEDLLQEGEEADDDDIYNVLSTLKRLTSFHNAHDLTKWDLFGNCYRLLKTGIEHGAMPEQIVVQALQCSHYSILWQLVKITDGSPSKEDLLVLRKTVKSFLAVCQQCLSNVNTPVKEQAFMLLCDLLMIFSHQLMTGGREGLQPLVFNPDTGLQSELLSFVMDHVFIDQDEENQSMEGDEEDEANKIEALHKRRNLLAAFSKLIIYDIVDMHAAADIFKHYMKYYNDYGDIIKETLSKTRQIDKIQCAKTLILSLQQLFNELVQEQGPNLDRTSAHVSGIKELARRFALTFGLDQIKTREAVATLHKDGIEFAFKYQNQKGQEYPPPNLAFLEVLSEFSSKLLRQDKKTVHSYLEKFLTEQMMERREDVWLPLISYRNSLVTGGEDDRMSVNSGSSSSKTSSVRNKKGRPPLHKKRVEDESLDNTWLNRTDTMIQTPGPLPAPQLTSTVLRENSRPMGDQIQEPESEHGSEPDFLHNPQMQISWLGQPKLEDLNRKDRTGMNYMKVRTGVRHAVRGLMEEDAEPIFEDVMMSSRSQLEDMNEEFEDTMVIDLPPSRNRRERAELRPDFFDSAAIIEDDSGFGMPMF
+>DECOY_sp|Q8WVM7|STAG1_HUMAN Cohesin subunit SA-1 OS=Homo sapiens OX=9606 GN=STAG1 PE=1 SV=3
+FMPMGFGSDDEIIAASDFFDPRLEARERRNRSPPLDIVMTDEFEENMDELQSRSSMMVDEFIPEADEEMLGRVAHRVGTRVKMYNMGTRDKRNLDELKPQGLWSIQMQPNHLFDPESGHESEPEQIQDGMPRSNERLVTSTLQPAPLPGPTQIMTDTRNLWTNDLSEDEVRKKHLPPRGKKNRVSSTKSSSSGSNVSMRDDEGGTVLSNRYSILPLWVDERREMMQETLFKELYSHVTKKDQRLLKSSFESLVELFALNPPPYEQGKQNQYKFAFEIGDKHLTAVAERTKIQDLGFTLAFRRALEKIGSVHASTRDLNPGQEQVLENFLQQLSLILTKACQIKDIQRTKSLTEKIIDGYDNYYKMYHKFIDAAAHMDVIDYIILKSFAALLNRRKHLAEIKNAEDEEDGEMSQNEEDQDIFVHDMVFSLLESQLGTDPNFVLPQLGERGGTMLQHSFIMLLDCLLMFAQEKVPTNVNSLCQQCVALFSKVTKRLVLLDEKSPSGDTIKVLQWLISYHSCQLAQVVIQEPMAGHEIGTKLLRYCNGFLDWKTLDHANHFSTLRKLTSLVNYIDDDDAEEGEQLLDEVSHNFRDVFEDILQSRAIDVRNQITYEESCLISYTKSCAELVDSEVHKEVVFKIQKLLADLHKEMRGTSYIELDFYQPIQLLNAVKEADASYKSLLMPLTIIFHETLKNRDDIQTKREKATLVRKGTGRGVPPHAEAAQRITCVMLEILASEQRDSMAEEGQVPEELLLETMCEWDKLLEQSSEWLSDVLYAAHEHLESELFFLVLMRILNGNPSNRGRRKALAEEAQPDHRSFLKKHLFEGAAVAVPRHASYVLHYVNECDENSLAEESGHLILTVLRIAEVAVDYEKDLTMSVIRDKFRNTFLELKPFLERNTYLSQLAKLCKLRVEGQRDHLTWGVYKLYSDNLFADSYMKMWVGIEEICIARIEAIADRYRHVFIGKFISNMMNEIEDQNEQLEKRKQLLLELRENARKGIMKNREAEYQRQTNDQHISLNLAVNVLATMLKMAALTSTHRFARVQSDSLGTLLSIVTDMMYEDYIISYQCQRILVGIFECFNSRFKKWQPGPMTLPYDGSDEDFEETMKRIIEANQMNRFMEIRVTGRCGSCQIFFNILDLLAIDRDQKYSEIWDDVVSQMASKGLKVVEFLTVPEGEGNQQPHGNARGRGAGRIGAEIRSKEGPSKRPKKNTSPPRGPRGRKRKGKVETEELESGADSHATTENTSDQLVPLESTIM
+>sp|Q8N3U4|STAG2_HUMAN Cohesin subunit SA-2 OS=Homo sapiens OX=9606 GN=STAG2 PE=1 SV=3
+MIAAPEIPTDFNLLQESETHFSSDTDFEDIEGKNQKQGKGKTCKKGKKGPAEKGKGGNGGGKPPSGPNRMNGHHQQNGVENMMLFEVVKMGKSAMQSVVDDWIESYKHDRDIALLDLINFFIQCSGCKGVVTAEMFRHMQNSEIIRKMTEEFDEDSGDYPLTMAGPQWKKFKSSFCEFIGVLVRQCQYSIIYDEYMMDTVISLLTGLSDSQVRAFRHTSTLAAMKLMTALVNVALNLSINMDNTQRQYEAERNKMIGKRANERLELLLQKRKELQENQDEIENMMNAIFKGVFVHRYRDAIAEIRAICIEEIGIWMKMYSDAFLNDSYLKYVGWTMHDKQGEVRLKCLTALQGLYYNKELNSKLELFTSRFKDRIVSMTLDKEYDVAVQAIKLLTLVLQSSEEVLTAEDCENVYHLVYSAHRPVAVAAGEFLYKKLFSRRDPEEDGMMKRRGRQGPNANLVKTLVFFFLESELHEHAAYLVDSMWDCATELLKDWECMNSLLLEEPLSGEEALTDRQESALIEIMLCTIRQAAECHPPVGRGTGKRVLTAKEKKTQLDDRTKITELFAVALPQLLAKYSVDAEKVTNLLQLPQYFDLEIYTTGRLEKHLDALLRQIRNIVEKHTDTDVLEACSKTYHALCNEEFTIFNRVDISRSQLIDELADKFNRLLEDFLQEGEEPDEDDAYQVLSTLKRITAFHNAHDLSKWDLFACNYKLLKTGIENGDMPEQIVIHALQCTHYVILWQLAKITESSSTKEDLLRLKKQMRVFCQICQHYLTNVNTTVKEQAFTILCDILMIFSHQIMSGGRDMLEPLVYTPDSSLQSELLSFILDHVFIEQDDDNNSADGQQEDEASKIEALHKRRNLLAAFCKLIVYTVVEMNTAADIFKQYMKYYNDYGDIIKETMSKTRQIDKIQCAKTLILSLQQLFNEMIQENGYNFDRSSSTFSGIKELARRFALTFGLDQLKTREAIAMLHKDGIEFAFKEPNPQGESHPPLNLAFLDILSEFSSKLLRQDKRTVYVYLEKFMTFQMSLRREDVWLPLMSYRNSLLAGGDDDTMSVISGISSRGSTVRSKKSKPSTGKRKVVEGMQLSLTEESSSSDSMWLSREQTLHTPVMMQTPQLTSTIMREPKRLRPEDSFMSVYPMQTEHHQTPLDYNRRGTSLMEDDEEPIVEDVMMSSEGRIEDLNEGMDFDTMDIDLPPSKNRRERTELKPDFFDPASIMDESVLGVSMF
+>DECOY_sp|Q8N3U4|STAG2_HUMAN Cohesin subunit SA-2 OS=Homo sapiens OX=9606 GN=STAG2 PE=1 SV=3
+FMSVGLVSEDMISAPDFFDPKLETRERRNKSPPLDIDMTDFDMGENLDEIRGESSMMVDEVIPEEDDEMLSTGRRNYDLPTQHHETQMPYVSMFSDEPRLRKPERMITSTLQPTQMMVPTHLTQERSLWMSDSSSSEETLSLQMGEVVKRKGTSPKSKKSRVTSGRSSIGSIVSMTDDDGGALLSNRYSMLPLWVDERRLSMQFTMFKELYVYVTRKDQRLLKSSFESLIDLFALNLPPHSEGQPNPEKFAFEIGDKHLMAIAERTKLQDLGFTLAFRRALEKIGSFTSSSRDFNYGNEQIMENFLQQLSLILTKACQIKDIQRTKSMTEKIIDGYDNYYKMYQKFIDAATNMEVVTYVILKCFAALLNRRKHLAEIKSAEDEQQGDASNNDDDQEIFVHDLIFSLLESQLSSDPTYVLPELMDRGGSMIQHSFIMLIDCLITFAQEKVTTNVNTLYHQCIQCFVRMQKKLRLLDEKTSSSETIKALQWLIVYHTCQLAHIVIQEPMDGNEIGTKLLKYNCAFLDWKSLDHANHFATIRKLTSLVQYADDEDPEEGEQLFDELLRNFKDALEDILQSRSIDVRNFITFEENCLAHYTKSCAELVDTDTHKEVINRIQRLLADLHKELRGTTYIELDFYQPLQLLNTVKEADVSYKALLQPLAVAFLETIKTRDDLQTKKEKATLVRKGTGRGVPPHCEAAQRITCLMIEILASEQRDTLAEEGSLPEELLLSNMCEWDKLLETACDWMSDVLYAAHEHLESELFFFVLTKVLNANPGQRGRRKMMGDEEPDRRSFLKKYLFEGAAVAVPRHASYVLHYVNECDEATLVEESSQLVLTLLKIAQVAVDYEKDLTMSVIRDKFRSTFLELKSNLEKNYYLGQLATLCKLRVEGQKDHMTWGVYKLYSDNLFADSYMKMWIGIEEICIARIEAIADRYRHVFVGKFIANMMNEIEDQNEQLEKRKQLLLELRENARKGIMKNREAEYQRQTNDMNISLNLAVNVLATMLKMAALTSTHRFARVQSDSLGTLLSIVTDMMYEDYIISYQCQRVLVGIFECFSSKFKKWQPGAMTLPYDGSDEDFEETMKRIIESNQMHRFMEATVVGKCGSCQIFFNILDLLAIDRDHKYSEIWDDVVSQMASKGMKVVEFLMMNEVGNQQHHGNMRNPGSPPKGGGNGGKGKEAPGKKGKKCTKGKGQKQNKGEIDEFDTDSSFHTESEQLLNFDTPIEPAAIM
+>sp|Q92783|STAM1_HUMAN Signal transducing adapter molecule 1 OS=Homo sapiens OX=9606 GN=STAM PE=1 SV=3
+MPLFATNPFDQDVEKATSEMNTAEDWGLILDICDKVGQSRTGPKDCLRSIMRRVNHKDPHVAMQALTLLGACVSNCGKIFHLEVCSRDFASEVSNVLNKGHPKVCEKLKALMVEWTDEFKNDPQLSLISAMIKNLKEQGVTFPAIGSQAAEQAKASPALVAKDPGTVANKKEEEDLAKAIELSLKEQRQQSTTLSTLYPSTSSLLTNHQHEGRKVRAIYDFEAAEDNELTFKAGEIITVLDDSDPNWWKGETHQGIGLFPSNFVTADLTAEPEMIKTEKKTVQFSDDVQVETIEPEPEPAFIDEDKMDQLLQMLQSTDPSDDQPDLPELLHLEAMCHQMGPLIDEKLEDIDRKHSELSELNVKVMEALSLYTKLMNEDPMYSMYAKLQNQPYYMQSSGVSGSQVYAGPPPSGAYLVAGNAQMSHLQSYSLPPEQLSSLSQAVVPPSANPALPSQQTQAAYPNTMVSSVQGNTYPSQAPVYSPPPAATAAAATADVTLYQNAGPNMPQVPNYNLTSSTLPQPGGSQQPPQPQQPYSQKALL
+>DECOY_sp|Q92783|STAM1_HUMAN Signal transducing adapter molecule 1 OS=Homo sapiens OX=9606 GN=STAM PE=1 SV=3
+LLAKQSYPQQPQPPQQSGGPQPLTSSTLNYNPVQPMNPGANQYLTVDATAAAATAAPPPSYVPAQSPYTNGQVSSVMTNPYAAQTQQSPLAPNASPPVVAQSLSSLQEPPLSYSQLHSMQANGAVLYAGSPPPGAYVQSGSVGSSQMYYPQNQLKAYMSYMPDENMLKTYLSLAEMVKVNLESLESHKRDIDELKEDILPGMQHCMAELHLLEPLDPQDDSPDTSQLMQLLQDMKDEDIFAPEPEPEITEVQVDDSFQVTKKETKIMEPEATLDATVFNSPFLGIGQHTEGKWWNPDSDDLVTIIEGAKFTLENDEAAEFDYIARVKRGEHQHNTLLSSTSPYLTSLTTSQQRQEKLSLEIAKALDEEEKKNAVTGPDKAVLAPSAKAQEAAQSGIAPFTVGQEKLNKIMASILSLQPDNKFEDTWEVMLAKLKECVKPHGKNLVNSVESAFDRSCVELHFIKGCNSVCAGLLTLAQMAVHPDKHNVRRMISRLCDKPGTRSQGVKDCIDLILGWDEATNMESTAKEVDQDFPNTAFLPM
+>sp|Q9P2P6|STAR9_HUMAN StAR-related lipid transfer protein 9 OS=Homo sapiens OX=9606 GN=STARD9 PE=1 SV=3
+MANVQVAVRVRPLSKRETKEGGRIIVEVDGKVAKIRNLKVDNRPDGFGDSREKVMAFGFDYCYWSVNPEDPQYASQDVVFQDLGMEVLSGVAKGYNICLFAYGQTGSGKTYTMLGTPASVGLTPRICEGLFVREKDCASLPSSCRIKVSFLEIYNERVRDLLKQSGQKKSYTLRVREHPEMGPYVQGLSQHVVTNYKQVIQLLEEGIANRITAATHVHEASSRSHAIFTIHYTQAILENNLPSEMASKINLVDLAGSERADPSYCKDRIAEGANINKSLVTLGIVISTLAQNSQVFSSCQSLNSSVSNGGDSGILSSPSGTSSGGAPSRRQSYIPYRDSVLTWLLKDSLGGNSKTIMVATVSPAHTSYSETMSTLRYASSAKNIINKPRVNEDANLKLIRELREEIERLKALLLSFELRNFSSLSDENLKELVLQNELKIDQLTKDWTQKWNDWQALMEHYSVDINRRRAGVVIDSSLPHLMALEDDVLSTGVVLYHLKEGTTKIGRIDSDQEQDIVLQGQWIERDHCTITSACGVVVLRPARGARCTVNGREVTASCRLTQGAVITLGKAQKFRFNHPAEAAVLRQRRQVGEAAAGRGSLEWLDLDGDLAASRLGLSPLLWKERRALEEQCDEDHQTPRDGETSHRAQIQQQQSYVEDLRHQILAEEIRAAKELEFDQAWISQQIKENQQCLLREETWLASLQQQQQEDQVAEKELEASVALDAWLQTDPEIQPSPFVQSQKRVVHLQLLRRHTLRAAERNVRRKKVSFQLERIIKKQRLLEAQKRLEKLTTLCWLQDDSTQEPPYQVLSPDATVPRPPCRSKLTSCSSLSPQRLCSKHMPQLHSIFLSWDPSTTLPPRPDPTHQTSEKTSSEEHLPQAASYPARTGCLRKNGLHSSGHGQPCTARAALARKGASAPDACLTMSPNSVGIQEMEMGVKQPHQMVSQGLASLRKSANKLKPRHEPKIFTSTTQTRGAKGLADPSHTQAGWRKEGNLGTHKAAKGASCNSLYPHGPRQTAGHGKAVKTFWTEYKPPSPSRASKRHQRVLATRVRNITKKSSHLPLGSPLKRQQNTRDPDTMVPLTDFSPVMDHSREKDNDLSDTDSNYSLDSLSCVYAKALIEPLKPEERKWDFPEPENSESDDSQLSEDSLAEKRYQSPKNRLGGNRPTNNRGQPRTRTRASVRGFTAASDSDLLAQTHRSFSLDSLIDAEEELGEDQQEEPFPGSADEIPTETFWHLEDSSLPVMDQEAICRLGPINYRTAARLDAVLPMSSSFYLDPQFQPHCELQPHCELQPHCELQPHCEQAESQVEPSYSEQADSLQGMQLSRESPLMSMDSWFSCDSKINPSSPPGIVGSLCPSPDMQEFHSCKGERPGYWPNTEELKPSDAETVLPYSSKLHQGSTELLCSARDEHTASAADTSRLSLWGIQRLIQPGADGTFQGRCIPDMTQQGSSEASHNSSVSNVLAASATTLTHVGSTHERDWSALQQKYLLELSCPVLEAIGAPKPAYPYLEEDSGSLAQASSKGGDTLLPVGPRVSSNLNLNNFPVHLSRIRRLRAEKEQDSLNAKLEGVSDFFSTSEKEASYDETYSADLESLSASRSTNAQVFATENAIPDSMTEACEVKQNNLEECLQSCRKPGLMTSSDEDFFQKNACHSNVTTATKADHWSQGWAPLRKNSAVQPGQLSPDSHYPLEEEKTDCQESSKEAVRRHINVSFALPSGPELYLHSAPWNPLSSSLQPPLLETFYVTKSRDALTETALEIPACREVRVPSPPPREAWGFGHNHQALQGAYLKNNLPVLLQNQNSKIASSQQVTAEIPVDLNTREVIRESGKCPGNITEESHDSVYSSVTQNRHFLPSTSTKVCEFENQVVILNKKHSFPALEGGEVTAQSCCGASSDSTESGKSLLFRESEAREEEELDQNTVLRQTINVSLEKDMPGESAVSLKSRSVDRRVSSPVMVAQGGGPTPKWEGKNETGLLEKGLRPKDSSEEFKLPGTKPAYERFQLVACPQERNPSECKSQEMLNPNREPSGKKQNKRVNNTDEMARLIRSVMQLENGILEIESKQNKQVHASHTPGTDKELVFQDQKEQEKTDHAFRPDSSGNPLPSKDQPSSPRQTDDTVFRDSEAGAMEVNSIGNHPQVQKITPNPFRSREGVRESEPVREHTHPAGSDRPARDICDSLGKHTTCREFTNTSLHPQRMKALARALPLQPRLERSSKNNGQFVKASASLKGQPWGLGSLEELETVKGFQESQVAEHVSSSNQEEPKAQGKVEEMPMQRGGSLQEENKVTQKFPSLSQLCRDTFFRQETVSPLLSRTEFCTAPLHQDLSNTLPLNSPRWPRRCLHVPVALGISSLDCVLDLTMLKIHNSPLVTGVEHQDQSTETRSHSPEGNVRGRSSEAHTAWCGSVRSMAMGSHSQSGVPESIPLGTEDRISASTSPQDHGKDLRITLLGFSTSEDFASEAEVAVQKEIRVSSLNKVSSQPEKRVSFSLEEDSDQASKPRQKAEKETEDVGLTSGVSLAPVSLPRVPSPEPRLLEPSDHASMCLAILEEIRQAKAQRKQLHDFVARGTVLSYCETLLEPECSSRVAGRPQCKQIDQSSSDQTRNEGEAPGFHVASLSAEAGQIDLLPDERKVQATSLSADSFESLPNTETDREPWDPVQAFSHAAPAQDRKRRTGELRQFAGASEPFICHSSSSEIIEKKKDATRTPSSADPLAPDSPRSSAPVEEVRRVVSKKVVAALPSQAPYDDPRVTLHELSQSVPQETAEGIPPGSQDSSPEHQEPRTLDTTYGEVSDNLLVTAQGEKTAHFESQSVTCDVQNSTSASGPKQDHVQCPEASTGFEEGRASPKQDTILPGALTRVALEAPTQQCVQCKESVGSGLTEVCRAGSKHSRPIPLPDQRPSANPGGIGEEAPCRHPREALDGPVFSRNPEGSRTLSPSRGKESRTLPCRQPCSSQPVATHAYSSHSSTLLCFRDGDLGKEPFKAAPHTIHPPCVVPSRAYEMDETGEISRGPDVHLTHGLEPKDVNREFRLTESSTCEPSTVAAVLSRAQGCRSPSAPDVRTGSFSHSATDGSVGLIGVPEKKVAEKQASTELEAASFPAGMYSEPLRQFRDSSVGDQNAQVCQTNPEPPATTQGPHTLDLSEGSAESKLVVEPQHECLENTTRCFLEKPQFSTELRDHNRLDSQAKFVARLKHTCSPQEDSPWQEEEQHRDQASGGGEGFAQGVNPLPDEDGLDGCQILDAGREEVAVAKPPVSKILSQGFKDPATVSLRQNETPQPAAQRSGHLYTGREQPAPNHRGSLPVTTIFSGPKHSRSSPTPQFSVVGSSRSLQELNLSVEPPSPTDEDTQGPNRLWNPHLRGYSSGKSVARTSLQAEDSNQKASSRLDDGTTDHRHLKPATPPYPMPSTLSHMPTPDFTTSWMSGTLEQAQQGKREKLGVQVRPENWCSQMDKGMLHFGSSDISPYALPWRPEEPARISWKQYMSGSAVDVSCSQKPQGLTLSNVARCSSMDNGLEDQNSPFHSHLSTYANICDLSTTHSSTENAQGSNEAWEVFRGSSSIALGDPHIPTSPEGVAPTSGHDRRPQFRGPSGEADCLRSKPPLAKGSAAGPVDEIMLLYPSEAGCPVGQTRTNTFEQGTQTLGSRRHWSSTDISFAQPEASAVSAFDLASWTSMHNLSLHLSQLLHSTSELLGSLSQPDVARREQNTKRDIPDKAPQALMMDGSTQTTVDEGSQTDLTLPTLCLQTSEAEPQGANVILEGLGSDTSTVSQEEGDVPGVPQKREAEETAQKMAQLLYLQEESTPYKPQSPSIPSSHLRFQKAPVGQHLPSVSPSVSDAFLPPSSQPEESYCLVVSSPSPSSPHSPGLFPSTSEYPGDSRVQKKLGPTSALFVDRASSPILTLSASTQEPGLSPGSLTLSAPSTHPVEGHQKLDSSPDPVDAPRTPMDNYSQTTDELGGSQRGRSSLQRSNGRSFLELHSPHSPQQSPKLQFSFLGQHPQQLQPRTTIGVQSRLLPPPLRHRSQRLGNSFVPEKVASPEHCPLSGREPSQWQSRTENGGESSASPGEPQRTLDRPSSWGGLQHLSPCPVSELTDTAGLRGSALGLPQACQPEELLCFSCQMCMAPEHQHHSLRDLPVHNKFSNWCGVQKGSPGGLDMTEEELGASGDLSSEKQEQSPPQPPNDHSQDSEWSKREQIPLQVGAQNLSLSVELTEAKLHHGFGEADALLQVLQSGTGEALAADEPVTSTWKELYARQKKAIETLRRERAERLGNFCRTRSLSPQKQLSLLPNKDLFIWDLDLPSRRREYLQQLRKDVVETTRSPESVSRSAHTPSDIELMLQDYQQAHEEAKVEIARARDQLRERTEQEKLRIHQKIISQLLKEEDKLHTLANSSSLCTSSNGSLSSGMTSGYNSSPALSGQLQFPENMGHTNLPDSRDVWIGDERGGHSAVRKNSAYSHRASLGSCCCSPSSLSSLGTCFSSSYQDLAKHVVDTSMADVMAACSDNLHNLFSCQATAGWNYQGEEQAVQLYYKVFSPTRHGFLGAGVVSQPLSRVWAAVSDPTVWPLYYKPIQTARLHQRVTNSISLVYLVCNTTLCALKQPRDFCCVCVEAKEGHLSVMAAQSVYDTSMPRPSRKMVRGEILPSAWILQPITVEGKEVTRVIYLAQVELGAPGFPPQLLSSFIKRQPLVIARLASFLGR
+>DECOY_sp|Q9P2P6|STAR9_HUMAN StAR-related lipid transfer protein 9 OS=Homo sapiens OX=9606 GN=STARD9 PE=1 SV=3
+RGLFSALRAIVLPQRKIFSSLLQPPFGPAGLEVQALYIVRTVEKGEVTIPQLIWASPLIEGRVMKRSPRPMSTDYVSQAAMVSLHGEKAEVCVCCFDRPQKLACLTTNCVLYVLSISNTVRQHLRATQIPKYYLPWVTPDSVAAWVRSLPQSVVGAGLFGHRTPSFVKYYLQVAQEEGQYNWGATAQCSFLNHLNDSCAAMVDAMSTDVVHKALDQYSSSFCTGLSSLSSPSCCCSGLSARHSYASNKRVASHGGREDGIWVDRSDPLNTHGMNEPFQLQGSLAPSSNYGSTMGSSLSGNSSTCLSSSNALTHLKDEEKLLQSIIKQHIRLKEQETRERLQDRARAIEVKAEEHAQQYDQLMLEIDSPTHASRSVSEPSRTTEVVDKRLQQLYERRRSPLDLDWIFLDKNPLLSLQKQPSLSRTRCFNGLREARERRLTEIAKKQRAYLEKWTSTVPEDAALAEGTGSQLVQLLADAEGFGHHLKAETLEVSLSLNQAGVQLPIQERKSWESDQSHDNPPQPPSQEQKESSLDGSAGLEEETMDLGGPSGKQVGCWNSFKNHVPLDRLSHHQHEPAMCMQCSFCLLEEPQCAQPLGLASGRLGATDTLESVPCPSLHQLGGWSSPRDLTRQPEGPSASSEGGNETRSQWQSPERGSLPCHEPSAVKEPVFSNGLRQSRHRLPPPLLRSQVGITTRPQLQQPHQGLFSFQLKPSQQPSHPSHLELFSRGNSRQLSSRGRQSGGLEDTTQSYNDMPTRPADVPDPSSDLKQHGEVPHTSPASLTLSGPSLGPEQTSASLTLIPSSARDVFLASTPGLKKQVRSDGPYESTSPFLGPSHPSSPSPSSVVLCYSEEPQSSPPLFADSVSPSVSPLHQGVPAKQFRLHSSPISPSQPKYPTSEEQLYLLQAMKQATEEAERKQPVGPVDGEEQSVTSTDSGLGELIVNAGQPEAESTQLCLTPLTLDTQSGEDVTTQTSGDMMLAQPAKDPIDRKTNQERRAVDPQSLSGLLESTSHLLQSLHLSLNHMSTWSALDFASVASAEPQAFSIDTSSWHRRSGLTQTGQEFTNTRTQGVPCGAESPYLLMIEDVPGAASGKALPPKSRLCDAEGSPGRFQPRRDHGSTPAVGEPSTPIHPDGLAISSSGRFVEWAENSGQANETSSHTTSLDCINAYTSLHSHFPSNQDELGNDMSSCRAVNSLTLGQPKQSCSVDVASGSMYQKWSIRAPEEPRWPLAYPSIDSSGFHLMGKDMQSCWNEPRVQVGLKERKGQQAQELTGSMWSTTFDPTPMHSLTSPMPYPPTAPKLHRHDTTGDDLRSSAKQNSDEAQLSTRAVSKGSSYGRLHPNWLRNPGQTDEDTPSPPEVSLNLEQLSRSSGVVSFQPTPSSRSHKPGSFITTVPLSGRHNPAPQERGTYLHGSRQAAPQPTENQRLSVTAPDKFGQSLIKSVPPKAVAVEERGADLIQCGDLGDEDPLPNVGQAFGEGGGSAQDRHQEEEQWPSDEQPSCTHKLRAVFKAQSDLRNHDRLETSFQPKELFCRTTNELCEHQPEVVLKSEASGESLDLTHPGQTTAPPEPNTQCVQANQDGVSSDRFQRLPESYMGAPFSAAELETSAQKEAVKKEPVGILGVSGDTASHSFSGTRVDPASPSRCGQARSLVAAVTSPECTSSETLRFERNVDKPELGHTLHVDPGRSIEGTEDMEYARSPVVCPPHITHPAAKFPEKGLDGDRFCLLTSSHSSYAHTAVPQSSCPQRCPLTRSEKGRSPSLTRSGEPNRSFVPGDLAERPHRCPAEEGIGGPNASPRQDPLPIPRSHKSGARCVETLGSGVSEKCQVCQQTPAELAVRTLAGPLITDQKPSARGEEFGTSAEPCQVHDQKPGSASTSNQVDCTVSQSEFHATKEGQATVLLNDSVEGYTTDLTRPEQHEPSSDQSGPPIGEATEQPVSQSLEHLTVRPDDYPAQSPLAAVVKKSVVRRVEEVPASSRPSDPALPDASSPTRTADKKKEIIESSSSHCIFPESAGAFQRLEGTRRKRDQAPAAHSFAQVPDWPERDTETNPLSEFSDASLSTAQVKREDPLLDIQGAEASLSAVHFGPAEGENRTQDSSSQDIQKCQPRGAVRSSCEPELLTECYSLVTGRAVFDHLQKRQAKAQRIEELIALCMSAHDSPELLRPEPSPVRPLSVPALSVGSTLGVDETEKEAKQRPKSAQDSDEELSFSVRKEPQSSVKNLSSVRIEKQVAVEAESAFDESTSFGLLTIRLDKGHDQPSTSASIRDETGLPISEPVGSQSHSGMAMSRVSGCWATHAESSRGRVNGEPSHSRTETSQDQHEVGTVLPSNHIKLMTLDLVCDLSSIGLAVPVHLCRRPWRPSNLPLTNSLDQHLPATCFETRSLLPSVTEQRFFTDRCLQSLSPFKQTVKNEEQLSGGRQMPMEEVKGQAKPEEQNSSSVHEAVQSEQFGKVTELEELSGLGWPQGKLSASAKVFQGNNKSSRELRPQLPLARALAKMRQPHLSTNTFERCTTHKGLSDCIDRAPRDSGAPHTHERVPESERVGERSRFPNPTIKQVQPHNGISNVEMAGAESDRFVTDDTQRPSSPQDKSPLPNGSSDPRFAHDTKEQEKQDQFVLEKDTGPTHSAHVQKNQKSEIELIGNELQMVSRILRAMEDTNNVRKNQKKGSPERNPNLMEQSKCESPNREQPCAVLQFREYAPKTGPLKFEESSDKPRLGKELLGTENKGEWKPTPGGGQAVMVPSSVRRDVSRSKLSVASEGPMDKELSVNITQRLVTNQDLEEEERAESERFLLSKGSETSDSSAGCCSQATVEGGELAPFSHKKNLIVVQNEFECVKTSTSPLFHRNQTVSSYVSDHSEETINGPCKGSERIVERTNLDVPIEATVQQSSAIKSNQNQLLVPLNNKLYAGQLAQHNHGFGWAERPPPSPVRVERCAPIELATETLADRSKTVYFTELLPPQLSSSLPNWPASHLYLEPGSPLAFSVNIHRRVAEKSSEQCDTKEEELPYHSDPSLQGPQVASNKRLPAWGQSWHDAKTATTVNSHCANKQFFDEDSSTMLGPKRCSQLCEELNNQKVECAETMSDPIANETAFVQANTSRSASLSELDASYTEDYSAEKESTSFFDSVGELKANLSDQEKEARLRRIRSLHVPFNNLNLNSSVRPGVPLLTDGGKSSAQALSGSDEELYPYAPKPAGIAELVPCSLELLYKQQLASWDREHTSGVHTLTTASAALVNSVSSNHSAESSGQQTMDPICRGQFTGDAGPQILRQIGWLSLRSTDAASATHEDRASCLLETSGQHLKSSYPLVTEADSPKLEETNPWYGPREGKCSHFEQMDPSPCLSGVIGPPSSPNIKSDCSFWSDMSMLPSERSLQMGQLSDAQESYSPEVQSEAQECHPQLECHPQLECHPQLECHPQFQPDLYFSSSMPLVADLRAATRYNIPGLRCIAEQDMVPLSSDELHWFTETPIEDASGPFPEEQQDEGLEEEADILSDLSFSRHTQALLDSDSAATFGRVSARTRTRPQGRNNTPRNGGLRNKPSQYRKEALSDESLQSDDSESNEPEPFDWKREEPKLPEILAKAYVCSLSDLSYNSDTDSLDNDKERSHDMVPSFDTLPVMTDPDRTNQQRKLPSGLPLHSSKKTINRVRTALVRQHRKSARSPSPPKYETWFTKVAKGHGATQRPGHPYLSNCSAGKAAKHTGLNGEKRWGAQTHSPDALGKAGRTQTTSTFIKPEHRPKLKNASKRLSALGQSVMQHPQKVGMEMEQIGVSNPSMTLCADPASAGKRALAARATCPQGHGSSHLGNKRLCGTRAPYSAAQPLHEESSTKESTQHTPDPRPPLTTSPDWSLFISHLQPMHKSCLRQPSLSSCSTLKSRCPPRPVTADPSLVQYPPEQTSDDQLWCLTTLKELRKQAELLRQKKIIRELQFSVKKRRVNREAARLTHRRLLQLHVVRKQSQVFPSPQIEPDTQLWADLAVSAELEKEAVQDEQQQQQLSALWTEERLLCQQNEKIQQSIWAQDFELEKAARIEEALIQHRLDEVYSQQQQIQARHSTEGDRPTQHDEDCQEELARREKWLLPSLGLRSAALDGDLDLWELSGRGAAAEGVQRRQRLVAAEAPHNFRFKQAKGLTIVAGQTLRCSATVERGNVTCRAGRAPRLVVVGCASTITCHDREIWQGQLVIDQEQDSDIRGIKTTGEKLHYLVVGTSLVDDELAMLHPLSSDIVVGARRRNIDVSYHEMLAQWDNWKQTWDKTLQDIKLENQLVLEKLNEDSLSSFNRLEFSLLLAKLREIEERLERILKLNADENVRPKNIINKASSAYRLTSMTESYSTHAPSVTAVMITKSNGGLSDKLLWTLVSDRYPIYSQRRSPAGGSSTGSPSSLIGSDGGNSVSSNLSQCSSFVQSNQALTSIVIGLTVLSKNINAGEAIRDKCYSPDARESGALDVLNIKSAMESPLNNELIAQTYHITFIAHSRSSAEHVHTAATIRNAIGEELLQIVQKYNTVVHQSLGQVYPGMEPHERVRLTYSKKQGSQKLLDRVRENYIELFSVKIRCSSPLSACDKERVFLGECIRPTLGVSAPTGLMTYTKGSGTQGYAFLCINYGKAVGSLVEMGLDQFVVDQSAYQPDEPNVSWYCYDFGFAMVKERSDGFGDPRNDVKLNRIKAVKGDVEVIIRGGEKTERKSLPRVRVAVQVNAM
+>sp|P40763|STAT3_HUMAN Signal transducer and activator of transcription 3 OS=Homo sapiens OX=9606 GN=STAT3 PE=1 SV=2
+MAQWNQLQQLDTRYLEQLHQLYSDSFPMELRQFLAPWIESQDWAYAASKESHATLVFHNLLGEIDQQYSRFLQESNVLYQHNLRRIKQFLQSRYLEKPMEIARIVARCLWEESRLLQTAATAAQQGGQANHPTAAVVTEKQQMLEQHLQDVRKRVQDLEQKMKVVENLQDDFDFNYKTLKSQGDMQDLNGNNQSVTRQKMQQLEQMLTALDQMRRSIVSELAGLLSAMEYVQKTLTDEELADWKRRQQIACIGGPPNICLDRLENWITSLAESQLQTRQQIKKLEELQQKVSYKGDPIVQHRPMLEERIVELFRNLMKSAFVVERQPCMPMHPDRPLVIKTGVQFTTKVRLLVKFPELNYQLKIKVCIDKDSGDVAALRGSRKFNILGTNTKVMNMEESNNGSLSAEFKHLTLREQRCGNGGRANCDASLIVTEELHLITFETEVYHQGLKIDLETHSLPVVVISNICQMPNAWASILWYNMLTNNPKNVNFFTKPPIGTWDQVAEVLSWQFSSTTKRGLSIEQLTTLAEKLLGPGVNYSGCQITWAKFCKENMAGKGFSFWVWLDNIIDLVKKYILALWNEGYIMGFISKERERAILSTKPPGTFLLRFSESSKEGGVTFTWVEKDISGKTQIQSVEPYTKQQLNNMSFAEIIMGYKIMDATNILVSPLVYLYPDIPKEEAFGKYCRPESQEHPEADPGSAAPYLKTKFICVTPTTCSNTIDLPMSPRTLDSLMQFGNNGEGAEPSAGGQFESLTFDMELTSECATSPM
+>DECOY_sp|P40763|STAT3_HUMAN Signal transducer and activator of transcription 3 OS=Homo sapiens OX=9606 GN=STAT3 PE=1 SV=2
+MPSTACESTLEMDFTLSEFQGGASPEAGEGNNGFQMLSDLTRPSMPLDITNSCTTPTVCIFKTKLYPAASGPDAEPHEQSEPRCYKGFAEEKPIDPYLYVLPSVLINTADMIKYGMIIEAFSMNNLQQKTYPEVSQIQTKGSIDKEVWTFTVGGEKSSESFRLLFTGPPKTSLIAREREKSIFGMIYGENWLALIYKKVLDIINDLWVWFSFGKGAMNEKCFKAWTIQCGSYNVGPGLLKEALTTLQEISLGRKTTSSFQWSLVEAVQDWTGIPPKTFFNVNKPNNTLMNYWLISAWANPMQCINSIVVVPLSHTELDIKLGQHYVETEFTILHLEETVILSADCNARGGNGCRQERLTLHKFEASLSGNNSEEMNMVKTNTGLINFKRSGRLAAVDGSDKDICVKIKLQYNLEPFKVLLRVKTTFQVGTKIVLPRDPHMPMCPQREVVFASKMLNRFLEVIREELMPRHQVIPDGKYSVKQQLEELKKIQQRTQLQSEALSTIWNELRDLCINPPGGICAIQQRRKWDALEEDTLTKQVYEMASLLGALESVISRRMQDLATLMQELQQMKQRTVSQNNGNLDQMDGQSKLTKYNFDFDDQLNEVVKMKQELDQVRKRVDQLHQELMQQKETVVAATPHNAQGGQQAATAATQLLRSEEWLCRAVIRAIEMPKELYRSQLFQKIRRLNHQYLVNSEQLFRSYQQDIEGLLNHFVLTAHSEKSAAYAWDQSEIWPALFQRLEMPFSDSYLQHLQELYRTDLQQLQNWQAM
+>sp|Q9NUL3|STAU2_HUMAN Double-stranded RNA-binding protein Staufen homolog 2 OS=Homo sapiens OX=9606 GN=STAU2 PE=1 SV=2
+MANPKEKTAMCLVNELARFNRVQPQYKLLNERGPAHSKMFSVQLSLGEQTWESEGSSIKKAQQAVANKALTESTLPKPVQKPPKSNVNNNPGSITPTVELNGLAMKRGEPAIYRPLDPKPFPNYRANYNFRGMYNQRYHCPVPKIFYVQLTVGNNEFFGEGKTRQAARHNAAMKALQALQNEPIPERSPQNGESGKDVDDDKDANKSEISLVFEIALKRNMPVSFEVIKESGPPHMKSFVTRVSVGEFSAEGEGNSKKLSKKRAATTVLQELKKLPPLPVVEKPKLFFKKRPKTIVKAGPEYGQGMNPISRLAQIQQAKKEKEPDYVLLSERGMPRRREFVMQVKVGNEVATGTGPNKKIAKKNAAEAMLLQLGYKASTNLQDQLEKTGENKGWSGPKPGFPEPTNNTPKGILHLSPDVYQEMEASRHKVISGTTLGYLSPKDMNQPSSSFFSISPTSNSSATIARELLMNGTSSTAEAIGLKGSSPTPPCSPVQPSKQLEYLARIQGFQAALSALKQFSEQGLDPIDGAMNIEKGSLEKQAKHLREKADNNQAPPGSIAQDCKKSNSAV
+>DECOY_sp|Q9NUL3|STAU2_HUMAN Double-stranded RNA-binding protein Staufen homolog 2 OS=Homo sapiens OX=9606 GN=STAU2 PE=1 SV=2
+VASNSKKCDQAISGPPAQNNDAKERLHKAQKELSGKEINMAGDIPDLGQESFQKLASLAAQFGQIRALYELQKSPQVPSCPPTPSSGKLGIAEATSSTGNMLLERAITASSNSTPSISFFSSSPQNMDKPSLYGLTTGSIVKHRSAEMEQYVDPSLHLIGKPTNNTPEPFGPKPGSWGKNEGTKELQDQLNTSAKYGLQLLMAEAANKKAIKKNPGTGTAVENGVKVQMVFERRRPMGRESLLVYDPEKEKKAQQIQALRSIPNMGQGYEPGAKVITKPRKKFFLKPKEVVPLPPLKKLEQLVTTAARKKSLKKSNGEGEASFEGVSVRTVFSKMHPPGSEKIVEFSVPMNRKLAIEFVLSIESKNADKDDDVDKGSEGNQPSREPIPENQLAQLAKMAANHRAAQRTKGEGFFENNGVTLQVYFIKPVPCHYRQNYMGRFNYNARYNPFPKPDLPRYIAPEGRKMALGNLEVTPTISGPNNNVNSKPPKQVPKPLTSETLAKNAVAQQAKKISSGESEWTQEGLSLQVSFMKSHAPGRENLLKYQPQVRNFRALENVLCMATKEKPNAM
+>sp|Q8NFT2|STEA2_HUMAN Metalloreductase STEAP2 OS=Homo sapiens OX=9606 GN=STEAP2 PE=1 SV=3
+MESISMMGSPKSLSETFLPNGINGIKDARKVTVGVIGSGDFAKSLTIRLIRCGYHVVIGSRNPKFASEFFPHVVDVTHHEDALTKTNIIFVAIHREHYTSLWDLRHLLVGKILIDVSNNMRINQYPESNAEYLASLFPDSLIVKGFNVVSAWALQLGPKDASRQVYICSNNIQARQQVIELARQLNFIPIDLGSLSSAREIENLPLRLFTLWRGPVVVAISLATFFFLYSFVRDVIHPYARNQQSDFYKIPIEIVNKTLPIVAITLLSLVYLAGLLAAAYQLYYGTKYRRFPPWLETWLQCRKQLGLLSFFFAMVHVAYSLCLPMRRSERYLFLNMAYQQVHANIENSWNEEEVWRIEMYISFGIMSLGLLSLLAVTSIPSVSNALNWREFSFIQSTLGYVALLISTFHVLIYGWKRAFEEEYYRFYTPPNFVLALVLPSIVILGKIILFLPCISRKLKRIKKGWEKSQFLEEGMGGTIPHVSPERVTVM
+>DECOY_sp|Q8NFT2|STEA2_HUMAN Metalloreductase STEAP2 OS=Homo sapiens OX=9606 GN=STEAP2 PE=1 SV=3
+MVTVREPSVHPITGGMGEELFQSKEWGKKIRKLKRSICPLFLIIKGLIVISPLVLALVFNPPTYFRYYEEEFARKWGYILVHFTSILLAVYGLTSQIFSFERWNLANSVSPISTVALLSLLGLSMIGFSIYMEIRWVEEENWSNEINAHVQQYAMNLFLYRESRRMPLCLSYAVHVMAFFFSLLGLQKRCQLWTELWPPFRRYKTGYYLQYAAALLGALYVLSLLTIAVIPLTKNVIEIPIKYFDSQQNRAYPHIVDRVFSYLFFFTALSIAVVVPGRWLTFLRLPLNEIERASSLSGLDIPIFNLQRALEIVQQRAQINNSCIYVQRSADKPGLQLAWASVVNFGKVILSDPFLSALYEANSEPYQNIRMNNSVDILIKGVLLHRLDWLSTYHERHIAVFIINTKTLADEHHTVDVVHPFFESAFKPNRSGIVVHYGCRILRITLSKAFDGSGIVGVTVKRADKIGNIGNPLFTESLSKPSGMMSISEM
+>sp|Q9Y6E0|STK24_HUMAN Serine/threonine-protein kinase 24 OS=Homo sapiens OX=9606 GN=STK24 PE=1 SV=1
+MDSRAQLWGLALNKRRATLPHPGGSTNLKADPEELFTKLEKIGKGSFGEVFKGIDNRTQKVVAIKIIDLEEAEDEIEDIQQEITVLSQCDSPYVTKYYGSYLKDTKLWIIMEYLGGGSALDLLEPGPLDETQIATILREILKGLDYLHSEKKIHRDIKAANVLLSEHGEVKLADFGVAGQLTDTQIKRNTFVGTPFWMAPEVIKQSAYDSKADIWSLGITAIELARGEPPHSELHPMKVLFLIPKNNPPTLEGNYSKPLKEFVEACLNKEPSFRPTAKELLKHKFILRNAKKTSYLTELIDRYKRWKAEQSHDDSSSEDSDAETDGQASGGSDSGDWIFTIREKDPKNLENGALQPSDLDRNKMKDIPKRPFSQCLSTIISPLFAELKEKSQACGGNLGSIEELRGAIYLAEEACPGISDTMVAQLVQRLQRYSLSGGGTSSH
+>DECOY_sp|Q9Y6E0|STK24_HUMAN Serine/threonine-protein kinase 24 OS=Homo sapiens OX=9606 GN=STK24 PE=1 SV=1
+HSSTGGGSLSYRQLRQVLQAVMTDSIGPCAEEALYIAGRLEEISGLNGGCAQSKEKLEAFLPSIITSLCQSFPRKPIDKMKNRDLDSPQLAGNELNKPDKERITFIWDGSDSGGSAQGDTEADSDESSSDDHSQEAKWRKYRDILETLYSTKKANRLIFKHKLLEKATPRFSPEKNLCAEVFEKLPKSYNGELTPPNNKPILFLVKMPHLESHPPEGRALEIATIGLSWIDAKSDYASQKIVEPAMWFPTGVFTNRKIQTDTLQGAVGFDALKVEGHESLLVNAAKIDRHIKKESHLYDLGKLIERLITAIQTEDLPGPELLDLASGGGLYEMIIWLKTDKLYSGYYKTVYPSDCQSLVTIEQQIDEIEDEAEELDIIKIAVVKQTRNDIGKFVEGFSGKGIKELKTFLEEPDAKLNTSGGPHPLTARRKNLALGWLQARSDM
+>sp|Q9HBY8|SGK2_HUMAN Serine/threonine-protein kinase Sgk2 OS=Homo sapiens OX=9606 GN=SGK2 PE=1 SV=1
+MQGLLTSGRKPSGGGRCTGRGGWRGQWCLKPWMGGADPPTPTLSCLLLPVPPELPDHCYRMNSSPAGTPSPQPSRANGNINLGPSANPNAQPTDFDFLKVIGKGNYGKVLLAKRKSDGAFYAVKVLQKKSILKKKEQSHIMAERSVLLKNVRHPFLVGLRYSFQTPEKLYFVLDYVNGGELFFHLQRERRFLEPRARFYAAEVASAIGYLHSLNIIYRDLKPENILLDCQGHVVLTDFGLCKEGVEPEDTTSTFCGTPEYLAPEVLRKEPYDRAVDWWCLGAVLYEMLHGLPPFYSQDVSQMYENILHQPLQIPGGRTVAACDLLQSLLHKDQRQRLGSKADFLEIKNHVFFSPINWDDLYHKRLTPPFNPNVTGPADLKHFDPEFTQEAVSKSIGCTPDTVASSSGASSAFLGFSYAPEDDDILDC
+>DECOY_sp|Q9HBY8|SGK2_HUMAN Serine/threonine-protein kinase Sgk2 OS=Homo sapiens OX=9606 GN=SGK2 PE=1 SV=1
+CDLIDDDEPAYSFGLFASSAGSSSAVTDPTCGISKSVAEQTFEPDFHKLDAPGTVNPNFPPTLRKHYLDDWNIPSFFVHNKIELFDAKSGLRQRQDKHLLSQLLDCAAVTRGGPIQLPQHLINEYMQSVDQSYFPPLGHLMEYLVAGLCWWDVARDYPEKRLVEPALYEPTGCFTSTTDEPEVGEKCLGFDTLVVHGQCDLLINEPKLDRYIINLSHLYGIASAVEAAYFRARPELFRRERQLHFFLEGGNVYDLVFYLKEPTQFSYRLGVLFPHRVNKLLVSREAMIHSQEKKKLISKKQLVKVAYFAGDSKRKALLVKGYNGKGIVKLFDFDTPQANPNASPGLNINGNARSPQPSPTGAPSSNMRYCHDPLEPPVPLLLCSLTPTPPDAGGMWPKLCWQGRWGGRGTCRGGGSPKRGSTLLGQM
+>sp|Q99720|SGMR1_HUMAN Sigma non-opioid intracellular receptor 1 OS=Homo sapiens OX=9606 GN=SIGMAR1 PE=1 SV=1
+MQWAVGRRWAWAALLLAVAAVLTQVVWLWLGTQSFVFQREEIAQLARQYAGLDHELAFSRLIVELRRLHPGHVLPDEELQWVFVNAGGWMGAMCLLHASLSEYVLLFGTALGSRGHSGRYWAEISDTIISGTFHQWREGTTKSEVFYPGETVVHGPGEATAVEWGPNTWMVEYGRGVIPSTLAFALADTVFSTQDFLTLFYTLRSYARGLRLELTTYLFGQDP
+>DECOY_sp|Q99720|SGMR1_HUMAN Sigma non-opioid intracellular receptor 1 OS=Homo sapiens OX=9606 GN=SIGMAR1 PE=1 SV=1
+PDQGFLYTTLELRLGRAYSRLTYFLTLFDQTSFVTDALAFALTSPIVGRGYEVMWTNPGWEVATAEGPGHVVTEGPYFVESKTTGERWQHFTGSIITDSIEAWYRGSHGRSGLATGFLLVYESLSAHLLCMAGMWGGANVFVWQLEEDPLVHGPHLRRLEVILRSFALEHDLGAYQRALQAIEERQFVFSQTGLWLWVVQTLVAAVALLLAAWAWRRGVAWQM
+>sp|Q5BJF2|SGMR2_HUMAN Sigma intracellular receptor 2 OS=Homo sapiens OX=9606 GN=TMEM97 PE=1 SV=1
+MGAPATRRCVEWLLGLYFLSHIPITLFMDLQAVLPRELYPVEFRNLLKWYAKEFKDPLLQEPPAWFKSFLFCELVFQLPFFPIATYAFLKGSCKWIRTPAIIYSVHTMTTLIPILSTFLFEDFSKASGFKGQRPETLHERLTLVSVYAPYLLIPFILLIFMLRSPYYKYEEKRKKK
+>DECOY_sp|Q5BJF2|SGMR2_HUMAN Sigma intracellular receptor 2 OS=Homo sapiens OX=9606 GN=TMEM97 PE=1 SV=1
+KKKRKEEYKYYPSRLMFILLIFPILLYPAYVSVLTLREHLTEPRQGKFGSAKSFDEFLFTSLIPILTTMTHVSYIIAPTRIWKCSGKLFAYTAIPFFPLQFVLECFLFSKFWAPPEQLLPDKFEKAYWKLLNRFEVPYLERPLVAQLDMFLTIPIHSLFYLGLLWEVCRRTAPAGM
+>sp|Q8IWX5|SGPP2_HUMAN Sphingosine-1-phosphate phosphatase 2 OS=Homo sapiens OX=9606 GN=SGPP2 PE=2 SV=1
+MAELLRSLQDSQLVARFQRRCGLFPAPDEGPRENGADPTERAARVPGVEHLPAANGKGGEAPANGLRRAAAPEAYVQKYVVKNYFYYYLFQFSAALGQEVFYITFLPFTHWNIDPYLSRRLIIIWVLVMYIGQVAKDVLKWPRPSSPPVVKLEKRLIAEYGMPSTHAMAATAIAFTLLISTMDRYQYPFVLGLVMAVVFSTLVCLSRLYTGMHTVLDVLGGVLITALLIVLTYPAWTFIDCLDSASPLFPVCVIVVPFFLCYNYPVSDYYSPTRADTTTILAAGAGVTIGFWINHFFQLVSKPAESLPVIQNIPPLTTYMLVLGLTKFAVGIVLILLVRQLVQNLSLQVLYSWFKVVTRNKEARRRLEIEVPYKFVTYTSVGICATTFVPMLHRFLGLP
+>DECOY_sp|Q8IWX5|SGPP2_HUMAN Sphingosine-1-phosphate phosphatase 2 OS=Homo sapiens OX=9606 GN=SGPP2 PE=2 SV=1
+PLGLFRHLMPVFTTACIGVSTYTVFKYPVEIELRRRAEKNRTVVKFWSYLVQLSLNQVLQRVLLILVIGVAFKTLGLVLMYTTLPPINQIVPLSEAPKSVLQFFHNIWFGITVGAGAALITTTDARTPSYYDSVPYNYCLFFPVVIVCVPFLPSASDLCDIFTWAPYTLVILLATILVGGLVDLVTHMGTYLRSLCVLTSFVVAMVLGLVFPYQYRDMTSILLTFAIATAAMAHTSPMGYEAILRKELKVVPPSSPRPWKLVDKAVQGIYMVLVWIIILRRSLYPDINWHTFPLFTIYFVEQGLAASFQFLYYYFYNKVVYKQVYAEPAAARRLGNAPAEGGKGNAAPLHEVGPVRAARETPDAGNERPGEDPAPFLGCRRQFRAVLQSDQLSRLLEAM
+>sp|O14796|SH21B_HUMAN SH2 domain-containing protein 1B OS=Homo sapiens OX=9606 GN=SH2D1B PE=1 SV=2
+MDLPYYHGRLTKQDCETLLLKEGVDGNFLLRDSESIPGVLCLCVSFKNIVYTYRIFREKHGYYRIQTAEGSPKQVFPSLKELISKFEKPNQGMVVHLLKPIKRTSPSLRWRGLKLELETFVNSNSDYVDVLP
+>DECOY_sp|O14796|SH21B_HUMAN SH2 domain-containing protein 1B OS=Homo sapiens OX=9606 GN=SH2D1B PE=1 SV=2
+PLVDVYDSNSNVFTELELKLGRWRLSPSTRKIPKLLHVVMGQNPKEFKSILEKLSPFVQKPSGEATQIRYYGHKERFIRYTYVINKFSVCLCLVGPISESDRLLFNGDVGEKLLLTECDQKTLRGHYYPLDM
+>sp|Q9BRG2|SH23A_HUMAN SH2 domain-containing protein 3A OS=Homo sapiens OX=9606 GN=SH2D3A PE=1 SV=1
+MQVPQDGEDLAGQPWYHGLLSRQKAEALLQQNGDFLVRASGSRGGNPVISCRWRGSALHFEVFRVALRPRPGRPTALFQLEDEQFPSIPALVHSYMTGRRPLSQATGAVVSRPVTWQGPLRRSFSEDTLMDGPARIEPLRARKWSNSQPADLAHMGRSREDPAGMEASTMPISALPRTSSDPVLLKAPAPLGTVADSLRASDGQLQAKAPTKPPRTPSFELPDASERPPTYCELVPRVPSVQGTSPSQSCPEPEAPWWEAEEDEEEENRCFTRPQAEISFCPHDAPSCLLGPQNRPLEPQVLHTLRGLFLEHHPGSTALHLLLVDCQATGLLGVTRDQRGNMGVSSGLELLTLPHGHHLRLELLERHQTLALAGALAVLGCSGPLEERAAALRGLVELALALRPGAAGDLPGLAAVMGALLMPQVSRLEHTWRQLRRSHTEAALAFEQELKPLMRALDEGAGPCDPGEVALPHVAPMVRLLEGEEVAGPLDESCERLLRTLHGARHMVRDAPKFRKVAAQRLRGFRPNPELREALTTGFVRRLLWGSRGAGAPRAERFEKFQRVLGVLSQRLEPDR
+>DECOY_sp|Q9BRG2|SH23A_HUMAN SH2 domain-containing protein 3A OS=Homo sapiens OX=9606 GN=SH2D3A PE=1 SV=1
+RDPELRQSLVGLVRQFKEFREARPAGAGRSGWLLRRVFGTTLAERLEPNPRFGRLRQAAVKRFKPADRVMHRAGHLTRLLRECSEDLPGAVEEGELLRVMPAVHPLAVEGPDCPGAGEDLARMLPKLEQEFALAAETHSRRLQRWTHELRSVQPMLLAGMVAALGPLDGAAGPRLALALEVLGRLAAAREELPGSCGLVALAGALALTQHRELLELRLHHGHPLTLLELGSSVGMNGRQDRTVGLLGTAQCDVLLLHLATSGPHHELFLGRLTHLVQPELPRNQPGLLCSPADHPCFSIEAQPRTFCRNEEEEDEEAEWWPAEPEPCSQSPSTGQVSPVRPVLECYTPPRESADPLEFSPTRPPKTPAKAQLQGDSARLSDAVTGLPAPAKLLVPDSSTRPLASIPMTSAEMGAPDERSRGMHALDAPQSNSWKRARLPEIRAPGDMLTDESFSRRLPGQWTVPRSVVAGTAQSLPRRGTMYSHVLAPISPFQEDELQFLATPRGPRPRLAVRFVEFHLASGRWRCSIVPNGGRSGSARVLFDGNQQLLAEAKQRSLLGHYWPQGALDEGDQPVQM
+>sp|Q6ZV89|SH2D5_HUMAN SH2 domain-containing protein 5 OS=Homo sapiens OX=9606 GN=SH2D5 PE=1 SV=2
+MQKAGAGGRRASDCGLAPHRPRCITKFAQYVGSFPVDDLDTQESVWLVQQQLWALKDCPRRRAVILKFSLQGLKIYSGEGEVLLMAHALRRILYSTWCPADCQFAFMARNPRSPASKLFCHLFVGSQPGEVQILHLLLCRSFQLAYLLQHPEERAQPEPCPGPTGEVPLKPLSSSGGLVREPFGRDQLSQNVHALVSFRRLPAEGLVGSGKELPESEGRARHARLGNPYCSPTLVRKKAIRSKVIRSGAYRGCTYETQLQLSAREAFPAAWEAWPRGPGGHSCLVESEGSLTENIWAFAGISRPCALALLRRDVLGAFLLWPELGASGQWCLSVRTQCGVVPHQVFRNHLGRYCLEHLPAEFPSLEALVENHAVTERSLFCPLDMGRLNPTYEEQDCGPPGRPPRTLRPLSHAKSEAELQGLG
+>DECOY_sp|Q6ZV89|SH2D5_HUMAN SH2 domain-containing protein 5 OS=Homo sapiens OX=9606 GN=SH2D5 PE=1 SV=2
+GLGQLEAESKAHSLPRLTRPPRGPPGCDQEEYTPNLRGMDLPCFLSRETVAHNEVLAELSPFEAPLHELCYRGLHNRFVQHPVVGCQTRVSLCWQGSAGLEPWLLFAGLVDRRLLALACPRSIGAFAWINETLSGESEVLCSHGGPGRPWAEWAAPFAERASLQLQTEYTCGRYAGSRIVKSRIAKKRVLTPSCYPNGLRAHRARGESEPLEKGSGVLGEAPLRRFSVLAHVNQSLQDRGFPERVLGGSSSLPKLPVEGTPGPCPEPQAREEPHQLLYALQFSRCLLLHLIQVEGPQSGVFLHCFLKSAPSRPNRAMFAFQCDAPCWTSYLIRRLAHAMLLVEGEGSYIKLGQLSFKLIVARRRPCDKLAWLQQQVLWVSEQTDLDDVPFSGVYQAFKTICRPRHPALGCDSARRGGAGAKQM
+>sp|A4FU49|SH321_HUMAN SH3 domain-containing protein 21 OS=Homo sapiens OX=9606 GN=SH3D21 PE=2 SV=2
+MVQSELQLQPRAGGRAEAASWGDRGNDKGGLGNPDMPSVSPGPQRPPKLSSLAYDSPPDYLQTVSHPEVYRVLFDYQPEAPDELALRRGDVVKVLSKTTEDKGWWEGECQGRRGVFPDNFVLPPPPIKKLVPRKVVSRESAPIKEPKKLMPKTSLPTVKKLATATTGPSKAKTSRTPSRDSQKLTSRDSGPNGGFQSGGSYHPGRKRSKTQTPQQRSVSSQEEEHSSPVKAPSVKRTPMPDKTATPERPPAPENAPSSKKIPAPDKVPSPEKTLTLGDKASIPGNSTSGKIPAPDKVPTPEKMVTPEDKASIPENSIIPEETLTVDKPSTPERVFSVEESPALEAPPMDKVPNPKMAPLGDEAPTLEKVLTPELSEEEVSTRDDIQFHHFSSEEALQKVKYFVAKEDPSSQEEAHTPEAPPPQPPSSERCLGEMKCTLVRGDSSPRQAELKSGPASRPALEKPHPHEEATTLPEEAPSNDERTPEEEAPPNEQRPLREEVLPKEGVASKEEVTLKEELPPKEEVAPKEEVPPIERAFAQKTRPIKPPPDSQETLALPSLVPQNYTENKNEGVDVTSLRGEVESLRRALELMEVQLERKLTDIWEELKSEKEQRRRLEVQVMQGTQKSQTPRVIHTQTQTY
+>DECOY_sp|A4FU49|SH321_HUMAN SH3 domain-containing protein 21 OS=Homo sapiens OX=9606 GN=SH3D21 PE=2 SV=2
+YTQTQTHIVRPTQSKQTGQMVQVELRRRQEKESKLEEWIDTLKRELQVEMLELARRLSEVEGRLSTVDVGENKNETYNQPVLSPLALTEQSDPPPKIPRTKQAFAREIPPVEEKPAVEEKPPLEEKLTVEEKSAVGEKPLVEERLPRQENPPAEEEPTREDNSPAEEPLTTAEEHPHPKELAPRSAPGSKLEAQRPSSDGRVLTCKMEGLCRESSPPQPPPAEPTHAEEQSSPDEKAVFYKVKQLAEESSFHHFQIDDRTSVEEESLEPTLVKELTPAEDGLPAMKPNPVKDMPPAELAPSEEVSFVREPTSPKDVTLTEEPIISNEPISAKDEPTVMKEPTPVKDPAPIKGSTSNGPISAKDGLTLTKEPSPVKDPAPIKKSSPANEPAPPREPTATKDPMPTRKVSPAKVPSSHEEEQSSVSRQQPTQTKSRKRGPHYSGGSQFGGNPGSDRSTLKQSDRSPTRSTKAKSPGTTATALKKVTPLSTKPMLKKPEKIPASERSVVKRPVLKKIPPPPLVFNDPFVGRRGQCEGEWWGKDETTKSLVKVVDGRRLALEDPAEPQYDFLVRYVEPHSVTQLYDPPSDYALSSLKPPRQPGPSVSPMDPNGLGGKDNGRDGWSAAEARGGARPQLQLESQVM
+>sp|Q9H299|SH3L3_HUMAN SH3 domain-binding glutamic acid-rich-like protein 3 OS=Homo sapiens OX=9606 GN=SH3BGRL3 PE=1 SV=1
+MSGLRVYSTSVTGSREIKSQQSEVTRILDGKRIQYQLVDISQDNALRDEMRALAGNPKATPPQIVNGDQYCGDYELFVEAVEQNTLQEFLKLA
+>DECOY_sp|Q9H299|SH3L3_HUMAN SH3 domain-binding glutamic acid-rich-like protein 3 OS=Homo sapiens OX=9606 GN=SH3BGRL3 PE=1 SV=1
+ALKLFEQLTNQEVAEVFLEYDGCYQDGNVIQPPTAKPNGALARMEDRLANDQSIDVLQYQIRKGDLIRTVESQQSKIERSGTVSTSYVRLGSM
+>sp|Q7Z6J0|SH3R1_HUMAN E3 ubiquitin-protein ligase SH3RF1 OS=Homo sapiens OX=9606 GN=SH3RF1 PE=1 SV=2
+MDESALLDLLECPVCLERLDASAKVLPCQHTFCKRCLLGIVGSRNELRCPECRTLVGSGVEELPSNILLVRLLDGIKQRPWKPGPGGGSGTNCTNALRSQSSTVANCSSKDLQSSQGGQQPRVQSWSPPVRGIPQLPCAKALYNYEGKEPGDLKFSKGDIIILRRQVDENWYHGEVNGIHGFFPTNFVQIIKPLPQPPPQCKALYDFEVKDKEADKDCLPFAKDDVLTVIRRVDENWAEGMLADKIGIFPISYVEFNSAAKQLIEWDKPPVPGVDAGECSSAAAQSSTAPKHSDTKKNTKKRHSFTSLTMANKSSQASQNRHSMEISPPVLISSSNPTAAARISELSGLSCSAPSQVHISTTGLIVTPPPSSPVTTGPSFTFPSDVPYQAALGTLNPPLPPPPLLAATVLASTPPGATAAAAAAGMGPRPMAGSTDQIAHLRPQTRPSVYVAIYPYTPRKEDELELRKGEMFLVFERCQDGWFKGTSMHTSKIGVFPGNYVAPVTRAVTNASQAKVPMSTAGQTSRGVTMVSPSTAGGPAQKLQGNGVAGSPSVVPAAVVSAAHIQTSPQAKVLLHMTGQMTVNQARNAVRTVAAHNQERPTAAVTPIQVQNAAGLSPASVGLSHHSLASPQPAPLMPGSATHTAAISISRASAPLACAAAAPLTSPSITSASLEAEPSGRIVTVLPGLPTSPDSASSACGNSSATKPDKDSKKEKKGLLKLLSGASTKRKPRVSPPASPTLEVELGSAELPLQGAVGPELPPGGGHGRAGSCPVDGDGPVTTAVAGAALAQDAFHRKASSLDSAVPIAPPPRQACSSLGPVLNESRPVVCERHRVVVSYPPQSEAELELKEGDIVFVHKKREDGWFKGTLQRNGKTGLFPGSFVENI
+>DECOY_sp|Q7Z6J0|SH3R1_HUMAN E3 ubiquitin-protein ligase SH3RF1 OS=Homo sapiens OX=9606 GN=SH3RF1 PE=1 SV=2
+INEVFSGPFLGTKGNRQLTGKFWGDERKKHVFVIDGEKLELEAESQPPYSVVVRHRECVVPRSENLVPGLSSCAQRPPPAIPVASDLSSAKRHFADQALAAGAVATTVPGDGDVPCSGARGHGGGPPLEPGVAGQLPLEASGLEVELTPSAPPSVRPKRKTSAGSLLKLLGKKEKKSDKDPKTASSNGCASSASDPSTPLGPLVTVIRGSPEAELSASTISPSTLPAAAACALPASARSISIAATHTASGPMLPAPQPSALSHHSLGVSAPSLGAANQVQIPTVAATPREQNHAAVTRVANRAQNVTMQGTMHLLVKAQPSTQIHAASVVAAPVVSPSGAVGNGQLKQAPGGATSPSVMTVGRSTQGATSMPVKAQSANTVARTVPAVYNGPFVGIKSTHMSTGKFWGDQCREFVLFMEGKRLELEDEKRPTYPYIAVYVSPRTQPRLHAIQDTSGAMPRPGMGAAAAAATAGPPTSALVTAALLPPPPLPPNLTGLAAQYPVDSPFTFSPGTTVPSSPPPTVILGTTSIHVQSPASCSLGSLESIRAAATPNSSSILVPPSIEMSHRNQSAQSSKNAMTLSTFSHRKKTNKKTDSHKPATSSQAAASSCEGADVGPVPPKDWEILQKAASNFEVYSIPFIGIKDALMGEAWNEDVRRIVTLVDDKAFPLCDKDAEKDKVEFDYLAKCQPPPQPLPKIIQVFNTPFFGHIGNVEGHYWNEDVQRRLIIIDGKSFKLDGPEKGEYNYLAKACPLQPIGRVPPSWSQVRPQQGGQSSQLDKSSCNAVTSSQSRLANTCNTGSGGGPGPKWPRQKIGDLLRVLLINSPLEEVGSGVLTRCEPCRLENRSGVIGLLCRKCFTHQCPLVKASADLRELCVPCELLDLLASEDM
+>sp|P04278|SHBG_HUMAN Sex hormone-binding globulin OS=Homo sapiens OX=9606 GN=SHBG PE=1 SV=2
+MESRGPLATSRLLLLLLLLLLRHTRQGWALRPVLPTQSAHDPPAVHLSNGPGQEPIAVMTFDLTKITKTSSSFEVRTWDPEGVIFYGDTNPKDDWFMLGLRDGRPEIQLHNHWAQLTVGAGPRLDDGRWHQVEVKMEGDSVLLEVDGEEVLRLRQVSGPLTSKRHPIMRIALGGLLFPASNLRLPLVPALDGCLRRDSWLDKQAEISASAPTSLRSCDVESNPGIFLPPGTQAEFNLRDIPQPHAEPWAFSLDLGLKQAAGSGHLLALGTPENPSWLSLHLQDQKVVLSSGSGPGLDLPLVLGLPLQLKLSMSRVVLSQGSKMKALALPPLGLAPLLNLWAKPQGRLFLGALPGEDSSTSFCLNGLWAQGQRLDVDQALNRSHEIWTHSCPQSPGNGTDASH
+>DECOY_sp|P04278|SHBG_HUMAN Sex hormone-binding globulin OS=Homo sapiens OX=9606 GN=SHBG PE=1 SV=2
+HSADTGNGPSQPCSHTWIEHSRNLAQDVDLRQGQAWLGNLCFSTSSDEGPLAGLFLRGQPKAWLNLLPALGLPPLALAKMKSGQSLVVRSMSLKLQLPLGLVLPLDLGPGSGSSLVVKQDQLHLSLWSPNEPTGLALLHGSGAAQKLGLDLSFAWPEAHPQPIDRLNFEAQTGPPLFIGPNSEVDCSRLSTPASASIEAQKDLWSDRRLCGDLAPVLPLRLNSAPFLLGGLAIRMIPHRKSTLPGSVQRLRLVEEGDVELLVSDGEMKVEVQHWRGDDLRPGAGVTLQAWHNHLQIEPRGDRLGLMFWDDKPNTDGYFIVGEPDWTRVEFSSSTKTIKTLDFTMVAIPEQGPGNSLHVAPPDHASQTPLVPRLAWGQRTHRLLLLLLLLLLRSTALPGRSEM
+>sp|Q15464|SHB_HUMAN SH2 domain-containing adapter protein B OS=Homo sapiens OX=9606 GN=SHB PE=1 SV=2
+MAKWLNKYFSLGNSKTKSPPQPPRPDYREQRRRGERPSQPPQAVPQASSAASASCGPATASCFSASSGSLPDDSGSTSDLIRAYRAQKERDFEDPYNGPGSSLRKLRAMCRLDYCGGSGEPGGVQRAFSASSASGAAGCCCASSGAGAAASSSSSSGSPHLYRSSSERRPATPAEVRYISPKHRLIKVESAAGGGAGDPLGGACAGGRTWSPTACGGKKLLNKCAASAAEESGAGKKDKVTIADDYSDPFDAKNDLKSKAGKGESAGYMEPYEAQRIMTEFQRQESVRSQHKGIQLYDTPYEPEGQSVDSDSESTVSPRLRESKLPQDDDRPADEYDQPWEWNRVTIPALAAQFNGNEKRQSSPSPSRDRRRQLRAPGGGFKPIKHGSPEFCGILGERVDPAVPLEKQIWYHGAISRGDAENLLRLCKECSYLVRNSQTSKHDYSLSLRSNQGFMHMKLAKTKEKYVLGQNSPPFDSVPEVIHYYTTRKLPIKGAEHLSLLYPVAVRTL
+>DECOY_sp|Q15464|SHB_HUMAN SH2 domain-containing adapter protein B OS=Homo sapiens OX=9606 GN=SHB PE=1 SV=2
+LTRVAVPYLLSLHEAGKIPLKRTTYYHIVEPVSDFPPSNQGLVYKEKTKALKMHMFGQNSRLSLSYDHKSTQSNRVLYSCEKCLRLLNEADGRSIAGHYWIQKELPVAPDVREGLIGCFEPSGHKIPKFGGGPARLQRRRDRSPSPSSQRKENGNFQAALAPITVRNWEWPQDYEDAPRDDDQPLKSERLRPSVTSESDSDVSQGEPEYPTDYLQIGKHQSRVSEQRQFETMIRQAEYPEMYGASEGKGAKSKLDNKADFPDSYDDAITVKDKKGAGSEEAASAACKNLLKKGGCATPSWTRGGACAGGLPDGAGGGAASEVKILRHKPSIYRVEAPTAPRRESSSRYLHPSGSSSSSSAAAGAGSSACCCGAAGSASSASFARQVGGPEGSGGCYDLRCMARLKRLSSGPGNYPDEFDREKQARYARILDSTSGSDDPLSGSSASFCSATAPGCSASAASSAQPVAQPPQSPREGRRRQERYDPRPPQPPSKTKSNGLSFYKNLWKAM
+>sp|Q6S5L8|SHC4_HUMAN SHC-transforming protein 4 OS=Homo sapiens OX=9606 GN=SHC4 PE=1 SV=1
+MRERGQDSLAGLVLYVGLFGHPGMLHRAKYSRFRNESITSLDEGSSGGSVGNKGSPQPPHPALAPHLPTEDATLPSQESPTPLCTLIPRMASMKLANPATLLSLKNFCLGTKEVPRLKLQESRDPGSSGPSSPETSLSRSGTAPPPQQDLVGHRATALTPDSCPLPGPGEPTLRSRQDRHFLQHLLGMGMNYCVRYMGCVEVLQSMRSLDFGMRTQVTREAISRLCEAVPGANGAIKKRKPPVKFLSTVLGKSNLQFSGMNIKLTISTCSLTLMNLDNQQIIANHHMQSISFASGGDPDTTDYVAYVAKDPVNQRACHILECHNGMAQDVISTIGQAFELRFKQYLKNPSLNTSCESEEVHIDSHAEEREDHEYYNEIPGKQPPVGGVSDMRIKVQATEQMAYCPIQCEKLCYLPGNSKCSSVYENCLEQSRAIGNVHPRGVQSQRDTSLLKHTCRVDLFDDPCYINTQALQSTPGSAGNQRSAQPLGSPWHCGKAPETVQPGATAQPASSHSLPHIKQQLWSEECYHGKLSRKAAESLLVKDGDFLVRESATSPGQYVLSGLQGGQAKHLLLVDPEGKVRTKDHVFDNVGHLIRYHMDNSLPIISSGSEVSLKQPVRKDNNPALLHSNK
+>DECOY_sp|Q6S5L8|SHC4_HUMAN SHC-transforming protein 4 OS=Homo sapiens OX=9606 GN=SHC4 PE=1 SV=1
+KNSHLLAPNNDKRVPQKLSVESGSSIIPLSNDMHYRILHGVNDFVHDKTRVKGEPDVLLLHKAQGGQLGSLVYQGPSTASERVLFDGDKVLLSEAAKRSLKGHYCEESWLQQKIHPLSHSSAPQATAGPQVTEPAKGCHWPSGLPQASRQNGASGPTSQLAQTNIYCPDDFLDVRCTHKLLSTDRQSQVGRPHVNGIARSQELCNEYVSSCKSNGPLYCLKECQIPCYAMQETAQVKIRMDSVGGVPPQKGPIENYYEHDEREEAHSDIHVEESECSTNLSPNKLYQKFRLEFAQGITSIVDQAMGNHCELIHCARQNVPDKAVYAVYDTTDPDGGSAFSISQMHHNAIIQQNDLNMLTLSCTSITLKINMGSFQLNSKGLVTSLFKVPPKRKKIAGNAGPVAECLRSIAERTVQTRMGFDLSRMSQLVEVCGMYRVCYNMGMGLLHQLFHRDQRSRLTPEGPGPLPCSDPTLATARHGVLDQQPPPATGSRSLSTEPSSPGSSGPDRSEQLKLRPVEKTGLCFNKLSLLTAPNALKMSAMRPILTCLPTPSEQSPLTADETPLHPALAPHPPQPSGKNGVSGGSSGEDLSTISENRFRSYKARHLMGPHGFLGVYLVLGALSDQGRERM
+>sp|Q8NEM2|SHCBP_HUMAN SHC SH2 domain-binding protein 1 OS=Homo sapiens OX=9606 GN=SHCBP1 PE=1 SV=3
+MADGSLTGGGLEAAAMAPERMGWAVEQELASLEKGLFQDEDSCSDCSYRDKPGSSLQSFMPEGKTFFPEIFQTNQLLFYERFRAYQDYILADCKASEVQEFTAEFLEKVLEPSGWRAVWHTNVFKVLVEITDVDFAALKAVVRLAEPYLCDSQVSTFTMECMKELLDLKEHRLPLQELWVVFDDSGVFDQTALAIEHVRFFYQNIWRSWDEEEEDEYDYFVRCVEPRLRLHYDILEDRVPSGLIVDYHNLLSQCEESYRKFLNLRSSLSNCNSDSEQENISMVEGLKLYSEMEQLKQKLKLIENPLLRYVFGYQKNSNIQAKGVRSSGQKITHVVSSTMMAGLLRSLLTDRLCQEPGEEEREIQFHSDPLSAINACFEGDTVIVCPGHYVVHGTFSIADSIELEGYGLPDDIVIEKRGKGDTFVDCTGADIKISGIKFVQHDAVEGILIVHRGKTTLENCVLQCETTGVTVRTSAEFLMKNSDLYGAKGAGIEIYPGSQCTLSDNGIHHCKEGILIKDFLDEHYDIPKISMVNNIIHNNEGYGVVLVKPTIFSDLQENAEDGTEENKALKIQTSGEPDVAERVDLEELIECATGKMELCARTDPSEQVEGNCEIVNELIAASTQKGQIKKKRLSELGITQADDNLMSQEMFVGIVGNQFKWNGKGSFGTFLF
+>DECOY_sp|Q8NEM2|SHCBP_HUMAN SHC SH2 domain-binding protein 1 OS=Homo sapiens OX=9606 GN=SHCBP1 PE=1 SV=3
+FLFTGFSGKGNWKFQNGVIGVFMEQSMLNDDAQTIGLESLRKKKIQGKQTSAAILENVIECNGEVQESPDTRACLEMKGTACEILEELDVREAVDPEGSTQIKLAKNEETGDEANEQLDSFITPKVLVVGYGENNHIINNVMSIKPIDYHEDLFDKILIGEKCHHIGNDSLTCQSGPYIEIGAGKAGYLDSNKMLFEASTRVTVGTTECQLVCNELTTKGRHVILIGEVADHQVFKIGSIKIDAGTCDVFTDGKGRKEIVIDDPLGYGELEISDAISFTGHVVYHGPCVIVTDGEFCANIASLPDSHFQIEREEEGPEQCLRDTLLSRLLGAMMTSSVVHTIKQGSSRVGKAQINSNKQYGFVYRLLPNEILKLKQKLQEMESYLKLGEVMSINEQESDSNCNSLSSRLNLFKRYSEECQSLLNHYDVILGSPVRDELIDYHLRLRPEVCRVFYDYEDEEEEDWSRWINQYFFRVHEIALATQDFVGSDDFVVWLEQLPLRHEKLDLLEKMCEMTFTSVQSDCLYPEALRVVAKLAAFDVDTIEVLVKFVNTHWVARWGSPELVKELFEATFEQVESAKCDALIYDQYARFREYFLLQNTQFIEPFFTKGEPMFSQLSSGPKDRYSCDSCSDEDQFLGKELSALEQEVAWGMREPAMAAAELGGGTLSGDAM
+>sp|Q5VZ18|SHE_HUMAN SH2 domain-containing adapter protein E OS=Homo sapiens OX=9606 GN=SHE PE=1 SV=1
+MQWSPTPGASACLGWASSLACSTAPTLLGRAGRGPLMAAKWFKEFPLNLKTVSERAKPGGGGGKLRKNSEAGGAGPGPGKGRKNSAAELGSGRAGVGPKDSRLSRDSLQGLIQAAAGKGRKNSRATEEEPHRGATKSSGCSTYINRLIKVDTQEKNGKSNYPSSSSSSSSSSSSASSSPSSLGPELDKGKIIKQQETVIILEDYADPYDAKRTKGQRDAERVGENDGYMEPYDAQQMITEIRRRGSKDPLVKALQLLDSPCEPADGGLKSETLAKRRSSKDLLGKPPQLYDTPYEPAEGGPRAEGKARPPDSRLPENDERPAAEYEQPWEWKKEQIVRALSVQFEGAERPSFREETVRQHHRQKSWTQKILKPALSDHSEGEKVDPGLPLEKQPWYHGAISRAEAESRLQPCKEAGYLVRNSESGNSRYSIALKTSQGCVHIIVAQTKDNKYTLNQTSAVFDSIPEVVHYYSNEKLPFKGAEHMTLLYPVHSKLH
+>DECOY_sp|Q5VZ18|SHE_HUMAN SH2 domain-containing adapter protein E OS=Homo sapiens OX=9606 GN=SHE PE=1 SV=1
+HLKSHVPYLLTMHEAGKFPLKENSYYHVVEPISDFVASTQNLTYKNDKTQAVIIHVCGQSTKLAISYRSNGSESNRVLYGAEKCPQLRSEAEARSIAGHYWPQKELPLGPDVKEGESHDSLAPKLIKQTWSKQRHHQRVTEERFSPREAGEFQVSLARVIQEKKWEWPQEYEAAPREDNEPLRSDPPRAKGEARPGGEAPEYPTDYLQPPKGLLDKSSRRKALTESKLGGDAPECPSDLLQLAKVLPDKSGRRRIETIMQQADYPEMYGDNEGVREADRQGKTRKADYPDAYDELIIVTEQQKIIKGKDLEPGLSSPSSSASSSSSSSSSSSSPYNSKGNKEQTDVKILRNIYTSCGSSKTAGRHPEEETARSNKRGKGAAAQILGQLSDRSLRSDKPGVGARGSGLEAASNKRGKGPGPGAGGAESNKRLKGGGGGPKARESVTKLNLPFEKFWKAAMLPGRGARGLLTPATSCALSSAWGLCASAGPTPSWQM
+>sp|Q86V20|SHLD2_HUMAN Shieldin complex subunit 2 OS=Homo sapiens OX=9606 GN=SHLD2 PE=1 SV=1
+MSGGSQVHIFWGAPIAPLKITVSEDTASLMSVADPWKKIQLLYSQHSLYLKDEKQHKNLENYKVPESIGSPDLSGHFLANCMNRHVHVKDDFVRSVSETQNIESQKIHSSRLSDITSSNMQICGFKSTVPHFTEEEKYQKLLSENKIRDEQPKHQPDICGKNFNTNLFQLGHKCAAVLDLVCSTEKINIGPEVVQRECVPTEYHEIQNQCLGLFSSNAVDKSRSEAAVRKVSDLKISTDTEFLSIITSSQVAFLAQKKDKRRSPVNKGNVNMETEPKASYGEIRIPEENSIQLDGFTEAYESGQNQAYSLELFSPVCPKTENSRIHINSDKGLEEHTGSQELFSSEDELPPNEIRIELCSSGILCSQLNTFHKSAIKRSCTSEDKVGQSEALSRVLQVAKKMKLISNGGDSAVEMDRRNVSEFKSIKKTSLIKNCDSKSQKYNCLVMVLSPCHVKEINIKFGPNSGSKVPLATVTVIDQSETKKKVFLWRTAAFWAFTVFLGDIILLTDVVIHEDQWIGETVLQSTFSSQLLNLGSYSSIQPEEYSSVVSEVVLQDLLAYVSSKHSYLRDLPPRQPQRVNSIDFVELEHLQPDVLVHAVLRVVDFTILTEAVYSYRGQKQKKVMLTVEQAQDQHYALVLWGPGAAWYPQLQRKKGVVLIKAQISELAFPITASQKIALNAHSSLKSIFSSLPNIVYTGCAKCGLELETDENRIYKQCFSCLPFTMKKIYYRPALMTAIDGRHDVCIRVESKLIEKILLNISADCLNRVIVPSSEITYGMVVADLFHSLLAVSAEPCVLKIQSLFVLDENSYPLQQDFSLLDFYPDIVKHGANARL
+>DECOY_sp|Q86V20|SHLD2_HUMAN Shieldin complex subunit 2 OS=Homo sapiens OX=9606 GN=SHLD2 PE=1 SV=1
+LRANAGHKVIDPYFDLLSFDQQLPYSNEDLVFLSQIKLVCPEASVALLSHFLDAVVMGYTIESSPVIVRNLCDASINLLIKEILKSEVRICVDHRGDIATMLAPRYYIKKMTFPLCSFCQKYIRNEDTELELGCKACGTYVINPLSSFISKLSSHANLAIKQSATIPFALESIQAKILVVGKKRQLQPYWAAGPGWLVLAYHQDQAQEVTLMVKKQKQGRYSYVAETLITFDVVRLVAHVLVDPQLHELEVFDISNVRQPQRPPLDRLYSHKSSVYALLDQLVVESVVSSYEEPQISSYSGLNLLQSSFTSQLVTEGIWQDEHIVVDTLLIIDGLFVTFAWFAATRWLFVKKKTESQDIVTVTALPVKSGSNPGFKINIEKVHCPSLVMVLCNYKQSKSDCNKILSTKKISKFESVNRRDMEVASDGGNSILKMKKAVQLVRSLAESQGVKDESTCSRKIASKHFTNLQSCLIGSSCLEIRIENPPLEDESSFLEQSGTHEELGKDSNIHIRSNETKPCVPSFLELSYAQNQGSEYAETFGDLQISNEEPIRIEGYSAKPETEMNVNGKNVPSRRKDKKQALFAVQSSTIISLFETDTSIKLDSVKRVAAESRSKDVANSSFLGLCQNQIEHYETPVCERQVVEPGINIKETSCVLDLVAACKHGLQFLNTNFNKGCIDPQHKPQEDRIKNESLLKQYKEEETFHPVTSKFGCIQMNSSTIDSLRSSHIKQSEINQTESVSRVFDDKVHVHRNMCNALFHGSLDPSGISEPVKYNELNKHQKEDKLYLSHQSYLLQIKKWPDAVSMLSATDESVTIKLPAIPAGWFIHVQSGGSM
+>sp|A0MZ66|SHOT1_HUMAN Shootin-1 OS=Homo sapiens OX=9606 GN=SHTN1 PE=1 SV=4
+MNSSDEEKQLQLITSLKEQAIGEYEDLRAENQKTKEKCDKIRQERDEAVKKLEEFQKISHMVIEEVNFMQNHLEIEKTCRESAEALATKLNKENKTLKRISMLYMAKLGPDVITEEINIDDEDSTTDTDGAAETCVSVQCQKQIKELRDQIVSVQEEKKILAIELENLKSKLVEVIEEVNKVKQEKTVLNSEVLEQRKVLEKCNRVSMLAVEEYEEMQVNLELEKDLRKKAESFAQEMFIEQNKLKRQSHLLLQSSIPDQQLLKALDENAKLTQQLEEERIQHQQKVKELEEQLENETLHKEIHNLKQQLELLEEDKKELELKYQNSEEKARNLKHSVDELQKRVNQSENSVPPPPPPPPPLPPPPPNPIRSLMSMIRKRSHPSGSGAKKEKATQPETTEEVTDLKRQAVEEMMDRIKKGVHLRPVNQTARPKTKPESSKGCESAVDELKGILGTLNKSTSSRSLKSLDPENSETELERILRRRKVTAEADSSSPTGILATSESKSMPVLGSVSSVTKTALNKKTLEAEFNSPSPPTPEPGEGPRKLEGCTSSKVTFQPPSSIGCRKKYIDGEKQAEPVVVLDPVSTHEPQTKDQVAEKDPTQHKEDEGEIQPENKEDSIENVRETDSSNC
+>DECOY_sp|A0MZ66|SHOT1_HUMAN Shootin-1 OS=Homo sapiens OX=9606 GN=SHTN1 PE=1 SV=4
+CNSSDTERVNEISDEKNEPQIEGEDEKHQTPDKEAVQDKTQPEHTSVPDLVVVPEAQKEGDIYKKRCGISSPPQFTVKSSTCGELKRPGEGPEPTPPSPSNFEAELTKKNLATKTVSSVSGLVPMSKSESTALIGTPSSSDAEATVKRRRLIRELETESNEPDLSKLSRSSTSKNLTGLIGKLEDVASECGKSSEPKTKPRATQNVPRLHVGKKIRDMMEEVAQRKLDTVEETTEPQTAKEKKAGSGSPHSRKRIMSMLSRIPNPPPPPLPPPPPPPPPVSNESQNVRKQLEDVSHKLNRAKEESNQYKLELEKKDEELLELQQKLNHIEKHLTENELQEELEKVKQQHQIREEELQQTLKANEDLAKLLQQDPISSQLLLHSQRKLKNQEIFMEQAFSEAKKRLDKELELNVQMEEYEEVALMSVRNCKELVKRQELVESNLVTKEQKVKNVEEIVEVLKSKLNELEIALIKKEEQVSVIQDRLEKIQKQCQVSVCTEAAGDTDTTSDEDDINIEETIVDPGLKAMYLMSIRKLTKNEKNLKTALAEASERCTKEIELHNQMFNVEEIVMHSIKQFEELKKVAEDREQRIKDCKEKTKQNEARLDEYEGIAQEKLSTILQLQKEEDSSNM
+>sp|Q9BZQ2|SHP1L_HUMAN Testicular spindle-associated protein SHCBP1L OS=Homo sapiens OX=9606 GN=SHCBP1L PE=1 SV=3
+MASGSKASVPADSFRTISPDRRGEKSASAVSGDTAAATTLKGTAIPVRSVVASPRPVKGKAGRETARLRLQRLPAAQAEDTGEAAAAAAEEPLLPVPEDEEEAQPLPPVCVSRMRGMWRDEKVSLYCDEVLQDCKAEDADEVMGKYLSEKLKLKDKWLGVWKTNPSVFFVKYEEASIPFVGILVEVTCEPYQDSSSRFKVTVSVAEPFSSNIANIPRDLVDEILEELEHSVPLLEVYPVEGQDTDIHVIALALEVVRFFYDFLWRDWDDEESCENYTALIEERINLWCDIQDGTIPGPIAQRFKKTLEKYKNKRVELIEYQSNIKEDPSAAEAVECWKKYYEIVMLCGLLKMWEDLRLRVHGPFFPRILRRRKGKREFGKTITHIVAKMMTTEMIKDLSSDTLLQQHGDLDLALDNCYSGDTVIIFPGEYQAANLALLTDDIIIKGVGKREEIMITSEPSRDSFVVSKADNVKLMHLSLIQQGTVDGIVVVESGHMTLENCILKCEGTGVCVLTGAALTITDSEITGAQGAGVELYPGSIAILERNEIHHCNNLRTSNSSKSTLGGVNMKVLPAPKLKMTNNHIYSNKGYGVSILQPMEQFFIVAEEALNKRASSGDKKDDKMLFKVMQNLNLEMNNNKIEANVKGDIRIVTS
+>DECOY_sp|Q9BZQ2|SHP1L_HUMAN Testicular spindle-associated protein SHCBP1L OS=Homo sapiens OX=9606 GN=SHCBP1L PE=1 SV=3
+STVIRIDGKVNAEIKNNNMELNLNQMVKFLMKDDKKDGSSARKNLAEEAVIFFQEMPQLISVGYGKNSYIHNNTMKLKPAPLVKMNVGGLTSKSSNSTRLNNCHHIENRELIAISGPYLEVGAGQAGTIESDTITLAAGTLVCVGTGECKLICNELTMHGSEVVVIGDVTGQQILSLHMLKVNDAKSVVFSDRSPESTIMIEERKGVGKIIIDDTLLALNAAQYEGPFIIVTDGSYCNDLALDLDGHQQLLTDSSLDKIMETTMMKAVIHTITKGFERKGKRRRLIRPFFPGHVRLRLDEWMKLLGCLMVIEYYKKWCEVAEAASPDEKINSQYEILEVRKNKYKELTKKFRQAIPGPITGDQIDCWLNIREEILATYNECSEEDDWDRWLFDYFFRVVELALAIVHIDTDQGEVPYVELLPVSHELEELIEDVLDRPINAINSSFPEAVSVTVKFRSSSDQYPECTVEVLIGVFPISAEEYKVFFVSPNTKWVGLWKDKLKLKESLYKGMVEDADEAKCDQLVEDCYLSVKEDRWMGRMRSVCVPPLPQAEEEDEPVPLLPEEAAAAAAEGTDEAQAAPLRQLRLRATERGAKGKVPRPSAVVSRVPIATGKLTTAAATDGSVASASKEGRRDPSITRFSDAPVSAKSGSAM
+>sp|Q9UHJ6|SHPK_HUMAN Sedoheptulokinase OS=Homo sapiens OX=9606 GN=SHPK PE=1 SV=3
+MAARPITLGIDLGTTSVKAALLRAAPDDPSGFAVLASCARAARAEAAVESAVAGPQGREQDVSRILQALHECLAALPRPQLRSVVGIGVSGQMHGVVFWKTGQGCEWTEGGITPVFEPRAVSHLVTWQDGRCSSEFLASLPQPKSHLSVATGFGCATIFWLLKYRPEFLKSYDAAGTIHDYVVAMLCGLPRPLMSDQNAASWGYFNTQSQSWNVETLRSSGFPVHLLPDIAEPGSVAGRTSHMWFEIPKGTQVGVALGDLQASVYSCMAQRTDAVLNISTSVQLAASMPSGFQPAQTPDPTAPVAYFPYFNRTYLGVAASLNGGNVLATFVHMLVQWMADLGLEVEESTVYSRMIQAAVQQRDTHLTITPTVLGERHLPDQLASVTRISSSDLSLGHVTRALCRGIVQNLHSMLPIQQLQDWGVERVMGSGSALSRNDVLKQEVQRAFPLPMSFGQDVDAAVGAALVMLRRHLNQKES
+>DECOY_sp|Q9UHJ6|SHPK_HUMAN Sedoheptulokinase OS=Homo sapiens OX=9606 GN=SHPK PE=1 SV=3
+SEKQNLHRRLMVLAAGVAADVDQGFSMPLPFARQVEQKLVDNRSLASGSGMVREVGWDQLQQIPLMSHLNQVIGRCLARTVHGLSLDSSSIRTVSALQDPLHREGLVTPTITLHTDRQQVAAQIMRSYVTSEEVELGLDAMWQVLMHVFTALVNGGNLSAAVGLYTRNFYPFYAVPATPDPTQAPQFGSPMSAALQVSTSINLVADTRQAMCSYVSAQLDGLAVGVQTGKPIEFWMHSTRGAVSGPEAIDPLLHVPFGSSRLTEVNWSQSQTNFYGWSAANQDSMLPRPLGCLMAVVYDHITGAADYSKLFEPRYKLLWFITACGFGTAVSLHSKPQPLSALFESSCRGDQWTVLHSVARPEFVPTIGGETWECGQGTKWFVVGHMQGSVGIGVVSRLQPRPLAALCEHLAQLIRSVDQERGQPGAVASEVAAEARAARACSALVAFGSPDDPAARLLAAKVSTTGLDIGLTIPRAAM
+>sp|P78324|SHPS1_HUMAN Tyrosine-protein phosphatase non-receptor type substrate 1 OS=Homo sapiens OX=9606 GN=SIRPA PE=1 SV=2
+MEPAGPAPGRLGPLLCLLLAASCAWSGVAGEEELQVIQPDKSVLVAAGETATLRCTATSLIPVGPIQWFRGAGPGRELIYNQKEGHFPRVTTVSDLTKRNNMDFSIRIGNITPADAGTYYCVKFRKGSPDDVEFKSGAGTELSVRAKPSAPVVSGPAARATPQHTVSFTCESHGFSPRDITLKWFKNGNELSDFQTNVDPVGESVSYSIHSTAKVVLTREDVHSQVICEVAHVTLQGDPLRGTANLSETIRVPPTLEVTQQPVRAENQVNVTCQVRKFYPQRLQLTWLENGNVSRTETASTVTENKDGTYNWMSWLLVNVSAHRDDVKLTCQVEHDGQPAVSKSHDLKVSAHPKEQGSNTAAENTGSNERNIYIVVGVVCTLLVALLMAALYLVRIRQKKAQGSTSSTRLHEPEKNAREITQDTNDITYADLNLPKGKKPAPQAAEPNNHTEYASIQTSPQPASEDTLTYADLDMVHLNRTPKQPAPKPEPSFSEYASVQVPRK
+>DECOY_sp|P78324|SHPS1_HUMAN Tyrosine-protein phosphatase non-receptor type substrate 1 OS=Homo sapiens OX=9606 GN=SIRPA PE=1 SV=2
+KRPVQVSAYESFSPEPKPAPQKPTRNLHVMDLDAYTLTDESAPQPSTQISAYETHNNPEAAQPAPKKGKPLNLDAYTIDNTDQTIERANKEPEHLRTSSTSGQAKKQRIRVLYLAAMLLAVLLTCVVGVVIYINRENSGTNEAATNSGQEKPHASVKLDHSKSVAPQGDHEVQCTLKVDDRHASVNVLLWSMWNYTGDKNETVTSATETRSVNGNELWTLQLRQPYFKRVQCTVNVQNEARVPQQTVELTPPVRITESLNATGRLPDGQLTVHAVECIVQSHVDERTLVVKATSHISYSVSEGVPDVNTQFDSLENGNKFWKLTIDRPSFGHSECTFSVTHQPTARAAPGSVVPASPKARVSLETGAGSKFEVDDPSGKRFKVCYYTGADAPTINGIRISFDMNNRKTLDSVTTVRPFHGEKQNYILERGPGAGRFWQIPGVPILSTATCRLTATEGAAVLVSKDPQIVQLEEEGAVGSWACSAALLLCLLPGLRGPAPGAPEM
+>sp|Q6IA17|SIGIR_HUMAN Single Ig IL-1-related receptor OS=Homo sapiens OX=9606 GN=SIGIRR PE=1 SV=3
+MPGVCDRAPDFLSPSEDQVLRPALGSSVALNCTAWVVSGPHCSLPSVQWLKDGLPLGIGGHYSLHEYSWVKANLSEVLVSSVLGVNVTSTEVYGAFTCSIQNISFSSFTLQRAGPTSHVAAVLASLLVLLALLLAALLYVKCRLNVLLWYQDAYGEVEINDGKLYDAYVSYSDCPEDRKFVNFILKPQLERRRGYKLFLDDRDLLPRAEPSADLLVNLSRCRRLIVVLSDAFLSRAWCSHSFREGLCRLLELTRRPIFITFEGQRRDPAHPALRLLRQHRHLVTLLLWRPGSVTPSSDFWKEVQLALPRKVQYRPVEGDPQTQLQDDKDPMLILRGRVPEGRALDSEVDPDPEGDLGVRGPVFGEPSAPPHTSGVSLGESRSSEVDVSDLGSRNYSARTDFYCLVSKDDM
+>DECOY_sp|Q6IA17|SIGIR_HUMAN Single Ig IL-1-related receptor OS=Homo sapiens OX=9606 GN=SIGIRR PE=1 SV=3
+MDDKSVLCYFDTRASYNRSGLDSVDVESSRSEGLSVGSTHPPASPEGFVPGRVGLDGEPDPDVESDLARGEPVRGRLILMPDKDDQLQTQPDGEVPRYQVKRPLALQVEKWFDSSPTVSGPRWLLLTVLHRHQRLLRLAPHAPDRRQGEFTIFIPRRTLELLRCLGERFSHSCWARSLFADSLVVILRRCRSLNVLLDASPEARPLLDRDDLFLKYGRRRELQPKLIFNVFKRDEPCDSYSVYADYLKGDNIEVEGYADQYWLLVNLRCKVYLLAALLLALLVLLSALVAAVHSTPGARQLTFSSFSINQISCTFAGYVETSTVNVGLVSSVLVESLNAKVWSYEHLSYHGGIGLPLGDKLWQVSPLSCHPGSVVWATCNLAVSSGLAPRLVQDESPSLFDPARDCVGPM
+>sp|O15389|SIGL5_HUMAN Sialic acid-binding Ig-like lectin 5 OS=Homo sapiens OX=9606 GN=SIGLEC5 PE=1 SV=1
+MLPLLLLPLLWGGSLQEKPVYELQVQKSVTVQEGLCVLVPCSFSYPWRSWYSSPPLYVYWFRDGEIPYYAEVVATNNPDRRVKPETQGRFRLLGDVQKKNCSLSIGDARMEDTGSYFFRVERGRDVKYSYQQNKLNLEVTALIEKPDIHFLEPLESGRPTRLSCSLPGSCEAGPPLTFSWTGNALSPLDPETTRSSELTLTPRPEDHGTNLTCQMKRQGAQVTTERTVQLNVSYAPQTITIFRNGIALEILQNTSYLPVLEGQALRLLCDAPSNPPAHLSWFQGSPALNATPISNTGILELRRVRSAEEGGFTCRAQHPLGFLQIFLNLSVYSLPQLLGPSCSWEAEGLHCRCSFRARPAPSLCWRLEEKPLEGNSSQGSFKVNSSSAGPWANSSLILHGGLSSDLKVSCKAWNIYGSQSGSVLLLQGRSNLGTGVVPAALGGAGVMALLCICLCLIFFLIVKARRKQAAGRPEKMDDEDPIMGTITSGSRKKPWPDSPGDQASPPGDAPPLEEQKELHYASLSFSEMKSREPKDQEAPSTTEYSEIKTSK
+>DECOY_sp|O15389|SIGL5_HUMAN Sialic acid-binding Ig-like lectin 5 OS=Homo sapiens OX=9606 GN=SIGLEC5 PE=1 SV=1
+KSTKIESYETTSPAEQDKPERSKMESFSLSAYHLEKQEELPPADGPPSAQDGPSDPWPKKRSGSTITGMIPDEDDMKEPRGAAQKRRAKVILFFILCLCICLLAMVGAGGLAAPVVGTGLNSRGQLLLVSGSQSGYINWAKCSVKLDSSLGGHLILSSNAWPGASSSNVKFSGQSSNGELPKEELRWCLSPAPRARFSCRCHLGEAEWSCSPGLLQPLSYVSLNLFIQLFGLPHQARCTFGGEEASRVRRLELIGTNSIPTANLAPSGQFWSLHAPPNSPADCLLRLAQGELVPLYSTNQLIELAIGNRFITITQPAYSVNLQVTRETTVQAGQRKMQCTLNTGHDEPRPTLTLESSRTTEPDLPSLANGTWSFTLPPGAECSGPLSCSLRTPRGSELPELFHIDPKEILATVELNLKNQQYSYKVDRGREVRFFYSGTDEMRADGISLSCNKKQVDGLLRFRGQTEPKVRRDPNNTAVVEAYYPIEGDRFWYVYLPPSSYWSRWPYSFSCPVLVCLGEQVTVSKQVQLEYVPKEQLSGGWLLPLLLLPLM
+>sp|Q9Y286|SIGL7_HUMAN Sialic acid-binding Ig-like lectin 7 OS=Homo sapiens OX=9606 GN=SIGLEC7 PE=1 SV=1
+MLLLLLLPLLWGRERVEGQKSNRKDYSLTMQSSVTVQEGMCVHVRCSFSYPVDSQTDSDPVHGYWFRAGNDISWKAPVATNNPAWAVQEETRDRFHLLGDPQTKNCTLSIRDARMSDAGRYFFRMEKGNIKWNYKYDQLSVNVTALTHRPNILIPGTLESGCFQNLTCSVPWACEQGTPPMISWMGTSVSPLHPSTTRSSVLTLIPQPQHHGTSLTCQVTLPGAGVTTNRTIQLNVSYPPQNLTVTVFQGEGTASTALGNSSSLSVLEGQSLRLVCAVDSNPPARLSWTWRSLTLYPSQPSNPLVLELQVHLGDEGEFTCRAQNSLGSQHVSLNLSLQQEYTGKMRPVSGVLLGAVGGAGATALVFLSFCVIFIVVRSCRKKSARPAADVGDIGMKDANTIRGSASQGNLTESWADDNPRHHGLAAHSSGEEREIQYAPLSFHKGEPQDLSGQEATNNEYSEIKIPK
+>DECOY_sp|Q9Y286|SIGL7_HUMAN Sialic acid-binding Ig-like lectin 7 OS=Homo sapiens OX=9606 GN=SIGLEC7 PE=1 SV=1
+KPIKIESYENNTAEQGSLDQPEGKHFSLPAYQIEREEGSSHAALGHHRPNDDAWSETLNGQSASGRITNADKMGIDGVDAAPRASKKRCSRVVIFIVCFSLFVLATAGAGGVAGLLVGSVPRMKGTYEQQLSLNLSVHQSGLSNQARCTFEGEDGLHVQLELVLPNSPQSPYLTLSRWTWSLRAPPNSDVACVLRLSQGELVSLSSSNGLATSATGEGQFVTVTLNQPPYSVNLQITRNTTVGAGPLTVQCTLSTGHHQPQPILTLVSSRTTSPHLPSVSTGMWSIMPPTGQECAWPVSCTLNQFCGSELTGPILINPRHTLATVNVSLQDYKYNWKINGKEMRFFYRGADSMRADRISLTCNKTQPDGLLHFRDRTEEQVAWAPNNTAVPAKWSIDNGARFWYGHVPDSDTQSDVPYSFSCRVHVCMGEQVTVSSQMTLSYDKRNSKQGEVRERGWLLPLLLLLLM
+>sp|Q9H0K1|SIK2_HUMAN Serine/threonine-protein kinase SIK2 OS=Homo sapiens OX=9606 GN=SIK2 PE=1 SV=1
+MVMADGPRHLQRGPVRVGFYDIEGTLGKGNFAVVKLGRHRITKTEVAIKIIDKSQLDAVNLEKIYREVQIMKMLDHPHIIKLYQVMETKSMLYLVTEYAKNGEIFDYLANHGRLNESEARRKFWQILSAVDYCHGRKIVHRDLKAENLLLDNNMNIKIADFGFGNFFKSGELLATWCGSPPYAAPEVFEGQQYEGPQLDIWSMGVVLYVLVCGALPFDGPTLPILRQRVLEGRFRIPYFMSEDCEHLIRRMLVLDPSKRLTIAQIKEHKWMLIEVPVQRPVLYPQEQENEPSIGEFNEQVLRLMHSLGIDQQKTIESLQNKSYNHFAAIYFLLVERLKSHRSSFPVEQRLDGRQRRPSTIAEQTVAKAQTVGLPVTMHSPNMRLLRSALLPQASNVEAFSFPASGCQAEAAFMEEECVDTPKVNGCLLDPVPPVLVRKGCQSLPSNMMETSIDEGLETEGEAEEDPAHAFEAFQSTRSGQRRHTLSEVTNQLVVMPGAGKIFSMNDSPSLDSVDSEYDMGSVQRDLNFLEDNPSLKDIMLANQPSPRMTSPFISLRPTNPAMQALSSQKREVHNRSPVSFREGRRASDTSLTQGIVAFRQHLQNLARTKGILELNKVQLLYEQIGPEADPNLAPAAPQLQDLASSCPQEEVSQQQESVSTLPASVHPQLSPRQSLETQYLQHRLQKPSLLSKAQNTCQLYCKEPPRSLEQQLQEHRLQQKRLFLQKQSQLQAYFNQMQIAESSYPQPSQQLPLPRQETPPPSQQAPPFSLTQPLSPVLEPSSEQMQYSPFLSQYQEMQLQPLPSTSGPRAAPPLPTQLQQQQPPPPPPPPPPRQPGAAPAPLQFSYQTCELPSAASPAPDYPTPCQYPVDGAQQSDLTGPDCPRSPGLQEAPSSYDPLALSELPGLFDCEMLDAVDPQHNGYVLVN
+>DECOY_sp|Q9H0K1|SIK2_HUMAN Serine/threonine-protein kinase SIK2 OS=Homo sapiens OX=9606 GN=SIK2 PE=1 SV=1
+NVLVYGNHQPDVADLMECDFLGPLESLALPDYSSPAEQLGPSRPCDPGTLDSQQAGDVPYQCPTPYDPAPSAASPLECTQYSFQLPAPAAGPQRPPPPPPPPPPQQQQLQTPLPPAARPGSTSPLPQLQMEQYQSLFPSYQMQESSPELVPSLPQTLSFPPAQQSPPPTEQRPLPLQQSPQPYSSEAIQMQNFYAQLQSQKQLFLRKQQLRHEQLQQELSRPPEKCYLQCTNQAKSLLSPKQLRHQLYQTELSQRPSLQPHVSAPLTSVSEQQQSVEEQPCSSALDQLQPAAPALNPDAEPGIQEYLLQVKNLELIGKTRALNQLHQRFAVIGQTLSTDSARRGERFSVPSRNHVERKQSSLAQMAPNTPRLSIFPSTMRPSPQNALMIDKLSPNDELFNLDRQVSGMDYESDVSDLSPSDNMSFIKGAGPMVVLQNTVESLTHRRQGSRTSQFAEFAHAPDEEAEGETELGEDISTEMMNSPLSQCGKRVLVPPVPDLLCGNVKPTDVCEEEMFAAEAQCGSAPFSFAEVNSAQPLLASRLLRMNPSHMTVPLGVTQAKAVTQEAITSPRRQRGDLRQEVPFSSRHSKLREVLLFYIAAFHNYSKNQLSEITKQQDIGLSHMLRLVQENFEGISPENEQEQPYLVPRQVPVEILMWKHEKIQAITLRKSPDLVLMRRILHECDESMFYPIRFRGELVRQRLIPLTPGDFPLAGCVLVYLVVGMSWIDLQPGEYQQGEFVEPAAYPPSGCWTALLEGSKFFNGFGFDAIKINMNNDLLLNEAKLDRHVIKRGHCYDVASLIQWFKRRAESENLRGHNALYDFIEGNKAYETVLYLMSKTEMVQYLKIIHPHDLMKMIQVERYIKELNVADLQSKDIIKIAVETKTIRHRGLKVVAFNGKGLTGEIDYFGVRVPGRQLHRPGDAMVM
+>sp|Q9Y2K2|SIK3_HUMAN Serine/threonine-protein kinase SIK3 OS=Homo sapiens OX=9606 GN=SIK3 PE=1 SV=4
+MAAAAASGAGGAAGAGTGGAGPAGRLLPPPAPGSPAAPAAVSPAAGQPRPPAPASRGPMPARIGYYEIDRTIGKGNFAVVKRATHLVTKAKVAIKIIDKTQLDEENLKKIFREVQIMKMLCHPHIIRLYQVMETERMIYLVTEYASGGEIFDHLVAHGRMAEKEARRKFKQIVTAVYFCHCRNIVHRDLKAENLLLDANLNIKIADFGFSNLFTPGQLLKTWCGSPPYAAPELFEGKEYDGPKVDIWSLGVVLYVLVCGALPFDGSTLQNLRARVLSGKFRIPFFMSTECEHLIRHMLVLDPNKRLSMEQICKHKWMKLGDADPNFDRLIAECQQLKEERQVDPLNEDVLLAMEDMGLDKEQTLQSLRSDAYDHYSAIYSLLCDRHKRHKTLRLGALPSMPRALAFQAPVNIQAEQAGTAMNISVPQVQLINPENQIVEPDGTLNLDSDEGEEPSPEALVRYLSMRRHTVGVADPRTEVMEDLQKLLPGFPGVNPQAPFLQVAPNVNFMHNLLPMQNLQPTGQLEYKEQSLLQPPTLQLLNGMGPLGRRASDGGANIQLHAQQLLKRPRGPSPLVTMTPAVPAVTPVDEESSDGEPDQEAVQSSTYKDSNTLHLPTERFSPVRRFSDGAASIQAFKAHLEKMGNNSSIKQLQQECEQLQKMYGGQIDERTLEKTQQQHMLYQQEQHHQILQQQIQDSICPPQPSPPLQAACENQPALLTHQLQRLRIQPSSPPPNHPNNHLFRQPSNSPPPMSSAMIQPHGAASSSQFQGLPSRSAIFQQQPENCSSPPNVALTCLGMQQPAQSQQVTIQVQEPVDMLSNMPGTAAGSSGRGISISPSAGQMQMQHRTNLMATLSYGHRPLSKQLSADSAEAHSLNVNRFSPANYDQAHLHPHLFSDQSRGSPSSYSPSTGVGFSPTQALKVPPLDQFPTFPPSAHQQPPHYTTSALQQALLSPTPPDYTRHQQVPHILQGLLSPRHSLTGHSDIRLPPTEFAQLIKRQQQQRQQQQQQQQQQEYQELFRHMNQGDAGSLAPSLGGQSMTERQALSYQNADSYHHHTSPQHLLQIRAQECVSQASSPTPPHGYAHQPALMHSESMEEDCSCEGAKDGFQDSKSSSTLTKGCHDSPLLLSTGGPGDPESLLGTVSHAQELGIHPYGHQPTAAFSKNKVPSREPVIGNCMDRSSPGQAVELPDHNGLGYPARPSVHEHHRPRALQRHHTIQNSDDAYVQLDNLPGMSLVAGKALSSARMSDAVLSQSSLMGSQQFQDGENEECGASLGGHEHPDLSDGSQHLNSSCYPSTCITDILLSYKHPEVSFSMEQAGV
+>DECOY_sp|Q9Y2K2|SIK3_HUMAN Serine/threonine-protein kinase SIK3 OS=Homo sapiens OX=9606 GN=SIK3 PE=1 SV=4
+VGAQEMSFSVEPHKYSLLIDTICTSPYCSSNLHQSGDSLDPHEHGGLSAGCEENEGDQFQQSGMLSSQSLVADSMRASSLAKGAVLSMGPLNDLQVYADDSNQITHHRQLARPRHHEHVSPRAPYGLGNHDPLEVAQGPSSRDMCNGIVPERSPVKNKSFAATPQHGYPHIGLEQAHSVTGLLSEPDGPGGTSLLLPSDHCGKTLTSSSKSDQFGDKAGECSCDEEMSESHMLAPQHAYGHPPTPSSAQSVCEQARIQLLHQPSTHHHYSDANQYSLAQRETMSQGGLSPALSGADGQNMHRFLEQYEQQQQQQQQQQRQQQQRKILQAFETPPLRIDSHGTLSHRPSLLGQLIHPVQQHRTYDPPTPSLLAQQLASTTYHPPQQHASPPFTPFQDLPPVKLAQTPSFGVGTSPSYSSPSGRSQDSFLHPHLHAQDYNAPSFRNVNLSHAEASDASLQKSLPRHGYSLTAMLNTRHQMQMQGASPSISIGRGSSGAATGPMNSLMDVPEQVQITVQQSQAPQQMGLCTLAVNPPSSCNEPQQQFIASRSPLGQFQSSSAAGHPQIMASSMPPPSNSPQRFLHNNPHNPPPSSPQIRLRQLQHTLLAPQNECAAQLPPSPQPPCISDQIQQQLIQHHQEQQYLMHQQQTKELTREDIQGGYMKQLQECEQQLQKISSNNGMKELHAKFAQISAAGDSFRRVPSFRETPLHLTNSDKYTSSQVAEQDPEGDSSEEDVPTVAPVAPTMTVLPSPGRPRKLLQQAHLQINAGGDSARRGLPGMGNLLQLTPPQLLSQEKYELQGTPQLNQMPLLNHMFNVNPAVQLFPAQPNVGPFGPLLKQLDEMVETRPDAVGVTHRRMSLYRVLAEPSPEEGEDSDLNLTGDPEVIQNEPNILQVQPVSINMATGAQEAQINVPAQFALARPMSPLAGLRLTKHRKHRDCLLSYIASYHDYADSRLSQLTQEKDLGMDEMALLVDENLPDVQREEKLQQCEAILRDFNPDADGLKMWKHKCIQEMSLRKNPDLVLMHRILHECETSMFFPIRFKGSLVRARLNQLTSGDFPLAGCVLVYLVVGLSWIDVKPGDYEKGEFLEPAAYPPSGCWTKLLQGPTFLNSFGFDAIKINLNADLLLNEAKLDRHVINRCHCFYVATVIQKFKRRAEKEAMRGHAVLHDFIEGGSAYETVLYIMRETEMVQYLRIIHPHCLMKMIQVERFIKKLNEEDLQTKDIIKIAVKAKTVLHTARKVVAFNGKGITRDIEYYGIRAPMPGRSAPAPPRPQGAAPSVAAPAAPSGPAPPPLLRGAPGAGGTGAGAAGGAGSAAAAAM
+>sp|Q96HG1|SIM10_HUMAN Small integral membrane protein 10 OS=Homo sapiens OX=9606 GN=SMIM10 PE=3 SV=4
+MEALGSGHYVGGSIRSMAAAALSGLAVRLSRPQGTRGSYGAFCKTLTRTLLTFFDLAWRLRKNFFYFYILASVILNVHLQVYI
+>DECOY_sp|Q96HG1|SIM10_HUMAN Small integral membrane protein 10 OS=Homo sapiens OX=9606 GN=SMIM10 PE=3 SV=4
+IYVQLHVNLIVSALIYFYFFNKRLRWALDFFTLLTRTLTKCFAGYSGRTGQPRSLRVALGSLAAAAMSRISGGVYHGSGLAEM
+>sp|Q96EX1|SIM12_HUMAN Small integral membrane protein 12 OS=Homo sapiens OX=9606 GN=SMIM12 PE=1 SV=3
+MWPVFWTVVRTYAPYVTFPVAFVVGAVGYHLEWFIRGKDPQPVEEEKSISERREDRKLDELLGKDHTQVVSLKDKLEFAPKAVLNRNRPEKN
+>DECOY_sp|Q96EX1|SIM12_HUMAN Small integral membrane protein 12 OS=Homo sapiens OX=9606 GN=SMIM12 PE=1 SV=3
+NKEPRNRNLVAKPAFELKDKLSVVQTHDKGLLEDLKRDERRESISKEEEVPQPDKGRIFWELHYGVAGVVFAVPFTVYPAYTRVVTWFVPWM
+>sp|P0DL12|SIM17_HUMAN Small integral membrane protein 17 OS=Homo sapiens OX=9606 GN=SMIM17 PE=4 SV=1
+MQSLRPEQTRGLLEPERTKTLLPRESRAWEKPPHPACTKDWEAVEVGASSHDSDEKDLSSQETGLSQEWSSVEEDDESEGSQGFVEWSKAPQQTTIVLVVCVLFLFLVLTGMPMMFHI
+>DECOY_sp|P0DL12|SIM17_HUMAN Small integral membrane protein 17 OS=Homo sapiens OX=9606 GN=SMIM17 PE=4 SV=1
+IHFMMPMGTLVLFLFLVCVVLVITTQQPAKSWEVFGQSGESEDDEEVSSWEQSLGTEQSSLDKEDSDHSSAGVEVAEWDKTCAPHPPKEWARSERPLLTKTREPELLGRTQEPRLSQM
+>sp|Q8N5G0|SIM20_HUMAN Small integral membrane protein 20 OS=Homo sapiens OX=9606 GN=SMIM20 PE=1 SV=3
+MSRNLRTALIFGGFISLIGAAFYPIYFRPLMRLEEYKKEQAINRAGIVQEDVQPPGLKVWSDPFGRK
+>DECOY_sp|Q8N5G0|SIM20_HUMAN Small integral membrane protein 20 OS=Homo sapiens OX=9606 GN=SMIM20 PE=1 SV=3
+KRGFPDSWVKLGPPQVDEQVIGARNIAQEKKYEELRMLPRFYIPYFAAGILSIFGGFILATRLNRSM
+>sp|A0A1B0GUW7|SIM27_HUMAN Small integral membrane protein 27 OS=Homo sapiens OX=9606 GN=SMIM27 PE=3 SV=1
+MKPVSRRTLDWIYSVLLLAIVLISWGCIIYASMVSARRQLRKKYPDKIFGTNENL
+>DECOY_sp|A0A1B0GUW7|SIM27_HUMAN Small integral membrane protein 27 OS=Homo sapiens OX=9606 GN=SMIM27 PE=3 SV=1
+LNENTGFIKDPYKKRLQRRASVMSAYIICGWSILVIALLLVSYIWDLTRRSVPKM
+>sp|Q86T20|SIM29_HUMAN Small integral membrane protein 29 OS=Homo sapiens OX=9606 GN=SMIM29 PE=2 SV=3
+MSNTTVPNAPQANSDSMVGYVLGPFFLITLVGVVVAVVMYVQKKKRVDRLRHHLLPMYSYDPAEELHEAEQELLSDMGDPKVVHGWQSGYQHKRMPLLDVKT
+>DECOY_sp|Q86T20|SIM29_HUMAN Small integral membrane protein 29 OS=Homo sapiens OX=9606 GN=SMIM29 PE=2 SV=3
+TKVDLLPMRKHQYGSQWGHVVKPDGMDSLLEQEAEHLEEAPDYSYMPLLHHRLRDVRKKKQVYMVVAVVVGVLTILFFPGLVYGVMSDSNAQPANPVTTNSM
+>sp|A4D0T7|SIM30_HUMAN Small integral membrane protein 30 OS=Homo sapiens OX=9606 GN=SMIM30 PE=3 SV=2
+MTSVSTQLSLVLMSLLLVLPVVEAVEAGDAIALLLGVVLSITGICACLGVYARKRNGQM
+>DECOY_sp|A4D0T7|SIM30_HUMAN Small integral membrane protein 30 OS=Homo sapiens OX=9606 GN=SMIM30 PE=3 SV=2
+MQGNRKRAYVGLCACIGTISLVVGLLLAIADGAEVAEVVPLVLLLSMLVLSLQTSVSTM
+>sp|Q8NCU8|SIM37_HUMAN Small integral membrane protein 37 OS=Homo sapiens OX=9606 GN=SMIM37 PE=1 SV=1
+MLANDVRHQQEMWGFRKVEGGVVQSLGKSSVEGETDGTISEFREIQRLAAFASFLSHAPPLNARRLLTPPPRRRPRCTPAAAMADVSERTLQLSVLVAFASGVLLGWQANRLRRRYLDWRKRRLQDKLAATQKKLDLA
+>DECOY_sp|Q8NCU8|SIM37_HUMAN Small integral membrane protein 37 OS=Homo sapiens OX=9606 GN=SMIM37 PE=1 SV=1
+ALDLKKQTAALKDQLRRKRWDLYRRRLRNAQWGLLVGSAFAVLVSLQLTRESVDAMAAAPTCRPRRRPPPTLLRRANLPPAHSLFSAFAALRQIERFESITGDTEGEVSSKGLSQVVGGEVKRFGWMEQQHRVDNALM
+>sp|P0DMW3|SIML1_HUMAN Small integral membrane protein 10-like protein 1 OS=Homo sapiens OX=9606 GN=SMIM10L1 PE=4 SV=2
+MAPAAAPSSLAVRASSPAATPTSYGVFCKGLSRTLLAFFELAWQLRMNFPYFYVAGSVILNIRLQVHI
+>DECOY_sp|P0DMW3|SIML1_HUMAN Small integral membrane protein 10-like protein 1 OS=Homo sapiens OX=9606 GN=SMIM10L1 PE=4 SV=2
+IHVQLRINLIVSGAVYFYPFNMRLQWALEFFALLTRSLGKCFVGYSTPTAAPSSARVALSSPAAAPAM
+>sp|Q96FS4|SIPA1_HUMAN Signal-induced proliferation-associated protein 1 OS=Homo sapiens OX=9606 GN=SIPA1 PE=1 SV=1
+MPMWAGGVGSPRRGMAPASTDDLFARKLRQPARPPLTPHTFEPRPVRGPLLRSGSDAGEARPPTPASPRARAHSHEEASRPAATSTRLFTDPLALLGLPAEEPEPAFPPVLEPRWFAHYDVQSLLFDWAPRSQGMGSHSEASSGTLASAEDQAASSDLLHGAPGFVCELGGEGELGLGGPASPPVPPALPNAAVSILEEPQNRTSAYSLEHADLGAGYYRKYFYGKEHQNFFGMDESLGPVAVSLRREEKEGSGGGTLHSYRVIVRTTQLRTLRGTISEDALPPGPPRGLSPRKLLEHVAPQLSPSCLRLGSASPKVPRTLLTLDEQVLSFQRKVGILYCRAGQGSEEEMYNNQEAGPAFMQFLTLLGDVVRLKGFESYRAQLDTKTDSTGTHSLYTTYQDHEIMFHVSTMLPYTPNNQQQLLRKRHIGNDIVTIVFQEPGSKPFCPTTIRSHFQHVFLVVRAHTPCTPHTTYRVAVSRTQDTPAFGPALPAGGGPFAANADFRAFLLAKALNGEQAAGHARQFHAMATRTRQQYLQDLATNEVTTTSLDSASRFGLPSLGGRRRAAPRGPGAELQAAGSLVWGVRAAPGARVAAGAQASGPEGIEVPCLLGISAEALVLVAPRDGRVVFNCACRDVLAWTFSEQQLDLYHGRGEAITLRFDGSPGQAVGEVVARLQLVSRGCETRELALPRDGQGRLGFEVDAEGFVTHVERFTFAETAGLRPGARLLRVCGQTLPSLRPEAAAQLLRSAPKVCVTVLPPDESGRPRRSFSELYTLSLQEPSRRGAPDPVQDEVQGVTLLPTTKQLLHLCLQDGGSPPGPGDLAEERTEFLHSQNSLSPRSSLSDEAPVLPNTTPDLLLATTAKPSVPSADSETPLTQDRPGSPSGSEDKGNPAPELRASFLPRTLSLRNSISRIMSEAGSGTLEDEWQAISEIASTCNTILESLSREGQPIPESGDPKGTPKSDAEPEPGNLSEKVSHLESMLRKLQEDLQKEKADRAALEEEVRSLRHNNRRLQAESESAATRLLLASKQLGSPTADLA
+>DECOY_sp|Q96FS4|SIPA1_HUMAN Signal-induced proliferation-associated protein 1 OS=Homo sapiens OX=9606 GN=SIPA1 PE=1 SV=1
+ALDATPSGLQKSALLLRTAASESEAQLRRNNHRLSRVEEELAARDAKEKQLDEQLKRLMSELHSVKESLNGPEPEADSKPTGKPDGSEPIPQGERSLSELITNCTSAIESIAQWEDELTGSGAESMIRSISNRLSLTRPLFSARLEPAPNGKDESGSPSGPRDQTLPTESDASPVSPKATTALLLDPTTNPLVPAEDSLSSRPSLSNQSHLFETREEALDGPGPPSGGDQLCLHLLQKTTPLLTVGQVEDQVPDPAGRRSPEQLSLTYLESFSRRPRGSEDPPLVTVCVKPASRLLQAAAEPRLSPLTQGCVRLLRAGPRLGATEAFTFREVHTVFGEADVEFGLRGQGDRPLALERTECGRSVLQLRAVVEGVAQGPSGDFRLTIAEGRGHYLDLQQESFTWALVDRCACNFVVRGDRPAVLVLAEASIGLLCPVEIGEPGSAQAGAAVRAGPAARVGWVLSGAAQLEAGPGRPAARRRGGLSPLGFRSASDLSTTTVENTALDQLYQQRTRTAMAHFQRAHGAAQEGNLAKALLFARFDANAAFPGGGAPLAPGFAPTDQTRSVAVRYTTHPTCPTHARVVLFVHQFHSRITTPCFPKSGPEQFVITVIDNGIHRKRLLQQQNNPTYPLMTSVHFMIEHDQYTTYLSHTGTSDTKTDLQARYSEFGKLRVVDGLLTLFQMFAPGAEQNNYMEEESGQGARCYLIGVKRQFSLVQEDLTLLTRPVKPSASGLRLCSPSLQPAVHELLKRPSLGRPPGPPLADESITGRLTRLQTTRVIVRYSHLTGGGSGEKEERRLSVAVPGLSEDMGFFNQHEKGYFYKRYYGAGLDAHELSYASTRNQPEELISVAANPLAPPVPPSAPGGLGLEGEGGLECVFGPAGHLLDSSAAQDEASALTGSSAESHSGMGQSRPAWDFLLSQVDYHAFWRPELVPPFAPEPEEAPLGLLALPDTFLRTSTAAPRSAEEHSHARARPSAPTPPRAEGADSGSRLLPGRVPRPEFTHPTLPPRAPQRLKRAFLDDTSAPAMGRRPSGVGGAWMPM
+>sp|Q96EB6|SIR1_HUMAN NAD-dependent protein deacetylase sirtuin-1 OS=Homo sapiens OX=9606 GN=SIRT1 PE=1 SV=2
+MADEAALALQPGGSPSAAGADREAASSPAGEPLRKRPRRDGPGLERSPGEPGGAAPEREVPAAARGCPGAAAAALWREAEAEAAAAGGEQEAQATAAAGEGDNGPGLQGPSREPPLADNLYDEDDDDEGEEEEEAAAAAIGYRDNLLFGDEIITNGFHSCESDEEDRASHASSSDWTPRPRIGPYTFVQQHLMIGTDPRTILKDLLPETIPPPELDDMTLWQIVINILSEPPKRKKRKDINTIEDAVKLLQECKKIIVLTGAGVSVSCGIPDFRSRDGIYARLAVDFPDLPDPQAMFDIEYFRKDPRPFFKFAKEIYPGQFQPSLCHKFIALSDKEGKLLRNYTQNIDTLEQVAGIQRIIQCHGSFATASCLICKYKVDCEAVRGDIFNQVVPRCPRCPADEPLAIMKPEIVFFGENLPEQFHRAMKYDKDEVDLLIVIGSSLKVRPVALIPSSIPHEVPQILINREPLPHLHFDVELLGDCDVIINELCHRLGGEYAKLCCNPVKLSEITEKPPRTQKELAYLSELPPTPLHVSEDSSSPERTSPPDSSVIVTLLDQAAKSNDDLDVSESKGCMEEKPQEVQTSRNVESIAEQMENPDLKNVGSSTGEKNERTSVAGTVRKCWPNRVAKEQISRRLDGNQYLFLPPNRYIFHGAEVYSDSEDDVLSSSSCGSNSDSGTCQSPSLEEPMEDESEIEEFYNGLEDEPDVPERAGGAGFGTDGDDQEAINEAISVKQEVTDMNYPSNKS
+>DECOY_sp|Q96EB6|SIR1_HUMAN NAD-dependent protein deacetylase sirtuin-1 OS=Homo sapiens OX=9606 GN=SIRT1 PE=1 SV=2
+SKNSPYNMDTVEQKVSIAENIAEQDDGDTGFGAGGAREPVDPEDELGNYFEEIESEDEMPEELSPSQCTGSDSNSGCSSSSLVDDESDSYVEAGHFIYRNPPLFLYQNGDLRRSIQEKAVRNPWCKRVTGAVSTRENKEGTSSGVNKLDPNEMQEAISEVNRSTQVEQPKEEMCGKSESVDLDDNSKAAQDLLTVIVSSDPPSTREPSSSDESVHLPTPPLESLYALEKQTRPPKETIESLKVPNCCLKAYEGGLRHCLENIIVDCDGLLEVDFHLHPLPERNILIQPVEHPISSPILAVPRVKLSSGIVILLDVEDKDYKMARHFQEPLNEGFFVIEPKMIALPEDAPCRPCRPVVQNFIDGRVAECDVKYKCILCSATAFSGHCQIIRQIGAVQELTDINQTYNRLLKGEKDSLAIFKHCLSPQFQGPYIEKAFKFFPRPDKRFYEIDFMAQPDPLDPFDVALRAYIGDRSRFDPIGCSVSVGAGTLVIIKKCEQLLKVADEITNIDKRKKRKPPESLINIVIQWLTMDDLEPPPITEPLLDKLITRPDTGIMLHQQVFTYPGIRPRPTWDSSSAHSARDEEDSECSHFGNTIIEDGFLLNDRYGIAAAAAEEEEEGEDDDDEDYLNDALPPERSPGQLGPGNDGEGAAATAQAEQEGGAAAAEAEAERWLAAAAAGPCGRAAAPVEREPAAGGPEGPSRELGPGDRRPRKRLPEGAPSSAAERDAGAASPSGGPQLALAAEDAM
+>sp|Q9NTG7|SIR3_HUMAN NAD-dependent protein deacetylase sirtuin-3, mitochondrial OS=Homo sapiens OX=9606 GN=SIRT3 PE=1 SV=2
+MAFWGWRAAAALRLWGRVVERVEAGGGVGPFQACGCRLVLGGRDDVSAGLRGSHGARGEPLDPARPLQRPPRPEVPRAFRRQPRAAAPSFFFSSIKGGRRSISFSVGASSVVGSGGSSDKGKLSLQDVAELIRARACQRVVVMVGAGISTPSGIPDFRSPGSGLYSNLQQYDLPYPEAIFELPFFFHNPKPFFTLAKELYPGNYKPNVTHYFLRLLHDKGLLLRLYTQNIDGLERVSGIPASKLVEAHGTFASATCTVCQRPFPGEDIRADVMADRVPRCPVCTGVVKPDIVFFGEPLPQRFLLHVVDFPMADLLLILGTSLEVEPFASLTEAVRSSVPRLLINRDLVGPLAWHPRSRDVAQLGDVVHGVESLVELLGWTEEMRDLVQRETGKLDGPDK
+>DECOY_sp|Q9NTG7|SIR3_HUMAN NAD-dependent protein deacetylase sirtuin-3, mitochondrial OS=Homo sapiens OX=9606 GN=SIRT3 PE=1 SV=2
+KDPGDLKGTERQVLDRMEETWGLLEVLSEVGHVVDGLQAVDRSRPHWALPGVLDRNILLRPVSSRVAETLSAFPEVELSTGLILLLDAMPFDVVHLLFRQPLPEGFFVIDPKVVGTCVPCRPVRDAMVDARIDEGPFPRQCVTCTASAFTGHAEVLKSAPIGSVRELGDINQTYLRLLLGKDHLLRLFYHTVNPKYNGPYLEKALTFFPKPNHFFFPLEFIAEPYPLDYQQLNSYLGSGPSRFDPIGSPTSIGAGVMVVVRQCARARILEAVDQLSLKGKDSSGGSGVVSSAGVSFSISRRGGKISSFFFSPAAARPQRRFARPVEPRPPRQLPRAPDLPEGRAGHSGRLGASVDDRGGLVLRCGCAQFPGVGGGAEVREVVRGWLRLAAAARWGWFAM
+>sp|Q9NRC8|SIR7_HUMAN NAD-dependent protein deacetylase sirtuin-7 OS=Homo sapiens OX=9606 GN=SIRT7 PE=1 SV=1
+MAAGGLSRSERKAAERVRRLREEQQRERLRQVSRILRKAAAERSAEEGRLLAESADLVTELQGRSRRREGLKRRQEEVCDDPEELRGKVRELASAVRNAKYLVVYTGAGISTAASIPDYRGPNGVWTLLQKGRSVSAADLSEAEPTLTHMSITRLHEQKLVQHVVSQNCDGLHLRSGLPRTAISELHGNMYIEVCTSCVPNREYVRVFDVTERTALHRHQTGRTCHKCGTQLRDTIVHFGERGTLGQPLNWEAATEAASRADTILCLGSSLKVLKKYPRLWCMTKPPSRRPKLYIVNLQWTPKDDWAALKLHGKCDDVMRLLMAELGLEIPAYSRWQDPIFSLATPLRAGEEGSHSRKSLCRSREEAPPGDRGAPLSSAPILGGWFGRGCTKRTKRKKVT
+>DECOY_sp|Q9NRC8|SIR7_HUMAN NAD-dependent protein deacetylase sirtuin-7 OS=Homo sapiens OX=9606 GN=SIRT7 PE=1 SV=1
+TVKKRKTRKTCGRGFWGGLIPASSLPAGRDGPPAEERSRCLSKRSHSGEEGARLPTALSFIPDQWRSYAPIELGLEAMLLRMVDDCKGHLKLAAWDDKPTWQLNVIYLKPRRSPPKTMCWLRPYKKLVKLSSGLCLITDARSAAETAAEWNLPQGLTGREGFHVITDRLQTGCKHCTRGTQHRHLATRETVDFVRVYERNPVCSTCVEIYMNGHLESIATRPLGSRLHLGDCNQSVVHQVLKQEHLRTISMHTLTPEAESLDAASVSRGKQLLTWVGNPGRYDPISAATSIGAGTYVVLYKANRVASALERVKGRLEEPDDCVEEQRRKLGERRRSRGQLETVLDASEALLRGEEASREAAAKRLIRSVQRLRERQQEERLRRVREAAKRESRSLGGAAM
+>sp|Q96BD8|SKA1_HUMAN Spindle and kinetochore-associated protein 1 OS=Homo sapiens OX=9606 GN=SKA1 PE=1 SV=1
+MASSDLEQLCSHVNEKIGNIKKTLSLRNCGQEPTLKTVLNKIGDEIIVINELLNKLELEIQYQEQTNNSLKELCESLEEDYKDIEHLKENVPSHLPQVTVTQSCVKGSDLDPEEPIKVEEPEPVKKPPKEQRSIKEMPFITCDEFNGVPSYMKSRLTYNQINDVIKEINKAVISKYKILHQPKKSMNSVTRNLYHRFIDEETKDTKGRYFIVEADIKEFTTLKADKKFHVLLNILRHCRRLSEVRGGGLTRYVIT
+>DECOY_sp|Q96BD8|SKA1_HUMAN Spindle and kinetochore-associated protein 1 OS=Homo sapiens OX=9606 GN=SKA1 PE=1 SV=1
+TIVYRTLGGGRVESLRRCHRLINLLVHFKKDAKLTTFEKIDAEVIFYRGKTDKTEEDIFRHYLNRTVSNMSKKPQHLIKYKSIVAKNIEKIVDNIQNYTLRSKMYSPVGNFEDCTIFPMEKISRQEKPPKKVPEPEEVKIPEEPDLDSGKVCSQTVTVQPLHSPVNEKLHEIDKYDEELSECLEKLSNNTQEQYQIELELKNLLENIVIIEDGIKNLVTKLTPEQGCNRLSLTKKINGIKENVHSCLQELDSSAM
+>sp|Q2VWA4|SKOR2_HUMAN SKI family transcriptional corepressor 2 OS=Homo sapiens OX=9606 GN=SKOR2 PE=1 SV=2
+MASSPLPGPNDILLASPSSAFQPDTLSQPRPGHANLKPNQVGQVILYGIPIVSLVIDGQERLCLAQISNTLLKNFSYNEIHNRRVALGITCVQCTPVQLEILRRAGAMPISSRRCGMITKREAERLCKSFLGENRPPKLPDNFAFDVSHECAWGCRGSFIPARYNSSRAKCIKCSYCNMYFSPNKFIFHSHRTPDAKYTQPDAANFNSWRRHLKLTDKSPQDELVFAWEDVKAMFNGGSRKRALPQPGAHPACHPLSSVKAAAVAAAAAVAGGGGLLGPHLLGAPPPPPPPPPPLAELAGAPHAHHKRPRFDDDDDSLQEAAVVAAASLSAAAASLSVAAASGGAGTGGGGAGGGCVAGVGVGAGAGAGAGAGAKGPRSYPVIPVPSKGSFGGVLQKFPGCGGLFPHPYTFPAAAAAFSLCHKKEDAGAAAEALGGAGAGGAGAAPKAGLSGLFWPAGRKDAFYPPFCMFWPPRTPGGLPVPTYLQPPPQPPSALGCALGESPALLRQAFLDLAEPGGAAGSAEAAPPPGQPPQVVANGPGSGPPPPAGGAGSRDALFESPPGGSGGDCSAGSTPPADSVAAAGAGAAAAGSGPAGSRVPAPHHPHLLEGRKAGGGSYHHSSAFRPVGGKDDAESLAKLHGASAGAPHSAQTHPHHHHHPHHHHHHHHPPQPPSPLLLLPPQPDEPGSERHHPAPPPPPPPPPPPPLAQHPHHRGLLSPGGTSCCYPSEDSSEDEDDEEEEQEVDVEGHKPPEGEEEEEGRDPDDDEEEDEETEVLLGDPLVGGGRFLQGRGPSEKGSSRDRAPAVAGAFPLGLNSSRLLQEDGKLGDPGSDLPPPPPPPLAPQKASGGGSSSPGSPVHHPSLEEQPSYKDSQKTKENNQVIVSTKDDNSFSDKNKEHSFFITDSDASGGDFWRERSGEHTQETNSPHSLKKDVENMGKEELQKVLFEQIDLRRRLEQEFQVLKGNTSFPVFNNFQDQMKRELAYREEMVQQLQIVSCIST
+>DECOY_sp|Q2VWA4|SKOR2_HUMAN SKI family transcriptional corepressor 2 OS=Homo sapiens OX=9606 GN=SKOR2 PE=1 SV=2
+TSICSVIQLQQVMEERYALERKMQDQFNNFVPFSTNGKLVQFEQELRRRLDIQEFLVKQLEEKGMNEVDKKLSHPSNTEQTHEGSRERWFDGGSADSDTIFFSHEKNKDSFSNDDKTSVIVQNNEKTKQSDKYSPQEELSPHHVPSGPSSSGGGSAKQPALPPPPPPPLDSGPDGLKGDEQLLRSSNLGLPFAGAVAPARDRSSGKESPGRGQLFRGGGVLPDGLLVETEEDEEEDDDPDRGEEEEEGEPPKHGEVDVEQEEEEDDEDESSDESPYCCSTGGPSLLGRHHPHQALPPPPPPPPPPPPAPHHRESGPEDPQPPLLLLPSPPQPPHHHHHHHHPHHHHHPHTQASHPAGASAGHLKALSEADDKGGVPRFASSHHYSGGGAKRGELLHPHHPAPVRSGAPGSGAAAAGAGAAAVSDAPPTSGASCDGGSGGPPSEFLADRSGAGGAPPPPGSGPGNAVVQPPQGPPPAAEASGAAGGPEALDLFAQRLLAPSEGLACGLASPPQPPPQLYTPVPLGGPTRPPWFMCFPPYFADKRGAPWFLGSLGAKPAAGAGGAGAGGLAEAAAGADEKKHCLSFAAAAAPFTYPHPFLGGCGPFKQLVGGFSGKSPVPIVPYSRPGKAGAGAGAGAGAGVGVGAVCGGGAGGGGTGAGGSAAAVSLSAAAASLSAAAVVAAEQLSDDDDDFRPRKHHAHPAGALEALPPPPPPPPPPAGLLHPGLLGGGGAVAAAAAVAAAKVSSLPHCAPHAGPQPLARKRSGGNFMAKVDEWAFVLEDQPSKDTLKLHRRWSNFNAADPQTYKADPTRHSHFIFKNPSFYMNCYSCKICKARSSNYRAPIFSGRCGWACEHSVDFAFNDPLKPPRNEGLFSKCLREAERKTIMGCRRSSIPMAGARRLIELQVPTCQVCTIGLAVRRNHIENYSFNKLLTNSIQALCLREQGDIVLSVIPIGYLIVQGVQNPKLNAHGPRPQSLTDPQFASSPSALLIDNPGPLPSSAM
+>sp|Q6AI14|SL9A4_HUMAN Sodium/hydrogen exchanger 4 OS=Homo sapiens OX=9606 GN=SLC9A4 PE=2 SV=2
+MALQMFVTYSPWNCLLLLVALECSEASSDLNESANSTAQYASNAWFAAASSEPEEGISVFELDYDYVQIPYEVTLWILLASLAKIGFHLYHRLPGLMPESCLLILVGALVGGIIFGTDHKSPPVMDSSIYFLYLLPPIVLEGGYFMPTRPFFENIGSILWWAVLGALINALGIGLSLYLICQVKAFGLGDVNLLQNLLFGSLISAVDPVAVLAVFEEARVNEQLYMMIFGEALLNDGITVVLYNMLIAFTKMHKFEDIETVDILAGCARFIVVGLGGVLFGIVFGFISAFITRFTQNISAIEPLIVFMFSYLSYLAAETLYLSGILAITACAVTMKKYVEENVSQTSYTTIKYFMKMLSSVSETLIFIFMGVSTVGKNHEWNWAFICFTLAFCQIWRAISVFALFYISNQFRTFPFSIKDQCIIFYSGVRGAGSFSLAFLLPLSLFPRKKMFVTATLVVIYFTVFIQGITVGPLVRYLDVKKTNKKESINEELHIRLMDHLKAGIEDVCGHWSHYQVRDKFKKFDHRYLRKILIRKNLPKSSIVSLYKKLEMKQAIEMVETGILSSTAFSIPHQAQRIQGIKRLSPEDVESIRDILTSNMYQVRQRTLSYNKYNLKPQTSEKQAKEILIRRQNTLRESMRKGHSLPWGKPAGTKNIRYLSYPYGNPQSAGRDTRAAGFSDDDSSDPGSPSITFSACSRIGSLQKQEAQEIIPMKSLHRGRKAFSFGYQRNTSQEEYLGGVRRVALRPKPLFHAVDEEGESGGESEGKASLVEVRSRWTADHGHGRDHHRSHSPLLQKK
+>DECOY_sp|Q6AI14|SL9A4_HUMAN Sodium/hydrogen exchanger 4 OS=Homo sapiens OX=9606 GN=SLC9A4 PE=2 SV=2
+KKQLLPSHSRHHDRGHGHDATWRSRVEVLSAKGESEGGSEGEEDVAHFLPKPRLAVRRVGGLYEEQSTNRQYGFSFAKRGRHLSKMPIIEQAEQKQLSGIRSCASFTISPSGPDSSDDDSFGAARTDRGASQPNGYPYSLYRINKTGAPKGWPLSHGKRMSERLTNQRRILIEKAQKESTQPKLNYKNYSLTRQRVQYMNSTLIDRISEVDEPSLRKIGQIRQAQHPISFATSSLIGTEVMEIAQKMELKKYLSVISSKPLNKRILIKRLYRHDFKKFKDRVQYHSWHGCVDEIGAKLHDMLRIHLEENISEKKNTKKVDLYRVLPGVTIGQIFVTFYIVVLTATVFMKKRPFLSLPLLFALSFSGAGRVGSYFIICQDKISFPFTRFQNSIYFLAFVSIARWIQCFALTFCIFAWNWEHNKGVTSVGMFIFILTESVSSLMKMFYKITTYSTQSVNEEVYKKMTVACATIALIGSLYLTEAALYSLYSFMFVILPEIASINQTFRTIFASIFGFVIGFLVGGLGVVIFRACGALIDVTEIDEFKHMKTFAILMNYLVVTIGDNLLAEGFIMMYLQENVRAEEFVALVAVPDVASILSGFLLNQLLNVDGLGFAKVQCILYLSLGIGLANILAGLVAWWLISGINEFFPRTPMFYGGELVIPPLLYLFYISSDMVPPSKHDTGFIIGGVLAGVLILLCSEPMLGPLRHYLHFGIKALSALLIWLTVEYPIQVYDYDLEFVSIGEEPESSAAAFWANSAYQATSNASENLDSSAESCELAVLLLLCNWPSYTVFMQLAM
+>sp|Q9UIB8|SLAF5_HUMAN SLAM family member 5 OS=Homo sapiens OX=9606 GN=CD84 PE=1 SV=1
+MAQHHLWILLLCLQTWPEAAGKDSEIFTVNGILGESVTFPVNIQEPRQVKIIAWTSKTSVAYVTPGDSETAPVVTVTHRNYYERIHALGPNYNLVISDLRMEDAGDYKADINTQADPYTTTKRYNLQIYRRLGKPKITQSLMASVNSTCNVTLTCSVEKEEKNVTYNWSPLGEEGNVLQIFQTPEDQELTYTCTAQNPVSNNSDSISARQLCADIAMGFRTHHTGLLSVLAMFFLLVLILSSVFLFRLFKRRQGRIFPEGSCLNTFTKNPYAASKKTIYTYIMASRNTQPAESRIYDEILQSKVLPSKEEPVNTVYSEVQFADKMGKASTQDSKPPGTSSYEIVI
+>DECOY_sp|Q9UIB8|SLAF5_HUMAN SLAM family member 5 OS=Homo sapiens OX=9606 GN=CD84 PE=1 SV=1
+IVIEYSSTGPPKSDQTSAKGMKDAFQVESYVTNVPEEKSPLVKSQLIEDYIRSEAPQTNRSAMIYTYITKKSAAYPNKTFTNLCSGEPFIRGQRRKFLRFLFVSSLILVLLFFMALVSLLGTHHTRFGMAIDACLQRASISDSNNSVPNQATCTYTLEQDEPTQFIQLVNGEEGLPSWNYTVNKEEKEVSCTLTVNCTSNVSAMLSQTIKPKGLRRYIQLNYRKTTTYPDAQTNIDAKYDGADEMRLDSIVLNYNPGLAHIREYYNRHTVTVVPATESDGPTVYAVSTKSTWAIIKVQRPEQINVPFTVSEGLIGNVTFIESDKGAAEPWTQLCLLLIWLHHQAM
+>sp|Q9H6Q3|SLAP2_HUMAN Src-like-adapter 2 OS=Homo sapiens OX=9606 GN=SLA2 PE=1 SV=3
+MGSLPSRRKSLPSPSLSSSVQGQGPVTMEAERSKATAVALGSFPAGGPAELSLRLGEPLTIVSEDGDWWTVLSEVSGREYNIPSVHVAKVSHGWLYEGLSREKAEELLLLPGNPGGAFLIRESQTRRGSYSLSVRLSRPASWDRIRHYRIHCLDNGWLYISPRLTFPSLQALVDHYSELADDICCLLKEPCVLQRAGPLPGKDIPLPVTVQRTPLNWKELDSSLLFSEAATGEESLLSEGLRESLSFYISLNDEAVSLDDA
+>DECOY_sp|Q9H6Q3|SLAP2_HUMAN Src-like-adapter 2 OS=Homo sapiens OX=9606 GN=SLA2 PE=1 SV=3
+ADDLSVAEDNLSIYFSLSERLGESLLSEEGTAAESFLLSSDLEKWNLPTRQVTVPLPIDKGPLPGARQLVCPEKLLCCIDDALESYHDVLAQLSPFTLRPSIYLWGNDLCHIRYHRIRDWSAPRSLRVSLSYSGRRTQSERILFAGGPNGPLLLLEEAKERSLGEYLWGHSVKAVHVSPINYERGSVESLVTWWDGDESVITLPEGLRLSLEAPGGAPFSGLAVATAKSREAEMTVPGQGQVSSSLSPSPLSKRRSPLSGM
+>sp|Q07837|SLC31_HUMAN Neutral and basic amino acid transport protein rBAT OS=Homo sapiens OX=9606 GN=SLC3A1 PE=1 SV=2
+MAEDKSKRDSIEMSMKGCQTNNGFVHNEDILEQTPDPGSSTDNLKHSTRGILGSQEPDFKGVQPYAGMPKEVLFQFSGQARYRIPREILFWLTVASVLVLIAATIAIIALSPKCLDWWQEGPMYQIYPRSFKDSNKDGNGDLKGIQDKLDYITALNIKTVWITSFYKSSLKDFRYGVEDFREVDPIFGTMEDFENLVAAIHDKGLKLIIDFIPNHTSDKHIWFQLSRTRTGKYTDYYIWHDCTHENGKTIPPNNWLSVYGNSSWHFDEVRNQCYFHQFMKEQPDLNFRNPDVQEEIKEILRFWLTKGVDGFSLDAVKFLLEAKHLRDEIQVNKTQIPDTVTQYSELYHDFTTTQVGMHDIVRSFRQTMDQYSTEPGRYRFMGTEAYAESIDRTVMYYGLPFIQEADFPFNNYLSMLDTVSGNSVYEVITSWMENMPEGKWPNWMIGGPDSSRLTSRLGNQYVNVMNMLLFTLPGTPITYYGEEIGMGNIVAANLNESYDINTLRSKSPMQWDNSSNAGFSEASNTWLPTNSDYHTVNVDVQKTQPRSALKLYQDLSLLHANELLLNRGWFCHLRNDSHYVVYTRELDGIDRIFIVVLNFGESTLLNLHNMISGLPAKMRIRLSTNSADKGSKVDTSGIFLDKGEGLIFEHNTKNLLHRQTAFRDRCFVSNRACYSSVLNILYTSC
+>DECOY_sp|Q07837|SLC31_HUMAN Neutral and basic amino acid transport protein rBAT OS=Homo sapiens OX=9606 GN=SLC3A1 PE=1 SV=2
+CSTYLINLVSSYCARNSVFCRDRFATQRHLLNKTNHEFILGEGKDLFIGSTDVKSGKDASNTSLRIRMKAPLGSIMNHLNLLTSEGFNLVVIFIRDIGDLERTYVVYHSDNRLHCFWGRNLLLENAHLLSLDQYLKLASRPQTKQVDVNVTHYDSNTPLWTNSAESFGANSSNDWQMPSKSRLTNIDYSENLNAAVINGMGIEEGYYTIPTGPLTFLLMNMVNVYQNGLRSTLRSSDPGGIMWNPWKGEPMNEMWSTIVEYVSNGSVTDLMSLYNNFPFDAEQIFPLGYYMVTRDISEAYAETGMFRYRGPETSYQDMTQRFSRVIDHMGVQTTTFDHYLESYQTVTDPIQTKNVQIEDRLHKAELLFKVADLSFGDVGKTLWFRLIEKIEEQVDPNRFNLDPQEKMFQHFYCQNRVEDFHWSSNGYVSLWNNPPITKGNEHTCDHWIYYDTYKGTRTRSLQFWIHKDSTHNPIFDIILKLGKDHIAAVLNEFDEMTGFIPDVERFDEVGYRFDKLSSKYFSTIWVTKINLATIYDLKDQIGKLDGNGDKNSDKFSRPYIQYMPGEQWWDLCKPSLAIIAITAAILVLVSAVTLWFLIERPIRYRAQGSFQFLVEKPMGAYPQVGKFDPEQSGLIGRTSHKLNDTSSGPDPTQELIDENHVFGNNTQCGKMSMEISDRKSKDEAM
+>sp|P03973|SLPI_HUMAN Antileukoproteinase OS=Homo sapiens OX=9606 GN=SLPI PE=1 SV=2
+MKSSGLFPFLVLLALGTLAPWAVEGSGKSFKAGVCPPKKSAQCLRYKKPECQSDWQCPGKKRCCPDTCGIKCLDPVDTPNPTRRKPGKCPVTYGQCLMLNPPNFCEMDGQCKRDLKCCMGMCGKSCVSPVKA
+>DECOY_sp|P03973|SLPI_HUMAN Antileukoproteinase OS=Homo sapiens OX=9606 GN=SLPI PE=1 SV=2
+AKVPSVCSKGCMGMCCKLDRKCQGDMECFNPPNLMLCQGYTVPCKGPKRRTPNPTDVPDLCKIGCTDPCCRKKGPCQWDSQCEPKKYRLCQASKKPPCVGAKFSKGSGEVAWPALTGLALLVLFPFLGSSKM
+>sp|Q9BQ83|SLX1_HUMAN Structure-specific endonuclease subunit SLX1 OS=Homo sapiens OX=9606 GN=SLX1A PE=1 SV=1
+MGPAGVAARPGRFFGVYLLYCLNPRYRGRVYVGFTVNTARRVQQHNGGRKKGGAWRTSGRGPWEMVLVVHGFPSSVAALRFEWAWQHPHASRRLAHVGPRLRGETAFAFHLRVLAHMLRAPPWARLPLTLRWVRPDLRQDLCLPPPPHVPLAFGPPPPQAPAPRRRAGPFDDAEPEPDQGDPGACCSLCAQTIQDEEGPLCCPHPGCLLRAHVICLAEEFLQEEPGQLLPLEGQCPCCEKSLLWGDLIWLCQMDTEKEVEDSELEEAHWTDLLET
+>DECOY_sp|Q9BQ83|SLX1_HUMAN Structure-specific endonuclease subunit SLX1 OS=Homo sapiens OX=9606 GN=SLX1A PE=1 SV=1
+TELLDTWHAEELESDEVEKETDMQCLWILDGWLLSKECCPCQGELPLLQGPEEQLFEEALCIVHARLLCGPHPCCLPGEEDQITQACLSCCAGPDGQDPEPEADDFPGARRRPAPAQPPPPGFALPVHPPPPLCLDQRLDPRVWRLTLPLRAWPPARLMHALVRLHFAFATEGRLRPGVHALRRSAHPHQWAWEFRLAAVSSPFGHVVLVMEWPGRGSTRWAGGKKRGGNHQQVRRATNVTFGVYVRGRYRPNLCYLLYVGFFRGPRAAVGAPGM
+>sp|P84022|SMAD3_HUMAN Mothers against decapentaplegic homolog 3 OS=Homo sapiens OX=9606 GN=SMAD3 PE=1 SV=1
+MSSILPFTPPIVKRLLGWKKGEQNGQEEKWCEKAVKSLVKKLKKTGQLDELEKAITTQNVNTKCITIPRSLDGRLQVSHRKGLPHVIYCRLWRWPDLHSHHELRAMELCEFAFNMKKDEVCVNPYHYQRVETPVLPPVLVPRHTEIPAEFPPLDDYSHSIPENTNFPAGIEPQSNIPETPPPGYLSEDGETSDHQMNHSMDAGSPNLSPNPMSPAHNNLDLQPVTYCEPAFWCSISYYELNQRVGETFHASQPSMTVDGFTDPSNSERFCLGLLSNVNRNAAVELTRRHIGRGVRLYYIGGEVFAECLSDSAIFVQSPNCNQRYGWHPATVCKIPPGCNLKIFNNQEFAALLAQSVNQGFEAVYQLTRMCTIRMSFVKGWGAEYRRQTVTSTPCWIELHLNGPLQWLDKVLTQMGSPSIRCSSVS
+>DECOY_sp|P84022|SMAD3_HUMAN Mothers against decapentaplegic homolog 3 OS=Homo sapiens OX=9606 GN=SMAD3 PE=1 SV=1
+SVSSCRISPSGMQTLVKDLWQLPGNLHLEIWCPTSTVTQRRYEAGWGKVFSMRITCMRTLQYVAEFGQNVSQALLAAFEQNNFIKLNCGPPIKCVTAPHWGYRQNCNPSQVFIASDSLCEAFVEGGIYYLRVGRGIHRRTLEVAANRNVNSLLGLCFRESNSPDTFGDVTMSPQSAHFTEGVRQNLEYYSISCWFAPECYTVPQLDLNNHAPSMPNPSLNPSGADMSHNMQHDSTEGDESLYGPPPTEPINSQPEIGAPFNTNEPISHSYDDLPPFEAPIETHRPVLVPPLVPTEVRQYHYPNVCVEDKKMNFAFECLEMARLEHHSHLDPWRWLRCYIVHPLGKRHSVQLRGDLSRPITICKTNVNQTTIAKELEDLQGTKKLKKVLSKVAKECWKEEQGNQEGKKWGLLRKVIPPTFPLISSM
+>sp|Q8IY18|SMC5_HUMAN Structural maintenance of chromosomes protein 5 OS=Homo sapiens OX=9606 GN=SMC5 PE=1 SV=2
+MATPSKKTSTPSPQPSKRALPRDPSSEVPSKRKNSAPQLPLLQSSGPFVEGSIVRISMENFLTYDICEVSPGPHLNMIVGANGTGKSSIVCAICLGLAGKPAFMGRADKVGFFVKRGCSRGMVEIELFRASGNLVITREIDVAKNQSFWFINKKSTTQKIVEEKVAALNIQVGNLCQFLPQDKVGEFAKLSKIELLEATEKSIGPPEMHKYHCELKNLREKEKQLETSCKEKTEYLQKMVQRNERYKQDVERFYERKRHLDLIEMLEAKRPWVEYENVRQEYEEVKLVRDRVKEEVRKLKEGQIPVTCRIEEMENERHNLEARIKEKATDIKEASQKCKQKQDVIERKDKHIEELQQALIVKQNEELDRQRRIGNTRKMIEDLQNELKTTENCENLQPQIDAITNDLRRIQDEKALCEGEIIDKRRERETLEKEKKSVDDHIVRFDNLMNQKEDKLRQRFRDTYDAVLWLRNNRDKFKQRVCEPIMLTINMKDNKNAKYIENHIPSNDLRAFVFESQEDMEVFLKEVRDNKKLRVNAVIAPKSSYADKAPSRSLNELKQYGFFSYLRELFDAPDPVMSYLCCQYHIHEVPVGTEKTRERIERVIQETRLKQIYTAEEKYVVKTSFYSNKVISSNTSLKVAQFLTVTVDLEQRRHLEEQLKEIHRKLQAVDSGLIALRETSKHLEHKDNELRQKKKELLERKTKKRQLEQKISSKLGSLKLMEQDTCNLEEEERKASTKIKEINVQKAKLVTELTNLIKICTSLHIQKVDLILQNTTVISEKNKLESDYMAASSQLRLTEQHFIELDENRQRLLQKCKELMKRARQVCNLGAEQTLPQEYQTQVPTIPNGHNSSLPMVFQDLPNTLDEIDALLTEERSRASCFTGLNPTIVQEYTKREEEIEQLTEELKGKKVELDQYRENISQVKERWLNPLKELVEKINEKFSNFFSSMQCAGEVDLHTENEEDYDKYGIRIRVKFRSSTQLHELTPHHQSGGERSVSTMLYLMALQELNRCPFRVVDEINQGMDPINERRVFEMVVNTACKENTSQYFFITPKLLQNLPYSEKMTVLFVYNGPHMLEPNTWNLKAFQRRRRRITFTQPS
+>DECOY_sp|Q8IY18|SMC5_HUMAN Structural maintenance of chromosomes protein 5 OS=Homo sapiens OX=9606 GN=SMC5 PE=1 SV=2
+SPQTFTIRRRRRQFAKLNWTNPELMHPGNYVFLVTMKESYPLNQLLKPTIFFYQSTNEKCATNVVMEFVRRENIPDMGQNIEDVVRFPCRNLEQLAMLYLMTSVSREGGSQHHPTLEHLQTSSRFKVRIRIGYKDYDEENETHLDVEGACQMSSFFNSFKENIKEVLEKLPNLWREKVQSINERYQDLEVKKGKLEETLQEIEEERKTYEQVITPNLGTFCSARSREETLLADIEDLTNPLDQFVMPLSSNHGNPITPVQTQYEQPLTQEAGLNCVQRARKMLEKCKQLLRQRNEDLEIFHQETLRLQSSAAMYDSELKNKESIVTTNQLILDVKQIHLSTCIKILNTLETVLKAKQVNIEKIKTSAKREEEELNCTDQEMLKLSGLKSSIKQELQRKKTKRELLEKKKQRLENDKHELHKSTERLAILGSDVAQLKRHIEKLQEELHRRQELDVTVTLFQAVKLSTNSSIVKNSYFSTKVVYKEEATYIQKLRTEQIVREIRERTKETGVPVEHIHYQCCLYSMVPDPADFLERLYSFFGYQKLENLSRSPAKDAYSSKPAIVANVRLKKNDRVEKLFVEMDEQSEFVFARLDNSPIHNEIYKANKNDKMNITLMIPECVRQKFKDRNNRLWLVADYTDRFRQRLKDEKQNMLNDFRVIHDDVSKKEKELTERERRKDIIEGECLAKEDQIRRLDNTIADIQPQLNECNETTKLENQLDEIMKRTNGIRRQRDLEENQKVILAQQLEEIHKDKREIVDQKQKCKQSAEKIDTAKEKIRAELNHRENEMEEIRCTVPIQGEKLKRVEEKVRDRVLKVEEYEQRVNEYEVWPRKAELMEILDLHRKREYFREVDQKYRENRQVMKQLYETKEKCSTELQKEKERLNKLECHYKHMEPPGISKETAELLEIKSLKAFEGVKDQPLFQCLNGVQINLAAVKEEVIKQTTSKKNIFWFSQNKAVDIERTIVLNGSARFLEIEVMGRSCGRKVFFGVKDARGMFAPKGALGLCIACVISSKGTGNAGVIMNLHPGPSVECIDYTLFNEMSIRVISGEVFPGSSQLLPLQPASNKRKSPVESSPDRPLARKSPQPSPTSTKKSPTAM
+>sp|Q96SB8|SMC6_HUMAN Structural maintenance of chromosomes protein 6 OS=Homo sapiens OX=9606 GN=SMC6 PE=1 SV=2
+MAKRKEENFSSPKNAKRPRQEELEDFDKDGDEDECKGTTLTAAEVGIIESIHLKNFMCHSMLGPFKFGSNVNFVVGNNGSGKSAVLTALIVGLGGRAVATNRGSSLKGFVKDGQNSADISITLRNRGDDAFKASVYGNSILIQQHISIDGSRSYKLKSATGSVVSTRKEELIAILDHFNIQVDNPVSVLTQEMSKQFLQSKNEGDKYKFFMKATQLEQMKEDYSYIMETKERTKEQIHQGEERLTELKRQCVEKEERFQSIAGLSTMKTNLESLKHEMAWAVVNEIEKQLNAIRDNIKIGEDRAARLDRKMEEQQVRLNEAEQKYKDIQDKLEKISEETNARAPECMALKADVVAKKRAYNEAEVLYNRSLNEYKALKKDDEQLCKRIEELKKSTDQSLEPERLERQKKISWLKERVKAFQNQENSVNQEIEQFQQAIEKDKEEHGKIKREELDVKHALSYNQRQLKELKDSKTDRLKRFGPNVPALLEAIDDAYRQGHFTYKPVGPLGACIHLRDPELALAIESCLKGLLQAYCCHNHADERVLQALMKRFYLPGTSRPPIIVSEFRNEIYDVRHRAAYHPDFPTVLTALEIDNAVVANSLIDMRGIETVLLIKNNSVARAVMQSQKPPKNCREAFTADGDQVFAGRYYSSENTRPKFLSRDVDSEISDLENEVENKTAQILNLQQHLSALEKDIKHNEELLKRCQLHYKELKMKIRKNISEIRELENIEEHQSVDIATLEDEAQENKSKMKMVEEHMEQQKENMEHLKSLKIEAENKYDAIKFKINQLSELADPLKDELNLADSEVDNQKRGKRHYEEKQKEHLDTLNKKKRELDMKEKELEEKMSQARQICPERIEVEKSASILDKEINRLRQKIQAEHASHGDREEIMRQYQEARETYLDLDSKVRTLKKFIKLLGEIMEHRFKTYQQFRRCLTLRCKLYFDNLLSQRAYCGKMNFDHKNETLSISVQPGEGNKAAFNDMRALSGGERSFSTVCFILSLWSIAESPFRCLDEFDVYMDMVNRRIAMDLILKMADSQRFRQFILLTPQSMSSLPSSKLIRILRMSDPERGQTTLPFRPVTQEEDDDQR
+>DECOY_sp|Q96SB8|SMC6_HUMAN Structural maintenance of chromosomes protein 6 OS=Homo sapiens OX=9606 GN=SMC6 PE=1 SV=2
+RQDDDEEQTVPRFPLTTQGREPDSMRLIRILKSSPLSSMSQPTLLIFQRFRQSDAMKLILDMAIRRNVMDMYVDFEDLCRFPSEAISWLSLIFCVTSFSREGGSLARMDNFAAKNGEGPQVSISLTENKHDFNMKGCYARQSLLNDFYLKCRLTLCRRFQQYTKFRHEMIEGLLKIFKKLTRVKSDLDLYTERAEQYQRMIEERDGHSAHEAQIKQRLRNIEKDLISASKEVEIREPCIQRAQSMKEELEKEKMDLERKKKNLTDLHEKQKEEYHRKGRKQNDVESDALNLEDKLPDALESLQNIKFKIADYKNEAEIKLSKLHEMNEKQQEMHEEVMKMKSKNEQAEDELTAIDVSQHEEINELERIESINKRIKMKLEKYHLQCRKLLEENHKIDKELASLHQQLNLIQATKNEVENELDSIESDVDRSLFKPRTNESSYYRGAFVQDGDATFAERCNKPPKQSQMVARAVSNNKILLVTEIGRMDILSNAVVANDIELATLVTPFDPHYAARHRVDYIENRFESVIIPPRSTGPLYFRKMLAQLVREDAHNHCCYAQLLGKLCSEIALALEPDRLHICAGLPGVPKYTFHGQRYADDIAELLAPVNPGFRKLRDTKSDKLEKLQRQNYSLAHKVDLEERKIKGHEEKDKEIAQQFQEIEQNVSNEQNQFAKVREKLWSIKKQRELREPELSQDTSKKLEEIRKCLQEDDKKLAKYENLSRNYLVEAENYARKKAVVDAKLAMCEPARANTEESIKELKDQIDKYKQEAENLRVQQEEMKRDLRAARDEGIKINDRIANLQKEIENVVAWAMEHKLSELNTKMTSLGAISQFREEKEVCQRKLETLREEGQHIQEKTREKTEMIYSYDEKMQELQTAKMFFKYKDGENKSQLFQKSMEQTLVSVPNDVQINFHDLIAILEEKRTSVVSGTASKLKYSRSGDISIHQQILISNGYVSAKFADDGRNRLTISIDASNQGDKVFGKLSSGRNTAVARGGLGVILATLVASKGSGNNGVVFNVNSGFKFPGLMSHCMFNKLHISEIIGVEAATLTTGKCEDEDGDKDFDELEEQRPRKANKPSSFNEEKRKAM
+>sp|Q16613|SNAT_HUMAN Serotonin N-acetyltransferase OS=Homo sapiens OX=9606 GN=AANAT PE=1 SV=1
+MSTQSTHPLKPEAPRLPPGIPESPSCQRRHTLPASEFRCLTPEDAVSAFEIEREAFISVLGVCPLYLDEIRHFLTLCPELSLGWFEEGCLVAFIIGSLWDKERLMQESLTLHRSGGHIAHLHVLAVHRAFRQQGRGPILLWRYLHHLGSQPAVRRAALMCEDALVPFYERFSFHAVGPCAITVGSLTFMELHCSLRGHPFLRRNSGC
+>DECOY_sp|Q16613|SNAT_HUMAN Serotonin N-acetyltransferase OS=Homo sapiens OX=9606 GN=AANAT PE=1 SV=1
+CGSNRRLFPHGRLSCHLEMFTLSGVTIACPGVAHFSFREYFPVLADECMLAARRVAPQSGLHHLYRWLLIPGRGQQRFARHVALVHLHAIHGGSRHLTLSEQMLREKDWLSGIIFAVLCGEEFWGLSLEPCLTLFHRIEDLYLPCVGLVSIFAEREIEFASVADEPTLCRFESAPLTHRRQCSPSEPIGPPLRPAEPKLPHTSQTSM
+>sp|Q9Y6H5|SNCAP_HUMAN Synphilin-1 OS=Homo sapiens OX=9606 GN=SNCAIP PE=1 SV=2
+MEAPEYLDLDEIDFSDDISYSVTSLKTIPELCRRCDTQNEDRSVSSSSWNCGISTLITNTQKPTGIADVYSKFRPVKRVSPLKHQPETLENNESDDQKNQKVVEYQKGGESDLGPQPQELGPGDGVGGPPGKSSEPSTSLGELEHYDLDMDEILDVPYIKSSQQLASFTKVTSEKRILGLCTTINGLSGKACSTGSSESSSSNMAPFCVLSPVKSPHLRKASAVIHDQHKLSTEETEISPPLVKCGSAYEPENQSKDFLNKTFSDPHGRKVEKTTPDCQLRAFHLQSSAAESKPEEQVSGLNRTSSQGPEERSEYLKKVKSILNIVKEGQISLLPHLAADNLDKIHDENGNNLLHIAASQGHAECLQHLTSLMGEDCLNERNTEKLTPAGLAIKNGQLECVRWMVSETEAIAELSCSKDFPSLIHYAGCYGQEKILLWLLQFMQEQGISLDEVDQDGNSAVHVASQHGYLGCIQTLVEYGANVTMQNHAGEKPSQSAERQGHTLCSRYLVVVETCMSLASQVVKLTKQLKEQTVERVTLQNQLQQFLEAQKSEGKSLPSSPSSPSSPASRKSQWKSPDADDDSVAKSKPGVQEGIQVLGSLSASSRARPKAKDEDSDKILRQLLGKEISENVCTQEKLSLEFQDAQASSRNSKKIPLEKRELKLARLRQLMQRSLSESDTDSNNSEDPKTTPVRKADRPRPQPIVESVESMDSAESLHLMIKKHTLASGGRRFPFSIKASKSLDGHSPSPTSESSEPDLESQYPGSGSIPPNQPSGDPQQPSPDSTAAQKVATSPKSALKSPSSKRRTSQNLKLRVTFEEPVVQMEQPSLELNGEKDKDKGRTLQRTSTSNESGDQLKRPFGAFRSIMETLSGNQNNNNNYQAANQLKTSTLPLTSLGRKTDAKGNPASSASKGKNKAA
+>DECOY_sp|Q9Y6H5|SNCAP_HUMAN Synphilin-1 OS=Homo sapiens OX=9606 GN=SNCAIP PE=1 SV=2
+AAKNKGKSASSAPNGKADTKRGLSTLPLTSTKLQNAAQYNNNNNQNGSLTEMISRFAGFPRKLQDGSENSTSTRQLTRGKDKDKEGNLELSPQEMQVVPEEFTVRLKLNQSTRRKSSPSKLASKPSTAVKQAATSDPSPQQPDGSPQNPPISGSGPYQSELDPESSESTPSPSHGDLSKSAKISFPFRRGGSALTHKKIMLHLSEASDMSEVSEVIPQPRPRDAKRVPTTKPDESNNSDTDSESLSRQMLQRLRALKLERKELPIKKSNRSSAQADQFELSLKEQTCVNESIEKGLLQRLIKDSDEDKAKPRARSSASLSGLVQIGEQVGPKSKAVSDDDADPSKWQSKRSAPSSPSSPSSPLSKGESKQAELFQQLQNQLTVREVTQEKLQKTLKVVQSALSMCTEVVVLYRSCLTHGQREASQSPKEGAHNQMTVNAGYEVLTQICGLYGHQSAVHVASNGDQDVEDLSIGQEQMFQLLWLLIKEQGYCGAYHILSPFDKSCSLEAIAETESVMWRVCELQGNKIALGAPTLKETNRENLCDEGMLSTLHQLCEAHGQSAAIHLLNNGNEDHIKDLNDAALHPLLSIQGEKVINLISKVKKLYESREEPGQSSTRNLGSVQEEPKSEAASSQLHFARLQCDPTTKEVKRGHPDSFTKNLFDKSQNEPEYASGCKVLPPSIETEETSLKHQDHIVASAKRLHPSKVPSLVCFPAMNSSSSESSGTSCAKGSLGNITTCLGLIRKESTVKTFSALQQSSKIYPVDLIEDMDLDYHELEGLSTSPESSKGPPGGVGDGPGLEQPQPGLDSEGGKQYEVVKQNKQDDSENNELTEPQHKLPSVRKVPRFKSYVDAIGTPKQTNTILTSIGCNWSSSSVSRDENQTDCRRCLEPITKLSTVSYSIDDSFDIEDLDLYEPAEM
+>sp|Q7KZF4|SND1_HUMAN Staphylococcal nuclease domain-containing protein 1 OS=Homo sapiens OX=9606 GN=SND1 PE=1 SV=1
+MASSAQSGGSSGGPAVPTVQRGIIKMVLSGCAIIVRGQPRGGPPPERQINLSNIRAGNLARRAAATQPDAKDTPDEPWAFPAREFLRKKLIGKEVCFTIENKTPQGREYGMIYLGKDTNGENIAESLVAEGLATRREGMRANNPEQNRLSECEEQAKAAKKGMWSEGNGSHTIRDLKYTIENPRHFVDSHHQKPVNAIIEHVRDGSVVRALLLPDYYLVTVMLSGIKCPTFRREADGSETPEPFAAEAKFFTESRLLQRDVQIILESCHNQNILGTILHPNGNITELLLKEGFARCVDWSIAVYTRGAEKLRAAERFAKERRLRIWRDYVAPTANLDQKDKQFVAKVMQVLNADAIVVKLNSGDYKTIHLSSIRPPRLEGENTQDKNKKLRPLYDIPYMFEAREFLRKKLIGKKVNVTVDYIRPASPATETVPAFSERTCATVTIGGINIAEALVSKGLATVIRYRQDDDQRSSHYDELLAAEARAIKNGKGLHSKKEVPIHRVADISGDTQKAKQFLPFLQRAGRSEAVVEYVFSGSRLKLYLPKETCLITFLLAGIECPRGARNLPGLVQEGEPFSEEATLFTKELVLQREVEVEVESMDKAGNFIGWLHIDGANLSVLLVEHALSKVHFTAERSSYYKSLLSAEEAAKQKKEKVWAHYEEQPVEEVMPVLEEKERSASYKPVFVTEITDDLHFYVQDVETGTQLEKLMENMRNDIASHPPVEGSYAPRRGEFCIAKFVDGEWYRARVEKVESPAKIHVFYIDYGNREVLPSTRLGTLSPAFSTRVLPAQATEYAFAFIQVPQDDDARTDAVDSVVRDIQNTQCLLNVEHLSAGCPHVTLQFADSKGDVGLGLVKEGLVMVEVRKEKQFQKVITEYLNAQESAKSARLNLWRYGDFRADDADEFGYSR
+>DECOY_sp|Q7KZF4|SND1_HUMAN Staphylococcal nuclease domain-containing protein 1 OS=Homo sapiens OX=9606 GN=SND1 PE=1 SV=1
+RSYGFEDADDARFDGYRWLNLRASKASEQANLYETIVKQFQKEKRVEVMVLGEKVLGLGVDGKSDAFQLTVHPCGASLHEVNLLCQTNQIDRVVSDVADTRADDDQPVQIFAFAYETAQAPLVRTSFAPSLTGLRTSPLVERNGYDIYFVHIKAPSEVKEVRARYWEGDVFKAICFEGRRPAYSGEVPPHSAIDNRMNEMLKELQTGTEVDQVYFHLDDTIETVFVPKYSASREKEELVPMVEEVPQEEYHAWVKEKKQKAAEEASLLSKYYSSREATFHVKSLAHEVLLVSLNAGDIHLWGIFNGAKDMSEVEVEVERQLVLEKTFLTAEESFPEGEQVLGPLNRAGRPCEIGALLFTILCTEKPLYLKLRSGSFVYEVVAESRGARQLFPLFQKAKQTDGSIDAVRHIPVEKKSHLGKGNKIARAEAALLEDYHSSRQDDDQRYRIVTALGKSVLAEAINIGGITVTACTRESFAPVTETAPSAPRIYDVTVNVKKGILKKRLFERAEFMYPIDYLPRLKKNKDQTNEGELRPPRISSLHITKYDGSNLKVVIADANLVQMVKAVFQKDKQDLNATPAVYDRWIRLRREKAFREAARLKEAGRTYVAISWDVCRAFGEKLLLETINGNPHLITGLINQNHCSELIIQVDRQLLRSETFFKAEAAFPEPTESGDAERRFTPCKIGSLMVTVLYYDPLLLARVVSGDRVHEIIANVPKQHHSDVFHRPNEITYKLDRITHSGNGESWMGKKAAKAQEECESLRNQEPNNARMGERRTALGEAVLSEAINEGNTDKGLYIMGYERGQPTKNEITFCVEKGILKKRLFERAPFAWPEDPTDKADPQTAAARRALNGARINSLNIQREPPPGGRPQGRVIIACGSLVMKIIGRQVTPVAPGGSSGGSQASSAM
+>sp|Q8TER0|SNED1_HUMAN Sushi, nidogen and EGF-like domain-containing protein 1 OS=Homo sapiens OX=9606 GN=SNED1 PE=2 SV=2
+MRHGVAWALLVAAALGLGARGVRGAVALADFYPFGAERGDAVTPKQDDGGSGLRPLSVPFPFFGAEHSGLYVNNNGIISFLKEVSQFTPVAFPIAKDRCVVAAFWADVDNRRAGDVYYREATDPAMLRRATEDVRHYFPELLDFNATWVFVATWYRVTFFGGSSSSPVNTFQTVLITDGKLSFTIFNYESIVWTTGTHASSGGNATGLGGIAAQAGFNAGDGQRYFSIPGSRTADMAEVETTTNVGVPGRWAFRIDDAQVRVGGCGHTTSVCLALRPCLNGGKCIDDCVTGNPSYTCSCLSGFTGRRCHLDVNECASQPCQNGGTCTHGINSFRCQCPAGFGGPTCETAQSPCDTKECQHGGQCQVENGSAVCVCQAGYTGAACEMDVDDCSPDPCLNGGSCVDLVGNYTCLCAEPFKGLRCETGDHPVPDACLSAPCHNGGTCVDADQGYVCECPEGFMGLDCRERVPDDCECRNGGRCLGANTTLCQCPLGFFGLLCEFEITAMPCNMNTQCPDGGYCMEHGGSYLCVCHTDHNASHSLPSPCDSDPCFNGGSCDAHDDSYTCECPRGFHGKHCEKARPHLCSSGPCRNGGTCKEAGGEYHCSCPYRFTGRHCEIGKPDSCASGPCHNGGTCFHYIGKYKCDCPPGFSGRHCEIAPSPCFRSPCVNGGTCEDRDTDFFCHCQAGYMGRRCQAEVDCGPPEEVKHATLRFNGTRLGAVALYACDRGYSLSAPSRIRVCQPHGVWSEPPQCLEIDECRSQPCLHGGSCQDRVAGYLCLCSTGYEGAHCELERDECRAHPCRNGGSCRNLPGAYVCRCPAGFVGVHCETEVDACDSSPCQHGGRCESGGGAYLCVCPESFFGYHCETVSDPCFSSPCGGRGYCLASNGSHSCTCKVGYTGEDCAKELFPPTALKMERVEESGVSISWNPPNGPAARQMLDGYAVTYVSSDGSYRRTDFVDRTRSSHQLQALAAGRAYNISVFSVKRNSNNKNDISRPAVLLARTRPRPVEGFEVTNVTASTISVQWALHRIRHATVSGVRVSIRHPEALRDQATDVDRSVDRFTFRALLPGKRYTIQLTTLSGLRGEEHPTESLATAPTHVWTRPLPPANLTAARVTATSAHVVWDAPTPGSLLEAYVINVTTSQSTKSRYVPNGKLASYTVRDLLPGRRYQLSVIAVQSTELGPQHSEPAHLYIITSPRDGADRRWHQGGHHPRVLKNRPPPARLPELRLLNDHSAPETPTQPPRFSELVDGRGRVSARFGGSPSKAATVRSQPTASAQLENMEEAPKRVSLALQLPEHGSKDIGNVPGNCSENPCQNGGTCVPGADAHSCDCGPGFKGRRCELACIKVSRPCTRLFSETKAFPVWEGGVCHHVYKRVYRVHQDICFKESCESTSLKKTPNRKQSKSQTLEKS
+>DECOY_sp|Q8TER0|SNED1_HUMAN Sushi, nidogen and EGF-like domain-containing protein 1 OS=Homo sapiens OX=9606 GN=SNED1 PE=2 SV=2
+SKELTQSKSQKRNPTKKLSTSECSEKFCIDQHVRYVRKYVHHCVGGEWVPFAKTESFLRTCPRSVKICALECRRGKFGPGCDCSHADAGPVCTGGNQCPNESCNGPVNGIDKSGHEPLQLALSVRKPAEEMNELQASATPQSRVTAAKSPSGGFRASVRGRGDVLESFRPPQTPTEPASHDNLLRLEPLRAPPPRNKLVRPHHGGQHWRRDAGDRPSTIIYLHAPESHQPGLETSQVAIVSLQYRRGPLLDRVTYSALKGNPVYRSKTSQSTTVNIVYAELLSGPTPADWVVHASTATVRAATLNAPPLPRTWVHTPATALSETPHEEGRLGSLTTLQITYRKGPLLARFTFRDVSRDVDTAQDRLAEPHRISVRVGSVTAHRIRHLAWQVSITSATVNTVEFGEVPRPRTRALLVAPRSIDNKNNSNRKVSFVSINYARGAALAQLQHSSRTRDVFDTRRYSGDSSVYTVAYGDLMQRAAPGNPPNWSISVGSEEVREMKLATPPFLEKACDEGTYGVKCTCSHSGNSALCYGRGGCPSSFCPDSVTECHYGFFSEPCVCLYAGGGSECRGGHQCPSSDCADVETECHVGVFGAPCRCVYAGPLNRCSGGNRCPHARCEDRELECHAGEYGTSCLCLYGAVRDQCSGGHLCPQSRCEDIELCQPPESWVGHPQCVRIRSPASLSYGRDCAYLAVAGLRTGNFRLTAHKVEEPPGCDVEAQCRRGMYGAQCHCFFDTDRDECTGGNVCPSRFCPSPAIECHRGSFGPPCDCKYKGIYHFCTGGNHCPGSACSDPKGIECHRGTFRYPCSCHYEGGAEKCTGGNRCPGSSCLHPRAKECHKGHFGRPCECTYSDDHADCSGGNFCPDSDCPSPLSHSANHDTHCVCLYSGGHEMCYGGDPCQTNMNCPMATIEFECLLGFFGLPCQCLTTNAGLCRGGNRCECDDPVRERCDLGMFGEPCECVYGQDADVCTGGNHCPASLCADPVPHDGTECRLGKFPEACLCTYNGVLDVCSGGNLCPDPSCDDVDMECAAGTYGAQCVCVASGNEVQCQGGHQCEKTDCPSQATECTPGGFGAPCQCRFSNIGHTCTGGNQCPQSACENVDLHCRRGTFGSLCSCTYSPNGTVCDDICKGGNLCPRLALCVSTTHGCGGVRVQADDIRFAWRGPVGVNTTTEVEAMDATRSGPISFYRQGDGANFGAQAAIGGLGTANGGSSAHTGTTWVISEYNFITFSLKGDTILVTQFTNVPSSSSGGFFTVRYWTAVFVWTANFDLLEPFYHRVDETARRLMAPDTAERYYVDGARRNDVDAWFAAVVCRDKAIPFAVPTFQSVEKLFSIIGNNNVYLGSHEAGFFPFPVSLPRLGSGGDDQKPTVADGREAGFPYFDALAVAGRVGRAGLGLAAAVLLAWAVGHRM
+>sp|Q12824|SNF5_HUMAN SWI/SNF-related matrix-associated actin-dependent regulator of chromatin subfamily B member 1 OS=Homo sapiens OX=9606 GN=SMARCB1 PE=1 SV=2
+MMMMALSKTFGQKPVKFQLEDDGEFYMIGSEVGNYLRMFRGSLYKRYPSLWRRLATVEERKKIVASSHGKKTKPNTKDHGYTTLATSVTLLKASEVEEILDGNDEKYKAVSISTEPPTYLREQKAKRNSQWVPTLPNSSHHLDAVPCSTTINRNRMGRDKKRTFPLCFDDHDPAVIHENASQPEVLVPIRLDMEIDGQKLRDAFTWNMNEKLMTPEMFSEILCDDLDLNPLTFVPAIASAIRQQIESYPTDSILEDQSDQRVIIKLNIHVGNISLVDQFEWDMSEKENSPEKFALKLCSELGLGGEFVTTIAYSIRGQLSWHQKTYAFSENPLPTVEIAIRNTGDADQWCPLLETLTDAEMEKKIRDQDRNTRRMRRLANTAPAW
+>DECOY_sp|Q12824|SNF5_HUMAN SWI/SNF-related matrix-associated actin-dependent regulator of chromatin subfamily B member 1 OS=Homo sapiens OX=9606 GN=SMARCB1 PE=1 SV=2
+WAPATNALRRMRRTNRDQDRIKKEMEADTLTELLPCWQDADGTNRIAIEVTPLPNESFAYTKQHWSLQGRISYAITTVFEGGLGLESCLKLAFKEPSNEKESMDWEFQDVLSINGVHINLKIIVRQDSQDELISDTPYSEIQQRIASAIAPVFTLPNLDLDDCLIESFMEPTMLKENMNWTFADRLKQGDIEMDLRIPVLVEPQSANEHIVAPDHDDFCLPFTRKKDRGMRNRNITTSCPVADLHHSSNPLTPVWQSNRKAKQERLYTPPETSISVAKYKEDNGDLIEEVESAKLLTVSTALTTYGHDKTNPKTKKGHSSAVIKKREEVTALRRWLSPYRKYLSGRFMRLYNGVESGIMYFEGDDELQFKVPKQGFTKSLAMMMM
+>sp|O95473|SNG4_HUMAN Synaptogyrin-4 OS=Homo sapiens OX=9606 GN=SYNGR4 PE=2 SV=2
+MHIPKSLQELANSEAVQFLRRPKTITRVFEGVFSLIVFSSLLTDGYQNKMESPQLHCILNSNSVACSFAVGAGFLAFLSCLAFLVLDTQETRIAGTRFKTAFQLLDFILAVLWAVVWFMGFCFLANQWQHSPPKEFLLGSSSAQAAIAFTFFSILVWIFQAYLAFQDLRNDAPVPYKRFLDEGGMVLTTLPLPSANSPVNMPTTGPNSLSYASSALSPCLTAPKSPRLAMMPDN
+>DECOY_sp|O95473|SNG4_HUMAN Synaptogyrin-4 OS=Homo sapiens OX=9606 GN=SYNGR4 PE=2 SV=2
+NDPMMALRPSKPATLCPSLASSAYSLSNPGTTPMNVPSNASPLPLTTLVMGGEDLFRKYPVPADNRLDQFALYAQFIWVLISFFTFAIAAQASSSGLLFEKPPSHQWQNALFCFGMFWVVAWLVALIFDLLQFATKFRTGAIRTEQTDLVLFALCSLFALFGAGVAFSCAVSNSNLICHLQPSEMKNQYGDTLLSSFVILSFVGEFVRTITKPRRLFQVAESNALEQLSKPIHM
+>sp|Q8TAD8|SNIP1_HUMAN Smad nuclear-interacting protein 1 OS=Homo sapiens OX=9606 GN=SNIP1 PE=1 SV=1
+MKAVKSERERGSRRRHRDGDVVLPAGVVVKQERLSPEVAPPAHRRPDHSGGSPSPPTSEPARSGHRGNRARGVSRSPPKKKNKASGRRSKSPRSKRNRSPHHSTVKVKQEREDHPRRGREDRQHREPSEQEHRRARNSDRDRHRGHSHQRRTSNERPGSGQGQGRDRDTQNLQAQEEEREFYNARRREHRQRNDVGGGGSESQELVPRPGGNNKEKEVPAKEKPSFELSGALLEDTNTFRGVVIKYSEPPEARIPKKRWRLYPFKNDEVLPVMYIHRQSAYLLGRHRRIADIPIDHPSCSKQHAVFQYRLVEYTRADGTVGRRVKPYIIDLGSGNGTFLNNKRIEPQRYYELKEKDVLKFGFSSREYVLLHESSDTSEIDRKDDEDEEEEEEVSDS
+>DECOY_sp|Q8TAD8|SNIP1_HUMAN Smad nuclear-interacting protein 1 OS=Homo sapiens OX=9606 GN=SNIP1 PE=1 SV=1
+SDSVEEEEEEDEDDKRDIESTDSSEHLLVYERSSFGFKLVDKEKLEYYRQPEIRKNNLFTGNGSGLDIIYPKVRRGVTGDARTYEVLRYQFVAHQKSCSPHDIPIDAIRRHRGLLYASQRHIYMVPLVEDNKFPYLRWRKKPIRAEPPESYKIVVGRFTNTDELLAGSLEFSPKEKAPVEKEKNNGGPRPVLEQSESGGGGVDNRQRHERRRANYFEREEEQAQLNQTDRDRGQGQGSGPRENSTRRQHSHGRHRDRDSNRARRHEQESPERHQRDERGRRPHDEREQKVKVTSHHPSRNRKSRPSKSRRGSAKNKKKPPSRSVGRARNGRHGSRAPESTPPSPSGGSHDPRRHAPPAVEPSLREQKVVVGAPLVVDGDRHRRRSGRERESKVAKM
+>sp|O75324|SNN_HUMAN Stannin OS=Homo sapiens OX=9606 GN=SNN PE=1 SV=1
+MSIMDHSPTTGVVTVIVILIAIAALGALILGCWCYLRLQRISQSEDEESIVGDGETKEPFLLVQYSAKGPCVERKAKLMTPNGPEVHG
+>DECOY_sp|O75324|SNN_HUMAN Stannin OS=Homo sapiens OX=9606 GN=SNN PE=1 SV=1
+GHVEPGNPTMLKAKREVCPGKASYQVLLFPEKTEGDGVISEEDESQSIRQLRLYCWCGLILAGLAAIAILIVIVTVVGTTPSHDMISM
+>sp|Q6UX34|SNORC_HUMAN Protein SNORC OS=Homo sapiens OX=9606 GN=SNORC PE=2 SV=1
+MASCLALRMALLLVSGVLAPAVLTDDVPQEPVPTLWNEPAELPSGEGPVESTSPGREPVDTGPPAPTVAPGPEDSTAQERLDQGGGSLGPGAIAAIVIAALLATCVVLALVVVALRKFSAS
+>DECOY_sp|Q6UX34|SNORC_HUMAN Protein SNORC OS=Homo sapiens OX=9606 GN=SNORC PE=2 SV=1
+SASFKRLAVVVLALVVCTALLAAIVIAAIAGPGLSGGGQDLREQATSDEPGPAVTPAPPGTDVPERGPSTSEVPGEGSPLEAPENWLTPVPEQPVDDTLVAPALVGSVLLLAMRLALCSAM
+>sp|Q13487|SNPC2_HUMAN snRNA-activating protein complex subunit 2 OS=Homo sapiens OX=9606 GN=SNAPC2 PE=1 SV=1
+MKPPPRRRAAPARYLGEVTGPATWSAREKRQLVRLLQARQGQPEPDATELARELRGRSEAEIRVFLQQLKGRVAREAIQKVHPGGLQGPRRREAQPPAPIEVWTDLAEKITGPLEEALAVAFSQVLTIAATEPVTLLHSKPPKPTQARGKPLLLSAPGGQEDPAPEIPSSAPAAPSSAPRTPDPAPEKPSESSAGPSTEEDFAVDFEKIYKYLSSVSRSGRSPELSAAESAVVLDLLMSLPEELPLLPCTALVEHMTETYLRLTAPQPIPAGGSLGPAAEGDGAGSKAPEETPPATEKAEHSELKSPWQAAGICPLNPFLVPLELLGRAATPAR
+>DECOY_sp|Q13487|SNPC2_HUMAN snRNA-activating protein complex subunit 2 OS=Homo sapiens OX=9606 GN=SNAPC2 PE=1 SV=1
+RAPTAARGLLELPVLFPNLPCIGAAQWPSKLESHEAKETAPPTEEPAKSGAGDGEAAPGLSGGAPIPQPATLRLYTETMHEVLATCPLLPLEEPLSMLLDLVVASEAASLEPSRGSRSVSSLYKYIKEFDVAFDEETSPGASSESPKEPAPDPTRPASSPAAPASSPIEPAPDEQGGPASLLLPKGRAQTPKPPKSHLLTVPETAAITLVQSFAVALAEELPGTIKEALDTWVEIPAPPQAERRRPGQLGGPHVKQIAERAVRGKLQQLFVRIEAESRGRLERALETADPEPQGQRAQLLRVLQRKERASWTAPGTVEGLYRAPAARRRPPPKM
+>sp|O75971|SNPC5_HUMAN snRNA-activating protein complex subunit 5 OS=Homo sapiens OX=9606 GN=SNAPC5 PE=1 SV=1
+MLSRLQELRKEEETLLRLKAALHDQLNRLKVEELALQSMISSRRGDEMLSSHTVPEQSHDMLVHVDNEASINQTTLELSTKSHVTEEEEEEEEEESDS
+>DECOY_sp|O75971|SNPC5_HUMAN snRNA-activating protein complex subunit 5 OS=Homo sapiens OX=9606 GN=SNAPC5 PE=1 SV=1
+SDSEEEEEEEEEETVHSKTSLELTTQNISAENDVHVLMDHSQEPVTHSSLMEDGRRSSIMSQLALEEVKLRNLQDHLAAKLRLLTEEEKRLEQLRSLM
+>sp|O15079|SNPH_HUMAN Syntaphilin OS=Homo sapiens OX=9606 GN=SNPH PE=1 SV=2
+MAMSLPGSRRTSAGSRRRTSPPVSVRDAYGTSSLSSSSNSGSYKGSDSSPTPRRSMKYTLCSDNHGIKPPTPEQYLTPLQQKEVCIRHLKARLKDTQDRLQDRDTEIDDLKTQLSRMQEDWIEEECHRVEAQLALKEARKEIKQLKQVIDTVKNNLIDKDKGLQKYFVDINIQNKKLETLLHSMEVAQNGMAKEDGTGESAGGSPARSLTRSSTYTKLSDPAVCGDRQPGDPSSGSAEDGADSGFAAADDTLSRTDALEASSLLSSGVDCGTEETSLHSSFGLGPRFPASNTYEKLLCGMEAGVQASCMQERAIQTDFVQYQPDLDTILEKVTQAQVCGTDPESGDRCPELDAHPSGPRDPNSAVVVTVGDELEAPEPITRGPTPQRPGANPNPGQSVSVVCPMEEEEEAAVAEKEPKSYWSRHYIVDLLAVVVPAVPTVAWLCRSQRRQGQPIYNISSLLRGCCTVALHSIRRISCRSLSQPSPSPAGGGSQL
+>DECOY_sp|O15079|SNPH_HUMAN Syntaphilin OS=Homo sapiens OX=9606 GN=SNPH PE=1 SV=2
+LQSGGGAPSPSPQSLSRCSIRRISHLAVTCCGRLLSSINYIPQGQRRQSRCLWAVTPVAPVVVALLDVIYHRSWYSKPEKEAVAAEEEEEMPCVVSVSQGPNPNAGPRQPTPGRTIPEPAELEDGVTVVVASNPDRPGSPHADLEPCRDGSEPDTGCVQAQTVKELITDLDPQYQVFDTQIAREQMCSAQVGAEMGCLLKEYTNSAPFRPGLGFSSHLSTEETGCDVGSSLLSSAELADTRSLTDDAAAFGSDAGDEASGSSPDGPQRDGCVAPDSLKTYTSSRTLSRAPSGGASEGTGDEKAMGNQAVEMSHLLTELKKNQINIDVFYKQLGKDKDILNNKVTDIVQKLQKIEKRAEKLALQAEVRHCEEEIWDEQMRSLQTKLDDIETDRDQLRDQTDKLRAKLHRICVEKQQLPTLYQEPTPPKIGHNDSCLTYKMSRRPTPSSDSGKYSGSNSSSSLSSTGYADRVSVPPSTRRRSGASTRRSGPLSMAM
+>sp|Q8WVK2|SNR27_HUMAN U4/U6.U5 small nuclear ribonucleoprotein 27 kDa protein OS=Homo sapiens OX=9606 GN=SNRNP27 PE=1 SV=1
+MGRSRSRSPRRERRRSRSTSRERERRRRERSRSRERDRRRSRSRSPHRRRSRSPRRHRSTSPSPSRLKERRDEEKKETKETKSKERQITEEDLEGKTEEEIEMMKLMGFASFDSTKGKKVDGSVNAYAINVSQKRKYRQYMNRKGGFNRPLDFIA
+>DECOY_sp|Q8WVK2|SNR27_HUMAN U4/U6.U5 small nuclear ribonucleoprotein 27 kDa protein OS=Homo sapiens OX=9606 GN=SNRNP27 PE=1 SV=1
+AIFDLPRNFGGKRNMYQRYKRKQSVNIAYANVSGDVKKGKTSDFSAFGMLKMMEIEEETKGELDEETIQREKSKTEKTEKKEEDRREKLRSPSPSTSRHRRPSRSRRRHPSRSRSRRRDRERSRSRERRRRERERSTSRSRRRERRPSRSRSRGM
+>sp|Q13424|SNTA1_HUMAN Alpha-1-syntrophin OS=Homo sapiens OX=9606 GN=SNTA1 PE=1 SV=1
+MASGRRAPRTGLLELRAGAGSGAGGERWQRVLLSLAEDVLTVSPADGDPGPEPGAPREQEPAQLNGAAEPGAGPPQLPEALLLQRRRVTVRKADAGGLGISIKGGRENKMPILISKIFKGLAADQTEALFVGDAILSVNGEDLSSATHDEAVQVLKKTGKEVVLEVKYMKDVSPYFKNSTGGTSVGWDSPPASPLQRQPSSPGPTPRNFSEAKHMSLKMAYVSKRCTPNDPEPRYLEICSADGQDTLFLRAKDEASARSWATAIQAQVNTLTPRVKDELQALLAATSTAGSQDIKQIGWLTEQLPSGGTAPTLALLTEKELLLYLSLPETREALSRPARTAPLIATRLVHSGPSKGSVPYDAELSFALRTGTRHGVDTHLFSVESPQELAAWTRQLVDGCHRAAEGVQEVSTACTWNGRPCSLSVHIDKGFTLWAAEPGAARAVLLRQPFEKLQMSSDDGASLLFLDFGGAEGEIQLDLHSCPKTIVFIIHSFLSAKVTRLGLLA
+>DECOY_sp|Q13424|SNTA1_HUMAN Alpha-1-syntrophin OS=Homo sapiens OX=9606 GN=SNTA1 PE=1 SV=1
+ALLGLRTVKASLFSHIIFVITKPCSHLDLQIEGEAGGFDLFLLSAGDDSSMQLKEFPQRLLVARAAGPEAAWLTFGKDIHVSLSCPRGNWTCATSVEQVGEAARHCGDVLQRTWAALEQPSEVSFLHTDVGHRTGTRLAFSLEADYPVSGKSPGSHVLRTAILPATRAPRSLAERTEPLSLYLLLEKETLLALTPATGGSPLQETLWGIQKIDQSGATSTAALLAQLEDKVRPTLTNVQAQIATAWSRASAEDKARLFLTDQGDASCIELYRPEPDNPTCRKSVYAMKLSMHKAESFNRPTPGPSSPQRQLPSAPPSDWGVSTGGTSNKFYPSVDKMYKVELVVEKGTKKLVQVAEDHTASSLDEGNVSLIADGVFLAETQDAALGKFIKSILIPMKNERGGKISIGLGGADAKRVTVRRRQLLLAEPLQPPGAGPEAAGNLQAPEQERPAGPEPGPDGDAPSVTLVDEALSLLVRQWREGGAGSGAGARLELLGTRPARRGSAM
+>sp|Q13425|SNTB2_HUMAN Beta-2-syntrophin OS=Homo sapiens OX=9606 GN=SNTB2 PE=1 SV=1
+MRVAAATAAAGAGPAMAVWTRATKAGLVELLLRERWVRVVAELSGESLSLTGDAAAAELEPALGPAAAAFNGLPNGGGAGDSLPGSPSRGLGPPSPPAPPRGPAGEAGASPPVRRVRVVKQEAGGLGISIKGGRENRMPILISKIFPGLAADQSRALRLGDAILSVNGTDLRQATHDQAVQALKRAGKEVLLEVKFIREVTPYIKKPSLVSDLPWEGAAPQSPSFSGSEDSGSPKHQNSTKDRKIIPLKMCFAARNLSMPDLENRLIELHSPDSRNTLILRCKDTATAHSWFVAIHTNIMALLPQVLAELNAMLGATSTAGGSKEVKHIAWLAEQAKLDGGRQQWRPVLMAVTEKDLLLYDCMPWTRDAWASPCHSYPLVATRLVHSGSGCRSPSLGSDLTFATRTGSRQGIEMHLFRVETHRDLSSWTRILVQGCHAAAELIKEVSLGCMLNGQEVRLTIHYENGFTISRENGGSSSILYRYPFERLKMSADDGIRNLYLDFGGPEGELTMDLHSCPKPIVFVLHTFLSAKVTRMGLLV
+>DECOY_sp|Q13425|SNTB2_HUMAN Beta-2-syntrophin OS=Homo sapiens OX=9606 GN=SNTB2 PE=1 SV=1
+VLLGMRTVKASLFTHLVFVIPKPCSHLDMTLEGEPGGFDLYLNRIGDDASMKLREFPYRYLISSSGGNERSITFGNEYHITLRVEQGNLMCGLSVEKILEAAAHCGQVLIRTWSSLDRHTEVRFLHMEIGQRSGTRTAFTLDSGLSPSRCGSGSHVLRTAVLPYSHCPSAWADRTWPMCDYLLLDKETVAMLVPRWQQRGGDLKAQEALWAIHKVEKSGGATSTAGLMANLEALVQPLLAMINTHIAVFWSHATATDKCRLILTNRSDPSHLEILRNELDPMSLNRAAFCMKLPIIKRDKTSNQHKPSGSDESGSFSPSQPAAGEWPLDSVLSPKKIYPTVERIFKVELLVEKGARKLAQVAQDHTAQRLDTGNVSLIADGLRLARSQDAALGPFIKSILIPMRNERGGKISIGLGGAEQKVVRVRRVPPSAGAEGAPGRPPAPPSPPGLGRSPSGPLSDGAGGGNPLGNFAAAAPGLAPELEAAAADGTLSLSEGSLEAVVRVWRERLLLEVLGAKTARTWVAMAPGAGAAATAAAVRM
+>sp|Q9NY99|SNTG2_HUMAN Gamma-2-syntrophin OS=Homo sapiens OX=9606 GN=SNTG2 PE=1 SV=2
+MGTEGPPPPAASRGRQGCLLVPARTKTTIALLYDEESENAYDIRLKLTKEVLTIQKQDVVCVGGSHQGRNRRTVTLRRQPVGGLGLSIKGGSEHNVPVVISKIFEDQAADQTGMLFVGDAVLQVNGIHVENATHEEVVHLLRNAGDEVTITVEYLREAPAFLKLPLGSPGPSSDHSSGASSPLFDSGLHLNGNSSTTAPSSPSSPIAKDPRYEKRWLDTLSVPLSMARISRYKAGTEKLRWNAFEVLALDGVSSGILRFYTAQDGTDWLRAVSANIRELTLQNMKMANKCCSPSDQVVHMGWVNEKLQGADSSQTFRPKFLALKGPSFYVFSTPPVSTFDWVRAERTYHLCEVLFKVHKFWLTEDCWLQANLYLGLQDFDFEDQRPYCFSIVAGHGKSHVFNVELGSELAMWEKSFQRATFMEVQRTGSRTYMCSWQGEMLCFTVDFALGFTCFESKTKNVLWRFKFSQLKGSSDDGKTRVKLLFQNLDTKQIETKELEFQDLRAVLHCIHSFIAAKVASVDPGFMDSQSLARKYMYSS
+>DECOY_sp|Q9NY99|SNTG2_HUMAN Gamma-2-syntrophin OS=Homo sapiens OX=9606 GN=SNTG2 PE=1 SV=2
+SSYMYKRALSQSDMFGPDVSAVKAAIFSHICHLVARLDQFELEKTEIQKTDLNQFLLKVRTKGDDSSGKLQSFKFRWLVNKTKSEFCTFGLAFDVTFCLMEGQWSCMYTRSGTRQVEMFTARQFSKEWMALESGLEVNFVHSKGHGAVISFCYPRQDEFDFDQLGLYLNAQLWCDETLWFKHVKFLVECLHYTREARVWDFTSVPPTSFVYFSPGKLALFKPRFTQSSDAGQLKENVWGMHVVQDSPSCCKNAMKMNQLTLERINASVARLWDTGDQATYFRLIGSSVGDLALVEFANWRLKETGAKYRSIRAMSLPVSLTDLWRKEYRPDKAIPSSPSSPATTSSNGNLHLGSDFLPSSAGSSHDSSPGPSGLPLKLFAPAERLYEVTITVEDGANRLLHVVEEHTANEVHIGNVQLVADGVFLMGTQDAAQDEFIKSIVVPVNHESGGKISLGLGGVPQRRLTVTRRNRGQHSGGVCVVDQKQITLVEKTLKLRIDYANESEEDYLLAITTKTRAPVLLCGQRGRSAAPPPPGETGM
+>sp|A7XYQ1|SOBP_HUMAN Sine oculis-binding protein homolog OS=Homo sapiens OX=9606 GN=SOBP PE=1 SV=2
+MAEMEKEGRPPENKRSRKPAHPVKREINEEMKNFAENTMNELLGWYGYDKVELKDGEDIEFRSYPTDGESRQHISVLKENSLPKPKLPEDSVISPYNISTGYSGLATGNGLSDSPAGSKDHGSVPIIVPLIPPPFIKPPAEDDVSNVQIMCAWCQKVGIKRYSLSMGSEVKSFCSEKCFAACRRAYFKRNKARDEDGHAENFPQQHYAKETPRLAFKNNCELLVCDWCKHIRHTKEYLDFGDGERRLQFCSAKCLNQYKMDIFYKETQANLPAGLCSTLHPPMENKAEGTGVQLLTPDSWNIPLTDARRKAPSPVATAGQSQGPGPSASTTVSPSDTANCSVTKIPTPVPKSIPISETPNIPPVSVQPPASIGPPLGVPPRSPPMVMTNRGPVPLPIFMEQQIMQQIRPPFIRGPPHHASNPNSPLSNPMLPGIGPPPGGPRNLGPTSSPMHRPMLSPHIHPPSTPTMPGNPPGLLPPPPPGAPLPSLPFPPVSMMPNGPMPVPQMMNFGLPSLAPLVPPPTLLVPYPVIVPLPVPIPIPIPIPHVSDSKPPNGFSSNGENFIPNAPGDSAAAGGKPSGHSLSPRDSKQGSSKSADSPPGCSGQALSLAPTPAEHGRSEVVDLTRRAGSPPGPPGAGGQLGFPGVLQGPQDGVIDLTVGHRARLHNVIHRALHAHVKAEREPSAAERRTCGGCRDGHCSPPAAGDPGPGAPAGPEAAAACNVIVNGTRGAAAEGAKSAEPPPEQPPPPPPPAPPKKLLSPEEPAVSELESVKENNCASNCHLDGEAAKKLMGEEALAGGDKSDPNLNNPADEDHAYALRMLPKTGCVIQPVPKPAEKAAMAPCIISSPMLSAGPEDLEPPLKRRCLRIRNQNK
+>DECOY_sp|A7XYQ1|SOBP_HUMAN Sine oculis-binding protein homolog OS=Homo sapiens OX=9606 GN=SOBP PE=1 SV=2
+KNQNRIRLCRRKLPPELDEPGASLMPSSIICPAMAAKEAPKPVPQIVCGTKPLMRLAYAHDEDAPNNLNPDSKDGGALAEEGMLKKAAEGDLHCNSACNNEKVSELESVAPEEPSLLKKPPAPPPPPPPQEPPPEASKAGEAAAGRTGNVIVNCAAAAEPGAPAGPGPDGAAPPSCHGDRCGGCTRREAASPEREAKVHAHLARHIVNHLRARHGVTLDIVGDQPGQLVGPFGLQGGAGPPGPPSGARRTLDVVESRGHEAPTPALSLAQGSCGPPSDASKSSGQKSDRPSLSHGSPKGGAAASDGPANPIFNEGNSSFGNPPKSDSVHPIPIPIPIPVPLPVIVPYPVLLTPPPVLPALSPLGFNMMQPVPMPGNPMMSVPPFPLSPLPAGPPPPPLLGPPNGPMTPTSPPHIHPSLMPRHMPSSTPGLNRPGGPPPGIGPLMPNSLPSNPNSAHHPPGRIFPPRIQQMIQQEMFIPLPVPGRNTMVMPPSRPPVGLPPGISAPPQVSVPPINPTESIPISKPVPTPIKTVSCNATDSPSVTTSASPGPGQSQGATAVPSPAKRRADTLPINWSDPTLLQVGTGEAKNEMPPHLTSCLGAPLNAQTEKYFIDMKYQNLCKASCFQLRREGDGFDLYEKTHRIHKCWDCVLLECNNKFALRPTEKAYHQQPFNEAHGDEDRAKNRKFYARRCAAFCKESCFSKVESGMSLSYRKIGVKQCWACMIQVNSVDDEAPPKIFPPPILPVIIPVSGHDKSGAPSDSLGNGTALGSYGTSINYPSIVSDEPLKPKPLSNEKLVSIHQRSEGDTPYSRFEIDEGDKLEVKDYGYWGLLENMTNEAFNKMEENIERKVPHAPKRSRKNEPPRGEKEMEAM
+>sp|O75159|SOCS5_HUMAN Suppressor of cytokine signaling 5 OS=Homo sapiens OX=9606 GN=SOCS5 PE=1 SV=1
+MDKVGKMWNNFKYRCQNLFGHEGGSRSENVDMNSNRCLSVKEKNISIGDSTPQQQSSPLRENIALQLGLSPSKNSSRRNQNCATEIPQIVEISIEKDNDSCVTPGTRLARRDSYSRHAPWGGKKKHSCSTKTQSSLDADKKFGRTRSGLQRRERRYGVSSVHDMDSVSSRTVGSRSLRQRLQDTVGLCFPMRTYSKQSKPLFSNKRKIHLSELMLEKCPFPAGSDLAQKWHLIKQHTAPVSPHSTFFDTFDPSLVSTEDEEDRLRERRRLSIEEGVDPPPNAQIHTFEATAQVNPLYKLGPKLAPGMTEISGDSSAIPQANCDSEEDTTTLCLQSRRQKQRQISGDSHTHVSRQGAWKVHTQIDYIHCLVPDLLQITGNPCYWGVMDRYEAEALLEGKPEGTFLLRDSAQEDYLFSVSFRRYNRSLHARIEQWNHNFSFDAHDPCVFHSSTVTGLLEHYKDPSSCMFFEPLLTISLNRTFPFSLQYICRAVICRCTTYDGIDGLPLPSMLQDFLKEYHYKQKVRVRWLEREPVKAK
+>DECOY_sp|O75159|SOCS5_HUMAN Suppressor of cytokine signaling 5 OS=Homo sapiens OX=9606 GN=SOCS5 PE=1 SV=1
+KAKVPERELWRVRVKQKYHYEKLFDQLMSPLPLGDIGDYTTCRCIVARCIYQLSFPFTRNLSITLLPEFFMCSSPDKYHELLGTVTSSHFVCPDHADFSFNHNWQEIRAHLSRNYRRFSVSFLYDEQASDRLLFTGEPKGELLAEAEYRDMVGWYCPNGTIQLLDPVLCHIYDIQTHVKWAGQRSVHTHSDGSIQRQKQRRSQLCLTTTDEESDCNAQPIASSDGSIETMGPALKPGLKYLPNVQATAEFTHIQANPPPDVGEEISLRRRERLRDEEDETSVLSPDFTDFFTSHPSVPATHQKILHWKQALDSGAPFPCKELMLESLHIKRKNSFLPKSQKSYTRMPFCLGVTDQLRQRLSRSGVTRSSVSDMDHVSSVGYRRERRQLGSRTRGFKKDADLSSQTKTSCSHKKKGGWPAHRSYSDRRALRTGPTVCSDNDKEISIEVIQPIETACNQNRRSSNKSPSLGLQLAINERLPSSQQQPTSDGISINKEKVSLCRNSNMDVNESRSGGEHGFLNQCRYKFNNWMKGVKDM
+>sp|Q9NX45|SOLH2_HUMAN Spermatogenesis- and oogenesis-specific basic helix-loop-helix-containing protein 2 OS=Homo sapiens OX=9606 GN=SOHLH2 PE=2 SV=2
+MASSIICQEHCQISGQAKIDILLVGDVTVGYLADTVQKLFANIAEVTITISDTKEAAALLDDCIFNMVLLKVPSSLSAEELEAIKLIRFGKKKNTHSLFVFIIPENFKGCISGHGMDIALTEPLTMEKMSNVVKYWTTCPSNTVKTENATGPEELGLPLQRSYSEHLGYFPTDLFACSESLRNGNGLELNASLSEFEKNKKISLLHSSKEKLRRERIKYCCEQLRTLLPYVKGRKNDAASVLEATVDYVKYIREKISPAVMAQITEALQSNMRFCKKQQTPIELSLPGTVMAQRENSVMSTYSPERGLQFLTNTCWNGCSTPDAESSLDEAVRVPSSSASENAIGDPYKTHISSAALSLNSLHTVRYYSKVTPSYDATAVTNQNISIHLPSAMPPVSKLLPRHCTSGLGQTCTTHPNCLQQFWAY
+>DECOY_sp|Q9NX45|SOLH2_HUMAN Spermatogenesis- and oogenesis-specific basic helix-loop-helix-containing protein 2 OS=Homo sapiens OX=9606 GN=SOHLH2 PE=2 SV=2
+YAWFQQLCNPHTTCTQGLGSTCHRPLLKSVPPMASPLHISINQNTVATADYSPTVKSYYRVTHLSNLSLAASSIHTKYPDGIANESASSSPVRVAEDLSSEADPTSCGNWCTNTLFQLGREPSYTSMVSNERQAMVTGPLSLEIPTQQKKCFRMNSQLAETIQAMVAPSIKERIYKVYDVTAELVSAADNKRGKVYPLLTRLQECCYKIRERRLKEKSSHLLSIKKNKEFESLSANLELGNGNRLSESCAFLDTPFYGLHESYSRQLPLGLEEPGTANETKVTNSPCTTWYKVVNSMKEMTLPETLAIDMGHGSICGKFNEPIIFVFLSHTNKKKGFRILKIAELEEASLSSPVKLLVMNFICDDLLAAAEKTDSITITVEAINAFLKQVTDALYGVTVDGVLLIDIKAQGSIQCHEQCIISSAM
+>sp|P01242|SOM2_HUMAN Growth hormone variant OS=Homo sapiens OX=9606 GN=GH2 PE=1 SV=3
+MAAGSRTSLLLAFGLLCLSWLQEGSAFPTIPLSRLFDNAMLRARRLYQLAYDTYQEFEEAYILKEQKYSFLQNPQTSLCFSESIPTPSNRVKTQQKSNLELLRISLLLIQSWLEPVQLLRSVFANSLVYGASDSNVYRHLKDLEEGIQTLMWRLEDGSPRTGQIFNQSYSKFDTKSHNDDALLKNYGLLYCFRKDMDKVETFLRIVQCRSVEGSCGF
+>DECOY_sp|P01242|SOM2_HUMAN Growth hormone variant OS=Homo sapiens OX=9606 GN=GH2 PE=1 SV=3
+FGCSGEVSRCQVIRLFTEVKDMDKRFCYLLGYNKLLADDNHSKTDFKSYSQNFIQGTRPSGDELRWMLTQIGEELDKLHRYVNSDSAGYVLSNAFVSRLLQVPELWSQILLLSIRLLELNSKQQTKVRNSPTPISESFCLSTQPNQLFSYKQEKLIYAEEFEQYTDYALQYLRRARLMANDFLRSLPITPFASGEQLWSLCLLGFALLLSTRSGAAM
+>sp|P30626|SORCN_HUMAN Sorcin OS=Homo sapiens OX=9606 GN=SRI PE=1 SV=1
+MAYPGHPGAGGGYYPGGYGGAPGGPAFPGQTQDPLYGYFAAVAGQDGQIDADELQRCLTQSGIAGGYKPFNLETCRLMVSMLDRDMSGTMGFNEFKELWAVLNGWRQHFISFDTDRSGTVDPQELQKALTTMGFRLSPQAVNSIAKRYSTNGKITFDDYIACCVKLRALTDSFRRRDTAQQGVVNFPYDDFIQCVMSV
+>DECOY_sp|P30626|SORCN_HUMAN Sorcin OS=Homo sapiens OX=9606 GN=SRI PE=1 SV=1
+VSMVCQIFDDYPFNVVGQQATDRRRFSDTLARLKVCCAIYDDFTIKGNTSYRKAISNVAQPSLRFGMTTLAKQLEQPDVTGSRDTDFSIFHQRWGNLVAWLEKFENFGMTGSMDRDLMSVMLRCTELNFPKYGGAIGSQTLCRQLEDADIQGDQGAVAAFYGYLPDQTQGPFAPGGPAGGYGGPYYGGGAGPHGPYAM
+>sp|Q96AH0|SOSB2_HUMAN SOSS complex subunit B2 OS=Homo sapiens OX=9606 GN=NABP1 PE=1 SV=1
+MNRVNDPLIFIRDIKPGLKNLNVVFIVLEIGRVTKTKDGHEVRSCKVADKTGSITISVWDEIGGLIQPGDIIRLTRGYASMWKGCLTLYTGRGGELQKIGEFCMVYSEVPNFSEPNPDYRGQQNKGAQSEQKNNSMNSNMGTGTFGPVGNGVHTGPESREHQFSHAGRSNGRGLINPQLQGTASNQTVMTTISNGRDPRRAFKR
+>DECOY_sp|Q96AH0|SOSB2_HUMAN SOSS complex subunit B2 OS=Homo sapiens OX=9606 GN=NABP1 PE=1 SV=1
+RKFARRPDRGNSITTMVTQNSATGQLQPNILGRGNSRGAHSFQHERSEPGTHVGNGVPGFTGTGMNSNMSNNKQESQAGKNQQGRYDPNPESFNPVESYVMCFEGIKQLEGGRGTYLTLCGKWMSAYGRTLRIIDGPQILGGIEDWVSITISGTKDAVKCSRVEHGDKTKTVRGIELVIFVVNLNKLGPKIDRIFILPDNVRNM
+>sp|Q6X4U4|SOSD1_HUMAN Sclerostin domain-containing protein 1 OS=Homo sapiens OX=9606 GN=SOSTDC1 PE=1 SV=2
+MLPPAIHFYLLPLACILMKSCLAFKNDATEILYSHVVKPVPAHPSSNSTLNQARNGGRHFSNTGLDRNTRVQVGCRELRSTKYISDGQCTSISPLKELVCAGECLPLPVLPNWIGGGYGTKYWSRRSSQEWRCVNDKTRTQRIQLQCQDGSTRTYKITVVTACKCKRYTRQHNESSHNFESMSPAKPVQHHRERKRASKSSKHSMS
+>DECOY_sp|Q6X4U4|SOSD1_HUMAN Sclerostin domain-containing protein 1 OS=Homo sapiens OX=9606 GN=SOSTDC1 PE=1 SV=2
+SMSHKSSKSARKRERHHQVPKAPSMSEFNHSSENHQRTYRKCKCATVVTIKYTRTSGDQCQLQIRQTRTKDNVCRWEQSSRRSWYKTGYGGGIWNPLVPLPLCEGACVLEKLPSISTCQGDSIYKTSRLERCGVQVRTNRDLGTNSFHRGGNRAQNLTSNSSPHAPVPKVVHSYLIETADNKFALCSKMLICALPLLYFHIAPPLM
+>sp|O60248|SOX15_HUMAN Protein SOX-15 OS=Homo sapiens OX=9606 GN=SOX15 PE=1 SV=1
+MALPGSSQDQAWSLEPPAATAAASSSSGPQEREGAGSPAAPGTLPLEKVKRPMNAFMVWSSAQRRQMAQQNPKMHNSEISKRLGAQWKLLDEDEKRPFVEEAKRLRARHLRDYPDYKYRPRRKAKSSGAGPSRCGQGRGNLASGGPLWGPGYATTQPSRGFGYRPPSYSTAYLPGSYGSSHCKLEAPSPCSLPQSDPRLQGELLPTYTHYLPPGSPTPYNPPLAGAPMPLTHL
+>DECOY_sp|O60248|SOX15_HUMAN Protein SOX-15 OS=Homo sapiens OX=9606 GN=SOX15 PE=1 SV=1
+LHTLPMPAGALPPNYPTPSGPPLYHTYTPLLEGQLRPDSQPLSCPSPAELKCHSSGYSGPLYATSYSPPRYGFGRSPQTTAYGPGWLPGGSALNGRGQGCRSPGAGSSKAKRRPRYKYDPYDRLHRARLRKAEEVFPRKEDEDLLKWQAGLRKSIESNHMKPNQQAMQRRQASSWVMFANMPRKVKELPLTGPAAPSGAGEREQPGSSSSAAATAAPPELSWAQDQSSGPLAM
+>sp|Q06945|SOX4_HUMAN Transcription factor SOX-4 OS=Homo sapiens OX=9606 GN=SOX4 PE=1 SV=1
+MVQQTNNAENTEALLAGESSDSGAGLELGIASSPTPGSTASTGGKADDPSWCKTPSGHIKRPMNAFMVWSQIERRKIMEQSPDMHNAEISKRLGKRWKLLKDSDKIPFIREAERLRLKHMADYPDYKYRPRKKVKSGNANSSSSAAASSKPGEKGDKVGGSGGGGHGGGGGGGSSNAGGGGGGASGGGANSKPAQKKSCGSKVAGGAGGGVSKPHAKLILAGGGGGGKAAAAAAASFAAEQAGAAALLPLGAAADHHSLYKARTPSASASASSAASASAALAAPGKHLAEKKVKRVYLFGGLGTSSSPVGGVGAGADPSDPLGLYEEEGAGCSPDAPSLSGRSSAASSPAAGRSPADHRGYASLRAASPAPSSAPSHASSSASSHSSSSSSSGSSSSDDEFEDDLLDLNPSSNFESMSLGSFSSSSALDRDLDFNFEPGSGSHFEFPDYCTPEVSEMISGDWLESSISNLVFTY
+>DECOY_sp|Q06945|SOX4_HUMAN Transcription factor SOX-4 OS=Homo sapiens OX=9606 GN=SOX4 PE=1 SV=1
+YTFVLNSISSELWDGSIMESVEPTCYDPFEFHSGSGPEFNFDLDRDLASSSSFSGLSMSEFNSSPNLDLLDDEFEDDSSSSGSSSSSSSHSSASSSAHSPASSPAPSAARLSAYGRHDAPSRGAAPSSAASSRGSLSPADPSCGAGEEEYLGLPDSPDAGAGVGGVPSSSTGLGGFLYVRKVKKEALHKGPAALAASASAASSASASASPTRAKYLSHHDAAAGLPLLAAAGAQEAAFSAAAAAAAKGGGGGGALILKAHPKSVGGGAGGAVKSGCSKKQAPKSNAGGGSAGGGGGGANSSGGGGGGGHGGGGSGGVKDGKEGPKSSAAASSSSNANGSKVKKRPRYKYDPYDAMHKLRLREAERIFPIKDSDKLLKWRKGLRKSIEANHMDPSQEMIKRREIQSWVMFANMPRKIHGSPTKCWSPDDAKGGTSATSGPTPSSAIGLELGAGSDSSEGALLAETNEANNTQQVM
+>sp|Q9HB58|SP110_HUMAN Sp110 nuclear body protein OS=Homo sapiens OX=9606 GN=SP110 PE=1 SV=5
+MFTMTRAMEEALFQHFMHQKLGIAYAIHKPFPFFEGLLDNSIITKRMYMESLEACRNLIPVSRVVHNILTQLERTFNLSLLVTLFSQINLREYPNLVTIYRSFKRVGASYEWQSRDTPILLEAPTGLAEGSSLHTPLALPPPQPPQPSCSPCAPRVSEPGTSSQQSDEILSESPSPSDPVLPLPALIQEGRSTSVTNDKLTSKMNAEEDSEEMPSLLTSTVQVASDNLIPQIRDKEDPQEMPHSPLGSMPEIRDNSPEPNDPEEPQEVSSTPSDKKGKKRKRCIWSTPKRRHKKKSLPGGTASSRHGIQKKLKRVDQVPQKKDDSTCNSTVETRAQKARTECARKSRSEEIIDGTSEMNEGKRSQKTPSTPRRVTQGAASPGHGIQEKLQVVDKVTQRKDDSTWNSEVMMRVQKARTKCARKSRLKEKKKEKDICSSSKRRFQKNIHRRGKPKSDTVDFHCSKLPVTCGEAKGILYKKKMKHGSSVKCIRNEDGTWLTPNEFEVEGKGRNAKNWKRNIRCEGMTLGELLKRKNSDECEVCCQGGQLLCCGTCPRVFHEDCHIPPVEAKRMLWSCTFCRMKRSSGSQQCHHVSKTLERQMQPQDQLIRDYGEPFQEAMWLDLVKERLITEMYTVAWFVRDMRLMFRNHKTFYKASDFGQVGLDLEAEFEKDLKDVLGFHEANDGGFWTLP
+>DECOY_sp|Q9HB58|SP110_HUMAN Sp110 nuclear body protein OS=Homo sapiens OX=9606 GN=SP110 PE=1 SV=5
+PLTWFGGDNAEHFGLVDKLDKEFEAELDLGVQGFDSAKYFTKHNRFMLRMDRVFWAVTYMETILREKVLDLWMAEQFPEGYDRILQDQPQMQRELTKSVHHCQQSGSSRKMRCFTCSWLMRKAEVPPIHCDEHFVRPCTGCCLLQGGQCCVECEDSNKRKLLEGLTMGECRINRKWNKANRGKGEVEFENPTLWTGDENRICKVSSGHKMKKKYLIGKAEGCTVPLKSCHFDVTDSKPKGRRHINKQFRRKSSSCIDKEKKKEKLRSKRACKTRAKQVRMMVESNWTSDDKRQTVKDVVQLKEQIGHGPSAAGQTVRRPTSPTKQSRKGENMESTGDIIEESRSKRACETRAKQARTEVTSNCTSDDKKQPVQDVRKLKKQIGHRSSATGGPLSKKKHRRKPTSWICRKRKKGKKDSPTSSVEQPEEPDNPEPSNDRIEPMSGLPSHPMEQPDEKDRIQPILNDSAVQVTSTLLSPMEESDEEANMKSTLKDNTVSTSRGEQILAPLPLVPDSPSPSESLIEDSQQSSTGPESVRPACPSCSPQPPQPPPLALPTHLSSGEALGTPAELLIPTDRSQWEYSAGVRKFSRYITVLNPYERLNIQSFLTVLLSLNFTRELQTLINHVVRSVPILNRCAELSEMYMRKTIISNDLLGEFFPFPKHIAYAIGLKQHMFHQFLAEEMARTMTFM
+>sp|Q13342|SP140_HUMAN Nuclear body protein SP140 OS=Homo sapiens OX=9606 GN=SP140 PE=1 SV=2
+MAQQGQQGQMASGDSNLNFRMVAEIQNVEGQNLQEQVCPEPIFRFFRENKVEIASAITRPFPFLMGLRDRSFISEQMYEHFQEAFRNLVPVTRVMYCVLSELEKTFGWSHLEALFSRINLMAYPDLNEIYRSFQNVCYEHSPLQMNNVNDLEDRPRLLPYGKQENSNACHEMDDIAVPQEALSSSPRCEPGFSSESCEQLALPKAGGGDAEDAPSLLPGGGVSCKLAIQIDEGESEEMPKLLPYDTEVLESNGMIDAARTYSTAPGEKQGEEEGRNSPRKRNQDKEKYQESPEGRDKETFDLKTPQVTNEGEPEKGLCLLPGEGEEGSDDCSEMCDGEEPQEASSSLARCGSVSCLSAETFDLKTPQVTNEGEPEKELSLLPGEGEEGSDDCSEMCDGEERQEASSSLARRGSVSSELENHPMNEEGESEELASSLLYDNVPGAEQSAYENEKCSCVMCFSEEVPGSPEARTESDQACGTMDTVDIANNSTLGKPKRKRRKKRGHGWSRMRMRRQENSQQNDNSKADGQVVSSEKKANVNLKDLSKIRGRKRGKPGTRFTQSDRAAQKRVRSRASRKHKDETVDFKAPLLPVTCGGVKGILHKKKLQQGILVKCIQTEDGKWFTPTEFEIKGGHARSKNWRLSVRCGGWPLRWLMENGFLPDPPRIRYRKKKRILKSQNNSSVDPCMRNLDECEVCRDGGELFCCDTCSRVFHEDCHIPPVEAERTPWNCIFCRMKESPGSQQCCQESEVLERQMCPEEQLKCEFLLLKVYCCSESSFFAKIPYYYYIREACQGLKEPMWLDKIKKRLNEHGYPQVEGFVQDMRLIFQNHRASYKYKDFGQMGFRLEAEFEKNFKEVFAIQETNGNN
+>DECOY_sp|Q13342|SP140_HUMAN Nuclear body protein SP140 OS=Homo sapiens OX=9606 GN=SP140 PE=1 SV=2
+NNGNTEQIAFVEKFNKEFEAELRFGMQGFDKYKYSARHNQFILRMDQVFGEVQPYGHENLRKKIKDLWMPEKLGQCAERIYYYYPIKAFFSSESCCYVKLLLFECKLQEEPCMQRELVESEQCCQQSGPSEKMRCFICNWPTREAEVPPIHCDEHFVRSCTDCCFLEGGDRCVECEDLNRMCPDVSSNNQSKLIRKKKRYRIRPPDPLFGNEMLWRLPWGGCRVSLRWNKSRAHGGKIEFETPTFWKGDETQICKVLIGQQLKKKHLIGKVGGCTVPLLPAKFDVTEDKHKRSARSRVRKQAARDSQTFRTGPKGRKRGRIKSLDKLNVNAKKESSVVQGDAKSNDNQQSNEQRRMRMRSWGHGRKKRRKRKPKGLTSNNAIDVTDMTGCAQDSETRAEPSGPVEESFCMVCSCKENEYASQEAGPVNDYLLSSALEESEGEENMPHNELESSVSGRRALSSSAEQREEGDCMESCDDSGEEGEGPLLSLEKEPEGENTVQPTKLDFTEASLCSVSGCRALSSSAEQPEEGDCMESCDDSGEEGEGPLLCLGKEPEGENTVQPTKLDFTEKDRGEPSEQYKEKDQNRKRPSNRGEEEGQKEGPATSYTRAADIMGNSELVETDYPLLKPMEESEGEDIQIALKCSVGGGPLLSPADEADGGGAKPLALQECSESSFGPECRPSSSLAEQPVAIDDMEHCANSNEQKGYPLLRPRDELDNVNNMQLPSHEYCVNQFSRYIENLDPYAMLNIRSFLAELHSWGFTKELESLVCYMVRTVPVLNRFAEQFHEYMQESIFSRDRLGMLFPFPRTIASAIEVKNERFFRFIPEPCVQEQLNQGEVNQIEAVMRFNLNSDGSAMQGQQGQQAM
+>sp|P0C7V6|SP202_HUMAN Putative transcription factor SPT20 homolog-like 2 OS=Homo sapiens OX=9606 GN=SUPT20HL2 PE=5 SV=1
+MDRDLEQALDRTENITEIAQQRRPRRRYSPRAGKTLQEKLYDIYVEECGKEPEDPQELRSNVNLLEKLVRRESLPCLLVNLYPGNQGYSVMLQREDGSFAETIRLPYEERALLDYLDAEELPPALGDVLDKASVNIFHSGCVIVEVRDYRQSSNMQPPGYQSRHILLRPTMQTLAPEVKTMTRDGEKWSQEDKFPLESQLILATAEPLCLDPSVAVACTANRLLYNKQKMNTDPMEQCLQRYSWPSVKPQQEQSDCPPPPELRVSTSGQKEERKVGQPCELNITKAGSCVDTWKGRPCDLAVPSEVDVEKLAKGYQSVTAADPQLPVWPAQEVEDPFRHAWEAGCQAWDTKPNIMQSFNDPLLCGKIRPRKKARQKSQKSPWQPFPDDHSACLRPGSETDAGRAVSQAQESVQSKVKGPGKMSHSSSGPASVSQLSSWKTPEQPDPVWVQSSVSGKGEKHPPPRTQLPSSSGKISSGNSFPPQQAGSPLKRPFPAAAPAVAAAAPAPAPAPAAAPALAAAAVAAAAGGAAPSHSQKPSVPLIKASRRRPAAGRPTRFVKIAPAIQVRTGSTGLKATNVEGPVRGAQVLGCSFKPVQAPGSGAPAPAGISGSGLQSSGGPLPDARPGAVQASSPAPLQFFLNTPEGLRPLTLQVPQGWAVLTGPQQQSHQLVSLQQLQQPTAAHPPQPGPQGSTLGLSTQGQAFPAQQLLNVNLTGAGSGLQPQPQAAVLSLLGSAQVPQQGVQLPFVLGQQPQPLLLLQPQPQPQQIQLQTQPLRVLQQPVFLATGAVQIVQPHPGVQAGSQLVGQRKGGKPTPPAP
+>DECOY_sp|P0C7V6|SP202_HUMAN Putative transcription factor SPT20 homolog-like 2 OS=Homo sapiens OX=9606 GN=SUPT20HL2 PE=5 SV=1
+PAPPTPKGGKRQGVLQSGAQVGPHPQVIQVAGTALFVPQQLVRLPQTQLQIQQPQPQPQLLLLPQPQQGLVFPLQVGQQPVQASGLLSLVAAQPQPQLGSGAGTLNVNLLQQAPFAQGQTSLGLTSGQPGPQPPHAATPQQLQQLSVLQHSQQQPGTLVAWGQPVQLTLPRLGEPTNLFFQLPAPSSAQVAGPRADPLPGGSSQLGSGSIGAPAPAGSGPAQVPKFSCGLVQAGRVPGEVNTAKLGTSGTRVQIAPAIKVFRTPRGAAPRRRSAKILPVSPKQSHSPAAGGAAAAVAAAALAPAAAPAPAPAPAAAAVAPAAAPFPRKLPSGAQQPPFSNGSSIKGSSSPLQTRPPPHKEGKGSVSSQVWVPDPQEPTKWSSLQSVSAPGSSSHSMKGPGKVKSQVSEQAQSVARGADTESGPRLCASHDDPFPQWPSKQSKQRAKKRPRIKGCLLPDNFSQMINPKTDWAQCGAEWAHRFPDEVEQAPWVPLQPDAATVSQYGKALKEVDVESPVALDCPRGKWTDVCSGAKTINLECPQGVKREEKQGSTSVRLEPPPPCDSQEQQPKVSPWSYRQLCQEMPDTNMKQKNYLLRNATCAVAVSPDLCLPEATALILQSELPFKDEQSWKEGDRTMTKVEPALTQMTPRLLIHRSQYGPPQMNSSQRYDRVEVIVCGSHFINVSAKDLVDGLAPPLEEADLYDLLAREEYPLRITEAFSGDERQLMVSYGQNGPYLNVLLCPLSERRVLKELLNVNSRLEQPDEPEKGCEEVYIDYLKEQLTKGARPSYRRRPRRQQAIETINETRDLAQELDRDM
+>sp|Q8NEM7|SP20H_HUMAN Transcription factor SPT20 homolog OS=Homo sapiens OX=9606 GN=SUPT20H PE=1 SV=2
+MQQALELALDRAEYVIESARQRPPKRKYLSSGRKSVFQKLYDLYIEECEKEPEVKKLRRNVNLLEKLVMQETLSCLVVNLYPGNEGYSLMLRGKNGSDSETIRLPYEEGELLEYLDAEELPPILVDLLEKSQVNIFHCGCVIAEIRDYRQSSNMKSPGYQSRHILLRPTMQTLICDVHSITSDNHKWTQEDKLLLESQLILATAEPLCLDPSIAVTCTANRLLYNKQKMNTRPMKRCFKRYSRSSLNRQQDLSHCPPPPQLRLLDFLQKRKERKAGQHYDLKISKAGNCVDMWKRSPCNLAIPSEVDVEKYAKVEKSIKSDDSQPTVWPAHDVKDDYVFECEAGTQYQKTKLTILQSLGDPLYYGKIQPCKADEESDSQMSPSHSSTDDHSNWFIIGSKTDAERVVNQYQELVQNEAKCPVKMSHSSSGSASLSQVSPGKETDQTETVSVQSSVLGKGVKHRPPPIKLPSSSGNSSSGNYFTPQQTSSFLKSPTPPPSSKPSSIPRKSSVDLNQVSMLSPAALSPASSSQRTTATQVMANSAGLNFINVVGSVCGAQALMSGSNPMLGCNTGAITPAGINLSGLLPSGGLLPNALPSAMQAASQAGVPFGLKNTSSLRPLNLLQLPGGSLIFNTLQQQQQQLSQFTPQQPQQPTTCSPQQPGEQGSEQGSTSQEQALSAQQAAVINLTGVGSFMQSQAAVLSQLGSAENRPEQSLPQQRFQLSSAFQQQQQQIQQLRFLQHQMAMAAAAAQTAQLHHHRHTGSQSKSKMKRGTPTTPKF
+>DECOY_sp|Q8NEM7|SP20H_HUMAN Transcription factor SPT20 homolog OS=Homo sapiens OX=9606 GN=SUPT20H PE=1 SV=2
+FKPTTPTGRKMKSKSQSGTHRHHHLQATQAAAAAMAMQHQLFRLQQIQQQQQQFASSLQFRQQPLSQEPRNEASGLQSLVAAQSQMFSGVGTLNIVAAQQASLAQEQSTSGQESGQEGPQQPSCTTPQQPQQPTFQSLQQQQQQLTNFILSGGPLQLLNLPRLSSTNKLGFPVGAQSAAQMASPLANPLLGGSPLLGSLNIGAPTIAGTNCGLMPNSGSMLAQAGCVSGVVNIFNLGASNAMVQTATTRQSSSAPSLAAPSLMSVQNLDVSSKRPISSPKSSPPPTPSKLFSSTQQPTFYNGSSSNGSSSPLKIPPPRHKVGKGLVSSQVSVTETQDTEKGPSVQSLSASGSSSHSMKVPCKAENQVLEQYQNVVREADTKSGIIFWNSHDDTSSHSPSMQSDSEEDAKCPQIKGYYLPDGLSQLITLKTKQYQTGAECEFVYDDKVDHAPWVTPQSDDSKISKEVKAYKEVDVESPIALNCPSRKWMDVCNGAKSIKLDYHQGAKREKRKQLFDLLRLQPPPPCHSLDQQRNLSSRSYRKFCRKMPRTNMKQKNYLLRNATCTVAISPDLCLPEATALILQSELLLKDEQTWKHNDSTISHVDCILTQMTPRLLIHRSQYGPSKMNSSQRYDRIEAIVCGCHFINVQSKELLDVLIPPLEEADLYELLEGEEYPLRITESDSGNKGRLMLSYGENGPYLNVVLCSLTEQMVLKELLNVNRRLKKVEPEKECEEIYLDYLKQFVSKRGSSLYKRKPPRQRASEIVYEARDLALELAQQM
+>sp|Q02086|SP2_HUMAN Transcription factor Sp2 OS=Homo sapiens OX=9606 GN=SP2 PE=1 SV=3
+MSDPQTSMAATAAVSPSDYLQPAASTTQDSQPSPLALLAATCSKIGPPAVEAAVTPPAPPQPTPRKLVPIKPAPLPLSPGKNSFGILSSKGNILQIQGSQLSASYPGGQLVFAIQNPTMINKGTRSNANIQYQAVPQIQASNSQTIQVQPNLTNQIQIIPGTNQAIITPSPSSHKPVPIKPAPIQKSSTTTTPVQSGANVVKLTGGGGNVTLTLPVNNLVNASDTGAPTQLLTESPPTPLSKTNKKARKKSLPASQPPVAVAEQVETVLIETTADNIIQAGNNLLIVQSPGGGQPAVVQQVQVVPPKAEQQQVVQIPQQALRVVQAASATLPTVPQKPSQNFQIQAAEPTPTQVYIRTPSGEVQTVLVQDSPPATAAATSNTTCSSPASRAPHLSGTSKKHSAAILRKERPLPKIAPAGSIISLNAAQLAAAAQAMQTININGVQVQGVPVTITNTGGQQQLTVQNVSGNNLTISGLSPTQIQLQMEQALAGETQPGEKRRRMACTCPNCKDGEKRSGEQGKKKHVCHIPDCGKTFRKTSLLRAHVRLHTGERPFVCNWFFCGKRFTRSDELQRHARTHTGDKRFECAQCQKRFMRSDHLTKHYKTHLVTKNL
+>DECOY_sp|Q02086|SP2_HUMAN Transcription factor Sp2 OS=Homo sapiens OX=9606 GN=SP2 PE=1 SV=3
+LNKTVLHTKYHKTLHDSRMFRKQCQACEFRKDGTHTRAHRQLEDSRTFRKGCFFWNCVFPREGTHLRVHARLLSTKRFTKGCDPIHCVHKKKGQEGSRKEGDKCNPCTCAMRRRKEGPQTEGALAQEMQLQIQTPSLGSITLNNGSVNQVTLQQQGGTNTITVPVGQVQVGNINITQMAQAAAALQAANLSIISGAPAIKPLPREKRLIAASHKKSTGSLHPARSAPSSCTTNSTAAATAPPSDQVLVTQVEGSPTRIYVQTPTPEAAQIQFNQSPKQPVTPLTASAAQVVRLAQQPIQVVQQQEAKPPVVQVQQVVAPQGGGPSQVILLNNGAQIINDATTEILVTEVQEAVAVPPQSAPLSKKRAKKNTKSLPTPPSETLLQTPAGTDSANVLNNVPLTLTVNGGGGTLKVVNAGSQVPTTTTSSKQIPAPKIPVPKHSSPSPTIIAQNTGPIIQIQNTLNPQVQITQSNSAQIQPVAQYQINANSRTGKNIMTPNQIAFVLQGGPYSASLQSGQIQLINGKSSLIGFSNKGPSLPLPAPKIPVLKRPTPQPPAPPTVAAEVAPPGIKSCTAALLALPSPQSDQTTSAAPQLYDSPSVAATAAMSTQPDSM
+>sp|Q9HAJ7|SP30L_HUMAN Histone deacetylase complex subunit SAP30L OS=Homo sapiens OX=9606 GN=SAP30L PE=1 SV=1
+MNGFSTEEDSREGPPAAPAAAAPGYGQSCCLIEDGERCVRPAGNASFSKRVQKSISQKKLKLDIDKSVRHLYICDFHKNFIQSVRNKRKRKTSDDGGDSPEHDTDIPEVDLFQLQVNTLRRYKRHYKLQTRPGFNKAQLAETVSRHFRNIPVNEKETLAYFIYMVKSNKSRLDQKSEGGKQLE
+>DECOY_sp|Q9HAJ7|SP30L_HUMAN Histone deacetylase complex subunit SAP30L OS=Homo sapiens OX=9606 GN=SAP30L PE=1 SV=1
+ELQKGGESKQDLRSKNSKVMYIFYALTEKENVPINRFHRSVTEALQAKNFGPRTQLKYHRKYRRLTNVQLQFLDVEPIDTDHEPSDGGDDSTKRKRKNRVSQIFNKHFDCIYLHRVSKDIDLKLKKQSISKQVRKSFSANGAPRVCREGDEILCCSQGYGPAAAAPAAPPGERSDEETSFGNM
+>sp|Q02447|SP3_HUMAN Transcription factor Sp3 OS=Homo sapiens OX=9606 GN=SP3 PE=1 SV=3
+MTAPEKPVKQEEMAALDVDSGGGGGGGGGHGEYLQQQQQHGNGAVAAAAAAQDTQPSPLALLAATCSKIGPPSPGDDEEEAAAAAGAPAAAGATGDLASAQLGGAPNRWEVLSATPTTIKDEAGNLVQIPSAATSSGQYVLPLQNLQNQQIFSVAPGSDSSNGTVSSVQYQVIPQIQSADGQQVQIGFTGSSDNGGINQESSQIQIIPGSNQTLLASGTPSANIQNLIPQTGQVQVQGVAIGGSSFPGQTQVVANVPLGLPGNITFVPINSVDLDSLGLSGSSQTMTAGINADGHLINTGQAMDSSDNSERTGERVSPDINETNTDTDLFVPTSSSSQLPVTIDSTGILQQNTNSLTTSSGQVHSSDLQGNYIQSPVSEETQAQNIQVSTAQPVVQHLQLQESQQPTSQAQIVQGITPQTIHGVQASGQNISQQALQNLQLQLNPGTFLIQAQTVTPSGQVTWQTFQVQGVQNLQNLQIQNTAAQQITLTPVQTLTLGQVAAGGAFTSTPVSLSTGQLPNLQTVTVNSIDSAGIQLHPGENADSPADIRIKEEEPDPEEWQLSGDSTLNTNDLTHLRVQVVDEEGDQQHQEGKRLRRVACTCPNCKEGGGRGTNLGKKKQHICHIPGCGKVYGKTSHLRAHLRWHSGERPFVCNWMYCGKRFTRSDELQRHRRTHTGEKKFVCPECSKRFMRSDHLAKHIKTHQNKKGIHSSSTVLASVEAARDDTLITAGGTTLILANIQQGSVSGIGTVNTSATSNQDILTNTEIPLQLVTVSGNETME
+>DECOY_sp|Q02447|SP3_HUMAN Transcription factor Sp3 OS=Homo sapiens OX=9606 GN=SP3 PE=1 SV=3
+EMTENGSVTVLQLPIETNTLIDQNSTASTNVTGIGSVSGQQINALILTTGGATILTDDRAAEVSALVTSSSHIGKKNQHTKIHKALHDSRMFRKSCEPCVFKKEGTHTRRHRQLEDSRTFRKGCYMWNCVFPREGSHWRLHARLHSTKGYVKGCGPIHCIHQKKKGLNTGRGGGEKCNPCTCAVRRLRKGEQHQQDGEEDVVQVRLHTLDNTNLTSDGSLQWEEPDPEEEKIRIDAPSDANEGPHLQIGASDISNVTVTQLNPLQGTSLSVPTSTFAGGAAVQGLTLTQVPTLTIQQAATNQIQLNQLNQVGQVQFTQWTVQGSPTVTQAQILFTGPNLQLQLNQLAQQSINQGSAQVGHITQPTIGQVIQAQSTPQQSEQLQLHQVVPQATSVQINQAQTEESVPSQIYNGQLDSSHVQGSSTTLSNTNQQLIGTSDITVPLQSSSSTPVFLDTDTNTENIDPSVREGTRESNDSSDMAQGTNILHGDANIGATMTQSSGSLGLSDLDVSNIPVFTINGPLGLPVNAVVQTQGPFSSGGIAVGQVQVQGTQPILNQINASPTGSALLTQNSGPIIQIQSSEQNIGGNDSSGTFGIQVQQGDASQIQPIVQYQVSSVTGNSSDSGPAVSFIQQNQLNQLPLVYQGSSTAASPIQVLNGAEDKITTPTASLVEWRNPAGGLQASALDGTAGAAAPAGAAAAAEEEDDGPSPPGIKSCTAALLALPSPQTDQAAAAAAVAGNGHQQQQQLYEGHGGGGGGGGGSDVDLAAMEEQKVPKEPATM
+>sp|Q8IXZ3|SP8_HUMAN Transcription factor Sp8 OS=Homo sapiens OX=9606 GN=SP8 PE=1 SV=3
+MLAATCNKIGSPSPSPSSLSDSSSSFGKGFHPWKRSSSSSSASCNVVGSSLSSFGVSGASRNGGSSSAAAAAAAAAAAAAALVSDSFSCGGSPGSSAFSLTSSSAAAAAAAAAAAASSSPFANDYSVFQAPGVSGGSGGGGGGGGGGSSAHSQDGSHQPVFISKVHTSVDGLQGIYPRVGMAHPYESWFKPSHPGLGAAGEVGSAGASSWWDVGAGWIDVQNPNSAAALPGSLHPAAGGLQTSLHSPLGGYNSDYSGLSHSAFSSGASSHLLSPAGQHLMDGFKPVLPGSYPDSAPSPLAGAGGSMLSAGPSAPLGGSPRSSARRYSGRATCDCPNCQEAERLGPAGASLRRKGLHSCHIPGCGKVYGKTSHLKAHLRWHTGERPFVCNWLFCGKRFTRSDELQRHLRTHTGEKRFACPVCNKRFMRSDHLSKHVKTHSGGGGGGGSAGSGSGGKKGSDTDSEHSAAGSPPCHSPELLQPPEPGHRNGLE
+>DECOY_sp|Q8IXZ3|SP8_HUMAN Transcription factor Sp8 OS=Homo sapiens OX=9606 GN=SP8 PE=1 SV=3
+ELGNRHGPEPPQLLEPSHCPPSGAASHESDTDSGKKGGSGSGASGGGGGGGSHTKVHKSLHDSRMFRKNCVPCAFRKEGTHTRLHRQLEDSRTFRKGCFLWNCVFPREGTHWRLHAKLHSTKGYVKGCGPIHCSHLGKRRLSAGAPGLREAEQCNPCDCTARGSYRRASSRPSGGLPASPGASLMSGGAGALPSPASDPYSGPLVPKFGDMLHQGAPSLLHSSAGSSFASHSLGSYDSNYGGLPSHLSTQLGGAAPHLSGPLAAASNPNQVDIWGAGVDWWSSAGASGVEGAAGLGPHSPKFWSEYPHAMGVRPYIGQLGDVSTHVKSIFVPQHSGDQSHASSGGGGGGGGGGSGGSVGPAQFVSYDNAFPSSSAAAAAAAAAAAASSSTLSFASSGPSGGCSFSDSVLAAAAAAAAAAAAAASSSGGNRSAGSVGFSSLSSGVVNCSASSSSSSRKWPHFGKGFSSSSDSLSSPSPSPSGIKNCTAALM
+>sp|Q9BVQ7|SPA5L_HUMAN Spermatogenesis-associated protein 5-like protein 1 OS=Homo sapiens OX=9606 GN=SPATA5L1 PE=1 SV=2
+MAPDSDPFPEGPLLKLLPLDARDRGTQRCRLGPAALHALGARLGSAVKISLPDGGSCLCTAWPRRDGADGFVQLDPLCASPGAAVGASRSRRSLSLNRLLLVPCPPLRRVAVWPVLRERAGAPGARNTAAVLEAAQELLRNRPISLGHVVVAPPGAPGLVAALHIVGGTPSPDPAGLVTPRTRVSLGGEPPSEAQPQPEVPLGGLSEAADSLRELLRLPLRYPRALTALGLAVPRGVLLAGPPGVGKTQLVRAVAREAGAELLAVSAPALQGSRPGETEENVRRVFQRARELASRGPSLLFLDEMDALCPQRGSRAPESRVVAQVLTLLDGASGDREVVVVGATNRPDALDPALRRPGRFDREVVIGTPTLKQRKEILQVITSKMPISSHVDLGLLAEMTVGYVGADLTALCREAAMHALLHSEKNQDNPVIDEIDFLEAFKNIQPSSFRSVIGLMDIKPVDWEEIGGLEDVKLKLKQSIEWPLKFPWEFVRMGLTQPKGVLLYGPPGCAKTTLVRALATSCHCSFVSVSGADLFSPFVGDSEKVLSQIFRQARASTPAILFLDEIDSILGARSASKTGCDVQERVLSVLLNELDGVGLKTIERRGSKSSQQEFQEVFNRSVMIIAATNRPDVLDTALLRPGRLDKIIYIPPPDHKGRLSILKVCTKTMPIGPDVSLENLAAETCFFSGADLRNLCTEAALLALQENGLDATTVKQEHFLKSLKTVKPSLSCKDLALYENLFKKEGFSNVEGI
+>DECOY_sp|Q9BVQ7|SPA5L_HUMAN Spermatogenesis-associated protein 5-like protein 1 OS=Homo sapiens OX=9606 GN=SPATA5L1 PE=1 SV=2
+IGEVNSFGEKKFLNEYLALDKCSLSPKVTKLSKLFHEQKVTTADLGNEQLALLAAETCLNRLDAGSFFCTEAALNELSVDPGIPMTKTCVKLISLRGKHDPPPIYIIKDLRGPRLLATDLVDPRNTAAIIMVSRNFVEQFEQQSSKSGRREITKLGVGDLENLLVSLVREQVDCGTKSASRAGLISDIEDLFLIAPTSARAQRFIQSLVKESDGVFPSFLDAGSVSVFSCHCSTALARVLTTKACGPPGYLLVGKPQTLGMRVFEWPFKLPWEISQKLKLKVDELGGIEEWDVPKIDMLGIVSRFSSPQINKFAELFDIEDIVPNDQNKESHLLAHMAAERCLATLDAGVYGVTMEALLGLDVHSSIPMKSTIVQLIEKRQKLTPTGIVVERDFRGPRRLAPDLADPRNTAGVVVVERDGSAGDLLTLVQAVVRSEPARSGRQPCLADMEDLFLLSPGRSALERARQFVRRVNEETEGPRSGQLAPASVALLEAGAERAVARVLQTKGVGPPGALLVGRPVALGLATLARPYRLPLRLLERLSDAAESLGGLPVEPQPQAESPPEGGLSVRTRPTVLGAPDPSPTGGVIHLAAVLGPAGPPAVVVHGLSIPRNRLLEQAAELVAATNRAGPAGARERLVPWVAVRRLPPCPVLLLRNLSLSRRSRSAGVAAGPSACLPDLQVFGDAGDRRPWATCLCSGGDPLSIKVASGLRAGLAHLAAPGLRCRQTGRDRADLPLLKLLPGEPFPDSDPAM
+>sp|Q9NPE6|SPAG4_HUMAN Sperm-associated antigen 4 protein OS=Homo sapiens OX=9606 GN=SPAG4 PE=1 SV=1
+MRRSSRPGSASSSRKHTPNFFSENSSMSITSEDSKGLRSAEPGPGEPEGRRARGPSCGEPALSAGVPGGTTWAGSSQQKPAPRSHNWQTACGAATVRGGASEPTGSPVVSEEPLDLLPTLDLRQEMPPPRVFKSFLSLLFQGLSVLLSLAGDVLVSMYREVCSIRFLFTAVSLLSLFLSAFWLGLLYLVSPLENEPKEMLTLSEYHERVRSQGQQLQQLQAELDKLHKEVSTVRAANSERVAKLVFQRLNEDFVRKPDYALSSVGASIDLQKTSHDYADRNTAYFWNRFSFWNYARPPTVILEPHVFPGNCWAFEGDQGQVVIQLPGRVQLSDITLQHPPPSVEHTGGANSAPRDFAVFGLQVYDETEVSLGKFTFDVEKSEIQTFHLQNDPPAAFPKVKIQILSNWGHPRFTCLYRVRAHGVRTSEGAEGSAQGPH
+>DECOY_sp|Q9NPE6|SPAG4_HUMAN Sperm-associated antigen 4 protein OS=Homo sapiens OX=9606 GN=SPAG4 PE=1 SV=1
+HPGQASGEAGESTRVGHARVRYLCTFRPHGWNSLIQIKVKPFAAPPDNQLHFTQIESKEVDFTFKGLSVETEDYVQLGFVAFDRPASNAGGTHEVSPPPHQLTIDSLQVRGPLQIVVQGQDGEFAWCNGPFVHPELIVTPPRAYNWFSFRNWFYATNRDAYDHSTKQLDISAGVSSLAYDPKRVFDENLRQFVLKAVRESNAARVTSVEKHLKDLEAQLQQLQQGQSRVREHYESLTLMEKPENELPSVLYLLGLWFASLFLSLLSVATFLFRISCVERYMSVLVDGALSLLVSLGQFLLSLFSKFVRPPPMEQRLDLTPLLDLPEESVVPSGTPESAGGRVTAAGCATQWNHSRPAPKQQSSGAWTTGGPVGASLAPEGCSPGRARRGEPEGPGPEASRLGKSDESTISMSSNESFFNPTHKRSSSASGPRSSRRM
+>sp|Q9HBM1|SPC25_HUMAN Kinetochore protein Spc25 OS=Homo sapiens OX=9606 GN=SPC25 PE=1 SV=1
+MVEDELALFDKSINEFWNKFKSTDTSCQMAGLRDTYKDSIKAFAEKLSVKLKEEERMVEMFLEYQNQISRQNKLIQEKKDNLLKLIAEVKGKKQELEVLTANIQDLKEEYSRKKETISTANKANAERLKRLQKSADLYKDRLGLEIRKIYGEKLQFIFTNIDPKNPESPFMFSLHLNEARDYEVSDSAPHLEGLAEFQENVRKTNNFSAFLANVRKAFTATVYN
+>DECOY_sp|Q9HBM1|SPC25_HUMAN Kinetochore protein Spc25 OS=Homo sapiens OX=9606 GN=SPC25 PE=1 SV=1
+NYVTATFAKRVNALFASFNNTKRVNEQFEALGELHPASDSVEYDRAENLHLSFMFPSEPNKPDINTFIFQLKEGYIKRIELGLRDKYLDASKQLRKLREANAKNATSITEKKRSYEEKLDQINATLVELEQKKGKVEAILKLLNDKKEQILKNQRSIQNQYELFMEVMREEEKLKVSLKEAFAKISDKYTDRLGAMQCSTDTSKFKNWFENISKDFLALEDEVM
+>sp|A6NNV3|SPD16_HUMAN Putative speedy protein E16 OS=Homo sapiens OX=9606 GN=SPDYE16 PE=5 SV=3
+MQKHYTVAWFLYSAPGVDPSPPCRSLGWKRKKEWSDESEEEPEKELAPEPEETWVVEMLCGLKMKLKQQRVSPILPEHHKDFNSQLAPGVDPSPPHRSFCWKRKREWWDESEESLEEEPRKVLAPEPEEIWVVEMLCGLKMKLKRRRVSLVLPEHHEAFNRLLEDPVIKRFLAWDKDLRVSDKYLLAMVIAYFSRAGLPSWQYQRIHFFLALYLANDMEEDDEDPKQNIFYFLYGKTRSRIPLVRNRRFQLCRCMNPRARKNRSQIALFQKLRFQFFCSMSGRAWVSREELEEIQAYDPEHWVWARDRARLS
+>DECOY_sp|A6NNV3|SPD16_HUMAN Putative speedy protein E16 OS=Homo sapiens OX=9606 GN=SPDYE16 PE=5 SV=3
+SLRARDRAWVWHEPDYAQIEELEERSVWARGSMSCFFQFRLKQFLAIQSRNKRARPNMCRCLQFRRNRVLPIRSRTKGYLFYFINQKPDEDDEEMDNALYLALFFHIRQYQWSPLGARSFYAIVMALLYKDSVRLDKDWALFRKIVPDELLRNFAEHHEPLVLSVRRRKLKMKLGCLMEVVWIEEPEPALVKRPEEELSEESEDWWERKRKWCFSRHPPSPDVGPALQSNFDKHHEPLIPSVRQQKLKMKLGCLMEVVWTEEPEPALEKEPEEESEDSWEKKRKWGLSRCPPSPDVGPASYLFWAVTYHKQM
+>sp|Q5TCZ1|SPD2A_HUMAN SH3 and PX domain-containing protein 2A OS=Homo sapiens OX=9606 GN=SH3PXD2A PE=1 SV=1
+MLAYCVQDATVVDVEKRRNPSKHYVYIINVTWSDSTSQTIYRRYSKFFDLQMQLLDKFPIEGGQKDPKQRIIPFLPGKILFRRSHIRDVAVKRLKPIDEYCRALVRLPPHISQCDEVFRFFEARPEDVNPPKEDYGSSKRKSVWLSSWAESPKKDVTGADATAEPMILEQYVVVSNYKKQENSELSLQAGEVVDVIEKNESGWWFVSTSEEQGWVPATYLEAQNGTRDDSDINTSKTGEVSKRRKAHLRRLDRRWTLGGMVNRQHSREEKYVTVQPYTSQSKDEIGFEKGVTVEVIRKNLEGWWYIRYLGKEGWAPASYLKKAKDDLPTRKKNLAGPVEIIGNIMEISNLLNKKASGDKETPPAEGEGHEAPIAKKEISLPILCNASNGSAVGVPDRTVSRLAQGSPAVARIAPQRAQISSPNLRTRPPPRRESSLGFQLPKPPEPPSVEVEYYTIAEFQSCISDGISFRGGQKAEVIDKNSGGWWYVQIGEKEGWAPASYIDKRKKPNLSRRTSTLTRPKVPPPAPPSKPKEAEEGPTGASESQDSPRKLKYEEPEYDIPAFGFDSEPELSEEPVEDRASGERRPAQPHRPSPASSLQRARFKVGESSEDVALEEETIYENEGFRPYAEDTLSARGSSGDSDSPGSSSLSLTRKNSPKSGSPKSSSLLKLKAEKNAQAEMGKNHSSASFSSSITINTTCCSSSSSSSSSLSKTSGDLKPRSASDAGIRGTPKVRAKKDADANAGLTSCPRAKPSVRPKPFLNRAESQSQEKMDISTLRRQLRPTGQLRGGLKGSKSEDSELPPQTASEAPSEGSRRSSSDLITLPATTPPCPTKKEWEGPATSYMTCSAYQKVQDSEISFPAGVEVQVLEKQESGWWYVRFGELEGWAPSHYLVLDENEQPDPSGKELDTVPAKGRQNEGKSDSLEKIERRVQALNTVNQSKKATPPIPSKPPGGFGKTSGTPAVKMRNGVRQVAVRPQSVFVSPPPKDNNLSCALRRNESLTATDGLRGVRRNSSFSTARSAAAEAKGRLAERAASQGSDSPLLPAQRNSIPVSPVRPKPIEKSQFIHNNLKDVYVSIADYEGDEETAGFQEGVSMEVLERNPNGWWYCQILDGVKPFKGWVPSNYLEKKN
+>DECOY_sp|Q5TCZ1|SPD2A_HUMAN SH3 and PX domain-containing protein 2A OS=Homo sapiens OX=9606 GN=SH3PXD2A PE=1 SV=1
+NKKELYNSPVWGKFPKVGDLIQCYWWGNPNRELVEMSVGEQFGATEEDGEYDAISVYVDKLNNHIFQSKEIPKPRVPSVPISNRQAPLLPSDSGQSAAREALRGKAEAAASRATSFSSNRRVGRLGDTATLSENRRLACSLNNDKPPPSVFVSQPRVAVQRVGNRMKVAPTGSTKGFGGPPKSPIPPTAKKSQNVTNLAQVRREIKELSDSKGENQRGKAPVTDLEKGSPDPQENEDLVLYHSPAWGELEGFRVYWWGSEQKELVQVEVGAPFSIESDQVKQYASCTMYSTAPGEWEKKTPCPPTTAPLTILDSSSRRSGESPAESATQPPLESDESKSGKLGGRLQGTPRLQRRLTSIDMKEQSQSEARNLFPKPRVSPKARPCSTLGANADADKKARVKPTGRIGADSASRPKLDGSTKSLSSSSSSSSSCCTTNITISSSFSASSHNKGMEAQANKEAKLKLLSSSKPSGSKPSNKRTLSLSSSGPSDSDGSSGRASLTDEAYPRFGENEYITEEELAVDESSEGVKFRARQLSSAPSPRHPQAPRREGSARDEVPEESLEPESDFGFAPIDYEPEEYKLKRPSDQSESAGTPGEEAEKPKSPPAPPPVKPRTLTSTRRSLNPKKRKDIYSAPAWGEKEGIQVYWWGGSNKDIVEAKQGGRFSIGDSICSQFEAITYYEVEVSPPEPPKPLQFGLSSERRPPPRTRLNPSSIQARQPAIRAVAPSGQALRSVTRDPVGVASGNSANCLIPLSIEKKAIPAEHGEGEAPPTEKDGSAKKNLLNSIEMINGIIEVPGALNKKRTPLDDKAKKLYSAPAWGEKGLYRIYWWGELNKRIVEVTVGKEFGIEDKSQSTYPQVTVYKEERSHQRNVMGGLTWRRDLRRLHAKRRKSVEGTKSTNIDSDDRTGNQAELYTAPVWGQEESTSVFWWGSENKEIVDVVEGAQLSLESNEQKKYNSVVVYQELIMPEATADAGTVDKKPSEAWSSLWVSKRKSSGYDEKPPNVDEPRAEFFRFVEDCQSIHPPLRVLARCYEDIPKLRKVAVDRIHSRRFLIKGPLFPIIRQKPDKQGGEIPFKDLLQMQLDFFKSYRRYITQSTSDSWTVNIIYVYHKSPNRRKEVDVVTADQVCYALM
+>sp|Q9UN79|SOX13_HUMAN Transcription factor SOX-13 OS=Homo sapiens OX=9606 GN=SOX13 PE=1 SV=3
+MSMRSPISAQLALDGVGTMVNCTIKSEEKKEPCHEAPQGSATAAEPQPGDPARASQDSADPQAPAQGNFRGSWDCSSPEGNGSPEPKRPGVSEAASGSQEKLDFNRNLKEVVPAIEKLLSSDWKERFLGRNSMEAKDVKGTQESLAEKELQLLVMIHQLSTLRDQLLTAHSEQKNMAAMLFEKQQQQMELARQQQEQIAKQQQQLIQQQHKINLLQQQIQQVNMPYVMIPAFPPSHQPLPVTPDSQLALPIQPIPCKPVEYPLQLLHSPPAPVVKRPGAMATHHPLQEPSQPLNLTAKPKAPELPNTSSSPSLKMSSCVPRPPSHGGPTRDLQSSPPSLPLGFLGEGDAVTKAIQDARQLLHSHSGALDGSPNTPFRKDLISLDSSPAKERLEDGCVHPLEEAMLSCDMDGSRHFPESRNSSHIKRPMNAFMVWAKDERRKILQAFPDMHNSSISKILGSRWKSMTNQEKQPYYEEQARLSRQHLEKYPDYKYKPRPKRTCIVEGKRLRVGEYKALMRTRRQDARQSYVIPPQAGQVQMSSSDVLYPRAAGMPLAQPLVEHYVPRSLDPNMPVIVNTCSLREEGEGTDDRHSVADGEMYRYSEDEDSEGEEKSDGELVVLTD
+>DECOY_sp|Q9UN79|SOX13_HUMAN Transcription factor SOX-13 OS=Homo sapiens OX=9606 GN=SOX13 PE=1 SV=3
+DTLVVLEGDSKEEGESDEDESYRYMEGDAVSHRDDTGEGEERLSCTNVIVPMNPDLSRPVYHEVLPQALPMGAARPYLVDSSSMQVQGAQPPIVYSQRADQRRTRMLAKYEGVRLRKGEVICTRKPRPKYKYDPYKELHQRSLRAQEEYYPQKEQNTMSKWRSGLIKSISSNHMDPFAQLIKRREDKAWVMFANMPRKIHSSNRSEPFHRSGDMDCSLMAEELPHVCGDELREKAPSSDLSILDKRFPTNPSGDLAGSHSHLLQRADQIAKTVADGEGLFGLPLSPPSSQLDRTPGGHSPPRPVCSSMKLSPSSSTNPLEPAKPKATLNLPQSPEQLPHHTAMAGPRKVVPAPPSHLLQLPYEVPKCPIPQIPLALQSDPTVPLPQHSPPFAPIMVYPMNVQQIQQQLLNIKHQQQILQQQQKAIQEQQQRALEMQQQQKEFLMAAMNKQESHATLLQDRLTSLQHIMVLLQLEKEALSEQTGKVDKAEMSNRGLFREKWDSSLLKEIAPVVEKLNRNFDLKEQSGSAAESVGPRKPEPSGNGEPSSCDWSGRFNGQAPAQPDASDQSARAPDGPQPEAATASGQPAEHCPEKKEESKITCNVMTGVGDLALQASIPSRMSM
+>sp|Q9H930|SP14L_HUMAN Nuclear body protein SP140-like protein OS=Homo sapiens OX=9606 GN=SP140L PE=1 SV=3
+MAGGGSDLSTRGLNGGVSQVANEMNHLPAHSQSLQRLFTEDQDVDEGLVYDTVFKHFKRHKLEISNAIKKTFPFLEGLRDRELITNKMFEDSEDSCRNLVPVQRVVYNVLSELEKTFNLSVLEALFSEVNMQEYPDLIHIYKSFKNAIQDKLSFQESDRKEREERPDIKLSLKQGEVPESPEARKESDQACGKMDTVDIANNSTLGKPKRKRRKKKGHGWSRMGTRTQKNNQQNDNSKADGQLVSSEKKANMNLKDLSKIRGRKRGKPGTHFTQSDRAPQKRVRSRASRKHKDETVDFQAPLLPVTCGGVKGILHKEKLEQGTLAKCIQTEDGKWFTPMEFEIKGGYARSKNWRLSVRCGGWPLRRLMEEGSLPNPPRIYYRNKKRILKSQNNSSVDPCMRNLDECEVCRDGGELFCCDTCSRVFHEDCHIPPVESEKTPWNCIFCRMKESPGSQQCCQESEVLERQMCPEEQLKCEFLLLKVYCCSESSFFAKIPYYYYIREACQGLKEPMWLDKIKKRLNEHGYPQVEGFVQDMRLIFQNHRASYKYKDFGQMGLRLEAEFEKDFKEVFAIQETNGNS
+>DECOY_sp|Q9H930|SP14L_HUMAN Nuclear body protein SP140-like protein OS=Homo sapiens OX=9606 GN=SP140L PE=1 SV=3
+SNGNTEQIAFVEKFDKEFEAELRLGMQGFDKYKYSARHNQFILRMDQVFGEVQPYGHENLRKKIKDLWMPEKLGQCAERIYYYYPIKAFFSSESCCYVKLLLFECKLQEEPCMQRELVESEQCCQQSGPSEKMRCFICNWPTKESEVPPIHCDEHFVRSCTDCCFLEGGDRCVECEDLNRMCPDVSSNNQSKLIRKKNRYYIRPPNPLSGEEMLRRLPWGGCRVSLRWNKSRAYGGKIEFEMPTFWKGDETQICKALTGQELKEKHLIGKVGGCTVPLLPAQFDVTEDKHKRSARSRVRKQPARDSQTFHTGPKGRKRGRIKSLDKLNMNAKKESSVLQGDAKSNDNQQNNKQTRTGMRSWGHGKKKRRKRKPKGLTSNNAIDVTDMKGCAQDSEKRAEPSEPVEGQKLSLKIDPREEREKRDSEQFSLKDQIANKFSKYIHILDPYEQMNVESFLAELVSLNFTKELESLVNYVVRQVPVLNRCSDESDEFMKNTILERDRLGELFPFTKKIANSIELKHRKFHKFVTDYVLGEDVDQDETFLRQLSQSHAPLHNMENAVQSVGGNLGRTSLDSGGGAM
+>sp|Q9Y5B9|SP16H_HUMAN FACT complex subunit SPT16 OS=Homo sapiens OX=9606 GN=SUPT16H PE=1 SV=1
+MAVTLDKDAYYRRVKRLYSNWRKGEDEYANVDAIVVSVGVDEEIVYAKSTALQTWLFGYELTDTIMVFCDDKIIFMASKKKVEFLKQIANTKGNENANGAPAITLLIREKNESNKSSFDKMIEAIKESKNGKKIGVFSKDKFPGEFMKSWNDCLNKEGFDKIDISAVVAYTIAVKEDGELNLMKKAASITSEVFNKFFKERVMEIVDADEKVRHSKLAESVEKAIEEKKYLAGADPSTVEMCYPPIIQSGGNYNLKFSVVSDKNHMHFGAITCAMGIRFKSYCSNLVRTLMVDPSQEVQENYNFLLQLQEELLKELRHGVKICDVYNAVMDVVKKQKPELLNKITKNLGFGMGIEFREGSLVINSKNQYKLKKGMVFSINLGFSDLTNKEGKKPEEKTYALFIGDTVLVDEDGPATVLTSVKKKVKNVGIFLKNEDEEEEEEEKDEAEDLLGRGSRAALLTERTRNEMTAEEKRRAHQKELAAQLNEEAKRRLTEQKGEQQIQKARKSNVSYKNPSLMPKEPHIREMKIYIDKKYETVIMPVFGIATPFHIATIKNISMSVEGDYTYLRINFYCPGSALGRNEGNIFPNPEATFVKEITYRASNIKAPGEQTVPALNLQNAFRIIKEVQKRYKTREAEEKEKEGIVKQDSLVINLNRSNPKLKDLYIRPNIAQKRMQGSLEAHVNGFRFTSVRGDKVDILYNNIKHALFQPCDGEMIIVLHFHLKNAIMFGKKRHTDVQFYTEVGEITTDLGKHQHMHDRDDLYAEQMEREMRHKLKTAFKNFIEKVEALTKEELEFEVPFRDLGFNGAPYRSTCLLQPTSSALVNATEWPPFVVTLDEVELIHFERVQFHLKNFDMVIVYKDYSKKVTMINAIPVASLDPIKEWLNSCDLKYTEGVQSLNWTKIMKTIVDDPEGFFEQGGWSFLEPEGEGSDAEEGDSESEIEDETFNPSEDDYEEEEEDSDEDYSSEAEESDYSKESLGSEEESGKDWDELEEEARKADRESRYEEEEEQSRSMSRKRKASVHSSGRGSNRGSRHSSAPPKKKRK
+>DECOY_sp|Q9Y5B9|SP16H_HUMAN FACT complex subunit SPT16 OS=Homo sapiens OX=9606 GN=SUPT16H PE=1 SV=1
+KRKKKPPASSHRSGRNSGRGSSHVSAKRKRSMSRSQEEEEEYRSERDAKRAEEELEDWDKGSEEESGLSEKSYDSEEAESSYDEDSDEEEEEYDDESPNFTEDEIESESDGEEADSGEGEPELFSWGGQEFFGEPDDVITKMIKTWNLSQVGETYKLDCSNLWEKIPDLSAVPIANIMTVKKSYDKYVIVMDFNKLHFQVREFHILEVEDLTVVFPPWETANVLASSTPQLLCTSRYPAGNFGLDRFPVEFELEEKTLAEVKEIFNKFATKLKHRMEREMQEAYLDDRDHMHQHKGLDTTIEGVETYFQVDTHRKKGFMIANKLHFHLVIIMEGDCPQFLAHKINNYLIDVKDGRVSTFRFGNVHAELSGQMRKQAINPRIYLDKLKPNSRNLNIVLSDQKVIGEKEKEEAERTKYRKQVEKIIRFANQLNLAPVTQEGPAKINSARYTIEKVFTAEPNPFINGENRGLASGPCYFNIRLYTYDGEVSMSINKITAIHFPTAIGFVPMIVTEYKKDIYIKMERIHPEKPMLSPNKYSVNSKRAKQIQQEGKQETLRRKAEENLQAALEKQHARRKEEATMENRTRETLLAARSGRGLLDEAEDKEEEEEEEDENKLFIGVNKVKKKVSTLVTAPGDEDVLVTDGIFLAYTKEEPKKGEKNTLDSFGLNISFVMGKKLKYQNKSNIVLSGERFEIGMGFGLNKTIKNLLEPKQKKVVDMVANYVDCIKVGHRLEKLLEEQLQLLFNYNEQVEQSPDVMLTRVLNSCYSKFRIGMACTIAGFHMHNKDSVVSFKLNYNGGSQIIPPYCMEVTSPDAGALYKKEEIAKEVSEALKSHRVKEDADVIEMVREKFFKNFVESTISAAKKMLNLEGDEKVAITYAVVASIDIKDFGEKNLCDNWSKMFEGPFKDKSFVGIKKGNKSEKIAEIMKDFSSKNSENKERILLTIAPAGNANENGKTNAIQKLFEVKKKSAMFIIKDDCFVMITDTLEYGFLWTQLATSKAYVIEEDVGVSVVIADVNAYEDEGKRWNSYLRKVRRYYADKDLTVAM
+>sp|Q6BEB4|SP5_HUMAN Transcription factor Sp5 OS=Homo sapiens OX=9606 GN=SP5 PE=2 SV=1
+MAAVAVLRNDSLQAFLQDRTPSASPDLGKHSPLALLAATCSRIGQPGAAAPPDFLQVPYDPALGSPSRLFHPWTADMPAHSPGALPPPHPSLGLTPQKTHLQPSFGAAHELPLTPPADPSYPYEFSPVKMLPSSMAALPASCAPAYVPYAAQAALPPGYSNLLPPPPPPPPPPTCRQLSPNPAPDDLPWWSIPQAGAGPGASGVPGSGLSGACAGAPHAPRFPASAAAAAAAAAALQRGLVLGPSDFAQYQSQIAALLQTKAPLAATARRCRRCRCPNCQAAGGAPEAEPGKKKQHVCHVPGCGKVYGKTSHLKAHLRWHTGERPFVCNWLFCGKSFTRSDELQRHLRTHTGEKRFACPECGKRFMRSDHLAKHVKTHQNKKLKVAEAGVKREDARDL
+>DECOY_sp|Q6BEB4|SP5_HUMAN Transcription factor Sp5 OS=Homo sapiens OX=9606 GN=SP5 PE=2 SV=1
+LDRADERKVGAEAVKLKKNQHTKVHKALHDSRMFRKGCEPCAFRKEGTHTRLHRQLEDSRTFSKGCFLWNCVFPREGTHWRLHAKLHSTKGYVKGCGPVHCVHQKKKGPEAEPAGGAAQCNPCRCRRCRRATAALPAKTQLLAAIQSQYQAFDSPGLVLGRQLAAAAAAAAAASAPFRPAHPAGACAGSLGSGPVGSAGPGAGAQPISWWPLDDPAPNPSLQRCTPPPPPPPPPPLLNSYGPPLAAQAAYPVYAPACSAPLAAMSSPLMKVPSFEYPYSPDAPPTLPLEHAAGFSPQLHTKQPTLGLSPHPPPLAGPSHAPMDATWPHFLRSPSGLAPDYPVQLFDPPAAAGPQGIRSCTAALLALPSHKGLDPSASPTRDQLFAQLSDNRLVAVAAM
+>sp|P0CG40|SP9_HUMAN Transcription factor Sp9 OS=Homo sapiens OX=9606 GN=SP9 PE=3 SV=1
+MATSILGEEPRFGTTPLAMLAATCNKIGNTSPLTTLPESSAFAKGGFHPWKRSSSSCNLGSSLSGFAVATGGRGSGGLAGGSGAANSAFCLASTSPTSSAFSSDYGGLFSNSAAAAAAAAGVSPQEAGGQSAFISKVHTTAADGLYPRVGMAHPYESWYKSGFHSTLAAGEVTNGAASSWWDVHSSPGSWLEVQNPAGGLQSSLHSGAPQASLHSQLGTYNPDFSSLTHSAFSSTGLGSSAAAASHLLSTSQHLLAQDGFKPVLPSYSDSSAAVAAAAASAMISGAAAAAAGGSSARSARRYSGRATCDCPNCQEAERLGPAGASLRRKGLHSCHIPGCGKVYGKTSHLKAHLRWHTGERPFVCNWLFCGKRFTRSDELQRHLRTHTGEKRFACPVCNKRFMRSDHLSKHIKTHNGGGGGKKGSDSDTDASNLETPRSESPDLILHDSGVSAARAAAAAAAAAAAAAAAASAGGKEAASGPNDS
+>DECOY_sp|P0CG40|SP9_HUMAN Transcription factor Sp9 OS=Homo sapiens OX=9606 GN=SP9 PE=3 SV=1
+SDNPGSAAEKGGASAAAAAAAAAAAAAAAARAASVGSDHLILDPSESRPTELNSADTDSDSGKKGGGGGNHTKIHKSLHDSRMFRKNCVPCAFRKEGTHTRLHRQLEDSRTFRKGCFLWNCVFPREGTHWRLHAKLHSTKGYVKGCGPIHCSHLGKRRLSAGAPGLREAEQCNPCDCTARGSYRRASRASSGGAAAAAAGSIMASAAAAAVAASSDSYSPLVPKFGDQALLHQSTSLLHSAAAASSGLGTSSFASHTLSSFDPNYTGLQSHLSAQPAGSHLSSQLGGAPNQVELWSGPSSHVDWWSSAAGNTVEGAALTSHFGSKYWSEYPHAMGVRPYLGDAATTHVKSIFASQGGAEQPSVGAAAAAAAASNSFLGGYDSSFASSTPSTSALCFASNAAGSGGALGGSGRGGTAVAFGSLSSGLNCSSSSRKWPHFGGKAFASSEPLTTLPSTNGIKNCTAALMALPTTGFRPEEGLISTAM
+>sp|Q86U17|SPA11_HUMAN Serpin A11 OS=Homo sapiens OX=9606 GN=SERPINA11 PE=2 SV=2
+MGPAWLWLLGTGILASVHCQPLLAHGDKSLQGPQPPRHQLSEPAPAYHRITPTITNFALRLYKELAADAPGNIFFSPVSISTTLALLSLGAQANTSALILEGLGFNLTETPEADIHQGFRSLLHTLALPSPKLELKVGNSLFLDKRLKPRQHYLDSIKELYGAFAFSANFTDSVTTGRQINDYLRRQTYGQVVDCLPEFSQDTFMVLANYIFFKAKWKHPFSRYQTQKQESFFVDERTSLQVPMMHQKEMHRFLYDQDLACTVLQIEYRGNALALLVLPDPGKMKQVEAALQPQTLRKWGQLLLPSLLDLHLPRFSISGTYNLEDILPQIGLTNILNLEADFSGVTGQLNKTISKVSHKAMVDMSEKGTEAGAASGLLSQPPSLNTMSDPHAHFNRPFLLLLWEVTTQSLLFLGKVVNPVAG
+>DECOY_sp|Q86U17|SPA11_HUMAN Serpin A11 OS=Homo sapiens OX=9606 GN=SERPINA11 PE=2 SV=2
+GAVPNVVKGLFLLSQTTVEWLLLLFPRNFHAHPDSMTNLSPPQSLLGSAAGAETGKESMDVMAKHSVKSITKNLQGTVGSFDAELNLINTLGIQPLIDELNYTGSISFRPLHLDLLSPLLLQGWKRLTQPQLAAEVQKMKGPDPLVLLALANGRYEIQLVTCALDQDYLFRHMEKQHMMPVQLSTREDVFFSEQKQTQYRSFPHKWKAKFFIYNALVMFTDQSFEPLCDVVQGYTQRRLYDNIQRGTTVSDTFNASFAFAGYLEKISDLYHQRPKLRKDLFLSNGVKLELKPSPLALTHLLSRFGQHIDAEPTETLNFGLGELILASTNAQAGLSLLALTTSISVPSFFINGPADAALEKYLRLAFNTITPTIRHYAPAPESLQHRPPQPGQLSKDGHALLPQCHVSALIGTGLLWLWAPGM
+>sp|Q6UXR4|SPA13_HUMAN Putative serpin A13 OS=Homo sapiens OX=9606 GN=SERPINA13P PE=5 SV=1
+MEASRWWLLVTVLMAGAHCVALVDQEASDLIHSGPQDSSPGPALPCHKISVSNIDFAFKLYRQLALNAPGENILFFPVSISLALAMLSWGAPVASRTQLLEGLGFTLTVVPEEEIQEGFWDLLIRLRGQGPRLLLTMDQRRFSGLGARANQSLEEAQKHIDEYTEQQTQGKLGAWEKDLGSETTAVLVNHMLLRAEWMKPFDSHATSPKEFFVDEHSAVWVPMMKEKASHRFLHDRELQCSVLRMDHAGNTTTFFIFPNRGKMRHLEDALLPETLIKWDSLLRTRELDFHFPKFSISRTCRLEMLLP
+>DECOY_sp|Q6UXR4|SPA13_HUMAN Putative serpin A13 OS=Homo sapiens OX=9606 GN=SERPINA13P PE=5 SV=1
+PLLMELRCTRSISFKPFHFDLERTRLLSDWKILTEPLLADELHRMKGRNPFIFFTTTNGAHDMRLVSCQLERDHLFRHSAKEKMMPVWVASHEDVFFEKPSTAHSDFPKMWEARLLMHNVLVATTESGLDKEWAGLKGQTQQETYEDIHKQAEELSQNARAGLGSFRRQDMTLLLRPGQGRLRILLDWFGEQIEEEPVVTLTFGLGELLQTRSAVPAGWSLMALALSISVPFFLINEGPANLALQRYLKFAFDINSVSIKHCPLAPGPSSDQPGSHILDSAEQDVLAVCHAGAMLVTVLLWWRSAEM
+>sp|Q8IUW3|SPA2L_HUMAN Spermatogenesis-associated protein 2-like protein OS=Homo sapiens OX=9606 GN=SPATA2L PE=2 SV=1
+MGSSSLSEDYRQCLERELRRGRAGVCGDPSLRAVLWQILVEDFDLHGALQDDALALLTDGLWGRADLAPALRGLARAFELLELAAVHLYLLPWRKEFTTIKTFSGGYVHVLKGVLSDDLLLKSFQKMGYVRRDSHRLMVTALPPACQLVQVALGCFALRLECEILGEVLAQLGTSVLPAEELLQARRASGDVASCVAWLQQRLAQDEEPPPLPPRGSPAAYRAPLDLYRDLQEDEGSEDASLYGEPSPGPDSPPAELAYRPPLWEQSAKLWGTGGRAWEPPAEELPQASSPPYGALEEGLEPEPSAFSFLSLRRELSRPGDLATPESSAAASPRRIRAEGVPASAYRSVSEPPGYQAHSCLSPGALPTLCCDTCRQLHAAHCAALPACRPGHSLRVLLGDAQRRLWLQRAQMDTLLYNSPGARP
+>DECOY_sp|Q8IUW3|SPA2L_HUMAN Spermatogenesis-associated protein 2-like protein OS=Homo sapiens OX=9606 GN=SPATA2L PE=2 SV=1
+PRAGPSNYLLTDMQARQLWLRRQADGLLVRLSHGPRCAPLAACHAAHLQRCTDCCLTPLAGPSLCSHAQYGPPESVSRYASAPVGEARIRRPSAAASSEPTALDGPRSLERRLSLFSFASPEPELGEELAGYPPSSAQPLEEAPPEWARGGTGWLKASQEWLPPRYALEAPPSDPGPSPEGYLSADESGEDEQLDRYLDLPARYAAPSGRPPLPPPEEDQALRQQLWAVCSAVDGSARRAQLLEEAPLVSTGLQALVEGLIECELRLAFCGLAVQVLQCAPPLATVMLRHSDRRVYGMKQFSKLLLDDSLVGKLVHVYGGSFTKITTFEKRWPLLYLHVAALELLEFARALGRLAPALDARGWLGDTLLALADDQLAGHLDFDEVLIQWLVARLSPDGCVGARGRRLERELCQRYDESLSSSGM
+>sp|Q96R06|SPAG5_HUMAN Sperm-associated antigen 5 OS=Homo sapiens OX=9606 GN=SPAG5 PE=1 SV=2
+MWRVKKLSLSLSPSPQTGKPSMRTPLRELTLQPGALTNSGKRSPACSSLTPSLCKLGLQEGSNNSSPVDFVNNKRTDLSSEHFSHSSKWLETCQHESDEQPLDPIPQISSTPKTSEEAVDPLGNYMVKTIVLVPSPLGQQQDMIFEARLDTMAETNSISLNGPLRTDDLVREEVAPCMGDRFSEVAAVSEKPIFQESPSHLLEESPPNPCSEQLHCSKESLSSRTEAVREDLVPSESNAFLPSSVLWLSPSTALAADFRVNHVDPEEEIVEHGAMEEREMRFPTHPKESETEDQALVSSVEDILSTCLTPNLVEMESQEAPGPAVEDVGRILGSDTESWMSPLAWLEKGVNTSVMLENLRQSLSLPSMLRDAAIGTTPFSTCSVGTWFTPSAPQEKSTNTSQTGLVGTKHSTSETEQLLCGRPPDLTALSRHDLEDNLLSSLVILEVLSRQLRDWKSQLAVPHPETQDSSTQTDTSHSGITNKLQHLKESHEMGQALQQARNVMQSWVLISKELISLLHLSLLHLEEDKTTVSQESRRAETLVCCCFDLLKKLRAKLQSLKAEREEARHREEMALRGKDAAEIVLEAFCAHASQRISQLEQDLASMREFRGLLKDAQTQLVGLHAKQEELVQQTVSLTSTLQQDWRSMQLDYTTWTALLSRSRQLTEKLTVKSQQALQERDVAIEEKQEVSRVLEQVSAQLEECKGQTEQLELENSRLATDLRAQLQILANMDSQLKELQSQHTHCAQDLAMKDELLCQLTQSNEEQAAQWQKEEMALKHMQAELQQQQAVLAKEVRDLKETLEFADQENQVAHLELGQVECQLKTTLEVLRERSLQCENLKDTVENLTAKLASTIADNQEQDLEKTRQYSQKLGLLTEQLQSLTLFLQTKLKEKTEQETLLLSTACPPTQEHPLPNDRTFLGSILTAVADEEPESTPVPLLGSDKSAFTRVASMVSLQPAETPGMEESLAEMSIMTTELQSLCSLLQESKEEAIRTLQRKICELQARLQAQEEQHQEVQKAKEADIEKLNQALCLRYKNEKELQEVIQQQNEKILEQIDKSGELISLREEVTHLTRSLRRAETETKVLQEALAGQLDSNCQPMATNWIQEKVWLSQEVDKLRVMFLEMKNEKEKLMIKFQSHRNILEENLRRSDKELEKLDDIVQHIYKTLLSIPEVVRGCKELQGLLEFLS
+>DECOY_sp|Q96R06|SPAG5_HUMAN Sperm-associated antigen 5 OS=Homo sapiens OX=9606 GN=SPAG5 PE=1 SV=2
+SLFELLGQLEKCGRVVEPISLLTKYIHQVIDDLKELEKDSRRLNEELINRHSQFKIMLKEKENKMELFMVRLKDVEQSLWVKEQIWNTAMPQCNSDLQGALAEQLVKTETEARRLSRTLHTVEERLSILEGSKDIQELIKENQQQIVEQLEKENKYRLCLAQNLKEIDAEKAKQVEQHQEEQAQLRAQLECIKRQLTRIAEEKSEQLLSCLSQLETTMISMEALSEEMGPTEAPQLSVMSAVRTFASKDSGLLPVPTSEPEEDAVATLISGLFTRDNPLPHEQTPPCATSLLLTEQETKEKLKTQLFLTLSQLQETLLGLKQSYQRTKELDQEQNDAITSALKATLNEVTDKLNECQLSRERLVELTTKLQCEVQGLELHAVQNEQDAFELTEKLDRVEKALVAQQQQLEAQMHKLAMEEKQWQAAQEENSQTLQCLLEDKMALDQACHTHQSQLEKLQSDMNALIQLQARLDTALRSNELELQETQGKCEELQASVQELVRSVEQKEEIAVDREQLAQQSKVTLKETLQRSRSLLATWTTYDLQMSRWDQQLTSTLSVTQQVLEEQKAHLGVLQTQADKLLGRFERMSALDQELQSIRQSAHACFAELVIEAADKGRLAMEERHRAEEREAKLSQLKARLKKLLDFCCCVLTEARRSEQSVTTKDEELHLLSLHLLSILEKSILVWSQMVNRAQQLAQGMEHSEKLHQLKNTIGSHSTDTQTSSDQTEPHPVALQSKWDRLQRSLVELIVLSSLLNDELDHRSLATLDPPRGCLLQETESTSHKTGVLGTQSTNTSKEQPASPTFWTGVSCTSFPTTGIAADRLMSPLSLSQRLNELMVSTNVGKELWALPSMWSETDSGLIRGVDEVAPGPAEQSEMEVLNPTLCTSLIDEVSSVLAQDETESEKPHTPFRMEREEMAGHEVIEEEPDVHNVRFDAALATSPSLWLVSSPLFANSESPVLDERVAETRSSLSEKSCHLQESCPNPPSEELLHSPSEQFIPKESVAAVESFRDGMCPAVEERVLDDTRLPGNLSISNTEAMTDLRAEFIMDQQQGLPSPVLVITKVMYNGLPDVAEESTKPTSSIQPIPDLPQEDSEHQCTELWKSSHSFHESSLDTRKNNVFDVPSSNNSGEQLGLKCLSPTLSSCAPSRKGSNTLAGPQLTLERLPTRMSPKGTQPSPSLSLSLKKVRWM
+>sp|A0A1B0GVQ0|SPAR_HUMAN Small regulatory polypeptide of amino acid response OS=Homo sapiens OX=9606 GN=SPAAR PE=1 SV=2
+MGAKAPRGPKVAQWAMETAVIGVVVVLFVVTVAITCVLCCFSCDSRAQDPQGGPGRSFTVATFRQEASLFTGPVRHAQPVPSAQDFWTFM
+>DECOY_sp|A0A1B0GVQ0|SPAR_HUMAN Small regulatory polypeptide of amino acid response OS=Homo sapiens OX=9606 GN=SPAAR PE=1 SV=2
+MFTWFDQASPVPQAHRVPGTFLSAEQRFTAVTFSRGPGGQPDQARSDCSFCCLVCTIAVTVVFLVVVVGIVATEMAWQAVKPGRPAKAGM
+>sp|Q8NEY3|SPAT4_HUMAN Spermatogenesis-associated protein 4 OS=Homo sapiens OX=9606 GN=SPATA4 PE=1 SV=1
+MAAAGQEKGYLTQTAAALDKSPSLSPQLAAPIRGRPKKCLVYPHAPKSSRLSRSVLRWLQGLDLSFFPRNINRDFSNGFLIAEIFCIYYPWELELSSFENGTSLKVKLDNWAQLEKFLARKKFKLPKELIHGTIHCKAGVPEILIEEVYTLLTHREIKSIQDDFVNFTDYSYQMRLPLVSRSTVSKSIKDNIRLSELLSNPNMLTNELKAEFLILLHMLQRKLGRKLNPEWFDVKPTVGEVTLNHLPAQASGRRYNLKVKRGRVVPVLPNIGSGGSSHREIHVKQAGQHSYYSAMKPIRNMDKKP
+>DECOY_sp|Q8NEY3|SPAT4_HUMAN Spermatogenesis-associated protein 4 OS=Homo sapiens OX=9606 GN=SPATA4 PE=1 SV=1
+PKKDMNRIPKMASYYSHQGAQKVHIERHSSGGSGINPLVPVVRGRKVKLNYRRGSAQAPLHNLTVEGVTPKVDFWEPNLKRGLKRQLMHLLILFEAKLENTLMNPNSLLESLRINDKISKSVTSRSVLPLRMQYSYDTFNVFDDQISKIERHTLLTYVEEILIEPVGAKCHITGHILEKPLKFKKRALFKELQAWNDLKVKLSTGNEFSSLELEWPYYICFIEAILFGNSFDRNINRPFFSLDLGQLWRLVSRSLRSSKPAHPYVLCKKPRGRIPAALQPSLSPSKDLAAATQTLYGKEQGAAAM
+>sp|Q9BWV2|SPAT9_HUMAN Spermatogenesis-associated protein 9 OS=Homo sapiens OX=9606 GN=SPATA9 PE=2 SV=2
+MPIKPVGWICGQVLKNFSGRIEGIQKAIMDLVDEFKDEFPTILRLSQSNQKREPAQKTSKIRMAIALAKINRATLIRGLNSISRSSKSVAKLLHPQLACRLLELRDISGRLLREVNAPRQPLYNIQVRKGSLFEIISFPAKTALTSIIYASYAALIYLAVCVNAVLKKVKNIFQEEESIRQNREESENCRKAFSEPVLSEPMFAEGEIKAKPYRSLPEKPDISDYPKLLANKQSNNIQVLHSVFDQSAEMNEQI
+>DECOY_sp|Q9BWV2|SPAT9_HUMAN Spermatogenesis-associated protein 9 OS=Homo sapiens OX=9606 GN=SPATA9 PE=2 SV=2
+IQENMEASQDFVSHLVQINNSQKNALLKPYDSIDPKEPLSRYPKAKIEGEAFMPESLVPESFAKRCNESEERNQRISEEEQFINKVKKLVANVCVALYILAAYSAYIISTLATKAPFSIIEFLSGKRVQINYLPQRPANVERLLRGSIDRLELLRCALQPHLLKAVSKSSRSISNLGRILTARNIKALAIAMRIKSTKQAPERKQNSQSLRLITPFEDKFEDVLDMIAKQIGEIRGSFNKLVQGCIWGVPKIPM
+>sp|Q96P63|SPB12_HUMAN Serpin B12 OS=Homo sapiens OX=9606 GN=SERPINB12 PE=1 SV=1
+MDSLVTANTKFCFDLFQEIGKDDRHKNIFFSPLSLSAALGMVRLGARSDSAHQIDEVLHFNEFSQNESKEPDPCLKSNKQKAGSLNNESGLVSCYFGQLLSKLDRIKTDYTLSIANRLYGEQEFPICQEYLDGVIQFYHTTIESVDFQKNPEKSRQEINFWVECQSQGKIKELFSKDAINAETVLVLVNAVYFKAKWETYFDHENTVDAPFCLNANENKSVKMMTQKGLYRIGFIEEVKAQILEMRYTKGKLSMFVLLPSHSKDNLKGLEELERKITYEKMVAWSSSENMSEESVVLSFPRFTLEDSYDLNSILQDMGITDIFDETRADLTGISPSPNLYLSKIIHKTFVEVDENGTQAAAATGAVVSERSLRSWVEFNANHPFLFFIRHNKTQTILFYGRVCSP
+>DECOY_sp|Q96P63|SPB12_HUMAN Serpin B12 OS=Homo sapiens OX=9606 GN=SERPINB12 PE=1 SV=1
+PSCVRGYFLITQTKNHRIFFLFPHNANFEVWSRLSRESVVAGTAAAAQTGNEDVEVFTKHIIKSLYLNPSPSIGTLDARTEDFIDTIGMDQLISNLDYSDELTFRPFSLVVSEESMNESSSWAVMKEYTIKRELEELGKLNDKSHSPLLVFMSLKGKTYRMELIQAKVEEIFGIRYLGKQTMMKVSKNENANLCFPADVTNEHDFYTEWKAKFYVANVLVLVTEANIADKSFLEKIKGQSQCEVWFNIEQRSKEPNKQFDVSEITTHYFQIVGDLYEQCIPFEQEGYLRNAISLTYDTKIRDLKSLLQGFYCSVLGSENNLSGAKQKNSKLCPDPEKSENQSFENFHLVEDIQHASDSRAGLRVMGLAASLSLPSFFINKHRDDKGIEQFLDFCFKTNATVLSDM
+>sp|P48594|SPB4_HUMAN Serpin B4 OS=Homo sapiens OX=9606 GN=SERPINB4 PE=1 SV=2
+MNSLSEANTKFMFDLFQQFRKSKENNIFYSPISITSALGMVLLGAKDNTAQQISKVLHFDQVTENTTEKAATYHVDRSGNVHHQFQKLLTEFNKSTDAYELKIANKLFGEKTYQFLQEYLDAIKKFYQTSVESTDFANAPEESRKKINSWVESQTNEKIKNLFPDGTIGNDTTLVLVNAIYFKGQWENKFKKENTKEEKFWPNKNTYKSVQMMRQYNSFNFALLEDVQAKVLEIPYKGKDLSMIVLLPNEIDGLQKLEEKLTAEKLMEWTSLQNMRETCVDLHLPRFKMEESYDLKDTLRTMGMVNIFNGDADLSGMTWSHGLSVSKVLHKAFVEVTEEGVEAAAATAVVVVELSSPSTNEEFCCNHPFLFFIRQNKTNSILFYGRFSSP
+>DECOY_sp|P48594|SPB4_HUMAN Serpin B4 OS=Homo sapiens OX=9606 GN=SERPINB4 PE=1 SV=2
+PSSFRGYFLISNTKNQRIFFLFPHNCCFEENTSPSSLEVVVVATAAAAEVGEETVEVFAKHLVKSVSLGHSWTMGSLDADGNFINVMGMTRLTDKLDYSEEMKFRPLHLDVCTERMNQLSTWEMLKEATLKEELKQLGDIENPLLVIMSLDKGKYPIELVKAQVDELLAFNFSNYQRMMQVSKYTNKNPWFKEEKTNEKKFKNEWQGKFYIANVLVLTTDNGITGDPFLNKIKENTQSEVWSNIKKRSEEPANAFDTSEVSTQYFKKIADLYEQLFQYTKEGFLKNAIKLEYADTSKNFETLLKQFQHHVNGSRDVHYTAAKETTNETVQDFHLVKSIQQATNDKAGLLVMGLASTISIPSYFINNEKSKRFQQFLDFMFKTNAESLSNM
+>sp|P36952|SPB5_HUMAN Serpin B5 OS=Homo sapiens OX=9606 GN=SERPINB5 PE=1 SV=2
+MDALQLANSAFAVDLFKQLCEKEPLGNVLFSPICLSTSLSLAQVGAKGDTANEIGQVLHFENVKDVPFGFQTVTSDVNKLSSFYSLKLIKRLYVDKSLNLSTEFISSTKRPYAKELETVDFKDKLEETKGQINNSIKDLTDGHFENILADNSVNDQTKILVVNAAYFVGKWMKKFSESETKECPFRVNKTDTKPVQMMNMEATFCMGNIDSINCKIIELPFQNKHLSMFILLPKDVEDESTGLEKIEKQLNSESLSQWTNPSTMANAKVKLSIPKFKVEKMIDPKACLENLGLKHIFSEDTSDFSGMSETKGVALSNVIHKVCLEITEDGGDSIEVPGARILQHKDELNADHPFIYIIRHNKTRNIIFFGKFCSP
+>DECOY_sp|P36952|SPB5_HUMAN Serpin B5 OS=Homo sapiens OX=9606 GN=SERPINB5 PE=1 SV=2
+PSCFKGFFIINRTKNHRIIYIFPHDANLEDKHQLIRAGPVEISDGGDETIELCVKHIVNSLAVGKTESMGSFDSTDESFIHKLGLNELCAKPDIMKEVKFKPISLKVKANAMTSPNTWQSLSESNLQKEIKELGTSEDEVDKPLLIFMSLHKNQFPLEIIKCNISDINGMCFTAEMNMMQVPKTDTKNVRFPCEKTESESFKKMWKGVFYAANVVLIKTQDNVSNDALINEFHGDTLDKISNNIQGKTEELKDKFDVTELEKAYPRKTSSIFETSLNLSKDVYLRKILKLSYFSSLKNVDSTVTQFGFPVDKVNEFHLVQGIENATDGKAGVQALSLSTSLCIPSFLVNGLPEKECLQKFLDVAFASNALQLADM
+>sp|A6NIY4|SPDE5_HUMAN Speedy protein E5 OS=Homo sapiens OX=9606 GN=SPDYE5 PE=3 SV=3
+MDRTETRFRKRGQITEKITTSRQPQPQNEQSPQRSTSGYPLQEVVDDEVLGPSAPGVDPSPPCRSLGWKRKREWSDESAEEPEKELAPEPEETWVVEMLCGLKMKLKQQRVSPILPEHHKGFNSQLAPGVDPSPPHRSFCWKRKMEWWDESEESLEEEPRKVLAPEPEEIWVAEMLCGLKMKLKRRRVSLVLPEHHEAFNRLLEDPVIKRFLAWDKDLRVSDKYLLAMVIAYFSRAGFPSWQYQRIHFFLALYLANDMEEDDEDSKQNIFHFLYGKNRSRIPLLRKRWFQLGRSMNPRARKKRSRIPLLRKRRFQLGRSMNPRARKNRSRIPLLRKRRFQLGRSMNLRARKNRSQIVLFQKRRFQFFCSMSGRAWVSPEELEEIQAYDPEHWVWARDRAHLS
+>DECOY_sp|A6NIY4|SPDE5_HUMAN Speedy protein E5 OS=Homo sapiens OX=9606 GN=SPDYE5 PE=3 SV=3
+SLHARDRAWVWHEPDYAQIEELEEPSVWARGSMSCFFQFRRKQFLVIQSRNKRARLNMSRGLQFRRKRLLPIRSRNKRARPNMSRGLQFRRKRLLPIRSRKKRARPNMSRGLQFWRKRLLPIRSRNKGYLFHFINQKSDEDDEEMDNALYLALFFHIRQYQWSPFGARSFYAIVMALLYKDSVRLDKDWALFRKIVPDELLRNFAEHHEPLVLSVRRRKLKMKLGCLMEAVWIEEPEPALVKRPEEELSEESEDWWEMKRKWCFSRHPPSPDVGPALQSNFGKHHEPLIPSVRQQKLKMKLGCLMEVVWTEEPEPALEKEPEEASEDSWERKRKWGLSRCPPSPDVGPASPGLVEDDVVEQLPYGSTSRQPSQENQPQPQRSTTIKETIQGRKRFRTETRDM
+>sp|Q5MJ70|SPDYA_HUMAN Speedy protein A OS=Homo sapiens OX=9606 GN=SPDYA PE=1 SV=2
+MRHNQMCCETPPTVTVYVKSGSNRSHQPKKPITLKRPICKDNWQAFEKNTHNNNKSKRPKGPCLVIQRQDMTAFFKLFDDDLIQDFLWMDCCCKIADKYLLAMTFVYFKRAKFTISEHTRINFFIALYLANTVEEDEEETKYEIFPWALGKNWRKLFPNFLKLRDQLWDRIDYRAIVSRRCCEEVMAIAPTHYIWQRERSVHHSGAVRNYNRDEVQLPRGPSATPVDCSLCGKKRRYVRLGLSSSSSLSSHTAGVTEKHSQDSYNSLSMDIIGDPSQAYTGSEVVNDHQSNKGKKTNFLKKDKSMEWFTGSEE
+>DECOY_sp|Q5MJ70|SPDYA_HUMAN Speedy protein A OS=Homo sapiens OX=9606 GN=SPDYA PE=1 SV=2
+EESGTFWEMSKDKKLFNTKKGKNSQHDNVVESGTYAQSPDGIIDMSLSNYSDQSHKETVGATHSSLSSSSSLGLRVYRRKKGCLSCDVPTASPGRPLQVEDRNYNRVAGSHHVSRERQWIYHTPAIAMVEECCRRSVIARYDIRDWLQDRLKLFNPFLKRWNKGLAWPFIEYKTEEEDEEVTNALYLAIFFNIRTHESITFKARKFYVFTMALLYKDAIKCCCDMWLFDQILDDDFLKFFATMDQRQIVLCPGKPRKSKNNNHTNKEFAQWNDKCIPRKLTIPKKPQHSRNSGSKVYVTVTPPTECCMQNHRM
+>sp|Q9BSE5|SPEB_HUMAN Agmatinase, mitochondrial OS=Homo sapiens OX=9606 GN=AGMAT PE=1 SV=2
+MLRLLASGCARGPGPGVGARPAAGLFHPGRRQSRQASDAPRNQPPSPEFVARPVGVCSMMRLPVQTSPEGLDAAFIGVPLDTGTSNRPGARFGPRRIREESVMLGTVNPSTGALPFQSLMVADLGDVNVNLYNLQDSCRRIQEAYEKIVAAGCIPLTLGGDHTITYPILQAMAKKHGPVGLLHVDAHTDTTDKALGEKLYHGAPFRRCVDEGLLDCKRVVQIGIRGSSTTLDPYRYNRSQGFRVVLAEDCWMKSLVPLMGEVRQQMGGKPIYISFDIDALDPAYAPGTGTPEIAGLTPSQALEIIRGCQGLNVMGCDLVEVSPPYDLSGNTALLAANLLFEMLCALPKVTTV
+>DECOY_sp|Q9BSE5|SPEB_HUMAN Agmatinase, mitochondrial OS=Homo sapiens OX=9606 GN=AGMAT PE=1 SV=2
+VTTVKPLACLMEFLLNAALLATNGSLDYPPSVEVLDCGMVNLGQCGRIIELAQSPTLGAIEPTGTGPAYAPDLADIDFSIYIPKGGMQQRVEGMLPVLSKMWCDEALVVRFGQSRNYRYPDLTTSSGRIGIQVVRKCDLLGEDVCRRFPAGHYLKEGLAKDTTDTHADVHLLGVPGHKKAMAQLIPYTITHDGGLTLPICGAAVIKEYAEQIRRCSDQLNYLNVNVDGLDAVMLSQFPLAGTSPNVTGLMVSEERIRRPGFRAGPRNSTGTDLPVGIFAADLGEPSTQVPLRMMSCVGVPRAVFEPSPPQNRPADSAQRSQRRGPHFLGAAPRAGVGPGPGRACGSALLRLM
+>sp|Q9UQ90|SPG7_HUMAN Paraplegin OS=Homo sapiens OX=9606 GN=SPG7 PE=1 SV=2
+MAVLLLLLRALRRGPGPGPRPLWGPGPAWSPGFPARPGRGRPYMASRPPGDLAEAGGRALQSLQLRLLTPTFEGINGLLLKQHLVQNPVRLWQLLGGTFYFNTSRLKQKNKEKDKSKGKAPEEDEEERRRRERDDQMYRERLRTLLVIAVVMSLLNALSTSGGSISWNDFVHEMLAKGEVQRVQVVPESDVVEVYLHPGAVVFGRPRLALMYRMQVANIDKFEEKLRAAEDELNIEAKDRIPVSYKRTGFFGNALYSVGMTAVGLAILWYVFRLAGMTGREGGFSAFNQLKMARFTIVDGKMGKGVSFKDVAGMHEAKLEVREFVDYLKSPERFLQLGAKVPKGALLLGPPGCGKTLLAKAVATEAQVPFLAMAGPEFVEVIGGLGAARVRSLFKEARARAPCIVYIDEIDAVGKKRSTTMSGFSNTEEEQTLNQLLVEMDGMGTTDHVIVLASTNRADILDGALMRPGRLDRHVFIDLPTLQERREIFEQHLKSLKLTQSSTFYSQRLAELTPGFSGADIANICNEAALHAAREGHTSVHTLNFEYAVERVLAGTAKKSKILSKEEQKVVAFHESGHALVGWMLEHTEAVMKVSITPRTNAALGFAQMLPRDQHLFTKEQLFERMCMALGGRASEALSFNEVTSGAQDDLRKVTRIAYSMVKQFGMAPGIGPISFPEAQEGLMGIGRRPFSQGLQQMMDHEARLLVAKAYRHTEKVLQDNLDKLQALANALLEKEVINYEDIEALIGPPPHGPKKMIAPQRWIDAQREKQDLGEEETEETQQPPLGGEEPTWPK
+>DECOY_sp|Q9UQ90|SPG7_HUMAN Paraplegin OS=Homo sapiens OX=9606 GN=SPG7 PE=1 SV=2
+KPWTPEEGGLPPQQTEETEEEGLDQKERQADIWRQPAIMKKPGHPPPGILAEIDEYNIVEKELLANALAQLKDLNDQLVKETHRYAKAVLLRAEHDMMQQLGQSFPRRGIGMLGEQAEPFSIPGIGPAMGFQKVMSYAIRTVKRLDDQAGSTVENFSLAESARGGLAMCMREFLQEKTFLHQDRPLMQAFGLAANTRPTISVKMVAETHELMWGVLAHGSEHFAVVKQEEKSLIKSKKATGALVREVAYEFNLTHVSTHGERAAHLAAENCINAIDAGSFGPTLEALRQSYFTSSQTLKLSKLHQEFIERREQLTPLDIFVHRDLRGPRMLAGDLIDARNTSALVIVHDTTGMGDMEVLLQNLTQEEETNSFGSMTTSRKKGVADIEDIYVICPARARAEKFLSRVRAAGLGGIVEVFEPGAMALFPVQAETAVAKALLTKGCGPPGLLLAGKPVKAGLQLFREPSKLYDVFERVELKAEHMGAVDKFSVGKGMKGDVITFRAMKLQNFASFGGERGTMGALRFVYWLIALGVATMGVSYLANGFFGTRKYSVPIRDKAEINLEDEAARLKEEFKDINAVQMRYMLALRPRGFVVAGPHLYVEVVDSEPVVQVRQVEGKALMEHVFDNWSISGGSTSLANLLSMVVAIVLLTRLRERYMQDDRERRRREEEDEEPAKGKSKDKEKNKQKLRSTNFYFTGGLLQWLRVPNQVLHQKLLLGNIGEFTPTLLRLQLSQLARGGAEALDGPPRSAMYPRGRGPRAPFGPSWAPGPGWLPRPGPGPGRRLARLLLLLVAM
+>sp|Q13103|SPP24_HUMAN Secreted phosphoprotein 24 OS=Homo sapiens OX=9606 GN=SPP2 PE=1 SV=1
+MISRMEKMTMMMKILIMFALGMNYWSCSGFPVYDYDPSSLRDALSASVVKVNSQSLSPYLFRAFRSSLKRVEVLDENNLVMNLEFSIRETTCRKDSGEDPATCAFQRDYYVSTAVCRSTVKVSAQQVQGVHARCSWSSSTSESYSSEEMIFGDMLGSHKWRNNYLFGLISDESISEQFYDRSLGIMRRVLPPGNRRYPNHRHRARINTDFE
+>DECOY_sp|Q13103|SPP24_HUMAN Secreted phosphoprotein 24 OS=Homo sapiens OX=9606 GN=SPP2 PE=1 SV=1
+EFDTNIRARHRHNPYRRNGPPLVRRMIGLSRDYFQESISEDSILGFLYNNRWKHSGLMDGFIMEESSYSESTSSSWSCRAHVGQVQQASVKVTSRCVATSVYYDRQFACTAPDEGSDKRCTTERISFELNMVLNNEDLVEVRKLSSRFARFLYPSLSQSNVKVVSASLADRLSSPDYDYVPFGSCSWYNMGLAFMILIKMMMTMKEMRSIM
+>sp|P35326|SPR2A_HUMAN Small proline-rich protein 2A OS=Homo sapiens OX=9606 GN=SPRR2A PE=1 SV=1
+MSYQQQQCKQPCQPPPVCPTPKCPEPCPPPKCPEPCPPPKCPQPCPPQQCQQKYPPVTPSPPCQSKYPPKSK
+>DECOY_sp|P35326|SPR2A_HUMAN Small proline-rich protein 2A OS=Homo sapiens OX=9606 GN=SPRR2A PE=1 SV=1
+KSKPPYKSQCPPSPTVPPYKQQCQQPPCPQPCKPPPCPEPCKPPPCPEPCKPTPCVPPPQCPQKCQQQQYSM
+>sp|Q5BIV9|SPRN_HUMAN Shadow of prion protein OS=Homo sapiens OX=9606 GN=SPRN PE=2 SV=1
+MNWAPATCWALLLAAAFLCDSGAAKGGRGGARGSARGGVRGGARGASRVRVRPAQRYGAPGSSLRVAAAGAAAGAAAGAAAGLAAGSGWRRAAGPGERGLEDEEDGVPGGNGTGPGIYSYRAWTSGAGPTRGPRLCLVLGGALGALGLLRP
+>DECOY_sp|Q5BIV9|SPRN_HUMAN Shadow of prion protein OS=Homo sapiens OX=9606 GN=SPRN PE=2 SV=1
+PRLLGLAGLAGGLVLCLRPGRTPGAGSTWARYSYIGPGTGNGGPVGDEEDELGREGPGAARRWGSGAALGAAAGAAAGAAAGAAAVRLSSGPAGYRQAPRVRVRSAGRAGGRVGGRASGRAGGRGGKAAGSDCLFAAALLLAWCTAPAWNM
+>sp|Q9H040|SPRTN_HUMAN SprT-like domain-containing protein Spartan OS=Homo sapiens OX=9606 GN=SPRTN PE=1 SV=2
+MDDDLMLALRLQEEWNLQEAERDHAQESLSLVDASWELVDPTPDLQALFVQFNDQFFWGQLEAVEVKWSVRMTLCAGICSYEGKGGMCSIRLSEPLLKLRPRKDLVETLLHEMIHAYLFVTNNDKDREGHGPEFCKHMHRINSLTGANITVYHTFHDEVDEYRRHWWRCNGPCQHRPPYYGYVKRATNREPSAHDYWWAEHQKTCGGTYIKIKEPENYSKKGKGKAKLGKEPVLAAENKDKPNRGEAQLVIPFSGKGYVLGETSNLPSPGKLITSHAINKTQDLLNQNHSANAVRPNSKIKVKFEQNGSSKNSHLVSPAVSNSHQNVLSNYFPRVSFANQKAFRGVNGSPRISVTVGNIPKNSVSSSSQRRVSSSKISLRNSSKVTESASVMPSQDVSGSEDTFPNKRPRLEDKTVFDNFFIKKEQIKSSGNDPKYSTTTAQNSSSSSSQSKMVNCPVCQNEVLESQINEHLDWCLEGDSIKVKSEESL
+>DECOY_sp|Q9H040|SPRTN_HUMAN SprT-like domain-containing protein Spartan OS=Homo sapiens OX=9606 GN=SPRTN PE=1 SV=2
+LSEESKVKISDGELCWDLHENIQSELVENQCVPCNVMKSQSSSSSSNQATTTSYKPDNGSSKIQEKKIFFNDFVTKDELRPRKNPFTDESGSVDQSPMVSASETVKSSNRLSIKSSSVRRQSSSSVSNKPINGVTVSIRPSGNVGRFAKQNAFSVRPFYNSLVNQHSNSVAPSVLHSNKSSGNQEFKVKIKSNPRVANASHNQNLLDQTKNIAHSTILKGPSPLNSTEGLVYGKGSFPIVLQAEGRNPKDKNEAALVPEKGLKAKGKGKKSYNEPEKIKIYTGGCTKQHEAWWYDHASPERNTARKVYGYYPPRHQCPGNCRWWHRRYEDVEDHFTHYVTINAGTLSNIRHMHKCFEPGHGERDKDNNTVFLYAHIMEHLLTEVLDKRPRLKLLPESLRISCMGGKGEYSCIGACLTMRVSWKVEVAELQGWFFQDNFQVFLAQLDPTPDVLEWSADVLSLSEQAHDREAEQLNWEEQLRLALMLDDDM
+>sp|P49903|SPS1_HUMAN Selenide, water dikinase 1 OS=Homo sapiens OX=9606 GN=SEPHS1 PE=1 SV=2
+MSTRESFNPESYELDKSFRLTRFTELKGTGCKVPQDVLQKLLESLQENHFQEDEQFLGAVMPRLGIGMDTCVIPLRHGGLSLVQTTDYIYPIVDDPYMMGRIACANVLSDLYAMGVTECDNMLMLLGVSNKMTDRERDKVMPLIIQGFKDAAEEAGTSVTGGQTVLNPWIVLGGVATTVCQPNEFIMPDNAVPGDVLVLTKPLGTQVAVAVHQWLDIPEKWNKIKLVVTQEDVELAYQEAMMNMARLNRTAAGLMHTFNAHAATDITGFGILGHAQNLAKQQRNEVSFVIHNLPVLAKMAAVSKACGNMFGLMHGTCPETSGGLLICLPREQAARFCAEIKSPKYGEGHQAWIIGIVEKGNRTARIIDKPRIIEVAPQVATQNVNPTPGATS
+>DECOY_sp|P49903|SPS1_HUMAN Selenide, water dikinase 1 OS=Homo sapiens OX=9606 GN=SEPHS1 PE=1 SV=2
+STAGPTPNVNQTAVQPAVEIIRPKDIIRATRNGKEVIGIIWAQHGEGYKPSKIEACFRAAQERPLCILLGGSTEPCTGHMLGFMNGCAKSVAAMKALVPLNHIVFSVENRQQKALNQAHGLIGFGTIDTAAHANFTHMLGAATRNLRAMNMMAEQYALEVDEQTVVLKIKNWKEPIDLWQHVAVAVQTGLPKTLVLVDGPVANDPMIFENPQCVTTAVGGLVIWPNLVTQGGTVSTGAEEAADKFGQIILPMVKDRERDTMKNSVGLLMLMNDCETVGMAYLDSLVNACAIRGMMYPDDVIPYIYDTTQVLSLGGHRLPIVCTDMGIGLRPMVAGLFQEDEQFHNEQLSELLKQLVDQPVKCGTGKLETFRTLRFSKDLEYSEPNFSERTSM
+>sp|Q9NUQ6|SPS2L_HUMAN SPATS2-like protein OS=Homo sapiens OX=9606 GN=SPATS2L PE=1 SV=2
+MAELNTHVNVKEKIYAVRSVVPNKSNNEIVLVLQQFDFNVDKAVQAFVDGSAIQVLKEWNMTGKKKNNKRKRSKSKQHQGNKDAKDKVERPEAGPLQPQPPQIQNGPMNGCEKDSSSTDSANEKPALIPREKKISILEEPSKALRGVTEGNRLLQQKLSLDGNPKPIHGTTERSDGLQWSAEQPCNPSKPKAKTSPVKSNTPAAHLEIKPDELAKKRGPNIEKSVKDLQRCTVSLTRYRVMIKEEVDSSVKKIKAAFAELHNCIIDKEVSLMAEMDKVKEEAMEILTARQKKAEELKRLTDLASQMAEMQLAELRAEIKHFVSERKYDEELGKAARFSCDIEQLKAQIMLCGEITHPKNNYSSRTPCSSLLPLLNAHAATSGKQSNFSRKSSTHNKPSEGKAANPKMVSSLPSTADPSHQTMPANKQNGSSNQRRRFNPQYHNNRLNGPAKSQGSGNEAEPLGKGNSRHEHRRQPHNGFRPKNKGGAKNQEASLGMKTPEAPAHSEKPRRRQHAADTSEARPFRGSVGRVSQCNLCPTRIEVSTDAAVLSVPAVTLVA
+>DECOY_sp|Q9NUQ6|SPS2L_HUMAN SPATS2-like protein OS=Homo sapiens OX=9606 GN=SPATS2L PE=1 SV=2
+AVLTVAPVSLVAADTSVEIRTPCLNCQSVRGVSGRFPRAESTDAAHQRRRPKESHAPAEPTKMGLSAEQNKAGGKNKPRFGNHPQRRHEHRSNGKGLPEAENGSGQSKAPGNLRNNHYQPNFRRRQNSSGNQKNAPMTQHSPDATSPLSSVMKPNAAKGESPKNHTSSKRSFNSQKGSTAAHANLLPLLSSCPTRSSYNNKPHTIEGCLMIQAKLQEIDCSFRAAKGLEEDYKRESVFHKIEARLEALQMEAMQSALDTLRKLEEAKKQRATLIEMAEEKVKDMEAMLSVEKDIICNHLEAFAAKIKKVSSDVEEKIMVRYRTLSVTCRQLDKVSKEINPGRKKALEDPKIELHAAPTNSKVPSTKAKPKSPNCPQEASWQLGDSRETTGHIPKPNGDLSLKQQLLRNGETVGRLAKSPEELISIKKERPILAPKENASDTSSSDKECGNMPGNQIQPPQPQLPGAEPREVKDKADKNGQHQKSKSRKRKNNKKKGTMNWEKLVQIASGDVFAQVAKDVNFDFQQLVLVIENNSKNPVVSRVAYIKEKVNVHTNLEAM
+>sp|Q6PJ21|SPSB3_HUMAN SPRY domain-containing SOCS box protein 3 OS=Homo sapiens OX=9606 GN=SPSB3 PE=1 SV=2
+MARRPRNSRAWHFVLSAARRDADARAVALAGSTNWGYDSDGQHSDSDSDPEYSTLPPSIPSAVPVTGESFCDCAGQSEASFCSSLHSAHRGRDCRCGEEDEYFDWVWDDLNKSSATLLSCDNRKVSFHMEYSCGTAAIRGTKELGEGQHFWEIKMTSPVYGTDMMVGIGTSDVDLDKYRHTFCSLLGRDEDSWGLSYTGLLHHKGDKTSFSSRFGQGSIIGVHLDTWHGTLTFFKNRKCIGVAATKLQNKRFYPMVCSTAARSSMKVTRSCASATSLQYLCCHRLRQLRPDSGDTLEGLPLPPGLKQVLHNKLGWVLSMSCSRRKAPVSDPQAATSAHPSSREPRPCQRKRCRRT
+>DECOY_sp|Q6PJ21|SPSB3_HUMAN SPRY domain-containing SOCS box protein 3 OS=Homo sapiens OX=9606 GN=SPSB3 PE=1 SV=2
+TRRCRKRQCPRPERSSPHASTAAQPDSVPAKRRSCSMSLVWGLKNHLVQKLGPPLPLGELTDGSDPRLQRLRHCCLYQLSTASACSRTVKMSSRAATSCVMPYFRKNQLKTAAVGICKRNKFFTLTGHWTDLHVGIISGQGFRSSFSTKDGKHHLLGTYSLGWSDEDRGLLSCFTHRYKDLDVDSTGIGVMMDTGYVPSTMKIEWFHQGEGLEKTGRIAATGCSYEMHFSVKRNDCSLLTASSKNLDDWVWDFYEDEEGCRCDRGRHASHLSSCFSAESQGACDCFSEGTVPVASPISPPLTSYEPDSDSDSHQGDSDYGWNTSGALAVARADADRRAASLVFHWARSNRPRRAM
+>sp|Q96N96|SPT13_HUMAN Spermatogenesis-associated protein 13 OS=Homo sapiens OX=9606 GN=SPATA13 PE=1 SV=1
+MTSASPEDQNAPVGCPKGARRRRPISVIGGVSLYGTNQTEELDNLLTQPASRPPMPAHQVPPYKAVSARFRPFTFSQSTPIGLDRVGRRRQMRASNVSSDGGTEPSALVDDNGSEEDFSYEDLCQASPRYLQPGGEQLAINELISDGNVVCAEALWDHVTMDDQELGFKAGDVIQVLEASNKDWWWGRSEDKEAWFPASFVRLRVNQEELSENSSSTPSEEQDEEASQSRHRHCENKQQMRTNVIREIMDTERVYIKHLRDICEGYIRQCRKHTGMFTVAQLATIFGNIEDIYKFQRKFLKDLEKQYNKEEPHLSEIGSCFLQNQEGFAIYSEYCNNHPGACLELANLMKQGKYRHFFEACRLLQQMIDIAIDGFLLTPVQKICKYPLQLAELLKYTTQEHGDYSNIKAAYEAMKNVACLINERKRKLESIDKIARWQVSIVGWEGLDILDRSSELIHSGELTKITKQGKSQQRTFFLFDHQLVSCKKDLLRRDMLYYKGRLDMDEMELVDLGDGRDKDCNLSVKNAFKLVSRTTDEVYLFCAKKQEDKARWLQACADERRRVQEDKEMGMEISENQKKLAMLNAQKAGHGKSKGYNRCPVAPPHQGLHPIHQRHITMPTSVPQQQVFGLAEPKRKSSLFWHTFNRLTPFRK
+>DECOY_sp|Q96N96|SPT13_HUMAN Spermatogenesis-associated protein 13 OS=Homo sapiens OX=9606 GN=SPATA13 PE=1 SV=1
+KRFPTLRNFTHWFLSSKRKPEALGFVQQQPVSTPMTIHRQHIPHLGQHPPAVPCRNYGKSKGHGAKQANLMALKKQNESIEMGMEKDEQVRRREDACAQLWRAKDEQKKACFLYVEDTTRSVLKFANKVSLNCDKDRGDGLDVLEMEDMDLRGKYYLMDRRLLDKKCSVLQHDFLFFTRQQSKGQKTIKTLEGSHILESSRDLIDLGEWGVISVQWRAIKDISELKRKRENILCAVNKMAEYAAKINSYDGHEQTTYKLLEALQLPYKCIKQVPTLLFGDIAIDIMQQLLRCAEFFHRYKGQKMLNALELCAGPHNNCYESYIAFGEQNQLFCSGIESLHPEEKNYQKELDKLFKRQFKYIDEINGFITALQAVTFMGTHKRCQRIYGECIDRLHKIYVRETDMIERIVNTRMQQKNECHRHRSQSAEEDQEESPTSSSNESLEEQNVRLRVFSAPFWAEKDESRGWWWDKNSAELVQIVDGAKFGLEQDDMTVHDWLAEACVVNGDSILENIALQEGGPQLYRPSAQCLDEYSFDEESGNDDVLASPETGGDSSVNSARMQRRRGVRDLGIPTSQSFTFPRFRASVAKYPPVQHAPMPPRSAPQTLLNDLEETQNTGYLSVGGIVSIPRRRRAGKPCGVPANQDEPSASTM
+>sp|Q7Z5L4|SPT19_HUMAN Spermatogenesis-associated protein 19, mitochondrial OS=Homo sapiens OX=9606 GN=SPATA19 PE=2 SV=2
+MIITTWIVYILARKGVGLPFLPITSSDIDVVESEAVSVLHHWLKKTEEEASRGIKEKLSINHPSQGVREKMSTDSPPTHGQDIHVTRDVVKHHLSKSDLLANQSQEVLEERTRIQFIRWSHTRIFQVPSEMTEDIMRDRIEQVRRSISRLTDVSAQDFSMRPSSSDC
+>DECOY_sp|Q7Z5L4|SPT19_HUMAN Spermatogenesis-associated protein 19, mitochondrial OS=Homo sapiens OX=9606 GN=SPATA19 PE=2 SV=2
+CDSSSPRMSFDQASVDTLRSISRRVQEIRDRMIDETMESPVQFIRTHSWRIFQIRTREELVEQSQNALLDSKSLHHKVVDRTVHIDQGHTPPSDTSMKERVGQSPHNISLKEKIGRSAEEETKKLWHHLVSVAESEVVDIDSSTIPLFPLGVGKRALIYVIWTTIIM
+>sp|Q8TB22|SPT20_HUMAN Spermatogenesis-associated protein 20 OS=Homo sapiens OX=9606 GN=SPATA20 PE=2 SV=3
+MLGARAWLGRVLLLPRAGAGLAASRRGSSSRDKDRSATVSSSVPMPAGGKGSHPSSTPQRVPNRLIHEKSPYLLQHAYNPVDWYPWGQEAFDKARKENKPIFLSVGYSTCHWCHMMEEESFQNEEIGRLLSEDFVSVKVDREERPDVDKVYMTFVQATSSGGGWPMNVWLTPNLQPFVGGTYFPPEDGLTRVGFRTVLLRIREQWKQNKNTLLENSQRVTTALLARSEISVGDRQLPPSAATVNNRCFQQLDEGYDEEYGGFAEAPKFPTPVILSFLFSYWLSHRLTQDGSRAQQMALHTLKMMANGGIRDHVGQGFHRYSTDRQWHVPHFEKMLYDQAQLAVAYSQAFQLSGDEFYSDVAKGILQYVARSLSHRSGGFYSAEDADSPPERGQRPKEGAYYVWTVKEVQQLLPEPVLGATEPLTSGQLLMKHYGLTEAGNISPSQDPKGELQGQNVLTVRYSLELTAARFGLDVEAVRTLLNSGLEKLFQARKHRPKPHLDSKMLAAWNGLMVSGYAVTGAVLGQDRLINYATNGAKFLKRHMFDVASGRLMRTCYTGPGGTVEHSNPPCWGFLEDYAFVVRGLLDLYEASQESAWLEWALRLQDTQDKLFWDSQGGGYFCSEAELGAGLPLRLKDDQDGAEPSANSVSAHNLLRLHGFTGHKDWMDKCVCLLTAFSERMRRVPVALPEMVRALSAQQQTLKQIVICGDRQAKDTKALVQCVHSVYIPNKVLILADGDPSSFLSRQLPFLSTLRRLEDQATAYVCENQACSVPITDPCELRKLLHP
+>DECOY_sp|Q8TB22|SPT20_HUMAN Spermatogenesis-associated protein 20 OS=Homo sapiens OX=9606 GN=SPATA20 PE=2 SV=3
+PHLLKRLECPDTIPVSCAQNECVYATAQDELRRLTSLFPLQRSLFSSPDGDALILVKNPIYVSHVCQVLAKTDKAQRDGCIVIQKLTQQQASLARVMEPLAVPVRRMRESFATLLCVCKDMWDKHGTFGHLRLLNHASVSNASPEAGDQDDKLRLPLGAGLEAESCFYGGGQSDWFLKDQTDQLRLAWELWASEQSAEYLDLLGRVVFAYDELFGWCPPNSHEVTGGPGTYCTRMLRGSAVDFMHRKLFKAGNTAYNILRDQGLVAGTVAYGSVMLGNWAALMKSDLHPKPRHKRAQFLKELGSNLLTRVAEVDLGFRAATLELSYRVTLVNQGQLEGKPDQSPSINGAETLGYHKMLLQGSTLPETAGLVPEPLLQQVEKVTWVYYAGEKPRQGREPPSDADEASYFGGSRHSLSRAVYQLIGKAVDSYFEDGSLQFAQSYAVALQAQDYLMKEFHPVHWQRDTSYRHFGQGVHDRIGGNAMMKLTHLAMQQARSGDQTLRHSLWYSFLFSLIVPTPFKPAEAFGGYEEDYGEDLQQFCRNNVTAASPPLQRDGVSIESRALLATTVRQSNELLTNKNQKWQERIRLLVTRFGVRTLGDEPPFYTGGVFPQLNPTLWVNMPWGGGSSTAQVFTMYVKDVDPREERDVKVSVFDESLLRGIEENQFSEEEMMHCWHCTSYGVSLFIPKNEKRAKDFAEQGWPYWDVPNYAHQLLYPSKEHILRNPVRQPTSSPHSGKGGAPMPVSSSVTASRDKDRSSSGRRSAALGAGARPLLLVRGLWARAGLM
+>sp|Q68D10|SPT2_HUMAN Protein SPT2 homolog OS=Homo sapiens OX=9606 GN=SPTY2D1 PE=1 SV=3
+MDFREILMIASKGQGVNNVPKRYSLAVGPPKKDPKVKGVQSAAVQAFLKRKEEELRRKALEEKRRKEELVKKRIELKHDKKARAMAKRTKDNFHGYNGIPIEEKSKKRQATESHTSQGTDREYEMEEENEFLEYNHAESEQEYEEEQEPPKVESKPKVPLKSAPPPMNFTDLLRLAEKKQFEPVEIKVVKKSEERPMTAEELREREFLERKHRRKKLETDGKLPPTVSKKAPSQKESVGTKLSKGSGDRHPSSKGMPLPHAEKKSRPSMANEKHLALSSSKSMPGERIKAGSGNSSQPSLREGHDKPVFNGAGKPHSSTSSPSVPKTSASRTQKSAVEHKAKKSLSHPSHSRPGPMVTPHNKAKSPGVRQPGSSSSSAPGQPSTGVARPTVSSGPVPRRQNGSSSSGPERSISGSKKPTNDSNPSRRTVSGTCGPGQPASSSGGPGRPISGSVSSARPLGSSRGPGRPVSSPHELRRPVSGLGPPGRSVSGPGRSISGSIPAGRTVSNSVPGRPVSSLGPGQTVSSSGPTIKPKCTVVSETISSKNIISRSSNGQMNGMKPPLSGYRAAQGPQRLPFPTGYKRQREYEEEDDDDDEYDSEMEDFIEDEGEPQEEISKHIREIFGYDRKKYKDESDYALRYMESSWKEQQKEEAKSLRLGMQEDLEEMRREEEEMQRRRAKKLKRR
+>DECOY_sp|Q68D10|SPT2_HUMAN Protein SPT2 homolog OS=Homo sapiens OX=9606 GN=SPTY2D1 PE=1 SV=3
+RRKLKKARRRQMEEEERRMEELDEQMGLRLSKAEEKQQEKWSSEMYRLAYDSEDKYKKRDYGFIERIHKSIEEQPEGEDEIFDEMESDYEDDDDDEEEYERQRKYGTPFPLRQPGQAARYGSLPPKMGNMQGNSSRSIINKSSITESVVTCKPKITPGSSSVTQGPGLSSVPRGPVSNSVTRGAPISGSISRGPGSVSRGPPGLGSVPRRLEHPSSVPRGPGRSSGLPRASSVSGSIPRGPGGSSSAPQGPGCTGSVTRRSPNSDNTPKKSGSISREPGSSSSGNQRRPVPGSSVTPRAVGTSPQGPASSSSSGPQRVGPSKAKNHPTVMPGPRSHSPHSLSKKAKHEVASKQTRSASTKPVSPSSTSSHPKGAGNFVPKDHGERLSPQSSNGSGAKIREGPMSKSSSLALHKENAMSPRSKKEAHPLPMGKSSPHRDGSGKSLKTGVSEKQSPAKKSVTPPLKGDTELKKRRHKRELFERERLEEATMPREESKKVVKIEVPEFQKKEALRLLDTFNMPPPASKLPVKPKSEVKPPEQEEEYEQESEAHNYELFENEEEMEYERDTGQSTHSETAQRKKSKEEIPIGNYGHFNDKTRKAMARAKKDHKLEIRKKVLEEKRRKEELAKRRLEEEKRKLFAQVAASQVGKVKPDKKPPGVALSYRKPVNNVGQGKSAIMLIERFDM
+>sp|Q537H7|SPT45_HUMAN Spermatogenesis-associated protein 45 OS=Homo sapiens OX=9606 GN=SPATA45 PE=3 SV=1
+MASINRTIEIMKKHGVSKQHLLEEINKKRESNCLVERSNQVSLLRVQKRHFPDAYQSFTDTTTKEPVPNSGRSSWIKLSLLAHMERKHFPPKNNAIFG
+>DECOY_sp|Q537H7|SPT45_HUMAN Spermatogenesis-associated protein 45 OS=Homo sapiens OX=9606 GN=SPATA45 PE=3 SV=1
+GFIANNKPPFHKREMHALLSLKIWSSRGSNPVPEKTTTDTFSQYADPFHRKQVRLLSVQNSREVLCNSERKKNIEELLHQKSVGHKKMIEITRNISAM
+>sp|Q5T0L3|SPT46_HUMAN Spermatogenesis-associated protein 46 OS=Homo sapiens OX=9606 GN=SPATA46 PE=1 SV=3
+MENFSLLSISGPPISSSALSAFPDIMFSRATSLPDIAKTAVPTEASSPAQALPPQYQSIIVRQGIQNTALSPDCSLGDTQHGEKLRRNCTIYRPWFSPYSYFVCADKESQLEAYDFPEVQQDEGKWDNCLSEDMAENICSSSSSPENTCPREATKKSRHGLDSITSQDILMASRWHPAQQNGYKCVACCRMYPTLDFLKSHIKRGFREGFSCKVYYRKLKALWSKEQKARLGDRLSSGSCQAFNSPAEHLRQIGGEAYLCL
+>DECOY_sp|Q5T0L3|SPT46_HUMAN Spermatogenesis-associated protein 46 OS=Homo sapiens OX=9606 GN=SPATA46 PE=1 SV=3
+LCLYAEGGIQRLHEAPSNFAQCSGSSLRDGLRAKQEKSWLAKLKRYYVKCSFGERFGRKIHSKLFDLTPYMRCCAVCKYGNQQAPHWRSAMLIDQSTISDLGHRSKKTAERPCTNEPSSSSSCINEAMDESLCNDWKGEDQQVEPFDYAELQSEKDACVFYSYPSFWPRYITCNRRLKEGHQTDGLSCDPSLATNQIGQRVIISQYQPPLAQAPSSAETPVATKAIDPLSTARSFMIDPFASLASSSIPPGSISLLSFNEM
+>sp|Q8NHX4|SPTA3_HUMAN Spermatogenesis-associated protein 3 OS=Homo sapiens OX=9606 GN=SPATA3 PE=2 SV=2
+MKKVKKKRSEARRHRDSTSQHASSNSTSQQPSPESTPQQPSPESTPQQPSPESTPQHSSLETTSRQPAFQALPAPEIRRSSCCLLSPDANVKAAPQSRKAGPLIRAGPHSCSCATCPCSSACWRRLGLCHSRIFDVLLPRDWQMAPGRGLPNLLTFYRKSSRKPSSHRNACPPSPRNCGCGSGGSRSCLLHH
+>DECOY_sp|Q8NHX4|SPTA3_HUMAN Spermatogenesis-associated protein 3 OS=Homo sapiens OX=9606 GN=SPATA3 PE=2 SV=2
+HHLLCSRSGGSGCGCNRPSPPCANRHSSPKRSSKRYFTLLNPLGRGPAMQWDRPLLVDFIRSHCLGLRRWCASSCPCTACSCSHPGARILPGAKRSQPAAKVNADPSLLCCSSRRIEPAPLAQFAPQRSTTELSSHQPTSEPSPQQPTSEPSPQQPTSEPSPQQSTSNSSAHQSTSDRHRRAESRKKKVKKM
+>sp|O15020|SPTN2_HUMAN Spectrin beta chain, non-erythrocytic 2 OS=Homo sapiens OX=9606 GN=SPTBN2 PE=1 SV=3
+MSSTLSPTDFDSLEIQGQYSDINNRWDLPDSDWDNDSSSARLFERSRIKALADEREAVQKKTFTKWVNSHLARVTCRVGDLYSDLRDGRNLLRLLEVLSGEILPKPTKGRMRIHCLENVDKALQFLKEQKVHLENMGSHDIVDGNHRLTLGLVWTIILRFQIQDISVETEDNKEKKSAKDALLLWCQMKTAGYPNVNVHNFTTSWRDGLAFNAIVHKHRPDLLDFESLKKCNAHYNLQNAFNLAEKELGLTKLLDPEDVNVDQPDEKSIITYVATYYHYFSKMKALAVEGKRIGKVLDHAMEAERLVEKYESLASELLQWIEQTIVTLNDRQLANSLSGVQNQLQSFNSYRTVEKPPKFTEKGNLEVLLFTIQSKLRANNQKVYTPREGRLISDINKAWERLEKAEHERELALRTELIRQEKLEQLAARFDRKAAMRETWLSENQRLVSQDNFGLELAAVEAAVRKHEAIETDIVAYSGRVQAVDAVAAELAAERYHDIKRIAARQHNVARLWDFLRQMVAARRERLLLNLELQKVFQDLLYLMDWMEEMKGRLQSQDLGRHLAGVEDLLQLHELVEADIAVQAERVRAVSASALRFCNPGKEYRPCDPQLVSERVAKLEQSYEALCELAAARRARLEESRRLWRFLWEVGEAEAWVREQQHLLASADTGRDLTGALRLLNKHTALRGEMSGRLGPLKLTLEQGQQLVAEGHPGASQASARAAELQAQWERLEALAEERAQRLAQAASLYQFQADANDMEAWLVDALRLVSSPELGHDEFSTQALARQHRALEEEIRSHRPTLDALREQAAALPPTLSRTPEVQSRVPTLERHYEELQARAGERARALEAALALYTMLSEAGACGLWVEEKEQWLNGLALPERLEDLEVVQQRFETLEPEMNTLAAQITAVNDIAEQLLKANPPGKDRIVNTQEQLNHRWQQFRRLADGKKAALTSALSIQNYHLECTETQAWMREKTKVIESTQGLGNDLAGVLALQRKLAGTERDLEAIAARVGELTREANALAAGHPAQAVAINARLREVQTGWEDLRATMRRREESLGEARRLQDFLRSLDDFQAWLGRTQTAVASEEGPATLPEAEALLAQHAALRGEVERAQSEYSRLRALGEEVTRDQADPQCLFLRQRLEALGTGWEELGRMWESRQGRLAQAHGFQGFLRDARQAEGVLSSQEYVLSHTEMPGTLQAADAAIKKLEDFMSTMDANGERIHGLLEAGRQLVSEGNIHADKIREKADSIERRHKKNQDAAQQFLGRLRDNREQQHFLQDCHELKLWIDEKMLTAQDVSYDEARNLHTKWQKHQAFMAELAANKDWLDKVDKEGRELTLEKPELKALVSEKLRDLHRRWDELETTTQAKARSLFDANRAELFAQSCCALESWLESLQAQLHSDDYGKDLTSVNILLKKQQMLEWEMAVREKEVEAIQAQAKALAQEDQGAGEVERTSRAVEEKFRALCQPMRERCRRLQASREQHQFHRDVEDEILWVTERLPMASSMEHGKDLPSVQLLMKKNQTLQKEIQGHEPRIADLRERQRALGAAAAGPELAELQEMWKRLGHELELRGKRLEDALRAQQFYRDAAEAEAWMGEQELHMMGQEKAKDELSAQAEVKKHQVLEQALADYAQTIHQLAASSQDMIDHEHPESTRISIRQAQVDKLYAGLKELAGERRERLQEHLRLCQLRRELDDLEQWIQEREVVAASHELGQDYEHVTMLRDKFREFSRDTSTIGQERVDSANALANGLIAGGHAARATVAEWKDSLNEAWADLLELLDTRGQVLAAAYELQRFLHGARQALARVQHKQQQLPDGTGRDLNAAEALQRRHCAYEHDIQALSPQVQQVQDDGHRLQKAYAGDKAEEIGRHMQAVAEAWAQLQGSSAARRQLLLDTTDKFRFFKAVRELMLWMDEVNLQMDAQERPRDVSSADLVIKNQQGIKAEIEARADRFSSCIDMGKELLARSHYAAEEISEKLSQLQARRQETAEKWQEKMDWLQLVLEVLVFGRDAGMAEAWLCSQEPLVRSAELGCTVDEVESLIKRHEAFQKSAVAWEERFCALEKLTALEEREKERKRKREEEERRKQPPAPEPTASVPPGDLVGGQTASDTTWDGTQPRPPPSTQAPSVNGVCTDGEPSQPLLGQQRLEHSSFPEGPGPGSGDEANGPRGERQTRTRGPAPSAMPQSRSTESAHAATLPPRGPEPSAQEQMEGMLCRKQEMEAFGKKAANRSWQNVYCVLRRGSLGFYKDAKAASAGVPYHGEVPVSLARAQGSVAFDYRKRKHVFKLGLQDGKEYLFQAKDEAEMSSWLRVVNAAIATASSASGEPEEPVVPSTTRGMTRAMTMPPVSPVGAEGPVVLRSKDGREREREKRFSFFKKNK
+>DECOY_sp|O15020|SPTN2_HUMAN Spectrin beta chain, non-erythrocytic 2 OS=Homo sapiens OX=9606 GN=SPTBN2 PE=1 SV=3
+KNKKFFSFRKERERERGDKSRLVVPGEAGVPSVPPMTMARTMGRTTSPVVPEEPEGSASSATAIAANVVRLWSSMEAEDKAQFLYEKGDQLGLKFVHKRKRYDFAVSGQARALSVPVEGHYPVGASAAKADKYFGLSGRRLVCYVNQWSRNAAKKGFAEMEQKRCLMGEMQEQASPEPGRPPLTAAHASETSRSQPMASPAPGRTRTQREGRPGNAEDGSGPGPGEPFSSHELRQQGLLPQSPEGDTCVGNVSPAQTSPPPRPQTGDWTTDSATQGGVLDGPPVSATPEPAPPQKRREEEERKRKREKEREELATLKELACFREEWAVASKQFAEHRKILSEVEDVTCGLEASRVLPEQSCLWAEAMGADRGFVLVELVLQLWDMKEQWKEATEQRRAQLQSLKESIEEAAYHSRALLEKGMDICSSFRDARAEIEAKIGQQNKIVLDASSVDRPREQADMQLNVEDMWLMLERVAKFFRFKDTTDLLLQRRAASSGQLQAWAEAVAQMHRGIEEAKDGAYAKQLRHGDDQVQQVQPSLAQIDHEYACHRRQLAEAANLDRGTGDPLQQQKHQVRALAQRAGHLFRQLEYAAALVQGRTDLLELLDAWAENLSDKWEAVTARAAHGGAILGNALANASDVREQGITSTDRSFERFKDRLMTVHEYDQGLEHSAAVVEREQIWQELDDLERRLQCLRLHEQLRERREGALEKLGAYLKDVQAQRISIRTSEPHEHDIMDQSSAALQHITQAYDALAQELVQHKKVEAQASLEDKAKEQGMMHLEQEGMWAEAEAADRYFQQARLADELRKGRLELEHGLRKWMEQLEALEPGAAAAGLARQRERLDAIRPEHGQIEKQLTQNKKMLLQVSPLDKGHEMSSAMPLRETVWLIEDEVDRHFQHQERSAQLRRCRERMPQCLARFKEEVARSTREVEGAGQDEQALAKAQAQIAEVEKERVAMEWELMQQKKLLINVSTLDKGYDDSHLQAQLSELWSELACCSQAFLEARNADFLSRAKAQTTTELEDWRRHLDRLKESVLAKLEPKELTLERGEKDVKDLWDKNAALEAMFAQHKQWKTHLNRAEDYSVDQATLMKEDIWLKLEHCDQLFHQQERNDRLRGLFQQAADQNKKHRREISDAKERIKDAHINGESVLQRGAELLGHIREGNADMTSMFDELKKIAADAAQLTGPMETHSLVYEQSSLVGEAQRADRLFGQFGHAQALRGQRSEWMRGLEEWGTGLAELRQRLFLCQPDAQDRTVEEGLARLRSYESQAREVEGRLAAHQALLAEAEPLTAPGEESAVATQTRGLWAQFDDLSRLFDQLRRAEGLSEERRRMTARLDEWGTQVERLRANIAVAQAPHGAALANAERTLEGVRAAIAELDRETGALKRQLALVGALDNGLGQTSEIVKTKERMWAQTETCELHYNQISLASTLAAKKGDALRRFQQWRHNLQEQTNVIRDKGPPNAKLLQEAIDNVATIQAALTNMEPELTEFRQQVVELDELREPLALGNLWQEKEEVWLGCAGAESLMTYLALAAELARAREGARAQLEEYHRELTPVRSQVEPTRSLTPPLAAAQERLADLTPRHSRIEEELARHQRALAQTSFEDHGLEPSSVLRLADVLWAEMDNADAQFQYLSAAQALRQAREEALAELREWQAQLEAARASAQSAGPHGEAVLQQGQELTLKLPGLRGSMEGRLATHKNLLRLAGTLDRGTDASALLHQQERVWAEAEGVEWLFRWLRRSEELRARRAAALECLAEYSQELKAVRESVLQPDCPRYEKGPNCFRLASASVARVREAQVAIDAEVLEHLQLLDEVGALHRGLDQSQLRGKMEEMWDMLYLLDQFVKQLELNLLLRERRAAVMQRLFDWLRAVNHQRAAIRKIDHYREAALEAAVADVAQVRGSYAVIDTEIAEHKRVAAEVAALELGFNDQSVLRQNESLWTERMAAKRDFRAALQELKEQRILETRLALEREHEAKELREWAKNIDSILRGERPTYVKQNNARLKSQITFLLVELNGKETFKPPKEVTRYSNFSQLQNQVGSLSNALQRDNLTVITQEIWQLLESALSEYKEVLREAEMAHDLVKGIRKGEVALAKMKSFYHYYTAVYTIISKEDPQDVNVDEPDLLKTLGLEKEALNFANQLNYHANCKKLSEFDLLDPRHKHVIANFALGDRWSTTFNHVNVNPYGATKMQCWLLLADKASKKEKNDETEVSIDQIQFRLIITWVLGLTLRHNGDVIDHSGMNELHVKQEKLFQLAKDVNELCHIRMRGKTPKPLIEGSLVELLRLLNRGDRLDSYLDGVRCTVRALHSNVWKTFTKKQVAEREDALAKIRSREFLRASSSDNDWDSDPLDWRNNIDSYQGQIELSDFDTPSLTSSM
+>sp|Q9H254|SPTN4_HUMAN Spectrin beta chain, non-erythrocytic 4 OS=Homo sapiens OX=9606 GN=SPTBN4 PE=1 SV=2
+MAQVPGEVDNMEGLPAPNNNPAARWESPDRGWEREQPAASTAAASLFECSRIKALADEREAVQKKTFTKWVNSHLARVGCHIGDLYVDLRDGFVLTRLLEVLSGEQLPRPTRGRMRIHSLENVDKALQFLKEQRVHLENVGSHDIVDGNHRLTLGLVWTIILRFQIQVIKIETEDNRETRSAKDALLLWCQMKTAGYPEVNIQNFTTSWRDGLAFNALIHRHRPDLVDFSKLTKSNANYNLQRAFRTAEQHLGLARLLDPEDVNMEAPDEKSIITYVVSFYHYFSKMKALAVEGKRIGKVLDQVLEVGKIIERYEELAAELLAWIHRTVGLISNQKFANSLSGVQQQLQAFTAYCTLEKPVKFQEKGNLEVLLFSIQSKLRACNRRLFVPREGCGIWDIDKAWGELEKAEHEREAALRAELIRQEKLELLAQRFDHKVAMRESWLNENQRLVSQDNFGYELPAVEAAMKKHEAIEADIAAYEERVQGVAELAQALAAEGYYDIRRVAAQRDSVLRQWALLTGLVGARRTRLEQNLALQKVFQEMVYMVDWMEEMQAQLLSRECGQHLVEADDLLQKHGLLEGDIAAQSERVEALNAAALRFSQLQGYQPCDPQVICNRVNHVHGCLAELQEQAARRRAELEASRSLWALLQELEEAESWARDKERLLEAAGGGGAAGAAGAAGTAGGAHDLSSTARLLAQHKILQGELGGRRALLQQALRCGEELVAAGGAVGPGADTVHLVGLAERAASARRRWQRLEEAAARRERRLQEARALHQFGADLDGLLDWLRDAYRLAAAGDFGHDEASSRRLARQHRALTGEVEAHRGPVSGLRRQLATLGGASGAGPLVVALQVRVVEAEQLFAEVTEVAALRRQWLRDALAVYRMFGEVHACELWIGEKEQWLLSMRVPDSLDDVEVVQHRFESLDQEMNSLMGRVLDVNHTVQELVEGGHPSSDEVRSCQDHLNSRWNRIVELVEQRKEEMSAVLLVENHVLEVAEVRAQVREKRRAVESAPRAGGALQWRLSGLEAALQALEPRQAALLEEAALLAERFPAQAARLHQGAEELGAEWGALASAAQACGEAVAAAGRLQRFLHDLDAFLDWLVRAQEAAGGSEGPLPNSLEEADALLARHAALKEEVDQREEDYARIVAASEALLAADGAELGPGLALDEWLPHLELGWHKLLGLWEARREALVQAHIYQLFLRDLRQALVVLRNQEMALSGAELPGTVESVEEALKQHRDFLTTMELSQQKMQVAVQAAEGLLRQGNIYGEQAQEAVTRLLEKNQENQLRAQQWMQKLHDQLELQHFLRDCHELDGWIHEKMLMARDGTREDNHKLHKRWLRHQAFMAELAQNKEWLEKIEREGQQLMQEKPELAASVRKKLGEIRQCWAELESTTQAKARQLFEASKADQLVQSFAELDKKLLHMESQLQDVDPGGDLATVNSQLKKLQSMESQVEEWYREVGELQAQTAALPLEPASKELVGERQNAVGERLVRLLEPLQERRRLLLASKELHQVAHDLDDELAWVQERLPLAMQTERGNGLQAVQQHIKKNQGLRREIQAHGPRLEEVLERAGALASLRSPEAEAVRRGLEQLQSAWAGLREAAERRQQVLDAAFQVEQYYFDVAEVEAWLGEQELLMMSEDKGKDEQSTLQLLKKHLQLEQGVENYEESIAQLSRQCRALLEMGHPDSEQISRRQSQVDRLYVALKELGEERRVALEQQYWLYQLSRQVSELEHWIAEKEVVAGSPELGQDFEHVSVLQEKFSEFASETGMAGRERLAAVNQMVDELIECGHTAAATMAEWKDGLNEAWAELLELMGTRAQLLAASRELHKFFSDARELQGQIEEKRRRLPRLTTPPEPRPSASSMQRTLRAFEHDLQLLVSQVRQLQEGAAQLRTVYAGEHAEAIASREQEVLQGWKELLSACEDARLHVSSTADALRFHSQVRDLLSWMDGIASQIGAADKPRDVSSVEVLMNYHQGLKTELEARVPELTTCQELGRSLLLNKSAMADEIQAQLDKLGTRKEEVSEKWDRHWEWLQQMLEVHQFAQEAVVADAWLTAQEPLLQSRELGSSVDEVEQLIRRHEAFRKAAAAWEERFSSLRRLTTIEKIKAEQSKQPPTPLLGRKFFGDPTELAAKAAPLLRPGGYERGLEPLARRASDTLSAEVRTRVGYVRQELKPERLQPRIDRLPEIPGRVEPAALPAAPEDAAETPATPAAAEQVRPRPERQESADRAEELPRRRRPERQESVDQSEEAARRRRPERQESAEHEAAHSLTLGRYEQMERRRERRERRLERQESSEQEMPIRGDLVKGKATLADIVEQLQEKEAGPGLPAGPSLPQPRELPPGRLPNGLELPERTPRPDRPRARDRPKPRRRPRPREGGEGGGSRRSRSAPAQGGSAPAPPPPPTHTVQHEGFLLRKRELDANRKSSNRSWVSLYCVLSKGELGFYKDSKGPASGSTHGGEPLLSLHKATSEVASDYKKKKHVFKLQTQDGSEFLLQAKDEEEMNGWLEAVASSVAEHAEIARWGQTLPTTSSTDEGNPKREGGDRRASGRRK
+>DECOY_sp|Q9H254|SPTN4_HUMAN Spectrin beta chain, non-erythrocytic 4 OS=Homo sapiens OX=9606 GN=SPTBN4 PE=1 SV=2
+KRRGSARRDGGERKPNGEDTSSTTPLTQGWRAIEAHEAVSSAVAELWGNMEEEDKAQLLFESGDQTQLKFVHKKKKYDSAVESTAKHLSLLPEGGHTSGSAPGKSDKYFGLEGKSLVCYLSVWSRNSSKRNADLERKRLLFGEHQVTHTPPPPPAPASGGQAPASRSRRSGGGEGGERPRPRRRPKPRDRARPRDPRPTREPLELGNPLRGPPLERPQPLSPGAPLGPGAEKEQLQEVIDALTAKGKVLDGRIPMEQESSEQRELRRERRERRREMQEYRGLTLSHAAEHEASEQREPRRRRAAEESQDVSEQREPRRRRPLEEARDASEQREPRPRVQEAAAPTAPTEAADEPAAPLAAPEVRGPIEPLRDIRPQLREPKLEQRVYGVRTRVEASLTDSARRALPELGREYGGPRLLPAAKAALETPDGFFKRGLLPTPPQKSQEAKIKEITTLRRLSSFREEWAAAAKRFAEHRRILQEVEDVSSGLERSQLLPEQATLWADAVVAEQAFQHVELMQQLWEWHRDWKESVEEKRTGLKDLQAQIEDAMASKNLLLSRGLEQCTTLEPVRAELETKLGQHYNMLVEVSSVDRPKDAAGIQSAIGDMWSLLDRVQSHFRLADATSSVHLRADECASLLEKWGQLVEQERSAIAEAHEGAYVTRLQAAGEQLQRVQSVLLQLDHEFARLTRQMSSASPRPEPPTTLRPLRRRKEEIQGQLERADSFFKHLERSAALLQARTGMLELLEAWAENLGDKWEAMTAAATHGCEILEDVMQNVAALRERGAMGTESAFESFKEQLVSVHEFDQGLEPSGAVVEKEAIWHELESVQRSLQYLWYQQELAVRREEGLEKLAVYLRDVQSQRRSIQESDPHGMELLARCQRSLQAISEEYNEVGQELQLHKKLLQLTSQEDKGKDESMMLLEQEGLWAEVEAVDFYYQEVQFAADLVQQRREAAERLGAWASQLQELGRRVAEAEPSRLSALAGARELVEELRPGHAQIERRLGQNKKIHQQVAQLGNGRETQMALPLREQVWALEDDLDHAVQHLEKSALLLRRREQLPELLRVLREGVANQREGVLEKSAPELPLAATQAQLEGVERYWEEVQSEMSQLKKLQSNVTALDGGPDVDQLQSEMHLLKKDLEAFSQVLQDAKSAEFLQRAKAQTTSELEAWCQRIEGLKKRVSAALEPKEQMLQQGEREIKELWEKNQALEAMFAQHRLWRKHLKHNDERTGDRAMLMKEHIWGDLEHCDRLFHQLELQDHLKQMWQQARLQNEQNKELLRTVAEQAQEGYINGQRLLGEAAQVAVQMKQQSLEMTTLFDRHQKLAEEVSEVTGPLEAGSLAMEQNRLVVLAQRLDRLFLQYIHAQVLAERRAEWLGLLKHWGLELHPLWEDLALGPGLEAGDAALLAESAAVIRAYDEERQDVEEKLAAHRALLADAEELSNPLPGESGGAAEQARVLWDLFADLDHLFRQLRGAAAVAEGCAQAASALAGWEAGLEEAGQHLRAAQAPFREALLAAEELLAAQRPELAQLAAELGSLRWQLAGGARPASEVARRKERVQARVEAVELVHNEVLLVASMEEKRQEVLEVIRNWRSNLHDQCSRVEDSSPHGGEVLEQVTHNVDLVRGMLSNMEQDLSEFRHQVVEVDDLSDPVRMSLLWQEKEGIWLECAHVEGFMRYVALADRLWQRRLAAVETVEAFLQEAEVVRVQLAVVLPGAGSAGGLTALQRRLGSVPGRHAEVEGTLARHQRALRRSSAEDHGFDGAAALRYADRLWDLLGDLDAGFQHLARAEQLRRERRAAAEELRQWRRRASAAREALGVLHVTDAGPGVAGGAAVLEEGCRLAQQLLARRGGLEGQLIKHQALLRATSSLDHAGGATGAAGAAGAAGGGGAAELLREKDRAWSEAEELEQLLAWLSRSAELEARRRAAQEQLEALCGHVHNVRNCIVQPDCPQYGQLQSFRLAAANLAEVRESQAAIDGELLGHKQLLDDAEVLHQGCERSLLQAQMEEMWDVMYVMEQFVKQLALNQELRTRRAGVLGTLLAWQRLVSDRQAAVRRIDYYGEAALAQALEAVGQVREEYAAIDAEIAEHKKMAAEVAPLEYGFNDQSVLRQNENLWSERMAVKHDFRQALLELKEQRILEARLAAEREHEAKELEGWAKDIDWIGCGERPVFLRRNCARLKSQISFLLVELNGKEQFKVPKELTCYATFAQLQQQVGSLSNAFKQNSILGVTRHIWALLEAALEEYREIIKGVELVQDLVKGIRKGEVALAKMKSFYHYFSVVYTIISKEDPAEMNVDEPDLLRALGLHQEATRFARQLNYNANSKTLKSFDVLDPRHRHILANFALGDRWSTTFNQINVEPYGATKMQCWLLLADKASRTERNDETEIKIVQIQFRLIITWVLGLTLRHNGDVIDHSGVNELHVRQEKLFQLAKDVNELSHIRMRGRTPRPLQEGSLVELLRTLVFGDRLDVYLDGIHCGVRALHSNVWKTFTKKQVAEREDALAKIRSCEFLSAAATSAAPQEREWGRDPSEWRAAPNNNPAPLGEMNDVEGPVQAM
+>sp|Q5MJ08|SPXN4_HUMAN Sperm protein associated with the nucleus on the X chromosome N4 OS=Homo sapiens OX=9606 GN=SPANXN4 PE=3 SV=1
+MEEPTSSTNENKMKSPCESNKRKVDKKKKNLHRASAPEQSLKETEKAKYPTLVFYCRKNKKRNSNQLENNQPTESSTDPIKEKGDLDISAGSPQDGGQN
+>DECOY_sp|Q5MJ08|SPXN4_HUMAN Sperm protein associated with the nucleus on the X chromosome N4 OS=Homo sapiens OX=9606 GN=SPANXN4 PE=3 SV=1
+NQGGDQPSGASIDLDGKEKIPDTSSETPQNNELQNSNRKKNKRCYFVLTPYKAKETEKLSQEPASARHLNKKKKDVKRKNSECPSKMKNENTSSTPEEM
+>sp|Q9BXG8|SPZ1_HUMAN Spermatogenic leucine zipper protein 1 OS=Homo sapiens OX=9606 GN=SPZ1 PE=1 SV=2
+MASSAKSAEMPTISKTVNPTPDPHQEYLDPRITIALFEIGSHSPSSWGSLPFLKNSSHQVTEQQTAQKFNNLLKEIKDILKNMAGFEEKITEAKELFEETNITEDVSAHKENIRGLDKINEMLSTNLPVSLAPEKEDNEKKQEMILETNITEDVSAHKENIRGLDKINEMLSTNLPVSLAPEKEDNEKKQQMIMENQNSENTAQVFARDLVNRLEEKKVLNETQQSQEKAKNRLNVQEETMKIRNNMEQLLQEAEHWSKQHTELSKLIKSYQKSQKDISETLGNNGVGFQTQPNNEVSAKHELEEQVKKLSHDTYSLQLMAALLENECQILQQRVEILKELHHQKQGTLQEKPIQINYKQDKKNQKPSEAKKVEMYKQNKQAMKGTFWKKDRSCRSLDVCLNKKACNTQFNIHVARKALRGKMRSASSLR
+>DECOY_sp|Q9BXG8|SPZ1_HUMAN Spermatogenic leucine zipper protein 1 OS=Homo sapiens OX=9606 GN=SPZ1 PE=1 SV=2
+RLSSASRMKGRLAKRAVHINFQTNCAKKNLCVDLSRCSRDKKWFTGKMAQKNQKYMEVKKAESPKQNKKDQKYNIQIPKEQLTGQKQHHLEKLIEVRQQLIQCENELLAAMLQLSYTDHSLKKVQEELEHKASVENNPQTQFGVGNNGLTESIDKQSKQYSKILKSLETHQKSWHEAEQLLQEMNNRIKMTEEQVNLRNKAKEQSQQTENLVKKEELRNVLDRAFVQATNESNQNEMIMQQKKENDEKEPALSVPLNTSLMENIKDLGRINEKHASVDETINTELIMEQKKENDEKEPALSVPLNTSLMENIKDLGRINEKHASVDETINTEEFLEKAETIKEEFGAMNKLIDKIEKLLNNFKQATQQETVQHSSNKLFPLSGWSSPSHSGIEFLAITIRPDLYEQHPDPTPNVTKSITPMEASKASSAM
+>sp|O15042|SR140_HUMAN U2 snRNP-associated SURP motif-containing protein OS=Homo sapiens OX=9606 GN=U2SURP PE=1 SV=2
+MADKTPGGSQKASSKTRSSDVHSSGSSDAHMDASGPSDSDMPSRTRPKSPRKHNYRNESARESLCDSPHQNLSRPLLENKLKAFSIGKMSTAKRTLSKKEQEELKKKEDEKAAAEIYEEFLAAFEGSDGNKVKTFVRGGVVNAAKEEHETDEKRGKIYKPSSRFADQKNPPNQSSNERPPSLLVIETKKPPLKKGEKEKKKSNLELFKEELKQIQEERDERHKTKGRLSRFEPPQSDSDGQRRSMDAPSRRNRSSGVLDDYAPGSHDVGDPSTTNLYLGNINPQMNEEMLCQEFGRFGPLASVKIMWPRTDEERARERNCGFVAFMNRRDAERALKNLNGKMIMSFEMKLGWGKAVPIPPHPIYIPPSMMEHTLPPPPSGLPFNAQPRERLKNPNAPMLPPPKNKEDFEKTLSQAIVKVVIPTERNLLALIHRMIEFVVREGPMFEAMIMNREINNPMFRFLFENQTPAHVYYRWKLYSILQGDSPTKWRTEDFRMFKNGSFWRPPPLNPYLHGMSEEQETEAFVEEPSKKGALKEEQRDKLEEILRGLTPRKNDIGDAMVFCLNNAEAAEEIVDCITESLSILKTPLPKKIARLYLVSDVLYNSSAKVANASYYRKFFETKLCQIFSDLNATYRTIQGHLQSENFKQRVMTCFRAWEDWAIYPEPFLIKLQNIFLGLVNIIEEKETEDVPDDLDGAPIEEELDGAPLEDVDGIPIDATPIDDLDGVPIKSLDDDLDGVPLDATEDSKKNEPIFKVAPSKWEAVDESELEAQAVTTSKWELFDQHEESEEEENQNQEEESEDEEDTQSSKSEEHHLYSNPIKEEMTESKFSKYSEMSEEKRAKLREIELKVMKFQDELESGKRPKKPGQSFQEQVEHYRDKLLQREKEKELERERERDKKDKEKLESRSKDKKEKDECTPTRKERKRRHSTSPSPSRSSSGRRVKSPSPKSERSERSERSHKESSRSRSSHKDSPRDVSKKAKRSPSGSRTPKRSRRSRSRSPKKSGKKSRSQSRSPHRSHKKSKKNKH
+>DECOY_sp|O15042|SR140_HUMAN U2 snRNP-associated SURP motif-containing protein OS=Homo sapiens OX=9606 GN=U2SURP PE=1 SV=2
+HKNKKSKKHSRHPSRSQSRSKKGSKKPSRSRSRRSRKPTRSGSPSRKAKKSVDRPSDKHSSRSRSSEKHSRESRESRESKPSPSKVRRGSSSRSPSPSTSHRRKREKRTPTCEDKEKKDKSRSELKEKDKKDRERERELEKEKERQLLKDRYHEVQEQFSQGPKKPRKGSELEDQFKMVKLEIERLKARKEESMESYKSFKSETMEEKIPNSYLHHEESKSSQTDEEDESEEEQNQNEEEESEEHQDFLEWKSTTVAQAELESEDVAEWKSPAVKFIPENKKSDETADLPVGDLDDDLSKIPVGDLDDIPTADIPIGDVDELPAGDLEEEIPAGDLDDPVDETEKEEIINVLGLFINQLKILFPEPYIAWDEWARFCTMVRQKFNESQLHGQITRYTANLDSFIQCLKTEFFKRYYSANAVKASSNYLVDSVLYLRAIKKPLPTKLISLSETICDVIEEAAEANNLCFVMADGIDNKRPTLGRLIEELKDRQEEKLAGKKSPEEVFAETEQEESMGHLYPNLPPPRWFSGNKFMRFDETRWKTPSDGQLISYLKWRYYVHAPTQNEFLFRFMPNNIERNMIMAEFMPGERVVFEIMRHILALLNRETPIVVKVIAQSLTKEFDEKNKPPPLMPANPNKLRERPQANFPLGSPPPPLTHEMMSPPIYIPHPPIPVAKGWGLKMEFSMIMKGNLNKLAREADRRNMFAVFGCNRERAREEDTRPWMIKVSALPGFRGFEQCLMEENMQPNINGLYLNTTSPDGVDHSGPAYDDLVGSSRNRRSPADMSRRQGDSDSQPPEFRSLRGKTKHREDREEQIQKLEEKFLELNSKKKEKEGKKLPPKKTEIVLLSPPRENSSQNPPNKQDAFRSSPKYIKGRKEDTEHEEKAANVVGGRVFTKVKNGDSGEFAALFEEYIEAAAKEDEKKKLEEQEKKSLTRKATSMKGISFAKLKNELLPRSLNQHPSDCLSERASENRYNHKRPSKPRTRSPMDSDSPGSADMHADSSGSSHVDSSRTKSSAKQSGGPTKDAM
+>sp|Q8N9Q2|SR1IP_HUMAN Protein SREK1IP1 OS=Homo sapiens OX=9606 GN=SREK1IP1 PE=1 SV=1
+MAVPGCNKDSVRAGCKKCGYPGHLTFECRNFLRVDPKRDIVLDVSSTSSEDSDEENEELNKLQALQEKRINEEEEKKKEKSKEKIKLKKKRKRSYSSSSTEEDTSKQKKQKYQKKEKKKEKKSKSKKGKHHKKEKKKRKKEKHSSTPNSSEFSRK
+>DECOY_sp|Q8N9Q2|SR1IP_HUMAN Protein SREK1IP1 OS=Homo sapiens OX=9606 GN=SREK1IP1 PE=1 SV=1
+KRSFESSNPTSSHKEKKRKKKEKKHHKGKKSKSKKEKKKEKKQYKQKKQKSTDEETSSSSYSRKRKKKLKIKEKSKEKKKEEEENIRKEQLAQLKNLEENEEDSDESSTSSVDLVIDRKPDVRLFNRCEFTLHGPYGCKKCGARVSDKNCGPVAM
+>sp|Q12772|SRBP2_HUMAN Sterol regulatory element-binding protein 2 OS=Homo sapiens OX=9606 GN=SREBF2 PE=1 SV=2
+MDDSGELGGLETMETLTELGDELTLGDIDEMLQFVSNQVGEFPDLFSEQLCSSFPGSGGSGSSSGSSGSSSSSSNGRGSSSGAVDPSVQRSFTQVTLPSFSPSAASPQAPTLQVKVSPTSVPTTPRATPILQPRPQPQPQPQTQLQQQTVMITPTFSTTPQTRIIQQPLIYQNAATSFQVLQPQVQSLVTSSQVQPVTIQQQVQTVQAQRVLTQTANGTLQTLAPATVQTVAAPQVQQVPVLVQPQIIKTDSLVLTTLKTDGSPVMAAVQNPALTALTTPIQTAALQVPTLVGSSGTILTTMPVMMGQEKVPIKQVPGGVKQLEPPKEGERRTTHNIIEKRYRSSINDKIIELKDLVMGTDAKMHKSGVLRKAIDYIKYLQQVNHKLRQENMVLKLANQKNKLLKGIDLGSLVDNEVDLKIEDFNQNVLLMSPPASDSGSQAGFSPYSIDSEPGSPLLDDAKVKDEPDSPPVALGMVDRSRILLCVLTFLCLSFNPLTSLLQWGGAHDSDQHPHSGSGRSVLSFESGSGGWFDWMMPTLLLWLVNGVIVLSVFVKLLVHGEPVIRPHSRSSVTFWRHRKQADLDLARGDFAAAAGNLQTCLAVLGRALPTSRLDLACSLSWNVIRYSLQKLRLVRWLLKKVFQCRRATPATEAGFEDEAKTSARDAALAYHRLHQLHITGKLPAGSACSDVHMALCAVNLAECAEEKIPPSTLVEIHLTAAMGLKTRCGGKLGFLASYFLSRAQSLCGPEHSAVPDSLRWLCHPLGQKFFMERSWSVKSAAKESLYCAQRNPADPIAQVHQAFCKNLLERAIESLVKPQAKKKAGDQEEESCEFSSALEYLKLLHSFVDSVGVMSPPLSRSSVLKSALGPDIICRWWTSAITVAISWLQGDDAAVRSHFTKVERIPKALEVTESPLVKAIFHACRAMHASLPGKADGQQSSFCHCERASGHLWSSLNVSGATSDPALNHVVQLLTCDLLLSLRTALWQKQASASQAVGETYHASGAELAGFQRDLGSLRRLAHSFRPAYRKVFLHEATVRLMAGASPTRTHQLLEHSLRRRTTQSTKHGEVDAWPGQRERATAILLACRHLPLSFLSSPGQRAVLLAEAARTLEKVGDRRSCNDCQQMIVKLGGGTAIAAS
+>DECOY_sp|Q12772|SRBP2_HUMAN Sterol regulatory element-binding protein 2 OS=Homo sapiens OX=9606 GN=SREBF2 PE=1 SV=2
+SAAIATGGGLKVIMQQCDNCSRRDGVKELTRAAEALLVARQGPSSLFSLPLHRCALLIATARERQGPWADVEGHKTSQTTRRRLSHELLQHTRTPSAGAMLRVTAEHLFVKRYAPRFSHALRRLSGLDRQFGALEAGSAHYTEGVAQSASAQKQWLATRLSLLLDCTLLQVVHNLAPDSTAGSVNLSSWLHGSARECHCFSSQQGDAKGPLSAHMARCAHFIAKVLPSETVELAKPIREVKTFHSRVAADDGQLWSIAVTIASTWWRCIIDPGLASKLVSSRSLPPSMVGVSDVFSHLLKLYELASSFECSEEEQDGAKKKAQPKVLSEIARELLNKCFAQHVQAIPDAPNRQACYLSEKAASKVSWSREMFFKQGLPHCLWRLSDPVASHEPGCLSQARSLFYSALFGLKGGCRTKLGMAATLHIEVLTSPPIKEEACEALNVACLAMHVDSCASGAPLKGTIHLQHLRHYALAADRASTKAEDEFGAETAPTARRCQFVKKLLWRVLRLKQLSYRIVNWSLSCALDLRSTPLARGLVALCTQLNGAAAAFDGRALDLDAQKRHRWFTVSSRSHPRIVPEGHVLLKVFVSLVIVGNVLWLLLTPMMWDFWGGSGSEFSLVSRGSGSHPHQDSDHAGGWQLLSTLPNFSLCLFTLVCLLIRSRDVMGLAVPPSDPEDKVKADDLLPSGPESDISYPSFGAQSGSDSAPPSMLLVNQNFDEIKLDVENDVLSGLDIGKLLKNKQNALKLVMNEQRLKHNVQQLYKIYDIAKRLVGSKHMKADTGMVLDKLEIIKDNISSRYRKEIINHTTRREGEKPPELQKVGGPVQKIPVKEQGMMVPMTTLITGSSGVLTPVQLAATQIPTTLATLAPNQVAAMVPSGDTKLTTLVLSDTKIIQPQVLVPVQQVQPAAVTQVTAPALTQLTGNATQTLVRQAQVTQVQQQITVPQVQSSTVLSQVQPQLVQFSTAANQYILPQQIIRTQPTTSFTPTIMVTQQQLQTQPQPQPQPRPQLIPTARPTTPVSTPSVKVQLTPAQPSAASPSFSPLTVQTFSRQVSPDVAGSSSGRGNSSSSSSGSSGSSSGSGGSGPFSSCLQESFLDPFEGVQNSVFQLMEDIDGLTLEDGLETLTEMTELGGLEGSDDM
+>sp|Q6ZRS2|SRCAP_HUMAN Helicase SRCAP OS=Homo sapiens OX=9606 GN=SRCAP PE=1 SV=3
+MQSSPSPAHPQLPVLQTQMVSDGMTGSNPVSPASSSSPASSGAGGISPQHIAQDSSLDGPPGPPDGATVPLEGFSLSQAADLANKGPKWEKSHAEIAEQAKHEAEIETRIAELRKEGFWSLKRLPKVPEPPRPKGHWDYLCEEMQWLSADFAQERRWKRGVARKVVRMVIRHHEEQRQKEERARREEQAKLRRIASTMAKDVRQFWSNVEKVVQFKQQSRLEEKRKKALDLHLDFIVGQTEKYSDLLSQSLNQPLTSSKAGSSPCLGSSSAASSPPPPASRLDDEDGDFQPQEDEEEDDEETIEVEEQQEGNDAEAQRREIELLRREGELPLEELLRSLPPQLLEGPSSPSQTPSSHDSDTRDGPEEGAEEEPPQVLEIKPPPSAVTQRNKQPWHPDEDDEEFTANEEEAEDEEDTIAAEEQLEGEVDHAMELSELAREGELSMEELLQQYAGAYAPGSGSSEDEDEDEVDANSSDCEPEGPVEAEEPPQEDSSSQSDSVEDRSEDEEDEHSEEEETSGSSASEESESEESEDAQSQSQADEEEEDDDFGVEYLLARDEEQSEADAGSGPPTPGPTTLGPKKEITDIAAAAESLQPKGYTLATTQVKTPIPLLLRGQLREYQHIGLDWLVTMYEKKLNGILADEMGLGKTIQTISLLAHLACEKGNWGPHLIIVPTSVMLNWEMELKRWCPSFKILTYYGAQKERKLKRQGWTKPNAFHVCITSYKLVLQDHQAFRRKNWRYLILDEAQNIKNFKSQRWQSLLNFNSQRRLLLTGTPLQNSLMELWSLMHFLMPHVFQSHREFKEWFSNPLTGMIEGSQEYNEGLVKRLHKVLRPFLLRRVKVDVEKQMPKKYEHVIRCRLSKRQRCLYDDFMAQTTTKETLATGHFMSVINILMQLRKVCNHPNLFDPRPVTSPFITPGICFSTASLVLRATDVHPLQRIDMGRFDLIGLEGRVSRYEADTFLPRHRLSRRVLLEVATAPDPPPRPKPVKMKVNRMLQPVPKQEGRTVVVVNNPRAPLGPVPVRPPPGPELSAQPTPGPVPQVLPASLMVSASPAGPPLIPASRPPGPVLLPPLQPNSGSLPQVLPSPLGVLSGTSRPPTPTLSLKPTPPAPVRLSPAPPPGSSSLLKPLTVPPGYTFPPAAATTTSTTTATATTTAVPAPTPAPQRLILSPDMQARLPSGEVVSIGQLASLAQRPVANAGGSKPLTFQIQGNKLTLTGAQVRQLAVGQPRPLQRNVVHLVSAGGQHHLISQPAHVALIQAVAPTPGPTPVSVLPSSTPSTTPAPTGLSLPLAANQVPPTMVNNTGVVKIVVRQAPRDGLTPVPPLAPAPRPPSSGLPAVLNPRPTLTPGRLPTPTLGTARAPMPTPTLVRPLLKLVHSPSPEVSASAPGAAPLTISSPLHVPSSLPGPASSPMPIPNSSPLASPVSSTVSVPLSSSLPISVPTTLPAPASAPLTIPISAPLTVSASGPALLTSVTPPLAPVVPAAPGPPSLAPSGASPSASALTLGLATAPSLSSSQTPGHPLLLAPTSSHVPGLNSTVAPACSPVLVPASALASPFPSAPNPAPAQASLLAPASSASQALATPLAPMAAPQTAILAPSPAPPLAPLPVLAPSPGAAPVLASSQTPVPVMAPSSTPGTSLASASPVPAPTPVLAPSSTQTMLPAPVPSPLPSPASTQTLALAPALAPTLGGSSPSQTLSLGTGNPQGPFPTQTLSLTPASSLVPTPAQTLSLAPGPPLGPTQTLSLAPAPPLAPASPVGPAPAHTLTLAPASSSASLLAPASVQTLTLSPAPVPTLGPAAAQTLALAPASTQSPASQASSLVVSASGAAPLPVTMVSRLPVSKDEPDTLTLRSGPPSPPSTATSFGGPRPRRQPPPPPRSPFYLDSLEEKRKRQRSERLERIFQLSEAHGALAPVYGTEVLDFCTLPQPVASPIGPRSPGPSHPTFWTYTEAAHRAVLFPQQRLDQLSEIIERFIFVMPPVEAPPPSLHACHPPPWLAPRQAAFQEQLASELWPRARPLHRIVCNMRTQFPDLRLIQYDCGKLQTLAVLLRQLKAEGHRVLIFTQMTRMLDVLEQFLTYHGHLYLRLDGSTRVEQRQALMERFNADKRIFCFILSTRSGGVGVNLTGADTVVFYDSDWNPTMDAQAQDRCHRIGQTRDVHIYRLISERTVEENILKKANQKRMLGDMAIEGGNFTTAYFKQQTIRELFDMPLEEPSSSSVPSAPEEEEETVASKQTHILEQALCRAEDEEDIRAATQAKAEQVAELAEFNENDGFPAGEGEEAGRPGAEDEEMSRAEQEIAALVEQLTPIERYAMKFLEASLEEVSREELKQAEEQVEAARKDLDQAKEEVFRLPQEEEEGPGAGDESSCGTGGGTHRRSKKAKAPERPGTRVSERLRGARAETQGANHTPVISAHQTRSTTTPPRCSPARERVPRPAPRPRPTPASAPAAIPALVPVPVSAPVPISAPNPITILPVHILPSPPPPSQIPPCSSPACTPPPACTPPPAHTPPPAQTCLVTPSSPLLLGPPSVPISASVTNLPLGLRPEAELCAQALASPESLELASVASSETSSLSLVPPKDLLPVAVEILPVSEKNLSLTPSAPSLTLEAGSIPNGQEQEAPDSAEGTTLTVLPEGEELPLCVSESNGLELPPSAASDEPLQEPLEADRTSEELTEAKTPTSSPEKPQELVTAEVAAPSTSSSATSSPEGPSPARPPRRRTSADVEIRGQGTGRPGQPPGPKVLRKLPGRLVTVVEEKELVRRRRQQRGAASTLVPGVSETSASPGSPSVRSMSGPESSPPIGGPCEAAPSSSLPTPPQQPFIARRHIELGVTGGGSPENGDGALLAITPPAVKRRRGRPPKKNRSPADAGRGVDEAPSSTLKGKTNGADPVPGPETLIVADPVLEPQLIPGPQPLGPQPVHRPNPLLSPVEKRRRGRPPKARDLPIPGTISSAGDGNSESRTQPPPHPSPLTPLPPLLVCPTATVANTVTTVTISTSPPKRKRGRPPKNPPSPRPSQLPVLDRDSTSVLESCGLGRRRQPQGQGESEGSSSDEDGSRPLTRLARLRLEAEGMRGRKSGGSMVVAVIQDDLDLADSGPGGLELTPPVVSLTPKLRSTRLRPGSLVPPLETEKLPRKRAGAPVGGSPGLAKRGRLQPPSPLGPEGSVEESEAEASGEEEEGDGTPRRRPGPRRLVGTTNQGDQRILRSSAPPSLAGPAVSHRGRKAKT
+>DECOY_sp|Q6ZRS2|SRCAP_HUMAN Helicase SRCAP OS=Homo sapiens OX=9606 GN=SRCAP PE=1 SV=3
+TKAKRGRHSVAPGALSPPASSRLIRQDGQNTTGVLRRPGPRRRPTGDGEEEEGSAEAESEEVSGEPGLPSPPQLRGRKALGPSGGVPAGARKRPLKETELPPVLSGPRLRTSRLKPTLSVVPPTLELGGPGSDALDLDDQIVAVVMSGGSKRGRMGEAELRLRALRTLPRSGDEDSSSGESEGQGQPQRRRGLGCSELVSTSDRDLVPLQSPRPSPPNKPPRGRKRKPPSTSITVTTVTNAVTATPCVLLPPLPTLPSPHPPPQTRSESNGDGASSITGPIPLDRAKPPRGRRRKEVPSLLPNPRHVPQPGLPQPGPILQPELVPDAVILTEPGPVPDAGNTKGKLTSSPAEDVGRGADAPSRNKKPPRGRRRKVAPPTIALLAGDGNEPSGGGTVGLEIHRRAIFPQQPPTPLSSSPAAECPGGIPPSSEPGSMSRVSPSGPSASTESVGPVLTSAAGRQQRRRRVLEKEEVVTVLRGPLKRLVKPGPPQGPRGTGQGRIEVDASTRRRPPRAPSPGEPSSTASSSTSPAAVEATVLEQPKEPSSTPTKAETLEESTRDAELPEQLPEDSAASPPLELGNSESVCLPLEEGEPLVTLTTGEASDPAEQEQGNPISGAELTLSPASPTLSLNKESVPLIEVAVPLLDKPPVLSLSSTESSAVSALELSEPSALAQACLEAEPRLGLPLNTVSASIPVSPPGLLLPSSPTVLCTQAPPPTHAPPPTCAPPPTCAPSSCPPIQSPPPPSPLIHVPLITIPNPASIPVPASVPVPVLAPIAAPASAPTPRPRPAPRPVRERAPSCRPPTTTSRTQHASIVPTHNAGQTEARAGRLRESVRTGPREPAKAKKSRRHTGGGTGCSSEDGAGPGEEEEQPLRFVEEKAQDLDKRAAEVQEEAQKLEERSVEELSAELFKMAYREIPTLQEVLAAIEQEARSMEEDEAGPRGAEEGEGAPFGDNENFEALEAVQEAKAQTAARIDEEDEARCLAQELIHTQKSAVTEEEEEPASPVSSSSPEELPMDFLERITQQKFYATTFNGGEIAMDGLMRKQNAKKLINEEVTRESILRYIHVDRTQGIRHCRDQAQADMTPNWDSDYFVVTDAGTLNVGVGGSRTSLIFCFIRKDANFREMLAQRQEVRTSGDLRLYLHGHYTLFQELVDLMRTMQTFILVRHGEAKLQRLLVALTQLKGCDYQILRLDPFQTRMNCVIRHLPRARPWLESALQEQFAAQRPALWPPPHCAHLSPPPAEVPPMVFIFREIIESLQDLRQQPFLVARHAAETYTWFTPHSPGPSRPGIPSAVPQPLTCFDLVETGYVPALAGHAESLQFIRELRESRQRKRKEELSDLYFPSRPPPPPQRRPRPGGFSTATSPPSPPGSRLTLTDPEDKSVPLRSVMTVPLPAAGSASVVLSSAQSAPSQTSAPALALTQAAAPGLTPVPAPSLTLTQVSAPALLSASSSAPALTLTHAPAPGVPSAPALPPAPALSLTQTPGLPPGPALSLTQAPTPVLSSAPTLSLTQTPFPGQPNGTGLSLTQSPSSGGLTPALAPALALTQTSAPSPLPSPVPAPLMTQTSSPALVPTPAPVPSASALSTGPTSSPAMVPVPTQSSALVPAAGPSPALVPLPALPPAPSPALIATQPAAMPALPTALAQSASSAPALLSAQAPAPNPASPFPSALASAPVLVPSCAPAVTSNLGPVHSSTPALLLPHGPTQSSSLSPATALGLTLASASPSAGSPALSPPGPAAPVVPALPPTVSTLLAPGSASVTLPASIPITLPASAPAPLTTPVSIPLSSSLPVSVTSSVPSALPSSNPIPMPSSAPGPLSSPVHLPSSITLPAAGPASASVEPSPSHVLKLLPRVLTPTPMPARATGLTPTPLRGPTLTPRPNLVAPLGSSPPRPAPALPPVPTLGDRPAQRVVIKVVGTNNVMTPPVQNAALPLSLGTPAPTTSPTSSPLVSVPTPGPTPAVAQILAVHAPQSILHHQGGASVLHVVNRQLPRPQGVALQRVQAGTLTLKNGQIQFTLPKSGGANAVPRQALSALQGISVVEGSPLRAQMDPSLILRQPAPTPAPVATTTATATTTSTTTAAAPPFTYGPPVTLPKLLSSSGPPPAPSLRVPAPPTPKLSLTPTPPRSTGSLVGLPSPLVQPLSGSNPQLPPLLVPGPPRSAPILPPGAPSASVMLSAPLVQPVPGPTPQASLEPGPPPRVPVPGLPARPNNVVVVTRGEQKPVPQLMRNVKMKVPKPRPPPDPATAVELLVRRSLRHRPLFTDAEYRSVRGELGILDFRGMDIRQLPHVDTARLVLSATSFCIGPTIFPSTVPRPDFLNPHNCVKRLQMLINIVSMFHGTALTEKTTTQAMFDDYLCRQRKSLRCRIVHEYKKPMQKEVDVKVRRLLFPRLVKHLRKVLGENYEQSGEIMGTLPNSFWEKFERHSQFVHPMLFHMLSWLEMLSNQLPTGTLLLRRQSNFNLLSQWRQSKFNKINQAEDLILYRWNKRRFAQHDQLVLKYSTICVHFANPKTWGQRKLKREKQAGYYTLIKFSPCWRKLEMEWNLMVSTPVIILHPGWNGKECALHALLSITQITKGLGMEDALIGNLKKEYMTVLWDLGIHQYERLQGRLLLPIPTKVQTTALTYGKPQLSEAAAAIDTIEKKPGLTTPGPTPPGSGADAESQEEDRALLYEVGFDDDEEEEDAQSQSQADESEESESEESASSGSTEEEESHEDEEDESRDEVSDSQSSSDEQPPEEAEVPGEPECDSSNADVEDEDEDESSGSGPAYAGAYQQLLEEMSLEGERALESLEMAHDVEGELQEEAAITDEEDEAEEENATFEEDDEDPHWPQKNRQTVASPPPKIELVQPPEEEAGEEPGDRTDSDHSSPTQSPSSPGELLQPPLSRLLEELPLEGERRLLEIERRQAEADNGEQQEEVEITEEDDEEEDEQPQFDGDEDDLRSAPPPPSSAASSSGLCPSSGAKSSTLPQNLSQSLLDSYKETQGVIFDLHLDLAKKRKEELRSQQKFQVVKEVNSWFQRVDKAMTSAIRRLKAQEERRAREEKQRQEEHHRIVMRVVKRAVGRKWRREQAFDASLWQMEECLYDWHGKPRPPEPVKPLRKLSWFGEKRLEAIRTEIEAEHKAQEAIEAHSKEWKPGKNALDAAQSLSFGELPVTAGDPPGPPGDLSSDQAIHQPSIGGAGSSAPSSSSAPSVPNSGTMGDSVMQTQLVPLQPHAPSPSSQM
+>sp|Q9C0H9|SRCN1_HUMAN SRC kinase signaling inhibitor 1 OS=Homo sapiens OX=9606 GN=SRCIN1 PE=1 SV=4
+MGNAPSQDPERSSPPMLSADDAEYPREYRTLGGGGGGGSGGRRFSNVGLVHTSERRHTVIAAQSLEALSGLQKADADRKRDAFMDHLKSKYPQHALALRGQQDRMREQPNYWSFKTRSSRHTQGAQPGLADQAAKLSYASAESLETMSEAELPLGFSRMNRFRQSLPLSRSASQTKLRSPGVLFLQFGEETRRVHITHEVSSLDTLHALIAHMFPQKLTMGMLKSPNTAILIKDEARNVFYELEDVRDIQDRSIIKIYRKEPLYAAFPGSHLTNGDLRREMVYASRESSPTRRLNNLSPAPHLASGSPPPGLPSGLPSGLQSGSPSRSRLSYAGGRPPSYAGSPVHHAAERLGGAPAAQGVSPSPSAILERRDVKPDEDLASKAGGMVLVKGEGLYADPYGLLHEGRLSLAAAAGDPFAYPGAGGLYKRGSVRSLSTYSAAALQSDLEDSLYKAAGGGGPLYGDGYGFRLPPSSPQKLADVAAPPGGPPPPHSPYSGPPSRGSPVRQSFRKDSGSSSVFAESPGGKTRSAGSASTAGAPPSELFPGPGERSLVGFGPPVPAKDTETRERMEAMEKQIASLTGLVQSALLRGSEPETPSEKIEGSNGAATPSAPCGSGGRSSGATPVSGPPPPSASSTPAGQPTAVSRLQMQLHLRGLQNSASDLRGQLQQLRKLQLQNQESVRALLKRTEAELSMRVSEAARRQEDPLQRQRTLVEEERLRYLNDEELITQQLNDLEKSVEKIQRDVSHNHRLVPGPELEEKALVLKQLGETLTELKAHFPGLQSKMRVVLRVEVEAVKFLKEEPQRLDGLLKRCRGVTDTLAQIRRQVDEGVWPPPNNLLSQSPKKVTAETDFNKSVDFEMPPPSPPLNLHELSGPAEGASLTPKGGNPTKGLDTPGKRSVDKAVSVEAAERDWEEKRAALTQYSAKDINRLLEETQAELLKAIPDLDCASKAHPGPAPTPDHKPPKAPHGQKAAPRTEPSGRRGSDELTVPRYRTEKPSKSPPPPPPRRSFPSSHGLTTTRTGEVVVTSKKDSAFIKKAESEELEVQKPQVKLRRAVSEVARPASTPPIMASAIKDEDDEDRIIAELESGGGSVPPMKVVTPGASRLKAAQGQAGSPDKSKHGKQRAEYMRIQAQQQATKPSKEMSGSNETSSPVSEKPSASRTSIPVLTSFGARNSSISF
+>DECOY_sp|Q9C0H9|SRCN1_HUMAN SRC kinase signaling inhibitor 1 OS=Homo sapiens OX=9606 GN=SRCIN1 PE=1 SV=4
+FSISSNRAGFSTLVPISTRSASPKESVPSSTENSGSMEKSPKTAQQQAQIRMYEARQKGHKSKDPSGAQGQAAKLRSAGPTVVKMPPVSGGGSELEAIIRDEDDEDKIASAMIPPTSAPRAVESVARRLKVQPKQVELEESEAKKIFASDKKSTVVVEGTRTTTLGHSSPFSRRPPPPPPSKSPKETRYRPVTLEDSGRRGSPETRPAAKQGHPAKPPKHDPTPAPGPHAKSACDLDPIAKLLEAQTEELLRNIDKASYQTLAARKEEWDREAAEVSVAKDVSRKGPTDLGKTPNGGKPTLSAGEAPGSLEHLNLPPSPPPMEFDVSKNFDTEATVKKPSQSLLNNPPPWVGEDVQRRIQALTDTVGRCRKLLGDLRQPEEKLFKVAEVEVRLVVRMKSQLGPFHAKLETLTEGLQKLVLAKEELEPGPVLRHNHSVDRQIKEVSKELDNLQQTILEEDNLYRLREEEVLTRQRQLPDEQRRAAESVRMSLEAETRKLLARVSEQNQLQLKRLQQLQGRLDSASNQLGRLHLQMQLRSVATPQGAPTSSASPPPPGSVPTAGSSRGGSGCPASPTAAGNSGEIKESPTEPESGRLLASQVLGTLSAIQKEMAEMRERTETDKAPVPPGFGVLSREGPGPFLESPPAGATSASGASRTKGGPSEAFVSSSGSDKRFSQRVPSGRSPPGSYPSHPPPPGGPPAAVDALKQPSSPPLRFGYGDGYLPGGGGAAKYLSDELDSQLAAASYTSLSRVSGRKYLGGAGPYAFPDGAAAALSLRGEHLLGYPDAYLGEGKVLVMGGAKSALDEDPKVDRRELIASPSPSVGQAAPAGGLREAAHHVPSGAYSPPRGGAYSLRSRSPSGSQLGSPLGSPLGPPPSGSALHPAPSLNNLRRTPSSERSAYVMERRLDGNTLHSGPFAAYLPEKRYIKIISRDQIDRVDELEYFVNRAEDKILIATNPSKLMGMTLKQPFMHAILAHLTDLSSVEHTIHVRRTEEGFQLFLVGPSRLKTQSASRSLPLSQRFRNMRSFGLPLEAESMTELSEASAYSLKAAQDALGPQAGQTHRSSRTKFSWYNPQERMRDQQGRLALAHQPYKSKLHDMFADRKRDADAKQLGSLAELSQAAIVTHRRESTHVLGVNSFRRGGSGGGGGGGLTRYERPYEADDASLMPPSSREPDQSPANGM
+>sp|P12931|SRC_HUMAN Proto-oncogene tyrosine-protein kinase Src OS=Homo sapiens OX=9606 GN=SRC PE=1 SV=3
+MGSNKSKPKDASQRRRSLEPAENVHGAGGGAFPASQTPSKPASADGHRGPSAAFAPAAAEPKLFGGFNSSDTVTSPQRAGPLAGGVTTFVALYDYESRTETDLSFKKGERLQIVNNTEGDWWLAHSLSTGQTGYIPSNYVAPSDSIQAEEWYFGKITRRESERLLLNAENPRGTFLVRESETTKGAYCLSVSDFDNAKGLNVKHYKIRKLDSGGFYITSRTQFNSLQQLVAYYSKHADGLCHRLTTVCPTSKPQTQGLAKDAWEIPRESLRLEVKLGQGCFGEVWMGTWNGTTRVAIKTLKPGTMSPEAFLQEAQVMKKLRHEKLVQLYAVVSEEPIYIVTEYMSKGSLLDFLKGETGKYLRLPQLVDMAAQIASGMAYVERMNYVHRDLRAANILVGENLVCKVADFGLARLIEDNEYTARQGAKFPIKWTAPEAALYGRFTIKSDVWSFGILLTELTTKGRVPYPGMVNREVLDQVERGYRMPCPPECPESLHDLMCQCWRKEPEERPTFEYLQAFLEDYFTSTEPQYQPGENL
+>DECOY_sp|P12931|SRC_HUMAN Proto-oncogene tyrosine-protein kinase Src OS=Homo sapiens OX=9606 GN=SRC PE=1 SV=3
+LNEGPQYQPETSTFYDELFAQLYEFTPREEPEKRWCQCMLDHLSEPCEPPCPMRYGREVQDLVERNVMGPYPVRGKTTLETLLIGFSWVDSKITFRGYLAAEPATWKIPFKAGQRATYENDEILRALGFDAVKCVLNEGVLINAARLDRHVYNMREVYAMGSAIQAAMDVLQPLRLYKGTEGKLFDLLSGKSMYETVIYIPEESVVAYLQVLKEHRLKKMVQAEQLFAEPSMTGPKLTKIAVRTTGNWTGMWVEGFCGQGLKVELRLSERPIEWADKALGQTQPKSTPCVTTLRHCLGDAHKSYYAVLQQLSNFQTRSTIYFGGSDLKRIKYHKVNLGKANDFDSVSLCYAGKTTESERVLFTGRPNEANLLLRESERRTIKGFYWEEAQISDSPAVYNSPIYGTQGTSLSHALWWDGETNNVIQLREGKKFSLDTETRSEYDYLAVFTTVGGALPGARQPSTVTDSSNFGGFLKPEAAAPAFAASPGRHGDASAPKSPTQSAPFAGGGAGHVNEAPELSRRRQSADKPKSKNSGM
+>sp|Q8WXA9|SREK1_HUMAN Splicing regulatory glutamine/lysine-rich protein 1 OS=Homo sapiens OX=9606 GN=SREK1 PE=1 SV=1
+MTSLMPGAGLLPIPTPNPLTTLGVSLSSLGAIPAAALDPNIATLGEIPQPPLMGNVDPSKIDEIRRTVYVGNLNSQTTTADQLLEFFKQVGEVKFVRMAGDETQPTRFAFVEFADQNSVPRALAFNGVMFGDRPLKINHSNNAIVKPPEMTPQAAAKELEEVMKRVREAQSFISAAIEPESGKSNERKGGRSRSHTRSKSRSSSKSHSRRKRSQSKHRSRSHNRSRSRQKDRRRSKSPHKKRSKSRERRKSRSRSHSRDKRKDTREKIKEKERVKEKDREKEREREKEREKEKERGKNKDRDKEREKDREKDKEKDREREREKEHEKDRDKEKEKEQDKEKEREKDRSKEIDEKRKKDKKSRTPPRSYNASRRSRSSSRERRRRRSRSSSRSPRTSKTIKRKSSRSPSPRSRNKKDKKREKERDHISERRERERSTSMRKSSNDRDGKEKLEKNSTSLKEKEHNKEPDSSVSKEVDDKDAPRTEENKIQHNGNCQLNEENLSTKTEAV
+>DECOY_sp|Q8WXA9|SREK1_HUMAN Splicing regulatory glutamine/lysine-rich protein 1 OS=Homo sapiens OX=9606 GN=SREK1 PE=1 SV=1
+VAETKTSLNEENLQCNGNHQIKNEETRPADKDDVEKSVSSDPEKNHEKEKLSTSNKELKEKGDRDNSSKRMSTSRERERRESIHDREKERKKDKKNRSRPSPSRSSKRKITKSTRPSRSSSRSRRRRRERSSSRSRRSANYSRPPTRSKKDKKRKEDIEKSRDKEREKEKDQEKEKEKDRDKEHEKERERERDKEKDKERDKEREKDRDKNKGREKEKEREKEREREKERDKEKVREKEKIKERTDKRKDRSHSRSRSKRRERSKSRKKHPSKSRRRDKQRSRSRNHSRSRHKSQSRKRRSHSKSSSRSKSRTHSRSRGGKRENSKGSEPEIAASIFSQAERVRKMVEELEKAAAQPTMEPPKVIANNSHNIKLPRDGFMVGNFALARPVSNQDAFEVFAFRTPQTEDGAMRVFKVEGVQKFFELLQDATTTQSNLNGVYVTRRIEDIKSPDVNGMLPPQPIEGLTAINPDLAAAPIAGLSSLSVGLTTLPNPTPIPLLGAGPMLSTM
+>sp|O43295|SRGP3_HUMAN SLIT-ROBO Rho GTPase-activating protein 3 OS=Homo sapiens OX=9606 GN=SRGAP3 PE=1 SV=3
+MSSQTKFKKDKEIIAEYEAQIKEIRTQLVEQFKCLEQQSESRLQLLQDLQEFFRRKAEIELEYSRSLEKLAERFSSKIRSSREHQFKKDQYLLSPVNCWYLVLHQTRRESRDHATLNDIFMNNVIVRLSQISEDVIRLFKKSKEIGLQMHEELLKVTNELYTVMKTYHMYHAESISAESKLKEAEKQEEKQFNKSGDLSMNLLRHEDRPQRRSSVKKIEKMKEKRQAKYSENKLKCTKARNDYLLNLAATNAAISKYYIHDVSDLIDCCDLGFHASLARTFRTYLSAEYNLETSRHEGLDVIENAVDNLDSRSDKHTVMDMCNQVFCPPLKFEFQPHMGDEVCQVSAQQPVQTELLMRYHQLQSRLATLKIENEEVRKTLDATMQTLQDMLTVEDFDVSDAFQHSRSTESVKSAASETYMSKINIAKRRANQQETEMFYFTKFKEYVNGSNLITKLQAKHDLLKQTLGEGERAECGTTRPPCLPPKPQKMRRPRPLSVYSHKLFNGSMEAFIKDSGQAIPLVVESCIRYINLYGLQQQGIFRVPGSQVEVNDIKNSFERGEDPLVDDQNERDINSVAGVLKLYFRGLENPLFPKERFQDLISTIKLENPAERVHQIQQILVTLPRVVIVVMRYLFAFLNHLSQYSDENMMDPYNLAICFGPTLMHIPDGQDPVSCQAHINEVIKTIIIHHEAIFPSPRELEGPVYEKCMAGGEEYCDSPHSEPGAIDEVDHDNGTEPHTSDEEVEQIEAIAKFDYMGRSPRELSFKKGASLLLYHRASEDWWEGRHNGVDGLIPHQYIVVQDMDDAFSDSLSQKADSEASSGPLLDDKASSKNDLQSPTEHISDYGFGGVMGRVRLRSDGAAIPRRRSGGDTHSPPRGLGPSIDTPPRAAACPSSPHKIPLTRGRIESPEKRRMATFGSAGSINYPDKKALSEGHSMRSTCGSTRHSSLGDHKSLEAEALAEDIEKTMSTALHELRELERQNTVKQAPDVVLDTLEPLKNPPGPVSSEPASPLHTIVIRDPDAAMRRSSSSSTEMMTTFKPALSARLAGAQLRPPPMRPVRPVVQHRSSSSSSSGVGSPAVTPTEKMFPNSSADKSGTM
+>DECOY_sp|O43295|SRGP3_HUMAN SLIT-ROBO Rho GTPase-activating protein 3 OS=Homo sapiens OX=9606 GN=SRGAP3 PE=1 SV=3
+MTGSKDASSNPFMKETPTVAPSGVGSSSSSSSRHQVVPRVPRMPPPRLQAGALRASLAPKFTTMMETSSSSSRRMAADPDRIVITHLPSAPESSVPGPPNKLPELTDLVVDPAQKVTNQRELERLEHLATSMTKEIDEALAEAELSKHDGLSSHRTSGCTSRMSHGESLAKKDPYNISGASGFTAMRRKEPSEIRGRTLPIKHPSSPCAAARPPTDISPGLGRPPSHTDGGSRRRPIAAGDSRLRVRGMVGGFGYDSIHETPSQLDNKSSAKDDLLPGSSAESDAKQSLSDSFADDMDQVVIYQHPILGDVGNHRGEWWDESARHYLLLSAGKKFSLERPSRGMYDFKAIAEIQEVEEDSTHPETGNDHDVEDIAGPESHPSDCYEEGGAMCKEYVPGELERPSPFIAEHHIIITKIVENIHAQCSVPDQGDPIHMLTPGFCIALNYPDMMNEDSYQSLHNLFAFLYRMVVIVVRPLTVLIQQIQHVREAPNELKITSILDQFREKPFLPNELGRFYLKLVGAVSNIDRENQDDVLPDEGREFSNKIDNVEVQSGPVRFIGQQQLGYLNIYRICSEVVLPIAQGSDKIFAEMSGNFLKHSYVSLPRPRRMKQPKPPLCPPRTTGCEAREGEGLTQKLLDHKAQLKTILNSGNVYEKFKTFYFMETEQQNARRKAINIKSMYTESAASKVSETSRSHQFADSVDFDEVTLMDQLTQMTADLTKRVEENEIKLTALRSQLQHYRMLLETQVPQQASVQCVEDGMHPQFEFKLPPCFVQNCMDMVTHKDSRSDLNDVANEIVDLGEHRSTELNYEASLYTRFTRALSAHFGLDCCDILDSVDHIYYKSIAANTAALNLLYDNRAKTCKLKNESYKAQRKEKMKEIKKVSSRRQPRDEHRLLNMSLDGSKNFQKEEQKEAEKLKSEASISEAHYMHYTKMVTYLENTVKLLEEHMQLGIEKSKKFLRIVDESIQSLRVIVNNMFIDNLTAHDRSERRTQHLVLYWCNVPSLLYQDKKFQHERSSRIKSSFREALKELSRSYELEIEAKRRFFEQLDQLLQLRSESQQELCKFQEVLQTRIEKIQAEYEAIIEKDKKFKTQSSM
+>sp|P37108|SRP14_HUMAN Signal recognition particle 14 kDa protein OS=Homo sapiens OX=9606 GN=SRP14 PE=1 SV=2
+MVLLESEQFLTELTRLFQKCRTSGSVYITLKKYDGRTKPIPKKGTVEGFEPADNKCLLRATDGKKKISTVVSSKEVNKFQMAYSNLLRANMDGLKKRDKKNKTKKTKAAAAAAAAAPAAAATAPTTAATTAATAAQ
+>DECOY_sp|P37108|SRP14_HUMAN Signal recognition particle 14 kDa protein OS=Homo sapiens OX=9606 GN=SRP14 PE=1 SV=2
+QAATAATTAATTPATAAAAPAAAAAAAAAKTKKTKNKKDRKKLGDMNARLLNSYAMQFKNVEKSSVVTSIKKKGDTARLLCKNDAPEFGEVTGKKPIPKTRGDYKKLTIYVSGSTRCKQFLRTLETLFQESELLVM
+>sp|P08240|SRPRA_HUMAN Signal recognition particle receptor subunit alpha OS=Homo sapiens OX=9606 GN=SRPRA PE=1 SV=2
+MLDFFTIFSKGGLVLWCFQGVSDSCTGPVNALIRSVLLQERGGNNSFTHEALTLKYKLDNQFELVFVVGFQKILTLTYVDKLIDDVHRLFRDKYRTEIQQQSALSLLNGTFDFQNDFLRLLREAEESSKIRAPTTMKKFEDSEKAKKPVRSMIETRGEKPKEKAKNSKKKGAKKEGSDGPLATSKPVPAEKSGLPVGPENGVELSKEELIRRKREEFIQKHGRGMEKSNKSTKSDAPKEKGKKAPRVWELGGCANKEVLDYSTPTTNGTPEAALSEDINLIRGTGSGGQLQDLDCSSSDDEGAAQNSTKPSATKGTLGGMFGMLKGLVGSKSLSREDMESVLDKMRDHLIAKNVAADIAVQLCESVANKLEGKVMGTFSTVTSTVKQALQESLVQILQPQRRVDMLRDIMDAQRRQRPYVVTFCGVNGVGKSTNLAKISFWLLENGFSVLIAACDTFRAGAVEQLRTHTRRLSALHPPEKHGGRTMVQLFEKGYGKDAAGIAMEAIAFARNQGFDVVLVDTAGRMQDNAPLMTALAKLITVNTPDLVLFVGEALVGNEAVDQLVKFNRALADHSMAQTPRLIDGIVLTKFDTIDDKVGAAISMTYITSKPIVFVGTGQTYCDLRSLNAKAVVAALMKA
+>DECOY_sp|P08240|SRPRA_HUMAN Signal recognition particle receptor subunit alpha OS=Homo sapiens OX=9606 GN=SRPRA PE=1 SV=2
+AKMLAAVVAKANLSRLDCYTQGTGVFVIPKSTIYTMSIAAGVKDDITDFKTLVIGDILRPTQAMSHDALARNFKVLQDVAENGVLAEGVFLVLDPTNVTILKALATMLPANDQMRGATDVLVVDFGQNRAFAIAEMAIGAADKGYGKEFLQVMTRGGHKEPPHLASLRRTHTRLQEVAGARFTDCAAILVSFGNELLWFSIKALNTSKGVGNVGCFTVVYPRQRRQADMIDRLMDVRRQPQLIQVLSEQLAQKVTSTVTSFTGMVKGELKNAVSECLQVAIDAAVNKAILHDRMKDLVSEMDERSLSKSGVLGKLMGFMGGLTGKTASPKTSNQAAGEDDSSSCDLDQLQGGSGTGRILNIDESLAAEPTGNTTPTSYDLVEKNACGGLEWVRPAKKGKEKPADSKTSKNSKEMGRGHKQIFEERKRRILEEKSLEVGNEPGVPLGSKEAPVPKSTALPGDSGEKKAGKKKSNKAKEKPKEGRTEIMSRVPKKAKESDEFKKMTTPARIKSSEEAERLLRLFDNQFDFTGNLLSLASQQQIETRYKDRFLRHVDDILKDVYTLTLIKQFGVVFVLEFQNDLKYKLTLAEHTFSNNGGREQLLVSRILANVPGTCSDSVGQFCWLVLGGKSFITFFDLM
+>sp|Q9UH36|SRR1L_HUMAN SRR1-like protein OS=Homo sapiens OX=9606 GN=SRRD PE=2 SV=1
+MAAAAAAALESWQAAAPRKRRSAARRPRRREAAPRGREAAPRGREAAPRGPEAEFESDSGVVLRRIWEAEKDLFISDFWSSALETINRCLTKHLEQLKAPVGTLSDIFGNLHLDSLPEESDVATDSIPREILVTGTCHLKCVCYGIGNFATCIVARNQLTFLLLLLEKCQIPRSHCWVYDPLFSQLEIEVLNTLGVTVLSENEEGKRSIRGEPTIFYMLHCGTALYNNLLWSNWSVDALSKMVIIGNSFKGLEERLLARILQKNYPYIAKILKGLEELEFPQTSQYMDIFNDTSVHWFPVQKLEQLSIDIWEFREEPDYQDCEDLEIIRNKREDPSATD
+>DECOY_sp|Q9UH36|SRR1L_HUMAN SRR1-like protein OS=Homo sapiens OX=9606 GN=SRRD PE=2 SV=1
+DTASPDERKNRIIELDECDQYDPEERFEWIDISLQELKQVPFWHVSTDNFIDMYQSTQPFELEELGKLIKAIYPYNKQLIRALLREELGKFSNGIIVMKSLADVSWNSWLLNNYLATGCHLMYFITPEGRISRKGEENESLVTVGLTNLVEIELQSFLPDYVWCHSRPIQCKELLLLLFTLQNRAVICTAFNGIGYCVCKLHCTGTVLIERPISDTAVDSEEPLSDLHLNGFIDSLTGVPAKLQELHKTLCRNITELASSWFDSIFLDKEAEWIRRLVVGSDSEFEAEPGRPAAERGRPAAERGRPAAERRRPRRAASRRKRPAAAQWSELAAAAAAAM
+>sp|Q9UJW9|SRTD3_HUMAN SERTA domain-containing protein 3 OS=Homo sapiens OX=9606 GN=SERTAD3 PE=1 SV=2
+MVGGLKRKHSDLEEEEERWEWSPAGLQSYQQALLRISLDKVQRSLGPRAPSLRRHVLIHNTLQQLQAALRLAPAPALPPEPLFLGEEDFSLSATIGSILRELDTSMDGTEPPQNPVTPLGLQNEVPPQPDPVFLEALSSRYLGDSGLDDFFLDIDTSAVEKEPARAPPEPPHNLFCAPGSWEWNELDHIMEIILGS
+>DECOY_sp|Q9UJW9|SRTD3_HUMAN SERTA domain-containing protein 3 OS=Homo sapiens OX=9606 GN=SERTAD3 PE=1 SV=2
+SGLIIEMIHDLENWEWSGPACFLNHPPEPPARAPEKEVASTDIDLFFDDLGSDGLYRSSLAELFVPDPQPPVENQLGLPTVPNQPPETGDMSTDLERLISGITASLSFDEEGLFLPEPPLAPAPALRLAAQLQQLTNHILVHRRLSPARPGLSRQVKDLSIRLLAQQYSQLGAPSWEWREEEEELDSHKRKLGGVM
+>sp|A0A1B0GWG4|SRTM2_HUMAN Serine-rich and transmembrane domain-containing 2 OS=Homo sapiens OX=9606 GN=SERTM2 PE=3 SV=2
+MMEAHFKYHGNLTGRAHFPTLATEVDTSSDKYSNLYMYVGLFLSLLAILLILLFTMLLRLKHVISPINSDSTESVPQFTDVEMQSRIPTP
+>DECOY_sp|A0A1B0GWG4|SRTM2_HUMAN Serine-rich and transmembrane domain-containing 2 OS=Homo sapiens OX=9606 GN=SERTM2 PE=3 SV=2
+PTPIRSQMEVDTFQPVSETSDSNIPSIVHKLRLLMTFLLILLIALLSLFLGVYMYLNSYKDSSTDVETALTPFHARGTLNGHYKFHAEMM
+>sp|Q9NQ55|SSF1_HUMAN Suppressor of SWI4 1 homolog OS=Homo sapiens OX=9606 GN=PPAN PE=2 SV=1
+MGQSGRSRHQKRARAQAQLRNLEAYAANPHSFVFTRGCTGRNIRQLSLDVRRVMEPLTASRLQVRKKNSLKDCVAVAGPLGVTHFLILSKTETNVYFKLMRLPGGPTLTFQVKKYSLVRDVVSSLRRHRMHEQQFAHPPLLVLNSFGPHGMHVKLMATMFQNLFPSINVHKVNLNTIKRCLLIDYNPDSQELDFRHYSIKVVPVGASRGMKKLLQEKFPNMSRLQDISELLATGAGLSESEAEPDGDHNITELPQAVAGRGNMRAQQSAVRLTEIGPRMTLQLIKVQEGVGEGKVMFHSFVSKTEEELQAILEAKEKKLRLKAQRQAQQAQNVQRKQEQREAHRKKSLEGMKKARVGGSDEEASGIPSRTASLELGEDDDEQEDDDIEYFCQAVGEAPSEDLFPEAKQKRLAKSPGRKRKRWEMDRGRGRLCDQKFPKTKDKSQGAQARRGPRGASRDGGRGRGRGRPGKRVA
+>DECOY_sp|Q9NQ55|SSF1_HUMAN Suppressor of SWI4 1 homolog OS=Homo sapiens OX=9606 GN=PPAN PE=2 SV=1
+AVRKGPRGRGRGRGGDRSAGRPGRRAQAGQSKDKTKPFKQDCLRGRGRDMEWRKRKRGPSKALRKQKAEPFLDESPAEGVAQCFYEIDDDEQEDDDEGLELSATRSPIGSAEEDSGGVRAKKMGELSKKRHAERQEQKRQVNQAQQAQRQAKLRLKKEKAELIAQLEEETKSVFSHFMVKGEGVGEQVKILQLTMRPGIETLRVASQQARMNGRGAVAQPLETINHDGDPEAESESLGAGTALLESIDQLRSMNPFKEQLLKKMGRSAGVPVVKISYHRFDLEQSDPNYDILLCRKITNLNVKHVNISPFLNQFMTAMLKVHMGHPGFSNLVLLPPHAFQQEHMRHRRLSSVVDRVLSYKKVQFTLTPGGPLRMLKFYVNTETKSLILFHTVGLPGAVAVCDKLSNKKRVQLRSATLPEMVRRVDLSLQRINRGTCGRTFVFSHPNAAYAELNRLQAQARARKQHRSRGSQGM
+>sp|P28290|SSFA2_HUMAN Sperm-specific antigen 2 OS=Homo sapiens OX=9606 GN=SSFA2 PE=1 SV=3
+MDRPLSSSAEAEEELEWQVASRRRKAWAKCRSSWQASETEDLSTEATTQDEEEDEEEDLPGAQLPAAGGRGNVPNEKIAIWLKDCRTPLGASLDEQSSSTLKGVLVRNGGSFEDDLSLGAEANHLHESDAQIENCNNILAKERRLQFHQKGRSMNSTGSGKSSGTVSSVSELLELYEEDPEEILYNLGFGRDEPDIASKIPSRFFNSSSFAKGIDIKVFLSAQMQRMEVENPNYALTSRFRQIEVLTTVANAFSSLYSQVSGTPLQRIGSMSSVTSNKETDPPPPLTRSNTANRLMKTLSKLNLCVDKTEKGESSSPSPSAEKGKILNVSVIEESGNKNDQKSQKIMKKKESSSMLATVKEEVSGSSAAVTENADSDRISDEANSNFNQGTENEQSKETQSHESKLGEESGIVESKLDSDFNISSHSELENSSELKSVHISTPEKEPCAPLTIPSIRNIMTQQKDSFEMEEVQSTEGEAPHVPATYQLGLTKSKRDHLLRTASQHSDSSGFAEDSTDCLSLNHLQVQESLQAMGSSADSCDSETTVTSLGEDLATPTAQDQPYFNESEEESLVPLQKGLEKAAAVADKRKSGSQDFPQCNTIENTGTKQSTCSPGDHIIEITEVEEDLFPAETVELLREASAESDVGKSSESEFTQYTTHHILKSLASIEAKCSDMSSENTTGPPSSMDRVNTALQRAQMKVCSLSNQRMGRSLLKSKDLLKQRYLFAKAGYPLRRSQSLPTTLLSPVRVVSSVNVRLSPGKETRCSPPSFTYKYTPEEEQELEKRVMEHDGQSLVKSTIFISPSSVKKEEAPQSEAPRVEECHHGRTPTCSRLAPPPMSQSTCSLHSIHSEWQERPLCEHTRTLSTHSVPNISGATCSAFASPFGCPYSHRHATYPYRVCSVNPPSAIEMQLRRVLHDIRNSLQNLSQYPMMRGPDPAAAPYSTQKSSVLPLYENTFQELQVMRRSLNLFRTQMMDLELAMLRQQTMVYHHMTEEERFEVDQLQGLRNSVRMELQDLELQLEERLLGLEEQLRAVRMPSPFRSSALMGMCGSRSADNLSCPSPLNVMEPVTELMQEQSYLKSELGLGLGEMGFEIPPGESSESVFSQATSESSSVCSGPSHANRRTGVPSTASVGKSKTPLVARKKVFRASVALTPTAPSRTGSVQTPPDLESSEEVDAAEGAPEVVGPKSEVEEGHGKLPSMPAAEEMHKNVEQDELQQVIREIKESIVGEIRREIVSGLLAAVSSSKASNSKQDYH
+>DECOY_sp|P28290|SSFA2_HUMAN Sperm-specific antigen 2 OS=Homo sapiens OX=9606 GN=SSFA2 PE=1 SV=3
+HYDQKSNSAKSSSVAALLGSVIERRIEGVISEKIERIVQQLEDQEVNKHMEEAAPMSPLKGHGEEVESKPGVVEPAGEAADVEESSELDPPTQVSGTRSPATPTLAVSARFVKKRAVLPTKSKGVSATSPVGTRRNAHSPGSCVSSSESTAQSFVSESSEGPPIEFGMEGLGLGLESKLYSQEQMLETVPEMVNLPSPCSLNDASRSGCMGMLASSRFPSPMRVARLQEELGLLREELQLELDQLEMRVSNRLGQLQDVEFREEETMHHYVMTQQRLMALELDMMQTRFLNLSRRMVQLEQFTNEYLPLVSSKQTSYPAAAPDPGRMMPYQSLNQLSNRIDHLVRRLQMEIASPPNVSCVRYPYTAHRHSYPCGFPSAFASCTAGSINPVSHTSLTRTHECLPREQWESHISHLSCTSQSMPPPALRSCTPTRGHHCEEVRPAESQPAEEKKVSSPSIFITSKVLSQGDHEMVRKELEQEEEPTYKYTFSPPSCRTEKGPSLRVNVSSVVRVPSLLTTPLSQSRRLPYGAKAFLYRQKLLDKSKLLSRGMRQNSLSCVKMQARQLATNVRDMSSPPGTTNESSMDSCKAEISALSKLIHHTTYQTFESESSKGVDSEASAERLLEVTEAPFLDEEVETIEIIHDGPSCTSQKTGTNEITNCQPFDQSGSKRKDAVAAAKELGKQLPVLSEEESENFYPQDQATPTALDEGLSTVTTESDCSDASSGMAQLSEQVQLHNLSLCDTSDEAFGSSDSHQSATRLLHDRKSKTLGLQYTAPVHPAEGETSQVEEMEFSDKQQTMINRISPITLPACPEKEPTSIHVSKLESSNELESHSSINFDSDLKSEVIGSEEGLKSEHSQTEKSQENETGQNFNSNAEDSIRDSDANETVAASSGSVEEKVTALMSSSEKKKMIKQSKQDNKNGSEEIVSVNLIKGKEASPSPSSSEGKETKDVCLNLKSLTKMLRNATNSRTLPPPPDTEKNSTVSSMSGIRQLPTGSVQSYLSSFANAVTTLVEIQRFRSTLAYNPNEVEMRQMQASLFVKIDIGKAFSSSNFFRSPIKSAIDPEDRGFGLNYLIEEPDEEYLELLESVSSVTGSSKGSGTSNMSRGKQHFQLRREKALINNCNEIQADSEHLHNAEAGLSLDDEFSGGNRVLVGKLTSSSQEDLSAGLPTRCDKLWIAIKENPVNGRGGAAPLQAGPLDEEEDEEEDQTTAETSLDETESAQWSSRCKAWAKRRRSAVQWELEEEAEASSSLPRDM
+>sp|Q8WWF3|SSMM1_HUMAN Serine-rich single-pass membrane protein 1 OS=Homo sapiens OX=9606 GN=SSMEM1 PE=2 SV=1
+MGDLFSLFWEVDPPPIPVNCAIPNQDYECWKDDSCGTIGSFLLWYFVIVFVLMFFSRASVWMSEDKKDEGSGTSTSVRKASKETSCKRQSKDSAWDPSQTMKKPKQNQLTPVTNSEVALVNAYPEQRRARRQSQFNEVNQNQHDSDTTEYGSEESNSEASSWKESESEHHPSPDSIKRRKMAQRQRNLGSYQMSERHCLHCKALRTNEWLAHHSRQKPSVTPPMKRDSQEESSISDINKKFSKF
+>DECOY_sp|Q8WWF3|SSMM1_HUMAN Serine-rich single-pass membrane protein 1 OS=Homo sapiens OX=9606 GN=SSMEM1 PE=2 SV=1
+FKSFKKNIDSISSEEQSDRKMPPTVSPKQRSHHALWENTRLAKCHLCHRESMQYSGLNRQRQAMKRRKISDPSPHHESESEKWSSAESNSEESGYETTDSDHQNQNVENFQSQRRARRQEPYANVLAVESNTVPTLQNQKPKKMTQSPDWASDKSQRKCSTEKSAKRVSTSTGSGEDKKDESMWVSARSFFMLVFVIVFYWLLFSGITGCSDDKWCEYDQNPIACNVPIPPPDVEWFLSFLDGM
+>sp|P31391|SSR4_HUMAN Somatostatin receptor type 4 OS=Homo sapiens OX=9606 GN=SSTR4 PE=2 SV=2
+MSAPSTLPPGGEEGLGTAWPSAANASSAPAEAEEAVAGPGDARAAGMVAIQCIYALVCLVGLVGNALVIFVILRYAKMKTATNIYLLNLAVADELFMLSVPFVASSAALRHWPFGSVLCRAVLSVDGLNMFTSVFCLTVLSVDRYVAVVHPLRAATYRRPSVAKLINLGVWLASLLVTLPIAIFADTRPARGGQAVACNLQWPHPAWSAVFVVYTFLLGFLLPVLAIGLCYLLIVGKMRAVALRAGWQQRRRSEKKITRLVLMVVVVFVLCWMPFYVVQLLNLFVTSLDATVNHVSLILSYANSCANPILYGFLSDNFRRFFQRVLCLRCCLLEGAGGAEEEPLDYYATALKSKGGAGCMCPPLPCQQEALQPEPGRKRIPLTRTTTF
+>DECOY_sp|P31391|SSR4_HUMAN Somatostatin receptor type 4 OS=Homo sapiens OX=9606 GN=SSTR4 PE=2 SV=2
+FTTTRTLPIRKRGPEPQLAEQQCPLPPCMCGAGGKSKLATAYYDLPEEEAGGAGELLCCRLCLVRQFFRRFNDSLFGYLIPNACSNAYSLILSVHNVTADLSTVFLNLLQVVYFPMWCLVFVVVVMLVLRTIKKESRRRQQWGARLAVARMKGVILLYCLGIALVPLLFGLLFTYVVFVASWAPHPWQLNCAVAQGGRAPRTDAFIAIPLTVLLSALWVGLNILKAVSPRRYTAARLPHVVAVYRDVSLVTLCFVSTFMNLGDVSLVARCLVSGFPWHRLAASSAVFPVSLMFLEDAVALNLLYINTATKMKAYRLIVFIVLANGVLGVLCVLAYICQIAVMGAARADGPGAVAEEAEAPASSANAASPWATGLGEEGGPPLTSPASM
+>sp|Q16385|SSX2_HUMAN Protein SSX2 OS=Homo sapiens OX=9606 GN=SSX2 PE=1 SV=2
+MNGDDAFARRPTVGAQIPEKIQKAFDDIAKYFSKEEWEKMKASEKIFYVYMKRKYEAMTKLGFKATLPPFMCNKRAEDFQGNDLDNDPNRGNQVERPQMTFGRLQGISPKIMPKKPAEEGNDSEEVPEASGPQNDGKELCPPGKPTTSEKIHERSGPKRGEHAWTHRLRERKQLVIYEEISDPEEDDE
+>DECOY_sp|Q16385|SSX2_HUMAN Protein SSX2 OS=Homo sapiens OX=9606 GN=SSX2 PE=1 SV=2
+EDDEEPDSIEEYIVLQKRERLRHTWAHEGRKPGSREHIKESTTPKGPPCLEKGDNQPGSAEPVEESDNGEEAPKKPMIKPSIGQLRGFTMQPREVQNGRNPDNDLDNGQFDEARKNCMFPPLTAKFGLKTMAEYKRKMYVYFIKESAKMKEWEEKSFYKAIDDFAKQIKEPIQAGVTPRRAFADDGNM
+>sp|Q8IZP2|ST134_HUMAN Putative protein FAM10A4 OS=Homo sapiens OX=9606 GN=ST13P4 PE=5 SV=1
+MDPRKVNELRAFVKMCKKDPSILHTQEMRFLREWVESMGGTATQKAKSEENTKEEKPDSKVEEDLKADEPSSEESDLEIDKEGVIEPDTDAPQEMGDENAEITEEVMDQANDKKVAAIEALNDGELQKAIDLFTDAIKLNPRLAILYAKRASVFVKLQKPNAAIRDCDRAIEINPDSAQPYKRRGKAHRLLGHWEEAAHDLALACKFDYDEDASAMLKEVQPRAQKIAEHQRKYERKREE
+>DECOY_sp|Q8IZP2|ST134_HUMAN Putative protein FAM10A4 OS=Homo sapiens OX=9606 GN=ST13P4 PE=5 SV=1
+EERKREYKRQHEAIKQARPQVEKLMASADEDYDFKCALALDHAAEEWHGLLRHAKGRRKYPQASDPNIEIARDCDRIAANPKQLKVFVSARKAYLIALRPNLKIADTFLDIAKQLEGDNLAEIAAVKKDNAQDMVEETIEANEDGMEQPADTDPEIVGEKDIELDSEESSPEDAKLDEEVKSDPKEEKTNEESKAKQTATGGMSEVWERLFRMEQTHLISPDKKCMKVFARLENVKRPDM
+>sp|Q9Y5Y6|ST14_HUMAN Suppressor of tumorigenicity 14 protein OS=Homo sapiens OX=9606 GN=ST14 PE=1 SV=2
+MGSDRARKGGGGPKDFGAGLKYNSRHEKVNGLEEGVEFLPVNNVKKVEKHGPGRWVVLAAVLIGLLLVLLGIGFLVWHLQYRDVRVQKVFNGYMRITNENFVDAYENSNSTEFVSLASKVKDALKLLYSGVPFLGPYHKESAVTAFSEGSVIAYYWSEFSIPQHLVEEAERVMAEERVVMLPPRARSLKSFVVTSVVAFPTDSKTVQRTQDNSCSFGLHARGVELMRFTTPGFPDSPYPAHARCQWALRGDADSVLSLTFRSFDLASCDERGSDLVTVYNTLSPMEPHALVQLCGTYPPSYNLTFHSSQNVLLITLITNTERRHPGFEATFFQLPRMSSCGGRLRKAQGTFNSPYYPGHYPPNIDCTWNIEVPNNQHVKVRFKFFYLLEPGVPAGTCPKDYVEINGEKYCGERSQFVVTSNSNKITVRFHSDQSYTDTGFLAEYLSYDSSDPCPGQFTCRTGRCIRKELRCDGWADCTDHSDELNCSCDAGHQFTCKNKFCKPLFWVCDSVNDCGDNSDEQGCSCPAQTFRCSNGKCLSKSQQCNGKDDCGDGSDEASCPKVNVVTCTKHTYRCLNGLCLSKGNPECDGKEDCSDGSDEKDCDCGLRSFTRQARVVGGTDADEGEWPWQVSLHALGQGHICGASLISPNWLVSAAHCYIDDRGFRYSDPTQWTAFLGLHDQSQRSAPGVQERRLKRIISHPFFNDFTFDYDIALLELEKPAEYSSMVRPICLPDASHVFPAGKAIWVTGWGHTQYGGTGALILQKGEIRVINQTTCENLLPQQITPRMMCVGFLSGGVDSCQGDSGGPLSSVEADGRIFQAGVVSWGDGCAQRNKPGVYTRLPLFRDWIKENTGV
+>DECOY_sp|Q9Y5Y6|ST14_HUMAN Suppressor of tumorigenicity 14 protein OS=Homo sapiens OX=9606 GN=ST14 PE=1 SV=2
+VGTNEKIWDRFLPLRTYVGPKNRQACGDGWSVVGAQFIRGDAEVSSLPGGSDGQCSDVGGSLFGVCMMRPTIQQPLLNECTTQNIVRIEGKQLILAGTGGYQTHGWGTVWIAKGAPFVHSADPLCIPRVMSSYEAPKELELLAIDYDFTFDNFFPHSIIRKLRREQVGPASRQSQDHLGLFATWQTPDSYRFGRDDIYCHAASVLWNPSILSAGCIHGQGLAHLSVQWPWEGEDADTGGVVRAQRTFSRLGCDCDKEDSGDSCDEKGDCEPNGKSLCLGNLCRYTHKTCTVVNVKPCSAEDSGDGCDDKGNCQQSKSLCKGNSCRFTQAPCSCGQEDSNDGCDNVSDCVWFLPKCFKNKCTFQHGADCSCNLEDSHDTCDAWGDCRLEKRICRGTRCTFQGPCPDSSDYSLYEALFGTDTYSQDSHFRVTIKNSNSTVVFQSREGCYKEGNIEVYDKPCTGAPVGPELLYFFKFRVKVHQNNPVEINWTCDINPPYHGPYYPSNFTGQAKRLRGGCSSMRPLQFFTAEFGPHRRETNTILTILLVNQSSHFTLNYSPPYTGCLQVLAHPEMPSLTNYVTVLDSGREDCSALDFSRFTLSLVSDADGRLAWQCRAHAPYPSDPFGPTTFRMLEVGRAHLGFSCSNDQTRQVTKSDTPFAVVSTVVFSKLSRARPPLMVVREEAMVREAEEVLHQPISFESWYYAIVSGESFATVASEKHYPGLFPVGSYLLKLADKVKSALSVFETSNSNEYADVFNENTIRMYGNFVKQVRVDRYQLHWVLFGIGLLVLLLGILVAALVVWRGPGHKEVKKVNNVPLFEVGEELGNVKEHRSNYKLGAGFDKPGGGGKRARDSGM
+>sp|O94768|ST17B_HUMAN Serine/threonine-protein kinase 17B OS=Homo sapiens OX=9606 GN=STK17B PE=1 SV=1
+MSRRRFDCRSISGLLTTTPQIPIKMENFNNFYILTSKELGRGKFAVVRQCISKSTGQEYAAKFLKKRRRGQDCRAEILHEIAVLELAKSCPRVINLHEVYENTSEIILILEYAAGGEIFSLCLPELAEMVSENDVIRLIKQILEGVYYLHQNNIVHLDLKPQNILLSSIYPLGDIKIVDFGMSRKIGHACELREIMGTPEYLAPEILNYDPITTATDMWNIGIIAYMLLTHTSPFVGEDNQETYLNISQVNVDYSEETFSSVSQLATDFIQSLLVKNPEKRPTAEICLSHSWLQQWDFENLFHPEETSSSSQTQDHSVRSSEDKTSKSSCNGTCGDREDKENIPEDSSMVSKRFRFDDSLPNPHELVSDLLC
+>DECOY_sp|O94768|ST17B_HUMAN Serine/threonine-protein kinase 17B OS=Homo sapiens OX=9606 GN=STK17B PE=1 SV=1
+CLLDSVLEHPNPLSDDFRFRKSVMSSDEPINEKDERDGCTGNCSSKSTKDESSRVSHDQTQSSSSTEEPHFLNEFDWQQLWSHSLCIEATPRKEPNKVLLSQIFDTALQSVSSFTEESYDVNVQSINLYTEQNDEGVFPSTHTLLMYAIIGINWMDTATTIPDYNLIEPALYEPTGMIERLECAHGIKRSMGFDVIKIDGLPYISSLLINQPKLDLHVINNQHLYYVGELIQKILRIVDNESVMEALEPLCLSFIEGGAAYELILIIESTNEYVEHLNIVRPCSKALELVAIEHLIEARCDQGRRRKKLFKAAYEQGTSKSICQRVVAFKGRGLEKSTLIYFNNFNEMKIPIQPTTTLLGSISRCDFRRRSM
+>sp|O60284|ST18_HUMAN Suppression of tumorigenicity 18 protein OS=Homo sapiens OX=9606 GN=ST18 PE=1 SV=1
+MDAEAEDKTLRTRSKGTEVPMDSLIQELSVAYDCSMAKKRTAEDQALGVPVNKRKSLLMKPRHYSPKADCQEDRSDRTEDDGPLETHGHSTAEEIMIKPMDESLLSTAQENSSRKEDRYSCYQELMVKSLMHLGKFEKNVSVQTVSENLNDSGIQSLKAESDEADECFLIHSDDGRDKIDDSQPPFCSSDDNESNSESAENGWDSGSNFSEETKPPRVPKYVLTDHKKDLLEVPEIKTEGDKFIPCENRCDSETERKDPQNALAEPLDGNAQPSFPDVEEEDSESLAVMTEEGSDLEKAKGNLSLLEQAIALQAERGCVFHNTYKELDRFLLEHLAGERRQTKVIDMGGRQIFNNKHSPRPEKRETKCPIPGCDGTGHVTGLYPHHRSLSGCPHKVRVPLEILAMHENVLKCPTPGCTGRGHVNSNRNTHRSLSGCPIAAAEKLAMSQDKNQLDSPQTGQCPDQAHRTSLVKQIEFNFPSQAITSPRATVSKEQEKFGKVPFDYASFDAQVFGKRPLIQTVQGRKTPPFPESKHFPNPVKFPNRLPSAGAHTQSPGRASSYSYGQCSEDTHIAAAAAILNLSTRCREATDILSNKPQSLHAKGAEIEVDENGTLDLSMKKNRILDKSAPLTSSNTSIPTPSSSPFKTSSILVNAAFYQALCDQEGWDTPINYSKTHGKTEEEKEKDPVSSLENLEEKKFPGEASIPSPKPKLHARDLKKELITCPTPGCDGSGHVTGNYASHRSVSGCPLADKTLKSLMAANSQELKCPTPGCDGSGHVTGNYASHRSLSGCPRARKGGVKMTPTKEEKEDPELKCPVIGCDGQGHISGKYTSHRTASGCPLAAKRQKENPLNGASLSWKLNKQELPHCPLPGCNGLGHVNNVFVTHRSLSGCPLNAQVIKKGKVSEELMTIKLKATGGIESDEEIRHLDEEIKELNESNLKIEADMMKLQTQITSMESNLKTIEEENKLIEQNNESLLKELAGLSQALISSLADIQLPQMGPISEQNFEAYVNTLTDMYSNLERDYSPECKALLESIKQAVKGIHV
+>DECOY_sp|O60284|ST18_HUMAN Suppression of tumorigenicity 18 protein OS=Homo sapiens OX=9606 GN=ST18 PE=1 SV=1
+VHIGKVAQKISELLAKCEPSYDRELNSYMDTLTNVYAEFNQESIPGMQPLQIDALSSILAQSLGALEKLLSENNQEILKNEEEITKLNSEMSTIQTQLKMMDAEIKLNSENLEKIEEDLHRIEEDSEIGGTAKLKITMLEESVKGKKIVQANLPCGSLSRHTVFVNNVHGLGNCGPLPCHPLEQKNLKWSLSAGNLPNEKQRKAALPCGSATRHSTYKGSIHGQGDCGIVPCKLEPDEKEEKTPTMKVGGKRARPCGSLSRHSAYNGTVHGSGDCGPTPCKLEQSNAAMLSKLTKDALPCGSVSRHSAYNGTVHGSGDCGPTPCTILEKKLDRAHLKPKPSPISAEGPFKKEELNELSSVPDKEKEEETKGHTKSYNIPTDWGEQDCLAQYFAANVLISSTKFPSSSPTPISTNSSTLPASKDLIRNKKMSLDLTGNEDVEIEAGKAHLSQPKNSLIDTAERCRTSLNLIAAAAAIHTDESCQGYSYSSARGPSQTHAGASPLRNPFKVPNPFHKSEPFPPTKRGQVTQILPRKGFVQADFSAYDFPVKGFKEQEKSVTARPSTIAQSPFNFEIQKVLSTRHAQDPCQGTQPSDLQNKDQSMALKEAAAIPCGSLSRHTNRNSNVHGRGTCGPTPCKLVNEHMALIELPVRVKHPCGSLSRHHPYLGTVHGTGDCGPIPCKTERKEPRPSHKNNFIQRGGMDIVKTQRREGALHELLFRDLEKYTNHFVCGREAQLAIAQELLSLNGKAKELDSGEETMVALSESDEEEVDPFSPQANGDLPEALANQPDKRETESDCRNECPIFKDGETKIEPVELLDKKHDTLVYKPVRPPKTEESFNSGSDWGNEASESNSENDDSSCFPPQSDDIKDRGDDSHILFCEDAEDSEAKLSQIGSDNLNESVTQVSVNKEFKGLHMLSKVMLEQYCSYRDEKRSSNEQATSLLSEDMPKIMIEEATSHGHTELPGDDETRDSRDEQCDAKPSYHRPKMLLSKRKNVPVGLAQDEATRKKAMSCDYAVSLEQILSDMPVETGKSRTRLTKDEAEADM
+>sp|P49888|ST1E1_HUMAN Estrogen sulfotransferase OS=Homo sapiens OX=9606 GN=SULT1E1 PE=1 SV=1
+MNSELDYYEKFEEVHGILMYKDFVKYWDNVEAFQARPDDLVIATYPKSGTTWVSEIVYMIYKEGDVEKCKEDVIFNRIPFLECRKENLMNGVKQLDEMNSPRIVKTHLPPELLPASFWEKDCKIIYLCRNAKDVAVSFYYFFLMVAGHPNPGSFPEFVEKFMQGQVPYGSWYKHVKSWWEKGKSPRVLFLFYEDLKEDIRKEVIKLIHFLERKPSEELVDRIIHHTSFQEMKNNPSTNYTTLPDEIMNQKLSPFMRKGITGDWKNHFTVALNEKFDKHYEQQMKESTLKFRTEI
+>DECOY_sp|P49888|ST1E1_HUMAN Estrogen sulfotransferase OS=Homo sapiens OX=9606 GN=SULT1E1 PE=1 SV=1
+IETRFKLTSEKMQQEYHKDFKENLAVTFHNKWDGTIGKRMFPSLKQNMIEDPLTTYNTSPNNKMEQFSTHHIIRDVLEESPKRELFHILKIVEKRIDEKLDEYFLFLVRPSKGKEWWSKVHKYWSGYPVQGQMFKEVFEPFSGPNPHGAVMLFFYYFSVAVDKANRCLYIIKCDKEWFSAPLLEPPLHTKVIRPSNMEDLQKVGNMLNEKRCELFPIRNFIVDEKCKEVDGEKYIMYVIESVWTTGSKPYTAIVLDDPRAQFAEVNDWYKVFDKYMLIGHVEEFKEYYDLESNM
+>sp|Q06520|ST2A1_HUMAN Bile salt sulfotransferase OS=Homo sapiens OX=9606 GN=SULT2A1 PE=1 SV=3
+MSDDFLWFEGIAFPTMGFRSETLRKVRDEFVIRDEDVIILTYPKSGTNWLAEILCLMHSKGDAKWIQSVPIWERSPWVESEIGYTALSETESPRLFSSHLPIQLFPKSFFSSKAKVIYLMRNPRDVLVSGYFFWKNMKFIKKPKSWEEYFEWFCQGTVLYGSWFDHIHGWMPMREEKNFLLLSYEELKQDTGRTIEKICQFLGKTLEPEELNLILKNSSFQSMKENKMSNYSLLSVDYVVDKAQLLRKGVSGDWKNHFTVAQAEDFDKLFQEKMADLPRELFPWE
+>DECOY_sp|Q06520|ST2A1_HUMAN Bile salt sulfotransferase OS=Homo sapiens OX=9606 GN=SULT2A1 PE=1 SV=3
+EWPFLERPLDAMKEQFLKDFDEAQAVTFHNKWDGSVGKRLLQAKDVVYDVSLLSYNSMKNEKMSQFSSNKLILNLEEPELTKGLFQCIKEITRGTDQKLEEYSLLLFNKEERMPMWGHIHDFWSGYLVTGQCFWEFYEEWSKPKKIFKMNKWFFYGSVLVDRPNRMLYIVKAKSSFFSKPFLQIPLHSSFLRPSETESLATYGIESEVWPSREWIPVSQIWKADGKSHMLCLIEALWNTGSKPYTLIIVDEDRIVFEDRVKRLTESRFGMTPFAIGEFWLFDDSM
+>sp|Q9Y2H1|ST38L_HUMAN Serine/threonine-protein kinase 38-like OS=Homo sapiens OX=9606 GN=STK38L PE=1 SV=3
+MAMTAGTTTTFPMSNHTRERVTVAKLTLENFYSNLILQHEERETRQKKLEVAMEEEGLADEEKKLRRSQHARKETEFLRLKRTRLGLDDFESLKVIGRGAFGEVRLVQKKDTGHIYAMKILRKSDMLEKEQVAHIRAERDILVEADGAWVVKMFYSFQDKRNLYLIMEFLPGGDMMTLLMKKDTLTEEETQFYISETVLAIDAIHQLGFIHRDIKPDNLLLDAKGHVKLSDFGLCTGLKKAHRTEFYRNLTHNPPSDFSFQNMNSKRKAETWKKNRRQLAYSTVGTPDYIAPEVFMQTGYNKLCDWWSLGVIMYEMLIGYPPFCSETPQETYRKVMNWKETLVFPPEVPISEKAKDLILRFCIDSENRIGNSGVEEIKGHPFFEGVDWEHIRERPAAIPIEIKSIDDTSNFDDFPESDILQPVPNTTEPDYKSKDWVFLNYTYKRFEGLTQRGSIPTYMKAGKL
+>DECOY_sp|Q9Y2H1|ST38L_HUMAN Serine/threonine-protein kinase 38-like OS=Homo sapiens OX=9606 GN=STK38L PE=1 SV=3
+LKGAKMYTPISGRQTLGEFRKYTYNLFVWDKSKYDPETTNPVPQLIDSEPFDDFNSTDDISKIEIPIAAPRERIHEWDVGEFFPHGKIEEVGSNGIRNESDICFRLILDKAKESIPVEPPFVLTEKWNMVKRYTEQPTESCFPPYGILMEYMIVGLSWWDCLKNYGTQMFVEPAIYDPTGVTSYALQRRNKKWTEAKRKSNMNQFSFDSPPNHTLNRYFETRHAKKLGTCLGFDSLKVHGKADLLLNDPKIDRHIFGLQHIADIALVTESIYFQTEEETLTDKKMLLTMMDGGPLFEMILYLNRKDQFSYFMKVVWAGDAEVLIDREARIHAVQEKELMDSKRLIKMAYIHGTDKKQVLRVEGFAGRGIVKLSEFDDLGLRTRKLRLFETEKRAHQSRRLKKEEDALGEEEMAVELKKQRTEREEHQLILNSYFNELTLKAVTVRERTHNSMPFTTTTGATMAM
+>sp|O94864|ST65G_HUMAN STAGA complex 65 subunit gamma OS=Homo sapiens OX=9606 GN=SUPT7L PE=1 SV=1
+MNLQRYWGEIPISSSQTNRSSFDLLPREFRLVEVHDPPLHQPSANKPKPPTMLDIPSEPCSLTIHTIQLIQHNRRLRNLIATAQAQNQQQTEGVKTEESEPLPSCPGSPPLPDDLLPLDCKNPNAPFQIRHSDPESDFYRGKGEPVTELSWHSCRQLLYQAVATILAHAGFDCANESVLETLTDVAHEYCLKFTKLLRFAVDREARLGQTPFPDVMEQVFHEVGIGSVLSLQKFWQHRIKDYHSYMLQISKQLSEEYERIVNPEKATEDAKPVKIKEEPVSDITFPVSEELEADLASGDQSLPMGVLGAQSERFPSNLEVEASPQASSAEVNASPLWNLAHVKMEPQESEEGNVSGHGVLGSDVFEEPMSGMSEAGIPQSPDDSDSSYGSHSTDSLMGSSPVFNQRCKKRMRKI
+>DECOY_sp|O94864|ST65G_HUMAN STAGA complex 65 subunit gamma OS=Homo sapiens OX=9606 GN=SUPT7L PE=1 SV=1
+IKRMRKKCRQNFVPSSGMLSDTSHSGYSSDSDDPSQPIGAESMGSMPEEFVDSGLVGHGSVNGEESEQPEMKVHALNWLPSANVEASSAQPSAEVELNSPFRESQAGLVGMPLSQDGSALDAELEESVPFTIDSVPEEKIKVPKADETAKEPNVIREYEESLQKSIQLMYSHYDKIRHQWFKQLSLVSGIGVEHFVQEMVDPFPTQGLRAERDVAFRLLKTFKLCYEHAVDTLTELVSENACDFGAHALITAVAQYLLQRCSHWSLETVPEGKGRYFDSEPDSHRIQFPANPNKCDLPLLDDPLPPSGPCSPLPESEETKVGETQQQNQAQATAILNRLRRNHQILQITHITLSCPESPIDLMTPPKPKNASPQHLPPDHVEVLRFERPLLDFSSRNTQSSSIPIEGWYRQLNM
+>sp|Q658P3|STEA3_HUMAN Metalloreductase STEAP3 OS=Homo sapiens OX=9606 GN=STEAP3 PE=1 SV=2
+MPEEMDKPLISLHLVDSDSSLAKVPDEAPKVGILGSGDFARSLATRLVGSGFKVVVGSRNPKRTARLFPSAAQVTFQEEAVSSPEVIFVAVFREHYSSLCSLSDQLAGKILVDVSNPTEQEHLQHRESNAEYLASLFPTCTVVKAFNVISAWTLQAGPRDGNRQVPICGDQPEAKRAVSEMALAMGFMPVDMGSLASAWEVEAMPLRLLPAWKVPTLLALGLFVCFYAYNFVRDVLQPYVQESQNKFFKLPVSVVNTTLPCVAYVLLSLVYLPGVLAAALQLRRGTKYQRFPDWLDHWLQHRKQIGLLSFFCAALHALYSFCLPLRRAHRYDLVNLAVKQVLANKSHLWVEEEVWRMEIYLSLGVLALGTLSLLAVTSLPSIANSLNWREFSFVQSSLGFVALVLSTLHTLTYGWTRAFEESRYKFYLPPTFTLTLLVPCVVILAKALFLLPCISRRLARIRRGWERESTIKFTLPTDHALAEKTSHV
+>DECOY_sp|Q658P3|STEA3_HUMAN Metalloreductase STEAP3 OS=Homo sapiens OX=9606 GN=STEAP3 PE=1 SV=2
+VHSTKEALAHDTPLTFKITSEREWGRRIRALRRSICPLLFLAKALIVVCPVLLTLTFTPPLYFKYRSEEFARTWGYTLTHLTSLVLAVFGLSSQVFSFERWNLSNAISPLSTVALLSLTGLALVGLSLYIEMRWVEEEVWLHSKNALVQKVALNVLDYRHARRLPLCFSYLAHLAACFFSLLGIQKRHQLWHDLWDPFRQYKTGRRLQLAAALVGPLYVLSLLVYAVCPLTTNVVSVPLKFFKNQSEQVYPQLVDRVFNYAYFCVFLGLALLTPVKWAPLLRLPMAEVEWASALSGMDVPMFGMALAMESVARKAEPQDGCIPVQRNGDRPGAQLTWASIVNFAKVVTCTPFLSALYEANSERHQLHEQETPNSVDVLIKGALQDSLSCLSSYHERFVAVFIVEPSSVAEEQFTVQAASPFLRATRKPNRSGVVVKFGSGVLRTALSRAFDGSGLIGVKPAEDPVKALSSDSDVLHLSILPKDMEEPM
+>sp|H3BQB6|STMD1_HUMAN Stathmin domain-containing protein 1 OS=Homo sapiens OX=9606 GN=STMND1 PE=2 SV=1
+MGCGPSQPAEDRRRVRAPKKGWKEEFKADVSVPHTGENCSPRMEAALTKNTVDIAEGLEQVQMGSLPGTISENSPSPSERNRRVNSDLVTNGLINKPQSLESRERQKSSDILEELIVQGIIQSHSKVFRNGESYDVTLTTTEKPLRKPPSRLKKLKIKKQVKDFTMKDIEEKMEAAEERRKTKEEEIRKRLRSDRLLPSANHSDSAELDGAEVAFAKGLQRVRSAGFEPSDLQGGKPLKRKKSKCDATLIDRNESDESFGVVESDMSYNQADDIVY
+>DECOY_sp|H3BQB6|STMD1_HUMAN Stathmin domain-containing protein 1 OS=Homo sapiens OX=9606 GN=STMND1 PE=2 SV=1
+YVIDDAQNYSMDSEVVGFSEDSENRDILTADCKSKKRKLPKGGQLDSPEFGASRVRQLGKAFAVEAGDLEASDSHNASPLLRDSRLRKRIEEEKTKRREEAAEMKEEIDKMTFDKVQKKIKLKKLRSPPKRLPKETTTLTVDYSEGNRFVKSHSQIIGQVILEELIDSSKQRERSELSQPKNILGNTVLDSNVRRNRESPSPSNESITGPLSGMQVQELGEAIDVTNKTLAAEMRPSCNEGTHPVSVDAKFEEKWGKKPARVRRRDEAPQSPGCGM
+>sp|Q9UBI4|STML1_HUMAN Stomatin-like protein 1 OS=Homo sapiens OX=9606 GN=STOML1 PE=1 SV=1
+MLGRSGYRALPLGDFDRFQQSSFGFLGSQKGCLSPERGGVGTGADVPQSWPSCLCHGLISFLGFLLLLVTFPISGWFALKIVPTYERMIVFRLGRIRTPQGPGMVLLLPFIDSFQRVDLRTRAFNVPPCKLASKDGAVLSVGADVQFRIWDPVLSVMTVKDLNTATRMTAQNAMTKALLKRPLREIQMEKLKISDQLLLEINDVTRAWGLEVDRVELAVEAVLQPPQDSPAGPNLDSTLQQLALHFLGGSMNSMAGGAPSPGPADTVEMVSEVEPPAPQVGARSSPKQPLAEGLLTALQPFLSEALVSQVGACYQFNVVLPSGTQSAYFLDLTTGRGRVGHGVPDGIPDVVVEMAEADLRALLCRELRPLGAYMSGRLKVKGDLAMAMKLEAVLRALK
+>DECOY_sp|Q9UBI4|STML1_HUMAN Stomatin-like protein 1 OS=Homo sapiens OX=9606 GN=STOML1 PE=1 SV=1
+KLARLVAELKMAMALDGKVKLRGSMYAGLPRLERCLLARLDAEAMEVVVDPIGDPVGHGVRGRGTTLDLFYASQTGSPLVVNFQYCAGVQSVLAESLFPQLATLLGEALPQKPSSRAGVQPAPPEVESVMEVTDAPGPSPAGGAMSNMSGGLFHLALQQLTSDLNPGAPSDQPPQLVAEVALEVRDVELGWARTVDNIELLLQDSIKLKEMQIERLPRKLLAKTMANQATMRTATNLDKVTMVSLVPDWIRFQVDAGVSLVAGDKSALKCPPVNFARTRLDVRQFSDIFPLLLVMGPGQPTRIRGLRFVIMREYTPVIKLAFWGSIPFTVLLLLFGLFSILGHCLCSPWSQPVDAGTGVGGREPSLCGKQSGLFGFSSQQFRDFDGLPLARYGSRGLM
+>sp|Q9UJZ1|STML2_HUMAN Stomatin-like protein 2, mitochondrial OS=Homo sapiens OX=9606 GN=STOML2 PE=1 SV=1
+MLARAARGTGALLLRGSLLASGRAPRRASSGLPRNTVVLFVPQQEAWVVERMGRFHRILEPGLNILIPVLDRIRYVQSLKEIVINVPEQSAVTLDNVTLQIDGVLYLRIMDPYKASYGVEDPEYAVTQLAQTTMRSELGKLSLDKVFRERESLNASIVDAINQAADCWGIRCLRYEIKDIHVPPRVKESMQMQVEAERRKRATVLESEGTRESAINVAEGKKQAQILASEAEKAEQINQAAGEASAVLAKAKAKAEAIRILAAALTQHNGDAAASLTVAEQYVSAFSKLAKDSNTILLPSNPGDVTSMVAQAMGVYGALTKAPVPGTPDSLSSGSSRDVQGTDASLDEELDRVKMS
+>DECOY_sp|Q9UJZ1|STML2_HUMAN Stomatin-like protein 2, mitochondrial OS=Homo sapiens OX=9606 GN=STOML2 PE=1 SV=1
+SMKVRDLEEDLSADTGQVDRSSGSSLSDPTGPVPAKTLAGYVGMAQAVMSTVDGPNSPLLITNSDKALKSFASVYQEAVTLSAAADGNHQTLAAALIRIAEAKAKAKALVASAEGAAQNIQEAKEAESALIQAQKKGEAVNIASERTGESELVTARKRREAEVQMQMSEKVRPPVHIDKIEYRLCRIGWCDAAQNIADVISANLSERERFVKDLSLKGLESRMTTQALQTVAYEPDEVGYSAKYPDMIRLYLVGDIQLTVNDLTVASQEPVNIVIEKLSQVYRIRDLVPILINLGPELIRHFRGMREVVWAEQQPVFLVVTNRPLGSSARRPARGSALLSGRLLLAGTGRAARALM
+>sp|Q93045|STMN2_HUMAN Stathmin-2 OS=Homo sapiens OX=9606 GN=STMN2 PE=1 SV=3
+MAKTAMAYKEKMKELSMLSLICSCFYPEPRNINIYTYDDMEVKQINKRASGQAFELILKPPSPISEAPRTLASPKKKDLSLEEIQKKLEAAEERRKSQEAQVLKQLAEKREHEREVLQKALEENNNFSKMAEEKLILKMEQIKENREANLAAIIERLQEKERHAAEVRRNKELQVELSG
+>DECOY_sp|Q93045|STMN2_HUMAN Stathmin-2 OS=Homo sapiens OX=9606 GN=STMN2 PE=1 SV=3
+GSLEVQLEKNRRVEAAHREKEQLREIIAALNAERNEKIQEMKLILKEEAMKSFNNNEELAKQLVEREHERKEALQKLVQAEQSKRREEAAELKKQIEELSLDKKKPSALTRPAESIPSPPKLILEFAQGSARKNIQKVEMDDYTYININRPEPYFCSCILSLMSLEKMKEKYAMATKAM
+>sp|Q9Y6Q2|STON1_HUMAN Stonin-1 OS=Homo sapiens OX=9606 GN=STON1 PE=1 SV=2
+MCSTNPGKWVTFDDDPAVQSSQKSKNFPLENQGVCRPNGLKLNLPGLREFPSGSSSTSSTPLSSPIVDFYFSPGPPSNSPLSTPTKDFPGFPGIPKAGTHVLYPIPESSSDSPLAISGGESSLLPTRPTCLSHALLPSDHSCTHPTPKVGLPDEVNPQQAESLGFQSDDLPQFQYFREDCAFSSPFWKDEGSDSHFTLDPPGSKKMFSSRNKEMPIDQKSLNKCSLNYICEKLEHLQSAENQDSLRSLSMHCLCAEENASSFVPHTLFRSQPKSGWSFMLRIPEKKNMMSSRQWGPIFLKVLPGGILQMYYEQGLEKPFKEIQLDPYCRLSEPKVENFSVAGKIHTVKIEHVSYTEKRKYHSKTEVVHEPDIEQMLKLGSTSYHDFLDFLTTVEEELMKLPAVSKPKKNYEEQEISLEIVDNFWGKVTKEGKFVESAVITQIYCLCFVNGNLECFLTLNDLELPKRDESYYEKDSEKKGIDILDYHFHKCVNVQEFEQSRIIKFVPLDACRFELMRFKTLYNGDNLPFSLKSVVVVQGAYVELQAFVNMASLAQRSSYAGSLRSCDNIRIHFPVPSQWIKALWTMNLQRQKSLKAKMNRRACLGSLQELESEPVIQVTVGSAKYESAYQAVVWKIDRLPDKNSSLDHPHCLSYKLELGSDQEIPSDWYPFATVQFSVPDTCASRTEVRSLGVESDVQPQKHVQQRACYNIQVEIEKKWIKIDGEDPDKIGDCITQ
+>DECOY_sp|Q9Y6Q2|STON1_HUMAN Stonin-1 OS=Homo sapiens OX=9606 GN=STON1 PE=1 SV=2
+QTICDGIKDPDEGDIKIWKKEIEVQINYCARQQVHKQPQVDSEVGLSRVETRSACTDPVSFQVTAFPYWDSPIEQDSGLELKYSLCHPHDLSSNKDPLRDIKWVVAQYASEYKASGVTVQIVPESELEQLSGLCARRNMKAKLSKQRQLNMTWLAKIWQSPVPFHIRINDCSRLSGAYSSRQALSAMNVFAQLEVYAGQVVVVSKLSFPLNDGNYLTKFRMLEFRCADLPVFKIIRSQEFEQVNVCKHFHYDLIDIGKKESDKEYYSEDRKPLELDNLTLFCELNGNVFCLCYIQTIVASEVFKGEKTVKGWFNDVIELSIEQEEYNKKPKSVAPLKMLEEEVTTLFDLFDHYSTSGLKLMQEIDPEHVVETKSHYKRKETYSVHEIKVTHIKGAVSFNEVKPESLRCYPDLQIEKFPKELGQEYYMQLIGGPLVKLFIPGWQRSSMMNKKEPIRLMFSWGSKPQSRFLTHPVFSSANEEACLCHMSLSRLSDQNEASQLHELKECIYNLSCKNLSKQDIPMEKNRSSFMKKSGPPDLTFHSDSGEDKWFPSSFACDERFYQFQPLDDSQFGLSEAQQPNVEDPLGVKPTPHTCSHDSPLLAHSLCTPRTPLLSSEGGSIALPSDSSSEPIPYLVHTGAKPIGPFGPFDKTPTSLPSNSPPGPSFYFDVIPSSLPTSSTSSSGSPFERLGPLNLKLGNPRCVGQNELPFNKSKQSSQVAPDDDFTVWKGPNTSCM
+>sp|P09430|STP1_HUMAN Spermatid nuclear transition protein 1 OS=Homo sapiens OX=9606 GN=TNP1 PE=1 SV=2
+MSTSRKLKSHGMRRSKSRSPHKGVKRGGSKRKYRKGNLKSRKRGDDANRNYRSHL
+>DECOY_sp|P09430|STP1_HUMAN Spermatid nuclear transition protein 1 OS=Homo sapiens OX=9606 GN=TNP1 PE=1 SV=2
+LHSRYNRNADDGRKRSKLNGKRYKRKSGGRKVGKHPSRSKSRRMGHSKLKRSTSM
+>sp|Q8N7X2|STPG3_HUMAN Protein STPG3 OS=Homo sapiens OX=9606 GN=STPG3 PE=2 SV=2
+MMNSDQKAVKFLANFYINGGKHWTHGHLRQTQPEPTQPKASVLLLGPEPGMAWDETQPPKMKEIPVGLRLQTGTPQESLPTYTQTLRELLLEQRPLITADLEVPSPTRYQVPSPSVRESSPHPHYSIGCKHQGREGGGRRAWQTLWFQSESPFTQKADFDQEQKWPSPAHYQLLSRPAFPAFSFRGCHSASKTPEGHTHLGLPGARGLGLRVQPQSLLQASLQAPGKRCPGPNTYNILPGSRLQSPRSPAFSMSRSPAFTSWLSTSFSFGSPNPWPSRLPRGGLQLTLPFGAWRGHPGCTQTQAPRHRPLLHALEPAGHNLLGPATEWNHGLPRGFPRPPLGLGAPAWPSDPLGTPMHPSGWPTLLWAVDKAGPAWIPHLPISPLH
+>DECOY_sp|Q8N7X2|STPG3_HUMAN Protein STPG3 OS=Homo sapiens OX=9606 GN=STPG3 PE=2 SV=2
+HLPSIPLHPIWAPGAKDVAWLLTPWGSPHMPTGLPDSPWAPAGLGLPPRPFGRPLGHNWETAPGLLNHGAPELAHLLPRHRPAQTQTCGPHGRWAGFPLTLQLGGRPLRSPWPNPSGFSFSTSLWSTFAPSRSMSFAPSRPSQLRSGPLINYTNPGPCRKGPAQLSAQLLSQPQVRLGLGRAGPLGLHTHGEPTKSASHCGRFSFAPFAPRSLLQYHAPSPWKQEQDFDAKQTFPSESQFWLTQWARRGGGERGQHKCGISYHPHPSSERVSPSPVQYRTPSPVELDATILPRQELLLERLTQTYTPLSEQPTGTQLRLGVPIEKMKPPQTEDWAMGPEPGLLLVSAKPQTPEPQTQRLHGHTWHKGGNIYFNALFKVAKQDSNMM
+>sp|P08842|STS_HUMAN Steryl-sulfatase OS=Homo sapiens OX=9606 GN=STS PE=1 SV=2
+MPLRKMKIPFLLLFFLWEAESHAASRPNIILVMADDLGIGDPGCYGNKTIRTPNIDRLASGGVKLTQHLAASPLCTPSRAAFMTGRYPVRSGMASWSRTGVFLFTASSGGLPTDEITFAKLLKDQGYSTALIGKWHLGMSCHSKTDFCHHPLHHGFNYFYGISLTNLRDCKPGEGSVFTTGFKRLVFLPLQIVGVTLLTLAALNCLGLLHVPLGVFFSLLFLAALILTLFLGFLHYFRPLNCFMMRNYEIIQQPMSYDNLTQRLTVEAAQFIQRNTETPFLLVLSYLHVHTALFSSKDFAGKSQHGVYGDAVEEMDWSVGQILNLLDELRLANDTLIYFTSDQGAHVEEVSSKGEIHGGSNGIYKGGKANNWEGGIRVPGILRWPRVIQAGQKIDEPTSNMDIFPTVAKLAGAPLPEDRIIDGRDLMPLLEGKSQRSDHEFLFHYCNAYLNAVRWHPQNSTSIWKAFFFTPNFNPVGSNGCFATHVCFCFGSYVTHHDPPLLFDISKDPRERNPLTPASEPRFYEILKVMQEAADRHTQTLPEVPDQFSWNNFLWKPWLQLCCPSTGLSCQCDREKQDKRLSR
+>DECOY_sp|P08842|STS_HUMAN Steryl-sulfatase OS=Homo sapiens OX=9606 GN=STS PE=1 SV=2
+RSLRKDQKERDCQCSLGTSPCCLQLWPKWLFNNWSFQDPVEPLTQTHRDAAEQMVKLIEYFRPESAPTLPNRERPDKSIDFLLPPDHHTVYSGFCFCVHTAFCGNSGVPNFNPTFFFAKWISTSNQPHWRVANLYANCYHFLFEHDSRQSKGELLPMLDRGDIIRDEPLPAGALKAVTPFIDMNSTPEDIKQGAQIVRPWRLIGPVRIGGEWNNAKGGKYIGNSGGHIEGKSSVEEVHAGQDSTFYILTDNALRLEDLLNLIQGVSWDMEEVADGYVGHQSKGAFDKSSFLATHVHLYSLVLLFPTETNRQIFQAAEVTLRQTLNDYSMPQQIIEYNRMMFCNLPRFYHLFGLFLTLILAALFLLSFFVGLPVHLLGLCNLAALTLLTVGVIQLPLFVLRKFGTTFVSGEGPKCDRLNTLSIGYFYNFGHHLPHHCFDTKSHCSMGLHWKGILATSYGQDKLLKAFTIEDTPLGGSSATFLFVGTRSWSAMGSRVPYRGTMFAARSPTCLPSAALHQTLKVGGSALRDINPTRITKNGYCGPDGIGLDDAMVLIINPRSAAHSEAEWLFFLLLFPIKMKRLPM
+>sp|Q8TCJ2|STT3B_HUMAN Dolichyl-diphosphooligosaccharide--protein glycosyltransferase subunit STT3B OS=Homo sapiens OX=9606 GN=STT3B PE=1 SV=1
+MAEPSAPESKHKSSLNSSPWSGLMALGNSRHGHHGPGAQCAHKAAGGAAPPKPAPAGLSGGLSQPAGWQSLLSFTILFLAWLAGFSSRLFAVIRFESIIHEFDPWFNYRSTHHLASHGFYEFLNWFDERAWYPLGRIVGGTVYPGLMITAGLIHWILNTLNITVHIRDVCVFLAPTFSGLTSISTFLLTRELWNQGAGLLAACFIAIVPGYISRSVAGSFDNEGIAIFALQFTYYLWVKSVKTGSVFWTMCCCLSYFYMVSAWGGYVFIINLIPLHVFVLLLMQRYSKRVYIAYSTFYIVGLILSMQIPFVGFQPIRTSEHMAAAGVFALLQAYAFLQYLRDRLTKQEFQTLFFLGVSLAAGAVFLSVIYLTYTGYIAPWSGRFYSLWDTGYAKIHIPIIASVSEHQPTTWVSFFFDLHILVCTFPAGLWFCIKNINDERVFVALYAISAVYFAGVMVRLMLTLTPVVCMLSAIAFSNVFEHYLGDDMKRENPPVEDSSDEDDKRNQGNLYDKAGKVRKHATEQEKTEEGLGPNIKSIVTMLMLMLLMMFAVHCTWVTSNAYSSPSVVLASYNHDGTRNILDDFREAYFWLRQNTDEHARVMSWWDYGYQIAGMANRTTLVDNNTWNNSHIALVGKAMSSNETAAYKIMRTLDVDYVLVIFGGVIGYSGDDINKFLWMVRIAEGEHPKDIRESDYFTPQGEFRVDKAGSPTLLNCLMYKMSYYRFGEMQLDFRTPPGFDRTRNAEIGNKDIKFKHLEEAFTSEHWLVRIYKVKAPDNRETLDHKPRVTNIFPKQKYLSKKTTKRKRGYIKNKLVFKKGKKISKKTV
+>DECOY_sp|Q8TCJ2|STT3B_HUMAN Dolichyl-diphosphooligosaccharide--protein glycosyltransferase subunit STT3B OS=Homo sapiens OX=9606 GN=STT3B PE=1 SV=1
+VTKKSIKKGKKFVLKNKIYGRKRKTTKKSLYKQKPFINTVRPKHDLTERNDPAKVKYIRVLWHESTFAEELHKFKIDKNGIEANRTRDFGPPTRFDLQMEGFRYYSMKYMLCNLLTPSGAKDVRFEGQPTFYDSERIDKPHEGEAIRVMWLFKNIDDGSYGIVGGFIVLVYDVDLTRMIKYAATENSSMAKGVLAIHSNNWTNNDVLTTRNAMGAIQYGYDWWSMVRAHEDTNQRLWFYAERFDDLINRTGDHNYSALVVSPSSYANSTVWTCHVAFMMLLMLMLMTVISKINPGLGEETKEQETAHKRVKGAKDYLNGQNRKDDEDSSDEVPPNERKMDDGLYHEFVNSFAIASLMCVVPTLTLMLRVMVGAFYVASIAYLAVFVREDNINKICFWLGAPFTCVLIHLDFFFSVWTTPQHESVSAIIPIHIKAYGTDWLSYFRGSWPAIYGTYTLYIVSLFVAGAALSVGLFFLTQFEQKTLRDRLYQLFAYAQLLAFVGAAAMHESTRIPQFGVFPIQMSLILGVIYFTSYAIYVRKSYRQMLLLVFVHLPILNIIFVYGGWASVMYFYSLCCCMTWFVSGTKVSKVWLYYTFQLAFIAIGENDFSGAVSRSIYGPVIAIFCAALLGAGQNWLERTLLFTSISTLGSFTPALFVCVDRIHVTINLTNLIWHILGATIMLGPYVTGGVIRGLPYWAREDFWNLFEYFGHSALHHTSRYNFWPDFEHIISEFRIVAFLRSSFGALWALFLITFSLLSQWGAPQSLGGSLGAPAPKPPAAGGAAKHACQAGPGHHGHRSNGLAMLGSWPSSNLSSKHKSEPASPEAM
+>sp|Q86Y82|STX12_HUMAN Syntaxin-12 OS=Homo sapiens OX=9606 GN=STX12 PE=1 SV=1
+MSYGPLDMYRNPGPSGPQLRDFSSIIQTCSGNIQRISQATAQIKNLMSQLGTKQDSSKLQENLQQLQHSTNQLAKETNELLKELGSLPLPLSTSEQRQQRLQKERLMNDFSAALNNFQAVQRRVSEKEKESIARARAGSRLSAEERQREEQLVSFDSHEEWNQMQSQEDEVAITEQDLELIKERETAIRQLEADILDVNQIFKDLAMMIHDQGDLIDSIEANVESSEVHVERATEQLQRAAYYQKKSRKKMCILVLVLSVIILILGLIIWLVYKTK
+>DECOY_sp|Q86Y82|STX12_HUMAN Syntaxin-12 OS=Homo sapiens OX=9606 GN=STX12 PE=1 SV=1
+KTKYVLWIILGLILIIVSLVLVLICMKKRSKKQYYAARQLQETAREVHVESSEVNAEISDILDGQDHIMMALDKFIQNVDLIDAELQRIATEREKILELDQETIAVEDEQSQMQNWEEHSDFSVLQEERQREEASLRSGARARAISEKEKESVRRQVAQFNNLAASFDNMLREKQLRQQRQESTSLPLPLSGLEKLLENTEKALQNTSHQLQQLNEQLKSSDQKTGLQSMLNKIQATAQSIRQINGSCTQIISSFDRLQPGSPGPNRYMDLPGYSM
+>sp|O14662|STX16_HUMAN Syntaxin-16 OS=Homo sapiens OX=9606 GN=STX16 PE=1 SV=3
+MATRRLTDAFLLLRNNSIQNRQLLAEQVSSHITSSPLHSRSIAAELDELADDRMALVSGISLDPEAAIGVTKRPPPKWVDGVDEIQYDVGRIKQKMKELASLHDKHLNRPTLDDSSEEEHAIEITTQEITQLFHRCQRAVQALPSRARACSEQEGRLLGNVVASLAQALQELSTSFRHAQSGYLKRMKNREERSQHFFDTSVPLMDDGDDNTLYHRGFTEDQLVLVEQNTLMVEEREREIRQIVQSISDLNEIFRDLGAMIVEQGTVLDRIDYNVEQSCIKTEDGLKQLHKAEQYQKKNRKMLVILILFVIIIVLIVVLVGVKSR
+>DECOY_sp|O14662|STX16_HUMAN Syntaxin-16 OS=Homo sapiens OX=9606 GN=STX16 PE=1 SV=3
+RSKVGVLVVILVIIIVFLILIVLMKRNKKQYQEAKHLQKLGDETKICSQEVNYDIRDLVTGQEVIMAGLDRFIENLDSISQVIQRIEREREEVMLTNQEVLVLQDETFGRHYLTNDDGDDMLPVSTDFFHQSREERNKMRKLYGSQAHRFSTSLEQLAQALSAVVNGLLRGEQESCARARSPLAQVARQCRHFLQTIEQTTIEIAHEEESSDDLTPRNLHKDHLSALEKMKQKIRGVDYQIEDVGDVWKPPPRKTVGIAAEPDLSIGSVLAMRDDALEDLEAAISRSHLPSSTIHSSVQEALLQRNQISNNRLLLFADTLRRTAM
+>sp|Q8NDV3|SMC1B_HUMAN Structural maintenance of chromosomes protein 1B OS=Homo sapiens OX=9606 GN=SMC1B PE=2 SV=2
+MAHLELLLVENFKSWRGRQVIGPFRRFTCIIGPNGSGKSNVMDALSFVMGEKIANLRVKNIQELIHGAHIGKPISSSASVKIIYVEESGEEKTFARIIRGGCSEFRFNDNLVSRSVYIAELEKIGIIVKAQNCLVFQGTVESISVKKPKERTQFFEEISTSGELIGEYEEKKRKLQKAEEDAQFNFNKKKNIAAERRQAKLEKEEAERYQSLLEELKMNKIQLQLFQLYHNEKKIHLLNTKLEHVNRDLSVKRESLSHHENIVKARKKEHGMLTRQLQQTEKELKSVETLLNQKRPQYIKAKENTSHHLKKLDVAKKSIKDSEKQCSKQEDDIKALETELADLDAAWRSFEKQIEEEILHKKRDIELEASQLDRYKELKEQVRKKVATMTQQLEKLQWEQKTDEERLAFEKRRHGEVQGNLKQIKEQIEDHKKRIEKLEEYTKTCMDCLKEKKQQEETLVDEIEKTKSRMSEFNEELNLIRSELQNAGIDTHEGKRQQKRAEVLEHLKRLYPDSVFGRLFDLCHPIHKKYQLAVTKVFGRFITAIVVASEKVAKDCIRFLKEERAEPETFLALDYLDIKPINERLRELKGCKMVIDVIKTQFPQLKKVIQFVCGNGLVCETMEEARHIALSGPERQKTVALDGTLFLKSGVISGGSSDLKYKARCWDEKELKNLRDRRSQKIQELKGLMKTLRKETDLKQIQTLIQGTQTRLKYSQNELEMIKKKHLVAFYQEQSQLQSELLNIESQCIMLSEGIKERQRRIKEFQEKIDKVEDDIFQHFCEEIGVENIREFENKHVKRQQEIDQKRYFYKKMLTRLNVQLEYSRSHLKKKLNKINTLKETIQKGSEDIDHLKKAEENCLQTVNELMAKQQQLKDIRVTQNSSAEKVQTQIEEERKKFLAVDREVGKLQKEVVSIQTSLEQKRLEKHNLLLDCKVQDIEIILLSGSLDDIIEVEMGTEAESTQATIDIYEKEEAFEIDYSSLKEDLKALQSDQEIEAHLRLLLQQVASQEDILLKTAAPNLRALENLKTVRDKFQESTDAFEASRKEARLCRQEFEQVKKRRYDLFTQCFEHVSISIDQIYKKLCRNNSAQAFLSPENPEEPYLEGISYNCVAPGKRFMPMDNLSGGEKCVAALALLFAVHSFRPAPFFVLDEVDAALDNTNIGKVSSYIKEQTQDQFQMIVISLKEEFYSRADALIGIYPEYDDCMFSRVLTLDLSQYPDTEGQESSKRHGESR
+>DECOY_sp|Q8NDV3|SMC1B_HUMAN Structural maintenance of chromosomes protein 1B OS=Homo sapiens OX=9606 GN=SMC1B PE=2 SV=2
+RSEGHRKSSEQGETDPYQSLDLTLVRSFMCDDYEPYIGILADARSYFEEKLSIVIMQFQDQTQEKIYSSVKGINTNDLAADVEDLVFFPAPRFSHVAFLLALAAVCKEGGSLNDMPMFRKGPAVCNYSIGELYPEEPNEPSLFAQASNNRCLKKYIQDISISVHEFCQTFLDYRRKKVQEFEQRCLRAEKRSAEFADTSEQFKDRVTKLNELARLNPAATKLLIDEQSAVQQLLLRLHAEIEQDSQLAKLDEKLSSYDIEFAEEKEYIDITAQTSEAETGMEVEIIDDLSGSLLIIEIDQVKCDLLLNHKELRKQELSTQISVVEKQLKGVERDVALFKKREEEIQTQVKEASSNQTVRIDKLQQQKAMLENVTQLCNEEAKKLHDIDESGKQITEKLTNIKNLKKKLHSRSYELQVNLRTLMKKYFYRKQDIEQQRKVHKNEFERINEVGIEECFHQFIDDEVKDIKEQFEKIRRQREKIGESLMICQSEINLLESQLQSQEQYFAVLHKKKIMELENQSYKLRTQTGQILTQIQKLDTEKRLTKMLGKLEQIKQSRRDRLNKLEKEDWCRAKYKLDSSGGSIVGSKLFLTGDLAVTKQREPGSLAIHRAEEMTECVLGNGCVFQIVKKLQPFQTKIVDIVMKCGKLERLRENIPKIDLYDLALFTEPEAREEKLFRICDKAVKESAVVIATIFRGFVKTVALQYKKHIPHCLDFLRGFVSDPYLRKLHELVEARKQQRKGEHTDIGANQLESRILNLEENFESMRSKTKEIEDVLTEEQQKKEKLCDMCTKTYEELKEIRKKHDEIQEKIQKLNGQVEGHRRKEFALREEDTKQEWQLKELQQTMTAVKKRVQEKLEKYRDLQSAELEIDRKKHLIEEEIQKEFSRWAADLDALETELAKIDDEQKSCQKESDKISKKAVDLKKLHHSTNEKAKIYQPRKQNLLTEVSKLEKETQQLQRTLMGHEKKRAKVINEHHSLSERKVSLDRNVHELKTNLLHIKKENHYLQFLQLQIKNMKLEELLSQYREAEEKELKAQRREAAINKKKNFNFQADEEAKQLKRKKEEYEGILEGSTSIEEFFQTREKPKKVSISEVTGQFVLCNQAKVIIGIKELEAIYVSRSVLNDNFRFESCGGRIIRAFTKEEGSEEVYIIKVSASSSIPKGIHAGHILEQINKVRLNAIKEGMVFSLADMVNSKGSGNPGIICTFRRFPGIVQRGRWSKFNEVLLLELHAM
+>sp|O60264|SMCA5_HUMAN SWI/SNF-related matrix-associated actin-dependent regulator of chromatin subfamily A member 5 OS=Homo sapiens OX=9606 GN=SMARCA5 PE=1 SV=1
+MSSAAEPPPPPPPESAPSKPAASIASGGSNSSNKGGPEGVAAQAVASAASAGPADAEMEEIFDDASPGKQKEIQEPDPTYEEKMQTDRANRFEYLLKQTELFAHFIQPAAQKTPTSPLKMKPGRPRIKKDEKQNLLSVGDYRHRRTEQEEDEELLTESSKATNVCTRFEDSPSYVKWGKLRDYQVRGLNWLISLYENGINGILADEMGLGKTLQTISLLGYMKHYRNIPGPHMVLVPKSTLHNWMSEFKRWVPTLRSVCLIGDKEQRAAFVRDVLLPGEWDVCVTSYEMLIKEKSVFKKFNWRYLVIDEAHRIKNEKSKLSEIVREFKTTNRLLLTGTPLQNNLHELWSLLNFLLPDVFNSADDFDSWFDTNNCLGDQKLVERLHMVLRPFLLRRIKADVEKSLPPKKEVKIYVGLSKMQREWYTRILMKDIDILNSAGKMDKMRLLNILMQLRKCCNHPYLFDGAEPGPPYTTDMHLVTNSGKMVVLDKLLPKLKEQGSRVLIFSQMTRVLDILEDYCMWRNYEYCRLDGQTPHDERQDSINAYNEPNSTKFVFMLSTRAGGLGINLATADVVILYDSDWNPQVDLQAMDRAHRIGQTKTVRVFRFITDNTVEERIVERAEMKLRLDSIVIQQGRLVDQNLNKIGKDEMLQMIRHGATHVFASKESEITDEDIDGILERGAKKTAEMNEKLSKMGESSLRNFTMDTESSVYNFEGEDYREKQKIAFTEWIEPPKRERKANYAVDAYFREALRVSEPKAPKAPRPPKQPNVQDFQFFPPRLFELLEKEILFYRKTIGYKVPRNPELPNAAQAQKEEQLKIDEAESLNDEELEEKEKLLTQGFTNWNKRDFNQFIKANEKWGRDDIENIAREVEGKTPEEVIEYSAVFWERCNELQDIEKIMAQIERGEARIQRRISIKKALDTKIGRYKAPFHQLRISYGTNKGKNYTEEEDRFLICMLHKLGFDKENVYDELRQCIRNSPQFRFDWFLKSRTAMELQRRCNTLITLIERENMELEEKEKAEKKKRGPKPSTQKRKMDGAPDGRGRKKKLKL
+>DECOY_sp|O60264|SMCA5_HUMAN SWI/SNF-related matrix-associated actin-dependent regulator of chromatin subfamily A member 5 OS=Homo sapiens OX=9606 GN=SMARCA5 PE=1 SV=1
+LKLKKKRGRGDPAGDMKRKQTSPKPGRKKKEAKEKEELEMNEREILTILTNCRRQLEMATRSKLFWDFRFQPSNRICQRLEDYVNEKDFGLKHLMCILFRDEEETYNKGKNTGYSIRLQHFPAKYRGIKTDLAKKISIRRQIRAEGREIQAMIKEIDQLENCREWFVASYEIVEEPTKGEVERAINEIDDRGWKENAKIFQNFDRKNWNTFGQTLLKEKEELEEDNLSEAEDIKLQEEKQAQAANPLEPNRPVKYGITKRYFLIEKELLEFLRPPFFQFDQVNPQKPPRPAKPAKPESVRLAERFYADVAYNAKRERKPPEIWETFAIKQKERYDEGEFNYVSSETDMTFNRLSSEGMKSLKENMEATKKAGRELIGDIDEDTIESEKSAFVHTAGHRIMQLMEDKGIKNLNQDVLRGQQIVISDLRLKMEAREVIREEVTNDTIFRFVRVTKTQGIRHARDMAQLDVQPNWDSDYLIVVDATALNIGLGGARTSLMFVFKTSNPENYANISDQREDHPTQGDLRCYEYNRWMCYDELIDLVRTMQSFILVRSGQEKLKPLLKDLVVMKGSNTVLHMDTTYPPGPEAGDFLYPHNCCKRLQMLINLLRMKDMKGASNLIDIDKMLIRTYWERQMKSLGVYIKVEKKPPLSKEVDAKIRRLLFPRLVMHLREVLKQDGLCNNTDFWSDFDDASNFVDPLLFNLLSWLEHLNNQLPTGTLLLRNTTKFERVIESLKSKENKIRHAEDIVLYRWNFKKFVSKEKILMEYSTVCVDWEGPLLVDRVFAARQEKDGILCVSRLTPVWRKFESMWNHLTSKPVLVMHPGPINRYHKMYGLLSITQLTKGLGMEDALIGNIGNEYLSILWNLGRVQYDRLKGWKVYSPSDEFRTCVNTAKSSETLLEEDEEQETRRHRYDGVSLLNQKEDKKIRPRGPKMKLPSTPTKQAAPQIFHAFLETQKLLYEFRNARDTQMKEEYTPDPEQIEKQKGPSADDFIEEMEADAPGASAASAVAQAAVGEPGGKNSSNSGGSAISAAPKSPASEPPPPPPPEAASSM
+>sp|Q969G3|SMCE1_HUMAN SWI/SNF-related matrix-associated actin-dependent regulator of chromatin subfamily E member 1 OS=Homo sapiens OX=9606 GN=SMARCE1 PE=1 SV=2
+MSKRPSYAPPPTPAPATQMPSTPGFVGYNPYSHLAYNNYRLGGNPGTNSRVTASSGITIPKPPKPPDKPLMPYMRYSRKVWDQVKASNPDLKLWEIGKIIGGMWRDLTDEEKQEYLNEYEAEKIEYNESMKAYHNSPAYLAYINAKSRAEAALEEESRQRQSRMEKGEPYMSIQPAEDPDDYDDGFSMKHTATARFQRNHRLISEILSESVVPDVRSVVTTARMQVLKRQVQSLMVHQRKLEAELLQIEERHQEKKRKFLESTDSFNNELKRLCGLKVEVDMEKIAAEIAQAEEQARKRQEEREKEAAEQAERSQSSIVPEEEQAANKGEEKKDDENIPMETEETHLEETTESQQNGEEGTSTPEDKESGQEGVDSMAEEGTSDSNTGSESNSATVEEPPTDPIPEDEKKE
+>DECOY_sp|Q969G3|SMCE1_HUMAN SWI/SNF-related matrix-associated actin-dependent regulator of chromatin subfamily E member 1 OS=Homo sapiens OX=9606 GN=SMARCE1 PE=1 SV=2
+EKKEDEPIPDTPPEEVTASNSESGTNSDSTGEEAMSDVGEQGSEKDEPTSTGEEGNQQSETTEELHTEETEMPINEDDKKEEGKNAAQEEEPVISSQSREAQEAAEKEREEQRKRAQEEAQAIEAAIKEMDVEVKLGCLRKLENNFSDTSELFKRKKEQHREEIQLLEAELKRQHVMLSQVQRKLVQMRATTVVSRVDPVVSESLIESILRHNRQFRATATHKMSFGDDYDDPDEAPQISMYPEGKEMRSQRQRSEEELAAEARSKANIYALYAPSNHYAKMSENYEIKEAEYENLYEQKEEDTLDRWMGGIIKGIEWLKLDPNSAKVQDWVKRSYRMYPMLPKDPPKPPKPITIGSSATVRSNTGPNGGLRYNNYALHSYPNYGVFGPTSPMQTAPAPTPPPAYSPRKSM
+>sp|P62316|SMD2_HUMAN Small nuclear ribonucleoprotein Sm D2 OS=Homo sapiens OX=9606 GN=SNRPD2 PE=1 SV=1
+MSLLNKPKSEMTPEELQKREEEEFNTGPLSVLTQSVKNNTQVLINCRNNKKLLGRVKAFDRHCNMVLENVKEMWTEVPKSGKGKKKSKPVNKDRYISKMFLRGDSVIVVLRNPLIAGK
+>DECOY_sp|P62316|SMD2_HUMAN Small nuclear ribonucleoprotein Sm D2 OS=Homo sapiens OX=9606 GN=SNRPD2 PE=1 SV=1
+KGAILPNRLVVIVSDGRLFMKSIYRDKNVPKSKKKGKGSKPVETWMEKVNELVMNCHRDFAKVRGLLKKNNRCNILVQTNNKVSQTLVSLPGTNFEEEERKQLEEPTMESKPKNLLSM
+>sp|Q6P435|SMG1L_HUMAN Putative uncharacterized SMG1-like protein OS=Homo sapiens OX=9606 PE=5 SV=1
+MSRRAPGSRLSSGGTNYSRSWNDWQPRTDSASADPGNLKYSSSRDRGGSSSYGLQPSNSAVVSRQRHDDTRVHADIQNDEKGGYSVNGGSGENTYGRKSLGQELRVNNVTSPEFTSVQHGSRALATKDMRKSQERSMSYCDESRLSNLLRRITREDDRD
+>DECOY_sp|Q6P435|SMG1L_HUMAN Putative uncharacterized SMG1-like protein OS=Homo sapiens OX=9606 PE=5 SV=1
+DRDDERTIRRLLNSLRSEDCYSMSREQSKRMDKTALARSGHQVSTFEPSTVNNVRLEQGLSKRGYTNEGSGGNVSYGGKEDNQIDAHVRTDDHRQRSVVASNSPQLGYSSSGGRDRSSSYKLNGPDASASDTRPQWDNWSRSYNTGGSSLRSGPARRSM
+>sp|Q96Q15|SMG1_HUMAN Serine/threonine-protein kinase SMG1 OS=Homo sapiens OX=9606 GN=SMG1 PE=1 SV=3
+MSRRAPGSRLSSGGGGGGTKYPRSWNDWQPRTDSASADPDNLKYSSSRDRGGSSSYGLQPSNSAVVSRQRHDDTRVHADIQNDEKGGYSVNGGSGENTYGRKSLGQELRVNNVTSPEFTSVQHGSRALATKDMRKSQERSMSYSDESRLSNLLRRITREDDRDRRLATVKQLKEFIQQPENKLVLVKQLDNILAAVHDVLNESSKLLQELRQEGACCLGLLCASLSYEAEKIFKWIFSKFSSSAKDEVKLLYLCATYKALETVGEKKAFSSVMQLVMTSLQSILENVDTPELLCKCVKCILLVARCYPHIFSTNFRDTVDILVGWHIDHTQKPSLTQQVSGWLQSLEPFWVADLAFSTTLLGQFLEDMEAYAEDLSHVASGESVDEDVPPPSVSLPKLAALLRVFSTVVRSIGERFSPIRGPPITEAYVTDVLYRVMRCVTAANQVFFSEAVLTAANECVGVLLGSLDPSMTIHCDMVITYGLDQLENCQTCGTDYIISVLNLLTLIVEQINTKLPSSFVEKLFIPSSKLLFLRYHKEKEVVAVAHAVYQAVLSLKNIPVLETAYKLILGEMTCALNNLLHSLQLPEACSEIKHEAFKNHVFNVDNAKFVVIFDLSALTTIGNAKNSLIGMWALSPTVFALLSKNLMIVHSDLAVHFPAIQYAVLYTLYSHCTRHDHFISSSLSSSSPSLFDGAVISTVTTATKKHFSIILNLLGILLKKDNLNQDTRKLLMTWALEAAVLMKKSETYAPLFSLPSFHKFCKGLLANTLVEDVNICLQACSSLHALSSSLPDDLLQRCVDVCRVQLVHSGTRIRQAFGKLLKSIPLDVVLSNNNHTEIQEISLALRSHMSKAPSNTFHPQDFSDVISFILYGNSHRTGKDNWLERLFYSCQRLDKRDQSTIPRNLLKTDAVLWQWAIWEAAQFTVLSKLRTPLGRAQDTFQTIEGIIRSLAAHTLNPDQDVSQWTTADNDEGHGNNQLRLVLLLQYLENLEKLMYNAYEGCANALTSPPKVIRTFFYTNRQTCQDWLTRIRLSIMRVGLLAGQPAVTVRHGFDLLTEMKTTSLSQGNELEVTIMMVVEALCELHCPEAIQGIAVWSSSIVGKNLLWINSVAQQAEGRFEKASVEYQEHLCAMTGVDCCISSFDKSVLTLANAGRNSASPKHSLNGESRKTVLSKPTDSSPEVINYLGNKACECYISIADWAAVQEWQNAIHDLKKSTSSTSLNLKADFNYIKSLSSFESGKFVECTEQLELLPGENINLLAGGSKEKIDMKKLLPNMLSPDPRELQKSIEVQLLRSSVCLATALNPIEQDQKWQSITENVVKYLKQTSRIAIGPLRLSTLTVSQSLPVLSTLQLYCSSALENTVSNRLSTEDCLIPLFSEALRSCKQHDVRPWMQALRYTMYQNQLLEKIKEQTVPIRSHLMELGLTAAKFARKRGNVSLATRLLAQCSEVQLGKTTTAQDLVQHFKKLSTQGQVDEKWGPELDIEKTKLLYTAGQSTHAMEMLSSCAISFCKSVKAEYAVAKSILTLAKWIQAEWKEISGQLKQVYRAQHQQNFTGLSTLSKNILTLIELPSVNTMEEEYPRIESESTVHIGVGEPDFILGQLYHLSSVQAPEVAKSWAALASWAYRWGRKVVDNASQGEGVRLLPREKSEVQNLLPDTITEEEKERIYGILGQAVCRPAGIQDEDITLQITESEDNEEDDMVDVIWRQLISSCPWLSELDESATEGVIKVWRKVVDRIFSLYKLSCSAYFTFLKLNAGQIPLDEDDPRLHLSHRVEQSTDDMIVMATLRLLRLLVKHAGELRQYLEHGLETTPTAPWRGIIPQLFSRLNHPEVYVRQSICNLLCRVAQDSPHLILYPAIVGTISLSSESQASGNKFSTAIPTLLGNIQGEELLVSECEGGSPPASQDSNKDEPKSGLNEDQAMMQDCYSKIVDKLSSANPTMVLQVQMLVAELRRVTVLWDELWLGVLLQQHMYVLRRIQQLEDEVKRVQNNNTLRKEEKIAIMREKHTALMKPIVFALEHVRSITAAPAETPHEKWFQDNYGDAIENALEKLKTPLNPAKPGSSWIPFKEIMLSLQQRAQKRASYILRLEEISPWLAAMTNTEIALPGEVSARDTVTIHSVGGTITILPTKTKPKKLLFLGSDGKSYPYLFKGLEDLHLDERIMQFLSIVNTMFATINRQETPRFHARHYSVTPLGTRSGLIQWVDGATPLFGLYKRWQQREAALQAQKAQDSYQTPQNPGIVPRPSELYYSKIGPALKTVGLSLDVSRRDWPLHVMKAVLEELMEATPPNLLAKELWSSCTTPDEWWRVTQSYARSTAVMSMVGYIIGLGDRHLDNVLIDMTTGEVVHIDYNVCFEKGKSLRVPEKVPFRMTQNIETALGVTGVEGVFRLSCEQVLHIMRRGRETLLTLLEAFVYDPLVDWTAGGEAGFAGAVYGGGGQQAESKQSKREMEREITRSLFSSRVAEIKVNWFKNRDEMLVVLPKLDGSLDEYLSLQEQLTDVEKLQGKLLEEIEFLEGAEGVDHPSHTLQHRYSEHTQLQTQQRAVQEAIQVKLNEFEQWITHYQAAFNNLEATQLASLLQEISTQMDLGPPSYVPATAFLQNAGQAHLISQCEQLEGEVGALLQQRRSVLRGCLEQLHHYATVALQYPKAIFQKHRIEQWKTWMEELICNTTVERCQELYRKYEMQYAPQPPPTVCQFITATEMTLQRYAADINSRLIRQVERLKQEAVTVPVCEDQLKEIERCIKVFLHENGEEGSLSLASVIISALCTLTRRNLMMEGAASSAGEQLVDLTSRDGAWFLEELCSMSGNVTCLVQLLKQCHLVPQDLDIPNPMEASETVHLANGVYTSLQELNSNFRQIIFPEALRCLMKGEYTLESMLHELDGLIEQTTDGVPLQTLVESLQAYLRNAAMGLEEETHAHYIDVARLLHAQYGELIQPRNGSVDETPKMSAGQMLLVAFDGMFAQVETAFSLLVEKLNKMEIPIAWRKIDIIREARSTQVNFFDDDNHRQVLEEIFFLKRLQTIKEFFRLCGTFSKTLSGSSSLEDQNTVNGPVQIVNVKTLFRNSCFSEDQMAKPIKAFTADFVRQLLIGLPNQALGLTLCSFISALGVDIIAQVEAKDFGAESKVSVDDLCKKAVEHNIQIGKFSQLVMNRATVLASSYDTAWKKHDLVRRLETSISSCKTSLQRVQLHIAMFQWQHEDLLINRPQAMSVTPPPRSAILTSMKKKLHTLSQIETSIATVQEKLAALESSIEQRLKWAGGANPALAPVLQDFEATIAERRNLVLKESQRASQVTFLCSNIIHFESLRTRTAEALNLDAALFELIKRCQQMCSFASQFNSSVSELELRLLQRVDTGLEHPIGSSEWLLSAHKQLTQDMSTQRAIQTEKEQQIETVCETIQNLVDNIKTVLTGHNRQLGDVKHLLKAMAKDEEAALADGEDVPYENSVRQFLGEYKSWQDNIQTVLFTLVQAMGQVRSQEHVEMLQEITPTLKELKTQSQSIYNNLVSFASPLVTDATNECSSPTSSATYQPSFAAAVRSNTGQKTQPDVMSQNARKLIQKNLATSADTPPSTVPGTGKSVACSPKKAVRDPKTGKAVQERNSYAVSVWKRVKAKLEGRDVDPNRRMSVAEQVDYVIKEATNLDNLAQLYEGWTAWV
+>DECOY_sp|Q96Q15|SMG1_HUMAN Serine/threonine-protein kinase SMG1 OS=Homo sapiens OX=9606 GN=SMG1 PE=1 SV=3
+VWATWGEYLQALNDLNTAEKIVYDVQEAVSMRRNPDVDRGELKAKVRKWVSVAYSNREQVAKGTKPDRVAKKPSCAVSKGTGPVTSPPTDASTALNKQILKRANQSMVDPQTKQGTNSRVAAAFSPQYTASSTPSSCENTADTVLPSAFSVLNNYISQSQTKLEKLTPTIEQLMEVHEQSRVQGMAQVLTFLVTQINDQWSKYEGLFQRVSNEYPVDEGDALAAEEDKAMAKLLHKVDGLQRNHGTLVTKINDVLNQITECVTEIQQEKETQIARQTSMDQTLQKHASLLWESSGIPHELGTDVRQLLRLELESVSSNFQSAFSCMQQCRKILEFLAADLNLAEATRTRLSEFHIINSCLFTVQSARQSEKLVLNRREAITAEFDQLVPALAPNAGGAWKLRQEISSELAALKEQVTAISTEIQSLTHLKKKMSTLIASRPPPTVSMAQPRNILLDEHQWQFMAIHLQVRQLSTKCSSISTELRRVLDHKKWATDYSSALVTARNMVLQSFKGIQINHEVAKKCLDDVSVKSEAGFDKAEVQAIIDVGLASIFSCLTLGLAQNPLGILLQRVFDATFAKIPKAMQDESFCSNRFLTKVNVIQVPGNVTNQDELSSSGSLTKSFTGCLRFFEKITQLRKLFFIEELVQRHNDDDFFNVQTSRAERIIDIKRWAIPIEMKNLKEVLLSFATEVQAFMGDFAVLLMQGASMKPTEDVSGNRPQILEGYQAHLLRAVDIYHAHTEEELGMAANRLYAQLSEVLTQLPVGDTTQEILGDLEHLMSELTYEGKMLCRLAEPFIIQRFNSNLEQLSTYVGNALHVTESAEMPNPIDLDQPVLHCQKLLQVLCTVNGSMSCLEELFWAGDRSTLDVLQEGASSAAGEMMLNRRTLTCLASIIVSALSLSGEEGNEHLFVKICREIEKLQDECVPVTVAEQKLREVQRILRSNIDAAYRQLTMETATIFQCVTPPPQPAYQMEYKRYLEQCREVTTNCILEEMWTKWQEIRHKQFIAKPYQLAVTAYHHLQELCGRLVSRRQQLLAGVEGELQECQSILHAQGANQLFATAPVYSPPGLDMQTSIEQLLSALQTAELNNFAAQYHTIWQEFENLKVQIAEQVARQQTQLQTHESYRHQLTHSPHDVGEAGELFEIEELLKGQLKEVDTLQEQLSLYEDLSGDLKPLVVLMEDRNKFWNVKIEAVRSSFLSRTIEREMERKSQKSEAQQGGGGYVAGAFGAEGGATWDVLPDYVFAELLTLLTERGRRMIHLVQECSLRFVGEVGTVGLATEINQTMRFPVKEPVRLSKGKEFCVNYDIHVVEGTTMDILVNDLHRDGLGIIYGVMSMVATSRAYSQTVRWWEDPTTCSSWLEKALLNPPTAEMLEELVAKMVHLPWDRRSVDLSLGVTKLAPGIKSYYLESPRPVIGPNQPTQYSDQAKQAQLAAERQQWRKYLGFLPTAGDVWQILGSRTGLPTVSYHRAHFRPTEQRNITAFMTNVISLFQMIREDLHLDELGKFLYPYSKGDSGLFLLKKPKTKTPLITITGGVSHITVTDRASVEGPLAIETNTMAALWPSIEELRLIYSARKQARQQLSLMIEKFPIWSSGPKAPNLPTKLKELANEIADGYNDQFWKEHPTEAPAATISRVHELAFVIPKMLATHKERMIAIKEEKRLTNNNQVRKVEDELQQIRRLVYMHQQLLVGLWLEDWLVTVRRLEAVLMQVQLVMTPNASSLKDVIKSYCDQMMAQDENLGSKPEDKNSDQSAPPSGGECESVLLEEGQINGLLTPIATSFKNGSAQSESSLSITGVIAPYLILHPSDQAVRCLLNCISQRVYVEPHNLRSFLQPIIGRWPATPTTELGHELYQRLEGAHKVLLRLLRLTAMVIMDDTSQEVRHSLHLRPDDEDLPIQGANLKLFTFYASCSLKYLSFIRDVVKRWVKIVGETASEDLESLWPCSSILQRWIVDVMDDEENDESETIQLTIDEDQIGAPRCVAQGLIGYIREKEEETITDPLLNQVESKERPLLRVGEGQSANDVVKRGWRYAWSALAAWSKAVEPAQVSSLHYLQGLIFDPEGVGIHVTSESEIRPYEEEMTNVSPLEILTLINKSLTSLGTFNQQHQARYVQKLQGSIEKWEAQIWKALTLISKAVAYEAKVSKCFSIACSSLMEMAHTSQGATYLLKTKEIDLEPGWKEDVQGQTSLKKFHQVLDQATTTKGLQVESCQALLRTALSVNGRKRAFKAATLGLEMLHSRIPVTQEKIKELLQNQYMTYRLAQMWPRVDHQKCSRLAESFLPILCDETSLRNSVTNELASSCYLQLTSLVPLSQSVTLTSLRLPGIAIRSTQKLYKVVNETISQWKQDQEIPNLATALCVSSRLLQVEISKQLERPDPSLMNPLLKKMDIKEKSGGALLNINEGPLLELQETCEVFKGSEFSSLSKIYNFDAKLNLSTSSTSKKLDHIANQWEQVAAWDAISIYCECAKNGLYNIVEPSSDTPKSLVTKRSEGNLSHKPSASNRGANALTLVSKDFSSICCDVGTMACLHEQYEVSAKEFRGEAQQAVSNIWLLNKGVISSSWVAIGQIAEPCHLECLAEVVMMITVELENGQSLSTTKMETLLDFGHRVTVAPQGALLGVRMISLRIRTLWDQCTQRNTYFFTRIVKPPSTLANACGEYANYMLKELNELYQLLLVLRLQNNGHGEDNDATTWQSVDQDPNLTHAALSRIIGEITQFTDQARGLPTRLKSLVTFQAAEWIAWQWLVADTKLLNRPITSQDRKDLRQCSYFLRELWNDKGTRHSNGYLIFSIVDSFDQPHFTNSPAKSMHSRLALSIEQIETHNNNSLVVDLPISKLLKGFAQRIRTGSHVLQVRCVDVCRQLLDDPLSSSLAHLSSCAQLCINVDEVLTNALLGKCFKHFSPLSFLPAYTESKKMLVAAELAWTMLLKRTDQNLNDKKLLIGLLNLIISFHKKTATTVTSIVAGDFLSPSSSSLSSSIFHDHRTCHSYLTYLVAYQIAPFHVALDSHVIMLNKSLLAFVTPSLAWMGILSNKANGITTLASLDFIVVFKANDVNFVHNKFAEHKIESCAEPLQLSHLLNNLACTMEGLILKYATELVPINKLSLVAQYVAHAVAVVEKEKHYRLFLLKSSPIFLKEVFSSPLKTNIQEVILTLLNLVSIIYDTGCTQCNELQDLGYTIVMDCHITMSPDLSGLLVGVCENAATLVAESFFVQNAATVCRMVRYLVDTVYAETIPPGRIPSFREGISRVVTSFVRLLAALKPLSVSPPPVDEDVSEGSAVHSLDEAYAEMDELFQGLLTTSFALDAVWFPELSQLWGSVQQTLSPKQTHDIHWGVLIDVTDRFNTSFIHPYCRAVLLICKVCKCLLEPTDVNELISQLSTMVLQMVSSFAKKEGVTELAKYTACLYLLKVEDKASSSFKSFIWKFIKEAEYSLSACLLGLCCAGEQRLEQLLKSSENLVDHVAALINDLQKVLVLKNEPQQIFEKLQKVTALRRDRDDERTIRRLLNSLRSEDSYSMSREQSKRMDKTALARSGHQVSTFEPSTVNNVRLEQGLSKRGYTNEGSGGNVSYGGKEDNQIDAHVRTDDHRQRSVVASNSPQLGYSSSGGRDRSSSYKLNDPDASASDTRPQWDNWSRPYKTGGGGGGSSLRSGPARRSM
+>sp|Q99954|SMR3A_HUMAN Submaxillary gland androgen-regulated protein 3A OS=Homo sapiens OX=9606 GN=SMR3A PE=1 SV=2
+MKSLTWILGLWALAACFTPGESQRGPRGPYPPGPLAPPPPPCFPFGTGFVPPPHPPPYGPGRFPPPLSPPYGPGRIPPSPPPPYGPGRIQSHSLPPPYGPGYPQPPSQPRPYPPGPPFFPVNSPTDPALPTPAP
+>DECOY_sp|Q99954|SMR3A_HUMAN Submaxillary gland androgen-regulated protein 3A OS=Homo sapiens OX=9606 GN=SMR3A PE=1 SV=2
+PAPTPLAPDTPSNVPFFPPGPPYPRPQSPPQPYGPGYPPPLSHSQIRGPGYPPPPSPPIRGPGYPPSLPPPFRGPGYPPPHPPPVFGTGFPFCPPPPPALPGPPYPGRPGRQSEGPTFCAALAWLGLIWTLSKM
+>sp|Q92922|SMRC1_HUMAN SWI/SNF complex subunit SMARCC1 OS=Homo sapiens OX=9606 GN=SMARCC1 PE=1 SV=3
+MAAAAGGGGPGTAVGATGSGIAAAAAGLAVYRRKDGGPATKFWESPETVSQLDSVRVWLGKHYKKYVHADAPTNKTLAGLVVQLLQFQEDAFGKHVTNPAFTKLPAKCFMDFKAGGALCHILGAAYKYKNEQGWRRFDLQNPSRMDRNVEMFMNIEKTLVQNNCLTRPNIYLIPDIDLKLANKLKDIIKRHQGTFTDEKSKASHHIYPYSSSQDDEEWLRPVMRKEKQVLVHWGFYPDSYDTWVHSNDVDAEIEDPPIPEKPWKVHVKWILDTDIFNEWMNEEDYEVDENRKPVSFRQRISTKNEEPVRSPERRDRKASANARKRKHSPSPPPPTPTESRKKSGKKGQASLYGKRRSQKEEDEQEDLTKDMEDPTPVPNIEEVVLPKNVNLKKDSENTPVKGGTVADLDEQDEETVTAGGKEDEDPAKGDQSRSVDLGEDNVTEQTNHIIIPSYASWFDYNCIHVIERRALPEFFNGKNKSKTPEIYLAYRNFMIDTYRLNPQEYLTSTACRRNLTGDVCAVMRVHAFLEQWGLVNYQVDPESRPMAMGPPPTPHFNVLADTPSGLVPLHLRSPQVPAAQQMLNFPEKNKEKPVDLQNFGLRTDIYSKKTLAKSKGASAGREWTEQETLLLLEALEMYKDDWNKVSEHVGSRTQDECILHFLRLPIEDPYLENSDASLGPLAYQPVPFSQSGNPVMSTVAFLASVVDPRVASAAAKAALEEFSRVREEVPLELVEAHVKKVQEAARASGKVDPTYGLESSCIAGTGPDEPEKLEGAEEEKMEADPDGQQPEKAENKVENETDEGDKAQDGENEKNSEKEQDSEVSEDTKSEEKETEENKELTDTCKERESDTGKKKVEHEISEGNVATAAAAALASAATKAKHLAAVEERKIKSLVALLVETQMKKLEIKLRHFEELETIMDREKEALEQQRQQLLTERQNFHMEQLKYAELRARQQMEQQQHGQNPQQAHQHSGGPGLAPLGAAGHPGMMPHQQPPPYPLMHHQMPPPHPPQPGQIPGPGSMMPGQHMPGRMIPTVAANIHPSGSGPTPPGMPPMPGNILGPRVPLTAPNGMYPPPPQQQPPPPPPADGVPPPPAPGPPASAAP
+>DECOY_sp|Q92922|SMRC1_HUMAN SWI/SNF complex subunit SMARCC1 OS=Homo sapiens OX=9606 GN=SMARCC1 PE=1 SV=3
+PAASAPPGPAPPPPVGDAPPPPPPQQQPPPPYMGNPATLPVRPGLINGPMPPMGPPTPGSGSPHINAAVTPIMRGPMHQGPMMSGPGPIQGPQPPHPPPMQHHMLPYPPPQQHPMMGPHGAAGLPALGPGGSHQHAQQPNQGHQQQEMQQRARLEAYKLQEMHFNQRETLLQQRQQELAEKERDMITELEEFHRLKIELKKMQTEVLLAVLSKIKREEVAALHKAKTAASALAAAAATAVNGESIEHEVKKKGTDSEREKCTDTLEKNEETEKEESKTDESVESDQEKESNKENEGDQAKDGEDTENEVKNEAKEPQQGDPDAEMKEEEAGELKEPEDPGTGAICSSELGYTPDVKGSARAAEQVKKVHAEVLELPVEERVRSFEELAAKAAASAVRPDVVSALFAVTSMVPNGSQSFPVPQYALPGLSADSNELYPDEIPLRLFHLICEDQTRSGVHESVKNWDDKYMELAELLLLTEQETWERGASAGKSKALTKKSYIDTRLGFNQLDVPKEKNKEPFNLMQQAAPVQPSRLHLPVLGSPTDALVNFHPTPPPGMAMPRSEPDVQYNVLGWQELFAHVRMVACVDGTLNRRCATSTLYEQPNLRYTDIMFNRYALYIEPTKSKNKGNFFEPLARREIVHICNYDFWSAYSPIIIHNTQETVNDEGLDVSRSQDGKAPDEDEKGGATVTEEDQEDLDAVTGGKVPTNESDKKLNVNKPLVVEEINPVPTPDEMDKTLDEQEDEEKQSRRKGYLSAQGKKGSKKRSETPTPPPPSPSHKRKRANASAKRDRREPSRVPEENKTSIRQRFSVPKRNEDVEYDEENMWENFIDTDLIWKVHVKWPKEPIPPDEIEADVDNSHVWTDYSDPYFGWHVLVQKEKRMVPRLWEEDDQSSSYPYIHHSAKSKEDTFTGQHRKIIDKLKNALKLDIDPILYINPRTLCNNQVLTKEINMFMEVNRDMRSPNQLDFRRWGQENKYKYAAGLIHCLAGGAKFDMFCKAPLKTFAPNTVHKGFADEQFQLLQVVLGALTKNTPADAHVYKKYHKGLWVRVSDLQSVTEPSEWFKTAPGGDKRRYVALGAAAAAIGSGTAGVATGPGGGGAAAAM
+>sp|Q8NCR6|SMRP1_HUMAN Spermatid-specific manchette-related protein 1 OS=Homo sapiens OX=9606 GN=SMRP1 PE=1 SV=2
+MFLFSRKTRTPISTYSDSYRAPTSIKEVYKDPPLCAWEANKFLTPGLTHTMERHVDPEALQKMAKCAVQDYTYRGSISGHPYLPEKYWLSQEEADKCSPNYLGSDWYNTWRMEPYNSSCCNKYTTYLPRLPKEARMETAVRGMPLECPPRPERLNAYEREVMVNMLNSLSRNQQLPRITPRCGCVDPLPGRLPFHGYESACSGRHYCLRGMDYYASGAPCTDRRLRPWCREQPTMCTSLRAPARNAVCCYNSPAVILPISEP
+>DECOY_sp|Q8NCR6|SMRP1_HUMAN Spermatid-specific manchette-related protein 1 OS=Homo sapiens OX=9606 GN=SMRP1 PE=1 SV=2
+PESIPLIVAPSNYCCVANRAPARLSTCMTPQERCWPRLRRDTCPAGSAYYDMGRLCYHRGSCASEYGHFPLRGPLPDVCGCRPTIRPLQQNRSLSNLMNVMVEREYANLREPRPPCELPMGRVATEMRAEKPLRPLYTTYKNCCSSNYPEMRWTNYWDSGLYNPSCKDAEEQSLWYKEPLYPHGSISGRYTYDQVACKAMKQLAEPDVHREMTHTLGPTLFKNAEWACLPPDKYVEKISTPARYSDSYTSIPTRTKRSFLFM
+>sp|Q6GMV2|SMYD5_HUMAN SET and MYND domain-containing protein 5 OS=Homo sapiens OX=9606 GN=SMYD5 PE=1 SV=2
+MAASMCDVFSFCVGVAGRARVSVEVRFVSSAKGKGLFATQLIRKGETIFVERPLVAAQFLWNALYRYRACDHCLRALEKAEENAQRLTGKPGQVLPHPELCTVRKDLHQNCPHCQVMYCSAECRLAATEQYHQVLCPGPSQDDPLHPLNKLQEAWRSIHYPPETASIMLMARMVATVKQAKDKDRWIRLFSQFCNKTANEEEEIVHKLLGDKFKGQLELLRRLFTEALYEEAVSQWFTPDGFRSLFALVGTNGQGIGTSSLSQWVHACDTLELKPQDREQLDAFIDQLYKDIEAATGEFLNCEGSGLFVLQSCCNHSCVPNAETSFPENNFLLHVTALEDIKPGEEICISYLDCCQRERSRHSRHKILRENYLFVCSCPKCLAEADEPNVTSEEEEEEEEEEEGEPEDAELGDEMTDV
+>DECOY_sp|Q6GMV2|SMYD5_HUMAN SET and MYND domain-containing protein 5 OS=Homo sapiens OX=9606 GN=SMYD5 PE=1 SV=2
+VDTMEDGLEADEPEGEEEEEEEEEEESTVNPEDAEALCKPCSCVFLYNERLIKHRSHRSRERQCCDLYSICIEEGPKIDELATVHLLFNNEPFSTEANPVCSHNCCSQLVFLGSGECNLFEGTAAEIDKYLQDIFADLQERDQPKLELTDCAHVWQSLSSTGIGQGNTGVLAFLSRFGDPTFWQSVAEEYLAETFLRRLLELQGKFKDGLLKHVIEEEENATKNCFQSFLRIWRDKDKAQKVTAVMRAMLMISATEPPYHISRWAEQLKNLPHLPDDQSPGPCLVQHYQETAALRCEASCYMVQCHPCNQHLDKRVTCLEPHPLVQGPKGTLRQANEEAKELARLCHDCARYRYLANWLFQAAVLPREVFITEGKRILQTAFLGKGKASSVFRVEVSVRARGAVGVCFSFVDCMSAAM
+>sp|Q9H115|SNAB_HUMAN Beta-soluble NSF attachment protein OS=Homo sapiens OX=9606 GN=NAPB PE=1 SV=2
+MDNAGKEREAVQLMAEAEKRVKASHSFLRGLFGGNTRIEEACEMYTRAANMFKMAKNWSAAGNAFCQAAKLHMQLQSKHDSATSFVDAGNAYKKADPQEAINCLNAAIDIYTDMGRFTIAAKHHITIAEIYETELVDIEKAIAHYEQSADYYKGEESNSSANKCLLKVAAYAAQLEQYQKAIEIYEQVGANTMDNPLLKYSAKDYFFKAALCHFIVDELNAKLALEKYEEMFPAFTDSRECKLLKKLLEAHEEQNSEAYTEAVKEFDSISRLDQWLTTMLLRIKKSIQGDGEGDGDLK
+>DECOY_sp|Q9H115|SNAB_HUMAN Beta-soluble NSF attachment protein OS=Homo sapiens OX=9606 GN=NAPB PE=1 SV=2
+KLDGDGEGDGQISKKIRLLMTTLWQDLRSISDFEKVAETYAESNQEEHAELLKKLLKCERSDTFAPFMEEYKELALKANLEDVIFHCLAAKFFYDKASYKLLPNDMTNAGVQEYIEIAKQYQELQAAYAAVKLLCKNASSNSEEGKYYDASQEYHAIAKEIDVLETEYIEAITIHHKAAITFRGMDTYIDIAANLCNIAEQPDAKKYANGADVFSTASDHKSQLQMHLKAAQCFANGAASWNKAMKFMNAARTYMECAEEIRTNGGFLGRLFSHSAKVRKEAEAMLQVAEREKGANDM
+>sp|O43761|SNG3_HUMAN Synaptogyrin-3 OS=Homo sapiens OX=9606 GN=SYNGR3 PE=1 SV=2
+MEGASFGAGRAGAALDPVSFARRPQTLLRVASWVFSIAVFGPIVNEGYVNTDSGPELRCVFNGNAGACRFGVALGLGAFLACAAFLLLDVRFQQISSVRDRRRAVLLDLGFSGLWSFLWFVGFCFLTNQWQRTAPGPATTQAGDAARAAIAFSFFSILSWVALTVKALQRFRLGTDMSLFATEQLSTGASQAYPGYPVGSGVEGTETYQSPPFTETLDTSPKGYQVPAY
+>DECOY_sp|O43761|SNG3_HUMAN Synaptogyrin-3 OS=Homo sapiens OX=9606 GN=SYNGR3 PE=1 SV=2
+YAPVQYGKPSTDLTETFPPSQYTETGEVGSGVPYGPYAQSAGTSLQETAFLSMDTGLRFRQLAKVTLAVWSLISFFSFAIAARAADGAQTTAPGPATRQWQNTLFCFGVFWLFSWLGSFGLDLLVARRRDRVSSIQQFRVDLLLFAACALFAGLGLAVGFRCAGANGNFVCRLEPGSDTNVYGENVIPGFVAISFVWSAVRLLTQPRRAFSVPDLAAGARGAGFSAGEM
+>sp|P0DPA3|SNH28_HUMAN Putative uncharacterized protein SNHG28 OS=Homo sapiens OX=9606 GN=SNHG28 PE=5 SV=1
+MGMLAPGPLQGRRPRKGHKGQEDAVAPGCKASGRGSRVTHLLGYPTQNVSRSLRRKYAPPPCGGPEDVALAPCTAAAACEAGPSPVYVKVKSAEPADCAEGPVQCKNGLLVSSPHCEEPCAHSCAHPGLPPHLVHKLPLSYLQTQDTDAASRRINAPLAAGWSWLRLWLVTLASGVDFPQVSAWMRALPSPDCPGLRTTGEQMQKLLLKENKVKTRKSKRRSGEGSHLTTSILEQ
+>DECOY_sp|P0DPA3|SNH28_HUMAN Putative uncharacterized protein SNHG28 OS=Homo sapiens OX=9606 GN=SNHG28 PE=5 SV=1
+QELISTTLHSGEGSRRKSKRTKVKNEKLLLKQMQEGTTRLGPCDPSPLARMWASVQPFDVGSALTVLWLRLWSWGAALPANIRRSAADTDQTQLYSLPLKHVLHPPLGPHACSHACPEECHPSSVLLGNKCQVPGEACDAPEASKVKVYVPSPGAECAAAATCPALAVDEPGGCPPPAYKRRLSRSVNQTPYGLLHTVRSGRGSAKCGPAVADEQGKHGKRPRRGQLPGPALMGM
+>sp|Q9BV90|SNR25_HUMAN U11/U12 small nuclear ribonucleoprotein 25 kDa protein OS=Homo sapiens OX=9606 GN=SNRNP25 PE=1 SV=1
+MDVFQEGLAMVVQDPLLCDLPIQVTLEEVNSQIALEYGQAMTVRVCKMDGEVMPVVVVQSATVLDLKKAIQRYVQLKQEREGGIQHISWSYVWRTYHLTSAGEKLTEDRKKLRDYGIRNRDEVSFIKKLRQK
+>DECOY_sp|Q9BV90|SNR25_HUMAN U11/U12 small nuclear ribonucleoprotein 25 kDa protein OS=Homo sapiens OX=9606 GN=SNRNP25 PE=1 SV=1
+KQRLKKIFSVEDRNRIGYDRLKKRDETLKEGASTLHYTRWVYSWSIHQIGGEREQKLQVYRQIAKKLDLVTASQVVVVPMVEGDMKCVRVTMAQGYELAIQSNVEELTVQIPLDCLLPDQVVMALGEQFVDM
+>sp|Q96DI7|SNR40_HUMAN U5 small nuclear ribonucleoprotein 40 kDa protein OS=Homo sapiens OX=9606 GN=SNRNP40 PE=1 SV=1
+MIEQQKRKGPELPLVPVKRQRHELLLGAGSGPGAGQQQATPGALLQAGPPRCSSLQAPIMLLSGHEGEVYCCKFHPNGSTLASAGFDRLILLWNVYGDCDNYATLKGHSGAVMELHYNTDGSMLFSASTDKTVAVWDSETGERVKRLKGHTSFVNSCYPARRGPQLVCTGSDDGTVKLWDIRKKAAIQTFQNTYQVLAVTFNDTSDQIISGGIDNDIKVWDLRQNKLTYTMRGHADSVTGLSLSSEGSYLLSNAMDNTVRVWDVRPFAPKERCVKIFQGNVHNFEKNLLRCSWSPDGSKIAAGSADRFVYVWDTTSRRILYKLPGHAGSINEVAFHPDEPIIISASSDKRLYMGEIQ
+>DECOY_sp|Q96DI7|SNR40_HUMAN U5 small nuclear ribonucleoprotein 40 kDa protein OS=Homo sapiens OX=9606 GN=SNRNP40 PE=1 SV=1
+QIEGMYLRKDSSASIIIPEDPHFAVENISGAHGPLKYLIRRSTTDWVYVFRDASGAAIKSGDPSWSCRLLNKEFNHVNGQFIKVCREKPAFPRVDWVRVTNDMANSLLYSGESSLSLGTVSDAHGRMTYTLKNQRLDWVKIDNDIGGSIIQDSTDNFTVALVQYTNQFTQIAAKKRIDWLKVTGDDSGTCVLQPGRRAPYCSNVFSTHGKLRKVREGTESDWVAVTKDTSASFLMSGDTNYHLEMVAGSHGKLTAYNDCDGYVNWLLILRDFGASALTSGNPHFKCCYVEGEHGSLLMIPAQLSSCRPPGAQLLAGPTAQQQGAGPGSGAGLLLEHRQRKVPVLPLEPGKRKQQEIM
+>sp|Q9NSN8|SNTG1_HUMAN Gamma-1-syntrophin OS=Homo sapiens OX=9606 GN=SNTG1 PE=1 SV=1
+MDFRTACEETKTGICLLQDGNQEPFKVRLHLAKDILMIQEQDVICVSGEPFYSGERTVTIRRQTVGGFGLSIKGGAEHNIPVVVSKISKEQRAELSGLLFIGDAILQINGINVRKCRHEEVVQVLRNAGEEVTLTVSFLKRAPAFLKLPLNEDCACAPSDQSSGTSSPLCDSGLHLNYHPNNTDTLSCSSWPTSPGLRWEKRWCDLRLIPLLHSRFSQYVPGTDLSRQNAFQVIAVDGVCTGIIQCLSAEDCVDWLQAIATNISNLTKHNIKKINRNFPVNQQIVYMGWCEAREQDPLQDRVYSPTFLALRGSCLYKFLAPPVTTWDWTRAEKTFSVYEIMCKILKDSDLLDRRKQCFTVQSESGEDLYFSVELESDLAQWERAFQTATFLEVERIQCKTYACVLESHLMGLTIDFSTGFICFDAATKAVLWRYKFSQLKGSSDDGKSKIKFLFQNPDTKQIEAKELEFSNLFAVLHCIHSFFAAKVACLDPLFLGNQATASTAASSATTSKAKYTT
+>DECOY_sp|Q9NSN8|SNTG1_HUMAN Gamma-1-syntrophin OS=Homo sapiens OX=9606 GN=SNTG1 PE=1 SV=1
+TTYKAKSTTASSAATSATAQNGLFLPDLCAVKAAFFSHICHLVAFLNSFELEKAEIQKTDPNQFLFKIKSKGDDSSGKLQSFKYRWLVAKTAADFCIFGTSFDITLGMLHSELVCAYTKCQIREVELFTATQFAREWQALDSELEVSFYLDEGSESQVTFCQKRRDLLDSDKLIKCMIEYVSFTKEARTWDWTTVPPALFKYLCSGRLALFTPSYVRDQLPDQERAECWGMYVIQQNVPFNRNIKKINHKTLNSINTAIAQLWDVCDEASLCQIIGTCVGDVAIVQFANQRSLDTGPVYQSFRSHLLPILRLDCWRKEWRLGPSTPWSSCSLTDTNNPHYNLHLGSDCLPSSTGSSQDSPACACDENLPLKLFAPARKLFSVTLTVEEGANRLVQVVEEHRCKRVNIGNIQLIADGIFLLGSLEARQEKSIKSVVVPINHEAGGKISLGFGGVTQRRITVTREGSYFPEGSVCIVDQEQIMLIDKALHLRVKFPEQNGDQLLCIGTKTEECATRFDM
+>sp|O14508|SOCS2_HUMAN Suppressor of cytokine signaling 2 OS=Homo sapiens OX=9606 GN=SOCS2 PE=1 SV=1
+MTLRCLEPSGNGGEGTRSQWGTAGSAEEPSPQAARLAKALRELGQTGWYWGSMTVNEAKEKLKEAPEGTFLIRDSSHSDYLLTISVKTSAGPTNLRIEYQDGKFRLDSIICVKSKLKQFDSVVHLIDYYVQMCKDKRTGPEAPRNGTVHLYLTKPLYTSAPSLQHLCRLTINKCTGAIWGLPLPTRLKDYLEEYKFQV
+>DECOY_sp|O14508|SOCS2_HUMAN Suppressor of cytokine signaling 2 OS=Homo sapiens OX=9606 GN=SOCS2 PE=1 SV=1
+VQFKYEELYDKLRTPLPLGWIAGTCKNITLRCLHQLSPASTYLPKTLYLHVTGNRPAEPGTRKDKCMQVYYDILHVVSDFQKLKSKVCIISDLRFKGDQYEIRLNTPGASTKVSITLLYDSHSSDRILFTGEPAEKLKEKAENVTMSGWYWGTQGLERLAKALRAAQPSPEEASGATGWQSRTGEGGNGSPELCRLTM
+>sp|P00441|SODC_HUMAN Superoxide dismutase [Cu-Zn] OS=Homo sapiens OX=9606 GN=SOD1 PE=1 SV=2
+MATKAVCVLKGDGPVQGIINFEQKESNGPVKVWGSIKGLTEGLHGFHVHEFGDNTAGCTSAGPHFNPLSRKHGGPKDEERHVGDLGNVTADKDGVADVSIEDSVISLSGDHCIIGRTLVVHEKADDLGKGGNEESTKTGNAGSRLACGVIGIAQ
+>DECOY_sp|P00441|SODC_HUMAN Superoxide dismutase [Cu-Zn] OS=Homo sapiens OX=9606 GN=SOD1 PE=1 SV=2
+QAIGIVGCALRSGANGTKTSEENGGKGLDDAKEHVVLTRGIICHDGSLSIVSDEISVDAVGDKDATVNGLDGVHREEDKPGGHKRSLPNFHPGASTCGATNDGFEHVHFGHLGETLGKISGWVKVPGNSEKQEFNIIGQVPGDGKLVCVAKTAM
+>sp|P08294|SODE_HUMAN Extracellular superoxide dismutase [Cu-Zn] OS=Homo sapiens OX=9606 GN=SOD3 PE=1 SV=2
+MLALLCSCLLLAAGASDAWTGEDSAEPNSDSAEWIRDMYAKVTEIWQEVMQRRDDDGALHAACQVQPSATLDAAQPRVTGVVLFRQLAPRAKLDAFFALEGFPTEPNSSSRAIHVHQFGDLSQGCESTGPHYNPLAVPHPQHPGDFGNFAVRDGSLWRYRAGLAASLAGPHSIVGRAVVVHAGEDDLGRGGNQASVENGNAGRRLACCVVGVCGPGLWERQAREHSERKKRRRESECKAA
+>DECOY_sp|P08294|SODE_HUMAN Extracellular superoxide dismutase [Cu-Zn] OS=Homo sapiens OX=9606 GN=SOD3 PE=1 SV=2
+AAKCESERRRKKRESHERAQREWLGPGCVGVVCCALRRGANGNEVSAQNGGRGLDDEGAHVVVARGVISHPGALSAALGARYRWLSGDRVAFNGFDGPHQPHPVALPNYHPGTSECGQSLDGFQHVHIARSSSNPETPFGELAFFADLKARPALQRFLVVGTVRPQAADLTASPQVQCAAHLAGDDDRRQMVEQWIETVKAYMDRIWEASDSNPEASDEGTWADSAGAALLLCSCLLALM
+>sp|Q96PQ0|SORC2_HUMAN VPS10 domain-containing receptor SorCS2 OS=Homo sapiens OX=9606 GN=SORCS2 PE=1 SV=3
+MAHRGPSRASKGPGPTARAPSPGAPPPPRSPRSRPLLLLLLLLGACGAAGRSPEPGRLGPHAQLTRVPRSPPAGRAEPGGGEDRQARGTEPGAPGPSPGPAPGPGEDGAPAAGYRRWERAAPLAGVASRAQVSLISTSFVLKGDATHNQAMVHWTGENSSVILILTKYYHADMGKVLESSLWRSSDFGTSYTKLTLQPGVTTVIDNFYICPTNKRKVILVSSSLSDRDQSLFLSADEGATFQKQPIPFFVETLIFHPKEEDKVLAYTKESKLYVSSDLGKKWTLLQERVTKDHVFWSVSGVDADPDLVHVEAQDLGGDFRYVTCAIHNCSEKMLTAPFAGPIDHGSLTVQDDYIFFKATSANQTKYYVSYRRNEFVLMKLPKYALPKDLQIISTDESQVFVAVQEWYQMDTYNLYQSDPRGVRYALVLQDVRSSRQAEESVLIDILEVRGVKGVFLANQKIDGKVMTLITYNKGRDWDYLRPPSMDMNGKPTNCKPPDCHLHLHLRWADNPYVSGTVHTKDTAPGLIMGAGNLGSQLVEYKEEMYITSDCGHTWRQVFEEEHHILYLDHGGVIVAIKDTSIPLKILKFSVDEGLTWSTHNFTSTSVFVDGLLSEPGDETLVMTVFGHISFRSDWELVKVDFRPSFSRQCGEEDYSSWELSNLQGDRCIMGQQRSFRKRKSTSWCIKGRSFTSALTSRVCECRDSDFLCDYGFERSSSSESSTNKCSANFWFNPLSPPDDCALGQTYTSSLGYRKVVSNVCEGGVDMQQSQVQLQCPLTPPRGLQVSIQGEAVAVRPGEDVLFVVRQEQGDVLTTKYQVDLGDGFKAMYVNLTLTGEPIRHRYESPGIYRVSVRAENTAGHDEAVLFVQVNSPLQALYLEVVPVIGLNQEVNLTAVLLPLNPNLTVFYWWIGHSLQPLLSLDNSVTTRFSDTGDVRVTVQAACGNSVLQDSRVLRVLDQFQVMPLQFSKELDAYNPNTPEWREDVGLVVTRLLSKETSVPQELLVTVVKPGLPTLADLYVLLPPPRPTRKRSLSSDKRLAAIQQVLNAQKISFLLRGGVRVLVALRDTGTGAEQLGGGGGYWAVVVLFVIGLFAAGAFILYKFKRKRPGRTVYAQMHNEKEQEMTSPVSHSEDVQGAVQGNHSGVVLSINSREMHSYLVS
+>DECOY_sp|Q96PQ0|SORC2_HUMAN VPS10 domain-containing receptor SorCS2 OS=Homo sapiens OX=9606 GN=SORCS2 PE=1 SV=3
+SVLYSHMERSNISLVVGSHNGQVAGQVDESHSVPSTMEQEKENHMQAYVTRGPRKRKFKYLIFAGAAFLGIVFLVVVAWYGGGGGLQEAGTGTDRLAVLVRVGGRLLFSIKQANLVQQIAALRKDSSLSRKRTPRPPPLLVYLDALTPLGPKVVTVLLEQPVSTEKSLLRTVVLGVDERWEPTNPNYADLEKSFQLPMVQFQDLVRLVRSDQLVSNGCAAQVTVRVDGTDSFRTTVSNDLSLLPQLSHGIWWYFVTLNPNLPLLVATLNVEQNLGIVPVVELYLAQLPSNVQVFLVAEDHGATNEARVSVRYIGPSEYRHRIPEGTLTLNVYMAKFGDGLDVQYKTTLVDGQEQRVVFLVDEGPRVAVAEGQISVQLGRPPTLPCQLQVQSQQMDVGGECVNSVVKRYGLSSTYTQGLACDDPPSLPNFWFNASCKNTSSESSSSREFGYDCLFDSDRCECVRSTLASTFSRGKICWSTSKRKRFSRQQGMICRDGQLNSLEWSSYDEEGCQRSFSPRFDVKVLEWDSRFSIHGFVTMVLTEDGPESLLGDVFVSTSTFNHTSWTLGEDVSFKLIKLPISTDKIAVIVGGHDLYLIHHEEEFVQRWTHGCDSTIYMEEKYEVLQSGLNGAGMILGPATDKTHVTGSVYPNDAWRLHLHLHCDPPKCNTPKGNMDMSPPRLYDWDRGKNYTILTMVKGDIKQNALFVGKVGRVELIDILVSEEAQRSSRVDQLVLAYRVGRPDSQYLNYTDMQYWEQVAVFVQSEDTSIIQLDKPLAYKPLKMLVFENRRYSVYYKTQNASTAKFFIYDDQVTLSGHDIPGAFPATLMKESCNHIACTVYRFDGGLDQAEVHVLDPDADVGSVSWFVHDKTVREQLLTWKKGLDSSVYLKSEKTYALVKDEEKPHFILTEVFFPIPQKQFTAGEDASLFLSQDRDSLSSSVLIVKRKNTPCIYFNDIVTTVGPQLTLKTYSTGFDSSRWLSSELVKGMDAHYYKTLILIVSSNEGTWHVMAQNHTADGKLVFSTSILSVQARSAVGALPAAREWRRYGAAPAGDEGPGPAPGPSPGPAGPETGRAQRDEGGGPEARGAPPSRPVRTLQAHPGLRGPEPSRGAAGCAGLLLLLLLLPRSRPSRPPPPAGPSPARATPGPGKSARSPGRHAM
+>sp|P56693|SOX10_HUMAN Transcription factor SOX-10 OS=Homo sapiens OX=9606 GN=SOX10 PE=1 SV=1
+MAEEQDLSEVELSPVGSEEPRCLSPGSAPSLGPDGGGGGSGLRASPGPGELGKVKKEQQDGEADDDKFPVCIREAVSQVLSGYDWTLVPMPVRVNGASKSKPHVKRPMNAFMVWAQAARRKLADQYPHLHNAELSKTLGKLWRLLNESDKRPFIEEAERLRMQHKKDHPDYKYQPRRRKNGKAAQGEAECPGGEAEQGGTAAIQAHYKSAHLDHRHPGEGSPMSDGNPEHPSGQSHGPPTPPTTPKTELQSGKADPKRDGRSMGEGGKPHIDFGNVDIGEISHEVMSNMETFDVAELDQYLPPNGHPGHVSSYSAAGYGLGSALAVASGHSAWISKPPGVALPTVSPPGVDAKAQVKTETAGPQGPPHYTDQPSTSQIAYTSLSLPHYGSAFPSISRPQFDYSDHQPSGPYYGHSGQASGLYSAFSYMGPSQRPLYTAISDPSPSGPQSHSPTHWEQPVYTTLSRP
+>DECOY_sp|P56693|SOX10_HUMAN Transcription factor SOX-10 OS=Homo sapiens OX=9606 GN=SOX10 PE=1 SV=1
+PRSLTTYVPQEWHTPSHSQPGSPSPDSIATYLPRQSPGMYSFASYLGSAQGSHGYYPGSPQHDSYDFQPRSISPFASGYHPLSLSTYAIQSTSPQDTYHPPGQPGATETKVQAKADVGPPSVTPLAVGPPKSIWASHGSAVALASGLGYGAASYSSVHGPHGNPPLYQDLEAVDFTEMNSMVEHSIEGIDVNGFDIHPKGGEGMSRGDRKPDAKGSQLETKPTTPPTPPGHSQGSPHEPNGDSMPSGEGPHRHDLHASKYHAQIAATGGQEAEGGPCEAEGQAAKGNKRRRPQYKYDPHDKKHQMRLREAEEIFPRKDSENLLRWLKGLTKSLEANHLHPYQDALKRRAAQAWVMFANMPRKVHPKSKSAGNVRVPMPVLTWDYGSLVQSVAERICVPFKDDDAEGDQQEKKVKGLEGPGPSARLGSGGGGGDPGLSPASGPSLCRPEESGVPSLEVESLDQEEAM
+>sp|P35713|SOX18_HUMAN Transcription factor SOX-18 OS=Homo sapiens OX=9606 GN=SOX18 PE=1 SV=2
+MQRSPPGYGAQDDPPARRDCAWAPGHGAAADTRGLAAGPAALAAPAAPASPPSPQRSPPRSPEPGRYGLSPAGRGERQAADESRIRRPMNAFMVWAKDERKRLAQQNPDLHNAVLSKMLGKAWKELNAAEKRPFVEEAERLRVQHLRDHPNYKYRPRRKKQARKARRLEPGLLLPGLAPPQPPPEPFPAASGSARAFRELPPLGAEFDGLGLPTPERSPLDGLEPGEAAFFPPPAAPEDCALRPFRAPYAPTELSRDPGGCYGAPLAEALRTAPPAAPLAGLYYGTLGTPGPYPGPLSPPPEAPPLESAEPLGPAADLWADVDLTEFDQYLNCSRTRPDAPGLPYHVALAKLGPRAMSCPEESSLISALSDASSAVYYSACISG
+>DECOY_sp|P35713|SOX18_HUMAN Transcription factor SOX-18 OS=Homo sapiens OX=9606 GN=SOX18 PE=1 SV=2
+GSICASYYVASSADSLASILSSEEPCSMARPGLKALAVHYPLGPADPRTRSCNLYQDFETLDVDAWLDAAPGLPEASELPPAEPPPSLPGPYPGPTGLTGYYLGALPAAPPATRLAEALPAGYCGGPDRSLETPAYPARFPRLACDEPAAPPPFFAAEGPELGDLPSREPTPLGLGDFEAGLPPLERFARASGSAAPFPEPPPQPPALGPLLLGPELRRAKRAQKKRRPRYKYNPHDRLHQVRLREAEEVFPRKEAANLEKWAKGLMKSLVANHLDPNQQALRKREDKAWVMFANMPRRIRSEDAAQREGRGAPSLGYRGPEPSRPPSRQPSPPSAPAAPAALAAPGAALGRTDAAAGHGPAWACDRRAPPDDQAGYGPPSRQM
+>sp|P35711|SOX5_HUMAN Transcription factor SOX-5 OS=Homo sapiens OX=9606 GN=SOX5 PE=1 SV=3
+MLTDPDLPQEFERMSSKRPASPYGEADGEVAMVTSRQKVEEEESDGLPAFHLPLHVSFPNKPHSEEFQPVSLLTQETCGHRTPTSQHNTMEVDGNKVMSSFAPHNSSTSPQKAEEGGRQSGESLSSTALGTPERRKGSLADVVDTLKQRKMEELIKNEPEETPSIEKLLSKDWKDKLLAMGSGNFGEIKGTPESLAEKERQLMGMINQLTSLREQLLAAHDEQKKLAASQIEKQRQQMELAKQQQEQIARQQQQLLQQQHKINLLQQQIQVQGQLPPLMIPVFPPDQRTLAAAAQQGFLLPPGFSYKAGCSDPYPVQLIPTTMAAAAAATPGLGPLQLQQLYAAQLAAMQVSPGGKLPGIPQGNLGAAVSPTSIHTDKSTNSPPPKSKDEVAQPLNLSAKPKTSDGKSPTSPTSPHMPALRINSGAGPLKASVPAALASPSARVSTIGYLNDHDAVTKAIQEARQMKEQLRREQQVLDGKVAVVNSLGLNNCRTEKEKTTLESLTQQLAVKQNEEGKFSHAMMDFNLSGDSDGSAGVSESRIYRESRGRGSNEPHIKRPMNAFMVWAKDERRKILQAFPDMHNSNISKILGSRWKAMTNLEKQPYYEEQARLSKQHLEKYPDYKYKPRPKRTCLVDGKKLRIGEYKAIMRNRRQEMRQYFNVGQQAQIPIATAGVVYPGAIAMAGMPSPHLPSEHSSVSSSPEPGMPVIQSTYGVKGEEPHIKEEIQAEDINGEIYDEYDEEEDDPDVDYGSDSENHIAGQAN
+>DECOY_sp|P35711|SOX5_HUMAN Transcription factor SOX-5 OS=Homo sapiens OX=9606 GN=SOX5 PE=1 SV=3
+NAQGAIHNESDSGYDVDPDDEEEDYEDYIEGNIDEAQIEEKIHPEEGKVGYTSQIVPMGPEPSSSVSSHESPLHPSPMGAMAIAGPYVVGATAIPIQAQQGVNFYQRMEQRRNRMIAKYEGIRLKKGDVLCTRKPRPKYKYDPYKELHQKSLRAQEEYYPQKELNTMAKWRSGLIKSINSNHMDPFAQLIKRREDKAWVMFANMPRKIHPENSGRGRSERYIRSESVGASGDSDGSLNFDMMAHSFKGEENQKVALQQTLSELTTKEKETRCNNLGLSNVVAVKGDLVQQERRLQEKMQRAEQIAKTVADHDNLYGITSVRASPSALAAPVSAKLPGAGSNIRLAPMHPSTPSTPSKGDSTKPKASLNLPQAVEDKSKPPPSNTSKDTHISTPSVAAGLNGQPIGPLKGGPSVQMAALQAAYLQQLQLPGLGPTAAAAAAMTTPILQVPYPDSCGAKYSFGPPLLFGQQAAAALTRQDPPFVPIMLPPLQGQVQIQQQLLNIKHQQQLLQQQQRAIQEQQQKALEMQQRQKEIQSAALKKQEDHAALLQERLSTLQNIMGMLQREKEALSEPTGKIEGFNGSGMALLKDKWDKSLLKEISPTEEPENKILEEMKRQKLTDVVDALSGKRREPTGLATSSLSEGSQRGGEEAKQPSTSSNHPAFSSMVKNGDVEMTNHQSTPTRHGCTEQTLLSVPQFEESHPKNPFSVHLPLHFAPLGDSEEEEVKQRSTVMAVEGDAEGYPSAPRKSSMREFEQPLDPDTLM
+>sp|Q9BT81|SOX7_HUMAN Transcription factor SOX-7 OS=Homo sapiens OX=9606 GN=SOX7 PE=1 SV=1
+MASLLGAYPWPEGLECPALDAELSDGQSPPAVPRPPGDKGSESRIRRPMNAFMVWAKDERKRLAVQNPDLHNAELSKMLGKSWKALTLSQKRPYVDEAERLRLQHMQDYPNYKYRPRRKKQAKRLCKRVDPGFLLSSLSRDQNALPEKRSGSRGALGEKEDRGEYSPGTALPSLRGCYHEGPAGGGGGGTPSSVDTYPYGLPTPPEMSPLDVLEPEQTFFSSPCQEEHGHPRRIPHLPGHPYSPEYAPSPLHCSHPLGSLALGQSPGVSMMSPVPGCPPSPAYYSPATYHPLHSNLQAHLGQLSPPPEHPGFDALDQLSQVELLGDMDRNEFDQYLNTPGHPDSATGAMALSGHVPVSQVTPTGPTETSLISVLADATATYYNSYSVS
+>DECOY_sp|Q9BT81|SOX7_HUMAN Transcription factor SOX-7 OS=Homo sapiens OX=9606 GN=SOX7 PE=1 SV=1
+SVSYSNYYTATADALVSILSTETPGTPTVQSVPVHGSLAMAGTASDPHGPTNLYQDFENRDMDGLLEVQSLQDLADFGPHEPPPSLQGLHAQLNSHLPHYTAPSYYAPSPPCGPVPSMMSVGPSQGLALSGLPHSCHLPSPAYEPSYPHGPLHPIRRPHGHEEQCPSSFFTQEPELVDLPSMEPPTPLGYPYTDVSSPTGGGGGGAPGEHYCGRLSPLATGPSYEGRDEKEGLAGRSGSRKEPLANQDRSLSSLLFGPDVRKCLRKAQKKRRPRYKYNPYDQMHQLRLREAEDVYPRKQSLTLAKWSKGLMKSLEANHLDPNQVALRKREDKAWVMFANMPRRIRSESGKDGPPRPVAPPSQGDSLEADLAPCELGEPWPYAGLLSAM
+>sp|P57073|SOX8_HUMAN Transcription factor SOX-8 OS=Homo sapiens OX=9606 GN=SOX8 PE=2 SV=1
+MLDMSEARSQPPCSPSGTASSMSHVEDSDSDAPPSPAGSEGLGRAGVAVGGARGDPAEAADERFPACIRDAVSQVLKGYDWSLVPMPVRGGGGGALKAKPHVKRPMNAFMVWAQAARRKLADQYPHLHNAELSKTLGKLWRLLSESEKRPFVEEAERLRVQHKKDHPDYKYQPRRRKSAKAGHSDSDSGAELGPHPGGGAVYKAEAGLGDGHHHGDHTGQTHGPPTPPTTPKTELQQAGAKPELKLEGRRPVDSGRQNIDFSNVDISELSSEVMGTMDAFDVHEFDQYLPLGGPAPPEPGQAYGGAYFHAGASPVWAHKSAPSASASPTETGPPRPHIKTEQPSPGHYGDQPRGSPDYGSCSGQSSATPAAPAGPFAGSQGDYGDLQASSYYGAYPGYAPGLYQYPCFHSPRRPYASPLLNGLALPPAHSPTSHWDQPVYTTLTRP
+>DECOY_sp|P57073|SOX8_HUMAN Transcription factor SOX-8 OS=Homo sapiens OX=9606 GN=SOX8 PE=2 SV=1
+PRTLTTYVPQDWHSTPSHAPPLALGNLLPSAYPRRPSHFCPYQYLGPAYGPYAGYYSSAQLDGYDGQSGAFPGAPAAPTASSQGSCSGYDPSGRPQDGYHGPSPQETKIHPRPPGTETPSASASPASKHAWVPSAGAHFYAGGYAQGPEPPAPGGLPLYQDFEHVDFADMTGMVESSLESIDVNSFDINQRGSDVPRRGELKLEPKAGAQQLETKPTTPPTPPGHTQGTHDGHHHGDGLGAEAKYVAGGGPHPGLEAGSDSDSHGAKASKRRRPQYKYDPHDKKHQVRLREAEEVFPRKESESLLRWLKGLTKSLEANHLHPYQDALKRRAAQAWVMFANMPRKVHPKAKLAGGGGGRVPMPVLSWDYGKLVQSVADRICAPFREDAAEAPDGRAGGVAVGARGLGESGAPSPPADSDSDEVHSMSSATGSPSCPPQSRAESMDLM
+>sp|P48436|SOX9_HUMAN Transcription factor SOX-9 OS=Homo sapiens OX=9606 GN=SOX9 PE=1 SV=1
+MNLLDPFMKMTDEQEKGLSGAPSPTMSEDSAGSPCPSGSGSDTENTRPQENTFPKGEPDLKKESEEDKFPVCIREAVSQVLKGYDWTLVPMPVRVNGSSKNKPHVKRPMNAFMVWAQAARRKLADQYPHLHNAELSKTLGKLWRLLNESEKRPFVEEAERLRVQHKKDHPDYKYQPRRRKSVKNGQAEAEEATEQTHISPNAIFKALQADSPHSSSGMSEVHSPGEHSGQSQGPPTPPTTPKTDVQPGKADLKREGRPLPEGGRQPPIDFRDVDIGELSSDVISNIETFDVNEFDQYLPPNGHPGVPATHGQVTYTGSYGISSTAATPASAGHVWMSKQQAPPPPPQQPPQAPPAPQAPPQPQAAPPQQPAAPPQQPQAHTLTTLSSEPGQSQRTHIKTEQLSPSHYSEQQQHSPQQIAYSPFNLPHYSPSYPPITRSQYDYTDHQNSSSYYSHAAGQGTGLYSTFTYMNPAQRPMYTPIADTSGVPSIPQTHSPQHWEQPVYTQLTRP
+>DECOY_sp|P48436|SOX9_HUMAN Transcription factor SOX-9 OS=Homo sapiens OX=9606 GN=SOX9 PE=1 SV=1
+PRTLQTYVPQEWHQPSHTQPISPVGSTDAIPTYMPRQAPNMYTFTSYLGTGQGAAHSYYSSSNQHDTYDYQSRTIPPYSPSYHPLNFPSYAIQQPSHQQQESYHSPSLQETKIHTRQSQGPESSLTTLTHAQPQQPPAAPQQPPAAQPQPPAQPAPPAQPPQQPPPPPAQQKSMWVHGASAPTAATSSIGYSGTYTVQGHTAPVGPHGNPPLYQDFENVDFTEINSIVDSSLEGIDVDRFDIPPQRGGEPLPRGERKLDAKGPQVDTKPTTPPTPPGQSQGSHEGPSHVESMGSSSHPSDAQLAKFIANPSIHTQETAEEAEAQGNKVSKRRRPQYKYDPHDKKHQVRLREAEEVFPRKESENLLRWLKGLTKSLEANHLHPYQDALKRRAAQAWVMFANMPRKVHPKNKSSGNVRVPMPVLTWDYGKLVQSVAERICVPFKDEESEKKLDPEGKPFTNEQPRTNETDSGSGSPCPSGASDESMTPSPAGSLGKEQEDTMKMFPDLLNM
+>sp|P23497|SP100_HUMAN Nuclear autoantigen Sp-100 OS=Homo sapiens OX=9606 GN=SP100 PE=1 SV=3
+MAGGGGDLSTRRLNECISPVANEMNHLPAHSHDLQRMFTEDQGVDDRLLYDIVFKHFKRNKVEISNAIKKTFPFLEGLRDRDLITNKMFEDSQDSCRNLVPVQRVVYNVLSELEKTFNLPVLEALFSDVNMQEYPDLIHIYKGFENVIHDKLPLQESEEEEREERSGLQLSLEQGTGENSFRSLTWPPSGSPSHAGTTPPENGLSEHPCETEQINAKRKDTTSDKDDSLGSQQTNEQCAQKAEPTESCEQIAVQVNNGDAGREMPCPLPCDEESPEAELHNHGIQINSCSVRLVDIKKEKPFSNSKVECQAQARTHHNQASDIIVISSEDSEGSTDVDEPLEVFISAPRSEPVINNDNPLESNDEKEGQEATCSRPQIVPEPMDFRKLSTFRESFKKRVIGQDHDFSESSEEEAPAEASSGALRSKHGEKAPMTSRSTSTWRIPSRKRRFSSSDFSDLSNGEELQETCSSSLRRGSGSQPQEPENKKCSCVMCFPKGVPRSQEARTESSQASDMMDTMDVENNSTLEKHSGKRRKKRRHRSKVNGLQRGRKKDRPRKHLTLNNKVQKKRWQQRGRKANTRPLKRRRKRGPRIPKDENINFKQSELPVTCGEVKGTLYKERFKQGTSKKCIQSEDKKWFTPREFEIEGDRGASKNWKLSIRCGGYTLKVLMENKFLPEPPSTRKKRILESHNNTLVDPCEEHKKKNPDASVKFSEFLKKCSETWKTIFAKEKGKFEDMAKADKAHYEREMKTYIPPKGEKKKKFKDPNAPKRPPLAFFLFCSEYRPKIKGEHPGLSIDDVVKKLAGMWNNTAAADKQFYEKKAAKLKEKYKKDIAAYRAKGKPNSAKKRVVKAEKSKKKKEEEEDEEDEQEEENEEDDDK
+>DECOY_sp|P23497|SP100_HUMAN Nuclear autoantigen Sp-100 OS=Homo sapiens OX=9606 GN=SP100 PE=1 SV=3
+KDDDEENEEEQEDEEDEEEEKKKKSKEAKVVRKKASNPKGKARYAAIDKKYKEKLKAAKKEYFQKDAAATNNWMGALKKVVDDISLGPHEGKIKPRYESCFLFFALPPRKPANPDKFKKKKEGKPPIYTKMEREYHAKDAKAMDEFKGKEKAFITKWTESCKKLFESFKVSADPNKKKHEECPDVLTNNHSELIRKKRTSPPEPLFKNEMLVKLTYGGCRISLKWNKSAGRDGEIEFERPTFWKKDESQICKKSTGQKFREKYLTGKVEGCTVPLESQKFNINEDKPIRPGRKRRRKLPRTNAKRGRQQWRKKQVKNNLTLHKRPRDKKRGRQLGNVKSRHRRKKRRKGSHKELTSNNEVDMTDMMDSAQSSETRAEQSRPVGKPFCMVCSCKKNEPEQPQSGSGRRLSSSCTEQLEEGNSLDSFDSSSFRRKRSPIRWTSTSRSTMPAKEGHKSRLAGSSAEAPAEEESSESFDHDQGIVRKKFSERFTSLKRFDMPEPVIQPRSCTAEQGEKEDNSELPNDNNIVPESRPASIFVELPEDVDTSGESDESSIVIIDSAQNHHTRAQAQCEVKSNSFPKEKKIDVLRVSCSNIQIGHNHLEAEPSEEDCPLPCPMERGADGNNVQVAIQECSETPEAKQACQENTQQSGLSDDKDSTTDKRKANIQETECPHESLGNEPPTTGAHSPSGSPPWTLSRFSNEGTGQELSLQLGSREEREEEESEQLPLKDHIVNEFGKYIHILDPYEQMNVDSFLAELVPLNFTKELESLVNYVVRQVPVLNRCSDQSDEFMKNTILDRDRLGELFPFTKKIANSIEVKNRKFHKFVIDYLLRDDVGQDETFMRQLDHSHAPLHNMENAVPSICENLRRTSLDGGGGAM
+>sp|Q15506|SP17_HUMAN Sperm surface protein Sp17 OS=Homo sapiens OX=9606 GN=SPA17 PE=1 SV=1
+MSIPFSNTHYRIPQGFGNLLEGLTREILREQPDNIPAFAAAYFESLLEKREKTNFDPAEWGSKVEDRFYNNHAFEEQEPPEKSDPKQEESQISGKEEETSVTILDSSEEDKEKEEVAAVKIQAAFRGHIAREEAKKMKTNSLQNEEKEENK
+>DECOY_sp|Q15506|SP17_HUMAN Sperm surface protein Sp17 OS=Homo sapiens OX=9606 GN=SPA17 PE=1 SV=1
+KNEEKEENQLSNTKMKKAEERAIHGRFAAQIKVAAVEEKEKDEESSDLITVSTEEEKGSIQSEEQKPDSKEPPEQEEFAHNNYFRDEVKSGWEAPDFNTKERKELLSEFYAAAFAPINDPQERLIERTLGELLNGFGQPIRYHTNSFPISM
+>sp|Q3ZLR7|SP201_HUMAN Transcription factor SPT20 homolog-like 1 OS=Homo sapiens OX=9606 GN=SUPT20HL1 PE=2 SV=2
+MDRDLEQALDRAENIIEIAQQRPPRRRYSPRAGKTLQEKLYDIYVEECGKEPEDPQELRSNVNLLEKLVRRESLPCLLVNLYPGNQGYSVMLQREDGSFAETIRLPYEERALLDYLDAEELPPALGDVLDKASVNIFHSGCVIVEVRDYRQSSNMQPPGYQSRHILLRPTMQTLAHDVKMMTRDGQKWSQEDKLQLESQLILATAEPLCLDPSVAVACTANRLLYNKQKMNTDPMKRCLQRYSWPSVKPQQEQSDCPPPPELRVSTSGQKEERKVGQPCELNIAKAGSCVDTWKGRPCDLAVPSEVDVEKLAKGYQSVTAADPQLPVWPAQEVEDPFGFALEAGCQAWDTKPSIMQSFNDPLLCGKIRPRKKARQKSQKSPWQPFPDDHSACLRPGSETDAGRAVSQAQESVQSKVKGPGKMSHSSSGPASVSQLSSWKTPEQPDPVWVQSSVSGKGEKHPPPRTQLPSSSGKISSGNSFPPQQAGSPLKPAAPAAAASAAPSHSQKPSVPLIQASRPCPAAQPPTKFIKIAPAIQLRTGSTGLKAINVEGPVQGAQALGSSFKPVQAPGSGAPAPAGISGSDLQSSGGPLPDARPGAVQASSPAPLQFFLNTPEGLRPLTLLQVPQGSAVLTGPQQQSHQLVSLQQLQQPTAAHPPQPGPQGSALGLSTQGQAFPAQQLLKVNPTRARSGLQPQPQPAVLSLLGSAQVPQQGVQLPSVLRQQQPQPQPPKLQLQPQWQPKPRQEQPQSQQQQPQHIQLQTQQLRVLQQPQHIQLQTQQLRVLQQPVFLATGAVQIVQPHPGVQVGSQLVDQRKEGKPTPPAP
+>DECOY_sp|Q3ZLR7|SP201_HUMAN Transcription factor SPT20 homolog-like 1 OS=Homo sapiens OX=9606 GN=SUPT20HL1 PE=2 SV=2
+PAPPTPKGEKRQDVLQSGVQVGPHPQVIQVAGTALFVPQQLVRLQQTQLQIHQPQQLVRLQQTQLQIHQPQQQQSQPQEQRPKPQWQPQLQLKPPQPQPQQQRLVSPLQVGQQPVQASGLLSLVAPQPQPQLGSRARTPNVKLLQQAPFAQGQTSLGLASGQPGPQPPHAATPQQLQQLSVLQHSQQQPGTLVASGQPVQLLTLPRLGEPTNLFFQLPAPSSAQVAGPRADPLPGGSSQLDSGSIGAPAPAGSGPAQVPKFSSGLAQAGQVPGEVNIAKLGTSGTRLQIAPAIKIFKTPPQAAPCPRSAQILPVSPKQSHSPAASAAAAPAAPKLPSGAQQPPFSNGSSIKGSSSPLQTRPPPHKEGKGSVSSQVWVPDPQEPTKWSSLQSVSAPGSSSHSMKGPGKVKSQVSEQAQSVARGADTESGPRLCASHDDPFPQWPSKQSKQRAKKRPRIKGCLLPDNFSQMISPKTDWAQCGAELAFGFPDEVEQAPWVPLQPDAATVSQYGKALKEVDVESPVALDCPRGKWTDVCSGAKAINLECPQGVKREEKQGSTSVRLEPPPPCDSQEQQPKVSPWSYRQLCRKMPDTNMKQKNYLLRNATCAVAVSPDLCLPEATALILQSELQLKDEQSWKQGDRTMMKVDHALTQMTPRLLIHRSQYGPPQMNSSQRYDRVEVIVCGSHFINVSAKDLVDGLAPPLEEADLYDLLAREEYPLRITEAFSGDERQLMVSYGQNGPYLNVLLCPLSERRVLKELLNVNSRLEQPDEPEKGCEEVYIDYLKEQLTKGARPSYRRRPPRQQAIEIINEARDLAQELDRDM
+>sp|Q9UBP0|SPAST_HUMAN Spastin OS=Homo sapiens OX=9606 GN=SPAST PE=1 SV=1
+MNSPGGRGKKKGSGGASNPVPPRPPPPCLAPAPPAAGPAPPPESPHKRNLYYFSYPLFVGFALLRLVAFHLGLLFVWLCQRFSRALMAAKRSSGAAPAPASASAPAPVPGGEAERVRVFHKQAFEYISIALRIDEDEKAGQKEQAVEWYKKGIEELEKGIAVIVTGQGEQCERARRLQAKMMTNLVMAKDRLQLLEKMQPVLPFSKSQTDVYNDSTNLACRNGHLQSESGAVPKRKDPLTHTSNSLPRSKTVMKTGSAGLSGHHRAPSYSGLSMVSGVKQGSGPAPTTHKGTPKTNRTNKPSTPTTATRKKKDLKNFRNVDSNLANLIMNEIVDNGTAVKFDDIAGQDLAKQALQEIVILPSLRPELFTGLRAPARGLLLFGPPGNGKTMLAKAVAAESNATFFNISAASLTSKYVGEGEKLVRALFAVARELQPSIIFIDEVDSLLCERREGEHDASRRLKTEFLIEFDGVQSAGDDRVLVMGATNRPQELDEAVLRRFIKRVYVSLPNEETRLLLLKNLLCKQGSPLTQKELAQLARMTDGYSGSDLTALAKDAALGPIRELKPEQVKNMSASEMRNIRLSDFTESLKKIKRSVSPQTLEAYIRWNKDFGDTTV
+>DECOY_sp|Q9UBP0|SPAST_HUMAN Spastin OS=Homo sapiens OX=9606 GN=SPAST PE=1 SV=1
+VTTDGFDKNWRIYAELTQPSVSRKIKKLSETFDSLRINRMESASMNKVQEPKLERIPGLAADKALATLDSGSYGDTMRALQALEKQTLPSGQKCLLNKLLLLRTEENPLSVYVRKIFRRLVAEDLEQPRNTAGMVLVRDDGASQVGDFEILFETKLRRSADHEGERRECLLSDVEDIFIISPQLERAVAFLARVLKEGEGVYKSTLSAASINFFTANSEAAVAKALMTKGNGPPGFLLLGRAPARLGTFLEPRLSPLIVIEQLAQKALDQGAIDDFKVATGNDVIENMILNALNSDVNRFNKLDKKKRTATTPTSPKNTRNTKPTGKHTTPAPGSGQKVGSVMSLGSYSPARHHGSLGASGTKMVTKSRPLSNSTHTLPDKRKPVAGSESQLHGNRCALNTSDNYVDTQSKSFPLVPQMKELLQLRDKAMVLNTMMKAQLRRARECQEGQGTVIVAIGKELEEIGKKYWEVAQEKQGAKEDEDIRLAISIYEFAQKHFVRVREAEGGPVPAPASASAPAPAAGSSRKAAMLARSFRQCLWVFLLGLHFAVLRLLAFGVFLPYSFYYLNRKHPSEPPPAPGAAPPAPALCPPPPRPPVPNSAGGSGKKKGRGGPSNM
+>sp|Q9UIV8|SPB13_HUMAN Serpin B13 OS=Homo sapiens OX=9606 GN=SERPINB13 PE=1 SV=2
+MDSLGAVSTRLGFDLFKELKKTNDGNIFFSPVGILTAIGMVLLGTRGATASQLEEVFHSEKETKSSRIKAEEKEVIENTEAVHQQFQKFLTEISKLTNDYELNITNRLFGEKTYLFLQKYLDYVEKYYHASLEPVDFVNAADESRKKINSWVESKTNEKIKDLFPDGSISSSTKLVLVNMVYFKGQWDREFKKENTKEEKFWMNKSTSKSVQMMTQSHSFSFTFLEDLQAKILGIPYKNNDLSMFVLLPNDIDGLEKIIDKISPEKLVEWTSPGHMEERKVNLHLPRFEVEDGYDLEAVLAAMGMGDAFSEHKADYSGMSSGSGLYAQKFLHSSFVAVTEEGTEAAAATGIGFTVTSAPGHENVHCNHPFLFFIRHNESNSILFFGRFSSP
+>DECOY_sp|Q9UIV8|SPB13_HUMAN Serpin B13 OS=Homo sapiens OX=9606 GN=SERPINB13 PE=1 SV=2
+PSSFRGFFLISNSENHRIFFLFPHNCHVNEHGPASTVTFGIGTAAAAETGEETVAVFSSHLFKQAYLGSGSSMGSYDAKHESFADGMGMAALVAELDYGDEVEFRPLHLNVKREEMHGPSTWEVLKEPSIKDIIKELGDIDNPLLVFMSLDNNKYPIGLIKAQLDELFTFSFSHSQTMMQVSKSTSKNMWFKEEKTNEKKFERDWQGKFYVMNVLVLKTSSSISGDPFLDKIKENTKSEVWSNIKKRSEDAANVFDVPELSAHYYKEVYDLYKQLFLYTKEGFLRNTINLEYDNTLKSIETLFKQFQQHVAETNEIVEKEEAKIRSSKTEKESHFVEELQSATAGRTGLLVMGIATLIGVPSFFINGDNTKKLEKFLDFGLRTSVAGLSDM
+>sp|P50452|SPB8_HUMAN Serpin B8 OS=Homo sapiens OX=9606 GN=SERPINB8 PE=1 SV=2
+MDDLCEANGTFAISLFKILGEEDNSRNVFFSPMSISSALAMVFMGAKGSTAAQMSQALCLYKDGDIHRGFQSLLSEVNRTGTQYLLRTANRLFGEKTCDFLPDFKEYCQKFYQAELEELSFAEDTEECRKHINDWVAEKTEGKISEVLDAGTVDPLTKLVLVNAIYFKGKWNEQFDRKYTRGMLFKTNEEKKTVQMMFKEAKFKMGYADEVHTQVLELPYVEEELSMVILLPDDNTDLAVVEKALTYEKFKAWTNSEKLTKSKVQVFLPRLKLEESYDLEPFLRRLGMIDAFDEAKADFSGMSTEKNVPLSKVAHKCFVEVNEEGTEAAAATAVVRNSRCSRMEPRFCADHPFLFFIRHHKTNCILFCGRFSSP
+>DECOY_sp|P50452|SPB8_HUMAN Serpin B8 OS=Homo sapiens OX=9606 GN=SERPINB8 PE=1 SV=2
+PSSFRGCFLICNTKHHRIFFLFPHDACFRPEMRSCRSNRVVATAAAAETGEENVEVFCKHAVKSLPVNKETSMGSFDAKAEDFADIMGLRRLFPELDYSEELKLRPLFVQVKSKTLKESNTWAKFKEYTLAKEVVALDTNDDPLLIVMSLEEEVYPLELVQTHVEDAYGMKFKAEKFMMQVTKKEENTKFLMGRTYKRDFQENWKGKFYIANVLVLKTLPDVTGADLVESIKGETKEAVWDNIHKRCEETDEAFSLEELEAQYFKQCYEKFDPLFDCTKEGFLRNATRLLYQTGTRNVESLLSQFGRHIDGDKYLCLAQSMQAATSGKAGMFVMALASSISMPSFFVNRSNDEEGLIKFLSIAFTGNAECLDDM
+>sp|O75940|SPF30_HUMAN Survival of motor neuron-related-splicing factor 30 OS=Homo sapiens OX=9606 GN=SMNDC1 PE=1 SV=1
+MSEDLAKQLASYKAQLQQVEAALSGNGENEDLLKLKKDLQEVIELTKDLLSTQPSETLASSDSFASTQPTHSWKVGDKCMAVWSEDGQCYEAEIEEIDEENGTAAITFAGYGNAEVTPLLNLKPVEEGRKAKEDSGNKPMSKKEMIAQQREYKKKKALKKAQRIKELEQEREDQKVKWQQFNNRAYSKNKKGQVKRSIFASPESVTGKVGVGTCGIADKPMTQYQDTSKYNVRHLMPQ
+>DECOY_sp|O75940|SPF30_HUMAN Survival of motor neuron-related-splicing factor 30 OS=Homo sapiens OX=9606 GN=SMNDC1 PE=1 SV=1
+QPMLHRVNYKSTDQYQTMPKDAIGCTGVGVKGTVSEPSAFISRKVQGKKNKSYARNNFQQWKVKQDEREQELEKIRQAKKLAKKKKYERQQAIMEKKSMPKNGSDEKAKRGEEVPKLNLLPTVEANGYGAFTIAATGNEEDIEEIEAEYCQGDESWVAMCKDGVKWSHTPQTSAFSDSSALTESPQTSLLDKTLEIVEQLDKKLKLLDENEGNGSLAAEVQQLQAKYSALQKALDESM
+>sp|Q9C004|SPY4_HUMAN Protein sprouty homolog 4 OS=Homo sapiens OX=9606 GN=SPRY4 PE=1 SV=2
+MEPPIPQSAPLTPNSVMVQPLLDSRMSHSRLQHPLTILPIDQVKTSHVENDYIDNPSLALTTGPKRTRGGAPELAPTPARCDQDVTHHWISFSGRPSSVSSSSSTSSDQRLLDHMAPPPVADQASPRAVRIQPKVVHCQPLDLKGPAVPPELDKHFLLCEACGKCKCKECASPRTLPSCWVCNQECLCSAQTLVNYGTCMCLVQGIFYHCTNEDDEGSCADHPCSCSRSNCCARWSFMGALSVVLPCLLCYLPATGCVKLAQRGYDRLRRPGCRCKHTNSVICKAASGDAKTSRPDKPF
+>DECOY_sp|Q9C004|SPY4_HUMAN Protein sprouty homolog 4 OS=Homo sapiens OX=9606 GN=SPRY4 PE=1 SV=2
+FPKDPRSTKADGSAAKCIVSNTHKCRCGPRRLRDYGRQALKVCGTAPLYCLLCPLVVSLAGMFSWRACCNSRSCSCPHDACSGEDDENTCHYFIGQVLCMCTGYNVLTQASCLCEQNCVWCSPLTRPSACEKCKCKGCAECLLFHKDLEPPVAPGKLDLPQCHVVKPQIRVARPSAQDAVPPPAMHDLLRQDSSTSSSSSVSSPRGSFSIWHHTVDQDCRAPTPALEPAGGRTRKPGTTLALSPNDIYDNEVHSTKVQDIPLITLPHQLRSHSMRSDLLPQVMVSNPTLPASQPIPPEM
+>sp|P21549|SPYA_HUMAN Serine--pyruvate aminotransferase OS=Homo sapiens OX=9606 GN=AGXT PE=1 SV=1
+MASHKLLVTPPKALLKPLSIPNQLLLGPGPSNLPPRIMAAGGLQMIGSMSKDMYQIMDEIKEGIQYVFQTRNPLTLVISGSGHCALEAALVNVLEPGDSFLVGANGIWGQRAVDIGERIGARVHPMTKDPGGHYTLQEVEEGLAQHKPVLLFLTHGESSTGVLQPLDGFGELCHRYKCLLLVDSVASLGGTPLYMDRQGIDILYSGSQKALNAPPGTSLISFSDKAKKKMYSRKTKPFSFYLDIKWLANFWGCDDQPRMYHHTIPVISLYSLRESLALIAEQGLENSWRQHREAAAYLHGRLQALGLQLFVKDPALRLPTVTTVAVPAGYDWRDIVSYVIDHFDIEIMGGLGPSTGKVLRIGLLGCNATRENVDRVTEALRAALQHCPKKKL
+>DECOY_sp|P21549|SPYA_HUMAN Serine--pyruvate aminotransferase OS=Homo sapiens OX=9606 GN=AGXT PE=1 SV=1
+LKKKPCHQLAARLAETVRDVNERTANCGLLGIRLVKGTSPGLGGMIEIDFHDIVYSVIDRWDYGAPVAVTTVTPLRLAPDKVFLQLGLAQLRGHLYAAAERHQRWSNELGQEAILALSERLSYLSIVPITHHYMRPQDDCGWFNALWKIDLYFSFPKTKRSYMKKKAKDSFSILSTGPPANLAKQSGSYLIDIGQRDMYLPTGGLSAVSDVLLLCKYRHCLEGFGDLPQLVGTSSEGHTLFLLVPKHQALGEEVEQLTYHGGPDKTMPHVRAGIREGIDVARQGWIGNAGVLFSDGPELVNVLAAELACHGSGSIVLTLPNRTQFVYQIGEKIEDMIQYMDKSMSGIMQLGGAAMIRPPLNSPGPGLLLQNPISLPKLLAKPPTVLLKHSAM
+>sp|Q9Y6N5|SQOR_HUMAN Sulfide:quinone oxidoreductase, mitochondrial OS=Homo sapiens OX=9606 GN=SQOR PE=1 SV=1
+MVPLVAVVSGPRAQLFACLLRLGTQQVGPLQLHTGASHAARNHYEVLVLGGGSGGITMAARMKRKVGAENVAIVEPSERHFYQPIWTLVGAGAKQLSSSGRPTASVIPSGVEWIKARVTELNPDKNCIHTDDDEKISYRYLIIALGIQLDYEKIKGLPEGFAHPKIGSNYSVKTVEKTWKALQDFKEGNAIFTFPNTPVKCAGAPQKIMYLSEAYFRKTGKRSKANIIFNTSLGAIFGVKKYADALQEIIQERNLTVNYKKNLIEVRADKQEAVFENLDKPGETQVISYEMLHVTPPMSPPDVLKTSPVADAAGWVDVDKETLQHRRYPNVFGIGDCTNLPTSKTAAAVAAQSGILDRTISVIMKNQTPTKKYDGYTSCPLVTGYNRVILAEFDYKAEPLETFPFDQSKERLSMYLMKADLMPFLYWNMMLRGYWGGPAFLRKLFHLGMS
+>DECOY_sp|Q9Y6N5|SQOR_HUMAN Sulfide:quinone oxidoreductase, mitochondrial OS=Homo sapiens OX=9606 GN=SQOR PE=1 SV=1
+SMGLHFLKRLFAPGGWYGRLMMNWYLFPMLDAKMLYMSLREKSQDFPFTELPEAKYDFEALIVRNYGTVLPCSTYGDYKKTPTQNKMIVSITRDLIGSQAAVAAATKSTPLNTCDGIGFVNPYRRHQLTEKDVDVWGAADAVPSTKLVDPPSMPPTVHLMEYSIVQTEGPKDLNEFVAEQKDARVEILNKKYNVTLNREQIIEQLADAYKKVGFIAGLSTNFIINAKSRKGTKRFYAESLYMIKQPAGACKVPTNPFTFIANGEKFDQLAKWTKEVTKVSYNSGIKPHAFGEPLGKIKEYDLQIGLAIILYRYSIKEDDDTHICNKDPNLETVRAKIWEVGSPIVSATPRGSSSLQKAGAGVLTWIPQYFHRESPEVIAVNEAGVKRKMRAAMTIGGSGGGLVLVEYHNRAAHSAGTHLQLPGVQQTGLRLLCAFLQARPGSVVAVLPVM
+>sp|O94875|SRBS2_HUMAN Sorbin and SH3 domain-containing protein 2 OS=Homo sapiens OX=9606 GN=SORBS2 PE=1 SV=3
+MSYYQRPFSPSAYSLPASLNSSIVMQHGTSLDSTDTYPQHAQSLDGTTSSSIPLYRSSEEEKRVTVIKAPHYPGIGPVDESGIPTAIRTTVDRPKDWYKTMFKQIHMVHKPDDDTDMYNTPYTYNAGLYNPPYSAQSHPAAKTQTYRPLSKSHSDNSPNAFKDASSPVPPPHVPPPVPPLRPRDRSSTEKHDWDPPDRKVDTRKFRSEPRSIFEYEPGKSSILQHERPASLYQSSIDRSLERPMSSASMASDFRKRRKSEPAVGPPRGLGDQSASRTSPGRVDLPGSSTTLTKSFTSSSPSSPSRAKGGDDSKICPSLCSYSGLNGNPSSELDYCSTYRQHLDVPRDSPRAISFKNGWQMARQNAEIWSSTEETVSPKIKSRSCDDLLNDDCDSFPDPKVKSESMGSLLCEEDSKESCPMAWGSPYVPEVRSNGRSRIRHRSARNAPGFLKMYKKMHRINRKDLMNSEVICSVKSRILQYESEQQHKDLLRAWSQCSTEEVPRDMVPTRISEFEKLIQKSKSMPNLGDDMLSPVTLEPPQNGLCPKRRFSIEYLLEEENQSGPPARGRRGCQSNALVPIHIEVTSDEQPRAHVEFSDSDQDGVVSDHSDYIHLEGSSFCSESDFDHFSFTSSESFYGSSHHHHHHHHHHHRHLISSCKGRCPASYTRFTTMLKHERARHENTEEPRRQEMDPGLSKLAFLVSPVPFRRKKNSAPKKQTEKAKCKASVFEALDSALKDICDQIKAEKKRGSLPDNSILHRLISELLPDVPERNSSLRALRRSPLHQPLHPLPPDGAIHCPPYQNDCGRMPRSASFQDVDTANSSCHHQDRGGALQDRESPRSYSSTLTDMGRSAPRERRGTPEKEKLPAKAVYDFKAQTSKELSFKKGDTVYILRKIDQNWYEGEHHGRVGIFPISYVEKLTPPEKAQPARPPPPAQPGEIGEAIAKYNFNADTNVELSLRKGDRVILLKRVDQNWYEGKIPGTNRQGIFPVSYVEVVKKNTKGAEDYPDPPIPHSYSSDRIHSLSSNKPQRPVFTHENIQGGGEPFQALYNYTPRNEDELELRESDVIDVMEKCDDGWFVGTSRRTKFFGTFPGNYVKRL
+>DECOY_sp|O94875|SRBS2_HUMAN Sorbin and SH3 domain-containing protein 2 OS=Homo sapiens OX=9606 GN=SORBS2 PE=1 SV=3
+LRKVYNGPFTGFFKTRRSTGVFWGDDCKEMVDIVDSERLELEDENRPTYNYLAQFPEGGGQINEHTFVPRQPKNSSLSHIRDSSYSHPIPPDPYDEAGKTNKKVVEVYSVPFIGQRNTGPIKGEYWNQDVRKLLIVRDGKRLSLEVNTDANFNYKAIAEGIEGPQAPPPPRAPQAKEPPTLKEVYSIPFIGVRGHHEGEYWNQDIKRLIYVTDGKKFSLEKSTQAKFDYVAKAPLKEKEPTGRRERPASRGMDTLTSSYSRPSERDQLAGGRDQHHCSSNATDVDQFSASRPMRGCDNQYPPCHIAGDPPLPHLPQHLPSRRLARLSSNREPVDPLLESILRHLISNDPLSGRKKEAKIQDCIDKLASDLAEFVSAKCKAKETQKKPASNKKRRFPVPSVLFALKSLGPDMEQRRPEETNEHRAREHKLMTTFRTYSAPCRGKCSSILHRHHHHHHHHHHHSSGYFSESSTFSFHDFDSESCFSSGELHIYDSHDSVVGDQDSDSFEVHARPQEDSTVEIHIPVLANSQCGRRGRAPPGSQNEEELLYEISFRRKPCLGNQPPELTVPSLMDDGLNPMSKSKQILKEFESIRTPVMDRPVEETSCQSWARLLDKHQQESEYQLIRSKVSCIVESNMLDKRNIRHMKKYMKLFGPANRASRHRIRSRGNSRVEPVYPSGWAMPCSEKSDEECLLSGMSESKVKPDPFSDCDDNLLDDCSRSKIKPSVTEETSSWIEANQRAMQWGNKFSIARPSDRPVDLHQRYTSCYDLESSPNGNLGSYSCLSPCIKSDDGGKARSPSSPSSSTFSKTLTTSSGPLDVRGPSTRSASQDGLGRPPGVAPESKRRKRFDSAMSASSMPRELSRDISSQYLSAPREHQLISSKGPEYEFISRPESRFKRTDVKRDPPDWDHKETSSRDRPRLPPVPPPVHPPPVPSSADKFANPSNDSHSKSLPRYTQTKAAPHSQASYPPNYLGANYTYPTNYMDTDDDPKHVMHIQKFMTKYWDKPRDVTTRIATPIGSEDVPGIGPYHPAKIVTVRKEEESSRYLPISSSTTGDLSQAHQPYTDTSDLSTGHQMVISSNLSAPLSYASPSFPRQYYSM
+>sp|Q86TD4|SRCA_HUMAN Sarcalumenin OS=Homo sapiens OX=9606 GN=SRL PE=2 SV=2
+MRALVLLGCLLASLLFSGQAELQVSASGGTEDVGNLLENHFSAGDASLEEKERALYADTAPQDKKLLLHYPDGREAESPKKTPASAASAGPDPEASLSNASATESPPPGERDDRDAAGPGEEKNGPPVASALPPGGAKGPVEEEWPEPSSGEGQGEEETGFGLPTEGTASGEAGGQAGGHELPEEVQEVQGDSLVQGAVAGTAEPKAEGASPHSEGDGVGPLNAEAEGSPGPGEEPAVPEGAPDVAAVGGESEPDIDTQASEGTEDQGEPGPAAEASAEPGGAQSVKAGDTEESQAPEMTEEDADEASSEEESGDGSGSEEEGGVPSEEESEEDSGDGASSEEAEGASEEATEPQEAGEPQEAREPQEGGDLQEAEESQEGGDPQEAEEPQEGGAPQEGGEPQEGGDPQEAREPQEAREPQEGAELPEATGTTSHRDRGAQPGPEELNTESMGSETLDMKAEEPEEGHQGRESPIIVAQEETEDANEEAPLRDRSHIEKTLMLNEDKPSDDYSAVLQRLRKIYHSSIKPLEQSYKYNELRQHEITDGEITSKPMVLFLGPWSVGKSTMINYLLGLENTRYQLYTGAEPTTSEFTVLMHGPKLKTIEGIVMAADSARSFSPLEKFGQNFLEKLIGIEVPHKLLERVTFVDTPGIIENRKQQERGYPFNDVCQWFIDRADLIFVVFDPTKLDVGLELEMLFRQLKGRESQIRIILNKADNLATQMLMRVYGALFWSLAPLINVTEPPRVYVSSFWPQEYKPDTHQELFLQEEISLLEDLNQVIENRLENKIAFIRQHAIRVRIHALLVDRYLQTYKDKMTFFSDGELVFKDIVEDPDKFYIFKTILAKTNVSKFDLPNREAYKDFFGINPISSFKLLSQQCSYMGGCFLEKIERAITQELPGLLGSLGLGKNPGALNCDKTGCSETPKNRYRKH
+>DECOY_sp|Q86TD4|SRCA_HUMAN Sarcalumenin OS=Homo sapiens OX=9606 GN=SRL PE=2 SV=2
+HKRYRNKPTESCGTKDCNLAGPNKGLGLSGLLGPLEQTIAREIKELFCGGMYSCQQSLLKFSSIPNIGFFDKYAERNPLDFKSVNTKALITKFIYFKDPDEVIDKFVLEGDSFFTMKDKYTQLYRDVLLAHIRVRIAHQRIFAIKNELRNEIVQNLDELLSIEEQLFLEQHTDPKYEQPWFSSVYVRPPETVNILPALSWFLAGYVRMLMQTALNDAKNLIIRIQSERGKLQRFLMELELGVDLKTPDFVVFILDARDIFWQCVDNFPYGREQQKRNEIIGPTDVFTVRELLKHPVEIGILKELFNQGFKELPSFSRASDAAMVIGEITKLKPGHMLVTFESTTPEAGTYLQYRTNELGLLYNIMTSKGVSWPGLFLVMPKSTIEGDTIEHQRLENYKYSQELPKISSHYIKRLRQLVASYDDSPKDENLMLTKEIHSRDRLPAEENADETEEQAVIIPSERGQHGEEPEEAKMDLTESGMSETNLEEPGPQAGRDRHSTTGTAEPLEAGEQPERAEQPERAEQPDGGEQPEGGEQPAGGEQPEEAEQPDGGEQSEEAEQLDGGEQPERAEQPEGAEQPETAEESAGEAEESSAGDGSDEESEEESPVGGEEESGSGDGSEEESSAEDADEETMEPAQSEETDGAKVSQAGGPEASAEAAPGPEGQDETGESAQTDIDPESEGGVAAVDPAGEPVAPEEGPGPSGEAEANLPGVGDGESHPSAGEAKPEATGAVAGQVLSDGQVEQVEEPLEHGGAQGGAEGSATGETPLGFGTEEEGQGEGSSPEPWEEEVPGKAGGPPLASAVPPGNKEEGPGAADRDDREGPPPSETASANSLSAEPDPGASAASAPTKKPSEAERGDPYHLLLKKDQPATDAYLAREKEELSADGASFHNELLNGVDETGGSASVQLEAQGSFLLSALLCGLLVLARM
+>sp|A1L4H1|SRCRL_HUMAN Soluble scavenger receptor cysteine-rich domain-containing protein SSC5D OS=Homo sapiens OX=9606 GN=SSC5D PE=1 SV=3
+MRVLACLLAALVGIQAVERLRLADGPHGCAGRLEVWHGGRWGTVCDDGWDLRDAAVACRQLGCGGALAAPGGAFFGEGAGPVWLSELACRGNEGQLGLCHHRGWKAHICSHEEDAGVVCAGQRVANSRDDSTSPLDGAPWPGLLLELSPSTEEPLVTHAPRPAGNPQNASRKKSPRPKQAKSTRAPLLTTGAPRQERLRLVSGPHRCAGRLEVWHGGRWGTVCDDGWDLRDAAVACRELGCGGALAAPGGARFGPGAGPVWMDDVGCGGGEQALRDCPRSPWGRSNCDHSEDAGLVCTGPAPRLRLADGPHGCAGRLEVWHGGRWGSVCDDAWDLRDAAVACRELGCGGALAAPGGAFFGEGSGPIILDDLRCRGNETALRFCPARPWGQHDCHHREDAGAVCDGMPLGYVPPTAPTDSNNSTPREAASRPPSTMTSQAPGTAGVSPPPASPTVLWEPGPEAGSPQLRLVAGPSKCSGRLEVWHDQRWGTVCDDSWDMRDSAVVCRELGCGGPQQPDPAAGRFGWGAGPIWLDDVGCVGTEASLSDCPAAPWGKHNCAHNEDVGVTCTGPPGLDSISDPFSWSWIPGLGRDRDAWLPGELATKPSASVTASVLEKTTTKAPGKMPKSTKKWVTKNAKRPTTQPPVMPTTKHSRAQSPPDLTSQTTAALTTEASRRPTSEFTRRPTTEAPQRWTSHTTATLTPQAPRERTTKTMAMLTTQGPQEMTSESTIKSIPQASLEPSAEIPEGSPESPKDPAPSPSVSTTGESGLFRVRLADGPNRCAGRLEVWHAGRWGTVCDDNWDLRDATVACWELGCGKVRPRVGKTHYGPGTGPIWLDDMGCKGSEASLSDCPSGAWGKHNCDHEEDVGLTCTGYTDYDDYPPWTWDPTSREDLAKGTTTAGVPGHTLPWRTTRRPGSSSPAIRRLPDTGSKDGYKLPWTWDTPSGRGLAEGTPTAGKLGPTLGAGTTRSPGSPPTLRVHGDTGSPRKPWPERRPPRPAATRTAPPTPSPGPSASPGPPGPALTSDSSRELTPHSALTSEATSDAPDTSPPTPDPASRTNPDLILTSPDFALSTPDSSVVPALTPEPSPTPLPTLPKELTSDPSTPSEVTSLSPTSEQVPESDTTPDLDTTPYSSTVSEYSRSPDPSPSPHPTTTPDPTMAPDPITTLNPTVTPHFPTTPHPTTTPHPTTITHSTMIPDPTTTPQPFTTITHSTMIPDPTTTPQPFTTMQPTTTPHSTTPHPTTTPHPTTITHSTMIPDPTTTPQPFTTMQPTTMPHPTTTPHPTTTPHPTTTPHPTTTPHPTMTPDPTTTPYPTTTPDPTTTPHPTTPDPSSTPVITTVSLPTSLGTELSSPTLAPTVKPSLHPQLTFTAPAPHTSTSQIPTLEPSPALESSPSRSSTATSMDPLSTEDFKPPRSQSPNLTPPPTHTPHSASDLTVSPDPLLSPTAHPLDHPPLDPLTLGPTPGQSPGPHGPCVAPTPPVRVMACEPPALVELVAAVRDVGGQLQRLTQVVEQERQERQALLLGLTQLVEAARGLGQLGEAVKRLAEMAWTTSMPAPTTTTPEEEERPLRGDV
+>DECOY_sp|A1L4H1|SRCRL_HUMAN Soluble scavenger receptor cysteine-rich domain-containing protein SSC5D OS=Homo sapiens OX=9606 GN=SSC5D PE=1 SV=3
+VDGRLPREEEEPTTTTPAPMSTTWAMEALRKVAEGLQGLGRAAEVLQTLGLLLAQREQREQEVVQTLRQLQGGVDRVAAVLEVLAPPECAMVRVPPTPAVCPGHPGPSQGPTPGLTLPDLPPHDLPHATPSLLPDPSVTLDSASHPTHTPPPTLNPSQSRPPKFDETSLPDMSTATSSRSPSSELAPSPELTPIQSTSTHPAPATFTLQPHLSPKVTPALTPSSLETGLSTPLSVTTIVPTSSPDPTTPHPTTTPDPTTTPYPTTTPDPTMTPHPTTTPHPTTTPHPTTTPHPTTTPHPMTTPQMTTFPQPTTTPDPIMTSHTITTPHPTTTPHPTTSHPTTTPQMTTFPQPTTTPDPIMTSHTITTFPQPTTTPDPIMTSHTITTPHPTTTPHPTTPFHPTVTPNLTTIPDPAMTPDPTTTPHPSPSPDPSRSYESVTSSYPTTDLDPTTDSEPVQESTPSLSTVESPTSPDSTLEKPLTPLPTPSPEPTLAPVVSSDPTSLAFDPSTLILDPNTRSAPDPTPPSTDPADSTAESTLASHPTLERSSDSTLAPGPPGPSASPGPSPTPPATRTAAPRPPRREPWPKRPSGTDGHVRLTPPSGPSRTTGAGLTPGLKGATPTGEALGRGSPTDWTWPLKYGDKSGTDPLRRIAPSSSGPRRTTRWPLTHGPVGATTTGKALDERSTPDWTWPPYDDYDTYGTCTLGVDEEHDCNHKGWAGSPCDSLSAESGKCGMDDLWIPGTGPGYHTKGVRPRVKGCGLEWCAVTADRLDWNDDCVTGWRGAHWVELRGACRNPGDALRVRFLGSEGTTSVSPSPAPDKPSEPSGEPIEASPELSAQPISKITSESTMEQPGQTTLMAMTKTTRERPAQPTLTATTHSTWRQPAETTPRRTFESTPRRSAETTLAATTQSTLDPPSQARSHKTTPMVPPQTTPRKANKTVWKKTSKPMKGPAKTTTKELVSATVSASPKTALEGPLWADRDRGLGPIWSWSFPDSISDLGPPGTCTVGVDENHACNHKGWPAAPCDSLSAETGVCGVDDLWIPGAGWGFRGAAPDPQQPGGCGLERCVVASDRMDWSDDCVTGWRQDHWVELRGSCKSPGAVLRLQPSGAEPGPEWLVTPSAPPPSVGATGPAQSTMTSPPRSAAERPTSNNSDTPATPPVYGLPMGDCVAGADERHHCDHQGWPRAPCFRLATENGRCRLDDLIIPGSGEGFFAGGPAALAGGCGLERCAVAADRLDWADDCVSGWRGGHWVELRGACGHPGDALRLRPAPGTCVLGADESHDCNSRGWPSRPCDRLAQEGGGCGVDDMWVPGAGPGFRAGGPAALAGGCGLERCAVAADRLDWGDDCVTGWRGGHWVELRGACRHPGSVLRLREQRPAGTTLLPARTSKAQKPRPSKKRSANQPNGAPRPAHTVLPEETSPSLELLLGPWPAGDLPSTSDDRSNAVRQGACVVGADEEHSCIHAKWGRHHCLGLQGENGRCALESLWVPGAGEGFFAGGPAALAGGCGLQRCAVAADRLDWGDDCVTGWRGGHWVELRGACGHPGDALRLREVAQIGVLAALLCALVRM
+>sp|Q9H3Y6|SRMS_HUMAN Tyrosine-protein kinase Srms OS=Homo sapiens OX=9606 GN=SRMS PE=1 SV=1
+MEPFLRRRLAFLSFFWDKIWPAGGEPDHGTPGSLDPNTDPVPTLPAEPCSPFPQLFLALYDFTARCGGELSVRRGDRLCALEEGGGYIFARRLSGQPSAGLVPITHVAKASPETLSDQPWYFSGVSRTQAQQLLLSPPNEPGAFLIRPSESSLGGYSLSVRAQAKVCHYRVSMAADGSLYLQKGRLFPGLEELLTYYKANWKLIQNPLLQPCMPQKAPRQDVWERPHSEFALGRKLGEGYFGEVWEGLWLGSLPVAIKVIKSANMKLTDLAKEIQTLKGLRHERLIRLHAVCSGGEPVYIVTELMRKGNLQAFLGTPEGRALRLPPLLGFACQVAEGMSYLEEQRVVHRDLAARNVLVDDGLACKVADFGLARLLKDDIYSPSSSSKIPVKWTAPEAANYRVFSQKSDVWSFGVLLHEVFTYGQCPYEGMTNHETLQQIMRGYRLPRPAACPAEVYVLMLECWRSSPEERPSFATLREKLHAIHRCHP
+>DECOY_sp|Q9H3Y6|SRMS_HUMAN Tyrosine-protein kinase Srms OS=Homo sapiens OX=9606 GN=SRMS PE=1 SV=1
+PHCRHIAHLKERLTAFSPREEPSSRWCELMLVYVEAPCAAPRPLRYGRMIQQLTEHNTMGEYPCQGYTFVEHLLVGFSWVDSKQSFVRYNAAEPATWKVPIKSSSSPSYIDDKLLRALGFDAVKCALGDDVLVNRAALDRHVVRQEELYSMGEAVQCAFGLLPPLRLARGEPTGLFAQLNGKRMLETVIYVPEGGSCVAHLRILREHRLGKLTQIEKALDTLKMNASKIVKIAVPLSGLWLGEWVEGFYGEGLKRGLAFESHPREWVDQRPAKQPMCPQLLPNQILKWNAKYYTLLEELGPFLRGKQLYLSGDAAMSVRYHCVKAQARVSLSYGGLSSESPRILFAGPENPPSLLLQQAQTRSVGSFYWPQDSLTEPSAKAVHTIPVLGASPQGSLRRAFIYGGGEELACLRDGRRVSLEGGCRATFDYLALFLQPFPSCPEAPLTPVPDTNPDLSGPTGHDPEGGAPWIKDWFFSLFALRRRLFPEM
+>sp|O76094|SRP72_HUMAN Signal recognition particle subunit SRP72 OS=Homo sapiens OX=9606 GN=SRP72 PE=1 SV=3
+MASGGSGGVSVPALWSEVNRYGQNGDFTRALKTVNKILQINKDDVTALHCKVVCLIQNGSFKEALNVINTHTKVLANNSLSFEKAYCEYRLNRIENALKTIESANQQTDKLKELYGQVLYRLERYDECLAVYRDLVRNSQDDYDEERKTNLSAVVAAQSNWEKVVPENLGLQEGTHELCYNTACALIGQGQLNQAMKILQKAEDLCRRSLSEDTDGTEEDPQAELAIIHGQMAYILQLQGRTEEALQLYNQIIKLKPTDVGLLAVIANNIITINKDQNVFDSKKKVKLTNAEGVEFKLSKKQLQAIEFNKALLAMYTNQAEQCRKISASLQSQSPEHLLPVLIQAAQLCREKQHTKAIELLQEFSDQHPENAAEIKLTMAQLKISQGNISKACLILRSIEELKHKPGMVSALVTMYSHEEDIDSAIEVFTQAIQWYQNHQPKSPAHLSLIREAANFKLKYGRKKEAISDLQQLWKQNPKDIHTLAQLISAYSLVDPEKAKALSKHLPSSDSMSLKVDVEALENSAGATYIRKKGGKVTGDSQPKEQGQGDLKKKKKKKKGKLPKNYDPKVTPDPERWLPMRERSYYRGRKKGKKKDQIGKGTQGATAGASSELDASKTVSSPPTSPRPGSAATVSASTSNIIPPRHQKPAGAPATKKKQQQKKKKGGKGGW
+>DECOY_sp|O76094|SRP72_HUMAN Signal recognition particle subunit SRP72 OS=Homo sapiens OX=9606 GN=SRP72 PE=1 SV=3
+WGGKGGKKKKQQQKKKTAPAGAPKQHRPPIINSTSASVTAASGPRPSTPPSSVTKSADLESSAGATAGQTGKGIQDKKKGKKRGRYYSRERMPLWREPDPTVKPDYNKPLKGKKKKKKKKLDGQGQEKPQSDGTVKGGKKRIYTAGASNELAEVDVKLSMSDSSPLHKSLAKAKEPDVLSYASILQALTHIDKPNQKWLQQLDSIAEKKRGYKLKFNAAERILSLHAPSKPQHNQYWQIAQTFVEIASDIDEEHSYMTVLASVMGPKHKLEEISRLILCAKSINGQSIKLQAMTLKIEAANEPHQDSFEQLLEIAKTHQKERCLQAAQILVPLLHEPSQSQLSASIKRCQEAQNTYMALLAKNFEIAQLQKKSLKFEVGEANTLKVKKKSDFVNQDKNITIINNAIVALLGVDTPKLKIIQNYLQLAEETRGQLQLIYAMQGHIIALEAQPDEETGDTDESLSRRCLDEAKQLIKMAQNLQGQGILACATNYCLEHTGEQLGLNEPVVKEWNSQAAVVASLNTKREEDYDDQSNRVLDRYVALCEDYRELRYLVQGYLEKLKDTQQNASEITKLANEIRNLRYECYAKEFSLSNNALVKTHTNIVNLAEKFSGNQILCVVKCHLATVDDKNIQLIKNVTKLARTFDGNQGYRNVESWLAPVSVGGSGGSAM
+>sp|P78362|SRPK2_HUMAN SRSF protein kinase 2 OS=Homo sapiens OX=9606 GN=SRPK2 PE=1 SV=3
+MSVNSEKSSSSERPEPQQKAPLVPPPPPPPPPPPPPLPDPTPPEPEEEILGSDDEEQEDPADYCKGGYHPVKIGDLFNGRYHVIRKLGWGHFSTVWLCWDMQGKRFVAMKVVKSAQHYTETALDEIKLLKCVRESDPSDPNKDMVVQLIDDFKISGMNGIHVCMVFEVLGHHLLKWIIKSNYQGLPVRCVKSIIRQVLQGLDYLHSKCKIIHTDIKPENILMCVDDAYVRRMAAEATEWQKAGAPPPSGSAVSTAPQQKPIGKISKNKKKKLKKKQKRQAELLEKRLQEIEELEREAERKIIEENITSAAPSNDQDGEYCPEVKLKTTGLEEAAEAETAKDNGEAEDQEEKEDAEKENIEKDEDDVDQELANIDPTWIESPKTNGHIENGPFSLEQQLDDEDDDEEDCPNPEEYNLDEPNAESDYTYSSSYEQFNGELPNGRHKIPESQFPEFSTSLFSGSLEPVACGSVLSEGSPLTEQEESSPSHDRSRTVSASSTGDLPKAKTRAADLLVNPLDPRNADKIRVKIADLGNACWVHKHFTEDIQTRQYRSIEVLIGAGYSTPADIWSTACMAFELATGDYLFEPHSGEDYSRDEDHIAHIIELLGSIPRHFALSGKYSREFFNRRGELRHITKLKPWSLFDVLVEKYGWPHEDAAQFTDFLIPMLEMVPEKRASAGECLRHPWLNS
+>DECOY_sp|P78362|SRPK2_HUMAN SRSF protein kinase 2 OS=Homo sapiens OX=9606 GN=SRPK2 PE=1 SV=3
+SNLWPHRLCEGASARKEPVMELMPILFDTFQAADEHPWGYKEVLVDFLSWPKLKTIHRLEGRRNFFERSYKGSLAFHRPISGLLEIIHAIHDEDRSYDEGSHPEFLYDGTALEFAMCATSWIDAPTSYGAGILVEISRYQRTQIDETFHKHVWCANGLDAIKVRIKDANRPDLPNVLLDAARTKAKPLDGTSSASVTRSRDHSPSSEEQETLPSGESLVSGCAVPELSGSFLSTSFEPFQSEPIKHRGNPLEGNFQEYSSSYTYDSEANPEDLNYEEPNPCDEEDDDEDDLQQELSFPGNEIHGNTKPSEIWTPDINALEQDVDDEDKEINEKEADEKEEQDEAEGNDKATEAEAAEELGTTKLKVEPCYEGDQDNSPAASTINEEIIKREAERELEEIEQLRKELLEAQRKQKKKLKKKKNKSIKGIPKQQPATSVASGSPPPAGAKQWETAEAAMRRVYADDVCMLINEPKIDTHIIKCKSHLYDLGQLVQRIISKVCRVPLGQYNSKIIWKLLHHGLVEFVMCVHIGNMGSIKFDDILQVVMDKNPDSPDSERVCKLLKIEDLATETYHQASKVVKMAVFRKGQMDWCLWVTSFHGWGLKRIVHYRGNFLDGIKVPHYGGKCYDAPDEQEEDDSGLIEEEPEPPTPDPLPPPPPPPPPPPPPVLPAKQQPEPRESSSSKESNVSM
+>sp|P78539|SRPX_HUMAN Sushi repeat-containing protein SRPX OS=Homo sapiens OX=9606 GN=SRPX PE=1 SV=1
+MGSPAHRPALLLLLPPLLLLLLLRVPPSRSFPGSGDSPLEDDEVGYSHPRYKDTPWCSPIKVKYGDVYCRAPQGGYYKTALGTRCDIRCQKGYELHGSSLLICQSNKRWSDKVICKQKRCPTLAMPANGGFKCVDGAYFNSRCEYYCSPGYTLKGERTVTCMDNKAWSGRPASCVDMEPPRIKCPSVKERIAEPNKLTVRVSWETPEGRDTADGILTDVILKGLPPGSNFPEGDHKIQYTVYDRAENKGTCKFRVKVRVKRCGKLNAPENGYMKCSSDGDNYGATCEFSCIGGYELQGSPARVCQSNLAWSGTEPTCAAMNVNVGVRTAAALLDQFYEKRRLLIVSTPTARNLLYRLQLGMLQQAQCGLDLRHITVVELVGVFPTLIGRIGAKIMPPALALQLRLLLRIPLYSFSMVLVDKHGMDKERYVSLVMPVALFNLIDTFPLRKEEMVLQAEMSQTCNT
+>DECOY_sp|P78539|SRPX_HUMAN Sushi repeat-containing protein SRPX OS=Homo sapiens OX=9606 GN=SRPX PE=1 SV=1
+TNCTQSMEAQLVMEEKRLPFTDILNFLAVPMVLSVYREKDMGHKDVLVMSFSYLPIRLLLRLQLALAPPMIKAGIRGILTPFVGVLEVVTIHRLDLGCQAQQLMGLQLRYLLNRATPTSVILLRRKEYFQDLLAAATRVGVNVNMAACTPETGSWALNSQCVRAPSGQLEYGGICSFECTAGYNDGDSSCKMYGNEPANLKGCRKVRVKVRFKCTGKNEARDYVTYQIKHDGEPFNSGPPLGKLIVDTLIGDATDRGEPTEWSVRVTLKNPEAIREKVSPCKIRPPEMDVCSAPRGSWAKNDMCTVTREGKLTYGPSCYYECRSNFYAGDVCKFGGNAPMALTPCRKQKCIVKDSWRKNSQCILLSSGHLEYGKQCRIDCRTGLATKYYGGQPARCYVDGYKVKIPSCWPTDKYRPHSYGVEDDELPSDGSGPFSRSPPVRLLLLLLLPPLLLLLAPRHAPSGM
+>sp|A6NNA2|SRRM3_HUMAN Serine/arginine repetitive matrix protein 3 OS=Homo sapiens OX=9606 GN=SRRM3 PE=2 SV=4
+MSSTVNNGAASMQSTPDAANGFPQPSSSSGTWPRAEEELRAAEPGLVKRAHREILDHERKRRVELKCMELQEMMEEQGYSEEEIRQKVGTFRQMLMEKEGVLTREDRPGGHIVAETPRLTEGAEPGLEYAPFDDDDGPVDCDCPASCYRGHRGYRTKHWSSSSASPPPKKKKKKKGGHRRSRKKRRLESECSCGSSSPLRKKKKSVKKHRRDRSDSGSRRKRRHRSRSSKCKRKEKNKEKKRPHTESPGRRSHRHSSGSSHSPSLSSHYSDSRSPSRLSPKHRDEGRKTGSQRSSGSRSPSPSGGSGWGSPQRNGGSGQRSGAHGGRPGSAHSPPDKPSSPSPRVRDKAAAAAPTPPARGKESPSPRSAPSSQGRGGRAAGGAGRRRRRRRRRRRSRSSASAPRRRGRRRPRPAPPRGSSRSLSRARSSSDSGSGRGAPGPGPEPGSERGHGGHGKRAKERPPRARPASTSPSPGAHGRRGGPEGKSSSRSPGPHPRSWSSSRSPSKSRSRSAEKRPHSPSRSPSPKKPLSRDKDGEGRARHSEAEATRARRRSRSYSPIRKRRRDSPSFMEPRRITSAASVLFHTTGPAPLPPPAA
+>DECOY_sp|A6NNA2|SRRM3_HUMAN Serine/arginine repetitive matrix protein 3 OS=Homo sapiens OX=9606 GN=SRRM3 PE=2 SV=4
+AAPPPLPAPGTTHFLVSAASTIRRPEMFSPSDRRRKRIPSYSRSRRRARTAEAESHRARGEGDKDRSLPKKPSPSRSPSHPRKEASRSRSKSPSRSSSWSRPHPGPSRSSSKGEPGGRRGHAGPSPSTSAPRARPPREKARKGHGGHGRESGPEPGPGPAGRGSGSDSSSRARSLSRSSGRPPAPRPRRRGRRRPASASSRSRRRRRRRRRRRGAGGAARGGRGQSSPASRPSPSEKGRAPPTPAAAAAKDRVRPSPSSPKDPPSHASGPRGGHAGSRQGSGGNRQPSGWGSGGSPSPSRSGSSRQSGTKRGEDRHKPSLRSPSRSDSYHSSLSPSHSSGSSHRHSRRGPSETHPRKKEKNKEKRKCKSSRSRHRRKRRSGSDSRDRRHKKVSKKKKRLPSSSGCSCESELRRKKRSRRHGGKKKKKKKPPPSASSSSWHKTRYGRHGRYCSAPCDCDVPGDDDDFPAYELGPEAGETLRPTEAVIHGGPRDERTLVGEKEMLMQRFTGVKQRIEEESYGQEEMMEQLEMCKLEVRRKREHDLIERHARKVLGPEAARLEEEARPWTGSSSSPQPFGNAADPTSQMSAAGNNVTSSM
+>sp|A7MD48|SRRM4_HUMAN Serine/arginine repetitive matrix protein 4 OS=Homo sapiens OX=9606 GN=SRRM4 PE=1 SV=2
+MASVQQGEKQLFEKFWRGTFKAVATPRPESIIVASITARKPLPRTEPQNNPVVPAQDGPSEKLGQHLATEPLGTNSWERDKTCRELGATRGHSASHDKDLTPPPSSRGKKKKKKSTRKKRRRSSSYSPSPVKKKKKKSSKKHKRRRSFSKKRRHSSSSPKSKRRDEKRHKKQSRSRPRKSHRHRHHRCPSRSQSSESRPSSCESRHRGRSPEEGQKSRRRHSRRCSKTLCKDSPEAQSSRPPSQPLQMLGYLSARGVITGSGSAADLFTKTASPLTTSRGRSQEYDSGNDTSSPPSTQTSSARSRGQEKGSPSGGLSKSRELNSGNTSDSGNSFTTSSPQNKGAMLENLSPTSRGRESRGFQSPCLECAEVKKSSLVPSTARSSPMKGCSRSSSYASTRSSSHSSRSPNPRASPRYTQSRSTSSEKRSYSRSPSYSSKSGKRSPPSRSSRSRRSPSYSRYSPSRERDPKYSEKDSQQRERERARRRRRSYSPMRKRRRDSPSHLEARRITSARKRPIPYYRPSPSSSGSLSSTSSWYSSSSSRSASRSYSRSRSRSRSRRRSRTRTSSSSSSRSPSPGSRSRSRSRSRSRSRSRSQSRSYSSADSYSSTRR
+>DECOY_sp|A7MD48|SRRM4_HUMAN Serine/arginine repetitive matrix protein 4 OS=Homo sapiens OX=9606 GN=SRRM4 PE=1 SV=2
+RRTSSYSDASSYSRSQSRSRSRSRSRSRSRSRSGPSPSRSSSSSSTRTRSRRRSRSRSRSRSYSRSASRSSSSSYWSSTSSLSGSSSPSPRYYPIPRKRASTIRRAELHSPSDRRRKRMPSYSRRRRRARERERQQSDKESYKPDRERSPSYRSYSPSRRSRSSRSPPSRKGSKSSYSPSRSYSRKESSTSRSQTYRPSARPNPSRSSHSSSRTSAYSSSRSCGKMPSSRATSPVLSSKKVEACELCPSQFGRSERGRSTPSLNELMAGKNQPSSTTFSNGSDSTNGSNLERSKSLGGSPSGKEQGRSRASSTQTSPPSSTDNGSDYEQSRGRSTTLPSATKTFLDAASGSGTIVGRASLYGLMQLPQSPPRSSQAEPSDKCLTKSCRRSHRRRSKQGEEPSRGRHRSECSSPRSESSQSRSPCRHHRHRHSKRPRSRSQKKHRKEDRRKSKPSSSSHRRKKSFSRRRKHKKSSKKKKKKVPSPSYSSSRRRKKRTSKKKKKKGRSSPPPTLDKDHSASHGRTAGLERCTKDREWSNTGLPETALHQGLKESPGDQAPVVPNNQPETRPLPKRATISAVIISEPRPTAVAKFTGRWFKEFLQKEGQQVSAM
+>sp|P30874|SSR2_HUMAN Somatostatin receptor type 2 OS=Homo sapiens OX=9606 GN=SSTR2 PE=1 SV=1
+MDMADEPLNGSHTWLSIPFDLNGSVVSTNTSNQTEPYYDLTSNAVLTFIYFVVCIIGLCGNTLVIYVILRYAKMKTITNIYILNLAIADELFMLGLPFLAMQVALVHWPFGKAICRVVMTVDGINQFTSIFCLTVMSIDRYLAVVHPIKSAKWRRPRTAKMITMAVWGVSLLVILPIMIYAGLRSNQWGRSSCTINWPGESGAWYTGFIIYTFILGFLVPLTIICLCYLFIIIKVKSSGIRVGSSKRKKSEKKVTRMVSIVVAVFIFCWLPFYIFNVSSVSMAISPTPALKGMFDFVVVLTYANSCANPILYAFLSDNFKKSFQNVLCLVKVSGTDDGERSDSKQDKSRLNETTETQRTLLNGDLQTSI
+>DECOY_sp|P30874|SSR2_HUMAN Somatostatin receptor type 2 OS=Homo sapiens OX=9606 GN=SSTR2 PE=1 SV=1
+ISTQLDGNLLTRQTETTENLRSKDQKSDSREGDDTGSVKVLCLVNQFSKKFNDSLFAYLIPNACSNAYTLVVVFDFMGKLAPTPSIAMSVSSVNFIYFPLWCFIFVAVVISVMRTVKKESKKRKSSGVRIGSSKVKIIIFLYCLCIITLPVLFGLIFTYIIFGTYWAGSEGPWNITCSSRGWQNSRLGAYIMIPLIVLLSVGWVAMTIMKATRPRRWKASKIPHVVALYRDISMVTLCFISTFQNIGDVTMVVRCIAKGFPWHVLAVQMALFPLGLMFLEDAIALNLIYINTITKMKAYRLIVYIVLTNGCLGIICVVFYIFTLVANSTLDYYPETQNSTNTSVVSGNLDFPISLWTHSGNLPEDAMDM
+>sp|P43307|SSRA_HUMAN Translocon-associated protein subunit alpha OS=Homo sapiens OX=9606 GN=SSR1 PE=1 SV=3
+MRLLPRLLLLLLLVFPATVLFRGGPRGLLAVAQDLTEDEETVEDSIIEDEDDEAEVEEDEPTDLVEDKEEEDVSGEPEASPSADTTILFVKGEDFPANNIVKFLVGFTNKGTEDFIVESLDASFRYPQDYQFYIQNFTALPLNTVVPPQRQATFEYSFIPAEPMGGRPFGLVINLNYKDLNGNVFQDAVFNQTVTVIEREDGLDGETIFMYMFLAGLGLLVIVGLHQLLESRKRKRPIQKVEMGTSSQNDVDMSWIPQETLNQINKASPRRLPRKRAQKRSVGSDE
+>DECOY_sp|P43307|SSRA_HUMAN Translocon-associated protein subunit alpha OS=Homo sapiens OX=9606 GN=SSR1 PE=1 SV=3
+EDSGVSRKQARKRPLRRPSAKNIQNLTEQPIWSMDVDNQSSTGMEVKQIPRKRKRSELLQHLGVIVLLGLGALFMYMFITEGDLGDEREIVTVTQNFVADQFVNGNLDKYNLNIVLGFPRGGMPEAPIFSYEFTAQRQPPVVTNLPLATFNQIYFQYDQPYRFSADLSEVIFDETGKNTFGVLFKVINNAPFDEGKVFLITTDASPSAEPEGSVDEEEKDEVLDTPEDEEVEAEDDEDEIISDEVTEEDETLDQAVALLGRPGGRFLVTAPFVLLLLLLLRPLLRM
+>sp|Q16384|SSX1_HUMAN Protein SSX1 OS=Homo sapiens OX=9606 GN=SSX1 PE=1 SV=2
+MNGDDTFAKRPRDDAKASEKRSKAFDDIATYFSKKEWKKMKYSEKISYVYMKRNYKAMTKLGFKVTLPPFMCNKQATDFQGNDFDNDHNRRIQVEHPQMTFGRLHRIIPKIMPKKPAEDENDSKGVSEASGPQNDGKQLHPPGKANISEKINKRSGPKRGKHAWTHRLRERKQLVIYEEISDPEEDDE
+>DECOY_sp|Q16384|SSX1_HUMAN Protein SSX1 OS=Homo sapiens OX=9606 GN=SSX1 PE=1 SV=2
+EDDEEPDSIEEYIVLQKRERLRHTWAHKGRKPGSRKNIKESINAKGPPHLQKGDNQPGSAESVGKSDNEDEAPKKPMIKPIIRHLRGFTMQPHEVQIRRNHDNDFDNGQFDTAQKNCMFPPLTVKFGLKTMAKYNRKMYVYSIKESYKMKKWEKKSFYTAIDDFAKSRKESAKADDRPRKAFTDDGNM
+>sp|Q7RTT6|SSX6_HUMAN Putative protein SSX6 OS=Homo sapiens OX=9606 GN=SSX6 PE=5 SV=1
+MNGDDAFAKRPRDDAKASEKRSKAFDDIAKYFSKEEWEKMKFSEKISCVHMKRKYEAMTKLGFNVTLSLFMRNKRATDSQRNDSDNDRNRGNEVERPQMTFGRLQRIIPKIMPEKPAEEGSDSKGVPEASGPQNDGKKLCPPGKASSSEKIHERSGPKRGKHAWTHRLRERKQLVIYEEISDPEEDDK
+>DECOY_sp|Q7RTT6|SSX6_HUMAN Putative protein SSX6 OS=Homo sapiens OX=9606 GN=SSX6 PE=5 SV=1
+KDDEEPDSIEEYIVLQKRERLRHTWAHKGRKPGSREHIKESSSAKGPPCLKKGDNQPGSAEPVGKSDSGEEAPKEPMIKPIIRQLRGFTMQPREVENGRNRDNDSDNRQSDTARKNRMFLSLTVNFGLKTMAEYKRKMHVCSIKESFKMKEWEEKSFYKAIDDFAKSRKESAKADDRPRKAFADDGNM
+>sp|Q9BVW6|SMIM2_HUMAN Small integral membrane protein 2 OS=Homo sapiens OX=9606 GN=SMIM2 PE=1 SV=1
+MEAGERIDASQLPHRVLETRGHAISILFGFWTSFICDTYIVLAWISKIKGSPDVSASSDEPYARIQQSRRQCHAEEDQSQVPEAG
+>DECOY_sp|Q9BVW6|SMIM2_HUMAN Small integral membrane protein 2 OS=Homo sapiens OX=9606 GN=SMIM2 PE=1 SV=1
+GAEPVQSQDEEAHCQRRSQQIRAYPEDSSASVDPSGKIKSIWALVIYTDCIFSTWFGFLISIAHGRTELVRHPLQSADIREGAEM
+>sp|Q9BQ49|SMIM7_HUMAN Small integral membrane protein 7 OS=Homo sapiens OX=9606 GN=SMIM7 PE=1 SV=2
+MIGDILLFGTLLMNAGAVLNFKLKKKDTQGFGEESREPSTGDNIREFLLSLRYFRIFIALWNIFMMFCMIVLFGS
+>DECOY_sp|Q9BQ49|SMIM7_HUMAN Small integral membrane protein 7 OS=Homo sapiens OX=9606 GN=SMIM7 PE=1 SV=2
+SGFLVIMCFMMFINWLAIFIRFYRLSLLFERINDGTSPERSEEGFGQTDKKKLKFNLVAGANMLLTGFLLIDGIM
+>sp|Q96KF7|SMIM8_HUMAN Small integral membrane protein 8 OS=Homo sapiens OX=9606 GN=SMIM8 PE=1 SV=2
+MSSAPEPPTFKKEPPKEKEFQSPGLRGVRTTTLFRAVNPELFIKPNKPVMAFGLVTLSLCVAYIGYLHAIQENKKDLYEAIDSEGHSYMRRKTSKWD
+>DECOY_sp|Q96KF7|SMIM8_HUMAN Small integral membrane protein 8 OS=Homo sapiens OX=9606 GN=SMIM8 PE=1 SV=2
+DWKSTKRRMYSHGESDIAEYLDKKNEQIAHLYGIYAVCLSLTVLGFAMVPKNPKIFLEPNVARFLTTTRVGRLGPSQFEKEKPPEKKFTPPEPASSM
+>sp|H3BR10|SMLR1_HUMAN Small leucine-rich protein 1 OS=Homo sapiens OX=9606 GN=SMLR1 PE=1 SV=1
+MLSKGRSPRRKQVQTQRKAALVLSVTPMVPVGSVWLAMSSVLSAFMRELPGWFLFFGVFLPVTLLLLLLIAYFRIKLIEVNEELSQNCDRQHNPKDGSSLYQRMKWT
+>DECOY_sp|H3BR10|SMLR1_HUMAN Small leucine-rich protein 1 OS=Homo sapiens OX=9606 GN=SMLR1 PE=1 SV=1
+TWKMRQYLSSGDKPNHQRDCNQSLEENVEILKIRFYAILLLLLLTVPLFVGFFLFWGPLERMFASLVSSMALWVSGVPVMPTVSLVLAAKRQTQVQKRRPSRGKSLM
+>sp|Q9UHP9|SMPX_HUMAN Small muscular protein OS=Homo sapiens OX=9606 GN=SMPX PE=2 SV=3
+MNMSKQPVSNVRAIQANINIPMGAFRPGAGQPPRRKECTPEVEEGVPPTSDEEKKPIPGAKKLPGPAVNLSEIQNIKSELKYVPKAEQ
+>DECOY_sp|Q9UHP9|SMPX_HUMAN Small muscular protein OS=Homo sapiens OX=9606 GN=SMPX PE=2 SV=3
+QEAKPVYKLESKINQIESLNVAPGPLKKAGPIPKKEEDSTPPVGEEVEPTCEKRRPPQGAGPRFAGMPININAQIARVNSVPQKSMNM
+>sp|Q9H4L7|SMRCD_HUMAN SWI/SNF-related matrix-associated actin-dependent regulator of chromatin subfamily A containing DEAD/H box 1 OS=Homo sapiens OX=9606 GN=SMARCAD1 PE=1 SV=2
+MNLFNLDRFRFEKRNKIEEAPEATPQPSQPGPSSPISLSAEEENAEGEVSRANTPDSDITEKTEDSSVPETPDNERKASISYFKNQRGIQYIDLSSDSEDVVSPNCSNTVQEKTFNKDTVIIVSEPSEDEESQGLPTMARRNDDISELEDLSELEDLKDAKLQTLKELFPQRSDNDLLKLIESTSTMDGAIAAALLMFGDAGGGPRKRKLSSSSEPYEEDEFNDDQSIKKTRLDHGEESNESAESSSNWEKQESIVLKLQKEFPNFDKQELREVLKEHEWMYTEALESLKVFAEDQDMQYVSQSEVPNGKEVSSRSQNYPKNATKTKLKQKFSMKAQNGFNKKRKKNVFNPKRVVEDSEYDSGSDVGSSLDEDYSSGEEVMEDGYKGKILHFLQDASIGELTLIPQCSQKKAQKITELRPFNSWEALFTKMSKTNGLSEDLIWHCKTLIQERDVVIRLMNKCEDISNKLTKQVTMLTGNGGGWNIEQPSILNQSLSLKPYQKVGLNWLALVHKHGLNGILADEMGLGKTIQAIAFLAYLYQEGNNGPHLIVVPASTIDNWLREVNLWCPTLKVLCYYGSQEERKQIRFNIHSRYEDYNVIVTTYNCAISSSDDRSLFRRLKLNYAIFDEGHMLKNMGSIRYQHLMTINANNRLLLTGTPVQNNLLELMSLLNFVMPHMFSSSTSEIRRMFSSKTKSADEQSIYEKERIAHAKQIIKPFILRRVKEEVLKQLPPKKDRIELCAMSEKQEQLYLGLFNRLKKSINNLEKNTEMCNVMMQLRKMANHPLLHRQYYTAEKLKEMSQLMLKEPTHCEANPDLIFEDMEVMTDFELHVLCKQYRHINNFQLDMDLILDSGKFRVLGCILSELKQKGDRVVLFSQFTMMLDILEVLLKHHQHRYLRLDGKTQISERIHLIDEFNTDMDIFVFLLSTKAGGLGINLTSANVVILHDIDCNPYNDKQAEDRCHRVGQTKEVLVIKLISQGTIEESMLKINQQKLKLEQDMTTVDEGDEGSMPADIATLLKTSMGL
+>DECOY_sp|Q9H4L7|SMRCD_HUMAN SWI/SNF-related matrix-associated actin-dependent regulator of chromatin subfamily A containing DEAD/H box 1 OS=Homo sapiens OX=9606 GN=SMARCAD1 PE=1 SV=2
+LGMSTKLLTAIDAPMSGEDGEDVTTMDQELKLKQQNIKLMSEEITGQSILKIVLVEKTQGVRHCRDEAQKDNYPNCDIDHLIVVNASTLNIGLGGAKTSLLFVFIDMDTNFEDILHIRESIQTKGDLRLYRHQHHKLLVELIDLMMTFQSFLVVRDGKQKLESLICGLVRFKGSDLILDMDLQFNNIHRYQKCLVHLEFDTMVEMDEFILDPNAECHTPEKLMLQSMEKLKEATYYQRHLLPHNAMKRLQMMVNCMETNKELNNISKKLRNFLGLYLQEQKESMACLEIRDKKPPLQKLVEEKVRRLIFPKIIQKAHAIREKEYISQEDASKTKSSFMRRIESTSSSFMHPMVFNLLSMLELLNNQVPTGTLLLRNNANITMLHQYRISGMNKLMHGEDFIAYNLKLRRFLSRDDSSSIACNYTTVIVNYDEYRSHINFRIQKREEQSGYYCLVKLTPCWLNVERLWNDITSAPVVILHPGNNGEQYLYALFAIAQITKGLGMEDALIGNLGHKHVLALWNLGVKQYPKLSLSQNLISPQEINWGGGNGTLMTVQKTLKNSIDECKNMLRIVVDREQILTKCHWILDESLGNTKSMKTFLAEWSNFPRLETIKQAKKQSCQPILTLEGISADQLFHLIKGKYGDEMVEEGSSYDEDLSSGVDSGSDYESDEVVRKPNFVNKKRKKNFGNQAKMSFKQKLKTKTANKPYNQSRSSVEKGNPVESQSVYQMDQDEAFVKLSELAETYMWEHEKLVERLEQKDFNPFEKQLKLVISEQKEWNSSSEASENSEEGHDLRTKKISQDDNFEDEEYPESSSSLKRKRPGGGADGFMLLAAAIAGDMTSTSEILKLLDNDSRQPFLEKLTQLKADKLDELESLDELESIDDNRRAMTPLGQSEEDESPESVIIVTDKNFTKEQVTNSCNPSVVDESDSSLDIYQIGRQNKFYSISAKRENDPTEPVSSDETKETIDSDPTNARSVEGEANEEEASLSIPSSPGPQSPQPTAEPAEEIKNRKEFRFRDLNFLNM
+>sp|Q92925|SMRD2_HUMAN SWI/SNF-related matrix-associated actin-dependent regulator of chromatin subfamily D member 2 OS=Homo sapiens OX=9606 GN=SMARCD2 PE=1 SV=3
+MSGRGAGGFPLPPLSPGGGAVAAALGAPPPPAGPGMLPGPALRGPGPAGGVGGPGAAAFRPMGPAGPAAQYQRPGMSPGNRMPMAGLQVGPPAGSPFGAAAPLRPGMPPTMMDPFRKRLLVPQAQPPMPAQRRGLKRRKMADKVLPQRIRELVPESQAYMDLLAFERKLDQTIARKRMEIQEAIKKPLTQKRKLRIYISNTFSPSKAEGDSAGTAGTPGGTPAGDKVASWELRVEGKLLDDPSKQKRKFSSFFKSLVIELDKELYGPDNHLVEWHRMPTTQETDGFQVKRPGDLNVKCTLLLMLDHQPPQYKLDPRLARLLGVHTQTRAAIMQALWLYIKHNQLQDGHEREYINCNRYFRQIFSCGRLRFSEIPMKLAGLLQHPDPIVINHVISVDPNDQKKTACYDIDVEVDDPLKAQMSNFLASTTNQQEIASLDVKIHETIESINQLKTQRDFMLSFSTDPQDFIQEWLRSQRRDLKIITDVIGNPEEERRAAFYHQPWAQEAVGRHIFAKVQQRRQELEQVLGIRLT
+>DECOY_sp|Q92925|SMRD2_HUMAN SWI/SNF-related matrix-associated actin-dependent regulator of chromatin subfamily D member 2 OS=Homo sapiens OX=9606 GN=SMARCD2 PE=1 SV=3
+TLRIGLVQELEQRRQQVKAFIHRGVAEQAWPQHYFAARREEEPNGIVDTIIKLDRRQSRLWEQIFDQPDTSFSLMFDRQTKLQNISEITEHIKVDLSAIEQQNTTSALFNSMQAKLPDDVEVDIDYCATKKQDNPDVSIVHNIVIPDPHQLLGALKMPIESFRLRGCSFIQRFYRNCNIYEREHGDQLQNHKIYLWLAQMIAARTQTHVGLLRALRPDLKYQPPQHDLMLLLTCKVNLDGPRKVQFGDTEQTTPMRHWEVLHNDPGYLEKDLEIVLSKFFSSFKRKQKSPDDLLKGEVRLEWSAVKDGAPTGGPTGATGASDGEAKSPSFTNSIYIRLKRKQTLPKKIAEQIEMRKRAITQDLKREFALLDMYAQSEPVLERIRQPLVKDAMKRRKLGRRQAPMPPQAQPVLLRKRFPDMMTPPMGPRLPAAAGFPSGAPPGVQLGAMPMRNGPSMGPRQYQAAPGAPGMPRFAAAGPGGVGGAPGPGRLAPGPLMGPGAPPPPAGLAAAVAGGGPSLPPLPFGGAGRGSM
+>sp|Q86VZ5|SMS1_HUMAN Phosphatidylcholine:ceramide cholinephosphotransferase 1 OS=Homo sapiens OX=9606 GN=SGMS1 PE=1 SV=3
+MKEVVYWSPKKVADWLLENAMPEYCEPLEHFTGQDLINLTQEDFKKPPLCRVSSDNGQRLLDMIETLKMEHHLEAHKNGHANGHLNIGVDIPTPDGSFSIKIKPNGMPNGYRKEMIKIPMPELERSQYPMEWGKTFLAFLYALSCFVLTTVMISVVHERVPPKEVQPPLPDTFFDHFNRVQWAFSICEINGMILVGLWLIQWLLLKYKSIISRRFFCIVGTLYLYRCITMYVTTLPVPGMHFNCSPKLFGDWEAQLRRIMKLIAGGGLSITGSHNMCGDYLYSGHTVMLTLTYLFIKEYSPRRLWWYHWICWLLSVVGIFCILLAHDHYTVDVVVAYYITTRLFWWYHTMANQQVLKEASQMNLLARVWWYRPFQYFEKNVQGIVPRSYHWPFPWPVVHLSRQVKYSRLVNDT
+>DECOY_sp|Q86VZ5|SMS1_HUMAN Phosphatidylcholine:ceramide cholinephosphotransferase 1 OS=Homo sapiens OX=9606 GN=SGMS1 PE=1 SV=3
+TDNVLRSYKVQRSLHVVPWPFPWHYSRPVIGQVNKEFYQFPRYWWVRALLNMQSAEKLVQQNAMTHYWWFLRTTIYYAVVVDVTYHDHALLICFIGVVSLLWCIWHYWWLRRPSYEKIFLYTLTLMVTHGSYLYDGCMNHSGTISLGGGAILKMIRRLQAEWDGFLKPSCNFHMGPVPLTTVYMTICRYLYLTGVICFFRRSIISKYKLLLWQILWLGVLIMGNIECISFAWQVRNFHDFFTDPLPPQVEKPPVREHVVSIMVTTLVFCSLAYLFALFTKGWEMPYQSRELEPMPIKIMEKRYGNPMGNPKIKISFSGDPTPIDVGINLHGNAHGNKHAELHHEMKLTEIMDLLRQGNDSSVRCLPPKKFDEQTLNILDQGTFHELPECYEPMANELLWDAVKKPSWYVVEKM
+>sp|P61278|SMS_HUMAN Somatostatin OS=Homo sapiens OX=9606 GN=SST PE=1 SV=1
+MLSCRLQCALAALSIVLALGCVTGAPSDPRLRQFLQKSLAAAAGKQELAKYFLAELLSEPNQTENDALEPEDLSQAAEQDEMRLELQRSANSNPAMAPRERKAGCKNFFWKTFTSC
+>DECOY_sp|P61278|SMS_HUMAN Somatostatin OS=Homo sapiens OX=9606 GN=SST PE=1 SV=1
+CSTFTKWFFNKCGAKRERPAMAPNSNASRQLELRMEDQEAAQSLDEPELADNETQNPESLLEALFYKALEQKGAAAALSKQLFQRLRPDSPAGTVCGLALVISLAALACQLRCSLM
+>sp|A8MU46|SMTL1_HUMAN Smoothelin-like protein 1 OS=Homo sapiens OX=9606 GN=SMTNL1 PE=1 SV=1
+MEQKEGKLSEDGTTVSPAADNPEMSGGGAPAEETKGTAGKAINEGPPTESGKQEKAPAEDGMSAELQGEANGLDEVKVESQREAGGKEDAEAELKKEDGEKEETTVGSQEMTGRKEETKSEPKEAEEKESTLASEKQKAEEKEAKPESGQKADANDRDKPEPKATVEEEDAKTASQEETVVEDEAKAEPKEPDGKEEAKHGAKEEADEPGSPSEEQEQDVEKEPEGGAGVIPSSPEEWPESPTGEGHNLSTDGLGPDCVASGQTSPSASESSPSDVPQSPPESPSSGEKKEKAPERRVSAPARPRGPRAQNRKAIVDKFGGAASGPTALFRNTKAAGAAIGGVKNMLLEWCRAMTKKYEHVDIQNFSSSWSSGMAFCALIHKFFPDAFDYAELDPAKRRHNFTLAFSTAEKLADCAQLLDVDDMVRLAVPDSKCVYTYIQELYRSLVQKGLVKTKKK
+>DECOY_sp|A8MU46|SMTL1_HUMAN Smoothelin-like protein 1 OS=Homo sapiens OX=9606 GN=SMTNL1 PE=1 SV=1
+KKKTKVLGKQVLSRYLEQIYTYVCKSDPVALRVMDDVDLLQACDALKEATSFALTFNHRRKAPDLEAYDFADPFFKHILACFAMGSSWSSSFNQIDVHEYKKTMARCWELLMNKVGGIAAGAAKTNRFLATPGSAAGGFKDVIAKRNQARPGRPRAPASVRREPAKEKKEGSSPSEPPSQPVDSPSSESASPSTQGSAVCDPGLGDTSLNHGEGTPSEPWEEPSSPIVGAGGEPEKEVDQEQEESPSGPEDAEEKAGHKAEEKGDPEKPEAKAEDEVVTEEQSATKADEEEVTAKPEPKDRDNADAKQGSEPKAEKEEAKQKESALTSEKEEAEKPESKTEEKRGTMEQSGVTTEEKEGDEKKLEAEADEKGGAERQSEVKVEDLGNAEGQLEASMGDEAPAKEQKGSETPPGENIAKGATGKTEEAPAGGGSMEPNDAAPSVTTGDESLKGEKQEM
+>sp|Q8IYR2|SMYD4_HUMAN SET and MYND domain-containing protein 4 OS=Homo sapiens OX=9606 GN=SMYD4 PE=2 SV=3
+MDLPVDEWKSYLLQKWASLPTSVQVTISTAETLRDIFLHSSSLLQPEDELFLKRLSKGYLVGKDSDAPLFYREEGNKKFQEKDYTGAAVLYSKGVSHSRPNTEDMSLCHANRSAALFHLGQYETCLKDINRAQTHGYPERLQPKIMLRKAECLVALGRLQEASQTISDLERNFTATPALADVLPQTLQRNLHRLKMKMQEKDSLTESFPAALAKTLEDAALREENEQLSNASSSIGLCVDPLKGRCLVATKDILPGELLVQEDAFVSVLNPGELPPPHHGLDSKWDTRVTNGDLYCHRCLKHTLATVPCDGCSYAKYCSQECLQQAWELYHRTECPLGGLLLTLGVFCHIALRLTLLVGFEDVRKIITKLCDKISNKDICLPESNNQVKTLNYGLGESEKNGNIVETPIPGCDINGKYENNYNAVFNLLPHTENHSPEHKFLCALCVSALCRQLEAASLQAIPTERIVNSSQLKAAVTPELCPDVTIWGVAMLRHMLQLQCNAQAMTTIQHTGPKGSIVTDSRQVRLATGIFPVISLLNHSCSPNTSVSFISTVATIRASQRIRKGQEILHCYGPHKSRMGVAERQQKLRSQYFFDCACPACQTEAHRMAAGPRWEAFCCNSCGAPMQGDDVLRCGSRSCAESAVSRDHLVSRLQDLQQQVRVAQKLLRDGELERAVQRLSGCQRDAESFLWAEHAVVGEIADGLARACAALGDWQKSATHLQRSLYVVEVRHGPSSVEMGHELFKLAQIFFNGFAVPEALSTIQKAEEVLSLHCGPWDDEIQELQKMKSCLLDLPPTPVGPAL
+>DECOY_sp|Q8IYR2|SMYD4_HUMAN SET and MYND domain-containing protein 4 OS=Homo sapiens OX=9606 GN=SMYD4 PE=2 SV=3
+LAPGVPTPPLDLLCSKMKQLEQIEDDWPGCHLSLVEEAKQITSLAEPVAFGNFFIQALKFLEHGMEVSSPGHRVEVVYLSRQLHTASKQWDGLAACARALGDAIEGVVAHEAWLFSEADRQCGSLRQVARELEGDRLLKQAVRVQQQLDQLRSVLHDRSVASEACSRSGCRLVDDGQMPAGCSNCCFAEWRPGAAMRHAETQCAPCACDFFYQSRLKQQREAVGMRSKHPGYCHLIEQGKRIRQSARITAVTSIFSVSTNPSCSHNLLSIVPFIGTALRVQRSDTVISGKPGTHQITTMAQANCQLQLMHRLMAVGWITVDPCLEPTVAAKLQSSNVIRETPIAQLSAAELQRCLASVCLACLFKHEPSHNETHPLLNFVANYNNEYKGNIDCGPIPTEVINGNKESEGLGYNLTKVQNNSEPLCIDKNSIKDCLKTIIKRVDEFGVLLTLRLAIHCFVGLTLLLGGLPCETRHYLEWAQQLCEQSCYKAYSCGDCPVTALTHKLCRHCYLDGNTVRTDWKSDLGHHPPPLEGPNLVSVFADEQVLLEGPLIDKTAVLCRGKLPDVCLGISSSANSLQENEERLAADELTKALAAPFSETLSDKEQMKMKLRHLNRQLTQPLVDALAPTATFNRELDSITQSAEQLRGLAVLCEAKRLMIKPQLREPYGHTQARNIDKLCTEYQGLHFLAASRNAHCLSMDETNPRSHSVGKSYLVAAGTYDKEQFKKNGEERYFLPADSDKGVLYGKSLRKLFLEDEPQLLSSSHLFIDRLTEATSITVQVSTPLSAWKQLLYSKWEDVPLDM
+>sp|O43623|SNAI2_HUMAN Zinc finger protein SNAI2 OS=Homo sapiens OX=9606 GN=SNAI2 PE=1 SV=1
+MPRSFLVKKHFNASKKPNYSELDTHTVIISPYLYESYSMPVIPQPEILSSGAYSPITVWTTAAPFHAQLPNGLSPLSGYSSSLGRVSPPPPSDTSSKDHSGSESPISDEEERLQSKLSDPHAIEAEKFQCNLCNKTYSTFSGLAKHKQLHCDAQSRKSFSCKYCDKEYVSLGALKMHIRTHTLPCVCKICGKAFSRPWLLQGHIRTHTGEKPFSCPHCNRAFADRSNLRAHLQTHSDVKKYQCKNCSKTFSRMSLLHKHEESGCCVAH
+>DECOY_sp|O43623|SNAI2_HUMAN Zinc finger protein SNAI2 OS=Homo sapiens OX=9606 GN=SNAI2 PE=1 SV=1
+HAVCCGSEEHKHLLSMRSFTKSCNKCQYKKVDSHTQLHARLNSRDAFARNCHPCSFPKEGTHTRIHGQLLWPRSFAKGCIKCVCPLTHTRIHMKLAGLSVYEKDCYKCSFSKRSQADCHLQKHKALGSFTSYTKNCLNCQFKEAEIAHPDSLKSQLREEEDSIPSESGSHDKSSTDSPPPPSVRGLSSSYGSLPSLGNPLQAHFPAATTWVTIPSYAGSSLIEPQPIVPMSYSEYLYPSIIVTHTDLESYNPKKSANFHKKVLFSRPM
+>sp|O95295|SNAPN_HUMAN SNARE-associated protein Snapin OS=Homo sapiens OX=9606 GN=SNAPIN PE=1 SV=1
+MAGAGSAAVSGAGTPVAGPTGRDLFAEGLLEFLRPAVQQLDSHVHAVRESQVELREQIDNLATELCRINEDQKVALDLDPYVKKLLNARRRVVLVNNILQNAQERLRRLNHSVAKETARRRAMLDSGIYPPGSPGK
+>DECOY_sp|O95295|SNAPN_HUMAN SNARE-associated protein Snapin OS=Homo sapiens OX=9606 GN=SNAPIN PE=1 SV=1
+KGPSGPPYIGSDLMARRRATEKAVSHNLRRLREQANQLINNVLVVRRRANLLKKVYPDLDLAVKQDENIRCLETALNDIQERLEVQSERVAHVHSDLQQVAPRLFELLGEAFLDRGTPGAVPTGAGSVAASGAGAM
+>sp|Q9HBX3|SNIT1_HUMAN Uncharacterized protein encoded by SND1-IT1 OS=Homo sapiens OX=9606 GN=SND1-IT1 PE=4 SV=1
+MSHHPHSLRNSCLIRMDLLYWQFTIYTITFCFSHLSGRLTLSAQHISHRPCLLSYSLLFWKVHHLFLEGFPCSPRLDEMSFHQFPQHPVHVSVVHLPIVYKGSMTQVSPH
+>DECOY_sp|Q9HBX3|SNIT1_HUMAN Uncharacterized protein encoded by SND1-IT1 OS=Homo sapiens OX=9606 GN=SND1-IT1 PE=4 SV=1
+HPSVQTMSGKYVIPLHVVSVHVPHQPFQHFSMEDLRPSCPFGELFLHHVKWFLLSYSLLCPRHSIHQASLTLRGSLHSFCFTITYITFQWYLLDMRILCSNRLSHPHHSM
+>sp|P60880|SNP25_HUMAN Synaptosomal-associated protein 25 OS=Homo sapiens OX=9606 GN=SNAP25 PE=1 SV=1
+MAEDADMRNELEEMQRRADQLADESLESTRRMLQLVEESKDAGIRTLVMLDEQGEQLERIEEGMDQINKDMKEAEKNLTDLGKFCGLCVCPCNKLKSSDAYKKAWGNNQDGVVASQPARVVDEREQMAISGGFIRRVTNDARENEMDENLEQVSGIIGNLRHMALDMGNEIDTQNRQIDRIMEKADSNKTRIDEANQRATKMLGSG
+>DECOY_sp|P60880|SNP25_HUMAN Synaptosomal-associated protein 25 OS=Homo sapiens OX=9606 GN=SNAP25 PE=1 SV=1
+GSGLMKTARQNAEDIRTKNSDAKEMIRDIQRNQTDIENGMDLAMHRLNGIIGSVQELNEDMENERADNTVRRIFGGSIAMQEREDVVRAPQSAVVGDQNNGWAKKYADSSKLKNCPCVCLGCFKGLDTLNKEAEKMDKNIQDMGEEIRELQEGQEDLMVLTRIGADKSEEVLQLMRRTSELSEDALQDARRQMEELENRMDADEAM
+>sp|Q9NRH2|SNRK_HUMAN SNF-related serine/threonine-protein kinase OS=Homo sapiens OX=9606 GN=SNRK PE=1 SV=2
+MAGFKRGYDGKIAGLYDLDKTLGRGHFAVVKLARHVFTGEKVAVKVIDKTKLDTLATGHLFQEVRCMKLVQHPNIVRLYEVIDTQTKLYLILELGDGGDMFDYIMKHEEGLNEDLAKKYFAQIVHAISYCHKLHVVHRDLKPENVVFFEKQGLVKLTDFGFSNKFQPGKKLTTSCGSLAYSAPEILLGDEYDAPAVDIWSLGVILFMLVCGQPPFQEANDSETLTMIMDCKYTVPSHVSKECKDLITRMLQRDPKRRASLEEIENHPWLQGVDPSPATKYNIPLVSYKNLSEEEHNSIIQRMVLGDIADRDAIVEALETNRYNHITATYFLLAERILREKQEKEIQTRSASPSNIKAQFRQSWPTKIDVPQDLEDDLTATPLSHATVPQSPARAADSVLNGHRSKGLCDSAKKDDLPELAGPALSTVPPASLKPTASGRKCLFRVEEDEEEDEEDKKPMSLSTQVVLRRKPSVTNRLTSRKSAPVLNQIFEEGESDDEFDMDENLPPKLSRLKMNIASPGTVHKRYHRRKSQGRGSSCSSSETSDDDSESRRRLDKDSGFTYSWHRRDSSEGPPGSEGDGGGQSKPSNASGGVDKASPSENNAGGGSPSSGSGGNPTNTSGTTRRCAGPSNSMQLASRSAGELVESLKLMSLCLGSQLHGSTKYIIDPQNGLSFSSVKVQEKSTWKMCISSTGNAGQVPAVGGIKFFSDHMADTTTELERIKSKNLKNNVLQLPLCEKTISVNIQRNPKEGLLCASSPASCCHVI
+>DECOY_sp|Q9NRH2|SNRK_HUMAN SNF-related serine/threonine-protein kinase OS=Homo sapiens OX=9606 GN=SNRK PE=1 SV=2
+IVHCCSAPSSACLLGEKPNRQINVSITKECLPLQLVNNKLNKSKIRELETTTDAMHDSFFKIGGVAPVQGANGTSSICMKWTSKEQVKVSSFSLGNQPDIIYKTSGHLQSGLCLSMLKLSEVLEGASRSALQMSNSPGACRRTTGSTNTPNGGSGSSPSGGGANNESPSAKDVGGSANSPKSQGGGDGESGPPGESSDRRHWSYTFGSDKDLRRRSESDDDSTESSSCSSGRGQSKRRHYRKHVTGPSAINMKLRSLKPPLNEDMDFEDDSEGEEFIQNLVPASKRSTLRNTVSPKRRLVVQTSLSMPKKDEEDEEEDEEVRFLCKRGSATPKLSAPPVTSLAPGALEPLDDKKASDCLGKSRHGNLVSDAARAPSQPVTAHSLPTATLDDELDQPVDIKTPWSQRFQAKINSPSASRTQIEKEQKERLIREALLFYTATIHNYRNTELAEVIADRDAIDGLVMRQIISNHEEESLNKYSVLPINYKTAPSPDVGQLWPHNEIEELSARRKPDRQLMRTILDKCEKSVHSPVTYKCDMIMTLTESDNAEQFPPQGCVLMFLIVGLSWIDVAPADYEDGLLIEPASYALSGCSTTLKKGPQFKNSFGFDTLKVLGQKEFFVVNEPKLDRHVVHLKHCYSIAHVIQAFYKKALDENLGEEHKMIYDFMDGGDGLELILYLKTQTDIVEYLRVINPHQVLKMCRVEQFLHGTALTDLKTKDIVKVAVKEGTFVHRALKVVAFHGRGLTKDLDYLGAIKGDYGRKFGAM
+>sp|P09012|SNRPA_HUMAN U1 small nuclear ribonucleoprotein A OS=Homo sapiens OX=9606 GN=SNRPA PE=1 SV=3
+MAVPETRPNHTIYINNLNEKIKKDELKKSLYAIFSQFGQILDILVSRSLKMRGQAFVIFKEVSSATNALRSMQGFPFYDKPMRIQYAKTDSDIIAKMKGTFVERDRKREKRKPKSQETPATKKAVQGGGATPVVGAVQGPVPGMPPMTQAPRIMHHMPGQPPYMPPPGMIPPPGLAPGQIPPGAMPPQQLMPGQMPPAQPLSENPPNHILFLTNLPEETNELMLSMLFNQFPGFKEVRLVPGRHDIAFVEFDNEVQAGAARDALQGFKITQNNAMKISFAKK
+>DECOY_sp|P09012|SNRPA_HUMAN U1 small nuclear ribonucleoprotein A OS=Homo sapiens OX=9606 GN=SNRPA PE=1 SV=3
+KKAFSIKMANNQTIKFGQLADRAAGAQVENDFEVFAIDHRGPVLRVEKFGPFQNFLMSLMLENTEEPLNTLFLIHNPPNESLPQAPPMQGPMLQQPPMAGPPIQGPALGPPPIMGPPPMYPPQGPMHHMIRPAQTMPPMGPVPGQVAGVVPTAGGGQVAKKTAPTEQSKPKRKERKRDREVFTGKMKAIIDSDTKAYQIRMPKDYFPFGQMSRLANTASSVEKFIVFAQGRMKLSRSVLIDLIQGFQSFIAYLSKKLEDKKIKENLNNIYITHNPRTEPVAM
+>sp|Q13884|SNTB1_HUMAN Beta-1-syntrophin OS=Homo sapiens OX=9606 GN=SNTB1 PE=1 SV=3
+MAVAAAAAAAGPAGAGGGRAQRSGLLEVLVRDRWHKVLVNLSEDALVLSSEEGAAAYNGIGTATNGSFCRGAGAGHPGAGGAQPPDSPAGVRTAFTDLPEQVPESISNQKRGVKVLKQELGGLGISIKGGKENKMPILISKIFKGLAADQTQALYVGDAILSVNGADLRDATHDEAVQALKRAGKEVLLEVKYMREATPYVKKGSPVSEIGWETPPPESPRLGGSTSDPPSSQSFSFHRDRKSIPLKMCYVTRSMALADPENRQLEIHSPDAKHTVILRSKDSATAQAWFSAIHSNVNDLLTRVIAEVREQLGKTGIAGSREIRHLGWLAEKVPGESKKQWKPALVVLTEKDLLIYDSMPRRKEAWFSPVHTYPLLATRLVHSGPGKGSPQAGVDLSFATRTGTRQGIETHLFRAETSRDLSHWTRSIVQGCHNSAELIAEISTACTYKNQECRLTIHYENGFSITTEPQEGAFPKTIIQSPYEKLKMSSDDGIRMLYLDFGGKDGEIQLDLHSCPKPIVFIIHSFLSAKITRLGLVA
+>DECOY_sp|Q13884|SNTB1_HUMAN Beta-1-syntrophin OS=Homo sapiens OX=9606 GN=SNTB1 PE=1 SV=3
+AVLGLRTIKASLFSHIIFVIPKPCSHLDLQIEGDKGGFDLYLMRIGDDSSMKLKEYPSQIITKPFAGEQPETTISFGNEYHITLRCEQNKYTCATSIEAILEASNHCGQVISRTWHSLDRSTEARFLHTEIGQRTGTRTAFSLDVGAQPSGKGPGSHVLRTALLPYTHVPSFWAEKRRPMSDYILLDKETLVVLAPKWQKKSEGPVKEALWGLHRIERSGAIGTKGLQERVEAIVRTLLDNVNSHIASFWAQATASDKSRLIVTHKADPSHIELQRNEPDALAMSRTVYCMKLPISKRDRHFSFSQSSPPDSTSGGLRPSEPPPTEWGIESVPSGKKVYPTAERMYKVELLVEKGARKLAQVAEDHTADRLDAGNVSLIADGVYLAQTQDAALGKFIKSILIPMKNEKGGKISIGLGGLEQKLVKVGRKQNSISEPVQEPLDTFATRVGAPSDPPQAGGAGPHGAGAGRCFSGNTATGIGNYAAAGEESSLVLADESLNVLVKHWRDRVLVELLGSRQARGGGAGAPGAAAAAAAVAM
+>sp|B1AK76|SNUFL_HUMAN Putative SNURF-like protein OS=Homo sapiens OX=9606 GN=SNURFL PE=5 SV=2
+MEQARDHLHLRWTTEQHMPEVEVQVKYRTAALSNQECQLYLRHSQQQQVLVVDFQAKLRQVFITETPRCGKKPYWNNEEAESKQNPGSIYCLLLLIRGGMSDSLIKREISNFEIVSKNKKN
+>DECOY_sp|B1AK76|SNUFL_HUMAN Putative SNURF-like protein OS=Homo sapiens OX=9606 GN=SNURFL PE=5 SV=2
+NKKNKSVIEFNSIERKILSDSMGGRILLLLCYISGPNQKSEAEENNWYPKKGCRPTETIFVQRLKAQFDVVLVQQQQSHRLYLQCEQNSLAATRYKVQVEVEPMHQETTWRLHLHDRAQEM
+>sp|Q53GS9|SNUT2_HUMAN U4/U6.U5 tri-snRNP-associated protein 2 OS=Homo sapiens OX=9606 GN=USP39 PE=1 SV=2
+MSGRSKRESRGSTRGKRESESRGSSGRVKRERDREREPEAASSRGSPVRVKREFEPASAREAPASVVPFVRVKREREVDEDSEPEREVRAKNGRVDSEDRRSRHCPYLDTINRSVLDFDFEKLCSISLSHINAYACLVCGKYFQGRGLKSHAYIHSVQFSHHVFLNLHTLKFYCLPDNYEIIDSSLEDITYVLKPTFTKQQIANLDKQAKLSRAYDGTTYLPGIVGLNNIKANDYANAVLQALSNVPPLRNYFLEEDNYKNIKRPPGDIMFLLVQRFGELMRKLWNPRNFKAHVSPHEMLQAVVLCSKKTFQITKQGDGVDFLSWFLNALHSALGGTKKKKKTIVTDVFQGSMRIFTKKLPHPDLPAEEKEQLLHNDEYQETMVESTFMYLTLDLPTAPLYKDEKEQLIIPQVPLFNILAKFNGITEKEYKTYKENFLKRFQLTKLPPYLIFCIKRFTKNNFFVEKNPTIVNFPITNVDLREYLSEEVQAVHKNTTYDLIANIVHDGKPSEGSYRIHVLHHGTGKWYELQDLQVTDILPQMITLSEAYIQIWKRRDNDETNQQGA
+>DECOY_sp|Q53GS9|SNUT2_HUMAN U4/U6.U5 tri-snRNP-associated protein 2 OS=Homo sapiens OX=9606 GN=USP39 PE=1 SV=2
+AGQQNTEDNDRRKWIQIYAESLTIMQPLIDTVQLDQLEYWKGTGHHLVHIRYSGESPKGDHVINAILDYTTNKHVAQVEESLYERLDVNTIPFNVITPNKEVFFNNKTFRKICFILYPPLKTLQFRKLFNEKYTKYEKETIGNFKALINFLPVQPIILQEKEDKYLPATPLDLTLYMFTSEVMTEQYEDNHLLQEKEEAPLDPHPLKKTFIRMSGQFVDTVITKKKKKTGGLASHLANLFWSLFDVGDGQKTIQFTKKSCLVVAQLMEHPSVHAKFNRPNWLKRMLEGFRQVLLFMIDGPPRKINKYNDEELFYNRLPPVNSLAQLVANAYDNAKINNLGVIGPLYTTGDYARSLKAQKDLNAIQQKTFTPKLVYTIDELSSDIIEYNDPLCYFKLTHLNLFVHHSFQVSHIYAHSKLGRGQFYKGCVLCAYANIHSLSISCLKEFDFDLVSRNITDLYPCHRSRRDESDVRGNKARVEREPESDEDVERERKVRVFPVVSAPAERASAPEFERKVRVPSGRSSAAEPERERDRERKVRGSSGRSESERKGRTSGRSERKSRGSM
+>sp|Q13573|SNW1_HUMAN SNW domain-containing protein 1 OS=Homo sapiens OX=9606 GN=SNW1 PE=1 SV=1
+MALTSFLPAPTQLSQDQLEAEEKARSQRSRQTSLVSSRREPPPYGYRKGWIPRLLEDFGDGGAFPEIHVAQYPLDMGRKKKMSNALAIQVDSEGKIKYDAIARQGQSKDKVIYSKYTDLVPKEVMNADDPDLQRPDEEAIKEITEKTRVALEKSVSQKVAAAMPVRAADKLAPAQYIRYTPSQQGVAFNSGAKQRVIRMVEMQKDPMEPPRFKINKKIPRGPPSPPAPVMHSPSRKMTVKEQQEWKIPPCISNWKNAKGYTIPLDKRLAADGRGLQTVHINENFAKLAEALYIADRKAREAVEMRAQVERKMAQKEKEKHEEKLREMAQKARERRAGIKTHVEKEDGEARERDEIRHDRRKERQHDRNLSRAAPDKRSKLQRNENRDISEVIALGVPNPRTSNEVQYDQRLFNQSKGMDSGFAGGEDEIYNVYDQAWRGGKDMAQSIYRPSKNLDKDMYGDDLEARIKTNRFVPDKEFSGSDRRQRGREGPVQFEEDPFGLDKFLEEAKQHGGSKRPSDSSRPKEHEHEGKKRRKE
+>DECOY_sp|Q13573|SNW1_HUMAN SNW domain-containing protein 1 OS=Homo sapiens OX=9606 GN=SNW1 PE=1 SV=1
+EKRRKKGEHEHEKPRSSDSPRKSGGHQKAEELFKDLGFPDEEFQVPGERGRQRRDSGSFEKDPVFRNTKIRAELDDGYMDKDLNKSPRYISQAMDKGGRWAQDYVNYIEDEGGAFGSDMGKSQNFLRQDYQVENSTRPNPVGLAIVESIDRNENRQLKSRKDPAARSLNRDHQREKRRDHRIEDRERAEGDEKEVHTKIGARRERAKQAMERLKEEHKEKEKQAMKREVQARMEVAERAKRDAIYLAEALKAFNENIHVTQLGRGDAALRKDLPITYGKANKWNSICPPIKWEQQEKVTMKRSPSHMVPAPPSPPGRPIKKNIKFRPPEMPDKQMEVMRIVRQKAGSNFAVGQQSPTYRIYQAPALKDAARVPMAAAVKQSVSKELAVRTKETIEKIAEEDPRQLDPDDANMVEKPVLDTYKSYIVKDKSQGQRAIADYKIKGESDVQIALANSMKKKRGMDLPYQAVHIEPFAGGDGFDELLRPIWGKRYGYPPPERRSSVLSTQRSRQSRAKEEAELQDQSLQTPAPLFSTLAM
+>sp|Q9Y5W7|SNX14_HUMAN Sorting nexin-14 OS=Homo sapiens OX=9606 GN=SNX14 PE=1 SV=3
+MVPWVRTMGQKLKQRLRLDVGREICRQYPLFCFLLLCLSAASLLLNRYIHILMIFWSFVAGVVTFYCSLGPDSLLPNIFFTIKYKPKQLGLQELFPQGHSCAVCGKVKCKRHRPSLLLENYQPWLDLKISSKVDASLSEVLELVLENFVYPWYRDVTDDESFVDELRITLRFFASVLIRRIHKVDIPSIITKKLLKAAMKHIEVIVKARQKVKNTEFLQQAALEEYGPELHVALRSRRDELHYLRKLTELLFPYILPPKATDCRSLTLLIREILSGSVFLPSLDFLADPDTVNHLLIIFIDDSPPEKATEPASPLVPFLQKFAEPRNKKPSVLKLELKQIREQQDLLFRFMNFLKQEGAVHVLQFCLTVEEFNDRILRPELSNDEMLSLHEELQKIYKTYCLDESIDKIRFDPFIVEEIQRIAEGPYIDVVKLQTMRCLFEAYEHVLSLLENVFTPMFCHSDEYFRQLLRGAESPTRNSKLNRGSLSLDDFRNTQKRGESFGISRIGSKIKGVFKSTTMEGAMLPNYGVAEGEDDFIEEGIVVMEDDSPVEAVSTPNTPRNLAAWKISIPYVDFFEDPSSERKEKKERIPVFCIDVERNDRRAVGHEPEHWSVYRRYLEFYVLESKLTEFHGAFPDAQLPSKRIIGPKNYEFLKSKREEFQEYLQKLLQHPELSNSQLLADFLSPNGGETQFLDKILPDVNLGKIIKSVPGKLMKEKGQHLEPFIMNFINSCESPKPKPSRPELTILSPTSENNKKLFNDLFKNNANRAENTERKQNQNYFMEVMTVEGVYDYLMYVGRVVFQVPDWLHHLLMGTRILFKNTLEMYTDYYLQCKLEQLFQEHRLVSLITLLRDAIFCENTEPRSLQDKQKGAKQTFEEMMNYIPDLLVKCIGEETKYESIRLLFDGLQQPVLNKQLTYVLLDIVIQELFPELNKVQKEVTSVTSWM
+>DECOY_sp|Q9Y5W7|SNX14_HUMAN Sorting nexin-14 OS=Homo sapiens OX=9606 GN=SNX14 PE=1 SV=3
+MWSTVSTVEKQVKNLEPFLEQIVIDLLVYTLQKNLVPQQLGDFLLRISEYKTEEGICKVLLDPIYNMMEEFTQKAGKQKDQLSRPETNECFIADRLLTILSVLRHEQFLQELKCQLYYDTYMELTNKFLIRTGMLLHHLWDPVQFVVRGVYMLYDYVGEVTMVEMFYNQNQKRETNEARNANNKFLDNFLKKNNESTPSLITLEPRSPKPKPSECSNIFNMIFPELHQGKEKMLKGPVSKIIKGLNVDPLIKDLFQTEGGNPSLFDALLQSNSLEPHQLLKQLYEQFEERKSKLFEYNKPGIIRKSPLQADPFAGHFETLKSELVYFELYRRYVSWHEPEHGVARRDNREVDICFVPIREKKEKRESSPDEFFDVYPISIKWAALNRPTNPTSVAEVPSDDEMVVIGEEIFDDEGEAVGYNPLMAGEMTTSKFVGKIKSGIRSIGFSEGRKQTNRFDDLSLSGRNLKSNRTPSEAGRLLQRFYEDSHCFMPTFVNELLSLVHEYAEFLCRMTQLKVVDIYPGEAIRQIEEVIFPDFRIKDISEDLCYTKYIKQLEEHLSLMEDNSLEPRLIRDNFEEVTLCFQLVHVAGEQKLFNMFRFLLDQQERIQKLELKLVSPKKNRPEAFKQLFPVLPSAPETAKEPPSDDIFIILLHNVTDPDALFDLSPLFVSGSLIERILLTLSRCDTAKPPLIYPFLLETLKRLYHLEDRRSRLAVHLEPGYEELAAQQLFETNKVKQRAKVIVEIHKMAAKLLKKTIISPIDVKHIRRILVSAFFRLTIRLEDVFSEDDTVDRYWPYVFNELVLELVESLSADVKSSIKLDLWPQYNELLLSPRHRKCKVKGCVACSHGQPFLEQLGLQKPKYKITFFINPLLSDPGLSCYFTVVGAVFSWFIMLIHIYRNLLLSAASLCLLLFCFLPYQRCIERGVDLRLRQKLKQGMTRVWPVM
+>sp|Q5VWJ9|SNX30_HUMAN Sorting nexin-30 OS=Homo sapiens OX=9606 GN=SNX30 PE=1 SV=1
+MAGGPPKALPSTGPHSLRDMPHPLAGSSSEEAVGGDSTPSPDLLMARSFGDKDLILPNGGTPAGTSSPASSSSLLNRLQLDDDIDGETRDLFVIVDDPKKHVCTMETYITYRITTKSTRVEFDLPEYSVRRRYQDFDWLRSKLEESQPTHLIPPLPEKFVVKGVVDRFSEEFVETRRKALDKFLKRITDHPVLSFNEHFNIFLTAKDLNAYKKQGIALLTRMGESVKHVTGGYKLRTRPLEFAAIGDYLDTFALKLGTIDRIAQRIIKEEIEYLVELREYGPVYSTWSALEGELAEPLEGVSACIGNCSTALEELTDDMTEDFLPVLREYILYSDSMKSVLKKRDQVQAEYEAKLEAVALRKEDRPKVPADVEKCQDRMECFNADLKADMERWQNNKRQDFRQLLMGMADKNIQYYEKCLMAWESIIPLLQEKQEAK
+>DECOY_sp|Q5VWJ9|SNX30_HUMAN Sorting nexin-30 OS=Homo sapiens OX=9606 GN=SNX30 PE=1 SV=1
+KAEQKEQLLPIISEWAMLCKEYYQINKDAMGMLLQRFDQRKNNQWREMDAKLDANFCEMRDQCKEVDAPVKPRDEKRLAVAELKAEYEAQVQDRKKLVSKMSDSYLIYERLVPLFDETMDDTLEELATSCNGICASVGELPEALEGELASWTSYVPGYERLEVLYEIEEKIIRQAIRDITGLKLAFTDLYDGIAAFELPRTRLKYGGTVHKVSEGMRTLLAIGQKKYANLDKATLFINFHENFSLVPHDTIRKLFKDLAKRRTEVFEESFRDVVGKVVFKEPLPPILHTPQSEELKSRLWDFDQYRRRVSYEPLDFEVRTSKTTIRYTIYTEMTCVHKKPDDVIVFLDRTEGDIDDDLQLRNLLSSSSAPSSTGAPTGGNPLILDKDGFSRAMLLDPSPTSDGGVAEESSSGALPHPMDRLSHPGTSPLAKPPGGAM
+>sp|Q8WV41|SNX33_HUMAN Sorting nexin-33 OS=Homo sapiens OX=9606 GN=SNX33 PE=1 SV=1
+MALKGRALYDFHSENKEEISIQQDEDLVIFSETSLDGWLQGQNSRGETGLFPASYVEIVRSGISTNHADYSSSPAGSPGAQVSLYNSPSVASPARSGGGSGFLSNQGSFEEDDDDDWDDWDDGCTVVEEPRAGGLGTNGHPPLNLSYPGAYPSQHMAFRPKPPLERQDSLASAKRGSVVGRNLNRFSCFVRSGVEAFILGDVPMMAKIAETYSIEMGPRGPQWKANPHPFACSVEDPTKQTKFKGIKSYISYKLTPTHAASPVYRRYKHFDWLYNRLLHKFTVISVPHLPEKQATGRFEEDFIEKRKRRLILWMDHMTSHPVLSQYEGFQHFLSCLDDKQWKMGKRRAEKDEMVGASFLLTFQIPTEHQDLQDVEDRVDTFKAFSKKMDDSVLQLSTVASELVRKHVGGFRKEFQKLGSAFQAISHSFQMDPPFCSEALNSAISHTGRTYEAIGEMFAEQPKNDLFQMLDTLSLYQGLLSNFPDIIHLQKGAFAKVKESQRMSDEGRMVQDEADGIRRRCRVVGFALQAEMNHFHQRRELDFKHMMQNYLRQQILFYQRVGQQLEKTLRMYDNL
+>DECOY_sp|Q8WV41|SNX33_HUMAN Sorting nexin-33 OS=Homo sapiens OX=9606 GN=SNX33 PE=1 SV=1
+LNDYMRLTKELQQGVRQYFLIQQRLYNQMMHKFDLERRQHFHNMEAQLAFGVVRCRRRIGDAEDQVMRGEDSMRQSEKVKAFAGKQLHIIDPFNSLLGQYLSLTDLMQFLDNKPQEAFMEGIAEYTRGTHSIASNLAESCFPPDMQFSHSIAQFASGLKQFEKRFGGVHKRVLESAVTSLQLVSDDMKKSFAKFTDVRDEVDQLDQHETPIQFTLLFSAGVMEDKEARRKGMKWQKDDLCSLFHQFGEYQSLVPHSTMHDMWLILRRKRKEIFDEEFRGTAQKEPLHPVSIVTFKHLLRNYLWDFHKYRRYVPSAAHTPTLKYSIYSKIGKFKTQKTPDEVSCAFPHPNAKWQPGRPGMEISYTEAIKAMMPVDGLIFAEVGSRVFCSFRNLNRGVVSGRKASALSDQRELPPKPRFAMHQSPYAGPYSLNLPPHGNTGLGGARPEEVVTCGDDWDDWDDDDDEEFSGQNSLFGSGGGSRAPSAVSPSNYLSVQAGPSGAPSSSYDAHNTSIGSRVIEVYSAPFLGTEGRSNQGQLWGDLSTESFIVLDEDQQISIEEKNESHFDYLARGKLAM
+>sp|O95219|SNX4_HUMAN Sorting nexin-4 OS=Homo sapiens OX=9606 GN=SNX4 PE=1 SV=1
+MEQAPPDPERQLQPAPLEPLGSPDAGLGAAVGKEAEGAGEESSGVDTMTHNNFWLKKIEISVSEAEKRTGRNAMNMQETYTAYLIETRSVEHTDGQSVLTDSLWRRYSEFELLRSYLLVYYPHIVVPPLPEKRAEFVWHKLSADNMDPDFVERRRIGLENFLLRIASHPILCRDKIFYLFLTQEGNWKETVNETGFQLKADSRLKALNATFRVKNPDKRFTDLKHYSDELQSVISHLLRVRARVADRLYGVYKVHGNYGRVFSEWSAIEKEMGDGLQSAGHHMDVYASSIDDILEDEEHYADQLKEYLFYAEALRAVCRKHELMQYDLEMAAQDLASKKQQCEELVTGTVRTFSLKGMTTKLFGQETPEQREARIKVLEEQINEGEQQLKSKNLEGREFVKNAWADIERFKEQKNRDLKEALISYAVMQISMCKKGIQVWTNAKECFSKM
+>DECOY_sp|O95219|SNX4_HUMAN Sorting nexin-4 OS=Homo sapiens OX=9606 GN=SNX4 PE=1 SV=1
+MKSFCEKANTWVQIGKKCMSIQMVAYSILAEKLDRNKQEKFREIDAWANKVFERGELNKSKLQQEGENIQEELVKIRAERQEPTEQGFLKTTMGKLSFTRVTGTVLEECQQKKSALDQAAMELDYQMLEHKRCVARLAEAYFLYEKLQDAYHEEDELIDDISSAYVDMHHGASQLGDGMEKEIASWESFVRGYNGHVKYVGYLRDAVRARVRLLHSIVSQLEDSYHKLDTFRKDPNKVRFTANLAKLRSDAKLQFGTENVTEKWNGEQTLFLYFIKDRCLIPHSAIRLLFNELGIRRREVFDPDMNDASLKHWVFEARKEPLPPVVIHPYYVLLYSRLLEFESYRRWLSDTLVSQGDTHEVSRTEILYATYTEQMNMANRGTRKEAESVSIEIKKLWFNNHTMTDVGSSEEGAGEAEKGVAAGLGADPSGLPELPAPQLQREPDPPAQEM
+>sp|Q9UNH7|SNX6_HUMAN Sorting nexin-6 OS=Homo sapiens OX=9606 GN=SNX6 PE=1 SV=1
+MMEGLDDGPDFLSEEDRGLKAINVDLQSDAALQVDISDALSERDKVKFTVHTKSSLPNFKQNEFSVVRQHEEFIWLHDSFVENEDYAGYIIPPAPPRPDFDASREKLQKLGEGEGSMTKEEFTKMKQELEAEYLAIFKKTVAMHEVFLCRVAAHPILRRDLNFHVFLEYNQDLSVRGKNKKEKLEDFFKNMVKSADGVIVSGVKDVDDFFEHERTFLLEYHNRVKDASAKSDRMTRSHKSAADDYNRIGSSLYALGTQDSTDICKFFLKVSELFDKTRKIEARVSADEDLKLSDLLKYYLRESQAAKDLLYRRSRSLVDYENANKALDKARAKNKDVLQAETSQQLCCQKFEKISESAKQELIDFKTRRVAAFRKNLVELAELELKHAKGNLQLLQNCLAVLNGDT
+>DECOY_sp|Q9UNH7|SNX6_HUMAN Sorting nexin-6 OS=Homo sapiens OX=9606 GN=SNX6 PE=1 SV=1
+TDGNLVALCNQLLQLNGKAHKLELEALEVLNKRFAAVRRTKFDILEQKASESIKEFKQCCLQQSTEAQLVDKNKARAKDLAKNANEYDVLSRSRRYLLDKAAQSERLYYKLLDSLKLDEDASVRAEIKRTKDFLESVKLFFKCIDTSDQTGLAYLSSGIRNYDDAASKHSRTMRDSKASADKVRNHYELLFTREHEFFDDVDKVGSVIVGDASKVMNKFFDELKEKKNKGRVSLDQNYELFVHFNLDRRLIPHAAVRCLFVEHMAVTKKFIALYEAELEQKMKTFEEKTMSGEGEGLKQLKERSADFDPRPPAPPIIYGAYDENEVFSDHLWIFEEHQRVVSFENQKFNPLSSKTHVTFKVKDRESLADSIDVQLAADSQLDVNIAKLGRDEESLFDPGDDLGEMM
+>sp|O94956|SO2B1_HUMAN Solute carrier organic anion transporter family member 2B1 OS=Homo sapiens OX=9606 GN=SLCO2B1 PE=1 SV=2
+MGPRIGPAGEVPQVPDKETKATMGTENTPGGKASPDPQDVRPSVFHNIKLFVLCHSLLQLAQLMISGYLKSSISTVEKRFGLSSQTSGLLASFNEVGNTALIVFVSYFGSRVHRPRMIGYGAILVALAGLLMTLPHFISEPYRYDNTSPEDMPQDFKASLCLPTTSAPASAPSNGNCSSYTETQHLSVVGIMFVAQTLLGVGGVPIQPFGISYIDDFAHNSNSPLYLGILFAVTMMGPGLAFGLGSLMLRLYVDINQMPEGGISLTIKDPRWVGAWWLGFLIAAGAVALAAIPYFFFPKEMPKEKRELQFRRKVLAVTDSPARKGKDSPSKQSPGESTKKQDGLVQIAPNLTVIQFIKVFPRVLLQTLRHPIFLLVVLSQVCLSSMAAGMAIFLPKFLERQFSITASYANLLIGCLSFPSVIVGIVVGGVLVKRLHLGPVGCGALCLLGMLLCLFFSLPLFFIGCSSHQIAGITHQTSAHPGLELSPSCMEACSCPLDGFNPVCDPSTRVEYITPCHAGCSSWVVQDALDNSQVFYTNCSCVVEGNPVLAGSCDSTCSHLVVPFLLLVSLGSALACLTHTPSFMLILRGVKKEDKTLAVGIQFMFLRILAWMPSPVIHGSAIDTTCVHWALSCGRRAVCRYYNNDLLRNRFIGLQFFFKTGSVICFALVLAVLRQQDKEARTKESRSSPAVEQQLLVSGPGKKPEDSRV
+>DECOY_sp|O94956|SO2B1_HUMAN Solute carrier organic anion transporter family member 2B1 OS=Homo sapiens OX=9606 GN=SLCO2B1 PE=1 SV=2
+VRSDEPKKGPGSVLLQQEVAPSSRSEKTRAEKDQQRLVALVLAFCIVSGTKFFFQLGIFRNRLLDNNYYRCVARRGCSLAWHVCTTDIASGHIVPSPMWALIRLFMFQIGVALTKDEKKVGRLILMFSPTHTLCALASGLSVLLLFPVVLHSCTSDCSGALVPNGEVVCSCNTYFVQSNDLADQVVWSSCGAHCPTIYEVRTSPDCVPNFGDLPCSCAEMCSPSLELGPHASTQHTIGAIQHSSCGIFFLPLSFFLCLLMGLLCLAGCGVPGLHLRKVLVGGVVIGVIVSPFSLCGILLNAYSATISFQRELFKPLFIAMGAAMSSLCVQSLVVLLFIPHRLTQLLVRPFVKIFQIVTLNPAIQVLGDQKKTSEGPSQKSPSDKGKRAPSDTVALVKRRFQLERKEKPMEKPFFFYPIAALAVAGAAILFGLWWAGVWRPDKITLSIGGEPMQNIDVYLRLMLSGLGFALGPGMMTVAFLIGLYLPSNSNHAFDDIYSIGFPQIPVGGVGLLTQAVFMIGVVSLHQTETYSSCNGNSPASAPASTTPLCLSAKFDQPMDEPSTNDYRYPESIFHPLTMLLGALAVLIAGYGIMRPRHVRSGFYSVFVILATNGVENFSALLGSTQSSLGFRKEVTSISSKLYGSIMLQALQLLSHCLVFLKINHFVSPRVDQPDPSAKGGPTNETGMTAKTEKDPVQPVEGAPGIRPGM
+>sp|Q6ZQN7|SO4C1_HUMAN Solute carrier organic anion transporter family member 4C1 OS=Homo sapiens OX=9606 GN=SLCO4C1 PE=1 SV=1
+MKSAKGIENLAFVPSSPDILRRLSASPSQIEVSALSSDPQRENSQPQELQKPQEPQKSPEPSLPSAPPNVSEEKLRSLSLSEFEEGSYGWRNFHPQCLQRCNTPGGFLLHYCLLAVTQGIVVNGLVNISISTVEKRYEMKSSLTGLISSSYDISFCLLSLFVSFFGERGHKPRWLAFAAFMIGLGALVFSLPQFFSGEYKLGSLFEDTCVTTRNSTSCTSSTSSLSNYLYVFILGQLLLGAGGTPLYTLGTAFLDDSVPTHKSSLYIGTGYAMSILGPAIGYVLGGQLLTIYIDVAMGESTDVTEDDPRWLGAWWIGFLLSWIFAWSLIIPFSCFPKHLPGTAEIQAGKTSQAHQSNSNADVKFGKSIKDFPAALKNLMKNAVFMCLVLSTSSEALITTGFATFLPKFIENQFGLTSSFAATLGGAVLIPGAALGQILGGFLVSKFRMTCKNTMKFALFTSGVALTLSFVFMYAKCENEPFAGVSESYNGTGELGNLIAPCNANCNCSRSYYYPVCGDGVQYFSPCFAGCSNPVAHRKPKVYYNCSCIERKTEITSTAETFGFEAKAGKCETHCAKLPIFLCIFFIVIIFTFMAGTPITVSILRCVNHRQRSLALGIQFMVLRLLGTIPGPIIFGFTIDSTCILWDINDCGIKGACWIYDNIKMAHMLVAISVTCKVITMFFNGFAIFLYKPPPSATDVSFHKENAVVTNVLAEQDLNKIVKEG
+>DECOY_sp|Q6ZQN7|SO4C1_HUMAN Solute carrier organic anion transporter family member 4C1 OS=Homo sapiens OX=9606 GN=SLCO4C1 PE=1 SV=1
+GEKVIKNLDQEALVNTVVANEKHFSVDTASPPPKYLFIAFGNFFMTIVKCTVSIAVLMHAMKINDYIWCAGKIGCDNIDWLICTSDITFGFIIPGPITGLLRLVMFQIGLALSRQRHNVCRLISVTIPTGAMFTFIIVIFFICLFIPLKACHTECKGAKAEFGFTEATSTIETKREICSCNYYVKPKRHAVPNSCGAFCPSFYQVGDGCVPYYYSRSCNCNANCPAILNGLEGTGNYSESVGAFPENECKAYMFVFSLTLAVGSTFLAFKMTNKCTMRFKSVLFGGLIQGLAAGPILVAGGLTAAFSSTLGFQNEIFKPLFTAFGTTILAESSTSLVLCMFVANKMLNKLAAPFDKISKGFKVDANSNSQHAQSTKGAQIEATGPLHKPFCSFPIILSWAFIWSLLFGIWWAGLWRPDDETVDTSEGMAVDIYITLLQGGLVYGIAPGLISMAYGTGIYLSSKHTPVSDDLFATGLTYLPTGGAGLLLQGLIFVYLYNSLSSTSSTCSTSNRTTVCTDEFLSGLKYEGSFFQPLSFVLAGLGIMFAAFALWRPKHGREGFFSVFLSLLCFSIDYSSSILGTLSSKMEYRKEVTSISINVLGNVVIGQTVALLCYHLLFGGPTNCRQLCQPHFNRWGYSGEEFESLSLSRLKEESVNPPASPLSPEPSKQPEQPKQLEQPQSNERQPDSSLASVEIQSPSASLRRLIDPSSPVFALNEIGKASKM
+>sp|Q9H2Y9|SO5A1_HUMAN Solute carrier organic anion transporter family member 5A1 OS=Homo sapiens OX=9606 GN=SLCO5A1 PE=2 SV=2
+MDEGTGLQPGAGEQLEAPATAEAVQERCEPETLRSKSLPVLSSASCRPSLSPTSGDANPAFGCVDSSGHQELKQGPNPLAPSPSAPSTSAGLGDCNHRVDLSKTFSVSSALAMLQERRCLYVVLTDSRCFLVCMCFLTFIQALMVSGYLSSVITTIERRYSLKSSESGLLVSCFDIGNLVVVVFVSYFGGRGRRPLWLAVGGLLIAFGAALFALPHFISPPYQIQELNASAPNDGLCQGGNSTATLEPPACPKDSGGNNHWVYVALFICAQILIGMGSTPIYTLGPTYLDDNVKKENSSLYLAIMYVMGALGPAVGYLLGGLLIGFYVDPRNPVHLDQNDPRFIGNWWSGFLLCAIAMFLVIFPMFTFPKKLPPRHKKKKKKKFSVDAVSDDDVLKEKSNNSEQADKKVSSMGFGKDVRDLPRAAVRILSNMTFLFVSLSYTAESAIVTAFITFIPKFIESQFGIPASNASIYTGVIIVPSAGVGIVLGGYIIKKLKLGARESAKLAMICSGVSLLCFSTLFIVGCESINLGGINIPYTTGPSLTMPHRNLTGSCNVNCGCKIHEYEPVCGSDGITYFNPCLAGCVNSGNLSTGIRNYTECTCVQSRQVITPPTVGQRSQLRVVIVKTYLNENGYAVSGKCKRTCNTLIPFLVFLFIVTFITACAQPSAIIVTLRSVEDEERPFALGMQFVLLRTLAYIPTPIYFGAVIDTTCMLWQQECGVQGSCWEYNVTSFRFVYFGLAAGLKFVGFIFIFLAWYSIKYKEDGLQRRRQREFPLSTVSERVGHPDNARTRSCPAFSTQGEFHEETGLQKGIQCAAQTYPGPFPEAISSSADPGLEESPAALEPPS
+>DECOY_sp|Q9H2Y9|SO5A1_HUMAN Solute carrier organic anion transporter family member 5A1 OS=Homo sapiens OX=9606 GN=SLCO5A1 PE=2 SV=2
+SPPELAAPSEELGPDASSSIAEPFPGPYTQAACQIGKQLGTEEHFEGQTSFAPCSRTRANDPHGVRESVTSLPFERQRRRQLGDEKYKISYWALFIFIFGVFKLGAALGFYVFRFSTVNYEWCSGQVGCEQQWLMCTTDIVAGFYIPTPIYALTRLLVFQMGLAFPREEDEVSRLTVIIASPQACATIFTVIFLFVLFPILTNCTRKCKGSVAYGNENLYTKVIVVRLQSRQGVTPPTIVQRSQVCTCETYNRIGTSLNGSNVCGALCPNFYTIGDSGCVPEYEHIKCGCNVNCSGTLNRHPMTLSPGTTYPINIGGLNISECGVIFLTSFCLLSVGSCIMALKASERAGLKLKKIIYGGLVIGVGASPVIIVGTYISANSAPIGFQSEIFKPIFTIFATVIASEATYSLSVFLFTMNSLIRVAARPLDRVDKGFGMSSVKKDAQESNNSKEKLVDDDSVADVSFKKKKKKKHRPPLKKPFTFMPFIVLFMAIACLLFGSWWNGIFRPDNQDLHVPNRPDVYFGILLGGLLYGVAPGLAGMVYMIALYLSSNEKKVNDDLYTPGLTYIPTSGMGILIQACIFLAVYVWHNNGGSDKPCAPPELTATSNGGQCLGDNPASANLEQIQYPPSIFHPLAFLAAGFAILLGGVALWLPRRGRGGFYSVFVVVVLNGIDFCSVLLGSESSKLSYRREITTIVSSLYGSVMLAQIFTLFCMCVLFCRSDTLVVYLCRREQLMALASSVSFTKSLDVRHNCDGLGASTSPASPSPALPNPGQKLEQHGSSDVCGFAPNADGSTPSLSPRCSASSLVPLSKSRLTEPECREQVAEATAPAELQEGAGPQLGTGEDM
+>sp|P35610|SOAT1_HUMAN Sterol O-acyltransferase 1 OS=Homo sapiens OX=9606 GN=SOAT1 PE=1 SV=3
+MVGEEKMSLRNRLSKSRENPEEDEDQRNPAKESLETPSNGRIDIKQLIAKKIKLTAEAEELKPFFMKEVGSHFDDFVTNLIEKSASLDNGGCALTTFSVLEGEKNNHRAKDLRAPPEQGKIFIARRSLLDELLEVDHIRTIYHMFIALLILFILSTLVVDYIDEGRLVLEFSLLSYAFGKFPTVVWTWWIMFLSTFSVPYFLFQHWATGYSKSSHPLIRSLFHGFLFMIFQIGVLGFGPTYVVLAYTLPPASRFIIIFEQIRFVMKAHSFVRENVPRVLNSAKEKSSTVPIPTVNQYLYFLFAPTLIYRDSYPRNPTVRWGYVAMKFAQVFGCFFYVYYIFERLCAPLFRNIKQEPFSARVLVLCVFNSILPGVLILFLTFFAFLHCWLNAFAEMLRFGDRMFYKDWWNSTSYSNYYRTWNVVVHDWLYYYAYKDFLWFFSKRFKSAAMLAVFAVSAVVHEYALAVCLSFFYPVLFVLFMFFGMAFNFIVNDSRKKPIWNVLMWTSLFLGNGVLLCFYSQEWYARQHCPLKNPTFLDYVRPRSWTCRYVF
+>DECOY_sp|P35610|SOAT1_HUMAN Sterol O-acyltransferase 1 OS=Homo sapiens OX=9606 GN=SOAT1 PE=1 SV=3
+FVYRCTWSRPRVYDLFTPNKLPCHQRAYWEQSYFCLLVGNGLFLSTWMLVNWIPKKRSDNVIFNFAMGFFMFLVFLVPYFFSLCVALAYEHVVASVAFVALMAASKFRKSFFWLFDKYAYYYLWDHVVVNWTRYYNSYSTSNWWDKYFMRDGFRLMEAFANLWCHLFAFFTLFLILVGPLISNFVCLVLVRASFPEQKINRFLPACLREFIYYVYFFCGFVQAFKMAVYGWRVTPNRPYSDRYILTPAFLFYLYQNVTPIPVTSSKEKASNLVRPVNERVFSHAKMVFRIQEFIIIFRSAPPLTYALVVYTPGFGLVGIQFIMFLFGHFLSRILPHSSKSYGTAWHQFLFYPVSFTSLFMIWWTWVVTPFKGFAYSLLSFELVLRGEDIYDVVLTSLIFLILLAIFMHYITRIHDVELLEDLLSRRAIFIKGQEPPARLDKARHNNKEGELVSFTTLACGGNDLSASKEILNTVFDDFHSGVEKMFFPKLEEAEATLKIKKAILQKIDIRGNSPTELSEKAPNRQDEDEEPNERSKSLRNRLSMKEEGVM
+>sp|P04179|SODM_HUMAN Superoxide dismutase [Mn], mitochondrial OS=Homo sapiens OX=9606 GN=SOD2 PE=1 SV=3
+MLSRAVCGTSRQLAPVLGYLGSRQKHSLPDLPYDYGALEPHINAQIMQLHHSKHHAAYVNNLNVTEEKYQEALAKGDVTAQIALQPALKFNGGGHINHSIFWTNLSPNGGGEPKGELLEAIKRDFGSFDKFKEKLTAASVGVQGSGWGWLGFNKERGHLQIAACPNQDPLQGTTGLIPLLGIDVWEHAYYLQYKNVRPDYLKAIWNVINWENVTERYMACKK
+>DECOY_sp|P04179|SODM_HUMAN Superoxide dismutase [Mn], mitochondrial OS=Homo sapiens OX=9606 GN=SOD2 PE=1 SV=3
+KKCAMYRETVNEWNIVNWIAKLYDPRVNKYQLYYAHEWVDIGLLPILGTTGQLPDQNPCAAIQLHGREKNFGLWGWGSGQVGVSAATLKEKFKDFSGFDRKIAELLEGKPEGGGNPSLNTWFISHNIHGGGNFKLAPQLAIQATVDGKALAEQYKEETVNLNNVYAAHHKSHHLQMIQANIHPELAGYDYPLDPLSHKQRSGLYGLVPALQRSTGCVARSLM
+>sp|O94964|SOGA1_HUMAN Protein SOGA1 OS=Homo sapiens OX=9606 GN=SOGA1 PE=1 SV=2
+MLEMRDVYMEEDVYQLQELRQQLDQASKTCRILQYRLRKAERRSLRAAQTGQVDGELIRGLEQDVKVSKDISMRLHKELEVVEKKRARLEEENEELRQRLIETELAKQVLQTELERPREHSLKKRGTRSLGKADKKTLVQEDSADLKCQLHFAKEESALMCKKLTKLAKENDSMKEELLKYRSLYGDLDSALSAEELADAPHSRETELKVHLKLVEEEANLLSRRIVELEVENRGLRAEMDDMKDHGGGCGGPEARLAFSALGGGECGESLAELRRHLQFVEEEAELLRRSSAELEDQNKLLLNELAKFRSEHELDVALSEDSCSVLSEPSQEELAAAKLQIGELSGKVKKLQYENRVLLSNLQRCDLASCQSTRPMLETDAEAGDSAQCVPAPLGETHESHAVRLCRAREAEVLPGLREQAALVSKAIDVLVADANGFTAGLRLCLDNECADFRLHEAPDNSEGPRDTKLIHAILVRLSVLQQELNAFTRKADAVLGCSVKEQQESFSSLPPLGSQGLSKEILLAKDLGSDFQPPDFRDLPEWEPRIREAFRTGDLDSKPDPSRSFRPYRAEDNDSYASEIKELQLVLAEAHDSLRGLQEQLSQERQLRKEEADNFNQKMVQLKEDQQRALLRREFELQSLSLQRRLEQKFWSQEKNMLVQESQQFKHNFLLLFMKLRWFLKRWRQGKVLPSEGDDFLEVNSMKELYLLMEEEEINAQHSDNKACTGDSWTQNTPNEYIKTLADMKVTLKELCWLLRDERRGLTELQQQFAKAKATWETERAELKGHTSQMELKTGKGAGERAGPDWKAALQREREEQQHLLAESYSAVMELTRQLQISERNWSQEKLQLVERLQGEKQQVEQQVKELQNRLSQLQKAADPWVLKHSELEKQDNSWKETRSEKIHDKEAVSEVELGGNGLKRTKSVSSMSEFESLLDCSPYLAGGDARGKKLPNNPAFGFVSSEPGDPEKDTKEKPGLSSRDCNHLGALACQDPPGRQMQRSYTAPDKTGIRVYYSPPVARRLGVPVVHDKEGKIIIEPGFLFTTAKPKESAEADGLAESSYGRWLCNFSRQRLDGGSAGSPSAAGPGFPAALHDFEMSGNMSDDMKEITNCVRQAMRSGSLERKVKSTSSQTVGLASVGTQTIRTVSVGLQTDPPRSSLHGKAWSPRSSSLVSVRSKQISSSLDKVHSRIERPCCSPKYGSPKLQRRSVSKLDSSKDRSLWNLHQGKQNGSAWARSTTTRDSPVLRNINDGLSSLFSVVEHSGSTESVWKLGMSETRAKPEPPKYGIVQEFFRNVCGRAPSPTSSAGEEGTKKPEPLSPASYHQPEGVARILNKKAAKLGSSEEVRLTMLPQVGKDGVLRDGDGAVVLPNEDAVCDCSTQSLTSCFARSSRSAIRHSPSKCRLHPSESSWGGEERALPPSE
+>DECOY_sp|O94964|SOGA1_HUMAN Protein SOGA1 OS=Homo sapiens OX=9606 GN=SOGA1 PE=1 SV=2
+ESPPLAREEGGWSSESPHLRCKSPSHRIASRSSRAFCSTLSQTSCDCVADENPLVVAGDGDRLVGDKGVQPLMTLRVEESSGLKAAKKNLIRAVGEPQHYSAPSLPEPKKTGEEGASSTPSPARGCVNRFFEQVIGYKPPEPKARTESMGLKWVSETSGSHEVVSFLSSLGDNINRLVPSDRTTTSRAWASGNQKGQHLNWLSRDKSSDLKSVSRRQLKPSGYKPSCCPREIRSHVKDLSSSIQKSRVSVLSSSRPSWAKGHLSSRPPDTQLGVSVTRITQTGVSALGVTQSSTSKVKRELSGSRMAQRVCNTIEKMDDSMNGSMEFDHLAAPFGPGAASPSGASGGDLRQRSFNCLWRGYSSEALGDAEASEKPKATTFLFGPEIIIKGEKDHVVPVGLRRAVPPSYYVRIGTKDPATYSRQMQRGPPDQCALAGLHNCDRSSLGPKEKTDKEPDGPESSVFGFAPNNPLKKGRADGGALYPSCDLLSEFESMSSVSKTRKLGNGGLEVESVAEKDHIKESRTEKWSNDQKELESHKLVWPDAAKQLQSLRNQLEKVQQEVQQKEGQLREVLQLKEQSWNRESIQLQRTLEMVASYSEALLHQQEERERQLAAKWDPGAREGAGKGTKLEMQSTHGKLEARETEWTAKAKAFQQQLETLGRREDRLLWCLEKLTVKMDALTKIYENPTNQTWSDGTCAKNDSHQANIEEEEMLLYLEKMSNVELFDDGESPLVKGQRWRKLFWRLKMFLLLFNHKFQQSEQVLMNKEQSWFKQELRRQLSLSQLEFERRLLARQQDEKLQVMKQNFNDAEEKRLQREQSLQEQLGRLSDHAEALVLQLEKIESAYSDNDEARYPRFSRSPDPKSDLDGTRFAERIRPEWEPLDRFDPPQFDSGLDKALLIEKSLGQSGLPPLSSFSEQQEKVSCGLVADAKRTFANLEQQLVSLRVLIAHILKTDRPGESNDPAEHLRFDACENDLCLRLGATFGNADAVLVDIAKSVLAAQERLGPLVEAERARCLRVAHSEHTEGLPAPVCQASDGAEADTELMPRTSQCSALDCRQLNSLLVRNEYQLKKVKGSLEGIQLKAAALEEQSPESLVSCSDESLAVDLEHESRFKALENLLLKNQDELEASSRRLLEAEEEVFQLHRRLEALSEGCEGGGLASFALRAEPGGCGGGHDKMDDMEARLGRNEVELEVIRRSLLNAEEEVLKLHVKLETERSHPADALEEASLASDLDGYLSRYKLLEEKMSDNEKALKTLKKCMLASEEKAFHLQCKLDASDEQVLTKKDAKGLSRTGRKKLSHERPRELETQLVQKALETEILRQRLEENEEELRARKKEVVELEKHLRMSIDKSVKVDQELGRILEGDVQGTQAARLSRREAKRLRYQLIRCTKSAQDLQQRLEQLQYVDEEMYVDRMELM
+>sp|Q5JUK2|SOLH1_HUMAN Spermatogenesis- and oogenesis-specific basic helix-loop-helix-containing protein 1 OS=Homo sapiens OX=9606 GN=SOHLH1 PE=1 SV=4
+MASRCSEPYPEVSRIPTVRGCNGSLSGALSCCEDSARGSGPPKAPTVAEGPSSCLRRNVISERERRKRMSLSCERLRALLPQFDGRREDMASVLEMSVQFLRLASALGPSQEQHAILASSKEMWHSLQEDVLQLTLSSQIQAGVPDPGTGASSGTRTPDVKAFLESPWSLDPASASPEPVPHILASSRQWDPASCTSLGTDKCEALLGLCQVRGGLPPFSEPSSLVPWPPGRSLPKAVRPPLSWPPFSQQQTLPVMSGEALGWLGQAGPLAMGAAPLGEPAKEDPMLAQEAGSALGSDVDDGTSFLLTAGPSSWPGEWGPGFRAGPPA
+>DECOY_sp|Q5JUK2|SOLH1_HUMAN Spermatogenesis- and oogenesis-specific basic helix-loop-helix-containing protein 1 OS=Homo sapiens OX=9606 GN=SOHLH1 PE=1 SV=4
+APPGARFGPGWEGPWSSPGATLLFSTGDDVDSGLASGAEQALMPDEKAPEGLPAAGMALPGAQGLWGLAEGSMVPLTQQQSFPPWSLPPRVAKPLSRGPPWPVLSSPESFPPLGGRVQCLGLLAECKDTGLSTCSAPDWQRSSALIHPVPEPSASAPDLSWPSELFAKVDPTRTGSSAGTGPDPVGAQIQSSLTLQLVDEQLSHWMEKSSALIAHQEQSPGLASALRLFQVSMELVSAMDERRGDFQPLLARLRECSLSMRKRRERESIVNRRLCSSPGEAVTPAKPPGSGRASDECCSLAGSLSGNCGRVTPIRSVEPYPESCRSAM
+>sp|Q92673|SORL_HUMAN Sortilin-related receptor OS=Homo sapiens OX=9606 GN=SORL1 PE=1 SV=2
+MATRSSRRESRLPFLFTLVALLPPGALCEVWTQRLHGGSAPLPQDRGFLVVQGDPRELRLWARGDARGASRADEKPLRRKRSAALQPEPIKVYGQVSLNDSHNQMVVHWAGEKSNVIVALARDSLALARPKSSDVYVSYDYGKSFKKISDKLNFGLGNRSEAVIAQFYHSPADNKRYIFADAYAQYLWITFDFCNTLQGFSIPFRAADLLLHSKASNLLLGFDRSHPNKQLWKSDDFGQTWIMIQEHVKSFSWGIDPYDKPNTIYIERHEPSGYSTVFRSTDFFQSRENQEVILEEVRDFQLRDKYMFATKVVHLLGSEQQSSVQLWVSFGRKPMRAAQFVTRHPINEYYIADASEDQVFVCVSHSNNRTNLYISEAEGLKFSLSLENVLYYSPGGAGSDTLVRYFANEPFADFHRVEGLQGVYIATLINGSMNEENMRSVITFDKGGTWEFLQAPAFTGYGEKINCELSQGCSLHLAQRLSQLLNLQLRRMPILSKESAPGLIIATGSVGKNLASKTNVYISSSAGARWREALPGPHYYTWGDHGGIITAIAQGMETNELKYSTNEGETWKTFIFSEKPVFVYGLLTEPGEKSTVFTIFGSNKENVHSWLILQVNATDALGVPCTENDYKLWSPSDERGNECLLGHKTVFKRRTPHATCFNGEDFDRPVVVSNCSCTREDYECDFGFKMSEDLSLEVCVPDPEFSGKSYSPPVPCPVGSTYRRTRGYRKISGDTCSGGDVEARLEGELVPCPLAEENEFILYAVRKSIYRYDLASGATEQLPLTGLRAAVALDFDYEHNCLYWSDLALDVIQRLCLNGSTGQEVIINSGLETVEALAFEPLSQLLYWVDAGFKKIEVANPDGDFRLTIVNSSVLDRPRALVLVPQEGVMFWTDWGDLKPGIYRSNMDGSAAYHLVSEDVKWPNGISVDDQWIYWTDAYLECIERITFSGQQRSVILDNLPHPYAIAVFKNEIYWDDWSQLSIFRASKYSGSQMEILANQLTGLMDMKIFYKGKNTGSNACVPRPCSLLCLPKANNSRSCRCPEDVSSSVLPSGDLMCDCPQGYQLKNNTCVKQENTCLRNQYRCSNGNCINSIWWCDFDNDCGDMSDERNCPTTICDLDTQFRCQESGTCIPLSYKCDLEDDCGDNSDESHCEMHQCRSDEYNCSSGMCIRSSWVCDGDNDCRDWSDEANCTAIYHTCEASNFQCRNGHCIPQRWACDGDTDCQDGSDEDPVNCEKKCNGFRCPNGTCIPSSKHCDGLRDCSDGSDEQHCEPLCTHFMDFVCKNRQQCLFHSMVCDGIIQCRDGSDEDAAFAGCSQDPEFHKVCDEFGFQCQNGVCISLIWKCDGMDDCGDYSDEANCENPTEAPNCSRYFQFRCENGHCIPNRWKCDRENDCGDWSDEKDCGDSHILPFSTPGPSTCLPNYYRCSSGTCVMDTWVCDGYRDCADGSDEEACPLLANVTAASTPTQLGRCDRFEFECHQPKTCIPNWKRCDGHQDCQDGRDEANCPTHSTLTCMSREFQCEDGEACIVLSERCDGFLDCSDESDEKACSDELTVYKVQNLQWTADFSGDVTLTWMRPKKMPSASCVYNVYYRVVGESIWKTLETHSNKTNTVLKVLKPDTTYQVKVQVQCLSKAHNTNDFVTLRTPEGLPDAPRNLQLSLPREAEGVIVGHWAPPIHTHGLIREYIVEYSRSGSKMWASQRAASNFTEIKNLLVNTLYTVRVAAVTSRGIGNWSDSKSITTIKGKVIPPPDIHIDSYGENYLSFTLTMESDIKVNGYVVNLFWAFDTHKQERRTLNFRGSILSHKVGNLTAHTSYEISAWAKTDLGDSPLAFEHVMTRGVRPPAPSLKAKAINQTAVECTWTGPRNVVYGIFYATSFLDLYRNPKSLTTSLHNKTVIVSKDEQYLFLVRVVVPYQGPSSDYVVVKMIPDSRLPPRHLHVVHTGKTSVVIKWESPYDSPDQDLLYAVAVKDLIRKTDRSYKVKSRNSTVEYTLNKLEPGGKYHIIVQLGNMSKDSSIKITTVSLSAPDALKIITENDHVLLFWKSLALKEKHFNESRGYEIHMFDSAMNITAYLGNTTDNFFKISNLKMGHNYTFTVQARCLFGNQICGEPAILLYDELGSGADASATQAARSTDVAAVVVPILFLILLSLGVGFAILYTKHRRLQSSFTAFANSHYSSRLGSAIFSSGDDLGEDDEDAPMITGFSDDVPMVIA
+>DECOY_sp|Q92673|SORL_HUMAN Sortilin-related receptor OS=Homo sapiens OX=9606 GN=SORL1 PE=1 SV=2
+AIVMPVDDSFGTIMPADEDDEGLDDGSSFIASGLRSSYHSNAFATFSSQLRRHKTYLIAFGVGLSLLILFLIPVVVAAVDTSRAAQTASADAGSGLEDYLLIAPEGCIQNGFLCRAQVTFTYNHGMKLNSIKFFNDTTNGLYATINMASDFMHIEYGRSENFHKEKLALSKWFLLVHDNETIIKLADPASLSVTTIKISSDKSMNGLQVIIHYKGGPELKNLTYEVTSNRSKVKYSRDTKRILDKVAVAYLLDQDPSDYPSEWKIVVSTKGTHVVHLHRPPLRSDPIMKVVVYDSSPGQYPVVVRVLFLYQEDKSVIVTKNHLSTTLSKPNRYLDLFSTAYFIGYVVNRPGTWTCEVATQNIAKAKLSPAPPRVGRTMVHEFALPSDGLDTKAWASIEYSTHATLNGVKHSLISGRFNLTRREQKHTDFAWFLNVVYGNVKIDSEMTLTFSLYNEGYSDIHIDPPPIVKGKITTISKSDSWNGIGRSTVAAVRVTYLTNVLLNKIETFNSAARQSAWMKSGSRSYEVIYERILGHTHIPPAWHGVIVGEAERPLSLQLNRPADPLGEPTRLTVFDNTNHAKSLCQVQVKVQYTTDPKLVKLVTNTKNSHTELTKWISEGVVRYYVNYVCSASPMKKPRMWTLTVDGSFDATWQLNQVKYVTLEDSCAKEDSEDSCDLFGDCRESLVICAEGDECQFERSMCTLTSHTPCNAEDRGDQCDQHGDCRKWNPICTKPQHCEFEFRDCRGLQTPTSAATVNALLPCAEEDSGDACDRYGDCVWTDMVCTGSSCRYYNPLCTSPGPTSFPLIHSDGCDKEDSWDGCDNERDCKWRNPICHGNECRFQFYRSCNPAETPNECNAEDSYDGCDDMGDCKWILSICVGNQCQFGFEDCVKHFEPDQSCGAFAADEDSGDRCQIIGDCVMSHFLCQQRNKCVFDMFHTCLPECHQEDSGDSCDRLGDCHKSSPICTGNPCRFGNCKKECNVPDEDSGDQCDTDGDCAWRQPICHGNRCQFNSAECTHYIATCNAEDSWDRCDNDGDCVWSSRICMGSSCNYEDSRCQHMECHSEDSNDGCDDELDCKYSLPICTGSEQCRFQTDLDCITTPCNREDSMDGCDNDFDCWWISNICNGNSCRYQNRLCTNEQKVCTNNKLQYGQPCDCMLDGSPLVSSSVDEPCRCSRSNNAKPLCLLSCPRPVCANSGTNKGKYFIKMDMLGTLQNALIEMQSGSYKSARFISLQSWDDWYIENKFVAIAYPHPLNDLIVSRQQGSFTIREICELYADTWYIWQDDVSIGNPWKVDESVLHYAASGDMNSRYIGPKLDGWDTWFMVGEQPVLVLARPRDLVSSNVITLRFDGDPNAVEIKKFGADVWYLLQSLPEFALAEVTELGSNIIVEQGTSGNLCLRQIVDLALDSWYLCNHEYDFDLAVAARLGTLPLQETAGSALDYRYISKRVAYLIFENEEALPCPVLEGELRAEVDGGSCTDGSIKRYGRTRRYTSGVPCPVPPSYSKGSFEPDPVCVELSLDESMKFGFDCEYDERTCSCNSVVVPRDFDEGNFCTAHPTRRKFVTKHGLLCENGREDSPSWLKYDNETCPVGLADTANVQLILWSHVNEKNSGFITFVTSKEGPETLLGYVFVPKESFIFTKWTEGENTSYKLENTEMGQAIATIIGGHDGWTYYHPGPLAERWRAGASSSIYVNTKSALNKGVSGTAIILGPASEKSLIPMRRLQLNLLQSLRQALHLSCGQSLECNIKEGYGTFAPAQLFEWTGGKDFTIVSRMNEENMSGNILTAIYVGQLGEVRHFDAFPENAFYRVLTDSGAGGPSYYLVNELSLSFKLGEAESIYLNTRNNSHSVCVFVQDESADAIYYENIPHRTVFQAARMPKRGFSVWLQVSSQQESGLLHVVKTAFMYKDRLQFDRVEELIVEQNERSQFFDTSRFVTSYGSPEHREIYITNPKDYPDIGWSFSKVHEQIMIWTQGFDDSKWLQKNPHSRDFGLLLNSAKSHLLLDAARFPISFGQLTNCFDFTIWLYQAYADAFIYRKNDAPSHYFQAIVAESRNGLGFNLKDSIKKFSKGYDYSVYVDSSKPRALALSDRALAVIVNSKEGAWHVVMQNHSDNLSVQGYVKIPEPQLAASRKRRLPKEDARSAGRADGRAWLRLERPDGQVVLFGRDQPLPASGGHLRQTWVECLAGPPLLAVLTFLFPLRSERRSSRTAM
+>sp|Q99523|SORT_HUMAN Sortilin OS=Homo sapiens OX=9606 GN=SORT1 PE=1 SV=3
+MERPWGAADGLSRWPHGLGLLLLLQLLPPSTLSQDRLDAPPPPAAPLPRWSGPIGVSWGLRAAAAGGAFPRGGRWRRSAPGEDEECGRVRDFVAKLANNTHQHVFDDLRGSVSLSWVGDSTGVILVLTTFHVPLVIMTFGQSKLYRSEDYGKNFKDITDLINNTFIRTEFGMAIGPENSGKVVLTAEVSGGSRGGRIFRSSDFAKNFVQTDLPFHPLTQMMYSPQNSDYLLALSTENGLWVSKNFGGKWEEIHKAVCLAKWGSDNTIFFTTYANGSCKADLGALELWRTSDLGKSFKTIGVKIYSFGLGGRFLFASVMADKDTTRRIHVSTDQGDTWSMAQLPSVGQEQFYSILAANDDMVFMHVDEPGDTGFGTIFTSDDRGIVYSKSLDRHLYTTTGGETDFTNVTSLRGVYITSVLSEDNSIQTMITFDQGGRWTHLRKPENSECDATAKNKNECSLHIHASYSISQKLNVPMAPLSEPNAVGIVIAHGSVGDAISVMVPDVYISDDGGYSWTKMLEGPHYYTILDSGGIIVAIEHSSRPINVIKFSTDEGQCWQTYTFTRDPIYFTGLASEPGARSMNISIWGFTESFLTSQWVSYTIDFKDILERNCEEKDYTIWLAHSTDPEDYEDGCILGYKEQFLRLRKSSVCQNGRDYVVTKQPSICLCSLEDFLCDFGYYRPENDSKCVEQPELKGHDLEFCLYGREEHLTTNGYRKIPGDKCQGGVNPVREVKDLKKKCTSNFLSPEKQNSKSNSVPIILAIVGLMLVTVVAGVLIVKKYVCGGRFLVHRYSVLQQHAEANGVDGVDALDTASHTNKSGYHDDSDEDLLE
+>DECOY_sp|Q99523|SORT_HUMAN Sortilin OS=Homo sapiens OX=9606 GN=SORT1 PE=1 SV=3
+ELLDEDSDDHYGSKNTHSATDLADVGDVGNAEAHQQLVSYRHVLFRGGCVYKKVILVGAVVTVLMLGVIALIIPVSNSKSNQKEPSLFNSTCKKKLDKVERVPNVGGQCKDGPIKRYGNTTLHEERGYLCFELDHGKLEPQEVCKSDNEPRYYGFDCLFDELSCLCISPQKTVVYDRGNQCVSSKRLRLFQEKYGLICGDEYDEPDTSHALWITYDKEECNRELIDKFDITYSVWQSTLFSETFGWISINMSRAGPESALGTFYIPDRTFTYTQWCQGEDTSFKIVNIPRSSHEIAVIIGGSDLITYYHPGELMKTWSYGGDDSIYVDPVMVSIADGVSGHAIVIGVANPESLPAMPVNLKQSISYSAHIHLSCENKNKATADCESNEPKRLHTWRGGQDFTIMTQISNDESLVSTIYVGRLSTVNTFDTEGGTTTYLHRDLSKSYVIGRDDSTFITGFGTDGPEDVHMFVMDDNAALISYFQEQGVSPLQAMSWTDGQDTSVHIRRTTDKDAMVSAFLFRGGLGFSYIKVGITKFSKGLDSTRWLELAGLDAKCSGNAYTTFFITNDSGWKALCVAKHIEEWKGGFNKSVWLGNETSLALLYDSNQPSYMMQTLPHFPLDTQVFNKAFDSSRFIRGGRSGGSVEATLVVKGSNEPGIAMGFETRIFTNNILDTIDKFNKGYDESRYLKSQGFTMIVLPVHFTTLVLIVGTSDGVWSLSVSGRLDDFVHQHTNNALKAVFDRVRGCEEDEGPASRRWRGGRPFAGGAAAARLGWSVGIPGSWRPLPAAPPPPADLRDQSLTSPPLLQLLLLLGLGHPWRSLGDAAGWPREM
+>sp|P48431|SOX2_HUMAN Transcription factor SOX-2 OS=Homo sapiens OX=9606 GN=SOX2 PE=1 SV=1
+MYNMMETELKPPGPQQTSGGGGGNSTAAAAGGNQKNSPDRVKRPMNAFMVWSRGQRRKMAQENPKMHNSEISKRLGAEWKLLSETEKRPFIDEAKRLRALHMKEHPDYKYRPRRKTKTLMKKDKYTLPGGLLAPGGNSMASGVGVGAGLGAGVNQRMDSYAHMNGWSNGSYSMMQDQLGYPQHPGLNAHGAAQMQPMHRYDVSALQYNSMTSSQTYMNGSPTYSMSYSQQGTPGMALGSMGSVVKSEASSSPPVVTSSSHSRAPCQAGDLRDMISMYLPGAEVPEPAAPSRLHMSQHYQSGPVPGTAINGTLPLSHM
+>DECOY_sp|P48431|SOX2_HUMAN Transcription factor SOX-2 OS=Homo sapiens OX=9606 GN=SOX2 PE=1 SV=1
+MHSLPLTGNIATGPVPGSQYHQSMHLRSPAAPEPVEAGPLYMSIMDRLDGAQCPARSHSSSTVVPPSSSAESKVVSGMSGLAMGPTGQQSYSMSYTPSGNMYTQSSTMSNYQLASVDYRHMPQMQAAGHANLGPHQPYGLQDQMMSYSGNSWGNMHAYSDMRQNVGAGLGAGVGVGSAMSNGGPALLGGPLTYKDKKMLTKTKRRPRYKYDPHEKMHLARLRKAEDIFPRKETESLLKWEAGLRKSIESNHMKPNEQAMKRRQGRSWVMFANMPRKVRDPSNKQNGGAAAATSNGGGGGSTQQPGPPKLETEMMNYM
+>sp|P35712|SOX6_HUMAN Transcription factor SOX-6 OS=Homo sapiens OX=9606 GN=SOX6 PE=1 SV=3
+MSSKQATSPFACAADGEDAMTQDLTSREKEEGSDQHVASHLPLHPIMHNKPHSEELPTLVSTIQQDADWDSVLSSQQRMESENNKLCSLYSFRNTSTSPHKPDEGSRDREIMTSVTFGTPERRKGSLADVVDTLKQKKLEEMTRTEQEDSSCMEKLLSKDWKEKMERLNTSELLGEIKGTPESLAEKERQLSTMITQLISLREQLLAAHDEQKKLAASQIEKQRQQMDLARQQQEQIARQQQQLLQQQHKINLLQQQIQVQGHMPPLMIPIFPHDQRTLAAAAAAQQGFLFPPGITYKPGDNYPVQFIPSTMAAAAASGLSPLQLQKGHVSHPQINQRLKGLSDRFGRNLDTFEHGGGHSYNHKQIEQLYAAQLASMQVSPGAKMPSTPQPPNTAGTVSPTGIKNEKRGTSPVTQVKDEAAAQPLNLSSRPKTAEPVKSPTSPTQNLFPASKTSPVNLPNKSSIPSPIGGSLGRGSSLDILSSLNSPALFGDQDTVMKAIQEARKMREQIQREQQQQQPHGVDGKLSSINNMGLNSCRNEKERTRFENLGPQLTGKSNEDGKLGPGVIDLTRPEDAEGSKAMNGSAAKLQQYYCWPTGGATVAEARVYRDARGRASSEPHIKRPMNAFMVWAKDERRKILQAFPDMHNSNISKILGSRWKSMSNQEKQPYYEEQARLSKIHLEKYPNYKYKPRPKRTCIVDGKKLRIGEYKQLMRSRRQEMRQFFTVGQQPQIPITTGTGVVYPGAITMATTTPSPQMTSDCSSTSASPEPSLPVIQSTYGMKTDGGSLAGNEMINGEDEMEMYDDYEDDPKSDYSSENEAPEAVSAN
+>DECOY_sp|P35712|SOX6_HUMAN Transcription factor SOX-6 OS=Homo sapiens OX=9606 GN=SOX6 PE=1 SV=3
+NASVAEPAENESSYDSKPDDEYDDYMEMEDEGNIMENGALSGGDTKMGYTSQIVPLSPEPSASTSSCDSTMQPSPTTTAMTIAGPYVVGTGTTIPIQPQQGVTFFQRMEQRRSRMLQKYEGIRLKKGDVICTRKPRPKYKYNPYKELHIKSLRAQEEYYPQKEQNSMSKWRSGLIKSINSNHMDPFAQLIKRREDKAWVMFANMPRKIHPESSARGRADRYVRAEAVTAGGTPWCYYQQLKAASGNMAKSGEADEPRTLDIVGPGLKGDENSKGTLQPGLNEFRTREKENRCSNLGMNNISSLKGDVGHPQQQQQERQIQERMKRAEQIAKMVTDQDGFLAPSNLSSLIDLSSGRGLSGGIPSPISSKNPLNVPSTKSAPFLNQTPSTPSKVPEATKPRSSLNLPQAAAEDKVQTVPSTGRKENKIGTPSVTGATNPPQPTSPMKAGPSVQMSALQAAYLQEIQKHNYSHGGGHEFTDLNRGFRDSLGKLRQNIQPHSVHGKQLQLPSLGSAAAAAMTSPIFQVPYNDGPKYTIGPPFLFGQQAAAAAALTRQDHPFIPIMLPPMHGQVQIQQQLLNIKHQQQLLQQQQRAIQEQQQRALDMQQRQKEIQSAALKKQEDHAALLQERLSILQTIMTSLQREKEALSEPTGKIEGLLESTNLREMKEKWDKSLLKEMCSSDEQETRTMEELKKQKLTDVVDALSGKRREPTGFTVSTMIERDRSGEDPKHPSTSTNRFSYLSCLKNNESEMRQQSSLVSDWDADQQITSVLTPLEESHPKNHMIPHLPLHSAVHQDSGEEKERSTLDQTMADEGDAACAFPSTAQKSSM
+>sp|Q9UM82|SPAT2_HUMAN Spermatogenesis-associated protein 2 OS=Homo sapiens OX=9606 GN=SPATA2 PE=1 SV=2
+MGKPSSMDTKFKDDLFRKYVQFHESKVDTTTSRQRPGSDECLRVAASTLLSLHKVDPFYRFRLIQFYEVVESSLRSLSSSSLRALHGAFSMLETVGINLFLYPWKKEFRSIKTYTGPFVYYVKSTLLEEDIRAILSCMGYTPELGTAYKLRELVETLQVKMVSFELFLAKVECEQMLEIHSQVKDKGYSELDIVSERKSSAEDVRGCSDALRRRAEGREHLTASMSRVALQKSASERAAKDYYKPRVTKPSRSVDAYDSYWESRKPPLKASLSLRKEPVATDVGDDLKDEIIRPSPSLLTMASSPHGSPDVLPPASPSNGPALLRGTYFSTQDDVDLYTDSEPRATYRRQDALRPDVWLLRNDAHSLYHKRSPPAKESALSKCQSCGLSCSSSLCQRCDSLLTCPPASKPSAFPSKASTHDSLAHGASLREKYPGQTQGLDRLPHLHSKSKPSTTPTSRCGFCNRPGATNTCTQCSKVSCDACLSAYHYDPCYKKSELHKFMPNNQLNYKSTQLSHLVYR
+>DECOY_sp|Q9UM82|SPAT2_HUMAN Spermatogenesis-associated protein 2 OS=Homo sapiens OX=9606 GN=SPATA2 PE=1 SV=2
+RYVLHSLQTSKYNLQNNPMFKHLESKKYCPDYHYASLCADCSVKSCQTCTNTAGPRNCFGCRSTPTTSPKSKSHLHPLRDLGQTQGPYKERLSAGHALSDHTSAKSPFASPKSAPPCTLLSDCRQCLSSSCSLGCSQCKSLASEKAPPSRKHYLSHADNRLLWVDPRLADQRRYTARPESDTYLDVDDQTSFYTGRLLAPGNSPSAPPLVDPSGHPSSAMTLLSPSPRIIEDKLDDGVDTAVPEKRLSLSAKLPPKRSEWYSDYADVSRSPKTVRPKYYDKAARESASKQLAVRSMSATLHERGEARRRLADSCGRVDEASSKRESVIDLESYGKDKVQSHIELMQECEVKALFLEFSVMKVQLTEVLERLKYATGLEPTYGMCSLIARIDEELLTSKVYYVFPGTYTKISRFEKKWPYLFLNIGVTELMSFAGHLARLSSSSLSRLSSEVVEYFQILRFRYFPDVKHLSLLTSAAVRLCEDSGPRQRSTTTDVKSEHFQVYKRFLDDKFKTDMSSPKGM
+>sp|Q9P0W8|SPAT7_HUMAN Spermatogenesis-associated protein 7 OS=Homo sapiens OX=9606 GN=SPATA7 PE=1 SV=3
+MDGSRRVRATSVLPRYGPPCLFKGHLSTKSNAFCTDSSSLRLSTLQLVKNHMAVHYNKILSAKAAVDCSVPVSVSTSIKYADQQRREKLKKELAQCEKEFKLTKTAMRANYKNNSKSLFNTLQKPSGEPQIEDDMLKEEMNGFSSFARSLVPSSERLHLSLHKSSKVITNGPEKNSSSSPSSVDYAASGPRKLSSGALYGRRPRSTFPNSHRFQLVISKAPSGDLLDKHSELFSNKQLPFTPRTLKTEAKSFLSQYRYYTPAKRKKDFTDQRIEAETQTELSFKSELGTAETKNMTDSEMNIKQASNCVTYDAKEKIAPLPLEGHDSTWDEIKDDALQHSSPRAMCQYSLKPPSTRKIYSDEEELLYLSFIEDVTDEILKLGLFSNRFLERLFERHIKQNKHLEEEKMRHLLHVLKVDLGCTSEENSVKQNDVDMLNVFDFEKAGNSEPNELKNESEVTIQQERQQYQKALDMLLSAPKDENEIFPSPTEFFMPIYKSKHSEGVIIQQVNDETNLETSTLDENHPSISDSLTDRETSVNVIEGDSDPEKVEISNGLCGLNTSPSQSVQFSSVKGDNNHDMELSTLKIMEMSIEDCPLDV
+>DECOY_sp|Q9P0W8|SPAT7_HUMAN Spermatogenesis-associated protein 7 OS=Homo sapiens OX=9606 GN=SPATA7 PE=1 SV=3
+VDLPCDEISMEMIKLTSLEMDHNNDGKVSSFQVSQSPSTNLGCLGNSIEVKEPDSDGEIVNVSTERDTLSDSISPHNEDLTSTELNTEDNVQQIIVGESHKSKYIPMFFETPSPFIENEDKPASLLMDLAKQYQQREQQITVESENKLENPESNGAKEFDFVNLMDVDNQKVSNEESTCGLDVKLVHLLHRMKEEELHKNQKIHREFLRELFRNSFLGLKLIEDTVDEIFSLYLLEEEDSYIKRTSPPKLSYQCMARPSSHQLADDKIEDWTSDHGELPLPAIKEKADYTVCNSAQKINMESDTMNKTEATGLESKFSLETQTEAEIRQDTFDKKRKAPTYYRYQSLFSKAETKLTRPTFPLQKNSFLESHKDLLDGSPAKSIVLQFRHSNPFTSRPRRGYLAGSSLKRPGSAAYDVSSPSSSSNKEPGNTIVKSSKHLSLHLRESSPVLSRAFSSFGNMEEKLMDDEIQPEGSPKQLTNFLSKSNNKYNARMATKTLKFEKECQALEKKLKERRQQDAYKISTSVSVPVSCDVAAKASLIKNYHVAMHNKVLQLTSLRLSSSDTCFANSKTSLHGKFLCPPGYRPLVSTARVRRSGDM
+>sp|P48595|SPB10_HUMAN Serpin B10 OS=Homo sapiens OX=9606 GN=SERPINB10 PE=1 SV=1
+MDSLATSINQFALELSKKLAESAQGKNIFFSSWSISTSLTIVYLGAKGTTAAQMAQVLQFNRDQGVKCDPESEKKRKMEFNLSNSEEIHSDFQTLISEILKPNDDYLLKTANAIYGEKTYAFHNKYLEDMKTYFGAEPQPVNFVEASDQIRKDINSWVERQTEGKIQNLLPDDSVDSTTRMILVNALYFKGIWEHQFLVQNTTEKPFRINETTSKPVQMMFMKKKLHIFHIEKPKAVGLQLYYKSRDLSLLILLPEDINGLEQLEKAITYEKLNEWTSADMMELYEVQLHLPKFKLEDSYDLKSTLSSMGMSDAFSQSKADFSGMSSARNLFLSNVFHKAFVEINEQGTEAAAGSGSEIDIRIRVPSIEFNANHPFLFFIRHNKTNTILFYGRLCSP
+>DECOY_sp|P48595|SPB10_HUMAN Serpin B10 OS=Homo sapiens OX=9606 GN=SERPINB10 PE=1 SV=1
+PSCLRGYFLITNTKNHRIFFLFPHNANFEISPVRIRIDIESGSGAAAETGQENIEVFAKHFVNSLFLNRASSMGSFDAKSQSFADSMGMSSLTSKLDYSDELKFKPLHLQVEYLEMMDASTWENLKEYTIAKELQELGNIDEPLLILLSLDRSKYYLQLGVAKPKEIHFIHLKKKMFMMQVPKSTTENIRFPKETTNQVLFQHEWIGKFYLANVLIMRTTSDVSDDPLLNQIKGETQREVWSNIDKRIQDSAEVFNVPQPEAGFYTKMDELYKNHFAYTKEGYIANATKLLYDDNPKLIESILTQFDSHIEESNSLNFEMKRKKESEPDCKVGQDRNFQLVQAMQAATTGKAGLYVITLSTSISWSSFFINKGQASEALKKSLELAFQNISTALSDM
+>sp|Q96P15|SPB11_HUMAN Serpin B11 OS=Homo sapiens OX=9606 GN=SERPINB11 PE=2 SV=1
+MGSLSTANVEFCLDVFKELNSNNIGDNIFFSSLSLLYALSMVLLGARGETAEQLEKVLHFSHTVDSLKPGFKDSPKCSQAGRIHSEFGVEFSQINQPDSNCTLSIANRLYGTKTMAFHQQYLSCSEKWYQARLQTVDFEQSTEETRKMINAWVENKTNGKVANLFGKSTIDPSSVMVLVNIIYFKGQRQNKFQVRETVKSPFQLSEGKNVTVEMMYQIGTFKLAFVKEPQMQVLELPYVNNKLSMIILLPVGIANLKQIEKQLNSGTFHEWTSSSNMMEREVEVHLPRFKLEIKYELNSLLKPLGVTDLFNQVKADLSGMSPTKGLYLSKAIHKSYLDVSEEGTEAAAATGDSIAVKSLPMRAQFKANHPFLFFIRHTHTNTILFCGKLASP
+>DECOY_sp|Q96P15|SPB11_HUMAN Serpin B11 OS=Homo sapiens OX=9606 GN=SERPINB11 PE=2 SV=1
+PSALKGCFLITNTHTHRIFFLFPHNAKFQARMPLSKVAISDGTAAAAETGEESVDLYSKHIAKSLYLGKTPSMGSLDAKVQNFLDTVGLPKLLSNLEYKIELKFRPLHVEVEREMMNSSSTWEHFTGSNLQKEIQKLNAIGVPLLIIMSLKNNVYPLELVQMQPEKVFALKFTGIQYMMEVTVNKGESLQFPSKVTERVQFKNQRQGKFYIINVLVMVSSPDITSKGFLNAVKGNTKNEVWANIMKRTEETSQEFDVTQLRAQYWKESCSLYQQHFAMTKTGYLRNAISLTCNSDPQNIQSFEVGFESHIRGAQSCKPSDKFGPKLSDVTHSFHLVKELQEATEGRAGLLVMSLAYLLSLSSFFINDGINNSNLEKFVDLCFEVNATSLSGM
+>sp|Q9H0A9|SPC1L_HUMAN Speriolin-like protein OS=Homo sapiens OX=9606 GN=SPATC1L PE=1 SV=3
+MAEGGELMSRLLSENADLKKQVRLLKENQMLRRLLSQSCQEGGGHDLLPPRAHAYPEAGSPGSGVPDFGRFTSVADTPSQLQTSSLEDLLCSHAPLSSEDDTSPGCAAPSQAPFKAFLSPPEPHSHRGTDRKLSPLLSPLQDSLVDKTLLEPREMVRPKKVCFSESSLPTGDRTRRSYYLNEIQSFAGAEKDARVVGEIAFQLDRRILAYVFPGVTRLYGFTVANIPEKIEQTSTKSLDGSVDERKLRELTQRYLALSARLEKLGYSRDVHPAFSEFLINTYGILKQRPDLRANPLHSSPAALRKLVIDVVPPKFLGDSLLLLNCLCELSKEDGKPLFAW
+>DECOY_sp|Q9H0A9|SPC1L_HUMAN Speriolin-like protein OS=Homo sapiens OX=9606 GN=SPATC1L PE=1 SV=3
+WAFLPKGDEKSLECLCNLLLLSDGLFKPPVVDIVLKRLAAPSSHLPNARLDPRQKLIGYTNILFESFAPHVDRSYGLKELRASLALYRQTLERLKREDVSGDLSKTSTQEIKEPINAVTFGYLRTVGPFVYALIRRDLQFAIEGVVRADKEAGAFSQIENLYYSRRTRDGTPLSSESFCVKKPRVMERPELLTKDVLSDQLPSLLPSLKRDTGRHSHPEPPSLFAKFPAQSPAACGPSTDDESSLPAHSCLLDELSSTQLQSPTDAVSTFRGFDPVGSGPSGAEPYAHARPPLLDHGGGEQCSQSLLRRLMQNEKLLRVQKKLDANESLLRSMLEGGEAM
+>sp|A1X283|SPD2B_HUMAN SH3 and PX domain-containing protein 2B OS=Homo sapiens OX=9606 GN=SH3PXD2B PE=1 SV=3
+MPPRRSIVEVKVLDVQKRRVPNKHYVYIIRVTWSSGSTEAIYRRYSKFFDLQMQMLDKFPMEGGQKDPKQRIIPFLPGKILFRRSHIRDVAVKRLIPIDEYCKALIQLPPYISQCDEVLQFFETRPEDLNPPKEEHIGKKKSGGDQTSVDPMVLEQYVVVANYQKQESSEISLSVGQVVDIIEKNESGWWFVSTAEEQGWVPATCLEGQDGVQDEFSLQPEEEEKYTVIYPYTARDQDEMNLERGAVVEVIQKNLEGWWKIRYQGKEGWAPASYLKKNSGEPLPPKPGPGSPSHPGALDLDGVSRQQNAVGREKELLSSQRDGRFEGRPVPDGDAKQRSPKMRQRPPPRRDMTIPRGLNLPKPPIPPQVEEEYYTIAEFQTTIPDGISFQAGLKVEVIEKNLSGWWYIQIEDKEGWAPATFIDKYKKTSNASRPNFLAPLPHEVTQLRLGEAAALENNTGSEATGPSRPLPDAPHGVMDSGLPWSKDWKGSKDVLRKASSDMSASAGYEEISDPDMEEKPSLPPRKESIIKSEGELLERERERQRTEQLRGPTPKPPGVILPMMPAKHIPPARDSRRPEPKPDKSRLFQLKNDMGLECGHKVLAKEVKKPNLRPISKSKTDLPEEKPDATPQNPFLKSRPQVRPKPAPSPKTEPPQGEDQVDICNLRSKLRPAKSQDKSLLDGEGPQAVGGQDVAFSRSFLPGEGPGRAQDRTGKQDGLSPKEISCRAPPRPAKTTDPVSKSVPVPLQEAPQQRPVVPPRRPPPPKKTSSSSRPLPEVRGPQCEGHESRAAPTPGRALLVPPKAKPFLSNSLGGQDDTRGKGSLGPWGTGKIGENREKAAAASVPNADGLKDSLYVAVADFEGDKDTSSFQEGTVFEVREKNSSGWWFCQVLSGAPSWEGWIPSNYLRKKP
+>DECOY_sp|A1X283|SPD2B_HUMAN SH3 and PX domain-containing protein 2B OS=Homo sapiens OX=9606 GN=SH3PXD2B PE=1 SV=3
+PKKRLYNSPIWGEWSPAGSLVQCFWWGSSNKERVEFVTGEQFSSTDKDGEFDAVAVYLSDKLGDANPVSAAAAKERNEGIKGTGWPGLSGKGRTDDQGGLSNSLFPKAKPPVLLARGPTPAARSEHGECQPGRVEPLPRSSSSTKKPPPPRRPPVVPRQQPAEQLPVPVSKSVPDTTKAPRPPARCSIEKPSLGDQKGTRDQARGPGEGPLFSRSFAVDQGGVAQPGEGDLLSKDQSKAPRLKSRLNCIDVQDEGQPPETKPSPAPKPRVQPRSKLFPNQPTADPKEEPLDTKSKSIPRLNPKKVEKALVKHGCELGMDNKLQFLRSKDPKPEPRRSDRAPPIHKAPMMPLIVGPPKPTPGRLQETRQRERERELLEGESKIISEKRPPLSPKEEMDPDSIEEYGASASMDSSAKRLVDKSGKWDKSWPLGSDMVGHPADPLPRSPGTAESGTNNELAAAEGLRLQTVEHPLPALFNPRSANSTKKYKDIFTAPAWGEKDEIQIYWWGSLNKEIVEVKLGAQFSIGDPITTQFEAITYYEEEVQPPIPPKPLNLGRPITMDRRPPPRQRMKPSRQKADGDPVPRGEFRGDRQSSLLEKERGVANQQRSVGDLDLAGPHSPSGPGPKPPLPEGSNKKLYSAPAWGEKGQYRIKWWGELNKQIVEVVAGRELNMEDQDRATYPYIVTYKEEEEPQLSFEDQVGDQGELCTAPVWGQEEATSVFWWGSENKEIIDVVQGVSLSIESSEQKQYNAVVVYQELVMPDVSTQDGGSKKKGIHEEKPPNLDEPRTEFFQLVEDCQSIYPPLQILAKCYEDIPILRKVAVDRIHSRRFLIKGPLFPIIRQKPDKQGGEMPFKDLMQMQLDFFKSYRRYIAETSGSSWTVRIIYVYHKNPVRRKQVDLVKVEVISRRPPM
+>sp|Q8NFV5|SPDE1_HUMAN Speedy protein E1 OS=Homo sapiens OX=9606 GN=SPDYE1 PE=2 SV=3
+MQKHYTVAWFLYSAPGVDPSPPCRSLGWKRKREWSDESEEEPEKELAPEPEETWVVETLCGLKMKLKQQRVSPILLEHHKDFNSQLAPGVDPSPPHRSFCWKRKMEWWDKSEESEEEPRKVLAPEPEEIWVAEMLCGLKMKLKRRRVSLVLPEHHEAFNRLLEDPVIKRFLAWDKDLRVSDKYLLAMVIAYFSRAGFPSWQYQRLHFFLALYLANDMEEDDEDSKQNIFHFLYGKNRSRIPLLRKRRFQLYRSMNPRARKNRSHIPLVRKRRFQLRRCMNPRARKNRSQIVLFQKRRFHFFCSMSCRAWVSPEELEEIQAYDPEHWVWARDRARLS
+>DECOY_sp|Q8NFV5|SPDE1_HUMAN Speedy protein E1 OS=Homo sapiens OX=9606 GN=SPDYE1 PE=2 SV=3
+SLRARDRAWVWHEPDYAQIEELEEPSVWARCSMSCFFHFRRKQFLVIQSRNKRARPNMCRRLQFRRKRVLPIHSRNKRARPNMSRYLQFRRKRLLPIRSRNKGYLFHFINQKSDEDDEEMDNALYLALFFHLRQYQWSPFGARSFYAIVMALLYKDSVRLDKDWALFRKIVPDELLRNFAEHHEPLVLSVRRRKLKMKLGCLMEAVWIEEPEPALVKRPEEESEESKDWWEMKRKWCFSRHPPSPDVGPALQSNFDKHHELLIPSVRQQKLKMKLGCLTEVVWTEEPEPALEKEPEEESEDSWERKRKWGLSRCPPSPDVGPASYLFWAVTYHKQM
+>sp|P0CI01|SPDE6_HUMAN Speedy protein E6 OS=Homo sapiens OX=9606 GN=SPDYE6 PE=3 SV=2
+MDRTETRFRKRGQITGKITTSRQPHPQNEQSPQRSTSGYPLQEVVDDEMLGPSAPGVDPSPPCRSLGWKRKREWSDESEEEPEKELAPEPEETWVVEMLCGLKMKLKQQRVSSILPEHHKDFNSQLAPGVDPSPPHRSFCWKRKMEWWDESEESLEEEPRKVLAPEPEEIWVAEMLCGLKMKLKRRRVSLVLPEHHEAFNRLLEDPVIKRFLAWDKDLRVSDKYLLAMVIAYFSRAGFPSWQYQRIHFFLALYLANDMEEDDEDSKQNIFHFLYRKNRSRIPLLRKRWFQLGHSMNPRARKNRSRIPLLRKRRFQLYRSTNPRARKNRSRIPLLRKHRFQLYRSMNSRARKNRSQIVLFQKRRFHFFCSMSCRAWVSPEELEEIQAYDPEHWVWARDRAHLS
+>DECOY_sp|P0CI01|SPDE6_HUMAN Speedy protein E6 OS=Homo sapiens OX=9606 GN=SPDYE6 PE=3 SV=2
+SLHARDRAWVWHEPDYAQIEELEEPSVWARCSMSCFFHFRRKQFLVIQSRNKRARSNMSRYLQFRHKRLLPIRSRNKRARPNTSRYLQFRRKRLLPIRSRNKRARPNMSHGLQFWRKRLLPIRSRNKRYLFHFINQKSDEDDEEMDNALYLALFFHIRQYQWSPFGARSFYAIVMALLYKDSVRLDKDWALFRKIVPDELLRNFAEHHEPLVLSVRRRKLKMKLGCLMEAVWIEEPEPALVKRPEEELSEESEDWWEMKRKWCFSRHPPSPDVGPALQSNFDKHHEPLISSVRQQKLKMKLGCLMEVVWTEEPEPALEKEPEEESEDSWERKRKWGLSRCPPSPDVGPASPGLMEDDVVEQLPYGSTSRQPSQENQPHPQRSTTIKGTIQGRKRFRTETRDM
+>sp|A6NHP3|SPE2B_HUMAN Speedy protein E2B OS=Homo sapiens OX=9606 GN=SPDYE2B PE=3 SV=2
+MDRTETRFRKRGQITGKITTSRQPHPQNEQSPQRSTSGYPLQEVVDDEMLGPSAPGVDPSPPCRSLGWKRKREWSDESEEEPEKELAPEPEETWVVEMLCGLKMKLKQQRVSSILPEHHKDFNSQLAPGVDPSPPHRSFCWKRKMEWWDESEESLEEEPRKVLAPEPEEIWVAEMLCGLKMKLKRRRVSLVLPEHHEAFNRLLEDPVIKRFLAWDKDLRVSDKYLLAMVIAYFSRAGFPSWQYQRIHFFLALYLANDMEEDDEDSKQNIFHFLYRKNRSRIPLLRKPWFQLGHSMNPRARKNRSRIPLLRKRRFQLYRSTNPRARKNRSRIPLLRKRRFQLYRSMNSRARKNRSQIVLFQKRRFHFFCSMSCRAWVSPEELEEIQAYDPEHWVWARDRAHLS
+>DECOY_sp|A6NHP3|SPE2B_HUMAN Speedy protein E2B OS=Homo sapiens OX=9606 GN=SPDYE2B PE=3 SV=2
+SLHARDRAWVWHEPDYAQIEELEEPSVWARCSMSCFFHFRRKQFLVIQSRNKRARSNMSRYLQFRRKRLLPIRSRNKRARPNTSRYLQFRRKRLLPIRSRNKRARPNMSHGLQFWPKRLLPIRSRNKRYLFHFINQKSDEDDEEMDNALYLALFFHIRQYQWSPFGARSFYAIVMALLYKDSVRLDKDWALFRKIVPDELLRNFAEHHEPLVLSVRRRKLKMKLGCLMEAVWIEEPEPALVKRPEEELSEESEDWWEMKRKWCFSRHPPSPDVGPALQSNFDKHHEPLISSVRQQKLKMKLGCLMEVVWTEEPEPALEKEPEEESEDSWERKRKWGLSRCPPSPDVGPASPGLMEDDVVEQLPYGSTSRQPSQENQPHPQRSTTIKGTIQGRKRFRTETRDM
+>sp|Q8N4L4|SPEM1_HUMAN Spermatid maturation protein 1 OS=Homo sapiens OX=9606 GN=SPEM1 PE=2 SV=1
+MAMVERPRPEWASYHNCNSNSCQDLGNSVLLLLGLIICINISINIVTLLWSRFRGVLYQVFHDTICEKEAPKSSLLRKQTQPPKKQSSPAVHLRCTMDPVMMTVSPPPAHRHRRRGSPTRCAHCPVAWAPDTDDEKPHQYPAICSYHWDVPEDWEGFQHTQGTWVPWSQDAPESPPQTIRFQPTVEERPLKTGIWSELGLRAYVYPVNPPPPSPEAPSHKNGGEGAVPEAEAAQYQPVPAPTLGPAVIPEFSRHRSSGRIVYDARDMRRRLRELTREVEALSGCYPLASGSSTAEETSKNWVYRSLTGR
+>DECOY_sp|Q8N4L4|SPEM1_HUMAN Spermatid maturation protein 1 OS=Homo sapiens OX=9606 GN=SPEM1 PE=2 SV=1
+RGTLSRYVWNKSTEEATSSGSALPYCGSLAEVERTLERLRRRMDRADYVIRGSSRHRSFEPIVAPGLTPAPVPQYQAAEAEPVAGEGGNKHSPAEPSPPPPNVPYVYARLGLESWIGTKLPREEVTPQFRITQPPSEPADQSWPVWTGQTHQFGEWDEPVDWHYSCIAPYQHPKEDDTDPAWAVPCHACRTPSGRRRHRHAPPPSVTMMVPDMTCRLHVAPSSQKKPPQTQKRLLSSKPAEKECITDHFVQYLVGRFRSWLLTVINISINICIILGLLLLVSNGLDQCSNSNCNHYSAWEPRPREVMAM
+>sp|Q9NZD8|SPG21_HUMAN Maspardin OS=Homo sapiens OX=9606 GN=SPG21 PE=1 SV=1
+MGEIKVSPDYNWFRGTVPLKKIIVDDDDSKIWSLYDAGPRSIRCPLIFLPPVSGTADVFFRQILALTGWGYRVIALQYPVYWDHLEFCDGFRKLLDHLQLDKVHLFGASLGGFLAQKFAEYTHKSPRVHSLILCNSFSDTSIFNQTWTANSFWLMPAFMLKKIVLGNFSSGPVDPMMADAIDFMVDRLESLGQSELASRLTLNCQNSYVEPHKIRDIPVTIMDVFDQSALSTEAKEEMYKLYPNARRAHLKTGGNFPYLCRSAEVNLYVQIHLLQFHGTKYAAIDPSMVSAEELEVQKGSLGISQEEQ
+>DECOY_sp|Q9NZD8|SPG21_HUMAN Maspardin OS=Homo sapiens OX=9606 GN=SPG21 PE=1 SV=1
+QEEQSIGLSGKQVELEEASVMSPDIAAYKTGHFQLLHIQVYLNVEASRCLYPFNGGTKLHARRANPYLKYMEEKAETSLASQDFVDMITVPIDRIKHPEVYSNQCNLTLRSALESQGLSELRDVMFDIADAMMPDVPGSSFNGLVIKKLMFAPMLWFSNATWTQNFISTDSFSNCLILSHVRPSKHTYEAFKQALFGGLSAGFLHVKDLQLHDLLKRFGDCFELHDWYVPYQLAIVRYGWGTLALIQRFFVDATGSVPPLFILPCRISRPGADYLSWIKSDDDDVIIKKLPVTGRFWNYDPSVKIEGM
+>sp|Q08AE8|SPIR1_HUMAN Protein spire homolog 1 OS=Homo sapiens OX=9606 GN=SPIRE1 PE=1 SV=3
+MAQAAGPAGGGEPRTEAVGGEGPREPGAAGGAAGGSRDALSLEEILRLYNQPINEEQAWAVCYQCCGSLRAAARRRQPRHRVRSAAQIRVWRDGAVTLAPAADDAGEPPPVAGKLGYSQCMETEVIESLGIIIYKALDYGLKENEERELSPPLEQLIDHMANTVEADGSNDEGYEAAEEGLGDEDEKRKISAIRSYRDVMKLCAAHLPTESDAPNHYQAVCRALFAETMELHTFLTKIKSAKENLKKIQEMEKSDESSTDLEELKNADWARFWVQVMRDLRNGVKLKKVQERQYNPLPIEYQLTPYEMLMDDIRCKRYTLRKVMVNGDIPPRLKKSAHEIILDFIRSRPPLNPVSARKLKPTPPRPRSLHERILEEIKAERKLRPVSPEEIRRSRLAMRPLSMSYSFDLSDVTTPESTKNLVESSMVNGGLTSQTKENGLSTSQQVPAQRKKLLRAPTLAELDSSESEEETLHKSTSSSSVSPSFPEEPVLEAVSTRKKPPKFLPISSTPQPERRQPPQRRHSIEKETPTNVRQFLPPSRQSSRSLEEFCYPVECLALTVEEVMHIRQVLVKAELEKYQQYKDIYTALKKGKLCFCCRTRRFSFFTWSYTCQFCKRPVCSQCCKKMRLPSKPYSTLPIFSLGPSALQRGESSMRSEKPSTAHHRPLRSIARFSSKSKSMDKSDEELQFPKELMEDWSTMEVCVDCKKFISEIISSSRRSLVLANKRARLKRKTQSFYMSSPGPSEYCPSERTISEI
+>DECOY_sp|Q08AE8|SPIR1_HUMAN Protein spire homolog 1 OS=Homo sapiens OX=9606 GN=SPIRE1 PE=1 SV=3
+IESITRESPCYESPGPSSMYFSQTKRKLRARKNALVLSRRSSSIIESIFKKCDVCVEMTSWDEMLEKPFQLEEDSKDMSKSKSSFRAISRLPRHHATSPKESRMSSEGRQLASPGLSFIPLTSYPKSPLRMKKCCQSCVPRKCFQCTYSWTFFSFRRTRCCFCLKGKKLATYIDKYQQYKELEAKVLVQRIHMVEEVTLALCEVPYCFEELSRSSQRSPPLFQRVNTPTEKEISHRRQPPQRREPQPTSSIPLFKPPKKRTSVAELVPEEPFSPSVSSSSTSKHLTEEESESSDLEALTPARLLKKRQAPVQQSTSLGNEKTQSTLGGNVMSSEVLNKTSEPTTVDSLDFSYSMSLPRMALRSRRIEEPSVPRLKREAKIEELIREHLSRPRPPTPKLKRASVPNLPPRSRIFDLIIEHASKKLRPPIDGNVMVKRLTYRKCRIDDMLMEYPTLQYEIPLPNYQREQVKKLKVGNRLDRMVQVWFRAWDANKLEELDTSSEDSKEMEQIKKLNEKASKIKTLFTHLEMTEAFLARCVAQYHNPADSETPLHAACLKMVDRYSRIASIKRKEDEDGLGEEAAEYGEDNSGDAEVTNAMHDILQELPPSLEREENEKLGYDLAKYIIIGLSEIVETEMCQSYGLKGAVPPPEGADDAAPALTVAGDRWVRIQAASRVRHRPQRRRAAARLSGCCQYCVAWAQEENIPQNYLRLIEELSLADRSGGAAGGAAGPERPGEGGVAETRPEGGGAPGAAQAM
+>sp|Q9NZQ3|SPN90_HUMAN NCK-interacting protein with SH3 domain OS=Homo sapiens OX=9606 GN=NCKIPSD PE=1 SV=1
+MYRALYAFRSAEPNALAFAAGETFLVLERSSAHWWLAARARSGETGYVPPAYLRRLQGLEQDVLQAIDRAIEAVHNTAMRDGGKYSLEQRGVLQKLIHHRKETLSRRGPSASSVAVMTSSTSDHHLDAAAARQPNGVCRAGFERQHSLPSSEHLGADGGLYQIPLPSSQIPPQPRRAAPTTPPPPVKRRDREALMASGSGGHNTMPSGGNSVSSGSSVSSTSLDTLYTSSSPSEPGSSCSPTPPPVPRRGTHTTVSQVQPPPSKASAPEPPAEEEVATGTTSASDDLEALGTLSLGTTEEKAAAEAAVPRTIGAELMELVRRNTGLSHELCRVAIGIIVGHIQASVPASSPVMEQVLLSLVEGKDLSMALPSGQVCHDQQRLEVIFADLARRKDDAQQRSWALYEDEGVIRCYLEELLHILTDADPEVCKKMCKRNEFESVLALVAYYQMEHRASLRLLLLKCFGAMCSLDAAIISTLVSSVLPVELARDMQTDTQDHQKLCYSALILAMVFSMGEAVPYAHYEHLGTPFAQFLLNIVEDGLPLDTTEQLPDLCVNLLLALNLHLPAADQNVIMAALSKHANVKIFSEKLLLLLNRGDDPVRIFKHEPQPPHSVLKFLQDVFGSPATAAIFYHTDMMALIDITVRHIADLSPGDKLRMEYLSLMHAIVRTTPYLQHRHRLPDLQAILRRILNEEETSPQCQMDRMIVREMCKEFLVLGEAPS
+>DECOY_sp|Q9NZQ3|SPN90_HUMAN NCK-interacting protein with SH3 domain OS=Homo sapiens OX=9606 GN=NCKIPSD PE=1 SV=1
+SPAEGLVLFEKCMERVIMRDMQCQPSTEEENLIRRLIAQLDPLRHRHQLYPTTRVIAHMLSLYEMRLKDGPSLDAIHRVTIDILAMMDTHYFIAATAPSGFVDQLFKLVSHPPQPEHKFIRVPDDGRNLLLLLKESFIKVNAHKSLAAMIVNQDAAPLHLNLALLLNVCLDPLQETTDLPLGDEVINLLFQAFPTGLHEYHAYPVAEGMSFVMALILASYCLKQHDQTDTQMDRALEVPLVSSVLTSIIAADLSCMAGFCKLLLLRLSARHEMQYYAVLALVSEFENRKCMKKCVEPDADTLIHLLEELYCRIVGEDEYLAWSRQQADDKRRALDAFIVELRQQDHCVQGSPLAMSLDKGEVLSLLVQEMVPSSAPVSAQIHGVIIGIAVRCLEHSLGTNRRVLEMLEAGITRPVAAEAAAKEETTGLSLTGLAELDDSASTTGTAVEEEAPPEPASAKSPPPQVQSVTTHTGRRPVPPPTPSCSSGPESPSSSTYLTDLSTSSVSSGSSVSNGGSPMTNHGGSGSAMLAERDRRKVPPPPTTPAARRPQPPIQSSPLPIQYLGGDAGLHESSPLSHQREFGARCVGNPQRAAAADLHHDSTSSTMVAVSSASPGRRSLTEKRHHILKQLVGRQELSYKGGDRMATNHVAEIARDIAQLVDQELGQLRRLYAPPVYGTEGSRARAALWWHASSRELVLFTEGAAFALANPEASRFAYLARYM
+>sp|Q9H2V7|SPNS1_HUMAN Protein spinster homolog 1 OS=Homo sapiens OX=9606 GN=SPNS1 PE=1 SV=1
+MAGSDTAPFLSQADDPDDGPVPGTPGLPGSTGNPKSEEPEVPDQEGLQRITGLSPGRSALIVAVLCYINLLNYMDRFTVAGVLPDIEQFFNIGDSSSGLIQTVFISSYMVLAPVFGYLGDRYNRKYLMCGGIAFWSLVTLGSSFIPGEHFWLLLLTRGLVGVGEASYSTIAPTLIADLFVADQRSRMLSIFYFAIPVGSGLGYIAGSKVKDMAGDWHWALRVTPGLGVVAVLLLFLVVREPPRGAVERHSDLPPLNPTSWWADLRALARNPSFVLSSLGFTAVAFVTGSLALWAPAFLLRSRVVLGETPPCLPGDSCSSSDSLIFGLITCLTGVLGVGLGVEISRRLRHSNPRADPLVCATGLLGSAPFLFLSLACARGSIVATYIFIFIGETLLSMNWAIVADILLYVVIPTRRSTAEAFQIVLSHLLGDAGSPYLIGLISDRLRRNWPPSFLSEFRALQFSLMLCAFVGALGGAAFLGTAIFIEADRRRAQLHVQGLLHEAGSTDDRIVVPQRGRSTRVPVASVLI
+>DECOY_sp|Q9H2V7|SPNS1_HUMAN Protein spinster homolog 1 OS=Homo sapiens OX=9606 GN=SPNS1 PE=1 SV=1
+ILVSAVPVRTSRGRQPVVIRDDTSGAEHLLGQVHLQARRRDAEIFIATGLFAAGGLAGVFACLMLSFQLARFESLFSPPWNRRLRDSILGILYPSGADGLLHSLVIQFAEATSRRTPIVVYLLIDAVIAWNMSLLTEGIFIFIYTAVISGRACALSLFLFPASGLLGTACVLPDARPNSHRLRRSIEVGLGVGLVGTLCTILGFILSDSSSCSDGPLCPPTEGLVVRSRLLFAPAWLALSGTVFAVATFGLSSLVFSPNRALARLDAWWSTPNLPPLDSHREVAGRPPERVVLFLLLVAVVGLGPTVRLAWHWDGAMDKVKSGAIYGLGSGVPIAFYFISLMRSRQDAVFLDAILTPAITSYSAEGVGVLGRTLLLLWFHEGPIFSSGLTVLSWFAIGGCMLYKRNYRDGLYGFVPALVMYSSIFVTQILGSSSDGINFFQEIDPLVGAVTFRDMYNLLNIYCLVAVILASRGPSLGTIRQLGEQDPVEPEESKPNGTSGPLGPTGPVPGDDPDDAQSLFPATDSGAM
+>sp|Q6ZMD2|SPNS3_HUMAN Protein spinster homolog 3 OS=Homo sapiens OX=9606 GN=SPNS3 PE=2 SV=2
+MAGGMSAECPEPGPGGLQGQSPGPGRQCPPPITPTSWSLPPWRAYVAAAVLCYINLLNYMNWFIIAGVLLDIQEVFQISDNHAGLLQTVFVSCLLLSAPVFGYLGDRHSRKATMSFGILLWSGAGLSSSFISPRYSWLFFLSRGIVGTGSASYSTIAPTVLGDLFVRDQRTRVLAVFYIFIPVGSGLGYVLGSAVTMLTGNWRWALRVMPCLEAVALILLILLVPDPPRGAAETQGEGAVGGFRSSWCEDVRYLGKNWSFVWSTLGVTAMAFVTGALGFWAPKFLLEARVVHGLQPPCFQEPCSNPDSLIFGALTIMTGVIGVILGAEAARRYKKVIPGAEPLICASSLLATAPCLYLALVLAPTTLLASYVFLGLGELLLSCNWAVVADILLSVVVPRCRGTAEALQITVGHILGDAGSPYLTGLISSVLRARRPDSYLQRFRSLQQSFLCCAFVIALGGGCFLLTALYLERDETRAWQPVTGTPDSNDVDSNDLERQGLLSGAGASTEEP
+>DECOY_sp|Q6ZMD2|SPNS3_HUMAN Protein spinster homolog 3 OS=Homo sapiens OX=9606 GN=SPNS3 PE=2 SV=2
+PEETSAGAGSLLGQRELDNSDVDNSDPTGTVPQWARTEDRELYLATLLFCGGGLAIVFACCLFSQQLSRFRQLYSDPRRARLVSSILGTLYPSGADGLIHGVTIQLAEATGRCRPVVVSLLIDAVVAWNCSLLLEGLGLFVYSALLTTPALVLALYLCPATALLSSACILPEAGPIVKKYRRAAEAGLIVGIVGTMITLAGFILSDPNSCPEQFCPPQLGHVVRAELLFKPAWFGLAGTVFAMATVGLTSWVFSWNKGLYRVDECWSSRFGGVAGEGQTEAAGRPPDPVLLILLILAVAELCPMVRLAWRWNGTLMTVASGLVYGLGSGVPIFIYFVALVRTRQDRVFLDGLVTPAITSYSASGTGVIGRSLFFLWSYRPSIFSSSLGAGSWLLIGFSMTAKRSHRDGLYGFVPASLLLCSVFVTQLLGAHNDSIQFVEQIDLLVGAIIFWNMYNLLNIYCLVAAAVYARWPPLSWSTPTIPPPCQRGPGPSQGQLGGPGPEPCEASMGGAM
+>sp|Q9NS25|SPNXB_HUMAN Sperm protein associated with the nucleus on the X chromosome B1 OS=Homo sapiens OX=9606 GN=SPANXB1 PE=2 SV=2
+MGQQSSVRRLKRSVPCESNEANEANEANKTMPETPTGDSDPQPAPKKMKTSESSTILVVRYRRNVKRTSPEELLNDHARENRINPDQMEEEEFIEITTERPKK
+>DECOY_sp|Q9NS25|SPNXB_HUMAN Sperm protein associated with the nucleus on the X chromosome B1 OS=Homo sapiens OX=9606 GN=SPANXB1 PE=2 SV=2
+KKPRETTIEIFEEEEMQDPNIRNERAHDNLLEEPSTRKVNRRYRVVLITSSESTKMKKPAPQPDSDGTPTEPMTKNAENAENAENSECPVSRKLRRVSSQQGM
+>sp|Q9BXN6|SPNXD_HUMAN Sperm protein associated with the nucleus on the X chromosome D OS=Homo sapiens OX=9606 GN=SPANXD PE=1 SV=1
+MDKQSSAGGVKRSVPCDSNEANEMMPETSSGYSDPQPAPKKLKTSESSTILVVRYRRNFKRTSPEELVNDHARKNRINPLQMEEEEFMEIMVEIPAK
+>DECOY_sp|Q9BXN6|SPNXD_HUMAN Sperm protein associated with the nucleus on the X chromosome D OS=Homo sapiens OX=9606 GN=SPANXD PE=1 SV=1
+KAPIEVMIEMFEEEEMQLPNIRNKRAHDNVLEEPSTRKFNRRYRVVLITSSESTKLKKPAPQPDSYGSSTEPMMENAENSDCPVSRKVGGASSQKDM
+>sp|Q8NCJ5|SPRY3_HUMAN SPRY domain-containing protein 3 OS=Homo sapiens OX=9606 GN=SPRYD3 PE=1 SV=2
+MRRTRRPRFVLMNKMDDLNLHYRFLNWRRRIREIREVRAFRYQERFKHILVDGDTLSYHGNSGEVGCYVASRPLTKDSNYFEVSIVDSGVRGTIAVGLVPQYYSLDHQPGWLPDSVAYHADDGKLYNGRAKGRQFGSKCNSGDRIGCGIEPVSFDVQTAQIFFTKNGKRVGSTIMPMSPDGLFPAVGMHSLGEEVRLHLNAELGREDDSVMMVDSYEDEWGRLHDVRVCGTLLEYLGKGKSIVDVGLAQARHPLSTRSHYFEVEIVDPGEKCYIALGLARKDYPKNRHPGWSRGSVAYHADDGKIFHGSGVGDPFGPRCYKGDIMGCGIMFPRDYILDSEGDSDDSCDTVILSPTARAVRNVRNVMYLHQEGEEEEEEEEEEEDGEEIEPEHEGRKVVVFFTRNGKIIGKKDAVVPSGGFFPTIGMLSCGEKVKVDLHPLSG
+>DECOY_sp|Q8NCJ5|SPRY3_HUMAN SPRY domain-containing protein 3 OS=Homo sapiens OX=9606 GN=SPRYD3 PE=1 SV=2
+GSLPHLDVKVKEGCSLMGITPFFGGSPVVADKKGIIKGNRTFFVVVKRGEHEPEIEEGDEEEEEEEEEEEGEQHLYMVNRVNRVARATPSLIVTDCSDDSDGESDLIYDRPFMIGCGMIDGKYCRPGFPDGVGSGHFIKGDDAHYAVSGRSWGPHRNKPYDKRALGLAIYCKEGPDVIEVEFYHSRTSLPHRAQALGVDVISKGKGLYELLTGCVRVDHLRGWEDEYSDVMMVSDDERGLEANLHLRVEEGLSHMGVAPFLGDPSMPMITSGVRKGNKTFFIQATQVDFSVPEIGCGIRDGSNCKSGFQRGKARGNYLKGDDAHYAVSDPLWGPQHDLSYYQPVLGVAITGRVGSDVISVEFYNSDKTLPRSAVYCGVEGSNGHYSLTDGDVLIHKFREQYRFARVERIERIRRRWNLFRYHLNLDDMKNMLVFRPRRTRRM
+>sp|Q96A44|SPSB4_HUMAN SPRY domain-containing SOCS box protein 4 OS=Homo sapiens OX=9606 GN=SPSB4 PE=1 SV=1
+MGQKLSGSLKSVEVREPALRPAKRELRGAEPGRPARLDQLLDMPAAGLAVQLRHAWNPEDRSLNVFVKDDDRLTFHRHPVAQSTDGIRGKVGHARGLHAWQINWPARQRGTHAVVGVATARAPLHSVGYTALVGSDAESWGWDLGRSRLYHDGKNQPGVAYPAFLGPDEAFALPDSLLVVLDMDEGTLSFIVDGQYLGVAFRGLKGKKLYPVVSAVWGHCEVTMRYINGLDPEPLPLMDLCRRSIRSALGRQRLQDISSLPLPQSLKNYLQYQ
+>DECOY_sp|Q96A44|SPSB4_HUMAN SPRY domain-containing SOCS box protein 4 OS=Homo sapiens OX=9606 GN=SPSB4 PE=1 SV=1
+QYQLYNKLSQPLPLSSIDQLRQRGLASRISRRCLDMLPLPEPDLGNIYRMTVECHGWVASVVPYLKKGKLGRFAVGLYQGDVIFSLTGEDMDLVVLLSDPLAFAEDPGLFAPYAVGPQNKGDHYLRSRGLDWGWSEADSGVLATYGVSHLPARATAVGVVAHTGRQRAPWNIQWAHLGRAHGVKGRIGDTSQAVPHRHFTLRDDDKVFVNLSRDEPNWAHRLQVALGAAPMDLLQDLRAPRGPEAGRLERKAPRLAPERVEVSKLSGSLKQGM
+>sp|Q9BXB7|SPT16_HUMAN Spermatogenesis-associated protein 16 OS=Homo sapiens OX=9606 GN=SPATA16 PE=1 SV=3
+MDAGSSRSLENAVNRIYHDQLVPKINTSKKMSTLAHPPNILEMSQEIKKNCGGKQVEITLERTKMTKGIKEKQSNDLEKAAFKRKAEGEEKPTRKKQAKITELDNQLITMPLPHIPLKNIMDVEMKLVYIDEMGVRYEFVESFMSTGSQPTCQAAEIVDPLSVHNFSFLPQIDKWLQVALKDASSCYRQKKYALAAGQFRTALELCSKGAVLGEPFDAPAEDIASVASFIETKLVTCYLRMRKPDLALNHAHRSIVLNPAYFRNHLRQATVFRCLERYSEAARSAMIADYMFWLGGGREESISKLIKLYWQAMIEEAITRAESFSVMYTPFATKIRADKIEKVKDAFTKTHPAYAEYMYTDLQALHMLPQTVDWSSFPPQQYLLTLGFKNKDDGKFLEKISSRKLPIFTEHKTPFGLTREDTVRQMETMGKRILPILDFIRSTQLNGSFPASSGVMEKLQYASLLSQLQRVKEQSQVINQAMAELATIPYLQDISQQEAELLQSLMADAMDTLEGRRNNNERVWNMIQKVGQIEDFLYQLEDSFLKTKKLRTARRQKTKMKRLQTVQQR
+>DECOY_sp|Q9BXB7|SPT16_HUMAN Spermatogenesis-associated protein 16 OS=Homo sapiens OX=9606 GN=SPATA16 PE=1 SV=3
+RQQVTQLRKMKTKQRRATRLKKTKLFSDELQYLFDEIQGVKQIMNWVRENNNRRGELTDMADAMLSQLLEAEQQSIDQLYPITALEAMAQNIVQSQEKVRQLQSLLSAYQLKEMVGSSAPFSGNLQTSRIFDLIPLIRKGMTEMQRVTDERTLGFPTKHETFIPLKRSSIKELFKGDDKNKFGLTLLYQQPPFSSWDVTQPLMHLAQLDTYMYEAYAPHTKTFADKVKEIKDARIKTAFPTYMVSFSEARTIAEEIMAQWYLKILKSISEERGGGLWFMYDAIMASRAAESYRELCRFVTAQRLHNRFYAPNLVISRHAHNLALDPKRMRLYCTVLKTEIFSAVSAIDEAPADFPEGLVAGKSCLELATRFQGAALAYKKQRYCSSADKLAVQLWKDIQPLFSFNHVSLPDVIEAAQCTPQSGTSMFSEVFEYRVGMEDIYVLKMEVDMINKLPIHPLPMTILQNDLETIKAQKKRTPKEEGEAKRKFAAKELDNSQKEKIGKTMKTRELTIEVQKGGCNKKIEQSMELINPPHALTSMKKSTNIKPVLQDHYIRNVANELSRSSGADM
+>sp|P63272|SPT4H_HUMAN Transcription elongation factor SPT4 OS=Homo sapiens OX=9606 GN=SUPT4H1 PE=1 SV=1
+MALETVPKDLRHLRACLLCSLVKTIDQFEYDGCDNCDAYLQMKGNREMVYDCTSSSFDGIIAMMSPEDSWVSKWQRVSNFKPGVYAVSVTGRLPQGIVRELKSRGVAYKSRDTAIKT
+>DECOY_sp|P63272|SPT4H_HUMAN Transcription elongation factor SPT4 OS=Homo sapiens OX=9606 GN=SUPT4H1 PE=1 SV=1
+TKIATDRSKYAVGRSKLERVIGQPLRGTVSVAYVGPKFNSVRQWKSVWSDEPSMMAIIGDFSSSTCDYVMERNGKMQLYADCNDCGDYEFQDITKVLSCLLCARLHRLDKPVTELAM
+>sp|O15269|SPTC1_HUMAN Serine palmitoyltransferase 1 OS=Homo sapiens OX=9606 GN=SPTLC1 PE=1 SV=1
+MATATEQWVLVEMVQALYEAPAYHLILEGILILWIIRLLFSKTYKLQERSDLTVKEKEELIEEWQPEPLVPPVPKDHPALNYNIVSGPPSHKTVVNGKECINFASFNFLGLLDNPRVKAAALASLKKYGVGTCGPRGFYGTFDVHLDLEDRLAKFMKTEEAIIYSYGFATIASAIPAYSKRGDIVFVDRAACFAIQKGLQASRSDIKLFKHNDMADLERLLKEQEIEDQKNPRKARVTRRFIVVEGLYMNTGTICPLPELVKLKYKYKARIFLEESLSFGVLGEHGRGVTEHYGINIDDIDLISANMENALASIGGFCCGRSFVIDHQRLSGQGYCFSASLPPLLAAAAIEALNIMEENPGIFAVLKEKCGQIHKALQGISGLKVVGESLSPAFHLQLEESTGSREQDVRLLQEIVDQCMNRSIALTQARYLEKEEKCLPPPSIRVVVTVEQTEEELERAASTIKEVAQAVLL
+>DECOY_sp|O15269|SPTC1_HUMAN Serine palmitoyltransferase 1 OS=Homo sapiens OX=9606 GN=SPTLC1 PE=1 SV=1
+LLVAQAVEKITSAARELEEETQEVTVVVRISPPPLCKEEKELYRAQTLAISRNMCQDVIEQLLRVDQERSGTSEELQLHFAPSLSEGVVKLGSIGQLAKHIQGCKEKLVAFIGPNEEMINLAEIAAAALLPPLSASFCYGQGSLRQHDIVFSRGCCFGGISALANEMNASILDIDDINIGYHETVGRGHEGLVGFSLSEELFIRAKYKYKLKVLEPLPCITGTNMYLGEVVIFRRTVRAKRPNKQDEIEQEKLLRELDAMDNHKFLKIDSRSAQLGKQIAFCAARDVFVIDGRKSYAPIASAITAFGYSYIIAEETKMFKALRDELDLHVDFTGYFGRPGCTGVGYKKLSALAAAKVRPNDLLGLFNFSAFNICEKGNVVTKHSPPGSVINYNLAPHDKPVPPVLPEPQWEEILEEKEKVTLDSREQLKYTKSFLLRIIWLILIGELILHYAPAEYLAQVMEVLVWQETATAM
+>sp|Q9NUV7|SPTC3_HUMAN Serine palmitoyltransferase 3 OS=Homo sapiens OX=9606 GN=SPTLC3 PE=1 SV=3
+MANPGGGAVCNGKLHNHKKQSNGSQSRNCTKNGIVKEAQQNGKPHFYDKLIVESFEEAPLHVMVFTYMGYGIGTLFGYLRDFLRNWGIEKCNAAVERKEQKDFVPLYQDFENFYTRNLYMRIRDNWNRPICSAPGPLFDLMERVSDDYNWTFRFTGRVIKDVINMGSYNFLGLAAKYDESMRTIKDVLEVYGTGVASTRHEMGTLDKHKELEDLVAKFLNVEAAMVFGMGFATNSMNIPALVGKGCLILSDELNHTSLVLGARLSGATIRIFKHNNTQSLEKLLRDAVIYGQPRTRRAWKKILILVEGVYSMEGSIVHLPQIIALKKKYKAYLYIDEAHSIGAVGPTGRGVTEFFGLDPHEVDVLMGTFTKSFGASGGYIAGRKDLVDYLRVHSHSAVYASSMSPPIAEQIIRSLKLIMGLDGTTQGLQRVQQLAKNTRYFRQRLQEMGFIIYGNENASVVPLLLYMPGKVAAFARHMLEKKIGVVVVGFPATPLAEARARFCVSAAHTREMLDTVLEALDEMGDLLQLKYSRHKKSARPELYDETSFELED
+>DECOY_sp|Q9NUV7|SPTC3_HUMAN Serine palmitoyltransferase 3 OS=Homo sapiens OX=9606 GN=SPTLC3 PE=1 SV=3
+DELEFSTEDYLEPRASKKHRSYKLQLLDGMEDLAELVTDLMERTHAASVCFRARAEALPTAPFGVVVVGIKKELMHRAFAAVKGPMYLLLPVVSANENGYIIFGMEQLRQRFYRTNKALQQVRQLGQTTGDLGMILKLSRIIQEAIPPSMSSAYVASHSHVRLYDVLDKRGAIYGGSAGFSKTFTGMLVDVEHPDLGFFETVGRGTPGVAGISHAEDIYLYAKYKKKLAIIQPLHVISGEMSYVGEVLILIKKWARRTRPQGYIVADRLLKELSQTNNHKFIRITAGSLRAGLVLSTHNLEDSLILCGKGVLAPINMSNTAFGMGFVMAAEVNLFKAVLDELEKHKDLTGMEHRTSAVGTGYVELVDKITRMSEDYKAALGLFNYSGMNIVDKIVRGTFRFTWNYDDSVREMLDFLPGPASCIPRNWNDRIRMYLNRTYFNEFDQYLPVFDKQEKREVAANCKEIGWNRLFDRLYGFLTGIGYGMYTFVMVHLPAEEFSEVILKDYFHPKGNQQAEKVIGNKTCNRSQSGNSQKKHNHLKGNCVAGGGPNAM
+>sp|Q96JI7|SPTCS_HUMAN Spatacsin OS=Homo sapiens OX=9606 GN=SPG11 PE=1 SV=3
+MAAEEGVASAASAGGSWGTAAMGRVLPMLLVPVPAEAMGQLGSRAQLRTQPEALGSLTAAGSLQVLSLTPGSRGGGRCCLEGPFWHFLWEDSRNSSTPTEKPKLLALGENYELLIYEFNLKDGRCDATILYSCSREALQKLIDDQDISISLLSLRILSFHNNTSLLFINKCVILHIIFPERDAAIRVLNCFTLPLPAQAVDMIIDTQLCRGILFVLSSLGWIYIFDVVDGTYVAHVDLALHKEDMCNEQQQEPAKISSFTSLKVSQDLDVAVIVSSSNSAVALNLNLYFRQHPGHLLCERILEDLPIQGPKGVDEDDPVNSAYNMKLAKFSFQIDRSWKAQLSSLNETIKNSKLEVSCCAPWFQDILHLESPESGNHSTSVQSWAFIPQDIMHGQYNVLQKDHAKTSDPGRSWKIMHISEQEEPIELKCVSVTGFTALFTWEVERMGYTITLWDLETQGMQCFSLGTKCIPVDSSGDQQLCFVLTENGLSLILFGLTQEEFLNRLMIHGSASTVDTLCHLNGWGRCSIPIHALEAGIENRQLDTVNFFLKSKENLFNPSSKSSVSDQFDHLSSHLYLRNVEELIPALDLLCSAIRESYSEPQSKHFSEQLLNLTLSFLNNQIKELFIHTEELDEHLQKGVNILTSYINELRTFMIKFPWKLTDAIDEYDVHENVPKVKESNIWKKLSFEEVIASAILNNKIPEAQTFFRIDSHSAQKLEELIGIGLNLVFDNLKKNNIKEASELLKNMGFDVKGQLLKICFYTTNKNIRDFLVEILKEKNYFSEKEKRTIDFVHQVEKLYLGHFQENMQIQSFPRYWIKEQDFFKHKSVLDSFLKYDCKDEFNKQDHRIVLNWALWWDQLTQESILLPRISPEEYKSYSPEALWRYLTARHDWLNIILWIGEFQTQHSYASLQQNKWPLLTVDVINQNTSCNNYMRNEILDKLARNGVFLASELEDFECFLLRLSRIGGVIQDTLPVQNYKTKEGWDFHSQFILYCLEHSLQHLLYVYLDCYKLSPENCPFLEKKELHEAHPWFEFLVQCRQVASNLTDPKLIFQASLANAQILIPTNQASVSSMLLEGHTLLALATTMYSPGGVSQVVQNEENENCLKKVDPQLLKMALTPYPKLKTALFPQCTPPSVLPSDITIYHLIQSLSPFDPSRLFGWQSANTLAIGDAWSHLPHFSSPDLVNKYAIVERLNFAYYLHNGRPSFAFGTFLVQELIKSKTPKQLIQQVGNEAYVIGLSSFHIPSIGAACVCFLELLGLDSLKLRVDMKVANIILSYKCRNEDAQYSFIRESVAEKLSKLADGEKTTTEELLVLLEEGTWNSIQQQEIKRLSSESSSQWALVVQFCRLHNMKLSISYLRECAKANDWLQFIIHSQLHNYHPAEVKSLIQYFSPVIQDHLRLAFENLPSVPTSKMDSDQVCNKCPQELQGSKQEMTDLFEILLQCSEEPDSWHWLLVEAVKQQAPILSVLASCLQGASAISCLCVWIITSVEDNVATEAMGHIQDSTEDHTWNLEDLSVIWRTLLTRQKSKTLIRGFQLFFKDSPLLLVMEMYELCMFFRNYKEAEAKLLEFQKSLETLNTAATKVHPVIPAMWLEDQVCFLLKLMLQQCKTQYELGKLLQLFVEREHLFSDGPDVKKLCILCQILKDTSIAINHTIITSYSIENLQHECRSILERLQTDGQFALARRVAELAELPVDNLVIKEITQEMQTLKHIEQWSLKQARIDFWKKCHENFKKNSISSKAASSFFSTQAHVACEHPTGWSSMEERHLLLTLAGHWLAQEDVVPLDKLEELEKQIWLCRITQHTLGRNQEETEPRFSRQISTSGELSFDSLASEFSFSKLAALNTSKYLELNSLPSKETCENRLDWKEQESLNFLIGRLLDDGCVHEASRVCRYFHFYNPDVALVLHCRALASGEASMEDLHPEIHALLQSAELLEEEAPDIPLRRVHSTSSLDSQKFVTVPSSNEVVTNLEVLTSKCLHGKNYCRQVLCLYDLAKELGCSYTDVAAQDGEAMLRKILASQQPDRCKRAQAFISTQGLKPDTVAELVAEEVTRELLTSSQGTGHKQMFNPTEESQTFLQLTTLCQDRTLVGMKLLDKISSVPHGELSCTTELLILAHHCFTLTCHMEGIIRVLQAAHMLTDNHLAPSEEYGLVVRLLTGIGRYNEMTYIFDLLHKKHYFEVLMRKKLDPSGTLKTALLDYIKRCRPGDSEKHNMIALCFSMCREIGENHEAAARIQLKLIESQPWEDSLKDGHQLKQLLLKALTLMLDAAESYAKDSCVRQAQHCQRLTKLITLQIHFLNTGQNTMLINLGRHKLMDCILALPRFYQASIVAEAYDFVPDWAEILYQQVILKGDFNYLEEFKQQRLLKSSIFEEISKKYKQHQPTDMVMENLKKLLTYCEDVYLYYKLAYEHKFYEIVNVLLKDPQTGCCLKDMLAG
+>DECOY_sp|Q96JI7|SPTCS_HUMAN Spatacsin OS=Homo sapiens OX=9606 GN=SPG11 PE=1 SV=3
+GALMDKLCCGTQPDKLLVNVIEYFKHEYALKYYLYVDECYTLLKKLNEMVMDTPQHQKYKKSIEEFISSKLLRQQKFEELYNFDGKLIVQQYLIEAWDPVFDYAEAVISAQYFRPLALICDMLKHRGLNILMTNQGTNLFHIQLTILKTLRQCHQAQRVCSDKAYSEAADLMLTLAKLLLQKLQHGDKLSDEWPQSEILKLQIRAAAEHNEGIERCMSFCLAIMNHKESDGPRCRKIYDLLATKLTGSPDLKKRMLVEFYHKKHLLDFIYTMENYRGIGTLLRVVLGYEESPALHNDTLMHAAQLVRIIGEMHCTLTFCHHALILLETTCSLEGHPVSSIKDLLKMGVLTRDQCLTTLQLFTQSEETPNFMQKHGTGQSSTLLERTVEEAVLEAVTDPKLGQTSIFAQARKCRDPQQSALIKRLMAEGDQAAVDTYSCGLEKALDYLCLVQRCYNKGHLCKSTLVELNTVVENSSPVTVFKQSDLSSTSHVRRLPIDPAEEELLEASQLLAHIEPHLDEMSAEGSALARCHLVLAVDPNYFHFYRCVRSAEHVCGDDLLRGILFNLSEQEKWDLRNECTEKSPLSNLELYKSTNLAALKSFSFESALSDFSLEGSTSIQRSFRPETEEQNRGLTHQTIRCLWIQKELEELKDLPVVDEQALWHGALTLLLHREEMSSWGTPHECAVHAQTSFFSSAAKSSISNKKFNEHCKKWFDIRAQKLSWQEIHKLTQMEQTIEKIVLNDVPLEALEAVRRALAFQGDTQLRELISRCEHQLNEISYSTIITHNIAISTDKLIQCLICLKKVDPGDSFLHEREVFLQLLKGLEYQTKCQQLMLKLLFCVQDELWMAPIVPHVKTAATNLTELSKQFELLKAEAEKYNRFFMCLEYMEMVLLLPSDKFFLQFGRILTKSKQRTLLTRWIVSLDELNWTHDETSDQIHGMAETAVNDEVSTIIWVCLCSIASAGQLCSALVSLIPAQQKVAEVLLWHWSDPEESCQLLIEFLDTMEQKSGQLEQPCKNCVQDSDMKSTPVSPLNEFALRLHDQIVPSFYQILSKVEAPHYNHLQSHIIFQLWDNAKACERLYSISLKMNHLRCFQVVLAWQSSSESSLRKIEQQQISNWTGEELLVLLEETTTKEGDALKSLKEAVSERIFSYQADENRCKYSLIINAVKMDVRLKLSDLGLLELFCVCAAGISPIHFSSLGIVYAENGVQQILQKPTKSKILEQVLFTGFAFSPRGNHLYYAFNLREVIAYKNVLDPSSFHPLHSWADGIALTNASQWGFLRSPDFPSLSQILHYITIDSPLVSPPTCQPFLATKLKPYPTLAMKLLQPDVKKLCNENEENQVVQSVGGPSYMTTALALLTHGELLMSSVSAQNTPILIQANALSAQFILKPDTLNSAVQRCQVLFEFWPHAEHLEKKELFPCNEPSLKYCDLYVYLLHQLSHELCYLIFQSHFDWGEKTKYNQVPLTDQIVGGIRSLRLLFCEFDELESALFVGNRALKDLIENRMYNNCSTNQNIVDVTLLPWKNQQLSAYSHQTQFEGIWLIINLWDHRATLYRWLAEPSYSKYEEPSIRPLLISEQTLQDWWLAWNLVIRHDQKNFEDKCDYKLFSDLVSKHKFFDQEKIWYRPFSQIQMNEQFHGLYLKEVQHVFDITRKEKESFYNKEKLIEVLFDRINKNTTYFCIKLLQGKVDFGMNKLLESAEKINNKKLNDFVLNLGIGILEELKQASHSDIRFFTQAEPIKNNLIASAIVEEFSLKKWINSEKVKPVNEHVDYEDIADTLKWPFKIMFTRLENIYSTLINVGKQLHEDLEETHIFLEKIQNNLFSLTLNLLQESFHKSQPESYSERIASCLLDLAPILEEVNRLYLHSSLHDFQDSVSSKSSPNFLNEKSKLFFNVTDLQRNEIGAELAHIPISCRGWGNLHCLTDVTSASGHIMLRNLFEEQTLGFLILSLGNETLVFCLQQDGSSDVPICKTGLSFCQMGQTELDWLTITYGMREVEWTFLATFGTVSVCKLEIPEEQESIHMIKWSRGPDSTKAHDKQLVNYQGHMIDQPIFAWSQVSTSHNGSEPSELHLIDQFWPACCSVELKSNKITENLSSLQAKWSRDIQFSFKALKMNYASNVPDDEDVGKPGQIPLDELIRECLLHGPHQRFYLNLNLAVASNSSSVIVAVDLDQSVKLSTFSSIKAPEQQQENCMDEKHLALDVHAVYTGDVVDFIYIWGLSSLVFLIGRCLQTDIIMDVAQAPLPLTFCNLVRIAADREPFIIHLIVCKNIFLLSTNNHFSLIRLSLLSISIDQDDILKQLAERSCSYLITADCRGDKLNFEYILLEYNEGLALLKPKETPTSSNRSDEWLFHWFPGELCCRGGGRSGPTLSLVQLSGAATLSGLAEPQTRLQARSGLQGMAEAPVPVLLMPLVRGMAATGWSGGASAASAVGEEAAM
+>sp|Q9NRC6|SPTN5_HUMAN Spectrin beta chain, non-erythrocytic 5 OS=Homo sapiens OX=9606 GN=SPTBN5 PE=1 SV=2
+MAGQPHSPRELLGAAGHRSRRPSTELRVPPSPSLTMDSQYETGHIRKLQARHMQMQEKTFTKWINNVFQCGQAGIKIRNLYTELADGIHLLRLLELISGEALPPPSRGRLRVHFLENSSRALAFLRAKVPVPLIGPENIVDGDQTLILGLIWVIILRFQISHISLDKEEFGASAALLSTKEALLVWCQRKTASYTNVNITDFSRSWSDGLGFNALIHAHRPDLLDYGSLRPDRPLHNLAFAFLVAEQELGIAQLLDPEDVAAAQPDERSIMTYVSLYYHYCSRLHQGQTVQRRLTKILLQLQETELLQTQYEQLVADLLRWIAEKQMQLEARDFPDSLPAMRQLLAAFTIFRTQEKPPRLQQRGAAEALLFRLQTALQAQNRRPFLPHEGLGLAELSQCWAGLEWAEAARSQALQQRLLQLQRLETLARRFQHKAALRESFLKDAEQVLDQARAPPASLATVEAAVQRLGMLEAGILPQEGRFQALAEIADILRQEQYHSWADVARRQEEVTVRWQRLLQHLQGQRKQVADMQAVLSLLQEVEAASHQLEELQEPARSTACGQQLAEVVELLQRHDLLEAQVSAHGAHVSHLAQQTAELDSSLGTSVEVLQAKARTLAQLQQSLVALVRARRALLEQTLQRAEFLRNCEEEEAWLKECGQRVGNAALGRDLSQIAGALQKHKALEAEVHRHQAVCVDLVRRGRDLSARRPPTQPDPGERAEAVQGGWQLLQTRVVGRGARLQTALLVLQYFADAAEAASWLRERRSSLERASCGQDQAAAETLLRRHVRLERVLRAFAAELRRLEEQGRAASARASLFTVNSALSPPGESLRNPGPWSEASCHPGPGDAWKMALPAEPDPDFDPNTILQTQDHLSQDYESLRALAQLRRARLEEAMALFGFCSSCGELQLWLEKQTVLLQRVQPQADTLEVMQLKYENFLTALAVGKGLWAEVSSSAEQLRQRYPGNSTQIQRQQEELSQRWGQLEALKREKAVQLAHSVEVCSFLQECGPTQVQLRDVLLQLEALQPGSSEDTCHALQLAQKKTLVLERRVHFLQSVVVKVEEPGYAESQPLQGQVETLQGLLKQVQEQVAQRARRQAETQARQSFLQESQQLLLWAESVQAQLRSKEVSVDVASAQRLLREHQDLLEEIHLWQERLQQLDAQSQPMAALDCPDSQEVPNTLRVLGQQGQELKVLWEQRQQWLQEGLELQKFGREVDGFTATCANHQAWLHLDNLGEDVREALSLLQQHREFGRLLSTLGPRAEALRAHGEKLVQSQHPAAHTVREQLQSIQAQWTRLQGRSEQRRRQLLASLQLQEWKQDVAELMQWMEEKGLMAAHEPSGARRNILQTLKRHEAAESELLATRRHVEALQQVGRELLSRRPCGQEDIQTRLQGLRSKWEALNRKMTERGDELQQAGQQEQLLRQLQDAKEQLEQLEGALQSSETGQDLRSSQRLQKRHQQLESESRTLAAKMAALASMAHGMAASPAILEETQKHLRRLELLQGHLAIRGLQLQASVELHQFCHLSNMELSWVAEHMPHGSPTSYTECLNGAQSLHRKHKELQVEVKAHQGQVQRVLSSGRSLAASGHPQAQHIVEQCQELEGHWAELERACEARAQCLQQAVTFQQYFLDVSELEGWVEEKRPLVSSRDYGRDEAATLRLINKHQALQEELAIYWSSMEELDQTAQTLTGPEVPEQQRVVQERLREQLRALQELAATRDRELEGTLRLHEFLREAEDLQGWLASQKQAAKGGESLGEDPEHALHLCTKFAKFQHQVEMGSQRVAACRLLAESLLERGHSAGPMVRQRQQDLQTAWSELWELTQARGHALRDTETTLRVHRDLLEVLTQVQEKATSLPNNVARDLCGLEAQLRSHQGLERELVGTERQLQELLETAGRVQKLCPGPQAHAVQQRQQAVTQAWAVLQRRMEQRRAQLERARLLARFRTAVRDYASWAARVRQDLQVEESSQEPSSGPLKLSAHQWLRAELEAREKLWQQATQLGQQALLAAGTPTKEVQEELRALQDQRDQVYQTWARKQERLQAEQQEQLFLRECGRLEEILAAQEVSLKTSALGSSVEEVEQLIRKHEVFLKVLTAQDKKEAALRERLKTLRRPRVRDRLPILLQRRMRVKELAESRGHALHASLLMASFTQAATQAEDWIQAWAQQLKEPVPPGDLRDKLKPLLKHQAFEAEVQAHEEVMTSVAKKGEALLAQSHPRAGEVSQRLQGLRKHWEDLRQAMALRGQELEDRRNFLEFLQRVDLAEAWIQEKEVKMNVGDLGQDLEHCLQLRRRLREFRGNSAGDTVGDACIRSISDLSLQLKNRDPEEVKIICQRRSQLNNRWASFHGNLLRYQQQLEGALEIHVLSRELDNVTKRIQEKEALIQALDCGKDLESVQRLLRKHEELEREVHPIQAQVESLEREVGRLCQRSPEAAHGLRHRQQEVAESWWQLRSRAQKRREALDALHQAQKLQAMLQELLVSAQRLRAQMDTSPAPRSPVEARRMLEEHQECKAELDSWTDSISLARSTGQQLLTAGHPFSSDIRQVLAGLEQELSSLEGAWQEHQLQLQQALELQLFLSSVEKMERWLCSKEDSLASEGLWDPLAPMEPLLWKHKMLEWDLEVQAGKISALEATARGLHQGGHPEAQSALGRCQAMLLRKEALFRQAGTRRHRLEELRQLQAFLQDSQEVAAWLREKNLVALEEGLLDTAMLPAQLQKQQNFQAELDASMHQQQELQREGQRLLQGGHPASEAIQERLEELGALWGELQDNSQKKVAKLQKACEALRLRRSMEELENWLEPIEVELRAPTVGQALPGVGELLGTQRELEAAVDKKARQAEALLGQAQAFVREGHCLAQDVEEQARRLLQRFKSLREPLQERRTALEARSLLLKFFRDADEEMAWVQEKLPLAAAQDYGQSLSAVRHLQEQHQNLESEMSSHEALTRVVLGTGYKLVQAGHFAAHEVAARVQQLEKAMAHLRAEAARRRLLLQQAQEAQQFLTELLEAGSWLAERGHVLDSEDMGHSAEATQALLRRLEATKRDLEAFSPRIERLQQTAALLESRKNPESPKVLAQLQAVREAHAELLRRAEARGHGLQEQLQLHQLERETLLLDAWLTTKAATAESQDYGQDLEGVKVLEEKFDAFRKEVQSLGQAKVYALRKLAGTLERGAPRRYPHIQAQRSRIEAAWERLDQAIKARTENLAAAHEVHSFQQAAAELQGRMQEKTALMKGEDGGHSLSSVRTLQQQHRRLERELEAMEKEVARLQTEACRLGQLHPAAPGGLAKVQEAWATLQAKAQERGQWLAQAAQGHAFLGRCQELLAWAQERQELASSEELAEDVAGAEQLLGQHEELGQEIRECRLQAQDLRQEGQQLVDNSHFMSAEVTECLQELEGRLQELEEAWALRWQRCAESWGLQKLRQRLEQAEAWLACWEGLLLKPDYGHSVSDVELLLHRHQDLEKLLAAQEEKFAQMQKTEMEQELLLQPQELKPGRAGSSLTSFQWRPSGHQGLGAQLAETRDPQDAKGTPTMEGSLEFKQHLLPGGRQPSSSSWDSCRGNLQGSSLSLFLDERMAAEKVASIALLDLTGARCERLRGRHGRKHTFSLRLTSGAEILFAAPSEEQAESWWRALGSTAAQSLSPKLKAKPVSSLNECTTKDARPGCLLRSDP
+>DECOY_sp|Q9NRC6|SPTN5_HUMAN Spectrin beta chain, non-erythrocytic 5 OS=Homo sapiens OX=9606 GN=SPTBN5 PE=1 SV=2
+PDSRLLCGPRADKTTCENLSSVPKAKLKPSLSQAATSGLARWWSEAQEESPAAFLIEAGSTLRLSFTHKRGHRGRLRECRAGTLDLLAISAVKEAAMREDLFLSLSSGQLNGRCSDWSSSSPQRGGPLLHQKFELSGEMTPTGKADQPDRTEALQAGLGQHGSPRWQFSTLSSGARGPKLEQPQLLLEQEMETKQMQAFKEEQAALLKELDQHRHLLLEVDSVSHGYDPKLLLGEWCALWAEAQELRQRLKQLGWSEACRQWRLAWAEELEQLRGELEQLCETVEASMFHSNDVLQQGEQRLDQAQLRCERIEQGLEEHQGLLQEAGAVDEALEESSALEQREQAWALLEQCRGLFAHGQAAQALWQGREQAKAQLTAWAEQVKALGGPAAPHLQGLRCAETQLRAVEKEMAELERELRRHQQQLTRVSSLSHGGDEGKMLATKEQMRGQLEAAAQQFSHVEHAAALNETRAKIAQDLREWAAEIRSRQAQIHPYRRPAGRELTGALKRLAYVKAQGLSQVEKRFADFKEELVKVGELDQGYDQSEATAAKTTLWADLLLTERELQHLQLQEQLGHGRAEARRLLEAHAERVAQLQALVKPSEPNKRSELLAATQQLREIRPSFAELDRKTAELRRLLAQTAEASHGMDESDLVHGREALWSGAELLETLFQQAEQAQQLLLRRRAAEARLHAMAKELQQVRAAVEHAAFHGAQVLKYGTGLVVRTLAEHSSMESELNQHQEQLHRVASLSQGYDQAAALPLKEQVWAMEEDADRFFKLLLSRAELATRREQLPERLSKFRQLLRRAQEEVDQALCHGERVFAQAQGLLAEAQRAKKDVAAELERQTGLLEGVGPLAQGVTPARLEVEIPELWNELEEMSRRLRLAECAKQLKAVKKQSNDQLEGWLAGLEELREQIAESAPHGGQLLRQGERQLEQQQHMSADLEAQFNQQKQLQAPLMATDLLGEELAVLNKERLWAAVEQSDQLFAQLQRLEELRHRRTGAQRFLAEKRLLMAQCRGLASQAEPHGGQHLGRATAELASIKGAQVELDWELMKHKWLLPEMPALPDWLGESALSDEKSCLWREMKEVSSLFLQLELAQQLQLQHEQWAGELSSLEQELGALVQRIDSSFPHGATLLQQGTSRALSISDTWSDLEAKCEQHEELMRRAEVPSRPAPSTDMQARLRQASVLLEQLMAQLKQAQHLADLAERRKQARSRLQWWSEAVEQQRHRLGHAAEPSRQCLRGVERELSEVQAQIPHVERELEEHKRLLRQVSELDKGCDLAQILAEKEQIRKTVNDLERSLVHIELAGELQQQYRLLNGHFSAWRNNLQSRRQCIIKVEEPDRNKLQLSLDSISRICADGVTDGASNGRFERLRRRLQLCHELDQGLDGVNMKVEKEQIWAEALDVRQLFELFNRRDELEQGRLAMAQRLDEWHKRLGQLRQSVEGARPHSQALLAEGKKAVSTMVEEHAQVEAEFAQHKLLPKLKDRLDGPPVPEKLQQAWAQIWDEAQTAAQTFSAMLLSAHLAHGRSEALEKVRMRRQLLIPLRDRVRPRRLTKLRERLAAEKKDQATLVKLFVEHKRILQEVEEVSSGLASTKLSVEQAALIEELRGCERLFLQEQQEAQLREQKRAWTQYVQDRQDQLARLEEQVEKTPTGAALLAQQGLQTAQQWLKERAELEARLWQHASLKLPGSSPEQSSEEVQLDQRVRAAWSAYDRVATRFRALLRARELQARRQEMRRQLVAWAQTVAQQRQQVAHAQPGPCLKQVRGATELLEQLQRETGVLERELGQHSRLQAELGCLDRAVNNPLSTAKEQVQTLVELLDRHVRLTTETDRLAHGRAQTLEWLESWATQLDQQRQRVMPGASHGRELLSEALLRCAAVRQSGMEVQHQFKAFKTCLHLAHEPDEGLSEGGKAAQKQSALWGQLDEAERLFEHLRLTGELERDRTAALEQLARLQERLREQVVRQQEPVEPGTLTQATQDLEEMSSWYIALEEQLAQHKNILRLTAAEDRGYDRSSVLPRKEEVWGELESVDLFYQQFTVAQQLCQARAECARELEAWHGELEQCQEVIHQAQPHGSAALSRGSSLVRQVQGQHAKVEVQLEKHKRHLSQAGNLCETYSTPSGHPMHEAVWSLEMNSLHCFQHLEVSAQLQLGRIALHGQLLELRRLHKQTEELIAPSAAMGHAMSALAAMKAALTRSESELQQHRKQLRQSSRLDQGTESSQLAGELQELQEKADQLQRLLQEQQGAQQLEDGRETMKRNLAEWKSRLGQLRTQIDEQGCPRRSLLERGVQQLAEVHRRTALLESEAAEHRKLTQLINRRAGSPEHAAMLGKEEMWQMLEAVDQKWEQLQLSALLQRRRQESRGQLRTWQAQISQLQERVTHAAPHQSQVLKEGHARLAEARPGLTSLLRGFERHQQLLSLAERVDEGLNDLHLWAQHNACTATFGDVERGFKQLELGEQLWQQRQEWLVKLEQGQQGLVRLTNPVEQSDPCDLAAMPQSQADLQQLREQWLHIEELLDQHERLLRQASAVDVSVEKSRLQAQVSEAWLLLQQSEQLFSQRAQTEAQRRARQAVQEQVQKLLGQLTEVQGQLPQSEAYGPEEVKVVVSQLFHVRRELVLTKKQALQLAHCTDESSGPQLAELQLLVDRLQVQTPGCEQLFSCVEVSHALQVAKERKLAELQGWRQSLEEQQRQIQTSNGPYRQRLQEASSSVEAWLGKGVALATLFNEYKLQMVELTDAQPQVRQLLVTQKELWLQLEGCSSCFGFLAMAEELRARRLQALARLSEYDQSLHDQTQLITNPDFDPDPEAPLAMKWADGPGPHCSAESWPGPNRLSEGPPSLASNVTFLSARASAARGQEELRRLEAAFARLVRELRVHRRLLTEAAAQDQGCSARELSSRRERLWSAAEAADAFYQLVLLATQLRAGRGVVRTQLLQWGGQVAEAREGPDPQTPPRRASLDRGRRVLDVCVAQHRHVEAELAKHKQLAGAIQSLDRGLAANGVRQGCEKLWAEEEECNRLFEARQLTQELLARRARVLAVLSQQLQALTRAKAQLVEVSTGLSSDLEATQQALHSVHAGHASVQAELLDHRQLLEVVEALQQGCATSRAPEQLEELQHSAAEVEQLLSLVAQMDAVQKRQGQLHQLLRQWRVTVEEQRRAVDAWSHYQEQRLIDAIEALAQFRGEQPLIGAELMGLRQVAAEVTALSAPPARAQDLVQEADKLFSERLAAKHQFRRALTELRQLQLLRQQLAQSRAAEAWELGAWCQSLEALGLGEHPLFPRRNQAQLATQLRFLLAEAAGRQQLRPPKEQTRFITFAALLQRMAPLSDPFDRAELQMQKEAIWRLLDAVLQEYQTQLLETEQLQLLIKTLRRQVTQGQHLRSCYHYYLSVYTMISREDPQAAAVDEPDLLQAIGLEQEAVLFAFALNHLPRDPRLSGYDLLDPRHAHILANFGLGDSWSRSFDTINVNTYSATKRQCWVLLAEKTSLLAASAGFEEKDLSIHSIQFRLIIVWILGLILTQDGDVINEPGILPVPVKARLFALARSSNELFHVRLRGRSPPPLAEGSILELLRLLHIGDALETYLNRIKIGAQGCQFVNNIWKTFTKEQMQMHRAQLKRIHGTEYQSDMTLSPSPPVRLETSPRRSRHGAAGLLERPSHPQGAM
+>sp|Q8NFR3|SPTSB_HUMAN Serine palmitoyltransferase small subunit B OS=Homo sapiens OX=9606 GN=SPTSSB PE=1 SV=1
+MDLRRVKEYFSWLYYQYQIISCCAVLEPWERSMFNTILLTIIAMVVYTAYVFIPIHIRLAWEFFSKICGYHSTISN
+>DECOY_sp|Q8NFR3|SPTSB_HUMAN Serine palmitoyltransferase small subunit B OS=Homo sapiens OX=9606 GN=SPTSSB PE=1 SV=1
+NSITSHYGCIKSFFEWALRIHIPIFVYATYVVMAIITLLITNFMSREWPELVACCSIIQYQYYLWSFYEKVRRLDM
+>sp|Q8NCC5|SPX3_HUMAN Sugar phosphate exchanger 3 OS=Homo sapiens OX=9606 GN=SLC37A3 PE=2 SV=2
+MAWPNVFQRGSLLSQFSHHHVVVFLLTFFSYSLLHASRKTFSNVKVSISEQWTPSAFNTSVELPVEIWSSNHLFPSAEKATLFLGTLDTIFLFSYAVGLFISGIVGDRLNLRWVLSFGMCSSALVVFVFGALTEWLRFYNKWLYCCLWIVNGLLQSTGWPCVVAVMGNWFGKAGRGVVFGLWSACASVGNILGACLASSVLQYGYEYAFLVTASVQFAGGIVIFFGLLVSPEEIGLSGIEAEENFEEDSHRPLINGGENEDEYEPNYSIQDDSSVAQVKAISFYQACCLPGVIPYSLAYACLKLVNYSFFFWLPFYLSNNFGWKEAEADKLSIWYDVGGIIGGTLQGFISDVLQKRAPVLALSLLLAVGSLIGYSRSPNDKSINALLMTVTGFFIGGPSNMISSAISADLGRQELIQRSSEALATVTGIVDGSGSIGAAVGQYLVSLIRDKLGWMWVFYFFILMTSCTIVFISPLIVREIFSLVLRRQAHILRE
+>DECOY_sp|Q8NCC5|SPX3_HUMAN Sugar phosphate exchanger 3 OS=Homo sapiens OX=9606 GN=SLC37A3 PE=2 SV=2
+ERLIHAQRRLVLSFIERVILPSIFVITCSTMLIFFYFVWMWGLKDRILSVLYQGVAAGISGSGDVIGTVTALAESSRQILEQRGLDASIASSIMNSPGGIFFGTVTMLLANISKDNPSRSYGILSGVALLLSLALVPARKQLVDSIFGQLTGGIIGGVDYWISLKDAEAEKWGFNNSLYFPLWFFFSYNVLKLCAYALSYPIVGPLCCAQYFSIAKVQAVSSDDQISYNPEYEDENEGGNILPRHSDEEFNEEAEIGSLGIEEPSVLLGFFIVIGGAFQVSATVLFAYEYGYQLVSSALCAGLINGVSACASWLGFVVGRGAKGFWNGMVAVVCPWGTSQLLGNVIWLCCYLWKNYFRLWETLAGFVFVVLASSCMGFSLVWRLNLRDGVIGSIFLGVAYSFLFITDLTGLFLTAKEASPFLHNSSWIEVPLEVSTNFASPTWQESISVKVNSFTKRSAHLLSYSFFTLLFVVVHHHSFQSLLSGRQFVNPWAM
+>sp|Q5VSR9|SPXN1_HUMAN Sperm protein associated with the nucleus on the X chromosome N1 OS=Homo sapiens OX=9606 GN=SPANXN1 PE=3 SV=1
+MEQPTSSINGEKRKSPCESNNENDEMQETPNRDLAPEPSLKKMKTSEYSTVLAFCYRKAKKIHSNQLENDQS
+>DECOY_sp|Q5VSR9|SPXN1_HUMAN Sperm protein associated with the nucleus on the X chromosome N1 OS=Homo sapiens OX=9606 GN=SPANXN1 PE=3 SV=1
+SQDNELQNSHIKKAKRYCFALVTSYESTKMKKLSPEPALDRNPTEQMEDNENNSECPSKRKEGNISSTPQEM
+>sp|Q5MJ07|SPXN5_HUMAN Sperm protein associated with the nucleus on the X chromosome N5 OS=Homo sapiens OX=9606 GN=SPANXN5 PE=3 SV=1
+MEKPTSSTNGEKRKSPCDSNSKNDEMQETPNRDLVLEPSLKKMKTSEYSTVLVLCYRKTKKIHSNQLENDQS
+>DECOY_sp|Q5MJ07|SPXN5_HUMAN Sperm protein associated with the nucleus on the X chromosome N5 OS=Homo sapiens OX=9606 GN=SPANXN5 PE=3 SV=1
+SQDNELQNSHIKKTKRYCLVLVTSYESTKMKKLSPELVLDRNPTEQMEDNKSNSDCPSKRKEGNTSSTPKEM
+>sp|O43610|SPY3_HUMAN Protein sprouty homolog 3 OS=Homo sapiens OX=9606 GN=SPRY3 PE=1 SV=2
+MDAAVTDDFQQILPIEQLRSTHASNDYVERPPAPCKQALSSPSLIVQTHKSDWSLATMPTSLPRSLSQCHQLQPLPQHLSQSSIASSMSHSTTASDQRLLASITPSPSGQSIIRTQPGAGVHPKADGALKGEAEQSAGHPSEHLFICEECGRCKCVPCTAARPLPSCWLCNQRCLCSAESLLDYGTCLCCVKGLFYHCSTDDEDNCADEPCSCGPSSCFVRWAAMSLISLFLPCLCCYLPTRGCLHLCQQGYDSLRRPGCRCKRHTNTVCRKISSGSAPFPKAQEKSV
+>DECOY_sp|O43610|SPY3_HUMAN Protein sprouty homolog 3 OS=Homo sapiens OX=9606 GN=SPRY3 PE=1 SV=2
+VSKEQAKPFPASGSSIKRCVTNTHRKCRCGPRRLSDYGQQCLHLCGRTPLYCCLCPLFLSILSMAAWRVFCSSPGCSCPEDACNDEDDTSCHYFLGKVCCLCTGYDLLSEASCLCRQNCLWCSPLPRAATCPVCKCRGCEECIFLHESPHGASQEAEGKLAGDAKPHVGAGPQTRIISQGSPSPTISALLRQDSATTSHSMSSAISSQSLHQPLPQLQHCQSLSRPLSTPMTALSWDSKHTQVILSPSSLAQKCPAPPREVYDNSAHTSRLQEIPLIQQFDDTVAADM
+>sp|Q9HD15|SRA1_HUMAN Steroid receptor RNA activator 1 OS=Homo sapiens OX=9606 GN=SRA1 PE=1 SV=1
+MTRCPAGQAEVEMAELYVKPGNKERGWNDPPQFSYGLQTQAGGPRRSLLTKRVAAPQDGSPRVPASETSPGPPPMGPPPPSSKAPRSPPVGSGPASGVEPTSFPVESEAVMEDVLRPLEQALEDCRGHTRKQVCDDISRRLALLQEQWAGGKLSIPVKKRMALLVQELSSHRWDAADDIHRSLMVDHVTEVSQWMVGVKRLIAEKRSLFSEEAANEEKSAATAEKNHTIPGFQQAS
+>DECOY_sp|Q9HD15|SRA1_HUMAN Steroid receptor RNA activator 1 OS=Homo sapiens OX=9606 GN=SRA1 PE=1 SV=1
+SAQQFGPITHNKEATAASKEENAAEESFLSRKEAILRKVGVMWQSVETVHDVMLSRHIDDAADWRHSSLEQVLLAMRKKVPISLKGGAWQEQLLALRRSIDDCVQKRTHGRCDELAQELPRLVDEMVAESEVPFSTPEVGSAPGSGVPPSRPAKSSPPPPGMPPPGPSTESAPVRPSGDQPAAVRKTLLSRRPGGAQTQLGYSFQPPDNWGREKNGPKVYLEAMEVEAQGAPCRTM
+>sp|P09132|SRP19_HUMAN Signal recognition particle 19 kDa protein OS=Homo sapiens OX=9606 GN=SRP19 PE=1 SV=3
+MACAAARSPADQDRFICIYPAYLNNKKTIAEGRRIPISKAVENPTATEIQDVCSAVGLNVFLEKNKMYSREWNRDVQYRGRVRVQLKQEDGSLCLVQFPSRKSVMLYAAEMIPKLKTRTQKTGGADQSLQQGEGSKKGKGKKKK
+>DECOY_sp|P09132|SRP19_HUMAN Signal recognition particle 19 kDa protein OS=Homo sapiens OX=9606 GN=SRP19 PE=1 SV=3
+KKKKGKGKKSGEGQQLSQDAGGTKQTRTKLKPIMEAAYLMVSKRSPFQVLCLSGDEQKLQVRVRGRYQVDRNWERSYMKNKELFVNLGVASCVDQIETATPNEVAKSIPIRRGEAITKKNNLYAPYICIFRDQDAPSRAAACAM
+>sp|Q96SB4|SRPK1_HUMAN SRSF protein kinase 1 OS=Homo sapiens OX=9606 GN=SRPK1 PE=1 SV=2
+MERKVLALQARKKRTKAKKDKAQRKSETQHRGSAPHSESDLPEQEEEILGSDDDEQEDPNDYCKGGYHLVKIGDLFNGRYHVIRKLGWGHFSTVWLSWDIQGKKFVAMKVVKSAEHYTETALDEIRLLKSVRNSDPNDPNREMVVQLLDDFKISGVNGTHICMVFEVLGHHLLKWIIKSNYQGLPLPCVKKIIQQVLQGLDYLHTKCRIIHTDIKPENILLSVNEQYIRRLAAEATEWQRSGAPPPSGSAVSTAPQPKPADKMSKNKKKKLKKKQKRQAELLEKRMQEIEEMEKESGPGQKRPNKQEESESPVERPLKENPPNKMTQEKLEESSTIGQDQTLMERDTEGGAAEINCNGVIEVINYTQNSNNETLRHKEDLHNANDCDVQNLNQESSFLSSQNGDSSTSQETDSCTPITSEVSDTMVCQSSSTVGQSFSEQHISQLQESIRAEIPCEDEQEQEHNGPLDNKGKSTAGNFLVNPLEPKNAEKLKVKIADLGNACWVHKHFTEDIQTRQYRSLEVLIGSGYNTPADIWSTACMAFELATGDYLFEPHSGEEYTRDEDHIALIIELLGKVPRKLIVAGKYSKEFFTKKGDLKHITKLKPWGLFEVLVEKYEWSQEEAAGFTDFLLPMLELIPEKRATAAECLRHPWLNS
+>DECOY_sp|Q96SB4|SRPK1_HUMAN SRSF protein kinase 1 OS=Homo sapiens OX=9606 GN=SRPK1 PE=1 SV=2
+SNLWPHRLCEAATARKEPILELMPLLFDTFGAAEEQSWEYKEVLVEFLGWPKLKTIHKLDGKKTFFEKSYKGAVILKRPVKGLLEIILAIHDEDRTYEEGSHPEFLYDGTALEFAMCATSWIDAPTNYGSGILVELSRYQRTQIDETFHKHVWCANGLDAIKVKLKEANKPELPNVLFNGATSKGKNDLPGNHEQEQEDECPIEARISEQLQSIHQESFSQGVTSSSQCVMTDSVESTIPTCSDTEQSTSSDGNQSSLFSSEQNLNQVDCDNANHLDEKHRLTENNSNQTYNIVEIVGNCNIEAAGGETDREMLTQDQGITSSEELKEQTMKNPPNEKLPREVPSESEEQKNPRKQGPGSEKEMEEIEQMRKELLEAQRKQKKKLKKKKNKSMKDAPKPQPATSVASGSPPPAGSRQWETAEAALRRIYQENVSLLINEPKIDTHIIRCKTHLYDLGQLVQQIIKKVCPLPLGQYNSKIIWKLLHHGLVEFVMCIHTGNVGSIKFDDLLQVVMERNPDNPDSNRVSKLLRIEDLATETYHEASKVVKMAVFKKGQIDWSLWVTSFHGWGLKRIVHYRGNFLDGIKVLHYGGKCYDNPDEQEDDDSGLIEEEQEPLDSESHPASGRHQTESKRQAKDKKAKTRKKRAQLALVKREM
+>sp|O14543|SOCS3_HUMAN Suppressor of cytokine signaling 3 OS=Homo sapiens OX=9606 GN=SOCS3 PE=1 SV=1
+MVTHSKFPAAGMSRPLDTSLRLKTFSSKSEYQLVVNAVRKLQESGFYWSAVTGGEANLLLSAEPAGTFLIRDSSDQRHFFTLSVKTQSGTKNLRIQCEGGSFSLQSDPRSTQPVPRFDCVLKLVHHYMPPPGAPSFPSPPTEPSSEVPEQPSAQPLPGSPPRRAYYIYSGGEKIPLVLSRPLSSNVATLQHLCRKTVNGHLDSYEKVTQLPGPIREFLDQYDAPL
+>DECOY_sp|O14543|SOCS3_HUMAN Suppressor of cytokine signaling 3 OS=Homo sapiens OX=9606 GN=SOCS3 PE=1 SV=1
+LPADYQDLFERIPGPLQTVKEYSDLHGNVTKRCLHQLTAVNSSLPRSLVLPIKEGGSYIYYARRPPSGPLPQASPQEPVESSPETPPSPFSPAGPPPMYHHVLKLVCDFRPVPQTSRPDSQLSFSGGECQIRLNKTGSQTKVSLTFFHRQDSSDRILFTGAPEASLLLNAEGGTVASWYFGSEQLKRVANVVLQYESKSSFTKLRLSTDLPRSMGAAPFKSHTVM
+>sp|P18583|SON_HUMAN Protein SON OS=Homo sapiens OX=9606 GN=SON PE=1 SV=4
+MATNIEQIFRSFVVSKFREIQQELSSGRNEGQLNGETNTPIEGNQAGDAAASARSLPNEEIVQKIEEVLSGVLDTELRYKPDLKEGSRKSRCVSVQTDPTDEIPTKKSKKHKKHKNKKKKKKKEKEKKYKRQPEESESKTKSHDDGNIDLESDSFLKFDSEPSAVALELPTRAFGPSETNESPAVVLEPPVVSMEVSEPHILETLKPATKTAELSVVSTSVISEQSEQSVAVMPEPSMTKILDSFAAAPVPTTTLVLKSSEPVVTMSVEYQMKSVLKSVESTSPEPSKIMLVEPPVAKVLEPSETLVVSSETPTEVYPEPSTSTTMDFPESSAIEALRLPEQPVDVPSEIADSSMTRPQELPELPKTTALELQESSVASAMELPGPPATSMPELQGPPVTPVLELPGPSATPVPELPGPLSTPVPELPGPPATAVPELPGPSVTPVPQLSQELPGLPAPSMGLEPPQEVPEPPVMAQELPGLPLVTAAVELPEQPAVTVAMELTEQPVTTTELEQPVGMTTVEHPGHPEVTTATGLLGQPEATMVLELPGQPVATTALELPGQPSVTGVPELPGLPSATRALELSGQPVATGALELPGPLMAAGALEFSGQSGAAGALELLGQPLATGVLELPGQPGAPELPGQPVATVALEISVQSVVTTSELSTMTVSQSLEVPSTTALESYNTVAQELPTTLVGETSVTVGVDPLMAPESHILASNTMETHILASNTMDSQMLASNTMDSQMLASNTMDSQMLASSTMDSQMLATSSMDSQMLATSSMDSQMLATSTMDSQMLATSSMDSQMLATSSMDSQMLATSSMDSQMLATSSMDSQMLATSTMDSQMLATSTMDSQMLATSSMDSQMLASGTMDSQMLASGTMDAQMLASGTMDAQMLASSTQDSAMLGSKSPDPYRLAQDPYRLAQDPYRLGHDPYRLGHDAYRLGQDPYRLGHDPYRLTPDPYRMSPRPYRIAPRSYRIAPRPYRLAPRPLMLASRRSMMMSYAAERSMMSSYERSMMSYERSMMSPMAERSMMSAYERSMMSAYERSMMSPMAERSMMSAYERSMMSAYERSMMSPMADRSMMSMGADRSMMSSYSAADRSMMSSYSAADRSMMSSYTADRSMMSMAADSYTDSYTDTYTEAYMVPPLPPEEPPTMPPLPPEEPPMTPPLPPEEPPEGPALPTEQSALTAENTWPTEVPSSPSEESVSQPEPPVSQSEISEPSAVPTDYSVSASDPSVLVSEAAVTVPEPPPEPESSITLTPVESAVVAEEHEVVPERPVTCMVSETPAMSAEPTVLASEPPVMSETAETFDSMRASGHVASEVSTSLLVPAVTTPVLAESILEPPAMAAPESSAMAVLESSAVTVLESSTVTVLESSTVTVLEPSVVTVPEPPVVAEPDYVTIPVPVVSALEPSVPVLEPAVSVLQPSMIVSEPSVSVQESTVTVSEPAVTVSEQTQVIPTEVAIESTPMILESSIMSSHVMKGINLSSGDQNLAPEIGMQEIALHSGEEPHAEEHLKGDFYESEHGINIDLNINNHLIAKEMEHNTVCAAGTSPVGEIGEEKILPTSETKQRTVLDTYPGVSEADAGETLSSTGPFALEPDATGTSKGIEFTTASTLSLVNKYDVDLSLTTQDTEHDMVISTSPSGGSEADIEGPLPAKDIHLDLPSNNNLVSKDTEEPLPVKESDQTLAALLSPKESSGGEKEVPPPPKETLPDSGFSANIEDINEADLVRPLLPKDMERLTSLRAGIEGPLLASDVGRDRSAASPVVSSMPERASESSSEEKDDYEIFVKVKDTHEKSKKNKNRDKGEKEKKRDSSLRSRSKRSKSSEHKSRKRTSESRSRARKRSSKSKSHRSQTRSRSRSRRRRRSSRSRSKSRGRRSVSKEKRKRSPKHRSKSRERKRKRSSSRDNRKTVRARSRTPSRRSRSHTPSRRRRSRSVGRRRSFSISPSRRSRTPSRRSRTPSRRSRTPSRRSRTPSRRSRTPSRRSRTPSRRRRSRSVVRRRSFSISPVRLRRSRTPLRRRFSRSPIRRKRSRSSERGRSPKRLTDLDKAQLLEIAKANAAAMCAKAGVPLPPNLKPAPPPTIEEKVAKKSGGATIEELTEKCKQIAQSKEDDDVIVNKPHVSDEEEEEPPFYHHPFKLSEPKPIFFNLNIAAAKPTPPKSQVTLTKEFPVSSGSQHRKKEADSVYGEWVPVEKNGEENKDDDNVFSSNLPSEPVDISTAMSERALAQKRLSENAFDLEAMSMLNRAQERIDAWAQLNSIPGQFTGSTGVQVLTQEQLANTGAQAWIKKDQFLRAAPVTGGMGAVLMRKMGWREGEGLGKNKEGNKEPILVDFKTDRKGLVAVGERAQKRSGNFSAAMKDLSGKHPVSALMEICNKRRWQPPEFLLVHDSGPDHRKHFLFRVLRNGALTRPNCMFFLNRY
+>DECOY_sp|P18583|SON_HUMAN Protein SON OS=Homo sapiens OX=9606 GN=SON PE=1 SV=4
+YRNLFFMCNPRTLAGNRLVRFLFHKRHDPGSDHVLLFEPPQWRRKNCIEMLASVPHKGSLDKMAASFNGSRKQAREGVAVLGKRDTKFDVLIPEKNGEKNKGLGEGERWGMKRMLVAGMGGTVPAARLFQDKKIWAQAGTNALQEQTLVQVGTSGTFQGPISNLQAWADIREQARNLMSMAELDFANESLRKQALARESMATSIDVPESPLNSSFVNDDDKNEEGNKEVPVWEGYVSDAEKKRHQSGSSVPFEKTLTVQSKPPTPKAAAINLNFFIPKPESLKFPHHYFPPEEEEEDSVHPKNVIVDDDEKSQAIQKCKETLEEITAGGSKKAVKEEITPPPAPKLNPPLPVGAKACMAAANAKAIELLQAKDLDTLRKPSRGRESSRSRKRRIPSRSFRRRLPTRSRRLRVPSISFSRRRVVSRSRRRRSPTRSRRSPTRSRRSPTRSRRSPTRSRRSPTRSRRSPTRSRRSPSISFSRRRGVSRSRRRRSPTHSRSRRSPTRSRARVTKRNDRSSSRKRKRERSKSRHKPSRKRKEKSVSRRGRSKSRSRSSRRRRRSRSRSRTQSRHSKSKSSRKRARSRSESTRKRSKHESSKSRKSRSRLSSDRKKEKEGKDRNKNKKSKEHTDKVKVFIEYDDKEESSSESAREPMSSVVPSAASRDRGVDSALLPGEIGARLSTLREMDKPLLPRVLDAENIDEINASFGSDPLTEKPPPPVEKEGGSSEKPSLLAALTQDSEKVPLPEETDKSVLNNNSPLDLHIDKAPLPGEIDAESGGSPSTSIVMDHETDQTTLSLDVDYKNVLSLTSATTFEIGKSTGTADPELAFPGTSSLTEGADAESVGPYTDLVTRQKTESTPLIKEEGIEGVPSTGAACVTNHEMEKAILHNNINLDINIGHESEYFDGKLHEEAHPEEGSHLAIEQMGIEPALNQDGSSLNIGKMVHSSMISSELIMPTSEIAVETPIVQTQESVTVAPESVTVTSEQVSVSPESVIMSPQLVSVAPELVPVSPELASVVPVPITVYDPEAVVPPEPVTVVSPELVTVTSSELVTVTSSELVTVASSELVAMASSEPAAMAPPELISEALVPTTVAPVLLSTSVESAVHGSARMSDFTEATESMVPPESALVTPEASMAPTESVMCTVPREPVVEHEEAVVASEVPTLTISSEPEPPPEPVTVAAESVLVSPDSASVSYDTPVASPESIESQSVPPEPQSVSEESPSSPVETPWTNEATLASQETPLAPGEPPEEPPLPPTMPPEEPPLPPMTPPEEPPLPPVMYAETYTDTYSDTYSDAAMSMMSRDATYSSMMSRDAASYSSMMSRDAASYSSMMSRDAGMSMMSRDAMPSMMSREYASMMSREYASMMSREAMPSMMSREYASMMSREYASMMSREAMPSMMSREYSMMSREYSSMMSREAAYSMMMSRRSALMLPRPALRYPRPAIRYSRPAIRYPRPSMRYPDPTLRYPDHGLRYPDQGLRYADHGLRYPDHGLRYPDQALRYPDQALRYPDPSKSGLMASDQTSSALMQADMTGSALMQADMTGSALMQSDMTGSALMQSDMSSTALMQSDMTSTALMQSDMTSTALMQSDMSSTALMQSDMSSTALMQSDMSSTALMQSDMSSTALMQSDMTSTALMQSDMSSTALMQSDMSSTALMQSDMTSSALMQSDMTNSALMQSDMTNSALMQSDMTNSALIHTEMTNSALIHSEPAMLPDVGVTVSTEGVLTTPLEQAVTNYSELATTSPVELSQSVTMTSLESTTVVSQVSIELAVTAVPQGPLEPAGPQGPLELVGTALPQGLLELAGAAGSQGSFELAGAAMLPGPLELAGTAVPQGSLELARTASPLGPLEPVGTVSPQGPLELATTAVPQGPLELVMTAEPQGLLGTATTVEPHGPHEVTTMGVPQELETTTVPQETLEMAVTVAPQEPLEVAATVLPLGPLEQAMVPPEPVEQPPELGMSPAPLGPLEQSLQPVPTVSPGPLEPVATAPPGPLEPVPTSLPGPLEPVPTASPGPLELVPTVPPGQLEPMSTAPPGPLEMASAVSSEQLELATTKPLEPLEQPRTMSSDAIESPVDVPQEPLRLAEIASSEPFDMTTSTSPEPYVETPTESSVVLTESPELVKAVPPEVLMIKSPEPSTSEVSKLVSKMQYEVSMTVVPESSKLVLTTTPVPAAAFSDLIKTMSPEPMVAVSQESQESIVSTSVVSLEATKTAPKLTELIHPESVEMSVVPPELVVAPSENTESPGFARTPLELAVASPESDFKLFSDSELDINGDDHSKTKSESEEPQRKYKKEKEKKKKKKKNKHKKHKKSKKTPIEDTPDTQVSVCRSKRSGEKLDPKYRLETDLVGSLVEEIKQVIEENPLSRASAAADGAQNGEIPTNTEGNLQGENRGSSLEQQIERFKSVVFSRFIQEINTAM
+>sp|Q07890|SOS2_HUMAN Son of sevenless homolog 2 OS=Homo sapiens OX=9606 GN=SOS2 PE=1 SV=2
+MQQAPQPYEFFSEENSPKWRGLLVSALRKVQEQVHPTLSANEESLYYIEELIFQLLNKLCMAQPRTVQDVEERVQKTFPHPIDKWAIADAQSAIEKRKRRNPLLLPVDKIHPSLKEVLGYKVDYHVSLYIVAVLEYISADILKLAGNYVFNIRHYEISQQDIKVSMCADKVLMDMFDQDDIGLVSLCEDEPSSSGELNYYDLVRTEIAEERQYLRELNMIIKVFREAFLSDRKLFKPSDIEKIFSNISDIHELTVKLLGLIEDTVEMTDESSPHPLAGSCFEDLAEEQAFDPYETLSQDILSPEFHEHFNKLMARPAVALHFQSIADGFKEAVRYVLPRLMLVPVYHCWHYFELLKQLKACSEEQEDRECLNQAITALMNLQGSMDRIYKQYSPRRRPGDPVCPFYSHQLRSKHLAIKKMNEIQKNIDGWEGKDIGQCCNEFIMEGPLTRIGAKHERHIFLFDGLMISCKPNHGQTRLPGYSSAEYRLKEKFVMRKIQICDKEDTCEHKHAFELVSKDENSIIFAAKSAEEKNNWMAALISLHYRSTLDRMLDSVLLKEENEQPLRLPSPEVYRFVVKDSEENIVFEDNLQSRSGIPIIKGGTVVKLIERLTYHMYADPNFVRTFLTTYRSFCKPQELLSLLIERFEIPEPEPTDADKLAIEKGEQPISADLKRFRKEYVQPVQLRILNVFRHWVEHHFYDFERDLELLERLESFISSVRGKAMKKWVESIAKIIRRKKQAQANGVSHNITFESPPPPIEWHISKPGQFETFDLMTLHPIEIARQLTLLESDLYRKVQPSELVGSVWTKEDKEINSPNLLKMIRHTTNLTLWFEKCIVEAENFEERVAVLSRIIEILQVFQDLNNFNGVLEIVSAVNSVSVYRLDHTFEALQERKRKILDEAVELSQDHFKKYLVKLKSINPPCVPFFGIYLTNILKTEEGNNDFLKKKGKDLINFSKRRKVAEITGEIQQYQNQPYCLRIEPDMRRFFENLNPMGSASEKEFTDYLFNKSLEIEPRNCKQPPRFPRKSTFSLKSPGIRPNTGRHGSTSGTLRGHPTPLEREPCKISFSRIAETELESTVSAPTSPNTPSTPPVSASSDLSVFLDVDLNSSCGSNSIFAPVLLPHSKSFFSSCGSLHKLSEEPLIPPPLPPRKKFDHDASNSKGNMKSDDDPPAIPPRQPPPPKVKPRVPVPTGAFDGPLHSPPPPPPRDPLPDTPPPVPLRPPEHFINCPFNLQPPPLGHLHRDSDWLRDISTCPNSPSTPPSTPSPRVPRRCYVLSSSQNNLAHPPAPPVPPRQNSSPHLPKLPPKTYKRELSHPPLYRLPLLENAETPQ
+>DECOY_sp|Q07890|SOS2_HUMAN Son of sevenless homolog 2 OS=Homo sapiens OX=9606 GN=SOS2 PE=1 SV=2
+QPTEANELLPLRYLPPHSLERKYTKPPLKPLHPSSNQRPPVPPAPPHALNNQSSSLVYCRRPVRPSPTSPPTSPSNPCTSIDRLWDSDRHLHGLPPPQLNFPCNIFHEPPRLPVPPPTDPLPDRPPPPPPSHLPGDFAGTPVPVRPKVKPPPPQRPPIAPPDDDSKMNGKSNSADHDFKKRPPLPPPILPEESLKHLSGCSSFFSKSHPLLVPAFISNSGCSSNLDVDLFVSLDSSASVPPTSPTNPSTPASVTSELETEAIRSFSIKCPERELPTPHGRLTGSTSGHRGTNPRIGPSKLSFTSKRPFRPPQKCNRPEIELSKNFLYDTFEKESASGMPNLNEFFRRMDPEIRLCYPQNQYQQIEGTIEAVKRRKSFNILDKGKKKLFDNNGEETKLINTLYIGFFPVCPPNISKLKVLYKKFHDQSLEVAEDLIKRKREQLAEFTHDLRYVSVSNVASVIELVGNFNNLDQFVQLIEIIRSLVAVREEFNEAEVICKEFWLTLNTTHRIMKLLNPSNIEKDEKTWVSGVLESPQVKRYLDSELLTLQRAIEIPHLTMLDFTEFQGPKSIHWEIPPPPSEFTINHSVGNAQAQKKRRIIKAISEVWKKMAKGRVSSIFSELRELLELDREFDYFHHEVWHRFVNLIRLQVPQVYEKRFRKLDASIPQEGKEIALKDADTPEPEPIEFREILLSLLEQPKCFSRYTTLFTRVFNPDAYMHYTLREILKVVTGGKIIPIGSRSQLNDEFVINEESDKVVFRYVEPSPLRLPQENEEKLLVSDLMRDLTSRYHLSILAAMWNNKEEASKAAFIISNEDKSVLEFAHKHECTDEKDCIQIKRMVFKEKLRYEASSYGPLRTQGHNPKCSIMLGDFLFIHREHKAGIRTLPGEMIFENCCQGIDKGEWGDINKQIENMKKIALHKSRLQHSYFPCVPDGPRRRPSYQKYIRDMSGQLNMLATIAQNLCERDEQEESCAKLQKLLEFYHWCHYVPVLMLRPLVYRVAEKFGDAISQFHLAVAPRAMLKNFHEHFEPSLIDQSLTEYPDFAQEEALDEFCSGALPHPSSEDTMEVTDEILGLLKVTLEHIDSINSFIKEIDSPKFLKRDSLFAERFVKIIMNLERLYQREEAIETRVLDYYNLEGSSSPEDECLSVLGIDDQDFMDMLVKDACMSVKIDQQSIEYHRINFVYNGALKLIDASIYELVAVIYLSVHYDVKYGLVEKLSPHIKDVPLLLPNRRKRKEIASQADAIAWKDIPHPFTKQVREEVDQVTRPQAMCLKNLLQFILEEIYYLSEENASLTPHVQEQVKRLASVLLGRWKPSNEESFFEYPQPAQQM
+>sp|Q9NRY2|SOSSC_HUMAN SOSS complex subunit C OS=Homo sapiens OX=9606 GN=INIP PE=1 SV=1
+MAANSSGQGFQNKNRVAILAELDKEKRKLLMQNQSSTNHPGASIALSRPSLNKDFRDHAEQQHIAAQQKAALQHAHAHSSGYFITQDSAFGNLILPVLPRLDPE
+>DECOY_sp|Q9NRY2|SOSSC_HUMAN SOSS complex subunit C OS=Homo sapiens OX=9606 GN=INIP PE=1 SV=1
+EPDLRPLVPLILNGFASDQTIFYGSSHAHAHQLAAKQQAAIHQQEAHDRFDKNLSPRSLAISAGPHNTSSQNQMLLKRKEKDLEALIAVRNKNQFGQGSSNAAM
+>sp|O15370|SOX12_HUMAN Transcription factor SOX-12 OS=Homo sapiens OX=9606 GN=SOX12 PE=2 SV=2
+MVQQRGARAKRDGGPPPPGPGPAEEGAREPGWCKTPSGHIKRPMNAFMVWSQHERRKIMDQWPDMHNAEISKRLGRRWQLLQDSEKIPFVREAERLRLKHMADYPDYKYRPRKKSKGAPAKARPRPPGGSGGGSRLKPGPQLPGRGGRRAAGGPLGGGAAAPEDDDEDDDEELLEVRLVETPGRELWRMVPAGRAARGQAERAQGPSGEGAAAAAAASPTPSEDEEPEEEEEEAAAAEEGEEETVASGEESLGFLSRLPPGPAGLDCSALDRDPDLQPPSGTSHFEFPDYCTPEVTEMIAGDWRPSSIADLVFTY
+>DECOY_sp|O15370|SOX12_HUMAN Transcription factor SOX-12 OS=Homo sapiens OX=9606 GN=SOX12 PE=2 SV=2
+YTFVLDAISSPRWDGAIMETVEPTCYDPFEFHSTGSPPQLDPDRDLASCDLGAPGPPLRSLFGLSEEGSAVTEEEGEEAAAAEEEEEEPEEDESPTPSAAAAAAAGEGSPGQAREAQGRAARGAPVMRWLERGPTEVLRVELLEEDDDEDDDEPAAAGGGLPGGAARRGGRGPLQPGPKLRSGGGSGGPPRPRAKAPAGKSKKRPRYKYDPYDAMHKLRLREAERVFPIKESDQLLQWRRGLRKSIEANHMDPWQDMIKRREHQSWVMFANMPRKIHGSPTKCWGPERAGEEAPGPGPPPPGGDRKARAGRQQVM
+>sp|O95416|SOX14_HUMAN Transcription factor SOX-14 OS=Homo sapiens OX=9606 GN=SOX14 PE=1 SV=1
+MSKPSDHIKRPMNAFMVWSRGQRRKMAQENPKMHNSEISKRLGAEWKLLSEAEKRPYIDEAKRLRAQHMKEHPDYKYRPRRKPKNLLKKDRYVFPLPYLGDTDPLKAAGLPVGASDGLLSAPEKARAFLPPASAPYSLLDPAQFSSSAIQKMGEVPHTLATGALPYASTLGYQNGAFGSLSCPSQHTHTHPSPTNPGYVVPCNCTAWSASTLQPPVAYILFPGMTKTGIDPYSSAHATAM
+>DECOY_sp|O95416|SOX14_HUMAN Transcription factor SOX-14 OS=Homo sapiens OX=9606 GN=SOX14 PE=1 SV=1
+MATAHASSYPDIGTKTMGPFLIYAVPPQLTSASWATCNCPVVYGPNTPSPHTHTHQSPCSLSGFAGNQYGLTSAYPLAGTALTHPVEGMKQIASSSFQAPDLLSYPASAPPLFARAKEPASLLGDSAGVPLGAAKLPDTDGLYPLPFVYRDKKLLNKPKRRPRYKYDPHEKMHQARLRKAEDIYPRKEAESLLKWEAGLRKSIESNHMKPNEQAMKRRQGRSWVMFANMPRKIHDSPKSM
+>sp|O00570|SOX1_HUMAN Transcription factor SOX-1 OS=Homo sapiens OX=9606 GN=SOX1 PE=1 SV=2
+MYSMMMETDLHSPGGAQAPTNLSGPAGAGGGGGGGGGGGGGGGAKANQDRVKRPMNAFMVWSRGQRRKMAQENPKMHNSEISKRLGAEWKVMSEAEKRPFIDEAKRLRALHMKEHPDYKYRPRRKTKTLLKKDKYSLAGGLLAAGAGGGGAAVAMGVGVGVGAAAVGQRLESPGGAAGGGYAHVNGWANGAYPGSVAAAAAAAAMMQEAQLAYGQHPGAGGAHPHAHPAHPHPHHPHAHPHNPQPMHRYDMGALQYSPISNSQGYMSASPSGYGGLPYGAAAAAAAAAGGAHQNSAVAAAAAAAAASSGALGALGSLVKSEPSGSPPAPAHSRAPCPGDLREMISMYLPAGEGGDPAAAAAAAAQSRLHSLPQHYQGAGAGVNGTVPLTHI
+>DECOY_sp|O00570|SOX1_HUMAN Transcription factor SOX-1 OS=Homo sapiens OX=9606 GN=SOX1 PE=1 SV=2
+IHTLPVTGNVGAGAGQYHQPLSHLRSQAAAAAAAAPDGGEGAPLYMSIMERLDGPCPARSHAPAPPSGSPESKVLSGLAGLAGSSAAAAAAAAAVASNQHAGGAAAAAAAAAGYPLGGYGSPSASMYGQSNSIPSYQLAGMDYRHMPQPNHPHAHPHHPHPHAPHAHPHAGGAGPHQGYALQAEQMMAAAAAAAAVSGPYAGNAWGNVHAYGGGAAGGPSELRQGVAAAGVGVGVGMAVAAGGGGAGAALLGGALSYKDKKLLTKTKRRPRYKYDPHEKMHLARLRKAEDIFPRKEAESMVKWEAGLRKSIESNHMKPNEQAMKRRQGRSWVMFANMPRKVRDQNAKAGGGGGGGGGGGGGGGAGAPGSLNTPAQAGGPSHLDTEMMMSYM
+>sp|Q9Y651|SOX21_HUMAN Transcription factor SOX-21 OS=Homo sapiens OX=9606 GN=SOX21 PE=2 SV=1
+MSKPVDHVKRPMNAFMVWSRAQRRKMAQENPKMHNSEISKRLGAEWKLLTESEKRPFIDEAKRLRAMHMKEHPDYKYRPRRKPKTLLKKDKFAFPVPYGLGGVADAEHPALKAGAGLHAGAGGGLVPESLLANPEKAAAAAAAAAARVFFPQSAAAAAAAAAAAAAGSPYSLLDLGSKMAEISSSSSGLPYASSLGYPTAGAGAFHGAAAAAAAAAAAAGGHTHSHPSPGNPGYMIPCNCSAWPSPGLQPPLAYILLPGMGKPQLDPYPAAYAAAL
+>DECOY_sp|Q9Y651|SOX21_HUMAN Transcription factor SOX-21 OS=Homo sapiens OX=9606 GN=SOX21 PE=2 SV=1
+LAAAYAAPYPDLQPKGMGPLLIYALPPQLGPSPWASCNCPIMYGPNGPSPHSHTHGGAAAAAAAAAAAAGHFAGAGATPYGLSSAYPLGSSSSSIEAMKSGLDLLSYPSGAAAAAAAAAAAAASQPFFVRAAAAAAAAAAKEPNALLSEPVLGGGAGAHLGAGAKLAPHEADAVGGLGYPVPFAFKDKKLLTKPKRRPRYKYDPHEKMHMARLRKAEDIFPRKESETLLKWEAGLRKSIESNHMKPNEQAMKRRQARSWVMFANMPRKVHDVPKSM
+>sp|O94993|SOX30_HUMAN Transcription factor SOX-30 OS=Homo sapiens OX=9606 GN=SOX30 PE=1 SV=1
+MERARPEPPPQPRPLRPAPPPLPVEGTSFWAAAMEPPPSSPTLSAAASATLASSCGEAVASGLQPAVRRLLQVKPEQVLLLPQPQAQNEEAAASSAQARLLQFRPDLRLLQPPTASDGATSRPELHPVQPLALHVKAKKQKLGPSLDQSVGPRGAVETGPRASRVVKLEGPGPALGYFRGDEKGKLEAEEVMRDSMQGGAGKSPAAIREGVIKTEEPERLLEDCRLGAEPASNGLVHGSAEVILAPTSGAFGPHQQDLRIPLTLHTVPPGARIQFQGAPPSELIRLTKVPLTPVPTKMQSLLEPSVKIETKDVPLTVLPSDAGIPDTPFSKDRNGHVKRPMNAFMVWARIHRPALAKANPAANNAEISVQLGLEWNKLSEEQKKPYYDEAQKIKEKHREEFPGWVYQPRPGKRKRFPLSVSNVFSGTTQNIISTNPTTVYPYRSPTYSVVIPSLQNPITHPVGETSPAIQLPTPAVQSPSPVTLFQPSVSSAAQVAVQDPSLPVYPALPPQRFTGPSQTDTHQLHSEATHTVKQPTPVSLESANRISSSASTAHARFATSTIQPPREYSSVSPCPRSAPIPQASPIPHPHVYQPPPLGHPATLFGTPPRFSFHHPYFLPGPHYFPSSTCPYSRPPFGYGNFPSSMPECLSYYEDRYPKHEGIFSTLNRDYSFRDYSSECTHSENSRSCENMNGTSYYNSHSHSGEENLNPVPQLDIGTLENVFTAPTSTPSSIQQVNVTDSDEEEEEKVLRDL
+>DECOY_sp|O94993|SOX30_HUMAN Transcription factor SOX-30 OS=Homo sapiens OX=9606 GN=SOX30 PE=1 SV=1
+LDRLVKEEEEEDSDTVNVQQISSPTSTPATFVNELTGIDLQPVPNLNEEGSHSHSNYYSTGNMNECSRSNESHTCESSYDRFSYDRNLTSFIGEHKPYRDEYYSLCEPMSSPFNGYGFPPRSYPCTSSPFYHPGPLFYPHHFSFRPPTGFLTAPHGLPPPQYVHPHPIPSAQPIPASRPCPSVSSYERPPQITSTAFRAHATSASSSIRNASELSVPTPQKVTHTAESHLQHTDTQSPGTFRQPPLAPYVPLSPDQVAVQAASSVSPQFLTVPSPSQVAPTPLQIAPSTEGVPHTIPNQLSPIVVSYTPSRYPYVTTPNTSIINQTTGSFVNSVSLPFRKRKGPRPQYVWGPFEERHKEKIKQAEDYYPKKQEESLKNWELGLQVSIEANNAAPNAKALAPRHIRAWVMFANMPRKVHGNRDKSFPTDPIGADSPLVTLPVDKTEIKVSPELLSQMKTPVPTLPVKTLRILESPPAGQFQIRAGPPVTHLTLPIRLDQQHPGFAGSTPALIVEASGHVLGNSAPEAGLRCDELLREPEETKIVGERIAAPSKGAGGQMSDRMVEEAELKGKEDGRFYGLAPGPGELKVVRSARPGTEVAGRPGVSQDLSPGLKQKKAKVHLALPQVPHLEPRSTAGDSATPPQLLRLDPRFQLLRAQASSAAAEENQAQPQPLLLVQEPKVQLLRRVAPQLGSAVAEGCSSALTASAAASLTPSSPPPEMAAAWFSTGEVPLPPPAPRLPRPQPPPEPRAREM
+>sp|Q9H0E3|SP130_HUMAN Histone deacetylase complex subunit SAP130 OS=Homo sapiens OX=9606 GN=SAP130 PE=1 SV=1
+MGPPRHPQAGEIEAGGAGGGRRLQVEMSSQQFPRLGAPSTGLSQAPSQIANSGSAGLINPAATVNDESGRDSEVSAREHMSSSSSLQSREEKQEPVVVRPYPQVQMLSTHHAVASATPVAVTAPPAHLTPAVPLSFSEGLMKPPPKPTMPSRPIAPAPPSTLSLPPKVPGQVTVTMESSIPQASAIPVATISGQQGHPSNLHHIMTTNVQMSIIRSNAPGPPLHIGASHLPRGAAAAAVMSSSKVTTVLRPTSQLPNAATAQPAVQHIIHQPIQSRPPVTTSNAIPPAVVATVSATRAQSPVITTTAAHATDSALSRPTLSIQHPPSAAISIQRPAQSRDVTTRITLPSHPALGTPKQQLHTMAQKTIFSTGTPVAAATVAPILATNTIPSATTAGSVSHTQAPTSTIVTMTVPSHSSHATAVTTSNIPVAKVVPQQITHTSPRIQPDYPAERSSLIPISGHRASPNPVAMETRSDNRPSVPVQFQYFLPTYPPSAYPLAAHTYTPITSSVSTIRQYPVSAQAPNSAITAQTGVGVASTVHLNPMQLMTVDASHARHIQGIQPAPISTQGIQPAPIGTPGIQPAPLGTQGIHSATPINTQGLQPAPMGTQQPQPEGKTSAVVLADGATIVANPISNPFSAAPAATTVVQTHSQSASTNAPAQGSSPRPSILRKKPATDGAKPKSEIHVSMATPVTVSMETVSNQNNDQPTIAVPPTAQQPPPTIPTMIAAASPPSQPAVALSTIPGAVPITPPITTIAAAPPPSVTVGGSLSSVLGPPVPEIKVKEEVEPMDIMRPVSAVPPLATNTVSPSLALLANNLSMPTSDLPPGASPRKKPRKQQHVISTEEGDMMETNSTDDEKSTAKSLLVKAEKRKSPPKEYIDEEGVRYVPVRPRPPITLLRHYRNPWKAAYHHFQRYSDVRVKEEKKAMLQEIANQKGVSCRAQGWKVHLCAAQLLQLTNLEHDVYERLTNLQEGIIPKKKAATDDDLHRINELIQGNMQRCKLVMDQISEARDSMLKVLDHKDRVLKLLNKNGTVKKVSKLKRKEKV
+>DECOY_sp|Q9H0E3|SP130_HUMAN Histone deacetylase complex subunit SAP130 OS=Homo sapiens OX=9606 GN=SAP130 PE=1 SV=1
+VKEKRKLKSVKKVTGNKNLLKLVRDKHDLVKLMSDRAESIQDMVLKCRQMNGQILENIRHLDDDTAAKKKPIIGEQLNTLREYVDHELNTLQLLQAACLHVKWGQARCSVGKQNAIEQLMAKKEEKVRVDSYRQFHHYAAKWPNRYHRLLTIPPRPRVPVYRVGEEDIYEKPPSKRKEAKVLLSKATSKEDDTSNTEMMDGEETSIVHQQKRPKKRPSAGPPLDSTPMSLNNALLALSPSVTNTALPPVASVPRMIDMPEVEEKVKIEPVPPGLVSSLSGGVTVSPPPAAAITTIPPTIPVAGPITSLAVAPQSPPSAAAIMTPITPPPQQATPPVAITPQDNNQNSVTEMSVTVPTAMSVHIESKPKAGDTAPKKRLISPRPSSGQAPANTSASQSHTQVVTTAAPAASFPNSIPNAVITAGDALVVASTKGEPQPQQTGMPAPQLGQTNIPTASHIGQTGLPAPQIGPTGIPAPQIGQTSIPAPQIGQIHRAHSADVTMLQMPNLHVTSAVGVGTQATIASNPAQASVPYQRITSVSSTIPTYTHAALPYASPPYTPLFYQFQVPVSPRNDSRTEMAVPNPSARHGSIPILSSREAPYDPQIRPSTHTIQQPVVKAVPINSTTVATAHSSHSPVTMTVITSTPAQTHSVSGATTASPITNTALIPAVTAAAVPTGTSFITKQAMTHLQQKPTGLAPHSPLTIRTTVDRSQAPRQISIAASPPHQISLTPRSLASDTAHAATTTIVPSQARTASVTAVVAPPIANSTTVPPRSQIPQHIIHQVAPQATAANPLQSTPRLVTTVKSSSMVAAAAAGRPLHSAGIHLPPGPANSRIISMQVNTTMIHHLNSPHGQQGSITAVPIASAQPISSEMTVTVQGPVKPPLSLTSPPAPAIPRSPMTPKPPPKMLGESFSLPVAPTLHAPPATVAVPTASAVAHHTSLMQVQPYPRVVVPEQKEERSQLSSSSSMHERASVESDRGSEDNVTAAPNILGASGSNAIQSPAQSLGTSPAGLRPFQQSSMEVQLRRGGGAGGAEIEGAQPHRPPGM
+>sp|Q86XZ4|SPAS2_HUMAN Spermatogenesis-associated serine-rich protein 2 OS=Homo sapiens OX=9606 GN=SPATS2 PE=1 SV=1
+MSRKQNQKDSSGFIFDLQSNTVLAQGGAFENMKEKINAVRAIVPNKSNNEIILVLQHFDNCVDKTVQAFMEGSASEVLKEWTVTGKKKNKKKKNKPKPAAEPSNGIPDSSKSVSIQEEQSAPSSEKGGMNGYHVNGAINDTESVDSLSEGLETLSIDARELEDPESAMLDTLDRTGSMLQNGVSDFETKSLTMHSIHNSQQPRNAAKSLSRPTTETQFSNMGMEDVPLATSKKLSSNIEKSVKDLQRCTVSLARYRVVVKEEMDASIKKMKQAFAELESCLMDREVALLAEMDKVKAEAMEILLSRQKKAELLKKMTHVAVQMSEQQLVELRADIKHFVSERKYDEDLGRVARFTCDVETLKKSIDSFGQVSHPKNSYSTRSRCSSVTSVSLSSPSDASAASSSTCASPPSLTSANKKNFAPGETPAAIANSSGQPYQPLREVLPGNRRGGQGYRPQGQKSNDPMNQGRHDSMGRYRNSSWYSSGSRYQSAPSQAPGNTIERGQTHSAGTNGTGVSMEPSPPTPSFKKGLPQRKPRTSQTEAVNS
+>DECOY_sp|Q86XZ4|SPAS2_HUMAN Spermatogenesis-associated serine-rich protein 2 OS=Homo sapiens OX=9606 GN=SPATS2 PE=1 SV=1
+SNVAETQSTRPKRQPLGKKFSPTPPSPEMSVGTGNTGASHTQGREITNGPAQSPASQYRSGSSYWSSNRYRGMSDHRGQNMPDNSKQGQPRYGQGGRRNGPLVERLPQYPQGSSNAIAAPTEGPAFNKKNASTLSPPSACTSSSAASADSPSSLSVSTVSSCRSRTSYSNKPHSVQGFSDISKKLTEVDCTFRAVRGLDEDYKRESVFHKIDARLEVLQQESMQVAVHTMKKLLEAKKQRSLLIEMAEAKVKDMEALLAVERDMLCSELEAFAQKMKKISADMEEKVVVRYRALSVTCRQLDKVSKEINSSLKKSTALPVDEMGMNSFQTETTPRSLSKAANRPQQSNHISHMTLSKTEFDSVGNQLMSGTRDLTDLMASEPDELERADISLTELGESLSDVSETDNIAGNVHYGNMGGKESSPASQEEQISVSKSSDPIGNSPEAAPKPKNKKKKNKKKGTVTWEKLVESASGEMFAQVTKDVCNDFHQLVLIIENNSKNPVIARVANIKEKMNEFAGGQALVTNSQLDFIFGSSDKQNQKRSM
+>sp|Q5VX52|SPAT1_HUMAN Spermatogenesis-associated protein 1 OS=Homo sapiens OX=9606 GN=SPATA1 PE=2 SV=4
+MKKDKKRNKIPIDNYPIQTLVNMSLNPSRPSSSELVELHVFYVPEGSWNYKLNTISTEVVNKFISAGFLRVSPQLTLRALRERLGEFLGEDAIAEKFLFLKCIGNNLAVVKEKQESELKLKSFAPPYALQPELYLLPVMDHLGNVYSPSTVILDERQTNNGVNEADGTIHRPISVTLFKEELGRDPSLLENTLKELPNKNQEEAGGKATAEKSQIAKNQIGNSELPGSLEDSNNDCFGTKKSQCLWENEDDTAISRRQDNQTAEKEYITLPDHPSLPCQPVLSSGITDISLLQTEREKIIKQMKQVKEERRYLERNREELVKTVEKLFEQSKLKRYHAYNGWKKKYLETKKVTASMEEVLTKLREDLELYYKKLLMQLEAREIKMRPKNLANITDSKNYLIIQITEVQHAIDQLKRKLDTDKMKLIVEVKMRKQAVSDLRTLKTELAQKKKIIHLYNLN
+>DECOY_sp|Q5VX52|SPAT1_HUMAN Spermatogenesis-associated protein 1 OS=Homo sapiens OX=9606 GN=SPATA1 PE=2 SV=4
+NLNYLHIIKKKQALETKLTRLDSVAQKRMKVEVILKMKDTDLKRKLQDIAHQVETIQIILYNKSDTINALNKPRMKIERAELQMLLKKYYLELDERLKTLVEEMSATVKKTELYKKKWGNYAHYRKLKSQEFLKEVTKVLEERNRELYRREEKVQKMQKIIKERETQLLSIDTIGSSLVPQCPLSPHDPLTIYEKEATQNDQRRSIATDDENEWLCQSKKTGFCDNNSDELSGPLESNGIQNKAIQSKEATAKGGAEEQNKNPLEKLTNELLSPDRGLEEKFLTVSIPRHITGDAENVGNNTQREDLIVTSPSYVNGLHDMVPLLYLEPQLAYPPAFSKLKLESEQKEKVVALNNGICKLFLFKEAIADEGLFEGLRERLARLTLQPSVRLFGASIFKNVVETSITNLKYNWSGEPVYFVHLEVLESSSPRSPNLSMNVLTQIPYNDIPIKNRKKDKKM
+>sp|Q8NB90|SPAT5_HUMAN Spermatogenesis-associated protein 5 OS=Homo sapiens OX=9606 GN=SPATA5 PE=1 SV=3
+MSSKKNRKRLNQSAENGSSLPSAASSCAEARAPSAGSDFAATSGTLTVTNLLEKVDDKIPKTFQNSLIHLGLNTMKSANICIGRPVLLTSLNGKQEVYTAWPMAGFPGGKVGLSEMAQKNVGVRPGDAIQVQPLVGAVLQAEEMDVALSDKDMEINEEELTGCILRKLDGKIVLPGNFLYCTFYGRPYKLQVLRVKGADGMILGGPQSDSDTDAQRMAFEQSSMETSSLELSLQLSQLDLEDTQIPTSRSTPYKPIDDRITNKASDVLLDVTQSPGDGSGLMLEEVTGLKCNFESAREGNEQLTEEERLLKFSIGAKCNTDTFYFISSTTRVNFTEIDKNSKEQDNQFKVTYDMIGGLSSQLKAIREIIELPLKQPELFKSYGIPAPRGVLLYGPPGTGKTMIARAVANEVGAYVSVINGPEIISKFYGETEAKLRQIFAEATLRHPSIIFIDELDALCPKREGAQNEVEKRVVASLLTLMDGIGSEVSEGQVLVLGATNRPHALDAALRRPGRFDKEIEIGVPNAQDRLDILQKLLRRVPHLLTEAELLQLANSAHGYVGADLKVLCNEAGLCALRRILKKQPNLPDVKVAGLVKITLKDFLQAMNDIRPSAMREIAIDVPNVSWSDIGGLESIKLKLEQAVEWPLKHPESFIRMGIQPPKGVLLYGPPGCSKTMIAKALANESGLNFLAIKGPELMNKYVGESERAVRETFRKARAVAPSIIFFDELDALAVERGSSLGAGNVADRVLAQLLTEMDGIEQLKDVTILAATNRPDRIDKALMRPGRIDRIIYVPLPDAATRREIFKLQFHSMPVSNEVDLDELILQTDAYSGAEIVAVCREAALLALEEDIQANLIMKRHFTQALSTVTPRIPESLRRFYEDYQEKSGLHTL
+>DECOY_sp|Q8NB90|SPAT5_HUMAN Spermatogenesis-associated protein 5 OS=Homo sapiens OX=9606 GN=SPATA5 PE=1 SV=3
+LTHLGSKEQYDEYFRRLSEPIRPTVTSLAQTFHRKMILNAQIDEELALLAAERCVAVIEAGSYADTQLILEDLDVENSVPMSHFQLKFIERRTAADPLPVYIIRDIRGPRMLAKDIRDPRNTAALITVDKLQEIGDMETLLQALVRDAVNGAGLSSGREVALADLEDFFIISPAVARAKRFTERVARESEGVYKNMLEPGKIALFNLGSENALAKAIMTKSCGPPGYLLVGKPPQIGMRIFSEPHKLPWEVAQELKLKISELGGIDSWSVNPVDIAIERMASPRIDNMAQLFDKLTIKVLGAVKVDPLNPQKKLIRRLACLGAENCLVKLDAGVYGHASNALQLLEAETLLHPVRRLLKQLIDLRDQANPVGIEIEKDFRGPRRLAADLAHPRNTAGLVLVQGESVESGIGDMLTLLSAVVRKEVENQAGERKPCLADLEDIFIISPHRLTAEAFIQRLKAETEGYFKSIIEPGNIVSVYAGVENAVARAIMTKGTGPPGYLLVGRPAPIGYSKFLEPQKLPLEIIERIAKLQSSLGGIMDYTVKFQNDQEKSNKDIETFNVRTTSSIFYFTDTNCKAGISFKLLREEETLQENGERASEFNCKLGTVEELMLGSGDGPSQTVDLLVDSAKNTIRDDIPKYPTSRSTPIQTDELDLQSLQLSLELSSTEMSSQEFAMRQADTDSDSQPGGLIMGDAGKVRLVQLKYPRGYFTCYLFNGPLVIKGDLKRLICGTLEEENIEMDKDSLAVDMEEAQLVAGVLPQVQIADGPRVGVNKQAMESLGVKGGPFGAMPWATYVEQKGNLSTLLVPRGICINASKMTNLGLHILSNQFTKPIKDDVKELLNTVTLTGSTAAFDSGASPARAEACSSAASPLSSGNEASQNLRKRNKKSSM
+>sp|Q6RVD6|SPAT8_HUMAN Spermatogenesis-associated protein 8 OS=Homo sapiens OX=9606 GN=SPATA8 PE=1 SV=1
+MAPAGMSGAQDNSCLYQEIAPSFQRLPCPRTSSRHFSEAMTCPCGWRPFKGGPGGLKGPVWPAKEENSCSHGRIQRVQRRRVPSASPLIQKINRRSVLFHPYCWS
+>DECOY_sp|Q6RVD6|SPAT8_HUMAN Spermatogenesis-associated protein 8 OS=Homo sapiens OX=9606 GN=SPATA8 PE=1 SV=1
+SWCYPHFLVSRRNIKQILPSASPVRRRQVRQIRGHSCSNEEKAPWVPGKLGGPGGKFPRWGCPCTMAESFHRSSTRPCPLRQFSPAIEQYLCSNDQAGSMGAPAM
+>sp|Q8NBT2|SPC24_HUMAN Kinetochore protein Spc24 OS=Homo sapiens OX=9606 GN=SPC24 PE=1 SV=2
+MAAFRDIEEVSQGLLSLLGANRAEAQQRRLLGRHEQVVERLLETQDGAEKQLREILTMEKEVAQSLLNAKEQVHQGGVELQQLEAGLQEAGEEDTRLKASLLYLTRELEELKEIEADLERQEKEVDEDTTVTIPSAVYVAQLYHQVSKIEWDYECEPGMVKGIHHGPSVAQPIHLDSTQLSRKFISDYLWSLVDTEW
+>DECOY_sp|Q8NBT2|SPC24_HUMAN Kinetochore protein Spc24 OS=Homo sapiens OX=9606 GN=SPC24 PE=1 SV=2
+WETDVLSWLYDSIFKRSLQTSDLHIPQAVSPGHHIGKVMGPECEYDWEIKSVQHYLQAVYVASPITVTTDEDVEKEQRELDAEIEKLEELERTLYLLSAKLRTDEEGAEQLGAELQQLEVGGQHVQEKANLLSQAVEKEMTLIERLQKEAGDQTELLREVVQEHRGLLRRQQAEARNAGLLSLLGQSVEEIDRFAAM
+>sp|Q9Y6A9|SPCS1_HUMAN Signal peptidase complex subunit 1 OS=Homo sapiens OX=9606 GN=SPCS1 PE=1 SV=4
+MLEHLSSLPTQMDYKGQKLAEQMFQGIILFSAIVGFIYGYVAEQFGWTVYIVMAGFAFSCLLTLPPWPIYRRHPLKWLPVQESSTDDKKPGERKIKRHAKNN
+>DECOY_sp|Q9Y6A9|SPCS1_HUMAN Signal peptidase complex subunit 1 OS=Homo sapiens OX=9606 GN=SPCS1 PE=1 SV=4
+NNKAHRKIKREGPKKDDTSSEQVPLWKLPHRRYIPWPPLTLLCSFAFGAMVIYVTWGFQEAVYGYIFGVIASFLIIGQFMQEALKQGKYDMQTPLSSLHELM
+>sp|Q15005|SPCS2_HUMAN Signal peptidase complex subunit 2 OS=Homo sapiens OX=9606 GN=SPCS2 PE=1 SV=3
+MAAAAVQGGRSGGSGGCSGAGGASNCGTGSGRSGLLDKWKIDDKPVKIDKWDGSAVKNSLDDSAKKVLLEKYKYVENFGLIDGRLTICTISCFFAIVALIWDYMHPFPESKPVLALCVISYFVMMGILTIYTSYKEKSIFLVAHRKDPTGMDPDDIWQLSSSLKRFDDKYTLKLTFISGRTKQQREAEFTKSIAKFFDHSGTLVMDAYEPEISRLHDSLAIERKIK
+>DECOY_sp|Q15005|SPCS2_HUMAN Signal peptidase complex subunit 2 OS=Homo sapiens OX=9606 GN=SPCS2 PE=1 SV=3
+KIKREIALSDHLRSIEPEYADMVLTGSHDFFKAISKTFEAERQQKTRGSIFTLKLTYKDDFRKLSSSLQWIDDPDMGTPDKRHAVLFISKEKYSTYITLIGMMVFYSIVCLALVPKSEPFPHMYDWILAVIAFFCSITCITLRGDILGFNEVYKYKELLVKKASDDLSNKVASGDWKDIKVPKDDIKWKDLLGSRGSGTGCNSAGGAGSCGGSGGSRGGQVAAAAM
+>sp|Q9HD40|SPCS_HUMAN O-phosphoseryl-tRNA(Sec) selenium transferase OS=Homo sapiens OX=9606 GN=SEPSECS PE=1 SV=2
+MNRESFAAGERLVSPAYVRQGCEARRSHEHLIRLLLEKGKCPENGWDESTLELFLHELAIMDSNNFLGNCGVGEREGRVASALVARRHYRFIHGIGRSGDISAVQPKAAGSSLLNKITNSLVLDIIKLAGVHTVANCFVVPMATGMSLTLCFLTLRHKRPKAKYIIWPRIDQKSCFKSMITAGFEPVVIENVLEGDELRTDLKAVEAKVQELGPDCILCIHSTTSCFAPRVPDRLEELAVICANYDIPHIVNNAYGVQSSKCMHLIQQGARVGRIDAFVQSLDKNFMVPVGGAIIAGFNDSFIQEISKMYPGRASASPSLDVLITLLSLGSNGYKKLLKERKEMFSYLSNQIKKLSEAYNERLLHTPHNPISLAMTLKTLDEHRDKAVTQLGSMLFTRQVSGARVVPLGSMQTVSGYTFRGFMSHTNNYPCAYLNAASAIGMKMQDVDLFIKRLDRCLKAVRKERSKESDDNYDKTEDVDIEEMALKLDNVLLDTYQDASS
+>DECOY_sp|Q9HD40|SPCS_HUMAN O-phosphoseryl-tRNA(Sec) selenium transferase OS=Homo sapiens OX=9606 GN=SEPSECS PE=1 SV=2
+SSADQYTDLLVNDLKLAMEEIDVDETKDYNDDSEKSREKRVAKLCRDLRKIFLDVDQMKMGIASAANLYACPYNNTHSMFGRFTYGSVTQMSGLPVVRAGSVQRTFLMSGLQTVAKDRHEDLTKLTMALSIPNHPTHLLRENYAESLKKIQNSLYSFMEKREKLLKKYGNSGLSLLTILVDLSPSASARGPYMKSIEQIFSDNFGAIIAGGVPVMFNKDLSQVFADIRGVRAGQQILHMCKSSQVGYANNVIHPIDYNACIVALEELRDPVRPAFCSTTSHICLICDPGLEQVKAEVAKLDTRLEDGELVNEIVVPEFGATIMSKFCSKQDIRPWIIYKAKPRKHRLTLFCLTLSMGTAMPVVFCNAVTHVGALKIIDLVLSNTIKNLLSSGAAKPQVASIDGSRGIGHIFRYHRRAVLASAVRGEREGVGCNGLFNNSDMIALEHLFLELTSEDWGNEPCKGKELLLRILHEHSRRAECGQRVYAPSVLREGAAFSERNM
+>sp|Q495Y8|SPDE2_HUMAN Speedy protein E2 OS=Homo sapiens OX=9606 GN=SPDYE2 PE=1 SV=2
+MDRTETRFRKRGQITGKITTSRQPHPQNEQSPQRSTSGYPLQEVVDDEMLGPSAPGVDPSPPCRSLGWKRKREWSDESEEEPEKELAPEPEETWVVEMLCGLKMKLKQQRVSPILPEHHKDFNSQLAPGVDPSPPHRSFCWKRKMEWWDESEESLEEEPRKVLAPEPEEIWVAEMLCGLKMKLKRRRVSLVLPEHHEAFNRLLEDPVIKRFLAWDKDLRVSDKYLLAMVIAYFSRAGFPSWQYQRIHFFLALYLANDMEEDDEDSKQNIFHFLYRKNRSRIPLLRKPWFQLGHSMNPRARKNRSRIPLLRKRRFQLYRSTNPRARKNRSRIPLLRKRRFQLYRSMNSRARKNRSQIVLFQKRRFHFFCSMSCRAWVSPEELEEIQAYDPEHWVWARDRAHLS
+>DECOY_sp|Q495Y8|SPDE2_HUMAN Speedy protein E2 OS=Homo sapiens OX=9606 GN=SPDYE2 PE=1 SV=2
+SLHARDRAWVWHEPDYAQIEELEEPSVWARCSMSCFFHFRRKQFLVIQSRNKRARSNMSRYLQFRRKRLLPIRSRNKRARPNTSRYLQFRRKRLLPIRSRNKRARPNMSHGLQFWPKRLLPIRSRNKRYLFHFINQKSDEDDEEMDNALYLALFFHIRQYQWSPFGARSFYAIVMALLYKDSVRLDKDWALFRKIVPDELLRNFAEHHEPLVLSVRRRKLKMKLGCLMEAVWIEEPEPALVKRPEEELSEESEDWWEMKRKWCFSRHPPSPDVGPALQSNFDKHHEPLIPSVRQQKLKMKLGCLMEVVWTEEPEPALEKEPEEESEDSWERKRKWGLSRCPPSPDVGPASPGLMEDDVVEQLPYGSTSRQPSQENQPHPQRSTTIKGTIQGRKRFRTETRDM
+>sp|O95238|SPDEF_HUMAN SAM pointed domain-containing Ets transcription factor OS=Homo sapiens OX=9606 GN=SPDEF PE=1 SV=1
+MGSASPGLSSVSPSHLLLPPDTVSRTGLEKAAAGAVGLERRDWSPSPPATPEQGLSAFYLSYFDMLYPEDSSWAAKAPGASSREEPPEEPEQCPVIDSQAPAGSLDLVPGGLTLEEHSLEQVQSMVVGEVLKDIETACKLLNITADPMDWSPSNVQKWLLWTEHQYRLPPMGKAFQELAGKELCAMSEEQFRQRSPLGGDVLHAHLDIWKSAAWMKERTSPGAIHYCASTSEESWTDSEVDSSCSGQPIHLWQFLKELLLKPHSYGRFIRWLNKEKGIFKIEDSAQVARLWGIRKNRPAMNYDKLSRSIRQYYKKGIIRKPDISQRLVYQFVHPI
+>DECOY_sp|O95238|SPDEF_HUMAN SAM pointed domain-containing Ets transcription factor OS=Homo sapiens OX=9606 GN=SPDEF PE=1 SV=1
+IPHVFQYVLRQSIDPKRIIGKKYYQRISRSLKDYNMAPRNKRIGWLRAVQASDEIKFIGKEKNLWRIFRGYSHPKLLLEKLFQWLHIPQGSCSSDVESDTWSEESTSACYHIAGPSTREKMWAASKWIDLHAHLVDGGLPSRQRFQEESMACLEKGALEQFAKGMPPLRYQHETWLLWKQVNSPSWDMPDATINLLKCATEIDKLVEGVVMSQVQELSHEELTLGGPVLDLSGAPAQSDIVPCQEPEEPPEERSSAGPAKAAWSSDEPYLMDFYSLYFASLGQEPTAPPSPSWDRRELGVAGAAAKELGTRSVTDPPLLLHSPSVSSLGPSASGM
+>sp|Q96EA4|SPDLY_HUMAN Protein Spindly OS=Homo sapiens OX=9606 GN=SPDL1 PE=1 SV=2
+MEADIITNLRCRLKEAEEERLKAAQYGLQLVESQNELQNQLDKCRNEMMTMTESYEQEKYTLQREVELKSRMLESLSCECEAIKQQQKMHLEKLEEQLSRSHGQEVNELKTKIEKLKVELDEARLSEKQLKHQVDHQKELLSCKSEELRVMSERVQESMSSEMLALQIELTEMESMKTTLKEEVNELQYRQEQLELLITNLMRQVDRLKEEKEEREKEAVSYYNALEKARVANQDLQVQLDQALQQALDPNSKGNSLFAEVEDRRAAMERQLISMKVKYQSLKKQNVFNREQMQRMKLQIATLLQMKGSQTEFEQQERLLAMLEQKNGEIKHLLGEIRNLEKFKNLYDSMESKPSVDSGTLEDNTYYTDLLQMKLDNLNKEIESTKGELSIQRMKALFESQRALDIERKLFANERCLQLSESENMKLRAKLDELKLKYEPEETVEVPVLKKRREVLPVDITTAKDACVNNSALGGEVYRLPPQKEETQSCPNSLEDNNLQLEKSVSIYTPVVSLSPHKNLPVDMQLKKEKKCVKLIGVPADAEALSERSGNTPNSPRLAAESKLQTEVKEGKETSSKLEKETCKKLHPILYVSSKSTPETQCPQQ
+>DECOY_sp|Q96EA4|SPDLY_HUMAN Protein Spindly OS=Homo sapiens OX=9606 GN=SPDL1 PE=1 SV=2
+QQPCQTEPTSKSSVYLIPHLKKCTEKELKSSTEKGEKVETQLKSEAALRPSNPTNGSRESLAEADAPVGILKVCKKEKKLQMDVPLNKHPSLSVVPTYISVSKELQLNNDELSNPCSQTEEKQPPLRYVEGGLASNNVCADKATTIDVPLVERRKKLVPVEVTEEPEYKLKLEDLKARLKMNESESLQLCRENAFLKREIDLARQSEFLAKMRQISLEGKTSEIEKNLNDLKMQLLDTYYTNDELTGSDVSPKSEMSDYLNKFKELNRIEGLLHKIEGNKQELMALLREQQEFETQSGKMQLLTAIQLKMRQMQERNFVNQKKLSQYKVKMSILQREMAARRDEVEAFLSNGKSNPDLAQQLAQDLQVQLDQNAVRAKELANYYSVAEKEREEKEEKLRDVQRMLNTILLELQEQRYQLENVEEKLTTKMSEMETLEIQLALMESSMSEQVRESMVRLEESKCSLLEKQHDVQHKLQKESLRAEDLEVKLKEIKTKLENVEQGHSRSLQEELKELHMKQQQKIAECECSLSELMRSKLEVERQLTYKEQEYSETMTMMENRCKDLQNQLENQSEVLQLGYQAAKLREEEAEKLRCRLNTIIDAEM
+>sp|Q5MJ68|SPDYC_HUMAN Speedy protein C OS=Homo sapiens OX=9606 GN=SPDYC PE=1 SV=1
+MLWAIPELGSPCPISISYEMSDSQDPTTSPVVTTQVELGGCSRQGGGNGFLRFRQHQEVQAFLSLLEDSFVQEFLSKDPCFQISDKYLLAMVLVYFQRAHLKLSEYTHSSLFLALYLANDMEEDLEGPKCEIFPWALGKDWCLRVGKFLHQRDKLWARMGFRAVVSRQCCEEVMAKEPFHWAWTRDRRPHHGGVQRVCPQVPVRLPRGPGLSPPHCSPCGLPQHCSSHLLKPVSSKCPSLTSECHRPPSQNYLSRVKNAWGGDFLIVLPPQMQLEPGTYSLRIFPKPPARPGH
+>DECOY_sp|Q5MJ68|SPDYC_HUMAN Speedy protein C OS=Homo sapiens OX=9606 GN=SPDYC PE=1 SV=1
+HGPRAPPKPFIRLSYTGPELQMQPPLVILFDGGWANKVRSLYNQSPPRHCESTLSPCKSSVPKLLHSSCHQPLGCPSCHPPSLGPGRPLRVPVQPCVRQVGGHHPRRDRTWAWHFPEKAMVEECCQRSVVARFGMRAWLKDRQHLFKGVRLCWDKGLAWPFIECKPGELDEEMDNALYLALFLSSHTYESLKLHARQFYVLVMALLYKDSIQFCPDKSLFEQVFSDELLSLFAQVEQHQRFRLFGNGGGQRSCGGLEVQTTVVPSTTPDQSDSMEYSISIPCPSGLEPIAWLM
+>sp|P17947|SPI1_HUMAN Transcription factor PU.1 OS=Homo sapiens OX=9606 GN=SPI1 PE=1 SV=2
+MLQACKMEGFPLVPPPSEDLVPYDTDLYQRQTHEYYPYLSSDGESHSDHYWDFHPHHVHSEFESFAENNFTELQSVQPPQLQQLYRHMELEQMHVLDTPMVPPHPSLGHQVSYLPRMCLQYPSLSPAQPSSDEEEGERQSPPLEVSDGEADGLEPGPGLLPGETGSKKKIRLYQFLLDLLRSGDMKDSIWWVDKDKGTFQFSSKHKEALAHRWGIQKGNRKKMTYQKMARALRNYGKTGEVKKVKKKLTYQFSGEVLGRGGLAERRHPPH
+>DECOY_sp|P17947|SPI1_HUMAN Transcription factor PU.1 OS=Homo sapiens OX=9606 GN=SPI1 PE=1 SV=2
+HPPHRREALGGRGLVEGSFQYTLKKKVKKVEGTKGYNRLARAMKQYTMKKRNGKQIGWRHALAEKHKSSFQFTGKDKDVWWISDKMDGSRLLDLLFQYLRIKKKSGTEGPLLGPGPELGDAEGDSVELPPSQREGEEEDSSPQAPSLSPYQLCMRPLYSVQHGLSPHPPVMPTDLVHMQELEMHRYLQQLQPPQVSQLETFNNEAFSEFESHVHHPHFDWYHDSHSEGDSSLYPYYEHTQRQYLDTDYPVLDESPPPVLPFGEMKCAQLM
+>sp|Q9BPZ2|SPI2B_HUMAN Spindlin-2B OS=Homo sapiens OX=9606 GN=SPIN2B PE=1 SV=1
+MKTPNAQEAEGQQTRAAAGRATGSANMTKKKVSQKKQRGRPSSQPRRNIVGCRISHGWKEGDEPITQWKGTVLDQVPINPSLYLVKYDGIDCVYGLELHRDERVLSLKILSDRVASSHISDANLANTIIGKAVEHMFEGEHGSKDEWRGMVLAQAPIMKAWFYITYEKDPVLYMYQLLDDYKEGDLRIMPESSESPPTEREPGGVVDGLIGKHVEYTKEDGSKRIGMVIHQVEAKPSVYFIKFDDDFHIYVYDLVKKS
+>DECOY_sp|Q9BPZ2|SPI2B_HUMAN Spindlin-2B OS=Homo sapiens OX=9606 GN=SPIN2B PE=1 SV=1
+SKKVLDYVYIHFDDDFKIFYVSPKAEVQHIVMGIRKSGDEKTYEVHKGILGDVVGGPERETPPSESSEPMIRLDGEKYDDLLQYMYLVPDKEYTIYFWAKMIPAQALVMGRWEDKSGHEGEFMHEVAKGIITNALNADSIHSSAVRDSLIKLSLVREDRHLELGYVCDIGDYKVLYLSPNIPVQDLVTGKWQTIPEDGEKWGHSIRCGVINRRPQSSPRGRQKKQSVKKKTMNASGTARGAAARTQQGEAEQANPTKM
+>sp|Q01892|SPIB_HUMAN Transcription factor Spi-B OS=Homo sapiens OX=9606 GN=SPIB PE=1 SV=1
+MLALEAAQLDGPHFSCLYPDGVFYDLDSCKHSSYPDSEGAPDSLWDWTVAPPVPATPYEAFDPAAAAFSHPQAAQLCYEPPTYSPAGNLELAPSLEAPGPGLPAYPTENFASQTLVPPAYAPYPSPVLSEEEDLPLDSPALEVSDSESDEALVAGPEGKGSEAGTRKKLRLYQFLLGLLTRGDMRECVWWVEPGAGVFQFSSKHKELLARRWGQQKGNRKRMTYQKLARALRNYAKTGEIRKVKRKLTYQFDSALLPAVRRA
+>DECOY_sp|Q01892|SPIB_HUMAN Transcription factor Spi-B OS=Homo sapiens OX=9606 GN=SPIB PE=1 SV=1
+ARRVAPLLASDFQYTLKRKVKRIEGTKAYNRLARALKQYTMRKRNGKQQGWRRALLEKHKSSFQFVGAGPEVWWVCERMDGRTLLGLLFQYLRLKKRTGAESGKGEPGAVLAEDSESDSVELAPSDLPLDEEESLVPSPYPAYAPPVLTQSAFNETPYAPLGPGPAELSPALELNGAPSYTPPEYCLQAAQPHSFAAAAPDFAEYPTAPVPPAVTWDWLSDPAGESDPYSSHKCSDLDYFVGDPYLCSFHPGDLQAAELALM
+>sp|Q9Y657|SPIN1_HUMAN Spindlin-1 OS=Homo sapiens OX=9606 GN=SPIN1 PE=1 SV=3
+MKTPFGKTPGQRSRADAGHAGVSANMMKKRTSHKKHRSSVGPSKPVSQPRRNIVGCRIQHGWKEGNGPVTQWKGTVLDQVPVNPSLYLIKYDGFDCVYGLELNKDERVSALEVLPDRVATSRISDAHLADTMIGKAVEHMFETEDGSKDEWRGMVLARAPVMNTWFYITYEKDPVLYMYQLLDDYKEGDLRIMPDSNDSPPAEREPGEVVDSLVGKQVEYAKEDGSKRTGMVIHQVEAKPSVYFIKFDDDFHIYVYDLVKTS
+>DECOY_sp|Q9Y657|SPIN1_HUMAN Spindlin-1 OS=Homo sapiens OX=9606 GN=SPIN1 PE=1 SV=3
+STKVLDYVYIHFDDDFKIFYVSPKAEVQHIVMGTRKSGDEKAYEVQKGVLSDVVEGPEREAPPSDNSDPMIRLDGEKYDDLLQYMYLVPDKEYTIYFWTNMVPARALVMGRWEDKSGDETEFMHEVAKGIMTDALHADSIRSTAVRDPLVELASVREDKNLELGYVCDFGDYKILYLSPNVPVQDLVTGKWQTVPGNGEKWGHQIRCGVINRRPQSVPKSPGVSSRHKKHSTRKKMMNASVGAHGADARSRQGPTKGFPTKM
+>sp|Q56A73|SPIN4_HUMAN Spindlin-4 OS=Homo sapiens OX=9606 GN=SPIN4 PE=1 SV=1
+MSPPTVPPMGVDGVSAYLMKKRHTHRKQRRKPTFLTRRNIVGCRIQHGWKEGNEPVEQWKGTVLEQVSVKPTLYIIKYDGKDSVYGLELHRDKRVLALEILPERVPTPRIDSRLADSLIGKAVEHVFEGEHGTKDEWKGMVLARAPVMDTWFYITYEKDPVLYMYTLLDDYKDGDLRIIPDSNYYFPTAEQEPGEVVDSLVGKQVEHAKDDGSKRTGIFIHQVVAKPSVYFIKFDDDIHIYVYGLVKTP
+>DECOY_sp|Q56A73|SPIN4_HUMAN Spindlin-4 OS=Homo sapiens OX=9606 GN=SPIN4 PE=1 SV=1
+PTKVLGYVYIHIDDDFKIFYVSPKAVVQHIFIGTRKSGDDKAHEVQKGVLSDVVEGPEQEATPFYYNSDPIIRLDGDKYDDLLTYMYLVPDKEYTIYFWTDMVPARALVMGKWEDKTGHEGEFVHEVAKGILSDALRSDIRPTPVREPLIELALVRKDRHLELGYVSDKGDYKIIYLTPKVSVQELVTGKWQEVPENGEKWGHQIRCGVINRRTLFTPKRRQKRHTHRKKMLYASVGDVGMPPVTPPSM
+>sp|P49223|SPIT3_HUMAN Kunitz-type protease inhibitor 3 OS=Homo sapiens OX=9606 GN=SPINT3 PE=3 SV=3
+MQLQASLSFLLILTLCLELRSELARDTIKDLLPNVCAFPMEKGPCQTYMTRWFFNFETGECELFAYGGCGGNSNNFLRKEKCEKFCKFT
+>DECOY_sp|P49223|SPIT3_HUMAN Kunitz-type protease inhibitor 3 OS=Homo sapiens OX=9606 GN=SPINT3 PE=3 SV=3
+TFKCFKECKEKRLFNNSNGGCGGYAFLECEGTEFNFFWRTMYTQCPGKEMPFACVNPLLDKITDRALESRLELCLTLILLFSLSAQLQM
+>sp|Q2M3C7|SPKAP_HUMAN A-kinase anchor protein SPHKAP OS=Homo sapiens OX=9606 GN=SPHKAP PE=1 SV=1
+MDGNSLLSVPSNLESSRMYDVLEPQQGRGCGSSGSGPGNSITACKKVLRSNSLLESTDYWLQNQRMPCQIGFVEDKSENCASVCFVNLDVNKDECSTEHLQQKLVNVSPDLPKLISSMNVQQPKENEIVVLSGLASGNLQADFEVSQCPWLPDICLVQCARGNRPNSTNCIIFEINKFLIGLELVQERQLHLETNILKLEDDTNCSLSSIEEDFLTASEHLEEESEVDESRNDYENINVSANVLESKQLKGATQVEWNCNKEKWLYALEDKYINKYPTPLIKTERSPENLTKNTALQSLDPSAKPSQWKREAVGNGRQATHYYHSEAFKGQMEKSQALYIPKDAYFSMMDKDVPSACAVAEQRSNLNPGDHEDTRNALPPRQDGEVTTGKYATNLAESVLQDAFIRLSQSQSTLPQESAVSVSVGSSLLPSCYSTKDTVVSRSWNELPKIVVVQSPDGSDAAPQPGISSWPEMEVSVETSSILSGENSSRQPQSALEVALACAATVIGTISSPQATERLKMEQVVSNFPPGSSGALQTQAPQGLKEPSINEYSFPSALCGMTQVASAVAVCGLGEREEVTCSVAPSGSLPPAAEASEAMPPLCGLASMELGKEAIAKGLLKEAALVLTRPNTYSSIGDFLDSMNRRIMETASKSQTLCSENVVRNELAHTLSNVILRHSIDEVHHKNMIIDPNDNRHSSEILDTLMESTNQLLLDVICFTFKKMSHIVRLGECPAVLSKETIRRRETEPSCQPSDPGASQAWTKATESSSSSPLSNSHNTSLVINNLVDGMYSKQDKGGVRPGLFKNPTLQSQLSRSHRVPDSSTATTSSKEIYLKGIAGEDTKSPHHSENECRASSEGQRSPTVSQSRSGSQEAEESIHPNTQEKYNCATSRINEVQVNLSLLGDDLLLPAQSTLQTKHPDIYCITDFAEELADTVVSMATEIAAICLDNSSGKQPWFCAWKRGSEFLMTPNVPCRSLKRKKESQGSGTAVRKHKPPRLSEIKRKTDEHPELKEKLMNRVVDESMNLEDVPDSVNLFANEVAAKIMNLTEFSMVDGMWQAQGYPRNRLLSGDRWSRLKASSCESIPEEDSEARAYVNSLGLMSTLSQPVSRASSVSKQSSCESITDEFSRFMVNQMENEGRGFELLLDYYAGKNASSILNSAMQQACRKSDHLSVRPSCPSKQSSTESITEEFYRYMLRDIERDSRESASSRRSSQDWTAGLLSPSLRSPVCHRQSSMPDSRSPCSRLTVNVPIKANSLDGFAQNCPQDFLSVQPVSSASSSGLCKSDSCLYRRGGTDHITNMLIHETWASSIEALMRKNKIIVDDAEEADTEPVSGGSPSQAEKCANRLAASRMCSGPTLLVQESLDCPRKDSVTECKQPPVSSLSKTASLTNHSPLDSKKETSSCQDPVPINHKRRSLCSREVPLIQIETDQREACAGEPEPFLSKSSLLEEAEGHSNDKNIPDVVRGGDTAVSACQIHSDSLDTRDVPEAEASTEARAPDEAPNPPSSSEESTGSWTQLANEEDNPDDTSSFLQLSERSMSNGNSSATSSLGIMDLDIYQESMPSSPMINELVEEKKILKGQSESTEAPASGPPTGTASPQRSLLVINFDLEPECPDAELRATLQWIAASELGIPTIYFKKSQENRIEKFLDVVQLVHRKSWKVGDIFHAVVQYCKMHEEQKDGRLSLFDWLLELG
+>DECOY_sp|Q2M3C7|SPKAP_HUMAN A-kinase anchor protein SPHKAP OS=Homo sapiens OX=9606 GN=SPHKAP PE=1 SV=1
+GLELLWDFLSLRGDKQEEHMKCYQVVAHFIDGVKWSKRHVLQVVDLFKEIRNEQSKKFYITPIGLESAAIWQLTARLEADPCEPELDFNIVLLSRQPSATGTPPGSAPAETSESQGKLIKKEEVLENIMPSSPMSEQYIDLDMIGLSSTASSNGNSMSRESLQLFSSTDDPNDEENALQTWSGTSEESSSPPNPAEDPARAETSAEAEPVDRTDLSDSHIQCASVATDGGRVVDPINKDNSHGEAEELLSSKSLFPEPEGACAERQDTEIQILPVERSCLSRRKHNIPVPDQCSSTEKKSDLPSHNTLSATKSLSSVPPQKCETVSDKRPCDLSEQVLLTPGSCMRSAALRNACKEAQSPSGGSVPETDAEEADDVIIKNKRMLAEISSAWTEHILMNTIHDTGGRRYLCSDSKCLGSSSASSVPQVSLFDQPCNQAFGDLSNAKIPVNVTLRSCPSRSDPMSSQRHCVPSRLSPSLLGATWDQSSRRSSASERSDREIDRLMYRYFEETISETSSQKSPCSPRVSLHDSKRCAQQMASNLISSANKGAYYDLLLEFGRGENEMQNVMFRSFEDTISECSSQKSVSSARSVPQSLTSMLGLSNVYARAESDEEPISECSSAKLRSWRDGSLLRNRPYGQAQWMGDVMSFETLNMIKAAVENAFLNVSDPVDELNMSEDVVRNMLKEKLEPHEDTKRKIESLRPPKHKRVATGSGQSEKKRKLSRCPVNPTMLFESGRKWACFWPQKGSSNDLCIAAIETAMSVVTDALEEAFDTICYIDPHKTQLTSQAPLLLDDGLLSLNVQVENIRSTACNYKEQTNPHISEEAEQSGSRSQSVTPSRQGESSARCENESHHPSKTDEGAIGKLYIEKSSTTATSSDPVRHSRSLQSQLTPNKFLGPRVGGKDQKSYMGDVLNNIVLSTNHSNSLPSSSSSETAKTWAQSAGPDSPQCSPETERRRITEKSLVAPCEGLRVIHSMKKFTFCIVDLLLQNTSEMLTDLIESSHRNDNPDIIMNKHHVEDISHRLIVNSLTHALENRVVNESCLTQSKSATEMIRRNMSDLFDGISSYTNPRTLVLAAEKLLGKAIAEKGLEMSALGCLPPMAESAEAAPPLSGSPAVSCTVEEREGLGCVAVASAVQTMGCLASPFSYENISPEKLGQPAQTQLAGSSGPPFNSVVQEMKLRETAQPSSITGIVTAACALAVELASQPQRSSNEGSLISSTEVSVEMEPWSSIGPQPAADSGDPSQVVVIKPLENWSRSVVTDKTSYCSPLLSSGVSVSVASEQPLTSQSQSLRIFADQLVSEALNTAYKGTTVEGDQRPPLANRTDEHDGPNLNSRQEAVACASPVDKDMMSFYADKPIYLAQSKEMQGKFAESHYYHTAQRGNGVAERKWQSPKASPDLSQLATNKTLNEPSRETKILPTPYKNIYKDELAYLWKEKNCNWEVQTAGKLQKSELVNASVNINEYDNRSEDVESEEELHESATLFDEEISSLSCNTDDELKLINTELHLQREQVLELGILFKNIEFIICNTSNPRNGRACQVLCIDPLWPCQSVEFDAQLNGSALGSLVVIENEKPQQVNMSSILKPLDPSVNVLKQQLHETSCEDKNVDLNVFCVSACNESKDEVFGIQCPMRQNQLWYDTSELLSNSRLVKKCATISNGPGSGSSGCGRGQQPELVDYMRSSELNSPVSLLSNGDM
+>sp|Q8N9U9|SPOT1_HUMAN Putative uncharacterized protein SPANXA2-OT1 OS=Homo sapiens OX=9606 GN=SPANXA2-OT1 PE=5 SV=1
+MASPFGRLTDQKGRGHPAGSGGVEVNGGSARAAFSGGGRRVLSGGGRTAFGGGGRTAFGDGGRTAFGVGGRTAFGGGGRTAFGGGGRTAFGVGGRTAFGGGERVSLLSPGWSALARSWLTASSASRVQAILLPQPPE
+>DECOY_sp|Q8N9U9|SPOT1_HUMAN Putative uncharacterized protein SPANXA2-OT1 OS=Homo sapiens OX=9606 GN=SPANXA2-OT1 PE=5 SV=1
+EPPQPLLIAQVRSASSATLWSRALASWGPSLLSVREGGGFATRGGVGFATRGGGGFATRGGGGFATRGGVGFATRGGDGFATRGGGGFATRGGGSLVRRGGGSFAARASGGNVEVGGSGAPHGRGKQDTLRGFPSAM
+>sp|Q5MJ10|SPXN2_HUMAN Sperm protein associated with the nucleus on the X chromosome N2 OS=Homo sapiens OX=9606 GN=SPANXN2 PE=1 SV=1
+MEQPTSSTNGEKRKSPCESNNKKNDEMQEAPNRVLAPKQSLQKTKTIEYLTIIVYYYRKHTKINSNQLEKDQSRENSINPVQEEEDEGLDSAEGSSQEDEDLDSSEGSSQEDEDLDSSEGSSQEDEDLDSSEGSSQEDEDLDSSEGSSQEDEDLDPPEGSSQEDEDLDSSEGSSQEGGED
+>DECOY_sp|Q5MJ10|SPXN2_HUMAN Sperm protein associated with the nucleus on the X chromosome N2 OS=Homo sapiens OX=9606 GN=SPANXN2 PE=1 SV=1
+DEGGEQSSGESSDLDEDEQSSGEPPDLDEDEQSSGESSDLDEDEQSSGESSDLDEDEQSSGESSDLDEDEQSSGESSDLDEDEQSSGEASDLGEDEEEQVPNISNERSQDKELQNSNIKTHKRYYYVIITLYEITKTKQLSQKPALVRNPAEQMEDNKKNNSECPSKRKEGNTSSTPQEM
+>sp|Q8NEQ6|SRARP_HUMAN Steroid receptor-associated and regulated protein OS=Homo sapiens OX=9606 GN=SRARP PE=1 SV=1
+MAPSEDPRDWRANLKGTIRETGLETSSGGKLAGHQKTVPTAHLTFVIDCTHGKQLSLAATASPPQAPSPNRGLVTPPMKTYIVFCGENWPHLTRVTPMGGGCLAQARATLPLCRGSVASASFPVSPLCPQEVPEAKGKPVKAAPVRSSTWGTVKDSLKALSSCVCGQAD
+>DECOY_sp|Q8NEQ6|SRARP_HUMAN Steroid receptor-associated and regulated protein OS=Homo sapiens OX=9606 GN=SRARP PE=1 SV=1
+DAQGCVCSSLAKLSDKVTGWTSSRVPAAKVPKGKAEPVEQPCLPSVPFSASAVSGRCLPLTARAQALCGGGMPTVRTLHPWNEGCFVIYTKMPPTVLGRNPSPAQPPSATAALSLQKGHTCDIVFTLHATPVTKQHGALKGGSSTELGTERITGKLNARWDRPDESPAM
+>sp|P0DJJ0|SRG2C_HUMAN SLIT-ROBO Rho GTPase-activating protein 2C OS=Homo sapiens OX=9606 GN=SRGAP2C PE=1 SV=1
+MTSPAKFKKDKEIIAEYDTQVKEIRAQLTEQMKCLDQQCELRVQLLQDLQDFFRKKAEIEMDYSRNLEKLAEHFLAKTRSTKDQQFKKDQNVLSPVNCWNLLLNQVKWESRDHTTLSDIYLNNIIPRFVQVSEDSGRLFKKSKEVGQQLQDDLMKVLNELYSVMKTYHMYNADSISAQSKLKEAEKQEEKQIGKSVKQEDRQTPCSPDSTANVRIEEKHVRRSSVKKIEKMKEKHQAKYTENKLKAIKAQNEYLLALEATNASVFKYYIHDLSDLIDQCCDLGYHASLNRALRTFLSAELNLEQSKHEGLDAIENAVENLDATSDKQRLMEMYNNVFCPPMKFEFQPHMGDMASQLCAQQPVQSELVQRCQQLQSRLSTLKIENEEVKKTMEATLQTIQDIVTVEDFDVSDCFQYSNSMESVKSTVSETFMSKPSIAKRRANQQETEQFYFTVRECYGF
+>DECOY_sp|P0DJJ0|SRG2C_HUMAN SLIT-ROBO Rho GTPase-activating protein 2C OS=Homo sapiens OX=9606 GN=SRGAP2C PE=1 SV=1
+FGYCERVTFYFQETEQQNARRKAISPKSMFTESVTSKVSEMSNSYQFCDSVDFDEVTVIDQITQLTAEMTKKVEENEIKLTSLRSQLQQCRQVLESQVPQQACLQSAMDGMHPQFEFKMPPCFVNNYMEMLRQKDSTADLNEVANEIADLGEHKSQELNLEASLFTRLARNLSAHYGLDCCQDILDSLDHIYYKFVSANTAELALLYENQAKIAKLKNETYKAQHKEKMKEIKKVSSRRVHKEEIRVNATSDPSCPTQRDEQKVSKGIQKEEQKEAEKLKSQASISDANYMHYTKMVSYLENLVKMLDDQLQQGVEKSKKFLRGSDESVQVFRPIINNLYIDSLTTHDRSEWKVQNLLLNWCNVPSLVNQDKKFQQDKTSRTKALFHEALKELNRSYDMEIEAKKRFFDQLDQLLQVRLECQQDLCKMQETLQARIEKVQTDYEAIIEKDKKFKAPSTM
+>sp|Q8IYB3|SRRM1_HUMAN Serine/arginine repetitive matrix protein 1 OS=Homo sapiens OX=9606 GN=SRRM1 PE=1 SV=2
+MDAGFFRGTSAEQDNRFSNKQKKLLKQLKFAECLEKKVDMSKVNLEVIKPWITKRVTEILGFEDDVVIEFIFNQLEVKNPDSKMMQINLTGFLNGKNAREFMGELWPLLLSAQENIAGIPSAFLELKKEEIKQRQIEQEKLASMKKQDEDKDKRDKEEKESSREKRERSRSPRRRKSRSPSPRRRSSPVRRERKRSHSRSPRHRTKSRSPSPAPEKKEKTPELPEPSVKVKEPSVQEATSTSDILKVPKPEPIPEPKEPSPEKNSKKEKEKEKTRPRSRSRSKSRSRTRSRSPSHTRPRRRHRSRSRSYSPRRRPSPRRRPSPRRRTPPRRMPPPPRHRRSRSPVRRRRRSSASLSGSSSSSSSSRSRSPPKKPPKRTSSPPRKTRRLSPSASPPRRRHRPSPPATPPPKTRHSPTPQQSNRTRKSRVSVSPGRTSGKVTKHKGTEKRESPSPAPKPRKVELSESEEDKGGKMAAADSVQQRRQYRRQNQQSSSDSGSSSSSEDERPKRSHVKNGEVGRRRRHSPSRSASPSPRKRQKETSPRGRRRRSPSPPPTRRRRSPSPAPPPRRRRTPTPPPRRRTPSPPPRRRSPSPRRYSPPIQRRYSPSPPPKRRTASPPPPPKRRASPSPPPKRRVSHSPPPKQRSSPVTKRRSPSLSSKHRKGSSPSRSTREARSPQPNKRHSPSPRPRAPQTSSSPPPVRRGASSSPQRRQSPSPSTRPIRRVSRTPEPKKIKKAASPSPQSVRRVSSSRSVSGSPEPAAKKPPAPPSPVQSQSPSTNWSPAVPVKKAKSPTPSPSPPRNSDQEGGGKKKKKKKDKKHKKDKKHKKHKKHKKEKAVAAAAAAAVTPAAIAAATTTLAQEEPVAAPEPKKETESEAEDNLDDLEKHLREKALRSMRKAQVSPQS
+>DECOY_sp|Q8IYB3|SRRM1_HUMAN Serine/arginine repetitive matrix protein 1 OS=Homo sapiens OX=9606 GN=SRRM1 PE=1 SV=2
+SQPSVQAKRMSRLAKERLHKELDDLNDEAESETEKKPEPAAVPEEQALTTTAAAIAAPTVAAAAAAAVAKEKKHKKHKKHKKDKKHKKDKKKKKKKGGGEQDSNRPPSPSPTPSKAKKVPVAPSWNTSPSQSQVPSPPAPPKKAAPEPSGSVSRSSSVRRVSQPSPSAAKKIKKPEPTRSVRRIPRTSPSPSQRRQPSSSAGRRVPPPSSSTQPARPRPSPSHRKNPQPSRAERTSRSPSSGKRHKSSLSPSRRKTVPSSRQKPPPSHSVRRKPPPSPSARRKPPPPPSATRRKPPPSPSYRRQIPPSYRRPSPSRRRPPPSPTRRRPPPTPTRRRRPPPAPSPSRRRRTPPPSPSRRRRGRPSTEKQRKRPSPSASRSPSHRRRRGVEGNKVHSRKPREDESSSSSGSDSSSQQNQRRYQRRQQVSDAAAMKGGKDEESESLEVKRPKPAPSPSERKETGKHKTVKGSTRGPSVSVRSKRTRNSQQPTPSHRTKPPPTAPPSPRHRRRPPSASPSLRRTKRPPSSTRKPPKKPPSRSRSSSSSSSSGSLSASSRRRRRVPSRSRRHRPPPPMRRPPTRRRPSPRRRPSPRRRPSYSRSRSRHRRRPRTHSPSRSRTRSRSKSRSRSRPRTKEKEKEKKSNKEPSPEKPEPIPEPKPVKLIDSTSTAEQVSPEKVKVSPEPLEPTKEKKEPAPSPSRSKTRHRPSRSHSRKRERRVPSSRRRPSPSRSKRRRPSRSRERKERSSEKEEKDRKDKDEDQKKMSALKEQEIQRQKIEEKKLELFASPIGAINEQASLLLPWLEGMFERANKGNLFGTLNIQMMKSDPNKVELQNFIFEIVVDDEFGLIETVRKTIWPKIVELNVKSMDVKKELCEAFKLQKLLKKQKNSFRNDQEASTGRFFGADM
+>sp|Q9UQ35|SRRM2_HUMAN Serine/arginine repetitive matrix protein 2 OS=Homo sapiens OX=9606 GN=SRRM2 PE=1 SV=2
+MYNGIGLPTPRGSGTNGYVQRNLSLVRGRRGERPDYKGEEELRRLEAALVKRPNPDILDHERKRRVELRCLELEEMMEEQGYEEQQIQEKVATFRLMLLEKDVNPGGKEETPGQRPAVTETHQLAELNEKKNERLRAAFGISDSYVDGSSFDPQRRAREAKQPAPEPPKPYSLVRESSSSRSPTPKQKKKKKKKDRGRRSESSSPRRERKKSSKKKKHRSESESKKRKHRSPTPKSKRKSKDKKRKRSRSTTPAPKSRRAHRSTSADSASSSDTSRSRSRSAAAKTHTTALAGRSPSPASGRRGEGDAPFSEPGTTSTQRPSSPETATKQPSSPYEDKDKDKKEKSATRPSPSPERSSTGPEPPAPTPLLAERHGGSPQPLATTPLSQEPVNPPSEASPTRDRSPPKSPEKLPQSSSSESSPPSPQPTKVSRHASSSPESPKPAPAPGSHREISSSPTSKNRSHGRAKRDKSHSHTPSRRMGRSRSPATAKRGRSRSRTPTKRGHSRSRSPQWRRSRSAQRWGRSRSPQRRGRSRSPQRPGWSRSRNTQRRGRSRSARRGRSHSRSPATRGRSRSRTPARRGRSRSRTPARRRSRSRTPTRRRSRSRTPARRGRSRSRTPARRRSRTRSPVRRRSRSRSPARRSGRSRSRTPARRGRSRSRTPARRGRSRSRTPARRSGRSRSRTPARRGRSRSRTPRRGRSRSRSLVRRGRSHSRTPQRRGRSGSSSERKNKSRTSQRRSRSNSSPEMKKSRISSRRSRSLSSPRSKAKSRLSLRRSLSGSSPCPKQKSQTPPRRSRSGSSQPKAKSRTPPRRSRSSSSPPPKQKSKTPSRQSHSSSSPHPKVKSGTPPRQGSITSPQANEQSVTPQRRSCFESSPDPELKSRTPSRHSCSGSSPPRVKSSTPPRQSPSRSSSPQPKVKAIISPRQRSHSGSSSPSPSRVTSRTTPRRSRSVSPCSNVESRLLPRYSHSGSSSPDTKVKPETPPRQSHSGSISPYPKVKAQTPPGPSLSGSKSPCPQEKSKDSLVQSCPGSLSLCAGVKSSTPPGESYFGVSSLQLKGQSQTSPDHRSDTSSPEVRQSHSESPSLQSKSQTSPKGGRSRSSSPVTELASRSPIRQDRGEFSASPMLKSGMSPEQSRFQSDSSSYPTVDSNSLLGQSRLETAESKEKMALPPQEDATASPPRQKDKFSPFPVQDRPESSLVFKDTLRTPPRERSGAGSSPETKEQNSALPTSSQDEELMEVVEKSEEPAGQILSHLSSELKEMSTSNFESSPEVEERPAVSLTLDQSQSQASLEAVEVPSMASSWGGPHFSPEHKELSNSPLRENSFGSPLEFRNSGPLGTEMNTGFSSEVKEDLNGPFLNQLETDPSLDMKEQSTRSSGHSSSELSPDAVEKAGMSSNQSISSPVLDAVPRTPSRERSSSASSPEMKDGLPRTPSRRSRSGSSPGLRDGSGTPSRHSLSGSSPGMKDIPRTPSRGRSECDSSPEPKALPQTPRPRSRSPSSPELNNKCLTPQRERSGSESSVDQKTVARTPLGQRSRSGSSQELDVKPSASPQERSESDSSPDSKAKTRTPLRQRSRSGSSPEVDSKSRLSPRRSRSGSSPEVKDKPRAAPRAQSGSDSSPEPKAPAPRALPRRSRSGSSSKGRGPSPEGSSSTESSPEHPPKSRTARRGSRSSPEPKTKSRTPPRRRSSRSSPELTRKARLSRRSRSASSSPETRSRTPPRHRRSPSVSSPEPAEKSRSSRRRRSASSPRTKTTSRRGRSPSPKPRGLQRSRSRSRREKTRTTRRRDRSGSSQSTSRRRQRSRSRSRVTRRRRGGSGYHSRSPARQESSRTSSRRRRGRSRTPPTSRKRSRSRTSPAPWKRSRSRASPATHRRSRSRTPLISRRRSRSRTSPVSRRRSRSRTSVTRRRSRSRASPVSRRRSRSRTPPVTRRRSRSRTPTTRRRSRSRTPPVTRRRSRSRTPPVTRRRSRSRTSPITRRRSRSRTSPVTRRRSRSRTSPVTRRRSRSRTSPVTRRRSRSRTPPAIRRRSRSRTPLLPRKRSRSRSPLAIRRRSRSRTPRTARGKRSLTRSPPAIRRRSASGSSSDRSRSATPPATRNHSGSRTPPVALNSSRMSCFSRPSMSPTPLDRCRSPGMLEPLGSSRTPMSVLQQAGGSMMDGPGPRIPDHQRTSVPENHAQSRIALALTAISLGTARPPPSMSAAGLAARMSQVPAPVPLMSLRTAPAANLASRIPAASAAAMNLASARTPAIPTAVNLADSRTPAAAAAMNLASPRTAVAPSAVNLADPRTPTAPAVNLAGARTPAALAALSLTGSGTPPTAANYPSSSRTPQAPASANLVGPRSAHATAPVNIAGSRTAAALAPASLTSARMAPALSGANLTSPRVPLSAYERVSGRTSPPLLDRARSRTPPSAPSQSRMTSERAPSPSSRMGQAPSQSLLPPAQDQPRSPVPSAFSDQSRCLIAQTTPVAGSQSLSSGAVATTTSSAGDHNGMLSVPAPGVPHSDVGEPPASTGAQQPSALAALQPAKERRSSSSSSSSSSSSSSSSSSSSSSSSSGSSSSDSEGSSLPVQPEVALKRVPSPTPAPKEAVREGRPPEPTPAKRKRRSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSPSPAKPGPQALPKPASPKKPPPGERRSRSPRKPIDSLRDSRSLSYSPVERRRPSPQPSPRDQQSSSSERGSRRGQRGDSRSPSHKRRRETPSPRPMRHRSSRSP
+>DECOY_sp|Q9UQ35|SRRM2_HUMAN Serine/arginine repetitive matrix protein 2 OS=Homo sapiens OX=9606 GN=SRRM2 PE=1 SV=2
+PSRSSRHRMPRPSPTERRRKHSPSRSDGRQGRRSGRESSSSQQDRPSPQPSPRRREVPSYSLSRSDRLSDIPKRPSRSRREGPPPKKPSAPKPLAQPGPKAPSPSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSRRKRKAPTPEPPRGERVAEKPAPTPSPVRKLAVEPQVPLSSGESDSSSSGSSSSSSSSSSSSSSSSSSSSSSSSSRREKAPQLAALASPQQAGTSAPPEGVDSHPVGPAPVSLMGNHDGASSTTTAVAGSSLSQSGAVPTTQAILCRSQDSFASPVPSRPQDQAPPLLSQSPAQGMRSSPSPARESTMRSQSPASPPTRSRARDLLPPSTRGSVREYASLPVRPSTLNAGSLAPAMRASTLSAPALAAATRSGAINVPATAHASRPGVLNASAPAQPTRSSSPYNAATPPTGSGTLSLAALAAPTRAGALNVAPATPTRPDALNVASPAVATRPSALNMAAAAAPTRSDALNVATPIAPTRASALNMAAASAAPIRSALNAAPATRLSMLPVPAPVQSMRAALGAASMSPPPRATGLSIATLALAIRSQAHNEPVSTRQHDPIRPGPGDMMSGGAQQLVSMPTRSSGLPELMGPSRCRDLPTPSMSPRSFCSMRSSNLAVPPTRSGSHNRTAPPTASRSRDSSSGSASRRRIAPPSRTLSRKGRATRPTRSRSRRRIALPSRSRSRKRPLLPTRSRSRRRIAPPTRSRSRRRTVPSTRSRSRRRTVPSTRSRSRRRTVPSTRSRSRRRTIPSTRSRSRRRTVPPTRSRSRRRTVPPTRSRSRRRTTPTRSRSRRRTVPPTRSRSRRRSVPSARSRSRRRTVSTRSRSRRRSVPSTRSRSRRRSILPTRSRSRRHTAPSARSRSRKWPAPSTRSRSRKRSTPPTRSRGRRRRSSTRSSEQRAPSRSHYGSGGRRRRTVRSRSRSRQRRRSTSQSSGSRDRRRTTRTKERRSRSRSRQLGRPKPSPSRGRRSTTKTRPSSASRRRRSSRSKEAPEPSSVSPSRRHRPPTRSRTEPSSSASRSRRSLRAKRTLEPSSRSSRRRPPTRSKTKPEPSSRSGRRATRSKPPHEPSSETSSSGEPSPGRGKSSSGSRSRRPLARPAPAKPEPSSDSGSQARPAARPKDKVEPSSGSRSRRPSLRSKSDVEPSSGSRSRQRLPTRTKAKSDPSSDSESREQPSASPKVDLEQSSGSRSRQGLPTRAVTKQDVSSESGSRERQPTLCKNNLEPSSPSRSRPRPTQPLAKPEPSSDCESRGRSPTRPIDKMGPSSGSLSHRSPTGSGDRLGPSSGSRSRRSPTRPLGDKMEPSSASSSRERSPTRPVADLVPSSISQNSSMGAKEVADPSLESSSHGSSRTSQEKMDLSPDTELQNLFPGNLDEKVESSFGTNMETGLPGSNRFELPSGFSNERLPSNSLEKHEPSFHPGGWSSAMSPVEVAELSAQSQSQDLTLSVAPREEVEPSSEFNSTSMEKLESSLHSLIQGAPEESKEVVEMLEEDQSSTPLASNQEKTEPSSGAGSRERPPTRLTDKFVLSSEPRDQVPFPSFKDKQRPPSATADEQPPLAMKEKSEATELRSQGLLSNSDVTPYSSSDSQFRSQEPSMGSKLMPSASFEGRDQRIPSRSALETVPSSSRSRGGKPSTQSKSQLSPSESHSQRVEPSSTDSRHDPSTQSQGKLQLSSVGFYSEGPPTSSKVGACLSLSGPCSQVLSDKSKEQPCPSKSGSLSPGPPTQAKVKPYPSISGSHSQRPPTEPKVKTDPSSSGSHSYRPLLRSEVNSCPSVSRSRRPTTRSTVRSPSPSSSGSHSRQRPSIIAKVKPQPSSSRSPSQRPPTSSKVRPPSSGSCSHRSPTRSKLEPDPSSEFCSRRQPTVSQENAQPSTISGQRPPTGSKVKPHPSSSSHSQRSPTKSKQKPPPSSSSRSRRPPTRSKAKPQSSGSRSRRPPTQSKQKPCPSSGSLSRRLSLRSKAKSRPSSLSRSRRSSIRSKKMEPSSNSRSRRQSTRSKNKRESSSGSRGRRQPTRSHSRGRRVLSRSRSRGRRPTRSRSRGRRAPTRSRSRGSRRAPTRSRSRGRRAPTRSRSRGRRAPTRSRSRGSRRAPSRSRSRRRVPSRTRSRRRAPTRSRSRGRRAPTRSRSRRRTPTRSRSRRRAPTRSRSRGRRAPTRSRSRGRTAPSRSHSRGRRASRSRGRRQTNRSRSWGPRQPSRSRGRRQPSRSRGWRQASRSRRWQPSRSRSHGRKTPTRSRSRGRKATAPSRSRGMRRSPTHSHSKDRKARGHSRNKSTPSSSIERHSGPAPAPKPSEPSSSAHRSVKTPQPSPPSSESSSSQPLKEPSKPPSRDRTPSAESPPNVPEQSLPTTALPQPSGGHREALLPTPAPPEPGTSSREPSPSPRTASKEKKDKDKDEYPSSPQKTATEPSSPRQTSTTGPESFPADGEGRRGSAPSPSRGALATTHTKAAASRSRSRSTDSSSASDASTSRHARRSKPAPTTSRSRKRKKDKSKRKSKPTPSRHKRKKSESESRHKKKKSSKKRERRPSSSESRRGRDKKKKKKKQKPTPSRSSSSERVLSYPKPPEPAPQKAERARRQPDFSSGDVYSDSIGFAARLRENKKENLEALQHTETVAPRQGPTEEKGGPNVDKELLMLRFTAVKEQIQQEEYGQEEMMEELELCRLEVRRKREHDLIDPNPRKVLAAELRRLEEEGKYDPREGRRGRVLSLNRQVYGNTGSGRPTPLGIGNYM
+>sp|Q8WXF0|SRS12_HUMAN Serine/arginine-rich splicing factor 12 OS=Homo sapiens OX=9606 GN=SRSF12 PE=2 SV=1
+MSRYTRPPNTSLFIRNVADATRPEDLRREFGRYGPIVDVYIPLDFYTRRPRGFAYVQFEDVRDAEDALYNLNRKWVCGRQIEIQFAQGDRKTPGQMKSKERHPCSPSDHRRSRSPSQRRTRSRSSSWGRNRRRSDSLKESRHRRFSYSQSKSRSKSLPRRSTSARQSRTPRRNFGSRGRSRSKSLQKRSKSIGKSQSSSPQKQTSSGTKSRSHGRHSDSIARSPCKSPKGYTNSETKVQTAKHSHFRSHSRSRSYRHKNSW
+>DECOY_sp|Q8WXF0|SRS12_HUMAN Serine/arginine-rich splicing factor 12 OS=Homo sapiens OX=9606 GN=SRSF12 PE=2 SV=1
+WSNKHRYSRSRSHSRFHSHKATQVKTESNTYGKPSKCPSRAISDSHRGHSRSKTGSSTQKQPSSSQSKGISKSRKQLSKSRSRGRSGFNRRPTRSQRASTSRRPLSKSRSKSQSYSFRRHRSEKLSDSRRRNRGWSSSRSRTRRQSPSRSRRHDSPSCPHREKSKMQGPTKRDGQAFQIEIQRGCVWKRNLNYLADEADRVDEFQVYAFGRPRRTYFDLPIYVDVIPGYRGFERRLDEPRTADAVNRIFLSTNPPRTYRSM
+>sp|Q08170|SRSF4_HUMAN Serine/arginine-rich splicing factor 4 OS=Homo sapiens OX=9606 GN=SRSF4 PE=1 SV=2
+MPRVYIGRLSYQARERDVERFFKGYGKILEVDLKNGYGFVEFDDLRDADDAVYELNGKDLCGERVIVEHARGPRRDGSYGSGRSGYGYRRSGRDKYGPPTRTEYRLIVENLSSRCSWQDLKDYMRQAGEVTYADAHKGRKNEGVIEFVSYSDMKRALEKLDGTEVNGRKIRLVEDKPGSRRRRSYSRSRSHSRSRSRSRHSRKSRSRSGSSKSSHSKSRSRSRSGSRSRSKSRSRSQSRSRSKKEKSRSPSKEKSRSRSHSAGKSRSKSKDQAEEKIQNNDNVGKPKSRSPSRHKSKSKSRSRSQERRVEEEKRGSVSRGRSQEKSLRQSRSRSRSKGGSRSRSRSRSKSKDKRKGRKRSREESRSRSRSRSKSERSRKRGSKRDSKAGSSKKKKKEDTDRSQSRSPSRSVSKEREHAKSESSQREGRGESENAGTNQETRSRSRSNSKSKPNLPSESRSRSKSASKTRSRSKSRSRSASRSPSRSRSRSHSRS
+>DECOY_sp|Q08170|SRSF4_HUMAN Serine/arginine-rich splicing factor 4 OS=Homo sapiens OX=9606 GN=SRSF4 PE=1 SV=2
+SRSHSRSRSRSPSRSASRSRSKSRSRTKSASKSRSRSESPLNPKSKSNSRSRSRTEQNTGANESEGRGERQSSESKAHEREKSVSRSPSRSQSRDTDEKKKKKSSGAKSDRKSGRKRSRESKSRSRSRSRSEERSRKRGKRKDKSKSRSRSRSRSGGKSRSRSRSQRLSKEQSRGRSVSGRKEEEVRREQSRSRSKSKSKHRSPSRSKPKGVNDNNQIKEEAQDKSKSRSKGASHSRSRSKEKSPSRSKEKKSRSRSQSRSRSKSRSRSGSRSRSRSKSHSSKSSGSRSRSKRSHRSRSRSRSHSRSRSYSRRRRSGPKDEVLRIKRGNVETGDLKELARKMDSYSVFEIVGENKRGKHADAYTVEGAQRMYDKLDQWSCRSSLNEVILRYETRTPPGYKDRGSRRYGYGSRGSGYSGDRRPGRAHEVIVREGCLDKGNLEYVADDADRLDDFEVFGYGNKLDVELIKGYGKFFREVDRERAQYSLRGIYVRPM
+>sp|Q16629|SRSF7_HUMAN Serine/arginine-rich splicing factor 7 OS=Homo sapiens OX=9606 GN=SRSF7 PE=1 SV=1
+MSRYGRYGGETKVYVGNLGTGAGKGELERAFSYYGPLRTVWIARNPPGFAFVEFEDPRDAEDAVRGLDGKVICGSRVRVELSTGMPRRSRFDRPPARRPFDPNDRCYECGEKGHYAYDCHRYSRRRRSRSRSRSHSRSRGRRYSRSRSRSRGRRSRSASPRRSRSISLRRSRSASLRRSRSGSIKGSRYFQSPSRSRSRSRSISRPRSSRSKSRSPSPKRSRSPSGSPRRSASPERMD
+>DECOY_sp|Q16629|SRSF7_HUMAN Serine/arginine-rich splicing factor 7 OS=Homo sapiens OX=9606 GN=SRSF7 PE=1 SV=1
+DMREPSASRRPSGSPSRSRKPSPSRSKSRSSRPRSISRSRSRSRSPSQFYRSGKISGSRSRRLSASRSRRLSISRSRRPSASRSRRGRSRSRSRSYRRGRSRSHSRSRSRSRRRRSYRHCDYAYHGKEGCEYCRDNPDFPRRAPPRDFRSRRPMGTSLEVRVRSGCIVKGDLGRVADEADRPDEFEVFAFGPPNRAIWVTRLPGYYSFARELEGKGAGTGLNGVYVKTEGGYRGYRSM
+>sp|A2A2V5|SRTM1_HUMAN Serine-rich and transmembrane domain-containing protein 1 OS=Homo sapiens OX=9606 GN=SERTM1 PE=4 SV=1
+MSEPDTSSGFSGSVENGTFLELFPTSLSTSVDPSSGHLSNVYIYVSIFLSLLAFLLLLLIIALQRLKNIISSSSSYPEYPSDAGSSFTNLEVCSISSQRSTFSNLSS
+>DECOY_sp|A2A2V5|SRTM1_HUMAN Serine-rich and transmembrane domain-containing protein 1 OS=Homo sapiens OX=9606 GN=SERTM1 PE=4 SV=1
+SSLNSFTSRQSSISCVELNTFSSGADSPYEPYSSSSSIINKLRQLAIILLLLLFALLSLFISVYIYVNSLHGSSPDVSTSLSTPFLELFTGNEVSGSFGSSTDPESM
+>sp|Q14714|SSPN_HUMAN Sarcospan OS=Homo sapiens OX=9606 GN=SSPN PE=2 SV=3
+MGKNKQPRGQQRQGGPPAADAAGPDDMEPKKGTGAPKECGEEEPRTCCGCRFPLLLALLQLALGIAVTVVGFLMASISSSLLVRDTPFWAGIIVCLVAYLGLFMLCVSYQVDERTCIQFSMKLLYFLLSALGLTVCVLAVAFAAHHYSQLTQFTCETTLDSCQCKLPSSEPLSRTFVYRDVTDCTSVTGTFKLFLLIQMILNLVCGLVCLLACFVMWKHRYQVFYVGVRICSLTASEGPQQKI
+>DECOY_sp|Q14714|SSPN_HUMAN Sarcospan OS=Homo sapiens OX=9606 GN=SSPN PE=2 SV=3
+IKQQPGESATLSCIRVGVYFVQYRHKWMVFCALLCVLGCVLNLIMQILLFLKFTGTVSTCDTVDRYVFTRSLPESSPLKCQCSDLTTECTFQTLQSYHHAAFAVALVCVTLGLASLLFYLLKMSFQICTREDVQYSVCLMFLGLYAVLCVIIGAWFPTDRVLLSSSISAMLFGVVTVAIGLALQLLALLLPFRCGCCTRPEEEGCEKPAGTGKKPEMDDPGAADAAPPGGQRQQGRPQKNKGM
+>sp|A2VEC9|SSPO_HUMAN SCO-spondin OS=Homo sapiens OX=9606 GN=SSPO PE=2 SV=2
+MLLPALLFGMAWALADGRWCEWTETIRVEEEVAPRQEDLVPCASLDHYSRLGWRLDLPWSGRSGLTRSPAPGLCPIYKPPETRPAKWNRTVRTCCPGWGGAHCTEALAKASPEGHCFAMWQCQLQAGSANASAGSLEECCARPWGQSWWDGSSQACRSCSSRHLPGSASSPALLQPLAGAVGQLWSQHQRPSATCASWSGFHYRTFDGRHYHFLGRCTYLLAGAADSTWAVHLTPGDRCPQPGHCQRVTMGPEEVLIQAGNVSVKGQLVPEGQSWLLHGLSLQWLGDWLVLSGGLGVVVRLDRTGSISISVDHELWGQTQGLCGLYNGWPEDDFMEPGGGLAMLAATFGNSWRLPGSESGCLDAVEVAQGCDSPLGLIDADVEPGHLRAEAQDVCHQLLEGPFGQCHAQVSPAEYHEACLFAYCAGAMAGSGQEGRQQAVCATFASYVQACARRHIHIRWRKPGFCERLCPGGQLYSDCVSLCPPSCEAVGQGEEESCREECVSGCECPRGLFWNGTLCVPAAHCPCYYCRQRYVPGDTVRQLCNPCVCRDGRWHCAQALCPAECAVGGDGHYLTFDGRSYSFWGGQGCRYSLVQDYVKGQLLILLEHGACDAGSCLHAISVSLEDTHIQLRDSGAVLVNGQDVGLPWIGAEGLSVRRASSAFLLLRWPGAQVLWGLSDPVAYITLDPRHAHQVQGLCGTFTQNQQDDFLTPAGDVETSIAAFASKFQVAGKGRCPSEDSALLSPCTTHSQRHAFAEAACAILHSSVFQECHRLVDKEPFYLRCLAAVCGCDPGSDCLCPVLSAYARRCAQEGASPPWRNQTLCPVMCPGGQEYRECAPACGQHCGKPEDCGELGSCVAGCNCPLGLLWDPEGQCVPPSLCPCQLGARRYAPGSATMKECNRCICQERGLWNCTARHCPSQAFCPRELVYAPGACLLTCDSPSANHSCPAGSTDGCVCPPGTVLLDERCVPPDLCPCRHSGQWYLPNATIQEDCNVCVCRGRQWHCTGQRRSGRCQASGAPHYVTFDGLAFTYPGACEYLLVREASGLFTVSAQNLPCGASGLTCTKALAVRLEGTVVHMLRGRAVTVNGVSVTPPKVYTGPGLSLRRAGLFLLLSTHLGLTLLWDGGTRVLVQLSPQFRGRVAGLCGDFDGDASNDLRSRQGVLEPTAELAAHSWRLSPLCPEPGDLPHPCTMNTHRAGWARARCGALLQPLFTLCHAEVPPQQHYEWCLYDACGCDSGGDCECLCSAIATYADECARHGHHVRWRSQELCSLQCEGGQVYEACGPTCPPTCHEQHPEPGWHCQVVACVEGCFCPEGTLLHGGACLEPASCPCEWGRNSFPPGSVLQKDCGNCTCQEGQWHCGGDGGHCEELVPACAEGEALCQENGHCVPHGWLCDNQDDCGDGSDEEGCAAPGCGEGQMTCSSGHCLPLALLCDRQDDCGDGTDEPSYPCPQGLLACADGRCLPPALLCDGHPDCLDAADEESCLGQVTCVPGEVSCVDGTCLGAIQLCDGVWDCPDGADEGPGHCPLPSLPTPPASTLPGPSPGSLDTASSPLASASPAPPCGPFEFRCGSGECTPRGWRCDQEEDCADGSDERGCGGPCAPHHAPCARGPHCVSPEQLCDGVRQCPDGSDEGPDACGGLPALGGPNRTGLPCPEYTCPNGTCIGFQLVCDGQPDCGRPGQVGPSPEEQGCGAWGPWSPWGPCSRTCGPWGQGRSRRCSPLGLLVLQNCPGPEHQSQACFTAACPVDGEWSTWSPWSVCSEPCRGTMTRQRQCHSPQNGGRTCAALPGGLHSTRQTKPCPQDGCPNATCSGELMFQPCAPCPLTCDDISGQVTCPPDWPCGSPGCWCPEGQVLGSEGWCVWPRQCPCLVDGARYWPGQRIKADCQLCICQDGRPRRCRLNPDCAVDCGWSSWSPWAKCLGPCGSQSIQWSFRSSNNPRPSGRGRQCRGIHRKARRCQTEPCEGCEHQGQVHRVGERWHGGPCRVCQCLHNLTAHCSPYCPLGSCPQGWVLVEGTGESCCHCALPGENQTVQPMATPAAAPAPSPQIRFPLATYILPPSGDPCYSPLGLAGLAEGSLHASSQQLEHPTQAALLGAPTQGPSPQGWHAGGDAYAKWHTRPHYLQLDLLQPRNLTGILVPETGSSNAYASSFSLQFSSNGLHWHDYRDLLPGILPLPKLFPRNWDDLDPAVWTFGRMVQARFVRVWPHDVHHSDVPLQVELLGCEPGSPPAPLCPGVGLRCASGECVLRGGPCDGVLDCEDGSDEEGCVLLPEGTGRFHSTAKTLALSSAQPGQLLHWPREGLAETEHWPPGQESPTSPTETRPVSPGPASGVPHHGESVQMVTTTPIPQMEARTLPPGMAAVTVVPPHPVTPATPAGQSVAPGPFPPVQCGPGQTPCEVLGCVEQAQVCDGREDCLDGSDERHCARNLLMWLPSLPALWAASTVPFMMPTMALPGLPASRALCSPSQLSCGSGECLSAERRCDLRPDCQDGSDEDGCVDCVLAPWSVWSSCSRSCGLGLTFQRQELLRPPLPGGSCPRDRFRSQSCFVQACPVAGAWAMWEAWGPCSVSCGGGHQSRQRSCVDPPPKNGGAPCPGASQERAPCGLQPCSGGTDCELGRVYVSADLCQKGLVPPCPPSCLDPKANRSCSGHCVEGCRCPPGLLLHDTRCLPLSECPCLVGEELKWPGVSFLLGNCSQCVCEKGELLCQPGGCPLPCGWSAWSSWAPCDRSCGSGVRARFRSPSNPPAAWGGAPCEGDRQELQGCHTVCGTEVFGWTPWTSWSSCSQSCLAPGGGPGWRSRSRLCPSPGDSSCPGDATQEEPCSPPVCPVPSIWGLWAPWSTCSAPCDGGIQTRGRSCSSLAPGDTTCPGPHSQTRDCNTQPCTAQCPENMLFRSAEQCHQEGGPCPRLCLTQGPGIECTGFCAPGCTCPPGLFLHNASCLPRSQCPCQLHGQLYASGAMARLDSCNNCTCVSGKMACTSERCPVACGWSPWTLWSLCSCSCNVGIRRRFRAGTAPPAAFGGAECQGPTMEAEFCSLRPCPGPGGEWGPWSPCSVPCGGGYRNRTRGSSRSLMEFSTCGLQPCAGPVPGMCPRDKQWLDCAQGPASCAELSAPRGTNQTCHPGCHCPSGMLLLNNVCVPTQDCPCAHEGHLYPPGSTVVRPCENCSCVSGLIANCSSWPCAEGEPTWSPWTPWSQCSASCGPARCHRHRFCARSPSAVPSTVAPLPLPATPTPLCSGPEAEEEPCLLQGCDRAGGWGPWGPWSHCSRSCGGGLRSRTRACDQPPPQGLGDYCEGPRAQGEVCQALPCPVTNCTAIEGAEYSPCGPPCPRSCDDLVHCVWRCQPGCYCPPGQVLSSNGAICVQPGHCSCLDLLTGQRHHPGARLARPDGCNHCTCLEGRLNCTDLPCPVPGGWCPWSEWTMCSQPCRGQTRSRSRACACPTPQHGGAPCTGEAGEAGAQHQREACPSYATCPVDGAWGPWGPWSPCDMCLGQSHRSRACSRPPTPEGGRPCPGNHTQSRPCQENSTQCTDCGGGQSLHPCGQPCPRSCQDLSPGSVCQPGSVGCQPTCGCPLGQLSQDGLCVPPAHCRCQYQPGAMGIPENQSRSAGSRFSSWESLEPGEVVTGPCDNCTCVAGILQCQEVPDCPDPGVWSSWGPWEDCSVSCGGGEQLRSRRCARPPCPGPARQSRTCSTQVCREAGCPAGRLYRECQPGEGCPFSCAHVTQQVGCFSEGCEEGCHCPEGTFQHRLACVQECPCVLTAWLLQELGATIGDPGQPLGPGDELDSGQTLRTSCGNCSCAHGKLSCSLDDCFEADGGFGPWSPWGPCSRSCGGLGTRTRSRQCVLTMPTLSGQGCRGPRQDLEYCPSPDCPGAEGSTVEPVTGLPGGWGPWSSWSPCSRSCTDPARPAWRSRTRLCLANCTMGDPLQERPCNLPSCTELPVCPGPGCGAGNCSWTSWAPWEPCSRSCGVGQQRRLRAYRPPGPGGHWCPNILTAYQERRFCNLRACPVPGGWSRWSPWSWCDRSCGGGQSLRSRSCSSPPSKNGGAPCAGERHQARLCNPMPCEAGCPAGMEVVTCANRCPRRCSDLQEGIVCQDDQVCQKGCRCPKGSLEQDGGCVPIGHCDCTDAQGHSWAPGSQHQDACNNCSCQAGQLSCTAQPCPPPTHCAWSHWSAWSPCSHSCGPRGQQSRFRSSTSGSWAPECREEQSQSQPCPQPSCPPLCLQGTRSRTLGDSWLQGECQRCSCTPEGVICEDTECAVPEAWTLWSSWSDCPVSCGGGNQVRTRACRAAAPHHRSPPCLGPDTQTRQQPCPGLLEACSWGPWGPCSRSCGPGLASRSGSCPCLMAKADPTCNSTFLHLDTQGCYSGPCPEECVWSSWSSWTRCSCRVLVQQRYRHQGPASRGARAGAPCTRLDGHFRPCLISNCSEDSCTPPFEFHACGSPCAGLCATHLSHQLCQDLPPCQPGCYCPKGLLEQAGGCIPPEECNCWHTSAAGAGMTLAPGDRLQLGCKECECRRGELHCTSQGCQGLLPLSEWSEWSPCGPCLPPSALAPASRTALEEHWLRDPTGLSPTLAPLLASEQHRHRLCLDPATGRPWTGAPHLCTAPLSQQRLCPDPGACPDSCQWSLWGPWSPCQVPCSGGFRLRWREAEALCGGGCREPWAQESCNGGPCPESCEAQDTVFTLDCANQCPHSCADLWDRVQCLQGPCRPGCRCPPGQLVQDGRCVPISSCRCGLPSANASWELAPAQAVQLDCQNCTCVNESLVCPHQECPVLGPWSAWSSCSAPCGGGTMERHRTCEGGPGVAPCQAQDTEQRQECNLQPCPECPPGQVLSACATSCPCLCWHLQPGAICVQEPCQPGCGCPGGQLLHNGTCVPPTACPCTQHSLPWGLTLTLEEQAQELPPGTVLTRNCTRCVCHGGAFSCSLVDCQVPPGETWQQVAPGELGLCEQTCLEMNATKTQSNCSSARASGCVCQPGHFRSQAGPCVPEDHCECWHLGRPHLPGSEWQEACESCLCLSGRPVCTQHCSPLTCAQGEEMVLEPGSCCPSCRREAPEEQSPSCQLLTELRNFTKGTCYLDQVEVSYCSGYCPSSTHVMPEEPYLQSQCDCCSYRLDPESPVRILNLRCLGGHTEPVVLPVIHSCQCSSCQGGDFSKR
+>DECOY_sp|A2VEC9|SSPO_HUMAN SCO-spondin OS=Homo sapiens OX=9606 GN=SSPO PE=2 SV=2
+RKSFDGGQCSSCQCSHIVPLVVPETHGGLCRLNLIRVPSEPDLRYSCCDCQSQLYPEEPMVHTSSPCYGSCYSVEVQDLYCTGKTFNRLETLLQCSPSQEEPAERRCSPCCSGPELVMEEGQACTLPSCHQTCVPRGSLCLCSECAEQWESGPLHPRGLHWCECHDEPVCPGAQSRFHGPQCVCGSARASSCNSQTKTANMELCTQECLGLEGPAVQQWTEGPPVQCDVLSCSFAGGHCVCRTCNRTLVTGPPLEQAQEELTLTLGWPLSHQTCPCATPPVCTGNHLLQGGPCGCGPQCPEQVCIAGPQLHWCLCPCSTACASLVQGPPCEPCPQLNCEQRQETDQAQCPAVGPGGECTRHREMTGGGCPASCSSWASWPGLVPCEQHPCVLSENVCTCNQCDLQVAQAPALEWSANASPLGCRCSSIPVCRGDQVLQGPPCRCGPRCPGQLCQVRDWLDACSHPCQNACDLTFVTDQAECSEPCPGGNCSEQAWPERCGGGCLAEAERWRLRFGGSCPVQCPSWPGWLSWQCSDPCAGPDPCLRQQSLPATCLHPAGTWPRGTAPDLCLRHRHQESALLPALTPSLGTPDRLWHEELATRSAPALASPPLCPGCPSWESWESLPLLGQCGQSTCHLEGRRCECEKCGLQLRDGPALTMGAGAASTHWCNCEEPPICGGAQELLGKPCYCGPQCPPLDQCLQHSLHTACLGACPSGCAHFEFPPTCSDESCNSILCPRFHGDLRTCPAGARAGRSAPGQHRYRQQVLVRCSCRTWSSWSSWVCEEPCPGSYCGQTDLHLFTSNCTPDAKAMLCPCSGSRSALGPGCSRSCPGWPGWSCAELLGPCPQQRTQTDPGLCPPSRHHPAAARCARTRVQNGGGCSVPCDSWSSWLTWAEPVACETDECIVGEPTCSCRQCEGQLWSDGLTRSRTGQLCLPPCSPQPCPQSQSQEERCEPAWSGSTSSRFRSQQGRPGCSHSCPSWASWHSWACHTPPPCPQATCSLQGAQCSCNNCADQHQSGPAWSHGQADTCDCHGIPVCGGDQELSGKPCRCGKQCVQDDQCVIGEQLDSCRRPCRNACTVVEMGAPCGAECPMPNCLRAQHREGACPAGGNKSPPSSCSRSRLSQGGGCSRDCWSWPSWRSWGGPVPCARLNCFRREQYATLINPCWHGGPGPPRYARLRRQQGVGCSRSCPEWPAWSTWSCNGAGCGPGPCVPLETCSPLNCPREQLPDGMTCNALCLRTRSRWAPRAPDTCSRSCPSWSSWPGWGGPLGTVPEVTSGEAGPCDPSPCYELDQRPGRCGQGSLTPMTLVCQRSRTRTGLGGCSRSCPGWPSWPGFGGDAEFCDDLSCSLKGHACSCNGCSTRLTQGSDLEDGPGLPQGPDGITAGLEQLLWATLVCPCEQVCALRHQFTGEPCHCGEECGESFCGVQQTVHACSFPCGEGPQCERYLRGAPCGAERCVQTSCTRSQRAPGPCPPRACRRSRLQEGGGCSVSCDEWPGWSSWVGPDPCDPVEQCQLIGAVCTCNDCPGTVVEGPELSEWSSFRSGASRSQNEPIGMAGPQYQCRCHAPPVCLGDQSLQGLPCGCTPQCGVSGPQCVSGPSLDQCSRPCPQGCPHLSQGGGCDTCQTSNEQCPRSQTHNGPCPRGGEPTPPRSCARSRHSQGLCMDCPSWPGWPGWAGDVPCTAYSPCAERQHQAGAEGAEGTCPAGGHQPTPCACARSRSRTQGRCPQSCMTWESWPCWGGPVPCPLDTCNLRGELCTCHNCGDPRALRAGPHHRQGTLLDLCSCHGPQVCIAGNSSLVQGPPCYCGPQCRWVCHVLDDCSRPCPPGCPSYEAGEIATCNTVPCPLAQCVEGQARPGECYDGLGQPPPQDCARTRSRLGGGCSRSCHSWPGWPGWGGARDCGQLLCPEEEAEPGSCLPTPTAPLPLPAVTSPVASPSRACFRHRHCRAPGCSASCQSWPTWPSWTPEGEACPWSSCNAILGSVCSCNECPRVVTSGPPYLHGEHACPCDQTPVCVNNLLLMGSPCHCGPHCTQNTGRPASLEACSAPGQACDLWQKDRPCMGPVPGACPQLGCTSFEMLSRSSGRTRNRYGGGCPVSCPSWPGWEGGPGPCPRLSCFEAEMTPGQCEAGGFAAPPATGARFRRRIGVNCSCSCLSWLTWPSWGCAVPCRESTCAMKGSVCTCNNCSDLRAMAGSAYLQGHLQCPCQSRPLCSANHLFLGPPCTCGPACFGTCEIGPGQTLCLRPCPGGEQHCQEASRFLMNEPCQATCPQTNCDRTQSHPGPCTTDGPALSSCSRGRTQIGGDCPASCTSWPAWLGWISPVPCVPPSCPEEQTADGPCSSDGPSPCLRSRSRWGPGGGPALCSQSCSSWSTWPTWGFVETGCVTHCGQLEQRDGECPAGGWAAPPNSPSRFRARVGSGCSRDCPAWSSWASWGCPLPCGGPQCLLEGKECVCQSCNGLLFSVGPWKLEEGVLCPCESLPLCRTDHLLLGPPCRCGEVCHGSCSRNAKPDLCSPPCPPVLGKQCLDASVYVRGLECDTGGSCPQLGCPAREQSAGPCPAGGNKPPPDVCSRQRSQHGGGCSVSCPGWAEWMAWAGAVPCAQVFCSQSRFRDRPCSGGPLPPRLLEQRQFTLGLGCSRSCSSWVSWPALVCDVCGDEDSGDQCDPRLDCRREASLCEGSGCSLQSPSCLARSAPLGPLAMTPMMFPVTSAAWLAPLSPLWMLLNRACHREDSGDLCDERGDCVQAQEVCGLVECPTQGPGCQVPPFPGPAVSQGAPTAPTVPHPPVVTVAAMGPPLTRAEMQPIPTTTVMQVSEGHHPVGSAPGPSVPRTETPSTPSEQGPPWHETEALGERPWHLLQGPQASSLALTKATSHFRGTGEPLLVCGEEDSGDECDLVGDCPGGRLVCEGSACRLGVGPCLPAPPSGPECGLLEVQLPVDSHHVDHPWVRVFRAQVMRGFTWVAPDLDDWNRPFLKPLPLIGPLLDRYDHWHLGNSSFQLSFSSAYANSSGTEPVLIGTLNRPQLLDLQLYHPRTHWKAYADGGAHWGQPSPGQTPAGLLAAQTPHELQQSSAHLSGEALGALGLPSYCPDGSPPLIYTALPFRIQPSPAPAAAPTAMPQVTQNEGPLACHCCSEGTGEVLVWGQPCSGLPCYPSCHATLNHLCQCVRCPGGHWREGVRHVQGQHECGECPETQCRRAKRHIGRCQRGRGSPRPNNSSRFSWQISQSGCPGLCKAWPSWSSWGCDVACDPNLRCRRPRGDQCICLQCDAKIRQGPWYRAGDVLCPCQRPWVCWGESGLVQGEPCWCGPSGCPWDPPCTVQGSIDDCTLPCPACPQFMLEGSCTANPCGDQPCPKTQRTSHLGGPLAACTRGGNQPSHCQRQRTMTGRCPESCVSWPSWTSWEGDVPCAATFCAQSQHEPGPCNQLVLLGLPSCRRSRGQGWPGCTRSCPGWPSWPGWAGCGQEEPSPGVQGPRGCDPQGDCVLQFGICTGNPCTYEPCPLGTRNPGGLAPLGGCADPGEDSGDPCQRVGDCLQEPSVCHPGRACPAHHPACPGGCGREDSGDACDEEQDCRWGRPTCEGSGCRFEFPGCPPAPSASALPSSATDLSGPSPGPLTSAPPTPLSPLPCHGPGEDAGDPCDWVGDCLQIAGLCTGDVCSVEGPVCTVQGLCSEEDAADLCDPHGDCLLAPPLCRGDACALLGQPCPYSPEDTGDGCDDQRDCLLALPLCHGSSCTMQGEGCGPAACGEEDSGDGCDDQNDCLWGHPVCHGNEQCLAEGEACAPVLEECHGGDGGCHWQGEQCTCNGCDKQLVSGPPFSNRGWECPCSAPELCAGGHLLTGEPCFCGEVCAVVQCHWGPEPHQEHCTPPCTPGCAEYVQGGECQLSCLEQSRWRVHHGHRACEDAYTAIASCLCECDGGSDCGCADYLCWEYHQQPPVEAHCLTFLPQLLAGCRARAWGARHTNMTCPHPLDGPEPCLPSLRWSHAALEATPELVGQRSRLDNSADGDFDGCLGAVRGRFQPSLQVLVRTGGDWLLTLGLHTSLLLFLGARRLSLGPGTYVKPPTVSVGNVTVARGRLMHVVTGELRVALAKTCTLGSAGCPLNQASVTFLGSAERVLLYECAGPYTFALGDFTVYHPAGSAQCRGSRRQGTCHWQRGRCVCVNCDEQITANPLYWQGSHRCPCLDPPVCREDLLVTGPPCVCGDTSGAPCSHNASPSDCTLLCAGPAYVLERPCFAQSPCHRATCNWLGREQCICRNCEKMTASGPAYRRAGLQCPCLSPPVCQGEPDWLLGLPCNCGAVCSGLEGCDEPKGCHQGCAPACERYEQGGPCMVPCLTQNRWPPSAGEQACRRAYASLVPCLCDSGPDCGCVAALCRLYFPEKDVLRHCEQFVSSHLIACAAEAFAHRQSHTTCPSLLASDESPCRGKGAVQFKSAFAAISTEVDGAPTLFDDQQNQTFTGCLGQVQHAHRPDLTIYAVPDSLGWLVQAGPWRLLLFASSARRVSLGEAGIWPLGVDQGNVLVAGSDRLQIHTDELSVSIAHLCSGADCAGHELLILLQGKVYDQVLSYRCGQGGWFSYSRGDFTLYHGDGGVACEAPCLAQACHWRGDRCVCPNCLQRVTDGPVYRQRCYYCPCHAAPVCLTGNWFLGRPCECGSVCEERCSEEEGQGVAECSPPCLSVCDSYLQGGPCLRECFGPKRWRIHIHRRACAQVYSAFTACVAQQRGEQGSGAMAGACYAFLCAEHYEAPSVQAHCQGFPGELLQHCVDQAEARLHGPEVDADILGLPSDCGQAVEVADLCGSESGPLRWSNGFTAALMALGGGPEMFDDEPWGNYLGCLGQTQGWLEHDVSISISGTRDLRVVVGLGGSLVLWDGLWQLSLGHLLWSQGEPVLQGKVSVNGAQILVEEPGMTVRQCHGPQPCRDGPTLHVAWTSDAAGALLYTCRGLFHYHRGDFTRYHFGSWSACTASPRQHQSWLQGVAGALPQLLAPSSASGPLHRSSCSRCAQSSGDWWSQGWPRACCEELSGASANASGAQLQCQWMAFCHGEPSAKALAETCHAGGWGPCCTRVTRNWKAPRTEPPKYIPCLGPAPSRTLGSRGSWPLDLRWGLRSYHDLSACPVLDEQRPAVEEEVRITETWECWRGDALAWAMGFLLAPLLM
+>sp|P30872|SSR1_HUMAN Somatostatin receptor type 1 OS=Homo sapiens OX=9606 GN=SSTR1 PE=1 SV=1
+MFPNGTASSPSSSPSPSPGSCGEGGGSRGPGAGAADGMEEPGRNASQNGTLSEGQGSAILISFIYSVVCLVGLCGNSMVIYVILRYAKMKTATNIYILNLAIADELLMLSVPFLVTSTLLRHWPFGALLCRLVLSVDAVNMFTSIYCLTVLSVDRYVAVVHPIKAARYRRPTVAKVVNLGVWVLSLLVILPIVVFSRTAANSDGTVACNMLMPEPAQRWLVGFVLYTFLMGFLLPVGAICLCYVLIIAKMRMVALKAGWQQRKRSERKITLMVMMVVMVFVICWMPFYVVQLVNVFAEQDDATVSQLSVILGYANSCANPILYGFLSDNFKRSFQRILCLSWMDNAAEEPVDYYATALKSRAYSVEDFQPENLESGGVFRNGTCTSRITTL
+>DECOY_sp|P30872|SSR1_HUMAN Somatostatin receptor type 1 OS=Homo sapiens OX=9606 GN=SSTR1 PE=1 SV=1
+LTTIRSTCTGNRFVGGSELNEPQFDEVSYARSKLATAYYDVPEEAANDMWSLCLIRQFSRKFNDSLFGYLIPNACSNAYGLIVSLQSVTADDQEAFVNVLQVVYFPMWCIVFVMVVMMVMLTIKRESRKRQQWGAKLAVMRMKAIILVYCLCIAGVPLLFGMLFTYLVFGVLWRQAPEPMLMNCAVTGDSNAATRSFVVIPLIVLLSLVWVGLNVVKAVTPRRYRAAKIPHVVAVYRDVSLVTLCYISTFMNVADVSLVLRCLLAGFPWHRLLTSTVLFPVSLMLLEDAIALNLIYINTATKMKAYRLIVYIVMSNGCLGVLCVVSYIFSILIASGQGESLTGNQSANRGPEEMGDAAGAGPGRSGGGEGCSGPSPSPSSSPSSATGNPFM
+>sp|Q15562|TEAD2_HUMAN Transcriptional enhancer factor TEF-4 OS=Homo sapiens OX=9606 GN=TEAD2 PE=1 SV=2
+MGEPRAGAALDDGSGWTGSEEGSEEGTGGSEGAGGDGGPDAEGVWSPDIEQSFQEALAIYPPCGRRKIILSDEGKMYGRNELIARYIKLRTGKTRTRKQVSSHIQVLARRKSREIQSKLKDQVSKDKAFQTMATMSSAQLISAPSLQAKLGPTGPQASELFQFWSGGSGPPWNVPDVKPFSQTPFTLSLTPPSTDLPGYEPPQALSPLPPPTPSPPAWQARGLGTARLQLVEFSAFVEPPDAVDSYQRHLFVHISQHCPSPGAPPLESVDVRQIYDKFPEKKGGLRELYDRGPPHAFFLVKFWADLNWGPSGEEAGAGGSISSGGFYGVSSQYESLEHMTLTCSSKVCSFGKQVVEKVETERAQLEDGRFVYRLLRSPMCEYLVNFLHKLRQLPERYMMNSVLENFTILQVVTNRDTQELLLCTAYVFEVSTSERGAQHHIYRLVRD
+>DECOY_sp|Q15562|TEAD2_HUMAN Transcriptional enhancer factor TEF-4 OS=Homo sapiens OX=9606 GN=TEAD2 PE=1 SV=2
+DRVLRYIHHQAGRESTSVEFVYATCLLLEQTDRNTVVQLITFNELVSNMMYREPLQRLKHLFNVLYECMPSRLLRYVFRGDELQARETEVKEVVQKGFSCVKSSCTLTMHELSEYQSSVGYFGGSSISGGAGAEEGSPGWNLDAWFKVLFFAHPPGRDYLERLGGKKEPFKDYIQRVDVSELPPAGPSPCHQSIHVFLHRQYSDVADPPEVFASFEVLQLRATGLGRAQWAPPSPTPPPLPSLAQPPEYGPLDTSPPTLSLTFPTQSFPKVDPVNWPPGSGGSWFQFLESAQPGTPGLKAQLSPASILQASSMTAMTQFAKDKSVQDKLKSQIERSKRRALVQIHSSVQKRTRTKGTRLKIYRAILENRGYMKGEDSLIIKRRGCPPYIALAEQFSQEIDPSWVGEADPGGDGGAGESGGTGEESGEESGTWGSGDDLAAGARPEGM
+>sp|Q8N8B7|TEANC_HUMAN Transcription elongation factor A N-terminal and central domain-containing protein OS=Homo sapiens OX=9606 GN=TCEANC PE=1 SV=2
+MSDKNQIAARASLIEQLMSKRNFEDLGNHLTELETIYVTKEHLQETDVVRAVYRVLKNCPSVALKKKAKCLLSKWKAVYKQTHSKARNSPKLFPVRGNKEENSGPSHDPSQNETLGICSSNSLSSQDVAKLSEMIVPENRAIQLKPKEEHFGDGDPESTGKRSSELLDPTTPMRTKCIELLYAALTSSSTDQPKADLWQNFAREIEEHVFTLYSKNIKKYKTCIRSKVANLKNPRNSHLQQNLLSGTTSPREFAEMTVMEMANKELKQLRASYTESCIQEHYLPQVIDGTQTNKIKCRRCEKYNCKVTVIDRGTLFLPSWVRNSNPDEQMMTYVICNECGEQWYHSKWVCW
+>DECOY_sp|Q8N8B7|TEANC_HUMAN Transcription elongation factor A N-terminal and central domain-containing protein OS=Homo sapiens OX=9606 GN=TCEANC PE=1 SV=2
+WCVWKSHYWQEGCENCIVYTMMQEDPNSNRVWSPLFLTGRDIVTVKCNYKECRRCKIKNTQTGDIVQPLYHEQICSETYSARLQKLEKNAMEMVTMEAFERPSTTGSLLNQQLHSNRPNKLNAVKSRICTKYKKINKSYLTFVHEEIERAFNQWLDAKPQDTSSSTLAAYLLEICKTRMPTTPDLLESSRKGTSEPDGDGFHEEKPKLQIARNEPVIMESLKAVDQSSLSNSSCIGLTENQSPDHSPGSNEEKNGRVPFLKPSNRAKSHTQKYVAKWKSLLCKAKKKLAVSPCNKLVRYVARVVDTEQLHEKTVYITELETLHNGLDEFNRKSMLQEILSARAAIQNKDSM
+>sp|Q5T9Z0|TEDM1_HUMAN Transmembrane epididymal protein 1 OS=Homo sapiens OX=9606 GN=TEDDM1 PE=2 SV=1
+MILKGCLLYPLCSPRNKQRCARLWKIAYGGLLKIVTGSLLTFYVVLCLDGGMVLMRKQVPSRFMYPKEWQHLTMFILLTLNGCVDFMSKNVLPQRCVGLEKGTLVLIIYELLLLMVSHVKDSEGVELHVYSLLILVVFLLLLVLTAELWAPNMCHLQLMETFLILMMGSWLMQAGFILYRPVSGYPWQDDDISDIMFVTTFFCWHVMINASFLLGIYGFSSFWYHCFRPSLKLTGPKEAPYYASTPGPLYKLLQEVEQSEKEDQALLLPKSSP
+>DECOY_sp|Q5T9Z0|TEDM1_HUMAN Transmembrane epididymal protein 1 OS=Homo sapiens OX=9606 GN=TEDDM1 PE=2 SV=1
+PSSKPLLLAQDEKESQEVEQLLKYLPGPTSAYYPAEKPGTLKLSPRFCHYWFSSFGYIGLLFSANIMVHWCFFTTVFMIDSIDDDQWPYGSVPRYLIFGAQMLWSGMMLILFTEMLQLHCMNPAWLEATLVLLLLFVVLILLSYVHLEVGESDKVHSVMLLLLEYIILVLTGKELGVCRQPLVNKSMFDVCGNLTLLIFMTLHQWEKPYMFRSPVQKRMLVMGGDLCLVVYFTLLSGTVIKLLGGYAIKWLRACRQKNRPSCLPYLLCGKLIM
+>sp|Q8IYR6|TEFF1_HUMAN Tomoregulin-1 OS=Homo sapiens OX=9606 GN=TMEFF1 PE=1 SV=1
+MGAAAAEAPLRLPAAPPLAFCCYTSVLLLFAFSLPGSRASNQPPGGGGGSGGDCPGGKGKSINCSELNVRESDVRVCDESSCKYGGVCKEDGDGLKCACQFQCHTNYIPVCGSNGDTYQNECFLRRAACKHQKEITVIARGPCYSDNGSGSGEGEEEGSGAEVHRKHSKCGPCKYKAECDEDAENVGCVCNIDCSGYSFNPVCASDGSSYNNPCFVREASCIKQEQIDIRHLGHCTDTDDTSLLGKKDDGLQYRPDVKDASDQREDVYIGNHMPCPENLNGYCIHGKCEFIYSTQKASCRCESGYTGQHCEKTDFSILYVVPSRQKLTHVLIAAIIGAVQIAIIVAIVMCITRKCPKNNRGRRQKQNLGHFTSDTSSRMV
+>DECOY_sp|Q8IYR6|TEFF1_HUMAN Tomoregulin-1 OS=Homo sapiens OX=9606 GN=TMEFF1 PE=1 SV=1
+VMRSSTDSTFHGLNQKQRRGRNNKPCKRTICMVIAVIIAIQVAGIIAAILVHTLKQRSPVVYLISFDTKECHQGTYGSECRCSAKQTSYIFECKGHICYGNLNEPCPMHNGIYVDERQDSADKVDPRYQLGDDKKGLLSTDDTDTCHGLHRIDIQEQKICSAERVFCPNNYSSGDSACVPNFSYGSCDINCVCGVNEADEDCEAKYKCPGCKSHKRHVEAGSGEEEGEGSGSGNDSYCPGRAIVTIEKQHKCAARRLFCENQYTDGNSGCVPIYNTHCQFQCACKLGDGDEKCVGGYKCSSEDCVRVDSERVNLESCNISKGKGGPCDGGSGGGGGPPQNSARSGPLSFAFLLLVSTYCCFALPPAAPLRLPAEAAAAGM
+>sp|Q96QE5|TEFM_HUMAN Transcription elongation factor, mitochondrial OS=Homo sapiens OX=9606 GN=TEFM PE=1 SV=1
+MSGSVLFTAGERWRCFLTPSRSSLYWALHNFCCRKKSTTPKKITPNVTFCDENAKEPENALDKLFSSEQQASILHVLNTASTKELEAFRLLRGRRSINIVEHRENFGPFQNLESLMNVPLFKYKSTVQVCNSILCPKTGREKRKSPENRFLRKLLKPDIERERLKAVNSIISIVFGTRRIAWAHLDRKLTVLDWQQSDRWSLMRGIYSSSVYLEEISSIISKMPKADFYVLEKTGLSIQNSSLFPILLHFHIMEAMLYALLNKTFAQDGQHQVLSMNRNAVGKHFELMIGDSRTSGKELVKQFLFDSILKADPRVFFPSDKIVHYRQMFLSTELQRVEELYDSLLQAIAFYELAVFDSQP
+>DECOY_sp|Q96QE5|TEFM_HUMAN Transcription elongation factor, mitochondrial OS=Homo sapiens OX=9606 GN=TEFM PE=1 SV=1
+PQSDFVALEYFAIAQLLSDYLEEVRQLETSLFMQRYHVIKDSPFFVRPDAKLISDFLFQKVLEKGSTRSDGIMLEFHKGVANRNMSLVQHQGDQAFTKNLLAYLMAEMIHFHLLIPFLSSNQISLGTKELVYFDAKPMKSIISSIEELYVSSSYIGRMLSWRDSQQWDLVTLKRDLHAWAIRRTGFVISIISNVAKLREREIDPKLLKRLFRNEPSKRKERGTKPCLISNCVQVTSKYKFLPVNMLSELNQFPGFNERHEVINISRRGRLLRFAELEKTSATNLVHLISAQQESSFLKDLANEPEKANEDCFTVNPTIKKPTTSKKRCCFNHLAWYLSSRSPTLFCRWREGATFLVSGSM
+>sp|Q9NT68|TEN2_HUMAN Teneurin-2 OS=Homo sapiens OX=9606 GN=TENM2 PE=1 SV=3
+MDVKDRRHRSLTRGRCGKECRYTSSSLDSEDCRVPTQKSYSSSETLKAYDHDSRMHYGNRVTDLIHRESDEFPRQGTNFTLAELGICEPSPHRSGYCSDMGILHQGYSLSTGSDADSDTEGGMSPEHAIRLWGRGIKSRRSSGLSSRENSALTLTDSDNENKSDDENGRPIPPTSSPSLLPSAQLPSSHNPPPVSCQMPLLDSNTSHQIMDTNPDEEFSPNSYLLRACSGPQQASSSGPPNHHSQSTLRPPLPPPHNHTLSHHHSSANSLNRNSLTNRRSQIHAPAPAPNDLATTPESVQLQDSWVLNSNVPLETRHFLFKTSSGSTPLFSSSSPGYPLTSGTVYTPPPRLLPRNTFSRKAFKLKKPSKYCSWKCAALSAIAAALLLAILLAYFIAMHLLGLNWQLQPADGHTFNNGIRTGLPGNDDVATMPSGGKVPWSLKNSSIDSGEAEVGRRVTQEVPPGVFWRSQIHISQPQFLKFNISLGKDALFGVYIRRGLPPSHAQYDFMERLDGKEKWSVVESPRERRSIQTLVQNEAVFVQYLDVGLWHLAFYNDGKDKEMVSFNTVVLDSVQDCPRNCHGNGECVSGVCHCFPGFLGADCAKAACPVLCSGNGQYSKGTCQCYSGWKGAECDVPMNQCIDPSCGGHGSCIDGNCVCSAGYKGEHCEEVDCLDPTCSSHGVCVNGECLCSPGWGGLNCELARVQCPDQCSGHGTYLPDTGLCSCDPNWMGPDCSVEVCSVDCGTHGVCIGGACRCEEGWTGAACDQRVCHPRCIEHGTCKDGKCECREGWNGEHCTIGRQTAGTETDGCPDLCNGNGRCTLGQNSWQCVCQTGWRGPGCNVAMETSCADNKDNEGDGLVDCLDPDCCLQSACQNSLLCRGSRDPLDIIQQGQTDWPAVKSFYDRIKLLAGKDSTHIIPGENPFNSSLVSLIRGQVVTTDGTPLVGVNVSFVKYPKYGYTITRQDGTFDLIANGGASLTLHFERAPFMSQERTVWLPWNSFYAMDTLVMKTEENSIPSCDLSGFVRPDPIIISSPLSTFFSAAPGQNPIVPETQVLHEEIELPGSNVKLRYLSSRTAGYKSLLKITMTQSTVPLNLIRVHLMVAVEGHLFQKSFQASPNLAYTFIWDKTDAYGQRVYGLSDAVVSVGFEYETCPSLILWEKRTALLQGFELDPSNLGGWSLDKHHILNVKSGILHKGTGENQFLTQQPAIITSIMGNGRRRSISCPSCNGLAEGNKLLAPVALAVGIDGSLYVGDFNYIRRIFPSRNVTSILELRNKEFKHSNNPAHKYYLAVDPVSGSLYVSDTNSRRIYRVKSLSGTKDLAGNSEVVAGTGEQCLPFDEARCGDGGKAIDATLMSPRGIAVDKNGLMYFVDATMIRKVDQNGIISTLLGSNDLTAVRPLSCDSSMDVAQVRLEWPTDLAVNPMDNSLYVLENNVILRITENHQVSIIAGRPMHCQVPGIDYSLSKLAIHSALESASAIAISHTGVLYITETDEKKINRLRQVTTNGEICLLAGAASDCDCKNDVNCNCYSGDDAYATDAILNSPSSLAVAPDGTIYIADLGNIRIRAVSKNKPVLNAFNQYEAASPGEQELYVFNADGIHQYTVSLVTGEYLYNFTYSTDNDVTELIDNNGNSLKIRRDSSGMPRHLLMPDNQIITLTVGTNGGLKVVSTQNLELGLMTYDGNTGLLATKSDETGWTTFYDYDHEGRLTNVTRPTGVVTSLHREMEKSITIDIENSNRDDDVTVITNLSSVEASYTVVQDQVRNSYQLCNNGTLRVMYANGMGISFHSEPHVLAGTITPTIGRCNISLPMENGLNSIEWRLRKEQIKGKVTIFGRKLRVHGRNLLSIDYDRNIRTEKIYDDHRKFTLRIIYDQVGRPFLWLPSSGLAAVNVSYFFNGRLAGLQRGAMSERTDIDKQGRIVSRMFADGKVWSYSYLDKSMVLLLQSQRQYIFEYDSSDRLLAVTMPSVARHSMSTHTSIGYIRNIYNPPESNASVIFDYSDDGRILKTSFLGTGRQVFYKYGKLSKLSEIVYDSTAVTFGYDETTGVLKMVNLQSGGFSCTIRYRKIGPLVDKQIYRFSEEGMVNARFDYTYHDNSFRIASIKPVISETPLPVDLYRYDEISGKVEHFGKFGVIYYDINQIITTAVMTLSKHFDTHGRIKEVQYEMFRSLMYWMTVQYDSMGRVIKRELKLGPYANTTKYTYDYDGDGQLQSVAVNDRPTWRYSYDLNGNLHLLNPGNSVRLMPLRYDLRDRITRLGDVQYKIDDDGYLCQRGSDIFEYNSKGLLTRAYNKASGWSVQYRYDGVGRRASYKTNLGHHLQYFYSDLHNPTRITHVYNHSNSEITSLYYDLQGHLFAMESSSGEEYYVASDNTGTPLAVFSINGLMIKQLQYTAYGEIYYDSNPDFQMVIGFHGGLYDPLTKLVHFTQRDYDVLAGRWTSPDYTMWKNVGKEPAPFNLYMFKSNNPLSSELDLKNYVTDVKSWLVMFGFQLSNIIPGFPRAKMYFVPPPYELSESQASENGQLITGVQQTTERHNQAFMALEGQVITKKLHASIREKAGHWFATTTPIIGKGIMFAIKEGRVTTGVSSIASEDSRKVASVLNNAYYLDKMHYSIEGKDTHYFVKIGSADGDLVTLGTTIGRKVLESGVNVTVSQPTLLVNGRTRRFTNIEFQYSTLLLSIRYGLTPDTLDEEKARVLDQARQRALGTAWAKEQQKARDGREGSRLWTEGEKQQLLSTGRVQGYEGYYVLPVEQYPELADSSSNIQFLRQNEMGKR
+>DECOY_sp|Q9NT68|TEN2_HUMAN Teneurin-2 OS=Homo sapiens OX=9606 GN=TENM2 PE=1 SV=3
+RKGMENQRLFQINSSSDALEPYQEVPLVYYGEYGQVRGTSLLQQKEGETWLRSGERGDRAKQQEKAWATGLARQRAQDLVRAKEEDLTDPTLGYRISLLLTSYQFEINTFRRTRGNVLLTPQSVTVNVGSELVKRGITTGLTVLDGDASGIKVFYHTDKGEISYHMKDLYYANNLVSAVKRSDESAISSVGTTVRGEKIAFMIGKGIIPTTTAFWHGAKERISAHLKKTIVQGELAMFAQNHRETTQQVGTILQGNESAQSESLEYPPPVFYMKARPFGPIINSLQFGFMVLWSKVDTVYNKLDLESSLPNNSKFMYLNFPAPEKGVNKWMTYDPSTWRGALVDYDRQTFHVLKTLPDYLGGHFGIVMQFDPNSDYYIEGYATYQLQKIMLGNISFVALPTGTNDSAVYYEEGSSSEMAFLHGQLDYYLSTIESNSHNYVHTIRTPNHLDSYFYQLHHGLNTKYSARRGVGDYRYQVSWGSAKNYARTLLGKSNYEFIDSGRQCLYGDDDIKYQVDGLRTIRDRLDYRLPMLRVSNGPNLLHLNGNLDYSYRWTPRDNVAVSQLQGDGDYDYTYKTTNAYPGLKLERKIVRGMSDYQVTMWYMLSRFMEYQVEKIRGHTDFHKSLTMVATTIIQNIDYYIVGFKGFHEVKGSIEDYRYLDVPLPTESIVPKISAIRFSNDHYTYDFRANVMGEESFRYIQKDVLPGIKRYRITCSFGGSQLNVMKLVGTTEDYGFTVATSDYVIESLKSLKGYKYFVQRGTGLFSTKLIRGDDSYDFIVSANSEPPNYINRIYGISTHTSMSHRAVSPMTVALLRDSSDYEFIYQRQSQLLLVMSKDLYSYSWVKGDAFMRSVIRGQKDIDTRESMAGRQLGALRGNFFYSVNVAALGSSPLWLFPRGVQDYIIRLTFKRHDDYIKETRINRDYDISLLNRGHVRLKRGFITVKGKIQEKRLRWEISNLGNEMPLSINCRGITPTITGALVHPESHFSIGMGNAYMVRLTGNNCLQYSNRVQDQVVTYSAEVSSLNTIVTVDDDRNSNEIDITISKEMERHLSTVVGTPRTVNTLRGEHDYDYFTTWGTEDSKTALLGTNGDYTMLGLELNQTSVVKLGGNTGVTLTIIQNDPMLLHRPMGSSDRRIKLSNGNNDILETVDNDTSYTFNYLYEGTVLSVTYQHIGDANFVYLEQEGPSAAEYQNFANLVPKNKSVARIRINGLDAIYITGDPAVALSSPSNLIADTAYADDGSYCNCNVDNKCDCDSAAGALLCIEGNTTVQRLRNIKKEDTETIYLVGTHSIAIASASELASHIALKSLSYDIGPVQCHMPRGAIISVQHNETIRLIVNNELVYLSNDMPNVALDTPWELRVQAVDMSSDCSLPRVATLDNSGLLTSIIGNQDVKRIMTADVFYMLGNKDVAIGRPSMLTADIAKGGDGCRAEDFPLCQEGTGAVVESNGALDKTGSLSKVRYIRRSNTDSVYLSGSVPDVALYYKHAPNNSHKFEKNRLELISTVNRSPFIRRIYNFDGVYLSGDIGVALAVPALLKNGEALGNCSPCSISRRRGNGMISTIIAPQQTLFQNEGTGKHLIGSKVNLIHHKDLSWGGLNSPDLEFGQLLATRKEWLILSPCTEYEFGVSVVADSLGYVRQGYADTKDWIFTYALNPSAQFSKQFLHGEVAVMLHVRILNLPVTSQTMTIKLLSKYGATRSSLYRLKVNSGPLEIEEHLVQTEPVIPNQGPAASFFTSLPSSIIIPDPRVFGSLDCSPISNEETKMVLTDMAYFSNWPLWVTREQSMFPAREFHLTLSAGGNAILDFTGDQRTITYGYKPYKVFSVNVGVLPTGDTTVVQGRILSVLSSNFPNEGPIIHTSDKGALLKIRDYFSKVAPWDTQGQQIIDLPDRSGRCLLSNQCASQLCCDPDLCDVLGDGENDKNDACSTEMAVNCGPGRWGTQCVCQWSNQGLTCRGNGNCLDPCGDTETGATQRGITCHEGNWGERCECKGDKCTGHEICRPHCVRQDCAAGTWGEECRCAGGICVGHTGCDVSCVEVSCDPGMWNPDCSCLGTDPLYTGHGSCQDPCQVRALECNLGGWGPSCLCEGNVCVGHSSCTPDLCDVEECHEGKYGASCVCNGDICSGHGGCSPDICQNMPVDCEAGKWGSYCQCTGKSYQGNGSCLVPCAAKACDAGLFGPFCHCVGSVCEGNGHCNRPCDQVSDLVVTNFSVMEKDKGDNYFALHWLGVDLYQVFVAENQVLTQISRRERPSEVVSWKEKGDLREMFDYQAHSPPLGRRIYVGFLADKGLSINFKLFQPQSIHIQSRWFVGPPVEQTVRRGVEAEGSDISSNKLSWPVKGGSPMTAVDDNGPLGTRIGNNFTHGDAPQLQWNLGLLHMAIFYALLIALLLAAAIASLAACKWSCYKSPKKLKFAKRSFTNRPLLRPPPTYVTGSTLPYGPSSSSFLPTSGSSTKFLFHRTELPVNSNLVWSDQLQVSEPTTALDNPAPAPAHIQSRRNTLSNRNLSNASSHHHSLTHNHPPPLPPRLTSQSHHNPPGSSSAQQPGSCARLLYSNPSFEEDPNTDMIQHSTNSDLLPMQCSVPPPNHSSPLQASPLLSPSSTPPIPRGNEDDSKNENDSDTLTLASNERSSLGSSRRSKIGRGWLRIAHEPSMGGETDSDADSGTSLSYGQHLIGMDSCYGSRHPSPECIGLEALTFNTGQRPFEDSERHILDTVRNGYHMRSDHDYAKLTESSSYSKQTPVRCDESDLSSSTYRCEKGCRGRTLSRHRRDKVDM
+>sp|P24821|TENA_HUMAN Tenascin OS=Homo sapiens OX=9606 GN=TNC PE=1 SV=3
+MGAMTQLLAGVFLAFLALATEGGVLKKVIRHKRQSGVNATLPEENQPVVFNHVYNIKLPVGSQCSVDLESASGEKDLAPPSEPSESFQEHTVDGENQIVFTHRINIPRRACGCAAAPDVKELLSRLEELENLVSSLREQCTAGAGCCLQPATGRLDTRPFCSGRGNFSTEGCGCVCEPGWKGPNCSEPECPGNCHLRGRCIDGQCICDDGFTGEDCSQLACPSDCNDQGKCVNGVCICFEGYAGADCSREICPVPCSEEHGTCVDGLCVCHDGFAGDDCNKPLCLNNCYNRGRCVENECVCDEGFTGEDCSELICPNDCFDRGRCINGTCYCEEGFTGEDCGKPTCPHACHTQGRCEEGQCVCDEGFAGVDCSEKRCPADCHNRGRCVDGRCECDDGFTGADCGELKCPNGCSGHGRCVNGQCVCDEGYTGEDCSQLRCPNDCHSRGRCVEGKCVCEQGFKGYDCSDMSCPNDCHQHGRCVNGMCVCDDGYTGEDCRDRQCPRDCSNRGLCVDGQCVCEDGFTGPDCAELSCPNDCHGQGRCVNGQCVCHEGFMGKDCKEQRCPSDCHGQGRCVDGQCICHEGFTGLDCGQHSCPSDCNNLGQCVSGRCICNEGYSGEDCSEVSPPKDLVVTEVTEETVNLAWDNEMRVTEYLVVYTPTHEGGLEMQFRVPGDQTSTIIQELEPGVEYFIRVFAILENKKSIPVSARVATYLPAPEGLKFKSIKETSVEVEWDPLDIAFETWEIIFRNMNKEDEGEITKSLRRPETSYRQTGLAPGQEYEISLHIVKNNTRGPGLKRVTTTRLDAPSQIEVKDVTDTTALITWFKPLAEIDGIELTYGIKDVPGDRTTIDLTEDENQYSIGNLKPDTEYEVSLISRRGDMSSNPAKETFTTGLDAPRNLRRVSQTDNSITLEWRNGKAAIDSYRIKYAPISGGDHAEVDVPKSQQATTKTTLTGLRPGTEYGIGVSAVKEDKESNPATINAATELDTPKDLQVSETAETSLTLLWKTPLAKFDRYRLNYSLPTGQWVGVQLPRNTTSYVLRGLEPGQEYNVLLTAEKGRHKSKPARVKASTEQAPELENLTVTEVGWDGLRLNWTAADQAYEHFIIQVQEANKVEAARNLTVPGSLRAVDIPGLKAATPYTVSIYGVIQGYRTPVLSAEASTGETPNLGEVVVAEVGWDALKLNWTAPEGAYEYFFIQVQEADTVEAAQNLTVPGGLRSTDLPGLKAATHYTITIRGVTQDFSTTPLSVEVLTEEVPDMGNLTVTEVSWDALRLNWTTPDGTYDQFTIQVQEADQVEEAHNLTVPGSLRSMEIPGLRAGTPYTVTLHGEVRGHSTRPLAVEVVTEDLPQLGDLAVSEVGWDGLRLNWTAADNAYEHFVIQVQEVNKVEAAQNLTLPGSLRAVDIPGLEAATPYRVSIYGVIRGYRTPVLSAEASTAKEPEIGNLNVSDITPESFNLSWMATDGIFETFTIEIIDSNRLLETVEYNISGAERTAHISGLPPSTDFIVYLSGLAPSIRTKTISATATTEALPLLENLTISDINPYGFTVSWMASENAFDSFLVTVVDSGKLLDPQEFTLSGTQRKLELRGLITGIGYEVMVSGFTQGHQTKPLRAEIVTEAEPEVDNLLVSDATPDGFRLSWTADEGVFDNFVLKIRDTKKQSEPLEITLLAPERTRDITGLREATEYEIELYGISKGRRSQTVSAIATTAMGSPKEVIFSDITENSATVSWRAPTAQVESFRITYVPITGGTPSMVTVDGTKTQTRLVKLIPGVEYLVSIIAMKGFEESEPVSGSFTTALDGPSGLVTANITDSEALARWQPAIATVDSYVISYTGEKVPEITRTVSGNTVEYALTDLEPATEYTLRIFAEKGPQKSSTITAKFTTDLDSPRDLTATEVQSETALLTWRPPRASVTGYLLVYESVDGTVKEVIVGPDTTSYSLADLSPSTHYTAKIQALNGPLRSNMIQTIFTTIGLLYPFPKDCSQAMLNGDTTSGLYTIYLNGDKAEALEVFCDMTSDGGGWIVFLRRKNGRENFYQNWKAYAAGFGDRREEFWLGLDNLNKITAQGQYELRVDLRDHGETAFAVYDKFSVGDAKTRYKLKVEGYSGTAGDSMAYHNGRSFSTFDKDTDSAITNCALSYKGAFWYRNCHRVNLMGRYGDNNHSQGVNWFHWKGHEHSIQFAEMKLRPSNFRNLEGRRKRA
+>DECOY_sp|P24821|TENA_HUMAN Tenascin OS=Homo sapiens OX=9606 GN=TNC PE=1 SV=3
+ARKRRGELNRFNSPRLKMEAFQISHEHGKWHFWNVGQSHNNDGYRGMLNVRHCNRYWFAGKYSLACNTIASDTDKDFTSFSRGNHYAMSDGATGSYGEVKLKYRTKADGVSFKDYVAFATEGHDRLDVRLEYQGQATIKNLNDLGLWFEERRDGFGAAYAKWNQYFNERGNKRRLFVIWGGGDSTMDCFVELAEAKDGNLYITYLGSTTDGNLMAQSCDKPFPYLLGITTFITQIMNSRLPGNLAQIKATYHTSPSLDALSYSTTDPGVIVEKVTGDVSEYVLLYGTVSARPPRWTLLATESQVETATLDRPSDLDTTFKATITSSKQPGKEAFIRLTYETAPELDTLAYEVTNGSVTRTIEPVKEGTYSIVYSDVTAIAPQWRALAESDTINATVLGSPGDLATTFSGSVPESEEFGKMAIISVLYEVGPILKVLRTQTKTGDVTVMSPTGGTIPVYTIRFSEVQATPARWSVTASNETIDSFIVEKPSGMATTAIASVTQSRRGKSIGYLEIEYETAERLGTIDRTREPALLTIELPESQKKTDRIKLVFNDFVGEDATWSLRFGDPTADSVLLNDVEPEAETVIEARLPKTQHGQTFGSVMVEYGIGTILGRLELKRQTGSLTFEQPDLLKGSDVVTVLFSDFANESAMWSVTFGYPNIDSITLNELLPLAETTATASITKTRISPALGSLYVIFDTSPPLGSIHATREAGSINYEVTELLRNSDIIEITFTEFIGDTAMWSLNFSEPTIDSVNLNGIEPEKATSAEASLVPTRYGRIVGYISVRYPTAAELGPIDVARLSGPLTLNQAAEVKNVEQVQIVFHEYANDAATWNLRLGDWGVESVALDGLQPLDETVVEVALPRTSHGRVEGHLTVTYPTGARLGPIEMSRLSGPVTLNHAEEVQDAEQVQITFQDYTGDPTTWNLRLADWSVETVTLNGMDPVEETLVEVSLPTTSFDQTVGRITITYHTAAKLGPLDTSRLGGPVTLNQAAEVTDAEQVQIFFYEYAGEPATWNLKLADWGVEAVVVEGLNPTEGTSAEASLVPTRYGQIVGYISVTYPTAAKLGPIDVARLSGPVTLNRAAEVKNAEQVQIIFHEYAQDAATWNLRLGDWGVETVTLNELEPAQETSAKVRAPKSKHRGKEATLLVNYEQGPELGRLVYSTTNRPLQVGVWQGTPLSYNLRYRDFKALPTKWLLTLSTEATESVQLDKPTDLETAANITAPNSEKDEKVASVGIGYETGPRLGTLTTKTTAQQSKPVDVEAHDGGSIPAYKIRYSDIAAKGNRWELTISNDTQSVRRLNRPADLGTTFTEKAPNSSMDGRRSILSVEYETDPKLNGISYQNEDETLDITTRDGPVDKIGYTLEIGDIEALPKFWTILATTDTVDKVEIQSPADLRTTTVRKLGPGRTNNKVIHLSIEYEQGPALGTQRYSTEPRRLSKTIEGEDEKNMNRFIIEWTEFAIDLPDWEVEVSTEKISKFKLGEPAPLYTAVRASVPISKKNELIAFVRIFYEVGPELEQIITSTQDGPVRFQMELGGEHTPTYVVLYETVRMENDWALNVTEETVETVVLDKPPSVESCDEGSYGENCICRGSVCQGLNNCDSPCSHQGCDLGTFGEHCICQGDVCRGQGHCDSPCRQEKCDKGMFGEHCVCQGNVCRGQGHCDNPCSLEACDPGTFGDECVCQGDVCLGRNSCDRPCQRDRCDEGTYGDDCVCMGNVCRGHQHCDNPCSMDSCDYGKFGQECVCKGEVCRGRSHCDNPCRLQSCDEGTYGEDCVCQGNVCRGHGSCGNPCKLEGCDAGTFGDDCECRGDVCRGRNHCDAPCRKESCDVGAFGEDCVCQGEECRGQTHCAHPCTPKGCDEGTFGEECYCTGNICRGRDFCDNPCILESCDEGTFGEDCVCENEVCRGRNYCNNLCLPKNCDDGAFGDHCVCLGDVCTGHEESCPVPCIERSCDAGAYGEFCICVGNVCKGQDNCDSPCALQSCDEGTFGDDCICQGDICRGRLHCNGPCEPESCNPGKWGPECVCGCGETSFNGRGSCFPRTDLRGTAPQLCCGAGATCQERLSSVLNELEELRSLLEKVDPAAACGCARRPINIRHTFVIQNEGDVTHEQFSESPESPPALDKEGSASELDVSCQSGVPLKINYVHNFVVPQNEEPLTANVGSQRKHRIVKKLVGGETALALFALFVGALLQTMAGM
+>sp|Q92752|TENR_HUMAN Tenascin-R OS=Homo sapiens OX=9606 GN=TNR PE=1 SV=3
+MGADGETVVLKNMLIGINLILLGSMIKPSECQLEVTTERVQRQSVEEEGGIANYNTSSKEQPVVFNHVYNINVPLDNLCSSGLEASAEQEVSAEDETLAEYMGQTSDHESQVTFTHRINFPKKACPCASSAQVLQELLSRIEMLEREVSVLRDQCNANCCQESAATGQLDYIPHCSGHGNFSFESCGCICNEGWFGKNCSEPYCPLGCSSRGVCVDGQCICDSEYSGDDCSELRCPTDCSSRGLCVDGECVCEEPYTGEDCRELRCPGDCSGKGRCANGTCLCEEGYVGEDCGQRQCLNACSGRGQCEEGLCVCEEGYQGPDCSAVAPPEDLRVAGISDRSIELEWDGPMAVTEYVISYQPTALGGLQLQQRVPGDWSGVTITELEPGLTYNISVYAVISNILSLPITAKVATHLSTPQGLQFKTITETTVEVQWEPFSFSFDGWEISFIPKNNEGGVIAQVPSDVTSFNQTGLKPGEEYIVNVVALKEQARSPPTSASVSTVIDGPTQILVRDVSDTVAFVEWIPPRAKVDFILLKYGLVGGEGGRTTFRLQPPLSQYSVQALRPGSRYEVSVSAVRGTNESDSATTQFTTEIDAPKNLRVGSRTATSLDLEWDNSEAEVQEYKVVYSTLAGEQYHEVLVPRGIGPTTRATLTDLVPGTEYGVGISAVMNSQQSVPATMNARTELDSPRDLMVTASSETSISLIWTKASGPIDHYRITFTPSSGIASEVTVPKDRTSYTLTDLEPGAEYIISVTAERGRQQSLESTVDAFTGFRPISHLHFSHVTSSSVNITWSDPSPPADRLILNYSPRDEEEEMMEVSLDATKRHAVLMGLQPATEYIVNLVAVHGTVTSEPIVGSITTGIDPPKDITISNVTKDSVMVSWSPPVASFDYYRVSYRPTQVGRLDSSVVPNTVTEFTITRLNPATEYEISLNSVRGREESERICTLVHTAMDNPVDLIATNITPTEALLQWKAPVGEVENYVIVLTHFAVAGETILVDGVSEEFRLVDLLPSTHYTATMYATNGPLTSGTISTNFSTLLDPPANLTASEVTRQSALISWQPPRAEIENYVLTYKSTDGSRKELIVDAEDTWIRLEGLLENTDYTVLLQAAQDTTWSSITSTAFTTGGRVFPHPQDCAQHLMNGDTLSGVYPIFLNGELSQKLQVYCDMTTDGGGWIVFQRRQNGQTDFFRKWADYRVGFGNVEDEFWLGLDNIHRITSQGRYELRVDMRDGQEAAFASYDRFSVEDSRNLYKLRIGSYNGTAGDSLSYHQGRPFSTEDRDNDVAVTNCAMSYKGAWWYKNCHRTNLNGKYGESRHSQGINWYHWKGHEFSIPFVEMKMRPYNHRLMAGRKRQSLQF
+>DECOY_sp|Q92752|TENR_HUMAN Tenascin-R OS=Homo sapiens OX=9606 GN=TNR PE=1 SV=3
+FQLSQRKRGAMLRHNYPRMKMEVFPISFEHGKWHYWNIGQSHRSEGYKGNLNTRHCNKYWWAGKYSMACNTVAVDNDRDETSFPRGQHYSLSDGATGNYSGIRLKYLNRSDEVSFRDYSAFAAEQGDRMDVRLEYRGQSTIRHINDLGLWFEDEVNGFGVRYDAWKRFFDTQGNQRRQFVIWGGGDTTMDCYVQLKQSLEGNLFIPYVGSLTDGNMLHQACDQPHPFVRGGTTFATSTISSWTTDQAAQLLVTYDTNELLGELRIWTDEADVILEKRSGDTSKYTLVYNEIEARPPQWSILASQRTVESATLNAPPDLLTSFNTSITGSTLPGNTAYMTATYHTSPLLDVLRFEESVGDVLITEGAVAFHTLVIVYNEVEGVPAKWQLLAETPTINTAILDVPNDMATHVLTCIRESEERGRVSNLSIEYETAPNLRTITFETVTNPVVSSDLRGVQTPRYSVRYYDFSAVPPSWSVMVSDKTVNSITIDKPPDIGTTISGVIPESTVTGHVAVLNVIYETAPQLGMLVAHRKTADLSVEMMEEEEDRPSYNLILRDAPPSPDSWTINVSSSTVHSFHLHSIPRFGTFADVTSELSQQRGREATVSIIYEAGPELDTLTYSTRDKPVTVESAIGSSPTFTIRYHDIPGSAKTWILSISTESSATVMLDRPSDLETRANMTAPVSQQSNMVASIGVGYETGPVLDTLTARTTPGIGRPVLVEHYQEGALTSYVVKYEQVEAESNDWELDLSTATRSGVRLNKPADIETTFQTTASDSENTGRVASVSVEYRSGPRLAQVSYQSLPPQLRFTTRGGEGGVLGYKLLIFDVKARPPIWEVFAVTDSVDRVLIQTPGDIVTSVSASTPPSRAQEKLAVVNVIYEEGPKLGTQNFSTVDSPVQAIVGGENNKPIFSIEWGDFSFSFPEWQVEVTTETITKFQLGQPTSLHTAVKATIPLSLINSIVAYVSINYTLGPELETITVGSWDGPVRQQLQLGGLATPQYSIVYETVAMPGDWELEISRDSIGAVRLDEPPAVASCDPGQYGEECVCLGEECQGRGSCANLCQRQGCDEGVYGEECLCTGNACRGKGSCDGPCRLERCDEGTYPEECVCEGDVCLGRSSCDTPCRLESCDDGSYESDCICQGDVCVGRSSCGLPCYPESCNKGFWGENCICGCSEFSFNGHGSCHPIYDLQGTAASEQCCNANCQDRLVSVERELMEIRSLLEQLVQASSACPCAKKPFNIRHTFTVQSEHDSTQGMYEALTEDEASVEQEASAELGSSCLNDLPVNINYVHNFVVPQEKSSTNYNAIGGEEEVSQRQVRETTVELQCESPKIMSGLLILNIGILMNKLVVTEGDAGM
+>sp|Q9HBL0|TENS1_HUMAN Tensin-1 OS=Homo sapiens OX=9606 GN=TNS1 PE=1 SV=2
+MSVSRTMEDSCELDLVYVTERIIAVSFPSTANEENFRSNLREVAQMLKSKHGGNYLLFNLSERRPDITKLHAKVLEFGWPDLHTPALEKICSICKAMDTWLNADPHNVVVLHNKGNRGRIGVVIAAYMHYSNISASADQALDRFAMKRFYEDKIVPIGQPSQRRYVHYFSGLLSGSIKMNNKPLFLHHVIMHGIPNFESKGGCRPFLRIYQAMQPVYTSGIYNIPGDSQTSVCITIEPGLLLKGDILLKCYHKKFRSPARDVIFRVQFHTCAIHDLGVVFGKEDLDDAFKDDRFPEYGKVEFVFSYGPEKIQGMEHLENGPSVSVDYNTSDPLIRWDSYDNFSGHRDDGMEEVVGHTQGPLDGSLYAKVKKKDSLHGSTGAVNATRPTLSATPNHVEHTLSVSSDSGNSTASTKTDKTDEPVPGASSATAALSPQEKRELDRLLSGFGLEREKQGAMYHTQHLRSRPAGGSAVPSSGRHVVPAQVHVNGGALASERETDILDDELPNQDGHSAGSMGTLSSLDGVTNTSEGGYPEALSPLTNGLDKSYPMEPMVNGGGYPYESASRAGPAHAGHTAPMRPSYSAQEGLAGYQREGPHPAWPQPVTTSHYAHDPSGMFRSQSFSEAEPQLPPAPVRGGSSREAVQRGLNSWQQQQQQQQQPRPPPRQQERAHLESLVASRPSPQPLAETPIPSLPEFPRAASQQEIEQSIETLNMLMLDLEPASAAAPLHKSQSVPGAWPGASPLSSQPLSGSSRQSHPLTQSRSGYIPSGHSLGTPEPAPRASLESVPPGRSYSPYDYQPCLAGPNQDFHSKSPASSSLPAFLPTTHSPPGPQQPPASLPGLTAQPLLSPKEATSDPSRTPEEEPLNLEGLVAHRVAGVQAREKQPAEPPAPLRRRAASDGQYENQSPEATSPRSPGVRSPVQCVSPELALTIALNPGGRPKEPHLHSYKEAFEEMEGTSPSSPPPSGVRSPPGLAKTPLSALGLKPHNPADILLHPTGVTRRRIQPEEDEGKVVVRLSEEPRSYVESVARTAVAGPRAQDSEPKSFSAPATQAYGHEIPLRNGTLGGSFVSPSPLSTSSPILSADSTSVGSFPSGESSDQGPRTPTQPLLESGFRSGSLGQPSPSAQRNYQSSSPLPTVGSSYSSPDYSLQHFSSSPESQARAQFSVAGVHTVPGSPQARHRTVGTNTPPSPGFGWRAINPSMAAPSSPSLSHHQMMGPPGTGFHGSTVSSPQSSAATTPGSPSLCRHPAGVYQVSGLHNKVATTPGSPSLGRHPGAHQGNLASGLHSNAIASPGSPSLGRHLGGSGSVVPGSPCLDRHVAYGGYSTPEDRRPTLSRQSSASGYQAPSTPSFPVSPAYYPGLSSPATSPSPDSAAFRQGSPTPALPEKRRMSVGDRAGSLPNYATINGKVSSPVASGMSSPSGGSTVSFSHTLPDFSKYSMPDNSPETRAKVKFVQDTSKYWYKPEISREQAIALLKDQEPGAFIIRDSHSFRGAYGLAMKVSSPPPTIMQQNKKGDMTHELVRHFLIETGPRGVKLKGCPNEPNFGSLSALVYQHSIIPLALPCKLVIPNRDPTDESKDSSGPANSTADLLKQGAACNVLFVNSVDMESLTGPQAISKATSETLAADPTPAATIVHFKVSAQGITLTDNQRKLFFRRHYPLNTVTFCDLDPQERKWMKTEGGAPAKLFGFVARKQGSTTDNACHLFAELDPNQPASAIVNFVSKVMLNAGQKR
+>DECOY_sp|Q9HBL0|TENS1_HUMAN Tensin-1 OS=Homo sapiens OX=9606 GN=TNS1 PE=1 SV=2
+RKQGANLMVKSVFNVIASAPQNPDLEAFLHCANDTTSGQKRAVFGFLKAPAGGETKMWKREQPDLDCFTVTNLPYHRRFFLKRQNDTLTIGQASVKFHVITAAPTPDAALTESTAKSIAQPGTLSEMDVSNVFLVNCAAGQKLLDATSNAPGSSDKSEDTPDRNPIVLKCPLALPIISHQYVLASLSGFNPENPCGKLKVGRPGTEILFHRVLEHTMDGKKNQQMITPPPSSVKMALGYAGRFSHSDRIIFAGPEQDKLLAIAQERSIEPKYWYKSTDQVFKVKARTEPSNDPMSYKSFDPLTHSFSVTSGGSPSSMGSAVPSSVKGNITAYNPLSGARDGVSMRRKEPLAPTPSGQRFAASDPSPSTAPSSLGPYYAPSVPFSPTSPAQYGSASSQRSLTPRRDEPTSYGGYAVHRDLCPSGPVVSGSGGLHRGLSPSGPSAIANSHLGSALNGQHAGPHRGLSPSGPTTAVKNHLGSVQYVGAPHRCLSPSGPTTAASSQPSSVTSGHFGTGPPGMMQHHSLSPSSPAAMSPNIARWGFGPSPPTNTGVTRHRAQPSGPVTHVGAVSFQARAQSEPSSSFHQLSYDPSSYSSGVTPLPSSSQYNRQASPSPQGLSGSRFGSELLPQTPTRPGQDSSEGSPFSGVSTSDASLIPSSTSLPSPSVFSGGLTGNRLPIEHGYAQTAPASFSKPESDQARPGAVATRAVSEVYSRPEESLRVVVKGEDEEPQIRRRTVGTPHLLIDAPNHPKLGLASLPTKALGPPSRVGSPPPSSPSTGEMEEFAEKYSHLHPEKPRGGPNLAITLALEPSVCQVPSRVGPSRPSTAEPSQNEYQGDSAARRRLPAPPEAPQKERAQVGAVRHAVLGELNLPEEEPTRSPDSTAEKPSLLPQATLGPLSAPPQQPGPPSHTTPLFAPLSSSAPSKSHFDQNPGALCPQYDYPSYSRGPPVSELSARPAPEPTGLSHGSPIYGSRSQTLPHSQRSSGSLPQSSLPSAGPWAGPVSQSKHLPAAASAPELDLMLMNLTEISQEIEQQSAARPFEPLSPIPTEALPQPSPRSAVLSELHAREQQRPPPRPQQQQQQQQQWSNLGRQVAERSSGGRVPAPPLQPEAESFSQSRFMGSPDHAYHSTTVPQPWAPHPGERQYGALGEQASYSPRMPATHGAHAPGARSASEYPYGGGNVMPEMPYSKDLGNTLPSLAEPYGGESTNTVGDLSSLTGMSGASHGDQNPLEDDLIDTERESALAGGNVHVQAPVVHRGSSPVASGGAPRSRLHQTHYMAGQKERELGFGSLLRDLERKEQPSLAATASSAGPVPEDTKDTKTSATSNGSDSSVSLTHEVHNPTASLTPRTANVAGTSGHLSDKKKVKAYLSGDLPGQTHGVVEEMGDDRHGSFNDYSDWRILPDSTNYDVSVSPGNELHEMGQIKEPGYSFVFEVKGYEPFRDDKFADDLDEKGFVVGLDHIACTHFQVRFIVDRAPSRFKKHYCKLLIDGKLLLGPEITICVSTQSDGPINYIGSTYVPQMAQYIRLFPRCGGKSEFNPIGHMIVHHLFLPKNNMKISGSLLGSFYHVYRRQSPQGIPVIKDEYFRKMAFRDLAQDASASINSYHMYAAIVVGIRGRNGKNHLVVVNHPDANLWTDMAKCISCIKELAPTHLDPWGFELVKAHLKTIDPRRESLNFLLYNGGHKSKLMQAVERLNSRFNEENATSPFSVAIIRETVYVLDLECSDEMTRSVSM
+>sp|Q12800|TFCP2_HUMAN Alpha-globin transcription factor CP2 OS=Homo sapiens OX=9606 GN=TFCP2 PE=1 SV=2
+MAWALKLPLADEVIESGLVQDFDASLSGIGQELGAGAYSMSDVLALPIFKQEESSLPPDNENKILPFQYVLCAATSPAVKLHDETLTYLNQGQSYEIRMLDNRKLGELPEINGKLVKSIFRVVFHDRRLQYTEHQQLEGWRWNRPGDRILDIDIPMSVGIIDPRANPTQLNTVEFLWDPAKRTSVFIQVHCISTEFTMRKHGGEKGVPFRVQIDTFKENENGEYTEHLHSASCQIKVFKPKGADRKQKTDREKMEKRTPHEKEKYQPSYETTILTECSPWPEITYVNNSPSPGFNSSHSSFSLGEGNGSPNHQPEPPPPVTDNLLPTTTPQEAQQWLHRNRFSTFTRLFTNFSGADLLKLTRDDVIQICGPADGIRLFNALKGRMVRPRLTIYVCQESLQLREQQQQQQQQQQKHEDGDSNGTFFVYHAIYLEELTAVELTEKIAQLFSISPCQISQIYKQGPTGIHVLISDEMIQNFQEEACFILDTMKAETNDSYHIILK
+>DECOY_sp|Q12800|TFCP2_HUMAN Alpha-globin transcription factor CP2 OS=Homo sapiens OX=9606 GN=TFCP2 PE=1 SV=2
+KLIIHYSDNTEAKMTDLIFCAEEQFNQIMEDSILVHIGTPGQKYIQSIQCPSISFLQAIKETLEVATLEELYIAHYVFFTGNSDGDEHKQQQQQQQQQQERLQLSEQCVYITLRPRVMRGKLANFLRIGDAPGCIQIVDDRTLKLLDAGSFNTFLRTFTSFRNRHLWQQAEQPTTTPLLNDTVPPPPEPQHNPSGNGEGLSFSSHSSNFGPSPSNNVYTIEPWPSCETLITTEYSPQYKEKEHPTRKEMKERDTKQKRDAGKPKFVKIQCSASHLHETYEGNENEKFTDIQVRFPVGKEGGHKRMTFETSICHVQIFVSTRKAPDWLFEVTNLQTPNARPDIIGVSMPIDIDLIRDGPRNWRWGELQQHETYQLRRDHFVVRFISKVLKGNIEPLEGLKRNDLMRIEYSQGQNLYTLTEDHLKVAPSTAACLVYQFPLIKNENDPPLSSEEQKFIPLALVDSMSYAGAGLEQGIGSLSADFDQVLGSEIVEDALPLKLAWAM
+>sp|Q5H9I0|TFDP3_HUMAN Transcription factor Dp family member 3 OS=Homo sapiens OX=9606 GN=TFDP3 PE=1 SV=1
+MAKYVSLTEANEELKVLMDENQTSRPVAVHTSTVNPLGKQLLPKTFGQSSVNIDQQVVIGMPQRPAASNIPVVGSPNPPSTHFASQNQHSYSSPPWAGQHNRKGEKNGMGLCRLSMKVWETVQRKGTTSCQEVVGELVAKFRAASNHASPNESAYDVKNIKRRTYDALNVLMAMNIISREKKKIKWIGLTTNSAQNCQNLRVERQKRLERIKQKQSELQQLILQQIAFKNLVLRNQYVEEQVSQRPLPNSVIHVPFIIISSSKKTVINCSISDDKSEYLFKFNSSFEIHDDTEVLMWMGMTFGLESGSCSAEDLKMARNLVPKALEPYVTEMAQGTFGGVFTTAGSRSNGTWLSASDLTNIAIGMLATSSGGSQYSGSRVETPAVEEEEEEDNNDDDLSENDEDD
+>DECOY_sp|Q5H9I0|TFDP3_HUMAN Transcription factor Dp family member 3 OS=Homo sapiens OX=9606 GN=TFDP3 PE=1 SV=1
+DDEDNESLDDDNNDEEEEEEVAPTEVRSGSYQSGGSSTALMGIAINTLDSASLWTGNSRSGATTFVGGFTGQAMETVYPELAKPVLNRAMKLDEASCSGSELGFTMGMWMLVETDDHIEFSSNFKFLYESKDDSISCNIVTKKSSSIIIFPVHIVSNPLPRQSVQEEVYQNRLVLNKFAIQQLILQQLESQKQKIRELRKQREVRLNQCNQASNTTLGIWKIKKKERSIINMAMLVNLADYTRRKINKVDYASENPSAHNSAARFKAVLEGVVEQCSTTGKRQVTEWVKMSLRCLGMGNKEGKRNHQGAWPPSSYSHQNQSAFHTSPPNPSGVVPINSAAPRQPMGIVVQQDINVSSQGFTKPLLQKGLPNVTSTHVAVPRSTQNEDMLVKLEENAETLSVYKAM
+>sp|P15923|TFE2_HUMAN Transcription factor E2-alpha OS=Homo sapiens OX=9606 GN=TCF3 PE=1 SV=1
+MNQPQRMAPVGTDKELSDLLDFSMMFPLPVTNGKGRPASLAGAQFGGSGLEDRPSSGSWGSGDQSSSSFDPSRTFSEGTHFTESHSSLSSSTFLGPGLGGKSGERGAYASFGRDAGVGGLTQAGFLSGELALNSPGPLSPSGMKGTSQYYPSYSGSSRRRAADGSLDTQPKKVRKVPPGLPSSVYPPSSGEDYGRDATAYPSAKTPSSTYPAPFYVADGSLHPSAELWSPPGQAGFGPMLGGGSSPLPLPPGSGPVGSSGSSSTFGGLHQHERMGYQLHGAEVNGGLPSASSFSSAPGATYGGVSSHTPPVSGADSLLGSRGTTAGSSGDALGKALASIYSPDHSSNNFSSSPSTPVGSPQGLAGTSQWPRAGAPGALSPSYDGGLHGLQSKIEDHLDEAIHVLRSHAVGTAGDMHTLLPGHGALASGFTGPMSLGGRHAGLVGGSHPEDGLAGSTSLMHNHAALPSQPGTLPDLSRPPDSYSGLGRAGATAAASEIKREEKEDEENTSAADHSEEEKKELKAPRARTSPDEDEDDLLPPEQKAEREKERRVANNARERLRVRDINEAFKELGRMCQLHLNSEKPQTKLLILHQAVSVILNLEQQVRERNLNPKAACLKRREEEKVSGVVGDPQMVLSAPHPGLSEAHNPAGHM
+>DECOY_sp|P15923|TFE2_HUMAN Transcription factor E2-alpha OS=Homo sapiens OX=9606 GN=TCF3 PE=1 SV=1
+MHGAPNHAESLGPHPASLVMQPDGVVGSVKEEERRKLCAAKPNLNRERVQQELNLIVSVAQHLILLKTQPKESNLHLQCMRGLEKFAENIDRVRLRERANNAVRREKEREAKQEPPLLDDEDEDPSTRARPAKLEKKEEESHDAASTNEEDEKEERKIESAAATAGARGLGSYSDPPRSLDPLTGPQSPLAAHNHMLSTSGALGDEPHSGGVLGAHRGGLSMPGTFGSALAGHGPLLTHMDGATGVAHSRLVHIAEDLHDEIKSQLGHLGGDYSPSLAGPAGARPWQSTGALGQPSGVPTSPSSSFNNSSHDPSYISALAKGLADGSSGATTGRSGLLSDAGSVPPTHSSVGGYTAGPASSFSSASPLGGNVEAGHLQYGMREHQHLGGFTSSSGSSGVPGSGPPLPLPSSGGGLMPGFGAQGPPSWLEASPHLSGDAVYFPAPYTSSPTKASPYATADRGYDEGSSPPYVSSPLGPPVKRVKKPQTDLSGDAARRRSSGSYSPYYQSTGKMGSPSLPGPSNLALEGSLFGAQTLGGVGADRGFSAYAGREGSKGGLGPGLFTSSSLSSHSETFHTGESFTRSPDFSSSSQDGSGWSGSSPRDELGSGGFQAGALSAPRGKGNTVPLPFMMSFDLLDSLEKDTGVPAMRQPQNM
+>sp|O14948|TFEC_HUMAN Transcription factor EC OS=Homo sapiens OX=9606 GN=TFEC PE=1 SV=1
+MTLDHQIINPTLKWSQPAVPSGGPLVQHAHTTLDSDAGLTENPLTKLLAIGKEDDNAQWHMEDVIEDIIGMESSFKEEGADSPLLMQRTLSGSILDVYSGEQGISPINMGLTSASCPSSLPMKREITETDTRALAKERQKKDNHNLIERRRRYNINYRIKELGTLIPKSNDPDMRWNKGTILKASVEYIKWLQKEQQRARELEHRQKKLEQANRRLLLRIQELEIQARTHGLPTLASLGTVDLGAHVTKQQSHPEQNSVDYCQQLTVSQGPSPELCDQAIAFSDPLSYFTDLSFSAALKEEQRLDGMLLDDTISPFGTDPLLSATSPAVSKESSRRSSFSSDDGDEL
+>DECOY_sp|O14948|TFEC_HUMAN Transcription factor EC OS=Homo sapiens OX=9606 GN=TFEC PE=1 SV=1
+LEDGDDSSFSSRRSSEKSVAPSTASLLPDTGFPSITDDLLMGDLRQEEKLAASFSLDTFYSLPDSFAIAQDCLEPSPGQSVTLQQCYDVSNQEPHSQQKTVHAGLDVTGLSALTPLGHTRAQIELEQIRLLLRRNAQELKKQRHELERARQQEKQLWKIYEVSAKLITGKNWRMDPDNSKPILTGLEKIRYNINYRRRREILNHNDKKQREKALARTDTETIERKMPLSSPCSASTLGMNIPSIGQEGSYVDLISGSLTRQMLLPSDAGEEKFSSEMGIIDEIVDEMHWQANDDEKGIALLKTLPNETLGADSDLTTHAHQVLPGGSPVAPQSWKLTPNIIQHDLTM
+>sp|Q9UP52|TFR2_HUMAN Transferrin receptor protein 2 OS=Homo sapiens OX=9606 GN=TFR2 PE=1 SV=1
+MERLWGLFQRAQQLSPRSSQTVYQRVEGPRKGHLEEEEEDGEEGAETLAHFCPMELRGPEPLGSRPRQPNLIPWAAAGRRAAPYLVLTALLIFTGAFLLGYVAFRGSCQACGDSVLVVSEDVNYEPDLDFHQGRLYWSDLQAMFLQFLGEGRLEDTIRQTSLRERVAGSAGMAALTQDIRAALSRQKLDHVWTDTHYVGLQFPDPAHPNTLHWVDEAGKVGEQLPLEDPDVYCPYSAIGNVTGELVYAHYGRPEDLQDLRARGVDPVGRLLLVRVGVISFAQKVTNAQDFGAQGVLIYPEPADFSQDPPKPSLSSQQAVYGHVHLGTGDPYTPGFPSFNQTQFPPVASSGLPSIPAQPISADIASRLLRKLKGPVAPQEWQGSLLGSPYHLGPGPRLRLVVNNHRTSTPINNIFGCIEGRSEPDHYVVIGAQRDAWGPGAAKSAVGTAILLELVRTFSSMVSNGFRPRRSLLFISWDGGDFGSVGSTEWLEGYLSVLHLKAVVYVSLDNAVLGDDKFHAKTSPLLTSLIESVLKQVDSPNHSGQTLYEQVVFTNPSWDAEVIRPLPMDSSAYSFTAFVGVPAVEFSFMEDDQAYPFLHTKEDTYENLHKVLQGRLPAVAQAVAQLAGQLLIRLSHDRLLPLDFGRYGDVVLRHIGNLNEFSGDLKARGLTLQWVYSARGDYIRAAEKLRQEIYSSEERDERLTRMYNVRIMRVEFYFLSQYVSPADSPFRHIFMGRGDHTLGALLDHLRLLRSNSSGTPGATSSTGFQESRFRRQLALLTWTLQGAANALSGDVWNIDNNF
+>DECOY_sp|Q9UP52|TFR2_HUMAN Transferrin receptor protein 2 OS=Homo sapiens OX=9606 GN=TFR2 PE=1 SV=1
+FNNDINWVDGSLANAAGQLTWTLLALQRRFRSEQFGTSSTAGPTGSSNSRLLRLHDLLAGLTHDGRGMFIHRFPSDAPSVYQSLFYFEVRMIRVNYMRTLREDREESSYIEQRLKEAARIYDGRASYVWQLTLGRAKLDGSFENLNGIHRLVVDGYRGFDLPLLRDHSLRILLQGALQAVAQAVAPLRGQLVKHLNEYTDEKTHLFPYAQDDEMFSFEVAPVGVFATFSYASSDMPLPRIVEADWSPNTFVVQEYLTQGSHNPSDVQKLVSEILSTLLPSTKAHFKDDGLVANDLSVYVVAKLHLVSLYGELWETSGVSGFDGGDWSIFLLSRRPRFGNSVMSSFTRVLELLIATGVASKAAGPGWADRQAGIVVYHDPESRGEICGFINNIPTSTRHNNVVLRLRPGPGLHYPSGLLSGQWEQPAVPGKLKRLLRSAIDASIPQAPISPLGSSAVPPFQTQNFSPFGPTYPDGTGLHVHGYVAQQSSLSPKPPDQSFDAPEPYILVGQAGFDQANTVKQAFSIVGVRVLLLRGVPDVGRARLDQLDEPRGYHAYVLEGTVNGIASYPCYVDPDELPLQEGVKGAEDVWHLTNPHAPDPFQLGVYHTDTWVHDLKQRSLAARIDQTLAAMGASGAVRERLSTQRITDELRGEGLFQLFMAQLDSWYLRGQHFDLDPEYNVDESVVLVSDGCAQCSGRFAVYGLLFAGTFILLATLVLYPAARRGAAAWPILNPQRPRSGLPEPGRLEMPCFHALTEAGEEGDEEEEELHGKRPGEVRQYVTQSSRPSLQQARQFLGWLREM
+>sp|O95455|TGDS_HUMAN dTDP-D-glucose 4,6-dehydratase OS=Homo sapiens OX=9606 GN=TGDS PE=1 SV=1
+MSAACWEEPWGLPGGFAKRVLVTGGAGFIASHMIVSLVEDYPNYMIINLDKLDYCASLKNLETISNKQNYKFIQGDICDSHFVKLLFETEKIDIVLHFAAQTHVDLSFVRAFEFTYVNVYGTHVLVSAAHEARVEKFIYVSTDEVYGGSLDKEFDESSPKQPTNPYASSKAAAECFVQSYWEQYKFPVVITRSSNVYGPHQYPEKVIPKFISLLQHNRKCCIHGSGLQTRNFLYATDVVEAFLTVLKKGKPGEIYNIGTNFEMSVVQLAKELIQLIKETNSESEMENWVDYVNDRPTNDMRYPMKSEKIHGLGWRPKVPWKEGIKKTIEWYRENFHNWKNVEKALEPFPV
+>DECOY_sp|O95455|TGDS_HUMAN dTDP-D-glucose 4,6-dehydratase OS=Homo sapiens OX=9606 GN=TGDS PE=1 SV=1
+VPFPELAKEVNKWNHFNERYWEITKKIGEKWPVKPRWGLGHIKESKMPYRMDNTPRDNVYDVWNEMESESNTEKILQILEKALQVVSMEFNTGINYIEGPKGKKLVTLFAEVVDTAYLFNRTQLGSGHICCKRNHQLLSIFKPIVKEPYQHPGYVNSSRTIVVPFKYQEWYSQVFCEAAAKSSAYPNTPQKPSSEDFEKDLSGGYVEDTSVYIFKEVRAEHAASVLVHTGYVNVYTFEFARVFSLDVHTQAAFHLVIDIKETEFLLKVFHSDCIDGQIFKYNQKNSITELNKLSACYDLKDLNIIMYNPYDEVLSVIMHSAIFGAGGTVLVRKAFGGPLGWPEEWCAASM
+>sp|Q96EK4|THA11_HUMAN THAP domain-containing protein 11 OS=Homo sapiens OX=9606 GN=THAP11 PE=1 SV=2
+MPGFTCCVPGCYNNSHRDKALHFYTFPKDAELRRLWLKNVSRAGVSGCFSTFQPTTGHRLCSVHFQGGRKTYTVRVPTIFPLRGVNERKVARRPAGAAAARRRQQQQQQQQQQQQQQQQQQQQQQQQQQQQQSSPSASTAQTAQLQPNLVSASAAVLLTLQATVDSSQAPGSVQPAPITPTGEDVKPIDLTVQVEFAAAEGAAAAAAASELQAATAGLEAAECPMGPQLVVVGEEGFPDTGSDHSYSLSSGTTEEELLRKLNEQRDILALMEVKMKEMKGSIRHLRLTEAKLREELREKDRLLAMAVIRKKHGM
+>DECOY_sp|Q96EK4|THA11_HUMAN THAP domain-containing protein 11 OS=Homo sapiens OX=9606 GN=THAP11 PE=1 SV=2
+MGHKKRIVAMALLRDKERLEERLKAETLRLHRISGKMEKMKVEMLALIDRQENLKRLLEEETTGSSLSYSHDSGTDPFGEEGVVVLQPGMPCEAAELGATAAQLESAAAAAAAGEAAAFEVQVTLDIPKVDEGTPTIPAPQVSGPAQSSDVTAQLTLLVAASASVLNPQLQATQATSASPSSQQQQQQQQQQQQQQQQQQQQQQQQQQQQQRRRAAAAGAPRRAVKRENVGRLPFITPVRVTYTKRGGQFHVSCLRHGTTPQFTSFCGSVGARSVNKLWLRRLEADKPFTYFHLAKDRHSNNYCGPVCCTFGPM
+>sp|Q6YHU6|THADA_HUMAN Thyroid adenoma-associated protein OS=Homo sapiens OX=9606 GN=THADA PE=1 SV=1
+MGVKKKKEMQVAALTICHQDLETLKSFADVEGKNLASLLLHCVQLTDGVSQIHYIKQIVPLLEKADKNGMCDPTIQSCLDILAGIYLSLSLKNPLKKVLASSLNSLPDFFLPEAMHRFTSRLQEELNTTDLYSYRKVTDNISSCMENFNLGRASVNNLLKNVLHFLQKSLIEILEENRKCAGNHIIQTQLMNDLLVGIRVSMMLVQKVQDFQGNLWKTSDSPIWQNMCGLLSIFTKVLSDDDLLQTVQSTSGLAIILFIKTMFHPSEKIPHLISSVLLRSVDCTSVPEWFMSSCRSLCCGDISQSAVLFLCQGTLAMLDWQNGSMGRSGEALLLDTAHVLFTLSSQIKEPTLEMFLSRILASWTNSAIQVLESSSPSLTDSLNGNSSIVGRLLEYVYTHWEHPLDALRHQTKIMFKNLLQMHRLTVEGADFVPDPFFVELTESLLRLEWHIKGKYTCLGCLVECIGVEHILAIDKTIPSQILEVMGDQSLVPYASDLLETMFRNHKSHLKSQTAESSWIDQWHETWVSPLLFILCEGNLDQKSYVIDYYLPKLLSYSPESLQYMVKILQTSIDAKTGQEQSFPSLGSCNSRGALGALMACLRIARAHGHLQSATDTWENLVSDARIKQGLIHQHCQVRIDTLGLLCESNRSTEIVSMEEMQWIQFFITYNLNSQSPGVRQQICSLLKKLFCRIQESSQVLYKLEQSKSKREPENELTKQHPSVSLQQYKNFMSSICNSLFEALFPGSSYSTRFSALTILGSIAEVFHVPEGRIYTVYQLSHDIDVGRFQTLMECFTSTFEDVKILAFDLLMKLSKTAVHFQDSGKLQGLFQAALELSTSTKPYDCVTASYLLNFLIWQDALPSSLSAYLTQQVACDNGDRPAAVVERNTLMVIKCLMENLEEEVSQAENSLLQAAAAFPMYGRVHCITGALQKLSLNSLQLVSEWRPVVEKLLLMSYRLSTVVSPVIQSSSPEGLIPMDTDSESASRLQMILNEIQPRDTNDYFNQAKILKEHDSFDMKDLNASVVNIDTSTEIKGKEVKTCDVTAQMVLVCCWRSMKEVALLLGMLCQLLPMQPVPESSDGLLTVEQVKEIGDYFKQHLLQSRHRGAFELAYTGFVKLTEVLNRCPNVSLQKLPEQWLWSVLEEIKCSDPSSKLCATRRSAGIPFYIQALLASEPKKGRMDLLKITMKELISLAGPTDDIQSTVPQVHALNILRALFRDTRLGENIIPYVADGAKAAILGFTSPVWAVRNSSTLLFSALITRIFGVKRAKDEHSKTNRMTGREFFSRFPELYPFLLKQLETVANTVDSDMGEPNRHPSMFLLLLVLERLYASPMDGTSSALSMGPFVPFIMRCGHSPVYHSREMAARALVPFVMIDHIPNTIRTLLSTLPSCTDQCFRQNHIHGTLLQVFHLLQAYSDSKHGTNSDFQHELTDITVCTKAKLWLAKRQNPCLVTRAVYIDILFLLTCCLNRSAKDNQPVLESLGFWEEVRGIISGSELITGFPWAFKVPGLPQYLQSLTRLAIAAVWAAAAKSGERETNVPISFSQLLESAFPEVRSLTLEALLEKFLAAASGLGEKGVPPLLCNMGEKFLLLAMKENHPECFCKILKILHCMDPGEWLPQTEHCVHLTPKEFLIWTMDIASNERSEIQSVALRLASKVISHHMQTCVENRELIAAELKQWVQLVILSCEDHLPTESRLAVVEVLTSTTPLFLTNPHPILELQDTLALWKCVLTLLQSEEQAVRDAATETVTTAMSQENTCQSTEFAFCQVDASIALALALAVLCDLLQQWDQLAPGLPILLGWLLGESDDLVACVESMHQVEEDYLFEKAEVNFWAETLIFVKYLCKHLFCLLSKSGWRPPSPEMLCHLQRMVSEQCHLLSQFFRELPPAAEFVKTVEFTRLRIQEERTLACLRLLAFLEGKEGEDTLVLSVWDSYAESRQLTLPRTEAAC
+>DECOY_sp|Q6YHU6|THADA_HUMAN Thyroid adenoma-associated protein OS=Homo sapiens OX=9606 GN=THADA PE=1 SV=1
+CAAETRPLTLQRSEAYSDWVSLVLTDEGEKGELFALLRLCALTREEQIRLRTFEVTKVFEAAPPLERFFQSLLHCQESVMRQLHCLMEPSPPRWGSKSLLCFLHKCLYKVFILTEAWFNVEAKEFLYDEEVQHMSEVCAVLDDSEGLLWGLLIPLGPALQDWQQLLDCLVALALALAISADVQCFAFETSQCTNEQSMATTVTETAADRVAQEESQLLTLVCKWLALTDQLELIPHPNTLFLPTTSTLVEVVALRSETPLHDECSLIVLQVWQKLEAAILERNEVCTQMHHSIVKSALRLAVSQIESRENSAIDMTWILFEKPTLHVCHETQPLWEGPDMCHLIKLIKCFCEPHNEKMALLLFKEGMNCLLPPVGKEGLGSAAALFKELLAELTLSRVEPFASELLQSFSIPVNTEREGSKAAAAWVAAIALRTLSQLYQPLGPVKFAWPFGTILESGSIIGRVEEWFGLSELVPQNDKASRNLCCTLLFLIDIYVARTVLCPNQRKALWLKAKTCVTIDTLEHQFDSNTGHKSDSYAQLLHFVQLLTGHIHNQRFCQDTCSPLTSLLTRITNPIHDIMVFPVLARAAMERSHYVPSHGCRMIFPVFPGMSLASSTGDMPSAYLRELVLLLLFMSPHRNPEGMDSDVTNAVTELQKLLFPYLEPFRSFFERGTMRNTKSHEDKARKVGFIRTILASFLLTSSNRVAWVPSTFGLIAAKAGDAVYPIINEGLRTDRFLARLINLAHVQPVTSQIDDTPGALSILEKMTIKLLDMRGKKPESALLAQIYFPIGASRRTACLKSSPDSCKIEELVSWLWQEPLKQLSVNPCRNLVETLKVFGTYALEFAGRHRSQLLHQKFYDGIEKVQEVTLLGDSSEPVPQMPLLQCLMGLLLAVEKMSRWCCVLVMQATVDCTKVEKGKIETSTDINVVSANLDKMDFSDHEKLIKAQNFYDNTDRPQIENLIMQLRSASESDTDMPILGEPSSSQIVPSVVTSLRYSMLLLKEVVPRWESVLQLSNLSLKQLAGTICHVRGYMPFAAAAQLLSNEAQSVEEELNEMLCKIVMLTNREVVAAPRDGNDCAVQQTLYASLSSPLADQWILFNLLYSATVCDYPKTSTSLELAAQFLGQLKGSDQFHVATKSLKMLLDFALIKVDEFTSTFCEMLTQFRGVDIDHSLQYVTYIRGEPVHFVEAISGLITLASFRTSYSSGPFLAEFLSNCISSMFNKYQQLSVSPHQKTLENEPERKSKSQELKYLVQSSEQIRCFLKKLLSCIQQRVGPSQSNLNYTIFFQIWQMEEMSVIETSRNSECLLGLTDIRVQCHQHILGQKIRADSVLNEWTDTASQLHGHARAIRLCAMLAGLAGRSNCSGLSPFSQEQGTKADISTQLIKVMYQLSEPSYSLLKPLYYDIVYSKQDLNGECLIFLLPSVWTEHWQDIWSSEATQSKLHSKHNRFMTELLDSAYPVLSQDGMVELIQSPITKDIALIHEVGICEVLCGLCTYKGKIHWELRLLSETLEVFFPDPVFDAGEVTLRHMQLLNKFMIKTQHRLADLPHEWHTYVYELLRGVISSNGNLSDTLSPSSSELVQIASNTWSALIRSLFMELTPEKIQSSLTFLVHATDLLLAEGSRGMSGNQWDLMALTGQCLFLVASQSIDGCCLSRCSSMFWEPVSTCDVSRLLVSSILHPIKESPHFMTKIFLIIALGSTSQVTQLLDDDSLVKTFISLLGCMNQWIPSDSTKWLNGQFDQVKQVLMMSVRIGVLLDNMLQTQIIHNGACKRNEELIEILSKQLFHLVNKLLNNVSARGLNFNEMCSSINDTVKRYSYLDTTNLEEQLRSTFRHMAEPLFFDPLSNLSSALVKKLPNKLSLSLYIGALIDLCSQITPDCMGNKDAKELLPVIQKIYHIQSVGDTLQVCHLLLSALNKGEVDAFSKLTELDQHCITLAAVQMEKKKKVGM
+>sp|Q8WY91|THAP4_HUMAN THAP domain-containing protein 4 OS=Homo sapiens OX=9606 GN=THAP4 PE=1 SV=2
+MVICCAAVNCSNRQGKGEKRAVSFHRFPLKDSKRLIQWLKAVQRDNWTPTKYSFLCSEHFTKDSFSKRLEDQHRLLKPTAVPSIFHLTEKKRGAGGHGRTRRKDASKATGGVRGHSSAATSRGAAGWSPSSSGNPMAKPESRRLKQAALQGEATPRAAQEAASQEQAQQALERTPGDGLATMVAGSQGKAEASATDAGDESATSSIEGGVTDKSGISMDDFTPPGSGACKFIGSLHSYSFSSKHTRERPSVPREPIDRKRLKKDVEPSCSGSSLGPDKGLAQSPPSSSLTATPQKPSQSPSAPPADVTPKPATEAVQSEHSDASPMSINEVILSASGACKLIDSLHSYCFSSRQNKSQVCCLREQVEKKNGELKSLRQRVSRSDSQVRKLQEKLDELRRVSVPYPSSLLSPSREPPKMNPVVEPLSWMLGTWLSDPPGAGTYPTLQPFQYLEEVHISHVGQPMLNFSFNSFHPDTRKPMHRECGFIRLKPDTNKVAFVSAQNTGVVEVEEGEVNGQELCIASHSIARISFAKEPHVEQITRKFRLNSEGKLEQTVSMATTTQPMTQHLHVTYKKVTP
+>DECOY_sp|Q8WY91|THAP4_HUMAN THAP domain-containing protein 4 OS=Homo sapiens OX=9606 GN=THAP4 PE=1 SV=2
+PTVKKYTVHLHQTMPQTTTAMSVTQELKGESNLRFKRTIQEVHPEKAFSIRAISHSAICLEQGNVEGEEVEVVGTNQASVFAVKNTDPKLRIFGCERHMPKRTDPHFSNFSFNLMPQGVHSIHVEELYQFPQLTPYTGAGPPDSLWTGLMWSLPEVVPNMKPPERSPSLLSSPYPVSVRRLEDLKEQLKRVQSDSRSVRQRLSKLEGNKKEVQERLCCVQSKNQRSSFCYSHLSDILKCAGSASLIVENISMPSADSHESQVAETAPKPTVDAPPASPSQSPKQPTATLSSSPPSQALGKDPGLSSGSCSPEVDKKLRKRDIPERPVSPRERTHKSSFSYSHLSGIFKCAGSGPPTFDDMSIGSKDTVGGEISSTASEDGADTASAEAKGQSGAVMTALGDGPTRELAQQAQEQSAAEQAARPTAEGQLAAQKLRRSEPKAMPNGSSSPSWGAAGRSTAASSHGRVGGTAKSADKRRTRGHGGAGRKKETLHFISPVATPKLLRHQDELRKSFSDKTFHESCLFSYKTPTWNDRQVAKLWQILRKSDKLPFRHFSVARKEGKGQRNSCNVAACCIVM
+>sp|P0DJG4|THEGL_HUMAN Testicular haploid expressed gene protein-like OS=Homo sapiens OX=9606 GN=THEGL PE=2 SV=1
+MENQEFLSSSAPSEVTDGQVSTEISTCSEVFQKPIVLRILDTHRELEESEDPEKHENPEEPEEVREQDQRDESEECDEPHESYEPHAPYAPHKPRDSYAPYELHGPHAAPKLLKAREPRQLRHTREPRKSREAKETELLPSAAVMISPSLITRAPPRPQLSFLGANPVSCDFVRKCFSSRKRTPNLSKPKKQWGTPDRKLFWGNQDPIRPVSQGALKAQLTKRLENLAQPKEVSCHYVPNRAQYYHSCGRESVIWEITPPALFRQPSKRIQRLSQPNGFKRQCLLNRPFSDNSARDSLRISDPSPRILQLSVAKGTDPNYHPSKKMQTKISLSTLSAIATPRIIELAHPRIKLEGLCYERQRSELPIRPVPPAAMIAKPSPRTIALAKSKSVHQDYLPDRDAHWPVSYATTHSKASPRIQELANPNKRAPVRIVYYDPDVFKTKPAALKAQCSQRIWELSQPLTR
+>DECOY_sp|P0DJG4|THEGL_HUMAN Testicular haploid expressed gene protein-like OS=Homo sapiens OX=9606 GN=THEGL PE=2 SV=1
+RTLPQSLEWIRQSCQAKLAAPKTKFVDPDYYVIRVPARKNPNALEQIRPSAKSHTTAYSVPWHADRDPLYDQHVSKSKALAITRPSPKAIMAAPPVPRIPLESRQREYCLGELKIRPHALEIIRPTAIASLTSLSIKTQMKKSPHYNPDTGKAVSLQLIRPSPDSIRLSDRASNDSFPRNLLCQRKFGNPQSLRQIRKSPQRFLAPPTIEWIVSERGCSHYYQARNPVYHCSVEKPQALNELRKTLQAKLAGQSVPRIPDQNGWFLKRDPTGWQKKPKSLNPTRKRSSFCKRVFDCSVPNAGLFSLQPRPPARTILSPSIMVAASPLLETEKAERSKRPERTHRLQRPERAKLLKPAAHPGHLEYPAYSDRPKHPAYPAHPEYSEHPEDCEESEDRQDQERVEEPEEPNEHKEPDESEELERHTDLIRLVIPKQFVESCTSIETSVQGDTVESPASSSLFEQNEM
+>sp|Q9BWD1|THIC_HUMAN Acetyl-CoA acetyltransferase, cytosolic OS=Homo sapiens OX=9606 GN=ACAT2 PE=1 SV=2
+MNAGSDPVVIVSAARTIIGSFNGALAAVPVQDLGSTVIKEVLKRATVAPEDVSEVIFGHVLAAGCGQNPVRQASVGAGIPYSVPAWSCQMICGSGLKAVCLAVQSIGIGDSSIVVAGGMENMSKAPHLAYLRTGVKIGEMPLTDSILCDGLTDAFHNCHMGITAENVAKKWQVSREDQDKVAVLSQNRTENAQKAGHFDKEIVPVLVSTRKGLIEVKTDEFPRHGSNIEAMSKLKPYFLTDGTGTVTPANASGINDGAAAVVLMKKSEADKRGLTPLARIVSWSQVGVEPSIMGIGPIPAIKQAVTKAGWSLEDVDIFEINEAFAAVSAAIVKELGLNPEKVNIEGGAIALGHPLGASGCRILVTLLHTLERMGRSRGVAALCIGGGMGIAMCVQRE
+>DECOY_sp|Q9BWD1|THIC_HUMAN Acetyl-CoA acetyltransferase, cytosolic OS=Homo sapiens OX=9606 GN=ACAT2 PE=1 SV=2
+ERQVCMAIGMGGGICLAAVGRSRGMRELTHLLTVLIRCGSAGLPHGLAIAGGEINVKEPNLGLEKVIAASVAAFAENIEFIDVDELSWGAKTVAQKIAPIPGIGMISPEVGVQSWSVIRALPTLGRKDAESKKMLVVAAAGDNIGSANAPTVTGTGDTLFYPKLKSMAEINSGHRPFEDTKVEILGKRTSVLVPVIEKDFHGAKQANETRNQSLVAVKDQDERSVQWKKAVNEATIGMHCNHFADTLGDCLISDTLPMEGIKVGTRLYALHPAKSMNEMGGAVVISSDGIGISQVALCVAKLGSGCIMQCSWAPVSYPIGAGVSAQRVPNQGCGAALVHGFIVESVDEPAVTARKLVEKIVTSGLDQVPVAALAGNFSGIITRAASVIVVPDSGANM
+>sp|Q8IYQ7|THNS1_HUMAN Threonine synthase-like 1 OS=Homo sapiens OX=9606 GN=THNSL1 PE=1 SV=2
+MLHFNRCHHLKKITQKCFSSIHVKTDKHAQRFLSRTFALAELRKSWYSTHSLVGDKNIILMGPPGAGKTTVGRIIGQKLGCCVIDVDDDILEKTWNMSVSEKLQDVGNEQFLEEEGKAVLNFSASGSVISLTGSNPMHDASMWHLKKNGIIVYLDVPLLDLICRLKLMKTDRIVGQNSGTSMKDLLKFRRQYYKKWYDARVFCESGASPEEVADKVLNAIKRYQDVDSETFISTRHVWPEDCEQKVSAKFFSEAVIEGLASDGGLFVPAKEFPKLSCGEWKSLVGATYVERAQILLERCIHPADIPAARLGEMIETAYGENFACSKIAPVRHLSGNQFILELFHGPTGSFKDLSLQLMPHIFAHCIPPSCNYMILVATSGDTGSAVLNGFSRLNKNDKQRIAVVAFFPENGVSDFQKAQIIGSQRENGWAVGVESDFDFCQTAIKRIFNDSDFTGFLTVEYGTILSSANSINWGRLLPQVVYHASAYLDLVSQGFISFGSPVDVCIPTGNFGNILAAVYAKMMGIPIRKFICASNQNHVLTDFIKTGHYDLRERKLAQTFSPSIDILKSSNLERHLHLMANKDGQLMTELFNRLESQHHFQIEKALVEKLQQDFVADWCSEGECLAAINSTYNTSGYILDPHTAVAKVVADRVQDKTCPVIISSTAHYSKFAPAIMQALKIKEINETSSSQLYLLGSYNALPPLHEALLERTKQQEKMEYQVCAADMNVLKSHVEQLVQNQFI
+>DECOY_sp|Q8IYQ7|THNS1_HUMAN Threonine synthase-like 1 OS=Homo sapiens OX=9606 GN=THNSL1 PE=1 SV=2
+IFQNQVLQEVHSKLVNMDAACVQYEMKEQQKTRELLAEHLPPLANYSGLLYLQSSSTENIEKIKLAQMIAPAFKSYHATSSIIVPCTKDQVRDAVVKAVATHPDLIYGSTNYTSNIAALCEGESCWDAVFDQQLKEVLAKEIQFHHQSELRNFLETMLQGDKNAMLHLHRELNSSKLIDISPSFTQALKRERLDYHGTKIFDTLVHNQNSACIFKRIPIGMMKAYVAALINGFNGTPICVDVPSGFSIFGQSVLDLYASAHYVVQPLLRGWNISNASSLITGYEVTLFGTFDSDNFIRKIATQCFDFDSEVGVAWGNERQSGIIQAKQFDSVGNEPFFAVVAIRQKDNKNLRSFGNLVASGTDGSTAVLIMYNCSPPICHAFIHPMLQLSLDKFSGTPGHFLELIFQNGSLHRVPAIKSCAFNEGYATEIMEGLRAAPIDAPHICRELLIQAREVYTAGVLSKWEGCSLKPFEKAPVFLGGDSALGEIVAESFFKASVKQECDEPWVHRTSIFTESDVDQYRKIANLVKDAVEEPSAGSECFVRADYWKKYYQRRFKLLDKMSTGSNQGVIRDTKMLKLRCILDLLPVDLYVIIGNKKLHWMSADHMPNSGTLSIVSGSASFNLVAKGEEELFQENGVDQLKESVSMNWTKELIDDDVDIVCCGLKQGIIRGVTTKGAGPPGMLIINKDGVLSHTSYWSKRLEALAFTRSLFRQAHKDTKVHISSFCKQTIKKLHHCRNFHLM
+>sp|Q86W42|THOC6_HUMAN THO complex subunit 6 homolog OS=Homo sapiens OX=9606 GN=THOC6 PE=1 SV=1
+MERAVPLAVPLGQTEVFQALQRLHMTIFSQSVSPCGKFLAAGNNYGQIAIFSLSSALSSEAKEESKKPVVTFQAHDGPVYSMVSTDRHLLSAGDGEVKAWLWAEMLKKGCKELWRRQPPYRTSLEVPEINALLLVPKENSLILAGGDCQLHTMDLETGTFTRVLRGHTDYIHCLALRERSPEVLSGGEDGAVRLWDLRTAKEVQTIEVYKHEECSRPHNGRWIGCLATDSDWMVCGGGPALTLWHLRSSTPTTIFPIRAPQKHVTFYQDLILSAGQGRCVNQWQLSGELKAQVPGSSPGLLSLSLNQQPAAPECKVLTAAGNSCRVDVFTNLGYRAFSLSF
+>DECOY_sp|Q86W42|THOC6_HUMAN THO complex subunit 6 homolog OS=Homo sapiens OX=9606 GN=THOC6 PE=1 SV=1
+FSLSFARYGLNTFVDVRCSNGAATLVKCEPAAPQQNLSLSLLGPSSGPVQAKLEGSLQWQNVCRGQGASLILDQYFTVHKQPARIPFITTPTSSRLHWLTLAPGGGCVMWDSDTALCGIWRGNHPRSCEEHKYVEITQVEKATRLDWLRVAGDEGGSLVEPSRERLALCHIYDTHGRLVRTFTGTELDMTHLQCDGGALILSNEKPVLLLANIEPVELSTRYPPQRRWLEKCGKKLMEAWLWAKVEGDGASLLHRDTSVMSYVPGDHAQFTVVPKKSEEKAESSLASSLSFIAIQGYNNGAALFKGCPSVSQSFITMHLRQLAQFVETQGLPVALPVAREM
+>sp|Q08629|TICN1_HUMAN Testican-1 OS=Homo sapiens OX=9606 GN=SPOCK1 PE=1 SV=1
+MPAIAVLAAAAAAWCFLQVESRHLDALAGGAGPNHGNFLDNDQWLSTVSQYDRDKYWNRFRDDDYFRNWNPNKPFDQALDPSKDPCLKVKCSPHKVCVTQDYQTALCVSRKHLLPRQKKGNVAQKHWVGPSNLVKCKPCPVAQSAMVCGSDGHSYTSKCKLEFHACSTGKSLATLCDGPCPCLPEPEPPKHKAERSACTDKELRNLASRLKDWFGALHEDANRVIKPTSSNTAQGRFDTSILPICKDSLGWMFNKLDMNYDLLLDPSEINAIYLDKYEPCIKPLFNSCDSFKDGKLSNNEWCYCFQKPGGLPCQNEMNRIQKLSKGKSLLGAFIPRCNEEGYYKATQCHGSTGQCWCVDKYGNELAGSRKQGAVSCEEEQETSGDFGSGGSVVLLDDLEYERELGPKDKEGKLRVHTRAVTEDDEDEDDDKEDEVGYIW
+>DECOY_sp|Q08629|TICN1_HUMAN Testican-1 OS=Homo sapiens OX=9606 GN=SPOCK1 PE=1 SV=1
+WIYGVEDEKDDDEDEDDETVARTHVRLKGEKDKPGLEREYELDDLLVVSGGSGFDGSTEQEEECSVAGQKRSGALENGYKDVCWCQGTSGHCQTAKYYGEENCRPIFAGLLSKGKSLKQIRNMENQCPLGGPKQFCYCWENNSLKGDKFSDCSNFLPKICPEYKDLYIANIESPDLLLDYNMDLKNFMWGLSDKCIPLISTDFRGQATNSSTPKIVRNADEHLAGFWDKLRSALNRLEKDTCASREAKHKPPEPEPLCPCPGDCLTALSKGTSCAHFELKCKSTYSHGDSGCVMASQAVPCPKCKVLNSPGVWHKQAVNGKKQRPLLHKRSVCLATQYDQTVCVKHPSCKVKLCPDKSPDLAQDFPKNPNWNRFYDDDRFRNWYKDRDYQSVTSLWQDNDLFNGHNPGAGGALADLHRSEVQLFCWAAAAAALVAIAPM
+>sp|Q9NPL8|TIDC1_HUMAN Complex I assembly factor TIMMDC1, mitochondrial OS=Homo sapiens OX=9606 GN=TIMMDC1 PE=1 SV=2
+MEVPPPAPRSFLCRALCLFPRVFAAEAVTADSEVLEERQKRLPYVPEPYYPESGWDRLRELFGKDEQQRISKDLANICKTAATAGIIGWVYGGIPAFIHAKQQYIEQSQAEIYHNRFDAVQSAHRAATRGFIRYGWRWGWRTAVFVTIFNTVNTSLNVYRNKDALSHFVIAGAVTGSLFRINVGLRGLVAGGIIGALLGTPVGGLLMAFQKYSGETVQERKQKDRKALHELKLEEWKGRLQVTEHLPEKIESSLQEDEPENDAKKIEALLNLPRNPSVIDKQDKD
+>DECOY_sp|Q9NPL8|TIDC1_HUMAN Complex I assembly factor TIMMDC1, mitochondrial OS=Homo sapiens OX=9606 GN=TIMMDC1 PE=1 SV=2
+DKDQKDIVSPNRPLNLLAEIKKADNEPEDEQLSSEIKEPLHETVQLRGKWEELKLEHLAKRDKQKREQVTEGSYKQFAMLLGGVPTGLLAGIIGGAVLGRLGVNIRFLSGTVAGAIVFHSLADKNRYVNLSTNVTNFITVFVATRWGWRWGYRIFGRTAARHASQVADFRNHYIEAQSQEIYQQKAHIFAPIGGYVWGIIGATAATKCINALDKSIRQQEDKGFLERLRDWGSEPYYPEPVYPLRKQREELVESDATVAEAAFVRPFLCLARCLFSRPAPPPVEM
+>sp|Q13263|TIF1B_HUMAN Transcription intermediary factor 1-beta OS=Homo sapiens OX=9606 GN=TRIM28 PE=1 SV=5
+MAASAAAASAAAASAASGSPGPGEGSAGGEKRSTAPSAAASASASAAASSPAGGGAEALELLEHCGVCRERLRPEREPRLLPCLHSACSACLGPAAPAAANSSGDGGAAGDGTVVDCPVCKQQCFSKDIVENYFMRDSGSKAATDAQDANQCCTSCEDNAPATSYCVECSEPLCETCVEAHQRVKYTKDHTVRSTGPAKSRDGERTVYCNVHKHEPLVLFCESCDTLTCRDCQLNAHKDHQYQFLEDAVRNQRKLLASLVKRLGDKHATLQKSTKEVRSSIRQVSDVQKRVQVDVKMAILQIMKELNKRGRVLVNDAQKVTEGQQERLERQHWTMTKIQKHQEHILRFASWALESDNNTALLLSKKLIYFQLHRALKMIVDPVEPHGEMKFQWDLNAWTKSAEAFGKIVAERPGTNSTGPAPMAPPRAPGPLSKQGSGSSQPMEVQEGYGFGSGDDPYSSAEPHVSGVKRSRSGEGEVSGLMRKVPRVSLERLDLDLTADSQPPVFKVFPGSTTEDYNLIVIERGAAAAATGQPGTAPAGTPGAPPLAGMAIVKEEETEAAIGAPPTATEGPETKPVLMALAEGPGAEGPRLASPSGSTSSGLEVVAPEGTSAPGGGPGTLDDSATICRVCQKPGDLVMCNQCEFCFHLDCHLPALQDVPGEEWSCSLCHVLPDLKEEDGSLSLDGADSTGVVAKLSPANQRKCERVLLALFCHEPCRPLHQLATDSTFSLDQPGGTLDLTLIRARLQEKLSPPYSSPQEFAQDVGRMFKQFNKLTEDKADVQSIIGLQRFFETRMNEAFGDTKFSAVLVEPPPMSLPGAGLSSQELSGGPGDGP
+>DECOY_sp|Q13263|TIF1B_HUMAN Transcription intermediary factor 1-beta OS=Homo sapiens OX=9606 GN=TRIM28 PE=1 SV=5
+PGDGPGGSLEQSSLGAGPLSMPPPEVLVASFKTDGFAENMRTEFFRQLGIISQVDAKDETLKNFQKFMRGVDQAFEQPSSYPPSLKEQLRARILTLDLTGGPQDLSFTSDTALQHLPRCPEHCFLALLVRECKRQNAPSLKAVVGTSDAGDLSLSGDEEKLDPLVHCLSCSWEEGPVDQLAPLHCDLHFCFECQNCMVLDGPKQCVRCITASDDLTGPGGGPASTGEPAVVELGSSTSGSPSALRPGEAGPGEALAMLVPKTEPGETATPPAGIAAETEEEKVIAMGALPPAGPTGAPATGPQGTAAAAAGREIVILNYDETTSGPFVKFVPPQSDATLDLDLRELSVRPVKRMLGSVEGEGSRSRKVGSVHPEASSYPDDGSGFGYGEQVEMPQSSGSGQKSLPGPARPPAMPAPGTSNTGPREAVIKGFAEASKTWANLDWQFKMEGHPEVPDVIMKLARHLQFYILKKSLLLATNNDSELAWSAFRLIHEQHKQIKTMTWHQRELREQQGETVKQADNVLVRGRKNLEKMIQLIAMKVDVQVRKQVDSVQRISSRVEKTSKQLTAHKDGLRKVLSALLKRQNRVADELFQYQHDKHANLQCDRCTLTDCSECFLVLPEHKHVNCYVTREGDRSKAPGTSRVTHDKTYKVRQHAEVCTECLPESCEVCYSTAPANDECSTCCQNADQADTAAKSGSDRMFYNEVIDKSFCQQKCVPCDVVTGDGAAGGDGSSNAAAPAAPGLCASCASHLCPLLRPEREPRLRERCVGCHELLELAEAGGGAPSSAAASASASAAASPATSRKEGGASGEGPGPSGSAASAAAASAAAASAAM
+>sp|Q6ZNK6|TIFAB_HUMAN TRAF-interacting protein with FHA domain-containing protein B OS=Homo sapiens OX=9606 GN=TIFAB PE=1 SV=2
+MEKPLTVLRVSLYHPTLGPSAFANVPPRLQHDTSPLLLGRGQDAHLQLQLPRLSRRHLSLEPYLEKGSALLAFCLKALSRKGCVWVNGLTLRYLEQVPLSTVNRVSFSGIQMLVRVEEGTSLEAFVCYFHVSPSPLIYRPEAEETDEWEGISQGQPPPGSG
+>DECOY_sp|Q6ZNK6|TIFAB_HUMAN TRAF-interacting protein with FHA domain-containing protein B OS=Homo sapiens OX=9606 GN=TIFAB PE=1 SV=2
+GSGPPPQGQSIGEWEDTEEAEPRYILPSPSVHFYCVFAELSTGEEVRVLMQIGSFSVRNVTSLPVQELYRLTLGNVWVCGKRSLAKLCFALLASGKELYPELSLHRRSLRPLQLQLHADQGRGLLLPSTDHQLRPPVNAFASPGLTPHYLSVRLVTLPKEM
+>sp|Q96MW7|TIGD1_HUMAN Tigger transposable element-derived protein 1 OS=Homo sapiens OX=9606 GN=TIGD1 PE=1 SV=1
+MASKCSSERKSRTSLTLNQKLEMIKLSEEGMSKAEIGRRLGLLRQTVSQVVNAKEKFLKEVKSATPMNTRMIRKRNSLIADMEKVLVVWIEDQTSRNIPLSQSLIQNKALTLFNSMKAERGVEAAEEKFEASRGWFMRFKERSHFHNIKAQGEAASADVEAAASYPEALAKIIDEGGYTKQQIFNVDETAFYWKKMPSRTFIAREEKSVPGFKASKDRLTLLLGANAAGDFKLKPMLIYHSENPRALKNYTKSTLPVLYKWNSKARMTAHLFTAWFTEYFKPTVETYCSEKKIPFKILLLIDNAPSHPRALMEIYEEINVIFMPANTTSILQPMDQGVISTFKSYYLRNTFHKALAAMDSDVSDGSGQSKLKTFWKGFTILDAIKNIRDSWEEVKLSTLTGVWKKLIPTLIDDYEGFKTSVEEVSADVVEIAKELELEVEPEDVTELLQSHDKTLTDEELFLMDAQRKWFLEMESTPGEDAVNIVEMTTKDLEYYINLVDKAAAGFERIDSNFERSSTVGKMLSNSIACYREIFHERKSQLMRKASPMSYFRKLPQPPQPSAATTLTSQQPSTSRQDPPPAKRVRLTEGSD
+>DECOY_sp|Q96MW7|TIGD1_HUMAN Tigger transposable element-derived protein 1 OS=Homo sapiens OX=9606 GN=TIGD1 PE=1 SV=1
+DSGETLRVRKAPPPDQRSTSPQQSTLTTAASPQPPQPLKRFYSMPSAKRMLQSKREHFIERYCAISNSLMKGVTSSREFNSDIREFGAAAKDVLNIYYELDKTTMEVINVADEGPTSEMELFWKRQADMLFLEEDTLTKDHSQLLETVDEPEVELELEKAIEVVDASVEEVSTKFGEYDDILTPILKKWVGTLTSLKVEEWSDRINKIADLITFGKWFTKLKSQGSGDSVDSDMAALAKHFTNRLYYSKFTSIVGQDMPQLISTTNAPMFIVNIEEYIEMLARPHSPANDILLLIKFPIKKESCYTEVTPKFYETFWATFLHATMRAKSNWKYLVPLTSKTYNKLARPNESHYILMPKLKFDGAANAGLLLTLRDKSAKFGPVSKEERAIFTRSPMKKWYFATEDVNFIQQKTYGGEDIIKALAEPYSAAAEVDASAAEGQAKINHFHSREKFRMFWGRSAEFKEEAAEVGREAKMSNFLTLAKNQILSQSLPINRSTQDEIWVVLVKEMDAILSNRKRIMRTNMPTASKVEKLFKEKANVVQSVTQRLLGLRRGIEAKSMGEESLKIMELKQNLTLSTRSKRESSCKSAM
+>sp|Q8IY51|TIGD4_HUMAN Tigger transposable element-derived protein 4 OS=Homo sapiens OX=9606 GN=TIGD4 PE=2 SV=2
+MAEASVDASTLPVTVKKKKSLSIEEKIDIINAVESGKKKAEIAAEYGIKKNSLSSIMKNKDKVLEAFESLRFDPKRKRLRTAFYTDLEEALMRWYRIAQCLNVPVNGPMLRLKANDFAQKLGHNDFKCSNGWLDRFKSRYGLVFRAQPVEATGVPVDPSTVWYQNVLPYYLNDYHPKNVFNIKETGLLYRMLPTNTFAFKGETCSVGKLCKDRITLVVGTNMDGSEKLPLLVIGKKRTPHCFKGLKSLPVCYEANRMAWMTSDVFEQWMRKLDEEFQAQQRRVVIFVESFPAHPEVKNLKSIELAFFPSCLSSKCIAMKQGVIKSLKIKYRHCLIKKFLSSVEGSKEFTFSLLDAVDTLHLCWRAVTPETIVKSYEEAGFKSQKGESDITNAEKDTGLDLVADALGAGVEFPEGLSIEEYAALDDDLETCEAAPNGDSICTKESKSDETGFYTSDEEDDDGSPGTELPLPSKSEAITALDTLKKFLRSQDMNDGLQNSLADLENFINSLSPK
+>DECOY_sp|Q8IY51|TIGD4_HUMAN Tigger transposable element-derived protein 4 OS=Homo sapiens OX=9606 GN=TIGD4 PE=2 SV=2
+KPSLSNIFNELDALSNQLGDNMDQSRLFKKLTDLATIAESKSPLPLETGPSGDDDEEDSTYFGTEDSKSEKTCISDGNPAAECTELDDDLAAYEEISLGEPFEVGAGLADAVLDLGTDKEANTIDSEGKQSKFGAEEYSKVITEPTVARWCLHLTDVADLLSFTFEKSGEVSSLFKKILCHRYKIKLSKIVGQKMAICKSSLCSPFFALEISKLNKVEPHAPFSEVFIVVRRQQAQFEEDLKRMWQEFVDSTMWAMRNAEYCVPLSKLGKFCHPTRKKGIVLLPLKESGDMNTGVVLTIRDKCLKGVSCTEGKFAFTNTPLMRYLLGTEKINFVNKPHYDNLYYPLVNQYWVTSPDVPVGTAEVPQARFVLGYRSKFRDLWGNSCKFDNHGLKQAFDNAKLRLMPGNVPVNLCQAIRYWRMLAEELDTYFATRLRKRKPDFRLSEFAELVKDKNKMISSLSNKKIGYEAAIEAKKKGSEVANIIDIKEEISLSKKKKVTVPLTSADVSAEAM
+>sp|Q53EQ6|TIGD5_HUMAN Tigger transposable element-derived protein 5 OS=Homo sapiens OX=9606 GN=TIGD5 PE=1 SV=3
+MYPAGPPAGPVPRRGRRPLPGPPAPAPAPVPAARPPPPAPGPRPRVAVKMAFRKAYSIKDKLQAIERVKGGERQASVCRDFGVPGGTLRGWLKDEPKLRWFLEQLGGEVGTQRKKMRLANEEEIDRAVYAWFLALRQHGVPLSGPLIQAQAEAFARQIYGPECTFKASHGWFWRWQKRHGISSQRFYGEAGPPAPSPAPGPPVKEEPALPSGAGPLPDRAPAPPPPAEGGYGDEQIYSASVTGLYWKLLPEQAAPPGAGDPGAGGCGRRWRGDRVTVLLAANLTGSHKLKPLVIGRLPDPPSLRHHNQDKFPASYRYSPDAWLSRPLLRGWFFEEFVPGVKRYLRRSCLQQKAVLLVAHPPCPSPAASMPALDSEDAPVRCRPEPLGPPEELQTPDGAVRVLFLSKGSSRAHIPAPLEQGVVAAFKQLYKRELLRLAVSCASGSPLDFMRSFMLKDMLYLAGLSWDLVQAGSIERCWLLGLRAAFEPRPGEDSAGQPAQAEEAAEHSRVLSDLTHLAALAYKCLAPEEVAEWLHLDDDGGPPEGCREEVGPALPPAAPPAPASLPSAMGGGEDEEEATDYGGTSVPTAGEAVRGLETALRWLENQDPREVGPLRLVQLRSLISMARRLGGIGHTPAGPYDGV
+>DECOY_sp|Q53EQ6|TIGD5_HUMAN Tigger transposable element-derived protein 5 OS=Homo sapiens OX=9606 GN=TIGD5 PE=1 SV=3
+VGDYPGAPTHGIGGLRRAMSILSRLQVLRLPGVERPDQNELWRLATELGRVAEGATPVSTGGYDTAEEEDEGGGMASPLSAPAPPAAPPLAPGVEERCGEPPGGDDDLHLWEAVEEPALCKYALAALHTLDSLVRSHEAAEEAQAPQGASDEGPRPEFAARLGLLWCREISGAQVLDWSLGALYLMDKLMFSRMFDLPSGSACSVALRLLERKYLQKFAAVVGQELPAPIHARSSGKSLFLVRVAGDPTQLEEPPGLPEPRCRVPADESDLAPMSAAPSPCPPHAVLLVAKQQLCSRRLYRKVGPVFEEFFWGRLLPRSLWADPSYRYSAPFKDQNHHRLSPPDPLRGIVLPKLKHSGTLNAALLVTVRDGRWRRGCGGAGPDGAGPPAAQEPLLKWYLGTVSASYIQEDGYGGEAPPPPAPARDPLPGAGSPLAPEEKVPPGPAPSPAPPGAEGYFRQSSIGHRKQWRWFWGHSAKFTCEPGYIQRAFAEAQAQILPGSLPVGHQRLALFWAYVARDIEEENALRMKKRQTGVEGGLQELFWRLKPEDKLWGRLTGGPVGFDRCVSAQREGGKVREIAQLKDKISYAKRFAMKVAVRPRPGPAPPPPRAAPVPAPAPAPPGPLPRRGRRPVPGAPPGAPYM
+>sp|A6NFA1|TIKI2_HUMAN Metalloprotease TIKI2 OS=Homo sapiens OX=9606 GN=TRABD2B PE=1 SV=2
+MHAALAGPLLAALLATARARPQPPDGGQCRPPGSQRDLNSFLWTIRRDPPAYLFGTIHVPYTRVWDFIPDNSKAAFQASTRVYFELDLTDPYTISALASCQLLPHGENLQDVLPHELYWRLKRHLDYVKLMMPSWMTPAQRGKGLYADYLFNAIAGNWERKRPVWVMLMVNSLTERDVRFRGVPVLDLYLAQQAEKMKKTTGAVEQVEEQCHPLNNGLNFSQVLFALNQTLLQQESVRAGSLQASYTTEDLIKHYNCGDLSAVIFNHDTSQLPNFINTTLPPHEQVTAQEIDSYFRQELIYKRNERMGKRVMALLRENEDKICFFAFGAGHFLGNNTVIDILRQAGLEVDHTPAGQAIHSPAPQSPAPSPEGTSTSPAPVTPAAAVPEAPSVTPTAPPEDEDPALSPHLLLPDSLSQLEEFGRQRKWHKRQSTHQRPRQFNDLWVRIEDSTTASPPPLPLQPTHSSGTAKPPFQLSDQLQQQDPPGPASSSAPTLGLLPAIATTIAVCFLLHSLGPS
+>DECOY_sp|A6NFA1|TIKI2_HUMAN Metalloprotease TIKI2 OS=Homo sapiens OX=9606 GN=TRABD2B PE=1 SV=2
+SPGLSHLLFCVAITTAIAPLLGLTPASSSAPGPPDQQQLQDSLQFPPKATGSSHTPQLPLPPPSATTSDEIRVWLDNFQRPRQHTSQRKHWKRQRGFEELQSLSDPLLLHPSLAPDEDEPPATPTVSPAEPVAAAPTVPAPSTSTGEPSPAPSQPAPSHIAQGAPTHDVELGAQRLIDIVTNNGLFHGAGFAFFCIKDENERLLAMVRKGMRENRKYILEQRFYSDIEQATVQEHPPLTTNIFNPLQSTDHNFIVASLDGCNYHKILDETTYSAQLSGARVSEQQLLTQNLAFLVQSFNLGNNLPHCQEEVQEVAGTTKKMKEAQQALYLDLVPVGRFRVDRETLSNVMLMVWVPRKREWNGAIANFLYDAYLGKGRQAPTMWSPMMLKVYDLHRKLRWYLEHPLVDQLNEGHPLLQCSALASITYPDTLDLEFYVRTSAQFAAKSNDPIFDWVRTYPVHITGFLYAPPDRRITWLFSNLDRQSGPPRCQGGDPPQPRARATALLAALLPGALAAHM
+>sp|P35625|TIMP3_HUMAN Metalloproteinase inhibitor 3 OS=Homo sapiens OX=9606 GN=TIMP3 PE=1 SV=2
+MTPWLGLIVLLGSWSLGDWGAEACTCSPSHPQDAFCNSDIVIRAKVVGKKLVKEGPFGTLVYTIKQMKMYRGFTKMPHVQYIHTEASESLCGLKLEVNKYQYLLTGRVYDGKMYTGLCNFVERWDQLTLSQRKGLNYRYHLGCNCKIKSCYYLPCFVTSKNECLWTDMLSNFGYPGYQSKHYACIRQKGGYCSWYRGWAPPDKSIINATDP
+>DECOY_sp|P35625|TIMP3_HUMAN Metalloproteinase inhibitor 3 OS=Homo sapiens OX=9606 GN=TIMP3 PE=1 SV=2
+PDTANIISKDPPAWGRYWSCYGGKQRICAYHKSQYGPYGFNSLMDTWLCENKSTVFCPLYYCSKIKCNCGLHYRYNLGKRQSLTLQDWREVFNCLGTYMKGDYVRGTLLYQYKNVELKLGCLSESAETHIYQVHPMKTFGRYMKMQKITYVLTGFPGEKVLKKGVVKARIVIDSNCFADQPHSPSCTCAEAGWDGLSWSGLLVILGLWPTM
+>sp|Q96A98|TIP39_HUMAN Tuberoinfundibular peptide of 39 residues OS=Homo sapiens OX=9606 GN=PTH2 PE=1 SV=1
+METRQVSRSPRVRLLLLLLLLLVVPWGVRTASGVALPPVGVLSLRPPGRAWADPATPRPRRSLALADDAAFRERARLLAALERRHWLNSYMHKLLVLDAP
+>DECOY_sp|Q96A98|TIP39_HUMAN Tuberoinfundibular peptide of 39 residues OS=Homo sapiens OX=9606 GN=PTH2 PE=1 SV=1
+PADLVLLKHMYSNLWHRRELAALLRARERFAADDALALSRRPRPTAPDAWARGPPRLSLVGVPPLAVGSATRVGWPVVLLLLLLLLLRVRPSRSVQRTEM
+>sp|Q9BVW5|TIPIN_HUMAN TIMELESS-interacting protein OS=Homo sapiens OX=9606 GN=TIPIN PE=1 SV=2
+MLEPQENGVIDLPDYEHVEDETFPPFPPPASPERQDGEGTEPDEESGNGAPVRVPPKRTVKRNIPKLDAQRLISERGLPALRHVFDKAKFKGKGHEAEDLKMLIRHMEHWAHRLFPKLQFEDFIDRVEYLGSKKEVQTCLKRIRLDLPILHEDFVSNNDEVAENNEHDVTSTELDPFLTNLSESEMFASELSRSLTEEQQQRIERNKQLALERRQAKLLSNSQTLGNDMLMNTPRAHTVEEVNTDEDQKEESNGLNEDILDNPCNDAIANTLNEEETLLDQSFKNVQQQLDATSRNITEAR
+>DECOY_sp|Q9BVW5|TIPIN_HUMAN TIMELESS-interacting protein OS=Homo sapiens OX=9606 GN=TIPIN PE=1 SV=2
+RAETINRSTADLQQQVNKFSQDLLTEEENLTNAIADNCPNDLIDENLGNSEEKQDEDTNVEEVTHARPTNMLMDNGLTQSNSLLKAQRRELALQKNREIRQQQEETLSRSLESAFMESESLNTLFPDLETSTVDHENNEAVEDNNSVFDEHLIPLDLRIRKLCTQVEKKSGLYEVRDIFDEFQLKPFLRHAWHEMHRILMKLDEAEHGKGKFKAKDFVHRLAPLGRESILRQADLKPINRKVTRKPPVRVPAGNGSEEDPETGEGDQREPSAPPPFPPFTEDEVHEYDPLDIVGNEQPELM
+>sp|P58753|TIRAP_HUMAN Toll/interleukin-1 receptor domain-containing adapter protein OS=Homo sapiens OX=9606 GN=TIRAP PE=1 SV=2
+MASSTSLPAPGSRPKKPLGKMADWFRQTLLKKPKKRPNSPESTSSDASQPTSQDSPLPPSLSSVTSPSLPPTHASDSGSSRWSKDYDVCVCHSEEDLVAAQDLVSYLEGSTASLRCFLQLRDATPGGAIVSELCQALSSSHCRVLLITPGFLQDPWCKYQMLQALTEAPGAEGCTIPLLSGLSRAAYPPELRFMYYVDGRGPDGGFRQVKEAVMRYLQTLS
+>DECOY_sp|P58753|TIRAP_HUMAN Toll/interleukin-1 receptor domain-containing adapter protein OS=Homo sapiens OX=9606 GN=TIRAP PE=1 SV=2
+SLTQLYRMVAEKVQRFGGDPGRGDVYYMFRLEPPYAARSLGSLLPITCGEAGPAETLAQLMQYKCWPDQLFGPTILLVRCHSSSLAQCLESVIAGGPTADRLQLFCRLSATSGELYSVLDQAAVLDEESHCVCVDYDKSWRSSGSDSAHTPPLSPSTVSSLSPPLPSDQSTPQSADSSTSEPSNPRKKPKKLLTQRFWDAMKGLPKKPRSGPAPLSTSSAM
+>sp|P47974|TISD_HUMAN mRNA decay activator protein ZFP36L2 OS=Homo sapiens OX=9606 GN=ZFP36L2 PE=1 SV=3
+MSTTLLSAFYDVDFLCKTEKSLANLNLNNMLDKKAVGTPVAAAPSSGFAPGFLRRHSASNLHALAHPAPSPGSCSPKFPGAANGSSCGSAAAGGPTSYGTLKEPSGGGGTALLNKENKFRDRSFSENGDRSQHLLHLQQQQKGGGGSQINSTRYKTELCRPFEESGTCKYGEKCQFAHGFHELRSLTRHPKYKTELCRTFHTIGFCPYGPRCHFIHNADERRPAPSGGASGDLRAFGTRDALHLGFPREPRPKLHHSLSFSGFPSGHHQPPGGLESPLLLDSPTSRTPPPPSCSSASSCSSSASSCSSASAASTPSGAPTCCASAAAAAAAALLYGTGGAEDLLAPGAPCAACSSASCANNAFAFGPELSSLITPLAIQTHNFAAVAAAAYYRSQQQQQQQGLAPPAQPPAPPSATLPAGAAAPPSPPFSFQLPRRLSDSPVFDAPPSPPDSLSDRDSYLSGSLSSGSLSGSESPSLDPGRRLPIFSRLSISDD
+>DECOY_sp|P47974|TISD_HUMAN mRNA decay activator protein ZFP36L2 OS=Homo sapiens OX=9606 GN=ZFP36L2 PE=1 SV=3
+DDSISLRSFIPLRRGPDLSPSESGSLSGSSLSGSLYSDRDSLSDPPSPPADFVPSDSLRRPLQFSFPPSPPAAAGAPLTASPPAPPQAPPALGQQQQQQQSRYYAAAAVAAFNHTQIALPTILSSLEPGFAFANNACSASSCAACPAGPALLDEAGGTGYLLAAAAAAAASACCTPAGSPTSAASASSCSSASSSCSSASSCSPPPPTRSTPSDLLLPSELGGPPQHHGSPFGSFSLSHHLKPRPERPFGLHLADRTGFARLDGSAGGSPAPRREDANHIFHCRPGYPCFGITHFTRCLETKYKPHRTLSRLEHFGHAFQCKEGYKCTGSEEFPRCLETKYRTSNIQSGGGGKQQQQLHLLHQSRDGNESFSRDRFKNEKNLLATGGGGSPEKLTGYSTPGGAAASGCSSGNAAGPFKPSCSGPSPAPHALAHLNSASHRRLFGPAFGSSPAAAVPTGVAKKDLMNNLNLNALSKETKCLFDVDYFASLLTTSM
+>sp|Q5JTD0|TJAP1_HUMAN Tight junction-associated protein 1 OS=Homo sapiens OX=9606 GN=TJAP1 PE=1 SV=1
+MTSAAPAKKPYRKAPPEHRELRLEIPGSRLEQEEPLTDAERMKLLQEENEELRRRLASATRRTEALERELEIGQDCLELELGQSREELDKFKDKFRRLQNSYTASQRTNQELEDKLHTLASLSHSWIFAIKKAEMDRKTLDWEIVELTNKLLDAKNTINKLEELNERYRLDCNLAVQLLKCNKSHFRNHKFADLPCELQDMVRKHLHSGQEAASPGPAPSLAPGAVVPTSVIARVLEKPESLLLNSAQSGSAGRPLAEDVFVHVDMSEGVPGDPASPPAPGSPTPQPNGECHSLGTARGSPEEELPLPAFEKLNPYPTPSPPHPLYPGRRVIEFSEDKVRIPRNSPLPNCTYATRQAISLSLVEEGSERARPSPVPSTPASAQASPHHQPSPAPLTLSAPASSASSEEDLLVSWQRAFVDRTPPPAAVAQRTAFGRDALPELQRHFAHSPADRDEVVQAPSARPEESELLLPTEPDSGFPREEEELNLPISPEEERQSLLPINRGTEEGPGTSHTEGRAWPLPSSSRPQRSPKRMGVHHLHRKDSLTQAQEQGNLLN
+>DECOY_sp|Q5JTD0|TJAP1_HUMAN Tight junction-associated protein 1 OS=Homo sapiens OX=9606 GN=TJAP1 PE=1 SV=1
+NLLNGQEQAQTLSDKRHLHHVGMRKPSRQPRSSSPLPWARGETHSTGPGEETGRNIPLLSQREEEPSIPLNLEEEERPFGSDPETPLLLESEEPRASPAQVVEDRDAPSHAFHRQLEPLADRGFATRQAVAAPPPTRDVFARQWSVLLDEESSASSAPASLTLPAPSPQHHPSAQASAPTSPVPSPRARESGEEVLSLSIAQRTAYTCNPLPSNRPIRVKDESFEIVRRGPYLPHPPSPTPYPNLKEFAPLPLEEEPSGRATGLSHCEGNPQPTPSGPAPPSAPDGPVGESMDVHVFVDEALPRGASGSQASNLLLSEPKELVRAIVSTPVVAGPALSPAPGPSAAEQGSHLHKRVMDQLECPLDAFKHNRFHSKNCKLLQVALNCDLRYRENLEELKNITNKADLLKNTLEVIEWDLTKRDMEAKKIAFIWSHSLSALTHLKDELEQNTRQSATYSNQLRRFKDKFKDLEERSQGLELELCDQGIELERELAETRRTASALRRRLEENEEQLLKMREADTLPEEQELRSGPIELRLERHEPPAKRYPKKAPAASTM
+>sp|Q9NYK1|TLR7_HUMAN Toll-like receptor 7 OS=Homo sapiens OX=9606 GN=TLR7 PE=2 SV=1
+MVFPMWTLKRQILILFNIILISKLLGARWFPKTLPCDVTLDVPKNHVIVDCTDKHLTEIPGGIPTNTTNLTLTINHIPDISPASFHRLDHLVEIDFRCNCVPIPLGSKNNMCIKRLQIKPRSFSGLTYLKSLYLDGNQLLEIPQGLPPSLQLLSLEANNIFSIRKENLTELANIEILYLGQNCYYRNPCYVSYSIEKDAFLNLTKLKVLSLKDNNVTAVPTVLPSTLTELYLYNNMIAKIQEDDFNNLNQLQILDLSGNCPRCYNAPFPCAPCKNNSPLQIPVNAFDALTELKVLRLHSNSLQHVPPRWFKNINKLQELDLSQNFLAKEIGDAKFLHFLPSLIQLDLSFNFELQVYRASMNLSQAFSSLKSLKILRIRGYVFKELKSFNLSPLHNLQNLEVLDLGTNFIKIANLSMFKQFKRLKVIDLSVNKISPSGDSSEVGFCSNARTSVESYEPQVLEQLHYFRYDKYARSCRFKNKEASFMSVNESCYKYGQTLDLSKNSIFFVKSSDFQHLSFLKCLNLSGNLISQTLNGSEFQPLAELRYLDFSNNRLDLLHSTAFEELHKLEVLDISSNSHYFQSEGITHMLNFTKNLKVLQKLMMNDNDISSSTSRTMESESLRTLEFRGNHLDVLWREGDNRYLQLFKNLLKLEELDISKNSLSFLPSGVFDGMPPNLKNLSLAKNGLKSFSWKKLQCLKNLETLDLSHNQLTTVPERLSNCSRSLKNLILKNNQIRSLTKYFLQDAFQLRYLDLSSNKIQMIQKTSFPENVLNNLKMLLLHHNRFLCTCDAVWFVWWVNHTEVTIPYLATDVTCVGPGAHKGQSVISLDLYTCELDLTNLILFSLSISVSLFLMVMMTASHLYFWDVWYIYHFCKAKIKGYQRLISPDCCYDAFIVYDTKDPAVTEWVLAELVAKLEDPREKHFNLCLEERDWLPGQPVLENLSQSIQLSKKTVFVMTDKYAKTENFKIAFYLSHQRLMDEKVDVIILIFLEKPFQKSKFLQLRKRLCGSSVLEWPTNPQAHPYFWQCLKNALATDNHVAYSQVFKETV
+>DECOY_sp|Q9NYK1|TLR7_HUMAN Toll-like receptor 7 OS=Homo sapiens OX=9606 GN=TLR7 PE=2 SV=1
+VTEKFVQSYAVHNDTALANKLCQWFYPHAQPNTPWELVSSGCLRKRLQLFKSKQFPKELFILIIVDVKEDMLRQHSLYFAIKFNETKAYKDTMVFVTKKSLQISQSLNELVPQGPLWDREELCLNFHKERPDELKAVLEALVWETVAPDKTDYVIFADYCCDPSILRQYGKIKAKCFHYIYWVDWFYLHSATMMVMLFLSVSISLSFLILNTLDLECTYLDLSIVSQGKHAGPGVCTVDTALYPITVETHNVWWVFWVADCTCLFRNHHLLLMKLNNLVNEPFSTKQIMQIKNSSLDLYRLQFADQLFYKTLSRIQNNKLILNKLSRSCNSLREPVTTLQNHSLDLTELNKLCQLKKWSFSKLGNKALSLNKLNPPMGDFVGSPLFSLSNKSIDLEELKLLNKFLQLYRNDGERWLVDLHNGRFELTRLSESEMTRSTSSSIDNDNMMLKQLVKLNKTFNLMHTIGESQFYHSNSSIDLVELKHLEEFATSHLLDLRNNSFDLYRLEALPQFESGNLTQSILNGSLNLCKLFSLHQFDSSKVFFISNKSLDLTQGYKYCSENVSMFSAEKNKFRCSRAYKDYRFYHLQELVQPEYSEVSTRANSCFGVESSDGSPSIKNVSLDIVKLRKFQKFMSLNAIKIFNTGLDLVELNQLNHLPSLNFSKLEKFVYGRIRLIKLSKLSSFAQSLNMSARYVQLEFNFSLDLQILSPLFHLFKADGIEKALFNQSLDLEQLKNINKFWRPPVHQLSNSHLRLVKLETLADFANVPIQLPSNNKCPACPFPANYCRPCNGSLDLIQLQNLNNFDDEQIKAIMNNYLYLETLTSPLVTPVATVNNDKLSLVKLKTLNLFADKEISYSVYCPNRYYCNQGLYLIEINALETLNEKRISFINNAELSLLQLSPPLGQPIELLQNGDLYLSKLYTLGSFSRPKIQLRKICMNNKSGLPIPVCNCRFDIEVLHDLRHFSAPSIDPIHNITLTLNTTNTPIGGPIETLHKDTCDVIVHNKPVDLTVDCPLTKPFWRAGLLKSILIINFLILIQRKLTWMPFVM
+>sp|Q9NR96|TLR9_HUMAN Toll-like receptor 9 OS=Homo sapiens OX=9606 GN=TLR9 PE=1 SV=2
+MGFCRSALHPLSLLVQAIMLAMTLALGTLPAFLPCELQPHGLVNCNWLFLKSVPHFSMAAPRGNVTSLSLSSNRIHHLHDSDFAHLPSLRHLNLKWNCPPVGLSPMHFPCHMTIEPSTFLAVPTLEELNLSYNNIMTVPALPKSLISLSLSHTNILMLDSASLAGLHALRFLFMDGNCYYKNPCRQALEVAPGALLGLGNLTHLSLKYNNLTVVPRNLPSSLEYLLLSYNRIVKLAPEDLANLTALRVLDVGGNCRRCDHAPNPCMECPRHFPQLHPDTFSHLSRLEGLVLKDSSLSWLNASWFRGLGNLRVLDLSENFLYKCITKTKAFQGLTQLRKLNLSFNYQKRVSFAHLSLAPSFGSLVALKELDMHGIFFRSLDETTLRPLARLPMLQTLRLQMNFINQAQLGIFRAFPGLRYVDLSDNRISGASELTATMGEADGGEKVWLQPGDLAPAPVDTPSSEDFRPNCSTLNFTLDLSRNNLVTVQPEMFAQLSHLQCLRLSHNCISQAVNGSQFLPLTGLQVLDLSHNKLDLYHEHSFTELPRLEALDLSYNSQPFGMQGVGHNFSFVAHLRTLRHLSLAHNNIHSQVSQQLCSTSLRALDFSGNALGHMWAEGDLYLHFFQGLSGLIWLDLSQNRLHTLLPQTLRNLPKSLQVLRLRDNYLAFFKWWSLHFLPKLEVLDLAGNQLKALTNGSLPAGTRLRRLDVSCNSISFVAPGFFSKAKELRELNLSANALKTVDHSWFGPLASALQILDVSANPLHCACGAAFMDFLLEVQAAVPGLPSRVKCGSPGQLQGLSIFAQDLRLCLDEALSWDCFALSLLAVALGLGVPMLHHLCGWDLWYCFHLCLAWLPWRGRQSGRDEDALPYDAFVVFDKTQSAVADWVYNELRGQLEECRGRWALRLCLEERDWLPGKTLFENLWASVYGSRKTLFVLAHTDRVSGLLRASFLLAQQRLLEDRKDVVVLVILSPDGRRSRYVRLRQRLCRQSVLLWPHQPSGQRSFWAQLGMALTRDNHHFYNRNFCQGPTAE
+>DECOY_sp|Q9NR96|TLR9_HUMAN Toll-like receptor 9 OS=Homo sapiens OX=9606 GN=TLR9 PE=1 SV=2
+EATPGQCFNRNYFHHNDRTLAMGLQAWFSRQGSPQHPWLLVSQRCLRQRLRVYRSRRGDPSLIVLVVVDKRDELLRQQALLFSARLLGSVRDTHALVFLTKRSGYVSAWLNEFLTKGPLWDREELCLRLAWRGRCEELQGRLENYVWDAVASQTKDFVVFADYPLADEDRGSQRGRWPLWALCLHFCYWLDWGCLHHLMPVGLGLAVALLSLAFCDWSLAEDLCLRLDQAFISLGQLQGPSGCKVRSPLGPVAAQVELLFDMFAAGCACHLPNASVDLIQLASALPGFWSHDVTKLANASLNLERLEKAKSFFGPAVFSISNCSVDLRRLRTGAPLSGNTLAKLQNGALDLVELKPLFHLSWWKFFALYNDRLRLVQLSKPLNRLTQPLLTHLRNQSLDLWILGSLGQFFHLYLDGEAWMHGLANGSFDLARLSTSCLQQSVQSHINNHALSLHRLTRLHAVFSFNHGVGQMGFPQSNYSLDLAELRPLETFSHEHYLDLKNHSLDLVQLGTLPLFQSGNVAQSICNHSLRLCQLHSLQAFMEPQVTVLNNRSLDLTFNLTSCNPRFDESSPTDVPAPALDGPQLWVKEGGDAEGMTATLESAGSIRNDSLDVYRLGPFARFIGLQAQNIFNMQLRLTQLMPLRALPRLTTEDLSRFFIGHMDLEKLAVLSGFSPALSLHAFSVRKQYNFSLNLKRLQTLGQFAKTKTICKYLFNESLDLVRLNGLGRFWSANLWSLSSDKLVLGELRSLHSFTDPHLQPFHRPCEMCPNPAHDCRRCNGGVDLVRLATLNALDEPALKVIRNYSLLLYELSSPLNRPVVTLNNYKLSLHTLNGLGLLAGPAVELAQRCPNKYYCNGDMFLFRLAHLGALSASDLMLINTHSLSLSILSKPLAPVTMINNYSLNLEELTPVALFTSPEITMHCPFHMPSLGVPPCNWKLNLHRLSPLHAFDSDHLHHIRNSSLSLSTVNGRPAAMSFHPVSKLFLWNCNVLGHPQLECPLFAPLTGLALTMALMIAQVLLSLPHLASRCFGM
+>sp|P31314|TLX1_HUMAN T-cell leukemia homeobox protein 1 OS=Homo sapiens OX=9606 GN=TLX1 PE=1 SV=1
+MEHLGPHHLHPGHAEPISFGIDQILNSPDQGGCMGPASRLQDGEYGLGCLVGGAYTYGGGGSAAATGAGGAGAYGTGGPGGPGGPAGGGGACSMGPLTGSYNVNMALAGGPGPGGGGGSSGGAGALSAAGVIRVPAHRPLAGAVAHPQPLATGLPTVPSVPAMPGVNNLTGLTFPWMESNRRYTKDRFTGHPYQNRTPPKKKKPRTSFTRLQICELEKRFHRQKYLASAERAALAKALKMTDAQVKTWFQNRRTKWRRQTAEEREAERQQANRILLQLQQEAFQKSLAQPLPADPLCVHNSSLFALQNLQPWSDDSTKITSVTSVASACE
+>DECOY_sp|P31314|TLX1_HUMAN T-cell leukemia homeobox protein 1 OS=Homo sapiens OX=9606 GN=TLX1 PE=1 SV=1
+ECASAVSTVSTIKTSDDSWPQLNQLAFLSSNHVCLPDAPLPQALSKQFAEQQLQLLIRNAQQREAEREEATQRRWKTRRNQFWTKVQADTMKLAKALAAREASALYKQRHFRKELECIQLRTFSTRPKKKKPPTRNQYPHGTFRDKTYRRNSEMWPFTLGTLNNVGPMAPVSPVTPLGTALPQPHAVAGALPRHAPVRIVGAASLAGAGGSSGGGGGPGPGGALAMNVNYSGTLPGMSCAGGGGAPGGPGGPGGTGYAGAGGAGTAAASGGGGYTYAGGVLCGLGYEGDQLRSAPGMCGGQDPSNLIQDIGFSIPEAHGPHLHHPGLHEM
+>sp|Q8N9M5|TM102_HUMAN Transmembrane protein 102 OS=Homo sapiens OX=9606 GN=TMEM102 PE=1 SV=1
+MASAVWGSAPWWGPPPPAPARPLTDIDFCSGAQLQELTQLIQELGVQESWSDGPKPGADLLRAKDFVFSLLGLVHRRDPRFPPQAELLLLRGGIREGSLDLGHAPLGPYARGPHYDAGFTLLVPMFSLDGTELQLDLESCYAQVCLPEMVCGTPIREMWQDCLGPPVPGARDSIHRTESEESSKDWQSSVDQPHSYVTEHEAPVSLEKSPSDVSASESPQHDVVDLGSTAPLKTMSSDVTKAAVESPVPKPSEAREAWPTLCSAQVAAWFFATLAAVAESLIPVPGAPRLVHAARHAGFTTVLLATPEPPRRLLLFDLIPVVSVAGWPEGARSHSWAGPLASESASFYLVPGGGTERPCASAWQLCFARQELALKARIPAPLLQAHAAAQALLRPLVAGTRAAAPYLLRTLLYWACERLPALYLARPENAGACCLGLLDELGRVLEAGTLPHYFLNGRQLRTGDDSAALLGELARLRGDPARALRAAVEEAKVARKGGGLAGVGGGAH
+>DECOY_sp|Q8N9M5|TM102_HUMAN Transmembrane protein 102 OS=Homo sapiens OX=9606 GN=TMEM102 PE=1 SV=1
+HAGGGVGALGGGKRAVKAEEVAARLARAPDGRLRALEGLLAASDDGTRLQRGNLFYHPLTGAELVRGLEDLLGLCCAGANEPRALYLAPLRECAWYLLTRLLYPAAARTGAVLPRLLAQAAAHAQLLPAPIRAKLALEQRAFCLQWASACPRETGGGPVLYFSASESALPGAWSHSRAGEPWGAVSVVPILDFLLLRRPPEPTALLVTTFGAHRAAHVLRPAGPVPILSEAVAALTAFFWAAVQASCLTPWAERAESPKPVPSEVAAKTVDSSMTKLPATSGLDVVDHQPSESASVDSPSKELSVPAEHETVYSHPQDVSSQWDKSSEESETRHISDRAGPVPPGLCDQWMERIPTGCVMEPLCVQAYCSELDLQLETGDLSFMPVLLTFGADYHPGRAYPGLPAHGLDLSGERIGGRLLLLEAQPPFRPDRRHVLGLLSFVFDKARLLDAGPKPGDSWSEQVGLEQILQTLEQLQAGSCFDIDTLPRAPAPPPPGWWPASGWVASAM
+>sp|Q6UXF1|TM108_HUMAN Transmembrane protein 108 OS=Homo sapiens OX=9606 GN=TMEM108 PE=2 SV=2
+MKRSLQALYCQLLSFLLILALTEALAFAIQEPSPRESLQVLPSGTPPGTMVTAPHSSTRHTSVVMLTPNPDGPPSQAAAPMATPTPRAEGHPPTHTISTIAATVTAPHSESSLSTGPAPAAMATTSSKPEGRPRGQAAPTILLTKPPGATSRPTTAPPRTTTRRPPRPPGSSRKGAGNSSRPVPPAPGGHSRSKEGQRGRNPSSTPLGQKRPLGKIFQIYKGNFTGSVEPEPSTLTPRTPLWGYSSSPQPQTVAATTVPSNTSWAPTTTSLGPAKDKPGLRRAAQGGGSTFTSQGGTPDATAASGAPVSPQAAPVPSQRPHHGDPQDGPSHSDSWLTVTPGTSRPLSTSSGVFTAATGPTPAAFDTSVSAPSQGIPQGASTTPQAPTHPSRVSESTISGAKEETVATLTMTDRVPSPLSTVVSTATGNFLNRLVPAGTWKPGTAGNISHVAEGDKPQHRATICLSKMDIAWVILAISVPISSCSVLLTVCCMKRKKKTANPENNLSYWNNTITMDYFNRHAVELPREIQSLETSEDQLSEPRSPANGDYRDTGMVLVNPFCQETLFVGNDQVSEI
+>DECOY_sp|Q6UXF1|TM108_HUMAN Transmembrane protein 108 OS=Homo sapiens OX=9606 GN=TMEM108 PE=2 SV=2
+IESVQDNGVFLTEQCFPNVLVMGTDRYDGNAPSRPESLQDESTELSQIERPLEVAHRNFYDMTITNNWYSLNNEPNATKKKRKMCCVTLLVSCSSIPVSIALIVWAIDMKSLCITARHQPKDGEAVHSINGATGPKWTGAPVLRNLFNGTATSVVTSLPSPVRDTMTLTAVTEEKAGSITSESVRSPHTPAQPTTSAGQPIGQSPASVSTDFAAPTPGTAATFVGSSTSLPRSTGPTVTLWSDSHSPGDQPDGHHPRQSPVPAAQPSVPAGSAATADPTGGQSTFTSGGGQAARRLGPKDKAPGLSTTTPAWSTNSPVTTAAVTQPQPSSSYGWLPTRPTLTSPEPEVSGTFNGKYIQFIKGLPRKQGLPTSSPNRGRQGEKSRSHGGPAPPVPRSSNGAGKRSSGPPRPPRRTTTRPPATTPRSTAGPPKTLLITPAAQGRPRGEPKSSTTAMAAPAPGTSLSSESHPATVTAAITSITHTPPHGEARPTPTAMPAAAQSPPGDPNPTLMVVSTHRTSSHPATVMTGPPTGSPLVQLSERPSPEQIAFALAETLALILLFSLLQCYLAQLSRKM
+>sp|Q9BVC6|TM109_HUMAN Transmembrane protein 109 OS=Homo sapiens OX=9606 GN=TMEM109 PE=1 SV=1
+MAASSISSPWGKHVFKAILMVLVALILLHSALAQSRRDFAPPGQQKREAPVDVLTQIGRSVRGTLDAWIGPETMHLVSESSSQVLWAISSAISVAFFALSGIAAQLLNALGLAGDYLAQGLKLSPGQVQTFLLWGAGALVVYWLLSLLLGLVLALLGRILWGLKLVIFLAGFVALMRSVPDPSTRALLLLALLILYALLSRLTGSRASGAQLEAKVRGLERQVEELRWRQRRAAKGARSVEEE
+>DECOY_sp|Q9BVC6|TM109_HUMAN Transmembrane protein 109 OS=Homo sapiens OX=9606 GN=TMEM109 PE=1 SV=1
+EEEVSRAGKAARRQRWRLEEVQRELGRVKAELQAGSARSGTLRSLLAYLILLALLLLARTSPDPVSRMLAVFGALFIVLKLGWLIRGLLALVLGLLLSLLWYVVLAGAGWLLFTQVQGPSLKLGQALYDGALGLANLLQAAIGSLAFFAVSIASSIAWLVQSSSESVLHMTEPGIWADLTGRVSRGIQTLVDVPAERKQQGPPAFDRRSQALASHLLILAVLVMLIAKFVHKGWPSSISSAAM
+>sp|Q8TBZ6|TM10A_HUMAN tRNA methyltransferase 10 homolog A OS=Homo sapiens OX=9606 GN=TRMT10A PE=1 SV=1
+MSSEMLPAFIETSNVDKKQGINEDQEESQKPRLGEGCEPISKRQMKKLIKQKQWEEQRELRKQKRKEKRKRKKLERQCQMEPNSDGHDRKRVRRDVVHSTLRLIIDCSFDHLMVLKDIKKLHKQIQRCYAENRRALHPVQFYLTSHGGQLKKNMDENDKGWVNWKDIHIKPEHYSELIKKEDLIYLTSDSPNILKELDESKAYVIGGLVDHNHHKGLTYKQASDYGINHAQLPLGNFVKMNSRKVLAVNHVFEIILEYLETRDWQEAFFTILPQRKGAVPTDKACESASHDNQSVRMEEGGSDSDSSEEEYSRNELDSPHEEKQDKENHTESTVNSLPH
+>DECOY_sp|Q8TBZ6|TM10A_HUMAN tRNA methyltransferase 10 homolog A OS=Homo sapiens OX=9606 GN=TRMT10A PE=1 SV=1
+HPLSNVTSETHNEKDQKEEHPSDLENRSYEEESSDSDSGGEEMRVSQNDHSASECAKDTPVAGKRQPLITFFAEQWDRTELYELIIEFVHNVALVKRSNMKVFNGLPLQAHNIGYDSAQKYTLGKHHNHDVLGGIVYAKSEDLEKLINPSDSTLYILDEKKILESYHEPKIHIDKWNVWGKDNEDMNKKLQGGHSTLYFQVPHLARRNEAYCRQIQKHLKKIDKLVMLHDFSCDIILRLTSHVVDRRVRKRDHGDSNPEMQCQRELKKRKRKEKRKQKRLERQEEWQKQKILKKMQRKSIPECGEGLRPKQSEEQDENIGQKKDVNSTEIFAPLMESSM
+>sp|Q12893|TM115_HUMAN Transmembrane protein 115 OS=Homo sapiens OX=9606 GN=TMEM115 PE=1 SV=1
+MQRALPGARQHLGAILASASVVVKALCAAVLFLYLLSFAVDTGCLAVTPGYLFPPNFWIWTLATHGLMEQHVWDVAISLTTVVVAGRLLEPLWGALELLIFFSVVNVSVGLLGAFAYLLTYMASFNLVYLFTVRIHGALGFLGGVLVALKQTMGDCVVLRVPQVRVSVMPMLLLALLLLLRLATLLQSPALASYGFGLLSSWVYLRFYQRHSRGRGDMADHFAFATFFPEILQPVVGLLANLVHSLLVKVKICQKTVKRYDVGAPSSITISLPGTDPQDAERRRQLALKALNERLKRVEDQSIWPSMDDDEEESGAKVDSPLPSDKAPTPPGKGAAPESSLITFEAAPPTL
+>DECOY_sp|Q12893|TM115_HUMAN Transmembrane protein 115 OS=Homo sapiens OX=9606 GN=TMEM115 PE=1 SV=1
+LTPPAAEFTILSSEPAAGKGPPTPAKDSPLPSDVKAGSEEEDDDMSPWISQDEVRKLRENLAKLALQRRREADQPDTGPLSITISSPAGVDYRKVTKQCIKVKVLLSHVLNALLGVVPQLIEPFFTAFAFHDAMDGRGRSHRQYFRLYVWSSLLGFGYSALAPSQLLTALRLLLLLALLLMPMVSVRVQPVRLVVCDGMTQKLAVLVGGLFGLAGHIRVTFLYVLNFSAMYTLLYAFAGLLGVSVNVVSFFILLELAGWLPELLRGAVVVTTLSIAVDWVHQEMLGHTALTWIWFNPPFLYGPTVALCGTDVAFSLLYLFLVAACLAKVVVSASALIAGLHQRAGPLARQM
+>sp|Q5BJH2|TM128_HUMAN Transmembrane protein 128 OS=Homo sapiens OX=9606 GN=TMEM128 PE=1 SV=2
+MDSSRARQQLRRRFLLLPDAEAQLDREGDAGPETSTAVEKKEKPLPRLNIHSGFWILASIVVTYYVDFFKTLKENFHTSSWFLCGSALLLVSLSIAFYCIVYLEWYCGIGEYDVKYPALIPITTASFIAAGICFNIALWHVWSFFTPLLLFTQFMGVVMFITLLG
+>DECOY_sp|Q5BJH2|TM128_HUMAN Transmembrane protein 128 OS=Homo sapiens OX=9606 GN=TMEM128 PE=1 SV=2
+GLLTIFMVVGMFQTFLLLPTFFSWVHWLAINFCIGAAIFSATTIPILAPYKVDYEGIGCYWELYVICYFAISLSVLLLASGCLFWSSTHFNEKLTKFFDVYYTVVISALIWFGSHINLRPLPKEKKEVATSTEPGADGERDLQAEADPLLLFRRRLQQRARSSDM
+>sp|A8MWL7|TM14D_HUMAN Transmembrane protein 14DP OS=Homo sapiens OX=9606 GN=TMEM14DP PE=5 SV=2
+MEKPLFPLVPLHWFGFGYTALVVSGGIVGYVKTGRAPSLAAGLLFGSLAGVGAYQLYQDPRNVWDFLAATSVTFVGIMGMRSYYYGKFMPVGLIAGASLLMAAKVGVRMLMTSD
+>DECOY_sp|A8MWL7|TM14D_HUMAN Transmembrane protein 14DP OS=Homo sapiens OX=9606 GN=TMEM14DP PE=5 SV=2
+DSTMLMRVGVKAAMLLSAGAILGVPMFKGYYYSRMGMIGVFTVSTAALFDWVNRPDQYLQYAGVGALSGFLLGAALSPARGTKVYGVIGGSVVLATYGFGFWHLPVLPFLPKEM
+>sp|Q9HC07|TM165_HUMAN Transmembrane protein 165 OS=Homo sapiens OX=9606 GN=TMEM165 PE=1 SV=1
+MAAAAPGNGRASAPRLLLLFLVPLLWAPAAVRAGPDEDLSHRNKEPPAPAQQLQPQPVAVQGPEPARVEKIFTPAAPVHTNKEDPATQTNLGFIHAFVAAISVIIVSELGDKTFFIAAIMAMRYNRLTVLAGAMLALGLMTCLSVLFGYATTVIPRVYTYYVSTVLFAIFGIRMLREGLKMSPDEGQEELEEVQAELKKKDEEFQRTKLLNGPGDVETGTSITVPQKKWLHFISPIFVQALTLTFLAEWGDRSQLTTIVLAAREDPYGVAVGGTVGHCLCTGLAVIGGRMIAQKISVRTVTIIGGIVFLAFAFSALFISPDSGF
+>DECOY_sp|Q9HC07|TM165_HUMAN Transmembrane protein 165 OS=Homo sapiens OX=9606 GN=TMEM165 PE=1 SV=1
+FGSDPSIFLASFAFALFVIGGIITVTRVSIKQAIMRGGIVALGTCLCHGVTGGVAVGYPDERAALVITTLQSRDGWEALFTLTLAQVFIPSIFHLWKKQPVTISTGTEVDGPGNLLKTRQFEEDKKKLEAQVEELEEQGEDPSMKLGERLMRIGFIAFLVTSVYYTYVRPIVTTAYGFLVSLCTMLGLALMAGALVTLRNYRMAMIAAIFFTKDGLESVIIVSIAAVFAHIFGLNTQTAPDEKNTHVPAAPTFIKEVRAPEPGQVAVPQPQLQQAPAPPEKNRHSLDEDPGARVAAPAWLLPVLFLLLLRPASARGNGPAAAAM
+>sp|Q9P2C4|TM181_HUMAN Transmembrane protein 181 OS=Homo sapiens OX=9606 GN=TMEM181 PE=1 SV=2
+MGAAPSPTQASSRGGGPGPPAPTRAVSSSSRARGGALSALGPSPARPLTTSPAPAPPPRSRPARQQPDPQCWEKRGGAGGDTKGGAAGPGPGRLRGMDAEYPAFEPPLCSELKHLCRRLREAYRELKEDLTPFKDDRYYRLAPMRLYTLSKRHFVLVFVVFFICFGLTIFVGIRGPKVIQTSAANFSLNNSKKLKPIQILSNPLSTYNQQLWLTCVVELDQSKETSIKTSFPMTVKVDGVAQDGTTMYIHNKVHNRTRTLTCAGKCAEIIVAHLGYLNYTQYTVIVGFEHLKLPIKGMNFTWKTYNPAFSRLEIWFRFFFVVLTFIVTCLFAHSLRKFSMRDWGIEQKWMSVLLPLLLLYNDPFFPLSFLVNSWLPGMLDDLFQSMFLCALLLFWLCVYHGIRVQGERKCLTFYLPKFFIVGLLWLASVTLGIWQTVNELHDPMYQYRVDTGNFQGMKVFFMVVAAVYILYLLFLIVRACSELRHMPYVDLRLKFLTALTFVVLVISIAILYLRFGAQVLQDNFVAELSTHYQNSAEFLSFYGLLNFYLYTLAFVYSPSKNALYESQLKDNPAFSMLNDSDDDVIYGSDYEEMPLQNGQAIRAKYKEESDSD
+>DECOY_sp|Q9P2C4|TM181_HUMAN Transmembrane protein 181 OS=Homo sapiens OX=9606 GN=TMEM181 PE=1 SV=2
+DSDSEEKYKARIAQGNQLPMEEYDSGYIVDDDSDNLMSFAPNDKLQSEYLANKSPSYVFALTYLYFNLLGYFSLFEASNQYHTSLEAVFNDQLVQAGFRLYLIAISIVLVVFTLATLFKLRLDVYPMHRLESCARVILFLLYLIYVAAVVMFFVKMGQFNGTDVRYQYMPDHLENVTQWIGLTVSALWLLGVIFFKPLYFTLCKREGQVRIGHYVCLWFLLLACLFMSQFLDDLMGPLWSNVLFSLPFFPDNYLLLLPLLVSMWKQEIGWDRMSFKRLSHAFLCTVIFTLVVFFFRFWIELRSFAPNYTKWTFNMGKIPLKLHEFGVIVTYQTYNLYGLHAVIIEACKGACTLTRTRNHVKNHIYMTTGDQAVGDVKVTMPFSTKISTEKSQDLEVVCTLWLQQNYTSLPNSLIQIPKLKKSNNLSFNAASTQIVKPGRIGVFITLGFCIFFVVFVLVFHRKSLTYLRMPALRYYRDDKFPTLDEKLERYAERLRRCLHKLESCLPPEFAPYEADMGRLRGPGPGAAGGKTDGGAGGRKEWCQPDPQQRAPRSRPPPAPAPSTTLPRAPSPGLASLAGGRARSSSSVARTPAPPGPGGGRSSAQTPSPAAGM
+>sp|Q6ZP80|TM182_HUMAN Transmembrane protein 182 OS=Homo sapiens OX=9606 GN=TMEM182 PE=1 SV=2
+MRLNIAIFFGALFGALGVLLFLVAFGSDYWLLATEVGRCSGEKNIENVTFHHEGFFWRCWFNGIVEENDSNIWKFWYTNQPPSKNCTHAYLSPYPFMRGEHNSTSYDSAVIYRGFWAVLMLLGVVAVVIASFLIICAAPFASHFLYKAGGGSYIAAGILFSLVVMLYVIWVQAVADMESYRNMKMKDCLDFTPSVLYGWSFFLAPAGIFFSLLAGLLFLVVGWHIQIHH
+>DECOY_sp|Q6ZP80|TM182_HUMAN Transmembrane protein 182 OS=Homo sapiens OX=9606 GN=TMEM182 PE=1 SV=2
+HHIQIHWGVVLFLLGALLSFFIGAPALFFSWGYLVSPTFDLCDKMKMNRYSEMDAVAQVWIVYLMVVLSFLIGAAIYSGGGAKYLFHSAFPAACIILFSAIVVAVVGLLMLVAWFGRYIVASDYSTSNHEGRMFPYPSLYAHTCNKSPPQNTYWFKWINSDNEEVIGNFWCRWFFGEHHFTVNEINKEGSCRGVETALLWYDSGFAVLFLLVGLAGFLAGFFIAINLRM
+>sp|Q8IY95|TM192_HUMAN Transmembrane protein 192 OS=Homo sapiens OX=9606 GN=TMEM192 PE=1 SV=1
+MAAGGRMEDGSLDITQSIEDDPLLDAQLLPHHSLQAHFRPRFHPLPTVIIVNLLWFIHLVFVVLAFLTGVLCSYPNPNEDKCPGNYTNPLKVQTVIILGKVILWILHLLLECYIQYHHSKIRNRGYNLIYRSTRHLKRLALMIQSSGNTVLLLILCMQHSFPEPGRLYLDLILAILALELICSLICLLIYTVKIRRFNKAKPEPDILEEEKIYAYPSNITSETGFRTISSLEEIVEKQGDTIEYLKRHNALLSKRLLALTSSDLGCQPSRT
+>DECOY_sp|Q8IY95|TM192_HUMAN Transmembrane protein 192 OS=Homo sapiens OX=9606 GN=TMEM192 PE=1 SV=1
+TRSPQCGLDSSTLALLRKSLLANHRKLYEITDGQKEVIEELSSITRFGTESTINSPYAYIKEEELIDPEPKAKNFRRIKVTYILLCILSCILELALIALILDLYLRGPEPFSHQMCLILLLVTNGSSQIMLALRKLHRTSRYILNYGRNRIKSHHYQIYCELLLHLIWLIVKGLIIVTQVKLPNTYNGPCKDENPNPYSCLVGTLFALVVFVLHIFWLLNVIIVTPLPHFRPRFHAQLSHHPLLQADLLPDDEISQTIDLSGDEMRGGAAM
+>sp|Q66K66|TM198_HUMAN Transmembrane protein 198 OS=Homo sapiens OX=9606 GN=TMEM198 PE=1 SV=1
+MPGTVATLRFQLLPPEPDDAFWGAPCEQPLERRYQALPALVCIMCCLFGVVYCFFGYRCFKAVLFLTGLLFGSVVIFLLCYRERVLETQLSAGASAGIALGIGLLCGLVAMLVRSVGLFLVGLLLGLLLAAAALLGSAPYYQPGSVWGPLGLLLGGGLLCALLTLRWPRPLTTLATAVTGAALIATAADYFAELLLLGRYVVERLRAAPVPPLCWRSWALLALWPLLSLMGVLVQWRVTAEGDSHTEVVISRQRRRVQLMRIRQQEDRKEKRRKKRPPRAPLRGPRAPPRPGPPDPAYRRRPVPIKRFNGDVLSPSYIQSFRDRQTGSSLSSFMASPTDADYEYGSRGPLTACSGPPVRV
+>DECOY_sp|Q66K66|TM198_HUMAN Transmembrane protein 198 OS=Homo sapiens OX=9606 GN=TMEM198 PE=1 SV=1
+VRVPPGSCATLPGRSGYEYDADTPSAMFSSLSSGTQRDRFSQIYSPSLVDGNFRKIPVPRRRYAPDPPGPRPPARPGRLPARPPRKKRRKEKRDEQQRIRMLQVRRRQRSIVVETHSDGEATVRWQVLVGMLSLLPWLALLAWSRWCLPPVPAARLREVVYRGLLLLEAFYDAATAILAAGTVATALTTLPRPWRLTLLACLLGGGLLLGLPGWVSGPQYYPASGLLAAAALLLGLLLGVLFLGVSRVLMAVLGCLLGIGLAIGASAGASLQTELVRERYCLLFIVVSGFLLGTLFLVAKFCRYGFFCYVVGFLCCMICVLAPLAQYRRELPQECPAGWFADDPEPPLLQFRLTAVTGPM
+>sp|Q6ZVM7|TM1L2_HUMAN TOM1-like protein 2 OS=Homo sapiens OX=9606 GN=TOM1L2 PE=1 SV=1
+MEFLLGNPFSTPVGQCLEKATDGSLQSEDWTLNMEICDIINETEEGPKDAIRALKKRLNGNRNYREVMLALTVLETCVKNCGHRFHILVANRDFIDSVLVKIISPKNNPPTIVQDKVLALIQAWADAFRSSPDLTGVVHIYEELKRKGVEFPMADLDALSPIHTPQRSVPEVDPAATMPRSQSQQRTSAGSYSSPPPAPYSAPQAPALSVTGPITANSEQIARLRSELDVVRGNTKVMSEMLTEMVPGQEDSSDLELLQELNRTCRAMQQRIVELISRVSNEEVTEELLHVNDDLNNVFLRYERFERYRSGRSVQNASNGVLNEVTEDNLIDLGPGSPAVVSPMVGNTAPPSSLSSQLAGLDLGTESVSGTLSSLQQCNPRDGFDMFAQTRGNSLAEQRKTVTYEDPQAVGGLASALDNRKQSSEGIPVAQPSVMDDIEVWLRTDLKGDDLEEGVTSEEFDKFLEERAKAAEMVPDLPSPPMEAPAPASNPSGRKKPERSEDALFAL
+>DECOY_sp|Q6ZVM7|TM1L2_HUMAN TOM1-like protein 2 OS=Homo sapiens OX=9606 GN=TOM1L2 PE=1 SV=1
+LAFLADESREPKKRGSPNSAPAPAEMPPSPLDPVMEAAKAREELFKDFEESTVGEELDDGKLDTRLWVEIDDMVSPQAVPIGESSQKRNDLASALGGVAQPDEYTVTKRQEALSNGRTQAFMDFGDRPNCQQLSSLTGSVSETGLDLGALQSSLSSPPATNGVMPSVVAPSGPGLDILNDETVENLVGNSANQVSRGSRYREFREYRLFVNNLDDNVHLLEETVEENSVRSILEVIRQQMARCTRNLEQLLELDSSDEQGPVMETLMESMVKTNGRVVDLESRLRAIQESNATIPGTVSLAPAQPASYPAPPPSSYSGASTRQQSQSRPMTAAPDVEPVSRQPTHIPSLADLDAMPFEVGKRKLEEYIHVVGTLDPSSRFADAWAQILALVKDQVITPPNNKPSIIKVLVSDIFDRNAVLIHFRHGCNKVCTELVTLALMVERYNRNGNLRKKLARIADKPGEETENIIDCIEMNLTWDESQLSGDTAKELCQGVPTSFPNGLLFEM
+>sp|A6NGA9|TM202_HUMAN Transmembrane protein 202 OS=Homo sapiens OX=9606 GN=TMEM202 PE=4 SV=1
+MERREHLTLTFHSPEVPKIKGNRKYQRPTVPAKKHPSASMSCQRQQQLMDQAHIYIRTLCGSLCSFSLLMLIAMSPLNWVQFLVIKNGLELYAGLWTLCNHELCWSHTPKPPYYLQYSRAFFLISVFTILTGLGWLFSSWILNRGSMTTNLDLKVSMLSFISATCLLLCLNLFVAQVHWHTRDAMESDLLWTYYLNWCSDIFYMFAGIISLLNYLTSRSPACDENVTVIPTERSRLGVGPVTTVSPAKDEGPRSEMESLSVREKNLPKSGLWW
+>DECOY_sp|A6NGA9|TM202_HUMAN Transmembrane protein 202 OS=Homo sapiens OX=9606 GN=TMEM202 PE=4 SV=1
+WWLGSKPLNKERVSLSEMESRPGEDKAPSVTTVPGVGLRSRETPIVTVNEDCAPSRSTLYNLLSIIGAFMYFIDSCWNLYYTWLLDSEMADRTHWHVQAVFLNLCLLLCTASIFSLMSVKLDLNTTMSGRNLIWSSFLWGLGTLITFVSILFFARSYQLYYPPKPTHSWCLEHNCLTWLGAYLELGNKIVLFQVWNLPSMAILMLLSFSCLSGCLTRIYIHAQDMLQQQRQCSMSASPHKKAPVTPRQYKRNGKIKPVEPSHFTLTLHERREM
+>sp|Q9BSN7|TM204_HUMAN Transmembrane protein 204 OS=Homo sapiens OX=9606 GN=TMEM204 PE=2 SV=1
+MTVQRLVAAAVLVALVSLILNNVAAFTSNWVCQTLEDGRRRSVGLWRSCWLVDRTRGGPSPGARAGQVDAHDCEALGWGSEAAGFQESRGTVKLQFDMMRACNLVATAALTAGQLTFLLGLVGLPLLSPDAPCWEEAMAAAFQLASFVLVIGLVTFYRIGPYTNLSWSCYLNIGACLLATLAAAMLIWNILHKREDCMAPRVIVISRSLTARFRRGLDNDYVESPC
+>DECOY_sp|Q9BSN7|TM204_HUMAN Transmembrane protein 204 OS=Homo sapiens OX=9606 GN=TMEM204 PE=2 SV=1
+CPSEVYDNDLGRRFRATLSRSIVIVRPAMCDERKHLINWILMAAALTALLCAGINLYCSWSLNTYPGIRYFTVLGIVLVFSALQFAAAMAEEWCPADPSLLPLGVLGLLFTLQGATLAATAVLNCARMMDFQLKVTGRSEQFGAAESGWGLAECDHADVQGARAGPSPGGRTRDVLWCSRWLGVSRRRGDELTQCVWNSTFAAVNNLILSVLAVLVAAAVLRQVTM
+>sp|Q9H813|TM206_HUMAN Transmembrane protein 206 OS=Homo sapiens OX=9606 GN=TMEM206 PE=1 SV=1
+MIRQERSTSYQELSEELVQVVENSELADEQDKETVRVQGPGILPGLDSESASSSIRFSKACLKNVFSVLLIFIYLLLMAVAVFLVYRTITDFREKLKHPVMSVSYKEVDRYDAPGIALYPGQAQLLSCKHHYEVIPPLTSPGQPGDMNCTTQRINYTDPFSNQTVKSALIVQGPREVKKRELVFLQFRLNKSSEDFSAIDYLLFSSFQEFLQSPNRVGFMQACESAYSSWKFSGGFRTWVKMSLVKTKEEDGREAVEFRQETSVVNYIDQRPAAKKSAQLFFVVFEWKDPFIQKVQDIVTANPWNTIALLCGAFLALFKAAEFAKLSIKWMIKIRKRYLKRRGQATSHIS
+>DECOY_sp|Q9H813|TM206_HUMAN Transmembrane protein 206 OS=Homo sapiens OX=9606 GN=TMEM206 PE=1 SV=1
+SIHSTAQGRRKLYRKRIKIMWKISLKAFEAAKFLALFAGCLLAITNWPNATVIDQVKQIFPDKWEFVVFFLQASKKAAPRQDIYNVVSTEQRFEVAERGDEEKTKVLSMKVWTRFGGSFKWSSYASECAQMFGVRNPSQLFEQFSSFLLYDIASFDESSKNLRFQLFVLERKKVERPGQVILASKVTQNSFPDTYNIRQTTCNMDGPQGPSTLPPIVEYHHKCSLLQAQGPYLAIGPADYRDVEKYSVSMVPHKLKERFDTITRYVLFVAVAMLLLYIFILLVSFVNKLCAKSFRISSSASESDLGPLIGPGQVRVTEKDQEDALESNEVVQVLEESLEQYSTSREQRIM
+>sp|Q86XT9|TM219_HUMAN Insulin-like growth factor-binding protein 3 receptor OS=Homo sapiens OX=9606 GN=TMEM219 PE=1 SV=1
+MGNCQAGHNLHLCLAHHPPLVCATLILLLLGLSGLGLGSFLLTHRTGLRSPDIPQDWVSFLRSFGQLTLCPRNGTVTGKWRGSHVVGLLTTLNFGDGPDRNKTRTFQATVLGSQMGLKGSSAGQLVLITARVTTERTAGTCLYFSAVPGILPSSQPPISCSEEGAGNATLSPRMGEECVSVWSHEGLVLTKLLTSEELALCGSRLLVLGSFLLLFCGLLCCVTAMCFHPRRESHWSRTRL
+>DECOY_sp|Q86XT9|TM219_HUMAN Insulin-like growth factor-binding protein 3 receptor OS=Homo sapiens OX=9606 GN=TMEM219 PE=1 SV=1
+LRTRSWHSERRPHFCMATVCCLLGCFLLLFSGLVLLRSGCLALEESTLLKTLVLGEHSWVSVCEEGMRPSLTANGAGEESCSIPPQSSPLIGPVASFYLCTGATRETTVRATILVLQGASSGKLGMQSGLVTAQFTRTKNRDPGDGFNLTTLLGVVHSGRWKGTVTGNRPCLTLQGFSRLFSVWDQPIDPSRLGTRHTLLFSGLGLGSLGLLLLILTACVLPPHHALCLHLNHGAQCNGM
+>sp|Q9H0R3|TM222_HUMAN Transmembrane protein 222 OS=Homo sapiens OX=9606 GN=TMEM222 PE=1 SV=2
+MAEAEGSSLLLLPPPPPPPRMAEVEAPTAAETDMKQYQGSGGVAMDVERSRFPYCVVWTPIPVLTWFFPIIGHMGICTSTGVIRDFAGPYFVSEDNMAFGKPAKYWKLDPAQVYASGPNAWDTAVHDASEEYKHRMHNLCCDNCHSHVALALNLMRYNNSTNWNMVTLCFFCLLYGKYVSVGAFVKTWLPFILLLGIILTVSLVFNLR
+>DECOY_sp|Q9H0R3|TM222_HUMAN Transmembrane protein 222 OS=Homo sapiens OX=9606 GN=TMEM222 PE=1 SV=2
+RLNFVLSVTLIIGLLLIFPLWTKVFAGVSVYKGYLLCFFCLTVMNWNTSNNYRMLNLALAVHSHCNDCCLNHMRHKYEESADHVATDWANPGSAYVQAPDLKWYKAPKGFAMNDESVFYPGAFDRIVGTSTCIGMHGIIPFFWTLVPIPTWVVCYPFRSREVDMAVGGSGQYQKMDTEAATPAEVEAMRPPPPPPPLLLLSSGEAEAM
+>sp|Q6GV28|TM225_HUMAN Transmembrane protein 225 OS=Homo sapiens OX=9606 GN=TMEM225 PE=2 SV=1
+MVHVSNRSIQGMNILFSSWAVVLMVMGITLDKWVELISEDERAKMNHSPWMMCCPALWPEDDLKVVRIMMTSSLGLSFLLNLILGMKFTYLIPQNKYIQLFTTILSFFSGISLLWALILYHNKLKQGQSMHFSNYRITWIMYTAYLNVFFLSVCGVLSLLECKLSTSSCTCLNIHKSDNECKESENSIEDISLPECTAMPRSIVRAHTVNSLNKKVQTRHVTWAL
+>DECOY_sp|Q6GV28|TM225_HUMAN Transmembrane protein 225 OS=Homo sapiens OX=9606 GN=TMEM225 PE=2 SV=1
+LAWTVHRTQVKKNLSNVTHARVISRPMATCEPLSIDEISNESEKCENDSKHINLCTCSSTSLKCELLSLVGCVSLFFVNLYATYMIWTIRYNSFHMSQGQKLKNHYLILAWLLSIGSFFSLITTFLQIYKNQPILYTFKMGLILNLLFSLGLSSTMMIRVVKLDDEPWLAPCCMMWPSHNMKAREDESILEVWKDLTIGMVMLVVAWSSFLINMGQISRNSVHVM
+>sp|Q8WW34|TM239_HUMAN Transmembrane protein 239 OS=Homo sapiens OX=9606 GN=TMEM239 PE=1 SV=3
+MRVGTWICLPGRPGRCRKQHDLGNCPEVPGIFKTLALSPGAPDMMQQPRVETDTIGAGEGPQQAVPWSAWVTRHGWVRWWVSHMPPSWIQWWSTSNWRQPLQRLLWGLEGILYLLLALMLCHALFTTGSHLLSSLWPVVAAVWRHLLPALLLLVLSALPALLFTASFLLLFSTLLSLVGLLTSMTHPGDTQDLDQ
+>DECOY_sp|Q8WW34|TM239_HUMAN Transmembrane protein 239 OS=Homo sapiens OX=9606 GN=TMEM239 PE=1 SV=3
+QDLDQTDGPHTMSTLLGVLSLLTSFLLLFSATFLLAPLASLVLLLLAPLLHRWVAAVVPWLSSLLHSGTTFLAHCLMLALLLYLIGELGWLLRQLPQRWNSTSWWQIWSPPMHSVWWRVWGHRTVWASWPVAQQPGEGAGITDTEVRPQQMMDPAGPSLALTKFIGPVEPCNGLDHQKRCRGPRGPLCIWTGVRM
+>sp|Q9BU79|TM243_HUMAN Transmembrane protein 243 OS=Homo sapiens OX=9606 GN=TMEM243 PE=1 SV=1
+MEDFATRTYGTSGLDNRPLFGETSAKDRIINLVVGSLTSLLILVTLISAFVFPQLPPKPLNIFFAVCISLSSITACILIYWYRQGDLEPKFRKLIYYIIFSIIMLCICANLYFHDVGR
+>DECOY_sp|Q9BU79|TM243_HUMAN Transmembrane protein 243 OS=Homo sapiens OX=9606 GN=TMEM243 PE=1 SV=1
+RGVDHFYLNACICLMIISFIIYYILKRFKPELDGQRYWYILICATISSLSICVAFFINLPKPPLQPFVFASILTVLILLSTLSGVVLNIIRDKASTEGFLPRNDLGSTGYTRTAFDEM
+>sp|A6NEH6|TM247_HUMAN Transmembrane protein 247 OS=Homo sapiens OX=9606 GN=TMEM247 PE=4 SV=2
+MAAEDREMMEARGAGESCPTFPKMVPGDSKSEGKPRAYLEAESQKPDSSYDYLEEMEACEDGGCQGPLKSLSPKSCRATKGQAGDGPKPAELPPTPGTERNPEMELEKVRMEFELTRLKYLHEKNQRQRQHEVVMEQLQRERQHEVVMEQLQQEAAPRLFSGGLQNFLLPQNQFAMFLYCFIFIHIIYVTKEMVFFLFAKHYLFCIAAILLCLIKTFWS
+>DECOY_sp|A6NEH6|TM247_HUMAN Transmembrane protein 247 OS=Homo sapiens OX=9606 GN=TMEM247 PE=4 SV=2
+SWFTKILCLLIAAICFLYHKAFLFFVMEKTVYIIHIFIFCYLFMAFQNQPLLFNQLGGSFLRPAAEQQLQEMVVEHQRERQLQEMVVEHQRQRQNKEHLYKLRTLEFEMRVKELEMEPNRETGPTPPLEAPKPGDGAQGKTARCSKPSLSKLPGQCGGDECAEMEELYDYSSDPKQSEAELYARPKGESKSDGPVMKPFTPCSEGAGRAEMMERDEAAM
+>sp|Q8N6I4|TM251_HUMAN Transmembrane protein 251 OS=Homo sapiens OX=9606 GN=TMEM251 PE=2 SV=4
+MPKPPDYSELSDSLTLAVGTGRFSGPLHRAWRMMNFRQRMGWIGVGLYLLASAAAFYYVFEISETYNRLALEHIQQHPEEPLEGTTWTHSLKAQLLSLPFWVWTVIFLVPYLQMFLFLYSCTRADPKTVGYCIIPICLAVICNRHQAFVKASNQISRLQLIDT
+>DECOY_sp|Q8N6I4|TM251_HUMAN Transmembrane protein 251 OS=Homo sapiens OX=9606 GN=TMEM251 PE=2 SV=4
+TDILQLRSIQNSAKVFAQHRNCIVALCIPIICYGVTKPDARTCSYLFLFMQLYPVLFIVTWVWFPLSLLQAKLSHTWTTGELPEEPHQQIHELALRNYTESIEFVYYFAAASALLYLGVGIWGMRQRFNMMRWARHLPGSFRGTGVALTLSDSLESYDPPKPM
+>sp|Q9NX78|TM260_HUMAN Transmembrane protein 260 OS=Homo sapiens OX=9606 GN=TMEM260 PE=1 SV=3
+MSPHGDGRGQAQGRAVRVGLRRSGGIRGGVAVFAAVAAVFTFTLPPSVPGGDSGELITAAHELGVAHPPGYPLFTLVAKLAITLFPFGSIAYRVNLLCGLFGAVAASLLFFTVFRLSGSSAGGILAAGVFSFSRLTWQWSIAAEVFSLNNLFVGLLMALTVHFEEAATAKERSKVAKIGAFCCGLSLCNQHTIILYVLCIIPWILFQLLKKKELSLGSLLKLSLYFSAGLLPYVHLPISSYLNHARWTWGDQTTLQGFLTHFLREEYGTFSLAKSEIGSSMSEILLSQVTNMRTELSFNIQALAVCANICLATKDRQNPSLVWLFTGMFCIYSLFFAWRANLDISKPLFMGVVERFWMQSNAVVAVLAGIGLAAVVSETNRVLNSNGLQCLEWLSATLFVVYQIYSNYSVCDQRTNYVIDKFAKNLLTSMPHDAIILLRGDLPGNSLRYMHYCEGLRPDISLVDQEMMTYEWYLPKMAKHLPGVNFPGNRWNPVEGILPSGMVTFNLYHFLEVNKQKETFVCIGIHEGDPTWKKNYSLWPWGSCDKLVPLEIVFNPEEWIKLTKSIYNWTEEYGRFDPSSWESVANEEMWQARMKTPFFIFNLAETAHMPSKVKAQLYAQAYDLYKEIVYLQKEHPVNWHKNYAIACERMLRLQARDADPEVLLSETIRHFRLYSQKAPNDPQQADILGALKHLRKELQSLRNRKNV
+>DECOY_sp|Q9NX78|TM260_HUMAN Transmembrane protein 260 OS=Homo sapiens OX=9606 GN=TMEM260 PE=1 SV=3
+VNKRNRLSQLEKRLHKLAGLIDAQQPDNPAKQSYLRFHRITESLLVEPDADRAQLRLMRECAIAYNKHWNVPHEKQLYVIEKYLDYAQAYLQAKVKSPMHATEALNFIFFPTKMRAQWMEENAVSEWSSPDFRGYEETWNYISKTLKIWEEPNFVIELPVLKDCSGWPWLSYNKKWTPDGEHIGICVFTEKQKNVELFHYLNFTVMGSPLIGEVPNWRNGPFNVGPLHKAMKPLYWEYTMMEQDVLSIDPRLGECYHMYRLSNGPLDGRLLIIADHPMSTLLNKAFKDIVYNTRQDCVSYNSYIQYVVFLTASLWELCQLGNSNLVRNTESVVAALGIGALVAVVANSQMWFREVVGMFLPKSIDLNARWAFFLSYICFMGTFLWVLSPNQRDKTALCINACVALAQINFSLETRMNTVQSLLIESMSSGIESKALSFTGYEERLFHTLFGQLTTQDGWTWRAHNLYSSIPLHVYPLLGASFYLSLKLLSGLSLEKKKLLQFLIWPIICLVYLIITHQNCLSLGCCFAGIKAVKSREKATAAEEFHVTLAMLLGVFLNNLSFVEAAISWQWTLRSFSFVGAALIGGASSGSLRFVTFFLLSAAVAGFLGCLLNVRYAISGFPFLTIALKAVLTFLPYGPPHAVGLEHAATILEGSDGGPVSPPLTFTFVAAVAAFVAVGGRIGGSRRLGVRVARGQAQGRGDGHPSM
+>sp|Q9BX74|TM2D1_HUMAN TM2 domain-containing protein 1 OS=Homo sapiens OX=9606 GN=TM2D1 PE=1 SV=1
+MAAAWPSGPSAPEAVTARLVGVLWFVSVTTGPWGAVATSAGGEESLKCEDLKVGQYICKDPKINDATQEPVNCTNYTAHVSCFPAPNITCKDSSGNETHFTGNEVGFFKPISCRNVNGYSYKVAVALSLFLGWLGADRFYLGYPALGLLKFCTVGFCGIGSLIDFILISMQIVGPSDGSSYIIDYYGTRLTRLSITNETFRKTQLYP
+>DECOY_sp|Q9BX74|TM2D1_HUMAN TM2 domain-containing protein 1 OS=Homo sapiens OX=9606 GN=TM2D1 PE=1 SV=1
+PYLQTKRFTENTISLRTLRTGYYDIIYSSGDSPGVIQMSILIFDILSGIGCFGVTCFKLLGLAPYGLYFRDAGLWGLFLSLAVAVKYSYGNVNRCSIPKFFGVENGTFHTENGSSDKCTINPAPFCSVHATYNTCNVPEQTADNIKPDKCIYQGVKLDECKLSEEGGASTAVAGWPGTTVSVFWLVGVLRATVAEPASPGSPWAAAM
+>sp|Q9H6F2|TM38A_HUMAN Trimeric intracellular cation channel type A OS=Homo sapiens OX=9606 GN=TMEM38A PE=1 SV=1
+MELLSALSLGELALSFSRVPLFPVFDLSYFIVSILYLKYEPGAVELSRRHPIASWLCAMLHCFGSYILADLLLGEPLIDYFSNNSSILLASAVWYLIFFCPLDLFYKCVCFLPVKLIFVAMKEVVRVRKIAVGIHHAHHHYHHGWFVMIATGWVKGSGVALMSNFEQLLRGVWKPETNEILHMSFPTKASLYGAILFTLQQTRWLPVSKASLIFIFTLFMVSCKVFLTATHSHSSPFDALEGYICPVLFGSACGGDHHHDNHGGSHSGGGPGAQHSAMPAKSKEELSEGSRKKKAKKAD
+>DECOY_sp|Q9H6F2|TM38A_HUMAN Trimeric intracellular cation channel type A OS=Homo sapiens OX=9606 GN=TMEM38A PE=1 SV=1
+DAKKAKKKRSGESLEEKSKAPMASHQAGPGGGSHSGGHNDHHHDGGCASGFLVPCIYGELADFPSSHSHTATLFVKCSVMFLTFIFILSAKSVPLWRTQQLTFLIAGYLSAKTPFSMHLIENTEPKWVGRLLQEFNSMLAVGSGKVWGTAIMVFWGHHYHHHAHHIGVAIKRVRVVEKMAVFILKVPLFCVCKYFLDLPCFFILYWVASALLISSNNSFYDILPEGLLLDALIYSGFCHLMACLWSAIPHRRSLEVAGPEYKLYLISVIFYSLDFVPFLPVRSFSLALEGLSLASLLEM
+>sp|Q96HV5|TM41A_HUMAN Transmembrane protein 41A OS=Homo sapiens OX=9606 GN=TMEM41A PE=1 SV=1
+MRPLLGLLLVFAGCTFALYLLSTRLPRGRRLGSTEEAGGRSLWFPSDLAELRELSEVLREYRKEHQAYVFLLFCGAYLYKQGFAIPGSSFLNVLAGALFGPWLGLLLCCVLTSVGATCCYLLSSIFGKQLVVSYFPDKVALLQRKVEENRNSLFFFLLFLRLFPMTPNWFLNLSAPILNIPIVQFFFSVLIGLIPYNFICVQTGSILSTLTSLDALFSWDTVFKLLAIAMVALIPGTLIKKFSQKHLQLNETSTANHIHSRKDT
+>DECOY_sp|Q96HV5|TM41A_HUMAN Transmembrane protein 41A OS=Homo sapiens OX=9606 GN=TMEM41A PE=1 SV=1
+TDKRSHIHNATSTENLQLHKQSFKKILTGPILAVMAIALLKFVTDWSFLADLSTLTSLISGTQVCIFNYPILGILVSFFFQVIPINLIPASLNLFWNPTMPFLRLFLLFFFLSNRNEEVKRQLLAVKDPFYSVVLQKGFISSLLYCCTAGVSTLVCCLLLGLWPGFLAGALVNLFSSGPIAFGQKYLYAGCFLLFVYAQHEKRYERLVESLERLEALDSPFWLSRGGAEETSGLRRGRPLRTSLLYLAFTCGAFVLLLGLLPRM
+>sp|Q9NWC5|TM45A_HUMAN Transmembrane protein 45A OS=Homo sapiens OX=9606 GN=TMEM45A PE=1 SV=1
+MGNFRGHALPGTFFFIIGLWWCTKSILKYICKKQKRTCYLGSKTLFYRLEILEGITIVGMALTGMAGEQFIPGGPHLMLYDYKQGHWNQLLGWHHFTMYFFFGLLGVADILCFTISSLPVSLTKLMLSNALFVEAFIFYNHTHGREMLDIFVHQLLVLVVFLTGLVAFLEFLVRNNVLLELLRSSLILLQGSWFFQIGFVLYPPSGGPAWDLMDHENILFLTICFCWHYAVTIVIVGMNYAFITWLVKSRLKRLCSSEVGLLKNAEREQESEEEM
+>DECOY_sp|Q9NWC5|TM45A_HUMAN Transmembrane protein 45A OS=Homo sapiens OX=9606 GN=TMEM45A PE=1 SV=1
+MEEESEQEREANKLLGVESSCLRKLRSKVLWTIFAYNMGVIVITVAYHWCFCITLFLINEHDMLDWAPGGSPPYLVFGIQFFWSGQLLILSSRLLELLVNNRVLFELFAVLGTLFVVLVLLQHVFIDLMERGHTHNYFIFAEVFLANSLMLKTLSVPLSSITFCLIDAVGLLGFFFYMTFHHWGLLQNWHGQKYDYLMLHPGGPIFQEGAMGTLAMGVITIGELIELRYFLTKSGLYCTRKQKKCIYKLISKTCWWLGIIFFFTGPLAHGRFNGM
+>sp|Q7Z5M5|TMC3_HUMAN Transmembrane channel-like protein 3 OS=Homo sapiens OX=9606 GN=TMC3 PE=2 SV=3
+MKTSKASQRYRGIRRNASQCYLYQESLLLSNLDDSFSADETGDSNDPEQIFQNIQFQKDLMANIRCRPWTMGQKLRALRQAKNIVLKFEGRLTRTRGYQAAGAELWRKFARLACNFVVIFIPWEMRIKKIESHFGSGVASYFIFLRWLFGINIVLTIMTGAFIVIPELIAGQPFGSTARKTIPKEQVSSAQDLDTVWSLGGYLQYSVLFYGYYGRERKIGRAGYRLPLAYFLVGMAVFAYSFIILLKKMAKNSRTSLASASNENYTFCWRVFCAWDYLIGNPEAAESKTAAIVNSIREAILEEQEKKKSKNLAVTICLRIIANILVLLSLAGSIYLIYFVVDRSQKLEQSKKELTLWEKNEVSVVVSLVTMIAPSAFDLIAALEMYHPRTTLRFQLARVLVLYLGNLYSLIIALLDKVNSMSIEEMATKNNTSHWIDSTTFFATRTAPEEEKWSTSRPGMGLRRNNTWALEETSISAYTMPLIKANKTSLHTQSPQDQCWETYVGQEMLKLSIIDMLFTVASILLIDFFRGLFVRYLSDYWCWDLESKFPEYGEFKIAENVLHLVYNQGMIWMGAFFSPCLPAFNVLKLIGLMYLRSWAVLTCNVPHQQVFRASRSNNFYLAMLLFMLFLCMLPTIFAIVRYKPSLNCGPFSGQEKIYDIVSETIEKDFPVWFGSVVGHISSPVVILPAVLLLFMLIYYLQSIARSLKLSNHQLKMQIQNARSEDKKKVAQMVEARIQTQEESTKKLPNDSDLTSQLSSAHSGTPQNNGNVAHFDSGSSKSGRIETVAQSMPQSPRPGDRAPSSPLPGVPKSRLEHETNRYLHGLCASTSDLHRNRSRTPMTFTTHIEDVHSEPLFRKDFQQINPPHRGPQASTLLAQGPRPHAPRYYVINECDSYKKKHLNVWPERHFKIDASGDIVELYPRNVRQYASRVPRQPPSPQLSEEEEETPSRDWIKRSLPPRSLIDLRRAPHFYIGERSESQTRDPEHQGRVHYKSWNEDFEGHLERPAYVPRKPRSRNFQYPQPPLKPRGKPRFEPSLTESDSVSAASSSDQQNSSADQYLQVTHSQGRFPRSVGQPSRRKAKSGQELTVDLDDLICSDV
+>DECOY_sp|Q7Z5M5|TMC3_HUMAN Transmembrane channel-like protein 3 OS=Homo sapiens OX=9606 GN=TMC3 PE=2 SV=3
+VDSCILDDLDVTLEQGSKAKRRSPQGVSRPFRGQSHTVQLYQDASSNQQDSSSAASVSDSETLSPEFRPKGRPKLPPQPYQFNRSRPKRPVYAPRELHGEFDENWSKYHVRGQHEPDRTQSESREGIYFHPARRLDILSRPPLSRKIWDRSPTEEEEESLQPSPPQRPVRSAYQRVNRPYLEVIDGSADIKFHREPWVNLHKKKYSDCENIVYYRPAHPRPGQALLTSAQPGRHPPNIQQFDKRFLPESHVDEIHTTFTMPTRSRNRHLDSTSACLGHLYRNTEHELRSKPVGPLPSSPARDGPRPSQPMSQAVTEIRGSKSSGSDFHAVNGNNQPTGSHASSLQSTLDSDNPLKKTSEEQTQIRAEVMQAVKKKDESRANQIQMKLQHNSLKLSRAISQLYYILMFLLLVAPLIVVPSSIHGVVSGFWVPFDKEITESVIDYIKEQGSFPGCNLSPKYRVIAFITPLMCLFLMFLLMALYFNNSRSARFVQQHPVNCTLVAWSRLYMLGILKLVNFAPLCPSFFAGMWIMGQNYVLHLVNEAIKFEGYEPFKSELDWCWYDSLYRVFLGRFFDILLISAVTFLMDIISLKLMEQGVYTEWCQDQPSQTHLSTKNAKILPMTYASISTEELAWTNNRRLGMGPRSTSWKEEEPATRTAFFTTSDIWHSTNNKTAMEEISMSNVKDLLAIILSYLNGLYLVLVRALQFRLTTRPHYMELAAILDFASPAIMTVLSVVVSVENKEWLTLEKKSQELKQSRDVVFYILYISGALSLLVLINAIIRLCITVALNKSKKKEQEELIAERISNVIAATKSEAAEPNGILYDWACFVRWCFTYNENSASALSTRSNKAMKKLLIIFSYAFVAMGVLFYALPLRYGARGIKRERGYYGYFLVSYQLYGGLSWVTDLDQASSVQEKPITKRATSGFPQGAILEPIVIFAGTMITLVINIGFLWRLFIFYSAVGSGFHSEIKKIRMEWPIFIVVFNCALRAFKRWLEAGAAQYGRTRTLRGEFKLVINKAQRLARLKQGMTWPRCRINAMLDKQFQINQFIQEPDNSDGTEDASFSDDLNSLLLSEQYLYCQSANRRIGRYRQSAKSTKM
+>sp|Q6UXY8|TMC5_HUMAN Transmembrane channel-like protein 5 OS=Homo sapiens OX=9606 GN=TMC5 PE=2 SV=3
+MSAYYRNNWSEEDPDYPDYSGSQNRTQGYLKTQGYPDVPGPLNNPDYPGTRSNPYSVASRTRPDYPGSLAEPNYPRSLSNPDYSGTRSNAYSAASRTSPDHPTSLPEPDYSEFQSHPYHRASSRQPDYPGSQRNPDFAGSSSSGNYAGSRTHPDHFGSLEPDYPGAQSNSDHPGPRANLNHPGSRKNLEHTSFRINPYADSLGKPDYPGADIQPNSPPFFGEPDYPSAEDNQNLPSTWREPDYSDAENGHDYGSSETPKMTRGVLSRTSSIQPSFRHRSDDPVGSLWGENDYPEGIEMASMEMANSYGHSLPGAPGSGYVNPAYVGESGPVHAYGNPPLSECDWHKSPQGQKLIASLIPMTSRDRIKAIRNQPRTMEEKRNLRKIVDKEKSKQTHRILQLNCCIQCLNSISRAYRRSKNSLSEILNSISLWQKTLKIIGGKFGTSVLSYFNFLRWLLKFNIFSFILNFSFIIIPQFTVAKKNTLQFTGLEFFTGVGYFRDTVMYYGFYTNSTIQHGNSGASYNMQLAYIFTIGACLTTCFFSLLFSMAKYFRNNFINPHIYSGGITKLIFCWDFTVTHEKAVKLKQKNLSTEIRENLSELRQENSKLTFNQLLTRFSAYMVAWVVSTGVAIACCAAVYYLAEYNLEFLKTHSNPGAVLLLPFVVSCINLAVPCIYSMFRLVERYEMPRHEVYVLLIRNIFLKISIIGILCYYWLNTVALSGEECWETLIGQDIYRLLLMDFVFSLVNSFLGEFLRRIIGMQLITSLGLQEFDIARNVLELIYAQTLVWIGIFFCPLLPFIQMIMLFIMFYSKNISLMMNFQPPSKAWRASQMMTFFIFLLFFPSFTGVLCTLAITIWRLKPSADCGPFRGLPLFIHSIYSWIDTLSTRPGYLWVVWIYRNLIGSVHFFFILTLIVLIITYLYWQITEGRKIMIRLLHEQIINEGKDKMFLIEKLIKLQDMEKKANPSSLVLERREVEQQGFLHLGEHDGSLDLRSRRSVQEGNPRA
+>DECOY_sp|Q6UXY8|TMC5_HUMAN Transmembrane channel-like protein 5 OS=Homo sapiens OX=9606 GN=TMC5 PE=2 SV=3
+ARPNGEQVSRRSRLDLSGDHEGLHLFGQQEVERRELVLSSPNAKKEMDQLKILKEILFMKDKGENIIQEHLLRIMIKRGETIQWYLYTIILVILTLIFFFHVSGILNRYIWVVWLYGPRTSLTDIWSYISHIFLPLGRFPGCDASPKLRWITIALTCLVGTFSPFFLLFIFFTMMQSARWAKSPPQFNMMLSINKSYFMIFLMIMQIFPLLPCFFIGIWVLTQAYILELVNRAIDFEQLGLSTILQMGIIRRLFEGLFSNVLSFVFDMLLLRYIDQGILTEWCEEGSLAVTNLWYYCLIGIISIKLFINRILLVYVEHRPMEYREVLRFMSYICPVALNICSVVFPLLLVAGPNSHTKLFELNYEALYYVAACCAIAVGTSVVWAVMYASFRTLLQNFTLKSNEQRLESLNERIETSLNKQKLKVAKEHTVTFDWCFILKTIGGSYIHPNIFNNRFYKAMSFLLSFFCTTLCAGITFIYALQMNYSAGSNGHQITSNTYFGYYMVTDRFYGVGTFFELGTFQLTNKKAVTFQPIIIFSFNLIFSFINFKLLWRLFNFYSLVSTGFKGGIIKLTKQWLSISNLIESLSNKSRRYARSISNLCQICCNLQLIRHTQKSKEKDVIKRLNRKEEMTRPQNRIAKIRDRSTMPILSAILKQGQPSKHWDCESLPPNGYAHVPGSEGVYAPNVYGSGPAGPLSHGYSNAMEMSAMEIGEPYDNEGWLSGVPDDSRHRFSPQISSTRSLVGRTMKPTESSGYDHGNEADSYDPERWTSPLNQNDEASPYDPEGFFPPSNPQIDAGPYDPKGLSDAYPNIRFSTHELNKRSGPHNLNARPGPHDSNSQAGPYDPELSGFHDPHTRSGAYNGSSSSGAFDPNRQSGPYDPQRSSARHYPHSQFESYDPEPLSTPHDPSTRSAASYANSRTGSYDPNSLSRPYNPEALSGPYDPRTRSAVSYPNSRTGPYDPNNLPGPVDPYGQTKLYGQTRNQSGSYDPYDPDEESWNNRYYASM
+>sp|Q7Z402|TMC7_HUMAN Transmembrane channel-like protein 7 OS=Homo sapiens OX=9606 GN=TMC7 PE=1 SV=1
+MSESSGSALQPGRPSRQPAVHPENLSLDSSCFSSPPVNFLQELPSYRSIARRRTTVHSRDKQSGTLLKPTDSYSSQLEDRIAENLSSHSLRNYALNISEKRRLRDIQETQMKYLSEWDQWKRYSSKSWKRFLEKAREMTTHLELWREDIRSIEGKFGTGIQSYFSFLRFLVLLNLVIFLIIFMLVLLPVLLTKYKITNSSFVLIPFKDMDKQCTVYPVSSSGLIYFYSYIIDLLSGTGFLEETSLFYGHYTIDGVKFQNFTYDLPLAYLLSTIASLALSLLWIVKRSVEGFKINLIRSEEHFQSYCNKIFAGWDFCITNRSMADLKHSSLRYELRADLEEERMRQKIAERTSEETIRIYSLRLFLNCIVLAVLGACFYAIYVATVFSQEHMKKEIDKMVFGENLFILYLPSIVITLANFITPMIFAKIIRYEDYSPGFEIRLTILRCVFMRLATICVLVFTLGSKITSCDDDTCDLCGYNQKLYPCWETQVGQEMYKLMIFDFIIILAVTLFVDFPRKLLVTYCSSCKLIQCWGQQEFAIPDNVLGIVYGQTICWIGAFFSPLLPAIATLKFIIIFYVKEWSLLYTCRPSPRPFRASNSNFFFLLVLLIGLCLAIIPLTISISRIPSSKACGPFTNFNTTWEVIPKTVSTFPSSLQSFIHGVTSEAFAVPFFMIICLIMFYFIALAGAHKRVVIQLREQLSLESRDKCYLIQKLTEAQRDMRN
+>DECOY_sp|Q7Z402|TMC7_HUMAN Transmembrane channel-like protein 7 OS=Homo sapiens OX=9606 GN=TMC7 PE=1 SV=1
+NRMDRQAETLKQILYCKDRSELSLQERLQIVVRKHAGALAIFYFMILCIIMFFPVAFAESTVGHIFSQLSSPFTSVTKPIVEWTTNFNTFPGCAKSSPIRSISITLPIIALCLGILLVLLFFFNSNSARFPRPSPRCTYLLSWEKVYFIIIFKLTAIAPLLPSFFAGIWCITQGYVIGLVNDPIAFEQQGWCQILKCSSCYTVLLKRPFDVFLTVALIIIFDFIMLKYMEQGVQTEWCPYLKQNYGCLDCTDDDCSTIKSGLTFVLVCITALRMFVCRLITLRIEFGPSYDEYRIIKAFIMPTIFNALTIVISPLYLIFLNEGFVMKDIEKKMHEQSFVTAVYIAYFCAGLVALVICNLFLRLSYIRITEESTREAIKQRMREEELDARLEYRLSSHKLDAMSRNTICFDWGAFIKNCYSQFHEESRILNIKFGEVSRKVIWLLSLALSAITSLLYALPLDYTFNQFKVGDITYHGYFLSTEELFGTGSLLDIIYSYFYILGSSSVPYVTCQKDMDKFPILVFSSNTIKYKTLLVPLLVLMFIILFIVLNLLVLFRLFSFYSQIGTGFKGEISRIDERWLELHTTMERAKELFRKWSKSSYRKWQDWESLYKMQTEQIDRLRRKESINLAYNRLSHSSLNEAIRDELQSSYSDTPKLLTGSQKDRSHVTTRRRAISRYSPLEQLFNVPPSSFCSSDLSLNEPHVAPQRSPRGPQLASGSSESM
+>sp|Q8IU68|TMC8_HUMAN Transmembrane channel-like protein 8 OS=Homo sapiens OX=9606 GN=TMC8 PE=1 SV=1
+MLLPRSVSSERAPGVPEPEELWEAEMERLRGSGTPVRGLPYAMMDKRLIWQLREPAGVQTLRWQRWQRRRQTVERRLREAAQRLARGLGLWEGALYEIGGLFGTGIRSYFTFLRFLLLLNLLSLLLTASFVLLPLVWLRPPDPGPTLNLTLQCPGSRQSPPGVLRFHNQLWHVLTGRAFTNTYLFYGAYRVGPESSSVYSIRLAYLLSPLACLLLCFCGTLRRMVKGLPQKTLLGQGYQAPLSAKVFSSWDFCIRVQEAATIKKHEISNEFKVELEEGRRFQLMQQQTRAQTACRLLSYLRVNVLNGLLVVGAISAIFWATKYSQDNKEESLFLLLQYLPPGVIALVNFLGPLLFTFLVQLENYPPNTEVNLTLIWCVVLKLASLGMFSVSLGQTILCIGRDKSSCESYGYNVCDYQCWENSVGEELYKLSIFNFLLTVAFAFLVTLPRRLLVDRFSGRFWAWLEREEFLVPKNVLDIVAGQTVTWMGLFYCPLLPLLNSVFLFLTFYIKKYTLLKNSRASSRPFRASSSTFFFQLVLLLGLLLAAVPLGYVVSSIHSSWDCGLFTNYSAPWQVVPELVALGLPPIGQRALHYLGSHAFSFPLLIMLSLVLTVCVSQTQANARAIHRLRKQLVWQVQEKWHLVEDLSRLLPEPGPSDSPGPKYPASQASRPQSFCPGCPCPGSPGHQAPRPGPSVVDAAGLRSPCPGQHGAPASARRFRFPSGAEL
+>DECOY_sp|Q8IU68|TMC8_HUMAN Transmembrane channel-like protein 8 OS=Homo sapiens OX=9606 GN=TMC8 PE=1 SV=1
+LEAGSPFRFRRASAPAGHQGPCPSRLGAADVVSPGPRPAQHGPSGPCPCGPCFSQPRSAQSAPYKPGPSDSPGPEPLLRSLDEVLHWKEQVQWVLQKRLRHIARANAQTQSVCVTLVLSLMILLPFSFAHSGLYHLARQGIPPLGLAVLEPVVQWPASYNTFLGCDWSSHISSVVYGLPVAALLLGLLLVLQFFFTSSSARFPRSSARSNKLLTYKKIYFTLFLFVSNLLPLLPCYFLGMWTVTQGAVIDLVNKPVLFEERELWAWFRGSFRDVLLRRPLTVLFAFAVTLLFNFISLKYLEEGVSNEWCQYDCVNYGYSECSSKDRGICLITQGLSVSFMGLSALKLVVCWILTLNVETNPPYNELQVLFTFLLPGLFNVLAIVGPPLYQLLLFLSEEKNDQSYKTAWFIASIAGVVLLGNLVNVRLYSLLRCATQARTQQQMLQFRRGEELEVKFENSIEHKKITAAEQVRICFDWSSFVKASLPAQYGQGLLTKQPLGKVMRRLTGCFCLLLCALPSLLYALRISYVSSSEPGVRYAGYFLYTNTFARGTLVHWLQNHFRLVGPPSQRSGPCQLTLNLTPGPDPPRLWVLPLLVFSATLLLSLLNLLLLFRLFTFYSRIGTGFLGGIEYLAGEWLGLGRALRQAAERLRREVTQRRRQWRQWRLTQVGAPERLQWILRKDMMAYPLGRVPTGSGRLREMEAEWLEEPEPVGPARESSVSRPLLM
+>sp|O75069|TMCC2_HUMAN Transmembrane and coiled-coil domains protein 2 OS=Homo sapiens OX=9606 GN=TMCC2 PE=1 SV=3
+MKRCRSDELQQQQGEEDGAGLEDAASHLPGADLRPGETTGANSAGGPTSDAGAAAAPNPGPRSKPPDLKKIQQLSEGSMFGHGLKHLFHSRRRSREREHQTSQDSQQHQQQQGMSDHDSPDEKERSPEMHRVSYAMSLHDLPARPTAFNRVLQQIRSRPSIKRGASLHSSSGGGSSGSSSRRTKSSSLEPQRGSPHLLRKAPQDSSLAAILHQHQCRPRSSSTTDTALLLADGSNVYLLAEEAEGIGDKVDKGDLVALSLPAGHGDTDGPISLDVPDGAPDPQRTKAAIDHLHQKILKITEQIKIEQEARDDNVAEYLKLANNADKQQVSRIKQVFEKKNQKSAQTIAQLHKKLEHYRRRLKEIEQNGPSRQPKDVLRDMQQGLKDVGANVRAGISGFGGGVVEGVKGSLSGLSQATHTAVVSKPREFASLIRNKFGSADNIAHLKDPLEDGPPEEAARALSGSATLVSSPKYGSDDECSSASASSAGAGSNSGAGPGGALGSPKSNALYGAPGNLDALLEELREIKEGQSHLEDSMEDLKTQLQRDYTYMTQCLQEERYRYERLEEQLNDLTELHQNEMTNLKQELASMEEKVAYQSYERARDIQEAVESCLTRVTKLELQQQQQQVVQLEGVENANARALLGKFINVILALMAVLLVFVSTIANFITPLMKTRLRITSTTLLVLVLFLLWKHWDSLTYLLEHVLLPS
+>DECOY_sp|O75069|TMCC2_HUMAN Transmembrane and coiled-coil domains protein 2 OS=Homo sapiens OX=9606 GN=TMCC2 PE=1 SV=3
+SPLLVHELLYTLSDWHKWLLFLVLVLLTTSTIRLRTKMLPTIFNAITSVFVLLVAMLALIVNIFKGLLARANANEVGELQVVQQQQQQLELKTVRTLCSEVAEQIDRAREYSQYAVKEEMSALEQKLNTMENQHLETLDNLQEELREYRYREEQLCQTMYTYDRQLQTKLDEMSDELHSQGEKIERLEELLADLNGPAGYLANSKPSGLAGGPGAGSNSGAGASSASASSCEDDSGYKPSSVLTASGSLARAAEEPPGDELPDKLHAINDASGFKNRILSAFERPKSVVATHTAQSLGSLSGKVGEVVGGGFGSIGARVNAGVDKLGQQMDRLVDKPQRSPGNQEIEKLRRRYHELKKHLQAITQASKQNKKEFVQKIRSVQQKDANNALKLYEAVNDDRAEQEIKIQETIKLIKQHLHDIAAKTRQPDPAGDPVDLSIPGDTDGHGAPLSLAVLDGKDVKDGIGEAEEALLYVNSGDALLLATDTTSSSRPRCQHQHLIAALSSDQPAKRLLHPSGRQPELSSSKTRRSSSGSSGGGSSSHLSAGRKISPRSRIQQLVRNFATPRAPLDHLSMAYSVRHMEPSREKEDPSDHDSMGQQQQHQQSDQSTQHERERSRRRSHFLHKLGHGFMSGESLQQIKKLDPPKSRPGPNPAAAAGADSTPGGASNAGTTEGPRLDAGPLHSAADELGAGDEEGQQQQLEDSRCRKM
+>sp|Q96DC7|TMCO6_HUMAN Transmembrane and coiled-coil domain-containing protein 6 OS=Homo sapiens OX=9606 GN=TMCO6 PE=1 SV=2
+MWSRRQGRLRPTVCGVEELRRRRREREAALRKARREQQLVSKRLLRNDAPEEAGEGCVAAILGETEVQQFLRQAQRGTEEKEREGALVSLRRGLQHPETQQTFIRLEGSMRTLVGLLTSNQALLQLEAARCLHELSHSEQSTVAEACLPATSYLLTYLSSHSSDFIELCLYTLGNLIVESEAVRRQLLPQGIVPALAACIQSPHVAVLEALGYALSQLLQAEEAPEKIIPSILASTLPQHMLQMLQPGPKLNPGVAVEFAWCLHYIICSQVSNPLLIGHGALSTLGLLLLDLAGAVQKTEDAGLELLACPVLRCLSNLLTEAAVETVGGQMQLRDERVVAALFILLQFFFQKQPSLLPEGLWLLNNLTANSPSFCTSLLSLDLIEPLLQLLPVSNVVSVMVLTVLCNVAEKGPAYCQRLWPGPLLPALLHTLAFSDTEVVGQSLELLHLLFLYQPEAVQVFLQQSGLQALERHQEEAQLQDRVYALQQTALQG
+>DECOY_sp|Q96DC7|TMCO6_HUMAN Transmembrane and coiled-coil domain-containing protein 6 OS=Homo sapiens OX=9606 GN=TMCO6 PE=1 SV=2
+GQLATQQLAYVRDQLQAEEQHRELAQLGSQQLFVQVAEPQYLFLLHLLELSQGVVETDSFALTHLLAPLLPGPWLRQCYAPGKEAVNCLVTLVMVSVVNSVPLLQLLPEILDLSLLSTCFSPSNATLNNLLWLGEPLLSPQKQFFFQLLIFLAAVVREDRLQMQGGVTEVAAETLLNSLCRLVPCALLELGADETKQVAGALDLLLLGLTSLAGHGILLPNSVQSCIIYHLCWAFEVAVGPNLKPGPQLMQLMHQPLTSALISPIIKEPAEEAQLLQSLAYGLAELVAVHPSQICAALAPVIGQPLLQRRVAESEVILNGLTYLCLEIFDSSHSSLYTLLYSTAPLCAEAVTSQESHSLEHLCRAAELQLLAQNSTLLGVLTRMSGELRIFTQQTEPHQLGRRLSVLAGEREKEETGRQAQRLFQQVETEGLIAAVCGEGAEEPADNRLLRKSVLQQERRAKRLAAERERRRRRLEEVGCVTPRLRGQRRSWM
+>sp|Q9Y3A6|TMED5_HUMAN Transmembrane emp24 domain-containing protein 5 OS=Homo sapiens OX=9606 GN=TMED5 PE=1 SV=1
+MGDKIWLPFPVLLLAALPPVLLPGAAGFTPSLDSDFTFTLPAGQKECFYQPMPLKASLEIEYQVLDGAGLDIDFHLASPEGKTLVFEQRKSDGVHTVETEVGDYMFCFDNTFSTISEKVIFFELILDNMGEQAQEQEDWKKYITGTDILDMKLEDILESINSIKSRLSKSGHIQTLLRAFEARDRNIQESNFDRVNFWSMVNLVVMVVVSAIQVYMLKSLFEDKRKSRT
+>DECOY_sp|Q9Y3A6|TMED5_HUMAN Transmembrane emp24 domain-containing protein 5 OS=Homo sapiens OX=9606 GN=TMED5 PE=1 SV=1
+TRSKRKDEFLSKLMYVQIASVVVMVVLNVMSWFNVRDFNSEQINRDRAEFARLLTQIHGSKSLRSKISNISELIDELKMDLIDTGTIYKKWDEQEQAQEGMNDLILEFFIVKESITSFTNDFCFMYDGVETEVTHVGDSKRQEFVLTKGEPSALHFDIDLGAGDLVQYEIELSAKLPMPQYFCEKQGAPLTFTFDSDLSPTFGAAGPLLVPPLAALLLVPFPLWIKDGM
+>sp|Q8WW62|TMED6_HUMAN Transmembrane emp24 domain-containing protein 6 OS=Homo sapiens OX=9606 GN=TMED6 PE=2 SV=2
+MSPLLFGAGLVVLNLVTSARSQKTEPLSGSGDQPLFRGADRYDFAIMIPPGGTECFWQFAHQTGYFYFSYEVQRTVGMSHDRHVAATAHNPQGFLIDTSQGVRGQINFSTQETGFYQLCLSNQHNHFGSVQVYLNFGVFYEGPETDHKQKERKQLNDTLDAIEDGTQKVQNNIFHMWRYYNFARMRKMADFFLIQSNYNYVNWWSTAQSLVIILSGILQLYFLKRLFNVPTTTDTKKPRC
+>DECOY_sp|Q8WW62|TMED6_HUMAN Transmembrane emp24 domain-containing protein 6 OS=Homo sapiens OX=9606 GN=TMED6 PE=2 SV=2
+CRPKKTDTTTPVNFLRKLFYLQLIGSLIIVLSQATSWWNVYNYNSQILFFDAMKRMRAFNYYRWMHFINNQVKQTGDEIADLTDNLQKREKQKHDTEPGEYFVGFNLYVQVSGFHNHQNSLCLQYFGTEQTSFNIQGRVGQSTDILFGQPNHATAAVHRDHSMGVTRQVEYSFYFYGTQHAFQWFCETGGPPIMIAFDYRDAGRFLPQDGSGSLPETKQSRASTVLNLVVLGAGFLLPSM
+>sp|Q9Y3B3|TMED7_HUMAN Transmembrane emp24 domain-containing protein 7 OS=Homo sapiens OX=9606 GN=TMED7 PE=1 SV=2
+MPRPGSAQRWAAVAGRWGCRLLALLLLVPGPGGASEITFELPDNAKQCFYEDIAQGTKCTLEFQVITGGHYDVDCRLEDPDGKVLYKEMKKQYDSFTFTASKNGTYKFCFSNEFSTFTHKTVYFDFQVGEDPPLFPSENRVSALTQMESACVSIHEALKSVIDYQTHFRLREAQGRSRAEDLNTRVAYWSVGEALILLVVSIGQVFLLKSFFSDKRTTTTRVGS
+>DECOY_sp|Q9Y3B3|TMED7_HUMAN Transmembrane emp24 domain-containing protein 7 OS=Homo sapiens OX=9606 GN=TMED7 PE=1 SV=2
+SGVRTTTTRKDSFFSKLLFVQGISVVLLILAEGVSWYAVRTNLDEARSRGQAERLRFHTQYDIVSKLAEHISVCASEMQTLASVRNESPFLPPDEGVQFDFYVTKHTFTSFENSFCFKYTGNKSATFTFSDYQKKMEKYLVKGDPDELRCDVDYHGGTIVQFELTCKTGQAIDEYFCQKANDPLEFTIESAGGPGPVLLLLALLRCGWRGAVAAWRQASGPRPM
+>sp|Q9UHN6|TMEM2_HUMAN Cell surface hyaluronidase OS=Homo sapiens OX=9606 GN=TMEM2 PE=1 SV=1
+MYATDSRGHSPAFLQPQNGNSRHPSGYVPGKVVPLRPPPPPKSQASAKFTSIRREDRATFAFSPEEQQAQRESQKQKRHKNTFICFAITSFSFFIALAIILGISSKYAPDENCPDQNPRLRNWDPGQDSAKQVVIKEGDMLRLTSDATVHSIVIQDGGLLVFGDNKDGSRNITLRTHYILIQDGGALHIGAEKCRYKSKATITLYGKSDEGESMPTFGKKFIGVEAGGTLELHGARKASWTLLARTLNSSGLPFGSYTFEKDFSRGLNVRVIDQDTAKILESERFDTHEYRNESRRLQEFLRFQDPGRIVAIAVGDSAAKSLLQGTIQMIQERLGSELIQGLGYRQAWALVGVIDGGSTSCNESVRNYENHSSGGKALAQREFYTVDGQKFSVTAYSEWIEGVSLSGFRVEVVDGVKLNLLDDVSSWKPGDQIVVASTDYSMYQAEEFTLLPCSECSHFQVKVKETPQFLHMGEIIDGVDMRAEVGILTRNIVIQGEVEDSCYAENQCQFFDYDTFGGHIMIMKNFTSVHLSYVELKHMGQQQMGRYPVHFHLCGDVDYKGGYRHATFVDGLSIHHSFSRCITVHGTNGLLIKDTIGFDTLGHCFFLEDGIEQRNTLFHNLGLLTKPGTLLPTDRNNSMCTTMRDKVFGNYIPVPATDCMAVSTFWIAHPNNNLINNAAAGSQDAGIWYLFHKEPTGESSGLQLLAKPELTPLGIFYNNRVHSNFKAGLFIDKGVKTTNSSAADPREYLCLDNSARFRPHQDANPEKPRVAALIDRLIAFKNNDNGAWVRGGDIIVQNSAFADNGIGLTFASDGSFPSDEGSSQEVSESLFVGESRNYGFQGGQNKYVGTGGIDQKPRTLPRNRTFPIRGFQIYDGPIHLTRSTFKKYVPTPDRYSSAIGFLMKNSWQITPRNNISLVKFGPHVSLNVFFGKPGPWFEDCEMDGDKNSIFHDIDGSVTGYKDAYVGRMDNYLIRHPSCVNVSKWNAVICSGTYAQVYVQTWSTQNLSMTITRDEYPSNPMVLRGINQKAAFPQYQPVVMLEKGYTIHWNGPAPRTTFLYLVNFNKNDWIRVGLCYPSNTSFQVTFGYLQRQNGSLSKIEEYEPVHSLEELQRKQSERKFYFDSSTGLLFLYLKAKSHRHGHSYCSSQGCERVKIQAATDSKDISNCMAKAYPQYYRKPSVVKRMPAMLTGLCQGCGTRQVVFTSDPHKSYLPVQFQSPDKAETQRGDPSVISVNGTDFTFRSAGVLLLVVDPCSVPFRLTEKTVFPLADVSRIEEYLKTGIPPRSIVLLSTRGEIKQLNISHLLVPLGLAKPAHLYDKGSTIFLGFSGNFKPSWTKLFTSPAGQGLGVLEQFIPLQLDEYGCPRATTVRRRDLELLKQASKAH
+>DECOY_sp|Q9UHN6|TMEM2_HUMAN Cell surface hyaluronidase OS=Homo sapiens OX=9606 GN=TMEM2 PE=1 SV=1
+HAKSAQKLLELDRRRVTTARPCGYEDLQLPIFQELVGLGQGAPSTFLKTWSPKFNGSFGLFITSGKDYLHAPKALGLPVLLHSINLQKIEGRTSLLVISRPPIGTKLYEEIRSVDALPFVTKETLRFPVSCPDVVLLLVGASRFTFDTGNVSIVSPDGRQTEAKDPSQFQVPLYSKHPDSTFVVQRTGCGQCLGTLMAPMRKVVSPKRYYQPYAKAMCNSIDKSDTAAQIKVRECGQSSCYSHGHRHSKAKLYLFLLGTSSDFYFKRESQKRQLEELSHVPEYEEIKSLSGNQRQLYGFTVQFSTNSPYCLGVRIWDNKNFNVLYLFTTRPAPGNWHITYGKELMVVPQYQPFAAKQNIGRLVMPNSPYEDRTITMSLNQTSWTQVYVQAYTGSCIVANWKSVNVCSPHRILYNDMRGVYADKYGTVSGDIDHFISNKDGDMECDEFWPGPKGFFVNLSVHPGFKVLSINNRPTIQWSNKMLFGIASSYRDPTPVYKKFTSRTLHIPGDYIQFGRIPFTRNRPLTRPKQDIGGTGVYKNQGGQFGYNRSEGVFLSESVEQSSGEDSPFSGDSAFTLGIGNDAFASNQVIIDGGRVWAGNDNNKFAILRDILAAVRPKEPNADQHPRFRASNDLCLYERPDAASSNTTKVGKDIFLGAKFNSHVRNNYFIGLPTLEPKALLQLGSSEGTPEKHFLYWIGADQSGAAANNILNNNPHAIWFTSVAMCDTAPVPIYNGFVKDRMTTCMSNNRDTPLLTGPKTLLGLNHFLTNRQEIGDELFFCHGLTDFGITDKILLGNTGHVTICRSFSHHISLGDVFTAHRYGGKYDVDGCLHFHVPYRGMQQQGMHKLEVYSLHVSTFNKMIMIHGGFTDYDFFQCQNEAYCSDEVEGQIVINRTLIGVEARMDVGDIIEGMHLFQPTEKVKVQFHSCESCPLLTFEEAQYMSYDTSAVVIQDGPKWSSVDDLLNLKVGDVVEVRFGSLSVGEIWESYATVSFKQGDVTYFERQALAKGGSSHNEYNRVSENCSTSGGDIVGVLAWAQRYGLGQILESGLREQIMQITGQLLSKAASDGVAIAVIRGPDQFRLFEQLRRSENRYEHTDFRESELIKATDQDIVRVNLGRSFDKEFTYSGFPLGSSNLTRALLTWSAKRAGHLELTGGAEVGIFKKGFTPMSEGEDSKGYLTITAKSKYRCKEAGIHLAGGDQILIYHTRLTINRSGDKNDGFVLLGGDQIVISHVTADSTLRLMDGEKIVVQKASDQGPDWNRLRPNQDPCNEDPAYKSSIGLIIALAIFFSFSTIAFCIFTNKHRKQKQSERQAQQEEPSFAFTARDERRISTFKASAQSKPPPPPRLPVVKGPVYGSPHRSNGNQPQLFAPSHGRSDTAYM
+>sp|Q9UIK5|TEFF2_HUMAN Tomoregulin-2 OS=Homo sapiens OX=9606 GN=TMEFF2 PE=1 SV=1
+MVLWESPRQCSSWTLCEGFCWLLLLPVMLLIVARPVKLAAFPTSLSDCQTPTGWNCSGYDDRENDLFLCDTNTCKFDGECLRIGDTVTCVCQFKCNNDYVPVCGSNGESYQNECYLRQAACKQQSEILVVSEGSCATDAGSGSGDGVHEGSGETSQKETSTCDICQFGAECDEDAEDVWCVCNIDCSQTNFNPLCASDGKSYDNACQIKEASCQKQEKIEVMSLGRCQDNTTTTTKSEDGHYARTDYAENANKLEESAREHHIPCPEHYNGFCMHGKCEHSINMQEPSCRCDAGYTGQHCEKKDYSVLYVVPGPVRFQYVLIAAVIGTIQIAVICVVVLCITRKCPRSNRIHRQKQNTGHYSSDNTTRASTRLI
+>DECOY_sp|Q9UIK5|TEFF2_HUMAN Tomoregulin-2 OS=Homo sapiens OX=9606 GN=TMEFF2 PE=1 SV=1
+ILRTSARTTNDSSYHGTNQKQRHIRNSRPCKRTICLVVVCIVAIQITGIVAAILVYQFRVPGPVVYLVSYDKKECHQGTYGADCRCSPEQMNISHECKGHMCFGNYHEPCPIHHERASEELKNANEAYDTRAYHGDESKTTTTTNDQCRGLSMVEIKEQKQCSAEKIQCANDYSKGDSACLPNFNTQSCDINCVCWVDEADEDCEAGFQCIDCTSTEKQSTEGSGEHVGDGSGSGADTACSGESVVLIESQQKCAAQRLYCENQYSEGNSGCVPVYDNNCKFQCVCTVTDGIRLCEGDFKCTNTDCLFLDNERDDYGSCNWGTPTQCDSLSTPFAALKVPRAVILLMVPLLLLWCFGECLTWSSCQRPSEWLVM
+>sp|Q969V4|TEKT1_HUMAN Tektin-1 OS=Homo sapiens OX=9606 GN=TEKT1 PE=1 SV=1
+MAKLLQPPPKFLPSEWHIANKNQYHRADAQRSRSERLVAESQRLVDEIEKTTRKSQSDVNKKLEQRLEEVQFWKKELDDKLEQLVNVTDDLLIYKIRLEKALETLKEPLHITETCLAYREKRIGIDLVHDTVEHELIKEAEIIQGIMALLTRTLEEASEQIRMNRSAKYNLEKDLKDKFVALTIDDICFSLNNNSPNIRYSENAVRIEPNSVSLEDWLDFSSTNVEKADKQRNNSLMLKALVDRILSQTANDLRKQCDVVDTAFKNGLKDTKDARDKLADHLAKVMEEIASQEKNITALEKAILDQEGPAKVAHTRLETRTHRPNVELCRDVAQYRLMKEVQEITHNVARLKETLAQAQAELKGLHRRQLALQEEIQVKENTIYIDEVLCMQMRKSIPLRDGEDHGVWAGGLRPDAVC
+>DECOY_sp|Q969V4|TEKT1_HUMAN Tektin-1 OS=Homo sapiens OX=9606 GN=TEKT1 PE=1 SV=1
+CVADPRLGGAWVGHDEGDRLPISKRMQMCLVEDIYITNEKVQIEEQLALQRRHLGKLEAQAQALTEKLRAVNHTIEQVEKMLRYQAVDRCLEVNPRHTRTELRTHAVKAPGEQDLIAKELATINKEQSAIEEMVKALHDALKDRADKTDKLGNKFATDVVDCQKRLDNATQSLIRDVLAKLMLSNNRQKDAKEVNTSSFDLWDELSVSNPEIRVANESYRINPSNNNLSFCIDDITLAVFKDKLDKELNYKASRNMRIQESAEELTRTLLAMIGQIIEAEKILEHEVTDHVLDIGIRKERYALCTETIHLPEKLTELAKELRIKYILLDDTVNVLQELKDDLEKKWFQVEELRQELKKNVDSQSKRTTKEIEDVLRQSEAVLRESRSRQADARHYQNKNAIHWESPLFKPPPQLLKAM
+>sp|O15273|TELT_HUMAN Telethonin OS=Homo sapiens OX=9606 GN=TCAP PE=1 SV=1
+MATSELSCEVSEENCERREAFWAEWKDLTLSTRPEEGCSLHEEDTQRHETYHQQGQCQVLVQRSPWLMMRMGILGRGLQEYQLPYQRVLPLPIFTPAKMGATKEEREDTPIQLQELLALETALGGQCVDRQEVAEITKQLPPVVPVSKPGALRRSLSRSMSQEAQRG
+>DECOY_sp|O15273|TELT_HUMAN Telethonin OS=Homo sapiens OX=9606 GN=TCAP PE=1 SV=1
+GRQAEQSMSRSLSRRLAGPKSVPVVPPLQKTIEAVEQRDVCQGGLATELALLEQLQIPTDEREEKTAGMKAPTFIPLPLVRQYPLQYEQLGRGLIGMRMMLWPSRQVLVQCQGQQHYTEHRQTDEEHLSCGEEPRTSLTLDKWEAWFAERRECNEESVECSLESTAM
+>sp|O95932|TGM3L_HUMAN Protein-glutamine gamma-glutamyltransferase 6 OS=Homo sapiens OX=9606 GN=TGM6 PE=1 SV=3
+MAGIRVTKVDWQRSRNGAAHHTQEYPCPELVVRRGQSFSLTLELSRALDCEEILIFTMETGPRASEALHTKAVFQTSELERGEGWTAAREAQMEKTLTVSLASPPSAVIGRYLLSIRLSSHRKHSNRRLGEFVLLFNPWCAEDDVFLASEEERQEYVLSDSGIIFRGVEKHIRAQGWNYGQFEEDILNICLSILDRSPGHQNNPATDVSCRHNPIYVTRVISAMVNSNNDRGVVQGQWQGKYGGGTSPLHWRGSVAILQKWLKGRYKPVKYGQCWVFAGVLCTVLRCLGIATRVVSNFNSAHDTDQNLSVDKYVDSFGRTLEDLTEDSMWNFHVWNESWFARQDLGPSYNGWQVLDATPQEESEGVFRCGPASVTAIREGDVHLAHDGPFVFAEVNADYITWLWHEDESRERVYSNTKKIGRCISTKAVGSDSRVDITDLYKYPEGSRKERQVYSKAVNRLFGVEASGRRIWIRRAGGRCLWRDDLLEPATKPSIAGKFKVLEPPMLGHDLRLALCLANLTSRAQRVRVNLSGATILYTRKPVAEILHESHAVRLGPQEEKRIPITISYSKYKEDLTEDKKILLAAMCLVTKGEKLLVEKDITLEDFITIKVLGPAMVGVAVTVEVTVVNPLIERVKDCALMVEGSGLLQEQLSIDVPTLEPQERASVQFDITPSKSGPRQLQVDLVSPHFPDIKGFVIVHVATAK
+>DECOY_sp|O95932|TGM3L_HUMAN Protein-glutamine gamma-glutamyltransferase 6 OS=Homo sapiens OX=9606 GN=TGM6 PE=1 SV=3
+KATAVHVIVFGKIDPFHPSVLDVQLQRPGSKSPTIDFQVSAREQPELTPVDISLQEQLLGSGEVMLACDKVREILPNVVTVEVTVAVGVMAPGLVKITIFDELTIDKEVLLKEGKTVLCMAALLIKKDETLDEKYKSYSITIPIRKEEQPGLRVAHSEHLIEAVPKRTYLITAGSLNVRVRQARSTLNALCLALRLDHGLMPPELVKFKGAISPKTAPELLDDRWLCRGGARRIWIRRGSAEVGFLRNVAKSYVQREKRSGEPYKYLDTIDVRSDSGVAKTSICRGIKKTNSYVRERSEDEHWLWTIYDANVEAFVFPGDHALHVDGERIATVSAPGCRFVGESEEQPTADLVQWGNYSPGLDQRAFWSENWVHFNWMSDETLDELTRGFSDVYKDVSLNQDTDHASNFNSVVRTAIGLCRLVTCLVGAFVWCQGYKVPKYRGKLWKQLIAVSGRWHLPSTGGGYKGQWQGQVVGRDNNSNVMASIVRTVYIPNHRCSVDTAPNNQHGPSRDLISLCINLIDEEFQGYNWGQARIHKEVGRFIIGSDSLVYEQREEESALFVDDEACWPNFLLVFEGLRRNSHKRHSSLRISLLYRGIVASPPSALSVTLTKEMQAERAATWGEGRELESTQFVAKTHLAESARPGTEMTFILIEECDLARSLELTLSFSQGRRVVLEPCPYEQTHHAAGNRSRQWDVKTVRIGAM
+>sp|Q08188|TGM3_HUMAN Protein-glutamine gamma-glutamyltransferase E OS=Homo sapiens OX=9606 GN=TGM3 PE=1 SV=4
+MAALGVQSINWQTAFNRQAHHTDKFSSQELILRRGQNFQVLMIMNKGLGSNERLEFIVSTGPYPSESAMTKAVFPLSNGSSGGWSAVLQASNGNTLTISISSPASAPIGRYTMALQIFSQGGISSVKLGTFILLFNPWLNVDSVFMGNHAEREEYVQEDAGIIFVGSTNRIGMIGWNFGQFEEDILSICLSILDRSLNFRRDAATDVASRNDPKYVGRVLSAMINSNDDNGVLAGNWSGTYTGGRDPRSWNGSVEILKNWKKSGFSPVRYGQCWVFAGTLNTALRSLGIPSRVITNFNSAHDTDRNLSVDVYYDPMGNPLDKGSDSVWNFHVWNEGWFVRSDLGPSYGGWQVLDATPQERSQGVFQCGPASVIGVREGDVQLNFDMPFIFAEVNADRITWLYDNTTGKQWKNSVNSHTIGRYISTKAVGSNARMDVTDKYKYPEGSDQERQVFQKALGKLKPNTPFAATSSMGLETEEQEPSIIGKLKVAGMLAVGKEVNLVLLLKNLSRDTKTVTVNMTAWTIIYNGTLVHEVWKDSATMSLDPEEEAEHPIKISYAQYEKYLKSDNMIRITAVCKVPDESEVVVERDIILDNPTLTLEVLNEARVRKPVNVQMLFSNPLDEPVRDCVLMVEGSGLLLGNLKIDVPTLGPKEGSRVRFDILPSRSGTKQLLADFSCNKFPAIKAMLSIDVAE
+>DECOY_sp|Q08188|TGM3_HUMAN Protein-glutamine gamma-glutamyltransferase E OS=Homo sapiens OX=9606 GN=TGM3 PE=1 SV=4
+EAVDISLMAKIAPFKNCSFDALLQKTGSRSPLIDFRVRSGEKPGLTPVDIKLNGLLLGSGEVMLVCDRVPEDLPNSFLMQVNVPKRVRAENLVELTLTPNDLIIDREVVVESEDPVKCVATIRIMNDSKLYKEYQAYSIKIPHEAEEEPDLSMTASDKWVEHVLTGNYIITWATMNVTVTKTDRSLNKLLLVLNVEKGVALMGAVKLKGIISPEQEETELGMSSTAAFPTNPKLKGLAKQFVQREQDSGEPYKYKDTVDMRANSGVAKTSIYRGITHSNVSNKWQKGTTNDYLWTIRDANVEAFIFPMDFNLQVDGERVGIVSAPGCQFVGQSREQPTADLVQWGGYSPGLDSRVFWGENWVHFNWVSDSGKDLPNGMPDYYVDVSLNRDTDHASNFNTIVRSPIGLSRLATNLTGAFVWCQGYRVPSFGSKKWNKLIEVSGNWSRPDRGGTYTGSWNGALVGNDDNSNIMASLVRGVYKPDNRSAVDTAADRRFNLSRDLISLCISLIDEEFQGFNWGIMGIRNTSGVFIIGADEQVYEEREAHNGMFVSDVNLWPNFLLIFTGLKVSSIGGQSFIQLAMTYRGIPASAPSSISITLTNGNSAQLVASWGGSSGNSLPFVAKTMASESPYPGTSVIFELRENSGLGKNMIMLVQFNQGRRLILEQSSFKDTHHAQRNFATQWNISQVGLAAM
+>sp|H3BV60|TGR3L_HUMAN Transforming growth factor-beta receptor type 3-like protein OS=Homo sapiens OX=9606 GN=TGFBR3L PE=2 SV=1
+MGESAAATASLFQRRRRGRGGRVTFPGGLKGSARFLSFGPPFPAPPAPPFPAAPGPWLRRPLFSLKLSDTEDVFPRRAGPLEVPADSRVFVQAALARPSPRWGLALHRCSVTPSSRPAPGPALALLREGCPADTSVAFPPPPPPSPGAARPARFSFRLRPVFNASVQFLHCQLSRCRRLRGVRRAPAPLTPPPPPPPSRCLPQDEACADTGSGSAEGLAADGPHLHTLTQPIVVTVPRPPPRPPKSVPGRAVRPEPPAPAPAALEPAPVVALVLAAFVLGAALAAGLGLVCAHSAPHAPGPPARASPSGPQPRRSQ
+>DECOY_sp|H3BV60|TGR3L_HUMAN Transforming growth factor-beta receptor type 3-like protein OS=Homo sapiens OX=9606 GN=TGFBR3L PE=2 SV=1
+QSRRPQPGSPSARAPPGPAHPASHACVLGLGAALAAGLVFAALVLAVVPAPELAAPAPAPPEPRVARGPVSKPPRPPPRPVTVVIPQTLTHLHPGDAALGEASGSGTDACAEDQPLCRSPPPPPPPTLPAPARRVGRLRRCRSLQCHLFQVSANFVPRLRFSFRAPRAAGPSPPPPPPFAVSTDAPCGERLLALAPGPAPRSSPTVSCRHLALGWRPSPRALAAQVFVRSDAPVELPGARRPFVDETDSLKLSFLPRRLWPGPAAPFPPAPPAPFPPGFSLFRASGKLGGPFTVRGGRGRRRRQFLSATAAASEGM
+>sp|Q9H0W7|THAP2_HUMAN THAP domain-containing protein 2 OS=Homo sapiens OX=9606 GN=THAP2 PE=1 SV=1
+MPTNCAAAGCATTYNKHINISFHRFPLDPKRRKEWVRLVRRKNFVPGKHTFLCSKHFEASCFDLTGQTRRLKMDAVPTIFDFCTHIKSMKLKSRNLLKKNNSCSPAGPSNLKSNISSQQVLLEHSYAFRNPMEAKKRIIKLEKEIASLRRKMKTCLQKERRATRRWIKATCLVKNLEANSVLPKGTSEHMLPTALSSLPLEDFKILEQDQQDKTLLSLNLKQTKSTFI
+>DECOY_sp|Q9H0W7|THAP2_HUMAN THAP domain-containing protein 2 OS=Homo sapiens OX=9606 GN=THAP2 PE=1 SV=1
+IFTSKTQKLNLSLLTKDQQDQELIKFDELPLSSLATPLMHESTGKPLVSNAELNKVLCTAKIWRRTARREKQLCTKMKRRLSAIEKELKIIRKKAEMPNRFAYSHELLVQQSSINSKLNSPGAPSCSNNKKLLNRSKLKMSKIHTCFDFITPVADMKLRRTQGTLDFCSAEFHKSCLFTHKGPVFNKRRVLRVWEKRRKPDLPFRHFSINIHKNYTTACGAAACNTPM
+>sp|Q8TBB0|THAP6_HUMAN THAP domain-containing protein 6 OS=Homo sapiens OX=9606 GN=THAP6 PE=1 SV=1
+MVKCCSAIGCASRCLPNSKLKGLTFHVFPTDENIKRKWVLAMKRLDVNAAGIWEPKKGDVLCSRHFKKTDFDRSAPNIKLKPGVIPSIFDSPYHLQGKREKLHCRKNFTLKTVPATNYNHHLVGASSCIEEFQSQFIFEHSYSVMDSPKKLKHKLDHVIGELEDTKESLRNVLDREKRFQKSLRKTIRELKDECLISQETANRLDTFCWDCCQESIEQDYIS
+>DECOY_sp|Q8TBB0|THAP6_HUMAN THAP domain-containing protein 6 OS=Homo sapiens OX=9606 GN=THAP6 PE=1 SV=1
+SIYDQEISEQCCDWCFTDLRNATEQSILCEDKLERITKRLSKQFRKERDLVNRLSEKTDELEGIVHDLKHKLKKPSDMVSYSHEFIFQSQFEEICSSAGVLHHNYNTAPVTKLTFNKRCHLKERKGQLHYPSDFISPIVGPKLKINPASRDFDTKKFHRSCLVDGKKPEWIGAANVDLRKMALVWKRKINEDTPFVHFTLGKLKSNPLCRSACGIASCCKVM
+>sp|P05543|THBG_HUMAN Thyroxine-binding globulin OS=Homo sapiens OX=9606 GN=SERPINA7 PE=1 SV=2
+MSPFLYLVLLVLGLHATIHCASPEGKVTACHSSQPNATLYKMSSINADFAFNLYRRFTVETPDKNIFFSPVSISAALVMLSFGACCSTQTEIVETLGFNLTDTPMVEIQHGFQHLICSLNFPKKELELQIGNALFIGKHLKPLAKFLNDVKTLYETEVFSTDFSNISAAKQEINSHVEMQTKGKVVGLIQDLKPNTIMVLVNYIHFKAQWANPFDPSKTEDSSSFLIDKTTTVQVPMMHQMEQYYHLVDMELNCTVLQMDYSKNALALFVLPKEGQMESVEAAMSSKTLKKWNRLLQKGWVDLFVPKFSISATYDLGATLLKMGIQHAYSENADFSGLTEDNGLKLSNAAHKAVLHIGEKGTEAAAVPEVELSDQPENTFLHPIIQIDRSFMLLILERSTRSILFLGKVVNPTEA
+>DECOY_sp|P05543|THBG_HUMAN Thyroxine-binding globulin OS=Homo sapiens OX=9606 GN=SERPINA7 PE=1 SV=2
+AETPNVVKGLFLISRTSRELILLMFSRDIQIIPHLFTNEPQDSLEVEPVAAAETGKEGIHLVAKHAANSLKLGNDETLGSFDANESYAHQIGMKLLTAGLDYTASISFKPVFLDVWGKQLLRNWKKLTKSSMAAEVSEMQGEKPLVFLALANKSYDMQLVTCNLEMDVLHYYQEMQHMMPVQVTTTKDILFSSSDETKSPDFPNAWQAKFHIYNVLVMITNPKLDQILGVVKGKTQMEVHSNIEQKAASINSFDTSFVETEYLTKVDNLFKALPKLHKGIFLANGIQLELEKKPFNLSCILHQFGHQIEVMPTDTLNFGLTEVIETQTSCCAGFSLMVLAASISVPSFFINKDPTEVTFRRYLNFAFDANISSMKYLTANPQSSHCATVKGEPSACHITAHLGLVLLVLYLFPSM
+>sp|Q99757|THIOM_HUMAN Thioredoxin, mitochondrial OS=Homo sapiens OX=9606 GN=TXN2 PE=1 SV=2
+MAQRLLLRRFLASVISRKPSQGQWPPLTSRALQTPQCSPGGLTVTPNPARTIYTTRISLTTFNIQDGPDFQDRVVNSETPVVVDFHAQWCGPCKILGPRLEKMVAKQHGKVVMAKVDIDDHTDLAIEYEVSAVPTVLAMKNGDVVDKFVGIKDEDQLEAFLKKLIG
+>DECOY_sp|Q99757|THIOM_HUMAN Thioredoxin, mitochondrial OS=Homo sapiens OX=9606 GN=TXN2 PE=1 SV=2
+GILKKLFAELQDEDKIGVFKDVVDGNKMALVTPVASVEYEIALDTHDDIDVKAMVVKGHQKAVMKELRPGLIKCPGCWQAHFDVVVPTESNVVRDQFDPGDQINFTTLSIRTTYITRAPNPTVTLGGPSCQPTQLARSTLPPWQGQSPKRSIVSALFRRLLLRQAM
+>sp|Q8N1K5|THMS1_HUMAN Protein THEMIS OS=Homo sapiens OX=9606 GN=THEMIS PE=1 SV=3
+MALSLEEFVHSLDLRTLPRVLEIQAGIYLEGSIYEMFGNECCFSTGEVIKITGLKVKKIIAEICEQIEGCESLQPFELPMNFPGLFKIVADKTPYLTMEEITRTIHIGPSRLGHPCFYHQKDIKLENLIIKQGEQIMLNSVEEIDGEIMVSCAVARNHQTHSFNLPLSQEGEFYECEDERIYTLKEIVEWKIPKNRTRTVNLTDFSNKWDSTNPFPKDFYGTLILKPVYEIQGVMKFRKDIIRILPSLDVEVKDITDSYDANWFLQLLSTEDLFEMTSKEFPIVTEVIEAPEGNHLPQSILQPGKTIVIHKKYQASRILASEIRSNFPKRHFLIPTSYKGKFKRRPREFPTAYDLEIAKSEKEPLHVVATKAFHSPHDKLSSVSVGDQFLVHQSETTEVLCEGIKKVVNVLACEKILKKSYEAALLPLYMEGGFVEVIHDKKQYPISELCKQFRLPFNVKVSVRDLSIEEDVLAATPGLQLEEDITDSYLLISDFANPTECWEIPVGRLNMTVQLVSNFSRDAEPFLVRTLVEEITEEQYYMMRRYESSASHPPPRPPKHPSVEETKLTLLTLAEERTVDLPKSPKRHHVDITKKLHPNQAGLDSKVLIGSQNDLVDEEKERSNRGATAIAETFKNEKHQK
+>DECOY_sp|Q8N1K5|THMS1_HUMAN Protein THEMIS OS=Homo sapiens OX=9606 GN=THEMIS PE=1 SV=3
+KQHKENKFTEAIATAGRNSREKEEDVLDNQSGILVKSDLGAQNPHLKKTIDVHHRKPSKPLDVTREEALTLLTLKTEEVSPHKPPRPPPHSASSEYRRMMYYQEETIEEVLTRVLFPEADRSFNSVLQVTMNLRGVPIEWCETPNAFDSILLYSDTIDEELQLGPTAALVDEEISLDRVSVKVNFPLRFQKCLESIPYQKKDHIVEVFGGEMYLPLLAAEYSKKLIKECALVNVVKKIGECLVETTESQHVLFQDGVSVSSLKDHPSHFAKTAVVHLPEKESKAIELDYATPFERPRRKFKGKYSTPILFHRKPFNSRIESALIRSAQYKKHIVITKGPQLISQPLHNGEPAEIVETVIPFEKSTMEFLDETSLLQLFWNADYSDTIDKVEVDLSPLIRIIDKRFKMVGQIEYVPKLILTGYFDKPFPNTSDWKNSFDTLNVTRTRNKPIKWEVIEKLTYIREDECEYFEGEQSLPLNFSHTQHNRAVACSVMIEGDIEEVSNLMIQEGQKIILNELKIDKQHYFCPHGLRSPGIHITRTIEEMTLYPTKDAVIKFLGPFNMPLEFPQLSECGEIQECIEAIIKKVKLGTIKIVEGTSFCCENGFMEYISGELYIGAQIELVRPLTRLDLSHVFEELSLAM
+>sp|P04216|THY1_HUMAN Thy-1 membrane glycoprotein OS=Homo sapiens OX=9606 GN=THY1 PE=1 SV=2
+MNLAISIALLLTVLQVSRGQKVTSLTACLVDQSLRLDCRHENTSSSPIQYEFSLTRETKKHVLFGTVGVPEHTYRSRTNFTSKYNMKVLYLSAFTSKDEGTYTCALHHSGHSPPISSQNVTVLRDKLVKCEGISLLAQNTSWLLLLLLSLSLLQATDFMSL
+>DECOY_sp|P04216|THY1_HUMAN Thy-1 membrane glycoprotein OS=Homo sapiens OX=9606 GN=THY1 PE=1 SV=2
+LSMFDTAQLLSLSLLLLLLWSTNQALLSIGECKVLKDRLVTVNQSSIPPSHGSHHLACTYTGEDKSTFASLYLVKMNYKSTFNTRSRYTHEPVGVTGFLVHKKTERTLSFEYQIPSSSTNEHRCDLRLSQDVLCATLSTVKQGRSVQLVTLLLAISIALNM
+>sp|P01266|THYG_HUMAN Thyroglobulin OS=Homo sapiens OX=9606 GN=TG PE=1 SV=5
+MALVLEIFTLLASICWVSANIFEYQVDAQPLRPCELQRETAFLKQADYVPQCAEDGSFQTVQCQNDGRSCWCVGANGSEVLGSRQPGRPVACLSFCQLQKQQILLSGYINSTDTSYLPQCQDSGDYAPVQCDVQQVQCWCVDAEGMEVYGTRQLGRPKRCPRSCEIRNRRLLHGVGDKSPPQCSAEGEFMPVQCKFVNTTDMMIFDLVHSYNRFPDAFVTFSSFQRRFPEVSGYCHCADSQGRELAETGLELLLDEIYDTIFAGLDLPSTFTETTLYRILQRRFLAVQSVISGRFRCPTKCEVERFTATSFGHPYVPSCRRNGDYQAVQCQTEGPCWCVDAQGKEMHGTRQQGEPPSCAEGQSCASERQQALSRLYFGTSGYFSQHDLFSSPEKRWASPRVARFATSCPPTIKELFVDSGLLRPMVEGQSQQFSVSENLLKEAIRAIFPSRGLARLALQFTTNPKRLQQNLFGGKFLVNVGQFNLSGALGTRGTFNFSQFFQQLGLASFLNGGRQEDLAKPLSVGLDSNSSTGTPEAAKKDGTMNKPTVGSFGFEINLQENQNALKFLASLLELPEFLLFLQHAISVPEDVARDLGDVMETVLSSQTCEQTPERLFVPSCTTEGSYEDVQCFSGECWCVNSWGKELPGSRVRGGQPRCPTDCEKQRARMQSLMGSQPAGSTLFVPACTSEGHFLPVQCFNSECYCVDAEGQAIPGTRSAIGKPKKCPTPCQLQSEQAFLRTVQALLSNSSMLPTLSDTYIPQCSTDGQWRQVQCNGPPEQVFELYQRWEAQNKGQDLTPAKLLVKIMSYREAASGNFSLFIQSLYEAGQQDVFPVLSQYPSLQDVPLAALEGKRPQPRENILLEPYLFWQILNGQLSQYPGSYSDFSTPLAHFDLRNCWCVDEAGQELEGMRSEPSKLPTCPGSCEEAKLRVLQFIRETEEIVSASNSSRFPLGESFLVAKGIRLRNEDLGLPPLFPPREAFAEQFLRGSDYAIRLAAQSTLSFYQRRRFSPDDSAGASALLRSGPYMPQCDAFGSWEPVQCHAGTGHCWCVDEKGGFIPGSLTARSLQIPQCPTTCEKSRTSGLLSSWKQARSQENPSPKDLFVPACLETGEYARLQASGAGTWCVDPASGEELRPGSSSSAQCPSLCNVLKSGVLSRRVSPGYVPACRAEDGGFSPVQCDQAQGSCWCVMDSGEEVPGTRVTGGQPACESPRCPLPFNASEVVGGTILCETISGPTGSAMQQCQLLCRQGSWSVFPPGPLICSLESGRWESQLPQPRACQRPQLWQTIQTQGHFQLQLPPGKMCSADYADLLQTFQVFILDELTARGFCQIQVKTFGTLVSIPVCNNSSVQVGCLTRERLGVNVTWKSRLEDIPVASLPDLHDIERALVGKDLLGRFTDLIQSGSFQLHLDSKTFPAETIRFLQGDHFGTSPRTWFGCSEGFYQVLTSEASQDGLGCVKCPEGSYSQDEECIPCPVGFYQEQAGSLACVPCPVGRTTISAGAFSQTHCVTDCQRNEAGLQCDQNGQYRASQKDRGSGKAFCVDGEGRRLPWWETEAPLEDSQCLMMQKFEKVPESKVIFDANAPVAVRSKVPDSEFPVMQCLTDCTEDEACSFFTVSTTEPEISCDFYAWTSDNVACMTSDQKRDALGNSKATSFGSLRCQVKVRSHGQDSPAVYLKKGQGSTTTLQKRFEPTGFQNMLSGLYNPIVFSASGANLTDAHLFCLLACDRDLCCDGFVLTQVQGGAIICGLLSSPSVLLCNVKDWMDPSEAWANATCPGVTYDQESHQVILRLGDQEFIKSLTPLEGTQDTFTNFQQVYLWKDSDMGSRPESMGCRKDTVPRPASPTEAGLTTELFSPVDLNQVIVNGNQSLSSQKHWLFKHLFSAQQANLWCLSRCVQEHSFCQLAEITESASLYFTCTLYPEAQVCDDIMESNAQGCRLILPQMPKALFRKKVILEDKVKNFYTRLPFQKLMGISIRNKVPMSEKSISNGFFECERRCDADPCCTGFGFLNVSQLKGGEVTCLTLNSLGIQMCSEENGGAWRILDCGSPDIEVHTYPFGWYQKPIAQNNAPSFCPLVVLPSLTEKVSLDSWQSLALSSVVVDPSIRHFDVAHVSTAATSNFSAVRDLCLSECSQHEACLITTLQTQPGAVRCMFYADTQSCTHSLQGQNCRLLLREEATHIYRKPGISLLSYEASVPSVPISTHGRLLGRSQAIQVGTSWKQVDQFLGVPYAAPPLAERRFQAPEPLNWTGSWDASKPRASCWQPGTRTSTSPGVSEDCLYLNVFIPQNVAPNASVLVFFHNTMDREESEGWPAIDGSFLAAVGNLIVVTASYRVGVFGFLSSGSGEVSGNWGLLDQVAALTWVQTHIRGFGGDPRRVSLAADRGGADVASIHLLTARATNSQLFRRAVLMGGSALSPAAVISHERAQQQAIALAKEVSCPMSSSQEVVSCLRQKPANVLNDAQTKLLAVSGPFHYWGPVIDGHFLREPPARALKRSLWVEVDLLIGSSQDDGLINRAKAVKQFEESRGRTSSKTAFYQALQNSLGGEDSDARVEAAATWYYSLEHSTDDYASFSRALENATRDYFIICPIIDMASAWAKRARGNVFMYHAPENYGHGSLELLADVQFALGLPFYPAYEGQFSLEEKSLSLKIMQYFSHFIRSGNPNYPYEFSRKVPTFATPWPDFVPRAGGENYKEFSELLPNRQGLKKADCSFWSKYISSLKTSADGAKGGQSAESEEEELTAGSGLREDLLSLQEPGSKTYSK
+>DECOY_sp|P01266|THYG_HUMAN Thyroglobulin OS=Homo sapiens OX=9606 GN=TG PE=1 SV=5
+KSYTKSGPEQLSLLDERLGSGATLEEEESEASQGGKAGDASTKLSSIYKSWFSCDAKKLGQRNPLLESFEKYNEGGARPVFDPWPTAFTPVKRSFEYPYNPNGSRIFHSFYQMIKLSLSKEELSFQGEYAPYFPLGLAFQVDALLELSGHGYNEPAHYMFVNGRARKAWASAMDIIPCIIFYDRTANELARSFSAYDDTSHELSYYWTAAAEVRADSDEGGLSNQLAQYFATKSSTRGRSEEFQKVAKARNILGDDQSSGILLDVEVWLSRKLARAPPERLFHGDIVPGWYHFPGSVALLKTQADNLVNAPKQRLCSVVEQSSSMPCSVEKALAIAQQQAREHSIVAAPSLASGGMLVARRFLQSNTARATLLHISAVDAGGRDAALSVRRPDGGFGRIHTQVWTLAAVQDLLGWNGSVEGSGSSLFGFVGVRYSATVVILNGVAALFSGDIAPWGESEERDMTNHFFVLVSANPAVNQPIFVNLYLCDESVGPSTSTRTGPQWCSARPKSADWSGTWNLPEPAQFRREALPPAAYPVGLFQDVQKWSTGVQIAQSRGLLRGHTSIPVSPVSAEYSLLSIGPKRYIHTAEERLLLRCNQGQLSHTCSQTDAYFMCRVAGPQTQLTTILCAEHQSCESLCLDRVASFNSTAATSVHAVDFHRISPDVVVSSLALSQWSDLSVKETLSPLVVLPCFSPANNQAIPKQYWGFPYTHVEIDPSGCDLIRWAGGNEESCMQIGLSNLTLCTVEGGKLQSVNLFGFGTCCPDADCRRECEFFGNSISKESMPVKNRISIGMLKQFPLRTYFNKVKDELIVKKRFLAKPMQPLILRCGQANSEMIDDCVQAEPYLTCTFYLSASETIEALQCFSHEQVCRSLCWLNAQQASFLHKFLWHKQSSLSQNGNVIVQNLDVPSFLETTLGAETPSAPRPVTDKRCGMSEPRSGMDSDKWLYVQQFNTFTDQTGELPTLSKIFEQDGLRLIVQHSEQDYTVGPCTANAWAESPDMWDKVNCLLVSPSSLLGCIIAGGQVQTLVFGDCCLDRDCALLCFLHADTLNAGSASFVIPNYLGSLMNQFGTPEFRKQLTTTSGQGKKLYVAPSDQGHSRVKVQCRLSGFSTAKSNGLADRKQDSTMCAVNDSTWAYFDCSIEPETTSVTFFSCAEDETCDTLCQMVPFESDPVKSRVAVPANADFIVKSEPVKEFKQMMLCQSDELPAETEWWPLRRGEGDVCFAKGSGRDKQSARYQGNQDCQLGAENRQCDTVCHTQSFAGASITTRGVPCPVCALSGAQEQYFGVPCPICEEDQSYSGEPCKVCGLGDQSAESTLVQYFGESCGFWTRPSTGFHDGQLFRITEAPFTKSDLHLQFSGSQILDTFRGLLDKGVLAREIDHLDPLSAVPIDELRSKWTVNVGLRERTLCGVQVSSNNCVPISVLTGFTKVQIQCFGRATLEDLIFVQFTQLLDAYDASCMKGPPLQLQFHGQTQITQWLQPRQCARPQPLQSEWRGSELSCILPGPPFVSWSGQRCLLQCQQMASGTPGSITECLITGGVVESANFPLPCRPSECAPQGGTVRTGPVEEGSDMVCWCSGQAQDCQVPSFGGDEARCAPVYGPSVRRSLVGSKLVNCLSPCQASSSSGPRLEEGSAPDVCWTGAGSAQLRAYEGTELCAPVFLDKPSPNEQSRAQKWSSLLGSTRSKECTTPCQPIQLSRATLSGPIFGGKEDVCWCHGTGAHCQVPEWSGFADCQPMYPGSRLLASAGASDDPSFRRRQYFSLTSQAALRIAYDSGRLFQEAFAERPPFLPPLGLDENRLRIGKAVLFSEGLPFRSSNSASVIEETERIFQLVRLKAEECSGPCTPLKSPESRMGELEQGAEDVCWCNRLDFHALPTSFDSYSGPYQSLQGNLIQWFLYPELLINERPQPRKGELAALPVDQLSPYQSLVPFVDQQGAEYLSQIFLSFNGSAAERYSMIKVLLKAPTLDQGKNQAEWRQYLEFVQEPPGNCQVQRWQGDTSCQPIYTDSLTPLMSSNSLLAQVTRLFAQESQLQCPTPCKKPKGIASRTGPIAQGEADVCYCESNFCQVPLFHGESTCAPVFLTSGAPQSGMLSQMRARQKECDTPCRPQGGRVRSGPLEKGWSNVCWCEGSFCQVDEYSGETTCSPVFLREPTQECTQSSLVTEMVDGLDRAVDEPVSIAHQLFLLFEPLELLSALFKLANQNEQLNIEFGFSGVTPKNMTGDKKAAEPTGTSSNSDLGVSLPKALDEQRGGNLFSALGLQQFFQSFNFTGRTGLAGSLNFQGVNVLFKGGFLNQQLRKPNTTFQLALRALGRSPFIARIAEKLLNESVSFQQSQGEVMPRLLGSDVFLEKITPPCSTAFRAVRPSAWRKEPSSFLDHQSFYGSTGFYLRSLAQQRESACSQGEACSPPEGQQRTGHMEKGQADVCWCPGETQCQVAQYDGNRRCSPVYPHGFSTATFREVECKTPCRFRGSIVSQVALFRRQLIRYLTTETFTSPLDLGAFITDYIEDLLLELGTEALERGQSDACHCYGSVEPFRRQFSSFTVFADPFRNYSHVLDFIMMDTTNVFKCQVPMFEGEASCQPPSKDGVGHLLRRNRIECSRPCRKPRGLQRTGYVEMGEADVCWCQVQQVDCQVPAYDGSDQCQPLYSTDTSNIYGSLLIQQKQLQCFSLCAVPRGPQRSGLVESGNAGVCWCSRGDNQCQVTQFSGDEACQPVYDAQKLFATERQLECPRLPQADVQYEFINASVWCISALLTFIELVLAM
+>sp|O60830|TI17B_HUMAN Mitochondrial import inner membrane translocase subunit Tim17-B OS=Homo sapiens OX=9606 GN=TIMM17B PE=1 SV=1
+MEEYAREPCPWRIVDDCGGAFTMGVIGGGVFQAIKGFRNAPVGIRHRLRGSANAVRIRAPQIGGSFAVWGGLFSTIDCGLVRLRGKEDPWNSITSGALTGAVLAARSGPLAMVGSAMMGGILLALIEGVGILLTRYTAQQFRNAPPFLEDPSQLPPKDGTPAPGYPSYQQYH
+>DECOY_sp|O60830|TI17B_HUMAN Mitochondrial import inner membrane translocase subunit Tim17-B OS=Homo sapiens OX=9606 GN=TIMM17B PE=1 SV=1
+HYQQYSPYGPAPTGDKPPLQSPDELFPPANRFQQATYRTLLIGVGEILALLIGGMMASGVMALPGSRAALVAGTLAGSTISNWPDEKGRLRVLGCDITSFLGGWVAFSGGIQPARIRVANASGRLRHRIGVPANRFGKIAQFVGGGIVGMTFAGGCDDVIRWPCPERAYEEM
+>sp|P31483|TIA1_HUMAN Nucleolysin TIA-1 isoform p40 OS=Homo sapiens OX=9606 GN=TIA1 PE=1 SV=3
+MEDEMPKTLYVGNLSRDVTEALILQLFSQIGPCKNCKMIMDTAGNDPYCFVEFHEHRHAAAALAAMNGRKIMGKEVKVNWATTPSSQKKDTSSSTVVSTQRSQDHFHVFVGDLSPEITTEDIKAAFAPFGRISDARVVKDMATGKSKGYGFVSFFNKWDAENAIQQMGGQWLGGRQIRTNWATRKPPAPKSTYESNTKQLSYDEVVNQSSPSNCTVYCGGVTSGLTEQLMRQTFSPFGQIMEIRVFPDKGYSFVRFNSHESAAHAIVSVNGTTIEGHVVKCYWGKETLDMINPVQQQNQIGYPQPYGQWGQWYGNAQQIGQYMPNGWQVPAYGMYGQAWNQQGFNQTQSSAPWMGPNYGVQPPQGQNGSMLPNQPSGYRVAGYETQ
+>DECOY_sp|P31483|TIA1_HUMAN Nucleolysin TIA-1 isoform p40 OS=Homo sapiens OX=9606 GN=TIA1 PE=1 SV=3
+QTEYGAVRYGSPQNPLMSGNQGQPPQVGYNPGMWPASSQTQNFGQQNWAQGYMGYAPVQWGNPMYQGIQQANGYWQGWQGYPQPYGIQNQQQVPNIMDLTEKGWYCKVVHGEITTGNVSVIAHAASEHSNFRVFSYGKDPFVRIEMIQGFPSFTQRMLQETLGSTVGGCYVTCNSPSSQNVVEDYSLQKTNSEYTSKPAPPKRTAWNTRIQRGGLWQGGMQQIANEADWKNFFSVFGYGKSKGTAMDKVVRADSIRGFPAFAAKIDETTIEPSLDGVFVHFHDQSRQTSVVTSSSTDKKQSSPTTAWNVKVEKGMIKRGNMAALAAAAHRHEHFEVFCYPDNGATDMIMKCNKCPGIQSFLQLILAETVDRSLNGVYLTKPMEDEM
+>sp|Q8IVF5|TIAM2_HUMAN T-lymphoma invasion and metastasis-inducing protein 2 OS=Homo sapiens OX=9606 GN=TIAM2 PE=2 SV=4
+MGNSDSQYTLQGSKNHSNTITGAKQIPCSLKIRGIHAKEEKSLHGWGHGSNGAGYKSRSLARSCLSHFKSNQPYASRLGGPTCKVSRGVAYSTHRTNAPGKDFQGISAAFSTENGFHSVGHELADNHITSRDCNGHLLNCYGRNESIASTPPGEDRKSPRVLIKTLGKLDGCLRVEFHNGGNPSKVPAEDCSEPVQLLRYSPTLASETSPVPEARRGSSADSLPSHRPSPTDSRLRSSKGSSLSSESSWYDSPWGNAGELSEAEGSFLAPGMPDPSLHASFPPGDAKKPFNQSSSLSSLRELYKDANLGSLSPSGIRLSDEYMGTHASLSNRVSFASDIDVPSRVAHGDPIQYSSFTLPCRKPKAFVEDTAKKDSLKARMRRISDWTGSLSRKKRKLQEPRSKEGSDYFDSRSDGLNTDVQGSSQASAFLWSGGSTQILSQRSESTHAIGSDPLRQNIYENFMRELEMSRTNTENIETSTETAESSSESLSSLEQLDLLFEKEQGVVRKAGWLFFKPLVTVQKERKLELVARRKWKQYWVTLKGCTLLFYETYGKNSMDQSSAPRCALFAEDSIVQSVPEHPKKENVFCLSNSFGDVYLFQATSQTDLENWVTAVHSACASLFAKKHGKEDTLRLLKNQTKNLLQKIDMDSKMKKMAELQLSVVSDPKNRKAIENQIQQWEQNLEKFHMDLFRMRCYLASLQGGELPNPKSLLAAASRPSKLALGRLGILSVSSFHALVCSRDDSALRKRTLSLTQRGRNKKGIFSSLKGLDTLARKGKEKRPSITQVDELLHIYGSTVDGVPRDNAWEIQTYVHFQDNHGVTVGIKPEHRVEDILTLACKMRQLEPSHYGLQLRKLVDDNVEYCIPAPYEYMQQQVYDEIEVFPLNVYDVQLTKTGSVCDFGFAVTAQVDERQHLSRIFISDVLPDGLAYGEGLRKGNEIMTLNGEAVSDLDLKQMEALFSEKSVGLTLIARPPDTKATLCTSWSDSDLFSRDQKSLLPPPNQSQLLEEFLDNFKKNTANDFSNVPDITTGLKRSQTDGTLDQVSHREKMEQTFRSAEQITALCRSFNDSQANGMEGPRENQDPPPRSLARHLSDADRLRKVIQELVDTEKSYVKDLSCLFELYLEPLQNETFLTQDEMESLFGSLPEMLEFQKVFLETLEDGISASSDFNTLETPSQFRKLLFSLGGSFLYYADHFKLYSGFCANHIKVQKVLERAKTDKAFKAFLDARNPTKQHSSTLESYLIKPVQRVLKYPLLLKELVSLTDQESEEHYHLTEALKAMEKVASHINEMQKIYEDYGTVFDQLVAEQSGTEKEVTELSMGELLMHSTVSWLNPFLSLGKARKDLELTVFVFKRAVILVYKENCKLKKKLPSNSRPAHNSTDLDPFKFRWLIPISALQVRLGNPAGTENNSIWELIHTKSEIEGRPETIFQLCCSDSESKTNIVKVIRSILRENFRRHIKCELPLEKTCKDRLVPLKNRVPVSAKLASSRSLKVLKNSSSNEWTGETGKGTLLDSDEGSLSSGTQSSGCPTAEGRQDSKSTSPGKYPHPGLADFADNLIKESDILSDEDDDHRQTVKQGSPTKDIEIQFQRLRISEDPDVHPEAEQQPGPESGEGQKGGEQPKLVRGHFCPIKRKANSTKRDRGTLLKAQIRHQSLDSQSENATIDLNSVLEREFSVQSLTSVVSEECFYETESHGKS
+>DECOY_sp|Q8IVF5|TIAM2_HUMAN T-lymphoma invasion and metastasis-inducing protein 2 OS=Homo sapiens OX=9606 GN=TIAM2 PE=2 SV=4
+SKGHSETEYFCEESVVSTLSQVSFERELVSNLDITANESQSDLSQHRIQAKLLTGRDRKTSNAKRKIPCFHGRVLKPQEGGKQGEGSEPGPQQEAEPHVDPDESIRLRQFQIEIDKTPSGQKVTQRHDDDEDSLIDSEKILNDAFDALGPHPYKGPSTSKSDQRGEATPCGSSQTGSSLSGEDSDLLTGKGTEGTWENSSSNKLVKLSRSSALKASVPVRNKLPVLRDKCTKELPLECKIHRRFNERLISRIVKVINTKSESDSCCLQFITEPRGEIESKTHILEWISNNETGAPNGLRVQLASIPILWRFKFPDLDTSNHAPRSNSPLKKKLKCNEKYVLIVARKFVFVTLELDKRAKGLSLFPNLWSVTSHMLLEGMSLETVEKETGSQEAVLQDFVTGYDEYIKQMENIHSAVKEMAKLAETLHYHEESEQDTLSVLEKLLLPYKLVRQVPKILYSELTSSHQKTPNRADLFAKFAKDTKARELVKQVKIHNACFGSYLKFHDAYYLFSGGLSFLLKRFQSPTELTNFDSSASIGDELTELFVKQFELMEPLSGFLSEMEDQTLFTENQLPELYLEFLCSLDKVYSKETDVLEQIVKRLRDADSLHRALSRPPPDQNERPGEMGNAQSDNFSRCLATIQEASRFTQEMKERHSVQDLTGDTQSRKLGTTIDPVNSFDNATNKKFNDLFEELLQSQNPPPLLSKQDRSFLDSDSWSTCLTAKTDPPRAILTLGVSKESFLAEMQKLDLDSVAEGNLTMIENGKRLGEGYALGDPLVDSIFIRSLHQREDVQATVAFGFDCVSGTKTLQVDYVNLPFVEIEDYVQQQMYEYPAPICYEVNDDVLKRLQLGYHSPELQRMKCALTLIDEVRHEPKIGVTVGHNDQFHVYTQIEWANDRPVGDVTSGYIHLLEDVQTISPRKEKGKRALTDLGKLSSFIGKKNRGRQTLSLTRKRLASDDRSCVLAHFSSVSLIGLRGLALKSPRSAAALLSKPNPLEGGQLSALYCRMRFLDMHFKELNQEWQQIQNEIAKRNKPDSVVSLQLEAMKKMKSDMDIKQLLNKTQNKLLRLTDEKGHKKAFLSACASHVATVWNELDTQSTAQFLYVDGFSNSLCFVNEKKPHEPVSQVISDEAFLACRPASSQDMSNKGYTEYFLLTCGKLTVWYQKWKRRAVLELKREKQVTVLPKFFLWGAKRVVGQEKEFLLDLQELSSLSESSSEATETSTEINETNTRSMELERMFNEYINQRLPDSGIAHTSESRQSLIQTSGGSWLFASAQSSGQVDTNLGDSRSDFYDSGEKSRPEQLKRKKRSLSGTWDSIRRMRAKLSDKKATDEVFAKPKRCPLTFSSYQIPDGHAVRSPVDIDSAFSVRNSLSAHTGMYEDSLRIGSPSLSGLNADKYLERLSSLSSSQNFPKKADGPPFSAHLSPDPMGPALFSGEAESLEGANGWPSDYWSSESSLSSGKSSRLRSDTPSPRHSPLSDASSGRRAEPVPSTESALTPSYRLLQVPESCDEAPVKSPNGGNHFEVRLCGDLKGLTKILVRPSKRDEGPPTSAISENRGYCNLLHGNCDRSTIHNDALEHGVSHFGNETSFAASIGQFDKGPANTRHTSYAVGRSVKCTPGGLRSAYPQNSKFHSLCSRALSRSKYGAGNSGHGWGHLSKEEKAHIGRIKLSCPIQKAGTITNSHNKSGQLTYQSDSNGM
+>sp|Q9BQ16|TICN3_HUMAN Testican-3 OS=Homo sapiens OX=9606 GN=SPOCK3 PE=1 SV=2
+MLKVSAVLCVCAAAWCSQSLAAAAAVAAAGGRSDGGNFLDDKQWLTTISQYDKEVGQWNKFRDEVEDDYFRTWSPGKPFDQALDPAKDPCLKMKCSRHKVCIAQDSQTAVCISHRRLTHRMKEAGVDHRQWRGPILSTCKQCPVVYPSPVCGSDGHTYSFQCKLEYQACVLGKQISVKCEGHCPCPSDKPTSTSRNVKRACSDLEFREVANRLRDWFKALHESGSQNKKTKTLLRPERSRFDTSILPICKDSLGWMFNRLDTNYDLLLDQSELRSIYLDKNEQCTKAFFNSCDTYKDSLISNNEWCYCFQRQQDPPCQTELSNIQKRQGVKKLLGQYIPLCDEDGYYKPTQCHGSVGQCWCVDRYGNEVMGSRINGVADCAIDFEISGDFASGDFHEWTDDEDDEDDIMNDEDEIEDDDEDEGDDDDGGDDHDVYI
+>DECOY_sp|Q9BQ16|TICN3_HUMAN Testican-3 OS=Homo sapiens OX=9606 GN=SPOCK3 PE=1 SV=2
+IYVDHDDGGDDDDGEDEDDDEIEDEDNMIDDEDDEDDTWEHFDGSAFDGSIEFDIACDAVGNIRSGMVENGYRDVCWCQGVSGHCQTPKYYGDEDCLPIYQGLLKKVGQRKQINSLETQCPPDQQRQFCYCWENNSILSDKYTDCSNFFAKTCQENKDLYISRLESQDLLLDYNTDLRNFMWGLSDKCIPLISTDFRSREPRLLTKTKKNQSGSEHLAKFWDRLRNAVERFELDSCARKVNRSTSTPKDSPCPCHGECKVSIQKGLVCAQYELKCQFSYTHGDSGCVPSPYVVPCQKCTSLIPGRWQRHDVGAEKMRHTLRRHSICVATQSDQAICVKHRSCKMKLCPDKAPDLAQDFPKGPSWTRFYDDEVEDRFKNWQGVEKDYQSITTLWQKDDLFNGGDSRGGAAAVAAAAALSQSCWAAACVCLVASVKLM
+>sp|P35590|TIE1_HUMAN Tyrosine-protein kinase receptor Tie-1 OS=Homo sapiens OX=9606 GN=TIE1 PE=1 SV=1
+MVWRVPPFLLPILFLASHVGAAVDLTLLANLRLTDPQRFFLTCVSGEAGAGRGSDAWGPPLLLEKDDRIVRTPPGPPLRLARNGSHQVTLRGFSKPSDLVGVFSCVGGAGARRTRVIYVHNSPGAHLLPDKVTHTVNKGDTAVLSARVHKEKQTDVIWKSNGSYFYTLDWHEAQDGRFLLQLPNVQPPSSGIYSATYLEASPLGSAFFRLIVRGCGAGRWGPGCTKECPGCLHGGVCHDHDGECVCPPGFTGTRCEQACREGRFGQSCQEQCPGISGCRGLTFCLPDPYGCSCGSGWRGSQCQEACAPGHFGADCRLQCQCQNGGTCDRFSGCVCPSGWHGVHCEKSDRIPQILNMASELEFNLETMPRINCAAAGNPFPVRGSIELRKPDGTVLLSTKAIVEPEKTTAEFEVPRLVLADSGFWECRVSTSGGQDSRRFKVNVKVPPVPLAAPRLLTKQSRQLVVSPLVSFSGDGPISTVRLHYRPQDSTMDWSTIVVDPSENVTLMNLRPKTGYSVRVQLSRPGEGGEGAWGPPTLMTTDCPEPLLQPWLEGWHVEGTDRLRVSWSLPLVPGPLVGDGFLLRLWDGTRGQERRENVSSPQARTALLTGLTPGTHYQLDVQLYHCTLLGPASPPAHVLLPPSGPPAPRHLHAQALSDSEIQLTWKHPEALPGPISKYVVEVQVAGGAGDPLWIDVDRPEETSTIIRGLNASTRYLFRMRASIQGLGDWSNTVEESTLGNGLQAEGPVQESRAAEEGLDQQLILAVVGSVSATCLTILAALLTLVCIRRSCLHRRRTFTYQSGSGEETILQFSSGTLTLTRRPKLQPEPLSYPVLEWEDITFEDLIGEGNFGQVIRAMIKKDGLKMNAAIKMLKEYASENDHRDFAGELEVLCKLGHHPNIINLLGACKNRGYLYIAIEYAPYGNLLDFLRKSRVLETDPAFAREHGTASTLSSRQLLRFASDAANGMQYLSEKQFIHRDLAARNVLVGENLASKIADFGLSRGEEVYVKKTMGRLPVRWMAIESLNYSVYTTKSDVWSFGVLLWEIVSLGGTPYCGMTCAELYEKLPQGYRMEQPRNCDDEVYELMRQCWRDRPYERPPFAQIALQLGRMLEARKAYVNMSLFENFTYAGIDATAEEA
+>DECOY_sp|P35590|TIE1_HUMAN Tyrosine-protein kinase receptor Tie-1 OS=Homo sapiens OX=9606 GN=TIE1 PE=1 SV=1
+AEEATADIGAYTFNEFLSMNVYAKRAELMRGLQLAIQAFPPREYPRDRWCQRMLEYVEDDCNRPQEMRYGQPLKEYLEACTMGCYPTGGLSVIEWLLVGFSWVDSKTTYVSYNLSEIAMWRVPLRGMTKKVYVEEGRSLGFDAIKSALNEGVLVNRAALDRHIFQKESLYQMGNAADSAFRLLQRSSLTSATGHERAFAPDTELVRSKRLFDLLNGYPAYEIAIYLYGRNKCAGLLNIINPHHGLKCLVELEGAFDRHDNESAYEKLMKIAANMKLGDKKIMARIVQGFNGEGILDEFTIDEWELVPYSLPEPQLKPRRTLTLTGSSFQLITEEGSGSQYTFTRRRHLCSRRICVLTLLAALITLCTASVSGVVALILQQDLGEEAARSEQVPGEAQLGNGLTSEEVTNSWDGLGQISARMRFLYRTSANLGRIITSTEEPRDVDIWLPDGAGGAVQVEVVYKSIPGPLAEPHKWTLQIESDSLAQAHLHRPAPPGSPPLLVHAPPSAPGLLTCHYLQVDLQYHTGPTLGTLLATRAQPSSVNERREQGRTGDWLRLLFGDGVLPGPVLPLSWSVRLRDTGEVHWGELWPQLLPEPCDTTMLTPPGWAGEGGEGPRSLQVRVSYGTKPRLNMLTVNESPDVVITSWDMTSDQPRYHLRVTSIPGDGSFSVLPSVVLQRSQKTLLRPAALPVPPVKVNVKFRRSDQGGSTSVRCEWFGSDALVLRPVEFEATTKEPEVIAKTSLLVTGDPKRLEISGRVPFPNGAAACNIRPMTELNFELESAMNLIQPIRDSKECHVGHWGSPCVCGSFRDCTGGNQCQCQLRCDAGFHGPACAEQCQSGRWGSGCSCGYPDPLCFTLGRCGSIGPCQEQCSQGFRGERCAQECRTGTFGPPCVCEGDHDHCVGGHLCGPCEKTCGPGWRGAGCGRVILRFFASGLPSAELYTASYIGSSPPQVNPLQLLFRGDQAEHWDLTYFYSGNSKWIVDTQKEKHVRASLVATDGKNVTHTVKDPLLHAGPSNHVYIVRTRRAGAGGVCSFVGVLDSPKSFGRLTVQHSGNRALRLPPGPPTRVIRDDKELLLPPGWADSGRGAGAEGSVCTLFFRQPDTLRLNALLTLDVAAGVHSALFLIPLLFPPVRWVM
+>sp|Q02763|TIE2_HUMAN Angiopoietin-1 receptor OS=Homo sapiens OX=9606 GN=TEK PE=1 SV=2
+MDSLASLVLCGVSLLLSGTVEGAMDLILINSLPLVSDAETSLTCIASGWRPHEPITIGRDFEALMNQHQDPLEVTQDVTREWAKKVVWKREKASKINGAYFCEGRVRGEAIRIRTMKMRQQASFLPATLTMTVDKGDNVNISFKKVLIKEEDAVIYKNGSFIHSVPRHEVPDILEVHLPHAQPQDAGVYSARYIGGNLFTSAFTRLIVRRCEAQKWGPECNHLCTACMNNGVCHEDTGECICPPGFMGRTCEKACELHTFGRTCKERCSGQEGCKSYVFCLPDPYGCSCATGWKGLQCNEACHPGFYGPDCKLRCSCNNGEMCDRFQGCLCSPGWQGLQCEREGIQRMTPKIVDLPDHIEVNSGKFNPICKASGWPLPTNEEMTLVKPDGTVLHPKDFNHTDHFSVAIFTIHRILPPDSGVWVCSVNTVAGMVEKPFNISVKVLPKPLNAPNVIDTGHNFAVINISSEPYFGDGPIKSKKLLYKPVNHYEAWQHIQVTNEIVTLNYLEPRTEYELCVQLVRRGEGGEGHPGPVRRFTTASIGLPPPRGLNLLPKSQTTLNLTWQPIFPSSEDDFYVEVERRSVQKSDQQNIKVPGNLTSVLLNNLHPREQYVVRARVNTKAQGEWSEDLTAWTLSDILPPQPENIKISNITHSSAVISWTILDGYSISSITIRYKVQGKNEDQHVDVKIKNATITQYQLKGLEPETAYQVDIFAENNIGSSNPAFSHELVTLPESQAPADLGGGKMLLIAILGSAGMTCLTVLLAFLIILQLKRANVQRRMAQAFQNVREEPAVQFNSGTLALNRKVKNNPDPTIYPVLDWNDIKFQDVIGEGNFGQVLKARIKKDGLRMDAAIKRMKEYASKDDHRDFAGELEVLCKLGHHPNIINLLGACEHRGYLYLAIEYAPHGNLLDFLRKSRVLETDPAFAIANSTASTLSSQQLLHFAADVARGMDYLSQKQFIHRDLAARNILVGENYVAKIADFGLSRGQEVYVKKTMGRLPVRWMAIESLNYSVYTTNSDVWSYGVLLWEIVSLGGTPYCGMTCAELYEKLPQGYRLEKPLNCDDEVYDLMRQCWREKPYERPSFAQILVSLNRMLEERKTYVNTTLYEKFTYAGIDCSAEEAA
+>DECOY_sp|Q02763|TIE2_HUMAN Angiopoietin-1 receptor OS=Homo sapiens OX=9606 GN=TEK PE=1 SV=2
+AAEEASCDIGAYTFKEYLTTNVYTKREELMRNLSVLIQAFSPREYPKERWCQRMLDYVEDDCNLPKELRYGQPLKEYLEACTMGCYPTGGLSVIEWLLVGYSWVDSNTTYVSYNLSEIAMWRVPLRGMTKKVYVEQGRSLGFDAIKAVYNEGVLINRAALDRHIFQKQSLYDMGRAVDAAFHLLQQSSLTSATSNAIAFAPDTELVRSKRLFDLLNGHPAYEIALYLYGRHECAGLLNIINPHHGLKCLVELEGAFDRHDDKSAYEKMRKIAADMRLGDKKIRAKLVQGFNGEGIVDQFKIDNWDLVPYITPDPNNKVKRNLALTGSNFQVAPEERVNQFAQAMRRQVNARKLQLIILFALLVTLCTMGASGLIAILLMKGGGLDAPAQSEPLTVLEHSFAPNSSGINNEAFIDVQYATEPELGKLQYQTITANKIKVDVHQDENKGQVKYRITISSISYGDLITWSIVASSHTINSIKINEPQPPLIDSLTWATLDESWEGQAKTNVRARVVYQERPHLNNLLVSTLNGPVKINQQDSKQVSRREVEVYFDDESSPFIPQWTLNLTTQSKPLLNLGRPPPLGISATTFRRVPGPHGEGGEGRRVLQVCLEYETRPELYNLTVIENTVQIHQWAEYHNVPKYLLKKSKIPGDGFYPESSINIVAFNHGTDIVNPANLPKPLVKVSINFPKEVMGAVTNVSCVWVGSDPPLIRHITFIAVSFHDTHNFDKPHLVTGDPKVLTMEENTPLPWGSAKCIPNFKGSNVEIHDPLDVIKPTMRQIGERECQLGQWGPSCLCGQFRDCMEGNNCSCRLKCDPGYFGPHCAENCQLGKWGTACSCGYPDPLCFVYSKCGEQGSCREKCTRGFTHLECAKECTRGMFGPPCICEGTDEHCVGNNMCATCLHNCEPGWKQAECRRVILRTFASTFLNGGIYRASYVGADQPQAHPLHVELIDPVEHRPVSHIFSGNKYIVADEEKILVKKFSINVNDGKDVTMTLTAPLFSAQQRMKMTRIRIAEGRVRGECFYAGNIKSAKERKWVVKKAWERTVDQTVELPDQHQNMLAEFDRGITIPEHPRWGSAICTLSTEADSVLPLSNILILDMAGEVTGSLLLSVGCLVLSALSDM
+>sp|P20366|TKN1_HUMAN Protachykinin-1 OS=Homo sapiens OX=9606 GN=TAC1 PE=1 SV=1
+MKILVALAVFFLVSTQLFAEEIGANDDLNYWSDWYDSDQIKEELPEPFEHLLQRIARRPKPQQFFGLMGKRDADSSIEKQVALLKALYGHGQISHKRHKTDSFVGLMGKRALNSVAYERSAMQNYERRR
+>DECOY_sp|P20366|TKN1_HUMAN Protachykinin-1 OS=Homo sapiens OX=9606 GN=TAC1 PE=1 SV=1
+RRREYNQMASREYAVSNLARKGMLGVFSDTKHRKHSIQGHGYLAKLLAVQKEISSDADRKGMLGFFQQPKPRRAIRQLLHEFPEPLEEKIQDSDYWDSWYNLDDNAGIEEAFLQTSVLFFVALAVLIKM
+>sp|Q86UU9|TKN4_HUMAN Tachykinin-4 OS=Homo sapiens OX=9606 GN=TAC4 PE=1 SV=1
+MLPCLALLLLMELSVCTVAGDGGEEQTLSTEAETWVIVALEEGAGPSIQLQLQEVKTGKASQFFGLMGKRVGGRPLIQPRRKKAYQLEHTFQGLLGKRSLFTEGREDEAQGSE
+>DECOY_sp|Q86UU9|TKN4_HUMAN Tachykinin-4 OS=Homo sapiens OX=9606 GN=TAC4 PE=1 SV=1
+ESGQAEDERGETFLSRKGLLGQFTHELQYAKKRRPQILPRGGVRKGMLGFFQSAKGTKVEQLQLQISPGAGEELAVIVWTEAETSLTQEEGGDGAVTCVSLEMLLLLALCPLM
+>sp|Q9Y4G6|TLN2_HUMAN Talin-2 OS=Homo sapiens OX=9606 GN=TLN2 PE=1 SV=4
+MVALSLKICVRHCNVVKTMQFEPSTAVYDACRVIRERVPEAQTGQASDYGLFLSDEDPRKGIWLEAGRTLDYYMLRNGDILEYKKKQRPQKIRMLDGSVKTVMVDDSKTVGELLVTICSRIGITNYEEYSLIQETIEEKKEEGTGTLKKDRTLLRDERKMEKLKAKLHTDDDLNWLDHSRTFREQGVDENETLLLRRKFFYSDQNVDSRDPVQLNLLYVQARDDILNGSHPVSFEKACEFGGFQAQIQFGPHVEHKHKPGFLDLKEFLPKEYIKQRGAEKRIFQEHKNCGEMSEIEAKVKYVKLARSLRTYGVSFFLVKEKMKGKNKLVPRLLGITKDSVMRVDEKTKEVLQEWPLTTVKRWAASPKSFTLDFGEYQESYYSVQTTEGEQISQLIAGYIDIILKKKQSKDRFGLEGDEESTMLEESVSPKKSTILQQQFNRTGKAEHGSVALPAVMRSGSSGPETFNVGSMPSPQQQVMVGQMHRGHMPPLTSAQQALMGTINTSMHAVQQAQDDLSELDSLPPLGQDMASRVWVQNKVDESKHEIHSQVDAITAGTASVVNLTAGDPADTDYTAVGCAITTISSNLTEMSKGVKLLAALMDDEVGSGEDLLRAARTLAGAVSDLLKAVQPTSGEPRQTVLTAAGSIGQASGDLLRQIGENETDERFQDVLMSLAKAVANAAAMLVLKAKNVAQVAEDTVLQNRVIAAATQCALSTSQLVACAKVVSPTISSPVCQEQLIEAGKLVDRSVENCVRACQAATTDSELLKQVSAAASVVSQALHDLLQHVRQFASRGEPIGRYDQATDTIMCVTESIFSSMGDAGEMVRQARVLAQATSDLVNAMRSDAEAEIDMENSKKLLAAAKLLADSTARMVEAAKGAAANPENEDQQQRLREAAEGLRVATNAAAQNAIKKKIVNRLEVAAKQAAAAATQTIAASQNAAVSNKNPAAQQQLVQSCKAVADHIPQLVQGVRGSQAQAEDLSAQLALIISSQNFLQPGSKMVSSAKAAVPTVSDQAAAMQLSQCAKNLATSLAELRTASQKAHEACGPMEIDSALNTVQTLKNELQDAKMAAVESQLKPLPGETLEKCAQDLGSTSKAVGSSMAQLLTCAAQGNEHYTGVAARETAQALKTLAQAARGVAASTTDPAAAHAMLDSARDVMEGSAMLIQEAKQALIAPGDAERQQRLAQVAKAVSHSLNNCVNCLPGQKDVDVALKSIGESSKKLLVDSLPPSTKPFQEAQSELNQAAADLNQSAGEVVHATRGQSGELAAASGKFSDDFDEFLDAGIEMAGQAQTKEDQIQVIGNLKNISMASSKLLLAAKSLSVDPGAPNAKNLLAAAARAVTESINQLITLCTQQAPGQKECDNALRELETVKGMLDNPNEPVSDLSYFDCIESVMENSKVLGESMAGISQNAKTGDLPAFGECVGIASKALCGLTEAAAQAAYLVGISDPNSQAGHQGLVDPIQFARANQAIQMACQNLVDPGSSPSQVLSAATIVAKHTSALCNACRIASSKTANPVAKRHFVQSAKEVANSTANLVKTIKALDGDFSEDNRNKCRIATAPLIEAVENLTAFASNPEFVSIPAQISSEGSQAQEPILVSAKTMLESSSYLIRTARSLAINPKDPPTWSVLAGHSHTVSDSIKSLITSIRDKAPGQRECDYSIDGINRCIRDIEQASLAAVSQSLATRDDISVEALQEQLTSVVQEIGHLIDPIATAARGEAAQLGHKVTQLASYFEPLILAAVGVASKILDHQQQMTVLDQTKTLAESALQMLYAAKEGGGNPKAQHTHDAITEAAQLMKEAVDDIMVTLNEAASEVGLVGGMVDAIAEAMSKLDEGTPPEPKGTFVDYQTTVVKYSKAIAVTAQEMMTKSVTNPEELGGLASQMTSDYGHLAFQGQMAAATAEPEEIGFQIRTRVQDLGHGCIFLVQKAGALQVCPTDSYTKRELIECARAVTEKVSLVLSALQAGNKGTQACITAATAVSGIIADLDTTIMFATAGTLNAENSETFADHRENILKTAKALVEDTKLLVSGAASTPDKLAQAAQSSAATITQLAEVVKLGAASLGSDDPETQVVLINAIKDVAKALSDLISATKGAASKPVDDPSMYQLKGAAKVMVTNVTSLLKTVKAVEDEATRGTRALEATIECIKQELTVFQSKDVPEKTSSPEESIRMTKGITMATAKAVAAGNSCRQEDVIATANLSRKAVSDMLTACKQASFHPDVSDEVRTRALRFGTECTLGYLDLLEHVLVILQKPTPEFKQQLAAFSKRVAGAVTELIQAAEAMKGTEWVDPEDPTVIAETELLGAAASIEAAAKKLEQLKPRAKPKQADETLDFEEQILEAAKSIAAATSALVKSASAAQRELVAQGKVGSIPANAADDGQWSQGLISAARMVAAATSSLCEAANASVQGHASEEKLISSAKQVAASTAQLLVACKVKADQDSEAMRRLQAAGNAVKRASDNLVRAAQKAAFGKADDDDVVVKTKFVGGIAQIIAAQEEMLKKERELEEARKKLAQIRQQQYKFLPTELREDEG
+>DECOY_sp|Q9Y4G6|TLN2_HUMAN Talin-2 OS=Homo sapiens OX=9606 GN=TLN2 PE=1 SV=4
+GEDERLETPLFKYQQQRIQALKKRAEELEREKKLMEEQAAIIQAIGGVFKTKVVVDDDDAKGFAAKQAARVLNDSARKVANGAAQLRRMAESDQDAKVKCAVLLQATSAAVQKASSILKEESAHGQVSANAAECLSSTAAAVMRAASILGQSWQGDDAANAPISGVKGQAVLERQAASASKVLASTAAAISKAAELIQEEFDLTEDAQKPKARPKLQELKKAAAEISAAAGLLETEAIVTPDEPDVWETGKMAEAAQILETVAGAVRKSFAALQQKFEPTPKQLIVLVHELLDLYGLTCETGFRLARTRVEDSVDPHFSAQKCATLMDSVAKRSLNATAIVDEQRCSNGAAVAKATAMTIGKTMRISEEPSSTKEPVDKSQFVTLEQKICEITAELARTGRTAEDEVAKVTKLLSTVNTVMVKAAGKLQYMSPDDVPKSAAGKTASILDSLAKAVDKIANILVVQTEPDDSGLSAAGLKVVEALQTITAASSQAAQALKDPTSAAGSVLLKTDEVLAKATKLINERHDAFTESNEANLTGATAFMITTDLDAIIGSVATAATICAQTGKNGAQLASLVLSVKETVARACEILERKTYSDTPCVQLAGAKQVLFICGHGLDQVRTRIQFGIEEPEATAAAMQGQFALHGYDSTMQSALGGLEEPNTVSKTMMEQATVAIAKSYKVVTTQYDVFTGKPEPPTGEDLKSMAEAIADVMGGVLGVESAAENLTVMIDDVAEKMLQAAETIADHTHQAKPNGGGEKAAYLMQLASEALTKTQDLVTMQQQHDLIKSAVGVAALILPEFYSALQTVKHGLQAAEGRAATAIPDILHGIEQVVSTLQEQLAEVSIDDRTALSQSVAALSAQEIDRICRNIGDISYDCERQGPAKDRISTILSKISDSVTHSHGALVSWTPPDKPNIALSRATRILYSSSELMTKASVLIPEQAQSGESSIQAPISVFEPNSAFATLNEVAEILPATAIRCKNRNDESFDGDLAKITKVLNATSNAVEKASQVFHRKAVPNATKSSAIRCANCLASTHKAVITAASLVQSPSSGPDVLNQCAMQIAQNARAFQIPDVLGQHGAQSNPDSIGVLYAAQAAAETLGCLAKSAIGVCEGFAPLDGTKANQSIGAMSEGLVKSNEMVSEICDFYSLDSVPENPNDLMGKVTELERLANDCEKQGPAQQTCLTILQNISETVARAAAALLNKANPAGPDVSLSKAALLLKSSAMSINKLNGIVQIQDEKTQAQGAMEIGADLFEDFDDSFKGSAAALEGSQGRTAHVVEGASQNLDAAAQNLESQAEQFPKTSPPLSDVLLKKSSEGISKLAVDVDKQGPLCNVCNNLSHSVAKAVQALRQQREADGPAILAQKAEQILMASGEMVDRASDLMAHAAAPDTTSAAVGRAAQALTKLAQATERAAVGTYHENGQAACTLLQAMSSGVAKSTSGLDQACKELTEGPLPKLQSEVAAMKADQLENKLTQVTNLASDIEMPGCAEHAKQSATRLEALSTALNKACQSLQMAAAQDSVTPVAAKASSVMKSGPQLFNQSSIILALQASLDEAQAQSGRVGQVLQPIHDAVAKCSQVLQQQAAPNKNSVAANQSAAITQTAAAAAQKAAVELRNVIKKKIANQAAANTAVRLGEAAERLRQQQDENEPNAAAGKAAEVMRATSDALLKAAALLKKSNEMDIEAEADSRMANVLDSTAQALVRAQRVMEGADGMSSFISETVCMITDTAQDYRGIPEGRSAFQRVHQLLDHLAQSVVSAAASVQKLLESDTTAAQCARVCNEVSRDVLKGAEILQEQCVPSSITPSVVKACAVLQSTSLACQTAAAIVRNQLVTDEAVQAVNKAKLVLMAAANAVAKALSMLVDQFREDTENEGIQRLLDGSAQGISGAATLVTQRPEGSTPQVAKLLDSVAGALTRAARLLDEGSGVEDDMLAALLKVGKSMETLNSSITTIACGVATYDTDAPDGATLNVVSATGATIADVQSHIEHKSEDVKNQVWVRSAMDQGLPPLSDLESLDDQAQQVAHMSTNITGMLAQQASTLPPMHGRHMQGVMVQQQPSPMSGVNFTEPGSSGSRMVAPLAVSGHEAKGTRNFQQQLITSKKPSVSEELMTSEEDGELGFRDKSQKKKLIIDIYGAILQSIQEGETTQVSYYSEQYEGFDLTFSKPSAAWRKVTTLPWEQLVEKTKEDVRMVSDKTIGLLRPVLKNKGKMKEKVLFFSVGYTRLSRALKVYKVKAEIESMEGCNKHEQFIRKEAGRQKIYEKPLFEKLDLFGPKHKHEVHPGFQIQAQFGGFECAKEFSVPHSGNLIDDRAQVYLLNLQVPDRSDVNQDSYFFKRRLLLTENEDVGQERFTRSHDLWNLDDDTHLKAKLKEMKREDRLLTRDKKLTGTGEEKKEEITEQILSYEEYNTIGIRSCITVLLEGVTKSDDVMVTKVSGDLMRIKQPRQKKKYELIDGNRLMYYDLTRGAELWIGKRPDEDSLFLGYDSAQGTQAEPVRERIVRCADYVATSPEFQMTKVVNCHRVCIKLSLAVM
+>sp|Q9NV29|TM100_HUMAN Transmembrane protein 100 OS=Homo sapiens OX=9606 GN=TMEM100 PE=1 SV=2
+MTEEPIKEILGAPKAHMAATMEKSPKSEVVITTVPLVSEIQLMAATGGTELSCYRCIIPFAVVVFIAGIVVTAVAYSFNSHGSIISIFGLVVLSSGLFLLASSALCWKVRQRSKKAKRRESQTALVANQRSLFA
+>DECOY_sp|Q9NV29|TM100_HUMAN Transmembrane protein 100 OS=Homo sapiens OX=9606 GN=TMEM100 PE=1 SV=2
+AFLSRQNAVLATQSERRKAKKSRQRVKWCLASSALLFLGSSLVVLGFISIISGHSNFSYAVATVVIGAIFVVVAFPIICRYCSLETGGTAAMLQIESVLPVTTIVVESKPSKEMTAAMHAKPAGLIEKIPEETM
+>sp|Q9UL52|TM11E_HUMAN Transmembrane protease serine 11E OS=Homo sapiens OX=9606 GN=TMPRSS11E PE=1 SV=2
+MMYRPDVVRARKRVCWEPWVIGLVIFISLIVLAVCIGLTVHYVRYNQKKTYNYYSTLSFTTDKLYAEFGREASNNFTEMSQRLESMVKNAFYKSPLREEFVKSQVIKFSQQKHGVLAHMLLICRFHSTEDPETVDKIVQLVLHEKLQDAVGPPKVDPHSVKIKKINKTETDSYLNHCCGTRRSKTLGQSLRIVGGTEVEEGEWPWQASLQWDGSHRCGATLINATWLVSAAHCFTTYKNPARWTASFGVTIKPSKMKRGLRRIIVHEKYKHPSHDYDISLAELSSPVPYTNAVHRVCLPDASYEFQPGDVMFVTGFGALKNDGYSQNHLRQAQVTLIDATTCNEPQAYNDAITPRMLCAGSLEGKTDACQGDSGGPLVSSDARDIWYLAGIVSWGDECAKPNKPGVYTRVTALRDWITSKTGI
+>DECOY_sp|Q9UL52|TM11E_HUMAN Transmembrane protease serine 11E OS=Homo sapiens OX=9606 GN=TMPRSS11E PE=1 SV=2
+IGTKSTIWDRLATVRTYVGPKNPKACEDGWSVIGALYWIDRADSSVLPGGSDGQCADTKGELSGACLMRPTIADNYAQPENCTTADILTVQAQRLHNQSYGDNKLAGFGTVFMVDGPQFEYSADPLCVRHVANTYPVPSSLEALSIDYDHSPHKYKEHVIIRRLGRKMKSPKITVGFSATWRAPNKYTTFCHAASVLWTANILTAGCRHSGDWQLSAQWPWEGEEVETGGVIRLSQGLTKSRRTGCCHNLYSDTETKNIKKIKVSHPDVKPPGVADQLKEHLVLQVIKDVTEPDETSHFRCILLMHALVGHKQQSFKIVQSKVFEERLPSKYFANKVMSELRQSMETFNNSAERGFEAYLKDTTFSLTSYYNYTKKQNYRVYHVTLGICVALVILSIFIVLGIVWPEWCVRKRARVVDPRYMM
+>sp|Q8N3G9|TM130_HUMAN Transmembrane protein 130 OS=Homo sapiens OX=9606 GN=TMEM130 PE=2 SV=1
+MAQAVWSRLGRILWLACLLPWAPAGVAAGLYELNLTTDSPATTGAVVTISASLVAKDNGSLALPADAHLYRFHWIHTPLVLTGKMEKGLSSTIRVVGHVPGEFPVSVWVTAADCWMCQPVARGFVVLPITEFLVGDLVVTQNTSLPWPSSYLTKTVLKVSFLLHDPSNFLKTALFLYSWDFGDGTQMVTEDSVVYYNYSIIGTFTVKLKVVAEWEEVEPDATRAVKQKTGDFSASLKLQETLRGIQVLGPTLIQTFQKMTVTLNFLGSPPLTVCWRLKPECLPLEEGECHPVSVASTAYNLTHTFRDPGDYCFSIRAENIISKTHQYHKIQVWPSRIQPAVFAFPCATLITVMLAFIMYMTLRNATQQKDMVEVADFDFSPMSDKNPEPPSGVRCCCQMCCGPFLLETPSEYLEIVRENHGLLPPLYKSVKTYTV
+>DECOY_sp|Q8N3G9|TM130_HUMAN Transmembrane protein 130 OS=Homo sapiens OX=9606 GN=TMEM130 PE=2 SV=1
+VTYTKVSKYLPPLLGHNERVIELYESPTELLFPGCCMQCCCRVGSPPEPNKDSMPSFDFDAVEVMDKQQTANRLTMYMIFALMVTILTACPFAFVAPQIRSPWVQIKHYQHTKSIINEARISFCYDGPDRFTHTLNYATSAVSVPHCEGEELPLCEPKLRWCVTLPPSGLFNLTVTMKQFTQILTPGLVQIGRLTEQLKLSASFDGTKQKVARTADPEVEEWEAVVKLKVTFTGIISYNYYVVSDETVMQTGDGFDWSYLFLATKLFNSPDHLLFSVKLVTKTLYSSPWPLSTNQTVVLDGVLFETIPLVVFGRAVPQCMWCDAATVWVSVPFEGPVHGVVRITSSLGKEMKGTLVLPTHIWHFRYLHADAPLALSGNDKAVLSASITVVAGTTAPSDTTLNLEYLGAAVGAPAWPLLCALWLIRGLRSWVAQAM
+>sp|Q92545|TM131_HUMAN Transmembrane protein 131 OS=Homo sapiens OX=9606 GN=TMEM131 PE=1 SV=3
+MGKRAGGGATGATTAAVSTSAGAGLEPAAARSGGPRSAAAGLLGALHLVMTLVVAAARAEKEAFVQSESIIEVLRFDDGGLLQTETTLGLSSYQQKSISLYRGNCRPIRFEPPMLDFHEQPVGMPKMEKVYLHNPSSEETITLVSISATTSHFHASFFQNRKILPGGNTSFDVVFLARVVGNVENTLFINTSNHGVFTYQVFGVGVPNPYRLRPFLGARVPVNSSFSPIINIHNPHSEPLQVVEMYSSGGDLHLELPTGQQGGTRKLWEIPPYETKGVMRASFSSREADNHTAFIRIKTNASDSTEFIILPVEVEVTTAPGIYSSTEMLDFGTLRTQDLPKVLNLHLLNSGTKDVPITSVRPTPQNDAITVHFKPITLKASESKYTKVASISFDASKAKKPSQFSGKITVKAKEKSYSKLEIPYQAEVLDGYLGFDHAATLFHIRDSPADPVERPIYLTNTFSFAILIHDVLLPEEAKTMFKVHNFSKPVLILPNESGYIFTLLFMPSTSSMHIDNNILLITNASKFHLPVRVYTGFLDYFVLPPKIEERFIDFGVLSATEASNILFAIINSNPIELAIKSWHIIGDGLSIELVAVERGNRTTIISSLPEFEKSSLSDQSSVTLASGYFAVFRVKLTAKKLEGIHDGAIQITTDYEILTIPVKAVIAVGSLTCFPKHVVLPPSFPGKIVHQSLNIMNSFSQKVKIQQIRSLSEDVRFYYKRLRGNKEDLEPGKKSKIANIYFDPGLQCGDHCYVGLPFLSKSEPKVQPGVAMQEDMWDADWDLHQSLFKGWTGIKENSGHRLSAIFEVNTDLQKNIISKITAELSWPSILSSPRHLKFPLTNTNCSSEEEITLENPADVPVYVQFIPLALYSNPSVFVDKLVSRFNLSKVAKIDLRTLEFQVFRNSAHPLQSSTGFMEGLSRHLILNLILKPGEKKSVKVKFTPVHNRTVSSLIIVRNNLTVMDAVMVQGQGTTENLRVAGKLPGPGSSLRFKITEALLKDCTDSLKLREPNFTLKRTFKVENTGQLQIHIETIEISGYSCEGYGFKVVNCQEFTLSANASRDIIILFTPDFTASRVIRELKFITTSGSEFVFILNASLPYHMLATCAEALPRPNWELALYIIISGIMSALFLLVIGTAYLEAQGIWEPFRRRLSFEASNPPFDVGRPFDLRRIVGISSEGNLNTLSCDPGHSRGFCGAGGSSSRPSAGSHKQCGPSVHPHSSHSNRNSADVENVRAKNSSSTSSRTSAQAASSQSANKTSPLVLDSNTVTQGHTAGRKSKGAKQSQHGSQHHAHSPLEQHPQPPLPPPVPQPQEPQPERLSPAPLAHPSHPERASSARHSSEDSDITSLIEAMDKDFDHHDSPALEVFTEQPPSPLPKSKGKGKPLQRKVKPPKKQEEKEKKGKGKPQEDELKDSLADDDSSSTTTETSNPDTEPLLKEDTEKQKGKQAMPEKHESEMSQVKQKSKKLLNIKKEIPTDVKPSSLELPYTPPLESKQRRNLPSKIPLPTAMTSGSKSRNAQKTKGTSKLVDNRPPALAKFLPNSQELGNTSSSEGEKDSPPPEWDSVPVHKPGSSTDSLYKLSLQTLNADIFLKQRQTSPTPASPSPPAAPCPFVARGSYSSIVNSSSSSDPKIKQPNGSKHKLTKAASLPGKNGNPTFAAVTAGYDKSPGGNGFAKVSSNKTGFSSSLGISHAPVDSDGSDSSGLWSPVSNPSSPDFTPLNSFSAFGNSFNLTGEVFSKLGLSRSCNQASQRSWNEFNSGPSYLWESPATDPSPSWPASSGSPTHTATSVLGNTSGLWSTTPFSSSIWSSNLSSALPFTTPANTLASIGLMGTENSPAPHAPSTSSPADDLGQTYNPWRIWSPTIGRRSSDPWSNSHFPHEN
+>DECOY_sp|Q92545|TM131_HUMAN Transmembrane protein 131 OS=Homo sapiens OX=9606 GN=TMEM131 PE=1 SV=3
+NEHPFHSNSWPDSSRRGITPSWIRWPNYTQGLDDAPSSTSPAHPAPSNETGMLGISALTNAPTTFPLASSLNSSWISSSFPTTSWLGSTNGLVSTATHTPSGSSAPWSPSPDTAPSEWLYSPGSNFENWSRQSAQNCSRSLGLKSFVEGTLNFSNGFASFSNLPTFDPSSPNSVPSWLGSSDSGDSDVPAHSIGLSSSFGTKNSSVKAFGNGGPSKDYGATVAAFTPNGNKGPLSAAKTLKHKSGNPQKIKPDSSSSSNVISSYSGRAVFPCPAAPPSPSAPTPSTQRQKLFIDANLTQLSLKYLSDTSSGPKHVPVSDWEPPPSDKEGESSSTNGLEQSNPLFKALAPPRNDVLKSTGKTKQANRSKSGSTMATPLPIKSPLNRRQKSELPPTYPLELSSPKVDTPIEKKINLLKKSKQKVQSMESEHKEPMAQKGKQKETDEKLLPETDPNSTETTTSSSDDDALSDKLEDEQPKGKGKKEKEEQKKPPKVKRQLPKGKGKSKPLPSPPQETFVELAPSDHHDFDKDMAEILSTIDSDESSHRASSAREPHSPHALPAPSLREPQPEQPQPVPPPLPPQPHQELPSHAHHQSGHQSQKAGKSKRGATHGQTVTNSDLVLPSTKNASQSSAAQASTRSSTSSSNKARVNEVDASNRNSHSSHPHVSPGCQKHSGASPRSSSGGAGCFGRSHGPDCSLTNLNGESSIGVIRRLDFPRGVDFPPNSAEFSLRRRFPEWIGQAELYATGIVLLFLASMIGSIIIYLALEWNPRPLAEACTALMHYPLSANLIFVFESGSTTIFKLERIVRSATFDPTFLIIIDRSANASLTFEQCNVVKFGYGECSYGSIEITEIHIQLQGTNEVKFTRKLTFNPERLKLSDTCDKLLAETIKFRLSSGPGPLKGAVRLNETTGQGQVMVADMVTLNNRVIILSSVTRNHVPTFKVKVSKKEGPKLILNLILHRSLGEMFGTSSQLPHASNRFVQFELTRLDIKAVKSLNFRSVLKDVFVSPNSYLALPIFQVYVPVDAPNELTIEEESSCNTNTLPFKLHRPSSLISPWSLEATIKSIINKQLDTNVEFIASLRHGSNEKIGTWGKFLSQHLDWDADWMDEQMAVGPQVKPESKSLFPLGVYCHDGCQLGPDFYINAIKSKKGPELDEKNGRLRKYYFRVDESLSRIQQIKVKQSFSNMINLSQHVIKGPFSPPLVVHKPFCTLSGVAIVAKVPITLIEYDTTIQIAGDHIGELKKATLKVRFVAFYGSALTVSSQDSLSSKEFEPLSSIITTRNGREVAVLEISLGDGIIHWSKIALEIPNSNIIAFLINSAETASLVGFDIFREEIKPPLVFYDLFGTYVRVPLHFKSANTILLINNDIHMSSTSPMFLLTFIYGSENPLILVPKSFNHVKFMTKAEEPLLVDHILIAFSFTNTLYIPREVPDAPSDRIHFLTAAHDFGLYGDLVEAQYPIELKSYSKEKAKVTIKGSFQSPKKAKSADFSISAVKTYKSESAKLTIPKFHVTIADNQPTPRVSTIPVDKTGSNLLHLNLVKPLDQTRLTGFDLMETSSYIGPATTVEVEVPLIIFETSDSANTKIRIFATHNDAERSSFSARMVGKTEYPPIEWLKRTGGQQGTPLELHLDGGSSYMEVVQLPESHPNHINIIPSFSSNVPVRAGLFPRLRYPNPVGVGFVQYTFVGHNSTNIFLTNEVNGVVRALFVVDFSTNGGPLIKRNQFFSAHFHSTTASISVLTITEESSPNHLYVKEMKPMGVPQEHFDLMPPEFRIPRCNGRYLSISKQQYSSLGLTTETQLLGGDDFRLVEIISESQVFAEKEARAAAVVLTMVLHLAGLLGAAASRPGGSRAAAPELGAGASTSVAATTAGTAGGGARKGM
+>sp|Q86UB9|TM135_HUMAN Transmembrane protein 135 OS=Homo sapiens OX=9606 GN=TMEM135 PE=2 SV=2
+MAALSKSIPHNCYEIGHTWHPSCRVSFLQITGGALEESLKIYAPLYLIAAILRKRKLDYYLHKLLPEILQSASFLTANGALYMAFFCILRKILGKFYSWTPGFGAALPASYVAILIERKSRRGLLTIYMANLATETLFRMGVARGTITTLRNGEVLLFCITAAMYMFFFRCKDGLKGFTFSALRFIVGKEEIPTHSFSPEAAYAKVEQKREQHEEKPGRMNMIGLVRKFVDSICKHGPRHRCCKHYEDNCISYCIKGFIRMFSVGYLIQCCLRIPSAFRHLFTQPSRLLSLFYNKENFQLGAFLGSFVSIYKGTSCFLRWIRNLDDELHAIIAGFLAGISMMFYKSTTISMYLASKLVETMYFKGIEAGKVPYFPHADTIIYSISTAICFQAAVMEVQTLRPSYWKFLLRLTKGKFAVMNRKVLDVFGTGASKHFQDFIPRLDPRYTTVTPELPTEFS
+>DECOY_sp|Q86UB9|TM135_HUMAN Transmembrane protein 135 OS=Homo sapiens OX=9606 GN=TMEM135 PE=2 SV=2
+SFETPLEPTVTTYRPDLRPIFDQFHKSAGTGFVDLVKRNMVAFKGKTLRLLFKWYSPRLTQVEMVAAQFCIATSISYIITDAHPFYPVKGAEIGKFYMTEVLKSALYMSITTSKYFMMSIGALFGAIIAHLEDDLNRIWRLFCSTGKYISVFSGLFAGLQFNEKNYFLSLLRSPQTFLHRFASPIRLCCQILYGVSFMRIFGKICYSICNDEYHKCCRHRPGHKCISDVFKRVLGIMNMRGPKEEHQERKQEVKAYAAEPSFSHTPIEEKGVIFRLASFTFGKLGDKCRFFFMYMAATICFLLVEGNRLTTITGRAVGMRFLTETALNAMYITLLGRRSKREILIAVYSAPLAAGFGPTWSYFKGLIKRLICFFAMYLAGNATLFSASQLIEPLLKHLYYDLKRKRLIAAILYLPAYIKLSEELAGGTIQLFSVRCSPHWTHGIEYCNHPISKSLAAM
+>sp|Q6ZRR5|TM136_HUMAN Transmembrane protein 136 OS=Homo sapiens OX=9606 GN=TMEM136 PE=2 SV=2
+MALALCLQVLCSLCGWLSLYISFCHLNKHRSYEWSCRLVTFTHGVLSIGLSAYIGFIDGPWPFTHPGSPNTPLQVHVLCLTLGYFIFDLGWCVYFQSEGALMLAHHTLSILGIIMALVLGESGTEVNAVLFGSELTNPLLQMRWFLRETGHYHSFTGDVVDFLFVALFTGVRIGVGACLLFCEMVSPTPKWFVKAGGVAMYAVSWCFMFSIWRFAWRKSIKKYHAWRSRRSEERQLKHNGHLKIH
+>DECOY_sp|Q6ZRR5|TM136_HUMAN Transmembrane protein 136 OS=Homo sapiens OX=9606 GN=TMEM136 PE=2 SV=2
+HIKLHGNHKLQREESRRSRWAHYKKISKRWAFRWISFMFCWSVAYMAVGGAKVFWKPTPSVMECFLLCAGVGIRVGTFLAVFLFDVVDGTFSHYHGTERLFWRMQLLPNTLESGFLVANVETGSEGLVLAMIIGLISLTHHALMLAGESQFYVCWGLDFIFYGLTLCLVHVQLPTNPSGPHTFPWPGDIFGIYASLGISLVGHTFTVLRCSWEYSRHKNLHCFSIYLSLWGCLSCLVQLCLALAM
+>sp|Q9NPI0|TM138_HUMAN Transmembrane protein 138 OS=Homo sapiens OX=9606 GN=TMEM138 PE=1 SV=1
+MLQTSNYSLVLSLQFLLLSYDLFVNSFSELLQKTPVIQLVLFIIQDIAVLFNIIIIFLMFFNTFVFQAGLVNLLFHKFKGTIILTAVYFALSISLHVWVMNLRWKNSNSFIWTDGLQMLFVFQRLAAVLYCYFYKRTAVRLGDPHFYQDSLWLRKEFMQVRR
+>DECOY_sp|Q9NPI0|TM138_HUMAN Transmembrane protein 138 OS=Homo sapiens OX=9606 GN=TMEM138 PE=1 SV=1
+RRVQMFEKRLWLSDQYFHPDGLRVATRKYFYCYLVAALRQFVFLMQLGDTWIFSNSNKWRLNMVWVHLSISLAFYVATLIITGKFKHFLLNVLGAQFVFTNFFMLFIIIINFLVAIDQIIFLVLQIVPTKQLLESFSNVFLDYSLLLFQLSLVLSYNSTQLM
+>sp|Q96I45|TM141_HUMAN Transmembrane protein 141 OS=Homo sapiens OX=9606 GN=TMEM141 PE=1 SV=1
+MVNLGLSRVDDAVAAKHPGLGEYAACQSHAFMKGVFTFVTGTGMAFGLQMFIQRKFPYPLQWSLLVAVVAGSVVSYGVTRVESEKCNNLWLFLETGQLPKDRSTDQRS
+>DECOY_sp|Q96I45|TM141_HUMAN Transmembrane protein 141 OS=Homo sapiens OX=9606 GN=TMEM141 PE=1 SV=1
+SRQDTSRDKPLQGTELFLWLNNCKESEVRTVGYSVVSGAVVAVLLSWQLPYPFKRQIFMQLGFAMGTGTVFTFVGKMFAHSQCAAYEGLGPHKAAVADDVRSLGLNVM
+>sp|Q96AN5|TM143_HUMAN Transmembrane protein 143 OS=Homo sapiens OX=9606 GN=TMEM143 PE=2 SV=1
+MTVELWLRLRGKGLAMLHVTRGVWGSRVRVWPLLPALLGPPRALSSLAAKMGEYRKMWNPREPRDWAQQYRERFIPFSKEQLLRLLIQEFHSSPAEKAALEAFSAHVDFCTLFHYHQILARLQALYDPINPDRETLDQPSLTDPQRLSNEQEVLRALEPLLAQANFSPLSEDTLAYALVVHHPQDEVQVTVNLDQYVYIHFWALGQRVGQMPLKSSVGSRRGFFTKLPPAERRYFKRVVLAARTKRGHLVLKSFKDTPLEGLEQLLPELKVRTPTLQRALLNLMLVVSGVAIFVNVGMVVLTDLKVATSLLLLLFAIFMGLRASKMFGQRRSAQALELAHMLYYRSTSNNSELLSALALRAQDEHTKEALLAHSFLARRPGGTQGSPEETSRWLRSEVENWLLAKSGCEVTFNGTRALAHLQALTPSMGLYPPPGFPKLDPVAPITSEPPQATPSSNIS
+>DECOY_sp|Q96AN5|TM143_HUMAN Transmembrane protein 143 OS=Homo sapiens OX=9606 GN=TMEM143 PE=2 SV=1
+SINSSPTAQPPESTIPAVPDLKPFGPPPYLGMSPTLAQLHALARTGNFTVECGSKALLWNEVESRLWRSTEEPSGQTGGPRRALFSHALLAEKTHEDQARLALASLLESNNSTSRYYLMHALELAQASRRQGFMKSARLGMFIAFLLLLLSTAVKLDTLVVMGVNVFIAVGSVVLMLNLLARQLTPTRVKLEPLLQELGELPTDKFSKLVLHGRKTRAALVVRKFYRREAPPLKTFFGRRSGVSSKLPMQGVRQGLAWFHIYVYQDLNVTVQVEDQPHHVVLAYALTDESLPSFNAQALLPELARLVEQENSLRQPDTLSPQDLTERDPNIPDYLAQLRALIQHYHFLTCFDVHASFAELAAKEAPSSHFEQILLRLLQEKSFPIFRERYQQAWDRPERPNWMKRYEGMKAALSSLARPPGLLAPLLPWVRVRSGWVGRTVHLMALGKGRLRLWLEVTM
+>sp|Q8NBT3|TM145_HUMAN Transmembrane protein 145 OS=Homo sapiens OX=9606 GN=TMEM145 PE=2 SV=2
+MEPLRAPALRRLLPPLLLLLLSLPPRARAKYVRGNLSSKEDWVFLTRFCFLSDYGRLDFRFRYPEAKCCQNILLYFDDPSQWPAVYKAGDKDCLAKESVIRPENNQVINLTTQYAWSGCQVVSEEGTRYLSCSSGRSFRSGDGLQLEYEMVLTNGKSFWTRHFSADEFGILETDVTFLLIFILIFFLSCYFGYLLKGRQLLHTTYKMFMAAAGVEVLSLLFFCIYWGQYATDGIGNESVKILAKLLFSSSFLIFLLMLILLGKGFTVTRGRISHAGSVKLSVYMTLYTLTHVVLLIYEAEFFDPGQVLYTYESPAGYGLIGLQVAAYVWFCYAVLVSLRHFPEKQPFYVPFFAAYTLWFFAVPVMALIANFGIPKWAREKIVNGIQLGIHLYAHGVFLIMTRPSAANKNFPYHVRTSQIASAGVPGPGGSQSADKAFPQHVYGNVTFISDSVPNFTELFSIPPPATSPLPRAAPDSGLPLFRDLRPPGPLRDL
+>DECOY_sp|Q8NBT3|TM145_HUMAN Transmembrane protein 145 OS=Homo sapiens OX=9606 GN=TMEM145 PE=2 SV=2
+LDRLPGPPRLDRFLPLGSDPAARPLPSTAPPPISFLETFNPVSDSIFTVNGYVHQPFAKDASQSGGPGPVGASAIQSTRVHYPFNKNAASPRTMILFVGHAYLHIGLQIGNVIKERAWKPIGFNAILAMVPVAFFWLTYAAFFPVYFPQKEPFHRLSVLVAYCFWVYAAVQLGILGYGAPSEYTYLVQGPDFFEAEYILLVVHTLTYLTMYVSLKVSGAHSIRGRTVTFGKGLLILMLLFILFSSSFLLKALIKVSENGIGDTAYQGWYICFFLLSLVEVGAAAMFMKYTTHLLQRGKLLYGFYCSLFFILIFILLFTVDTELIGFEDASFHRTWFSKGNTLVMEYELQLGDGSRFSRGSSCSLYRTGEESVVQCGSWAYQTTLNIVQNNEPRIVSEKALCDKDGAKYVAPWQSPDDFYLLINQCCKAEPYRFRFDLRGYDSLFCFRTLFVWDEKSSLNGRVYKARARPPLSLLLLLLPPLLRRLAPARLPEM
+>sp|Q9BVK8|TM147_HUMAN Transmembrane protein 147 OS=Homo sapiens OX=9606 GN=TMEM147 PE=1 SV=1
+MTLFHFGNCFALAYFPYFITYKCSGLSEYNAFWKCVQAGVTYLFVQLCKMLFLATFFPTWEGGIYDFIGEFMKASVDVADLIGLNLVMSRNAGKGEYKIMVAALGWATAELIMSRCIPLWVGARGIEFDWKYIQMSIDSNISLVHYIVASAQVWMITRYDLYHTFRPAVLLLMFLSVYKAFVMETFVHLCSLGSWAALLARAVVTGLLALSTLALYVAVVNVHS
+>DECOY_sp|Q9BVK8|TM147_HUMAN Transmembrane protein 147 OS=Homo sapiens OX=9606 GN=TMEM147 PE=1 SV=1
+SHVNVVAVYLALTSLALLGTVVARALLAAWSGLSCLHVFTEMVFAKYVSLFMLLLVAPRFTHYLDYRTIMWVQASAVIYHVLSINSDISMQIYKWDFEIGRAGVWLPICRSMILEATAWGLAAVMIKYEGKGANRSMVLNLGILDAVDVSAKMFEGIFDYIGGEWTPFFTALFLMKCLQVFLYTVGAQVCKWFANYESLGSCKYTIFYPFYALAFCNGFHFLTM
+>sp|Q96HH4|TM169_HUMAN Transmembrane protein 169 OS=Homo sapiens OX=9606 GN=TMEM169 PE=2 SV=1
+MEEPTAVEGQVQLPSPHQGSLRKAVAAALALDGESTMGHRKKKRKESRPESIIIYRSDNEKTDEEPGESEGGDQPKEEEGDDFLDYPVDDDMWNLPLDSRYVTLTGTITRGKKKGQMVDIHVTLTEKELQELTKPKESSRETTPEGRMACQMGADRGPHVVLWTLICLPVVFILSFVVSFYYGTITWYNIFLVYNEERTFWHKISYCPCLVLFYPVLIMAMASSLGLYAAVVQLSWSWEAWWQAARDMEKGFCGWLCSKLGLEDCSPYSIVELLESDNISSTLSNKDPIQEVETSTV
+>DECOY_sp|Q96HH4|TM169_HUMAN Transmembrane protein 169 OS=Homo sapiens OX=9606 GN=TMEM169 PE=2 SV=1
+VTSTEVEQIPDKNSLTSSINDSELLEVISYPSCDELGLKSCLWGCFGKEMDRAAQWWAEWSWSLQVVAAYLGLSSAMAMILVPYFLVLCPCYSIKHWFTREENYVLFINYWTITGYYFSVVFSLIFVVPLCILTWLVVHPGRDAGMQCAMRGEPTTERSSEKPKTLEQLEKETLTVHIDVMQGKKKGRTITGTLTVYRSDLPLNWMDDDVPYDLFDDGEEEKPQDGGESEGPEEDTKENDSRYIIISEPRSEKRKKKRHGMTSEGDLALAAAVAKRLSGQHPSPLQVQGEVATPEEM
+>sp|Q14656|TM187_HUMAN Transmembrane protein 187 OS=Homo sapiens OX=9606 GN=TMEM187 PE=1 SV=1
+MNPEWGQAFVHVAVAGGLCAVAVFTGIFDSVSVQVGYEHYAEAPVAGLPAFLAMPFNSLVNMAYTLLGLSWLHRGGAMGLGPRYLKDVFAAMALLYGPVQWLRLWTQWRRAAVLDQWLTLPIFAWPVAWCLYLDRGWRPWLFLSLECVSLASYGLALLHPQGFEVALGAHVVAAVGQALRTHRHYGSTTSATYLALGVLSCLGFVVLKLCDHQLARWRLFQCLTGHFWSKVCDVLQFHFAFLFLTHFNTHPRFHPSGGKTR
+>DECOY_sp|Q14656|TM187_HUMAN Transmembrane protein 187 OS=Homo sapiens OX=9606 GN=TMEM187 PE=1 SV=1
+RTKGGSPHFRPHTNFHTLFLFAFHFQLVDCVKSWFHGTLCQFLRWRALQHDCLKLVVFGLCSLVGLALYTASTTSGYHRHTRLAQGVAAVVHAGLAVEFGQPHLLALGYSALSVCELSLFLWPRWGRDLYLCWAVPWAFIPLTLWQDLVAARRWQTWLRLWQVPGYLLAMAAFVDKLYRPGLGMAGGRHLWSLGLLTYAMNVLSNFPMALFAPLGAVPAEAYHEYGVQVSVSDFIGTFVAVACLGGAVAVHVFAQGWEPNM
+>sp|Q8N511|TM199_HUMAN Transmembrane protein 199 OS=Homo sapiens OX=9606 GN=TMEM199 PE=1 SV=1
+MASSLLAGERLVRALGPGGELEPERLPRKLRAELEAALGKKHKGGDSSSGPQRLVSFRLIRDLHQHLRERDSKLYLHELLEGSEIYLPEVVKPPRNPELVARLEKIKIQLANEEYKRITRNVTCQDTRHGGTLSDLGKQVRSLKALVITIFNFIVTVVAAFVCTYLGSQYIFTEMASRVLAALIVASVVGLAELYVMVRAMEGELGEL
+>DECOY_sp|Q8N511|TM199_HUMAN Transmembrane protein 199 OS=Homo sapiens OX=9606 GN=TMEM199 PE=1 SV=1
+LEGLEGEMARVMVYLEALGVVSAVILAALVRSAMETFIYQSGLYTCVFAAVVTVIFNFITIVLAKLSRVQKGLDSLTGGHRTDQCTVNRTIRKYEENALQIKIKELRAVLEPNRPPKVVEPLYIESGELLEHLYLKSDRERLHQHLDRILRFSVLRQPGSSSDGGKHKKGLAAELEARLKRPLREPELEGGPGLARVLREGALLSSAM
+>sp|O75674|TM1L1_HUMAN TOM1-like protein 1 OS=Homo sapiens OX=9606 GN=TOM1L1 PE=1 SV=2
+MAFGKSHRDPYATSVGHLIEKATFAGVQTEDWGQFMHICDIINTTQDGPKDAVKALKKRISKNYNHKEIQLTLSLIDMCVQNCGPSFQSLIVKKEFVKENLVKLLNPRYNLPLDIQNRILNFIKTWSQGFPGGVDVSEVKEVYLDLVKKGVQFPPSEAEAETARQETAQISSNPPTSVPTAPALSSVIAPKNSTVTLVPEQIGKLHSELDMVKMNVRVMSAILMENTPGSENHEDIELLQKLYKTGREMQERIMDLLVVVENEDVTVELIQVNEDLNNAILGYERFTRNQQRILEQNKNQKEATNTTSEPSAPSQDLLDLSPSPRMPRATLGELNTMNNQLSGLNFSLPSSDVTNNLKPSLHPQMNLLALENTEIPPFAQRTSQNLTSSHAYDNFLEHSNSVFLQPVSLQTIAAAPSNQSLPPLPSNHPAMTKSDLQPPNYYEVMEFDPLAPAVTTEAIYEEIDAHQHKGAQNDGD
+>DECOY_sp|O75674|TM1L1_HUMAN TOM1-like protein 1 OS=Homo sapiens OX=9606 GN=TOM1L1 PE=1 SV=2
+DGDNQAGKHQHADIEEYIAETTVAPALPDFEMVEYYNPPQLDSKTMAPHNSPLPPLSQNSPAAAITQLSVPQLFVSNSHELFNDYAHSSTLNQSTRQAFPPIETNELALLNMQPHLSPKLNNTVDSSPLSFNLGSLQNNMTNLEGLTARPMRPSPSLDLLDQSPASPESTTNTAEKQNKNQELIRQQNRTFREYGLIANNLDENVQILEVTVDENEVVVLLDMIREQMERGTKYLKQLLEIDEHNESGPTNEMLIASMVRVNMKVMDLESHLKGIQEPVLTVTSNKPAIVSSLAPATPVSTPPNSSIQATEQRATEAEAESPPFQVGKKVLDLYVEKVESVDVGGPFGQSWTKIFNLIRNQIDLPLNYRPNLLKVLNEKVFEKKVILSQFSPGCNQVCMDILSLTLQIEKHNYNKSIRKKLAKVADKPGDQTTNIIDCIHMFQGWDETQVGAFTAKEILHGVSTAYPDRHSKGFAM
+>sp|Q96SK2|TM209_HUMAN Transmembrane protein 209 OS=Homo sapiens OX=9606 GN=TMEM209 PE=1 SV=2
+MMQGEAHPSASLIDRTIKMRKETEARKVVLAWGLLNVSMAGMIYTEMTGKLISSYYNVTYWPLWYIELALASLFSLNALFDFWRYFKYTVAPTSLVVSPGQQTLLGLKTAVVQTTPPHDLAATQIPPAPPSPSIQGQSVLSYSPSRSPSTSPKFTTSCMTGYSPQLQGLSSGGSGSYSPGVTYSPVSGYNKLASFSPSPPSPYPTTVGPVESSGLRSRYRSSPTVYNSPTDKEDYMTDLRTLDTFLRSEEEKQHRVKLGSPDSTSPSSSPTFWNYSRSMGDYAQTLKKFQYQLACRSQAPCANKDEADLSSKQAAEEVWARVAMNRQLLDHMDSWTAKFRNWINETILVPLVQEIESVSTQMRRMGCPELQIGEASITSLKQAALVKAPLIPTLNTIVQYLDLTPNQEYLFERIKELSQGGCMSSFRWNRGGDFKGRKWDTDLPTDSAIIMHVFCTYLDSRLPPHPKYPDGKTFTSQHFVQTPNKPDVTNENVFCIYQSAINPPHYELIYQRHVYNLPKGRNNMFHTLLMFLYIIKTKESGMLGRVNLGLSGVNILWIFGE
+>DECOY_sp|Q96SK2|TM209_HUMAN Transmembrane protein 209 OS=Homo sapiens OX=9606 GN=TMEM209 PE=1 SV=2
+EGFIWLINVGSLGLNVRGLMGSEKTKIIYLFMLLTHFMNNRGKPLNYVHRQYILEYHPPNIASQYICFVNENTVDPKNPTQVFHQSTFTKGDPYKPHPPLRSDLYTCFVHMIIASDTPLDTDWKRGKFDGGRNWRFSSMCGGQSLEKIREFLYEQNPTLDLYQVITNLTPILPAKVLAAQKLSTISAEGIQLEPCGMRRMQTSVSEIEQVLPVLITENIWNRFKATWSDMHDLLQRNMAVRAWVEEAAQKSSLDAEDKNACPAQSRCALQYQFKKLTQAYDGMSRSYNWFTPSSSPSTSDPSGLKVRHQKEEESRLFTDLTRLDTMYDEKDTPSNYVTPSSRYRSRLGSSEVPGVTTPYPSPPSPSFSALKNYGSVPSYTVGPSYSGSGGSSLGQLQPSYGTMCSTTFKPSTSPSRSPSYSLVSQGQISPSPPAPPIQTAALDHPPTTQVVATKLGLLTQQGPSVVLSTPAVTYKFYRWFDFLANLSFLSALALEIYWLPWYTVNYYSSILKGTMETYIMGAMSVNLLGWALVVKRAETEKRMKITRDILSASPHAEGQMM
+>sp|Q68D42|TM215_HUMAN Transmembrane protein 215 OS=Homo sapiens OX=9606 GN=TMEM215 PE=2 SV=1
+MRPDDINPRTGLVVALVSVFLVFGFMFTVSGMKGETLGNIPLLAIGPAICLPGIAAIALARKTEGCTKWPENELLWVRKLPCFRKPKDKEVVELLRTPSDLESGKGSSDELAKKAGLRGKPPPQSQGEVSVASSINSPTPTEEGECQSLVQNGHQEETSRYLDGYCPSGSSLTYSALDVKCSARDRSECPEPEDSIFFVPQDSIIVCSYKQNSPYDRYCCYINQIQGRWDHETIV
+>DECOY_sp|Q68D42|TM215_HUMAN Transmembrane protein 215 OS=Homo sapiens OX=9606 GN=TMEM215 PE=2 SV=1
+VITEHDWRGQIQNIYCCYRDYPSNQKYSCVIISDQPVFFISDEPEPCESRDRASCKVDLASYTLSSGSPCYGDLYRSTEEQHGNQVLSQCEGEETPTPSNISSAVSVEGQSQPPPKGRLGAKKALEDSSGKGSELDSPTRLLEVVEKDKPKRFCPLKRVWLLENEPWKTCGETKRALAIAAIGPLCIAPGIALLPINGLTEGKMGSVTFMFGFVLFVSVLAVVLGTRPNIDDPRM
+>sp|Q9P0N5|TM216_HUMAN Transmembrane protein 216 OS=Homo sapiens OX=9606 GN=TMEM216 PE=1 SV=3
+MLPRGLKMAPRGKRLSSTPLEILFFLNGWYNATYFLLELFIFLYKGVLLPYPTANLVLDVVMLLLYLGIEVIRLFFGTKGNLCQRKMPLSISVALTFPSAMMASYYLLLQTYVLRLEAIMNGILLFFCGSELLLEVLTLAAFSRI
+>DECOY_sp|Q9P0N5|TM216_HUMAN Transmembrane protein 216 OS=Homo sapiens OX=9606 GN=TMEM216 PE=1 SV=3
+IRSFAALTLVELLLESGCFFLLIGNMIAELRLVYTQLLLYYSAMMASPFTLAVSISLPMKRQCLNGKTGFFLRIVEIGLYLLLMVVDLVLNATPYPLLVGKYLFIFLELLFYTANYWGNLFFLIELPTSSLRKGRPAMKLGRPLM
+>sp|A6NGB7|TM221_HUMAN Transmembrane protein 221 OS=Homo sapiens OX=9606 GN=TMEM221 PE=4 SV=3
+MARSYGGRVLAAMTLLGIAAAVLAALGAQLLFQLQAGRAELRGLRAEGLGQELGAGPGLPEDAAGTLLPLAAALAALVLVLGFTCLLLAALCGHLGAELARGPGPRRSDWFLYDCRLLRHVALGLFCCGISVYLAALSIYALLLFEIETGAAAASILGSGTLVLVAVLTHTLLRAARAARRGLHELSPPSFEDDLARPAEVSKASPRAQPQQGIHRRTPYSTCPEPGDPFGSMATATAPAALEGGWESSLPASRMHRTLSAGLGHWDGVTHEMRRMLGHRPGSMGKDSTLV
+>DECOY_sp|A6NGB7|TM221_HUMAN Transmembrane protein 221 OS=Homo sapiens OX=9606 GN=TMEM221 PE=4 SV=3
+VLTSDKGMSGPRHGLMRRMEHTVGDWHGLGASLTRHMRSAPLSSEWGGELAAPATATAMSGFPDGPEPCTSYPTRRHIGQQPQARPSAKSVEAPRALDDEFSPPSLEHLGRRAARAARLLTHTLVAVLVLTGSGLISAAAAGTEIEFLLLAYISLAALYVSIGCCFLGLAVHRLLRCDYLFWDSRRPGPGRALEAGLHGCLAALLLCTFGLVLVLAALAAALPLLTGAADEPLGPGAGLEQGLGEARLGRLEARGAQLQFLLQAGLAALVAAAIGLLTMAALVRGGYSRAM
+>sp|A0PJW6|TM223_HUMAN Transmembrane protein 223 OS=Homo sapiens OX=9606 GN=TMEM223 PE=1 SV=1
+MAAPWRRWPTGLLAVLRPLLTCRPLQGTTLQRDVLLFEHDRGRFFTILGLFCAGQGVFWASMAVAAVSRPPVPVQPLDAEVPNRGPFDLRSALWRYGLAVGCGAIGALVLGAGLLFSLRSVRSVVLRAGGQQVTLTTHAPFGLGAHFTVPLKQVSCMAHRGEVPAMLPLKVKGRRFYFLLDKTGHFPNTKLFDNTVGAYRSL
+>DECOY_sp|A0PJW6|TM223_HUMAN Transmembrane protein 223 OS=Homo sapiens OX=9606 GN=TMEM223 PE=1 SV=1
+LSRYAGVTNDFLKTNPFHGTKDLLFYFRRGKVKLPLMAPVEGRHAMCSVQKLPVTFHAGLGFPAHTTLTVQQGGARLVVSRVSRLSFLLGAGLVLAGIAGCGVALGYRWLASRLDFPGRNPVEADLPQVPVPPRSVAAVAMSAWFVGQGACFLGLITFFRGRDHEFLLVDRQLTTGQLPRCTLLPRLVALLGTPWRRWPAAM
+>sp|Q96A57|TM230_HUMAN Transmembrane protein 230 OS=Homo sapiens OX=9606 GN=TMEM230 PE=1 SV=1
+MMPSRTNLATGIPSSKVKYSRLSSTDDGYIDLQFKKTPPKIPYKAIALATVLFLIGAFLIIIGSLLLSGYISKGGADRAVPVLIIGILVFLPGFYHLRIAYYASKGYRGYSYDDIPDFDD
+>DECOY_sp|Q96A57|TM230_HUMAN Transmembrane protein 230 OS=Homo sapiens OX=9606 GN=TMEM230 PE=1 SV=1
+DDFDPIDDYSYGRYGKSAYYAIRLHYFGPLFVLIGIILVPVARDAGGKSIYGSLLLSGIIILFAGILFLVTALAIAKYPIKPPTKKFQLDIYGDDTSSLRSYKVKSSPIGTALNTRSPMM
+>sp|Q8WY98|TM234_HUMAN Transmembrane protein 234 OS=Homo sapiens OX=9606 GN=TMEM234 PE=1 SV=1
+MAASLGQVLALVLVAALWGGTQPLLKRASAGLQRVHEPTWAQQLLQEMKTLFLNTEYLMPFLLNQCGSLLYYLTLASTDLTLAVPICNSLAIIFTLIVGKALGEDIGGKRAVAGMVLTVIGISLCITSSVPWTAELQLHGKGQLQTLSQKCKREASGTQSERFG
+>DECOY_sp|Q8WY98|TM234_HUMAN Transmembrane protein 234 OS=Homo sapiens OX=9606 GN=TMEM234 PE=1 SV=1
+GFRESQTGSAERKCKQSLTQLQGKGHLQLEATWPVSSTICLSIGIVTLVMGAVARKGGIDEGLAKGVILTFIIALSNCIPVALTLDTSALTLYYLLSGCQNLLFPMLYETNLFLTKMEQLLQQAWTPEHVRQLGASARKLLPQTGGWLAAVLVLALVQGLSAAM
+>sp|Q8N2U0|TM256_HUMAN Transmembrane protein 256 OS=Homo sapiens OX=9606 GN=TMEM256 PE=3 SV=1
+MAGPAAAFRRLGALSGAAALGFASYGAHGAQFPDAYGKELFDKANKHHFLHSLALLGVPHCRKPLWAGLLLASGTTLFCTSFYYQALSGDPSIQTLAPAGGTLLLLGWLALAL
+>DECOY_sp|Q8N2U0|TM256_HUMAN Transmembrane protein 256 OS=Homo sapiens OX=9606 GN=TMEM256 PE=3 SV=1
+LALALWGLLLLTGGAPALTQISPDGSLAQYYFSTCFLTTGSALLLGAWLPKRCHPVGLLALSHLFHHKNAKDFLEKGYADPFQAGHAGYSAFGLAAAGSLAGLRRFAAAPGAM
+>sp|P61165|TM258_HUMAN Transmembrane protein 258 OS=Homo sapiens OX=9606 GN=TMEM258 PE=1 SV=1
+MELEAMSRYTSPVNPAVFPHLTVVLLAIGMFFTAWFFVYEVTSTKYTRDIYKELLISLVASLFMGFGVLFLLLWVGIYV
+>DECOY_sp|P61165|TM258_HUMAN Transmembrane protein 258 OS=Homo sapiens OX=9606 GN=TMEM258 PE=1 SV=1
+VYIGVWLLLFLVGFGMFLSAVLSILLEKYIDRTYKTSTVEYVFFWATFFMGIALLVVTLHPFVAPNVPSTYRSMAELEM
+>sp|A0A087WTH1|TM265_HUMAN Transmembrane protein 265 OS=Homo sapiens OX=9606 GN=TMEM265 PE=3 SV=1
+MEDEEKAVEILGNTEAAHPPSPIRCCWLRLRCLAATSIICGCSCLGVMALVFAIKAEERHKAGRSEEAVRWGARARKLILASFAVWLAVLILGPLLLWLLSYAIAQAE
+>DECOY_sp|A0A087WTH1|TM265_HUMAN Transmembrane protein 265 OS=Homo sapiens OX=9606 GN=TMEM265 PE=3 SV=1
+EAQAIAYSLLWLLLPGLILVALWVAFSALILKRARAGWRVAEESRGAKHREEAKIAFVLAMVGLCSCGCIISTAALCRLRLWCCRIPSPPHAAETNGLIEVAKEEDEM
+>sp|Q0VDI3|TM267_HUMAN Transmembrane protein 267 OS=Homo sapiens OX=9606 GN=TMEM267 PE=2 SV=1
+MASETEKTHALLQTCSTESLISSLGLGAFCLVADRLLQFSTIQQNDWLRALSDNAVHCVIGMWSWAVVTGIKKKTDFGEIILAGFLASVIDVDHFFLAGSMSLKAALTLPRRPFLHCSTVIPVVVLTLKFTMHLFKLKDSWCFLPWMLFISWTSHHIRDGIRHGLWICPFGKTSPLPFWLYVIITSSLPHICSFVMYLTGTRQMMSSKHGVRIDV
+>DECOY_sp|Q0VDI3|TM267_HUMAN Transmembrane protein 267 OS=Homo sapiens OX=9606 GN=TMEM267 PE=2 SV=1
+VDIRVGHKSSMMQRTGTLYMVFSCIHPLSSTIIVYLWFPLPSTKGFPCIWLGHRIGDRIHHSTWSIFLMWPLFCWSDKLKFLHMTFKLTLVVVPIVTSCHLFPRRPLTLAAKLSMSGALFFHDVDIVSALFGALIIEGFDTKKKIGTVVAWSWMGIVCHVANDSLARLWDNQQITSFQLLRDAVLCFAGLGLSSILSETSCTQLLAHTKETESAM
+>sp|A0A1B0GVZ9|TM269_HUMAN Transmembrane protein 269 OS=Homo sapiens OX=9606 GN=TMEM269 PE=3 SV=1
+MGEGPHTPCILGHGASGDHDQSHSQINEVSWKDVTSALSLANMVLGLFSIIFSFSRKCHYASRMLLVSFLLDMAVRAMTSHINICSKLGAELNDFAVFTTFGLASALLLGVDGLLSGILAIIYVSAASFHLCFYSPGVPSTYKGLPCPYASCILASTSLLTKGNRFILCCMASLMILFMMDQSYYPYDKILESENWKKLVYIGGVIMLFFSPLSLSAFYCLMWSLSYIFFPDALWGKAACLSPQH
+>DECOY_sp|A0A1B0GVZ9|TM269_HUMAN Transmembrane protein 269 OS=Homo sapiens OX=9606 GN=TMEM269 PE=3 SV=1
+HQPSLCAAKGWLADPFFIYSLSWMLCYFASLSLPSFFLMIVGGIYVLKKWNESELIKDYPYYSQDMMFLIMLSAMCCLIFRNGKTLLSTSALICSAYPCPLGKYTSPVGPSYFCLHFSAASVYIIALIGSLLGDVGLLLASALGFTTFVAFDNLEAGLKSCINIHSTMARVAMDLLFSVLLMRSAYHCKRSFSFIISFLGLVMNALSLASTVDKWSVENIQSHSQDHDGSAGHGLICPTHPGEGM
+>sp|A0A286YF58|TM271_HUMAN Transmembrane protein 271 OS=Homo sapiens OX=9606 GN=TMEM271 PE=3 SV=1
+MKWSVRGACAALSSCLLLACALSAAAVGLKCFSLGSELRGEPFRLGAAAGAFYSGLLLAAGLSLLGAALLCCGPRDAPLAGSEPGPGLGVPAAPAGAPEATPGESGAAAGAPGPVSSQNLLLLGVLVFMLGVLSAFAGAVIDGDTVSLVERKYSHYCLPPRAPGSSPGSAPGSTPGSAPGSAPGSAPGSAPGAPRARSTLDSATSAKCRQLKDYQRGLVLSTVFNSLECLLGLLSLLLVKNYKSSQARRGRRGRRRGGRALARPRGGSGLRAQPPASRARRGRRGRRGRRLQQRPSEASILSPEESDLAAPGDCAGFAAHHAVSYINVGVLHALDEAGAEVRCGGHPSVELPGYAPSDPDLNASYPYCCRPPCETPRPWETHRAC
+>DECOY_sp|A0A286YF58|TM271_HUMAN Transmembrane protein 271 OS=Homo sapiens OX=9606 GN=TMEM271 PE=3 SV=1
+CARHTEWPRPTECPPRCCYPYSANLDPDSPAYGPLEVSPHGGCRVEAGAEDLAHLVGVNIYSVAHHAAFGACDGPAALDSEEPSLISAESPRQQLRRGRRGRRGRRARSAPPQARLGSGGRPRALARGGRRRGRRGRRAQSSKYNKVLLLSLLGLLCELSNFVTSLVLGRQYDKLQRCKASTASDLTSRARPAGPASGPASGPASGPASGPTSGPASGPSSGPARPPLCYHSYKREVLSVTDGDIVAGAFASLVGLMFVLVGLLLLNQSSVPGPAGAAAGSEGPTAEPAGAPAAPVGLGPGPESGALPADRPGCCLLAAGLLSLGAALLLGSYFAGAAAGLRFPEGRLESGLSFCKLGVAAASLACALLLCSSLAACAGRVSWKM
+>sp|Q8NCS4|TM35B_HUMAN Transmembrane protein 35B OS=Homo sapiens OX=9606 GN=TMEM35B PE=1 SV=1
+MALLLSVLRVLLGGFFALVGLAKLSEEISAPVSERMNALFVQFAEVFPLKVFGYQPDPLNYQIAVGFLELLAGLLLVMGPPMLQEISNLFLILLMMGAIFTLAALKESLSTCIPAIVCLGFLLLLNVGQLLAQTKKVVRPTRKKTLSTFKESWK
+>DECOY_sp|Q8NCS4|TM35B_HUMAN Transmembrane protein 35B OS=Homo sapiens OX=9606 GN=TMEM35B PE=1 SV=1
+KWSEKFTSLTKKRTPRVVKKTQALLQGVNLLLLFGLCVIAPICTSLSEKLAALTFIAGMMLLILFLNSIEQLMPPGMVLLLGALLELFGVAIQYNLPDPQYGFVKLPFVEAFQVFLANMRESVPASIEESLKALGVLAFFGGLLVRLVSLLLAM
+>sp|Q9BZW5|TM6S1_HUMAN Transmembrane 6 superfamily member 1 OS=Homo sapiens OX=9606 GN=TM6SF1 PE=1 SV=2
+MSASAATGVFVLSLSAIPVTYVFNHLAAQHDSWTIVGVAALILFLVALLARVLVKRKPPRDPLFYVYAVFGFTSVVNLIIGLEQDGIIDGFMTHYLREGEPYLNTAYGHMICYWDGSAHYLMYLVMVAAIAWEETYRTIGLYWVGSIIMSVVVFVPGNIVGKYGTRICPAFFLSIPYTCLPVWAGFRIYNQPSENYNYPSKVIQEAQAKDLLRRPFDLMLVVCLLLATGFCLFRGLIALDCPSELCRLYTQFQEPYLKDPAAYPKIQMLAYMFYSVPYFVTALYGLVVPGCSWMPDITLIHAGGLAQAQFSHIGASLHARTAYVYRVPEEAKILFLALNIAYGVLPQLLAYRCIYKPEFFIKTKAEEKVE
+>DECOY_sp|Q9BZW5|TM6S1_HUMAN Transmembrane 6 superfamily member 1 OS=Homo sapiens OX=9606 GN=TM6SF1 PE=1 SV=2
+EVKEEAKTKIFFEPKYICRYALLQPLVGYAINLALFLIKAEEPVRYVYATRAHLSAGIHSFQAQALGGAHILTIDPMWSCGPVVLGYLATVFYPVSYFMYALMQIKPYAAPDKLYPEQFQTYLRCLESPCDLAILGRFLCFGTALLLCVVLMLDFPRRLLDKAQAEQIVKSPYNYNESPQNYIRFGAWVPLCTYPISLFFAPCIRTGYKGVINGPVFVVVSMIISGVWYLGITRYTEEWAIAAVMVLYMLYHASGDWYCIMHGYATNLYPEGERLYHTMFGDIIGDQELGIILNVVSTFGFVAYVYFLPDRPPKRKVLVRALLAVLFLILAAVGVITWSDHQAALHNFVYTVPIASLSLVFVGTAASASM
+>sp|Q8N6Q1|TMC5A_HUMAN Transmembrane and coiled-coil domain-containing protein 5A OS=Homo sapiens OX=9606 GN=TMCO5A PE=2 SV=2
+MEISRLAQSKRNIISLNMDLERDTQRIDEANQKLLLKIQEREDKIQRLESEIIQTRGLVEDEEWEKENRTTMERERALQELEEETARLERKNKTLVHSITELQQKLTRKSQKITNCEQSSPDGALEETKVKLQQLEASYACQEKELLKVMKEYAFVTQLCEDQALYIKKYQETLKKIEEELEALFLEREVSKLVSMNPVEKEHTSQNNEGTPTQKTARLFSKKIFCCLFFITLFFIRLLSYMFFHVRFINPDLLVNVLPKVLGRSTLWKLRCFFFPSLTLETEDMLPH
+>DECOY_sp|Q8N6Q1|TMC5A_HUMAN Transmembrane and coiled-coil domain-containing protein 5A OS=Homo sapiens OX=9606 GN=TMCO5A PE=2 SV=2
+HPLMDETELTLSPFFFCRLKWLTSRGLVKPLVNVLLDPNIFRVHFFMYSLLRIFFLTIFFLCCFIKKSFLRATKQTPTGENNQSTHEKEVPNMSVLKSVERELFLAELEEEIKKLTEQYKKIYLAQDECLQTVFAYEKMVKLLEKEQCAYSAELQQLKVKTEELAGDPSSQECNTIKQSKRTLKQQLETISHVLTKNKRELRATEEELEQLAREREMTTRNEKEWEEDEVLGRTQIIESELRQIKDEREQIKLLLKQNAEDIRQTDRELDMNLSIINRKSQALRSIEM
+>sp|Q8NEW7|TMIE_HUMAN Transmembrane inner ear expressed protein OS=Homo sapiens OX=9606 GN=TMIE PE=1 SV=2
+MAGWPGAGPLCVLGGAALGVCLAGVAGQLVEPSTAPPKPKPPPLTKETVVFWDMRLWHVVGIFSLFVLSIIITLCCVFNCRVPRTRKEIEARYLQRKAAKMYTDKLETVPPLNELTEVPGEDKKKKKKKKKDSVDTVAIKVEEDEKNEAKKKKGEK
+>DECOY_sp|Q8NEW7|TMIE_HUMAN Transmembrane inner ear expressed protein OS=Homo sapiens OX=9606 GN=TMIE PE=1 SV=2
+KEGKKKKAENKEDEEVKIAVTDVSDKKKKKKKKKDEGPVETLENLPPVTELKDTYMKAAKRQLYRAEIEKRTRPVRCNFVCCLTIIISLVFLSFIGVVHWLRMDWFVVTEKTLPPPKPKPPATSPEVLQGAVGALCVGLAAGGLVCLPGAGPWGAM
+>sp|Q0P6H9|TMM62_HUMAN Transmembrane protein 62 OS=Homo sapiens OX=9606 GN=TMEM62 PE=1 SV=1
+MAAVLALRVVAGLAAAALVAMLLEHYGLAGQPSPLPRPAPPRRPHPAPGPGDSNIFWGLQISDIHLSRFRDPGRAVDLEKFCSETIDIIQPALVLATGDLTDAKTKEQLGSRQHEVEWQTYQGILKKTRVMEKTKWLDIKGNHDAFNIPSLDSIKNYYRKYSAVRRDGSFHYVHSTPFGNYSFICVDATVNPGPKRPYNFFGILDKKKMEELLLLAKESSRSNHTIWFGHFTTSTILSPSPGIRSIMSSAIAYLCGHLHTLGGLMPVLHTRHFQGTLELEVGDWKDNRRYRIFAFDHDLFSFADLIFGKWPVVLITNPKSLLYSCGEHEPLERLLHSTHIRVLAFSLSSITSVTVKIDGVHLGQAVHVSGPIFVLKWNPRNYSSGTHNIEVIVQDSAGRSKSVHHIFSVQENNHLSFDPLASFILRTDHYIMARVLFVLIVLSQLTILIIFRYRGYPELKEPSGFINLTSFSLHVLSKINIFYYSVLLLTLYTVLGPWFFGEIIDGKFGCCFSFGIFVNGHFLQGSITFIIGILQLAFFNIPLMAYMCWSLLQRCFGHNFRSHLHQRKYLKIMPVHLLMLLLYIWQVYSCYFLYATYGTLAFLFSPLRTWLTLLTPVLIRYVWTLNSTKFGIFMVQLKSHLSS
+>DECOY_sp|Q0P6H9|TMM62_HUMAN Transmembrane protein 62 OS=Homo sapiens OX=9606 GN=TMEM62 PE=1 SV=1
+SSLHSKLQVMFIGFKTSNLTWVYRILVPTLLTLWTRLPSFLFALTGYTAYLFYCSYVQWIYLLLMLLHVPMIKLYKRQHLHSRFNHGFCRQLLSWCMYAMLPINFFALQLIGIIFTISGQLFHGNVFIGFSFCCGFKGDIIEGFFWPGLVTYLTLLLVSYYFINIKSLVHLSFSTLNIFGSPEKLEPYGRYRFIILITLQSLVILVFLVRAMIYHDTRLIFSALPDFSLHNNEQVSFIHHVSKSRGASDQVIVEINHTGSSYNRPNWKLVFIPGSVHVAQGLHVGDIKVTVSTISSLSFALVRIHTSHLLRELPEHEGCSYLLSKPNTILVVPWKGFILDAFSFLDHDFAFIRYRRNDKWDGVELELTGQFHRTHLVPMLGGLTHLHGCLYAIASSMISRIGPSPSLITSTTFHGFWITHNSRSSEKALLLLEEMKKKDLIGFFNYPRKPGPNVTADVCIFSYNGFPTSHVYHFSGDRRVASYKRYYNKISDLSPINFADHNGKIDLWKTKEMVRTKKLIGQYTQWEVEHQRSGLQEKTKADTLDGTALVLAPQIIDITESCFKELDVARGPDRFRSLHIDSIQLGWFINSDGPGPAPHPRRPPAPRPLPSPQGALGYHELLMAVLAAAALGAVVRLALVAAM
+>sp|Q96MH6|TMM68_HUMAN Transmembrane protein 68 OS=Homo sapiens OX=9606 GN=TMEM68 PE=2 SV=2
+MIDKNQTCGVGQDSVPYMICLIHILEEWFGVEQLEDYLNFANYLLWVFTPLILLILPYFTIFLLYLTIIFLHIYKRKNVLKEAYSHNLWDGARKTVATLWDGHAAVWHGYEVHGMEKIPEDGPALIIFYHGAIPIDFYYFMAKIFIHKGRTCRVVADHFVFKIPGFSLLLDVFCALHGPREKCVEILRSGHLLAISPGGVREALISDETYNIVWGHRRGFAQVAIDAKVPIIPMFTQNIREGFRSLGGTRLFRWLYEKFRYPFAPMYGGFPVKLRTYLGDPIPYDPQITAEELAEKTKNAVQALIDKHQRIPGNIMSALLERFH
+>DECOY_sp|Q96MH6|TMM68_HUMAN Transmembrane protein 68 OS=Homo sapiens OX=9606 GN=TMEM68 PE=2 SV=2
+HFRELLASMINGPIRQHKDILAQVANKTKEALEEATIQPDYPIPDGLYTRLKVPFGGYMPAFPYRFKEYLWRFLRTGGLSRFGERINQTFMPIIPVKADIAVQAFGRRHGWVINYTEDSILAERVGGPSIALLHGSRLIEVCKERPGHLACFVDLLLSFGPIKFVFHDAVVRCTRGKHIFIKAMFYYFDIPIAGHYFIILAPGDEPIKEMGHVEYGHWVAAHGDWLTAVTKRAGDWLNHSYAEKLVNKRKYIHLFIITLYLLFITFYPLILLILPTFVWLLYNAFNLYDELQEVGFWEELIHILCIMYPVSDQGVGCTQNKDIM
+>sp|Q5SWH9|TMM69_HUMAN Transmembrane protein 69 OS=Homo sapiens OX=9606 GN=TMEM69 PE=2 SV=1
+MLRFIQKFSQASSKILKYSFPVGLRTSRTDILSLKMSLQQNFSPCPRPWLSSSFPAYMSKTQCYHTSPCSFKKQQKQALLARPSSTITYLTDSPKPALCVTLAGLIPFVAPPLVMLMTKTYIPILAFTQMAYGASFLSFLGGIRWGFALPEGSPAKPDYLNLASSAAPLFFSWFAFLISERLSEAIVTVIMGMGVAFHLELFLLPHYPNWFKALRIVVTLLATFSFIITLVVKSSFPEKGHKRPGQV
+>DECOY_sp|Q5SWH9|TMM69_HUMAN Transmembrane protein 69 OS=Homo sapiens OX=9606 GN=TMEM69 PE=2 SV=1
+VQGPRKHGKEPFSSKVVLTIIFSFTALLTVVIRLAKFWNPYHPLLFLELHFAVGMGMIVTVIAESLRESILFAFWSFFLPAASSALNLYDPKAPSGEPLAFGWRIGGLFSLFSAGYAMQTFALIPIYTKTMLMVLPPAVFPILGALTVCLAPKPSDTLYTITSSPRALLAQKQQKKFSCPSTHYCQTKSMYAPFSSSLWPRPCPSFNQQLSMKLSLIDTRSTRLGVPFSYKLIKSSAQSFKQIFRLM
+>sp|Q6P5X7|TMM71_HUMAN Transmembrane protein 71 OS=Homo sapiens OX=9606 GN=TMEM71 PE=2 SV=2
+MYRISQLMSTPVASSSRLEREYAGELSPTCIFPSFTCDSLDGYHSFECGSIDPLTGSHYTCRRSPRLLTNGYYIWTEDSFLCDKDGNITLNPSQTSVMYKENLVRIFRKKKRICHSFSSLFNLSTSKSWLHGSIFGDINSSPSEDNWLKGTRRLDTDHCNGNADDLDCSSLTDDWESGKMNAESVITSSSSHIISQPPGGNSHSLSLQSQLTASERFQENSSDHSETRLLQEVFFQAILLAVCLIISACARWFMGEILASVFTCSLMITVAYVKSLFLSLASYFKTTACARFVKI
+>DECOY_sp|Q6P5X7|TMM71_HUMAN Transmembrane protein 71 OS=Homo sapiens OX=9606 GN=TMEM71 PE=2 SV=2
+IKVFRACATTKFYSALSLFLSKVYAVTIMLSCTFVSALIEGMFWRACASIILCVALLIAQFFVEQLLRTESHDSSNEQFRESATLQSQLSLSHSNGGPPQSIIHSSSSTIVSEANMKGSEWDDTLSSCDLDDANGNCHDTDLRRTGKLWNDESPSSNIDGFISGHLWSKSTSLNFLSSFSHCIRKKKRFIRVLNEKYMVSTQSPNLTINGDKDCLFSDETWIYYGNTLLRPSRRCTYHSGTLPDISGCEFSHYGDLSDCTFSPFICTPSLEGAYERELRSSSAVPTSMLQSIRYM
+>sp|Q8N9X5|TMM75_HUMAN Transmembrane protein 75 OS=Homo sapiens OX=9606 GN=TMEM75 PE=2 SV=1
+MRPTADSFRLKKGNVFPNFDPCAQALQKSCHFALSFLIGKMGIIILSVCLICTRLLQEGIAQSKCLINVSFSLYSCFIVFVTISQDSETLSLDCDHRLFFSLPFTDPASGGQSQHSWPCPERSKNLPQVSKQLRNRAG
+>DECOY_sp|Q8N9X5|TMM75_HUMAN Transmembrane protein 75 OS=Homo sapiens OX=9606 GN=TMEM75 PE=2 SV=1
+GARNRLQKSVQPLNKSREPCPWSHQSQGGSAPDTFPLSFFLRHDCDLSLTESDQSITVFVIFCSYLSFSVNILCKSQAIGEQLLRTCILCVSLIIIGMKGILFSLAFHCSKQLAQACPDFNPFVNGKKLRFSDATPRM
+>sp|A6NDV4|TMM8B_HUMAN Transmembrane protein 8B OS=Homo sapiens OX=9606 GN=TMEM8B PE=1 SV=2
+MNMPQSLGNQPLPPEPPSLGTPAEGPGTTSPPEHCWPVRPTLRNELDTFSVHFYIFFGPSVALPPERPAVFAMRLLPVLDSGGVLSLELQLNASSVRQENVTVFGCLTHEVPLSLGDAAVTCSKESLAGFLLSVSATTRVARLRIPFPQTGTWFLALRSLCGVGPRFVRCRNATAEVRMRTFLSPCVDDCGPYGQCKLLRTHNYLYAACECKAGWRGWGCTDSADALTYGFQLLSTLLLCLSNLMFLPPVVLAIRSRYVLEAAVYTFTMFFSTFYHACDQPGIVVFCIMDYDVLQFCDFLGSLMSVWVTVIAMARLQPVVKQVLYLLGAMLLSMALQLDRHGLWNLLGPSLFALGILATAWTVRSVRRRHCYPPTWRRWLFYLCPGSLIAGSAVLLYAFVETRDNYFYIHSIWHMLIAGSVGFLLPPRAKTDHGVPSGARARGCGYQLCINEQEELGLVGPGGATVSSICAS
+>DECOY_sp|A6NDV4|TMM8B_HUMAN Transmembrane protein 8B OS=Homo sapiens OX=9606 GN=TMEM8B PE=1 SV=2
+SACISSVTAGGPGVLGLEEQENICLQYGCGRARAGSPVGHDTKARPPLLFGVSGAILMHWISHIYFYNDRTEVFAYLLVASGAILSGPCLYFLWRRWTPPYCHRRRVSRVTWATALIGLAFLSPGLLNWLGHRDLQLAMSLLMAGLLYLVQKVVPQLRAMAIVTVWVSMLSGLFDCFQLVDYDMICFVVIGPQDCAHYFTSFFMTFTYVAAELVYRSRIALVVPPLFMLNSLCLLLTSLLQFGYTLADASDTCGWGRWGAKCECAAYLYNHTRLLKCQGYPGCDDVCPSLFTRMRVEATANRCRVFRPGVGCLSRLALFWTGTQPFPIRLRAVRTTASVSLLFGALSEKSCTVAADGLSLPVEHTLCGFVTVNEQRVSSANLQLELSLVGGSDLVPLLRMAFVAPREPPLAVSPGFFIYFHVSFTDLENRLTPRVPWCHEPPSTTGPGEAPTGLSPPEPPLPQNGLSQPMNM
+>sp|Q9Y2Y6|TMM98_HUMAN Transmembrane protein 98 OS=Homo sapiens OX=9606 GN=TMEM98 PE=1 SV=1
+METVVIVAIGVLATIFLASFAALVLVCRQRYCRPRDLLQRYDSKPIVDLIGAMETQSEPSELELDDVVITNPHIEAILENEDWIEDASGLMSHCIAILKICHTLTEKLVAMTMGSGAKMKTSASVSDIIVVAKRISPRVDDVVKSMYPPLDPKLLDARTTALLLSVSHLVLVTRNACHLTGGLDWIDQSLSAAEEHLEVLREAALASEPDKGLPGPEGFLQEQSAI
+>DECOY_sp|Q9Y2Y6|TMM98_HUMAN Transmembrane protein 98 OS=Homo sapiens OX=9606 GN=TMEM98 PE=1 SV=1
+IASQEQLFGEPGPLGKDPESALAAERLVELHEEAASLSQDIWDLGGTLHCANRTVLVLHSVSLLLATTRADLLKPDLPPYMSKVVDDVRPSIRKAVVIIDSVSASTKMKAGSGMTMAVLKETLTHCIKLIAICHSMLGSADEIWDENELIAEIHPNTIVVDDLELESPESQTEMAGILDVIPKSDYRQLLDRPRCYRQRCVLVLAAFSALFITALVGIAVIVVTEM
+>sp|Q9NZR1|TMOD2_HUMAN Tropomodulin-2 OS=Homo sapiens OX=9606 GN=TMOD2 PE=1 SV=1
+MALPFQKELEKYKNIDEDELLGKLSEEELKQLENVLDDLDPESAMLPAGFRQKDQTQKAATGPFDREHLLMYLEKEALEQKDREDFVPFTGEKKGRVFIPKEKPIETRKEEKVTLDPELEEALASASDTELYDLAAVLGVHNLLNNPKFDEETANNKGGKGPVRNVVKGEKVKPVFEEPPNPTNVEISLQQMKANDPSLQEVNLNNIKNIPIPTLREFAKALETNTHVKKFSLAATRSNDPVAIAFADMLKVNKTLTSLNIESNFITGTGILALVEALKENDTLTEIKIDNQRQQLGTAVEMEIAQMLEENSRILKFGYQFTKQGPRTRVAAAITKNNDLVRKKRVEADRR
+>DECOY_sp|Q9NZR1|TMOD2_HUMAN Tropomodulin-2 OS=Homo sapiens OX=9606 GN=TMOD2 PE=1 SV=1
+RRDAEVRKKRVLDNNKTIAAAVRTRPGQKTFQYGFKLIRSNEELMQAIEMEVATGLQQRQNDIKIETLTDNEKLAEVLALIGTGTIFNSEINLSTLTKNVKLMDAFAIAVPDNSRTAALSFKKVHTNTELAKAFERLTPIPINKINNLNVEQLSPDNAKMQQLSIEVNTPNPPEEFVPKVKEGKVVNRVPGKGGKNNATEEDFKPNNLLNHVGLVAALDYLETDSASALAEELEPDLTVKEEKRTEIPKEKPIFVRGKKEGTFPVFDERDKQELAEKELYMLLHERDFPGTAAKQTQDKQRFGAPLMASEPDLDDLVNELQKLEEESLKGLLEDEDINKYKELEKQFPLAM
+>sp|Q9NZQ9|TMOD4_HUMAN Tropomodulin-4 OS=Homo sapiens OX=9606 GN=TMOD4 PE=2 SV=1
+MSSYQKELEKYRDIDEDEILRTLSPEELEQLDCELQEMDPENMLLPAGLRQRDQTKKSPTGPLDREALLQYLEQQALEVKERDDLVPFTGEKKGKPYIQPKREIPAEEQITLEPELEEALAHATDAEMCDIAAILDMYTLMSNKQYYDALCSGEICNTEGISSVVQPDKYKPVPDEPPNPTNIEEILKRVRSNDKELEEVNLNNIQDIPIPMLSELCEAMKANTYVRSFSLVATRSGDPIANAVADMLRENRSLQSLNIESNFISSTGLMAVLKAVRENATLTELRVDNQRQWPGDAVEMEMATVLEQCPSIVRFGYHFTQQGPRARAAQAMTRNNELRRQQKKR
+>DECOY_sp|Q9NZQ9|TMOD4_HUMAN Tropomodulin-4 OS=Homo sapiens OX=9606 GN=TMOD4 PE=2 SV=1
+RKKQQRRLENNRTMAQAARARPGQQTFHYGFRVISPCQELVTAMEMEVADGPWQRQNDVRLETLTANERVAKLVAMLGTSSIFNSEINLSQLSRNERLMDAVANAIPDGSRTAVLSFSRVYTNAKMAECLESLMPIPIDQINNLNVEELEKDNSRVRKLIEEINTPNPPEDPVPKYKDPQVVSSIGETNCIEGSCLADYYQKNSMLTYMDLIAAIDCMEADTAHALAEELEPELTIQEEAPIERKPQIYPKGKKEGTFPVLDDREKVELAQQELYQLLAERDLPGTPSKKTQDRQRLGAPLLMNEPDMEQLECDLQELEEPSLTRLIEDEDIDRYKELEKQYSSM
+>sp|Q6ZT21|TMPPE_HUMAN Transmembrane protein with metallophosphoesterase domain OS=Homo sapiens OX=9606 GN=TMPPE PE=2 SV=2
+MAIFRQLSLGAKATLAAVTVFVSMIASRSYLAESLELRAWRWLLRLQLALFVNSLLLIGSLYIWRSTVSNLCHSPAAESTCFQLWKVVVLAFLALAHSSFFTMFFLVAEEPYLFSLAAYSCLGAYIIMLFFLFILSGMEQAYQLLAWRSGRVVGSLEKTRKLVLRPALAVGVTAVLSVAGILNAAQPPAVKTVEVPIHQLPASMNNLKIVLLSDIHLGPTVGRTKMEMFVRMVNVLEPDITVIVGDLSDSEASVLRTAVAPLGQLHSHLGAYFVTGNHEYYTSDVSNWFALLESLHVQPLHNENVKISATRAQRGGGGSGSGSEDEDWICLAGVDDIEADILHYSGHGMDLDKALEGCSPDHTIILLAHQPLAAKRALQARPDINLILSGHTHAGQIFPLNVAAYLLNPFFAGLYQVAQATFVYVSPGTAYYGIPMRLGSRAEITELILQRSP
+>DECOY_sp|Q6ZT21|TMPPE_HUMAN Transmembrane protein with metallophosphoesterase domain OS=Homo sapiens OX=9606 GN=TMPPE PE=2 SV=2
+PSRQLILETIEARSGLRMPIGYYATGPSVYVFTAQAVQYLGAFFPNLLYAAVNLPFIQGAHTHGSLILNIDPRAQLARKAALPQHALLIITHDPSCGELAKDLDMGHGSYHLIDAEIDDVGALCIWDEDESGSGSGGGGRQARTASIKVNENHLPQVHLSELLAFWNSVDSTYYEHNGTVFYAGLHSHLQGLPAVATRLVSAESDSLDGVIVTIDPELVNVMRVFMEMKTRGVTPGLHIDSLLVIKLNNMSAPLQHIPVEVTKVAPPQAANLIGAVSLVATVGVALAPRLVLKRTKELSGVVRGSRWALLQYAQEMGSLIFLFFLMIIYAGLCSYAALSFLYPEEAVLFFMTFFSSHALALFALVVVKWLQFCTSEAAPSHCLNSVTSRWIYLSGILLLSNVFLALQLRLLWRWARLELSEALYSRSAIMSVFVTVAALTAKAGLSLQRFIAM
+>sp|O15393|TMPS2_HUMAN Transmembrane protease serine 2 OS=Homo sapiens OX=9606 GN=TMPRSS2 PE=1 SV=3
+MALNSGSPPAIGPYYENHGYQPENPYPAQPTVVPTVYEVHPAQYYPSPVPQYAPRVLTQASNPVVCTQPKSPSGTVCTSKTKKALCITLTLGTFLVGAALAAGLLWKFMGSKCSNSGIECDSSGTCINPSNWCDGVSHCPGGEDENRCVRLYGPNFILQVYSSQRKSWHPVCQDDWNENYGRAACRDMGYKNNFYSSQGIVDDSGSTSFMKLNTSAGNVDIYKKLYHSDACSSKAVVSLRCIACGVNLNSSRQSRIVGGESALPGAWPWQVSLHVQNVHVCGGSIITPEWIVTAAHCVEKPLNNPWHWTAFAGILRQSFMFYGAGYQVEKVISHPNYDSKTKNNDIALMKLQKPLTFNDLVKPVCLPNPGMMLQPEQLCWISGWGATEEKGKTSEVLNAAKVLLIETQRCNSRYVYDNLITPAMICAGFLQGNVDSCQGDSGGPLVTSKNNIWWLIGDTSWGSGCAKAYRPGVYGNVMVFTDWIYRQMRADG
+>DECOY_sp|O15393|TMPS2_HUMAN Transmembrane protease serine 2 OS=Homo sapiens OX=9606 GN=TMPRSS2 PE=1 SV=3
+GDARMQRYIWDTFVMVNGYVGPRYAKACGSGWSTDGILWWINNKSTVLPGGSDGQCSDVNGQLFGACIMAPTILNDYVYRSNCRQTEILLVKAANLVESTKGKEETAGWGSIWCLQEPQLMMGPNPLCVPKVLDNFTLPKQLKMLAIDNNKTKSDYNPHSIVKEVQYGAGYFMFSQRLIGAFATWHWPNNLPKEVCHAATVIWEPTIISGGCVHVNQVHLSVQWPWAGPLASEGGVIRSQRSSNLNVGCAICRLSVVAKSSCADSHYLKKYIDVNGASTNLKMFSTSGSDDVIGQSSYFNNKYGMDRCAARGYNENWDDQCVPHWSKRQSSYVQLIFNPGYLRVCRNEDEGGPCHSVGDCWNSPNICTGSSDCEIGSNSCKSGMFKWLLGAALAAGVLFTGLTLTICLAKKTKSTCVTGSPSKPQTCVVPNSAQTLVRPAYQPVPSPYYQAPHVEYVTPVVTPQAPYPNEPQYGHNEYYPGIAPPSGSNLAM
+>sp|Q9H3S3|TMPS5_HUMAN Transmembrane protease serine 5 OS=Homo sapiens OX=9606 GN=TMPRSS5 PE=1 SV=2
+MSLMLDDQPPMEAQYAEEGPGPGIFRAEPGDQQHPISQAVCWRSMRRGCAVLGALGLLAGAGVGSWLLVLYLCPAASQPISGTLQDEEITLSCSEASAEEALLPALPKTVSFRINSEDFLLEAQVRDQPRWLLVCHEGWSPALGLQICWSLGHLRLTHHKGVNLTDIKLNSSQEFAQLSPRLGGFLEEAWQPRNNCTSGQVVSLRCSECGARPLASRIVGGQSVAPGRWPWQASVALGFRHTCGGSVLAPRWVVTAAHCMHSFRLARLSSWRVHAGLVSHSAVRPHQGALVERIIPHPLYSAQNHDYDVALLRLQTALNFSDTVGAVCLPAKEQHFPKGSRCWVSGWGHTHPSHTYSSDMLQDTVVPLFSTQLCNSSCVYSGALTPRMLCAGYLDGRADACQGDSGGPLVCPDGDTWRLVGVVSWGRGCAEPNHPGVYAKVAEFLDWIHDTAQDSLL
+>DECOY_sp|Q9H3S3|TMPS5_HUMAN Transmembrane protease serine 5 OS=Homo sapiens OX=9606 GN=TMPRSS5 PE=1 SV=2
+LLSDQATDHIWDLFEAVKAYVGPHNPEACGRGWSVVGVLRWTDGDPCVLPGGSDGQCADARGDLYGACLMRPTLAGSYVCSSNCLQTSFLPVVTDQLMDSSYTHSPHTHGWGSVWCRSGKPFHQEKAPLCVAGVTDSFNLATQLRLLAVDYDHNQASYLPHPIIREVLAGQHPRVASHSVLGAHVRWSSLRALRFSHMCHAATVVWRPALVSGGCTHRFGLAVSAQWPWRGPAVSQGGVIRSALPRAGCESCRLSVVQGSTCNNRPQWAEELFGGLRPSLQAFEQSSNLKIDTLNVGKHHTLRLHGLSWCIQLGLAPSWGEHCVLLWRPQDRVQAELLFDESNIRFSVTKPLAPLLAEEASAESCSLTIEEDQLTGSIPQSAAPCLYLVLLWSGVGAGALLGLAGLVACGRRMSRWCVAQSIPHQQDGPEARFIGPGPGEEAYQAEMPPQDDLMLSM
+>sp|Q8N394|TMTC2_HUMAN Transmembrane and TPR repeat-containing protein 2 OS=Homo sapiens OX=9606 GN=TMTC2 PE=2 SV=1
+MIAELVSSALGLALYLNTLSADFCYDDSRAIKTNQDLLPETPWTHIFYNDFWGTLLTHSGSHKSYRPLCTLSFRLNHAIGGLNPWSYHLVNVLLHAAVTGLFTSFSKILLGDGYWTFMAGLMFASHPIHTEAVAGIVGRADVGASLFFLLSLLCYIKHCSTRGYSARTWGWFLGSGLCAGCSMLWKEQGVTVLAVSAVYDVFVFHRLKIKQILPTIYKRKNLSLFLSISLLIFWGSSLLGARLYWMGNKPPSFSNSDNPAADSDSLLTRTLTFFYLPTKNLWLLLCPDTLSFDWSMDAVPLLKTVCDWRNLHTVAFYTGLLLLAYYGLKSPSVDRECNGKTVTNGKQNANGHSCLSDVEYQNSETKSSFASKVENGIKNDVSQRTQLPSTENIVVLSLSLLIIPFVPATNLFFYVGFVIAERVLYIPSMGFCLLITVGARALYVKVQKRFLKSLIFYATATLIVFYGLKTAIRNGDWQNEEMLYRSGIKVNPAKAWGNLGNVLKSQSKISEAESAYRNALYYRSNMADMLYNLGLLLQENSRFAEALHYYKLAIGSRPTLASAYLNTGIILMNQGRTEEARRTFLKCSEIPDENLKDPHAHKSSVTSCLYNLGKLYHEQGHYEEALSVYKEAIQKMPRQFAPQSLYNMMGEAYMRLSKLPEAEHWYMESLRSKTDHIPAHLTYGKLLALTGRKSEAEKLFLKAIELDPTKGNCYMHYGQFLLEEARLIEAAEMAKKAAELDSTEFDVVFNAAHMLRQASLNEAAEKYYDLAARLRPNYPAALMNLGAILHLNGRLQKAEANYLRALQLKPDDVITQSNLRKLWNIMEKQGLKTSKT
+>DECOY_sp|Q8N394|TMTC2_HUMAN Transmembrane and TPR repeat-containing protein 2 OS=Homo sapiens OX=9606 GN=TMTC2 PE=2 SV=1
+TKSTKLGQKEMINWLKRLNSQTIVDDPKLQLARLYNAEAKQLRGNLHLIAGLNMLAAPYNPRLRAALDYYKEAAENLSAQRLMHAANFVVDFETSDLEAAKKAMEAAEILRAEELLFQGYHMYCNGKTPDLEIAKLFLKEAESKRGTLALLKGYTLHAPIHDTKSRLSEMYWHEAEPLKSLRMYAEGMMNYLSQPAFQRPMKQIAEKYVSLAEEYHGQEHYLKGLNYLCSTVSSKHAHPDKLNEDPIESCKLFTRRAEETRGQNMLIIGTNLYASALTPRSGIALKYYHLAEAFRSNEQLLLGLNYLMDAMNSRYYLANRYASEAESIKSQSKLVNGLNGWAKAPNVKIGSRYLMEENQWDGNRIATKLGYFVILTATAYFILSKLFRKQVKVYLARAGVTILLCFGMSPIYLVREAIVFGVYFFLNTAPVFPIILLSLSLVVINETSPLQTRQSVDNKIGNEVKSAFSSKTESNQYEVDSLCSHGNANQKGNTVTKGNCERDVSPSKLGYYALLLLGTYFAVTHLNRWDCVTKLLPVADMSWDFSLTDPCLLLWLNKTPLYFFTLTRTLLSDSDAAPNDSNSFSPPKNGMWYLRAGLLSSGWFILLSISLFLSLNKRKYITPLIQKIKLRHFVFVDYVASVALVTVGQEKWLMSCGACLGSGLFWGWTRASYGRTSCHKIYCLLSLLFFLSAGVDARGVIGAVAETHIPHSAFMLGAMFTWYGDGLLIKSFSTFLGTVAAHLLVNVLHYSWPNLGGIAHNLRFSLTCLPRYSKHSGSHTLLTGWFDNYFIHTWPTEPLLDQNTKIARSDDYCFDASLTNLYLALGLASSVLEAIM
+>sp|Q71RG4|TMUB2_HUMAN Transmembrane and ubiquitin-like domain-containing protein 2 OS=Homo sapiens OX=9606 GN=TMUB2 PE=1 SV=2
+MISRHLQNNLMSVDPASSQAMELSDVTLIEGVGNEVMVVAGVVVLILALVLAWLSTYVADSGSNQLLGAIVSAGDTSVLHLGHVDHLVAGQGNPEPTELPHPSEGNDEKAEEAGEGRGDSTGEAGAGGGVEPSLEHLLDIQGLPKRQAGAGSSSPEAPLRSEDSTCLPPSPGLITVRLKFLNDTEELAVARPEDTVGALKSKYFPGQESQMKLIYQGRLLQDPARTLRSLNITDNCVIHCHRSPPGSAVPGPSASLAPSATEPPSLGVNVGSLMVPVFVVLLGVVWYFRINYRQFFTAPATVSLVGVTVFFSFLVFGMYGR
+>DECOY_sp|Q71RG4|TMUB2_HUMAN Transmembrane and ubiquitin-like domain-containing protein 2 OS=Homo sapiens OX=9606 GN=TMUB2 PE=1 SV=2
+RGYMGFVLFSFFVTVGVLSVTAPATFFQRYNIRFYWVVGLLVVFVPVMLSGVNVGLSPPETASPALSASPGPVASGPPSRHCHIVCNDTINLSRLTRAPDQLLRGQYILKMQSEQGPFYKSKLAGVTDEPRAVALEETDNLFKLRVTILGPSPPLCTSDESRLPAEPSSSGAGAQRKPLGQIDLLHELSPEVGGGAGAEGTSDGRGEGAEEAKEDNGESPHPLETPEPNGQGAVLHDVHGLHLVSTDGASVIAGLLQNSGSDAVYTSLWALVLALILVVVGAVVMVENGVGEILTVDSLEMAQSSAPDVSMLNNQLHRSIM
+>sp|Q96JJ7|TMX3_HUMAN Protein disulfide-isomerase TMX3 OS=Homo sapiens OX=9606 GN=TMX3 PE=1 SV=2
+MAAWKSWTALRLCATVVVLDMVVCKGFVEDLDESFKENRNDDIWLVDFYAPWCGHCKKLEPIWNEVGLEMKSIGSPVKVGKMDATSYSSIASEFGVRGYPTIKLLKGDLAYNYRGPRTKDDIIEFAHRVSGALIRPLPSQQMFEHMQKRHRVFFVYVGGESPLKEKYIDAASELIVYTYFFSASEEVVPEYVTLKEMPAVLVFKDETYFVYDEYEDGDLSSWINRERFQNYLAMDGFLLYELGDTGKLVALAVIDEKNTSVEHTRLKSIIQEVARDYRDLFHRDFQFGHMDGNDYINTLLMDELTVPTVVVLNTSNQQYFLLDRQIKNVEDMVQFINNILDGTVEAQGGDSILQRLKRIVFDAKSTIVSIFKSSPLMGCFLFGLPLGVISIMCYGIYTADTDGGYIEERYEVSKSENENQEQIEESKEQQEPSSGGSVVPTVQEPKDVLEKKKD
+>DECOY_sp|Q96JJ7|TMX3_HUMAN Protein disulfide-isomerase TMX3 OS=Homo sapiens OX=9606 GN=TMX3 PE=1 SV=2
+DKKKELVDKPEQVTPVVSGGSSPEQQEKSEEIQEQNENESKSVEYREEIYGGDTDATYIGYCMISIVGLPLGFLFCGMLPSSKFISVITSKADFVIRKLRQLISDGGQAEVTGDLINNIFQVMDEVNKIQRDLLFYQQNSTNLVVVTPVTLEDMLLTNIYDNGDMHGFQFDRHFLDRYDRAVEQIISKLRTHEVSTNKEDIVALAVLKGTDGLEYLLFGDMALYNQFRERNIWSSLDGDEYEDYVFYTEDKFVLVAPMEKLTVYEPVVEESASFFYTYVILESAADIYKEKLPSEGGVYVFFVRHRKQMHEFMQQSPLPRILAGSVRHAFEIIDDKTRPGRYNYALDGKLLKITPYGRVGFESAISSYSTADMKGVKVPSGISKMELGVENWIPELKKCHGCWPAYFDVLWIDDNRNEKFSEDLDEVFGKCVVMDLVVVTACLRLATWSKWAAM
+>sp|P01374|TNFB_HUMAN Lymphotoxin-alpha OS=Homo sapiens OX=9606 GN=LTA PE=1 SV=2
+MTPPERLFLPRVCGTTLHLLLLGLLLVLLPGAQGLPGVGLTPSAAQTARQHPKMHLAHSTLKPAAHLIGDPSKQNSLLWRANTDRAFLQDGFSLSNNSLLVPTSGIYFVYSQVVFSGKAYSPKATSSPLYLAHEVQLFSSQYPFHVPLLSSQKMVYPGLQEPWLHSMYHGAAFQLTQGDQLSTHTDGIPHLVLSPSTVFFGAFAL
+>DECOY_sp|P01374|TNFB_HUMAN Lymphotoxin-alpha OS=Homo sapiens OX=9606 GN=LTA PE=1 SV=2
+LAFAGFFVTSPSLVLHPIGDTHTSLQDGQTLQFAAGHYMSHLWPEQLGPYVMKQSSLLPVHFPYQSSFLQVEHALYLPSSTAKPSYAKGSFVVQSYVFYIGSTPVLLSNNSLSFGDQLFARDTNARWLLSNQKSPDGILHAAPKLTSHALHMKPHQRATQAASPTLGVGPLGQAGPLLVLLLGLLLLHLTTGCVRPLFLREPPTM
+>sp|P23510|TNFL4_HUMAN Tumor necrosis factor ligand superfamily member 4 OS=Homo sapiens OX=9606 GN=TNFSF4 PE=1 SV=1
+MERVQPLEENVGNAARPRFERNKLLLVASVIQGLGLLLCFTYICLHFSALQVSHRYPRIQSIKVQFTEYKKEKGFILTSQKEDEIMKVQNNSVIINCDGFYLISLKGYFSQEVNISLHYQKDEEPLFQLKKVRSVNSLMVASLTYKDKVYLNVTTDNTSLDDFHVNGGELILIHQNPGEFCVL
+>DECOY_sp|P23510|TNFL4_HUMAN Tumor necrosis factor ligand superfamily member 4 OS=Homo sapiens OX=9606 GN=TNFSF4 PE=1 SV=1
+LVCFEGPNQHILILEGGNVHFDDLSTNDTTVNLYVKDKYTLSAVMLSNVSRVKKLQFLPEEDKQYHLSINVEQSFYGKLSILYFGDCNIIVSNNQVKMIEDEKQSTLIFGKEKKYETFQVKISQIRPYRHSVQLASFHLCIYTFCLLLGLGQIVSAVLLLKNREFRPRAANGVNEELPQVREM
+>sp|Q6ICL3|TNG2_HUMAN Transport and Golgi organization protein 2 homolog OS=Homo sapiens OX=9606 GN=TANGO2 PE=1 SV=1
+MCIIFFKFDPRPVSKNAYRLILAANRDEFYSRPSKLADFWGNNNEILSGLDMEEGKEGGTWLGISTRGKLAALTNYLQPQLDWQARGRGELVTHFLTTDVDSLSYLKKVSMEGHLYNGFNLIAADLSTAKGDVICYYGNRGEPDPIVLTPGTYGLSNALLETPWRKLCFGKQLFLEAVERSQALPKDVLIASLLDVLNNEEAQLPDPAIEDQGGEYVQPMLSKYAAVCVRCPGYGTRTNTIILVDADGHVTFTERSMMDKDLSHWETRTYEFTLQS
+>DECOY_sp|Q6ICL3|TNG2_HUMAN Transport and Golgi organization protein 2 homolog OS=Homo sapiens OX=9606 GN=TANGO2 PE=1 SV=1
+SQLTFEYTRTEWHSLDKDMMSRETFTVHGDADVLIITNTRTGYGPCRVCVAAYKSLMPQVYEGGQDEIAPDPLQAEENNLVDLLSAILVDKPLAQSREVAELFLQKGFCLKRWPTELLANSLGYTGPTLVIPDPEGRNGYYCIVDGKATSLDAAILNFGNYLHGEMSVKKLYSLSDVDTTLFHTVLEGRGRAQWDLQPQLYNTLAALKGRTSIGLWTGGEKGEEMDLGSLIENNNGWFDALKSPRSYFEDRNAALILRYANKSVPRPDFKFFIICM
+>sp|Q15025|TNIP1_HUMAN TNFAIP3-interacting protein 1 OS=Homo sapiens OX=9606 GN=TNIP1 PE=1 SV=2
+MEGRGPYRIYDPGGSVPSGEASAAFERLVKENSRLKEKMQGIKMLGELLEESQMEATRLRQKAEELVKDNELLPPPSPSLGSFDPLAELTGKDSNVTASPTAPACPSDKPAPVQKPPSSGTSSEFEVVTPEEQNSPESSSHANAMALGPLPREDGNLMLHLQRLETTLSVCAEEPDHGQLFTHLGRMALEFNRLASKVHKNEQRTSILQTLCEQLRKENEALKAKLDKGLEQRDQAAERLREENLELKKLLMSNGNKEGASGRPGSPKMEGTGKKAVAGQQQASVTAGKVPEVVALGAAEKKVKMLEQQRSELLEVNKQWDQHFRSMKQQYEQKITELRQKLADLQKQVTDLEAEREQKQRDFDRKLLLAKSKIEMEETDKEQLTAEAKELRQKVKYLQDQLSPLTRQREYQEKEIQRLNKALEEALSIQTPPSSPPTAFGSPEGAGALLRKQELVTQNELLKQQVKIFEEDFQRERSDRERMNEEKEELKKQVEKLQAQVTLSNAQLKAFKDEEKAREALRQQKRKAKASGERYHVEPHPEHLCGAYPYAYPPMPAMVPHHGFEDWSQIRYPPPPMAMEHPPPLPNSRLFHLPEYTWRLPCGGVRNPNQSSQVMDPPTARPTEPESPKNDREGPQ
+>DECOY_sp|Q15025|TNIP1_HUMAN TNFAIP3-interacting protein 1 OS=Homo sapiens OX=9606 GN=TNIP1 PE=1 SV=2
+QPGERDNKPSEPETPRATPPDMVQSSQNPNRVGGCPLRWTYEPLHFLRSNPLPPPHEMAMPPPPYRIQSWDEFGHHPVMAPMPPYAYPYAGCLHEPHPEVHYREGSAKAKRKQQRLAERAKEEDKFAKLQANSLTVQAQLKEVQKKLEEKEENMRERDSRERQFDEEFIKVQQKLLENQTVLEQKRLLAGAGEPSGFATPPSSPPTQISLAEELAKNLRQIEKEQYERQRTLPSLQDQLYKVKQRLEKAEATLQEKDTEEMEIKSKALLLKRDFDRQKQEREAELDTVQKQLDALKQRLETIKQEYQQKMSRFHQDWQKNVELLESRQQELMKVKKEAAGLAVVEPVKGATVSAQQQGAVAKKGTGEMKPSGPRGSAGEKNGNSMLLKKLELNEERLREAAQDRQELGKDLKAKLAENEKRLQECLTQLISTRQENKHVKSALRNFELAMRGLHTFLQGHDPEEACVSLTTELRQLHLMLNGDERPLPGLAMANAHSSSEPSNQEEPTVVEFESSTGSSPPKQVPAPKDSPCAPATPSATVNSDKGTLEALPDFSGLSPSPPPLLENDKVLEEAKQRLRTAEMQSEELLEGLMKIGQMKEKLRSNEKVLREFAASAEGSPVSGGPDYIRYPGRGEM
+>sp|O95271|TNKS1_HUMAN Tankyrase-1 OS=Homo sapiens OX=9606 GN=TNKS PE=1 SV=2
+MAASRRSQHHHHHHQQQLQPAPGASAPPPPPPPPLSPGLAPGTTPASPTASGLAPFASPRHGLALPEGDGSRDPPDRPRSPDPVDGTSCCSTTSTICTVAAAPVVPAVSTSSAAGVAPNPAGSGSNNSPSSSSSPTSSSSSSPSSPGSSLAESPEAAGVSSTAPLGPGAAGPGTGVPAVSGALRELLEACRNGDVSRVKRLVDAANVNAKDMAGRKSSPLHFAAGFGRKDVVEHLLQMGANVHARDDGGLIPLHNACSFGHAEVVSLLLCQGADPNARDNWNYTPLHEAAIKGKIDVCIVLLQHGADPNIRNTDGKSALDLADPSAKAVLTGEYKKDELLEAARSGNEEKLMALLTPLNVNCHASDGRKSTPLHLAAGYNRVRIVQLLLQHGADVHAKDKGGLVPLHNACSYGHYEVTELLLKHGACVNAMDLWQFTPLHEAASKNRVEVCSLLLSHGADPTLVNCHGKSAVDMAPTPELRERLTYEFKGHSLLQAAREADLAKVKKTLALEIINFKQPQSHETALHCAVASLHPKRKQVTELLLRKGANVNEKNKDFMTPLHVAAERAHNDVMEVLHKHGAKMNALDTLGQTALHRAALAGHLQTCRLLLSYGSDPSIISLQGFTAAQMGNEAVQQILSESTPIRTSDVDYRLLEASKAGDLETVKQLCSSQNVNCRDLEGRHSTPLHFAAGYNRVSVVEYLLHHGADVHAKDKGGLVPLHNACSYGHYEVAELLVRHGASVNVADLWKFTPLHEAAAKGKYEICKLLLKHGADPTKKNRDGNTPLDLVKEGDTDIQDLLRGDAALLDAAKKGCLARVQKLCTPENINCRDTQGRNSTPLHLAAGYNNLEVAEYLLEHGADVNAQDKGGLIPLHNAASYGHVDIAALLIKYNTCVNATDKWAFTPLHEAAQKGRTQLCALLLAHGADPTMKNQEGQTPLDLATADDIRALLIDAMPPEALPTCFKPQATVVSASLISPASTPSCLSAASSIDNLTGPLAELAVGGASNAGDGAAGTERKEGEVAGLDMNISQFLKSLGLEHLRDIFETEQITLDVLADMGHEELKEIGINAYGHRHKLIKGVERLLGGQQGTNPYLTFHCVNQGTILLDLAPEDKEYQSVEEEMQSTIREHRDGGNAGGIFNRYNVIRIQKVVNKKLRERFCHRQKEVSEENHNHHNERMLFHGSPFINAIIHKGFDERHAYIGGMFGAGIYFAENSSKSNQYVYGIGGGTGCPTHKDRSCYICHRQMLFCRVTLGKSFLQFSTMKMAHAPPGHHSVIGRPSVNGLAYAEYVIYRGEQAYPEYLITYQIMKPEAPSQTATAAEQKT
+>DECOY_sp|O95271|TNKS1_HUMAN Tankyrase-1 OS=Homo sapiens OX=9606 GN=TNKS PE=1 SV=2
+TKQEAATATQSPAEPKMIQYTILYEPYAQEGRYIVYEAYALGNVSPRGIVSHHGPPAHAMKMTSFQLFSKGLTVRCFLMQRHCIYCSRDKHTPCGTGGGIGYVYQNSKSSNEAFYIGAGFMGGIYAHREDFGKHIIANIFPSGHFLMRENHHNHNEESVEKQRHCFRERLKKNVVKQIRIVNYRNFIGGANGGDRHERITSQMEEEVSQYEKDEPALDLLITGQNVCHFTLYPNTGQQGGLLREVGKILKHRHGYANIGIEKLEEHGMDALVDLTIQETEFIDRLHELGLSKLFQSINMDLGAVEGEKRETGAAGDGANSAGGVALEALPGTLNDISSAASLCSPTSAPSILSASVVTAQPKFCTPLAEPPMADILLARIDDATALDLPTQGEQNKMTPDAGHALLLACLQTRGKQAAEHLPTFAWKDTANVCTNYKILLAAIDVHGYSAANHLPILGGKDQANVDAGHELLYEAVELNNYGAALHLPTSNRGQTDRCNINEPTCLKQVRALCGKKAADLLAADGRLLDQIDTDGEKVLDLPTNGDRNKKTPDAGHKLLLKCIEYKGKAAAEHLPTFKWLDAVNVSAGHRVLLEAVEYHGYSCANHLPVLGGKDKAHVDAGHHLLYEVVSVRNYGAAFHLPTSHRGELDRCNVNQSSCLQKVTELDGAKSAELLRYDVDSTRIPTSESLIQQVAENGMQAATFGQLSIISPDSGYSLLLRCTQLHGALAARHLATQGLTDLANMKAGHKHLVEMVDNHAREAAVHLPTMFDKNKENVNAGKRLLLETVQKRKPHLSAVACHLATEHSQPQKFNIIELALTKKVKALDAERAAQLLSHGKFEYTLRERLEPTPAMDVASKGHCNVLTPDAGHSLLLSCVEVRNKSAAEHLPTFQWLDMANVCAGHKLLLETVEYHGYSCANHLPVLGGKDKAHVDAGHQLLLQVIRVRNYGAALHLPTSKRGDSAHCNVNLPTLLAMLKEENGSRAAELLEDKKYEGTLVAKASPDALDLASKGDTNRINPDAGHQLLVICVDIKGKIAAEHLPTYNWNDRANPDAGQCLLLSVVEAHGFSCANHLPILGGDDRAHVNAGMQLLHEVVDKRGFGAAFHLPSSKRGAMDKANVNAADVLRKVRSVDGNRCAELLERLAGSVAPVGTGPGAAGPGLPATSSVGAAEPSEALSSGPSSPSSSSSSTPSSSSSPSNNSGSGAPNPAVGAASSTSVAPVVPAAAVTCITSTTSCCSTGDVPDPSRPRDPPDRSGDGEPLALGHRPSAFPALGSATPSAPTTGPALGPSLPPPPPPPPASAGPAPQLQQQHHHHHHQSRRSAAM
+>sp|O75365|TP4A3_HUMAN Protein tyrosine phosphatase type IVA 3 OS=Homo sapiens OX=9606 GN=PTP4A3 PE=1 SV=2
+MARMNRPAPVEVSYKHMRFLITHNPTNATLSTFIEDLKKYGATTVVRVCEVTYDKTPLEKDGITVVDWPFDDGAPPPGKVVEDWLSLVKAKFCEAPGSCVAVHCVAGLGRAPVLVALALIESGMKYEDAIQFIRQKRRGAINSKQLTYLEKYRPKQRLRFKDPHTHKTRCCVM
+>DECOY_sp|O75365|TP4A3_HUMAN Protein tyrosine phosphatase type IVA 3 OS=Homo sapiens OX=9606 GN=PTP4A3 PE=1 SV=2
+MVCCRTKHTHPDKFRLRQKPRYKELYTLQKSNIAGRRKQRIFQIADEYKMGSEILALAVLVPARGLGAVCHVAVCSGPAECFKAKVLSLWDEVVKGPPPAGDDFPWDVVTIGDKELPTKDYTVECVRVVTTAGYKKLDEIFTSLTANTPNHTILFRMHKYSVEVPAPRNMRAM
+>sp|Q8IUR0|TPPC5_HUMAN Trafficking protein particle complex subunit 5 OS=Homo sapiens OX=9606 GN=TRAPPC5 PE=1 SV=1
+MEARFTRGKSALLERALARPRTEVSLSAFALLFSELVQHCQSRVFSVAELQSRLAALGRQVGARVLDALVAREKGARRETKVLGALLFVKGAVWKALFGKEADKLEQANDDARTFYIIEREPLINTYISVPKENSTLNCASFTAGIVEAVLTHSGFPAKVTAHWHKGTTLMIKFEEAVIARDRALEGR
+>DECOY_sp|Q8IUR0|TPPC5_HUMAN Trafficking protein particle complex subunit 5 OS=Homo sapiens OX=9606 GN=TRAPPC5 PE=1 SV=1
+RGELARDRAIVAEEFKIMLTTGKHWHATVKAPFGSHTLVAEVIGATFSACNLTSNEKPVSIYTNILPEREIIYFTRADDNAQELKDAEKGFLAKWVAGKVFLLAGLVKTERRAGKERAVLADLVRAGVQRGLAALRSQLEAVSFVRSQCHQVLESFLLAFASLSVETRPRALARELLASKGRTFRAEM
+>sp|Q6ZUI0|TPRG1_HUMAN Tumor protein p63-regulated gene 1 protein OS=Homo sapiens OX=9606 GN=TPRG1 PE=2 SV=1
+MSTIGSFEGFQAVSLKQEGDDQPSETDHLSMEEEDPMPRQISRQSSVTESTLYPNPYHQPYISRKYFATRPGAIETAMEDLKGHVAETSGETIQGFWLLTKIDHWNNEKERILLVTDKTLLICKYDFIMLSCVQLQRIPLSAVYRICLGKFTFPGMSLDKRQGEGLRIYWGSPEEQSLLSRWNPWSTEVPYATFTEHPMKYTSEKFLEICKLSGFMSKLVPAIQNAHKNSTGSGRGKKLMVLTEPILIETYTGLMSFIGNRNKLGYSLARGSIGF
+>DECOY_sp|Q6ZUI0|TPRG1_HUMAN Tumor protein p63-regulated gene 1 protein OS=Homo sapiens OX=9606 GN=TPRG1 PE=2 SV=1
+FGISGRALSYGLKNRNGIFSMLGTYTEILIPETLVMLKKGRGSGTSNKHANQIAPVLKSMFGSLKCIELFKESTYKMPHETFTAYPVETSWPNWRSLLSQEEPSGWYIRLGEGQRKDLSMGPFTFKGLCIRYVASLPIRQLQVCSLMIFDYKCILLTKDTVLLIREKENNWHDIKTLLWFGQITEGSTEAVHGKLDEMATEIAGPRTAFYKRSIYPQHYPNPYLTSETVSSQRSIQRPMPDEEEMSLHDTESPQDDGEQKLSVAQFGEFSGITSM
+>sp|Q9Y3C4|TPRKB_HUMAN EKC/KEOPS complex subunit TPRKB OS=Homo sapiens OX=9606 GN=TPRKB PE=1 SV=1
+MQLTHQLDLFPECRVTLLLFKDVKNAGDLRRKAMEGTIDGSLINPTVIVDPFQILVAANKAVHLYKLGKMKTRTLSTEIIFNLSPNNNISEALKKFGISANDTSILIVYIEEGEKQINQEYLISQVEGHQVSLKNLPEIMNITEVKKIYKLSSQEESIGTLLDAIICRMSTKDVL
+>DECOY_sp|Q9Y3C4|TPRKB_HUMAN EKC/KEOPS complex subunit TPRKB OS=Homo sapiens OX=9606 GN=TPRKB PE=1 SV=1
+LVDKTSMRCIIADLLTGISEEQSSLKYIKKVETINMIEPLNKLSVQHGEVQSILYEQNIQKEGEEIYVILISTDNASIGFKKLAESINNNPSLNFIIETSLTRTKMKGLKYLHVAKNAAVLIQFPDVIVTPNILSGDITGEMAKRRLDGANKVDKFLLLTVRCEPFLDLQHTLQM
+>sp|O60704|TPST2_HUMAN Protein-tyrosine sulfotransferase 2 OS=Homo sapiens OX=9606 GN=TPST2 PE=1 SV=1
+MRLSVRRVLLAAGCALVLVLAVQLGQQVLECRAVLAGLRSPRGAMRPEQEELVMVGTNHVEYRYGKAMPLIFVGGVPRSGTTLMRAMLDAHPEVRCGEETRIIPRVLAMRQAWSKSGREKLRLDEAGVTDEVLDAAMQAFILEVIAKHGEPARVLCNKDPFTLKSSVYLSRLFPNSKFLLMVRDGRASVHSMITRKVTIAGFDLSSYRDCLTKWNKAIEVMYAQCMEVGKEKCLPVYYEQLVLHPRRSLKLILDFLGIAWSDAVLHHEDLIGKPGGVSLSKIERSTDQVIKPVNLEALSKWTGHIPGDVVRDMAQIAPMLAQLGYDPYANPPNYGNPDPFVINNTQRVLKGDYKTPANLKGYFQVNQNSTSSHLGSS
+>DECOY_sp|O60704|TPST2_HUMAN Protein-tyrosine sulfotransferase 2 OS=Homo sapiens OX=9606 GN=TPST2 PE=1 SV=1
+SSGLHSSTSNQNVQFYGKLNAPTKYDGKLVRQTNNIVFPDPNGYNPPNAYPDYGLQALMPAIQAMDRVVDGPIHGTWKSLAELNVPKIVQDTSREIKSLSVGGPKGILDEHHLVADSWAIGLFDLILKLSRRPHLVLQEYYVPLCKEKGVEMCQAYMVEIAKNWKTLCDRYSSLDFGAITVKRTIMSHVSARGDRVMLLFKSNPFLRSLYVSSKLTFPDKNCLVRAPEGHKAIVELIFAQMAADLVEDTVGAEDLRLKERGSKSWAQRMALVRPIIRTEEGCRVEPHADLMARMLTTGSRPVGGVFILPMAKGYRYEVHNTGVMVLEEQEPRMAGRPSRLGALVARCELVQQGLQVALVLVLACGAALLVRRVSLRM
+>sp|Q56UQ5|TPT1L_HUMAN TPT1-like protein OS=Homo sapiens OX=9606 PE=2 SV=2
+METVIMITYWDLISHSEMFSDSYMSQEIADGLRLEVEGKIVSRTEGNIFDSLIGGNASAEGPEGKGTESTVITGVDSVMNHHLQETSFTKEAYNKCIKDYMKSIKGKLEEQRPKRVKPFMTGAAEQIKHILANFKNYQKT
+>DECOY_sp|Q56UQ5|TPT1L_HUMAN TPT1-like protein OS=Homo sapiens OX=9606 PE=2 SV=2
+TKQYNKFNALIHKIQEAAGTMFPKVRKPRQEELKGKISKMYDKICKNYAEKTFSTEQLHHNMVSDVGTIVTSETGKGEPGEASANGGILSDFINGETRSVIKGEVELRLGDAIEQSMYSDSFMESHSILDWYTIMIVTEM
+>sp|Q6XPS3|TPTE2_HUMAN Phosphatidylinositol 3,4,5-trisphosphate 3-phosphatase TPTE2 OS=Homo sapiens OX=9606 GN=TPTE2 PE=1 SV=2
+MNESPQTNEFKGTTEEAPAKESPHTSEFKGAALVSPISKSMLERLSKFEVEDAENVASYDSKIKKIVHSIVSSFAFGIFGVFLVLLDVTLLLADLIFTDSKLYIPLEYRSISLAIGLFFLMDVLLRVFVEGRQQYFSDLFNILDTAIIVIPLLVDVIYIFFDIKLLRNIPRWTHLVRLLRLIILIRIFHLLHQKRQLEKLMRRLVSENKRRYTRDGFDLDLTYVTERIIAMSFPSSGRQSFYRNPIEEVVRFLDKKHRNHYRVYNLCSERAYDPKHFHNRVSRIMIDDHNVPTLHEMVVFTKEVNEWMAQDLENIVAIHCKGGKGRTGTMVCALLIASEIFLTAEESLYYFGERRTNKTHSNKFQGVETPSQNRYVGYFAQVKHLYNWNLPPRRILFIKRFIIYSIRGDVCDLKVQVVMEKKVVFSSTSLGNCSILHDIETDKILINVYDGPPLYDDVKVQFFSSNLPKYYDNCPFFFWFNTSFIQNNRLCLPRNELDNPHKQKAWKIYPPEFAVEILFGEK
+>DECOY_sp|Q6XPS3|TPTE2_HUMAN Phosphatidylinositol 3,4,5-trisphosphate 3-phosphatase TPTE2 OS=Homo sapiens OX=9606 GN=TPTE2 PE=1 SV=2
+KEGFLIEVAFEPPYIKWAKQKHPNDLENRPLCLRNNQIFSTNFWFFFPCNDYYKPLNSSFFQVKVDDYLPPGDYVNILIKDTEIDHLISCNGLSTSSFVVKKEMVVQVKLDCVDGRISYIIFRKIFLIRRPPLNWNYLHKVQAFYGVYRNQSPTEVGQFKNSHTKNTRREGFYYLSEEATLFIESAILLACVMTGTRGKGGKCHIAVINELDQAMWENVEKTFVVMEHLTPVNHDDIMIRSVRNHFHKPDYARESCLNYVRYHNRHKKDLFRVVEEIPNRYFSQRGSSPFSMAIIRETVYTLDLDFGDRTYRRKNESVLRRMLKELQRKQHLLHFIRILIILRLLRVLHTWRPINRLLKIDFFIYIVDVLLPIVIIATDLINFLDSFYQQRGEVFVRLLVDMLFFLGIALSISRYELPIYLKSDTFILDALLLTVDLLVLFVGFIGFAFSSVISHVIKKIKSDYSAVNEADEVEFKSLRELMSKSIPSVLAAGKFESTHPSEKAPAEETTGKFENTQPSENM
+>sp|O00300|TR11B_HUMAN Tumor necrosis factor receptor superfamily member 11B OS=Homo sapiens OX=9606 GN=TNFRSF11B PE=1 SV=3
+MNNLLCCALVFLDISIKWTTQETFPPKYLHYDEETSHQLLCDKCPPGTYLKQHCTAKWKTVCAPCPDHYYTDSWHTSDECLYCSPVCKELQYVKQECNRTHNRVCECKEGRYLEIEFCLKHRSCPPGFGVVQAGTPERNTVCKRCPDGFFSNETSSKAPCRKHTNCSVFGLLLTQKGNATHDNICSGNSESTQKCGIDVTLCEEAFFRFAVPTKFTPNWLSVLVDNLPGTKVNAESVERIKRQHSSQEQTFQLLKLWKHQNKDQDIVKKIIQDIDLCENSVQRHIGHANLTFEQLRSLMESLPGKKVGAEDIEKTIKACKPSDQILKLLSLWRIKNGDQDTLKGLMHALKHSKTYHFPKTVTQSLKKTIRFLHSFTMYKLYQKLFLEMIGNQVQSVKISCL
+>DECOY_sp|O00300|TR11B_HUMAN Tumor necrosis factor receptor superfamily member 11B OS=Homo sapiens OX=9606 GN=TNFRSF11B PE=1 SV=3
+LCSIKVSQVQNGIMELFLKQYLKYMTFSHLFRITKKLSQTVTKPFHYTKSHKLAHMLGKLTDQDGNKIRWLSLLKLIQDSPKCAKITKEIDEAGVKKGPLSEMLSRLQEFTLNAHGIHRQVSNECLDIDQIIKKVIDQDKNQHKWLKLLQFTQEQSSHQRKIREVSEANVKTGPLNDVLVSLWNPTFKTPVAFRFFAEECLTVDIGCKQTSESNGSCINDHTANGKQTLLLGFVSCNTHKRCPAKSSTENSFFGDPCRKCVTNREPTGAQVVGFGPPCSRHKLCFEIELYRGEKCECVRNHTRNCEQKVYQLEKCVPSCYLCEDSTHWSDTYYHDPCPACVTKWKATCHQKLYTGPPCKDCLLQHSTEEDYHLYKPPFTEQTTWKISIDLFVLACCLLNNM
+>sp|Q13114|TRAF3_HUMAN TNF receptor-associated factor 3 OS=Homo sapiens OX=9606 GN=TRAF3 PE=1 SV=2
+MESSKKMDSPGALQTNPPLKLHTDRSAGTPVFVPEQGGYKEKFVKTVEDKYKCEKCHLVLCSPKQTECGHRFCESCMAALLSSSSPKCTACQESIVKDKVFKDNCCKREILALQIYCRNESRGCAEQLMLGHLLVHLKNDCHFEELPCVRPDCKEKVLRKDLRDHVEKACKYREATCSHCKSQVPMIALQKHEDTDCPCVVVSCPHKCSVQTLLRSELSAHLSECVNAPSTCSFKRYGCVFQGTNQQIKAHEASSAVQHVNLLKEWSNSLEKKVSLLQNESVEKNKSIQSLHNQICSFEIEIERQKEMLRNNESKILHLQRVIDSQAEKLKELDKEIRPFRQNWEEADSMKSSVESLQNRVTELESVDKSAGQVARNTGLLESQLSRHDQMLSVHDIRLADMDLRFQVLETASYNGVLIWKIRDYKRRKQEAVMGKTLSLYSQPFYTGYFGYKMCARVYLNGDGMGKGTHLSLFFVIMRGEYDALLPWPFKQKVTLMLMDQGSSRRHLGDAFKPDPNSSSFKKPTGEMNIASGCPVFVAQTVLENGTYIKDDTIFIKVIVDTSDLPDP
+>DECOY_sp|Q13114|TRAF3_HUMAN TNF receptor-associated factor 3 OS=Homo sapiens OX=9606 GN=TRAF3 PE=1 SV=2
+PDPLDSTDVIVKIFITDDKIYTGNELVTQAVFVPCGSAINMEGTPKKFSSSNPDPKFADGLHRRSSGQDMLMLTVKQKFPWPLLADYEGRMIVFFLSLHTGKGMGDGNLYVRACMKYGFYGTYFPQSYLSLTKGMVAEQKRRKYDRIKWILVGNYSATELVQFRLDMDALRIDHVSLMQDHRSLQSELLGTNRAVQGASKDVSELETVRNQLSEVSSKMSDAEEWNQRFPRIEKDLEKLKEAQSDIVRQLHLIKSENNRLMEKQREIEIEFSCIQNHLSQISKNKEVSENQLLSVKKELSNSWEKLLNVHQVASSAEHAKIQQNTGQFVCGYRKFSCTSPANVCESLHASLESRLLTQVSCKHPCSVVVCPCDTDEHKQLAIMPVQSKCHSCTAERYKCAKEVHDRLDKRLVKEKCDPRVCPLEEFHCDNKLHVLLHGLMLQEACGRSENRCYIQLALIERKCCNDKFVKDKVISEQCATCKPSSSSLLAAMCSECFRHGCETQKPSCLVLHCKECKYKDEVTKVFKEKYGGQEPVFVPTGASRDTHLKLPPNTQLAGPSDMKKSSEM
+>sp|P01850|TRBC1_HUMAN T-cell receptor beta-1 chain C region OS=Homo sapiens OX=9606 GN=TRBC1 PE=1 SV=3
+EDLNKVFPPEVAVFEPSEAEISHTQKATLVCLATGFFPDHVELSWWVNGKEVHSGVSTDPQPLKEQPALNDSRYCLSSRLRVSATFWQNPRNHFRCQVQFYGLSENDEWTQDRAKPVTQIVSAEAWGRADCGFTSVSYQQGVLSATILYEILLGKATLYAVLVSALVLMAMVKRKDF
+>DECOY_sp|P01850|TRBC1_HUMAN T-cell receptor beta-1 chain C region OS=Homo sapiens OX=9606 GN=TRBC1 PE=1 SV=3
+FDKRKVMAMLVLASVLVAYLTAKGLLIEYLITASLVGQQYSVSTFGCDARGWAEASVIQTVPKARDQTWEDNESLGYFQVQCRFHNRPNQWFTASVRLRSSLCYRSDNLAPQEKLPQPDTSVGSHVEKGNVWWSLEVHDPFFGTALCVLTAKQTHSIEAESPEFVAVEPPFVKNLDE
+>sp|P07204|TRBM_HUMAN Thrombomodulin OS=Homo sapiens OX=9606 GN=THBD PE=1 SV=2
+MLGVLVLGALALAGLGFPAPAEPQPGGSQCVEHDCFALYPGPATFLNASQICDGLRGHLMTVRSSVAADVISLLLNGDGGVGRRRLWIGLQLPPGCGDPKRLGPLRGFQWVTGDNNTSYSRWARLDLNGAPLCGPLCVAVSAAEATVPSEPIWEEQQCEVKADGFLCEFHFPATCRPLAVEPGAAAAAVSITYGTPFAARGADFQALPVGSSAAVAPLGLQLMCTAPPGAVQGHWAREAPGAWDCSVENGGCEHACNAIPGAPRCQCPAGAALQADGRSCTASATQSCNDLCEHFCVPNPDQPGSYSCMCETGYRLAADQHRCEDVDDCILEPSPCPQRCVNTQGGFECHCYPNYDLVDGECVEPVDPCFRANCEYQCQPLNQTSYLCVCAEGFAPIPHEPHRCQMFCNQTACPADCDPNTQASCECPEGYILDDGFICTDIDECENGGFCSGVCHNLPGTFECICGPDSALARHIGTDCDSGKVDGGDSGSGEPPPSPTPGSTLTPPAVGLVHSGLLIGISIASLCLVVALLALLCHLRKKQGAARAKMEYKCAAPSKEVVLQHVRTERTPQRL
+>DECOY_sp|P07204|TRBM_HUMAN Thrombomodulin OS=Homo sapiens OX=9606 GN=THBD PE=1 SV=2
+LRQPTRETRVHQLVVEKSPAACKYEMKARAAGQKKRLHCLLALLAVVLCLSAISIGILLGSHVLGVAPPTLTSGPTPSPPPEGSGSDGGDVKGSDCDTGIHRALASDPGCICEFTGPLNHCVGSCFGGNECEDIDTCIFGDDLIYGEPCECSAQTNPDCDAPCATQNCFMQCRHPEHPIPAFGEACVCLYSTQNLPQCQYECNARFCPDVPEVCEGDVLDYNPYCHCEFGGQTNVCRQPCPSPELICDDVDECRHQDAALRYGTECMCSYSGPQDPNPVCFHECLDNCSQTASATCSRGDAQLAAGAPCQCRPAGPIANCAHECGGNEVSCDWAGPAERAWHGQVAGPPATCMLQLGLPAVAASSGVPLAQFDAGRAAFPTGYTISVAAAAAGPEVALPRCTAPFHFECLFGDAKVECQQEEWIPESPVTAEAASVAVCLPGCLPAGNLDLRAWRSYSTNNDGTVWQFGRLPGLRKPDGCGPPLQLGIWLRRRGVGGDGNLLLSIVDAAVSSRVTMLHGRLGDCIQSANLFTAPGPYLAFCDHEVCQSGGPQPEAPAPFGLGALALAGLVLVGLM
+>sp|O14717|TRDMT_HUMAN tRNA (cytosine(38)-C(5))-methyltransferase OS=Homo sapiens OX=9606 GN=TRDMT1 PE=1 SV=1
+MEPLRVLELYSGVGGMHHALRESCIPAQVVAAIDVNTVANEVYKYNFPHTQLLAKTIEGITLEEFDRLSFDMILMSPPCQPFTRIGRQGDMTDSRTNSFLHILDILPRLQKLPKYILLENVKGFEVSSTRDLLIQTIENCGFQYQEFLLSPTSLGIPNSRLRYFLIAKLQSEPLPFQAPGQVLMEFPKIESVHPQKYAMDVENKIQEKNVEPNISFDGSIQCSGKDAILFKLETAEEIHRKNQQDSDLSVKMLKDFLEDDTDVNQYLLPPKSLLRYALLLDIVQPTCRRSVCFTKGYGSYIEGTGSVLQTAEDVQVENIYKSLTNLSQEEQITKLLILKLRYFTPKEIANLLGFPPEFGFPEKITVKQRYRLLGNSLNVHVVAKLIKILYE
+>DECOY_sp|O14717|TRDMT_HUMAN tRNA (cytosine(38)-C(5))-methyltransferase OS=Homo sapiens OX=9606 GN=TRDMT1 PE=1 SV=1
+EYLIKILKAVVHVNLSNGLLRYRQKVTIKEPFGFEPPFGLLNAIEKPTFYRLKLILLKTIQEEQSLNTLSKYINEVQVDEATQLVSGTGEIYSGYGKTFCVSRRCTPQVIDLLLAYRLLSKPPLLYQNVDTDDELFDKLMKVSLDSDQQNKRHIEEATELKFLIADKGSCQISGDFSINPEVNKEQIKNEVDMAYKQPHVSEIKPFEMLVQGPAQFPLPESQLKAILFYRLRSNPIGLSTPSLLFEQYQFGCNEITQILLDRTSSVEFGKVNELLIYKPLKQLRPLIDLIHLFSNTRSDTMDGQRGIRTFPQCPPSMLIMDFSLRDFEELTIGEITKALLQTHPFNYKYVENAVTNVDIAAVVQAPICSERLAHHMGGVGSYLELVRLPEM
+>sp|Q13061|TRDN_HUMAN Triadin OS=Homo sapiens OX=9606 GN=TRDN PE=1 SV=4
+MTEITAEGNASTTTTVIDSKNGSVPKSPGKVLKRTVTEDIVTTFSSPAAWLLVIALIITWSAVAIVMFDLVDYKNFSASSIAKIGSDPLKLVRDAMEETTDWIYGFFSLLSDIISSEDEEDDDGDEDTDKGEIDEPPLRKKEIHKDKTEKQEKPERKIQTKVTHKEKEKGKEKVREKEKPEKKATHKEKIEKKEKPETKTLAKEQKKAKTAEKSEEKTKKEVKGGKQEKVKQTAAKVKEVQKTPSKPKEKEDKEKAAVSKHEQKDQYAFCRYMIDIFVHGDLKPGQSPAIPPPLPTEQASRPTPASPALEEKEGEKKKAEKKVTSETKKKEKEDIKKKSEKETAIDVEKKEPGKASETKQGTVKIAAQAAAKKDEKKEDSKKTKKPAEVEQPKGKKQEKKEKHVEPAKSPKKEHSVPSDKQVKAKTERAKEEIGAVSIKKAVPGKKEEKTTKTVEQEIRKEKSGKTSSILKDKEPIKGKEEKVPASLKEKEPETKKDEKMSKAGKEVKPKPPQLQGKKEEKPEPQIKKEAKPAISEKVQIHKQDIVKPEKTVSHGKPEEKVLKQVKAVTIEKTAKPKPTKKAEHREREPPSIKTDKPKPTPKGTSEVTESGKKKTEISEKESKEKADMKHLREEKVSTRKESLQLHNVTKAEKPARVSKDVEDVPASKKAKEGTEDVSPTKQKSPISFFQCVYLDGYNGYGFQFPFTPADRPGESSGQANSPGQKQQGQ
+>DECOY_sp|Q13061|TRDN_HUMAN Triadin OS=Homo sapiens OX=9606 GN=TRDN PE=1 SV=4
+QGQQKQGPSNAQGSSEGPRDAPTFPFQFGYGNYGDLYVCQFFSIPSKQKTPSVDETGEKAKKSAPVDEVDKSVRAPKEAKTVNHLQLSEKRTSVKEERLHKMDAKEKSEKESIETKKKGSETVESTGKPTPKPKDTKISPPERERHEAKKTPKPKATKEITVAKVQKLVKEEPKGHSVTKEPKVIDQKHIQVKESIAPKAEKKIQPEPKEEKKGQLQPPKPKVEKGAKSMKEDKKTEPEKEKLSAPVKEEKGKIPEKDKLISSTKGSKEKRIEQEVTKTTKEEKKGPVAKKISVAGIEEKARETKAKVQKDSPVSHEKKPSKAPEVHKEKKEQKKGKPQEVEAPKKTKKSDEKKEDKKAAAQAAIKVTGQKTESAKGPEKKEVDIATEKESKKKIDEKEKKKTESTVKKEAKKKEGEKEELAPSAPTPRSAQETPLPPPIAPSQGPKLDGHVFIDIMYRCFAYQDKQEHKSVAAKEKDEKEKPKSPTKQVEKVKAATQKVKEQKGGKVEKKTKEESKEATKAKKQEKALTKTEPKEKKEIKEKHTAKKEPKEKERVKEKGKEKEKHTVKTQIKREPKEQKETKDKHIEKKRLPPEDIEGKDTDEDGDDDEEDESSIIDSLLSFFGYIWDTTEEMADRVLKLPDSGIKAISSASFNKYDVLDFMVIAVASWTIILAIVLLWAAPSSFTTVIDETVTRKLVKGPSKPVSGNKSDIVTTTTSANGEATIETM
+>sp|O43280|TREA_HUMAN Trehalase OS=Homo sapiens OX=9606 GN=TREH PE=1 SV=2
+MPGRTWELCLLLLLGLGLGSQEALPPPCESEIYCHGELLNQVQMAKLYQDDKQFVDMPLSIAPEQVLQTFTELSRDHNHSIPREQLQAFVHEHFQAKGQELQPWTPADWKDSPQFLQKISDAKLRAWAGQLHQLWKKLGKKMKPEVLSHPERFSLIYSEHPFIVPGGRFVEFYYWDSYWVMEGLLLSEMAETVKGMLQNFLDLVKTYGHVPNGGRVYYLQRSQPPLLTLMMDCYLTHTNDTAFLQENIETLALELDFWTKNRTVSVSLEGKNYLLNRYYVPYGGPRPESYSKDVELADTLPEGDREALWAELKAGAESGWDFSSRWLIGGPNPNSLSGIRTSKLVPVDLNAFLCQAEELMSNFYSRLGNDSQATKYRILRSQRLAALNTVLWDEQTGAWFDYDLEKKKKNREFYPSNLTPLWAGCFSDPGVADKALKYLEDNRILTYQYGIPTSLQKTGQQWDFPNAWAPLQDLVIRGLAKAPLRRAQEVAFQLAQNWIRTNFDVYSQKSAMYEKYDVSNGGQPGGGGEYEVQEGFGWTNGVVLMLLDRYGDRLTSGAKLAFLEPHCLAATLLPSLLLSLLPW
+>DECOY_sp|O43280|TREA_HUMAN Trehalase OS=Homo sapiens OX=9606 GN=TREH PE=1 SV=2
+WPLLSLLLSPLLTAALCHPELFALKAGSTLRDGYRDLLMLVVGNTWGFGEQVEYEGGGGPQGGNSVDYKEYMASKQSYVDFNTRIWNQALQFAVEQARRLPAKALGRIVLDQLPAWANPFDWQQGTKQLSTPIGYQYTLIRNDELYKLAKDAVGPDSFCGAWLPTLNSPYFERNKKKKELDYDFWAGTQEDWLVTNLAALRQSRLIRYKTAQSDNGLRSYFNSMLEEAQCLFANLDVPVLKSTRIGSLSNPNPGGILWRSSFDWGSEAGAKLEAWLAERDGEPLTDALEVDKSYSEPRPGGYPVYYRNLLYNKGELSVSVTRNKTWFDLELALTEINEQLFATDNTHTLYCDMMLTLLPPQSRQLYYVRGGNPVHGYTKVLDLFNQLMGKVTEAMESLLLGEMVWYSDWYYFEVFRGGPVIFPHESYILSFREPHSLVEPKMKKGLKKWLQHLQGAWARLKADSIKQLFQPSDKWDAPTWPQLEQGKAQFHEHVFAQLQERPISHNHDRSLETFTQLVQEPAISLPMDVFQKDDQYLKAMQVQNLLEGHCYIESECPPPLAEQSGLGLGLLLLLCLEWTRGPM
+>sp|Q9UDY6|TRI10_HUMAN Tripartite motif-containing protein 10 OS=Homo sapiens OX=9606 GN=TRIM10 PE=1 SV=3
+MASAASVTSLADEVNCPICQGTLREPVTIDCGHNFCRACLTRYCEIPGPDLEESPTCPLCKEPFRPGSFRPNWQLANVVENIERLQLVSTLGLGEEDVCQEHGEKIYFFCEDDEMQLCVVCREAGEHATHTMRFLEDAAAPYREQIHKCLKCLRKEREEIQEIQSRENKRMQVLLTQVSTKRQQVISEFAHLRKFLEEQQSILLAQLESQDGDILRQRDEFDLLVAGEICRFSALIEELEEKNERPARELLTDIRSTLIRCETRKCRKPVAVSPELGQRIRDFPQQALPLQREMKMFLEKLCFELDYEPAHISLDPQTSHPKLLLSEDHQRAQFSYKWQNSPDNPQRFDRATCVLAHTGITGGRHTWVVSIDLAHGGSCTVGVVSEDVQRKGELRLRPEEGVWAVRLAWGFVSALGSFPTRLTLKEQPRQVRVSLDYEVGWVTFTNAVTREPIYTFTASFTRKVIPFFGLWGRGSSFSLSS
+>DECOY_sp|Q9UDY6|TRI10_HUMAN Tripartite motif-containing protein 10 OS=Homo sapiens OX=9606 GN=TRIM10 PE=1 SV=3
+SSLSFSSGRGWLGFFPIVKRTFSATFTYIPERTVANTFTVWGVEYDLSVRVQRPQEKLTLRTPFSGLASVFGWALRVAWVGEEPRLRLEGKRQVDESVVGVTCSGGHALDISVVWTHRGGTIGTHALVCTARDFRQPNDPSNQWKYSFQARQHDESLLLKPHSTQPDLSIHAPEYDLEFCLKELFMKMERQLPLAQQPFDRIRQGLEPSVAVPKRCKRTECRILTSRIDTLLERAPRENKEELEEILASFRCIEGAVLLDFEDRQRLIDGDQSELQALLISQQEELFKRLHAFESIVQQRKTSVQTLLVQMRKNERSQIEQIEEREKRLCKLCKHIQERYPAAADELFRMTHTAHEGAERCVVCLQMEDDECFFYIKEGHEQCVDEEGLGLTSVLQLREINEVVNALQWNPRFSGPRFPEKCLPCTPSEELDPGPIECYRTLCARCFNHGCDITVPERLTGQCIPCNVEDALSTVSAASAM
+>sp|Q14142|TRI14_HUMAN Tripartite motif-containing protein 14 OS=Homo sapiens OX=9606 GN=TRIM14 PE=1 SV=2
+MAGAATGSRTPGRSELVEGCGWRCPEHGDRVAELFCRRCRRCVCALCPVLGAHRGHPVGLALEAAVHVQKLSQECLKQLAIKKQQHIDNITQIEDATEKLKANAESSKTWLKGKFTELRLLLDEEEALAKKFIDKNTQLTLQVYREQADSCREQLDIMNDLSNRVWSISQEPDPVQRLQAYTATEQEMQQQMSLGELCHPVPLSFEPVKSFFKGLVEAVESTLQTPLDIRLKESINCQLSDPSSTKPGTLLKTSPSPERSLLLKYARTPTLDPDTMHARLRLSADRLTVRCGLLGSLGPVPVLRFDALWQVLARDCFATGRHYWEVDVQEAGAGWWVGAAYASLRRRGASAAARLGCNRQSWCLKRYDLEYWAFHDGQRSRLRPRDDLDRLGVFLDYEAGVLAFYDVTGGMSHLHTFRATFQEPLYPALRLWEGAISIPRLP
+>DECOY_sp|Q14142|TRI14_HUMAN Tripartite motif-containing protein 14 OS=Homo sapiens OX=9606 GN=TRIM14 PE=1 SV=2
+PLRPISIAGEWLRLAPYLPEQFTARFTHLHSMGGTVDYFALVGAEYDLFVGLRDLDDRPRLRSRQGDHFAWYELDYRKLCWSQRNCGLRAAASAGRRRLSAYAAGVWWGAGAEQVDVEWYHRGTAFCDRALVQWLADFRLVPVPGLSGLLGCRVTLRDASLRLRAHMTDPDLTPTRAYKLLLSREPSPSTKLLTGPKTSSPDSLQCNISEKLRIDLPTQLTSEVAEVLGKFFSKVPEFSLPVPHCLEGLSMQQQMEQETATYAQLRQVPDPEQSISWVRNSLDNMIDLQERCSDAQERYVQLTLQTNKDIFKKALAEEEDLLLRLETFKGKLWTKSSEANAKLKETADEIQTINDIHQQKKIALQKLCEQSLKQVHVAAELALGVPHGRHAGLVPCLACVCRRCRRCFLEAVRDGHEPCRWGCGEVLESRGPTRSGTAAGAM
+>sp|Q8IYM9|TRI22_HUMAN E3 ubiquitin-protein ligase TRIM22 OS=Homo sapiens OX=9606 GN=TRIM22 PE=1 SV=1
+MDFSVKVDIEKEVTCPICLELLTEPLSLDCGHSFCQACITAKIKESVIISRGESSCPVCQTRFQPGNLRPNRHLANIVERVKEVKMSPQEGQKRDVCEHHGKKLQIFCKEDGKVICWVCELSQEHQGHQTFRINEVVKECQEKLQVALQRLIKEDQEAEKLEDDIRQERTAWKNYIQIERQKILKGFNEMRVILDNEEQRELQKLEEGEVNVLDNLAAATDQLVQQRQDASTLISDLQRRLRGSSVEMLQDVIDVMKRSESWTLKKPKSVSKKLKSVFRVPDLSGMLQVLKELTDVQYYWVDVMLNPGSATSNVAISVDQRQVKTVRTCTFKNSNPCDFSAFGVFGCQYFSSGKYYWEVDVSGKIAWILGVHSKISSLNKRKSSGFAFDPSVNYSKVYSRYRPQYGYWVIGLQNTCEYNAFEDSSSSDPKVLTLFMAVPPCRIGVFLDYEAGIVSFFNVTNHGALIYKFSGCRFSRPAYPYFNPWNCLVPMTVCPPSS
+>DECOY_sp|Q8IYM9|TRI22_HUMAN E3 ubiquitin-protein ligase TRIM22 OS=Homo sapiens OX=9606 GN=TRIM22 PE=1 SV=1
+SSPPCVTMPVLCNWPNFYPYAPRSFRCGSFKYILAGHNTVNFFSVIGAEYDLFVGIRCPPVAMFLTLVKPDSSSSDEFANYECTNQLGIVWYGYQPRYRSYVKSYNVSPDFAFGSSKRKNLSSIKSHVGLIWAIKGSVDVEWYYKGSSFYQCGFVGFASFDCPNSNKFTCTRVTKVQRQDVSIAVNSTASGPNLMVDVWYYQVDTLEKLVQLMGSLDPVRFVSKLKKSVSKPKKLTWSESRKMVDIVDQLMEVSSGRLRRQLDSILTSADQRQQVLQDTAAALNDLVNVEGEELKQLERQEENDLIVRMENFGKLIKQREIQIYNKWATREQRIDDELKEAEQDEKILRQLAVQLKEQCEKVVENIRFTQHGQHEQSLECVWCIVKGDEKCFIQLKKGHHECVDRKQGEQPSMKVEKVREVINALHRNPRLNGPQFRTQCVPCSSEGRSIIVSEKIKATICAQCFSHGCDLSLPETLLELCIPCTVEKEIDVKVSFDM
+>sp|P36406|TRI23_HUMAN E3 ubiquitin-protein ligase TRIM23 OS=Homo sapiens OX=9606 GN=TRIM23 PE=1 SV=1
+MATLVVNKLGAGVDSGRQGSRGTAVVKVLECGVCEDVFSLQGDKVPRLLLCGHTVCHDCLTRLPLHGRAIRCPFDRQVTDLGDSGVWGLKKNFALLELLERLQNGPIGQYGAAEESIGISGESIIRCDEDEAHLASVYCTVCATHLCSECSQVTHSTKTLAKHRRVPLADKPHEKTMCSQHQVHAIEFVCLEEGCQTSPLMCCVCKEYGKHQGHKHSVLEPEANQIRASILDMAHCIRTFTEEISDYSRKLVGIVQHIEGGEQIVEDGIGMAHTEHVPGTAENARSCIRAYFYDLHETLCRQEEMALSVVDAHVREKLIWLRQQQEDMTILLSEVSAACLHCEKTLQQDDCRVVLAKQEITRLLETLQKQQQQFTEVADHIQLDASIPVTFTKDNRVHIGPKMEIRVVTLGLDGAGKTTILFKLKQDEFMQPIPTIGFNVETVEYKNLKFTIWDVGGKHKLRPLWKHYYLNTQAVVFVVDSSHRDRISEAHSELAKLLTEKELRDALLLIFANKQDVAGALSVEEITELLSLHKLCCGRSWYIQGCDARSGMGLYEGLDWLSRQLVAAGVLDVA
+>DECOY_sp|P36406|TRI23_HUMAN E3 ubiquitin-protein ligase TRIM23 OS=Homo sapiens OX=9606 GN=TRIM23 PE=1 SV=1
+AVDLVGAAVLQRSLWDLGEYLGMGSRADCGQIYWSRGCCLKHLSLLETIEEVSLAGAVDQKNAFILLLADRLEKETLLKALESHAESIRDRHSSDVVFVVAQTNLYYHKWLPRLKHKGGVDWITFKLNKYEVTEVNFGITPIPQMFEDQKLKFLITTKGAGDLGLTVVRIEMKPGIHVRNDKTFTVPISADLQIHDAVETFQQQQKQLTELLRTIEQKALVVRCDDQQLTKECHLCAASVESLLITMDEQQQRLWILKERVHADVVSLAMEEQRCLTEHLDYFYARICSRANEATGPVHETHAMGIGDEVIQEGGEIHQVIGVLKRSYDSIEETFTRICHAMDLISARIQNAEPELVSHKHGQHKGYEKCVCCMLPSTQCGEELCVFEIAHVQHQSCMTKEHPKDALPVRRHKALTKTSHTVQSCESCLHTACVTCYVSALHAEDEDCRIISEGSIGISEEAAGYQGIPGNQLRELLELLAFNKKLGWVGSDGLDTVQRDFPCRIARGHLPLRTLCDHCVTHGCLLLRPVKDGQLSFVDECVGCELVKVVATGRSGQRGSDVGAGLKNVVLTAM
+>sp|Q9BZY9|TRI31_HUMAN E3 ubiquitin-protein ligase TRIM31 OS=Homo sapiens OX=9606 GN=TRIM31 PE=1 SV=2
+MASGQFVNKLQEEVICPICLDILQKPVTIDCGHNFCLKCITQIGETSCGFFKCPLCKTSVRKNAIRFNSLLRNLVEKIQALQASEVQSKRKEATCPRHQEMFHYFCEDDGKFLCFVCRESKDHKSHNVSLIEEAAQNYQGQIQEQIQVLQQKEKETVQVKAQGVHRVDVFTDQVEHEKQRILTEFELLHQVLEEEKNFLLSRIYWLGHEGTEAGKHYVASTEPQLNDLKKLVDSLKTKQNMPPRQLLEDIKVVLCRSEEFQFLNPTPVPLELEKKLSEAKSRHDSITGSLKKFKDQLQADRKKDENRFFKSMNKNDMKSWGLLQKNNHKMNKTSEPGSSSAGGRTTSGPPNHHSSAPSHSLFRASSAGKVTFPVCLLASYDEISGQGASSQDTKTFDVALSEELHAALSEWLTAIRAWFCEVPSS
+>DECOY_sp|Q9BZY9|TRI31_HUMAN E3 ubiquitin-protein ligase TRIM31 OS=Homo sapiens OX=9606 GN=TRIM31 PE=1 SV=2
+SSPVECFWARIATLWESLAAHLEESLAVDFTKTDQSSAGQGSIEDYSALLCVPFTVKGASSARFLSHSPASSHHNPPGSTTRGGASSSGPESTKNMKHNNKQLLGWSKMDNKNMSKFFRNEDKKRDAQLQDKFKKLSGTISDHRSKAESLKKELELPVPTPNLFQFEESRCLVVKIDELLQRPPMNQKTKLSDVLKKLDNLQPETSAVYHKGAETGEHGLWYIRSLLFNKEEELVQHLLEFETLIRQKEHEVQDTFVDVRHVGQAKVQVTEKEKQQLVQIQEQIQGQYNQAAEEILSVNHSKHDKSERCVFCLFKGDDECFYHFMEQHRPCTAEKRKSQVESAQLAQIKEVLNRLLSNFRIANKRVSTKCLPCKFFGCSTEGIQTICKLCFNHGCDITVPKQLIDLCIPCIVEEQLKNVFQGSAM
+>sp|Q8N7M0|TC1D1_HUMAN Tctex1 domain-containing protein 1 OS=Homo sapiens OX=9606 GN=TCTEX1D1 PE=1 SV=2
+MMMSDNAKGRAAHSWKKRGSISSLSNHEFWRKEIHGRIKDSMSTVSYMEEPSQRDDISRLTVQMENTYQLGPPKHFPVVTVNHILKDVVTSYLQVEEYEPELCRQMTKTISEVIKAQVKDLMIPRYKLIVIVHIGQLNRQSILIGSRCLWDPKSDTFSSYVFRNSSLFALANVYAVYLE
+>DECOY_sp|Q8N7M0|TC1D1_HUMAN Tctex1 domain-containing protein 1 OS=Homo sapiens OX=9606 GN=TCTEX1D1 PE=1 SV=2
+ELYVAYVNALAFLSSNRFVYSSFTDSKPDWLCRSGILISQRNLQGIHVIVILKYRPIMLDKVQAKIVESITKTMQRCLEPEYEEVQLYSTVVDKLIHNVTVVPFHKPPGLQYTNEMQVTLRSIDDRQSPEEMYSVTSMSDKIRGHIEKRWFEHNSLSSISGRKKWSHAARGKANDSMMM
+>sp|Q8IZS6|TC1D3_HUMAN Tctex1 domain-containing protein 3 OS=Homo sapiens OX=9606 GN=TCTE3 PE=1 SV=1
+MEKRGRGVKSSPIQTPNQTPQQAPVTPRKERRPSMFEKEAYTQILRERLRESIHNVQYVEPPFDDSIADIGKEWKSALAKLKFANSYRMEPLKKFQAHSVETKVQQILTESLKDVKYDDKVFSHLSLELADRILLAVKEFGYHRYKFIIKVLFIQKTGQAINIASRWIWDIAWDSWVAAKHEAESYVALVLVFALYYE
+>DECOY_sp|Q8IZS6|TC1D3_HUMAN Tctex1 domain-containing protein 3 OS=Homo sapiens OX=9606 GN=TCTE3 PE=1 SV=1
+EYYLAFVLVLAVYSEAEHKAAVWSDWAIDWIWRSAINIAQGTKQIFLVKIIFKYRHYGFEKVALLIRDALELSLHSFVKDDYKVDKLSETLIQQVKTEVSHAQFKKLPEMRYSNAFKLKALASKWEKGIDAISDDFPPEVYQVNHISERLRERLIQTYAEKEFMSPRREKRPTVPAQQPTQNPTQIPSSKVGRGRKEM
+>sp|Q8N3R3|TCAIM_HUMAN T-cell activation inhibitor, mitochondrial OS=Homo sapiens OX=9606 GN=TCAIM PE=2 SV=2
+MFCHLRPMRRLCLEKIFPHWFPFSRALSGAEAVNALRPFYFAVHPDFFGQHPVEREINENSLKRLSVYLENLQKPGFKSLKPTQLTFYVRETDQSSSDGQEPFSTSGFRAVKFTLHTRDLLSTVLYILNSCSLSVEHIQSLNTNMHTQPLKEAKRMPDRPIKWDKSYYSFTGFKDPDEDLEQVSRVETTLTSWLDNNGKSAVKKLKNSLPLRKELDRLKDELSHQLQLSDIRWQRSWGIAHRCSQLHSLSRLAQQNLETLKKAKGCTIIFTDRSGMSAVGHVMLGTMDVHHHWTKLFERLPSYFDLQRRLMILEDQISYLLGGIQVVYIEELQPVLTLEEYYSLLDVFYNRLLKSRILFHPRSLRGLQMILNSDRYAPSLHELGHFNIPTLCDPANLQWFILTKAQQARENMKRKEELKVIENELIQASTKKFSLEKLYKEPSISSIQMVDCCKRLLEQSLPYLHGMHLCISHFYSVMQDGDLCIPWNWKNGEAIK
+>DECOY_sp|Q8N3R3|TCAIM_HUMAN T-cell activation inhibitor, mitochondrial OS=Homo sapiens OX=9606 GN=TCAIM PE=2 SV=2
+KIAEGNKWNWPICLDGDQMVSYFHSICLHMGHLYPLSQELLRKCCDVMQISSISPEKYLKELSFKKTSAQILENEIVKLEEKRKMNERAQQAKTLIFWQLNAPDCLTPINFHGLEHLSPAYRDSNLIMQLGRLSRPHFLIRSKLLRNYFVDLLSYYEELTLVPQLEEIYVVQIGGLLYSIQDELIMLRRQLDFYSPLREFLKTWHHHVDMTGLMVHGVASMGSRDTFIITCGKAKKLTELNQQALRSLSHLQSCRHAIGWSRQWRIDSLQLQHSLEDKLRDLEKRLPLSNKLKKVASKGNNDLWSTLTTEVRSVQELDEDPDKFGTFSYYSKDWKIPRDPMRKAEKLPQTHMNTNLSQIHEVSLSCSNLIYLVTSLLDRTHLTFKVARFGSTSFPEQGDSSSQDTERVYFTLQTPKLSKFGPKQLNELYVSLRKLSNENIEREVPHQGFFDPHVAFYFPRLANVAEAGSLARSFPFWHPFIKELCLRRMPRLHCFM
+>sp|Q15170|TCAL1_HUMAN Transcription elongation factor A protein-like 1 OS=Homo sapiens OX=9606 GN=TCEAL1 PE=1 SV=2
+MDKPRKENEEEPQSRPRPMRRGLRWSTLPKSSPPRSSLRRSSPRRRSSFLRSSCLSSCLRCSSRRTPSAGLSRKDLFEGRPPMEQPPCGVGKHKLEEGSFKERLARSRPQFRGDIHGRNLSNEEMIQAADELEEMKRVRNKLMIMHWKAKRSRPYPI
+>DECOY_sp|Q15170|TCAL1_HUMAN Transcription elongation factor A protein-like 1 OS=Homo sapiens OX=9606 GN=TCEAL1 PE=1 SV=2
+IPYPRSRKAKWHMIMLKNRVRKMEELEDAAQIMEENSLNRGHIDGRFQPRSRALREKFSGEELKHKGVGCPPQEMPPRGEFLDKRSLGASPTRRSSCRLCSSLCSSRLFSSRRRPSSRRLSSRPPSSKPLTSWRLGRRMPRPRSQPEEENEKRPKDM
+>sp|Q969E4|TCAL3_HUMAN Transcription elongation factor A protein-like 3 OS=Homo sapiens OX=9606 GN=TCEAL3 PE=1 SV=1
+MEKPYNKNEGNLENEGKPEDEVEPDDEGKSDEEEKPDVEGKTECEGKREDEGEPGDEGQLEDEGSQEKQGRSEGEGKPQGEGKPASQAKPESQPRAAEKRPAEDYVPRKAKRKTDRGTDDSPKDSQEDLQERHLSSEEMMRECGDVSRAQEELRKKQKMGGFHWMQRDVQDPFAPRGQRGVRGVRGGGRGQRGLHDIPYL
+>DECOY_sp|Q969E4|TCAL3_HUMAN Transcription elongation factor A protein-like 3 OS=Homo sapiens OX=9606 GN=TCEAL3 PE=1 SV=1
+LYPIDHLGRQGRGGGRVGRVGRQGRPAFPDQVDRQMWHFGGMKQKKRLEEQARSVDGCERMMEESSLHREQLDEQSDKPSDDTGRDTKRKAKRPVYDEAPRKEAARPQSEPKAQSAPKGEGQPKGEGESRGQKEQSGEDELQGEDGPEGEDERKGECETKGEVDPKEEEDSKGEDDPEVEDEPKGENELNGENKNYPKEM
+>sp|Q9UHQ7|TCAL9_HUMAN Transcription elongation factor A protein-like 9 OS=Homo sapiens OX=9606 GN=TCEAL9 PE=3 SV=1
+MKSCQKMEGKPENESEPKHEEEPKPEEKPEEEEKLEEEAKAKGTFRERLIQSLQEFKEDIHNRHLSNEDMFREVDEIDEIRRVRNKLIVMRWKVNRNHPYPYLM
+>DECOY_sp|Q9UHQ7|TCAL9_HUMAN Transcription elongation factor A protein-like 9 OS=Homo sapiens OX=9606 GN=TCEAL9 PE=3 SV=1
+MLYPYPHNRNVKWRMVILKNRVRRIEDIEDVERFMDENSLHRNHIDEKFEQLSQILRERFTGKAKAEEELKEEEEPKEEPKPEEEHKPESENEPKGEMKQCSKM
+>sp|Q8IUC6|TCAM1_HUMAN TIR domain-containing adapter molecule 1 OS=Homo sapiens OX=9606 GN=TICAM1 PE=1 SV=1
+MACTGPSLPSAFDILGAAGQDKLLYLKHKLKTPRPGCQGQDLLHAMVLLKLGQETEARISLEALKADAVARLVARQWAGVDSTEDPEEPPDVSWAVARLYHLLAEEKLCPASLRDVAYQEAVRTLSSRDDHRLGELQDEARNRCGWDIAGDPGSIRTLQSNLGCLPPSSALPSGTRSLPRPIDGVSDWSQGCSLRSTGSPASLASNLEISQSPTMPFLSLHRSPHGPSKLCDDPQASLVPEPVPGGCQEPEEMSWPPSGEIASPPELPSSPPPGLPEVAPDATSTGLPDTPAAPETSTNYPVECTEGSAGPQSLPLPILEPVKNPCSVKDQTPLQLSVEDTTSPNTKPCPPTPTTPETSPPPPPPPPSSTPCSAHLTPSSLFPSSLESSSEQKFYNFVILHARADEHIALRVREKLEALGVPDGATFCEDFQVPGRGELSCLQDAIDHSAFIILLLTSNFDCRLSLHQVNQAMMSNLTRQGSPDCVIPFLPLESSPAQLSSDTASLLSGLVRLDEHSQIFARKVANTFKPHRLQARKAMWRKEQDTRALREQSQHLDGERMQAAALNAAYSAYLQSYLSYQAQMEQLQVAFGSHMSFGTGAPYGARMPFGGQVPLGAPPPFPTWPGCPQPPPLHAWQAGTPPPPSPQPAAFPQSLPFPQSPAFPTASPAPPQSPGLQPLIIHHAQMVQLGLNNHMWNQRGSQAPEDKTQEAE
+>DECOY_sp|Q8IUC6|TCAM1_HUMAN TIR domain-containing adapter molecule 1 OS=Homo sapiens OX=9606 GN=TICAM1 PE=1 SV=1
+EAEQTKDEPAQSGRQNWMHNNLGLQVMQAHHIILPQLGPSQPPAPSATPFAPSQPFPLSQPFAAPQPSPPPPTGAQWAHLPPPQPCGPWTPFPPPAGLPVQGGFPMRAGYPAGTGFSMHSGFAVQLQEMQAQYSLYSQLYASYAANLAAAQMREGDLHQSQERLARTDQEKRWMAKRAQLRHPKFTNAVKRAFIQSHEDLRVLGSLLSATDSSLQAPSSELPLFPIVCDPSGQRTLNSMMAQNVQHLSLRCDFNSTLLLIIFASHDIADQLCSLEGRGPVQFDECFTAGDPVGLAELKERVRLAIHEDARAHLIVFNYFKQESSSELSSPFLSSPTLHASCPTSSPPPPPPPPSTEPTTPTPPCPKTNPSTTDEVSLQLPTQDKVSCPNKVPELIPLPLSQPGASGETCEVPYNTSTEPAAPTDPLGTSTADPAVEPLGPPPSSPLEPPSAIEGSPPWSMEEPEQCGGPVPEPVLSAQPDDCLKSPGHPSRHLSLFPMTPSQSIELNSALSAPSGTSRLSCGQSWDSVGDIPRPLSRTGSPLASSPPLCGLNSQLTRISGPDGAIDWGCRNRAEDQLEGLRHDDRSSLTRVAEQYAVDRLSAPCLKEEALLHYLRAVAWSVDPPEEPDETSDVGAWQRAVLRAVADAKLAELSIRAETEQGLKLLVMAHLLDQGQCGPRPTKLKHKLYLLKDQGAAGLIDFASPLSPGTCAM
+>sp|Q7RTU1|TCF23_HUMAN Transcription factor 23 OS=Homo sapiens OX=9606 GN=TCF23 PE=2 SV=1
+MSQRKARGPPAMPGVGHSQTQAKARLLPGADRKRSRLSRTRQDPWEERSWSNQRWSRATPGPRGTRAGGLALGRSEASPENAARERSRVRTLRQAFLALQAALPAVPPDTKLSKLDVLVLAASYIAHLTRTLGHELPGPAWPPFLRGLRYLHPLKKWPMRSRLYAGGLGYSDLDSTTASTPSQRTRDAEVGSQVPGEADALLSTTPLSPALGDK
+>DECOY_sp|Q7RTU1|TCF23_HUMAN Transcription factor 23 OS=Homo sapiens OX=9606 GN=TCF23 PE=2 SV=1
+KDGLAPSLPTTSLLADAEGPVQSGVEADRTRQSPTSATTSDLDSYGLGGAYLRSRMPWKKLPHLYRLGRLFPPWAPGPLEHGLTRTLHAIYSAALVLVDLKSLKTDPPVAPLAAQLALFAQRLTRVRSRERAANEPSAESRGLALGGARTGRPGPTARSWRQNSWSREEWPDQRTRSLRSRKRDAGPLLRAKAQTQSHGVGPMAPPGRAKRQSM
+>sp|P36402|TCF7_HUMAN Transcription factor 7 OS=Homo sapiens OX=9606 GN=TCF7 PE=1 SV=3
+MPQLDSGGGGAGGGDDLGAPDELLAFQDEGEEQDDKSRDSAAGPERDLAELKSSLVNESEGAAGGAGIPGVPGAGAGARGEAEALGREHAAQRLFPDKLPEPLEDGLKAPECTSGMYKETVYSAFNLLMHYPPPSGAGQHPQPQPPLHKANQPPHGVPQLSLYEHFNSPHPTPAPADISQKQVHRPLQTPDLSGFYSLTSGSMGQLPHTVSWFTHPSLMLGSGVPGHPAAIPHPAIVPPSGKQELQPFDRNLKTQAESKAEKEAKKPTIKKPLNAFMLYMKEMRAKVIAECTLKESAAINQILGRRWHALSREEQAKYYELARKERQLHMQLYPGWSARDNYGKKKRRSREKHQESTTETNWPRELKDGNGQESLSMSSSSSPA
+>DECOY_sp|P36402|TCF7_HUMAN Transcription factor 7 OS=Homo sapiens OX=9606 GN=TCF7 PE=1 SV=3
+APSSSSSMSLSEQGNGDKLERPWNTETTSEQHKERSRRKKKGYNDRASWGPYLQMHLQREKRALEYYKAQEERSLAHWRRGLIQNIAASEKLTCEAIVKARMEKMYLMFANLPKKITPKKAEKEAKSEAQTKLNRDFPQLEQKGSPPVIAPHPIAAPHGPVGSGLMLSPHTFWSVTHPLQGMSGSTLSYFGSLDPTQLPRHVQKQSIDAPAPTPHPSNFHEYLSLQPVGHPPQNAKHLPPQPQPHQGAGSPPPYHMLLNFASYVTEKYMGSTCEPAKLGDELPEPLKDPFLRQAAHERGLAEAEGRAGAGAGPVGPIGAGGAAGESENVLSSKLEALDREPGAASDRSKDDQEEGEDQFALLEDPAGLDDGGGAGGGGSDLQPM
+>sp|Q9BT92|TCHP_HUMAN Trichoplein keratin filament-binding protein OS=Homo sapiens OX=9606 GN=TCHP PE=1 SV=1
+MALPTLPSYWCSQQRLNQQLARQREQEARLRQQWEQNSRYFRMSDICSSKQAEWSSKTSYQRSMHAYQREKMKEEKRRSLEARREKLRQLMQEEQDLLARELEELRLSMNLQERRIREQHGKLKSAKEEQRKLIAEQLLYEHWKKNNPKLREMELDLHQKHVVNSWEMQKEEKKQQEATAEQENKRYENEYERARREALERMKAEEERRQLEDKLQAEALLQQMEELKLKEVEATKLKKEQENLLKQRWELERLEEERKQMEAFRQKAELGRFLRHQYNAQLSRRTQQIQEELEADRRILQALLEKEDESQRLHLARREQVMADVAWMKQAIEEQLQLERAREAELQMLLREEAKEMWEKREAEWARERSARDRLMSEVLTGRQQQIQEKIEQNRRAQEESLKHREQLIRNLEEVRELARREKEESEKLKSARKQELEAQVAERRLQAWEADQQEEEEEEEARRVEQLSDALLQQEAETMAEQGYRPKPYGHPKIAWN
+>DECOY_sp|Q9BT92|TCHP_HUMAN Trichoplein keratin filament-binding protein OS=Homo sapiens OX=9606 GN=TCHP PE=1 SV=1
+NWAIKPHGYPKPRYGQEAMTEAEQQLLADSLQEVRRAEEEEEEEQQDAEWAQLRREAVQAELEQKRASKLKESEEKERRALERVEELNRILQERHKLSEEQARRNQEIKEQIQQQRGTLVESMLRDRASRERAWEAERKEWMEKAEERLLMQLEAERARELQLQEEIAQKMWAVDAMVQERRALHLRQSEDEKELLAQLIRRDAELEEQIQQTRRSLQANYQHRLFRGLEAKQRFAEMQKREEELRELEWRQKLLNEQEKKLKTAEVEKLKLEEMQQLLAEAQLKDELQRREEEAKMRELAERRAREYENEYRKNEQEATAEQQKKEEKQMEWSNVVHKQHLDLEMERLKPNNKKWHEYLLQEAILKRQEEKASKLKGHQERIRREQLNMSLRLEELERALLDQEEQMLQRLKERRAELSRRKEEKMKERQYAHMSRQYSTKSSWEAQKSSCIDSMRFYRSNQEWQQRLRAEQERQRALQQNLRQQSCWYSPLTPLAM
+>sp|P17987|TCPA_HUMAN T-complex protein 1 subunit alpha OS=Homo sapiens OX=9606 GN=TCP1 PE=1 SV=1
+MEGPLSVFGDRSTGETIRSQNVMAAASIANIVKSSLGPVGLDKMLVDDIGDVTITNDGATILKLLEVEHPAAKVLCELADLQDKEVGDGTTSVVIIAAELLKNADELVKQKIHPTSVISGYRLACKEAVRYINENLIVNTDELGRDCLINAAKTSMSSKIIGINGDFFANMVVDAVLAIKYTDIRGQPRYPVNSVNILKAHGRSQMESMLISGYALNCVVGSQGMPKRIVNAKIACLDFSLQKTKMKLGVQVVITDPEKLDQIRQRESDITKERIQKILATGANVILTTGGIDDMCLKYFVEAGAMAVRRVLKRDLKRIAKASGATILSTLANLEGEETFEAAMLGQAEEVVQERICDDELILIKNTKARTSASIILRGANDFMCDEMERSLHDALCVVKRVLESKSVVPGGGAVEAALSIYLENYATSMGSREQLAIAEFARSLLVIPNTLAVNAAQDSTDLVAKLRAFHNEAQVNPERKNLKWIGLDLSNGKPRDNKQAGVFEPTIVKVKSLKFATEAAITILRIDDLIKLHPESKDDKHGSYEDAVHSGALND
+>DECOY_sp|P17987|TCPA_HUMAN T-complex protein 1 subunit alpha OS=Homo sapiens OX=9606 GN=TCP1 PE=1 SV=1
+DNLAGSHVADEYSGHKDDKSEPHLKILDDIRLITIAAETAFKLSKVKVITPEFVGAQKNDRPKGNSLDLGIWKLNKREPNVQAENHFARLKAVLDTSDQAANVALTNPIVLLSRAFEAIALQERSGMSTAYNELYISLAAEVAGGGPVVSKSELVRKVVCLADHLSREMEDCMFDNAGRLIISASTRAKTNKILILEDDCIREQVVEEAQGLMAAEFTEEGELNALTSLITAGSAKAIRKLDRKLVRRVAMAGAEVFYKLCMDDIGGTTLIVNAGTALIKQIREKTIDSERQRIQDLKEPDTIVVQVGLKMKTKQLSFDLCAIKANVIRKPMGQSGVVCNLAYGSILMSEMQSRGHAKLINVSNVPYRPQGRIDTYKIALVADVVMNAFFDGNIGIIKSSMSTKAANILCDRGLEDTNVILNENIYRVAEKCALRYGSIVSTPHIKQKVLEDANKLLEAAIIVVSTTGDGVEKDQLDALECLVKAAPHEVELLKLITAGDNTITVDGIDDVLMKDLGVPGLSSKVINAISAAAMVNQSRITEGTSRDGFVSLPGEM
+>sp|P13693|TCTP_HUMAN Translationally-controlled tumor protein OS=Homo sapiens OX=9606 GN=TPT1 PE=1 SV=1
+MIIYRDLISHDEMFSDIYKIREIADGLCLEVEGKMVSRTEGNIDDSLIGGNASAEGPEGEGTESTVITGVDIVMNHHLQETSFTKEAYKKYIKDYMKSIKGKLEEQRPERVKPFMTGAAEQIKHILANFKNYQFFIGENMNPDGMVALLDYREDGVTPYMIFFKDGLEMEKC
+>DECOY_sp|P13693|TCTP_HUMAN Translationally-controlled tumor protein OS=Homo sapiens OX=9606 GN=TPT1 PE=1 SV=1
+CKEMELGDKFFIMYPTVGDERYDLLAVMGDPNMNEGIFFQYNKFNALIHKIQEAAGTMFPKVREPRQEELKGKISKMYDKIYKKYAEKTFSTEQLHHNMVIDVGTIVTSETGEGEPGEASANGGILSDDINGETRSVMKGEVELCLGDAIERIKYIDSFMEDHSILDRYIIM
+>sp|P13385|TDGF1_HUMAN Teratocarcinoma-derived growth factor 1 OS=Homo sapiens OX=9606 GN=TDGF1 PE=1 SV=1
+MDCRKMARFSYSVIWIMAISKVFELGLVAGLGHQEFARPSRGYLAFRDDSIWPQEEPAIRPRSSQRVPPMGIQHSKELNRTCCLNGGTCMLGSFCACPPSFYGRNCEHDVRKENCGSVPHDTWLPKKCSLCKCWHGQLRCFPQAFLPGCDGLVMDEHLVASRTPELPPSARTTTFMLVGICLSIQSYY
+>DECOY_sp|P13385|TDGF1_HUMAN Teratocarcinoma-derived growth factor 1 OS=Homo sapiens OX=9606 GN=TDGF1 PE=1 SV=1
+YYSQISLCIGVLMFTTTRASPPLEPTRSAVLHEDMVLGDCGPLFAQPFCRLQGHWCKCLSCKKPLWTDHPVSGCNEKRVDHECNRGYFSPPCACFSGLMCTGGNLCCTRNLEKSHQIGMPPVRQSSRPRIAPEEQPWISDDRFALYGRSPRAFEQHGLGAVLGLEFVKSIAMIWIVSYSFRAMKRCDM
+>sp|Q9H147|TDIF1_HUMAN Deoxynucleotidyltransferase terminal-interacting protein 1 OS=Homo sapiens OX=9606 GN=DNTTIP1 PE=1 SV=2
+MGATGDAEQPRGPSGAERGGLELGDAGAAGQLVLTNPWNIMIKHRQVQRRGRRSQMTTSFTDPAISMDLLRAVLQPSINEEIQTVFNKYMKFFQKAALNVRDNVGEEVDAEQLIQEACRSCLEQAKLLFSDGEKVIPRLTHELPGIKRGRQAEEECAHRGSPLPKKRKGRPPGHILSSDRAAAGMVWKPKSCEPIRREGPKWDPARLNESTTFVLGSRANKALGMGGTRGRIYIKHPHLFKYAADPQDKHWLAEQHHMRATGGKMAYLLIEEDIRDLAASDDYRGCLDLKLEELKSFVLPSWMVEKMRKYMETLRTENEHRAVEAPPQT
+>DECOY_sp|Q9H147|TDIF1_HUMAN Deoxynucleotidyltransferase terminal-interacting protein 1 OS=Homo sapiens OX=9606 GN=DNTTIP1 PE=1 SV=2
+TQPPAEVARHENETRLTEMYKRMKEVMWSPLVFSKLEELKLDLCGRYDDSAALDRIDEEILLYAMKGGTARMHHQEALWHKDQPDAAYKFLHPHKIYIRGRTGGMGLAKNARSGLVFTTSENLRAPDWKPGERRIPECSKPKWVMGAAARDSSLIHGPPRGKRKKPLPSGRHACEEEAQRGRKIGPLEHTLRPIVKEGDSFLLKAQELCSRCAEQILQEADVEEGVNDRVNLAAKQFFKMYKNFVTQIEENISPQLVARLLDMSIAPDTFSTTMQSRRGRRQVQRHKIMINWPNTLVLQGAAGADGLELGGREAGSPGRPQEADGTAGM
+>sp|Q5QJE6|TDIF2_HUMAN Deoxynucleotidyltransferase terminal-interacting protein 2 OS=Homo sapiens OX=9606 GN=DNTTIP2 PE=1 SV=2
+MVVTRSARAKASIQAASAESSGQKSFAANGIQAHPESSTGSDARTTAESQTTGKQSLIPRTPKARKRKSRTTGSLPKGTEPSTDGETSEAESNYSVSEHHDTILRVTRRRQILIACSPVSSVRKKPKVTPTKESYTEEIVSEAESHVSGISRIVLPTEKTTGARRSKAKSLTDPSQESHTEAISDAETSSSDISFSGIATRRTRSMQRKLKAQTEKKDSKIVPGNEKQIVGTPVNSEDSDTRQTSHLQARSLSEINKPNFYNNDFDDDFSHRSSENILTVHEQANVESLKETKQNCKDLDEDANGITDEGKEINEKSSQLKNLSELQDTSLQQLVSQRHSTPQNKNAVSVHSNLNSEAVMKSLTQTFATVEVGRWNNNKKSPIKASDLTKFGDCGGSDDEEESTVISVSEDMNSEGNVDFECDTKLYTSAPNTSQGKDNSVLLVLSSDESQQSENSENEEDTLCFVENSGQRESLSGDTGSLSCDNALFVIDTTPGMSADKNFYLEEEDKASEVAIEEEKEEEEDEKSEEDSSDHDENEDEFSDEEDFLNSTKAKLLKLTSSSIDPGLSIKQLGGLYINFNADKLQSNKRTLTQIKEKKKNELLQKAVITPDFEKNHCVPPYSESKYQLQKKRRKERQKTAGDGWFGMKAPEMTNELKNDLKALKMRASMDPKRFYKKNDRDGFPKYFQIGTIVDNPADFYHSRIPKKQRKRTIVEELLADSEFRRYNRRKYSEIMAEKAANAAGKKFRKKKKFRN
+>DECOY_sp|Q5QJE6|TDIF2_HUMAN Deoxynucleotidyltransferase terminal-interacting protein 2 OS=Homo sapiens OX=9606 GN=DNTTIP2 PE=1 SV=2
+NRFKKKKRFKKGAANAAKEAMIESYKRRNYRRFESDALLEEVITRKRQKKPIRSHYFDAPNDVITGIQFYKPFGDRDNKKYFRKPDMSARMKLAKLDNKLENTMEPAKMGFWGDGATKQREKRRKKQLQYKSESYPPVCHNKEFDPTIVAKQLLENKKKEKIQTLTRKNSQLKDANFNIYLGGLQKISLGPDISSSTLKLLKAKTSNLFDEEDSFEDENEDHDSSDEESKEDEEEEKEEEIAVESAKDEEELYFNKDASMGPTTDIVFLANDCSLSGTDGSLSERQGSNEVFCLTDEENESNESQQSEDSSLVLLVSNDKGQSTNPASTYLKTDCEFDVNGESNMDESVSIVTSEEEDDSGGCDGFKTLDSAKIPSKKNNNWRGVEVTAFTQTLSKMVAESNLNSHVSVANKNQPTSHRQSVLQQLSTDQLESLNKLQSSKENIEKGEDTIGNADEDLDKCNQKTEKLSEVNAQEHVTLINESSRHSFDDDFDNNYFNPKNIESLSRAQLHSTQRTDSDESNVPTGVIQKENGPVIKSDKKETQAKLKRQMSRTRRTAIGSFSIDSSSTEADSIAETHSEQSPDTLSKAKSRRAGTTKETPLVIRSIGSVHSEAESVIEETYSEKTPTVKPKKRVSSVPSCAILIQRRRTVRLITDHHESVSYNSEAESTEGDTSPETGKPLSGTTRSKRKRAKPTRPILSQKGTTQSEATTRADSGTSSEPHAQIGNAAFSKQGSSEASAAQISAKARASRTVVM
+>sp|Q8NHU6|TDRD7_HUMAN Tudor domain-containing protein 7 OS=Homo sapiens OX=9606 GN=TDRD7 PE=1 SV=2
+MLEGDLVSKMLRAVLQSHKNGVALPRLQGEYRSLTGDWIPFKQLGFPTLEAYLRSVPAVVRIETSRSGEITCYAMACTETARIAQLVARQRSSKRKTGRQVNCQMRVKKTMPFFLEGKPKATLRQPGFASNFSVGKKPNPAPLRDKGNSVGVKPDAEMSPYMLHTTLGNEAFKDIPVQRHVTMSTNNRFSPKASLQPPLQMHLSRTSTKEMSDNLNQTVEKPNVKPPASYTYKMDEVQNRIKEILNKHNNGIWISKLPHFYKELYKEDLNQGILQQFEHWPHICTVEKPCSGGQDLLLYPAKRKQLLRSELDTEKVPLSPLPGPKQTPPLKGCPTVMAGDFKEKVADLLVKYTSGLWASALPKAFEEMYKVKFPEDALKNLASLSDVCSIDYISGNPQKAILYAKLPLPTDKIQKDAGQAHGDNDIKAMVEQEYLQVEESIAESANTFMEDITVPPLMIPTEASPSVLVVELSNTNEVVIRYVGKDYSAAQELMEDEMKEYYSKNPKITPVQAVNVGQLLAVNAEEDAWLRAQVISTEENKIKVCYVDYGFSENVEKSKAYKLNPKFCSLSFQATKCKLAGLEVLSDDPDLVKVVESLTCGKIFAVEILDKADIPLVVLYDTSGEDDININATCLKAICDKSLEVHLQVDAMYTNVKVTNICSDGTLYCQVPCKGLNKLSDLLRKIEDYFHCKHMTSECFVSLPFCGKICLFHCKGKWLRVEITNVHSSRALDVQFLDSGTVTSVKVSELREIPPRFLQEMIAIPPQAIKCCLADLPQSIGMWTPDAVLWLRDSVLNCSDCSIKVTKVDETRGIAHVYLFTPKNFPDPHRSINRQITNADLWKHQKDVFLSAISSGADSPNSKNGNMPMSGNTGENFRKNLTDVIKKSMVDHTSAFSTEELPPPVHLSKPGEHMDVYVPVACHPGYFVIQPWQEIHKLEVLMEEMILYYSVSEERHIAVEKDQVYAAKVENKWHRVLLKGILTNGLVSVYELDYGKHELVNIRKVQPLVDMFRKLPFQAVTAQLAGVKCNQWSEEASMVFRNHVEKKPLVALVQTVIENANPWDRKVVVYLVDTSLPDTDTWIHDFMSEYLIELSKVN
+>DECOY_sp|Q8NHU6|TDRD7_HUMAN Tudor domain-containing protein 7 OS=Homo sapiens OX=9606 GN=TDRD7 PE=1 SV=2
+NVKSLEILYESMFDHIWTDTDPLSTDVLYVVVKRDWPNANEIVTQVLAVLPKKEVHNRFVMSAEESWQNCKVGALQATVAQFPLKRFMDVLPQVKRINVLEHKGYDLEYVSVLGNTLIGKLLVRHWKNEVKAAYVQDKEVAIHREESVSYYLIMEEMLVELKHIEQWPQIVFYGPHCAVPVYVDMHEGPKSLHVPPPLEETSFASTHDVMSKKIVDTLNKRFNEGTNGSMPMNGNKSNPSDAGSSIASLFVDKQHKWLDANTIQRNISRHPDPFNKPTFLYVHAIGRTEDVKTVKISCDSCNLVSDRLWLVADPTWMGISQPLDALCCKIAQPPIAIMEQLFRPPIERLESVKVSTVTGSDLFQVDLARSSHVNTIEVRLWKGKCHFLCIKGCFPLSVFCESTMHKCHFYDEIKRLLDSLKNLGKCPVQCYLTGDSCINTVKVNTYMADVQLHVELSKDCIAKLCTANINIDDEGSTDYLVVLPIDAKDLIEVAFIKGCTLSEVVKVLDPDDSLVELGALKCKTAQFSLSCFKPNLKYAKSKEVNESFGYDVYCVKIKNEETSIVQARLWADEEANVALLQGVNVAQVPTIKPNKSYYEKMEDEMLEQAASYDKGVYRIVVENTNSLEVVLVSPSAETPIMLPPVTIDEMFTNASEAISEEVQLYEQEVMAKIDNDGHAQGADKQIKDTPLPLKAYLIAKQPNGSIYDISCVDSLSALNKLADEPFKVKYMEEFAKPLASAWLGSTYKVLLDAVKEKFDGAMVTPCGKLPPTQKPGPLPSLPVKETDLESRLLQKRKAPYLLLDQGGSCPKEVTCIHPWHEFQQLIGQNLDEKYLEKYFHPLKSIWIGNNHKNLIEKIRNQVEDMKYTYSAPPKVNPKEVTQNLNDSMEKTSTRSLHMQLPPQLSAKPSFRNNTSMTVHRQVPIDKFAENGLTTHLMYPSMEADPKVGVSNGKDRLPAPNPKKGVSFNSAFGPQRLTAKPKGELFFPMTKKVRMQCNVQRGTKRKSSRQRAVLQAIRATETCAMAYCTIEGSRSTEIRVVAPVSRLYAELTPFGLQKFPIWDGTLSRYEGQLRPLAVGNKHSQLVARLMKSVLDGELM
+>sp|Q9Y2W6|TDRKH_HUMAN Tudor and KH domain-containing protein OS=Homo sapiens OX=9606 GN=TDRKH PE=1 SV=2
+MSTERTSWTSLSTIQKIALGLGIPASATVAYILYRRYRESREERLTFVGEDDIEIEMRVPQEAVKLIIGRQGANIKQLRKQTGARIDVDTEDVGDERVLLISGFPVQVCKAKAAIHQILTENTPVSEQLSVPQRSVGRIIGRGGETIRSICKASGAKITCDKESEGTLLLSRLIKISGTQKEVAAAKHLILEKVSEDEELRKRIAHSAETRVPRKQPISVRREDMTEPGGAGEPALWKNTSSSMEPTAPLVTPPPKGGGDMAVVVSKEGSWEKPSDDSFQKSEAQAIPEMPMFEIPSPDFSFHADEYLEVYVSASEHPNHFWIQIVGSRSLQLDKLVNEMTQHYENSVPEDLTVHVGDIVAAPLPTNGSWYRARVLGTLENGNLDLYFVDFGDNGDCPLKDLRALRSDFLSLPFQAIECSLARIAPSGDQWEEEALDEFDRLTHCADWKPLVAKISSYVQTGISTWPKIYLYDTSNGKKLDIGLELVHKGYAIELPEDIEENRAVPDMLKDMATETDASLSTLLTETKKSSGEITHTLSCLSLSEAASMSGDDNLEDDYLL
+>DECOY_sp|Q9Y2W6|TDRKH_HUMAN Tudor and KH domain-containing protein OS=Homo sapiens OX=9606 GN=TDRKH PE=1 SV=2
+LLYDDELNDDGSMSAAESLSLCSLTHTIEGSSKKTETLLTSLSADTETAMDKLMDPVARNEEIDEPLEIAYGKHVLELGIDLKKGNSTDYLYIKPWTSIGTQVYSSIKAVLPKWDACHTLRDFEDLAEEEWQDGSPAIRALSCEIAQFPLSLFDSRLARLDKLPCDGNDGFDVFYLDLNGNELTGLVRARYWSGNTPLPAAVIDGVHVTLDEPVSNEYHQTMENVLKDLQLSRSGVIQIWFHNPHESASVYVELYEDAHFSFDPSPIEFMPMEPIAQAESKQFSDDSPKEWSGEKSVVVAMDGGGKPPPTVLPATPEMSSSTNKWLAPEGAGGPETMDERRVSIPQKRPVRTEASHAIRKRLEEDESVKELILHKAAAVEKQTGSIKILRSLLLTGESEKDCTIKAGSAKCISRITEGGRGIIRGVSRQPVSLQESVPTNETLIQHIAAKAKCVQVPFGSILLVREDGVDETDVDIRAGTQKRLQKINAGQRGIILKVAEQPVRMEIEIDDEGVFTLREERSERYRRYLIYAVTASAPIGLGLAIKQITSLSTWSTRETSM
+>sp|O43151|TET3_HUMAN Methylcytosine dioxygenase TET3 OS=Homo sapiens OX=9606 GN=TET3 PE=1 SV=3
+MDSGPVYHGDSRQLSASGVPVNGAREPAGPSLLGTGGPWRVDQKPDWEAAPGPAHTARLEDAHDLVAFSAVAEAVSSYGALSTRLYETFNREMSREAGNNSRGPRPGPEGCSAGSEDLDTLQTALALARHGMKPPNCNCDGPECPDYLEWLEGKIKSVVMEGGEERPRLPGPLPPGEAGLPAPSTRPLLSSEVPQISPQEGLPLSQSALSIAKEKNISLQTAIAIEALTQLSSALPQPSHSTPQASCPLPEALSPPAPFRSPQSYLRAPSWPVVPPEEHSSFAPDSSAFPPATPRTEFPEAWGTDTPPATPRSSWPMPRPSPDPMAELEQLLGSASDYIQSVFKRPEALPTKPKVKVEAPSSSPAPAPSPVLQREAPTPSSEPDTHQKAQTALQQHLHHKRSLFLEQVHDTSFPAPSEPSAPGWWPPPSSPVPRLPDRPPKEKKKKLPTPAGGPVGTEKAAPGIKPSVRKPIQIKKSRPREAQPLFPPVRQIVLEGLRSPASQEVQAHPPAPLPASQGSAVPLPPEPSLALFAPSPSRDSLLPPTQEMRSPSPMTALQPGSTGPLPPADDKLEELIRQFEAEFGDSFGLPGPPSVPIQDPENQQTCLPAPESPFATRSPKQIKIESSGAVTVLSTTCFHSEEGGQEATPTKAENPLTPTLSGFLESPLKYLDTPTKSLLDTPAKRAQAEFPTCDCVEQIVEKDEGPYYTHLGSGPTVASIRELMEERYGEKGKAIRIEKVIYTGKEGKSSRGCPIAKWVIRRHTLEEKLLCLVRHRAGHHCQNAVIVILILAWEGIPRSLGDTLYQELTDTLRKYGNPTSRRCGLNDDRTCACQGKDPNTCGASFSFGCSWSMYFNGCKYARSKTPRKFRLAGDNPKEEEVLRKSFQDLATEVAPLYKRLAPQAYQNQVTNEEIAIDCRLGLKEGRPFAGVTACMDFCAHAHKDQHNLYNGCTVVCTLTKEDNRCVGKIPEDEQLHVLPLYKMANTDEFGSEENQNAKVGSGAIQVLTAFPREVRRLPEPAKSCRQRQLEARKAAAEKKKIQKEKLSTPEKIKQEALELAGITSDPGLSLKGGLSQQGLKPSLKVEPQNHFSSFKYSGNAVVESYSVLGNCRPSDPYSMNSVYSYHSYYAQPSLTSVNGFHSKYALPSFSYYGFPSSNPVFPSQFLGPGAWGHSGSSGSFEKKPDLHALHNSLSPAYGGAEFAELPSQAVPTDAHHPTPHHQQPAYPGPKEYLLPKAPLLHSVSRDPSPFAQSSNCYNRSIKQEPVDPLTQAEPVPRDAGKMGKTPLSEVSQNGGPSHLWGQYSGGPSMSPKRTNGVGGSWGVFSSGESPAIVPDKLSSFGASCLAPSHFTDGQWGLFPGEGQQAASHSGGRLRGKPWSPCKFGNSTSALAGPSLTEKPWALGAGDFNSALKGSPGFQDKLWNPMKGEEGRIPAAGASQLDRAWQSFGLPLGSSEKLFGALKSEEKLWDPFSLEEGPAEEPPSKGAVKEEKGGGGAEEEEEELWSDSEHNFLDENIGGVAVAPAHGSILIECARRELHATTPLKKPNRCHPTRISLVFYQHKNLNQPNHGLALWEAKMKQLAERARARQEEAARLGLGQQEAKLYGKKRKWGGTVVAEPQQKEKKGVVPTRQALAVPTDSAVTVSSYAYTKVTGPYSRWI
+>DECOY_sp|O43151|TET3_HUMAN Methylcytosine dioxygenase TET3 OS=Homo sapiens OX=9606 GN=TET3 PE=1 SV=3
+IWRSYPGTVKTYAYSSVTVASDTPVALAQRTPVVGKKEKQQPEAVVTGGWKRKKGYLKAEQQGLGLRAAEEQRARAREALQKMKAEWLALGHNPQNLNKHQYFVLSIRTPHCRNPKKLPTTAHLERRACEILISGHAPAVAVGGINEDLFNHESDSWLEEEEEEAGGGGKEEKVAGKSPPEEAPGEELSFPDWLKEESKLAGFLKESSGLPLGFSQWARDLQSAGAAPIRGEEGKMPNWLKDQFGPSGKLASNFDGAGLAWPKETLSPGALASTSNGFKCPSWPKGRLRGGSHSAAQQGEGPFLGWQGDTFHSPALCSAGFSSLKDPVIAPSEGSSFVGWSGGVGNTRKPSMSPGGSYQGWLHSPGGNQSVESLPTKGMKGADRPVPEAQTLPDVPEQKISRNYCNSSQAFPSPDRSVSHLLPAKPLLYEKPGPYAPQQHHPTPHHADTPVAQSPLEAFEAGGYAPSLSNHLAHLDPKKEFSGSSGSHGWAGPGLFQSPFVPNSSPFGYYSFSPLAYKSHFGNVSTLSPQAYYSHYSYVSNMSYPDSPRCNGLVSYSEVVANGSYKFSSFHNQPEVKLSPKLGQQSLGGKLSLGPDSTIGALELAEQKIKEPTSLKEKQIKKKEAAAKRAELQRQRCSKAPEPLRRVERPFATLVQIAGSGVKANQNEESGFEDTNAMKYLPLVHLQEDEPIKGVCRNDEKTLTCVVTCGNYLNHQDKHAHACFDMCATVGAFPRGEKLGLRCDIAIEENTVQNQYAQPALRKYLPAVETALDQFSKRLVEEEKPNDGALRFKRPTKSRAYKCGNFYMSWSCGFSFSAGCTNPDKGQCACTRDDNLGCRRSTPNGYKRLTDTLEQYLTDGLSRPIGEWALILIVIVANQCHHGARHRVLCLLKEELTHRRIVWKAIPCGRSSKGEKGTYIVKEIRIAKGKEGYREEMLERISAVTPGSGLHTYYPGEDKEVIQEVCDCTPFEAQARKAPTDLLSKTPTDLYKLPSELFGSLTPTLPNEAKTPTAEQGGEESHFCTTSLVTVAGSSEIKIQKPSRTAFPSEPAPLCTQQNEPDQIPVSPPGPLGFSDGFEAEFQRILEELKDDAPPLPGTSGPQLATMPSPSRMEQTPPLLSDRSPSPAFLALSPEPPLPVASGQSAPLPAPPHAQVEQSAPSRLGELVIQRVPPFLPQAERPRSKKIQIPKRVSPKIGPAAKETGVPGGAPTPLKKKKEKPPRDPLRPVPSSPPPWWGPASPESPAPFSTDHVQELFLSRKHHLHQQLATQAKQHTDPESSPTPAERQLVPSPAPAPSSSPAEVKVKPKTPLAEPRKFVSQIYDSASGLLQELEAMPDPSPRPMPWSSRPTAPPTDTGWAEPFETRPTAPPFASSDPAFSSHEEPPVVPWSPARLYSQPSRFPAPPSLAEPLPCSAQPTSHSPQPLASSLQTLAEIAIATQLSINKEKAISLASQSLPLGEQPSIQPVESSLLPRTSPAPLGAEGPPLPGPLRPREEGGEMVVSKIKGELWELYDPCEPGDCNCNPPKMGHRALALATQLTDLDESGASCGEPGPRPGRSNNGAERSMERNFTEYLRTSLAGYSSVAEAVASFAVLDHADELRATHAPGPAAEWDPKQDVRWPGGTGLLSPGAPERAGNVPVGSASLQRSDGHYVPGSDM
+>sp|Q6ZNM6|TEX43_HUMAN Testis-expressed protein 43 OS=Homo sapiens OX=9606 GN=TEX43 PE=2 SV=1
+MASGKDTCPTLPKLTNNCSDESLYKSANKYEEIHLPRFSLKQGMIPRRYVMPWKENMIFRNVNLKQAEVCGIHTGPLEDSLFLNHSERLCHGEDRKVVFQKGPPEIKIADMPLHSPLSRYQSTVISHGFRRRLV
+>DECOY_sp|Q6ZNM6|TEX43_HUMAN Testis-expressed protein 43 OS=Homo sapiens OX=9606 GN=TEX43 PE=2 SV=1
+VLRRRFGHSIVTSQYRSLPSHLPMDAIKIEPPGKQFVVKRDEGHCLRESHNLFLSDELPGTHIGCVEAQKLNVNRFIMNEKWPMVYRRPIMGQKLSFRPLHIEEYKNASKYLSEDSCNNTLKPLTPCTDKGSAM
+>sp|H3BTG2|TEX46_HUMAN Testis-expressed protein 46 OS=Homo sapiens OX=9606 GN=TEX46 PE=2 SV=1
+MSLHGILASAGTIGAVAAWLMSYKPALFGFLFLLLLLSNWLVKYEHKLTLPEPQQDEILQRLLFSEMKMKVLENQMFIIWNKMNHHGRSSRHRNFPMKKHRMRRHESICPTLSDCTSSSPS
+>DECOY_sp|H3BTG2|TEX46_HUMAN Testis-expressed protein 46 OS=Homo sapiens OX=9606 GN=TEX46 PE=2 SV=1
+SPSSSTCDSLTPCISEHRRMRHKKMPFNRHRSSRGHHNMKNWIIFMQNELVKMKMESFLLRQLIEDQQPEPLTLKHEYKVLWNSLLLLLFLFGFLAPKYSMLWAAVAGITGASALIGHLSM
+>sp|A0A1B0GUV7|TEX48_HUMAN Testis-expressed protein 48 OS=Homo sapiens OX=9606 GN=TEX48 PE=4 SV=1
+MAAHQNLILKIFCLCCRDCQEPYAINDSKVPSQTQEHKPSTQNLLLQKDELDRQNPKRINAVSHLPSRTPLIQTKKSTSSSSSEFEDLNAYASQRNFYKRNLNRYCQEHWPFQPCLTGRP
+>DECOY_sp|A0A1B0GUV7|TEX48_HUMAN Testis-expressed protein 48 OS=Homo sapiens OX=9606 GN=TEX48 PE=4 SV=1
+PRGTLCPQFPWHEQCYRNLNRKYFNRQSAYANLDEFESSSSSTSKKTQILPTRSPLHSVANIRKPNQRDLEDKQLLLNQTSPKHEQTQSPVKSDNIAYPEQCDRCCLCFIKLILNQHAAM
+>sp|P32780|TF2H1_HUMAN General transcription factor IIH subunit 1 OS=Homo sapiens OX=9606 GN=GTF2H1 PE=1 SV=1
+MATSSEEVLLIVKKVRQKKQDGALYLMAERIAWAPEGKDRFTISHMYADIKCQKISPEGKAKIQLQLVLHAGDTTNFHFSNESTAVKERDAVKDLLQQLLPKFKRKANKELEEKNRMLQEDPVLFQLYKDLVVSQVISAEEFWANRLNVNATDSSSTSNHKQDVGISAAFLADVRPQTDGCNGLRYNLTSDIIESIFRTYPAVKMKYAENVPHNMTEKEFWTRFFQSHYFHRDRLNTGSKDLFAECAKIDEKGLKTMVSLGVKNPLLDLTALEDKPLDEGYGISSVPSASNSKSIKENSNAAIIKRFNHHSAMVLAAGLRKQEAQNEQTSEPSNMDGNSGDADCFQPAVKRAKLQESIEYEDLGKNNSVKTIALNLKKSDRYYHGPTPIQSLQYATSQDIINSFQSIRQEMEAYTPKLTQVLSSSAASSTITALSPGGALMQGGTQQAINQMVPNDIQSELKHLYVAVGELLRHFWSCFPVNTPFLEEKVVKMKSNLERFQVTKLCPFQEKIRRQYLSTNLVSHIEEMLQTAYNKLHTWQSRRLMKKT
+>DECOY_sp|P32780|TF2H1_HUMAN General transcription factor IIH subunit 1 OS=Homo sapiens OX=9606 GN=GTF2H1 PE=1 SV=1
+TKKMLRRSQWTHLKNYATQLMEEIHSVLNTSLYQRRIKEQFPCLKTVQFRELNSKMKVVKEELFPTNVPFCSWFHRLLEGVAVYLHKLESQIDNPVMQNIAQQTGGQMLAGGPSLATITSSAASSSLVQTLKPTYAEMEQRISQFSNIIDQSTAYQLSQIPTPGHYYRDSKKLNLAITKVSNNKGLDEYEISEQLKARKVAPQFCDADGSNGDMNSPESTQENQAEQKRLGAALVMASHHNFRKIIAANSNEKISKSNSASPVSSIGYGEDLPKDELATLDLLPNKVGLSVMTKLGKEDIKACEAFLDKSGTNLRDRHFYHSQFFRTWFEKETMNHPVNEAYKMKVAPYTRFISEIIDSTLNYRLGNCGDTQPRVDALFAASIGVDQKHNSTSSSDTANVNLRNAWFEEASIVQSVVLDKYLQFLVPDEQLMRNKEELEKNAKRKFKPLLQQLLDKVADREKVATSENSFHFNTTDGAHLVLQLQIKAKGEPSIKQCKIDAYMHSITFRDKGEPAWAIREAMLYLAGDQKKQRVKKVILLVEESSTAM
+>sp|Q92759|TF2H4_HUMAN General transcription factor IIH subunit 4 OS=Homo sapiens OX=9606 GN=GTF2H4 PE=1 SV=1
+MESTPSRGLNRVHLQCRNLQEFLGGLSPGVLDRLYGHPATCLAVFRELPSLAKNWVMRMLFLEQPLPQAAVALWVKKEFSKAQEESTGLLSGLRIWHTQLLPGGLQGLILNPIFRQNLRIALLGGGKAWSDDTSQLGPDKHARDVPSLDKYAEERWEVVLHFMVGSPSAAVSQDLAQLLSQAGLMKSTEPGEPPCITSAGFQFLLLDTPAQLWYFMLQYLQTAQSRGMDLVEILSFLFQLSFSTLGKDYSVEGMSDSLLNFLQHLREFGLVFQRKRKSRRYYPTRLAINLSSGVSGAGGTVHQPGFIVVETNYRLYAYTESELQIALIALFSEMLYRFPNMVVAQVTRESVQQAIASGITAQQIIHFLRTRAHPVMLKQTPVLPPTITDQIRLWELERDRLRFTEGVLYNQFLSQVDFELLLAHARELGVLVFENSAKRLMVVTPAGHSDVKRFWKRQKHSS
+>DECOY_sp|Q92759|TF2H4_HUMAN General transcription factor IIH subunit 4 OS=Homo sapiens OX=9606 GN=GTF2H4 PE=1 SV=1
+SSHKQRKWFRKVDSHGAPTVVMLRKASNEFVLVGLERAHALLLEFDVQSLFQNYLVGETFRLRDRELEWLRIQDTITPPLVPTQKLMVPHARTRLFHIIQQATIGSAIAQQVSERTVQAVVMNPFRYLMESFLAILAIQLESETYAYLRYNTEVVIFGPQHVTGGAGSVGSSLNIALRTPYYRRSKRKRQFVLGFERLHQLFNLLSDSMGEVSYDKGLTSFSLQFLFSLIEVLDMGRSQATQLYQLMFYWLQAPTDLLLFQFGASTICPPEGPETSKMLGAQSLLQALDQSVAASPSGVMFHLVVEWREEAYKDLSPVDRAHKDPGLQSTDDSWAKGGGLLAIRLNQRFIPNLILGQLGGPLLQTHWIRLGSLLGTSEEQAKSFEKKVWLAVAAQPLPQELFLMRMVWNKALSPLERFVALCTAPHGYLRDLVGPSLGGLFEQLNRCQLHVRNLGRSPTSEM
+>sp|Q8IUE1|TF2LX_HUMAN Homeobox protein TGIF2LX OS=Homo sapiens OX=9606 GN=TGIF2LX PE=1 SV=1
+MEAAADGPAETQSPVEKDSPAKTQSPAQDTSIMSRNNADTGRVLALPEHKKKRKGNLPAESVKILRDWMYKHRFKAYPSEEEKQMLSEKTNLSLLQISNWFINARRRILPDMLQQRRNDPIIGHKTGKDAHATHLQSTEASVPAKSGPSGPDNVQSLPLWPLPKGQMSREKQPDPESAPSQKLTGIAQPKKKVKVSVTSPSSPELVSPEEHADFSSFLLLVDAAVQRAAELELEKKQEPNP
+>DECOY_sp|Q8IUE1|TF2LX_HUMAN Homeobox protein TGIF2LX OS=Homo sapiens OX=9606 GN=TGIF2LX PE=1 SV=1
+PNPEQKKELELEAARQVAADVLLLFSSFDAHEEPSVLEPSSPSTVSVKVKKKPQAIGTLKQSPASEPDPQKERSMQGKPLPWLPLSQVNDPGSPGSKAPVSAETSQLHTAHADKGTKHGIIPDNRRQQLMDPLIRRRANIFWNSIQLLSLNTKESLMQKEEESPYAKFRHKYMWDRLIKVSEAPLNGKRKKKHEPLALVRGTDANNRSMISTDQAPSQTKAPSDKEVPSQTEAPGDAAAEM
+>sp|Q04206|TF65_HUMAN Transcription factor p65 OS=Homo sapiens OX=9606 GN=RELA PE=1 SV=2
+MDELFPLIFPAEPAQASGPYVEIIEQPKQRGMRFRYKCEGRSAGSIPGERSTDTTKTHPTIKINGYTGPGTVRISLVTKDPPHRPHPHELVGKDCRDGFYEAELCPDRCIHSFQNLGIQCVKKRDLEQAISQRIQTNNNPFQVPIEEQRGDYDLNAVRLCFQVTVRDPSGRPLRLPPVLSHPIFDNRAPNTAELKICRVNRNSGSCLGGDEIFLLCDKVQKEDIEVYFTGPGWEARGSFSQADVHRQVAIVFRTPPYADPSLQAPVRVSMQLRRPSDRELSEPMEFQYLPDTDDRHRIEEKRKRTYETFKSIMKKSPFSGPTDPRPPPRRIAVPSRSSASVPKPAPQPYPFTSSLSTINYDEFPTMVFPSGQISQASALAPAPPQVLPQAPAPAPAPAMVSALAQAPAPVPVLAPGPPQAVAPPAPKPTQAGEGTLSEALLQLQFDDEDLGALLGNSTDPAVFTDLASVDNSEFQQLLNQGIPVAPHTTEPMLMEYPEAITRLVTGAQRPPDPAPAPLGAPGLPNGLLSGDEDFSSIADMDFSALLSQISS
+>DECOY_sp|Q04206|TF65_HUMAN Transcription factor p65 OS=Homo sapiens OX=9606 GN=RELA PE=1 SV=2
+SSIQSLLASFDMDAISSFDEDGSLLGNPLGPAGLPAPAPDPPRQAGTVLRTIAEPYEMLMPETTHPAVPIGQNLLQQFESNDVSALDTFVAPDTSNGLLAGLDEDDFQLQLLAESLTGEGAQTPKPAPPAVAQPPGPALVPVPAPAQALASVMAPAPAPAPAQPLVQPPAPALASAQSIQGSPFVMTPFEDYNITSLSSTFPYPQPAPKPVSASSRSPVAIRRPPPRPDTPGSFPSKKMISKFTEYTRKRKEEIRHRDDTDPLYQFEMPESLERDSPRRLQMSVRVPAQLSPDAYPPTRFVIAVQRHVDAQSFSGRAEWGPGTFYVEIDEKQVKDCLLFIEDGGLCSGSNRNVRCIKLEATNPARNDFIPHSLVPPLRLPRGSPDRVTVQFCLRVANLDYDGRQEEIPVQFPNNNTQIRQSIAQELDRKKVCQIGLNQFSHICRDPCLEAEYFGDRCDKGVLEHPHPRHPPDKTVLSIRVTGPGTYGNIKITPHTKTTDTSREGPISGASRGECKYRFRMGRQKPQEIIEVYPGSAQAPEAPFILPFLEDM
+>sp|Q00059|TFAM_HUMAN Transcription factor A, mitochondrial OS=Homo sapiens OX=9606 GN=TFAM PE=1 SV=1
+MAFLRSMWGVLSALGRSGAELCTGCGSRLRSPFSFVYLPRWFSSVLASCPKKPVSSYLRFSKEQLPIFKAQNPDAKTTELIRRIAQRWRELPDSKKKIYQDAYRAEWQVYKEEISRFKEQLTPSQIMSLEKEIMDKHLKRKAMTKKKELTLLGKPKRPRSAYNVYVAERFQEAKGDSPQEKLKTVKENWKNLSDSEKELYIQHAKEDETRYHNEMKSWEEQMIEVGRKDLLRRTIKKQRKYGAEEC
+>DECOY_sp|Q00059|TFAM_HUMAN Transcription factor A, mitochondrial OS=Homo sapiens OX=9606 GN=TFAM PE=1 SV=1
+CEEAGYKRQKKITRRLLDKRGVEIMQEEWSKMENHYRTEDEKAHQIYLEKESDSLNKWNEKVTKLKEQPSDGKAEQFREAVYVNYASRPRKPKGLLTLEKKKTMAKRKLHKDMIEKELSMIQSPTLQEKFRSIEEKYVQWEARYADQYIKKKSDPLERWRQAIRRILETTKADPNQAKFIPLQEKSFRLYSSVPKKPCSALVSSFWRPLYVFSFPSRLRSGCGTCLEAGSRGLASLVGWMSRLFAM
+>sp|Q01664|TFAP4_HUMAN Transcription factor AP-4 OS=Homo sapiens OX=9606 GN=TFAP4 PE=1 SV=2
+MEYFMVPTQKVPSLQHFRKTEKEVIGGLCSLANIPLTPETQRDQERRIRREIANSNERRRMQSINAGFQSLKTLIPHTDGEKLSKAAILQQTAEYIFSLEQEKTRLLQQNTQLKRFIQELSGSSPKRRRAEDKDEGIGSPDIWEDEKAEDLRREMIELRQQLDKERSVRMMLEEQVRSLEAHMYPEKLKVIAQQVQLQQQQEQVRLLHQEKLEREQQQLRTQLLPPPAPTHHPTVIVPAPPPPPSHHINVVTMGPSSVINSVSTSRQNLDTIVQAIQHIEGTQEKQELEEEQRRAVIVKPVRSCPEAPTSDTASDSEASDSDAMDQSREEPSGDGELP
+>DECOY_sp|Q01664|TFAP4_HUMAN Transcription factor AP-4 OS=Homo sapiens OX=9606 GN=TFAP4 PE=1 SV=2
+PLEGDGSPEERSQDMADSDSAESDSATDSTPAEPCSRVPKVIVARRQEEELEQKEQTGEIHQIAQVITDLNQRSTSVSNIVSSPGMTVVNIHHSPPPPPAPVIVTPHHTPAPPPLLQTRLQQQERELKEQHLLRVQEQQQQLQVQQAIVKLKEPYMHAELSRVQEELMMRVSREKDLQQRLEIMERRLDEAKEDEWIDPSGIGEDKDEARRRKPSSGSLEQIFRKLQTNQQLLRTKEQELSFIYEATQQLIAAKSLKEGDTHPILTKLSQFGANISQMRRRENSNAIERRIRREQDRQTEPTLPINALSCLGGIVEKETKRFHQLSPVKQTPVMFYEM
+>sp|Q14188|TFDP2_HUMAN Transcription factor Dp-2 OS=Homo sapiens OX=9606 GN=TFDP2 PE=1 SV=2
+MTAKNVGLTSTNAEVRGFIDQNLSPTKGNISFVAFPVSNTNSPTKILPKTLGPINVNVGPQMIISTPQRLTSSGSVLIGSPYTPAPAMVTQTHIAEATGWVPGDRKRARKFIDSDFSESKRSKKGDKNGKGLRHFSMKVCEKVQRKGTTSYNEVADELVSEFTNSNNHLAADSAYDQKNIRRRVYDALNVLMAMNIISKEKKEIKWIGLPTNSAQECQNLEIEKQRRIERIKQKRAQLQELLLQQIAFKNLVQRNRQNEQQNQGPPALNSTIQLPFIIINTSRKTVIDCSISSDKFEYLFNFDNTFEIHDDIEVLKRMGMSFGLESGKCSLEDLKLAKSLVPKALEGYITDISTGPSWLNQGLLLNSTQSVSNLDLTTGATLPQSSVNQGLCLDAEVALATGQFLAPNSHQSSSAASHCSESRGETPCSFNDEDEEDDEEDSSSPE
+>DECOY_sp|Q14188|TFDP2_HUMAN Transcription factor Dp-2 OS=Homo sapiens OX=9606 GN=TFDP2 PE=1 SV=2
+EPSSSDEEDDEEDEDNFSCPTEGRSESCHSAASSSQHSNPALFQGTALAVEADLCLGQNVSSQPLTAGTTLDLNSVSQTSNLLLGQNLWSPGTSIDTIYGELAKPVLSKALKLDELSCKGSELGFSMGMRKLVEIDDHIEFTNDFNFLYEFKDSSISCDIVTKRSTNIIIFPLQITSNLAPPGQNQQENQRNRQVLNKFAIQQLLLEQLQARKQKIREIRRQKEIELNQCEQASNTPLGIWKIEKKEKSIINMAMLVNLADYVRRRINKQDYASDAALHNNSNTFESVLEDAVENYSTTGKRQVKECVKMSFHRLGKGNKDGKKSRKSESFDSDIFKRARKRDGPVWGTAEAIHTQTVMAPAPTYPSGILVSGSSTLRQPTSIIMQPGVNVNIPGLTKPLIKTPSNTNSVPFAVFSINGKTPSLNQDIFGRVEANTSTLGVNKATM
+>sp|P19532|TFE3_HUMAN Transcription factor E3 OS=Homo sapiens OX=9606 GN=TFE3 PE=1 SV=4
+MSHAAEPARDGVEASAEGPRAVFVLLEERRPADSAQLLSLNSLLPESGIVADIELENVLDPDSFYELKSQPLPLRSSLPISLQATPATPATLSASSSAGGSRTPAMSSSSSSRVLLRQQLMRAQAQEQERRERREQAAAAPFPSPAPASPAISVVGVSAGGHTLSRPPPAQVPREVLKVQTHLENPTRYHLQQARRQQVKQYLSTTLGPKLASQALTPPPGPASAQPLPAPEAAHTTGPTGSAPNSPMALLTIGSSSEKEIDDVIDEIISLESSYNDEMLSYLPGGTTGLQLPSTLPVSGNLLDVYSSQGVATPAITVSNSCPAELPNIKREISETEAKALLKERQKKDNHNLIERRRRFNINDRIKELGTLIPKSSDPEMRWNKGTILKASVDYIRKLQKEQQRSKDLESRQRSLEQANRSLQLRIQELELQAQIHGLPVPPTPGLLSLATTSASDSLKPEQLDIEEEGRPGAATFHVGGGPAQNAPHQQPPAPPSDALLDLHFPSDHLGDLGDPFHLGLEDILMEEEEGVVGGLSGGALSPLRAASDPLLSSVSPAVSKASSRRSSFSMEEES
+>DECOY_sp|P19532|TFE3_HUMAN Transcription factor E3 OS=Homo sapiens OX=9606 GN=TFE3 PE=1 SV=4
+SEEEMSFSSRRSSAKSVAPSVSSLLPDSAARLPSLAGGSLGGVVGEEEEMLIDELGLHFPDGLDGLHDSPFHLDLLADSPPAPPQQHPANQAPGGGVHFTAAGPRGEEEIDLQEPKLSDSASTTALSLLGPTPPVPLGHIQAQLELEQIRLQLSRNAQELSRQRSELDKSRQQEKQLKRIYDVSAKLITGKNWRMEPDSSKPILTGLEKIRDNINFRRRREILNHNDKKQREKLLAKAETESIERKINPLEAPCSNSVTIAPTAVGQSSYVDLLNGSVPLTSPLQLGTTGGPLYSLMEDNYSSELSIIEDIVDDIEKESSSGITLLAMPSNPASGTPGTTHAAEPAPLPQASAPGPPPTLAQSALKPGLTTSLYQKVQQRRAQQLHYRTPNELHTQVKLVERPVQAPPPRSLTHGGASVGVVSIAPSAPAPSPFPAAAAQERRERREQEQAQARMLQQRLLVRSSSSSSMAPTRSGGASSSASLTAPTAPTAQLSIPLSSRLPLPQSKLEYFSDPDLVNELEIDAVIGSEPLLSNLSLLQASDAPRREELLVFVARPGEASAEVGDRAPEAAHSM
+>sp|Q9BXR0|TGT_HUMAN Queuine tRNA-ribosyltransferase catalytic subunit 1 OS=Homo sapiens OX=9606 GN=QTRT1 PE=1 SV=3
+MAGAATQASLESAPRIMRLVAECSRSRARAGELWLPHGTVATPVFMPVGTQATMKGITTEQLDALGCRICLGNTYHLGLRPGPELIQKANGLHGFMNWPHNLLTDSGGFQMVSLVSLSEVTEEGVRFRSPYDGNETLLSPEKSVQIQNALGSDIIMQLDDVVSSTVTGPRVEEAMYRSIRWLDRCIAAHQRPDKQNLFAIIQGGLDADLRATCLEEMTKRDVPGFAIGGLSGGESKSQFWRMVALSTSRLPKDKPRYLMGVGYATDLVVCVALGCDMFDCVFPTRTARFGSALVPTGNLQLRKKVFEKDFGPIDPECTCPTCQKHSRAFLHALLHSDNTAALHHLTVHNIAYQLQLMSAVRTSIVEKRFPDFVRDFMGAMYGDPTLCPTWATDALASVGITLG
+>DECOY_sp|Q9BXR0|TGT_HUMAN Queuine tRNA-ribosyltransferase catalytic subunit 1 OS=Homo sapiens OX=9606 GN=QTRT1 PE=1 SV=3
+GLTIGVSALADTAWTPCLTPDGYMAGMFDRVFDPFRKEVISTRVASMLQLQYAINHVTLHHLAATNDSHLLAHLFARSHKQCTPCTCEPDIPGFDKEFVKKRLQLNGTPVLASGFRATRTPFVCDFMDCGLAVCVVLDTAYGVGMLYRPKDKPLRSTSLAVMRWFQSKSEGGSLGGIAFGPVDRKTMEELCTARLDADLGGQIIAFLNQKDPRQHAAICRDLWRISRYMAEEVRPGTVTSSVVDDLQMIIDSGLANQIQVSKEPSLLTENGDYPSRFRVGEETVESLSVLSVMQFGGSDTLLNHPWNMFGHLGNAKQILEPGPRLGLHYTNGLCIRCGLADLQETTIGKMTAQTGVPMFVPTAVTGHPLWLEGARARSRSCEAVLRMIRPASELSAQTAAGAM
+>sp|Q9NVV9|THAP1_HUMAN THAP domain-containing protein 1 OS=Homo sapiens OX=9606 GN=THAP1 PE=1 SV=1
+MVQSCSAYGCKNRYDKDKPVSFHKFPLTRPSLCKEWEAAVRRKNFKPTKYSSICSEHFTPDCFKRECNNKLLKENAVPTIFLCTEPHDKKEDLLEPQEQLPPPPLPPPVSQVDAAIGLLMPPLQTPVNLSVFCDHNYTVEDTMHQRKRIHQLEQQVEKLRKKLKTAQQRCRRQERQLEKLKEVVHFQKEKDDVSERGYVILPNDYFEIVEVPA
+>DECOY_sp|Q9NVV9|THAP1_HUMAN THAP domain-containing protein 1 OS=Homo sapiens OX=9606 GN=THAP1 PE=1 SV=1
+APVEVIEFYDNPLIVYGRESVDDKEKQFHVVEKLKELQREQRRCRQQATKLKKRLKEVQQELQHIRKRQHMTDEVTYNHDCFVSLNVPTQLPPMLLGIAADVQSVPPPLPPPPLQEQPELLDEKKDHPETCLFITPVANEKLLKNNCERKFCDPTFHESCISSYKTPKFNKRRVAAEWEKCLSPRTLPFKHFSVPKDKDYRNKCGYASCSQVM
+>sp|P24557|THAS_HUMAN Thromboxane-A synthase OS=Homo sapiens OX=9606 GN=TBXAS1 PE=1 SV=3
+MEALGFLKLEVNGPMVTVALSVALLALLKWYSTSAFSRLEKLGLRHPKPSPFIGNLTFFRQGFWESQMELRKLYGPLCGYYLGRRMFIVISEPDMIKQVLVENFSNFTNRMASGLEFKSVADSVLFLRDKRWEEVRGALMSAFSPEKLNEMVPLISQACDLLLAHLKRYAESGDAFDIQRCYCNYTTDVVASVAFGTPVDSWQAPEDPFVKHCKRFFEFCIPRPILVLLLSFPSIMVPLARILPNKNRDELNGFFNKLIRNVIALRDQQAAEERRRDFLQMVLDARHSASPMGVQDFDIVRDVFSSTGCKPNPSRQHQPSPMARPLTVDEIVGQAFIFLIAGYEIITNTLSFATYLLATNPDCQEKLLREVDVFKEKHMAPEFCSLEEGLPYLDMVIAETLRMYPPAFRFTREAAQDCEVLGQRIPAGAVLEMAVGALHHDPEHWPSPETFNPERFTAEARQQHRPFTYLPFGAGPRSCLGVRLGLLEVKLTLLHVLHKFRFQACPETQVPLQLESKSALGPKNGVYIKIVSR
+>DECOY_sp|P24557|THAS_HUMAN Thromboxane-A synthase OS=Homo sapiens OX=9606 GN=TBXAS1 PE=1 SV=3
+RSVIKIYVGNKPGLASKSELQLPVQTEPCAQFRFKHLVHLLTLKVELLGLRVGLCSRPGAGFPLYTFPRHQQRAEATFREPNFTEPSPWHEPDHHLAGVAMELVAGAPIRQGLVECDQAAERTFRFAPPYMRLTEAIVMDLYPLGEELSCFEPAMHKEKFVDVERLLKEQCDPNTALLYTAFSLTNTIIEYGAILFIFAQGVIEDVTLPRAMPSPQHQRSPNPKCGTSSFVDRVIDFDQVGMPSASHRADLVMQLFDRRREEAAQQDRLAIVNRILKNFFGNLEDRNKNPLIRALPVMISPFSLLLVLIPRPICFEFFRKCHKVFPDEPAQWSDVPTGFAVSAVVDTTYNCYCRQIDFADGSEAYRKLHALLLDCAQSILPVMENLKEPSFASMLAGRVEEWRKDRLFLVSDAVSKFELGSAMRNTFNSFNEVLVQKIMDPESIVIFMRRGLYYGCLPGYLKRLEMQSEWFGQRFFTLNGIFPSPKPHRLGLKELRSFASTSYWKLLALLAVSLAVTVMPGNVELKLFGLAEM
+>sp|P10828|THB_HUMAN Thyroid hormone receptor beta OS=Homo sapiens OX=9606 GN=THRB PE=1 SV=2
+MTPNSMTENGLTAWDKPKHCPDREHDWKLVGMSEACLHRKSHSERRSTLKNEQSSPHLIQTTWTSSIFHLDHDDVNDQSVSSAQTFQTEEKKCKGYIPSYLDKDELCVVCGDKATGYHYRCITCEGCKGFFRRTIQKNLHPSYSCKYEGKCVIDKVTRNQCQECRFKKCIYVGMATDLVLDDSKRLAKRKLIEENREKRRREELQKSIGHKPEPTDEEWELIKTVTEAHVATNAQGSHWKQKRKFLPEDIGQAPIVNAPEGGKVDLEAFSHFTKIITPAITRVVDFAKKLPMFCELPCEDQIILLKGCCMEIMSLRAAVRYDPESETLTLNGEMAVTRGQLKNGGLGVVSDAIFDLGMSLSSFNLDDTEVALLQAVLLMSSDRPGLACVERIEKYQDSFLLAFEHYINYRKHHVTHFWPKLLMKVTDLRMIGACHASRFLHMKVECPTELFPPLFLEVFED
+>DECOY_sp|P10828|THB_HUMAN Thyroid hormone receptor beta OS=Homo sapiens OX=9606 GN=THRB PE=1 SV=2
+DEFVELFLPPFLETPCEVKMHLFRSAHCAGIMRLDTVKMLLKPWFHTVHHKRYNIYHEFALLFSDQYKEIREVCALGPRDSSMLLVAQLLAVETDDLNFSSLSMGLDFIADSVVGLGGNKLQGRTVAMEGNLTLTESEPDYRVAARLSMIEMCCGKLLIIQDECPLECFMPLKKAFDVVRTIAPTIIKTFHSFAELDVKGGEPANVIPAQGIDEPLFKRKQKWHSGQANTAVHAETVTKILEWEEDTPEPKHGISKQLEERRRKERNEEILKRKALRKSDDLVLDTAMGVYICKKFRCEQCQNRTVKDIVCKGEYKCSYSPHLNKQITRRFFGKCGECTICRYHYGTAKDGCVVCLEDKDLYSPIYGKCKKEETQFTQASSVSQDNVDDHDLHFISSTWTTQILHPSSQENKLTSRRESHSKRHLCAESMGVLKWDHERDPCHKPKDWATLGNETMSNPTM
+>sp|Q9P2T0|THEG_HUMAN Testicular haploid expressed gene protein OS=Homo sapiens OX=9606 GN=THEG PE=1 SV=1
+MGDSRRRSLGNQPSSEAAGRSEREQDGDPRGLQSSVYESRRVTDPERQDLDNAELGPEDPEEELPPEEVAGEEFPETLDPKEALSELERVLDKDLEEDIPEISRLSISQKLPSTTMTKARKRRRRRRLMELAEPKINWQVLKDRKGRCGKGYAWISPCKMSLHFCLCWPSVYWTERFLEDTTLTITVPAVSRRVEELSRPKRFYLEYYNNNRTTPVWPIPRSSLEYRASSRLKELAAPKIRDNFWSMPMSEVSQVSRAAQMAVPSSRILQLSKPKAPATLLEEWDPVPKPKPHVSDHNRLLHLARPKAQSDKCVPDRDPRWEVLDVTKKVVASPRIISLAKPKVRKGLNEGYDRRPLASMSLPPPKASPEKCDQPRPGL
+>DECOY_sp|Q9P2T0|THEG_HUMAN Testicular haploid expressed gene protein OS=Homo sapiens OX=9606 GN=THEG PE=1 SV=1
+LGPRPQDCKEPSAKPPPLSMSALPRRDYGENLGKRVKPKALSIIRPSAVVKKTVDLVEWRPDRDPVCKDSQAKPRALHLLRNHDSVHPKPKPVPDWEELLTAPAKPKSLQLIRSSPVAMQAARSVQSVESMPMSWFNDRIKPAALEKLRSSARYELSSRPIPWVPTTRNNNYYELYFRKPRSLEEVRRSVAPVTITLTTDELFRETWYVSPWCLCFHLSMKCPSIWAYGKGCRGKRDKLVQWNIKPEALEMLRRRRRRKRAKTMTTSPLKQSISLRSIEPIDEELDKDLVRELESLAEKPDLTEPFEEGAVEEPPLEEEPDEPGLEANDLDQREPDTVRRSEYVSSQLGRPDGDQERESRGAAESSPQNGLSRRRSDGM
+>sp|Q86YJ6|THNS2_HUMAN Threonine synthase-like 2 OS=Homo sapiens OX=9606 GN=THNSL2 PE=2 SV=3
+MWYVSTRGVAPRVNFEGALFSGYAPDGGLFMPEELPQLDRGTLCQWSTLSYPGLVKELCALFIGSELLPKDELNDLIDRAFSRFRHREVVHLSRLRNGLNVLELWHGVTYAFKDLSLSCTTQFLQYFLEKREKHVTVVVGTSGDTGSAAIESVQGAKNMDIIVLLPKGHCTKIQELQMTTVLKQNVHVFGVEGNSDELDEPIKTVFADVAFVKKHNLMSLNSINWSRVLVQMAHHFFAYFQCTPSLDTHPLPLVEVVVPTGAAGNLAAGYIAQKIGLPIRLVVAVNRNDIIHRTVQQGDFSLSEAVKSTLASAMDIQVPYNMERVFWLLSGSDSQVTRALMEQFERTQSVNLPKELHSKLSEAVTSVSVSDEAITQTMGRCWDENQYLLCPHSAVAVNYHYQQIDRQQPSTPRCCLAPASAAKFPEAVLAAGLTPETPAEIVALEHKETRCTLMRRGDNWMLMLRDTIEDLSRQWRSHALNTSQ
+>DECOY_sp|Q86YJ6|THNS2_HUMAN Threonine synthase-like 2 OS=Homo sapiens OX=9606 GN=THNSL2 PE=2 SV=3
+QSTNLAHSRWQRSLDEITDRLMLMWNDGRRMLTCRTEKHELAVIEAPTEPTLGAALVAEPFKAASAPALCCRPTSPQQRDIQQYHYNVAVASHPCLLYQNEDWCRGMTQTIAEDSVSVSTVAESLKSHLEKPLNVSQTREFQEMLARTVQSDSGSLLWFVREMNYPVQIDMASALTSKVAESLSFDGQQVTRHIIDNRNVAVVLRIPLGIKQAIYGAALNGAAGTPVVVEVLPLPHTDLSPTCQFYAFFHHAMQVLVRSWNISNLSMLNHKKVFAVDAFVTKIPEDLEDSNGEVGFVHVNQKLVTTMQLEQIKTCHGKPLLVIIDMNKAGQVSEIAASGTDGSTGVVVTVHKERKELFYQLFQTTCSLSLDKFAYTVGHWLELVNLGNRLRSLHVVERHRFRSFARDILDNLEDKPLLESGIFLACLEKVLGPYSLTSWQCLTGRDLQPLEEPMFLGGDPAYGSFLAGEFNVRPAVGRTSVYWM
+>sp|Q96FV9|THOC1_HUMAN THO complex subunit 1 OS=Homo sapiens OX=9606 GN=THOC1 PE=1 SV=1
+MSPTPPLFSLPEARTRFTKSTREALNNKNIKPLLSTFSQVPGSENEKKCTLDQAFRGILEEEIINHSSCENVLAIISLAIGGVTEGICTASTPFVLLGDVLDCLPLDQCDTIFTFVEKNVATWKSNTFYSAGKNYLLRMCNDLLRRLSKSQNTVFCGRIQLFLARLFPLSEKSGLNLQSQFNLENVTVFNTNEQESTLGQKHTEDREEGMDVEEGEMGDEEAPTTCSIPIDYNLYRKFWSLQDYFRNPVQCYEKISWKTFLKYSEEVLAVFKSYKLDDTQASRKKMEELKTGGEHVYFAKFLTSEKLMDLQLSDSNFRRHILLQYLILFQYLKGQVKFKSSNYVLTDEQSLWIEDTTKSVYQLLSENPPDGERFSKMVEHILNTEENWNSWKNEGCPSFVKERTSDTKPTRIIRKRTAPEDFLGKGPTKKILMGNEELTRLWNLCPDNMEACKSETREHMPTLEEFFEEAIEQADPENMVENEYKAVNNSNYGWRALRLLARRSPHFFQPTNQQFKSLPEYLENMVIKLAKELPPPSEEIKTGEDEDEEDNDALLKENESPDVRRDKPVTGEQIEVFANKLGEQWKILAPYLEMKDSEIRQIECDSEDMKMRAKQLLVAWQDQEGVHATPENLINALNKSGLSDLAESLTNDNETNS
+>DECOY_sp|Q96FV9|THOC1_HUMAN THO complex subunit 1 OS=Homo sapiens OX=9606 GN=THOC1 PE=1 SV=1
+SNTENDNTLSEALDSLGSKNLANILNEPTAHVGEQDQWAVLLQKARMKMDESDCEIQRIESDKMELYPALIKWQEGLKNAFVEIQEGTVPKDRRVDPSENEKLLADNDEEDEDEGTKIEESPPPLEKALKIVMNELYEPLSKFQQNTPQFFHPSRRALLRLARWGYNSNNVAKYENEVMNEPDAQEIAEEFFEELTPMHERTESKCAEMNDPCLNWLRTLEENGMLIKKTPGKGLFDEPATRKRIIRTPKTDSTREKVFSPCGENKWSNWNEETNLIHEVMKSFREGDPPNESLLQYVSKTTDEIWLSQEDTLVYNSSKFKVQGKLYQFLILYQLLIHRRFNSDSLQLDMLKESTLFKAFYVHEGGTKLEEMKKRSAQTDDLKYSKFVALVEESYKLFTKWSIKEYCQVPNRFYDQLSWFKRYLNYDIPISCTTPAEEDGMEGEEVDMGEERDETHKQGLTSEQENTNFVTVNELNFQSQLNLGSKESLPFLRALFLQIRGCFVTNQSKSLRRLLDNCMRLLYNKGASYFTNSKWTAVNKEVFTFITDCQDLPLCDLVDGLLVFPTSATCIGETVGGIALSIIALVNECSSHNIIEEELIGRFAQDLTCKKENESGPVQSFTSLLPKINKNNLAERTSKTFRTRAEPLSFLPPTPSM
+>sp|Q8NI27|THOC2_HUMAN THO complex subunit 2 OS=Homo sapiens OX=9606 GN=THOC2 PE=1 SV=2
+MAAAAVVVPAEWIKNWEKSGRGEFLHLCRILSENKSHDSSTYRDFQQALYELSYHVIKGNLKHEQASNVLSDISEFREDMPSILADVFCILDIETNCLEEKSKRDYFTQLVLACLYLVSDTVLKERLDPETLESLGLIKQSQQFNQKSVKIKTKLFYKQQKFNLLREENEGYAKLIAELGQDLSGSITSDLILENIKSLIGCFNLDPNRVLDVILEVFECRPEHDDFFISLLESYMSMCEPQTLCHILGFKFKFYQEPNGETPSSLYRVAAVLLQFNLIDLDDLYVHLLPADNCIMDEHKREIAEAKQIVRKLTMVVLSSEKMDEREKEKEKEEEKVEKPPDNQKLGLLEALLKIGDWQHAQNIMDQMPPYYAASHKLIALAICKLIHITIEPLYRRVGVPKGAKGSPVNALQNKRAPKQAESFEDLRRDVFNMFCYLGPHLSHDPILFAKVVRIGKSFMKEFQSDGSKQEDKEKTEVILSCLLSITDQVLLPSLSLMDCNACMSEELWGMFKTFPYQHRYRLYGQWKNETYNSHPLLVKVKAQTIDRAKYIMKRLTKENVKPSGRQIGKLSHSNPTILFDYILSQIQKYDNLITPVVDSLKYLTSLNYDVLAYCIIEALANPEKERMKHDDTTISSWLQSLASFCGAVFRKYPIDLAGLLQYVANQLKAGKSFDLLILKEVVQKMAGIEITEEMTMEQLEAMTGGEQLKAEGGYFGQIRNTKKSSQRLKDALLDHDLALPLCLLMAQQRNGVIFQEGGEKHLKLVGKLYDQCHDTLVQFGGFLASNLSTEDYIKRVPSIDVLCNEFHTPHDAAFFLSRPMYAHHISSKYDELKKSEKGSKQQHKVHKYITSCEMVMAPVHEAVVSLHVSKVWDDISPQFYATFWSLTMYDLAVPHTSYEREVNKLKVQMKAIDDNQEMPPNKKKKEKERCTALQDKLLEEEKKQMEHVQRVLQRLKLEKDNWLLAKSTKNETITKFLQLCIFPRCIFSAIDAVYCARFVELVHQQKTPNFSTLLCYDRVFSDIIYTVASCTENEASRYGRFLCCMLETVTRWHSDRATYEKECGNYPGFLTILRATGFDGGNKADQLDYENFRHVVHKWHYKLTKASVHCLETGEYTHIRNILIVLTKILPWYPKVLNLGQALERRVHKICQEEKEKRPDLYALAMGYSGQLKSRKSYMIPENEFHHKDPPPRNAVASVQNGPGGGPSSSSIGSASKSDESSTEETDKSRERSQCGVKAVNKASSTTPKGNSSNGNSGSNSNKAVKENDKEKGKEKEKEKKEKTPATTPEARVLGKDGKEKPKEERPNKDEKARETKERTPKSDKEKEKFKKEEKAKDEKFKTTVPNAESKSTQEREREKEPSRERDIAKEMKSKENVKGGEKTPVSGSLKSPVPRSDIPEPEREQKRRKIDTHPSPSHSSTVKDSLIELKESSAKLYINHTPPPLSKSKEREMDKKDLDKSRERSREREKKDEKDRKERKRDHSNNDREVPPDLTKRRKEENGTMGVSKHKSESPCESPYPNEKDKEKNKSKSSGKEKGSDSFKSEKMDKISSGGKKESRHDKEKIEKKEKRDSSGGKEEKKHHKSSDKHR
+>DECOY_sp|Q8NI27|THOC2_HUMAN THO complex subunit 2 OS=Homo sapiens OX=9606 GN=THOC2 PE=1 SV=2
+RHKDSSKHHKKEEKGGSSDRKEKKEIKEKDHRSEKKGGSSIKDMKESKFSDSGKEKGSSKSKNKEKDKENPYPSECPSESKHKSVGMTGNEEKRRKTLDPPVERDNNSHDRKREKRDKEDKKERERSRERSKDLDKKDMEREKSKSLPPPTHNIYLKASSEKLEILSDKVTSSHSPSPHTDIKRRKQEREPEPIDSRPVPSKLSGSVPTKEGGKVNEKSKMEKAIDRERSPEKEREREQTSKSEANPVTTKFKEDKAKEEKKFKEKEKDSKPTREKTERAKEDKNPREEKPKEKGDKGLVRAEPTTAPTKEKKEKEKEKGKEKDNEKVAKNSNSGSNGNSSNGKPTTSSAKNVAKVGCQSRERSKDTEETSSEDSKSASGISSSSPGGGPGNQVSAVANRPPPDKHHFENEPIMYSKRSKLQGSYGMALAYLDPRKEKEEQCIKHVRRELAQGLNLVKPYWPLIKTLVILINRIHTYEGTELCHVSAKTLKYHWKHVVHRFNEYDLQDAKNGGDFGTARLITLFGPYNGCEKEYTARDSHWRTVTELMCCLFRGYRSAENETCSAVTYIIDSFVRDYCLLTSFNPTKQQHVLEVFRACYVADIASFICRPFICLQLFKTITENKTSKALLWNDKELKLRQLVRQVHEMQKKEEELLKDQLATCREKEKKKKNPPMEQNDDIAKMQVKLKNVEREYSTHPVALDYMTLSWFTAYFQPSIDDWVKSVHLSVVAEHVPAMVMECSTIYKHVKHQQKSGKESKKLEDYKSSIHHAYMPRSLFFAADHPTHFENCLVDISPVRKIYDETSLNSALFGGFQVLTDHCQDYLKGVLKLHKEGGEQFIVGNRQQAMLLCLPLALDHDLLADKLRQSSKKTNRIQGFYGGEAKLQEGGTMAELQEMTMEETIEIGAMKQVVEKLILLDFSKGAKLQNAVYQLLGALDIPYKRFVAGCFSALSQLWSSITTDDHKMREKEPNALAEIICYALVDYNLSTLYKLSDVVPTILNDYKQIQSLIYDFLITPNSHSLKGIQRGSPKVNEKTLRKMIYKARDITQAKVKVLLPHSNYTENKWQGYLRYRHQYPFTKFMGWLEESMCANCDMLSLSPLLVQDTISLLCSLIVETKEKDEQKSGDSQFEKMFSKGIRVVKAFLIPDHSLHPGLYCFMNFVDRRLDEFSEAQKPARKNQLANVPSGKAGKPVGVRRYLPEITIHILKCIALAILKHSAAYYPPMQDMINQAHQWDGIKLLAELLGLKQNDPPKEVKEEEKEKEKEREDMKESSLVVMTLKRVIQKAEAIERKHEDMICNDAPLLHVYLDDLDILNFQLLVAAVRYLSSPTEGNPEQYFKFKFGLIHCLTQPECMSMYSELLSIFFDDHEPRCEFVELIVDLVRNPDLNFCGILSKINELILDSTISGSLDQGLEAILKAYGENEERLLNFKQQKYFLKTKIKVSKQNFQQSQKILGLSELTEPDLREKLVTDSVLYLCALVLQTFYDRKSKEELCNTEIDLICFVDALISPMDERFESIDSLVNSAQEHKLNGKIVHYSLEYLAQQFDRYTSSDHSKNESLIRCLHLFEGRGSKEWNKIWEAPVVVAAAAM
+>sp|Q86V81|THOC4_HUMAN THO complex subunit 4 OS=Homo sapiens OX=9606 GN=ALYREF PE=1 SV=3
+MADKMDMSLDDIIKLNRSQRGGRGGGRGRGRAGSQGGRGGGAQAAARVNRGGGPIRNRPAIARGAAGGGGRNRPAPYSRPKQLPDKWQHDLFDSGFGGGAGVETGGKLLVSNLDFGVSDADIQELFAEFGTLKKAAVHYDRSGRSLGTADVHFERKADALKAMKQYNGVPLDGRPMNIQLVTSQIDAQRRPAQSVNRGGMTRNRGAGGFGGGGGTRRGTRGGARGRGRGAGRNSKQQLSAEELDAQLDAYNARMDTS
+>DECOY_sp|Q86V81|THOC4_HUMAN THO complex subunit 4 OS=Homo sapiens OX=9606 GN=ALYREF PE=1 SV=3
+STDMRANYADLQADLEEASLQQKSNRGAGRGRGRAGGRTGRRTGGGGGFGGAGRNRTMGGRNVSQAPRRQADIQSTVLQINMPRGDLPVGNYQKMAKLADAKREFHVDATGLSRGSRDYHVAAKKLTGFEAFLEQIDADSVGFDLNSVLLKGGTEVGAGGGFGSDFLDHQWKDPLQKPRSYPAPRNRGGGGAAGRAIAPRNRIPGGGRNVRAAAQAGGGRGGQSGARGRGRGGGRGGRQSRNLKIIDDLSMDMKDAM
+>sp|Q9NXG2|THUM1_HUMAN THUMP domain-containing protein 1 OS=Homo sapiens OX=9606 GN=THUMPD1 PE=1 SV=2
+MAAPAQQTTQPGGGKRKGKAQYVLAKRARRCDAGGPRQLEPGLQGILITCNMNERKCVEEAYSLLNEYGDDMYGPEKFTDKDQQPSGSEGEDDDAEAALKKEVGDIKASTEMRLRRFQSVESGANNVVFIRTLGIEPEKLVHHILQDMYKTKKKKTRVILRMLPISGTCKAFLEDMKKYAETFLEPWFKAPNKGTFQIVYKSRNNSHVNREEVIRELAGIVCTLNSENKVDLTNPQYTVVVEIIKAVCCLSVVKDYMLFRKYNLQEVVKSPKDPSQLNSKQGNGKEAKLESADKSDQNNTAEGKNNQQVPENTEELGQTKPTSNPQVVNEGGAKPELASQATEGSKSNENDFS
+>DECOY_sp|Q9NXG2|THUM1_HUMAN THUMP domain-containing protein 1 OS=Homo sapiens OX=9606 GN=THUMPD1 PE=1 SV=2
+SFDNENSKSGETAQSALEPKAGGENVVQPNSTPKTQGLEETNEPVQQNNKGEATNNQDSKDASELKAEKGNGQKSNLQSPDKPSKVVEQLNYKRFLMYDKVVSLCCVAKIIEVVVTYQPNTLDVKNESNLTCVIGALERIVEERNVHSNNRSKYVIQFTGKNPAKFWPELFTEAYKKMDELFAKCTGSIPLMRLIVRTKKKKTKYMDQLIHHVLKEPEIGLTRIFVVNNAGSEVSQFRRLRMETSAKIDGVEKKLAAEADDDEGESGSPQQDKDTFKEPGYMDDGYENLLSYAEEVCKRENMNCTILIGQLGPELQRPGGADCRRARKALVYQAKGKRKGGGPQTTQQAPAAM
+>sp|Q96CG3|TIFA_HUMAN TRAF-interacting protein with FHA domain-containing protein A OS=Homo sapiens OX=9606 GN=TIFA PE=1 SV=1
+MTSFEDADTEETVTCLQMTVYHPGQLQCGIFQSISFNREKLPSSEVVKFGRNSNICHYTFQDKQVSRVQFSLQLFKKFNSSVLSFEIKNMSKKTNLIVDSRELGYLNKMDLPYRCMVRFGEYQFLMEKEDGESLEFFETQFILSPRSLLQENNWPPHRPIPEYGTYSLCSSQSSSPTEMDENES
+>DECOY_sp|Q96CG3|TIFA_HUMAN TRAF-interacting protein with FHA domain-containing protein A OS=Homo sapiens OX=9606 GN=TIFA PE=1 SV=1
+SENEDMETPSSSQSSCLSYTGYEPIPRHPPWNNEQLLSRPSLIFQTEFFELSEGDEKEMLFQYEGFRVMCRYPLDMKNLYGLERSDVILNTKKSMNKIEFSLVSSNFKKFLQLSFQVRSVQKDQFTYHCINSNRGFKVVESSPLKERNFSISQFIGCQLQGPHYVTMQLCTVTEETDADEFSTM
+>sp|Q17RP2|TIGD6_HUMAN Tigger transposable element-derived protein 6 OS=Homo sapiens OX=9606 GN=TIGD6 PE=2 SV=2
+MANKGNKKRRQFSLEEKMKVVGAVDSGKRKGDVAKEFGITPSTLSTFLKDRTKFEEKVREASVGPQRKRMRSALYDDIDKAVFAWFQEIHAKNILVTGSVIRKKALNLANMLGYDNFQASVGWLNRFRDRHGIALKAVCREDSDRLMNGLGIDKINEWHAGEIIKLIADYSPDDIFNADETGVFFQLLPQHTLAAKGDHCRGGKKAKQRLTALFCCNASGTEKMRPLIVGRSASPHCLKNIHSLPCDYRANQWAWMTRDLFNEWLMQVDARMKRAERRILLLIDNCSAHNMLPHLERIQVGYLPSNCTAVLQPLNLGIIHTMKVLYQSHLLKQILLKLNSSEDQEEVDIKQAIDMIAAAWWSVKPSTVVKCWQKAGIVPMEFAECDTESAASEPDIAIEKLWHTVAIATCVPNEVNFQDFVTADDDLIISQDTDIIQDMVAGENTSEAGSEDEGEVSLPEQPKVTITEAISSVQKLRQFLSTCVDIPDAIFGQLNGIDEYLMKRVTQTLIDSKITDFLQTK
+>DECOY_sp|Q17RP2|TIGD6_HUMAN Tigger transposable element-derived protein 6 OS=Homo sapiens OX=9606 GN=TIGD6 PE=2 SV=2
+KTQLFDTIKSDILTQTVRKMLYEDIGNLQGFIADPIDVCTSLFQRLKQVSSIAETITVKPQEPLSVEGEDESGAESTNEGAVMDQIIDTDQSIILDDDATVFDQFNVENPVCTAIAVTHWLKEIAIDPESAASETDCEAFEMPVIGAKQWCKVVTSPKVSWWAAAIMDIAQKIDVEEQDESSNLKLLIQKLLHSQYLVKMTHIIGLNLPQLVATCNSPLYGVQIRELHPLMNHASCNDILLLIRREARKMRADVQMLWENFLDRTMWAWQNARYDCPLSHINKLCHPSASRGVILPRMKETGSANCCFLATLRQKAKKGGRCHDGKAALTHQPLLQFFVGTEDANFIDDPSYDAILKIIEGAHWENIKDIGLGNMLRDSDERCVAKLAIGHRDRFRNLWGVSAQFNDYGLMNALNLAKKRIVSGTVLINKAHIEQFWAFVAKDIDDYLASRMRKRQPGVSAERVKEEFKTRDKLFTSLTSPTIGFEKAVDGKRKGSDVAGVVKMKEELSFQRRKKNGKNAM
+>sp|P62072|TIM10_HUMAN Mitochondrial import inner membrane translocase subunit Tim10 OS=Homo sapiens OX=9606 GN=TIMM10 PE=1 SV=1
+MDPLRAQQLAAELEVEMMADMYNRMTSACHRKCVPPHYKEAELSKGESVCLDRCVSKYLDIHERMGKKLTELSMQDEELMKRVQQSSGPA
+>DECOY_sp|P62072|TIM10_HUMAN Mitochondrial import inner membrane translocase subunit Tim10 OS=Homo sapiens OX=9606 GN=TIMM10 PE=1 SV=1
+APGSSQQVRKMLEEDQMSLETLKKGMREHIDLYKSVCRDLCVSEGKSLEAEKYHPPVCKRHCASTMRNYMDAMMEVELEAALQQARLPDM
+>sp|O60220|TIM8A_HUMAN Mitochondrial import inner membrane translocase subunit Tim8 A OS=Homo sapiens OX=9606 GN=TIMM8A PE=1 SV=1
+MDSSSSSSAAGLGAVDPQLQHFIEVETQKQRFQQLVHQMTELCWEKCMDKPGPKLDSRAEACFVNCVERFIDTSQFILNRLEQTQKSKPVFSESLSD
+>DECOY_sp|O60220|TIM8A_HUMAN Mitochondrial import inner membrane translocase subunit Tim8 A OS=Homo sapiens OX=9606 GN=TIMM8A PE=1 SV=1
+DSLSESFVPKSKQTQELRNLIFQSTDIFREVCNVFCAEARSDLKPGPKDMCKEWCLETMQHVLQQFRQKQTEVEIFHQLQPDVAGLGAASSSSSSDM
+>sp|Q9UHF0|TKNK_HUMAN Tachykinin-3 OS=Homo sapiens OX=9606 GN=TAC3 PE=1 SV=1
+MRIMLLFTAILAFSLAQSFGAVCKEPQEEVVPGGGRSKRDPDLYQLLQRLFKSHSSLEGLLKALSQASTDPKESTSPEKRDMHDFFVGLMGKRSVQPDSPTDVNQENVPSFGILKYPPRAE
+>DECOY_sp|Q9UHF0|TKNK_HUMAN Tachykinin-3 OS=Homo sapiens OX=9606 GN=TAC3 PE=1 SV=1
+EARPPYKLIGFSPVNEQNVDTPSDPQVSRKGMLGVFFDHMDRKEPSTSEKPDTSAQSLAKLLGELSSHSKFLRQLLQYLDPDRKSRGGGPVVEEQPEKCVAGFSQALSFALIATFLLMIRM
+>sp|Q9H0I9|TKTL2_HUMAN Transketolase-like protein 2 OS=Homo sapiens OX=9606 GN=TKTL2 PE=2 SV=1
+MMANDAKPDVKTVQVLRDTANRLRIHSIRATCASGSGQLTSCCSAAEVVSVLFFHTMKYKQTDPEHPDNDRFILSRGHAAPILYAAWVEVGDISESDLLNLRKLHSDLERHPTPRLPFVDVATGSLGQGLGTACGMAYTGKYLDKASYRVFCLMGDGESSEGSVWEAFAFASHYNLDNLVAVFDVNRLGQSGPAPLEHGADIYQNCCEAFGWNTYLVDGHDVEALCQAFWQASQVKNKPTAIVAKTFKGRGIPNIEDAENWHGKPVPKERADAIVKLIESQIQTNENLIPKSPVEDSPQISITDIKMTSPPAYKVGDKIATQKTYGLALAKLGRANERVIVLSGDTMNSTFSEIFRKEHPERFIECIIAEQNMVSVALGCATRGRTIAFAGAFAAFFTRAFDQLRMGAISQANINLIGSHCGVSTGEDGVSQMALEDLAMFRSIPNCTVFYPSDAISTEHAIYLAANTKGMCFIRTSQPETAVIYTPQENFEIGQAKVVRHGVNDKVTVIGAGVTLHEALEAADHLSQQGISVRVIDPFTIKPLDAATIISSAKATGGRVITVEDHYREGGIGEAVCAAVSREPDILVHQLAVSGVPQRGKTSELLDMFGISTRHIIAAVTLTLMK
+>DECOY_sp|Q9H0I9|TKTL2_HUMAN Transketolase-like protein 2 OS=Homo sapiens OX=9606 GN=TKTL2 PE=2 SV=1
+KMLTLTVAAIIHRTSIGFMDLLESTKGRQPVGSVALQHVLIDPERSVAACVAEGIGGERYHDEVTIVRGGTAKASSIITAADLPKITFPDIVRVSIGQQSLHDAAELAEHLTVGAGIVTVKDNVGHRVVKAQGIEFNEQPTYIVATEPQSTRIFCMGKTNAALYIAHETSIADSPYFVTCNPISRFMALDELAMQSVGDEGTSVGCHSGILNINAQSIAGMRLQDFARTFFAAFAGAFAITRGRTACGLAVSVMNQEAIICEIFREPHEKRFIESFTSNMTDGSLVIVRENARGLKALALGYTKQTAIKDGVKYAPPSTMKIDTISIQPSDEVPSKPILNENTQIQSEILKVIADAREKPVPKGHWNEADEINPIGRGKFTKAVIATPKNKVQSAQWFAQCLAEVDHGDVLYTNWGFAECCNQYIDAGHELPAPGSQGLRNVDFVAVLNDLNYHSAFAFAEWVSGESSEGDGMLCFVRYSAKDLYKGTYAMGCATGLGQGLSGTAVDVFPLRPTPHRELDSHLKRLNLLDSESIDGVEVWAAYLIPAAHGRSLIFRDNDPHEPDTQKYKMTHFFLVSVVEAASCCSTLQGSGSACTARISHIRLRNATDRLVQVTKVDPKADNAMM
+>sp|Q04726|TLE3_HUMAN Transducin-like enhancer protein 3 OS=Homo sapiens OX=9606 GN=TLE3 PE=1 SV=2
+MYPQGRHPAPHQPGQPGFKFTVAESCDRIKDEFQFLQAQYHSLKVEYDKLANEKTEMQRHYVMYYEMSYGLNIEMHKQTEIAKRLNTILAQIMPFLSQEHQQQVAQAVERAKQVTMTELNAIIGQQQLQAQHLSHATHGPPVQLPPHPSGLQPPGIPPVTGSSSGLLALGALGSQAHLTVKDEKNHHELDHRERESSANNSVSPSESLRASEKHRGSADYSMEAKKRKAEEKDSLSRYDSDGDKSDDLVVDVSNEDPATPRVSPAHSPPENGLDKARSLKKDAPTSPASVASSSSTPSSKTKDLGHNDKSSTPGLKSNTPTPRNDAPTPGTSTTPGLRSMPGKPPGMDPIGIMASALRTPISITSSYAAPFAMMSHHEMNGSLTSPGAYAGLHNIPPQMSAAAAAAAAAYGRSPMVSFGAVGFDPHPPMRATGLPSSLASIPGGKPAYSFHVSADGQMQPVPFPHDALAGPGIPRHARQINTLSHGEVVCAVTISNPTRHVYTGGKGCVKIWDISQPGSKSPISQLDCLNRDNYIRSCKLLPDGRTLIVGGEASTLTIWDLASPTPRIKAELTSSAPACYALAISPDAKVCFSCCSDGNIAVWDLHNQTLVRQFQGHTDGASCIDISHDGTKLWTGGLDNTVRSWDLREGRQLQQHDFTSQIFSLGYCPTGEWLAVGMESSNVEVLHHTKPDKYQLHLHESCVLSLKFAYCGKWFVSTGKDNLLNAWRTPYGASIFQSKESSSVLSCDISADDKYIVTGSGDKKATVYEVIY
+>DECOY_sp|Q04726|TLE3_HUMAN Transducin-like enhancer protein 3 OS=Homo sapiens OX=9606 GN=TLE3 PE=1 SV=2
+YIVEYVTAKKDGSGTVIYKDDASIDCSLVSSSEKSQFISAGYPTRWANLLNDKGTSVFWKGCYAFKLSLVCSEHLHLQYKDPKTHHLVEVNSSEMGVALWEGTPCYGLSFIQSTFDHQQLQRGERLDWSRVTNDLGGTWLKTGDHSIDICSAGDTHGQFQRVLTQNHLDWVAINGDSCCSFCVKADPSIALAYCAPASSTLEAKIRPTPSALDWITLTSAEGGVILTRGDPLLKCSRIYNDRNLCDLQSIPSKSGPQSIDWIKVCGKGGTYVHRTPNSITVACVVEGHSLTNIQRAHRPIGPGALADHPFPVPQMQGDASVHFSYAPKGGPISALSSPLGTARMPPHPDFGVAGFSVMPSRGYAAAAAAAAASMQPPINHLGAYAGPSTLSGNMEHHSMMAFPAAYSSTISIPTRLASAMIGIPDMGPPKGPMSRLGPTTSTGPTPADNRPTPTNSKLGPTSSKDNHGLDKTKSSPTSSSSAVSAPSTPADKKLSRAKDLGNEPPSHAPSVRPTAPDENSVDVVLDDSKDGDSDYRSLSDKEEAKRKKAEMSYDASGRHKESARLSESPSVSNNASSERERHDLEHHNKEDKVTLHAQSGLAGLALLGSSSGTVPPIGPPQLGSPHPPLQVPPGHTAHSLHQAQLQQQGIIANLETMTVQKAREVAQAVQQQHEQSLFPMIQALITNLRKAIETQKHMEINLGYSMEYYMVYHRQMETKENALKDYEVKLSHYQAQLFQFEDKIRDCSEAVTFKFGPQGPQHPAPHRGQPYM
+>sp|Q15399|TLR1_HUMAN Toll-like receptor 1 OS=Homo sapiens OX=9606 GN=TLR1 PE=1 SV=3
+MTSIFHFAIIFMLILQIRIQLSEESEFLVDRSKNGLIHVPKDLSQKTTILNISQNYISELWTSDILSLSKLRILIISHNRIQYLDISVFKFNQELEYLDLSHNKLVKISCHPTVNLKHLDLSFNAFDALPICKEFGNMSQLKFLGLSTTHLEKSSVLPIAHLNISKVLLVLGETYGEKEDPEGLQDFNTESLHIVFPTNKEFHFILDVSVKTVANLELSNIKCVLEDNKCSYFLSILAKLQTNPKLSNLTLNNIETTWNSFIRILQLVWHTTVWYFSISNVKLQGQLDFRDFDYSGTSLKALSIHQVVSDVFGFPQSYIYEIFSNMNIKNFTVSGTRMVHMLCPSKISPFLHLDFSNNLLTDTVFENCGHLTELETLILQMNQLKELSKIAEMTTQMKSLQQLDISQNSVSYDEKKGDCSWTKSLLSLNMSSNILTDTIFRCLPPRIKVLDLHSNKIKSIPKQVVKLEALQELNVAFNSLTDLPGCGSFSSLSVLIIDHNSVSHPSADFFQSCQKMRSIKAGDNPFQCTCELGEFVKNIDQVSSEVLEGWPDSYKCDYPESYRGTLLKDFHMSELSCNITLLIVTIVATMLVLAVTVTSLCSYLDLPWYLRMVCQWTQTRRRARNIPLEELQRNLQFHAFISYSGHDSFWVKNELLPNLEKEGMQICLHERNFVPGKSIVENIITCIEKSYKSIFVLSPNFVQSEWCHYELYFAHHNLFHEGSNSLILILLEPIPQYSIPSSYHKLKSLMARRTYLEWPKEKSKRGLFWANLRAAINIKLTEQAKK
+>DECOY_sp|Q15399|TLR1_HUMAN Toll-like receptor 1 OS=Homo sapiens OX=9606 GN=TLR1 PE=1 SV=3
+KKAQETLKINIAARLNAWFLGRKSKEKPWELYTRRAMLSKLKHYSSPISYQPIPELLILILSNSGEHFLNHHAFYLEYHCWESQVFNPSLVFISKYSKEICTIINEVISKGPVFNREHLCIQMGEKELNPLLENKVWFSDHGSYSIFAHFQLNRQLEELPINRARRRTQTWQCVMRLYWPLDLYSCLSTVTVALVLMTAVITVILLTINCSLESMHFDKLLTGRYSEPYDCKYSDPWGELVESSVQDINKVFEGLECTCQFPNDGAKISRMKQCSQFFDASPHSVSNHDIILVSLSSFSGCGPLDTLSNFAVNLEQLAELKVVQKPISKIKNSHLDLVKIRPPLCRFITDTLINSSMNLSLLSKTWSCDGKKEDYSVSNQSIDLQQLSKMQTTMEAIKSLEKLQNMQLILTELETLHGCNEFVTDTLLNNSFDLHLFPSIKSPCLMHVMRTGSVTFNKINMNSFIEYIYSQPFGFVDSVVQHISLAKLSTGSYDFDRFDLQGQLKVNSISFYWVTTHWVLQLIRIFSNWTTEINNLTLNSLKPNTQLKALISLFYSCKNDELVCKINSLELNAVTKVSVDLIFHFEKNTPFVIHLSETNFDQLGEPDEKEGYTEGLVLLVKSINLHAIPLVSSKELHTTSLGLFKLQSMNGFEKCIPLADFANFSLDLHKLNVTPHCSIKVLKNHSLDLYELEQNFKFVSIDLYQIRNHSIILIRLKSLSLIDSTWLESIYNQSINLITTKQSLDKPVHILGNKSRDVLFESEESLQIRIQLILMFIIAFHFISTM
+>sp|O43711|TLX3_HUMAN T-cell leukemia homeobox protein 3 OS=Homo sapiens OX=9606 GN=TLX3 PE=1 SV=3
+MEAPASAQTPHPHEPISFGIDQILNSPDQDSAPAPRGPDGASYLGGPPGGRPGATYPSLPASFAGLGAPFEDAGSYSVNLSLAPAGVIRVPAHRPLPGAVPPPLPSALPAMPSVPTVSSLGGLNFPWMESSRRFVKDRFTAAAALTPFTVTRRIGHPYQNRTPPKRKKPRTSFSRVQICELEKRFHRQKYLASAERAALAKSLKMTDAQVKTWFQNRRTKWRRQTAEEREAERQQASRLMLQLQHDAFQKSLNDSIQPDPLCLHNSSLFALQNLQPWEEDSSKVPAVTSLV
+>DECOY_sp|O43711|TLX3_HUMAN T-cell leukemia homeobox protein 3 OS=Homo sapiens OX=9606 GN=TLX3 PE=1 SV=3
+VLSTVAPVKSSDEEWPQLNQLAFLSSNHLCLPDPQISDNLSKQFADHQLQLMLRSAQQREAEREEATQRRWKTRRNQFWTKVQADTMKLSKALAAREASALYKQRHFRKELECIQVRSFSTRPKKRKPPTRNQYPHGIRRTVTFPTLAAAATFRDKVFRRSSEMWPFNLGGLSSVTPVSPMAPLASPLPPPVAGPLPRHAPVRIVGAPALSLNVSYSGADEFPAGLGAFSAPLSPYTAGPRGGPPGGLYSAGDPGRPAPASDQDPSNLIQDIGFSIPEHPHPTQASAPAEM
+>sp|Q99594|TEAD3_HUMAN Transcriptional enhancer factor TEF-5 OS=Homo sapiens OX=9606 GN=TEAD3 PE=1 SV=2
+MASNSWNASSSPGEAREDGPEGLDKGLDNDAEGVWSPDIEQSFQEALAIYPPCGRRKIILSDEGKMYGRNELIARYIKLRTGKTRTRKQVSSHIQVLARKKVREYQVGIKAMNLDQVSKDKALQSMASMSSAQIVSASVLQNKFSPPSPLPQAVFSTSSRFWSSPPLLGQQPGPSQDIKPFAQPAYPIQPPLPPTLSSYEPLAPLPSAAASVPVWQDRTIASSRLRLLEYSAFMEVQRDPDTYSKHLFVHIGQTNPAFSDPPLEAVDVRQIYDKFPEKKGGLKELYEKGPPNAFFLVKFWADLNSTIQEGPGAFYGVSSQYSSADSMTISVSTKVCSFGKQVVEKVETEYARLENGRFVYRIHRSPMCEYMINFIHKLKHLPEKYMMNSVLENFTILQVVTSRDSQETLLVIAFVFEVSTSEHGAQHHVYKLVKD
+>DECOY_sp|Q99594|TEAD3_HUMAN Transcriptional enhancer factor TEF-5 OS=Homo sapiens OX=9606 GN=TEAD3 PE=1 SV=2
+DKVLKYVHHQAGHESTSVEFVFAIVLLTEQSDRSTVVQLITFNELVSNMMYKEPLHKLKHIFNIMYECMPSRHIRYVFRGNELRAYETEVKEVVQKGFSCVKTSVSITMSDASSYQSSVGYFAGPGEQITSNLDAWFKVLFFANPPGKEYLEKLGGKKEPFKDYIQRVDVAELPPDSFAPNTQGIHVFLHKSYTDPDRQVEMFASYELLRLRSSAITRDQWVPVSAAASPLPALPEYSSLTPPLPPQIPYAPQAFPKIDQSPGPQQGLLPPSSWFRSSTSFVAQPLPSPPSFKNQLVSASVIQASSMSAMSQLAKDKSVQDLNMAKIGVQYERVKKRALVQIHSSVQKRTRTKGTRLKIYRAILENRGYMKGEDSLIIKRRGCPPYIALAEQFSQEIDPSWVGEADNDLGKDLGEPGDERAEGPSSSANWSNSAM
+>sp|Q96MN5|TEAN2_HUMAN Transcription elongation factor A N-terminal and central domain-containing protein 2 OS=Homo sapiens OX=9606 GN=TCEANC2 PE=1 SV=1
+MDKFVIRTPRIQNSPQKKDSGGKVYKQATIESLKRVVVVEDIKRWKTMLELPDQTKENLVEALQELKKKIPSREVLKSTRIGHTVNKMRKHSDSEVASLAREVYTEWKTFTEKHSNRPSIEVRSDPKTESLRKNAQKLLSEALELKMDHLLVENIERETFHLCSRLINGPYRRTVRALVFTLKHRAEIRAQVKSGSLPVGTFVQTHKK
+>DECOY_sp|Q96MN5|TEAN2_HUMAN Transcription elongation factor A N-terminal and central domain-containing protein 2 OS=Homo sapiens OX=9606 GN=TCEANC2 PE=1 SV=1
+KKHTQVFTGVPLSGSKVQARIEARHKLTFVLARVTRRYPGNILRSCLHFTEREINEVLLHDMKLELAESLLKQANKRLSETKPDSRVEISPRNSHKETFTKWETYVERALSAVESDSHKRMKNVTHGIRTSKLVERSPIKKKLEQLAEVLNEKTQDPLELMTKWRKIDEVVVVRKLSEITAQKYVKGGSDKKQPSNQIRPTRIVFKDM
+>sp|Q9NZ01|TECR_HUMAN Very-long-chain enoyl-CoA reductase OS=Homo sapiens OX=9606 GN=TECR PE=1 SV=1
+MKHYEVEILDAKTREKLCFLDKVEPHATIAEIKNLFTKTHPQWYPARQSLRLDPKGKSLKDEDVLQKLPVGTTATLYFRDLGAQISWVTVFLTEYAGPLFIYLLFYFRVPFIYGHKYDFTSSRHTVVHLACICHSFHYIKRLLETLFVHRFSHGTMPLRNIFKNCTYYWGFAAWMAYYINHPLYTPPTYGAQQVKLALAIFVICQLGNFSIHMALRDLRPAGSKTRKIPYPTKNPFTWLFLLVSCPNYTYEVGSWIGFAIMTQCLPVALFSLVGFTQMTIWAKGKHRSYLKEFRDYPPLRMPIIPFLL
+>DECOY_sp|Q9NZ01|TECR_HUMAN Very-long-chain enoyl-CoA reductase OS=Homo sapiens OX=9606 GN=TECR PE=1 SV=1
+LLFPIIPMRLPPYDRFEKLYSRHKGKAWITMQTFGVLSFLAVPLCQTMIAFGIWSGVEYTYNPCSVLLFLWTFPNKTPYPIKRTKSGAPRLDRLAMHISFNGLQCIVFIALALKVQQAGYTPPTYLPHNIYYAMWAAFGWYYTCNKFINRLPMTGHSFRHVFLTELLRKIYHFSHCICALHVVTHRSSTFDYKHGYIFPVRFYFLLYIFLPGAYETLFVTVWSIQAGLDRFYLTATTGVPLKQLVDEDKLSKGKPDLRLSQRAPYWQPHTKTFLNKIEAITAHPEVKDLFCLKERTKADLIEVEYHKM
+>sp|P42680|TEC_HUMAN Tyrosine-protein kinase Tec OS=Homo sapiens OX=9606 GN=TEC PE=1 SV=2
+MNFNTILEEILIKRSQQKKKTSPLNYKERLFVLTKSMLTYYEGRAEKKYRKGFIDVSKIKCVEIVKNDDGVIPCQNKYPFQVVHDANTLYIFAPSPQSRDLWVKKLKEEIKNNNNIMIKYHPKFWTDGSYQCCRQTEKLAPGCEKYNLFESSIRKALPPAPETKKRRPPPPIPLEEEDNSEEIVVAMYDFQAAEGHDLRLERGQEYLILEKNDVHWWRARDKYGNEGYIPSNYVTGKKSNNLDQYEWYCRNMNRSKAEQLLRSEDKEGGFMVRDSSQPGLYTVSLYTKFGGEGSSGFRHYHIKETTTSPKKYYLAEKHAFGSIPEIIEYHKHNAAGLVTRLRYPVSVKGKNAPTTAGFSYEKWEINPSELTFMRELGSGLFGVVRLGKWRAQYKVAIKAIREGAMCEEDFIEEAKVMMKLTHPKLVQLYGVCTQQKPIYIVTEFMERGCLLNFLRQRQGHFSRDVLLSMCQDVCEGMEYLERNSFIHRDLAARNCLVSEAGVVKVSDFGMARYVLDDQYTSSSGAKFPVKWCPPEVFNYSRFSSKSDVWSFGVLMWEVFTEGRMPFEKYTNYEVVTMVTRGHRLYQPKLASNYVYEVMLRCWQEKPEGRPSFEDLLRTIDELVECEETFGR
+>DECOY_sp|P42680|TEC_HUMAN Tyrosine-protein kinase Tec OS=Homo sapiens OX=9606 GN=TEC PE=1 SV=2
+RGFTEECEVLEDITRLLDEFSPRGEPKEQWCRLMVEYVYNSALKPQYLRHGRTVMTVVEYNTYKEFPMRGETFVEWMLVGFSWVDSKSSFRSYNFVEPPCWKVPFKAGSSSTYQDDLVYRAMGFDSVKVVGAESVLCNRAALDRHIFSNRELYEMGECVDQCMSLLVDRSFHGQRQRLFNLLCGREMFETVIYIPKQQTCVGYLQVLKPHTLKMMVKAEEIFDEECMAGERIAKIAVKYQARWKGLRVVGFLGSGLERMFTLESPNIEWKEYSFGATTPANKGKVSVPYRLRTVLGAANHKHYEIIEPISGFAHKEALYYKKPSTTTEKIHYHRFGSSGEGGFKTYLSVTYLGPQSSDRVMFGGEKDESRLLQEAKSRNMNRCYWEYQDLNNSKKGTVYNSPIYGENGYKDRARWWHVDNKELILYEQGRELRLDHGEAAQFDYMAVVIEESNDEEELPIPPPPRRKKTEPAPPLAKRISSEFLNYKECGPALKETQRCCQYSGDTWFKPHYKIMINNNNKIEEKLKKVWLDRSQPSPAFIYLTNADHVVQFPYKNQCPIVGDDNKVIEVCKIKSVDIFGKRYKKEARGEYYTLMSKTLVFLREKYNLPSTKKKQQSRKILIEELITNFNM
+>sp|Q10587|TEF_HUMAN Thyrotroph embryonic factor OS=Homo sapiens OX=9606 GN=TEF PE=1 SV=3
+MSDAGGGKKPPVDPQAGPGPGPGRAAGERGLSGSFPLVLKKLMENPPREARLDKEKGKEKLEEDEAAAASTMAVSASLMPPIWDKTIPYDGESFHLEYMDLDEFLLENGIPASPTHLAHNLLLPVAELEGKESASSSTASPPSSSTAIFQPSETVSSTESSLEKERETPSPIDPNCVEVDVNFNPDPADLVLSSVPGGELFNPRKHKFAEEDLKPQPMIKKAKKVFVPDEQKDEKYWTRRKKNNVAAKRSRDARRLKENQITIRAAFLEKENTALRTEVAELRKEVGKCKTIVSKYETKYGPL
+>DECOY_sp|Q10587|TEF_HUMAN Thyrotroph embryonic factor OS=Homo sapiens OX=9606 GN=TEF PE=1 SV=3
+LPGYKTEYKSVITKCKGVEKRLEAVETRLATNEKELFAARITIQNEKLRRADRSRKAAVNNKKRRTWYKEDKQEDPVFVKKAKKIMPQPKLDEEAFKHKRPNFLEGGPVSSLVLDAPDPNFNVDVEVCNPDIPSPTEREKELSSETSSVTESPQFIATSSSPPSATSSSASEKGELEAVPLLLNHALHTPSAPIGNELLFEDLDMYELHFSEGDYPITKDWIPPMLSASVAMTSAAAAEDEELKEKGKEKDLRAERPPNEMLKKLVLPFSGSLGREGAARGPGPGPGAQPDVPPKKGGGADSM
+>sp|Q96M29|TEKT5_HUMAN Tektin-5 OS=Homo sapiens OX=9606 GN=TEKT5 PE=1 SV=1
+MEFLGTTQTASYCGPKKCCGLTSLPAVQAPVIQECYQPYYLPGYRYLNSWRPSLFYKIANVQTCPDESTSTLRPPTILPTLRSALFSRYSPHDWDQSNQLQVRGAEASRLWASRLTDDSMRLLQDKDQLTHQMQEGTCRNLGQRLSDIGFWKSELSYELDRLLTENQNLETVKRRLECAANEVNCPLQVALECLYHREKRIGIDLVHDNVEKNLIREVDLLKCCQEQMRKLAQRIDIQMRDNRDAQHVLERDLEDKSSAQCIDEKCFNLRNTSDCISFFHGMEKIDGTISVPETWAKFSNDNIKHSQNMRANSIQLREEAEHLFETLSDQMWRQFTDTNLAFNARISEVTDVKNKLQTQLAKTLQEIFQAENTIMLLERSIMAKEGPLKVAQTRLECRTRRPNMELCRDIPQLKLVNEVFTIDDTLQTLKLRLRETQDTLQLLVMTKCRLEHELAIKANTLCIDKEKCMGMRKTFPCTPRLVGHT
+>DECOY_sp|Q96M29|TEKT5_HUMAN Tektin-5 OS=Homo sapiens OX=9606 GN=TEKT5 PE=1 SV=1
+THGVLRPTCPFTKRMGMCKEKDICLTNAKIALEHELRCKTMVLLQLTDQTERLRLKLTQLTDDITFVENVLKLQPIDRCLEMNPRRTRCELRTQAVKLPGEKAMISRELLMITNEAQFIEQLTKALQTQLKNKVDTVESIRANFALNTDTFQRWMQDSLTEFLHEAEERLQISNARMNQSHKINDNSFKAWTEPVSITGDIKEMGHFFSICDSTNRLNFCKEDICQASSKDELDRELVHQADRNDRMQIDIRQALKRMQEQCCKLLDVERILNKEVNDHVLDIGIRKERHYLCELAVQLPCNVENAACELRRKVTELNQNETLLRDLEYSLESKWFGIDSLRQGLNRCTGEQMQHTLQDKDQLLRMSDDTLRSAWLRSAEAGRVQLQNSQDWDHPSYRSFLASRLTPLITPPRLTSTSEDPCTQVNAIKYFLSPRWSNLYRYGPLYYPQYCEQIVPAQVAPLSTLGCCKKPGCYSATQTTGLFEM
+>sp|Q9UKZ4|TEN1_HUMAN Teneurin-1 OS=Homo sapiens OX=9606 GN=TENM1 PE=1 SV=2
+MEQTDCKPYQPLPKVKHEMDLAYTSSSDESEDGRKPRQSYNSRETLHEYNQELRMNYNSQSRKRKEVEKSTQEMEFCETSHTLCSGYQTDMHSVSRHGYQLEMGSDVDTETEGAASPDHALRMWIRGMKSEHSSCLSSRANSALSLTDTDHERKSDGENGFKFSPVCCDMEAQAGSTQDVQSSPHNQFTFRPLPPPPPPPHACTCARKPPPAADSLQRRSMTTRSQPSPAAPAPPTSTQDSVHLHNSWVLNSNIPLETRHFLFKHGSGSSAIFSAASQNYPLTSNTVYSPPPRPLPRSTFSRPAFTFNKPYRCCNWKCTALSATAITVTLALLLAYVIAVHLFGLTWQLQPVEGELYANGVSKGNRGTESMDTTYSPIGGKVSDKSEKKVFQKGRAIDTGEVDIGAQVMQTIPPGLFWRFQITIHHPIYLKFNISLAKDSLLGIYGRRNIPPTHTQFDFVKLMDGKQLVKQDSKGSDDTQHSPRNLILTSLQETGFIEYMDQGPWYLAFYNDGKKMEQVFVLTTAIEIMDDCSTNCNGNGECISGHCHCFPGFLGPDCARDSCPVLCGGNGEYEKGHCVCRHGWKGPECDVPEEQCIDPTCFGHGTCIMGVCICVPGYKGEICEEEDCLDPMCSNHGICVKGECHCSTGWGGVNCETPLPVCQEQCSGHGTFLLDAGVCSCDPKWTGSDCSTELCTMECGSHGVCSRGICQCEEGWVGPTCEERSCHSHCTEHGQCKDGKCECSPGWEGDHCTIAHYLDAVRDGCPGLCFGNGRCTLDQNGWHCVCQVGWSGTGCNVVMEMLCGDNLDNDGDGLTDCVDPDCCQQSNCYISPLCQGSPDPLDLIQQSQTLFSQHTSRLFYDRIKFLIGKDSTHVIPPEVSFDSRRACVIRGQVVAIDGTPLVGVNVSFLHHSDYGFTISRQDGSFDLVAIGGISVILIFDRSPFLPEKRTLWLPWNQFIVVEKVTMQRVVSDPPSCDISNFISPNPIVLPSPLTSFGGSCPERGTIVPELQVVQEEIPIPSSFVRLSYLSSRTPGYKTLLRILLTHSTIPVGMIKVHLTVAVEGRLTQKWFPAAINLVYTFAWNKTDIYGQKVWGLAEALVSVGYEYETCPDFILWEQRTVVLQGFEMDASNLGGWSLNKHHILNPQSGIIHKGNGENMFISQQPPVISTIMGNGHQRSVACTNCNGPAHNNKLFAPVALASGPDGSVYVGDFNFVRRIFPSGNSVSILELSTSPAHKYYLAMDPVSESLYLSDTNTRKVYKLKSLVETKDLSKNFEVVAGTGDQCLPFDQSHCGDGGRASEASLNSPRGITVDRHGFIYFVDGTMIRKIDENAVITTVIGSNGLTSTQPLSCDSGMDITQVRLEWPTDLAVNPMDNSLYVLDNNIVLQISENRRVRIIAGRPIHCQVPGIDHFLVSKVAIHSTLESARAISVSHSGLLFIAETDERKVNRIQQVTTNGEIYIIAGAPTDCDCKIDPNCDCFSGDGGYAKDAKMKAPSSLAVSPDGTLYVADLGNVRIRTISRNQAHLNDMNIYEIASPADQELYQFTVNGTHLHTLNLITRDYVYNFTYNSEGDLGAITSSNGNSVHIRRDAGGMPLWLVVPGGQVYWLTISSNGVLKRVSAQGYNLALMTYPGNTGLLATKSNENGWTTVYEYDPEGHLTNATFPTGEVSSFHSDLEKLTKVELDTSNRENVLMSTNLTATSTIYILKQENTQSTYRVNPDGSLRVTFASGMEIGLSSEPHILAGAVNPTLGKCNISLPGEHNANLIEWRQRKEQNKGNVSAFERRLRAHNRNLLSIDFDHITRTGKIYDDHRKFTLRILYDQTGRPILWSPVSRYNEVNITYSPSGLVTFIQRGTWNEKMEYDQSGKIISRTWADGKIWSYTYLEKSVMLLLHSQRRYIFEYDQPDCLLSVTMPSMVRHSLQTMLSVGYYRNIYTPPDSSTSFIQDYSRDGRLLQTLHLGTGRRVLYKYTKQARLSEVLYDTTQVTLTYEESSGVIKTIHLMHDGFICTIRYRQTGPLIGRQIFRFSEEGLVNARFDYSYNNFRVTSMQAVINETPLPIDLYRYVDVSGRTEQFGKFSVINYDLNQVITTTVMKHTKIFSANGQVIEVQYEILKAIAYWMTIQYDNVGRMVICDIRVGVDANITRYFYEYDADGQLQTVSVNDKTQWRYSYDLNGNINLLSHGKSARLTPLRYDLRDRITRLGEIQYKMDEDGFLRQRGNDIFEYNSNGLLQKAYNKASGWTVQYYYDGLGRRVASKSSLGQHLQFFYADLTNPIRVTHLYNHTSSEITSLYYDLQGHLIAMELSSGEEYYVACDNTGTPLAVFSSRGQVIKEILYTPYGDIYHDTYPDFQVIIGFHGGLYDFLTKLVHLGQRDYDVVAGRWTTPNHHIWKQLNLLPKPFNLYSFENNYPVGKIQDVAKYTTDIRSWLELFGFQLHNVLPGFPKPELENLELTYELLRLQTKTQEWDPGKTILGIQCELQKQLRNFISLDQLPMTPRYNDGRCLEGGKQPRFAAVPSVFGKGIKFAIKDGIVTADIIGVANEDSRRLAAILNNAHYLENLHFTIEGRDTHYFIKLGSLEEDLVLIGNTGGRRILENGVNVTVSQMTSVLNGRTRRFADIQLQHGALCFNIRYGTTVEEEKNHVLEIARQRAVAQAWTKEQRRLQEGEEGIRAWTEGEKQQLLSTGRVQGYDGYFVLSVEQYLELSDSANNIHFMRQSEIGRR
+>DECOY_sp|Q9UKZ4|TEN1_HUMAN Teneurin-1 OS=Homo sapiens OX=9606 GN=TENM1 PE=1 SV=2
+RRGIESQRMFHINNASDSLELYQEVSLVFYGDYGQVRGTSLLQQKEGETWARIGEEGEQLRRQEKTWAQAVARQRAIELVHNKEEEVTTGYRINFCLAGHQLQIDAFRRTRGNLVSTMQSVTVNVGNELIRRGGTNGILVLDEELSGLKIFYHTDRGEITFHLNELYHANNLIAALRRSDENAVGIIDATVIGDKIAFKIGKGFVSPVAAFRPQKGGELCRGDNYRPTMPLQDLSIFNRLQKQLECQIGLITKGPDWEQTKTQLRLLEYTLELNELEPKPFGPLVNHLQFGFLELWSRIDTTYKAVDQIKGVPYNNEFSYLNFPKPLLNLQKWIHHNPTTWRGAVVDYDRQGLHVLKTLFDYLGGHFGIIVQFDPYTDHYIDGYPTYLIEKIVQGRSSFVALPTGTNDCAVYYEEGSSLEMAILHGQLDYYLSTIESSTHNYLHTVRIPNTLDAYFFQLHQGLSSKSAVRRGLGDYYYQVTWGSAKNYAKQLLGNSNYEFIDNGRQRLFGDEDMKYQIEGLRTIRDRLDYRLPTLRASKGHSLLNINGNLDYSYRWQTKDNVSVTQLQGDADYEYFYRTINADVGVRIDCIVMRGVNDYQITMWYAIAKLIEYQVEIVQGNASFIKTHKMVTTTIVQNLDYNIVSFKGFQETRGSVDVYRYLDIPLPTENIVAQMSTVRFNNYSYDFRANVLGEESFRFIQRGILPGTQRYRITCIFGDHMLHITKIVGSSEEYTLTVQTTDYLVESLRAQKTYKYLVRRGTGLHLTQLLRGDRSYDQIFSTSSDPPTYINRYYGVSLMTQLSHRVMSPMTVSLLCDPQDYEFIYRRQSHLLLMVSKELYTYSWIKGDAWTRSIIKGSQDYEMKENWTGRQIFTVLGSPSYTINVENYRSVPSWLIPRGTQDYLIRLTFKRHDDYIKGTRTIHDFDISLLNRNHARLRREFASVNGKNQEKRQRWEILNANHEGPLSINCKGLTPNVAGALIHPESSLGIEMGSAFTVRLSGDPNVRYTSQTNEQKLIYITSTATLNTSMLVNERNSTDLEVKTLKELDSHFSSVEGTPFTANTLHGEPDYEYVTTWGNENSKTALLGTNGPYTMLALNYGQASVRKLVGNSSITLWYVQGGPVVLWLPMGGADRRIHVSNGNSSTIAGLDGESNYTFNYVYDRTILNLTHLHTGNVTFQYLEQDAPSAIEYINMDNLHAQNRSITRIRVNGLDAVYLTGDPSVALSSPAKMKADKAYGGDGSFCDCNPDIKCDCDTPAGAIIYIEGNTTVQQIRNVKREDTEAIFLLGSHSVSIARASELTSHIAVKSVLFHDIGPVQCHIPRGAIIRVRRNESIQLVINNDLVYLSNDMPNVALDTPWELRVQTIDMGSDCSLPQTSTLGNSGIVTTIVANEDIKRIMTGDVFYIFGHRDVTIGRPSNLSAESARGGDGCHSQDFPLCQDGTGAVVEFNKSLDKTEVLSKLKYVKRTNTDSLYLSESVPDMALYYKHAPSTSLELISVSNGSPFIRRVFNFDGVYVSGDPGSALAVPAFLKNNHAPGNCNTCAVSRQHGNGMITSIVPPQQSIFMNEGNGKHIIGSQPNLIHHKNLSWGGLNSADMEFGQLVVTRQEWLIFDPCTEYEYGVSVLAEALGWVKQGYIDTKNWAFTYVLNIAAPFWKQTLRGEVAVTLHVKIMGVPITSHTLLIRLLTKYGPTRSSLYSLRVFSSPIPIEEQVVQLEPVITGREPCSGGFSTLPSPLVIPNPSIFNSIDCSPPDSVVRQMTVKEVVIFQNWPLWLTRKEPLFPSRDFILIVSIGGIAVLDFSGDQRSITFGYDSHHLFSVNVGVLPTGDIAVVQGRIVCARRSDFSVEPPIVHTSDKGILFKIRDYFLRSTHQSFLTQSQQILDLPDPSGQCLPSIYCNSQQCCDPDVCDTLGDGDNDLNDGCLMEMVVNCGTGSWGVQCVCHWGNQDLTCRGNGFCLGPCGDRVADLYHAITCHDGEWGPSCECKGDKCQGHETCHSHCSREECTPGVWGEECQCIGRSCVGHSGCEMTCLETSCDSGTWKPDCSCVGADLLFTGHGSCQEQCVPLPTECNVGGWGTSCHCEGKVCIGHNSCMPDLCDEEECIEGKYGPVCICVGMICTGHGFCTPDICQEEPVDCEPGKWGHRCVCHGKEYEGNGGCLVPCSDRACDPGLFGPFCHCHGSICEGNGNCNTSCDDMIEIATTLVFVQEMKKGDNYFALYWPGQDMYEIFGTEQLSTLILNRPSHQTDDSGKSDQKVLQKGDMLKVFDFQTHTPPINRRGYIGLLSDKALSINFKLYIPHHITIQFRWFLGPPITQMVQAGIDVEGTDIARGKQFVKKESKDSVKGGIPSYTTDMSETGRNGKSVGNAYLEGEVPQLQWTLGFLHVAIVYALLLALTVTIATASLATCKWNCCRYPKNFTFAPRSFTSRPLPRPPPSYVTNSTLPYNQSAASFIASSGSGHKFLFHRTELPINSNLVWSNHLHVSDQTSTPPAPAAPSPQSRTTMSRRQLSDAAPPPKRACTCAHPPPPPPPLPRFTFQNHPSSQVDQTSGAQAEMDCCVPSFKFGNEGDSKREHDTDTLSLASNARSSLCSSHESKMGRIWMRLAHDPSAAGETETDVDSGMELQYGHRSVSHMDTQYGSCLTHSTECFEMEQTSKEVEKRKRSQSNYNMRLEQNYEHLTERSNYSQRPKRGDESEDSSSTYALDMEHKVKPLPQYPKCDTQEM
+>sp|Q9P273|TEN3_HUMAN Teneurin-3 OS=Homo sapiens OX=9606 GN=TENM3 PE=2 SV=3
+MDVKERRPYCSLTKSRREKERRYTNSSADNEECRVPTQKSYSSSETLKAFDHDSSRLLYGNRVKDLVHREADEFTRQGQNFTLRQLGVCEPATRRGLAFCAEMGLPHRGYSISAGSDADTENEAVMSPEHAMRLWGRGVKSGRSSCLSSRSNSALTLTDTEHENKSDSENEQPASNQGQSTLQPLPPSHKQHSAQHHPSITSLNRNSLTNRRNQSPAPPAALPAELQTTPESVQLQDSWVLGSNVPLESRHFLFKTGTGTTPLFSTATPGYTMASGSVYSPPTRPLPRNTLSRSAFKFKKSSKYCSWKCTALCAVGVSVLLAILLSYFIAMHLFGLNWQLQQTENDTFENGKVNSDTMPTNTVSLPSGDNGKLGGFTQENNTIDSGELDIGRRAIQEIPPGIFWRSQLFIDQPQFLKFNISLQKDALIGVYGRKGLPPSHTQYDFVELLDGSRLIAREQRSLLETERAGRQARSVSLHEAGFIQYLDSGIWHLAFYNDGKNAEQVSFNTIVIESVVECPRNCHGNGECVSGTCHCFPGFLGPDCSRAACPVLCSGNGQYSKGRCLCFSGWKGTECDVPTTQCIDPQCGGRGICIMGSCACNSGYKGESCEEADCIDPGCSNHGVCIHGECHCSPGWGGSNCEILKTMCPDQCSGHGTYLQESGSCTCDPNWTGPDCSNEICSVDCGSHGVCMGGTCRCEEGWTGPACNQRACHPRCAEHGTCKDGKCECSQGWNGEHCTIEGCPGLCNSNGRCTLDQNGWHCVCQPGWRGAGCDVAMETLCTDSKDNEGDGLIDCMDPDCCLQSSCQNQPYCRGLPDPQDIISQSLQSPSQQAAKSFYDRISFLIGSDSTHVIPGESPFNKSLASVIRGQVLTADGTPLIGVNVSFFHYPEYGYTITRQDGMFDLVANGGASLTLVFERSPFLTQYHTVWIPWNVFYVMDTLVMKKEENDIPSCDLSGFVRPNPIIVSSPLSTFFRSSPEDSPIIPETQVLHEETTIPGTDLKLSYLSSRAAGYKSVLKITMTQSIIPFNLMKVHLMVAVVGRLFQKWFPASPNLAYTFIWDKTDAYNQKVYGLSEAVVSVGYEYESCLDLTLWEKRTAILQGYELDASNMGGWTLDKHHVLDVQNGILYKGNGENQFISQQPPVVSSIMGNGRRRSISCPSCNGQADGNKLLAPVALACGIDGSLYVGDFNYVRRIFPSGNVTSVLELSSNPAHRYYLATDPVTGDLYVSDTNTRRIYRPKSLTGAKDLTKNAEVVAGTGEQCLPFDEARCGDGGKAVEATLMSPKGMAVDKNGLIYFVDGTMIRKVDQNGIISTLLGSNDLTSARPLTCDTSMHISQVRLEWPTDLAINPMDNSIYVLDNNVVLQITENRQVRIAAGRPMHCQVPGVEYPVGKHAVQTTLESATAIAVSYSGVLYITETDEKKINRIRQVTTDGEISLVAGIPSECDCKNDANCDCYQSGDGYAKDAKLSAPSSLAASPDGTLYIADLGNIRIRAVSKNKPLLNSMNFYEVASPTDQELYIFDINGTHQYTVSLVTGDYLYNFSYSNDNDITAVTDSNGNTLRIRRDPNRMPVRVVSPDNQVIWLTIGTNGCLKSMTAQGLELVLFTYHGNSGLLATKSDETGWTTFFDYDSEGRLTNVTFPTGVVTNLHGDMDKAITVDIESSSREEDVSITSNLSSIDSFYTMVQDQLRNSYQIGYDGSLRIIYASGLDSHYQTEPHVLAGTANPTVAKRNMTLPGENGQNLVEWRFRKEQAQGKVNVFGRKLRVNGRNLLSVDFDRTTKTEKIYDDHRKFLLRIAYDTSGHPTLWLPSSKLMAVNVTYSSTGQIASIQRGTTSEKVDYDGQGRIVSRVFADGKTWSYTYLEKSMVLLLHSQRQYIFEYDMWDRLSAITMPSVARHTMQTIRSIGYYRNIYNPPESNASIITDYNEEGLLLQTAFLGTSRRVLFKYRRQTRLSEILYDSTRVSFTYDETAGVLKTVNLQSDGFICTIRYRQIGPLIDRQIFRFSEDGMVNARFDYSYDNSFRVTSMQGVINETPLPIDLYQFDDISGKVEQFGKFGVIYYDINQIISTAVMTYTKHFDAHGRIKEIQYEIFRSLMYWITIQYDNMGRVTKREIKIGPFANTTKYAYEYDVDGQLQTVYLNEKIMWRYNYDLNGNLHLLNPSNSARLTPLRYDLRDRITRLGDVQYRLDEDGFLRQRGTEIFEYSSKGLLTRVYSKGSGWTVIYRYDGLGRRVSSKTSLGQHLQFFYADLTYPTRITHVYNHSSSEITSLYYDLQGHLFAMEISSGDEFYIASDNTGTPLAVFSSNGLMLKQIQYTAYGEIYFDSNIDFQLVIGFHGGLYDPLTKLIHFGERDYDILAGRWTTPDIEIWKRIGKDPAPFNLYMFRNNNPASKIHDVKDYITDVNSWLVTFGFHLHNAIPGFPVPKFDLTEPSYELVKSQQWDDIPPIFGVQQQVARQAKAFLSLGKMAEVQVSRRRAGGAQSWLWFATVKSLIGKGVMLAVSQGRVQTNVLNIANEDCIKVAAVLNNAFYLENLHFTIEGKDTHYFIKTTTPESDLGTLRLTSGRKALENGINVTVSQSTTVVNGRTRRFADVEMQFGALALHVRYGMTLDEEKARILEQARQRALARAWAREQQRVRDGEEGARLWTEGEKRQLLSAGKVQGYDGYYVLSVEQYPELADSANNIQFLRQSEIGRR
+>DECOY_sp|Q9P273|TEN3_HUMAN Teneurin-3 OS=Homo sapiens OX=9606 GN=TENM3 PE=2 SV=3
+RRGIESQRLFQINNASDALEPYQEVSLVYYGDYGQVKGASLLQRKEGETWLRAGEEGDRVRQQERAWARALARQRAQELIRAKEEDLTMGYRVHLALAGFQMEVDAFRRTRGNVVTTSQSVTVNIGNELAKRGSTLRLTGLDSEPTTTKIFYHTDKGEITFHLNELYFANNLVAAVKICDENAINLVNTQVRGQSVALMVGKGILSKVTAFWLWSQAGGARRRSVQVEAMKGLSLFAKAQRAVQQQVGFIPPIDDWQQSKVLEYSPETLDFKPVPFGPIANHLHFGFTVLWSNVDTIYDKVDHIKSAPNNNRFMYLNFPAPDKGIRKWIEIDPTTWRGALIDYDREGFHILKTLPDYLGGHFGIVLQFDINSDFYIEGYATYQIQKLMLGNSSFVALPTGTNDSAIYFEDGSSIEMAFLHGQLDYYLSTIESSSHNYVHTIRTPYTLDAYFFQLHQGLSTKSSVRRGLGDYRYIVTWGSGKSYVRTLLGKSSYEFIETGRQRLFGDEDLRYQVDGLRTIRDRLDYRLPTLRASNSPNLLHLNGNLDYNYRWMIKENLYVTQLQGDVDYEYAYKTTNAFPGIKIERKTVRGMNDYQITIWYMLSRFIEYQIEKIRGHADFHKTYTMVATSIIQNIDYYIVGFKGFQEVKGSIDDFQYLDIPLPTENIVGQMSTVRFSNDYSYDFRANVMGDESFRFIQRDILPGIQRYRITCIFGDSQLNVTKLVGATEDYTFSVRTSDYLIESLRTQRRYKFLVRRSTGLFATQLLLGEENYDTIISANSEPPNYINRYYGISRITQMTHRAVSPMTIASLRDWMDYEFIYQRQSHLLLVMSKELYTYSWTKGDAFVRSVIRGQGDYDVKESTTGRQISAIQGTSSYTVNVAMLKSSPLWLTPHGSTDYAIRLLFKRHDDYIKETKTTRDFDVSLLNRGNVRLKRGFVNVKGQAQEKRFRWEVLNQGNEGPLTMNRKAVTPNATGALVHPETQYHSDLGSAYIIRLSGDYGIQYSNRLQDQVMTYFSDISSLNSTISVDEERSSSEIDVTIAKDMDGHLNTVVGTPFTVNTLRGESDYDFFTTWGTEDSKTALLGSNGHYTFLVLELGQATMSKLCGNTGITLWIVQNDPSVVRVPMRNPDRRIRLTNGNSDTVATIDNDNSYSFNYLYDGTVLSVTYQHTGNIDFIYLEQDTPSAVEYFNMSNLLPKNKSVARIRINGLDAIYLTGDPSAALSSPASLKADKAYGDGSQYCDCNADNKCDCESPIGAVLSIEGDTTVQRIRNIKKEDTETIYLVGSYSVAIATASELTTQVAHKGVPYEVGPVQCHMPRGAAIRVQRNETIQLVVNNDLVYISNDMPNIALDTPWELRVQSIHMSTDCTLPRASTLDNSGLLTSIIGNQDVKRIMTGDVFYILGNKDVAMGKPSMLTAEVAKGGDGCRAEDFPLCQEGTGAVVEANKTLDKAGTLSKPRYIRRTNTDSVYLDGTVPDTALYYRHAPNSSLELVSTVNGSPFIRRVYNFDGVYLSGDIGCALAVPALLKNGDAQGNCSPCSISRRRGNGMISSVVPPQQSIFQNEGNGKYLIGNQVDLVHHKDLTWGGMNSADLEYGQLIATRKEWLTLDLCSEYEYGVSVVAESLGYVKQNYADTKDWIFTYALNPSAPFWKQFLRGVVAVMLHVKMLNFPIISQTMTIKLVSKYGAARSSLYSLKLDTGPITTEEHLVQTEPIIPSDEPSSRFFTSLPSSVIIPNPRVFGSLDCSPIDNEEKKMVLTDMVYFVNWPIWVTHYQTLFPSREFVLTLSAGGNAVLDFMGDQRTITYGYEPYHFFSVNVGILPTGDATLVQGRIVSALSKNFPSEGPIVHTSDSGILFSIRDYFSKAAQQSPSQLSQSIIDQPDPLGRCYPQNQCSSQLCCDPDMCDILGDGENDKSDTCLTEMAVDCGAGRWGPQCVCHWGNQDLTCRGNSNCLGPCGEITCHEGNWGQSCECKGDKCTGHEACRPHCARQNCAPGTWGEECRCTGGMCVGHSGCDVSCIENSCDPGTWNPDCTCSGSEQLYTGHGSCQDPCMTKLIECNSGGWGPSCHCEGHICVGHNSCGPDICDAEECSEGKYGSNCACSGMICIGRGGCQPDICQTTPVDCETGKWGSFCLCRGKSYQGNGSCLVPCAARSCDPGLFGPFCHCTGSVCEGNGHCNRPCEVVSEIVITNFSVQEANKGDNYFALHWIGSDLYQIFGAEHLSVSRAQRGARETELLSRQERAILRSGDLLEVFDYQTHSPPLGKRGYVGILADKQLSINFKLFQPQDIFLQSRWFIGPPIEQIARRGIDLEGSDITNNEQTFGGLKGNDGSPLSVTNTPMTDSNVKGNEFTDNETQQLQWNLGFLHMAIFYSLLIALLVSVGVACLATCKWSCYKSSKKFKFASRSLTNRPLPRTPPSYVSGSAMTYGPTATSFLPTTGTGTKFLFHRSELPVNSGLVWSDQLQVSEPTTQLEAPLAAPPAPSQNRRNTLSNRNLSTISPHHQASHQKHSPPLPQLTSQGQNSAPQENESDSKNEHETDTLTLASNSRSSLCSSRGSKVGRGWLRMAHEPSMVAENETDADSGASISYGRHPLGMEACFALGRRTAPECVGLQRLTFNQGQRTFEDAERHVLDKVRNGYLLRSSDHDFAKLTESSSYSKQTPVRCEENDASSNTYRREKERRSKTLSCYPRREKVDM
+>sp|Q6N022|TEN4_HUMAN Teneurin-4 OS=Homo sapiens OX=9606 GN=TENM4 PE=1 SV=2
+MDVKERKPYRSLTRRRDAERRYTSSSADSEEGKAPQKSYSSSETLKAYDQDARLAYGSRVKDIVPQEAEEFCRTGANFTLRELGLEEVTPPHGTLYRTDIGLPHCGYSMGAGSDADMEADTVLSPEHPVRLWGRSTRSGRSSCLSSRANSNLTLTDTEHENTETDHPGGLQNHARLRTPPPPLSHAHTPNQHHAASINSLNRGNFTPRSNPSPAPTDHSLSGEPPAGGAQEPAHAQENWLLNSNIPLETRNLGKQPFLGTLQDNLIEMDILGASRHDGAYSDGHFLFKPGGTSPLFCTTSPGYPLTSSTVYSPPPRPLPRSTFARPAFNLKKPSKYCNWKCAALSAIVISATLVILLAYFVAMHLFGLNWHLQPMEGQMYEITEDTASSWPVPTDVSLYPSGGTGLETPDRKGKGTTEGKPSSFFPEDSFIDSGEIDVGRRASQKIPPGTFWRSQVFIDHPVHLKFNVSLGKAALVGIYGRKGLPPSHTQFDFVELLDGRRLLTQEARSLEGTPRQSRGTVPPSSHETGFIQYLDSGIWHLAFYNDGKESEVVSFLTTAIESVDNCPSNCYGNGDCISGTCHCFLGFLGPDCGRASCPVLCSGNGQYMKGRCLCHSGWKGAECDVPTNQCIDVACSNHGTCITGTCICNPGYKGESCEEVDCMDPTCSGRGVCVRGECHCSVGWGGTNCETPRATCLDQCSGHGTFLPDTGLCSCDPSWTGHDCSIEICAADCGGHGVCVGGTCRCEDGWMGAACDQRACHPRCAEHGTCRDGKCECSPGWNGEHCTIAHYLDRVVKEGCPGLCNGNGRCTLDLNGWHCVCQLGWRGAGCDTSMETACGDSKDNDGDGLVDCMDPDCCLQPLCHINPLCLGSPNPLDIIQETQVPVSQQNLHSFYDRIKFLVGRDSTHIIPGENPFDGGHACVIRGQVMTSDGTPLVGVNISFVNNPLFGYTISRQDGSFDLVTNGGISIILRFERAPFITQEHTLWLPWDRFFVMETIIMRHEENEIPSCDLSNFARPNPVVSPSPLTSFASSCAEKGPIVPEIQALQEEISISGCKMRLSYLSSRTPGYKSVLRISLTHPTIPFNLMKVHLMVAVEGRLFRKWFAAAPDLSYYFIWDKTDVYNQKVFGLSEAFVSVGYEYESCPDLILWEKRTTVLQGYEIDASKLGGWSLDKHHALNIQSGILHKGNGENQFVSQQPPVIGSIMGNGRRRSISCPSCNGLADGNKLLAPVALTCGSDGSLYVGDFNYIRRIFPSGNVTNILELRNKDFRHSHSPAHKYYLATDPMSGAVFLSDSNSRRVFKIKSTVVVKDLVKNSEVVAGTGDQCLPFDDTRCGDGGKATEATLTNPRGITVDKFGLIYFVDGTMIRRIDQNGIISTLLGSNDLTSARPLSCDSVMDISQVHLEWPTDLAINPMDNSLYVLDNNVVLQISENHQVRIVAGRPMHCQVPGIDHFLLSKVAIHATLESATALAVSHNGVLYIAETDEKKINRIRQVTTSGEISLVAGAPSGCDCKNDANCDCFSGDDGYAKDAKLNTPSSLAVCADGELYVADLGNIRIRFIRKNKPFLNTQNMYELSSPIDQELYLFDTTGKHLYTQSLPTGDYLYNFTYTGDGDITLITDNNGNMVNVRRDSTGMPLWLVVPDGQVYWVTMGTNSALKSVTTQGHELAMMTYHGNSGLLATKSNENGWTTFYEYDSFGRLTNVTFPTGQVSSFRSDTDSSVHVQVETSSKDDVTITTNLSASGAFYTLLQDQVRNSYYIGADGSLRLLLANGMEVALQTEPHLLAGTVNPTVGKRNVTLPIDNGLNLVEWRQRKEQARGQVTVFGRRLRVHNRNLLSLDFDRVTRTEKIYDDHRKFTLRILYDQAGRPSLWSPSSRLNGVNVTYSPGGYIAGIQRGIMSERMEYDQAGRITSRIFADGKTWSYTYLEKSMVLLLHSQRQYIFEFDKNDRLSSVTMPNVARQTLETIRSVGYYRNIYQPPEGNASVIQDFTEDGHLLHTFYLGTGRRVIYKYGKLSKLAETLYDTTKVSFTYDETAGMLKTINLQNEGFTCTIRYRQIGPLIDRQIFRFTEEGMVNARFDYNYDNSFRVTSMQAVINETPLPIDLYRYDDVSGKTEQFGKFGVIYYDINQIITTAVMTHTKHFDAYGRMKEVQYEIFRSLMYWMTVQYDNMGRVVKKELKVGPYANTTRYSYEYDADGQLQTVSINDKPLWRYSYDLNGNLHLLSPGNSARLTPLRYDIRDRITRLGDVQYKMDEDGFLRQRGGDIFEYNSAGLLIKAYNRAGSWSVRYRYDGLGRRVSSKSSHSHHLQFFYADLTNPTKVTHLYNHSSSEITSLYYDLQGHLFAMELSSGDEFYIACDNIGTPLAVFSGTGLMIKQILYTAYGEIYMDTNPNFQIIIGYHGGLYDPLTKLVHMGRRDYDVLAGRWTSPDHELWKHLSSSNVMPFNLYMFKNNNPISNSQDIKCFMTDVNSWLLTFGFQLHNVIPGYPKPDMDAMEPSYELIHTQMKTQEWDNSKSILGVQCEVQKQLKAFVTLERFDQLYGSTITSCQQAPKTKKFASSGSVFGKGVKFALKDGRVTTDIISVANEDGRRVAAILNHAHYLENLHFTIDGVDTHYFVKPGPSEGDLAILGLSGGRRTLENGVNVTVSQINTVLNGRTRRYTDIQLQYGALCLNTRYGTTLDEEKARVLELARQRAVRQAWAREQQRLREGEEGLRAWTEGEKQQVLSTGRVQGYDGFFVISVEQYPELSDSANNIHFMRQSEMGRR
+>DECOY_sp|Q6N022|TEN4_HUMAN Teneurin-4 OS=Homo sapiens OX=9606 GN=TENM4 PE=1 SV=2
+RRGMESQRMFHINNASDSLEPYQEVSIVFFGDYGQVRGTSLVQQKEGETWARLGEEGERLRQQERAWAQRVARQRALELVRAKEEDLTTGYRTNLCLAGYQLQIDTYRRTRGNLVTNIQSVTVNVGNELTRRGGSLGLIALDGESPGPKVFYHTDVGDITFHLNELYHAHNLIAAVRRGDENAVSIIDTTVRGDKLAFKVGKGFVSGSSAFKKTKPAQQCSTITSGYLQDFRELTVFAKLQKQVECQVGLISKSNDWEQTKMQTHILEYSPEMADMDPKPYGPIVNHLQFGFTLLWSNVDTMFCKIDQSNSIPNNNKFMYLNFPMVNSSSLHKWLEHDPSTWRGALVDYDRRGMHVLKTLPDYLGGHYGIIIQFNPNTDMYIEGYATYLIQKIMLGTGSFVALPTGINDCAIYFEDGSSLEMAFLHGQLDYYLSTIESSSHNYLHTVKTPNTLDAYFFQLHHSHSSKSSVRRGLGDYRYRVSWSGARNYAKILLGASNYEFIDGGRQRLFGDEDMKYQVDGLRTIRDRIDYRLPTLRASNGPSLLHLNGNLDYSYRWLPKDNISVTQLQGDADYEYSYRTTNAYPGVKLEKKVVRGMNDYQVTMWYMLSRFIEYQVEKMRGYADFHKTHTMVATTIIQNIDYYIVGFKGFQETKGSVDDYRYLDIPLPTENIVAQMSTVRFSNDYNYDFRANVMGEETFRFIQRDILPGIQRYRITCTFGENQLNITKLMGATEDYTFSVKTTDYLTEALKSLKGYKYIVRRGTGLYFTHLLHGDETFDQIVSANGEPPQYINRYYGVSRITELTQRAVNPMTVSSLRDNKDFEFIYQRQSHLLLVMSKELYTYSWTKGDAFIRSTIRGAQDYEMRESMIGRQIGAIYGGPSYTVNVGNLRSSPSWLSPRGAQDYLIRLTFKRHDDYIKETRTVRDFDLSLLNRNHVRLRRGFVTVQGRAQEKRQRWEVLNLGNDIPLTVNRKGVTPNVTGALLHPETQLAVEMGNALLLRLSGDAGIYYSNRVQDQLLTYFAGSASLNTTITVDDKSSTEVQVHVSSDTDSRFSSVQGTPFTVNTLRGFSDYEYFTTWGNENSKTALLGSNGHYTMMALEHGQTTVSKLASNTGMTVWYVQGDPVVLWLPMGTSDRRVNVMNGNNDTILTIDGDGTYTFNYLYDGTPLSQTYLHKGTTDFLYLEQDIPSSLEYMNQTNLFPKNKRIFRIRINGLDAVYLEGDACVALSSPTNLKADKAYGDDGSFCDCNADNKCDCGSPAGAVLSIEGSTTVQRIRNIKKEDTEAIYLVGNHSVALATASELTAHIAVKSLLFHDIGPVQCHMPRGAVIRVQHNESIQLVVNNDLVYLSNDMPNIALDTPWELHVQSIDMVSDCSLPRASTLDNSGLLTSIIGNQDIRRIMTGDVFYILGFKDVTIGRPNTLTAETAKGGDGCRTDDFPLCQDGTGAVVESNKVLDKVVVTSKIKFVRRSNSDSLFVAGSMPDTALYYKHAPSHSHRFDKNRLELINTVNGSPFIRRIYNFDGVYLSGDSGCTLAVPALLKNGDALGNCSPCSISRRRGNGMISGIVPPQQSVFQNEGNGKHLIGSQINLAHHKDLSWGGLKSADIEYGQLVTTRKEWLILDPCSEYEYGVSVFAESLGFVKQNYVDTKDWIFYYSLDPAAAFWKRFLRGEVAVMLHVKMLNFPITPHTLSIRLVSKYGPTRSSLYSLRMKCGSISIEEQLAQIEPVIPGKEACSSAFSTLPSPSVVPNPRAFNSLDCSPIENEEHRMIITEMVFFRDWPLWLTHEQTIFPAREFRLIISIGGNTVLDFSGDQRSITYGFLPNNVFSINVGVLPTGDSTMVQGRIVCAHGGDFPNEGPIIHTSDRGVLFKIRDYFSHLNQQSVPVQTEQIIDLPNPSGLCLPNIHCLPQLCCDPDMCDVLGDGDNDKSDGCATEMSTDCGAGRWGLQCVCHWGNLDLTCRGNGNCLGPCGEKVVRDLYHAITCHEGNWGPSCECKGDRCTGHEACRPHCARQDCAAGMWGDECRCTGGVCVGHGGCDAACIEISCDHGTWSPDCSCLGTDPLFTGHGSCQDLCTARPTECNTGGWGVSCHCEGRVCVGRGSCTPDMCDVEECSEGKYGPNCICTGTICTGHNSCAVDICQNTPVDCEAGKWGSHCLCRGKMYQGNGSCLVPCSARGCDPGLFGLFCHCTGSICDGNGYCNSPCNDVSEIATTLFSVVESEKGDNYFALHWIGSDLYQIFGTEHSSPPVTGRSQRPTGELSRAEQTLLRRGDLLEVFDFQTHSPPLGKRGYIGVLAAKGLSVNFKLHVPHDIFVQSRWFTGPPIKQSARRGVDIEGSDIFSDEPFFSSPKGETTGKGKRDPTELGTGGSPYLSVDTPVPWSSATDETIEYMQGEMPQLHWNLGFLHMAVFYALLIVLTASIVIASLAACKWNCYKSPKKLNFAPRAFTSRPLPRPPPSYVTSSTLPYGPSTTCFLPSTGGPKFLFHGDSYAGDHRSAGLIDMEILNDQLTGLFPQKGLNRTELPINSNLLWNEQAHAPEQAGGAPPEGSLSHDTPAPSPNSRPTFNGRNLSNISAAHHQNPTHAHSLPPPPTRLRAHNQLGGPHDTETNEHETDTLTLNSNARSSLCSSRGSRTSRGWLRVPHEPSLVTDAEMDADSGAGMSYGCHPLGIDTRYLTGHPPTVEELGLERLTFNAGTRCFEEAEQPVIDKVRSGYALRADQDYAKLTESSSYSKQPAKGEESDASSSTYRREADRRRTLSRYPKREKVDM
+>sp|Q9UQP3|TENN_HUMAN Tenascin-N OS=Homo sapiens OX=9606 GN=TNN PE=1 SV=2
+MSLQEMFRFPMGLLLGSVLLVASAPATLEPPGCSNKEQQVTVSHTYKIDVPKSALVQVDADPQPLSDDGASLLALGEAREEQNIIFRHNIRLQTPQKDCELAGSVQDLLARVKKLEEEMVEMKEQCSAQRCCQGVTDLSRHCSGHGTFSLETCSCHCEEGREGPACERLACPGACSGHGRCVDGRCLCHEPYVGADCGYPACPENCSGHGECVRGVCQCHEDFMSEDCSEKRCPGDCSGHGFCDTGECYCEEGFTGLDCAQVVTPQGLQLLKNTEDSLLVSWEPSSQVDHYLLSYYPLGKELSGKQIQVPKEQHSYEILGLLPGTKYIVTLRNVKNEVSSSPQHLLATTDLAVLGTAWVTDETENSLDVEWENPSTEVDYYKLRYGPMTGQEVAEVTVPKSSDPKSRYDITGLHPGTEYKITVVPMRGELEGKPILLNGRTEIDSPTNVVTDRVTEDTATVSWDPVQAVIDKYVVRYTSADGDTKEMAVHKDESSTVLTGLKPGEAYKVYVWAERGNQGSKKADTNALTEIDSPANLVTDRVTENTATISWDPVQATIDKYVVRYTSADDQETREVLVGKEQSSTVLTGLRPGVEYTVHVWAQKGDRESKKADTNAPTDIDSPKNLVTDRVTENMATVSWDPVQAAIDKYVVRYTSAGGETREVPVGKEQSSTVLTGLRPGMEYMVHVWAQKGDQESKKADTKAQTDIDSPQNLVTDRVTENMATVSWDPVRATIDRYVVRYTSAKDGETREVPVGKEQSSTVLTGLRPGVEYTVHVWAQKGAQESKKADTKAQTDIDSPQNLVTDWVTENTATVSWDPVQATIDRYVVHYTSANGETREVPVGKEQSSTVLTGLRPGMEYTVHVWAQKGNQESKKADTKAQTEIDGPKNLVTDWVTENMATVSWDPVQATIDKYMVRYTSADGETREVPVGKEHSSTVLTGLRPGMEYMVHVWAQKGAQESKKADTKAQTELDPPRNLRPSAVTQSGGILTWTPPSAQIHGYILTYQFPDGTVKEMQLGREDQRFALQGLEQGATYPVSLVAFKGGRRSRNVSTTLSTVGARFPHPSDCSQVQQNSNAASGLYTIYLHGDASRPLQVYCDMETDGGGWIVFQRRNTGQLDFFKRWRSYVEGFGDPMKEFWLGLDKLHNLTTGTPARYEVRVDLQTANESAYAIYDFFQVASSKERYKLTVGKYRGTAGDALTYHNGWKFTTFDRDNDIALSNCALTHHGGWWYKNCHLANPNGRYGETKHSEGVNWEPWKGHEFSIPYVELKIRPHGYSREPVLGRKKRTLRGRLRTF
+>DECOY_sp|Q9UQP3|TENN_HUMAN Tenascin-N OS=Homo sapiens OX=9606 GN=TNN PE=1 SV=2
+FTRLRGRLTRKKRGLVPERSYGHPRIKLEVYPISFEHGKWPEWNVGESHKTEGYRGNPNALHCNKYWWGGHHTLACNSLAIDNDRDFTTFKWGNHYTLADGATGRYKGVTLKYREKSSAVQFFDYIAYASENATQLDVRVEYRAPTGTTLNHLKDLGLWFEKMPDGFGEVYSRWRKFFDLQGTNRRQFVIWGGGDTEMDCYVQLPRSADGHLYITYLGSAANSNQQVQSCDSPHPFRAGVTSLTTSVNRSRRGGKFAVLSVPYTAGQELGQLAFRQDERGLQMEKVTGDPFQYTLIYGHIQASPPTWTLIGGSQTVASPRLNRPPDLETQAKTDAKKSEQAGKQAWVHVMYEMGPRLGTLVTSSHEKGVPVERTEGDASTYRVMYKDITAQVPDWSVTAMNETVWDTVLNKPGDIETQAKTDAKKSEQNGKQAWVHVTYEMGPRLGTLVTSSQEKGVPVERTEGNASTYHVVYRDITAQVPDWSVTATNETVWDTVLNQPSDIDTQAKTDAKKSEQAGKQAWVHVTYEVGPRLGTLVTSSQEKGVPVERTEGDKASTYRVVYRDITARVPDWSVTAMNETVRDTVLNQPSDIDTQAKTDAKKSEQDGKQAWVHVMYEMGPRLGTLVTSSQEKGVPVERTEGGASTYRVVYKDIAAQVPDWSVTAMNETVRDTVLNKPSDIDTPANTDAKKSERDGKQAWVHVTYEVGPRLGTLVTSSQEKGVLVERTEQDDASTYRVVYKDITAQVPDWSITATNETVRDTVLNAPSDIETLANTDAKKSGQNGREAWVYVKYAEGPKLGTLVTSSEDKHVAMEKTDGDASTYRVVYKDIVAQVPDWSVTATDETVRDTVVNTPSDIETRGNLLIPKGELEGRMPVVTIKYETGPHLGTIDYRSKPDSSKPVTVEAVEQGTMPGYRLKYYDVETSPNEWEVDLSNETEDTVWATGLVALDTTALLHQPSSSVENKVNRLTVIYKTGPLLGLIEYSHQEKPVQIQKGSLEKGLPYYSLLYHDVQSSPEWSVLLSDETNKLLQLGQPTVVQACDLGTFGEECYCEGTDCFGHGSCDGPCRKESCDESMFDEHCQCVGRVCEGHGSCNEPCAPYGCDAGVYPEHCLCRGDVCRGHGSCAGPCALRECAPGERGEECHCSCTELSFTGHGSCHRSLDTVGQCCRQASCQEKMEVMEEELKKVRALLDQVSGALECDKQPTQLRINHRFIINQEERAEGLALLSAGDDSLPQPDADVQVLASKPVDIKYTHSVTVQQEKNSCGPPELTAPASAVLLVSGLLLGMPFRFMEQLSM
+>sp|Q16473|TENXA_HUMAN Putative tenascin-XA OS=Homo sapiens OX=9606 GN=TNXA PE=5 SV=2
+MEDKNGAPHGAPHSDSPLGFSHAAPEEDTPAPELAPEAPEPPEEPRLGVLTVTDTTPDSMRLSWSVAQGPFDSFVVQYEDTNGQPQALLVDGDQSKILISGLEPSTPYRFLLYGLHEGKRLGPLSAEGTTGLAPAGQTSEESRPRLSQLSVTDVTTSSLRLNWEAPPGAFDSFLLRFGVPSPSTLEPHPRPLLQRELMVPGTRHSAVLRDLRSGTLYSLTLYGLRGPHKADSIQGTARTLSPVLESPRDLQFSEIRETSAKVNWMPPPSRADSFKVSYQLADGGEPQSVQVDGRARTQKLQFLTVPHSCVH
+>DECOY_sp|Q16473|TENXA_HUMAN Putative tenascin-XA OS=Homo sapiens OX=9606 GN=TNXA PE=5 SV=2
+HVCSHPVTLFQLKQTRARGDVQVSQPEGGDALQYSVKFSDARSPPPMWNVKASTERIESFQLDRPSELVPSLTRATGQISDAKHPGRLGYLTLSYLTGSRLDRLVASHRTGPVMLERQLLPRPHPELTSPSPVGFRLLFSDFAGPPAEWNLRLSSTTVDTVSLQSLRPRSEESTQGAPALGTTGEASLPGLRKGEHLGYLLFRYPTSPELGSILIKSQDGDVLLAQPQGNTDEYQVVFSDFPGQAVSWSLRMSDPTTDTVTLVGLRPEEPPEPAEPALEPAPTDEEPAAHSFGLPSDSHPAGHPAGNKDEM
+>sp|Q99973|TEP1_HUMAN Telomerase protein component 1 OS=Homo sapiens OX=9606 GN=TEP1 PE=1 SV=2
+MEKLHGHVSAHPDILSLENRCLAMLPDLQPLEKLHQHVSTHSDILSLKNQCLATLPDLKTMEKPHGYVSAHPDILSLENQCLATLSDLKTMEKPHGHVSAHPDILSLENRCLATLSSLKSTVSASPLFQSLQISHMTQADLYRVNNSNCLLSEPPSWRAQHFSKGLDLSTCPIALKSISATETAQEATLGRWFDSEEKKGAETQMPSYSLSLGEEEEVEDLAVKLTSGDSESHPEPTDHVLQEKKMALLSLLCSTLVSEVNMNNTSDPTLAAIFEICRELALLEPEFILKASLYARQQLNVRNVANNILAIAAFLPACRPHLRRYFCAIVQLPSDWIQVAELYQSLAEGDKNKLVPLPACLRTAMTDKFAQFDEYQLAKYNPRKHRAKRHPRRPPRSPGMEPPFSHRCFPRYIGFLREEQRKFEKAGDTVSEKKNPPRFTLKKLVQRLHIHKPAQHVQALLGYRYPSNLQLFSRSRLPGPWDSSRAGKRMKLSRPETWERELSLRGNKASVWEELIENGKLPFMAMLRNLCNLLRVGISSRHHELILQRLQHAKSVIHSRQFPFRFLNAHDAIDALEAQLRNQALPFPSNITLMRRILTRNEKNRPRRRFLCHLSRQQLRMAMRIPVLYEQLKREKLRVHKARQWKYDGEMLNRYRQALETAVNLSVKHSLPLLPGRTVLVYLTDANADRLCPKSNPQGPPLNYALLLIGMMITRAEQVDVVLCGGDTLKTAVLKAEEGILKTAIKLQAQVQEFDENDGWSLNTFGKYLLSLAGQRVPVDRVILLGQSMDDGMINVAKQLYWQRVNSKCLFVGILLRRVQYLSTDLNPNDVTLSGCTDAILKFIAEHGASHLLEHVGQMDKIFKIPPPPGKTGVQSLRPLEEDTPSPLAPVSQQGWRSIRLFISSTFRDMHGERDLLLRSVLPALQARAAPHRISLHGIDLRWGVTEEETRRNRQLEVCLGEVENAQLFVGILGSRYGYIPPSYNLPDHPHFHWAQQYPSGRSVTEMEVMQFLNRNQRLQPSAQALIYFRDSSFLSSVPDAWKSDFVSESEEAARRISELKSYLSRQKGITCRRYPCEWGGVAAGRPYVGGLEEFGQLVLQDVWNMIQKLYLQPGALLEQPVSIPDDDLVQATFQQLQKPPSPARPRLLQDTVQRLMLPHGRLSLVTGQSGQGKTAFLASLVSALQAPDGAKVASLVFFHFSGARPDQGLALTLLRRLCTYLRGQLKEPGALPSTYRSLVWELQQRLLPKSAESLHPGQTQVLIIDGADRLVDQNGQLISDWIPKKLPRCVHLVLSVSSDAGLGETLEQSQGAHVLALGPLEASARARLVREELALYGKRLEESPFNNQMRLLLVKRESGRPLYLRLVTDHLRLFTLYEQVSERLRTLPATVPLLLQHILSTLEKEHGPDVLPQALTALEVTRSGLTVDQLHGVLSVWRTLPKGTKSWEEAVAAGNSGDPYPMGPFACLVQSLRSLLGEGPLERPGARLCLPDGPLRTAAKRCYGKRPGLEDTAHILIAAQLWKTCDADASGTFRSCPPEALGDLPYHLLQSGNRGLLSKFLTNLHVVAAHLELGLVSRLLEAHALYASSVPKEEQKLPEADVAVFRTFLRQQASILSQYPRLLPQQAANQPLDSPLCHQASLLSRRWHLQHTLRWLNKPRTMKNQQSSSLSLAVSSSPTAVAFSTNGQRAAVGTANGTVYLLDLRTWQEEKSVVSGCDGISACLFLSDDTLFLTAFDGLLELWDLQHGCRVLQTKAHQYQITGCCLSPDCRLLATVCLGGCLKLWDTVRGQLAFQHTYPKSLNCVAFHPEGQVIATGSWAGSISFFQVDGLKVTKDLGAPGASIRTLAFNVPGGVVAVGRLDSMVELWAWREGARLAAFPAHHGFVAAALFLHAGCQLLTAGEDGKVQVWSGSLGRPRGHLGSLSLSPALSVALSPDGDRVAVGYRADGIRIYKISSGSQGAQGQALDVAVSALAWLSPKVLVSGAEDGSLQGWALKECSLQSLWLLSRFQKPVLGLATSQELLASASEDFTVQLWPRQLLTRPHKAEDFPCGTELRGHEGPVSCCSFSTDGGSLATGGRDRSLLCWDVRTPKTPVLIHSFPACHRDWVTGCAWTKDNLLISCSSDGSVGLWDPESGQRLGQFLGHQSAVSAVAAVEEHVVSVSRDGTLKVWDHQGVELTSIPAHSGPISHCAAAMEPRAAGQPGSELLVVTVGLDGATRLWHPLLVCQTHTLLGHSGPVRAAAVSETSGLMLTASEDGSVRLWQVPKEADDTCIPRSSAAVTAVAWAPDGSMAVSGNQAGELILWQEAKAVATAQAPGHIGALIWSSAHTFFVLSADEKISEWQVKLRKGSAPGNLSLHLNRILQEDLGVLTSLDWAPDGHFLILAKADLKLLCMKPGDAPSEIWSSYTENPMILSTHKEYGIFVLQPKDPGVLSFLRQKESGEFEERLNFDINLENPSRTLISITQAKPESESSFLCASSDGILWNLAKCSPEGEWTTGNMWQKKANTPETQTPGTDPSTCRESDASMDSDASMDSEPTPHLKTRQRRKIHSGSVTALHVLPELLVTASKDRDVKLWERPSMQLLGLFRCEGSVSCLEPWLGANSTLQLAVGDVQGNVYFLNWE
+>DECOY_sp|Q99973|TEP1_HUMAN Telomerase protein component 1 OS=Homo sapiens OX=9606 GN=TEP1 PE=1 SV=2
+EWNLFYVNGQVDGVALQLTSNAGLWPELCSVSGECRFLGLLQMSPREWLKVDRDKSATVLLEPLVHLATVSGSHIKRRQRTKLHPTPESDMSADSDMSADSERCTSPDTGPTQTEPTNAKKQWMNGTTWEGEPSCKALNWLIGDSSACLFSSESEPKAQTISILTRSPNELNIDFNLREEFEGSEKQRLFSLVGPDKPQLVFIGYEKHTSLIMPNETYSSWIESPADGPKMCLLKLDAKALILFHGDPAWDLSTLVGLDEQLIRNLHLSLNGPASGKRLKVQWESIKEDASLVFFTHASSWILAGIHGPAQATAVAKAEQWLILEGAQNGSVAMSGDPAWAVATVAASSRPICTDDAEKPVQWLRVSGDESATLMLGSTESVAAARVPGSHGLLTHTQCVLLPHWLRTAGDLGVTVVLLESGPQGAARPEMAAACHSIPGSHAPISTLEVGQHDWVKLTGDRSVSVVHEEVAAVASVASQHGLFQGLRQGSEPDWLGVSGDSSCSILLNDKTWACGTVWDRHCAPFSHILVPTKPTRVDWCLLSRDRGGTALSGGDTSFSCCSVPGEHGRLETGCPFDEAKHPRTLLQRPWLQVTFDESASALLEQSTALGLVPKQFRSLLWLSQLSCEKLAWGQLSGDEAGSVLVKPSLWALASVAVDLAQGQAGQSGSSIKYIRIGDARYGVAVRDGDPSLAVSLAPSLSLSGLHGRPRGLSGSWVQVKGDEGATLLQCGAHLFLAAAVFGHHAPFAALRAGERWAWLEVMSDLRGVAVVGGPVNFALTRISAGPAGLDKTVKLGDVQFFSISGAWSGTAIVQGEPHFAVCNLSKPYTHQFALQGRVTDWLKLCGGLCVTALLRCDPSLCCGTIQYQHAKTQLVRCGHQLDWLELLGDFATLFLTDDSLFLCASIGDCGSVVSKEEQWTRLDLLYVTGNATGVAARQGNTSFAVATPSSSVALSLSSSQQNKMTRPKNLWRLTHQLHWRRSLLSAQHCLPSDLPQNAAQQPLLRPYQSLISAQQRLFTRFVAVDAEPLKQEEKPVSSAYLAHAELLRSVLGLELHAAVVHLNTLFKSLLGRNGSQLLHYPLDGLAEPPCSRFTGSADADCTKWLQAAILIHATDELGPRKGYCRKAATRLPGDPLCLRAGPRELPGEGLLSRLSQVLCAFPGMPYPDGSNGAAVAEEWSKTGKPLTRWVSLVGHLQDVTLGSRTVELATLAQPLVDPGHEKELTSLIHQLLLPVTAPLTRLRESVQEYLTFLRLHDTVLRLYLPRGSERKVLLLRMQNNFPSEELRKGYLALEERVLRARASAELPGLALVHAGQSQELTEGLGADSSVSLVLHVCRPLKKPIWDSILQGNQDVLRDAGDIILVQTQGPHLSEASKPLLRQQLEWVLSRYTSPLAGPEKLQGRLYTCLRRLLTLALGQDPRAGSFHFFVLSAVKAGDPAQLASVLSALFATKGQGSQGTVLSLRGHPLMLRQVTDQLLRPRAPSPPKQLQQFTAQVLDDDPISVPQELLAGPQLYLKQIMNWVDQLVLQGFEELGGVYPRGAAVGGWECPYRRCTIGKQRSLYSKLESIRRAAEESESVFDSKWADPVSSLFSSDRFYILAQASPQLRQNRNLFQMVEMETVSRGSPYQQAWHFHPHDPLNYSPPIYGYRSGLIGVFLQANEVEGLCVELQRNRRTEEETVGWRLDIGHLSIRHPAARAQLAPLVSRLLLDREGHMDRFTSSIFLRISRWGQQSVPALPSPTDEELPRLSQVGTKGPPPPIKFIKDMQGVHELLHSAGHEAIFKLIADTCGSLTVDNPNLDTSLYQVRRLLIGVFLCKSNVRQWYLQKAVNIMGDDMSQGLLIVRDVPVRQGALSLLYKGFTNLSWGDNEDFEQVQAQLKIATKLIGEEAKLVATKLTDGGCLVVDVQEARTIMMGILLLAYNLPPGQPNSKPCLRDANADTLYVLVTRGPLLPLSHKVSLNVATELAQRYRNLMEGDYKWQRAKHVRLKERKLQEYLVPIRMAMRLQQRSLHCLFRRRPRNKENRTLIRRMLTINSPFPLAQNRLQAELADIADHANLFRFPFQRSHIVSKAHQLRQLILEHHRSSIGVRLLNCLNRLMAMFPLKGNEILEEWVSAKNGRLSLEREWTEPRSLKMRKGARSSDWPGPLRSRSFLQLNSPYRYGLLAQVHQAPKHIHLRQVLKKLTFRPPNKKESVTDGAKEFKRQEERLFGIYRPFCRHSFPPEMGPSRPPRRPHRKARHKRPNYKALQYEDFQAFKDTMATRLCAPLPVLKNKDGEALSQYLEAVQIWDSPLQVIACFYRRLHPRCAPLFAAIALINNAVNRVNLQQRAYLSAKLIFEPELLALERCIEFIAALTPDSTNNMNVESVLTSCLLSLLAMKKEQLVHDTPEPHSESDGSTLKVALDEVEEEEGLSLSYSPMQTEAGKKEESDFWRGLTAEQATETASISKLAIPCTSLDLGKSFHQARWSPPESLLCNSNNVRYLDAQTMHSIQLSQFLPSASVTSKLSSLTALCRNELSLIDPHASVHGHPKEMTKLDSLTALCQNELSLIDPHASVYGHPKEMTKLDPLTALCQNKLSLIDSHTSVHQHLKELPQLDPLMALCRNELSLIDPHASVHGHLKEM
+>sp|Q8NA31|TERB1_HUMAN Telomere repeats-binding bouquet formation protein 1 OS=Homo sapiens OX=9606 GN=TERB1 PE=1 SV=3
+MESEDTKKTQEMKTDLNLLLECLKYQMDNAFSQKEALVTIHSICQQNSNASVYFREIGGLMFVKNLAKSSEHSMVKEAALYTLGAIAEKNVYCQQTLCTSELFEDLTWFLSNDSNINLKRMSVYVILVLVSNNRTGQTLVRETGCITVLSRLFRTVISKHELDLSDKNVFQSYQLWSSVCSTLCVCVNNPQNDENQMFCCSLFPHANEWLKNCTTPEIIRPICSFIGLTLANNTYVQKYFVSVGGLDVLSQVLMQLESDSHETLSSAKLAVVVTKTVDACIADNPTFGIVLSKYHIVSKLLALLLHESLDSGEKFSIMLTLGHCTEDCEENQYDLFKNNGLPLMIQALTESQNEELNKAATFVLHNCKKITEKLSLSLGEYPFDENETQQLKDISVKENNLEEHWRKAKEILHRIEQLEREGNEEEIQRENYQDNISSMNISIQNTWKHLHADRIGRGSKAEDEDKSHSRQLQSYKSHGVMSKACTNDDQMKTPLKSANPVHACYRESEQNKTLYKAKSSCNQNLHEETTFEKNFVSQSSDHVFKHPVHIAKNIKQQLPVTDPFTLCSDIINKEVVSFLATPSCSEMLTYRCSGCIAVEKSLNSRNFSKLLHSCPYQCDRHKVIVEAEDRYKSELRKSLICNKKILLTPRRRQRLSNESTTPGGIKKRRIRKNFTEEEVNYLFNGVKKMGNHWNSILWSFPFQQGRKAVDLAHKYHKLTKHPTCAAS
+>DECOY_sp|Q8NA31|TERB1_HUMAN Telomere repeats-binding bouquet formation protein 1 OS=Homo sapiens OX=9606 GN=TERB1 PE=1 SV=3
+SAACTPHKTLKHYKHALDVAKRGQQFPFSWLISNWHNGMKKVGNFLYNVEEETFNKRIRRKKIGGPTTSENSLRQRRRPTLLIKKNCILSKRLESKYRDEAEVIVKHRDCQYPCSHLLKSFNRSNLSKEVAICGSCRYTLMESCSPTALFSVVEKNIIDSCLTFPDTVPLQQKINKAIHVPHKFVHDSSQSVFNKEFTTEEHLNQNCSSKAKYLTKNQESERYCAHVPNASKLPTKMQDDNTCAKSMVGHSKYSQLQRSHSKDEDEAKSGRGIRDAHLHKWTNQISINMSSINDQYNERQIEEENGERELQEIRHLIEKAKRWHEELNNEKVSIDKLQQTENEDFPYEGLSLSLKETIKKCNHLVFTAAKNLEENQSETLAQIMLPLGNNKFLDYQNEECDETCHGLTLMISFKEGSDLSEHLLLALLKSVIHYKSLVIGFTPNDAICADVTKTVVVALKASSLTEHSDSELQMLVQSLVDLGGVSVFYKQVYTNNALTLGIFSCIPRIIEPTTCNKLWENAHPFLSCCFMQNEDNQPNNVCVCLTSCVSSWLQYSQFVNKDSLDLEHKSIVTRFLRSLVTICGTERVLTQGTRNNSVLVLIVYVSMRKLNINSDNSLFWTLDEFLESTCLTQQCYVNKEAIAGLTYLAAEKVMSHESSKALNKVFMLGGIERFYVSANSNQQCISHITVLAEKQSFANDMQYKLCELLLNLDTKMEQTKKTDESEM
+>sp|Q5VWP2|TET5C_HUMAN Terminal nucleotidyltransferase 5C OS=Homo sapiens OX=9606 GN=TENT5C PE=1 SV=1
+MAEESSCTRDCMSFSVLNWDQVSRLHEVLTEVVPIHGRGNFPTLEITLKDIVQTVRSRLEEAGIKVHDVRLNGSAAGHVLVKDNGLGCKDLDLIFHVALPTEAEFQLVRDVVLCSLLNFLPEGVNKLKISPVTLKEAYVQKLVKVCTDTDRWSLISLSNKNGKNVELKFVDSIRRQFEFSVDSFQIILDSLLFFYDCSNNPISEHFHPTVIGESMYGDFEEAFDHLQNRLIATKNPEEIRGGGLLKYSNLLVRDFRPTDQEEIKTLERYMCSRFFIDFPDILEQQRKLETYLQNHFAEEERSKYDYLMILRRVVNESTVCLMGHERRQTLNLISLLALRVLAEQNIIPSATNVTCYYQPAPYVSDGNFSNYYVAHPPVTYSQPYPTWLPCN
+>DECOY_sp|Q5VWP2|TET5C_HUMAN Terminal nucleotidyltransferase 5C OS=Homo sapiens OX=9606 GN=TENT5C PE=1 SV=1
+NCPLWTPYPQSYTVPPHAVYYNSFNGDSVYPAPQYYCTVNTASPIINQEALVRLALLSILNLTQRREHGMLCVTSENVVRRLIMLYDYKSREEEAFHNQLYTELKRQQELIDPFDIFFRSCMYRELTKIEEQDTPRFDRVLLNSYKLLGGGRIEEPNKTAILRNQLHDFAEEFDGYMSEGIVTPHFHESIPNNSCDYFFLLSDLIIQFSDVSFEFQRRISDVFKLEVNKGNKNSLSILSWRDTDTCVKVLKQVYAEKLTVPSIKLKNVGEPLFNLLSCLVVDRVLQFEAETPLAVHFILDLDKCGLGNDKVLVHGAASGNLRVDHVKIGAEELRSRVTQVIDKLTIELTPFNGRGHIPVVETLVEHLRSVQDWNLVSFSMCDRTCSSEEAM
+>sp|Q8IWB6|TEX14_HUMAN Inactive serine/threonine-protein kinase TEX14 OS=Homo sapiens OX=9606 GN=TEX14 PE=1 SV=2
+MSRAVRLPVPCPVQLGTLRNDSLEAQLHEYVKQGNYVKVKKILKKGIYVDAVNSLGQTALFVAALLGLRKFVDVLVDYGSDPNHRCFDGSTPVHAAAFSGNQWILSKLLDAGGDLRLHDERGQNPKTWALTAGKERSTQIVEFMQRCASHMQAIIQGFSYDLLKKIDSPQRLVYSPSWCGGLVQGNPNGSPNRLLKAGVISAQNIYSFGFGKAMPWFQFYLTGATQMAYLGSLPVIGEKEVIQADDEPTFSFFSGPYMVMTNLVWNGSRVTVKELNLPTHPHCSRLRLADLLIAEQEHSSKLRHPYLLQLMAVCLSQDLEKTRLVYERITIGTLFSVLHERRSQFPVLHMEVIVHLLLQISDALRYLHFQGFIHRSLSSYAVHIISPGEARLTNLEYMLESEDRGVQRDLTRVPLPTQLYNWAAPEVILQKAATVKSDIYSFSMIMQEILTDDIPWKGLDGSVVKKAVVSGNYLEADVRLPKPYYDIVKSGIHVKQKDRTMNLQDIRYILKNDLKDFTGAQRTQPTESPRVQRYGLHPDVNVYLGLTSEHPRETPDMEIIELKEMGSQPHSPRVHSLFTEGTLDPQAPDPCLMARETQNQDAPCPAPFMAEEASSPSTGQPSLCSFEINEIYSGCLILEDDIEEPPGAASSLEADGPNQVDELKSMEEELDKMEREACCFGSEDESSSKAETEYSFDDWDWQNGSLSSLSLPESTREAKSNLNNMSTTEEYLISKCVLDLKIMQTIMHENDDRLRNIEQILDEVEMKQKEQEERMSLWATSREFTNAYKLPLAVGPPSLNYIPPVLQLSGGQKPDTSGNYPTLPRFPRMLPTLCDPGKQNTDEQFQCTQGAKDSLETSRIQNTSSQGRPRESTAQAKATQFNSALFTLSSHRQGPSASPSCHWDSTRMSVEPVSSEIYNAESRNKDDGKVHLKWKMEVKEMAKKAATGQLTVPPWHPQSSLTLESEAENEPDALLQPPIRSPENTDWQRVIEYHRENDEPRGNGKFDKTGNNDCDSDQHGRQPRLGSFTSIRHPSPRQKEQPEHSEAFQASSDTLVAVEKSYSHQSMQSTCSPESSEDITDEFLTPDGEYFYSSTAQENLALETSSPIEEDFEGIQGAFAQPQVSGEEKFQMRKILGKNAEILPRSQFQPVRSTEDEQEETSKESPKELKEKDISLTDIQDLSSISYEPDSSFKEASCKTPKINHAPTSVSTPLSPGSVSSAASQYKDCLESITFQVKTEFASCWNSQEFIQTLSDDFISVRERAKKLDSLLTSSETPPSRLTGLKRLSSFIGAGSPSLVKACDSSPPHATQRRSLPKVEAFSQHHIDELPPPSQELLDDIELLKQQQGSSTVLHENTASDGGGTANDQRHLEEQETDSKKEDSSMLLSKETEDLGEDTERAHSTLDEDLERWLQPPEESVELQDLPKGSERETNIKDQKVGEEKRKREDSITPERRKSEGVLGTSEEDELKSCFWKRLGWSESSRIIVLDQSDLSD
+>DECOY_sp|Q8IWB6|TEX14_HUMAN Inactive serine/threonine-protein kinase TEX14 OS=Homo sapiens OX=9606 GN=TEX14 PE=1 SV=2
+DSLDSQDLVIIRSSESWGLRKWFCSKLEDEESTGLVGESKRREPTISDERKRKEEGVKQDKINTERESGKPLDQLEVSEEPPQLWRELDEDLTSHARETDEGLDETEKSLLMSSDEKKSDTEQEELHRQDNATGGGDSATNEHLVTSSGQQQKLLEIDDLLEQSPPPLEDIHHQSFAEVKPLSRRQTAHPPSSDCAKVLSPSGAGIFSSLRKLGTLRSPPTESSTLLSDLKKARERVSIFDDSLTQIFEQSNWCSAFETKVQFTISELCDKYQSAASSVSGPSLPTSVSTPAHNIKPTKCSAEKFSSDPEYSISSLDQIDTLSIDKEKLEKPSEKSTEEQEDETSRVPQFQSRPLIEANKGLIKRMQFKEEGSVQPQAFAGQIGEFDEEIPSSTELALNEQATSSYFYEGDPTLFEDTIDESSEPSCTSQMSQHSYSKEVAVLTDSSAQFAESHEPQEKQRPSPHRISTFSGLRPQRGHQDSDCDNNGTKDFKGNGRPEDNERHYEIVRQWDTNEPSRIPPQLLADPENEAESELTLSSQPHWPPVTLQGTAAKKAMEKVEMKWKLHVKGDDKNRSEANYIESSVPEVSMRTSDWHCSPSASPGQRHSSLTFLASNFQTAKAQATSERPRGQSSTNQIRSTELSDKAGQTCQFQEDTNQKGPDCLTPLMRPFRPLTPYNGSTDPKQGGSLQLVPPIYNLSPPGVALPLKYANTFERSTAWLSMREEQEKQKMEVEDLIQEINRLRDDNEHMITQMIKLDLVCKSILYEETTSMNNLNSKAERTSEPLSLSSLSGNQWDWDDFSYETEAKSSSEDESGFCCAEREMKDLEEEMSKLEDVQNPGDAELSSAAGPPEEIDDELILCGSYIENIEFSCLSPQGTSPSSAEEAMFPAPCPADQNQTERAMLCPDPAQPDLTGETFLSHVRPSHPQSGMEKLEIIEMDPTERPHESTLGLYVNVDPHLGYRQVRPSETPQTRQAGTFDKLDNKLIYRIDQLNMTRDKQKVHIGSKVIDYYPKPLRVDAELYNGSVVAKKVVSGDLGKWPIDDTLIEQMIMSFSYIDSKVTAAKQLIVEPAAWNYLQTPLPVRTLDRQVGRDESELMYELNTLRAEGPSIIHVAYSSLSRHIFGQFHLYRLADSIQLLLHVIVEMHLVPFQSRREHLVSFLTGITIREYVLRTKELDQSLCVAMLQLLYPHRLKSSHEQEAILLDALRLRSCHPHTPLNLEKVTVRSGNWVLNTMVMYPGSFFSFTPEDDAQIVEKEGIVPLSGLYAMQTAGTLYFQFWPMAKGFGFSYINQASIVGAKLLRNPSGNPNGQVLGGCWSPSYVLRQPSDIKKLLDYSFGQIIAQMHSACRQMFEVIQTSREKGATLAWTKPNQGREDHLRLDGGADLLKSLIWQNGSFAAAHVPTSGDFCRHNPDSGYDVLVDVFKRLGLLAAVFLATQGLSNVADVYIGKKLIKKVKVYNGQKVYEHLQAELSDNRLTGLQVPCPVPLRVARSM
+>sp|Q9BXT5|TEX15_HUMAN Testis-expressed protein 15 OS=Homo sapiens OX=9606 GN=TEX15 PE=2 SV=2
+MPSDAKDSVNGDLLLNWTSLKNILSGLNASFPLHNNTGSSTVTTSKSIKDPRLMRREESMGEQSSTAGLNEVLQFEKSSDNVNSEIKSTPSNSASSSEVVPGDCAVLTNGLDTPCFKTSVNDSQSWAHNMGSEDYDCIPPNKVTMAGQCKDQGNFSFPISVSNVVSEVENQNHSEEKAQRAQQESGNAYTKEYSSHIFQDSQSSDLKTIYQTGCQTSTVFPLKKKVSIDEYLQNTGKMKNFADLEDSSKHEEKQTSWKEIDNDFTNETKISPIDNYIVLHQEYKESESHNSFGKSCDKILITQELEITKSSTSTIKDKDELDHLALEWQITPSFESLSQKHPQHSVEYEGNIHTSLAIAQKLMELKLGKINQNYASIITEAFPKPKDIPQAKEMFIDTVISSYNIETAHDSSNCSITREHICVHRKNENEPVSLENIQRDYKETAYVEDRGQDHNLFCNSQLSNDIWLNVNFKKQTDRENQNEAKENSASCVENNIENIYGDKKQDSHTNENFSNIDEKEDKNYHNIEILSSEEFSTKFNLICREDNAVSAATALLESEEDTISAVKQKDTENTGRSVEHLASTTFPKTASSSVCVASNAAIQIASATMPALSLNNDDHQIYQFKETCSSESPDFGLLVKHRVSDCEIDTDKNKSQESFHQSINENLVLQSIELESEIEIELEDCDDAFIFQQDTHSHENMLCEEFVTSYKALKSRISWEGLLALDNGEMEVLESTTGRENSDQHYSKESNYFYSSTQNNETELTSPILLPDLQIKITNIFRPGFSPTADSLALKDSFCTHVTEATKPEINKEDGEILGFDIYSQPFGENADYPCEDKVDNIRQESGPVSNSEISLSFDLSRNTDVNHTSENQNSESLFTEPSNVTTIDDGSRCFFTKSKTDYNDTKNKKEVESRISKRKLHISSRDQNIPHKDLRRHKIYGRKRRLTSQDSSECFSSLSQGRIKTFSQSEKHIKSVLNILSDEASLCKSKCLSRKLDKAVVHLKKAHRRVHTSLQLITKVGEERKGPLPKSYAIICNNFWESCDLQGYSSVSQRKYYSTKHFSSKRKYDKRRKKRAPKADISKSLTHVSKHKSYKTSGEKKCLSRKSMASSVSKSHPTTSHMGEFCNQEHPESQLPVSSTSQSTSQSVYYNSSVSNPSLSEEHQPFSGKTAYLFSPDHSDEKLIEKENQIDTAFLSSTSKYEKLEKHSANHNVKDATKENSCDANEVINESNSVSLSCIKENINSSTGNDCDATCIGHTKAKTDVLISVLDSNVKHFLNDLYQQGNLILSDCKRNLEVKWTDPIERPKQNIITGNFLMGPLNLTLIASKKYSIPQLSAAAVTDSEGESSKSYLDKQRILTVDSFAASSTVPHCEQSCREKELLKTEQCSSGNCLHTDGNETNVTENYELDVASGTEEDKSYGENIVELSSSDSSLLLKDNVKGSSSETCIVKKDTEDRITWKVKQAEKAKDSVYKRSMTEGSTVNTEYKNQKNQISEESCLNEKIITTNLIDSHLSTKNTTTESVPLKNTVSNPLNKREKKGEIKVSKDSQSDLTLHSEIAYISKPGILGVNHTPILPAHSETCKVPTLLKKPASYVSDFKEKHCSANHTALIANLSQILQRADEASSLQILQEETKVCLNILPLFVEAFERKQECSVEQILISRELLVDQNLWNNCKHTLKPCAVDTLVELQMMMETIQFIENKKRHLEGEPTLRSLLWYDETLYAELLGKPRGFQQQSNFYPGFQGRLKYNAFCELQTYHDQLVELLEETKREKNSYYVFLKYKRQVNECEAIMEHCSDCFDFSLSVPFTCGVNFGDSLEDLEILRKSTLKLINVCGDSPKVHSYPGKQDHLWIIIEMISSKVNFIKNNEAVRVKISLYGLEHIFFDAAKNLVWKERTQSFSKKYSQKKDEERLLRVNKCAFSKLQKIYDTLSKDLNNEPISPIGLEEDTIIASRKSDHPINEATISIENSKFNSNLLAHPDICCISEILDQAEFADLKKLQDLTLRCTDHLEILKKYFQMLQDNNMDNIFITEENVLDVVINHSHEAIILKPEAIEMYIEIVMVSETIHFLKNSIAKKLDKQRFRGMLWFDLSLLPELVQCQEKMASFSFLKDNSTDVCLWKVIETAVSELKKDLDIICKYNEAVNCSYAIHLLSRELQELSEIKKLLKKSKYFISTYIDFVPYIASINYGSTVTELEYNYNQFSTLLKNVMSAPRKDLGKMAHIRKVMKTIEHMKMICTKNAELTISFFLCQMLYNRRKILQLKRKEKMNIHIVKPGENNNKFSISTMLPPVSECINKNISNSSKKRPSTVDKCEDSQEQQQDTTVSSCKKLKVDMKDVTKINREKATFKHPRTTGSHPKSENKIVPSSCDSLKRNHLTPKKVEMQRSLPGSLLPLENPKDTCASKSESKIDLTVSSDHFSGQQENLNSMKKRNVNFSAAETKSDKKDCAAFAICDQKSVHGTFSPDHGTLLQKFLKNSPDPTQKSCLSDINPETDVSLVPDASVLSKPIFCFVKDVHPDLEMNDTVFELQDNDIVNSSIKNSSCMTSPEPICIQNKIPTLQINKLQPTETESEDKYMKDTLNPNTVHTFGASGHITLNVNQGAEYSLSEQQNDKNSKVLMQNAATYWNELPQSACNPTYNSSEHLFGTSYPYSAWCVYQYSNSNGNAITQTYQGITSYEVQPSPSGLLTTVASTAQGTHSNLLYSQYFTYFAGEPQANGFVPVNGYFQSQIPASNFRQPIFSQYASHQPLPQATYPYLPNRFVPPEVPWVYAPWHQESFHPGH
+>DECOY_sp|Q9BXT5|TEX15_HUMAN Testis-expressed protein 15 OS=Homo sapiens OX=9606 GN=TEX15 PE=2 SV=2
+HGPHFSEQHWPAYVWPVEPPVFRNPLYPYTAQPLPQHSAYQSFIPQRFNSAPIQSQFYGNVPVFGNAQPEGAFYTFYQSYLLNSHTGQATSAVTTLLGSPSPQVEYSTIGQYTQTIANGNSNSYQYVCWASYPYSTGFLHESSNYTPNCASQPLENWYTAANQMLVKSNKDNQQESLSYEAGQNVNLTIHGSAGFTHVTNPNLTDKMYKDESETETPQLKNIQLTPIKNQICIPEPSTMCSSNKISSNVIDNDQLEFVTDNMELDPHVDKVFCFIPKSLVSADPVLSVDTEPNIDSLCSKQTPDPSNKLFKQLLTGHDPSFTGHVSKQDCIAFAACDKKDSKTEAASFNVNRKKMSNLNEQQGSFHDSSVTLDIKSESKSACTDKPNELPLLSGPLSRQMEVKKPTLHNRKLSDCSSPVIKNESKPHSGTTRPHKFTAKERNIKTVDKMDVKLKKCSSVTTDQQQEQSDECKDVTSPRKKSSNSINKNICESVPPLMTSISFKNNNEGPKVIHINMKEKRKLQLIKRRNYLMQCLFFSITLEANKTCIMKMHEITKMVKRIHAMKGLDKRPASMVNKLLTSFQNYNYELETVTSGYNISAIYPVFDIYTSIFYKSKKLLKKIESLEQLERSLLHIAYSCNVAENYKCIIDLDKKLESVATEIVKWLCVDTSNDKLFSFSAMKEQCQVLEPLLSLDFWLMGRFRQKDLKKAISNKLFHITESVMVIEIYMEIAEPKLIIAEHSHNIVVDLVNEETIFINDMNNDQLMQFYKKLIELHDTCRLTLDQLKKLDAFEAQDLIESICCIDPHALLNSNFKSNEISITAENIPHDSKRSAIITDEELGIPSIPENNLDKSLTDYIKQLKSFACKNVRLLREEDKKQSYKKSFSQTREKWVLNKAADFFIHELGYLSIKVRVAENNKIFNVKSSIMEIIIWLHDQKGPYSHVKPSDGCVNILKLTSKRLIELDELSDGFNVGCTFPVSLSFDFCDSCHEMIAECENVQRKYKLFVYYSNKERKTEELLEVLQDHYTQLECFANYKLRGQFGPYFNSQQQFGRPKGLLEAYLTEDYWLLSRLTPEGELHRKKNEIFQITEMMMQLEVLTDVACPKLTHKCNNWLNQDVLLERSILIQEVSCEQKREFAEVFLPLINLCVKTEEQLIQLSSAEDARQLIQSLNAILATHNASCHKEKFDSVYSAPKKLLTPVKCTESHAPLIPTHNVGLIGPKSIYAIESHLTLDSQSDKSVKIEGKKERKNLPNSVTNKLPVSETTTNKTSLHSDILNTTIIKENLCSEESIQNKQNKYETNVTSGETMSRKYVSDKAKEAQKVKWTIRDETDKKVICTESSSGKVNDKLLLSSDSSSLEVINEGYSKDEETGSAVDLEYNETVNTENGDTHLCNGSSCQETKLLEKERCSQECHPVTSSAAFSDVTLIRQKDLYSKSSEGESDTVAAASLQPISYKKSAILTLNLPGMLFNGTIINQKPREIPDTWKVELNRKCDSLILNGQQYLDNLFHKVNSDLVSILVDTKAKTHGICTADCDNGTSSNINEKICSLSVSNSENIVENADCSNEKTADKVNHNASHKELKEYKSTSSLFATDIQNEKEILKEDSHDPSFLYATKGSFPQHEESLSPNSVSSNYYVSQSTSQSTSSVPLQSEPHEQNCFEGMHSTTPHSKSVSSAMSKRSLCKKEGSTKYSKHKSVHTLSKSIDAKPARKKRRKDYKRKSSFHKTSYYKRQSVSSYGQLDCSEWFNNCIIAYSKPLPGKREEGVKTILQLSTHVRRHAKKLHVVAKDLKRSLCKSKCLSAEDSLINLVSKIHKESQSFTKIRGQSLSSFCESSDQSTLRRKRGYIKHRRLDKHPINQDRSSIHLKRKSIRSEVEKKNKTDNYDTKSKTFFCRSGDDITTVNSPETFLSESNQNESTHNVDTNRSLDFSLSIESNSVPGSEQRINDVKDECPYDANEGFPQSYIDFGLIEGDEKNIEPKTAETVHTCFSDKLALSDATPSFGPRFINTIKIQLDPLLIPSTLETENNQTSSYFYNSEKSYHQDSNERGTTSELVEMEGNDLALLGEWSIRSKLAKYSTVFEECLMNEHSHTDQQFIFADDCDELEIEIESELEISQLVLNENISQHFSEQSKNKDTDIECDSVRHKVLLGFDPSESSCTEKFQYIQHDDNNLSLAPMTASAIQIAANSAVCVSSSATKPFTTSALHEVSRGTNETDKQKVASITDEESELLATAASVANDERCILNFKTSFEESSLIEINHYNKDEKEDINSFNENTHSDQKKDGYINEINNEVCSASNEKAENQNERDTQKKFNVNLWIDNSLQSNCFLNHDQGRDEVYATEKYDRQINELSVPENENKRHVCIHERTISCNSSDHATEINYSSIVTDIFMEKAQPIDKPKPFAETIISAYNQNIKGLKLEMLKQAIALSTHINGEYEVSHQPHKQSLSEFSPTIQWELALHDLEDKDKITSTSSKTIELEQTILIKDCSKGFSNHSESEKYEQHLVIYNDIPSIKTENTFDNDIEKWSTQKEEHKSSDELDAFNKMKGTNQLYEDISVKKKLPFVTSTQCGTQYITKLDSSQSDQFIHSSYEKTYANGSEQQARQAKEESHNQNEVESVVNSVSIPFSFNGQDKCQGAMTVKNPPICDYDESGMNHAWSQSDNVSTKFCPTDLGNTLVACDGPVVESSSASNSPTSKIESNVNDSSKEFQLVENLGATSSQEGMSEERRMLRPDKISKSTTVTSSGTNNHLPFSANLGSLINKLSTWNLLLDGNVSDKADSPM
+>sp|O15482|TEX28_HUMAN Testis-specific protein TEX28 OS=Homo sapiens OX=9606 GN=TEX28 PE=2 SV=1
+MVLKAEHTRSPSATLPSNVPSCRSLSSSEDGPSGPSSLADGGLAHNLQDSVRHRILYLSEQLRVEKASRDGNTVSYLKLVSKADRHQVPHIQQAFEKVNQRASATIAQIEHRLHQCHQQLQELEEGCRPEGLLLMAESDPANCEPPSEKALLSEPPEPGGEDGPVNLPHASRPFILESRFQSLQQGTCLETEDVAQQQNLLLQKVKAELEEAKRFHISLQESYHSLKERSLTDLQLLLESLQEEKCRQALMEEQVNGRLQGQLNEIYNLKHNLACSEERMAYLSYERAKEIWEITETFKSRISKLEMLQQVTQLEAAEHLQSRPPQMLFKFLSPRLSLATVLLVFVSTLCACPSSLISSRLCTCTMLMLIGLGVLAWQRWRAIPATDWQEWVPSRCRLYSKDSGPPADGP
+>DECOY_sp|O15482|TEX28_HUMAN Testis-specific protein TEX28 OS=Homo sapiens OX=9606 GN=TEX28 PE=2 SV=1
+PGDAPPGSDKSYLRCRSPVWEQWDTAPIARWRQWALVGLGILMLMTCTCLRSSILSSPCACLTSVFVLLVTALSLRPSLFKFLMQPPRSQLHEAAELQTVQQLMELKSIRSKFTETIEWIEKAREYSLYAMREESCALNHKLNYIENLQGQLRGNVQEEMLAQRCKEEQLSELLLQLDTLSREKLSHYSEQLSIHFRKAEELEAKVKQLLLNQQQAVDETELCTGQQLSQFRSELIFPRSAHPLNVPGDEGGPEPPESLLAKESPPECNAPDSEAMLLLGEPRCGEELEQLQQHCQHLRHEIQAITASARQNVKEFAQQIHPVQHRDAKSVLKLYSVTNGDRSAKEVRLQESLYLIRHRVSDQLNHALGGDALSSPGSPGDESSSLSRCSPVNSPLTASPSRTHEAKLVM
+>sp|Q8IWB9|TEX2_HUMAN Testis-expressed protein 2 OS=Homo sapiens OX=9606 GN=TEX2 PE=1 SV=2
+MTSLYGRHAEKTTDMPKPSAPKVHVQRSVSRDTIAIHFSASGEEEEEEEEEFREYFEEGLDDQSIVTGLEAKEDLYLEPQVGHDPAGPAASPVLADGLSVSQAPAILPVSKNTVKLLESPVPAAQVLSTVPLAVSPGSSSSGPLASSPSVSSLSEQKTSSSSPLSSPSKSPILSSSASTSTLSSAKPFMSLVKSLSTEVEPKESPHPARHRHLMKTLVKSLSTDTSRQESDTVSYKPPDSKLNLHLFKQFTQPRNTGGDSKTAPSSPLTSPSDTRSFFKVPEMEAKIEDTKRRLSEVIYEPFQLLSKIIGEESGSHRPKALSSSASELSNLSSLNGHLESNNNYSIKEEECDSEGDGYGSDSNIPRSDHPKSTGEPTREIELKSSQGSSLKDLGLKTSSLVLEKCSLSALVSKEDEEFCELYTEDFDLETEGESKVDKLSDIPLKPEVLAEDGVVLDSEDEVDSAVQHPELPVKTLGFFIMCVYVYLILPLPHYVSGLFLGIGLGFMTAVCVIWFFTPPSAHKYHKLHKNLRHWNTRSLDIKEPEILKGWMNEIYNYDPETYHATLTHSVFVRLEGGTLRLSKPNKNISRRASYNEPKPEVTYISQKIYDLSDSKIYLVPKTLARKRIWNKKYPICIELGQQDDFMSKAQTDKETSEEKPPAEGSEDPKKPPRPQEGTRSSQRDQILYLFGRTGREKEEWFRRFILASKLKSEIKKSSGVSGGKPGLLPAHSRHNSPSGHLTHSRSSSKGSVEEIMSQPKQKELAGSVRQKMLLDYSVYMGRCVPQESRSPQRSPLQSAESSPTAGKKLPEVPPSEEEEQEAWVNALLGRIFWDFLGEKYWSDLVSKKIQMKLSKIKLPYFMNELTLTELDMGVAVPKILQAFKPYVDHQGLWIDLEMSYNGSFLMTLETKMNLTKLGKEPLVEALKVGEIGKEGCRPRAFCLADSDEESSSAGSSEEDDAPEPSGGDKQLLPGAEGYVGGHRTSKIMRFVDKITKSKYFQKATETEFIKKKIEEVSNTPLLLTVEVQECRGTLAVNIPPPPTDRVWYGFRKPPHVELKARPKLGEREVTLVHVTDWIEKKLEQEFQKVFVMPNMDDVYITIMHSAMDPRSTSCLLKDPPVEAADQP
+>DECOY_sp|Q8IWB9|TEX2_HUMAN Testis-expressed protein 2 OS=Homo sapiens OX=9606 GN=TEX2 PE=1 SV=2
+PQDAAEVPPDKLLCSTSRPDMASHMITIYVDDMNPMVFVKQFEQELKKEIWDTVHVLTVEREGLKPRAKLEVHPPKRFGYWVRDTPPPPINVALTGRCEQVEVTLLLPTNSVEEIKKKIFETETAKQFYKSKTIKDVFRMIKSTRHGGVYGEAGPLLQKDGGSPEPADDEESSGASSSEEDSDALCFARPRCGEKGIEGVKLAEVLPEKGLKTLNMKTELTMLFSGNYSMELDIWLGQHDVYPKFAQLIKPVAVGMDLETLTLENMFYPLKIKSLKMQIKKSVLDSWYKEGLFDWFIRGLLANVWAEQEEEESPPVEPLKKGATPSSEASQLPSRQPSRSEQPVCRGMYVSYDLLMKQRVSGALEKQKPQSMIEEVSGKSSSRSHTLHGSPSNHRSHAPLLGPKGGSVGSSKKIESKLKSALIFRRFWEEKERGTRGFLYLIQDRQSSRTGEQPRPPKKPDESGEAPPKEESTEKDTQAKSMFDDQQGLEICIPYKKNWIRKRALTKPVLYIKSDSLDYIKQSIYTVEPKPENYSARRSINKNPKSLRLTGGELRVFVSHTLTAHYTEPDYNYIENMWGKLIEPEKIDLSRTNWHRLNKHLKHYKHASPPTFFWIVCVATMFGLGIGLFLGSVYHPLPLILYVYVCMIFFGLTKVPLEPHQVASDVEDESDLVVGDEALVEPKLPIDSLKDVKSEGETELDFDETYLECFEEDEKSVLASLSCKELVLSSTKLGLDKLSSGQSSKLEIERTPEGTSKPHDSRPINSDSGYGDGESDCEEEKISYNNNSELHGNLSSLNSLESASSSLAKPRHSGSEEGIIKSLLQFPEYIVESLRRKTDEIKAEMEPVKFFSRTDSPSTLPSSPATKSDGGTNRPQTFQKFLHLNLKSDPPKYSVTDSEQRSTDTSLSKVLTKMLHRHRAPHPSEKPEVETSLSKVLSMFPKASSLTSTSASSSLIPSKSPSSLPSSSSTKQESLSSVSPSSALPGSSSSGPSVALPVTSLVQAAPVPSELLKVTNKSVPLIAPAQSVSLGDALVPSAAPGAPDHGVQPELYLDEKAELGTVISQDDLGEEFYERFEEEEEEEEEGSASFHIAITDRSVSRQVHVKPASPKPMDTTKEAHRGYLSTM
+>sp|Q8TBZ9|TEX47_HUMAN Testis-expressed protein 47 OS=Homo sapiens OX=9606 GN=TEX47 PE=2 SV=1
+MSFSVHNQKGSKRPLPLEPLLFLQVPRSNYLHFQEEKQRLHLKKFLLDRMFLVAKIQANVERKDVADYYEQMFQSVLKHHLGEAVTGLLLIYPTSILHILESSSDTLYKVLLDYIGHVKDETVFFIQQMKIIVISHNIPMRLFMQWHVSVIKVPVMYLDDVTQSQSLKEVITDFLTQTHKLSLYLCQTMKVGTKGPGDNLHQVAPDLLLPEQIIKYLCKSEEFMDPATFINMYNRPIHITLDSEVVWPAPSRF
+>DECOY_sp|Q8TBZ9|TEX47_HUMAN Testis-expressed protein 47 OS=Homo sapiens OX=9606 GN=TEX47 PE=2 SV=1
+FRSPAPWVVESDLTIHIPRNYMNIFTAPDMFEESKCLYKIIQEPLLLDPAVQHLNDGPGKTGVKMTQCLYLSLKHTQTLFDTIVEKLSQSQTVDDLYMVPVKIVSVHWQMFLRMPINHSIVIIKMQQIFFVTEDKVHGIYDLLVKYLTDSSSELIHLISTPYILLLGTVAEGLHHKLVSQFMQEYYDAVDKREVNAQIKAVLFMRDLLFKKLHLRQKEEQFHLYNSRPVQLFLLPELPLPRKSGKQNHVSFSM
+>sp|A6NCN8|TEX52_HUMAN Testis-expressed protein 52 OS=Homo sapiens OX=9606 GN=TEX52 PE=4 SV=2
+MASNRQRSLRGPSHPSHMEEPFLQMVQASESLPPSQTWAQREFFLPSESWEFPGFTRQAYHQLALKLPPCTDMKSKVRQRLIHPWKGGAQHTWGFHTWLDVCRLPATFPTQPDRPYDSNVWRWLTDSNAHRCPPTEHPIPPPSWMGQNSFLTFIHCYPTFVDMKRKKQVIFRTVKELKEVEKLKLRSEARAPPLDAQGNIQPPASFKKYRHISAGGRFEPQGLQLMPNPFPNNFARSWPCPNPLPHYQEKVLKLALLPSAPLSQDLIRDFQTLIKDRTALPLHHLSKAQASKSPARKRKRRPGHF
+>DECOY_sp|A6NCN8|TEX52_HUMAN Testis-expressed protein 52 OS=Homo sapiens OX=9606 GN=TEX52 PE=4 SV=2
+FHGPRRKRKRAPSKSAQAKSLHHLPLATRDKILTQFDRILDQSLPASPLLALKLVKEQYHPLPNPCPWSRAFNNPFPNPMLQLGQPEFRGGASIHRYKKFSAPPQINGQADLPPARAESRLKLKEVEKLEKVTRFIVQKKRKMDVFTPYCHIFTLFSNQGMWSPPPIPHETPPCRHANSDTLWRWVNSDYPRDPQTPFTAPLRCVDLWTHFGWTHQAGGKWPHILRQRVKSKMDTCPPLKLALQHYAQRTFGPFEWSESPLFFERQAWTQSPPLSESAQVMQLFPEEMHSPHSPGRLSRQRNSAM
+>sp|Q8N6V9|TEX9_HUMAN Testis-expressed protein 9 OS=Homo sapiens OX=9606 GN=TEX9 PE=1 SV=1
+MAGRSLCLTRSSVPGTPFPPPVQQPSTPGPDLLALEEEYKRLNAELQAKTADVVQQAKEIIRDRQEVRSRPVSTQMKSCDDEDDYSLRGLLPSEGIVHLHSETKPKTKNIDPVNKVQNKLHSANKGRKTNSSVKLKYSDVQTADDVAIPEDFSDFSLAKTISKIEGQLEEEGLPEYIDDIFSGVSNDIGTEAQIRFLKAKLHVMQEELDNVVCECNKKEDEIQNLKSQVKNFEEDFMRQQRTINMQQSQVEKYKTLFEEANKKYDGLQQQLSSVERELENKRRLQKQAASSQSATEVRLNRALEEAEKYKLELSKLRQNNKDIANEEHKKIEVLKSENKKLEKQKGELMIGFKKQLKLIDVLKRQKMHIEAAKMLSFTEEEFMKALEWGNS
+>DECOY_sp|Q8N6V9|TEX9_HUMAN Testis-expressed protein 9 OS=Homo sapiens OX=9606 GN=TEX9 PE=1 SV=1
+SNGWELAKMFEEETFSLMKAAEIHMKQRKLVDILKLQKKFGIMLEGKQKELKKNESKLVEIKKHEENAIDKNNQRLKSLELKYKEAEELARNLRVETASQSSAAQKQLRRKNELEREVSSLQQQLGDYKKNAEEFLTKYKEVQSQQMNITRQQRMFDEEFNKVQSKLNQIEDEKKNCECVVNDLEEQMVHLKAKLFRIQAETGIDNSVGSFIDDIYEPLGEEELQGEIKSITKALSFDSFDEPIAVDDATQVDSYKLKVSSNTKRGKNASHLKNQVKNVPDINKTKPKTESHLHVIGESPLLGRLSYDDEDDCSKMQTSVPRSRVEQRDRIIEKAQQVVDATKAQLEANLRKYEEELALLDPGPTSPQQVPPPFPTGPVSSRTLCLSRGAM
+>sp|Q9UNN4|TF2AY_HUMAN TFIIA-alpha and beta-like factor OS=Homo sapiens OX=9606 GN=GTF2A1L PE=1 SV=2
+MACLNPVPKLYRSVIEDVIEGVRNLFAEEGIEEQVLKDLKQLWETKVLQSKATEDFFRNSIQSPLFTLQLPHSLHQTLQSSTASLVIPAGRTLPSFTTAELGTSNSSANFTFPGYPIHVPAGVTLQTVSGHLYKVNVPIMVTETSGRAGILQHPIQQVFQQLGQPSVIQTSVPQLNPWSLQATTEKSQRIETVLQQPAILPSGPVDRKHLENATSDILVSPGNEHKIVPEALLCHQESSHYISLPGVVFSPQVSQTNSNVESVLSGSASMAQNLHDESLSTSPHGALHQHVTDIQLHILKNRMYGCDSVKQPRNIEEPSNIPVSEKDSNSQVDLSIRVTDDDIGEIIQVDGSGDTSSNEEIGSTRDADENEFLGNIDGGDLKVPEEEADSISNEDSATNSSDNEDPQVNIVEEDPLNSGDDVSEQDVPDLFDTDNVIVCQYDKIHRSKNKWKFYLKDGVMCFGGRDYVFAKAIGDAEW
+>DECOY_sp|Q9UNN4|TF2AY_HUMAN TFIIA-alpha and beta-like factor OS=Homo sapiens OX=9606 GN=GTF2A1L PE=1 SV=2
+WEADGIAKAFVYDRGGFCMVGDKLYFKWKNKSRHIKDYQCVIVNDTDFLDPVDQESVDDGSNLPDEEVINVQPDENDSSNTASDENSISDAEEEPVKLDGGDINGLFENEDADRTSGIEENSSTDGSGDVQIIEGIDDDTVRISLDVQSNSDKESVPINSPEEINRPQKVSDCGYMRNKLIHLQIDTVHQHLAGHPSTSLSEDHLNQAMSASGSLVSEVNSNTQSVQPSFVVGPLSIYHSSEQHCLLAEPVIKHENGPSVLIDSTANELHKRDVPGSPLIAPQQLVTEIRQSKETTAQLSWPNLQPVSTQIVSPQGLQQFVQQIPHQLIGARGSTETVMIPVNVKYLHGSVTQLTVGAPVHIPYGPFTFNASSNSTGLEATTFSPLTRGAPIVLSATSSQLTQHLSHPLQLTFLPSQISNRFFDETAKSQLVKTEWLQKLDKLVQEEIGEEAFLNRVGEIVDEIVSRYLKPVPNLCAM
+>sp|Q9NZI6|TF2L1_HUMAN Transcription factor CP2-like protein 1 OS=Homo sapiens OX=9606 GN=TFCP2L1 PE=1 SV=1
+MLFWHTQPEHYNQHNSGSYLRDVLALPIFKQEEPQLSPENEARLPPLQYVLCAATSPAVKLHEETLTYLNQGQSYEIRLLENRKLGDFQDLNTKYVKSIIRVVFHDRRLQYTEHQQLEGWRWSRPGDRILDIDIPLSVGILDPRASPTQLNAVEFLWDPAKRASAFIQVHCISTEFTPRKHGGEKGVPFRVQIDTFKQNENGEYTEHLHSASCQIKVFKPKGADRKQKTDREKMEKRTAQEKEKYQPSYETTILTECSPWPDVAYQVNSAPSPSYNGSPNSFGLGEGNASPTHPVEALPVGSDHLLPSASIQDAQQWLHRNRFSQFCRLFASFSGADLLKMSRDDLVQICGPADGIRLFNAIKGRNVRPKMTIYVCQELEQNRVPLQQKRDGSGDSNLSVYHAIFLEELTTLELIEKIANLYSISPQHIHRVYRQGPTGIHVVVSNEMVQNFQDESCFVLSTIKAESNDGYHIILKCGL
+>DECOY_sp|Q9NZI6|TF2L1_HUMAN Transcription factor CP2-like protein 1 OS=Homo sapiens OX=9606 GN=TFCP2L1 PE=1 SV=1
+LGCKLIIHYGDNSEAKITSLVFCSEDQFNQVMENSVVVHIGTPGQRYVRHIHQPSISYLNAIKEILELTTLEELFIAHYVSLNSDGSGDRKQQLPVRNQELEQCVYITMKPRVNRGKIANFLRIGDAPGCIQVLDDRSMKLLDAGSFSAFLRCFQSFRNRHLWQQADQISASPLLHDSGVPLAEVPHTPSANGEGLGFSNPSGNYSPSPASNVQYAVDPWPSCETLITTEYSPQYKEKEQATRKEMKERDTKQKRDAGKPKFVKIQCSASHLHETYEGNENQKFTDIQVRFPVGKEGGHKRPTFETSICHVQIFASARKAPDWLFEVANLQTPSARPDLIGVSLPIDIDLIRDGPRSWRWGELQQHETYQLRRDHFVVRIISKVYKTNLDQFDGLKRNELLRIEYSQGQNLYTLTEEHLKVAPSTAACLVYQLPPLRAENEPSLQPEEQKFIPLALVDRLYSGSNHQNYHEPQTHWFLM
+>sp|Q8IUE0|TF2LY_HUMAN Homeobox protein TGIF2LY OS=Homo sapiens OX=9606 GN=TGIF2LY PE=1 SV=1
+MEAAADGPAETQSPVEKDSPAKTQSPAQDTSIMSRNNADTGRVLALPEHKKKRKGNLPAESVKILRDWMYKHRFKAYPSEEEKQMLSEKTNLSLLRISNWFINARRRILPDMLQQRRNDPIIGHKTGKDAHATHLQSTEASVPAKSGPVVQTMYKACPCGPCQRARCQERSNQIRSRPLARSSPE
+>DECOY_sp|Q8IUE0|TF2LY_HUMAN Homeobox protein TGIF2LY OS=Homo sapiens OX=9606 GN=TGIF2LY PE=1 SV=1
+EPSSRALPRSRIQNSREQCRARQCPGCPCAKYMTQVVPGSKAPVSAETSQLHTAHADKGTKHGIIPDNRRQQLMDPLIRRRANIFWNSIRLLSLNTKESLMQKEEESPYAKFRHKYMWDRLIKVSEAPLNGKRKKKHEPLALVRGTDANNRSMISTDQAPSQTKAPSDKEVPSQTEAPGDAAAEM
+>sp|Q92664|TF3A_HUMAN Transcription factor IIIA OS=Homo sapiens OX=9606 GN=GTF3A PE=1 SV=3
+MDPPAVVAESVSSLTIADAFIAAGESSAPTPPRPALPRRFICSFPDCSANYSKAWKLDAHLCKHTGERPFVCDYEGCGKAFIRDYHLSRHILTHTGEKPFVCAANGCDQKFNTKSNLKKHFERKHENQQKQYICSFEDCKKTFKKHQQLKIHQCQHTNEPLFKCTQEGCGKHFASPSKLKRHAKAHEGYVCQKGCSFVAKTWTELLKHVRETHKEEILCEVCRKTFKRKDYLKQHMKTHAPERDVCRCPREGCGRTYTTVFNLQSHILSFHEESRPFVCEHAGCGKTFAMKQSLTRHAVVHDPDKKKMKLKVKKSREKRSLASHLSGYIPPKRKQGQGLSLCQNGESPNCVEDKMLSTVAVLTLG
+>DECOY_sp|Q92664|TF3A_HUMAN Transcription factor IIIA OS=Homo sapiens OX=9606 GN=GTF3A PE=1 SV=3
+GLTLVAVTSLMKDEVCNPSEGNQCLSLGQGQKRKPPIYGSLHSALSRKERSKKVKLKMKKKDPDHVVAHRTLSQKMAFTKGCGAHECVFPRSEEHFSLIHSQLNFVTTYTRGCGERPCRCVDREPAHTKMHQKLYDKRKFTKRCVECLIEEKHTERVHKLLETWTKAVFSCGKQCVYGEHAKAHRKLKSPSAFHKGCGEQTCKFLPENTHQCQHIKLQQHKKFTKKCDEFSCIYQKQQNEHKREFHKKLNSKTNFKQDCGNAACVFPKEGTHTLIHRSLHYDRIFAKGCGEYDCVFPREGTHKCLHADLKWAKSYNASCDPFSCIFRRPLAPRPPTPASSEGAAIFADAITLSSVSEAVVAPPDM
+>sp|Q92994|TF3B_HUMAN Transcription factor IIIB 90 kDa subunit OS=Homo sapiens OX=9606 GN=BRF1 PE=1 SV=1
+MTGRVCRGCGGTDIELDAARGDAVCTACGSVLEDNIIVSEVQFVESSGGGSSAVGQFVSLDGAGKTPTLGGGFHVNLGKESRAQTLQNGRRHIHHLGNQLQLNQHCLDTAFNFFKMAVSRHLTRGRKMAHVIAACLYLVCRTEGTPHMLLDLSDLLQVNVYVLGKTFLLLARELCINAPAIDPCLYIPRFAHLLEFGEKNHEVSMTALRLLQRMKRDWMHTGRRPSGLCGAALLVAARMHDFRRTVKEVISVVKVCESTLRKRLTEFEDTPTSQLTIDEFMKIDLEEECDPPSYTAGQRKLRMKQLEQVLSKKLEEVEGEISSYQDAIEIELENSRPKAKGGLASLAKDGSTEDTASSLCGEEDTEDEELEAAASHLNKDLYRELLGGAPGSSEAAGSPEWGGRPPALGSLLDPLPTAASLGISDSIRECISSQSSDPKDASGDGELDLSGIDDLEIDRYILNESEARVKAELWMRENAEYLREQREKEARIAKEKELGIYKEHKPKKSCKRREPIQASTAREAIEKMLEQKKISSKINYSVLRGLSSAGGGSPHREDAQPEHSASARKLSRRRTPASRSGADPVTSVGKRLRPLVSTQPAKKVATGEALLPSSPTLGAEPARPQAVLVESGPVSYHADEEADEEEPDEEDGEPCVSALQMMGSNDYGCDGDEDDGY
+>DECOY_sp|Q92994|TF3B_HUMAN Transcription factor IIIB 90 kDa subunit OS=Homo sapiens OX=9606 GN=BRF1 PE=1 SV=1
+YGDDEDGDCGYDNSGMMQLASVCPEGDEEDPEEEDAEEDAHYSVPGSEVLVAQPRAPEAGLTPSSPLLAEGTAVKKAPQTSVLPRLRKGVSTVPDAGSRSAPTRRRSLKRASASHEPQADERHPSGGGASSLGRLVSYNIKSSIKKQELMKEIAERATSAQIPERRKCSKKPKHEKYIGLEKEKAIRAEKERQERLYEANERMWLEAKVRAESENLIYRDIELDDIGSLDLEGDGSADKPDSSQSSICERISDSIGLSAATPLPDLLSGLAPPRGGWEPSGAAESSGPAGGLLERYLDKNLHSAAAELEEDETDEEGCLSSATDETSGDKALSALGGKAKPRSNELEIEIADQYSSIEGEVEELKKSLVQELQKMRLKRQGATYSPPDCEEELDIKMFEDITLQSTPTDEFETLRKRLTSECVKVVSIVEKVTRRFDHMRAAVLLAAGCLGSPRRGTHMWDRKMRQLLRLATMSVEHNKEGFELLHAFRPIYLCPDIAPANICLERALLLFTKGLVYVNVQLLDSLDLLMHPTGETRCVLYLCAAIVHAMKRGRTLHRSVAMKFFNFATDLCHQNLQLQNGLHHIHRRGNQLTQARSEKGLNVHFGGGLTPTKGAGDLSVFQGVASSGGGSSEVFQVESVIINDELVSGCATCVADGRAADLEIDTGGCGRCVRGTM
+>sp|Q12789|TF3C1_HUMAN General transcription factor 3C polypeptide 1 OS=Homo sapiens OX=9606 GN=GTF3C1 PE=1 SV=4
+MDALESLLDEVALEGLDGLCLPALWSRLETRVPPFPLPLEPCTQEFLWRALATHPGISFYEEPRERPDLQLQDRYEEIDLETGILESRRDPVALEDVYPIHMILENKDGIQGSCRYFKERKNITNDIRTKSLQPRCTMVEAFDRWGKKLIIVASQAMRYRALIGQEGDPDLKLPDFSYCILERLGRSRWQGELQRDLHTTAFKVDAGKLHYHRKILNKNGLITMQSHVIRLPTGAQQHSILLLLNRFHVDRRSKYDILMEKLSVMLSTRTNHIETLGKLREELGLCERTFKRLYQYMLNAGLAKVVSLRLQEIHPECGPCKTKKGTDVMVRCLKLLKEFKRNDHDDDEDEEVISKTVPPVDIVFERDMLTQTYDLIERRGTKGISQAEIRVAMNVGKLEARMLCRLLQRFKVVKGFMEDEGRQRTTKYISCVFAEESDLSRQYQREKARSELLTTVSLASMQEESLLPEGEDTFLSESDSEEERSSSKRRGRGSQKDTRASANLRPKTQPHHSTPTKGGWKVVNLHPLKKQPPSFPGAAEERACQSLASRDSLLDTSSVSEPNVSFVSHCADSNSGDIAVIEEVRMENPKESSSSLKTGRHSSGQDKPHETYRLLKRRNLIIEAVTNLRLIESLFTIQKMIMDQEKQEGVSTKCCKKSIVRLVRNLSEEGLLRLYRTTVIQDGIKKKVDLVVHPSMDQNDPLVRSAIEQVRFRISNSSTANRVKTSQPPVPQGEAEEDSQGKEGPSGSGDSQLSASSRSESGRMKKSDNKMGITPLRNYHPIVVPGLGRSLGFLPKMPRLRVVHMFLWYLIYGHPASNTVEKPSFISERRTIKQESGRAGVRPSSSGSAWEACSEAPSKGSQDGVTWEAEVELATETVYVDDASWMRYIPPIPVHRDFGFGWALVSDILLCLPLSIFIQIVQVSYKVDNLEEFLNDPLKKHTLIRFLPRPIRQQLLYKRRYIFSVVENLQRLCYMGLLQFGPTEKFQDKDQVFIFLKKNAVIVDTTICDPHYNLARSSRPFERRLYVLNSMQDVENYWFDLQCVCLNTPLGVVRCPRVRKNSSTDQGSDEEGSLQKEQESAMDKHNLERKCAMLEYTTGSREVVDEGLIPGDGLGAAGLDSSFYGHLKRNWIWTSYIINQAKKENTAAENGLTVRLQTFLSKRPMPLSARGNSRLNIWGEARVGSELCAGWEEQFEVDREPSLDRNRRVRGGKSQKRKRLKKDPGKKIKRKKKGEFPGEKSKRLRYHDEADQSALQRMTRLRVTWSMQEDGLLVLCRIASNVLNTKVKGPFVTWQVVRDILHATFEESLDKTSHSVGRRARYIVKNPQAYLNYKVCLAEVYQDKALVGDFMNRRGDYDDPKVCANEFKEFVEKLKEKFSSALRNSNLEIPDTLQELFARYRVLAIGDEKDQTRKEDELNSVDDIHFLVLQNLIQSTLALSDSQMKSYQSFQTFRLYREYKDHVLVKAFMECQKRSLVNRRRVNHTLGPKKNRALPFVPMSYQLSQTYYRIFTWRFPSTICTESFQFLDRMRAAGKLDQPDRFSFKDQDNNEPTNDMVAFSLDGPGGNCVAVLTLFSLGLISVDVRIPEQIIVVDSSMVENEVIKSLGKDGSLEDDEDEEDDLDEGVGGKRRSMEVKPAQASHTNYLLMRGYYSPGIVSTRNLNPNDSIVVNSCQMKFQLRCTPVPARLRPAAAPLEELTMGTSCLPDTFTKLINPQENTCSLEEFVLQLELSGYSPEDLTAALEILEAIIATGCFGIDKEELRRRFSALEKAGGGRTRTFADCIQALLEQHQVLEVGGNTARLVAMGSAWPWLLHSVRLKDREDADIQREDPQARPLEGSSSEDSPPEGQAPPSHSPRGTKRRASWASENGETDAEGTQMTPAKRPALQDSNLAPSLGPGAEDGAEAQAPSPPPALEDTAAAGAAQEDQEGVGEFSSPGQEQLSGQAQPPEGSEDPRGFTESFGAANISQAARERDCESVCFIGRPWRVVDGHLNLPVCKGMMEAMLYHIMTRPGIPESSLLRHYQGVLQPVAVLELLQGLESLGCIRKRWLRKPRPVSLFSTPVVEEVEVPSSLDESPMAFYEPTLDCTLRLGRVFPHEVNWNKWIHL
+>DECOY_sp|Q12789|TF3C1_HUMAN General transcription factor 3C polypeptide 1 OS=Homo sapiens OX=9606 GN=GTF3C1 PE=1 SV=4
+LHIWKNWNVEHPFVRGLRLTCDLTPEYFAMPSEDLSSPVEVEEVVPTSFLSVPRPKRLWRKRICGLSELGQLLELVAVPQLVGQYHRLLSSEPIGPRTMIHYLMAEMMGKCVPLNLHGDVVRWPRGIFCVSECDRERAAQSINAAGFSETFGRPDESGEPPQAQGSLQEQGPSSFEGVGEQDEQAAGAAATDELAPPPSPAQAEAGDEAGPGLSPALNSDQLAPRKAPTMQTGEADTEGNESAWSARRKTGRPSHSPPAQGEPPSDESSSGELPRAQPDERQIDADERDKLRVSHLLWPWASGMAVLRATNGGVELVQHQELLAQICDAFTRTRGGGAKELASFRRRLEEKDIGFCGTAIIAELIELAATLDEPSYGSLELQLVFEELSCTNEQPNILKTFTDPLCSTGMTLEELPAAAPRLRAPVPTCRLQFKMQCSNVVISDNPNLNRTSVIGPSYYGRMLLYNTHSAQAPKVEMSRRKGGVGEDLDDEEDEDDELSGDKGLSKIVENEVMSSDVVIIQEPIRVDVSILGLSFLTLVAVCNGGPGDLSFAVMDNTPENNDQDKFSFRDPQDLKGAARMRDLFQFSETCITSPFRWTFIRYYTQSLQYSMPVFPLARNKKPGLTHNVRRRNVLSRKQCEMFAKVLVHDKYERYLRFTQFSQYSKMQSDSLALTSQILNQLVLFHIDDVSNLEDEKRTQDKEDGIALVRYRAFLEQLTDPIELNSNRLASSFKEKLKEVFEKFENACVKPDDYDGRRNMFDGVLAKDQYVEALCVKYNLYAQPNKVIYRARRGVSHSTKDLSEEFTAHLIDRVVQWTVFPGKVKTNLVNSAIRCLVLLGDEQMSWTVRLRTMRQLASQDAEDHYRLRKSKEGPFEGKKKRKIKKGPDKKLRKRKQSKGGRVRRNRDLSPERDVEFQEEWGACLESGVRAEGWINLRSNGRASLPMPRKSLFTQLRVTLGNEAATNEKKAQNIIYSTWIWNRKLHGYFSSDLGAAGLGDGPILGEDVVERSGTTYELMACKRELNHKDMASEQEKQLSGEEDSGQDTSSNKRVRPCRVVGLPTNLCVCQLDFWYNEVDQMSNLVYLRREFPRSSRALNYHPDCITTDVIVANKKLFIFVQDKDQFKETPGFQLLGMYCLRQLNEVVSFIYRRKYLLQQRIPRPLFRILTHKKLPDNLFEELNDVKYSVQVIQIFISLPLCLLIDSVLAWGFGFDRHVPIPPIYRMWSADDVYVTETALEVEAEWTVGDQSGKSPAESCAEWASGSSSPRVGARGSEQKITRRESIFSPKEVTNSAPHGYILYWLFMHVVRLRPMKPLFGLSRGLGPVVIPHYNRLPTIGMKNDSKKMRGSESRSSASLQSDGSGSPGEKGQSDEEAEGQPVPPQSTKVRNATSSNSIRFRVQEIASRVLPDNQDMSPHVVLDVKKKIGDQIVTTRYLRLLGEESLNRVLRVISKKCCKTSVGEQKEQDMIMKQITFLSEILRLNTVAEIILNRRKLLRYTEHPKDQGSSHRGTKLSSSSEKPNEMRVEEIVAIDGSNSDACHSVFSVNPESVSSTDLLSDRSALSQCAREEAAGPFSPPQKKLPHLNVVKWGGKTPTSHHPQTKPRLNASARTDKQSGRGRRKSSSREEESDSESLFTDEGEPLLSEEQMSALSVTTLLESRAKERQYQRSLDSEEAFVCSIYKTTRQRGEDEMFGKVVKFRQLLRCLMRAELKGVNMAVRIEAQSIGKTGRREILDYTQTLMDREFVIDVPPVTKSIVEEDEDDDHDNRKFEKLLKLCRVMVDTGKKTKCPGCEPHIEQLRLSVVKALGANLMYQYLRKFTRECLGLEERLKGLTEIHNTRTSLMVSLKEMLIDYKSRRDVHFRNLLLLISHQQAGTPLRIVHSQMTILGNKNLIKRHYHLKGADVKFATTHLDRQLEGQWRSRGLRELICYSFDPLKLDPDGEQGILARYRMAQSAVIILKKGWRDFAEVMTCRPQLSKTRIDNTINKREKFYRCSGQIGDKNELIMHIPYVDELAVPDRRSELIGTELDIEEYRDQLQLDPRERPEEYFSIGPHTALARWLFEQTCPELPLPFPPVRTELRSWLAPLCLGDLGELAVEDLLSELADM
+>sp|Q03403|TFF2_HUMAN Trefoil factor 2 OS=Homo sapiens OX=9606 GN=TFF2 PE=1 SV=2
+MGRRDAQLLAALLVLGLCALAGSEKPSPCQCSRLSPHNRTNCGFPGITSDQCFDNGCCFDSSVTGVPWCFHPLPKQESDQCVMEVSDRRNCGYPGISPEECASRKCCFSNFIFEVPWCFFPKSVEDCHY
+>DECOY_sp|Q03403|TFF2_HUMAN Trefoil factor 2 OS=Homo sapiens OX=9606 GN=TFF2 PE=1 SV=2
+YHCDEVSKPFFCWPVEFIFNSFCCKRSACEEPSIGPYGCNRRDSVEMVCQDSEQKPLPHFCWPVGTVSSDFCCGNDFCQDSTIGPFGCNTRNHPSLRSCQCPSPKESGALACLGLVLLAALLQADRRGM
+>sp|Q07654|TFF3_HUMAN Trefoil factor 3 OS=Homo sapiens OX=9606 GN=TFF3 PE=1 SV=2
+MKRVLSCVPEPTVVMAARALCMLGLVLALLSSSSAEEYVGLSANQCAVPAKDRVDCGYPHVTPKECNNRGCCFDSRIPGVPWCFKPLQEAECTF
+>DECOY_sp|Q07654|TFF3_HUMAN Trefoil factor 3 OS=Homo sapiens OX=9606 GN=TFF3 PE=1 SV=2
+FTCEAEQLPKFCWPVGPIRSDFCCGRNNCEKPTVHPYGCDVRDKAPVACQNASLGVYEEASSSSLLALVLGLMCLARAAMVVTPEPVCSLVRKM
+>sp|Q92734|TFG_HUMAN Protein TFG OS=Homo sapiens OX=9606 GN=TFG PE=1 SV=2
+MNGQLDLSGKLIIKAQLGEDIRRIPIHNEDITYDELVLMMQRVFRGKLLSNDEVTIKYKDEDGDLITIFDSSDLSFAIQCSRILKLTLFVNGQPRPLESSQVKYLRRELIELRNKVNRLLDSLEPPGEPGPSTNIPENDTVDGREEKSASDSSGKQSTQVMAASMSAFDPLKNQDEINKNVMSAFGLTDDQVSGPPSAPAEDRSGTPDSIASSSSAAHPPGVQPQQPPYTGAQTQAGQIEGQMYQQYQQQAGYGAQQPQAPPQQPQQYGIQYSASYSQQTGPQQPQQFQGYGQQPTSQAPAPAFSGQPQQLPAQPPQQYQASNYPAQTYTAQTSQPTNYTVAPASQPGMAPSQPGAYQPRPGFTSLPGSTMTPPPSGPNPYARNRPPFGQGYTQPGPGYR
+>DECOY_sp|Q92734|TFG_HUMAN Protein TFG OS=Homo sapiens OX=9606 GN=TFG PE=1 SV=2
+RYGPGPQTYGQGFPPRNRAYPNPGSPPPTMTSGPLSTFGPRPQYAGPQSPAMGPQSAPAVTYNTPQSTQATYTQAPYNSAQYQQPPQAPLQQPQGSFAPAPAQSTPQQGYGQFQQPQQPGTQQSYSASYQIGYQQPQQPPAQPQQAGYGAQQQYQQYMQGEIQGAQTQAGTYPPQQPQVGPPHAASSSSAISDPTGSRDEAPASPPGSVQDDTLGFASMVNKNIEDQNKLPDFASMSAAMVQTSQKGSSDSASKEERGDVTDNEPINTSPGPEGPPELSDLLRNVKNRLEILERRLYKVQSSELPRPQGNVFLTLKLIRSCQIAFSLDSSDFITILDGDEDKYKITVEDNSLLKGRFVRQMMLVLEDYTIDENHIPIRRIDEGLQAKIILKGSLDLQGNM
+>sp|P61812|TGFB2_HUMAN Transforming growth factor beta-2 OS=Homo sapiens OX=9606 GN=TGFB2 PE=1 SV=1
+MHYCVLSAFLILHLVTVALSLSTCSTLDMDQFMRKRIEAIRGQILSKLKLTSPPEDYPEPEEVPPEVISIYNSTRDLLQEKASRRAAACERERSDEEYYAKEVYKIDMPPFFPSENAIPPTFYRPYFRIVRFDVSAMEKNASNLVKAEFRVFRLQNPKARVPEQRIELYQILKSKDLTSPTQRYIDSKVVKTRAEGEWLSFDVTDAVHEWLHHKDRNLGFKISLHCPCCTFVPSNNYIIPNKSEELEARFAGIDGTSTYTSGDQKTIKSTRKKNSGKTPHLLLMLLPSYRLESQQTNRRKKRALDAAYCFRNVQDNCCLRPLYIDFKRDLGWKWIHEPKGYNANFCAGACPYLWSSDTQHSRVLSLYNTINPEASASPCCVSQDLEPLTILYYIGKTPKIEQLSNMIVKSCKCS
+>DECOY_sp|P61812|TGFB2_HUMAN Transforming growth factor beta-2 OS=Homo sapiens OX=9606 GN=TGFB2 PE=1 SV=1
+SCKCSKVIMNSLQEIKPTKGIYYLITLPELDQSVCCPSASAEPNITNYLSLVRSHQTDSSWLYPCAGACFNANYGKPEHIWKWGLDRKFDIYLPRLCCNDQVNRFCYAADLARKKRRNTQQSELRYSPLLMLLLHPTKGSNKKRTSKITKQDGSTYTSTGDIGAFRAELEESKNPIIYNNSPVFTCCPCHLSIKFGLNRDKHHLWEHVADTVDFSLWEGEARTKVVKSDIYRQTPSTLDKSKLIQYLEIRQEPVRAKPNQLRFVRFEAKVLNSANKEMASVDFRVIRFYPRYFTPPIANESPFFPPMDIKYVEKAYYEEDSRERECAAARRSAKEQLLDRTSNYISIVEPPVEEPEPYDEPPSTLKLKSLIQGRIAEIRKRMFQDMDLTSCTSLSLAVTVLHLILFASLVCYHM
+>sp|O43294|TGFI1_HUMAN Transforming growth factor beta-1-induced transcript 1 protein OS=Homo sapiens OX=9606 GN=TGFB1I1 PE=1 SV=2
+MEDLDALLSDLETTTSHMPRSGAPKERPAEPLTPPPSYGHQPQTGSGESSGASGDKDHLYSTVCKPRSPKPAAPAAPPFSSSSGVLGTGLCELDRLLQELNATQFNITDEIMSQFPSSKVASGEQKEDQSEDKKRPSLPSSPSPGLPKASATSATLELDRLMASLSDFRVQNHLPASGPTQPPVVSSTNEGSPSPPEPTGKGSLDTMLGLLQSDLSRRGVPTQAKGLCGSCNKPIAGQVVTALGRAWHPEHFVCGGCSTALGGSSFFEKDGAPFCPECYFERFSPRCGFCNQPIRHKMVTALGTHWHPEHFCCVSCGEPFGDEGFHEREGRPYCRRDFLQLFAPRCQGCQGPILDNYISALSALWHPDCFVCRECFAPFSGGSFFEHEGRPLCENHFHARRGSLCATCGLPVTGRCVSALGRRFHPDHFTCTFCLRPLTKGSFQERAGKPYCQPCFLKLFG
+>DECOY_sp|O43294|TGFI1_HUMAN Transforming growth factor beta-1-induced transcript 1 protein OS=Homo sapiens OX=9606 GN=TGFB1I1 PE=1 SV=2
+GFLKLFCPQCYPKGAREQFSGKTLPRLCFTCTFHDPHFRRGLASVCRGTVPLGCTACLSGRRAHFHNECLPRGEHEFFSGGSFPAFCERCVFCDPHWLASLASIYNDLIPGQCGQCRPAFLQLFDRRCYPRGEREHFGEDGFPEGCSVCCFHEPHWHTGLATVMKHRIPQNCFGCRPSFREFYCEPCFPAGDKEFFSSGGLATSCGGCVFHEPHWARGLATVVQGAIPKNCSGCLGKAQTPVGRRSLDSQLLGLMTDLSGKGTPEPPSPSGENTSSVVPPQTPGSAPLHNQVRFDSLSAMLRDLELTASTASAKPLGPSPSSPLSPRKKDESQDEKQEGSAVKSSPFQSMIEDTINFQTANLEQLLRDLECLGTGLVGSSSSFPPAAPAAPKPSRPKCVTSYLHDKDGSAGSSEGSGTQPQHGYSPPPTLPEAPREKPAGSRPMHSTTTELDSLLADLDEM
+>sp|Q15583|TGIF1_HUMAN Homeobox protein TGIF1 OS=Homo sapiens OX=9606 GN=TGIF1 PE=1 SV=3
+MVLAQSRVSAGVGSPHCSGSGGGGSDSFPWPASHPGNPQCSFSTAFLASPRLSRGTLAYLPPAPWSSLATPSALLGSSCAPPPPPARCPQPRALSPELGTKAGPRRPHRWELPRSPSQGAQGPAPRRRLLETMKGIVAASGSETEDEDSMDIPLDLSSSAGSGKRRRRGNLPKESVQILRDWLYEHRYNAYPSEQEKALLSQQTHLSTLQVCNWFINARRRLLPDMLRKDGKDPNQFTISRRGAKISETSSVESVMGIKNFMPALEETPFHSCTAGPNPTLGRPLSPKPSSPGSVLARPSVICHTTVTALKDVPFSLCQSVGVGQNTDIQQIAAKNFTDTSLMYPEDTCKSGPSTNTQSGLFNTPPPTPPDLNQDFSGFQLLVDVALKRAAEMELQAKLTA
+>DECOY_sp|Q15583|TGIF1_HUMAN Homeobox protein TGIF1 OS=Homo sapiens OX=9606 GN=TGIF1 PE=1 SV=3
+ATLKAQLEMEAARKLAVDVLLQFGSFDQNLDPPTPPPTNFLGSQTNTSPGSKCTDEPYMLSTDTFNKAAIQQIDTNQGVGVSQCLSFPVDKLATVTTHCIVSPRALVSGPSSPKPSLPRGLTPNPGATCSHFPTEELAPMFNKIGMVSEVSSTESIKAGRRSITFQNPDKGDKRLMDPLLRRRANIFWNCVQLTSLHTQQSLLAKEQESPYANYRHEYLWDRLIQVSEKPLNGRRRRKGSGASSSLDLPIDMSDEDETESGSAAVIGKMTELLRRRPAPGQAGQSPSRPLEWRHPRRPGAKTGLEPSLARPQPCRAPPPPPACSSGLLASPTALSSWPAPPLYALTGRSLRPSALFATSFSCQPNGPHSAPWPFSDSGGGGSGSCHPSGVGASVRSQALVM
+>sp|P22735|TGM1_HUMAN Protein-glutamine gamma-glutamyltransferase K OS=Homo sapiens OX=9606 GN=TGM1 PE=1 SV=4
+MMDGPRSDVGRWGGNPLQPPTTPSPEPEPEPDGRSRRGGGRSFWARCCGCCSCRNAADDDWGPEPSDSRGRGSSSGTRRPGSRGSDSRRPVSRGSGVNAAGDGTIREGMLVVNGVDLLSSRSDQNRREHHTDEYEYDELIVRRGQPFHMLLLLSRTYESSDRITLELLIGNNPEVGKGTHVIIPVGKGGSGGWKAQVVKASGQNLNLRVHTSPNAIIGKFQFTVRTQSDAGEFQLPFDPRNEIYILFNPWCPEDIVYVDHEDWRQEYVLNESGRIYYGTEAQIGERTWNYGQFDHGVLDACLYILDRRGMPYGGRGDPVNVSRVISAMVNSLDDNGVLIGNWSGDYSRGTNPSAWVGSVEILLSYLRTGYSVPYGQCWVFAGVTTTVLRCLGLATRTVTNFNSAHDTDTSLTMDIYFDENMKPLEHLNHDSVWNFHVWNDCWMKRPDLPSGFDGWQVVDATPQETSSGIFCCGPCSVESIKNGLVYMKYDTPFIFAEVNSDKVYWQRQDDGSFKIVYVEEKAIGTLIVTKAISSNMREDITYLYKHPEGSDAERKAVETAAAHGSKPNVYANRGSAEDVAMQVEAQDAVMGQDLMVSVMLINHSSSRRTVKLHLYLSVTFYTGVSGTIFKETKKEVELAPGASDRVTMPVAYKEYRPHLVDQGAMLLNVSGHVKESGQVLAKQHTFRLRTPDLSLTLLGAAVVGQECEVQIVFKNPLPVTLTNVVFRLEGSGLQRPKILNVGDIGGNETVTLRQSFVPVRPGPRQLIASLDSPQLSQVHGVIQVDVAPAPGDGGFFSDAGGDSHLGETIPMASRGGA
+>DECOY_sp|P22735|TGM1_HUMAN Protein-glutamine gamma-glutamyltransferase K OS=Homo sapiens OX=9606 GN=TGM1 PE=1 SV=4
+AGGRSAMPITEGLHSDGGADSFFGGDGPAPAVDVQIVGHVQSLQPSDLSAILQRPGPRVPVFSQRLTVTENGGIDGVNLIKPRQLGSGELRFVVNTLTVPLPNKFVIQVECEQGVVAAGLLTLSLDPTRLRFTHQKALVQGSEKVHGSVNLLMAGQDVLHPRYEKYAVPMTVRDSAGPALEVEKKTEKFITGSVGTYFTVSLYLHLKVTRRSSSHNILMVSVMLDQGMVADQAEVQMAVDEASGRNAYVNPKSGHAAATEVAKREADSGEPHKYLYTIDERMNSSIAKTVILTGIAKEEVYVIKFSGDDQRQWYVKDSNVEAFIFPTDYKMYVLGNKISEVSCPGCCFIGSSTEQPTADVVQWGDFGSPLDPRKMWCDNWVHFNWVSDHNLHELPKMNEDFYIDMTLSTDTDHASNFNTVTRTALGLCRLVTTTVGAFVWCQGYPVSYGTRLYSLLIEVSGVWASPNTGRSYDGSWNGILVGNDDLSNVMASIVRSVNVPDGRGGYPMGRRDLIYLCADLVGHDFQGYNWTREGIQAETGYYIRGSENLVYEQRWDEHDVYVIDEPCWPNFLIYIENRPDFPLQFEGADSQTRVTFQFKGIIANPSTHVRLNLNQGSAKVVQAKWGGSGGKGVPIIVHTGKGVEPNNGILLELTIRDSSEYTRSLLLLMHFPQGRRVILEDYEYEDTHHERRNQDSRSSLLDVGNVVLMGERITGDGAANVGSGRSVPRRSDSGRSGPRRTGSSSGRGRSDSPEPGWDDDAANRCSCCGCCRAWFSRGGGRRSRGDPEPEPEPSPTTPPQLPNGGWRGVDSRPGDMM
+>sp|Q96PF1|TGM7_HUMAN Protein-glutamine gamma-glutamyltransferase Z OS=Homo sapiens OX=9606 GN=TGM7 PE=2 SV=1
+MDQVATLRLESVDLQSSRNNKEHHTQEMGVKRLTVRRGQPFYLRLSFSRPFQSQNDHITFVAETGPKPSELLGTRATFFLTRVQPGNVWSASDFTIDSNSLQVSLFTPANAVIGHYTLKIEISQGQGHSVTYPLGTFILLFNPWSPEDDVYLPSEILLQEYIMRDYGFVYKGHERFITSWPWNYGQFEEDIIDICFEILNKSLYHLKNPAKDCSQRNDVVYVCRVVSAMINSNDDNGVLQGNWGEDYSKGVSPLEWKGSVAILQQWSARGGQPVKYGQCWVFASVMCTVMRCLGVPTRVVSNFRSAHNVDRNLTIDTYYDRNAEMLSTQKRDKIWNFHVWNECWMIRKDLPPGYNGWQVLDPTPQQTSSGLFCCGPASVKAIREGDVHLAYDTPFVYAEVNADEVIWLLGDGQAQEILAHNTSSIGKEISTKMVGSDQRQSITSSYKYPEGSPEERAVFMKASRKMLGPQRASLPFLDLLESGGLRDQPAQLQLHLARIPEWGQDLQLLLRIQRVPDSTHPRGPIGLVVRFCAQALLHGGGTQKPFWRHTVRMNLDFGKETQWPLLLPYSNYRNKLTDEKLIRVSGIAEVEETGRSMLVLKDICLEPPHLSIEVSERAEVGKALRVHVTLTNTLMVALSSCTMVLEGSGLINGQIAKDLGTLVAGHTLQIQLDLYPTKAGPRQLQVLISSNEVKEIKGYKDIFVTVAGAP
+>DECOY_sp|Q96PF1|TGM7_HUMAN Protein-glutamine gamma-glutamyltransferase Z OS=Homo sapiens OX=9606 GN=TGM7 PE=2 SV=1
+PAGAVTVFIDKYGKIEKVENSSILVQLQRPGAKTPYLDLQIQLTHGAVLTGLDKAIQGNILGSGELVMTCSSLAVMLTNTLTVHVRLAKGVEARESVEISLHPPELCIDKLVLMSRGTEEVEAIGSVRILKEDTLKNRYNSYPLLLPWQTEKGFDLNMRVTHRWFPKQTGGGHLLAQACFRVVLGIPGRPHTSDPVRQIRLLLQLDQGWEPIRALHLQLQAPQDRLGGSELLDLFPLSARQPGLMKRSAKMFVAREEPSGEPYKYSSTISQRQDSGVMKTSIEKGISSTNHALIEQAQGDGLLWIVEDANVEAYVFPTDYALHVDGERIAKVSAPGCCFLGSSTQQPTPDLVQWGNYGPPLDKRIMWCENWVHFNWIKDRKQTSLMEANRDYYTDITLNRDVNHASRFNSVVRTPVGLCRMVTCMVSAFVWCQGYKVPQGGRASWQQLIAVSGKWELPSVGKSYDEGWNGQLVGNDDNSNIMASVVRCVYVVDNRQSCDKAPNKLHYLSKNLIEFCIDIIDEEFQGYNWPWSTIFREHGKYVFGYDRMIYEQLLIESPLYVDDEPSWPNFLLIFTGLPYTVSHGQGQSIEIKLTYHGIVANAPTFLSVQLSNSDITFDSASWVNGPQVRTLFFTARTGLLESPKPGTEAVFTIHDNQSQFPRSFSLRLYFPQGRRVTLRKVGMEQTHHEKNNRSSQLDVSELRLTAVQDM
+>sp|O43493|TGON2_HUMAN Trans-Golgi network integral membrane protein 2 OS=Homo sapiens OX=9606 GN=TGOLN2 PE=1 SV=3
+MRFVVALVLLNVAAAGAVPLLATESVKQEEAGVRPSAGNVSTHPSLSQRPGGSTKSHPEPQTPKDSPSKSSAEAQTPEDTPNKSGAEAKTQKDSSNKSGAEAKTQKGSTSKSGSEAQTTKDSTSKSHPELQTPKDSTGKSGAEAQTPEDSPNRSGAEAKTQKDSPSKSGSEAQTTKDVPNKSGADGQTPKDGSSKSGAEDQTPKDVPNKSGAEKQTPKDGSNKSGAEEQGPIDGPSKSGAEEQTSKDSPNKVVPEQPSRKDHSKPISNPSDNKELPKADTNQLADKGKLSPHAFKTESGEETDLISPPQEEVKSSEPTEDVEPKEAEDDDTGPEEGSPPKEEKEKMSGSASSENREGTLSDSTGSEKDDLYPNGSGNGSAESSHFFAYLVTAAILVAVLYIAHHNKRKIIAFVLEGKRSKVTRRPKASDYQRLDQKYVLILNVFPAPPKRSFFPVLTEWYIPLEKDERHQWIVLLSFQL
+>DECOY_sp|O43493|TGON2_HUMAN Trans-Golgi network integral membrane protein 2 OS=Homo sapiens OX=9606 GN=TGOLN2 PE=1 SV=3
+LQFSLLVIWQHREDKELPIYWETLVPFFSRKPPAPFVNLILVYKQDLRQYDSAKPRRTVKSRKGELVFAIIKRKNHHAIYLVAVLIAATVLYAFFHSSEASGNGSGNPYLDDKESGTSDSLTGERNESSASGSMKEKEEKPPSGEEPGTDDDEAEKPEVDETPESSKVEEQPPSILDTEEGSETKFAHPSLKGKDALQNTDAKPLEKNDSPNSIPKSHDKRSPQEPVVKNPSDKSTQEEAGSKSPGDIPGQEEAGSKNSGDKPTQKEAGSKNPVDKPTQDEAGSKSSGDKPTQGDAGSKNPVDKTTQAESGSKSPSDKQTKAEAGSRNPSDEPTQAEAGSKGTSDKPTQLEPHSKSTSDKTTQAESGSKSTSGKQTKAEAGSKNSSDKQTKAEAGSKNPTDEPTQAEASSKSPSDKPTQPEPHSKTSGGPRQSLSPHTSVNGASPRVGAEEQKVSETALLPVAGAAAVNLLVLAVVFRM
+>sp|Q6ZUX3|TGRM2_HUMAN TOG array regulator of axonemal microtubules protein 2 OS=Homo sapiens OX=9606 GN=TOGARAM2 PE=2 SV=2
+MGTRDDVPEAKVLVPVAVYCGSIPRTSAGPRVLPPGSINSSLPHGEGSLQPEPRALLNNEEPSQLLRGLGQLGGLKLDTPSKGWQARNGHPRNLRALSLGDQPLVLLPSPESEANSVARDTIQIKDKLKKRRLSEGLAASSRASLDPGGGPQGVPLHSTIPRATSQRLLRVPRPMPLIQSIPTTPEASGVKEKGLDLPGSIPGPHELRPGAQEAQISWQYLHCNDEKMQKSLGAIVIPPIPKARTVAATPSRVPGSLPSPLPPGQGVLTGLRAPRTRLARGSGPREKTPASLEPKPLASPIRDRPAAAKKPALPFSQSAPTLTAFSFDCAREACPPLKEEDQKEIGTKIQVTISKSAREKMQLKQMKEMELLRRLEEPRTGQELTSQCLGSQRAFMKEGLLPLRGSGTLSVPTRLSGPCRNDVSIILRKWASRASLPSIPISRQEPRFARHASANSLPAVLTLGSPEWEEEEEMDLRACKELRPFSNPELGLRDALQCLNSSDWQMKEKGLVSIQRLAACHSEVLTGKLHDVCLVVTGEVTNLRSKVSHLAISTLGDLFQALKKNMDQEAEEIARCLLQKMADTNEFIQRAAGQSLRAMVENVTLARSLVVLTSAGVYHRNPLIRKYAAEHLSAVLEQIGAEKLLSGTRDSTDMLVHNLVRLAQDSNQDTRFYGRKMVNILMANTKFDAFLKQSLPSYDLQKVMAAIKQQGIEDNDELPSAKGRKVLRSLVVCENGLPIKEGLSCNGPRLVGLRSTLQGRGEMVEQLRELTRLLEAKDFRSRMEGVGQLLELCKAKTELVTAHLVQVFDAFTPRLQDSNKKVNQWALESFAKMIPLLRESLHPMLLSIIITVADNLNSKNSGIYAAAVAVLDAMVESLDNLCLLPALAGRVRFLSGRAVLDVTDRLAVLVASVYPRKPQAVERHVLPILWHFLNTATRNGTLPGPSGNIRGVVCRLSRSLQEHMGSRLLDFAASQPKHVLKTLQELLDSESLGGSRKATDRGVAPDSKTTGSSYPFQLD
+>DECOY_sp|Q6ZUX3|TGRM2_HUMAN TOG array regulator of axonemal microtubules protein 2 OS=Homo sapiens OX=9606 GN=TOGARAM2 PE=2 SV=2
+DLQFPYSSGTTKSDPAVGRDTAKRSGGLSESDLLEQLTKLVHKPQSAAFDLLRSGMHEQLSRSLRCVVGRINGSPGPLTGNRTATNLFHWLIPLVHREVAQPKRPYVSAVLVALRDTVDLVARGSLFRVRGALAPLLCLNDLSEVMADLVAVAAAYIGSNKSNLNDAVTIIISLLMPHLSERLLPIMKAFSELAWQNVKKNSDQLRPTFADFVQVLHATVLETKAKCLELLQGVGEMRSRFDKAELLRTLERLQEVMEGRGQLTSRLGVLRPGNCSLGEKIPLGNECVVLSRLVKRGKASPLEDNDEIGQQKIAAMVKQLDYSPLSQKLFADFKTNAMLINVMKRGYFRTDQNSDQALRVLNHVLMDTSDRTGSLLKEAGIQELVASLHEAAYKRILPNRHYVGASTLVVLSRALTVNEVMARLSQGAARQIFENTDAMKQLLCRAIEEAEQDMNKKLAQFLDGLTSIALHSVKSRLNTVEGTVVLCVDHLKGTLVESHCAALRQISVLGKEKMQWDSSNLCQLADRLGLEPNSFPRLEKCARLDMEEEEEWEPSGLTLVAPLSNASAHRAFRPEQRSIPISPLSARSAWKRLIISVDNRCPGSLRTPVSLTGSGRLPLLGEKMFARQSGLCQSTLEQGTRPEELRRLLEMEKMQKLQMKERASKSITVQIKTGIEKQDEEKLPPCAERACDFSFATLTPASQSFPLAPKKAAAPRDRIPSALPKPELSAPTKERPGSGRALRTRPARLGTLVGQGPPLPSPLSGPVRSPTAAVTRAKPIPPIVIAGLSKQMKEDNCHLYQWSIQAEQAGPRLEHPGPISGPLDLGKEKVGSAEPTTPISQILPMPRPVRLLRQSTARPITSHLPVGQPGGGPDLSARSSAALGESLRRKKLKDKIQITDRAVSNAESEPSPLLVLPQDGLSLARLNRPHGNRAQWGKSPTDLKLGGLQGLGRLLQSPEENNLLARPEPQLSGEGHPLSSNISGPPLVRPGASTRPISGCYVAVPVLVKAEPVDDRTGM
+>sp|P00734|THRB_HUMAN Prothrombin OS=Homo sapiens OX=9606 GN=F2 PE=1 SV=2
+MAHVRGLQLPGCLALAALCSLVHSQHVFLAPQQARSLLQRVRRANTFLEEVRKGNLERECVEETCSYEEAFEALESSTATDVFWAKYTACETARTPRDKLAACLEGNCAEGLGTNYRGHVNITRSGIECQLWRSRYPHKPEINSTTHPGADLQENFCRNPDSSTTGPWCYTTDPTVRRQECSIPVCGQDQVTVAMTPRSEGSSVNLSPPLEQCVPDRGQQYQGRLAVTTHGLPCLAWASAQAKALSKHQDFNSAVQLVENFCRNPDGDEEGVWCYVAGKPGDFGYCDLNYCEEAVEEETGDGLDEDSDRAIEGRTATSEYQTFFNPRTFGSGEADCGLRPLFEKKSLEDKTERELLESYIDGRIVEGSDAEIGMSPWQVMLFRKSPQELLCGASLISDRWVLTAAHCLLYPPWDKNFTENDLLVRIGKHSRTRYERNIEKISMLEKIYIHPRYNWRENLDRDIALMKLKKPVAFSDYIHPVCLPDRETAASLLQAGYKGRVTGWGNLKETWTANVGKGQPSVLQVVNLPIVERPVCKDSTRIRITDNMFCAGYKPDEGKRGDACEGDSGGPFVMKSPFNNRWYQMGIVSWGEGCDRDGKYGFYTHVFRLKKWIQKVIDQFGE
+>DECOY_sp|P00734|THRB_HUMAN Prothrombin OS=Homo sapiens OX=9606 GN=F2 PE=1 SV=2
+EGFQDIVKQIWKKLRFVHTYFGYKGDRDCGEGWSVIGMQYWRNNFPSKMVFPGGSDGECADGRKGEDPKYGACFMNDTIRIRTSDKCVPREVIPLNVVQLVSPQGKGVNATWTEKLNGWGTVRGKYGAQLLSAATERDPLCVPHIYDSFAVPKKLKMLAIDRDLNERWNYRPHIYIKELMSIKEINREYRTRSHKGIRVLLDNETFNKDWPPYLLCHAATLVWRDSILSAGCLLEQPSKRFLMVQWPSMGIEADSGEVIRGDIYSELLERETKDELSKKEFLPRLGCDAEGSGFTRPNFFTQYESTATRGEIARDSDEDLGDGTEEEVAEECYNLDCYGFDGPKGAVYCWVGEEDGDPNRCFNEVLQVASNFDQHKSLAKAQASAWALCPLGHTTVALRGQYQQGRDPVCQELPPSLNVSSGESRPTMAVTVQDQGCVPISCEQRRVTPDTTYCWPGTTSSDPNRCFNEQLDAGPHTTSNIEPKHPYRSRWLQCEIGSRTINVHGRYNTGLGEACNGELCAALKDRPTRATECATYKAWFVDTATSSELAEFAEEYSCTEEVCERELNGKRVEELFTNARRVRQLLSRAQQPALFVHQSHVLSCLAALALCGPLQLGRVHAM
+>sp|Q6ZMP0|THSD4_HUMAN Thrombospondin type-1 domain-containing protein 4 OS=Homo sapiens OX=9606 GN=THSD4 PE=2 SV=2
+MVSHFMGSLSVLCFLLLLGFQFVCPQPSTQHRKVPQRMAAEGAPEDDGGGGAPGVWGAWGPWSACSRSCSGGVMEQTRPCLPRSYRLRGGQRPGAPARAFADHVVSAVRTSVPLHRSRDETPALAGTDASRQGPTVLRGSRHPQPQGLEVTGDRRSRTRGTIGPGKYGYGKAPYILPLQTDTAHTPQRLRRQKLSSRHSRSQGASSARHGYSSPAHQVPQHGPLYQSDSGPRSGLQAAEAPIYQLPLTHDQGYPAASSLFHSPETSNNHGVGTHGATQSFSQPARSTAISCIGAYRQYKLCNTNVCPESSRSIREVQCASYNNKPFMGRFYEWEPFAEVKGNRKCELNCQAMGYRFYVRQAEKVIDGTPCDQNGTAICVSGQCKSIGCDDYLGSDKVVDKCGVCGGDNTGCQVVSGVFKHALTSLGYHRVVEIPEGATKINITEMYKSNNYLALRSRSGRSIINGNWAIDRPGKYEGGGTMFTYKRPNEISSTAGESFLAEGPTNEILDVYMIHQQPNPGVHYEYVIMGTNAISPQVPPHRRPGEPFNGQMVTEGRSQEEGEQKGRNEEKEDLRGEAPEMFTSESAQTFPVRHPDRFSPHRPDNLVPPAPQPPRRSRDHNWKQLGTTECSTTCGKGSQYPIFRCVHRSTHEEAPESYCDSSMKPTPEEEPCNIFPCPAFWDIGEWSECSKTCGLGMQHRQVLCRQVYANRSLTVQPYRCQHLEKPETTSTCQLKICSEWQIRTDWTSCSVPCGVGQRTRDVKCVSNIGDVVDDEECNMKLRPNDIENCDMGPCAKSWFLTEWSERCSAECGAGVRTRSVVCMTNHVSSLPLEGCGNNRPAEATPCDNGPCTGKVEWFAGSWSQCSIECGSGTQQREVICVRKNADTFEVLDPSECSFLEKPPSQQSCHLKPCGAKWFSTEWSMCSKSCQGGFRVREVRCLSDDMTLSNLCDPQLKPEERESCNPQDCVPEVDENCKDKYYNCNVVVQARLCVYNYYKTACCASCTRVANRQTGFLGSR
+>DECOY_sp|Q6ZMP0|THSD4_HUMAN Thrombospondin type-1 domain-containing protein 4 OS=Homo sapiens OX=9606 GN=THSD4 PE=2 SV=2
+RSGLFGTQRNAVRTCSACCATKYYNYVCLRAQVVVNCNYYKDKCNEDVEPVCDQPNCSEREEPKLQPDCLNSLTMDDSLCRVERVRFGGQCSKSCMSWETSFWKAGCPKLHCSQQSPPKELFSCESPDLVEFTDANKRVCIVERQQTGSGCEISCQSWSGAFWEVKGTCPGNDCPTAEAPRNNGCGELPLSSVHNTMCVVSRTRVGAGCEASCRESWETLFWSKACPGMDCNEIDNPRLKMNCEEDDVVDGINSVCKVDRTRQGVGCPVSCSTWDTRIQWESCIKLQCTSTTEPKELHQCRYPQVTLSRNAYVQRCLVQRHQMGLGCTKSCESWEGIDWFAPCPFINCPEEEPTPKMSSDCYSEPAEEHTSRHVCRFIPYQSGKGCTTSCETTGLQKWNHDRSRRPPQPAPPVLNDPRHPSFRDPHRVPFTQASESTFMEPAEGRLDEKEENRGKQEGEEQSRGETVMQGNFPEGPRRHPPVQPSIANTGMIVYEYHVGPNPQQHIMYVDLIENTPGEALFSEGATSSIENPRKYTFMTGGGEYKGPRDIAWNGNIISRGSRSRLALYNNSKYMETINIKTAGEPIEVVRHYGLSTLAHKFVGSVVQCGTNDGGCVGCKDVVKDSGLYDDCGISKCQGSVCIATGNQDCPTGDIVKEAQRVYFRYGMAQCNLECKRNGKVEAFPEWEYFRGMFPKNNYSACQVERISRSSEPCVNTNCLKYQRYAGICSIATSRAPQSFSQTAGHTGVGHNNSTEPSHFLSSAAPYGQDHTLPLQYIPAEAAQLGSRPGSDSQYLPGHQPVQHAPSSYGHRASSAGQSRSHRSSLKQRRLRQPTHATDTQLPLIYPAKGYGYKGPGITGRTRSRRDGTVELGQPQPHRSGRLVTPGQRSADTGALAPTEDRSRHLPVSTRVASVVHDAFARAPAGPRQGGRLRYSRPLCPRTQEMVGGSCSRSCASWPGWAGWVGPAGGGGDDEPAGEAAMRQPVKRHQTSPQPCVFQFGLLLLFCLVSLSGMFHSVM
+>sp|P25325|THTM_HUMAN 3-mercaptopyruvate sulfurtransferase OS=Homo sapiens OX=9606 GN=MPST PE=1 SV=3
+MASPQLCRALVSAQWVAEALRAPRAGQPLQLLDASWYLPKLGRDARREFEERHIPGAAFFDIDQCSDRTSPYDHMLPGAEHFAEYAGRLGVGAATHVVIYDASDQGLYSAPRVWWMFRAFGHHAVSLLDGGLRHWLRQNLPLSSGKSQPAPAEFRAQLDPAFIKTYEDIKENLESRRFQVVDSRATGRFRGTEPEPRDGIEPGHIPGTVNIPFTDFLSQEGLEKSPEEIRHLFQEKKVDLSKPLVATCGSGVTACHVALGAYLCGKPDVPIYDGSWVEWYMRARPEDVISEGRGKTH
+>DECOY_sp|P25325|THTM_HUMAN 3-mercaptopyruvate sulfurtransferase OS=Homo sapiens OX=9606 GN=MPST PE=1 SV=3
+HTKGRGESIVDEPRARMYWEVWSGDYIPVDPKGCLYAGLAVHCATVGSGCTAVLPKSLDVKKEQFLHRIEEPSKELGEQSLFDTFPINVTGPIHGPEIGDRPEPETGRFRGTARSDVVQFRRSELNEKIDEYTKIFAPDLQARFEAPAPQSKGSSLPLNQRLWHRLGGDLLSVAHHGFARFMWWVRPASYLGQDSADYIVVHTAAGVGLRGAYEAFHEAGPLMHDYPSTRDSCQDIDFFAAGPIHREEFERRADRGLKPLYWSADLLQLPQGARPARLAEAVWQASVLARCLQPSAM
+>sp|Q92563|TICN2_HUMAN Testican-2 OS=Homo sapiens OX=9606 GN=SPOCK2 PE=1 SV=1
+MRAPGCGRLVLPLLLLAAAALAEGDAKGLKEGETPGNFMEDEQWLSSISQYSGKIKHWNRFRDEVEDDYIKSWEDNQQGDEALDTTKDPCQKVKCSRHKVCIAQGYQRAMCISRKKLEHRIKQPTVKLHGNKDSICKPCHMAQLASVCGSDGHTYSSVCKLEQQACLSSKQLAVRCEGPCPCPTEQAATSTADGKPETCTGQDLADLGDRLRDWFQLLHENSKQNGSASSVAGPASGLDKSLGASCKDSIGWMFSKLDTSADLFLDQTELAAINLDKYEVCIRPFFNSCDTYKDGRVSTAEWCFCFWREKPPCLAELERIQIQEAAKKKPGIFIPSCDEDGYYRKMQCDQSSGDCWCVDQLGLELTGTRTHGSPDCDDIVGFSGDFGSGVGWEDEEEKETEEAGEEAEEEEGEAGEADDGGYIW
+>DECOY_sp|Q92563|TICN2_HUMAN Testican-2 OS=Homo sapiens OX=9606 GN=SPOCK2 PE=1 SV=1
+WIYGGDDAEGAEGEEEEAEEGAEETEKEEEDEWGVGSGFDGSFGVIDDCDPSGHTRTGTLELGLQDVCWCDGSSQDCQMKRYYGDEDCSPIFIGPKKKAAEQIQIRELEALCPPKERWFCFCWEATSVRGDKYTDCSNFFPRICVEYKDLNIAALETQDLFLDASTDLKSFMWGISDKCSAGLSKDLGSAPGAVSSASGNQKSNEHLLQFWDRLRDGLDALDQGTCTEPKGDATSTAAQETPCPCPGECRVALQKSSLCAQQELKCVSSYTHGDSGCVSALQAMHCPKCISDKNGHLKVTPQKIRHELKKRSICMARQYGQAICVKHRSCKVKQCPDKTTDLAEDGQQNDEWSKIYDDEVEDRFRNWHKIKGSYQSISSLWQEDEMFNGPTEGEKLGKADGEALAAAALLLLPLVLRGCGPARM
+>sp|Q7Z2Z1|TICRR_HUMAN Treslin OS=Homo sapiens OX=9606 GN=TICRR PE=1 SV=2
+MACCHKVMLLLDTAGGAARHSRVRRAALRLLTYLSCRFGLARVHWAFKFFDSQGARSRPSRVSDFRELGSRSWEDFEEELEARLEDRAHLPGPAPRATHTHGALMETLLDYQWDRPEITSPTKPILRSSGRRLLDVESEAKEAEAALGGLVNAVFLLAPCPHSQRELLQFVSGCEAQAQRLPPTPKQVMEKLLPKRVREVMVARKITFYWVDTTEWSKLWESPDHLGYWTVCELLHHGGGTVLPSESFSWDFAQAGEMLLRSGIKLSSEPHLSPWISMLPTDATLNRLLYNSPEYEASFPRMEGMLFLPVEAGKEIQETWTVTLEPLAMHQRHFQKPVRIFLKGSVAQWSLPTSSTLGTDSWMLGSPEESTATQRLLFQQLVSRLTAEELHLVADVDPGEGRPPITGVISPLSASAMILTVCRTKEAEFQRHVLQTAVADSPRDTASLFSDVVDSILNQTHDSLADTASAASPVPEWAQQELGHTTPWSPAVVEKWFPFCNISGASSDLMESFGLLQAASANKEESSKTEGELIHCLAELYQRKSREESTIAHQEDSKKKRGVPRTPVRQKMNTMCRSLKMLNVARLNVKAQKLHPDGSPDVAGEKGIQKIPSGRTVDKLEDRGRTLRSSKPKDFKTEEELLSYIRENYQKTVATGEIMLYACARNMISTVKMFLKSKGTKELEVNCLNQVKSSLLKTSKSLRQNLGKKLDKEDKVRECQLQVFLRLEMCLQCPSINESTDDMEQVVEEVTDLLRMVCLTEDSAYLAEFLEEILRLYIDSIPKTLGNLYNSLGFVIPQKLAGVLPTDFFSDDSMTQENKSPLLSVPFLSSARRSVSGSPESDELQELRTRSAKKRRKNALIRHKSIAEVSQNLRQIEIPKVSKRATKKENSHPAPQQPSQPVKDTVQEVTKVRRNLFNQELLSPSKRSLKRGLPRSHSVSAVDGLEDKLDNFKKNKGYHKLLTKSVAETPVHKQISKRLLHRQIKGRSSDPGPDIGVVEESPEKGDEISLRRSPRIKQLSFSRTHSASFYSVSQPKSRSVQRVHSFQQDKSDQRENSPVQSIRSPKSLLFGAMSEMISPSEKGSARMKKRSRNTLDSEVPAAYQTPKKSHQKSLSFSKTTPRRISHTPQTPLYTPERLQKSPAKMTPTKQAAFKESLKDSSSPGHDSPLDSKITPQKRHTQAGEGTSLETKTPRTPKRQGTQPPGFLPNCTWPHSVNSSPESPSCPAPPTSSTAQPRRECLTPIRDPLRTPPRAAAFMGTPQNQTHQQPHVLRAARAEEPAQKLKDKAIKTPKRPGNSTVTSSPPVTPKKLFTSPLCDVSKKSPFRKSKIECPSPGELDQKEPQMSPSVAASLSCPVPSTPPELSQRATLDTVPPPPPSKVGKRCRKTSDPRRSIVECQPDASATPGVGTADSPAAPTDSRDDQKGLSLSPQSPPERRGYPGPGLRSDWHASSPLLITSDTEHVTLLSEAEHHGIGDLKSNVLSVEEGEGLRTADAEKSSLSHPGIPPSPPSCGPGSPLMPSRDVHCTTDGRQCQASAQLDNLPASAWHSTDSASPQTYEVELEMQASGLPKLRIKKIDPSSSLEAEPLSKEESSLGEESFLPALSMPRASRSLSKPEPTYVSPPCPRLSHSTPGKSRGQTYICQACTPTHGPSSTPSPFQTDGVPWTPSPKHSGKTTPDIIKDWPRRKRAVGCGAGSSSGRGEVGADLPGSLSLLESEGKDHGLELSIHRTPILEDFELEGVCQLPDQSPPRNSMPKAEEASSWGQFGLSSRKRVLLAKEEADRGAKRICDLREDSEVSKSKEGSPSWSAWQLPSTGDEEVFVSGSTPPPSCAVRSCLSASALQALTQSPLLFQGKTPSSQSKDPRDEDVDVLPSTVEDSPFSRAFSRRRPISRTYTRKKLMGTWLEDL
+>DECOY_sp|Q7Z2Z1|TICRR_HUMAN Treslin OS=Homo sapiens OX=9606 GN=TICRR PE=1 SV=2
+LDELWTGMLKKRTYTRSIPRRRSFARSFPSDEVTSPLVDVDEDRPDKSQSSPTKGQFLLPSQTLAQLASASLCSRVACSPPPTSGSVFVEEDGTSPLQWASWSPSGEKSKSVESDERLDCIRKAGRDAEEKALLVRKRSSLGFQGWSSAEEAKPMSNRPPSQDPLQCVGELEFDELIPTRHISLELGHDKGESELLSLSGPLDAGVEGRGSSSGAGCGVARKRRPWDKIIDPTTKGSHKPSPTWPVGDTQFPSPTSSPGHTPTCAQCIYTQGRSKGPTSHSLRPCPPSVYTPEPKSLSRSARPMSLAPLFSEEGLSSEEKSLPEAELSSSPDIKKIRLKPLGSAQMELEVEYTQPSASDTSHWASAPLNDLQASAQCQRGDTTCHVDRSPMLPSGPGCSPPSPPIGPHSLSSKEADATRLGEGEEVSLVNSKLDGIGHHEAESLLTVHETDSTILLPSSAHWDSRLGPGPYGRREPPSQPSLSLGKQDDRSDTPAAPSDATGVGPTASADPQCEVISRRPDSTKRCRKGVKSPPPPPVTDLTARQSLEPPTSPVPCSLSAAVSPSMQPEKQDLEGPSPCEIKSKRFPSKKSVDCLPSTFLKKPTVPPSSTVTSNGPRKPTKIAKDKLKQAPEEARAARLVHPQQHTQNQPTGMFAAARPPTRLPDRIPTLCERRPQATSSTPPAPCSPSEPSSNVSHPWTCNPLFGPPQTGQRKPTRPTKTELSTGEGAQTHRKQPTIKSDLPSDHGPSSSDKLSEKFAAQKTPTMKAPSKQLREPTYLPTQPTHSIRRPTTKSFSLSKQHSKKPTQYAAPVESDLTNRSRKKMRASGKESPSIMESMAGFLLSKPSRISQVPSNERQDSKDQQFSHVRQVSRSKPQSVSYFSASHTRSFSLQKIRPSRRLSIEDGKEPSEEVVGIDPGPDSSRGKIQRHLLRKSIQKHVPTEAVSKTLLKHYGKNKKFNDLKDELGDVASVSHSRPLGRKLSRKSPSLLEQNFLNRRVKTVEQVTDKVPQSPQQPAPHSNEKKTARKSVKPIEIQRLNQSVEAISKHRILANKRRKKASRTRLEQLEDSEPSGSVSRRASSLFPVSLLPSKNEQTMSDDSFFDTPLVGALKQPIVFGLSNYLNGLTKPISDIYLRLIEELFEALYASDETLCVMRLLDTVEEVVQEMDDTSENISPCQLCMELRLFVQLQCERVKDEKDLKKGLNQRLSKSTKLLSSKVQNLCNVELEKTGKSKLFMKVTSIMNRACAYLMIEGTAVTKQYNERIYSLLEEETKFDKPKSSRLTRGRDELKDVTRGSPIKQIGKEGAVDPSGDPHLKQAKVNLRAVNLMKLSRCMTNMKQRVPTRPVGRKKKSDEQHAITSEERSKRQYLEALCHILEGETKSSEEKNASAAQLLGFSEMLDSSAGSINCFPFWKEVVAPSWPTTHGLEQQAWEPVPSAASATDALSDHTQNLISDVVDSFLSATDRPSDAVATQLVHRQFEAEKTRCVTLIMASASLPSIVGTIPPRGEGPDVDAVLHLEEATLRSVLQQFLLRQTATSEEPSGLMWSDTGLTSSTPLSWQAVSGKLFIRVPKQFHRQHMALPELTVTWTEQIEKGAEVPLFLMGEMRPFSAEYEPSNYLLRNLTADTPLMSIWPSLHPESSLKIGSRLLMEGAQAFDWSFSESPLVTGGGHHLLECVTWYGLHDPSEWLKSWETTDVWYFTIKRAVMVERVRKPLLKEMVQKPTPPLRQAQAECGSVFQLLERQSHPCPALLFVANVLGGLAAEAEKAESEVDLLRRGSSRLIPKTPSTIEPRDWQYDLLTEMLAGHTHTARPAPGPLHARDELRAELEEEFDEWSRSGLERFDSVRSPRSRAGQSDFFKFAWHVRALGFRCSLYTLLRLAARRVRSHRAAGGATDLLLMVKHCCAM
+>sp|Q9Y3D7|TIM16_HUMAN Mitochondrial import inner membrane translocase subunit TIM16 OS=Homo sapiens OX=9606 GN=PAM16 PE=1 SV=2
+MAKYLAQIIVMGVQVVGRAFARALRQEFAASRAAADARGRAGHRSAAASNLSGLSLQEAQQILNVSKLSPEEVQKNYEHLFKVNDKSVGGSFYLQSKVVRAKERLDEELKIQAQEDREKGQMPHT
+>DECOY_sp|Q9Y3D7|TIM16_HUMAN Mitochondrial import inner membrane translocase subunit TIM16 OS=Homo sapiens OX=9606 GN=PAM16 PE=1 SV=2
+THPMQGKERDEQAQIKLEEDLREKARVVKSQLYFSGGVSKDNVKFLHEYNKQVEEPSLKSVNLIQQAEQLSLGSLNSAAASRHGARGRADAAARSAAFEQRLARAFARGVVQVGMVIIQALYKAM
+>sp|Q9Y5J9|TIM8B_HUMAN Mitochondrial import inner membrane translocase subunit Tim8 B OS=Homo sapiens OX=9606 GN=TIMM8B PE=1 SV=1
+MAELGEADEAELQRLVAAEQQKAQFTAQVHHFMELCWDKCVEKPGNRLDSRTENCLSSCVDRFIDTTLAITSRFAQIVQKGGQ
+>DECOY_sp|Q9Y5J9|TIM8B_HUMAN Mitochondrial import inner membrane translocase subunit Tim8 B OS=Homo sapiens OX=9606 GN=TIMM8B PE=1 SV=1
+QGGKQVIQAFRSTIALTTDIFRDVCSSLCNETRSDLRNGPKEVCKDWCLEMFHHVQATFQAKQQEAAVLRQLEAEDAEGLEAM
+>sp|P01033|TIMP1_HUMAN Metalloproteinase inhibitor 1 OS=Homo sapiens OX=9606 GN=TIMP1 PE=1 SV=1
+MAPFEPLASGILLLLWLIAPSRACTCVPPHPQTAFCNSDLVIRAKFVGTPEVNQTTLYQRYEIKMTKMYKGFQALGDAADIRFVYTPAMESVCGYFHRSHNRSEEFLIAGKLQDGLLHITTCSFVAPWNSLSLAQRRGFTKTYTVGCEECTVFPCLSIPCKLQSGTHCLWTDQLLQGSEKGFQSRHLACLPREPGLCTWQSLRSQIA
+>DECOY_sp|P01033|TIMP1_HUMAN Metalloproteinase inhibitor 1 OS=Homo sapiens OX=9606 GN=TIMP1 PE=1 SV=1
+AIQSRLSQWTCLGPERPLCALHRSQFGKESGQLLQDTWLCHTGSQLKCPISLCPFVTCEECGVTYTKTFGRRQALSLSNWPAVFSCTTIHLLGDQLKGAILFEESRNHSRHFYGCVSEMAPTYVFRIDAADGLAQFGKYMKTMKIEYRQYLTTQNVEPTGVFKARIVLDSNCFATQPHPPVCTCARSPAILWLLLLIGSALPEFPAM
+>sp|P16035|TIMP2_HUMAN Metalloproteinase inhibitor 2 OS=Homo sapiens OX=9606 GN=TIMP2 PE=1 SV=2
+MGAAARTLRLALGLLLLATLLRPADACSCSPVHPQQAFCNADVVIRAKAVSEKEVDSGNDIYGNPIKRIQYEIKQIKMFKGPEKDIEFIYTAPSSAVCGVSLDVGGKKEYLIAGKAEGDGKMHITLCDFIVPWDTLSTTQKKSLNHRYQMGCECKITRCPMIPCYISSPDECLWMDWVTEKNINGHQAKFFACIKRSDGSCAWYRGAAPPKQEFLDIEDP
+>DECOY_sp|P16035|TIMP2_HUMAN Metalloproteinase inhibitor 2 OS=Homo sapiens OX=9606 GN=TIMP2 PE=1 SV=2
+PDEIDLFEQKPPAAGRYWACSGDSRKICAFFKAQHGNINKETVWDMWLCEDPSSIYCPIMPCRTIKCECGMQYRHNLSKKQTTSLTDWPVIFDCLTIHMKGDGEAKGAILYEKKGGVDLSVGCVASSPATYIFEIDKEPGKFMKIQKIEYQIRKIPNGYIDNGSDVEKESVAKARIVVDANCFAQQPHVPSCSCADAPRLLTALLLLGLALRLTRAAAGM
+>sp|Q6P9B6|TLDC1_HUMAN TLD domain-containing protein 1 OS=Homo sapiens OX=9606 GN=TLDC1 PE=1 SV=2
+MGNSRSRVGRSFCSQFLPEEQAEIDQLFDALSSDKNSPNVSSKSFSLKALQNHVGEALPPEMVTRLYDGMRRVDLTGKAKGPSENVSQEQFTASMSHLLKGNSEEKSLMIMKMISATEGPVKAREVQKFTEDLVGSVVHVLSHRQELRGWTGKEAPGPNPRVQVLAAQLLSDMKLQDGKRLLGPQWLDYDCDRAVIEDWVFRVPHVAIFLSVVICKGFLILCSSLDLTTLVPERQVDQGRGFESILDVLSVMYINAQLPREQRHRWCLLFSSELHGHSFSQLCGHITHRGPCVAVLEDHDKHVFGGFASCSWEVKPQFQGDNRCFLFSICPSMAVYTHTGYNDHYMYLNHGQQTIPNGLGMGGQHNYFGLWVDVDFGKGHSRAKPTCTTYNSPQLSAQENFQFDKMEVWAVGDPSEEQLAKGNKSILDADPEAQALLEISGHSRHSEGLREVPDDE
+>DECOY_sp|Q6P9B6|TLDC1_HUMAN TLD domain-containing protein 1 OS=Homo sapiens OX=9606 GN=TLDC1 PE=1 SV=2
+EDDPVERLGESHRSHGSIELLAQAEPDADLISKNGKALQEESPDGVAWVEMKDFQFNEQASLQPSNYTTCTPKARSHGKGFDVDVWLGFYNHQGGMGLGNPITQQGHNLYMYHDNYGTHTYVAMSPCISFLFCRNDGQFQPKVEWSCSAFGGFVHKDHDELVAVCPGRHTIHGCLQSFSHGHLESSFLLCWRHRQERPLQANIYMVSLVDLISEFGRGQDVQREPVLTTLDLSSCLILFGKCIVVSLFIAVHPVRFVWDEIVARDCDYDLWQPGLLRKGDQLKMDSLLQAALVQVRPNPGPAEKGTWGRLEQRHSLVHVVSGVLDETFKQVERAKVPGETASIMKMIMLSKEESNGKLLHSMSATFQEQSVNESPGKAKGTLDVRRMGDYLRTVMEPPLAEGVHNQLAKLSFSKSSVNPSNKDSSLADFLQDIEAQEEPLFQSCFSRGVRSRSNGM
+>sp|A0A1W2PR48|TLE7_HUMAN Transducin-like enhancer protein 7 OS=Homo sapiens OX=9606 GN=TLE7 PE=3 SV=1
+MSGEKEEASLRMFGAYGEPEERRDVLESSGVSSQPEPQVQQQLGSLLGVPWQPPGPPIQHSPADQETSTVTQQQWHLQGLGRSELQAAGLPDAQPGEAAESSPSFLLGSEVGQPYSSSSPSEEVLSLLRAIPPIPDEVVVRQKRAPQGSWKVGTLFHGKRVYAVAISGSTHHVYTCGSGYIRVWDESALHAGEKAPRAQLDLQHPQDRVVTCKLFPDERSLITGGASQAVTLWDLAPTPQVRAQLTSTGPTCYSLAVSSDAHICLACFHGFVEIWDLQNQILIRKHEVPVYGSRCVDITGNIFWTGGEDTILYSWDLRSYQRLHQHNLQNEILSITHDPGEEWVLAGLRTSDIVFLHTRRNEQFKALMKKYTRHHSLKFASCGSYFVTAIDTRLSGLEAPSLQKLFQIEESSGILCCDVSSDNQYLVMGSSSSATIYQLLY
+>DECOY_sp|A0A1W2PR48|TLE7_HUMAN Transducin-like enhancer protein 7 OS=Homo sapiens OX=9606 GN=TLE7 PE=3 SV=1
+YLLQYITASSSSGMVLYQNDSSVDCCLIGSSEEIQFLKQLSPAELGSLRTDIATVFYSGCSAFKLSHHRTYKKMLAKFQENRRTHLFVIDSTRLGALVWEEGPDHTISLIENQLNHQHLRQYSRLDWSYLITDEGGTWFINGTIDVCRSGYVPVEHKRILIQNQLDWIEVFGHFCALCIHADSSVALSYCTPGTSTLQARVQPTPALDWLTVAQSAGGTILSREDPFLKCTVVRDQPHQLDLQARPAKEGAHLASEDWVRIYGSGCTYVHHTSGSIAVAYVRKGHFLTGVKWSGQPARKQRVVVEDPIPPIARLLSLVEESPSSSSYPQGVESGLLFSPSSEAAEGPQADPLGAAQLESRGLGQLHWQQQTVTSTEQDAPSHQIPPGPPQWPVGLLSGLQQQVQPEPQSSVGSSELVDRREEPEGYAGFMRLSAEEKEGSM
+>sp|Q9UKI8|TLK1_HUMAN Serine/threonine-protein kinase tousled-like 1 OS=Homo sapiens OX=9606 GN=TLK1 PE=1 SV=2
+MSVQSSSGSLEGPPSWSQLSTSPTPGSAAAARSLLNHTPPSGRPREGAMDELHSLDPRRQELLEARFTGVASGSTGSTGSCSVGAKASTNNESSNHSFGSLGSLSDKESETPEKKQSESSRGRKRKAENQNESSQGKSIGGRGHKISDYFEYQGGNGSSPVRGIPPAIRSPQNSHSHSTPSSSVRPNSPSPTALAFGDHPIVQPKQLSFKIIQTDLTMLKLAALESNKIQDLEKKEGRIDDLLRANCDLRRQIDEQQKLLEKYKERLNKCISMSKKLLIEKSTQEKLSSREKSMQDRLRLGHFTTVRHGASFTEQWTDGFAFQNLVKQQEWVNQQREDIERQRKLLAKRKPPTANNSQAPSTNSEPKQRKNKAVNGAENDPFVRPNLPQLLTLAEYHEQEEIFKLRLGHLKKEEAEIQAELERLERVRNLHIRELKRINNEDNSQFKDHPTLNERYLLLHLLGRGGFSEVYKAFDLYEQRYAAVKIHQLNKSWRDEKKENYHKHACREYRIHKELDHPRIVKLYDYFSLDTDTFCTVLEYCEGNDLDFYLKQHKLMSEKEARSIVMQIVNALRYLNEIKPPIIHYDLKPGNILLVDGTACGEIKITDFGLSKIMDDDSYGVDGMDLTSQGAGTYWYLPPECFVVGKEPPKISNKVDVWSVGVIFFQCLYGRKPFGHNQSQQDILQENTILKATEVQFPVKPVVSSEAKAFIRRCLAYRKEDRFDVHQLANDPYLLPHMRRSNSSGNLHMAGLTASPTPPSSSIITY
+>DECOY_sp|Q9UKI8|TLK1_HUMAN Serine/threonine-protein kinase tousled-like 1 OS=Homo sapiens OX=9606 GN=TLK1 PE=1 SV=2
+YTIISSSPPTPSATLGAMHLNGSSNSRRMHPLLYPDNALQHVDFRDEKRYALCRRIFAKAESSVVPKVPFQVETAKLITNEQLIDQQSQNHGFPKRGYLCQFFIVGVSWVDVKNSIKPPEKGVVFCEPPLYWYTGAGQSTLDMGDVGYSDDDMIKSLGFDTIKIEGCATGDVLLINGPKLDYHIIPPKIENLYRLANVIQMVISRAEKESMLKHQKLYFDLDNGECYELVTCFTDTDLSFYDYLKVIRPHDLEKHIRYERCAHKHYNEKKEDRWSKNLQHIKVAAYRQEYLDFAKYVESFGGRGLLHLLLYRENLTPHDKFQSNDENNIRKLERIHLNRVRELRELEAQIEAEEKKLHGLRLKFIEEQEHYEALTLLQPLNPRVFPDNEAGNVAKNKRQKPESNTSPAQSNNATPPKRKALLKRQREIDERQQNVWEQQKVLNQFAFGDTWQETFSAGHRVTTFHGLRLRDQMSKERSSLKEQTSKEILLKKSMSICKNLREKYKELLKQQEDIQRRLDCNARLLDDIRGEKKELDQIKNSELAALKLMTLDTQIIKFSLQKPQVIPHDGFALATPSPSNPRVSSSPTSHSHSNQPSRIAPPIGRVPSSGNGGQYEFYDSIKHGRGGISKGQSSENQNEAKRKRGRSSESQKKEPTESEKDSLSGLSGFSHNSSENNTSAKAGVSCSGTSGTSGSAVGTFRAELLEQRRPDLSHLEDMAGERPRGSPPTHNLLSRAAAASGPTPSTSLQSWSPPGELSGSSSQVSM
+>sp|Q9Y490|TLN1_HUMAN Talin-1 OS=Homo sapiens OX=9606 GN=TLN1 PE=1 SV=3
+MVALSLKISIGNVVKTMQFEPSTMVYDACRIIRERIPEAPAGPPSDFGLFLSDDDPKKGIWLEAGKALDYYMLRNGDTMEYRKKQRPLKIRMLDGTVKTIMVDDSKTVTDMLMTICARIGITNHDEYSLVRELMEEKKEEITGTLRKDKTLLRDEKKMEKLKQKLHTDDELNWLDHGRTLREQGVEEHETLLLRRKFFYSDQNVDSRDPVQLNLLYVQARDDILNGSHPVSFDKACEFAGFQCQIQFGPHNEQKHKAGFLDLKDFLPKEYVKQKGERKIFQAHKNCGQMSEIEAKVRYVKLARSLKTYGVSFFLVKEKMKGKNKLVPRLLGITKECVMRVDEKTKEVIQEWNLTNIKRWAASPKSFTLDFGDYQDGYYSVQTTEGEQIAQLIAGYIDIILKKKKSKDHFGLEGDEESTMLEDSVSPKKSTVLQQQYNRVGKVEHGSVALPAIMRSGASGPENFQVGSMPPAQQQITSGQMHRGHMPPLTSAQQALTGTINSSMQAVQAAQATLDDFDTLPPLGQDAASKAWRKNKMDESKHEIHSQVDAITAGTASVVNLTAGDPAETDYTAVGCAVTTISSNLTEMSRGVKLLAALLEDEGGSGRPLLQAAKGLAGAVSELLRSAQPASAEPRQNLLQAAGNVGQASGELLQQIGESDTDPHFQDALMQLAKAVASAAAALVLKAKSVAQRTEDSGLQTQVIAAATQCALSTSQLVACTKVVAPTISSPVCQEQLVEAGRLVAKAVEGCVSASQAATEDGQLLRGVGAAATAVTQALNELLQHVKAHATGAGPAGRYDQATDTILTVTENIFSSMGDAGEMVRQARILAQATSDLVNAIKADAEGESDLENSRKLLSAAKILADATAKMVEAAKGAAAHPDSEEQQQRLREAAEGLRMATNAAAQNAIKKKLVQRLEHAAKQAAASATQTIAAAQHAASTPKASAGPQPLLVQSCKAVAEQIPLLVQGVRGSQAQPDSPSAQLALIAASQSFLQPGGKMVAAAKASVPTIQDQASAMQLSQCAKNLGTALAELRTAAQKAQEACGPLEMDSALSVVQNLEKDLQEVKAAARDGKLKPLPGETMEKCTQDLGNSTKAVSSAIAQLLGEVAQGNENYAGIAARDVAGGLRSLAQAARGVAALTSDPAVQAIVLDTASDVLDKASSLIEEAKKAAGHPGDPESQQRLAQVAKAVTQALNRCVSCLPGQRDVDNALRAVGDASKRLLSDSLPPSTGTFQEAQSRLNEAAAGLNQAATELVQASRGTPQDLARASGRFGQDFSTFLEAGVEMAGQAPSQEDRAQVVSNLKGISMSSSKLLLAAKALSTDPAAPNLKSQLAAAARAVTDSINQLITMCTQQAPGQKECDNALRELETVRELLENPVQPINDMSYFGCLDSVMENSKVLGEAMTGISQNAKNGNLPEFGDAISTASKALCGFTEAAAQAAYLVGVSDPNSQAGQQGLVEPTQFARANQAIQMACQSLGEPGCTQAQVLSAATIVAKHTSALCNSCRLASARTTNPTAKRQFVQSAKEVANSTANLVKTIKALDGAFTEENRAQCRAATAPLLEAVDNLSAFASNPEFSSIPAQISPEGRAAMEPIVISAKTMLESAGGLIQTARALAVNPRDPPSWSVLAGHSRTVSDSIKKLITSMRDKAPGQLECETAIAALNSCLRDLDQASLAAVSQQLAPREGISQEALHTQMLTAVQEISHLIEPLANAARAEASQLGHKVSQMAQYFEPLTLAAVGAASKTLSHPQQMALLDQTKTLAESALQLLYTAKEAGGNPKQAAHTQEALEEAVQMMTEAVEDLTTTLNEAASAAGVVGGMVDSITQAINQLDEGPMGEPEGSFVDYQTTMVRTAKAIAVTVQEMVTKSNTSPEELGPLANQLTSDYGRLASEAKPAAVAAENEEIGSHIKHRVQELGHGCAALVTKAGALQCSPSDAYTKKELIECARRVSEKVSHVLAALQAGNRGTQACITAASAVSGIIADLDTTIMFATAGTLNREGTETFADHREGILKTAKVLVEDTKVLVQNAAGSQEKLAQAAQSSVATITRLADVVKLGAASLGAEDPETQVVLINAVKDVAKALGDLISATKAAAGKVGDDPAVWQLKNSAKVMVTNVTSLLKTVKAVEDEATKGTRALEATTEHIRQELAVFCSPEPPAKTSTPEDFIRMTKGITMATAKAVAAGNSCRQEDVIATANLSRRAIADMLRACKEAAYHPEVAPDVRLRALHYGRECANGYLELLDHVLLTLQKPSPELKQQLTGHSKRVAGSVTELIQAAEAMKGTEWVDPEDPTVIAENELLGAAAAIEAAAKKLEQLKPRAKPKEADESLNFEEQILEAAKSIAAATSALVKAASAAQRELVAQGKVGAIPANALDDGQWSQGLISAARMVAAATNNLCEAANAAVQGHASQEKLISSAKQVAASTAQLLVACKVKADQDSEAMKRLQAAGNAVKRASDNLVKAAQKAAAFEEQENETVVVKEKMVGGIAQIIAAQEEMLRKERELEEARKKLAQIRQQQYKFLPSELRDEH
+>DECOY_sp|Q9Y490|TLN1_HUMAN Talin-1 OS=Homo sapiens OX=9606 GN=TLN1 PE=1 SV=3
+HEDRLESPLFKYQQQRIQALKKRAEELEREKRLMEEQAAIIQAIGGVMKEKVVVTENEQEEFAAAKQAAKVLNDSARKVANGAAQLRKMAESDQDAKVKCAVLLQATSAAVQKASSILKEQSAHGQVAANAAECLNNTAAAVMRAASILGQSWQGDDLANAPIAGVKGQAVLERQAASAAKVLASTAAAISKAAELIQEEFNLSEDAEKPKARPKLQELKKAAAEIAAAAGLLENEAIVTPDEPDVWETGKMAEAAQILETVSGAVRKSHGTLQQKLEPSPKQLTLLVHDLLELYGNACERGYHLARLRVDPAVEPHYAAEKCARLMDAIARRSLNATAIVDEQRCSNGAAVAKATAMTIGKTMRIFDEPTSTKAPPEPSCFVALEQRIHETTAELARTGKTAEDEVAKVTKLLSTVNTVMVKASNKLQWVAPDDGVKGAAAKTASILDGLAKAVDKVANILVVQTEPDEAGLSAAGLKVVDALRTITAVSSQAAQALKEQSGAANQVLVKTDEVLVKATKLIGERHDAFTETGERNLTGATAFMITTDLDAIIGSVASAATICAQTGRNGAQLAALVHSVKESVRRACEILEKKTYADSPSCQLAGAKTVLAACGHGLEQVRHKIHSGIEENEAAVAAPKAESALRGYDSTLQNALPGLEEPSTNSKTVMEQVTVAIAKATRVMTTQYDVFSGEPEGMPGEDLQNIAQTISDVMGGVVGAASAAENLTTTLDEVAETMMQVAEELAEQTHAAQKPNGGAEKATYLLQLASEALTKTQDLLAMQQPHSLTKSAAGVAALTLPEFYQAMQSVKHGLQSAEARAANALPEILHSIEQVATLMQTHLAEQSIGERPALQQSVAALSAQDLDRLCSNLAAIATECELQGPAKDRMSTILKKISDSVTRSHGALVSWSPPDRPNVALARATQILGGASELMTKASIVIPEMAARGEPSIQAPISSFEPNSAFASLNDVAELLPATAARCQARNEETFAGDLAKITKVLNATSNAVEKASQVFQRKATPNTTRASALRCSNCLASTHKAVITAASLVQAQTCGPEGLSQCAMQIAQNARAFQTPEVLGQQGAQSNPDSVGVLYAAQAAAETFGCLAKSATSIADGFEPLNGNKANQSIGTMAEGLVKSNEMVSDLCGFYSMDNIPQVPNELLERVTELERLANDCEKQGPAQQTCMTILQNISDTVARAAAALQSKLNPAAPDTSLAKAALLLKSSSMSIGKLNSVVQARDEQSPAQGAMEVGAELFTSFDQGFRGSARALDQPTGRSAQVLETAAQNLGAAAENLRSQAEQFTGTSPPLSDSLLRKSADGVARLANDVDRQGPLCSVCRNLAQTVAKAVQALRQQSEPDGPHGAAKKAEEILSSAKDLVDSATDLVIAQVAPDSTLAAVGRAAQALSRLGGAVDRAAIGAYNENGQAVEGLLQAIASSVAKTSNGLDQTCKEMTEGPLPKLKGDRAAAKVEQLDKELNQVVSLASDMELPGCAEQAKQAATRLEALATGLNKACQSLQMASAQDQITPVSAKAAAVMKGGPQLFSQSAAILALQASPSDPQAQSGRVGQVLLPIQEAVAKCSQVLLPQPGASAKPTSAAHQAAAITQTASAAAQKAAHELRQVLKKKIANQAAANTAMRLGEAAERLRQQQEESDPHAAAGKAAEVMKATADALIKAASLLKRSNELDSEGEADAKIANVLDSTAQALIRAQRVMEGADGMSSFINETVTLITDTAQDYRGAPGAGTAHAKVHQLLENLAQTVATAAAGVGRLLQGDETAAQSASVCGEVAKAVLRGAEVLQEQCVPSSITPAVVKTCAVLQSTSLACQTAAAIVQTQLGSDETRQAVSKAKLVLAAAASAVAKALQMLADQFHPDTDSEGIQQLLEGSAQGVNGAAQLLNQRPEASAPQASRLLESVAGALGKAAQLLPRGSGGEDELLAALLKVGRSMETLNSSITTVACGVATYDTEAPDGATLNVVSATGATIADVQSHIEHKSEDMKNKRWAKSAADQGLPPLTDFDDLTAQAAQVAQMSSNITGTLAQQASTLPPMHGRHMQGSTIQQQAPPMSGVQFNEPGSAGSRMIAPLAVSGHEVKGVRNYQQQLVTSKKPSVSDELMTSEEDGELGFHDKSKKKKLIIDIYGAILQAIQEGETTQVSYYGDQYDGFDLTFSKPSAAWRKINTLNWEQIVEKTKEDVRMVCEKTIGLLRPVLKNKGKMKEKVLFFSVGYTKLSRALKVYRVKAEIESMQGCNKHAQFIKREGKQKVYEKPLFDKLDLFGAKHKQENHPGFQIQCQFGAFECAKDFSVPHSGNLIDDRAQVYLLNLQVPDRSDVNQDSYFFKRRLLLTEHEEVGQERLTRGHDLWNLEDDTHLKQKLKEMKKEDRLLTKDKRLTGTIEEKKEEMLERVLSYEDHNTIGIRACITMLMDTVTKSDDVMITKVTGDLMRIKLPRQKKRYEMTDGNRLMYYDLAKGAELWIGKKPDDDSLFLGFDSPPGAPAEPIRERIIRCADYVMTSPEFQMTKVVNGISIKLSLAVM
+>sp|Q86X19|TMM17_HUMAN Transmembrane protein 17 OS=Homo sapiens OX=9606 GN=TMEM17 PE=1 SV=2
+MELPDPVRQRLGNFSRAVFSDSNRTGPESNEGPENEMVSSLALQMSLYFNTYYFPLWWVSSIMMLHMKYSILPDYYKFIVITVIILITLIEAIRLYLGYVGNLQEKVPELAGFWLLSLLLQLPLILFLLFNEGLTNLPLEKAIHIIFTLFLAFQVVAAFLTLRKMVNQLAVRFHLQDFDRLSANRGDMRRMRSCIEEI
+>DECOY_sp|Q86X19|TMM17_HUMAN Transmembrane protein 17 OS=Homo sapiens OX=9606 GN=TMEM17 PE=1 SV=2
+IEEICSRMRRMDGRNASLRDFDQLHFRVALQNVMKRLTLFAAVVQFALFLTFIIHIAKELPLNTLGENFLLFLILPLQLLLSLLWFGALEPVKEQLNGVYGLYLRIAEILTILIIVTIVIFKYYDPLISYKMHLMMISSVWWLPFYYTNFYLSMQLALSSVMENEPGENSEPGTRNSDSFVARSFNGLRQRVPDPLEM
+>sp|Q5JXX7|TMM31_HUMAN Transmembrane protein 31 OS=Homo sapiens OX=9606 GN=TMEM31 PE=1 SV=1
+MRLTEKSEGEQQLKPNNSNAPNEDQEEEIQQSEQHTPARQRTQRADTQPSRCRLPSRRTPTTSSDRTINLLEVLPWPTEWIFNPYRLPALFELYPEFLLVFKEAFHDISHCLKAQMEKIGLPIILHLFALSTLYFYKFFLPTILSLSFFILLVLLLLLFIIVFILIFF
+>DECOY_sp|Q5JXX7|TMM31_HUMAN Transmembrane protein 31 OS=Homo sapiens OX=9606 GN=TMEM31 PE=1 SV=1
+FFILIFVIIFLLLLLVLLIFFSLSLITPLFFKYFYLTSLAFLHLIIPLGIKEMQAKLCHSIDHFAEKFVLLFEPYLEFLAPLRYPNFIWETPWPLVELLNITRDSSTTPTRRSPLRCRSPQTDARQTRQRAPTHQESQQIEEEQDENPANSNNPKLQQEGESKETLRM
+>sp|P57088|TMM33_HUMAN Transmembrane protein 33 OS=Homo sapiens OX=9606 GN=TMEM33 PE=1 SV=2
+MADTTPNGPQGAGAVQFMMTNKLDTAMWLSRLFTVYCSALFVLPLLGLHEAASFYQRALLANALTSALRLHQRLPHFQLSRAFLAQALLEDSCHYLLYSLIFVNSYPVTMSIFPVLLFSLLHAATYTKKVLDARGSNSLPLLRSVLDKLSANQQNILKFIACNEIFLMPATVFMLFSGQGSLLQPFIYYRFLTLRYSSRRNPYCRTLFNELRIVVEHIIMKPACPLFVRRLCLQSIAFISRLAPTVP
+>DECOY_sp|P57088|TMM33_HUMAN Transmembrane protein 33 OS=Homo sapiens OX=9606 GN=TMEM33 PE=1 SV=2
+PVTPALRSIFAISQLCLRRVFLPCAPKMIIHEVVIRLENFLTRCYPNRRSSYRLTLFRYYIFPQLLSGQGSFLMFVTAPMLFIENCAIFKLINQQNASLKDLVSRLLPLSNSGRADLVKKTYTAAHLLSFLLVPFISMTVPYSNVFILSYLLYHCSDELLAQALFARSLQFHPLRQHLRLASTLANALLARQYFSAAEHLGLLPLVFLASCYVTFLRSLWMATDLKNTMMFQVAGAGQPGNPTTDAM
+>sp|Q969K7|TMM54_HUMAN Transmembrane protein 54 OS=Homo sapiens OX=9606 GN=TMEM54 PE=2 SV=1
+MCLRLGGLSVGDFRKVLMKTGLVLVVLGHVSFITAALFHGTVLRYVGTPQDAVALQYCVVNILSVTSAIVVITSGIAAIVLSRYLPSTPLRWTVFSSSVACALLSLTCALGLLASIAMTFATQGKALLAACTFGSSELLALAPDCPFDPTRIYSSSLCLWGIALVLCVAENVFAVRCAQLTHQLLELRPWWGKSSHHMMRENPELVEGRDLLSCTSSEPLTL
+>DECOY_sp|Q969K7|TMM54_HUMAN Transmembrane protein 54 OS=Homo sapiens OX=9606 GN=TMEM54 PE=2 SV=1
+LTLPESSTCSLLDRGEVLEPNERMMHHSSKGWWPRLELLQHTLQACRVAFVNEAVCLVLAIGWLCLSSSYIRTPDFPCDPALALLESSGFTCAALLAKGQTAFTMAISALLGLACTLSLLACAVSSSFVTWRLPTSPLYRSLVIAAIGSTIVVIASTVSLINVVCYQLAVADQPTGVYRLVTGHFLAATIFSVHGLVVLVLGTKMLVKRFDGVSLGGLRLCM
+>sp|Q9BXS4|TMM59_HUMAN Transmembrane protein 59 OS=Homo sapiens OX=9606 GN=TMEM59 PE=1 SV=1
+MAAPKGSLWVRTQLGLPPLLLLTMALAGGSGTASAEAFDSVLGDTASCHRACQLTYPLHTYPKEEELYACQRGCRLFSICQFVDDGIDLNRTKLECESACTEAYSQSDEQYACHLGCQNQLPFAELRQEQLMSLMPKMHLLFPLTLVRSFWSDMMDSAQSFITSSWTFYLQADDGKIVIFQSKPEIQYAPHLEQEPTNLRESSLSKMSYLQMRNSQAHRNFLEDGESDGFLRCLSLNSGWILTTTLVLSVMVLLWICCATVATAVEQYVPSEKLSIYGDLEFMNEQKLNRYPASSLVVVRSKTEDHEEAGPLPTKVNLAHSEI
+>DECOY_sp|Q9BXS4|TMM59_HUMAN Transmembrane protein 59 OS=Homo sapiens OX=9606 GN=TMEM59 PE=1 SV=1
+IESHALNVKTPLPGAEEHDETKSRVVVLSSAPYRNLKQENMFELDGYISLKESPVYQEVATAVTACCIWLLVMVSLVLTTTLIWGSNLSLCRLFGDSEGDELFNRHAQSNRMQLYSMKSLSSERLNTPEQELHPAYQIEPKSQFIVIKGDDAQLYFTWSSTIFSQASDMMDSWFSRVLTLPFLLHMKPMLSMLQEQRLEAFPLQNQCGLHCAYQEDSQSYAETCASECELKTRNLDIGDDVFQCISFLRCGRQCAYLEEEKPYTHLPYTLQCARHCSATDGLVSDFAEASATGSGGALAMTLLLLPPLGLQTRVWLSGKPAAM
+>sp|Q6PI78|TMM65_HUMAN Transmembrane protein 65 OS=Homo sapiens OX=9606 GN=TMEM65 PE=1 SV=2
+MSRLLPLLRSRTARSLRPGPAAAAAPRPPSWCCCGRGLLALAPPGGLPGGPRRLGTHPKKEPMEALNTAQGARDFIYSLHSTERSCLLKELHRFESIAIAQEKLEAPPPTPGQLRYVFIHNAIPFIGFGFLDNAIMIVAGTHIEMSIGIILGISTMAAAALGNLVSDLAGLGLAGYVEALASRLGLSIPDLTPKQVDMWQTRLSTHLGKAVGVTIGCILGMFPLIFFGGGEEDEKLETKS
+>DECOY_sp|Q6PI78|TMM65_HUMAN Transmembrane protein 65 OS=Homo sapiens OX=9606 GN=TMEM65 PE=1 SV=2
+SKTELKEDEEGGGFFILPFMGLICGITVGVAKGLHTSLRTQWMDVQKPTLDPISLGLRSALAEVYGALGLGALDSVLNGLAAAAMTSIGLIIGISMEIHTGAVIMIANDLFGFGIFPIANHIFVYRLQGPTPPPAELKEQAIAISEFRHLEKLLCSRETSHLSYIFDRAGQATNLAEMPEKKPHTGLRRPGGPLGGPPALALLGRGCCCWSPPRPAAAAAPGPRLSRATRSRLLPLLRSM
+>sp|Q5T7P6|TMM78_HUMAN Transmembrane protein 78 OS=Homo sapiens OX=9606 GN=TMEM78 PE=2 SV=1
+MWLDTRNLGIVISCWKGVCVPQTTTLEMFYNDNDRVEESSNSYQIRREFLLPACLPAFLSFSTSFSFFLSFLPLSLSLFLPFFPSFFLSLSLSPSFLPSFLRQGLALSPRLECDGAIMIHCSLNIPGSSDPPTSAS
+>DECOY_sp|Q5T7P6|TMM78_HUMAN Transmembrane protein 78 OS=Homo sapiens OX=9606 GN=TMEM78 PE=2 SV=1
+SASTPPDSSGPINLSCHIMIAGDCELRPSLALGQRLFSPLFSPSLSLSLFFSPFFPLFLSLSLPLFSLFFSFSTSFSLFAPLCAPLLFERRIQYSNSSEEVRDNDNYFMELTTTQPVCVGKWCSIVIGLNRTDLWM
+>sp|Q6PEY1|TMM88_HUMAN Transmembrane protein 88 OS=Homo sapiens OX=9606 GN=TMEM88 PE=1 SV=1
+MADVPGAQRAVPGDGPEPRDPLDCWACAVLVTAQNLLVAAFNLLLLVLVLGTILLPAVTMLGFGFLCHSQFLRSQAPPCTAHLRDPGFTALLVTGFLLLVPLLVLALASYRRLCLRLRLADCLVPYSRALYRRRRAPQPRQIRASPGSQAVPTSGKVWV
+>DECOY_sp|Q6PEY1|TMM88_HUMAN Transmembrane protein 88 OS=Homo sapiens OX=9606 GN=TMEM88 PE=1 SV=1
+VWVKGSTPVAQSGPSARIQRPQPARRRRYLARSYPVLCDALRLRLCLRRYSALALVLLPVLLLFGTVLLATFGPDRLHATCPPAQSRLFQSHCLFGFGLMTVAPLLITGLVLVLLLLNFAAVLLNQATVLVACAWCDLPDRPEPGDGPVARQAGPVDAM
+>sp|Q6UXU6|TMM92_HUMAN Transmembrane protein 92 OS=Homo sapiens OX=9606 GN=TMEM92 PE=2 SV=1
+MSQAWVPGLAPTLLFSLLAGPQKIAAKCGLILACPKGFKCCGDSCCQENELFPGPVRIFVIIFLVILSVFCICGLAKCFCRNCREPEPDSPVDCRGPLELPSIIPPERVRVSLSAPPPPYSEVILKPSLGPTPTEPPPPYSFRPEEYTGDQRGIDNPAF
+>DECOY_sp|Q6UXU6|TMM92_HUMAN Transmembrane protein 92 OS=Homo sapiens OX=9606 GN=TMEM92 PE=2 SV=1
+FAPNDIGRQDGTYEEPRFSYPPPPETPTPGLSPKLIVESYPPPPASLSVRVREPPIISPLELPGRCDVPSDPEPERCNRCFCKALGCICFVSLIVLFIIVFIRVPGPFLENEQCCSDGCCKFGKPCALILGCKAAIKQPGALLSFLLTPALGPVWAQSM
+>sp|Q3KNT9|TMM95_HUMAN Transmembrane protein 95 OS=Homo sapiens OX=9606 GN=TMEM95 PE=2 SV=1
+MWRLALGGVFLAAAQACVFCRLPAHDLSGRLARLCSQMEARQKECGASPDFSAFALDEVSMNKVTEKTHRVLRVMEIKEAVSSLPSYWSWLRKTKLPEYTREALCPPACRGSTTLYNCSTCKGTEVSCWPRKRCFPGSQDLWEAKILLLSIFGAFLLLGVLSLLVESHHLQAKSGL
+>DECOY_sp|Q3KNT9|TMM95_HUMAN Transmembrane protein 95 OS=Homo sapiens OX=9606 GN=TMEM95 PE=2 SV=1
+LGSKAQLHHSEVLLSLVGLLLFAGFISLLLIKAEWLDQSGPFCRKRPWCSVETGKCTSCNYLTTSGRCAPPCLAERTYEPLKTKRLWSWYSPLSSVAEKIEMVRLVRHTKETVKNMSVEDLAFASFDPSAGCEKQRAEMQSCLRALRGSLDHAPLRCFVCAQAAALFVGGLALRWM
+>sp|P57727|TMPS3_HUMAN Transmembrane protease serine 3 OS=Homo sapiens OX=9606 GN=TMPRSS3 PE=1 SV=2
+MGENDPPAVEAPFSFRSLFGLDDLKISPVAPDADAVAAQILSLLPLKFFPIIVIGIIALILALAIGLGIHFDCSGKYRCRSSFKCIELIARCDGVSDCKDGEDEYRCVRVGGQNAVLQVFTAASWKTMCSDDWKGHYANVACAQLGFPSYVSSDNLRVSSLEGQFREEFVSIDHLLPDDKVTALHHSVYVREGCASGHVVTLQCTACGHRRGYSSRIVGGNMSLLSQWPWQASLQFQGYHLCGGSVITPLWIITAAHCVYDLYLPKSWTIQVGLVSLLDNPAPSHLVEKIVYHSKYKPKRLGNDIALMKLAGPLTFNEMIQPVCLPNSEENFPDGKVCWTSGWGATEDGAGDASPVLNHAAVPLISNKICNHRDVYGGIISPSMLCAGYLTGGVDSCQGDSGGPLVCQERRLWKLVGATSFGIGCAEVNKPGVYTRVTSFLDWIHEQMERDLKT
+>DECOY_sp|P57727|TMPS3_HUMAN Transmembrane protease serine 3 OS=Homo sapiens OX=9606 GN=TMPRSS3 PE=1 SV=2
+TKLDREMQEHIWDLFSTVRTYVGPKNVEACGIGFSTAGVLKWLRREQCVLPGGSDGQCSDVGGTLYGACLMSPSIIGGYVDRHNCIKNSILPVAAHNLVPSADGAGDETAGWGSTWCVKGDPFNEESNPLCVPQIMENFTLPGALKMLAIDNGLRKPKYKSHYVIKEVLHSPAPNDLLSVLGVQITWSKPLYLDYVCHAATIIWLPTIVSGGCLHYGQFQLSAQWPWQSLLSMNGGVIRSSYGRRHGCATCQLTVVHGSACGERVYVSHHLATVKDDPLLHDISVFEERFQGELSSVRLNDSSVYSPFGLQACAVNAYHGKWDDSCMTKWSAATFVQLVANQGGVRVCRYEDEGDKCDSVGDCRAILEICKFSSRCRYKGSCDFHIGLGIALALILAIIGIVIIPFFKLPLLSLIQAAVADADPAVPSIKLDDLGFLSRFSFPAEVAPPDNEGM
+>sp|Q7RTY8|TMPS7_HUMAN Transmembrane protease serine 7 OS=Homo sapiens OX=9606 GN=TMPRSS7 PE=2 SV=3
+MDKENSDVSAAPADLKISNISVQVVSAQKKLPVRRPPLPGRRLPLPGRRPPQRPIGKAKPKKQSKKKVPFWNVQNKIILFTVFLFILAVIAWTLLWLYISKTESKDAFYFAGMFRITNIEFLPEYRQKESREFLSVSRTVQQVINLVYTTSAFSKFYEQSVVADVSSNNKGGLLVHFWIVFVMPRAKGHIFCEDCVAAILKDSIQTSIINRTSVGSLQGLAVDMDSVVLNAGLRSDYSSTIGSDKGCSQYFYAEHLSLHYPLEISAASGRLMCHFKLVAIVGYLIRLSIKSIQIEADNCVTDSLTIYDSLLPIRSSILYRICEPTRTLMSFVSTNNLMLVTFKSPHIRRLSGIRAYFEVIPEQKCENTVLVKDITGFEGKISSPYYPSYYPPKCKCTWKFQTSLSTLGIALKFYNYSITKKSMKGCEHGWWEINEHMYCGSYMDHQTIFRVPSPLVHIQLQCSSRLSDKPLLAEYGSYNISQPCPVGSFRCSSGLCVPQAQRCDGVNDCFDESDELFCVSPQPACNTSSFRQHGPLICDGFRDCENGRDEQNCTQSIPCNNRTFKCGNDICFRKQNAKCDGTVDCPDGSDEEGCTCSRSSSALHRIIGGTDTLEGGWPWQVSLHFVGSAYCGASVISREWLLSAAHCFHGNRLSDPTPWTAHLGMYVQGNAKFVSPVRRIVVHEYYNSQTFDYDIALLQLSIAWPETLKQLIQPICIPPTGQRVRSGEKCWVTGWGRRHEADNKGSLVLQQAEVELIDQTLCVSTYGIITSRMLCAGIMSGKRDACKGDSGGPLSCRRKSDGKWILTGIVSWGHGSGRPNFPGVYTRVSNFVPWIHKYVPSLL
+>DECOY_sp|Q7RTY8|TMPS7_HUMAN Transmembrane protease serine 7 OS=Homo sapiens OX=9606 GN=TMPRSS7 PE=2 SV=3
+LLSPVYKHIWPVFNSVRTYVGPFNPRGSGHGWSVIGTLIWKGDSKRRCSLPGGSDGKCADRKGSMIGACLMRSTIIGYTSVCLTQDILEVEAQQLVLSGKNDAEHRRGWGTVWCKEGSRVRQGTPPICIPQILQKLTEPWAISLQLLAIDYDFTQSNYYEHVVIRRVPSVFKANGQVYMGLHATWPTPDSLRNGHFCHAASLLWERSIVSAGCYASGVFHLSVQWPWGGELTDTGGIIRHLASSSRSCTCGEEDSGDPCDVTGDCKANQKRFCIDNGCKFTRNNCPISQTCNQEDRGNECDRFGDCILPGHQRFSSTNCAPQPSVCFLEDSEDFCDNVGDCRQAQPVCLGSSCRFSGVPCPQSINYSGYEALLPKDSLRSSCQLQIHVLPSPVRFITQHDMYSGCYMHENIEWWGHECGKMSKKTISYNYFKLAIGLTSLSTQFKWTCKCKPPYYSPYYPSSIKGEFGTIDKVLVTNECKQEPIVEFYARIGSLRRIHPSKFTVLMLNNTSVFSMLTRTPECIRYLISSRIPLLSDYITLSDTVCNDAEIQISKISLRILYGVIAVLKFHCMLRGSAASIELPYHLSLHEAYFYQSCGKDSGITSSYDSRLGANLVVSDMDVALGQLSGVSTRNIISTQISDKLIAAVCDECFIHGKARPMVFVIWFHVLLGGKNNSSVDAVVSQEYFKSFASTTYVLNIVQQVTRSVSLFERSEKQRYEPLFEINTIRFMGAFYFADKSETKSIYLWLLTWAIVALIFLFVTFLIIKNQVNWFPVKKKSQKKPKAKGIPRQPPRRGPLPLRRGPLPPRRVPLKKQASVVQVSINSIKLDAPAASVDSNEKDM
+>sp|Q969P6|TOP1M_HUMAN DNA topoisomerase I, mitochondrial OS=Homo sapiens OX=9606 GN=TOP1MT PE=1 SV=1
+MRVVRLLRLRAALTLLGEVPRRPASRGVPGSRRTQKGSGARWEKEKHEDGVKWRQLEHKGPYFAPPYEPLPDGVRFFYEGRPVRLSVAAEEVATFYGRMLDHEYTTKEVFRKNFFNDWRKEMAVEEREVIKSLDKCDFTEIHRYFVDKAAARKVLSREEKQKLKEEAEKLQQEFGYCILDGHQEKIGNFKIEPPGLFRGRGDHPKMGMLKRRITPEDVVINCSRDSKIPEPPAGHQWKEVRSDNTVTWLAAWTESVQNSIKYIMLNPCSKLKGETAWQKFETARRLRGFVDEIRSQYRADWKSREMKTRQRAVALYFIDKLALRAGNEKEDGEAADTVGCCSLRVEHVQLHPEADGCQHVVEFDFLGKDCIRYYNRVPVEKPVYKNLQLFMENKDPRDDLFDRLTTTSLNKHLQELMDGLTAKVFRTYNASITLQEQLRALTRAEDSIAAKILSYNRANRVVAILCNHQRATPSTFEKSMQNLQTKIQAKKEQVAEARAELRRARAEHKAQGDGKSRSVLEKKRRLLEKLQEQLAQLSVQATDKEENKQVALGTSKLNYLDPRISIAWCKRFRVPVEKIYSKTQRERFAWALAMAGEDFEF
+>DECOY_sp|Q969P6|TOP1M_HUMAN DNA topoisomerase I, mitochondrial OS=Homo sapiens OX=9606 GN=TOP1MT PE=1 SV=1
+FEFDEGAMALAWAFRERQTKSYIKEVPVRFRKCWAISIRPDLYNLKSTGLAVQKNEEKDTAQVSLQALQEQLKELLRRKKELVSRSKGDGQAKHEARARRLEARAEAVQEKKAQIKTQLNQMSKEFTSPTARQHNCLIAVVRNARNYSLIKAAISDEARTLARLQEQLTISANYTRFVKATLGDMLEQLHKNLSTTTLRDFLDDRPDKNEMFLQLNKYVPKEVPVRNYYRICDKGLFDFEVVHQCGDAEPHLQVHEVRLSCCGVTDAAEGDEKENGARLALKDIFYLAVARQRTKMERSKWDARYQSRIEDVFGRLRRATEFKQWATEGKLKSCPNLMIYKISNQVSETWAALWTVTNDSRVEKWQHGAPPEPIKSDRSCNIVVDEPTIRRKLMGMKPHDGRGRFLGPPEIKFNGIKEQHGDLICYGFEQQLKEAEEKLKQKEERSLVKRAAAKDVFYRHIETFDCKDLSKIVEREEVAMEKRWDNFFNKRFVEKTTYEHDLMRGYFTAVEEAAVSLRVPRGEYFFRVGDPLPEYPPAFYPGKHELQRWKVGDEHKEKEWRAGSGKQTRRSGPVGRSAPRRPVEGLLTLAARLRLLRVVRM
+>sp|Q9NS56|TOPRS_HUMAN E3 ubiquitin-protein ligase Topors OS=Homo sapiens OX=9606 GN=TOPORS PE=1 SV=1
+MGSQPPLGSPLSREEGEAPPPAPASEGRRRSRRVRLRGSCRHRPSFLGCRELAASAPARPAPASSEIMASAAKEFKMDNFSPKAGTSKLQQTVPADASPDSKCPICLDRFDNVSYLDRCLHKFCFRCVQEWSKNKAECPLCKQPFDSIFHSVRAEDDFKEYVLRPSYNGSFVTPDRRFRYRTTLTRERNASVYSPSGPVNRRTTTPPDSGVLFEGLGISTRPRDVEIPQFMRQIAVRRPTTADERSLRKIQEQDIINFRRTLYRAGARVRNIEDGGRYRDISAEFFRRNPACLHRLVPWLKRELTVLFGAHGSLVNIVQHIIMSNVTRYDLESQAFVSDLRPFLLNRTEHFIHEFISFARSPFNMAAFDQHANYDCPAPSYEEGSHSDSSVITISPDEAETQELDINVATVSQAPWDDETPGPSYSSSEQVHVTMSSLLNTSDSSDEELVTGGATSQIQGVQTNDDLNNDSDDSSDNCVIVGFVKPLAERTPELVELSSDSEDLGSYEKMETVKTQEQEQSYSSGDSDVSRCSSPHSVLGKDEQINKGHCDSSTRIKSKKEEKRSTSLSSPRNLNSSVRGDRVYSPYNHRHRKRGRSRSSDSRSQSRSGHDQKNHRKHHGKKRMKSKRSRSRESSRPRGRRDKKRSRTRDSSWSRRSQTLSLSSESTSRSRSRSSDHGKRRSRSRNRDRYYLRNNYGSRYKWEYTYYSRNKDRDGYESSYRRRTLSRAHYSRQSSSPEFRVQSFSERTNARKKNNHSERKYYYYERHRSRSLSSNRSRTASTGTDRVRNEKPGGKRKYKTRHLEGTNEVAQPSREFASKAKDSHYQKSSSKLDGNYKNESDTFSDSRSSDRETKHKRRKRKTRSLSVEIVYEGKATDTTKHHKKKKKKHKKKHKKHHGDNASRSPVVITIDSDSDKDSEVKEDTECDNSGPQDPLQNEFLAPSLEPFETKDVVTIEAEFGVLDKECDIATLSNNLNNANKTVDNIPPLAASVEQTLDVREESTFVSDLENQPSNIVSLQTEPSRQLPSPRTSLMSVCLGRDCDMS
+>DECOY_sp|Q9NS56|TOPRS_HUMAN E3 ubiquitin-protein ligase Topors OS=Homo sapiens OX=9606 GN=TOPORS PE=1 SV=1
+SMDCDRGLCVSMLSTRPSPLQRSPETQLSVINSPQNELDSVFTSEERVDLTQEVSAALPPINDVTKNANNLNNSLTAIDCEKDLVGFEAEITVVDKTEFPELSPALFENQLPDQPGSNDCETDEKVESDKDSDSDITIVVPSRSANDGHHKKHKKKHKKKKKKHHKTTDTAKGEYVIEVSLSRTKRKRRKHKTERDSSRSDSFTDSENKYNGDLKSSSKQYHSDKAKSAFERSPQAVENTGELHRTKYKRKGGPKENRVRDTGTSATRSRNSSLSRSRHREYYYYKRESHNNKKRANTRESFSQVRFEPSSSQRSYHARSLTRRRYSSEYGDRDKNRSYYTYEWKYRSGYNNRLYYRDRNRSRSRRKGHDSSRSRSRSTSESSLSLTQSRRSWSSDRTRSRKKDRRGRPRSSERSRSRKSKMRKKGHHKRHNKQDHGSRSQSRSDSSRSRGRKRHRHNYPSYVRDGRVSSNLNRPSSLSTSRKEEKKSKIRTSSDCHGKNIQEDKGLVSHPSSCRSVDSDGSSYSQEQEQTKVTEMKEYSGLDESDSSLEVLEPTREALPKVFGVIVCNDSSDDSDNNLDDNTQVGQIQSTAGGTVLEEDSSDSTNLLSSMTVHVQESSSYSPGPTEDDWPAQSVTAVNIDLEQTEAEDPSITIVSSDSHSGEEYSPAPCDYNAHQDFAAMNFPSRAFSIFEHIFHETRNLLFPRLDSVFAQSELDYRTVNSMIIHQVINVLSGHAGFLVTLERKLWPVLRHLCAPNRRFFEASIDRYRGGDEINRVRAGARYLTRRFNIIDQEQIKRLSREDATTPRRVAIQRMFQPIEVDRPRTSIGLGEFLVGSDPPTTTRRNVPGSPSYVSANRERTLTTRYRFRRDPTVFSGNYSPRLVYEKFDDEARVSHFISDFPQKCLPCEAKNKSWEQVCRFCFKHLCRDLYSVNDFRDLCIPCKSDPSADAPVTQQLKSTGAKPSFNDMKFEKAASAMIESSAPAPRAPASAALERCGLFSPRHRCSGRLRVRRSRRRGESAPAPPPAEGEERSLPSGLPPQSGM
+>sp|O14656|TOR1A_HUMAN Torsin-1A OS=Homo sapiens OX=9606 GN=TOR1A PE=1 SV=1
+MKLGRAVLGLLLLAPSVVQAVEPISLGLALAGVLTGYIYPRLYCLFAECCGQKRSLSREALQKDLDDNLFGQHLAKKIILNAVFGFINNPKPKKPLTLSLHGWTGTGKNFVSKIIAENIYEGGLNSDYVHLFVATLHFPHASNITLYKDQLQLWIRGNVSACARSIFIFDEMDKMHAGLIDAIKPFLDYYDLVDGVSYQKAMFIFLSNAGAERITDVALDFWRSGKQREDIKLKDIEHALSVSVFNNKNSGFWHSSLIDRNLIDYFVPFLPLEYKHLKMCIRVEMQSRGYEIDEDIVSRVAEEMTFFPKEERVFSDKGCKTVFTKLDYYYDD
+>DECOY_sp|O14656|TOR1A_HUMAN Torsin-1A OS=Homo sapiens OX=9606 GN=TOR1A PE=1 SV=1
+DDYYYDLKTFVTKCGKDSFVREEKPFFTMEEAVRSVIDEDIEYGRSQMEVRICMKLHKYELPLFPVFYDILNRDILSSHWFGSNKNNFVSVSLAHEIDKLKIDERQKGSRWFDLAVDTIREAGANSLFIFMAKQYSVGDVLDYYDLFPKIADILGAHMKDMEDFIFISRACASVNGRIWLQLQDKYLTINSAHPFHLTAVFLHVYDSNLGGEYINEAIIKSVFNKGTGTWGHLSLTLPKKPKPNNIFGFVANLIIKKALHQGFLNDDLDKQLAERSLSRKQGCCEAFLCYLRPYIYGTLVGALALGLSIPEVAQVVSPALLLLGLVARGLKM
+>sp|Q9NXH8|TOR4A_HUMAN Torsin-4A OS=Homo sapiens OX=9606 GN=TOR4A PE=1 SV=2
+MDRGQPSLEPAAAAPRASGRCVIAPVRAVLRLRRRVCVLRKRRLLQPGGGPDVGTGAPRPGCSPRAPRADLDQPKFFTFDSPAELPSRTPRKKRRRSRLVLYPETSRKYRPRVEHRSRAQRCLLLLVAIVGFQVLNAIENLDDNAQRYDLDGLEKALQRAVFGQPAAVSRIVALMRDYLATHVHSRPLLLALHGPSGVGKSHVGRLLARHFRSVLEDSALVLQYHARHHCPEARAAQDCREELARRVADVVARAEAEEKTPLLVLDDVELMPRPLLDELHGFLQPQRSHHFHNAIYVLLSGAGGAEVTRFVLQNASRALPLRPDGFRSAEAAAAQAEEDLRASLLAVLSREHPLWQAAAIVPFLLLDKRDVVSCFRDEMAGEGFFPDQARAENLAAQLSFYRVAGREFAVTGCKQVVATVNLL
+>DECOY_sp|Q9NXH8|TOR4A_HUMAN Torsin-4A OS=Homo sapiens OX=9606 GN=TOR4A PE=1 SV=2
+LLNVTAVVQKCGTVAFERGAVRYFSLQAALNEARAQDPFFGEGAMEDRFCSVVDRKDLLLFPVIAAAQWLPHERSLVALLSARLDEEAQAAAAEASRFGDPRLPLARSANQLVFRTVEAGGAGSLLVYIANHFHHSRQPQLFGHLEDLLPRPMLEVDDLVLLPTKEEAEARAVVDAVRRALEERCDQAARAEPCHHRAHYQLVLASDELVSRFHRALLRGVHSKGVGSPGHLALLLPRSHVHTALYDRMLAVIRSVAAPQGFVARQLAKELGDLDYRQANDDLNEIANLVQFGVIAVLLLLCRQARSRHEVRPRYKRSTEPYLVLRSRRRKKRPTRSPLEAPSDFTFFKPQDLDARPARPSCGPRPAGTGVDPGGGPQLLRRKRLVCVRRRLRLVARVPAIVCRGSARPAAAAPELSPQGRDM
+>sp|Q96NM4|TOX2_HUMAN TOX high mobility group box family member 2 OS=Homo sapiens OX=9606 GN=TOX2 PE=1 SV=2
+MQQTRTEAVAGAFSRCLGFCGMRLGLLLLARHWCIAGVFPQKFDGDSAYVGMSDGNPELLSTSQTYNGQSENNEDYEIPPITPPNLPEPSLLHLGDHEASYHSLCHGLTPNGLLPAYSYQAMDLPAIMVSNMLAQDSHLLSGQLPTIQEMVHSEVAAYDSGRPGPLLGRPAMLASHMSALSQSQLISQMGIRSSIAHSSPSPPGSKSATPSPSSSTQEEESEVHFKISGEKRPSADPGKKAKNPKKKKKKDPNEPQKPVSAYALFFRDTQAAIKGQNPSATFGDVSKIVASMWDSLGEEQKQSSPDQGETKSTQANPPAKMLPPKQPMYAMPGLASFLTPSDLQAFRSGASPASLARTLGSKSLLPGLSASPPPPPSFPLSPTLHQQLSLPPHAQGALLSPPVSMSPAPQPPVLPTPMALQVQLAMSPSPPGPQDFPHISEFPSSSGSCSPGPSNPTSSGDWDSSYPSGECGISTCSLLPRDKSLYLT
+>DECOY_sp|Q96NM4|TOX2_HUMAN TOX high mobility group box family member 2 OS=Homo sapiens OX=9606 GN=TOX2 PE=1 SV=2
+TLYLSKDRPLLSCTSIGCEGSPYSSDWDGSSTPNSPGPSCSGSSSPFESIHPFDQPGPPSPSMALQVQLAMPTPLVPPQPAPSMSVPPSLLAGQAHPPLSLQQHLTPSLPFSPPPPPSASLGPLLSKSGLTRALSAPSAGSRFAQLDSPTLFSALGPMAYMPQKPPLMKAPPNAQTSKTEGQDPSSQKQEEGLSDWMSAVIKSVDGFTASPNQGKIAAQTDRFFLAYASVPKQPENPDKKKKKKPNKAKKGPDASPRKEGSIKFHVESEEEQTSSSPSPTASKSGPPSPSSHAISSRIGMQSILQSQSLASMHSALMAPRGLLPGPRGSDYAAVESHVMEQITPLQGSLLHSDQALMNSVMIAPLDMAQYSYAPLLGNPTLGHCLSHYSAEHDGLHLLSPEPLNPPTIPPIEYDENNESQGNYTQSTSLLEPNGDSMGVYASDGDFKQPFVGAICWHRALLLLGLRMGCFGLCRSFAGAVAETRTQQM
+>sp|O15405|TOX3_HUMAN TOX high mobility group box family member 3 OS=Homo sapiens OX=9606 GN=TOX3 PE=1 SV=2
+MDVRFYPAAAGDPASLDFAQCLGYYGYSKFGNNNNYMNMAEANNAFFAASEQTFHTPSLGDEEFEIPPITPPPESDPALGMPDVLLPFQALSDPLPSQGSEFTPQFPPQSLDLPSITISRNLVEQDGVLHSSGLHMDQSHTQVSQYRQDPSLIMRSIVHMTDAARSGVMPPAQLTTINQSQLSAQLGLNLGGASMPHTSPSPPASKSATPSPSSSINEEDADEANRAIGEKRAAPDSGKKPKTPKKKKKKDPNEPQKPVSAYALFFRDTQAAIKGQNPNATFGEVSKIVASMWDSLGEEQKQVYKRKTEAAKKEYLKALAAYRASLVSKAAAESAEAQTIRSVQQTLASTNLTSSLLLNTPLSQHGTVSASPQTLQQSLPRSIAPKPLTMRLPMNQIVTSVTIAANMPSNIGAPLISSMGTTMVGSAPSTQVSPSVQTQQHQMQLQQQQQQQQQQMQQMQQQQLQQHQMHQQIQQQMQQQHFQHHMQQHLQQQQQHLQQQINQQQLQQQLQQRLQLQQLQHMQHQSQPSPRQHSPVASQITSPIPAIGSPQPASQQHQSQIQSQTQTQVLSQVSIF
+>DECOY_sp|O15405|TOX3_HUMAN TOX high mobility group box family member 3 OS=Homo sapiens OX=9606 GN=TOX3 PE=1 SV=2
+FISVQSLVQTQTQSQIQSQHQQSAPQPSGIAPIPSTIQSAVPSHQRPSPQSQHQMHQLQQLQLRQQLQQQLQQQNIQQQLHQQQQQLHQQMHHQFHQQQMQQQIQQHMQHQQLQQQQMQQMQQQQQQQQQQLQMQHQQTQVSPSVQTSPASGVMTTGMSSILPAGINSPMNAAITVSTVIQNMPLRMTLPKPAISRPLSQQLTQPSASVTGHQSLPTNLLLSSTLNTSALTQQVSRITQAEASEAAAKSVLSARYAALAKLYEKKAAETKRKYVQKQEEGLSDWMSAVIKSVEGFTANPNQGKIAAQTDRFFLAYASVPKQPENPDKKKKKKPTKPKKGSDPAARKEGIARNAEDADEENISSSPSPTASKSAPPSPSTHPMSAGGLNLGLQASLQSQNITTLQAPPMVGSRAADTMHVISRMILSPDQRYQSVQTHSQDMHLGSSHLVGDQEVLNRSITISPLDLSQPPFQPTFESGQSPLPDSLAQFPLLVDPMGLAPDSEPPPTIPPIEFEEDGLSPTHFTQESAAFFANNAEAMNMYNNNNGFKSYGYYGLCQAFDLSAPDGAAAPYFRVDM
+>sp|P0DI82|TPC2B_HUMAN Trafficking protein particle complex subunit 2B OS=Homo sapiens OX=9606 GN=TRAPPC2B PE=1 SV=1
+MSGSFYFVIVGHHDNPVFEMEFLPAGKAESKDDHRHLNQFIAHAALDLVDENMWLSNNMYLKTVDKFNEWFVSAFVTAGHMRFIMLHDIRQEDGIKNFFTDVYDLYIKFSMNPFYEPNSPIRSSAFDRKVQFLGKKHLLS
+>DECOY_sp|P0DI82|TPC2B_HUMAN Trafficking protein particle complex subunit 2B OS=Homo sapiens OX=9606 GN=TRAPPC2B PE=1 SV=1
+SLLHKKGLFQVKRDFASSRIPSNPEYFPNMSFKIYLDYVDTFFNKIGDEQRIDHLMIFRMHGATVFASVFWENFKDVTKLYMNNSLWMNEDVLDLAAHAIFQNLHRHDDKSEAKGAPLFEMEFVPNDHHGVIVFYFSGSM
+>sp|Q8NHX9|TPC2_HUMAN Two pore calcium channel protein 2 OS=Homo sapiens OX=9606 GN=TPCN2 PE=1 SV=2
+MAEPQAESEPLLGGARGGGGDWPAGLTTYRSIQVGPGAAARWDLCIDQAVVFIEDAIQYRSINHRVDASSMWLYRRYYSNVCQRTLSFTIFLILFLAFIETPSSLTSTADVRYRAAPWEPPCGLTESVEVLCLLVFAADLSVKGYLFGWAHFQKNLWLLGYLVVLVVSLVDWTVSLSLVCHEPLRIRRLLRPFFLLQNSSMMKKTLKCIRWSLPEMASVGLLLAIHLCLFTMFGMLLFAGGKQDDGQDRERLTYFQNLPESLTSLLVLLTTANNPDVMIPAYSKNRAYAIFFIVFTVIGSLFLMNLLTAIIYSQFRGYLMKSLQTSLFRRRLGTRAAFEVLSSMVGEGGAFPQAVGVKPQNLLQVLQKVQLDSSHKQAMMEKVRSYGSVLLSAEEFQKLFNELDRSVVKEHPPRPEYQSPFLQSAQFLFGHYYFDYLGNLIALANLVSICVFLVLDADVLPAERDDFILGILNCVFIVYYLLEMLLKVFALGLRGYLSYPSNVFDGLLTVVLLVLEISTLAVYRLPHPGWRPEMVGLLSLWDMTRMLNMLIVFRFLRIIPSMKLMAVVASTVLGLVQNMRAFGGILVVVYYVFAIIGINLFRGVIVALPGNSSLAPANGSAPCGSFEQLEYWANNFDDFAAALVTLWNLMVVNNWQVFLDAYRRYSGPWSKIYFVLWWLVSSVIWVNLFLALILENFLHKWDPRSHLQPLAGTPEATYQMTVELLFRDILEEPGEDELTERLSQHPHLWLCR
+>DECOY_sp|Q8NHX9|TPC2_HUMAN Two pore calcium channel protein 2 OS=Homo sapiens OX=9606 GN=TPCN2 PE=1 SV=2
+RCLWLHPHQSLRETLEDEGPEELIDRFLLEVTMQYTAEPTGALPQLHSRPDWKHLFNELILALFLNVWIVSSVLWWLVFYIKSWPGSYRRYADLFVQWNNVVMLNWLTVLAAAFDDFNNAWYELQEFSGCPASGNAPALSSNGPLAVIVGRFLNIGIIAFVYYVVVLIGGFARMNQVLGLVTSAVVAMLKMSPIIRLFRFVILMNLMRTMDWLSLLGVMEPRWGPHPLRYVALTSIELVLLVVTLLGDFVNSPYSLYGRLGLAFVKLLMELLYYVIFVCNLIGLIFDDREAPLVDADLVLFVCISVLNALAILNGLYDFYYHGFLFQASQLFPSQYEPRPPHEKVVSRDLENFLKQFEEASLLVSGYSRVKEMMAQKHSSDLQVKQLVQLLNQPKVGVAQPFAGGEGVMSSLVEFAARTGLRRRFLSTQLSKMLYGRFQSYIIATLLNMLFLSGIVTFVIFFIAYARNKSYAPIMVDPNNATTLLVLLSTLSEPLNQFYTLRERDQGDDQKGGAFLLMGFMTFLCLHIALLLGVSAMEPLSWRICKLTKKMMSSNQLLFFPRLLRRIRLPEHCVLSLSVTWDVLSVVLVVLYGLLWLNKQFHAWGFLYGKVSLDAAFVLLCLVEVSETLGCPPEWPAARYRVDATSTLSSPTEIFALFLILFITFSLTRQCVNSYYRRYLWMSSADVRHNISRYQIADEIFVVAQDICLDWRAAAGPGVQISRYTTLGAPWDGGGGRAGGLLPESEAQPEAM
+>sp|O75865|TPC6A_HUMAN Trafficking protein particle complex subunit 6A OS=Homo sapiens OX=9606 GN=TRAPPC6A PE=1 SV=2
+MADTVLFEFLHTEMVAELWAHDPDPGPGGQKMSLSVLEGMGFRVGQALGERLPRETLAFREELDVLKFLCKDLWVAVFQKQMDSLRTNHQGTYVLQDNSFPLLLPMASGLQYLEEAPKFLAFTCGLLRGALYTLGIESVVTASVAALPVCKFQVVIPKS
+>DECOY_sp|O75865|TPC6A_HUMAN Trafficking protein particle complex subunit 6A OS=Homo sapiens OX=9606 GN=TRAPPC6A PE=1 SV=2
+SKPIVVQFKCVPLAAVSATVVSEIGLTYLAGRLLGCTFALFKPAEELYQLGSAMPLLLPFSNDQLVYTGQHNTRLSDMQKQFVAVWLDKCLFKLVDLEERFALTERPLREGLAQGVRFGMGELVSLSMKQGGPGPDPDHAWLEAVMETHLFEFLVTDAM
+>sp|Q96J77|TPD55_HUMAN Tumor protein D55 OS=Homo sapiens OX=9606 GN=TPD52L3 PE=1 SV=2
+MPHARTETSVGTYESHSTSELEDLTEPEQRELKTKLTKLEAEIVTLRHVLAAKERRCGELKRKLGLTALVGLRQNLSKSWLDVQVSNTYVKQKTSAALSTMGTLICRKLGGVKKSATFRSFEGLMGTIKSKVSGGKRAWP
+>DECOY_sp|Q96J77|TPD55_HUMAN Tumor protein D55 OS=Homo sapiens OX=9606 GN=TPD52L3 PE=1 SV=2
+PWARKGGSVKSKITGMLGEFSRFTASKKVGGLKRCILTGMTSLAASTKQKVYTNSVQVDLWSKSLNQRLGVLATLGLKRKLEGCRREKAALVHRLTVIEAELKTLKTKLERQEPETLDELESTSHSEYTGVSTETRAHPM
+>sp|Q68CL5|TPGS2_HUMAN Tubulin polyglutamylase complex subunit 2 OS=Homo sapiens OX=9606 GN=TPGS2 PE=2 SV=2
+MEEEASSPGLGCSKPHLEKLTLGITRILESSPGVTEVTIIEKPPAERHMISSWEQKNNCVMPEDVKNFYLMTNGFHMTWSVKLDEHIIPLGSMAINSISKLTQLTQSSMYSLPNAPTLADLEDDTHEASDDQPEKPHFDSRSVIFELDSCNGSGKVCLVYKSGKPALAEDTEIWFLDRALYWHFLTDTFTAYYRLLITHLGLPQWQYAFTSYGISPQAKQWFSMYKPITYNTNLLTEETDSFVNKLDPSKVFKSKNKIVIPKKKGPVQPAGGQKGPSGPSGPSTSSTSKSSSGSGNPTRK
+>DECOY_sp|Q68CL5|TPGS2_HUMAN Tubulin polyglutamylase complex subunit 2 OS=Homo sapiens OX=9606 GN=TPGS2 PE=2 SV=2
+KRTPNGSGSSSKSTSSTSPGSPGSPGKQGGAPQVPGKKKPIVIKNKSKFVKSPDLKNVFSDTEETLLNTNYTIPKYMSFWQKAQPSIGYSTFAYQWQPLGLHTILLRYYATFTDTLFHWYLARDLFWIETDEALAPKGSKYVLCVKGSGNCSDLEFIVSRSDFHPKEPQDDSAEHTDDELDALTPANPLSYMSSQTLQTLKSISNIAMSGLPIIHEDLKVSWTMHFGNTMLYFNKVDEPMVCNNKQEWSSIMHREAPPKEIITVETVGPSSELIRTIGLTLKELHPKSCGLGPSSAEEEM
+>sp|Q9H3S4|TPK1_HUMAN Thiamin pyrophosphokinase 1 OS=Homo sapiens OX=9606 GN=TPK1 PE=1 SV=1
+MEHAFTPLEPLLSTGNLKYCLVILNQPLDNYFRHLWNKALLRACADGGANRLYDITEGERESFLPEFINGDFDSIRPEVREYYATKGCELISTPDQDHTDFTKCLKMLQKKIEEKDLKVDVIVTLGGLAGRFDQIMASVNTLFQATHITPFPIIIIQEESLIYLLQPGKHRLHVDTGMEGDWCGLIPVGQPCMQVTTTGLKWNLTNDVLAFGTLVSTSNTYDGSGVVTVETDHPLLWTMAIKS
+>DECOY_sp|Q9H3S4|TPK1_HUMAN Thiamin pyrophosphokinase 1 OS=Homo sapiens OX=9606 GN=TPK1 PE=1 SV=1
+SKIAMTWLLPHDTEVTVVGSGDYTNSTSVLTGFALVDNTLNWKLGTTTVQMCPQGVPILGCWDGEMGTDVHLRHKGPQLLYILSEEQIIIIPFPTIHTAQFLTNVSAMIQDFRGALGGLTVIVDVKLDKEEIKKQLMKLCKTFDTHDQDPTSILECGKTAYYERVEPRISDFDGNIFEPLFSEREGETIDYLRNAGGDACARLLAKNWLHRFYNDLPQNLIVLCYKLNGTSLLPELPTFAHEM
+>sp|P07951|TPM2_HUMAN Tropomyosin beta chain OS=Homo sapiens OX=9606 GN=TPM2 PE=1 SV=1
+MDAIKKKMQMLKLDKENAIDRAEQAEADKKQAEDRCKQLEEEQQALQKKLKGTEDEVEKYSESVKEAQEKLEQAEKKATDAEADVASLNRRIQLVEEELDRAQERLATALQKLEEAEKAADESERGMKVIENRAMKDEEKMELQEMQLKEAKHIAEDSDRKYEEVARKLVILEGELERSEERAEVAESKCGDLEEELKIVTNNLKSLEAQADKYSTKEDKYEEEIKLLEEKLKEAETRAEFAERSVAKLEKTIDDLEDEVYAQKMKYKAISEELDNALNDITSL
+>DECOY_sp|P07951|TPM2_HUMAN Tropomyosin beta chain OS=Homo sapiens OX=9606 GN=TPM2 PE=1 SV=1
+LSTIDNLANDLEESIAKYKMKQAYVEDELDDITKELKAVSREAFEARTEAEKLKEELLKIEEEYKDEKTSYKDAQAELSKLNNTVIKLEEELDGCKSEAVEAREESRELEGELIVLKRAVEEYKRDSDEAIHKAEKLQMEQLEMKEEDKMARNEIVKMGRESEDAAKEAEELKQLATALREQARDLEEEVLQIRRNLSAVDAEADTAKKEAQELKEQAEKVSESYKEVEDETGKLKKQLAQQEEELQKCRDEAQKKDAEAQEARDIANEKDLKLMQMKKKIADM
+>sp|O94811|TPPP_HUMAN Tubulin polymerization-promoting protein OS=Homo sapiens OX=9606 GN=TPPP PE=1 SV=1
+MADKAKPAKAANRTPPKSPGDPSKDRAAKRLSLESEGAGEGAAASPELSALEEAFRRFAVHGDARATGREMHGKNWSKLCKDCQVIDGRNVTVTDVDIVFSKIKGKSCRTITFEQFQEALEELAKKRFKDKSSEEAVREVHRLIEGKAPIISGVTKAISSPTVSRLTDTTKFTGSHKERFDPSGKGKGKAGRVDLVDESGYVSGYKHAGTYDQKVQGGK
+>DECOY_sp|O94811|TPPP_HUMAN Tubulin polymerization-promoting protein OS=Homo sapiens OX=9606 GN=TPPP PE=1 SV=1
+KGGQVKQDYTGAHKYGSVYGSEDVLDVRGAKGKGKGSPDFREKHSGTFKTTDTLRSVTPSSIAKTVGSIIPAKGEILRHVERVAEESSKDKFRKKALEELAEQFQEFTITRCSKGKIKSFVIDVDTVTVNRGDIVQCDKCLKSWNKGHMERGTARADGHVAFRRFAEELASLEPSAAAGEGAGESELSLRKAARDKSPDGPSKPPTRNAAKAPKAKDAM
+>sp|Q17RH7|TPRXL_HUMAN Putative protein TPRXL OS=Homo sapiens OX=9606 GN=TPRXL PE=5 SV=2
+MTHDKSWRRCSISGSTKCRCGSRIAGPNALGSGGSRSSSSSSRSILSSSILSSSIPSSSSSSSSPSSSHSSSSPSSSHSSSSPSSSSSTSSPSSSSSSSSSSSPSSSNSSSSSSSSSPSSSSSSSSSSPSSSSSSPSSSSSSSSSSPSSSSSSPSSSSSSSSSSSSSPSSSSPSSSGSSPSSSNSSPSSSSSSPSSSSSSPSPRSSSPSSSSSSTSSPSTSSPSSSSPSSSSPSSSCPSAALGRRPQSPQSSHCAPFP
+>DECOY_sp|Q17RH7|TPRXL_HUMAN Putative protein TPRXL OS=Homo sapiens OX=9606 GN=TPRXL PE=5 SV=2
+PFPACHSSQPSQPRRGLAASPCSSSPSSSSPSSSSPSSTSPSSTSSSSSSPSSSRPSPSSSSSSPSSSSSSPSSNSSSPSSGSSSPSSSSPSSSSSSSSSSSSSPSSSSSSPSSSSSSSSSSPSSSSSSPSSSSSSSSSSPSSSSSSSSSNSSSPSSSSSSSSSSSPSSTSSSSSPSSSSHSSSPSSSSHSSSPSSSSSSSSPISSSLISSSLISRSSSSSSRSGGSGLANPGAIRSGCRCKTSGSISCRRWSKDHTM
+>sp|Q9NQ88|TIGAR_HUMAN Fructose-2,6-bisphosphatase TIGAR OS=Homo sapiens OX=9606 GN=TIGAR PE=1 SV=1
+MARFALTVVRHGETRFNKEKIIQGQGVDEPLSETGFKQAAAAGIFLNNVKFTHAFSSDLMRTKQTMHGILERSKFCKDMTVKYDSRLRERKYGVVEGKALSELRAMAKAAREECPVFTPPGGETLDQVKMRGIDFFEFLCQLILKEADQKEQFSQGSPSNCLETSLAEIFPLGKNHSSKVNSDSGIPGLAASVLVVSHGAYMRSLFDYFLTDLKCSLPATLSRSELMSVTPNTGMSLFIINFEEGREVKPTVQCICMNLQDHLNGLTETR
+>DECOY_sp|Q9NQ88|TIGAR_HUMAN Fructose-2,6-bisphosphatase TIGAR OS=Homo sapiens OX=9606 GN=TIGAR PE=1 SV=1
+RTETLGNLHDQLNMCICQVTPKVERGEEFNIIFLSMGTNPTVSMLESRSLTAPLSCKLDTLFYDFLSRMYAGHSVVLVSAALGPIGSDSNVKSSHNKGLPFIEALSTELCNSPSGQSFQEKQDAEKLILQCLFEFFDIGRMKVQDLTEGGPPTFVPCEERAAKAMARLESLAKGEVVGYKRERLRSDYKVTMDKCFKSRELIGHMTQKTRMLDSSFAHTFKVNNLFIGAAAAQKFGTESLPEDVGQGQIIKEKNFRTEGHRVVTLAFRAM
+>sp|Q9BVV7|TIM21_HUMAN Mitochondrial import inner membrane translocase subunit Tim21 OS=Homo sapiens OX=9606 GN=TIMM21 PE=1 SV=1
+MICTFLRAVQYTEKLHRSSAKRLLLPYIVLNKACLKTEPSLRCGLQYQKKTLRPRCILGVTQKTIWTQGPSPRKAKEDGSKQVSVHRSQRGGTAVPTSQKVKEAGRDFTYLIVVLFGISITGGLFYTIFKELFSSSSPSKIYGRALEKCRSHPEVIGVFGESVKGYGEVTRRGRRQHVRFTEYVKDGLKHTCVKFYIEGSEPGKQGTVYAQVKENPGSGEYDFRYIFVEIESYPRRTIIIEDNRSQDD
+>DECOY_sp|Q9BVV7|TIM21_HUMAN Mitochondrial import inner membrane translocase subunit Tim21 OS=Homo sapiens OX=9606 GN=TIMM21 PE=1 SV=1
+DDQSRNDEIIITRRPYSEIEVFIYRFDYEGSGPNEKVQAYVTGQKGPESGEIYFKVCTHKLGDKVYETFRVHQRRGRRTVEGYGKVSEGFVGIVEPHSRCKELARGYIKSPSSSSFLEKFITYFLGGTISIGFLVVILYTFDRGAEKVKQSTPVATGGRQSRHVSVQKSGDEKAKRPSPGQTWITKQTVGLICRPRLTKKQYQLGCRLSPETKLCAKNLVIYPLLLRKASSRHLKETYQVARLFTCIM
+>sp|Q9BSF4|TIM29_HUMAN Mitochondrial import inner membrane translocase subunit Tim29 OS=Homo sapiens OX=9606 GN=TIMM29 PE=1 SV=2
+MAAAALRRFWSRRRAEAGDAVVAKPGVWARLGSWARALLRDYAEACRDASAEARARPGRAAVYVGLLGGAAACFTLAPSEGAFEEALLEASGTLLLLAPATRNRESEAFVQRLLWLRGRGRLRYVNLGLCSLVYEAPFDAQASLYQARCRYLQPRWTDFPGRVLDVGFVGRWWVLGAWMRDCDINDDEFLHLPAHLRVVGPQQLHSETNERLFDEKYKPVVLTDDQVDQALWEEQVLQKEKKDRLALSQAHSLVQAEAPR
+>DECOY_sp|Q9BSF4|TIM29_HUMAN Mitochondrial import inner membrane translocase subunit Tim29 OS=Homo sapiens OX=9606 GN=TIMM29 PE=1 SV=2
+RPAEAQVLSHAQSLALRDKKEKQLVQEEWLAQDVQDDTLVVPKYKEDFLRENTESHLQQPGVVRLHAPLHLFEDDNIDCDRMWAGLVWWRGVFGVDLVRGPFDTWRPQLYRCRAQYLSAQADFPAEYVLSCLGLNVYRLRGRGRLWLLRQVFAESERNRTAPALLLLTGSAELLAEEFAGESPALTFCAAAGGLLGVYVAARGPRARAEASADRCAEAYDRLLARAWSGLRAWVGPKAVVADGAEARRRSWFRRLAAAAM
+>sp|O43615|TIM44_HUMAN Mitochondrial import inner membrane translocase subunit TIM44 OS=Homo sapiens OX=9606 GN=TIMM44 PE=1 SV=2
+MAAAALRSGWCRCPRRCLGSGIQFLSSHNLPHGSTYQMRRPGGELPLSKSYSSGNRKGFLSGLLDNVKQELAKNKEMKESIKKFRDEARRLEESDVLQEARRKYKTIESETVRTSEVLRKKLGELTGTVKESLHEVSKSDLGRKIKEGVEEAAKTAKQSAESVSKGGEKLGRTAAFRALSQGVESVKKEIDDSVLGQTGPYRRPQRLRKRTEFAGDKFKEEKVFEPNEEALGVVLHKDSKWYQQWKDFKENNVVFNRFFEMKMKYDESDNAFIRASRALTDKVTDLLGGLFSKTEMSEVLTEILRVDPAFDKDRFLKQCENDIIPNVLEAMISGELDILKDWCYEATYSQLAHPIQQAKALGLQFHSRILDIDNVDLAMGKMMEQGPVLIITFQAQLVMVVRNPKGEVVEGDPDKVLRMLYVWALCRDQDELNPYAAWRLLDISASSTEQIL
+>DECOY_sp|O43615|TIM44_HUMAN Mitochondrial import inner membrane translocase subunit TIM44 OS=Homo sapiens OX=9606 GN=TIMM44 PE=1 SV=2
+LIQETSSASIDLLRWAAYPNLEDQDRCLAWVYLMRLVKDPDGEVVEGKPNRVVMVLQAQFTIILVPGQEMMKGMALDVNDIDLIRSHFQLGLAKAQQIPHALQSYTAEYCWDKLIDLEGSIMAELVNPIIDNECQKLFRDKDFAPDVRLIETLVESMETKSFLGGLLDTVKDTLARSARIFANDSEDYKMKMEFFRNFVVNNEKFDKWQQYWKSDKHLVVGLAEENPEFVKEEKFKDGAFETRKRLRQPRRYPGTQGLVSDDIEKKVSEVGQSLARFAATRGLKEGGKSVSEASQKATKAAEEVGEKIKRGLDSKSVEHLSEKVTGTLEGLKKRLVESTRVTESEITKYKRRAEQLVDSEELRRAEDRFKKISEKMEKNKALEQKVNDLLGSLFGKRNGSSYSKSLPLEGGPRRMQYTSGHPLNHSSLFQIGSGLCRRPCRCWGSRLAAAAM
+>sp|Q3ZCQ8|TIM50_HUMAN Mitochondrial import inner membrane translocase subunit TIM50 OS=Homo sapiens OX=9606 GN=TIMM50 PE=1 SV=2
+MAASAAVFSRLRSGLRLGSRGLCTRLATPPRRAPDQAAEIGSRGSTKAQGPQQQPGSEGPSYAKKVALWLAGLLGAGGTVSVVYIFGNNPVDENGAKIPDEFDNDPILVQQLRRTYKYFKDYRQMIIEPTSPCLLPDPLQEPYYQPPYTLVLELTGVLLHPEWSLATGWRFKKRPGIETLFQQLAPLYEIVIFTSETGMTAFPLIDSVDPHGFISYRLFRDATRYMDGHHVKDISCLNRDPARVVVVDCKKEAFRLQPYNGVALRPWDGNSDDRVLLDLSAFLKTIALNGVEDVRTVLEHYALEDDPLAAFKQRQSRLEQEEQQRLAELSKSNKQNLFLGSLTSRLWPRSKQP
+>DECOY_sp|Q3ZCQ8|TIM50_HUMAN Mitochondrial import inner membrane translocase subunit TIM50 OS=Homo sapiens OX=9606 GN=TIMM50 PE=1 SV=2
+PQKSRPWLRSTLSGLFLNQKNSKSLEALRQQEEQELRSQRQKFAALPDDELAYHELVTRVDEVGNLAITKLFASLDLLVRDDSNGDWPRLAVGNYPQLRFAEKKCDVVVVRAPDRNLCSIDKVHHGDMYRTADRFLRYSIFGHPDVSDILPFATMGTESTFIVIEYLPALQQFLTEIGPRKKFRWGTALSWEPHLLVGTLELVLTYPPQYYPEQLPDPLLCPSTPEIIMQRYDKFYKYTRRLQQVLIPDNDFEDPIKAGNEDVPNNGFIYVVSVTGGAGLLGALWLAVKKAYSPGESGPQQQPGQAKTSGRSGIEAAQDPARRPPTALRTCLGRSGLRLGSRLRSFVAASAAM
+>sp|Q9Y5J7|TIM9_HUMAN Mitochondrial import inner membrane translocase subunit Tim9 OS=Homo sapiens OX=9606 GN=TIMM9 PE=1 SV=1
+MAAQIPESDQIKQFKEFLGTYNKLTETCFLDCVKDFTTREVKPEETTCSEHCLQKYLKMTQRISMRFQEYHIQQNEALAAKAGLLGQPR
+>DECOY_sp|Q9Y5J7|TIM9_HUMAN Mitochondrial import inner membrane translocase subunit Tim9 OS=Homo sapiens OX=9606 GN=TIMM9 PE=1 SV=1
+RPQGLLGAKAALAENQQIHYEQFRMSIRQTMKLYKQLCHESCTTEEPKVERTTFDKVCDLFCTETLKNYTGLFEKFQKIQDSEPIQAAM
+>sp|Q96H15|TIMD4_HUMAN T-cell immunoglobulin and mucin domain-containing protein 4 OS=Homo sapiens OX=9606 GN=TIMD4 PE=1 SV=2
+MSKEPLILWLMIEFWWLYLTPVTSETVVTEVLGHRVTLPCLYSSWSHNSNSMCWGKDQCPYSGCKEALIRTDGMRVTSRKSAKYRLQGTIPRGDVSLTILNPSESDSGVYCCRIEVPGWFNDVKINVRLNLQRASTTTHRTATTTTRRTTTTSPTTTRQMTTTPAALPTTVVTTPDLTTGTPLQMTTIAVFTTANTCLSLTPSTLPEEATGLLTPEPSKEGPILTAESETVLPSDSWSSVESTSADTVLLTSKESKVWDLPSTSHVSMWKTSDSVSSPQPGASDTAVPEQNKTTKTGQMDGIPMSMKNEMPISQLLMIIAPSLGFVLFALFVAFLLRGKLMETYCSQKHTRLDYIGDSKNVLNDVQHGREDEDGLFTL
+>DECOY_sp|Q96H15|TIMD4_HUMAN T-cell immunoglobulin and mucin domain-containing protein 4 OS=Homo sapiens OX=9606 GN=TIMD4 PE=1 SV=2
+LTFLGDEDERGHQVDNLVNKSDGIYDLRTHKQSCYTEMLKGRLLFAVFLAFLVFGLSPAIIMLLQSIPMENKMSMPIGDMQGTKTTKNQEPVATDSAGPQPSSVSDSTKWMSVHSTSPLDWVKSEKSTLLVTDASTSEVSSWSDSPLVTESEATLIPGEKSPEPTLLGTAEEPLTSPTLSLCTNATTFVAITTMQLPTGTTLDPTTVVTTPLAAPTTTMQRTTTPSTTTTRRTTTTATRHTTTSARQLNLRVNIKVDNFWGPVEIRCCYVGSDSESPNLITLSVDGRPITGQLRYKASKRSTVRMGDTRILAEKCGSYPCQDKGWCMSNSNHSWSSYLCPLTVRHGLVETVVTESTVPTLYLWWFEIMLWLILPEKSM
+>sp|Q9UNS1|TIM_HUMAN Protein timeless homolog OS=Homo sapiens OX=9606 GN=TIMELESS PE=1 SV=2
+MDLHMMNCELLATCSALGYLEGDTYHKEPDCLESVKDLIRYLRHEDETRDVRQQLGAAQILQSDLLPILTQHHQDKPLFDAVIRLMVNLTQPALLCFGNLPKEPSFRHHFLQVLTYLQAYKEAFASEKAFGVLSETLYELLQLGWEERQEEDNLLIERILLLVRNILHVPADLDQEKKIDDDASAHDQLLWAIHLSGLDDLLLFLASSSAEEQWSLHVLEIVSLMFRDQNPEQLAGVGQGRLAQERSADFAELEVLRQREMAEKKTRALQRGNRHSRFGGSYIVQGLKSIGERDLIFHKGLHNLRNYSSDLGKQPKKVPKRRQAARELSIQRRSALNVRLFLRDFCSEFLENCYNRLMGSVKDHLLREKAQQHDETYYMWALAFFMAFNRAASFRPGLVSETLSVRTFHFIEQNLTNYYEMMLTDRKEAASWARRMHLALKAYQELLATVNEMDISPDEAVRESSRIIKNNIFYVMEYRELFLALFRKFDERCQPRSFLRDLVETTHLFLKMLERFCRSRGNLVVQNKQKKRRKKKKKVLDQAIVSGNVPSSPEEVEAVWPALAEQLQCCAQNSELSMDSVVPFDAASEVPVEEQRAEAMVRIQDCLLAGQAPQALTLLRSAREVWPEGDVFGSQDISPEEEIQLLKQILSAPLPRQQGPEERGAEEEEEEEEEEEEELQVVQVSEKEFNFLDYLKRFACSTVVRAYVLLLRSYQQNSAHTNHCIVKMLHRLAHDLKMEALLFQLSVFCLFNRLLSDPAAGAYKELVTFAKYILGKFFALAAVNQKAFVELLFWKNTAVVREMTEGYGSLDDRSSSRRAPTWSPEEEAHLRELYLANKDVEGQDVVEAILAHLNTVPRTRKQIIHHLVQMGLADSVKDFQRKGTHIVLWTGDQELELQRLFEEFRDSDDVLGHIMKNITAKRSRARIVDKLLALGLVAERRELYKKRQKKLASSILPNGAESLKDFCQEDLEEEENLPEEDSEEEEEGGSEAEQVQGSLVLSNENLGQSLHQEGFSIPLLWLQNCLIRAADDREEDGCSQAVPLVPLTEENEEAMENEQFQQLLRKLGVRPPASGQETFWRIPAKLSPTQLRRAAASLSQPEEEQKLQPELQPKVPGEQGSDEEHCKEHRAQALRALLLAHKKKAGLASPEEEDAVGKEPLKAAPKKRQLLDSDEEQEEDEGRNRAPELGAPGIQKKKRYQIEDDEDD
+>DECOY_sp|Q9UNS1|TIM_HUMAN Protein timeless homolog OS=Homo sapiens OX=9606 GN=TIMELESS PE=1 SV=2
+DDEDDEIQYRKKKQIGPAGLEPARNRGEDEEQEEDSDLLQRKKPAAKLPEKGVADEEEPSALGAKKKHALLLARLAQARHEKCHEEDSGQEGPVKPQLEPQLKQEEEPQSLSAAARRLQTPSLKAPIRWFTEQGSAPPRVGLKRLLQQFQENEMAEENEETLPVLPVAQSCGDEERDDAARILCNQLWLLPISFGEQHLSQGLNENSLVLSGQVQEAESGGEEEEESDEEPLNEEEELDEQCFDKLSEAGNPLISSALKKQRKKYLERREAVLGLALLKDVIRARSRKATINKMIHGLVDDSDRFEEFLRQLELEQDGTWLVIHTGKRQFDKVSDALGMQVLHHIIQKRTRPVTNLHALIAEVVDQGEVDKNALYLERLHAEEEPSWTPARRSSSRDDLSGYGETMERVVATNKWFLLEVFAKQNVAALAFFKGLIYKAFTVLEKYAGAAPDSLLRNFLCFVSLQFLLAEMKLDHALRHLMKVICHNTHASNQQYSRLLLVYARVVTSCAFRKLYDLFNFEKESVQVVQLEEEEEEEEEEEEEAGREEPGQQRPLPASLIQKLLQIEEEPSIDQSGFVDGEPWVERASRLLTLAQPAQGALLCDQIRVMAEARQEEVPVESAADFPVVSDMSLESNQACCQLQEALAPWVAEVEEPSSPVNGSVIAQDLVKKKKKRRKKQKNQVVLNGRSRCFRELMKLFLHTTEVLDRLFSRPQCREDFKRFLALFLERYEMVYFINNKIIRSSERVAEDPSIDMENVTALLEQYAKLALHMRRAWSAAEKRDTLMMEYYNTLNQEIFHFTRVSLTESVLGPRFSAARNFAMFFALAWMYYTEDHQQAKERLLHDKVSGMLRNYCNELFESCFDRLFLRVNLASRRQISLERAAQRRKPVKKPQKGLDSSYNRLNHLGKHFILDREGISKLGQVIYSGGFRSHRNGRQLARTKKEAMERQRLVELEAFDASREQALRGQGVGALQEPNQDRFMLSVIELVHLSWQEEASSSALFLLLDDLGSLHIAWLLQDHASADDDIKKEQDLDAPVHLINRVLLLIREILLNDEEQREEWGLQLLEYLTESLVGFAKESAFAEKYAQLYTLVQLFHHRFSPEKPLNGFCLLAPQTLNVMLRIVADFLPKDQHHQTLIPLLDSQLIQAAGLQQRVDRTEDEHRLYRILDKVSELCDPEKHYTDGELYGLASCTALLECNMMHLDM
+>sp|Q8WZ42|TITIN_HUMAN Titin OS=Homo sapiens OX=9606 GN=TTN PE=1 SV=4
+MTTQAPTFTQPLQSVVVLEGSTATFEAHISGFPVPEVSWFRDGQVISTSTLPGVQISFSDGRAKLTIPAVTKANSGRYSLKATNGSGQATSTAELLVKAETAPPNFVQRLQSMTVRQGSQVRLQVRVTGIPTPVVKFYRDGAEIQSSLDFQISQEGDLYSLLIAEAYPEDSGTYSVNATNSVGRATSTAELLVQGEEEVPAKKTKTIVSTAQISESRQTRIEKKIEAHFDARSIATVEMVIDGAAGQQLPHKTPPRIPPKPKSRSPTPPSIAAKAQLARQQSPSPIRHSPSPVRHVRAPTPSPVRSVSPAARISTSPIRSVRSPLLMRKTQASTVATGPEVPPPWKQEGYVASSSEAEMRETTLTTSTQIRTEERWEGRYGVQEQVTISGAAGAAASVSASASYAAEAVATGAKEVKQDADKSAAVATVVAAVDMARVREPVISAVEQTAQRTTTTAVHIQPAQEQVRKEAEKTAVTKVVVAADKAKEQELKSRTKEVITTKQEQMHVTHEQIRKETEKTFVPKVVISAAKAKEQETRISEEITKKQKQVTQEAIRQETEITAASMVVVATAKSTKLETVPGAQEETTTQQDQMHLSYEKIMKETRKTVVPKVIVATPKVKEQDLVSRGREGITTKREQVQITQEKMRKEAEKTALSTIAVATAKAKEQETILRTRETMATRQEQIQVTHGKVDVGKKAEAVATVVAAVDQARVREPREPGHLEESYAQQTTLEYGYKERISAAKVAEPPQRPASEPHVVPKAVKPRVIQAPSETHIKTTDQKGMHISSQIKKTTDLTTERLVHVDKRPRTASPHFTVSKISVPKTEHGYEASIAGSAIATLQKELSATSSAQKITKSVKAPTVKPSETRVRAEPTPLPQFPFADTPDTYKSEAGVEVKKEVGVSITGTTVREERFEVLHGREAKVTETARVPAPVEIPVTPPTLVSGLKNVTVIEGESVTLECHISGYPSPTVTWYREDYQIESSIDFQITFQSGIARLMIREAFAEDSGRFTCSAVNEAGTVSTSCYLAVQVSEEFEKETTAVTEKFTTEEKRFVESRDVVMTDTSLTEEQAGPGEPAAPYFITKPVVQKLVEGGSVVFGCQVGGNPKPHVYWKKSGVPLTTGYRYKVSYNKQTGECKLVISMTFADDAGEYTIVVRNKHGETSASASLLEEADYELLMKSQQEMLYQTQVTAFVQEPKVGETAPGFVYSEYEKEYEKEQALIRKKMAKDTVVVRTYVEDQEFHISSFEERLIKEIEYRIIKTTLEELLEEDGEEKMAVDISESEAVESGFDSRIKNYRILEGMGVTFHCKMSGYPLPKIAWYKDGKRIKHGERYQMDFLQDGRASLRIPVVLPEDEGIYTAFASNIKGNAICSGKLYVEPAAPLGAPTYIPTLEPVSRIRSLSPRSVSRSPIRMSPARMSPARMSPARMSPARMSPGRRLEETDESQLERLYKPVFVLKPVSFKCLEGQTARFDLKVVGRPMPETFWFHDGQQIVNDYTHKVVIKEDGTQSLIIVPATPSDSGEWTVVAQNRAGRSSISVILTVEAVEHQVKPMFVEKLKNVNIKEGSRLEMKVRATGNPNPDIVWLKNSDIIVPHKYPKIRIEGTKGEAALKIDSTVSQDSAWYTATAINKAGRDTTRCKVNVEVEFAEPEPERKLIIPRGTYRAKEIAAPELEPLHLRYGQEQWEEGDLYDKEKQQKPFFKKKLTSLRLKRFGPAHFECRLTPIGDPTMVVEWLHDGKPLEAANRLRMINEFGYCSLDYGVAYSRDSGIITCRATNKYGTDHTSATLIVKDEKSLVEESQLPEGRKGLQRIEELERMAHEGALTGVTTDQKEKQKPDIVLYPEPVRVLEGETARFRCRVTGYPQPKVNWYLNGQLIRKSKRFRVRYDGIHYLDIVDCKSYDTGEVKVTAENPEGVIEHKVKLEIQQREDFRSVLRRAPEPRPEFHVHEPGKLQFEVQKVDRPVDTTETKEVVKLKRAERITHEKVPEESEELRSKFKRRTEEGYYEAITAVELKSRKKDESYEELLRKTKDELLHWTKELTEEEKKALAEEGKITIPTFKPDKIELSPSMEAPKIFERIQSQTVGQGSDAHFRVRVVGKPDPECEWYKNGVKIERSDRIYWYWPEDNVCELVIRDVTAEDSASIMVKAINIAGETSSHAFLLVQAKQLITFTQELQDVVAKEKDTMATFECETSEPFVKVKWYKDGMEVHEGDKYRMHSDRKVHFLSILTIDTSDAEDYSCVLVEDENVKTTAKLIVEGAVVEFVKELQDIEVPESYSGELECIVSPENIEGKWYHNDVELKSNGKYTITSRRGRQNLTVKDVTKEDQGEYSFVIDGKKTTCKLKMKPRPIAILQGLSDQKVCEGDIVQLEVKVSLESVEGVWMKDGQEVQPSDRVHIVIDKQSHMLLIEDMTKEDAGNYSFTIPALGLSTSGRVSVYSVDVITPLKDVNVIEGTKAVLECKVSVPDVTSVKWYLNDEQIKPDDRVQAIVKGTKQRLVINRTHASDEGPYKLIVGRVETNCNLSVEKIKIIRGLRDLTCTETQNVVFEVELSHSGIDVLWNFKDKEIKPSSKYKIEAHGKIYKLTVLNMMKDDEGKYTFYAGENMTSGKLTVAGGAISKPLTDQTVAESQEAVFECEVANPDSKGEWLRDGKHLPLTNNIRSESDGHKRRLIIAATKLDDIGEYTYKVATSKTSAKLKVEAVKIKKTLKNLTVTETQDAVFTVELTHPNVKGVQWIKNGVVLESNEKYAISVKGTIYSLRIKNCAIVDESVYGFRLGRLGASARLHVETVKIIKKPKDVTALENATVAFEVSVSHDTVPVKWFHKSVEIKPSDKHRLVSERKVHKLMLQNISPSDAGEYTAVVGQLECKAKLFVETLHITKTMKNIEVPETKTASFECEVSHFNVPSMWLKNGVEIEMSEKFKIVVQGKLHQLIIMNTSTEDSAEYTFVCGNDQVSATLTVTPIMITSMLKDINAEEKDTITFEVTVNYEGISYKWLKNGVEIKSTDKCQMRTKKLTHSLNIRNVHFGDAADYTFVAGKATSTATLYVEARHIEFRKHIKDIKVLEKKRAMFECEVSEPDITVQWMKDDQELQITDRIKIQKEKYVHRLLIPSTRMSDAGKYTVVAGGNVSTAKLFVEGRDVRIRSIKKEVQVIEKQRAVVEFEVNEDDVDAHWYKDGIEINFQVQERHKYVVERRIHRMFISETRQSDAGEYTFVAGRNRSSVTLYVNAPEPPQVLQELQPVTVQSGKPARFCAVISGRPQPKISWYKEEQLLSTGFKCKFLHDGQEYTLLLIEAFPEDAAVYTCEAKNDYGVATTSASLSVEVPEVVSPDQEMPVYPPAIITPLQDTVTSEGQPARFQCRVSGTDLKVSWYSKDKKIKPSRFFRMTQFEDTYQLEIAEAYPEDEGTYTFVASNAVGQVSSTANLSLEAPESILHERIEQEIEMEMKEFSSSFLSAEEEGLHSAELQLSKINETLELLSESPVYPTKFDSEKEGTGPIFIKEVSNADISMGDVATLSVTVIGIPKPKIQWFFNGVLLTPSADYKFVFDGDDHSLIILFTKLEDEGEYTCMASNDYGKTICSAYLKINSKGEGHKDTETESAVAKSLEKLGGPCPPHFLKELKPIRCAQGLPAIFEYTVVGEPAPTVTWFKENKQLCTSVYYTIIHNPNGSGTFIVNDPQREDSGLYICKAENMLGESTCAAELLVLLEDTDMTDTPCKAKSTPEAPEDFPQTPLKGPAVEALDSEQEIATFVKDTILKAALITEENQQLSYEHIAKANELSSQLPLGAQELQSILEQDKLTPESTREFLCINGSIHFQPLKEPSPNLQLQIVQSQKTFSKEGILMPEEPETQAVLSDTEKIFPSAMSIEQINSLTVEPLKTLLAEPEGNYPQSSIEPPMHSYLTSVAEEVLSPKEKTVSDTNREQRVTLQKQEAQSALILSQSLAEGHVESLQSPDVMISQVNYEPLVPSEHSCTEGGKILIESANPLENAGQDSAVRIEEGKSLRFPLALEEKQVLLKEEHSDNVVMPPDQIIESKREPVAIKKVQEVQGRDLLSKESLLSGIPEEQRLNLKIQICRALQAAVASEQPGLFSEWLRNIEKVEVEAVNITQEPRHIMCMYLVTSAKSVTEEVTIIIEDVDPQMANLKMELRDALCAIIYEEIDILTAEGPRIQQGAKTSLQEEMDSFSGSQKVEPITEPEVESKYLISTEEVSYFNVQSRVKYLDATPVTKGVASAVVSDEKQDESLKPSEEKEESSSESGTEEVATVKIQEAEGGLIKEDGPMIHTPLVDTVSEEGDIVHLTTSITNAKEVNWYFENKLVPSDEKFKCLQDQNTYTLVIDKVNTEDHQGEYVCEALNDSGKTATSAKLTVVKRAAPVIKRKIEPLEVALGHLAKFTCEIQSAPNVRFQWFKAGREIYESDKCSIRSSKYISSLEILRTQVVDCGEYTCKASNEYGSVSCTATLTVTEAYPPTFLSRPKSLTTFVGKAAKFICTVTGTPVIETIWQKDGAALSPSPNWRISDAENKHILELSNLTIQDRGVYSCKASNKFGADICQAELIIIDKPHFIKELEPVQSAINKKVHLECQVDEDRKVTVTWSKDGQKLPPGKDYKICFEDKIATLEIPLAKLKDSGTYVCTASNEAGSSSCSATVTVREPPSFVKKVDPSYLMLPGESARLHCKLKGSPVIQVTWFKNNKELSESNTVRMYFVNSEAILDITDVKVEDSGSYSCEAVNDVGSDSCSTEIVIKEPPSFIKTLEPADIVRGTNALLQCEVSGTGPFEISWFKDKKQIRSSKKYRLFSQKSLVCLEIFSFNSADVGEYECVVANEVGKCGCMATHLLKEPPTFVKKVDDLIALGGQTVTLQAAVRGSEPISVTWMKGQEVIREDGKIKMSFSNGVAVLIIPDVQISFGGKYTCLAENEAGSQTSVGELIVKEPAKIIERAELIQVTAGDPATLEYTVAGTPELKPKWYKDGRPLVASKKYRISFKNNVAQLKFYSAELHDSGQYTFEISNEVGSSSCETTFTVLDRDIAPFFTKPLRNVDSVVNGTCRLDCKIAGSLPMRVSWFKDGKEIAASDRYRIAFVEGTASLEIIRVDMNDAGNFTCRATNSVGSKDSSGALIVQEPPSFVTKPGSKDVLPGSAVCLKSTFQGSTPLTIRWFKGNKELVSGGSCYITKEALESSLELYLVKTSDSGTYTCKVSNVAGGVECSANLFVKEPATFVEKLEPSQLLKKGDATQLACKVTGTPPIKITWFANDREIKESSKHRMSFVESTAVLRLTDVGIEDSGEYMCEAQNEAGSDHCSSIVIVKESPYFTKEFKPIEVLKEYDVMLLAEVAGTPPFEITWFKDNTILRSGRKYKTFIQDHLVSLQILKFVAADAGEYQCRVTNEVGSSICSARVTLREPPSFIKKIESTSSLRGGTAAFQATLKGSLPITVTWLKDSDEITEDDNIRMTFENNVASLYLSGIEVKHDGKYVCQAKNDAGIQRCSALLSVKEPATITEEAVSIDVTQGDPATLQVKFSGTKEITAKWFKDGQELTLGSKYKISVTDTVSILKIISTEKKDSGEYTFEVQNDVGRSSCKARINVLDLIIPPSFTKKLKKMDSIKGSFIDLECIVAGSHPISIQWFKDDQEISASEKYKFSFHDNTAFLEISQLEGTDSGTYTCSATNKAGHNQCSGHLTVKEPPYFVEKPQSQDVNPNTRVQLKALVGGTAPMTIKWFKDNKELHSGAARSVWKDDTSTSLELFAAKATDSGTYICQLSNDVGTATSKATLFVKEPPQFIKKPSPVLVLRNGQSTTFECQITGTPKIRVSWYLDGNEITAIQKHGISFIDGLATFQISGARVENSGTYVCEARNDAGTASCSIELKVKEPPTFIRELKPVEVVKYSDVELECEVTGTPPFEVTWLKNNREIRSSKKYTLTDRVSVFNLHITKCDPSDTGEYQCIVSNEGGSCSCSTRVALKEPPSFIKKIENTTTVLKSSATFQSTVAGSPPISITWLKDDQILDEDDNVYISFVDSVATLQIRSVDNGHSGRYTCQAKNESGVERCYAFLLVQEPAQIVEKAKSVDVTEKDPMTLECVVAGTPELKVKWLKDGKQIVPSRYFSMSFENNVASFRIQSVMKQDSGQYTFKVENDFGSSSCDAYLRVLDQNIPPSFTKKLTKMDKVLGSSIHMECKVSGSLPISAQWFKDGKEISTSAKYRLVCHERSVSLEVNNLELEDTANYTCKVSNVAGDDACSGILTVKEPPSFLVKPGRQQAIPDSTVEFKAILKGTPPFKIKWFKDDVELVSGPKCFIGLEGSTSFLNLYSVDASKTGQYTCHVTNDVGSDSCTTMLLVTEPPKFVKKLEASKIVKAGDSSRLECKIAGSPEIRVVWFRNEHELPASDKYRMTFIDSVAVIQMNNLSTEDSGDFICEAQNPAGSTSCSTKVIVKEPPVFSSFPPIVETLKNAEVSLECELSGTPPFEVVWYKDKRQLRSSKKYKIASKNFHTSIHILNVDTSDIGEYHCKAQNEVGSDTCVCTVKLKEPPRFVSKLNSLTVVAGEPAELQASIEGAQPIFVQWLKEKEEVIRESENIRITFVENVATLQFAKAEPANAGKYICQIKNDGGMRENMATLMVLEPAVIVEKAGPMTVTVGETCTLECKVAGTPELSVEWYKDGKLLTSSQKHKFSFYNKISSLRILSVERQDAGTYTFQVQNNVGKSSCTAVVDVSDRAVPPSFTRRLKNTGGVLGASCILECKVAGSSPISVAWFHEKTKIVSGAKYQTTFSDNVCTLQLNSLDSSDMGNYTCVAANVAGSDECRAVLTVQEPPSFVKEPEPLEVLPGKNVTFTSVIRGTPPFKVNWFRGARELVKGDRCNIYFEDTVAELELFNIDISQSGEYTCVVSNNAGQASCTTRLFVKEPAAFLKRLSDHSVEPGKSIILESTYTGTLPISVTWKKDGFNITTSEKCNIVTTEKTCILEILNSTKRDAGQYSCEIENEAGRDVCGALVSTLEPPYFVTELEPLEAAVGDSVSLQCQVAGTPEITVSWYKGDTKLRPTPEYRTYFTNNVATLVFNKVNINDSGEYTCKAENSIGTASSKTVFRIQERQLPPSFARQLKDIEQTVGLPVTLTCRLNGSAPIQVCWYRDGVLLRDDENLQTSFVDNVATLKILQTDLSHSGQYSCSASNPLGTASSSARLTAREPKKSPFFDIKPVSIDVIAGESADFECHVTGAQPMRITWSKDNKEIRPGGNYTITCVGNTPHLRILKVGKGDSGQYTCQATNDVGKDMCSAQLSVKEPPKFVKKLEASKVAKQGESIQLECKISGSPEIKVSWFRNDSELHESWKYNMSFINSVALLTINEASAEDSGDYICEAHNGVGDASCSTALTVKAPPVFTQKPSPVGALKGSDVILQCEISGTPPFEVVWVKDRKQVRNSKKFKITSKHFDTSLHILNLEASDVGEYHCKATNEVGSDTCSCSVKFKEPPRFVKKLSDTSTLIGDAVELRAIVEGFQPISVVWLKDRGEVIRESENTRISFIDNIATLQLGSPEASNSGKYICQIKNDAGMRECSAVLTVLEPARIIEKPEPMTVTTGNPFALECVVTGTPELSAKWFKDGRELSADSKHHITFINKVASLKIPCAEMSDKGLYSFEVKNSVGKSNCTVSVHVSDRIVPPSFIRKLKDVNAILGASVVLECRVSGSAPISVGWFQDGNEIVSGPKCQSSFSENVCTLNLSLLEPSDTGIYTCVAANVAGSDECSAVLTVQEPPSFEQTPDSVEVLPGMSLTFTSVIRGTPPFKVKWFKGSRELVPGESCNISLEDFVTELELFEVQPLESGDYSCLVTNDAGSASCTTHLFVKEPATFVKRLADFSVETGSPIVLEATYTGTPPISVSWIKDEYLISQSERCSITMTEKSTILEILESTIEDYAQYSCLIENEAGQDICEALVSVLEPPYFIEPLEHVEAVIGEPATLQCKVDGTPEIRISWYKEHTKLRSAPAYKMQFKNNVASLVINKVDHSDVGEYSCKADNSVGAVASSAVLVIKARKLPPFFARKLKDVHETLGFPVAFECRINGSEPLQVSWYKDGVLLKDDANLQTSFVHNVATLQILQTDQSHIGQYNCSASNPLGTASSSAKLILSEHEVPPFFDLKPVSVDLALGESGTFKCHVTGTAPIKITWAKDNREIRPGGNYKMTLVENTATLTVLKVGKGDAGQYTCYASNIAGKDSCSAQLGVQEPPRFIKKLEPSRIVKQDEFTRYECKIGGSPEIKVLWYKDETEIQESSKFRMSFVDSVAVLEMHNLSVEDSGDYTCEAHNAAGSASSSTSLKVKEPPIFRKKPHPIETLKGADVHLECELQGTPPFHVSWYKDKRELRSGKKYKIMSENFLTSIHILNVDAADIGEYQCKATNDVGSDTCVGSIALKAPPRFVKKLSDISTVVGKEVQLQTTIEGAEPISVVWFKDKGEIVRESDNIWISYSENIATLQFSRVEPANAGKYTCQIKNDAGMQECFATLSVLEPATIVEKPESIKVTTGDTCTLECTVAGTPELSTKWFKDGKELTSDNKYKISFFNKVSGLKIINVAPSDSGVYSFEVQNPVGKDSCTASLQVSDRTVPPSFTRKLKETNGLSGSSVVMECKVYGSPPISVSWFHEGNEISSGRKYQTTLTDNTCALTVNMLEESDSGDYTCIATNMAGSDECSAPLTVREPPSFVQKPDPMDVLTGTNVTFTSIVKGTPPFSVSWFKGSSELVPGDRCNVSLEDSVAELELFDVDTSQSGEYTCIVSNEAGKASCTTHLYIKAPAKFVKRLNDYSIEKGKPLILEGTFTGTPPISVTWKKNGINVTPSQRCNITTTEKSAILEIPSSTVEDAGQYNCYIENASGKDSCSAQILILEPPYFVKQLEPVKVSVGDSASLQCQLAGTPEIGVSWYKGDTKLRPTTTYKMHFRNNVATLVFNQVDINDSGEYICKAENSVGEVSASTFLTVQEQKLPPSFSRQLRDVQETVGLPVVFDCAISGSEPISVSWYKDGKPLKDSPNVQTSFLDNTATLNIFKTDRSLAGQYSCTATNPIGSASSSARLILTEGKNPPFFDIRLAPVDAVVGESADFECHVTGTQPIKVSWAKDSREIRSGGKYQISYLENSAHLTVLKVDKGDSGQYTCYAVNEVGKDSCTAQLNIKERLIPPSFTKRLSETVEETEGNSFKLEGRVAGSQPITVAWYKNNIEIQPTSNCEITFKNNTLVLQVRKAGMNDAGLYTCKVSNDAGSALCTSSIVIKEPKKPPVFDQHLTPVTVSEGEYVQLSCHVQGSEPIRIQWLKAGREIKPSDRCSFSFASGTAVLELRDVAKADSGDYVCKASNVAGSDTTKSKVTIKDKPAVAPATKKAAVDGRLFFVSEPQSIRVVEKTTATFIAKVGGDPIPNVKWTKGKWRQLNQGGRVFIHQKGDEAKLEIRDTTKTDSGLYRCVAFNEHGEIESNVNLQVDERKKQEKIEGDLRAMLKKTPILKKGAGEEEEIDIMELLKNVDPKEYEKYARMYGITDFRGLLQAFELLKQSQEEETHRLEIEEIERSERDEKEFEELVSFIQQRLSQTEPVTLIKDIENQTVLKDNDAVFEIDIKINYPEIKLSWYKGTEKLEPSDKFEISIDGDRHTLRVKNCQLKDQGNYRLVCGPHIASAKLTVIEPAWERHLQDVTLKEGQTCTMTCQFSVPNVKSEWFRNGRILKPQGRHKTEVEHKVHKLTIADVRAEDQGQYTCKYEDLETSAELRIEAEPIQFTKRIQNIVVSEHQSATFECEVSFDDAIVTWYKGPTELTESQKYNFRNDGRCHYMTIHNVTPDDEGVYSVIARLEPRGEARSTAELYLTTKEIKLELKPPDIPDSRVPIPTMPIRAVPPEEIPPVVAPPIPLLLPTPEEKKPPPKRIEVTKKAVKKDAKKVVAKPKEMTPREEIVKKPPPPTTLIPAKAPEIIDVSSKAEEVKIMTITRKKEVQKEKEAVYEKKQAVHKEKRVFIESFEEPYDELEVEPYTEPFEQPYYEEPDEDYEEIKVEAKKEVHEEWEEDFEEGQEYYEREEGYDEGEEEWEEAYQEREVIQVQKEVYEESHERKVPAKVPEKKAPPPPKVIKKPVIEKIEKTSRRMEEEKVQVTKVPEVSKKIVPQKPSRTPVQEEVIEVKVPAVHTKKMVISEEKMFFASHTEEEVSVTVPEVQKEIVTEEKIHVAISKRVEPPPKVPELPEKPAPEEVAPVPIPKKVEPPAPKVPEVPKKPVPEEKKPVPVPKKEPAAPPKVPEVPKKPVPEEKIPVPVAKKKEAPPAKVPEVQKGVVTEEKITIVTQREESPPPAVPEIPKKKVPEERKPVPRKEEEVPPPPKVPALPKKPVPEEKVAVPVPVAKKAPPPRAEVSKKTVVEEKRFVAEEKLSFAVPQRVEVTRHEVSAEEEWSYSEEEEGVSISVYREEEREEEEEAEVTEYEVMEEPEEYVVEEKLHIISKRVEAEPAEVTERQEKKIVLKPKIPAKIEEPPPAKVPEAPKKIVPEKKVPAPVPKKEKVPPPKVPEEPKKPVPEKKVPPKVIKMEEPLPAKVTERHMQITQEEKVLVAVTKKEAPPKARVPEEPKRAVPEEKVLKLKPKREEEPPAKVTEFRKRVVKEEKVSIEAPKREPQPIKEVTIMEEKERAYTLEEEAVSVQREEEYEEYEEYDYKEFEEYEPTEEYDQYEEYEEREYERYEEHEEYITEPEKPIPVKPVPEEPVPTKPKAPPAKVLKKAVPEEKVPVPIPKKLKPPPPKVPEEPKKVFEEKIRISITKREKEQVTEPAAKVPMKPKRVVAEEKVPVPRKEVAPPVRVPEVPKELEPEEVAFEEEVVTHVEEYLVEEEEEYIHEEEEFITEEEVVPVIPVKVPEVPRKPVPEEKKPVPVPKKKEAPPAKVPEVPKKPEEKVPVLIPKKEKPPPAKVPEVPKKPVPEEKVPVPVPKKVEAPPAKVPEVPKKPVPEKKVPVPAPKKVEAPPAKVPEVPKKLIPEEKKPTPVPKKVEAPPPKVPKKREPVPVPVALPQEEEVLFEEEIVPEEEVLPEEEEVLPEEEEVLPEEEEVLPEEEEIPPEEEEVPPEEEYVPEEEEFVPEEEVLPEVKPKVPVPAPVPEIKKKVTEKKVVIPKKEEAPPAKVPEVPKKVEEKRIILPKEEEVLPVEVTEEPEEEPISEEEIPEEPPSIEEVEEVAPPRVPEVIKKAVPEAPTPVPKKVEAPPAKVSKKIPEEKVPVPVQKKEAPPAKVPEVPKKVPEKKVLVPKKEAVPPAKGRTVLEEKVSVAFRQEVVVKERLELEVVEAEVEEIPEEEEFHEVEEYFEEGEFHEVEEFIKLEQHRVEEEHRVEKVHRVIEVFEAEEVEVFEKPKAPPKGPEISEKIIPPKKPPTKVVPRKEPPAKVPEVPKKIVVEEKVRVPEEPRVPPTKVPEVLPPKEVVPEKKVPVPPAKKPEAPPPKVPEAPKEVVPEKKVPVPPPKKPEVPPTKVPEVPKAAVPEKKVPEAIPPKPESPPPEVPEAPKEVVPEKKVPAAPPKKPEVTPVKVPEAPKEVVPEKKVPVPPPKKPEVPPTKVPEVPKVAVPEKKVPEAIPPKPESPPPEVFEEPEEVALEEPPAEVVEEPEPAAPPQVTVPPKKPVPEKKAPAVVAKKPELPPVKVPEVPKEVVPEKKVPLVVPKKPEAPPAKVPEVPKEVVPEKKVAVPKKPEVPPAKVPEVPKKPVLEEKPAVPVPERAESPPPEVYEEPEEIAPEEEIAPEEEKPVPVAEEEEPEVPPPAVPEEPKKIIPEKKVPVIKKPEAPPPKEPEPEKVIEKPKLKPRPPPPPPAPPKEDVKEKIFQLKAIPKKKVPEKPQVPEKVELTPLKVPGGEKKVRKLLPERKPEPKEEVVLKSVLRKRPEEEEPKVEPKKLEKVKKPAVPEPPPPKPVEEVEVPTVTKRERKIPEPTKVPEIKPAIPLPAPEPKPKPEAEVKTIKPPPVEPEPTPIAAPVTVPVVGKKAEAKAPKEEAAKPKGPIKGVPKKTPSPIEAERRKLRPGSGGEKPPDEAPFTYQLKAVPLKFVKEIKDIILTESEFVGSSAIFECLVSPSTAITTWMKDGSNIRESPKHRFIADGKDRKLHIIDVQLSDAGEYTCVLRLGNKEKTSTAKLVVEELPVRFVKTLEEEVTVVKGQPLYLSCELNKERDVVWRKDGKIVVEKPGRIVPGVIGLMRALTINDADDTDAGTYTVTVENANNLECSSCVKVVEVIRDWLVKPIRDQHVKPKGTAIFACDIAKDTPNIKWFKGYDEIPAEPNDKTEILRDGNHLYLKIKNAMPEDIAEYAVEIEGKRYPAKLTLGEREVELLKPIEDVTIYEKESASFDAEISEADIPGQWKLKGELLRPSPTCEIKAEGGKRFLTLHKVKLDQAGEVLYQALNAITTAILTVKEIELDFAVPLKDVTVPERRQARFECVLTREANVIWSKGPDIIKSSDKFDIIADGKKHILVINDSQFDDEGVYTAEVEGKKTSARLFVTGIRLKFMSPLEDQTVKEGETATFVCELSHEKMHVVWFKNDAKLHTSRTVLISSEGKTHKLEMKEVTLDDISQIKAQVKELSSTAQLKVLEADPYFTVKLHDKTAVEKDEITLKCEVSKDVPVKWFKDGEEIVPSPKYSIKADGLRRILKIKKADLKDKGEYVCDCGTDKTKANVTVEARLIKVEKPLYGVEVFVGETAHFEIELSEPDVHGQWKLKGQPLTASPDCEIIEDGKKHILILHNCQLGMTGEVSFQAANAKSAANLKVKELPLIFITPLSDVKVFEKDEAKFECEVSREPKTFRWLKGTQEITGDDRFELIKDGTKHSMVIKSAAFEDEAKYMFEAEDKHTSGKLIIEGIRLKFLTPLKDVTAKEKESAVFTVELSHDNIRVKWFKNDQRLHTTRSVSMQDEGKTHSITFKDLSIDDTSQIRVEAMGMSSEAKLTVLEGDPYFTGKLQDYTGVEKDEVILQCEISKADAPVKWFKDGKEIKPSKNAVIKADGKKRMLILKKALKSDIGQYTCDCGTDKTSGKLDIEDREIKLVRPLHSVEVMETETARFETEISEDDIHANWKLKGEALLQTPDCEIKEEGKIHSLVLHNCRLDQTGGVDFQAANVKSSAHLRVKPRVIGLLRPLKDVTVTAGETATFDCELSYEDIPVEWYLKGKKLEPSDKVVPRSEGKVHTLTLRDVKLEDAGEVQLTAKDFKTHANLFVKEPPVEFTKPLEDQTVEEGATAVLECEVSRENAKVKWFKNGTEILKSKKYEIVADGRVRKLVIHDCTPEDIKTYTCDAKDFKTSCNLNVVPPHVEFLRPLTDLQVREKEMARFECELSRENAKVKWFKDGAEIKKGKKYDIISKGAVRILVINKCLLDDEAEYSCEVRTARTSGMLTVLEEEAVFTKNLANIEVSETDTIKLVCEVSKPGAEVIWYKGDEEIIETGRYEILTEGRKRILVIQNAHLEDAGNYNCRLPSSRTDGKVKVHELAAEFISKPQNLEILEGEKAEFVCSISKESFPVQWKRDDKTLESGDKYDVIADGKKRVLVVKDATLQDMGTYVVMVGAARAAAHLTVIEKLRIVVPLKDTRVKEQQEVVFNCEVNTEGAKAKWFRNEEAIFDSSKYIILQKDLVYTLRIRDAHLDDQANYNVSLTNHRGENVKSAANLIVEEEDLRIVEPLKDIETMEKKSVTFWCKVNRLNVTLKWTKNGEEVPFDNRVSYRVDKYKHMLTIKDCGFPDEGEYIVTAGQDKSVAELLIIEAPTEFVEHLEDQTVTEFDDAVFSCQLSREKANVKWYRNGREIKEGKKYKFEKDGSIHRLIIKDCRLDDECEYACGVEDRKSRARLFVEEIPVEIIRPPQDILEAPGADVVFLAELNKDKVEVQWLRNNMVVVQGDKHQMMSEGKIHRLQICDIKPRDQGEYRFIAKDKEARAKLELAAAPKIKTADQDLVVDVGKPLTMVVPYDAYPKAEAEWFKENEPLSTKTIDTTAEQTSFRILEAKKGDKGRYKIVLQNKHGKAEGFINLKVIDVPGPVRNLEVTETFDGEVSLAWEEPLTDGGSKIIGYVVERRDIKRKTWVLATDRAESCEFTVTGLQKGGVEYLFRVSARNRVGTGEPVETDNPVEARSKYDVPGPPLNVTITDVNRFGVSLTWEPPEYDGGAEITNYVIELRDKTSIRWDTAMTVRAEDLSATVTDVVEGQEYSFRVRAQNRIGVGKPSAATPFVKVADPIERPSPPVNLTSSDQTQSSVQLKWEPPLKDGGSPILGYIIERCEEGKDNWIRCNMKLVPELTYKVTGLEKGNKYLYRVSAENKAGVSDPSEILGPLTADDAFVEPTMDLSAFKDGLEVIVPNPITILVPSTGYPRPTATWCFGDKVLETGDRVKMKTLSAYAELVISPSERSDKGIYTLKLENRVKTISGEIDVNVIARPSAPKELKFGDITKDSVHLTWEPPDDDGGSPLTGYVVEKREVSRKTWTKVMDFVTDLEFTVPDLVQGKEYLFKVCARNKCGPGEPAYVDEPVNMSTPATVPDPPENVKWRDRTANSIFLTWDPPKNDGGSRIKGYIVERCPRGSDKWVACGEPVAETKMEVTGLEEGKWYAYRVKALNRQGASKPSRPTEEIQAVDTQEAPEIFLDVKLLAGLTVKAGTKIELPATVTGKPEPKITWTKADMILKQDKRITIENVPKKSTVTIVDSKRSDTGTYIIEAVNVCGRATAVVEVNVLDKPGPPAAFDITDVTNESCLLTWNPPRDDGGSKITNYVVERRATDSEVWHKLSSTVKDTNFKATKLIPNKEYIFRVAAENMYGVGEPVQASPITAKYQFDPPGPPTRLEPSDITKDAVTLTWCEPDDDGGSPITGYWVERLDPDTDKWVRCNKMPVKDTTYRVKGLTNKKKYRFRVLAENLAGPGKPSKSTEPILIKDPIDPPWPPGKPTVKDVGKTSVRLNWTKPEHDGGAKIESYVIEMLKTGTDEWVRVAEGVPTTQHLLPGLMEGQEYSFRVRAVNKAGESEPSEPSDPVLCREKLYPPSPPRWLEVINITKNTADLKWTVPEKDGGSPITNYIVEKRDVRRKGWQTVDTTVKDTKCTVTPLTEGSLYVFRVAAENAIGQSDYTEIEDSVLAKDTFTTPGPPYALAVVDVTKRHVDLKWEPPKNDGGRPIQRYVIEKKERLGTRWVKAGKTAGPDCNFRVTDVIEGTEVQFQVRAENEAGVGHPSEPTEILSIEDPTSPPSPPLDLHVTDAGRKHIAIAWKPPEKNGGSPIIGYHVEMCPVGTEKWMRVNSRPIKDLKFKVEEGVVPDKEYVLRVRAVNAIGVSEPSEISENVVAKDPDCKPTIDLETHDIIVIEGEKLSIPVPFRAVPVPTVSWHKDGKEVKASDRLTMKNDHISAHLEVPKSVRADAGIYTITLENKLGSATASINVKVIGLPGPCKDIKASDITKSSCKLTWEPPEFDGGTPILHYVLERREAGRRTYIPVMSGENKLSWTVKDLIPNGEYFFRVKAVNKVGGGEYIELKNPVIAQDPKQPPDPPVDVEVHNPTAEAMTITWKPPLYDGGSKIMGYIIEKIAKGEERWKRCNEHLVPILTYTAKGLEEGKEYQFRVRAENAAGISEPSRATPPTKAVDPIDAPKVILRTSLEVKRGDEIALDASISGSPYPTITWIKDENVIVPEEIKKRAAPLVRRRKGEVQEEEPFVLPLTQRLSIDNSKKGESQLRVRDSLRPDHGLYMIKVENDHGIAKAPCTVSVLDTPGPPINFVFEDIRKTSVLCKWEPPLDDGGSEIINYTLEKKDKTKPDSEWIVVTSTLRHCKYSVTKLIEGKEYLFRVRAENRFGPGPPCVSKPLVAKDPFGPPDAPDKPIVEDVTSNSMLVKWNEPKDNGSPILGYWLEKREVNSTHWSRVNKSLLNALKANVDGLLEGLTYVFRVCAENAAGPGKFSPPSDPKTAHDPISPPGPPIPRVTDTSSTTIELEWEPPAFNGGGEIVGYFVDKQLVGTNEWSRCTEKMIKVRQYTVKEIREGADYKLRVSAVNAAGEGPPGETQPVTVAEPQEPPAVELDVSVKGGIQIMAGKTLRIPAVVTGRPVPTKVWTKEEGELDKDRVVIDNVGTKSELIIKDALRKDHGRYVITATNSCGSKFAAARVEVFDVPGPVLDLKPVVTNRKMCLLNWSDPEDDGGSEITGFIIERKDAKMHTWRQPIETERSKCDITGLLEGQEYKFRVIAKNKFGCGPPVEIGPILAVDPLGPPTSPERLTYTERTKSTITLDWKEPRSNGGSPIQGYIIEKRRHDKPDFERVNKRLCPTTSFLVENLDEHQMYEFRVKAVNEIGESEPSLPLNVVIQDDEVPPTIKLRLSVRGDTIKVKAGEPVHIPADVTGLPMPKIEWSKNETVIEKPTDALQITKEEVSRSEAKTELSIPKAVREDKGTYTVTASNRLGSVFRNVHVEVYDRPSPPRNLAVTDIKAESCYLTWDAPLDNGGSEITHYVIDKRDASRKKAEWEEVTNTAVEKRYGIWKLIPNGQYEFRVRAVNKYGISDECKSDKVVIQDPYRLPGPPGKPKVLARTKGSMLVSWTPPLDNGGSPITGYWLEKREEGSPYWSRVSRAPITKVGLKGVEFNVPRLLEGVKYQFRAMAINAAGIGPPSEPSDPEVAGDPIFPPGPPSCPEVKDKTKSSISLGWKPPAKDGGSPIKGYIVEMQEEGTTDWKRVNEPDKLITTCECVVPNLKELRKYRFRVKAVNEAGESEPSDTTGEIPATDIQEEPEVFIDIGAQDCLVCKAGSQIRIPAVIKGRPTPKSSWEFDGKAKKAMKDGVHDIPEDAQLETAENSSVIIIPECKRSHTGKYSITAKNKAGQKTANCRVKVMDVPGPPKDLKVSDITRGSCRLSWKMPDDDGGDRIKGYVIEKRTIDGKAWTKVNPDCGSTTFVVPDLLSEQQYFFRVRAENRFGIGPPVETIQRTTARDPIYPPDPPIKLKIGLITKNTVHLSWKPPKNDGGSPVTHYIVECLAWDPTGTKKEAWRQCNKRDVEELQFTVEDLVEGGEYEFRVKAVNAAGVSKPSATVGPVTVKDQTCPPSIDLKEFMEVEEGTNVNIVAKIKGVPFPTLTWFKAPPKKPDNKEPVLYDTHVNKLVVDDTCTLVIPQSRRSDTGLYTITAVNNLGTASKEMRLNVLGRPGPPVGPIKFESVSADQMTLSWFPPKDDGGSKITNYVIEKREANRKTWVHVSSEPKECTYTIPKLLEGHEYVFRIMAQNKYGIGEPLDSEPETARNLFSVPGAPDKPTVSSVTRNSMTVNWEEPEYDGGSPVTGYWLEMKDTTSKRWKRVNRDPIKAMTLGVSYKVTGLIEGSDYQFRVYAINAAGVGPASLPSDPATARDPIAPPGPPFPKVTDWTKSSADLEWSPPLKDGGSKVTGYIVEYKEEGKEEWEKGKDKEVRGTKLVVTGLKEGAFYKFRVRAVNIAGIGEPGEVTDVIEMKDRLVSPDLQLDASVRDRIVVHAGGVIRIIAYVSGKPPPTVTWNMNERTLPQEATIETTAISSSMVIKNCQRSHQGVYSLLAKNEAGERKKTIIVDVLDVPGPVGTPFLAHNLTNESCKLTWFSPEDDGGSPITNYVIEKRESDRRAWTPVTYTVTRQNATVQGLIQGKAYFFRIAAENSIGMGPFVETSEALVIREPITVPERPEDLEVKEVTKNTVTLTWNPPKYDGGSEIINYVLESRLIGTEKFHKVTNDNLLSRKYTVKGLKEGDTYEYRVSAVNIVGQGKPSFCTKPITCKDELAPPTLHLDFRDKLTIRVGEAFALTGRYSGKPKPKVSWFKDEADVLEDDRTHIKTTPATLALEKIKAKRSDSGKYCVVVENSTGSRKGFCQVNVVDRPGPPVGPVSFDEVTKDYMVISWKPPLDDGGSKITNYIIEKKEVGKDVWMPVTSASAKTTCKVSKLLEGKDYIFRIHAENLYGISDPLVSDSMKAKDRFRVPDAPDQPIVTEVTKDSALVTWNKPHDGGKPITNYILEKRETMSKRWARVTKDPIHPYTKFRVPDLLEGCQYEFRVSAENEIGIGDPSPPSKPVFAKDPIAKPSPPVNPEAIDTTCNSVDLTWQPPRHDGGSKILGYIVEYQKVGDEEWRRANHTPESCPETKYKVTGLRDGQTYKFRVLAVNAAGESDPAHVPEPVLVKDRLEPPELILDANMAREQHIKVGDTLRLSAIIKGVPFPKVTWKKEDRDAPTKARIDVTPVGSKLEIRNAAHEDGGIYSLTVENPAGSKTVSVKVLVLDKPGPPRDLEVSEIRKDSCYLTWKEPLDDGGSVITNYVVERRDVASAQWSPLSATSKKKSHFAKHLNEGNQYLFRVAAENQYGRGPFVETPKPIKALDPLHPPGPPKDLHHVDVDKTEVSLVWNKPDRDGGSPITGYLVEYQEEGTQDWIKFKTVTNLECVVTGLQQGKTYRFRVKAENIVGLGLPDTTIPIECQEKLVPPSVELDVKLIEGLVVKAGTTVRFPAIIRGVPVPTAKWTTDGSEIKTDEHYTVETDNFSSVLTIKNCLRRDTGEYQITVSNAAGSKTVAVHLTVLDVPGPPTGPINILDVTPEHMTISWQPPKDDGGSPVINYIVEKQDTRKDTWGVVSSGSSKTKLKIPHLQKGCEYVFRVRAENKIGVGPPLDSTPTVAKHKFSPPSPPGKPVVTDITENAATVSWTLPKSDGGSPITGYYMERREVTGKWVRVNKTPIADLKFRVTGLYEGNTYEFRVFAENLAGLSKPSPSSDPIKACRPIKPPGPPINPKLKDKSRETADLVWTKPLSDGGSPILGYVVECQKPGTAQWNRINKDELIRQCAFRVPGLIEGNEYRFRIKAANIVGEGEPRELAESVIAKDILHPPEVELDVTCRDVITVRVGQTIRILARVKGRPEPDITWTKEGKVLVREKRVDLIQDLPRVELQIKEAVRADHGKYIISAKNSSGHAQGSAIVNVLDRPGPCQNLKVTNVTKENCTISWENPLDNGGSEITNFIVEYRKPNQKGWSIVASDVTKRLIKANLLANNEYYFRVCAENKVGVGPTIETKTPILAINPIDRPGEPENLHIADKGKTFVYLKWRRPDYDGGSPNLSYHVERRLKGSDDWERVHKGSIKETHYMVDRCVENQIYEFRVQTKNEGGESDWVKTEEVVVKEDLQKPVLDLKLSGVLTVKAGDTIRLEAGVRGKPFPEVAWTKDKDATDLTRSPRVKIDTRADSSKFSLTKAKRSDGGKYVVTATNTAGSFVAYATVNVLDKPGPVRNLKIVDVSSDRCTVCWDPPEDDGGCEIQNYILEKCETKRMVWSTYSATVLTPGTTVTRLIEGNEYIFRVRAENKIGTGPPTESKPVIAKTKYDKPGRPDPPEVTKVSKEEMTVVWNPPEYDGGKSITGYFLEKKEKHSTRWVPVNKSAIPERRMKVQNLLPDHEYQFRVKAENEIGIGEPSLPSRPVVAKDPIEPPGPPTNFRVVDTTKHSITLGWGKPVYDGGAPIIGYVVEMRPKIADASPDEGWKRCNAAAQLVRKEFTVTSLDENQEYEFRVCAQNQVGIGRPAELKEAIKPKEILEPPEIDLDASMRKLVIVRAGCPIRLFAIVRGRPAPKVTWRKVGIDNVVRKGQVDLVDTMAFLVIPNSTRDDSGKYSLTLVNPAGEKAVFVNVRVLDTPGPVSDLKVSDVTKTSCHVSWAPPENDGGSQVTHYIVEKREADRKTWSTVTPEVKKTSFHVTNLVPGNEYYFRVTAVNEYGPGVPTDVPKPVLASDPLSEPDPPRKLEVTEMTKNSATLAWLPPLRDGGAKIDGYITSYREEEQPADRWTEYSVVKDLSLVVTGLKEGKKYKFRVAARNAVGVSLPREAEGVYEAKEQLLPPKILMPEQITIKAGKKLRIEAHVYGKPHPTCKWKKGEDEVVTSSHLAVHKADSSSILIIKDVTRKDSGYYSLTAENSSGTDTQKIKVVVMDAPGPPQPPFDISDIDADACSLSWHIPLEDGGSNITNYIVEKCDVSRGDWVTALASVTKTSCRVGKLIPGQEYIFRVRAENRFGISEPLTSPKMVAQFPFGVPSEPKNARVTKVNKDCIFVAWDRPDSDGGSPIIGYLIERKERNSLLWVKANDTLVRSTEYPCAGLVEGLEYSFRIYALNKAGSSPPSKPTEYVTARMPVDPPGKPEVIDVTKSTVSLIWARPKHDGGSKIIGYFVEACKLPGDKWVRCNTAPHQIPQEEYTATGLEEKAQYQFRAIARTAVNISPPSEPSDPVTILAENVPPRIDLSVAMKSLLTVKAGTNVCLDATVFGKPMPTVSWKKDGTLLKPAEGIKMAMQRNLCTLELFSVNRKDSGDYTITAENSSGSKSATIKLKVLDKPGPPASVKINKMYSDRAMLSWEPPLEDGGSEITNYIVDKRETSRPNWAQVSATVPITSCSVEKLIEGHEYQFRICAENKYGVGDPVFTEPAIAKNPYDPPGRCDPPVISNITKDHMTVSWKPPADDGGSPITGYLLEKRETQAVNWTKVNRKPIIERTLKATGLQEGTEYEFRVTAINKAGPGKPSDASKAAYARDPQYPPGPPAFPKVYDTTRSSVSLSWGKPAYDGGSPIIGYLVEVKRADSDNWVRCNLPQNLQKTRFEVTGLMEDTQYQFRVYAVNKIGYSDPSDVPDKHYPKDILIPPEGELDADLRKTLILRAGVTMRLYVPVKGRPPPKITWSKPNVNLRDRIGLDIKSTDFDTFLRCENVNKYDAGKYILTLENSCGKKEYTIVVKVLDTPGPPVNVTVKEISKDSAYVTWEPPIIDGGSPIINYVVQKRDAERKSWSTVTTECSKTSFRVANLEEGKSYFFRVFAENEYGIGDPGETRDAVKASQTPGPVVDLKVRSVSKSSCSIGWKKPHSDGGSRIIGYVVDFLTEENKWQRVMKSLSLQYSAKDLTEGKEYTFRVSAENENGEGTPSEITVVARDDVVAPDLDLKGLPDLCYLAKENSNFRLKIPIKGKPAPSVSWKKGEDPLATDTRVSVESSAVNTTLIVYDCQKSDAGKYTITLKNVAGTKEGTISIKVVGKPGIPTGPIKFDEVTAEAMTLKWAPPKDDGGSEITNYILEKRDSVNNKWVTCASAVQKTTFRVTRLHEGMEYTFRVSAENKYGVGEGLKSEPIVARHPFDVPDAPPPPNIVDVRHDSVSLTWTDPKKTGGSPITGYHLEFKERNSLLWKRANKTPIRMRDFKVTGLTEGLEYEFRVMAINLAGVGKPSLPSEPVVALDPIDPPGKPEVINITRNSVTLIWTEPKYDGGHKLTGYIVEKRDLPSKSWMKANHVNVPECAFTVTDLVEGGKYEFRIRAKNTAGAISAPSESTETIICKDEYEAPTIVLDPTIKDGLTIKAGDTIVLNAISILGKPLPKSSWSKAGKDIRPSDITQITSTPTSSMLTIKYATRKDAGEYTITATNPFGTKVEHVKVTVLDVPGPPGPVEISNVSAEKATLTWTPPLEDGGSPIKSYILEKRETSRLLWTVVSEDIQSCRHVATKLIQGNEYIFRVSAVNHYGKGEPVQSEPVKMVDRFGPPGPPEKPEVSNVTKNTATVSWKRPVDDGGSEITGYHVERREKKSLRWVRAIKTPVSDLRCKVTGLQEGSTYEFRVSAENRAGIGPPSEASDSVLMKDAAYPPGPPSNPHVTDTTKKSASLAWGKPHYDGGLEITGYVVEHQKVGDEAWIKDTTGTALRITQFVVPDLQTKEKYNFRISAINDAGVGEPAVIPDVEIVEREMAPDFELDAELRRTLVVRAGLSIRIFVPIKGRPAPEVTWTKDNINLKNRANIENTESFTLLIIPECNRYDTGKFVMTIENPAGKKSGFVNVRVLDTPGPVLNLRPTDITKDSVTLHWDLPLIDGGSRITNYIVEKREATRKSYSTATTKCHKCTYKVTGLSEGCEYFFRVMAENEYGIGEPTETTEPVKASEAPSPPDSLNIMDITKSTVSLAWPKPKHDGGSKITGYVIEAQRKGSDQWTHITTVKGLECVVRNLTEGEEYTFQVMAVNSAGRSAPRESRPVIVKEQTMLPELDLRGIYQKLVIAKAGDNIKVEIPVLGRPKPTVTWKKGDQILKQTQRVNFETTATSTILNINECVRSDSGPYPLTARNIVGEVGDVITIQVHDIPGPPTGPIKFDEVSSDFVTFSWDPPENDGGVPISNYVVEMRQTDSTTWVELATTVIRTTYKATRLTTGLEYQFRVKAQNRYGVGPGITSACIVANYPFKVPGPPGTPQVTAVTKDSMTISWHEPLSDGGSPILGYHVERKERNGILWQTVSKALVPGNIFKSSGLTDGIAYEFRVIAENMAGKSKPSKPSEPMLALDPIDPPGKPVPLNITRHTVTLKWAKPEYTGGFKITSYIVEKRDLPNGRWLKANFSNILENEFTVSGLTEDAAYEFRVIAKNAAGAISPPSEPSDAITCRDDVEAPKIKVDVKFKDTVILKAGEAFRLEADVSGRPPPTMEWSKDGKELEGTAKLEIKIADFSTNLVNKDSTRRDSGAYTLTATNPGGFAKHIFNVKVLDRPGPPEGPLAVTEVTSEKCVLSWFPPLDDGGAKIDHYIVQKRETSRLAWTNVASEVQVTKLKVTKLLKGNEYIFRVMAVNKYGVGEPLESEPVLAVNPYGPPDPPKNPEVTTITKDSMVVCWGHPDSDGGSEIINYIVERRDKAGQRWIKCNKKTLTDLRYKVSGLTEGHEYEFRIMAENAAGISAPSPTSPFYKACDTVFKPGPPGNPRVLDTSRSSISIAWNKPIYDGGSEITGYMVEIALPEEDEWQIVTPPAGLKATSYTITGLTENQEYKIRIYAMNSEGLGEPALVPGTPKAEDRMLPPEIELDADLRKVVTIRACCTLRLFVPIKGRPAPEVKWARDHGESLDKASIESTSSYTLLIVGNVNRFDSGKYILTVENSSGSKSAFVNVRVLDTPGPPQDLKVKEVTKTSVTLTWDPPLLDGGSKIKNYIVEKRESTRKAYSTVATNCHKTSWKVDQLQEGCSYYFRVLAENEYGIGLPAETAESVKASERPLPPGKITLMDVTRNSVSLSWEKPEHDGGSRILGYIVEMQTKGSDKWATCATVKVTEATITGLIQGEEYSFRVSAQNEKGISDPRQLSVPVIAKDLVIPPAFKLLFNTFTVLAGEDLKVDVPFIGRPTPAVTWHKDNVPLKQTTRVNAESTENNSLLTIKDACREDVGHYVVKLTNSAGEAIETLNVIVLDKPGPPTGPVKMDEVTADSITLSWGPPKYDGGSSINNYIVEKRDTSTTTWQIVSATVARTTIKACRLKTGCEYQFRIAAENRYGKSTYLNSEPTVAQYPFKVPGPPGTPVVTLSSRDSMEVQWNEPISDGGSRVIGYHLERKERNSILWVKLNKTPIPQTKFKTTGLEEGVEYEFRVSAENIVGIGKPSKVSECYVARDPCDPPGRPEAIIVTRNSVTLQWKKPTYDGGSKITGYIVEKKELPEGRWMKASFTNIIDTHFEVTGLVEDHRYEFRVIARNAAGVFSEPSESTGAITARDEVDPPRISMDPKYKDTIVVHAGESFKVDADIYGKPIPTIQWIKGDQELSNTARLEIKSTDFATSLSVKDAVRVDSGNYILKAKNVAGERSVTVNVKVLDRPGPPEGPVVISGVTAEKCTLAWKPPLQDGGSDIINYIVERRETSRLVWTVVDANVQTLSCKVTKLLEGNEYTFRIMAVNKYGVGEPLESEPVVAKNPFVVPDAPKAPEVTTVTKDSMIVVWERPASDGGSEILGYVLEKRDKEGIRWTRCHKRLIGELRLRVTGLIENHDYEFRVSAENAAGLSEPSPPSAYQKACDPIYKPGPPNNPKVIDITRSSVFLSWSKPIYDGGCEIQGYIVEKCDVSVGEWTMCTPPTGINKTNIEVEKLLEKHEYNFRICAINKAGVGEHADVPGPIIVEEKLEAPDIDLDLELRKIINIRAGGSLRLFVPIKGRPTPEVKWGKVDGEIRDAAIIDVTSSFTSLVLDNVNRYDSGKYTLTLENSSGTKSAFVTVRVLDTPSPPVNLKVTEITKDSVSITWEPPLLDGGSKIKNYIVEKREATRKSYAAVVTNCHKNSWKIDQLQEGCSYYFRVTAENEYGIGLPAQTADPIKVAEVPQPPGKITVDDVTRNSVSLSWTKPEHDGGSKIIQYIVEMQAKHSEKWSECARVKSLQAVITNLTQGEEYLFRVVAVNEKGRSDPRSLAVPIVAKDLVIEPDVKPAFSSYSVQVGQDLKIEVPISGRPKPTITWTKDGLPLKQTTRINVTDSLDLTTLSIKETHKDDGGQYGITVANVVGQKTASIEIVTLDKPDPPKGPVKFDDVSAESITLSWNPPLYTGGCQITNYIVQKRDTTTTVWDVVSATVARTTLKVTKLKTGTEYQFRIFAENRYGQSFALESDPIVAQYPYKEPGPPGTPFATAISKDSMVIQWHEPVNNGGSPVIGYHLERKERNSILWTKVNKTIIHDTQFKAQNLEEGIEYEFRVYAENIVGVGKASKNSECYVARDPCDPPGTPEPIMVKRNEITLQWTKPVYDGGSMITGYIVEKRDLPDGRWMKASFTNVIETQFTVSGLTEDQRYEFRVIAKNAAGAISKPSDSTGPITAKDEVELPRISMDPKFRDTIVVNAGETFRLEADVHGKPLPTIEWLRGDKEIEESARCEIKNTDFKALLIVKDAIRIDGGQYILRASNVAGSKSFPVNVKVLDRPGPPEGPVQVTGVTSEKCSLTWSPPLQDGGSDISHYVVEKRETSRLAWTVVASEVVTNSLKVTKLLEGNEYVFRIMAVNKYGVGEPLESAPVLMKNPFVLPGPPKSLEVTNIAKDSMTVCWNRPDSDGGSEIIGYIVEKRDRSGIRWIKCNKRRITDLRLRVTGLTEDHEYEFRVSAENAAGVGEPSPATVYYKACDPVFKPGPPTNAHIVDTTKNSITLAWGKPIYDGGSEILGYVVEICKADEEEWQIVTPQTGLRVTRFEISKLTEHQEYKIRVCALNKVGLGEATSVPGTVKPEDKLEAPELDLDSELRKGIVVRAGGSARIHIPFKGRPTPEITWSREEGEFTDKVQIEKGVNYTQLSIDNCDRNDAGKYILKLENSSGSKSAFVTVKVLDTPGPPQNLAVKEVRKDSAFLVWEPPIIDGGAKVKNYVIDKRESTRKAYANVSSKCSKTSFKVENLTEGAIYYFRVMAENEFGVGVPVETVDAVKAAEPPSPPGKVTLTDVSQTSASLMWEKPEHDGGSRVLGYVVEMQPKGTEKWSIVAESKVCNAVVTGLSSGQEYQFRVKAYNEKGKSDPRVLGVPVIAKDLTIQPSLKLPFNTYSIQAGEDLKIEIPVIGRPRPNISWVKDGEPLKQTTRVNVEETATSTVLHIKEGNKDDFGKYTVTATNSAGTATENLSVIVLEKPGPPVGPVRFDEVSADFVVISWEPPAYTGGCQISNYIVEKRDTTTTTWHMVSATVARTTIKITKLKTGTEYQFRIFAENRYGKSAPLDSKAVIVQYPFKEPGPPGTPFVTSISKDQMLVQWHEPVNDGGTKIIGYHLEQKEKNSILWVKLNKTPIQDTKFKTTGLDEGLEYEFKVSAENIVGIGKPSKVSECFVARDPCDPPGRPEAIVITRNNVTLKWKKPAYDGGSKITGYIVEKKDLPDGRWMKASFTNVLETEFTVSGLVEDQRYEFRVIARNAAGNFSEPSDSSGAITARDEIDAPNASLDPKYKDVIVVHAGETFVLEADIRGKPIPDVVWSKDGKELEETAARMEIKSTIQKTTLVVKDCIRTDGGQYILKLSNVGGTKSIPITVKVLDRPGPPEGPLKVTGVTAEKCYLAWNPPLQDGGANISHYIIEKRETSRLSWTQVSTEVQALNYKVTKLLPGNEYIFRVMAVNKYGIGEPLESGPVTACNPYKPPGPPSTPEVSAITKDSMVVTWARPVDDGGTEIEGYILEKRDKEGVRWTKCNKKTLTDLRLRVTGLTEGHSYEFRVAAENAAGVGEPSEPSVFYRACDALYPPGPPSNPKVTDTSRSSVSLAWSKPIYDGGAPVKGYVVEVKEAAADEWTTCTPPTGLQGKQFTVTKLKENTEYNFRICAINSEGVGEPATLPGSVVAQERIEPPEIELDADLRKVVVLRASATLRLFVTIKGRPEPEVKWEKAEGILTDRAQIEVTSSFTMLVIDNVTRFDSGRYNLTLENNSGSKTAFVNVRVLDSPSAPVNLTIREVKKDSVTLSWEPPLIDGGAKITNYIVEKRETTRKAYATITNNCTKTTFRIENLQEGCSYYFRVLASNEYGIGLPAETTEPVKVSEPPLPPGRVTLVDVTRNTATIKWEKPESDGGSKITGYVVEMQTKGSEKWSTCTQVKTLEATISGLTAGEEYVFRVAAVNEKGRSDPRQLGVPVIARDIEIKPSVELPFHTFNVKAREQLKIDVPFKGRPQATVNWRKDGQTLKETTRVNVSSSKTVTSLSIKEASKEDVGTYELCVSNSAGSITVPITIIVLDRPGPPGPIRIDEVSCDSITISWNPPEYDGGCQISNYIVEKKETTSTTWHIVSQAVARTSIKIVRLTTGSEYQFRVCAENRYGKSSYSESSAVVAEYPFSPPGPPGTPKVVHATKSTMLVTWQVPVNDGGSRVIGYHLEYKERSSILWSKANKILIADTQMKVSGLDEGLMYEYRVYAENIAGIGKCSKSCEPVPARDPCDPPGQPEVTNITRKSVSLKWSKPHYDGGAKITGYIVERRELPDGRWLKCNYTNIQETYFEVTELTEDQRYEFRVFARNAADSVSEPSESTGPIIVKDDVEPPRVMMDVKFRDVIVVKAGEVLKINADIAGRPLPVISWAKDGIEIEERARTEIISTDNHTLLTVKDCIRRDTGQYVLTLKNVAGTRSVAVNCKVLDKPGPPAGPLEINGLTAEKCSLSWGRPQEDGGADIDYYIVEKRETSHLAWTICEGELQMTSCKVTKLLKGNEYIFRVTGVNKYGVGEPLESVAIKALDPFTVPSPPTSLEITSVTKESMTLCWSRPESDGGSEISGYIIERREKNSLRWVRVNKKPVYDLRVKSTGLREGCEYEYRVYAENAAGLSLPSETSPLIRAEDPVFLPSPPSKPKIVDSGKTTITIAWVKPLFDGGAPITGYTVEYKKSDDTDWKTSIQSLRGTEYTISGLTTGAEYVFRVKSVNKVGASDPSDSSDPQIAKEREEEPLFDIDSEMRKTLIVKAGASFTMTVPFRGRPVPNVLWSKPDTDLRTRAYVDTTDSRTSLTIENANRNDSGKYTLTIQNVLSAASLTLVVKVLDTPGPPTNITVQDVTKESAVLSWDVPENDGGAPVKNYHIEKREASKKAWVSVTNNCNRLSYKVTNLQEGAIYYFRVSGENEFGVGIPAETKEGVKITEKPSPPEKLGVTSISKDSVSLTWLKPEHDGGSRIVHYVVEALEKGQKNWVKCAVAKSTHHVVSGLRENSEYFFRVFAENQAGLSDPRELLLPVLIKEQLEPPEIDMKNFPSHTVYVRAGSNLKVDIPISGKPLPKVTLSRDGVPLKATMRFNTEITAENLTINLKESVTADAGRYEITAANSSGTTKAFINIVVLDRPGPPTGPVVISDITEESVTLKWEPPKYDGGSQVTNYILLKRETSTAVWTEVSATVARTMMKVMKLTTGEEYQFRIKAENRFGISDHIDSACVTVKLPYTTPGPPSTPWVTNVTRESITVGWHEPVSNGGSAVVGYHLEMKDRNSILWQKANKLVIRTTHFKVTTISAGLIYEFRVYAENAAGVGKPSHPSEPVLAIDACEPPRNVRITDISKNSVSLSWQQPAFDGGSKITGYIVERRDLPDGRWTKASFTNVTETQFIISGLTQNSQYEFRVFARNAVGSISNPSEVVGPITCIDSYGGPVIDLPLEYTEVVKYRAGTSVKLRAGISGKPAPTIEWYKDDKELQTNALVCVENTTDLASILIKDADRLNSGCYELKLRNAMGSASATIRVQILDKPGPPGGPIEFKTVTAEKITLLWRPPADDGGAKITHYIVEKRETSRVVWSMVSEHLEECIITTTKIIKGNEYIFRVRAVNKYGIGEPLESDSVVAKNAFVTPGPPGIPEVTKITKNSMTVVWSRPIADGGSDISGYFLEKRDKKSLGWFKVLKETIRDTRQKVTGLTENSDYQYRVCAVNAAGQGPFSEPSEFYKAADPIDPPGPPAKIRIADSTKSSITLGWSKPVYDGGSAVTGYVVEIRQGEEEEWTTVSTKGEVRTTEYVVSNLKPGVNYYFRVSAVNCAGQGEPIEMNEPVQAKDILEAPEIDLDVALRTSVIAKAGEDVQVLIPFKGRPPPTVTWRKDEKNLGSDARYSIENTDSSSLLTIPQVTRNDTGKYILTIENGVGEPKSSTVSVKVLDTPAACQKLQVKHVSRGTVTLLWDPPLIDGGSPIINYVIEKRDATKRTWSVVSHKCSSTSFKLIDLSEKTPFFFRVLAENEIGIGEPCETTEPVKAAEVPAPIRDLSMKDSTKTSVILSWTKPDFDGGSVITEYVVERKGKGEQTWSHAGISKTCEIEVSQLKEQSVLEFRVFAKNEKGLSDPVTIGPITVKELIITPEVDLSDIPGAQVTVRIGHNVHLELPYKGKPKPSISWLKDGLPLKESEFVRFSKTENKITLSIKNAKKEHGGKYTVILDNAVCRIAVPITVITLGPPSKPKGPIRFDEIKADSVILSWDVPEDNGGGEITCYSIEKRETSQTNWKMVCSSVARTTFKVPNLVKDAEYQFRVRAENRYGVSQPLVSSIIVAKHQFRIPGPPGKPVIYNVTSDGMSLTWDAPVYDGGSEVTGFHVEKKERNSILWQKVNTSPISGREYRATGLVEGLDYQFRVYAENSAGLSSPSDPSKFTLAVSPVDPPGTPDYIDVTRETITLKWNPPLRDGGSKIVGYSIEKRQGNERWVRCNFTDVSECQYTVTGLSPGDRYEFRIIARNAVGTISPPSQSSGIIMTRDENVPPIVEFGPEYFDGLIIKSGESLRIKALVQGRPVPRVTWFKDGVEIEKRMNMEITDVLGSTSLFVRDATRDHRGVYTVEAKNASGSAKAEIKVKVQDTPGKVVGPIRFTNITGEKMTLWWDAPLNDGCAPITHYIIEKRETSRLAWALIEDKCEAQSYTAIKLINGNEYQFRVSAVNKFGVGRPLDSDPVVAQIQYTVPDAPGIPEPSNITGNSITLTWARPESDGGSEIQQYILERREKKSTRWVKVISKRPISETRFKVTGLTEGNEYEFHVMAENAAGVGPASGISRLIKCREPVNPPGPPTVVKVTDTSKTTVSLEWSKPVFDGGMEIIGYIIEMCKADLGDWHKVNAEACVKTRYTVTDLQAGEEYKFRVSAINGAGKGDSCEVTGTIKAVDRLTAPELDIDANFKQTHVVRAGASIRLFIAYQGRPTPTAVWSKPDSNLSLRADIHTTDSFSTLTVENCNRNDAGKYTLTVENNSGSKSITFTVKVLDTPGPPGPITFKDVTRGSATLMWDAPLLDGGARIHHYVVEKREASRRSWQVISEKCTRQIFKVNDLAEGVPYYFRVSAVNEYGVGEPYEMPEPIVATEQPAPPRRLDVVDTSKSSAVLAWLKPDHDGGSRITGYLLEMRQKGSDFWVEAGHTKQLTFTVERLVEKTEYEFRVKAKNDAGYSEPREAFSSVIIKEPQIEPTADLTGITNQLITCKAGSPFTIDVPISGRPAPKVTWKLEEMRLKETDRVSITTTKDRTTLTVKDSMRGDSGRYFLTLENTAGVKTFSVTVVVIGRPGPVTGPIEVSSVSAESCVLSWGEPKDGGGTEITNYIVEKRESGTTAWQLVNSSVKRTQIKVTHLTKYMEYSFRVSSENRFGVSKPLESAPIIAEHPFVPPSAPTRPEVYHVSANAMSIRWEEPYHDGGSKIIGYWVEKKERNTILWVKENKVPCLECNYKVTGLVEGLEYQFRTYALNAAGVSKASEASRPIMAQNPVDAPGRPEVTDVTRSTVSLIWSAPAYDGGSKVVGYIIERKPVSEVGDGRWLKCNYTIVSDNFFTVTALSEGDTYEFRVLAKNAAGVISKGSESTGPVTCRDEYAPPKAELDARLHGDLVTIRAGSDLVLDAAVGGKPEPKIIWTKGDKELDLCEKVSLQYTGKRATAVIKFCDRSDSGKYTLTVKNASGTKAVSVMVKVLDSPGPCGKLTVSRVTQEKCTLAWSLPQEDGGAEITHYIVERRETSRLNWVIVEGECPTLSYVVTRLIKNNEYIFRVRAVNKYGPGVPVESEPIVARNSFTIPSPPGIPEEVGTGKEHIIIQWTKPESDGGNEISNYLVDKREKKSLRWTRVNKDYVVYDTRLKVTSLMEGCDYQFRVTAVNAAGNSEPSEASNFISCREPSYTPGPPSAPRVVDTTKHSISLAWTKPMYDGGTDIVGYVLEMQEKDTDQWYRVHTNATIRNTEFTVPDLKMGQKYSFRVAAVNVKGMSEYSESIAEIEPVERIEIPDLELADDLKKTVTIRAGASLRLMVSVSGRPPPVITWSKQGIDLASRAIIDTTESYSLLIVDKVNRYDAGKYTIEAENQSGKKSATVLVKVYDTPGPCPSVKVKEVSRDSVTITWEIPTIDGGAPVNNYIVEKREAAMRAFKTVTTKCSKTLYRISGLVEGTMYYFRVLPENIYGIGEPCETSDAVLVSEVPLVPAKLEVVDVTKSTVTLAWEKPLYDGGSRLTGYVLEACKAGTERWMKVVTLKPTVLEHTVTSLNEGEQYLFRIRAQNEKGVSEPRETVTAVTVQDLRVLPTIDLSTMPQKTIHVPAGRPVELVIPIAGRPPPAASWFFAGSKLRESERVTVETHTKVAKLTIRETTIRDTGEYTLELKNVTGTTSETIKVIILDKPGPPTGPIKIDEIDATSITISWEPPELDGGAPLSGYVVEQRDAHRPGWLPVSESVTRSTFKFTRLTEGNEYVFRVAATNRFGIGSYLQSEVIECRSSIRIPGPPETLQIFDVSRDGMTLTWYPPEDDGGSQVTGYIVERKEVRADRWVRVNKVPVTMTRYRSTGLTEGLEYEHRVTAINARGSGKPSRPSKPIVAMDPIAPPGKPQNPRVTDTTRTSVSLAWSVPEDEGGSKVTGYLIEMQKVDQHEWTKCNTTPTKIREYTLTHLPQGAEYRFRVLACNAGGPGEPAEVPGTVKVTEMLEYPDYELDERYQEGIFVRQGGVIRLTIPIKGKPFPICKWTKEGQDISKRAMIATSETHTELVIKEADRGDSGTYDLVLENKCGKKAVYIKVRVIGSPNSPEGPLEYDDIQVRSVRVSWRPPADDGGADILGYILERREVPKAAWYTIDSRVRGTSLVVKGLKENVEYHFRVSAENQFGISKPLKSEEPVTPKTPLNPPEPPSNPPEVLDVTKSSVSLSWSRPKDDGGSRVTGYYIERKETSTDKWVRHNKTQITTTMYTVTGLVPDAEYQFRIIAQNDVGLSETSPASEPVVCKDPFDKPSQPGELEILSISKDSVTLQWEKPECDGGKEILGYWVEYRQSGDSAWKKSNKERIKDKQFTIGGLLEATEYEFRVFAENETGLSRPRRTAMSIKTKLTSGEAPGIRKEMKDVTTKLGEAAQLSCQIVGRPLPDIKWYRFGKELIQSRKYKMSSDGRTHTLTVMTEEQEDEGVYTCIATNEVGEVETSSKLLLQATPQFHPGYPLKEKYYGAVGSTLRLHVMYIGRPVPAMTWFHGQKLLQNSENITIENTEHYTHLVMKNVQRKTHAGKYKVQLSNVFGTVDAILDVEIQDKPDKPTGPIVIEALLKNSAVISWKPPADDGGSWITNYVVEKCEAKEGAEWQLVSSAISVTTCRIVNLTENAGYYFRVSAQNTFGISDPLEVSSVVIIKSPFEKPGAPGKPTITAVTKDSCVVAWKPPASDGGAKIRNYYLEKREKKQNKWISVTTEEIRETVFSVKNLIEGLEYEFRVKCENLGGESEWSEISEPITPKSDVPIQAPHFKEELRNLNVRYQSNATLVCKVTGHPKPIVKWYRQGKEIIADGLKYRIQEFKGGYHQLIIASVTDDDATVYQVRATNQGGSVSGTASLEVEVPAKIHLPKTLEGMGAVHALRGEVVSIKIPFSGKPDPVITWQKGQDLIDNNGHYQVIVTRSFTSLVFPNGVERKDAGFYVVCAKNRFGIDQKTVELDVADVPDPPRGVKVSDVSRDSVNLTWTEPASDGGSKITNYIVEKCATTAERWLRVGQARETRYTVINLFGKTSYQFRVIAENKFGLSKPSEPSEPTITKEDKTRAMNYDEEVDETREVSMTKASHSSTKELYEKYMIAEDLGRGEFGIVHRCVETSSKKTYMAKFVKVKGTDQVLVKKEISILNIARHRNILHLHESFESMEELVMIFEFISGLDIFERINTSAFELNEREIVSYVHQVCEALQFLHSHNIGHFDIRPENIIYQTRRSSTIKIIEFGQARQLKPGDNFRLLFTAPEYYAPEVHQHDVVSTATDMWSLGTLVYVLLSGINPFLAETNQQIIENIMNAEYTFDEEAFKEISIEAMDFVDRLLVKERKSRMTASEALQHPWLKQKIERVSTKVIRTLKHRRYYHTLIKKDLNMVVSAARISCGGAIRSQKGVSVAKVKVASIEIGPVSGQIMHAVGEEGGHVKYVCKIENYDQSTQVTWYFGVRQLENSEKYEITYEDGVAILYVKDITKLDDGTYRCKVVNDYGEDSSYAELFVKGVREVYDYYCRRTMKKIKRRTDTMRLLERPPEFTLPLYNKTAYVGENVRFGVTITVHPEPHVTWYKSGQKIKPGDNDKKYTFESDKGLYQLTINSVTTDDDAEYTVVARNKYGEDSCKAKLTVTLHPPPTDSTLRPMFKRLLANAECQEGQSVCFEIRVSGIPPPTLKWEKDGQPLSLGPNIEIIHEGLDYYALHIRDTLPEDTGYYRVTATNTAGSTSCQAHLQVERLRYKKQEFKSKEEHERHVQKQIDKTLRMAEILSGTESVPLTQVAKEALREAAVLYKPAVSTKTVKGEFRLEIEEKKEERKLRMPYDVPEPRKYKQTTIEEDQRIKQFVPMSDMKWYKKIRDQYEMPGKLDRVVQKRPKRIRLSRWEQFYVMPLPRITDQYRPKWRIPKLSQDDLEIVRPARRRTPSPDYDFYYRPRRRSLGDISDEELLLPIDDYLAMKRTEEERLRLEEELELGFSASPPSRSPPHFELSSLRYSSPQAHVKVEETRKDFRYSTYHIPTKAEASTSYAELRERHAQAAYRQPKQRQRIMAEREDEELLRPVTTTQHLSEYKSELDFMSKEEKSRKKSRRQREVTEITEIEEEYEISKHAQRESSSSASRLLRRRRSLSPTYIELMRPVSELIRSRPQPAEEYEDDTERRSPTPERTRPRSPSPVSSERSLSRFERSARFDIFSRYESMKAALKTQKTSERKYEVLSQQPFTLDHAPRITLRMRSHRVPCGQNTRFILNVQSKPTAEVKWYHNGVELQESSKIHYTNTSGVLTLEILDCHTDDSGTYRAVCTNYKGEASDYATLDVTGGDYTTYASQRRDEEVPRSVFPELTRTEAYAVSSFKKTSEMEASSSVREVKSQMTETRESLSSYEHSASAEMKSAALEEKSLEEKSTTRKIKTTLAARILTKPRSMTVYEGESARFSCDTDGEPVPTVTWLRKGQVLSTSARHQVTTTKYKSTFEISSVQASDEGNYSVVVENSEGKQEAEFTLTIQKARVTEKAVTSPPRVKSPEPRVKSPEAVKSPKRVKSPEPSHPKAVSPTETKPTPTEKVQHLPVSAPPKITQFLKAEASKEIAKLTCVVESSVLRAKEVTWYKDGKKLKENGHFQFHYSADGTYELKINNLTESDQGEYVCEISGEGGTSKTNLQFMGQAFKSIHEKVSKISETKKSDQKTTESTVTRKTEPKAPEPISSKPVIVTGLQDTTVSSDSVAKFAVKATGEPRPTAIWTKDGKAITQGGKYKLSEDKGGFFLEIHKTDTSDSGLYTCTVKNSAGSVSSSCKLTIKAIKDTEAQKVSTQKTSEITPQKKAVVQEEISQKALRSEEIKMSEAKSQEKLALKEEASKVLISEEVKKSAATSLEKSIVHEEITKTSQASEEVRTHAEIKAFSTQMSINEGQRLVLKANIAGATDVKWVLNGVELTNSEEYRYGVSGSDQTLTIKQASHRDEGILTCISKTKEGIVKCQYDLTLSKELSDAPAFISQPRSQNINEGQNVLFTCEISGEPSPEIEWFKNNLPISISSNVSISRSRNVYSLEIRNASVSDSGKYTIKAKNFRGQCSATASLMVLPLVEEPSREVVLRTSGDTSLQGSFSSQSVQMSASKQEASFSSFSSSSASSMTEMKFASMSAQSMSSMQESFVEMSSSSFMGISNMTQLESSTSKMLKAGIRGIPPKIEALPSDISIDEGKVLTVACAFTGEPTPEVTWSCGGRKIHSQEQGRFHIENTDDLTTLIIMDVQKQDGGLYTLSLGNEFGSDSATVNIHIRSI
+>DECOY_sp|Q8WZ42|TITIN_HUMAN Titin OS=Homo sapiens OX=9606 GN=TTN PE=1 SV=4
+ISRIHINVTASDSGFENGLSLTYLGGDQKQVDMIILTTLDDTNEIHFRGQEQSHIKRGGCSWTVEPTPEGTFACAVTLVKGEDISIDSPLAEIKPPIGRIGAKLMKSTSSELQTMNSIGMFSSSSMEVFSEQMSSMSQASMSAFKMETMSSASSSSFSSFSAEQKSASMQVSQSSFSGQLSTDGSTRLVVERSPEEVLPLVMLSATASCQGRFNKAKITYKGSDSVSANRIELSYVNRSRSISVNSSISIPLNNKFWEIEPSPEGSIECTFLVNQGENINQSRPQSIFAPADSLEKSLTLDYQCKVIGEKTKSICTLIGEDRHSAQKITLTQDSGSVGYRYEESNTLEVGNLVWKVDTAGAINAKLVLRQGENISMQTSFAKIEAHTRVEESAQSTKTIEEHVISKELSTAASKKVEESILVKSAEEKLALKEQSKAESMKIEESRLAKQSIEEQVVAKKQPTIESTKQTSVKQAETDKIAKITLKCSSSVSGASNKVTCTYLGSDSTDTKHIELFFGGKDESLKYKGGQTIAKGDKTWIATPRPEGTAKVAFKAVSDSSVTTDQLGTVIVPKSSIPEPAKPETKRTVTSETTKQDSKKTESIKSVKEHISKFAQGMFQLNTKSTGGEGSIECVYEGQDSETLNNIKLEYTGDASYHFQFHGNEKLKKGDKYWTVEKARLVSSEVVCTLKAIEKSAEAKLFQTIKPPASVPLHQVKETPTPKTETPSVAKPHSPEPSKVRKPSKVAEPSKVRPEPSKVRPPSTVAKETVRAKQITLTFEAEQKGESNEVVVSYNGEDSAQVSSIEFTSKYKTTTVQHRASTSLVQGKRLWTVTPVPEGDTDCSFRASEGEYVTMSRPKTLIRAALTTKIKRTTSKEELSKEELAASKMEASASHEYSSLSERTETMQSKVERVSSSAEMESTKKFSSVAYAETRTLEPFVSRPVEEDRRQSAYTTYDGGTVDLTAYDSAEGKYNTCVARYTGSDDTHCDLIELTLVGSTNTYHIKSSEQLEVGNHYWKVEATPKSQVNLIFRTNQGCPVRHSRMRLTIRPAHDLTFPQQSLVEYKRESTKQTKLAAKMSEYRSFIDFRASREFRSLSRESSVPSPSRPRTREPTPSRRETDDEYEEAPQPRSRILESVPRMLEIYTPSLSRRRRLLRSASSSSERQAHKSIEYEEEIETIETVERQRRSKKRSKEEKSMFDLESKYESLHQTTTVPRLLEEDEREAMIRQRQKPQRYAAQAHRERLEAYSTSAEAKTPIHYTSYRFDKRTEEVKVHAQPSSYRLSSLEFHPPSRSPPSASFGLELEEELRLREEETRKMALYDDIPLLLEEDSIDGLSRRRPRYYFDYDPSPTRRRAPRVIELDDQSLKPIRWKPRYQDTIRPLPMVYFQEWRSLRIRKPRKQVVRDLKGPMEYQDRIKKYWKMDSMPVFQKIRQDEEITTQKYKRPEPVDYPMRLKREEKKEEIELRFEGKVTKTSVAPKYLVAAERLAEKAVQTLPVSETGSLIEAMRLTKDIQKQVHREHEEKSKFEQKKYRLREVQLHAQCSTSGATNTATVRYYGTDEPLTDRIHLAYYDLGEHIIEINPGLSLPQGDKEWKLTPPPIGSVRIEFCVSQGEQCEANALLRKFMPRLTSDTPPPHLTVTLKAKCSDEGYKNRAVVTYEADDDTTVSNITLQYLGKDSEFTYKKDNDGPKIKQGSKYWTVHPEPHVTITVGFRVNEGVYATKNYLPLTFEPPRELLRMTDTRRKIKKMTRRCYYDYVERVGKVFLEAYSSDEGYDNVVKCRYTGDDLKTIDKVYLIAVGDEYTIEYKESNELQRVGFYWTVQTSQDYNEIKCVYKVHGGEEGVAHMIQGSVPGIEISAVKVKAVSVGKQSRIAGGCSIRAASVVMNLDKKILTHYYRRHKLTRIVKTSVREIKQKLWPHQLAESATMRSKREKVLLRDVFDMAEISIEKFAEEDFTYEANMINEIIQQNTEALFPNIGSLLVYVLTGLSWMDTATSVVDHQHVEPAYYEPATFLLRFNDGPKLQRAQGFEIIKITSSRRTQYIINEPRIDFHGINHSHLFQLAECVQHVYSVIERENLEFASTNIREFIDLGSIFEFIMVLEEMSEFSEHLHLINRHRAINLISIEKKVLVQDTGKVKVFKAMYTKKSSTEVCRHVIGFEGRGLDEAIMYKEYLEKTSSHSAKTMSVERTEDVEEDYNMARTKDEKTITPESPESPKSLGFKNEAIVRFQYSTKGFLNIVTYRTERAQGVRLWREATTACKEVIYNTIKSGGDSAPETWTLNVSDRSVDSVKVGRPPDPVDAVDLEVTKQDIGFRNKACVVYFGADKREVGNPFVLSTFSRTVIVQYHGNNDILDQGKQWTIVPDPKGSFPIKISVVEGRLAHVAGMGELTKPLHIKAPVEVELSATGSVSGGQNTARVQYVTADDDTVSAIILQHYGGKFEQIRYKLGDAIIEKGQRYWKVIPKPHGTVKCVLTANSQYRVNLNRLEEKFHPAQIPVDSKPTIPESIESWESEGGLNECKVRFEYELGEILNKVSFVTERIEETTVSIWKNQKKERKELYYNRIKAGGDSAPPKWAVVCSDKTVATITPKGPAGPKEFPSKIIVVSSVELPDSIGFTNQASVRFYYGANETLNVIRCTTVSIASSVLQWEAGEKAECKEVVYNTIWSGGDDAPPKWSIVASNKLLAEIVIPGTPKDPKDQIEVDLIADVTGFVNSLQVKYKGAHTKRQVNKMVLHTYHETNEITINESNQLLKQGHFWTMAPVPRGIYMVHLRLTSGVAGYYKEKLPYGPHFQPTAQLLLKSSTEVEGVENTAICTYVGEDEQEETMVTLTHTRGDSSMKYKRSQILEKGFRYWKIDPLPRGVIQCSLQAAEGLKTTVDKMEKRIGPAEGSTLKTKISMATRRPRSLGTENEAFVRFEYETAELLGGITFQKDKIREKNSKKWASDGSQRYEVWYGLIEKGGDCEPKEWQLTVSDKSISLIELEGPQSPKDFPDKCVVPESAPSTESLGVDNQAIIRFQYEADPVLGTVTYMTTTIQTKNHRVWKDTSTEKREIYYGTVRSGGDDKPRSWSLSVSSKTVDLVEPPNSPPEPPNLPTKPTVPEESKLPKSIGFQNEASVRFHYEVNEKLGKVVLSTGRVRSDITYWAAKPVERRELIYGLIDAGGDDAPPRWSVRVSRVQIDDYELPGEPSNPSGIVRVKIYVAKKGCKNELVLDYTGSDGRDAEKIVLETHTESTAIMARKSIDQGEKTWKCIPFPKGKIPITLRIVGGQRVFIGEQYREDLEYDPYELMETVKVTGPVEAPEGPGGANCALVRFRYEAGQPLHTLTYERIKTPTTNCKTWEHQDVKQMEILYGTVKSGGEDEPVSWALSVSTRTTDTVRPNQPKGPPAIPDMAVIPKSPRSPKGSGRANIATVRHEYELGETLGTSRYRTMTVPVKNVRVWRDARVEKREVIYGTVQSGGDDEPPYWTLTMGDRSVDFIQLTEPPGPIRISSRCEIVESQLYSGIGFRNTAAVRFVYENGETLRTFKFTSRTVSESVPLWGPRHADRQEVVYGSLPAGGDLEPPEWSITISTADIEDIKIPGTPPGPKDLIIVKITESTTGTVNKLELTYEGTDRITTERITLKAVKTHTEVTVRESERLKSGAFFWSAAPPPRGAIPIVLEVPRGAPVHITKQPMTSLDITPLVRLDQVTVATVTERPESVGKENQARIRFLYQEGENLSTVTHELVTPKLTVVKMWRETGAKCAELVYGTLRSGGDYLPKEWALTVTSKTVDVVELKAPVLPVESVLVADSTECPEGIGYINEPLVRFYYMTGEVLGSIRYLTKSCKTTVTKFARMAAERKEVIYNNVPAGGDITPIEWTITVSDRSVEKVKVSPCPGPTDYVKVLVTASKKGSQNEAEITYKGADYRNVKDVILLSYSETTDIIARSALDIGQKSWTIVPPPRGSVSVMLRLSAGARITVTKKLDDALELDPIEIREVPEIEAISESYESMGKVNVAAVRFSYKQGMKLDPVTFETNRITANTHVRYWQDTDKEQMELVYGVIDTGGDYMPKTWALSISHKTTDVVRPASPPGPTYSPERCSIFNSAESPESNGAANVATVRFQYDCGEMLSTVKLRTDYVVYDKNVRTWRLSKKERKDVLYNSIENGGDSEPKTWQIIIHEKGTGVEEPIGPPSPITFSNRAVIPESEVPVGPGYKNVARVRFIYENNKILRTVVYSLTPCEGEVIVWNLRSTERREVIYHTIEAGGDEQPLSWALTCKEQTVRSVTLKGCPGPSDLVKVMVSVAKTGSANKVTLTYKGSDSRDCFKIVATARKGTYQLSVKECLDLEKDGKTWIIKPEPKGGVAADLVLDSGARITVLDGHLRADLEAKPPAYEDRCTVPGTSESGKSIVGAANKALVRFEYTDGESLATVTFFNDSVITYNCKLWRGDGVESVPKREIIYGVVKSGGDYAPASWILSVTSRTVDTVEPRGPADVPNQAMIPRSAESAKSVGAANLAYTRFQYELGEVLGTVKYNCELCPVKNEKVWLITNREKKEVWYGIIKSGGDHYPEEWRISMANASVHYVEPRTPASPPVFPHEAIIPASELPKSVGFRNESSVRFSYEMYKTLHTVKIQTRKVSSNVLQWATTGSERKEVIYNTIETGGGDKPEGWSLVCSEASVSSVEIPGTVPGPRGIVVVTVSFTKVGATNELTLFYRGSDGRMSDKVTLTTRDKTTTISVRDTEKLRMEELKWTVKPAPRGSIPVDITFPSGAKCTILQNTIGTLDATPEIQPEKIIVSSFAERPESYGADNKAKVRFEYETKEVLREVTFTLQKTHGAEVWFDSGKQRMELLYGTIRSGGDHDPKLWALVASSKSTDVVDLRRPPAPQETAVIPEPMEYPEGVGYENVASVRFYYPVGEALDNVKFIQRTCKESIVQWSRRSAERKEVVYHHIRAGGDLLPADWMLTASGRTVDKFTIPGPPGPTDLVKVTFTISKSGSNNEVTLTYKGADNRNCNEVTLTSFSDTTHIDARLSLNSDPKSWVATPTPRGQYAIFLRISAGARVVHTQKFNADIDLEPATLRDVAKITGTVECSDGKGAGNIASVRFKYEEGAQLDTVTYRTKVCAEANVKHWDGLDAKCMEIIYGIIEMGGDFVPKSWELSVTTKSTDTVKVVTPPGPPNVPERCKILRSIGSAPGVGAANEAMVHFEYENGETLGTVKFRTESIPRKSIVKVWRTSKKERRELIYQQIESGGDSEPRAWTLTISNGTINSPEPIGPADPVTYQIQAVVPDSDLPRGVGFKNVASVRFQYENGNILKIATYSQAECKDEILAWALRSTERKEIIYHTIPACGDNLPADWWLTMKEGTINTFRIPGVVKGPTDQVKVKIEAKASGSANKAEVTYVGRHDRTADRVFLSTSGLVDTIEMNMRKEIEVGDKFWTVRPVPRGQVLAKIRLSEGSKIILGDFYEPGFEVIPPVNEDRTMIIGSSQSPPSITGVANRAIIRFEYRDGPSLGTVTYQCESVDTFNCRVWRENGQRKEISYGVIKSGGDRLPPNWKLTITERTVDIYDPTGPPDVPSVALTFKSPDSPSSLGASNEAYVRFQYDLGEVLGTARYERGSIPSTNVKQWLISNREKKEVHFGTVESGGDYVPADWTLSMGDSTVNYIVPKGPPGPIRFQHKAVIISSVLPQSVGYRNEARVRFQYEADKVLNPVKFTTRAVSSCVMKWNTQSTERKEISYCTIEGGGNDEPVDWSLIVSDAKIEDFRIPGKPKSPPGLTIVTIPVAIRCVANDLIVTYKGGHEKKANKISLTIKNETKSFRVFESEKLPLGDKLWSISPKPKGKYPLELHVNHGIRVTVQAGPIDSLDVEPTIILEKVTIPGITVPDSLGKENKAFVRFELVSQEKLQSVEIECTKSIGAHSWTQEGKGKREVVYETIVSGGDFDPKTWSLIVSTKTSDKMSLDRIPAPVEAAKVPETTECPEGIGIENEALVRFFFPTKESLDILKFSTSSCKHSVVSWTRKTADRKEIVYNIIPSGGDILPPDWLLTVTGRSVHKVQLKQCAAPTDLVKVSVTSSKPEGVGNEITLIYKGTDNRTVQPITLLSSSDTNEISYRADSGLNKEDKRWTVTPPPRGKFPILVQVDEGAKAIVSTRLAVDLDIEPAELIDKAQVPENMEIPEGQGACNVASVRFYYNVGPKLNSVVYETTRVEGKTSVTTWEEEEGQRIEVVYGTVASGGDYVPKSWGLTISSKTSDAIRIKAPPGPPDIPDAAKYFESPESFPGQGAANVACVRYQYDSNETLGTVKQRTDRITEKLVKFWGLSKKDRKELFYGSIDSGGDAIPRSWVVTMSNKTIKTVEPIGPPGPTVFANKAVVSDSELPEGIGYKNVARVRFIYENGKIIKTTTIICEELHESVMSWVVRSTERKEVIYHTIKAGGDDAPPRWLLTIKEATVTKFEIPGGPPGPKDLIQVRITASASGMANRLKLEYCGSNLRDADKILISALDTTNEVCVLANTQLEKDDKYWEITPAPKGSIGARLKVSTGARYKVVETYELPLDIVPGGYSDICTIPGVVESPNSISGVANRAFVRFEYQSNQTLGSIIFQTETVNTFSAKTWRGDPLDRREVIYGTIKSGGDFAPQQWSLSVSNKSIDTIRVNRPPECADIALVPESPHSPKGVGAANEAYVRFEYILGASITTVKFHTTRIVLKNAKQWLISNRDKMELHYGVVASGGNSVPEHWGVTISERTVNTVWPTSPPGPTTYPLKVTVCASDIHDSIGFRNEAKIRFQYEEGTTLKMVKMMTRAVTASVETWVATSTERKLLIYNTVQSGGDYKPPEWKLTVSEETIDSIVVPGTPPGPRDLVVINIFAKTTGSSNAATIEYRGADATVSEKLNITLNEATIETNFRMTAKLPVGDRSLTVKPLPKGSIPIDVKLNSGARVYVTHSPFNKMDIEPPELQEKILVPLLLERPDSLGAQNEAFVRFFYESNERLGSVVHHTSKAVACKVWNKQGKELAEVVYHVIRSGGDHEPKLWTLSVSDKSISTVGLKEPPSPKETIKVGEKTEAPIGVGFENEGSVRFYYIAGEQLNTVKYSLRNCNNTVSVWAKKSAERKEIHYNKVPAGGDNEPVDWSLVASEKTVDQVTINTPPGPTDLVKVVLTLSAASLVNQITLTYKGSDNRNANEITLSTRSDTTDVYARTRLDTDPKSWLVNPVPRGRFPVTMTFSAGAKVILTKRMESDIDFLPEEEREKAIQPDSSDSPDSAGVKNVSKVRFVYEAGTTLGSITYETGRLSQISTKWDTDDSKKYEVTYGTIPAGGDFLPKVWAITITTKGSDVIKPKSPPSPLFVPDEARILPSTESPLSLGAANEAYVRYEYECGERLGTSKVRLDYVPKKNVRVWRLSNKERREIIYGSIESGGDSEPRSWCLTMSEKTVSTIELSTPPSPVTFPDLAKIAVSELPEGVGYKNVGTVRFIYENGKLLKTVKCSTMQLEGECITWALHSTERKEVIYYDIDAGGDEQPRGWSLSCKEATLGNIELPGAPPGPKDLVKCNVAVSRTGAVNKLTLVYQGTDRRICDKVTLLTHNDTSIIETRAREEIEIGDKAWSIVPLPRGAIDANIKLVEGAKVVIVDRFKVDMMVRPPEVDDKVIIPGTSESPESVSDAANRAFVRFEYRQDETLETVEFYTEQINTYNCKLWRGDPLERREVIYGTIKAGGDYHPKSWKLSVSKRTINTVEPQGPPDCPDRAPVPECSKSCKGIGAINEAYVRYEYMLGEDLGSVKMQTDAILIKNAKSWLISSREKYELHYGIVRSGGDNVPVQWTVLMTSKTAHVVKPTGPPGPPSFPYEAVVASSESYSSKGYRNEACVRFQYESGTTLRVIKISTRAVAQSVIHWTTSTTEKKEVIYNSIQCGGDYEPPNWSITISDCSVEDIRIPGPPGPRDLVIITIPVTISGASNSVCLEYTGVDEKSAEKISLSTVTKSSSVNVRTTEKLTQGDKRWNVTAQPRGKFPVDIKLQERAKVNFTHFPLEVSPKIEIDRAIVPVGLQRPDSRGKENVAAVRFVYEEGATLGSITAELTKVQTCTSWKESGKTQMEVVYGTIKSGGDSEPKEWKITATNRTVDVLTVRGPPLPPESVKVPETTEAPLGIGYENSALVRFYYSCGEQLNEIRFTTKTCNNTITAYAKRTTERKEVIYNTIKAGGDILPPEWSLTVSDKKVERITLNVPASPSDLVRVNVFATKSGSNNELTLNYRGSDFRTVNDIVLMTFSSTVEIQARDTLIGEAKEWKVEPEPRGKITVFLRLTASARLVVVKRLDADLEIEPPEIREQAVVSGPLTAPEGVGESNIACIRFNYETNEKLKTVTFQKGQLGTPPTCTTWEDAAAEKVEVVYGKVPAGGDYIPKSWALSVSSRSTDTVKPNSPPGPPYLADCARYFVSPESPEGVGAANEAAVRFEYSHGETLGTVRLRLDTLTKKNCKTWRVGEKDRKELIYGEIETGGDDVPRAWTVVMSDKTIASVEPTSPPGPPKYPNCATVPGSELPEGIGYKNVAMVRFIYENGPLLKTVKYNLAQVETSVQTWSLRSTERKEIIYHSINAGGDQLPPNWALYCKEATVGTVKLPGEPPGPRDLVKVTIPISKTGGVNSLKLIYQGGDTRICDKVVLTTKQITSKIEMRAATEELEKGDKSWVVDPIPKGRIDAELVFTEGAHVVIVDKYKPDLSANPADIEDRATIAGSSDSPESFNGAANRAIVRFEYRQDEVLGSVTFETELVNTFSAKMWRGDPLDKKEVIYGTIKSGGDYAPKKWKLTVNNRTIVIAEPRGPPDCPDRAVFCESVKSPKGIGVINEASVKFEYELGEDLGTTKFKTDQIPTKNLKVWLISNKEKQELHYGIIKTGGDNVPEHWQVLMQDKSISTVFPTGPPGPEKFPYQVIVAKSDLPASKGYRNEAFIRFQYETGTKLKTIKITTRAVTASVMHWTTTTTDRKEVIYNSIQCGGTYAPPEWSIVVFDASVEDFRVPGVPPGPKELVIVSLNETATGASNTATVTYKGFDDKNGEKIHLVTSTATEEVNVRTTQKLPEGDKVWSINPRPRGIVPIEIKLDEGAQISYTNFPLKLSPQITLDKAIVPVGLVRPDSKGKENYAKVRFQYEQGSSLGTVVANCVKSEAVISWKETGKPQMEVVYGLVRSGGDHEPKEWMLSASTQSVDTLTVKGPPSPPEAAKVADVTEVPVGVGFENEAMVRFYYIAGETLNEVKFSTKSCKSSVNAYAKRTSERKDIVYNKVKAGGDIIPPEWVLFASDKRVEKVALNQPPGPTDLVKVTVFASKSGSSNELKLIYKGADNRDCNDISLQTYNVGKEIQVKDTFEGEERSWTIEPTPRGKFPIHIRASGGARVVIGKRLESDLDLEPAELKDEPKVTGPVSTAEGLGVKNLACVRIKYEQHETLKSIEFRTVRLGTQPTVIQWEEEDAKCIEVVYGLIESGGDYIPKGWALTISNKTTDVIHANTPPGPKFVPDCAKYYVTAPSPEGVGAANEASVRFEYEHDETLGTVRLRLDTIRRKNCKIWRIGSRDRKEVIYGIIESGGDSDPRNWCVTMSDKAINTVELSKPPGPLVFPNKMLVPASELPEGVGYKNVAMIRFVYENGELLKTVKLSNTVVESAVVTWALRSTERKEVVYHSIDSGGDQLPPSWTLSCKESTVGTVQVPGEPPGPRDLVKVNVPFSKSGAVNSARLIYQGGDIRIADKVILLAKFDTNKIECRASEEIEKDGRLWEITPLPKGHVDAELRFTEGANVVITDRFKPDMSIRPLEVEDKATIPGTSDSPKSIAGAANKAIVRFEYRQDETLGSVTFQTEIVNTFSAKMWRGDPLDRKEVIYGTIMSGGDYVPKTWQLTIENRKVMIPEPTGPPDCPDRAVYCESNKSAKGVGVINEAYVRFEYEIGEELNQAKFQTDHIITKNVKTWLISNREKRELHYGIVPSGGNNVPEHWQIVMSDKSIATAFPTGPPGPEKYPYQAVIPDSELAFSQGYRNEAFIRFQYETGTKLKTVKLTTRAVTASVVDWVTTTTDRKQVIYNTIQCGGTYLPPNWSLTISEASVDDFKVPGKPPDPKDLTVIEISATKQGVVNAVTIGYQGGDDKHTEKISLTTLDLSDTVNIRTTQKLPLGDKTWTITPKPRGSIPVEIKLDQGVQVSYSSFAPKVDPEIVLDKAVIPVALSRPDSRGKENVAVVRFLYEEGQTLNTIVAQLSKVRACESWKESHKAQMEVIYQIIKSGGDHEPKTWSLSVSNRTVDDVTIKGPPQPVEAVKIPDATQAPLGIGYENEATVRFYYSCGEQLQDIKWSNKHCNTVVAAYSKRTAERKEVIYNKIKSGGDLLPPEWTISVSDKTIETVKLNVPPSPTDLVRVTVFASKTGSSNELTLTYKGSDYRNVNDLVLSTFSSTVDIIAADRIEGDVKGWKVEPTPRGKIPVFLRLSGGARINIIKRLELDLDIDPAELKEEVIIPGPVDAHEGVGAKNIACIRFNYEHKELLKEVEINTKNIGTPPTCMTWEGVSVDCKEVIYGQIECGGDYIPKSWSLFVSSRTIDIVKPNNPPGPKYIPDCAKQYASPPSPESLGAANEASVRFEYDHNEILGTVRLRLEGILRKHCRTWRIGEKDRKELVYGLIESGGDSAPREWVVIMSDKTVTTVEPAKPADPVVFPNKAVVPESELPEGVGYKNVAMIRFTYENGELLKTVKCSLTQVNADVVTWVLRSTERREVIYNIIDSGGDQLPPKWALTCKEATVGSIVVPGEPPGPRDLVKVNVTVSREGAVNKAKLIYNGSDVRVADKVSLSTAFDTSKIELRATNSLEQDGKIWQITPIPKGYIDADVKFSEGAHVVITDKYKPDMSIRPPDVEDRATIAGTSESPESFVGAANRAIVRFEYRHDEVLGTVEFHTDIINTFSAKMWRGEPLEKKEVIYGTIKSGGDYTPKKWQLTVSNRTVIIAEPRGPPDCPDRAVYCESVKSPKGIGVINEASVRFEYEVGEELGTTKFKTQPIPTKNLKVWLISNREKRELHYGIVRSGGDSIPENWQVEMSDRSSLTVVPTGPPGPVKFPYQAVTPESNLYTSKGYRNEAAIRFQYECGTKLRCAKITTRAVTASVIQWTTTSTDRKEVIYNNISSGGDYKPPGWSLTISDATVEDMKVPGTPPGPKDLVIVNLTEIAEGASNTLKVVYHGVDERCADKITLLSNNETSEANVRTTQKLPVNDKHWTVAPTPRGIFPVDVKLDEGALVTFTNFLLKFAPPIVLDKAIVPVSLQRPDSIGKENQASVRFSYEEGQILGTITAETVKVTACTAWKDSGKTQMEVIYGLIRSGGDHEPKEWSLSVSNRTVDMLTIKGPPLPRESAKVSEATEAPLGIGYENEALVRFYYSCGEQLQDVKWSTKHCNTAVTSYAKRTSERKEVIYNKIKSGGDLLPPDWTLTVSTKTVEKVKLDQPPGPTDLVRVNVFASKSGSSNEVTLIYKGSDFRNVNGVILLTYSSTSEISAKDLSEGHDRAWKVEPAPRGKIPVFLRLTCCARITVVKRLDADLEIEPPLMRDEAKPTGPVLAPEGLGESNMAYIRIKYEQNETLGTITYSTAKLGAPPTVIQWEDEEPLAIEVMYGTIESGGDYIPKNWAISISSRSTDLVRPNGPPGPKFVTDCAKYFPSTPSPASIGAANEAMIRFEYEHGETLGSVKYRLDTLTKKNCKIWRQGAKDRREVIYNIIESGGDSDPHGWCVVMSDKTITTVEPNKPPDPPGYPNVALVPESELPEGVGYKNVAMVRFIYENGKLLKTVKLKTVQVESAVNTWALRSTERKQVIYHDIKAGGDDLPPFWSLVCKESTVETVALPGEPPGPRDLVKVNFIHKAFGGPNTATLTYAGSDRRTSDKNVLNTSFDAIKIELKATGELEKGDKSWEMTPPPRGSVDAELRFAEGAKLIVTDKFKVDVKIKPAEVDDRCTIADSPESPPSIAGAANKAIVRFEYAADETLGSVTFENELINSFNAKLWRGNPLDRKEVIYSTIKFGGTYEPKAWKLTVTHRTINLPVPKGPPDIPDLALMPESPKSPKSKGAMNEAIVRFEYAIGDTLGSSKFINGPVLAKSVTQWLIGNREKREVHYGLIPSGGDSLPEHWSITMSDKTVATVQPTGPPGPVKFPYNAVICASTIGPGVGYRNQAKVRFQYELGTTLRTAKYTTRIVTTALEVWTTSDTQRMEVVYNSIPVGGDNEPPDWSFTVFDSSVEDFKIPGTPPGPIDHVQITIVDGVEGVINRATLPYPGSDSRVCENINLITSTATTEFNVRQTQKLIQDGKKWTVTPKPRGLVPIEVKINDGAKAIVLKQYIGRLDLEPLMTQEKVIVPRSERPASRGASNVAMVQFTYEEGETLNRVVCELGKVTTIHTWQDSGKRQAEIVYGTIKSGGDHKPKPWALSVTSKTIDMINLSDPPSPAESAKVPETTETPEGIGYENEAMVRFFYECGESLGTVKYTCKHCKTTATSYSKRTAERKEVIYNTIRSGGDILPLDWHLTVSDKTIDTPRLNLVPGPTDLVRVNVFGSKKGAPNEITMVFKGTDYRNCEPIILLTFSETNEINARNKLNINDKTWTVEPAPRGKIPVFIRISLGARVVLTRRLEADLEFDPAMEREVIEVDPIVAPEGVGADNIASIRFNYKEKTQLDPVVFQTIRLATGTTDKIWAEDGVKQHEVVYGTIELGGDYHPKGWALSASKKTTDTVHPNSPPGPPYAADKMLVSDSAESPPGIGARNEASVRFEYTSGEQLGTVKCRLDSVPTKIARVWRLSKKERREVHYGTIESGGDDVPRKWSVTATNKTVNSVEPKEPPGPPGFRDVMKVPESQVPEGKGYHNVASVRFIYENGQILKTAVHRCSQIDESVVTWLLRSTERKELIYSKIPSGGDELPPTWTLTAKEASVNSIEVPGPPGPVDLVTVKVHEVKTGFPNTATITYEGADKRTAYKITLMSSTPTSTIQTIDSPRIDKGAKSWSSKPLPKGLISIANLVITDGAKITLGDKITPDLVITPAEYEDKCIITETSESPASIAGATNKARIRFEYKGGEVLDTVTFACEPVNVHNAKMWSKSPLDRKEVIYGTLKHGGDYKPETWILTVSNRTINIVEPKGPPDIPDLAVVPESPLSPKGVGALNIAMVRFEYELGETLGTVKFDRMRIPTKNARKWLLSNREKFELHYGTIPSGGTKKPDTWTLSVSDHRVDVINPPPPADPVDFPHRAVIPESKLGEGVGYKNEASVRFTYEMGEHLRTVRFTTKQVASACTVWKNNVSDRKELIYNTIESGGDDKPPAWKLTMAEATVEDFKIPGTPIGPKGVVKISITGEKTGAVNKLTITYKGADSKQCDYVILTTNVASSEVSVRTDTALPDEGKKWSVSPAPKGKIPIKLRFNSNEKALYCLDPLGKLDLDPAVVDDRAVVTIESPTGEGNENEASVRFTYEKGETLDKASYQLSLSKMVRQWKNEETLFDVVYGIIRSGGDSHPKKWGISCSSKSVSRVKLDVVPGPTQSAKVADRTEGPDGIGYENEAFVRFFYSKGEELNAVRFSTKSCETTVTSWSKREADRKQVVYNIIPSGGDIIPPEWTVYASDKSIEKVTVNVPPGPTDLVKVVITYEKKGCSNELTLIYKGADYKNVNECRLFTDFDTSKIDLGIRDRLNVNPKSWTIKPPPRGKVPVYLRMTVGARLILTKRLDADLEGEPPILIDKPYHKDPVDSPDSYGIKNVAYVRFQYQTDEMLGTVEFRTKQLNQPLNCRVWNDSDARKVEVLYGIIPSGGDYAPKGWSLSVSSRTTDYVKPFAPPGPPYQPDRAYAAKSADSPKGPGAKNIATVRFEYETGEQLGTAKLTREIIPKRNVKTWNVAQTERKELLYGTIPSGGDDAPPKWSVTMHDKTINSIVPPDCRGPPDYPNKAIAPETFVPDGVGYKNEACIRFQYEHGEILKEVSCSTIPVTASVQAWNPRSTERKDVIYNTIESGGDELPPEWSLMARDSYMKNIKVSAPPGPKDLVKLKITASKSGSSNEATITYDGSDKRNVSFLELTCLNRQMAMKIGEAPKLLTGDKKWSVTPMPKGFVTADLCVNTGAKVTLLSKMAVSLDIRPPVNEALITVPDSPESPPSINVATRAIARFQYQAKEELGTATYEEQPIQHPATNCRVWKDGPLKCAEVFYGIIKSGGDHKPRAWILSVTSKTVDIVEPKGPPDVPMRATVYETPKSPPSSGAKNLAYIRFSYELGEVLGACPYETSRVLTDNAKVWLLSNREKREILYGIIPSGGDSDPRDWAVFICDKNVKTVRANKPESPVGFPFQAVMKPSTLPESIGFRNEARVRFIYEQGPILKGVRCSTKTVSALATVWDGRSVDCKEVIYNTINSGGDELPIHWSLSCADADIDSIDFPPQPPGPADMVVVKIKQTDTGSSNEATLSYYGSDKRTVDKIILISSSDAKHVALHSSTVVEDEGKKWKCTPHPKGYVHAEIRLKKGAKITIQEPMLIKPPLLQEKAEYVGEAERPLSVGVANRAAVRFKYKKGEKLGTVVLSLDKVVSYETWRDAPQEEERYSTIYGDIKAGGDRLPPLWALTASNKTMETVELKRPPDPESLPDSALVPKPVDTPVGPGYENVATVRFYYENGPVLNTVHFSTKKVEPTVTSWTKRDAERKEVIYHTVQSGGDNEPPAWSVHCSTKTVDSVKLDSVPGPTDLVRVNVFVAKEGAPNVLTLSYKGSDDRTSNPIVLFAMTDVLDVQGKRVVNDIGVKRWTVKPAPRGRVIAFLRIPCGARVIVLKRMSADLDIEPPELIEKPKIAEKLEAPRGIGVQNQACVRFEYEQNEDLSTVTFEKRVLQAAANCRKWGEDPSADAIKPRMEVVYGIIPAGGDYVPKGWGLTISHKTTDVVRFNTPPGPPEIPDKAVVPRSPLSPEGIGIENEAKVRFQYEHDPLLNQVKMRREPIASKNVPVWRTSHKEKKELFYGTISKGGDYEPPNWVVTMEEKSVKTVEPPDPRGPKDYKTKAIVPKSETPPGTGIKNEARVRFIYENGEILRTVTTGPTLVTASYTSWVMRKTECKELIYNQIECGGDDEPPDWCVTCRDSSVDVIKLNRVPGPKDLVNVTAYAVFSGATNTATVVYKGGDSRKAKTLSFKSSDARTDIKVRPSRTLDTADKDKTWAVEPFPKGRVGAELRITDGAKVTLVGSLKLDLVPKQLDEKVVVEETKVWDSEGGENKTQVRFEYIQNEVCRDVMYHTEKISGKHVREWDDSGKLRREVHYSLNPSGGDYDPRRWKLYVFTKGKDAIHLNEPEGPRDIPNIALIPTKTEITPGVGVKNEACVRFYYENNALLNAKILRKTVDSAVISWGKQNPKRYEVIFNTIESGGNDLPNEWSITCNEKTVNTVKLNQCPGPRDLVNVIASGQAHGSSNKASIIYKGHDARVAEKIQLEVRPLDQILDVRKERVLVKGEKTWTIDPEPRGKVRALIRITQGVRVTIVDRCTVDLEVEPPHLIDKAIVSEALERPEGEGVINAAKIRFRYENGEILGPVRFACQRILEDKNIRNWQATGPKQCEVVYGLIPSGGDSLPKTWVLDATERSKDKLKPNIPPGPPKIPRCAKIPDSSPSPKSLGALNEAFVRFEYTNGEYLGTVRFKLDAIPTKNVRVWKGTVERREMYYGTIPSGGDSKPLTWSVTAANETIDTVVPKGPPSPPSFKHKAVTPTSDLPPGVGIKNEARVRFVYECGKQLHPIKLKTKSSGSSVVGWTDKRTDQKEVIYNIVPSGGDDKPPQWSITMHEPTVDLINIPGTPPGPVDLVTLHVAVTKSGAANSVTIQYEGTDRRLCNKITLVSSFNDTEVTYHEDTKIESGDTTWKATPVPVGRIIAPFRVTTGAKVVLGEILKVDLEVSPPVLKEQCEIPITTDPLGLGVINEAKVRFRYTKGQQLGTVVCELNTVTKFKIWDQTGEEQYEVLYGTIPSGGDRDPKNWVLSVETKDVDVHHLDKPPGPPHLPDLAKIPKPTEVFPGRGYQNEAAVRFLYQNGENLHKAFHSKKKSTASLPSWQASAVDRREVVYNTIVSGGDDLPEKWTLYCSDKRIESVELDRPPGPKDLVLVKVSVTKSGAPNEVTLSYIGGDEHAANRIELKSGVPTVDIRAKTPADRDEKKWTVKPFPVGKIIASLRLTDGVKIHQERAMNADLILEPPELRDKVLVPEPVHAPDSEGAANVALVRFKYTQGDRLGTVKYKTEPCSEPTHNARRWEEDGVKQYEVIYGLIKSGGDHRPPQWTLDVSNCTTDIAEPNVPPSPKAIPDKAFVPKSPPSPDGIGIENEASVRFEYQCGELLDPVRFKTYPHIPDKTVRAWRKSMTERKELIYNTIPKGGDHPKNWTVLASDKTVETVIPQDPADPVRFRDKAKMSDSVLPDSIGYLNEAHIRFIYDKGELLKSVKCTTKASASTVPMWVDKGVEKKEIIYNTIKSGGDDLPPKWSIVMYDKTVEDFSVPGVPPGPRDVVNVQCFGKRSGTSNEVVVCYKGSDSRKAKIKELALTAPTTKIHTRDDELVDAEDKFWSVKPKPKGSYRGTLAFAEGVRITLKDRFDLHLTPPALEDKCTIPKTCFSPKGQGVINVASVRYEYTDGEKLGKVTYKRSLLNDNTVKHFKETGILRSELVYNIIESGGDYKPPNWTLTVTNKTVEKVELDEPREPVTIPERIVLAESTEVFPGMGISNEAAIRFFYAKGQILGQVTANQRTVTYTVPTWARRDSERKEIVYNTIPSGGDDEPSFWTLKCSENTLNHALFPTGVPGPVDLVDVIITKKREGAENKALLSYVGQHSRQCNKIVMSSSIATTEITAEQPLTRENMNWTVTPPPKGSVYAIIRIVGGAHVVIRDRVSADLQLDPSVLRDKMEIVDTVEGPEGIGAINVARVRFKYFAGEKLGTVVLKTGRVEKDKGKEWEEKGEEKYEVIYGTVKSGGDKLPPSWELDASSKTWDTVKPFPPGPPAIPDRATAPDSPLSAPGVGAANIAYVRFQYDSGEILGTVKYSVGLTMAKIPDRNVRKWRKSTTDKMELWYGTVPSGGDYEPEEWNVTMSNRTVSSVTPKDPAGPVSFLNRATEPESDLPEGIGYKNQAMIRFVYEHGELLKPITYTCEKPESSVHVWTKRNAERKEIVYNTIKSGGDDKPPFWSLTMQDASVSEFKIPGVPPGPRGLVNLRMEKSATGLNNVATITYLGTDSRRSQPIVLTCTDDVVLKNVHTDYLVPEKNDPKKPPAKFWTLTPFPVGKIKAVINVNTGEEVEMFEKLDISPPCTQDKVTVPGVTASPKSVGAANVAKVRFEYEGGEVLDEVTFQLEEVDRKNCQRWAEKKTGTPDWALCEVIYHTVPSGGDNKPPKWSLHVTNKTILGIKLKIPPDPPYIPDRATTRQITEVPPGIGFRNEARVRFFYQQESLLDPVVFTTSGCDPNVKTWAKGDITRKEIVYGKIRDGGDDDPMKWSLRCSGRTIDSVKLDKPPGPVDMVKVRCNATKQGAKNKATISYKGTHSRKCEPIIIVSSNEATELQADEPIDHVGDKMAKKAKGDFEWSSKPTPRGKIVAPIRIQSGAKCVLCDQAGIDIFVEPEEQIDTAPIEGTTDSPESEGAENVAKVRFRYKRLEKLNPVVCECTTILKDPENVRKWDTTGEEQMEVIYGKIPSGGDKAPPKWGLSISSKTKDKVEPCSPPGPPFIPDGAVEPDSPESPPGIGAANIAMARFQYKVGELLRPVNFEVGKLGVKTIPARSVRSWYPSGEERKELWYGTIPSGGNDLPPTWSVLMSGKTRALVKPKGPPGPLRYPDQIVVKDSKCEDSIGYKNVARVRFEYQGNPILKWIGYRKEVATNTVEEWEAKKRSADRKDIVYHTIESGGNDLPADWTLYCSEAKIDTVALNRPPSPRDYVEVHVNRFVSGLRNSATVTYTGKDERVAKPISLETKAESRSVEEKTIQLADTPKEIVTENKSWEIKPMPLGTVDAPIHVPEGAKVKITDGRVSLRLKITPPVEDDQIVVNLPLSPESEGIENVAKVRFEYMQHEDLNEVLFSTTPCLRKNVREFDPKDHRRKEIIYGQIPSGGNSRPEKWDLTITSKTRETYTLREPSTPPGLPDVALIPGIEVPPGCGFKNKAIVRFKYEQGELLGTIDCKSRETEIPQRWTHMKADKREIIFGTIESGGDDEPDSWNLLCMKRNTVVPKLDLVPGPVDFVEVRAAAFKSGCSNTATIVYRGHDKRLADKIILESKTGVNDIVVRDKDLEGEEKTWVKTPVPRGTVVAPIRLTKGAMIQIGGKVSVDLEVAPPEQPEAVTVPQTEGPPGEGAANVASVRLKYDAGERIEKVTYQRVKIMKETCRSWENTGVLQKDVFYGVIEGGGNFAPPEWELEITTSSTDTVRPIPPGPPSIPDHATKPDSPPSFKGPGAANEACVRFVYTLGELLGDVNAKLANLLSKNVRSWHTSNVERKELWYGLIPSGNDKPENWKVLMSNSTVDEVIPKDPADPPGFPDKAVLPKSVCPPGPGFRNEARVRFLYEKGEILKTVSYKCHRLTSTVVIWESDPKTKDKKELTYNIIESGGDDLPPEWKCLVSTKRIDEFVFNIPPGPTDLVSVTCPAKAIGHDNEVKIMYLGHDPRLSDRVRLQSEGKKSNDISLRQTLPLVFPEEEQVEGKRRRVLPAARKKIEEPVIVNEDKIWTITPYPSGSISADLAIEDGRKVELSTRLIVKPADIPDVAKTPPTARSPESIGAANEARVRFQYEKGEELGKATYTLIPVLHENCRKWREEGKAIKEIIYGMIKSGGDYLPPKWTITMAEATPNHVEVDVPPDPPQKPDQAIVPNKLEIYEGGGVKNVAKVRFFYEGNPILDKVTWSLKNEGSMVPIYTRRGAERRELVYHLIPTGGDFEPPEWTLKCSSKTIDSAKIDKCPGPLGIVKVNISATASGLKNELTITYIGADARVSKPVELHASIHDNKMTLRDSAKVEKGDKHWSVTPVPVARFPVPISLKEGEIVIIDHTELDITPKCDPDKAVVNESIESPESVGIANVARVRLVYEKDPVVGEEVKFKLDKIPRSNVRMWKETGVPCMEVHYGIIPSGGNKEPPKWAIAIHKRGADTVHLDLPPSPPSTPDEISLIETPESPHGVGAENEARVQFQVETGEIVDTVRFNCDPGATKGAKVWRTGLREKKEIVYRQIPRGGDNKPPEWKLDVHRKTVDVVALAYPPGPTTFTDKALVSDEIETYDSQGIANEAAVRFVYLSGETLPTVTCKTDKVTTDVTQWGKRRVDRKEVIYNTIPSGGDKEPVTWKLDATNKTINIVELWRPPSPPYLKERCLVPDSPESPESEGAKNVARVRFSYEQGEMLGPLLHQTTPVGEAVRVWEDTGTKLMEIVYSEIKAGGDHEPKTWNLRVSTKGVDKVTPKGPPWPPDIPDKILIPETSKSPKGPGALNEALVRFRYKKKNTLGKVRYTTDKVPMKNCRVWKDTDPDLREVWYGTIPSGGDDDPECWTLTVADKTIDSPELRTPPGPPDFQYKATIPSAQVPEGVGYMNEAAVRFIYEKNPILKTAKFNTDKVTSSLKHWVESDTARREVVYNTIKSGGDDRPPNWTLLCSENTVDTIDFAAPPGPKDLVNVEVVATARGCVNVAEIIYTGTDSRKSDVITVTSKKPVNEITIRKDQKLIMDAKTWTIKPEPKGTVTAPLEIKTGAKVTLGALLKVDLFIEPAEQTDVAQIEETPRSPKSAGQRNLAKVRYAYWKGEELGTVEMKTEAVPEGCAVWKDSGRPCREVIYGKIRSGGDNKPPDWTLFISNATRDRWKVNEPPDPVTAPTSMNVPEDVYAPEGPGCKNRACVKFLYEKGQVLDPVTFELDTVFDMVKTWTKRSVERKEVVYGTLPSGGDDDPPEWTLHVSDKTIDGFKLEKPASPRAIVNVDIEGSITKVRNELKLTYIGKDSRESPSIVLEAYASLTKMKVRDGTELVKDGFCWTATPRPYGTSPVLITIPNPVIVELGDKFASLDMTPEVFADDATLPGLIESPDSVGAKNEASVRYLYKNGKELGTVKYTLEPVLKMNCRIWNDKGEECREIIYGLIPSGGDKLPPEWKLQVSSQTQDSSTLNVPPSPREIPDAVKVFPTAASPKGVGIRNQARVRFSYEQGEVVDTVTASLDEARVTMATDWRISTKDRLEIVYNTIEAGGDYEPPEWTLSVGFRNVDTITVNLPPGPVDYKSRAEVPNDTEVPEGTGVRNRASVRFLYEVGGKQLGTVTFECSEARDTALVWTKRKIDRREVVYGIIKSGGDTLPEEWALSVEGDFTETVELNRVPGPVDIVKLNIFGEAKGHKNQLVIKYRGKDGKKAELIRFSTQEATTDITKTSLPENEKFWEAEAKPYADYPVVMTLPKGVDVVLDQDATKIKPAAALELKARAEKDKAIFRYEGQDRPKIDCIQLRHIKGESMMQHKDGQVVVMNNRLWQVEVKDKNLEALFVVDAGPAELIDQPPRIIEVPIEEVFLRARSKRDEVGCAYECEDDLRCDKIILRHISGDKEFKYKKGEKIERGNRYWKVNAKERSLQCSFVADDFETVTQDELHEVFETPAEIILLEAVSKDQGATVIYEGEDPFGCDKITLMHKYKDVRYSVRNDFPVEEGNKTWKLTVNLRNVKCWFTVSKKEMTEIDKLPEVIRLDEEEVILNAASKVNEGRHNTLSVNYNAQDDLHADRIRLTYVLDKQLIIYKSSDFIAEENRFWKAKAGETNVECNFVVEQQEKVRTDKLPVVIRLKEIVTLHAAARAAGVMVVYTGMDQLTADKVVLVRKKGDAIVDYKDGSELTKDDRKWQVPFSEKSISCVFEAKEGELIELNQPKSIFEAALEHVKVKGDTRSSPLRCNYNGADELHANQIVLIRKRGETLIEYRGTEIIEEDGKYWIVEAGPKSVECVLKITDTESVEINALNKTFVAEEELVTLMGSTRATRVECSYEAEDDLLCKNIVLIRVAGKSIIDYKKGKKIEAGDKFWKVKANERSLECEFRAMEKERVQLDTLPRLFEVHPPVVNLNCSTKFDKADCTYTKIDEPTCDHIVLKRVRGDAVIEYKKSKLIETGNKFWKVKANERSVECELVATAGEEVTQDELPKTFEVPPEKVFLNAHTKFDKATLQVEGADELKVDRLTLTHVKGESRPVVKDSPELKKGKLYWEVPIDEYSLECDFTATEGATVTVDKLPRLLGIVRPKVRLHASSKVNAAQFDVGGTQDLRCNHLVLSHIKGEEKIECDPTQLLAEGKLKWNAHIDDESIETEFRATETEMVEVSHLPRVLKIERDEIDLKGSTKDTGCDCTYQGIDSKLAKKLILMRKKGDAKIVANKSPKIEKGDKFWKVPADAKSIECQLIVEDKEVGTYDQLKGTFYPDGELVTLKAESSMGMAEVRIQSTDDISLDKFTISHTKGEDQMSVSRTTHLRQDNKFWKVRINDHSLEVTFVASEKEKATVDKLPTLFKLRIGEIILKGSTHKDEAEFMYKAEDEFAASKIVMSHKTGDKILEFRDDGTIEQTGKLWRFTKPERSVECEFKAEDKEFVKVDSLPTIFILPLEKVKLNAASKANAAQFSVEGTMGLQCNHLILIHKKGDEIIECDPSATLPQGKLKWQGHVDPESLEIEFHATEGVFVEVGYLPKEVKILRAEVTVNAKTKDTGCDCVYEGKDKLDAKKIKLIRRLGDAKISYKPSPVIEEGDKFWKVPVDKSVECKLTIEDKEVATKDHLKVTFYPDAELVKLQATSSLEKVQAKIQSIDDLTVEKMELKHTKGESSILVTRSTHLKADNKFWVVHMKEHSLECVFTATEGEKVTQDELPSMFKLRIGTVFLRASTKKGEVEATYVGEDDFQSDNIVLIHKKGDAIIDFKDSSKIIDPGKSWIVNAERTLVCEFRAQRREPVTVDKLPVAFDLEIEKVTLIATTIANLAQYLVEGAQDLKVKHLTLFRKGGEAKIECTPSPRLLEGKLKWQGPIDAESIEADFSASEKEYITVDEIPKLLEVEREGLTLKAPYRKGEIEVAYEAIDEPMANKIKLYLHNGDRLIETKDNPEAPIEDYGKFWKINPTDKAIDCAFIATGKPKVHQDRIPKVLWDRIVEVVKVCSSCELNNANEVTVTYTGADTDDADNITLARMLGIVGPVIRGPKEVVIKGDKRWVVDREKNLECSLYLPQGKVVTVEEELTKVFRVPLEEVVLKATSTKEKNGLRLVCTYEGADSLQVDIIHLKRDKGDAIFRHKPSERINSGDKMWTTIATSPSVLCEFIASSGVFESETLIIDKIEKVFKLPVAKLQYTFPAEDPPKEGGSGPRLKRREAEIPSPTKKPVGKIPGKPKAAEEKPAKAEAKKGVVPVTVPAAIPTPEPEVPPPKITKVEAEPKPKPEPAPLPIAPKIEPVKTPEPIKRERKTVTPVEVEEVPKPPPPEPVAPKKVKELKKPEVKPEEEEPRKRLVSKLVVEEKPEPKREPLLKRVKKEGGPVKLPTLEVKEPVQPKEPVKKKPIAKLQFIKEKVDEKPPAPPPPPPRPKLKPKEIVKEPEPEKPPPAEPKKIVPVKKEPIIKKPEEPVAPPPVEPEEEEAVPVPKEEEPAIEEEPAIEEPEEYVEPPPSEAREPVPVAPKEELVPKKPVEPVKAPPVEPKKPVAVKKEPVVEKPVEPVKAPPAEPKKPVVLPVKKEPVVEKPVEPVKVPPLEPKKAVVAPAKKEPVPKKPPVTVQPPAAPEPEEVVEAPPEELAVEEPEEFVEPPPSEPKPPIAEPVKKEPVAVKPVEPVKTPPVEPKKPPPVPVKKEPVVEKPAEPVKVPTVEPKKPPAAPVKKEPVVEKPAEPVEPPPSEPKPPIAEPVKKEPVAAKPVEPVKTPPVEPKKPPPVPVKKEPVVEKPAEPVKPPPAEPKKAPPVPVKKEPVVEKPPLVEPVKTPPVRPEEPVRVKEEVVIKKPVEPVKAPPEKRPVVKTPPKKPPIIKESIEPGKPPAKPKEFVEVEEAEFVEIVRHVKEVRHEEEVRHQELKIFEEVEHFEGEEFYEEVEHFEEEEPIEEVEAEVVELELREKVVVEQRFAVSVKEELVTRGKAPPVAEKKPVLVKKEPVKKPVEPVKAPPAEKKQVPVPVKEEPIKKSVKAPPAEVKKPVPTPAEPVAKKIVEPVRPPAVEEVEEISPPEEPIEEESIPEEEPEETVEVPLVEEEKPLIIRKEEVKKPVEPVKAPPAEEKKPIVVKKETVKKKIEPVPAPVPVKPKVEPLVEEEPVFEEEEPVYEEEPPVEEEEPPIEEEEPLVEEEEPLVEEEEPLVEEEEPLVEEEPVIEEEFLVEEEQPLAVPVPVPERKKPVKPPPAEVKKPVPTPKKEEPILKKPVEPVKAPPAEVKKPAPVPVKKEPVPKKPVEPVKAPPAEVKKPVPVPVKEEPVPKKPVEPVKAPPPKEKKPILVPVKEEPKKPVEPVKAPPAEKKKPVPVPKKEEPVPKRPVEPVKVPIVPVVEEETIFEEEEHIYEEEEEVLYEEVHTVVEEEFAVEEPELEKPVEPVRVPPAVEKRPVPVKEEAVVRKPKMPVKAAPETVQEKERKTISIRIKEEFVKKPEEPVKPPPPKLKKPIPVPVKEEPVAKKLVKAPPAKPKTPVPEEPVPKVPIPKEPETIYEEHEEYREYEREEYEEYQDYEETPEYEEFEKYDYEEYEEYEEERQVSVAEEELTYAREKEEMITVEKIPQPERKPAEISVKEEKVVRKRFETVKAPPEEERKPKLKLVKEEPVARKPEEPVRAKPPAEKKTVAVLVKEEQTIQMHRETVKAPLPEEMKIVKPPVKKEPVPKKPEEPVKPPPVKEKKPVPAPVKKEPVIKKPAEPVKAPPPEEIKAPIKPKLVIKKEQRETVEAPEAEVRKSIIHLKEEVVYEEPEEMVEYETVEAEEEEEREEERYVSISVGEEEESYSWEEEASVEHRTVEVRQPVAFSLKEEAVFRKEEVVTKKSVEARPPPAKKAVPVPVAVKEEPVPKKPLAPVKPPPPVEEEKRPVPKREEPVKKKPIEPVAPPPSEERQTVITIKEETVVGKQVEPVKAPPAEKKKAVPVPIKEEPVPKKPVEPVKPPAAPEKKPVPVPKKEEPVPKKPVEPVKPAPPEVKKPIPVPAVEEPAPKEPLEPVKPPPEVRKSIAVHIKEETVIEKQVEPVTVSVEEETHSAFFMKEESIVMKKTHVAPVKVEIVEEQVPTRSPKQPVIKKSVEPVKTVQVKEEEMRRSTKEIKEIVPKKIVKPPPPAKKEPVKAPVKREHSEEYVEKQVQIVEREQYAEEWEEEGEDYGEEREYYEQGEEFDEEWEEHVEKKAEVKIEEYDEDPEEYYPQEFPETYPEVELEDYPEEFSEIFVRKEKHVAQKKEYVAEKEKQVEKKRTITMIKVEEAKSSVDIIEPAKAPILTTPPPPKKVIEERPTMEKPKAVVKKADKKVAKKTVEIRKPPPKKEEPTPLLLPIPPAVVPPIEEPPVARIPMTPIPVRSDPIDPPKLELKIEKTTLYLEATSRAEGRPELRAIVSYVGEDDPTVNHITMYHCRGDNRFNYKQSETLETPGKYWTVIADDFSVECEFTASQHESVVINQIRKTFQIPEAEIRLEASTELDEYKCTYQGQDEARVDAITLKHVKHEVETKHRGQPKLIRGNRFWESKVNPVSFQCTMTCTQGEKLTVDQLHREWAPEIVTLKASAIHPGCVLRYNGQDKLQCNKVRLTHRDGDISIEFKDSPELKETGKYWSLKIEPYNIKIDIEFVADNDKLVTQNEIDKILTVPETQSLRQQIFSVLEEFEKEDRESREIEEIELRHTEEEQSQKLLEFAQLLGRFDTIGYMRAYKEYEKPDVNKLLEMIDIEEEEGAGKKLIPTKKLMARLDGEIKEQKKREDVQLNVNSEIEGHENFAVCRYLGSDTKTTDRIELKAEDGKQHIFVRGGQNLQRWKGKTWKVNPIPDGGVKAIFTATTKEVVRISQPESVFFLRGDVAAKKTAPAVAPKDKITVKSKTTDSGAVNSAKCVYDGSDAKAVDRLELVATGSAFSFSCRDSPKIERGAKLWQIRIPESGQVHCSLQVYEGESVTVPTLHQDFVPPKKPEKIVISSTCLASGADNSVKCTYLGADNMGAKRVQLVLTNNKFTIECNSTPQIEINNKYWAVTIPQSGAVRGELKFSNGETEEVTESLRKTFSPPILREKINLQATCSDKGVENVAYCTYQGSDGKDVKLVTLHASNELYSIQYKGGSRIERSDKAWSVKIPQTGTVHCEFDASEGVVADVPALRIDFFPPNKGETLILRASSSASGIPNTATCSYQGALSRDTKFINLTATNDLFSTQVNPSDKLPKGDKYWSVSIPESGSIACDFVVPLGVTEQVDRLQRSFSPPLKQEQVTLFTSASVEGVSNEAKCIYEGSDNIDVQNFVLTAVNNRFHMKYTTTPRLKTDGKYWSVGIEPTGALQCQLSASDGVSVKVPELQKVFYPPELILIQASCSDKGSANEIYCNYQGADEVTSSPIELIASKETTTINCRQSPTVNIGNKKWTVSIPPTGTFTGELILPKGKEISYDNLRKVFKAPAKIYLHTTCSAKGAENSVICTYEGSQSTDVDFLELEAVSDELSVNCRDGPVLESSGKFWSVSFPPTGKVISTFTVNTGTLVDMPDPKQVFSPPERVTLPASCEDSGAMNTAICTYDGSDSEELMNVTLACTNDTLTTQYKRGSSIENGEHFWSVSIPPSGYVKCEMVVSSGSLGNTEKLKRTFSPPVTRDSVQLSATCSDKGVPNQVEFSYVGSDSPAVNIIKLGSVKNFFSIKYKNDSTLEKGDKFWKTSLEPTGAVTCELTCTDGTTVKISEPKEVITAPELVSLTAFCEQMGADNKIQCTYKGANAPEVRSFQLTAINESYSIWINDSERVIEGKDKFWVVSIPEAGEITTQLQVEKGVVTSIDSLKKVFRPPAKLAISGVCTDSGVDNTAKCQYEGIDAADVNLIHISTLFNESMIKYKKGSRLERKDKYWSVHFPPTGQLECELHVDAGKLTEIPHPKKRFIPPEKVKLSTSSSASGAANHAECTYDGSDEVSLNHMELVAVSDVFSMRFKSSEQIETEDKYWLVKIEPSGGIKCEYRTFEDQKVIRSPELKKIFRPPEQVGLQASCSDKGAINSAYCTYQGADGKGVKLVTLTATNEVLTMKYNGGPRIERNDKAWTIKIPATGTVHCKFTGSEGLALDVSVPKLDFFPPVEHESLILKASSSATGLPNSASCNYQGIHSQDTQLIQLTAVNHVFSTQLNADDKLLVGDKYWSVQLPESGNIRCEFAVPFGLTEHVDKLKRAFFPPLKRAKIVLVASSAVAGVSNDAKCSYEGVDSHDVKNIVLSAVNNKFQMKYAPASRLKTHEKYWSIRIEPTGDVKCQLTAPEGIVAEVHELPEIFYPPELVSVLAECIDQGAENEILCSYQAYDEITSELIELITSKETMTISCRESQSILYEDKIWSVSIPPTGTYTAELVIPSGTEVSFDALRKVFTAPEKVFLHTTCSASGADNTVLCSYDGSELPQVEFLELETVFDELSINCSEGPVLERSGKFWKVKFPPTGRIVSTFTLSMGPLVEVSDPTQEFSPPEQVTLVASCEDSGAVNAAVCTYIGTDSPELLSLNLTCVNESFSSQCKPGSVIENGDQFWGVSIPASGSVRCELVVSAGLIANVDKLKRIFSPPVIRDSVHVSVTCNSKGVSNKVEFSYLGKDSMEACPIKLSAVKNIFTIHHKSDASLERGDKFWKASLEPTGTVVCELAFPNGTTVTMPEPKEIIRAPELVTLVASCERMGADNKIQCIYKGSNSAEPSGLQLTAINDIFSIRTNESERIVEGRDKLWVVSIPQFGEVIARLEVADGILTSTDSLKKVFRPPEKFKVSCSCTDSGVENTAKCHYEGVDSAELNLIHLSTDFHKSTIKFKKSNRVQKRDKVWVVEFPPTGSIECQLIVDSGKLAGVPSPKQTFVPPAKVTLATSCSADGVGNHAECIYDGSDEASAENITLLAVSNIFSMNYKWSEHLESDNRFWSVKIEPSGSIKCELQISEGQKAVKSAELKKVFKPPEKVSLQASCMDKGVDNTAQCTYQGSDGKGVKLIRLHPTNGVCTITYNGGPRIEKNDKSWTIRMPQAGTVHCEFDASEGAIVDISVPKIDFFPSKKPERATLRASSSATGLPNSASCSYQGSHSLDTQLIKLTAVNDVFSTQLNEDDRLLVGDRYWCVQIPASGNLRCTLTVPLGVTQEIDKLQRAFSPPLQREQIRFVTKSSATGISNEAKCTYEGSDNINVKNFVLTAVNNTFYTRYEPTPRLKTDGKYWSVTIEPTGAVQCQLSVSDGVAAELPELETVFYPPELTSVLAGCVDRGAENEIECSYQGADRKTSNLIELICTKETTVINCKESTTINFGDKKWTVSIPLTGTYTSELIISKGPEVSHDSLRKLFAAPEKVFLRTTCSAQGANNSVVCTYEGSQSIDINFLELEAVTDEFYINCRDGKVLERAGRFWNVKFPPTGRIVSTFTVNKGPLVELPEPEKVFSPPEQVTLVARCEDSGAVNAAVCTYNGMDSSDLSNLQLTCVNDSFTTQYKAGSVIKTKEHFWAVSIPSSGAVKCELICSAGLVGGTNKLRRTFSPPVARDSVDVVATCSSKGVNNQVQFTYTGADQREVSLIRLSSIKNYFSFKHKQSSTLLKGDKYWEVSLEPTGAVKCELTCTEGVTVTMPGAKEVIVAPELVMLTAMNERMGGDNKIQCIYKGANAPEAKAFQLTAVNEVFTIRINESERIVEEKEKLWQVFIPQAGEISAQLEAPEGAVVTLSNLKSVFRPPEKLKVTCVCTDSGVENQAKCHYEGIDSTDVNLIHISTHFNKSAIKYKKSSRLQRKDKYWVVEFPPTGSLECELSVEANKLTEVIPPFSSFVPPEKVIVKTSCSTSGAPNQAECIFDGSDETSLNNMQIVAVSDIFTMRYKDSAPLEHENRFWVVRIEPSGAIKCELRSSDGAKVIKSAELKKVFKPPETVLLMTTCSDSGVDNTVHCTYQGTKSADVSYLNLFSTSGELGIFCKPGSVLEVDDKFWKIKFPPTGKLIAKFEVTSDPIAQQRGPKVLFSPPEKVTLIGSCADDGAVNSVKCTYNATDELELNNVELSVSREHCVLRYKASTSIEKGDKFWQASIPLSGSVKCEMHISSGLVKDMKTLKKTFSPPINQDLVRLYADCSSSGFDNEVKFTYQGSDQKMVSQIRFSAVNNEFSMSFYRSPVIQKGDKLWKVKLEPTGAVVCELTMPDKETVDVSKAKEVIQAPEQVLLFAYCREVGSENKAQCTYRGSHGNDVSRIQLTAVSDVFSIYVNDDEDLIQDDKLWTISIPPSGAVTSQFTASSKLVTTTNEIKKIFSPPEKLAVRTSCSCSGGENSVICQYEGTDSPDCKTIHLNFVSVRDTLTYKKSSRIERNNKLWTVEFPPTGTVECELEVDSYKVVEVPKLERIFTPPEKVKLEISCSATGADNRAECVYTGSNEVRAGSIQFTALGDIFSIGHKQIATIENGDLYWSVRIKPTGTIQCEFTTSQGNRLVLVPSPKKIFQPPEKVFLTAKSTATGVDNSLQCIYTGSDTAKAAFLELSTSTDDKWVSRAAGSHLEKNDKFWKITMPATGGVLAKLQVRTNPNVDQSQPKEVFYPPEKVTLHGSCQNHGAKNTASCTYTGSDTGELQSIELFATNDHFSFKYKESASIEQDDKFWQISIPHSGAVICELDIFSGKISDMKKLKKTFSPPIILDLVNIRAKCSSRGVDNQVEFTYEGSDKKETSIIKLISVTDTVSIKYKSGLTLEQGDKFWKATIEKTGSFKVQLTAPDGQTVDISVAEETITAPEKVSLLASCRQIGADNKAQCVYKGDHKVEIGSLYLSAVNNEFTMRINDDETIEDSDKLWTVTIPLSGKLTAQFAATGGRLSSTSEIKKIFSPPERLTVRASCISSGVENTVRCQYEGADAAVFKLIQLSVLHDQIFTKYKRGSRLITNDKFWTIEFPPTGAVEALLMVDYEKLVEIPKFEKTFYPSEKVIVISSCHDSGAENQAECMYEGSDEIGVDTLRLVATSEVFSMRHKSSEKIERDNAFWTIKIPPTGTVKCALQTADGKKLLQSPELKEVFTAPEKVFLNASCEVGGAVNSVKCTYTGSDSTKVLYLELSSELAEKTIYCSGGSVLEKNGKFWRITLPTSGQFTSKLCVASGPLVDKSGPKTVFSPPEQVILAGSSDKSGVSNTARCTFNGADNMDVRIIELSATGEVFAIRYRDSAAIEKGDKFWSVRMPLSGAIKCDLRCTGNVVSDVNRLPKTFFPAIDRDLVTFTTECSSSGVENSIEFTYQGSDHLEASYFKLQAVNNKFSIRYKKSAVLPRGDKYWKPKLEPTGAVTYELTAPDGATVQILEAREIIKAPEKVILEGVSTQSGAENEALCTYKGGFSIQVDPIILVAVGNSFSMKIKGDERIVEQGKMWTVSIPESGRVAAQLTVTQGGLAILDDVKKVFTPPEKLLHTAMCGCKGVENAVVCEYEGVDASNFSFIELCVLSKQSFLRYKKSSRIQKKDKFWSIEFPGTGSVECQLLANTGRVIDAPELTKIFSPPEKIVIETSCSDSGVDNVAECSYSGSDEVKVDTIDLIAESNVFYMRVTNSESLEKNNKFWTVQIVPSGKLKCHLRASEGPLMLYSPDVKKVFSPPERVTVTASCSSSGAENSATCVYTGSDKLKALPIELTAIKDEFCIKYDKGPPLKQGDKSWTVTVKRDEDVQCELHVKKNIASQVPELEKIFHPKDIIILEAQCIDAGFKNSAKCSYVGRDQITLNSLELIHKNEADSIRWNPSPSLAAGDKQWITEIVPTGTVTCIFKAAKGVFTTLSKPRSLFTPPYAETVTLTATCSVSGYENSAKCTYEGCDVVQTRLIELSSIYKSSRISCKDSEYIERGAKFWQFRVNPASQIECTFKALHGLAVELPEIKRKIVPAARKVVTLKASTATKGSDNLAECVYEGQHDETNVKDIVLTYTNQDQLCKFKEDSPVLKNEFYWNVEKANTISTTLHVIDGEESVTDVLPTHIMPGDEKILGGEAEQIKVTAVEETGSESSSEEKEESPKLSEDQKEDSVVASAVGKTVPTADLYKVRSQVNFYSVEETSILYKSEVEPETIPEVKQSGSFSDMEEQLSTKAGQQIRPGEATLIDIEEYIIACLADRLEMKLNAMQPDVDEIIITVEETVSKASTVLYMCMIHRPEQTINVAEVEVKEINRLWESFLGPQESAVAAQLARCIQIKLNLRQEEPIGSLLSEKSLLDRGQVEQVKKIAVPERKSEIIQDPPMVVNDSHEEKLLVQKEELALPFRLSKGEEIRVASDQGANELPNASEILIKGGETCSHESPVLPEYNVQSIMVDPSQLSEVHGEALSQSLILASQAEQKQLTVRQERNTDSVTKEKPSLVEEAVSTLYSHMPPEISSQPYNGEPEALLTKLPEVTLSNIQEISMASPFIKETDSLVAQTEPEEPMLIGEKSFTKQSQVIQLQLNPSPEKLPQFHISGNICLFERTSEPTLKDQELISQLEQAGLPLQSSLENAKAIHEYSLQQNEETILAAKLITDKVFTAIEQESDLAEVAPGKLPTQPFDEPAEPTSKAKCPTDTMDTDELLVLLEAACTSEGLMNEAKCIYLGSDERQPDNVIFTGSGNPNHIITYYVSTCLQKNEKFWTVTPAPEGVVTYEFIAPLGQACRIPKLEKLFHPPCPGGLKELSKAVASETETDKHGEGKSNIKLYASCITKGYDNSAMCTYEGEDELKTFLIILSHDDGDFVFKYDASPTLLVGNFFWQIKPKPIGIVTVSLTAVDGMSIDANSVEKIFIPGTGEKESDFKTPYVPSESLLELTENIKSLQLEASHLGEEEASLFSSSFEKMEMEIEQEIREHLISEPAELSLNATSSVQGVANSAVFTYTGEDEPYAEAIELQYTDEFQTMRFFRSPKIKKDKSYWSVKLDTGSVRCQFRAPQGESTVTDQLPTIIAPPYVPMEQDPSVVEPVEVSLSASTTAVGYDNKAECTYVAADEPFAEILLLTYEQGDHLFKCKFGTSLLQEEKYWSIKPQPRGSIVACFRAPKGSQVTVPQLEQLVQPPEPANVYLTVSSRNRGAVFTYEGADSQRTESIFMRHIRREVVYKHREQVQFNIEIGDKYWHADVDDENVEFEVVARQKEIVQVEKKISRIRVDRGEVFLKATSVNGGAVVTYKGADSMRTSPILLRHVYKEKQIKIRDTIQLEQDDKMWQVTIDPESVECEFMARKKELVKIDKIHKRFEIHRAEVYLTATSTAKGAVFTYDAADGFHVNRINLSHTLKKTRMQCKDTSKIEVGNKLWKYSIGEYNVTVEFTITDKEEANIDKLMSTIMIPTVTLTASVQDNGCVFTYEASDETSTNMIILQHLKGQVVIKFKESMEIEVGNKLWMSPVNFHSVECEFSATKTEPVEINKMTKTIHLTEVFLKAKCELQGVVATYEGADSPSINQLMLKHVKRESVLRHKDSPKIEVSKHFWKVPVTDHSVSVEFAVTANELATVDKPKKIIKVTEVHLRASAGLRGLRFGYVSEDVIACNKIRLSYITGKVSIAYKENSELVVGNKIWQVGKVNPHTLEVTFVADQTETVTLNKLTKKIKVAEVKLKASTKSTAVKYTYEGIDDLKTAAIILRRKHGDSESRINNTLPLHKGDRLWEGKSDPNAVECEFVAEQSEAVTQDTLPKSIAGGAVTLKGSTMNEGAYFTYKGEDDKMMNLVTLKYIKGHAEIKYKSSPKIEKDKFNWLVDIGSHSLEVEFVVNQTETCTLDRLGRIIKIKEVSLNCNTEVRGVILKYPGEDSAHTRNIVLRQKTGKVIAQVRDDPKIQEDNLYWKVSTVDPVSVKCELVAKTGEIVNVDKLPTIVDVSYVSVRGSTSLGLAPITFSYNGADEKTMDEILLMHSQKDIVIHVRDSPQVEQGDKMWVGEVSELSVKVELQVIDGECVKQDSLGQLIAIPRPKMKLKCTTKKGDIVFSYEGQDEKTVDKVTLNQRGRRSTITYKGNSKLEVDNHYWKGEINEPSVICELEGSYSEPVEIDQLEKVFEVVAGEVILKATTKVNEDEVLVCSYDEADSTDITLISLFHVKRDSHMRYKDGEHVEMGDKYWKVKVFPESTECEFTAMTDKEKAVVDQLEQTFTILQKAQVLLFAHSSTEGAINIAKVMISASDEATVDRIVLECVNDEPWYWYIRDSREIKVGNKYWECEPDPKGVVRVRFHADSGQGVTQSQIREFIKPAEMSPSLEIKDPKFTPITIKGEEALAKKEEETLEKTWHLLEDKTKRLLEEYSEDKKRSKLEVATIAEYYGEETRRKFKSRLEESEEPVKEHTIREARKLKVVEKTETTDVPRDVKQVEFQLKGPEHVHFEPRPEPARRLVSRFDERQQIELKVKHEIVGEPNEATVKVEGTDYSKCDVIDLYHIGDYRVRFRKSKRILQGNLYWNVKPQPYGTVRCRFRATEGELVRVPEPYLVIDPKQKEKQDTTVGTLAGEHAMRELEEIRQLGKRGEPLQSEEVLSKEDKVILTASTHDTGYKNTARCTIIGSDRSYAVGYDLSCYGFENIMRLRNAAELPKGDHLWEVVMTPDGIPTLRCEFHAPGFRKLRLSTLKKKFFPKQQKEKDYLDGEEWQEQGYRLHLPELEPAAIEKARYTGRPIILKREPEPEAFEVEVNVKCRTTDRGAKNIATATYWASDQSVTSDIKLAAEGKTGEIRIKPYKHPVIIDSNKLWVIDPNPNGTARVKMELRSGEKINVNKLKEVFMPKVQHEVAEVTLIVSISSRGARNQAVVTWEGSDSPTAPVIILSQTGDEKIVVKHTYDNVIQQGDHFWFTEPMPRGVVKLDFRATQGELCKFSVPKLVFVPKYLRELQSEDTEELRRGPSMRAPSMRAPSMRAPSMRAPSMRIPSRSVSRPSLSRIRSVPELTPIYTPAGLPAAPEVYLKGSCIANGKINSAFATYIGEDEPLVVPIRLSARGDQLFDMQYREGHKIRKGDKYWAIKPLPYGSMKCHFTVGMGELIRYNKIRSDFGSEVAESESIDVAMKEEGDEELLEELTTKIIRYEIEKILREEFSSIHFEQDEVYTRVVVTDKAMKKRILAQEKEYEKEYESYVFGPATEGVKPEQVFATVQTQYLMEQQSKMLLEYDAEELLSASASTEGHKNRVVITYEGADDAFTMSIVLKCEGTQKNYSVKYRYGTTLPVGSKKWYVHPKPNGGVQCGFVVSGGEVLKQVVPKTIFYPAAPEGPGAQEETLSTDTMVVDRSEVFRKEETTFKETVATTEKEFEESVQVALYCSTSVTGAENVASCTFRGSDEAFAERIMLRAIGSQFTIQFDISSEIQYDERYWTVTPSPYGSIHCELTVSEGEIVTVNKLGSVLTPPTVPIEVPAPVRATETVKAERGHLVEFREERVTTGTISVGVEKKVEVGAESKYTDPTDAFPFQPLPTPEARVRTESPKVTPAKVSKTIKQASSTASLEKQLTAIASGAISAEYGHETKPVSIKSVTFHPSATRPRKDVHVLRETTLDTTKKIQSSIHMGKQDTTKIHTESPAQIVRPKVAKPVVHPESAPRQPPEAVKAASIREKYGYELTTQQAYSEELHGPERPERVRAQDVAAVVTAVAEAKKGVDVKGHTVQIQEQRTAMTERTRLITEQEKAKATAVAITSLATKEAEKRMKEQTIQVQERKTTIGERGRSVLDQEKVKPTAVIVKPVVTKRTEKMIKEYSLHMQDQQTTTEEQAGPVTELKTSKATAVVVMSAATIETEQRIAEQTVQKQKKTIEESIRTEQEKAKAASIVVKPVFTKETEKRIQEHTVHMQEQKTTIVEKTRSKLEQEKAKDAAVVVKTVATKEAEKRVQEQAPQIHVATTTTRQATQEVASIVPERVRAMDVAAVVTAVAASKDADQKVEKAGTAVAEAAYSASASVSAAAGAAGSITVQEQVGYRGEWREETRIQTSTTLTTERMEAESSSAVYGEQKWPPPVEPGTAVTSAQTKRMLLPSRVSRIPSTSIRAAPSVSRVPSPTPARVHRVPSPSHRIPSPSQQRALQAKAAISPPTPSRSKPKPPIRPPTKHPLQQGAAGDIVMEVTAISRADFHAEIKKEIRTQRSESIQATSVITKTKKAPVEEEGQVLLEATSTARGVSNTANVSYTGSDEPYAEAILLSYLDGEQSIQFDLSSQIEAGDRYFKVVPTPIGTVRVQLRVQSGQRVTMSQLRQVFNPPATEAKVLLEATSTAQGSGNTAKLSYRGSNAKTVAPITLKARGDSFSIQVGPLTSTSIVQGDRFWSVEPVPFGSIHAEFTATSGELVVVSQLPQTFTPAQTTM
+>sp|Q3LXA3|TKFC_HUMAN Triokinase/FMN cyclase OS=Homo sapiens OX=9606 GN=TKFC PE=1 SV=2
+MTSKKLVNSVAGCADDALAGLVACNPNLQLLQGHRVALRSDLDSLKGRVALLSGGGSGHEPAHAGFIGKGMLTGVIAGAVFTSPAVGSILAAIRAVAQAGTVGTLLIVKNYTGDRLNFGLAREQARAEGIPVEMVVIGDDSAFTVLKKAGRRGLCGTVLIHKVAGALAEAGVGLEEIAKQVNVVAKAMGTLGVSLSSCSVPGSKPTFELSADEVELGLGIHGEAGVRRIKMATADEIVKLMLDHMTNTTNASHVPVQPGSSVVMMVNNLGGLSFLELGIIADATVRSLEGRGVKIARALVGTFMSALEMPGISLTLLLVDEPLLKLIDAETTAAAWPNVAAVSITGRKRSRVAPAEPQEAPDSTAAGGSASKRMALVLERVCSTLLGLEEHLNALDRAAGDGDCGTTHSRAARAIQEWLKEGPPPASPAQLLSKLSVLLLEKMGGSSGALYGLFLTAAAQPLKAKTSLPAWSAAMDAGLEAMQKYGKAAPGDRTMLDSLWAAGQELQAWKSPGADLLQVLTKAVKSAEAAAEATKNMEAGAGRASYISSARLEQPDPGAVAAAAILRAILEVLQS
+>DECOY_sp|Q3LXA3|TKFC_HUMAN Triokinase/FMN cyclase OS=Homo sapiens OX=9606 GN=TKFC PE=1 SV=2
+SQLVELIARLIAAAAVAGPDPQELRASSIYSARGAGAEMNKTAEAAAEASKVAKTLVQLLDAGPSKWAQLEQGAAWLSDLMTRDGPAAKGYKQMAELGADMAASWAPLSTKAKLPQAAATLFLGYLAGSSGGMKELLLVSLKSLLQAPSAPPPGEKLWEQIARAARSHTTGCDGDGAARDLANLHEELGLLTSCVRELVLAMRKSASGGAATSDPAEQPEAPAVRSRKRGTISVAAVNPWAAATTEADILKLLPEDVLLLTLSIGPMELASMFTGVLARAIKVGRGELSRVTADAIIGLELFSLGGLNNVMMVVSSGPQVPVHSANTTNTMHDLMLKVIEDATAMKIRRVGAEGHIGLGLEVEDASLEFTPKSGPVSCSSLSVGLTGMAKAVVNVQKAIEELGVGAEALAGAVKHILVTGCLGRRGAKKLVTFASDDGIVVMEVPIGEARAQERALGFNLRDGTYNKVILLTGVTGAQAVARIAALISGVAPSTFVAGAIVGTLMGKGIFGAHAPEHGSGGGSLLAVRGKLSDLDSRLAVRHGQLLQLNPNCAVLGALADDACGAVSNVLKKSTM
+>sp|P29401|TKT_HUMAN Transketolase OS=Homo sapiens OX=9606 GN=TKT PE=1 SV=3
+MESYHKPDQQKLQALKDTANRLRISSIQATTAAGSGHPTSCCSAAEIMAVLFFHTMRYKSQDPRNPHNDRFVLSKGHAAPILYAVWAEAGFLAEAELLNLRKISSDLDGHPVPKQAFTDVATGSLGQGLGAACGMAYTGKYFDKASYRVYCLLGDGELSEGSVWEAMAFASIYKLDNLVAILDINRLGQSDPAPLQHQMDIYQKRCEAFGWHAIIVDGHSVEELCKAFGQAKHQPTAIIAKTFKGRGITGVEDKESWHGKPLPKNMAEQIIQEIYSQIQSKKKILATPPQEDAPSVDIANIRMPSLPSYKVGDKIATRKAYGQALAKLGHASDRIIALDGDTKNSTFSEIFKKEHPDRFIECYIAEQNMVSIAVGCATRNRTVPFCSTFAAFFTRAFDQIRMAAISESNINLCGSHCGVSIGEDGPSQMALEDLAMFRSVPTSTVFYPSDGVATEKAVELAANTKGICFIRTSRPENAIIYNNNEDFQVGQAKVVLKSKDDQVTVIGAGVTLHEALAAAELLKKEKINIRVLDPFTIKPLDRKLILDSARATKGRILTVEDHYYEGGIGEAVSSAVVGEPGITVTHLAVNRVPRSGKPAELLKMFGIDRDAIAQAVRGLITKA
+>DECOY_sp|P29401|TKT_HUMAN Transketolase OS=Homo sapiens OX=9606 GN=TKT PE=1 SV=3
+AKTILGRVAQAIADRDIGFMKLLEAPKGSRPVRNVALHTVTIGPEGVVASSVAEGIGGEYYHDEVTLIRGKTARASDLILKRDLPKITFPDLVRINIKEKKLLEAAALAEHLTVGAGIVTVQDDKSKLVVKAQGVQFDENNNYIIANEPRSTRIFCIGKTNAALEVAKETAVGDSPYFVTSTPVSRFMALDELAMQSPGDEGISVGCHSGCLNINSESIAAMRIQDFARTFFAAFTSCFPVTRNRTACGVAISVMNQEAIYCEIFRDPHEKKFIESFTSNKTDGDLAIIRDSAHGLKALAQGYAKRTAIKDGVKYSPLSPMRINAIDVSPADEQPPTALIKKKSQIQSYIEQIIQEAMNKPLPKGHWSEKDEVGTIGRGKFTKAIIATPQHKAQGFAKCLEEVSHGDVIIAHWGFAECRKQYIDMQHQLPAPDSQGLRNIDLIAVLNDLKYISAFAMAEWVSGESLEGDGLLCYVRYSAKDFYKGTYAMGCAAGLGQGLSGTAVDTFAQKPVPHGDLDSSIKRLNLLEAEALFGAEAWVAYLIPAAHGKSLVFRDNHPNRPDQSKYRMTHFFLVAMIEAASCCSTPHGSGAATTAQISSIRLRNATDKLAQLKQQDPKHYSEM
+>sp|Q96CP7|TLCD1_HUMAN Calfacilitin OS=Homo sapiens OX=9606 GN=TLCD1 PE=2 SV=1
+MPRLLHPALPLLLGATLTFRALRRALCRLPLPVHVRADPLRTWRWHNLLVSFAHSIVSGIWALLCVWQTPDMLVEIETAWSLSGYLLVCFSAGYFIHDTVDIVASGQTRASWEYLVHHVMAMGAFFSGIFWSSFVGGGVLTLLVEVSNIFLTIRMMMKISNAQDHLLYRVNKYVNLVMYFLFRLAPQAYLTHFFLRYVNQRTLGTFLLGILLMLDVMIIIYFSRLLRSDFCPEHVPKKQHKDKFLTE
+>DECOY_sp|Q96CP7|TLCD1_HUMAN Calfacilitin OS=Homo sapiens OX=9606 GN=TLCD1 PE=2 SV=1
+ETLFKDKHQKKPVHEPCFDSRLLRSFYIIIMVDLMLLIGLLFTGLTRQNVYRLFFHTLYAQPALRFLFYMVLNVYKNVRYLLHDQANSIKMMMRITLFINSVEVLLTLVGGGVFSSWFIGSFFAGMAMVHHVLYEWSARTQGSAVIDVTDHIFYGASFCVLLYGSLSWATEIEVLMDPTQWVCLLAWIGSVISHAFSVLLNHWRWTRLPDARVHVPLPLRCLARRLARFTLTAGLLLPLAPHLLRPM
+>sp|A0PJX2|TLDC2_HUMAN TLD domain-containing protein 2 OS=Homo sapiens OX=9606 GN=TLDC2 PE=2 SV=1
+MRGLRWRYTRLPSQVEDTLSGEEGNEEEEEEEAAPDPAAAPEDPTVPQLTEASQVLSASEIRQLSFHFPPRVTGHPWSLVFCTSRDGFSLQSLYRRMEGCSGPVLLVLRDQDGQIFGAFSSSAIRLSKGFYGTGETFLFSFSPQLKVFKWTGSNSFFVKGDLDSLMMGSGSGRFGLWLDGDLFRGGSSPCPTFNNEVLARQEQFCIQELEAWLLS
+>DECOY_sp|A0PJX2|TLDC2_HUMAN TLD domain-containing protein 2 OS=Homo sapiens OX=9606 GN=TLDC2 PE=2 SV=1
+SLLWAELEQICFQEQRALVENNFTPCPSSGGRFLDGDLWLGFRGSGSGMMLSDLDGKVFFSNSGTWKFVKLQPSFSFLFTEGTGYFGKSLRIASSSFAGFIQGDQDRLVLLVPGSCGEMRRYLSQLSFGDRSTCFVLSWPHGTVRPPFHFSLQRIESASLVQSAETLQPVTPDEPAAAPDPAAEEEEEEENGEEGSLTDEVQSPLRTYRWRLGRM
+>sp|Q04727|TLE4_HUMAN Transducin-like enhancer protein 4 OS=Homo sapiens OX=9606 GN=TLE4 PE=1 SV=3
+MIRDLSKMYPQTRHPAPHQPAQPFKFTISESCDRIKEEFQFLQAQYHSLKLECEKLASEKTEMQRHYVMYYEMSYGLNIEMHKQAEIVKRLNAICAQVIPFLSQEHQQQVVQAVERAKQVTMAELNAIIGQQLQAQHLSHGHGLPVPLTPHPSGLQPPAIPPIGSSAGLLALSSALGGQSHLPIKDEKKHHDNDHQRDRDSIKSSSVSPSASFRGAEKHRNSADYSSESKKQKTEEKEIAARYDSDGEKSDDNLVVDVSNEDPSSPRGSPAHSPRENGLDKTRLLKKDAPISPASIASSSSTPSSKSKELSLNEKSTTPVSKSNTPTPRTDAPTPGSNSTPGLRPVPGKPPGVDPLASSLRTPMAVPCPYPTPFGIVPHAGMNGELTSPGAAYAGLHNISPQMSAAAAAAAAAAAYGRSPVVGFDPHHHMRVPAIPPNLTGIPGGKPAYSFHVSADGQMQPVPFPPDALIGPGIPRHARQINTLNHGEVVCAVTISNPTRHVYTGGKGCVKVWDISHPGNKSPVSQLDCLNRDNYIRSCRLLPDGRTLIVGGEASTLSIWDLAAPTPRIKAELTSSAPACYALAISPDSKVCFSCCSDGNIAVWDLHNQTLVRQFQGHTDGASCIDISNDGTKLWTGGLDNTVRSWDLREGRQLQQHDFTSQIFSLGYCPTGEWLAVGMENSNVEVLHVTKPDKYQLHLHESCVLSLKFAHCGKWFVSTGKDNLLNAWRTPYGASIFQSKESSSVLSCDISVDDKYIVTGSGDKKATVYEVIY
+>DECOY_sp|Q04727|TLE4_HUMAN Transducin-like enhancer protein 4 OS=Homo sapiens OX=9606 GN=TLE4 PE=1 SV=3
+YIVEYVTAKKDGSGTVIYKDDVSIDCSLVSSSEKSQFISAGYPTRWANLLNDKGTSVFWKGCHAFKLSLVCSEHLHLQYKDPKTVHLVEVNSNEMGVALWEGTPCYGLSFIQSTFDHQQLQRGERLDWSRVTNDLGGTWLKTGDNSIDICSAGDTHGQFQRVLTQNHLDWVAINGDSCCSFCVKSDPSIALAYCAPASSTLEAKIRPTPAALDWISLTSAEGGVILTRGDPLLRCSRIYNDRNLCDLQSVPSKNGPHSIDWVKVCGKGGTYVHRTPNSITVACVVEGHNLTNIQRAHRPIGPGILADPPFPVPQMQGDASVHFSYAPKGGPIGTLNPPIAPVRMHHHPDFGVVPSRGYAAAAAAAAAAASMQPSINHLGAYAAGPSTLEGNMGAHPVIGFPTPYPCPVAMPTRLSSALPDVGPPKGPVPRLGPTSNSGPTPADTRPTPTNSKSVPTTSKENLSLEKSKSSPTSSSSAISAPSIPADKKLLRTKDLGNERPSHAPSGRPSSPDENSVDVVLNDDSKEGDSDYRAAIEKEETKQKKSESSYDASNRHKEAGRFSASPSVSSSKISDRDRQHDNDHHKKEDKIPLHSQGGLASSLALLGASSGIPPIAPPQLGSPHPTLPVPLGHGHSLHQAQLQQGIIANLEAMTVQKAREVAQVVQQQHEQSLFPIVQACIANLRKVIEAQKHMEINLGYSMEYYMVYHRQMETKESALKECELKLSHYQAQLFQFEEKIRDCSESITFKFPQAPQHPAPHRTQPYMKSLDRIM
+>sp|Q9H808|TLE6_HUMAN Transducin-like enhancer protein 6 OS=Homo sapiens OX=9606 GN=TLE6 PE=1 SV=2
+MTSRDQPRPKGPPKSTSPCPGISNSESSPTLNYQGILNRLKQFPRFSPHFAAELESIYYSLHKIQQDVAEHHKQIGNVLQIVESCSQLQGFQSEEVSPAEPASPGTPQQVKDKTLQESSFEDIMATRSSDWLRRPLGEDNQPETQLFWDKEPWFWHDTLTEQLWRIFAGVHDEKAKPRDRQQAPGLGQESKAPGSCDPGTDPCPEDASTPRPPEASSSPPEGSQDRNTSWGVVQEPPGRASRFLQSISWDPEDFEDAWKRPDALPGQSKRLAVPCKLEKMRILAHGELVLATAISSFTRHVFTCGRRGIKVWSLTGQVAEDRFPESHLPIQTPGAFLRTCLLSSNSRSLLTGGYNLASVSVWDLAAPSLHVKEQLPCAGLNCQALDANLDANLAFASFTSGVVRIWDLRDQSVVRDLKGYPDGVKSIVVKGYNIWTGGPDACLRCWDQRTIMKPLEYQFKSQIMSLSHSPQEDWVLLGMANGQQWLQSTSGSQRHMVGQKDSVILSVKFSPFGQWWASVGMDDFLGVYSMPAGTKVFEVPEMSPVTCCDVSSNNRLVVTGSGEHASVYQITY
+>DECOY_sp|Q9H808|TLE6_HUMAN Transducin-like enhancer protein 6 OS=Homo sapiens OX=9606 GN=TLE6 PE=1 SV=2
+YTIQYVSAHEGSGTVVLRNNSSVDCCTVPSMEPVEFVKTGAPMSYVGLFDDMGVSAWWQGFPSFKVSLIVSDKQGVMHRQSGSTSQLWQQGNAMGLLVWDEQPSHSLSMIQSKFQYELPKMITRQDWCRLCADPGGTWINYGKVVISKVGDPYGKLDRVVSQDRLDWIRVVGSTFSAFALNADLNADLAQCNLGACPLQEKVHLSPAALDWVSVSALNYGGTLLSRSNSSLLCTRLFAGPTQIPLHSEPFRDEAVQGTLSWVKIGRRGCTFVHRTFSSIATALVLEGHALIRMKELKCPVALRKSQGPLADPRKWADEFDEPDWSISQLFRSARGPPEQVVGWSTNRDQSGEPPSSSAEPPRPTSADEPCPDTGPDCSGPAKSEQGLGPAQQRDRPKAKEDHVGAFIRWLQETLTDHWFWPEKDWFLQTEPQNDEGLPRRLWDSSRTAMIDEFSSEQLTKDKVQQPTGPSAPEAPSVEESQFGQLQSCSEVIQLVNGIQKHHEAVDQQIKHLSYYISELEAAFHPSFRPFQKLRNLIGQYNLTPSSESNSIGPCPSTSKPPGKPRPQDRSTM
+>sp|Q86UE8|TLK2_HUMAN Serine/threonine-protein kinase tousled-like 2 OS=Homo sapiens OX=9606 GN=TLK2 PE=1 SV=2
+MMEELHSLDPRRQELLEARFTGVGVSKGPLNSESSNQSLCSVGSLSDKEVETPEKKQNDQRNRKRKAEPYETSQGKGTPRGHKISDYFEFAGGSAPGTSPGRSVPPVARSSPQHSLSNPLPRRVEQPLYGLDGSAAKEATEEQSALPTLMSVMLAKPRLDTEQLAQRGAGLCFTFVSAQQNSPSSTGSGNTEHSCSSQKQISIQHRQTQSDLTIEKISALENSKNSDLEKKEGRIDDLLRANCDLRRQIDEQQKMLEKYKERLNRCVTMSKKLLIEKSKQEKMACRDKSMQDRLRLGHFTTVRHGASFTEQWTDGYAFQNLIKQQERINSQREEIERQRKMLAKRKPPAMGQAPPATNEQKQRKSKTNGAENETPSSGNTELKDTAPALGAHSLLRLTLAEYHEQEEIFKLRLGHLKKEEAEIQAELERLERVRNLHIRELKRIHNEDNSQFKDHPTLNDRYLLLHLLGRGGFSEVYKAFDLTEQRYVAVKIHQLNKNWRDEKKENYHKHACREYRIHKELDHPRIVKLYDYFSLDTDSFCTVLEYCEGNDLDFYLKQHKLMSEKEARSIIMQIVNALKYLNEIKPPIIHYDLKPGNILLVNGTACGEIKITDFGLSKIMDDDSYNSVDGMELTSQGAGTYWYLPPECFVVGKEPPKISNKVDVWSVGVIFYQCLYGRKPFGHNQSQQDILQENTILKATEVQFPPKPVVTPEAKAFIRRCLAYRKEDRIDVQQLACDPYLLPHIRKSVSTSSPAGAAIASTSGASNNSSSN
+>DECOY_sp|Q86UE8|TLK2_HUMAN Serine/threonine-protein kinase tousled-like 2 OS=Homo sapiens OX=9606 GN=TLK2 PE=1 SV=2
+NSSSNNSAGSTSAIAAGAPSSTSVSKRIHPLLYPDCALQQVDIRDEKRYALCRRIFAKAEPTVVPKPPFQVETAKLITNEQLIDQQSQNHGFPKRGYLCQYFIVGVSWVDVKNSIKPPEKGVVFCEPPLYWYTGAGQSTLEMGDVSNYSDDDMIKSLGFDTIKIEGCATGNVLLINGPKLDYHIIPPKIENLYKLANVIQMIISRAEKESMLKHQKLYFDLDNGECYELVTCFSDTDLSFYDYLKVIRPHDLEKHIRYERCAHKHYNEKKEDRWNKNLQHIKVAVYRQETLDFAKYVESFGGRGLLHLLLYRDNLTPHDKFQSNDENHIRKLERIHLNRVRELRELEAQIEAEEKKLHGLRLKFIEEQEHYEALTLRLLSHAGLAPATDKLETNGSSPTENEAGNTKSKRQKQENTAPPAQGMAPPKRKALMKRQREIEERQSNIREQQKILNQFAYGDTWQETFSAGHRVTTFHGLRLRDQMSKDRCAMKEQKSKEILLKKSMTVCRNLREKYKELMKQQEDIQRRLDCNARLLDDIRGEKKELDSNKSNELASIKEITLDSQTQRHQISIQKQSSCSHETNGSGTSSPSNQQASVFTFCLGAGRQALQETDLRPKALMVSMLTPLASQEETAEKAASGDLGYLPQEVRRPLPNSLSHQPSSRAVPPVSRGPSTGPASGGAFEFYDSIKHGRPTGKGQSTEYPEAKRKRNRQDNQKKEPTEVEKDSLSGVSCLSQNSSESNLPGKSVGVGTFRAELLEQRRPDLSHLEEMM
+>sp|A0AVI4|TM129_HUMAN E3 ubiquitin-protein ligase TM129 OS=Homo sapiens OX=9606 GN=TMEM129 PE=1 SV=1
+MDSPEVTFTLAYLVFAVCFVFTPNEFHAAGLTVQNLLSGWLGSEDAAFVPFHLRRTAATLLCHSLLPLGYYVGMCLAASEKRLHALSQAPEAWRLFLLLAVTLPSIACILIYYWSRDRWACHPLARTLALYALPQSGWQAVASSVNTEFRRIDKFATGAPGARVIVTDTWVMKVTTYRVHVAQQQDVHLTVTESRQHELSPDSNLPVQLLTIRVASTNPAVQAFDIWLNSTEYGELCEKLRAPIRRAAHVVIHQSLGDLFLETFASLVEVNPAYSVPSSQELEACIGCMQTRASVKLVKTCQEAATGECQQCYCRPMWCLTCMGKWFASRQDPLRPDTWLASRVPCPTCRARFCILDVCTVR
+>DECOY_sp|A0AVI4|TM129_HUMAN E3 ubiquitin-protein ligase TM129 OS=Homo sapiens OX=9606 GN=TMEM129 PE=1 SV=1
+RVTCVDLICFRARCTPCPVRSALWTDPRLPDQRSAFWKGMCTLCWMPRCYCQQCEGTAAEQCTKVLKVSARTQMCGICAELEQSSPVSYAPNVEVLSAFTELFLDGLSQHIVVHAARRIPARLKECLEGYETSNLWIDFAQVAPNTSAVRITLLQVPLNSDPSLEHQRSETVTLHVDQQQAVHVRYTTVKMVWTDTVIVRAGPAGTAFKDIRRFETNVSSAVAQWGSQPLAYLALTRALPHCAWRDRSWYYILICAISPLTVALLLFLRWAEPAQSLAHLRKESAALCMGVYYGLPLLSHCLLTAATRRLHFPVFAADESGLWGSLLNQVTLGAAHFENPTFVFCVAFVLYALTFTVEPSDM
+>sp|Q9H6X4|TM134_HUMAN Transmembrane protein 134 OS=Homo sapiens OX=9606 GN=TMEM134 PE=1 SV=1
+MSAARPQFSIDDAFELSLEDGGPGPESSGVARFGPLHFERRARFEVADEDKQSRLRYQNLENDEDGAQASPEPDGGVGTRDSSRTSIRSSQWSFSTISSSTQRSYNTCCSWTQHPLIQKNRRVVLASFLLLLLGLVLILVGVGLEATPSPGVSSAIFFVPGFLLLVPGVYHVIFIYCAVKGHRGFQFFYLPYFEK
+>DECOY_sp|Q9H6X4|TM134_HUMAN Transmembrane protein 134 OS=Homo sapiens OX=9606 GN=TMEM134 PE=1 SV=1
+KEFYPLYFFQFGRHGKVACYIFIVHYVGPVLLLFGPVFFIASSVGPSPTAELGVGVLILVLGLLLLLFSALVVRRNKQILPHQTWSCCTNYSRQTSSSITSFSWQSSRISTRSSDRTGVGGDPEPSAQAGDEDNELNQYRLRSQKDEDAVEFRARREFHLPGFRAVGSSEPGPGGDELSLEFADDISFQPRAASM
+>sp|Q9NV12|TM140_HUMAN Transmembrane protein 140 OS=Homo sapiens OX=9606 GN=TMEM140 PE=1 SV=2
+MAGPRPRWRDQLLFMSIIVLVIVVICLMFYALLWEAGNLTDLPNLRIGFYNFCLWNEDTSTLQCHQFPELEALGVPRVGLGLARLGVYGSLVLTLFAPQPLLLAQCNSDERAWRLAVGFLAVSSVLLAGGLGLFLSYVWKWVRLSLPGPGFLALGSAQALLILLLIAMAVFPLRAERAESKLESC
+>DECOY_sp|Q9NV12|TM140_HUMAN Transmembrane protein 140 OS=Homo sapiens OX=9606 GN=TMEM140 PE=1 SV=2
+CSELKSEAREARLPFVAMAILLLILLAQASGLALFGPGPLSLRVWKWVYSLFLGLGGALLVSSVALFGVALRWAREDSNCQALLLPQPAFLTLVLSGYVGLRALGLGVRPVGLAELEPFQHCQLTSTDENWLCFNYFGIRLNPLDTLNGAEWLLAYFMLCIVVIVLVIISMFLLQDRWRPRPGAM
+>sp|Q7Z5S9|TM144_HUMAN Transmembrane protein 144 OS=Homo sapiens OX=9606 GN=TMEM144 PE=2 SV=1
+MSNNGADLTFGYISCFVAILLFGSNFVPLKKFDTGDGMFLQWVLCAAIWLVALVVNLILHCPKFWPFAMLGGCIWATGNIAVVPIIKTIGLGLGILIWGSFNALTGWASSRFGWFGLDAEEVSNPLLNYIGAGLSVVSAFIFLFIKSEIPNNTCSMDTTPLITEHVINTTQDPCSWVDKLSTVHHRIVGCSLAVISGVLYGSTFVPIIYIKDHSKRNDSIYAGASQYDLDYVFAHFSGIFLTSTVYFLAYCIAMKNSPKLYPEAVLPGFLSGVLWAIATCCWFIANHSLSAVVSFPIITAGPGFIAAMWGIFMFKEIKGLQNYLLMILAFCIILTGALCTAFSKI
+>DECOY_sp|Q7Z5S9|TM144_HUMAN Transmembrane protein 144 OS=Homo sapiens OX=9606 GN=TMEM144 PE=2 SV=1
+IKSFATCLAGTLIICFALIMLLYNQLGKIEKFMFIGWMAAIFGPGATIIPFSVVASLSHNAIFWCCTAIAWLVGSLFGPLVAEPYLKPSNKMAICYALFYVTSTLFIGSFHAFVYDLDYQSAGAYISDNRKSHDKIYIIPVFTSGYLVGSIVALSCGVIRHHVTSLKDVWSCPDQTTNIVHETILPTTDMSCTNNPIESKIFLFIFASVVSLGAGIYNLLPNSVEEADLGFWGFRSSAWGTLANFSGWILIGLGLGITKIIPVVAINGTAWICGGLMAFPWFKPCHLILNVVLAVLWIAACLVWQLFMGDGTDFKKLPVFNSGFLLIAVFCSIYGFTLDAGNNSM
+>sp|Q8N616|TM148_HUMAN Putative uncharacterized protein encoded by LINC00311 OS=Homo sapiens OX=9606 GN=LINC00311 PE=5 SV=1
+MVAADQGRGWNPLDGPTWEVLAMPLPLGPATQVPALFSAALVPPVSSLRPNQMLDWQRLKTPHGAPVACSLGLSGEWVPTPCALSILALLGLQLDPLFGLWGCTRTLFWSEWARESRRP
+>DECOY_sp|Q8N616|TM148_HUMAN Putative uncharacterized protein encoded by LINC00311 OS=Homo sapiens OX=9606 GN=LINC00311 PE=5 SV=1
+PRRSERAWESWFLTRTCGWLGFLPDLQLGLLALISLACPTPVWEGSLGLSCAVPAGHPTKLRQWDLMQNPRLSSVPPVLAASFLAPVQTAPGLPLPMALVEWTPGDLPNWGRGQDAAVM
+>sp|Q9P0S9|TM14C_HUMAN Transmembrane protein 14C OS=Homo sapiens OX=9606 GN=TMEM14C PE=1 SV=1
+MQDTGSVVPLHWFGFGYAALVASGGIIGYVKAGSVPSLAAGLLFGSLAGLGAYQLSQDPRNVWVFLATSGTLAGIMGMRFYHSGKFMPAGLIAGASLLMVAKVGVSMFNRPH
+>DECOY_sp|Q9P0S9|TM14C_HUMAN Transmembrane protein 14C OS=Homo sapiens OX=9606 GN=TMEM14C PE=1 SV=1
+HPRNFMSVGVKAVMLLSAGAILGAPMFKGSHYFRMGMIGALTGSTALFVWVNRPDQSLQYAGLGALSGFLLGAALSPVSGAKVYGIIGGSAVLAAYGFGFWHLPVVSGTDQM
+>sp|Q8N614|TM156_HUMAN Transmembrane protein 156 OS=Homo sapiens OX=9606 GN=TMEM156 PE=2 SV=2
+MTKTALLKLFVAIVITFILILPEYFKTPKERTLELSCLEVCLQSNFTYSLSSLNFSFVTFLQPVRETQIIMRIFLNPSNFRNFTRTCQDITGEFKMCSSCLVCESKGNMDFISQEQTSKVLIRRGSMEVKANDFHSPCQHFNFSVAPLVDHLEEYNTTCHLKNHTGRSTIMEDEPSKEKSINYTCRIMEYPNDCIHISLHLEMDIKNITCSMKITWYILVLLVFIFLIILTIRKILEGQRRVQKWQSHRDKPTSVLLRGSDSEKLRALNVQVLSAETTQRLPLDQVQEVLPPIPEL
+>DECOY_sp|Q8N614|TM156_HUMAN Transmembrane protein 156 OS=Homo sapiens OX=9606 GN=TMEM156 PE=2 SV=2
+LEPIPPLVEQVQDLPLRQTTEASLVQVNLARLKESDSGRLLVSTPKDRHSQWKQVRRQGELIKRITLIILFIFVLLVLIYWTIKMSCTINKIDMELHLSIHICDNPYEMIRCTYNISKEKSPEDEMITSRGTHNKLHCTTNYEELHDVLPAVSFNFHQCPSHFDNAKVEMSGRRILVKSTQEQSIFDMNGKSECVLCSSCMKFEGTIDQCTRTFNRFNSPNLFIRMIIQTERVPQLFTVFSFNLSSLSYTFNSQLCVELCSLELTREKPTKFYEPLILIFTIVIAVFLKLLATKTM
+>sp|Q9NX00|TM160_HUMAN Transmembrane protein 160 OS=Homo sapiens OX=9606 GN=TMEM160 PE=1 SV=1
+MGGGWWWARAARLARLRFRRSLLPPQRPRSGGARGSFAPGHGPRAGASPPPVSELDRADAWLLRKAHETAFLSWFRNGLLASGIGVISFMQSDMGREAAYGFFLLGGLCVVWGSASYAVGLAALRGPMQLTLGGAAVGAGAVLAASLLWACAVGLYMGQLELDVELVPEDDGTASAEGPDEAGRPPPE
+>DECOY_sp|Q9NX00|TM160_HUMAN Transmembrane protein 160 OS=Homo sapiens OX=9606 GN=TMEM160 PE=1 SV=1
+EPPPRGAEDPGEASATGDDEPVLEVDLELQGMYLGVACAWLLSAALVAGAGVAAGGLTLQMPGRLAALGVAYSASGWVVCLGGLLFFGYAAERGMDSQMFSIVGIGSALLGNRFWSLFATEHAKRLLWADARDLESVPPPSAGARPGHGPAFSGRAGGSRPRQPPLLSRRFRLRALRAARAWWWGGGM
+>sp|Q8WVE6|TM171_HUMAN Transmembrane protein 171 OS=Homo sapiens OX=9606 GN=TMEM171 PE=1 SV=3
+MSPAAAAEPDGDQQDRHVSKLIFCFFVFGAVLLCVGVLLSIFGFQACQYKPLPDCPMVLKVAGPACAVVGLGAVILARSRAQLQLRAGLQRGQQMDPDRAFICGESRQFAQCLIFGFLFLTSGMLISVLGIWVPGCGSNWAQEPLNETDTGDSEPRMCGFLSLQIMGPLIVLVGLCFFVVAHVKKRNTLNAGQDASEREEGQIQIMEPVQVTVGDSVIIFPPPPPPYFPESSASAVAESPGTNSLLPNENPPSYYSIFNYGRTPTSEGAASERDCESIYTISGTNSSSEASHTPHLPSELPPRYEEKENAAATFLPLSSEPSPP
+>DECOY_sp|Q8WVE6|TM171_HUMAN Transmembrane protein 171 OS=Homo sapiens OX=9606 GN=TMEM171 PE=1 SV=3
+PPSPESSLPLFTAAANEKEEYRPPLESPLHPTHSAESSSNTGSITYISECDRESAAGESTPTRGYNFISYYSPPNENPLLSNTGPSEAVASASSEPFYPPPPPPFIIVSDGVTVQVPEMIQIQGEERESADQGANLTNRKKVHAVVFFCLGVLVILPGMIQLSLFGCMRPESDGTDTENLPEQAWNSGCGPVWIGLVSILMGSTLFLFGFILCQAFQRSEGCIFARDPDMQQGRQLGARLQLQARSRALIVAGLGVVACAPGAVKLVMPCDPLPKYQCAQFGFISLLVGVCLLVAGFVFFCFILKSVHRDQQDGDPEAAAAPSM
+>sp|Q8WUU8|TM174_HUMAN Transmembrane protein 174 OS=Homo sapiens OX=9606 GN=TMEM174 PE=1 SV=1
+MEQGSGRLEDFPVNVFSVTPYTPSTADIQVSDDDKAGATLLFSGIFLGLVGITFTVMGWIKYQGVSHFEWTQLLGPVLLSVGVTFILIAVCKFKMLSCQLCKESEERVPDSEQTPGGPSFVFTGINQPITFHGATVVQYIPPPYGSPEPMGINTSYLQSVVSPCGLITSGGAAAAMSSPPQYYTIYPQDNSAFVVDEGCLSFTDGGNHRPNPDVDQLEETQLEEEACACFSPPPYEEIYSLPR
+>DECOY_sp|Q8WUU8|TM174_HUMAN Transmembrane protein 174 OS=Homo sapiens OX=9606 GN=TMEM174 PE=1 SV=1
+RPLSYIEEYPPPSFCACAEEELQTEELQDVDPNPRHNGGDTFSLCGEDVVFASNDQPYITYYQPPSSMAAAAGGSTILGCPSVVSQLYSTNIGMPEPSGYPPPIYQVVTAGHFTIPQNIGTFVFSPGGPTQESDPVREESEKCLQCSLMKFKCVAILIFTVGVSLLVPGLLQTWEFHSVGQYKIWGMVTFTIGVLGLFIGSFLLTAGAKDDDSVQIDATSPTYPTVSFVNVPFDELRGSGQEM
+>sp|Q96B77|TM186_HUMAN Transmembrane protein 186 OS=Homo sapiens OX=9606 GN=TMEM186 PE=2 SV=1
+MAALLRAVRRFRGKAVWERPLHGLWCCSGQEDPKRWVGSSSPISKEKLPNAETEKFWMFYRFDAIRTFGFLSRLKLAQTALTVVALPPGYYLYSQGLLTLNTVCLMSGISGFALTMLCWMSYFLRRLVGILYLNESGTMLRVAHLNFWGWRQDTYCPMADVIPLTETKDRPQEMFVRIQRYSGKQTFYVTLRYGRILDRERFTQVFGVHQMLK
+>DECOY_sp|Q96B77|TM186_HUMAN Transmembrane protein 186 OS=Homo sapiens OX=9606 GN=TMEM186 PE=2 SV=1
+KLMQHVGFVQTFRERDLIRGYRLTVYFTQKGSYRQIRVFMEQPRDKTETLPIVDAMPCYTDQRWGWFNLHAVRLMTGSENLYLIGVLRRLFYSMWCLMTLAFGSIGSMLCVTNLTLLGQSYLYYGPPLAVVTLATQALKLRSLFGFTRIADFRYFMWFKETEANPLKEKSIPSSSGVWRKPDEQGSCCWLGHLPREWVAKGRFRRVARLLAAM
+>sp|A5PLL7|TM189_HUMAN Transmembrane protein 189 OS=Homo sapiens OX=9606 GN=TMEM189 PE=1 SV=3
+MAGAENWPGQQLELDEDEASCCRWGAQHAGARELAALYSPGKRLQEWCSVILCFSLIAHNLVHLLLLARWEDTPLVILGVVAGALIADFLSGLVHWGADTWGSVELPIVGKAFIRPFREHHIDPTAITRHDFIETNGDNCLVTLLPLLNMAYKFRTHSPEALEQLYPWECFVFCLIIFGTFTNQIHKWSHTYFGLPRWVTLLQDWHVILPRKHHRIHHVSPHETYFCITTGWLNYPLEKIGFWRRLEDLIQGLTGEKPRADDMKWAQKIK
+>DECOY_sp|A5PLL7|TM189_HUMAN Transmembrane protein 189 OS=Homo sapiens OX=9606 GN=TMEM189 PE=1 SV=3
+KIKQAWKMDDARPKEGTLGQILDELRRWFGIKELPYNLWGTTICFYTEHPSVHHIRHHKRPLIVHWDQLLTVWRPLGFYTHSWKHIQNTFTGFIILCFVFCEWPYLQELAEPSHTRFKYAMNLLPLLTVLCNDGNTEIFDHRTIATPDIHHERFPRIFAKGVIPLEVSGWTDAGWHVLGSLFDAILAGAVVGLIVLPTDEWRALLLLHVLNHAILSFCLIVSCWEQLRKGPSYLAALERAGAHQAGWRCCSAEDEDLELQQGPWNEAGAM
+>sp|Q8WZ59|TM190_HUMAN Transmembrane protein 190 OS=Homo sapiens OX=9606 GN=TMEM190 PE=1 SV=1
+MLGCGIPALGLLLLLQGSADGNGIQGFFYPWSCEGDIWDRESCGGQAAIDSPNLCLRLRCCYRNGVCYHQRPDENVRRKHMWALVWTCSGLLLLSCSICLFWWAKRRDVLHMPGFLAGPCDMSKSVSLLSKHRGTKKTPSTGSVPVALSKESRDVEGGTEGEGTEEGEETEGEEEED
+>DECOY_sp|Q8WZ59|TM190_HUMAN Transmembrane protein 190 OS=Homo sapiens OX=9606 GN=TMEM190 PE=1 SV=1
+DEEEEGETEEGEETGEGETGGEVDRSEKSLAVPVSGTSPTKKTGRHKSLLSVSKSMDCPGALFGPMHLVDRRKAWWFLCISCSLLLLGSCTWVLAWMHKRRVNEDPRQHYCVGNRYCCRLRLCLNPSDIAAQGGCSERDWIDGECSWPYFFGQIGNGDASGQLLLLLGLAPIGCGLM
+>sp|Q6UW68|TM205_HUMAN Transmembrane protein 205 OS=Homo sapiens OX=9606 GN=TMEM205 PE=1 SV=1
+MEEGGNLGGLIKMVHLLVLSGAWGMQMWVTFVSGFLLFRSLPRHTFGLVQSKLFPFYFHISMGCAFINLCILASQHAWAQLTFWEASQLYLLFLSLTLATVNARWLEPRTTAAMWALQTVEKERGLGGEVPGSHQGPDPYRQLREKDPKYSALRQNFFRYHGLSSLCNLGCVLSNGLCLAGLALEIRSL
+>DECOY_sp|Q6UW68|TM205_HUMAN Transmembrane protein 205 OS=Homo sapiens OX=9606 GN=TMEM205 PE=1 SV=1
+LSRIELALGALCLGNSLVCGLNCLSSLGHYRFFNQRLASYKPDKERLQRYPDPGQHSGPVEGGLGREKEVTQLAWMAATTRPELWRANVTALTLSLFLLYLQSAEWFTLQAWAHQSALICLNIFACGMSIHFYFPFLKSQVLGFTHRPLSRFLLFGSVFTVWMQMGWAGSLVLLHVMKILGGLNGGEEM
+>sp|Q9BTX3|TM208_HUMAN Transmembrane protein 208 OS=Homo sapiens OX=9606 GN=TMEM208 PE=1 SV=1
+MAPKGKVGTRGKKQIFEENRETLKFYLRIILGANAIYCLVTLVFFYSSASFWAWLALGFSLAVYGASYHSMSSMARAAFSEDGALMDGGMDLNMEQGMAEHLKDVILLTAIVQVLSCFSLYVWSFWLLAPGRALYLLWVNVLGPWFTADSGTPAPEHNEKRQRRQERRQMKRL
+>DECOY_sp|Q9BTX3|TM208_HUMAN Transmembrane protein 208 OS=Homo sapiens OX=9606 GN=TMEM208 PE=1 SV=1
+LRKMQRREQRRQRKENHEPAPTGSDATFWPGLVNVWLLYLARGPALLWFSWVYLSFCSLVQVIATLLIVDKLHEAMGQEMNLDMGGDMLAGDESFAARAMSSMSHYSAGYVALSFGLALWAWFSASSYFFVLTVLCYIANAGLIIRLYFKLTERNEEFIQKKGRTGVKGKPAM
+>sp|A6NLX4|TM210_HUMAN Transmembrane protein 210 OS=Homo sapiens OX=9606 GN=TMEM210 PE=3 SV=1
+MAPGPWPVSCLRGGPLGLTYLSLLLIPAAAGTYCECSLGLSREALIALLVVLAGISASCFCALVIVAIGVLRAKGETCPRQVDNRLVENFGVQEDLMDLHPVYVESQLMDADLEVSLVPPLEDQSLVAIPMEASSEEPPPPPPLPPE
+>DECOY_sp|A6NLX4|TM210_HUMAN Transmembrane protein 210 OS=Homo sapiens OX=9606 GN=TMEM210 PE=3 SV=1
+EPPLPPPPPPEESSAEMPIAVLSQDELPPVLSVELDADMLQSEVYVPHLDMLDEQVGFNEVLRNDVQRPCTEGKARLVGIAVIVLACFCSASIGALVVLLAILAERSLGLSCECYTGAAAPILLLSLYTLGLPGGRLCSVPWPGPAM
+>sp|Q6ICI0|TM211_HUMAN Transmembrane protein 211 OS=Homo sapiens OX=9606 GN=TMEM211 PE=2 SV=2
+MLSSVWVALGLSLTCTSAFSLISPAWFQTPTFSFGILTYCSWPQGNSWNQSCVTFSSLEDIPDFAWKVSAVMLLGGWLLLAFNAIFLLSWAVAPKGLCPRRSSVPMPGVQAVAATAMIVGLLIFPIGLASPFIKEVCEASSMYYGGKCRLGWGYMTAILNAVLASLLPIISWPHTTKVQGRTIIFSSATERIIFVPEMNK
+>DECOY_sp|Q6ICI0|TM211_HUMAN Transmembrane protein 211 OS=Homo sapiens OX=9606 GN=TMEM211 PE=2 SV=2
+KNMEPVFIIRETASSFIITRGQVKTTHPWSIIPLLSALVANLIATMYGWGLRCKGGYYMSSAECVEKIFPSALGIPFILLGVIMATAAVAQVGPMPVSSRRPCLGKPAVAWSLLFIANFALLLWGGLLMVASVKWAFDPIDELSSFTVCSQNWSNGQPWSCYTLIGFSFTPTQFWAPSILSFASTCTLSLGLAVWVSSLM
+>sp|A2RRL7|TM213_HUMAN Transmembrane protein 213 OS=Homo sapiens OX=9606 GN=TMEM213 PE=3 SV=2
+MQRLPAATRATLILSLAFASLHSACSAEASSSNSSSLTAHHPDPGTLEQCLNVDFCPQAARCCRTGVDEYGWIAAAVGWSLWFLTLILLCVDKLMKLTPDEPKDLQA
+>DECOY_sp|A2RRL7|TM213_HUMAN Transmembrane protein 213 OS=Homo sapiens OX=9606 GN=TMEM213 PE=3 SV=2
+AQLDKPEDPTLKMLKDVCLLILTLFWLSWGVAAAIWGYEDVGTRCCRAAQPCFDVNLCQELTGPDPHHATLSSSNSSSAEASCASHLSAFALSLILTARTAAPLRQM
+>sp|Q6NUQ4|TM214_HUMAN Transmembrane protein 214 OS=Homo sapiens OX=9606 GN=TMEM214 PE=1 SV=2
+MATKTAGVGRWEVVKKGRRPGVGAGAGGRGGGRNRRALGEANGVWKYDLTPAIQTTSTLYERGFENIMKRQNKEQVPPPAVEPKKPGNKKQPKKVATPPNQNQKQGRFRSLEEALKALDVADLQKELDKSQSVFSGNPSIWLKDLASYLNYKLQAPLSEPTLSQHTHDYPYSLVSRELRGIIRGLLAKAAGSLELFFDHCLFTMLQELDKTPGESLHGYRICIQAILQDKPKIATANLGKFLELLRSHQSRPAKCLTIMWALGQAGFANLTEGLKVWLGIMLPVLGIKSLSPFAITYLDRLLLMHPNLTKGFGMIGPKDFFPLLDFAYMPNNSLTPSLQEQLCQLYPRLKVLAFGAKPDSTLHTYFPSFLSRATPSCPPEMKKELLSSLTECLTVDPLSASVWRQLYPKHLSQSSLLLEHLLSSWEQIPKKVQKSLQETIQSLKLTNQELLRKGSSNNQDVVTCDMACKGLLQQVQGPRLPWTRLLLLLLVFAVGFLCHDLRSHSSFQASLTGRLLRSSGFLPASQQACAKLYSYSLQGYSWLGETLPLWGSHLLTVVRPSLQLAWAHTNATVSFLSAHCASHLAWFGDSLTSLSQRLQIQLPDSVNQLLRYLRELPLLFHQNVLLPLWHLLLEALAWAQEHCHEACRGEVTWDCMKTQLSEAVHWTWLCLQDITVAFLDWALALISQQ
+>DECOY_sp|Q6NUQ4|TM214_HUMAN Transmembrane protein 214 OS=Homo sapiens OX=9606 GN=TMEM214 PE=1 SV=2
+QQSILALAWDLFAVTIDQLCLWTWHVAESLQTKMCDWTVEGRCAEHCHEQAWALAELLLHWLPLLVNQHFLLPLERLYRLLQNVSDPLQIQLRQSLSTLSDGFWALHSACHASLFSVTANTHAWALQLSPRVVTLLHSGWLPLTEGLWSYGQLSYSYLKACAQQSAPLFGSSRLLRGTLSAQFSSHSRLDHCLFGVAFVLLLLLLRTWPLRPGQVQQLLGKCAMDCTVVDQNNSSGKRLLEQNTLKLSQITEQLSKQVKKPIQEWSSLLHELLLSSQSLHKPYLQRWVSASLPDVTLCETLSSLLEKKMEPPCSPTARSLFSPFYTHLTSDPKAGFALVKLRPYLQCLQEQLSPTLSNNPMYAFDLLPFFDKPGIMGFGKTLNPHMLLLRDLYTIAFPSLSKIGLVPLMIGLWVKLGETLNAFGAQGLAWMITLCKAPRSQHSRLLELFKGLNATAIKPKDQLIAQICIRYGHLSEGPTKDLEQLMTFLCHDFFLELSGAAKALLGRIIGRLERSVLSYPYDHTHQSLTPESLPAQLKYNLYSALDKLWISPNGSFVSQSKDLEKQLDAVDLAKLAEELSRFRGQKQNQNPPTAVKKPQKKNGPKKPEVAPPPVQEKNQRKMINEFGREYLTSTTQIAPTLDYKWVGNAEGLARRNRGGGRGGAGAGVGPRRGKKVVEWRGVGATKTAM
+>sp|C9JQI7|TM232_HUMAN Transmembrane protein 232 OS=Homo sapiens OX=9606 GN=TMEM232 PE=2 SV=2
+MNMPVNKSPMINTCGGISSPYHEELWKLNFQHLSGERGHKSRPTFSITKEFILRFNQTQNSKEKEELLELARKIILRCKRKLGLKTLGSGRHVHLPAAWTEVIYLAQCKGEIQDESLNMLYASLDHASFDYDHLPALFFVAESVLYRLCCDASLKTYLYSVEIKLAKIGYLVFLRLFIFFLHGHLESFKQHLLRLQPYLYALSFSGASYHKYPNIFSNVQFILKASEIIGKRELRSESIFRPVEDKKRYENTDSDMGGYEINHLLWHCVAAWSCVQNNSPQLNNVLEHLVFHKTQLQKKCWLDSVLALLVLGEAAKLNMACLKALMDVVRDFVSSIMSVQNQEESCKVDDFSWAWNVVYIYTVILAEICLYAATSDLRKTALIGFCHCKSSQKNILYLDKSVPPELKETSILSLLEYFSSKMSENCDQVVWTGYYGLVYNLVKISWELQGDEEQDGLRNMIWQTLQKTKDYEEDVRIQNAINIAQAELNDPTDPFTRYSTNISSNVGEEVFSKYIGWRIANTLSKLFFPPIEAHFLPLKKPSIKKDQTKYPNKKLESVKKQVLHFTVREHPSVSEIPMFPYPDFFTKADKELAKIIDHHWQEELKIREKEDAICKAQELKDKKLAEKNHFQEVMKKREEKLHKQTKPYELPYRKEVI
+>DECOY_sp|C9JQI7|TM232_HUMAN Transmembrane protein 232 OS=Homo sapiens OX=9606 GN=TMEM232 PE=2 SV=2
+IVEKRYPLEYPKTQKHLKEERKKMVEQFHNKEALKKDKLEQAKCIADEKERIKLEEQWHHDIIKALEKDAKTFFDPYPFMPIESVSPHERVTFHLVQKKVSELKKNPYKTQDKKISPKKLPLFHAEIPPFFLKSLTNAIRWGIYKSFVEEGVNSSINTSYRTFPDTPDNLEAQAINIANQIRVDEEYDKTKQLTQWIMNRLGDQEEDGQLEWSIKVLNYVLGYYGTWVVQDCNESMKSSFYELLSLISTEKLEPPVSKDLYLINKQSSKCHCFGILATKRLDSTAAYLCIEALIVTYIYVVNWAWSFDDVKCSEEQNQVSMISSVFDRVVDMLAKLCAMNLKAAEGLVLLALVSDLWCKKQLQTKHFVLHELVNNLQPSNNQVCSWAAVCHWLLHNIEYGGMDSDTNEYRKKDEVPRFISESRLERKGIIESAKLIFQVNSFINPYKHYSAGSFSLAYLYPQLRLLHQKFSELHGHLFFIFLRLFVLYGIKALKIEVSYLYTKLSADCCLRYLVSEAVFFLAPLHDYDFSAHDLSAYLMNLSEDQIEGKCQALYIVETWAAPLHVHRGSGLTKLGLKRKCRLIIKRALELLEEKEKSNQTQNFRLIFEKTISFTPRSKHGREGSLHQFNLKWLEEHYPSSIGGCTNIMPSKNVPMNM
+>sp|Q5VVB8|TM244_HUMAN Transmembrane protein 244 OS=Homo sapiens OX=9606 GN=TMEM244 PE=4 SV=1
+MALQVRVAPSKVVLQKFLLCVILFYTVYYVSLSMGCVMFEVHELNVLAPFDFKTNPSWLNINYKVLLVSTEVTYFVCGLFFVPVVEEWVWDYAISVTILHVAITSTVMLEFPLTSHWWAALGISKLLV
+>DECOY_sp|Q5VVB8|TM244_HUMAN Transmembrane protein 244 OS=Homo sapiens OX=9606 GN=TMEM244 PE=4 SV=1
+VLLKSIGLAAWWHSTLPFELMVTSTIAVHLITVSIAYDWVWEEVVPVFFLGCVFYTVETSVLLVKYNINLWSPNTKFDFPALVNLEHVEFMVCGMSLSVYYVTYFLIVCLLFKQLVVKSPAVRVQLAM
+>sp|Q9NWD8|TM248_HUMAN Transmembrane protein 248 OS=Homo sapiens OX=9606 GN=TMEM248 PE=1 SV=1
+MFSINPLENLKVYISSRPPLVVFMISVSAMAIAFLTLGYFFKIKEIKSPEMAEDWNTFLLRFNDLDLCVSENETLKHLTNDTTTPESTMTSGQARASTQSPQALEDSGPVNISVSITLTLDPLKPFGGYSRNVTHLYSTILGHQIGLSGREAHEEINITFTLPTAWSSDDCALHGHCEQVVFTACMTLTASPGVFPVTVQPPHCVPDTYSNATLWYKIFTTARDANTKYAQDYNPFWCYKGAIGKVYHALNPKLTVIVPDDDRSLINLHLMHTSYFLFVMVITMFCYAVIKGRPSKLRQSNPEFCPEKVALAEA
+>DECOY_sp|Q9NWD8|TM248_HUMAN Transmembrane protein 248 OS=Homo sapiens OX=9606 GN=TMEM248 PE=1 SV=1
+AEALAVKEPCFEPNSQRLKSPRGKIVAYCFMTIVMVFLFYSTHMLHLNILSRDDDPVIVTLKPNLAHYVKGIAGKYCWFPNYDQAYKTNADRATTFIKYWLTANSYTDPVCHPPQVTVPFVGPSATLTMCATFVVQECHGHLACDDSSWATPLTFTINIEEHAERGSLGIQHGLITSYLHTVNRSYGGFPKLPDLTLTISVSINVPGSDELAQPSQTSARAQGSTMTSEPTTTDNTLHKLTENESVCLDLDNFRLLFTNWDEAMEPSKIEKIKFFYGLTLFAIAMASVSIMFVVLPPRSSIYVKLNELPNISFM
+>sp|Q2WGJ8|TM249_HUMAN Transmembrane protein 249 OS=Homo sapiens OX=9606 GN=TMEM249 PE=2 SV=1
+MPKGRAGSLPTTSIGWRFQLWFLGLTCPERHLARRLKNNSFYPFVQQEPNVFVLEYYLDTLWKGMLLFIISVVLVSFSSLREVQKQETWVFLVYGVGVGLWLVISSLPRRRLVLNHTRGVYHFSIQGRTVCQGPLHLVYVRLALSSDAHGRCFFHLVLGGHRLEPLVLVQLSEHYEQMEYLGRYIARKLNINYFDYLATSYRHVVRHWPPPGAGTVMGKSPMGHKPSSSQSSLEV
+>DECOY_sp|Q2WGJ8|TM249_HUMAN Transmembrane protein 249 OS=Homo sapiens OX=9606 GN=TMEM249 PE=2 SV=1
+VELSSQSSSPKHGMPSKGMVTGAGPPPWHRVVHRYSTALYDFYNINLKRAIYRGLYEMQEYHESLQVLVLPELRHGGLVLHFFCRGHADSSLALRVYVLHLPGQCVTRGQISFHYVGRTHNLVLRRRPLSSIVLWLGVGVGYVLFVWTEQKQVERLSSFSVLVVSIIFLLMGKWLTDLYYELVFVNPEQQVFPYFSNNKLRRALHREPCTLGLFWLQFRWGISTTPLSGARGKPM
+>sp|Q8N6L7|TM252_HUMAN Transmembrane protein 252 OS=Homo sapiens OX=9606 GN=TMEM252 PE=2 SV=1
+MQNRTGLILCALALLMGFLMVCLGAFFISWGSIFDCQGSLIAAYLLLPLGFVILLSGIFWSNYRQVTESKGVLRHMLRQHLAHGALPVATVDRPDFYPPAYEESLEVEKQSCPAEREASGIPPPLYTETGLEFQDGNDSHPEAPPSYRESIAGLVVTAISEDAQRRGQEC
+>DECOY_sp|Q8N6L7|TM252_HUMAN Transmembrane protein 252 OS=Homo sapiens OX=9606 GN=TMEM252 PE=2 SV=1
+CEQGRRQADESIATVVLGAISERYSPPAEPHSDNGDQFELGTETYLPPPIGSAEREAPCSQKEVELSEEYAPPYFDPRDVTAVPLAGHALHQRLMHRLVGKSETVQRYNSWFIGSLLIVFGLPLLLYAAILSGQCDFISGWSIFFAGLCVMLFGMLLALACLILGTRNQM
+>sp|Q8TBM7|TM254_HUMAN Transmembrane protein 254 OS=Homo sapiens OX=9606 GN=TMEM254 PE=1 SV=1
+MATAAGATYFQRGSLFWFTVITLSFGYYTWVVFWPQSIPYQNLGPLGPFTQYLVDHHHTLLCNGYWLAWLIHVGESLYAIVLCKHKGITSGRAQLLWFLQTFFFGIASLTILIAYKRKRQKQT
+>DECOY_sp|Q8TBM7|TM254_HUMAN Transmembrane protein 254 OS=Homo sapiens OX=9606 GN=TMEM254 PE=1 SV=1
+TQKQRKRKYAILITLSAIGFFFTQLFWLLQARGSTIGKHKCLVIAYLSEGVHILWALWYGNCLLTHHHDVLYQTFPGLPGLNQYPISQPWFVVWTYYGFSLTIVTFWFLSGRQFYTAGAATAM
+>sp|E9PQX1|TM262_HUMAN Transmembrane protein 262 OS=Homo sapiens OX=9606 GN=TMEM262 PE=4 SV=1
+MWLQDRIATFFFPKGMMLTTAALMLFFLHLGIFIRDVHNFCITYHYDHMSFHYTVVLMFSQVISICWAAMGSLYAEMTENKYVCFSALTILMLNGAMFFNRLSLEFLAIEYREEHH
+>DECOY_sp|E9PQX1|TM262_HUMAN Transmembrane protein 262 OS=Homo sapiens OX=9606 GN=TMEM262 PE=4 SV=1
+HHEERYEIALFELSLRNFFMAGNLMLITLASFCVYKNETMEAYLSGMAAWCISIVQSFMLVVTYHFSMHDYHYTICFNHVDRIFIGLHLFFLMLAATTLMMGKPFFFTAIRDQLWM
+>sp|Q8WUH6|TM263_HUMAN Transmembrane protein 263 OS=Homo sapiens OX=9606 GN=TMEM263 PE=1 SV=1
+MNQTDKNQQEIPSYLNDEPPEGSMKDHPQQQPGMLSRVTGGIFSVTKGAVGATIGGVAWIGGKSLEVTKTAVTTVPSMGIGLVKGGVSAVAGGVTAVGSAVVNKVPLTGKKKDKSD
+>DECOY_sp|Q8WUH6|TM263_HUMAN Transmembrane protein 263 OS=Homo sapiens OX=9606 GN=TMEM263 PE=1 SV=1
+DSKDKKKGTLPVKNVVASGVATVGGAVASVGGKVLGIGMSPVTTVATKTVELSKGGIWAVGGITAGVAGKTVSFIGGTVRSLMGPQQQPHDKMSGEPPEDNLYSPIEQQNKDTQNM
+>sp|A0A1B0GTI8|TM272_HUMAN Transmembrane protein 272 OS=Homo sapiens OX=9606 GN=TMEM272 PE=2 SV=2
+MVSLLLYDSTRMRRLLSKAVVIDDDDDDEYPWRQNAHRYYIHLLLSLFLFLWFILGNYWVFSVYLPDFLPPFQQPQDYCDKTLYLFAVGVLALSHTVLVLLLLCSGCVYLCSRWRLAADED
+>DECOY_sp|A0A1B0GTI8|TM272_HUMAN Transmembrane protein 272 OS=Homo sapiens OX=9606 GN=TMEM272 PE=2 SV=2
+DEDAALRWRSCLYVCGSCLLLLVLVTHSLALVGVAFLYLTKDCYDQPQQFPPLFDPLYVSFVWYNGLIFWLFLFLSLLLHIYYRHANQRWPYEDDDDDDIVVAKSLLRRMRTSDYLLLSVM
+>sp|Q9NV64|TM39A_HUMAN Transmembrane protein 39A OS=Homo sapiens OX=9606 GN=TMEM39A PE=2 SV=1
+MPGGRRGPSRQQLSRSALPSLQTLVGGGCGNGTGLRNRNGSAIGLPVPPITALITPGPVRHCQIPDLPVDGSLLFEFLFFIYLLVALFIQYINIYKTVWWYPYNHPASCTSLNFHLIDYHLAAFITVMLARRLVWALISEATKAGAASMIHYMVLISARLVLLTLCGWVLCWTLVNLFRSHSVLNLLFLGYPFGVYVPLCCFHQDSRAHLLLTDYNYVVQHEAVEESASTVGGLAKSKDFLSLLLESLKEQFNNATPIPTHSCPLSPDLIRNEVECLKADFNHRIKEVLFNSLFSAYYVAFLPLCFVKSTQYYDMRWSCEHLIMVWINAFVMLTTQLLPSKYCDLLHKSAAHLGKWQKLEHGSYSNAPQHIWSENTIWPQGVLVRHSRCLYRAMGPYNVAVPSDVSHARFYFLFHRPLRLLNLLILIEGSVVFYQLYSLLRSEKWNHTLSMALILFCNYYVLFKLLRDRIVLGRAYSYPLNSYELKAN
+>DECOY_sp|Q9NV64|TM39A_HUMAN Transmembrane protein 39A OS=Homo sapiens OX=9606 GN=TMEM39A PE=2 SV=1
+NAKLEYSNLPYSYARGLVIRDRLLKFLVYYNCFLILAMSLTHNWKESRLLSYLQYFVVSGEILILLNLLRLPRHFLFYFRAHSVDSPVAVNYPGMARYLCRSHRVLVGQPWITNESWIHQPANSYSGHELKQWKGLHAASKHLLDCYKSPLLQTTLMVFANIWVMILHECSWRMDYYQTSKVFCLPLFAVYYASFLSNFLVEKIRHNFDAKLCEVENRILDPSLPCSHTPIPTANNFQEKLSELLLSLFDKSKALGGVTSASEEVAEHQVVYNYDTLLLHARSDQHFCCLPVYVGFPYGLFLLNLVSHSRFLNVLTWCLVWGCLTLLVLRASILVMYHIMSAAGAKTAESILAWVLRRALMVTIFAALHYDILHFNLSTCSAPHNYPYWWVTKYINIYQIFLAVLLYIFFLFEFLLSGDVPLDPIQCHRVPGPTILATIPPVPLGIASGNRNRLGTGNGCGGGVLTQLSPLASRSLQQRSPGRRGGPM
+>sp|Q4KMG9|TM52B_HUMAN Transmembrane protein 52B OS=Homo sapiens OX=9606 GN=TMEM52B PE=2 SV=1
+MGVRVHVVAASALLYFILLSGTRCEENCGNPEHCLTTDWVHLWYIWLLVVIGALLLLCGLTSLCFRCCCLSRQQNGEDGGPPPCEVTVIAFDHDSTLQSTITSLQSVFGPAARRILAVAHSHSSLGQLPSSLDTLPGYEEALHMSRFTVAMCGQKAPDLPPVPEEKQLPPTEKESTRIVDSWN
+>DECOY_sp|Q4KMG9|TM52B_HUMAN Transmembrane protein 52B OS=Homo sapiens OX=9606 GN=TMEM52B PE=2 SV=1
+NWSDVIRTSEKETPPLQKEEPVPPLDPAKQGCMAVTFRSMHLAEEYGPLTDLSSPLQGLSSHSHAVALIRRAAPGFVSQLSTITSQLTSDHDFAIVTVECPPPGGDEGNQQRSLCCCRFCLSTLGCLLLLAGIVVLLWIYWLHVWDTTLCHEPNGCNEECRTGSLLIFYLLASAAVVHVRVGM
+>sp|Q92544|TM9S4_HUMAN Transmembrane 9 superfamily member 4 OS=Homo sapiens OX=9606 GN=TM9SF4 PE=1 SV=2
+MATAMDWLPWSLLLFSLMCETSAFYVPGVAPINFHQNDPVEIKAVKLTSSRTQLPYEYYSLPFCQPSKITYKAENLGEVLRGDRIVNTPFQVLMNSEKKCEVLCSQSNKPVTLTVEQSRLVAERITEDYYVHLIADNLPVATRLELYSNRDSDDKKKEKDVQFEHGYRLGFTDVNKIYLHNHLSFILYYHREDMEEDQEHTYRVVRFEVIPQSIRLEDLKADEKSSCTLPEGTNSSPQEIDPTKENQLYFTYSVHWEESDIKWASRWDTYLTMSDVQIHWFSIINSVVVVFFLSGILSMIIIRTLRKDIANYNKEDDIEDTMEESGWKLVHGDVFRPPQYPMILSSLLGSGIQLFCMILIVIFVAMLGMLSPSSRGALMTTACFLFMFMGVFGGFSAGRLYRTLKGHRWKKGAFCTATLYPGVVFGICFVLNCFIWGKHSSGAVPFPTMVALLCMWFGISLPLVYLGYYFGFRKQPYDNPVRTNQIPRQIPEQRWYMNRFVGILMAGILPFGAMFIELFFIFSAIWENQFYYLFGFLFLVFIILVVSCSQISIVMVYFQLCAEDYRWWWRNFLVSGGSAFYVLVYAIFYFVNKLDIVEFIPSLLYFGYTALMVLSFWLLTGTIGFYAAYMFVRKIYAAVKID
+>DECOY_sp|Q92544|TM9S4_HUMAN Transmembrane 9 superfamily member 4 OS=Homo sapiens OX=9606 GN=TM9SF4 PE=1 SV=2
+DIKVAAYIKRVFMYAAYFGITGTLLWFSLVMLATYGFYLLSPIFEVIDLKNVFYFIAYVLVYFASGGSVLFNRWWWRYDEACLQFYVMVISIQSCSVVLIIFVLFLFGFLYYFQNEWIASFIFFLEIFMAGFPLIGAMLIGVFRNMYWRQEPIQRPIQNTRVPNDYPQKRFGFYYGLYVLPLSIGFWMCLLAVMTPFPVAGSSHKGWIFCNLVFCIGFVVGPYLTATCFAGKKWRHGKLTRYLRGASFGGFVGMFMFLFCATTMLAGRSSPSLMGLMAVFIVILIMCFLQIGSGLLSSLIMPYQPPRFVDGHVLKWGSEEMTDEIDDEKNYNAIDKRLTRIIIMSLIGSLFFVVVVSNIISFWHIQVDSMTLYTDWRSAWKIDSEEWHVSYTFYLQNEKTPDIEQPSSNTGEPLTCSSKEDAKLDELRISQPIVEFRVVRYTHEQDEEMDERHYYLIFSLHNHLYIKNVDTFGLRYGHEFQVDKEKKKDDSDRNSYLELRTAVPLNDAILHVYYDETIREAVLRSQEVTLTVPKNSQSCLVECKKESNMLVQFPTNVIRDGRLVEGLNEAKYTIKSPQCFPLSYYEYPLQTRSSTLKVAKIEVPDNQHFNIPAVGPVYFASTECMLSFLLLSWPLWDMATAM
+>sp|Q9Y2S6|TMA7_HUMAN Translation machinery-associated protein 7 OS=Homo sapiens OX=9606 GN=TMA7 PE=1 SV=1
+MSGREGGKKKPLKQPKKQAKEMDEEDKAFKQKQKEEQKKLEELKAKAAGKGPLATGGIKKSGKK
+>DECOY_sp|Q9Y2S6|TMA7_HUMAN Translation machinery-associated protein 7 OS=Homo sapiens OX=9606 GN=TMA7 PE=1 SV=1
+KKGSKKIGGTALPGKGAAKAKLEELKKQEEKQKQKFAKDEEDMEKAQKKPQKLPKKKGGERGSM
+>sp|A8MYB1|TMC5B_HUMAN Transmembrane and coiled-coil domain-containing protein 5B OS=Homo sapiens OX=9606 GN=TMCO5B PE=3 SV=1
+MEDVGQNPLDDVKNIFFASSLEAVKQNLDCLNSDLEKDLQKLDMENQVLLRKIKEKEETISSLERKLALSLEEAKEEEELNYVIDEQEESLRELELETAKLEKSNAILSRNVVEVQKKISGLFTNIGLEEETTKQILEEMKARLQKSTESCAKQEEELAKIESDYQSVSDLCKDQVYYIKKYQEVLRKMKEEKETLLLEKQISKAQDDSSQTVKPGSILADTTQRNMERTTIKKQERRCWYKYFQYLTFMVLVFIRLLAYVIFHLQYINPDLLVDVLPLVLSRGTLESLRKVSHPFLTLAVEEALPH
+>DECOY_sp|A8MYB1|TMC5B_HUMAN Transmembrane and coiled-coil domain-containing protein 5B OS=Homo sapiens OX=9606 GN=TMCO5B PE=3 SV=1
+HPLAEEVALTLFPHSVKRLSELTGRSLVLPLVDVLLDPNIYQLHFIVYALLRIFVLVMFTLYQFYKYWCRREQKKITTREMNRQTTDALISGPKVTQSSDDQAKSIQKELLLTEKEEKMKRLVEQYKKIYYVQDKCLDSVSQYDSEIKALEEEQKACSETSKQLRAKMEELIQKTTEEELGINTFLGSIKKQVEVVNRSLIANSKELKATELELERLSEEQEDIVYNLEEEEKAEELSLALKRELSSITEEKEKIKRLLVQNEMDLKQLDKELDSNLCDLNQKVAELSSAFFINKVDDLPNQGVDEM
+>sp|O94876|TMCC1_HUMAN Transmembrane and coiled-coil domains protein 1 OS=Homo sapiens OX=9606 GN=TMCC1 PE=1 SV=3
+MEPSGSEQLFEDPDPGGKSQDAEARKQTESEQKLSKMTHNALENINVIGQGLKHLFQHQRRRSSVSPHDVQQIQADPEPEMDLESQNACAEIDGVPTHPTALNRVLQQIRVPPKMKRGTSLHSRRGKPEAPKGSPQINRKSGQEMTAVMQSGRPRSSSTTDAPTSSAMMEIACAAAAAAAACLPGEEGTAERIERLEVSSLAQTSSAVASSTDGSIHTDSVDGTPDPQRTKAAIAHLQQKILKLTEQIKIAQTARDDNVAEYLKLANSADKQQAARIKQVFEKKNQKSAQTILQLQKKLEHYHRKLREVEQNGIPRQPKDVFRDMHQGLKDVGAKVTGFSEGVVDSVKGGFSSFSQATHSAAGAVVSKPREIASLIRNKFGSADNIPNLKDSLEEGQVDDAGKALGVISNFQSSPKYGSEEDCSSATSGSVGANSTTGGIAVGASSSKTNTLDMQSSGFDALLHEIQEIRETQARLEESFETLKEHYQRDYSLIMQTLQEERYRCERLEEQLNDLTELHQNEILNLKQELASMEEKIAYQSYERARDIQEALEACQTRISKMELQQQQQQVVQLEGLENATARNLLGKLINILLAVMAVLLVFVSTVANCVVPLMKTRNRTFSTLFLVVFIAFLWKHWDALFSYVERFFSSPR
+>DECOY_sp|O94876|TMCC1_HUMAN Transmembrane and coiled-coil domains protein 1 OS=Homo sapiens OX=9606 GN=TMCC1 PE=1 SV=3
+RPSSFFREVYSFLADWHKWLFAIFVVLFLTSFTRNRTKMLPVVCNAVTSVFVLLVAMVALLINILKGLLNRATANELGELQVVQQQQQQLEMKSIRTQCAELAEQIDRAREYSQYAIKEEMSALEQKLNLIENQHLETLDNLQEELRECRYREEQLTQMILSYDRQYHEKLTEFSEELRAQTERIEQIEHLLADFGSSQMDLTNTKSSSAGVAIGGTTSNAGVSGSTASSCDEESGYKPSSQFNSIVGLAKGADDVQGEELSDKLNPINDASGFKNRILSAIERPKSVVAGAASHTAQSFSSFGGKVSDVVGESFGTVKAGVDKLGQHMDRFVDKPQRPIGNQEVERLKRHYHELKKQLQLITQASKQNKKEFVQKIRAAQQKDASNALKLYEAVNDDRATQAIKIQETLKLIKQQLHAIAAKTRQPDPTGDVSDTHISGDTSSAVASSTQALSSVELREIREATGEEGPLCAAAAAAAACAIEMMASSTPADTTSSSRPRGSQMVATMEQGSKRNIQPSGKPAEPKGRRSHLSTGRKMKPPVRIQQLVRNLATPHTPVGDIEACANQSELDMEPEPDAQIQQVDHPSVSSRRRQHQFLHKLGQGIVNINELANHTMKSLKQESETQKRAEADQSKGGPDPDEFLQESGSPEM
+>sp|Q9UM00|TMCO1_HUMAN Calcium load-activated calcium channel OS=Homo sapiens OX=9606 GN=TMCO1 PE=1 SV=1
+MSTMFADTLLIVFISVCTALLAEGITWVLVYRTDKYKRLKAEVEKQSKKLEKKKETITESAGRQQKKKIERQEEKLKNNNRDLSMVRMKSMFAIGFCFTALMGMFNSIFDGRVVAKLPFTPLSYIQGLSHRNLLGDDTTDCSFIFLYILCTMSIRQNIQKILGLAPSRAATKQAGGFLGPPPPSGKFS
+>DECOY_sp|Q9UM00|TMCO1_HUMAN Calcium load-activated calcium channel OS=Homo sapiens OX=9606 GN=TMCO1 PE=1 SV=1
+SFKGSPPPPGLFGGAQKTAARSPALGLIKQINQRISMTCLIYLFIFSCDTTDDGLLNRHSLGQIYSLPTFPLKAVVRGDFISNFMGMLATFCFGIAFMSKMRVMSLDRNNNKLKEEQREIKKKQQRGASETITEKKKELKKSQKEVEAKLRKYKDTRYVLVWTIGEALLATCVSIFVILLTDAFMTSM
+>sp|Q7Z7H5|TMED4_HUMAN Transmembrane emp24 domain-containing protein 4 OS=Homo sapiens OX=9606 GN=TMED4 PE=1 SV=1
+MAGVGAGPLRAMGRQALLLLALCATGAQGLYFHIGETEKRCFIEEIPDETMVIGNYRTQMWDKQKEVFLPSTPGLGMHVEVKDPDGKVVLSRQYGSEGRFTFTSHTPGDHQICLHSNSTRMALFAGGKLRVHLDIQVGEHANNYPEIAAKDKLTELQLRARQLLDQVEQIQKEQDYQRYREERFRLTSESTNQRVLWWSIAQTVILILTGIWQMRHLKSFFEAKKLV
+>DECOY_sp|Q7Z7H5|TMED4_HUMAN Transmembrane emp24 domain-containing protein 4 OS=Homo sapiens OX=9606 GN=TMED4 PE=1 SV=1
+VLKKAEFFSKLHRMQWIGTLILIVTQAISWWLVRQNTSESTLRFREERYRQYDQEKQIQEVQDLLQRARLQLETLKDKAAIEPYNNAHEGVQIDLHVRLKGGAFLAMRTSNSHLCIQHDGPTHSTFTFRGESGYQRSLVVKGDPDKVEVHMGLGPTSPLFVEKQKDWMQTRYNGIVMTEDPIEEIFCRKETEGIHFYLGQAGTACLALLLLAQRGMARLPGAGVGAM
+>sp|Q9BVK6|TMED9_HUMAN Transmembrane emp24 domain-containing protein 9 OS=Homo sapiens OX=9606 GN=TMED9 PE=1 SV=2
+MAVELGVLLVRPRPGTGLGRVMRTLLLVLWLATRGSALYFHIGETEKKCFIEEIPDETMVIGNYRTQLYDKQREEYQPATPGLGMFVEVKDPEDKVILARQYGSEGRFTFTSHTPGEHQICLHSNSTKFSLFAGGMLRVHLDIQVGEHANDYAEIAAKDKLSELQLRVRQLVEQVEQIQKEQNYQRWREERFRQTSESTNQRVLWWSILQTLILVAIGVWQMRHLKSFFEAKKLV
+>DECOY_sp|Q9BVK6|TMED9_HUMAN Transmembrane emp24 domain-containing protein 9 OS=Homo sapiens OX=9606 GN=TMED9 PE=1 SV=2
+VLKKAEFFSKLHRMQWVGIAVLILTQLISWWLVRQNTSESTQRFREERWRQYNQEKQIQEVQEVLQRVRLQLESLKDKAAIEAYDNAHEGVQIDLHVRLMGGAFLSFKTSNSHLCIQHEGPTHSTFTFRGESGYQRALIVKDEPDKVEVFMGLGPTAPQYEERQKDYLQTRYNGIVMTEDPIEEIFCKKETEGIHFYLASGRTALWLVLLLTRMVRGLGTGPRPRVLLVGLEVAM
+>sp|P49755|TMEDA_HUMAN Transmembrane emp24 domain-containing protein 10 OS=Homo sapiens OX=9606 GN=TMED10 PE=1 SV=2
+MSGLSGPPARRGPFPLALLLLFLLGPRLVLAISFHLPINSRKCLREEIHKDLLVTGAYEISDQSGGAGGLRSHLKITDSAGHILYSKEDATKGKFAFTTEDYDMFEVCFESKGTGRIPDQLVILDMKHGVEAKNYEEIAKVEKLKPLEVELRRLEDLSESIVNDFAYMKKREEEMRDTNESTNTRVLYFSIFSMFCLIGLATWQVFYLRRFFKAKKLIE
+>DECOY_sp|P49755|TMEDA_HUMAN Transmembrane emp24 domain-containing protein 10 OS=Homo sapiens OX=9606 GN=TMED10 PE=1 SV=2
+EILKKAKFFRRLYFVQWTALGILCFMSFISFYLVRTNTSENTDRMEEERKKMYAFDNVISESLDELRRLEVELPKLKEVKAIEEYNKAEVGHKMDLIVLQDPIRGTGKSEFCVEFMDYDETTFAFKGKTADEKSYLIHGASDTIKLHSRLGGAGGSQDSIEYAGTVLLDKHIEERLCKRSNIPLHFSIALVLRPGLLFLLLLALPFPGRRAPPGSLGSM
+>sp|Q9BZD7|TMG3_HUMAN Transmembrane gamma-carboxyglutamic acid protein 3 OS=Homo sapiens OX=9606 GN=PRRG3 PE=2 SV=2
+MAVFLEAKDAHSVLKRFPRANEFLEELRQGTIERECMEEICSYEEVKEVFENKEKTMEFWKGYPNAVYSVRDPSQSSDAMYVVVPLLGVALLIVIALFIIWRCQLQKATRHHPSYAQNRYLASRAGHTLPRVMVYRGTVHSQGEPSGHREAANSPQVVLGPSRGGRTTVRLESTLYLPELSLSRLSSTTPPPSYEEVTAPQESSSEEASVSYSDPPPKYEEIVAANPGADK
+>DECOY_sp|Q9BZD7|TMG3_HUMAN Transmembrane gamma-carboxyglutamic acid protein 3 OS=Homo sapiens OX=9606 GN=PRRG3 PE=2 SV=2
+KDAGPNAAVIEEYKPPPDSYSVSAEESSSEQPATVEEYSPPPTTSSLRSLSLEPLYLTSELRVTTRGGRSPGLVVQPSNAAERHGSPEGQSHVTGRYVMVRPLTHGARSALYRNQAYSPHHRTAKQLQCRWIIFLAIVILLAVGLLPVVVYMADSSQSPDRVSYVANPYGKWFEMTKEKNEFVEKVEEYSCIEEMCEREITGQRLEELFENARPFRKLVSHADKAELFVAM
+>sp|P0DMS9|TMIG3_HUMAN Transmembrane domain-containing protein TMIGD3 OS=Homo sapiens OX=9606 GN=TMIGD3 PE=1 SV=1
+MEGSPAGPIEQKEARWESSWEEQPDWTLGCLSPESQFRIPGLPGCILSFQLKVCFLPVMWLFILLSLALISDAMVMDEKVKRSFVLDTASAICNYNAHYKNHPKYWCRGYFRDYCNIIAFSPNSTNHVALRDTGNQLIVTMSCLTKEDTGWYWCGIQRDFARDDMDFTELIVTDDKGTLANDFWSGKDLSGNKTRSCKAPKVVRKADRSRTSILIICILITGLGIISVISHLTKRRRSQRNRRVGNTLKPFSRVLTPKEMAPTEQM
+>DECOY_sp|P0DMS9|TMIG3_HUMAN Transmembrane domain-containing protein TMIGD3 OS=Homo sapiens OX=9606 GN=TMIGD3 PE=1 SV=1
+MQETPAMEKPTLVRSFPKLTNGVRRNRQSRRRKTLHSIVSIIGLGTILICIILISTRSRDAKRVVKPAKCSRTKNGSLDKGSWFDNALTGKDDTVILETFDMDDRAFDRQIGCWYWGTDEKTLCSMTVILQNGTDRLAVHNTSNPSFAIINCYDRFYGRCWYKPHNKYHANYNCIASATDLVFSRKVKEDMVMADSILALSLLIFLWMVPLFCVKLQFSLICGPLGPIRFQSEPSLCGLTWDPQEEWSSEWRAEKQEIPGAPSGEM
+>sp|Q9NVH6|TMLH_HUMAN Trimethyllysine dioxygenase, mitochondrial OS=Homo sapiens OX=9606 GN=TMLHE PE=1 SV=1
+MWYHRLSHLHSRLQDLLKGGVIYPALPQPNFKSLLPLAVHWHHTASKSLTCAWQQHEDHFELKYANTVMRFDYVWLRDHCRSASCYNSKTHQRSLDTASVDLCIKPKTIRLDETTLFFTWPDGHVTKYDLNWLVKNSYEGQKQKVIQPRILWNAEIYQQAQVPSVDCQSFLETNEGLKKFLQNFLLYGIAFVENVPPTQEHTEKLAERISLIRETIYGRMWYFTSDFSRGDTAYTKLALDRHTDTTYFQEPCGIQVFHCLKHEGTGGRTLLVDGFYAAEQVLQKAPEEFELLSKVPLKHEYIEDVGECHNHMIGIGPVLNIYPWNKELYLIRYNNYDRAVINTVPYDVVHRWYTAHRTLTIELRRPENEFWVKLKPGRVLFIDNWRVLHGRECFTGYRQLCGCYLTRDDVLNTARLLGLQA
+>DECOY_sp|Q9NVH6|TMLH_HUMAN Trimethyllysine dioxygenase, mitochondrial OS=Homo sapiens OX=9606 GN=TMLHE PE=1 SV=1
+AQLGLLRATNLVDDRTLYCGCLQRYGTFCERGHLVRWNDIFLVRGPKLKVWFENEPRRLEITLTRHATYWRHVVDYPVTNIVARDYNNYRILYLEKNWPYINLVPGIGIMHNHCEGVDEIYEHKLPVKSLLEFEEPAKQLVQEAAYFGDVLLTRGGTGEHKLCHFVQIGCPEQFYTTDTHRDLALKTYATDGRSFDSTFYWMRGYITERILSIREALKETHEQTPPVNEVFAIGYLLFNQLFKKLGENTELFSQCDVSPVQAQQYIEANWLIRPQIVKQKQGEYSNKVLWNLDYKTVHGDPWTFFLTTEDLRITKPKICLDVSATDLSRQHTKSNYCSASRCHDRLWVYDFRMVTNAYKLEFHDEHQQWACTLSKSATHHWHVALPLLSKFNPQPLAPYIVGGKLLDQLRSHLHSLRHYWM
+>sp|Q9H2L4|TMM60_HUMAN Transmembrane protein 60 OS=Homo sapiens OX=9606 GN=TMEM60 PE=2 SV=1
+MRMSLAQRVLLTWLFTLLFLIMLVLKLDEKAPWNWFLIFIPVWIFDTILLVLLIVKMAGRCKSGFDPRHGSHNIKKKAWYLIAMLLKLAFCLALCAKLEQFTTMNLSYVFIPLWALLAGALTELGYNVFFVRD
+>DECOY_sp|Q9H2L4|TMM60_HUMAN Transmembrane protein 60 OS=Homo sapiens OX=9606 GN=TMEM60 PE=2 SV=1
+DRVFFVNYGLETLAGALLAWLPIFVYSLNMTTFQELKACLALCFALKLLMAILYWAKKKINHSGHRPDFGSKCRGAMKVILLVLLITDFIWVPIFILFWNWPAKEDLKLVLMILFLLTFLWTLLVRQALSMRM
+>sp|P02585|TNNC2_HUMAN Troponin C, skeletal muscle OS=Homo sapiens OX=9606 GN=TNNC2 PE=1 SV=2
+MTDQQAEARSYLSEEMIAEFKAAFDMFDADGGGDISVKELGTVMRMLGQTPTKEELDAIIEEVDEDGSGTIDFEEFLVMMVRQMKEDAKGKSEEELAECFRIFDRNADGYIDPEELAEIFRASGEHVTDEEIESLMKDGDKNNDGRIDFDEFLKMMEGVQ
+>DECOY_sp|P02585|TNNC2_HUMAN Troponin C, skeletal muscle OS=Homo sapiens OX=9606 GN=TNNC2 PE=1 SV=2
+QVGEMMKLFEDFDIRGDNNKDGDKMLSEIEEDTVHEGSARFIEALEEPDIYGDANRDFIRFCEALEEESKGKADEKMQRVMMVLFEEFDITGSGDEDVEEIIADLEEKTPTQGLMRMVTGLEKVSIDGGGDADFMDFAAKFEAIMEESLYSRAEAQQDTM
+>sp|P19237|TNNI1_HUMAN Troponin I, slow skeletal muscle OS=Homo sapiens OX=9606 GN=TNNI1 PE=1 SV=3
+MPEVERKPKITASRKLLLKSLMLAKAKECWEQEHEEREAEKVRYLAERIPTLQTRGLSLSALQDLCRELHAKVEVVDEERYDIEAKCLHNTREIKDLKLKVMDLRGKFKRPPLRRVRVSADAMLRALLGSKHKVSMDLRANLKSVKKEDTEKERPVEVGDWRKNVEAMSGMEGRKKMFDAAKSPTSQ
+>DECOY_sp|P19237|TNNI1_HUMAN Troponin I, slow skeletal muscle OS=Homo sapiens OX=9606 GN=TNNI1 PE=1 SV=3
+QSTPSKAADFMKKRGEMGSMAEVNKRWDGVEVPREKETDEKKVSKLNARLDMSVKHKSGLLARLMADASVRVRRLPPRKFKGRLDMVKLKLDKIERTNHLCKAEIDYREEDVVEVKAHLERCLDQLASLSLGRTQLTPIREALYRVKEAEREEHEQEWCEKAKALMLSKLLLKRSATIKPKREVEPM
+>sp|P48788|TNNI2_HUMAN Troponin I, fast skeletal muscle OS=Homo sapiens OX=9606 GN=TNNI2 PE=1 SV=2
+MGDEEKRNRAITARRQHLKSVMLQIAATELEKEESRREAEKQNYLAEHCPPLHIPGSMSEVQELCKQLHAKIDAAEEEKYDMEVRVQKTSKELEDMNQKLFDLRGKFKRPPLRRVRMSADAMLKALLGSKHKVCMDLRANLKQVKKEDTEKERDLRDVGDWRKNIEEKSGMEGRKKMFESES
+>DECOY_sp|P48788|TNNI2_HUMAN Troponin I, fast skeletal muscle OS=Homo sapiens OX=9606 GN=TNNI2 PE=1 SV=2
+SESEFMKKRGEMGSKEEINKRWDGVDRLDREKETDEKKVQKLNARLDMCVKHKSGLLAKLMADASMRVRRLPPRKFKGRLDFLKQNMDELEKSTKQVRVEMDYKEEEAADIKAHLQKCLEQVESMSGPIHLPPCHEALYNQKEAERRSEEKELETAAIQLMVSKLHQRRATIARNRKEEDGM
+>sp|P19429|TNNI3_HUMAN Troponin I, cardiac muscle OS=Homo sapiens OX=9606 GN=TNNI3 PE=1 SV=3
+MADGSSDAAREPRPAPAPIRRRSSNYRAYATEPHAKKKSKISASRKLQLKTLLLQIAKQELEREAEERRGEKGRALSTRCQPLELAGLGFAELQDLCRQLHARVDKVDEERYDIEAKVTKNITEIADLTQKIFDLRGKFKRPTLRRVRISADAMMQALLGARAKESLDLRAHLKQVKKEDTEKENREVGDWRKNIDALSGMEGRKKKFES
+>DECOY_sp|P19429|TNNI3_HUMAN Troponin I, cardiac muscle OS=Homo sapiens OX=9606 GN=TNNI3 PE=1 SV=3
+SEFKKKRGEMGSLADINKRWDGVERNEKETDEKKVQKLHARLDLSEKARAGLLAQMMADASIRVRRLTPRKFKGRLDFIKQTLDAIETINKTVKAEIDYREEDVKDVRAHLQRCLDQLEAFGLGALELPQCRTSLARGKEGRREEAERELEQKAIQLLLTKLQLKRSASIKSKKKAHPETAYARYNSSRRRIPAPAPRPERAADSSGDAM
+>sp|P45379|TNNT2_HUMAN Troponin T, cardiac muscle OS=Homo sapiens OX=9606 GN=TNNT2 PE=1 SV=3
+MSDIEEVVEEYEEEEQEEAAVEEEEDWREDEDEQEEAAEEDAEAEAETEETRAEEDEEEEEAKEAEDGPMEESKPKPRSFMPNLVPPKIPDGERVDFDDIHRKRMEKDLNELQALIEAHFENRKKEEEELVSLKDRIERRRAERAEQQRIRNEREKERQNRLAEERARREEEENRRKAEDEARKKKALSNMMHFGGYIQKQAQTERKSGKRQTEREKKKKILAERRKVLAIDHLNEDQLREKAKELWQSIYNLEAEKFDLQEKFKQQKYEINVLRNRINDNQKVSKTRGKAKVTGRWK
+>DECOY_sp|P45379|TNNT2_HUMAN Troponin T, cardiac muscle OS=Homo sapiens OX=9606 GN=TNNT2 PE=1 SV=3
+KWRGTVKAKGRTKSVKQNDNIRNRLVNIEYKQQKFKEQLDFKEAELNYISQWLEKAKERLQDENLHDIALVKRREALIKKKKERETQRKGSKRETQAQKQIYGGFHMMNSLAKKKRAEDEAKRRNEEEERRAREEALRNQREKERENRIRQQEAREARRREIRDKLSVLEEEEKKRNEFHAEILAQLENLDKEMRKRHIDDFDVREGDPIKPPVLNPMFSRPKPKSEEMPGDEAEKAEEEEEDEEARTEETEAEAEADEEAAEEQEDEDERWDEEEEVAAEEQEEEEYEEVVEEIDSM
+>sp|Q9Y5L0|TNPO3_HUMAN Transportin-3 OS=Homo sapiens OX=9606 GN=TNPO3 PE=1 SV=3
+MEGAKPTLQLVYQAVQALYHDPDPSGKERASFWLGELQRSVHAWEISDQLLQIRQDVESCYFAAQTMKMKIQTSFYELPTDSHASLRDSLLTHIQNLKDLSPVIVTQLALAIADLALQMPSWKGCVQTLVEKYSNDVTSLPFLLEILTVLPEEVHSRSLRIGANRRTEIIEDLAFYSSTVVSLLMTCVEKAGTDEKMLMKVFRCLGSWFNLGVLDSNFMANNKLLALLFEVLQQDKTSSNLHEAASDCVCSALYAIENVETNLPLAMQLFQGVLTLETAYHMAVAREDLDKVLNYCRIFTELCETFLEKIVCTPGQGLGDLRTLELLLICAGHPQYEVVEISFNFWYRLGEHLYKTNDEVIHGIFKAYIQRLLHALARHCQLEPDHEGVPEETDDFGEFRMRVSDLVKDLIFLIGSMECFAQLYSTLKEGNPPWEVTEAVLFIMAAIAKSVDPENNPTLVEVLEGVVRLPETVHTAVRYTSIELVGEMSEVVDRNPQFLDPVLGYLMKGLCEKPLASAAAKAIHNICSVCRDHMAQHFNGLLEIARSLDSFLLSPEAAVGLLKGTALVLARLPLDKITECLSELCSVQVMALKKLLSQEPSNGISSDPTVFLDRLAVIFRHTNPIVENGQTHPCQKVIQEIWPVLSETLNKHRADNRIVERCCRCLRFAVRCVGKGSAALLQPLVTQMVNVYHVHQHSCFLYLGSILVDEYGMEEGCRQGLLDMLQALCIPTFQLLEQQNGLQNHPDTVDDLFRLATRFIQRSPVTLLRSQVVIPILQWAIASTTLDHRDANCSVMRFLRDLIHTGVANDHEEDFELRKELIGQVMNQLGQQLVSQLLHTCCFCLPPYTLPDVAEVLWEIMQVDRPTFCRWLENSLKGLPKETTVGAVTVTHKQLTDFHKQVTSAEECKQVCWALRDFTRLFR
+>DECOY_sp|Q9Y5L0|TNPO3_HUMAN Transportin-3 OS=Homo sapiens OX=9606 GN=TNPO3 PE=1 SV=3
+RFLRTFDRLAWCVQKCEEASTVQKHFDTLQKHTVTVAGVTTEKPLGKLSNELWRCFTPRDVQMIEWLVEAVDPLTYPPLCFCCTHLLQSVLQQGLQNMVQGILEKRLEFDEEHDNAVGTHILDRLFRMVSCNADRHDLTTSAIAWQLIPIVVQSRLLTVPSRQIFRTALRFLDDVTDPHNQLGNQQELLQFTPICLAQLMDLLGQRCGEEMGYEDVLISGLYLFCSHQHVHYVNVMQTVLPQLLAASGKGVCRVAFRLCRCCREVIRNDARHKNLTESLVPWIEQIVKQCPHTQGNEVIPNTHRFIVALRDLFVTPDSSIGNSPEQSLLKKLAMVQVSCLESLCETIKDLPLRALVLATGKLLGVAAEPSLLFSDLSRAIELLGNFHQAMHDRCVSCINHIAKAAASALPKECLGKMLYGLVPDLFQPNRDVVESMEGVLEISTYRVATHVTEPLRVVGELVEVLTPNNEPDVSKAIAAMIFLVAETVEWPPNGEKLTSYLQAFCEMSGILFILDKVLDSVRMRFEGFDDTEEPVGEHDPELQCHRALAHLLRQIYAKFIGHIVEDNTKYLHEGLRYWFNFSIEVVEYQPHGACILLLELTRLDGLGQGPTCVIKELFTECLETFIRCYNLVKDLDERAVAMHYATELTLVGQFLQMALPLNTEVNEIAYLASCVCDSAAEHLNSSTKDQQLVEFLLALLKNNAMFNSDLVGLNFWSGLCRFVKMLMKEDTGAKEVCTMLLSVVTSSYFALDEIIETRRNAGIRLSRSHVEEPLVTLIELLFPLSTVDNSYKEVLTQVCGKWSPMQLALDAIALALQTVIVPSLDKLNQIHTLLSDRLSAHSDTPLEYFSTQIKMKMTQAAFYCSEVDQRIQLLQDSIEWAHVSRQLEGLWFSAREKGSPDPDHYLAQVAQYVLQLTPKAGEM
+>sp|Q9Y6Q6|TNR11_HUMAN Tumor necrosis factor receptor superfamily member 11A OS=Homo sapiens OX=9606 GN=TNFRSF11A PE=1 SV=1
+MAPRARRRRPLFALLLLCALLARLQVALQIAPPCTSEKHYEHLGRCCNKCEPGKYMSSKCTTTSDSVCLPCGPDEYLDSWNEEDKCLLHKVCDTGKALVAVVAGNSTTPRRCACTAGYHWSQDCECCRRNTECAPGLGAQHPLQLNKDTVCKPCLAGYFSDAFSSTDKCRPWTNCTFLGKRVEHHGTEKSDAVCSSSLPARKPPNEPHVYLPGLIILLLFASVALVAAIIFGVCYRKKGKALTANLWHWINEACGRLSGDKESSGDSCVSTHTANFGQQGACEGVLLLTLEEKTFPEDMCYPDQGGVCQGTCVGGGPYAQGEDARMLSLVSKTEIEEDSFRQMPTEDEYMDRPSQPTDQLLFLTEPGSKSTPPFSEPLEVGENDSLSQCFTGTQSTVGSESCNCTEPLCRTDWTPMSSENYLQKEVDSGHCPHWAASPSPNWADVCTGCRNPPGEDCEPLVGSPKRGPLPQCAYGMGLPPEEEASRTEARDQPEDGADGRLPSSARAGAGSGSSPGGQSPASGNVTGNSNSTFISSGQVMNFKGDIIVVYVSQTSQEGAAAAAEPMGRPVQEETLARRDSFAGNGPRFPDPCGGPEGLREPEKASRPVQEQGGAKA
+>DECOY_sp|Q9Y6Q6|TNR11_HUMAN Tumor necrosis factor receptor superfamily member 11A OS=Homo sapiens OX=9606 GN=TNFRSF11A PE=1 SV=1
+AKAGGQEQVPRSAKEPERLGEPGGCPDPFRPGNGAFSDRRALTEEQVPRGMPEAAAAAGEQSTQSVYVVIIDGKFNMVQGSSIFTSNSNGTVNGSAPSQGGPSSGSGAGARASSPLRGDAGDEPQDRAETRSAEEEPPLGMGYACQPLPGRKPSGVLPECDEGPPNRCGTCVDAWNPSPSAAWHPCHGSDVEKQLYNESSMPTWDTRCLPETCNCSESGVTSQTGTFCQSLSDNEGVELPESFPPTSKSGPETLFLLQDTPQSPRDMYEDETPMQRFSDEEIETKSVLSLMRADEGQAYPGGGVCTGQCVGGQDPYCMDEPFTKEELTLLLVGECAGQQGFNATHTSVCSDGSSEKDGSLRGCAENIWHWLNATLAKGKKRYCVGFIIAAVLAVSAFLLLIILGPLYVHPENPPKRAPLSSSCVADSKETGHHEVRKGLFTCNTWPRCKDTSSFADSFYGALCPKCVTDKNLQLPHQAGLGPACETNRRCCECDQSWHYGATCACRRPTTSNGAVVAVLAKGTDCVKHLLCKDEENWSDLYEDPGCPLCVSDSTTTCKSSMYKGPECKNCCRGLHEYHKESTCPPAIQLAVQLRALLACLLLLAFLPRRRRARPAM
+>sp|Q9Y5U5|TNR18_HUMAN Tumor necrosis factor receptor superfamily member 18 OS=Homo sapiens OX=9606 GN=TNFRSF18 PE=1 SV=1
+MAQHGAMGAFRALCGLALLCALSLGQRPTGGPGCGPGRLLLGTGTDARCCRVHTTRCCRDYPGEECCSEWDCMCVQPEFHCGDPCCTTCRHHPCPPGQGVQSQGKFSFGFQCIDCASGTFSGGHEGHCKPWTDCTQFGFLTVFPGNKTHNAVCVPGSPPAEPLGWLTVVLLAVAACVLLLTSAQLGLHIWQLRSQCMWPRETQLLLEVPPSTEDARSCQFPEEERGERSAEEKGRLGDLWV
+>DECOY_sp|Q9Y5U5|TNR18_HUMAN Tumor necrosis factor receptor superfamily member 18 OS=Homo sapiens OX=9606 GN=TNFRSF18 PE=1 SV=1
+VWLDGLRGKEEASREGREEEPFQCSRADETSPPVELLLQTERPWMCQSRLQWIHLGLQASTLLLVCAAVALLVVTLWGLPEAPPSGPVCVANHTKNGPFVTLFGFQTCDTWPKCHGEHGGSFTGSACDICQFGFSFKGQSQVGQGPPCPHHRCTTCCPDGCHFEPQVCMCDWESCCEEGPYDRCCRTTHVRCCRADTGTGLLLRGPGCGPGGTPRQGLSLACLLALGCLARFAGMAGHQAM
+>sp|P19438|TNR1A_HUMAN Tumor necrosis factor receptor superfamily member 1A OS=Homo sapiens OX=9606 GN=TNFRSF1A PE=1 SV=1
+MGLSTVPDLLLPLVLLELLVGIYPSGVIGLVPHLGDREKRDSVCPQGKYIHPQNNSICCTKCHKGTYLYNDCPGPGQDTDCRECESGSFTASENHLRHCLSCSKCRKEMGQVEISSCTVDRDTVCGCRKNQYRHYWSENLFQCFNCSLCLNGTVHLSCQEKQNTVCTCHAGFFLRENECVSCSNCKKSLECTKLCLPQIENVKGTEDSGTTVLLPLVIFFGLCLLSLLFIGLMYRYQRWKSKLYSIVCGKSTPEKEGELEGTTTKPLAPNPSFSPTPGFTPTLGFSPVPSSTFTSSSTYTPGDCPNFAAPRREVAPPYQGADPILATALASDPIPNPLQKWEDSAHKPQSLDTDDPATLYAVVENVPPLRWKEFVRRLGLSDHEIDRLELQNGRCLREAQYSMLATWRRRTPRREATLELLGRVLRDMDLLGCLEDIEEALCGPAALPPAPSLLR
+>DECOY_sp|P19438|TNR1A_HUMAN Tumor necrosis factor receptor superfamily member 1A OS=Homo sapiens OX=9606 GN=TNFRSF1A PE=1 SV=1
+RLLSPAPPLAAPGCLAEEIDELCGLLDMDRLVRGLLELTAERRPTRRRWTALMSYQAERLCRGNQLELRDIEHDSLGLRRVFEKWRLPPVNEVVAYLTAPDDTDLSQPKHASDEWKQLPNPIPDSALATALIPDAGQYPPAVERRPAAFNPCDGPTYTSSSTFTSSPVPSFGLTPTFGPTPSFSPNPALPKTTTGELEGEKEPTSKGCVISYLKSKWRQYRYMLGIFLLSLLCLGFFIVLPLLVTTGSDETGKVNEIQPLCLKTCELSKKCNSCSVCENERLFFGAHCTCVTNQKEQCSLHVTGNLCLSCNFCQFLNESWYHRYQNKRCGCVTDRDVTCSSIEVQGMEKRCKSCSLCHRLHNESATFSGSECERCDTDQGPGPCDNYLYTGKHCKTCCISNNQPHIYKGQPCVSDRKERDGLHPVLGIVGSPYIGVLLELLVLPLLLDPVTSLGM
+>sp|O75509|TNR21_HUMAN Tumor necrosis factor receptor superfamily member 21 OS=Homo sapiens OX=9606 GN=TNFRSF21 PE=1 SV=1
+MGTSPSSSTALASCSRIARRATATMIAGSLLLLGFLSTTTAQPEQKASNLIGTYRHVDRATGQVLTCDKCPAGTYVSEHCTNTSLRVCSSCPVGTFTRHENGIEKCHDCSQPCPWPMIEKLPCAALTDRECTCPPGMFQSNATCAPHTVCPVGWGVRKKGTETEDVRCKQCARGTFSDVPSSVMKCKAYTDCLSQNLVVIKPGTKETDNVCGTLPSFSSSTSPSPGTAIFPRPEHMETHEVPSSTYVPKGMNSTESNSSASVRPKVLSSIQEGTVPDNTSSARGKEDVNKTLPNLQVVNHQQGPHHRHILKLLPSMEATGGEKSSTPIKGPKRGHPRQNLHKHFDINEHLPWMIVLFLLLVLVVIVVCSIRKSSRTLKKGPRQDPSAIVEKAGLKKSMTPTQNREKWIYYCNGHGIDILKLVAAQVGSQWKDIYQFLCNASEREVAAFSNGYTADHERAYAALQHWTIRGPEASLAQLISALRQHRRNDVVEKIRGLMEDTTQLETDKLALPMSPSPLSPSPIPSPNAKLENSALLTVEPSPQDKNKGFFVDESEPLLRCDSTSSGSSALSRNGSFITKEKKDTVLRQVRLDPCDLQPIFDDMLHFLNPEELRVIEEIPQAEDKLDRLFEIIGVKSQEASQTLLDSVYSHLPDLL
+>DECOY_sp|O75509|TNR21_HUMAN Tumor necrosis factor receptor superfamily member 21 OS=Homo sapiens OX=9606 GN=TNFRSF21 PE=1 SV=1
+LLDPLHSYVSDLLTQSAEQSKVGIIEFLRDLKDEAQPIEEIVRLEEPNLFHLMDDFIPQLDCPDLRVQRLVTDKKEKTIFSGNRSLASSGSSTSDCRLLPESEDVFFGKNKDQPSPEVTLLASNELKANPSPIPSPSLPSPSMPLALKDTELQTTDEMLGRIKEVVDNRRHQRLASILQALSAEPGRITWHQLAAYAREHDATYGNSFAAVERESANCLFQYIDKWQSGVQAAVLKLIDIGHGNCYYIWKERNQTPTMSKKLGAKEVIASPDQRPGKKLTRSSKRISCVVIVVLVLLLFLVIMWPLHENIDFHKHLNQRPHGRKPGKIPTSSKEGGTAEMSPLLKLIHRHHPGQQHNVVQLNPLTKNVDEKGRASSTNDPVTGEQISSLVKPRVSASSNSETSNMGKPVYTSSPVEHTEMHEPRPFIATGPSPSTSSSFSPLTGCVNDTEKTGPKIVVLNQSLCDTYAKCKMVSSPVDSFTGRACQKCRVDETETGKKRVGWGVPCVTHPACTANSQFMGPPCTCERDTLAACPLKEIMPWPCPQSCDHCKEIGNEHRTFTGVPCSSCVRLSTNTCHESVYTGAPCKDCTLVQGTARDVHRYTGILNSAKQEPQATTTSLFGLLLLSGAIMTATARRAIRSCSALATSSSPSTGM
+>sp|Q9HCJ0|TNR6C_HUMAN Trinucleotide repeat-containing gene 6C protein OS=Homo sapiens OX=9606 GN=TNRC6C PE=1 SV=3
+MATGSAQGNFTGHTKKTNGNNGTNGALVQSPSNQSALGAGGANSNGSAARVWGVATGSSSGLAHCSVSGGDGKMDTMIGDGRSQNCWGASNSNAGINLNLNPNANPAAWPVLGHEGTVATGNPSSICSPVSAIGQNMGNQNGNPTGTLGAWGNLLPQESTEPQTSTSQNVSFSAQPQNLNTDGPNNTNPMNSSPNPINAMQTNGLPNWGMAVGMGAIIPPHLQGLPGANGSSVSQVSGGSAEGISNSVWGLSPGNPATGNSNSGFSQGNGDTVNSALSAKQNGSSSAVQKEGSGGNAWDSGPPAGPGILAWGRGSGNNGVGNIHSGAWGHPSRSTSNGVNGEWGKPPNQHSNSDINGKGSTGWESPSVTSQNPTVQPGGEHMNSWAKAASSGTTASEGSSDGSGNHNEGSTGREGTGEGRRRDKGIIDQGHIQLPRNDLDPRVLSNTGWGQTPVKQNTAWEFEESPRSERKNDNGTEAWGCAATQASNSGGKNDGSIMNSTNTSSVSGWVNAPPAAVPANTGWGDSNNKAPSGPGVWGDSISSTAVSTAAAAKSGHAWSGAANQEDKSPTWGEPPKPKSQHWGDGQRSNPAWSAGGGDWADSSSVLGHLGDGKKNGSGWDADSNRSGSGWNDTTRSGNSGWGNSTNTKANPGTNWGETLKPGPQQNWASKPQDNNVSNWGGAASVKQTGTGWIGGPVPVKQKDSSEATGWEEPSPPSIRRKMEIDDGTSAWGDPSNYNNKTVNMWDRNNPVIQSSTTTNTTTTTTTTTSNTTHRVETPPPHQAGTQLNRSPLLGPGRKVSSGWGEMPNVHSKTENSWGEPSSPSTLVDNGTAAWGKPPSSGSGWGDHPAEPPVAFGRAGAPVAASALCKPASKSMQEGWGSGGDEMNLSTSQWEDEEGDVWNNAASQESTSSCSSWGNAPKKGLQKGMKTSGKQDEAWIMSRLIKQLTDMGFPREPAEEALKSNNMNLDQAMSALLEKKVDVDKRGLGVTDHNGMAAKPLGCRPPISKESSVDRPTFLDKDGGLVEEPTPSPFLPSPSLKLPLSHSALPSQALGGIASGLGMQNLNSSRQIPSGNLGMFGNSGAAQARTMQQPPQPPVQPLNSSQPSLRAQVPQFLSPQVQAQLLQFAAKNIGLNPALLTSPINPQHMTMLNQLYQLQLAYQRLQIQQQMLQAQRNVSGSMRQQEQQVARTITNLQQQIQQHQRQLAQALLVKQPPPPPPPPHLSLHPSAGKSAMDSFPSHPQTPGLPDLQTKEQQSSPNTFAPYPLAGLNPNMNVNSMDMTGGLSVKDPSQSQSRLPQWTHPNSMDNLPSAASPLEQNPSKHGAIPGGLSIGPPGKSSIDDSYGRYDLIQNSESPASPPVAVPHSWSRAKSDSDKISNGSSINWPPEFHPGVPWKGLQNIDPENDPDVTPGSVPTGPTINTTIQDVNRYLLKSGGKLSDIKSTWSSGPTSHTQASLSHELWKVPRNSTAPTRPPPGLTNPKPSSTWGASPLGWTSSYSSGSAWSTDTSGRTSSWLVLRNLTPQIDGSTLRTLCLQHGPLITFHLNLTQGNAVVRYSSKEEAAKAQKSLHMCVLGNTTILAEFAGEEEVNRFLAQGQALPPTSSWQSSSASSQPRLSAAGSSHGLVRSDAGHWNAPCLGGKGSSELLWGGVPQYSSSLWGPPSADDSRVIGSPTPLTTLLPGDLLSGESL
+>DECOY_sp|Q9HCJ0|TNR6C_HUMAN Trinucleotide repeat-containing gene 6C protein OS=Homo sapiens OX=9606 GN=TNRC6C PE=1 SV=3
+LSEGSLLDGPLLTTLPTPSGIVRSDDASPPGWLSSSYQPVGGWLLESSGKGGLCPANWHGADSRVLGHSSGAASLRPQSSASSSQWSSTPPLAQGQALFRNVEEEGAFEALITTNGLVCMHLSKQAKAAEEKSSYRVVANGQTLNLHFTILPGHQLCLTRLTSGDIQPTLNRLVLWSSTRGSTDTSWASGSSYSSTWGLPSAGWTSSPKPNTLGPPPRTPATSNRPVKWLEHSLSAQTHSTPGSSWTSKIDSLKGGSKLLYRNVDQITTNITPGTPVSGPTVDPDNEPDINQLGKWPVGPHFEPPWNISSGNSIKDSDSKARSWSHPVAVPPSAPSESNQILDYRGYSDDISSKGPPGISLGGPIAGHKSPNQELPSAASPLNDMSNPHTWQPLRSQSQSPDKVSLGGTMDMSNVNMNPNLGALPYPAFTNPSSQQEKTQLDPLGPTQPHSPFSDMASKGASPHLSLHPPPPPPPPQKVLLAQALQRQHQQIQQQLNTITRAVQQEQQRMSGSVNRQAQLMQQQIQLRQYALQLQYLQNLMTMHQPNIPSTLLAPNLGINKAAFQLLQAQVQPSLFQPVQARLSPQSSNLPQVPPQPPQQMTRAQAAGSNGFMGLNGSPIQRSSNLNQMGLGSAIGGLAQSPLASHSLPLKLSPSPLFPSPTPEEVLGGDKDLFTPRDVSSEKSIPPRCGLPKAAMGNHDTVGLGRKDVDVKKELLASMAQDLNMNNSKLAEEAPERPFGMDTLQKILRSMIWAEDQKGSTKMGKQLGKKPANGWSSCSSTSEQSAANNWVDGEEDEWQSTSLNMEDGGSGWGEQMSKSAPKCLASAAVPAGARGFAVPPEAPHDGWGSGSSPPKGWAATGNDVLTSPSSPEGWSNETKSHVNPMEGWGSSVKRGPGLLPSRNLQTGAQHPPPTEVRHTTNSTTTTTTTTTNTTTSSQIVPNNRDWMNVTKNNYNSPDGWASTGDDIEMKRRISPPSPEEWGTAESSDKQKVPVPGGIWGTGTQKVSAAGGWNSVNNDQPKSAWNQQPGPKLTEGWNTGPNAKTNTSNGWGSNGSRTTDNWGSGSRNSDADWGSGNKKGDGLHGLVSSSDAWDGGGASWAPNSRQGDGWHQSKPKPPEGWTPSKDEQNAAGSWAHGSKAAAATSVATSSISDGWVGPGSPAKNNSDGWGTNAPVAAPPANVWGSVSSTNTSNMISGDNKGGSNSAQTAACGWAETGNDNKRESRPSEEFEWATNQKVPTQGWGTNSLVRPDLDNRPLQIHGQDIIGKDRRRGEGTGERGTSGENHNGSGDSSGESATTGSSAAKAWSNMHEGGPQVTPNQSTVSPSEWGTSGKGNIDSNSHQNPPKGWEGNVGNSTSRSPHGWAGSHINGVGNNGSGRGWALIGPGAPPGSDWANGGSGEKQVASSSGNQKASLASNVTDGNGQSFGSNSNGTAPNGPSLGWVSNSIGEASGGSVQSVSSGNAGPLGQLHPPIIAGMGVAMGWNPLGNTQMANIPNPSSNMPNTNNPGDTNLNQPQASFSVNQSTSTQPETSEQPLLNGWAGLTGTPNGNQNGMNQGIASVPSCISSPNGTAVTGEHGLVPWAAPNANPNLNLNIGANSNSAGWCNQSRGDGIMTDMKGDGGSVSCHALGSSSGTAVGWVRAASGNSNAGGAGLASQNSPSQVLAGNTGNNGNTKKTHGTFNGQASGTAM
+>sp|P50616|TOB1_HUMAN Protein Tob1 OS=Homo sapiens OX=9606 GN=TOB1 PE=1 SV=1
+MQLEIQVALNFIISYLYNKLPRRRVNIFGEELERLLKKKYEGHWYPEKPYKGSGFRCIHIGEKVDPVIEQASKESGLDIDDVRGNLPQDLSVWIDPFEVSYQIGEKGPVKVLYVDDNNENGCELDKEIKNSFNPEAQVFMPISDPASSVSSSPSPPFGHSAAVSPTFMPRSTQPLTFTTATFAATKFGSTKMKNSGRSNKVARTSPINLGLNVNDLLKQKAISSSMHSLYGLGLGSQQQPQQQQQPAQPPPPPPPPQQQQQQKTSALSPNAKEFIFPNMQGQGSSTNGMFPGDSPLNLSPLQYSNAFDVFAAYGGLNEKSFVDGLNFSLNNMQYSNQQFQPVMAN
+>DECOY_sp|P50616|TOB1_HUMAN Protein Tob1 OS=Homo sapiens OX=9606 GN=TOB1 PE=1 SV=1
+NAMVPQFQQNSYQMNNLSFNLGDVFSKENLGGYAAFVDFANSYQLPSLNLPSDGPFMGNTSSGQGQMNPFIFEKANPSLASTKQQQQQQPPPPPPPPQAPQQQQQPQQQSGLGLGYLSHMSSSIAKQKLLDNVNLGLNIPSTRAVKNSRGSNKMKTSGFKTAAFTATTFTLPQTSRPMFTPSVAASHGFPPSPSSSVSSAPDSIPMFVQAEPNFSNKIEKDLECGNENNDDVYLVKVPGKEGIQYSVEFPDIWVSLDQPLNGRVDDIDLGSEKSAQEIVPDVKEGIHICRFGSGKYPKEPYWHGEYKKKLLRELEEGFINVRRRPLKNYLYSIIFNLAVQIELQM
+>sp|Q15785|TOM34_HUMAN Mitochondrial import receptor subunit TOM34 OS=Homo sapiens OX=9606 GN=TOMM34 PE=1 SV=2
+MAPKFPDSVEELRAAGNESFRNGQYAEASALYGRALRVLQAQGSSDPEEESVLYSNRAACHLKDGNCRDCIKDCTSALALVPFSIKPLLRRASAYEALEKYPMAYVDYKTVLQIDDNVTSAVEGINRMTRALMDSLGPEWRLKLPSIPLVPVSAQKRWNSLPSENHKEMAKSKSKETTATKNRVPSAGDVEKARVLKEEGNELVKKGNHKKAIEKYSESLLCSNLESATYSNRALCYLVLKQYTEAVKDCTEALKLDGKNVKAFYRRAQAHKALKDYKSSFADISNLLQIEPRNGPAQKLRQEVKQNLH
+>DECOY_sp|Q15785|TOM34_HUMAN Mitochondrial import receptor subunit TOM34 OS=Homo sapiens OX=9606 GN=TOMM34 PE=1 SV=2
+HLNQKVEQRLKQAPGNRPEIQLLNSIDAFSSKYDKLAKHAQARRYFAKVNKGDLKLAETCDKVAETYQKLVLYCLARNSYTASELNSCLLSESYKEIAKKHNGKKVLENGEEKLVRAKEVDGASPVRNKTATTEKSKSKAMEKHNESPLSNWRKQASVPVLPISPLKLRWEPGLSDMLARTMRNIGEVASTVNDDIQLVTKYDVYAMPYKELAEYASARRLLPKISFPVLALASTCDKICDRCNGDKLHCAARNSYLVSEEEPDSSGQAQLVRLARGYLASAEAYQGNRFSENGAARLEEVSDPFKPAM
+>sp|O96008|TOM40_HUMAN Mitochondrial import receptor subunit TOM40 homolog OS=Homo sapiens OX=9606 GN=TOMM40 PE=1 SV=1
+MGNVLAASSPPAGPPPPPAPALVGLPPPPPSPPGFTLPPLGGSLGAGTSTSRSSERTPGAATASASGAAEDGACGCLPNPGTFEECHRKCKELFPIQMEGVKLTVNKGLSNHFQVNHTVALSTIGESNYHFGVTYVGTKQLSPTEAFPVLVGDMDNSGSLNAQVIHQLGPGLRSKMAIQTQQSKFVNWQVDGEYRGSDFTAAVTLGNPDVLVGSGILVAHYLQSITPCLALGGELVYHRRPGEEGTVMSLAGKYTLNNWLATVTLGQAGMHATYYHKASDQLQVGVEFEASTRMQDTSVSFGYQLDLPKANLLFKGSVDSNWIVGATLEKKLPPLPLTLALGAFLNHRKNKFQCGFGLTIG
+>DECOY_sp|O96008|TOM40_HUMAN Mitochondrial import receptor subunit TOM40 homolog OS=Homo sapiens OX=9606 GN=TOMM40 PE=1 SV=1
+GITLGFGCQFKNKRHNLFAGLALTLPLPPLKKELTAGVIWNSDVSGKFLLNAKPLDLQYGFSVSTDQMRTSAEFEVGVQLQDSAKHYYTAHMGAQGLTVTALWNNLTYKGALSMVTGEEGPRRHYVLEGGLALCPTISQLYHAVLIGSGVLVDPNGLTVAATFDSGRYEGDVQWNVFKSQQTQIAMKSRLGPGLQHIVQANLSGSNDMDGVLVPFAETPSLQKTGVYTVGFHYNSEGITSLAVTHNVQFHNSLGKNVTLKVGEMQIPFLEKCKRHCEEFTGPNPLCGCAGDEAAGSASATAAGPTRESSRSTSTGAGLSGGLPPLTFGPPSPPPPPLGVLAPAPPPPPGAPPSSAALVNGM
+>sp|Q96HA7|TONSL_HUMAN Tonsoku-like protein OS=Homo sapiens OX=9606 GN=TONSL PE=1 SV=2
+MSLERELRQLSKAKAKAQRAGQRREEAALCHQLGELLAGHGRYAEALEQHWQELQLRERADDPLGCAVAHRKIGERLAEMEDYPAALQHQHQYLELAHSLRNHTELQRAWATIGRTHLDIYDHCQSRDALLQAQAAFEKSLAIVDEELEGTLAQGELNEMRTRLYLNLGLTFESLQQTALCNDYFRKSIFLAEQNHLYEDLFRARYNLGTIHWRAGQHSQAMRCLEGARECAHTMRKRFMESECCVVIAQVLQDLGDFLAAKRALKKAYRLGSQKPVQRAAICQNLQHVLAVVRLQQQLEEAEGRDPQGAMVICEQLGDLFSKAGDFPRAAEAYQKQLRFAELLDRPGAERAIIHVSLATTLGDMKDHHGAVRHYEEELRLRSGNVLEEAKTWLNIALSREEAGDAYELLAPCFQKALSCAQQAQRPQLQRQVLQHLHTVQLRLQPQEAPETETRLRELSVAEDEDEEEEAEEAAATAESEALEAGEVELSEGEDDTDGLTPQLEEDEELQGHLGRRKGSKWNRRNDMGETLLHRACIEGQLRRVQDLVRQGHPLNPRDYCGWTPLHEACNYGHLEIVRFLLDHGAAVDDPGGQGCEGITPLHDALNCGHFEVAELLLERGASVTLRTRKGLSPLETLQQWVKLYRRDLDLETRQKARAMEMLLQAAASGQDPHSSQAFHTPSSLLFDPETSPPLSPCPEPPSNSTRLPEASQAHVRVSPGQAAPAMARPRRSRHGPASSSSSSEGEDSAGPARPSQKRPRCSATAQRVAAWTPGPASNREAATASTSRAAYQAAIRGVGSAQSRLGPGPPRGHSKALAPQAALIPEEECLAGDWLELDMPLTRSRRPRPRGTGDNRRPSSTSGSDSEESRPRARAKQVRLTCMQSCSAPVNAGPSSLASEPPGSPSTPRVSEPSGDSSAAGQPLGPAPPPPIRVRVQVQDHLFLIPVPHSSDTHSVAWLAEQAAQRYYQTCGLLPRLTLRKEGALLAPQDLIPDVLQSNDEVLAEVTSWDLPPLTDRYRRACQSLGQGEHQQVLQAVELQGLGLSFSACSLALDQAQLTPLLRALKLHTALRELRLAGNRLGDKCVAELVAALGTMPSLALLDLSSNHLGPEGLRQLAMGLPGQATLQSLEELDLSMNPLGDGCGQSLASLLHACPLLSTLRLQACGFGPSFFLSHQTALGSAFQDAEHLKTLSLSYNALGAPALARTLQSLPAGTLLHLELSSVAAGKGDSDLMEPVFRYLAKEGCALAHLTLSANHLGDKAVRDLCRCLSLCPSLISLDLSANPEISCASLEELLSTLQKRPQGLSFLGLSGCAVQGPLGLGLWDKIAAQLRELQLCSRRLCAEDRDALRQLQPSRPGPGECTLDHGSKLFFRRL
+>DECOY_sp|Q96HA7|TONSL_HUMAN Tonsoku-like protein OS=Homo sapiens OX=9606 GN=TONSL PE=1 SV=2
+LRRFFLKSGHDLTCEGPGPRSPQLQRLADRDEACLRRSCLQLERLQAAIKDWLGLGLPGQVACGSLGLFSLGQPRKQLTSLLEELSACSIEPNASLDLSILSPCLSLCRCLDRVAKDGLHNASLTLHALACGEKALYRFVPEMLDSDGKGAAVSSLELHLLTGAPLSQLTRALAPAGLANYSLSLTKLHEADQFASGLATQHSLFFSPGFGCAQLRLTSLLPCAHLLSALSQGCGDGLPNMSLDLEELSQLTAQGPLGMALQRLGEPGLHNSSLDLLALSPMTGLAAVLEAVCKDGLRNGALRLERLATHLKLARLLPTLQAQDLALSCASFSLGLGQLEVAQLVQQHEGQGLSQCARRYRDTLPPLDWSTVEALVEDNSQLVDPILDQPALLAGEKRLTLRPLLGCTQYYRQAAQEALWAVSHTDSSHPVPILFLHDQVQVRVRIPPPPAPGLPQGAASSDGSPESVRPTSPSGPPESALSSPGANVPASCSQMCTLRVQKARARPRSEESDSGSTSSPRRNDGTGRPRPRRSRTLPMDLELWDGALCEEEPILAAQPALAKSHGRPPGPGLRSQASGVGRIAAQYAARSTSATAAERNSAPGPTWAAVRQATASCRPRKQSPRAPGASDEGESSSSSSAPGHRSRRPRAMAPAAQGPSVRVHAQSAEPLRTSNSPPEPCPSLPPSTEPDFLLSSPTHFAQSSHPDQGSAAAQLLMEMARAKQRTELDLDRRYLKVWQQLTELPSLGKRTRLTVSAGRELLLEAVEFHGCNLADHLPTIGECGQGGPDDVAAGHDLLFRVIELHGYNCAEHLPTWGCYDRPNLPHGQRVLDQVRRLQGEICARHLLTEGMDNRRNWKSGKRRGLHGQLEEDEELQPTLGDTDDEGESLEVEGAELAESEATAAAEEAEEEEDEDEAVSLERLRTETEPAEQPQLRLQVTHLHQLVQRQLQPRQAQQACSLAKQFCPALLEYADGAEERSLAINLWTKAEELVNGSRLRLEEEYHRVAGHHDKMDGLTTALSVHIIAREAGPRDLLEAFRLQKQYAEAARPFDGAKSFLDGLQECIVMAGQPDRGEAEELQQQLRVVALVHQLNQCIAARQVPKQSGLRYAKKLARKAALFDGLDQLVQAIVVCCESEMFRKRMTHACERAGELCRMAQSHQGARWHITGLNYRARFLDEYLHNQEALFISKRFYDNCLATQQLSEFTLGLNLYLRTRMENLEGQALTGELEEDVIALSKEFAAQAQLLADRSQCHDYIDLHTRGITAWARQLETHNRLSHALELYQHQHQLAAPYDEMEALREGIKRHAVACGLPDDARERLQLEQWHQELAEAYRGHGALLEGLQHCLAAEERRQGARQAKAKAKSLQRLERELSM
+>sp|Q13472|TOP3A_HUMAN DNA topoisomerase 3-alpha OS=Homo sapiens OX=9606 GN=TOP3A PE=1 SV=1
+MIFPVARYALRWLRRPEDRAFSRAAMEMALRGVRKVLCVAEKNDAAKGIADLLSNGRMRRREGLSKFNKIYEFDYHLYGQNVTMVMTSVSGHLLAHDFQMQFRKWQSCNPLVLFEAEIEKYCPENFVDIKKTLERETRQCQALVIWTDCDREGENIGFEIIHVCKAVKPNLQVLRARFSEITPHAVRTACENLTEPDQRVSDAVDVRQELDLRIGAAFTRFQTLRLQRIFPEVLAEQLISYGSCQFPTLGFVVERFKAIQAFVPEIFHRIKVTHDHKDGIVEFNWKRHRLFNHTACLVLYQLCVEDPMATVVEVRSKPKSKWRPQALDTVELEKLASRKLRINAKETMRIAEKLYTQGYISYPRTETNIFPRDLNLTVLVEQQTPDPRWGAFAQSILERGGPTPRNGNKSDQAHPPIHPTKYTNNLQGDEQRLYEFIVRHFLACCSQDAQGQETTVEIDIAQERFVAHGLMILARNYLDVYPYDHWSDKILPVYEQGSHFQPSTVEMVDGETSPPKLLTEADLIALMEKHGIGTDATHAEHIETIKARMYVGLTPDKRFLPGHLGMGLVEGYDSMGYEMSKPDLRAELEADLKLICDGKKDKFVVLRQQVQKYKQVFIEAVAKAKKLDEALAQYFGNGTELAQQEDIYPAMPEPIRKCPQCNKDMVLKTKKNGGFYLSCMGFPECRSAVWLPDSVLEASRDSSVCPVCQPHPVYRLKLKFKRGSLPPTMPLEFVCCIGGCDDTLREILDLRFSGGPPRASQPSGRLQANQSLNRMDNSQHPQPADSRQTGSSKALAQTLPPPTAAGESNSVTCNCGQEAVLLTVRKEGPNRGRQFFKCNGGSCNFFLWADSPNPGAGGPPALAYRPLGASLGCPPGPGIHLGGFGNPGDGSGSGTSCLCSQPSVTRTVQKDGPNKGRQFHTCAKPREQQCGFFQWVDENTAPGTSGAPSWTGDRGRTLESEARSKRPRASSSDMGSTAKKPRKCSLCHQPGHTRPFCPQNR
+>DECOY_sp|Q13472|TOP3A_HUMAN DNA topoisomerase 3-alpha OS=Homo sapiens OX=9606 GN=TOP3A PE=1 SV=1
+RNQPCFPRTHGPQHCLSCKRPKKATSGMDSSSARPRKSRAESELTRGRDGTWSPAGSTGPATNEDVWQFFGCQQERPKACTHFQRGKNPGDKQVTRTVSPQSCLCSTGSGSGDGPNGFGGLHIGPGPPCGLSAGLPRYALAPPGGAGPNPSDAWLFFNCSGGNCKFFQRGRNPGEKRVTLLVAEQGCNCTVSNSEGAATPPPLTQALAKSSGTQRSDAPQPHQSNDMRNLSQNAQLRGSPQSARPPGGSFRLDLIERLTDDCGGICCVFELPMTPPLSGRKFKLKLRYVPHPQCVPCVSSDRSAELVSDPLWVASRCEPFGMCSLYFGGNKKTKLVMDKNCQPCKRIPEPMAPYIDEQQALETGNGFYQALAEDLKKAKAVAEIFVQKYKQVQQRLVVFKDKKGDCILKLDAELEARLDPKSMEYGMSDYGEVLGMGLHGPLFRKDPTLGVYMRAKITEIHEAHTADTGIGHKEMLAILDAETLLKPPSTEGDVMEVTSPQFHSGQEYVPLIKDSWHDYPYVDLYNRALIMLGHAVFREQAIDIEVTTEQGQADQSCCALFHRVIFEYLRQEDGQLNNTYKTPHIPPHAQDSKNGNRPTPGGRELISQAFAGWRPDPTQQEVLVTLNLDRPFINTETRPYSIYGQTYLKEAIRMTEKANIRLKRSALKELEVTDLAQPRWKSKPKSRVEVVTAMPDEVCLQYLVLCATHNFLRHRKWNFEVIGDKHDHTVKIRHFIEPVFAQIAKFREVVFGLTPFQCSGYSILQEALVEPFIRQLRLTQFRTFAAGIRLDLEQRVDVADSVRQDPETLNECATRVAHPTIESFRARLVQLNPKVAKCVHIIEFGINEGERDCDTWIVLAQCQRTERELTKKIDVFNEPCYKEIEAEFLVLPNCSQWKRFQMQFDHALLHGSVSTMVMTVNQGYLHYDFEYIKNFKSLGERRRMRGNSLLDAIGKAADNKEAVCLVKRVGRLAMEMAARSFARDEPRRLWRLAYRAVPFIM
+>sp|O95985|TOP3B_HUMAN DNA topoisomerase 3-beta-1 OS=Homo sapiens OX=9606 GN=TOP3B PE=1 SV=1
+MKTVLMVAEKPSLAQSIAKILSRGSLSSHKGLNGACSVHEYTGTFAGQPVRFKMTSVCGHVMTLDFLGKYNKWDKVDPAELFSQAPTEKKEANPKLNMVKFLQVEGRGCDYIVLWLDCDKEGENICFEVLDAVLPVMNKAHGGEKTVFRARFSSITDTDICNAMACLGEPDHNEALSVDARQELDLRIGCAFTRFQTKYFQGKYGDLDSSLISFGPCQTPTLGFCVERHDKIQSFKPETYWVLQAKVNTDKDRSLLLDWDRVRVFDREIAQMFLNMTKLEKEAQVEATSRKEKAKQRPLALNTVEMLRVASSSLGMGPQHAMQTAERLYTQGYISYPRTETTHYPENFDLKGSLRQQANHPYWADTVKRLLAEGINRPRKGHDAGDHPPITPMKSATEAELGGDAWRLYEYITRHFIATVSHDCKYLQSTISFRIGPELFTCSGKTVLSPGFTEVMPWQSVPLEESLPTCQRGDAFPVGEVKMLEKQTNPPDYLTEAELITLMEKHGIGTDASIPVHINNICQRNYVTVESGRRLKPTNLGIVLVHGYYKIDAELVLPTIRSAVEKQLNLIAQGKADYRQVLGHTLDVFKRKFHYFVDSIAGMDELMEVSFSPLAATGKPLSRCGKCHRFMKYIQAKPSRLHCSHCDETYTLPQNGTIKLYKELRCPLDDFELVLWSSGSRGKSYPLCPYCYNHPPFRDMKKGMGCNECTHPSCQHSLSMLGIGQCVECESGVLVLDPTSGPKWKVACNKCNVVAHCFENAHRVRVSADTCSVCEAALLDVDFNKAKSPLPGDETQHMGCVFCDPVFQELVELKHAASCHPMHRGGPGRRQGRGRGRARRPPGKPNPRRPKDKMSALAAYFV
+>DECOY_sp|O95985|TOP3B_HUMAN DNA topoisomerase 3-beta-1 OS=Homo sapiens OX=9606 GN=TOP3B PE=1 SV=1
+VFYAALASMKDKPRRPNPKGPPRRARGRGRGQRRGPGGRHMPHCSAAHKLEVLEQFVPDCFVCGMHQTEDGPLPSKAKNFDVDLLAAECVSCTDASVRVRHANEFCHAVVNCKNCAVKWKPGSTPDLVLVGSECEVCQGIGLMSLSHQCSPHTCENCGMGKKMDRFPPHNYCYPCLPYSKGRSGSSWLVLEFDDLPCRLEKYLKITGNQPLTYTEDCHSCHLRSPKAQIYKMFRHCKGCRSLPKGTAALPSFSVEMLEDMGAISDVFYHFKRKFVDLTHGLVQRYDAKGQAILNLQKEVASRITPLVLEADIKYYGHVLVIGLNTPKLRRGSEVTVYNRQCINNIHVPISADTGIGHKEMLTILEAETLYDPPNTQKELMKVEGVPFADGRQCTPLSEELPVSQWPMVETFGPSLVTKGSCTFLEPGIRFSITSQLYKCDHSVTAIFHRTIYEYLRWADGGLEAETASKMPTIPPHDGADHGKRPRNIGEALLRKVTDAWYPHNAQQRLSGKLDFNEPYHTTETRPYSIYGQTYLREATQMAHQPGMGLSSSAVRLMEVTNLALPRQKAKEKRSTAEVQAEKELKTMNLFMQAIERDFVRVRDWDLLLSRDKDTNVKAQLVWYTEPKFSQIKDHREVCFGLTPTQCPGFSILSSDLDGYKGQFYKTQFRTFACGIRLDLEQRADVSLAENHDPEGLCAMANCIDTDTISSFRARFVTKEGGHAKNMVPLVADLVEFCINEGEKDCDLWLVIYDCGRGEVQLFKVMNLKPNAEKKETPAQSFLEAPDVKDWKNYKGLFDLTMVHGCVSTMKFRVPQGAFTGTYEHVSCAGNLGKHSSLSGRSLIKAISQALSPKEAVMLVTKM
+>sp|Q96KB5|TOPK_HUMAN Lymphokine-activated killer T-cell-originated protein kinase OS=Homo sapiens OX=9606 GN=PBK PE=1 SV=3
+MEGISNFKTPSKLSEKKKSVLCSTPTINIPASPFMQKLGFGTGVNVYLMKRSPRGLSHSPWAVKKINPICNDHYRSVYQKRLMDEAKILKSLHHPNIVGYRAFTEANDGSLCLAMEYGGEKSLNDLIEERYKASQDPFPAAIILKVALNMARGLKYLHQEKKLLHGDIKSSNVVIKGDFETIKICDVGVSLPLDENMTVTDPEACYIGTEPWKPKEAVEENGVITDKADIFAFGLTLWEMMTLSIPHINLSNDDDDEDKTFDESDFDDEAYYAALGTRPPINMEELDESYQKVIELFSVCTNEDPKDRPSAAHIVEALETDV
+>DECOY_sp|Q96KB5|TOPK_HUMAN Lymphokine-activated killer T-cell-originated protein kinase OS=Homo sapiens OX=9606 GN=PBK PE=1 SV=3
+VDTELAEVIHAASPRDKPDENTCVSFLEIVKQYSEDLEEMNIPPRTGLAAYYAEDDFDSEDFTKDEDDDDNSLNIHPISLTMMEWLTLGFAFIDAKDTIVGNEEVAEKPKWPETGIYCAEPDTVTMNEDLPLSVGVDCIKITEFDGKIVVNSSKIDGHLLKKEQHLYKLGRAMNLAVKLIIAAPFPDQSAKYREEILDNLSKEGGYEMALCLSGDNAETFARYGVINPHHLSKLIKAEDMLRKQYVSRYHDNCIPNIKKVAWPSHSLGRPSRKMLYVNVGTGFGLKQMFPSAPINITPTSCLVSKKKESLKSPTKFNSIGEM
+>sp|Q6ZTW0|TPGS1_HUMAN Tubulin polyglutamylase complex subunit 1 OS=Homo sapiens OX=9606 GN=TPGS1 PE=1 SV=2
+MAAVEKRRQAVPPPAGFTDSGRQSVSRAAGAAESEEDFLRQVGVTEMLRAALLKVLEARPEEPIAFLAHYFENMGLRSPVNGGAGEPPGQLLLQQQRLGRALWHLRLAHHSQRAAFNNNVSVAYECLSAGGRRKRPGLDGRTYSELLRRICRDGQAPEEVVAPLLRKVQCRDHEAVPLSVFRAGTLTCFVLLEFVARAGALFQLLEDSAAAVADRRVGQAVLDTLEGALQASDAAAPARFLEAGSRLGPDSLALALDRAVGGRRPSAPMTREEFLERAAALFIAKVKPVG
+>DECOY_sp|Q6ZTW0|TPGS1_HUMAN Tubulin polyglutamylase complex subunit 1 OS=Homo sapiens OX=9606 GN=TPGS1 PE=1 SV=2
+GVPKVKAIFLAAARELFEERTMPASPRRGGVARDLALALSDPGLRSGAELFRAPAAADSAQLAGELTDLVAQGVRRDAVAAASDELLQFLAGARAVFELLVFCTLTGARFVSLPVAEHDRCQVKRLLPAVVEEPAQGDRCIRRLLESYTRGDLGPRKRRGGASLCEYAVSVNNNFAARQSHHALRLHWLARGLRQQQLLLQGPPEGAGGNVPSRLGMNEFYHALFAIPEEPRAELVKLLAARLMETVGVQRLFDEESEAAGAARSVSQRGSDTFGAPPPVAQRRKEVAAM
+>sp|Q9Y5L4|TIM13_HUMAN Mitochondrial import inner membrane translocase subunit Tim13 OS=Homo sapiens OX=9606 GN=TIMM13 PE=1 SV=1
+MEGGFGSDFGGSGSGKLDPGLIMEQVKVQIAVANAQELLQRMTDKCFRKCIGKPGGSLDNSEQKCIAMCMDRYMDAWNTVSRAYNSRLQRERANM
+>DECOY_sp|Q9Y5L4|TIM13_HUMAN Mitochondrial import inner membrane translocase subunit Tim13 OS=Homo sapiens OX=9606 GN=TIMM13 PE=1 SV=1
+MNARERQLRSNYARSVTNWADMYRDMCMAICKQESNDLSGGPKGICKRFCKDTMRQLLEQANAVAIQVKVQEMILGPDLKGSGSGGFDSGFGGEM
+>sp|Q96DA6|TIM14_HUMAN Mitochondrial import inner membrane translocase subunit TIM14 OS=Homo sapiens OX=9606 GN=DNAJC19 PE=1 SV=3
+MASTVVAVGLTIAAAGFAGRYVLQAMKHMEPQVKQVFQSLPKSAFSGGYYRGGFEPKMTKREAALILGVSPTANKGKIRDAHRRIMLLNHPDKGGSPYIAAKINEAKDLLEGQAKK
+>DECOY_sp|Q96DA6|TIM14_HUMAN Mitochondrial import inner membrane translocase subunit TIM14 OS=Homo sapiens OX=9606 GN=DNAJC19 PE=1 SV=3
+KKAQGELLDKAENIKAAIYPSGGKDPHNLLMIRRHADRIKGKNATPSVGLILAAERKTMKPEFGGRYYGGSFASKPLSQFVQKVQPEMHKMAQLVYRGAFGAAAITLGVAVVTSAM
+>sp|Q9Y584|TIM22_HUMAN Mitochondrial import inner membrane translocase subunit Tim22 OS=Homo sapiens OX=9606 GN=TIMM22 PE=1 SV=2
+MAAAAPNAGGSAPETAGSAEAPLQYSLLLQYLVGDKRQPRLLEPGSLGGIPSPAKSEEQKMIEKAMESCAFKAALACVGGFVLGGAFGVFTAGIDTNVGFDPKDPYRTPTAKEVLKDMGQRGMSYAKNFAIVGAMFSCTECLIESYRGTSDWKNSVISGCITGGAIGFRAGLKAGAIGCGGFAAFSAAIDYYLR
+>DECOY_sp|Q9Y584|TIM22_HUMAN Mitochondrial import inner membrane translocase subunit Tim22 OS=Homo sapiens OX=9606 GN=TIMM22 PE=1 SV=2
+RLYYDIAASFAAFGGCGIAGAKLGARFGIAGGTICGSIVSNKWDSTGRYSEILCETCSFMAGVIAFNKAYSMGRQGMDKLVEKATPTRYPDKPDFGVNTDIGATFVGFAGGLVFGGVCALAAKFACSEMAKEIMKQEESKAPSPIGGLSGPELLRPQRKDGVLYQLLLSYQLPAEASGATEPASGGANPAAAAM
+>sp|Q9GZM7|TINAL_HUMAN Tubulointerstitial nephritis antigen-like OS=Homo sapiens OX=9606 GN=TINAGL1 PE=1 SV=1
+MWRCPLGLLLLLPLAGHLALGAQQGRGRRELAPGLHLRGIRDAGGRYCQEQDLCCRGRADDCALPYLGAICYCDLFCNRTVSDCCPDFWDFCLGVPPPFPPIQGCMHGGRIYPVLGTYWDNCNRCTCQENRQWQCDQEPCLVDPDMIKAINQGNYGWQAGNHSAFWGMTLDEGIRYRLGTIRPSSSVMNMHEIYTVLNPGEVLPTAFEASEKWPNLIHEPLDQGNCAGSWAFSTAAVASDRVSIHSLGHMTPVLSPQNLLSCDTHQQQGCRGGRLDGAWWFLRRRGVVSDHCYPFSGRERDEAGPAPPCMMHSRAMGRGKRQATAHCPNSYVNNNDIYQVTPVYRLGSNDKEIMKELMENGPVQALMEVHEDFFLYKGGIYSHTPVSLGRPERYRRHGTHSVKITGWGEETLPDGRTLKYWTAANSWGPAWGERGHFRIVRGVNECDIESFVLGVWGRVGMEDMGHH
+>DECOY_sp|Q9GZM7|TINAL_HUMAN Tubulointerstitial nephritis antigen-like OS=Homo sapiens OX=9606 GN=TINAGL1 PE=1 SV=1
+HHGMDEMGVRGWVGLVFSEIDCENVGRVIRFHGREGWAPGWSNAATWYKLTRGDPLTEEGWGTIKVSHTGHRRYREPRGLSVPTHSYIGGKYLFFDEHVEMLAQVPGNEMLEKMIEKDNSGLRYVPTVQYIDNNNVYSNPCHATAQRKGRGMARSHMMCPPAPGAEDRERGSFPYCHDSVVGRRRLFWWAGDLRGGRCGQQQHTDCSLLNQPSLVPTMHGLSHISVRDSAVAATSFAWSGACNGQDLPEHILNPWKESAEFATPLVEGPNLVTYIEHMNMVSSSPRITGLRYRIGEDLTMGWFASHNGAQWGYNGQNIAKIMDPDVLCPEQDCQWQRNEQCTCRNCNDWYTGLVPYIRGGHMCGQIPPFPPPVGLCFDWFDPCCDSVTRNCFLDCYCIAGLYPLACDDARGRCCLDQEQCYRGGADRIGRLHLGPALERRGRGQQAGLALHGALPLLLLLGLPCRWM
+>sp|Q9BSI4|TINF2_HUMAN TERF1-interacting nuclear factor 2 OS=Homo sapiens OX=9606 GN=TINF2 PE=1 SV=1
+MATPLVAGPAALRFAAAASWQVVRGRCVEHFPRVLEFLRSLRAVAPGLVRYRHHERLCMGLKAKVVVELILQGRPWAQVLKALNHHFPESGPIVRDPKATKQDLRKILEAQETFYQQVKQLSEAPVDLASKLQELEQEYGEPFLAAMEKLLFEYLCQLEKALPTPQAQQLQDVLSWMQPGVSITSSLAWRQYGVDMGWLLPECSVTDSVNLAEPMEQNPPQQQRLALHNPLPKAKPGTHLPQGPSSRTHPEPLAGRHFNLAPLGRRRVQSQWASTRGGHKERPTVMLFPFRNLGSPTQVISKPESKEEHAIYTADLAMGTRAASTGKSKSPCQTLGGRALKENPVDLPATEQKENCLDCYMDPLRLSLLPPRARKPVCPPSLCSSVITIGDLVLDSDEEENGQGEGKESLENYQKTKFDTLIPTLCEYLPPSGHGAIPVSSCDCRDSSRPL
+>DECOY_sp|Q9BSI4|TINF2_HUMAN TERF1-interacting nuclear factor 2 OS=Homo sapiens OX=9606 GN=TINF2 PE=1 SV=1
+LPRSSDRCDCSSVPIAGHGSPPLYECLTPILTDFKTKQYNELSEKGEGQGNEEEDSDLVLDGITIVSSCLSPPCVPKRARPPLLSLRLPDMYCDLCNEKQETAPLDVPNEKLARGGLTQCPSKSKGTSAARTGMALDATYIAHEEKSEPKSIVQTPSGLNRFPFLMVTPREKHGGRTSAWQSQVRRRGLPALNFHRGALPEPHTRSSPGQPLHTGPKAKPLPNHLALRQQQPPNQEMPEALNVSDTVSCEPLLWGMDVGYQRWALSSTISVGPQMWSLVDQLQQAQPTPLAKELQCLYEFLLKEMAALFPEGYEQELEQLKSALDVPAESLQKVQQYFTEQAELIKRLDQKTAKPDRVIPGSEPFHHNLAKLVQAWPRGQLILEVVVKAKLGMCLREHHRYRVLGPAVARLSRLFELVRPFHEVCRGRVVQWSAAAAFRLAAPGAVLPTAM
+>sp|Q8TB96|TIP_HUMAN T-cell immunomodulatory protein OS=Homo sapiens OX=9606 GN=ITFG1 PE=1 SV=1
+MAAAGRLPSSWALFSPLLAGLALLGVGPVPARALHNVTAELFGAEAWGTLAAFGDLNSDKQTDLFVLRERNDLIVFLADQNAPYFKPKVKVSFKNHSALITSVVPGDYDGDSQMDVLLTYLPKNYAKSELGAVIFWGQNQTLDPNNMTILNRTFQDEPLIMDFNGDLIPDIFGITNESNQPQILLGGNLSWHPALTTTSKMRIPHSHAFIDLTEDFTADLFLTTLNATTSTFQFEIWENLDGNFSVSTILEKPQNMMVVGQSAFADFDGDGHMDHLLPGCEDKNCQKSTIYLVRSGMKQWVPVLQDFSNKGTLWGFVPFVDEQQPTEIPIPITLHIGDYNMDGYPDALVILKNTSGSNQQAFLLENVPCNNASCEEARRMFKVYWELTDLNQIKDAMVATFFDIYEDGILDIVVLSKGYTKNDFAIHTLKNNFEADAYFVKVIVLSGLCSNDCPRKITPFGVNQPGPYIMYTTVDANGYLKNGSAGQLSQSAHLALQLPYNVLGLGRSANFLDHLYVGIPRPSGEKSIRKQEWTAIIPNSQLIVIPYPHNVPRSWSAKLYLTPSNIVLLTAIALIGVCVFILAIIGILHWQEKKADDREKRQEAHRFHFDAM
+>DECOY_sp|Q8TB96|TIP_HUMAN T-cell immunomodulatory protein OS=Homo sapiens OX=9606 GN=ITFG1 PE=1 SV=1
+MADFHFRHAEQRKERDDAKKEQWHLIGIIALIFVCVGILAIATLLVINSPTLYLKASWSRPVNHPYPIVILQSNPIIATWEQKRISKEGSPRPIGVYLHDLFNASRGLGLVNYPLQLALHASQSLQGASGNKLYGNADVTTYMIYPGPQNVGFPTIKRPCDNSCLGSLVIVKVFYADAEFNNKLTHIAFDNKTYGKSLVVIDLIGDEYIDFFTAVMADKIQNLDTLEWYVKFMRRAEECSANNCPVNELLFAQQNSGSTNKLIVLADPYGDMNYDGIHLTIPIPIETPQQEDVFPVFGWLTGKNSFDQLVPVWQKMGSRVLYITSKQCNKDECGPLLHDMHGDGDFDAFASQGVVMMNQPKELITSVSFNGDLNEWIEFQFTSTTANLTTLFLDATFDETLDIFAHSHPIRMKSTTTLAPHWSLNGGLLIQPQNSENTIGFIDPILDGNFDMILPEDQFTRNLITMNNPDLTQNQGWFIVAGLESKAYNKPLYTLLVDMQSDGDYDGPVVSTILASHNKFSVKVKPKFYPANQDALFVILDNRERLVFLDTQKDSNLDGFAALTGWAEAGFLEATVNHLARAPVPGVGLLALGALLPSFLAWSSPLRGAAAM
+>sp|Q9BRJ7|TIRR_HUMAN Tudor-interacting repair regulator protein OS=Homo sapiens OX=9606 GN=NUDT16L1 PE=1 SV=1
+MSTAAVPELKQISRVEAMRLGPGWSHSCHAMLYAANPGQLFGRIPMRFSVLMQMRFDGLLGFPGGFVDRRFWSLEDGLNRVLGLGLGCLRLTEADYLSSHLTEGPHRVVAHLYARQLTLEQLHAVEISAVHSRDHGLEVLGLVRVPLYTQKDRVGGFPNFLSNAFVSTAKCQLLFALKVLNMMPEEKLVEALAAATEKQKKALEKLLPASS
+>DECOY_sp|Q9BRJ7|TIRR_HUMAN Tudor-interacting repair regulator protein OS=Homo sapiens OX=9606 GN=NUDT16L1 PE=1 SV=1
+SSAPLLKELAKKQKETAAALAEVLKEEPMMNLVKLAFLLQCKATSVFANSLFNPFGGVRDKQTYLPVRVLGLVELGHDRSHVASIEVAHLQELTLQRAYLHAVVRHPGETLHSSLYDAETLRLCGLGLGLVRNLGDELSWFRRDVFGGPFGLLGDFRMQMLVSFRMPIRGFLQGPNAAYLMAHCSHSWGPGLRMAEVRSIQKLEPVAATSM
+>sp|A6NML5|TM212_HUMAN Transmembrane protein 212 OS=Homo sapiens OX=9606 GN=TMEM212 PE=2 SV=2
+MKGLYQAAGRILVTLGILSVCSGVIAFFPVFSYKPWFTGWSVRIACPIWNGALAITTGVLLLLAYREWTQRYLGEATFTFVILSIMGCPLHFAIALESALLGPYCFYSFSGIAGTNYLGYAVTFPYPYAKFPLACVDPPHYEEYHLTLQALDLCLSFTLLCTSLTVFIKLSARLIQNGHINMQLPAGNPNPFSP
+>DECOY_sp|A6NML5|TM212_HUMAN Transmembrane protein 212 OS=Homo sapiens OX=9606 GN=TMEM212 PE=2 SV=2
+PSFPNPNGAPLQMNIHGNQILRASLKIFVTLSTCLLTFSLCLDLAQLTLHYEEYHPPDVCALPFKAYPYPFTVAYGLYNTGAIGSFSYFCYPGLLASELAIAFHLPCGMISLIVFTFTAEGLYRQTWERYALLLLVGTTIALAGNWIPCAIRVSWGTFWPKYSFVPFFAIVGSCVSLIGLTVLIRGAAQYLGKM
+>sp|A2RU14|TM218_HUMAN Transmembrane protein 218 OS=Homo sapiens OX=9606 GN=TMEM218 PE=1 SV=1
+MAGTVLGVGAGVFILALLWVAVLLLCVLLSRASGAARFSVIFLFFGAVIITSVLLLFPRAGEFPAPEVEVKIVDDFFIGRYVLLAFLSAIFLGGLFLVLIHYVLEPIYAKPLHSY
+>DECOY_sp|A2RU14|TM218_HUMAN Transmembrane protein 218 OS=Homo sapiens OX=9606 GN=TMEM218 PE=1 SV=1
+YSHLPKAYIPELVYHILVLFLGGLFIASLFALLVYRGIFFDDVIKVEVEPAPFEGARPFLLLVSTIIVAGFFLFIVSFRAAGSARSLLVCLLLVAVWLLALIFVGAGVGLVTGAM
+>sp|Q6QAJ8|TM220_HUMAN Transmembrane protein 220 OS=Homo sapiens OX=9606 GN=TMEM220 PE=2 SV=1
+MAPALWRACNGLMAAFFALAALVQVNDPDAEVWVVVYTIPAVLTLLVGLNPEVTGNVIWKSISAIHILFCTVWAVGLASYLLHRTQQNILHEEEGRELSGLVIITAWIILCHSSSKNPVGGRIQLAIAIVITLFPFISWVYIYINKEMRSSWPTHCKTVI
+>DECOY_sp|Q6QAJ8|TM220_HUMAN Transmembrane protein 220 OS=Homo sapiens OX=9606 GN=TMEM220 PE=2 SV=1
+IVTKCHTPWSSRMEKNIYIYVWSIFPFLTIVIAIALQIRGGVPNKSSSHCLIIWATIIVLGSLERGEEEHLINQQTRHLLYSALGVAWVTCFLIHIASISKWIVNGTVEPNLGVLLTLVAPITYVVVWVEADPDNVQVLAALAFFAAMLGNCARWLAPAM
+>sp|B4DJY2|TM233_HUMAN Transmembrane protein 233 OS=Homo sapiens OX=9606 GN=TMEM233 PE=3 SV=1
+MSQYAPSPDFKRALDSSPEANTEDDKTEEDVPMPKNYLWLTIVSCFCPAYPINIVALVFSIMSLNSYNDGDYEGARRLGRNAKWVAIASIIIGLLIIGISCAVHFTRNA
+>DECOY_sp|B4DJY2|TM233_HUMAN Transmembrane protein 233 OS=Homo sapiens OX=9606 GN=TMEM233 PE=3 SV=1
+ANRTFHVACSIGIILLGIIISAIAVWKANRGLRRAGEYDGDNYSNLSMISFVLAVINIPYAPCFCSVITLWLYNKPMPVDEETKDDETNAEPSSDLARKFDPSPAYQSM
+>sp|A6NFC5|TM235_HUMAN Transmembrane protein 235 OS=Homo sapiens OX=9606 GN=TMEM235 PE=2 SV=3
+MARLGALLLAAALGALLSFALLAAAVASDYWYILEVADAGNGSAWPGRAELLSSHSGLWRICEGQNGCIPLVDPFASESLDVSTSVQHLILLHRAVIVVLPLSLVLLVCGWICGLLSSLAQSVSLLLFTGCYFLLGSVLTLAGVSIYISYSHLAFAETVQQYGPQHMQGVRVSFGWSMALAWGSCALEAFSGTLLLSAAWTLSLSPPICGHLSPQQVGGRGGD
+>DECOY_sp|A6NFC5|TM235_HUMAN Transmembrane protein 235 OS=Homo sapiens OX=9606 GN=TMEM235 PE=2 SV=3
+DGGRGGVQQPSLHGCIPPSLSLTWAASLLLTGSFAELACSGWALAMSWGFSVRVGQMHQPGYQQVTEAFALHSYSIYISVGALTLVSGLLFYCGTFLLLSVSQALSSLLGCIWGCVLLVLSLPLVVIVARHLLILHQVSTSVDLSESAFPDVLPICGNQGECIRWLGSHSSLLEARGPWASGNGADAVELIYWYDSAVAAALLAFSLLAGLAAALLLAGLRAM
+>sp|Q96Q45|TM237_HUMAN Transmembrane protein 237 OS=Homo sapiens OX=9606 GN=TMEM237 PE=1 SV=2
+MRTDSGARLEEGHLRPPRALPPVPSQDDIPLSRPKKKKPRTKNTPASASLEGLAQTAGRRPSEGNEPSTKELKEHPEAPVQRRQKKTRLPLELETSSTQKKSSSSSLLRNENGIDAEPAEEAVIQKPRRKTKKTQPAELQYANELGVEDEDIITDEQTTVEQQSVFTAPTGISQPVGKVFVEKSRRFQAADRSELIKTTENIDVSMDVKPSWTTRDVALTVHRAFRMIGLFSHGFLAGCAVWNIVVIYVLAGDQLSNLSNLLQQYKTLAYPFQSLLYLLLALSTISAFDRIDFAKISVAIRNFLALDPTALASFLYFTALILSLSQQMTSDRIHLYTPSSVNGSLWEAGIEEQILQPWIVVNLVVALLVGLSWLFLSYRPGMDLSEELMFSSEVEEYPDKEKEIKASS
+>DECOY_sp|Q96Q45|TM237_HUMAN Transmembrane protein 237 OS=Homo sapiens OX=9606 GN=TMEM237 PE=1 SV=2
+SSAKIEKEKDPYEEVESSFMLEESLDMGPRYSLFLWSLGVLLAVVLNVVIWPQLIQEEIGAEWLSGNVSSPTYLHIRDSTMQQSLSLILATFYLFSALATPDLALFNRIAVSIKAFDIRDFASITSLALLLYLLSQFPYALTKYQQLLNSLNSLQDGALVYIVVINWVACGALFGHSFLGIMRFARHVTLAVDRTTWSPKVDMSVDINETTKILESRDAAQFRRSKEVFVKGVPQSIGTPATFVSQQEVTTQEDTIIDEDEVGLENAYQLEAPQTKKTKRRPKQIVAEEAPEADIGNENRLLSSSSSKKQTSSTELELPLRTKKQRRQVPAEPHEKLEKTSPENGESPRRGATQALGELSASAPTNKTRPKKKKPRSLPIDDQSPVPPLARPPRLHGEELRAGSDTRM
+>sp|Q24JQ0|TM241_HUMAN Transmembrane protein 241 OS=Homo sapiens OX=9606 GN=TMEM241 PE=2 SV=1
+MCVRRSLVGLTFCTCYLASYLTNKYVLSVLKFTYPTLFQGWQTLIGGLLLHVSWKLGWVEINSSSRSHVLVWLPASVLFVGIIYAGSRALSRLAIPVFLTLHNVAEVIICGYQKCFQKEKTSPAKICSALLLLAAAGCLPFNDSQFNPDGYFWAIIHLLCVGAYKILQKSQKPSALSDIDQQYLNYIFSVVLLAFASHPTGDLFSVLDFPFLYFYRFHGSCCASGFLGFFLMFSTVKLKNLLAPGQCAAWIFFAKIITAGLSILLFDAILTSATTGCLLLGALGEALLVFSERKSS
+>DECOY_sp|Q24JQ0|TM241_HUMAN Transmembrane protein 241 OS=Homo sapiens OX=9606 GN=TMEM241 PE=2 SV=1
+SSKRESFVLLAEGLAGLLLCGTTASTLIADFLLISLGATIIKAFFIWAACQGPALLNKLKVTSFMLFFGLFGSACCSGHFRYFYLFPFDLVSFLDGTPHSAFALLVVSFIYNLYQQDIDSLASPKQSKQLIKYAGVCLLHIIAWFYGDPNFQSDNFPLCGAAALLLLASCIKAPSTKEKQFCKQYGCIIVEAVNHLTLFVPIALRSLARSGAYIIGVFLVSAPLWVLVHSRSSSNIEVWGLKWSVHLLLGGILTQWGQFLTPYTFKLVSLVYKNTLYSALYCTCFTLGVLSRRVCM
+>sp|Q2M3C6|TM266_HUMAN Transmembrane protein 266 OS=Homo sapiens OX=9606 GN=TMEM266 PE=2 SV=2
+MAVAPSFNMTNPQPAIEGGISEVEIISQQVDEETKSIAPVQLVNFAYRDLPLAAVDLSTAGSQLLSNLDEDYQREGSNWLKPCCGKRAAVWQVFLLSASLNSFLVACVILVVILLTLELLIDIKLLQFSSAFQFAGVIHWISLVILSVFFSETVLRIVVLGIWDYIENKIEVFDGAVIILSLAPMVASTVANGPRSPWDAISLIIMLRIWRVKRVIDAYVLPVKLEMEMVIQQYEKAKVIQDEQLERLTQICQEQGFEIRQLRAHLAQQDLDLAAEREAALQAPHVLSQPRSRFKVLEAGTWDEETAAESVVEELQPSQEATMKDDMNSYISQYYNGPSSDSGVPEPAVCMVTTAAIDIHQPNISSDLFSLDMPLKLGGNGTSATSESASRSSVTRAQSDSSQTLGSSMDCSTAREEPSSEPGPSPPPLPSQQQVEEATVQDLLSSLSEDPCPSQKALDPAPLARPSPAGSAQTSPELEHRVSLFNQKNQEGFTVFQIRPVIHFQPTVPMLEDKFRSLESKEQKLHRVPEA
+>DECOY_sp|Q2M3C6|TM266_HUMAN Transmembrane protein 266 OS=Homo sapiens OX=9606 GN=TMEM266 PE=2 SV=2
+AEPVRHLKQEKSELSRFKDELMPVTPQFHIVPRIQFVTFGEQNKQNFLSVRHELEPSTQASGAPSPRALPAPDLAKQSPCPDESLSSLLDQVTAEEVQQQSPLPPPSPGPESSPEERATSCDMSSGLTQSSDSQARTVSSRSASESTASTGNGGLKLPMDLSFLDSSINPQHIDIAATTVMCVAPEPVGSDSSPGNYYQSIYSNMDDKMTAEQSPQLEEVVSEAATEEDWTGAELVKFRSRPQSLVHPAQLAAEREAALDLDQQALHARLQRIEFGQEQCIQTLRELQEDQIVKAKEYQQIVMEMELKVPLVYADIVRKVRWIRLMIILSIADWPSRPGNAVTSAVMPALSLIIVAGDFVEIKNEIYDWIGLVVIRLVTESFFVSLIVLSIWHIVGAFQFASSFQLLKIDILLELTLLIVVLIVCAVLFSNLSASLLFVQWVAARKGCCPKLWNSGERQYDEDLNSLLQSGATSLDVAALPLDRYAFNVLQVPAISKTEEDVQQSIIEVESIGGEIAPQPNTMNFSPAVAM
+>sp|A6NKF7|TM88B_HUMAN Transmembrane protein 88B OS=Homo sapiens OX=9606 GN=TMEM88B PE=3 SV=1
+MSEQGRETEEEEGGGGASDTAPMLPRGPPDHQASALTCPGWSGPPLLPGRLLAGLLLHLLLPAAAFLLVLLPAAAVVYLGFLCHSRVHPAPGPRCRALFSDRGSAALIVFGLLSLPPLLVLASAVRARLARRLRPLLPPPAGTPGPRRPPGRPDEDEQLCAWV
+>DECOY_sp|A6NKF7|TM88B_HUMAN Transmembrane protein 88B OS=Homo sapiens OX=9606 GN=TMEM88B PE=3 SV=1
+VWACLQEDEDPRGPPRRPGPTGAPPPLLPRLRRALRARVASALVLLPPLSLLGFVILAASGRDSFLARCRPGPAPHVRSHCLFGLYVVAAAPLLVLLFAAAPLLLHLLLGALLRGPLLPPGSWGPCTLASAQHDPPGRPLMPATDSAGGGGEEEETERGQESM
+>sp|O15321|TM9S1_HUMAN Transmembrane 9 superfamily member 1 OS=Homo sapiens OX=9606 GN=TM9SF1 PE=2 SV=2
+MTVVGNPRSWSCQWLPILILLLGTGHGPGVEGVTHYKAGDPVILYVNKVGPYHNPQETYHYYQLPVCCPEKIRHKSLSLGEVLDGDRMAESLYEIRFRENVEKRILCHMQLSSAQVEQLRQAIEELYYFEFVVDDLPIRGFVGYMEESGFLPHSHKIGLWTHLDFHLEFHGDRIIFANVSVRDVKPHSLDGLRPDEFLGLTHTYSVRWSETSVERRSDRRRGDDGGFFPRTLEIHWLSIINSMVLVFLLVGFVAVILMRVLRNDLARYNLDEETTSAGSGDDFDQGDNGWKIIHTDVFRFPPYRGLLCAVLGVGAQFLALGTGIIVMALLGMFNVHRHGAINSAAILLYALTCCISGYVSSHFYRQIGGERWVWNIILTTSLFSVPFFLTWSVVNSVHWANGSTQALPATTILLLLTVWLLVGFPLTVIGGIFGKNNASPFDAPCRTKNIAREIPPQPWYKSTVIHMTVGGFLPFSAISVELYYIFATVWGREQYTLYGILFFVFAILLSVGACISIALTYFQLSGEDYRWWWRSVLSVGSTGLFIFLYSVFYYARRSNMSGAVQTVEFFGYSLLTGYVFFLMLGTISFFSSLKFIRYIYVNLKMD
+>DECOY_sp|O15321|TM9S1_HUMAN Transmembrane 9 superfamily member 1 OS=Homo sapiens OX=9606 GN=TM9SF1 PE=2 SV=2
+DMKLNVYIYRIFKLSSFFSITGLMLFFVYGTLLSYGFFEVTQVAGSMNSRRAYYFVSYLFIFLGTSGVSLVSRWWWRYDEGSLQFYTLAISICAGVSLLIAFVFFLIGYLTYQERGWVTAFIYYLEVSIASFPLFGGVTMHIVTSKYWPQPPIERAINKTRCPADFPSANNKGFIGGIVTLPFGVLLWVTLLLLITTAPLAQTSGNAWHVSNVVSWTLFFPVSFLSTTLIINWVWREGGIQRYFHSSVYGSICCTLAYLLIAASNIAGHRHVNFMGLLAMVIIGTGLALFQAGVGLVACLLGRYPPFRFVDTHIIKWGNDGQDFDDGSGASTTEEDLNYRALDNRLVRMLIVAVFGVLLFVLVMSNIISLWHIELTRPFFGGDDGRRRDSRREVSTESWRVSYTHTLGLFEDPRLGDLSHPKVDRVSVNAFIIRDGHFELHFDLHTWLGIKHSHPLFGSEEMYGVFGRIPLDDVVFEFYYLEEIAQRLQEVQASSLQMHCLIRKEVNERFRIEYLSEAMRDGDLVEGLSLSKHRIKEPCCVPLQYYHYTEQPNHYPGVKNVYLIVPDGAKYHTVGEVGPGHGTGLLLILIPLWQCSWSRPNGVVTM
+>sp|Q99805|TM9S2_HUMAN Transmembrane 9 superfamily member 2 OS=Homo sapiens OX=9606 GN=TM9SF2 PE=1 SV=1
+MSARLPVLSPPRWPRLLLLSLLLLGAVPGPRRSGAFYLPGLAPVNFCDEEKKSDECKAEIELFVNRLDSVESVLPYEYTAFDFCQASEGKRPSENLGQVLFGERIEPSPYKFTFNKKETCKLVCTKTYHTEKAEDKQKLEFLKKSMLLNYQHHWIVDNMPVTWCYDVEDGQRFCNPGFPIGCYITDKGHAKDACVISSDFHERDTFYIFNHVDIKIYYHVVETGSMGARLVAAKLEPKSFKHTHIDKPDCSGPPMDISNKASGEIKIAYTYSVSFEEDDKIRWASRWDYILESMPHTHIQWFSIMNSLVIVLFLSGMVAMIMLRTLHKDIARYNQMDSTEDAQEEFGWKLVHGDIFRPPRKGMLLSVFLGSGTQILIMTFVTLFFACLGFLSPANRGALMTCAVVLWVLLGTPAGYVAARFYKSFGGEKWKTNVLLTSFLCPGIVFADFFIMNLILWGEGSSAAIPFGTLVAILALWFCISVPLTFIGAYFGFKKNAIEHPVRTNQIPRQIPEQSFYTKPLPGIIMGGILPFGCIFIQLFFILNSIWSHQMYYMFGFLFLVFIILVITCSEATILLCYFHLCAEDYHWQWRSFLTSGFTAVYFLIYAVHYFFSKLQITGTASTILYFGYTMIMVLIFFLFTGTIGFFACFWFVTKIYSVVKVD
+>DECOY_sp|Q99805|TM9S2_HUMAN Transmembrane 9 superfamily member 2 OS=Homo sapiens OX=9606 GN=TM9SF2 PE=1 SV=1
+DVKVVSYIKTVFWFCAFFGITGTFLFFILVMIMTYGFYLITSATGTIQLKSFFYHVAYILFYVATFGSTLFSRWQWHYDEACLHFYCLLITAESCTIVLIIFVLFLFGFMYYMQHSWISNLIFFLQIFICGFPLIGGMIIGPLPKTYFSQEPIQRPIQNTRVPHEIANKKFGFYAGIFTLPVSICFWLALIAVLTGFPIAASSGEGWLILNMIFFDAFVIGPCLFSTLLVNTKWKEGGFSKYFRAAVYGAPTGLLVWLVVACTMLAGRNAPSLFGLCAFFLTVFTMILIQTGSGLFVSLLMGKRPPRFIDGHVLKWGFEEQADETSDMQNYRAIDKHLTRLMIMAVMGSLFLVIVLSNMISFWQIHTHPMSELIYDWRSAWRIKDDEEFSVSYTYAIKIEGSAKNSIDMPPGSCDPKDIHTHKFSKPELKAAVLRAGMSGTEVVHYYIKIDVHNFIYFTDREHFDSSIVCADKAHGKDTIYCGIPFGPNCFRQGDEVDYCWTVPMNDVIWHHQYNLLMSKKLFELKQKDEAKETHYTKTCVLKCTEKKNFTFKYPSPEIREGFLVQGLNESPRKGESAQCFDFATYEYPLVSEVSDLRNVFLEIEAKCEDSKKEEDCFNVPALGPLYFAGSRRPGPVAGLLLLSLLLLRPWRPPSLVPLRASM
+>sp|Q9HD45|TM9S3_HUMAN Transmembrane 9 superfamily member 3 OS=Homo sapiens OX=9606 GN=TM9SF3 PE=1 SV=2
+MRPLPGALGVAAAAALWLLLLLLPRTRADEHEHTYQDKEEVVLWMNTVGPYHNRQETYKYFSLPFCVGSKKSISHYHETLGEALQGVELEFSGLDIKFKDDVMPATYCEIDLDKEKRDAFVYAIKNHYWYQMYIDDLPIWGIVGEADENGEDYYLWTYKKLEIGFNGNRIVDVNLTSEGKVKLVPNTKIQMSYSVKWKKSDVKFEDRFDKYLDPSFFQHRIHWFSIFNSFMMVIFLVGLVSMILMRTLRKDYARYSKEEEMDDMDRDLGDEYGWKQVHGDVFRPSSHPLIFSSLIGSGCQIFAVSLIVIIVAMIEDLYTERGSMLSTAIFVYAATSPVNGYFGGSLYARQGGRRWIKQMFIGAFLIPAMVCGTAFFINFIAIYYHASRAIPFGTMVAVCCICFFVILPLNLVGTILGRNLSGQPNFPCRVNAVPRPIPEKKWFMEPAVIVCLGGILPFGSIFIEMYFIFTSFWAYKIYYVYGFMMLVLVILCIVTVCVTIVCTYFLLNAEDYRWQWTSFLSAASTAIYVYMYSFYYYFFKTKMYGLFQTSFYFGYMAVFSTALGIMCGAIGYMGTSAFVRKIYTNVKID
+>DECOY_sp|Q9HD45|TM9S3_HUMAN Transmembrane 9 superfamily member 3 OS=Homo sapiens OX=9606 GN=TM9SF3 PE=1 SV=2
+DIKVNTYIKRVFASTGMYGIAGCMIGLATSFVAMYGFYFSTQFLGYMKTKFFYYYFSYMYVYIATSAASLFSTWQWRYDEANLLFYTCVITVCVTVICLIVLVLMMFGYVYYIKYAWFSTFIFYMEIFISGFPLIGGLCVIVAPEMFWKKEPIPRPVANVRCPFNPQGSLNRGLITGVLNLPLIVFFCICCVAVMTGFPIARSAHYYIAIFNIFFATGCVMAPILFAGIFMQKIWRRGGQRAYLSGGFYGNVPSTAAYVFIATSLMSGRETYLDEIMAVIIVILSVAFIQCGSGILSSFILPHSSPRFVDGHVQKWGYEDGLDRDMDDMEEEKSYRAYDKRLTRMLIMSVLGVLFIVMMFSNFISFWHIRHQFFSPDLYKDFRDEFKVDSKKWKVSYSMQIKTNPVLKVKGESTLNVDVIRNGNFGIELKKYTWLYYDEGNEDAEGVIGWIPLDDIYMQYWYHNKIAYVFADRKEKDLDIECYTAPMVDDKFKIDLGSFELEVGQLAEGLTEHYHSISKKSGVCFPLSFYKYTEQRNHYPGVTNMWLVVEEKDQYTHEHEDARTRPLLLLLLWLAAAAAVGLAGPLPRM
+>sp|Q8TDI8|TMC1_HUMAN Transmembrane channel-like protein 1 OS=Homo sapiens OX=9606 GN=TMC1 PE=1 SV=2
+MSPKKVQIKVEEKEDETEESSSEEEEEVEDKLPRRESLRPKRKRTRDVINEDDPEPEPEDEETRKAREKERRRRLKRGAEEEEIDEEELERLKAELDEKRQIIATVKCKPWKMEKKIEVLKEAKKFVSENEGALGKGKGKRWFAFKMMMAKKWAKFLRDFENFKAACVPWENKIKAIESQFGSSVASYFLFLRWMYGVNMVLFILTFSLIMLPEYLWGLPYGSLPRKTVPRAEEASAANFGVLYDFNGLAQYSVLFYGYYDNKRTIGWMNFRLPLSYFLVGIMCIGYSFLVVLKAMTKNIGDDGGGDDNTFNFSWKVFTSWDYLIGNPETADNKFNSITMNFKEAITEEKAAQVEENVHLIRFLRFLANFFVFLTLGGSGYLIFWAVKRSQEFAQQDPDTLGWWEKNEMNMVMSLLGMFCPTLFDLFAELEDYHPLIALKWLLGRIFALLLGNLYVFILALMDEINNKIEEEKLVKANITLWEANMIKAYNASFSENSTGPPFFVHPADVPRGPCWETMVGQEFVRLTVSDVLTTYVTILIGDFLRACFVRFCNYCWCWDLEYGYPSYTEFDISGNVLALIFNQGMIWMGSFFAPSLPGINILRLHTSMYFQCWAVMCCNVPEARVFKASRSNNFYLGMLLLILFLSTMPVLYMIVSLPPSFDCGPFSGKNRMFEVIGETLEHDFPSWMAKILRQLSNPGLVIAVILVMVLAIYYLNATAKGQKAANLDLKKKMKMQALENKMRNKKMAAARAAAAAGRQ
+>DECOY_sp|Q8TDI8|TMC1_HUMAN Transmembrane channel-like protein 1 OS=Homo sapiens OX=9606 GN=TMC1 PE=1 SV=2
+QRGAAAAARAAAMKKNRMKNELAQMKMKKKLDLNAAKQGKATANLYYIALVMVLIVAIVLGPNSLQRLIKAMWSPFDHELTEGIVEFMRNKGSFPGCDFSPPLSVIMYLVPMTSLFLILLLMGLYFNNSRSAKFVRAEPVNCCMVAWCQFYMSTHLRLINIGPLSPAFFSGMWIMGQNFILALVNGSIDFETYSPYGYELDWCWCYNCFRVFCARLFDGILITVYTTLVDSVTLRVFEQGVMTEWCPGRPVDAPHVFFPPGTSNESFSANYAKIMNAEWLTINAKVLKEEEIKNNIEDMLALIFVYLNGLLLAFIRGLLWKLAILPHYDELEAFLDFLTPCFMGLLSMVMNMENKEWWGLTDPDQQAFEQSRKVAWFILYGSGGLTLFVFFNALFRLFRILHVNEEVQAAKEETIAEKFNMTISNFKNDATEPNGILYDWSTFVKWSFNFTNDDGGGDDGINKTMAKLVVLFSYGICMIGVLFYSLPLRFNMWGITRKNDYYGYFLVSYQALGNFDYLVGFNAASAEEARPVTKRPLSGYPLGWLYEPLMILSFTLIFLVMNVGYMWRLFLFYSAVSSGFQSEIAKIKNEWPVCAAKFNEFDRLFKAWKKAMMMKFAFWRKGKGKGLAGENESVFKKAEKLVEIKKEMKWPKCKVTAIIQRKEDLEAKLRELEEEDIEEEEAGRKLRRRREKERAKRTEEDEPEPEPDDENIVDRTRKRKPRLSERRPLKDEVEEEEESSSEETEDEKEEVKIQVKKPSM
+>sp|Q8TDI7|TMC2_HUMAN Transmembrane channel-like protein 2 OS=Homo sapiens OX=9606 GN=TMC2 PE=2 SV=3
+MSHQVKGLKEEARGGVKGRVKSGSPHTGDRLGRRSSSKRALKAEGTPGRRGAQRSQKERAGGSPSPGSPRRKQTGRRRHREELGEQERGEAERTCEGRRKRDERASFQERTAAPKREKEIPRREEKSKRQKKPRSSSLASSASGGESLSEEELAQILEQVEEKKKLIATMRSKPWPMAKKLTELREAQEFVEKYEGALGKGKGKQLYAYKMLMAKKWVKFKRDFDNFKTQCIPWEMKIKDIESHFGSSVASYFIFLRWMYGVNLVLFGLIFGLVIIPEVLMGMPYGSIPRKTVPRAEEEKAMDFSVLWDFEGYIKYSALFYGYYNNQRTIGWLRYRLPMAYFMVGVSVFGYSLIIVIRSMASNTQGSTGEGESDNFTFSFKMFTSWDYLIGNSETADNKYASITTSFKESIVDEQESNKEENIHLTRFLRVLANFLIICCLCGSGYLIYFVVKRSQQFSKMQNVSWYERNEVEIVMSLLGMFCPPLFETIAALENYHPRTGLKWQLGRIFALFLGNLYTFLLALMDDVHLKLANEETIKNITHWTLFNYYNSSGWNESVPRPPLHPADVPRGSCWETAVGIEFMRLTVSDMLVTYITILLGDFLRACFVRFMNYCWCWDLEAGFPSYAEFDISGNVLGLIFNQGMIWMGSFYAPGLVGINVLRLLTSMYFQCWAVMSSNVPHERVFKASRSNNFYMGLLLLVLFLSLLPVAYTIMSLPPSFDCGPFSGKNRMYDVLQETIENDFPTFLGKIFAFLANPGLIIPAILLMFLAIYYLNSVSKSLSRANAQLRKKIQVLREVEKSHKSVKGKATARDSEDTPKSSSKNATQLQLTKEETTPPSASQSQAMDKKAQGPGTSNSASRTTLPASGHLPISRPPGIGPDSGHAPSQTHPWRSASGKSAQRPPH
+>DECOY_sp|Q8TDI7|TMC2_HUMAN Transmembrane channel-like protein 2 OS=Homo sapiens OX=9606 GN=TMC2 PE=2 SV=3
+HPPRQASKGSASRWPHTQSPAHGSDPGIGPPRSIPLHGSAPLTTRSASNSTGPGQAKKDMAQSQSASPPTTEEKTLQLQTANKSSSKPTDESDRATAKGKVSKHSKEVERLVQIKKRLQANARSLSKSVSNLYYIALFMLLIAPIILGPNALFAFIKGLFTPFDNEITEQLVDYMRNKGSFPGCDFSPPLSMITYAVPLLSLFLVLLLLGMYFNNSRSAKFVREHPVNSSMVAWCQFYMSTLLRLVNIGVLGPAYFSGMWIMGQNFILGLVNGSIDFEAYSPFGAELDWCWCYNMFRVFCARLFDGLLITIYTVLMDSVTLRMFEIGVATEWCSGRPVDAPHLPPRPVSENWGSSNYYNFLTWHTINKITEENALKLHVDDMLALLFTYLNGLFLAFIRGLQWKLGTRPHYNELAAITEFLPPCFMGLLSMVIEVENREYWSVNQMKSFQQSRKVVFYILYGSGCLCCIILFNALVRLFRTLHINEEKNSEQEDVISEKFSTTISAYKNDATESNGILYDWSTFMKFSFTFNDSEGEGTSGQTNSAMSRIVIILSYGFVSVGVMFYAMPLRYRLWGITRQNNYYGYFLASYKIYGEFDWLVSFDMAKEEEARPVTKRPISGYPMGMLVEPIIVLGFILGFLVLNVGYMWRLFIFYSAVSSGFHSEIDKIKMEWPICQTKFNDFDRKFKVWKKAMLMKYAYLQKGKGKGLAGEYKEVFEQAERLETLKKAMPWPKSRMTAILKKKEEVQELIQALEEESLSEGGSASSALSSSRPKKQRKSKEERRPIEKERKPAATREQFSAREDRKRRGECTREAEGREQEGLEERHRRRGTQKRRPSGPSPSGGAREKQSRQAGRRGPTGEAKLARKSSSRRGLRDGTHPSGSKVRGKVGGRAEEKLGKVQHSM
+>sp|Q7Z404|TMC4_HUMAN Transmembrane channel-like protein 4 OS=Homo sapiens OX=9606 GN=TMC4 PE=2 SV=3
+MEENPTLESEAWGSSRGWLAPREARGAPCSSPGPSLSSVLNELPSAATLRYRDPGVLPWGALEEEEEDGGRSRKAFTEVTQTELQDPHPSRELPWPMQARRAHRQRNASRDQVVYGSGTKTDRWARLLRRSKEKTKEGLRSLQPWAWTLKRIGGQFGAGTESYFSLLRFLLLLNVLASVLMACMTLLPTWLGGAPPGPPGPDISSPCGSYNPHSQGLVTFATQLFNLLSGEGYLEWSPLFYGFYPPRPRLAVTYLCWAFAVGLICLLLILHRSVSGLKQTLLAESEALTSYSHRVFSAWDFGLCGDVHVRLRQRIILYELKVELEETVVRRQAAVRTLGQQARVWLVRVLLNLLVVALLGAAFYGVYWATGCTVELQEMPLVQELPLLKLGVNYLPSIFIAGVNFVLPPVFKLIAPLEGYTRSRQIVFILLRTVFLRLASLVVLLFSLWNQITCGGDSEAEDCKTCGYNYKQLPCWETVLGQEMYKLLLFDLLTVLAVALLIQFPRKLLCGLCPGALGRLAGTQEFQVPDEVLGLIYAQTVVWVGSFFCPLLPLLNTVKFLLLFYLKKLTLFSTCSPAARTFRASAANFFFPLVLLLGLAISSVPLLYSIFLIPPSKLCGPFRGQSSIWAQIPESISSLPETTQNFLFFLGTQAFAVPLLLISSILMAYTVALANSYGRLISELKRQRQTEAQNKVFLARRAVALTSTKPAL
+>DECOY_sp|Q7Z404|TMC4_HUMAN Transmembrane channel-like protein 4 OS=Homo sapiens OX=9606 GN=TMC4 PE=2 SV=3
+LAPKTSTLAVARRALFVKNQAETQRQRKLESILRGYSNALAVTYAMLISSILLLPVAFAQTGLFFLFNQTTEPLSSISEPIQAWISSQGRFPGCLKSPPILFISYLLPVSSIALGLLLVLPFFFNAASARFTRAAPSCTSFLTLKKLYFLLLFKVTNLLPLLPCFFSGVWVVTQAYILGLVEDPVQFEQTGALRGLAGPCLGCLLKRPFQILLAVALVTLLDFLLLKYMEQGLVTEWCPLQKYNYGCTKCDEAESDGGCTIQNWLSFLLVVLSALRLFVTRLLIFVIQRSRTYGELPAILKFVPPLVFNVGAIFISPLYNVGLKLLPLEQVLPMEQLEVTCGTAWYVGYFAAGLLAVVLLNLLVRVLWVRAQQGLTRVAAQRRVVTEELEVKLEYLIIRQRLRVHVDGCLGFDWASFVRHSYSTLAESEALLTQKLGSVSRHLILLLCILGVAFAWCLYTVALRPRPPYFGYFLPSWELYGEGSLLNFLQTAFTVLGQSHPNYSGCPSSIDPGPPGPPAGGLWTPLLTMCAMLVSALVNLLLLFRLLSFYSETGAGFQGGIRKLTWAWPQLSRLGEKTKEKSRRLLRAWRDTKTGSGYVVQDRSANRQRHARRAQMPWPLERSPHPDQLETQTVETFAKRSRGGDEEEEELAGWPLVGPDRYRLTAASPLENLVSSLSPGPSSCPAGRAERPALWGRSSGWAESELTPNEEM
+>sp|P82094|TMF1_HUMAN TATA element modulatory factor OS=Homo sapiens OX=9606 GN=TMF1 PE=1 SV=2
+MSWFNASQLSSFAKQALSQAQKSIDRVLDIQEEEPSIWAETIPYGEPGISSPVSGGWDTSTWGLKSNTEPQSPPIASPKAITKPVRRTVVDESENFFSAFLSPTDVQTIQKSPVVSKPPAKSQRPEEEVKSSLHESLHIGQSRTPETTESQVKDSSLCVSGETLAAGTSSPKTEGKHEETVNKESDMKVPTVSLKVSESVIDVKTTMESISNTSTQSLTAETKDIALEPKEQKHEDRQSNTPSPPVSTFSSGTSTTSDIEVLDHESVISESSASSRQETTDSKSSLHLMQTSFQLLSASACPEYNRLDDFQKLTESCCSSDAFERIDSFSVQSLDSRSVSEINSDDELSGKGYALVPIIVNSSTPKSKTVESAEGKSEEVNETLVIPTEEAEMEESGRSATPVNCEQPDILVSSTPINEGQTVLDKVAEQCEPAESQPEALSEKEDVCKTVEFLNEKLEKREAQLLSLSKEKALLEEAFDNLKDEMFRVKEESSSISSLKDEFTQRIAEAEKKVQLACKERDAAKKEIKNIKEELATRLNSSETADLLKEKDEQIRGLMEEGEKLSKQQLHNSNIIKKLRAKDKENENMVAKLNKKVKELEEELQHLKQVLDGKEEVEKQHRENIKKLNSMVERQEKDLGRLQVDMDELEEKNRSIQAALDSAYKELTDLHKANAAKDSEAQEAALSREMKAKEELSAALEKAQEEARQQQETLAIQVGDLRLALQRTEQAAARKEDYLRHEIGELQQRLQEAENRNQELSQSVSSTTRPLLRQIENLQATLGSQTSSWEKLEKNLSDRLGESQTLLAAAVERERAATEELLANKIQMSSMESQNSLLRQENSRFQAQLESEKNRLCKLEDENNRYQVELENLKDEYVRTLEETRKEKTLLNSQLEMERMKVEQERKKAIFTQETIKEKERKPFSVSSTPTMSRSSSISGVDMAGLQTSFLSQDESHDHSFGPMPISANGSNLYDAVRMGAGSSIIENLQSQLKLREGEITHLQLEIGNLEKTRSIMAEELVKLTNQNDELEEKVKEIPKLRTQLRDLDQRYNTILQMYGEKAEEAEELRLDLEDVKNMYKTQIDELLRQSLS
+>DECOY_sp|P82094|TMF1_HUMAN TATA element modulatory factor OS=Homo sapiens OX=9606 GN=TMF1 PE=1 SV=2
+SLSQRLLEDIQTKYMNKVDELDLRLEEAEEAKEGYMQLITNYRQDLDRLQTRLKPIEKVKEELEDNQNTLKVLEEAMISRTKELNGIELQLHTIEGERLKLQSQLNEIISSGAGMRVADYLNSGNASIPMPGFSHDHSEDQSLFSTQLGAMDVGSISSSRSMTPTSSVSFPKREKEKITEQTFIAKKREQEVKMREMELQSNLLTKEKRTEELTRVYEDKLNELEVQYRNNEDELKCLRNKESELQAQFRSNEQRLLSNQSEMSSMQIKNALLEETAAREREVAAALLTQSEGLRDSLNKELKEWSSTQSGLTAQLNEIQRLLPRTTSSVSQSLEQNRNEAEQLRQQLEGIEHRLYDEKRAAAQETRQLALRLDGVQIALTEQQQRAEEQAKELAASLEEKAKMERSLAAEQAESDKAANAKHLDTLEKYASDLAAQISRNKEELEDMDVQLRGLDKEQREVMSNLKKINERHQKEVEEKGDLVQKLHQLEEELEKVKKNLKAVMNENEKDKARLKKIINSNHLQQKSLKEGEEMLGRIQEDKEKLLDATESSNLRTALEEKINKIEKKAADREKCALQVKKEAEAIRQTFEDKLSSISSSEEKVRFMEDKLNDFAEELLAKEKSLSLLQAERKELKENLFEVTKCVDEKESLAEPQSEAPECQEAVKDLVTQGENIPTSSVLIDPQECNVPTASRGSEEMEAEETPIVLTENVEESKGEASEVTKSKPTSSNVIIPVLAYGKGSLEDDSNIESVSRSDLSQVSFSDIREFADSSCCSETLKQFDDLRNYEPCASASLLQFSTQMLHLSSKSDTTEQRSSASSESIVSEHDLVEIDSTTSTGSSFTSVPPSPTNSQRDEHKQEKPELAIDKTEATLSQTSTNSISEMTTKVDIVSESVKLSVTPVKMDSEKNVTEEHKGETKPSSTGAALTEGSVCLSSDKVQSETTEPTRSQGIHLSEHLSSKVEEEPRQSKAPPKSVVPSKQITQVDTPSLFASFFNESEDVVTRRVPKTIAKPSAIPPSQPETNSKLGWTSTDWGGSVPSSIGPEGYPITEAWISPEEEQIDLVRDISKQAQSLAQKAFSSLQSANFWSM
+>sp|O14668|TMG1_HUMAN Transmembrane gamma-carboxyglutamic acid protein 1 OS=Homo sapiens OX=9606 GN=PRRG1 PE=1 SV=1
+MGRVFLTGEKANSILKRYPRANGFFEEIRQGNIERECKEEFCTFEEAREAFENNEKTKEFWSTYTKAQQGESNRGSDWFQFYLTFPLIFGLFIILLVIFLIWRCFLRNKTRRQTVTEGHIPFPQHLNIITPPPPPDEVFDSSGLSPGFLGYVVGRSDSVSTRLSNCDPPPTYEEATGQVNLQRSETEPHLDPPPEYEDIVNSNSASAIPMVPVVTTIK
+>DECOY_sp|O14668|TMG1_HUMAN Transmembrane gamma-carboxyglutamic acid protein 1 OS=Homo sapiens OX=9606 GN=PRRG1 PE=1 SV=1
+KITTVVPVMPIASASNSNVIDEYEPPPDLHPETESRQLNVQGTAEEYTPPPDCNSLRTSVSDSRGVVYGLFGPSLGSSDFVEDPPPPPTIINLHQPFPIHGETVTQRRTKNRLFCRWILFIVLLIIFLGFILPFTLYFQFWDSGRNSEGQQAKTYTSWFEKTKENNEFAERAEEFTCFEEKCEREINGQRIEEFFGNARPYRKLISNAKEGTLFVRGM
+>sp|Q9BZD6|TMG4_HUMAN Transmembrane gamma-carboxyglutamic acid protein 4 OS=Homo sapiens OX=9606 GN=PRRG4 PE=1 SV=1
+MFTLLVLLSQLPTVTLGFPHCARGPKASKHAGEEVFTSKEEANFFIHRRLLYNRFDLELFTPGNLERECNEELCNYEEAREIFVDEDKTIAFWQEYSAKGPTTKSDGNREKIDVMGLLTGLIAAGVFLVIFGLLGYYLCITKCNRLQHPCSSAVYERGRHTPSIIFRRPEEAALSPLPPSVEDAGLPSYEQAVALTRKHSVSPPPPYPGHTKGFRVFKKSMSLPSH
+>DECOY_sp|Q9BZD6|TMG4_HUMAN Transmembrane gamma-carboxyglutamic acid protein 4 OS=Homo sapiens OX=9606 GN=PRRG4 PE=1 SV=1
+HSPLSMSKKFVRFGKTHGPYPPPPSVSHKRTLAVAQEYSPLGADEVSPPLPSLAAEEPRRFIISPTHRGREYVASSCPHQLRNCKTICLYYGLLGFIVLFVGAAILGTLLGMVDIKERNGDSKTTPGKASYEQWFAITKDEDVFIERAEEYNCLEENCERELNGPTFLELDFRNYLLRRHIFFNAEEKSTFVEEGAHKSAKPGRACHPFGLTVTPLQSLLVLLTFM
+>sp|Q6UXZ0|TMIG1_HUMAN Transmembrane and immunoglobulin domain-containing protein 1 OS=Homo sapiens OX=9606 GN=TMIGD1 PE=1 SV=1
+MAWKSSVIMQMGRFLLLVILFLPREMTSSVLTVNGKTENYILDTTPGSQASLICAVQNHTREEELLWYREEGRVDLKSGNKINSSSVCVSSISENDNGISFTCRLGRDQSVSVSVVLNVTFPPLLSGNDFQTVEEGSNVKLVCNVKANPQAQMMWYKNSSLLDLEKSRHQIQQTSESFQLSITKVEKPDNGTYSCIAKSSLKTESLDFHLIVKDKTVGVPIEPIIAACVVIFLTLCFGLIARRKKIMKLCMKDKDPHSETAL
+>DECOY_sp|Q6UXZ0|TMIG1_HUMAN Transmembrane and immunoglobulin domain-containing protein 1 OS=Homo sapiens OX=9606 GN=TMIGD1 PE=1 SV=1
+LATESHPDKDKMCLKMIKKRRAILGFCLTLFIVVCAAIIPEIPVGVTKDKVILHFDLSETKLSSKAICSYTGNDPKEVKTISLQFSESTQQIQHRSKELDLLSSNKYWMMQAQPNAKVNCVLKVNSGEEVTQFDNGSLLPPFTVNLVVSVSVSQDRGLRCTFSIGNDNESISSVCVSSSNIKNGSKLDVRGEERYWLLEEERTHNQVACILSAQSGPTTDLIYNETKGNVTLVSSTMERPLFLIVLLLFRGMQMIVSSKWAM
+>sp|Q96BF3|TMIG2_HUMAN Transmembrane and immunoglobulin domain-containing protein 2 OS=Homo sapiens OX=9606 GN=TMIGD2 PE=1 SV=2
+MGSPGMVLGLLVQIWALQEASSLSVQQGPNLLQVRQGSQATLVCQVDQATAWERLRVKWTKDGAILCQPYITNGSLSLGVCGPQGRLSWQAPSHLTLQLDPVSLNHSGAYVCWAAVEIPELEEAEGNITRLFVDPDDPTQNRNRIASFPGFLFVLLGVGSMGVAAIVWGAWFWGRRSCQQRDSGNSPGNAFYSNVLYRPRGAPKKSEDCSGEGKDQRGQSIYSTSFPQPAPRQPHLASRPCPSPRPCPSPRPGHPVSMVRVSPRPSPTQQPRPKGFPKVGEE
+>DECOY_sp|Q96BF3|TMIG2_HUMAN Transmembrane and immunoglobulin domain-containing protein 2 OS=Homo sapiens OX=9606 GN=TMIGD2 PE=1 SV=2
+EEGVKPFGKPRPQQTPSPRPSVRVMSVPHGPRPSPCPRPSPCPRSALHPQRPAPQPFSTSYISQGRQDKGEGSCDESKKPAGRPRYLVNSYFANGPSNGSDRQQCSRRGWFWAGWVIAAVGMSGVGLLVFLFGPFSAIRNRNQTPDDPDVFLRTINGEAEELEPIEVAAWCVYAGSHNLSVPDLQLTLHSPAQWSLRGQPGCVGLSLSGNTIYPQCLIAGDKTWKVRLREWATAQDVQCVLTAQSGQRVQLLNPGQQVSLSSAEQLAWIQVLLGLVMGPSGM
+>sp|Q96B42|TMM18_HUMAN Transmembrane protein 18 OS=Homo sapiens OX=9606 GN=TMEM18 PE=1 SV=2
+MPSAFSVSSFPVSIPAVLTQTDWTEPWLMGLATFHALCVLLTCLSSRSYRLQIGHFLCLVILVYCAEYINEAAAMNWRLFSKYQYFDSRGMFISIVFSAPLLVNAMIIVVMWVWKTLNVMTDLKNAQERRKEKKRRRKED
+>DECOY_sp|Q96B42|TMM18_HUMAN Transmembrane protein 18 OS=Homo sapiens OX=9606 GN=TMEM18 PE=1 SV=2
+DEKRRRKKEKRREQANKLDTMVNLTKWVWMVVIIMANVLLPASFVISIFMGRSDFYQYKSFLRWNMAAAENIYEACYVLIVLCLFHGIQLRYSRSSLCTLLVCLAHFTALGMLWPETWDTQTLVAPISVPFSSVSFASPM
+>sp|Q96HH6|TMM19_HUMAN Transmembrane protein 19 OS=Homo sapiens OX=9606 GN=TMEM19 PE=1 SV=1
+MTDLNDNICKRYIKMITNIVILSLIICISLAFWIISMTASTYYGNLRPISPWRWLFSVVVPVLIVSNGLKKKSLDHSGALGGLVVGFILTIANFSFFTSLLMFFLSSSKLTKWKGEVKKRLDSEYKEGGQRNWVQVFCNGAVPTELALLYMIENGPGEIPVDFSKQYSASWMCLSLLAALACSAGDTWASEVGPVLSKSSPRLITTWEKVPVGTNGGVTVVGLVSSLLGGTFVGIAYFLTQLIFVNDLDISAPQWPIIAFGGLAGLLGSIVDSYLGATMQYTGLDESTGMVVNSPTNKARHIAGKPILDNNAVNLFSSVLIALLLPTAAWGFWPRG
+>DECOY_sp|Q96HH6|TMM19_HUMAN Transmembrane protein 19 OS=Homo sapiens OX=9606 GN=TMEM19 PE=1 SV=1
+GRPWFGWAATPLLLAILVSSFLNVANNDLIPKGAIHRAKNTPSNVVMGTSEDLGTYQMTAGLYSDVISGLLGALGGFAIIPWQPASIDLDNVFILQTLFYAIGVFTGGLLSSVLGVVTVGGNTGVPVKEWTTILRPSSKSLVPGVESAWTDGASCALAALLSLCMWSASYQKSFDVPIEGPGNEIMYLLALETPVAGNCFVQVWNRQGGEKYESDLRKKVEGKWKTLKSSSLFFMLLSTFFSFNAITLIFGVVLGGLAGSHDLSKKKLGNSVILVPVVVSFLWRWPSIPRLNGYYTSATMSIIWFALSICIILSLIVINTIMKIYRKCINDNLDTM
+>sp|Q6ZUK4|TMM26_HUMAN Transmembrane protein 26 OS=Homo sapiens OX=9606 GN=TMEM26 PE=1 SV=1
+MEGLVFLNALATRLLFLLHSLVGVWRVTEVKKEPRYWLLALLNLLLFLETALTLKFKRGRGYKWFSPAIFLYLISIVPSLWLLELHHETQYCSIQAEGTSQNTSRKEDFNQTLTSNEQTSRADDLIETAKVFVNNLSTVCEKVWTLGLHQTFLLMLIIGRWLLPIGGGITRDQLSQLLLMFVGTAADILEFTSETLEEQNVRNSPALVYAILVIWTWSMLQFPLDLAVQNVVCPVSVTERGFPSLFFCQYSADLWNIGISVFIQDGPFLVVRLILMTYFKVINQMLVFFAAKNFLVVVLQLYRLVVLALAVRASLRSQSEGLKGEHGCRAQTSESGPSQRDWQNESKEGLAIPLRGSPVTSDDSHHTP
+>DECOY_sp|Q6ZUK4|TMM26_HUMAN Transmembrane protein 26 OS=Homo sapiens OX=9606 GN=TMEM26 PE=1 SV=1
+PTHHSDDSTVPSGRLPIALGEKSENQWDRQSPGSESTQARCGHEGKLGESQSRLSARVALALVVLRYLQLVVVLFNKAAFFVLMQNIVKFYTMLILRVVLFPGDQIFVSIGINWLDASYQCFFLSPFGRETVSVPCVVNQVALDLPFQLMSWTWIVLIAYVLAPSNRVNQEELTESTFELIDAATGVFMLLLQSLQDRTIGGGIPLLWRGIILMLLFTQHLGLTWVKECVTSLNNVFVKATEILDDARSTQENSTLTQNFDEKRSTNQSTGEAQISCYQTEHHLELLWLSPVISILYLFIAPSFWKYGRGRKFKLTLATELFLLLNLLALLWYRPEKKVETVRWVGVLSHLLFLLRTALANLFVLGEM
+>sp|Q9HBJ8|TMM27_HUMAN Collectrin OS=Homo sapiens OX=9606 GN=TMEM27 PE=1 SV=1
+MLWLLFFLVTAIHAELCQPGAENAFKVRLSIRTALGDKAYAWDTNEEYLFKAMVAFSMRKVPNREATEISHVLLCNVTQRVSFWFVVTDPSKNHTLPAVEVQSAIRMNKNRINNAFFLNDQTLEFLKIPSTLAPPMDPSVPIWIIIFGVIFCIIIVAIALLILSGIWQRRRKNKEPSEVDDAEDKCENMITIENGIPSDPLDMKGGHINDAFMTEDERLTPL
+>DECOY_sp|Q9HBJ8|TMM27_HUMAN Collectrin OS=Homo sapiens OX=9606 GN=TMEM27 PE=1 SV=1
+LPTLREDETMFADNIHGGKMDLPDSPIGNEITIMNECKDEADDVESPEKNKRRRQWIGSLILLAIAVIIICFIVGFIIIWIPVSPDMPPALTSPIKLFELTQDNLFFANNIRNKNMRIASQVEVAPLTHNKSPDTVVFWFSVRQTVNCLLVHSIETAERNPVKRMSFAVMAKFLYEENTDWAYAKDGLATRISLRVKFANEAGPQCLEAHIATVLFFLLWLM
+>sp|Q8WWA1|TMM40_HUMAN Transmembrane protein 40 OS=Homo sapiens OX=9606 GN=TMEM40 PE=1 SV=2
+METSASSSQPQDNSQVHRETEDVDYGETDFHKQDGKAGLFSQEQYERNKSSSSSSSSSSSSSSSSSSSSSESNDEDQQPRATGKHRRSLGAGYPHGNGSPGPGHGEPDVLKDELQLYGDAPGEVVPSGESGLRRRGSDPASGEVEASQLRRLNIKKDDEFFHFVLLCFAIGALLVCYHYYADWFMSLGVGLLTFASLETVGIYFGLVYRIHSVLQGFIPLFQKFRLTGFRKTD
+>DECOY_sp|Q8WWA1|TMM40_HUMAN Transmembrane protein 40 OS=Homo sapiens OX=9606 GN=TMEM40 PE=1 SV=2
+DTKRFGTLRFKQFLPIFGQLVSHIRYVLGFYIGVTELSAFTLLGVGLSMFWDAYYHYCVLLAGIAFCLLVFHFFEDDKKINLRRLQSAEVEGSAPDSGRRRLGSEGSPVVEGPADGYLQLEDKLVDPEGHGPGPSGNGHPYGAGLSRRHKGTARPQQDEDNSESSSSSSSSSSSSSSSSSSSSSKNREYQEQSFLGAKGDQKHFDTEGYDVDETERHVQSNDQPQSSSASTEM
+>sp|Q9NW97|TMM51_HUMAN Transmembrane protein 51 OS=Homo sapiens OX=9606 GN=TMEM51 PE=1 SV=1
+MMAQSKANGSHYALTAIGLGMLVLGVIMAMWNLVPGFSAAEKPTAQGSNKTEVGGGILKSKTFSVAYVLVGAGVMLLLLSICLSIRDKRKQRQGEDLAHVQHPTGAGPHAQEEDSQEEEEEDEEAASRYYVPSYEEVMNTNYSEARGEEQNPRLSISLPSYESLTGLDETTPTSTRADVEASPGNPPDRQNSKLAKRLKPLKVRRIKSEKLHLKDFRINLPDKNVPPPSIEPLTPPPQYDEVQEKAPDTRPPD
+>DECOY_sp|Q9NW97|TMM51_HUMAN Transmembrane protein 51 OS=Homo sapiens OX=9606 GN=TMEM51 PE=1 SV=1
+DPPRTDPAKEQVEDYQPPPTLPEISPPPVNKDPLNIRFDKLHLKESKIRRVKLPKLRKALKSNQRDPPNGPSAEVDARTSTPTTEDLGTLSEYSPLSISLRPNQEEGRAESYNTNMVEEYSPVYYRSAAEEDEEEEEQSDEEQAHPGAGTPHQVHALDEGQRQKRKDRISLCISLLLLMVGAGVLVYAVSFTKSKLIGGGVETKNSGQATPKEAASFGPVLNWMAMIVGLVLMGLGIATLAYHSGNAKSQAMM
+>sp|Q9NRS4|TMPS4_HUMAN Transmembrane protease serine 4 OS=Homo sapiens OX=9606 GN=TMPRSS4 PE=1 SV=2
+MLQDPDSDQPLNSLDVKPLRKPRIPMETFRKVGIPIIIALLSLASIIIVVVLIKVILDKYYFLCGQPLHFIPRKQLCDGELDCPLGEDEEHCVKSFPEGPAVAVRLSKDRSTLQVLDSATGNWFSACFDNFTEALAETACRQMGYSSKPTFRAVEIGPDQDLDVVEITENSQELRMRNSSGPCLSGSLVSLHCLACGKSLKTPRVVGVEEASVDSWPWQVSIQYDKQHVCGGSILDPHWVLTAAHCFRKHTDVFNWKVRAGSDKLGSFPSLAVAKIIIIEFNPMYPKDNDIALMKLQFPLTFSGTVRPICLPFFDEELTPATPLWIIGWGFTKQNGGKMSDILLQASVQVIDSTRCNADDAYQGEVTEKMMCAGIPEGGVDTCQGDSGGPLMYQSDQWHVVGIVSWGYGCGGPSTPGVYTKVSAYLNWIYNVWKAEL
+>DECOY_sp|Q9NRS4|TMPS4_HUMAN Transmembrane protease serine 4 OS=Homo sapiens OX=9606 GN=TMPRSS4 PE=1 SV=2
+LEAKWVNYIWNLYASVKTYVGPTSPGGCGYGWSVIGVVHWQDSQYMLPGGSDGQCTDVGGEPIGACMMKETVEGQYADDANCRTSDIVQVSAQLLIDSMKGGNQKTFGWGIIWLPTAPTLEEDFFPLCIPRVTGSFTLPFQLKMLAIDNDKPYMPNFEIIIIKAVALSPFSGLKDSGARVKWNFVDTHKRFCHAATLVWHPDLISGGCVHQKDYQISVQWPWSDVSAEEVGVVRPTKLSKGCALCHLSVLSGSLCPGSSNRMRLEQSNETIEVVDLDQDPGIEVARFTPKSSYGMQRCATEALAETFNDFCASFWNGTASDLVQLTSRDKSLRVAVAPGEPFSKVCHEEDEGLPCDLEGDCLQKRPIFHLPQGCLFYYKDLIVKILVVVIIISALSLLAIIIPIGVKRFTEMPIRPKRLPKVDLSNLPQDSDPDQLM
+>sp|Q8IU80|TMPS6_HUMAN Transmembrane protease serine 6 OS=Homo sapiens OX=9606 GN=TMPRSS6 PE=1 SV=3
+MLLLFHSKRMPVAEAPQVAGGQGDGGDGEEAEPEGMFKACEDSKRKARGYLRLVPLFVLLALLVLASAGVLLWYFLGYKAEVMVSQVYSGSLRVLNRHFSQDLTRRESSAFRSETAKAQKMLKELITSTRLGTYYNSSSVYSFGEGPLTCFFWFILQIPEHRRLMLSPEVVQALLVEELLSTVNSSAAVPYRAEYEVDPEGLVILEASVKDIAALNSTLGCYRYSYVGQGQVLRLKGPDHLASSCLWHLQGPKDLMLKLRLEWTLAECRDRLAMYDVAGPLEKRLITSVYGCSRQEPVVEVLASGAIMAVVWKKGLHSYYDPFVLSVQPVVFQACEVNLTLDNRLDSQGVLSTPYFPSYYSPQTHCSWHLTVPSLDYGLALWFDAYALRRQKYDLPCTQGQWTIQNRRLCGLRILQPYAERIPVVATAGITINFTSQISLTGPGVRVHYGLYNQSDPCPGEFLCSVNGLCVPACDGVKDCPNGLDERNCVCRATFQCKEDSTCISLPKVCDGQPDCLNGSDEEQCQEGVPCGTFTFQCEDRSCVKKPNPQCDGRPDCRDGSDEEHCDCGLQGPSSRIVGGAVSSEGEWPWQASLQVRGRHICGGALIADRWVITAAHCFQEDSMASTVLWTVFLGKVWQNSRWPGEVSFKVSRLLLHPYHEEDSHDYDVALLQLDHPVVRSAAVRPVCLPARSHFFEPGLHCWITGWGALREGGPISNALQKVDVQLIPQDLCSEVYRYQVTPRMLCAGYRKGKKDACQGDSGGPLVCKALSGRWFLAGLVSWGLGCGRPNYFGVYTRITGVISWIQQVVT
+>DECOY_sp|Q8IU80|TMPS6_HUMAN Transmembrane protease serine 6 OS=Homo sapiens OX=9606 GN=TMPRSS6 PE=1 SV=3
+TVVQQIWSIVGTIRTYVGFYNPRGCGLGWSVLGALFWRGSLAKCVLPGGSDGQCADKKGKRYGACLMRPTVQYRYVESCLDQPILQVDVKQLANSIPGGERLAGWGTIWCHLGPEFFHSRAPLCVPRVAASRVVPHDLQLLAVDYDHSDEEHYPHLLLRSVKFSVEGPWRSNQWVKGLFVTWLVTSAMSDEQFCHAATIVWRDAILAGGCIHRGRVQLSAQWPWEGESSVAGGVIRSSPGQLGCDCHEEDSGDRCDPRGDCQPNPKKVCSRDECQFTFTGCPVGEQCQEEDSGNLCDPQGDCVKPLSICTSDEKCQFTARCVCNREDLGNPCDKVGDCAPVCLGNVSCLFEGPCPDSQNYLGYHVRVGPGTLSIQSTFNITIGATAVVPIREAYPQLIRLGCLRRNQITWQGQTCPLDYKQRRLAYADFWLALGYDLSPVTLHWSCHTQPSYYSPFYPTSLVGQSDLRNDLTLNVECAQFVVPQVSLVFPDYYSHLGKKWVVAMIAGSALVEVVPEQRSCGYVSTILRKELPGAVDYMALRDRCEALTWELRLKLMLDKPGQLHWLCSSALHDPGKLRLVQGQGVYSYRYCGLTSNLAAIDKVSAELIVLGEPDVEYEARYPVAASSNVTSLLEEVLLAQVVEPSLMLRRHEPIQLIFWFFCTLPGEGFSYVSSSNYYTGLRTSTILEKLMKQAKATESRFASSERRTLDQSFHRNLVRLSGSYVQSVMVEAKYGLFYWLLVGASALVLLALLVFLPVLRLYGRAKRKSDECAKFMGEPEAEEGDGGDGQGGAVQPAEAVPMRKSHFLLLM
+>sp|Q7Z410|TMPS9_HUMAN Transmembrane protease serine 9 OS=Homo sapiens OX=9606 GN=TMPRSS9 PE=1 SV=2
+MEPTVADVHLVPRTTKEVPALDAACCRAASIGVVATSLVVLTLGVLLAFLSTQGFHVDHTAELRGIRWTSSLRRETSDYHRTLTPTLEALLHFLLRPLQTLSLGLEEELLQRGIRARLREHGISLAAYGTIVSAELTGRHKGPLAERDFKSGRCPGNSFSCGNSQCVTKVNPECDDQEDCSDGSDEAHCECGLQPAWRMAGRIVGGMEASPGEFPWQASLRENKEHFCGAAIINARWLVSAAHCFNEFQDPTKWVAYVGATYLSGSEASTVRAQVVQIVKHPLYNADTADFDVAVLELTSPLPFGRHIQPVCLPAATHIFPPSKKCLISGWGYLKEDFLVKPEVLQKATVELLDQALCASLYGHSLTDRMVCAGYLDGKVDSCQGDSGGPLVCEEPSGRFFLAGIVSWGIGCAEARRPGVYARVTRLRDWILEATTKASMPLAPTMAPAPAAPSTAWPTSPESPVVSTPTKSMQALSTVPLDWVTVPKLQECGARPAMEKPTRVVGGFGAASGEVPWQVSLKEGSRHFCGATVVGDRWLLSAAHCFNHTKVEQVRAHLGTASLLGLGGSPVKIGLRRVVLHPLYNPGILDFDLAVLELASPLAFNKYIQPVCLPLAIQKFPVGRKCMISGWGNTQEGNATKPELLQKASVGIIDQKTCSVLYNFSLTDRMICAGFLEGKVDSCQGDSGGPLACEEAPGVFYLAGIVSWGIGCAQVKKPGVYTRITRLKGWILEIMSSQPLPMSPPSTTRMLATTSPRTTAGLTVPGATPSRPTPGAASRVTGQPANSTLSAVSTTARGQTPFPDAPEATTHTQLPDCGLAPAALTRIVGGSAAGRGEWPWQVSLWLRRREHRCGAVLVAERWLLSAAHCFDVYGDPKQWAAFLGTPFLSGAEGQLERVARIYKHPFYNLYTLDYDVALLELAGPVRRSRLVRPICLPEPAPRPPDGTRCVITGWGSVREGGSMARQLQKAAVRLLSEQTCRRFYPVQISSRMLCAGFPQGGVDSCSGDAGGPLACREPSGRWVLTGVTSWGYGCGRPHFPGVYTRVAAVRGWIGQHIQE
+>DECOY_sp|Q7Z410|TMPS9_HUMAN Transmembrane protease serine 9 OS=Homo sapiens OX=9606 GN=TMPRSS9 PE=1 SV=2
+EQIHQGIWGRVAAVRTYVGPFHPRGCGYGWSTVGTLVWRGSPERCALPGGADGSCSDVGGQPFGACLMRSSIQVPYFRRCTQESLLRVAAKQLQRAMSGGERVSGWGTIVCRTGDPPRPAPEPLCIPRVLRSRRVPGALELLAVDYDLTYLNYFPHKYIRAVRELQGEAGSLFPTGLFAAWQKPDGYVDFCHAASLLWREAVLVAGCRHERRRLWLSVQWPWEGRGAASGGVIRTLAAPALGCDPLQTHTTAEPADPFPTQGRATTSVASLTSNAPQGTVRSAAGPTPRSPTAGPVTLGATTRPSTTALMRTTSPPSMPLPQSSMIELIWGKLRTIRTYVGPKKVQACGIGWSVIGALYFVGPAEECALPGGSDGQCSDVKGELFGACIMRDTLSFNYLVSCTKQDIIGVSAKQLLEPKTANGEQTNGWGSIMCKRGVPFKQIALPLCVPQIYKNFALPSALELVALDFDLIGPNYLPHLVVRRLGIKVPSGGLGLLSATGLHARVQEVKTHNFCHAASLLWRDGVVTAGCFHRSGEKLSVQWPVEGSAAGFGGVVRTPKEMAPRAGCEQLKPVTVWDLPVTSLAQMSKTPTSVVPSEPSTPWATSPAAPAPAMTPALPMSAKTTAELIWDRLRTVRAYVGPRRAEACGIGWSVIGALFFRGSPEECVLPGGSDGQCSDVKGDLYGACVMRDTLSHGYLSACLAQDLLEVTAKQLVEPKVLFDEKLYGWGSILCKKSPPFIHTAAPLCVPQIHRGFPLPSTLELVAVDFDATDANYLPHKVIQVVQARVTSAESGSLYTAGVYAVWKTPDQFENFCHAASVLWRANIIAAGCFHEKNERLSAQWPFEGPSAEMGGVIRGAMRWAPQLGCECHAEDSGDSCDEQDDCEPNVKTVCQSNGCSFSNGPCRGSKFDREALPGKHRGTLEASVITGYAALSIGHERLRARIGRQLLEEELGLSLTQLPRLLFHLLAELTPTLTRHYDSTERRLSSTWRIGRLEATHDVHFGQTSLFALLVGLTLVVLSTAVVGISAARCCAADLAPVEKTTRPVLHVDAVTPEM
+>sp|Q9BYE2|TMPSD_HUMAN Transmembrane protease serine 13 OS=Homo sapiens OX=9606 GN=TMPRSS13 PE=2 SV=4
+MERDSHGNASPARTPSAGASPAQASPAGTPPGRASPAQASPAQASPAGTPPGRASPAQASPAGTPPGRASPGRASPAQASPAQASPARASPALASLSRSSSGRSSSARSASVTTSPTRVYLVRATPVGAVPIRSSPARSAPATRATRESPGTSLPKFTWREGQKQLPLIGCVLLLIALVVSLIILFQFWQGHTGIRYKEQRESCPKHAVRCDGVVDCKLKSDELGCVRFDWDKSLLKIYSGSSHQWLPICSSNWNDSYSEKTCQQLGFESAHRTTEVAHRDFANSFSILRYNSTIQESLHRSECPSQRYISLQCSHCGLRAMTGRIVGGALASDSKWPWQVSLHFGTTHICGGTLIDAQWVLTAAHCFFVTREKVLEGWKVYAGTSNLHQLPEAASIAEIIINSNYTDEEDDYDIALMRLSKPLTLSAHIHPACLPMHGQTFSLNETCWITGFGKTRETDDKTSPFLREVQVNLIDFKKCNDYLVYDSYLTPRMMCAGDLRGGRDSCQGDSGGPLVCEQNNRWYLAGVTSWGTGCGQRNKPGVYTKVTEVLPWIYSKMEVRSLQQDTAPSRLGTSSGGDPGGAPRV
+>DECOY_sp|Q9BYE2|TMPSD_HUMAN Transmembrane protease serine 13 OS=Homo sapiens OX=9606 GN=TMPRSS13 PE=2 SV=4
+VRPAGGPDGGSSTGLRSPATDQQLSRVEMKSYIWPLVETVKTYVGPKNRQGCGTGWSTVGALYWRNNQECVLPGGSDGQCSDRGGRLDGACMMRPTLYSDYVLYDNCKKFDILNVQVERLFPSTKDDTERTKGFGTIWCTENLSFTQGHMPLCAPHIHASLTLPKSLRMLAIDYDDEEDTYNSNIIIEAISAAEPLQHLNSTGAYVKWGELVKERTVFFCHAATLVWQADILTGGCIHTTGFHLSVQWPWKSDSALAGGVIRGTMARLGCHSCQLSIYRQSPCESRHLSEQITSNYRLISFSNAFDRHAVETTRHASEFGLQQCTKESYSDNWNSSCIPLWQHSSGSYIKLLSKDWDFRVCGLEDSKLKCDVVGDCRVAHKPCSERQEKYRIGTHGQWFQFLIILSVVLAILLLVCGILPLQKQGERWTFKPLSTGPSERTARTAPASRAPSSRIPVAGVPTARVLYVRTPSTTVSASRASSSRGSSSRSLSALAPSARAPSAQAPSAQAPSARGPSARGPPTGAPSAQAPSARGPPTGAPSAQAPSAQAPSARGPPTGAPSAQAPSAGASPTRAPSANGHSDREM
+>sp|Q5T4D3|TMTC4_HUMAN Transmembrane and TPR repeat-containing protein 4 OS=Homo sapiens OX=9606 GN=TMTC4 PE=2 SV=2
+MAVLDTDLDHILPSSVLPPFWAKLVVGSVAIVCFARSYDGDFVFDDSEAIVNNKDLQAETPLGDLWHHDFWGSRLSSNTSHKSYRPLTVLTFRINYYLSGGFHPVGFHVVNILLHSGISVLMVDVFSVLFGGLQYTSKGRRLHLAPRASLLAALLFAVHPVHTECVAGVVGRADLLCALFFLLSFLGYCKAFRESNKEGAHSSTFWVLLSIFLGAVAMLCKEQGITVLGLNAVFDILVIGKFNVLEIVQKVLHKDKSLENLGMLRNGGLLFRMTLLTSGGAGMLYVRWRIMGTGPPAFTEVDNPASFADSMLVRAVNYNYYYSLNAWLLLCPWWLCFDWSMGCIPLIKSISDWRVIALAALWFCLIGLICQALCSEDGHKRRILTLGLGFLVIPFLPASNLFFRVGFVVAERVLYLPSVGYCVLLTFGFGALSKHTKKKKLIAAVVLGILFINTLRCVLRSGEWRSEEQLFRSALSVCPLNAKVHYNIGKNLADKGNQTAAIRYYREAVRLNPKYVHAMNNLGNILKERNELQEAEELLSLAVQIQPDFAAAWMNLGIVQNSLKRFEAAEQSYRTAIKHRRKYPDCYYNLGRLYADLNRHVDALNAWRNATVLKPEHSLAWNNMIILLDNTGNLAQAEAVGREALELIPNDHSLMFSLANVLGKSQKYKESEALFLKAIKANPNAASYHGNLAVLYHRWGHLDLAKKHYEISLQLDPTASGTKENYGLLRRKLELMQKKAV
+>DECOY_sp|Q5T4D3|TMTC4_HUMAN Transmembrane and TPR repeat-containing protein 4 OS=Homo sapiens OX=9606 GN=TMTC4 PE=2 SV=2
+VAKKQMLELKRRLLGYNEKTGSATPDLQLSIEYHKKALDLHGWRHYLVALNGHYSAANPNAKIAKLFLAESEKYKQSKGLVNALSFMLSHDNPILELAERGVAEAQALNGTNDLLIIMNNWALSHEPKLVTANRWANLADVHRNLDAYLRGLNYYCDPYKRRHKIATRYSQEAAEFRKLSNQVIGLNMWAAAFDPQIQVALSLLEEAEQLENREKLINGLNNMAHVYKPNLRVAERYYRIAATQNGKDALNKGINYHVKANLPCVSLASRFLQEESRWEGSRLVCRLTNIFLIGLVVAAILKKKKTHKSLAGFGFTLLVCYGVSPLYLVREAVVFGVRFFLNSAPLFPIVLFGLGLTLIRRKHGDESCLAQCILGILCFWLAALAIVRWDSISKILPICGMSWDFCLWWPCLLLWANLSYYYNYNVARVLMSDAFSAPNDVETFAPPGTGMIRWRVYLMGAGGSTLLTMRFLLGGNRLMGLNELSKDKHLVKQVIELVNFKGIVLIDFVANLGLVTIGQEKCLMAVAGLFISLLVWFTSSHAGEKNSERFAKCYGLFSLLFFLACLLDARGVVGAVCETHVPHVAFLLAALLSARPALHLRRGKSTYQLGGFLVSFVDVMLVSIGSHLLINVVHFGVPHFGGSLYYNIRFTLVTLPRYSKHSTNSSLRSGWFDHHWLDGLPTEAQLDKNNVIAESDDFVFDGDYSRAFCVIAVSGVVLKAWFPPLVSSPLIHDLDTDLVAM
+>sp|Q9BVT8|TMUB1_HUMAN Transmembrane and ubiquitin-like domain-containing protein 1 OS=Homo sapiens OX=9606 GN=TMUB1 PE=1 SV=1
+MTLIEGVGDEVTVLFSVLACLLVLALAWVSTHTAEGGDPLPQPSGTPTPSQPSAAMAATDSMRGEAPGAETPSLRHRGQAAQPEPSTGFTATPPAPDSPQEPLVLRLKFLNDSEQVARAWPHDTIGSLKRTQFPGREQQVRLIYQGQLLGDDTQTLGSLHLPPNCVLHCHVSTRVGPPNPPCPPGSEPGPSGLEIGSLLLPLLLLLLLLLWYCQIQYRPFFPLTATLGLAGFTLLLSLLAFAMYRP
+>DECOY_sp|Q9BVT8|TMUB1_HUMAN Transmembrane and ubiquitin-like domain-containing protein 1 OS=Homo sapiens OX=9606 GN=TMUB1 PE=1 SV=1
+PRYMAFALLSLLLTFGALGLTATLPFFPRYQIQCYWLLLLLLLLLPLLLSGIELGSPGPESGPPCPPNPPGVRTSVHCHLVCNPPLHLSGLTQTDDGLLQGQYILRVQQERGPFQTRKLSGITDHPWARAVQESDNLFKLRLVLPEQPSDPAPPTATFGTSPEPQAAQGRHRLSPTEAGPAEGRMSDTAAMAASPQSPTPTGSPQPLPDGGEATHTSVWALALVLLCALVSFLVTVEDGVGEILTM
+>sp|Q9Y320|TMX2_HUMAN Thioredoxin-related transmembrane protein 2 OS=Homo sapiens OX=9606 GN=TMX2 PE=1 SV=1
+MAVLAPLIALVYSVPRLSRWLAQPYYLLSALLSAAFLLVRKLPPLCHGLPTQREDGNPCDFDWREVEILMFLSAIVMMKNRRSITVEQHIGNIFMFSKVANTILFFRLDIRMGLLYITLCIVFLMTCKPPLYMGPEYIKYFNDKTIDEELERDKRVTWIVEFFANWSNDCQSFAPIYADLSLKYNCTGLNFGKVDVGRYTDVSTRYKVSTSPLTKQLPTLILFQGGKEAMRRPQIDKKGRAVSWTFSEENVIREFNLNELYQRAKKLSKAGDNIPEEQPVASTPTTVSDGENKKDK
+>DECOY_sp|Q9Y320|TMX2_HUMAN Thioredoxin-related transmembrane protein 2 OS=Homo sapiens OX=9606 GN=TMX2 PE=1 SV=1
+KDKKNEGDSVTTPTSAVPQEEPINDGAKSLKKARQYLENLNFERIVNEESFTWSVARGKKDIQPRRMAEKGGQFLILTPLQKTLPSTSVKYRTSVDTYRGVDVKGFNLGTCNYKLSLDAYIPAFSQCDNSWNAFFEVIWTVRKDRELEEDITKDNFYKIYEPGMYLPPKCTMLFVICLTIYLLGMRIDLRFFLITNAVKSFMFINGIHQEVTISRRNKMMVIASLFMLIEVERWDFDCPNGDERQTPLGHCLPPLKRVLLFAASLLASLLYYPQALWRSLRPVSYVLAILPALVAM
+>sp|Q9Y275|TN13B_HUMAN Tumor necrosis factor ligand superfamily member 13B OS=Homo sapiens OX=9606 GN=TNFSF13B PE=1 SV=1
+MDDSTEREQSRLTSCLKKREEMKLKECVSILPRKESPSVRSSKDGKLLAATLLLALLSCCLTVVSFYQVAALQGDLASLRAELQGHHAEKLPAGAGAPKAGLEEAPAVTAGLKIFEPPAPGEGNSSQNSRNKRAVQGPEETVTQDCLQLIADSETPTIQKGSYTFVPWLLSFKRGSALEEKENKILVKETGYFFIYGQVLYTDKTYAMGHLIQRKKVHVFGDELSLVTLFRCIQNMPETLPNNSCYSAGIAKLEEGDELQLAIPRENAQISLDGDVTFFGALKLL
+>DECOY_sp|Q9Y275|TN13B_HUMAN Tumor necrosis factor ligand superfamily member 13B OS=Homo sapiens OX=9606 GN=TNFSF13B PE=1 SV=1
+LLKLAGFFTVDGDLSIQANERPIALQLEDGEELKAIGASYCSNNPLTEPMNQICRFLTVLSLEDGFVHVKKRQILHGMAYTKDTYLVQGYIFFYGTEKVLIKNEKEELASGRKFSLLWPVFTYSGKQITPTESDAILQLCDQTVTEEPGQVARKNRSNQSSNGEGPAPPEFIKLGATVAPAEELGAKPAGAGAPLKEAHHGQLEARLSALDGQLAAVQYFSVVTLCCSLLALLLTAALLKGDKSSRVSPSEKRPLISVCEKLKMEERKKLCSTLRSQERETSDDM
+>sp|O15417|TNC18_HUMAN Trinucleotide repeat-containing gene 18 protein OS=Homo sapiens OX=9606 GN=TNRC18 PE=1 SV=3
+MDGRDFGPQRSVHGPPPPLLSGLAMDSHRVGAATAGRLPASGLPGPLPPGKYMAGLNLHPHPGEAFLGSFVASGMGPSASSHGSPVPLPSDLSFRSPTPSNLPMVQLWAAHAHEGFSHLPSGLYPSYLHLNHLEPPSSGSPLLSQLGQPSIFDTQKGQGPGGDGFYLPTAGAPGSLHSHAPSARTPGGGHSSGAPAKGSSSRDGPAKERAGRGGEPPPLFGKKDPRARGEEASGPRGVVDLTQEARAEGRQDRGPPRLAERLSPFLAESKTKNAALQPSVLTMCNGGAGDVGLPALVAEAGRGGAKEAARQDEGARLLRRTETLLPGPRPCPSPLPPPPAPPKGPPAPPAATPAGVYTVFREQGREHRVVAPTFVPSVEAFDERPGPIQIASQARDARAREREAGRPGVLQAPPGSPRPLDRPEGLREKNSVIRSLKRPPPADAPTVRATRASPDPRAYVPAKELLKPEADPRPCERAPRGPAGPAAQQAAKLFGLEPGRPPPTGPEHKWKPFELGNFAATQMAVLAAQHHHSRAEEEAAVVAASSSKKAYLDPGAVLPRSAATCGRPVADMHSAAHGSGEASAMQSLIKYSGSFARDAVAVRPGGCGKKSPFGGLGTMKPEPAPTSAGASRAQARLPHSGGPAAGGGRQLKRDPERPESAKAFGREGSGAQGEAEVRHPPVGIAVAVARQKDSGGSGRLGPGLVDQERSLSLSNVKGHGRADEDCVDDRARHREERLLGARLDRDQEKLLRESKELADLARLHPTSCAPNGLNPNLMVTGGPALAGSGRWSADPAAHLATHPWLPRSGNASMWLAGHPYGLGPPSLHQGMAPAFPPGLGGSLPSAYQFVRDPQSGQLVVIPSDHLPHFAELMERATVPPLWPALYPPGRSPLHHAQQLQLFSQQHFLRQQEFLYLQQQAAQALELQRSAQLVQERLKAQEHRAEMEEKGSKRGLEAAGKAGLATAGPGLLPRKPPGLAAGPAGTYGKAVSPPPSPRASPVAALKAKVIQKLEDVSKPPAYAYPATPSSHPTSPPPASPPPTPGITRKEEAPENVVEKKDLELEKEAPSPFQALFSDIPPRYPFQALPPHYGRPYPFLLQPTAAADADGLAPDVPLPADGPERLALSPEDKPIRLSPSKITEPLREGPEEEPLAEREVKAEVEDMDEGPTELPPLESPLPLPAAEAMATPSPAGGCGGGLLEAQALSATGQSCAEPSECPDFVEGPEPRVDSPGRTEPCTAALDLGVQLTPETLVEAKEEPVEVPVAVPVVEAVPEEGLAQVAPSESQPTLEMSDCDVPAGEGQCPSLEPQEAVPVLGSTCFLEEASSDQFLPSLEDPLAGMNALAAAAELPQARPLPSPGAAGAQALEKLEAAESLVLEQSFLHGITLLSEIAELELERRSQEMGGAERALVARPSLESLLAAGSHMLREVLDGPVVDPLKNLRLPRELKPNKKYSWMRKKEERMYAMKSSLEDMDALELDFRMRLAEVQRQYKEKQRELVKLQRRRDSEDRREEPHRSLARRGPGRPRKRTHAPSALSPPRKRGKSGHSSGKLSSKSLLTSDDYELGAGIRKRHKGSEEEHDALIGMGKARGRNQTWDEHEASSDFISQLKIKKKKMASDQEQLASKLDKALSLTKQDKLKSPFKFSDSAGGKSKTSGGCGRYLTPYDSLLGKNRKALAKGLGLSLKSSREGKHKRAAKTRKMEVGFKARGQPKSAHSPFASEVSSYSYNTDSEEDEEFLKDEWPAQGPSSSKLTPSLLCSMVAKNSKAAGGPKLTKRGLAAPRTLKPKPATSRKQPFCLLLREAEARSSFSDSSEESFDQDESSEEEDEEEELEEEDEASGGGYRLGARERALSPGLEESGLGLLARFAASALPSPTVGPSLSVVQLEAKQKARKKEERQSLLGTEFEYTDSESEVKVRKRSPAGLLRPKKGLGEPGPSLAAPTPGARGPDPSSPDKAKLAVEKGRKARKLRGPKEPGFEAGPEASDDDLWTRRRSERIFLHDASAAAPAPVSTAPATKTSRCAKGGPLSPRKDAGRAKDRKDPRKKKKGKEAGPGAGLPPPRAPALPSEARAPHASSLTAAKRSKAKAKGKEVKKENRGKGGAVSKLMESMAAEEDFEPNQDSSFSEDEHLPRGGAVERPLTPAPRSCIIDKDELKDGLRVLIPMDDKLLYAGHVQTVHSPDIYRVVVEGERGNRPHIYCLEQLLQEAIIDVRPASTRFLPQGTRIAAYWSQQYRCLYPGTVVRGLLDLEDDGDLITVEFDDGDTGRIPLSHIRLLPPDYKIQCAEPSPALLVPSAKRRSRKTSKDTGEGKDGGTAGSEEPGAKARGRGRKPSAKAKGDRAATLEEGNPTDEVPSTPLALEPSSTPGSKKSPPEPVDKRAKAPKARPAPPQPSPAPPAFTSCPAPEPFAELPAPATSLAPAPLITMPATRPKPKKARAAEESGAKGPRRPGEEAELLVKLDHEGVTSPKSKKAKEALLLREDPGAGGWQEPKSLLSLGSYPPAAGSSEPKAPWPKATDGDLAQEPGPGLTFEDSGNPKSPDKAQAEQDGAEESESSSSSSSGSSSSSSSSSSSGSETEGEEEGDKNGDGGCGTGGRNCSAASSRAASPASSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSTTDEDSSCSSDDEAAPAPTAGPSAQAALPTKATKQAGKARPSAHSPGKKTPAPQPQAPPPQPTQPLQPKAQAGAKSRPKKREGVHLPTTKELAKRQRLPSVENRPKIAAFLPARQLWKWFGKPTQRRGMKGKARKLFYKAIVRGKEMIRIGDCAVFLSAGRPNLPYIGRIQSMWESWGNNMVVRVKWFYHPEETSPGKQFHQGQHWDQKSSRSLPAALRVSSQRKDFMERALYQSSHVDENDVQTVSHKCLVVGLEQYEQMLKTKKYQDSEGLYYLAGTYEPTTGMIFSTDGVPVLC
+>DECOY_sp|O15417|TNC18_HUMAN Trinucleotide repeat-containing gene 18 protein OS=Homo sapiens OX=9606 GN=TNRC18 PE=1 SV=3
+CLVPVGDTSFIMGTTPEYTGALYYLGESDQYKKTKLMQEYQELGVVLCKHSVTQVDNEDVHSSQYLAREMFDKRQSSVRLAAPLSRSSKQDWHQGQHFQKGPSTEEPHYFWKVRVVMNNGWSEWMSQIRGIYPLNPRGASLFVACDGIRIMEKGRVIAKYFLKRAKGKMGRRQTPKGFWKWLQRAPLFAAIKPRNEVSPLRQRKALEKTTPLHVGERKKPRSKAGAQAKPQLPQTPQPPPAQPQPAPTKKGPSHASPRAKGAQKTAKTPLAAQASPGATPAPAAEDDSSCSSDEDTTSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSAPSAARSSAASCNRGGTGCGGDGNKDGEEEGETESGSSSSSSSSSSSGSSSSSSSESEEAGDQEAQAKDPSKPNGSDEFTLGPGPEQALDGDTAKPWPAKPESSGAAPPYSGLSLLSKPEQWGGAGPDERLLLAEKAKKSKPSTVGEHDLKVLLEAEEGPRRPGKAGSEEAARAKKPKPRTAPMTILPAPALSTAPAPLEAFPEPAPCSTFAPPAPSPQPPAPRAKPAKARKDVPEPPSKKSGPTSSPELALPTSPVEDTPNGEELTAARDGKAKASPKRGRGRAKAGPEESGATGGDKGEGTDKSTKRSRRKASPVLLAPSPEACQIKYDPPLLRIHSLPIRGTDGDDFEVTILDGDDELDLLGRVVTGPYLCRYQQSWYAAIRTGQPLFRTSAPRVDIIAEQLLQELCYIHPRNGREGEVVVRYIDPSHVTQVHGAYLLKDDMPILVRLGDKLEDKDIICSRPAPTLPREVAGGRPLHEDESFSSDQNPEFDEEAAMSEMLKSVAGGKGRNEKKVEKGKAKAKSRKAATLSSAHPARAESPLAPARPPPLGAGPGAEKGKKKKRPDKRDKARGADKRPSLPGGKACRSTKTAPATSVPAPAAASADHLFIRESRRRTWLDDDSAEPGAEFGPEKPGRLKRAKRGKEVALKAKDPSSPDPGRAGPTPAALSPGPEGLGKKPRLLGAPSRKRVKVESESDTYEFETGLLSQREEKKRAKQKAELQVVSLSPGVTPSPLASAAFRALLGLGSEELGPSLARERAGLRYGGGSAEDEEELEEEEDEEESSEDQDFSEESSDSFSSRAEAERLLLCFPQKRSTAPKPKLTRPAALGRKTLKPGGAAKSNKAVMSCLLSPTLKSSSPGQAPWEDKLFEEDEESDTNYSYSSVESAFPSHASKPQGRAKFGVEMKRTKAARKHKGERSSKLSLGLGKALAKRNKGLLSDYPTLYRGCGGSTKSKGGASDSFKFPSKLKDQKTLSLAKDLKSALQEQDSAMKKKKIKLQSIFDSSAEHEDWTQNRGRAKGMGILADHEEESGKHRKRIGAGLEYDDSTLLSKSSLKGSSHGSKGRKRPPSLASPAHTRKRPRGPGRRALSRHPEERRDESDRRRQLKVLERQKEKYQRQVEALRMRFDLELADMDELSSKMAYMREEKKRMWSYKKNPKLERPLRLNKLPDVVPGDLVERLMHSGAALLSELSPRAVLAREAGGMEQSRRELELEAIESLLTIGHLFSQELVLSEAAELKELAQAGAAGPSPLPRAQPLEAAAALANMGALPDELSPLFQDSSAEELFCTSGLVPVAEQPELSPCQGEGAPVDCDSMELTPQSESPAVQALGEEPVAEVVPVAVPVEVPEEKAEVLTEPTLQVGLDLAATCPETRGPSDVRPEPGEVFDPCESPEACSQGTASLAQAELLGGGCGGAPSPTAMAEAAPLPLPSELPPLETPGEDMDEVEAKVEREALPEEEPGERLPETIKSPSLRIPKDEPSLALREPGDAPLPVDPALGDADAAATPQLLFPYPRGYHPPLAQFPYRPPIDSFLAQFPSPAEKELELDKKEVVNEPAEEKRTIGPTPPPSAPPPSTPHSSPTAPYAYAPPKSVDELKQIVKAKLAAVPSARPSPPPSVAKGYTGAPGAALGPPKRPLLGPGATALGAKGAAELGRKSGKEEMEARHEQAKLREQVLQASRQLELAQAAQQQLYLFEQQRLFHQQSFLQLQQAHHLPSRGPPYLAPWLPPVTAREMLEAFHPLHDSPIVVLQGSQPDRVFQYASPLSGGLGPPFAPAMGQHLSPPGLGYPHGALWMSANGSRPLWPHTALHAAPDASWRGSGALAPGGTVMLNPNLGNPACSTPHLRALDALEKSERLLKEQDRDLRAGLLREERHRARDDVCDEDARGHGKVNSLSLSREQDVLGPGLRGSGGSDKQRAVAVAIGVPPHRVEAEGQAGSGERGFAKASEPREPDRKLQRGGGAAPGGSHPLRAQARSAGASTPAPEPKMTGLGGFPSKKGCGGPRVAVADRAFSGSYKILSQMASAEGSGHAASHMDAVPRGCTAASRPLVAGPDLYAKKSSSAAVVAAEEEARSHHHQAALVAMQTAAFNGLEFPKWKHEPGTPPPRGPELGFLKAAQQAAPGAPGRPARECPRPDAEPKLLEKAPVYARPDPSARTARVTPADAPPPRKLSRIVSNKERLGEPRDLPRPSGPPAQLVGPRGAERERARADRAQSAIQIPGPREDFAEVSPVFTPAVVRHERGQERFVTYVGAPTAAPPAPPGKPPAPPPPLPSPCPRPGPLLTETRRLLRAGEDQRAAEKAGGRGAEAVLAPLGVDGAGGNCMTLVSPQLAANKTKSEALFPSLREALRPPGRDQRGEARAEQTLDVVGRPGSAEEGRARPDKKGFLPPPEGGRGAREKAPGDRSSSGKAPAGSSHGGGPTRASPAHSHLSGPAGATPLYFGDGGPGQGKQTDFISPQGLQSLLPSGSSPPELHNLHLYSPYLGSPLHSFGEHAHAAWLQVMPLNSPTPSRFSLDSPLPVPSGHSSASPGMGSAVFSGLFAEGPHPHLNLGAMYKGPPLPGPLGSAPLRGATAAGVRHSDMALGSLLPPPPGHVSRQPGFDRGDM
+>sp|Q9UNG2|TNF18_HUMAN Tumor necrosis factor ligand superfamily member 18 OS=Homo sapiens OX=9606 GN=TNFSF18 PE=1 SV=2
+MTLHPSPITCEFLFSTALISPKMCLSHLENMPLSHSRTQGAQRSSWKLWLFCSIVMLLFLCSFSWLIFIFLQLETAKEPCMAKFGPLPSKWQMASSEPPCVNKVSDWKLEILQNGLYLIYGQVAPNANYNDVAPFEVRLYKNKDMIQTLTNKSKIQNVGGTYELHVGDTIDLIFNSEHQVLKNNTYWGIILLANPQFIS
+>DECOY_sp|Q9UNG2|TNF18_HUMAN Tumor necrosis factor ligand superfamily member 18 OS=Homo sapiens OX=9606 GN=TNFSF18 PE=1 SV=2
+SIFQPNALLIIGWYTNNKLVQHESNFILDITDGVHLEYTGGVNQIKSKNTLTQIMDKNKYLRVEFPAVDNYNANPAVQGYILYLGNQLIELKWDSVKNVCPPESSAMQWKSPLPGFKAMCPEKATELQLFIFILWSFSCLFLLMVISCFLWLKWSSRQAGQTRSHSLPMNELHSLCMKPSILATSFLFECTIPSPHLTM
+>sp|Q9C0B7|TNG6_HUMAN Transport and Golgi organization protein 6 homolog OS=Homo sapiens OX=9606 GN=TANGO6 PE=1 SV=2
+MAARQAVGSGAQETCGLDRILEALKLLLSPGGSGSSSLQVTKHDVLLATLKSNLSALEDKFLKDPQWKNLKLLRDEIADKAEWPQNSVDVTWSFTSQTLLLLLCLKETMIRLAANFNPGKPNPRTPEVAPALSPDALSISQQKTVQFVLQFVVTLGICPYLMPGVGVPLRYRTEFGAVVQDVVCFDAAPDATRRLYTSCKALLNVAQHTSLGSLIFCHHFGDIAAGLCQLGFCPTKRKLLTPAEEVLTEEERTLSRGALRDMLDQVYQPLAVRELLILQGGPPQSCTDVKTQMRCRAPAWLRRLCGQLLSERLMRPNGVQAVVRGILEGAGAGAAGGSDAEVTAADWKKCDLIAKILASCPQQSLSPENYYRDICPQVLDLFHFQDKLTARQFQRVATTTFITLSRERPHLAAKYLLQPVLAPLHRCLNTAELSESDMVPGTILVTEEELSRCIEDVFKVYVVGNEPLTVLMDSLLPVLGVLFLLYCFTKQSVSHIRSLCQEILLWILGKLERKKAIASLKGFAGLDKAVPSLHSLCQFRVATQGGIMITIKEAISDEDEDEALYQKVSSEQGRVEHLGDLLSHCQECGLAGDFFIFCLKELTHVASENETELKTEPFSSKSLLELEQHQTLLVEGQERKLLVLQLMAVLCERMSEQIFTNVTQVVDFVAATLQRACASLAHQAESTVESQTLSMSMGLVAVMLGGAVQLKSSDFAVLKQLLPLLEKVSNTYPDPVIQELAVDLRITISTHGAFATEAVSMAAQSTLNRKDLEGKIEEQQQTSHERPTDVAHSHLEQQQSHETAPQTGLQSNAPIIPQGVNEPSTTTSQKSGSVTTEQLQEVLLSAYDPQIPTRAAALRTLSHWIEQREAKALEMQEKLLKIFLENLEHEDTFVYLSAIQGVALLSDVYPEKILPDLLAQYDSSKDKHTPETRMKVGEVLMRIVRALGDMVSKYREPLIHTFLRGVRDPDGAHRASSLANLGELCQRLDFLLGSVVHEVTACLIAVAKTDGEVQVRRAAIHVVVLLLRGLSQKATEVLSAVLKDLYHLLKHVVCLEPDDVAKLHAQLALEELDDIMKNFLFPPQKLEKKIMVLP
+>DECOY_sp|Q9C0B7|TNG6_HUMAN Transport and Golgi organization protein 6 homolog OS=Homo sapiens OX=9606 GN=TANGO6 PE=1 SV=2
+PLVMIKKELKQPPFLFNKMIDDLEELALQAHLKAVDDPELCVVHKLLHYLDKLVASLVETAKQSLGRLLLVVVHIAARRVQVEGDTKAVAILCATVEHVVSGLLFDLRQCLEGLNALSSARHAGDPDRVGRLFTHILPERYKSVMDGLARVIRMLVEGVKMRTEPTHKDKSSDYQALLDPLIKEPYVDSLLAVGQIASLYVFTDEHELNELFIKLLKEQMELAKAERQEIWHSLTRLAAARTPIQPDYASLLVEQLQETTVSGSKQSTTTSPENVGQPIIPANSQLGTQPATEHSQQQELHSHAVDTPREHSTQQQEEIKGELDKRNLTSQAAMSVAETAFAGHTSITIRLDVALEQIVPDPYTNSVKELLPLLQKLVAFDSSKLQVAGGLMVAVLGMSMSLTQSEVTSEAQHALSACARQLTAAVFDVVQTVNTFIQESMRECLVAMLQLVLLKREQGEVLLTQHQELELLSKSSFPETKLETENESAVHTLEKLCFIFFDGALGCEQCHSLLDGLHEVRGQESSVKQYLAEDEDEDSIAEKITIMIGGQTAVRFQCLSHLSPVAKDLGAFGKLSAIAKKRELKGLIWLLIEQCLSRIHSVSQKTFCYLLFLVGLVPLLSDMLVTLPENGVVYVKFVDEICRSLEEETVLITGPVMDSESLEATNLCRHLPALVPQLLYKAALHPRERSLTIFTTTAVRQFQRATLKDQFHFLDLVQPCIDRYYNEPSLSQQPCSALIKAILDCKKWDAATVEADSGGAAGAGAGELIGRVVAQVGNPRMLRESLLQGCLRRLWAPARCRMQTKVDTCSQPPGGQLILLERVALPQYVQDLMDRLAGRSLTREEETLVEEAPTLLKRKTPCFGLQCLGAAIDGFHHCFILSGLSTHQAVNLLAKCSTYLRRTADPAADFCVVDQVVAGFETRYRLPVGVGPMLYPCIGLTVVFQLVFQVTKQQSISLADPSLAPAVEPTRPNPKGPNFNAALRIMTEKLCLLLLLTQSTFSWTVDVSNQPWEAKDAIEDRLLKLNKWQPDKLFKDELASLNSKLTALLVDHKTVQLSSSGSGGPSLLLKLAELIRDLGCTEQAGSGVAQRAAM
+>sp|Q9H2K2|TNKS2_HUMAN Tankyrase-2 OS=Homo sapiens OX=9606 GN=TNKS2 PE=1 SV=1
+MSGRRCAGGGAACASAAAEAVEPAARELFEACRNGDVERVKRLVTPEKVNSRDTAGRKSTPLHFAAGFGRKDVVEYLLQNGANVQARDDGGLIPLHNACSFGHAEVVNLLLRHGADPNARDNWNYTPLHEAAIKGKIDVCIVLLQHGAEPTIRNTDGRTALDLADPSAKAVLTGEYKKDELLESARSGNEEKMMALLTPLNVNCHASDGRKSTPLHLAAGYNRVKIVQLLLQHGADVHAKDKGDLVPLHNACSYGHYEVTELLVKHGACVNAMDLWQFTPLHEAASKNRVEVCSLLLSYGADPTLLNCHNKSAIDLAPTPQLKERLAYEFKGHSLLQAAREADVTRIKKHLSLEMVNFKHPQTHETALHCAAASPYPKRKQICELLLRKGANINEKTKEFLTPLHVASEKAHNDVVEVVVKHEAKVNALDNLGQTSLHRAAYCGHLQTCRLLLSYGCDPNIISLQGFTALQMGNENVQQLLQEGISLGNSEADRQLLEAAKAGDVETVKKLCTVQSVNCRDIEGRQSTPLHFAAGYNRVSVVEYLLQHGADVHAKDKGGLVPLHNACSYGHYEVAELLVKHGAVVNVADLWKFTPLHEAAAKGKYEICKLLLQHGADPTKKNRDGNTPLDLVKDGDTDIQDLLRGDAALLDAAKKGCLARVKKLSSPDNVNCRDTQGRHSTPLHLAAGYNNLEVAEYLLQHGADVNAQDKGGLIPLHNAASYGHVDVAALLIKYNACVNATDKWAFTPLHEAAQKGRTQLCALLLAHGADPTLKNQEGQTPLDLVSADDVSALLTAAMPPSALPSCYKPQVLNGVRSPGATADALSSGPSSPSSLSAASSLDNLSGSFSELSSVVSSSGTEGASSLEKKEVPGVDFSITQFVRNLGLEHLMDIFEREQITLDVLVEMGHKELKEIGINAYGHRHKLIKGVERLISGQQGLNPYLTLNTSGSGTILIDLSPDDKEFQSVEEEMQSTVREHRDGGHAGGIFNRYNILKIQKVCNKKLWERYTHRRKEVSEENHNHANERMLFHGSPFVNAIIHKGFDERHAYIGGMFGAGIYFAENSSKSNQYVYGIGGGTGCPVHKDRSCYICHRQLLFCRVTLGKSFLQFSAMKMAHSPPGHHSVTGRPSVNGLALAEYVIYRGEQAYPEYLITYQIMRPEGMVDG
+>DECOY_sp|Q9H2K2|TNKS2_HUMAN Tankyrase-2 OS=Homo sapiens OX=9606 GN=TNKS2 PE=1 SV=1
+GDVMGEPRMIQYTILYEPYAQEGRYIVYEALALGNVSPRGTVSHHGPPSHAMKMASFQLFSKGLTVRCFLLQRHCIYCSRDKHVPCGTGGGIGYVYQNSKSSNEAFYIGAGFMGGIYAHREDFGKHIIANVFPSGHFLMRENAHNHNEESVEKRRHTYREWLKKNCVKQIKLINYRNFIGGAHGGDRHERVTSQMEEEVSQFEKDDPSLDILITGSGSTNLTLYPNLGQQGSILREVGKILKHRHGYANIGIEKLEKHGMEVLVDLTIQEREFIDMLHELGLNRVFQTISFDVGPVEKKELSSAGETGSSSVVSSLESFSGSLNDLSSAASLSSPSSPGSSLADATAGPSRVGNLVQPKYCSPLASPPMAATLLASVDDASVLDLPTQGEQNKLTPDAGHALLLACLQTRGKQAAEHLPTFAWKDTANVCANYKILLAAVDVHGYSAANHLPILGGKDQANVDAGHQLLYEAVELNNYGAALHLPTSHRGQTDRCNVNDPSSLKKVRALCGKKAADLLAADGRLLDQIDTDGDKVLDLPTNGDRNKKTPDAGHQLLLKCIEYKGKAAAEHLPTFKWLDAVNVVAGHKVLLEAVEYHGYSCANHLPVLGGKDKAHVDAGHQLLYEVVSVRNYGAAFHLPTSQRGEIDRCNVSQVTCLKKVTEVDGAKAAELLQRDAESNGLSIGEQLLQQVNENGMQLATFGQLSIINPDCGYSLLLRCTQLHGCYAARHLSTQGLNDLANVKAEHKVVVEVVDNHAKESAVHLPTLFEKTKENINAGKRLLLECIQKRKPYPSAAACHLATEHTQPHKFNVMELSLHKKIRTVDAERAAQLLSHGKFEYALREKLQPTPALDIASKNHCNLLTPDAGYSLLLSCVEVRNKSAAEHLPTFQWLDMANVCAGHKVLLETVEYHGYSCANHLPVLDGKDKAHVDAGHQLLLQVIKVRNYGAALHLPTSKRGDSAHCNVNLPTLLAMMKEENGSRASELLEDKKYEGTLVAKASPDALDLATRGDTNRITPEAGHQLLVICVDIKGKIAAEHLPTYNWNDRANPDAGHRLLLNVVEAHGFSCANHLPILGGDDRAQVNAGNQLLYEVVDKRGFGAAFHLPTSKRGATDRSNVKEPTVLRKVREVDGNRCAEFLERAAPEVAEAAASACAAGGGACRRGSM
+>sp|P63316|TNNC1_HUMAN Troponin C, slow skeletal and cardiac muscles OS=Homo sapiens OX=9606 GN=TNNC1 PE=1 SV=1
+MDDIYKAAVEQLTEEQKNEFKAAFDIFVLGAEDGCISTKELGKVMRMLGQNPTPEELQEMIDEVDEDGSGTVDFDEFLVMMVRCMKDDSKGKSEEELSDLFRMFDKNADGYIDLDELKIMLQATGETITEDDIEELMKDGDKNNDGRIDYDEFLEFMKGVE
+>DECOY_sp|P63316|TNNC1_HUMAN Troponin C, slow skeletal and cardiac muscles OS=Homo sapiens OX=9606 GN=TNNC1 PE=1 SV=1
+EVGKMFELFEDYDIRGDNNKDGDKMLEEIDDETITEGTAQLMIKLEDLDIYGDANKDFMRFLDSLEEESKGKSDDKMCRVMMVLFEDFDVTGSGDEDVEDIMEQLEEPTPNQGLMRMVKGLEKTSICGDEAGLVFIDFAAKFENKQEETLQEVAAKYIDDM
+>sp|O14787|TNPO2_HUMAN Transportin-2 OS=Homo sapiens OX=9606 GN=TNPO2 PE=1 SV=3
+MDWQPDEQGLQQVLQLLKDSQSPNTATQRIVQDKLKQLNQFPDFNNYLIFVLTRLKSEDEPTRSLSGLILKNNVKAHYQSFPPPVADFIKQECLNNIGDASSLIRATIGILITTIASKGELQMWPELLPQLCNLLNSEDYNTCEGAFGALQKICEDSSELLDSDALNRPLNIMIPKFLQFFKHCSPKIRSHAIACVNQFIMDRAQALMDNIDTFIEHLFALAVDDDPEVRKNVCRALVMLLEVRIDRLIPHMHSIIQYMLQRTQDHDENVALEACEFWLTLAEQPICKEVLASHLVQLIPILVNGMKYSEIDIILLKGDVEEDEAVPDSEQDIKPRFHKSRTVTLPHEAERPDGSEDAEDDDDDDALSDWNLRKCSAAALDVLANVFREELLPHLLPLLKGLLFHPEWVVKESGILVLGAIAEGCMQGMVPYLPELIPHLIQCLSDKKALVRSIACWTLSRYAHWVVSQPPDMHLKPLMTELLKRILDGNKRVQEAACSAFATLEEEACTELVPYLSYILDTLVFAFGKYQHKNLLILYDAIGTLADSVGHHLNQPEYIQKLMPPLIQKWNELKDEDKDLFPLLECLSSVATALQSGFLPYCEPVYQRCVTLVQKTLAQAMMYTQHPEQYEAPDKDFMIVALDLLSGLAEGLGGHVEQLVARSNIMTLLFQCMQDSMPEVRQSSFALLGDLTKACFIHVKPCIAEFMPILGTNLNPEFISVCNNATWAIGEICMQMGAEMQPYVQMVLNNLVEIINRPNTPKTLLENTGRLTSPSAIPAITIGRLGYVCPQEVAPMLQQFIRPWCTSLRNIRDNEEKDSAFRGICMMIGVNPGGVVQDFIFFCDAVASWVSPKDDLRDMFYKILHGFKDQVGEDNWQQFSEQFPPLLKERLAAFYGV
+>DECOY_sp|O14787|TNPO2_HUMAN Transportin-2 OS=Homo sapiens OX=9606 GN=TNPO2 PE=1 SV=3
+VGYFAALREKLLPPFQESFQQWNDEGVQDKFGHLIKYFMDRLDDKPSVWSAVADCFFIFDQVVGGPNVGIMMCIGRFASDKEENDRINRLSTCWPRIFQQLMPAVEQPCVYGLRGITIAPIASPSTLRGTNELLTKPTNPRNIIEVLNNLVMQVYPQMEAGMQMCIEGIAWTANNCVSIFEPNLNTGLIPMFEAICPKVHIFCAKTLDGLLAFSSQRVEPMSDQMCQFLLTMINSRAVLQEVHGGLGEALGSLLDLAVIMFDKDPAEYQEPHQTYMMAQALTKQVLTVCRQYVPECYPLFGSQLATAVSSLCELLPFLDKDEDKLENWKQILPPMLKQIYEPQNLHHGVSDALTGIADYLILLNKHQYKGFAFVLTDLIYSLYPVLETCAEEELTAFASCAAEQVRKNGDLIRKLLETMLPKLHMDPPQSVVWHAYRSLTWCAISRVLAKKDSLCQILHPILEPLYPVMGQMCGEAIAGLVLIGSEKVVWEPHFLLGKLLPLLHPLLEERFVNALVDLAAASCKRLNWDSLADDDDDDEADESGDPREAEHPLTVTRSKHFRPKIDQESDPVAEDEEVDGKLLIIDIESYKMGNVLIPILQVLHSALVEKCIPQEALTLWFECAELAVNEDHDQTRQLMYQIISHMHPILRDIRVELLMVLARCVNKRVEPDDDVALAFLHEIFTDINDMLAQARDMIFQNVCAIAHSRIKPSCHKFFQLFKPIMINLPRNLADSDLLESSDECIKQLAGFAGECTNYDESNLLNCLQPLLEPWMQLEGKSAITTILIGITARILSSADGINNLCEQKIFDAVPPPFSQYHAKVNNKLILGSLSRTPEDESKLRTLVFILYNNFDPFQNLQKLKDQVIRQTATNPSQSDKLLQLVQQLGQEDPQWDM
+>sp|Q92956|TNR14_HUMAN Tumor necrosis factor receptor superfamily member 14 OS=Homo sapiens OX=9606 GN=TNFRSF14 PE=1 SV=3
+MEPPGDWGPPPWRSTPKTDVLRLVLYLTFLGAPCYAPALPSCKEDEYPVGSECCPKCSPGYRVKEACGELTGTVCEPCPPGTYIAHLNGLSKCLQCQMCDPAMGLRASRNCSRTENAVCGCSPGHFCIVQDGDHCAACRAYATSSPGQRVQKGGTESQDTLCQNCPPGTFSPNGTLEECQHQTKCSWLVTKAGAGTSSSHWVWWFLSGSLVIVIVCSTVGLIICVKRRKPRGDVVKVIVSVQRKRQEAEGEATVIEALQAPPDVTTVAVEETIPSFTGRSPNH
+>DECOY_sp|Q92956|TNR14_HUMAN Tumor necrosis factor receptor superfamily member 14 OS=Homo sapiens OX=9606 GN=TNFRSF14 PE=1 SV=3
+HNPSRGTFSPITEEVAVTTVDPPAQLAEIVTAEGEAEQRKRQVSVIVKVVDGRPKRRKVCIILGVTSCVIVIVLSGSLFWWVWHSSSTGAGAKTVLWSCKTQHQCEELTGNPSFTGPPCNQCLTDQSETGGKQVRQGPSSTAYARCAACHDGDQVICFHGPSCGCVANETRSCNRSARLGMAPDCMQCQLCKSLGNLHAIYTGPPCPECVTGTLEGCAEKVRYGPSCKPCCESGVPYEDEKCSPLAPAYCPAGLFTLYLVLRLVDTKPTSRWPPPGWDGPPEM
+>sp|P08138|TNR16_HUMAN Tumor necrosis factor receptor superfamily member 16 OS=Homo sapiens OX=9606 GN=NGFR PE=1 SV=1
+MGAGATGRAMDGPRLLLLLLLGVSLGGAKEACPTGLYTHSGECCKACNLGEGVAQPCGANQTVCEPCLDSVTFSDVVSATEPCKPCTECVGLQSMSAPCVEADDAVCRCAYGYYQDETTGRCEACRVCEAGSGLVFSCQDKQNTVCEECPDGTYSDEANHVDPCLPCTVCEDTERQLRECTRWADAECEEIPGRWITRSTPPEGSDSTAPSTQEPEAPPEQDLIASTVAGVVTTVMGSSQPVVTRGTTDNLIPVYCSILAAVVVGLVAYIAFKRWNSCKQNKQGANSRPVNQTPPPEGEKLHSDSGISVDSQSLHDQQPHTQTASGQALKGDGGLYSSLPPAKREEVEKLLNGSAGDTWRHLAGELGYQPEHIDSFTHEACPVRALLASWATQDSATLDALLAALRRIQRADLVESLCSESTATSPV
+>DECOY_sp|P08138|TNR16_HUMAN Tumor necrosis factor receptor superfamily member 16 OS=Homo sapiens OX=9606 GN=NGFR PE=1 SV=1
+VPSTATSESCLSEVLDARQIRRLAALLADLTASDQTAWSALLARVPCAEHTFSDIHEPQYGLEGALHRWTDGASGNLLKEVEERKAPPLSSYLGGDGKLAQGSATQTHPQQDHLSQSDVSIGSDSHLKEGEPPPTQNVPRSNAGQKNQKCSNWRKFAIYAVLGVVVAALISCYVPILNDTTGRTVVPQSSGMVTTVVGAVTSAILDQEPPAEPEQTSPATSDSGEPPTSRTIWRGPIEECEADAWRTCERLQRETDECVTCPLCPDVHNAEDSYTGDPCEECVTNQKDQCSFVLGSGAECVRCAECRGTTEDQYYGYACRCVADDAEVCPASMSQLGVCETCPKCPETASVVDSFTVSDLCPECVTQNAGCPQAVGEGLNCAKCCEGSHTYLGTPCAEKAGGLSVGLLLLLLLRPGDMARGTAGAGM
+>sp|Q9NS68|TNR19_HUMAN Tumor necrosis factor receptor superfamily member 19 OS=Homo sapiens OX=9606 GN=TNFRSF19 PE=1 SV=1
+MALKVLLEQEKTFFTLLVLLGYLSCKVTCESGDCRQQEFRDRSGNCVPCNQCGPGMELSKECGFGYGEDAQCVTCRLHRFKEDWGFQKCKPCLDCAVVNRFQKANCSATSDAICGDCLPGFYRKTKLVGFQDMECVPCGDPPPPYEPHCASKVNLVKIASTASSPRDTALAAVICSALATVLLALLILCVIYCKRQFMEKKPSWSLRSQDIQYNGSELSCFDRPQLHEYAHRACCQCRRDSVQTCGPVRLLPSMCCEEACSPNPATLGCGVHSAASLQARNAGPAGEMVPTFFGSLTQSICGEFSDAWPLMQNPMGGDNISFCDSYPELTGEDIHSLNPELESSTSLDSNSSQDLVGGAVPVQSHSENFTAATDLSRYNNTLVESASTQDALTMRSQLDQESGAVIHPATQTSLQVRQRLGSL
+>DECOY_sp|Q9NS68|TNR19_HUMAN Tumor necrosis factor receptor superfamily member 19 OS=Homo sapiens OX=9606 GN=TNFRSF19 PE=1 SV=1
+LSGLRQRVQLSTQTAPHIVAGSEQDLQSRMTLADQTSASEVLTNNYRSLDTAATFNESHSQVPVAGGVLDQSSNSDLSTSSELEPNLSHIDEGTLEPYSDCFSINDGGMPNQMLPWADSFEGCISQTLSGFFTPVMEGAPGANRAQLSAASHVGCGLTAPNPSCAEECCMSPLLRVPGCTQVSDRRCQCCARHAYEHLQPRDFCSLESGNYQIDQSRLSWSPKKEMFQRKCYIVCLILLALLVTALASCIVAALATDRPSSATSAIKVLNVKSACHPEYPPPPDGCPVCEMDQFGVLKTKRYFGPLCDGCIADSTASCNAKQFRNVVACDLCPKCKQFGWDEKFRHLRCTVCQADEGYGFGCEKSLEMGPGCQNCPVCNGSRDRFEQQRCDGSECTVKCSLYGLLVLLTFFTKEQELLVKLAM
+>sp|P25445|TNR6_HUMAN Tumor necrosis factor receptor superfamily member 6 OS=Homo sapiens OX=9606 GN=FAS PE=1 SV=1
+MLGIWTLLPLVLTSVARLSSKSVNAQVTDINSKGLELRKTVTTVETQNLEGLHHDGQFCHKPCPPGERKARDCTVNGDEPDCVPCQEGKEYTDKAHFSSKCRRCRLCDEGHGLEVEINCTRTQNTKCRCKPNFFCNSTVCEHCDPCTKCEHGIIKECTLTSNTKCKEEGSRSNLGWLCLLLLPIPLIVWVKRKEVQKTCRKHRKENQGSHESPTLNPETVAINLSDVDLSKYITTIAGVMTLSQVKGFVRKNGVNEAKIDEIKNDNVQDTAEQKVQLLRNWHQLHGKKEAYDTLIKDLKKANLCTLAEKIQTIILKDITSDSENSNFRNEIQSLV
+>DECOY_sp|P25445|TNR6_HUMAN Tumor necrosis factor receptor superfamily member 6 OS=Homo sapiens OX=9606 GN=FAS PE=1 SV=1
+VLSQIENRFNSNESDSTIDKLIITQIKEALTCLNAKKLDKILTDYAEKKGHLQHWNRLLQVKQEATDQVNDNKIEDIKAENVGNKRVFGKVQSLTMVGAITTIYKSLDVDSLNIAVTEPNLTPSEHSGQNEKRHKRCTKQVEKRKVWVILPIPLLLLCLWGLNSRSGEEKCKTNSTLTCEKIIGHECKTCPDCHECVTSNCFFNPKCRCKTNQTRTCNIEVELGHGEDCLRCRRCKSSFHAKDTYEKGEQCPVCDPEDGNVTCDRAKREGPPCPKHCFQGDHHLGELNQTEVTTVTKRLELGKSNIDTVQANVSKSSLRAVSTLVLPLLTWIGLM
+>sp|P28908|TNR8_HUMAN Tumor necrosis factor receptor superfamily member 8 OS=Homo sapiens OX=9606 GN=TNFRSF8 PE=1 SV=1
+MRVLLAALGLLFLGALRAFPQDRPFEDTCHGNPSHYYDKAVRRCCYRCPMGLFPTQQCPQRPTDCRKQCEPDYYLDEADRCTACVTCSRDDLVEKTPCAWNSSRVCECRPGMFCSTSAVNSCARCFFHSVCPAGMIVKFPGTAQKNTVCEPASPGVSPACASPENCKEPSSGTIPQAKPTPVSPATSSASTMPVRGGTRLAQEAASKLTRAPDSPSSVGRPSSDPGLSPTQPCPEGSGDCRKQCEPDYYLDEAGRCTACVSCSRDDLVEKTPCAWNSSRTCECRPGMICATSATNSCARCVPYPICAAETVTKPQDMAEKDTTFEAPPLGTQPDCNPTPENGEAPASTSPTQSLLVDSQASKTLPIPTSAPVALSSTGKPVLDAGPVLFWVILVLVVVVGSSAFLLCHRRACRKRIRQKLHLCYPVQTSQPKLELVDSRPRRSSTQLRSGASVTEPVAEERGLMSQPLMETCHSVGAAYLESLPLQDASPAGGPSSPRDLPEPRVSTEHTNNKIEKIYIMKADTVIVGTVKAELPEGRGLAGPAEPELEEELEADHTPHYPEQETEPPLGSCSDVMLSVEEEGKEDPLPTAASGK
+>DECOY_sp|P28908|TNR8_HUMAN Tumor necrosis factor receptor superfamily member 8 OS=Homo sapiens OX=9606 GN=TNFRSF8 PE=1 SV=1
+KGSAATPLPDEKGEEEVSLMVDSCSGLPPETEQEPYHPTHDAELEEELEPEAPGALGRGEPLEAKVTGVIVTDAKMIYIKEIKNNTHETSVRPEPLDRPSSPGGAPSADQLPLSELYAAGVSHCTEMLPQSMLGREEAVPETVSAGSRLQTSSRRPRSDVLELKPQSTQVPYCLHLKQRIRKRCARRHCLLFASSGVVVVLVLIVWFLVPGADLVPKGTSSLAVPASTPIPLTKSAQSDVLLSQTPSTSAPAEGNEPTPNCDPQTGLPPAEFTTDKEAMDQPKTVTEAACIPYPVCRACSNTASTACIMGPRCECTRSSNWACPTKEVLDDRSCSVCATCRGAEDLYYDPECQKRCDGSGEPCPQTPSLGPDSSPRGVSSPSDPARTLKSAAEQALRTGGRVPMTSASSTAPSVPTPKAQPITGSSPEKCNEPSACAPSVGPSAPECVTNKQATGPFKVIMGAPCVSHFFCRACSNVASTSCFMGPRCECVRSSNWACPTKEVLDDRSCTVCATCRDAEDLYYDPECQKRCDTPRQPCQQTPFLGMPCRYCCRRVAKDYYHSPNGHCTDEFPRDQPFARLAGLFLLGLAALLVRM
+>sp|Q63HR2|TNS2_HUMAN Tensin-2 OS=Homo sapiens OX=9606 GN=TNS2 PE=1 SV=2
+MKSSGPVERLLRALGRRDSSRAASRPRKAEPHSFREKVFRKKPPVCAVCKVTIDGTGVSCRVCKVATHRKCEAKVTSACQALPPVELRRNTAPVRRIEHLGSTKSLNHSKQRSTLPRSFSLDPLMERRWDLDLTYVTERILAAAFPARPDEQRHRGHLRELAHVLQSKHRDKYLLFNLSEKRHDLTRLNPKVQDFGWPELHAPPLDKLCSICKAMETWLSADPQHVVVLYCKGNKGKLGVIVSAYMHYSKISAGADQALATLTMRKFCEDKVATELQPSQRRYISYFSGLLSGSIRMNSSPLFLHYVLIPMLPAFEPGTGFQPFLKIYQSMQLVYTSGVYHIAGPGPQQLCISLEPALLLKGDVMVTCYHKGGRGTDRTLVFRVQFHTCTIHGPQLTFPKDQLDEAWTDERFPFQASVEFVFSSSPEKIKGSTPRNDPSVSVDYNTTEPAVRWDSYENFNQHHEDSVDGSLTHTRGPLDGSPYAQVQRPPRQTPPAPSPEPPPPPMLSVSSDSGHSSTLTTEPAAESPGRPPPTAAERQELDRLLGGCGVASGGRGAGRETAILDDEEQPTVGGGPHLGVYPGHRPGLSRHCSCRQGYREPCGVPNGGYYRPEGTLERRRLAYGGYEGSPQGYAEASMEKRRLCRSLSEGLYPYPPEMGKPATGDFGYRAPGYREVVILEDPGLPALYPCPACEEKLALPTAALYGLRLEREAGEGWASEAGKPLLHPVRPGHPLPLLLPACGHHHAPMPDYSCLKPPKAGEEGHEGCSYTMCPEGRYGHPGYPALVTYSYGGAVPSYCPAYGRVPHSCGSPGEGRGYPSPGAHSPRAGSISPGSPPYPQSRKLSYEIPTEEGGDRYPLPGHLASAGPLASAESLEPVSWREGPSGHSTLPRSPRDAPCSASSELSGPSTPLHTSSPVQGKESTRRQDTRSPTSAPTQRLSPGEALPPVSQAGTGKAPELPSGSGPEPLAPSPVSPTFPPSSPSDWPQERSPGGHSDGASPRSPVPTTLPGLRHAPWQGPRGPPDSPDGSPLTPVPSQMPWLVASPEPPQSSPTPAFPLAASYDTNGLSQPPLPEKRHLPGPGQQPGPWGPEQASSPARGISHHVTFAPLLSDNVPQTPEPPTQESQSNVKFVQDTSKFWYKPHLSRDQAIALLKDKDPGAFLIRDSHSFQGAYGLALKVATPPPSAQPWKGDPVEQLVRHFLIETGPKGVKIKGCPSEPYFGSLSALVSQHSISPISLPCCLRIPSKDPLEETPEAPVPTNMSTAADLLRQGAACSVLYLTSVETESLTGPQAVARASSAALSCSPRPTPAVVHFKVSAQGITLTDNQRKLFFRRHYPVNSITFSSTDPQDRRWTNPDGTTSKIFGFVAKKPGSPWENVCHLFAELDPDQPAGAIVTFITKVLLGQRK
+>DECOY_sp|Q63HR2|TNS2_HUMAN Tensin-2 OS=Homo sapiens OX=9606 GN=TNS2 PE=1 SV=2
+KRQGLLVKTIFTVIAGAPQDPDLEAFLHCVNEWPSGPKKAVFGFIKSTTGDPNTWRRDQPDTSSFTISNVPYHRRFFLKRQNDTLTIGQASVKFHVVAPTPRPSCSLAASSARAVAQPGTLSETEVSTLYLVSCAAGQRLLDAATSMNTPVPAEPTEELPDKSPIRLCCPLSIPSISHQSVLASLSGFYPESPCGKIKVGKPGTEILFHRVLQEVPDGKWPQASPPPTAVKLALGYAGQFSHSDRILFAGPDKDKLLAIAQDRSLHPKYWFKSTDQVFKVNSQSEQTPPEPTQPVNDSLLPAFTVHHSIGRAPSSAQEPGWPGPQQGPGPLHRKEPLPPQSLGNTDYSAALPFAPTPSSQPPEPSAVLWPMQSPVPTLPSGDPSDPPGRPGQWPAHRLGPLTTPVPSRPSAGDSHGGPSREQPWDSPSSPPFTPSVPSPALPEPGSGSPLEPAKGTGAQSVPPLAEGPSLRQTPASTPSRTDQRRTSEKGQVPSSTHLPTSPGSLESSASCPADRPSRPLTSHGSPGERWSVPELSEASALPGASALHGPLPYRDGGEETPIEYSLKRSQPYPPSGPSISGARPSHAGPSPYGRGEGPSGCSHPVRGYAPCYSPVAGGYSYTVLAPYGPHGYRGEPCMTYSCGEHGEEGAKPPKLCSYDPMPAHHHGCAPLLLPLPHGPRVPHLLPKGAESAWGEGAERELRLGYLAATPLALKEECAPCPYLAPLGPDELIVVERYGPARYGFDGTAPKGMEPPYPYLGESLSRCLRRKEMSAEAYGQPSGEYGGYALRRRELTGEPRYYGGNPVGCPERYGQRCSCHRSLGPRHGPYVGLHPGGGVTPQEEDDLIATERGAGRGGSAVGCGGLLRDLEQREAATPPPRGPSEAAPETTLTSSHGSDSSVSLMPPPPPEPSPAPPTQRPPRQVQAYPSGDLPGRTHTLSGDVSDEHHQNFNEYSDWRVAPETTNYDVSVSPDNRPTSGKIKEPSSSFVFEVSAQFPFREDTWAEDLQDKPFTLQPGHITCTHFQVRFVLTRDTGRGGKHYCTVMVDGKLLLAPELSICLQQPGPGAIHYVGSTYVLQMSQYIKLFPQFGTGPEFAPLMPILVYHLFLPSSNMRISGSLLGSFYSIYRRQSPQLETAVKDECFKRMTLTALAQDAGASIKSYHMYASVIVGLKGKNGKCYLVVVHQPDASLWTEMAKCISCLKDLPPAHLEPWGFDQVKPNLRTLDHRKESLNFLLYKDRHKSQLVHALERLHGRHRQEDPRAPFAAALIRETVYTLDLDWRREMLPDLSFSRPLTSRQKSHNLSKTSGLHEIRRVPATNRRLEVPPLAQCASTVKAECKRHTAVKCVRCSVGTGDITVKCVACVPPKKRFVKERFSHPEAKRPRSAARSSDRRGLARLLREVPGSSKM
+>sp|Q8N6T0|TO6BL_HUMAN Type 2 DNA topoisomerase 6 subunit B-like OS=Homo sapiens OX=9606 GN=TOP6BL PE=2 SV=3
+MEGTAVAVFEILRFLIIHWKCDIDVSKGALLEGQLVISIEGLNSKHQANALHCVTTVASAGSLFGGMVLKKFLKEIQSILPGISAKLTWTSEEGSYSQDMTGVTPFQMIFEVDEKPRTLMTDCLVIKHFLRKIIMVHPKVRFHFSVKVNGILSTEIFGVENEPTLNLGNGIALLVDSQHYVRPNFGTIESHCSRIHPVLGHPVMLFIPEDVAGMDLLGELILTPAAALCPSPKVSSNQLNRISSVSIFLYGPLGLPLILSTWEQPMTTFFKDTSSLVDWKKYHLCMIPNLDLNLDRDLVLPDVSYQVESSEEDQSQTMDPQGQTLLLFLFVDFHSAFPVQQMEIWGVYTLLTTHLNAILVESHSVVQGSIQFTVDKVLEQHHQAAKAQQKLQASLSVAVNSIMSILTGSTRSSFRKMCLQTLQAADTQEFRTKLHKVFREITQHQFLHHCSCEVKQQLTLEKKDSAQGTEDAPDNSSLELLADTSGQAENKRLKRGSPRIEEMRALRSARAPSPSEAAPRRPEATAAPLTPRGREHREAHGRALAPGRASLGSRLEDVLWLQEVSNLSEWLSPSPGP
+>DECOY_sp|Q8N6T0|TO6BL_HUMAN Type 2 DNA topoisomerase 6 subunit B-like OS=Homo sapiens OX=9606 GN=TOP6BL PE=2 SV=3
+PGPSPSLWESLNSVEQLWLVDELRSGLSARGPALARGHAERHERGRPTLPAATAEPRRPAAESPSPARASRLARMEEIRPSGRKLRKNEAQGSTDALLELSSNDPADETGQASDKKELTLQQKVECSCHHLFQHQTIERFVKHLKTRFEQTDAAQLTQLCMKRFSSRTSGTLISMISNVAVSLSAQLKQQAKAAQHHQELVKDVTFQISGQVVSHSEVLIANLHTTLLTYVGWIEMQQVPFASHFDVFLFLLLTQGQPDMTQSQDEESSEVQYSVDPLVLDRDLNLDLNPIMCLHYKKWDVLSSTDKFFTTMPQEWTSLILPLGLPGYLFISVSSIRNLQNSSVKPSPCLAAAPTLILEGLLDMGAVDEPIFLMVPHGLVPHIRSCHSEITGFNPRVYHQSDVLLAIGNGLNLTPENEVGFIETSLIGNVKVSFHFRVKPHVMIIKRLFHKIVLCDTMLTRPKEDVEFIMQFPTVGTMDQSYSGEESTWTLKASIGPLISQIEKLFKKLVMGGFLSGASAVTTVCHLANAQHKSNLGEISIVLQGELLAGKSVDIDCKWHIILFRLIEFVAVATGEM
+>sp|Q8NFQ8|TOIP2_HUMAN Torsin-1A-interacting protein 2 OS=Homo sapiens OX=9606 GN=TOR1AIP2 PE=1 SV=1
+MADSGLREPQEDSQKDLENDPSVNSQAQETTIIASNAEEAEILHSACGLSKDHQEVETEGPESADTGDKSESPDEANVGKHPKDKTEDENKQSFLDGGKGHHLPSENLGKEPLDPDPSHSPSDKVGRADAHLGSSSVALPKEASDGTGASQEPPTTDSQEAQSPGHSSAGQEGEDTLRRRLLAPEAGSHPQQTQKLEEIKENAQDTMRQINKKGFWSYGPVILVVLVVAVVASSVNSYYSSPAQQVPKNPALEAFLAQFSQLEDKFPGQSSFLWQRGRKFLQKHLNASNPTEPATIIFTAAREGRETLKCLSHHVADAYTSSQKVSPIQIDGAGRTWQDSDTVKLLVDLELSYGFENGQKAAVVHHFESFPAGSTLIFYKYCDHENAAFKDVALVLTVLLEEETLEASVGPRETEEKVRDLLWAKFTNSDTPTSFNHMDSDKLSGLWSRISHLVLPVQPVSSIEEQGCLF
+>DECOY_sp|Q8NFQ8|TOIP2_HUMAN Torsin-1A-interacting protein 2 OS=Homo sapiens OX=9606 GN=TOR1AIP2 PE=1 SV=1
+FLCGQEEISSVPQVPLVLHSIRSWLGSLKDSDMHNFSTPTDSNTFKAWLLDRVKEETERPGVSAELTEEELLVTLVLAVDKFAANEHDCYKYFILTSGAPFSEFHHVVAAKQGNEFGYSLELDVLLKVTDSDQWTRGAGDIQIPSVKQSSTYADAVHHSLCKLTERGERAATFIITAPETPNSANLHKQLFKRGRQWLFSSQGPFKDELQSFQALFAELAPNKPVQQAPSSYYSNVSSAVVAVVLVVLIVPGYSWFGKKNIQRMTDQANEKIEELKQTQQPHSGAEPALLRRRLTDEGEQGASSHGPSQAEQSDTTPPEQSAGTGDSAEKPLAVSSSGLHADARGVKDSPSHSPDPDLPEKGLNESPLHHGKGGDLFSQKNEDETKDKPHKGVNAEDPSESKDGTDASEPGETEVEQHDKSLGCASHLIEAEEANSAIITTEQAQSNVSPDNELDKQSDEQPERLGSDAM
+>sp|Q15388|TOM20_HUMAN Mitochondrial import receptor subunit TOM20 homolog OS=Homo sapiens OX=9606 GN=TOMM20 PE=1 SV=1
+MVGRNSAIAAGVCGALFIGYCIYFDRKRRSDPNFKNRLRERRKKQKLAKERAGLSKLPDLKDAEAVQKFFLEEIQLGEELLAQGEYEKGVDHLTNAIAVCGQPQQLLQVLQQTLPPPVFQMLLTKLPTISQRIVSAQSLAEDDVE
+>DECOY_sp|Q15388|TOM20_HUMAN Mitochondrial import receptor subunit TOM20 homolog OS=Homo sapiens OX=9606 GN=TOMM20 PE=1 SV=1
+EVDDEALSQASVIRQSITPLKTLLMQFVPPPLTQQLVQLLQQPQGCVAIANTLHDVGKEYEGQALLEEGLQIEELFFKQVAEADKLDPLKSLGAREKALKQKKRRERLRNKFNPDSRRKRDFYICYGIFLAGCVGAAIASNRGVM
+>sp|Q9NS69|TOM22_HUMAN Mitochondrial import receptor subunit TOM22 homolog OS=Homo sapiens OX=9606 GN=TOMM22 PE=1 SV=3
+MAAAVAAAGAGEPQSPDELLPKGDAEKPEEELEEDDDEELDETLSERLWGLTEMFPERVRSAAGATFDLSLFVAQKMYRFSRAALWIGTTSFMILVLPVVFETEKLQMEQQQQLQQRQILLGPNTGLSGGMPGALPSLPGKI
+>DECOY_sp|Q9NS69|TOM22_HUMAN Mitochondrial import receptor subunit TOM22 homolog OS=Homo sapiens OX=9606 GN=TOMM22 PE=1 SV=3
+IKGPLSPLAGPMGGSLGTNPGLLIQRQQLQQQQEMQLKETEFVVPLVLIMFSTTGIWLAARSFRYMKQAVFLSLDFTAGAASRVREPFMETLGWLRESLTEDLEEDDDEELEEEPKEADGKPLLEDPSQPEGAGAAAVAAAM
+>sp|O94826|TOM70_HUMAN Mitochondrial import receptor subunit TOM70 OS=Homo sapiens OX=9606 GN=TOMM70 PE=1 SV=1
+MAASKPVEAAVVAAAVPSSGSGVGGGGTAGPGTGGLPRWQLALAVGAPLLLGAGAIYLWSRQQRRREARGRGDASGLKRNSERKTPEGRASPAPGSGHPEGPGAHLDMNSLDRAQAAKNKGNKYFKAGKYEQAIQCYTEAISLCPTEKNVDLSTFYQNRAAAFEQLQKWKEVAQDCTKAVELNPKYVKALFRRAKAHEKLDNKKECLEDVTAVCILEGFQNQQSMLLADKVLKLLGKEKAKEKYKNREPLMPSPQFIKSYFSSFTDDIISQPMLKGEKSDEDKDKEGEALEVKENSGYLKAKQYMEEENYDKIISECSKEIDAEGKYMAEALLLRATFYLLIGNANAAKPDLDKVISLKEANVKLRANALIKRGSMYMQQQQPLLSTQDFNMAADIDPQNADVYHHRGQLKILLDQVEEAVADFDECIRLRPESALAQAQKCFALYRQAYTGNNSSQIQAAMKGFEEVIKKFPRCAEGYALYAQALTDQQQFGKADEMYDKCIDLEPDNATTYVHKGLLQLQWKQDLDRGLELISKAIEIDNKCDFAYETMGTIEVQRGNMEKAIDMFNKAINLAKSEMEMAHLYSLCDAAHAQTEVAKKYGLKPPTL
+>DECOY_sp|O94826|TOM70_HUMAN Mitochondrial import receptor subunit TOM70 OS=Homo sapiens OX=9606 GN=TOMM70 PE=1 SV=1
+LTPPKLGYKKAVETQAHAADCLSYLHAMEMESKALNIAKNFMDIAKEMNGRQVEITGMTEYAFDCKNDIEIAKSILELGRDLDQKWQLQLLGKHVYTTANDPELDICKDYMEDAKGFQQQDTLAQAYLAYGEACRPFKKIVEEFGKMAAQIQSSNNGTYAQRYLAFCKQAQALASEPRLRICEDFDAVAEEVQDLLIKLQGRHHYVDANQPDIDAAMNFDQTSLLPQQQQMYMSGRKILANARLKVNAEKLSIVKDLDPKAANANGILLYFTARLLLAEAMYKGEADIEKSCESIIKDYNEEEMYQKAKLYGSNEKVELAEGEKDKDEDSKEGKLMPQSIIDDTFSSFYSKIFQPSPMLPERNKYKEKAKEKGLLKLVKDALLMSQQNQFGELICVATVDELCEKKNDLKEHAKARRFLAKVYKPNLEVAKTCDQAVEKWKQLQEFAAARNQYFTSLDVNKETPCLSIAETYCQIAQEYKGAKFYKNGKNKAAQARDLSNMDLHAGPGEPHGSGPAPSARGEPTKRESNRKLGSADGRGRAERRRQQRSWLYIAGAGLLLPAGVALALQWRPLGGTGPGATGGGGVGSGSSPVAAAVVAAEVPKSAAM
+>sp|Q92547|TOPB1_HUMAN DNA topoisomerase 2-binding protein 1 OS=Homo sapiens OX=9606 GN=TOPBP1 PE=1 SV=3
+MSRNDKEPFFVKFLKSSDNSKCFFKALESIKEFQSEEYLQIITEEEALKIKENDRSLYICDPFSGVVFDHLKKLGCRIVGPQVVIFCMHHQRCVPRAEHPVYNMVMSDVTISCTSLEKEKREEVHKYVQMMGGRVYRDLNVSVTHLIAGEVGSKKYLVAANLKKPILLPSWIKTLWEKSQEKKITRYTDINMEDFKCPIFLGCIICVTGLCGLDRKEVQQLTVKHGGQYMGQLKMNECTHLIVQEPKGQKYECAKRWNVHCVTTQWFFDSIEKGFCQDESIYKTEPRPEAKTMPNSSTPTSQINTIDSRTLSDVSNISNINASCVSESICNSLNSKLEPTLENLENLDVSAFQAPEDLLDGCRIYLCGFSGRKLDKLRRLINSGGGVRFNQLNEDVTHVIVGDYDDELKQFWNKSAHRPHVVGAKWLLECFSKGYMLSEEPYIHANYQPVEIPVSHKPESKAALLKKKNSSFSKKDFAPSEKHEQADEDLLSQYENGSSTVVEAKTSEARPFNDSTHAEPLNDSTHISLQEENQSSVSHCVPDVSTITEEGLFSQKSFLVLGFSNENESNIANIIKENAGKIMSLLSRTVADYAVVPLLGCEVEATVGEVVTNTWLVTCIDYQTLFDPKSNPLFTPVPVMTGMTPLEDCVISFSQCAGAEKESLTFLANLLGASVQEYFVRKSNAKKGMFASTHLILKERGGSKYEAAKKWNLPAVTIAWLLETARTGKRADESHFLIENSTKEERSLETEITNGINLNSDTAEHPGTRLQTHRKTVVTPLDMNRFQSKAFRAVVSQHARQVAASPAVGQPLQKEPSLHLDTPSKFLSKDKLFKPSFDVKDALAALETPGRPSQQKRKPSTPLSEVIVKNLQLALANSSRNAVALSASPQLKEAQSEKEEAPKPLHKVVVCVSKKLSKKQSELNGIAASLGADYRWSFDETVTHFIYQGRPNDTNREYKSVKERGVHIVSEHWLLDCAQECKHLPESLYPHTYNPKMSLDISAVQDGRLCNSRLLSAVSSTKDDEPDPLILEENDVDNMATNNKESAPSNGSGKNDSKGVLTQTLEMRENFQKQLQEIMSATSIVKPQGQRTSLSRSGCNSASSTPDSTRSARSGRSRVLEALRQSRQTVPDVNTEPSQNEQIIWDDPTAREERARLASNLQWPSCPTQYSELQVDIQNLEDSPFQKPLHDSEIAKQAVCDPGNIRVTEAPKHPISEELETPIKDSHLIPTPQAPSIAFPLANPPVAPHPREKIITIEETHEELKKQYIFQLSSLNPQERIDYCHLIEKLGGLVIEKQCFDPTCTHIVVGHPLRNEKYLASVAAGKWVLHRSYLEACRTAGHFVQEEDYEWGSSSILDVLTGINVQQRRLALAAMRWRKKIQQRQESGIVEGAFSGWKVILHVDQSREAGFKRLLQSGGAKVLPGHSVPLFKEATHLFSDLNKLKPDDSGVNIAEAAAQNVYCLRTEYIADYLMQESPPHVENYCLPEAISFIQNNKELGTGLSQKRKAPTEKNKIKRPRVH
+>DECOY_sp|Q92547|TOPB1_HUMAN DNA topoisomerase 2-binding protein 1 OS=Homo sapiens OX=9606 GN=TOPBP1 PE=1 SV=3
+HVRPRKIKNKETPAKRKQSLGTGLEKNNQIFSIAEPLCYNEVHPPSEQMLYDAIYETRLCYVNQAAAEAINVGSDDPKLKNLDSFLHTAEKFLPVSHGPLVKAGGSQLLRKFGAERSQDVHLIVKWGSFAGEVIGSEQRQQIKKRWRMAALALRRQQVNIGTLVDLISSSGWEYDEEQVFHGATRCAELYSRHLVWKGAAVSALYKENRLPHGVVIHTCTPDFCQKEIVLGGLKEILHCYDIREQPNLSSLQFIYQKKLEEHTEEITIIKERPHPAVPPNALPFAISPAQPTPILHSDKIPTELEESIPHKPAETVRINGPDCVAQKAIESDHLPKQFPSDELNQIDVQLESYQTPCSPWQLNSALRAREERATPDDWIIQENQSPETNVDPVTQRSQRLAELVRSRGSRASRTSDPTSSASNCGSRSLSTRQGQPKVISTASMIEQLQKQFNERMELTQTLVGKSDNKGSGNSPASEKNNTAMNDVDNEELILPDPEDDKTSSVASLLRSNCLRGDQVASIDLSMKPNYTHPYLSEPLHKCEQACDLLWHESVIHVGREKVSKYERNTDNPRGQYIFHTVTEDFSWRYDAGLSAAIGNLESQKKSLKKSVCVVVKHLPKPAEEKESQAEKLQPSASLAVANRSSNALALQLNKVIVESLPTSPKRKQQSPRGPTELAALADKVDFSPKFLKDKSLFKSPTDLHLSPEKQLPQGVAPSAAVQRAHQSVVARFAKSQFRNMDLPTVVTKRHTQLRTGPHEATDSNLNIGNTIETELSREEKTSNEILFHSEDARKGTRATELLWAITVAPLNWKKAAEYKSGGREKLILHTSAFMGKKANSKRVFYEQVSAGLLNALFTLSEKEAGACQSFSIVCDELPTMGTMVPVPTFLPNSKPDFLTQYDICTVLWTNTVVEGVTAEVECGLLPVVAYDAVTRSLLSMIKGANEKIINAINSENENSFGLVLFSKQSFLGEETITSVDPVCHSVSSQNEEQLSIHTSDNLPEAHTSDNFPRAESTKAEVVTSSGNEYQSLLDEDAQEHKESPAFDKKSFSSNKKKLLAAKSEPKHSVPIEVPQYNAHIYPEESLMYGKSFCELLWKAGVVHPRHASKNWFQKLEDDYDGVIVHTVDENLQNFRVGGGSNILRRLKDLKRGSFGCLYIRCGDLLDEPAQFASVDLNELNELTPELKSNLSNCISESVCSANINSINSVDSLTRSDITNIQSTPTSSNPMTKAEPRPETKYISEDQCFGKEISDFFWQTTVCHVNWRKACEYKQGKPEQVILHTCENMKLQGMYQGGHKVTLQQVEKRDLGCLGTVCIICGLFIPCKFDEMNIDTYRTIKKEQSKEWLTKIWSPLLIPKKLNAAVLYKKSGVEGAILHTVSVNLDRYVRGGMMQVYKHVEERKEKELSTCSITVDSMVMNYVPHEARPVCRQHHMCFIVVQPGVIRCGLKKLHDFVVGSFPDCIYLSRDNEKIKLAEEETIIQLYEESQFEKISELAKFFCKSNDSSKLFKVFFPEKDNRSM
+>sp|Q9H497|TOR3A_HUMAN Torsin-3A OS=Homo sapiens OX=9606 GN=TOR3A PE=1 SV=1
+MLRGPWRQLWLFFLLLLPGAPEPRGASRPWEGTDEPGSAWAWPGFQRLQEQLRAAGALSKRYWTLFSCQVWPDDCDEDEEAATGPLGWRLPLLGQRYLDLLTTWYCSFKDCCPRGDCRISNNFTGLEWDLNVRLHGQHLVQQLVLRTVRGYLETPQPEKALALSFHGWSGTGKNFVARMLVENLYRDGLMSDCVRMFIATFHFPHPKYVDLYKEQLMSQIRETQQLCHQTLFIFDEAEKLHPGLLEVLGPHLERRAPEGHRAESPWTIFLFLSNLRGDIINEVVLKLLKAGWSREEITMEHLEPHLQAEIVETIDNGFGHSRLVKENLIDYFIPFLPLEYRHVRLCARDAFLSQELLYKEETLDEIAQMMVYVPKEEQLFSSQGCKSISQRINYFLS
+>DECOY_sp|Q9H497|TOR3A_HUMAN Torsin-3A OS=Homo sapiens OX=9606 GN=TOR3A PE=1 SV=1
+SLFYNIRQSISKCGQSSFLQEEKPVYVMMQAIEDLTEEKYLLEQSLFADRACLRVHRYELPLFPIFYDILNEKVLRSHGFGNDITEVIEAQLHPELHEMTIEERSWGAKLLKLVVENIIDGRLNSLFLFITWPSEARHGEPARRELHPGLVELLGPHLKEAEDFIFLTQHCLQQTERIQSMLQEKYLDVYKPHPFHFTAIFMRVCDSMLGDRYLNEVLMRAVFNKGTGSWGHFSLALAKEPQPTELYGRVTRLVLQQVLHQGHLRVNLDWELGTFNNSIRCDGRPCCDKFSCYWTTLLDLYRQGLLPLRWGLPGTAAEEDEDCDDPWVQCSFLTWYRKSLAGAARLQEQLRQFGPWAWASGPEDTGEWPRSAGRPEPAGPLLLLFFLWLQRWPGRLM
+>sp|C9J1S8|TR49D_HUMAN Tripartite motif-containing protein 49D OS=Homo sapiens OX=9606 GN=TRIM49D1 PE=2 SV=1
+MNSGISQVFQRELTCPICLNYFIDPVTIDCGHSFCRPCFYLNWQDIPILTQCFECLKTTQQRNLKTNIRLKKMASRARKASLWLFLSSEEQMCGTHRETKKIFCEVDRSLLCLLCSSSLEHRYHRHCPAEWAAEEHREKLLKKMQSLWEKVCENQRNLNVETTRISHWKDYVNVRLEAIRAEYQKMPAFHHEEEKHNLEMLKKKGKDIFHRLHLSKAKMAHRREILRGTYAELMKMCHKPDVELLQAFGDILHRSESVLLHMPQPLNLELRAGPITGLRDRLNQFRVDITLPHNEANSHIFRRGDLRSICIGCDRQNAPHITATPTSFLAWGAQTFTSGKYYWEVHVGDSWNWAFGVCNKYWKGTNQNGNIHGEEGLFSLGCVKNDIQCSLFTTSPLTLQYVPRPTNHVGLFLDCEARTVSFVDVNQSSPIHTIPNCSFSPPLRPIFCCVHL
+>DECOY_sp|C9J1S8|TR49D_HUMAN Tripartite motif-containing protein 49D OS=Homo sapiens OX=9606 GN=TRIM49D1 PE=2 SV=1
+LHVCCFIPRLPPSFSCNPITHIPSSQNVDVFSVTRAECDLFLGVHNTPRPVYQLTLPSTTFLSCQIDNKVCGLSFLGEEGHINGNQNTGKWYKNCVGFAWNWSDGVHVEWYYKGSTFTQAGWALFSTPTATIHPANQRDCGICISRLDGRRFIHSNAENHPLTIDVRFQNLRDRLGTIPGARLELNLPQPMHLLVSESRHLIDGFAQLLEVDPKHCMKMLEAYTGRLIERRHAMKAKSLHLRHFIDKGKKKLMELNHKEEEHHFAPMKQYEARIAELRVNVYDKWHSIRTTEVNLNRQNECVKEWLSQMKKLLKERHEEAAWEAPCHRHYRHELSSSCLLCLLSRDVECFIKKTERHTGCMQEESSLFLWLSAKRARSAMKKLRINTKLNRQQTTKLCEFCQTLIPIDQWNLYFCPRCFSHGCDITVPDIFYNLCIPCTLERQFVQSIGSNM
+>sp|Q9BUZ4|TRAF4_HUMAN TNF receptor-associated factor 4 OS=Homo sapiens OX=9606 GN=TRAF4 PE=1 SV=1
+MPGFDYKFLEKPKRRLLCPLCGKPMREPVQVSTCGHRFCDTCLQEFLSEGVFKCPEDQLPLDYAKIYPDPELEVQVLGLPIRCIHSEEGCRWSGPLRHLQGHLNTCSFNVIPCPNRCPMKLSRRDLPAHLQHDCPKRRLKCEFCGCDFSGEAYESHEGMCPQESVYCENKCGARMMRRLLAQHATSECPKRTQPCTYCTKEFVFDTIQSHQYQCPRLPVACPNQCGVGTVAREDLPGHLKDSCNTALVLCPFKDSGCKHRCPKLAMARHVEESVKPHLAMMCALVSRQRQELQELRRELEELSVGSDGVLIWKIGSYGRRLQEAKAKPNLECFSPAFYTHKYGYKLQVSAFLNGNGSGEGTHLSLYIRVLPGAFDNLLEWPFARRVTFSLLDQSDPGLAKPQHVTETFHPDPNWKNFQKPGTWRGSLDESSLGFGYPKFISHQDIRKRNYVRDDAVFIRAAVELPRKILS
+>DECOY_sp|Q9BUZ4|TRAF4_HUMAN TNF receptor-associated factor 4 OS=Homo sapiens OX=9606 GN=TRAF4 PE=1 SV=1
+SLIKRPLEVAARIFVADDRVYNRKRIDQHSIFKPYGFGLSSEDLSGRWTGPKQFNKWNPDPHFTETVHQPKALGPDSQDLLSFTVRRAFPWELLNDFAGPLVRIYLSLHTGEGSGNGNLFASVQLKYGYKHTYFAPSFCELNPKAKAEQLRRGYSGIKWILVGDSGVSLEELERRLEQLEQRQRSVLACMMALHPKVSEEVHRAMALKPCRHKCGSDKFPCLVLATNCSDKLHGPLDERAVTGVGCQNPCAVPLRPCQYQHSQITDFVFEKTCYTCPQTRKPCESTAHQALLRRMMRAGCKNECYVSEQPCMGEHSEYAEGSFDCGCFECKLRRKPCDHQLHAPLDRRSLKMPCRNPCPIVNFSCTNLHGQLHRLPGSWRCGEESHICRIPLGLVQVELEPDPYIKAYDLPLQDEPCKFVGESLFEQLCTDCFRHGCTSVQVPERMPKGCLPCLLRRKPKELFKYDFGPM
+>sp|Q12931|TRAP1_HUMAN Heat shock protein 75 kDa, mitochondrial OS=Homo sapiens OX=9606 GN=TRAP1 PE=1 SV=3
+MARELRALLLWGRRLRPLLRAPALAAVPGGKPILCPRRTTAQLGPRRNPAWSLQAGRLFSTQTAEDKEEPLHSIISSTESVQGSTSKHEFQAETKKLLDIVARSLYSEKEVFIRELISNASDALEKLRHKLVSDGQALPEMEIHLQTNAEKGTITIQDTGIGMTQEELVSNLGTIARSGSKAFLDALQNQAEASSKIIGQFGVGFYSAFMVADRVEVYSRSAAPGSLGYQWLSDGSGVFEIAEASGVRTGTKIIIHLKSDCKEFSSEARVRDVVTKYSNFVSFPLYLNGRRMNTLQAIWMMDPKDVREWQHEEFYRYVAQAHDKPRYTLHYKTDAPLNIRSIFYVPDMKPSMFDVSRELGSSVALYSRKVLIQTKATDILPKWLRFIRGVVDSEDIPLNLSRELLQESALIRKLRDVLQQRLIKFFIDQSKKDAEKYAKFFEDYGLFMREGIVTATEQEVKEDIAKLLRYESSALPSGQLTSLSEYASRMRAGTRNIYYLCAPNRHLAEHSPYYEAMKKKDTEVLFCFEQFDELTLLHLREFDKKKLISVETDIVVDHYKEEKFEDRSPAAECLSEKETEELMAWMRNVLGSRVTNVKVTLRLDTHPAMVTVLEMGAARHFLRMQQLAKTQEERAQLLQPTLEINPRHALIKKLNQLRASEPGLAQLLVDQIYENAMIAAGLVDDPRAMVGRLNELLVKALERH
+>DECOY_sp|Q12931|TRAP1_HUMAN Heat shock protein 75 kDa, mitochondrial OS=Homo sapiens OX=9606 GN=TRAP1 PE=1 SV=3
+HRELAKVLLENLRGVMARPDDVLGAAIMANEYIQDVLLQALGPESARLQNLKKILAHRPNIELTPQLLQAREEQTKALQQMRLFHRAAGMELVTVMAPHTDLRLTVKVNTVRSGLVNRMWAMLEETEKESLCEAAPSRDEFKEEKYHDVVIDTEVSILKKKDFERLHLLTLEDFQEFCFLVETDKKKMAEYYPSHEALHRNPACLYYINRTGARMRSAYESLSTLQGSPLASSEYRLLKAIDEKVEQETATVIGERMFLGYDEFFKAYKEADKKSQDIFFKILRQQLVDRLKRILASEQLLERSLNLPIDESDVVGRIFRLWKPLIDTAKTQILVKRSYLAVSSGLERSVDFMSPKMDPVYFISRINLPADTKYHLTYRPKDHAQAVYRYFEEHQWERVDKPDMMWIAQLTNMRRGNLYLPFSVFNSYKTVVDRVRAESSFEKCDSKLHIIIKTGTRVGSAEAIEFVGSGDSLWQYGLSGPAASRSYVEVRDAVMFASYFGVGFQGIIKSSAEAQNQLADLFAKSGSRAITGLNSVLEEQTMGIGTDQITITGKEANTQLHIEMEPLAQGDSVLKHRLKELADSANSILERIFVEKESYLSRAVIDLLKKTEAQFEHKSTSGQVSETSSIISHLPEEKDEATQTSFLRGAQLSWAPNRRPGLQATTRRPCLIPKGGPVAALAPARLLPRLRRGWLLLARLERAM
+>sp|Q6PIZ9|TRAT1_HUMAN T-cell receptor-associated transmembrane adapter 1 OS=Homo sapiens OX=9606 GN=TRAT1 PE=1 SV=1
+MSGISGCPFFLWGLLALLGLALVISLIFNISHYVEKQRQDKMYSYSSDHTRVDEYYIEDTPIYGNLDDMISEPMDENCYEQMKARPEKSVNKMQEATPSAQATNETQMCYASLDHSVKGKRRKPRKQNTHFSDKDGDEQLHAIDASVSKTTLVDSFSPESQAVEENIHDDPIRLFGLIRAKREPIN
+>DECOY_sp|Q6PIZ9|TRAT1_HUMAN T-cell receptor-associated transmembrane adapter 1 OS=Homo sapiens OX=9606 GN=TRAT1 PE=1 SV=1
+NIPERKARILGFLRIPDDHINEEVAQSEPSFSDVLTTKSVSADIAHLQEDGDKDSFHTNQKRPKRRKGKVSHDLSAYCMQTENTAQASPTAEQMKNVSKEPRAKMQEYCNEDMPESIMDDLNGYIPTDEIYYEDVRTHDSSYSYMKDQRQKEVYHSINFILSIVLALGLLALLGWLFFPCGSIGSM
+>sp|A0A5B9|TRBC2_HUMAN T-cell receptor beta-2 chain C region OS=Homo sapiens OX=9606 GN=TRBC2 PE=1 SV=1
+DLKNVFPPEVAVFEPSEAEISHTQKATLVCLATGFYPDHVELSWWVNGKEVHSGVSTDPQPLKEQPALNDSRYCLSSRLRVSATFWQNPRNHFRCQVQFYGLSENDEWTQDRAKPVTQIVSAEAWGRADCGFTSESYQQGVLSATILYEILLGKATLYAVLVSALVLMAMVKRKDSRG
+>DECOY_sp|A0A5B9|TRBC2_HUMAN T-cell receptor beta-2 chain C region OS=Homo sapiens OX=9606 GN=TRBC2 PE=1 SV=1
+GRSDKRKVMAMLVLASVLVAYLTAKGLLIEYLITASLVGQQYSESTFGCDARGWAEASVIQTVPKARDQTWEDNESLGYFQVQCRFHNRPNQWFTASVRLRSSLCYRSDNLAPQEKLPQPDTSVGSHVEKGNVWWSLEVHDPYFGTALCVLTAKQTHSIEAESPEFVAVEPPFVNKLD
+>sp|P02787|TRFE_HUMAN Serotransferrin OS=Homo sapiens OX=9606 GN=TF PE=1 SV=3
+MRLAVGALLVCAVLGLCLAVPDKTVRWCAVSEHEATKCQSFRDHMKSVIPSDGPSVACVKKASYLDCIRAIAANEADAVTLDAGLVYDAYLAPNNLKPVVAEFYGSKEDPQTFYYAVAVVKKDSGFQMNQLRGKKSCHTGLGRSAGWNIPIGLLYCDLPEPRKPLEKAVANFFSGSCAPCADGTDFPQLCQLCPGCGCSTLNQYFGYSGAFKCLKDGAGDVAFVKHSTIFENLANKADRDQYELLCLDNTRKPVDEYKDCHLAQVPSHTVVARSMGGKEDLIWELLNQAQEHFGKDKSKEFQLFSSPHGKDLLFKDSAHGFLKVPPRMDAKMYLGYEYVTAIRNLREGTCPEAPTDECKPVKWCALSHHERLKCDEWSVNSVGKIECVSAETTEDCIAKIMNGEADAMSLDGGFVYIAGKCGLVPVLAENYNKSDNCEDTPEAGYFAIAVVKKSASDLTWDNLKGKKSCHTAVGRTAGWNIPMGLLYNKINHCRFDEFFSEGCAPGSKKDSSLCKLCMGSGLNLCEPNNKEGYYGYTGAFRCLVEKGDVAFVKHQTVPQNTGGKNPDPWAKNLNEKDYELLCLDGTRKPVEEYANCHLARAPNHAVVTRKDKEACVHKILRQQQHLFGSNVTDCSGNFCLFRSETKDLLFRDDTVCLAKLHDRNTYEKYLGEEYVKAVGNLRKCSTSSLLEACTFRRP
+>DECOY_sp|P02787|TRFE_HUMAN Serotransferrin OS=Homo sapiens OX=9606 GN=TF PE=1 SV=3
+PRRFTCAELLSSTSCKRLNGVAKVYEEGLYKEYTNRDHLKALCVTDDRFLLDKTESRFLCFNGSCDTVNSGFLHQQQRLIKHVCAEKDKRTVVAHNPARALHCNAYEEVPKRTGDLCLLEYDKENLNKAWPDPNKGGTNQPVTQHKVFAVDGKEVLCRFAGTYGYYGEKNNPECLNLGSGMCLKCLSSDKKSGPACGESFFEDFRCHNIKNYLLGMPINWGATRGVATHCSKKGKLNDWTLDSASKKVVAIAFYGAEPTDECNDSKNYNEALVPVLGCKGAIYVFGGDLSMADAEGNMIKAICDETTEASVCEIKGVSNVSWEDCKLREHHSLACWKVPKCEDTPAEPCTGERLNRIATVYEYGLYMKADMRPPVKLFGHASDKFLLDKGHPSSFLQFEKSKDKGFHEQAQNLLEWILDEKGGMSRAVVTHSPVQALHCDKYEDVPKRTNDLCLLEYQDRDAKNALNEFITSHKVFAVDGAGDKLCKFAGSYGFYQNLTSCGCGPCLQCLQPFDTGDACPACSGSFFNAVAKELPKRPEPLDCYLLGIPINWGASRGLGTHCSKKGRLQNMQFGSDKKVVAVAYYFTQPDEKSGYFEAVVPKLNNPALYADYVLGADLTVADAENAAIARICDLYSAKKVCAVSPGDSPIVSKMHDRFSQCKTAEHESVACWRVTKDPVALCLGLVACVLLAGVALRM
+>sp|P02788|TRFL_HUMAN Lactotransferrin OS=Homo sapiens OX=9606 GN=LTF PE=1 SV=6
+MKLVFLVLLFLGALGLCLAGRRRSVQWCAVSQPEATKCFQWQRNMRKVRGPPVSCIKRDSPIQCIQAIAENRADAVTLDGGFIYEAGLAPYKLRPVAAEVYGTERQPRTHYYAVAVVKKGGSFQLNELQGLKSCHTGLRRTAGWNVPIGTLRPFLNWTGPPEPIEAAVARFFSASCVPGADKGQFPNLCRLCAGTGENKCAFSSQEPYFSYSGAFKCLRDGAGDVAFIRESTVFEDLSDEAERDEYELLCPDNTRKPVDKFKDCHLARVPSHAVVARSVNGKEDAIWNLLRQAQEKFGKDKSPKFQLFGSPSGQKDLLFKDSAIGFSRVPPRIDSGLYLGSGYFTAIQNLRKSEEEVAARRARVVWCAVGEQELRKCNQWSGLSEGSVTCSSASTTEDCIALVLKGEADAMSLDGGYVYTAGKCGLVPVLAENYKSQQSSDPDPNCVDRPVEGYLAVAVVRRSDTSLTWNSVKGKKSCHTAVDRTAGWNIPMGLLFNQTGSCKFDEYFSQSCAPGSDPRSNLCALCIGDEQGENKCVPNSNERYYGYTGAFRCLAENAGDVAFVKDVTVLQNTDGNNNEAWAKDLKLADFALLCLDGKRKPVTEARSCHLAMAPNHAVVSRMDKVERLKQVLLHQQAKFGRNGSDCPDKFCLFQSETKNLLFNDNTECLARLHGKTTYEKYLGPQYVAGITNLKKCSTSPLLEACEFLRK
+>DECOY_sp|P02788|TRFL_HUMAN Lactotransferrin OS=Homo sapiens OX=9606 GN=LTF PE=1 SV=6
+KRLFECAELLPSTSCKKLNTIGAVYQPGLYKEYTTKGHLRALCETNDNFLLNKTESQFLCFKDPCDSGNRGFKAQQHLLVQKLREVKDMRSVVAHNPAMALHCSRAETVPKRKGDLCLLAFDALKLDKAWAENNNGDTNQLVTVDKVFAVDGANEALCRFAGTYGYYRENSNPVCKNEGQEDGICLACLNSRPDSGPACSQSFYEDFKCSGTQNFLLGMPINWGATRDVATHCSKKGKVSNWTLSTDSRRVVAVALYGEVPRDVCNPDPDSSQQSKYNEALVPVLGCKGATYVYGGDLSMADAEGKLVLAICDETTSASSCTVSGESLGSWQNCKRLEQEGVACWVVRARRAAVEEESKRLNQIATFYGSGLYLGSDIRPPVRSFGIASDKFLLDKQGSPSGFLQFKPSKDKGFKEQAQRLLNWIADEKGNVSRAVVAHSPVRALHCDKFKDVPKRTNDPCLLEYEDREAEDSLDEFVTSERIFAVDGAGDRLCKFAGSYSFYPEQSSFACKNEGTGACLRCLNPFQGKDAGPVCSASFFRAVAAEIPEPPGTWNLFPRLTGIPVNWGATRRLGTHCSKLGQLENLQFSGGKKVVAVAYYHTRPQRETGYVEAAVPRLKYPALGAEYIFGGDLTVADARNEAIAQICQIPSDRKICSVPPGRVKRMNRQWQFCKTAEPQSVACWQVSRRRGALCLGLAGLFLLVLFVLKM
+>sp|P20396|TRH_HUMAN Pro-thyrotropin-releasing hormone OS=Homo sapiens OX=9606 GN=TRH PE=1 SV=1
+MPGPWLLLALALTLNLTGVPGGRAQPEAAQQEAVTAAEHPGLDDFLRQVERLLFLRENIQRLQGDQGEHSASQIFQSDWLSKRQHPGKREEEEEEGVEEEEEEEGGAVGPHKRQHPGRREDEASWSVDVTQHKRQHPGRRSPWLAYAVPKRQHPGRRLADPKAQRSWEEEEEEEEREEDLMPEKRQHPGKRALGGPCGPQGAYGQAGLLLGLLDDLSRSQGAEEKRQHPGRRAAWVREPLEE
+>DECOY_sp|P20396|TRH_HUMAN Pro-thyrotropin-releasing hormone OS=Homo sapiens OX=9606 GN=TRH PE=1 SV=1
+EELPERVWAARRGPHQRKEEAGQSRSLDDLLGLLLGAQGYAGQPGCPGGLARKGPHQRKEPMLDEEREEEEEEEEWSRQAKPDALRRGPHQRKPVAYALWPSRRGPHQRKHQTVDVSWSAEDERRGPHQRKHPGVAGGEEEEEEEVGEEEEEERKGPHQRKSLWDSQFIQSASHEGQDGQLRQINERLFLLREVQRLFDDLGPHEAATVAEQQAAEPQARGGPVGTLNLTLALALLLWPGPM
+>sp|O60858|TRI13_HUMAN E3 ubiquitin-protein ligase TRIM13 OS=Homo sapiens OX=9606 GN=TRIM13 PE=1 SV=2
+MELLEEDLTCPICCSLFDDPRVLPCSHNFCKKCLEGILEGSVRNSLWRPAPFKCPTCRKETSATGINSLQVNYSLKGIVEKYNKIKISPKMPVCKGHLGQPLNIFCLTDMQLICGICATRGEHTKHVFCSIEDAYAQERDAFESLFQSFETWRRGDALSRLDTLETSKRKSLQLLTKDSDKVKEFFEKLQHTLDQKKNEILSDFETMKLAVMQAYDPEINKLNTILQEQRMAFNIAEAFKDVSEPIVFLQQMQEFREKIKVIKETPLPPSNLPASPLMKNFDTSQWEDIKLVDVDKLSLPQDTGTFISKIPWSFYKLFLLILLLGLVIVFGPTMFLEWSLFDDLATWKGCLSNFSSYLTKTADFIEQSVFYWEQVTDGFFIFNERFKNFTLVVLNNVAEFVCKYKLL
+>DECOY_sp|O60858|TRI13_HUMAN E3 ubiquitin-protein ligase TRIM13 OS=Homo sapiens OX=9606 GN=TRIM13 PE=1 SV=2
+LLKYKCVFEAVNNLVVLTFNKFRENFIFFGDTVQEWYFVSQEIFDATKTLYSSFNSLCGKWTALDDFLSWELFMTPGFVIVLGLLLILLFLKYFSWPIKSIFTGTDQPLSLKDVDVLKIDEWQSTDFNKMLPSAPLNSPPLPTEKIVKIKERFEQMQQLFVIPESVDKFAEAINFAMRQEQLITNLKNIEPDYAQMVALKMTEFDSLIENKKQDLTHQLKEFFEKVKDSDKTLLQLSKRKSTELTDLRSLADGRRWTEFSQFLSEFADREQAYADEISCFVHKTHEGRTACIGCILQMDTLCFINLPQGLHGKCVPMKPSIKIKNYKEVIGKLSYNVQLSNIGTASTEKRCTPCKFPAPRWLSNRVSGELIGELCKKCFNHSCPLVRPDDFLSCCIPCTLDEELLEM
+>sp|P14373|TRI27_HUMAN Zinc finger protein RFP OS=Homo sapiens OX=9606 GN=TRIM27 PE=1 SV=1
+MASGSVAECLQQETTCPVCLQYFAEPMMLDCGHNICCACLARCWGTAETNVSCPQCRETFPQRHMRPNRHLANVTQLVKQLRTERPSGPGGEMGVCEKHREPLKLYCEEDQMPICVVCDRSREHRGHSVLPLEEAVEGFKEQIQNQLDHLKRVKDLKKRRRAQGEQARAELLSLTQMEREKIVWEFEQLYHSLKEHEYRLLARLEELDLAIYNSINGAITQFSCNISHLSSLIAQLEEKQQQPTRELLQDIGDTLSRAERIRIPEPWITPPDLQEKIHIFAQKCLFLTESLKQFTEKMQSDMEKIQELREAQLYSVDVTLDPDTAYPSLILSDNLRQVRYSYLQQDLPDNPERFNLFPCVLGSPCFIAGRHYWEVEVGDKAKWTIGVCEDSVCRKGGVTSAPQNGFWAVSLWYGKEYWALTSPMTALPLRTPLQRVGIFLDYDAGEVSFYNVTERCHTFTFSHATFCGPVRPYFSLSYSGGKSAAPLIICPMSGIDGFSGHVGNHGHSMETSP
+>DECOY_sp|P14373|TRI27_HUMAN Zinc finger protein RFP OS=Homo sapiens OX=9606 GN=TRIM27 PE=1 SV=1
+PSTEMSHGHNGVHGSFGDIGSMPCIILPAASKGGSYSLSFYPRVPGCFTAHSFTFTHCRETVNYFSVEGADYDLFIGVRQLPTRLPLATMPSTLAWYEKGYWLSVAWFGNQPASTVGGKRCVSDECVGITWKAKDGVEVEWYHRGAIFCPSGLVCPFLNFREPNDPLDQQLYSYRVQRLNDSLILSPYATDPDLTVDVSYLQAERLEQIKEMDSQMKETFQKLSETLFLCKQAFIHIKEQLDPPTIWPEPIRIREARSLTDGIDQLLERTPQQQKEELQAILSSLHSINCSFQTIAGNISNYIALDLEELRALLRYEHEKLSHYLQEFEWVIKEREMQTLSLLEARAQEGQARRRKKLDKVRKLHDLQNQIQEKFGEVAEELPLVSHGRHERSRDCVVCIPMQDEECYLKLPERHKECVGMEGGPGSPRETRLQKVLQTVNALHRNPRMHRQPFTERCQPCSVNTEATGWCRALCACCINHGCDLMMPEAFYQLCVPCTTEQQLCEAVSGSAM
+>sp|Q6P9F5|TRI40_HUMAN Tripartite motif-containing protein 40 OS=Homo sapiens OX=9606 GN=TRIM40 PE=1 SV=3
+MIPLQKDNQEEGVCPICQESLKEAVSTNCGHLFCRVCLTQHVEKASASGVFCCPLCRKPCSEEVLGTGYICPNHQKRVCRFCEESRLLLCVECLVSPEHMSHHELTIENALSHYKERLNRRSRKLRKDIAELQRLKAQQEKKLQALQFQVDHGNHRLEAGPESQHQTREQLGALPQQWLGQLEHMPAEAARILDISRAVTQLRSLVIDLERTAKELDTNTLKNAGDLLNRSAPQKLEVIYPQLEKGVSELLLQPPQKL
+>DECOY_sp|Q6P9F5|TRI40_HUMAN Tripartite motif-containing protein 40 OS=Homo sapiens OX=9606 GN=TRIM40 PE=1 SV=3
+LKQPPQLLLESVGKELQPYIVELKQPASRNLLDGANKLTNTDLEKATRELDIVLSRLQTVARSIDLIRAAEAPMHELQGLWQQPLAGLQERTQHQSEPGAELRHNGHDVQFQLAQLKKEQQAKLRQLEAIDKRLKRSRRNLREKYHSLANEITLEHHSMHEPSVLCEVCLLLRSEECFRCVRKQHNPCIYGTGLVEESCPKRCLPCCFVGSASAKEVHQTLCVRCFLHGCNTSVAEKLSEQCIPCVGEEQNDKQLPIM
+>sp|Q8WV44|TRI41_HUMAN E3 ubiquitin-protein ligase TRIM41 OS=Homo sapiens OX=9606 GN=TRIM41 PE=1 SV=3
+MAAVAMTPNPVQTLQEEAVCAICLDYFTDPVSIGCGHNFCRVCVTQLWGGEDEEDRDELDREEEEEDGEEEEVEAVGAGAGWDTPMRDEDYEGDMEEEVEEEEEGVFWTSGMSRSSWDNMDYVWEEEDEEEDLDYYLGDMEEEDLRGEDEEDEEEVLEEVEEEDLDPVTPLPPPPAPRRCFTCPQCRKSFPRRSFRPNLQLANMVQVIRQMHPTPGRGSRVTDQGICPKHQEALKLFCEVDEEAICVVCRESRSHKQHSVVPLEEVVQEYKAKLQGHVEPLRKHLEAVQKMKAKEERRVTELKSQMKSELAAVASEFGRLTRFLAEEQAGLERRLREMHEAQLGRAGAAASRLAEQAAQLSRLLAEAQERSQQGGLRLLQDIKETFNRCEEVQLQPPEVWSPDPCQPHSHDFLTDAIVRKMSRMFCQAARVDLTLDPDTAHPALMLSPDRRGVRLAERRQEVADHPKRFSADCCVLGAQGFRSGRHYWEVEVGGRRGWAVGAARESTHHKEKVGPGGSSVGSGDASSSRHHHRRRRLHLPQQPLLQREVWCVGTNGKRYQAQSSTEQTLLSPSEKPRRFGVYLDYEAGRLGFYNAETLAHVHTFSAAFLGERVFPFFRVLSKGTRIKLCP
+>DECOY_sp|Q8WV44|TRI41_HUMAN E3 ubiquitin-protein ligase TRIM41 OS=Homo sapiens OX=9606 GN=TRIM41 PE=1 SV=3
+PCLKIRTGKSLVRFFPFVREGLFAASFTHVHALTEANYFGLRGAEYDLYVGFRRPKESPSLLTQETSSQAQYRKGNTGVCWVERQLLPQQPLHLRRRRHHHRSSSADGSGVSSGGPGVKEKHHTSERAAGVAWGRRGGVEVEWYHRGSRFGQAGLVCCDASFRKPHDAVEQRREALRVGRRDPSLMLAPHATDPDLTLDVRAAQCFMRSMKRVIADTLFDHSHPQCPDPSWVEPPQLQVEECRNFTEKIDQLLRLGGQQSREQAEALLRSLQAAQEALRSAAAGARGLQAEHMERLRRELGAQEEALFRTLRGFESAVAALESKMQSKLETVRREEKAKMKQVAELHKRLPEVHGQLKAKYEQVVEELPVVSHQKHSRSERCVVCIAEEDVECFLKLAEQHKPCIGQDTVRSGRGPTPHMQRIVQVMNALQLNPRFSRRPFSKRCQPCTFCRRPAPPPPLPTVPDLDEEEVEELVEEEDEEDEGRLDEEEMDGLYYDLDEEEDEEEWVYDMNDWSSRSMGSTWFVGEEEEEVEEEMDGEYDEDRMPTDWGAGAGVAEVEEEEGDEEEEERDLEDRDEEDEGGWLQTVCVRCFNHGCGISVPDTFYDLCIACVAEEQLTQVPNPTMAVAAM
+>sp|Q8IWZ4|TRI48_HUMAN Tripartite motif-containing protein 48 OS=Homo sapiens OX=9606 GN=TRIM48 PE=2 SV=2
+MNSGISQVFQRELTCPICMNYFIDPVTIDCGHSFCRPCFYLNWQDIPILTQCFECIKTIQQRNLKTNIRLKKMASLARKASLWLFLSSEEQMCGIHRETKKMFCEVDRSLLCLLCSSSQEHRYHRHCPAEWAAEEHWEKLLKKMQSLWEKACENQRNLNVETTRISHWKAFGDILYRSESVLLHMPQPLNLALRAGPITGLRDRLNQF
+>DECOY_sp|Q8IWZ4|TRI48_HUMAN Tripartite motif-containing protein 48 OS=Homo sapiens OX=9606 GN=TRIM48 PE=2 SV=2
+FQNLRDRLGTIPGARLALNLPQPMHLLVSESRYLIDGFAKWHSIRTTEVNLNRQNECAKEWLSQMKKLLKEWHEEAAWEAPCHRHYRHEQSSSCLLCLLSRDVECFMKKTERHIGCMQEESSLFLWLSAKRALSAMKKLRINTKLNRQQITKICEFCQTLIPIDQWNLYFCPRCFSHGCDITVPDIFYNMCIPCTLERQFVQSIGSNM
+>sp|P0CI25|TRI49_HUMAN Tripartite motif-containing protein 49 OS=Homo sapiens OX=9606 GN=TRIM49 PE=2 SV=1
+MNSGILQVFQGELICPLCMNYFIDPVTIDCGHSFCRPCFYLNWQDIPFLVQCSECTKSTEQINLKTNIHLKKMASLARKVSLWLFLSSEEQMCGTHRETKKIFCEVDRSLLCLLCSSSQEHRYHRHRPIEWAAEEHREKLLQKMQSLWEKACENHRNLNVETTRTRCWKDYVNLRLEAIRAEYQKMPAFHHEEEKHNLEMLKKKGKEIFHRLHLSKAKMAHRMEILRGMYEELNEMCHKPDVELLQAFGDILHRSESVLLHMPQPLNPELSAGPITGLRDRLNQFRVHITLHHEEANNDIFLYEILRSMCIGCDHQDVPYFTATPRSFLAWGVQTFTSGKYYWEVHVGDSWNWAFGVCNMYRKEKNQNEKIDGKAGLFLLGCVKNDIQCSLFTTSPLMLQYIPKPTSRVGLFLDCEAKTVSFVDVNQSSLIYTIPNCSFSPPLRPIFCCIHF
+>DECOY_sp|P0CI25|TRI49_HUMAN Tripartite motif-containing protein 49 OS=Homo sapiens OX=9606 GN=TRIM49 PE=2 SV=1
+FHICCFIPRLPPSFSCNPITYILSSQNVDVFSVTKAECDLFLGVRSTPKPIYQLMLPSTTFLSCQIDNKVCGLLFLGAKGDIKENQNKEKRYMNCVGFAWNWSDGVHVEWYYKGSTFTQVGWALFSRPTATFYPVDQHDCGICMSRLIEYLFIDNNAEEHHLTIHVRFQNLRDRLGTIPGASLEPNLPQPMHLLVSESRHLIDGFAQLLEVDPKHCMENLEEYMGRLIEMRHAMKAKSLHLRHFIEKGKKKLMELNHKEEEHHFAPMKQYEARIAELRLNVYDKWCRTRTTEVNLNRHNECAKEWLSQMKQLLKERHEEAAWEIPRHRHYRHEQSSSCLLCLLSRDVECFIKKTERHTGCMQEESSLFLWLSVKRALSAMKKLHINTKLNIQETSKTCESCQVLFPIDQWNLYFCPRCFSHGCDITVPDIFYNMCLPCILEGQFVQLIGSNM
+>sp|Q8IWR1|TRI59_HUMAN Tripartite motif-containing protein 59 OS=Homo sapiens OX=9606 GN=TRIM59 PE=1 SV=1
+MHNFEEELTCPICYSIFEDPRVLPCSHTFCRNCLENILQASGNFYIWRPLRIPLKCPNCRSITEIAPTGIESLPVNFALRAIIEKYQQEDHPDIVTCPEHYRQPLNVYCLLDKKLVCGHCLTIGQHHGHPIDDLQSAYLKEKDTPQKLLEQLTDTHWTDLTHLIEKLKEQKSHSEKMIQGDKEAVLQYFKELNDTLEQKKKSFLTALCDVGNLINQEYTPQIERMKEIREQQLELMALTISLQEESPLKFLEKVDDVRQHVQILKQRPLPEVQPVEIYPRVSKILKEEWSRTEIGQIKNVLIPKMKISPKRMSCSWPGKDEKEVEFLKILNIVVVTLISVILMSILFFNQHIITFLSEITLIWFSEASLSVYQSLSNSLHKVKNILCHIFYLLKEFVWKIVSH
+>DECOY_sp|Q8IWR1|TRI59_HUMAN Tripartite motif-containing protein 59 OS=Homo sapiens OX=9606 GN=TRIM59 PE=1 SV=1
+HSVIKWVFEKLLYFIHCLINKVKHLSNSLSQYVSLSAESFWILTIESLFTIIHQNFFLISMLIVSILTVVVINLIKLFEVEKEDKGPWSCSMRKPSIKMKPILVNKIQGIETRSWEEKLIKSVRPYIEVPQVEPLPRQKLIQVHQRVDDVKELFKLPSEEQLSITLAMLELQQERIEKMREIQPTYEQNILNGVDCLATLFSKKKQELTDNLEKFYQLVAEKDGQIMKESHSKQEKLKEILHTLDTWHTDTLQELLKQPTDKEKLYASQLDDIPHGHHQGITLCHGCVLKKDLLCYVNLPQRYHEPCTVIDPHDEQQYKEIIARLAFNVPLSEIGTPAIETISRCNPCKLPIRLPRWIYFNGSAQLINELCNRCFTHSCPLVRPDEFISYCIPCTLEEEFNHM
+>sp|Q86UV7|TRI73_HUMAN Tripartite motif-containing protein 73 OS=Homo sapiens OX=9606 GN=TRIM73 PE=2 SV=1
+MAWQVSLLELEDRLQCPICLEVFKESLMLQCGHSYCKGCLVSLSYHLDTKVRCPMCWQVVDGSSSLPNVSLAWVIEALRLPGDPEPKVCVHHRNPLSLFCEKDQELICGLCGLLGSHQHHPVTPVSTVCSRMKEELAALFSELKQEQKKVDELIAKLVKNRTRIVNESDVFSWVIRREFQELRHPVDEEKARCLEGIGGHTRGLVASLDMQLEQAQGTRERLAQAECVLEQFGNEDHHEFIWKFHSMASR
+>DECOY_sp|Q86UV7|TRI73_HUMAN Tripartite motif-containing protein 73 OS=Homo sapiens OX=9606 GN=TRIM73 PE=2 SV=1
+RSAMSHFKWIFEHHDENGFQELVCEAQALRERTGQAQELQMDLSAVLGRTHGGIGELCRAKEEDVPHRLEQFERRIVWSFVDSENVIRTRNKVLKAILEDVKKQEQKLESFLAALEEKMRSCVTSVPTVPHHQHSGLLGCLGCILEQDKECFLSLPNRHHVCVKPEPDGPLRLAEIVWALSVNPLSSSGDVVQWCMPCRVKTDLHYSLSVLCGKCYSHGCQLMLSEKFVELCIPCQLRDELELLSVQWAM
+>sp|Q9C037|TRIM4_HUMAN E3 ubiquitin-protein ligase TRIM4 OS=Homo sapiens OX=9606 GN=TRIM4 PE=1 SV=2
+MEAEDIQEELTCPICLDYFQDPVSIECGHNFCRGCLHRNWAPGGGPFPCPECRHPSAPAALRPNWALARLTEKTQRRRLGPVPPGLCGRHWEPLRLFCEDDQRPVCLVCRESQEHQTHAMAPIDEAFESYRTGNFDIHVDEWKRRLIRLLLYHFKQEEKLLKSQRNLVAKMKKVMHLQDVEVKNATQWKDKIKSQRMRISTEFSKLHNFLVEEEDLFLQRLNKEEEETKKKLNENTLKLNQTIASLKKLILEVGEKSQAPTLELLQNPKEVLTRSEIQDVNYSLEAVKVKTVCQIPLMKEMLKRFQVAVNLAEDTAHPKLVFSQEGRYVKNTASASSWPVFSSAWNYFAGWRNPQKTAFVERFQHLPCVLGKNVFTSGKHYWEVESRDSLEVAVGVCREDVMGITDRSKMSPDVGIWAIYWSAAGYWPLIGFPGTPTQQEPALHRVGVYLDRGTGNVSFYSAVDGVHLHTFSCSSVSRLRPFFWLSPLASLVIPPVTDRK
+>DECOY_sp|Q9C037|TRIM4_HUMAN E3 ubiquitin-protein ligase TRIM4 OS=Homo sapiens OX=9606 GN=TRIM4 PE=1 SV=2
+KRDTVPPIVLSALPSLWFFPRLRSVSSCSFTHLHVGDVASYFSVNGTGRDLYVGVRHLAPEQQTPTGPFGILPWYGAASWYIAWIGVDPSMKSRDTIGMVDERCVGVAVELSDRSEVEWYHKGSTFVNKGLVCPLHQFREVFATKQPNRWGAFYNWASSFVPWSSASATNKVYRGEQSFVLKPHATDEALNVAVQFRKLMEKMLPIQCVTKVKVAELSYNVDQIESRTLVEKPNQLLELTPAQSKEGVELILKKLSAITQNLKLTNENLKKKTEEEEKNLRQLFLDEEEVLFNHLKSFETSIRMRQSKIKDKWQTANKVEVDQLHMVKKMKAVLNRQSKLLKEEQKFHYLLLRILRRKWEDVHIDFNGTRYSEFAEDIPAMAHTQHEQSERCVLCVPRQDDECFLRLPEWHRGCLGPPVPGLRRRQTKETLRALAWNPRLAAPASPHRCEPCPFPGGGPAWNRHLCGRCFNHGCEISVPDQFYDLCIPCTLEEQIDEAEM
+>sp|Q629K1|TRIQK_HUMAN Triple QxxK/R motif-containing protein OS=Homo sapiens OX=9606 GN=TRIQK PE=3 SV=1
+MGRKDAATIKLPVDQYRKQIGKQDYKKTKPILRATKLKAEAKKTAIGIKEVGLVLAAILALLLAFYAFFYLRLTTDVDPDLDQDED
+>DECOY_sp|Q629K1|TRIQK_HUMAN Triple QxxK/R motif-containing protein OS=Homo sapiens OX=9606 GN=TRIQK PE=3 SV=1
+DEDQDLDPDVDTTLRLYFFAYFALLLALIAALVLGVEKIGIATKKAEAKLKTARLIPKTKKYDQKGIQKRYQDVPLKITAADKRGM
+>sp|Q96FX7|TRM61_HUMAN tRNA (adenine(58)-N(1))-methyltransferase catalytic subunit TRMT61A OS=Homo sapiens OX=9606 GN=TRMT61A PE=1 SV=1
+MSFVAYEELIKEGDTAILSLGHGAMVAVRVQRGAQTQTRHGVLRHSVDLIGRPFGSKVTCGRGGWVYVLHPTPELWTLNLPHRTQILYSTDIALITMMLELRPGSVVCESGTGSGSVSHAIIRTIAPTGHLHTVEFHQQRAEKAREEFQEHRVGRWVTVRTQDVCRSGFGVSHVADAVFLDIPSPWEAVGHAWDALKVEGGRFCSFSPCIEQVQRTCQALAARGFSELSTLEVLPQVYNVRTVSLPPPDLGTGTDGPAGSDTSPFRSGTPMKEAVGHTGYLTFATKTPG
+>DECOY_sp|Q96FX7|TRM61_HUMAN tRNA (adenine(58)-N(1))-methyltransferase catalytic subunit TRMT61A OS=Homo sapiens OX=9606 GN=TRMT61A PE=1 SV=1
+GPTKTAFTLYGTHGVAEKMPTGSRFPSTDSGAPGDTGTGLDPPPLSVTRVNYVQPLVELTSLESFGRAALAQCTRQVQEICPSFSCFRGGEVKLADWAHGVAEWPSPIDLFVADAVHSVGFGSRCVDQTRVTVWRGVRHEQFEERAKEARQQHFEVTHLHGTPAITRIIAHSVSGSGTGSECVVSGPRLELMMTILAIDTSYLIQTRHPLNLTWLEPTPHLVYVWGGRGCTVKSGFPRGILDVSHRLVGHRTQTQAGRQVRVAVMAGHGLSLIATDGEKILEEYAVFSM
+>sp|Q9P272|TRM9B_HUMAN Probable tRNA methyltransferase 9B OS=Homo sapiens OX=9606 GN=TRMT9B PE=2 SV=3
+MDHEAAQLEKQHVHNVYESTAPYFSDLQSKAWPRVRQFLQEQKPGSLIADIGCGTGKYLKVNSQVHTVGCDYCGPLVEIARNRGCEAMVCDNLNLPFRDEGFDAIISIGVIHHFSTKQRRIRAIKEMARVLVPGGQLMIYVWAMEQKNRHFEKQDVLVPWNRALCSQLFSESSQSGRKRQCGYPERGHPYHPPCSECSCSVCFKEQCGSKRSHSVGYEPAMARTCFANISKEGEEEYGFYSTLGKSFRSWFFSRSLDESTLRKQIERVRPLKNTEVWASSTVTVQPSRHSSLDFDHQEPFSTKGQSLDEEVFVESSSGKHLEWLRAPGTLKHLNGDHQGEMRRNGGGNFLDSTNTGVNCVDAGNIEDDNPSASKILRRISAVDSTDFNPDDTMSVEDPQTDVLDSTAFMRYYHVFREGELCSLLKENVSELRILSSGNDHGNWCIIAEKKRGCD
+>DECOY_sp|Q9P272|TRM9B_HUMAN Probable tRNA methyltransferase 9B OS=Homo sapiens OX=9606 GN=TRMT9B PE=2 SV=3
+DCGRKKEAIICWNGHDNGSSLIRLESVNEKLLSCLEGERFVHYYRMFATSDLVDTQPDEVSMTDDPNFDTSDVASIRRLIKSASPNDDEINGADVCNVGTNTSDLFNGGGNRRMEGQHDGNLHKLTGPARLWELHKGSSSEVFVEEDLSQGKTSFPEQHDFDLSSHRSPQVTVTSSAWVETNKLPRVREIQKRLTSEDLSRSFFWSRFSKGLTSYFGYEEEGEKSINAFCTRAMAPEYGVSHSRKSGCQEKFCVSCSCESCPPHYPHGREPYGCQRKRGSQSSESFLQSCLARNWPVLVDQKEFHRNKQEMAWVYIMLQGGPVLVRAMEKIARIRRQKTSFHHIVGISIIADFGEDRFPLNLNDCVMAECGRNRAIEVLPGCYDCGVTHVQSNVKLYKGTGCGIDAILSGPKQEQLFQRVRPWAKSQLDSFYPATSEYVNHVHQKELQAAEHDM
+>sp|Q9BU70|TRMO_HUMAN tRNA (adenine(37)-N6)-methyltransferase OS=Homo sapiens OX=9606 GN=TRMO PE=2 SV=2
+MRGLEESGPRPTATPCGCVKPALETGNLLTEPVGYLESCFSAKNGTPRQPSICSYSRACLRIRKRIFNNPEHSLMGLEQFSHVWILFVFHKNGHLSCKAKVQPPRLNGAKTGVFSTRSPHRPNAIGLTLAKLEKVEGGAIYLSGIDMIHGTPVLDIKPYIAEYDSPQNVMEPLADFNLQNNQHTPNTVSQSDSKTDSCDQRQLSGCDEPQPHHSTKRKPKCPEDRTSEENYLTHSDTARIQQAFPMHREIAVDFGLESRRDQSSSVAEEQIGPYCPEKSFSEKGTDKKLERVEGAAVLQGSRAETQPMAPHCPAGRADGAPRSVVPAWVTEAPVATLEVRFTPHAEMDLGQLSSQDVGQASFKYFQSAEEAKRAIEAVLSADPRSVYRRKLCQDRLFYFTVDIAHVTCWFGDGFAEVLRIKPASEPVHMTGPVGSLVSLGS
+>DECOY_sp|Q9BU70|TRMO_HUMAN tRNA (adenine(37)-N6)-methyltransferase OS=Homo sapiens OX=9606 GN=TRMO PE=2 SV=2
+SGLSVLSGVPGTMHVPESAPKIRLVEAFGDGFWCTVHAIDVTFYFLRDQCLKRRYVSRPDASLVAEIARKAEEASQFYKFSAQGVDQSSLQGLDMEAHPTFRVELTAVPAETVWAPVVSRPAGDARGAPCHPAMPQTEARSGQLVAAGEVRELKKDTGKESFSKEPCYPGIQEEAVSSSQDRRSELGFDVAIERHMPFAQQIRATDSHTLYNEESTRDEPCKPKRKTSHHPQPEDCGSLQRQDCSDTKSDSQSVTNPTHQNNQLNFDALPEMVNQPSDYEAIYPKIDLVPTGHIMDIGSLYIAGGEVKELKALTLGIANPRHPSRTSFVGTKAGNLRPPQVKAKCSLHGNKHFVFLIWVHSFQELGMLSHEPNNFIRKRIRLCARSYSCISPQRPTGNKASFCSELYGVPETLLNGTELAPKVCGCPTATPRPGSEELGRM
+>sp|Q9UJW2|TINAG_HUMAN Tubulointerstitial nephritis antigen OS=Homo sapiens OX=9606 GN=TINAG PE=2 SV=3
+MWTGYKILIFSYLTTEIWMEKQYLSQREVDLEAYFTRNHTVLQGTRFKRAIFQGQYCRNFGCCEDRDDGCVTEFYAANALCYCDKFCDRENSDCCPDYKSFCREEKEWPPHTQPWYPEGCFKDGQHYEEGSVIKENCNSCTCSGQQWKCSQHVCLVRSELIEQVNKGDYGWTAQNYSQFWGMTLEDGFKFRLGTLPPSPMLLSMNEMTASLPATTDLPEFFVASYKWPGWTHGPLDQKNCAASWAFSTASVAADRIAIQSKGRYTANLSPQNLISCCAKNRHGCNSGSIDRAWWYLRKRGLVSHACYPLFKDQNATNNGCAMASRSDGRGKRHATKPCPNNVEKSNRIYQCSPPYRVSSNETEIMKEIMQNGPVQAIMQVREDFFHYKTGIYRHVTSTNKESEKYRKLQTHAVKLTGWGTLRGAQGQKEKFWIAANSWGKSWGENGYFRILRGVNESDIEKLIIAAWGQLTSSDEP
+>DECOY_sp|Q9UJW2|TINAG_HUMAN Tubulointerstitial nephritis antigen OS=Homo sapiens OX=9606 GN=TINAG PE=2 SV=3
+PEDSSTLQGWAAIILKEIDSENVGRLIRFYGNEGWSKGWSNAAIWFKEKQGQAGRLTGWGTLKVAHTQLKRYKESEKNTSTVHRYIGTKYHFFDERVQMIAQVPGNQMIEKMIETENSSVRYPPSCQYIRNSKEVNNPCPKTAHRKGRGDSRSAMACGNNTANQDKFLPYCAHSVLGRKRLYWWARDISGSNCGHRNKACCSILNQPSLNATYRGKSQIAIRDAAVSATSFAWSAACNKQDLPGHTWGPWKYSAVFFEPLDTTAPLSATMENMSLLMPSPPLTGLRFKFGDELTMGWFQSYNQATWGYDGKNVQEILESRVLCVHQSCKWQQGSCTCSNCNEKIVSGEEYHQGDKFCGEPYWPQTHPPWEKEERCFSKYDPCCDSNERDCFKDCYCLANAAYFETVCGDDRDECCGFNRCYQGQFIARKFRTGQLVTHNRTFYAELDVERQSLYQKEMWIETTLYSFILIKYGTWM
+>sp|O75663|TIPRL_HUMAN TIP41-like protein OS=Homo sapiens OX=9606 GN=TIPRL PE=1 SV=2
+MMIHGFQSSHRDFCFGPWKLTASKTHIMKSADVEKLADELHMPSLPEMMFGDNVLRIQHGSGFGIEFNATDALRCVNNYQGMLKVACAEEWQESRTEGEHSKEVIKPYDWTYTTDYKGTLLGESLKLKVVPTTDHIDTEKLKAREQIKFFEEVLLFEDELHDHGVSSLSVKIRVMPSSFFLLLRFFLRIDGVLIRMNDTRLYHEADKTYMLREYTSRESKISSLMHVPPSLFTEPNEISQYLPIKEAVCEKLIFPERIDPNPADSQKSTQVE
+>DECOY_sp|O75663|TIPRL_HUMAN TIP41-like protein OS=Homo sapiens OX=9606 GN=TIPRL PE=1 SV=2
+EVQTSKQSDAPNPDIREPFILKECVAEKIPLYQSIENPETFLSPPVHMLSSIKSERSTYERLMYTKDAEHYLRTDNMRILVGDIRLFFRLLLFFSSPMVRIKVSLSSVGHDHLEDEFLLVEEFFKIQERAKLKETDIHDTTPVVKLKLSEGLLTGKYDTTYTWDYPKIVEKSHEGETRSEQWEEACAVKLMGQYNNVCRLADTANFEIGFGSGHQIRLVNDGFMMEPLSPMHLEDALKEVDASKMIHTKSATLKWPGFCFDRHSSQFGHIMM
+>sp|Q9Y5M6|TISR_HUMAN Oculomedin OS=Homo sapiens OX=9606 GN=OCLM PE=2 SV=1
+MGMYPPLLLKIYLSRHISILFYLKILYKSGIIWLSWYSFILLVL
+>DECOY_sp|Q9Y5M6|TISR_HUMAN Oculomedin OS=Homo sapiens OX=9606 GN=OCLM PE=2 SV=1
+LVLLIFSYWSLWIIGSKYLIKLYFLISIHRSLYIKLLLPPYMGM
+>sp|A6NGC4|TLCD2_HUMAN TLC domain-containing protein 2 OS=Homo sapiens OX=9606 GN=TLCD2 PE=3 SV=3
+MAPTGLLVAGASFLAFRGLHWGLRRLPTPESAARDRWQWWNLCVSLAHSLLSGTGALLGLSLYPQMAADPIHGHPRWALVLVAVSVGYFLADGADLLWNQTLGKTWDLLCHHLVVVSCLSTAVLSGHYVGFSMVSLLLELNSACLHLRKLLLLSRQAPSLAFSVTSWASLATLALFRLVPLGWMSLWLFRQHHQVPLALVTLGGIGLVTVGIMSIILGIRILVNDVLQSRPHPPSPGHEKTRGTRTRRDNGPVTSNSSTLSLKD
+>DECOY_sp|A6NGC4|TLCD2_HUMAN TLC domain-containing protein 2 OS=Homo sapiens OX=9606 GN=TLCD2 PE=3 SV=3
+DKLSLTSSNSTVPGNDRRTRTGRTKEHGPSPPHPRSQLVDNVLIRIGLIISMIGVTVLGIGGLTVLALPVQHHQRFLWLSMWGLPVLRFLALTALSAWSTVSFALSPAQRSLLLLKRLHLCASNLELLLSVMSFGVYHGSLVATSLCSVVVLHHCLLDWTKGLTQNWLLDAGDALFYGVSVAVLVLAWRPHGHIPDAAMQPYLSLGLLAGTGSLLSHALSVCLNWWQWRDRAASEPTPLRRLGWHLGRFALFSAGAVLLGTPAM
+>sp|Q04725|TLE2_HUMAN Transducin-like enhancer protein 2 OS=Homo sapiens OX=9606 GN=TLE2 PE=1 SV=2
+MYPQGRHPTPLQSGQPFKFSILEICDRIKEEFQFLQAQYHSLKLECEKLASEKTEMQRHYVMYYEMSYGLNIEMHKQAEIVKRLSGICAQIIPFLTQEHQQQVLQAVERAKQVTVGELNSLIGQQLQPLSHHAPPVPLTPRPAGLVGGSATGLLALSGALAAQAQLAAAVKEDRAGVEAEGSRVERAPSRSASPSPPESLVEEERPSGPGGGGKQRADEKEPSGPYESDEDKSDYNLVVDEDQPSEPPSPATTPCGKVPICIPARRDLVDSPASLASSLGSPLPRAKELILNDLPASTPASKSCDSSPPQDASTPGPSSASHLCQLAAKPAPSTDSVALRSPLTLSSPFTTSFSLGSHSTLNGDLSVPSSYVSLHLSPQVSSSVVYGRSPVMAFESHPHLRGSSVSSSLPSIPGGKPAYSFHVSADGQMQPVPFPSDALVGAGIPRHARQLHTLAHGEVVCAVTISGSTQHVYTGGKGCVKVWDVGQPGAKTPVAQLDCLNRDNYIRSCKLLPDGRSLIVGGEASTLSIWDLAAPTPRIKAELTSSAPACYALAVSPDAKVCFSCCSDGNIVVWDLQNQTMVRQFQGHTDGASCIDISDYGTRLWTGGLDNTVRCWDLREGRQLQQHDFSSQIFSLGHCPNQDWLAVGMESSNVEILHVRKPEKYQLHLHESCVLSLKFASCGRWFVSTGKDNLLNAWRTPYGASIFQSKESSSVLSCDISRNNKYIVTGSGDKKATVYEVVY
+>DECOY_sp|Q04725|TLE2_HUMAN Transducin-like enhancer protein 2 OS=Homo sapiens OX=9606 GN=TLE2 PE=1 SV=2
+YVVEYVTAKKDGSGTVIYKNNRSIDCSLVSSSEKSQFISAGYPTRWANLLNDKGTSVFWRGCSAFKLSLVCSEHLHLQYKEPKRVHLIEVNSSEMGVALWDQNPCHGLSFIQSSFDHQQLQRGERLDWCRVTNDLGGTWLRTGYDSIDICSAGDTHGQFQRVMTQNQLDWVVINGDSCCSFCVKADPSVALAYCAPASSTLEAKIRPTPAALDWISLTSAEGGVILSRGDPLLKCSRIYNDRNLCDLQAVPTKAGPQGVDWVKVCGKGGTYVHQTSGSITVACVVEGHALTHLQRAHRPIGAGVLADSPFPVPQMQGDASVHFSYAPKGGPISPLSSSVSSGRLHPHSEFAMVPSRGYVVSSSVQPSLHLSVYSSPVSLDGNLTSHSGLSFSTTFPSSLTLPSRLAVSDTSPAPKAALQCLHSASSPGPTSADQPPSSDCSKSAPTSAPLDNLILEKARPLPSGLSSALSAPSDVLDRRAPICIPVKGCPTTAPSPPESPQDEDVVLNYDSKDEDSEYPGSPEKEDARQKGGGGPGSPREEEVLSEPPSPSASRSPAREVRSGEAEVGARDEKVAAALQAQAALAGSLALLGTASGGVLGAPRPTLPVPPAHHSLPQLQQGILSNLEGVTVQKAREVAQLVQQQHEQTLFPIIQACIGSLRKVIEAQKHMEINLGYSMEYYMVYHRQMETKESALKECELKLSHYQAQLFQFEEKIRDCIELISFKFPQGSQLPTPHRGQPYM
+>sp|Q7Z403|TMC6_HUMAN Transmembrane channel-like protein 6 OS=Homo sapiens OX=9606 GN=TMC6 PE=1 SV=2
+MAQPLAFILDVPETPGDQGQGPSPYDESEVHDSFQQLIQEQSQCTAQEGLELQQREREVTGSSQQTLWRPEGTQSTATLRILASMPSRTIGRSRGAIISQYYNRTVQLRCRSSRPLLGNFVRSAWPSLRLYDLELDPTALEEEEKQSLLVKELQSLAVAQRDHMLRGMPLSLAEKRSLREKSRTPRGKWRGQPGSGGVCSCCGRLRYACVLALHSLGLALLSALQALMPWRYALKRIGGQFGSSVLSYFLFLKTLLAFNALLLLLLVAFIMGPQVAFPPALPGPAPVCTGLELLTGAGCFTHTVMYYGHYSNATLNQPCGSPLDGSQCTPRVGGLPYNMPLAYLSTVGVSFFITCITLVYSMAHSFGESYRVGSTSGIHAITVFCSWDYKVTQKRASRLQQDNIRTRLKELLAEWQLRHSPRSVCGRLRQAAVLGLVWLLCLGTALGCAVAVHVFSEFMIQSPEAAGQEAVLLVLPLVVGLLNLGAPYLCRVLAALEPHDSPVLEVYVAICRNLILKLAILGTLCYHWLGRRVGVLQGQCWEDFVGQELYRFLVMDFVLMLLDTLFGELVWRIISEKKLKRRRKPEFDIARNVLELIYGQTLTWLGVLFSPLLPAVQIIKLLLVFYVKKTSLLANCQAPRRPWLASHMSTVFLTLLCFPAFLGAAVFLCYAVWQVKPSSTCGPFRTLDTMYEAGRVWVRHLEAAGPRVSWLPWVHRYLMENTFFVFLVSALLLAVIYLNIQVVRGQRKVICLLKEQISNEGEDKIFLINKLHSIYERKEREERSRVGTTEEAAAPPALLTDEQDA
+>DECOY_sp|Q7Z403|TMC6_HUMAN Transmembrane channel-like protein 6 OS=Homo sapiens OX=9606 GN=TMC6 PE=1 SV=2
+ADQEDTLLAPPAAAEETTGVRSREEREKREYISHLKNILFIKDEGENSIQEKLLCIVKRQGRVVQINLYIVALLLASVLFVFFTNEMLYRHVWPLWSVRPGAAELHRVWVRGAEYMTDLTRFPGCTSSPKVQWVAYCLFVAAGLFAPFCLLTLFVTSMHSALWPRRPAQCNALLSTKKVYFVLLLKIIQVAPLLPSFLVGLWTLTQGYILELVNRAIDFEPKRRRKLKKESIIRWVLEGFLTDLLMLVFDMVLFRYLEQGVFDEWCQGQLVGVRRGLWHYCLTGLIALKLILNRCIAVYVELVPSDHPELAALVRCLYPAGLNLLGVVLPLVLLVAEQGAAEPSQIMFESFVHVAVACGLATGLCLLWVLGLVAAQRLRGCVSRPSHRLQWEALLEKLRTRINDQQLRSARKQTVKYDWSCFVTIAHIGSTSGVRYSEGFSHAMSYVLTICTIFFSVGVTSLYALPMNYPLGGVRPTCQSGDLPSGCPQNLTANSYHGYYMVTHTFCGAGTLLELGTCVPAPGPLAPPFAVQPGMIFAVLLLLLLANFALLTKLFLFYSLVSSGFQGGIRKLAYRWPMLAQLASLLALGLSHLALVCAYRLRGCCSCVGGSGPQGRWKGRPTRSKERLSRKEALSLPMGRLMHDRQAVALSQLEKVLLSQKEEEELATPDLELDYLRLSPWASRVFNGLLPRSSRCRLQVTRNYYQSIIAGRSRGITRSPMSALIRLTATSQTGEPRWLTQQSSGTVERERQQLELGEQATCQSQEQILQQFSDHVESEDYPSPGQGQDGPTEPVDLIFALPQAM
+>sp|Q9ULS5|TMCC3_HUMAN Transmembrane and coiled-coil domain protein 3 OS=Homo sapiens OX=9606 GN=TMCC3 PE=2 SV=3
+MPGSDTALTVDRTYSYPGRHHRCKSRVERHDMNTLSLPLNIRRGGSDTNLNFDVPDGILDFHKVKLTADSLKQKILKVTEQIKIEQTSRDGNVAEYLKLVNNADKQQAGRIKQVFEKKNQKSAHSIAQLQKKLEQYHRKLREIEQNGASRSSKDISKDHLKDIHRSLKDAHVKSRTAPHCMESSKSGMPGVSLTPPVFVFNKSREFANLIRNKFGSADNIAHLKNSLEEFRPEASARAYGGSATIVNKPKYGSDDECSSGTSGSADSNGNQSFGAGGASTLDSQGKLAVILEELREIKDTQAQLAEDIEALKVQFKREYGFISQTLQEERYRYERLEDQLHDLTDLHQHETANLKQELASIEEKVAYQAYERSRDIQEALESCQTRISKLELHQQEQQALQTDTVNAKVLLGRCINVILAFMTVILVCVSTIAKFVSPMMKSRCHILGTFFAVTLLAIFCKNWDHILCAIERMIIPR
+>DECOY_sp|Q9ULS5|TMCC3_HUMAN Transmembrane and coiled-coil domain protein 3 OS=Homo sapiens OX=9606 GN=TMCC3 PE=2 SV=3
+RPIIMREIACLIHDWNKCFIALLTVAFFTGLIHCRSKMMPSVFKAITSVCVLIVTMFALIVNICRGLLVKANVTDTQLAQQEQQHLELKSIRTQCSELAEQIDRSREYAQYAVKEEISALEQKLNATEHQHLDTLDHLQDELREYRYREEQLTQSIFGYERKFQVKLAEIDEALQAQTDKIERLEELIVALKGQSDLTSAGGAGFSQNGNSDASGSTGSSCEDDSGYKPKNVITASGGYARASAEPRFEELSNKLHAINDASGFKNRILNAFERSKNFVFVPPTLSVGPMGSKSSEMCHPATRSKVHADKLSRHIDKLHDKSIDKSSRSAGNQEIERLKRHYQELKKQLQAISHASKQNKKEFVQKIRGAQQKDANNVLKLYEAVNGDRSTQEIKIQETVKLIKQKLSDATLKVKHFDLIGDPVDFNLNTDSGGRRINLPLSLTNMDHREVRSKCRHHRGPYSYTRDVTLATDSGPM
+>sp|Q5TGY1|TMCO4_HUMAN Transmembrane and coiled-coil domain-containing protein 4 OS=Homo sapiens OX=9606 GN=TMCO4 PE=2 SV=1
+MAMWNRPCQRLPQQPLVAEPTAEGEPHLPTGRELTEANRFAYAALCGISLSQLFPEPEHSSFCTEFMAGLVQWLELSEAVLPTMTAFASGLGGEGADVFVQILLKDPILKDDPTVITQDLLSFSLKDGHYDARARVLVCHMTSLLQVPLEELDVLEEMFLESLKEIKEEESEMAEASRKKKENRRKWKRYLLIGLATVGGGTVIGVTGGLAAPLVAAGAATIIGSAGAAALGSAAGIAIMTSLFGAAGAGLTGYKMKKRVGAIEEFTFLPLTEGRQLHITIAVTGWLASGKYRTFSAPWAALAHSREQYCLAWEAKYLMELGNALETILSGLANMVAQEALKYTVLSGIVAALTWPASLLSVANVIDNPWGVCLHRSAEVGKHLAHILLSRQQGRRPVTLIGFSLGARVIYFCLQEMAQEKDCQGIIEDVILLGAPVEGEAKHWEPFRKVVSGRIINGYCRGDWLLSFVYRTSSVQLRVAGLQPVLLQDRRVENVDLTSVVSGHLDYAKQMDAILKAVGIRTKPGWDEKGLLLAPGCLPSEEPRQAAAAASSGETPHQVGQTQGPISGDTSKLAMSTDPSQAQVPVGLDQSEGASLPAAASPERPPICSHGMDPNPLGCPDCACKTQGPSTGLD
+>DECOY_sp|Q5TGY1|TMCO4_HUMAN Transmembrane and coiled-coil domain-containing protein 4 OS=Homo sapiens OX=9606 GN=TMCO4 PE=2 SV=1
+DLGTSPGQTKCACDPCGLPNPDMGHSCIPPREPSAAAPLSAGESQDLGVPVQAQSPDTSMALKSTDGSIPGQTQGVQHPTEGSSAAAAAQRPEESPLCGPALLLGKEDWGPKTRIGVAKLIADMQKAYDLHGSVVSTLDVNEVRRDQLLVPQLGAVRLQVSSTRYVFSLLWDGRCYGNIIRGSVVKRFPEWHKAEGEVPAGLLIVDEIIGQCDKEQAMEQLCFYIVRAGLSFGILTVPRRGQQRSLLIHALHKGVEASRHLCVGWPNDIVNAVSLLSAPWTLAAVIGSLVTYKLAEQAVMNALGSLITELANGLEMLYKAEWALCYQERSHALAAWPASFTRYKGSALWGTVAITIHLQRGETLPLFTFEEIAGVRKKMKYGTLGAGAAGFLSTMIAIGAASGLAAAGASGIITAAGAAVLPAALGGTVGIVTGGGVTALGILLYRKWKRRNEKKKRSAEAMESEEEKIEKLSELFMEELVDLEELPVQLLSTMHCVLVRARADYHGDKLSFSLLDQTIVTPDDKLIPDKLLIQVFVDAGEGGLGSAFATMTPLVAESLELWQVLGAMFETCFSSHEPEPFLQSLSIGCLAAYAFRNAETLERGTPLHPEGEATPEAVLPQQPLRQCPRNWMAM
+>sp|Q13445|TMED1_HUMAN Transmembrane emp24 domain-containing protein 1 OS=Homo sapiens OX=9606 GN=TMED1 PE=1 SV=1
+MMAAGAALALALWLLMPPVEVGGAGPPPIQDGEFTFLLPAGRKQCFYQSAPANASLETEYQVIGGAGLDVDFTLESPQGVLLVSESRKADGVHTVEPTEAGDYKLCFDNSFSTISEKLVFFELIFDSLQDDEEVEGWAEAVEPEEMLDVKMEDIKESIETMRTRLERSIQMLTLLRAFEARDRNLQEGNLERVNFWSAVNVAVLLLVAVLQVCTLKRFFQDKRPVPT
+>DECOY_sp|Q13445|TMED1_HUMAN Transmembrane emp24 domain-containing protein 1 OS=Homo sapiens OX=9606 GN=TMED1 PE=1 SV=1
+TPVPRKDQFFRKLTCVQLVAVLLLVAVNVASWFNVRELNGEQLNRDRAEFARLLTLMQISRELRTRMTEISEKIDEMKVDLMEEPEVAEAWGEVEEDDQLSDFILEFFVLKESITSFSNDFCLKYDGAETPEVTHVGDAKRSESVLLVGQPSELTFDVDLGAGGIVQYETELSANAPASQYFCQKRGAPLLFTFEGDQIPPPGAGGVEVPPMLLWLALALAAGAAMM
+>sp|Q9Y3Q3|TMED3_HUMAN Transmembrane emp24 domain-containing protein 3 OS=Homo sapiens OX=9606 GN=TMED3 PE=1 SV=1
+MGSTVPRSASVLLLLLLLRRAEQPCGAELTFELPDNAKQCFHEEVEQGVKFSLDYQVITGGHYDVDCYVEDPQGNTIYRETKKQYDSFTYRAEVKGVYQFCFSNEFSTFSHKTVYFDFQVGDEPPILPDMGNRVTALTQMESACVTIHEALKTVIDSQTHYRLREAQDRARAEDLNSRVSYWSVGETIALFVVSFSQVLLLKSFFTEKRPISRAVHS
+>DECOY_sp|Q9Y3Q3|TMED3_HUMAN Transmembrane emp24 domain-containing protein 3 OS=Homo sapiens OX=9606 GN=TMED3 PE=1 SV=1
+SHVARSIPRKETFFSKLLLVQSFSVVFLAITEGVSWYSVRSNLDEARARDQAERLRYHTQSDIVTKLAEHITVCASEMQTLATVRNGMDPLIPPEDGVQFDFYVTKHSFTSFENSFCFQYVGKVEARYTFSDYQKKTERYITNGQPDEVYCDVDYHGGTIVQYDLSFKVGQEVEEHFCQKANDPLEFTLEAGCPQEARRLLLLLLLVSASRPVTSGM
+>sp|Q6PL24|TMED8_HUMAN Protein TMED8 OS=Homo sapiens OX=9606 GN=TMED8 PE=1 SV=1
+MSDLQAAEGPGSWSPTARPGSAGGVGDCQGVEGSQAAASENEDLENKDTSLLASATDPEPCSSPHRPQMVSPVSKDATEDLRKATGPLEAQALVKQDLLPADQAQVLNEMAKYQVPQRSGDIVMIQSEHTGAIDVLSADLESADLLGDHRKVSPPLMAPPCIWTFAKVKEFKSKLGKEKNSRLVVKRGEVVTIRVPTHPEGKRVCWEFATDDYDIGFGVYFDWTPVTSTDITVQVSDSSDDEDEEEEEEEEIEEPVPAGDVERGSRSSLRGRYGEVMPVYRRDSHRDVQAGSHDYPGEGIYLLKFDNSYSLLRNKTLYFHIYYTS
+>DECOY_sp|Q6PL24|TMED8_HUMAN Protein TMED8 OS=Homo sapiens OX=9606 GN=TMED8 PE=1 SV=1
+STYYIHFYLTKNRLLSYSNDFKLLYIGEGPYDHSGAQVDRHSDRRYVPMVEGYRGRLSSRSGREVDGAPVPEEIEEEEEEEEDEDDSSDSVQVTIDTSTVPTWDFYVGFGIDYDDTAFEWCVRKGEPHTPVRITVVEGRKVVLRSNKEKGLKSKFEKVKAFTWICPPAMLPPSVKRHDGLLDASELDASLVDIAGTHESQIMVIDGSRQPVQYKAMENLVQAQDAPLLDQKVLAQAELPGTAKRLDETADKSVPSVMQPRHPSSCPEPDTASALLSTDKNELDENESAAAQSGEVGQCDGVGGASGPRATPSWSGPGEAAQLDSM
+>sp|O14669|TMG2_HUMAN Transmembrane gamma-carboxyglutamic acid protein 2 OS=Homo sapiens OX=9606 GN=PRRG2 PE=1 SV=1
+MRGHPSLLLLYMALTTCLDTSPSEETDQEVFLGPPEAQSFLSSHTRIPRANHWDLELLTPGNLERECLEERCSWEEAREYFEDNTLTERFWESYIYNGKGGRGRVDVASLAVGLTGGILLIVLAGLGAFWYLRWRQHRGQQPCPQEAGLISPLSPLNPLGPPTPLPPPPPPPPGLPTYEQALAASGVHDAPPPPYTSLRRPH
+>DECOY_sp|O14669|TMG2_HUMAN Transmembrane gamma-carboxyglutamic acid protein 2 OS=Homo sapiens OX=9606 GN=PRRG2 PE=1 SV=1
+HPRRLSTYPPPPADHVGSAALAQEYTPLGPPPPPPPPLPTPPGLPNLPSLPSILGAEQPCPQQGRHQRWRLYWFAGLGALVILLIGGTLGVALSAVDVRGRGGKGNYIYSEWFRETLTNDEFYERAEEWSCREELCERELNGPTLLELDWHNARPIRTHSSLFSQAEPPGLFVEQDTEESPSTDLCTTLAMYLLLLSPHGRM
+>sp|Q69YG0|TMM42_HUMAN Transmembrane protein 42 OS=Homo sapiens OX=9606 GN=TMEM42 PE=2 SV=2
+MAERPGPPGGAVSATAYPDTPAEFPPHLQAGAMRRRFWGVFNCLCAGAFGALAAASAKLAFGSEVSMGLCVLGIIVMASTNSLMWTFFSRGLSFSMSSAIASVTVTFSNILSSAFLGYVLYGECQEVLWWGGVFLILCGLTLIHRKLPPTWKPLPHKQQ
+>DECOY_sp|Q69YG0|TMM42_HUMAN Transmembrane protein 42 OS=Homo sapiens OX=9606 GN=TMEM42 PE=2 SV=2
+QQKHPLPKWTPPLKRHILTLGCLILFVGGWWLVEQCEGYLVYGLFASSLINSFTVTVSAIASSMSFSLGRSFFTWMLSNTSAMVIIGLVCLGMSVESGFALKASAAALAGFAGACLCNFVGWFRRRMAGAQLHPPFEAPTDPYATASVAGGPPGPREAM
+>sp|Q9BTV4|TMM43_HUMAN Transmembrane protein 43 OS=Homo sapiens OX=9606 GN=TMEM43 PE=1 SV=1
+MAANYSSTSTRREHVKVKTSSQPGFLERLSETSGGMFVGLMAFLLSFYLIFTNEGRALKTATSLAEGLSLVVSPDSIHSVAPENEGRLVHIIGALRTSKLLSDPNYGVHLPAVKLRRHVEMYQWVETEESREYTEDGQVKKETRYSYNTEWRSEIINSKNFDREIGHKNPSAMAVESFMATAPFVQIGRFFLSSGLIDKVDNFKSLSLSKLEDPHVDIIRRGDFFYHSENPKYPEVGDLRVSFSYAGLSGDDPDLGPAHVVTVIARQRGDQLVPFSTKSGDTLLLLHHGDFSAEEVFHRELRSNSMKTWGLRAAGWMAMFMGLNLMTRILYTLVDWFPVFRDLVNIGLKAFAFCVATSLTLLTVAAGWLFYRPLWALLIAGLALVPILVARTRVPAKKLE
+>DECOY_sp|Q9BTV4|TMM43_HUMAN Transmembrane protein 43 OS=Homo sapiens OX=9606 GN=TMEM43 PE=1 SV=1
+ELKKAPVRTRAVLIPVLALGAILLAWLPRYFLWGAAVTLLTLSTAVCFAFAKLGINVLDRFVPFWDVLTYLIRTMLNLGMFMAMWGAARLGWTKMSNSRLERHFVEEASFDGHHLLLLTDGSKTSFPVLQDGRQRAIVTVVHAPGLDPDDGSLGAYSFSVRLDGVEPYKPNESHYFFDGRRIIDVHPDELKSLSLSKFNDVKDILGSSLFFRGIQVFPATAMFSEVAMASPNKHGIERDFNKSNIIESRWETNYSYRTEKKVQGDETYERSEETEVWQYMEVHRRLKVAPLHVGYNPDSLLKSTRLAGIIHVLRGENEPAVSHISDPSVVLSLGEALSTATKLARGENTFILYFSLLFAMLGVFMGGSTESLRELFGPQSSTKVKVHERRTSTSSYNAAM
+>sp|Q8NDY8|TMM52_HUMAN Transmembrane protein 52 OS=Homo sapiens OX=9606 GN=TMEM52 PE=2 SV=1
+MARGPLAARGLRLLLPLLPLLPLLPLPQVALGFADGSCDPSDQCPPQARWSSLWHVGLILLAVLLLLLCGVTAGCVRFCCLRKQAQAQPHLPPARQPCDVAVIPMDSDSPVHSTVTSYSSVQYPLGMRLPLPFGELDLDSMAPPAYSLYTPEPPPSYDEAVKMAKPREEGPALSQKPSPLLGASGLETTPVPQESGPNTQLPPCSPGAP
+>DECOY_sp|Q8NDY8|TMM52_HUMAN Transmembrane protein 52 OS=Homo sapiens OX=9606 GN=TMEM52 PE=2 SV=1
+PAGPSCPPLQTNPGSEQPVPTTELGSAGLLPSPKQSLAPGEERPKAMKVAEDYSPPPEPTYLSYAPPAMSDLDLEGFPLPLRMGLPYQVSSYSTVTSHVPSDSDMPIVAVDCPQRAPPLHPQAQAQKRLCCFRVCGATVGCLLLLLVALLILGVHWLSSWRAQPPCQDSPDCSGDAFGLAVQPLPLLPLLPLLPLLLRLGRAALPGRAM
+>sp|Q8N0U2|TMM61_HUMAN Transmembrane protein 61 OS=Homo sapiens OX=9606 GN=TMEM61 PE=2 SV=1
+MALPQMCDGSHLASTLRYCMTVSGTVVLVAGTLCFAWWSEGDATAQPGQLAPPTEYPVPEGPSPLLRSVSFVCCGAGGLLLLIGLLWSVKASIPGPPRWDPYHLSRDLYYLTVESSEKESCRTPKVVDIPTYEEAVSFPVAEGPPTPPAYPTEEALEPSGSRDALLSTQPAWPPPSYESISLALDAVSAETTPSATRSCSGLVQTARGGS
+>DECOY_sp|Q8N0U2|TMM61_HUMAN Transmembrane protein 61 OS=Homo sapiens OX=9606 GN=TMEM61 PE=2 SV=1
+SGGRATQVLGSCSRTASPTTEASVADLALSISEYSPPPWAPQTSLLADRSGSPELAEETPYAPPTPPGEAVPFSVAEEYTPIDVVKPTRCSEKESSEVTLYYLDRSLHYPDWRPPGPISAKVSWLLGILLLLGGAGCCVFSVSRLLPSPGEPVPYETPPALQGPQATADGESWWAFCLTGAVLVVTGSVTMCYRLTSALHSGDCMQPLAM
+>sp|Q6YI46|TMM64_HUMAN Transmembrane protein 64 OS=Homo sapiens OX=9606 GN=TMEM64 PE=2 SV=2
+MRSPGGILLQALPRLLQHAALPGLAELPARWALPRGAGGDGPADRLPRGGGASAAAAAAAASGALLGAYLERHGPPEASELPEPGGALAGGPGSGGGGVVVGVAEVRNWRCCCLGSTCWCRSLVLVCVLAALCFASLALVRRYLHHLLLWVESLDSLLGVLLFVVGFIVVSFPCGWGYIVLNVAAGYLYGFVLGMGLMMVGVLIGTFIAHVVCKRLLTAWVAARIQSSEKLSAVIRVVEGGSGLKVVALARLTPIPFGLQNAVFSITDLSLPNYLMASSVGLLPTQLLNSYLGTTLRTMEDVIAEQSVSGYFVFCLQIIISIGLMFYVVHRAQVELNAAIVACEMELKSSLVKGNQPNTSGSSFYNKRTLTFSGGGINVV
+>DECOY_sp|Q6YI46|TMM64_HUMAN Transmembrane protein 64 OS=Homo sapiens OX=9606 GN=TMEM64 PE=2 SV=2
+VVNIGGGSFTLTRKNYFSSGSTNPQNGKVLSSKLEMECAVIAANLEVQARHVVYFMLGISIIIQLCFVFYGSVSQEAIVDEMTRLTTGLYSNLLQTPLLGVSSAMLYNPLSLDTISFVANQLGFPIPTLRALAVVKLGSGGEVVRIVASLKESSQIRAAVWATLLRKCVVHAIFTGILVGVMMLGMGLVFGYLYGAAVNLVIYGWGCPFSVVIFGVVFLLVGLLSDLSEVWLLLHHLYRRVLALSAFCLAALVCVLVLSRCWCTSGLCCCRWNRVEAVGVVVGGGGSGPGGALAGGPEPLESAEPPGHRELYAGLLAGSAAAAAAAASAGGGRPLRDAPGDGGAGRPLAWRAPLEALGPLAAHQLLRPLAQLLIGGPSRM
+>sp|Q9BUB7|TMM70_HUMAN Transmembrane protein 70, mitochondrial OS=Homo sapiens OX=9606 GN=TMEM70 PE=1 SV=2
+MLFLALGSPWAVELPLCGRRTALCAAAALRGPRASVSRASSSSGPSGPVAGWSTGPSGAARLLRRPGRAQIPVYWEGYVRFLNTPSDKSEDGRLIYTGNMARAVFGVKCFSYSTSLIGLTFLPYIFTQNNAISESVPLPIQIIFYGIMGSFTVITPVLLHFITKGYVIRLYHEATTDTYKAITYNAMLAETSTVFHQNDVKIPDAKHVFTTFYAKTKSLLVNPVLFPNREDYIHLMGYDKEEFILYMEETSEEKRHKDDK
+>DECOY_sp|Q9BUB7|TMM70_HUMAN Transmembrane protein 70, mitochondrial OS=Homo sapiens OX=9606 GN=TMEM70 PE=1 SV=2
+KDDKHRKEESTEEMYLIFEEKDYGMLHIYDERNPFLVPNVLLSKTKAYFTTFVHKADPIKVDNQHFVTSTEALMANYTIAKYTDTTAEHYLRIVYGKTIFHLLVPTIVTFSGMIGYFIIQIPLPVSESIANNQTFIYPLFTLGILSTSYSFCKVGFVARAMNGTYILRGDESKDSPTNLFRVYGEWYVPIQARGPRRLLRAAGSPGTSWGAVPGSPGSSSSARSVSARPGRLAAAACLATRRGCLPLEVAWPSGLALFLM
+>sp|Q96NL1|TMM74_HUMAN Transmembrane protein 74 OS=Homo sapiens OX=9606 GN=TMEM74 PE=1 SV=1
+MELHYLAKKSNQADLCDARDWSSRGLPGDQADTAATRAALCCQKQCASTPRATEMEGSKLSSSPASPSSSLQNSTLQPDAFPPGLLHSGNNQITAERKVCNCCSQELETSFTYVDKNINLEQRNRSSPSAKGHNHPGELGWENPNEWSQEAAISLISEEEDDTSSEATSSGKSIDYGFISAILFLVTGILLVIISYIVPREVTVDPNTVAAREMERLEKESARLGAHLDRCVIAGLCLLTLGGVILSCLLMMSMWKGELYRRNRFASSKESAKLYGSFNFRMKTSTNENTLELSLVEEDALAVQS
+>DECOY_sp|Q96NL1|TMM74_HUMAN Transmembrane protein 74 OS=Homo sapiens OX=9606 GN=TMEM74 PE=1 SV=1
+SQVALADEEVLSLELTNENTSTKMRFNFSGYLKASEKSSAFRNRRYLEGKWMSMMLLCSLIVGGLTLLCLGAIVCRDLHAGLRASEKELREMERAAVTNPDVTVERPVIYSIIVLLIGTVLFLIASIFGYDISKGSSTAESSTDDEEESILSIAAEQSWENPNEWGLEGPHNHGKASPSSRNRQELNINKDVYTFSTELEQSCCNCVKREATIQNNGSHLLGPPFADPQLTSNQLSSSPSAPSSSLKSGEMETARPTSACQKQCCLAARTAATDAQDGPLGRSSWDRADCLDAQNSKKALYHLEM
+>sp|Q96HE8|TMM80_HUMAN Transmembrane protein 80 OS=Homo sapiens OX=9606 GN=TMEM80 PE=2 SV=4
+MLPNVGSPPLPFRKCPSGAGRTNRASAVARTSAPETRRGRAPSSGDAKMAEGARARGPRGCRDRDGPAGGAGKMAAPRRGRGSSTVLSSVPLQMLFYLSGTYYALYFLATLLMITYKSQVFSYPHRYLVLDLALLFLMGILEAVRLYLGTRGNLTEAERPLAASLALTAGTALLSAHFLLWQALVLWADWALSATLLALHGLEAVLQVVAIAAFTR
+>DECOY_sp|Q96HE8|TMM80_HUMAN Transmembrane protein 80 OS=Homo sapiens OX=9606 GN=TMEM80 PE=2 SV=4
+RTFAAIAVVQLVAELGHLALLTASLAWDAWLVLAQWLLFHASLLATGATLALSAALPREAETLNGRTGLYLRVAELIGMLFLLALDLVLYRHPYSFVQSKYTIMLLTALFYLAYYTGSLYFLMQLPVSSLVTSSGRGRRPAAMKGAGGAPGDRDRCGRPGRARAGEAMKADGSSPARGRRTEPASTRAVASARNTRGAGSPCKRFPLPPSGVNPLM
+>sp|A0PJX8|TMM82_HUMAN Transmembrane protein 82 OS=Homo sapiens OX=9606 GN=TMEM82 PE=2 SV=2
+MFSLPSLPSWLPGLPSLEWGSSLLDSLLQGLIGALGVLVLNSLLKVYFFVGCANDPQRRPEKERLRAQWASLETVHLAGLALFLTVVGSRVAALVVLEFSLRAVSTLLSLGKGSQGAAERLQLYLLCQYSLGCGLTCGLSFLQEGAPHRTLNLLLSLGLATLLGLGARRLHRHVCRLYELHSSQRYCGVCLGLLAHAHGLPQLLGRALAIAFAVGDLAAVALINQDFLTTSEAMRFWTPLTICYTLLVIYMQEEQRQHPGLQSQVQTVLVRMGGLFVLLLTVGRWLDLLGILVSLLGELWCLVGVRTLLDLCQIQDFPSQRPPVSTPSQPLPSAPQSQSSAPS
+>DECOY_sp|A0PJX8|TMM82_HUMAN Transmembrane protein 82 OS=Homo sapiens OX=9606 GN=TMEM82 PE=2 SV=2
+SPASSQSQPASPLPQSPTSVPPRQSPFDQIQCLDLLTRVGVLCWLEGLLSVLIGLLDLWRGVTLLLVFLGGMRVLVTQVQSQLGPHQRQEEQMYIVLLTYCITLPTWFRMAESTTLFDQNILAVAALDGVAFAIALARGLLQPLGHAHALLGLCVGCYRQSSHLEYLRCVHRHLRRAGLGLLTALGLSLLLNLTRHPAGEQLFSLGCTLGCGLSYQCLLYLQLREAAGQSGKGLSLLTSVARLSFELVVLAAVRSGVVTLFLALGALHVTELSAWQARLREKEPRRQPDNACGVFFYVKLLSNLVLVGLAGILGQLLSDLLSSGWELSPLGPLWSPLSPLSFM
+>sp|Q96N35|TMM83_HUMAN Putative uncharacterized protein encoded by LINC00052 OS=Homo sapiens OX=9606 GN=LINC00052 PE=5 SV=1
+MNCDALLHHSAIPEDFLHIFLLLQKISVSLPLSLSQSVCLFYSISLCVSLLLHISLCVSVYVSLSLSSFPCFSLTHTHTHSQLSKDTSVLTFTFCFKQHTHFTLNYTSHAHELSAPSVHPTCVFTFKAAPSPRPAT
+>DECOY_sp|Q96N35|TMM83_HUMAN Putative uncharacterized protein encoded by LINC00052 OS=Homo sapiens OX=9606 GN=LINC00052 PE=5 SV=1
+TAPRPSPAAKFTFVCTPHVSPASLEHAHSTYNLTFHTHQKFCFTFTLVSTDKSLQSHTHTHTLSFCPFSSLSLSVYVSVCLSIHLLLSVCLSISYFLCVSQSLSLPLSVSIKQLLLFIHLFDEPIASHHLLADCNM
+>sp|A2RUT3|TMM89_HUMAN Transmembrane protein 89 OS=Homo sapiens OX=9606 GN=TMEM89 PE=2 SV=1
+MLHVLASLPLLLLLVTSASTHAWSRPLWYQVGLDLQPWGCQPKSVEGCRGGLSCPGYWLGPGASRIYPVAAVMITTTMLMICRKILQGRRRSQATKGEHPQVTTEPCGPWKRRAPISDHTLLRGVLHMLDALLVHIEGHLRHLATQRQIQIKGTSTQSG
+>DECOY_sp|A2RUT3|TMM89_HUMAN Transmembrane protein 89 OS=Homo sapiens OX=9606 GN=TMEM89 PE=2 SV=1
+GSQTSTGKIQIQRQTALHRLHGEIHVLLADLMHLVGRLLTHDSIPARRKWPGCPETTVQPHEGKTAQSRRRGQLIKRCIMLMTTTIMVAAVPYIRSAGPGLWYGPCSLGGRCGEVSKPQCGWPQLDLGVQYWLPRSWAHTSASTVLLLLLPLSALVHLM
+>sp|Q9HCN3|TMM8A_HUMAN Post-GPI attachment to proteins factor 6 OS=Homo sapiens OX=9606 GN=TMEM8A PE=1 SV=3
+MGRAGTGTGGEAVAAVVAGPLLLLLLARPPPASAGYSGKSEVGLVSEHFSQAPQRLSFYSWYGSARLFRFRVPPDAVLLRWLLQVSRESGAACTDAEITVHFRSGAPPVINPLGTSFPDDTAVQPSFQVGVPLSTTPRSNASVNVSHPAPGDWFVAAHLPPSSQKIELKGLAPTCAYVFQPELLVTRVVEISIMEPDVPLPQTLLSHPSYLKVFVPDYTRELLLELRDCVSNGSLGCPVRLTVGPVTLPSNFQKVLTCTGAPWPCRLLLPSPPWDRWLQVTAESLVGPLGTVAFSAVAALTACRPRSVTIQPLLQSSQNQSFNASSGLLSPSPDHQDLGRSGRVDRSPFCLTNYPVTREDMDVVSVHFQPLDRVSVRVCSDTPSVMRLRLNTGMDSGGSLTISLRANKTEMRNETVVVACVNAASPFLGFNTSLNCTTAFFQGYPLSLSAWSRRANLIIPYPETDNWYLSLQLMCPENAEDCEQAVVHVETTLYLVPCLNDCGPYGQCLLLRRHSYLYASCSCKAGWRGWSCTDNSTAQTVAQQRAATLLLTLSNLMFLAPIAVSVRRFFLVEASVYAYTMFFSTFYHACDQPGEAVLCILSYDTLQYCDFLGSGAAIWVTILCMARLKTVLKYVLFLLGTLVIAMSLQLDRRGMWNMLGPCLFAFVIMASMWAYRCGHRRQCYPTSWQRWAFYLLPGVSMASVGIAIYTSMMTSDNYYYTHSIWHILLAGSAALLLPPPDQPAEPWACSQKFPCHYQICKNDREELYAVT
+>DECOY_sp|Q9HCN3|TMM8A_HUMAN Post-GPI attachment to proteins factor 6 OS=Homo sapiens OX=9606 GN=TMEM8A PE=1 SV=3
+TVAYLEERDNKCIQYHCPFKQSCAWPEAPQDPPPLLLAASGALLIHWISHTYYYNDSTMMSTYIAIGVSAMSVGPLLYFAWRQWSTPYCQRRHGCRYAWMSAMIVFAFLCPGLMNWMGRRDLQLSMAIVLTGLLFLVYKLVTKLRAMCLITVWIAAGSGLFDCYQLTDYSLICLVAEGPQDCAHYFTSFFMTYAYVSAEVLFFRRVSVAIPALFMLNSLTLLLTAARQQAVTQATSNDTCSWGRWGAKCSCSAYLYSHRRLLLCQGYPGCDNLCPVLYLTTEVHVVAQECDEANEPCMLQLSLYWNDTEPYPIILNARRSWASLSLPYGQFFATTCNLSTNFGLFPSAANVCAVVVTENRMETKNARLSITLSGGSDMGTNLRLRMVSPTDSCVRVSVRDLPQFHVSVVDMDERTVPYNTLCFPSRDVRGSRGLDQHDPSPSLLGSSANFSQNQSSQLLPQITVSRPRCATLAAVASFAVTGLPGVLSEATVQLWRDWPPSPLLLRCPWPAGTCTLVKQFNSPLTVPGVTLRVPCGLSGNSVCDRLELLLERTYDPVFVKLYSPHSLLTQPLPVDPEMISIEVVRTVLLEPQFVYACTPALGKLEIKQSSPPLHAAVFWDGPAPHSVNVSANSRPTTSLPVGVQFSPQVATDDPFSTGLPNIVPPAGSRFHVTIEADTCAAGSERSVQLLWRLLVADPPVRFRFLRASGYWSYFSLRQPAQSFHESVLGVESKGSYGASAPPPRALLLLLLPGAVVAAVAEGGTGTGARGM
+>sp|Q6ZNR0|TMM91_HUMAN Transmembrane protein 91 OS=Homo sapiens OX=9606 GN=TMEM91 PE=2 SV=1
+MDSPSLRELQQPLLEGTECETPAQKPGRHELGSPLREIAFAESLRGLQFLSPPLPSVSAGLGEPRPPDVEDMSSSDSDSDWDGGSRLSPFLPHDHLGLAVFSMLCCFWPVGIAAFCLAQKTNKAWAKGDIQGAGAASRRAFLLGVLAVGLGVCTYAAALVTLAAYLASRDPP
+>DECOY_sp|Q6ZNR0|TMM91_HUMAN Transmembrane protein 91 OS=Homo sapiens OX=9606 GN=TMEM91 PE=2 SV=1
+PPDRSALYAALTVLAAAYTCVGLGVALVGLLFARRSAAGAGQIDGKAWAKNTKQALCFAAIGVPWFCCLMSFVALGLHDHPLFPSLRSGGDWDSDSDSSSMDEVDPPRPEGLGASVSPLPPSLFQLGRLSEAFAIERLPSGLEHRGPKQAPTECETGELLPQQLERLSPSDM
+>sp|Q8N816|TMM99_HUMAN Transmembrane protein 99 OS=Homo sapiens OX=9606 GN=TMEM99 PE=2 SV=2
+MVGILPLCCSGCVPSLCCSSYVPSVAPTAAHSVRVPHSAGHCGQRVLACSLPQVFLKPWIFVEHFSSWLSLELFSFLRYLGTLLCACGHRLREGLLLPCLLGVGSWLLFNNWTGGSWFSLHLQQVSLSQGSHVAAFLPEAIGPGVPVPVSGESTSAQQSHAGWQLSAEADACPSVLYSEVLEWNKNINTYTSFHDFCLILGIFLFCFVLAVIGLPYIKPGLSLSVALLWQSLILLSSLVQQDSQVHTWGCLFSTFTST
+>DECOY_sp|Q8N816|TMM99_HUMAN Transmembrane protein 99 OS=Homo sapiens OX=9606 GN=TMEM99 PE=2 SV=2
+TSTFTSFLCGWTHVQSDQQVLSSLLILSQWLLAVSLSLGPKIYPLGIVALVFCFLFIGLILCFDHFSTYTNINKNWELVESYLVSPCADAEASLQWGAHSQQASTSEGSVPVPVGPGIAEPLFAAVHSGQSLSVQQLHLSFWSGGTWNNFLLWSGVGLLCPLLLGERLRHGCACLLTGLYRLFSFLELSLWSSFHEVFIWPKLFVQPLSCALVRQGCHGASHPVRVSHAATPAVSPVYSSCCLSPVCGSCCLPLIGVM
+>sp|Q9NQ34|TMM9B_HUMAN Transmembrane protein 9B OS=Homo sapiens OX=9606 GN=TMEM9B PE=1 SV=1
+MATLWGGLLRLGSLLSLSCLALSVLLLAQLSDAAKNFEDVRCKCICPPYKENSGHIYNKNISQKDCDCLHVVEPMPVRGPDVEAYCLRCECKYEERSSVTIKVTIIIYLSILGLLLLYMVYLTLVEPILKRRLFGHAQLIQSDDDIGDHQPFANAHDVLARSRSRANVLNKVEYAQQRWKLQVQEQRKSVFDRHVVLS
+>DECOY_sp|Q9NQ34|TMM9B_HUMAN Transmembrane protein 9B OS=Homo sapiens OX=9606 GN=TMEM9B PE=1 SV=1
+SLVVHRDFVSKRQEQVQLKWRQQAYEVKNLVNARSRSRALVDHANAFPQHDGIDDDSQILQAHGFLRRKLIPEVLTLYVMYLLLLGLISLYIIITVKITVSSREEYKCECRLCYAEVDPGRVPMPEVVHLCDCDKQSINKNYIHGSNEKYPPCICKCRVDEFNKAADSLQALLLVSLALCSLSLLSGLRLLGGWLTAM
+>sp|P28289|TMOD1_HUMAN Tropomodulin-1 OS=Homo sapiens OX=9606 GN=TMOD1 PE=1 SV=1
+MSYRRELEKYRDLDEDEILGALTEEELRTLENELDELDPDNALLPAGLRQKDQTTKAPTGPFKREELLDHLEKQAKEFKDREDLVPYTGEKRGKVWVPKQKPLDPVLESVTLEPELEEALANASDAELCDIAAILGMHTLMSNQQYYQALSSSSIMNKEGLNSVIKPTQYKPVPDEEPNSTDVEETLERIKNNDPKLEEVNLNNIRNIPIPTLKAYAEALKENSYVKKFSIVGTRSNDPVAYALAEMLKENKVLKTLNVESNFISGAGILRLVEALPYNTSLVEMKIDNQSQPLGNKVEMEIVSMLEKNATLLKFGYHFTQQGPRLRASNAMMNNNDLVRKRRLADLTGPIIPKCRSGV
+>DECOY_sp|P28289|TMOD1_HUMAN Tropomodulin-1 OS=Homo sapiens OX=9606 GN=TMOD1 PE=1 SV=1
+VGSRCKPIIPGTLDALRRKRVLDNNNMMANSARLRPGQQTFHYGFKLLTANKELMSVIEMEVKNGLPQSQNDIKMEVLSTNYPLAEVLRLIGAGSIFNSEVNLTKLVKNEKLMEALAYAVPDNSRTGVISFKKVYSNEKLAEAYAKLTPIPINRINNLNVEELKPDNNKIRELTEEVDTSNPEEDPVPKYQTPKIVSNLGEKNMISSSSLAQYYQQNSMLTHMGLIAAIDCLEADSANALAEELEPELTVSELVPDLPKQKPVWVKGRKEGTYPVLDERDKFEKAQKELHDLLEERKFPGTPAKTTQDKQRLGAPLLANDPDLEDLENELTRLEEETLAGLIEDEDLDRYKELERRYSM
+>sp|Q86WS5|TMPSC_HUMAN Transmembrane protease serine 12 OS=Homo sapiens OX=9606 GN=TMPRSS12 PE=1 SV=2
+MRLGLLSVALLFVGSSHLYSDHYSPSGRHRLGPSPEPAASSQQAEAVRKRLRRRREGGAHAEDCGTAPLKDVLQGSRIIGGTEAQAGAWPWVVSLQIKYGRVLVHVCGGTLVRERWVLTAAHCTKDASDPLMWTAVIGTNNIHGRYPHTKKIKIKAIIIHPNFILESYVNDIALFHLKKAVRYNDYIQPICLPFDVFQILDGNTKCFISGWGRTKEEGNATNILQDAEVHYISREMCNSERSYGGIIPNTSFCAGDEDGAFDTCRGDSGGPLMCYLPEYKRFFVMGITSYGHGCGRRGFPGVYIGPSFYQKWLTEHFFHASTQGILTINILRGQILIALCFVILLATT
+>DECOY_sp|Q86WS5|TMPSC_HUMAN Transmembrane protease serine 12 OS=Homo sapiens OX=9606 GN=TMPRSS12 PE=1 SV=2
+TTALLIVFCLAILIQGRLINITLIGQTSAHFFHETLWKQYFSPGIYVGPFGRRGCGHGYSTIGMVFFRKYEPLYCMLPGGSDGRCTDFAGDEDGACFSTNPIIGGYSRESNCMERSIYHVEADQLINTANGEEKTRGWGSIFCKTNGDLIQFVDFPLCIPQIYDNYRVAKKLHFLAIDNVYSELIFNPHIIIAKIKIKKTHPYRGHINNTGIVATWMLPDSADKTCHAATLVWRERVLTGGCVHVLVRGYKIQLSVVWPWAGAQAETGGIIRSGQLVDKLPATGCDEAHAGGERRRRLRKRVAEAQQSSAAPEPSPGLRHRGSPSYHDSYLHSSGVFLLAVSLLGLRM
+>sp|P13805|TNNT1_HUMAN Troponin T, slow skeletal muscle OS=Homo sapiens OX=9606 GN=TNNT1 PE=1 SV=4
+MSDTEEQEYEEEQPEEEAAEEEEEAPEEPEPVAEPEEERPKPSRPVVPPLIPPKIPEGERVDFDDIHRKRMEKDLLELQTLIDVHFEQRKKEEEELVALKERIERRRSERAEQQRFRTEKERERQAKLAEEKMRKEEEEAKKRAEDDAKKKKVLSNMGAHFGGYLVKAEQKRGKRQTGREMKVRILSERKKPLDIDYMGEEQLRARSAWLPPSQPSCPAREKAQELSDWIHQLESEKFDLMAKLKQQKYEINVLYNRISHAQKFRKGAGKGRVGGRWK
+>DECOY_sp|P13805|TNNT1_HUMAN Troponin T, slow skeletal muscle OS=Homo sapiens OX=9606 GN=TNNT1 PE=1 SV=4
+KWRGGVRGKGAGKRFKQAHSIRNYLVNIEYKQQKLKAMLDFKESELQHIWDSLEQAKERAPCSPQSPPLWASRARLQEEGMYDIDLPKKRESLIRVKMERGTQRKGRKQEAKVLYGGFHAGMNSLVKKKKADDEARKKAEEEEKRMKEEALKAQREREKETRFRQQEARESRRREIREKLAVLEEEEKKRQEFHVDILTQLELLDKEMRKRHIDDFDVREGEPIKPPILPPVVPRSPKPREEEPEAVPEPEEPAEEEEEAAEEEPQEEEYEQEETDSM
+>sp|P45378|TNNT3_HUMAN Troponin T, fast skeletal muscle OS=Homo sapiens OX=9606 GN=TNNT3 PE=1 SV=3
+MSDEEVEQVEEQYEEEEEAQEEAAEVHEEVHEPEEVQEDTAEEDAEEEKPRPKLTAPKIPEGEKVDFDDIQKKRQNKDLMELQALIDSHFEARKKEEEELVALKERIEKRRAERAEQQRIRAEKERERQNRLAEEKARREEEDAKRRAEDDLKKKKALSSMGANYSSYLAKADQKRGKKQTAREMKKKILAERRKPLNIDHLGEDKLRDKAKELWETLHQLEIDKFEFGEKLKRQKYDITTLRSRIDQAQKHSKKAGTPAKGKVGGRWK
+>DECOY_sp|P45378|TNNT3_HUMAN Troponin T, fast skeletal muscle OS=Homo sapiens OX=9606 GN=TNNT3 PE=1 SV=3
+KWRGGVKGKAPTGAKKSHKQAQDIRSRLTTIDYKQRKLKEGFEFKDIELQHLTEWLEKAKDRLKDEGLHDINLPKRREALIKKKMERATQKKGRKQDAKALYSSYNAGMSSLAKKKKLDDEARRKADEEERRAKEEALRNQREREKEARIRQQEAREARRKEIREKLAVLEEEEKKRAEFHSDILAQLEMLDKNQRKKQIDDFDVKEGEPIKPATLKPRPKEEEADEEATDEQVEEPEHVEEHVEAAEEQAEEEEEYQEEVQEVEEDSM
+>sp|P20333|TNR1B_HUMAN Tumor necrosis factor receptor superfamily member 1B OS=Homo sapiens OX=9606 GN=TNFRSF1B PE=1 SV=3
+MAPVAVWAALAVGLELWAAAHALPAQVAFTPYAPEPGSTCRLREYYDQTAQMCCSKCSPGQHAKVFCTKTSDTVCDSCEDSTYTQLWNWVPECLSCGSRCSSDQVETQACTREQNRICTCRPGWYCALSKQEGCRLCAPLRKCRPGFGVARPGTETSDVVCKPCAPGTFSNTTSSTDICRPHQICNVVAIPGNASMDAVCTSTSPTRSMAPGAVHLPQPVSTRSQHTQPTPEPSTAPSTSFLLPMGPSPPAEGSTGDFALPVGLIVGVTALGLLIIGVVNCVIMTQVKKKPLCLQREAKVPHLPADKARGTQGPEQQHLLITAPSSSSSSLESSASALDRRAPTRNQPQAPGVEASGAGEARASTGSSDSSPGGHGTQVNVTCIVNVCSSSDHSSQCSSQASSTMGDTDSSPSESPKDEQVPFSKEECAFRSQLETPETLLGSTEEKPLPLGVPDAGMKPS
+>DECOY_sp|P20333|TNR1B_HUMAN Tumor necrosis factor receptor superfamily member 1B OS=Homo sapiens OX=9606 GN=TNFRSF1B PE=1 SV=3
+SPKMGADPVGLPLPKEETSGLLTEPTELQSRFACEEKSFPVQEDKPSESPSSDTDGMTSSAQSSCQSSHDSSSCVNVICTVNVQTGHGGPSSDSSGTSARAEGAGSAEVGPAQPQNRTPARRDLASASSELSSSSSSPATILLHQQEPGQTGRAKDAPLHPVKAERQLCLPKKKVQTMIVCNVVGIILLGLATVGVILGVPLAFDGTSGEAPPSPGMPLLFSTSPATSPEPTPQTHQSRTSVPQPLHVAGPAMSRTPSTSTCVADMSANGPIAVVNCIQHPRCIDTSSTTNSFTGPACPKCVVDSTETGPRAVGFGPRCKRLPACLRCGEQKSLACYWGPRCTCIRNQERTCAQTEVQDSSCRSGCSLCEPVWNWLQTYTSDECSDCVTDSTKTCFVKAHQGPSCKSCCMQATQDYYERLRCTSGPEPAYPTFAVQAPLAHAAAWLELGVALAAWVAVPAM
+>sp|Q8NDV7|TNR6A_HUMAN Trinucleotide repeat-containing gene 6A protein OS=Homo sapiens OX=9606 GN=TNRC6A PE=1 SV=2
+MRELEAKATKDVERNLSRDLVQEEEQLMEEKKKKKDDKKKKEAAQKKATEQKIKVPEQIKPSVSQPQPANSNNGTSTATSTNNNAKRATANNQQPQQQQQQQQPQQQQPQQQPQPQPQQQQPQQQPQALPRYPREVPPRFRHQEHKQLLKRGQHFPVIAANLGSAVKVLNSQSESSALTNQQPQNNGEVQNSKNQSDINHSTSGSHYENSQRGPVSSTSDSSTNCKNAVVSDLSEKEAWPSAPGSDPELASECMDADSASSSESERNITIMASGNTGGEKDGLRNSTGLGSQNKFVVGSSSNNVGHGSSTGPWGFSHGAIISTCQVSVDAPESKSESSNNRMNAWGTVSSSSNGGLNPSTLNSASNHGAWPVLENNGLALKGPVGSGSSGINIQCSTIGQMPNNQSINSKVSGGSTHGTWGSLQETCESEVSGTQKVSFSGQPQNITTEMTGPNNTTNFMTSSLPNSGSVQNNELPSSNTGAWRVSTMNHPQMQAPSGMNGTSLSHLSNGESKSGGSYGTTWGAYGSNYSGDKCSGPNGQANGDTVNATLMQPGVNGPMGTNFQVNTNKGGGVWESGAANSQSTSWGSGNGANSGGSRRGWGTPAQNTGTNLPSVEWNKLPSNQHSNDSANGNGKTFTNGWKSTEEEDQGSATSQTNEQSSVWAKTGGTVESDGSTESTGRLEEKGTGESQSRDRRKIDQHTLLQSIVNRTDLDPRVLSNSGWGQTPIKQNTAWDTETSPRGERKTDNGTEAWGSSATQTFNSGACIDKTSPNGNDTSSVSGWGDPKPALRWGDSKGSNCQGGWEDDSAATGMVKSNQWGNCKEEKAAWNDSQKNKQGWGDGQKSSQGWSVSASDNWGETSRNNHWGEANKKSSSGGSDSDRSVSGWNELGKTSSFTWGNNINPNNSSGWDESSKPTPSQGWGDPPKSNQSLGWGDSSKPVSSPDWNKQQDIVGSWGIPPATGKPPGTGWLGGPIPAPAKEEEPTGWEEPSPESIRRKMEIDDGTSAWGDPSKYNYKNVNMWNKNVPNGNSRSDQQAQVHQLLTPASAISNKEASSGSGWGEPWGEPSTPATTVDNGTSAWGKPIDSGPSWGEPIAAASSTSTWGSSSVGPQALSKSGPKSMQDGWCGDDMPLPGNRPTGWEEEEDVEIGMWNSNSSQELNSSLNWPPYTKKMSSKGLSGKKRRRERGMMKGGNKQEEAWINPFVKQFSNISFSRDSPEENVQSNKMDLSGGMLQDKRMEIDKHSLNIGDYNRTVGKGPGSRPQISKESSMERNPYFDKDGIVADESQNMQFMSSQSMKLPPSNSALPNQALGSIAGLGMQNLNSVRQNGNPSMFGVGNTAAQPRGMQQPPAQPLSSSQPNLRAQVPPPLLSPQVPVSLLKYAPNNGGLNPLFGPQQVAMLNQLSQLNQLSQISQLQRLLAQQQRAQSQRSVPSGNRPQQDQQGRPLSVQQQMMQQSRQLDPNLLVKQQTPPSQQQPLHQPAMKSFLDNVMPHTTPELQKGPSPINAFSNFPIGLNSNLNVNMDMNSIKEPQSRLRKWTTVDSISVNTSLDQNSSKHGAISSGFRLEESPFVPYDFMNSSTSPASPPGSIGDGWPRAKSPNGSSSVNWPPEFRPGEPWKGYPNIDPETDPYVTPGSVINNLSINTVREVDHLRDRNSGSSSSLNTTLPSTSAWSSIRASNYNVPLSSTAQSTSARNSDSKLTWSPGSVTNTSLAHELWKVPLPPKNITAPSRPPPGLTGQKPPLSTWDNSPLRIGGGWGNSDARYTPGSSWGESSSGRITNWLVLKNLTPQIDGSTLRTLCMQHGPLITFHLNLPHGNALVRYSSKEEVVKAQKSLHMCVLGNTTILAEFASEEEISRFFAQSQSLTPSPGWQSLGSSQSRLGSLDCSHSFSSRTDLNHWNGAGLSGTNCGDLHGTSLWGTPHYSTSLWGPPSSSDPRGISSPSPINAFLSVDHLGGGGESM
+>DECOY_sp|Q8NDV7|TNR6A_HUMAN Trinucleotide repeat-containing gene 6A protein OS=Homo sapiens OX=9606 GN=TNRC6A PE=1 SV=2
+MSEGGGGLHDVSLFANIPSPSSIGRPDSSSPPGWLSTSYHPTGWLSTGHLDGCNTGSLGAGNWHNLDTRSSFSHSCDLSGLRSQSSGLSQWGPSPTLSQSQAFFRSIEEESAFEALITTNGLVCMHLSKQAKVVEEKSSYRVLANGHPLNLHFTILPGHQMCLTRLTSGDIQPTLNKLVLWNTIRGSSSEGWSSGPTYRADSNGWGGGIRLPSNDWTSLPPKQGTLGPPPRSPATINKPPLPVKWLEHALSTNTVSGPSWTLKSDSNRASTSQATSSLPVNYNSARISSWASTSPLTTNLSSSSGSNRDRLHDVERVTNISLNNIVSGPTVYPDTEPDINPYGKWPEGPRFEPPWNVSSSGNPSKARPWGDGISGPPSAPSTSSNMFDYPVFPSEELRFGSSIAGHKSSNQDLSTNVSISDVTTWKRLRSQPEKISNMDMNVNLNSNLGIPFNSFANIPSPGKQLEPTTHPMVNDLFSKMAPQHLPQQQSPPTQQKVLLNPDLQRSQQMMQQQVSLPRGQQDQQPRNGSPVSRQSQARQQQALLRQLQSIQSLQNLQSLQNLMAVQQPGFLPNLGGNNPAYKLLSVPVQPSLLPPPVQARLNPQSSSLPQAPPQQMGRPQAATNGVGFMSPNGNQRVSNLNQMGLGAISGLAQNPLASNSPPLKMSQSSMFQMNQSEDAVIGDKDFYPNREMSSEKSIQPRSGPGKGVTRNYDGINLSHKDIEMRKDQLMGGSLDMKNSQVNEEPSDRSFSINSFQKVFPNIWAEEQKNGGKMMGRERRRKKGSLGKSSMKKTYPPWNLSSNLEQSSNSNWMGIEVDEEEEWGTPRNGPLPMDDGCWGDQMSKPGSKSLAQPGVSSSGWTSTSSAAAIPEGWSPGSDIPKGWASTGNDVTTAPTSPEGWPEGWGSGSSAEKNSIASAPTLLQHVQAQQDSRSNGNPVNKNWMNVNKYNYKSPDGWASTGDDIEMKRRISEPSPEEWGTPEEEKAPAPIPGGLWGTGPPKGTAPPIGWSGVIDQQKNWDPSSVPKSSDGWGLSQNSKPPDGWGQSPTPKSSEDWGSSNNPNINNGWTFSSTKGLENWGSVSRDSDSGGSSSKKNAEGWHNNRSTEGWNDSASVSWGQSSKQGDGWGQKNKQSDNWAAKEEKCNGWQNSKVMGTAASDDEWGGQCNSGKSDGWRLAPKPDGWGSVSSTDNGNPSTKDICAGSNFTQTASSGWAETGNDTKREGRPSTETDWATNQKIPTQGWGSNSLVRPDLDTRNVISQLLTHQDIKRRDRSQSEGTGKEELRGTSETSGDSEVTGGTKAWVSSQENTQSTASGQDEEETSKWGNTFTKGNGNASDNSHQNSPLKNWEVSPLNTGTNQAPTGWGRRSGGSNAGNGSGWSTSQSNAAGSEWVGGGKNTNVQFNTGMPGNVGPQMLTANVTDGNAQGNPGSCKDGSYNSGYAGWTTGYSGGSKSEGNSLHSLSTGNMGSPAQMQPHNMTSVRWAGTNSSPLENNQVSGSNPLSSTMFNTTNNPGTMETTINQPQGSFSVKQTGSVESECTEQLSGWTGHTSGGSVKSNISQNNPMQGITSCQINIGSSGSGVPGKLALGNNELVPWAGHNSASNLTSPNLGGNSSSSVTGWANMRNNSSESKSEPADVSVQCTSIIAGHSFGWPGTSSGHGVNNSSSGVVFKNQSGLGTSNRLGDKEGGTNGSAMITINRESESSSASDADMCESALEPDSGPASPWAEKESLDSVVANKCNTSSDSTSSVPGRQSNEYHSGSTSHNIDSQNKSNQVEGNNQPQQNTLASSESQSNLVKVASGLNAAIVPFHQGRKLLQKHEQHRFRPPVERPYRPLAQPQQQPQQQQPQPQPQQQPQQQQPQQQQQQQQPQQNNATARKANNNTSTATSTGNNSNAPQPQSVSPKIQEPVKIKQETAKKQAAEKKKKDDKKKKKEEMLQEEEQVLDRSLNREVDKTAKAELERM
+>sp|Q96B49|TOM6_HUMAN Mitochondrial import receptor subunit TOM6 homolog OS=Homo sapiens OX=9606 GN=TOMM6 PE=1 SV=1
+MASSTVPVSAAGSANETPEIPDNVGDWLRGVYRFATDRNDFRRNLILNLGLFAAGVWLARNLSDIDLMAPQPGV
+>DECOY_sp|Q96B49|TOM6_HUMAN Mitochondrial import receptor subunit TOM6 homolog OS=Homo sapiens OX=9606 GN=TOMM6 PE=1 SV=1
+VGPQPAMLDIDSLNRALWVGAAFLGLNLILNRRFDNRDTAFRYVGRLWDGVNDPIEPTENASGAASVPVTSSAM
+>sp|P11387|TOP1_HUMAN DNA topoisomerase 1 OS=Homo sapiens OX=9606 GN=TOP1 PE=1 SV=2
+MSGDHLHNDSQIEADFRLNDSHKHKDKHKDREHRHKEHKKEKDREKSKHSNSEHKDSEKKHKEKEKTKHKDGSSEKHKDKHKDRDKEKRKEEKVRASGDAKIKKEKENGFSSPPQIKDEPEDDGYFVPPKEDIKPLKRPRDEDDADYKPKKIKTEDTKKEKKRKLEEEEDGKLKKPKNKDKDKKVPEPDNKKKKPKKEEEQKWKWWEEERYPEGIKWKFLEHKGPVFAPPYEPLPENVKFYYDGKVMKLSPKAEEVATFFAKMLDHEYTTKEIFRKNFFKDWRKEMTNEEKNIITNLSKCDFTQMSQYFKAQTEARKQMSKEEKLKIKEENEKLLKEYGFCIMDNHKERIANFKIEPPGLFRGRGNHPKMGMLKRRIMPEDIIINCSKDAKVPSPPPGHKWKEVRHDNKVTWLVSWTENIQGSIKYIMLNPSSRIKGEKDWQKYETARRLKKCVDKIRNQYREDWKSKEMKVRQRAVALYFIDKLALRAGNEKEEGETADTVGCCSLRVEHINLHPELDGQEYVVEFDFLGKDSIRYYNKVPVEKRVFKNLQLFMENKQPEDDLFDRLNTGILNKHLQDLMEGLTAKVFRTYNASITLQQQLKELTAPDENIPAKILSYNRANRAVAILCNHQRAPPKTFEKSMMNLQTKIDAKKEQLADARRDLKSAKADAKVMKDAKTKKVVESKKKAVQRLEEQLMKLEVQATDREENKQIALGTSKLNYLDPRITVAWCKKWGVPIEKIYNKTQREKFAWAIDMADEDYEF
+>DECOY_sp|P11387|TOP1_HUMAN DNA topoisomerase 1 OS=Homo sapiens OX=9606 GN=TOP1 PE=1 SV=2
+FEYDEDAMDIAWAFKERQTKNYIKEIPVGWKKCWAVTIRPDLYNLKSTGLAIQKNEERDTAQVELKMLQEELRQVAKKKSEVVKKTKADKMVKADAKASKLDRRADALQEKKADIKTQLNMMSKEFTKPPARQHNCLIAVARNARNYSLIKAPINEDPATLEKLQQQLTISANYTRFVKATLGEMLDQLHKNLIGTNLRDFLDDEPQKNEMFLQLNKFVRKEVPVKNYYRISDKGLFDFEVVYEQGDLEPHLNIHEVRLSCCGVTDATEGEEKENGARLALKDIFYLAVARQRVKMEKSKWDERYQNRIKDVCKKLRRATEYKQWDKEGKIRSSPNLMIYKISGQINETWSVLWTVKNDHRVEKWKHGPPPSPVKADKSCNIIIDEPMIRRKLMGMKPHNGRGRFLGPPEIKFNAIREKHNDMICFGYEKLLKENEEKIKLKEEKSMQKRAETQAKFYQSMQTFDCKSLNTIINKEENTMEKRWDKFFNKRFIEKTTYEHDLMKAFFTAVEEAKPSLKMVKGDYYFKVNEPLPEYPPAFVPGKHELFKWKIGEPYREEEWWKWKQEEEKKPKKKKNDPEPVKKDKDKNKPKKLKGDEEEELKRKKEKKTDETKIKKPKYDADDEDRPRKLPKIDEKPPVFYGDDEPEDKIQPPSSFGNEKEKKIKADGSARVKEEKRKEKDRDKHKDKHKESSGDKHKTKEKEKHKKESDKHESNSHKSKERDKEKKHEKHRHERDKHKDKHKHSDNLRFDAEIQSDNHLHDGSM
+>sp|P11388|TOP2A_HUMAN DNA topoisomerase 2-alpha OS=Homo sapiens OX=9606 GN=TOP2A PE=1 SV=3
+MEVSPLQPVNENMQVNKIKKNEDAKKRLSVERIYQKKTQLEHILLRPDTYIGSVELVTQQMWVYDEDVGINYREVTFVPGLYKIFDEILVNAADNKQRDPKMSCIRVTIDPENNLISIWNNGKGIPVVEHKVEKMYVPALIFGQLLTSSNYDDDEKKVTGGRNGYGAKLCNIFSTKFTVETASREYKKMFKQTWMDNMGRAGEMELKPFNGEDYTCITFQPDLSKFKMQSLDKDIVALMVRRAYDIAGSTKDVKVFLNGNKLPVKGFRSYVDMYLKDKLDETGNSLKVIHEQVNHRWEVCLTMSEKGFQQISFVNSIATSKGGRHVDYVADQIVTKLVDVVKKKNKGGVAVKAHQVKNHMWIFVNALIENPTFDSQTKENMTLQPKSFGSTCQLSEKFIKAAIGCGIVESILNWVKFKAQVQLNKKCSAVKHNRIKGIPKLDDANDAGGRNSTECTLILTEGDSAKTLAVSGLGVVGRDKYGVFPLRGKILNVREASHKQIMENAEINNIIKIVGLQYKKNYEDEDSLKTLRYGKIMIMTDQDQDGSHIKGLLINFIHHNWPSLLRHRFLEEFITPIVKVSKNKQEMAFYSLPEFEEWKSSTPNHKKWKVKYYKGLGTSTSKEAKEYFADMKRHRIQFKYSGPEDDAAISLAFSKKQIDDRKEWLTNFMEDRRQRKLLGLPEDYLYGQTTTYLTYNDFINKELILFSNSDNERSIPSMVDGLKPGQRKVLFTCFKRNDKREVKVAQLAGSVAEMSSYHHGEMSLMMTIINLAQNFVGSNNLNLLQPIGQFGTRLHGGKDSASPRYIFTMLSSLARLLFPPKDDHTLKFLYDDNQRVEPEWYIPIIPMVLINGAEGIGTGWSCKIPNFDVREIVNNIRRLMDGEEPLPMLPSYKNFKGTIEELAPNQYVISGEVAILNSTTIEISELPVRTWTQTYKEQVLEPMLNGTEKTPPLITDYREYHTDTTVKFVVKMTEEKLAEAERVGLHKVFKLQTSLTCNSMVLFDHVGCLKKYDTVLDILRDFFELRLKYYGLRKEWLLGMLGAESAKLNNQARFILEKIDGKIIIENKPKKELIKVLIQRGYDSDPVKAWKEAQQKVPDEEENEESDNEKETEKSDSVTDSGPTFNYLLDMPLWYLTKEKKDELCRLRNEKEQELDTLKRKSPSDLWKEDLATFIEELEAVEAKEKQDEQVGLPGKGGKAKGKKTQMAEVLPSPRGQRVIPRITIEMKAEAEKKNKKKIKNENTEGSPQEDGVELEGLKQRLEKKQKREPGTKTKKQTTLAFKPIKKGKKRNPWSDSESDRSSDESNFDVPPRETEPRRAATKTKFTMDLDSDEDFSDFDEKTDDEDFVPSDASPPKTKTSPKLSNKELKPQKSVVSDLEADDVKGSVPLSSSPPATHFPDETEITNPVPKKNVTVKKTAAKSQSSTSTTGAKKRAAPKGTKRDPALNSGVSQKPDPAKTKNRRKRKPSTSDDSDSNFEKIVSKAVTSKKSKGESDDFHMDFDSAVAPRAKSVRAKKPIKYLEESDEDDLF
+>DECOY_sp|P11388|TOP2A_HUMAN DNA topoisomerase 2-alpha OS=Homo sapiens OX=9606 GN=TOP2A PE=1 SV=3
+FLDDEDSEELYKIPKKARVSKARPAVASDFDMHFDDSEGKSKKSTVAKSVIKEFNSDSDDSTSPKRKRRNKTKAPDPKQSVGSNLAPDRKTGKPAARKKAGTTSTSSQSKAATKKVTVNKKPVPNTIETEDPFHTAPPSSSLPVSGKVDDAELDSVVSKQPKLEKNSLKPSTKTKPPSADSPVFDEDDTKEDFDSFDEDSDLDMTFKTKTAARRPETERPPVDFNSEDSSRDSESDSWPNRKKGKKIPKFALTTQKKTKTGPERKQKKELRQKLGELEVGDEQPSGETNENKIKKKNKKEAEAKMEITIRPIVRQGRPSPLVEAMQTKKGKAKGGKGPLGVQEDQKEKAEVAELEEIFTALDEKWLDSPSKRKLTDLEQEKENRLRCLEDKKEKTLYWLPMDLLYNFTPGSDTVSDSKETEKENDSEENEEEDPVKQQAEKWAKVPDSDYGRQILVKILEKKPKNEIIIKGDIKELIFRAQNNLKASEAGLMGLLWEKRLGYYKLRLEFFDRLIDLVTDYKKLCGVHDFLVMSNCTLSTQLKFVKHLGVREAEALKEETMKVVFKVTTDTHYERYDTILPPTKETGNLMPELVQEKYTQTWTRVPLESIEITTSNLIAVEGSIVYQNPALEEITGKFNKYSPLMPLPEEGDMLRRINNVIERVDFNPIKCSWGTGIGEAGNILVMPIIPIYWEPEVRQNDDYLFKLTHDDKPPFLLRALSSLMTFIYRPSASDKGGHLRTGFQGIPQLLNLNNSGVFNQALNIITMMLSMEGHHYSSMEAVSGALQAVKVERKDNRKFCTFLVKRQGPKLGDVMSPISRENDSNSFLILEKNIFDNYTLYTTTQGYLYDEPLGLLKRQRRDEMFNTLWEKRDDIQKKSFALSIAADDEPGSYKFQIRHRKMDAFYEKAEKSTSTGLGKYYKVKWKKHNPTSSKWEEFEPLSYFAMEQKNKSVKVIPTIFEELFRHRLLSPWNHHIFNILLGKIHSGDQDQDTMIMIKGYRLTKLSDEDEYNKKYQLGVIKIINNIEANEMIQKHSAERVNLIKGRLPFVGYKDRGVVGLGSVALTKASDGETLILTCETSNRGGADNADDLKPIGKIRNHKVASCKKNLQVQAKFKVWNLISEVIGCGIAAKIFKESLQCTSGFSKPQLTMNEKTQSDFTPNEILANVFIWMHNKVQHAKVAVGGKNKKKVVDVLKTVIQDAVYDVHRGGKSTAISNVFSIQQFGKESMTLCVEWRHNVQEHIVKLSNGTEDLKDKLYMDVYSRFGKVPLKNGNLFVKVDKTSGAIDYARRVMLAVIDKDLSQMKFKSLDPQFTICTYDEGNFPKLEMEGARGMNDMWTQKFMKKYERSATEVTFKTSFINCLKAGYGNRGGTVKKEDDDYNSSTLLQGFILAPVYMKEVKHEVVPIGKGNNWISILNNEPDITVRICSMKPDRQKNDAANVLIEDFIKYLGPVFTVERYNIGVDEDYVWMQQTVLEVSGIYTDPRLLIHELQTKKQYIREVSLRKKADENKKIKNVQMNENVPQLPSVEM
+>sp|Q02880|TOP2B_HUMAN DNA topoisomerase 2-beta OS=Homo sapiens OX=9606 GN=TOP2B PE=1 SV=3
+MAKSGGCGAGAGVGGGNGALTWVTLFDQNNAAKKEESETANKNDSSKKLSVERVYQKKTQLEHILLRPDTYIGSVEPLTQFMWVYDEDVGMNCREVTFVPGLYKIFDEILVNAADNKQRDKNMTCIKVSIDPESNIISIWNNGKGIPVVEHKVEKVYVPALIFGQLLTSSNYDDDEKKVTGGRNGYGAKLCNIFSTKFTVETACKEYKHSFKQTWMNNMMKTSEAKIKHFDGEDYTCITFQPDLSKFKMEKLDKDIVALMTRRAYDLAGSCRGVKVMFNGKKLPVNGFRSYVDLYVKDKLDETGVALKVIHELANERWDVCLTLSEKGFQQISFVNSIATTKGGRHVDYVVDQVVGKLIEVVKKKNKAGVSVKPFQVKNHIWVFINCLIENPTFDSQTKENMTLQPKSFGSKCQLSEKFFKAASNCGIVESILNWVKFKAQTQLNKKCSSVKYSKIKGIPKLDDANDAGGKHSLECTLILTEGDSAKSLAVSGLGVIGRDRYGVFPLRGKILNVREASHKQIMENAEINNIIKIVGLQYKKSYDDAESLKTLRYGKIMIMTDQDQDGSHIKGLLINFIHHNWPSLLKHGFLEEFITPIVKASKNKQELSFYSIPEFDEWKKHIENQKAWKIKYYKGLGTSTAKEAKEYFADMERHRILFRYAGPEDDAAITLAFSKKKIDDRKEWLTNFMEDRRQRRLHGLPEQFLYGTATKHLTYNDFINKELILFSNSDNERSIPSLVDGFKPGQRKVLFTCFKRNDKREVKVAQLAGSVAEMSAYHHGEQALMMTIVNLAQNFVGSNNINLLQPIGQFGTRLHGGKDAASPRYIFTMLSTLARLLFPAVDDNLLKFLYDDNQRVEPEWYIPIIPMVLINGAEGIGTGWACKLPNYDAREIVNNVRRMLDGLDPHPMLPNYKNFKGTIQELGQNQYAVSGEIFVVDRNTVEITELPVRTWTQVYKEQVLEPMLNGTDKTPALISDYKEYHTDTTVKFVVKMTEEKLAQAEAAGLHKVFKLQTTLTCNSMVLFDHMGCLKKYETVQDILKEFFDLRLSYYGLRKEWLVGMLGAESTKLNNQARFILEKIQGKITIENRSKKDLIQMLVQRGYESDPVKAWKEAQEKAAEEDETQNQHDDSSSDSGTPSGPDFNYILNMSLWSLTKEKVEELIKQRDAKGREVNDLKRKSPSDLWKEDLAAFVEELDKVESQEREDVLAGMSGKAIKGKVGKPKVKKLQLEETMPSPYGRRIIPEITAMKADASKKLLKKKKGDLDTAAVKVEFDEEFSGAPVEGAGEEALTPSVPINKGPKPKREKKEPGTRVRKTPTSSGKPSAKKVKKRNPWSDDESKSESDLEETEPVVIPRDSLLRRAAAERPKYTFDFSEEEDDDADDDDDDNNDLEELKVKASPITNDGEDEFVPSDGLDKDEYTFSPGKSKATPEKSLHDKKSQDFGNLFSFPSYSQKSEDDSAKFDSNEEDSASVFSPSFGLKQTDKVPSKTVAAKKGKPSSDTVPKPKRAPKQKKVVEAVNSDSDSEFGIPKKTTTPKGKGRGAKKRKASGSENEGDYNPGRKTSKTTSKKPKKTSFDQDSDVDIFPSDFPTEPPSLPRTGRARKEVKYFAESDEEEDDVDFAMFN
+>DECOY_sp|Q02880|TOP2B_HUMAN DNA topoisomerase 2-beta OS=Homo sapiens OX=9606 GN=TOP2B PE=1 SV=3
+NFMAFDVDDEEEDSEAFYKVEKRARGTRPLSPPETPFDSPFIDVDSDQDFSTKKPKKSTTKSTKRGPNYDGENESGSAKRKKAGRGKGKPTTTKKPIGFESDSDSNVAEVVKKQKPARKPKPVTDSSPKGKKAAVTKSPVKDTQKLGFSPSFVSASDEENSDFKASDDESKQSYSPFSFLNGFDQSKKDHLSKEPTAKSKGPSFTYEDKDLGDSPVFEDEGDNTIPSAKVKLEELDNNDDDDDDADDDEEESFDFTYKPREAAARRLLSDRPIVVPETEELDSESKSEDDSWPNRKKVKKASPKGSSTPTKRVRTGPEKKERKPKPGKNIPVSPTLAEEGAGEVPAGSFEEDFEVKVAATDLDGKKKKLLKKSADAKMATIEPIIRRGYPSPMTEELQLKKVKPKGVKGKIAKGSMGALVDEREQSEVKDLEEVFAALDEKWLDSPSKRKLDNVERGKADRQKILEEVKEKTLSWLSMNLIYNFDPGSPTGSDSSSDDHQNQTEDEEAAKEQAEKWAKVPDSEYGRQVLMQILDKKSRNEITIKGQIKELIFRAQNNLKTSEAGLMGVLWEKRLGYYSLRLDFFEKLIDQVTEYKKLCGMHDFLVMSNCTLTTQLKFVKHLGAAEAQALKEETMKVVFKVTTDTHYEKYDSILAPTKDTGNLMPELVQEKYVQTWTRVPLETIEVTNRDVVFIEGSVAYQNQGLEQITGKFNKYNPLMPHPDLGDLMRRVNNVIERADYNPLKCAWGTGIGEAGNILVMPIIPIYWEPEVRQNDDYLFKLLNDDVAPFLLRALTSLMTFIYRPSAADKGGHLRTGFQGIPQLLNINNSGVFNQALNVITMMLAQEGHHYASMEAVSGALQAVKVERKDNRKFCTFLVKRQGPKFGDVLSPISRENDSNSFLILEKNIFDNYTLHKTATGYLFQEPLGHLRRQRRDEMFNTLWEKRDDIKKKSFALTIAADDEPGAYRFLIRHREMDAFYEKAEKATSTGLGKYYKIKWAKQNEIHKKWEDFEPISYFSLEQKNKSAKVIPTIFEELFGHKLLSPWNHHIFNILLGKIHSGDQDQDTMIMIKGYRLTKLSEADDYSKKYQLGVIKIINNIEANEMIQKHSAERVNLIKGRLPFVGYRDRGIVGLGSVALSKASDGETLILTCELSHKGGADNADDLKPIGKIKSYKVSSCKKNLQTQAKFKVWNLISEVIGCNSAAKFFKESLQCKSGFSKPQLTMNEKTQSDFTPNEILCNIFVWIHNKVQFPKVSVGAKNKKKVVEILKGVVQDVVYDVHRGGKTTAISNVFSIQQFGKESLTLCVDWRENALEHIVKLAVGTEDLKDKVYLDVYSRFGNVPLKKGNFMVKVGRCSGALDYARRTMLAVIDKDLKEMKFKSLDPQFTICTYDEGDFHKIKAESTKMMNNMWTQKFSHKYEKCATEVTFKTSFINCLKAGYGNRGGTVKKEDDDYNSSTLLQGFILAPVYVKEVKHEVVPIGKGNNWISIINSEPDISVKICTMNKDRQKNDAANVLIEDFIKYLGPVFTVERCNMGVDEDYVWMFQTLPEVSGIYTDPRLLIHELQTKKQYVREVSLKKSSDNKNATESEEKKAANNQDFLTVWTLAGNGGGVGAGAGCGGSKAM
+>sp|Q8N9V7|TOPZ1_HUMAN Protein TOPAZ1 OS=Homo sapiens OX=9606 GN=TOPAZ1 PE=2 SV=3
+MRRPPPLGPTTASGPEGNVRNLQKRQAPGPGAAGGCGPEAGGCRENKQKRRMVARATPGRGEVESDKSVAASGAGKAARRQVEGRRGPVSPSDSSDPRGLEAAKEAELPLQTERHTKEKRKVTEASSDDPQPGLDLVRKESLTSSESFQTVECLQSLGKESIIEGIKRRIRNKKLKSLENPPLKITENEATQNIKVEFQDELYKNTPKYSCNILSPEVENNSVLKLRDCNCFPHSKGCNDENNLPYKPDGGCMHVAENFSKKENLRSLAEKSDTNSIPQLLQTEENVMGVNKLLPEESDLYQSKTNGLLSCLQHEKNKYSIEESSVGRKPRKRMKLSEKADETVTEMNFSNEYNKSELMLQENQMIADGKEAETKSPLNVLRKVSHNTVSLMDHLLSVPETVEKETSSEHHVNAVFQKTIEPLLKEETENASEPLGYESMASKEDFKSMKSFIGKSPNEYHIERRSSREDLRSASEELKLSCQRTIPMTGKRTWPYYSCARISAWCWKKASLPESSYFLRGSQESCRQVDVPKHQTNQTHLTDSKLLLQSSLTETNTESSSKEKLDSNSNCLSSVSAVEPTLMVIKEPIIKDDKKIKSEELSRRGSEVISNTTEDTQLTSETQSLTGNKKKARGNLTKLNLTATSKDGQEANNSAGKTIHRKACIAQQTFIVPDLVKILNTGRLTNFKIPLLKNKSEKRKEVNAKSSEREAYSPLELLDNLSGADVRQNRSKENVSMMMLGPQTLSIRNSVTPVQASSDSFYNKKSYSISPSFTKQGNNSKPSNHVSEPGNIVSNKEVASLTVENNAFSCDPGYVEKSPSFCCNEQETFRPVSSEVRGRKITKNFSEVGFPDILKAYEDDVLLIDVIQDDPDLFGVSNEGELSFTSEVPKISQEPNVAGEHQSTDSKYMETPVKKEPSDDLRELPVLDCGWIKPDICASNSAESEIKRDPKDVNTSLGEVANETSENETLGDFSEQIKGSDLDEKHRFTDKVITKEEKENIYEVCKSKDSRNADFMVGECQFAVPVPKPLCLLVPPLNLSGRQEDTILNTWMNDFRFLGKHSVLKLQNPETCEIFKREKNVGVFQKSLGLMIPYKYCKFHFNTLRGCERPLCKFAHVPEQGDEKVCMDVFKKYININELCLLQRAVNIFMEYYRKFPPGVYFDLQVLNDLLNSLLKHCLLKEVFQIVNLSIMVKMLPSLKILLNIFEYVATMKLRNAVPALIDIFCKLVEAGMVLDPEHFNYIVKLLYQVQASKQEITAVLEMKSRLQMRRFKKNWKCDLDSALNKLEHCKEKGDWTKLGKLYINVKMGCEKFADFQTFCACIAETLTKNYEDERPDIPFCEFAETVSKDPQNSKVDKGVLGRIGISAMYFYHKLLQWSKGRKVLEKLYELKIHFTSLKGLIGPEKLASRCQIVNVAAEIFLKSGSLDGAIWVMRESEWIINTPLWPCDRLDVLNRHNLLCTIAHEILAKSLYRQTFEVLQNLPGFQNSQETVEVSQYSLLFNKLLGSCIESSSLGMSSSVAEFMISKSIPIDFSFLRRLITSLGRSRLWLKARAHYKSALSLGCYPPLEGNLYRKLLLIPSYLSEIEMLLAIEIFMVSNASSIQSPGTSTQILQIVLKRCEDNQSRSNDDYQAAVERLIMAARISDPKLFVKHMTVNVNKEQVYSLEHCSALKWLKENMKWAGKVWLFSNH
+>DECOY_sp|Q8N9V7|TOPZ1_HUMAN Protein TOPAZ1 OS=Homo sapiens OX=9606 GN=TOPAZ1 PE=2 SV=3
+HNSFLWVKGAWKMNEKLWKLASCHELSYVQEKNVNVTMHKVFLKPDSIRAAMILREVAAQYDDNSRSQNDECRKLVIQLIQTSTGPSQISSANSVMFIEIALLMEIESLYSPILLLKRYLNGELPPYCGLSLASKYHARAKLWLRSRGLSTILRRLFSFDIPISKSIMFEAVSSSMGLSSSEICSGLLKNFLLSYQSVEVTEQSNQFGPLNQLVEFTQRYLSKALIEHAITCLLNHRNLVDLRDCPWLPTNIIWESERMVWIAGDLSGSKLFIEAAVNVIQCRSALKEPGILGKLSTFHIKLEYLKELVKRGKSWQLLKHYFYMASIGIRGLVGKDVKSNQPDKSVTEAFECFPIDPREDEYNKTLTEAICACFTQFDAFKECGMKVNIYLKGLKTWDGKEKCHELKNLASDLDCKWNKKFRRMQLRSKMELVATIEQKSAQVQYLLKVIYNFHEPDLVMGAEVLKCFIDILAPVANRLKMTAVYEFINLLIKLSPLMKVMISLNVIQFVEKLLCHKLLSNLLDNLVQLDFYVGPPFKRYYEMFINVARQLLCLENINIYKKFVDMCVKEDGQEPVHAFKCLPRECGRLTNFHFKCYKYPIMLGLSKQFVGVNKERKFIECTEPNQLKLVSHKGLFRFDNMWTNLITDEQRGSLNLPPVLLCLPKPVPVAFQCEGVMFDANRSDKSKCVEYINEKEEKTIVKDTFRHKEDLDSGKIQESFDGLTENESTENAVEGLSTNVDKPDRKIESEASNSACIDPKIWGCDLVPLERLDDSPEKKVPTEMYKSDTSQHEGAVNPEQSIKPVESTFSLEGENSVGFLDPDDQIVDILLVDDEYAKLIDPFGVESFNKTIKRGRVESSVPRFTEQENCCFSPSKEVYGPDCSFANNEVTLSAVEKNSVINGPESVHNSPKSNNGQKTFSPSISYSKKNYFSDSSAQVPTVSNRISLTQPGLMMMSVNEKSRNQRVDAGSLNDLLELPSYAERESSKANVEKRKESKNKLLPIKFNTLRGTNLIKVLDPVIFTQQAICAKRHITKGASNNAEQGDKSTATLNLKTLNGRAKKKNGTLSQTESTLQTDETTNSIVESGRRSLEESKIKKDDKIIPEKIVMLTPEVASVSSLCNSNSDLKEKSSSETNTETLSSQLLLKSDTLHTQNTQHKPVDVQRCSEQSGRLFYSSEPLSAKKWCWASIRACSYYPWTRKGTMPITRQCSLKLEESASRLDERSSRREIHYENPSKGIFSKMSKFDEKSAMSEYGLPESANETEEKLLPEITKQFVANVHHESSTEKEVTEPVSLLHDMLSVTNHSVKRLVNLPSKTEAEKGDAIMQNEQLMLESKNYENSFNMETVTEDAKESLKMRKRPKRGVSSEEISYKNKEHQLCSLLGNTKSQYLDSEEPLLKNVGMVNEETQLLQPISNTDSKEALSRLNEKKSFNEAVHMCGGDPKYPLNNEDNCGKSHPFCNCDRLKLVSNNEVEPSLINCSYKPTNKYLEDQFEVKINQTAENETIKLPPNELSKLKKNRIRRKIGEIISEKGLSQLCEVTQFSESSTLSEKRVLDLGPQPDDSSAETVKRKEKTHRETQLPLEAEKAAELGRPDSSDSPSVPGRRGEVQRRAAKGAGSAAVSKDSEVEGRGPTARAVMRRKQKNERCGGAEPGCGGAAGPGPAQRKQLNRVNGEPGSATTPGLPPPRRM
+>sp|O94842|TOX4_HUMAN TOX high mobility group box family member 4 OS=Homo sapiens OX=9606 GN=TOX4 PE=1 SV=1
+MEFPGGNDNYLTITGPSHPFLSGAETFHTPSLGDEEFEIPPISLDSDPSLAVSDVVGHFDDLADPSSSQDGSFSAQYGVQTLDMPVGMTHGLMEQGGGLLSGGLTMDLDHSIGTQYSANPPVTIDVPMTDMTSGLMGHSQLTTIDQSELSSQLGLSLGGGTILPPAQSPEDRLSTTPSPTSSLHEDGVEDFRRQLPSQKTVVVEAGKKQKAPKKRKKKDPNEPQKPVSAYALFFRDTQAAIKGQNPNATFGEVSKIVASMWDSLGEEQKQVYKRKTEAAKKEYLKALAAYKDNQECQATVETVELDPAPPSQTPSPPPMATVDPASPAPASIEPPALSPSIVVNSTLSSYVANQASSGAGGQPNITKLIITKQMLPSSITMSQGGMVTVIPATVVTSRGLQLGQTSTATIQPSQQAQIVTRSVLQAAAAAAAAASMQLPPPRLQPPPLQQMPQPPTQQQVTILQQPPPLQAMQQPPPQKVRINLQQQPPPLQIKSVPLPTLKMQTTLVPPTVESSPERPMNNSPEAHTVEAPSPETICEMITDVVPEVESPSQMDVELVSGSPVALSPQPRCVRSGCENPPIVSKDWDNEYCSNECVVKHCRDVFLAWVASRNSNTVVFVK
+>DECOY_sp|O94842|TOX4_HUMAN TOX high mobility group box family member 4 OS=Homo sapiens OX=9606 GN=TOX4 PE=1 SV=1
+KVFVVTNSNRSAVWALFVDRCHKVVCENSCYENDWDKSVIPPNECGSRVCRPQPSLAVPSGSVLEVDMQSPSEVEPVVDTIMECITEPSPAEVTHAEPSNNMPREPSSEVTPPVLTTQMKLTPLPVSKIQLPPPQQQLNIRVKQPPPQQMAQLPPPQQLITVQQQTPPQPMQQLPPPQLRPPPLQMSAAAAAAAAAQLVSRTVIQAQQSPQITATSTQGLQLGRSTVVTAPIVTVMGGQSMTISSPLMQKTIILKTINPQGGAGSSAQNAVYSSLTSNVVISPSLAPPEISAPAPSAPDVTAMPPPSPTQSPPAPDLEVTEVTAQCEQNDKYAALAKLYEKKAAETKRKYVQKQEEGLSDWMSAVIKSVEGFTANPNQGKIAAQTDRFFLAYASVPKQPENPDKKKRKKPAKQKKGAEVVVTKQSPLQRRFDEVGDEHLSSTPSPTTSLRDEPSQAPPLITGGGLSLGLQSSLESQDITTLQSHGMLGSTMDTMPVDITVPPNASYQTGISHDLDMTLGGSLLGGGQEMLGHTMGVPMDLTQVGYQASFSGDQSSSPDALDDFHGVVDSVALSPDSDLSIPPIEFEEDGLSPTHFTEAGSLFPHSPGTITLYNDNGGPFEM
+>sp|O94900|TOX_HUMAN Thymocyte selection-associated high mobility group box protein TOX OS=Homo sapiens OX=9606 GN=TOX PE=1 SV=3
+MDVRFYPPPAQPAAAPDAPCLGPSPCLDPYYCNKFDGENMYMSMTEPSQDYVPASQSYPGPSLESEDFNIPPITPPSLPDHSLVHLNEVESGYHSLCHPMNHNGLLPFHPQNMDLPEITVSNMLGQDGTLLSNSISVMPDIRNPEGTQYSSHPQMAAMRPRGQPADIRQQPGMMPHGQLTTINQSQLSAQLGLNMGGSNVPHNSPSPPGSKSATPSPSSSVHEDEGDDTSKINGGEKRPASDMGKKPKTPKKKKKKDPNEPQKPVSAYALFFRDTQAAIKGQNPNATFGEVSKIVASMWDGLGEEQKQVYKKKTEAAKKEYLKQLAAYRASLVSKSYSEPVDVKTSQPPQLINSKPSVFHGPSQAHSALYLSSHYHQQPGMNPHLTAMHPSLPRNIAPKPNNQMPVTVSIANMAVSPPPPLQISPPLHQHLNMQQHQPLTMQQPLGNQLPMQVQSALHSPTMQQGFTLQPDYQTIINPTSTAAQVVTQAMEYVRSGCRNPPPQPVDWNNDYCSSGGMQRDKALYLT
+>DECOY_sp|O94900|TOX_HUMAN Thymocyte selection-associated high mobility group box protein TOX OS=Homo sapiens OX=9606 GN=TOX PE=1 SV=3
+TLYLAKDRQMGGSSCYDNNWDVPQPPPNRCGSRVYEMAQTVVQAATSTPNIITQYDPQLTFGQQMTPSHLASQVQMPLQNGLPQQMTLPQHQQMNLHQHLPPSIQLPPPPSVAMNAISVTVPMQNNPKPAINRPLSPHMATLHPNMGPQQHYHSSLYLASHAQSPGHFVSPKSNILQPPQSTKVDVPESYSKSVLSARYAALQKLYEKKAAETKKKYVQKQEEGLGDWMSAVIKSVEGFTANPNQGKIAAQTDRFFLAYASVPKQPENPDKKKKKKPTKPKKGMDSAPRKEGGNIKSTDDGEDEHVSSSPSPTASKSGPPSPSNHPVNSGGMNLGLQASLQSQNITTLQGHPMMGPQQRIDAPQGRPRMAAMQPHSSYQTGEPNRIDPMVSISNSLLTGDQGLMNSVTIEPLDMNQPHFPLLGNHNMPHCLSHYGSEVENLHVLSHDPLSPPTIPPINFDESELSPGPYSQSAPVYDQSPETMSMYMNEGDFKNCYYPDLCPSPGLCPADPAAAPQAPPPYFRVDM
+>sp|Q93096|TP4A1_HUMAN Protein tyrosine phosphatase type IVA 1 OS=Homo sapiens OX=9606 GN=PTP4A1 PE=1 SV=2
+MARMNRPAPVEVTYKNMRFLITHNPTNATLNKFIEELKKYGVTTIVRVCEATYDTTLVEKEGIHVLDWPFDDGAPPSNQIVDDWLSLVKIKFREEPGCCIAVHCVAGLGRAPVLVALALIEGGMKYEDAVQFIRQKRRGAFNSKQLLYLEKYRPKMRLRFKDSNGHRNNCCIQ
+>DECOY_sp|Q93096|TP4A1_HUMAN Protein tyrosine phosphatase type IVA 1 OS=Homo sapiens OX=9606 GN=PTP4A1 PE=1 SV=2
+QICCNNRHGNSDKFRLRMKPRYKELYLLQKSNFAGRRKQRIFQVADEYKMGGEILALAVLVPARGLGAVCHVAICCGPEERFKIKVLSLWDDVIQNSPPAGDDFPWDLVHIGEKEVLTTDYTAECVRVITTVGYKKLEEIFKNLTANTPNHTILFRMNKYTVEVPAPRNMRAM
+>sp|Q12974|TP4A2_HUMAN Protein tyrosine phosphatase type IVA 2 OS=Homo sapiens OX=9606 GN=PTP4A2 PE=1 SV=1
+MNRPAPVEISYENMRFLITHNPTNATLNKFTEELKKYGVTTLVRVCDATYDKAPVEKEGIHVLDWPFDDGAPPPNQIVDDWLNLLKTKFREEPGCCVAVHCVAGLGRAPVLVALALIECGMKYEDAVQFIRQKRRGAFNSKQLLYLEKYRPKMRLRFRDTNGHCCVQ
+>DECOY_sp|Q12974|TP4A2_HUMAN Protein tyrosine phosphatase type IVA 2 OS=Homo sapiens OX=9606 GN=PTP4A2 PE=1 SV=1
+QVCCHGNTDRFRLRMKPRYKELYLLQKSNFAGRRKQRIFQVADEYKMGCEILALAVLVPARGLGAVCHVAVCCGPEERFKTKLLNLWDDVIQNPPPAGDDFPWDLVHIGEKEVPAKDYTADCVRVLTTVGYKKLEETFKNLTANTPNHTILFRMNEYSIEVPAPRNM
+>sp|Q8TEL6|TP4AP_HUMAN Short transient receptor potential channel 4-associated protein OS=Homo sapiens OX=9606 GN=TRPC4AP PE=1 SV=2
+MAAAPVAAGSGAGRGRRSAATVAAWGGWGGRPRPGNILLQLRQGQLTGRGLVRAVQFTETFLTERDKQSKWSGIPQLLLKLHTTSHLHSDFVECQNILKEISPLLSMEAMAFVTEERKLTQETTYPNTYIFDLFGGVDLLVEILMRPTISIRGQKLKISDEMSKDCLSILYNTCVCTEGVTKRLAEKNDFVIFLFTLMTSKKTFLQTATLIEDILGVKKEMIRLDEVPNLSSLVSNFDQQQLANFCRILAVTISEMDTGNDDKHTLLAKNAQQKKSLSLGPSAAEINQAALLSIPGFVERLCKLATRKVSESTGTASFLQELEEWYTWLDNALVLDALMRVANEESEHNQASIVFPPPGASEENGLPHTSARTQLPQSMKIMHEIMYKLEVLYVLCVLLMGRQRNQVHRMIAEFKLIPGLNNLFDKLIWRKHSASALVLHGHNQNCDCSPDITLKIQFLRLLQSFSDHHENKYLLLNNQELNELSAISLKANIPEVEAVLNTDRSLVCDGKRGLLTRLLQVMKKEPAESSFRFWQARAVESFLRGTTSYADQMFLLKRGLLEHILYCIVDSECKSRDVLQSYFDLLGELMKFNVDAFKRFNKYINTDAKFQVFLKQINSSLVDSNMLVRCVTLSLDRFENQVDMKVAEVLSECRLLAYISQVPTQMSFLFRLINIIHVQTLTQENVSCLNTSLVILMLARRKERLPLYLRLLQRMEHSKKYPGFLLNNFHNLLRFWQQHYLHKDKDSTCLENSSCISFSYWKETVSILLNPDRQSPSALVSYIEEPYMDIDRDFTEE
+>DECOY_sp|Q8TEL6|TP4AP_HUMAN Short transient receptor potential channel 4-associated protein OS=Homo sapiens OX=9606 GN=TRPC4AP PE=1 SV=2
+EETFDRDIDMYPEEIYSVLASPSQRDPNLLISVTEKWYSFSICSSNELCTSDKDKHLYHQQWFRLLNHFNNLLFGPYKKSHEMRQLLRLYLPLREKRRALMLIVLSTNLCSVNEQTLTQVHIINILRFLFSMQTPVQSIYALLRCESLVEAVKMDVQNEFRDLSLTVCRVLMNSDVLSSNIQKLFVQFKADTNIYKNFRKFADVNFKMLEGLLDFYSQLVDRSKCESDVICYLIHELLGRKLLFMQDAYSTTGRLFSEVARAQWFRFSSEAPEKKMVQLLRTLLGRKGDCVLSRDTNLVAEVEPINAKLSIASLENLEQNNLLLYKNEHHDSFSQLLRLFQIKLTIDPSCDCNQNHGHLVLASASHKRWILKDFLNNLGPILKFEAIMRHVQNRQRGMLLVCLVYLVELKYMIEHMIKMSQPLQTRASTHPLGNEESAGPPPFVISAQNHESEENAVRMLADLVLANDLWTYWEELEQLFSATGTSESVKRTALKCLREVFGPISLLAAQNIEAASPGLSLSKKQQANKALLTHKDDNGTDMESITVALIRCFNALQQQDFNSVLSSLNPVEDLRIMEKKVGLIDEILTATQLFTKKSTMLTFLFIVFDNKEALRKTVGETCVCTNYLISLCDKSMEDSIKLKQGRISITPRMLIEVLLDVGGFLDFIYTNPYTTEQTLKREETVFAMAEMSLLPSIEKLINQCEVFDSHLHSTTHLKLLLQPIGSWKSQKDRETLFTETFQVARVLGRGTLQGQRLQLLINGPRPRGGWGGWAAVTAASRRGRGAGSGAAVPAAAM
+>sp|Q12888|TP53B_HUMAN TP53-binding protein 1 OS=Homo sapiens OX=9606 GN=TP53BP1 PE=1 SV=2
+MDPTGSQLDSDFSQQDTPCLIIEDSQPESQVLEDDSGSHFSMLSRHLPNLQTHKENPVLDVVSNPEQTAGEERGDGNSGFNEHLKENKVADPVDSSNLDTCGSISQVIEQLPQPNRTSSVLGMSVESAPAVEEEKGEELEQKEKEKEEDTSGNTTHSLGAEDTASSQLGFGVLELSQSQDVEENTVPYEVDKEQLQSVTTNSGYTRLSDVDANTAIKHEEQSNEDIPIAEQSSKDIPVTAQPSKDVHVVKEQNPPPARSEDMPFSPKASVAAMEAKEQLSAQELMESGLQIQKSPEPEVLSTQEDLFDQSNKTVSSDGCSTPSREEGGCSLASTPATTLHLLQLSGQRSLVQDSLSTNSSDLVAPSPDAFRSTPFIVPSSPTEQEGRQDKPMDTSVLSEEGGEPFQKKLQSGEPVELENPPLLPESTVSPQASTPISQSTPVFPPGSLPIPSQPQFSHDIFIPSPSLEEQSNDGKKDGDMHSSSLTVECSKTSEIEPKNSPEDLGLSLTGDSCKLMLSTSEYSQSPKMESLSSHRIDEDGENTQIEDTEPMSPVLNSKFVPAENDSILMNPAQDGEVQLSQNDDKTKGDDTDTRDDISILATGCKGREETVAEDVCIDLTCDSGSQAVPSPATRSEALSSVLDQEEAMEIKEHHPEEGSSGSEVEEIPETPCESQGEELKEENMESVPLHLSLTETQSQGLCLQKEMPKKECSEAMEVETSVISIDSPQKLAILDQELEHKEQEAWEEATSEDSSVVIVDVKEPSPRVDVSCEPLEGVEKCSDSQSWEDIAPEIEPCAENRLDTKEEKSVEYEGDLKSGTAETEPVEQDSSQPSLPLVRADDPLRLDQELQQPQTQEKTSNSLTEDSKMANAKQLSSDAEAQKLGKPSAHASQSFCESSSETPFHFTLPKEGDIIPPLTGATPPLIGHLKLEPKRHSTPIGISNYPESTIATSDVMSESMVETHDPILGSGKGDSGAAPDVDDKLCLRMKLVSPETEASEESLQFNLEKPATGERKNGSTAVAESVASPQKTMSVLSCICEARQENEARSEDPPTTPIRGNLLHFPSSQGEEEKEKLEGDHTIRQSQQPMKPISPVKDPVSPASQKMVIQGPSSPQGEAMVTDVLEDQKEGRSTNKENPSKALIERPSQNNIGIQTMECSLRVPETVSAATQTIKNVCEQGTSTVDQNFGKQDATVQTERGSGEKPVSAPGDDTESLHSQGEEEFDMPQPPHGHVLHRHMRTIREVRTLVTRVITDVYYVDGTEVERKVTEETEEPIVECQECETEVSPSQTGGSSGDLGDISSFSSKASSLHRTSSGTSLSAMHSSGSSGKGAGPLRGKTSGTEPADFALPSSRGGPGKLSPRKGVSQTGTPVCEEDGDAGLGIRQGGKAPVTPRGRGRRGRPPSRTTGTRETAVPGPLGIEDISPNLSPDDKSFSRVVPRVPDSTRRTDVGAGALRRSDSPEIPFQAAAGPSDGLDASSPGNSFVGLRVVAKWSSNGYFYSGKITRDVGAGKYKLLFDDGYECDVLGKDILLCDPIPLDTEVTALSEDEYFSAGVVKGHRKESGELYYSIEKEGQRKWYKRMAVILSLEQGNRLREQYGLGPYEAVTPLTKAADISLDNLVEGKRKRRSNVSSPATPTASSSSSTTPTRKITESPRASMGVLSGKRKLITSEEERSPAKRGRKSATVKPGAVGAGEFVSPCESGDNTGEPSALEEQRGPLPLNKTLFLGYAFLLTMATTSDKLASRSKLPDGPTGSSEEEEEFLEIPPFNKQYTESQLRAGAGYILEDFNEAQCNTAYQCLLIADQHCRTRKYFLCLASGIPCVSHVWVHDSCHANQLQNYRNYLLPAGYSLEEQRILDWQPRENPFQNLKVLLVSDQQQNFLELWSEILMTGGAASVKQHHSSAHNKDIALGVFDVVVTDPSCPASVLKCAEALQLPVVSQEWVIQCLIVGERIGFKQHPKYKHDYVSH
+>DECOY_sp|Q12888|TP53B_HUMAN TP53-binding protein 1 OS=Homo sapiens OX=9606 GN=TP53BP1 PE=1 SV=2
+HSVYDHKYKPHQKFGIREGVILCQIVWEQSVVPLQLAEACKLVSAPCSPDTVVVDFVGLAIDKNHASSHHQKVSAAGGTMLIESWLELFNQQQDSVLLVKLNQFPNERPQWDLIRQEELSYGAPLLYNRYNQLQNAHCSDHVWVHSVCPIGSALCLFYKRTRCHQDAILLCQYATNCQAENFDELIYGAGARLQSETYQKNFPPIELFEEEEESSGTPGDPLKSRSALKDSTTAMTLLFAYGLFLTKNLPLPGRQEELASPEGTNDGSECPSVFEGAGVAGPKVTASKRGRKAPSREEESTILKRKGSLVGMSARPSETIKRTPTTSSSSSATPTAPSSVNSRRKRKGEVLNDLSIDAAKTLPTVAEYPGLGYQERLRNGQELSLIVAMRKYWKRQGEKEISYYLEGSEKRHGKVVGASFYEDESLATVETDLPIPDCLLIDKGLVDCEYGDDFLLKYKGAGVDRTIKGSYFYGNSSWKAVVRLGVFSNGPSSADLGDSPGAAAQFPIEPSDSRRLAGAGVDTRRTSDPVRPVVRSFSKDDPSLNPSIDEIGLPGPVATERTGTTRSPPRGRRGRGRPTVPAKGGQRIGLGADGDEECVPTGTQSVGKRPSLKGPGGRSSPLAFDAPETGSTKGRLPGAGKGSSGSSHMASLSTGSSTRHLSSAKSSFSSIDGLDGSSGGTQSPSVETECEQCEVIPEETEETVKREVETGDVYYVDTIVRTVLTRVERITRMHRHLVHGHPPQPMDFEEEGQSHLSETDDGPASVPKEGSGRETQVTADQKGFNQDVTSTGQECVNKITQTAASVTEPVRLSCEMTQIGINNQSPREILAKSPNEKNTSRGEKQDELVDTVMAEGQPSSPGQIVMKQSAPSVPDKVPSIPKMPQQSQRITHDGELKEKEEEGQSSPFHLLNGRIPTTPPDESRAENEQRAECICSLVSMTKQPSAVSEAVATSGNKREGTAPKELNFQLSEESAETEPSVLKMRLCLKDDVDPAAGSDGKGSGLIPDHTEVMSESMVDSTAITSEPYNSIGIPTSHRKPELKLHGILPPTAGTLPPIIDGEKPLTFHFPTESSSECFSQSAHASPKGLKQAEADSSLQKANAMKSDETLSNSTKEQTQPQQLEQDLRLPDDARVLPLSPQSSDQEVPETEATGSKLDGEYEVSKEEKTDLRNEACPEIEPAIDEWSQSDSCKEVGELPECSVDVRPSPEKVDVIVVSSDESTAEEWAEQEKHELEQDLIALKQPSDISIVSTEVEMAESCEKKPMEKQLCLGQSQTETLSLHLPVSEMNEEKLEEGQSECPTEPIEEVESGSSGEEPHHEKIEMAEEQDLVSSLAESRTAPSPVAQSGSDCTLDICVDEAVTEERGKCGTALISIDDRTDTDDGKTKDDNQSLQVEGDQAPNMLISDNEAPVFKSNLVPSMPETDEIQTNEGDEDIRHSSLSEMKPSQSYESTSLMLKCSDGTLSLGLDEPSNKPEIESTKSCEVTLSSSHMDGDKKGDNSQEELSPSPIFIDHSFQPQSPIPLSGPPFVPTSQSIPTSAQPSVTSEPLLPPNELEVPEGSQLKKQFPEGGEESLVSTDMPKDQRGEQETPSSPVIFPTSRFADPSPAVLDSSNTSLSDQVLSRQGSLQLLHLTTAPTSALSCGGEERSPTSCGDSSVTKNSQDFLDEQTSLVEPEPSKQIQLGSEMLEQASLQEKAEMAAVSAKPSFPMDESRAPPPNQEKVVHVDKSPQATVPIDKSSQEAIPIDENSQEEHKIATNADVDSLRTYGSNTTVSQLQEKDVEYPVTNEEVDQSQSLELVGFGLQSSATDEAGLSHTTNGSTDEEKEKEKQELEEGKEEEVAPASEVSMGLVSSTRNPQPLQEIVQSISGCTDLNSSDVPDAVKNEKLHENFGSNGDGREEGATQEPNSVVDLVPNEKHTQLNPLHRSLMSFHSGSDDELVQSEPQSDEIILCPTDQQSFDSDLQSGTPDM
+>sp|P0DKB5|TPBGL_HUMAN Trophoblast glycoprotein-like OS=Homo sapiens OX=9606 GN=TPBGL PE=3 SV=1
+MAPRAGQPGLQGLLLVAAALSQPAAPCPFQCYCFGGPKLLLRCASGAELRQPPRDVPPDARNLTIVGANLTVLRAAAFAGGDGDGDQAAGVRLPLLSALRLTHNHIEVVEDGAFDGLPSLAALDLSHNPLRALGGGAFRGLPALRSLQLNHALVRGGPALLAALDAALAPLAELRLLGLAGNALSRLPPAALRLARLEQLDVRLNALAGLDPDELRALERDGGLPGPRLLLADNPLRCGCAARPLLAWLRNATERVPDSRRLRCAAPRALLDRPLLDLDGARLRCADSGADARGEEAEAAGPELEASYVFFGLVLALIGLIFLMVLYLNRRGIQRWMRNLREACRDQMEGYHYRYEQDADPRRAPAPAAPAGSRATSPGSGL
+>DECOY_sp|P0DKB5|TPBGL_HUMAN Trophoblast glycoprotein-like OS=Homo sapiens OX=9606 GN=TPBGL PE=3 SV=1
+LGSGPSTARSGAPAAPAPARRPDADQEYRYHYGEMQDRCAERLNRMWRQIGRRNLYLVMLFILGILALVLGFFVYSAELEPGAAEAEEGRADAGSDACRLRAGDLDLLPRDLLARPAACRLRRSDPVRETANRLWALLPRAACGCRLPNDALLLRPGPLGGDRELARLEDPDLGALANLRVDLQELRALRLAAPPLRSLANGALGLLRLEALPALAADLAALLAPGGRVLAHNLQLSRLAPLGRFAGGGLARLPNHSLDLAALSPLGDFAGDEVVEIHNHTLRLASLLPLRVGAAQDGDGDGGAFAAARLVTLNAGVITLNRADPPVDRPPQRLEAGSACRLLLKPGGFCYCQFPCPAAPQSLAAAVLLLGQLGPQGARPAM
+>sp|P40225|TPO_HUMAN Thrombopoietin OS=Homo sapiens OX=9606 GN=THPO PE=1 SV=1
+MELTELLLVVMLLLTARLTLSSPAPPACDLRVLSKLLRDSHVLHSRLSQCPEVHPLPTPVLLPAVDFSLGEWKTQMEETKAQDILGAVTLLLEGVMAARGQLGPTCLSSLLGQLSGQVRLLLGALQSLLGTQLPPQGRTTAHKDPNAIFLSFQHLLRGKVRFLMLVGGSTLCVRRAPPTTAVPSRTSLVLTLNELPNRTSGLLETNFTASARTTGSGLLKWQQGFRAKIPGLLNQTSRSLDQIPGYLNRIHELLNGTRGLFPGPSRRTLGAPDISSGTSDTGSLPPNLQPGYSPSPTHPPTGQYTLFPLPPTLPTPVVQLHPLLPDPSAPTPTPTSPLLNTSYTHSQNLSQEG
+>DECOY_sp|P40225|TPO_HUMAN Thrombopoietin OS=Homo sapiens OX=9606 GN=THPO PE=1 SV=1
+GEQSLNQSHTYSTNLLPSTPTPTPASPDPLLPHLQVVPTPLTPPLPFLTYQGTPPHTPSPSYGPQLNPPLSGTDSTGSSIDPAGLTRRSPGPFLGRTGNLLEHIRNLYGPIQDLSRSTQNLLGPIKARFGQQWKLLGSGTTRASATFNTELLGSTRNPLENLTLVLSTRSPVATTPPARRVCLTSGGVLMLFRVKGRLLHQFSLFIANPDKHATTRGQPPLQTGLLSQLAGLLLRVQGSLQGLLSSLCTPGLQGRAAMVGELLLTVAGLIDQAKTEEMQTKWEGLSFDVAPLLVPTPLPHVEPCQSLRSHLVHSDRLLKSLVRLDCAPPAPSSLTLRATLLLMVVLLLETLEM
+>sp|Q9Y2L5|TPPC8_HUMAN Trafficking protein particle complex subunit 8 OS=Homo sapiens OX=9606 GN=TRAPPC8 PE=1 SV=2
+MAQCVQSVQELIPDSFVPCVAALCSDEAERLTRLNHLSFAELLKPFSRLTSEVHMRDPNNQLHVIKNLKIAVSNIVTQPPQPGAIRKLLNDVVSGSQPAEGLVANVITAGDYDLNISATTPWFESYRETFLQSMPALDHEFLNHYLACMLVASSSEAEPVEQFSKLSQEQHRIQHNSDYSYPKWFIPNTLKYYVLLHDVSAGDEQRAESIYEEMKQKYGTQGCYLLKINSRTSNRASDEQIPDPWSQYLQKNSIQNQESYEDGPCTITSNKNSDNNLLSLDGLDNEVKDGLPNNFRAHPLQLEQSSDPSNSIDGPDHLRSASSLHETKKGNTGIIHGACLTLTDHDRIRQFIQEFTFRGLLPHIEKTIRQLNDQLISRKGLSRSLFSATKKWFSGSKVPEKSINDLKNTSGLLYPPEAPELQIRKMADLCFLVQHYDLAYSCYHTAKKDFLNDQAMLYAAGALEMAAVSAFLQPGAPRPYPAHYMDTAIQTYRDICKNMVLAERCVLLSAELLKSQSKYSEAAALLIRLTSEDSDLRSALLLEQAAHCFINMKSPMVRKYAFHMILAGHRFSKAGQKKHALRCYCQAMQVYKGKGWSLAEDHINFTIGRQSYTLRQLDNAVSAFRHILINESKQSAAQQGAFLREYLYVYKNVSQLSPDGPLPQLPLPYINSSATRVFFGHDRRPADGEKQAATHVSLDQEYDSESSQQWRELEEQVVSVVNKGVIPSNFHPTQYCLNSYSDNSRFPLAVVEEPITVEVAFRNPLKVLLLLTDLSLLWKFHPKDFSGKDNEEVKQLVTSEPEMIGAEVISEFLINGEESKVARLKLFPHHIGELHILGVVYNLGTIQGSMTVDGIGALPGCHTGKYSLSMSVRGKQDLEIQGPRLNNTKEEKTSVKYGPDRRLDPIITEEMPLLEVFFIHFPTGLLCGEIRKAYVEFVNVSKCPLTGLKVVSKRPEFFTFGGNTAVLTPLSPSASENCSAYKTVVTDATSVCTALISSASSVDFGIGTGSQPEVIPVPLPDTVLLPGASVQLPMWLRGPDEEGVHEINFLFYYESVKKQPKIRHRILRHTAIICTSRSLNVRATVCRSNSLENEEGRGGNMLVFVDVENTNTSEAGVKEFHIVQVSSSSKHWKLQKSVNLSENKDTKLASREKGKFCFKAIRCEKEEAATQSSEKYTFADIIFGNEQIISSASPCADFFYRSLSSELKKPQAHLPVHTEKQSTEDAVRLIQKCSEVDLNIVILWKAYVVEDSKQLILEGQHHVILRTIGKEAFSYPQKQEPPEMELLKFFRPENITVSSRPSVEQLSSLIKTSLHYPESFNHPFHQKSLCLVPVTLLLSNCSKADVDVIVDLRHKTTSPEALEIHGSFTWLGQTQYKLQLKSQEIHSLQLKACFVHTGVYNLGTPRVFAKLSDQVTVFETSQQNSMPALIIISNV
+>DECOY_sp|Q9Y2L5|TPPC8_HUMAN Trafficking protein particle complex subunit 8 OS=Homo sapiens OX=9606 GN=TRAPPC8 PE=1 SV=2
+VNSIIILAPMSNQQSTEFVTVQDSLKAFVRPTGLNYVGTHVFCAKLQLSHIEQSKLQLKYQTQGLWTFSGHIELAEPSTTKHRLDVIVDVDAKSCNSLLLTVPVLCLSKQHFPHNFSEPYHLSTKILSSLQEVSPRSSVTINEPRFFKLLEMEPPEQKQPYSFAEKGITRLIVHHQGELILQKSDEVVYAKWLIVINLDVESCKQILRVADETSQKETHVPLHAQPKKLESSLSRYFFDACPSASSIIQENGFIIDAFTYKESSQTAAEEKECRIAKFCFKGKERSALKTDKNESLNVSKQLKWHKSSSSVQVIHFEKVGAESTNTNEVDVFVLMNGGRGEENELSNSRCVTARVNLSRSTCIIATHRLIRHRIKPQKKVSEYYFLFNIEHVGEEDPGRLWMPLQVSAGPLLVTDPLPVPIVEPQSGTGIGFDVSSASSILATCVSTADTVVTKYASCNESASPSLPTLVATNGGFTFFEPRKSVVKLGTLPCKSVNVFEVYAKRIEGCLLGTPFHIFFVELLPMEETIIPDLRRDPGYKVSTKEEKTNNLRPGQIELDQKGRVSMSLSYKGTHCGPLAGIGDVTMSGQITGLNYVVGLIHLEGIHHPFLKLRAVKSEEGNILFESIVEAGIMEPESTVLQKVEENDKGSFDKPHFKWLLSLDTLLLLVKLPNRFAVEVTIPEEVVALPFRSNDSYSNLCYQTPHFNSPIVGKNVVSVVQEELERWQQSSESDYEQDLSVHTAAQKEGDAPRRDHGFFVRTASSNIYPLPLQPLPGDPSLQSVNKYVYLYERLFAGQQAASQKSENILIHRFASVANDLQRLTYSQRGITFNIHDEALSWGKGKYVQMAQCYCRLAHKKQGAKSFRHGALIMHFAYKRVMPSKMNIFCHAAQELLLASRLDSDESTLRILLAAAESYKSQSKLLEASLLVCREALVMNKCIDRYTQIATDMYHAPYPRPAGPQLFASVAAMELAGAAYLMAQDNLFDKKATHYCSYALDYHQVLFCLDAMKRIQLEPAEPPYLLGSTNKLDNISKEPVKSGSFWKKTASFLSRSLGKRSILQDNLQRITKEIHPLLGRFTFEQIFQRIRDHDTLTLCAGHIIGTNGKKTEHLSSASRLHDPGDISNSPDSSQELQLPHARFNNPLGDKVENDLGDLSLLNNDSNKNSTITCPGDEYSEQNQISNKQLYQSWPDPIQEDSARNSTRSNIKLLYCGQTGYKQKMEEYISEARQEDGASVDHLLVYYKLTNPIFWKPYSYDSNHQIRHQEQSLKSFQEVPEAESSSAVLMCALYHNLFEHDLAPMSQLFTERYSEFWPTTASINLDYDGATIVNAVLGEAPQSGSVVDNLLKRIAGPQPPQTVINSVAIKLNKIVHLQNNPDRMHVESTLRSFPKLLEAFSLHNLRTLREAEDSCLAAVCPVFSDPILEQVSQVCQAM
+>sp|Q4KMQ1|TPRN_HUMAN Taperin OS=Homo sapiens OX=9606 GN=TPRN PE=1 SV=2
+MAALGRPGSGPRAAVPAWKREILERKRAKLAALGGGAGPGAAEPEQRVLAESLGPLRENPFMLLEAERRRGGGAAGARLLERYRRVPGVRALRADSVLIIETVPGFPPAPPAPGAAQIRAAEVLVYGAPPGRVSRLLERFDPPAAPRRRGSPERARPPPPPPPPAPPRPPPAAPSPPAAPGPRGGGASPGARRSDFLQKTGSNSFTVHPRGLHRGAGARLLSNGHSAPEPRAGPANRLAGSPPGSGQWKPKVESGDPSLHPPPSPGTPSATPASPPASATPSQRQCVSAATSTNDSFEIRPAPKPVMETIPLGDLQARALASLRANSRNSFMVIPKSKASGAPPPEGRQSVELPKGDLGPASPSQELGSQPVPGGDGAPALGKSPLEVEAQWAVEEGACPRTATALADRAIRWQRPSSPPPFLPAASEEAEPAEGLRVPGLAKNSREYVRPGLPVTFIDEVDSEEAPQAAKLPYLPHPARPLHPARPGCVAELQPRGSNTFTVVPKRKPGTLQDQHFSQANREPRPREAEEEEASCLLGPTLKKRYPTVHEIEVIGGYLALQKSCLTKAGSSRKKMKISFNDKSLQTTFEYPSESSLEQEEEVDQQEEEEEEEEEEEEEEEGSGSEEKPFALFLPRATFVSSVRPESSRLPEGSSGLSSYTPKHSVAFSKWQEQALEQAPREAEPPPVEAMLTPASQNDLSDFRSEPALYF
+>DECOY_sp|Q4KMQ1|TPRN_HUMAN Taperin OS=Homo sapiens OX=9606 GN=TPRN PE=1 SV=2
+FYLAPESRFDSLDNQSAPTLMAEVPPPEAERPAQELAQEQWKSFAVSHKPTYSSLGSSGEPLRSSEPRVSSVFTARPLFLAFPKEESGSGEEEEEEEEEEEEEEEQQDVEEEQELSSESPYEFTTQLSKDNFSIKMKKRSSGAKTLCSKQLALYGGIVEIEHVTPYRKKLTPGLLCSAEEEEAERPRPERNAQSFHQDQLTGPKRKPVVTFTNSGRPQLEAVCGPRAPHLPRAPHPLYPLKAAQPAEESDVEDIFTVPLGPRVYERSNKALGPVRLGEAPEAEESAAPLFPPPSSPRQWRIARDALATATRPCAGEEVAWQAEVELPSKGLAPAGDGGPVPQSGLEQSPSAPGLDGKPLEVSQRGEPPPAGSAKSKPIVMFSNRSNARLSALARAQLDGLPITEMVPKPAPRIEFSDNTSTAASVCQRQSPTASAPPSAPTASPTGPSPPPHLSPDGSEVKPKWQGSGPPSGALRNAPGARPEPASHGNSLLRAGAGRHLGRPHVTFSNSGTKQLFDSRRAGPSAGGGRPGPAAPPSPAAPPPRPPAPPPPPPPPRAREPSGRRRPAAPPDFRELLRSVRGPPAGYVLVEAARIQAAGPAPPAPPFGPVTEIILVSDARLARVGPVRRYRELLRAGAAGGGRRREAELLMFPNERLPGLSEALVRQEPEAAGPGAGGGLAALKARKRELIERKWAPVAARPGSGPRGLAAM
+>sp|O14763|TR10B_HUMAN Tumor necrosis factor receptor superfamily member 10B OS=Homo sapiens OX=9606 GN=TNFRSF10B PE=1 SV=2
+MEQRGQNAPAASGARKRHGPGPREARGARPGPRVPKTLVLVVAAVLLLVSAESALITQQDLAPQQRAAPQQKRSSPSEGLCPPGHHISEDGRDCISCKYGQDYSTHWNDLLFCLRCTRCDSGEVELSPCTTTRNTVCQCEEGTFREEDSPEMCRKCRTGCPRGMVKVGDCTPWSDIECVHKESGTKHSGEVPAVEETVTSSPGTPASPCSLSGIIIGVTVAAVVLIVAVFVCKSLLWKKVLPYLKGICSGGGGDPERVDRSSQRPGAEDNVLNEIVSILQPTQVPEQEMEVQEPAEPTGVNMLSPGESEHLLEPAEAERSQRRRLLVPANEGDPTETLRQCFDDFADLVPFDSWEPLMRKLGLMDNEIKVAKAEAAGHRDTLYTMLIKWVNKTGRDASVHTLLDALETLGERLAKQKIEDHLLSSGKFMYLEGNADSAMS
+>DECOY_sp|O14763|TR10B_HUMAN Tumor necrosis factor receptor superfamily member 10B OS=Homo sapiens OX=9606 GN=TNFRSF10B PE=1 SV=2
+SMASDANGELYMFKGSSLLHDEIKQKALREGLTELADLLTHVSADRGTKNVWKILMTYLTDRHGAAEAKAVKIENDMLGLKRMLPEWSDFPVLDAFDDFCQRLTETPDGENAPVLLRRRQSREAEAPELLHESEGPSLMNVGTPEAPEQVEMEQEPVQTPQLISVIENLVNDEAGPRQSSRDVREPDGGGGSCIGKLYPLVKKWLLSKCVFVAVILVVAAVTVGIIIGSLSCPSAPTGPSSTVTEEVAPVEGSHKTGSEKHVCEIDSWPTCDGVKVMGRPCGTRCKRCMEPSDEERFTGEECQCVTNRTTTCPSLEVEGSDCRTCRLCFLLDNWHTSYDQGYKCSICDRGDESIHHGPPCLGESPSSRKQQPAARQQPALDQQTILASEASVLLLVAAVVLVLTKPVRPGPRAGRAERPGPGHRKRAGSAAPANQGRQEM
+>sp|Q309B1|TR16L_HUMAN Tripartite motif-containing protein 16-like protein OS=Homo sapiens OX=9606 GN=TRIM16L PE=2 SV=3
+MQFGELLAAVRKAQANVMLFLEEKEQAALSQANGIKAHLEYRSAEMEKSKQELETMAAISNTVQFLEEYCKFKNTEDITFPSVYIGLKDKLSGIRKVITESTVHLIQLLENYKKKLQEFSKEEEYDIRTQVSAIVQRKYWTSKPEPSTREQFLQYVHDITFDPDTAHKYLRLQEENRKVTNTTPWEHPYPDLPSRFLHWRQVLSQQSLYLHRYYFEVEIFGAGTYVGLTCKGIDQKGEERSSCISGNNFSWSLQWNGKEFTAWYSDMETPLKAGPFWRLGVYIDFPGGILSFYGVEYDSMTLVHKFACKFSEPVYAAFWLSKKENAIRIVDLGEEPEKPAPSLVGTAP
+>DECOY_sp|Q309B1|TR16L_HUMAN Tripartite motif-containing protein 16-like protein OS=Homo sapiens OX=9606 GN=TRIM16L PE=2 SV=3
+PATGVLSPAPKEPEEGLDVIRIANEKKSLWFAAYVPESFKCAFKHVLTMSDYEVGYFSLIGGPFDIYVGLRWFPGAKLPTEMDSYWATFEKGNWQLSWSFNNGSICSSREEGKQDIGKCTLGVYTGAGFIEVEFYYRHLYLSQQSLVQRWHLFRSPLDPYPHEWPTTNTVKRNEEQLRLYKHATDPDFTIDHVYQLFQERTSPEPKSTWYKRQVIASVQTRIDYEEEKSFEQLKKKYNELLQILHVTSETIVKRIGSLKDKLGIYVSPFTIDETNKFKCYEELFQVTNSIAAMTELEQKSKEMEASRYELHAKIGNAQSLAAQEKEELFLMVNAQAKRVAALLEGFQM
+>sp|Q8N609|TR1L1_HUMAN Translocating chain-associated membrane protein 1-like 1 OS=Homo sapiens OX=9606 GN=TRAM1L1 PE=2 SV=2
+MGLRKKSTKNPPVLSQEFILQNHADIVSCVGMFFLLGLVFEGTAEASIVFLTLQHSVAVPAAEEQATGSKSLYYYGVKDLATVFFYMLVAIIIHATIQEYVLDKINKRMQFTKAKQNKFNESGQFSVFYFFSCIWGTFILISENCLSDPTLIWKARPHSMMTFQMKFFYISQLAYWFHAFPELYFQKTKKQDIPRQLVYIGLHLFHITGAYLLYLNHLGLLLLVLHYFVELLSHMCGLFYFSDEKYQKGISLWAIVFILGRLVTLIVSVLTVGFHLAGSQNRNPDALTGNVNVLAAKIAVLSSSCTIQAYVTWNLITLWLQRWVEDSNIQASCMKKKRSRSSKKRTENGVGVETSNRVDCPPKRKEKSS
+>DECOY_sp|Q8N609|TR1L1_HUMAN Translocating chain-associated membrane protein 1-like 1 OS=Homo sapiens OX=9606 GN=TRAM1L1 PE=2 SV=2
+SSKEKRKPPCDVRNSTEVGVGNETRKKSSRSRKKKMCSAQINSDEVWRQLWLTILNWTVYAQITCSSSLVAIKAALVNVNGTLADPNRNQSGALHFGVTLVSVILTVLRGLIFVIAWLSIGKQYKEDSFYFLGCMHSLLEVFYHLVLLLLGLHNLYLLYAGTIHFLHLGIYVLQRPIDQKKTKQFYLEPFAHFWYALQSIYFFKMQFTMMSHPRAKWILTPDSLCNESILIFTGWICSFFYFVSFQGSENFKNQKAKTFQMRKNIKDLVYEQITAHIIIAVLMYFFVTALDKVGYYYLSKSGTAQEEAAPVAVSHQLTLFVISAEATGEFVLGLLFFMGVCSVIDAHNQLIFEQSLVPPNKTSKKRLGM
+>sp|A6NI03|TR64B_HUMAN Putative tripartite motif-containing protein 64B OS=Homo sapiens OX=9606 GN=TRIM64B PE=5 SV=3
+MDSDDLQVFQNELICCICVNYFIDPVTIDCGHSFCRPCLCLCSEEGRAPMRCPSCRKTSEKPNFNTNLVLKKLSSLARQTRPQNINSSDNICVLHEETKELFCEADKRLLCGPCSESPEHMAHSHSPIGWAAEECREKLIKEMDYLWEINQETRNNLNQETSTFHSLKDYVSVRKRIITIQYQKMPIFLDEEEQRHLQALEREAEELFQQLQDSQVRMTQHLERMKDMYRELWETCHMPDVVLLQDVRNVSARTDLAQMQKPQPVNPELTSWCITGVLDMLNNFRVDSALSTEMIPCYISLSEDVRYVIFGDDHLSAPTDPQGVDSFAVWGAQAFTSGKHYWEVDVTLSSNWILGVCRDSRTADANFVIDSDERFFLISSKRSNHYSLSTNSPPLIQYVQRPLGRVGVFLDYDNGSVSFFDVSKGSLIYGFPPSSFSSPLRPFFCFGCT
+>DECOY_sp|A6NI03|TR64B_HUMAN Putative tripartite motif-containing protein 64B OS=Homo sapiens OX=9606 GN=TRIM64B PE=5 SV=3
+TCGFCFFPRLPSSFSSPPFGYILSGKSVDFFSVSGNDYDLFVGVRGLPRQVYQILPPSNTSLSYHNSRKSSILFFREDSDIVFNADATRSDRCVGLIWNSSLTVDVEWYHKGSTFAQAGWVAFSDVGQPDTPASLHDDGFIVYRVDESLSIYCPIMETSLASDVRFNNLMDLVGTICWSTLEPNVPQPKQMQALDTRASVNRVDQLLVVDPMHCTEWLERYMDKMRELHQTMRVQSDQLQQFLEEAERELAQLHRQEEEDLFIPMKQYQITIIRKRVSVYDKLSHFTSTEQNLNNRTEQNIEWLYDMEKILKERCEEAAWGIPSHSHAMHEPSESCPGCLLRKDAECFLEKTEEHLVCINDSSNINQPRTQRALSSLKKLVLNTNFNPKESTKRCSPCRMPARGEESCLCLCPRCFSHGCDITVPDIFYNVCICCILENQFVQLDDSDM
+>sp|P62995|TRA2B_HUMAN Transformer-2 protein homolog beta OS=Homo sapiens OX=9606 GN=TRA2B PE=1 SV=1
+MSDSGEQNYGERESRSASRSGSAHGSGKSARHTPARSRSKEDSRRSRSKSRSRSESRSRSRRSSRRHYTRSRSRSRSHRRSRSRSYSRDYRRRHSHSHSPMSTRRRHVGNRANPDPNCCLGVFGLSLYTTERDLREVFSKYGPIADVSIVYDQQSRRSRGFAFVYFENVDDAKEAKERANGMELDGRRIRVDFSITKRPHTPTPGIYMGRPTYGSSRRRDYYDRGYDRGYDDRDYYSRSYRGGGGGGGGWRAAQDRDQIYRRRSPSPYYSRGGYRSRSRSRSYSPRRY
+>DECOY_sp|P62995|TRA2B_HUMAN Transformer-2 protein homolog beta OS=Homo sapiens OX=9606 GN=TRA2B PE=1 SV=1
+YRRPSYSRSRSRSRYGGRSYYPSPSRRRYIQDRDQAARWGGGGGGGGRYSRSYYDRDDYGRDYGRDYYDRRRSSGYTPRGMYIGPTPTHPRKTISFDVRIRRGDLEMGNAREKAEKADDVNEFYVFAFGRSRRSQQDYVISVDAIPGYKSFVERLDRETTYLSLGFVGLCCNPDPNARNGVHRRRTSMPSHSHSHRRRYDRSYSRSRSRRHSRSRSRSRTYHRRSSRRSRSRSESRSRSKSRSRRSDEKSRSRAPTHRASKGSGHASGSRSASRSEREGYNQEGSDSM
+>sp|O60296|TRAK2_HUMAN Trafficking kinesin-binding protein 2 OS=Homo sapiens OX=9606 GN=TRAK2 PE=1 SV=2
+MSQSQNAIFTSPTGEENLMNSNHRDSESITDVCSNEDLPEVELVSLLEEQLPQYRLKVDTLFLYENQDWTQSPHQRQHASDALSPVLAEETFRYMILGTDRVEQMTKTYNDIDMVTHLLAERDRDLELAARIGQALLKRNHVLSEQNESLEEQLGQAFDQVNQLQHELCKKDELLRIVSIASEESETDSSCSTPLRFNESFSLSQGLLQLEMLQEKLKELEEENMALRSKACHIKTETVTYEEKEQQLVSDCVKELRETNAQMSRMTEELSGKSDELIRYQEELSSLLSQIVDLQHKLKEHVIEKEELKLHLQASKDAQRQLTMELHELQDRNMECLGMLHESQEEIKELRSRSGPTAHLYFSQSYGAFTGESLAAEIEGTMRKKLSLDEESSLFKQKAQQKRVFDTVRIANDTRGRSISFPALLPIPGSNRSSVIMTAKPFESGLQQTEDKSLLNQGSSSEEVAGSSQKMGQPGPSGDSDLATALHRLSLRRQNYLSEKQFFAEEWQRKIQVLADQKEGVSGCVTPTESLASLCTTQSEITDLSSASCLRGFMPEKLQIVKPLEGSQTLYHWQQLAQPNLGTILDPRPGVITKGFTQLPGDAIYHISDLEEDEEEGITFQVQQPLEVEEKLSTSKPVTGIFLPPITSAGGPVTVATANPGKCLSCTNSTFTFTTCRILHPSDITQVTPSSGFPSLSCGSSGSSSSNTAVNSPALSYRLSIGESITNRRDSTTTFSSTMSLAKLLQERGISAKVYHSPISENPLQPLPKSLAIPSTPPNSPSHSPCPSPLPFEPRVHLSENFLASRPAETFLQEMYGLRPSRNPPDVGQLKMNLVDRLKRLGIARVVKNPGAQENGRCQEAEIGPQKPDSAVYLNSGSSLLGGLRRNQSLPVIMGSFAAPVCTSSPKMGVLKED
+>DECOY_sp|O60296|TRAK2_HUMAN Trafficking kinesin-binding protein 2 OS=Homo sapiens OX=9606 GN=TRAK2 PE=1 SV=2
+DEKLVGMKPSSTCVPAAFSGMIVPLSQNRRLGGLLSSGSNLYVASDPKQPGIEAEQCRGNEQAGPNKVVRAIGLRKLRDVLNMKLQGVDPPNRSPRLGYMEQLFTEAPRSALFNESLHVRPEFPLPSPCPSHSPSNPPTSPIALSKPLPQLPNESIPSHYVKASIGREQLLKALSMTSSFTTTSDRRNTISEGISLRYSLAPSNVATNSSSSGSSGCSLSPFGSSPTVQTIDSPHLIRCTTFTFTSNTCSLCKGPNATAVTVPGGASTIPPLFIGTVPKSTSLKEEVELPQQVQFTIGEEEDEELDSIHYIADGPLQTFGKTIVGPRPDLITGLNPQALQQWHYLTQSGELPKVIQLKEPMFGRLCSASSLDTIESQTTCLSALSETPTVCGSVGEKQDALVQIKRQWEEAFFQKESLYNQRRLSLRHLATALDSDGSPGPQGMKQSSGAVEESSSGQNLLSKDETQQLGSEFPKATMIVSSRNSGPIPLLAPFSISRGRTDNAIRVTDFVRKQQAKQKFLSSEEDLSLKKRMTGEIEAALSEGTFAGYSQSFYLHATPGSRSRLEKIEEQSEHLMGLCEMNRDQLEHLEMTLQRQADKSAQLHLKLEEKEIVHEKLKHQLDVIQSLLSSLEEQYRILEDSKGSLEETMRSMQANTERLEKVCDSVLQQEKEEYTVTETKIHCAKSRLAMNEEELEKLKEQLMELQLLGQSLSFSENFRLPTSCSSDTESEESAISVIRLLEDKKCLEHQLQNVQDFAQGLQEELSENQESLVHNRKLLAQGIRAALELDRDREALLHTVMDIDNYTKTMQEVRDTGLIMYRFTEEALVPSLADSAHQRQHPSQTWDQNEYLFLTDVKLRYQPLQEELLSVLEVEPLDENSCVDTISESDRHNSNMLNEEGTPSTFIANQSQSM
+>sp|Q15035|TRAM2_HUMAN Translocating chain-associated membrane protein 2 OS=Homo sapiens OX=9606 GN=TRAM2 PE=1 SV=1
+MAFRRRTKSYPLFSQEFVIHNHADIGFCLVLCVLIGLMFEVTAKTAFLFILPQYNISVPTADSETVHYHYGPKDLVTILFYIFITIILHAVVQEYILDKISKRLHLSKVKHSKFNESGQLVVFHFTSVIWCFYVVVTEGYLTNPRSLWEDYPHVHLPFQVKFFYLCQLAYWLHALPELYFQKVRKEEIPRQLQYICLYLVHIAGAYLLNLSRLGLILLLLQYSTEFLFHTARLFYFADENNEKLFSAWAAVFGVTRLFILTLAVLAIGFGLARMENQAFDPEKGNFNTLFCRLCVLLLVCAAQAWLMWRFIHSQLRHWREYWNEQSAKRRVPATPRLPARLIKRESGYHENGVVKAENGTSPRTKKLKSP
+>DECOY_sp|Q15035|TRAM2_HUMAN Translocating chain-associated membrane protein 2 OS=Homo sapiens OX=9606 GN=TRAM2 PE=1 SV=1
+PSKLKKTRPSTGNEAKVVGNEHYGSERKILRAPLRPTAPVRRKASQENWYERWHRLQSHIFRWMLWAQAACVLLLVCLRCFLTNFNGKEPDFAQNEMRALGFGIALVALTLIFLRTVGFVAAWASFLKENNEDAFYFLRATHFLFETSYQLLLLILGLRSLNLLYAGAIHVLYLCIYQLQRPIEEKRVKQFYLEPLAHLWYALQCLYFFKVQFPLHVHPYDEWLSRPNTLYGETVVVYFCWIVSTFHFVVLQGSENFKSHKVKSLHLRKSIKDLIYEQVVAHLIITIFIYFLITVLDKPGYHYHVTESDATPVSINYQPLIFLFATKATVEFMLGILVCLVLCFGIDAHNHIVFEQSFLPYSKTRRRFAM
+>sp|Q6ZNB5|TRC2L_HUMAN Putative short transient receptor potential channel 2-like protein OS=Homo sapiens OX=9606 PE=5 SV=1
+MAPVKISHVVSFSSQDPKYPVENLLNPDSPRRPWLGCPQDKSGQLKVELQLERAVPTGYIDVGNCGCAFLQIDVGHSSWPLDRPFITLLPATTLMSLTDSKQGKNRSGVRMFKDGKEGKSRKDGGGLYEKQRCSTKEDCECY
+>DECOY_sp|Q6ZNB5|TRC2L_HUMAN Putative short transient receptor potential channel 2-like protein OS=Homo sapiens OX=9606 PE=5 SV=1
+YCECDEKTSCRQKEYLGGGDKRSKGEKGDKFMRVGSRNKGQKSDTLSMLTTAPLLTIFPRDLPWSSHGVDIQLFACGCNGVDIYGTPVARELQLEVKLQGSKDQPCGLWPRRPSDPNLLNEVPYKPDQSSFSVVHSIKVPAM
+>sp|Q9NP99|TREM1_HUMAN Triggering receptor expressed on myeloid cells 1 OS=Homo sapiens OX=9606 GN=TREM1 PE=1 SV=1
+MRKTRLWGLLWMLFVSELRAATKLTEEKYELKEGQTLDVKCDYTLEKFASSQKAWQIIRDGEMPKTLACTERPSKNSHPVQVGRIILEDYHDHGLLRVRMVNLQVEDSGLYQCVIYQPPKEPHMLFDRIRLVVTKGFSGTPGSNENSTQNVYKIPPTTTKALCPLYTSPRTVTQAPPKSTADVSTPDSEINLTNVTDIIRVPVFNIVILLAGGFLSKSLVFSVLFAVTLRSFVP
+>DECOY_sp|Q9NP99|TREM1_HUMAN Triggering receptor expressed on myeloid cells 1 OS=Homo sapiens OX=9606 GN=TREM1 PE=1 SV=1
+PVFSRLTVAFLVSFVLSKSLFGGALLIVINFVPVRIIDTVNTLNIESDPTSVDATSKPPAQTVTRPSTYLPCLAKTTTPPIKYVNQTSNENSGPTGSFGKTVVLRIRDFLMHPEKPPQYIVCQYLGSDEVQLNVMRVRLLGHDHYDELIIRGVQVPHSNKSPRETCALTKPMEGDRIIQWAKQSSAFKELTYDCKVDLTQGEKLEYKEETLKTAARLESVFLMWLLGWLRTKRM
+>sp|Q9NZC2|TREM2_HUMAN Triggering receptor expressed on myeloid cells 2 OS=Homo sapiens OX=9606 GN=TREM2 PE=1 SV=1
+MEPLRLLILLFVTELSGAHNTTVFQGVAGQSLQVSCPYDSMKHWGRRKAWCRQLGEKGPCQRVVSTHNLWLLSFLRRWNGSTAITDDTLGGTLTITLRNLQPHDAGLYQCQSLHGSEADTLRKVLVEVLADPLDHRDAGDLWFPGESESFEDAHVEHSISRSLLEGEIPFPPTSILLLLACIFLIKILAASALWAAAWHGQKPGTHPPSELDCGHDPGYQLQTLPGLRDT
+>DECOY_sp|Q9NZC2|TREM2_HUMAN Triggering receptor expressed on myeloid cells 2 OS=Homo sapiens OX=9606 GN=TREM2 PE=1 SV=1
+TDRLGPLTQLQYGPDHGCDLESPPHTGPKQGHWAAAWLASAALIKILFICALLLLISTPPFPIEGELLSRSISHEVHADEFSESEGPFWLDGADRHDLPDALVEVLVKRLTDAESGHLSQCQYLGADHPQLNRLTITLTGGLTDDTIATSGNWRRLFSLLWLNHTSVVRQCPGKEGLQRCWAKRRGWHKMSDYPCSVQLSQGAVGQFVTTNHAGSLETVFLLILLRLPEM
+>sp|Q9NSU2|TREX1_HUMAN Three-prime repair exonuclease 1 OS=Homo sapiens OX=9606 GN=TREX1 PE=1 SV=2
+MGSQALPPGPMQTLIFFDMEATGLPFSQPKVTELCLLAVHRCALESPPTSQGPPPTVPPPPRVVDKLSLCVAPGKACSPAASEITGLSTAVLAAHGRQCFDDNLANLLLAFLRRQPQPWCLVAHNGDRYDFPLLQAELAMLGLTSALDGAFCVDSITALKALERASSPSEHGPRKSYSLGSIYTRLYGQSPPDSHTAEGDVLALLSICQWRPQALLRWVDAHARPFGTIRPMYGVTASARTKPRPSAVTTTAHLATTRNTSPSLGESRGTKDLPPVKDPGALSREGLLAPLGLLAILTLAVATLYGLSLATPGE
+>DECOY_sp|Q9NSU2|TREX1_HUMAN Three-prime repair exonuclease 1 OS=Homo sapiens OX=9606 GN=TREX1 PE=1 SV=2
+EGPTALSLGYLTAVALTLIALLGLPALLGERSLAGPDKVPPLDKTGRSEGLSPSTNRTTALHATTTVASPRPKTRASATVGYMPRITGFPRAHADVWRLLAQPRWQCISLLALVDGEATHSDPPSQGYLRTYISGLSYSKRPGHESPSSARELAKLATISDVCFAGDLASTLGLMALEAQLLPFDYRDGNHAVLCWPQPQRRLFALLLNALNDDFCQRGHAALVATSLGTIESAAPSCAKGPAVCLSLKDVVRPPPPVTPPPGQSTPPSELACRHVALLCLETVKPQSFPLGTAEMDFFILTQMPGPPLAQSGM
+>sp|Q9Y577|TRI17_HUMAN E3 ubiquitin-protein ligase TRIM17 OS=Homo sapiens OX=9606 GN=TRIM17 PE=1 SV=1
+MEAVELARKLQEEATCSICLDYFTDPVMTTCGHNFCRACIQLSWEKARGKKGRRKRKGSFPCPECREMSPQRNLLPNRLLTKVAEMAQQHPGLQKQDLCQEHHEPLKLFCQKDQSPICVVCRESREHRLHRVLPAEEAVQGYKLKLEEDMEYLREQITRTGNLQAREEQSLAEWQGKVKERRERIVLEFEKMNLYLVEEEQRLLQALETEEEETASRLRESVACLDRQGHSLELLLLQLEERSTQGPLQMLQDMKEPLSRKNNVSVQCPEVAPPTRPRTVCRVPGQIEVLRGFLEDVVPDATSAYPYLLLYESRQRRYLGSSPEGSGFCSKDRFVAYPCAVGQTAFSSGRHYWEVGMNITGDALWALGVCRDNVSRKDRVPKCPENGFWVVQLSKGTKYLSTFSALTPVMLMEPPSHMGIFLDFEAGEVSFYSVSDGSHLHTYSQATFPGPLQPFFCLGAPKSGQMVISTVTMWVKG
+>DECOY_sp|Q9Y577|TRI17_HUMAN E3 ubiquitin-protein ligase TRIM17 OS=Homo sapiens OX=9606 GN=TRIM17 PE=1 SV=1
+GKVWMTVTSIVMQGSKPAGLCFFPQLPGPFTAQSYTHLHSGDSVSYFSVEGAEFDLFIGMHSPPEMLMVPTLASFTSLYKTGKSLQVVWFGNEPCKPVRDKRSVNDRCVGLAWLADGTINMGVEWYHRGSSFATQGVACPYAVFRDKSCFGSGEPSSGLYRRQRSEYLLLYPYASTADPVVDELFGRLVEIQGPVRCVTRPRTPPAVEPCQVSVNNKRSLPEKMDQLMQLPGQTSREELQLLLLELSHGQRDLCAVSERLRSATEEEETELAQLLRQEEEVLYLNMKEFELVIRERREKVKGQWEALSQEERAQLNGTRTIQERLYEMDEELKLKYGQVAEEAPLVRHLRHERSERCVVCIPSQDKQCFLKLPEHHEQCLDQKQLGPHQQAMEAVKTLLRNPLLNRQPSMERCEPCPFSGKRKRRGKKGRAKEWSLQICARCFNHGCTTMVPDTFYDLCISCTAEEQLKRALEVAEM
+>sp|O15344|TRI18_HUMAN E3 ubiquitin-protein ligase Midline-1 OS=Homo sapiens OX=9606 GN=MID1 PE=1 SV=1
+METLESELTCPICLELFEDPLLLPCAHSLCFNCAHRILVSHCATNESVESITAFQCPTCRHVITLSQRGLDGLKRNVTLQNIIDRFQKASVSGPNSPSETRRERAFDANTMTSAEKVLCQFCDQDPAQDAVKTCVTCEVSYCDECLKATHPNKKPFTGHRLIEPIPDSHIRGLMCLEHEDEKVNMYCVTDDQLICALCKLVGRHRDHQVAALSERYDKLKQNLESNLTNLIKRNTELETLLAKLIQTCQHVEVNASRQEAKLTEECDLLIEIIQQRRQIIGTKIKEGKVMRLRKLAQQIANCKQCIERSASLISQAEHSLKENDHARFLQTAKNITERVSMATASSQVLIPEINLNDTFDTFALDFSREKKLLECLDYLTAPNPPTIREELCTASYDTITVHWTSDDEFSVVSYELQYTIFTGQANVVSLCNSADSWMIVPNIKQNHYTVHGLQSGTKYIFMVKAINQAGSRSSEPGKLKTNSQPFKLDPKSAHRKLKVSHDNLTVERDESSSKKSHTPERFTSQGSYGVAGNVFIDSGRHYWEVVISGSTWYAIGLAYKSAPKHEWIGKNSASWALCRCNNNWVVRHNSKEIPIEPAPHLRRVGILLDYDNGSIAFYDALNSIHLYTFDVAFAQPVCPTFTVWNKCLTIITGLPIPDHLDCTEQLP
+>DECOY_sp|O15344|TRI18_HUMAN E3 ubiquitin-protein ligase Midline-1 OS=Homo sapiens OX=9606 GN=MID1 PE=1 SV=1
+PLQETCDLHDPIPLGTIITLCKNWVTFTPCVPQAFAVDFTYLHISNLADYFAISGNDYDLLIGVRRLHPAPEIPIEKSNHRVVWNNNCRCLAWSASNKGIWEHKPASKYALGIAYWTSGSIVVEWYHRGSDIFVNGAVGYSGQSTFREPTHSKKSSSEDREVTLNDHSVKLKRHASKPDLKFPQSNTKLKGPESSRSGAQNIAKVMFIYKTGSQLGHVTYHNQKINPVIMWSDASNCLSVVNAQGTFITYQLEYSVVSFEDDSTWHVTITDYSATCLEERITPPNPATLYDLCELLKKERSFDLAFTDFTDNLNIEPILVQSSATAMSVRETINKATQLFRAHDNEKLSHEAQSILSASREICQKCNAIQQALKRLRMVKGEKIKTGIIQRRQQIIEILLDCEETLKAEQRSANVEVHQCTQILKALLTELETNRKILNTLNSELNQKLKDYRESLAAVQHDRHRGVLKCLACILQDDTVCYMNVKEDEHELCMLGRIHSDPIPEILRHGTFPKKNPHTAKLCEDCYSVECTVCTKVADQAPDQDCFQCLVKEASTMTNADFARERRTESPSNPGSVSAKQFRDIINQLTVNRKLGDLGRQSLTIVHRCTPCQFATISEVSENTACHSVLIRHACNFCLSHACPLLLPDEFLELCIPCTLESELTEM
+>sp|Q14134|TRI29_HUMAN Tripartite motif-containing protein 29 OS=Homo sapiens OX=9606 GN=TRIM29 PE=1 SV=2
+MEAADASRSNGSSPEARDARSPSGPSGSLENGTKADGKDAKTTNGHGGEAAEGKSLGSALKPGEGRSALFAGNEWRRPIIQFVESGDDKNSNYFSMDSMEGKRSPYAGLQLGAAKKPPVTFAEKGELRKSIFSESRKPTVSIMEPGETRRNSYPRADTGLFSRSKSGSEEVLCDSCIGNKQKAVKSCLVCQASFCELHLKPHLEGAAFRDHQLLEPIRDFEARKCPVHGKTMELFCQTDQTCICYLCMFQEHKNHSTVTVEEAKAEKETELSLQKEQLQLKIIEIEDEAEKWQKEKDRIKSFTTNEKAILEQNFRDLVRDLEKQKEEVRAALEQREQDAVDQVKVIMDALDERAKVLHEDKQTREQLHSISDSVLFLQEFGALMSNYSLPPPLPTYHVLLEGEGLGQSLGNFKDDLLNVCMRHVEKMCKADLSRNFIERNHMENGGDHRYVNNYTNSFGGEWSAPDTMKRYSMYLTPKGGVRTSYQPSSPGRFTKETTQKNFNNLYGTKGNYTSRVWEYSSSIQNSDNDLPVVQGSSSFSLKGYPSLMRSQSPKAQPQTWKSGKQTMLSHYRPFYVNKGNGIGSNEAP
+>DECOY_sp|Q14134|TRI29_HUMAN Tripartite motif-containing protein 29 OS=Homo sapiens OX=9606 GN=TRIM29 PE=1 SV=2
+PAENSGIGNGKNVYFPRYHSLMTQKGSKWTQPQAKPSQSRMLSPYGKLSFSSSGQVVPLDNDSNQISSSYEWVRSTYNGKTGYLNNFNKQTTEKTFRGPSSPQYSTRVGGKPTLYMSYRKMTDPASWEGGFSNTYNNVYRHDGGNEMHNREIFNRSLDAKCMKEVHRMCVNLLDDKFNGLSQGLGEGELLVHYTPLPPPLSYNSMLAGFEQLFLVSDSISHLQERTQKDEHLVKAREDLADMIVKVQDVADQERQELAARVEEKQKELDRVLDRFNQELIAKENTTFSKIRDKEKQWKEAEDEIEIIKLQLQEKQLSLETEKEAKAEEVTVTSHNKHEQFMCLYCICTQDTQCFLEMTKGHVPCKRAEFDRIPELLQHDRFAAGELHPKLHLECFSAQCVLCSKVAKQKNGICSDCLVEESGSKSRSFLGTDARPYSNRRTEGPEMISVTPKRSESFISKRLEGKEAFTVPPKKAAGLQLGAYPSRKGEMSDMSFYNSNKDDGSEVFQIIPRRWENGAFLASRGEGPKLASGLSKGEAAEGGHGNTTKADKGDAKTGNELSGSPGSPSRADRAEPSSGNSRSADAAEM
+>sp|Q9UPN9|TRI33_HUMAN E3 ubiquitin-protein ligase TRIM33 OS=Homo sapiens OX=9606 GN=TRIM33 PE=1 SV=3
+MAENKGGGEAESGGGGSGSAPVTAGAAGPAAQEAEPPLTAVLVEEEEEEGGRAGAEGGAAGPDDGGVAAASSGSAQAASSPAASVGTGVAGGAVSTPAPAPASAPAPGPSAGPPPGPPASLLDTCAVCQQSLQSRREAEPKLLPCLHSFCLRCLPEPERQLSVPIPGGSNGDIQQVGVIRCPVCRQECRQIDLVDNYFVKDTSEAPSSSDEKSEQVCTSCEDNASAVGFCVECGEWLCKTCIEAHQRVKFTKDHLIRKKEDVSESVGASGQRPVFCPVHKQEQLKLFCETCDRLTCRDCQLLEHKEHRYQFLEEAFQNQKGAIENLLAKLLEKKNYVHFAATQVQNRIKEVNETNKRVEQEIKVAIFTLINEINKKGKSLLQQLENVTKERQMKLLQQQNDITGLSRQVKHVMNFTNWAIASGSSTALLYSKRLITFQLRHILKARCDPVPAANGAIRFHCDPTFWAKNVVNLGNLVIESKPAPGYTPNVVVGQVPPGTNHISKTPGQINLAQLRLQHMQQQVYAQKHQQLQQMRMQQPPAPVPTTTTTTQQHPRQAAPQMLQQQPPRLISVQTMQRGNMNCGAFQAHQMRLAQNAARIPGIPRHSGPQYSMMQPHLQRQHSNPGHAGPFPVVSVHNTTINPTSPTTATMANANRGPTSPSVTAIELIPSVTNPENLPSLPDIPPIQLEDAGSSSLDNLLSRYISGSHLPPQPTSTMNPSPGPSALSPGSSGLSNSHTPVRPPSTSSTGSRGSCGSSGRTAEKTSLSFKSDQVKVKQEPGTEDEICSFSGGVKQEKTEDGRRSACMLSSPESSLTPPLSTNLHLESELDALASLENHVKIEPADMNESCKQSGLSSLVNGKSPIRSLMHRSARIGGDGNNKDDDPNEDWCAVCQNGGDLLCCEKCPKVFHLTCHVPTLLSFPSGDWICTFCRDIGKPEVEYDCDNLQHSKKGKTAQGLSPVDQRKCERLLLYLYCHELSIEFQEPVPASIPNYYKIIKKPMDLSTVKKKLQKKHSQHYQIPDDFVADVRLIFKNCERFNEMMKVVQVYADTQEINLKADSEVAQAGKAVALYFEDKLTEIYSDRTFAPLPEFEQEEDDGEVTEDSDEDFIQPRRKRLKSDERPVHIK
+>DECOY_sp|Q9UPN9|TRI33_HUMAN E3 ubiquitin-protein ligase TRIM33 OS=Homo sapiens OX=9606 GN=TRIM33 PE=1 SV=3
+KIHVPREDSKLRKRRPQIFDEDSDETVEGDDEEQEFEPLPAFTRDSYIETLKDEFYLAVAKGAQAVESDAKLNIEQTDAYVQVVKMMENFRECNKFILRVDAVFDDPIQYHQSHKKQLKKKVTSLDMPKKIIKYYNPISAPVPEQFEISLEHCYLYLLLRECKRQDVPSLGQATKGKKSHQLNDCDYEVEPKGIDRCFTCIWDGSPFSLLTPVHCTLHFVKPCKECCLLDGGNQCVACWDENPDDDKNNGDGGIRASRHMLSRIPSKGNVLSSLGSQKCSENMDAPEIKVHNELSALADLESELHLNTSLPPTLSSEPSSLMCASRRGDETKEQKVGGSFSCIEDETGPEQKVKVQDSKFSLSTKEATRGSSGCSGRSGTSSTSPPRVPTHSNSLGSSGPSLASPGPSPNMTSTPQPPLHSGSIYRSLLNDLSSSGADELQIPPIDPLSPLNEPNTVSPILEIATVSPSTPGRNANAMTATTPSTPNITTNHVSVVPFPGAHGPNSHQRQLHPQMMSYQPGSHRPIGPIRAANQALRMQHAQFAGCNMNGRQMTQVSILRPPQQQLMQPAAQRPHQQTTTTTTPVPAPPQQMRMQQLQQHKQAYVQQQMHQLRLQALNIQGPTKSIHNTGPPVQGVVVNPTYGPAPKSEIVLNGLNVVNKAWFTPDCHFRIAGNAAPVPDCRAKLIHRLQFTILRKSYLLATSSGSAIAWNTFNMVHKVQRSLGTIDNQQQLLKMQREKTVNELQQLLSKGKKNIENILTFIAVKIEQEVRKNTENVEKIRNQVQTAAFHVYNKKELLKALLNEIAGKQNQFAEELFQYRHEKHELLQCDRCTLRDCTECFLKLQEQKHVPCFVPRQGSAGVSESVDEKKRILHDKTFKVRQHAEICTKCLWEGCEVCFGVASANDECSTCVQESKEDSSSPAESTDKVFYNDVLDIQRCEQRCVPCRIVGVQQIDGNSGGPIPVSLQREPEPLCRLCFSHLCPLLKPEAERRSQLSQQCVACTDLLSAPPGPPPGASPGPAPASAPAPAPTSVAGGAVGTGVSAAPSSAAQASGSSAAAVGGDDPGAAGGEAGARGGEEEEEEVLVATLPPEAEQAAPGAAGATVPASGSGGGGSEAEGGGKNEAM
+>sp|Q96BQ3|TRI43_HUMAN Tripartite motif-containing protein 43 OS=Homo sapiens OX=9606 GN=TRIM43 PE=1 SV=1
+MDSDFSHAFQKELTCVICLNYLVDPVTICCGHSFCRPCLCLSWEEAQSPANCPACREPSPKMDFKTNILLKNLVTIARKASLWQFLSSEKQICGTHRQTKKMFCDMDKSLLCLLCSNSQEHGAHKHHPIEEAAEEHREKLLKQMRILWKKIQENQRNLYEEGRTAFLWRGNVVLRAQMIRNEYRKLHPVLHKEEKQHLERLNKEYQEIFQQLQRSWVKMDQKSKHLKEMYQELMEMCHKPDVELLQDLGDIVARSESVLLHMPQPVNPELTAGPITGLVYRLNRFRVEISFHFEVTNHNIRLFEDVRSWMFRRGPLNSDRSDYFAAWGARVFSFGKHYWELDVDNSCDWALGVCNNSWIRKNSTMVNSEDIFLLLCLKVDNHFNLLTTSPVFPHYIEKPLGRVGVFLDFESGSVSFLNVTKSSLIWSYPAGSLTFPVRPFFYTGHR
+>DECOY_sp|Q96BQ3|TRI43_HUMAN Tripartite motif-containing protein 43 OS=Homo sapiens OX=9606 GN=TRIM43 PE=1 SV=1
+RHGTYFFPRVPFTLSGAPYSWILSSKTVNLFSVSGSEFDLFVGVRGLPKEIYHPFVPSTTLLNFHNDVKLCLLLFIDESNVMTSNKRIWSNNCVGLAWDCSNDVDLEWYHKGFSFVRAGWAAFYDSRDSNLPGRRFMWSRVDEFLRINHNTVEFHFSIEVRFRNLRYVLGTIPGATLEPNVPQPMHLLVSESRAVIDGLDQLLEVDPKHCMEMLEQYMEKLHKSKQDMKVWSRQLQQFIEQYEKNLRELHQKEEKHLVPHLKRYENRIMQARLVVNGRWLFATRGEEYLNRQNEQIKKWLIRMQKLLKERHEEAAEEIPHHKHAGHEQSNSCLLCLLSKDMDCFMKKTQRHTGCIQKESSLFQWLSAKRAITVLNKLLINTKFDMKPSPERCAPCNAPSQAEEWSLCLCPRCFSHGCCITVPDVLYNLCIVCTLEKQFAHSFDSDM
+>sp|A6NK02|TRI75_HUMAN Putative tripartite motif-containing protein 75 OS=Homo sapiens OX=9606 GN=TRIM75P PE=5 SV=2
+MAVAAALTGLQAEAKCSICLDYLSDPVTIECGHNFCRSCIQQSWLDLQELFPCPVCRHQCQEGHFRSNTQLGRMIEIAKLLQSTKSNKRKQEETTLCEKHNQPLSVFCKEDLMVLCPLCTQPPDHQGHHVRPIEKAAIHYRKRFCSYIQPLKKQLADLQKLISTQSKKPLELREMVENQRQELSSEFEHLNQFLDREQQAVLSRLAEEEKDNQQKLSANITAFSNYSATLKSQLSKVVELSELSELELLSQIKIFYESENESSPSIFSIHLKRDGCSFPPQYSALQRIIKKFKVEIILDPETAHPNLIVSEDKKRVRFTKRKQKVPGFPKRFTVKPVVLGFPYFHSGRHFWEIEVGDKSEWAIGICKDSLPTKARRPSSAQQECWRIELQDDGYHAPGAFPTPLLLEVKARAIGIFLDYEMGEISFYNMAEKSHICTFTDTFTGPLRPYFYVGPDSQPLRICTGTVCE
+>DECOY_sp|A6NK02|TRI75_HUMAN Putative tripartite motif-containing protein 75 OS=Homo sapiens OX=9606 GN=TRIM75P PE=5 SV=2
+ECVTGTCIRLPQSDPGVYFYPRLPGTFTDTFTCIHSKEAMNYFSIEGMEYDLFIGIARAKVELLLPTPFAGPAHYGDDQLEIRWCEQQASSPRRAKTPLSDKCIGIAWESKDGVEIEWFHRGSHFYPFGLVVPKVTFRKPFGPVKQKRKTFRVRKKDESVILNPHATEPDLIIEVKFKKIIRQLASYQPPFSCGDRKLHISFISPSSENESEYFIKIQSLLELESLESLEVVKSLQSKLTASYNSFATINASLKQQNDKEEEALRSLVAQQERDLFQNLHEFESSLEQRQNEVMERLELPKKSQTSILKQLDALQKKLPQIYSCFRKRYHIAAKEIPRVHHGQHDPPQTCLPCLVMLDEKCFVSLPQNHKECLTTEEQKRKNSKTSQLLKAIEIMRGLQTNSRFHGEQCQHRCVPCPFLEQLDLWSQQICSRCFNHGCEITVPDSLYDLCISCKAEAQLGTLAAAVAM
+>sp|Q9NXH9|TRM1_HUMAN tRNA (guanine(26)-N(2))-dimethyltransferase OS=Homo sapiens OX=9606 GN=TRMT1 PE=1 SV=1
+MQGSSLWLSLTFRSARVLSRARFFEWQSPGLPNTAAMENGTGPYGEERPREVQETTVTEGAAKIAFPSANEVFYNPVQEFNRDLTCAVITEFARIQLGAKGIQIKVPGEKDTQKVVVDLSEQEEEKVELKESENLASGDQPRTAAVGEICEEGLHVLEGLAASGLRSIRFALEVPGLRSVVANDASTRAVDLIRRNVQLNDVAHLVQPSQADARMLMYQHQRVSERFDVIDLDPYGSPATFLDAAVQAVSEGGLLCVTCTDMAVLAGNSGETCYSKYGAMALKSRACHEMALRIVLHSLDLRANCYQRFVVPLLSISADFYVRVFVRVFTGQAKVKASASKQALVFQCVGCGAFHLQRLGKASGVPSGRAKFSAACGPPVTPECEHCGQRHQLGGPMWAEPIHDLDFVGRVLEAVSANPGRFHTSERIRGVLSVITEELPDVPLYYTLDQLSSTIHCNTPSLLQLRSALLHADFRVSLSHACKNAVKTDAPASALWDIMRCWEKECPVKRERLSETSPAFRILSVEPRLQANFTIREDANPSSRQRGLKRFQANPEANWGPRPRARPGGKAADEAMEERRRLLQNKRKEPPEDVAQRAARLKTFPCKRFKEGTCQRGDQCCYSHSPPTPRVSADAAPDCPETSNQTPPGPGAAAGPGID
+>DECOY_sp|Q9NXH9|TRM1_HUMAN tRNA (guanine(26)-N(2))-dimethyltransferase OS=Homo sapiens OX=9606 GN=TRMT1 PE=1 SV=1
+DIGPGAAAGPGPPTQNSTEPCDPAADASVRPTPPSHSYCCQDGRQCTGEKFRKCPFTKLRAARQAVDEPPEKRKNQLLRRREEMAEDAAKGGPRARPRPGWNAEPNAQFRKLGRQRSSPNADERITFNAQLRPEVSLIRFAPSTESLRERKVPCEKEWCRMIDWLASAPADTKVANKCAHSLSVRFDAHLLASRLQLLSPTNCHITSSLQDLTYYLPVDPLEETIVSLVGRIRESTHFRGPNASVAELVRGVFDLDHIPEAWMPGGLQHRQGCHECEPTVPPGCAASFKARGSPVGSAKGLRQLHFAGCGVCQFVLAQKSASAKVKAQGTFVRVFVRVYFDASISLLPVVFRQYCNARLDLSHLVIRLAMEHCARSKLAMAGYKSYCTEGSNGALVAMDTCTVCLLGGESVAQVAADLFTAPSGYPDLDIVDFRESVRQHQYMLMRADAQSPQVLHAVDNLQVNRRILDVARTSADNAVVSRLGPVELAFRISRLGSAALGELVHLGEECIEGVAATRPQDGSALNESEKLEVKEEEQESLDVVVKQTDKEGPVKIQIGKAGLQIRAFETIVACTLDRNFEQVPNYFVENASPFAIKAAGETVTTEQVERPREEGYPGTGNEMAATNPLGPSQWEFFRARSLVRASRFTLSLWLSSGQM
+>sp|Q32P41|TRM5_HUMAN tRNA (guanine(37)-N1)-methyltransferase OS=Homo sapiens OX=9606 GN=TRMT5 PE=1 SV=2
+MVLWILWRPFGFSGRFLKLESHSITESKSLIPVAWTSLTQMLLEAPGIFLLGQRKRFSTMPETETHERETELFSPPSDVRGMTKLDRTAFKKTVNIPVLKVRKEIVSKLMRSLKRAALQRPGIRRVIEDPEDKESRLIMLDPYKIFTHDSFEKAELSVLEQLNVSPQISKYNLELTYEHFKSEEILRAVLPEGQDVTSGFSRIGHIAHLNLRDHQLSFKHLIGQVMIDKNPGITSAVNKINNIDNMYRNFQMEVLSGEQNMMTKVRENNYTYEFDFSKVYWNPRLSTEHSRITELLKPGDVLFDVFAGVGPFAIPVAKKNCTVFANDLNPESHKWLLYNCKLNKVDQKVKVFNLDGKDFLQGPVKEELMQLLGLSKERKPSVHVVMNLPAKAIEFLSAFKWLLDGQPCSSEFLPIVHCYSFSKDANPAEDVRQRAGAVLGISLEACSSVHLVRNVAPNKEMLCITFQIPASVLYKNQTRNPENHEDPPLKRQRTAEAFSDEKTQIVSNT
+>DECOY_sp|Q32P41|TRM5_HUMAN tRNA (guanine(37)-N1)-methyltransferase OS=Homo sapiens OX=9606 GN=TRMT5 PE=1 SV=2
+TNSVIQTKEDSFAEATRQRKLPPDEHNEPNRTQNKYLVSAPIQFTICLMEKNPAVNRVLHVSSCAELSIGLVAGARQRVDEAPNADKSFSYCHVIPLFESSCPQGDLLWKFASLFEIAKAPLNMVVHVSPKREKSLGLLQMLEEKVPGQLFDKGDLNFVKVKQDVKNLKCNYLLWKHSEPNLDNAFVTCNKKAVPIAFPGVGAFVDFLVDGPKLLETIRSHETSLRPNWYVKSFDFEYTYNNERVKTMMNQEGSLVEMQFNRYMNDINNIKNVASTIGPNKDIMVQGILHKFSLQHDRLNLHAIHGIRSFGSTVDQGEPLVARLIEESKFHEYTLELNYKSIQPSVNLQELVSLEAKEFSDHTFIKYPDLMILRSEKDEPDEIVRRIGPRQLAARKLSRMLKSVIEKRVKLVPINVTKKFATRDLKTMGRVDSPPSFLETEREHTETEPMTSFRKRQGLLFIGPAELLMQTLSTWAVPILSKSETISHSELKLFRGSFGFPRWLIWLVM
+>sp|Q4W5G0|TIGD2_HUMAN Tigger transposable element-derived protein 2 OS=Homo sapiens OX=9606 GN=TIGD2 PE=3 SV=1
+MLGKRKRVVLTIKDKLDIIKKLEEGISFKKLSVVYGIGESTVRDIKKNKERIINYANSSDPTSGVSKRKSMKSSTYEELDRVMIEWFNQQKTDGIPVSGTICAKQAKFFFDALGMEGDFNASSGWLTRFKQRHGIPKAAGKGTKLKGDETAAREFCGSFQEFVEKENLQPEQIYGADQTGLFWKCLPSRTLTLETDQSTSGCRSSRERIIIMCCANATGLHKLNLCVVGKAKKPRAFKGTDLSNLPVTYYSQKGAWIEQSVFRQWFEKYFVPQVQKHLKSKGLLEKAVLLLDFPPARPNEEMLSSDDGRIIVKYLPPNVTSLIQPMSQGVLATVKRYYRAGLLQKYMDEGNDPKIFWKNLTVLDAIYEVSRAWNMVKSSTITKAWKKLFPGNEENSGMNIDEGAILAANLATVLQNTEECEHVDIENIDQWFDSRSSDSSCQVLTDSESAEDQTKAAEQKPSSKSRKTELNPEKHISHKAALEWTENLLDYLEQQDDMLLSDKLVLRRLRTIIRKKQKIQNNKNH
+>DECOY_sp|Q4W5G0|TIGD2_HUMAN Tigger transposable element-derived protein 2 OS=Homo sapiens OX=9606 GN=TIGD2 PE=3 SV=1
+HNKNNQIKQKKRIITRLRRLVLKDSLLMDDQQELYDLLNETWELAAKHSIHKEPNLETKRSKSSPKQEAAKTQDEASESDTLVQCSSDSSRSDFWQDINEIDVHECEETNQLVTALNAALIAGEDINMGSNEENGPFLKKWAKTITSSKVMNWARSVEYIADLVTLNKWFIKPDNGEDMYKQLLGARYYRKVTALVGQSMPQILSTVNPPLYKVIIRGDDSSLMEENPRAPPFDLLLVAKELLGKSKLHKQVQPVFYKEFWQRFVSQEIWAGKQSYYTVPLNSLDTGKFARPKKAKGVVCLNLKHLGTANACCMIIIRERSSRCGSTSQDTELTLTRSPLCKWFLGTQDAGYIQEPQLNEKEVFEQFSGCFERAATEDGKLKTGKGAAKPIGHRQKFRTLWGSSANFDGEMGLADFFFKAQKACITGSVPIGDTKQQNFWEIMVRDLEEYTSSKMSKRKSVGSTPDSSNAYNIIREKNKKIDRVTSEGIGYVVSLKKFSIGEELKKIIDLKDKITLVVRKRKGLM
+>sp|Q6NT04|TIGD7_HUMAN Tigger transposable element-derived protein 7 OS=Homo sapiens OX=9606 GN=TIGD7 PE=1 SV=1
+MNKRGKYTTLNLEEKMKVLSRIEAGRSLKSVMDEFGISKSTFYDIKKNKKLILDFVLKQDMPLVGAEKRKRTTGAKYGDVDDAVYMWYQQKRSAGVPVRGVELQAAAERFARCFGRTDFKASTGWLFRFRNRHAIGNRKGCGEQVLSSVSENVEPFRQKLSMIIKEEKLCLAQLYSGDETDLFWKSMPENSQASRKDICLPGKKINKERLSAFLCANADGTHKLKSIIIGKSKLPKSVKEDTSTLPVIYKPSKDVWFTRELFSEWFFQNFVPEVRHFQLNVLRFHDEDVRALLLLDSCPAHPSSESLTSEDGRIKCMFFPHNTSTLIQPMNQGVILSCKRLYRWKQLEESLVIFEESDDEQEKGDKGVSKIKIYNIKSAIFNWAKSWEEVKQITIANAWENLLYKKEPEYDFQGLEHGDYREILEKCGELETKLDDDRVWLNGDEEKGCLLKTKGGITKEVVQKGGEAEKQTAEFKLSAVRESLDYLLDFVDATPEFQRFHFTLKEMQQEIVKKQFQSKIHSRIGSFLKPRPHNIKDSFSGPSTSGSNH
+>DECOY_sp|Q6NT04|TIGD7_HUMAN Tigger transposable element-derived protein 7 OS=Homo sapiens OX=9606 GN=TIGD7 PE=1 SV=1
+HNSGSTSPGSFSDKINHPRPKLFSGIRSHIKSQFQKKVIEQQMEKLTFHFRQFEPTADVFDLLYDLSERVASLKFEATQKEAEGGKQVVEKTIGGKTKLLCGKEEDGNLWVRDDDLKTELEGCKELIERYDGHELGQFDYEPEKKYLLNEWANAITIQKVEEWSKAWNFIASKINYIKIKSVGKDGKEQEDDSEEFIVLSEELQKWRYLRKCSLIVGQNMPQILTSTNHPFFMCKIRGDESTLSESSPHAPCSDLLLLARVDEDHFRLVNLQFHRVEPVFNQFFWESFLERTFWVDKSPKYIVPLTSTDEKVSKPLKSKGIIISKLKHTGDANACLFASLREKNIKKGPLCIDKRSAQSNEPMSKWFLDTEDGSYLQALCLKEEKIIMSLKQRFPEVNESVSSLVQEGCGKRNGIAHRNRFRFLWGTSAKFDTRGFCRAFREAAAQLEVGRVPVGASRKQQYWMYVADDVDGYKAGTTRKRKEAGVLPMDQKLVFDLILKKNKKIDYFTSKSIGFEDMVSKLSRGAEIRSLVKMKEELNLTTYKGRKNM
+>sp|Q495A1|TIGIT_HUMAN T-cell immunoreceptor with Ig and ITIM domains OS=Homo sapiens OX=9606 GN=TIGIT PE=1 SV=1
+MRWCLLLIWAQGLRQAPLASGMMTGTIETTGNISAEKGGSIILQCHLSSTTAQVTQVNWEQQDQLLAICNADLGWHISPSFKDRVAPGPGLGLTLQSLTVNDTGEYFCIYHTYPDGTYTGRIFLEVLESSVAEHGARFQIPLLGAMAATLVVICTAVIVVVALTRKKKALRIHSVEGDLRRKSAGQEEWSPSAPSPPGSCVQAEAAPAGLCGEQRGEDCAELHDYFNVLSYRSLGNCSFFTETG
+>DECOY_sp|Q495A1|TIGIT_HUMAN T-cell immunoreceptor with Ig and ITIM domains OS=Homo sapiens OX=9606 GN=TIGIT PE=1 SV=1
+GTETFFSCNGLSRYSLVNFYDHLEACDEGRQEGCLGAPAAEAQVCSGPPSPASPSWEEQGASKRRLDGEVSHIRLAKKKRTLAVVVIVATCIVVLTAAMAGLLPIQFRAGHEAVSSELVELFIRGTYTGDPYTHYICFYEGTDNVTLSQLTLGLGPGPAVRDKFSPSIHWGLDANCIALLQDQQEWNVQTVQATTSSLHCQLIISGGKEASINGTTEITGTMMGSALPAQRLGQAWILLLCWRM
+>sp|Q86V40|TIKI1_HUMAN Metalloprotease TIKI1 OS=Homo sapiens OX=9606 GN=TRABD2A PE=2 SV=3
+MSPWSWFLLQTLCLLPTGAASRRGAPGTANCELKPQQSELNSFLWTIKRDPPSYFFGTIHVPYTRVWDFIPDNSKEAFLQSSIVYFELDLTDPYTISALTSCQMLPQGENLQDVLPRDIYCRLKRHLEYVKLMMPLWMTPDQRGKGLYADYLFNAIAGNWERKRPVWVMLMVNSLTEVDIKSRGVPVLDLFLAQEAERLRKQTGAVEKVEEQCHPLNGLNFSQVIFALNQTLLQQESLRAGSLQIPYTTEDLIKHYNCGDLSSVILSHDSSQVPNFINATLPPQERITAQEIDSYLRRELIYKRNERIGKRVKALLEEFPDKGFFFAFGAGHFMGNNTVLDVLRREGYEVEHAPAGRPIHKGKSKKTSTRPTLSTIFAPKVPTLEVPAPEAVSSGHSTLPPLVSRPGSADTPSEAEQRFRKKRRRSQRRPRLRQFSDLWVRLEESDIVPQLQVPVLDRHISTELRLPRRGHSHHSQMVASSACLSLWTPVFWVLVLAFQTETPLL
+>DECOY_sp|Q86V40|TIKI1_HUMAN Metalloprotease TIKI1 OS=Homo sapiens OX=9606 GN=TRABD2A PE=2 SV=3
+LLPTETQFALVLVWFVPTWLSLCASSAVMQSHHSHGRRPLRLETSIHRDLVPVQLQPVIDSEELRVWLDSFQRLRPRRQSRRRKKRFRQEAESPTDASGPRSVLPPLTSHGSSVAEPAPVELTPVKPAFITSLTPRTSTKKSKGKHIPRGAPAHEVEYGERRLVDLVTNNGMFHGAGFAFFFGKDPFEELLAKVRKGIRENRKYILERRLYSDIEQATIREQPPLTANIFNPVQSSDHSLIVSSLDGCNYHKILDETTYPIQLSGARLSEQQLLTQNLAFIVQSFNLGNLPHCQEEVKEVAGTQKRLREAEQALFLDLVPVGRSKIDVETLSNVMLMVWVPRKREWNGAIANFLYDAYLGKGRQDPTMWLPMMLKVYELHRKLRCYIDRPLVDQLNEGQPLMQCSTLASITYPDTLDLEFYVISSQLFAEKSNDPIFDWVRTYPVHITGFFYSPPDRKITWLFSNLESQQPKLECNATGPAGRRSAAGTPLLCLTQLLFWSWPSM
+>sp|Q86X45|TILB_HUMAN Protein tilB homolog OS=Homo sapiens OX=9606 GN=LRRC6 PE=1 SV=3
+MGWITEDLIRRNAEHNDCVIFSLEELSLHQQEIERLEHIDKWCRDLKILYLQNNLIGKIENVSKLKKLEYLNLALNNIEKIENLEGCEELAKLDLTVNFIGELSSIKNLQHNIHLKELFLMGNPCASFDHYREFVVATLPQLKWLDGKEIEPSERIKALQDYSVIEPQIREQEKDHCLKRAKLKEEAQRKHQEEDKNEDKRSNAGFDGRWYTDINATLSSLESKDHLQAPDTEEHNTKKLDNSEDDLEFWNKPCLFTPESRLETLRHMEKQRKKQEKLSEKKKKVKPPRTLITEDGKALNVNEPKIDFSLKDNEKQIILDLAVYRYMDTSLIDVDVQPTYVRVMIKGKPFQLVLPAEVKPDSSSAKRSQTTGHLVICMPKVGEVITGGQRAFKSMKTTSDRSREQTNTRSKHMEKLEVDPSKHSFPDVTNIVQEKKHTPRRRPEPKIIPSEEDPTFEDNPEVPPLI
+>DECOY_sp|Q86X45|TILB_HUMAN Protein tilB homolog OS=Homo sapiens OX=9606 GN=LRRC6 PE=1 SV=3
+ILPPVEPNDEFTPDEESPIIKPEPRRRPTHKKEQVINTVDPFSHKSPDVELKEMHKSRTNTQERSRDSTTKMSKFARQGGTIVEGVKPMCIVLHGTTQSRKASSSDPKVEAPLVLQFPKGKIMVRVYTPQVDVDILSTDMYRYVALDLIIQKENDKLSFDIKPENVNLAKGDETILTRPPKVKKKKESLKEQKKRQKEMHRLTELRSEPTFLCPKNWFELDDESNDLKKTNHEETDPAQLHDKSELSSLTANIDTYWRGDFGANSRKDENKDEEQHKRQAEEKLKARKLCHDKEQERIQPEIVSYDQLAKIRESPEIEKGDLWKLQPLTAVVFERYHDFSACPNGMLFLEKLHINHQLNKISSLEGIFNVTLDLKALEECGELNEIKEINNLALNLYELKKLKSVNEIKGILNNQLYLIKLDRCWKDIHELREIEQQHLSLEELSFIVCDNHEANRRILDETIWGM
+>sp|O14925|TIM23_HUMAN Mitochondrial import inner membrane translocase subunit Tim23 OS=Homo sapiens OX=9606 GN=TIMM23 PE=1 SV=1
+MEGGGGSGNKTTGGLAGFFGAGGAGYSHADLAGVPLTGMNPLSPYLNVDPRYLVQDTDEFILPTGANKTRGRFELAFFTIGGCCMTGAAFGAMNGLRLGLKETQNMAWSKPRNVQILNMVTRQGALWANTLGSLALLYSAFGVIIEKTRGAEDDLNTVAAGTMTGMLYKCTGGLRGIARGGLTGLTLTSLYALYNNWEHMKGSLLQQSL
+>DECOY_sp|O14925|TIM23_HUMAN Mitochondrial import inner membrane translocase subunit Tim23 OS=Homo sapiens OX=9606 GN=TIMM23 PE=1 SV=1
+LSQQLLSGKMHEWNNYLAYLSTLTLGTLGGRAIGRLGGTCKYLMGTMTGAAVTNLDDEAGRTKEIIVGFASYLLALSGLTNAWLAGQRTVMNLIQVNRPKSWAMNQTEKLGLRLGNMAGFAAGTMCCGGITFFALEFRGRTKNAGTPLIFEDTDQVLYRPDVNLYPSLPNMGTLPVGALDAHSYGAGGAGFFGALGGTTKNGSGGGGEM
+>sp|Q99727|TIMP4_HUMAN Metalloproteinase inhibitor 4 OS=Homo sapiens OX=9606 GN=TIMP4 PE=2 SV=1
+MPGSPRPAPSWVLLLRLLALLRPPGLGEACSCAPAHPQQHICHSALVIRAKISSEKVVPASADPADTEKMLRYEIKQIKMFKGFEKVKDVQYIYTPFDSSLCGVKLEANSQKQYLLTGQVLSDGKVFIHLCNYIEPWEDLSLVQRESLNHHYHLNCGCQITTCYTVPCTISAPNECLWTDWLLERKLYGYQAQHYVCMKHVDGTCSWYRGHLPLRKEFVDIVQP
+>DECOY_sp|Q99727|TIMP4_HUMAN Metalloproteinase inhibitor 4 OS=Homo sapiens OX=9606 GN=TIMP4 PE=2 SV=1
+PQVIDVFEKRLPLHGRYWSCTGDVHKMCVYHQAQYGYLKRELLWDTWLCENPASITCPVTYCTTIQCGCNLHYHHNLSERQVLSLDEWPEIYNCLHIFVKGDSLVQGTLLYQKQSNAELKVGCLSSDFPTYIYQVDKVKEFGKFMKIQKIEYRLMKETDAPDASAPVVKESSIKARIVLASHCIHQQPHAPACSCAEGLGPPRLLALLRLLLVWSPAPRPSGPM
+>sp|P51854|TKTL1_HUMAN Transketolase-like protein 1 OS=Homo sapiens OX=9606 GN=TKTL1 PE=1 SV=2
+MADAEARAEFPEEARPDRGTLQVLQDMASRLRIHSIRATCSTSSGHPTSCSSSSEIMSVLFFYIMRYKQSDPENPDNDRFVLAKRLSFVDVATGWLGQGLGVACGMAYTGKYFDRASYRVFCLMSDGESSEGSVWEAMAFASYYSLDNLVAIFDVNRLGHSGALPAEHCINIYQRRCEAFGWNTYVVDGRDVEALCQVFWQASQVKHKPTAVVAKTFKGRGTPSIEDAESWHAKPMPRERADAIIKLIESQIQTSRNLDPQPPIEDSPEVNITDVRMTSPPDYRVGDKIATRKACGLALAKLGYANNRVVVLDGDTRYSTFSEIFNKEYPERFIECFMAEQNMVSVALGCASRGRTIAFASTFAAFLTRAFDHIRIGGLAESNINIIGSHCGVSVGDDGASQMALEDIAMFRTIPKCTIFYPTDAVSTEHAVALAANAKGMCFIRTTRPETMVIYTPQERFEIGQAKVLRHCVSDKVTVIGAGITVYEALAAADELSKQDIFIRVIDLFTIKPLDVATIVSSAKATEGRIITVEDHYPQGGIGEAVCAAVSMDPDIQVHSLAVSGVPQSGKSEELLDMYGISARHIIVAVKCMLLN
+>DECOY_sp|P51854|TKTL1_HUMAN Transketolase-like protein 1 OS=Homo sapiens OX=9606 GN=TKTL1 PE=1 SV=2
+NLLMCKVAVIIHRASIGYMDLLEESKGSQPVGSVALSHVQIDPDMSVAACVAEGIGGQPYHDEVTIIRGETAKASSVITAVDLPKITFLDIVRIFIDQKSLEDAAALAEYVTIGAGIVTVKDSVCHRLVKAQGIEFREQPTYIVMTEPRTTRIFCMGKANAALAVAHETSVADTPYFITCKPITRFMAIDELAMQSAGDDGVSVGCHSGIININSEALGGIRIHDFARTLFAAFTSAFAITRGRSACGLAVSVMNQEAMFCEIFREPYEKNFIESFTSYRTDGDLVVVRNNAYGLKALALGCAKRTAIKDGVRYDPPSTMRVDTINVEPSDEIPPQPDLNRSTQIQSEILKIIADARERPMPKAHWSEADEISPTGRGKFTKAVVATPKHKVQSAQWFVQCLAEVDRGDVVYTNWGFAECRRQYINICHEAPLAGSHGLRNVDFIAVLNDLSYYSAFAMAEWVSGESSEGDSMLCFVRYSARDFYKGTYAMGCAVGLGQGLWGTAVDVFSLRKALVFRDNDPNEPDSQKYRMIYFFLVSMIESSSSCSTPHGSSTSCTARISHIRLRSAMDQLVQLTGRDPRAEEPFEARAEADAM
+>sp|Q9BXR5|TLR10_HUMAN Toll-like receptor 10 OS=Homo sapiens OX=9606 GN=TLR10 PE=1 SV=2
+MRLIRNIYIFCSIVMTAEGDAPELPEERELMTNCSNMSLRKVPADLTPATTTLDLSYNLLFQLQSSDFHSVSKLRVLILCHNRIQQLDLKTFEFNKELRYLDLSNNRLKSVTWYLLAGLRYLDLSFNDFDTMPICEEAGNMSHLEILGLSGAKIQKSDFQKIAHLHLNTVFLGFRTLPHYEEGSLPILNTTKLHIVLPMDTNFWVLLRDGIKTSKILEMTNIDGKSQFVSYEMQRNLSLENAKTSVLLLNKVDLLWDDLFLILQFVWHTSVEHFQIRNVTFGGKAYLDHNSFDYSNTVMRTIKLEHVHFRVFYIQQDKIYLLLTKMDIENLTISNAQMPHMLFPNYPTKFQYLNFANNILTDELFKRTIQLPHLKTLILNGNKLETLSLVSCFANNTPLEHLDLSQNLLQHKNDENCSWPETVVNMNLSYNKLSDSVFRCLPKSIQILDLNNNQIQTVPKETIHLMALRELNIAFNFLTDLPGCSHFSRLSVLNIEMNFILSPSLDFVQSCQEVKTLNAGRNPFRCTCELKNFIQLETYSEVMMVGWSDSYTCEYPLNLRGTRLKDVHLHELSCNTALLIVTIVVIMLVLGLAVAFCCLHFDLPWYLRMLGQCTQTWHRVRKTTQEQLKRNVRFHAFISYSEHDSLWVKNELIPNLEKEDGSILICLYESYFDPGKSISENIVSFIEKSYKSIFVLSPNFVQNEWCHYEFYFAHHNLFHENSDHIILILLEPIPFYCIPTRYHKLKALLEKKAYLEWPKDRRKCGLFWANLRAAINVNVLATREMYELQTFTELNEESRGSTISLMRTDCL
+>DECOY_sp|Q9BXR5|TLR10_HUMAN Toll-like receptor 10 OS=Homo sapiens OX=9606 GN=TLR10 PE=1 SV=2
+LCDTRMLSITSGRSEENLETFTQLEYMERTALVNVNIAARLNAWFLGCKRRDKPWELYAKKELLAKLKHYRTPICYFPIPELLILIIHDSNEHFLNHHAFYFEYHCWENQVFNPSLVFISKYSKEIFSVINESISKGPDFYSEYLCILISGDEKELNPILENKVWLSDHESYSIFAHFRVNRKLQEQTTKRVRHWTQTCQGLMRLYWPLDFHLCCFAVALGLVLMIVVITVILLATNCSLEHLHVDKLRTGRLNLPYECTYSDSWGVMMVESYTELQIFNKLECTCRFPNRGANLTKVEQCSQVFDLSPSLIFNMEINLVSLRSFHSCGPLDTLFNFAINLERLAMLHITEKPVTQIQNNNLDLIQISKPLCRFVSDSLKNYSLNMNVVTEPWSCNEDNKHQLLNQSLDLHELPTNNAFCSVLSLTELKNGNLILTKLHPLQITRKFLEDTLINNAFNLYQFKTPYNPFLMHPMQANSITLNEIDMKTLLLYIKDQQIYFVRFHVHELKITRMVTNSYDFSNHDLYAKGGFTVNRIQFHEVSTHWVFQLILFLDDWLLDVKNLLLVSTKANELSLNRQMEYSVFQSKGDINTMELIKSTKIGDRLLVWFNTDMPLVIHLKTTNLIPLSGEEYHPLTRFGLFVTNLHLHAIKQFDSKQIKAGSLGLIELHSMNGAEECIPMTDFDNFSLDLYRLGALLYWTVSKLRNNSLDLYRLEKNFEFTKLDLQQIRNHCLILVRLKSVSHFDSSQLQFLLNYSLDLTTTAPTLDAPVKRLSMNSCNTMLEREEPLEPADGEATMVISCFIYINRILRM
+>sp|O15455|TLR3_HUMAN Toll-like receptor 3 OS=Homo sapiens OX=9606 GN=TLR3 PE=1 SV=1
+MRQTLPCIYFWGGLLPFGMLCASSTTKCTVSHEVADCSHLKLTQVPDDLPTNITVLNLTHNQLRRLPAANFTRYSQLTSLDVGFNTISKLEPELCQKLPMLKVLNLQHNELSQLSDKTFAFCTNLTELHLMSNSIQKIKNNPFVKQKNLITLDLSHNGLSSTKLGTQVQLENLQELLLSNNKIQALKSEELDIFANSSLKKLELSSNQIKEFSPGCFHAIGRLFGLFLNNVQLGPSLTEKLCLELANTSIRNLSLSNSQLSTTSNTTFLGLKWTNLTMLDLSYNNLNVVGNDSFAWLPQLEYFFLEYNNIQHLFSHSLHGLFNVRYLNLKRSFTKQSISLASLPKIDDFSFQWLKCLEHLNMEDNDIPGIKSNMFTGLINLKYLSLSNSFTSLRTLTNETFVSLAHSPLHILNLTKNKISKIESDAFSWLGHLEVLDLGLNEIGQELTGQEWRGLENIFEIYLSYNKYLQLTRNSFALVPSLQRLMLRRVALKNVDSSPSPFQPLRNLTILDLSNNNIANINDDMLEGLEKLEILDLQHNNLARLWKHANPGGPIYFLKGLSHLHILNLESNGFDEIPVEVFKDLFELKIIDLGLNNLNTLPASVFNNQVSLKSLNLQKNLITSVEKKVFGPAFRNLTELDMRFNPFDCTCESIAWFVNWINETHTNIPELSSHYLCNTPPHYHGFPVRLFDTSSCKDSAPFELFFMINTSILLIFIFIVLLIHFEGWRISFYWNVSVHRVLGFKEIDRQTEQFEYAAYIIHAYKDKDWVWEHFSSMEKEDQSLKFCLEERDFEAGVFELEAIVNSIKRSRKIIFVITHHLLKDPLCKRFKVHHAVQQAIEQNLDSIILVFLEEIPDYKLNHALCLRRGMFKSHCILNWPVQKERIGAFRHKLQVALGSKNSVH
+>DECOY_sp|O15455|TLR3_HUMAN Toll-like receptor 3 OS=Homo sapiens OX=9606 GN=TLR3 PE=1 SV=1
+HVSNKSGLAVQLKHRFAGIREKQVPWNLICHSKFMGRRLCLAHNLKYDPIEELFVLIISDLNQEIAQQVAHHVKFRKCLPDKLLHHTIVFIIKRSRKISNVIAELEFVGAEFDREELCFKLSQDEKEMSSFHEWVWDKDKYAHIIYAAYEFQETQRDIEKFGLVRHVSVNWYFSIRWGEFHILLVIFIFILLISTNIMFFLEFPASDKCSSTDFLRVPFGHYHPPTNCLYHSSLEPINTHTENIWNVFWAISECTCDFPNFRMDLETLNRFAPGFVKKEVSTILNKQLNLSKLSVQNNFVSAPLTNLNNLGLDIIKLEFLDKFVEVPIEDFGNSELNLIHLHSLGKLFYIPGGPNAHKWLRALNNHQLDLIELKELGELMDDNINAINNNSLDLITLNRLPQFPSPSSDVNKLAVRRLMLRQLSPVLAFSNRTLQLYKNYSLYIEFINELGRWEQGTLEQGIENLGLDLVELHGLWSFADSEIKSIKNKTLNLIHLPSHALSVFTENTLTRLSTFSNSLSLYKLNILGTFMNSKIGPIDNDEMNLHELCKLWQFSFDDIKPLSALSISQKTFSRKLNLYRVNFLGHLSHSFLHQINNYELFFYELQPLWAFSDNGVVNLNNYSLDLMTLNTWKLGLFTTNSTTSLQSNSLSLNRISTNALELCLKETLSPGLQVNNLFLGFLRGIAHFCGPSFEKIQNSSLELKKLSSNAFIDLEESKLAQIKNNSLLLEQLNELQVQTGLKTSSLGNHSLDLTILNKQKVFPNNKIKQISNSMLHLETLNTCFAFTKDSLQSLENHQLNLVKLMPLKQCLEPELKSITNFGVDLSTLQSYRTFNAAPLRRLQNHTLNLVTINTPLDDPVQTLKLHSCDAVEHSVTCKTTSSACLMGFPLLGGWFYICPLTQRM
+>sp|O00206|TLR4_HUMAN Toll-like receptor 4 OS=Homo sapiens OX=9606 GN=TLR4 PE=1 SV=2
+MMSASRLAGTLIPAMAFLSCVRPESWEPCVEVVPNITYQCMELNFYKIPDNLPFSTKNLDLSFNPLRHLGSYSFFSFPELQVLDLSRCEIQTIEDGAYQSLSHLSTLILTGNPIQSLALGAFSGLSSLQKLVAVETNLASLENFPIGHLKTLKELNVAHNLIQSFKLPEYFSNLTNLEHLDLSSNKIQSIYCTDLRVLHQMPLLNLSLDLSLNPMNFIQPGAFKEIRLHKLTLRNNFDSLNVMKTCIQGLAGLEVHRLVLGEFRNEGNLEKFDKSALEGLCNLTIEEFRLAYLDYYLDDIIDLFNCLTNVSSFSLVSVTIERVKDFSYNFGWQHLELVNCKFGQFPTLKLKSLKRLTFTSNKGGNAFSEVDLPSLEFLDLSRNGLSFKGCCSQSDFGTTSLKYLDLSFNGVITMSSNFLGLEQLEHLDFQHSNLKQMSEFSVFLSLRNLIYLDISHTHTRVAFNGIFNGLSSLEVLKMAGNSFQENFLPDIFTELRNLTFLDLSQCQLEQLSPTAFNSLSSLQVLNMSHNNFFSLDTFPYKCLNSLQVLDYSLNHIMTSKKQELQHFPSSLAFLNLTQNDFACTCEHQSFLQWIKDQRQLLVEVERMECATPSDKQGMPVLSLNITCQMNKTIIGVSVLSVLVVSVVAVLVYKFYFHLMLLAGCIKYGRGENIYDAFVIYSSQDEDWVRNELVKNLEEGVPPFQLCLHYRDFIPGVAIAANIIHEGFHKSRKVIVVVSQHFIQSRWCIFEYEIAQTWQFLSSRAGIIFIVLQKVEKTLLRQQVELYRLLSRNTYLEWEDSVLGRHIFWRRLRKALLDGKSWNPEGTVGTGCNWQEATSI
+>DECOY_sp|O00206|TLR4_HUMAN Toll-like receptor 4 OS=Homo sapiens OX=9606 GN=TLR4 PE=1 SV=2
+ISTAEQWNCGTGVTGEPNWSKGDLLAKRLRRWFIHRGLVSDEWELYTNRSLLRYLEVQQRLLTKEVKQLVIFIIGARSSLFQWTQAIEYEFICWRSQIFHQSVVVIVKRSKHFGEHIINAAIAVGPIFDRYHLCLQFPPVGEELNKVLENRVWDEDQSSYIVFADYINEGRGYKICGALLMLHFYFKYVLVAVVSVVLVSLVSVGIITKNMQCTINLSLVPMGQKDSPTACEMREVEVLLQRQDKIWQLFSQHECTCAFDNQTLNLFALSSPFHQLEQKKSTMIHNLSYDLVQLSNLCKYPFTDLSFFNNHSMNLVQLSSLSNFATPSLQELQCQSLDLFTLNRLETFIDPLFNEQFSNGAMKLVELSSLGNFIGNFAVRTHTHSIDLYILNRLSLFVSFESMQKLNSHQFDLHELQELGLFNSSMTIVGNFSLDLYKLSTTGFDSQSCCGKFSLGNRSLDLFELSPLDVESFANGGKNSTFTLRKLSKLKLTPFQGFKCNVLELHQWGFNYSFDKVREITVSVLSFSSVNTLCNFLDIIDDLYYDLYALRFEEITLNCLGELASKDFKELNGENRFEGLVLRHVELGALGQICTKMVNLSDFNNRLTLKHLRIEKFAGPQIFNMPNLSLDLSLNLLPMQHLVRLDTCYISQIKNSSLDLHELNTLNSFYEPLKFSQILNHAVNLEKLTKLHGIPFNELSALNTEVAVLKQLSSLGSFAGLALSQIPNGTLILTSLHSLSQYAGDEITQIECRSLDLVQLEPFSFFSYSGLHRLPNFSLDLNKTSFPLNDPIKYFNLEMCQYTINPVVEVCPEWSEPRVCSLFAMAPILTGALRSASMM
+>sp|Q9Y2C9|TLR6_HUMAN Toll-like receptor 6 OS=Homo sapiens OX=9606 GN=TLR6 PE=1 SV=2
+MTKDKEPIVKSFHFVCLMIIIVGTRIQFSDGNEFAVDKSKRGLIHVPKDLPLKTKVLDMSQNYIAELQVSDMSFLSELTVLRLSHNRIQLLDLSVFKFNQDLEYLDLSHNQLQKISCHPIVSFRHLDLSFNDFKALPICKEFGNLSQLNFLGLSAMKLQKLDLLPIAHLHLSYILLDLRNYYIKENETESLQILNAKTLHLVFHPTSLFAIQVNISVNTLGCLQLTNIKLNDDNCQVFIKFLSELTRGSTLLNFTLNHIETTWKCLVRVFQFLWPKPVEYLNIYNLTIIESIREEDFTYSKTTLKALTIEHITNQVFLFSQTALYTVFSEMNIMMLTISDTPFIHMLCPHAPSTFKFLNFTQNVFTDSIFEKCSTLVKLETLILQKNGLKDLFKVGLMTKDMPSLEILDVSWNSLESGRHKENCTWVESIVVLNLSSNMLTDSVFRCLPPRIKVLDLHSNKIKSVPKQVVKLEALQELNVAFNSLTDLPGCGSFSSLSVLIIDHNSVSHPSADFFQSCQKMRSIKAGDNPFQCTCELREFVKNIDQVSSEVLEGWPDSYKCDYPESYRGSPLKDFHMSELSCNITLLIVTIGATMLVLAVTVTSLCIYLDLPWYLRMVCQWTQTRRRARNIPLEELQRNLQFHAFISYSEHDSAWVKSELVPYLEKEDIQICLHERNFVPGKSIVENIINCIEKSYKSIFVLSPNFVQSEWCHYELYFAHHNLFHEGSNNLILILLEPIPQNSIPNKYHKLKALMTQRTYLQWPKEKSKRGLFWANIRAAFNMKLTLVTENNDVKS
+>DECOY_sp|Q9Y2C9|TLR6_HUMAN Toll-like receptor 6 OS=Homo sapiens OX=9606 GN=TLR6 PE=1 SV=2
+SKVDNNETVLTLKMNFAARINAWFLGRKSKEKPWQLYTRQTMLAKLKHYKNPISNQPIPELLILILNNSGEHFLNHHAFYLEYHCWESQVFNPSLVFISKYSKEICNIINEVISKGPVFNREHLCIQIDEKELYPVLESKVWASDHESYSIFAHFQLNRQLEELPINRARRRTQTWQCVMRLYWPLDLYICLSTVTVALVLMTAGITVILLTINCSLESMHFDKLPSGRYSEPYDCKYSDPWGELVESSVQDINKVFERLECTCQFPNDGAKISRMKQCSQFFDASPHSVSNHDIILVSLSSFSGCGPLDTLSNFAVNLEQLAELKVVQKPVSKIKNSHLDLVKIRPPLCRFVSDTLMNSSLNLVVISEVWTCNEKHRGSELSNWSVDLIELSPMDKTMLGVKFLDKLGNKQLILTELKVLTSCKEFISDTFVNQTFNLFKFTSPAHPCLMHIFPTDSITLMMINMESFVTYLATQSFLFVQNTIHEITLAKLTTKSYTFDEERISEIITLNYINLYEVPKPWLFQFVRVLCKWTTEIHNLTFNLLTSGRTLESLFKIFVQCNDDNLKINTLQLCGLTNVSINVQIAFLSTPHFVLHLTKANLIQLSETENEKIYYNRLDLLIYSLHLHAIPLLDLKQLKMASLGLFNLQSLNGFEKCIPLAKFDNFSLDLHRFSVIPHCSIKQLQNHSLDLYELDQNFKFVSLDLLQIRNHSLRLVTLESLFSMDSVQLEAIYNQSMDLVKTKLPLDKPVHILGRKSKDVAFENGDSFQIRTGVIIIMLCVFHFSKVIPEKDKTM
+>sp|Q9NR97|TLR8_HUMAN Toll-like receptor 8 OS=Homo sapiens OX=9606 GN=TLR8 PE=1 SV=1
+MENMFLQSSMLTCIFLLISGSCELCAEENFSRSYPCDEKKQNDSVIAECSNRRLQEVPQTVGKYVTELDLSDNFITHITNESFQGLQNLTKINLNHNPNVQHQNGNPGIQSNGLNITDGAFLNLKNLRELLLEDNQLPQIPSGLPESLTELSLIQNNIYNITKEGISRLINLKNLYLAWNCYFNKVCEKTNIEDGVFETLTNLELLSLSFNSLSHVPPKLPSSLRKLFLSNTQIKYISEEDFKGLINLTLLDLSGNCPRCFNAPFPCVPCDGGASINIDRFAFQNLTQLRYLNLSSTSLRKINAAWFKNMPHLKVLDLEFNYLVGEIASGAFLTMLPRLEILDLSFNYIKGSYPQHINISRNFSKLLSLRALHLRGYVFQELREDDFQPLMQLPNLSTINLGINFIKQIDFKLFQNFSNLEIIYLSENRISPLVKDTRQSYANSSSFQRHIRKRRSTDFEFDPHSNFYHFTRPLIKPQCAAYGKALDLSLNSIFFIGPNQFENLPDIACLNLSANSNAQVLSGTEFSAIPHVKYLDLTNNRLDFDNASALTELSDLEVLDLSYNSHYFRIAGVTHHLEFIQNFTNLKVLNLSHNNIYTLTDKYNLESKSLVELVFSGNRLDILWNDDDNRYISIFKGLKNLTRLDLSLNRLKHIPNEAFLNLPASLTELHINDNMLKFFNWTLLQQFPRLELLDLRGNKLLFLTDSLSDFTSSLRTLLLSHNRISHLPSGFLSEVSSLKHLDLSSNLLKTINKSALETKTTTKLSMLELHGNPFECTCDIGDFRRWMDEHLNVKIPRLVDVICASPGDQRGKSIVSLELTTCVSDVTAVILFFFTFFITTMVMLAALAHHLFYWDVWFIYNVCLAKVKGYRSLSTSQTFYDAYISYDTKDASVTDWVINELRYHLEESRDKNVLLCLEERDWDPGLAIIDNLMQSINQSKKTVFVLTKKYAKSWNFKTAFYLALQRLMDENMDVIIFILLEPVLQHSQYLRLRQRICKSSILQWPDNPKAEGLFWQTLRNVVLTENDSRYNNMYVDSIKQY
+>DECOY_sp|Q9NR97|TLR8_HUMAN Toll-like receptor 8 OS=Homo sapiens OX=9606 GN=TLR8 PE=1 SV=1
+YQKISDVYMNNYRSDNETLVVNRLTQWFLGEAKPNDPWQLISSKCIRQRLRLYQSHQLVPELLIFIIVDMNEDMLRQLALYFATKFNWSKAYKKTLVFVTKKSQNISQMLNDIIALGPDWDREELCLLVNKDRSEELHYRLENIVWDTVSADKTDYSIYADYFTQSTSLSRYGKVKALCVNYIFWVDWYFLHHALAALMVMTTIFFTFFFLIVATVDSVCTTLELSVISKGRQDGPSACIVDVLRPIKVNLHEDMWRRFDGIDCTCEFPNGHLELMSLKTTTKTELASKNITKLLNSSLDLHKLSSVESLFGSPLHSIRNHSLLLTRLSSTFDSLSDTLFLLKNGRLDLLELRPFQQLLTWNFFKLMNDNIHLETLSAPLNLFAENPIHKLRNLSLDLRTLNKLGKFISIYRNDDDNWLIDLRNGSFVLEVLSKSELNYKDTLTYINNHSLNLVKLNTFNQIFELHHTVGAIRFYHSNYSLDLVELDSLETLASANDFDLRNNTLDLYKVHPIASFETGSLVQANSNASLNLCAIDPLNEFQNPGIFFISNLSLDLAKGYAACQPKILPRTFHYFNSHPDFEFDTSRRKRIHRQFSSSNAYSQRTDKVLPSIRNESLYIIELNSFNQFLKFDIQKIFNIGLNITSLNPLQMLPQFDDERLEQFVYGRLHLARLSLLKSFNRSINIHQPYSGKIYNFSLDLIELRPLMTLFAGSAIEGVLYNFELDLVKLHPMNKFWAANIKRLSTSSLNLYRLQTLNQFAFRDINISAGGDCPVCPFPANFCRPCNGSLDLLTLNILGKFDEESIYKIQTNSLFLKRLSSPLKPPVHSLSNFSLSLLELNTLTEFVGDEINTKECVKNFYCNWALYLNKLNILRSIGEKTINYINNQILSLETLSEPLGSPIQPLQNDELLLERLNKLNLFAGDTINLGNSQIGPNGNQHQVNPNHNLNIKTLNQLGQFSENTIHTIFNDSLDLETVYKGVTQPVEQLRRNSCEAIVSDNQKKEDCPYSRSFNEEACLECSGSILLFICTLMSSQLFMNEM
+>sp|O43763|TLX2_HUMAN T-cell leukemia homeobox protein 2 OS=Homo sapiens OX=9606 GN=TLX2 PE=1 SV=2
+MEPGMLGPHNLPHHEPISFGIDQILSGPETPGGGLGLGRGGQGHGENGAFSGGYHGASGYGPAGSLAPLPGSSGVGPGGVIRVPAHRPLPVPPPAGGAPAVPGPSGLGGAGGLAGLTFPWMDSGRRFAKDRLTAALSPFSGTRRIGHPYQNRTPPKRKKPRTSFSRSQVLELERRFLRQKYLASAERAALAKALRMTDAQVKTWFQNRRTKWRRQTAEEREAERHRAGRLLLHLQQDALPRPLRPPLPPDPLCLHNSSLFALQNLQPWAEDNKVASVSGLASVV
+>DECOY_sp|O43763|TLX2_HUMAN T-cell leukemia homeobox protein 2 OS=Homo sapiens OX=9606 GN=TLX2 PE=1 SV=2
+VVSALGSVSAVKNDEAWPQLNQLAFLSSNHLCLPDPPLPPRLPRPLADQQLHLLLRGARHREAEREEATQRRWKTRRNQFWTKVQADTMRLAKALAAREASALYKQRLFRRELELVQSRSFSTRPKKRKPPTRNQYPHGIRRTGSFPSLAATLRDKAFRRGSDMWPFTLGALGGAGGLGSPGPVAPAGGAPPPVPLPRHAPVRIVGGPGVGSSGPLPALSGAPGYGSAGHYGGSFAGNEGHGQGGRGLGLGGGPTEPGSLIQDIGFSIPEHHPLNHPGLMGPEM
+>sp|Q96IK0|TM101_HUMAN Transmembrane protein 101 OS=Homo sapiens OX=9606 GN=TMEM101 PE=1 SV=1
+MASKIGSRRWMLQLIMQLGSVLLTRCPFWGCFSQLMLYAERAEARRKPDIPVPYLYFDMGAAVLCASFMSFGVKRRWFALGAALQLAISTYAAYIGGYVHYGDWLKVRMYSRTVAIIGGFLVLASGAGELYRRKPRSRSLQSTGQVFLGIYLICVAYSLQHSKEDRLAYLNHLPGGELMIQLFFVLYGILALAFLSGYYVTLAAQILAVLLPPVMLLIDGNVAYWHNTRRVEFWNQMKLLGESVGIFGTAVILATDG
+>DECOY_sp|Q96IK0|TM101_HUMAN Transmembrane protein 101 OS=Homo sapiens OX=9606 GN=TMEM101 PE=1 SV=1
+GDTALIVATGFIGVSEGLLKMQNWFEVRRTNHWYAVNGDILLMVPPLLVALIQAALTVYYGSLFALALIGYLVFFLQIMLEGGPLHNLYALRDEKSHQLSYAVCILYIGLFVQGTSQLSRSRPKRRYLEGAGSALVLFGGIIAVTRSYMRVKLWDGYHVYGGIYAAYTSIALQLAAGLAFWRRKVGFSMFSACLVAAGMDFYLYPVPIDPKRRAEAREAYLMLQSFCGWFPCRTLLVSGLQMILQLMWRRSGIKSAM
+>sp|Q6PF06|TM10B_HUMAN tRNA methyltransferase 10 homolog B OS=Homo sapiens OX=9606 GN=TRMT10B PE=1 SV=1
+MDWKLEGSTQKVESPVLQGQEGILEETGEDGLPEGFQLLQIDAEGECQEGEILATGSTAWCSKNVQRKQRHWEKIVAAKKSKRKQEKERRKANRAENPGICPQHSKRFLRALTKDKLLEAKHSGPRLCIDLSMTHYMSKKELSRLAGQIRRLYGSNKKADRPFWICLTGFTTDSPLYEECVRMNDGFSSYLLDITEEDCFSLFPLETLVYLTPDSEHALEDVDLNKVYILGGLVDESIQKKVTFQKAREYSVKTARLPIQEYMVRNQNGKNYHSEILAINQVFDILSTYLETHNWPEALKKGVSSGKGYILRNSVE
+>DECOY_sp|Q6PF06|TM10B_HUMAN tRNA methyltransferase 10 homolog B OS=Homo sapiens OX=9606 GN=TRMT10B PE=1 SV=1
+EVSNRLIYGKGSSVGKKLAEPWNHTELYTSLIDFVQNIALIESHYNKGNQNRVMYEQIPLRATKVSYERAKQFTVKKQISEDVLGGLIYVKNLDVDELAHESDPTLYVLTELPFLSFCDEETIDLLYSSFGDNMRVCEEYLPSDTTFGTLCIWFPRDAKKNSGYLRRIQGALRSLEKKSMYHTMSLDICLRPGSHKAELLKDKTLARLFRKSHQPCIGPNEARNAKRREKEQKRKSKKAAVIKEWHRQKRQVNKSCWATSGTALIEGEQCEGEADIQLLQFGEPLGDEGTEELIGEQGQLVPSEVKQTSGELKWDM
+>sp|Q7L0Y3|TM10C_HUMAN tRNA methyltransferase 10 homolog C OS=Homo sapiens OX=9606 GN=TRMT10C PE=1 SV=2
+MAAFLKMSVSVNFFRPFTRFLVPFTLHRKRNNLTILQRYMSSKIPAVTYPKNESTPPSEELELDKWKTTMKSSVQEECVSTISSSKDEDPLAATREFIEMWRLLGREVPEHITEEELKTLMECVSNTAKKKYLKYLYTKEKVKKARQIKKEMKAAAREEAKNIKLLETTEEDKQKNFLFLRLWDRNMDIAMGWKGAQAMQFGQPLVFDMAYENYMKRKELQNTVSQLLESEGWNRRNVDPFHIYFCNLKIDGALHRELVKRYQEKWDKLLLTSTEKSHVDLFPKDSIIYLTADSPNVMTTFRHDKVYVIGSFVDKSMQPGTSLAKAKRLNLATECLPLDKYLQWEIGNKNLTLDQMIRILLCLKNNGNWQEALQFVPKRKHTGFLEISQHSQEFINRLKKAKT
+>DECOY_sp|Q7L0Y3|TM10C_HUMAN tRNA methyltransferase 10 homolog C OS=Homo sapiens OX=9606 GN=TRMT10C PE=1 SV=2
+TKAKKLRNIFEQSHQSIELFGTHKRKPVFQLAEQWNGNNKLCLLIRIMQDLTLNKNGIEWQLYKDLPLCETALNLRKAKALSTGPQMSKDVFSGIVYVKDHRFTTMVNPSDATLYIISDKPFLDVHSKETSTLLLKDWKEQYRKVLERHLAGDIKLNCFYIHFPDVNRRNWGESELLQSVTNQLEKRKMYNEYAMDFVLPQGFQMAQAGKWGMAIDMNRDWLRLFLFNKQKDEETTELLKINKAEERAAAKMEKKIQRAKKVKEKTYLYKLYKKKATNSVCEMLTKLEEETIHEPVERGLLRWMEIFERTAALPDEDKSSSITSVCEEQVSSKMTTKWKDLELEESPPTSENKPYTVAPIKSSMYRQLITLNNRKRHLTFPVLFRTFPRFFNVSVSMKLFAAM
+>sp|Q9H0C3|TM117_HUMAN Transmembrane protein 117 OS=Homo sapiens OX=9606 GN=TMEM117 PE=1 SV=1
+MGKDFRYYFQHPWSRMIVAYLVIFFNFLIFAEDPVSHSQTEANVIVVGNCFSFVTNKYPRGVGWRILKVLLWLLAILTGLIAGKFLFHQRLFGQLLRLKMFREDHGSWMTMFFSTILFLFIFSHIYNTILLMDGNMGAYIITDYMGIRNESFMKLAAVGTWMGDFVTAWMVTDMMLQDKPYPDWGKSARAFWKKGNVRITLFWTVLFTLTSVVVLVITTDWISWDKLNRGFLPSDEVSRAFLASFILVFDLLIVMQDWEFPHFMGDVDVNLPGLHTPHMQFKIPFFQKIFKEEYRIHITGKWFNYGIIFLVLILDLNMWKNQIFYKPHEYGQYIGPGQKIYTVKDSESLKDLNRTKLSWEWRSNHTNPRTNKTYVEGDMFLHSRFIGASLDVKCLAFVPSLIAFVWFGFFIWFFGRFLKNEPRMENQDKTYTRMKRKSPSEHSKDMGITRENTQASVEDPLNDPSLVCIRSDFNEIVYKSSHLTSENLSSQLNESTSATEADQDPTTSKSTPTN
+>DECOY_sp|Q9H0C3|TM117_HUMAN Transmembrane protein 117 OS=Homo sapiens OX=9606 GN=TMEM117 PE=1 SV=1
+NTPTSKSTTPDQDAETASTSENLQSSLNESTLHSSKYVIENFDSRICVLSPDNLPDEVSAQTNERTIGMDKSHESPSKRKMRTYTKDQNEMRPENKLFRGFFWIFFGFWVFAILSPVFALCKVDLSAGIFRSHLFMDGEVYTKNTRPNTHNSRWEWSLKTRNLDKLSESDKVTYIKQGPGIYQGYEHPKYFIQNKWMNLDLILVLFIIGYNFWKGTIHIRYEEKFIKQFFPIKFQMHPTHLGPLNVDVDGMFHPFEWDQMVILLDFVLIFSALFARSVEDSPLFGRNLKDWSIWDTTIVLVVVSTLTFLVTWFLTIRVNGKKWFARASKGWDPYPKDQLMMDTVMWATVFDGMWTGVAALKMFSENRIGMYDTIIYAGMNGDMLLITNYIHSFIFLFLITSFFMTMWSGHDERFMKLRLLQGFLRQHFLFKGAILGTLIALLWLLVKLIRWGVGRPYKNTVFSFCNGVVIVNAETQSHSVPDEAFILFNFFIVLYAVIMRSWPHQFYYRFDKGM
+>sp|Q86T26|TM11B_HUMAN Transmembrane protease serine 11B OS=Homo sapiens OX=9606 GN=TMPRSS11B PE=2 SV=3
+MYRHGISSQRSWPLWTTIFIFLGVAAILGVTIGLLVHFLAVEKTYYYQGDFHISGVTYNDNCENAASQASTNLSKDIETKMLNAFQNSSIYKEYVKSEVIKLLPNANGSNVQLQLKFKFPPAEGVSMRTKIKAKLHQMLKNNMASWNAVPASIKLMEISKAASEMLTNNCCGRQVANSIITGNKIVNGKSSLEGAWPWQASMQWKGRHYCGASLISSRWLLSAAHCFAKKNNSKDWTVNFGIVVNKPYMTRKVQNIIFHENYSSPGLHDDIALVQLAEEVSFTEYIRKICLPEAKMKLSENDNVVVTGWGTLYMNGSFPVILQEDFLKIIDNKICNASYAYSGFVTDTMLCAGFMSGEADACQNDSGGPLAYPDSRNIWHLVGIVSWGDGCGKKNKPGVYTRVTSYRNWITSKTGL
+>DECOY_sp|Q86T26|TM11B_HUMAN Transmembrane protease serine 11B OS=Homo sapiens OX=9606 GN=TMPRSS11B PE=2 SV=3
+LGTKSTIWNRYSTVRTYVGPKNKKGCGDGWSVIGVLHWINRSDPYALPGGSDNQCADAEGSMFGACLMTDTVFGSYAYSANCIKNDIIKLFDEQLIVPFSGNMYLTGWGTVVVNDNESLKMKAEPLCIKRIYETFSVEEALQVLAIDDHLGPSSYNEHFIINQVKRTMYPKNVVIGFNVTWDKSNNKKAFCHAASLLWRSSILSAGCYHRGKWQMSAQWPWAGELSSKGNVIKNGTIISNAVQRGCCNNTLMESAAKSIEMLKISAPVANWSAMNNKLMQHLKAKIKTRMSVGEAPPFKFKLQLQVNSGNANPLLKIVESKVYEKYISSNQFANLMKTEIDKSLNTSAQSAANECNDNYTVGSIHFDGQYYYTKEVALFHVLLGITVGLIAAVGLFIFITTWLPWSRQSSIGHRYM
+>sp|O60235|TM11D_HUMAN Transmembrane protease serine 11D OS=Homo sapiens OX=9606 GN=TMPRSS11D PE=1 SV=1
+MYRPARVTSTSRFLNPYVVCFIVVAGVVILAVTIALLVYFLAFDQKSYFYRSSFQLLNVEYNSQLNSPATQEYRTLSGRIESLITKTFKESNLRNQFIRAHVAKLRQDGSGVRADVVMKFQFTRNNNGASMKSRIESVLRQMLNNSGNLEINPSTEITSLTDQAAANWLINECGAGPDLITLSEQRILGGTEAEEGSWPWQVSLRLNNAHHCGGSLINNMWILTAAHCFRSNSNPRDWIATSGISTTFPKLRMRVRNILIHNNYKSATHENDIALVRLENSVTFTKDIHSVCLPAATQNIPPGSTAYVTGWGAQEYAGHTVPELRQGQVRIISNDVCNAPHSYNGAILSGMLCAGVPQGGVDACQGDSGGPLVQEDSRRLWFIVGIVSWGDQCGLPDKPGVYTRVTAYLDWIRQQTGI
+>DECOY_sp|O60235|TM11D_HUMAN Transmembrane protease serine 11D OS=Homo sapiens OX=9606 GN=TMPRSS11D PE=1 SV=1
+IGTQQRIWDLYATVRTYVGPKDPLGCQDGWSVIGVIFWLRRSDEQVLPGGSDGQCADVGGQPVGACLMGSLIAGNYSHPANCVDNSIIRVQGQRLEPVTHGAYEQAGWGTVYATSGPPINQTAAPLCVSHIDKTFTVSNELRVLAIDNEHTASKYNNHILINRVRMRLKPFTTSIGSTAIWDRPNSNSRFCHAATLIWMNNILSGGCHHANNLRLSVQWPWSGEEAETGGLIRQESLTILDPGAGCENILWNAAAQDTLSTIETSPNIELNGSNNLMQRLVSEIRSKMSAGNNNRTFQFKMVVDARVGSGDQRLKAVHARIFQNRLNSEKFTKTILSEIRGSLTRYEQTAPSNLQSNYEVNLLQFSSRYFYSKQDFALFYVLLAITVALIVVGAVVIFCVVYPNLFRSTSTVRAPRYM
+>sp|Q6ZWK6|TM11F_HUMAN Transmembrane protease serine 11F OS=Homo sapiens OX=9606 GN=TMPRSS11F PE=2 SV=2
+MMYAPVEFSEAEFSRAEYQRKQQFWDSVRLALFTLAIVAIIGIAIGIVTHFVVEDDKSFYYLASFKVTNIKYKENYGIRSSREFIERSHQIERMMSRIFRHSSVGGRFIKSHVIKLSPDEQGVDILIVLIFRYPSTDSAEQIKKKIEKALYQSLKTKQLSLTINKPSFRLTPIDSKKMRNLLNSRCGIRMTSSNMPLPASSSTQRIVQGRETAMEGEWPWQASLQLIGSGHQCGASLISNTWLLTAAHCFWKNKDPTQWIATFGATITPPAVKRNVRKIILHENYHRETNENDIALVQLSTGVEFSNIVQRVCLPDSSIKLPPKTSVFVTGFGSIVDDGPIQNTLRQARVETISTDVCNRKDVYDGLITPGMLCAGFMEGKIDACKGDSGGPLVYDNHDIWYIVGIVSWGQSCALPKKPGVYTRVTKYRDWIASKTGM
+>DECOY_sp|Q6ZWK6|TM11F_HUMAN Transmembrane protease serine 11F OS=Homo sapiens OX=9606 GN=TMPRSS11F PE=2 SV=2
+MGTKSAIWDRYKTVRTYVGPKKPLACSQGWSVIGVIYWIDHNDYVLPGGSDGKCADIKGEMFGACLMGPTILGDYVDKRNCVDTSITEVRAQRLTNQIPGDDVISGFGTVFVSTKPPLKISSDPLCVRQVINSFEVGTSLQVLAIDNENTERHYNEHLIIKRVNRKVAPPTITAGFTAIWQTPDKNKWFCHAATLLWTNSILSAGCQHGSGILQLSAQWPWEGEMATERGQVIRQTSSSAPLPMNSSTMRIGCRSNLLNRMKKSDIPTLRFSPKNITLSLQKTKLSQYLAKEIKKKIQEASDTSPYRFILVILIDVGQEDPSLKIVHSKIFRGGVSSHRFIRSMMREIQHSREIFERSSRIGYNEKYKINTVKFSALYYFSKDDEVVFHTVIGIAIGIIAVIALTFLALRVSDWFQQKRQYEARSFEAESFEVPAYMM
+>sp|O75204|TM127_HUMAN Transmembrane protein 127 OS=Homo sapiens OX=9606 GN=TMEM127 PE=1 SV=1
+MYAPGGAGLPGGRRRRSPGGSALPKQPERSLASALPGALSITALCTALAEPAWLHIHGGTCSRQELGVSDVLGYVHPDLLKDFCMNPQTVLLLRVIAAFCFLGILCSLSAFLLDVFGPKHPALKITRRYAFAHILTVLQCATVIGFSYWASELILAQQQQHKKYHGSQVYVTFAVSFYLVAGAGGASILATAANLLRHYPTEEEEQALELLSEMEENEPYPAEYEVINQFQPPPAYTP
+>DECOY_sp|O75204|TM127_HUMAN Transmembrane protein 127 OS=Homo sapiens OX=9606 GN=TMEM127 PE=1 SV=1
+PTYAPPPQFQNIVEYEAPYPENEEMESLLELAQEEEETPYHRLLNAATALISAGGAGAVLYFSVAFTVYVQSGHYKKHQQQQALILESAWYSFGIVTACQLVTLIHAFAYRRTIKLAPHKPGFVDLLFASLSCLIGLFCFAAIVRLLLVTQPNMCFDKLLDPHVYGLVDSVGLEQRSCTGGHIHLWAPEALATCLATISLAGPLASALSREPQKPLASGGPSRRRRGGPLGAGGPAYM
+>sp|Q9NUH8|TM14B_HUMAN Transmembrane protein 14B OS=Homo sapiens OX=9606 GN=TMEM14B PE=1 SV=1
+MEKPLFPLVPLHWFGFGYTALVVSGGIVGYVKTGSVPSLAAGLLFGSLAGLGAYQLYQDPRNVWGFLAATSVTFVGVMGMRSYYYGKFMPVGLIAGASLLMAAKVGVRMLMTSD
+>DECOY_sp|Q9NUH8|TM14B_HUMAN Transmembrane protein 14B OS=Homo sapiens OX=9606 GN=TMEM14B PE=1 SV=1
+DSTMLMRVGVKAAMLLSAGAILGVPMFKGYYYSRMGMVGVFTVSTAALFGWVNRPDQYLQYAGLGALSGFLLGAALSPVSGTKVYGVIGGSVVLATYGFGFWHLPVLPFLPKEM
+>sp|Q6P9G4|TM154_HUMAN Transmembrane protein 154 OS=Homo sapiens OX=9606 GN=TMEM154 PE=1 SV=2
+MQAPRAALVFALVIALVPVGRGNYEELENSGDTTVESERPNKVTIPSTFAAVTIKETLNANINSTNFAPDENQLEFILMVLIPLILLVLLLLSVVFLATYYKRKRTKQEPSSQGSQSALQTYELGSENVKVPIFEEDTPSVMEIEMEELDKWMNSMNRNADFECLPTLKEEKESNHNPSDSES
+>DECOY_sp|Q6P9G4|TM154_HUMAN Transmembrane protein 154 OS=Homo sapiens OX=9606 GN=TMEM154 PE=1 SV=2
+SESDSPNHNSEKEEKLTPLCEFDANRNMSNMWKDLEEMEIEMVSPTDEEFIPVKVNESGLEYTQLASQSGQSSPEQKTRKRKYYTALFVVSLLLLVLLILPILVMLIFELQNEDPAFNTSNINANLTEKITVAAFTSPITVKNPRESEVTTDGSNELEEYNGRGVPVLAIVLAFVLAARPAQM
+>sp|Q8WZ71|TM158_HUMAN Transmembrane protein 158 OS=Homo sapiens OX=9606 GN=TMEM158 PE=2 SV=2
+MLPLLAALLAAACPLPPVRGGAADAPGLLGVPSNASVNASSADEPIAPRLLASAAPGPPERPGPEEAAAAAAPCNISVQRQMLSSLLVRWGRPRGFQCDLLLFSTNAHGRAFFAAAFHRVGPPLLIEHLGLAAGGAQQDLRLCVGCGWVRGRRTGRLRPAAAPSAAAATAGAPTALPAYPAAEPPGPLWLQGEPLHFCCLDFSLEELQGEPGWRLNRKPIESTLVACFMTLVIVVWSVAALIWPVPIIAGFLPNGMEQRRTTASTTAATPAAVPAGTTAAAAAAAAAAAAAAVTSGVATK
+>DECOY_sp|Q8WZ71|TM158_HUMAN Transmembrane protein 158 OS=Homo sapiens OX=9606 GN=TMEM158 PE=2 SV=2
+KTAVGSTVAAAAAAAAAAAAAATTGAPVAAPTAATTSATTRRQEMGNPLFGAIIPVPWILAAVSWVVIVLTMFCAVLTSEIPKRNLRWGPEGQLEELSFDLCCFHLPEGQLWLPGPPEAAPYAPLATPAGATAAAASPAAAPRLRGTRRGRVWGCGVCLRLDQQAGGAALGLHEILLPPGVRHFAAAFFARGHANTSFLLLDCQFGRPRGWRVLLSSLMQRQVSINCPAAAAAAEEPGPREPPGPAASALLRPAIPEDASSANVSANSPVGLLGPADAAGGRVPPLPCAAALLAALLPLM
+>sp|Q96B96|TM159_HUMAN Promethin OS=Homo sapiens OX=9606 GN=TMEM159 PE=1 SV=2
+MAKEEPQSISRDLQELQKKLSLLIDSFQNNSKVVAFMKSPVGQYLDSHPFLAFTLLVFIVMSAVPVGFFLLIVVLTTLAALLGVIILEGLVISVGGFSLLCILCGLGFVSLAMSGMMIASYVVVSSLISCWFSPRPLTQQNTSCDFLPAMKSAEFEGLYQE
+>DECOY_sp|Q96B96|TM159_HUMAN Promethin OS=Homo sapiens OX=9606 GN=TMEM159 PE=1 SV=2
+EQYLGEFEASKMAPLFDCSTNQQTLPRPSFWCSILSSVVVYSAIMMGSMALSVFGLGCLICLLSFGGVSIVLGELIIVGLLAALTTLVVILLFFGVPVASMVIFVLLTFALFPHSDLYQGVPSKMFAVVKSNNQFSDILLSLKKQLEQLDRSISQPEEKAM
+>sp|Q8TC26|TM163_HUMAN Transmembrane protein 163 OS=Homo sapiens OX=9606 GN=TMEM163 PE=2 SV=1
+MEPAAGIQRRSSQGPTVPPPPRGHAPPAAAPGPAPLSSPVREPPQLEEERQVRISESGQFSDGLEDRGLLESSTRLKPHEAQNYRKKALWVSWFSIIVTLALAVAAFTVSVMRYSASAFGFAFDAILDVLSSAIVLWRYSNAAAVHSAHREYIACVILGVIFLLSSICIVVKAIHDLSTRLLPEVDDFLFSVSILSGILCSILAVLKFMLGKVLTSRALITDGFNSLVGGVMGFSILLSAEVFKHDSAVWYLDGSIGVLIGLTIFAYGVKLLIDMVPRVRQTRHYEMFE
+>DECOY_sp|Q8TC26|TM163_HUMAN Transmembrane protein 163 OS=Homo sapiens OX=9606 GN=TMEM163 PE=2 SV=1
+EFMEYHRTQRVRPVMDILLKVGYAFITLGILVGISGDLYWVASDHKFVEASLLISFGMVGGVLSNFGDTILARSTLVKGLMFKLVALISCLIGSLISVSFLFDDVEPLLRTSLDHIAKVVICISSLLFIVGLIVCAIYERHASHVAAANSYRWLVIASSLVDLIADFAFGFASASYRMVSVTFAAVALALTVIISFWSVWLAKKRYNQAEHPKLRTSSELLGRDELGDSFQGSESIRVQREEELQPPERVPSSLPAPGPAAAPPAHGRPPPPVTPGQSSRRQIGAAPEM
+>sp|Q5U3C3|TM164_HUMAN Transmembrane protein 164 OS=Homo sapiens OX=9606 GN=TMEM164 PE=2 SV=1
+MSRYSYQSLLDWLYGGVDPSFAGNGGPDCAAFLSWQQRLLESVVVLTLALLEILVALRHILRQTKEDGRGSPGSQPEQVTQRPEEGKESLSKNLLLVALCLTFGVEVGFKFATKTVIYLLNPCHLVTMMHIFLLACPPCRGAIVVFKLQMHMLNGALLALLFPVVNTRLLPFELEIYYIQHVMLYVVPIYLLWKGGAYTPEPLSSFRWALLSTGLMFFYHFSVLQILGLVTEVNLNNMLCPAISDPFYGPWYRIWASGHQTLMTMTHGKLVILFSYMAGPLCKYLLDLLRLPAKKID
+>DECOY_sp|Q5U3C3|TM164_HUMAN Transmembrane protein 164 OS=Homo sapiens OX=9606 GN=TMEM164 PE=2 SV=1
+DIKKAPLRLLDLLYKCLPGAMYSFLIVLKGHTMTMLTQHGSAWIRYWPGYFPDSIAPCLMNNLNVETVLGLIQLVSFHYFFMLGTSLLAWRFSSLPEPTYAGGKWLLYIPVVYLMVHQIYYIELEFPLLRTNVVPFLLALLAGNLMHMQLKFVVIAGRCPPCALLFIHMMTVLHCPNLLYIVTKTAFKFGVEVGFTLCLAVLLLNKSLSEKGEEPRQTVQEPQSGPSGRGDEKTQRLIHRLAVLIELLALTLVVVSELLRQQWSLFAACDPGGNGAFSPDVGGYLWDLLSQYSYRSM
+>sp|Q9H0V1|TM168_HUMAN Transmembrane protein 168 OS=Homo sapiens OX=9606 GN=TMEM168 PE=2 SV=2
+MCKSLRYCFSHCLYLAMTRLEEVNREVNMHSSVRYLGYLARINLLVAICLGLYVRWEKTANSLILVIFILGLFVLGIASILYYYFSMEAASLSLSNLWFGFLLGLLCFLDNSSFKNDVKEESTKYLLLTSIVLRILCSLVERISGYVRHRPTLLTTVEFLELVGFAIASTTMLVEKSLSVILLVVALAMLIIDLRMKSFLAIPNLVIFAVLLFFSSLETPKNPIAFACFFICLITDPFLDIYFSGLSVTERWKPFLYRGRICRRLSVVFAGMIELTFFILSAFKLRDTHLWYFVIPGFSIFGIFWMICHIIFLLTLWGFHTKLNDCHKVYFTHRTDYNSLDRIMASKGMRHFCLISEQLVFFSLLATAILGAVSWQPTNGIFLSMFLIVLPLESMAHGLFHELGNCLGGTSVGYAIVIPTNFCSPDGQPTLLPPEHVQELNLRSTGMLNAIQRFFAYHMIETYGCDYSTSGLSFDTLHSKLKAFLELRTVDGPRHDTYILYYSGHTHGTGEWALAGGDTLRLDTLIEWWREKNGSFCSRLIIVLDSENSTPWVKEVRKINDQYIAVQGAELIKTVDIEEADPPQLGDFTKDWVEYNCNSSNNICWTEKGRTVKAVYGVSKRWSDYTLHLPTGSDVAKHWMLHFPRITYPLVHLANWLCGLNLFWICKTCFRCLKRLKMSWFLPTVLDTGQGFKLVKS
+>DECOY_sp|Q9H0V1|TM168_HUMAN Transmembrane protein 168 OS=Homo sapiens OX=9606 GN=TMEM168 PE=2 SV=2
+SKVLKFGQGTDLVTPLFWSMKLRKLCRFCTKCIWFLNLGCLWNALHVLPYTIRPFHLMWHKAVDSGTPLHLTYDSWRKSVGYVAKVTRGKETWCINNSSNCNYEVWDKTFDGLQPPDAEEIDVTKILEAGQVAIYQDNIKRVEKVWPTSNESDLVIILRSCFSGNKERWWEILTDLRLTDGGALAWEGTGHTHGSYYLIYTDHRPGDVTRLELFAKLKSHLTDFSLGSTSYDCGYTEIMHYAFFRQIANLMGTSRLNLEQVHEPPLLTPQGDPSCFNTPIVIAYGVSTGGLCNGLEHFLGHAMSELPLVILFMSLFIGNTPQWSVAGLIATALLSFFVLQESILCFHRMGKSAMIRDLSNYDTRHTFYVKHCDNLKTHFGWLTLLFIIHCIMWFIGFISFGPIVFYWLHTDRLKFASLIFFTLEIMGAFVVSLRRCIRGRYLFPKWRETVSLGSFYIDLFPDTILCIFFCAFAIPNKPTELSSFFLLVAFIVLNPIALFSKMRLDIILMALAVVLLIVSLSKEVLMTTSAIAFGVLELFEVTTLLTPRHRVYGSIREVLSCLIRLVISTLLLYKTSEEKVDNKFSSNDLFCLLGLLFGFWLNSLSLSAAEMSFYYYLISAIGLVFLGLIFIVLILSNATKEWRVYLGLCIAVLLNIRALYGLYRVSSHMNVERNVEELRTMALYLCHSFCYRLSKCM
+>sp|Q9BSA9|TM175_HUMAN Endosomal/lysosomal potassium channel TMEM175 OS=Homo sapiens OX=9606 GN=TMEM175 PE=1 SV=1
+MSQPRTPEQALDTPGDCPPGRRDEDAGEGIQCSQRMLSFSDALLSIIATVMILPVTHTEISPEQQFDRSVQRLLATRIAVYLMTFLIVTVAWAAHTRLFQVVGKTDDTLALLNLACMMTITFLPYTFSLMVTFPDVPLGIFLFCVCVIAIGVVQALIVGYAFHFPHLLSPQIQRSAHRALYRRHVLGIVLQGPALCFAAAIFSLFFVPLSYLLMVTVILLPYVSKVTGWCRDRLLGHREPSAHPVEVFSFDLHEPLSKERVEAFSDGVYAIVATLLILDICEDNVPDPKDVKERFSGSLVAALSATGPRFLAYFGSFATVGLLWFAHHSLFLHVRKATRAMGLLNTLSLAFVGGLPLAYQQTSAFARQPRDELERVRVSCTIIFLASIFQLAMWTTALLHQAETLQPSVWFGGREHVLMFAKLALYPCASLLAFASTCLLSRFSVGIFHLMQIAVPCAFLLLRLLVGLALATLRVLRGLARPEHPPPAPTGQDDPQSQLLPAPC
+>DECOY_sp|Q9BSA9|TM175_HUMAN Endosomal/lysosomal potassium channel TMEM175 OS=Homo sapiens OX=9606 GN=TMEM175 PE=1 SV=1
+CPAPLLQSQPDDQGTPAPPPHEPRALGRLVRLTALALGVLLRLLLFACPVAIQMLHFIGVSFRSLLCTSAFALLSACPYLALKAFMLVHERGGFWVSPQLTEAQHLLATTWMALQFISALFIITCSVRVRELEDRPQRAFASTQQYALPLGGVFALSLTNLLGMARTAKRVHLFLSHHAFWLLGVTAFSGFYALFRPGTASLAAVLSGSFREKVDKPDPVNDECIDLILLTAVIAYVGDSFAEVREKSLPEHLDFSFVEVPHASPERHGLLRDRCWGTVKSVYPLLIVTVMLLYSLPVFFLSFIAAAFCLAPGQLVIGLVHRRYLARHASRQIQPSLLHPFHFAYGVILAQVVGIAIVCVCFLFIGLPVDPFTVMLSFTYPLFTITMMCALNLLALTDDTKGVVQFLRTHAAWAVTVILFTMLYVAIRTALLRQVSRDFQQEPSIETHTVPLIMVTAIISLLADSFSLMRQSCQIGEGADEDRRGPPCDGPTDLAQEPTRPQSM
+>sp|Q53S58|TM177_HUMAN Transmembrane protein 177 OS=Homo sapiens OX=9606 GN=TMEM177 PE=1 SV=1
+MAGPLWRTAAFVQRHRTGLLVGSCAGLFGVPISYHLFPDPVVQWLYQYWPQGQPAPLPPQLQSLFQEVLQDIGVPSGHCYKPFTTFTFQPVSAGFPRLPAGAVVGIPASFLGDLVINTNHPVVIHGHTVDWRSPAGARLRASLTLSREAQKFALAREVVYLESSTTAVHALLAPACLAGTWALGVGAKYTLGLHAGPMNLRAAFSLVAAVAGFVAYAFSQDSLTHAVESWLDRRTASLSAAYACGGVEFYEKLLSGNLALRSLLGKDGEKLYTPSGNIVPRHLFRIKHLPYTTRRDSVLQMWRGMLNPGRS
+>DECOY_sp|Q53S58|TM177_HUMAN Transmembrane protein 177 OS=Homo sapiens OX=9606 GN=TMEM177 PE=1 SV=1
+SRGPNLMGRWMQLVSDRRTTYPLHKIRFLHRPVINGSPTYLKEGDKGLLSRLALNGSLLKEYFEVGGCAYAASLSATRRDLWSEVAHTLSDQSFAYAVFGAVAAVLSFAARLNMPGAHLGLTYKAGVGLAWTGALCAPALLAHVATTSSELYVVERALAFKQAERSLTLSARLRAGAPSRWDVTHGHIVVPHNTNIVLDGLFSAPIGVVAGAPLRPFGASVPQFTFTTFPKYCHGSPVGIDQLVEQFLSQLQPPLPAPQGQPWYQYLWQVVPDPFLHYSIPVGFLGACSGVLLGTRHRQVFAATRWLPGAM
+>sp|Q969S6|TM203_HUMAN Transmembrane protein 203 OS=Homo sapiens OX=9606 GN=TMEM203 PE=1 SV=1
+MLFSLRELVQWLGFATFEIFVHLLALLVFSVLLALRVDGLVPGLSWWNVFVPFFAADGLSTYFTTIVSVRLFQDGEKRLAVLRLFWVLTVLSLKFVFEMLLCQKLAEQTRELWFGLITSPLFILLQLLMIRACRVN
+>DECOY_sp|Q969S6|TM203_HUMAN Transmembrane protein 203 OS=Homo sapiens OX=9606 GN=TMEM203 PE=1 SV=1
+NVRCARIMLLQLLIFLPSTILGFWLERTQEALKQCLLMEFVFKLSLVTLVWFLRLVALRKEGDQFLRVSVITTFYTSLGDAAFFPVFVNWWSLGPVLGDVRLALLVSFVLLALLHVFIEFTAFGLWQVLERLSFLM
+>sp|Q5W0B7|TM236_HUMAN Transmembrane protein 236 OS=Homo sapiens OX=9606 GN=TMEM236 PE=2 SV=1
+MASGRLIKFVVFELLEFAAFSIPTLVITEQFATAYQGTRARSDNTHYWLIISCSIAYVALVTLLIWVPVKVILHKKRYIYRKIKGWRPVLMMCVVLTTLPCLTFSIAVTEVQKSINGSADVLPDMLPDLPVSLVLLSLIMVDIIEKLRIYPLRGSQKSSENGHIHSTSLQHIKTVTEQVRQSPENAASPQATNSTQVSQPSGAMTRSQESVFMGPQEPSCDSGILRMMSRRDVRAELFLWSFLLWSDTIEMVRVAGHPNVYKSSWLYPVYIFSFISLLRITFTPQNPLLNSLSVLLQDLPFVFVRLGLIIALGTITPVLGLCKNILVTLSYIYFNYLTRIRIFSAFEMSPF
+>DECOY_sp|Q5W0B7|TM236_HUMAN Transmembrane protein 236 OS=Homo sapiens OX=9606 GN=TMEM236 PE=2 SV=1
+FPSMEFASFIRIRTLYNFYIYSLTVLINKCLGLVPTITGLAIILGLRVFVFPLDQLLVSLSNLLPNQPTFTIRLLSIFSFIYVPYLWSSKYVNPHGAVRVMEITDSWLLFSWLFLEARVDRRSMMRLIGSDCSPEQPGMFVSEQSRTMAGSPQSVQTSNTAQPSAANEPSQRVQETVTKIHQLSTSHIHGNESSKQSGRLPYIRLKEIIDVMILSLLVLSVPLDPLMDPLVDASGNISKQVETVAISFTLCPLTTLVVCMMLVPRWGKIKRYIYRKKHLIVKVPVWILLTVLAVYAISCSIILWYHTNDSRARTGQYATAFQETIVLTPISFAAFELLEFVVFKILRGSAM
+>sp|C9JI98|TM238_HUMAN Transmembrane protein 238 OS=Homo sapiens OX=9606 GN=TMEM238 PE=1 SV=1
+MAAAPAVCASQGSPPGAPSAPAAAPAPAAGLGRCRMALLLAVALDVAGMAALLTGVFAQLQVRGRDFGDLLIYSGALLVFLSLLGWILWYTGNIEISRQELERDYGLRPSALARLARKLSRRWSAPAAAGQRPAPGSRRARRAARAPPPPAAGSRRVRLQLATLEAGPGAAGAGSE
+>DECOY_sp|C9JI98|TM238_HUMAN Transmembrane protein 238 OS=Homo sapiens OX=9606 GN=TMEM238 PE=1 SV=1
+ESGAGAAGPGAELTALQLRVRRSGAAPPPPARAARRARRSGPAPRQGAAAPASWRRSLKRALRALASPRLGYDRELEQRSIEINGTYWLIWGLLSLFVLLAGSYILLDGFDRGRVQLQAFVGTLLAAMGAVDLAVALLLAMRCRGLGAAPAPAAAPASPAGPPSGQSACVAPAAAM
+>sp|Q5SV17|TM240_HUMAN Transmembrane protein 240 OS=Homo sapiens OX=9606 GN=TMEM240 PE=1 SV=2
+MSMSANTMIFMILGASVVMAIACLMDMNALLDRFHNYILPHLRGEDRVCHCNCGRHHIHYVIPYDGDQSVVDASENYFVTDSVTKQEIDLMLGLLLGFCISWFLVWMDGVLHCAVRAWRAGRRYDGSWTWLPKLCSLRELGRRPHRPFEEAAGNMVHVKQKLYHNGHPSPRHL
+>DECOY_sp|Q5SV17|TM240_HUMAN Transmembrane protein 240 OS=Homo sapiens OX=9606 GN=TMEM240 PE=1 SV=2
+LHRPSPHGNHYLKQKVHVMNGAAEEFPRHPRRGLERLSCLKPLWTWSGDYRRGARWARVACHLVGDMWVLFWSICFGLLLGLMLDIEQKTVSDTVFYNESADVVSQDGDYPIVYHIHHRGCNCHCVRDEGRLHPLIYNHFRDLLANMDMLCAIAMVVSAGLIMFIMTNASMSM
+>sp|Q9NWH2|TM242_HUMAN Transmembrane protein 242 OS=Homo sapiens OX=9606 GN=TMEM242 PE=1 SV=1
+METAGAATGQPASGLEAPGSTNDRLFLVKGGIFLGTVAAAGMLAGFITTLSLAKKKSPEWFNKGSMATAALPESGSSLALRALGWGSLYAWCGVGVISFAVWKALGVHSMNDFRSKMQSIFPTIPKNSESAVEWEETLKSK
+>DECOY_sp|Q9NWH2|TM242_HUMAN Transmembrane protein 242 OS=Homo sapiens OX=9606 GN=TMEM242 PE=1 SV=1
+KSKLTEEWEVASESNKPITPFISQMKSRFDNMSHVGLAKWVAFSIVGVGCWAYLSGWGLARLALSSGSEPLAATAMSGKNFWEPSKKKALSLTTIFGALMGAAAVTGLFIGGKVLFLRDNTSGPAELGSAPQGTAAGATEM
+>sp|Q9BRR3|TM246_HUMAN Transmembrane protein 246 OS=Homo sapiens OX=9606 GN=TMEM246 PE=1 SV=1
+MSTSTSPAAMLLRRLRRLSWGSTAVQLFILTVVTFGLLAPLACHRLLHSYFYLRHWHLNQMSQEFLQQSLKEGEAALHYFEELPSANGSVPIVWQATPRPWLVITIITVDRQPGFHYVLQVVSQFHRLLQQCGPQCEGHQLFLCNVERSVSHFDAKLLSKYVPVANRYEGTEDDYGDDPSTNSFEKEKQDYVYCLESSLQTYNPDYVLMVEDDAVPEEQIFPVLEHLLRARFSEPHLRDALYLKLYHPERLQHYINPEPMRILEWVGVGMLLGPLLTWIYMRFASRPGFSWPVMLFFSLYSMGLVELVGRHYFLELRRLSPSLYSVVPASQCCTPAMLFPAPAARRTLTYLSQVYCHKGFGKDMALYSLLRAKGERAYVVEPNLVKHIGLFSSLRYNFHPSLL
+>DECOY_sp|Q9BRR3|TM246_HUMAN Transmembrane protein 246 OS=Homo sapiens OX=9606 GN=TMEM246 PE=1 SV=1
+LLSPHFNYRLSSFLGIHKVLNPEVVYAREGKARLLSYLAMDKGFGKHCYVQSLYTLTRRAAPAPFLMAPTCCQSAPVVSYLSPSLRRLELFYHRGVLEVLGMSYLSFFLMVPWSFGPRSAFRMYIWTLLPGLLMGVGVWELIRMPEPNIYHQLREPHYLKLYLADRLHPESFRARLLHELVPFIQEEPVADDEVMLVYDPNYTQLSSELCYVYDQKEKEFSNTSPDDGYDDETGEYRNAVPVYKSLLKADFHSVSREVNCLFLQHGECQPGCQQLLRHFQSVVQLVYHFGPQRDVTIITIVLWPRPTAQWVIPVSGNASPLEEFYHLAAEGEKLSQQLFEQSMQNLHWHRLYFYSHLLRHCALPALLGFTVVTLIFLQVATSGWSLRRLRRLLMAAPSTSTSM
+>sp|H0YL14|TM250_HUMAN Transmembrane protein 250 OS=Homo sapiens OX=9606 GN=TMEM250 PE=1 SV=2
+MPVMPIPRRVRSFHGPHTTCLHAACGPVRASHLARTKYNNFDVYIKTRWLYGFIRFLLYFSCSLFTAALWGALAALFCLQYLGVRVLLRFQRKLSVLLLLLGRRRVDFRLVNELLVYGIHVTMLLVGGLGWCFMVFVDM
+>DECOY_sp|H0YL14|TM250_HUMAN Transmembrane protein 250 OS=Homo sapiens OX=9606 GN=TMEM250 PE=1 SV=2
+MDVFVMFCWGLGGVLLMTVHIGYVLLENVLRFDVRRRGLLLLLVSLKRQFRLLVRVGLYQLCFLAALAGWLAATFLSCSFYLLFRIFGYLWRTKIYVDFNNYKTRALHSARVPGCAAHLCTTHPGHFSRVRRPIPMVPM
+>sp|P0C7T8|TM253_HUMAN Transmembrane protein 253 OS=Homo sapiens OX=9606 GN=TMEM253 PE=4 SV=1
+MEDRAGEQEQERHSLRLEKLQHWARHRQSGHLLVLAVSQLWLAVVVVPLAVSVACLNSDCHMATALPLGPGASGLLTGTVTLELRRAPRLWKVRAMMIFNTFNLILGFIVVVVEVMKTALGPAPTASSQHAGLLVLELSAEAFTLGGVLVSVHALFLLSQRKPGCCRSQSLHYQELQEGFSELEEVPGLENGPTVASTGANERVGQREQTRAALLPP
+>DECOY_sp|P0C7T8|TM253_HUMAN Transmembrane protein 253 OS=Homo sapiens OX=9606 GN=TMEM253 PE=4 SV=1
+PPLLAARTQERQGVRENAGTSAVTPGNELGPVEELESFGEQLEQYHLSQSRCCGPKRQSLLFLAHVSVLVGGLTFAEASLELVLLGAHQSSATPAPGLATKMVEVVVVIFGLILNFTNFIMMARVKWLRPARRLELTVTGTLLGSAGPGLPLATAMHCDSNLCAVSVALPVVVVALWLQSVALVLLHGSQRHRAWHQLKELRLSHREQEQEGARDEM
+>sp|Q5VZI3|TM268_HUMAN Transmembrane protein 268 OS=Homo sapiens OX=9606 GN=TMEM268 PE=2 SV=1
+MACEPQVDPGATGPLPPSSPGWSALPGGSPPGWGQELHNGQVLTVLRIDNTCAPISFDLGAAEEQLQTWGIQVPADQYRSLAESALLEPQVRRYIIYNSRPMRLAFAVVFYVVVWANIYSTSQMFALGNHWAGMLLVTLAAVSLTLTLVLVFERHQKKANTNTDLRLAAANGALLRHRVLLGVTDTVEGCQSVIQLWFVYFDLENCVQFLSDHVQEMKTSQESLLRSRLSQLCVVMETGVSPATAEGPENLEDAPLLPGNSCPNERPLMQTELHQLVPEAEPEEMARQLLAVFGGYYIRLLVTSQLPQAMGTRHTNSPRIPCPCQLIEAYILGTGCCPFLAR
+>DECOY_sp|Q5VZI3|TM268_HUMAN Transmembrane protein 268 OS=Homo sapiens OX=9606 GN=TMEM268 PE=2 SV=1
+RALFPCCGTGLIYAEILQCPCPIRPSNTHRTGMAQPLQSTVLLRIYYGGFVALLQRAMEEPEAEPVLQHLETQMLPRENPCSNGPLLPADELNEPGEATAPSVGTEMVVCLQSLRSRLLSEQSTKMEQVHDSLFQVCNELDFYVFWLQIVSQCGEVTDTVGLLVRHRLLAGNAAALRLDTNTNAKKQHREFVLVLTLTLSVAALTVLLMGAWHNGLAFMQSTSYINAWVVVYFVVAFALRMPRSNYIIYRRVQPELLASEALSRYQDAPVQIGWTQLQEEAAGLDFSIPACTNDIRLVTLVQGNHLEQGWGPPSGGPLASWGPSSPPLPGTAGPDVQPECAM
+>sp|Q9BX73|TM2D2_HUMAN TM2 domain-containing protein 2 OS=Homo sapiens OX=9606 GN=TM2D2 PE=2 SV=1
+MVLGGCPVSYLLLCGQAALLLGNLLLLHCVSRSHSQNATAEPELTSAGAAQPEGPGGAASWEYGDPHSPVILCSYLPDEFIECEDPVDHVGNATASQELGYGCLKFGGQAYSDVEHTSVQCHALDGIECASPRTFLRENKPCIKYTGHYFITTLLYSFFLGCFGVDRFCLGHTGTAVGKLLTLGGLGIWWFVDLILLITGGLMPSDGSNWCTVY
+>DECOY_sp|Q9BX73|TM2D2_HUMAN TM2 domain-containing protein 2 OS=Homo sapiens OX=9606 GN=TM2D2 PE=2 SV=1
+YVTCWNSGDSPMLGGTILLILDVFWWIGLGGLTLLKGVATGTHGLCFRDVGFCGLFFSYLLTTIFYHGTYKICPKNERLFTRPSACEIGDLAHCQVSTHEVDSYAQGGFKLCGYGLEQSATANGVHDVPDECEIFEDPLYSCLIVPSHPDGYEWSAAGGPGEPQAAGASTLEPEATANQSHSRSVCHLLLLNGLLLAAQGCLLLYSVPCGGLVM
+>sp|Q53FP2|TM35A_HUMAN Transmembrane protein 35A OS=Homo sapiens OX=9606 GN=TMEM35A PE=2 SV=2
+MASPRTVTIVALSVALGLFFVFMGTIKLTPRLSKDAYSEMKRAYKSYVRALPLLKKMGINSILLRKSIGALEVACGIVMTLVPGRPKDVANFFLLLLVLAVLFFHQLVGDPLKRYAHALVFGILLTCRLLIARKPEDRSSEKKPLPGNAEEQPSLYEKAPQGKVKVS
+>DECOY_sp|Q53FP2|TM35A_HUMAN Transmembrane protein 35A OS=Homo sapiens OX=9606 GN=TMEM35A PE=2 SV=2
+SVKVKGQPAKEYLSPQEEANGPLPKKESSRDEPKRAILLRCTLLIGFVLAHAYRKLPDGVLQHFFLVALVLLLLFFNAVDKPRGPVLTMVIGCAVELAGISKRLLISNIGMKKLLPLARVYSKYARKMESYADKSLRPTLKITGMFVFFLGLAVSLAVITVTRPSAM
+>sp|Q969M1|TM40L_HUMAN Mitochondrial import receptor subunit TOM40B OS=Homo sapiens OX=9606 GN=TOMM40L PE=1 SV=1
+MGNTLGLAPMGTLPRRSPRREEPLPNPGSFDELHRLCKDVFPAQMEGVKLVVNKVLSSHFQVAHTIHMSALGLPGYHLHAAYAGDWQLSPTEVFPTVVGDMDSSGSLNAQVLLLLAERLRAKAVFQTQQAKFLTWQFDGEYRGDDYTATLTLGNPDLIGESVIMVAHFLQSLTHRLVLGGELVYHRRPGEEGAILTLAGKYSAVHWVATLNVGSGGAHASYYHRANEQVQVGVEFEANTRLQDTTFSFGYHLTLPQANMVFRGLVDSNWCVGAVLEKKMPPLPVTLALGAFLNHWRNRFHCGFSITVG
+>DECOY_sp|Q969M1|TM40L_HUMAN Mitochondrial import receptor subunit TOM40B OS=Homo sapiens OX=9606 GN=TOMM40L PE=1 SV=1
+GVTISFGCHFRNRWHNLFAGLALTVPLPPMKKELVAGVCWNSDVLGRFVMNAQPLTLHYGFSFTTDQLRTNAEFEVGVQVQENARHYYSAHAGGSGVNLTAVWHVASYKGALTLIAGEEGPRRHYVLEGGLVLRHTLSQLFHAVMIVSEGILDPNGLTLTATYDDGRYEGDFQWTLFKAQQTQFVAKARLREALLLLVQANLSGSSDMDGVVTPFVETPSLQWDGAYAAHLHYGPLGLASMHITHAVQFHSSLVKNVVLKVGEMQAPFVDKCLRHLEDFSGPNPLPEERRPSRRPLTGMPALGLTNGM
+>sp|Q96B21|TM45B_HUMAN Transmembrane protein 45B OS=Homo sapiens OX=9606 GN=TMEM45B PE=1 SV=1
+MANFKGHALPGSFFLIIGLCWSVKYPLKYFSHTRKNSPLHYYQRLEIVEAAIRTLFSVTGILAEQFVPDGPHLHLYHENHWIKLMNWQHSTMYLFFAVSGIVDMLTYLVSHVPLGVDRLVMAVAVFMEGFLFYYHVHNRPPLDQHIHSLLLYALFGGCVSISLEVIFRDHIVLELFRTSLIILQGTWFWQIGFVLFPPFGTPEWDQKDDANLMFITMCFCWHYLAALSIVAVNYSLVYCLLTRMKRHGRGEIIGIQKLNSDDTYQTALLSGSDEE
+>DECOY_sp|Q96B21|TM45B_HUMAN Transmembrane protein 45B OS=Homo sapiens OX=9606 GN=TMEM45B PE=1 SV=1
+EEDSGSLLATQYTDDSNLKQIGIIEGRGHRKMRTLLCYVLSYNVAVISLAALYHWCFCMTIFMLNADDKQDWEPTGFPPFLVFGIQWFWTGQLIILSTRFLELVIHDRFIVELSISVCGGFLAYLLLSHIHQDLPPRNHVHYYFLFGEMFVAVAMVLRDVGLPVHSVLYTLMDVIGSVAFFLYMTSHQWNMLKIWHNEHYLHLHPGDPVFQEALIGTVSFLTRIAAEVIELRQYYHLPSNKRTHSFYKLPYKVSWCLGIILFFSGPLAHGKFNAM
+>sp|O95807|TM50A_HUMAN Transmembrane protein 50A OS=Homo sapiens OX=9606 GN=TMEM50A PE=1 SV=1
+MSGFLEGLRCSECIDWGEKRNTIASIAAGVLFFTGWWIIIDAAVIYPTMKDFNHSYHACGVIATIAFLMINAVSNGQVRGDSYSEGCLGQTGARIWLFVGFMLAFGSLIASMWILFGGYVAKEKDIVYPGIAVFFQNAFIFFGGLVFKFGRTEDLWQ
+>DECOY_sp|O95807|TM50A_HUMAN Transmembrane protein 50A OS=Homo sapiens OX=9606 GN=TMEM50A PE=1 SV=1
+QWLDETRGFKFVLGGFFIFANQFFVAIGPYVIDKEKAVYGGFLIWMSAILSGFALMFGVFLWIRAGTQGLCGESYSDGRVQGNSVANIMLFAITAIVGCAHYSHNFDKMTPYIVAADIIIWWGTFFLVGAAISAITNRKEGWDICESCRLGELFGSM
+>sp|Q9UK28|TM59L_HUMAN Transmembrane protein 59-like OS=Homo sapiens OX=9606 GN=TMEM59L PE=2 SV=1
+MAAVALMPPPLLLLLLLASPPAASAPSARDPFAPQLGDTQNCQLRCRDRDLGPQPSQAGLEGASESPYDRAVLISACERGCRLFSICRFVARSSKPNATQTECEAACVEAYVKEAEQQACSHGCWSQPAEPEPEQKRKVLEAPSGALSLLDLFSTLCNDLVNSAQGFVSSTWTYYLQTDNGKVVVFQTQPIVESLGFQGGRLQRVEVTWRGSHPEALEVHVDPVGPLDKVRKAKIRVKTSSKAKVESEEPQDNDFLSCMSRRSGLPRWILACCLFLSVLVMLWLSCSTLVTAPGQHLKFQPLTLEQHKGFMMEPDWPLYPPPSHACEDSLPPYKLKLDLTKL
+>DECOY_sp|Q9UK28|TM59L_HUMAN Transmembrane protein 59-like OS=Homo sapiens OX=9606 GN=TMEM59L PE=2 SV=1
+LKTLDLKLKYPPLSDECAHSPPPYLPWDPEMMFGKHQELTLPQFKLHQGPATVLTSCSLWLMVLVSLFLCCALIWRPLGSRRSMCSLFDNDQPEESEVKAKSSTKVRIKAKRVKDLPGVPDVHVELAEPHSGRWTVEVRQLRGGQFGLSEVIPQTQFVVVKGNDTQLYYTWTSSVFGQASNVLDNCLTSFLDLLSLAGSPAELVKRKQEPEPEAPQSWCGHSCAQQEAEKVYAEVCAAECETQTANPKSSRAVFRCISFLRCGRECASILVARDYPSESAGELGAQSPQPGLDRDRCRLQCNQTDGLQPAFPDRASPASAAPPSALLLLLLLPPPMLAVAAM
+>sp|Q9NS93|TM7S3_HUMAN Transmembrane 7 superfamily member 3 OS=Homo sapiens OX=9606 GN=TM7SF3 PE=2 SV=1
+MGFLQLLVVAVLASEHRVAGAAEVFGNSSEGLIEFSVGKFRYFELNRPFPEEAILHDISSNVTFLIFQIHSQYQNTTVSFSPTLLSNSSETGTASGLVFILRPEQSTCTWYLGTSGIQPVQNMAILLSYSERDPVPGGCNLEFDLDIDPNIYLEYNFFETTIKFAPANLGYARGVDPPPCDAGTDQDSRWRLQYDVYQYFLPENDLTEEMLLKHLQRMVSVPQVKASALKVVTLTANDKTSVSFSSLPGQGVIYNVIVWDPFLNTSAAYIPAHTYACSFEAGEGSCASLGRVSSKVFFTLFALLGFFICFFGHRFWKTELFFIGFIIMGFFFYILITRLTPIKYDVNLILTAVTGSVGGMFLVAVWWRFGILSICMLCVGLVLGFLISSVTFFTPLGNLKIFHDDGVFWVTFSCIAILIPVVFMGCLRILNILTCGVIGSYSVVLAIDSYWSTSLSYITLNVLKRALNKDFHRAFTNVPFQTNDFIILAVWGMLAVSGITLQIRRERGRPFFPPHPYKLWKQERERRVTNILDPSYHIPPLRERLYGRLTQIKGLFQKEQPAGERTPLLL
+>DECOY_sp|Q9NS93|TM7S3_HUMAN Transmembrane 7 superfamily member 3 OS=Homo sapiens OX=9606 GN=TM7SF3 PE=2 SV=1
+LLLPTREGAPQEKQFLGKIQTLRGYLRERLPPIHYSPDLINTVRREREQKWLKYPHPPFFPRGRERRIQLTIGSVALMGWVALIIFDNTQFPVNTFARHFDKNLARKLVNLTIYSLSTSWYSDIALVVSYSGIVGCTLINLIRLCGMFVVPILIAICSFTVWFVGDDHFIKLNGLPTFFTVSSILFGLVLGVCLMCISLIGFRWWVAVLFMGGVSGTVATLILNVDYKIPTLRTILIYFFFGMIIFGIFFLETKWFRHGFFCIFFGLLAFLTFFVKSSVRGLSACSGEGAEFSCAYTHAPIYAASTNLFPDWVIVNYIVGQGPLSSFSVSTKDNATLTVVKLASAKVQPVSVMRQLHKLLMEETLDNEPLFYQYVDYQLRWRSDQDTGADCPPPDVGRAYGLNAPAFKITTEFFNYELYINPDIDLDFELNCGGPVPDRESYSLLIAMNQVPQIGSTGLYWTCTSQEPRLIFVLGSATGTESSNSLLTPSFSVTTNQYQSHIQFILFTVNSSIDHLIAEEPFPRNLEFYRFKGVSFEILGESSNGFVEAAGAVRHESALVAVVLLQLFGM
+>sp|Q8N661|TM86B_HUMAN Lysoplasmalogenase OS=Homo sapiens OX=9606 GN=TMEM86B PE=1 SV=2
+MDAGKAGQTLKTHCSAQRPDVCRWLSPFILSCCVYFCLWIPEDQLSWFAALVKCLPVLCLAGFLWVMSPSGGYTQLLQGALVCSAVGDACLIWPAAFVPGMAAFATAHLLYVWAFGFSPLQPGLLLLIILAPGPYLSLVLQHLEPDMVLPVAAYGLILMAMLWRGLAQGGSAGWGALLFTLSDGVLAWDTFAQPLPHAHLVIMTTYYAAQLLITLSALRSPVPKTD
+>DECOY_sp|Q8N661|TM86B_HUMAN Lysoplasmalogenase OS=Homo sapiens OX=9606 GN=TMEM86B PE=1 SV=2
+DTKPVPSRLASLTILLQAAYYTTMIVLHAHPLPQAFTDWALVGDSLTFLLAGWGASGGQALGRWLMAMLILGYAAVPLVMDPELHQLVLSLYPGPALIILLLLGPQLPSFGFAWVYLLHATAFAAMGPVFAAPWILCADGVASCVLAGQLLQTYGGSPSMVWLFGALCLVPLCKVLAAFWSLQDEPIWLCFYVCCSLIFPSLWRCVDPRQASCHTKLTQGAKGADM
+>sp|Q8NBN3|TM87A_HUMAN Transmembrane protein 87A OS=Homo sapiens OX=9606 GN=TMEM87A PE=1 SV=3
+MAAAAWLQVLPVILLLLGAHPSPLSFFSAGPATVAAADRSKWHIPIPSGKNYFSFGKILFRNTTIFLKFDGEPCDLSLNITWYLKSADCYNEIYNFKAEEVELYLEKLKEKRGLSGKYQTSSKLFQNCSELFKTQTFSGDFMHRLPLLGEKQEAKENGTNLTFIGDKTAMHEPLQTWQDAPYIFIVHIGISSSKESSKENSLSNLFTMTVEVKGPYEYLTLEDYPLMIFFMVMCIVYVLFGVLWLAWSACYWRDLLRIQFWIGAVIFLGMLEKAVFYAEFQNIRYKGESVQGALILAELLSAVKRSLARTLVIIVSLGYGIVKPRLGVTLHKVVVAGALYLLFSGMEGVLRVTGAQTDLASLAFIPLAFLDTALCWWIFISLTQTMKLLKLRRNIVKLSLYRHFTNTLILAVAASIVFIIWTTMKFRIVTCQSDWRELWVDDAIWRLLFSMILFVIMVLWRPSANNQRFAFSPLSEEEEEDEQKEPMLKESFEGMKMRSTKQEPNGNSKVNKAQEDDLKWVEENVPSSVTDVALPALLDSDEERMITHFERSKME
+>DECOY_sp|Q8NBN3|TM87A_HUMAN Transmembrane protein 87A OS=Homo sapiens OX=9606 GN=TMEM87A PE=1 SV=3
+EMKSREFHTIMREEDSDLLAPLAVDTVSSPVNEEVWKLDDEQAKNVKSNGNPEQKTSRMKMGEFSEKLMPEKQEDEEEEESLPSFAFRQNNASPRWLVMIVFLIMSFLLRWIADDVWLERWDSQCTVIRFKMTTWIIFVISAAVALILTNTFHRYLSLKVINRRLKLLKMTQTLSIFIWWCLATDLFALPIFALSALDTQAGTVRLVGEMGSFLLYLAGAVVVKHLTVGLRPKVIGYGLSVIIVLTRALSRKVASLLEALILAGQVSEGKYRINQFEAYFVAKELMGLFIVAGIWFQIRLLDRWYCASWALWLVGFLVYVICMVMFFIMLPYDELTLYEYPGKVEVTMTFLNSLSNEKSSEKSSSIGIHVIFIYPADQWTQLPEHMATKDGIFTLNTGNEKAEQKEGLLPLRHMFDGSFTQTKFLESCNQFLKSSTQYKGSLGRKEKLKELYLEVEEAKFNYIENYCDASKLYWTINLSLDCPEGDFKLFITTNRFLIKGFSFYNKGSPIPIHWKSRDAAAVTAPGASFFSLPSPHAGLLLLIVPLVQLWAAAAM
+>sp|Q96EY4|TMA16_HUMAN Translation machinery-associated protein 16 OS=Homo sapiens OX=9606 GN=TMA16 PE=1 SV=2
+MPKAPKGKSAGREKKVIHPYSRKAAQITREAHKQEKKEKLKNEKALRLNLVGEKLQWFQNHLDPQKKRYSKKDACELIERYLNRFSSELEQIELHNSIRDRQGRRHCSRETVIKQTMERERQQFEGYGLEIPDILNASNLKTFREWDFDLKKLPNIKMRKICANDAIPKTCKRKTIITVDQDLGELELNDESSDSDEEMTAVA
+>DECOY_sp|Q96EY4|TMA16_HUMAN Translation machinery-associated protein 16 OS=Homo sapiens OX=9606 GN=TMA16 PE=1 SV=2
+AVATMEEDSDSSEDNLELEGLDQDVTIITKRKCTKPIADNACIKRMKINPLKKLDFDWERFTKLNSANLIDPIELGYGEFQQREREMTQKIVTERSCHRRGQRDRISNHLEIQELESSFRNLYREILECADKKSYRKKQPDLHNQFWQLKEGVLNLRLAKENKLKEKKEQKHAERTIQAAKRSYPHIVKKERGASKGKPAKPM
+>sp|Q7Z6W1|TMCO2_HUMAN Transmembrane and coiled-coil domain-containing protein 2 OS=Homo sapiens OX=9606 GN=TMCO2 PE=1 SV=1
+MSTSSSSSWDNLLESLSLSTVWNWIQASFLGETSAPQQTSLGLLDNLAPAVQIILRISFLILLGIGIYALWKRSIQSIQKTLLFVITLYKLYKKGSHIFEALLANPEGSGLRIQDNNNLFLSLGLQEKILKKLKTVENKMKNLEGIIVAQKPATKRDCSSEPYCSCSDCQSPLSTSGFTSPI
+>DECOY_sp|Q7Z6W1|TMCO2_HUMAN Transmembrane and coiled-coil domain-containing protein 2 OS=Homo sapiens OX=9606 GN=TMCO2 PE=1 SV=1
+IPSTFGSTSLPSQCDSCSCYPESSCDRKTAPKQAVIIGELNKMKNEVTKLKKLIKEQLGLSLFLNNNDQIRLGSGEPNALLAEFIHSGKKYLKYLTIVFLLTKQISQISRKWLAYIGIGLLILFSIRLIIQVAPALNDLLGLSTQQPASTEGLFSAQIWNWVTSLSLSELLNDWSSSSSTSM
+>sp|P17152|TMM11_HUMAN Transmembrane protein 11, mitochondrial OS=Homo sapiens OX=9606 GN=TMEM11 PE=1 SV=1
+MAAWGRRRLGPGSSGGSARERVSLSATDCYIVHEIYNGENAQDQFEYELEQALEAQYKYIVIEPTRIGDETARWITVGNCLHKTAVLAGTACLFTPLALPLDYSHYISLPAGVLSLACCTLYGISWQFDPCCKYQVEYDAYKLSRLPLHTLTSSTPVVLVRKDDLHRKRLHNTIALAALVYCVKKIYELYAV
+>DECOY_sp|P17152|TMM11_HUMAN Transmembrane protein 11, mitochondrial OS=Homo sapiens OX=9606 GN=TMEM11 PE=1 SV=1
+VAYLEYIKKVCYVLAALAITNHLRKRHLDDKRVLVVPTSSTLTHLPLRSLKYADYEVQYKCCPDFQWSIGYLTCCALSLVGAPLSIYHSYDLPLALPTFLCATGALVATKHLCNGVTIWRATEDGIRTPEIVIYKYQAELAQELEYEFQDQANEGNYIEHVIYCDTASLSVRERASGGSSGPGLRRRGWAAM
+>sp|Q86YD3|TMM25_HUMAN Transmembrane protein 25 OS=Homo sapiens OX=9606 GN=TMEM25 PE=1 SV=1
+MALPPGPAALRHTLLLLPALLSSGWGELEPQIDGQTWAERALRENERHAFTCRVAGGPGTPRLAWYLDGQLQEASTSRLLSVGGEAFSGGTSTFTVTAHRAQHELNCSLQDPRSGRSANASVILNVQFKPEIAQVGAKYQEAQGPGLLVVLFALVRANPPANVTWIDQDGPVTVNTSDFLVLDAQNYPWLTNHTVQLQLRSLAHNLSVVATNDVGVTSASLPAPGLLATRVEVPLLGIVVAAGLALGTLVGFSTLVACLVCRKEKKTKGPSRHPSLISSDSNNLKLNNVRLPRENMSLPSNLQLNDLTPDSRAVKPADRQMAQNNSRPELLDPEPGGLLTSQGFIRLPVLGYIYRVSSVSSDEIWL
+>DECOY_sp|Q86YD3|TMM25_HUMAN Transmembrane protein 25 OS=Homo sapiens OX=9606 GN=TMEM25 PE=1 SV=1
+LWIEDSSVSSVRYIYGLVPLRIFGQSTLLGGPEPDLLEPRSNNQAMQRDAPKVARSDPTLDNLQLNSPLSMNERPLRVNNLKLNNSDSSILSPHRSPGKTKKEKRCVLCAVLTSFGVLTGLALGAAVVIGLLPVEVRTALLGPAPLSASTVGVDNTAVVSLNHALSRLQLQVTHNTLWPYNQADLVLFDSTNVTVPGDQDIWTVNAPPNARVLAFLVVLLGPGQAEQYKAGVQAIEPKFQVNLIVSANASRGSRPDQLSCNLEHQARHATVTFTSTGGSFAEGGVSLLRSTSAEQLQGDLYWALRPTGPGGAVRCTFAHRENERLAREAWTQGDIQPELEGWGSSLLAPLLLLTHRLAAPGPPLAM
+>sp|Q2T9K0|TMM44_HUMAN Transmembrane protein 44 OS=Homo sapiens OX=9606 GN=TMEM44 PE=1 SV=3
+MGEAPSPAPALWDWDYLDRCFARHRVCISFGLWICASSCWIAAHALLLYLRCAQKPRQDQSALCAACCLLTSLCDTVGALLARQLTIQVFTGAYLAAIDLVNFMFILFPVCGSKFKSNSDREARERKRRRQLRASVFALALPLSLGPCWALWVAVPKASATIRGPQRRLLASLLQENTEILGYLLGSVAAFGSWASRIPPLSRIAPPPTLGITTQHEIWRGQMSKPSQSPSRSPSGHWRAAAQRQVLGTEMCRGKTFPSIHLWTRLLSALAGLLYASAIVAHDQHPEYLLRATPWFLTSLGRAALDLAIIFLSCVMKSKMRQALGFAKEARESPDTQALLTCAEKEEENQENLDWVPLTTLSHCKSLRTMTAISRYMELTIEPVQQAGCSATRLPGDGQTSAGDASLQDPPSYPPVQVIRARVSSGSSSEVSSINSDLEWDPEDVNLEGSKENVELLGSQVHQDSVRTAHLSDDD
+>DECOY_sp|Q2T9K0|TMM44_HUMAN Transmembrane protein 44 OS=Homo sapiens OX=9606 GN=TMEM44 PE=1 SV=3
+DDDSLHATRVSDQHVQSGLLEVNEKSGELNVDEPDWELDSNISSVESSSGSSVRARIVQVPPYSPPDQLSADGASTQGDGPLRTASCGAQQVPEITLEMYRSIATMTRLSKCHSLTTLPVWDLNEQNEEEKEACTLLAQTDPSERAEKAFGLAQRMKSKMVCSLFIIALDLAARGLSTLFWPTARLLYEPHQDHAVIASAYLLGALASLLRTWLHISPFTKGRCMETGLVQRQAAARWHGSPSRSPSQSPKSMQGRWIEHQTTIGLTPPPAIRSLPPIRSAWSGFAAVSGLLYGLIETNEQLLSALLRRQPGRITASAKPVAVWLAWCPGLSLPLALAFVSARLQRRRKRERAERDSNSKFKSGCVPFLIFMFNVLDIAALYAGTFVQITLQRALLAGVTDCLSTLLCCAACLASQDQRPKQACRLYLLLAHAAIWCSSACIWLGFSICVRHRAFCRDLYDWDWLAPAPSPAEGM
+>sp|Q9BQJ4|TMM47_HUMAN Transmembrane protein 47 OS=Homo sapiens OX=9606 GN=TMEM47 PE=1 SV=1
+MASAGSGMEEVRVSVLTPLKLVGLVCIFLALCLDLGAVLSPAWVTADHQYYLSLWESCRKPASLDIWHCESTLSSDWQIATLALLLGGAAIILIAFLVGLISICVGSRRRFYRPVAVMLFAAVVLQVCSLVLYPIKFIETVSLKIYHEFNWGYGLAWGATIFSFGGAILYCLNPKNYEDYY
+>DECOY_sp|Q9BQJ4|TMM47_HUMAN Transmembrane protein 47 OS=Homo sapiens OX=9606 GN=TMEM47 PE=1 SV=1
+YYDEYNKPNLCYLIAGGFSFITAGWALGYGWNFEHYIKLSVTEIFKIPYLVLSCVQLVVAAFLMVAVPRYFRRRSGVCISILGVLFAILIIAAGGLLLALTAIQWDSSLTSECHWIDLSAPKRCSEWLSLYYQHDATVWAPSLVAGLDLCLALFICVLGVLKLPTLVSVRVEEMGSGASAM
+>sp|Q6P2H8|TMM53_HUMAN Transmembrane protein 53 OS=Homo sapiens OX=9606 GN=TMEM53 PE=2 SV=1
+MASAELDYTIEIPDQPCWSQKNSPSPGGKEAETRQPVVILLGWGGCKDKNLAKYSAIYHKRGCIVIRYTAPWHMVFFSESLGIPSLRVLAQKLLELLFDYEIEKEPLLFHVFSNGGVMLYRYVLELLQTRRFCRLRVVGTIFDSAPGDSNLVGALRALAAILERRAAMLRLLLLVAFALVVVLFHVLLAPITALFHTHFYDRLQDAGSRWPELYLYSRADEVVLARDIERMVEARLARRVLARSVDFVSSAHVSHLRDYPTYYTSLCVDFMRNCVRC
+>DECOY_sp|Q6P2H8|TMM53_HUMAN Transmembrane protein 53 OS=Homo sapiens OX=9606 GN=TMEM53 PE=2 SV=1
+CRVCNRMFDVCLSTYYTPYDRLHSVHASSVFDVSRALVRRALRAEVMREIDRALVVEDARSYLYLEPWRSGADQLRDYFHTHFLATIPALLVHFLVVVLAFAVLLLLRLMAARRELIAALARLAGVLNSDGPASDFITGVVRLRCFRRTQLLELVYRYLMVGGNSFVHFLLPEKEIEYDFLLELLKQALVRLSPIGLSESFFVMHWPATYRIVICGRKHYIASYKALNKDKCGGWGLLIVVPQRTEAEKGGPSPSNKQSWCPQDPIEITYDLEASAM
+>sp|Q96MV1|TMM56_HUMAN Transmembrane protein 56 OS=Homo sapiens OX=9606 GN=TMEM56 PE=1 SV=1
+MEINTKLLISVTCISFFTFQLLFYFVSYWFSAKVSPGFNSLSFKKKIEWNSRVVSTCHSLVVGIFGLYIFLFDEATKADPLWGGPSLANVNIAIASGYLISDLSIIILYWKVIGDKFFIMHHCASLYAYYLVLKNGVLAYIGNFRLLAELSSPFVNQRWFFEALKYPKFSKAIVINGILMTVVFFIVRIASMLPHYGFMYSVYGTEPYIRLGVLIQLSWVISCVVLDVMNVMWMIKISKGCIKVISHIRQEKAKNSLQNGKLD
+>DECOY_sp|Q96MV1|TMM56_HUMAN Transmembrane protein 56 OS=Homo sapiens OX=9606 GN=TMEM56 PE=1 SV=1
+DLKGNQLSNKAKEQRIHSIVKICGKSIKIMWMVNMVDLVVCSIVWSLQILVGLRIYPETGYVSYMFGYHPLMSAIRVIFFVVTMLIGNIVIAKSFKPYKLAEFFWRQNVFPSSLEALLRFNGIYALVGNKLVLYYAYLSACHHMIFFKDGIVKWYLIIISLDSILYGSAIAINVNALSPGGWLPDAKTAEDFLFIYLGFIGVVLSHCTSVVRSNWEIKKKFSLSNFGPSVKASFWYSVFYFLLQFTFFSICTVSILLKTNIEM
+>sp|A0PK05|TMM72_HUMAN Transmembrane protein 72 OS=Homo sapiens OX=9606 GN=TMEM72 PE=2 SV=1
+MQLQVFWTGLEYTCRLLGITTAAVLIGVGTETFLQGQFKSLAFYLLFTGAAVSICEGAYFVAQLLAICFQCQPGSLADRVREKAHWLGCFQKFLAYLLLSVACFLHPVLVWHVTIPGSMLIITGLAYFLLSKRKKRKAAPEVLASPEQYTDPSSSAVSTTGSGDTEQTYTFHGALKEGPSSLFIHMKSILKGTKKPSALQPPNTLMELSLEPADSLAKKKQVHFEDNLVRIVPSLAEGLDDGDSEPEETTSDTTPIIPPPQAPLFLSSLTATGLF
+>DECOY_sp|A0PK05|TMM72_HUMAN Transmembrane protein 72 OS=Homo sapiens OX=9606 GN=TMEM72 PE=2 SV=1
+FLGTATLSSLFLPAQPPPIIPTTDSTTEEPESDGDDLGEALSPVIRVLNDEFHVQKKKALSDAPELSLEMLTNPPQLASPKKTGKLISKMHIFLSSPGEKLAGHFTYTQETDGSGTTSVASSSPDTYQEPSALVEPAAKRKKRKSLLFYALGTIILMSGPITVHWVLVPHLFCAVSLLLYALFKQFCGLWHAKERVRDALSGPQCQFCIALLQAVFYAGECISVAAGTFLLYFALSKFQGQLFTETGVGILVAATTIGLLRCTYELGTWFVQLQM
+>sp|Q9BSE2|TMM79_HUMAN Transmembrane protein 79 OS=Homo sapiens OX=9606 GN=TMEM79 PE=1 SV=1
+MTEQETLALLEVKRSDSPEKSSPQALVPNGRQPEGEGGAESPGAESLRVGSSAGSPTAIEGAEDGLDSTVSEAATLPWGTGPQPSAPFPDPPGWRDIEPEPPESEPLTKLEELPEDDANLLPEKAARAFVPIDLQCIERQPQEDLIVRCEAGEGECRTFMPPRVTHPDPTERKWAEAVVRPPGCSCGGCGSCGDREWLRAVASVGAALILFPCLLYGAYAFLPFDVPRLPTMSSRLIYTLRCGVFATFPIVLGILVYGLSLLCFSALRPFGEPRREVEIHRRYVAQSVQLFILYFFNLAVLSTYLPQDTLKLLPLLTGLFAVSRLIYWLTFAVGRSFRGFGYGLTFLPLLSMLMWNLYYMFVVEPERMLTATESRLDYPDHARSASDYRPRPWG
+>DECOY_sp|Q9BSE2|TMM79_HUMAN Transmembrane protein 79 OS=Homo sapiens OX=9606 GN=TMEM79 PE=1 SV=1
+GWPRPRYDSASRAHDPYDLRSETATLMREPEVVFMYYLNWMLMSLLPLFTLGYGFGRFSRGVAFTLWYILRSVAFLGTLLPLLKLTDQPLYTSLVALNFFYLIFLQVSQAVYRRHIEVERRPEGFPRLASFCLLSLGYVLIGLVIPFTAFVGCRLTYILRSSMTPLRPVDFPLFAYAGYLLCPFLILAAGVSAVARLWERDGCSGCGGCSCGPPRVVAEAWKRETPDPHTVRPPMFTRCEGEGAECRVILDEQPQREICQLDIPVFARAAKEPLLNADDEPLEELKTLPESEPPEPEIDRWGPPDPFPASPQPGTGWPLTAAESVTSDLGDEAGEIATPSGASSGVRLSEAGPSEAGGEGEPQRGNPVLAQPSSKEPSDSRKVELLALTEQETM
+>sp|Q6P7N7|TMM81_HUMAN Transmembrane protein 81 OS=Homo sapiens OX=9606 GN=TMEM81 PE=2 SV=1
+MKVLATSFVLGSLGLAFYLPLVVTTPKTLAIPEKLQEAVGKVIINATTCTVTCGLGYKEETVCEVGPDGVRRKCQTRRLECLTNWICGMLHFTILIGKEFELSCLSSDILEFGQEAFRFTWRLARGVISTDDEVFKPFQANSHFVKFKYAQEYDSGTYRCDVQLVKNLRLVKRLYFGLRVLPPNLVNLNFHQSLTEDQKLIDEGLEVNLDSYSKPHHPKWKKKVASALGIGIAIGVVGGVLVRIVLCALRGGLQQ
+>DECOY_sp|Q6P7N7|TMM81_HUMAN Transmembrane protein 81 OS=Homo sapiens OX=9606 GN=TMEM81 PE=2 SV=1
+QQLGGRLACLVIRVLVGGVVGIAIGIGLASAVKKKWKPHHPKSYSDLNVELGEDILKQDETLSQHFNLNVLNPPLVRLGFYLRKVLRLNKVLQVDCRYTGSDYEQAYKFKVFHSNAQFPKFVEDDTSIVGRALRWTFRFAEQGFELIDSSLCSLEFEKGILITFHLMGCIWNTLCELRRTQCKRRVGDPGVECVTEEKYGLGCTVTCTTANIIVKGVAEQLKEPIALTKPTTVVLPLYFALGLSGLVFSTALVKM
+>sp|Q12767|TMM94_HUMAN Transmembrane protein 94 OS=Homo sapiens OX=9606 GN=TMEM94 PE=1 SV=1
+MDLKEKHLGEPPSALGLSTRKALSVLKEQLEAVLEGHLRERKKCLTWKEVWRSSFLHHSNRCSCFHWPGASLMLLAVLLLLGCCGGQPAGSRGVGLVNASALFLLLLLNLVLIGRQDRLKRREVERRLRGIIDQIQDALRDGREIQWPSAMYPDLHMPFAPSWSLHWAYRDGHLVNLPVSLLVEGDIIALRPGQESFASLRGIKDDEHIVLEPGDLFPPFSPPPSPRGEVERGPQSPQQHRLFRVLETPVIDNIRWCLDMALSRPVTALDNERFTVQSVMLHYAVPVVLAGFLITNALRFIFSAPGVTSWQYTLLQLQVNGVLPILPLLFPVLWVLATACGEARVLAQMSKASPSSLLAKFSEDTLSSYTEAVSSQEMLRCIWGHFLRVLGGTSPTLSHSSSLLHSLGSVTVLCCVDKQGILSWPNPSPETVLFFSGKVEPPHSSHEDLTDGLSTRSFCHPEPHERDALLAGSLNNTLHLSNEQERGDWPGEAPKPPEPYSHHKAHGRSKHPSGSNVSFSRDTEGGEEEPSKTQPGMESDPYEAEDFVCDYHLEMLSLSQDQQNPSCIQFDDSNWQLHLTSLKPLGLNVLLNLCDASVTERLCRFSDHLCNIALQESHSAVLPVHVPWGLCELARLIGFTPGAKELFKQENHLALYRLPSAETMKETSLGRLSCVTKRRPPLSHMISLFIKDTTTSTEQMLSHGTADVVLEACTDFWDGADIYPLSGSDRKKVLDFYQRACLSGYCSAFAYKPMNCALSSQLNGKCIELVQVPGQSSIFTMCELPSTIPIKQNARRSSWSSDEGIGEVLEKEDCMQALSGQIFMGMVSSQYQARLDIVRLIDGLVNACIRFVYFSLEDELKSKVFAEKMGLETGWNCHISLTPNGDMPGSEIPPSSPSHAGSLHDDLNQVSRDDAEGLLLMEEEGHSDLISFQPTDSDIPSFLEDSNRAKLPRGIHQVRPHLQNIDNVPLLVPLFTDCTPETMCEMIKIMQEYGEVTCCLGSSANLRNSCLFLQSDISIALDPLYPSRCSWETFGYATSISMAQASDGLSPLQLSGQLNSLPCSLTFRQEETISIIRLIEQARHATYGIRKCFLFLLQCQLTLVVIQFLSCLVQLPPLLSTTDILWLSCFCYPLLSISLLGKPPHSSIMSMATGKNLQSIPKKTQHYFLLCFLLKFSLTISSCLICFGFTLQSFCDSSRDRNLTNCSSVMLPSNDDRAPAWFEDFANGLLSAQKLTAALIVLHTVFISITHVHRTKPLWRKSPLTNLWWAVTVPVVLLGQVVQTAVDLQLWTHRDSHVHFGLEDVPLLTWLLGCLSLVLVVVTNEIVKLHEIRVRVRYQKRQKLQFETKLGMNSPF
+>DECOY_sp|Q12767|TMM94_HUMAN Transmembrane protein 94 OS=Homo sapiens OX=9606 GN=TMEM94 PE=1 SV=1
+FPSNMGLKTEFQLKQRKQYRVRVRIEHLKVIENTVVVLVLSLCGLLWTLLPVDELGFHVHSDRHTWLQLDVATQVVQGLLVVPVTVAWWLNTLPSKRWLPKTRHVHTISIFVTHLVILAATLKQASLLGNAFDEFWAPARDDNSPLMVSSCNTLNRDRSSDCFSQLTFGFCILCSSITLSFKLLFCLLFYHQTKKPISQLNKGTAMSMISSHPPKGLLSISLLPYCFCSLWLIDTTSLLPPLQVLCSLFQIVVLTLQCQLLFLFCKRIGYTAHRAQEILRIISITEEQRFTLSCPLSNLQGSLQLPSLGDSAQAMSISTAYGFTEWSCRSPYLPDLAISIDSQLFLCSNRLNASSGLCCTVEGYEQMIKIMECMTEPTCDTFLPVLLPVNDINQLHPRVQHIGRPLKARNSDELFSPIDSDTPQFSILDSHGEEEMLLLGEADDRSVQNLDDHLSGAHSPSSPPIESGPMDGNPTLSIHCNWGTELGMKEAFVKSKLEDELSFYVFRICANVLGDILRVIDLRAQYQSSVMGMFIQGSLAQMCDEKELVEGIGEDSSWSSRRANQKIPITSPLECMTFISSQGPVQVLEICKGNLQSSLACNMPKYAFASCYGSLCARQYFDLVKKRDSGSLPYIDAGDWFDTCAELVVDATGHSLMQETSTTTDKIFLSIMHSLPPRRKTVCSLRGLSTEKMTEASPLRYLALHNEQKFLEKAGPTFGILRALECLGWPVHVPLVASHSEQLAINCLHDSFRCLRETVSADCLNLLVNLGLPKLSTLHLQWNSDDFQICSPNQQDQSLSLMELHYDCVFDEAEYPDSEMGPQTKSPEEEGGETDRSFSVNSGSPHKSRGHAKHHSYPEPPKPAEGPWDGREQENSLHLTNNLSGALLADREHPEPHCFSRTSLGDTLDEHSSHPPEVKGSFFLVTEPSPNPWSLIGQKDVCCLVTVSGLSHLLSSSHSLTPSTGGLVRLFHGWICRLMEQSSVAETYSSLTDESFKALLSSPSAKSMQALVRAEGCATALVWLVPFLLPLIPLVGNVQLQLLTYQWSTVGPASFIFRLANTILFGALVVPVAYHLMVSQVTFRENDLATVPRSLAMDLCWRINDIVPTELVRFLRHQQPSQPGREVEGRPSPPPSFPPFLDGPELVIHEDDKIGRLSAFSEQGPRLAIIDGEVLLSVPLNVLHGDRYAWHLSWSPAFPMHLDPYMASPWQIERGDRLADQIQDIIGRLRREVERRKLRDQRGILVLNLLLLLFLASANVLGVGRSGAPQGGCCGLLLLVALLMLSAGPWHFCSCRNSHHLFSSRWVEKWTLCKKRERLHGELVAELQEKLVSLAKRTSLGLASPPEGLHKEKLDM
+>sp|Q9NYL9|TMOD3_HUMAN Tropomodulin-3 OS=Homo sapiens OX=9606 GN=TMOD3 PE=1 SV=1
+MALPFRKDLEKYKDLDEDELLGNLSETELKQLETVLDDLDPENALLPAGFRQKNQTSKSTTGPFDREHLLSYLEKEALEHKDREDYVPYTGEKKGKIFIPKQKPVQTFTEEKVSLDPELEEALTSASDTELCDLAAILGMHNLITNTKFCNIMGSSNGVDQEHFSNVVKGEKILPVFDEPPNPTNVEESLKRTKENDAHLVEVNLNNIKNIPIPTLKDFAKALETNTHVKCFSLAATRSNDPVATAFAEMLKVNKTLKSLNVESNFITGVGILALIDALRDNETLAELKIDNQRQQLGTAVELEMAKMLEENTNILKFGYQFTQQGPRTRAANAITKNNDLVRKRRVEGDHQ
+>DECOY_sp|Q9NYL9|TMOD3_HUMAN Tropomodulin-3 OS=Homo sapiens OX=9606 GN=TMOD3 PE=1 SV=1
+QHDGEVRRKRVLDNNKTIANAARTRPGQQTFQYGFKLINTNEELMKAMELEVATGLQQRQNDIKLEALTENDRLADILALIGVGTIFNSEVNLSKLTKNVKLMEAFATAVPDNSRTAALSFCKVHTNTELAKAFDKLTPIPINKINNLNVEVLHADNEKTRKLSEEVNTPNPPEDFVPLIKEGKVVNSFHEQDVGNSSGMINCFKTNTILNHMGLIAALDCLETDSASTLAEELEPDLSVKEETFTQVPKQKPIFIKGKKEGTYPVYDERDKHELAEKELYSLLHERDFPGTTSKSTQNKQRFGAPLLANEPDLDDLVTELQKLETESLNGLLEDEDLDKYKELDKRFPLAM
+>sp|Q9H1E5|TMX4_HUMAN Thioredoxin-related transmembrane protein 4 OS=Homo sapiens OX=9606 GN=TMX4 PE=1 SV=1
+MAGGRCGPQLTALLAAWIAAVAATAGPEEAALPPEQSRVQPMTASNWTLVMEGEWMLKFYAPWCPSCQQTDSEWEAFAKNGEILQISVGKVDVIQEPGLSGRFFVTTLPAFFHAKDGIFRRYRGPGIFEDLQNYILEKKWQSVEPLTGWKSPASLTMSGMAGLFSISGKIWHLHNYFTVTLGIPAWCSYVFFVIATLVFGLFMGLVLVVISECFYVPLPRHLSERSEQNRRSEEAHRAEQLQDAEEEKDDSNEEENKDSLVDDEEEKEDLGDEDEAEEEEEEDNLAAGVDEERSEANDQGPPGEDGVTREEVEPEEAEEGISEQPCPADTEVVEDSLRQRKSQHADKGL
+>DECOY_sp|Q9H1E5|TMX4_HUMAN Thioredoxin-related transmembrane protein 4 OS=Homo sapiens OX=9606 GN=TMX4 PE=1 SV=1
+LGKDAHQSKRQRLSDEVVETDAPCPQESIGEEAEEPEVEERTVGDEGPPGQDNAESREEDVGAALNDEEEEEEAEDEDGLDEKEEEDDVLSDKNEEENSDDKEEEADQLQEARHAEESRRNQESRESLHRPLPVYFCESIVVLVLGMFLGFVLTAIVFFVYSCWAPIGLTVTFYNHLHWIKGSISFLGAMGSMTLSAPSKWGTLPEVSQWKKELIYNQLDEFIGPGRYRRFIGDKAHFFAPLTTVFFRGSLGPEQIVDVKGVSIQLIEGNKAFAEWESDTQQCSPCWPAYFKLMWEGEMVLTWNSATMPQVRSQEPPLAAEEPGATAAVAAIWAALLATLQPGCRGGAM
+>sp|Q03169|TNAP2_HUMAN Tumor necrosis factor alpha-induced protein 2 OS=Homo sapiens OX=9606 GN=TNFAIP2 PE=2 SV=2
+MSEASSEDLVPPLEAGAAPYREEEEAAKKKKEKKKKSKGLANVFCVFTKGKKKKGQPSSAEPEDAAGSRQGLDGPPPTVEELKAALERGQLEAARPLLALERELAAAAAAGGVSEEELVRRQSKVEALYELLRDQVLGVLRRPLEAPPERLRQALAVVAEQEREDRQAAAAGPGTSGLAATRPRRWLQLWRRGVAEAAEERMGQRPAAGAEVPESVFLHLGRTMKEDLEAVVERLKPLFPAEFGVVAAYAESYHQHFAAHLAAVAQFELCERDTYMLLLWVQNLYPNDIINSPKLVGELQGMGLGSLLPPRQIRLLEATFLSSEAANVRELMDRALELEARRWAEDVPPQRLDGHCHSELAIDIIQITSQAQAKAESITLDLGSQIKRVLLVELPAFLRSYQRAFNEFLERGKQLTNYRANVIANINNCLSFRMSMEQNWQVPQDTLSLLLGPLGELKSHGFDTLLQNLHEDLKPLFKRFTHTRWAAPVETLENIIATVDTRLPEFSELQGCFREELMEALHLHLVKEYIIQLSKGRLVLKTAEQQQQLAGYILANADTIQHFCTQHGSPATWLQPALPTLAEIIRLQDPSAIKIEVATYATCYPDFSKGHLSAILAIKGNLSNSEVKRIRSILDVSMGAQEPSRPLFSLIKVG
+>DECOY_sp|Q03169|TNAP2_HUMAN Tumor necrosis factor alpha-induced protein 2 OS=Homo sapiens OX=9606 GN=TNFAIP2 PE=2 SV=2
+GVKILSFLPRSPEQAGMSVDLISRIRKVESNSLNGKIALIASLHGKSFDPYCTAYTAVEIKIASPDQLRIIEALTPLAPQLWTAPSGHQTCFHQITDANALIYGALQQQQEATKLVLRGKSLQIIYEKVLHLHLAEMLEERFCGQLESFEPLRTDVTAIINELTEVPAAWRTHTFRKFLPKLDEHLNQLLTDFGHSKLEGLPGLLLSLTDQPVQWNQEMSMRFSLCNNINAIVNARYNTLQKGRELFENFARQYSRLFAPLEVLLVRKIQSGLDLTISEAKAQAQSTIQIIDIALESHCHGDLRQPPVDEAWRRAELELARDMLERVNAAESSLFTAELLRIQRPPLLSGLGMGQLEGVLKPSNIIDNPYLNQVWLLLMYTDRECLEFQAVAALHAAFHQHYSEAYAAVVGFEAPFLPKLREVVAELDEKMTRGLHLFVSEPVEAGAAPRQGMREEAAEAVGRRWLQLWRRPRTAALGSTGPGAAAAQRDEREQEAVVALAQRLREPPAELPRRLVGLVQDRLLEYLAEVKSQRRVLEEESVGGAAAAAALERELALLPRAAELQGRELAAKLEEVTPPPGDLGQRSGAADEPEASSPQGKKKKGKTFVCFVNALGKSKKKKEKKKKAAEEEERYPAAGAELPPVLDESSAESM
+>sp|P21580|TNAP3_HUMAN Tumor necrosis factor alpha-induced protein 3 OS=Homo sapiens OX=9606 GN=TNFAIP3 PE=1 SV=1
+MAEQVLPQALYLSNMRKAVKIRERTPEDIFKPTNGIIHHFKTMHRYTLEMFRTCQFCPQFREIIHKALIDRNIQATLESQKKLNWCREVRKLVALKTNGDGNCLMHATSQYMWGVQDTDLVLRKALFSTLKETDTRNFKFRWQLESLKSQEFVETGLCYDTRNWNDEWDNLIKMASTDTPMARSGLQYNSLEEIHIFVLCNILRRPIIVISDKMLRSLESGSNFAPLKVGGIYLPLHWPAQECYRYPIVLGYDSHHFVPLVTLKDSGPEIRAVPLVNRDRGRFEDLKVHFLTDPENEMKEKLLKEYLMVIEIPVQGWDHGTTHLINAAKLDEANLPKEINLVDDYFELVQHEYKKWQENSEQGRREGHAQNPMEPSVPQLSLMDVKCETPNCPFFMSVNTQPLCHECSERRQKNQNKLPKLNSKPGPEGLPGMALGASRGEAYEPLAWNPEESTGGPHSAPPTAPSPFLFSETTAMKCRSPGCPFTLNVQHNGFCERCHNARQLHASHAPDHTRHLDPGKCQACLQDVTRTFNGICSTCFKRTTAEASSSLSTSLPPSCHQRSKSDPSRLVRSPSPHSCHRAGNDAPAGCLSQAARTPGDRTGTSKCRKAGCVYFGTPENKGFCTLCFIEYRENKHFAAASGKVSPTASRFQNTIPCLGRECGTLGSTMFEGYCQKCFIEAQNQRFHEAKRTEEQLRSSQRRDVPRTTQSTSRPKCARASCKNILACRSEELCMECQHPNQRMGPGAHRGEPAPEDPPKQRCRAPACDHFGNAKCNGYCNECFQFKQMYG
+>DECOY_sp|P21580|TNAP3_HUMAN Tumor necrosis factor alpha-induced protein 3 OS=Homo sapiens OX=9606 GN=TNFAIP3 PE=1 SV=1
+GYMQKFQFCENCYGNCKANGFHDCAPARCRQKPPDEPAPEGRHAGPGMRQNPHQCEMCLEESRCALINKCSARACKPRSTSQTTRPVDRRQSSRLQEETRKAEHFRQNQAEIFCKQCYGEFMTSGLTGCERGLCPITNQFRSATPSVKGSAAAFHKNERYEIFCLTCFGKNEPTGFYVCGAKRCKSTGTRDGPTRAAQSLCGAPADNGARHCSHPSPSRVLRSPDSKSRQHCSPPLSTSLSSSAEATTRKFCTSCIGNFTRTVDQLCAQCKGPDLHRTHDPAHSAHLQRANHCRECFGNHQVNLTFPCGPSRCKMATTESFLFPSPATPPASHPGGTSEEPNWALPEYAEGRSAGLAMGPLGEPGPKSNLKPLKNQNKQRRESCEHCLPQTNVSMFFPCNPTECKVDMLSLQPVSPEMPNQAHGERRGQESNEQWKKYEHQVLEFYDDVLNIEKPLNAEDLKAANILHTTGHDWGQVPIEIVMLYEKLLKEKMENEPDTLFHVKLDEFRGRDRNVLPVARIEPGSDKLTVLPVFHHSDYGLVIPYRYCEQAPWHLPLYIGGVKLPAFNSGSELSRLMKDSIVIIPRRLINCLVFIHIEELSNYQLGSRAMPTDTSAMKILNDWEDNWNRTDYCLGTEVFEQSKLSELQWRFKFNRTDTEKLTSFLAKRLVLDTDQVGWMYQSTAHMLCNGDGNTKLAVLKRVERCWNLKKQSELTAQINRDILAKHIIERFQPCFQCTRFMELTYRHMTKFHHIIGNTPKFIDEPTRERIKVAKRMNSLYLAQPLVQEAM
+>sp|O75888|TNF13_HUMAN Tumor necrosis factor ligand superfamily member 13 OS=Homo sapiens OX=9606 GN=TNFSF13 PE=1 SV=1
+MPASSPFLLAPKGPPGNMGGPVREPALSVALWLSWGAALGAVACAMALLTQQTELQSLRREVSRLQGTGGPSQNGEGYPWQSLPEQSSDALEAWENGERSRKRRAVLTQKQKKQHSVLHLVPINATSKDDSDVTEVMWQPALRRGRGLQAQGYGVRIQDAGVYLLYSQVLFQDVTFTMGQVVSREGQGRQETLFRCIRSMPSHPDRAYNSCYSAGVFHLHQGDILSVIIPRARAKLNLSPHGTFLGFVKL
+>DECOY_sp|O75888|TNF13_HUMAN Tumor necrosis factor ligand superfamily member 13 OS=Homo sapiens OX=9606 GN=TNFSF13 PE=1 SV=1
+LKVFGLFTGHPSLNLKARARPIIVSLIDGQHLHFVGASYCSNYARDPHSPMSRICRFLTEQRGQGERSVVQGMTFTVDQFLVQSYLLYVGADQIRVGYGQAQLGRGRRLAPQWMVETVDSDDKSTANIPVLHLVSHQKKQKQTLVARRKRSREGNEWAELADSSQEPLSQWPYGEGNQSPGGTGQLRSVERRLSQLETQQTLLAMACAVAGLAAGWSLWLAVSLAPERVPGGMNGPPGKPALLFPSSAPM
+>sp|O95150|TNF15_HUMAN Tumor necrosis factor ligand superfamily member 15 OS=Homo sapiens OX=9606 GN=TNFSF15 PE=1 SV=2
+MAEDLGLSFGETASVEMLPEHGSCRPKARSSSARWALTCCLVLLPFLAGLTTYLLVSQLRAQGEACVQFQALKGQEFAPSHQQVYAPLRADGDKPRAHLTVVRQTPTQHFKNQFPALHWEHELGLAFTKNRMNYTNKFLLIPESGDYFIYSQVTFRGMTSECSEIRQAGRPNKPDSITVVITKVTDSYPEPTQLLMGTKSVCEVGSNWFQPIYLGAMFSLQEGDKLMVNVSDISLVDYTKEDKTFFGAFLL
+>DECOY_sp|O95150|TNF15_HUMAN Tumor necrosis factor ligand superfamily member 15 OS=Homo sapiens OX=9606 GN=TNFSF15 PE=1 SV=2
+LLFAGFFTKDEKTYDVLSIDSVNVMLKDGEQLSFMAGLYIPQFWNSGVECVSKTGMLLQTPEPYSDTVKTIVVTISDPKNPRGAQRIESCESTMGRFTVQSYIFYDGSEPILLFKNTYNMRNKTFALGLEHEWHLAPFQNKFHQTPTQRVVTLHARPKDGDARLPAYVQQHSPAFEQGKLAQFQVCAEGQARLQSVLLYTTLGALFPLLVLCCTLAWRASSSRAKPRCSGHEPLMEVSATEGFSLGLDEAM
+>sp|Q59H18|TNI3K_HUMAN Serine/threonine-protein kinase TNNI3K OS=Homo sapiens OX=9606 GN=TNNI3K PE=1 SV=3
+MGNYKSRPTQTCTDEWKKKVSESYVITIERLEDDLQIKEKELTELRNIFGSDEAFSKVNLNYRTENGLSLLHLCCICGGKKSHIRTLMLKGLRPSRLTRNGFTALHLAVYKDNAELITSLLHSGADIQQVGYGGLTALHIATIAGHLEAADVLLQHGANVNIQDAVFFTPLHIAAYYGHEQVTRLLLKFGADVNVSGEVGDRPLHLASAKGFLNIAKLLMEEGSKADVNAQDNEDHVPLHFCSRFGHHDIVKYLLQSDLEVQPHVVNIYGDTPLHLACYNGKFEVAKEIIQISGTESLTKENIFSETAFHSACTYGKSIDLVKFLLDQNVININHQGRDGHTGLHSACYHGHIRLVQFLLDNGADMNLVACDPSRSSGEKDEQTCLMWAYEKGHDAIVTLLKHYKRPQDELPCNEYSQPGGDGSYVSVPSPLGKIKSMTKEKADILLLRAGLPSHFHLQLSEIEFHEIIGSGSFGKVYKGRCRNKIVAIKRYRANTYCSKSDVDMFCREVSILCQLNHPCVIQFVGACLNDPSQFAIVTQYISGGSLFSLLHEQKRILDLQSKLIIAVDVAKGMEYLHNLTQPIIHRDLNSHNILLYEDGHAVVADFGESRFLQSLDEDNMTKQPGNLRWMAPEVFTQCTRYTIKADVFSYALCLWEILTGEIPFAHLKPAAAAADMAYHHIRPPIGYSIPKPISSLLIRGWNACPEGRPEFSEVVMKLEECLCNIELMSPASSNSSGSLSPSSSSDCLVNRGGPGRSHVAALRSRFELEYALNARSYAALSQSAGQYSSQGLSLEEMKRSLQYTPIDKYGYVSDPMSSMHFHSCRNSSSFEDSS
+>DECOY_sp|Q59H18|TNI3K_HUMAN Serine/threonine-protein kinase TNNI3K OS=Homo sapiens OX=9606 GN=TNNI3K PE=1 SV=3
+SSDEFSSSNRCSHFHMSSMPDSVYGYKDIPTYQLSRKMEELSLGQSSYQGASQSLAAYSRANLAYELEFRSRLAAVHSRGPGGRNVLCDSSSSPSLSGSSNSSAPSMLEINCLCEELKMVVESFEPRGEPCANWGRILLSSIPKPISYGIPPRIHHYAMDAAAAAPKLHAFPIEGTLIEWLCLAYSFVDAKITYRTCQTFVEPAMWRLNGPQKTMNDEDLSQLFRSEGFDAVVAHGDEYLLINHSNLDRHIIPQTLNHLYEMGKAVDVAIILKSQLDLIRKQEHLLSFLSGGSIYQTVIAFQSPDNLCAGVFQIVCPHNLQCLISVERCFMDVDSKSCYTNARYRKIAVIKNRCRGKYVKGFSGSGIIEHFEIESLQLHFHSPLGARLLLIDAKEKTMSKIKGLPSPVSVYSGDGGPQSYENCPLEDQPRKYHKLLTVIADHGKEYAWMLCTQEDKEGSSRSPDCAVLNMDAGNDLLFQVLRIHGHYCASHLGTHGDRGQHNINIVNQDLLFKVLDISKGYTCASHFATESFINEKTLSETGSIQIIEKAVEFKGNYCALHLPTDGYINVVHPQVELDSQLLYKVIDHHGFRSCFHLPVHDENDQANVDAKSGEEMLLKAINLFGKASALHLPRDGVEGSVNVDAGFKLLLRTVQEHGYYAAIHLPTFFVADQINVNAGHQLLVDAAELHGAITAIHLATLGGYGVQQIDAGSHLLSTILEANDKYVALHLATFGNRTLRSPRLGKLMLTRIHSKKGGCICCLHLLSLGNETRYNLNVKSFAEDSGFINRLETLEKEKIQLDDELREITIVYSESVKKKWEDTCTQTPRSKYNGM
+>sp|Q9UKE5|TNIK_HUMAN TRAF2 and NCK-interacting protein kinase OS=Homo sapiens OX=9606 GN=TNIK PE=1 SV=1
+MASDSPARSLDEIDLSALRDPAGIFELVELVGNGTYGQVYKGRHVKTGQLAAIKVMDVTGDEEEEIKQEINMLKKYSHHRNIATYYGAFIKKNPPGMDDQLWLVMEFCGAGSVTDLIKNTKGNTLKEEWIAYICREILRGLSHLHQHKVIHRDIKGQNVLLTENAEVKLVDFGVSAQLDRTVGRRNTFIGTPYWMAPEVIACDENPDATYDFKSDLWSLGITAIEMAEGAPPLCDMHPMRALFLIPRNPAPRLKSKKWSKKFQSFIESCLVKNHSQRPATEQLMKHPFIRDQPNERQVRIQLKDHIDRTKKKRGEKDETEYEYSGSEEEEEENDSGEPSSILNLPGESTLRRDFLRLQLANKERSEALRRQQLEQQQRENEEHKRQLLAERQKRIEEQKEQRRRLEEQQRREKELRKQQEREQRRHYEEQMRREEERRRAEHEQEYIRRQLEEEQRQLEILQQQLLHEQALLLEYKRKQLEEQRQAERLQRQLKQERDYLVSLQHQRQEQRPVEKKPLYHYKEGMSPSEKPAWAKEVEERSRLNRQSSPAMPHKVANRISDPNLPPRSESFSISGVQPARTPPMLRPVDPQIPHLVAVKSQGPALTASQSVHEQPTKGLSGFQEALNVTSHRVEMPRQNSDPTSENPPLPTRIEKFDRSSWLRQEEDIPPKVPQRTTSISPALARKNSPGNGSALGPRLGSQPIRASNPDLRRTEPILESPLQRTSSGSSSSSSTPSSQPSSQGGSQPGSQAGSSERTRVRANSKSEGSPVLPHEPAKVKPEESRDITRPSRPASYKKAIDEDLTALAKELRELRIEETNRPMKKVTDYSSSSEESESSEEEEEDGESETHDGTVAVSDIPRLIPTGAPGSNEQYNVGMVGTHGLETSHADSFSGSISREGTLMIRETSGEKKRSGHSDSNGFAGHINLPDLVQQSHSPAGTPTEGLGRVSTHSQEMDSGTEYGMGSSTKASFTPFVDPRVYQTSPTDEDEEDEESSAAALFTSELLRQEQAKLNEARKISVVNVNPTNIRPHSDTPEIRKYKKRFNSEILCAALWGVNLLVGTENGLMLLDRSGQGKVYNLINRRRFQQMDVLEGLNVLVTISGKKNKLRVYYLSWLRNRILHNDPEVEKKQGWITVGDLEGCIHYKVVKYERIKFLVIALKNAVEIYAWAPKPYHKFMAFKSFADLQHKPLLVDLTVEEGQRLKVIFGSHTGFHVIDVDSGNSYDIYIPSHIQGNITPHAIVILPKTDGMEMLVCYEDEGVYVNTYGRITKDVVLQWGEMPTSVAYIHSNQIMGWGEKAIEIRSVETGHLDGVFMHKRAQRLKFLCERNDKVFFASVRSGGSSQVFFMTLNRNSMMNW
+>DECOY_sp|Q9UKE5|TNIK_HUMAN TRAF2 and NCK-interacting protein kinase OS=Homo sapiens OX=9606 GN=TNIK PE=1 SV=1
+WNMMSNRNLTMFFVQSSGGSRVSAFFVKDNRECLFKLRQARKHMFVGDLHGTEVSRIEIAKEGWGMIQNSHIYAVSTPMEGWQLVVDKTIRGYTNVYVGEDEYCVLMEMGDTKPLIVIAHPTINGQIHSPIYIDYSNGSDVDIVHFGTHSGFIVKLRQGEEVTLDVLLPKHQLDAFSKFAMFKHYPKPAWAYIEVANKLAIVLFKIREYKVVKYHICGELDGVTIWGQKKEVEPDNHLIRNRLWSLYYVRLKNKKGSITVLVNLGELVDMQQFRRRNILNYVKGQGSRDLLMLGNETGVLLNVGWLAACLIESNFRKKYKRIEPTDSHPRINTPNVNVVSIKRAENLKAQEQRLLESTFLAAASSEEDEEDEDTPSTQYVRPDVFPTFSAKTSSGMGYETGSDMEQSHTSVRGLGETPTGAPSHSQQVLDPLNIHGAFGNSDSHGSRKKEGSTERIMLTGERSISGSFSDAHSTELGHTGVMGVNYQENSGPAGTPILRPIDSVAVTGDHTESEGDEEEEESSESEESSSSYDTVKKMPRNTEEIRLERLEKALATLDEDIAKKYSAPRSPRTIDRSEEPKVKAPEHPLVPSGESKSNARVRTRESSGAQSGPQSGGQSSPQSSPTSSSSSSGSSTRQLPSELIPETRRLDPNSARIPQSGLRPGLASGNGPSNKRALAPSISTTRQPVKPPIDEEQRLWSSRDFKEIRTPLPPNESTPDSNQRPMEVRHSTVNLAEQFGSLGKTPQEHVSQSATLAPGQSKVAVLHPIQPDVPRLMPPTRAPQVGSISFSESRPPLNPDSIRNAVKHPMAPSSQRNLRSREEVEKAWAPKESPSMGEKYHYLPKKEVPRQEQRQHQLSVLYDREQKLQRQLREAQRQEELQKRKYELLLAQEHLLQQQLIELQRQEEELQRRIYEQEHEARRREEERRMQEEYHRRQEREQQKRLEKERRQQEELRRRQEKQEEIRKQREALLQRKHEENERQQQELQQRRLAESREKNALQLRLFDRRLTSEGPLNLISSPEGSDNEEEEEESGSYEYETEDKEGRKKKTRDIHDKLQIRVQRENPQDRIFPHKMLQETAPRQSHNKVLCSEIFSQFKKSWKKSKLRPAPNRPILFLARMPHMDCLPPAGEAMEIATIGLSWLDSKFDYTADPNEDCAIVEPAMWYPTGIFTNRRGVTRDLQASVGFDVLKVEANETLLVNQGKIDRHIVKHQHLHSLGRLIERCIYAIWEEKLTNGKTNKILDTVSGAGCFEMVLWLQDDMGPPNKKIFAGYYTAINRHHSYKKLMNIEQKIEEEEDGTVDMVKIAALQGTKVHRGKYVQGYTGNGVLEVLEFIGAPDRLASLDIEDLSRAPSDSAM
+>sp|Q8NFZ5|TNIP2_HUMAN TNFAIP3-interacting protein 2 OS=Homo sapiens OX=9606 GN=TNIP2 PE=1 SV=1
+MSRDPGSGGWEEAPRAAAALCTLYHEAGQRLRRLQDQLAARDALIARLRARLAALEGDAAPSLVDALLEQVARFREQLRRQEGGAAEAQMRQEIERLTERLEEKEREMQQLLSQPQHEREKEVVLLRRSMAEGERARAASDVLCRSLANETHQLRRTLTATAHMCQHLAKCLDERQHAQRNVGERSPDQSEHTDGHTSVQSVIEKLQEENRLLKQKVTHVEDLNAKWQRYNASRDEYVRGLHAQLRGLQIPHEPELMRKEISRLNRQLEEKINDCAEVKQELAASRTARDAALERVQMLEQQILAYKDDFMSERADRERAQSRIQELEEKVASLLHQVSWRQDSREPDAGRIHAGSKTAKYLAADALELMVPGGWRPGTGSQQPEPPAEGGHPGAAQRGQGDLQCPHCLQCFSDEQGEELLRHVAECCQ
+>DECOY_sp|Q8NFZ5|TNIP2_HUMAN TNFAIP3-interacting protein 2 OS=Homo sapiens OX=9606 GN=TNIP2 PE=1 SV=1
+QCCEAVHRLLEEGQEDSFCQLCHPCQLDGQGRQAAGPHGGEAPPEPQQSGTGPRWGGPVMLELADAALYKATKSGAHIRGADPERSDQRWSVQHLLSAVKEELEQIRSQARERDARESMFDDKYALIQQELMQVRELAADRATRSAALEQKVEACDNIKEELQRNLRSIEKRMLEPEHPIQLGRLQAHLGRVYEDRSANYRQWKANLDEVHTVKQKLLRNEEQLKEIVSQVSTHGDTHESQDPSREGVNRQAHQREDLCKALHQCMHATATLTRRLQHTENALSRCLVDSAARAREGEAMSRRLLVVEKEREHQPQSLLQQMEREKEELRETLREIEQRMQAEAAGGEQRRLQERFRAVQELLADVLSPAADGELAALRARLRAILADRAALQDQLRRLRQGAEHYLTCLAAAARPAEEWGGSGPDRSM
+>sp|Q92973|TNPO1_HUMAN Transportin-1 OS=Homo sapiens OX=9606 GN=TNPO1 PE=1 SV=2
+MVWDRQTKMEYEWKPDEQGLQQILQLLKESQSPDTTIQRTVQQKLEQLNQYPDFNNYLIFVLTKLKSEDEPTRSLSGLILKNNVKAHFQNFPNGVTDFIKSECLNNIGDSSPLIRATVGILITTIASKGELQNWPDLLPKLCSLLDSEDYNTCEGAFGALQKICEDSAEILDSDVLDRPLNIMIPKFLQFFKHSSPKIRSHAVACVNQFIISRTQALMLHIDSFIENLFALAGDEEPEVRKNVCRALVMLLEVRMDRLLPHMHNIVEYMLQRTQDQDENVALEACEFWLTLAEQPICKDVLVRHLPKLIPVLVNGMKYSDIDIILLKGDVEEDETIPDSEQDIRPRFHRSRTVAQQHDEDGIEEEDDDDDEIDDDDTISDWNLRKCSAAALDVLANVYRDELLPHILPLLKELLFHHEWVVKESGILVLGAIAEGCMQGMIPYLPELIPHLIQCLSDKKALVRSITCWTLSRYAHWVVSQPPDTYLKPLMTELLKRILDSNKRVQEAACSAFATLEEEACTELVPYLAYILDTLVFAFSKYQHKNLLILYDAIGTLADSVGHHLNKPEYIQMLMPPLIQKWNMLKDEDKDLFPLLECLSSVATALQSGFLPYCEPVYQRCVNLVQKTLAQAMLNNAQPDQYEAPDKDFMIVALDLLSGLAEGLGGNIEQLVARSNILTLMYQCMQDKMPEVRQSSFALLGDLTKACFQHVKPCIADFMPILGTNLNPEFISVCNNATWAIGEISIQMGIEMQPYIPMVLHQLVEIINRPNTPKTLLENTAITIGRLGYVCPQEVAPMLQQFIRPWCTSLRNIRDNEEKDSAFRGICTMISVNPSGVIQDFIFFCDAVASWINPKDDLRDMFCKILHGFKNQVGDENWRRFSDQFPLPLKERLAAFYGV
+>DECOY_sp|Q92973|TNPO1_HUMAN Transportin-1 OS=Homo sapiens OX=9606 GN=TNPO1 PE=1 SV=2
+VGYFAALREKLPLPFQDSFRRWNEDGVQNKFGHLIKCFMDRLDDKPNIWSAVADCFFIFDQIVGSPNVSIMTCIGRFASDKEENDRINRLSTCWPRIFQQLMPAVEQPCVYGLRGITIATNELLTKPTNPRNIIEVLQHLVMPIYPQMEIGMQISIEGIAWTANNCVSIFEPNLNTGLIPMFDAICPKVHQFCAKTLDGLLAFSSQRVEPMKDQMCQYMLTLINSRAVLQEINGGLGEALGSLLDLAVIMFDKDPAEYQDPQANNLMAQALTKQVLNVCRQYVPECYPLFGSQLATAVSSLCELLPFLDKDEDKLMNWKQILPPMLMQIYEPKNLHHGVSDALTGIADYLILLNKHQYKSFAFVLTDLIYALYPVLETCAEEELTAFASCAAEQVRKNSDLIRKLLETMLPKLYTDPPQSVVWHAYRSLTWCTISRVLAKKDSLCQILHPILEPLYPIMGQMCGEAIAGLVLIGSEKVVWEHHFLLEKLLPLIHPLLEDRYVNALVDLAAASCKRLNWDSITDDDDIEDDDDDEEEIGDEDHQQAVTRSRHFRPRIDQESDPITEDEEVDGKLLIIDIDSYKMGNVLVPILKPLHRVLVDKCIPQEALTLWFECAELAVNEDQDQTRQLMYEVINHMHPLLRDMRVELLMVLARCVNKRVEPEEDGALAFLNEIFSDIHLMLAQTRSIIFQNVCAVAHSRIKPSSHKFFQLFKPIMINLPRDLVDSDLIEASDECIKQLAGFAGECTNYDESDLLSCLKPLLDPWNQLEGKSAITTILIGVTARILPSSDGINNLCESKIFDTVGNPFNQFHAKVNNKLILGSLSRTPEDESKLKTLVFILYNNFDPYQNLQELKQQVTRQITTDPSQSEKLLQLIQQLGQEDPKWEYEMKTQRDWVM
+>sp|Q9NP84|TNR12_HUMAN Tumor necrosis factor receptor superfamily member 12A OS=Homo sapiens OX=9606 GN=TNFRSF12A PE=1 SV=1
+MARGSLRRLLRLLVLGLWLALLRSVAGEQAPGTAPCSRGSSWSADLDKCMDCASCRARPHSDFCLGCAAAPPAPFRLLWPILGGALSLTFVLGLLSGFLVWRRCRRREKFTTPIEETGGEGCPAVALIQ
+>DECOY_sp|Q9NP84|TNR12_HUMAN Tumor necrosis factor receptor superfamily member 12A OS=Homo sapiens OX=9606 GN=TNFRSF12A PE=1 SV=1
+QILAVAPCGEGGTEEIPTTFKERRRCRRWVLFGSLLGLVFTLSLAGGLIPWLLRFPAPPAAACGLCFDSHPRARCSACDMCKDLDASWSSGRSCPATGPAQEGAVSRLLALWLGLVLLRLLRRLSGRAM
+>sp|Q02223|TNR17_HUMAN Tumor necrosis factor receptor superfamily member 17 OS=Homo sapiens OX=9606 GN=TNFRSF17 PE=1 SV=2
+MLQMAGQCSQNEYFDSLLHACIPCQLRCSSNTPPLTCQRYCNASVTNSVKGTNAILWTCLGLSLIISLAVFVLMFLLRKINSEPLKDEFKNTGSGLLGMANIDLEKSRTGDEIILPRGLEYTVEECTCEDCIKSKPKVDSDHCFPLPAMEEGATILVTTKTNDYCKSLPAALSATEIEKSISAR
+>DECOY_sp|Q02223|TNR17_HUMAN Tumor necrosis factor receptor superfamily member 17 OS=Homo sapiens OX=9606 GN=TNFRSF17 PE=1 SV=2
+RASISKEIETASLAAPLSKCYDNTKTTVLITAGEEMAPLPFCHDSDVKPKSKICDECTCEEVTYELGRPLIIEDGTRSKELDINAMGLLGSGTNKFEDKLPESNIKRLLFMLVFVALSIILSLGLCTWLIANTGKVSNTVSANCYRQCTLPPTNSSCRLQCPICAHLLSDFYENQSCQGAMQLM
+>sp|Q93038|TNR25_HUMAN Tumor necrosis factor receptor superfamily member 25 OS=Homo sapiens OX=9606 GN=TNFRSF25 PE=1 SV=2
+MEQRPRGCAAVAAALLLVLLGARAQGGTRSPRCDCAGDFHKKIGLFCCRGCPAGHYLKAPCTEPCGNSTCLVCPQDTFLAWENHHNSECARCQACDEQASQVALENCSAVADTRCGCKPGWFVECQVSQCVSSSPFYCQPCLDCGALHRHTRLLCSRRDTDCGTCLPGFYEHGDGCVSCPTSTLGSCPERCAAVCGWRQMFWVQVLLAGLVVPLLLGATLTYTYRHCWPHKPLVTADEAGMEALTPPPATHLSPLDSAHTLLAPPDSSEKICTVQLVGNSWTPGYPETQEALCPQVTWSWDQLPSRALGPAAAPTLSPESPAGSPAMMLQPGPQLYDVMDAVPARRWKEFVRTLGLREAEIEAVEVEIGRFRDQQYEMLKRWRQQQPAGLGAVYAALERMGLDGCVEDLRSRLQRGP
+>DECOY_sp|Q93038|TNR25_HUMAN Tumor necrosis factor receptor superfamily member 25 OS=Homo sapiens OX=9606 GN=TNFRSF25 PE=1 SV=2
+PGRQLRSRLDEVCGDLGMRELAAYVAGLGAPQQQRWRKLMEYQQDRFRGIEVEVAEIEAERLGLTRVFEKWRRAPVADMVDYLQPGPQLMMAPSGAPSEPSLTPAAAPGLARSPLQDWSWTVQPCLAEQTEPYGPTWSNGVLQVTCIKESSDPPALLTHASDLPSLHTAPPPTLAEMGAEDATVLPKHPWCHRYTYTLTAGLLLPVVLGALLVQVWFMQRWGCVAACREPCSGLTSTPCSVCGDGHEYFGPLCTGCDTDRRSCLLRTHRHLAGCDLCPQCYFPSSSVCQSVQCEVFWGPKCGCRTDAVASCNELAVQSAQEDCAQCRACESNHHNEWALFTDQPCVLCTSNGCPETCPAKLYHGAPCGRCCFLGIKKHFDGACDCRPSRTGGQARAGLLVLLLAAAVAACGRPRQEM
+>sp|P36941|TNR3_HUMAN Tumor necrosis factor receptor superfamily member 3 OS=Homo sapiens OX=9606 GN=LTBR PE=1 SV=1
+MLLPWATSAPGLAWGPLVLGLFGLLAASQPQAVPPYASENQTCRDQEKEYYEPQHRICCSRCPPGTYVSAKCSRIRDTVCATCAENSYNEHWNYLTICQLCRPCDPVMGLEEIAPCTSKRKTQCRCQPGMFCAAWALECTHCELLSDCPPGTEAELKDEVGKGNNHCVPCKAGHFQNTSSPSARCQPHTRCENQGLVEAAPGTAQSDTTCKNPLEPLPPEMSGTMLMLAVLLPLAFFLLLATVFSCIWKSHPSLCRKLGSLLKRRPQGEGPNPVAGSWEPPKAHPYFPDLVQPLLPISGDVSPVSTGLPAAPVLEAGVPQQQSPLDLTREPQLEPGEQSQVAHGTNGIHVTGGSMTITGNIYIYNGPVLGGPPGPGDLPATPEPPYPIPEEGDPGPPGLSTPHQEDGKAWHLAETEHCGATPSNRGPRNQFITHD
+>DECOY_sp|P36941|TNR3_HUMAN Tumor necrosis factor receptor superfamily member 3 OS=Homo sapiens OX=9606 GN=LTBR PE=1 SV=1
+DHTIFQNRPGRNSPTAGCHETEALHWAKGDEQHPTSLGPPGPDGEEPIPYPPEPTAPLDGPGPPGGLVPGNYIYINGTITMSGGTVHIGNTGHAVQSQEGPELQPERTLDLPSQQQPVGAELVPAAPLGTSVPSVDGSIPLLPQVLDPFYPHAKPPEWSGAVPNPGEGQPRRKLLSGLKRCLSPHSKWICSFVTALLLFFALPLLVALMLMTGSMEPPLPELPNKCTTDSQATGPAAEVLGQNECRTHPQCRASPSSTNQFHGAKCPVCHNNGKGVEDKLEAETGPPCDSLLECHTCELAWAACFMGPQCRCQTKRKSTCPAIEELGMVPDCPRCLQCITLYNWHENYSNEACTACVTDRIRSCKASVYTGPPCRSCCIRHQPEYYEKEQDRCTQNESAYPPVAQPQSAALLGFLGLVLPGWALGPASTAWPLLM
+>sp|P25942|TNR5_HUMAN Tumor necrosis factor receptor superfamily member 5 OS=Homo sapiens OX=9606 GN=CD40 PE=1 SV=1
+MVRLPLQCVLWGCLLTAVHPEPPTACREKQYLINSQCCSLCQPGQKLVSDCTEFTETECLPCGESEFLDTWNRETHCHQHKYCDPNLGLRVQQKGTSETDTICTCEEGWHCTSEACESCVLHRSCSPGFGVKQIATGVSDTICEPCPVGFFSNVSSAFEKCHPWTSCETKDLVVQQAGTNKTDVVCGPQDRLRALVVIPIIFGILFAILLVLVFIKKVAKKPTNKAPHPKQEPQEINFPDDLPGSNTAAPVQETLHGCQPVTQEDGKESRISVQERQ
+>DECOY_sp|P25942|TNR5_HUMAN Tumor necrosis factor receptor superfamily member 5 OS=Homo sapiens OX=9606 GN=CD40 PE=1 SV=1
+QREQVSIRSEKGDEQTVPQCGHLTEQVPAATNSGPLDDPFNIEQPEQKPHPAKNTPKKAVKKIFVLVLLIAFLIGFIIPIVVLARLRDQPGCVVDTKNTGAQQVVLDKTECSTWPHCKEFASSVNSFFGVPCPECITDSVGTAIQKVGFGPSCSRHLVCSECAESTCHWGEECTCITDTESTGKQQVRLGLNPDCYKHQHCHTERNWTDLFESEGCPLCETETFETCDSVLKQGPQCLSCCQSNILYQKERCATPPEPHVATLLCGWLVCQLPLRVM
+>sp|Q9UPQ9|TNR6B_HUMAN Trinucleotide repeat-containing gene 6B protein OS=Homo sapiens OX=9606 GN=TNRC6B PE=1 SV=4
+MREKEQEREEQLMEDKKRKKEDKKKKEATQKVTEQKTKVPEVTKPSLSQPTAASPIGSSPSPPVNGGNNAKRVAVPNGQPPSAARYMPREVPPRFRCQQDHKVLLKRGQPPPPSCMLLGGGAGPPPCTAPGANPNNAQVTGALLQSESGTAPDSTLGGAAASNYANSTWGSGASSNNGTSPNPIHIWDKVIVDGSDMEEWPCIASKDTESSSENTTDNNSASNPGSEKSTLPGSTTSNKGKGSQCQSASSGNECNLGVWKSDPKAKSVQSSNSTTENNNGLGNWRNVSGQDRIGPGSGFSNFNPNSNPSAWPALVQEGTSRKGALETDNSNSSAQVSTVGQTSREQQSKMENAGVNFVVSGREQAQIHNTDGPKNGNTNSLNLSSPNPMENKGMPFGMGLGNTSRSTDAPSQSTGDRKTGSVGSWGAARGPSGTDTVSGQSNSGNNGNNGKEREDSWKGASVQKSTGSKNDSWDNNNRSTGGSWNFGPQDSNDNKWGEGNKMTSGVSQGEWKQPTGSDELKIGEWSGPNQPNSSTGAWDNQKGHPLPENQGNAQAPCWGRSSSSTGSEVGGQSTGSNHKAGSSDSHNSGRRSYRPTHPDCQAVLQTLLSRTDLDPRVLSNTGWGQTQIKQDTVWDIEEVPRPEGKSDKGTEGWESAATQTKNSGGWGDAPSQSNQMKSGWGELSASTEWKDPKNTGGWNDYKNNNSSNWGGGRPDEKTPSSWNENPSKDQGWGGGRQPNQGWSSGKNGWGEEVDQTKNSNWESSASKPVSGWGEGGQNEIGTWGNGGNASLASKGGWEDCKRSPAWNETGRQPNSWNKQHQQQQPPQQPPPPQPEASGSWGGPPPPPPGNVRPSNSSWSSGPQPATPKDEEPSGWEEPSPQSISRKMDIDDGTSAWGDPNSYNYKNVNLWDKNSQGGPAPREPNLPTPMTSKSASVWSKSTPPAPDNGTSAWGEPNESSPGWGEMDDTGASTTGWGNTPANAPNAMKPNSKSMQDGWGESDGPVTGARHPSWEEEEDGGVWNTTGSQGSASSHNSASWGQGGKKQMKCSLKGGNNDSWMNPLAKQFSNMGLLSQTEDNPSSKMDLSVGSLSDKKFDVDKRAMNLGDFNDIMRKDRSGFRPPNSKDMGTTDSGPYFEKLTLPFSNQDGCLGDEAPCSPFSPSPSYKLSPSGSTLPNVSLGAIGTGLNPQNFAARQGGSHGLFGNSTAQSRGLHTPVQPLNSSPSLRAQVPPQFISPQVSASMLKQFPNSGLSPGLFNVGPQLSPQQIAMLSQLPQIPQFQLACQLLLQQQQQQQLLQNQRKISQAVRQQQEQQLARMVSALQQQQQQQQRQPGMKHSPSHPVGPKPHLDNMVPNALNVGLPDLQTKGPIPGYGSGFSSGGMDYGMVGGKEAGTESRFKQWTSMMEGLPSVATQEANMHKNGAIVAPGKTRGGSPYNQFDIIPGDTLGGHTGPAGDSWLPAKSPPTNKIGSKSSNASWPPEFQPGVPWKGIQNIDPESDPYVTPGSVLGGTATSPIVDTDHQLLRDNTTGSNSSLNTSLPSPGAWPYSASDNSFTNVHSTSAKFPDYKSTWSPDPIGHNPTHLSNKMWKNHISSRNTTPLPRPPPGLTNPKPSSPWSSTAPRSVRGWGTQDSRLASASTWSDGGSVRPSYWLVLHNLTPQIDGSTLRTICMQHGPLLTFHLNLTQGTALIRYSTKQEAAKAQTALHMCVLGNTTILAEFATDDEVSRFLAQAQPPTPAATPSAPAAGWQSLETGQNQSDPVGPALNLFGGSTGLGQWSSSAGGSSGADLAGASLWGPPNYSSSLWGVPTVEDPHRMGSPAPLLPGDLLGGGSDSI
+>DECOY_sp|Q9UPQ9|TNR6B_HUMAN Trinucleotide repeat-containing gene 6B protein OS=Homo sapiens OX=9606 GN=TNRC6B PE=1 SV=4
+ISDSGGGLLDGPLLPAPSGMRHPDEVTPVGWLSSSYNPPGWLSAGALDAGSSGGASSSWQGLGTSGGFLNLAPGVPDSQNQGTELSQWGAAPASPTAAPTPPQAQALFRSVEDDTAFEALITTNGLVCMHLATQAKAAEQKTSYRILATGQTLNLHFTLLPGHQMCITRLTSGDIQPTLNHLVLWYSPRVSGGDSWTSASALRSDQTGWGRVSRPATSSWPSSPKPNTLGPPPRPLPTTNRSSIHNKWMKNSLHTPNHGIPDPSWTSKYDPFKASTSHVNTFSNDSASYPWAGPSPLSTNLSSNSGTTNDRLLQHDTDVIPSTATGGLVSGPTVYPDSEPDINQIGKWPVGPQFEPPWSANSSKSGIKNTPPSKAPLWSDGAPGTHGGLTDGPIIDFQNYPSGGRTKGPAVIAGNKHMNAEQTAVSPLGEMMSTWQKFRSETGAEKGGVMGYDMGGSSFGSGYGPIPGKTQLDPLGVNLANPVMNDLHPKPGVPHSPSHKMGPQRQQQQQQQQLASVMRALQQEQQQRVAQSIKRQNQLLQQQQQQQLLLQCALQFQPIQPLQSLMAIQQPSLQPGVNFLGPSLGSNPFQKLMSASVQPSIFQPPVQARLSPSSNLPQVPTHLGRSQATSNGFLGHSGGQRAAFNQPNLGTGIAGLSVNPLTSGSPSLKYSPSPSFPSCPAEDGLCGDQNSFPLTLKEFYPGSDTTGMDKSNPPRFGSRDKRMIDNFDGLNMARKDVDFKKDSLSGVSLDMKSSPNDETQSLLGMNSFQKALPNMWSDNNGGKLSCKMQKKGGQGWSASNHSSASGQSGTTNWVGGDEEEEWSPHRAGTVPGDSEGWGDQMSKSNPKMANPANAPTNGWGTTSAGTDDMEGWGPSSENPEGWASTGNDPAPPTSKSWVSASKSTMPTPLNPERPAPGGQSNKDWLNVNKYNYSNPDGWASTGDDIDMKRSISQPSPEEWGSPEEDKPTAPQPGSSWSSNSPRVNGPPPPPPGGWSGSAEPQPPPPQQPPQQQQHQKNWSNPQRGTENWAPSRKCDEWGGKSALSANGGNGWTGIENQGGEGWGSVPKSASSEWNSNKTQDVEEGWGNKGSSWGQNPQRGGGWGQDKSPNENWSSPTKEDPRGGGWNSSNNNKYDNWGGTNKPDKWETSASLEGWGSKMQNSQSPADGWGGSNKTQTAASEWGETGKDSKGEPRPVEEIDWVTDQKIQTQGWGTNSLVRPDLDTRSLLTQLVAQCDPHTPRYSRRGSNHSDSSGAKHNSGTSQGGVESGTSSSSRGWCPAQANGQNEPLPHGKQNDWAGTSSNPQNPGSWEGIKLEDSGTPQKWEGQSVGSTMKNGEGWKNDNSDQPGFNWSGGTSRNNNDWSDNKSGTSKQVSAGKWSDEREKGNNGNNGSNSQGSVTDTGSPGRAAGWSGVSGTKRDGTSQSPADTSRSTNGLGMGFPMGKNEMPNPSSLNLSNTNGNKPGDTNHIQAQERGSVVFNVGANEMKSQQERSTQGVTSVQASSNSNDTELAGKRSTGEQVLAPWASPNSNPNFNSFGSGPGIRDQGSVNRWNGLGNNNETTSNSSQVSKAKPDSKWVGLNCENGSSASQCQSGKGKNSTTSGPLTSKESGPNSASNNDTTNESSSETDKSAICPWEEMDSGDVIVKDWIHIPNPSTGNNSSAGSGWTSNAYNSAAAGGLTSDPATGSESQLLAGTVQANNPNAGPATCPPPGAGGGLLMCSPPPPQGRKLLVKHDQQCRFRPPVERPMYRAASPPQGNPVAVRKANNGGNVPPSPSSGIPSAATPQSLSPKTVEPVKTKQETVKQTAEKKKKDEKKRKKDEMLQEEREQEKERM
+>sp|Q7Z2V1|TNT_HUMAN Protein TNT OS=Homo sapiens OX=9606 GN=C16orf82 PE=2 SV=1
+MSLVPGQHCSPSHTRLHLTSPITMGTEPATQNTEFSKGSLIYGVTSPQRGHSQHSEASQGPLSLDKPLQLPPIFLEGEKGESSVQNEQEGEPSLQSPSLELQSPAWPRHAGVAQEPLKVSSSYLSDTQSSESHVSSVQHPRPEEGSHASLSSGYAGDKEGSDISLVGSHRRVRLNRRLNTQAASNQTSQLGSIDPPSSLKSRLTGPAHSTKQTGGKE
+>DECOY_sp|Q7Z2V1|TNT_HUMAN Protein TNT OS=Homo sapiens OX=9606 GN=C16orf82 PE=2 SV=1
+EKGGTQKTSHAPGTLRSKLSSPPDISGLQSTQNSAAQTNLRRNLRVRRHSGVLSIDSGEKDGAYGSSLSAHSGEEPRPHQVSSVHSESSQTDSLYSSSVKLPEQAVGAHRPWAPSQLELSPSQLSPEGEQENQVSSEGKEGELFIPPLQLPKDLSLPGQSAESHQSHGRQPSTVGYILSGKSFETNQTAPETGMTIPSTLHLRTHSPSCHQGPVLSM
+>sp|O60784|TOM1_HUMAN Target of Myb protein 1 OS=Homo sapiens OX=9606 GN=TOM1 PE=1 SV=2
+MDFLLGNPFSSPVGQRIEKATDGSLQSEDWALNMEICDIINETEEGPKDALRAVKKRIVGNKNFHEVMLALTVLETCVKNCGHRFHVLVASQDFVESVLVRTILPKNNPPTIVHDKVLNLIQSWADAFRSSPDLTGVVTIYEDLRRKGLEFPMTDLDMLSPIHTPQRTVFNSETQSGQDSVGTDSSQQEDSGQHAAPLPAPPILSGDTPIAPTPEQIGKLRSELEMVSGNVRVMSEMLTELVPTQAEPADLELLQELNRTCRAMQQRVLELIPQIANEQLTEELLIVNDNLNNVFLRHERFERFRTGQTTKAPSEAEPAADLIDMGPDPAATGNLSSQLAGMNLGSSSVRAGLQSLEASGRLEDEFDMFALTRGSSLADQRKEVKYEAPQATDGLAGALDARQQSTGAIPVTQACLMEDIEQWLSTDVGNDAEEPKGVTSEEFDKFLEERAKAADRLPNLSSPSAEGPPGPPSGPAPRKKTQEKDDDMLFAL
+>DECOY_sp|O60784|TOM1_HUMAN Target of Myb protein 1 OS=Homo sapiens OX=9606 GN=TOM1 PE=1 SV=2
+LAFLMDDDKEQTKKRPAPGSPPGPPGEASPSSLNPLRDAAKAREELFKDFEESTVGKPEEADNGVDTSLWQEIDEMLCAQTVPIAGTSQQRADLAGALGDTAQPAEYKVEKRQDALSSGRTLAFMDFEDELRGSAELSQLGARVSSSGLNMGALQSSLNGTAAPDPGMDILDAAPEAESPAKTTQGTRFREFREHRLFVNNLNDNVILLEETLQENAIQPILELVRQQMARCTRNLEQLLELDAPEAQTPVLETLMESMVRVNGSVMELESRLKGIQEPTPAIPTDGSLIPPAPLPAAHQGSDEQQSSDTGVSDQGSQTESNFVTRQPTHIPSLMDLDTMPFELGKRRLDEYITVVGTLDPSSRFADAWSQILNLVKDHVITPPNNKPLITRVLVSEVFDQSAVLVHFRHGCNKVCTELVTLALMVEHFNKNGVIRKKVARLADKPGEETENIIDCIEMNLAWDESQLSGDTAKEIRQGVPSSFPNGLLFDM
+>sp|O14657|TOR1B_HUMAN Torsin-1B OS=Homo sapiens OX=9606 GN=TOR1B PE=1 SV=2
+MLRAGWLRGAAALALLLAARVVAAFEPITVGLAIGAASAITGYLSYNDIYCRFAECCREERPLNASALKLDLEEKLFGQHLATEVIFKALTGFRNNKNPKKPLTLSLHGWAGTGKNFVSQIVAENLHPKGLKSNFVHLFVSTLHFPHEQKIKLYQDQLQKWIRGNVSACANSVFIFDEMDKLHPGIIDAIKPFLDYYEQVDGVSYRKAIFIFLSNAGGDLITKTALDFWRAGRKREDIQLKDLEPVLSVGVFNNKHSGLWHSGLIDKNLIDYFIPFLPLEYRHVKMCVRAEMRARGSAIDEDIVTRVAEEMTFFPRDEKIYSDKGCKTVQSRLDFH
+>DECOY_sp|O14657|TOR1B_HUMAN Torsin-1B OS=Homo sapiens OX=9606 GN=TOR1B PE=1 SV=2
+HFDLRSQVTKCGKDSYIKEDRPFFTMEEAVRTVIDEDIASGRARMEARVCMKVHRYELPLFPIFYDILNKDILGSHWLGSHKNNFVGVSLVPELDKLQIDERKRGARWFDLATKTILDGGANSLFIFIAKRYSVGDVQEYYDLFPKIADIIGPHLKDMEDFIFVSNACASVNGRIWKQLQDQYLKIKQEHPFHLTSVFLHVFNSKLGKPHLNEAVIQSVFNKGTGAWGHLSLTLPKKPNKNNRFGTLAKFIVETALHQGFLKEELDLKLASANLPREERCCEAFRCYIDNYSLYGTIASAAGIALGVTIPEFAAVVRAALLLALAAAGRLWGARLM
+>sp|P10599|THIO_HUMAN Thioredoxin OS=Homo sapiens OX=9606 GN=TXN PE=1 SV=3
+MVKQIESKTAFQEALDAAGDKLVVVDFSATWCGPCKMIKPFFHSLSEKYSNVIFLEVDVDDCQDVASECEVKCMPTFQFFKKGQKVGEFSGANKEKLEATINELV
+>DECOY_sp|P10599|THIO_HUMAN Thioredoxin OS=Homo sapiens OX=9606 GN=TXN PE=1 SV=3
+VLENITAELKEKNAGSFEGVKQGKKFFQFTPMCKVECESAVDQCDDVDVELFIVNSYKESLSHFFPKIMKCPGCWTASFDVVVLKDGAADLAEQFATKSEIQKVM
+>sp|Q5TEJ8|THMS2_HUMAN Protein THEMIS2 OS=Homo sapiens OX=9606 GN=THEMIS2 PE=1 SV=1
+MEPVPLQDFVRALDPASLPRVLRVCSGVYFEGSIYEISGNECCLSTGDLIKVTQVRLQKVVCENPKTSQTMELAPNFQGYFTPLNTPQSYETLEELVSATTQSSKQLPTCFMSTHRIVTEGRVVTEDQLLMLEAVVMHLGIRSARCVLGMEGQQVILHLPLSQKGPFWTWEPSAPRTLLQVLQDPALKDLVLTCPTLPWHSLILRPQYEIQAIMHMRRTIVKIPSTLEVDVEDVTASSRHVHFIKPLLLSEVLAWEGPFPLSMEILEVPEGRPIFLSPWVGSLQKGQRLCVYGLASPPWRVLASSKGRKVPRHFLVSGGYQGKLRRRPREFPTAYDLLGAFQPGRPLRVVATKDCEGEREENPEFTSLAVGDRLEVLGPGQAHGAQGSDVDVLVCQRLSDQAGEDEEEECKEEAESPERVLLPFHFPGSFVEEMSDSRRYSLADLTAQFSLPCEVKVVAKDTSHPTDPLTSFLGLRLEEKITEPFLVVSLDSEPGMCFEIPPRWLDLTVVKAKGQPDLPEGSLPIATVEELTDTFYYRLRKLPACEIQAPPPRPPKNQGLSKQRRHSSEGGVKSSQVLGLQQHARLPKPKAKTLPEFIKDGSSTYSKIPAHRKGHRPAKPQRQDLDDDEHDYEEILEQFQKTI
+>DECOY_sp|Q5TEJ8|THMS2_HUMAN Protein THEMIS2 OS=Homo sapiens OX=9606 GN=THEMIS2 PE=1 SV=1
+ITKQFQELIEEYDHEDDDLDQRQPKAPRHGKRHAPIKSYTSSGDKIFEPLTKAKPKPLRAHQQLGLVQSSKVGGESSHRRQKSLGQNKPPRPPPAQIECAPLKRLRYYFTDTLEEVTAIPLSGEPLDPQGKAKVVTLDLWRPPIEFCMGPESDLSVVLFPETIKEELRLGLFSTLPDTPHSTDKAVVKVECPLSFQATLDALSYRRSDSMEEVFSGPFHFPLLVREPSEAEEKCEEEEDEGAQDSLRQCVLVDVDSGQAGHAQGPGLVELRDGVALSTFEPNEEREGECDKTAVVRLPRGPQFAGLLDYATPFERPRRRLKGQYGGSVLFHRPVKRGKSSALVRWPPSALGYVCLRQGKQLSGVWPSLFIPRGEPVELIEMSLPFPGEWALVESLLLPKIFHVHRSSATVDEVDVELTSPIKVITRRMHMIAQIEYQPRLILSHWPLTPCTLVLDKLAPDQLVQLLTRPASPEWTWFPGKQSLPLHLIVQQGEMGLVCRASRIGLHMVVAELMLLQDETVVRGETVIRHTSMFCTPLQKSSQTTASVLEELTEYSQPTNLPTFYGQFNPALEMTQSTKPNECVVKQLRVQTVKILDGTSLCCENGSIEYISGEFYVGSCVRLVRPLSAPDLARVFDQLPVPEM
+>sp|Q96J01|THOC3_HUMAN THO complex subunit 3 OS=Homo sapiens OX=9606 GN=THOC3 PE=1 SV=1
+MAVPAAAMGPSALGQSGPGSMAPWCSVSSGPSRYVLGMQELFRGHSKTREFLAHSAKVHSVAWSCDGRRLASGSFDKTASVFLLEKDRLVKENNYRGHGDSVDQLCWHPSNPDLFVTASGDKTIRIWDVRTTKCIATVNTKGENINICWSPDGQTIAVGNKDDVVTFIDAKTHRSKAEEQFKFEVNEISWNNDNNMFFLTNGNGCINILSYPELKPVQSINAHPSNCICIKFDPMGKYFATGSADALVSLWDVDELVCVRCFSRLDWPVRTLSFSHDGKMLASASEDHFIDIAEVETGDKLWEVQCESPTFTVAWHPKRPLLAFACDDKDGKYDSSREAGTVKLFGLPNDS
+>DECOY_sp|Q96J01|THOC3_HUMAN THO complex subunit 3 OS=Homo sapiens OX=9606 GN=THOC3 PE=1 SV=1
+SDNPLGFLKVTGAERSSDYKGDKDDCAFALLPRKPHWAVTFTPSECQVEWLKDGTEVEAIDIFHDESASALMKGDHSFSLTRVPWDLRSFCRVCVLEDVDWLSVLADASGTAFYKGMPDFKICICNSPHANISQVPKLEPYSLINICGNGNTLFFMNNDNNWSIENVEFKFQEEAKSRHTKADIFTVVDDKNGVAITQGDPSWCININEGKTNVTAICKTTRVDWIRITKDGSATVFLDPNSPHWCLQDVSDGHGRYNNEKVLRDKELLFVSATKDFSGSALRRGDCSWAVSHVKASHALFERTKSHGRFLEQMGLVYRSPGSSVSCWPAMSGPGSQGLASPGMAAAPVAM
+>sp|Q6I9Y2|THOC7_HUMAN THO complex subunit 7 homolog OS=Homo sapiens OX=9606 GN=THOC7 PE=1 SV=3
+MGAVTDDEVIRKRLLIDGDGAGDDRRINLLVKSFIKWCNSGSQEEGYSQYQRMLSTLSQCEFSMGKTLLVYDMNLREMENYEKIYKEIECSIAGAHEKIAECKKQILQAKRIRKNRQEYDALAKVIQHHPDRHETLKELEALGKELEHLSHIKESVEDKLELRRKQFHVLLSTIHELQQTLENDEKLSEVEEAQEASMETDPKP
+>DECOY_sp|Q6I9Y2|THOC7_HUMAN THO complex subunit 7 homolog OS=Homo sapiens OX=9606 GN=THOC7 PE=1 SV=3
+PKPDTEMSAEQAEEVESLKEDNELTQQLEHITSLLVHFQKRRLELKDEVSEKIHSLHELEKGLAELEKLTEHRDPHHQIVKALADYEQRNKRIRKAQLIQKKCEAIKEHAGAISCEIEKYIKEYNEMERLNMDYVLLTKGMSFECQSLTSLMRQYQSYGEEQSGSNCWKIFSKVLLNIRRDDGAGDGDILLRKRIVEDDTVAGM
+>sp|Q92748|THRSP_HUMAN Thyroid hormone-inducible hepatic protein OS=Homo sapiens OX=9606 GN=THRSP PE=1 SV=1
+MQVLTKRYPKNCLLTVMDRYAAEVHNMEQVVMIPSLLRDVQLSGPGGQAQAEAPDLYTYFTMLKAICVDVDHGLLPREEWQAKVAGSEENGTAETEEVEDESASGELDLEAQFHLHFSSLHHILMHLTEKAQEVTRKYQEMTGQVW
+>DECOY_sp|Q92748|THRSP_HUMAN Thyroid hormone-inducible hepatic protein OS=Homo sapiens OX=9606 GN=THRSP PE=1 SV=1
+WVQGTMEQYKRTVEQAKETLHMLIHHLSSFHLHFQAELDLEGSASEDEVEETEATGNEESGAVKAQWEERPLLGHDVDVCIAKLMTFYTYLDPAEAQAQGGPGSLQVDRLLSPIMVVQEMNHVEAAYRDMVTLLCNKPYRKTLVQM
+>sp|Q9C0I4|THS7B_HUMAN Thrombospondin type-1 domain-containing protein 7B OS=Homo sapiens OX=9606 GN=THSD7B PE=2 SV=2
+MFPKSNLTVTCWVWRSMRKLFLLLSLLLSHAAHLEGKKDNQFIWKTGPWGRCTGDCGPGGVQSRAVWCFHVDGWTSHLSNCGESNRPPKERSCFRVCDWHSDLFQWEVSDWHHCVLVPYARGEVKPRTAECVTAQHGLQHRMVRCIQKLNRTVVANEICEHFALQPPTEQACLIPCPRDCVVSEFLPWSNCSKGCGKKLQHRTRAVIAPPLFGGLQCPNLTESRACDAPISCPLGEEEYTFSLKVGPWSKCRLPHLKEINPSGRTVLDFNSDSNERVTFKHQSYKAHHHSKSWAIEIGYQTRQVSCTRSDGQNAMLSLCLQDSFPLTVQSCIMPKDCETSQWSSWSPCSKTCRSGSLLPGFRSRSRNVKHMAIGGGKECPELLEKEACIVEGELLQQCPRYSWRTSEWKECQVSLLLEQQDPHWHVTGPVCGGGIQTREVYCAQSVPAAAALRAKEVSRPVEKALCVGPAPLPSQLCNIPCSTDCIVSSWSAWGLCIHENCHDPQGKKGFRTRQRHVLMESTGPAGHCPHLVESVPCEDPMCYRWLASEGICFPDHGKCGLGHRILKAVCQNDRGEDVSGSLCPVPPPPERKSCEIPCRMDCVLSEWTEWSSCSQSCSNKNSDGKQTRSRTILALAGEGGKPCPPSQALQEHRLCNDHSCMQLHWETSPWGPCSEDTLVTALNATIGWNGEATCGVGIQTRRVFCVKSHVGQVMTKRCPDSTRPETVRPCFLPCKKDCIVTAFSEWTPCPRMCQAGNATVKQSRYRIIIQEAANGGQECPDTLYEERECEDVSLCPVYRWKPQKWSPCILVPESVWQGITGSSEACGKGLQTRAVSCISDDNRSAEMMECLKQTNGMPLLVQECTVPCREDCTFTAWSKFTPCSTNCEATKSRRRQLTGKSRKKEKCQDSDLYPLVETELCPCDEFISQPYGNWSDCILPEGRREPHRGLRVQADSKECGEGLRFRAVACSDKNGRPVDPSFCSSSGYIQEKCVIPCPFDCKLSDWSSWGSCSSSCGIGVRIRSKWLKEKPYNGGRPCPKLDLKNQAQVHEAVPCYSECNQYSWVVEHWSSCKINNELRSLRCGGGTQSRKIRCVNTADGEGGAVDSNLCNQDEIPPETQSCSLMCPNECVMSEWGLWSKCPQSCDPHTMQRRTRHLLRPSLNSRTCAEDSQVQPCLLNENCFQFQYNLTEWSTCQLSENAPCGQGVRTRLLSCVCSDGKPVSMDQCEQHNLEKPQRMSIPCLVECVVNCQLSGWTAWTECSQTCGHGGRMSRTRFIIMPTQGEGRPCPTELTQEKTCPVTPCYSWVLGNWSACKLEGGDCGEGVQIRSLSCMVHSGSISHAAGRVEDALCGEMPFQDSILKQLCSVPCPGDCHLTEWSEWSTCELTCIDGRSFETVGRQSRSRTFIIQSFENQDSCPQQVLETRPCTGGKCYHYTWKASLWNNNERTVWCQRSDGVNVTGGCSPQARPAAIRQCIPACRKPFSYCTQGGVCGCEKGYTEIMKSNGFLDYCMKVPGSEDKKADVKNLSGKNRPVNSKIHDIFKGWSLQPLDPDGRVKIWVYGVSGGAFLIMIFLIFTSYLVCKKPKPHQSTPPQQKPLTLAYDGDLDM
+>DECOY_sp|Q9C0I4|THS7B_HUMAN Thrombospondin type-1 domain-containing protein 7B OS=Homo sapiens OX=9606 GN=THSD7B PE=2 SV=2
+MDLDGDYALTLPKQQPPTSQHPKPKKCVLYSTFILFIMILFAGGSVGYVWIKVRGDPDLPQLSWGKFIDHIKSNVPRNKGSLNKVDAKKDESGPVKMCYDLFGNSKMIETYGKECGCVGGQTCYSFPKRCAPICQRIAAPRAQPSCGGTVNVGDSRQCWVTRENNNWLSAKWTYHYCKGGTCPRTELVQQPCSDQNEFSQIIFTRSRSQRGVTEFSRGDICTLECTSWESWETLHCDGPCPVSCLQKLISDQFPMEGCLADEVRGAAHSISGSHVMCSLSRIQVGEGCDGGELKCASWNGLVWSYCPTVPCTKEQTLETPCPRGEGQTPMIIFRTRSMRGGHGCTQSCETWATWGSLQCNVVCEVLCPISMRQPKELNHQECQDMSVPKGDSCVCSLLRTRVGQGCPANESLQCTSWETLNYQFQFCNENLLCPQVQSDEACTRSNLSPRLLHRTRRQMTHPDCSQPCKSWLGWESMVCENPCMLSCSQTEPPIEDQNCLNSDVAGGEGDATNVCRIKRSQTGGGCRLSRLENNIKCSSWHEVVWSYQNCESYCPVAEHVQAQNKLDLKPCPRGGNYPKEKLWKSRIRVGIGCSSSCSGWSSWDSLKCDFPCPIVCKEQIYGSSSCFSPDVPRGNKDSCAVARFRLGEGCEKSDAQVRLGRHPERRGEPLICDSWNGYPQSIFEDCPCLETEVLPYLDSDQCKEKKRSKGTLQRRRSKTAECNTSCPTFKSWATFTCDERCPVTCEQVLLPMGNTQKLCEMMEASRNDDSICSVARTQLGKGCAESSGTIGQWVSEPVLICPSWKQPKWRYVPCLSVDECEREEYLTDPCEQGGNAAEQIIIRYRSQKVTANGAQCMRPCPTWESFATVICDKKCPLFCPRVTEPRTSDPCRKTMVQGVHSKVCFVRRTQIGVGCTAEGNWGITANLATVLTDESCPGWPSTEWHLQMCSHDNCLRHEQLAQSPPCPKGGEGALALITRSRTQKGDSNKNSCSQSCSSWETWESLVCDMRCPIECSKREPPPPVPCLSGSVDEGRDNQCVAKLIRHGLGCKGHDPFCIGESALWRYCMPDECPVSEVLHPCHGAPGTSEMLVHRQRTRFGKKGQPDHCNEHICLGWASWSSVICDTSCPINCLQSPLPAPGVCLAKEVPRSVEKARLAAAAPVSQACYVERTQIGGGCVPGTVHWHPDQQELLLSVQCEKWESTRWSYRPCQQLLEGEVICAEKELLEPCEKGGGIAMHKVNRSRSRFGPLLSGSRCTKSCPSWSSWQSTECDKPMICSQVTLPFSDQLCLSLMANQGDSRTCSVQRTQYGIEIAWSKSHHHAKYSQHKFTVRENSDSNFDLVTRGSPNIEKLHPLRCKSWPGVKLSFTYEEEGLPCSIPADCARSETLNPCQLGGFLPPAIVARTRHQLKKGCGKSCNSWPLFESVVCDRPCPILCAQETPPQLAFHECIENAVVTRNLKQICRVMRHQLGHQATVCEATRPKVEGRAYPVLVCHHWDSVEWQFLDSHWDCVRFCSREKPPRNSEGCNSLHSTWGDVHFCWVARSQVGGPGCDGTCRGWPGTKWIFQNDKKGELHAAHSLLLSLLLFLKRMSRWVWCTVTLNSKPFM
+>sp|Q16762|THTR_HUMAN Thiosulfate sulfurtransferase OS=Homo sapiens OX=9606 GN=TST PE=1 SV=4
+MVHQVLYRALVSTKWLAESIRTGKLGPGLRVLDASWYSPGTREARKEYLERHVPGASFFDIEECRDTASPYEMMLPSEAGFAEYVGRLGISNHTHVVVYDGEHLGSFYAPRVWWMFRVFGHRTVSVLNGGFRNWLKEGHPVTSEPSRPEPAVFKATLDRSLLKTYEQVLENLESKRFQLVDSRSQGRFLGTEPEPDAVGLDSGHIRGAVNMPFMDFLTEDGFEKGPEELRALFQTKKVDLSQPLIATCRKGVTACHVALAAYLCGKPDVAVYDGSWSEWFRRAPPESRVSQGKSEKA
+>DECOY_sp|Q16762|THTR_HUMAN Thiosulfate sulfurtransferase OS=Homo sapiens OX=9606 GN=TST PE=1 SV=4
+AKESKGQSVRSEPPARRFWESWSGDYVAVDPKGCLYAALAVHCATVGKRCTAILPQSLDVKKTQFLARLEEPGKEFGDETLFDMFPMNVAGRIHGSDLGVADPEPETGLFRGQSRSDVLQFRKSELNELVQEYTKLLSRDLTAKFVAPEPRSPESTVPHGEKLWNRFGGNLVSVTRHGFVRFMWWVRPAYFSGLHEGDYVVVHTHNSIGLRGVYEAFGAESPLMMEYPSATDRCEEIDFFSAGPVHRELYEKRAERTGPSYWSADLVRLGPGLKGTRISEALWKTSVLARYLVQHVM
+>sp|Q9BTF0|THUM2_HUMAN THUMP domain-containing protein 2 OS=Homo sapiens OX=9606 GN=THUMPD2 PE=2 SV=2
+MSEARGEPGSGPEAGARFFCTAGRGLEPFVMREVRARLAATQVEYISGKVFFTTCSDLNMLKKLKSAERLFLLIKKQFPLIISSVSKGKIFNEMQRLINEDPGSWLNAISIWKNLLELDAKKEKLSQRDDNQLKRKVGENEIIAKKLKIEQMQKIEENRDCQLEKQIKEETLEQRDFTTKSEKFQEEEFQNDIEKAIDTHNQNDLTFRVSCRCSGTIGKAFTAQEVGKVIGIAIMKHFGWKADLRNPQLEIFIHLNDIYSVVGIPVFRVSLASRAYIKTAGLRSTIAWAMASLADIKAGAFVLDPMCGLGTILLEAAKEWPDVYYVGADVSDSQLLGTWDNLKAAGLEDKIELLKISVIELPLPSESVDIIISDIPFGKKFKLGKDIKSILQEMERVLHVGGTIVLLLSEDHHRRLTDCKESNIPFNSKDSHTDEPGIKKCLNPEEKTGAFKTASTSFEASNHKFLDRMSPFGSLVPVECYKVSLGKTDAFICKYKKSHSSGL
+>DECOY_sp|Q9BTF0|THUM2_HUMAN THUMP domain-containing protein 2 OS=Homo sapiens OX=9606 GN=THUMPD2 PE=2 SV=2
+LGSSHSKKYKCIFADTKGLSVKYCEVPVLSGFPSMRDLFKHNSAEFSTSATKFAGTKEEPNLCKKIGPEDTHSDKSNFPINSEKCDTLRRHHDESLLLVITGGVHLVREMEQLISKIDKGLKFKKGFPIDSIIIDVSESPLPLEIVSIKLLEIKDELGAAKLNDWTGLLQSDSVDAGVYYVDPWEKAAELLITGLGCMPDLVFAGAKIDALSAMAWAITSRLGATKIYARSALSVRFVPIGVVSYIDNLHIFIELQPNRLDAKWGFHKMIAIGIVKGVEQATFAKGITGSCRCSVRFTLDNQNHTDIAKEIDNQFEEEQFKESKTTFDRQELTEEKIQKELQCDRNEEIKQMQEIKLKKAIIENEGVKRKLQNDDRQSLKEKKADLELLNKWISIANLWSGPDENILRQMENFIKGKSVSSIILPFQKKILLFLREASKLKKLMNLDSCTTFFVKGSIYEVQTAALRARVERMVFPELGRGATCFFRAGAEPGSGPEGRAESM
+>sp|Q6B0B8|TIGD3_HUMAN Tigger transposable element-derived protein 3 OS=Homo sapiens OX=9606 GN=TIGD3 PE=2 SV=1
+MELSSKKKLHALSLAEKIQVLELLDESKMSQSEVARRFQVSQPQISRICKNKEKLLADWCSGTANRERKRKRESKYSGIDEALLCWYHIARAKAWDVTGPMLLHKAKELADIMGQDFVPSIGWLVRWKRRNNVGFGARHVLAPSFPPEPPPPGLTSQAQLPLSLKDFSPEDVFGCAELPLLYRAVPGSFGACDQVQVLLCANSRGTEKRRVLLGGLQAAPRCFFGIRSEALPASYHPDLGIPWLEWLAQFDRDMGQQGRQVALLLAARVVEELAGLPGLYHVKLLPLAASSTTPPLPSSVVRAFKAHYRHRLLGKLAAIQSERDGTSLAEAGAGITVLDALHVASAAWAKVPPQLIFSSFIQEGLAPGKTPPSSHKTSEMPPVPGGLSLEEFSRFVDLEGEEPRSGVCKEEIGTEDEKGDREGAFEPLPTKADALRALGTLRRWFECNSTSPELFEKFYDCEEEVERLCCL
+>DECOY_sp|Q6B0B8|TIGD3_HUMAN Tigger transposable element-derived protein 3 OS=Homo sapiens OX=9606 GN=TIGD3 PE=2 SV=1
+LCCLREVEEECDYFKEFLEPSTSNCEFWRRLTGLARLADAKTPLPEFAGERDGKEDETGIEEKCVGSRPEEGELDVFRSFEELSLGGPVPPMESTKHSSPPTKGPALGEQIFSSFILQPPVKAWAASAVHLADLVTIGAGAEALSTGDRESQIAALKGLLRHRYHAKFARVVSSPLPPTTSSAALPLLKVHYLGPLGALEEVVRAALLLAVQRGQQGMDRDFQALWELWPIGLDPHYSAPLAESRIGFFCRPAAQLGGLLVRRKETGRSNACLLVQVQDCAGFSGPVARYLLPLEACGFVDEPSFDKLSLPLQAQSTLGPPPPEPPFSPALVHRAGFGVNNRRKWRVLWGISPVFDQGMIDALEKAKHLLMPGTVDWAKARAIHYWCLLAEDIGSYKSERKRKRERNATGSCWDALLKEKNKCIRSIQPQSVQFRRAVESQSMKSEDLLELVQIKEALSLAHLKKKSSLEM
+>sp|Q07352|TISB_HUMAN mRNA decay activator protein ZFP36L1 OS=Homo sapiens OX=9606 GN=ZFP36L1 PE=1 SV=1
+MTTTLVSATIFDLSEVLCKGNKMLNYSAPSAGGCLLDRKAVGTPAGGGFPRRHSVTLPSSKFHQNQLLSSLKGEPAPALSSRDSRFRDRSFSEGGERLLPTQKQPGGGQVNSSRYKTELCRPFEENGACKYGDKCQFAHGIHELRSLTRHPKYKTELCRTFHTIGFCPYGPRCHFIHNAEERRALAGARDLSADRPRLQHSFSFAGFPSAAATAAATGLLDSPTSITPPPILSADDLLGSPTLPDGTNNPFAFSSQELASLFAPSMGLPGGGSPTTFLFRPMSESPHMFDSPPSPQDSLSDQEGYLSSSSSSHSGSDSPTLDNSRRLPIFSRLSISDD
+>DECOY_sp|Q07352|TISB_HUMAN mRNA decay activator protein ZFP36L1 OS=Homo sapiens OX=9606 GN=ZFP36L1 PE=1 SV=1
+DDSISLRSFIPLRRSNDLTPSDSGSHSSSSSSLYGEQDSLSDQPSPPSDFMHPSESMPRFLFTTPSGGGPLGMSPAFLSALEQSSFAFPNNTGDPLTPSGLLDDASLIPPPTISTPSDLLGTAAATAAASPFGAFSFSHQLRPRDASLDRAGALARREEANHIFHCRPGYPCFGITHFTRCLETKYKPHRTLSRLEHIGHAFQCKDGYKCAGNEEFPRCLETKYRSSNVQGGGPQKQTPLLREGGESFSRDRFRSDRSSLAPAPEGKLSSLLQNQHFKSSPLTVSHRRPFGGGAPTGVAKRDLLCGGASPASYNLMKNGKCLVESLDFITASVLTTTM
+>sp|Q04724|TLE1_HUMAN Transducin-like enhancer protein 1 OS=Homo sapiens OX=9606 GN=TLE1 PE=1 SV=2
+MFPQSRHPTPHQAAGQPFKFTIPESLDRIKEEFQFLQAQYHSLKLECEKLASEKTEMQRHYVMYYEMSYGLNIEMHKQTEIAKRLNTICAQVIPFLSQEHQQQVAQAVERAKQVTMAELNAIIGQQQLQAQHLSHGHGPPVPLTPHPSGLQPPGIPPLGGSAGLLALSSALSGQSHLAIKDDKKHHDAEHHRDREPGTSNSLLVPDSLRGTDKRRNGPEFSNDIKKRKVDDKDSSHYDSDGDKSDDNLVVDVSNEDPSSPRASPAHSPRENGIDKNRLLKKDASSSPASTASSASSTSLKSKEMSLHEKASTPVLKSSTPTPRSDMPTPGTSATPGLRPGLGKPPAIDPLVNQAAAGLRTPLAVPGPYPAPFGMVPHAGMNGELTSPGAAYASLHNMSPQMSAAAAAAAVVAYGRSPMVGFDPPPHMRVPTIPPNLAGIPGGKPAYSFHVTADGQMQPVPFPPDALIGPGIPRHARQINTLNHGEVVCAVTISNPTRHVYTGGKGCVKVWDISHPGNKSPVSQLDCLNRDNYIRSCKLLPDGCTLIVGGEASTLSIWDLAAPTPRIKAELTSSAPACYALAISPDSKVCFSCCSDGNIAVWDLHNQTLVRQFQGHTDGASCIDISNDGTKLWTGGLDNTVRSWDLREGRQLQQHDFTSQIFSLGYCPTGEWLAVGMESSNVEVLHVNKPDKYQLHLHESCVLSLKFAYCGKWFVSTGKDNLLNAWRTPYGASIFQSKESSSVLSCDISVDDKYIVTGSGDKKATVYEVIY
+>DECOY_sp|Q04724|TLE1_HUMAN Transducin-like enhancer protein 1 OS=Homo sapiens OX=9606 GN=TLE1 PE=1 SV=2
+YIVEYVTAKKDGSGTVIYKDDVSIDCSLVSSSEKSQFISAGYPTRWANLLNDKGTSVFWKGCYAFKLSLVCSEHLHLQYKDPKNVHLVEVNSSEMGVALWEGTPCYGLSFIQSTFDHQQLQRGERLDWSRVTNDLGGTWLKTGDNSIDICSAGDTHGQFQRVLTQNHLDWVAINGDSCCSFCVKSDPSIALAYCAPASSTLEAKIRPTPAALDWISLTSAEGGVILTCGDPLLKCSRIYNDRNLCDLQSVPSKNGPHSIDWVKVCGKGGTYVHRTPNSITVACVVEGHNLTNIQRAHRPIGPGILADPPFPVPQMQGDATVHFSYAPKGGPIGALNPPITPVRMHPPPDFGVMPSRGYAVVAAAAAAASMQPSMNHLSAYAAGPSTLEGNMGAHPVMGFPAPYPGPVALPTRLGAAAQNVLPDIAPPKGLGPRLGPTASTGPTPMDSRPTPTSSKLVPTSAKEHLSMEKSKLSTSSASSATSAPSSSADKKLLRNKDIGNERPSHAPSARPSSPDENSVDVVLNDDSKDGDSDYHSSDKDDVKRKKIDNSFEPGNRRKDTGRLSDPVLLSNSTGPERDRHHEADHHKKDDKIALHSQGSLASSLALLGASGGLPPIGPPQLGSPHPTLPVPPGHGHSLHQAQLQQQGIIANLEAMTVQKAREVAQAVQQQHEQSLFPIVQACITNLRKAIETQKHMEINLGYSMEYYMVYHRQMETKESALKECELKLSHYQAQLFQFEEKIRDLSEPITFKFPQGAAQHPTPHRSQPFM
+>sp|O43897|TLL1_HUMAN Tolloid-like protein 1 OS=Homo sapiens OX=9606 GN=TLL1 PE=1 SV=1
+MGLGTLSPRMLVWLVASGIVFYGELWVCAGLDYDYTFDGNEEDKTETIDYKDPCKAAVFWGDIALDDEDLNIFQIDRTIDLTQNPFGNLGHTTGGLGDHAMSKKRGALYQLIDRIRRIGFGLEQNNTVKGKVPLQFSGQNEKNRVPRAATSRTERIWPGGVIPYVIGGNFTGSQRAMFKQAMRHWEKHTCVTFIERSDEESYIVFTYRPCGCCSYVGRRGNGPQAISIGKNCDKFGIVVHELGHVIGFWHEHTRPDRDNHVTIIRENIQPGQEYNFLKMEPGEVNSLGERYDFDSIMHYARNTFSRGMFLDTILPSRDDNGIRPAIGQRTRLSKGDIAQARKLYRCPACGETLQESNGNLSSPGFPNGYPSYTHCIWRVSVTPGEKIVLNFTTMDLYKSSLCWYDYIEVRDGYWRKSPLLGRFCGDKLPEVLTSTDSRMWIEFRSSSNWVGKGFAAVYEAICGGEIRKNEGQIQSPNYPDDYRPMKECVWKITVSESYHVGLTFQSFEIERHDNCAYDYLEVRDGTSENSPLIGRFCGYDKPEDIRSTSNTLWMKFVSDGTVNKAGFAANFFKEEDECAKPDRGGCEQRCLNTLGSYQCACEPGYELGPDRRSCEAACGGLLTKLNGTITTPGWPKEYPPNKNCVWQVVAPTQYRISVKFEFFELEGNEVCKYDYVEIWSGLSSESKLHGKFCGAEVPEVITSQFNNMRIEFKSDNTVSKKGFKAHFFSDKDECSKDNGGCQHECVNTMGSYMCQCRNGFVLHDNKHDCKEAECEQKIHSPSGLITSPNWPDKYPSRKECTWEISATPGHRIKLAFSEFEIEQHQECAYDHLEVFDGETEKSPILGRLCGNKIPDPLVATGNKMFVRFVSDASVQRKGFQATHSTECGGRLKAESKPRDLYSHAQFGDNNYPGQVDCEWLLVSERGSRLELSFQTFEVEEEADCGYDYVELFDGLDSTAVGLGRFCGSGPPEEIYSIGDSVLIHFHTDDTINKKGFHIRYKSIRYPDTTHTKK
+>DECOY_sp|O43897|TLL1_HUMAN Tolloid-like protein 1 OS=Homo sapiens OX=9606 GN=TLL1 PE=1 SV=1
+KKTHTTDPYRISKYRIHFGKKNITDDTHFHILVSDGISYIEEPPGSGCFRGLGVATSDLGDFLEVYDYGCDAEEEVEFTQFSLELRSGRESVLLWECDVQGPYNNDGFQAHSYLDRPKSEAKLRGGCETSHTAQFGKRQVSADSVFRVFMKNGTAVLPDPIKNGCLRGLIPSKETEGDFVELHDYACEQHQEIEFESFALKIRHGPTASIEWTCEKRSPYKDPWNPSTILGSPSHIKQECEAEKCDHKNDHLVFGNRCQCMYSGMTNVCEHQCGGNDKSCEDKDSFFHAKFGKKSVTNDSKFEIRMNNFQSTIVEPVEAGCFKGHLKSESSLGSWIEVYDYKCVENGELEFFEFKVSIRYQTPAVVQWVCNKNPPYEKPWGPTTITGNLKTLLGGCAAECSRRDPGLEYGPECACQYSGLTNLCRQECGGRDPKACEDEEKFFNAAFGAKNVTGDSVFKMWLTNSTSRIDEPKDYGCFRGILPSNESTGDRVELYDYACNDHREIEFSQFTLGVHYSESVTIKWVCEKMPRYDDPYNPSQIQGENKRIEGGCIAEYVAAFGKGVWNSSSRFEIWMRSDTSTLVEPLKDGCFRGLLPSKRWYGDRVEIYDYWCLSSKYLDMTTFNLVIKEGPTVSVRWICHTYSPYGNPFGPSSLNGNSEQLTEGCAPCRYLKRAQAIDGKSLRTRQGIAPRIGNDDRSPLITDLFMGRSFTNRAYHMISDFDYREGLSNVEGPEMKLFNYEQGPQINERIITVHNDRDPRTHEHWFGIVHGLEHVVIGFKDCNKGISIAQPGNGRRGVYSCCGCPRYTFVIYSEEDSREIFTVCTHKEWHRMAQKFMARQSGTFNGGIVYPIVGGPWIRETRSTAARPVRNKENQGSFQLPVKGKVTNNQELGFGIRRIRDILQYLAGRKKSMAHDGLGGTTHGLNGFPNQTLDITRDIQFINLDEDDLAIDGWFVAAKCPDKYDITETKDEENGDFTYDYDLGACVWLEGYFVIGSAVLWVLMRPSLTGLGM
+>sp|Q9Y6L7|TLL2_HUMAN Tolloid-like protein 2 OS=Homo sapiens OX=9606 GN=TLL2 PE=1 SV=1
+MPRATALGALVSLLLLLPLPRGAGGLGERPDATADYSELDGEEGTEQQLEHYHDPCKAAVFWGDIALDEDDLKLFHIDKARDWTKQTVGATGHSTGGLEEQASESSPDTTAMDTGTKEAGKDGRENTTLLHSPGTLHAAAKTFSPRVRRATTSRTERIWPGGVIPYVIGGNFTGSQRAIFKQAMRHWEKHTCVTFIERTDEESFIVFSYRTCGCCSYVGRRGGGPQAISIGKNCDKFGIVAHELGHVVGFWHEHTRPDRDQHVTIIRENIQPGQEYNFLKMEAGEVSSLGETYDFDSIMHYARNTFSRGVFLDTILPRQDDNGVRPTIGQRVRLSQGDIAQARKLYKCPACGETLQDTTGNFSAPGFPNGYPSYSHCVWRISVTPGEKIVLNFTSMDLFKSRLCWYDYVEVRDGYWRKAPLLGRFCGDKIPEPLVSTDSRLWVEFRSSSNILGKGFFAAYEATCGGDMNKDAGQIQSPNYPDDYRPSKECVWRITVSEGFHVGLTFQAFEIERHDSCAYDYLEVRDGPTEESALIGHFCGYEKPEDVKSSSNRLWMKFVSDGSINKAGFAANFFKEVDECSWPDHGGCEHRCVNTLGSYKCACDPGYELAADKKMCEVACGGFITKLNGTITSPGWPKEYPTNKNCVWQVVAPAQYRISLQFEVFELEGNDVCKYDFVEVRSGLSPDAKLHGRFCGSETPEVITSQSNNMRVEFKSDNTVSKRGFRAHFFSDKDECAKDNGGCQHECVNTFGSYLCRCRNGYWLHENGHDCKEAGCAHKISSVEGTLASPNWPDKYPSRRECTWNISSTAGHRVKLTFNEFEIEQHQECAYDHLEMYDGPDSLAPILGRFCGSKKPDPTVASGSSMFLRFYSDASVQRKGFQAVHSTECGGRLKAEVQTKELYSHAQFGDNNYPSEARCDWVIVAEDGYGVELTFRTFEVEEEADCGYDYMEAYDGYDSSAPRLGRFCGSGPLEEIYSAGDSLMIRFRTDDTINKKGFHARYTSTKFQDALHMKK
+>DECOY_sp|Q9Y6L7|TLL2_HUMAN Tolloid-like protein 2 OS=Homo sapiens OX=9606 GN=TLL2 PE=1 SV=1
+KKMHLADQFKTSTYRAHFGKKNITDDTRFRIMLSDGASYIEELPGSGCFRGLRPASSDYGDYAEMYDYGCDAEEEVEFTRFTLEVGYGDEAVIVWDCRAESPYNNDGFQAHSYLEKTQVEAKLRGGCETSHVAQFGKRQVSADSYFRLFMSSGSAVTPDPKKSGCFRGLIPALSDPGDYMELHDYACEQHQEIEFENFTLKVRHGATSSINWTCERRSPYKDPWNPSALTGEVSSIKHACGAEKCDHGNEHLWYGNRCRCLYSGFTNVCEHQCGGNDKACEDKDSFFHARFGRKSVTNDSKFEVRMNNSQSTIVEPTESGCFRGHLKADPSLGSRVEVFDYKCVDNGELEFVEFQLSIRYQAPAVVQWVCNKNTPYEKPWGPSTITGNLKTIFGGCAVECMKKDAALEYGPDCACKYSGLTNVCRHECGGHDPWSCEDVEKFFNAAFGAKNISGDSVFKMWLRNSSSKVDEPKEYGCFHGILASEETPGDRVELYDYACSDHREIEFAQFTLGVHFGESVTIRWVCEKSPRYDDPYNPSQIQGADKNMDGGCTAEYAAFFGKGLINSSSRFEVWLRSDTSVLPEPIKDGCFRGLLPAKRWYGDRVEVYDYWCLRSKFLDMSTFNLVIKEGPTVSIRWVCHSYSPYGNPFGPASFNGTTDQLTEGCAPCKYLKRAQAIDGQSLRVRQGITPRVGNDDQRPLITDLFVGRSFTNRAYHMISDFDYTEGLSSVEGAEMKLFNYEQGPQINERIITVHQDRDPRTHEHWFGVVHGLEHAVIGFKDCNKGISIAQPGGGRRGVYSCCGCTRYSFVIFSEEDTREIFTVCTHKEWHRMAQKFIARQSGTFNGGIVYPIVGGPWIRETRSTTARRVRPSFTKAAAHLTGPSHLLTTNERGDKGAEKTGTDMATTDPSSESAQEELGGTSHGTAGVTQKTWDRAKDIHFLKLDDEDLAIDGWFVAAKCPDHYHELQQETGEEGDLESYDATADPREGLGGAGRPLPLLLLLSVLAGLATARPM
+>sp|B3SHH9|TM114_HUMAN Transmembrane protein 114 OS=Homo sapiens OX=9606 GN=TMEM114 PE=2 SV=2
+MRVHLGGLAGAAALTGALSFVLLAAAIGTDFWYIIDTERLERTGPGAQDLLGSINRSQPEPLSSHSGLWRTCRVQSPCTPLMNPFRLENVTVSESSRQLLTMHGTFVILLPLSLILMVFGGMTGFLSFLLQAYLLLLLTGILFLFGAMVTLAGISVYIAYSAAAFREALCLLEEKALLDQVDISFGWSLALGWISFIAELLTGAAFLAAARELSLRRRQDQAI
+>DECOY_sp|B3SHH9|TM114_HUMAN Transmembrane protein 114 OS=Homo sapiens OX=9606 GN=TMEM114 PE=2 SV=2
+IAQDQRRRLSLERAAALFAAGTLLEAIFSIWGLALSWGFSIDVQDLLAKEELLCLAERFAAASYAIYVSIGALTVMAGFLFLIGTLLLLLYAQLLFSLFGTMGGFVMLILSLPLLIVFTGHMTLLQRSSESVTVNELRFPNMLPTCPSQVRCTRWLGSHSSLPEPQSRNISGLLDQAGPGTRELRETDIIYWFDTGIAAALLVFSLAGTLAAAGALGGLHVRM
+>sp|Q8N7C4|TM217_HUMAN Transmembrane protein 217 OS=Homo sapiens OX=9606 GN=TMEM217 PE=2 SV=1
+MKQQQWCGMTAKMGTVLSGVFTIMAVDMYLIFEQKHLGNGSCTEITPKYRGASNIINNFIICWSFKIVLFLSFITILISCFLLYSVYAQIFRGLVIYIVWIFFYETANVVIQILTNNDFDIKEVRIMRWFGLVSRTVMHCFWMFFVINYAHITYKNRSQGNIISYKRRISTAEILHSRNKRLSISSGFSGSHLESQYFERQSFHTSIFTCLSPVPSSAPSTCRYTIDVC
+>DECOY_sp|Q8N7C4|TM217_HUMAN Transmembrane protein 217 OS=Homo sapiens OX=9606 GN=TMEM217 PE=2 SV=1
+CVDITYRCTSPASSPVPSLCTFISTHFSQREFYQSELHSGSFGSSISLRKNRSHLIEATSIRRKYSIINGQSRNKYTIHAYNIVFFMWFCHMVTRSVLGFWRMIRVEKIDFDNNTLIQIVVNATEYFFIWVIYIVLGRFIQAYVSYLLFCSILITIFSLFLVIKFSWCIIFNNIINSAGRYKPTIETCSGNGLHKQEFILYMDVAMITFVGSLVTGMKATMGCWQQQKM
+>sp|Q9H6L2|TM231_HUMAN Transmembrane protein 231 OS=Homo sapiens OX=9606 GN=TMEM231 PE=1 SV=1
+MALYELFSHPVERSYRAGLCSKAALFLLLAAALTYIPPLLVAFRSHGFWLKRSSYEEQPTVRFQHQVLLVALLGPESDGFLAWSTFPAFNRLQGDRLRVPLVSTREEDRNQDGKTDMLHFKLELPLQSTEHVLGVQLILTFSYRLHRMATLVMQSMAFLQSSFPVPGSQLYVNGDLRLQQKQPLSCGGLDARYNISVINGTSPFAYDYDLTHIVAAYQERNVTTVLNDPNPIWLVGRAADAPFVINAIIRYPVEVISYQPGFWEMVKFAWVQYVSILLIFLWVFERIKIFVFQNQVVTTIPVTVTPRGDLCKEHLS
+>DECOY_sp|Q9H6L2|TM231_HUMAN Transmembrane protein 231 OS=Homo sapiens OX=9606 GN=TMEM231 PE=1 SV=1
+SLHEKCLDGRPTVTVPITTVVQNQFVFIKIREFVWLFILLISVYQVWAFKVMEWFGPQYSIVEVPYRIIANIVFPADAARGVLWIPNPDNLVTTVNREQYAAVIHTLDYDYAFPSTGNIVSINYRADLGGCSLPQKQQLRLDGNVYLQSGPVPFSSQLFAMSQMVLTAMRHLRYSFTLILQVGLVHETSQLPLELKFHLMDTKGDQNRDEERTSVLPVRLRDGQLRNFAPFTSWALFGDSEPGLLAVLLVQHQFRVTPQEEYSSRKLWFGHSRFAVLLPPIYTLAAALLLFLAAKSCLGARYSREVPHSFLEYLAM
+>sp|Q9H330|TM245_HUMAN Transmembrane protein 245 OS=Homo sapiens OX=9606 GN=TMEM245 PE=1 SV=3
+MADGGGPKDAPSLRSSPGPAPRVPRAVGPSGGGGETPRTAALALRFDKPIKQAFYNTGAVLFVCLCCGAAVLVYFILEAFLRPLLWAVLCGTFLHPFKSSLTRLGRHWLQRLHRAHTPIVLAALLLPLCFVDYGVEALGEQALRRRRLLLLLGAGGPLLYGLYCLGSYLGVQVLLVHAATLICRGLDYFSSLWIWTLVVGYVLTVSFKWNASTERYLRAVSIPVWIILLFHLASLAGSWRIPVFLVIVFLMSVGTLYEKQNGKESSGAELPGQVISMAASTLANLAISITGYESSSEDQPSTQPAEAVDRGESAPTLSTSPSPSSPSPTSPSPTLGRRRPEIGTFLRKKKTSDIYFVSLVWAIVVMQIWLNLWIVQLLPVPIAVWILKKLVIHFGVVDFLEKRYHVWWGIIESFLKERQGALAPWPIVGLGKFLLKVDSKLWHWLNKKMIIWLEKMLDKIISIFIIFLLVIGTLLLALLLTAKVHQESVHMIEVTSNLINETLANHPEWANWLPEAQVVQRALNSAANNVYQYGREWITHKLHKILGDKVNNTAVIEKQVLELWDRLYHSWFVKNVTHSGRHKGQKLHVSRQNSWLGDILDWQDIVSFVHENIETFLSILESLWIVMSRNVSLLFTTVTTLLTILFYSGTALLNFVLSLIIFLTTLFYLLSSSDEYYKPVKWVISLTPLSQPGPSSNIIGQSVEEAIRGVFDASLKMAGFYGLYTWLTHTMFGINIVFIPSALAAILGAVPFLGTYWAAVPAVLDLWLTQGLGCKAILLLIFHLLPTYFVDTAIYSDISGGGHPYLTGLAVAGGAYYLGLEGAIIGPILLCILVVASNIYSAMLVSPTNSVPTPNQTPWPAQPQRTFRDISEDLKSSVG
+>DECOY_sp|Q9H330|TM245_HUMAN Transmembrane protein 245 OS=Homo sapiens OX=9606 GN=TMEM245 PE=1 SV=3
+GVSSKLDESIDRFTRQPQAPWPTQNPTPVSNTPSVLMASYINSAVVLICLLIPGIIAGELGLYYAGGAVALGTLYPHGGGSIDSYIATDVFYTPLLHFILLLIAKCGLGQTLWLDLVAPVAAWYTGLFPVAGLIAALASPIFVINIGFMTHTLWTYLGYFGAMKLSADFVGRIAEEVSQGIINSSPGPQSLPTLSIVWKVPKYYEDSSSLLYFLTTLFIILSLVFNLLATGSYFLITLLTTVTTFLLSVNRSMVIWLSELISLFTEINEHVFSVIDQWDLIDGLWSNQRSVHLKQGKHRGSHTVNKVFWSHYLRDWLELVQKEIVATNNVKDGLIKHLKHTIWERGYQYVNNAASNLARQVVQAEPLWNAWEPHNALTENILNSTVEIMHVSEQHVKATLLLALLLTGIVLLFIIFISIIKDLMKELWIIMKKNLWHWLKSDVKLLFKGLGVIPWPALAGQREKLFSEIIGWWVHYRKELFDVVGFHIVLKKLIWVAIPVPLLQVIWLNLWIQMVVIAWVLSVFYIDSTKKKRLFTGIEPRRRGLTPSPSTPSPSSPSPSTSLTPASEGRDVAEAPQTSPQDESSSEYGTISIALNALTSAAMSIVQGPLEAGSSEKGNQKEYLTGVSMLFVIVLFVPIRWSGALSALHFLLIIWVPISVARLYRETSANWKFSVTLVYGVVLTWIWLSSFYDLGRCILTAAHVLLVQVGLYSGLCYLGYLLPGGAGLLLLLRRRRLAQEGLAEVGYDVFCLPLLLAALVIPTHARHLRQLWHRGLRTLSSKFPHLFTGCLVAWLLPRLFAELIFYVLVAAGCCLCVFLVAGTNYFAQKIPKDFRLALAATRPTEGGGGSPGVARPVRPAPGPSSRLSPADKPGGGDAM
+>sp|Q6UE05|TM270_HUMAN Transmembrane protein 270 OS=Homo sapiens OX=9606 GN=TMEM270 PE=2 SV=2
+MEALPPVRSSLLGILLQVTRLSVLLVQNRDHLYNFLLLKINLFNHWVSGLAQEARGSCNWQAHLPLGAAACPLGQALWAGLALIQVPVWLVLQGPRLMWAGMWGSTKGLGLALLSAWEQLGLSVAIWTDLFLSCLHGLMLVALLLVVVTWRVCQKSHCFRLGRQLSKALQVNCVVRKLLVQLRRLYWWVETMTALTSWHLAYLITWTTCLASHLLQAAFEHTTQLAEAQEVEPQEVSGSSLLPSLSASSDSESGTVLPEQETPRE
+>DECOY_sp|Q6UE05|TM270_HUMAN Transmembrane protein 270 OS=Homo sapiens OX=9606 GN=TMEM270 PE=2 SV=2
+ERPTEQEPLVTGSESDSSASLSPLLSSGSVEQPEVEQAEALQTTHEFAAQLLHSALCTTWTILYALHWSTLATMTEVWWYLRRLQVLLKRVVCNVQLAKSLQRGLRFCHSKQCVRWTVVVLLLAVLMLGHLCSLFLDTWIAVSLGLQEWASLLALGLGKTSGWMGAWMLRPGQLVLWVPVQILALGAWLAQGLPCAAAGLPLHAQWNCSGRAEQALGSVWHNFLNIKLLLFNYLHDRNQVLLVSLRTVQLLIGLLSSRVPPLAEM
+>sp|Q9BRN9|TM2D3_HUMAN TM2 domain-containing protein 3 OS=Homo sapiens OX=9606 GN=TM2D3 PE=2 SV=2
+MAGGVLPLRGLRALCRVLLFLSQFCILSGGEQSQALAQSIKDPGPTRTFTVVPRAAESTEIPPYVMKCPSNGLCSRLPADCIDCTTNFSCTYGKPVTFDCAVKPSVTCVDQDFKSQKNFIINMTCRFCWQLPETDYECTNSTSCMTVSCPRQRYPANCTVRDHVHCLGNRTFPKMLYCNWTGGYKWSTALALSITLGGFGADRFYLGQWREGLGKLFSFGGLGIWTLIDVLLIGVGYVGPADGSLYI
+>DECOY_sp|Q9BRN9|TM2D3_HUMAN TM2 domain-containing protein 3 OS=Homo sapiens OX=9606 GN=TM2D3 PE=2 SV=2
+IYLSGDAPGVYGVGILLVDILTWIGLGGFSFLKGLGERWQGLYFRDAGFGGLTISLALATSWKYGGTWNCYLMKPFTRNGLCHVHDRVTCNAPYRQRPCSVTMCSTSNTCEYDTEPLQWCFRCTMNIIFNKQSKFDQDVCTVSPKVACDFTVPKGYTCSFNTTCDICDAPLRSCLGNSPCKMVYPPIETSEAARPVVTFTRTPGPDKISQALAQSQEGGSLICFQSLFLLVRCLARLGRLPLVGGAM
+>sp|Q9NVV0|TM38B_HUMAN Trimeric intracellular cation channel type B OS=Homo sapiens OX=9606 GN=TMEM38B PE=1 SV=1
+MDSPWDELALAFSRTSMFPFFDIAHYLVSVMAVKRQPGAAALAWKNPISSWFTAMLHCFGGGILSCLLLAEPPLKFLANHTNILLASSIWYITFFCPHDLVSQGYSYLPVQLLASGMKEVTRTWKIVGGVTHANSYYKNGWIVMIAIGWARGAGGTIITNFERLVKGDWKPEGDEWLKMSYPAKVTLLGSVIFTFQHTQHLAISKHNLMFLYTIFIVATKITMMTTQTSTMTFAPFEDTLSWMLFGWQQPFSSCEKKSEAKSPSNGVGSLASKPVDVASDNVKKKHTKKNE
+>DECOY_sp|Q9NVV0|TM38B_HUMAN Trimeric intracellular cation channel type B OS=Homo sapiens OX=9606 GN=TMEM38B PE=1 SV=1
+ENKKTHKKKVNDSAVDVPKSALSGVGNSPSKAESKKECSSFPQQWGFLMWSLTDEFPAFTMTSTQTTMMTIKTAVIFITYLFMLNHKSIALHQTHQFTFIVSGLLTVKAPYSMKLWEDGEPKWDGKVLREFNTIITGGAGRAWGIAIMVIWGNKYYSNAHTVGGVIKWTRTVEKMGSALLQVPLYSYGQSVLDHPCFFTIYWISSALLINTHNALFKLPPEALLLCSLIGGGFCHLMATFWSSIPNKWALAAAGPQRKVAMVSVLYHAIDFFPFMSTRSFALALEDWPSDM
+>sp|Q9GZU3|TM39B_HUMAN Transmembrane protein 39B OS=Homo sapiens OX=9606 GN=TMEM39B PE=1 SV=1
+MGGRRGPNRTSYCRNPLCEPGSSGGSSGSHTSSASVTSVRSRTRSSSGTGLSSPPLATQTVVPLQHCKIPELPVQASILFELQLFFCQLIALFVHYINIYKTVWWYPPSHPPSHTSLNFHLIDFNLLMVTTIVLGRRFIGSIVKEASQRGKVSLFRSILLFLTRFTVLTATGWSLCRSLIHLFRTYSFLNLLFLCYPFGMYIPFLQLNCDLRKTSLFNHMASMGPREAVSGLAKSRDYLLTLRETWKQHTRQLYGPDAMPTHACCLSPSLIRSEVEFLKMDFNWRMKEVLVSSMLSAYYVAFVPVWFVKNTHYYDKRWSCELFLLVSISTSVILMQHLLPASYCDLLHKAAAHLGCWQKVDPALCSNVLQHPWTEECMWPQGVLVKHSKNVYKAVGHYNVAIPSDVSHFRFHFFFSKPLRILNILLLLEGAVIVYQLYSLMSSEKWHQTISLALILFSNYYAFFKLLRDRLVLGKAYSYSASPQRDLDHRFS
+>DECOY_sp|Q9GZU3|TM39B_HUMAN Transmembrane protein 39B OS=Homo sapiens OX=9606 GN=TMEM39B PE=1 SV=1
+SFRHDLDRQPSASYSYAKGLVLRDRLLKFFAYYNSFLILALSITQHWKESSMLSYLQYVIVAGELLLLINLIRLPKSFFFHFRFHSVDSPIAVNYHGVAKYVNKSHKVLVGQPWMCEETWPHQLVNSCLAPDVKQWCGLHAAAKHLLDCYSAPLLHQMLIVSTSISVLLFLECSWRKDYYHTNKVFWVPVFAVYYASLMSSVLVEKMRWNFDMKLFEVESRILSPSLCCAHTPMADPGYLQRTHQKWTERLTLLYDRSKALGSVAERPGMSAMHNFLSTKRLDCNLQLFPIYMGFPYCLFLLNLFSYTRFLHILSRCLSWGTATLVTFRTLFLLISRFLSVKGRQSAEKVISGIFRRGLVITTVMLLNFDILHFNLSTHSPPHSPPYWWVTKYINIYHVFLAILQCFFLQLEFLISAQVPLEPIKCHQLPVVTQTALPPSSLGTGSSSRTRSRVSTVSASSTHSGSSGGSSGPECLPNRCYSTRNPGRRGGM
+>sp|Q5BJD5|TM41B_HUMAN Transmembrane protein 41B OS=Homo sapiens OX=9606 GN=TMEM41B PE=1 SV=1
+MAKGRVAERSQLGAHHTTPVGDGAAGTRGLAAPGSRDHQKEKSWVEAGSARMSLLILVSIFLSAAFVMFLVYKNFPQLSEEERVNMKVPRDMDDAKALGKVLSKYKDTFYVQVLVAYFATYIFLQTFAIPGSIFLSILSGFLYPFPLALFLVCLCSGLGASFCYMLSYLVGRPVVYKYLTEKAVKWSQQVERHREHLINYIIFLRITPFLPNWFINITSPVINVPLKVFFIGTFLGVAPPSFVAIKAGTTLYQLTTAGEAVSWNSIFILMILAVLSILPAIFQKKLKQKFE
+>DECOY_sp|Q5BJD5|TM41B_HUMAN Transmembrane protein 41B OS=Homo sapiens OX=9606 GN=TMEM41B PE=1 SV=1
+EFKQKLKKQFIAPLISLVALIMLIFISNWSVAEGATTLQYLTTGAKIAVFSPPAVGLFTGIFFVKLPVNIVPSTINIFWNPLFPTIRLFIIYNILHERHREVQQSWKVAKETLYKYVVPRGVLYSLMYCFSAGLGSCLCVLFLALPFPYLFGSLISLFISGPIAFTQLFIYTAFYAVLVQVYFTDKYKSLVKGLAKADDMDRPVKMNVREEESLQPFNKYVLFMVFAASLFISVLILLSMRASGAEVWSKEKQHDRSGPAALGRTGAAGDGVPTTHHAGLQSREAVRGKAM
+>sp|P56557|TM50B_HUMAN Transmembrane protein 50B OS=Homo sapiens OX=9606 GN=TMEM50B PE=1 SV=2
+MAGFLDNFRWPECECIDWSERRNAVASVVAGILFFTGWWIMIDAAVVYPKPEQLNHAFHTCGVFSTLAFFMINAVSNAQVRGDSYESGCLGRTGARVWLFIGFMLMFGSLIASMWILFGAYVTQNTDVYPGLAVFFQNALIFFSTLIYKFGRTEELWT
+>DECOY_sp|P56557|TM50B_HUMAN Transmembrane protein 50B OS=Homo sapiens OX=9606 GN=TMEM50B PE=1 SV=2
+TWLEETRGFKYILTSFFILANQFFVALGPYVDTNQTVYAGFLIWMSAILSGFMLMFGIFLWVRAGTRGLCGSEYSDGRVQANSVANIMFFALTSFVGCTHFAHNLQEPKPYVVAADIMIWWGTFFLIGAVVSAVANRRESWDICECEPWRFNDLFGAM
+>sp|Q9BZW4|TM6S2_HUMAN Transmembrane 6 superfamily member 2 OS=Homo sapiens OX=9606 GN=TM6SF2 PE=1 SV=3
+MDIPPLAGKIAALSLSALPVSYALNHVSALSHPLWVALMSALILGLLFVAVYSLSHGEVSYDPLYAVFAVFAFTSVVDLIIALQEDSYVVGFMEFYTKEGEPYLRTAHGVFICYWDGTVHYLLYLAMAGAICRRKRYRNFGLYWLGSFAMSILVFLTGNILGKYSSEIRPAFFLTIPYLLVPCWAGMKVFSQPRALTRCTANMVQEEQRKGLLQRPADLALVIYLILAGFFTLFRGLVVLDCPTDACFVYIYQYEPYLRDPVAYPKVQMLMYMFYVLPFCGLAAYALTFPGCSWLPDWALVFAGGIGQAQFSHMGASMHLRTPFTYRVPEDTWGCFFVCNLLYALGPHLLAYRCLQWPAFFHQPPPSDPLALHKKQH
+>DECOY_sp|Q9BZW4|TM6S2_HUMAN Transmembrane 6 superfamily member 2 OS=Homo sapiens OX=9606 GN=TM6SF2 PE=1 SV=3
+HQKKHLALPDSPPPQHFFAPWQLCRYALLHPGLAYLLNCVFFCGWTDEPVRYTFPTRLHMSAGMHSFQAQGIGGAFVLAWDPLWSCGPFTLAYAALGCFPLVYFMYMLMQVKPYAVPDRLYPEYQYIYVFCADTPCDLVVLGRFLTFFGALILYIVLALDAPRQLLGKRQEEQVMNATCRTLARPQSFVKMGAWCPVLLYPITLFFAPRIESSYKGLINGTLFVLISMAFSGLWYLGFNRYRKRRCIAGAMALYLLYHVTGDWYCIFVGHATRLYPEGEKTYFEMFGVVYSDEQLAIILDVVSTFAFVAFVAYLPDYSVEGHSLSYVAVFLLGLILASMLAVWLPHSLASVHNLAYSVPLASLSLAAIKGALPPIDM
+>sp|Q9NUR3|TM74B_HUMAN Transmembrane protein 74B OS=Homo sapiens OX=9606 GN=TMEM74B PE=2 SV=1
+MPPAQGYEFAAAKGPRDELGPSFPMASPPGLELKTLSNGPQAPRRSAPLGPVAPTREGVENACFSSEEHETHFQNPGNTRLGSSPSPPGGVSSLPRSQRDDLSLHSEEGPALEPVSRPVDYGFVSALVFLVSGILLVVTAYAIPREARVNPDTVTAREMERLEMYYARLGSHLDRCIIAGLGLLTVGGMLLSVLLMVSLCKGELYRRRTFVPGKGSRKTYGSINLRMRQLNGDGGQALVENEVVQVSETSHTLQRS
+>DECOY_sp|Q9NUR3|TM74B_HUMAN Transmembrane protein 74B OS=Homo sapiens OX=9606 GN=TMEM74B PE=2 SV=1
+SRQLTHSTESVQVVENEVLAQGGDGNLQRMRLNISGYTKRSGKGPVFTRRRYLEGKCLSVMLLVSLLMGGVTLLGLGAIICRDLHSGLRAYYMELREMERATVTDPNVRAERPIAYATVVLLIGSVLFVLASVFGYDVPRSVPELAPGEESHLSLDDRQSRPLSSVGGPPSPSSGLRTNGPNQFHTEHEESSFCANEVGERTPAVPGLPASRRPAQPGNSLTKLELGPPSAMPFSPGLEDRPGKAAAFEYGQAPPM
+>sp|Q8N2M4|TM86A_HUMAN Lysoplasmalogenase-like protein TMEM86A OS=Homo sapiens OX=9606 GN=TMEM86A PE=2 SV=1
+MVSPVTVVKSEGPKLVPFFKATCVYFVLWLPSSSPSWVSTLIKCLPIFCLWLFLLAHGLGFLLAHPSATRIFVGLVFSAVGDAFLIWQDQGYFVHGLLMFAVTHMFYASAFGMQPLALRTGLVMAALSGLCYALLYPCLSGAFTYLVGVYVALIGFMGWRAMAGLRLAGADWRWTELAAGSGALFFIISDLTIALNKFCFPVPYSRALIMSTYYVAQMLVALSAVESREPVEHYRLTKAN
+>DECOY_sp|Q8N2M4|TM86A_HUMAN Lysoplasmalogenase-like protein TMEM86A OS=Homo sapiens OX=9606 GN=TMEM86A PE=2 SV=1
+NAKTLRYHEVPERSEVASLAVLMQAVYYTSMILARSYPVPFCFKNLAITLDSIIFFLAGSGAALETWRWDAGALRLGAMARWGMFGILAVYVGVLYTFAGSLCPYLLAYCLGSLAAMVLGTRLALPQMGFASAYFMHTVAFMLLGHVFYGQDQWILFADGVASFVLGVFIRTASPHALLFGLGHALLFLWLCFIPLCKILTSVWSPSSSPLWLVFYVCTAKFFPVLKPGESKVVTVPSVM
+>sp|Q96K49|TM87B_HUMAN Transmembrane protein 87B OS=Homo sapiens OX=9606 GN=TMEM87B PE=1 SV=1
+MVAACRSVAGLLPRRRRCFPARAPLLRVALCLLCWTPAAVRAVPELGLWLETVNDKSGPLIFRKTMFNSTDIKLSVKSFHCSGPVKFTIVWHLKYHTCHNEHSNLEELFQKHKLSVDEDFCHYLKNDNCWTTKNENLDCNSDSQVFPSLNNKELINIRNVSNQERSMDVVARTQKDGFHIFIVSIKTENTDASWNLNVSLSMIGPHGYISASDWPLMIFYMVMCIVYILYGILWLTWSACYWKDILRIQFWIAAVIFLGMLEKAVFYSEYQNISNTGLSTQGLLIFAELISAIKRTLARLLVIIVSLGYGIVKPRLGTVMHRVIGLGLLYLIFAAVEGVMRVIGGSNHLAVVLDDIILAVIDSIFVWFIFISLAQTMKTLRLRKNTVKFSLYRHFKNTLIFAVLASIVFMGWTTKTFRIAKCQSDWMERWVDDAFWSFLFSLILIVIMFLWRPSANNQRYAFMPLIDDSDDEIEEFMVTSENLTEGIKLRASKSVSNGTAKPATSENFDEDLKWVEENIPSSFTDVALPVLVDSDEEIMTRSEMAEKMFSSEKIM
+>DECOY_sp|Q96K49|TM87B_HUMAN Transmembrane protein 87B OS=Homo sapiens OX=9606 GN=TMEM87B PE=1 SV=1
+MIKESSFMKEAMESRTMIEEDSDVLVPLAVDTFSSPINEEVWKLDEDFNESTAPKATGNSVSKSARLKIGETLNESTVMFEEIEDDSDDILPMFAYRQNNASPRWLFMIVILILSFLFSWFADDVWREMWDSQCKAIRFTKTTWGMFVISALVAFILTNKFHRYLSFKVTNKRLRLTKMTQALSIFIFWVFISDIVALIIDDLVVALHNSGGIVRMVGEVAAFILYLLGLGIVRHMVTGLRPKVIGYGLSVIIVLLRALTRKIASILEAFILLGQTSLGTNSINQYESYFVAKELMGLFIVAAIWFQIRLIDKWYCASWTLWLIGYLIYVICMVMYFIMLPWDSASIYGHPGIMSLSVNLNWSADTNETKISVIFIHFGDKQTRAVVDMSREQNSVNRINILEKNNLSPFVQSDSNCDLNENKTTWCNDNKLYHCFDEDVSLKHKQFLEELNSHENHCTHYKLHWVITFKVPGSCHFSKVSLKIDTSNFMTKRFILPGSKDNVTELWLGLEPVARVAAPTWCLLCLAVRLLPARAPFCRRRRPLLGAVSRCAAVM
+>sp|Q6UWJ1|TMCO3_HUMAN Transmembrane and coiled-coil domain-containing protein 3 OS=Homo sapiens OX=9606 GN=TMCO3 PE=1 SV=1
+MKVLGRSFFWVLFPVLPWAVQAVEHEEVAQRVIKLHRGRGVAAMQSRQWVRDSCRKLSGLLRQKNAVLNKLKTAIGAVEKDVGLSDEEKLFQVHTFEIFQKELNESENSVFQAVYGLQRALQGDYKDVVNMKESSRQRLEALREAAIKEETEYMELLAAEKHQVEALKNMQHQNQSLSMLDEILEDVRKAADRLEEEIEEHAFDDNKSVKGVNFEAVLRVEEEEANSKQNITKREVEDDLGLSMLIDSQNNQYILTKPRDSTIPRADHHFIKDIVTIGMLSLPCGWLCTAIGLPTMFGYIICGVLLGPSGLNSIKSIVQVETLGEFGVFFTLFLVGLEFSPEKLRKVWKISLQGPCYMTLLMIAFGLLWGHLLRIKPTQSVFISTCLSLSSTPLVSRFLMGSARGDKEGDIDYSTVLLGMLVTQDVQLGLFMAVMPTLIQAGASASSSIVVEVLRILVLIGQILFSLAAVFLLCLVIKKYLIGPYYRKLHMESKGNKEILILGISAFIFLMLTVTELLDVSMELGCFLAGALVSSQGPVVTEEIATSIEPIRDFLAIVFFASIGLHVFPTFVAYELTVLVFLTLSVVVMKFLLAALVLSLILPRSSQYIKWIVSAGLAQVSEFSFVLGSRARRAGVISREVYLLILSVTTLSLLLAPVLWRAAITRCVPRPERRSSL
+>DECOY_sp|Q6UWJ1|TMCO3_HUMAN Transmembrane and coiled-coil domain-containing protein 3 OS=Homo sapiens OX=9606 GN=TMCO3 PE=1 SV=1
+LSSRREPRPVCRTIAARWLVPALLLSLTTVSLILLYVERSIVGARRARSGLVFSFESVQALGASVIWKIYQSSRPLILSLVLAALLFKMVVVSLTLFVLVTLEYAVFTPFVHLGISAFFVIALFDRIPEISTAIEETVVPGQSSVLAGALFCGLEMSVDLLETVTLMLFIFASIGLILIEKNGKSEMHLKRYYPGILYKKIVLCLLFVAALSFLIQGILVLIRLVEVVISSSASAGAQILTPMVAMFLGLQVDQTVLMGLLVTSYDIDGEKDGRASGMLFRSVLPTSSLSLCTSIFVSQTPKIRLLHGWLLGFAIMLLTMYCPGQLSIKWVKRLKEPSFELGVLFLTFFVGFEGLTEVQVISKISNLGSPGLLVGCIIYGFMTPLGIATCLWGCPLSLMGITVIDKIFHHDARPITSDRPKTLIYQNNQSDILMSLGLDDEVERKTINQKSNAEEEEVRLVAEFNVGKVSKNDDFAHEEIEEELRDAAKRVDELIEDLMSLSQNQHQMNKLAEVQHKEAALLEMYETEEKIAAERLAELRQRSSEKMNVVDKYDGQLARQLGYVAQFVSNESENLEKQFIEFTHVQFLKEEDSLGVDKEVAGIATKLKNLVANKQRLLGSLKRCSDRVWQRSQMAAVGRGRHLKIVRQAVEEHEVAQVAWPLVPFLVWFFSRGLVKM
+>sp|Q15363|TMED2_HUMAN Transmembrane emp24 domain-containing protein 2 OS=Homo sapiens OX=9606 GN=TMED2 PE=1 SV=1
+MVTLAELLVLLAALLATVSGYFVSIDAHAEECFFERVTSGTKMGLIFEVAEGGFLDIDVEITGPDNKGIYKGDRESSGKYTFAAHMDGTYKFCFSNRMSTMTPKIVMFTIDIGEAPKGQDMETEAHQNKLEEMINELAVAMTAVKHEQEYMEVRERIHRAINDNTNSRVVLWSFFEALVLVAMTLGQIYYLKRFFEVRRVV
+>DECOY_sp|Q15363|TMED2_HUMAN Transmembrane emp24 domain-containing protein 2 OS=Homo sapiens OX=9606 GN=TMED2 PE=1 SV=1
+VVRRVEFFRKLYYIQGLTMAVLVLAEFFSWLVVRSNTNDNIARHIRERVEMYEQEHKVATMAVALENIMEELKNQHAETEMDQGKPAEGIDITFMVIKPTMTSMRNSFCFKYTGDMHAAFTYKGSSERDGKYIGKNDPGTIEVDIDLFGGEAVEFILGMKTGSTVREFFCEEAHADISVFYGSVTALLAALLVLLEALTVM
+>sp|Q9P0T7|TMEM9_HUMAN Transmembrane protein 9 OS=Homo sapiens OX=9606 GN=TMEM9 PE=1 SV=1
+MKLLSLVAVVGCLLVPPAEANKSSEDIRCKCICPPYRNISGHIYNQNVSQKDCNCLHVVEPMPVPGHDVEAYCLLCECRYEERSTTTIKVIIVIYLSVVGALLLYMAFLMLVDPLIRKPDAYTEQLHNEEENEDARSMAAAAASLGGPRANTVLERVEGAQQRWKLQVQEQRKTVFDRHKMLS
+>DECOY_sp|Q9P0T7|TMEM9_HUMAN Transmembrane protein 9 OS=Homo sapiens OX=9606 GN=TMEM9 PE=1 SV=1
+SLMKHRDFVTKRQEQVQLKWRQQAGEVRELVTNARPGGLSAAAAAMSRADENEEENHLQETYADPKRILPDVLMLFAMYLLLAGVVSLYIVIIVKITTTSREEYRCECLLCYAEVDHGPVPMPEVVHLCNCDKQSVNQNYIHGSINRYPPCICKCRIDESSKNAEAPPVLLCGVVAVLSLLKM
+>sp|Q8IUR5|TMTC1_HUMAN Transmembrane and TPR repeat-containing protein 1 OS=Homo sapiens OX=9606 GN=TMTC1 PE=1 SV=3
+MVVTTSARGGGGDRTPSRRRGCGLAPAGAAALLAGASCLCYGRSLQGEFVHDDVWAIVNNPDVRPGAPLRWGIFTNDFWGKGMAENTSHKSYRPLCVLTFKLNIFLTGMNPFYFHAVNIILHCLVTLVLMYTCDKTVFKNRGLAFVTALLFAVHPIHTEAVAGIVGRADVLACLLFLLAFLSYNRSLDQGCVGGSFPSTVSPFFLLLSLFLGTCAMLVKETGITVFGVCLVYDLFSLSNKQDKSSNGALCPRSPQQPGSPQPSSLPGHPHRENGKQQRFPHKGAWGGCHSPLPPEPKSSGFPVSPRAVWSMMRFLTYSYLLAFNVWLLLAPVTLCYDWQVGSIPLVETIWDMRNLATIFLAVVMALLSLHCLAAFKRLEHKEVLVGLLFLVFPFIPASNLFFRVGFVVAERVLYMPSMGYCILFVHGLSKLCTWLNRCGATTLIVSTVLLLLLFSWKTVKQNEIWLSRESLFRSGVQTLPHNAKVHYNYANFLKDQGRNKEAIYHYRTALKLYPRHASALNNLGTLTRDTAEAKMYYQRALQLHPQHNRALFNLGNLLKSQEKKEEAITLLKDSIKYGPEFADAYSSLASLLAEQERFKEAEEIYQTGIKNCPDSSDLHNNYGVFLVDTGLPEKAVAHYQQAIKLSPSHHVAMVNLGRLYRSLGENSMAEEWYKRALQVAHKAEILSPLGALYYNTGRYEEALQIYQEAAALQPSQRELRLALAQVLAVMGQTKEAEKMTNHIVSEETGCLECYRLLSAIYSKQENHDKALDAIDKALQLKPKDPKVISELFFTKGNQLREQNLLDKAFESYRVAVQLNPDQAQAWMNMGGIQHIKGKYVSARAYYERALQLVPDSKLLKENLAKLDRLEKRLQEVREKDQT
+>DECOY_sp|Q8IUR5|TMTC1_HUMAN Transmembrane and TPR repeat-containing protein 1 OS=Homo sapiens OX=9606 GN=TMTC1 PE=1 SV=3
+TQDKERVEQLRKELRDLKALNEKLLKSDPVLQLAREYYARASVYKGKIHQIGGMNMWAQAQDPNLQVAVRYSEFAKDLLNQERLQNGKTFFLESIVKPDKPKLQLAKDIADLAKDHNEQKSYIASLLRYCELCGTEESVIHNTMKEAEKTQGMVALVQALALRLERQSPQLAAAEQYIQLAEEYRGTNYYLAGLPSLIEAKHAVQLARKYWEEAMSNEGLSRYLRGLNVMAVHHSPSLKIAQQYHAVAKEPLGTDVLFVGYNNHLDSSDPCNKIGTQYIEEAEKFREQEALLSALSSYADAFEPGYKISDKLLTIAEEKKEQSKLLNGLNFLARNHQPHLQLARQYYMKAEATDRTLTGLNNLASAHRPYLKLATRYHYIAEKNRGQDKLFNAYNYHVKANHPLTQVGSRFLSERSLWIENQKVTKWSFLLLLLVTSVILTTAGCRNLWTCLKSLGHVFLICYGMSPMYLVREAVVFGVRFFLNSAPIFPFVLFLLGVLVEKHELRKFAALCHLSLLAMVVALFITALNRMDWITEVLPISGVQWDYCLTVPALLLWVNFALLYSYTLFRMMSWVARPSVPFGSSKPEPPLPSHCGGWAGKHPFRQQKGNERHPHGPLSSPQPSGPQQPSRPCLAGNSSKDQKNSLSFLDYVLCVGFVTIGTEKVLMACTGLFLSLLLFFPSVTSPFSGGVCGQDLSRNYSLFALLFLLCALVDARGVIGAVAETHIPHVAFLLATVFALGRNKFVTKDCTYMLVLTVLCHLIINVAHFYFPNMGTLFINLKFTLVCLPRYSKHSTNEAMGKGWFDNTFIGWRLPAGPRVDPNNVIAWVDDHVFEGQLSRGYCLCSAGALLAAAGAPALGCGRRRSPTRDGGGGRASTTVVM
+>sp|Q6ZXV5|TMTC3_HUMAN Transmembrane and TPR repeat-containing protein 3 OS=Homo sapiens OX=9606 GN=TMTC3 PE=1 SV=2
+MANINLKEITLIVGVVTACYWNSLFCGFVFDDVSAILDNKDLHPSTPLKTLFQNDFWGTPMSEERSHKSYRPLTVLTFRLNYLLSELKPMSYHLLNMIFHAVVSVIFLKVCKLFLDNKSSVIASLLFAVHPIHTEAVTGVVGRAELLSSIFFLAAFLSYTRSKGPDNSIIWTPIALTVFLVAVATLCKEQGITVVGICCVYEVFIAQGYTLPLLCTTAGQFLRGKGSIPFSMLQTLVKLIVLMFSTLLLVVIRVQVIQSQLPVFTRFDNPAAVSPTPTRQLTFNYLLPVNAWLLLNPSELCCDWTMGTIPLIESLLDIRNLATFTFFCFLGMLGVFSIRYSGDSSKTVLMALCLMALPFIPASNLFFPVGFVVAERVLYVPSMGFCILVAHGWQKISTKSVFKKLSWICLSMVILTHSLKTFHRNWDWESEYTLFMSALKVNKNNAKLWNNVGHALENEKNFERALKYFLQATHVQPDDIGAHMNVGRTYKNLNRTKEAEESYMMAKSLMPQIIPGKKYAARIAPNHLNVYINLANLIRANESRLEEADQLYRQAISMRPDFKQAYISRGELLLKMNKPLKAKEAYLKALELDRNNADLWYNLAIVHIELKEPNEALKKNFNRALELNPKHKLALFNSAIVMQESGEVKLRPEARKRLLSYINEEPLDANGYFNLGMLAMDDKKDNEAEIWMKKAIKLQADFRSALFNLALLYSQTAKELKALPILEELLRYYPDHIKGLILKGDILMNQKKDILGAKKCFERILEMDPSNVQGKHNLCVVYFEEKDLLKAERCLLETLALAPHEEYIQRHLNIVRDKISSSSFIEPIFPTSKISSVEGKKIPTESVKEIRGESRQTQIVKTSDNKSQSKSNKQLGKNGDEETPHKTTKDIKEIEKKRVAALKRLEEIERILNGE
+>DECOY_sp|Q6ZXV5|TMTC3_HUMAN Transmembrane and TPR repeat-containing protein 3 OS=Homo sapiens OX=9606 GN=TMTC3 PE=1 SV=2
+EGNLIREIEELRKLAAVRKKEIEKIDKTTKHPTEEDGNKGLQKNSKSQSKNDSTKVIQTQRSEGRIEKVSETPIKKGEVSSIKSTPFIPEIFSSSSIKDRVINLHRQIYEEHPALALTELLCREAKLLDKEEFYVVCLNHKGQVNSPDMELIREFCKKAGLIDKKQNMLIDGKLILGKIHDPYYRLLEELIPLAKLEKATQSYLLALNFLASRFDAQLKIAKKMWIEAENDKKDDMALMGLNFYGNADLPEENIYSLLRKRAEPRLKVEGSEQMVIASNFLALKHKPNLELARNFNKKLAENPEKLEIHVIALNYWLDANNRDLELAKLYAEKAKLPKNMKLLLEGRSIYAQKFDPRMSIAQRYLQDAEELRSENARILNALNIYVNLHNPAIRAAYKKGPIIQPMLSKAMMYSEEAEKTRNLNKYTRGVNMHAGIDDPQVHTAQLFYKLAREFNKENELAHGVNNWLKANNKNVKLASMFLTYESEWDWNRHFTKLSHTLIVMSLCIWSLKKFVSKTSIKQWGHAVLICFGMSPVYLVREAVVFGVPFFLNSAPIFPLAMLCLAMLVTKSSDGSYRISFVGLMGLFCFFTFTALNRIDLLSEILPITGMTWDCCLESPNLLLWANVPLLYNFTLQRTPTPSVAAPNDFRTFVPLQSQIVQVRIVVLLLTSFMLVILKVLTQLMSFPISGKGRLFQGATTCLLPLTYGQAIFVEYVCCIGVVTIGQEKCLTAVAVLFVTLAIPTWIISNDPGKSRTYSLFAALFFISSLLEARGVVGTVAETHIPHVAFLLSAIVSSKNDLFLKCVKLFIVSVVAHFIMNLLHYSMPKLESLLYNLRFTLVTLPRYSKHSREESMPTGWFDNQFLTKLPTSPHLDKNDLIASVDDFVFGCFLSNWYCATVVGVILTIEKLNINAM
+>sp|P01375|TNFA_HUMAN Tumor necrosis factor OS=Homo sapiens OX=9606 GN=TNF PE=1 SV=1
+MSTESMIRDVELAEEALPKKTGGPQGSRRCLFLSLFSFLIVAGATTLFCLLHFGVIGPQREEFPRDLSLISPLAQAVRSSSRTPSDKPVAHVVANPQAEGQLQWLNRRANALLANGVELRDNQLVVPSEGLYLIYSQVLFKGQGCPSTHVLLTHTISRIAVSYQTKVNLLSAIKSPCQRETPEGAEAKPWYEPIYLGGVFQLEKGDRLSAEINRPDYLDFAESGQVYFGIIAL
+>DECOY_sp|P01375|TNFA_HUMAN Tumor necrosis factor OS=Homo sapiens OX=9606 GN=TNF PE=1 SV=1
+LAIIGFYVQGSEAFDLYDPRNIEASLRDGKELQFVGGLYIPEYWPKAEAGEPTERQCPSKIASLLNVKTQYSVAIRSITHTLLVHTSPCGQGKFLVQSYILYLGESPVVLQNDRLEVGNALLANARRNLWQLQGEAQPNAVVHAVPKDSPTRSSSRVAQALPSILSLDRPFEERQPGIVGFHLLCFLTTAGAVILFSFLSLFLCRRSGQPGGTKKPLAEEALEVDRIMSETSM
+>sp|Q13470|TNK1_HUMAN Non-receptor tyrosine-protein kinase TNK1 OS=Homo sapiens OX=9606 GN=TNK1 PE=1 SV=3
+MLPEAGSLWLLKLLRDIQLAQFYWPILEELNVTRPEHFDFVKPEDLDGIGMGRPAQRRLSEALKRLRSGPKSKNWVYKILGGFAPEHKEPTLPSDSPRHLPEPEGGLKCLIPEGAVCRGELLGSGCFGVVHRGLWTLPSGKSVPVAVKSLRVGPEGPMGTELGDFLREVSVMMNLEHPHVLRLHGLVLGQPLQMVMELAPLGSLHARLTAPAPTPPLLVALLCLFLRQLAGAMAYLGARGLVHRDLATRNLLLASPRTIKVADFGLVRPLGGARGRYVMGGPRPIPYAWCAPESLRHGAFSSASDVWMFGVTLWEMFSGGEEPWAGVPPYLILQRLEDRARLPRPPLCSRALYSLALRCWAPHPADRPSFSHLEGLLQEAGPSEACCVRDVTEPGALRMETGDPITVIEGSSSFHSPDSTIWKGQNGRTFKVGSFPASAVTLADAGGLPATRPVHRGTPARGDQHPGSIDGDRKKANLWDAPPARGQRRNMPLERMKGISRSLESVLSLGPRPTGGGSSPPEIRQARAVPQGPPGLPPRPPLSSSSPQPSQPSRERLPWPKRKPPHNHPMGMPGARKAAALSGGLLSDPELQRKIMEVELSVHGVTHQECQTALGATGGDVVSAIRNLKVDQLFHLSSRSRADCWRILEHYQWDLSAASRYVLARP
+>DECOY_sp|Q13470|TNK1_HUMAN Non-receptor tyrosine-protein kinase TNK1 OS=Homo sapiens OX=9606 GN=TNK1 PE=1 SV=3
+PRALVYRSAASLDWQYHELIRWCDARSRSSLHFLQDVKLNRIASVVDGGTAGLATQCEQHTVGHVSLEVEMIKRQLEPDSLLGGSLAAAKRAGPMGMPHNHPPKRKPWPLRERSPQSPQPSSSSLPPRPPLGPPGQPVARAQRIEPPSSGGGTPRPGLSLVSELSRSIGKMRELPMNRRQGRAPPADWLNAKKRDGDISGPHQDGRAPTGRHVPRTAPLGGADALTVASAPFSGVKFTRGNQGKWITSDPSHFSSSGEIVTIPDGTEMRLAGPETVDRVCCAESPGAEQLLGELHSFSPRDAPHPAWCRLALSYLARSCLPPRPLRARDELRQLILYPPVGAWPEEGGSFMEWLTVGFMWVDSASSFAGHRLSEPACWAYPIPRPGGMVYRGRAGGLPRVLGFDAVKITRPSALLLNRTALDRHVLGRAGLYAMAGALQRLFLCLLAVLLPPTPAPATLRAHLSGLPALEMVMQLPQGLVLGHLRLVHPHELNMMVSVERLFDGLETGMPGEPGVRLSKVAVPVSKGSPLTWLGRHVVGFCGSGLLEGRCVAGEPILCKLGGEPEPLHRPSDSPLTPEKHEPAFGGLIKYVWNKSKPGSRLRKLAESLRRQAPRGMGIGDLDEPKVFDFHEPRTVNLEELIPWYFQALQIDRLLKLLWLSGAEPLM
+>sp|Q9H2S6|TNMD_HUMAN Tenomodulin OS=Homo sapiens OX=9606 GN=TNMD PE=1 SV=1
+MAKNPPENCEDCHILNAEAFKSKKICKSLKICGLVFGILALTLIVLFWGSKHFWPEVPKKAYDMEHTFYSNGEKKKIYMEIDPVTRTEIFRSGNGTDETLEVHDFKNGYTGIYFVGLQKCFIKTQIKVIPEFSEPEEEIDENEEITTTFFEQSVIWVPAEKPIENRDFLKNSKILEICDNVTMYWINPTLISVSELQDFEEEGEDLHFPANEKKGIEQNEQWVVPQVKVEKTRHARQASEEELPINDYTENGIEFDPMLDERGYCCIYCRRGNRYCRRVCEPLLGYYPYPYCYQGGRVICRVIMPCNWWVARMLGRV
+>DECOY_sp|Q9H2S6|TNMD_HUMAN Tenomodulin OS=Homo sapiens OX=9606 GN=TNMD PE=1 SV=1
+VRGLMRAVWWNCPMIVRCIVRGGQYCYPYPYYGLLPECVRRCYRNGRRCYICCYGREDLMPDFEIGNETYDNIPLEEESAQRAHRTKEVKVQPVVWQENQEIGKKENAPFHLDEGEEEFDQLESVSILTPNIWYMTVNDCIELIKSNKLFDRNEIPKEAPVWIVSQEFFTTTIEENEDIEEEPESFEPIVKIQTKIFCKQLGVFYIGTYGNKFDHVELTEDTGNGSRFIETRTVPDIEMYIKKKEGNSYFTHEMDYAKKPVEPWFHKSGWFLVILTLALIGFVLGCIKLSKCIKKSKFAEANLIHCDECNEPPNKAM
+>sp|Q07011|TNR9_HUMAN Tumor necrosis factor receptor superfamily member 9 OS=Homo sapiens OX=9606 GN=TNFRSF9 PE=1 SV=1
+MGNSCYNIVATLLLVLNFERTRSLQDPCSNCPAGTFCDNNRNQICSPCPPNSFSSAGGQRTCDICRQCKGVFRTRKECSSTSNAECDCTPGFHCLGAGCSMCEQDCKQGQELTKKGCKDCCFGTFNDQKRGICRPWTNCSLDGKSVLVNGTKERDVVCGPSPADLSPGASSVTPPAPAREPGHSPQIISFFLALTSTALLFLLFFLTLRFSVVKRGRKKLLYIFKQPFMRPVQTTQEEDGCSCRFPEEEEGGCEL
+>DECOY_sp|Q07011|TNR9_HUMAN Tumor necrosis factor receptor superfamily member 9 OS=Homo sapiens OX=9606 GN=TNFRSF9 PE=1 SV=1
+LECGGEEEEPFRCSCGDEEQTTQVPRMFPQKFIYLLKKRGRKVVSFRLTLFFLLFLLATSTLALFFSIIQPSHGPERAPAPPTVSSAGPSLDAPSPGCVVDREKTGNVLVSKGDLSCNTWPRCIGRKQDNFTGFCCDKCGKKTLEQGQKCDQECMSCGAGLCHFGPTCDCEANSTSSCEKRTRFVGKCQRCIDCTRQGGASSFSNPPCPSCIQNRNNDCFTGAPCNSCPDQLSRTREFNLVLLLTAVINYCSNGM
+>sp|Q6UXN7|TO20L_HUMAN TOMM20-like protein 1 OS=Homo sapiens OX=9606 GN=TOMM20L PE=2 SV=1
+MPSVRSLLRLLAAAAACGAFAFLGYCIYLNRKRRGDPAFKRRLRDKRRAEPQKAEEQGTQLWDPTKNKKLQELFLQEVRMGELWLSRGEHRMGIQHLGNALLVCEQPRELLKVFKHTLPPKVFEMLLHKIPLICQQFEADMNEQDCLEDDPD
+>DECOY_sp|Q6UXN7|TO20L_HUMAN TOMM20-like protein 1 OS=Homo sapiens OX=9606 GN=TOMM20L PE=2 SV=1
+DPDDELCDQENMDAEFQQCILPIKHLLMEFVKPPLTHKFVKLLERPQECVLLANGLHQIGMRHEGRSLWLEGMRVEQLFLEQLKKNKTPDWLQTGQEEAKQPEARRKDRLRRKFAPDGRRKRNLYICYGLFAFAGCAAAAALLRLLSRVSPM
+>sp|P58872|RHBL3_HUMAN Rhomboid-related protein 3 OS=Homo sapiens OX=9606 GN=RHBDL3 PE=2 SV=1
+MGEHPSPGPAVAACAEAERIEELEPEAEERLPAAPEDHWKVLFDQFDPGNTGYISTGKFRSLLESHSSKLDPHKREVLLALADSHADGQIGYQDFVSLMSNKRSNSFRQAILQGNRRLSSKALLEEKGLSLSQRLIRHVAYETLPREIDRKWYYDSYTCCPPPWFMITVTLLEVAFFLYNGVSLGQFVLQVTHPRYLKNSLVYHPQLRAQVWRYLTYIFMHAGIEHLGLNVVLQLLVGVPLEMVHGATRIGLVYVAGVVAGSLAVSVADMTAPVVGSSGGVYALVSAHLANIVMNWSGMKCQFKLLRMAVALICMSMEFGRAVWLRFHPSAYPPCPHPSFVAHLGGVAVGITLGVVVLRNYEQRLQDQSLWWIFVAMYTVFVLFAVFWNIFAYTLLDLKLPPPP
+>DECOY_sp|P58872|RHBL3_HUMAN Rhomboid-related protein 3 OS=Homo sapiens OX=9606 GN=RHBDL3 PE=2 SV=1
+PPPPLKLDLLTYAFINWFVAFLVFVTYMAVFIWWLSQDQLRQEYNRLVVVGLTIGVAVGGLHAVFSPHPCPPYASPHFRLWVARGFEMSMCILAVAMRLLKFQCKMGSWNMVINALHASVLAYVGGSSGVVPATMDAVSVALSGAVVGAVYVLGIRTAGHVMELPVGVLLQLVVNLGLHEIGAHMFIYTLYRWVQARLQPHYVLSNKLYRPHTVQLVFQGLSVGNYLFFAVELLTVTIMFWPPPCCTYSDYYWKRDIERPLTEYAVHRILRQSLSLGKEELLAKSSLRRNGQLIAQRFSNSRKNSMLSVFDQYGIQGDAHSDALALLVERKHPDLKSSHSELLSRFKGTSIYGTNGPDFQDFLVKWHDEPAAPLREEAEPELEEIREAEACAAVAPGPSPHEGM
+>sp|Q8TEB9|RHBL4_HUMAN Rhomboid-related protein 4 OS=Homo sapiens OX=9606 GN=RHBDD1 PE=1 SV=1
+MQRRSRGINTGLILLLSQIFHVGINNIPPVTLATLALNIWFFLNPQKPLYSSCLSVEKCYQQKDWQRLLLSPLHHADDWHLYFNMASMLWKGINLERRLGSRWFAYVITAFSVLTGVVYLLLQFAVAEFMDEPDFKRSCAVGFSGVLFALKVLNNHYCPGGFVNILGFPVPNRFACWVELVAIHLFSPGTSFAGHLAGILVGLMYTQGPLKKIMEACAGGFSSSVGYPGRQYYFNSSGSSGYQDYYPHGRPDHYEEAPRNYDTYTAGLSEEEQLERALQASLWDRGNTRNSPPPYGFHLSPEEMRRQRLHRFDSQ
+>DECOY_sp|Q8TEB9|RHBL4_HUMAN Rhomboid-related protein 4 OS=Homo sapiens OX=9606 GN=RHBDD1 PE=1 SV=1
+QSDFRHLRQRRMEEPSLHFGYPPPSNRTNGRDWLSAQLARELQEEESLGATYTDYNRPAEEYHDPRGHPYYDQYGSSGSSNFYYQRGPYGVSSSFGGACAEMIKKLPGQTYMLGVLIGALHGAFSTGPSFLHIAVLEVWCAFRNPVPFGLINVFGGPCYHNNLVKLAFLVGSFGVACSRKFDPEDMFEAVAFQLLLYVVGTLVSFATIVYAFWRSGLRRELNIGKWLMSAMNFYLHWDDAHHLPSLLLRQWDKQQYCKEVSLCSSYLPKQPNLFFWINLALTALTVPPINNIGVHFIQSLLLILGTNIGRSRRQM
+>sp|Q15382|RHEB_HUMAN GTP-binding protein Rheb OS=Homo sapiens OX=9606 GN=RHEB PE=1 SV=1
+MPQSKSRKIAILGYRSVGKSSLTIQFVEGQFVDSYDPTIENTFTKLITVNGQEYHLQLVDTAGQDEYSIFPQTYSIDINGYILVYSVTSIKSFEVIKVIHGKLLDMVGKVQIPIMLVGNKKDLHMERVISYEEGKALAESWNAAFLESSAKENQTAVDVFRRIILEAEKMDGAASQGKSSCSVM
+>DECOY_sp|Q15382|RHEB_HUMAN GTP-binding protein Rheb OS=Homo sapiens OX=9606 GN=RHEB PE=1 SV=1
+MVSCSSKGQSAAGDMKEAELIIRRFVDVATQNEKASSELFAANWSEALAKGEEYSIVREMHLDKKNGVLMIPIQVKGVMDLLKGHIVKIVEFSKISTVSYVLIYGNIDISYTQPFISYEDQGATDVLQLHYEQGNVTILKTFTNEITPDYSDVFQGEVFQITLSSKGVSRYGLIAIKRSKSQPM
+>sp|P98171|RHG04_HUMAN Rho GTPase-activating protein 4 OS=Homo sapiens OX=9606 GN=ARHGAP4 PE=1 SV=2
+MAAHGKLRRERGLQAEYETQVKEMRWQLSEQLRCLELQGELRRELLQELAEFMRRRAEVELEYSRGLEKLAERFSSRGGRLGSSREHQSFRKEPSLLSPLHCWAVLLQHTRQQSRESAALSEVLAGPLAQRLSHIAEDVGRLVKKSRDLEQQLQDELLEVVSELQTAKKTYQAYHMESVNAEAKLREAERQEEKRAGRSVPTTTAGATEAGPLRKSSLKKGGRLVEKRQAKFMEHKLKCTKARNEYLLSLASVNAAVSNYYLHDVLDLMDCCDTGFHLALGQVLRSYTAAESRTQASQVQGLGSLEEAVEALDPPGDKAKVLEVHATVFCPPLRFDYHPHDGDEVAEICVEMELRDEILPRAQNIQSRLDRQTIETEEVNKTLKATLQALLEVVASDDGDVLDSFQTSPSTESLKSTSSDPGSRQAGRRRGQQQETETFYLTKLQEYLSGRSILAKLQAKHEKLQEALQRGDKEEQEVSWTQYTQRKFQKSRQPRPSSQYNQRLFGGDMEKFIQSSGQPVPLVVESCIRFINLNGLQHEGIFRVSGAQLRVSEIRDAFERGEDPLVEGCTAHDLDSVAGVLKLYFRSLEPPLFPPDLFGELLASSELEATAERVEHVSRLLWRLPAPVLVVLRYLFTFLNHLAQYSDENMMDPYNLAVCFGPTLLPVPAGQDPVALQGRVNQLVQTLIVQPDRVFPPLTSLPGPVYEKCMAPPSASCLGDAQLESLGADNEPELEAEMPAQEDDLEGVVEAVACFAYTGRTAQELSFRRGDVLRLHERASSDWWRGEHNGMRGLIPHKYITLPAGTEKQVVGAGLQTAGESGSSPEGLLASELVHRPEPCTSPEAMGPSGHRRRCLVPASPEQHVEVDKAVAQNMDSVFKELLGKTSVRQGLGPASTTSPSPGPRSPKAPPSSRLGRNKGFSRGPGAPASPSASHPQGLDTTPKPH
+>DECOY_sp|P98171|RHG04_HUMAN Rho GTPase-activating protein 4 OS=Homo sapiens OX=9606 GN=ARHGAP4 PE=1 SV=2
+HPKPTTDLGQPHSASPSAPAGPGRSFGKNRGLRSSPPAKPSRPGPSPSTTSAPGLGQRVSTKGLLEKFVSDMNQAVAKDVEVHQEPSAPVLCRRRHGSPGMAEPSTCPEPRHVLESALLGEPSSGSEGATQLGAGVVQKETGAPLTIYKHPILGRMGNHEGRWWDSSAREHLRLVDGRRFSLEQATRGTYAFCAVAEVVGELDDEQAPMEAELEPENDAGLSELQADGLCSASPPAMCKEYVPGPLSTLPPFVRDPQVILTQVLQNVRGQLAVPDQGAPVPLLTPGFCVALNYPDMMNEDSYQALHNLFTFLYRLVVLVPAPLRWLLRSVHEVREATAELESSALLEGFLDPPFLPPELSRFYLKLVGAVSDLDHATCGEVLPDEGREFADRIESVRLQAGSVRFIGEHQLGNLNIFRICSEVVLPVPQGSSQIFKEMDGGFLRQNYQSSPRPQRSKQFKRQTYQTWSVEQEEKDGRQLAEQLKEHKAQLKALISRGSLYEQLKTLYFTETEQQQGRRRGAQRSGPDSSTSKLSETSPSTQFSDLVDGDDSAVVELLAQLTAKLTKNVEETEITQRDLRSQINQARPLIEDRLEMEVCIEAVEDGDHPHYDFRLPPCFVTAHVELVKAKDGPPDLAEVAEELSGLGQVQSAQTRSEAATYSRLVQGLALHFGTDCCDMLDLVDHLYYNSVAANVSALSLLYENRAKTCKLKHEMFKAQRKEVLRGGKKLSSKRLPGAETAGATTTPVSRGARKEEQREAERLKAEANVSEMHYAQYTKKATQLESVVELLEDQLQQELDRSKKVLRGVDEAIHSLRQALPGALVESLAASERSQQRTHQLLVAWCHLPSLLSPEKRFSQHERSSGLRGGRSSFREALKELGRSYELEVEARRRMFEALEQLLERRLEGQLELCRLQESLQWRMEKVQTEYEAQLGRERRLKGHAAM
+>sp|A1A4S6|RHG10_HUMAN Rho GTPase-activating protein 10 OS=Homo sapiens OX=9606 GN=ARHGAP10 PE=1 SV=1
+MGLQPLEFSDCYLDSPWFRERIRAHEAELERTNKFIKELIKDGKNLIAATKSLSVAQRKFAHSLRDFKFEFIGDAVTDDERCIDASLREFSNFLKNLEEQREIMALSVTETLIKPLEKFRKEQLGAVKEEKKKFDKETEKNYSLIDKHLNLSAKKKDSHLQEADIQVEQNRQHFYELSLEYVCKLQEIQERKKFEFVEPMLSFFQGMFTFYHQGHELAKDFNHYKMELQINIQNTRNRFEGTRSEVEELMNKIRQNPKDHKRASQFTAEGYLYVQEKRPAPFGSSWVKHYCMYRKAAKKFNMIPFEHRSGGKLGDGEVFFLKECTKRHTDSIDRRFCFDIEAADRPGVSLTMQAFSEEERKQWLEALGGKEALSHSFNTAIIPRPEGNAQLDKMGFTIIRKCISAVETRGINDQGLYRVVGVSSKVQRLLSMLMDVKTCNEVDLENSADWEVKTITSALKQYLRSLPEPLMTYELHGDFIVPAKSGSPESRVNAIHFLVHKLPEKNKEMLDILVKHLTNVSNHSKQNLMTVANLGVVFGPTLMRPQEETVAALMDLKFQNIVVEILIENHEKIFRTPPDTTFPEPTCLSASPPNAPPRQSKRQGQRTKRPVAVYNLCLELEDGDNPYPSKEDTPTSSLDSLSSPSPVTTAVPGPPGPDKNHLLADGGSFGDWASTIPGQTRSSMVQWLNPQSPTTTSSNSAVTPLSPGSSPFPFSPPATVADKPPESIRSRKARAVYPCEAEHSSELSFEIGAIFEDVQTSREPGWLEGTLNGKRGLIPQNYVKLL
+>DECOY_sp|A1A4S6|RHG10_HUMAN Rho GTPase-activating protein 10 OS=Homo sapiens OX=9606 GN=ARHGAP10 PE=1 SV=1
+LLKVYNQPILGRKGNLTGELWGPERSTQVDEFIAGIEFSLESSHEAECPYVARAKRSRISEPPKDAVTAPPSFPFPSSGPSLPTVASNSSTTTPSQPNLWQVMSSRTQGPITSAWDGFSGGDALLHNKDPGPPGPVATTVPSPSSLSDLSSTPTDEKSPYPNDGDELELCLNYVAVPRKTRQGQRKSQRPPANPPSASLCTPEPFTTDPPTRFIKEHNEILIEVVINQFKLDMLAAVTEEQPRMLTPGFVVGLNAVTMLNQKSHNSVNTLHKVLIDLMEKNKEPLKHVLFHIANVRSEPSGSKAPVIFDGHLEYTMLPEPLSRLYQKLASTITKVEWDASNELDVENCTKVDMLMSLLRQVKSSVGVVRYLGQDNIGRTEVASICKRIITFGMKDLQANGEPRPIIATNFSHSLAEKGGLAELWQKREEESFAQMTLSVGPRDAAEIDFCFRRDISDTHRKTCEKLFFVEGDGLKGGSRHEFPIMNFKKAAKRYMCYHKVWSSGFPAPRKEQVYLYGEATFQSARKHDKPNQRIKNMLEEVESRTGEFRNRTNQINIQLEMKYHNFDKALEHGQHYFTFMGQFFSLMPEVFEFKKREQIEQLKCVYELSLEYFHQRNQEVQIDAEQLHSDKKKASLNLHKDILSYNKETEKDFKKKEEKVAGLQEKRFKELPKILTETVSLAMIERQEELNKLFNSFERLSADICREDDTVADGIFEFKFDRLSHAFKRQAVSLSKTAAILNKGDKILEKIFKNTRELEAEHARIRERFWPSDLYCDSFELPQLGM
+>sp|Q8IWW6|RHG12_HUMAN Rho GTPase-activating protein 12 OS=Homo sapiens OX=9606 GN=ARHGAP12 PE=1 SV=1
+MKMADRSGKIIPGQVYIEVEYDYEYEAKDRKIVIKQGERYILVKKTNDDWWQVKPDENSKAFYVPAQYVKEVTRKALMPPVKQVAGLPNNSTKIMQSLHLQRSTENVNKLPELSSFGKPSSSVQGTGLIRDANQNFGPSYNQGQTVNLSLDLTHNNGKFNNDSHSPKVSSQNRTRSFGHFPGPEFLDVEKTSFSQEQSCDSAGEGSERIHQDSESGDELSSSSTEQIRATTPPNQGRPDSPVYANLQELKISQSALPPLPGSPAIQINGEWETHKDSSGRCYYYNRGTQERTWKPPRWTRDASISKGDFQNPGDQELLSSEENYYSTSYSQSDSQCGSPPRGWSEELDERGHTLYTSDYTNEKWLKHVDDQGRQYYYSADGSRSEWELPKYNASSQQQREIIKSRSLDRRLQEPIVLTKWRHSTIVLDTNDKESPTASKPCFPENESSPSSPKHQDTASSPKDQEKYGLLNVTKIAENGKKVRKNWLSSWAVLQGSSLLFTKTQGSSTSWFGSNQSKPEFTVDLKGATIEMASKDKSSKKNVFELKTRQGTELLIQSDNDTVINDWFKVLSSTINNQAVETDEGIEEEIPDSPGIEKHDKEKEQKDPKKLRSFKVSSIDSSEQKKTKKNLKKFLTRRPTLQAVREKGYIKDQVFGSNLANLCQRENGTVPKFVKLCIEHVEEHGLDIDGIYRVSGNLAVIQKLRFAVNHDEKLDLNDSKWEDIHVITGALKMFFRELPEPLFTFNHFNDFVNAIKQEPRQRVAAVKDLIRQLPKPNQDTMQILFRHLRRVIENGEKNRMTYQSIAIVFGPTLLKPEKETGNIAVHTVYQNQIVELILLELSSIFGR
+>DECOY_sp|Q8IWW6|RHG12_HUMAN Rho GTPase-activating protein 12 OS=Homo sapiens OX=9606 GN=ARHGAP12 PE=1 SV=1
+RGFISSLELLILEVIQNQYVTHVAINGTEKEPKLLTPGFVIAISQYTMRNKEGNEIVRRLHRFLIQMTDQNPKPLQRILDKVAAVRQRPEQKIANVFDNFHNFTFLPEPLERFFMKLAGTIVHIDEWKSDNLDLKEDHNVAFRLKQIVALNGSVRYIGDIDLGHEEVHEICLKVFKPVTGNERQCLNALNSGFVQDKIYGKERVAQLTPRRTLFKKLNKKTKKQESSDISSVKFSRLKKPDKQEKEKDHKEIGPSDPIEEEIGEDTEVAQNNITSSLVKFWDNIVTDNDSQILLETGQRTKLEFVNKKSSKDKSAMEITAGKLDVTFEPKSQNSGFWSTSSGQTKTFLLSSGQLVAWSSLWNKRVKKGNEAIKTVNLLGYKEQDKPSSATDQHKPSSPSSENEPFCPKSATPSEKDNTDLVITSHRWKTLVIPEQLRRDLSRSKIIERQQQSSANYKPLEWESRSGDASYYYQRGQDDVHKLWKENTYDSTYLTHGREDLEESWGRPPSGCQSDSQSYSTSYYNEESSLLEQDGPNQFDGKSISADRTWRPPKWTREQTGRNYYYCRGSSDKHTEWEGNIQIAPSGPLPPLASQSIKLEQLNAYVPSDPRGQNPPTTARIQETSSSSLEDGSESDQHIRESGEGASDCSQEQSFSTKEVDLFEPGPFHGFSRTRNQSSVKPSHSDNNFKGNNHTLDLSLNVTQGQNYSPGFNQNADRILGTGQVSSSPKGFSSLEPLKNVNETSRQLHLSQMIKTSNNPLGAVQKVPPMLAKRTVEKVYQAPVYFAKSNEDPKVQWWDDNTKKVLIYREGQKIVIKRDKAEYEYDYEVEIYVQGPIIKGSRDAMKM
+>sp|Q53QZ3|RHG15_HUMAN Rho GTPase-activating protein 15 OS=Homo sapiens OX=9606 GN=ARHGAP15 PE=1 SV=2
+MQKSTNSDTSVETLNSTRQGTGAVQMRIKNANSHHDRLSQSKSMILTDVGKVTEPISRHRRNHSQHILKDVIPPLEQLMVEKEGYLQKAKIADGGKKLRKNWSTSWIVLSSRRIEFYKESKQQALSNMKTGHKPESVDLCGAHIEWAKEKSSRKNVFQITTVSGNEFLLQSDIDFIILDWFHAIKNAIDRLPKDSSCPSRNLELFKIQRSSSTELLSHYDSDIKEQKPEHRKSLMFRLHHSASDTSDKNRVKSRLKKFITRRPSLKTLQEKGLIKDQIFGSHLHKVCERENSTVPWFVKQCIEAVEKRGLDVDGIYRVSGNLATIQKLRFIVNQEEKLNLDDSQWEDIHVVTGALKMFFRELPEPLFPYSFFEQFVEAIKKQDNNTRIEAVKSLVQKLPPPNRDTMKVLFGHLTKIVAKASKNLMSTQSLGIVFGPTLLRAENETGNMAIHMVYQNQIAELMLSEYSKIFGSEED
+>DECOY_sp|Q53QZ3|RHG15_HUMAN Rho GTPase-activating protein 15 OS=Homo sapiens OX=9606 GN=ARHGAP15 PE=1 SV=2
+DEESGFIKSYESLMLEAIQNQYVMHIAMNGTENEARLLTPGFVIGLSQTSMLNKSAKAVIKTLHGFLVKMTDRNPPPLKQVLSKVAEIRTNNDQKKIAEVFQEFFSYPFLPEPLERFFMKLAGTVVHIDEWQSDDLNLKEEQNVIFRLKQITALNGSVRYIGDVDLGRKEVAEICQKVFWPVTSNERECVKHLHSGFIQDKILGKEQLTKLSPRRTIFKKLRSKVRNKDSTDSASHHLRFMLSKRHEPKQEKIDSDYHSLLETSSSRQIKFLELNRSPCSSDKPLRDIANKIAHFWDLIIFDIDSQLLFENGSVTTIQFVNKRSSKEKAWEIHAGCLDVSEPKHGTKMNSLAQQKSEKYFEIRRSSLVIWSTSWNKRLKKGGDAIKAKQLYGEKEVMLQELPPIVDKLIHQSHNRRHRSIPETVKGVDTLIMSKSQSLRDHHSNANKIRMQVAGTGQRTSNLTEVSTDSNTSKQM
+>sp|Q14CB8|RHG19_HUMAN Rho GTPase-activating protein 19 OS=Homo sapiens OX=9606 GN=ARHGAP19 PE=1 SV=1
+MATEAQSEGEVPARESGRSDAICSFVICNDSSLRGQPIIFNPDFFVEKLRHEKPEIFTELVVSNITRLIDLPGTELAQLMGEVDLKLPGGAGPASGFFRSLMSLKRKEKGVIFGSPLTEEGIAQIYQLIEYLHKNLRVEGLFRVPGNSVRQQILRDALNNGTDIDLESGEFHSNDVATLLKMFLGELPEPLLTHKHFNAHLKIADLMQFDDKGNKTNIPDKDRQIEALQLLFLILPPPNRNLLKLLLDLLYQTAKKQDKNKMSAYNLALMFAPHVLWPKNVTANDLQENITKLNSGMAFMIKHSQKLFKAPAYIRECARLHYLGSRTQASKDDLDLIASCHTKSFQLAKSQKRNRVDSCPHQEETQHHTEEALRELFQHVHDMPESAKKKQLIRQFNKQSLTQTPGREPSTSQVQKRARSRSFSGLIKRKVLGNQMMSEKKKKNPTPESVAIGELKGTSKENRNLLFSGSPAVTMTPTRLKWSEGKKEGKKGFL
+>DECOY_sp|Q14CB8|RHG19_HUMAN Rho GTPase-activating protein 19 OS=Homo sapiens OX=9606 GN=ARHGAP19 PE=1 SV=1
+LFGKKGEKKGESWKLRTPTMTVAPSGSFLLNRNEKSTGKLEGIAVSEPTPNKKKKESMMQNGLVKRKILGSFSRSRARKQVQSTSPERGPTQTLSQKNFQRILQKKKASEPMDHVHQFLERLAEETHHQTEEQHPCSDVRNRKQSKALQFSKTHCSAILDLDDKSAQTRSGLYHLRACERIYAPAKFLKQSHKIMFAMGSNLKTINEQLDNATVNKPWLVHPAFMLALNYASMKNKDQKKATQYLLDLLLKLLNRNPPPLILFLLQLAEIQRDKDPINTKNGKDDFQMLDAIKLHANFHKHTLLPEPLEGLFMKLLTAVDNSHFEGSELDIDTGNNLADRLIQQRVSNGPVRFLGEVRLNKHLYEILQYIQAIGEETLPSGFIVGKEKRKLSMLSRFFGSAPGAGGPLKLDVEGMLQALETGPLDILRTINSVVLETFIEPKEHRLKEVFFDPNFIIPQGRLSSDNCIVFSCIADSRGSERAPVEGESQAETAM
+>sp|Q7Z5H3|RHG22_HUMAN Rho GTPase-activating protein 22 OS=Homo sapiens OX=9606 GN=ARHGAP22 PE=1 SV=1
+MLSPKIRQARRARSKSLVMGEQSRSPGRMPCPHRLGPVLKAGWLKKQRSIMKNWQQRWFVLRGDQLFYYKDKDEIKPQGFISLQGTQVTELPPGPEDPGKHLFEISPGGAGEREKVPANPEALLLMASSQRDMEDWVQAIRRVIWAPLGGGIFGQRLEETVHHERKYGPRLAPLLVEQCVDFIRERGLTEEGLFRMPGQANLVRDLQDSFDCGEKPLFDSTTDVHTVASLLKLYLRELPEPVVPFARYEDFLSCAQLLTKDEGEGTLELAKQVSNLPQANYNLLRYICKFLDEVQAYSNVNKMSVQNLATVFGPNILRPQVEDPVTIMEGTSLVQHLMTVLIRKHSQLFTAPVPEGPTSPRGGLQCAVGWGSEEVTRDSQGEPGGPGLPAHRTSSLDGAAVAVLSRTAPTGPGSRCSPGKKVQTLPSWKSSFRQPRSLSGSPKGGGSSLEVPIISSGGNWLMNGLSSLRGHRRASSGDRLKDSGSVQRLSTYDNVPAPGLVPGIPSVASMAWSGASSSESSVGGSLSSCTACRASDSSARSSLHTDWALEPSPLPSSSEDPKSLDLDHSMDEAGAGASNSEPSEPDSPTREHARRSEALQGLVTELRAELCRQRTEYERSVKRIEEGSADLRKRMSRLEEELDQEKKKYIMLEIKLRNSERAREDAERRNQLLQREMEEFFSTLGSLTVGAKGARAPK
+>DECOY_sp|Q7Z5H3|RHG22_HUMAN Rho GTPase-activating protein 22 OS=Homo sapiens OX=9606 GN=ARHGAP22 PE=1 SV=1
+KPARAGKAGVTLSGLTSFFEEMERQLLQNRREADERARESNRLKIELMIYKKKEQDLEEELRSMRKRLDASGEEIRKVSREYETRQRCLEARLETVLGQLAESRRAHERTPSDPESPESNSAGAGAEDMSHDLDLSKPDESSSPLPSPELAWDTHLSSRASSDSARCATCSSLSGGVSSESSSAGSWAMSAVSPIGPVLGPAPVNDYTSLRQVSGSDKLRDGSSARRHGRLSSLGNMLWNGGSSIIPVELSSGGGKPSGSLSRPQRFSSKWSPLTQVKKGPSCRSGPGTPATRSLVAVAAGDLSSTRHAPLGPGGPEGQSDRTVEESGWGVACQLGGRPSTPGEPVPATFLQSHKRILVTMLHQVLSTGEMITVPDEVQPRLINPGFVTALNQVSMKNVNSYAQVEDLFKCIYRLLNYNAQPLNSVQKALELTGEGEDKTLLQACSLFDEYRAFPVVPEPLERLYLKLLSAVTHVDTTSDFLPKEGCDFSDQLDRVLNAQGPMRFLGEETLGRERIFDVCQEVLLPALRPGYKREHHVTEELRQGFIGGGLPAWIVRRIAQVWDEMDRQSSAMLLLAEPNAPVKEREGAGGPSIEFLHKGPDEPGPPLETVQTGQLSIFGQPKIEDKDKYYFLQDGRLVFWRQQWNKMISRQKKLWGAKLVPGLRHPCPMRGPSRSQEGMVLSKSRARRAQRIKPSLM
+>sp|Q8N264|RHG24_HUMAN Rho GTPase-activating protein 24 OS=Homo sapiens OX=9606 GN=ARHGAP24 PE=1 SV=2
+MEENNDSTENPQQGQGRQNAIKCGWLRKQGGFVKTWHTRWFVLKGDQLYYFKDEDETKPLGTIFLPGNKVSEHPCNEENPGKFLFEVVPGGDRDRMTANHESYLLMASTQNDMEDWVKSIRRVIWGPFGGGIFGQKLEDTVRYEKRYGNRLAPMLVEQCVDFIRQRGLKEEGLFRLPGQANLVKELQDAFDCGEKPSFDSNTDVHTVASLLKLYLRELPEPVIPYAKYEDFLSCAKLLSKEEEAGVKELAKQVKSLPVVNYNLLKYICRFLDEVQSYSGVNKMSVQNLATVFGPNILRPKVEDPLTIMEGTVVVQQLMSVMISKHDCLFPKDAELQSKPQDGVSNNNEIQKKATMGQLQNKENNNTKDSPSRQCSWDKSESPQRSSMNNGSPTALSGSKTNSPKNSVHKLDVSRSPPLMVKKNPAFNKGSGIVTNGSFSSSNAEGLEKTQTTPNGSLQARRSSSLKVSGTKMGTHSVQNGTVRMGILNSDTLGNPTNVRNMSWLPNGYVTLRDNKQKEQAGELGQHNRLSTYDNVHQQFSMMNLDDKQSIDSATWSTSSCEISLPENSNSCRSSTTTCPEQDFFGGNFEDPVLDGPPQDDLSHPRDYESKSDHRSVGGRSSRATSSSDNSETFVGNSSSNHSALHSLVSSLKQEMTKQKIEYESRIKSLEQRNLTLETEMMSLHDELDQERKKFTMIEIKMRNAERAKEDAEKRNDMLQKEMEQFFSTFGELTVEPRRTERGNTIWIQ
+>DECOY_sp|Q8N264|RHG24_HUMAN Rho GTPase-activating protein 24 OS=Homo sapiens OX=9606 GN=ARHGAP24 PE=1 SV=2
+QIWITNGRETRRPEVTLEGFTSFFQEMEKQLMDNRKEADEKAREANRMKIEIMTFKKREQDLEDHLSMMETELTLNRQELSKIRSEYEIKQKTMEQKLSSVLSHLASHNSSSNGVFTESNDSSSTARSSRGGVSRHDSKSEYDRPHSLDDQPPGDLVPDEFNGGFFDQEPCTTTSSRCSNSNEPLSIECSSTSWTASDISQKDDLNMMSFQQHVNDYTSLRNHQGLEGAQEKQKNDRLTVYGNPLWSMNRVNTPNGLTDSNLIGMRVTGNQVSHTGMKTGSVKLSSSRRAQLSGNPTTQTKELGEANSSSFSGNTVIGSGKNFAPNKKVMLPPSRSVDLKHVSNKPSNTKSGSLATPSGNNMSSRQPSESKDWSCQRSPSDKTNNNEKNQLQGMTAKKQIENNNSVGDQPKSQLEADKPFLCDHKSIMVSMLQQVVVTGEMITLPDEVKPRLINPGFVTALNQVSMKNVGSYSQVEDLFRCIYKLLNYNVVPLSKVQKALEKVGAEEEKSLLKACSLFDEYKAYPIVPEPLERLYLKLLSAVTHVDTNSDFSPKEGCDFADQLEKVLNAQGPLRFLGEEKLGRQRIFDVCQEVLMPALRNGYRKEYRVTDELKQGFIGGGFPGWIVRRISKVWDEMDNQTSAMLLYSEHNATMRDRDGGPVVEFLFKGPNEENCPHESVKNGPLFITGLPKTEDEDKFYYLQDGKLVFWRTHWTKVFGGQKRLWGCKIANQRGQGQQPNETSDNNEEM
+>sp|Q9P2N2|RHG28_HUMAN Rho GTPase-activating protein 28 OS=Homo sapiens OX=9606 GN=ARHGAP28 PE=1 SV=3
+MEVEDSGGVVLTAYHSYARAQPPNAESRCAPRAAASHPLSRKSIPRCRRINRMLSNESLHPPAFSRSNSEASVDSASMEDFWREIESIKDSSMGGQEEPPPAEVTPVDEGELEAEWLQDVGLSTLISGDEEEDGKALLSTLTRTQAAAVQKRYHTYTQTMRKKDKQSIRDVRDIFGVSESPPRDTCGNHTNQLDGTKEERELPRVIKTSGSMPDDASLNSTTLSDASQDKEGSFAVPRSDSVAILETIPVLPVHSNGSPEPGQPVQNAISDDDFLEKNIPPEAEELSFEVSYSEMVTEALKRNKLKKSEIKKEDYVLTKFNVQKTRFGLTEAGDLSAEDMKKIRHLSLIELTAFFDAFGIQLKRNKTEKVKGRDNGIFGVPLTVLLDGDRKKDPGVKVPLVLQKFFEKVEESGLESEGIFRLSGCTAKVKQYREELDAKFNADKFKWDKMCHREAAVMLKAFFRELPTSLFPVEYIPAFISLMERGPHVKVQFQALHLMVMALPDANRDAAQALMTFFNKVIANESKNRMSLWNISTVMAPNLFFSRSKHSDYEELLLANTAAHIIRLMLKYQKILWKVPSFLITQVRRMNEATMLLKKQLPSVRKLLRRKTLERETASPKTSKVLQKSPSARRMSDVPEGVIRVHAPLLSKVSMAIQLNNQTKAKDILAKFQYENSHGSSECIKIQNQRLYEIGGNIGEHCLDPDAYILDVYRINPQAEWVIKPQQSS
+>DECOY_sp|Q9P2N2|RHG28_HUMAN Rho GTPase-activating protein 28 OS=Homo sapiens OX=9606 GN=ARHGAP28 PE=1 SV=3
+SSQQPKIVWEAQPNIRYVDLIYADPDLCHEGINGGIEYLRQNQIKICESSGHSNEYQFKALIDKAKTQNNLQIAMSVKSLLPAHVRIVGEPVDSMRRASPSKQLVKSTKPSATERELTKRRLLKRVSPLQKKLLMTAENMRRVQTILFSPVKWLIKQYKLMLRIIHAATNALLLEEYDSHKSRSFFLNPAMVTSINWLSMRNKSENAIVKNFFTMLAQAADRNADPLAMVMLHLAQFQVKVHPGREMLSIFAPIYEVPFLSTPLERFFAKLMVAAERHCMKDWKFKDANFKADLEERYQKVKATCGSLRFIGESELGSEEVKEFFKQLVLPVKVGPDKKRDGDLLVTLPVGFIGNDRGKVKETKNRKLQIGFADFFATLEILSLHRIKKMDEASLDGAETLGFRTKQVNFKTLVYDEKKIESKKLKNRKLAETVMESYSVEFSLEEAEPPINKELFDDDSIANQVPQGPEPSGNSHVPLVPITELIAVSDSRPVAFSGEKDQSADSLTTSNLSADDPMSGSTKIVRPLEREEKTGDLQNTHNGCTDRPPSESVGFIDRVDRISQKDKKRMTQTYTHYRKQVAAAQTRTLTSLLAKGDEEEDGSILTSLGVDQLWEAELEGEDVPTVEAPPPEEQGGMSSDKISEIERWFDEMSASDVSAESNSRSFAPPHLSENSLMRNIRRCRPISKRSLPHSAAARPACRSEANPPQARAYSHYATLVVGGSDEVEM
+>sp|Q52LW3|RHG29_HUMAN Rho GTPase-activating protein 29 OS=Homo sapiens OX=9606 GN=ARHGAP29 PE=1 SV=2
+MIAHKQKKTKKKRAWASGQLSTDITTSEMGLKSLSSNSIFDPDYIKELVNDIRKFSHMLLYLKEAIFSDCFKEVIHIRLEELLRVLKSIMNKHQNLNSVDLQNAAEMLTAKVKAVNFTEVNEENKNDLFQEVFSSIETLAFTFGNILTNFLMGDVGNDSLLRLPVSRETKSFENVSVESVDSSSEKGNFSPLELDNVLLKNTDSIELALSYAKTWSKYTKNIVSWVEKKLNLELESTRNMVKLAEATRTNIGIQEFMPLQSLFTNALLNDIESSHLLQQTIAALQANKFVQPLLGRKNEMEKQRKEIKELWKQEQNKMLEAENALKKAKLLCMQRQDEYEKAKSSMFRAEEEHLSSSGGLAKNLNKQLEKKRRLEEEALQKVEEANELYKVCVTNVEERRNDLENTKREILAQLRTLVFQCDLTLKAVTVNLFHMQHLQAASLADSLQSLCDSAKLYDPGQEYSEFVKATNSTEEEKVDGNVNKHLNSSQPSGFGPANSLEDVVRLPDSSNKIEEDRCSNSADITGPSFIRSWTFGMFSDSESTGGSSESRSLDSESISPGDFHRKLPRTPSSGTMSSADDLDEREPPSPSETGPNSLGTFKKTLMSKAALTHKFRKLRSPTKCRDCEGIVVFQGVECEECLLVCHRKCLENLVIICGHQKLPGKIHLFGAEFTQVAKKEPDGIPFILKICASEIENRALCLQGIYRVCGNKIKTEKLCQALENGMHLVDISEFSSHDICDVLKLYLRQLPEPFILFRLYKEFIDLAKEIQHVNEEQETKKNSLEDKKWPNMCIEINRILLKSKDLLRQLPASNFNSLHFLIVHLKRVVDHAEENKMNSKNLGVIFGPSLIRPRPTTAPITISSLAEYSNQARLVEFLITYSQKIFDGSLQPQDVMCSIGVVDQGCFPKPLLSPEERDIERSMKSLFFSSKEDIHTSESESKIFERATSFEESERKQNALGKCDACLSDKAQLLLDQEAESASQKIEDGKTPKPLSLKSDRSTNNVERHTPRTKIRPVSLPVDRLLLASPPNERNGRNMGNVNLDKFCKNPAFEGVNRKDAATTVCSKFNGFDQQTLQKIQDKQYEQNSLTAKTTMIMPSALQEKGVTTSLQISGDHSINATQPSKPYAEPVRSVREASERRSSDSYPLAPVRAPRTLQPQHWTTFYKPHAPIISIRGNEEKPASPSAAVPPGTDHDPHGLVVKSMPDPDKASACPGQATGQPKEDSEELGLPDVNPMCQRPRLKRMQQFEDLEGEIPQFV
+>DECOY_sp|Q52LW3|RHG29_HUMAN Rho GTPase-activating protein 29 OS=Homo sapiens OX=9606 GN=ARHGAP29 PE=1 SV=2
+VFQPIEGELDEFQQMRKLRPRQCMPNVDPLGLEESDEKPQGTAQGPCASAKDPDPMSKVVLGHPDHDTGPPVAASPSAPKEENGRISIIPAHPKYFTTWHQPQLTRPARVPALPYSDSSRRESAERVSRVPEAYPKSPQTANISHDGSIQLSTTVGKEQLASPMIMTTKATLSNQEYQKDQIKQLTQQDFGNFKSCVTTAADKRNVGEFAPNKCFKDLNVNGMNRGNRENPPSALLLRDVPLSVPRIKTRPTHREVNNTSRDSKLSLPKPTKGDEIKQSASEAEQDLLLQAKDSLCADCKGLANQKRESEEFSTAREFIKSESESTHIDEKSSFFLSKMSREIDREEPSLLPKPFCGQDVVGISCMVDQPQLSGDFIKQSYTILFEVLRAQNSYEALSSITIPATTPRPRILSPGFIVGLNKSNMKNEEAHDVVRKLHVILFHLSNFNSAPLQRLLDKSKLLIRNIEICMNPWKKDELSNKKTEQEENVHQIEKALDIFEKYLRFLIFPEPLQRLYLKLVDCIDHSSFESIDVLHMGNELAQCLKETKIKNGCVRYIGQLCLARNEIESACIKLIFPIGDPEKKAVQTFEAGFLHIKGPLKQHGCIIVLNELCKRHCVLLCEECEVGQFVVIGECDRCKTPSRLKRFKHTLAAKSMLTKKFTGLSNPGTESPSPPEREDLDDASSMTGSSPTRPLKRHFDGPSISESDLSRSESSGGTSESDSFMGFTWSRIFSPGTIDASNSCRDEEIKNSSDPLRVVDELSNAPGFGSPQSSNLHKNVNGDVKEEETSNTAKVFESYEQGPDYLKASDCLSQLSDALSAAQLHQMHFLNVTVAKLTLDCQFVLTRLQALIERKTNELDNRREEVNTVCVKYLENAEEVKQLAEEELRRKKELQKNLNKALGGSSSLHEEEARFMSSKAKEYEDQRQMCLLKAKKLANEAELMKNQEQKWLEKIEKRQKEMENKRGLLPQVFKNAQLAAITQQLLHSSEIDNLLANTFLSQLPMFEQIGINTRTAEALKVMNRTSELELNLKKEVWSVINKTYKSWTKAYSLALEISDTNKLLVNDLELPSFNGKESSSDVSEVSVNEFSKTERSVPLRLLSDNGVDGMLFNTLINGFTFALTEISSFVEQFLDNKNEENVETFNVAKVKATLMEAANQLDVSNLNQHKNMISKLVRLLEELRIHIVEKFCDSFIAEKLYLLMHSFKRIDNVLEKIYDPDFISNSSLSKLGMESTTIDTSLQGSAWARKKKTKKQKHAIM
+>sp|Q2M1Z3|RHG31_HUMAN Rho GTPase-activating protein 31 OS=Homo sapiens OX=9606 GN=ARHGAP31 PE=1 SV=2
+MKNKGAKQKLKRKGAASAFGCDLTEYLESSGQDVPYVLKSCAEFIETHGIVDGIYRLSGVTSNIQRLRQEFGSDQCPDLTREVYLQDIHCVGSLCKLYFRELPNPLLTYELYEKFTEAVSHCPEEGQLARIQNVIQELPPSHYRTLEYLIRHLAHIASFSSKTNMHARNLALVWAPNLLRSKEIEATGCNGDAAFLAVRVQQVVIEFILNHVDQIFNNGAPGSLENDENRPIMKSLTLPALSLPMKLVSLEEAQARSLATNHPARKERRENSLPEIVPPMGTLFHTVLELPDNKRKLSSKSKKWKSIFNLGRSGSDSKSKLSRNGSVFVRGQRLSVEKATIRPAKSMDSLCSVPVEGKETKGNFNRTVTTGGFFIPATKMHSTGTGSSCDLTKQEGEWGQEGMPPGAEGGFDVSSDRSHLQGAQARPPPEQLKVFRPVEDPESEQTAPKMLGMFYTSNDSPSKSVFTSSLFQMEPSPRNQRKALNISEPFAVSVPLRVSAVISTNSTPCRTPPKELQSLSSLEEFSFHGSESGGWPEEEKPLGAETSAASVPKKAGLEDAKAVPEAPGTVECSKGLSQEPGAHLEEKKTPESSLSSQHLNELEKRPNPEKVVEEGREAGEMESSTLQESPRARAEAVLLHEMDEDDLANALIWPEIQQELKIIESEEELSSLPPPALKTSPIQPILESSLGPFIPSEPPGSLPCGSFPAPVSTPLEVWTRDPANQSTQGASTAASREKPEPEQGLHPDLASLAPLEIVPFEKASPQATVEVGGPGNLSPPLPPAPPPPTPLEESTPVLLSKGGPEREDSSRKLRTDLYIDQLKSQDSPEISSLCQGEEATPRHSDKQNSKNAASEGKGCGFPSPTREVEIVSQEEEDVTHSVQEPSDCDEDDTVTDIAQHGLEMVEPWEEPQWVTSPLHSPTLKDAHKAQVQGLQGHQLEKRLSHRPSLRQSHSLDSKPTVKSQWTLEVPSSSSCANLETERNSDPLQPQAPRREITGWDEKALRSFREFSGLKGAEAPPNQKGPSGVQPNPAETSPISLAEGKELGTHLGHSSPQIRQGGVPGPESSKESSPSVQDSTSPGEHPAKLQLKSTECGPPKGKNRPSSLNLDPAIPIADLFWFENVASFSSPGMQVSEPGDPKVTWMTSSYCKADPWRVYSQDPQDLDIVAHALTGRRNSAPVSVSAVRTSFMVKMCQARAVPVIPPKIQYTQIPQPLPSQSSGENGVQPLERSQEGPSSTSGTTQKPAKDDSPSSLESSKEEKPKQDPGAIKSSPVDATAPCMCEGPTLSPEPGSSNLLSTQDAVVQCRKRMSETEPSGDNLLSSKLERPSGGSKPFHRSRPGRPQSLILFSPPFPIMDHLPPSSTVTDSKVLLSPIRSPTQTVSPGLLCGELAENTWVTPEGVTLRNKMTIPKNGQRLETSTSCFYQPQRRSVILDGRSGRQIE
+>DECOY_sp|Q2M1Z3|RHG31_HUMAN Rho GTPase-activating protein 31 OS=Homo sapiens OX=9606 GN=ARHGAP31 PE=1 SV=2
+EIQRGSRGDLIVSRRQPQYFCSTSTELRQGNKPITMKNRLTVGEPTVWTNEALEGCLLGPSVTQTPSRIPSLLVKSDTVTSSPPLHDMIPFPPSFLILSQPRGPRSRHFPKSGGSPRELKSSLLNDGSPETESMRKRCQVVADQTSLLNSSGPEPSLTPGECMCPATADVPSSKIAGPDQKPKEEKSSELSSPSDDKAPKQTTGSTSSPGEQSRELPQVGNEGSSQSPLPQPIQTYQIKPPIVPVARAQCMKVMFSTRVASVSVPASNRRGTLAHAVIDLDQPDQSYVRWPDAKCYSSTMWTVKPDGPESVQMGPSSFSAVNEFWFLDAIPIAPDLNLSSPRNKGKPPGCETSKLQLKAPHEGPSTSDQVSPSSEKSSEPGPVGGQRIQPSSHGLHTGLEKGEALSIPSTEAPNPQVGSPGKQNPPAEAGKLGSFERFSRLAKEDWGTIERRPAQPQLPDSNRETELNACSSSSPVELTWQSKVTPKSDLSHSQRLSPRHSLRKELQHGQLGQVQAKHADKLTPSHLPSTVWQPEEWPEVMELGHQAIDTVTDDEDCDSPEQVSHTVDEEEQSVIEVERTPSPFGCGKGESAANKSNQKDSHRPTAEEGQCLSSIEPSDQSKLQDIYLDTRLKRSSDEREPGGKSLLVPTSEELPTPPPPAPPLPPSLNGPGGVEVTAQPSAKEFPVIELPALSALDPHLGQEPEPKERSAATSAGQTSQNAPDRTWVELPTSVPAPFSGCPLSGPPESPIFPGLSSELIPQIPSTKLAPPPLSSLEEESEIIKLEQQIEPWILANALDDEDMEHLLVAEARARPSEQLTSSEMEGAERGEEVVKEPNPRKELENLHQSSLSSEPTKKEELHAGPEQSLGKSCEVTGPAEPVAKADELGAKKPVSAASTEAGLPKEEEPWGGSESGHFSFEELSSLSQLEKPPTRCPTSNTSIVASVRLPVSVAFPESINLAKRQNRPSPEMQFLSSTFVSKSPSDNSTYFMGLMKPATQESEPDEVPRFVKLQEPPPRAQAGQLHSRDSSVDFGGEAGPPMGEQGWEGEQKTLDCSSGTGTSHMKTAPIFFGGTTVTRNFNGKTEKGEVPVSCLSDMSKAPRITAKEVSLRQGRVFVSGNRSLKSKSDSGSRGLNFISKWKKSKSSLKRKNDPLELVTHFLTGMPPVIEPLSNERREKRAPHNTALSRAQAEELSVLKMPLSLAPLTLSKMIPRNEDNELSGPAGNNFIQDVHNLIFEIVVQQVRVALFAADGNCGTAEIEKSRLLNPAWVLALNRAHMNTKSSFSAIHALHRILYELTRYHSPPLEQIVNQIRALQGEEPCHSVAETFKEYLEYTLLPNPLERFYLKCLSGVCHIDQLYVERTLDPCQDSGFEQRLRQINSTVGSLRYIGDVIGHTEIFEACSKLVYPVDQGSSELYETLDCGFASAAGKRKLKQKAGKNKM
+>sp|Q6ZRI8|RHG36_HUMAN Rho GTPase-activating protein 36 OS=Homo sapiens OX=9606 GN=ARHGAP36 PE=2 SV=1
+MGGCIPFLKAARALCPRIMPPLLLLSAFIFLVSVLGGAPGHNPDRRTKMVSIHSLSELERLKLQETAYHELVARHFLSEFKPDRALPIDRPNTLDKWFLILRGQQRAVSHKTFGISLEEVLVNEFTRRKHLELTATMQVEEATGQAAGRRRGNVVRRVFGRIRRFFSRRRNEPTLPREFTRRGRRGAVSVDSLAELEDGALLLQTLQLSKISFPIGQRLLGSKRKMSLNPIAKQIPQVVEACCQFIEKHGLSAVGIFTLEYSVQRVRQLREEFDQGLDVVLDDNQNVHDVAALLKEFFRDMKDSLLPDDLYMSFLLTATLKPQDQLSALQLLVYLMPPCHSDTLERLLKALHKITENCEDSIGIDGQLVPGNRMTSTNLALVFGSALLKKGKFGKRESRKTKLGIDHYVASVNVVRAMIDNWDVLFQVPPHIQRQVAKRVWKSSPEALDFIRRRNLRKIQSARIKMEEDALLSDPVETSAEARAAVLAQSKPSDEGSSEEPAVPSGTARSHDDEEGAGNPPIPEQDRPLLRVPREKEAKTGVSYFFP
+>DECOY_sp|Q6ZRI8|RHG36_HUMAN Rho GTPase-activating protein 36 OS=Homo sapiens OX=9606 GN=ARHGAP36 PE=2 SV=1
+PFFYSVGTKAEKERPVRLLPRDQEPIPPNGAGEEDDHSRATGSPVAPEESSGEDSPKSQALVAARAEASTEVPDSLLADEEMKIRASQIKRLNRRRIFDLAEPSSKWVRKAVQRQIHPPVQFLVDWNDIMARVVNVSAVYHDIGLKTKRSERKGFKGKKLLASGFVLALNTSTMRNGPVLQGDIGISDECNETIKHLAKLLRELTDSHCPPMLYVLLQLASLQDQPKLTATLLFSMYLDDPLLSDKMDRFFEKLLAAVDHVNQNDDLVVDLGQDFEERLQRVRQVSYELTFIGVASLGHKEIFQCCAEVVQPIQKAIPNLSMKRKSGLLRQGIPFSIKSLQLTQLLLAGDELEALSDVSVAGRRGRRTFERPLTPENRRRSFFRRIRGFVRRVVNGRRRGAAQGTAEEVQMTATLELHKRRTFENVLVEELSIGFTKHSVARQQGRLILFWKDLTNPRDIPLARDPKFESLFHRAVLEHYATEQLKLRELESLSHISVMKTRRDPNHGPAGGLVSVLFIFASLLLLPPMIRPCLARAAKLFPICGGM
+>sp|Q6P4F7|RHGBA_HUMAN Rho GTPase-activating protein 11A OS=Homo sapiens OX=9606 GN=ARHGAP11A PE=1 SV=2
+MWDQRLVRLALLQHLRAFYGIKVKGVRGQCDRRRHETAATEIGGKIFGVPFNALPHSAVPEYGHIPSFLVDACTSLEDHIHTEGLFRKSGSVIRLKALKNKVDHGEGCLSSAPPCDIAGLLKQFFRELPEPILPADLHEALLKAQQLGTEEKNKATLLLSCLLADHTVHVLRYFFNFLRNVSLRSSENKMDSSNLAVIFAPNLLQTSEGHEKMSSNTEKKLRLQAAVVQTLIDYASDIGRVPDFILEKIPAMLGIDGLCATPSLEGFEEGEYETPGEYKRKRRQSVGDFVSGALNKFKPNRTPSITPQEERIAQLSESPVILTPNAKRTLPVDSSHGFSSKKRKSIKHNFNFELLPSNLFNSSSTPVSVHIDTSSEGSSQSSLSPVLIGGNHLITAGVPRRSKRIAGKKVCRVESGKAGCFSPKISHKEKVRRSLRLKFNLGKNGREVNGCSGVNRYESVGWRLANQQSLKNRIESVKTGLLFSPDVDEKLPKKGSEKISKSEETLLTPERLVGTNYRMSWTGPNNSSFQEVDANEASSMVENLEVENSLEPDIMVEKSPATSCELTPSNLNNKHNSNITSSPLSGDENNMTKETLVKVQKAFSESGSNLHALMNQRQSSVTNVGKVKLTEPSYLEDSPEENLFETNDLTIVESKEKYEHHTGKGEKCFSERDFSPLQTQTFNRETTIKCYSTQMKMEHEKDIHSNMPKDYLSKQEFSSDEEIKKQQSPKDKLNNKLKENENMMEGNLPKCAAHSKDEARSSFSQQSTCVVTNLSKPRPMRIAKQQSLETCEKTVSESSQMTEHRKVSDHIQWFNKLSLNEPNRIKVKSPLKFQRTPVRQSVRRINSLLEYSRQPTGHKLASLGDTASPLVKSVSCDGALSSCIESASKDSSVSCIKSGPKEQKSMSCEESNIGAISKSSMELPSKSFLKMRKHPDSVNASLRSTTVYKQKILSDGQVKVPLDDLTNHDIVKPVVNNNMGISSGINNRVLRRPSERGRAWYKGSPKHPIGKTQLLPTSKPVDL
+>DECOY_sp|Q6P4F7|RHGBA_HUMAN Rho GTPase-activating protein 11A OS=Homo sapiens OX=9606 GN=ARHGAP11A PE=1 SV=2
+LDVPKSTPLLQTKGIPHKPSGKYWARGRESPRRLVRNNIGSSIGMNNNVVPKVIDHNTLDDLPVKVQGDSLIKQKYVTTSRLSANVSDPHKRMKLFSKSPLEMSSKSIAGINSEECSMSKQEKPGSKICSVSSDKSASEICSSLAGDCSVSKVLPSATDGLSALKHGTPQRSYELLSNIRRVSQRVPTRQFKLPSKVKIRNPENLSLKNFWQIHDSVKRHETMQSSESVTKECTELSQQKAIRMPRPKSLNTVVCTSQQSFSSRAEDKSHAACKPLNGEMMNENEKLKNNLKDKPSQQKKIEEDSSFEQKSLYDKPMNSHIDKEHEMKMQTSYCKITTERNFTQTQLPSFDRESFCKEGKGTHHEYKEKSEVITLDNTEFLNEEPSDELYSPETLKVKGVNTVSSQRQNMLAHLNSGSESFAKQVKVLTEKTMNNEDGSLPSSTINSNHKNNLNSPTLECSTAPSKEVMIDPELSNEVELNEVMSSAENADVEQFSSNNPGTWSMRYNTGVLREPTLLTEESKSIKESGKKPLKEDVDPSFLLGTKVSEIRNKLSQQNALRWGVSEYRNVGSCGNVERGNKGLNFKLRLSRRVKEKHSIKPSFCGAKGSEVRCVKKGAIRKSRRPVGATILHNGGILVPSLSSQSSGESSTDIHVSVPTSSSNFLNSPLLEFNFNHKISKRKKSSFGHSSDVPLTRKANPTLIVPSESLQAIREEQPTISPTRNPKFKNLAGSVFDGVSQRRKRKYEGPTEYEGEEFGELSPTACLGDIGLMAPIKELIFDPVRGIDSAYDILTQVVAAQLRLKKETNSSMKEHGESTQLLNPAFIVALNSSDMKNESSRLSVNRLFNFFYRLVHVTHDALLCSLLLTAKNKEETGLQQAKLLAEHLDAPLIPEPLERFFQKLLGAIDCPPASSLCGEGHDVKNKLAKLRIVSGSKRFLGETHIHDELSTCADVLFSPIHGYEPVASHPLANFPVGFIKGGIETAATEHRRRDCQGRVGKVKIGYFARLHQLLALRVLRQDWM
+>sp|Q9HBH0|RHOF_HUMAN Rho-related GTP-binding protein RhoF OS=Homo sapiens OX=9606 GN=RHOF PE=1 SV=1
+MDAPGALAQTAAPGPGRKELKIVIVGDGGCGKTSLLMVYSQGSFPEHYAPSVFEKYTASVTVGSKEVTLNLYDTAGQEDYDRLRPLSYQNTHLVLICYDVMNPTSYDNVLIKWFPEVTHFCRGIPMVLIGCKTDLRKDKEQLRKLRAAQLEPITYMQGLSACEQIRAALYLECSAKFRENVEDVFREAAKVALSALKKAQRQKKRRLCLLL
+>DECOY_sp|Q9HBH0|RHOF_HUMAN Rho-related GTP-binding protein RhoF OS=Homo sapiens OX=9606 GN=RHOF PE=1 SV=1
+LLLCLRRKKQRQAKKLASLAVKAAERFVDEVNERFKASCELYLAARIQECASLGQMYTIPELQAARLKRLQEKDKRLDTKCGILVMPIGRCFHTVEPFWKILVNDYSTPNMVDYCILVLHTNQYSLPRLRDYDEQGATDYLNLTVEKSGVTVSATYKEFVSPAYHEPFSGQSYVMLLSTKGCGGDGVIVIKLEKRGPGPAATQALAGPADM
+>sp|Q15669|RHOH_HUMAN Rho-related GTP-binding protein RhoH OS=Homo sapiens OX=9606 GN=RHOH PE=1 SV=1
+MLSSIKCVLVGDSAVGKTSLLVRFTSETFPEAYKPTVYENTGVDVFMDGIQISLGLWDTAGNDAFRSIRPLSYQQADVVLMCYSVANHNSFLNLKNKWIGEIRSNLPCTPVLVVATQTDQREMGPHRASCVNAMEGKKLAQDVRAKGYLECSALSNRGVQQVFECAVRTAVNQARRRNRRRLFSINECKIF
+>DECOY_sp|Q15669|RHOH_HUMAN Rho-related GTP-binding protein RhoH OS=Homo sapiens OX=9606 GN=RHOH PE=1 SV=1
+FIKCENISFLRRRNRRRAQNVATRVACEFVQQVGRNSLASCELYGKARVDQALKKGEMANVCSARHPGMERQDTQTAVVLVPTCPLNSRIEGIWKNKLNLFSNHNAVSYCMLVVDAQQYSLPRISRFADNGATDWLGLSIQIGDMFVDVGTNEYVTPKYAEPFTESTFRVLLSTKGVASDGVLVCKISSLM
+>sp|Q7Z5B4|RIC3_HUMAN Protein RIC-3 OS=Homo sapiens OX=9606 GN=RIC3 PE=1 SV=1
+MAYSTVQRVALASGLVLALSLLLPKAFLSRGKRQEPPPTPEGKLGRFPPMMHHHQAPSDGQTPGARFQRSHLAEAFAKAKGSGGGAGGGGSGRGLMGQIIPIYGFGIFLYILYILFKLSKGKTTAEDGKCYTAMPGNTHRKITSFELAQLQEKLKETEAAMEKLINRVGPNGESRAQTVTSDQEKRLLHQLREITRVMKEGKFIDRFSPEKEAEEAPYMEDWEGYPEETYPIYDLSDCIKRRQETILVDYPDPKELSAEEIAERMGMIEEEESDHLGWESLPTDPRAQEDNSVTSCDPKPETCSCCFHEDEDPAVLAENAGFSADSYPEQEETTKEEWSQDFKDEGLGISTDKAYTGSMLRKRNPQGLE
+>DECOY_sp|Q7Z5B4|RIC3_HUMAN Protein RIC-3 OS=Homo sapiens OX=9606 GN=RIC3 PE=1 SV=1
+ELGQPNRKRLMSGTYAKDTSIGLGEDKFDQSWEEKTTEEQEPYSDASFGANEALVAPDEDEHFCCSCTEPKPDCSTVSNDEQARPDTPLSEWGLHDSEEEEIMGMREAIEEASLEKPDPYDVLITEQRRKICDSLDYIPYTEEPYGEWDEMYPAEEAEKEPSFRDIFKGEKMVRTIERLQHLLRKEQDSTVTQARSEGNPGVRNILKEMAAETEKLKEQLQALEFSTIKRHTNGPMATYCKGDEATTKGKSLKFLIYLIYLFIGFGYIPIIQGMLGRGSGGGGAGGGSGKAKAFAEALHSRQFRAGPTQGDSPAQHHHMMPPFRGLKGEPTPPPEQRKGRSLFAKPLLLSLALVLGSALAVRQVTSYAM
+>sp|Q5UIP0|RIF1_HUMAN Telomere-associated protein RIF1 OS=Homo sapiens OX=9606 GN=RIF1 PE=1 SV=2
+MTARGQSPLAPLLETLEDPSASHGGQTDAYLTLTSRMTGEEGKEVITEIEKKLPRLYKVLKTHISSQNSELSSAALQALGFCLYNPKITSELSEANALELLSKLNDTIKNSDKNVRTRALWVISKQTFPSEVVGKMVSSIIDSLEILFNKGETHSAVVDFEALNVIVRLIEQAPIQMGEEAVRWAKLVIPLVVHSAQKVHLRGATALEMGMPLLLQKQQEIASITEQLMTTKLISELQKLFMSKNETYVLKLWPLFVKLLGRTLHRSGSFINSLLQLEELGFRSGAPMIKKIAFIAWKSLIDNFALNPDILCSAKRLKLLMQPLSSIHVRTETLALTKLEVWWYLLMRLGPHLPANFEQVCVPLIQSTISIDSNASPQGNSCHVATSPGLNPMTPVHKGASSPYGAPGTPRMNLSSNLGGMATIPSIQLLGLEMLLHFLLGPEALSFAKQNKLVLSLEPLEHPLISSPSFFSKHANTLITAVHDSFVAVGKDAPDVVVSAIWKELISLVKSVTESGNKKEKPGSEVLTLLLKSLESIVKSEVFPVSKTLVLMEITIKGLPQKVLGSPAYQVANMDILNGTPALFLIQLIFNNFLECGVSDERFFLSLESLVGCVLSGPTSPLAFSDSVLNVINQNAKQLENKEHLWKMWSVIVTPLTELINQTNEVNQGDALEHNFSAIYGALTLPVNHIFSEQRFPVATMKTLLRTWSELYRAFARCAALVATAEENLCCEELSSKIMSSLEDEGFSNLLFVDRIIYIITVMVDCIDFSPYNIKYQPKVKSPQRPSDWSKKKNEPLGKLTSLFKLIVKVIYSFHTLSFKEAHSDTLFTIGNSITGIISSVLGHISLPSMIRKIFATLTRPLALFYENSKLDEVPKVYSCLNNKLEKLLGEIIACLQFSYTGTYDSELLEQLSPLLCIIFLHKNKQIRKQSAQFWNATFAKVMMLVYPEELKPVLTQAKQKFLLLLPGLETVEMMEESSGPYSDGTENSQLNVKISGMERKSNGKRDSFLAQTKNKKENMKPAAKLKLESSSLKVKGEILLEEEKSTDFVFIPPEGKDAKERILTDHQKEVLKTKRCDIPAMYNNLDVSQDTLFTQYSQEEPMEIPTLTRKPKEDSKMMITEEQMDSDIVIPQDVTEDCGMAEHLEKSSLSNNECGSLDKTSPEMSNSNNDERKKALISSRKTSTECASSTENSFVVSSSSVSNTTVAGTPPYPTSRRQTFITLEKFDGSENRPFSPSPLNNISSTVTVKNNQETMIKTDFLPKAKQREGTFSKSDSEKIVNGTKRSSRRAGKAEQTGNKRSKPLMRSEPEKNTEESVEGIVVLENNPPGLLNQTECVSDNQVHLSESTMEHDNTKLKAATVENAVLLETNTVEEKNVEINLESKENTPPVVISADQMVNEDSQVQITPNQKTLRRSSRRRSEVVESTTESQDKENSHQKKERRKEEEKPLQKSPLHIKDDVLPKQKLIAEQTLQENLIEKGSNLHEKTLGETSANAETEQNKKKADPENIKSEGDGTQDIVDKSSEKLVRGRTRYQTRRASQGLLSSIENSESDSSEAKEEGSRKKRSGKWKNKSNESVDIQDQEEKVVKQECIKAENQSHDYKATSEEDVSIKSPICEKQDESNTVICQDSTVTSDLLQVPDDLPNVCEEKNETSKYAEYSFTSLPVPESNLRTRNAIKRLHKRDSFDNCSLGESSKIGISDISSLSEKTFQTLECQHKRSRRVRRSKGCDCCGEKSQPQEKSLIGLKNTENNDVEISETKKADVQAPVSPSETSQANPYSEGQFLDEHHSVNFHLGLKEDNDTINDSLIVSETKSKENTMQESLPSGIVNFREEICDMDSSEAMSLESQESPNENFKTVGPCLGDSKNVSQESLETKEEKPEETPKMELSLENVTVEGNACKVTESNLEKAKTMELNVGNEASFHGQERTKTGISEEAAIEENKRNDDSEADTAKLNAKEVATEEFNSDISLSDNTTPVKLNAQTEISEQTAAGELDGGNDVSDLHSSEETNTKMKNNEEMMIGEAMAETGHDGETENEGITTKTSKPDEAETNMLTAEMDNFVCDTVEMSTEEGIIDANKTETNTEYSKSEEKLDNNQMVMESDILQEDHHTSQKVEEPSQCLASGTAISELIIEDNNASPQKLRELDPSLVSANDSPSGMQTRCVWSPLASPSTSILKRGLKRSQEDEISSPVNKVRRVSFADPIYQAGLADDIDRRCSIVRSHSSNSSPIGKSVKTSPTTQSKHNTTSAKGFLSPGSRSPKFKSSKKCLISEMAKESIPCPTESVYPPLVNCVAPVDIILPQITSNMWARGLGQLIRAKNIKTIGDLSTLTASEIKTLPIRSPKVSNVKKALRIYHEQQVKTRGLEEIPVFDISEKTVNGIENKSLSPDEERLVSDIIDPVALEIPLSKNLLAQISALALQLDSEDLHNYSGSQLFEMHEKLSCMANSVIKNLQSRWRSPSHENSI
+>DECOY_sp|Q5UIP0|RIF1_HUMAN Telomere-associated protein RIF1 OS=Homo sapiens OX=9606 GN=RIF1 PE=1 SV=2
+ISNEHSPSRWRSQLNKIVSNAMCSLKEHMEFLQSGSYNHLDESDLQLALASIQALLNKSLPIELAVPDIIDSVLREEDPSLSKNEIGNVTKESIDFVPIEELGRTKVQQEHYIRLAKKVNSVKPSRIPLTKIESATLTSLDGITKINKARILQGLGRAWMNSTIQPLIIDVPAVCNVLPPYVSETPCPISEKAMESILCKKSSKFKPSRSGPSLFGKASTTNHKSQTTPSTKVSKGIPSSNSSHSRVISCRRDIDDALGAQYIPDAFSVRRVKNVPSSIEDEQSRKLGRKLISTSPSALPSWVCRTQMGSPSDNASVLSPDLERLKQPSANNDEIILESIATGSALCQSPEEVKQSTHHDEQLIDSEMVMQNNDLKEESKSYETNTETKNADIIGEETSMEVTDCVFNDMEATLMNTEAEDPKSTKTTIGENETEGDHGTEAMAEGIMMEENNKMKTNTEESSHLDSVDNGGDLEGAATQESIETQANLKVPTTNDSLSIDSNFEETAVEKANLKATDAESDDNRKNEEIAAEESIGTKTREQGHFSAENGVNLEMTKAKELNSETVKCANGEVTVNELSLEMKPTEEPKEEKTELSEQSVNKSDGLCPGVTKFNENPSEQSELSMAESSDMDCIEERFNVIGSPLSEQMTNEKSKTESVILSDNITDNDEKLGLHFNVSHHEDLFQGESYPNAQSTESPSVPAQVDAKKTESIEVDNNETNKLGILSKEQPQSKEGCCDCGKSRRVRRSRKHQCELTQFTKESLSSIDSIGIKSSEGLSCNDFSDRKHLRKIANRTRLNSEPVPLSTFSYEAYKSTENKEECVNPLDDPVQLLDSTVTSDQCIVTNSEDQKECIPSKISVDEESTAKYDHSQNEAKICEQKVVKEEQDQIDVSENSKNKWKGSRKKRSGEEKAESSDSESNEISSLLGQSARRTQYRTRGRVLKESSKDVIDQTGDGESKINEPDAKKKNQETEANASTEGLTKEHLNSGKEILNEQLTQEAILKQKPLVDDKIHLPSKQLPKEEEKRREKKQHSNEKDQSETTSEVVESRRRSSRRLTKQNPTIQVQSDENVMQDASIVVPPTNEKSELNIEVNKEEVTNTELLVANEVTAAKLKTNDHEMTSESLHVQNDSVCETQNLLGPPNNELVVIGEVSEETNKEPESRMLPKSRKNGTQEAKGARRSSRKTGNVIKESDSKSFTGERQKAKPLFDTKIMTEQNNKVTVTSSINNLPSPSFPRNESGDFKELTIFTQRRSTPYPPTGAVTTNSVSSSSVVFSNETSSACETSTKRSSILAKKREDNNSNSMEPSTKDLSGCENNSLSSKELHEAMGCDETVDQPIVIDSDMQEETIMMKSDEKPKRTLTPIEMPEEQSYQTFLTDQSVDLNNYMAPIDCRKTKLVEKQHDTLIREKADKGEPPIFVFDTSKEEELLIEGKVKLSSSELKLKAAPKMNEKKNKTQALFSDRKGNSKREMGSIKVNLQSNETGDSYPGSSEEMMEVTELGPLLLLFKQKAQTLVPKLEEPYVLMMVKAFTANWFQASQKRIQKNKHLFIICLLPSLQELLESDYTGTYSFQLCAIIEGLLKELKNNLCSYVKPVEDLKSNEYFLALPRTLTAFIKRIMSPLSIHGLVSSIIGTISNGITFLTDSHAEKFSLTHFSYIVKVILKFLSTLKGLPENKKKSWDSPRQPSKVKPQYKINYPSFDICDVMVTIIYIIRDVFLLNSFGEDELSSMIKSSLEECCLNEEATAVLAACRAFARYLESWTRLLTKMTAVPFRQESFIHNVPLTLAGYIASFNHELADGQNVENTQNILETLPTVIVSWMKWLHEKNELQKANQNIVNLVSDSFALPSTPGSLVCGVLSELSLFFREDSVGCELFNNFILQILFLAPTGNLIDMNAVQYAPSGLVKQPLGKITIEMLVLTKSVPFVESKVISELSKLLLTLVESGPKEKKNGSETVSKVLSILEKWIASVVVDPADKGVAVFSDHVATILTNAHKSFFSPSSILPHELPELSLVLKNQKAFSLAEPGLLFHLLMELGLLQISPITAMGGLNSSLNMRPTGPAGYPSSAGKHVPTMPNLGPSTAVHCSNGQPSANSDISITSQILPVCVQEFNAPLHPGLRMLLYWWVELKTLALTETRVHISSLPQMLLKLRKASCLIDPNLAFNDILSKWAIFAIKKIMPAGSRFGLEELQLLSNIFSGSRHLTRGLLKVFLPWLKLVYTENKSMFLKQLESILKTTMLQETISAIEQQKQLLLPMGMELATAGRLHVKQASHVVLPIVLKAWRVAEEGMQIPAQEILRVIVNLAEFDVVASHTEGKNFLIELSDIISSVMKGVVESPFTQKSIVWLARTRVNKDSNKITDNLKSLLELANAESLESTIKPNYLCFGLAQLAASSLESNQSSIHTKLVKYLRPLKKEIETIVEKGEEGTMRSTLTLYADTQGGHSASPDELTELLPALPSQGRATM
+>sp|Q13278|RIG_HUMAN Putative protein RIG OS=Homo sapiens OX=9606 GN=RIG PE=5 SV=1
+MPFFSSCLCPSHYSGPSLPSSTSSSLPTGPENQLGFVLLQAMVHHANSSCVRNAFWLQITEKLTPALSIIISVVYLRCPEMVENRIGFLLNVKDSKTLSVVGPHPKPCIL
+>DECOY_sp|Q13278|RIG_HUMAN Putative protein RIG OS=Homo sapiens OX=9606 GN=RIG PE=5 SV=1
+LICPKPHPGVVSLTKSDKVNLLFGIRNEVMEPCRLYVVSIIISLAPTLKETIQLWFANRVCSSNAHHVMAQLLVFGLQNEPGTPLSSSTSSPLSPGSYHSPCLCSSFFPM
+>sp|Q13671|RIN1_HUMAN Ras and Rab interactor 1 OS=Homo sapiens OX=9606 GN=RIN1 PE=1 SV=4
+MESPGESGAGSPGAPSPSSFTTGHLAREKPAQDPLYDVPNASGGQAGGPQRPGRVVSLRERLLLTRPVWLQLQANAAAALHMLRTEPPGTFLVRKSNTRQCQALCMRLPEASGPSFVSSHYILESPGGVSLEGSELMFPDLVQLICAYCHTRDILLLPLQLPRAIHHAATHKELEAISHLGIEFWSSSLNIKAQRGPAGGPVLPQLKARSPQELDQGTGAALCFFNPLFPGDLGPTKREKFKRSFKVRVSTETSSPLSPPAVPPPPVPVLPGAVPSQTERLPPCQLLRRESSVGYRVPAGSGPSLPPMPSLQEVDCGSPSSSEEEGVPGSRGSPATSPHLGRRRPLLRSMSAAFCSLLAPERQVGRAAAALMQDRHTAAGQLVQDLLTQVRAGPEPQELQGIRQALSRARAMLSAELGPEKLLSPKRLEHVLEKSLHCSVLKPLRPILAARLRRRLAADGSLGRLAEGLRLARAQGPGAFGSHLSLPSPVELEQVRQKLLQLLRTYSPSAQVKRLLQACKLLYMALRTQEGEGAGADEFLPLLSLVLAHCDLPELLLEAEYMSELLEPSLLTGEGGYYLTSLSASLALLSGLGQAHTLPLSPVQELRRSLSLWEQRRLPATHCFQHLLRVAYQDPSSGCTSKTLAVPPEASIATLNQLCATKFRVTQPNTFGLFLYKEQGYHRLPPGALAHRLPTTGYLVYRRAEWPETQGAVTEEEGSGQSEARSRGEEQGCQGDGDAGVKASPRDIREQSETTAEGGQGQAQEGPAQPGEPEAEGSRAAEE
+>DECOY_sp|Q13671|RIN1_HUMAN Ras and Rab interactor 1 OS=Homo sapiens OX=9606 GN=RIN1 PE=1 SV=4
+EEAARSGEAEPEGPQAPGEQAQGQGGEATTESQERIDRPSAKVGADGDGQCGQEEGRSRAESQGSGEEETVAGQTEPWEARRYVLYGTTPLRHALAGPPLRHYGQEKYLFLGFTNPQTVRFKTACLQNLTAISAEPPVALTKSTCGSSPDQYAVRLLHQFCHTAPLRRQEWLSLSRRLEQVPSLPLTHAQGLGSLLALSASLSTLYYGGEGTLLSPELLESMYEAELLLEPLDCHALVLSLLPLFEDAGAGEGEQTRLAMYLLKCAQLLRKVQASPSYTRLLQLLKQRVQELEVPSPLSLHSGFAGPGQARALRLGEALRGLSGDAALRRRLRAALIPRLPKLVSCHLSKELVHELRKPSLLKEPGLEASLMARARSLAQRIGQLEQPEPGARVQTLLDQVLQGAATHRDQMLAAAARGVQREPALLSCFAASMSRLLPRRRGLHPSTAPSGRSGPVGEEESSSPSGCDVEQLSPMPPLSPGSGAPVRYGVSSERRLLQCPPLRETQSPVAGPLVPVPPPPVAPPSLPSSTETSVRVKFSRKFKERKTPGLDGPFLPNFFCLAAGTGQDLEQPSRAKLQPLVPGGAPGRQAKINLSSSWFEIGLHSIAELEKHTAAHHIARPLQLPLLLIDRTHCYACILQVLDPFMLESGELSVGGPSELIYHSSVFSPGSAEPLRMCLAQCQRTNSKRVLFTGPPETRLMHLAAAANAQLQLWVPRTLLLRERLSVVRGPRQPGGAQGGSANPVDYLPDQAPKERALHGTTFSSPSPAGPSGAGSEGPSEM
+>sp|Q8TB24|RIN3_HUMAN Ras and Rab interactor 3 OS=Homo sapiens OX=9606 GN=RIN3 PE=1 SV=4
+MIRHAGAPARGDPTGPVPVVGKGEEEEEEDGMRLCLPANPKNCLPHRRGISILEKLIKTCPVWLQLSLGQAEVARILHRVVAGMFLVRRDSSSKQLVLCVHFPSLNESSAEVLEYTIKEEKSILYLEGSALVFEDIFRLIAFYCVSRDLLPFTLRLPQAILEASSFTDLETIANLGLGFWDSSLNPPQERGKPAEPPRDRAPGFPLVSSLRPTAHDANCACEIELSVGNDRLWFVNPIFIEDCSSALPTDQPPLGNCPARPLPPTSDATSPTSRWAPRRPPPPPPVLPLQPCSPAQPPVLPALAPAPACPLPTSPPVPAPHVTPHAPGPPDHPNQPPMMTCERLPCPTAGLGPLREEAMKPGAASSPLQQVPAPPLPAKKNLPTAPPRRRVSERVSLEDQSPGMAAEGDQLSLPPQGTSDGPEDTPRESTEQGQDTEVKASDPHSMPELPRTAKQPPVPPPRKKRISRQLASTLPAPLENAELCTQAMALETPTPGPPREGQSPASQAGTQHPPAQATAHSQSSPEFKGSLASLSDSLGVSVMATDQDSYSTSSTEEELEQFSSPSVKKKPSMILGKARHRLSFASFSSMFHAFLSNNRKLYKKVVELAQDKGSYFGSLVQDYKVYSLEMMARQTSSTEMLQEIRTMMTQLKSYLLQSTELKALVDPALHSEEELEAIVESALYKCVLKPLKEAINSCLHQIHSKDGSLQQLKENQLVILATTTTDLGVTTSVPEVPMMEKILQKFTSMHKAYSPEKKISILLKTCKLIYDSMALGNPGKPYGADDFLPVLMYVLARSNLTEMLLNVEYMMELMDPALQLGEGSYYLTTTYGALEHIKSYDKITVTRQLSVEVQDSIHRWERRRTLNKARASRSSVQDFICVSYLEPEQQARTLASRADTQAQALCAQCAEKFAVERPQAHRLFVLVDGRCFQLADDALPHCIKGYLLRSEPKRDFHFVYRPLDGGGGGGGGSPPCLVVREPNFL
+>DECOY_sp|Q8TB24|RIN3_HUMAN Ras and Rab interactor 3 OS=Homo sapiens OX=9606 GN=RIN3 PE=1 SV=4
+LFNPERVVLCPPSGGGGGGGGDLPRYVFHFDRKPESRLLYGKICHPLADDALQFCRGDVLVFLRHAQPREVAFKEACQACLAQAQTDARSALTRAQQEPELYSVCIFDQVSSRSARAKNLTRRREWRHISDQVEVSLQRTVTIKDYSKIHELAGYTTTLYYSGEGLQLAPDMLEMMYEVNLLMETLNSRALVYMLVPLFDDAGYPKGPNGLAMSDYILKCTKLLISIKKEPSYAKHMSTFKQLIKEMMPVEPVSTTVGLDTTTTALIVLQNEKLQQLSGDKSHIQHLCSNIAEKLPKLVCKYLASEVIAELEEESHLAPDVLAKLETSQLLYSKLQTMMTRIEQLMETSSTQRAMMELSYVKYDQVLSGFYSGKDQALEVVKKYLKRNNSLFAHFMSSFSAFSLRHRAKGLIMSPKKKVSPSSFQELEEETSSTSYSDQDTAMVSVGLSDSLSALSGKFEPSSQSHATAQAPPHQTGAQSAPSQGERPPGPTPTELAMAQTCLEANELPAPLTSALQRSIRKKRPPPVPPQKATRPLEPMSHPDSAKVETDQGQETSERPTDEPGDSTGQPPLSLQDGEAAMGPSQDELSVRESVRRRPPATPLNKKAPLPPAPVQQLPSSAAGPKMAEERLPGLGATPCPLRECTMMPPQNPHDPPGPAHPTVHPAPVPPSTPLPCAPAPALAPLVPPQAPSCPQLPLVPPPPPPRRPAWRSTPSTADSTPPLPRAPCNGLPPQDTPLASSCDEIFIPNVFWLRDNGVSLEIECACNADHATPRLSSVLPFGPARDRPPEAPKGREQPPNLSSDWFGLGLNAITELDTFSSAELIAQPLRLTFPLLDRSVCYFAILRFIDEFVLASGELYLISKEEKITYELVEASSENLSPFHVCLVLQKSSSDRRVLFMGAVVRHLIRAVEAQGLSLQLWVPCTKILKELISIGRRHPLCNKPNAPLCLRMGDEEEEEEGKGVVPVPGTPDGRAPAGAHRIM
+>sp|Q6ZS11|RINL_HUMAN Ras and Rab interactor-like protein OS=Homo sapiens OX=9606 GN=RINL PE=2 SV=2
+MAQPEDKAPEVPTEGVRLVPPQVNKADRTPLGVLSTLEPLTRLQRTWGVWHVPELDTQDAEALVGLWPLGSFLVTGRDPSQALVLRSGPLPGEVNTYQIQKIPRGVSLESSNLCMPDLPHLLAFLSASRDVLPRTLLLPPPTLGPRDEHTDPVQIGRVQQDTPGKVLSIVNQLYLETHRGWGREQTPQETEPEAAQRHDPAPRNPAPHGVSWVKGPLSPEVDHPGPALASLLEEEEEDLEGKEEGREDDPEEEGPEDVLTIHVQSLVRARSSYVARQYRSLRVRIASDSGGPHGSGDPATELLQDVRHLLTDLQDHLAKDSYIRAVFGSRGPGLPKKDEDPGPALETAVCQAVLAPLKPALWTRLRTLRAPELRRLRRRQTALRAGAGPPGAQGPGPEGQSPAPALRSRIHERLAHLHAACAPRRKVALLLEVCRDVYAGLARGENQDPLGADAFLPALTEELIWSPDIGDTQLDVEFLMELLDPDELRGEAGYYLTTWFGALHHIAHYQPETDRAPRGLSSEARASLHQWHRRRTLHRKDHPRAQANLPFKEPWAEETVTGTSDN
+>DECOY_sp|Q6ZS11|RINL_HUMAN Ras and Rab interactor-like protein OS=Homo sapiens OX=9606 GN=RINL PE=2 SV=2
+NDSTGTVTEEAWPEKFPLNAQARPHDKRHLTRRRHWQHLSARAESSLGRPARDTEPQYHAIHHLAGFWTTLYYGAEGRLEDPDLLEMLFEVDLQTDGIDPSWILEETLAPLFADAGLPDQNEGRALGAYVDRCVELLLAVKRRPACAAHLHALREHIRSRLAPAPSQGEPGPGQAGPPGAGARLATQRRRLRRLEPARLTRLRTWLAPKLPALVAQCVATELAPGPDEDKKPLGPGRSGFVARIYSDKALHDQLDTLLHRVDQLLETAPDGSGHPGGSDSAIRVRLSRYQRAVYSSRARVLSQVHITLVDEPGEEEPDDERGEEKGELDEEEEELLSALAPGPHDVEPSLPGKVWSVGHPAPNRPAPDHRQAAEPETEQPTQERGWGRHTELYLQNVISLVKGPTDQQVRGIQVPDTHEDRPGLTPPPLLLTRPLVDRSASLFALLHPLDPMCLNSSELSVGRPIKQIQYTNVEGPLPGSRLVLAQSPDRGTVLFSGLPWLGVLAEADQTDLEPVHWVGWTRQLRTLPELTSLVGLPTRDAKNVQPPVLRVGETPVEPAKDEPQAM
+>sp|Q9BRS2|RIOK1_HUMAN Serine/threonine-protein kinase RIO1 OS=Homo sapiens OX=9606 GN=RIOK1 PE=1 SV=2
+MDYRRLLMSRVVPGQFDDADSSDSENRDLKTVKEKDDILFEDLQDNVNENGEGEIEDEEEEGYDDDDDDWDWDEGVGKLAKGYVWNGGSNPQANRQTSDSSSAKMSTPADKVLRKFENKINLDKLNVTDSVINKVTEKSRQKEADMYRIKDKADRATVEQVLDPRTRMILFKMLTRGIITEINGCISTGKEANVYHASTANGESRAIKIYKTSILVFKDRDKYVSGEFRFRHGYCKGNPRKMVKTWAEKEMRNLIRLNTAEIPCPEPIMLRSHVLVMSFIGKDDMPAPLLKNVQLSESKARELYLQVIQYMRRMYQDARLVHADLSEFNMLYHGGGVYIIDVSQSVEHDHPHALEFLRKDCANVNDFFMRHSVAVMTVRELFEFVTDPSITHENMDAYLSKAMEIASQRTKEERSSQDHVDEEVFKRAYIPRTLNEVKNYERDMDIIMKLKEEDMAMNAQQDNILYQTVTGLKKDLSGVQKVPALLENQVEERTCSDSEDIGSSECSDTDSEEQGDHARPKKHTTDPDIDKKERKKMVKEAQREKRKNKIPKHVKKRKEKTAKTKKGK
+>DECOY_sp|Q9BRS2|RIOK1_HUMAN Serine/threonine-protein kinase RIO1 OS=Homo sapiens OX=9606 GN=RIOK1 PE=1 SV=2
+KGKKTKATKEKRKKVHKPIKNKRKERQAEKVMKKREKKDIDPDTTHKKPRAHDGQEESDTDSCESSGIDESDSCTREEVQNELLAPVKQVGSLDKKLGTVTQYLINDQQANMAMDEEKLKMIIDMDREYNKVENLTRPIYARKFVEEDVHDQSSREEKTRQSAIEMAKSLYADMNEHTISPDTVFEFLERVTMVAVSHRMFFDNVNACDKRLFELAHPHDHEVSQSVDIIYVGGGHYLMNFESLDAHVLRADQYMRRMYQIVQLYLERAKSESLQVNKLLPAPMDDKGIFSMVLVHSRLMIPEPCPIEATNLRILNRMEKEAWTKVMKRPNGKCYGHRFRFEGSVYKDRDKFVLISTKYIKIARSEGNATSAHYVNAEKGTSICGNIETIIGRTLMKFLIMRTRPDLVQEVTARDAKDKIRYMDAEKQRSKETVKNIVSDTVNLKDLNIKNEFKRLVKDAPTSMKASSSDSTQRNAQPNSGGNWVYGKALKGVGEDWDWDDDDDDYGEEEEDEIEGEGNENVNDQLDEFLIDDKEKVTKLDRNESDSSDADDFQGPVVRSMLLRRYDM
+>sp|P50914|RL14_HUMAN 60S ribosomal protein L14 OS=Homo sapiens OX=9606 GN=RPL14 PE=1 SV=4
+MVFRRFVEVGRVAYVSFGPHAGKLVAIVDVIDQNRALVDGPCTQVRRQAMPFKCMQLTDFILKFPHSAHQKYVRQAWQKADINTKWAATRWAKKIEARERKAKMTDFDRFKVMKAKKMRNRIIKNEVKKLQKAALLKASPKKAPGTKGTAAAAAAAAAAKVPAKKITAASKKAPAQKVPAQKATGQKAAPAPKAQKGQKAPAQKAPAPKASGKKA
+>DECOY_sp|P50914|RL14_HUMAN 60S ribosomal protein L14 OS=Homo sapiens OX=9606 GN=RPL14 PE=1 SV=4
+AKKGSAKPAPAKQAPAKQGKQAKPAPAAKQGTAKQAPVKQAPAKKSAATIKKAPVKAAAAAAAAAATGKTGPAKKPSAKLLAAKQLKKVENKIIRNRMKKAKMVKFRDFDTMKAKRERAEIKKAWRTAAWKTNIDAKQWAQRVYKQHASHPFKLIFDTLQMCKFPMAQRRVQTCPGDVLARNQDIVDVIAVLKGAHPGFSVYAVRGVEVFRRFVM
+>sp|Q86UC2|RSPH3_HUMAN Radial spoke head protein 3 homolog OS=Homo sapiens OX=9606 GN=RSPH3 PE=1 SV=1
+MTVKPAKAASLARNLAKRRRTYLGGAAGRSQEPEVPCAAVLPGKPGDRNCPEFPPPDRTLGCWATDAAPAAGLCGAGSEPSIAPTSCAGNLPSRPPPLLSPLLASRNPCPWHYLHLSGSHNTLAPTCFKAKLHRKRGSQPPDMASALTDRTSRAPSTYTYTSRPRALPCQRSRYRDSLTQPDEEPMHYGNIMYDRRVIRGNTYALQTGPLLGRPDSLELQRQREARKRALARKQAQEQLRPQTPEPVEGRKHVDVQTELYLEEIADRIIEVDMECQTDAFLDRPPTPLFIPAKTGKDVATQILEGELFDFDLEVKPVLEVLVGKTIEQSLLEVMEEEELANLRASQREYEELRNSERAEVQRLEEQERRHREEKERRKKQQWEIMHKHNETSQKIAARAFAQRYLADLLPSVFGSLRDSGYFYDPIERDIEIGFLPWLMNEVEKTMEYSMVGRTVLDMLIREVVEKRLCMYEHGEDTHQSPEPEDEPGGPGAMTESLEASEFLEQSMSQTRELLLDGGYLQRTTYDRRSSQERKFMEERELLGQDEETAMRKSLGEEELS
+>DECOY_sp|Q86UC2|RSPH3_HUMAN Radial spoke head protein 3 homolog OS=Homo sapiens OX=9606 GN=RSPH3 PE=1 SV=1
+SLEEEGLSKRMATEEDQGLLEREEMFKREQSSRRDYTTRQLYGGDLLLERTQSMSQELFESAELSETMAGPGGPEDEPEPSQHTDEGHEYMCLRKEVVERILMDLVTRGVMSYEMTKEVENMLWPLFGIEIDREIPDYFYGSDRLSGFVSPLLDALYRQAFARAAIKQSTENHKHMIEWQQKKRREKEERHRREQEELRQVEARESNRLEEYERQSARLNALEEEEMVELLSQEITKGVLVELVPKVELDFDFLEGELIQTAVDKGTKAPIFLPTPPRDLFADTQCEMDVEIIRDAIEELYLETQVDVHKRGEVPEPTQPRLQEQAQKRALARKRAERQRQLELSDPRGLLPGTQLAYTNGRIVRRDYMINGYHMPEEDPQTLSDRYRSRQCPLARPRSTYTYTSPARSTRDTLASAMDPPQSGRKRHLKAKFCTPALTNHSGSLHLYHWPCPNRSALLPSLLPPPRSPLNGACSTPAISPESGAGCLGAAPAADTAWCGLTRDPPPFEPCNRDGPKGPLVAACPVEPEQSRGAAGGLYTRRRKALNRALSAAKAPKVTM
+>sp|Q6UXX9|RSPO2_HUMAN R-spondin-2 OS=Homo sapiens OX=9606 GN=RSPO2 PE=1 SV=2
+MQFRLFSFALIILNCMDYSHCQGNRWRRSKRASYVSNPICKGCLSCSKDNGCSRCQQKLFFFLRREGMRQYGECLHSCPSGYYGHRAPDMNRCARCRIENCDSCFSKDFCTKCKVGFYLHRGRCFDECPDGFAPLEETMECVEGCEVGHWSEWGTCSRNNRTCGFKWGLETRTRQIVKKPVKDTILCPTIAESRRCKMTMRHCPGGKRTPKAKEKRNKKKKRKLIERAQEQHSVFLATDRANQ
+>DECOY_sp|Q6UXX9|RSPO2_HUMAN R-spondin-2 OS=Homo sapiens OX=9606 GN=RSPO2 PE=1 SV=2
+QNARDTALFVSHQEQAREILKRKKKKNRKEKAKPTRKGGPCHRMTMKCRRSEAITPCLITDKVPKKVIQRTRTELGWKFGCTRNNRSCTGWESWHGVECGEVCEMTEELPAFGDPCEDFCRGRHLYFGVKCKTCFDKSFCSDCNEIRCRACRNMDPARHGYYGSPCSHLCEGYQRMGERRLFFFLKQQCRSCGNDKSCSLCGKCIPNSVYSARKSRRWRNGQCHSYDMCNLIILAFSFLRFQM
+>sp|Q9BXY4|RSPO3_HUMAN R-spondin-3 OS=Homo sapiens OX=9606 GN=RSPO3 PE=1 SV=1
+MHLRLISWLFIILNFMEYIGSQNASRGRRQRRMHPNVSQGCQGGCATCSDYNGCLSCKPRLFFALERIGMKQIGVCLSSCPSGYYGTRYPDINKCTKCKADCDTCFNKNFCTKCKSGFYLHLGKCLDNCPEGLEANNHTMECVSIVHCEVSEWNPWSPCTKKGKTCGFKRGTETRVREIIQHPSAKGNLCPPTNETRKCTVQRKKCQKGERGKKGRERKRKKPNKGESKEAIPDSKSLESSKEIPEQRENKQQQKKRKVQDKQKSVSVSTVH
+>DECOY_sp|Q9BXY4|RSPO3_HUMAN R-spondin-3 OS=Homo sapiens OX=9606 GN=RSPO3 PE=1 SV=1
+HVTSVSVSKQKDQVKRKKQQQKNERQEPIEKSSELSKSDPIAEKSEGKNPKKRKRERGKKGREGKQCKKRQVTCKRTENTPPCLNGKASPHQIIERVRTETGRKFGCTKGKKTCPSWPNWESVECHVISVCEMTHNNAELGEPCNDLCKGLHLYFGSKCKTCFNKNFCTDCDAKCKTCKNIDPYRTGYYGSPCSSLCVGIQKMGIRELAFFLRPKCSLCGNYDSCTACGGQCGQSVNPHMRRQRRGRSANQSGIYEMFNLIIFLWSILRLHM
+>sp|Q96DX4|RSPRY_HUMAN RING finger and SPRY domain-containing protein 1 OS=Homo sapiens OX=9606 GN=RSPRY1 PE=1 SV=1
+MIVFGWAVFLASRSLGQGLLLTLEEHIAHFLGTGGAATTMGNSCICRDDSGTDDSVDTQQQQAENSAVPTADTRSQPRDPVRPPRRGRGPHEPRRKKQNVDGLVLDTLAVIRTLVDNDQEPPYSMITLHEMAETDEGWLDVVQSLIRVIPLEDPLGPAVITLLLDECPLPTKDALQKLTEILNLNGEVACQDSSHPAKHRNTSAVLGCLAEKLAGPASIGLLSPGILEYLLQCLKLQSHPTVMLFALIALEKFAQTSENKLTISESSISDRLVTLESWANDPDYLKRQVGFCAQWSLDNLFLKEGRQLTYEKVNLSSIRAMLNSNDVSEYLKISPHGLEARCDASSFESVRCTFCVDAGVWYYEVTVVTSGVMQIGWATRDSKFLNHEGYGIGDDEYSCAYDGCRQLIWYNARSKPHIHPCWKEGDTVGFLLDLNEKQMIFFLNGNQLPPEKQVFSSTVSGFFAAASFMSYQQCEFNFGAKPFKYPPSMKFSTFNDYAFLTAEEKIILPRHRRLALLKQVSIRENCCSLCCDEVADTQLKPCGHSDLCMDCALQLETCPLCRKEIVSRIRQISHIS
+>DECOY_sp|Q96DX4|RSPRY_HUMAN RING finger and SPRY domain-containing protein 1 OS=Homo sapiens OX=9606 GN=RSPRY1 PE=1 SV=1
+SIHSIQRIRSVIEKRCLPCTELQLACDMCLDSHGCPKLQTDAVEDCCLSCCNERISVQKLLALRRHRPLIIKEEATLFAYDNFTSFKMSPPYKFPKAGFNFECQQYSMFSAAAFFGSVTSSFVQKEPPLQNGNLFFIMQKENLDLLFGVTDGEKWCPHIHPKSRANYWILQRCGDYACSYEDDGIGYGEHNLFKSDRTAWGIQMVGSTVVTVEYYWVGADVCFTCRVSEFSSADCRAELGHPSIKLYESVDNSNLMARISSLNVKEYTLQRGEKLFLNDLSWQACFGVQRKLYDPDNAWSELTVLRDSISSESITLKNESTQAFKELAILAFLMVTPHSQLKLCQLLYELIGPSLLGISAPGALKEALCGLVASTNRHKAPHSSDQCAVEGNLNLIETLKQLADKTPLPCEDLLLTIVAPGLPDELPIVRILSQVVDLWGEDTEAMEHLTIMSYPPEQDNDVLTRIVALTDLVLGDVNQKKRRPEHPGRGRRPPRVPDRPQSRTDATPVASNEAQQQQTDVSDDTGSDDRCICSNGMTTAAGGTGLFHAIHEELTLLLGQGLSRSALFVAWGFVIM
+>sp|P82933|RT09_HUMAN 28S ribosomal protein S9, mitochondrial OS=Homo sapiens OX=9606 GN=MRPS9 PE=1 SV=2
+MAAPCVSYGGAVSYRLLLWGRGSLARKQGLWKTAAPELQTNVRSQILRLRHTAFVIPKKNVPTSKRETYTEDFIKKQIEEFNIGKRHLANMMGEDPETFTQEDIDRAIAYLFPSGLFEKRARPVMKHPEQIFPRQRAIQWGEDGRPFHYLFYTGKQSYYSLMHDVYGMLLNLEKHQSHLQAKSLLPEKTVTRDVIGSRWLIKEELEEMLVEKLSDLDYMQFIRLLEKLLTSQCGAAEEEFVQRFRRSVTLESKKQLIEPVQYDEQGMAFSKSEGKRKTAKAEAIVYKHGSGRIKVNGIDYQLYFPITQDREQLMFPFHFVDRLGKHDVTCTVSGGGRSAQAGAIRLAMAKALCSFVTEDEVEWMRQAGLLTTDPRVRERKKPGQEGARRKFTWKKR
+>DECOY_sp|P82933|RT09_HUMAN 28S ribosomal protein S9, mitochondrial OS=Homo sapiens OX=9606 GN=MRPS9 PE=1 SV=2
+RKKWTFKRRAGEQGPKKRERVRPDTTLLGAQRMWEVEDETVFSCLAKAMALRIAGAQASRGGGSVTCTVDHKGLRDVFHFPFMLQERDQTIPFYLQYDIGNVKIRGSGHKYVIAEAKATKRKGESKSFAMGQEDYQVPEILQKKSELTVSRRFRQVFEEEAAGCQSTLLKELLRIFQMYDLDSLKEVLMEELEEKILWRSGIVDRTVTKEPLLSKAQLHSQHKELNLLMGYVDHMLSYYSQKGTYFLYHFPRGDEGWQIARQRPFIQEPHKMVPRARKEFLGSPFLYAIARDIDEQTFTEPDEGMMNALHRKGINFEEIQKKIFDETYTERKSTPVNKKPIVFATHRLRLIQSRVNTQLEPAATKWLGQKRALSGRGWLLLRYSVAGGYSVCPAAM
+>sp|P82912|RT11_HUMAN 28S ribosomal protein S11, mitochondrial OS=Homo sapiens OX=9606 GN=MRPS11 PE=1 SV=2
+MQAVRNAGSRFLRSWTWPQTAGRVVARTPAGTICTGARQLQDAAAKQKVEQNAAPSHTKFSIYPPIPGEESSLRWAGKKFEEIPIAHIKASHNNTQIQVVSASNEPLAFASCGTEGFRNAKKGTGIAAQTAGIAAAARAKQKGVIHIRVVVKGLGPGRLSAMHGLIMGGLEVISITDNTPIPHNGCRPRKARKL
+>DECOY_sp|P82912|RT11_HUMAN 28S ribosomal protein S11, mitochondrial OS=Homo sapiens OX=9606 GN=MRPS11 PE=1 SV=2
+LKRAKRPRCGNHPIPTNDTISIVELGGMILGHMASLRGPGLGKVVVRIHIVGKQKARAAAAIGATQAAIGTGKKANRFGETGCSAFALPENSASVVQIQTNNHSAKIHAIPIEEFKKGAWRLSSEEGPIPPYISFKTHSPAANQEVKQKAAADQLQRAGTCITGAPTRAVVRGATQPWTWSRLFRSGANRVAQM
+>sp|P82921|RT21_HUMAN 28S ribosomal protein S21, mitochondrial OS=Homo sapiens OX=9606 GN=MRPS21 PE=1 SV=3
+MAKHLKFIARTVMVQEGNVESAYRTLNRILTMDGLIEDIKHRRYYEKPCCRRQRESYERCRRIYNMEMARKINFLMRKNRADPWQGC
+>DECOY_sp|P82921|RT21_HUMAN 28S ribosomal protein S21, mitochondrial OS=Homo sapiens OX=9606 GN=MRPS21 PE=1 SV=3
+CGQWPDARNKRMLFNIKRAMEMNYIRRCREYSERQRRCCPKEYYRRHKIDEILGDMTLIRNLTRYASEVNGEQVMVTRAIFKLHKAM
+>sp|Q96EL2|RT24_HUMAN 28S ribosomal protein S24, mitochondrial OS=Homo sapiens OX=9606 GN=MRPS24 PE=1 SV=1
+MAASVCSGLLGPRVLSWSRELPCAWRALHTSPVCAKNRAARVRVSKGDKPVTYEEAHAPHYIAHRKGWLSLHTGNLDGEDHAAERTVEDVFLRKFMWGTFPGCLADQLVLKRRGNQLEICAVVLRQLSPHKYYFLVGYSETLLSYFYKCPVRLHLQTVPSKVVYKYL
+>DECOY_sp|Q96EL2|RT24_HUMAN 28S ribosomal protein S24, mitochondrial OS=Homo sapiens OX=9606 GN=MRPS24 PE=1 SV=1
+LYKYVVKSPVTQLHLRVPCKYFYSLLTESYGVLFYYKHPSLQRLVVACIELQNGRRKLVLQDALCGPFTGWMFKRLFVDEVTREAAHDEGDLNGTHLSLWGKRHAIYHPAHAEEYTVPKDGKSVRVRAARNKACVPSTHLARWACPLERSWSLVRPGLLGSCVSAAM
+>sp|A6NKG5|RTL1_HUMAN Retrotransposon-like protein 1 OS=Homo sapiens OX=9606 GN=RTL1 PE=3 SV=3
+MIEPSEDSFETMMEHKNPSSKQMESSEGSSNTTEATSGSGVRGEAGPASGPAQEKKEPPSGPLQEMEELPTDLLQDMEEPSSGPRKEIEDPPNDLLQDLEESCNGSHQARGDPLSGASDRMKEASVNPSGAREEQEAHTDLKESGREETPQEQNQTEHSTAELMAMVRSIISLYFRMQDLKEQQRVAEEILIKGINAGQLPAPKHFSGDRREFHEFIVLCQLTLQSYPRMFYNDRLRVGYVINHLSGLALEWAKALLQENSPLIGDFPAFLEAMSEVFEYRQALRVAEEAMFTIRQGGRSATEYIDEFQSLVPILGWPDEVLQAHLCQGLNEEIRHYLFRVPQPDSLDSLIVLILQIEEKLAERRAMLRLPPEARPRNLTWIDSPAPERWMVSSWLPSEVHPDINRAHLFLLLMVRVNPYHSVAVQALVDSGADGNFMDEKFAQEHYVELYEKPYPQPVQSVDGSLIGNEPVWLYTEPLVCIHQNHQESIEFDIVPSPNFSVVLGIRWLRVHAPEVDWIKGRCTFHSPYCLKNCFRPPPPCIALERHGMSLLPGLPHPYSDLADVFNPKEADDETSDQPSSDGSDDLSESEPSELQQAGDSDHSETFYECPSTAPWEPVGARMQERARLQEEYWDLQDMLTNRQDYIQMIPELFDQLHGAEWFTKLELRGTIVEESVNGHRTEDVWKAAFGLELEEMKSYQPFALSPDPIIPQNVIHFILKDMLGFFVLSYGQEVLIYSMSQEEHLHHVRQVLVRFRHHNVYCSLDKSQFHRQTVEFLGFVVTPKGVKLNKNVMTIITGYPTPGSKLSLRNFIEFVFPYRHFVERFSIIAEPLVRQLLSSYQFYWGVEEQEAFECLKRAFRKAPLLHHPKPQNPFYLETGVTGTALHASLIQIDDQTGKRACCAFYSRNISPIEVEYSQAEMKILPIRAAFMVWCRYLENTEEPIMILLNTEDLASLNNDRLTVLLPGHWVFFFSHFNFDVMELPEQDGGRALPPVRNLRWRRAFQRNTAARQTLLLASRGFPRDPSTESGEEENEEQDELNEQILRQELLAMIPIDQILNSFLAHFSMAQIRAVILHFFRGLLYWKNTLALAAILVLLRVRQCLSLRPAPAMRVARPQPQRSLRLILDSSLIAGSSITTAITQLLTQMPALVGANTIPAQELAELFLGPGRWQRNALHSQAHRGLQFTPGFWLTLCEFFGVRVTPQEGHLPALRQNRYLELHVVGDEDVVLREALQDDLQRYRQCGLHDGLQDTSQDKQDNDVQEAPPSHTAATHPPRPRHLMDPQVLEFLGSRLLHIHSADGQLHLLSREQAARALSQFLTLIYRRALPIPAWESQPREQARLEELPDEDEDANLD
+>DECOY_sp|A6NKG5|RTL1_HUMAN Retrotransposon-like protein 1 OS=Homo sapiens OX=9606 GN=RTL1 PE=3 SV=3
+DLNADEDEDPLEELRAQERPQSEWAPIPLARRYILTLFQSLARAAQERSLLHLQGDASHIHLLRSGLFELVQPDMLHRPRPPHTAATHSPPAEQVDNDQKDQSTDQLGDHLGCQRYRQLDDQLAERLVVDEDGVVHLELYRNQRLAPLHGEQPTVRVGFFECLTLWFGPTFQLGRHAQSHLANRQWRGPGLFLEALEQAPITNAGVLAPMQTLLQTIATTISSGAILSSDLILRLSRQPQPRAVRMAPAPRLSLCQRVRLLVLIAALALTNKWYLLGRFFHLIVARIQAMSFHALFSNLIQDIPIMALLEQRLIQENLEDQEENEEEGSETSPDRPFGRSALLLTQRAATNRQFARRWRLNRVPPLARGGDQEPLEMVDFNFHSFFFVWHGPLLVTLRDNNLSALDETNLLIMIPEETNELYRCWVMFAARIPLIKMEAQSYEVEIPSINRSYFACCARKGTQDDIQILSAHLATGTVGTELYFPNQPKPHHLLPAKRFARKLCEFAEQEEVGWYFQYSSLLQRVLPEAIISFREVFHRYPFVFEIFNRLSLKSGPTPYGTIITMVNKNLKVGKPTVVFGLFEVTQRHFQSKDLSCYVNHHRFRVLVQRVHHLHEEQSMSYILVEQGYSLVFFGLMDKLIFHIVNQPIIPDPSLAFPQYSKMEELELGFAAKWVDETRHGNVSEEVITGRLELKTFWEAGHLQDFLEPIMQIYDQRNTLMDQLDWYEEQLRAREQMRAGVPEWPATSPCEYFTESHDSDGAQQLESPESESLDDSGDSSPQDSTEDDAEKPNFVDALDSYPHPLGPLLSMGHRELAICPPPPRFCNKLCYPSHFTCRGKIWDVEPAHVRLWRIGLVVSFNPSPVIDFEISEQHNQHICVLPETYLWVPENGILSGDVSQVPQPYPKEYLEVYHEQAFKEDMFNGDAGSDVLAQVAVSHYPNVRVMLLLFLHARNIDPHVESPLWSSVMWREPAPSDIWTLNRPRAEPPLRLMARREALKEEIQLILVILSDLSDPQPVRFLYHRIEENLGQCLHAQLVEDPWGLIPVLSQFEDIYETASRGGQRITFMAEEAVRLAQRYEFVESMAELFAPFDGILPSNEQLLAKAWELALGSLHNIVYGVRLRDNYFMRPYSQLTLQCLVIFEHFERRDGSFHKPAPLQGANIGKILIEEAVRQQEKLDQMRFYLSIISRVMAMLEATSHETQNQEQPTEERGSEKLDTHAEQEERAGSPNVSAEKMRDSAGSLPDGRAQHSGNCSEELDQLLDNPPDEIEKRPGSSPEEMDQLLDTPLEEMEQLPGSPPEKKEQAPGSAPGAEGRVGSGSTAETTNSSGESSEMQKSSPNKHEMMTEFSDESPEIM
+>sp|Q6ICC9|RTL6_HUMAN Retrotransposon Gag-like protein 6 OS=Homo sapiens OX=9606 GN=RTL6 PE=2 SV=1
+MVQPQTSKAESPALAASPNAQMDDVIDTLTSLRLTNSALRREASTLRAEKANLTNMLESVMAELTLLRTRARIPGALQITPPISSITSNGTRPMTTPPTSLPEPFSGDPGRLAGFLMQMDRFMIFQASRFPGEAERVAFLVSRLTGEAEKWAIPHMQPDSPLRNNYQGFLAELRRTYKSPLRHARRAQIRKTSASNRAVRERQMLCRQLASAGTGPCPVHPASNGTSPAPALPARARNL
+>DECOY_sp|Q6ICC9|RTL6_HUMAN Retrotransposon Gag-like protein 6 OS=Homo sapiens OX=9606 GN=RTL6 PE=2 SV=1
+LNRARAPLAPAPSTGNSAPHVPCPGTGASALQRCLMQRERVARNSASTKRIQARRAHRLPSKYTRRLEALFGQYNNRLPSDPQMHPIAWKEAEGTLRSVLFAVREAEGPFRSAQFIMFRDMQMLFGALRGPDGSFPEPLSTPPTTMPRTGNSTISSIPPTIQLAGPIRARTRLLTLEAMVSELMNTLNAKEARLTSAERRLASNTLRLSTLTDIVDDMQANPSAALAPSEAKSTQPQVM
+>sp|Q8NET4|RTL9_HUMAN Retrotransposon Gag-like protein 9 OS=Homo sapiens OX=9606 GN=RTL9 PE=1 SV=1
+MSIPLHSLRFNNTMREENVEPQNKQMAFCRPMTETRADVQILHSHVQLPIVSTSASDPGGTSTQLMTSPVFDTMSAPLMGVPNSGALSPPLMPASDSGALSPLLMPASDSGALSPLLMPALDSGTLSPLLSTSEYGVMSPGMMTIPDFGTMSATLMVAPDSAEISPLAMPAPSSGVVCTPIMSTSSSEAMSTPLMLAPDSGELSPILMQDMNPGVMSTQPVPAPSSEAMSPLQITDEDTEAMSKVLMTALASGEISSLLMSGTDSEAISSLIMSAVASGGTSPQPTSTQNSGGIPTPLMSDLDSGIMSSLLMSSPGSEVMSTPLLSVPDAGEMSTLPKPAPDAEAMSPALMTALPSGVMPTQTMPAPGSGAMSPWSTQNVDSEMMSNPPVRATASGVMSAPPVRALDSGAMSTPLMGAPASGNMSTLQKTVPASGAMTTSLMTVPSSGVMSTEQMSATASRVMSAQLTMAKTSGAMPTGSMKAVAKQYKRATASGKMSTPLRRAPTSGAMSTQPVTATASETMSMPQLTVPASGSMSMLQMRAPVSEAMSMPQMRTMASGLTSAAQMKAMTSGAMSTPLMTAQTSGSTSTLLMRDTASGVMSCPQMRSLASGALSKPLMTPKASGTMFTEKMTTTASEAMPTLLMRDTVSGALSMPQMTDTASGGLSASLMRDTASGAMSTSQMTATVSGGMSMPLMRAQDPGVMPASLMRAKVSGKMLSQPMSTQDPGGMSMSPMKSMTAGGMQMNSPTSDVMSTPTVRAWTSETMSTPLMRTSDPGERPSLLTRASSSGEMSLPLMRAPASGEIATPLRSPAYGAMSAPQMTATASGMMSSMPQVKAPISGAMSMPLTRSTASGGMSMPLMRAPDSRVTSTSQMMPTASGDMCTLPVRAPASGGVSSPLVRAPASGTMSTPLRRPSACETVSTELMRASASGHMSTAQTTAMVSGGMSKPLMRAPASGTMPMPLMSAMASGEMSMPLMETMASGATSTLQTSVANSRSMSLSQTTYTVSGRMATAPIRASASGARSTSFMRASVSGSMPMPLPRATASGCGMGMSMPQMTATDSRGMSTPLMRASGPGTMSTPQTAFGVMSTPEIKATDSGEASTSHINITASGSKPTSHMTATTPETAKPPPKEVPSFGMLTPALCYLLEEQEAARGSCSVEEEMEIDEEKQMKGFLDDSERMAFLVSLHLGAAERWFILQMEVGEPLSHENKSFLRRSQGIYDSLSEIDILSAVLCHPKQGQKSVRQYATDFLLLARHLSWSDAILRTRFLEGLSEAVTTKMGRIFLKVAGSLKELIDRSLYTECQLAEEKDSPGNSSQVLPTACKRNNEEAMGNELSSQQQTEEHQHVSKRCYYLKEHGDPQEGLHDHLGQSTGHHQKAHTNK
+>DECOY_sp|Q8NET4|RTL9_HUMAN Retrotransposon Gag-like protein 9 OS=Homo sapiens OX=9606 GN=RTL9 PE=1 SV=1
+KNTHAKQHHGTSQGLHDHLGEQPDGHEKLYYCRKSVHQHEETQQQSSLENGMAEENNRKCATPLVQSSNGPSDKEEALQCETYLSRDILEKLSGAVKLFIRGMKTTVAESLGELFRTRLIADSWSLHRALLLFDTAYQRVSKQGQKPHCLVASLIDIESLSDYIGQSRRLFSKNEHSLPEGVEMQLIFWREAAGLHLSVLFAMRESDDLFGKMQKEEDIEMEEEVSCSGRAAEQEELLYCLAPTLMGFSPVEKPPPKATEPTTATMHSTPKSGSATINIHSTSAEGSDTAKIEPTSMVGFATQPTSMTGPGSARMLPTSMGRSDTATMQPMSMGMGCGSATARPLPMPMSGSVSARMFSTSRAGSASARIPATAMRGSVTYTTQSLSMSRSNAVSTQLTSTAGSAMTEMLPMSMEGSAMASMLPMPMTGSAPARMLPKSMGGSVMATTQATSMHGSASARMLETSVTECASPRRLPTSMTGSAPARVLPSSVGGSAPARVPLTCMDGSATPMMQSTSTVRSDPARMLPMSMGGSATSRTLPMSMAGSIPAKVQPMSSMMGSATATMQPASMAGYAPSRLPTAIEGSAPARMLPLSMEGSSSARTLLSPREGPDSTRMLPTSMTESTWARVTPTSMVDSTPSNMQMGGATMSKMPSMSMGGPDQTSMPQSLMKGSVKARMLSAPMVGPDQARMLPMSMGGSVTATMQSTSMAGSATDRMLSASLGGSATDTMQPMSLAGSVTDRMLLTPMAESATTTMKETFMTGSAKPTMLPKSLAGSALSRMQPCSMVGSATDRMLLTSTSGSTQATMLPTSMAGSTMAKMQAASTLGSAMTRMQPMSMAESVPARMQLMSMSGSAPVTLQPMSMTESATATVPQTSMAGSTPARRLPTSMKGSATARKYQKAVAKMSGTPMAGSTKAMTLQASMVRSATASMQETSMVGSSPVTMLSTTMAGSAPVTKQLTSMNGSAPAGMLPTSMAGSDLARVPPASMVGSATARVPPNSMMESDVNQTSWPSMAGSGPAPMTQTPMVGSPLATMLAPSMAEADPAPKPLTSMEGADPVSLLPTSMVESGPSSMLLSSMIGSDLDSMLPTPIGGSNQTSTPQPSTGGSAVASMILSSIAESDTGSMLLSSIEGSALATMLVKSMAETDEDTIQLPSMAESSPAPVPQTSMVGPNMDQMLIPSLEGSDPALMLPTSMAESSSTSMIPTCVVGSSPAPMALPSIEASDPAVMLTASMTGFDPITMMGPSMVGYESTSLLPSLTGSDLAPMLLPSLAGSDSAPMLLPSLAGSDSAPMLPPSLAGSNPVGMLPASMTDFVPSTMLQTSTGGPDSASTSVIPLQVHSHLIQVDARTETMPRCFAMQKNQPEVNEERMTNNFRLSHLPISM
+>sp|P08621|RU17_HUMAN U1 small nuclear ribonucleoprotein 70 kDa OS=Homo sapiens OX=9606 GN=SNRNP70 PE=1 SV=2
+MTQFLPPNLLALFAPRDPIPYLPPLEKLPHEKHHNQPYCGIAPYIREFEDPRDAPPPTRAETREERMERKRREKIERRQQEVETELKMWDPHNDPNAQGDAFKTLFVARVNYDTTESKLRREFEVYGPIKRIHMVYSKRSGKPRGYAFIEYEHERDMHSAYKHADGKKIDGRRVLVDVERGRTVKGWRPRRLGGGLGGTRRGGADVNIRHSGRDDTSRYDERPGPSPLPHRDRDRDRERERRERSRERDKERERRRSRSRDRRRRSRSRDKEERRRSRERSKDKDRDRKRRSSRSRERARRERERKEELRGGGGDMAEPSEAGDAPPDDGPPGELGPDGPDGPEEKGRDRDRERRRSHRSERERRRDRDRDRDRDREHKRGERGSERGRDEARGGGGGQDNGLEGLGNDSRDMYMESEGGDGYLAPENGYLMEAAPE
+>DECOY_sp|P08621|RU17_HUMAN U1 small nuclear ribonucleoprotein 70 kDa OS=Homo sapiens OX=9606 GN=SNRNP70 PE=1 SV=2
+EPAAEMLYGNEPALYGDGGESEMYMDRSDNGLGELGNDQGGGGGRAEDRGRESGREGRKHERDRDRDRDRDRRRERESRHSRRRERDRDRGKEEPGDPGDPGLEGPPGDDPPADGAESPEAMDGGGGRLEEKRERERRARERSRSSRRKRDRDKDKSRERSRRREEKDRSRSRRRRDRSRSRRREREKDRERSRERRERERDRDRDRHPLPSPGPREDYRSTDDRGSHRINVDAGGRRTGGLGGGLRRPRWGKVTRGREVDVLVRRGDIKKGDAHKYASHMDREHEYEIFAYGRPKGSRKSYVMHIRKIPGYVEFERRLKSETTDYNVRAVFLTKFADGQANPDNHPDWMKLETEVEQQRREIKERRKREMREERTEARTPPPADRPDEFERIYPAIGCYPQNHHKEHPLKELPPLYPIPDRPAFLALLNPPLFQTM
+>sp|Q59EK9|RUN3A_HUMAN RUN domain-containing protein 3A OS=Homo sapiens OX=9606 GN=RUNDC3A PE=1 SV=2
+MEASFVQTTMALGLSSKKASSRNVAVERKNLITVCRFSVKTLLEKYTAEPIDDSSEEFVNFAAILEQILSHRFKACAPAGPVSWFSSDGQRGFWDYIRLACSKVPNNCVSSIENMENISTARAKGRAWIRVALMEKRMSEYITTALRDTRTTRRFYDSGAIMLRDEATILTGMLIGLSAIDFSFCLKGEVLDGKTPVVIDYTPYLKFTQSYDYLTDEEERHSAESSTSEDNSPEHPYLPLVTDEDSWYSKWHKMEQKFRIVYAQKGYLEELVRLRESQLKDLEAENRRLQLQLEEAAAQNQREKRELEGVILELQEQLTGLIPSDHAPLAQGSKELTTPLVNQWPSLGTLNGAEGASNSKLYRRHSFMSTEPLSAEASLSSDSQRLGEGTRDEEPWGPIGKDPTPSMLGLCGSLASIPSCKSLASFKSNECLVSDSPEGSPALSPS
+>DECOY_sp|Q59EK9|RUN3A_HUMAN RUN domain-containing protein 3A OS=Homo sapiens OX=9606 GN=RUNDC3A PE=1 SV=2
+SPSLAPSGEPSDSVLCENSKFSALSKCSPISALSGCLGLMSPTPDKGIPGWPEEDRTGEGLRQSDSSLSAEASLPETSMFSHRRYLKSNSAGEAGNLTGLSPWQNVLPTTLEKSGQALPAHDSPILGTLQEQLELIVGELERKERQNQAAAEELQLQLRRNEAELDKLQSERLRVLEELYGKQAYVIRFKQEMKHWKSYWSDEDTVLPLYPHEPSNDESTSSEASHREEEDTLYDYSQTFKLYPTYDIVVPTKGDLVEGKLCFSFDIASLGILMGTLITAEDRLMIAGSDYFRRTTRTDRLATTIYESMRKEMLAVRIWARGKARATSINEMNEISSVCNNPVKSCALRIYDWFGRQGDSSFWSVPGAPACAKFRHSLIQELIAAFNVFEESSDDIPEATYKELLTKVSFRCVTILNKREVAVNRSSAKKSSLGLAMTTQVFSAEM
+>sp|Q96NL0|RUN3B_HUMAN RUN domain-containing protein 3B OS=Homo sapiens OX=9606 GN=RUNDC3B PE=2 SV=1
+MASRSLGGLSGIRGGGGGGGKKSLSARNAAVERRNLITVCRFSVKTLIDRSCFETIDDSSPEFNNFAAILEQILSHRLKEISQSCRWLAHLQIPLQGQVTWFGYESPRSFWDYIRVACRKVSQNCICSIENMENVSSSRAKGRAWIRVALMEKHLSEYISTALRDFKTTRRFYEDGAIVLGEEANMLAGMLLGLNAIDFSFCLKGEGLDGSFPAVIDYTPYLKYIQSSDSISSDEEELRTLGSSGSESSTPENVGPPFLMDENSWFNKCKRVKQKYQLTLEQKGYLEELLRLRENQLSESVSQNKILLQRIEDSDLAHKLEKEQLEYIIVELQDQLTVLKNNDLRSRQELTAHLTNQWPSPGALDVNAVALDTLLYRKHNKQWYEKSYQSLDQLSAEVSLSQTSLDPGQSQEGDGKQDTLNVMSEGKEDTPSLLGLCGSLTSVASYKSLTSLKSNDYLASPTTEMTSPGLTPS
+>DECOY_sp|Q96NL0|RUN3B_HUMAN RUN domain-containing protein 3B OS=Homo sapiens OX=9606 GN=RUNDC3B PE=2 SV=1
+SPTLGPSTMETTPSALYDNSKLSTLSKYSAVSTLSGCLGLLSPTDEKGESMVNLTDQKGDGEQSQGPDLSTQSLSVEASLQDLSQYSKEYWQKNHKRYLLTDLAVANVDLAGPSPWQNTLHATLEQRSRLDNNKLVTLQDQLEVIIYELQEKELKHALDSDEIRQLLIKNQSVSESLQNERLRLLEELYGKQELTLQYKQKVRKCKNFWSNEDMLFPPGVNEPTSSESGSSGLTRLEEEDSSISDSSQIYKLYPTYDIVAPFSGDLGEGKLCFSFDIANLGLLMGALMNAEEGLVIAGDEYFRRTTKFDRLATSIYESLHKEMLAVRIWARGKARSSSVNEMNEISCICNQSVKRCAVRIYDWFSRPSEYGFWTVQGQLPIQLHALWRCSQSIEKLRHSLIQELIAAFNNFEPSSDDITEFCSRDILTKVSFRCVTILNRREVAANRASLSKKGGGGGGGRIGSLGGLSRSAM
+>sp|Q8N2Y8|RUSC2_HUMAN Iporin OS=Homo sapiens OX=9606 GN=RUSC2 PE=1 SV=3
+MDSPPKLTGETLIVHHIPLVHCQVPDRQCCGGAGGGGGSTRPNPFCPPELGITQPDQDLGQADSLLFSSLHSTPGGTARSIDSTKSRSRDGRGPGAPKRHNPFLLQEGVGEPGLGDLYDDSIGDSATQQSFHLHGTGQPNFHLSSFQLPPSGPRVGRPWGTTRSRAGVVEGQEQEPVMTLDTQQCGTSHCCRPELEAETMELDECGGPGGSGSGGGASDTSGFSFDQEWKLSSDESPRNPGCSGSGDQHCRCSSTSSQSEAADQSMGYVSDSSCNSSDGVLVTFSTLYNKMHGTPRANLNSAPQSCSDSSFCSHSDPGAFYLDLQPSPFESKMSYESHHPESGGREGGYGCPHASSPELDANCNSYRPHCEPCPAVADLTACFQSQARLVVATQNYYKLVTCDLSSQSSPSPAGSSITSCSEEHTKISPPPGPGPDPGPSQPSEYYLFQKPEVQPEEQEAVSSSTQAAAAVGPTVLEGQVYTNTSPPNLSTGRQRSRSYDRSLQRSPPVRLGSLERMLSCPVRLSEGPAAMAGPGSPPRRVTSFAELAKGRKKTGGSGSPPLRVSVGDSSQEFSPIQEAQQDRGAPLDEGTCCSHSLPPMPLGPGMDLLGPDPSPPWSTQVCQGPHSSEMPPAGLRATGQGPLAQLMDPGPALPGSPANSHTQRDARARADGGGTESRPVLRYSKEQRPTTLPIQPFVFQHHFPKQLAKARALHSLSQLYSLSGCSRTQQPAPLAAPAAQVSVPAPSGEPQASTPRATGRGARKAGSEPETSRPSPLGSYSPIRSVGPFGPSTDSSASTSCSPPPEQPTATESLPPWSHSCPSAVRPATSQQPQKEDQKILTLTEYRLHGTGSLPPLGSWRSGLSRAESLARGGGEGSMATRPSNANHLSPQALKWREYRRKNPLGPPGLSGSLDRRSQEARLARRNPIFEFPGSLSAASHLNCRLNGQAVKPLPLTCPDFQDPFSLTEKPPAEFCLSPDGSSEAISIDLLQKKGLVKAVNIAVDLIVAHFGTSRDPGVKAKLGNSSVSPNVGHLVLKYLCPAVRAVLEDGLKAFVLDVIIGQRKNMPWSVVEASTQLGPSTKVLHGLYNKVSQFPELTSHTMRFNAFILGLLNIRSLEFWFNHLYNHEDIIQTHYQPWGFLSAAHTVCPGLFEELLLLLQPLALLPFSLDLLFQHRLLQSGQQQRQHKELLRVSQDLLLSAHSTLQLARARGQEGPGDVDRAAQGERVKGVGASEGGEEEEEEEETEEVAEAAGGSGRARWARGGQAGWWYQLMQSSQVYIDGSIEGSRFPRGSSNSSSEKKKGAGGGGPPQAPPPREGVVEGAEACPASEEALGRERGWPFWMGSPPDSVLAELRRSREREGPAASPAENEEGASEPSPGGIKWGHLFGSRKAQREARPTNRLPSDWLSLDKSMFQLVAQTVGSRREPEPKESLQEPHSPALPSSPPCEVQALCHHLATGPGQLSFHKGDILRVLGRAGGDWLRCSRGPDSGLVPLAYVTLTPTPSPTPGSSQN
+>DECOY_sp|Q8N2Y8|RUSC2_HUMAN Iporin OS=Homo sapiens OX=9606 GN=RUSC2 PE=1 SV=3
+NQSSGPTPSPTPTLTVYALPVLGSDPGRSCRLWDGGARGLVRLIDGKHFSLQGPGTALHHCLAQVECPPSSPLAPSHPEQLSEKPEPERRSGVTQAVLQFMSKDLSLWDSPLRNTPRAERQAKRSGFLHGWKIGGPSPESAGEENEAPSAAPGERERSRRLEALVSDPPSGMWFPWGRERGLAEESAPCAEAGEVVGERPPPAQPPGGGGAGKKKESSSNSSGRPFRSGEISGDIYVQSSQMLQYWWGAQGGRAWRARGSGGAAEAVEETEEEEEEEEGGESAGVGKVREGQAARDVDGPGEQGRARALQLTSHASLLLDQSVRLLEKHQRQQQGSQLLRHQFLLDLSFPLLALPQLLLLLEEFLGPCVTHAASLFGWPQYHTQIIDEHNYLHNFWFELSRINLLGLIFANFRMTHSTLEPFQSVKNYLGHLVKTSPGLQTSAEVVSWPMNKRQGIIVDLVFAKLGDELVARVAPCLYKLVLHGVNPSVSSNGLKAKVGPDRSTGFHAVILDVAINVAKVLGKKQLLDISIAESSGDPSLCFEAPPKETLSFPDQFDPCTLPLPKVAQGNLRCNLHSAASLSGPFEFIPNRRALRAEQSRRDLSGSLGPPGLPNKRRYERWKLAQPSLHNANSPRTAMSGEGGGRALSEARSLGSRWSGLPPLSGTGHLRYETLTLIKQDEKQPQQSTAPRVASPCSHSWPPLSETATPQEPPPSCSTSASSDTSPGFPGVSRIPSYSGLPSPRSTEPESGAKRAGRGTARPTSAQPEGSPAPVSVQAAPAALPAPQQTRSCGSLSYLQSLSHLARAKALQKPFHHQFVFPQIPLTTPRQEKSYRLVPRSETGGGDARARADRQTHSNAPSGPLAPGPDMLQALPGQGTARLGAPPMESSHPGQCVQTSWPPSPDPGLLDMGPGLPMPPLSHSCCTGEDLPAGRDQQAEQIPSFEQSSDGVSVRLPPSGSGGTKKRGKALEAFSTVRRPPSGPGAMAAPGESLRVPCSLMRELSGLRVPPSRQLSRDYSRSRQRGTSLNPPSTNTYVQGELVTPGVAAAAQTSSSVAEQEEPQVEPKQFLYYESPQSPGPDPGPGPPPSIKTHEESCSTISSGAPSPSSQSSLDCTVLKYYNQTAVVLRAQSQFCATLDAVAPCPECHPRYSNCNADLEPSSAHPCGYGGERGGSEPHHSEYSMKSEFPSPQLDLYFAGPDSHSCFSSDSCSQPASNLNARPTGHMKNYLTSFTVLVGDSSNCSSDSVYGMSQDAAESQSSTSSCRCHQDGSGSCGPNRPSEDSSLKWEQDFSFGSTDSAGGGSGSGGPGGCEDLEMTEAELEPRCCHSTGCQQTDLTMVPEQEQGEVVGARSRTTGWPRGVRPGSPPLQFSSLHFNPQGTGHLHFSQQTASDGISDDYLDGLGPEGVGEQLLFPNHRKPAGPGRGDRSRSKTSDISRATGGPTSHLSSFLLSDAQGLDQDPQTIGLEPPCFPNPRTSGGGGGAGGCCQRDPVQCHVLPIHHVILTEGTLKPPSDM
+>sp|Q96GZ6|S41A3_HUMAN Solute carrier family 41 member 3 OS=Homo sapiens OX=9606 GN=SLC41A3 PE=1 SV=2
+MDGTETRQRRLDSCGKPGELGLPHPLSTGGLPVASEDGALRAPESQSVTPKPLETEPSRETTWSIGLQVTVPFMFAGLGLSWAGMLLDYFQHWPVFVEVKDLLTLVPPLVGLKGNLEMTLASRLSTAANTGQIDDPQEQHRVISSNLALIQVQATVVGLLAAVAALLLGVVSREEVDVAKVELLCASSVLTAFLAAFALGVLMVCIVIGARKLGVNPDNIATPIAASLGDLITLSILALVSSFFYRHKDSRYLTPLVCLSFAALTPVWVLIAKQSPPIVKILKFGWFPIILAMVISSFGGLILSKTVSKQQYKGMAIFTPVICGVGGNLVAIQTSRISTYLHMWSAPGVLPLQMKKFWPNPCSTFCTSEINSMSARVLLLLVVPGHLIFFYIIYLVEGQSVINSQTFVVLYLLAGLIQVTILLYLAEVMVRLTWHQALDPDNHCIPYLTGLGDLLGSSSVGHTAAVPRRCTASPGWGLIQPFICTQHLIVSLLSFYFPFCLLAKTSI
+>DECOY_sp|Q96GZ6|S41A3_HUMAN Solute carrier family 41 member 3 OS=Homo sapiens OX=9606 GN=SLC41A3 PE=1 SV=2
+ISTKALLCFPFYFSLLSVILHQTCIFPQILGWGPSATCRRPVAATHGVSSSGLLDGLGTLYPICHNDPDLAQHWTLRVMVEALYLLITVQILGALLYLVVFTQSNIVSQGEVLYIIYFFILHGPVVLLLLVRASMSNIESTCFTSCPNPWFKKMQLPLVGPASWMHLYTSIRSTQIAVLNGGVGCIVPTFIAMGKYQQKSVTKSLILGGFSSIVMALIIPFWGFKLIKVIPPSQKAILVWVPTLAAFSLCVLPTLYRSDKHRYFFSSVLALISLTILDGLSAAIPTAINDPNVGLKRAGIVICVMLVGLAFAALFATLVSSACLLEVKAVDVEERSVVGLLLAAVAALLGVVTAQVQILALNSSIVRHQEQPDDIQGTNAATSLRSALTMELNGKLGVLPPVLTLLDKVEVFVPWHQFYDLLMGAWSLGLGAFMFPVTVQLGISWTTERSPETELPKPTVSQSEPARLAGDESAVPLGGTSLPHPLGLEGPKGCSDLRRQRTETGDM
+>sp|Q96JT2|S45A3_HUMAN Solute carrier family 45 member 3 OS=Homo sapiens OX=9606 GN=SLC45A3 PE=2 SV=1
+MVQRLWVSRLLRHRKAQLLLVNLLTFGLEVCLAAGITYVPPLLLEVGVEEKFMTMVLGIGPVLGLVCVPLLGSASDHWRGRYGRRRPFIWALSLGILLSLFLIPRAGWLAGLLCPDPRPLELALLILGVGLLDFCGQVCFTPLEALLSDLFRDPDHCRQAYSVYAFMISLGGCLGYLLPAIDWDTSALAPYLGTQEECLFGLLTLIFLTCVAATLLVAEEAALGPTEPAEGLSAPSLSPHCCPCRARLAFRNLGALLPRLHQLCCRMPRTLRRLFVAELCSWMALMTFTLFYTDFVGEGLYQGVPRAEPGTEARRHYDEGVRMGSLGLFLQCAISLVFSLVMDRLVQRFGTRAVYLASVAAFPVAAGATCLSHSVAVVTASAALTGFTFSALQILPYTLASLYHREKQVFLPKYRGDTGGASSEDSLMTSFLPGPKPGAPFPNGHVGAGGSGLLPPPPALCGASACDVSVRVVVGEPTEARVVPGRGICLDLAILDSAFLLSQVAPSLFMGSIVQLSQSVTAYMVSAAGLGLVAIYFATQVVFDKSDLAKYSA
+>DECOY_sp|Q96JT2|S45A3_HUMAN Solute carrier family 45 member 3 OS=Homo sapiens OX=9606 GN=SLC45A3 PE=2 SV=1
+ASYKALDSKDFVVQTAFYIAVLGLGAASVMYATVSQSLQVISGMFLSPAVQSLLFASDLIALDLCIGRGPVVRAETPEGVVVRVSVDCASAGCLAPPPPLLGSGGAGVHGNPFPAGPKPGPLFSTMLSDESSAGGTDGRYKPLFVQKERHYLSALTYPLIQLASFTFGTLAASATVVAVSHSLCTAGAAVPFAAVSALYVARTGFRQVLRDMVLSFVLSIACQLFLGLSGMRVGEDYHRRAETGPEARPVGQYLGEGVFDTYFLTFTMLAMWSCLEAVFLRRLTRPMRCCLQHLRPLLAGLNRFALRARCPCCHPSLSPASLGEAPETPGLAAEEAVLLTAAVCTLFILTLLGFLCEEQTGLYPALASTDWDIAPLLYGLCGGLSIMFAYVSYAQRCHDPDRFLDSLLAELPTFCVQGCFDLLGVGLILLALELPRPDPCLLGALWGARPILFLSLLIGLSLAWIFPRRRGYRGRWHDSASGLLPVCVLGLVPGIGLVMTMFKEEVGVELLLPPVYTIGAALCVELGFTLLNVLLLQAKRHRLLRSVWLRQVM
+>sp|Q86VL8|S47A2_HUMAN Multidrug and toxin extrusion protein 2 OS=Homo sapiens OX=9606 GN=SLC47A2 PE=1 SV=1
+MDSLQDTVALDHGGCCPALSRLVPRGFGTEMWTLFALSGPLFLFQVLTFMIYIVSTVFCGHLGKVELASVTLAVAFVNVCGVSVGVGLSSACDTLMSQSFGSPNKKHVGVILQRGALVLLLCCLPCWALFLNTQHILLLFRQDPDVSRLTQDYVMIFIPGLPVIFLYNLLAKYLQNQGWLKGQEEESPFQTPGLSILHPSHSHLSRASFHLFQKITWPQVLSGVVGNCVNGVANYALVSVLNLGVRGSAYANIISQFAQTVFLLLYIVLKKLHLETWAGWSSQCLQDWGPFFSLAVPSMLMICVEWWAYEIGSFLMGLLSVVDLSAQAVIYEVATVTYMIPLGLSIGVCVRVGMALGAADTVQAKRSAVSGVLSIVGISLVLGTLISILKNQLGHIFTNDEDVIALVSQVLPVYSVFHVFEAICCVYGGVLRGTGKQAFGAAVNAITYYIIGLPLGILLTFVVRMRIMGLWLGMLACVFLATAAFVAYTARLDWKLAAEEAKKHSGRQQQQRAESTATRPGPEKAVLSSVATGSSPGITLTTYSRSECHVDFFRTPEEAHALSAPTSRLSVKQLVIRRGAALGAASATLMVGLTVRILATRH
+>DECOY_sp|Q86VL8|S47A2_HUMAN Multidrug and toxin extrusion protein 2 OS=Homo sapiens OX=9606 GN=SLC47A2 PE=1 SV=1
+HRTALIRVTLGVMLTASAAGLAAGRRIVLQKVSLRSTPASLAHAEEPTRFFDVHCESRSYTTLTIGPSSGTAVSSLVAKEPGPRTATSEARQQQQRGSHKKAEEAALKWDLRATYAVFAATALFVCALMGLWLGMIRMRVVFTLLIGLPLGIIYYTIANVAAGFAQKGTGRLVGGYVCCIAEFVHFVSYVPLVQSVLAIVDEDNTFIHGLQNKLISILTGLVLSIGVISLVGSVASRKAQVTDAAGLAMGVRVCVGISLGLPIMYTVTAVEYIVAQASLDVVSLLGMLFSGIEYAWWEVCIMLMSPVALSFFPGWDQLCQSSWGAWTELHLKKLVIYLLLFVTQAFQSIINAYASGRVGLNLVSVLAYNAVGNVCNGVVGSLVQPWTIKQFLHFSARSLHSHSPHLISLGPTQFPSEEEQGKLWGQNQLYKALLNYLFIVPLGPIFIMVYDQTLRSVDPDQRFLLLIHQTNLFLAWCPLCCLLLVLAGRQLIVGVHKKNPSGFSQSMLTDCASSLGVGVSVGCVNVFAVALTVSALEVKGLHGCFVTSVIYIMFTLVQFLFLPGSLAFLTWMETGFGRPVLRSLAPCCGGHDLAVTDQLSDM
+>sp|Q9Y6R1|S4A4_HUMAN Electrogenic sodium bicarbonate cotransporter 1 OS=Homo sapiens OX=9606 GN=SLC4A4 PE=1 SV=1
+MEDEAVLDRGASFLKHVCDEEEVEGHHTIYIGVHVPKSYRRRRRHKRKTGHKEKKEKERISENYSDKSDIENADESSSSILKPLISPAAERIRFILGEEDDSPAPPQLFTELDELLAVDGQEMEWKETARWIKFEEKVEQGGERWSKPHVATLSLHSLFELRTCMEKGSIMLDREASSLPQLVEMIVDHQIETGLLKPELKDKVTYTLLRKHRHQTKKSNLRSLADIGKTVSSASRMFTNPDNGSPAMTHRNLTSSSLNDISDKPEKDQLKNKFMKKLPRDAEASNVLVGEVDFLDTPFIAFVRLQQAVMLGALTEVPVPTRFLFILLGPKGKAKSYHEIGRAIATLMSDEVFHDIAYKAKDRHDLIAGIDEFLDEVIVLPPGEWDPAIRIEPPKSLPSSDKRKNMYSGGENVQMNGDTPHDGGHGGGGHGDCEELQRTGRFCGGLIKDIKRKAPFFASDFYDALNIQALSAILFIYLATVTNAITFGGLLGDATDNMQGVLESFLGTAVSGAIFCLFAGQPLTILSSTGPVLVFERLLFNFSKDNNFDYLEFRLWIGLWSAFLCLILVATDASFLVQYFTRFTEEGFSSLISFIFIYDAFKKMIKLADYYPINSNFKVGYNTLFSCTCVPPDPANISISNDTTLAPEYLPTMSSTDMYHNTTFDWAFLSKKECSKYGGNLVGNNCNFVPDITLMSFILFLGTYTSSMALKKFKTSPYFPTTARKLISDFAIILSILIFCVIDALVGVDTPKLIVPSEFKPTSPNRGWFVPPFGENPWWVCLAAAIPALLVTILIFMDQQITAVIVNRKEHKLKKGAGYHLDLFWVAILMVICSLMALPWYVAATVISIAHIDSLKMETETSAPGEQPKFLGVREQRVTGTLVFILTGLSVFMAPILKFIPMPVLYGVFLYMGVASLNGVQFMDRLKLLLMPLKHQPDFIYLRHVPLRRVHLFTFLQVLCLALLWILKSTVAAIIFPVMILALVAVRKGMDYLFSQHDLSFLDDVIPEKDKKKKEDEKKKKKKKGSLDSDNDDSDCPYSEKVPSIKIPMDIMEQQPFLSDSKPSDRERSPTFLERHTSC
+>DECOY_sp|Q9Y6R1|S4A4_HUMAN Electrogenic sodium bicarbonate cotransporter 1 OS=Homo sapiens OX=9606 GN=SLC4A4 PE=1 SV=1
+CSTHRELFTPSRERDSPKSDSLFPQQEMIDMPIKISPVKESYPCDSDDNDSDLSGKKKKKKKEDEKKKKDKEPIVDDLFSLDHQSFLYDMGKRVAVLALIMVPFIIAAVTSKLIWLLALCLVQLFTFLHVRRLPVHRLYIFDPQHKLPMLLLKLRDMFQVGNLSAVGMYLFVGYLVPMPIFKLIPAMFVSLGTLIFVLTGTVRQERVGLFKPQEGPASTETEMKLSDIHAISIVTAAVYWPLAMLSCIVMLIAVWFLDLHYGAGKKLKHEKRNVIVATIQQDMFILITVLLAPIAAALCVWWPNEGFPPVFWGRNPSTPKFESPVILKPTDVGVLADIVCFILISLIIAFDSILKRATTPFYPSTKFKKLAMSSTYTGLFLIFSMLTIDPVFNCNNGVLNGGYKSCEKKSLFAWDFTTNHYMDTSSMTPLYEPALTTDNSISINAPDPPVCTCSFLTNYGVKFNSNIPYYDALKIMKKFADYIFIFSILSSFGEETFRTFYQVLFSADTAVLILCLFASWLGIWLRFELYDFNNDKSFNFLLREFVLVPGTSSLITLPQGAFLCFIAGSVATGLFSELVGQMNDTADGLLGGFTIANTVTALYIFLIASLAQINLADYFDSAFFPAKRKIDKILGGCFRGTRQLEECDGHGGGGHGGDHPTDGNMQVNEGGSYMNKRKDSSPLSKPPEIRIAPDWEGPPLVIVEDLFEDIGAILDHRDKAKYAIDHFVEDSMLTAIARGIEHYSKAKGKPGLLIFLFRTPVPVETLAGLMVAQQLRVFAIFPTDLFDVEGVLVNSAEADRPLKKMFKNKLQDKEPKDSIDNLSSSTLNRHTMAPSGNDPNTFMRSASSVTKGIDALSRLNSKKTQHRHKRLLTYTVKDKLEPKLLGTEIQHDVIMEVLQPLSSAERDLMISGKEMCTRLEFLSHLSLTAVHPKSWREGGQEVKEEFKIWRATEKWEMEQGDVALLEDLETFLQPPAPSDDEEGLIFRIREAAPSILPKLISSSSEDANEIDSKDSYNESIREKEKKEKHGTKRKHRRRRRYSKPVHVGIYITHHGEVEEEDCVHKLFSAGRDLVAEDEM
+>sp|P48065|S6A12_HUMAN Sodium- and chloride-dependent betaine transporter OS=Homo sapiens OX=9606 GN=SLC6A12 PE=1 SV=2
+MDGKVAVQECGPPAVSWVPEEGEKLDQEDEDQVKDRGQWTNKMEFVLSVAGEIIGLGNVWRFPYLCYKNGGGAFFIPYFIFFFVCGIPVFFLEVALGQYTSQGSVTAWRKICPLFQGIGLASVVIESYLNVYYIIILAWALFYLFSSFTSELPWTTCNNFWNTEHCTDFLNHSGAGTVTPFENFTSPVMEFWERRVLGITSGIHDLGSLRWELALCLLLAWVICYFCIWKGVKSTGKVVYFTATFPYLMLVILLIRGVTLPGAYQGIIYYLKPDLFRLKDPQVWMDAGTQIFFSFAICQGCLTALGSYNKYHNNCYKDCIALCFLNSATSFVAGFVVFSILGFMSQEQGVPISEVAESGPGLAFIAFPKAVTMMPLSQLWSCLFFIMLIFLGLDSQFVCVECLVTASIDMFPRQLRKSGRRELLILTIAVMCYLIGLFLVTEGGMYIFQLFDYYASSGICLLFLSLFEVVCISWVYGADRFYDNIEDMIGYRPWPLVKISWLFLTPGLCLATFLFSLSKYTPLKYNNVYVYPPWGYSIGWFLALSSMVCVPLFVVITLLKTRGPFRKRLRQLITPDSSLPQPKQHPCLDGSAGRNFGPSPTREGLIAGEKETHL
+>DECOY_sp|P48065|S6A12_HUMAN Sodium- and chloride-dependent betaine transporter OS=Homo sapiens OX=9606 GN=SLC6A12 PE=1 SV=2
+LHTEKEGAILGERTPSPGFNRGASGDLCPHQKPQPLSSDPTILQRLRKRFPGRTKLLTIVVFLPVCVMSSLALFWGISYGWPPYVYVNNYKLPTYKSLSFLFTALCLGPTLFLWSIKVLPWPRYGIMDEINDYFRDAGYVWSICVVEFLSLFLLCIGSSAYYDFLQFIYMGGETVLFLGILYCMVAITLILLERRGSKRLQRPFMDISATVLCEVCVFQSDLGLFILMIFFLCSWLQSLPMMTVAKPFAIFALGPGSEAVESIPVGQEQSMFGLISFVVFGAVFSTASNLFCLAICDKYCNNHYKNYSGLATLCGQCIAFSFFIQTGADMWVQPDKLRFLDPKLYYIIGQYAGPLTVGRILLIVLMLYPFTATFYVVKGTSKVGKWICFYCIVWALLLCLALEWRLSGLDHIGSTIGLVRREWFEMVPSTFNEFPTVTGAGSHNLFDTCHETNWFNNCTTWPLESTFSSFLYFLAWALIIIYYVNLYSEIVVSALGIGQFLPCIKRWATVSGQSTYQGLAVELFFVPIGCVFFFIFYPIFFAGGGNKYCLYPFRWVNGLGIIEGAVSLVFEMKNTWQGRDKVQDEDEQDLKEGEEPVWSVAPPGCEQVAVKGDM
+>sp|Q9UN76|S6A14_HUMAN Sodium- and chloride-dependent neutral and basic amino acid transporter B(0+) OS=Homo sapiens OX=9606 GN=SLC6A14 PE=2 SV=1
+MDKLKCPSFFKCREKEKVSASSENFHVGENDENQDRGNWSKKSDYLLSMIGYAVGLGNVWRFPYLTYSNGGGAFLIPYAIMLALAGLPLFFLECSLGQFASLGPVSVWRILPLFQGVGITMVLISIFVTIYYNVIIAYSLYYMFASFQSELPWKNCSSWSDKNCSRSPIVTHCNVSTVNKGIQEIIQMNKSWVDINNFTCINGSEIYQPGQLPSEQYWNKVALQRSSGMNETGVIVWYLALCLLLAWLIVGAALFKGIKSSGKVVYFTALFPYVVLLILLVRGATLEGASKGISYYIGAQSNFTKLKEAEVWKDAATQIFYSLSVAWGGLVALSSYNKFKNNCFSDAIVVCLTNCLTSVFAGFAIFSILGHMAHISGKEVSQVVKSGFDLAFIAYPEALAQLPGGPFWSILFFFMLLTLGLDSQFASIETITTTIQDLFPKVMKKMRVPITLGCCLVLFLLGLVCVTQAGIYWVHLIDHFCAGWGILIAAILELVGIIWIYGGNRFIEDTEMMIGAKRWIFWLWWRACWFVITPILLIAIFIWSLVQFHRPNYGAIPYPDWGVALGWCMIVFCIIWIPIMAIIKIIQAKGNIFQRLISCCRPASNWGPYLEQHRGERYKDMVDPKKEADHEIPTVSGSRKPE
+>DECOY_sp|Q9UN76|S6A14_HUMAN Sodium- and chloride-dependent neutral and basic amino acid transporter B(0+) OS=Homo sapiens OX=9606 GN=SLC6A14 PE=2 SV=1
+EPKRSGSVTPIEHDAEKKPDVMDKYREGRHQELYPGWNSAPRCCSILRQFINGKAQIIKIIAMIPIWIICFVIMCWGLAVGWDPYPIAGYNPRHFQVLSWIFIAILLIPTIVFWCARWWLWFIWRKAGIMMETDEIFRNGGYIWIIGVLELIAAILIGWGACFHDILHVWYIGAQTVCVLGLLFLVLCCGLTIPVRMKKMVKPFLDQITTTITEISAFQSDLGLTLLMFFFLISWFPGGPLQALAEPYAIFALDFGSKVVQSVEKGSIHAMHGLISFIAFGAFVSTLCNTLCVVIADSFCNNKFKNYSSLAVLGGWAVSLSYFIQTAADKWVEAEKLKTFNSQAGIYYSIGKSAGELTAGRVLLILLVVYPFLATFYVVKGSSKIGKFLAAGVILWALLLCLALYWVIVGTENMGSSRQLAVKNWYQESPLQGPQYIESGNICTFNNIDVWSKNMQIIEQIGKNVTSVNCHTVIPSRSCNKDSWSSCNKWPLESQFSAFMYYLSYAIIVNYYITVFISILVMTIGVGQFLPLIRWVSVPGLSAFQGLSCELFFLPLGALALMIAYPILFAGGGNSYTLYPFRWVNGLGVAYGIMSLLYDSKKSWNGRDQNEDNEGVHFNESSASVKEKERCKFFSPCKLKDM
+>sp|Q96N87|S6A18_HUMAN Sodium-dependent neutral amino acid transporter B(0)AT3 OS=Homo sapiens OX=9606 GN=SLC6A18 PE=2 SV=2
+MAHAPEPDPAACDLGDERPKWDNKAQYLLSCTGFAVGLGNIWRFPYLCQTYGGGAFLIPYVIALVFEGIPIFHVELAIGQRLRKGSVGVWTAISPYLSGVGLGCVTLSFLISLYYNTIVAWVLWYLLNSFQHPLPWSSCPPDLNRTGFVEECQGSSAVSYFWYRQTLNITADINDSGSIQWWLLICLAASWAVVYMCVIRGIETTGKVIYFTALFPYLVLTIFLIRGLTLPGATKGLIYLFTPNMHILQNPRVWLDAATQIFFSLSLAFGGHIAFASYNSPRNDCQKDAVVIALVNRMTSLYASIAVFSVLGFKATNDYEHCLDRNILSLINDFDFPEQSISRDDYPAVLMHLNATWPKRVAQLPLKACLLEDFLDKSASGPGLAFVVFTETDLHMPGAPVWAMLFFGMLFTLGLSTMFGTVEAVITPLLDVGVLPRWVPKEALTGLVCLVCFLSATCFTLQSGNYWLEIFDNFAASPNLLMLAFLEVVGVVYVYGMKRFCDDIAWMTGRRPSPYWRLTWRVVSPLLLTIFVAYIILLFWKPLRYKAWNPKYELFPSRQEKLYPGWARAACVLLSLLPVLWVPVAALAQLLTRRRRTWRDRDARPDTDMRPDTDTRPDTDMRPDTDMR
+>DECOY_sp|Q96N87|S6A18_HUMAN Sodium-dependent neutral amino acid transporter B(0)AT3 OS=Homo sapiens OX=9606 GN=SLC6A18 PE=2 SV=2
+RMDTDPRMDTDPRTDTDPRMDTDPRADRDRWTRRRRTLLQALAAVPVWLVPLLSLLVCAARAWGPYLKEQRSPFLEYKPNWAKYRLPKWFLLIIYAVFITLLLPSVVRWTLRWYPSPRRGTMWAIDDCFRKMGYVYVVGVVELFALMLLNPSAAFNDFIELWYNGSQLTFCTASLFCVLCVLGTLAEKPVWRPLVGVDLLPTIVAEVTGFMTSLGLTFLMGFFLMAWVPAGPMHLDTETFVVFALGPGSASKDLFDELLCAKLPLQAVRKPWTANLHMLVAPYDDRSISQEPFDFDNILSLINRDLCHEYDNTAKFGLVSFVAISAYLSTMRNVLAIVVADKQCDNRPSNYSAFAIHGGFALSLSFFIQTAADLWVRPNQLIHMNPTFLYILGKTAGPLTLGRILFITLVLYPFLATFYIVKGTTEIGRIVCMYVVAWSAALCILLWWQISGSDNIDATINLTQRYWFYSVASSGQCEEVFGTRNLDPPCSSWPLPHQFSNLLYWLVWAVITNYYLSILFSLTVCGLGVGSLYPSIATWVGVSGKRLRQGIALEVHFIPIGEFVLAIVYPILFAGGGYTQCLYPFRWINGLGVAFGTCSLLYQAKNDWKPREDGLDCAAPDPEPAHAM
+>sp|Q9NZJ4|SACS_HUMAN Sacsin OS=Homo sapiens OX=9606 GN=SACS PE=1 SV=2
+METKENRWVPVTVLPGCVGCRTVAALASWTVRDVKERIFAETGFPVSEQRLWRGGRELSDWIKIGDLTSKNCHLFVNLQSKGLKGGGRFGQTTPPLVDFLKDILRRYPEGGQILKELIQNAEDAGATEVKFLYDETQYGTETLWSKDMAPYQGPALYVYNNAVFTPEDWHGIQEIARSRKKDDPLKVGRFGIGFNSVYHITDVPCIFSGDQIGMLDPHQTLFGPHESGQCWNLKDDSKEISELSDQFAPFVGIFGSTKETFINGNFPGTFFRFPLRLQPSQLSSNLYNKQKVLELFESFRADADTVLLFLKSVQDVSLYVREADGTEKLVFRVTSSESKALKHERPNSIKILGTAISNYCKKTPSNNITCVTYHVNIVLEEESTKDAQKTSWLVCNSVGGRGISSKLDSLADELKFVPIIGIAMPLSSRDDEAKGATSDFSGKAFCFLPLPPGEESSTGLPVHISGFFGLTDNRRSIKWRELDQWRDPAALWNEFLVMNVVPKAYATLILDSIKRLEMEKSSDFPLSVDVIYKLWPEASKVKVHWQPVLEPLFSELLQNAVIYSISCDWVRLEQVYFSELDENLEYTKTVLNYLQSSGKQIAKVPGNVDAAVQLTAASGTTPVRKVTPAWVRQVLRKCAHLGCAEEKLHLLEFVLSDQAYSELLGLELLPLQNGNFVPFSSSVSDQDVIYITSAEYPRSLFPSLEGRFILDNLKPHLVAALKEAAQTRGRPCTQLQLLNPERFARLIKEVMNTFWPGRELIVQWYPFDENRNHPSVSWLKMVWKNLYIHFSEDLTLFDEMPLIPRTILEEGQTCVELIRLRIPSLVILDDESEAQLPEFLADIVQKLGGFVLKKLDASIQHPLIKKYIHSPLPSAVLQIMEKMPLQKLCNQITSLLPTHKDALRKFLASLTDSSEKEKRIIQELAIFKRINHSSDQGISSYTKLKGCKVLHHTAKLPADLRLSISVIDSSDEATIRLANMLKIEQLKTTSCLKLVLKDIENAFYSHEEVTQLMLWVLENLSSLKNENPNVLEWLTPLKFIQISQEQMVSAGELFDPDIEVLKDLFCNEEGTYFPPSVFTSPDILHSLRQIGLKNEASLKEKDVVQVAKKIEALQVGACPDQDVLLKKAKTLLLVLNKNHTLLQSSEGKMTLKKIKWVPACKERPPNYPGSLVWKGDLCNLCAPPDMCDVGHAILIGSSLPLVESIHVNLEKALGIFTKPSLSAVLKHFKIVVDWYSSKTFSDEDYYQFQHILLEIYGFMHDHLNEGKDSFRALKFPWVWTGKKFCPLAQAVIKPIHDLDLQPYLHNVPKTMAKFHQLFKVCGSIEELTSDHISMVIQKIYLKSDQDLSEQESKQNLHLMLNIIRWLYSNQIPASPNTPVPIHHSKNPSKLIMKPIHECCYCDIKVDDLNDLLEDSVEPIILVHEDIPMKTAEWLKVPCLSTRLINPENMGFEQSGQREPLTVRIKNILEEYPSVSDIFKELLQNADDANATECSFLIDMRRNMDIRENLLDPGMAACHGPALWSFNNSQFSDSDFVNITRLGESLKRGEVDKVGKFGLGFNSVYHITDIPIIMSREFMIMFDPNINHISKHIKDKSNPGIKINWSKQQKRLRKFPNQFKPFIDVFGCQLPLTVEAPYSYNGTLFRLSFRTQQEAKVSEVSSTCYNTADIYSLVDEFSLCGHRLIIFTQSVKSMYLKYLKIEETNPSLAQDTVIIKKKSCSSKALNTPVLSVLKEAAKLMKTCSSSNKKLPSDEPKSSCILQITVEEFHHVFRRIADLQSPLFRGPDDDPAALFEMAKSGQSKKPSDELSQKTVECTTWLLCTCMDTGEALKFSLSESGRRLGLVPCGAVGVQLSEIQDQKWTVKPHIGEVFCYLPLRIKTGLPVHINGCFAVTSNRKEIWKTDTKGRWNTTFMRHVIVKAYLQVLSVLRDLATSGELMDYTYYAVWPDPDLVHDDFSVICQGFYEDIAHGKGKELTKVFSDGSTWVSMKNVRFLDDSILKRRDVGSAAFKIFLKYLKKTGSKNLCAVELPSSVKLGFEEAGCKQILLENTFSEKQFFSEVFFPNIQEIEAELRDPLMIFVLNEKVDEFSGVLRVTPCIPCSLEGHPLVLPSRLIHPEGRVAKLFDIKDGRFPYGSTQDYLNPIILIKLVQLGMAKDDILWDDMLERAVSVAEINKSDHVAACLRSSILLSLIDEKLKIRDPRAKDFAAKYQTIRFLPFLTKPAGFSLDWKGNSFKPETMFAATDLYTAEHQDIVCLLQPILNENSHSFRGCGSVSLAVKEFLGLLKKPTVDLVINQLKEVAKSVDDGITLYQENITNACYKYLHEALMQNEITKMSIIDKLKPFSFILVENAYVDSEKVSFHLNFEAAPYLYQLPNKYKNNFRELFETVGVRQSCTVEDFALVLESIDQERGTKQITEENFQLCRRIISEGIWSLIREKKQEFCEKNYGKILLPDTNLMLLPAKSLCYNDCPWIKVKDTTVKYCHADIPREVAVKLGAVPKRHKALERYASNVCFTTLGTEFGQKEKLTSRIKSILNAYPSEKEMLKELLQNADDAKATEICFVFDPRQHPVDRIFDDKWAPLQGPALCVYNNQPFTEDDVRGIQNLGKGTKEGNPYKTGQYGIGFNSVYHITDCPSFISGNDILCIFDPHARYAPGATSISPGRMFRDLDADFRTQFSDVLDLYLGTHFKLDNCTMFRFPLRNAEMAKVSEISSVPASDRMVQNLLDKLRSDGAELLMFLNHMEKISICEIDKSTGALNVLYSVKGKITDGDRLKRKQFHASVIDSVTKKRQLKDIPVQQITYTMDTEDSEGNLTTWLICNRSGFSSMEKVSKSVISAHKNQDITLFPRGGVAACITHNYKKPHRAFCFLPLSLETGLPFHVNGHFALDSARRNLWRDDNGVGVRSDWNNSLMTALIAPAYVELLIQLKKRYFPGSDPTLSVLQNTPIHVVKDTLKKFLSFFPVNRLDLQPDLYCLVKALYNCIHEDMKRLLPVVRAPNIDGSDLHSAVIITWINMSTSNKTRPFFDNLLQDELQHLKNADYNITTRKTVAENVYRLKHLLLEIGFNLVYNCDETANLYHCLIDADIPVSYVTPADIRSFLMTFSSPDTNCHIGKLPCRLQQTNLKLFHSLKLLVDYCFKDAEENEIEVEGLPLLITLDSVLQTFDAKRPKFLTTYHELIPSRKDLFMNTLYLKYSNILLNCKVAKVFDISSFADLLSSVLPREYKTKSCTKWKDNFASESWLKNAWHFISESVSVKEDQEETKPTFDIVVDTLKDWALLPGTKFTVSANQLVVPEGDVLLPLSLMHIAVFPNAQSDKVFHALMKAGCIQLALNKICSKDSAFVPLLSCHTANIESPTSILKALHYMVQTSTFRAEKLVENDFEALLMYFNCNLNHLMSQDDIKILKSLPCYKSISGRYVSIGKFGTCYVLTKSIPSAEVEKWTQSSSSAFLEEKIHLKELYEVIGCVPVDDLEVYLKHLLPKIENLSYDAKLEHLIYLKNRLSSAEELSEIKEQLFEKLESLLIIHDANSRLKQAKHFYDRTVRVFEVMLPEKLFIPNDFFKKLEQLIKPKNHVTFMTSWVEFLRNIGLKYILSQQQLLQFAKEISVRANTENWSKETLQNTVDILLHHIFQERMDLLSGNFLKELSLIPFLCPERAPAEFIRFHPQYQEVNGTLPLIKFNGAQVNPKFKQCDVLQLLWTSCPILPEKATPLSIKEQEGSDLGPQEQLEQVLNMLNVNLDPPLDKVINNCRNICNITTLDEEMVKTRAKVLRSIYEFLSAEKREFRFQLRGVAFVMVEDGWKLLKPEEVVINLEYESDFKPYLYKLPLELGTFHQLFKHLGTEDIISTKQYVEVLSRIFKNSEGKQLDPNEMRTVKRVVSGLFRSLQNDSVKVRSDLENVRDLALYLPSQDGRLVKSSILVFDDAPHYKSRIQGNIGVQMLVDLSQCYLGKDHGFHTKLIMLFPQKLRPRLLSSILEEQLDEETPKVCQFGALCSLQGRLQLLLSSEQFITGLIRIMKHENDNAFLANEEKAIRLCKALREGLKVSCFEKLQTTLRVKGFNPIPHSRSETFAFLKRFGNAVILLYIQHSDSKDINFLLALAMTLKSATDNLISDTSYLIAMLGCNDIYRIGEKLDSLGVKYDSSEPSKLELPMPGTPIPAEIHYTLLMDPMNVFYPGEYVGYLVDAEGGDIYGSYQPTYTYAIIVQEVEREDADNSSFLGKIYQIDIGYSEYKIVSSLDLYKFSRPEESSQSRDSAPSTPTSPTEFLTPGLRSIPPLFSGRESHKTSSKHQSPKKLKVNSLPEILKEVTSVVEQAWKLPESERKKIIRRLYLKWHPDKNPENHDIANEVFKHLQNEINRLEKQAFLDQNADRASRRTFSTSASRFQSDKYSFQRFYTSWNQEATSHKSERQQQNKEKCPPSAGQTYSQRFFVPPTFKSVGNPVEARRWLRQARANFSAARNDLHKNANEWVCFKCYLSTKLALIAADYAVRGKSDKDVKPTALAQKIEEYSQQLEGLTNDVHTLEAYGVDSLKTRYPDLLPFPQIPNDRFTSEVAMRVMECTACIIIKLENFMQQKV
+>DECOY_sp|Q9NZJ4|SACS_HUMAN Sacsin OS=Homo sapiens OX=9606 GN=SACS PE=1 SV=2
+VKQQMFNELKIIICATCEMVRMAVESTFRDNPIQPFPLLDPYRTKLSDVGYAELTHVDNTLGELQQSYEEIKQALATPKVDKDSKGRVAYDAAILALKTSLYCKFCVWENANKHLDNRAASFNARAQRLWRRAEVPNGVSKFTPPVFFRQSYTQGASPPCKEKNQQQRESKHSTAEQNWSTYFRQFSYKDSQFRSASTSFTRRSARDANQDLFAQKELRNIENQLHKFVENAIDHNEPNKDPHWKLYLRRIIKKRESEPLKWAQEVVSTVEKLIEPLSNVKLKKPSQHKSSTKHSERGSFLPPISRLGPTLFETPSTPTSPASDRSQSSEEPRSFKYLDLSSVIKYESYGIDIQYIKGLFSSNDADEREVEQVIIAYTYTPQYSGYIDGGEADVLYGVYEGPYFVNMPDMLLTYHIEAPIPTGPMPLELKSPESSDYKVGLSDLKEGIRYIDNCGLMAILYSTDSILNDTASKLTMALALLFNIDKSDSHQIYLLIVANGFRKLFAFTESRSHPIPNFGKVRLTTQLKEFCSVKLGERLAKCLRIAKEENALFANDNEHKMIRILGTIFQESSLLLQLRGQLSCLAGFQCVKPTEEDLQEELISSLLRPRLKQPFLMILKTHFGHDKGLYCQSLDVLMQVGINGQIRSKYHPADDFVLISSKVLRGDQSPLYLALDRVNELDSRVKVSDNQLSRFLGSVVRKVTRMENPDLQKGESNKFIRSLVEVYQKTSIIDETGLHKFLQHFTGLELPLKYLYPKFDSEYELNIVVEEPKLLKWGDEVMVFAVGRLQFRFERKEASLFEYISRLVKARTKVMEEDLTTINCINRCNNIVKDLPPDLNVNLMNLVQELQEQPGLDSGEQEKISLPTAKEPLIPCSTWLLQLVDCQKFKPNVQAGNFKILPLTGNVEQYQPHFRIFEAPAREPCLFPILSLEKLFNGSLLDMREQFIHHLLIDVTNQLTEKSWNETNARVSIEKAFQLLQQQSLIYKLGINRLFEVWSTMFTVHNKPKILQELKKFFDNPIFLKEPLMVEFVRVTRDYFHKAQKLRSNADHIILLSELKEFLQEKIESLEEASSLRNKLYILHELKADYSLNEIKPLLHKLYVELDDVPVCGIVEYLEKLHIKEELFASSSSQTWKEVEASPISKTLVYCTGFKGISVYRGSISKYCPLSKLIKIDDQSMLHNLNCNFYMLLAEFDNEVLKEARFTSTQVMYHLAKLISTPSEINATHCSLLPVFASDKSCIKNLALQICGAKMLAHFVKDSQANPFVAIHMLSLPLLVDGEPVVLQNASVTFKTGPLLAWDKLTDVVIDFTPKTEEQDEKVSVSESIFHWANKLWSESAFNDKWKTCSKTKYERPLVSSLLDAFSSIDFVKAVKCNLLINSYKLYLTNMFLDKRSPILEHYTTLFKPRKADFTQLVSDLTILLPLGEVEIENEEADKFCYDVLLKLSHFLKLNTQQLRCPLKGIHCNTDPSSFTMLFSRIDAPTVYSVPIDADILCHYLNATEDCNYVLNFGIELLLHKLRYVNEAVTKRTTINYDANKLHQLEDQLLNDFFPRTKNSTSMNIWTIIVASHLDSGDINPARVVPLLRKMDEHICNYLAKVLCYLDPQLDLRNVPFFSLFKKLTDKVVHIPTNQLVSLTPDSGPFYRKKLQILLEVYAPAILATMLSNNWDSRVGVGNDDRWLNRRASDLAFHGNVHFPLGTELSLPLFCFARHPKKYNHTICAAVGGRPFLTIDQNKHASIVSKSVKEMSSFGSRNCILWTTLNGESDETDMTYTIQQVPIDKLQRKKTVSDIVSAHFQKRKLRDGDTIKGKVSYLVNLAGTSKDIECISIKEMHNLFMLLEAGDSRLKDLLNQVMRDSAPVSSIESVKAMEANRLPFRFMTCNDLKFHTGLYLDLVDSFQTRFDADLDRFMRGPSISTAGPAYRAHPDFICLIDNGSIFSPCDTIHYVSNFGIGYQGTKYPNGEKTGKGLNQIGRVDDETFPQNNYVCLAPGQLPAWKDDFIRDVPHQRPDFVFCIETAKADDANQLLEKLMEKESPYANLISKIRSTLKEKQGFETGLTTFCVNSAYRELAKHRKPVAGLKVAVERPIDAHCYKVTTDKVKIWPCDNYCLSKAPLLMLNTDPLLIKGYNKECFEQKKERILSWIGESIIRRCLQFNEETIQKTGREQDISELVLAFDEVTCSQRVGVTEFLERFNNKYKNPLQYLYPAAEFNLHFSVKESDVYANEVLIFSFPKLKDIISMKTIENQMLAEHLYKYCANTINEQYLTIGDDVSKAVEKLQNIVLDVTPKKLLGLFEKVALSVSGCGRFSHSNENLIPQLLCVIDQHEATYLDTAAFMTEPKFSNGKWDLSFGAPKTLFPLFRITQYKAAFDKARPDRIKLKEDILSLLISSRLCAAVHDSKNIEAVSVARELMDDWLIDDKAMGLQVLKILIIPNLYDQTSGYPFRGDKIDFLKAVRGEPHILRSPLVLPHGELSCPICPTVRLVGSFEDVKENLVFIMLPDRLEAEIEQINPFFVESFFQKESFTNELLIQKCGAEEFGLKVSSPLEVACLNKSGTKKLYKLFIKFAASGVDRRKLISDDLFRVNKMSVWTSGDSFVKTLEKGKGHAIDEYFGQCIVSFDDHVLDPDPWVAYYTYDMLEGSTALDRLVSLVQLYAKVIVHRMFTTNWRGKTDTKWIEKRNSTVAFCGNIHVPLGTKIRLPLYCFVEGIHPKVTWKQDQIESLQVGVAGCPVLGLRRGSESLSFKLAEGTDMCTCLLWTTCEVTKQSLEDSPKKSQGSKAMEFLAAPDDDPGRFLPSQLDAIRRFVHHFEEVTIQLICSSKPEDSPLKKNSSSCTKMLKAAEKLVSLVPTNLAKSSCSKKKIIVTDQALSPNTEEIKLYKLYMSKVSQTFIILRHGCLSFEDVLSYIDATNYCTSSVESVKAEQQTRFSLRFLTGNYSYPAEVTLPLQCGFVDIFPKFQNPFKRLRKQQKSWNIKIGPNSKDKIHKSIHNINPDFMIMFERSMIIPIDTIHYVSNFGLGFKGVKDVEGRKLSEGLRTINVFDSDSFQSNNFSWLAPGHCAAMGPDLLNERIDMNRRMDILFSCETANADDANQLLEKFIDSVSPYEELINKIRVTLPERQGSQEFGMNEPNILRTSLCPVKLWEATKMPIDEHVLIIPEVSDELLDNLDDVKIDCYCCEHIPKMILKSPNKSHHIPVPTNPSAPIQNSYLWRIINLMLHLNQKSEQESLDQDSKLYIKQIVMSIHDSTLEEISGCVKFLQHFKAMTKPVNHLYPQLDLDHIPKIVAQALPCFKKGTWVWPFKLARFSDKGENLHDHMFGYIELLIHQFQYYDEDSFTKSSYWDVVIKFHKLVASLSPKTFIGLAKELNVHISEVLPLSSGILIAHGVDCMDPPACLNCLDGKWVLSGPYNPPREKCAPVWKIKKLTMKGESSQLLTHNKNLVLLLTKAKKLLVDQDPCAGVQLAEIKKAVQVVDKEKLSAENKLGIQRLSHLIDPSTFVSPPFYTGEENCFLDKLVEIDPDFLEGASVMQEQSIQIFKLPTLWELVNPNENKLSSLNELVWLMLQTVEEHSYFANEIDKLVLKLCSTTKLQEIKLMNALRITAEDSSDIVSISLRLDAPLKATHHLVKCGKLKTYSSIGQDSSHNIRKFIALEQIIRKEKESSDTLSALFKRLADKHTPLLSTIQNCLKQLPMKEMIQLVASPLPSHIYKKILPHQISADLKKLVFGGLKQVIDALFEPLQAESEDDLIVLSPIRLRILEVCTQGEELITRPILPMEDFLTLDESFHIYLNKWVMKLWSVSPHNRNEDFPYWQVILERGPWFTNMVEKILRAFREPNLLQLQTCPRGRTQAAEKLAAVLHPKLNDLIFRGELSPFLSRPYEASTIYIVDQDSVSSSFPVFNGNQLPLLELGLLESYAQDSLVFELLHLKEEACGLHACKRLVQRVWAPTVKRVPTTGSAATLQVAADVNGPVKAIQKGSSQLYNLVTKTYELNEDLESFYVQELRVWDCSISYIVANQLLESFLPELVPQWHVKVKSAEPWLKYIVDVSLPFDSSKEMELRKISDLILTAYAKPVVNMVLFENWLAAPDRWQDLERWKISRRNDTLGFFGSIHVPLGTSSEEGPPLPLFCFAKGSFDSTAGKAEDDRSSLPMAIGIIPVFKLEDALSDLKSSIGRGGVSNCVLWSTKQADKTSEEELVINVHYTVCTINNSPTKKCYNSIATGLIKISNPREHKLAKSESSTVRFVLKETGDAERVYLSVDQVSKLFLLVTDADARFSEFLELVKQKNYLNSSLQSPQLRLPFRFFTGPFNGNIFTEKTSGFIGVFPAFQDSLESIEKSDDKLNWCQGSEHPGFLTQHPDLMGIQDGSFICPVDTIHYVSNFGIGFRGVKLPDDKKRSRAIEQIGHWDEPTFVANNYVYLAPGQYPAMDKSWLTETGYQTEDYLFKVETAGADEANQILEKLIQGGEPYRRLIDKLFDVLPPTTQGFRGGGKLGKSQLNVFLHCNKSTLDGIKIWDSLERGGRWLRQESVPFGTEAFIREKVDRVTWSALAAVTRCGVCGPLVTVPVWRNEKTEM
+>sp|O43865|SAHH2_HUMAN S-adenosylhomocysteine hydrolase-like protein 1 OS=Homo sapiens OX=9606 GN=AHCYL1 PE=1 SV=2
+MSMPDAMPLPGVGEELKQAKEIEDAEKYSFMATVTKAPKKQIQFADDMQEFTKFPTKTGRRSLSRSISQSSTDSYSSAASYTDSSDDEVSPREKQQTNSKGSSNFCVKNIKQAEFGRREIEIAEQDMSALISLRKRAQGEKPLAGAKIVGCTHITAQTAVLIETLCALGAQCRWSACNIYSTQNEVAAALAEAGVAVFAWKGESEDDFWWCIDRCVNMDGWQANMILDDGGDLTHWVYKKYPNVFKKIRGIVEESVTGVHRLYQLSKAGKLCVPAMNVNDSVTKQKFDNLYCCRESILDGLKRTTDVMFGGKQVVVCGYGEVGKGCCAALKALGAIVYITEIDPICALQACMDGFRVVKLNEVIRQVDVVITCTGNKNVVTREHLDRMKNSCIVCNMGHSNTEIDVTSLRTPELTWERVRSQVDHVIWPDGKRVVLLAEGRLLNLSCSTVPTFVLSITATTQALALIELYNAPEGRYKQDVYLLPKKMDEYVASLHLPSFDAHLTELTDDQAKYLGLNKNGPFKPNYYRY
+>DECOY_sp|O43865|SAHH2_HUMAN S-adenosylhomocysteine hydrolase-like protein 1 OS=Homo sapiens OX=9606 GN=AHCYL1 PE=1 SV=2
+YRYYNPKFPGNKNLGLYKAQDDTLETLHADFSPLHLSAVYEDMKKPLLYVDQKYRGEPANYLEILALAQTTATISLVFTPVTSCSLNLLRGEALLVVRKGDPWIVHDVQSRVREWTLEPTRLSTVDIETNSHGMNCVICSNKMRDLHERTVVNKNGTCTIVVDVQRIVENLKVVRFGDMCAQLACIPDIETIYVIAGLAKLAACCGKGVEGYGCVVVQKGGFMVDTTRKLGDLISERCCYLNDFKQKTVSDNVNMAPVCLKGAKSLQYLRHVGTVSEEVIGRIKKFVNPYKKYVWHTLDGGDDLIMNAQWGDMNVCRDICWWFDDESEGKWAFVAVGAEALAAAVENQTSYINCASWRCQAGLACLTEILVATQATIHTCGVIKAGALPKEGQARKRLSILASMDQEAIEIERRGFEAQKINKVCFNSSGKSNTQQKERPSVEDDSSDTYSAASSYSDTSSQSISRSLSRRGTKTPFKTFEQMDDAFQIQKKPAKTVTAMFSYKEADEIEKAQKLEEGVGPLPMADPMSM
+>sp|P23526|SAHH_HUMAN Adenosylhomocysteinase OS=Homo sapiens OX=9606 GN=AHCY PE=1 SV=4
+MSDKLPYKVADIGLAAWGRKALDIAENEMPGLMRMRERYSASKPLKGARIAGCLHMTVETAVLIETLVTLGAEVQWSSCNIFSTQDHAAAAIAKAGIPVYAWKGETDEEYLWCIEQTLYFKDGPLNMILDDGGDLTNLIHTKYPQLLPGIRGISEETTTGVHNLYKMMANGILKVPAINVNDSVTKSKFDNLYGCRESLIDGIKRATDVMIAGKVAVVAGYGDVGKGCAQALRGFGARVIITEIDPINALQAAMEGYEVTTMDEACQEGNIFVTTTGCIDIILGRHFEQMKDDAIVCNIGHFDVEIDVKWLNENAVEKVNIKPQVDRYRLKNGRRIILLAEGRLVNLGCAMGHPSFVMSNSFTNQVMAQIELWTHPDKYPVGVHFLPKKLDEAVAEAHLGKLNVKLTKLTEKQAQYLGMSCDGPFKPDHYRY
+>DECOY_sp|P23526|SAHH_HUMAN Adenosylhomocysteinase OS=Homo sapiens OX=9606 GN=AHCY PE=1 SV=4
+YRYHDPKFPGDCSMGLYQAQKETLKTLKVNLKGLHAEAVAEDLKKPLFHVGVPYKDPHTWLEIQAMVQNTFSNSMVFSPHGMACGLNVLRGEALLIIRRGNKLRYRDVQPKINVKEVANENLWKVDIEVDFHGINCVIADDKMQEFHRGLIIDICGTTTVFINGEQCAEDMTTVEYGEMAAQLANIPDIETIIVRAGFGRLAQACGKGVDGYGAVVAVKGAIMVDTARKIGDILSERCGYLNDFKSKTVSDNVNIAPVKLIGNAMMKYLNHVGTTTEESIGRIGPLLQPYKTHILNTLDGGDDLIMNLPGDKFYLTQEICWLYEEDTEGKWAYVPIGAKAIAAAAHDQTSFINCSSWQVEAGLTVLTEILVATEVTMHLCGAIRAGKLPKSASYRERMRMLGPMENEAIDLAKRGWAALGIDAVKYPLKDSM
+>sp|O00422|SAP18_HUMAN Histone deacetylase complex subunit SAP18 OS=Homo sapiens OX=9606 GN=SAP18 PE=1 SV=1
+MAVESRVTQEEIKKEPEKPIDREKTCPLLLRVFTTNNGRHHRMDEFSRGNVPSSELQIYTWMDATLKELTSLVKEVYPEARKKGTHFNFAIVFTDVKRPGYRVKEIGSTMSGRKGTDDSMTLQSQKFQIGDYLDIAITPPNRAPPPSGRMRPY
+>DECOY_sp|O00422|SAP18_HUMAN Histone deacetylase complex subunit SAP18 OS=Homo sapiens OX=9606 GN=SAP18 PE=1 SV=1
+YPRMRGSPPPARNPPTIAIDLYDGIQFKQSQLTMSDDTGKRGSMTSGIEKVRYGPRKVDTFVIAFNFHTGKKRAEPYVEKVLSTLEKLTADMWTYIQLESSPVNGRSFEDMRHHRGNNTTFVRLLLPCTKERDIPKEPEKKIEEQTVRSEVAM
+>sp|Q96BY9|SARAF_HUMAN Store-operated calcium entry-associated regulatory factor OS=Homo sapiens OX=9606 GN=SARAF PE=1 SV=1
+MAAACGPGAAGYCLLLGLHLFLLTAGPALGWNDPDRMLLRDVKALTLHYDRYTTSRRLDPIPQLKCVGGTAGCDSYTPKVIQCQNKGWDGYDVQWECKTDLDIAYKFGKTVVSCEGYESSEDQYVLRGSCGLEYNLDYTELGLQKLKESGKQHGFASFSDYYYKWSSADSCNMSGLITIVVLLGIAFVVYKLFLSDGQYSPPPYSEYPPFSHRYQRFTNSAGPPPPGFKSEFTGPQNTGHGATSGFGSAFTGQQGYENSGPGFWTGLGTGGILGYLFGSNRAATPFSDSWYYPSYPPSYPGTWNRAYSPLHGGSGSYSVCSNSDTKTRTASGYGGTRRR
+>DECOY_sp|Q96BY9|SARAF_HUMAN Store-operated calcium entry-associated regulatory factor OS=Homo sapiens OX=9606 GN=SARAF PE=1 SV=1
+RRRTGGYGSATRTKTDSNSCVSYSGSGGHLPSYARNWTGPYSPPYSPYYWSDSFPTAARNSGFLYGLIGGTGLGTWFGPGSNEYGQQGTFASGFGSTAGHGTNQPGTFESKFGPPPPGASNTFRQYRHSFPPYESYPPPSYQGDSLFLKYVVFAIGLLVVITILGSMNCSDASSWKYYYDSFSAFGHQKGSEKLKQLGLETYDLNYELGCSGRLVYQDESSEYGECSVVTKGFKYAIDLDTKCEWQVDYGDWGKNQCQIVKPTYSDCGATGGVCKLQPIPDLRRSTTYRDYHLTLAKVDRLLMRDPDNWGLAPGATLLFLHLGLLLCYGAAGPGCAAAM
+>sp|Q15020|SART3_HUMAN Squamous cell carcinoma antigen recognized by T-cells 3 OS=Homo sapiens OX=9606 GN=SART3 PE=1 SV=1
+MATAAETSASEPEAESKAGPKADGEEDEVKAARTRRKVLSRAVAAATYKTMGPAWDQQEEGVSESDGDEYAMASSAESSPGEYEWEYDEEEEKNQLEIERLEEQLSINVYDYNCHVDLIRLLRLEGELTKVRMARQKMSEIFPLTEELWLEWLHDEISMAQDGLDREHVYDLFEKAVKDYICPNIWLEYGQYSVGGIGQKGGLEKVRSVFERALSSVGLHMTKGLALWEAYREFESAIVEAARLEKVHSLFRRQLAIPLYDMEATFAEYEEWSEDPIPESVIQNYNKALQQLEKYKPYEEALLQAEAPRLAEYQAYIDFEMKIGDPARIQLIFERALVENCLVPDLWIRYSQYLDRQLKVKDLVLSVHNRAIRNCPWTVALWSRYLLAMERHGVDHQVISVTFEKALNAGFIQATDYVEIWQAYLDYLRRRVDFKQDSSKELEELRAAFTRALEYLKQEVEERFNESGDPSCVIMQNWARIEARLCNNMQKARELWDSIMTRGNAKYANMWLEYYNLERAHGDTQHCRKALHRAVQCTSDYPEHVCEVLLTMERTEGSLEDWDIAVQKTETRLARVNEQRMKAAEKEAALVQQEEEKAEQRKRARAEKKALKKKKKIRGPEKRGADEDDEKEWGDDEEEQPSKRRRVENSIPAAGETQNVEVAAGPAGKCAAVDVEPPSKQKEKAASLKRDMPKVLHDSSKDSITVFVSNLPYSMQEPDTKLRPLFEACGEVVQIRPIFSNRGDFRGYCYVEFKEEKSALQALEMDRKSVEGRPMFVSPCVDKSKNPDFKVFRYSTSLEKHKLFISGLPFSCTKEELEEICKAHGTVKDLRLVTNRAGKPKGLAYVEYENESQASQAVMKMDGMTIKENIIKVAISNPPQRKVPEKPETRKAPGGPMLLPQTYGARGKGRTQLSLLPRALQRPSAAAPQAENGPAAAPAVAAPAATEAPKMSNADFAKLFLRK
+>DECOY_sp|Q15020|SART3_HUMAN Squamous cell carcinoma antigen recognized by T-cells 3 OS=Homo sapiens OX=9606 GN=SART3 PE=1 SV=1
+KRLFLKAFDANSMKPAETAAPAAVAPAAAPGNEAQPAAASPRQLARPLLSLQTRGKGRAGYTQPLLMPGGPAKRTEPKEPVKRQPPNSIAVKIINEKITMGDMKMVAQSAQSENEYEVYALGKPKGARNTVLRLDKVTGHAKCIEELEEKTCSFPLGSIFLKHKELSTSYRFVKFDPNKSKDVCPSVFMPRGEVSKRDMELAQLASKEEKFEVYCYGRFDGRNSFIPRIQVVEGCAEFLPRLKTDPEQMSYPLNSVFVTISDKSSDHLVKPMDRKLSAAKEKQKSPPEVDVAACKGAPGAAVEVNQTEGAAPISNEVRRRKSPQEEEDDGWEKEDDEDAGRKEPGRIKKKKKLAKKEARARKRQEAKEEEQQVLAAEKEAAKMRQENVRALRTETKQVAIDWDELSGETREMTLLVECVHEPYDSTCQVARHLAKRCHQTDGHARELNYYELWMNAYKANGRTMISDWLERAKQMNNCLRAEIRAWNQMIVCSPDGSENFREEVEQKLYELARTFAARLEELEKSSDQKFDVRRRLYDLYAQWIEVYDTAQIFGANLAKEFTVSIVQHDVGHREMALLYRSWLAVTWPCNRIARNHVSLVLDKVKLQRDLYQSYRIWLDPVLCNEVLAREFILQIRAPDGIKMEFDIYAQYEALRPAEAQLLAEEYPKYKELQQLAKNYNQIVSEPIPDESWEEYEAFTAEMDYLPIALQRRFLSHVKELRAAEVIASEFERYAEWLALGKTMHLGVSSLAREFVSRVKELGGKQGIGGVSYQGYELWINPCIYDKVAKEFLDYVHERDLGDQAMSIEDHLWELWLEETLPFIESMKQRAMRVKTLEGELRLLRILDVHCNYDYVNISLQEELREIELQNKEEEEDYEWEYEGPSSEASSAMAYEDGDSESVGEEQQDWAPGMTKYTAAAVARSLVKRRTRAAKVEDEEGDAKPGAKSEAEPESASTEAATAM
+>sp|O75995|SASH3_HUMAN SAM and SH3 domain-containing protein 3 OS=Homo sapiens OX=9606 GN=SASH3 PE=1 SV=2
+MLRRKPSNASEKEPTQKKKLSLQRSSSFKDFAKSKPSSPVVSEKEFNLDDNIPEDDSGVPTPEDAGKSGKKLGKKWRAVISRTMNRKMGKMMVKALSEEMADTLEEGSASPTSPDYSLDSPGPEKMALAFSEQEEHELPVLSRQASTGSELCSPSPGSGSFGEEPPAPQYTGPFCGRARVHTDFTPSPYDHDSLKLQKGDVIQIIEKPPVGTWLGLLNGKVGSFKFIYVDVLPEEAVGHARPSRRQSKGKRPKPKTLHELLERIGLEEHTSTLLLNGYQTLEDFKELRETHLNELNIMDPQHRAKLLTAAELLLDYDTGSEEAEEGAESSQEPVAHTVSEPKVDIPRDSGCFEGSESGRDDAELAGTEEQLQGLSLAGAP
+>DECOY_sp|O75995|SASH3_HUMAN SAM and SH3 domain-containing protein 3 OS=Homo sapiens OX=9606 GN=SASH3 PE=1 SV=2
+PAGALSLGQLQEETGALEADDRGSESGEFCGSDRPIDVKPESVTHAVPEQSSEAGEEAEESGTDYDLLLEAATLLKARHQPDMINLENLHTERLEKFDELTQYGNLLLTSTHEELGIRELLEHLTKPKPRKGKSQRRSPRAHGVAEEPLVDVYIFKFSGVKGNLLGLWTGVPPKEIIQIVDGKQLKLSDHDYPSPTFDTHVRARGCFPGTYQPAPPEEGFSGSGPSPSCLESGTSAQRSLVPLEHEEQESFALAMKEPGPSDLSYDPSTPSASGEELTDAMEESLAKVMMKGMKRNMTRSIVARWKKGLKKGSKGADEPTPVGSDDEPINDDLNFEKESVVPSSPKSKAFDKFSSSRQLSLKKKQTPEKESANSPKRRLM
+>sp|Q01826|SATB1_HUMAN DNA-binding protein SATB1 OS=Homo sapiens OX=9606 GN=SATB1 PE=1 SV=1
+MDHLNEATQGKEHSEMSNNVSDPKGPPAKIARLEQNGSPLGRGRLGSTGAKMQGVPLKHSGHLMKTNLRKGTMLPVFCVVEHYENAIEYDCKEEHAEFVLVRKDMLFNQLIEMALLSLGYSHSSAAQAKGLIQVGKWNPVPLSYVTDAPDATVADMLQDVYHVVTLKIQLHSCPKLEDLPPEQWSHTTVRNALKDLLKDMNQSSLAKECPLSQSMISSIVNSTYYANVSAAKCQEFGRWYKHFKKTKDMMVEMDSLSELSQQGANHVNFGQQPVPGNTAEQPPSPAQLSHGSQPSVRTPLPNLHPGLVSTPISPQLVNQQLVMAQLLNQQYAVNRLLAQQSLNQQYLNHPPPVSRSMNKPLEQQVSTNTEVSSEIYQWVRDELKRAGISQAVFARVAFNRTQGLLSEILRKEEDPKTASQSLLVNLRAMQNFLQLPEAERDRIYQDERERSLNAASAMGPAPLISTPPSRPPQVKTATIATERNGKPENNTMNINASIYDEIQQEMKRAKVSQALFAKVAATKSQGWLCELLRWKEDPSPENRTLWENLSMIRRFLSLPQPERDAIYEQESNAVHHHGDRPPHIIHVPAEQIQQQQQQQQQQQQQQQAPPPPQPQQQPQTGPRLPPRQPTVASPAESDEENRQKTRPRTKISVEALGILQSFIQDVGLYPDEEAIQTLSAQLDLPKYTIIKFFQNQRYYLKHHGKLKDNSGLEVDVAEYKEEELLKDLEESVQDKNTNTLFSVKLEEELSVEGNTDINTDLKD
+>DECOY_sp|Q01826|SATB1_HUMAN DNA-binding protein SATB1 OS=Homo sapiens OX=9606 GN=SATB1 PE=1 SV=1
+DKLDTNIDTNGEVSLEEELKVSFLTNTNKDQVSEELDKLLEEEKYEAVDVELGSNDKLKGHHKLYYRQNQFFKIITYKPLDLQASLTQIAEEDPYLGVDQIFSQLIGLAEVSIKTRPRTKQRNEEDSEAPSAVTPQRPPLRPGTQPQQQPQPPPPAQQQQQQQQQQQQQQQIQEAPVHIIHPPRDGHHHVANSEQEYIADREPQPLSLFRRIMSLNEWLTRNEPSPDEKWRLLECLWGQSKTAAVKAFLAQSVKARKMEQQIEDYISANINMTNNEPKGNRETAITATKVQPPRSPPTSILPAPGMASAANLSREREDQYIRDREAEPLQLFNQMARLNVLLSQSATKPDEEKRLIESLLGQTRNFAVRAFVAQSIGARKLEDRVWQYIESSVETNTSVQQELPKNMSRSVPPPHNLYQQNLSQQALLRNVAYQQNLLQAMVLQQNVLQPSIPTSVLGPHLNPLPTRVSPQSGHSLQAPSPPQEATNGPVPQQGFNVHNAGQQSLESLSDMEVMMDKTKKFHKYWRGFEQCKAASVNAYYTSNVISSIMSQSLPCEKALSSQNMDKLLDKLANRVTTHSWQEPPLDELKPCSHLQIKLTVVHYVDQLMDAVTADPADTVYSLPVPNWKGVQILGKAQAASSHSYGLSLLAMEILQNFLMDKRVLVFEAHEEKCDYEIANEYHEVVCFVPLMTGKRLNTKMLHGSHKLPVGQMKAGTSGLRGRGLPSGNQELRAIKAPPGKPDSVNNSMESHEKGQTAENLHDM
+>sp|Q13228|SBP1_HUMAN Methanethiol oxidase OS=Homo sapiens OX=9606 GN=SELENBP1 PE=1 SV=2
+MATKCGNCGPGYSTPLEAMKGPREEIVYLPCIYRNTGTEAPDYLATVDVDPKSPQYCQVIHRLPMPNLKDELHHSGWNTCSSCFGDSTKSRTKLVLPSLISSRIYVVDVGSEPRAPKLHKVIEPKDIHAKCELAFLHTSHCLASGEVMISSLGDVKGNGKGGFVLLDGETFEVKGTWERPGGAAPLGYDFWYQPRHNVMISTEWAAPNVLRDGFNPADVEAGLYGSHLYVWDWQRHEIVQTLSLKDGLIPLEIRFLHNPDAAQGFVGCALSSTIQRFYKNEGGTWSVEKVIQVPPKKVKGWLLPEMPGLITDILLSLDDRFLYFSNWLHGDLRQYDISDPQRPRLTGQLFLGGSIVKGGPVQVLEDEELKSQPEPLVVKGKRVAGGPQMIQLSLDGKRLYITTSLYSAWDKQFYPDLIREGSVMLQVDVDTVKGGLKLNPNFLVDFGKEPLGPALAHELRYPGGDCSSDIWI
+>DECOY_sp|Q13228|SBP1_HUMAN Methanethiol oxidase OS=Homo sapiens OX=9606 GN=SELENBP1 PE=1 SV=2
+IWIDSSCDGGPYRLEHALAPGLPEKGFDVLFNPNLKLGGKVTDVDVQLMVSGERILDPYFQKDWASYLSTTIYLRKGDLSLQIMQPGGAVRKGKVVLPEPQSKLEEDELVQVPGGKVISGGLFLQGTLRPRQPDSIDYQRLDGHLWNSFYLFRDDLSLLIDTILGPMEPLLWGKVKKPPVQIVKEVSWTGGENKYFRQITSSLACGVFGQAADPNHLFRIELPILGDKLSLTQVIEHRQWDWVYLHSGYLGAEVDAPNFGDRLVNPAAWETSIMVNHRPQYWFDYGLPAAGGPREWTGKVEFTEGDLLVFGGKGNGKVDGLSSIMVEGSALCHSTHLFALECKAHIDKPEIVKHLKPARPESGVDVVYIRSSILSPLVLKTRSKTSDGFCSSCTNWGSHHLEDKLNPMPLRHIVQCYQPSKPDVDVTALYDPAETGTNRYICPLYVIEERPGKMAELPTSYGPGCNGCKTAM
+>sp|P0C7V7|SC11B_HUMAN Putative signal peptidase complex catalytic subunit SEC11B OS=Homo sapiens OX=9606 GN=SEC11B PE=5 SV=1
+MNKWRLYYQVLNFGMIVSSALMIWKGLMVITGSESPIVLLSGSMEPAFHRGYLLFLTNRVEDPIRVGEIAVLRIEGRKIPIVHRVLKIHEKQNGHIKFLTKGDNNAVDDRGLYKQDQHWLEKKDVVGRARGFVPYIGIGTSLMNDYPKHKYEVLFLLGLFVLVHRE
+>DECOY_sp|P0C7V7|SC11B_HUMAN Putative signal peptidase complex catalytic subunit SEC11B OS=Homo sapiens OX=9606 GN=SEC11B PE=5 SV=1
+ERHVLVFLGLLFLVEYKHKPYDNMLSTGIGIYPVFGRARGVVDKKELWHQDQKYLGRDDVANNDGKTLFKIHGNQKEHIKLVRHVIPIKRGEIRLVAIEGVRIPDEVRNTLFLLYGRHFAPEMSGSLLVIPSESGTIVMLGKWIMLASSVIMGFNLVQYYLRWKNM
+>sp|Q9BY50|SC11C_HUMAN Signal peptidase complex catalytic subunit SEC11C OS=Homo sapiens OX=9606 GN=SEC11C PE=1 SV=3
+MVRAGAVGAHLPASGLDIFGDLKKMNKRQLYYQVLNFAMIVSSALMIWKGLIVLTGSESPIVVVLSGSMEPAFHRGDLLFLTNFREDPIRAGEIVVFKVEGRDIPIVHRVIKVHEKDNGDIKFLTKGDNNEVDDRGLYKEGQNWLEKKDVVGRARGFLPYVGMVTIIMNDYPKFKYALLAVMGAYVLLKRES
+>DECOY_sp|Q9BY50|SC11C_HUMAN Signal peptidase complex catalytic subunit SEC11C OS=Homo sapiens OX=9606 GN=SEC11C PE=1 SV=3
+SERKLLVYAGMVALLAYKFKPYDNMIITVMGVYPLFGRARGVVDKKELWNQGEKYLGRDDVENNDGKTLFKIDGNDKEHVKIVRHVIPIDRGEVKFVVIEGARIPDERFNTLFLLDGRHFAPEMSGSLVVVIPSESGTLVILGKWIMLASSVIMAFNLVQYYLQRKNMKKLDGFIDLGSAPLHAGVAGARVM
+>sp|Q96IW7|SC22A_HUMAN Vesicle-trafficking protein SEC22a OS=Homo sapiens OX=9606 GN=SEC22A PE=1 SV=1
+MSMILSASVIRVRDGLPLSASTDYEQSTGMQECRKYFKMLSRKLAQLPDRCTLKTGHYNINFISSLGVSYMMLCTENYPNVLAFSFLDELQKEFITTYNMMKTNTAVRPYCFIEFDNFIQRTKQRYNNPRSLSTKINLSDMQTEIKLRPPYQISMCELGSANGVTSAFSVDCKGAGKISSAHQRLEPATLSGIVGFILSLLCGALNLIRGFHAIESLLQSDGDDFNYIIAFFLGTAACLYQCYLLVYYTGWRNVKSFLTFGLICLCNMYLYELRNLWQLFFHVTVGAFVTLQIWLRQAQGKAPDYDV
+>DECOY_sp|Q96IW7|SC22A_HUMAN Vesicle-trafficking protein SEC22a OS=Homo sapiens OX=9606 GN=SEC22A PE=1 SV=1
+VDYDPAKGQAQRLWIQLTVFAGVTVHFFLQWLNRLEYLYMNCLCILGFTLFSKVNRWGTYYVLLYCQYLCAATGLFFAIIYNFDDGDSQLLSEIAHFGRILNLAGCLLSLIFGVIGSLTAPELRQHASSIKGAGKCDVSFASTVGNASGLECMSIQYPPRLKIETQMDSLNIKTSLSRPNNYRQKTRQIFNDFEIFCYPRVATNTKMMNYTTIFEKQLEDLFSFALVNPYNETCLMMYSVGLSSIFNINYHGTKLTCRDPLQALKRSLMKFYKRCEQMGTSQEYDTSASLPLGDRVRIVSASLIMSM
+>sp|O75396|SC22B_HUMAN Vesicle-trafficking protein SEC22b OS=Homo sapiens OX=9606 GN=SEC22B PE=1 SV=4
+MVLLTMIARVADGLPLAASMQEDEQSGRDLQQYQSQAKQLFRKLNEQSPTRCTLEAGAMTFHYIIEQGVCDLVLCEAAFPKTLAFAYLEDLHSEFDEQHGKKVPTVSRPYSFIEFDTFIQKTKKLYIDSCARRNLGSINTELQDVQRIMVANIEEVLQRGEALSALDSKANNLSSLSKKYRQDAKYLNMHSTYAKLAAVAVFFIMLIVYVRFWWL
+>DECOY_sp|O75396|SC22B_HUMAN Vesicle-trafficking protein SEC22b OS=Homo sapiens OX=9606 GN=SEC22B PE=1 SV=4
+LWWFRVYVILMIFFVAVAALKAYTSHMNLYKADQRYKKSLSSLNNAKSDLASLAEGRQLVEEINAVMIRQVDQLETNISGLNRRACSDIYLKKTKQIFTDFEIFSYPRSVTPVKKGHQEDFESHLDELYAFALTKPFAAECLVLDCVGQEIIYHFTMAGAELTCRTPSQENLKRFLQKAQSQYQQLDRGSQEDEQMSAALPLGDAVRAIMTLLVM
+>sp|Q15437|SC23B_HUMAN Protein transport protein Sec23B OS=Homo sapiens OX=9606 GN=SEC23B PE=1 SV=2
+MATYLEFIQQNEERDGVRFSWNVWPSSRLEATRMVVPLACLLTPLKERPDLPPVQYEPVLCSRPTCKAVLNPLCQVDYRAKLWACNFCFQRNQFPPAYGGISEVNQPAELMPQFSTIEYVIQRGAQSPLIFLYVVDTCLEEDDLQALKESLQMSLSLLPPDALVGLITFGRMVQVHELSCEGISKSYVFRGTKDLTAKQIQDMLGLTKPAMPMQQARPAQPQEHPFASSRFLQPVHKIDMNLTDLLGELQRDPWPVTQGKRPLRSTGVALSIAVGLLEGTFPNTGARIMLFTGGPPTQGPGMVVGDELKIPIRSWHDIEKDNARFMKKATKHYEMLANRTAANGHCIDIYACALDQTGLLEMKCCANLTGGYMVMGDSFNTSLFKQTFQRIFTKDFNGDFRMAFGATLDVKTSRELKIAGAIGPCVSLNVKGPCVSENELGVGGTSQWKICGLDPTSTLGIYFEVVNQHNTPIPQGGRGAIQFVTHYQHSSTQRRIRVTTIARNWADVQSQLRHIEAAFDQEAAAVLMARLGVFRAESEEGPDVLRWLDRQLIRLCQKFGQYNKEDPTSFRLSDSFSLYPQFMFHLRRSPFLQVFNNSPDESSYYRHHFARQDLTQSLIMIQPILYSYSFHGPPEPVLLDSSSILADRILLMDTFFQIVIYLGETIAQWRKAGYQDMPEYENFKHLLQAPLDDAQEILQARFPMPRYINTEHGGSQARFLLSKVNPSQTHNNLYAWGQETGAPILTDDVSLQVFMDHLKKLAVSSAC
+>DECOY_sp|Q15437|SC23B_HUMAN Protein transport protein Sec23B OS=Homo sapiens OX=9606 GN=SEC23B PE=1 SV=2
+CASSVALKKLHDMFVQLSVDDTLIPAGTEQGWAYLNNHTQSPNVKSLLFRAQSGGHETNIYRPMPFRAQLIEQADDLPAQLLHKFNEYEPMDQYGAKRWQAITEGLYIVIQFFTDMLLIRDALISSSDLLVPEPPGHFSYSYLIPQIMILSQTLDQRAFHHRYYSSEDPSNNFVQLFPSRRLHFMFQPYLSFSDSLRFSTPDEKNYQGFKQCLRILQRDLWRLVDPGEESEARFVGLRAMLVAAAEQDFAAEIHRLQSQVDAWNRAITTVRIRRQTSSHQYHTVFQIAGRGGQPIPTNHQNVVEFYIGLTSTPDLGCIKWQSTGGVGLENESVCPGKVNLSVCPGIAGAIKLERSTKVDLTAGFAMRFDGNFDKTFIRQFTQKFLSTNFSDGMVMYGGTLNACCKMELLGTQDLACAYIDICHGNAATRNALMEYHKTAKKMFRANDKEIDHWSRIPIKLEDGVVMGPGQTPPGGTFLMIRAGTNPFTGELLGVAISLAVGTSRLPRKGQTVPWPDRQLEGLLDTLNMDIKHVPQLFRSSAFPHEQPQAPRAQQMPMAPKTLGLMDQIQKATLDKTGRFVYSKSIGECSLEHVQVMRGFTILGVLADPPLLSLSMQLSEKLAQLDDEELCTDVVYLFILPSQAGRQIVYEITSFQPMLEAPQNVESIGGYAPPFQNRQFCFNCAWLKARYDVQCLPNLVAKCTPRSCLVPEYQVPPLDPREKLPTLLCALPVVMRTAELRSSPWVNWSFRVGDREENQQIFELYTAM
+>sp|O94979|SC31A_HUMAN Protein transport protein Sec31A OS=Homo sapiens OX=9606 GN=SEC31A PE=1 SV=3
+MKLKEVDRTAMQAWSPAQNHPIYLATGTSAQQLDATFSTNASLEIFELDLSDPSLDMKSCATFSSSHRYHKLIWGPYKMDSKGDVSGVLIAGGENGNIILYDPSKIIAGDKEVVIAQNDKHTGPVRALDVNIFQTNLVASGANESEIYIWDLNNFATPMTPGAKTQPPEDISCIAWNRQVQHILASASPSGRATVWDLRKNEPIIKVSDHSNRMHCSGLAWHPDVATQMVLASEDDRLPVIQMWDLRFASSPLRVLENHARGILAIAWSMADPELLLSCGKDAKILCSNPNTGEVLYELPTNTQWCFDIQWCPRNPAVLSAASFDGRISVYSIMGGSTDGLRQKQVDKLSSSFGNLDPFGTGQPLPPLQIPQQTAQHSIVLPLKKPPKWIRRPVGASFSFGGKLVTFENVRMPSHQGAEQQQQQHHVFISQVVTEKEFLSRSDQLQQAVQSQGFINYCQKKIDASQTEFEKNVWSFLKVNFEDDSRGKYLELLGYRKEDLGKKIALALNKVDGANVALKDSDQVAQSDGEESPAAEEQLLGEHIKEEKEESEFLPSSGGTFNISVSGDIDGLITQALLTGNFESAVDLCLHDNRMADAIILAIAGGQELLARTQKKYFAKSQSKITRLITAVVMKNWKEIVESCDLKNWREALAAVLTYAKPDEFSALCDLLGTRLENEGDSLLQTQACLCYICAGNVEKLVACWTKAQDGSHPLSLQDLIEKVVILRKAVQLTQAMDTSTVGVLLAAKMSQYANLLAAQGSIAAALAFLPDNTNQPNIMQLRDRLCRAQGEPVAGHESPKIPYEKQQLPKGRPGPVAGHHQMPRVQTQQYYPHGENPPPPGFIMHGNVNPNAAGQLPTSPGHMHTQVPPYPQPQPYQPAQPYPFGTGGSAMYRPQQPVAPPTSNAYPNTPYISSASSYTGQSQLYAAQHQASSPTSSPATSFPPPPSSGASFQHGGPGAPPSSSAYALPPGTTGTLPAASELPASQRTGPQNGWNDPPALNRVPKKKKMPENFMPPVPITSPIMNPLGDPQSQMLQQQPSAPVPLSSQSSFPQPHLPGGQPFHGVQQPLGQTGMPPSFSKPNIEGAPGAPIGNTFQHVQSLPTKKITKKPIPDEHLILKTTFEDLIQRCLSSATDPQTKRKLDDASKRLEFLYDKLREQTLSPTITSGLHNIARSIETRNYSEGLTMHTHIVSTSNFSETSAFMPVLKVVLTQANKLGV
+>DECOY_sp|O94979|SC31A_HUMAN Protein transport protein Sec31A OS=Homo sapiens OX=9606 GN=SEC31A PE=1 SV=3
+VGLKNAQTLVVKLVPMFASTESFNSTSVIHTHMTLGESYNRTEISRAINHLGSTITPSLTQERLKDYLFELRKSADDLKRKTQPDTASSLCRQILDEFTTKLILHEDPIPKKTIKKTPLSQVHQFTNGIPAGPAGEINPKSFSPPMGTQGLPQQVGHFPQGGPLHPQPFSSQSSLPVPASPQQQLMQSQPDGLPNMIPSTIPVPPMFNEPMKKKKPVRNLAPPDNWGNQPGTRQSAPLESAAPLTGTTGPPLAYASSSPPAGPGGHQFSAGSSPPPPFSTAPSSTPSSAQHQAAYLQSQGTYSSASSIYPTNPYANSTPPAVPQQPRYMASGGTGFPYPQAPQYPQPQPYPPVQTHMHGPSTPLQGAANPNVNGHMIFGPPPPNEGHPYYQQTQVRPMQHHGAVPGPRGKPLQQKEYPIKPSEHGAVPEGQARCLRDRLQMINPQNTNDPLFALAAAISGQAALLNAYQSMKAALLVGVTSTDMAQTLQVAKRLIVVKEILDQLSLPHSGDQAKTWCAVLKEVNGACIYCLCAQTQLLSDGENELRTGLLDCLASFEDPKAYTLVAALAERWNKLDCSEVIEKWNKMVVATILRTIKSQSKAFYKKQTRALLEQGGAIALIIADAMRNDHLCLDVASEFNGTLLAQTILGDIDGSVSINFTGGSSPLFESEEKEEKIHEGLLQEEAAPSEEGDSQAVQDSDKLAVNAGDVKNLALAIKKGLDEKRYGLLELYKGRSDDEFNVKLFSWVNKEFETQSADIKKQCYNIFGQSQVAQQLQDSRSLFEKETVVQSIFVHHQQQQQEAGQHSPMRVNEFTVLKGGFSFSAGVPRRIWKPPKKLPLVISHQATQQPIQLPPLPQGTGFPDLNGFSSSLKDVQKQRLGDTSGGMISYVSIRGDFSAASLVAPNRPCWQIDFCWQTNTPLEYLVEGTNPNSCLIKADKGCSLLLEPDAMSWAIALIGRAHNELVRLPSSAFRLDWMQIVPLRDDESALVMQTAVDPHWALGSCHMRNSHDSVKIIPENKRLDWVTARGSPSASALIHQVQRNWAICSIDEPPQTKAGPTMPTAFNNLDWIYIESENAGSAVLNTQFINVDLARVPGTHKDNQAIVVEKDGAIIKSPDYLIINGNEGGAILVGSVDGKSDMKYPGWILKHYRHSSSFTACSKMDLSPDSLDLEFIELSANTSFTADLQQASTGTALYIPHNQAPSWAQMATRDVEKLKM
+>sp|Q9NY91|SC5A4_HUMAN Solute carrier family 5 member 4 OS=Homo sapiens OX=9606 GN=SLC5A4 PE=1 SV=1
+MASTVSPSTIAETPEPPPLSDHIRNAADISVIVIYFLVVMAVGLWAMLKTNRGTIGGFFLAGRDMAWWPMGASLFASNIGSNHYVGLAGTGAASGVATVTFEWTSSVMLLILGWIFVPIYIKSGVMTMPEYLKKRFGGERLQVYLSILSLFICVVLLISADIFAGAIFIKLALGLDLYLAIFILLAMTAVYTTTGGLASVIYTDTLQTIIMLIGSFILMGFAFNEVGGYESFTEKYVNATPSVVEGDNLTISASCYTPRADSFHIFRDAVTGDIPWPGIIFGMPITALWYWCTNQVIVQRCLCGKDMSHVKAACIMCAYLKLLPMFLMVMPGMISRILYTDMVACVVPSECVKHCGVDVGCTNYAYPTMVLELMPQGLRGLMLSVMLASLMSSLTSIFNSASTLFTIDLYTKMRKQASEKELLIAGRIFVLLLTVVSIVWVPLVQVSQNGQLIHYTESISSYLGPPIAAVFVLAIFCKRVNEQGAFWGLMVGLAMGLIRMITEFAYGTGSCLAPSNCPKIICGVHYLYFSIVLFFGSMLVTLGISLLTKPIPDVHLYRLCWVLRNSTEERIDIDAEEKSQEETDDGVEEDYPEKSRGCLKKAYDLFCGLQKGPKLTKEEEEALSKKLTDTSERPSWRTIVNINAILLLAVVVFIHGYYA
+>DECOY_sp|Q9NY91|SC5A4_HUMAN Solute carrier family 5 member 4 OS=Homo sapiens OX=9606 GN=SLC5A4 PE=1 SV=1
+AYYGHIFVVVALLLIANINVITRWSPRESTDTLKKSLAEEEEKTLKPGKQLGCFLDYAKKLCGRSKEPYDEEVGDDTEEQSKEEADIDIREETSNRLVWCLRYLHVDPIPKTLLSIGLTVLMSGFFLVISFYLYHVGCIIKPCNSPALCSGTGYAFETIMRILGMALGVMLGWFAGQENVRKCFIALVFVAAIPPGLYSSISETYHILQGNQSVQVLPVWVISVVTLLLVFIRGAILLEKESAQKRMKTYLDITFLTSASNFISTLSSMLSALMVSLMLGRLGQPMLELVMTPYAYNTCGVDVGCHKVCESPVVCAVMDTYLIRSIMGPMVMLFMPLLKLYACMICAAKVHSMDKGCLCRQVIVQNTCWYWLATIPMGFIIGPWPIDGTVADRFIHFSDARPTYCSASITLNDGEVVSPTANVYKETFSEYGGVENFAFGMLIFSGILMIITQLTDTYIVSALGGTTTYVATMALLIFIALYLDLGLALKIFIAGAFIDASILLVVCIFLSLISLYVQLREGGFRKKLYEPMTMVGSKIYIPVFIWGLILLMVSSTWEFTVTAVGSAAGTGALGVYHNSGINSAFLSAGMPWWAMDRGALFFGGITGRNTKLMAWLGVAMVVLFYIVIVSIDAANRIHDSLPPPEPTEAITSPSVTSAM
+>sp|A0PJK1|SC5AA_HUMAN Sodium/glucose cotransporter 5 OS=Homo sapiens OX=9606 GN=SLC5A10 PE=1 SV=2
+MAANSTSDLHTPGTQLSVADIIVITVYFALNVAVGIWSSCRASRNTVNGYFLAGRDMTWWPIGASLFASSEGSGLFIGLAGSGAAGGLAVAGFEWNATYVLLALAWVFVPIYISSEIVTLPEYIQKRYGGQRIRMYLSVLSLLLSVFTKISLDLYAGALFVHICLGWNFYLSTILTLGITALYTIAGGLAAVIYTDALQTLIMVVGAVILTIKAFDQIGGYGQLEAAYAQAIPSRTIANTTCHLPRTDAMHMFRDPHTGDLPWTGMTFGLTIMATWYWCTDQVIVQRSLSARDLNHAKAGSILASYLKMLPMGLIIMPGMISRALFPDDVGCVVPSECLRACGAEVGCSNIAYPKLVMELMPIGLRGLMIAVMLAALMSSLTSIFNSSSTLFTMDIWRRLRPRSGERELLLVGRLVIVALIGVSVAWIPVLQDSNSGQLFIYMQSVTSSLAPPVTAVFVLGVFWRRANEQGAFWGLIAGLVVGATRLVLEFLNPAPPCGEPDTRPAVLGSIHYLHFAVALFALSGAVVVAGSLLTPPPQSVQIENLTWWTLAQDVPLGTKAGDGQTPQKHAFWARVCGFNAILLMCVNIFFYAYFA
+>DECOY_sp|A0PJK1|SC5AA_HUMAN Sodium/glucose cotransporter 5 OS=Homo sapiens OX=9606 GN=SLC5A10 PE=1 SV=2
+AFYAYFFINVCMLLIANFGCVRAWFAHKQPTQGDGAKTGLPVDQALTWWTLNEIQVSQPPPTLLSGAVVVAGSLAFLAVAFHLYHISGLVAPRTDPEGCPPAPNLFELVLRTAGVVLGAILGWFAGQENARRWFVGLVFVATVPPALSSTVSQMYIFLQGSNSDQLVPIWAVSVGILAVIVLRGVLLLEREGSRPRLRRWIDMTFLTSSSNFISTLSSMLAALMVAIMLGRLGIPMLEMVLKPYAINSCGVEAGCARLCESPVVCGVDDPFLARSIMGPMIILGMPLMKLYSALISGAKAHNLDRASLSRQVIVQDTCWYWTAMITLGFTMGTWPLDGTHPDRFMHMADTRPLHCTTNAITRSPIAQAYAAELQGYGGIQDFAKITLIVAGVVMILTQLADTYIVAALGGAITYLATIGLTLITSLYFNWGLCIHVFLAGAYLDLSIKTFVSLLLSLVSLYMRIRQGGYRKQIYEPLTVIESSIYIPVFVWALALLVYTANWEFGAVALGGAAGSGALGIFLGSGESSAFLSAGIPWWTMDRGALFYGNVTNRSARCSSWIGVAVNLAFYVTIVIIDAVSLQTGPTHLDSTSNAAM
+>sp|Q1EHB4|SC5AC_HUMAN Sodium-coupled monocarboxylate transporter 2 OS=Homo sapiens OX=9606 GN=SLC5A12 PE=2 SV=2
+MEVKNFAVWDYVVFAALFFISSGIGVFFAIKERKKATSREFLVGGRQMSFGPVGLSLTASFMSAVTVLGTPSEVYRFGASFLVFFIAYLFVILLTSELFLPVFYRSGITSTYEYLQLRFNKPVRYAATVIYIVQTILYTGVVVYAPALALNQVTGFDLWGSVFATGIVCTFYCTLGGLKAVVWTDAFQMVVMIVGFLTVLIQGSTHAGGFHNVLEQSTNGSRLHIFDFDVDPLRRHTFWTITVGGTFTWLGIYGVNQSTIQRCISCKTEKHAKLALYFNLLGLWIILVCAVFSGLIMYSHFKDCDPWTSGIISAPDQLMPYFVMEIFATMPGLPGLFVACAFSGTLSTVASSINALATVTFEDFVKSCFPHLSDKLSTWISKGLCLLFGVMCTSMAVAASVMGGVVQASLSIHGMCGGPMLGLFSLGIVFPFVNWKGALGGLLTGITLSFWVAIGAFIYPAPASKTWPLPLSTDQCIKSNVTATGPPVLSSRPGIADTWYSISYLYYSAVGCLGCIVAGVIISLITGRQRGEDIQPLLIRPVCNLFCFWSKKYKTLCWCGVQHDSGTEQENLENGSARKQGAESVLQNGLRRESLVHVPGYDPKDKSYNNMAFETTHF
+>DECOY_sp|Q1EHB4|SC5AC_HUMAN Sodium-coupled monocarboxylate transporter 2 OS=Homo sapiens OX=9606 GN=SLC5A12 PE=2 SV=2
+FHTTEFAMNNYSKDKPDYGPVHVLSERRLGNQLVSEAGQKRASGNELNEQETGSDHQVGCWCLTKYKKSWFCFLNCVPRILLPQIDEGRQRGTILSIIVGAVICGLCGVASYYLYSISYWTDAIGPRSSLVPPGTATVNSKICQDTSLPLPWTKSAPAPYIFAGIAVWFSLTIGTLLGGLAGKWNVFPFVIGLSFLGLMPGGCMGHISLSAQVVGGMVSAAVAMSTCMVGFLLCLGKSIWTSLKDSLHPFCSKVFDEFTVTALANISSAVTSLTGSFACAVFLGPLGPMTAFIEMVFYPMLQDPASIIGSTWPDCDKFHSYMILGSFVACVLIIWLGLLNFYLALKAHKETKCSICRQITSQNVGYIGLWTFTGGVTITWFTHRRLPDVDFDFIHLRSGNTSQELVNHFGGAHTSGQILVTLFGVIMVVMQFADTWVVAKLGGLTCYFTCVIGTAFVSGWLDFGTVQNLALAPAYVVVGTYLITQVIYIVTAAYRVPKNFRLQLYEYTSTIGSRYFVPLFLESTLLIVFLYAIFFVLFSAGFRYVESPTGLVTVASMFSATLSLGVPGFSMQRGGVLFERSTAKKREKIAFFVGIGSSIFFLAAFVVYDWVAFNKVEM
+>sp|P60468|SC61B_HUMAN Protein transport protein Sec61 subunit beta OS=Homo sapiens OX=9606 GN=SEC61B PE=1 SV=2
+MPGPTPSGTNVGSSGRSPSKAVAARAAGSTVRQRKNASCGTRSAGRTTSAGTGGMWRFYTEDSPGLKVGPVPVLVMSLLFIASVFMLHIWGKYTRS
+>DECOY_sp|P60468|SC61B_HUMAN Protein transport protein Sec61 subunit beta OS=Homo sapiens OX=9606 GN=SEC61B PE=1 SV=2
+SRTYKGWIHLMFVSAIFLLSMVLVPVPGVKLGPSDETYFRWMGGTGASTTRGASRTGCSANKRQRVTSGAARAAVAKSPSRGSSGVNTGSPTPGPM
+>sp|Q92791|SC65_HUMAN Endoplasmic reticulum protein SC65 OS=Homo sapiens OX=9606 GN=P3H4 PE=1 SV=1
+MARVAWGLLWLLLGSAGAQYEKYSFRGFPPEDLMPLAAAYGHALEQYEGESWRESARYLEAALRLHRLLRDSEAFCHANCSGPAPAAKPDPDGGRADEWACELRLFGRVLERAACLRRCKRTLPAFQVPYPPRQLLRDFQSRLPYQYLHYALFKANRLEKAVAAAYTFLQRNPKHELTAKYLNYYQGMLDVADESLTDLEAQPYEAVFLRAVKLYNSGDFRSSTEDMERALSEYLAVFARCLAGCEGAHEQVDFKDFYPAIADLFAESLQCKVDCEANLTPNVGGYFVDKFVATMYHYLQFAYYKLNDVRQAARSAASYMLFDPKDSVMQQNLVYYRFHRARWGLEEEDFQPREEAMLYHNQTAELRELLEFTHMYLQSDDEMELEETEPPLEPEDALSDAEFEGEGDYEEGMYADWWQEPDAKGDEAEAEPEPELA
+>DECOY_sp|Q92791|SC65_HUMAN Endoplasmic reticulum protein SC65 OS=Homo sapiens OX=9606 GN=P3H4 PE=1 SV=1
+ALEPEPEAEAEDGKADPEQWWDAYMGEEYDGEGEFEADSLADEPELPPETEELEMEDDSQLYMHTFELLERLEATQNHYLMAEERPQFDEEELGWRARHFRYYVLNQQMVSDKPDFLMYSAASRAAQRVDNLKYYAFQLYHYMTAVFKDVFYGGVNPTLNAECDVKCQLSEAFLDAIAPYFDKFDVQEHAGECGALCRAFVALYESLAREMDETSSRFDGSNYLKVARLFVAEYPQAELDTLSEDAVDLMGQYYNLYKATLEHKPNRQLFTYAAAVAKELRNAKFLAYHLYQYPLRSQFDRLLQRPPYPVQFAPLTRKCRRLCAARELVRGFLRLECAWEDARGGDPDPKAAPAPGSCNAHCFAESDRLLRHLRLAAELYRASERWSEGEYQELAHGYAAALPMLDEPPFGRFSYKEYQAGASGLLLWLLGWAVRAM
+>sp|P30531|SC6A1_HUMAN Sodium- and chloride-dependent GABA transporter 1 OS=Homo sapiens OX=9606 GN=SLC6A1 PE=1 SV=2
+MATNGSKVADGQISTEVSEAPVANDKPKTLVVKVQKKAADLPDRDTWKGRFDFLMSCVGYAIGLGNVWRFPYLCGKNGGGAFLIPYFLTLIFAGVPLFLLECSLGQYTSIGGLGVWKLAPMFKGVGLAAAVLSFWLNIYYIVIISWAIYYLYNSFTTTLPWKQCDNPWNTDRCFSNYSMVNTTNMTSAVVEFWERNMHQMTDGLDKPGQIRWPLAITLAIAWILVYFCIWKGVGWTGKVVYFSATYPYIMLIILFFRGVTLPGAKEGILFYITPNFRKLSDSEVWLDAATQIFFSYGLGLGSLIALGSYNSFHNNVYRDSIIVCCINSCTSMFAGFVIFSIVGFMAHVTKRSIADVAASGPGLAFLAYPEAVTQLPISPLWAILFFSMLLMLGIDSQFCTVEGFITALVDEYPRLLRNRRELFIAAVCIISYLIGLSNITQGGIYVFKLFDYYSASGMSLLFLVFFECVSISWFYGVNRFYDNIQEMVGSRPCIWWKLCWSFFTPIIVAGVFIFSAVQMTPLTMGNYVFPKWGQGVGWLMALSSMVLIPGYMAYMFLTLKGSLKQRIQVMVQPSEDIVRPENGPEQPQAGSSTSKEAYI
+>DECOY_sp|P30531|SC6A1_HUMAN Sodium- and chloride-dependent GABA transporter 1 OS=Homo sapiens OX=9606 GN=SLC6A1 PE=1 SV=2
+IYAEKSTSSGAQPQEPGNEPRVIDESPQVMVQIRQKLSGKLTLFMYAMYGPILVMSSLAMLWGVGQGWKPFVYNGMTLPTMQVASFIFVGAVIIPTFFSWCLKWWICPRSGVMEQINDYFRNVGYFWSISVCEFFVLFLLSMGSASYYDFLKFVYIGGQTINSLGILYSIICVAAIFLERRNRLLRPYEDVLATIFGEVTCFQSDIGLMLLMSFFLIAWLPSIPLQTVAEPYALFALGPGSAAVDAISRKTVHAMFGVISFIVFGAFMSTCSNICCVIISDRYVNNHFSNYSGLAILSGLGLGYSFFIQTAADLWVESDSLKRFNPTIYFLIGEKAGPLTVGRFFLIILMIYPYTASFYVVKGTWGVGKWICFYVLIWAIALTIALPWRIQGPKDLGDTMQHMNREWFEVVASTMNTTNVMSYNSFCRDTNWPNDCQKWPLTTTFSNYLYYIAWSIIVIYYINLWFSLVAAALGVGKFMPALKWVGLGGISTYQGLSCELLFLPVGAFILTLFYPILFAGGGNKGCLYPFRWVNGLGIAYGVCSMLFDFRGKWTDRDPLDAAKKQVKVVLTKPKDNAVPAESVETSIQGDAVKSGNTAM
+>sp|Q01959|SC6A3_HUMAN Sodium-dependent dopamine transporter OS=Homo sapiens OX=9606 GN=SLC6A3 PE=1 SV=1
+MSKSKCSVGLMSSVVAPAKEPNAVGPKEVELILVKEQNGVQLTSSTLTNPRQSPVEAQDRETWGKKIDFLLSVIGFAVDLANVWRFPYLCYKNGGGAFLVPYLLFMVIAGMPLFYMELALGQFNREGAAGVWKICPILKGVGFTVILISLYVGFFYNVIIAWALHYLFSSFTTELPWIHCNNSWNSPNCSDAHPGDSSGDSSGLNDTFGTTPAAEYFERGVLHLHQSHGIDDLGPPRWQLTACLVLVIVLLYFSLWKGVKTSGKVVWITATMPYVVLTALLLRGVTLPGAIDGIRAYLSVDFYRLCEASVWIDAATQVCFSLGVGFGVLIAFSSYNKFTNNCYRDAIVTTSINSLTSFSSGFVVFSFLGYMAQKHSVPIGDVAKDGPGLIFIIYPEAIATLPLSSAWAVVFFIMLLTLGIDSAMGGMESVITGLIDEFQLLHRHRELFTLFIVLATFLLSLFCVTNGGIYVFTLLDHFAAGTSILFGVLIEAIGVAWFYGVGQFSDDIQQMTGQRPSLYWRLCWKLVSPCFLLFVVVVSIVTFRPPHYGAYIFPDWANALGWVIATSSMAMVPIYAAYKFCSLPGSFREKLAYAIAPEKDRELVDRGEVRQFTLRHWLKV
+>DECOY_sp|Q01959|SC6A3_HUMAN Sodium-dependent dopamine transporter OS=Homo sapiens OX=9606 GN=SLC6A3 PE=1 SV=1
+VKLWHRLTFQRVEGRDVLERDKEPAIAYALKERFSGPLSCFKYAAYIPVMAMSSTAIVWGLANAWDPFIYAGYHPPRFTVISVVVVFLLFCPSVLKWCLRWYLSPRQGTMQQIDDSFQGVGYFWAVGIAEILVGFLISTGAAFHDLLTFVYIGGNTVCFLSLLFTALVIFLTFLERHRHLLQFEDILGTIVSEMGGMASDIGLTLLMIFFVVAWASSLPLTAIAEPYIIFILGPGDKAVDGIPVSHKQAMYGLFSFVVFGSSFSTLSNISTTVIADRYCNNTFKNYSSFAILVGFGVGLSFCVQTAADIWVSAECLRYFDVSLYARIGDIAGPLTVGRLLLATLVVYPMTATIWVVKGSTKVGKWLSFYLLVIVLVLCATLQWRPPGLDDIGHSQHLHLVGREFYEAAPTTGFTDNLGSSDGSSDGPHADSCNPSNWSNNCHIWPLETTFSSFLYHLAWAIIVNYFFGVYLSILIVTFGVGKLIPCIKWVGAAGERNFQGLALEMYFLPMGAIVMFLLYPVLFAGGGNKYCLYPFRWVNALDVAFGIVSLLFDIKKGWTERDQAEVPSQRPNTLTSSTLQVGNQEKVLILEVEKPGVANPEKAPAVVSSMLGVSCKSKSM
+>sp|Q99884|SC6A7_HUMAN Sodium-dependent proline transporter OS=Homo sapiens OX=9606 GN=SLC6A7 PE=2 SV=2
+MKKLQGAHLRKPVTPDLLMTPSDQGDVDLDVDFAAHRGNWTGKLDFLLSCIGYCVGLGNVWRFPYRAYTNGGGAFLVPYFLMLAICGIPLFFLELSLGQFSSLGPLAVWKISPLFKGAGAAMLLIVGLVAIYYNMIIAYVLFYLFASLTSDLPWEHCGNWWNTELCLEHRVSKDGNGALPLNLTCTVSPSEEYWSRYVLHIQGSQGIGSPGEIRWNLCLCLLLAWVIVFLCILKGVKSSGKVVYFTATFPYLILLMLLVRGVTLPGAWKGIQFYLTPQFHHLLSSKVWIEAALQIFYSLGVGFGGLLTFASYNTFHQNIYRDTFIVTLGNAITSILAGFAIFSVLGYMSQELGVPVDQVAKAGPGLAFVVYPQAMTMLPLSPFWSFLFFFMLLTLGLDSQFAFLETIVTAVTDEFPYYLRPKKAVFSGLICVAMYLMGLILTTDGGMYWLVLLDDYSASFGLMVVVITTCLAVTRVYGIQRFCRDIHMMLGFKPGLYFRACWLFLSPATLLALMVYSIVKYQPSEYGSYRFPPWAELLGILMGLLSCLMIPAGMLVAVLREEGSLWERLQQASRPAMDWGPSLEENRTGMYVATLAGSQSPKPLMVHMRKYGGITSFENTAIEVDREIAEEEESMM
+>DECOY_sp|Q99884|SC6A7_HUMAN Sodium-dependent proline transporter OS=Homo sapiens OX=9606 GN=SLC6A7 PE=2 SV=2
+MMSEEEEAIERDVEIATNEFSTIGGYKRMHVMLPKPSQSGALTAVYMGTRNEELSPGWDMAPRSAQQLREWLSGEERLVAVLMGAPIMLCSLLGMLIGLLEAWPPFRYSGYESPQYKVISYVMLALLTAPSLFLWCARFYLGPKFGLMMHIDRCFRQIGYVRTVALCTTIVVVMLGFSASYDDLLVLWYMGGDTTLILGMLYMAVCILGSFVAKKPRLYYPFEDTVATVITELFAFQSDLGLTLLMFFFLFSWFPSLPLMTMAQPYVVFALGPGAKAVQDVPVGLEQSMYGLVSFIAFGALISTIANGLTVIFTDRYINQHFTNYSAFTLLGGFGVGLSYFIQLAAEIWVKSSLLHHFQPTLYFQIGKWAGPLTVGRVLLMLLILYPFTATFYVVKGSSKVGKLICLFVIVWALLLCLCLNWRIEGPSGIGQSGQIHLVYRSWYEESPSVTCTLNLPLAGNGDKSVRHELCLETNWWNGCHEWPLDSTLSAFLYFLVYAIIMNYYIAVLGVILLMAAGAGKFLPSIKWVALPGLSSFQGLSLELFFLPIGCIALMLFYPVLFAGGGNTYARYPFRWVNGLGVCYGICSLLFDLKGTWNGRHAAFDVDLDVDGQDSPTMLLDPTVPKRLHAGQLKKM
+>sp|P48029|SC6A8_HUMAN Sodium- and chloride-dependent creatine transporter 1 OS=Homo sapiens OX=9606 GN=SLC6A8 PE=1 SV=1
+MAKKSAENGIYSVSGDEKKGPLIAPGPDGAPAKGDGPVGLGTPGGRLAVPPRETWTRQMDFIMSCVGFAVGLGNVWRFPYLCYKNGGGVFLIPYVLIALVGGIPIFFLEISLGQFMKAGSINVWNICPLFKGLGYASMVIVFYCNTYYIMVLAWGFYYLVKSFTTTLPWATCGHTWNTPDCVEIFRHEDCANASLANLTCDQLADRRSPVIEFWENKVLRLSGGLEVPGALNWEVTLCLLACWVLVYFCVWKGVKSTGKIVYFTATFPYVVLVVLLVRGVLLPGALDGIIYYLKPDWSKLGSPQVWIDAGTQIFFSYAIGLGALTALGSYNRFNNNCYKDAIILALINSGTSFFAGFVVFSILGFMAAEQGVHISKVAESGPGLAFIAYPRAVTLMPVAPLWAALFFFMLLLLGLDSQFVGVEGFITGLLDLLPASYYFRFQREISVALCCALCFVIDLSMVTDGGMYVFQLFDYYSASGTTLLWQAFWECVVVAWVYGADRFMDDIACMIGYRPCPWMKWCWSFFTPLVCMGIFIFNVVYYEPLVYNNTYVYPWWGEAMGWAFALSSMLCVPLHLLGCLLRAKGTMAERWQHLTQPIWGLHHLEYRAQDADVRGLTTLTPVSESSKVVVVESVM
+>DECOY_sp|P48029|SC6A8_HUMAN Sodium- and chloride-dependent creatine transporter 1 OS=Homo sapiens OX=9606 GN=SLC6A8 PE=1 SV=1
+MVSEVVVVKSSESVPTLTTLGRVDADQARYELHHLGWIPQTLHQWREAMTGKARLLCGLLHLPVCLMSSLAFAWGMAEGWWPYVYTNNYVLPEYYVVNFIFIGMCVLPTFFSWCWKMWPCPRYGIMCAIDDMFRDAGYVWAVVVCEWFAQWLLTTGSASYYDFLQFVYMGGDTVMSLDIVFCLACCLAVSIERQFRFYYSAPLLDLLGTIFGEVGVFQSDLGLLLLMFFFLAAWLPAVPMLTVARPYAIFALGPGSEAVKSIHVGQEAAMFGLISFVVFGAFFSTGSNILALIIADKYCNNNFRNYSGLATLAGLGIAYSFFIQTGADIWVQPSGLKSWDPKLYYIIGDLAGPLLVGRVLLVVLVVYPFTATFYVIKGTSKVGKWVCFYVLVWCALLCLTVEWNLAGPVELGGSLRLVKNEWFEIVPSRRDALQDCTLNALSANACDEHRFIEVCDPTNWTHGCTAWPLTTTFSKVLYYFGWALVMIYYTNCYFVIVMSAYGLGKFLPCINWVNISGAKMFQGLSIELFFIPIGGVLAILVYPILFVGGGNKYCLYPFRWVNGLGVAFGVCSMIFDMQRTWTERPPVALRGGPTGLGVPGDGKAPAGDPGPAILPGKKEDGSVSYIGNEASKKAM
+>sp|O14828|SCAM3_HUMAN Secretory carrier-associated membrane protein 3 OS=Homo sapiens OX=9606 GN=SCAMP3 PE=1 SV=3
+MAQSRDGGNPFAEPSELDNPFQDPAVIQHRPSRQYATLDVYNPFETREPPPAYEPPAPAPLPPPSAPSLQPSRKLSPTEPKNYGSYSTQASAAAATAELLKKQEELNRKAEELDRRERELQHAALGGTATRQNNWPPLPSFCPVQPCFFQDISMEIPQEFQKTVSTMYYLWMCSTLALLLNFLACLASFCVETNNGAGFGLSILWVLLFTPCSFVCWYRPMYKAFRSDSSFNFFVFFFIFFVQDVLFVLQAIGIPGWGFSGWISALVVPKGNTAVSVLMLLVALLFTGIAVLGIVMLKRIHSLYRRTGASFQKAQQEFAAGVFSNPAVRTAAANAAAGAAENAFRAP
+>DECOY_sp|O14828|SCAM3_HUMAN Secretory carrier-associated membrane protein 3 OS=Homo sapiens OX=9606 GN=SCAMP3 PE=1 SV=3
+PARFANEAAGAAANAAATRVAPNSFVGAAFEQQAKQFSAGTRRYLSHIRKLMVIGLVAIGTFLLAVLLMLVSVATNGKPVVLASIWGSFGWGPIGIAQLVFLVDQVFFIFFFVFFNFSSDSRFAKYMPRYWCVFSCPTFLLVWLISLGFGAGNNTEVCFSALCALFNLLLALTSCMWLYYMTSVTKQFEQPIEMSIDQFFCPQVPCFSPLPPWNNQRTATGGLAAHQLERERRDLEEAKRNLEEQKKLLEATAAAASAQTSYSGYNKPETPSLKRSPQLSPASPPPLPAPAPPEYAPPPERTEFPNYVDLTAYQRSPRHQIVAPDQFPNDLESPEAFPNGGDRSQAM
+>sp|Q8WU76|SCFD2_HUMAN Sec1 family domain-containing protein 2 OS=Homo sapiens OX=9606 GN=SCFD2 PE=1 SV=2
+MSASGVLSFTQQGWEQVLAKVKRAVVYLDAACAESLHWGCGSTRLLEAVGGPDCHLREFEPDAIGGGAKQPKAVFVLSCLLKGRTVEILRDIICRSHFQYCVVVTTVSHAVHLTANHVPAAAAAEMEGQQPVFEQLEEKLCEWMGNMNYTAEVFHVPLLLAPVAPHFALTPAFASLFPLLPQDVHLLNSARPDKRKLGSLGDVDSTTLTPELLLQIRCLVSGLSSLCEHLGVREECFAVGSLSQVIAADLANYAPAKNRKKTAAGRASVVFVDRTLDLTGAVGHHGDNLVEKIISALPQLPGHTNDVMVNMIALTALHTEEENYNVVAPGCLSQSSDTTAKALWEALLNTKHKEAVMEVRRHLVEAASRENLPIKMSMGRVTPGQLMSYIQLFKNNLKALMNHCGLLQLGLATAQTLKHPQTAKWDNFLAFERLLLQSIGESAMSVVLNQLLPMIKPVTQRTNEDYSPEELLILLIYIYSVTGELTVDKDLCEAEEKVKKALAQVFCEESGLSPLLQKITDWDSSINLTFHKSKIAVDELFTSLRDIAGARSLLKQFKSVYVPGNHTHQASYKPLLKQVVEEIFHPERPDSVDIEHMSSGLTDLLKTGFSMFMKVSRPHPSDYPLLILFVVGGVTVSEVKMVKDLVASLKPGTQVIVLSTRLLKPLNIPELLFATDRLHPDLGF
+>DECOY_sp|Q8WU76|SCFD2_HUMAN Sec1 family domain-containing protein 2 OS=Homo sapiens OX=9606 GN=SCFD2 PE=1 SV=2
+FGLDPHLRDTAFLLEPINLPKLLRTSLVIVQTGPKLSAVLDKVMKVESVTVGGVVFLILLPYDSPHPRSVKMFMSFGTKLLDTLGSSMHEIDVSDPREPHFIEEVVQKLLPKYSAQHTHNGPVYVSKFQKLLSRAGAIDRLSTFLEDVAIKSKHFTLNISSDWDTIKQLLPSLGSEECFVQALAKKVKEEAECLDKDVTLEGTVSYIYILLILLEEPSYDENTRQTVPKIMPLLQNLVVSMASEGISQLLLREFALFNDWKATQPHKLTQATALGLQLLGCHNMLAKLNNKFLQIYSMLQGPTVRGMSMKIPLNERSAAEVLHRRVEMVAEKHKTNLLAEWLAKATTDSSQSLCGPAVVNYNEEETHLATLAIMNVMVDNTHGPLQPLASIIKEVLNDGHHGVAGTLDLTRDVFVVSARGAATKKRNKAPAYNALDAAIVQSLSGVAFCEERVGLHECLSSLGSVLCRIQLLLEPTLTTSDVDGLSGLKRKDPRASNLLHVDQPLLPFLSAFAPTLAFHPAVPALLLPVHFVEATYNMNGMWECLKEELQEFVPQQGEMEAAAAAPVHNATLHVAHSVTTVVVCYQFHSRCIIDRLIEVTRGKLLCSLVFVAKPQKAGGGIADPEFERLHCDPGGVAELLRTSGCGWHLSEACAADLYVVARKVKALVQEWGQQTFSLVGSASM
+>sp|P21583|SCF_HUMAN Kit ligand OS=Homo sapiens OX=9606 GN=KITLG PE=1 SV=1
+MKKTQTWILTCIYLQLLLFNPLVKTEGICRNRVTNNVKDVTKLVANLPKDYMITLKYVPGMDVLPSHCWISEMVVQLSDSLTDLLDKFSNISEGLSNYSIIDKLVNIVDDLVECVKENSSKDLKKSFKSPEPRLFTPEEFFRIFNRSIDAFKDFVVASETSDCVVSSTLSPEKDSRVSVTKPFMLPPVAASSLRNDSSSSNRKAKNPPGDSSLHWAAMALPALFSLIIGFAFGALYWKKRQPSLTRAVENIQINEEDNEISMLQEKEREFQEV
+>DECOY_sp|P21583|SCF_HUMAN Kit ligand OS=Homo sapiens OX=9606 GN=KITLG PE=1 SV=1
+VEQFEREKEQLMSIENDEENIQINEVARTLSPQRKKWYLAGFAFGIILSFLAPLAMAAWHLSSDGPPNKAKRNSSSSDNRLSSAAVPPLMFPKTVSVRSDKEPSLTSSVVCDSTESAVVFDKFADISRNFIRFFEEPTFLRPEPSKFSKKLDKSSNEKVCEVLDDVINVLKDIISYNSLGESINSFKDLLDTLSDSLQVVMESIWCHSPLVDMGPVYKLTIMYDKPLNAVLKTVDKVNNTVRNRCIGETKVLPNFLLLQLYICTLIWTQTKKM
+>sp|P0DPB3|SCHI1_HUMAN Schwannomin-interacting protein 1 OS=Homo sapiens OX=9606 GN=SCHIP1 PE=1 SV=1
+MERSGQRVTTWDCDQGKHSDSDYREDGMDLGSDAGSSSSSSRASSQSNSTKVTPCSECKSSSSPGGSLDLVSALEDYEEPFPVYQKKVIDEWAPEEDGEEEEEEDERDQRGYRDDRSPAREPGDVSARTRSGGGGGRSATTAMPPPVPNGNLHQHDPQDLRHNGNVVVAGRPSCSRGPRRAIQKPQPAGGRRSGRGPAAGGLCLQPPDGGTCVPEEPPVPPMDWEALEKHLAGLQFREQEVRNQGQARTNSTSAQKNERESIRQKLALGSFFDDGPGIYTSCSKSGKPSLSSRLQSGMNLQICFVNDSGSDKDSDADDSKTETSLDTPLSPMSKQSSSYSDRDTTEEESESLDDMDFLTRQKKLQAEAKMALAMAKPMAKMQVEVEKQNRKKSPVADLLPHMPHISECLMKRSLKPTDLRDMTIGQLQVIVNDLHSQIESLNEELVQLLLIRDELHTEQDAMLVDIEDLTRHAESQQKHMAEKMPAK
+>DECOY_sp|P0DPB3|SCHI1_HUMAN Schwannomin-interacting protein 1 OS=Homo sapiens OX=9606 GN=SCHIP1 PE=1 SV=1
+KAPMKEAMHKQQSEAHRTLDEIDVLMADQETHLEDRILLLQVLEENLSEIQSHLDNVIVQLQGITMDRLDTPKLSRKMLCESIHPMHPLLDAVPSKKRNQKEVEVQMKAMPKAMALAMKAEAQLKKQRTLFDMDDLSESEEETTDRDSYSSSQKSMPSLPTDLSTETKSDDADSDKDSGSDNVFCIQLNMGSQLRSSLSPKGSKSCSTYIGPGDDFFSGLALKQRISERENKQASTSNTRAQGQNRVEQERFQLGALHKELAEWDMPPVPPEEPVCTGGDPPQLCLGGAAPGRGSRRGGAPQPKQIARRPGRSCSPRGAVVVNGNHRLDQPDHQHLNGNPVPPPMATTASRGGGGGSRTRASVDGPERAPSRDDRYGRQDREDEEEEEEGDEEPAWEDIVKKQYVPFPEEYDELASVLDLSGGPSSSSKCESCPTVKTSNSQSSARSSSSSSGADSGLDMGDERYDSDSHKGQDCDWTTVRQGSREM
+>sp|Q6UWF3|SCIMP_HUMAN SLP adapter and CSK-interacting membrane protein OS=Homo sapiens OX=9606 GN=SCIMP PE=1 SV=1
+MDTFTVQDSTAMSWWRNNFWIILAVAIIVVSVGLGLILYCVCKWQLRRGKKWEIAKPLKHKQVDEEKMYENVLNESPVQLPPLPPRNWPSLEDSSPQEAPSQPPATYSLVNKVKNKKTVSIPSYIEPEDDYDDVEIPANTEKASF
+>DECOY_sp|Q6UWF3|SCIMP_HUMAN SLP adapter and CSK-interacting membrane protein OS=Homo sapiens OX=9606 GN=SCIMP PE=1 SV=1
+FSAKETNAPIEVDDYDDEPEIYSPISVTKKNKVKNVLSYTAPPQSPAEQPSSDELSPWNRPPLPPLQVPSENLVNEYMKEEDVQKHKLPKAIEWKKGRRLQWKCVCYLILGLGVSVVIIAVALIIWFNNRWWSMATSDQVTFTDM
+>sp|Q6NUK1|SCMC1_HUMAN Calcium-binding mitochondrial carrier protein SCaMC-1 OS=Homo sapiens OX=9606 GN=SLC25A24 PE=1 SV=2
+MLRWLRDFVLPTAACQDAEQPTRYETLFQALDRNGDGVVDIGELQEGLRNLGIPLGQDAEEKIFTTGDVNKDGKLDFEEFMKYLKDHEKKMKLAFKSLDKNNDGKIEASEIVQSLQTLGLTISEQQAELILQSIDVDGTMTVDWNEWRDYFLFNPVTDIEEIIRFWKHSTGIDIGDSLTIPDEFTEDEKKSGQWWRQLLAGGIAGAVSRTSTAPLDRLKIMMQVHGSKSDKMNIFGGFRQMVKEGGIRSLWRGNGTNVIKIAPETAVKFWAYEQYKKLLTEEGQKIGTFERFISGSMAGATAQTFIYPMEVMKTRLAVGKTGQYSGIYDCAKKILKHEGLGAFYKGYVPNLLGIIPYAGIDLAVYELLKSYWLDNFAKDSVNPGVMVLLGCGALSSTCGQLASYPLALVRTRMQAQAMLEGSPQLNMVGLFRRIISKEGIPGLYRGITPNFMKVLPAVGISYVVYENMKQTLGVTQK
+>DECOY_sp|Q6NUK1|SCMC1_HUMAN Calcium-binding mitochondrial carrier protein SCaMC-1 OS=Homo sapiens OX=9606 GN=SLC25A24 PE=1 SV=2
+KQTVGLTQKMNEYVVYSIGVAPLVKMFNPTIGRYLGPIGEKSIIRRFLGVMNLQPSGELMAQAQMRTRVLALPYSALQGCTSSLAGCGLLVMVGPNVSDKAFNDLWYSKLLEYVALDIGAYPIIGLLNPVYGKYFAGLGEHKLIKKACDYIGSYQGTKGVALRTKMVEMPYIFTQATAGAMSGSIFREFTGIKQGEETLLKKYQEYAWFKVATEPAIKIVNTGNGRWLSRIGGEKVMQRFGGFINMKDSKSGHVQMMIKLRDLPATSTRSVAGAIGGALLQRWWQGSKKEDETFEDPITLSDGIDIGTSHKWFRIIEEIDTVPNFLFYDRWENWDVTMTGDVDISQLILEAQQESITLGLTQLSQVIESAEIKGDNNKDLSKFALKMKKEHDKLYKMFEEFDLKGDKNVDGTTFIKEEADQGLPIGLNRLGEQLEGIDVVGDGNRDLAQFLTEYRTPQEADQCAATPLVFDRLWRLM
+>sp|Q9Y2L1|RRP44_HUMAN Exosome complex exonuclease RRP44 OS=Homo sapiens OX=9606 GN=DIS3 PE=1 SV=2
+MLKSKTFLKKTRAGGVMKIVREHYLRDDIGCGAPGCAACGGAHEGPALEPQPQDPASSVCPQPHYLLPDTNVLLHQIDVLEDPAIRNVIVLQTVLQEVRNRSAPVYKRIRDVTNNQEKHFYTFTNEHHRETYVEQEQGENANDRNDRAIRVAAKWYNEHLKKMSADNQLQVIFITNDRRNKEKAIEEGIPAFTCEEYVKSLTANPELIDRLACLSEEGNEIESGKIIFSEHLPLSKLQQGIKSGTYLQGTFRASRENYLEATVWIHGDNEENKEIILQGLKHLNRAVHEDIVAVELLPKSQWVAPSSVVLHDEGQNEEDVEKEEETERMLKTAVSEKMLKPTGRVVGIIKRNWRPYCGMLSKSDIKESRRHLFTPADKRIPRIRIETRQASTLEGRRIIVAIDGWPRNSRYPNGHFVRNLGDVGEKETETEVLLLEHDVPHQPFSQAVLSFLPKMPWSITEKDMKNREDLRHLCICSVDPPGCTDIDDALHCRELENGNLEVGVHIADVSHFIRPGNALDQESARRGTTVYLCEKRIDMVPELLSSNLCSLKCDVDRLAFSCIWEMNHNAEILKTKFTKSVINSKASLTYAEAQLRIDSANMNDDITTSLRGLNKLAKILKKRRIEKGALTLSSPEVRFHMDSETHDPIDLQTKELRETNSMVEEFMLLANISVAKKIHEEFSEHALLRKHPAPPPSNYEILVKAARSRNLEIKTDTAKSLAESLDQAESPTFPYLNTLLRILATRCMMQAVYFCSGMDNDFHHYGLASPIYTHFTSPIRRYADVIVHRLLAVAIGADCTYPELTDKHKLADICKNLNFRHKMAQYAQRASVAFHTQLFFKSKGIVSEEAYILFVRKNAIVVLIPKYGLEGTVFFEEKDKPNPQLIYDDEIPSLKIEDTVFHVFDKVKVKIMLDSSNLQHQKIRMSLVEPQIPGISIPTDTSNMDLNGPKKKKMKLGK
+>DECOY_sp|Q9Y2L1|RRP44_HUMAN Exosome complex exonuclease RRP44 OS=Homo sapiens OX=9606 GN=DIS3 PE=1 SV=2
+KGLKMKKKKPGNLDMNSTDTPISIGPIQPEVLSMRIKQHQLNSSDLMIKVKVKDFVHFVTDEIKLSPIEDDYILQPNPKDKEEFFVTGELGYKPILVVIANKRVFLIYAEESVIGKSKFFLQTHFAVSARQAYQAMKHRFNLNKCIDALKHKDTLEPYTCDAGIAVALLRHVIVDAYRRIPSTFHTYIPSALGYHHFDNDMGSCFYVAQMMCRTALIRLLTNLYPFTPSEAQDLSEALSKATDTKIELNRSRAAKVLIEYNSPPPAPHKRLLAHESFEEHIKKAVSINALLMFEEVMSNTERLEKTQLDIPDHTESDMHFRVEPSSLTLAGKEIRRKKLIKALKNLGRLSTTIDDNMNASDIRLQAEAYTLSAKSNIVSKTFKTKLIEANHNMEWICSFALRDVDCKLSCLNSSLLEPVMDIRKECLYVTTGRRASEQDLANGPRIFHSVDAIHVGVELNGNELERCHLADDIDTCGPPDVSCICLHRLDERNKMDKETISWPMKPLFSLVAQSFPQHPVDHELLLVETETEKEGVDGLNRVFHGNPYRSNRPWGDIAVIIRRGELTSAQRTEIRIRPIRKDAPTFLHRRSEKIDSKSLMGCYPRWNRKIIGVVRGTPKLMKESVATKLMRETEEEKEVDEENQGEDHLVVSSPAVWQSKPLLEVAVIDEHVARNLHKLGQLIIEKNEENDGHIWVTAELYNERSARFTGQLYTGSKIGQQLKSLPLHESFIIKGSEIENGEESLCALRDILEPNATLSKVYEECTFAPIGEEIAKEKNRRDNTIFIVQLQNDASMKKLHENYWKAAVRIARDNRDNANEGQEQEVYTERHHENTFTYFHKEQNNTVDRIRKYVPASRNRVEQLVTQLVIVNRIAPDELVDIQHLLVNTDPLLYHPQPCVSSAPDQPQPELAPGEHAGGCAACGPAGCGIDDRLYHERVIKMVGGARTKKLFTKSKLM
+>sp|Q9Y3A4|RRP7A_HUMAN Ribosomal RNA-processing protein 7 homolog A OS=Homo sapiens OX=9606 GN=RRP7A PE=1 SV=2
+MVARRRKCAARDPEDRIPSPLGYAAIPIKFSEKQQASHYLYVRAHGVRQGTKSTWPQKRTLFVLNVPPYCTEESLSRLLSTCGLVQSVELQEKPDLAESPKESRSKFFHPKPVPGFQVAYVVFQKPSGVSAALALKGPLLVSTESHPVKSGIHKWISDYADSVPDPEALRVEVDTFMEAYDQKIAEEEAKAKEEEGVPDEEGWVKVTRRGRRPVLPRTEAASLRVLERERRKRSRKELLNFYAWQHRESKMEHLAQLRKKFEEDKQRIELLRAQRKFRPY
+>DECOY_sp|Q9Y3A4|RRP7A_HUMAN Ribosomal RNA-processing protein 7 homolog A OS=Homo sapiens OX=9606 GN=RRP7A PE=1 SV=2
+YPRFKRQARLLEIRQKDEEFKKRLQALHEMKSERHQWAYFNLLEKRSRKRRERELVRLSAAETRPLVPRRGRRTVKVWGEEDPVGEEEKAKAEEEAIKQDYAEMFTDVEVRLAEPDPVSDAYDSIWKHIGSKVPHSETSVLLPGKLALAASVGSPKQFVVYAVQFGPVPKPHFFKSRSEKPSEALDPKEQLEVSQVLGCTSLLRSLSEETCYPPVNLVFLTRKQPWTSKTGQRVGHARVYLYHSAQQKESFKIPIAAYGLPSPIRDEPDRAACKRRRAVM
+>sp|P62263|RS14_HUMAN 40S ribosomal protein S14 OS=Homo sapiens OX=9606 GN=RPS14 PE=1 SV=3
+MAPRKGKEKKEEQVISLGPQVAEGENVFGVCHIFASFNDTFVHVTDLSGKETICRVTGGMKVKADRDESSPYAAMLAAQDVAQRCKELGITALHIKLRATGGNRTKTPGPGAQSALRALARSGMKIGRIEDVTPIPSDSTRRKGGRRGRRL
+>DECOY_sp|P62263|RS14_HUMAN 40S ribosomal protein S14 OS=Homo sapiens OX=9606 GN=RPS14 PE=1 SV=3
+LRRGRRGGKRRTSDSPIPTVDEIRGIKMGSRALARLASQAGPGPTKTRNGGTARLKIHLATIGLEKCRQAVDQAALMAAYPSSEDRDAKVKMGGTVRCITEKGSLDTVHVFTDNFSAFIHCVGFVNEGEAVQPGLSIVQEEKKEKGKRPAM
+>sp|P62269|RS18_HUMAN 40S ribosomal protein S18 OS=Homo sapiens OX=9606 GN=RPS18 PE=1 SV=3
+MSLVIPEKFQHILRVLNTNIDGRRKIAFAITAIKGVGRRYAHVVLRKADIDLTKRAGELTEDEVERVITIMQNPRQYKIPDWFLNRQKDVKDGKYSQVLANGLDNKLREDLERLKKIRAHRGLRHFWGLRVRGQHTKTTGRRGRTVGVSKKK
+>DECOY_sp|P62269|RS18_HUMAN 40S ribosomal protein S18 OS=Homo sapiens OX=9606 GN=RPS18 PE=1 SV=3
+KKKSVGVTRGRRGTTKTHQGRVRLGWFHRLGRHARIKKLRELDERLKNDLGNALVQSYKGDKVDKQRNLFWDPIKYQRPNQMITIVREVEDETLEGARKTLDIDAKRLVVHAYRRGVGKIATIAFAIKRRGDINTNLVRLIHQFKEPIVLSM
+>sp|P39019|RS19_HUMAN 40S ribosomal protein S19 OS=Homo sapiens OX=9606 GN=RPS19 PE=1 SV=2
+MPGVTVKDVNQQEFVRALAAFLKKSGKLKVPEWVDTVKLAKHKELAPYDENWFYTRAASTARHLYLRGGAGVGSMTKIYGGRQRNGVMPSHFSRGSKSVARRVLQALEGLKMVEKDQDGGRKLTPQGQRDLDRIAGQVAAANKKH
+>DECOY_sp|P39019|RS19_HUMAN 40S ribosomal protein S19 OS=Homo sapiens OX=9606 GN=RPS19 PE=1 SV=2
+HKKNAAAVQGAIRDLDRQGQPTLKRGGDQDKEVMKLGELAQLVRRAVSKSGRSFHSPMVGNRQRGGYIKTMSGVGAGGRLYLHRATSAARTYFWNEDYPALEKHKALKVTDVWEPVKLKGSKKLFAALARVFEQQNVDKVTVGPM
+>sp|P62081|RS7_HUMAN 40S ribosomal protein S7 OS=Homo sapiens OX=9606 GN=RPS7 PE=1 SV=1
+MFSSSAKIVKPNGEKPDEFESGISQALLELEMNSDLKAQLRELNITAAKEIEVGGGRKAIIIFVPVPQLKSFQKIQVRLVRELEKKFSGKHVVFIAQRRILPKPTRKSRTKNKQKRPRSRTLTAVHDAILEDLVFPSEIVGKRIRVKLDGSRLIKVHLDKAQQNNVEHKVETFSGVYKKLTGKDVNFEFPEFQL
+>DECOY_sp|P62081|RS7_HUMAN 40S ribosomal protein S7 OS=Homo sapiens OX=9606 GN=RPS7 PE=1 SV=1
+LQFEPFEFNVDKGTLKKYVGSFTEVKHEVNNQQAKDLHVKILRSGDLKVRIRKGVIESPFVLDELIADHVATLTRSRPRKQKNKTRSKRTPKPLIRRQAIFVVHKGSFKKELERVLRVQIKQFSKLQPVPVFIIIAKRGGGVEIEKAATINLERLQAKLDSNMELELLAQSIGSEFEDPKEGNPKVIKASSSFM
+>sp|Q8IZC4|RTKN2_HUMAN Rhotekin-2 OS=Homo sapiens OX=9606 GN=RTKN2 PE=2 SV=1
+MEGPSLRGPALRLAGLPTQQDCNIQEKIDLEIRMREGIWKLLSLSTQKDQVLHAVKNLMVCNARLMAYTSELQKLEEQIANQTGRCDVKFESKERTACKGKIAISDIRIPLMWKDSDHFSNKERSRRYAIFCLFKMGANVFDTDVVNVDKTITDICFENVTIFNEAGPDFQIKVEVYSCCTEESSITNTPKKLAKKLKTSISKATGKKISSVLQEEDDEMCLLLSSAVFGVKYNLLAHTTLTLESAEDSFKTHNLSINGNEESSFWLPLYGNMCCRLVAQPACMAEDAFAGFLNQQQMVEGLISWRRLYCVLRGGKLYCFYSPEEIEAKVEPALVVPINKETRIRAMDKDAKKRIHNFSVINPVPGQAITQIFAVDNREDLQKWMEAFWQHFFDLSQWKHCCEELMKIEIMSPRKPPLFLTKEATSVYHDMSIDSPMKLESLTDIIQKKIEETNGQFLIGQHEESLPPPWATLFDGNHQMVIQKKVLYPASEPLHDEKGKKRQAPLPPSDKLPFSLKSQSNTDQLVKDNWGKTSVSQTSSLDTKLSTLMHHLQKPMAAPRKLLPARRNRLSDGEHTDTKTNFEAKPVPAPRQKSIKDILDPRSWLQAQV
+>DECOY_sp|Q8IZC4|RTKN2_HUMAN Rhotekin-2 OS=Homo sapiens OX=9606 GN=RTKN2 PE=2 SV=1
+VQAQLWSRPDLIDKISKQRPAPVPKAEFNTKTDTHEGDSLRNRRAPLLKRPAAMPKQLHHMLTSLKTDLSSTQSVSTKGWNDKVLQDTNSQSKLSFPLKDSPPLPAQRKKGKEDHLPESAPYLVKKQIVMQHNGDFLTAWPPPLSEEHQGILFQGNTEEIKKQIIDTLSELKMPSDISMDHYVSTAEKTLFLPPKRPSMIEIKMLEECCHKWQSLDFFHQWFAEMWKQLDERNDVAFIQTIAQGPVPNIVSFNHIRKKADKDMARIRTEKNIPVVLAPEVKAEIEEPSYFCYLKGGRLVCYLRRWSILGEVMQQQNLFGAFADEAMCAPQAVLRCCMNGYLPLWFSSEENGNISLNHTKFSDEASELTLTTHALLNYKVGFVASSLLLCMEDDEEQLVSSIKKGTAKSISTKLKKALKKPTNTISSEETCCSYVEVKIQFDPGAENFITVNEFCIDTITKDVNVVDTDFVNAGMKFLCFIAYRRSREKNSFHDSDKWMLPIRIDSIAIKGKCATREKSEFKVDCRGTQNAIQEELKQLESTYAMLRANCVMLNKVAHLVQDKQTSLSLLKWIGERMRIELDIKEQINCDQQTPLGALRLAPGRLSPGEM
+>sp|Q9BST9|RTKN_HUMAN Rhotekin OS=Homo sapiens OX=9606 GN=RTKN PE=1 SV=2
+MFSRNHRSRVTVARGSALEMEFKRGRFRLSLFSDLPEDTELQRKLDHEIRMREGACKLLAACSQREQALEATKSLLVCNSRILSYMGELQRRKEAQVLGKTSRRPSDSGPPAERSPCRGRVCISDLRIPLMWKDTEYFKNKGDLHRWAVFLLLQLGEHIQDTEMILVDRTLTDISFQSNVLFAEAGPDFELRLELYGACVEEEGALTGGPKRLATKLSSSLGRSSGRRVRASLDSAGGSGSSPILLPTPVVGGPRYHLLAHTTLTLAAVQDGFRTHDLTLASHEENPAWLPLYGSVCCRLAAQPLCMTQPTASGTLRVQQAGEMQNWAQVHGVLKGTNLFCYRQPEDADTGEEPLLTIAVNKETRVRAGELDQALGRPFTLSISNQYGDDEVTHTLQTESREALQSWMEALWQLFFDMSQWKQCCDEIMKIETPAPRKPPQALAKQGSLYHEMAIEPLDDIAAVTDILTQREGARLETPPPWLAMFTDQPALPNPCSPASVAPAPDWTHPLPWGRPRTFSLDAVPPDHSPRARSVAPLPPQRSPRTRGLCSKGQPRTWLQSPV
+>DECOY_sp|Q9BST9|RTKN_HUMAN Rhotekin OS=Homo sapiens OX=9606 GN=RTKN PE=1 SV=2
+VPSQLWTRPQGKSCLGRTRPSRQPPLPAVSRARPSHDPPVADLSFTRPRGWPLPHTWDPAPAVSAPSCPNPLAPQDTFMALWPPPTELRAGERQTLIDTVAAIDDLPEIAMEHYLSGQKALAQPPKRPAPTEIKMIEDCCQKWQSMDFFLQWLAEMWSQLAERSETQLTHTVEDDGYQNSISLTFPRGLAQDLEGARVRTEKNVAITLLPEEGTDADEPQRYCFLNTGKLVGHVQAWNQMEGAQQVRLTGSATPQTMCLPQAALRCCVSGYLPLWAPNEEHSALTLDHTRFGDQVAALTLTTHALLHYRPGGVVPTPLLIPSSGSGGASDLSARVRRGSSRGLSSSLKTALRKPGGTLAGEEEVCAGYLELRLEFDPGAEAFLVNSQFSIDTLTRDVLIMETDQIHEGLQLLLFVAWRHLDGKNKFYETDKWMLPIRLDSICVRGRCPSREAPPGSDSPRRSTKGLVQAEKRRQLEGMYSLIRSNCVLLSKTAELAQERQSCAALLKCAGERMRIEHDLKRQLETDEPLDSFLSLRFRGRKFEMELASGRAVTVRSRHNRSFM
+>sp|Q5HYW3|RTL5_HUMAN Retrotransposon Gag-like protein 5 OS=Homo sapiens OX=9606 GN=RTL5 PE=2 SV=1
+MSEASGNLNSLRMANVALREELNALRGENANLGLQLGRALAEVNSLRGNVSSYIRWPVPIVPVLAEENLEFALSEIEVIPGGELPFLCRPPPRAEPDCISDDLLINVIQDRSTPDGPADPPLLPIPPPPALPPPASKEPPPQPPLAPLERPEIEPFSGDPVYLAEFLMQLETFIADHEVHFPGGAERVAFLISFFTGEAKDWAISVTQEGSPLHANFPRFLDEIRKEFCGPIPPRVAKKAIRKLKQGHCTLGSYADAFQFLAQFLSWDDCRLQNQFLKGLSEFFRKELLWSTEMADLDELILECVEIERKVRVPKPIPLPGVRNIIFPFAPSPNEEESEDEEYYSEDEDQEARRHRLHSKDQRKRMRAFQQEMKEKEEEEMKKEEEMKKKEEKEEEEEEEMKQKEEEEEIRNKNEEEGESKDEEDEDEDGGQKPEGEPQQDPGTEETYGEVEEEPLDEAQDDDLDELMEMEPTFVHASSQTSGPTSGYHAENFLGASPPIIQPSRRRNQNRVPLLEGLPGTNSPFYSSPQLIRRTGRLGQRQVRRRPPVLFRLTPRQGGHRAARGRIRV
+>DECOY_sp|Q5HYW3|RTL5_HUMAN Retrotransposon Gag-like protein 5 OS=Homo sapiens OX=9606 GN=RTL5 PE=2 SV=1
+VRIRGRAARHGGQRPTLRFLVPPRRRVQRQGLRGTRRILQPSSYFPSNTGPLGELLPVRNQNRRRSPQIIPPSAGLFNEAHYGSTPGSTQSSAHVFTPEMEMLEDLDDDQAEDLPEEEVEGYTEETGPDQQPEGEPKQGGDEDEDEEDKSEGEEENKNRIEEEEEKQKMEEEEEEEKEEKKKMEEEKKMEEEEKEKMEQQFARMRKRQDKSHLRHRRAEQDEDESYYEEDESEEENPSPAFPFIINRVGPLPIPKPVRVKREIEVCELILEDLDAMETSWLLEKRFFESLGKLFQNQLRCDDWSLFQALFQFADAYSGLTCHGQKLKRIAKKAVRPPIPGCFEKRIEDLFRPFNAHLPSGEQTVSIAWDKAEGTFFSILFAVREAGGPFHVEHDAIFTELQMLFEALYVPDGSFPEIEPRELPALPPQPPPEKSAPPPLAPPPPIPLLPPDAPGDPTSRDQIVNILLDDSICDPEARPPPRCLFPLEGGPIVEIESLAFELNEEALVPVIPVPWRIYSSVNGRLSNVEALARGLQLGLNANEGRLANLEERLAVNAMRLSNLNGSAESM
+>sp|A6ZKI3|RTL8C_HUMAN Retrotransposon Gag-like protein 8B OS=Homo sapiens OX=9606 GN=RTL8C PE=1 SV=1
+MDGRVQLIKALLALPIRPATRRWRNPIPFPETFDGDTDRLPEFIVQTGSYMFVDENTFSSDALKVTFLITRLTGPALQWVIPYIKKESPLLNDYRGFLAEMKRVFGWEEDEDF
+>DECOY_sp|A6ZKI3|RTL8C_HUMAN Retrotransposon Gag-like protein 8B OS=Homo sapiens OX=9606 GN=RTL8C PE=1 SV=1
+FDEDEEWGFVRKMEALFGRYDNLLPSEKKIYPIVWQLAPGTLRTILFTVKLADSSFTNEDVFMYSGTQVIFEPLRDTDGDFTEPFPIPNRWRRTAPRIPLALLAKILQVRGDM
+>sp|P59025|RTP1_HUMAN Receptor-transporting protein 1 OS=Homo sapiens OX=9606 GN=RTP1 PE=2 SV=2
+MRIFRPWRLRCPALHLPSLSVFSLRWKLPSLTTDETMCKSVTTDEWKKVFYEKMEEAKPADSWDLIIDPNLKHNVLSPGWKQYLELHASGRFHCSWCWHTWQSPYVVILFHMFLDRAQRAGSVRMRVFKQLCYECGTARLDESSMLEENIEGLVDNLITSLREQCYGERGGQYRIHVASRQDNRRHRGEFCEACQEGIVHWKPSEKLLEEEATTYTFSRAPSPTKSQDQTGSGWNFCSIPWCLFWATVLLLIIYLQFSFRSSV
+>DECOY_sp|P59025|RTP1_HUMAN Receptor-transporting protein 1 OS=Homo sapiens OX=9606 GN=RTP1 PE=2 SV=2
+VSSRFSFQLYIILLLVTAWFLCWPISCFNWGSGTQDQSKTPSPARSFTYTTAEEELLKESPKWHVIGEQCAECFEGRHRRNDQRSAVHIRYQGGREGYCQERLSTILNDVLGEINEELMSSEDLRATGCEYCLQKFVRMRVSGARQARDLFMHFLIVVYPSQWTHWCWSCHFRGSAHLELYQKWGPSLVNHKLNPDIILDWSDAPKAEEMKEYFVKKWEDTTVSKCMTEDTTLSPLKWRLSFVSLSPLHLAPCRLRWPRFIRM
+>sp|Q86VV8|RTTN_HUMAN Rotatin OS=Homo sapiens OX=9606 GN=RTTN PE=1 SV=3
+MVLAGLIRKLGHQLAEIRERALKSILCKIEHNLICYADLIQERQLFLHLLEWFNFPSVPMKEEVLNLLSRLVKYPPAVQHLVDVGAVEFLSKLRSNVEPNLQAEIDGILDGLFLLPSEVPALSSASYQTNQTELSKNPEILTGYFPQDKSNFQQMEVPPRPVVNQTVKCLKFSTFPWLPLTTTDRHVLSSNESSLRSSNHTLIWNTCELLKDVIMQDFPAEIFLQRPKIVQSLLSLLKLAFGDGKHRLALQSVSCLQQLCMYLRNRLNFHRDPGFFSNKHDTVSQNSSLSYCHEARGTHHSQNPSPGSSSPRPSVVGRTGQRPRGDGQDWDAASSSGSSSHAHVNSRISVHSPLDMGHIDLPELETEDTLELQFQQLSLPQFCVSILESAVPLLRTGSRQVIIRVLELLTEDMTLIGEAISTDIWDDSSLFGIDMKEKLLLVLGALGETMCYHKSSISLEQPEVMLVHHRMAFISISLFAVRLLQTLLPVEKASEFLSEPMSTALFLLSLDMPISLEYPNIHEAVVAYLEQLNSENYSIYKRTAEAVYSIECTCNFLSDIGKEGEKNLLELVELADQALRSFSYHQHFPLIKEIISICSKIWKSAQASPLLQGESQKVLLHMLSHPLPRVKAETYHCCLEITKECLGVHNVTKPVSSLCNGIHFLLHPKVLYEISVFGIQEPESEVNTAAKAILLYLLQGRLMMTALTWNKFIESLCPVIPILQGYADTEDPLGNCILLLSKASSDTEEMLPCTTRLKSMLRLLLVKKPSVRSLALKLLAFHLTSEEGADTKRPLIDARVLSRVTDLFIGKKPIELRLDDRRELVIKLETVEKVYEIFTSDDVDLVLRKSAAEQLAVIMQDIKMHAVVKKLCLIDKIIEYLNECVSQDGKVVECLVQPCLTLLRKVLCGDPVMRVSLSQQSSLLTVLFRVSLIFHEDCSVVTEVGALFCLLLFDEVSRMDMWSVNPSNKPSLPSVFSLPVSVFRRYHLPVHVIGHHAVSPYSIVLPLSADCLALKPVSDMLRIAWNLSWYHGSDNLLKQMNSETKTQEILDALKLSTEDILTLKITHMASGLQDCLHSIVQAATHREVRAAVTRMSFYLLNDRLSLKGCPGPCGVTLKSLAWHTALNRFLQVLPACTEDEKLLIDIIHFLNKLIKEQRKNSSLELLNWILELLLRHSANPLLDLLVLTESQAREETDDIRTAVRQQLQKELIALFDTLLLNFMEVTDRKCSELLYVFQTQLALKLLQCLKVTDAPHFYGLPSLERTLRGMANLTAFPGWSSHSPLTKPLDICVKYLSGLLEVITSFYVERGGNAMSFMGKGVTKSTILCLLHLSHEMMAQAGSLEWMSLWFLPLGSHSEEHIPTQQGLAWLIPLWVDRDPEVRFTSLGLGSALTTLETGCVALANSCQNISGGLWGTVVNILLDQSECSMVRREAAFILQNLLVIPMPTEIIKDYTWQGPCVHDEDSGLSLIGKPALQALLYHCHFYEHLNQMVKHCYLGRCMFDLNFSAFDRNSESNDLNGLDDSFKFWRAPSRTSQDRDPSSLSTSETTVAPSLGSTEFQPLVQSTTLLPEASHDQFVAQGHQESTSPRPPHDSSLSAPLPKLCVFVTPSLLSAMCSLLDNLLTIAPRDTAKAFRQAHLIELLCSIADATLIQTCVQELRALLPSSPPAEHTQAQVSFLLEYLSSLSRLLQSCLLVEPDLVIQDELVKPLITNIIGILTICTKDVLDKELISAFYHTWTHLFNLLAMLLRKAGAITLPFVTVALAKHWTAAIDMFCTCAGLSATCPALYTASLQFLSVLLTEEAKGHLQAKSKTHLCCSPTVASLLDDSQENQKSLEQLSDVILQCYEGKSSKDILKRVAANALMSLLAVSRRAQKHALKANLIDNCMEQMKHINAQLNLDSLRPGKAALKKKEDGVIKELSIAMQLLRNCLYQNEECKEAALEAHLVPVLHSLWPWILMDDSLMQISLQLLCVYTANFPNGCSSLCWSSCGQHPVQATHRGAVSNSLMLCILKLASQMPLENTTVQQMVFMLLSNLALSHDCKGVIQKSNFLQNFLSLALPKGGNKHLSNLTILWLKLLLNISSGEDGQQMILRLDGCLDLLTEMSKYKHKSSPLLPLLIFHNVCFSPANKPKILANEKVITVLAACLESENQNAQRIGAAALWALIYNYQKAKTALKSPSVKRRVDEAYSLAKKTFPNSEANPLNAYYLKCLENLVQLLNSS
+>DECOY_sp|Q86VV8|RTTN_HUMAN Rotatin OS=Homo sapiens OX=9606 GN=RTTN PE=1 SV=3
+SSNLLQVLNELCKLYYANLPNAESNPFTKKALSYAEDVRRKVSPSKLATKAKQYNYILAWLAAAGIRQANQNESELCAALVTIVKENALIKPKNAPSFCVNHFILLPLLPSSKHKYKSMETLLDLCGDLRLIMQQGDEGSSINLLLKLWLITLNSLHKNGGKPLALSLFNQLFNSKQIVGKCDHSLALNSLLMFVMQQVTTNELPMQSALKLICLMLSNSVAGRHTAQVPHQGCSSWCLSSCGNPFNATYVCLLQLSIQMLSDDMLIWPWLSHLVPVLHAELAAEKCEENQYLCNRLLQMAISLEKIVGDEKKKLAAKGPRLSDLNLQANIHKMQEMCNDILNAKLAHKQARRSVALLSMLANAAVRKLIDKSSKGEYCQLIVDSLQELSKQNEQSDDLLSAVTPSCCLHTKSKAQLHGKAEETLLVSLFQLSATYLAPCTASLGACTCFMDIAATWHKALAVTVFPLTIAGAKRLLMALLNFLHTWTHYFASILEKDLVDKTCITLIGIINTILPKVLEDQIVLDPEVLLCSQLLRSLSSLYELLFSVQAQTHEAPPSSPLLARLEQVCTQILTADAISCLLEILHAQRFAKATDRPAITLLNDLLSCMASLLSPTVFVCLKPLPASLSSDHPPRPSTSEQHGQAVFQDHSAEPLLTTSQVLPQFETSGLSPAVTTESTSLSSPDRDQSTRSPARWFKFSDDLGNLDNSESNRDFASFNLDFMCRGLYCHKVMQNLHEYFHCHYLLAQLAPKGILSLGSDEDHVCPGQWTYDKIIETPMPIVLLNQLIFAAERRVMSCESQDLLINVVTGWLGGSINQCSNALAVCGTELTTLASGLGLSTFRVEPDRDVWLPILWALGQQTPIHEESHSGLPLFWLSMWELSGAQAMMEHSLHLLCLITSKTVGKGMFSMANGGREVYFSTIVELLGSLYKVCIDLPKTLPSHSSWGPFATLNAMGRLTRELSPLGYFHPADTVKLCQLLKLALQTQFVYLLESCKRDTVEMFNLLLTDFLAILEKQLQQRVATRIDDTEERAQSETLVLLDLLPNASHRLLLELIWNLLELSSNKRQEKILKNLFHIIDILLKEDETCAPLVQLFRNLATHWALSKLTVGCPGPCGKLSLRDNLLYFSMRTVAARVERHTAAQVISHLCDQLGSAMHTIKLTLIDETSLKLADLIEQTKTESNMQKLLNDSGHYWSLNWAIRLMDSVPKLALCDASLPLVISYPSVAHHGIVHVPLHYRRFVSVPLSFVSPLSPKNSPNVSWMDMRSVEDFLLLCFLAGVETVVSCDEHFILSVRFLVTLLSSQQSLSVRMVPDGCLVKRLLTLCPQVLCEVVKGDQSVCENLYEIIKDILCLKKVVAHMKIDQMIVALQEAASKRLVLDVDDSTFIEYVKEVTELKIVLERRDDLRLEIPKKGIFLDTVRSLVRADILPRKTDAGEESTLHFALLKLALSRVSPKKVLLLRLMSKLRTTCPLMEETDSSAKSLLLICNGLPDETDAYGQLIPIVPCLSEIFKNWTLATMMLRGQLLYLLIAKAATNVESEPEQIGFVSIEYLVKPHLLFHIGNCLSSVPKTVNHVGLCEKTIELCCHYTEAKVRPLPHSLMHLLVKQSEGQLLPSAQASKWIKSCISIIEKILPFHQHYSFSRLAQDALEVLELLNKEGEKGIDSLFNCTCEISYVAEATRKYISYNESNLQELYAVVAEHINPYELSIPMDLSLLFLATSMPESLFESAKEVPLLTQLLRVAFLSISIFAMRHHVLMVEPQELSISSKHYCMTEGLAGLVLLLKEKMDIGFLSSDDWIDTSIAEGILTMDETLLELVRIIVQRSGTRLLPVASELISVCFQPLSLQQFQLELTDETELEPLDIHGMDLPSHVSIRSNVHAHSSSGSSSAADWDQGDGRPRQGTRGVVSPRPSSSGPSPNQSHHTGRAEHCYSLSSNQSVTDHKNSFFGPDRHFNLRNRLYMCLQQLCSVSQLALRHKGDGFALKLLSLLSQVIKPRQLFIEAPFDQMIVDKLLECTNWILTHNSSRLSSENSSLVHRDTTTLPLWPFTSFKLCKVTQNVVPRPPVEMQQFNSKDQPFYGTLIEPNKSLETQNTQYSASSLAPVESPLLFLGDLIGDIEAQLNPEVNSRLKSLFEVAGVDVLHQVAPPYKVLRSLLNLVEEKMPVSPFNFWELLHLFLQREQILDAYCILNHEIKCLISKLARERIEALQHGLKRILGALVM
+>sp|P08579|RU2B_HUMAN U2 small nuclear ribonucleoprotein B'' OS=Homo sapiens OX=9606 GN=SNRPB2 PE=1 SV=1
+MDIRPNHTIYINNMNDKIKKEELKRSLYALFSQFGHVVDIVALKTMKMRGQAFVIFKELGSSTNALRQLQGFPFYGKPMRIQYAKTDSDIISKMRGTFADKEKKKEKKKAKTVEQTATTTNKKPGQGTPNSANTQGNSTPNPQVPDYPPNYILFLNNLPEETNEMMLSMLFNQFPGFKEVRLVPGRHDIAFVEFENDGQAGAARDALQGFKITPSHAMKITYAKK
+>DECOY_sp|P08579|RU2B_HUMAN U2 small nuclear ribonucleoprotein B'' OS=Homo sapiens OX=9606 GN=SNRPB2 PE=1 SV=1
+KKAYTIKMAHSPTIKFGQLADRAAGAQGDNEFEVFAIDHRGPVLRVEKFGPFQNFLMSLMMENTEEPLNNLFLIYNPPYDPVQPNPTSNGQTNASNPTGQGPKKNTTTATQEVTKAKKKEKKKEKDAFTGRMKSIIDSDTKAYQIRMPKGYFPFGQLQRLANTSSGLEKFIVFAQGRMKMTKLAVIDVVHGFQSFLAYLSRKLEEKKIKDNMNNIYITHNPRIDM
+>sp|Q92622|RUBIC_HUMAN Run domain Beclin-1-interacting and cysteine-rich domain-containing protein OS=Homo sapiens OX=9606 GN=RUBCN PE=1 SV=4
+MRPEGAGMELGGGEERLPEESRREHWQLLGNLKTTVEGLVSTNSPNVWSKYGGLERLCRDMQSILYHGLIRDQACRRQTDYWQFVKDIRWLSPHSALHVEKFISVHENDQSSADGASERAVAELWLQHSLQYHCLSAQLRPLLGDRQYIRKFYTDAAFLLSDAHVTAMLQCLEAVEQNNPRLLAQIDASMFARKHESPLLVTKSQSLTALPSSTYTPPNSYAQHSYFGSFSSLHQSVPNNGSERRSTSFPLSGPPRKPQESRGHVSPAEDQTIQAPPVSVSALARDSPLTPNEMSSSTLTSPIEASWVSSQNDSPGDASEGPEYLAIGNLDPRGRTASCQSHSSNAESSSSNLFSSSSSQKPDSAASSLGDQEGGGESQLSSVLRRSSFSEGQTLTVTSGAKKSHIRSHSDTSIASRGAPESCNDKAKLRGPLPYSGQSSEVSTPSSLYMEYEGGRYLCSGEGMFRRPSEGQSLISYLSEQDFGSCADLEKENAHFSISESLIAAIELMKCNMMSQCLEEEEVEEEDSDREIQELKQKIRLRRQQIRTKNLLPMYQEAEHGSFRVTSSSSQFSSRDSAQLSDSGSADEVDEFEIQDADIRRNTASSSKSFVSSQSFSHCFLHSTSAEAVAMGLLKQFEGMQLPAASELEWLVPEHDAPQKLLPIPDSLPISPDDGQHADIYKLRIRVRGNLEWAPPRPQIIFNVHPAPTRKIAVAKQNYRCAGCGIRTDPDYIKRLRYCEYLGKYFCQCCHENAQMAIPSRVLRKWDFSKYYVSNFSKDLLIKIWNDPLFNVQDINSALYRKVKLLNQVRLLRVQLCHMKNMFKTCRLAKELLDSFDTVPGHLTEDLHLYSLNDLTATRKGELGPRLAELTRAGATHVERCMLCQAKGFICEFCQNEDDIIFPFELHKCRTCEECKACYHKACFKSGSCPRCERLQARREALARQSLESYLSDYEEEPAEALALEAAVLEAT
+>DECOY_sp|Q92622|RUBIC_HUMAN Run domain Beclin-1-interacting and cysteine-rich domain-containing protein OS=Homo sapiens OX=9606 GN=RUBCN PE=1 SV=4
+TAELVAAELALAEAPEEEYDSLYSELSQRALAERRAQLRECRPCSGSKFCAKHYCAKCEECTRCKHLEFPFIIDDENQCFECIFGKAQCLMCREVHTAGARTLEALRPGLEGKRTATLDNLSYLHLDETLHGPVTDFSDLLEKALRCTKFMNKMHCLQVRLLRVQNLLKVKRYLASNIDQVNFLPDNWIKILLDKSFNSVYYKSFDWKRLVRSPIAMQANEHCCQCFYKGLYECYRLRKIYDPDTRIGCGACRYNQKAVAIKRTPAPHVNFIIQPRPPAWELNGRVRIRLKYIDAHQGDDPSIPLSDPIPLLKQPADHEPVLWELESAAPLQMGEFQKLLGMAVAEASTSHLFCHSFSQSSVFSKSSSATNRRIDADQIEFEDVEDASGSDSLQASDRSSFQSSSSTVRFSGHEAEQYMPLLNKTRIQQRRLRIKQKLEQIERDSDEEEVEEEELCQSMMNCKMLEIAAILSESISFHANEKELDACSGFDQESLYSILSQGESPRRFMGEGSCLYRGGEYEMYLSSPTSVESSQGSYPLPGRLKAKDNCSEPAGRSAISTDSHSRIHSKKAGSTVTLTQGESFSSRRLVSSLQSEGGGEQDGLSSAASDPKQSSSSSFLNSSSSEANSSHSQCSATRGRPDLNGIALYEPGESADGPSDNQSSVWSAEIPSTLTSSSMENPTLPSDRALASVSVPPAQITQDEAPSVHGRSEQPKRPPGSLPFSTSRRESGNNPVSQHLSSFSGFYSHQAYSNPPTYTSSPLATLSQSKTVLLPSEHKRAFMSADIQALLRPNNQEVAELCQLMATVHADSLLFAADTYFKRIYQRDGLLPRLQASLCHYQLSHQLWLEAVARESAGDASSQDNEHVSIFKEVHLASHPSLWRIDKVFQWYDTQRRCAQDRILGHYLISQMDRCLRELGGYKSWVNPSNTSVLGEVTTKLNGLLQWHERRSEEPLREEGGGLEMGAGEPRM
+>sp|Q9BVN2|RUSC1_HUMAN RUN and SH3 domain-containing protein 1 OS=Homo sapiens OX=9606 GN=RUSC1 PE=1 SV=3
+MLSPQRALLCNLNHIHLQHVSLGLHLSRRPELQEGPLSTPPPPGDTGGKESRGPCSGTLVDANSNSPAVPCRCCQEHGPGLENRQDPSQEEEGAASPSDPGCSSSLSSCSDLSPDESPVSVYLRDLPGDEDAHPQPSIIPLEQGSPLASAGPGTCSPDSFCCSPDSCSGASSSPDPGLDSNCNALTTCQDVPSPGLEEEDERAEQDLPTSELLEADDGKIDAGKTEPSWKINPIWKIDTEKTKAEWKTTENNNTGWKNNGNVNSSWKSEPEKFDSGWKTNTRITDSGSKTDAGKIDGGWRSDVSEEPVPHRTITSFHELAQKRKRGPGLPLVPQAKKDRSDWLIVFSPDTELPPSGSPGGSSAPPREVTTFKELRSRSRAPAPPVPPRDPPVGWALVPPRPPPPPVPPRRKKNRPGLQPIAEGQSEEGRAVSPAAGEEAPAAKEPGAQAGLEVRSSWSFAGVPGAQRLWMAEAQSGTGQLQEQKKGLLIAVSVSVDKIISHFGAARNLVQKAQLGDSRLSPDVGHLVLTTLCPALHALVADGLKPFRKDLITGQRRSSPWSVVEASVKPGSSTRSLGTLYSQVSRLAPLSSSRSRFHAFILGLLNTKQLELWFSSLQEDAGLLSLLYLPTGFFSLARGGCPSLSTELLLLLQPLSVLTFHLDLLFEHHHHLPLGPPQAPAPPGPPPALQQTMQAMLHFGGRLAQSLRGTSKEAASDPSDSPNLPTPGSWWEQLTQASRVYASGGTEGFPLSRWAPGRHGTAAEEGAQERPLPTDEMAPGRGLWLGRLFGVPGGPAENENGALKSRRPSSWLPPTVSVLALVKRGAPPEMPSPQELEASAPRMVQTHRAVRALCDHTAARPDQLSFRRGEVLRVITTVDEDWLRCGRDGMEGLVPVGYTSLVL
+>DECOY_sp|Q9BVN2|RUSC1_HUMAN RUN and SH3 domain-containing protein 1 OS=Homo sapiens OX=9606 GN=RUSC1 PE=1 SV=3
+LVLSTYGVPVLGEMGDRGCRLWDEDVTTIVRLVEGRRFSLQDPRAATHDCLARVARHTQVMRPASAELEQPSPMEPPAGRKVLALVSVTPPLWSSPRRSKLAGNENEAPGGPVGFLRGLWLGRGPAMEDTPLPREQAGEEAATGHRGPAWRSLPFGETGGSAYVRSAQTLQEWWSGPTPLNPSDSPDSAAEKSTGRLSQALRGGFHLMAQMTQQLAPPPGPPAPAQPPGLPLHHHHEFLLDLHFTLVSLPQLLLLLETSLSPCGGRALSFFGTPLYLLSLLGADEQLSSFWLELQKTNLLGLIFAHFRSRSSSLPALRSVQSYLTGLSRTSSGPKVSAEVVSWPSSRRQGTILDKRFPKLGDAVLAHLAPCLTTLVLHGVDPSLRSDGLQAKQVLNRAAGFHSIIKDVSVSVAILLGKKQEQLQGTGSQAEAMWLRQAGPVGAFSWSSRVELGAQAGPEKAAPAEEGAAPSVARGEESQGEAIPQLGPRNKKRRPPVPPPPPRPPVLAWGVPPDRPPVPPAPARSRSRLEKFTTVERPPASSGGPSGSPPLETDPSFVILWDSRDKKAQPVLPLGPGRKRKQALEHFSTITRHPVPEESVDSRWGGDIKGADTKSGSDTIRTNTKWGSDFKEPESKWSSNVNGNNKWGTNNNETTKWEAKTKETDIKWIPNIKWSPETKGADIKGDDAELLESTPLDQEAREDEEELGPSPVDQCTTLANCNSDLGPDPSSSAGSCSDPSCCFSDPSCTGPGASALPSGQELPIISPQPHADEDGPLDRLYVSVPSEDPSLDSCSSLSSSCGPDSPSAAGEEEQSPDQRNELGPGHEQCCRCPVAPSNSNADVLTGSCPGRSEKGGTDGPPPPTSLPGEQLEPRRSLHLGLSVHQLHIHNLNCLLARQPSLM
+>sp|Q9UJJ7|RUSD1_HUMAN RNA pseudouridylate synthase domain-containing protein 1 OS=Homo sapiens OX=9606 GN=RPUSD1 PE=1 SV=1
+MEPGSVENLSIVYRSRDFLVVNKHWDVRIDSKAWRETLTLQKQLRYRFPELADPDTCYGFRFCHQLDFSTSGALCVALNKAAAGSAYRCFKERRVTKAYLALLRGHIQESRVTISHAIGRNSTEGRAHTMCIEGSQGCENPKPSLTDLVVLEHGLYAGDPVSKVLLKPLTGRTHQLRVHCSALGHPVVGDLTYGEVSGREDRPFRMMLHAFYLRIPTDTECVEVCTPDPFLPSLDACWSPHTLLQSLDQLVQALRATPDPDPEDRGPRPGSPSALLPGPGRPPPPPTKPPETEAQRGPCLQWLSEWTLEPDS
+>DECOY_sp|Q9UJJ7|RUSD1_HUMAN RNA pseudouridylate synthase domain-containing protein 1 OS=Homo sapiens OX=9606 GN=RPUSD1 PE=1 SV=1
+SDPELTWESLWQLCPGRQAETEPPKTPPPPPRGPGPLLASPSGPRPGRDEPDPDPTARLAQVLQDLSQLLTHPSWCADLSPLFPDPTCVEVCETDTPIRLYFAHLMMRFPRDERGSVEGYTLDGVVPHGLASCHVRLQHTRGTLPKLLVKSVPDGAYLGHELVVLDTLSPKPNECGQSGEICMTHARGETSNRGIAHSITVRSEQIHGRLLALYAKTVRREKFCRYASGAAAKNLAVCLAGSTSFDLQHCFRFGYCTDPDALEPFRYRLQKQLTLTERWAKSDIRVDWHKNVVLFDRSRYVISLNEVSGPEM
+>sp|Q96CM3|RUSD4_HUMAN Mitochondrial RNA pseudouridine synthase RPUSD4 OS=Homo sapiens OX=9606 GN=RPUSD4 PE=1 SV=1
+MAAPRWSASGPWIRGNGQGCGSLFTLVSKPFCAAAAASTAINAQRLAEKLRAQKREQDTKKEPVSTNAVQRRVQEIVRFTRQLQRVHPNVLAKALTRGILHQDKNLVVINKPYGLPVHGGPGVQLCITDVLPILAKMLHGHKAEPLHLCHRLDKETTGVMVLAWDKDMAHQVQELFRTRQVVKKYWAITVHVPMPSAGVVDIPIVEKEAQGQQQHHKMTLSPSYRMDDGKMVKVRRSRNAQVAVTQYQVLSSTLSSALVELQPITGIKHQLRVHLSFGLDCPILGDHKYSDWNRLAPQKLSVGTLKKLGLEQSKARYIPLHLHARQLILPALGSGKEELNLVCKLPRFFVHSLHRLRLEMPNEDQNENNEAKCLGAQ
+>DECOY_sp|Q96CM3|RUSD4_HUMAN Mitochondrial RNA pseudouridine synthase RPUSD4 OS=Homo sapiens OX=9606 GN=RPUSD4 PE=1 SV=1
+QAGLCKAENNENQDENPMELRLRHLSHVFFRPLKCVLNLEEKGSGLAPLILQRAHLHLPIYRAKSQELGLKKLTGVSLKQPALRNWDSYKHDGLIPCDLGFSLHVRLQHKIGTIPQLEVLASSLTSSLVQYQTVAVQANRSRRVKVMKGDDMRYSPSLTMKHHQQQGQAEKEVIPIDVVGASPMPVHVTIAWYKKVVQRTRFLEQVQHAMDKDWALVMVGTTEKDLRHCLHLPEAKHGHLMKALIPLVDTICLQVGPGGHVPLGYPKNIVVLNKDQHLIGRTLAKALVNPHVRQLQRTFRVIEQVRRQVANTSVPEKKTDQERKQARLKEALRQANIATSAAAAACFPKSVLTFLSGCGQGNGRIWPGSASWRPAAM
+>sp|Q9Y265|RUVB1_HUMAN RuvB-like 1 OS=Homo sapiens OX=9606 GN=RUVBL1 PE=1 SV=1
+MKIEEVKSTTKTQRIASHSHVKGLGLDESGLAKQAASGLVGQENAREACGVIVELIKSKKMAGRAVLLAGPPGTGKTALALAIAQELGSKVPFCPMVGSEVYSTEIKKTEVLMENFRRAIGLRIKETKEVYEGEVTELTPCETENPMGGYGKTISHVIIGLKTAKGTKQLKLDPSIFESLQKERVEAGDVIYIEANSGAVKRQGRCDTYATEFDLEAEEYVPLPKGDVHKKKEIIQDVTLHDLDVANARPQGGQDILSMMGQLMKPKKTEITDKLRGEINKVVNKYIDQGIAELVPGVLFVDEVHMLDIECFTYLHRALESSIAPIVIFASNRGNCVIRGTEDITSPHGIPLDLLDRVMIIRTMLYTPQEMKQIIKIRAQTEGINISEEALNHLGEIGTKTTLRYSVQLLTPANLLAKINGKDSIEKEHVEEISELFYDAKSSAKILADQQDKYMK
+>DECOY_sp|Q9Y265|RUVB1_HUMAN RuvB-like 1 OS=Homo sapiens OX=9606 GN=RUVBL1 PE=1 SV=1
+KMYKDQQDALIKASSKADYFLESIEEVHEKEISDKGNIKALLNAPTLLQVSYRLTTKTGIEGLHNLAEESINIGETQARIKIIQKMEQPTYLMTRIIMVRDLLDLPIGHPSTIDETGRIVCNGRNSAFIVIPAISSELARHLYTFCEIDLMHVEDVFLVGPVLEAIGQDIYKNVVKNIEGRLKDTIETKKPKMLQGMMSLIDQGGQPRANAVDLDHLTVDQIIEKKKHVDGKPLPVYEEAELDFETAYTDCRGQRKVAGSNAEIYIVDGAEVREKQLSEFISPDLKLQKTGKATKLGIIVHSITKGYGGMPNETECPTLETVEGEYVEKTEKIRLGIARRFNEMLVETKKIETSYVESGVMPCFPVKSGLEQAIALALATKGTGPPGALLVARGAMKKSKILEVIVGCAERANEQGVLGSAAQKALGSEDLGLGKVHSHSAIRQTKTTSKVEEIKM
+>sp|P19793|RXRA_HUMAN Retinoic acid receptor RXR-alpha OS=Homo sapiens OX=9606 GN=RXRA PE=1 SV=1
+MDTKHFLPLDFSTQVNSSLTSPTGRGSMAAPSLHPSLGPGIGSPGQLHSPISTLSSPINGMGPPFSVISSPMGPHSMSVPTTPTLGFSTGSPQLSSPMNPVSSSEDIKPPLGLNGVLKVPAHPSGNMASFTKHICAICGDRSSGKHYGVYSCEGCKGFFKRTVRKDLTYTCRDNKDCLIDKRQRNRCQYCRYQKCLAMGMKREAVQEERQRGKDRNENEVESTSSANEDMPVERILEAELAVEPKTETYVEANMGLNPSSPNDPVTNICQAADKQLFTLVEWAKRIPHFSELPLDDQVILLRAGWNELLIASFSHRSIAVKDGILLATGLHVHRNSAHSAGVGAIFDRVLTELVSKMRDMQMDKTELGCLRAIVLFNPDSKGLSNPAEVEALREKVYASLEAYCKHKYPEQPGRFAKLLLRLPALRSIGLKCLEHLFFFKLIGDTPIDTFLMEMLEAPHQMT
+>DECOY_sp|P19793|RXRA_HUMAN Retinoic acid receptor RXR-alpha OS=Homo sapiens OX=9606 GN=RXRA PE=1 SV=1
+TMQHPAELMEMLFTDIPTDGILKFFFLHELCKLGISRLAPLRLLLKAFRGPQEPYKHKCYAELSAYVKERLAEVEAPNSLGKSDPNFLVIARLCGLETKDMQMDRMKSVLETLVRDFIAGVGASHASNRHVHLGTALLIGDKVAISRHSFSAILLENWGARLLIVQDDLPLESFHPIRKAWEVLTFLQKDAAQCINTVPDNPSSPNLGMNAEVYTETKPEVALEAELIREVPMDENASSTSEVENENRDKGRQREEQVAERKMGMALCKQYRCYQCRNRQRKDILCDKNDRCTYTLDKRVTRKFFGKCGECSYVGYHKGSSRDGCIACIHKTFSAMNGSPHAPVKLVGNLGLPPKIDESSSVPNMPSSLQPSGTSFGLTPTTPVSMSHPGMPSSIVSFPPGMGNIPSSLTSIPSHLQGPSGIGPGLSPHLSPAAMSGRGTPSTLSSNVQTSFDLPLFHKTDM
+>sp|P29034|S10A2_HUMAN Protein S100-A2 OS=Homo sapiens OX=9606 GN=S100A2 PE=1 SV=3
+MMCSSLEQALAVLVTTFHKYSCQEGDKFKLSKGEMKELLHKELPSFVGEKVDEEGLKKLMGSLDENSDQQVDFQEYAVFLALITVMCNDFFQGCPDRP
+>DECOY_sp|P29034|S10A2_HUMAN Protein S100-A2 OS=Homo sapiens OX=9606 GN=S100A2 PE=1 SV=3
+PRDPCGQFFDNCMVTILALFVAYEQFDVQQDSNEDLSGMLKKLGEEDVKEGVFSPLEKHLLEKMEGKSLKFKDGEQCSYKHFTTVLVALAQELSSCMM
+>sp|P26447|S10A4_HUMAN Protein S100-A4 OS=Homo sapiens OX=9606 GN=S100A4 PE=1 SV=1
+MACPLEKALDVMVSTFHKYSGKEGDKFKLNKSELKELLTRELPSFLGKRTDEAAFQKLMSNLDSNRDNEVDFQEYCVFLSCIAMMCNEFFEGFPDKQPRKK
+>DECOY_sp|P26447|S10A4_HUMAN Protein S100-A4 OS=Homo sapiens OX=9606 GN=S100A4 PE=1 SV=1
+KKRPQKDPFGEFFENCMMAICSLFVCYEQFDVENDRNSDLNSMLKQFAAEDTRKGLFSPLERTLLEKLESKNLKFKDGEKGSYKHFTSVMVDLAKELPCAM
+>sp|P80511|S10AC_HUMAN Protein S100-A12 OS=Homo sapiens OX=9606 GN=S100A12 PE=1 SV=2
+MTKLEEHLEGIVNIFHQYSVRKGHFDTLSKGELKQLLTKELANTIKNIKDKAVIDEIFQGLDANQDEQVDFQEFISLVAIALKAAHYHTHKE
+>DECOY_sp|P80511|S10AC_HUMAN Protein S100-A12 OS=Homo sapiens OX=9606 GN=S100A12 PE=1 SV=2
+EKHTHYHAAKLAIAVLSIFEQFDVQEDQNADLGQFIEDIVAKDKINKITNALEKTLLQKLEGKSLTDFHGKRVSYQHFINVIGELHEELKTM
+>sp|P55017|S12A3_HUMAN Solute carrier family 12 member 3 OS=Homo sapiens OX=9606 GN=SLC12A3 PE=1 SV=3
+MAELPTTETPGDATLCSGRFTISTLLSSDEPSPPAAYDSSHPSHLTHSSTFCMRTFGYNTIDVVPTYEHYANSTQPGEPRKVRPTLADLHSFLKQEGRHLHALAFDSRPSHEMTDGLVEGEAGTSSEKNPEEPVRFGWVKGVMIRCMLNIWGVILYLRLPWITAQAGIVLTWIIILLSVTVTSITGLSISAISTNGKVKSGGTYFLISRSLGPELGGSIGLIFAFANAVGVAMHTVGFAETVRDLLQEYGAPIVDPINDIRIIAVVSVTVLLAISLAGMEWESKAQVLFFLVIMVSFANYLVGTLIPPSEDKASKGFFSYRADIFVQNLVPDWRGPDGTFFGMFSIFFPSATGILAGANISGDLKDPAIAIPKGTLMAIFWTTISYLAISATIGSCVVRDASGVLNDTVTPGWGACEGLACSYGWNFTECTQQHSCHYGLINYYQTMSMVSGFAPLITAGIFGATLSSALACLVSAAKVFQCLCEDQLYPLIGFFGKGYGKNKEPVRGYLLAYAIAVAFIIIAELNTIAPIISNFFLCSYALINFSCFHASITNSPGWRPSFQYYNKWAALFGAIISVVIMFLLTWWAALIAIGVVLFLLLYVIYKKPEVNWGSSVQAGSYNLALSYSVGLNEVEDHIKNYRPQCLVLTGPPNFRPALVDFVGTFTRNLSLMICGHVLIGPHKQRMPELQLIANGHTKWLNKRKIKAFYSDVIAEDLRRGVQILMQAAGLGRMKPNILVVGFKKNWQSAHPATVEDYIGILHDAFDFNYGVCVMRMREGLNVSKMMQAHINPVFDPAEDGKEASARVDPKALVKEEQATTIFQSEQGKKTIDIYWLFDDGGLTLLIPYLLGRKRRWSKCKIRVFVGGQINRMDQERKAIISLLSKFRLGFHEVHILPDINQNPRAEHTKRFEDMIAPFRLNDGFKDEATVNEMRRDCPWKISDEEITKNRVKSLRQVRLNEIVLDYSRDAALIVITLPIGRKGKCPSSLYMAWLETLSQDLRPPVILIRGNQENVLTFYCQ
+>DECOY_sp|P55017|S12A3_HUMAN Solute carrier family 12 member 3 OS=Homo sapiens OX=9606 GN=SLC12A3 PE=1 SV=3
+QCYFTLVNEQNGRILIVPPRLDQSLTELWAMYLSSPCKGKRGIPLTIVILAADRSYDLVIENLRVQRLSKVRNKTIEEDSIKWPCDRRMENVTAEDKFGDNLRFPAIMDEFRKTHEARPNQNIDPLIHVEHFGLRFKSLLSIIAKREQDMRNIQGGVFVRIKCKSWRRKRGLLYPILLTLGGDDFLWYIDITKKGQESQFITTAQEEKVLAKPDVRASAEKGDEAPDFVPNIHAQMMKSVNLGERMRMVCVGYNFDFADHLIGIYDEVTAPHASQWNKKFGVVLINPKMRGLGAAQMLIQVGRRLDEAIVDSYFAKIKRKNLWKTHGNAILQLEPMRQKHPGILVHGCIMLSLNRTFTGVFDVLAPRFNPPGTLVLCQPRYNKIHDEVENLGVSYSLALNYSGAQVSSGWNVEPKKYIVYLLLFLVVGIAILAAWWTLLFMIVVSIIAGFLAAWKNYYQFSPRWGPSNTISAHFCSFNILAYSCLFFNSIIPAITNLEAIIIFAVAIAYALLYGRVPEKNKGYGKGFFGILPYLQDECLCQFVKAASVLCALASSLTAGFIGATILPAFGSVMSMTQYYNILGYHCSHQQTCETFNWGYSCALGECAGWGPTVTDNLVGSADRVVCSGITASIALYSITTWFIAMLTGKPIAIAPDKLDGSINAGALIGTASPFFISFMGFFTGDPGRWDPVLNQVFIDARYSFFGKSAKDESPPILTGVLYNAFSVMIVLFFLVQAKSEWEMGALSIALLVTVSVVAIIRIDNIPDVIPAGYEQLLDRVTEAFGVTHMAVGVANAFAFILGISGGLEPGLSRSILFYTGGSKVKGNTSIASISLGTISTVTVSLLIIIWTLVIGAQATIWPLRLYLIVGWINLMCRIMVGKVWGFRVPEEPNKESSTGAEGEVLGDTMEHSPRSDFALAHLHRGEQKLFSHLDALTPRVKRPEGPQTSNAYHEYTPVVDITNYGFTRMCFTSSHTLHSPHSSDYAAPPSPEDSSLLTSITFRGSCLTADGPTETTPLEAM
+>sp|Q9UP95|S12A4_HUMAN Solute carrier family 12 member 4 OS=Homo sapiens OX=9606 GN=SLC12A4 PE=1 SV=2
+MPHFTVVPVDGPRRGDYDNLEGLSWVDYGERAELDDSDGHGNHRESSPFLSPLEASRGIDYYDRNLALFEEELDIRPKVSSLLGKLVSYTNLTQGAKEHEEAESGEGTRRRAAEAPSMGTLMGVYLPCLQNIFGVILFLRLTWMVGTAGVLQALLIVLICCCCTLLTAISMSAIATNGVVPAGGSYFMISRSLGPEFGGAVGLCFYLGTTFAAAMYILGAIEILLTYIAPPAAIFYPSGAHDTSNATLNNMRVYGTIFLTFMTLVVFVGVKYVNKFASLFLACVIISILSIYAGGIKSIFDPPVFPVCMLGNRTLSRDQFDICAKTAVVDNETVATQLWSFFCHSPNLTTDSCDPYFMLNNVTEIPGIPGAAAGVLQENLWSAYLEKGDIVEKHGLPSADAPSLKESLPLYVVADIATSFTVLVGIFFPSVTGIMAGSNRSGDLRDAQKSIPVGTILAIITTSLVYFSSVVLFGACIEGVVLRDKYGDGVSRNLVVGTLAWPSPWVIVIGSFFSTCGAGLQSLTGAPRLLQAIAKDNIIPFLRVFGHGKVNGEPTWALLLTALIAELGILIASLDMVAPILSMFFLMCYLFVNLACAVQTLLRTPNWRPRFKYYHWALSFLGMSLCLALMFVSSWYYALVAMLIAGMIYKYIEYQGAEKEWGDGIRGLSLSAARYALLRLEEGPPHTKNWRPQLLVLLKLDEDLHVKYPRLLTFASQLKAGKGLTIVGSVIQGSFLESYGEAQAAEQTIKNMMEIEKVKGFCQVVVASKVREGLAHLIQSCGLGGMRHNSVVLGWPYGWRQSEDPRAWKTFIDTVRCTTAAHLALLVPKNIAFYPSNHERYLEGHIDVWWIVHDGGMLMLLPFLLRQHKVWRKCRMRIFTVAQMDDNSIQMKKDLAVFLYHLRLEAEVEVVEMHNSDISAYTYERTLMMEQRSQMLRQMRLTKTEREREAQLVKDRHSALRLESLYSDEEDESAVGADKIQMTWTRDKYMTETWDPSHAPDNFRELVHIKPDQSNVRRMHTAVKLNEVIVTRSHDARLVLLNMPGPPRNSEGDENYMEFLEVLTEGLERVLLVRGGGREVITIYS
+>DECOY_sp|Q9UP95|S12A4_HUMAN Solute carrier family 12 member 4 OS=Homo sapiens OX=9606 GN=SLC12A4 PE=1 SV=2
+SYITIVERGGGRVLLVRELGETLVELFEMYNEDGESNRPPGPMNLLVLRADHSRTVIVENLKVATHMRRVNSQDPKIHVLERFNDPAHSPDWTETMYKDRTWTMQIKDAGVASEDEEDSYLSELRLASHRDKVLQAERERETKTLRMQRLMQSRQEMMLTREYTYASIDSNHMEVVEVEAELRLHYLFVALDKKMQISNDDMQAVTFIRMRCKRWVKHQRLLFPLLMLMGGDHVIWWVDIHGELYREHNSPYFAINKPVLLALHAATTCRVTDIFTKWARPDESQRWGYPWGLVVSNHRMGGLGCSQILHALGERVKSAVVVQCFGKVKEIEMMNKITQEAAQAEGYSELFSGQIVSGVITLGKGAKLQSAFTLLRPYKVHLDEDLKLLVLLQPRWNKTHPPGEELRLLAYRAASLSLGRIGDGWEKEAGQYEIYKYIMGAILMAVLAYYWSSVFMLALCLSMGLFSLAWHYYKFRPRWNPTRLLTQVACALNVFLYCMLFFMSLIPAVMDLSAILIGLEAILATLLLAWTPEGNVKGHGFVRLFPIINDKAIAQLLRPAGTLSQLGAGCTSFFSGIVIVWPSPWALTGVVLNRSVGDGYKDRLVVGEICAGFLVVSSFYVLSTTIIALITGVPISKQADRLDGSRNSGAMIGTVSPFFIGVLVTFSTAIDAVVYLPLSEKLSPADASPLGHKEVIDGKELYASWLNEQLVGAAAGPIGPIETVNNLMFYPDCSDTTLNPSHCFFSWLQTAVTENDVVATKACIDFQDRSLTRNGLMCVPFVPPDFISKIGGAYISLISIIVCALFLSAFKNVYKVGVFVVLTMFTLFITGYVRMNNLTANSTDHAGSPYFIAAPPAIYTLLIEIAGLIYMAAAFTTGLYFCLGVAGGFEPGLSRSIMFYSGGAPVVGNTAIASMSIATLLTCCCCILVILLAQLVGATGVMWTLRLFLIVGFINQLCPLYVGMLTGMSPAEAARRRTGEGSEAEEHEKAGQTLNTYSVLKGLLSSVKPRIDLEEEFLALNRDYYDIGRSAELPSLFPSSERHNGHGDSDDLEAREGYDVWSLGELNDYDGRRPGDVPVVTFHPM
+>sp|A0AV02|S12A8_HUMAN Solute carrier family 12 member 8 OS=Homo sapiens OX=9606 GN=SLC12A8 PE=2 SV=4
+MTQMSQVQELFHEAAQQDALAQPQPWWKTQLFMWEPVLFGTWDGVFTSCMINIFGVVLFLRTGWLVGNTGVLLGMFLVSFVILVALVTVLSGIGVGERSSIGSGGVYSMISSVLGGQTGGTIGLLYVFGQCVAGAMYITGFAESISDLLGLGNIWAVRGISVAVLLALLGINLAGVKWIIRLQLLLLFLLAVSTLDFVVGSFTHLDPEHGFIGYSPELLQNNTLPDYSPGESFFTVFGVFFPAATGVMAGFNMGGDLREPAASIPLGSLAAVGISWFLYIIFVFLLGAICTREALRYDFLIAEKVSLMGFLFLLGLYISSLASCMGGLYGAPRILQCIAQEKVIPALACLGQGKGPNKTPVAAICLTSLVTMAFVFVGQVNVLAPIVTINFMLTYVAVDYSYFSLSMCSCSLTPVPEPVLREGAEGLHCSEHLLLEKAPSYGSEGPAQRVLEGTLLEFTKDMDQLLQLTRKLESSQPRQGEGNRTPESQKRKSKKATKQTLQDSFLLDLKSPPSFPVEISDRLPAASWEGQESCWNKQTSKSEGTQPEGTYGEQLVPELCNQSESSGEDFFLKSRLQEQDVWRRSTSFYTHMCNPWVSLLGAVGSLLIMFVIQWVYTLVNMGVAAIVYFYIGRASPGLHLGSASNFSFFRWMRSLLLPSCRSLRSPQEQIILAPSLAKVDMEMTQLTQENADFATRDRYHHSSLVNREQLMPHY
+>DECOY_sp|A0AV02|S12A8_HUMAN Solute carrier family 12 member 8 OS=Homo sapiens OX=9606 GN=SLC12A8 PE=2 SV=4
+YHPMLQERNVLSSHHYRDRTAFDANEQTLQTMEMDVKALSPALIIQEQPSRLSRCSPLLLSRMWRFFSFNSASGLHLGPSARGIYFYVIAAVGMNVLTYVWQIVFMILLSGVAGLLSVWPNCMHTYFSTSRRWVDQEQLRSKLFFDEGSSESQNCLEPVLQEGYTGEPQTGESKSTQKNWCSEQGEWSAAPLRDSIEVPFSPPSKLDLLFSDQLTQKTAKKSKRKQSEPTRNGEGQRPQSSELKRTLQLLQDMDKTFELLTGELVRQAPGESGYSPAKELLLHESCHLGEAGERLVPEPVPTLSCSCMSLSFYSYDVAVYTLMFNITVIPALVNVQGVFVFAMTVLSTLCIAAVPTKNPGKGQGLCALAPIVKEQAICQLIRPAGYLGGMCSALSSIYLGLLFLFGMLSVKEAILFDYRLAERTCIAGLLFVFIIYLFWSIGVAALSGLPISAAPERLDGGMNFGAMVGTAAPFFVGFVTFFSEGPSYDPLTNNQLLEPSYGIFGHEPDLHTFSGVVFDLTSVALLFLLLLQLRIIWKVGALNIGLLALLVAVSIGRVAWINGLGLLDSISEAFGTIYMAGAVCQGFVYLLGITGGTQGGLVSSIMSYVGGSGISSREGVGIGSLVTVLAVLIVFSVLFMGLLVGTNGVLWGTRLFLVVGFINIMCSTFVGDWTGFLVPEWMFLQTKWWPQPQALADQQAAEHFLEQVQSMQTM
+>sp|Q9BXP2|S12A9_HUMAN Solute carrier family 12 member 9 OS=Homo sapiens OX=9606 GN=SLC12A9 PE=1 SV=1
+MASESSPLLAYRLLGEEGVALPANGAGGPGGASARKLSTFLGVVVPTVLSMFSIVVFLRIGFVVGHAGLLQALAMLLVAYFILALTVLSVCAIATNGAVQGGGAYFMISRTLGPEVGGSIGLMFYLANVCGCAVSLLGLVESVLDVFGADATGPSGLRVLPQGYGWNLLYGSLLLGLVGGVCTLGAGLYARASFLTFLLVSGSLASVLISFVAVGPRDIRLTPRPGPNGSSLPPRFGHFTGFNSSTLKDNLGAGYAEDYTTGAVMNFASVFAVLFNGCTGIMAGANMSGELKDPSRAIPLGTIVAVAYTFFVYVLLFFLSSFTCDRTLLQEDYGFFRAISLWPPLVLIGIYATALSASMSSLIGASRILHALARDDLFGVILAPAKVVSRGGNPWAAVLYSWGLVQLVLLAGKLNTLAAVVTVFYLVAYAAVDLSCLSLEWASAPNFRPTFSLFSWHTCLLGVASCLLMMFLISPGAAGGSLLLMGLLAALLTARGGPSSWGYVSQALLFHQVRKYLLRLDVRKDHVKFWRPQLLLLVGNPRGALPLLRLANQLKKGGLYVLGHVTLGDLDSLPSDPVQPQYGAWLSLVDRAQVKAFVDLTLSPSVRQGAQHLLRISGLGGMKPNTLVLGFYDDAPPQDHFLTDPAFSEPADSTREGSSPALSTLFPPPRAPGSPRALNPQDYVATVADALKMNKNVVLARASGALPPERLSRGSGGTSQLHHVDVWPLNLLRPRGGPGYVDVCGLFLLQMATILGMVPAWHSARLRIFLCLGPREAPGAAEGRLRALLSQLRIRAEVQEVVWGEGAGAGEPEAEEEGDFVNSGRGDAEAEALARSANALVRAQQGRGTGGGPGGPEGGDAEGPITALTFLYLPRPPADPARYPRYLALLETLTRDLGPTLLVHGVTPVTCTDL
+>DECOY_sp|Q9BXP2|S12A9_HUMAN Solute carrier family 12 member 9 OS=Homo sapiens OX=9606 GN=SLC12A9 PE=1 SV=1
+LDTCTVPTVGHVLLTPGLDRTLTELLALYRPYRAPDAPPRPLYLFTLATIPGEADGGEPGGPGGGTGRGQQARVLANASRALAEAEADGRGSNVFDGEEEAEPEGAGAGEGWVVEQVEARIRLQSLLARLRGEAAGPAERPGLCLFIRLRASHWAPVMGLITAMQLLFLGCVDVYGPGGRPRLLNLPWVDVHHLQSTGGSGRSLREPPLAGSARALVVNKNMKLADAVTAVYDQPNLARPSGPARPPPFLTSLAPSSGERTSDAPESFAPDTLFHDQPPADDYFGLVLTNPKMGGLGSIRLLHQAGQRVSPSLTLDVFAKVQARDVLSLWAGYQPQVPDSPLSDLDGLTVHGLVYLGGKKLQNALRLLPLAGRPNGVLLLLQPRWFKVHDKRVDLRLLYKRVQHFLLAQSVYGWSSPGGRATLLAALLGMLLLSGGAAGPSILFMMLLCSAVGLLCTHWSFLSFTPRFNPASAWELSLCSLDVAAYAVLYFVTVVAALTNLKGALLVLQVLGWSYLVAAWPNGGRSVVKAPALIVGFLDDRALAHLIRSAGILSSMSASLATAYIGILVLPPWLSIARFFGYDEQLLTRDCTFSSLFFLLVYVFFTYAVAVITGLPIARSPDKLEGSMNAGAMIGTCGNFLVAFVSAFNMVAGTTYDEAYGAGLNDKLTSSNFGTFHGFRPPLSSGNPGPRPTLRIDRPGVAVFSILVSALSGSVLLFTLFSARAYLGAGLTCVGGVLGLLLSGYLLNWGYGQPLVRLGSPGTADAGFVDLVSEVLGLLSVACGCVNALYFMLGISGGVEPGLTRSIMFYAGGGQVAGNTAIACVSLVTLALIFYAVLLMALAQLLGAHGVVFGIRLFVVISFMSLVTPVVVGLFTSLKRASAGGPGGAGNAPLAVGEEGLLRYALLPSSESAM
+>sp|Q86YT5|S13A5_HUMAN Solute carrier family 13 member 5 OS=Homo sapiens OX=9606 GN=SLC13A5 PE=1 SV=1
+MASALSYVSKFKSFVILFVTPLLLLPLVILMPAKFVRCAYVIILMAIYWCTEVIPLAVTSLMPVLLFPLFQILDSRQVCVQYMKDTNMLFLGGLIVAVAVERWNLHKRIALRTLLWVGAKPARLMLGFMGVTALLSMWISNTATTAMMVPIVEAILQQMEATSAATEAGLELVDKGKAKELPGSQVIFEGPTLGQQEDQERKRLCKAMTLCICYAASIGGTATLTGTGPNVVLLGQMNELFPDSKDLVNFASWFAFAFPNMLVMLLFAWLWLQFVYMRFNFKKSWGCGLESKKNEKAALKVLQEEYRKLGPLSFAEINVLICFFLLVILWFSRDPGFMPGWLTVAWVEGETKYVSDATVAIFVATLLFIVPSQKPKFNFRSQTEEERKTPFYPPPLLDWKVTQEKVPWGIVLLLGGGFALAKGSEASGLSVWMGKQMEPLHAVPPAAITLILSLLVAVFTECTSNVATTTLFLPIFASMSRSIGLNPLYIMLPCTLSASFAFMLPVATPPNAIVFTYGHLKVADMVKTGVIMNIIGVFCVFLAVNTWGRAIFDLDHFPDWANVTHIET
+>DECOY_sp|Q86YT5|S13A5_HUMAN Solute carrier family 13 member 5 OS=Homo sapiens OX=9606 GN=SLC13A5 PE=1 SV=1
+TEIHTVNAWDPFHDLDFIARGWTNVALFVCFVGIINMIVGTKVMDAVKLHGYTFVIANPPTAVPLMFAFSASLTCPLMIYLPNLGISRSMSAFIPLFLTTTAVNSTCETFVAVLLSLILTIAAPPVAHLPEMQKGMWVSLGSAESGKALAFGGGLLLVIGWPVKEQTVKWDLLPPPYFPTKREEETQSRFNFKPKQSPVIFLLTAVFIAVTADSVYKTEGEVWAVTLWGPMFGPDRSFWLIVLLFFCILVNIEAFSLPGLKRYEEQLVKLAAKENKKSELGCGWSKKFNFRMYVFQLWLWAFLLMVLMNPFAFAFWSAFNVLDKSDPFLENMQGLLVVNPGTGTLTATGGISAAYCICLTMAKCLRKREQDEQQGLTPGEFIVQSGPLEKAKGKDVLELGAETAASTAEMQQLIAEVIPVMMATTATNSIWMSLLATVGMFGLMLRAPKAGVWLLTRLAIRKHLNWREVAVAVILGGLFLMNTDKMYQVCVQRSDLIQFLPFLLVPMLSTVALPIVETCWYIAMLIIVYACRVFKAPMLIVLPLLLLPTVFLIVFSKFKSVYSLASAM
+>sp|O76054|S14L2_HUMAN SEC14-like protein 2 OS=Homo sapiens OX=9606 GN=SEC14L2 PE=1 SV=1
+MSGRVGDLSPRQKEALAKFRENVQDVLPALPNPDDYFLLRWLRARSFDLQKSEAMLRKHVEFRKQKDIDNIISWQPPEVIQQYLSGGMCGYDLDGCPVWYDIIGPLDAKGLLFSASKQDLLRTKMRECELLLQECAHQTTKLGRKVETITIIYDCEGLGLKHLWKPAVEAYGEFLCMFEENYPETLKRLFVVKAPKLFPVAYNLIKPFLSEDTRKKIMVLGANWKEVLLKHISPDQVPVEYGGTMTDPDGNPKCKSKINYGGDIPRKYYVRDQVKQQYEHSVQISRGSSHQVEYEILFPGCVLRWQFMSDGADVGFGIFLKTKMGERQRAGEMTEVLPNQRYNSHLVPEDGTLTCSDPGIYVLRFDNTYSFIHAKKVNFTVEVLLPDKASEEKMKQLGAGTPK
+>DECOY_sp|O76054|S14L2_HUMAN SEC14-like protein 2 OS=Homo sapiens OX=9606 GN=SEC14L2 PE=1 SV=1
+KPTGAGLQKMKEESAKDPLLVEVTFNVKKAHIFSYTNDFRLVYIGPDSCTLTGDEPVLHSNYRQNPLVETMEGARQREGMKTKLFIGFGVDAGDSMFQWRLVCGPFLIEYEVQHSSGRSIQVSHEYQQKVQDRVYYKRPIDGGYNIKSKCKPNGDPDTMTGGYEVPVQDPSIHKLLVEKWNAGLVMIKKRTDESLFPKILNYAVPFLKPAKVVFLRKLTEPYNEEFMCLFEGYAEVAPKWLHKLGLGECDYIITITEVKRGLKTTQHACEQLLLECERMKTRLLDQKSASFLLGKADLPGIIDYWVPCGDLDYGCMGGSLYQQIVEPPQWSIINDIDKQKRFEVHKRLMAESKQLDFSRARLWRLLFYDDPNPLAPLVDQVNERFKALAEKQRPSLDGVRGSM
+>sp|O43304|S14L5_HUMAN SEC14-like protein 5 OS=Homo sapiens OX=9606 GN=SEC14L5 PE=1 SV=3
+MVQRYQSPVRVYKYPFELVMAAYEKRFPTCPQIPVFLGSEVLRESRSPDGAVHVVERSCRLRVDAPRLLRKIAGVEHVVFVQTNILNWKERTLLIEAHNETFANRVVVNEHCSYTVHPENEDWTCFEQSASLDIRSFFGFENALEKIAMKQYTANVKRGKEVIEHYLNELISQGTSHIPRWTPAPVREEDARNQAGPRDPSSLEAHGPRSTLGPALEAVSMDGDKLDADYIERCLGHLTPMQESCLIQLRHWLQETHKGKIPKDEHILRFLRAHDFHLDKAREMLRQSLSWRKQHQVDLLLQTWQPPALLEEFYAGGWHYQDIDGRPLYILRLGQMDTKGLMKAVGEEALLRHVLSVNEEGQKRCEGSTRQLGRPISSWTCLLDLEGLNMRHLWRPGVKALLRMIEVVEDNYPETLGRLLIVRAPRVFPVLWTLISPFINENTRRKFLIYSGSNYQGPGGLVDYLDREVIPDFLGGESVCNVPEGGLVPKSLYMTEEEQEHTDQLWQWSETYHSASVLRGAPHEVAVEILEGESVITWDFDILRGDVVFSLYHTKQAPRLGAREPGTRASGQLIDKGWVLGRDYSRVEAPLVCREGESIQGSHVTRWPGVYLLQWQMHSPPSSVACSLPGVDDVLTALHSPGPKCKLLYYCEVLASEDFRGSMSSLESCTSGFSQLSAATSSSSSGQSHSSSLVSR
+>DECOY_sp|O43304|S14L5_HUMAN SEC14-like protein 5 OS=Homo sapiens OX=9606 GN=SEC14L5 PE=1 SV=3
+RSVLSSSHSQGSSSSSTAASLQSFGSTCSELSSMSGRFDESALVECYYLLKCKPGPSHLATLVDDVGPLSCAVSSPPSHMQWQLLYVGPWRTVHSGQISEGERCVLPAEVRSYDRGLVWGKDILQGSARTGPERAGLRPAQKTHYLSFVVDGRLIDFDWTIVSEGELIEVAVEHPAGRLVSASHYTESWQWLQDTHEQEEETMYLSKPVLGGEPVNCVSEGGLFDPIVERDLYDVLGGPGQYNSGSYILFKRRTNENIFPSILTWLVPFVRPARVILLRGLTEPYNDEVVEIMRLLAKVGPRWLHRMNLGELDLLCTWSSIPRGLQRTSGECRKQGEENVSLVHRLLAEEGVAKMLGKTDMQGLRLIYLPRGDIDQYHWGGAYFEELLAPPQWTQLLLDVQHQKRWSLSQRLMERAKDLHFDHARLFRLIHEDKPIKGKHTEQLWHRLQILCSEQMPTLHGLCREIYDADLKDGDMSVAELAPGLTSRPGHAELSSPDRPGAQNRADEERVPAPTWRPIHSTGQSILENLYHEIVEKGRKVNATYQKMAIKELANEFGFFSRIDLSASQEFCTWDENEPHVTYSCHENVVVRNAFTENHAEILLTREKWNLINTQVFVVHEVGAIKRLLRPADVRLRCSREVVHVAGDPSRSERLVESGLFVPIQPCTPFRKEYAAMVLEFPYKYVRVPSQYRQVM
+>sp|Q8N697|S15A4_HUMAN Solute carrier family 15 member 4 OS=Homo sapiens OX=9606 GN=SLC15A4 PE=1 SV=1
+MEGSGGGAGERAPLLGARRAAAAAAAAGAFAGRRAACGAVLLTELLERAAFYGITSNLVLFLNGAPFCWEGAQASEALLLFMGLTYLGSPFGGWLADARLGRARAILLSLALYLLGMLAFPLLAAPATRAALCGSARLLNCTAPGPDAAARCCSPATFAGLVLVGLGVATVKANITPFGADQVKDRGPEATRRFFNWFYWSINLGAILSLGGIAYIQQNVSFVTGYAIPTVCVGLAFVVFLCGQSVFITKPPDGSAFTDMFKILTYSCCSQKRSGERQSNGEGIGVFQQSSKQSLFDSCKMSHGGPFTEEKVEDVKALVKIVPVFLALIPYWTVYFQMQTTYVLQSLHLRIPEISNITTTPHTLPAAWLTMFDAVLILLLIPLKDKLVDPILRRHGLLPSSLKRIAVGMFFVMCSAFAAGILESKRLNLVKEKTINQTIGNVVYHAADLSLWWQVPQYLLIGISEIFASIAGLEFAYSAAPKSMQSAIMGLFFFFSGVGSFVGSGLLALVSIKAIGWMSSHTDFGNINGCYLNYYFFLLAAIQGATLLLFLIISVKYDHHRDHQRSRANGVPTSRRA
+>DECOY_sp|Q8N697|S15A4_HUMAN Solute carrier family 15 member 4 OS=Homo sapiens OX=9606 GN=SLC15A4 PE=1 SV=1
+ARRSTPVGNARSRQHDRHHDYKVSIILFLLLTAGQIAALLFFYYNLYCGNINGFDTHSSMWGIAKISVLALLGSGVFSGVGSFFFFLGMIASQMSKPAASYAFELGAISAFIESIGILLYQPVQWWLSLDAAHYVVNGITQNITKEKVLNLRKSELIGAAFASCMVFFMGVAIRKLSSPLLGHRRLIPDVLKDKLPILLLILVADFMTLWAAPLTHPTTTINSIEPIRLHLSQLVYTTQMQFYVTWYPILALFVPVIKVLAKVDEVKEETFPGGHSMKCSDFLSQKSSQQFVGIGEGNSQREGSRKQSCCSYTLIKFMDTFASGDPPKTIFVSQGCLFVVFALGVCVTPIAYGTVFSVNQQIYAIGGLSLIAGLNISWYFWNFFRRTAEPGRDKVQDAGFPTINAKVTAVGLGVLVLGAFTAPSCCRAAADPGPATCNLLRASGCLAARTAPAALLPFALMGLLYLALSLLIARARGLRADALWGGFPSGLYTLGMFLLLAESAQAGEWCFPAGNLFLVLNSTIGYFAARELLETLLVAGCAARRGAFAGAAAAAAAARRAGLLPAREGAGGGSGEM
+>sp|A6NIM6|S15A5_HUMAN Solute carrier family 15 member 5 OS=Homo sapiens OX=9606 GN=SLC15A5 PE=3 SV=2
+MSVTGFTITDEKVHLYHSIEKEKTVRHIGDLCSSHSVKKIQVGICLLLVELCERFTFFEVVCNMIPFCTIKLGYHNCQAAILNLCFIGTSILTPVFVRWLTDVYLGRNKLVYICLFLHFLGTALLSVVAFPLEDFYLGTYHAVNNIPKTEQHRLFYVALLTICLGIGGVRAIVCPLGAFGLQEYGSQKTMSFFNWFYWLMNLNATIVFLGISYIQHSQAWALVLLIPFMSMLMAVITLHMIYYNLIYQSEKRCSLLTGVGVLVSALKTCHPQYCHLGRDVTSQLDHAKEKNGGCYSELHVEDTTFFLTLLPLFIFQLLYRMCIMQIPSGYYLQTMNSNLNLDGFLLPIAVMNAISSLPLLILAPFLEYFSTCLFPSKRVGSFLSTCIIAGNLFAALSVMIAGFFEIHRKHFPAVEQPLSGKVLTVSSMPCFYLILQYVLLGVAETLVNPALSVISYRFVPSNVRGTSMNFLTLFNGFGCFTGALLVKLVYLISDGNWFPNTLNKGNLESFFFFLASLTLLNVLGFCSVSQRYCNLNHFNAQNIRGSNLEETLLLHEKSLKFYGSIQEFSSSIDLWETAL
+>DECOY_sp|A6NIM6|S15A5_HUMAN Solute carrier family 15 member 5 OS=Homo sapiens OX=9606 GN=SLC15A5 PE=3 SV=2
+LATEWLDISSSFEQISGYFKLSKEHLLLTEELNSGRINQANFHNLNCYRQSVSCFGLVNLLTLSALFFFFSELNGKNLTNPFWNGDSILYVLKVLLAGTFCGFGNFLTLFNMSTGRVNSPVFRYSIVSLAPNVLTEAVGLLVYQLILYFCPMSSVTLVKGSLPQEVAPFHKRHIEFFGAIMVSLAAFLNGAIICTSLFSGVRKSPFLCTSFYELFPALILLPLSSIANMVAIPLLFGDLNLNSNMTQLYYGSPIQMICMRYLLQFIFLPLLTLFFTTDEVHLESYCGGNKEKAHDLQSTVDRGLHCYQPHCTKLASVLVGVGTLLSCRKESQYILNYYIMHLTIVAMLMSMFPILLVLAWAQSHQIYSIGLFVITANLNMLWYFWNFFSMTKQSGYEQLGFAGLPCVIARVGGIGLCITLLAVYFLRHQETKPINNVAHYTGLYFDELPFAVVSLLATGLFHLFLCIYVLKNRGLYVDTLWRVFVPTLISTGIFCLNLIAAQCNHYGLKITCFPIMNCVVEFFTFRECLEVLLLCIGVQIKKVSHSSCLDGIHRVTKEKEISHYLHVKEDTITFGTVSM
+>sp|Q9BZV2|S19A3_HUMAN Thiamine transporter 2 OS=Homo sapiens OX=9606 GN=SLC19A3 PE=1 SV=1
+MDCYRTSLSSSWIYPTVILCLFGFFSMMRPSEPFLIPYLSGPDKNLTSAEITNEIFPVWTYSYLVLLLPVFVLTDYVRYKPVIILQGISFIITWLLLLFGQGVKTMQVVEFFYGMVTAAEVAYYAYIYSVVSPEHYQRVSGYCRSVTLAAYTAGSVLAQLLVSLANMSYFYLNVISLASVSVAFLFSLFLPMPKKSMFFHAKPSREIKKSSSVNPVLEETHEGEAPGCEEQKPTSEILSTSGKLNKGQLNSLKPSNVTVDVFVQWFQDLKECYSSKRLFYWSLWWAFATAGFNQVLNYVQILWDYKAPSQDSSIYNGAVEAIATFGGAVAAFAVGYVKVNWDLLGELALVVFSVVNAGSLFLMHYTANIWACYAGYLIFKSSYMLLITIAVFQIAVNLNVERYALVFGINTFIALVIQTIMTVIVVDQRGLNLPVSIQFLVYGSYFAVIAGIFLMRSMYITYSTKSQKDVQSPAPSENPDVSHPEEESNIIMSTKL
+>DECOY_sp|Q9BZV2|S19A3_HUMAN Thiamine transporter 2 OS=Homo sapiens OX=9606 GN=SLC19A3 PE=1 SV=1
+LKTSMIINSEEEPHSVDPNESPAPSQVDKQSKTSYTIYMSRMLFIGAIVAFYSGYVLFQISVPLNLGRQDVVIVTMITQIVLAIFTNIGFVLAYREVNLNVAIQFVAITILLMYSSKFILYGAYCAWINATYHMLFLSGANVVSFVVLALEGLLDWNVKVYGVAFAAVAGGFTAIAEVAGNYISSDQSPAKYDWLIQVYNLVQNFGATAFAWWLSWYFLRKSSYCEKLDQFWQVFVDVTVNSPKLSNLQGKNLKGSTSLIESTPKQEECGPAEGEHTEELVPNVSSSKKIERSPKAHFFMSKKPMPLFLSFLFAVSVSALSIVNLYFYSMNALSVLLQALVSGATYAALTVSRCYGSVRQYHEPSVVSYIYAYYAVEAATVMGYFFEVVQMTKVGQGFLLLLWTIIFSIGQLIIVPKYRVYDTLVFVPLLLVLYSYTWVPFIENTIEASTLNKDPGSLYPILFPESPRMMSFFGFLCLIVTPYIWSSSLSTRYCDM
+>sp|Q86SG5|S1A7A_HUMAN Protein S100-A7A OS=Homo sapiens OX=9606 GN=S100A7A PE=1 SV=3
+MSNTQAERSIIGMIDMFHKYTGRDGKIEKPSLLTMMKENFPNFLSACDKKGIHYLATVFEKKDKNEDKKIDFSEFLSLLGDIAADYHKQSHGAAPCSGGSQ
+>DECOY_sp|Q86SG5|S1A7A_HUMAN Protein S100-A7A OS=Homo sapiens OX=9606 GN=S100A7A PE=1 SV=3
+QSGGSCPAAGHSQKHYDAAIDGLLSLFESFDIKKDENKDKKEFVTALYHIGKKDCASLFNPFNEKMMTLLSPKEIKGDRGTYKHFMDIMGIISREAQTNSM
+>sp|O75751|S22A3_HUMAN Solute carrier family 22 member 3 OS=Homo sapiens OX=9606 GN=SLC22A3 PE=1 SV=1
+MPSFDEALQRVGEFGRFQRRVFLLLCLTGVTFAFLFVGVVFLGTQPDHYWCRGPSAAALAERCGWSPEEEWNRTAPASRGPEPPERRGRCQRYLLEAANDSASATSALSCADPLAAFPNRSAPLVPCRGGWRYAQAHSTIVSEFDLVCVNAWMLDLTQAILNLGFLTGAFTLGYAADRYGRIVIYLLSCLGVGVTGVVVAFAPNFPVFVIFRFLQGVFGKGTWMTCYVIVTEIVGSKQRRIVGIVIQMFFTLGIIILPGIAYFIPNWQGIQLAITLPSFLFLLYYWVVPESPRWLITRKKGDKALQILRRIAKCNGKYLSSNYSEITVTDEEVSNPSFLDLVRTPQMRKCTLILMFAWFTSAVVYQGLVMRLGIIGGNLYIDFFISGVVELPGALLILLTIERLGRRLPFAASNIVAGVACLVTAFLPEGIAWLRTTVATLGRLGITMAFEIVYLVNSELYPTTLRNFGVSLCSGLCDFGGIIAPFLLFRLAAVWLELPLIIFGILASICGGLVMLLPETKGIALPETVDDVEKLGSPHSCKCGRNKKTPVSRSHL
+>DECOY_sp|O75751|S22A3_HUMAN Solute carrier family 22 member 3 OS=Homo sapiens OX=9606 GN=SLC22A3 PE=1 SV=1
+LHSRSVPTKKNRGCKCSHPSGLKEVDDVTEPLAIGKTEPLLMVLGGCISALIGFIILPLELWVAALRFLLFPAIIGGFDCLGSCLSVGFNRLTTPYLESNVLYVIEFAMTIGLRGLTAVTTRLWAIGEPLFATVLCAVGAVINSAAFPLRRGLREITLLILLAGPLEVVGSIFFDIYLNGGIIGLRMVLGQYVVASTFWAFMLILTCKRMQPTRVLDLFSPNSVEEDTVTIESYNSSLYKGNCKAIRRLIQLAKDGKKRTILWRPSEPVVWYYLLFLFSPLTIALQIGQWNPIFYAIGPLIIIGLTFFMQIVIGVIRRQKSGVIETVIVYCTMWTGKGFVGQLFRFIVFVPFNPAFAVVVGTVGVGLCSLLYIVIRGYRDAAYGLTFAGTLFGLNLIAQTLDLMWANVCVLDFESVITSHAQAYRWGGRCPVLPASRNPFAALPDACSLASTASASDNAAELLYRQCRGRREPPEPGRSAPATRNWEEEPSWGCREALAAASPGRCWYHDPQTGLFVVGVFLFAFTVGTLCLLLFVRRQFRGFEGVRQLAEDFSPM
+>sp|Q9Y694|S22A7_HUMAN Solute carrier family 22 member 7 OS=Homo sapiens OX=9606 GN=SLC22A7 PE=1 SV=1
+MGFEELLEQVGGFGPFQLRNVALLALPRVLLPLHFLLPIFLAAVPAHRCALPGAPANFSHQDVWLEAHLPREPDGTLSSCLRFAYPQALPNTTLGEERQSRGELEDEPATVPCSQGWEYDHSEFSSTIATESQWDLVCEQKGLNRAASTFFFAGVLVGAVAFGYLSDRFGRRRLLLVAYVSTLVLGLASAASVSYVMFAITRTLTGSALAGFTIIVMPLELEWLDVEHRTVAGVLSSTFWTGGVMLLALVGYLIRDWRWLLLAVTLPCAPGILSLWWVPESARWLLTQGHVKEAHRYLLHCARLNGRPVCEDSFSQEAVSKVAAGERVVRRPSYLDLFRTPRLRHISLCCVVVWFGVNFSYYGLSLDVSGLGLNVYQTQLLFGAVELPSKLLVYLSVRYAGRRLTQAGTLLGTALAFGTRLLVSSDMKSWSTVLAVMGKAFSEAAFTTAYLFTSELYPTVLRQTGMGLTALVGRLGGSLAPLAALLDGVWLSLPKLTYGGIALLAAGTALLLPETRQAQLPETIQDVERKSAPTSLQEEEMPMKQVQN
+>DECOY_sp|Q9Y694|S22A7_HUMAN Solute carrier family 22 member 7 OS=Homo sapiens OX=9606 GN=SLC22A7 PE=1 SV=1
+NQVQKMPMEEEQLSTPASKREVDQITEPLQAQRTEPLLLATGAALLAIGGYTLKPLSLWVGDLLAALPALSGGLRGVLATLGMGTQRLVTPYLESTFLYATTFAAESFAKGMVALVTSWSKMDSSVLLRTGFALATGLLTGAQTLRRGAYRVSLYVLLKSPLEVAGFLLQTQYVNLGLGSVDLSLGYYSFNVGFWVVVCCLSIHRLRPTRFLDLYSPRRVVREGAAVKSVAEQSFSDECVPRGNLRACHLLYRHAEKVHGQTLLWRASEPVWWLSLIGPACPLTVALLLWRWDRILYGVLALLMVGGTWFTSSLVGAVTRHEVDLWELELPMVIITFGALASGTLTRTIAFMVYSVSAASALGLVLTSVYAVLLLRRRGFRDSLYGFAVAGVLVGAFFFTSAARNLGKQECVLDWQSETAITSSFESHDYEWGQSCPVTAPEDELEGRSQREEGLTTNPLAQPYAFRLCSSLTGDPERPLHAELWVDQHSFNAPAGPLACRHAPVAALFIPLLFHLPLLVRPLALLAVNRLQFPGFGGVQELLEEFGM
+>sp|Q8IVM8|S22A9_HUMAN Solute carrier family 22 member 9 OS=Homo sapiens OX=9606 GN=SLC22A9 PE=1 SV=1
+MAFQDLLGHAGDLWRFQILQTVFLSIFAVATYLHFMLENFTAFIPGHRCWVHILDNDTVSDNDTGALSQDALLRISIPLDSNMRPEKCRRFVHPQWQLLHLNGTFPNTSDADMEPCVDGWVYDRISFSSTIVTEWDLVCDSQSLTSVAKFVFMAGMMVGGILGGHLSDRFGRRFVLRWCYLQVAIVGTCAALAPTFLIYCSLRFLSGIAAMSLITNTIMLIAEWATHRFQAMGITLGMCPSGIAFMTLAGLAFAIRDWHILQLVVSVPYFVIFLTSSWLLESARWLIINNKPEEGLKELRKAAHRSGMKNARDTLTLEILKSTMKKELEAAQKKKPSLCEMLHMPNICKRISLLSFTRFANFMAYFGLNLHVQHLGNNVFLLQTLFGAVILLANCVAPWALKYMNRRASQMLLMFLLAICLLAIIFVPQEMQTLREVLATLGLGASALANTLAFAHGNEVIPTIIRARAMGINATFANIAGALAPLMMILSVYSPPLPWIIYGVFPFISGFAFLLLPETRNKPLFDTIQDEKNERKDPREPKQEDPRVEVTQF
+>DECOY_sp|Q8IVM8|S22A9_HUMAN Solute carrier family 22 member 9 OS=Homo sapiens OX=9606 GN=SLC22A9 PE=1 SV=1
+FQTVEVRPDEQKPERPDKRENKEDQITDFLPKNRTEPLLLFAFGSIFPFVGYIIWPLPPSYVSLIMMLPALAGAINAFTANIGMARARIITPIVENGHAFALTNALASAGLGLTALVERLTQMEQPVFIIALLCIALLFMLLMQSARRNMYKLAWPAVCNALLIVAGFLTQLLFVNNGLHQVHLNLGFYAMFNAFRTFSLLSIRKCINPMHLMECLSPKKKQAAELEKKMTSKLIELTLTDRANKMGSRHAAKRLEKLGEEPKNNIILWRASELLWSSTLFIVFYPVSVVLQLIHWDRIAFALGALTMFAIGSPCMGLTIGMAQFRHTAWEAILMITNTILSMAAIGSLFRLSCYILFTPALAACTGVIAVQLYCWRLVFRRGFRDSLHGGLIGGVMMGAMFVFKAVSTLSQSDCVLDWETVITSSFSIRDYVWGDVCPEMDADSTNPFTGNLHLLQWQPHVFRRCKEPRMNSDLPISIRLLADQSLAGTDNDSVTDNDLIHVWCRHGPIFATFNELMFHLYTAVAFISLFVTQLIQFRWLDGAHGLLDQFAM
+>sp|Q96S37|S22AC_HUMAN Solute carrier family 22 member 12 OS=Homo sapiens OX=9606 GN=SLC22A12 PE=1 SV=1
+MAFSELLDLVGGLGRFQVLQTMALMVSIMWLCTQSMLENFSAAVPSHRCWAPLLDNSTAQASILGSLSPEALLAISIPPGPNQRPHQCRRFRQPQWQLLDPNATATSWSEADTEPCVDGWVYDRSIFTSTIVAKWNLVCDSHALKPMAQSIYLAGILVGAAACGPASDRFGRRLVLTWSYLQMAVMGTAAAFAPAFPVYCLFRFLLAFAVAGVMMNTGTLLMEWTAARARPLVMTLNSLGFSFGHGLTAAVAYGVRDWTLLQLVVSVPFFLCFLYSWWLAESARWLLTTGRLDWGLQELWRVAAINGKGAVQDTLTPEVLLSAMREELSMGQPPASLGTLLRMPGLRFRTCISTLCWFAFGFTFFGLALDLQALGSNIFLLQMFIGVVDIPAKMGALLLLSHLGRRPTLAASLLLAGLCILANTLVPHEMGALRSALAVLGLGGVGAAFTCITIYSSELFPTVLRMTAVGLGQMAARGGAILGPLVRLLGVHGPWLPLLVYGTVPVLSGLAALLLPETQSLPLPDTIQDVQNQAVKKATHGTLGNSVLKSTQF
+>DECOY_sp|Q96S37|S22AC_HUMAN Solute carrier family 22 member 12 OS=Homo sapiens OX=9606 GN=SLC22A12 PE=1 SV=1
+FQTSKLVSNGLTGHTAKKVAQNQVDQITDPLPLSQTEPLLLAALGSLVPVTGYVLLPLWPGHVGLLRVLPGLIAGGRAAMQGLGVATMRLVTPFLESSYITICTFAAGVGGLGLVALASRLAGMEHPVLTNALICLGALLLSAALTPRRGLHSLLLLAGMKAPIDVVGIFMQLLFINSGLAQLDLALGFFTFGFAFWCLTSICTRFRLGPMRLLTGLSAPPQGMSLEERMASLLVEPTLTDQVAGKGNIAAVRWLEQLGWDLRGTTLLWRASEALWWSYLFCLFFPVSVVLQLLTWDRVGYAVAATLGHGFSFGLSNLTMVLPRARAATWEMLLTGTNMMVGAVAFALLFRFLCYVPFAPAFAAATGMVAMQLYSWTLVLRRGFRDSAPGCAAAGVLIGALYISQAMPKLAHSDCVLNWKAVITSTFISRDYVWGDVCPETDAESWSTATANPDLLQWQPQRFRRCQHPRQNPGPPISIALLAEPSLSGLISAQATSNDLLPAWCRHSPVAASFNELMSQTCLWMISVMLAMTQLVQFRGLGGVLDLLESFAM
+>sp|A1A5C7|S22AN_HUMAN Solute carrier family 22 member 23 OS=Homo sapiens OX=9606 GN=SLC22A23 PE=1 SV=2
+MAIDRRREAAGGGPGRQPAPAEENGSLPPGDAAASAPLGGRAGPGGGAEIQPLPPLHPGGGPHPSCCSAAAAPSLLLLDYDGSVLPFLGGLGGGYQKTLVLLTWIPALFIGFSQFSDSFLLDQPNFWCRGAGKGTELAGVTTTGRGGDMGNWTSLPTTPFATAPWEAAGNRSNSSGADGGDTPPLPSPPDKGDNASNCDCRAWDYGIRAGLVQNVVSKWDLVCDNAWKVHIAKFSLLVGLIFGYLITGCIADWVGRRPVLLFSIIFILIFGLTVALSVNVTMFSTLRFFEGFCLAGIILTLYALRIELCPPGKRFMITMVASFVAMAGQFLMPGLAALCRDWQVLQALIICPFLLMLLYWSIFPESLRWLMATQQFESAKRLILHFTQKNRMNPEGDIKGVIPELEKELSRRPKKVCIVKVVGTRNLWKNIVVLCVNSLTGYGIHHCFARSMMGHEVKVPLLENFYADYYTTASIALVSCLAMCVVVRFLGRRGGLLLFMILTALASLLQLGLLNLIGKYSQHPDSGMSDSVKDKFSIAFSIVGMFASHAVGSLSVFFCAEITPTVIRCGGLGLVLASAGFGMLTAPIIELHNQKGYFLHHIIFACCTLICIICILLLPESRDQNLPENISNGEHYTRQPLLPHKKGEQPLLLTNAELKDYSGLHDAAAAGDTLPEGATANGMKAM
+>DECOY_sp|A1A5C7|S22AN_HUMAN Solute carrier family 22 member 23 OS=Homo sapiens OX=9606 GN=SLC22A23 PE=1 SV=2
+MAKMGNATAGEPLTDGAAAADHLGSYDKLEANTLLLPQEGKKHPLLPQRTYHEGNSINEPLNQDRSEPLLLICIICILTCCAFIIHHLFYGKQNHLEIIPATLMGFGASALVLGLGGCRIVTPTIEACFFVSLSGVAHSAFMGVISFAISFKDKVSDSMGSDPHQSYKGILNLLGLQLLSALATLIMFLLLGGRRGLFRVVVCMALCSVLAISATTYYDAYFNELLPVKVEHGMMSRAFCHHIGYGTLSNVCLVVINKWLNRTGVVKVICVKKPRRSLEKELEPIVGKIDGEPNMRNKQTFHLILRKASEFQQTAMLWRLSEPFISWYLLMLLFPCIILAQLVQWDRCLAALGPMLFQGAMAVFSAVMTIMFRKGPPCLEIRLAYLTLIIGALCFGEFFRLTSFMTVNVSLAVTLGFILIFIISFLLVPRRGVWDAICGTILYGFILGVLLSFKAIHVKWANDCVLDWKSVVNQVLGARIGYDWARCDCNSANDGKDPPSPLPPTDGGDAGSSNSRNGAAEWPATAFPTTPLSTWNGMDGGRGTTTVGALETGKGAGRCWFNPQDLLFSDSFQSFGIFLAPIWTLLVLTKQYGGGLGGLFPLVSGDYDLLLLSPAAAASCCSPHPGGGPHLPPLPQIEAGGGPGARGGLPASAAADGPPLSGNEEAPAPQRGPGGGAAERRRDIAM
+>sp|Q9UGH3|S23A2_HUMAN Solute carrier family 23 member 2 OS=Homo sapiens OX=9606 GN=SLC23A2 PE=1 SV=1
+MMGIGKNTTSKSMEAGSSTEGKYEDEAKHPAFFTLPVVINGGATSSGEQDNEDTELMAIYTTENGIAEKSSLAETLDSTGSLDPQRSDMIYTIEDVPPWYLCIFLGLQHYLTCFSGTIAVPFLLADAMCVGYDQWATSQLIGTIFFCVGITTLLQTTFGCRLPLFQASAFAFLAPARAILSLDKWKCNTTDVSVANGTAELLHTEHIWYPRIREIQGAIIMSSLIEVVIGLLGLPGALLKYIGPLTITPTVALIGLSGFQAAGERAGKHWGIAMLTIFLVLLFSQYARNVKFPLPIYKSKKGWTAYKLQLFKMFPIILAILVSWLLCFIFTVTDVFPPDSTKYGFYARTDARQGVLLVAPWFKVPYPFQWGLPTVSAAGVIGMLSAVVASIIESIGDYYACARLSCAPPPPIHAINRGIFVEGLSCVLDGIFGTGNGSTSSSPNIGVLGITKVGSRRVIQCGAALMLALGMIGKFSALFASLPDPVLGALFCTLFGMITAVGLSNLQFIDLNSSRNLFVLGFSIFFGLVLPSYLRQNPLVTGITGIDQVLNVLLTTAMFVGGCVAFILDNTIPGTPEERGIRKWKKGVGKGNKSLDGMESYNLPFGMNIIKKYRCFSYLPISPTFVGYTWKGLRKSDNSRSSDEDSQATG
+>DECOY_sp|Q9UGH3|S23A2_HUMAN Solute carrier family 23 member 2 OS=Homo sapiens OX=9606 GN=SLC23A2 PE=1 SV=1
+GTAQSDEDSSRSNDSKRLGKWTYGVFTPSIPLYSFCRYKKIINMGFPLNYSEMGDLSKNGKGVGKKWKRIGREEPTGPITNDLIFAVCGGVFMATTLLVNLVQDIGTIGTVLPNQRLYSPLVLGFFISFGLVFLNRSSNLDIFQLNSLGVATIMGFLTCFLAGLVPDPLSAFLASFKGIMGLALMLAAGCQIVRRSGVKTIGLVGINPSSSTSGNGTGFIGDLVCSLGEVFIGRNIAHIPPPPACSLRACAYYDGISEIISAVVASLMGIVGAASVTPLGWQFPYPVKFWPAVLLVGQRADTRAYFGYKTSDPPFVDTVTFIFCLLWSVLIALIIPFMKFLQLKYATWGKKSKYIPLPFKVNRAYQSFLLVLFITLMAIGWHKGAREGAAQFGSLGILAVTPTITLPGIYKLLAGPLGLLGIVVEILSSMIIAGQIERIRPYWIHETHLLEATGNAVSVDTTNCKWKDLSLIARAPALFAFASAQFLPLRCGFTTQLLTTIGVCFFITGILQSTAWQDYGVCMADALLFPVAITGSFCTLYHQLGLFICLYWPPVDEITYIMDSRQPDLSGTSDLTEALSSKEAIGNETTYIAMLETDENDQEGSSTAGGNIVVPLTFFAPHKAEDEYKGETSSGAEMSKSTTNKGIGMM
+>sp|Q6PIV7|S2534_HUMAN Solute carrier family 25 member 34 OS=Homo sapiens OX=9606 GN=SLC25A34 PE=2 SV=1
+METVPPAVDLVLGASACCLACVFTNPLEVVKTRLQLQGELQARGTYPRPYHGFIASVAAVARADGLWGLQKGLAAGLLYQGLMNGVRFYCYSLACQAGLTQQPGGTVVAGAVAGALGAFVGSPAYLIKTQLQAQTVAAVAVGHQHNHQTVLGALETIWRQQGLLGLWQGVGGAVPRVMVGSAAQLATFASAKAWVQKQQWLPEDSWLVALAGGMISSIAVVVVMTPFDVVSTRLYNQPVDTAGRGQLYGGLTDCMVKIWRQEGPLALYKGLGPAYLRLGPHTILSMLFWDELRKLAGRAQHKGT
+>DECOY_sp|Q6PIV7|S2534_HUMAN Solute carrier family 25 member 34 OS=Homo sapiens OX=9606 GN=SLC25A34 PE=2 SV=1
+TGKHQARGALKRLEDWFLMSLITHPGLRLYAPGLGKYLALPGEQRWIKVMCDTLGGYLQGRGATDVPQNYLRTSVVDFPTMVVVVAISSIMGGALAVLWSDEPLWQQKQVWAKASAFTALQAASGVMVRPVAGGVGQWLGLLGQQRWITELAGLVTQHNHQHGVAVAAVTQAQLQTKILYAPSGVFAGLAGAVAGAVVTGGPQQTLGAQCALSYCYFRVGNMLGQYLLGAALGKQLGWLGDARAVAAVSAIFGHYPRPYTGRAQLEGQLQLRTKVVELPNTFVCALCCASAGLVLDVAPPVTEM
+>sp|Q8TBP6|S2540_HUMAN Solute carrier family 25 member 40 OS=Homo sapiens OX=9606 GN=SLC25A40 PE=1 SV=1
+MDPETRGQEIIKVTPLQQMLASCTGAILTSVIVTPLDVVKIRLQAQNNPLPKGKCFVYSNGLMDHLCVCEEGGNKLWYKKPGNFQGTLDAFFKIIRNEGIKSLWSGLPPTLVMAVPATVIYFTCYDQLSALLRSKLGENETCIPIVAGIVARFGAVTVISPLELIRTKMQSKKFSYVELHRFVSKKVSEDGWISLWRGWAPTVLRDVPFSAMYWYNYEILKKWLCEKSGLYEPTFMINFTSGALSGSFAAVATLPFDVVKTQKQTQLWTYESHKISMPLHMSTWIIMKNIVAKNGFSGLFSGLIPRLIKIAPACAIMISTYEFGKAFFQKQNVRRQQY
+>DECOY_sp|Q8TBP6|S2540_HUMAN Solute carrier family 25 member 40 OS=Homo sapiens OX=9606 GN=SLC25A40 PE=1 SV=1
+YQQRRVNQKQFFAKGFEYTSIMIACAPAIKILRPILGSFLGSFGNKAVINKMIIWTSMHLPMSIKHSEYTWLQTQKQTKVVDFPLTAVAAFSGSLAGSTFNIMFTPEYLGSKECLWKKLIEYNYWYMASFPVDRLVTPAWGRWLSIWGDESVKKSVFRHLEVYSFKKSQMKTRILELPSIVTVAGFRAVIGAVIPICTENEGLKSRLLASLQDYCTFYIVTAPVAMVLTPPLGSWLSKIGENRIIKFFADLTGQFNGPKKYWLKNGGEECVCLHDMLGNSYVFCKGKPLPNNQAQLRIKVVDLPTVIVSTLIAGTCSALMQQLPTVKIIEQGRTEPDM
+>sp|Q6Q0C1|S2547_HUMAN Solute carrier family 25 member 47 OS=Homo sapiens OX=9606 GN=SLC25A47 PE=2 SV=1
+MDFVAGAIGGVCGVAVGYPLDTVKVRIQTEPKYTGIWHCVRDTYHRERVWGFYRGLSLPVCTVSLVSSVSFGTYRHCLAHICRLRYGNPDAKPTKADITLSGCASGLVRVFLTSPTEVAKVRLQTQTQAQKQQRRLSASGPLAVPPMCPVPPACPEPKYRGPLHCLATVAREEGLCGLYKGSSALVLRDGHSFATYFLSYAVLCEWLSPAGHSRPDVPGVLVAGGCAGVLAWAVATPMDVIKSRLQADGQGQRRYRGLLHCMVTSVREEGPRVLFKGLVLNCCRAFPVNMVVFVAYEAVLRLARGLLT
+>DECOY_sp|Q6Q0C1|S2547_HUMAN Solute carrier family 25 member 47 OS=Homo sapiens OX=9606 GN=SLC25A47 PE=2 SV=1
+TLLGRALRLVAEYAVFVVMNVPFARCCNLVLGKFLVRPGEERVSTVMCHLLGRYRRQGQGDAQLRSKIVDMPTAVAWALVGACGGAVLVGPVDPRSHGAPSLWECLVAYSLFYTAFSHGDRLVLASSGKYLGCLGEERAVTALCHLPGRYKPEPCAPPVPCMPPVALPGSASLRRQQKQAQTQTQLRVKAVETPSTLFVRVLGSACGSLTIDAKTPKADPNGYRLRCIHALCHRYTGFSVSSVLSVTCVPLSLGRYFGWVRERHYTDRVCHWIGTYKPETQIRVKVTDLPYGVAVGCVGGIAGAVFDM
+>sp|Q9H1U9|S2551_HUMAN Solute carrier family 25 member 51 OS=Homo sapiens OX=9606 GN=SLC25A51 PE=2 SV=1
+MMDSEAHEKRPPILTSSKQDISPHITNVGEMKHYLCGCCAAFNNVAITFPIQKVLFRQQLYGIKTRDAILQLRRDGFRNLYRGILPPLMQKTTTLALMFGLYEDLSCLLHKHVSAPEFATSGVAAVLAGTTEAIFTPLERVQTLLQDHKHHDKFTNTYQAFKALKCHGIGEYYRGLVPILFRNGLSNVLFFGLRGPIKEHLPTATTHSAHLVNDFICGGLLGAMLGFLFFPINVVKTRIQSQIGGEFQSFPKVFQKIWLERDRKLINLFRGAHLNYHRSLISWGIINATYEFLLKVI
+>DECOY_sp|Q9H1U9|S2551_HUMAN Solute carrier family 25 member 51 OS=Homo sapiens OX=9606 GN=SLC25A51 PE=2 SV=1
+IVKLLFEYTANIIGWSILSRHYNLHAGRFLNILKRDRELWIKQFVKPFSQFEGGIQSQIRTKVVNIPFFLFGLMAGLLGGCIFDNVLHASHTTATPLHEKIPGRLGFFLVNSLGNRFLIPVLGRYYEGIGHCKLAKFAQYTNTFKDHHKHDQLLTQVRELPTFIAETTGALVAAVGSTAFEPASVHKHLLCSLDEYLGFMLALTTTKQMLPPLIGRYLNRFGDRRLQLIADRTKIGYLQQRFLVKQIPFTIAVNNFAACCGCLYHKMEGVNTIHPSIDQKSSTLIPPRKEHAESDMM
+>sp|Q5H9E4|S2553_HUMAN Solute carrier family 25 member 53 OS=Homo sapiens OX=9606 GN=SLC25A53 PE=2 SV=1
+MGEQNHSPGKELQHRTRAEAPGKKSWHSQAYALGAVSNFMSTFLTFPIYKVVFRQQIHAMAVSEAVRQLWHEGPQYFYRGIYPPLLSKTLQGTLLFGTYDSLLCFLSPVGPHTLGHRWAAGLMSGVVEAVALSPFERVQNVLQDGRKQARFPSTFSILKEFNSYGLWGRLSLGYYRGFWPVLARNSLGSALYFSFKDPIQDGLAEQGLPHWVPALVSGSVNGTITCLVLYPLIVLVANMQSHIGWQNMPSLWASAQDVWNTRGRKLLLIYRGGSLVILRSSVTWGLTTAIHDFLQRKSHSRKELKTD
+>DECOY_sp|Q5H9E4|S2553_HUMAN Solute carrier family 25 member 53 OS=Homo sapiens OX=9606 GN=SLC25A53 PE=2 SV=1
+DTKLEKRSHSKRQLFDHIATTLGWTVSSRLIVLSGGRYILLLKRGRTNWVDQASAWLSPMNQWGIHSQMNAVLVILPYLVLCTITGNVSGSVLAPVWHPLGQEALGDQIPDKFSFYLASGLSNRALVPWFGRYYGLSLRGWLGYSNFEKLISFTSPFRAQKRGDQLVNQVREFPSLAVAEVVGSMLGAAWRHGLTHPGVPSLFCLLSDYTGFLLTGQLTKSLLPPYIGRYFYQPGEHWLQRVAESVAMAHIQQRFVVKYIPFTLFTSMFNSVAGLAYAQSHWSKKGPAEARTRHQLEKGPSHNQEGM
+>sp|Q9Y2P4|S27A6_HUMAN Long-chain fatty acid transport protein 6 OS=Homo sapiens OX=9606 GN=SLC27A6 PE=2 SV=1
+MLLSWLTVLGAGMVVLHFLQKLLFPYFWDDFWFVLKVVLIIIRLKKYEKRGELVTVLDKFLSHAKRQPRKPFIIYEGDIYTYQDVDKRSSRVAHVFLNHSSLKKGDTVALLMSNEPDFVHVWFGLAKLGCVVAFLNTNIRSNSLLNCIRACGPRALVVGADLLGTVEEILPSLSENISVWGMKDSVPQGVISLKEKLSTSPDEPVPRSHHVVSLLKSTCLYIFTSGTTGLPKAAVISQLQVLRGSAVLWAFGCTAHDIVYITLPLYHSSAAILGISGCVELGATCVLKKKFSASQFWSDCKKYDVTVFQYIGELCRYLCKQSKREGEKDHKVRLAIGNGIRSDVWREFLDRFGNIKVCELYAATESSISFMNYTGRIGAIGRTNLFYKLLSTFDLIKYDFQKDEPMRNEQGWCIHVKKGEPGLLISRVNAKNPFFGYAGPYKHTKDKLLCDVFKKGDVYLNTGDLIVQDQDNFLYFWDRTGDTFRWKGENVATTEVADVIGMLDFIQEANVYGVAISGYEGRAGMASIILKPNTSLDLEKVYEQVVTFLPAYACPRFLRIQEKMEATGTFKLLKHQLVEDGFNPLKISEPLYFMDNLKKSYVLLTRELYDQIMLGEIKL
+>DECOY_sp|Q9Y2P4|S27A6_HUMAN Long-chain fatty acid transport protein 6 OS=Homo sapiens OX=9606 GN=SLC27A6 PE=2 SV=1
+LKIEGLMIQDYLERTLLVYSKKLNDMFYLPESIKLPNFGDEVLQHKLLKFTGTAEMKEQIRLFRPCAYAPLFTVVQEYVKELDLSTNPKLIISAMGARGEYGSIAVGYVNAEQIFDLMGIVDAVETTAVNEGKWRFTDGTRDWFYLFNDQDQVILDGTNLYVDGKKFVDCLLKDKTHKYPGAYGFFPNKANVRSILLGPEGKKVHICWGQENRMPEDKQFDYKILDFTSLLKYFLNTRGIAGIRGTYNMFSISSETAAYLECVKINGFRDLFERWVDSRIGNGIALRVKHDKEGERKSQKCLYRCLEGIYQFVTVDYKKCDSWFQSASFKKKLVCTAGLEVCGSIGLIAASSHYLPLTIYVIDHATCGFAWLVASGRLVQLQSIVAAKPLGTTGSTFIYLCTSKLLSVVHHSRPVPEDPSTSLKEKLSIVGQPVSDKMGWVSINESLSPLIEEVTGLLDAGVVLARPGCARICNLLSNSRINTNLFAVVCGLKALGFWVHVFDPENSMLLAVTDGKKLSSHNLFVHAVRSSRKDVDQYTYIDGEYIIFPKRPQRKAHSLFKDLVTVLEGRKEYKKLRIIILVVKLVFWFDDWFYPFLLKQLFHLVVMGAGLVTLWSLLM
+>sp|O43868|S28A2_HUMAN Sodium/nucleoside cotransporter 2 OS=Homo sapiens OX=9606 GN=SLC28A2 PE=2 SV=2
+MEKASGRQSIALSTVETGTVNPGLELMEKEVEPEGSKRTDAQGHSLGDGLGPSTYQRRSRWPFSKARSFCKTHASLFKKILLGLLCLAYAAYLLAACILNFQRALALFVITCLVIFVLVHSFLKKLLGKKLTRCLKPFENSRLRLWTKWVFAGVSLVGLILWLALDTAQRPEQLIPFAGICMFILILFACSKHHSAVSWRTVFSGLGLQFVFGILVIRTDLGYTVFQWLGEQVQIFLNYTVAGSSFVFGDTLVKDVFAFQALPIIIFFGCVVSILYYLGLVQWVVQKVAWFLQITMGTTATETLAVAGNIFVGMTEAPLLIRPYLGDMTLSEIHAVMTGGFATISGTVLGAFIAFGVDASSLISASVMAAPCALASSKLAYPEVEESKFKSEEGVKLPRGKERNVLEAASNGAVDAIGLATNVAANLIAFLAVLAFINAALSWLGELVDIQGLTFQVICSYLLRPMVFMMGVEWTDCPMVAEMVGIKFFINEFVAYQQLSQYKNKRLSGMEEWIEGEKQWISVRAEIITTFSLCGFANLSSIGITLGGLTSIVPHRKSDLSKVVVRALFTGACVSLISACMAGILYVPRGAEADCVSFPNTSFTNRTYETYMCCRGLFQSTSLNGTNPPSFSGPWEDKEFSAMALTNCCGFYNNTVCA
+>DECOY_sp|O43868|S28A2_HUMAN Sodium/nucleoside cotransporter 2 OS=Homo sapiens OX=9606 GN=SLC28A2 PE=2 SV=2
+ACVTNNYFGCCNTLAMASFEKDEWPGSFSPPNTGNLSTSQFLGRCCMYTEYTRNTFSTNPFSVCDAEAGRPVYLIGAMCASILSVCAGTFLARVVVKSLDSKRHPVISTLGGLTIGISSLNAFGCLSFTTIIEARVSIWQKEGEIWEEMGSLRKNKYQSLQQYAVFENIFFKIGVMEAVMPCDTWEVGMMFVMPRLLYSCIVQFTLGQIDVLEGLWSLAANIFALVALFAILNAAVNTALGIADVAGNSAAELVNREKGRPLKVGEESKFKSEEVEPYALKSSALACPAAMVSASILSSADVGFAIFAGLVTGSITAFGGTMVAHIESLTMDGLYPRILLPAETMGVFINGAVALTETATTGMTIQLFWAVKQVVWQVLGLYYLISVVCGFFIIIPLAQFAFVDKVLTDGFVFSSGAVTYNLFIQVQEGLWQFVTYGLDTRIVLIGFVFQLGLGSFVTRWSVASHHKSCAFLILIFMCIGAFPILQEPRQATDLALWLILGVLSVGAFVWKTWLRLRSNEFPKLCRTLKKGLLKKLFSHVLVFIVLCTIVFLALARQFNLICAALLYAAYALCLLGLLIKKFLSAHTKCFSRAKSFPWRSRRQYTSPGLGDGLSHGQADTRKSGEPEVEKEMLELGPNVTGTEVTSLAISQRGSAKEM
+>sp|Q99808|S29A1_HUMAN Equilibrative nucleoside transporter 1 OS=Homo sapiens OX=9606 GN=SLC29A1 PE=1 SV=3
+MTTSHQPQDRYKAVWLIFFMLGLGTLLPWNFFMTATQYFTNRLDMSQNVSLVTAELSKDAQASAAPAAPLPERNSLSAIFNNVMTLCAMLPLLLFTYLNSFLHQRIPQSVRILGSLVAILLVFLITAILVKVQLDALPFFVITMIKIVLINSFGAILQGSLFGLAGLLPASYTAPIMSGQGLAGFFASVAMICAIASGSELSESAFGYFITACAVIILTIICYLGLPRLEFYRYYQQLKLEGPGEQETKLDLISKGEEPRAGKEESGVSVSNSQPTNESHSIKAILKNISVLAFSVCFIFTITIGMFPAVTVEVKSSIAGSSTWERYFIPVSCFLTFNIFDWLGRSLTAVFMWPGKDSRWLPSLVLARLVFVPLLLLCNIKPRRYLTVVFEHDAWFIFFMAAFAFSNGYLASLCMCFGPKKVKPAEAETAGAIMAFFLCLGLALGAVFSFLFRAIV
+>DECOY_sp|Q99808|S29A1_HUMAN Equilibrative nucleoside transporter 1 OS=Homo sapiens OX=9606 GN=SLC29A1 PE=1 SV=3
+VIARFLFSFVAGLALGLCLFFAMIAGATEAEAPKVKKPGFCMCLSALYGNSFAFAAMFFIFWADHEFVVTLYRRPKINCLLLLPVFVLRALVLSPLWRSDKGPWMFVATLSRGLWDFINFTLFCSVPIFYREWTSSGAISSKVEVTVAPFMGITITFIFCVSFALVSINKLIAKISHSENTPQSNSVSVGSEEKGARPEEGKSILDLKTEQEGPGELKLQQYYRYFELRPLGLYCIITLIIVACATIFYGFASESLESGSAIACIMAVSAFFGALGQGSMIPATYSAPLLGALGFLSGQLIAGFSNILVIKIMTIVFFPLADLQVKVLIATILFVLLIAVLSGLIRVSQPIRQHLFSNLYTFLLLPLMACLTMVNNFIASLSNREPLPAAPAASAQADKSLEATVLSVNQSMDLRNTFYQTATMFFNWPLLTGLGLMFFILWVAKYRDQPQHSTTM
+>sp|Q14542|S29A2_HUMAN Equilibrative nucleoside transporter 2 OS=Homo sapiens OX=9606 GN=SLC29A2 PE=1 SV=3
+MARGDAPRDSYHLVGISFFILGLGTLLPWNFFITAIPYFQARLAGAGNSTARILSTNHTGPEDAFNFNNWVTLLSQLPLLLFTLLNSFLYQCVPETVRILGSLLAILLLFALTAALVKVDMSPGPFFSITMASVCFINSFSAVLQGSLFGQLGTMPSTYSTLFLSGQGLAGIFAALAMLLSMASGVDAETSALGYFITPCVGILMSIVCYLSLPHLKFARYYLANKSSQAQAQELETKAELLQSDENGIPSSPQKVALTLDLDLEKEPESEPDEPQKPGKPSVFTVFQKIWLTALCLVLVFTVTLSVFPAITAMVTSSTSPGKWSQFFNPICCFLLFNIMDWLGRSLTSYFLWPDEDSRLLPLLVCLRFLFVPLFMLCHVPQRSRLPILFPQDAYFITFMLLFAVSNGYLVSLTMCLAPRQVLPHEREVAGALMTFFLALGLSCGASLSFLFKALL
+>DECOY_sp|Q14542|S29A2_HUMAN Equilibrative nucleoside transporter 2 OS=Homo sapiens OX=9606 GN=SLC29A2 PE=1 SV=3
+LLAKFLFSLSAGCSLGLALFFTMLAGAVEREHPLVQRPALCMTLSVLYGNSVAFLLMFTIFYADQPFLIPLRSRQPVHCLMFLPVFLFRLCVLLPLLRSDEDPWLFYSTLSRGLWDMINFLLFCCIPNFFQSWKGPSTSSTVMATIAPFVSLTVTFVLVLCLATLWIKQFVTFVSPKGPKQPEDPESEPEKELDLDLTLAVKQPSSPIGNEDSQLLEAKTELEQAQAQSSKNALYYRAFKLHPLSLYCVISMLIGVCPTIFYGLASTEADVGSAMSLLMALAAFIGALGQGSLFLTSYTSPMTGLQGFLSGQLVASFSNIFCVSAMTISFFPGPSMDVKVLAATLAFLLLIALLSGLIRVTEPVCQYLFSNLLTFLLLPLQSLLTVWNNFNFADEPGTHNTSLIRATSNGAGALRAQFYPIATIFFNWPLLTGLGLIFFSIGVLHYSDRPADGRAM
+>sp|Q9BZD2|S29A3_HUMAN Equilibrative nucleoside transporter 3 OS=Homo sapiens OX=9606 GN=SLC29A3 PE=1 SV=3
+MAVVSEDDFQHSSNSTYRTTSSSLRADQEALLEKLLDRPPPGLQRPEDRFCGTYIIFFSLGIGSLLPWNFFITAKEYWMFKLRNSSSPATGEDPEGSDILNYFESYLAVASTVPSMLCLVANFLLVNRVAVHIRVLASLTVILAIFMVITALVKVDTSSWTRGFFAVTIVCMVILSGASTVFSSSIYGMTGSFPMRNSQALISGGAMGGTVSAVASLVDLAASSDVRNSALAFFLTATVFLVLCMGLYLLLSRLEYARYYMRPVLAAHVFSGEEELPQDSLSAPSVASRFIDSHTPPLRPILKKTASLGFCVTYVFFITSLIYPAICTNIESLNKGSGSLWTTKFFIPLTTFLLYNFADLCGRQLTAWIQVPGPNSKALPGFVLLRTCLIPLFVLCNYQPRVHLKTVVFQSDVYPALLSSLLGLSNGYLSTLALLYGPKIVPRELAEATGVVMSFYVCLGLTLGSACSTLLVHLI
+>DECOY_sp|Q9BZD2|S29A3_HUMAN Equilibrative nucleoside transporter 3 OS=Homo sapiens OX=9606 GN=SLC29A3 PE=1 SV=3
+ILHVLLTSCASGLTLGLCVYFSMVVGTAEALERPVIKPGYLLALTSLYGNSLGLLSSLLAPYVDSQFVVTKLHVRPQYNCLVFLPILCTRLLVFGPLAKSNPGPVQIWATLQRGCLDAFNYLLFTTLPIFFKTTWLSGSGKNLSEINTCIAPYILSTIFFVYTVCFGLSATKKLIPRLPPTHSDIFRSAVSPASLSDQPLEEEGSFVHAALVPRMYYRAYELRSLLLYLGMCLVLFVTATLFFALASNRVDSSAALDVLSAVASVTGGMAGGSILAQSNRMPFSGTMGYISSSFVTSAGSLIVMCVITVAFFGRTWSSTDVKVLATIVMFIALIVTLSALVRIHVAVRNVLLFNAVLCLMSPVTSAVALYSEFYNLIDSGEPDEGTAPSSSNRLKFMWYEKATIFFNWPLLSGIGLSFFIIYTGCFRDEPRQLGPPPRDLLKELLAEQDARLSSSTTRYTSNSSHQFDDESVVAM
+>sp|Q5TZJ5|S31A1_HUMAN Spermatogenesis-associated protein 31A1 OS=Homo sapiens OX=9606 GN=SPATA31A1 PE=3 SV=1
+MENLPFPLKLLSASSLNAPSSTPWVLDIFLTLVFALGFFFLLLPYLSYFRCDDPPSPSPGKRKCPVGRRRRPRGRMKNHSLRAGRECPRGLQETSDLLSQLQSLLGPHLDKGDFGQLSGPDPPGEVGERAPDGASQSSHEPMEDAAPILSPLASPDPQAKHPQDLASTPSPGPMTTSVSSLSASQPPEPSLPLEHPSPEPPALFPHPPHTPDPLACSPPPPKGFTAPPLRDSTLITPSHCDSVALPLGTVPQSLSPHEDLVASVPAISGLGGSNSHVSASSRWQETARTSCAFNSSVQQDHLSRHPPETYQMEAGSLFLLSSDGQNAVGIQVTETAKVNIWEEKENVGSFTDRMTPEKHLNSLRNLAKSLDAEQDTTNPKPFWNMGENSKQLPGPQKLSDPRLWQESFWKNYSQLFWGLPSLHSESLVANAWVTDRSYTLQSPPFLFNEMSNVCPIQRETTMSPLLFQAQPPSHLGPECQPFISSTPQFRPTPMAQAEAQAHLQSSFPVLSPAFPSLIKNTGVACPASQNKVQALSLPETQHPEWPLLRRQLEGRLALPSRVQKSQDVFSVSTPNLPQESLTSILPENFPVSPELRRQLEQHIKKWIIQHWGNLGRIQESLDLMQLRDESPGTSQAKGKPSPWQSSMSTGESSKEAQKVKFQLERDPCPHLGQILGETPQNLSRDMKSFPRKVLGVTSEESERNLRKPLRSDSGSDLLRCTERTHIENILKAHMGRNLGQTNEGLIPVRVRRSWLAVNQALPVSNTHVKTSNLAAPKSGKACVNTAQVLSFLEPCTQQGLGAHIVRFWAKHRWGLPLRVLKPIQCFKLEKVSSLSLTQLAGPSSATCESGAGSEVEVDMFLRKPPMASLRKQVLTKASDHMPESLLASSPAWKQFQRAPRGIPSWNDHGPLKPPPAGQEGRWPSKPLTYSLTGSTQQSRSLGAQSSKAGETREAVPQCRVPLETCMLANLQATSEDMHGFEAPGTSKSSLHPRVSVSQDPRKLCLMEEVVNEFEPGMATKSETQPQVCAAVVLLPDGQASVVPHASENLVSQVPQGHLQSMPAGNMRASQELHDLMAARRSKLVHEEPRNPNCQGSCKNQRPMFPPIHKSEKSRKPNLEKHEERLEGLRTPQLTPVRKTEDTHQDEGVQLLPSKKQPPSVSHFGGNIKQFFQWIFSKKKSKPAPVTAESQKTVKNRSCVYSSSAEAQGLMTAVGQMLDEKMSLCHARHASKVNQHKQKFQAPVCGFPCNHRHLFYSEHGRILSYAASSQQATLKSQGCPNRDRQIRNQQPLKSVRCNNEQWGLRHPQILHPKKAVSPVSPLQHWPKTSGASSHHHHCPRHCLLWEGI
+>DECOY_sp|Q5TZJ5|S31A1_HUMAN Spermatogenesis-associated protein 31A1 OS=Homo sapiens OX=9606 GN=SPATA31A1 PE=3 SV=1
+IGEWLLCHRPCHHHHSSAGSTKPWHQLPSVPSVAKKPHLIQPHRLGWQENNCRVSKLPQQNRIQRDRNPCGQSKLTAQQSSAAYSLIRGHESYFLHRHNCPFGCVPAQFKQKHQNVKSAHRAHCLSMKEDLMQGVATMLGQAEASSSYVCSRNKVTKQSEATVPAPKSKKKSFIWQFFQKINGGFHSVSPPQKKSPLLQVGEDQHTDETKRVPTLQPTRLGELREEHKELNPKRSKESKHIPPFMPRQNKCSGQCNPNRPEEHVLKSRRAAMLDHLEQSARMNGAPMSQLHGQPVQSVLNESAHPVVSAQGDPLLVVAACVQPQTESKTAMGPEFENVVEEMLCLKRPDQSVSVRPHLSSKSTGPAEFGHMDESTAQLNALMCTELPVRCQPVAERTEGAKSSQAGLSRSQQTSGTLSYTLPKSPWRGEQGAPPPKLPGHDNWSPIGRPARQFQKWAPSSALLSEPMHDSAKTLVQKRLSAMPPKRLFMDVEVESGAGSECTASSPGALQTLSLSSVKELKFCQIPKLVRLPLGWRHKAWFRVIHAGLGQQTCPELFSLVQATNVCAKGSKPAALNSTKVHTNSVPLAQNVALWSRRVRVPILGENTQGLNRGMHAKLINEIHTRETCRLLDSGSDSRLPKRLNRESEESTVGLVKRPFSKMDRSLNQPTEGLIQGLHPCPDRELQFKVKQAEKSSEGTSMSSQWPSPKGKAQSTGPSEDRLQMLDLSEQIRGLNGWHQIIWKKIHQELQRRLEPSVPFNEPLISTLSEQPLNPTSVSFVDQSKQVRSPLALRGELQRRLLPWEPHQTEPLSLAQVKNQSAPCAVGTNKILSPFAPSLVPFSSQLHAQAEAQAMPTPRFQPTSSIFPQCEPGLHSPPQAQFLLPSMTTERQIPCVNSMENFLFPPSQLTYSRDTVWANAVLSESHLSPLGWFLQSYNKWFSEQWLRPDSLKQPGPLQKSNEGMNWFPKPNTTDQEADLSKALNRLSNLHKEPTMRDTFSGVNEKEEWINVKATETVQIGVANQGDSSLLFLSGAEMQYTEPPHRSLHDQQVSSNFACSTRATEQWRSSASVHSNSGGLGSIAPVSAVLDEHPSLSQPVTGLPLAVSDCHSPTILTSDRLPPATFGKPPPPSCALPDPTHPPHPFLAPPEPSPHELPLSPEPPQSASLSSVSTTMPGPSPTSALDQPHKAQPDPSALPSLIPAADEMPEHSSQSAGDPAREGVEGPPDPGSLQGFDGKDLHPGLLSQLQSLLDSTEQLGRPCERGARLSHNKMRGRPRRRRGVPCKRKGPSPSPPDDCRFYSLYPLLLFFFGLAFVLTLFIDLVWPTSSPANLSSASLLKLPFPLNEM
+>sp|Q6ZUB0|S31D4_HUMAN Spermatogenesis-associated protein 31D4 OS=Homo sapiens OX=9606 GN=SPATA31D4 PE=2 SV=2
+MENILCFLNSYTETGLSPDSHCLDIDLNFICLSGLGLFILYLFYMVLTLYSSPTEKNNDTQKHQGRARRKRKSVTFKDRKSLQKEAEEERKLHSFLKSFGPPVSCSPLGQHHDTTLFRRLLCPDPVCRVCNRATADIQRLLSWESLKDAAPSVSPLASSASGAESSFTLASTPSATTPEDLILSSRPKPSPPPPLILSPDLITTLADLFSPSPLRDPLPPQPVSPLDSKFPIDHSPPQQLPFPLLPPHHIERVEPSLQPEASLSLNTIFSFGSTLCQDISQAVNRTDSCARHHGPPTPSALPPEDCTVTQSKSNLTVLKTFPEMLSLGGSGGSSTSAPTTKGIDHSCPASSEFSWWQPHAKDSFSSNFVPSDFMEELLTLHSSEASLGGHSVANIIQPVNISFLSHDIPALLERQVKRRGDFLMWKENGKKPGSFPTQLRPNYQLNSSRNMLTSTAVKHDLAESFPFWASKGKLEWQHIHQQPPYSKCFEDHLEQKYVQLFWGLPSLHSESLHPTVFVQHGRSSMFVFFNGITNTSMSHESPVLPPPQPLFLPSTQPLPLPQTLPRGQSLHLTQVKSLAQPQSPFPALPPSPLFLIRVCGVCFHRPQNEARSLMPSEINHLEWNVLQKVQESVWGLPSVVQKSQEDFCPPAPNPVLVRKSFKVHVPISIIPGDFPLSSEVRKKLEQHIRKRLIQRRWGLPRRIHESLSLLRPQNKISELSVSESIHGPLNISLVEGQRCNVLKKSASSFPRSFHERSSNMLSMENVGNYQGCSQETAPKNHLLHDPETSSDEDLRSNSERDLGTHMMHLSGNDSGVRLGQKQLENALTVHLSKKFEEINEGRMPGTVHSSWHSVKQTICLPEKSHSQIKHRNLAALVSEDHGVDTSQEMSFLSSNKQKMLEAHIKSFHMKPILNLSI
+>DECOY_sp|Q6ZUB0|S31D4_HUMAN Spermatogenesis-associated protein 31D4 OS=Homo sapiens OX=9606 GN=SPATA31D4 PE=2 SV=2
+ISLNLIPKMHFSKIHAELMKQKNSSLFSMEQSTDVGHDESVLAALNRHKIQSHSKEPLCITQKVSHWSSHVTGPMRGENIEEFKKSLHVTLANELQKQGLRVGSDNGSLHMMHTGLDRESNSRLDEDSSTEPDHLLHNKPATEQSCGQYNGVNEMSLMNSSREHFSRPFSSASKKLVNCRQGEVLSINLPGHISESVSLESIKNQPRLLSLSEHIRRPLGWRRQILRKRIHQELKKRVESSLPFDGPIISIPVHVKFSKRVLVPNPAPPCFDEQSKQVVSPLGWVSEQVKQLVNWELHNIESPMLSRAENQPRHFCVGCVRILFLPSPPLAPFPSQPQALSKVQTLHLSQGRPLTQPLPLPQTSPLFLPQPPPLVPSEHSMSTNTIGNFFVFMSSRGHQVFVTPHLSESHLSPLGWFLQVYKQELHDEFCKSYPPQQHIHQWELKGKSAWFPFSEALDHKVATSTLMNRSSNLQYNPRLQTPFSGPKKGNEKWMLFDGRRKVQRELLAPIDHSLFSINVPQIINAVSHGGLSAESSHLTLLEEMFDSPVFNSSFSDKAHPQWWSFESSAPCSHDIGKTTPASTSSGGSGGLSLMEPFTKLVTLNSKSQTVTCDEPPLASPTPPGHHRACSDTRNVAQSIDQCLTSGFSFITNLSLSAEPQLSPEVREIHHPPLLPFPLQQPPSHDIPFKSDLPSVPQPPLPDRLPSPSFLDALTTILDPSLILPPPPSPKPRSSLILDEPTTASPTSALTFSSEAGSASSALPSVSPAADKLSEWSLLRQIDATARNCVRCVPDPCLLRRFLTTDHHQGLPSCSVPPGFSKLFSHLKREEEAEKQLSKRDKFTVSKRKRRARGQHKQTDNNKETPSSYLTLVMYFLYLIFLGLGSLCIFNLDIDLCHSDPSLGTETYSNLFCLINEM
+>sp|P78382|S35A1_HUMAN CMP-sialic acid transporter OS=Homo sapiens OX=9606 GN=SLC35A1 PE=2 SV=1
+MAAPRDNVTLLFKLYCLAVMTLMAAVYTIALRYTRTSDKELYFSTTAVCITEVIKLLLSVGILAKETGSLGRFKASLRENVLGSPKELLKLSVPSLVYAVQNNMAFLALSNLDAAVYQVTYQLKIPCTALCTVLMLNRTLSKLQWVSVFMLCAGVTLVQWKPAQATKVVVEQNPLLGFGAIAIAVLCSGFAGVYFEKVLKSSDTSLWVRNIQMYLSGIIVTLAGVYLSDGAEIKEKGFFYGYTYYVWFVIFLASVGGLYTSVVVKYTDNIMKGFSAAAAIVLSTIASVMLFGLQITLTFALGTLLVCVSIYLYGLPRQDTTSIQQGETASKERVIGV
+>DECOY_sp|P78382|S35A1_HUMAN CMP-sialic acid transporter OS=Homo sapiens OX=9606 GN=SLC35A1 PE=2 SV=1
+VGIVREKSATEGQQISTTDQRPLGYLYISVCVLLTGLAFTLTIQLGFLMVSAITSLVIAAAASFGKMINDTYKVVVSTYLGGVSALFIVFWVYYTYGYFFGKEKIEAGDSLYVGALTVIIGSLYMQINRVWLSTDSSKLVKEFYVGAFGSCLVAIAIAGFGLLPNQEVVVKTAQAPKWQVLTVGACLMFVSVWQLKSLTRNLMLVTCLATCPIKLQYTVQYVAADLNSLALFAMNNQVAYVLSPVSLKLLEKPSGLVNERLSAKFRGLSGTEKALIGVSLLLKIVETICVATTSFYLEKDSTRTYRLAITYVAAMLTMVALCYLKFLLTVNDRPAAM
+>sp|P78381|S35A2_HUMAN UDP-galactose translocator OS=Homo sapiens OX=9606 GN=SLC35A2 PE=1 SV=1
+MAAVGAGGSTAAPGPGAVSAGALEPGTASAAHRRLKYISLAVLVVQNASLILSIRYARTLPGDRFFATTAVVMAEVLKGLTCLLLLFAQKRGNVKHLVLFLHEAVLVQYVDTLKLAVPSLIYTLQNNLQYVAISNLPAATFQVTYQLKILTTALFSVLMLNRSLSRLQWASLLLLFTGVAIVQAQQAGGGGPRPLDQNPGAGLAAVVASCLSSGFAGVYFEKILKGSSGSVWLRNLQLGLFGTALGLVGLWWAEGTAVATRGFFFGYTPAVWGVVLNQAFGGLLVAVVVKYADNILKGFATSLSIVLSTVASIRLFGFHVDPLFALGAGLVIGAVYLYSLPRGAAKAIASASASASGPCVHQQPPGQPPPPQLSSHRGDLITEPFLPKLLTKVKGS
+>DECOY_sp|P78381|S35A2_HUMAN UDP-galactose translocator OS=Homo sapiens OX=9606 GN=SLC35A2 PE=1 SV=1
+SGKVKTLLKPLFPETILDGRHSSLQPPPPQGPPQQHVCPGSASASASAIAKAAGRPLSYLYVAGIVLGAGLAFLPDVHFGFLRISAVTSLVISLSTAFGKLINDAYKVVVAVLLGGFAQNLVVGWVAPTYGFFFGRTAVATGEAWWLGVLGLATGFLGLQLNRLWVSGSSGKLIKEFYVGAFGSSLCSAVVAALGAGPNQDLPRPGGGGAQQAQVIAVGTFLLLLSAWQLRSLSRNLMLVSFLATTLIKLQYTVQFTAAPLNSIAVYQLNNQLTYILSPVALKLTDVYQVLVAEHLFLVLHKVNGRKQAFLLLLCTLGKLVEAMVVATTAFFRDGPLTRAYRISLILSANQVVLVALSIYKLRRHAASATGPELAGASVAGPGPAATSGGAGVAAM
+>sp|P78383|S35B1_HUMAN Solute carrier family 35 member B1 OS=Homo sapiens OX=9606 GN=SLC35B1 PE=1 SV=1
+MASSSSLVPDRLRLPLCFLGVFVCYFYYGILQEKITRGKYGEGAKQETFTFALTLVFIQCVINAVFAKILIQFFDTARVDRTRSWLYAACSISYLGAMVSSNSALQFVNYPTQVLGKSCKPIPVMLLGVTLLKKKYPLAKYLCVLLIVAGVALFMYKPKKVVGIEEHTVGYGELLLLLSLTLDGLTGVSQDHMRAHYQTGSNHMMLNINLWSTLLLGMGILFTGELWEFLSFAERYPAIIYNILLFGLTSALGQSFIFMTVVYFGPLTCSIITTTRKFFTILASVILFANPISPMQWVGTVLVFLGLGLDAKFGKGAKKTSH
+>DECOY_sp|P78383|S35B1_HUMAN Solute carrier family 35 member B1 OS=Homo sapiens OX=9606 GN=SLC35B1 PE=1 SV=1
+HSTKKAGKGFKADLGLGLFVLVTGVWQMPSIPNAFLIVSALITFFKRTTTIISCTLPGFYVVTMFIFSQGLASTLGFLLINYIIAPYREAFSLFEWLEGTFLIGMGLLLTSWLNINLMMHNSGTQYHARMHDQSVGTLGDLTLSLLLLLEGYGVTHEEIGVVKKPKYMFLAVGAVILLVCLYKALPYKKKLLTVGLLMVPIPKCSKGLVQTPYNVFQLASNSSVMAGLYSISCAAYLWSRTRDVRATDFFQILIKAFVANIVCQIFVLTLAFTFTEQKAGEGYKGRTIKEQLIGYYFYCVFVGLFCLPLRLRDPVLSSSSAM
+>sp|Q8TBE7|S35G2_HUMAN Solute carrier family 35 member G2 OS=Homo sapiens OX=9606 GN=SLC35G2 PE=1 SV=3
+MDTSPSRKYPVKKRVKIHPNTVMVKYTSHYPQPGDDGYEEINEGYGNFMEENPKKGLLSEMKKKGRAFFGTMDTLPPPTEDPMINEIGQFQSFAEKNIFQSRKMWIVLFGSALAHGCVALITRLVSDRSKVPSLELIFIRSVFQVLSVLVVCYYQEAPFGPSGYRLRLFFYGVCNVISITCAYTSFSIVPPSNGTTMWRATTTVFSAILAFLLVDEKMAYVDMATVVCSILGVCLVMIPNIVDEDNSLLNAWKEAFGYTMTVMAGLTTALSMIVYRSIKEKISMWTALFTFGWTGTIWGISTMFILQEPIIPLDGETWSYLIAICVCSTAAFLGVYYALDKFHPALVSTVQHLEIVVAMVLQLLVLHIFPSIYDVFGGVIIMISVFVLAGYKLYWRNLRKQDYQEILDSPIK
+>DECOY_sp|Q8TBE7|S35G2_HUMAN Solute carrier family 35 member G2 OS=Homo sapiens OX=9606 GN=SLC35G2 PE=1 SV=3
+KIPSDLIEQYDQKRLNRWYLKYGALVFVSIMIIVGGFVDYISPFIHLVLLQLVMAVVIELHQVTSVLAPHFKDLAYYVGLFAATSCVCIAILYSWTEGDLPIIPEQLIFMTSIGWITGTWGFTFLATWMSIKEKISRYVIMSLATTLGAMVTMTYGFAEKWANLLSNDEDVINPIMVLCVGLISCVVTAMDVYAMKEDVLLFALIASFVTTTARWMTTGNSPPVISFSTYACTISIVNCVGYFFLRLRYGSPGFPAEQYYCVVLVSLVQFVSRIFILELSPVKSRDSVLRTILAVCGHALASGFLVIWMKRSQFINKEAFSQFQGIENIMPDETPPPLTDMTGFFARGKKKMESLLGKKPNEEMFNGYGENIEEYGDDGPQPYHSTYKVMVTNPHIKVRKKVPYKRSPSTDM
+>sp|Q6YBV0|S36A4_HUMAN Proton-coupled amino acid transporter 4 OS=Homo sapiens OX=9606 GN=SLC36A4 PE=1 SV=1
+MEAAATPAAAGAARREELDMDVMRPLINEQNFDGTSDEEHEQELLPVQKHYQLDDQEGISFVQTLMHLLKGNIGTGLLGLPLAIKNAGIVLGPISLVFIGIISVHCMHILVRCSHFLCLRFKKSTLGYSDTVSFAMEVSPWSCLQKQAAWGRSVVDFFLVITQLGFCSVYIVFLAENVKQVHEGFLESKVFISNSTNSSNPCERRSVDLRIYMLCFLPFIILLVFIRELKNLFVLSFLANVSMAVSLVIIYQYVVRNMPDPHNLPIVAGWKKYPLFFGTAVFAFEGIGVVLPLENQMKESKRFPQALNIGMGIVTTLYVTLATLGYMCFHDEIKGSITLNLPQDVWLYQSVKILYSFGIFVTYSIQFYVPAEIIIPGITSKFHTKWKQICEFGIRSFLVSITCAGAILIPRLDIVISFVGAVSSSTLALILPPLVEILTFSKEHYNIWMVLKNISIAFTGVVGFLLGTYITVEEIIYPTPKVVAGTPQSPFLNLNSTCLTSGLK
+>DECOY_sp|Q6YBV0|S36A4_HUMAN Proton-coupled amino acid transporter 4 OS=Homo sapiens OX=9606 GN=SLC36A4 PE=1 SV=1
+KLGSTLCTSNLNLFPSQPTGAVVKPTPYIIEEVTIYTGLLFGVVGTFAISINKLVMWINYHEKSFTLIEVLPPLILALTSSSVAGVFSIVIDLRPILIAGACTISVLFSRIGFECIQKWKTHFKSTIGPIIIEAPVYFQISYTVFIGFSYLIKVSQYLWVDQPLNLTISGKIEDHFCMYGLTALTVYLTTVIGMGINLAQPFRKSEKMQNELPLVVGIGEFAFVATGFFLPYKKWGAVIPLNHPDPMNRVVYQYIIVLSVAMSVNALFSLVFLNKLERIFVLLIIFPLFCLMYIRLDVSRRECPNSSNTSNSIFVKSELFGEHVQKVNEALFVIYVSCFGLQTIVLFFDVVSRGWAAQKQLCSWPSVEMAFSVTDSYGLTSKKFRLCLFHSCRVLIHMCHVSIIGIFVLSIPGLVIGANKIALPLGLLGTGINGKLLHMLTQVFSIGEQDDLQYHKQVPLLEQEHEEDSTGDFNQENILPRMVDMDLEERRAAGAAAPTAAAEM
+>sp|Q8WUX1|S38A5_HUMAN Sodium-coupled neutral amino acid transporter 5 OS=Homo sapiens OX=9606 GN=SLC38A5 PE=1 SV=1
+MELQDPKMNGALPSDAVGYRQEREGFLPSRGPAPGSKPVQFMDFEGKTSFGMSVFNLSNAIMGSGILGLAYAMAHTGVIFFLALLLCIALLSSYSIHLLLTCAGIAGIRAYEQLGQRAFGPAGKVVVATVICLHNVGAMSSYLFIIKSELPLVIGTFLYMDPEGDWFLKGNLLIIIVSVLIILPLALMKHLGYLGYTSGLSLTCMLFFLVSVIYKKFQLGCAIGHNETAMESEALVGLPSQGLNSSCEAQMFTVDSQMSYTVPIMAFAFVCHPEVLPIYTELCRPSKRRMQAVANVSIGAMFCMYGLTATFGYLTFYSSVKAEMLHMYSQKDPLILCVRLAVLLAVTLTVPVVLFPIRRALQQLLFPGKAFSWPRHVAIALILLVLVNVLVICVPTIRDIFGVIGSTSAPSLIFILPSIFYLRIVPSEVEPFLSWPKIQALCFGVLGVLFMAVSLGFMFANWATGQSRMSGH
+>DECOY_sp|Q8WUX1|S38A5_HUMAN Sodium-coupled neutral amino acid transporter 5 OS=Homo sapiens OX=9606 GN=SLC38A5 PE=1 SV=1
+HGSMRSQGTAWNAFMFGLSVAMFLVGLVGFCLAQIKPWSLFPEVESPVIRLYFISPLIFILSPASTSGIVGFIDRITPVCIVLVNVLVLLILAIAVHRPWSFAKGPFLLQQLARRIPFLVVPVTLTVALLVALRVCLILPDKQSYMHLMEAKVSSYFTLYGFTATLGYMCFMAGISVNAVAQMRRKSPRCLETYIPLVEPHCVFAFAMIPVTYSMQSDVTFMQAECSSNLGQSPLGVLAESEMATENHGIACGLQFKKYIVSVLFFLMCTLSLGSTYGLYGLHKMLALPLIILVSVIIILLNGKLFWDGEPDMYLFTGIVLPLESKIIFLYSSMAGVNHLCIVTAVVVKGAPGFARQGLQEYARIGAIGACTLLLHISYSSLLAICLLLALFFIVGTHAMAYALGLIGSGMIANSLNFVSMGFSTKGEFDMFQVPKSGPAPGRSPLFGEREQRYGVADSPLAGNMKPDQLEM
+>sp|Q8NBW4|S38A9_HUMAN Sodium-coupled neutral amino acid transporter 9 OS=Homo sapiens OX=9606 GN=SLC38A9 PE=1 SV=2
+MANMNSDSRHLGTSEVDHERDPGPMNIQFEPSDLRSKRPFCIEPTNIVNVNHVIQRVSDHASAMNKRIHYYSRLTTPADKALIAPDHVVPAPEECYVYSPLGSAYKLQSYTEGYGKNTSLVTIFMIWNTMMGTSILSIPWGIKQAGFTTGMCVIILMGLLTLYCCYRVVKSRTMMFSLDTTSWEYPDVCRHYFGSFGQWSSLLFSLVSLIGAMIVYWVLMSNFLFNTGKFIFNFIHHINDTDTILSTNNSNPVICPSAGSGGHPDNSSMIFYANDTGAQQFEKWWDKSRTVPFYLVGLLLPLLNFKSPSFFSKFNILGTVSVLYLIFLVTFKAVRLGFHLEFHWFIPTEFFVPEIRFQFPQLTGVLTLAFFIHNCIITLLKNNKKQENNVRDLCIAYMLVTLTYLYIGVLVFASFPSPPLSKDCIEQNFLDNFPSSDTLSFIARIFLLFQMMTVYPLLGYLARVQLLGHIFGDIYPSIFHVLILNLIIVGAGVIMACFYPNIGGIIRYSGAACGLAFVFIYPSLIYIISLHQEERLTWPKLIFHVFIIILGVANLIVQFFM
+>DECOY_sp|Q8NBW4|S38A9_HUMAN Sodium-coupled neutral amino acid transporter 9 OS=Homo sapiens OX=9606 GN=SLC38A9 PE=1 SV=2
+MFFQVILNAVGLIIIFVHFILKPWTLREEQHLSIIYILSPYIFVFALGCAAGSYRIIGGINPYFCAMIVGAGVIILNLILVHFISPYIDGFIHGLLQVRALYGLLPYVTMMQFLLFIRAIFSLTDSSPFNDLFNQEICDKSLPPSPFSAFVLVGIYLYTLTVLMYAICLDRVNNEQKKNNKLLTIICNHIFFALTLVGTLQPFQFRIEPVFFETPIFWHFELHFGLRVAKFTVLFILYLVSVTGLINFKSFFSPSKFNLLPLLLGVLYFPVTRSKDWWKEFQQAGTDNAYFIMSSNDPHGGSGASPCIVPNSNNTSLITDTDNIHHIFNFIFKGTNFLFNSMLVWYVIMAGILSVLSFLLSSWQGFSGFYHRCVDPYEWSTTDLSFMMTRSKVVRYCCYLTLLGMLIIVCMGTTFGAQKIGWPISLISTGMMTNWIMFITVLSTNKGYGETYSQLKYASGLPSYVYCEEPAPVVHDPAILAKDAPTTLRSYYHIRKNMASAHDSVRQIVHNVNVINTPEICFPRKSRLDSPEFQINMPGPDREHDVESTGLHRSDSNMNAM
+>sp|Q9NUM3|S39A9_HUMAN Zinc transporter ZIP9 OS=Homo sapiens OX=9606 GN=SLC39A9 PE=1 SV=2
+MDDFISISLLSLAMLVGCYVAGIIPLAVNFSEERLKLVTVLGAGLLCGTALAVIVPEGVHALYEDILEGKHHQASETHNVIASDKAAEKSVVHEHEHSHDHTQLHAYIGVSLVLGFVFMLLVDQIGNSHVHSTDDPEAARSSNSKITTTLGLVVHAAADGVALGAAASTSQTSVQLIVFVAIMLHKAPAAFGLVSFLMHAGLERNRIRKHLLVFALAAPVMSMVTYLGLSKSSKEALSEVNATGVAMLFSAGTFLYVATVHVLPEVGGIGHSHKPDATGGRGLSRLEVAALVLGCLIPLILSVGHQH
+>DECOY_sp|Q9NUM3|S39A9_HUMAN Zinc transporter ZIP9 OS=Homo sapiens OX=9606 GN=SLC39A9 PE=1 SV=2
+HQHGVSLILPILCGLVLAAVELRSLGRGGTADPKHSHGIGGVEPLVHVTAVYLFTGASFLMAVGTANVESLAEKSSKSLGLYTVMSMVPAALAFVLLHKRIRNRELGAHMLFSVLGFAAPAKHLMIAVFVILQVSTQSTSAAAGLAVGDAAAHVVLGLTTTIKSNSSRAAEPDDTSHVHSNGIQDVLLMFVFGLVLSVGIYAHLQTHDHSHEHEHVVSKEAAKDSAIVNHTESAQHHKGELIDEYLAHVGEPVIVALATGCLLGAGLVTVLKLREESFNVALPIIGAVYCGVLMALSLLSISIFDDM
+>sp|Q9ULF5|S39AA_HUMAN Zinc transporter ZIP10 OS=Homo sapiens OX=9606 GN=SLC39A10 PE=1 SV=2
+MKVHMHTKFCLICLLTFIFHHCNHCHEEHDHGPEALHRQHRGMTELEPSKFSKQAAENEKKYYIEKLFERYGENGRLSFFGLEKLLTNLGLGERKVVEINHEDLGHDHVSHLDILAVQEGKHFHSHNHQHSHNHLNSENQTVTSVSTKRNHKCDPEKETVEVSVKSDDKHMHDHNHRLRHHHRLHHHLDHNNTHHFHNDSITPSERGEPSNEPSTETNKTQEQSDVKLPKGKRKKKGRKSNENSEVITPGFPPNHDQGEQYEHNRVHKPDRVHNPGHSHVHLPERNGHDPGRGHQDLDPDNEGELRHTRKREAPHVKNNAIISLRKDLNEDDHHHECLNVTQLLKYYGHGANSPISTDLFTYLCPALLYQIDSRLCIEHFDKLLVEDINKDKNLVPEDEANIGASAWICGIISITVISLLSLLGVILVPIINQGCFKFLLTFLVALAVGTMSGDALLHLLPHSQGGHDHSHQHAHGHGHSHGHESNKFLEEYDAVLKGLVALGGIYLLFIIEHCIRMFKHYKQQRGKQKWFMKQNTEESTIGRKLSDHKLNNTPDSDWLQLKPLAGTDDSVVSEDRLNETELTDLEGQQESPPKNYLCIEEEKIIDHSHSDGLHTIHEHDLHAAAHNHHGENKTVLRKHNHQWHHKHSHHSHGPCHSGSDLKETGIANIAWMVIMGDGIHNFSDGLAIGAAFSAGLTGGISTSIAVFCHELPHELGDFAVLLKAGMTVKQAIVYNLLSAMMAYIGMLIGTAVGQYANNITLWIFAVTAGMFLYVALVDMLPEMLHGDGDNEEHGFCPVGQFILQNLGLLFGFAIMLVIALYEDKIVFDIQF
+>DECOY_sp|Q9ULF5|S39AA_HUMAN Zinc transporter ZIP10 OS=Homo sapiens OX=9606 GN=SLC39A10 PE=1 SV=2
+FQIDFVIKDEYLAIVLMIAFGFLLGLNQLIFQGVPCFGHEENDGDGHLMEPLMDVLAVYLFMGATVAFIWLTINNAYQGVATGILMGIYAMMASLLNYVIAQKVTMGAKLLVAFDGLEHPLEHCFVAISTSIGGTLGASFAAGIALGDSFNHIGDGMIVMWAINAIGTEKLDSGSHCPGHSHHSHKHHWQHNHKRLVTKNEGHHNHAAAHLDHEHITHLGDSHSHDIIKEEEICLYNKPPSEQQGELDTLETENLRDESVVSDDTGALPKLQLWDSDPTNNLKHDSLKRGITSEETNQKMFWKQKGRQQKYHKFMRICHEIIFLLYIGGLAVLGKLVADYEELFKNSEHGHSHGHGHAHQHSHDHGGQSHPLLHLLADGSMTGVALAVLFTLLFKFCGQNIIPVLIVGLLSLLSIVTISIIGCIWASAGINAEDEPVLNKDKNIDEVLLKDFHEICLRSDIQYLLAPCLYTFLDTSIPSNAGHGYYKLLQTVNLCEHHHDDENLDKRLSIIANNKVHPAERKRTHRLEGENDPDLDQHGRGPDHGNREPLHVHSHGPNHVRDPKHVRNHEYQEGQDHNPPFGPTIVESNENSKRGKKKRKGKPLKVDSQEQTKNTETSPENSPEGRESPTISDNHFHHTNNHDLHHHLRHHHRLRHNHDHMHKDDSKVSVEVTEKEPDCKHNRKTSVSTVTQNESNLHNHSHQHNHSHFHKGEQVALIDLHSVHDHGLDEHNIEVVKREGLGLNTLLKELGFFSLRGNEGYREFLKEIYYKKENEAAQKSFKSPELETMGRHQRHLAEPGHDHEEHCHNCHHFIFTLLCILCFKTHMHVKM
+>sp|Q504Y0|S39AC_HUMAN Zinc transporter ZIP12 OS=Homo sapiens OX=9606 GN=SLC39A12 PE=2 SV=3
+MCFRTKLSVSWVPLFLLLSRVFSTETDKPSAQDSRSRGSSGQPADLLQVLSAGDHPPHNHSRSLIKTLLEKTGCPRRRNGMQGDCNLCFEPDALLLIAGGNFEDQLREEVVQRVSLLLLYYIIHQEEICSSKLNMSNKEYKFYLHSLLSLRQDEDSSFLSQNETEDILAFTRQYFDTSQSQCMETKTLQKKSGIVSSEGANESTLPQLAAMIITLSLQGVCLGQGNLPSPDYFTEYIFSSLNRTNTLRLSELDQLLNTLWTRSTCIKNEKIHQFQRKQNNIITHDQDYSNFSSSMEKESEDGPVSWDQTCFSARQLVEIFLQKGLSLISKEDFKQMSPGIIQQLLSCSCHLPKDQQAKLPPTTLEKYGYSTVAVTLLTLGSMLGTALVLFHSCEENYRLILQLFVGLAVGTLSGDALLHLIPQVLGLHKQEAPEFGHFHESKGHIWKLMGLIGGIHGFFLIEKCFILLVSPNDKQGLSLVNGHVGHSHHLALNSELSDQAGRGKSASTIQLKSPEDSQAAEMPIGSMTASNRKCKAISLLAIMILVGDSLHNFADGLAIGAAFSSSSESGVTTTIAILCHEIPHEMGDFAVLLSSGLSMKTAILMNFISSLTAFMGLYIGLSVSADPCVQDWIFTVTAGMFLYLSLVEMLPEMTHVQTQRPWMMFLLQNFGLILGWLSLLLLAIYEQNIKI
+>DECOY_sp|Q504Y0|S39AC_HUMAN Zinc transporter ZIP12 OS=Homo sapiens OX=9606 GN=SLC39A12 PE=2 SV=3
+IKINQEYIALLLLSLWGLILGFNQLLFMMWPRQTQVHTMEPLMEVLSLYLFMGATVTFIWDQVCPDASVSLGIYLGMFATLSSIFNMLIATKMSLGSSLLVAFDGMEHPIEHCLIAITTTVGSESSSSFAAGIALGDAFNHLSDGVLIMIALLSIAKCKRNSATMSGIPMEAAQSDEPSKLQITSASKGRGAQDSLESNLALHHSHGVHGNVLSLGQKDNPSVLLIFCKEILFFGHIGGILGMLKWIHGKSEHFHGFEPAEQKHLGLVQPILHLLADGSLTGVALGVFLQLILRYNEECSHFLVLATGLMSGLTLLTVAVTSYGYKELTTPPLKAQQDKPLHCSCSLLQQIIGPSMQKFDEKSILSLGKQLFIEVLQRASFCTQDWSVPGDESEKEMSSSFNSYDQDHTIINNQKRQFQHIKENKICTSRTWLTNLLQDLESLRLTNTRNLSSFIYETFYDPSPLNGQGLCVGQLSLTIIMAALQPLTSENAGESSVIGSKKQLTKTEMCQSQSTDFYQRTFALIDETENQSLFSSDEDQRLSLLSHLYFKYEKNSMNLKSSCIEEQHIIYYLLLLSVRQVVEERLQDEFNGGAILLLADPEFCLNCDGQMGNRRRPCGTKELLTKILSRSHNHPPHDGASLVQLLDAPQGSSGRSRSDQASPKDTETSFVRSLLLFLPVWSVSLKTRFCM
+>sp|Q9Y2H2|SAC2_HUMAN Phosphatidylinositide phosphatase SAC2 OS=Homo sapiens OX=9606 GN=INPP5F PE=1 SV=3
+MELFQAKDHYILQQGERALWCSRRDGGLQLRPATDLLLAWNPICLGLVEGVIGKIQLHSDLPWWLILIRQKALVGKLPGDHEVCKVTKIAVLSLSEMEPQDLELELCKKHHFGINKPEKIIPSPDDSKFLLKTFTHIKSNVSAPNKKKVKESKEKEKLERRLLEELLKMFMDSESFYYSLTYDLTNSVQRQSTGERDGRPLWQKVDDRFFWNKYMIQDLTEIGTPDVDFWIIPMIQGFVQIEELVVNYTESSDDEKSSPETPPQESTCVDDIHPRFLVALISRRSRHRAGMRYKRRGVDKNGNVANYVETEQLIHVHNHTLSFVQTRGSVPVFWSQVGYRYNPRPRLDRSEKETVAYFCAHFEEQLNIYKKQVIINLVDQAGREKIIGDAYLKQVLLFNNSHLTYVSFDFHEHCRGMKFENVQTLTDAIYDIILDMKWCWVDEAGVICKQEGIFRVNCMDCLDRTNVVQAAIARVVMEQQLKKLGVMPPEQPLPVKCNRIYQIMWANNGDSISRQYAGTAALKGDFTRTGERKLAGVMKDGVNSANRYYLNRFKDAYRQAVIDLMQGIPVTEDLYSIFTKEKEHEALHKENQRSHQELISQLLQSYMKLLLPDDEKFHGGWALIDCDPSLIDATHRDVDVLLLLSNSAYYVAYYDDEVDKVNQYQRLSLENLEKIEIGPEPTLFGKPKFSCMRLHYRYKEASGYFHTLRAVMRNPEEDGKDTLQCIAEMLQITKQAMGSDLPIIEKKLERKSSKPHEDIIGIRSQNQGSLAQGKNFLMSKFSSLNQKVKQTKSNVNIGNLRKLGNFTKPEMKVNFLKPNLKVNLWKSDSSLETMENTGVMDKVQAESDGDMSSDNDSYHSDEFLTNSKSDEDRQLANSLESVGPIDYVLPSCGIIASAPRLGSRSQSLSSTDSSVHAPSEITVAHGSGLGKGQESPLKKSPSAGDVHILTGFAKPMDIYCHRFVQDAQNKVTHLSETRSVSQQASQERNQMTNQVSNETQSESTEQTPSRPSQLDVSLSATGPQFLSVEPAHSVASQKTPTSASSMLELETGLHVTPSPSESSSSRAVSPFAKIRSSMVQVASITQAGLTHGINFAVSKVQKSPPEPEIINQVQQNELKKMFIQCQTRIIQI
+>DECOY_sp|Q9Y2H2|SAC2_HUMAN Phosphatidylinositide phosphatase SAC2 OS=Homo sapiens OX=9606 GN=INPP5F PE=1 SV=3
+IQIIRTQCQIFMKKLENQQVQNIIEPEPPSKQVKSVAFNIGHTLGAQTISAVQVMSSRIKAFPSVARSSSSESPSPTVHLGTELELMSSASTPTKQSAVSHAPEVSLFQPGTASLSVDLQSPRSPTQETSESQTENSVQNTMQNREQSAQQSVSRTESLHTVKNQADQVFRHCYIDMPKAFGTLIHVDGASPSKKLPSEQGKGLGSGHAVTIESPAHVSSDTSSLSQSRSGLRPASAIIGCSPLVYDIPGVSELSNALQRDEDSKSNTLFEDSHYSDNDSSMDGDSEAQVKDMVGTNEMTELSSDSKWLNVKLNPKLFNVKMEPKTFNGLKRLNGINVNSKTQKVKQNLSSFKSMLFNKGQALSGQNQSRIGIIDEHPKSSKRELKKEIIPLDSGMAQKTIQLMEAICQLTDKGDEEPNRMVARLTHFYGSAEKYRYHLRMCSFKPKGFLTPEPGIEIKELNELSLRQYQNVKDVEDDYYAVYYASNSLLLLVDVDRHTADILSPDCDILAWGGHFKEDDPLLLKMYSQLLQSILEQHSRQNEKHLAEHEKEKTFISYLDETVPIGQMLDIVAQRYADKFRNLYYRNASNVGDKMVGALKREGTRTFDGKLAATGAYQRSISDGNNAWMIQYIRNCKVPLPQEPPMVGLKKLQQEMVVRAIAAQVVNTRDLCDMCNVRFIGEQKCIVGAEDVWCWKMDLIIDYIADTLTQVNEFKMGRCHEHFDFSVYTLHSNNFLLVQKLYADGIIKERGAQDVLNIIVQKKYINLQEEFHACFYAVTEKESRDLRPRPNYRYGVQSWFVPVSGRTQVFSLTHNHVHILQETEVYNAVNGNKDVGRRKYRMGARHRSRRSILAVLFRPHIDDVCTSEQPPTEPSSKEDDSSETYNVVLEEIQVFGQIMPIIWFDVDPTGIETLDQIMYKNWFFRDDVKQWLPRGDREGTSQRQVSNTLDYTLSYYFSESDMFMKLLEELLRRELKEKEKSEKVKKKNPASVNSKIHTFTKLLFKSDDPSPIIKEPKNIGFHHKKCLELELDQPEMESLSLVAIKTVKCVEHDGPLKGVLAKQRILILWWPLDSHLQIKGIVGEVLGLCIPNWALLLDTAPRLQLGGDRRSCWLAREGQQLIYHDKAQFLEM
+>sp|Q96E40|SACA9_HUMAN Sperm acrosome-associated protein 9 OS=Homo sapiens OX=9606 GN=SPACA9 PE=1 SV=1
+MNEVKESLRSIEQKYKLFQQQQLTFTAALEHCRENAHDKIRPISSIGQVQSYMEHYCNSSTDRRVLLMFLDICSELNKLCQHFEAVHSGTPVTNNLLEKCKTLVSQSNDLSSLRAKYPHDVVNHLSCDEARNHYGGVVSLIPLILDLMKEWIAHSEKLPRKVLQHVSEPQAHQESTRGAARPAQAIGTQPRATKHKCRQLTKASLKPRGCSKPPWRPPGGKL
+>DECOY_sp|Q96E40|SACA9_HUMAN Sperm acrosome-associated protein 9 OS=Homo sapiens OX=9606 GN=SPACA9 PE=1 SV=1
+LKGGPPRWPPKSCGRPKLSAKTLQRCKHKTARPQTGIAQAPRAAGRTSEQHAQPESVHQLVKRPLKESHAIWEKMLDLILPILSVVGGYHNRAEDCSLHNVVDHPYKARLSSLDNSQSVLTKCKELLNNTVPTGSHVAEFHQCLKNLESCIDLFMLLVRRDTSSNCYHEMYSQVQGISSIPRIKDHANERCHELAATFTLQQQQFLKYKQEISRLSEKVENM
+>sp|Q15424|SAFB1_HUMAN Scaffold attachment factor B1 OS=Homo sapiens OX=9606 GN=SAFB PE=1 SV=4
+MAETLSGLGDSGAAGAAALSSASSETGTRRLSDLRVIDLRAELRKRNVDSSGNKSVLMERLKKAIEDEGGNPDEIEITSEGNKKTSKRSSKGRKPEEEGVEDNGLEENSGDGQEDVETSLENLQDIDIMDISVLDEAEIDNGSVADCVEDDDADNLQESLSDSRELVEGEMKELPEQLQEHAIEDKETINNLDTSSSDFTILQEIEEPSLEPENEKILDILGETCKSEPVKEESSELEQPFAQDTSSVGPDRKLAEEEDLFDSAHPEEGDLDLASESTAHAQSSKADSLLAVVKREPAEQPGDGERTDCEPVGLEPAVEQSSAASELAEASSEELAEAPTEAPSPEARDSKEDGRKFDFDACNEVPPAPKESSTSEGADQKMSSPEDDSDTKRLSKEEKGRSSCGRNFWVSGLSSTTRATDLKNLFSKYGKVVGAKVVTNARSPGARCYGFVTMSTAEEATKCINHLHKTELHGKMISVEKAKNEPVGKKTSDKRDSDGKKEKSSNSDRSTNLKRDDKCDRKDDAKKGDDGSGEKSKDQDDQKPGPSERSRATKSGSRGTERTVVMDKSKGVPVISVKTSGSKERASKSQDRKSASREKRSVVSFDKVKEPRKSRDSESHSRVRERSEREQRMQAQWEREERERLEIARERLAFQRQRLERERMERERLERERMHVEHERRREQERIHREREELRRQQELRYEQERRPAVRRPYDLDRRDDAYWPEAKRAALDERYHSDFNRQDRFHDFDHRDRGRYPDHSVDRREGSRSMMGEREGQHYPERHGGPERHGRDSRDGWGGYGSDKRMSEGRGLPPPPRRDWGDHGRREDDRSWQGTADGGMMDRDHKRWQGGERSMSGHSGPGHMMNRGGMSGRGSFAPGGASRGHPIPHGGMQGGFGGQSRGSRPSDARFTRRY
+>DECOY_sp|Q15424|SAFB1_HUMAN Scaffold attachment factor B1 OS=Homo sapiens OX=9606 GN=SAFB PE=1 SV=4
+YRRTFRADSPRSGRSQGGFGGQMGGHPIPHGRSAGGPAFSGRGSMGGRNMMHGPGSHGSMSREGGQWRKHDRDMMGGDATGQWSRDDERRGHDGWDRRPPPPLGRGESMRKDSGYGGWGDRSDRGHREPGGHREPYHQGEREGMMSRSGERRDVSHDPYRGRDRHDFDHFRDQRNFDSHYREDLAARKAEPWYADDRRDLDYPRRVAPRREQEYRLEQQRRLEERERHIREQERRREHEVHMRERELREREMRERELRQRQFALRERAIELREREEREWQAQMRQERESRERVRSHSESDRSKRPEKVKDFSVVSRKERSASKRDQSKSAREKSGSTKVSIVPVGKSKDMVVTRETGRSGSKTARSRESPGPKQDDQDKSKEGSGDDGKKADDKRDCKDDRKLNTSRDSNSSKEKKGDSDRKDSTKKGVPENKAKEVSIMKGHLETKHLHNICKTAEEATSMTVFGYCRAGPSRANTVVKAGVVKGYKSFLNKLDTARTTSSLGSVWFNRGCSSRGKEEKSLRKTDSDDEPSSMKQDAGESTSSEKPAPPVENCADFDFKRGDEKSDRAEPSPAETPAEALEESSAEALESAASSQEVAPELGVPECDTREGDGPQEAPERKVVALLSDAKSSQAHATSESALDLDGEEPHASDFLDEEEALKRDPGVSSTDQAFPQELESSEEKVPESKCTEGLIDLIKENEPELSPEEIEQLITFDSSSTDLNNITEKDEIAHEQLQEPLEKMEGEVLERSDSLSEQLNDADDDEVCDAVSGNDIEAEDLVSIDMIDIDQLNELSTEVDEQGDGSNEELGNDEVGEEEPKRGKSSRKSTKKNGESTIEIEDPNGGEDEIAKKLREMLVSKNGSSDVNRKRLEARLDIVRLDSLRRTGTESSASSLAAAGAAGSDGLGSLTEAM
+>sp|Q8IZD0|SAM14_HUMAN Sterile alpha motif domain-containing protein 14 OS=Homo sapiens OX=9606 GN=SAMD14 PE=2 SV=2
+MASSKLREPVDEVFDLDLAVPETARLDSSLHKARAQLLAKGRRHRPSRSRLRDSASSAEDGEGSDGPGGKVTDGCGSPLHRLRSPLHSGPGSPAGGSFCLDPPGLRRSLDEDEPPPSPLTRYRPLHNAASHEGLAAASCSPPRSAPSSDSSPSFVRRHPRAEPHSEDDSRDASPPEPASPTIGLDKKTRRKFLDLGVTLRRASTGKSRKEKGSNRLSMGSRESVEGSGRSGGSPFLPFSWFTDSGKGSASSGSTTSPTCSPKHEGFSPKKSASQESTLSDDSTPPSSSPKIPSGPWQEAKCSYPYHTLSQSSDEFLDEPLPPVHHWTSQQVGQWLQSLNLEQYAAEFAARQVDGPQLLQLDGSKLKSLGLSNSHDRALVKRKLKEMAAAAEKERKAQEKAARQREKLRRREQEAKKS
+>DECOY_sp|Q8IZD0|SAM14_HUMAN Sterile alpha motif domain-containing protein 14 OS=Homo sapiens OX=9606 GN=SAMD14 PE=2 SV=2
+SKKAEQERRRLKERQRAAKEQAKREKEAAAAMEKLKRKVLARDHSNSLGLSKLKSGDLQLLQPGDVQRAAFEAAYQELNLSQLWQGVQQSTWHHVPPLPEDLFEDSSQSLTHYPYSCKAEQWPGSPIKPSSSPPTSDDSLTSEQSASKKPSFGEHKPSCTPSTTSGSSASGKGSDTFWSFPLFPSGGSRGSGEVSERSGMSLRNSGKEKRSKGTSARRLTVGLDLFKRRTKKDLGITPSAPEPPSADRSDDESHPEARPHRRVFSPSSDSSPASRPPSCSAAALGEHSAANHLPRYRTLPSPPPEDEDLSRRLGPPDLCFSGGAPSGPGSHLPSRLRHLPSGCGDTVKGGPGDSGEGDEASSASDRLRSRSPRHRRGKALLQARAKHLSSDLRATEPVALDLDFVEDVPERLKSSAM
+>sp|Q8IVG5|SAM9L_HUMAN Sterile alpha motif domain-containing protein 9-like OS=Homo sapiens OX=9606 GN=SAMD9L PE=1 SV=2
+MSKQVSLPEMIKDWTKEHVKKWVNEDLKINEQYGQILLSEEVTGLVLQELTEKDLVEMGLPWGPALLIKRSYNKLNSKSPESDNHDPGQLDNSKPSKTEHQKNPKHTKKEEENSMSSNIDYDPREIRDIKQEESILMKENVLDEVANAKHKKKGKLKPEQLTCMPYPFDQFHDSHRYIEHYTLQPETGALNLIDPIHEFKALTNTETATEVDIKMKFSNEVFRFASACMNSRTNGTIHFGVKDKPHGEIVGVKITSKAAFIDHFNVMIKKYFEESEINEAKKCIREPRFVEVLLQNNTPSDRFVIEVDTIPKHSICNDKYFYIQMQICKDKIWKQNQNLSLFVREGASSRDILANSKQRDVDFKAFLQNLKSLVASRKEAEEEYGMKAMKKESEGLKLVKLLIGNRDSLDNSYYDWYILVTNKCHPNQIKHLDFLKEIKWFAVLEFDPESMINGVVKAYKESRVANLHFPNQYEDKTTNMWEKISTLNLYQQPSWIFCNGRSDLKSETYKPLEPHLWQRERASEVRKLILFLTDENIMTRGKFLVVFLLLSSVESPGDPLIETFWAFYQALKGMENMLCISVNSHIYQRWKDLLQTRMKMEDELTNHSISTLNIELVNSTILKLKSVTRSSRRFLPARGSSSVILEKKKEDVLTALEILCENECTETDIEKDKSKFLEFKKSKEEHFYRGGKVSWWNFYFSSENYSSDFVKRDSYEKLKDLIHCWAESPKPIFAKIINLYHHPGCGGTTLAMHVLWDLKKNFRCAVLKNKTTDFAEIAEQVINLVTYRAKSHQDYIPVLLLVDDFEEQENVYFLQNAIHSVLAEKDLRYEKTLVIILNCMRSRNPDESAKLADSIALNYQLSSKEQRAFGAKLKEIEKQHKNCENFYSFMIMKSNFDETYIENVVRNILKGQDVDSKEAQLISFLALLSSYVTDSTISVSQCEIFLGIIYTSTPWEPESLEDKMGTYSTLLIKTEVAEYGRYTGVRIIHPLIALYCLKELERSYHLDKCQIALNILEENLFYDSGIGRDKFQHDVQTLLLTRQRKVYGDETDTLFSPLMEALQNKDIEKVLSAGSRRFPQNAFICQALARHFYIKEKDFNTALDWARQAKMKAPKNSYISDTLGQVYKSEIKWWLDGNKNCRSITVNDLTHLLEAAEKASRAFKESQRQTDSKNYETENWSPQKSQRRYDMYNTACFLGEIEVGLYTIQILQLTPFFHKENELSKKHMVQFLSGKWTIPPDPRNECYLALSKFTSHLKNLQSDLKRCFDFFIDYMVLLKMRYTQKEIAEIMLSKKVSRCFRKYTELFCHLDPCLLQSKESQLLQEENCRKKLEALRADRFAGLLEYLNPNYKDATTMESIVNEYAFLLQQNSKKPMTNEKQNSILANIILSCLKPNSKLIQPLTTLKKQLREVLQFVGLSHQYPGPYFLACLLFWPENQELDQDSKLIEKYVSSLNRSFRGQYKRMCRSKQASTLFYLGKRKGLNSIVHKAKIEQYFDKAQNTNSLWHSGDVWKKNEVKDLLRRLTGQAEGKLISVEYGTEEKIKIPVISVYSGPLRSGRNIERVSFYLGFSIEGPLAYDIEVI
+>DECOY_sp|Q8IVG5|SAM9L_HUMAN Sterile alpha motif domain-containing protein 9-like OS=Homo sapiens OX=9606 GN=SAMD9L PE=1 SV=2
+IVEIDYALPGEISFGLYFSVREINRGSRLPGSYVSIVPIKIKEETGYEVSILKGEAQGTLRRLLDKVENKKWVDGSHWLSNTNQAKDFYQEIKAKHVISNLGKRKGLYFLTSAQKSRCMRKYQGRFSRNLSSVYKEILKSDQDLEQNEPWFLLCALFYPGPYQHSLGVFQLVERLQKKLTTLPQILKSNPKLCSLIINALISNQKENTMPKKSNQQLLFAYENVISEMTTADKYNPNLYELLGAFRDARLAELKKRCNEEQLLQSEKSQLLCPDLHCFLETYKRFCRSVKKSLMIEAIEKQTYRMKLLVMYDIFFDFCRKLDSQLNKLHSTFKSLALYCENRPDPPITWKGSLFQVMHKKSLENEKHFFPTLQLIQITYLGVEIEGLFCATNYMDYRRQSKQPSWNETEYNKSDTQRQSEKFARSAKEAAELLHTLDNVTISRCNKNGDLWWKIESKYVQGLTDSIYSNKPAKMKAQRAWDLATNFDKEKIYFHRALAQCIFANQPFRRSGASLVKEIDKNQLAEMLPSFLTDTEDGYVKRQRTLLLTQVDHQFKDRGIGSDYFLNEELINLAIQCKDLHYSRELEKLCYLAILPHIIRVGTYRGYEAVETKILLTSYTGMKDELSEPEWPTSTYIIGLFIECQSVSITSDTVYSSLLALFSILQAEKSDVDQGKLINRVVNEIYTEDFNSKMIMFSYFNECNKHQKEIEKLKAGFARQEKSSLQYNLAISDALKASEDPNRSRMCNLIIVLTKEYRLDKEALVSHIANQLFYVNEQEEFDDVLLLVPIYDQHSKARYTVLNIVQEAIEAFDTTKNKLVACRFNKKLDWLVHMALTTGGCGPHHYLNIIKAFIPKPSEAWCHILDKLKEYSDRKVFDSSYNESSFYFNWWSVKGGRYFHEEKSKKFELFKSKDKEIDTETCENECLIELATLVDEKKKELIVSSSGRAPLFRRSSRTVSKLKLITSNVLEINLTSISHNTLEDEMKMRTQLLDKWRQYIHSNVSICLMNEMGKLAQYFAWFTEILPDGPSEVSSLLLFVVLFKGRTMINEDTLFLILKRVESARERQWLHPELPKYTESKLDSRGNCFIWSPQQYLNLTSIKEWMNTTKDEYQNPFHLNAVRSEKYAKVVGNIMSEPDFELVAFWKIEKLFDLHKIQNPHCKNTVLIYWDYYSNDLSDRNGILLKVLKLGESEKKMAKMGYEEEAEKRSAVLSKLNQLFAKFDVDRQKSNALIDRSSAGERVFLSLNQNQKWIKDKCIQMQIYFYKDNCISHKPITDVEIVFRDSPTNNQLLVEVFRPERICKKAENIESEEFYKKIMVNFHDIFAAKSTIKVGVIEGHPKDKVGFHITGNTRSNMCASAFRFVENSFKMKIDVETATETNTLAKFEHIPDILNLAGTEPQLTYHEIYRHSDHFQDFPYPMCTLQEPKLKGKKKHKANAVEDLVNEKMLISEEQKIDRIERPDYDINSSMSNEEEKKTHKPNKQHETKSPKSNDLQGPDHNDSEPSKSNLKNYSRKILLAPGWPLGMEVLDKETLEQLVLGTVEESLLIQGYQENIKLDENVWKKVHEKTWDKIMEPLSVQKSM
+>sp|Q9NSI8|SAMN1_HUMAN SAM domain-containing protein SAMSN-1 OS=Homo sapiens OX=9606 GN=SAMSN1 PE=1 SV=1
+MLKRKPSNVSEKEKHQKPKRSSSFGNFDRFRNNSLSKPDDSTEAHEGDPTNGSGEQSKTSNNGGGLGKKMRAISWTMKKKVGKKYIKALSEEKDEEDGENAHPYRNSDPVIGTHTEKVSLKASDSMDSLYSGQSSSSGITSCSDGTSNRDSFRLDDDGPYSGPFCGRARVHTDFTPSPYDTDSLKIKKGDIIDIICKTPMGMWTGMLNNKVGNFKFIYVDVISEEEAAPKKIKANRRSNSKKSKTLQEFLERIHLQEYTSTLLLNGYETLEDLKDIKESHLIELNIENPDDRRRLLSAAENFLEEEIIQEQENEPEPLSLSSDISLNKSQLDDCPRDSGCYISSGNSDNGKEDLESENLSDMVHKIIITEPSD
+>DECOY_sp|Q9NSI8|SAMN1_HUMAN SAM domain-containing protein SAMSN-1 OS=Homo sapiens OX=9606 GN=SAMSN1 PE=1 SV=1
+DSPETIIIKHVMDSLNESELDEKGNDSNGSSIYCGSDRPCDDLQSKNLSIDSSLSLPEPENEQEQIIEEELFNEAASLLRRRDDPNEINLEILHSEKIDKLDELTEYGNLLLTSTYEQLHIRELFEQLTKSKKSNSRRNAKIKKPAAEEESIVDVYIFKFNGVKNNLMGTWMGMPTKCIIDIIDGKKIKLSDTDYPSPTFDTHVRARGCFPGSYPGDDDLRFSDRNSTGDSCSTIGSSSSQGSYLSDMSDSAKLSVKETHTGIVPDSNRYPHANEGDEEDKEESLAKIYKKGVKKKMTWSIARMKKGLGGGNNSTKSQEGSGNTPDGEHAETSDDPKSLSNNRFRDFNGFSSSRKPKQHKEKESVNSPKRKLM
+>sp|Q9NQZ2|SAS10_HUMAN Something about silencing protein 10 OS=Homo sapiens OX=9606 GN=UTP3 PE=1 SV=1
+MVGRSRRRGAAKWAAVRAKAGPTLTDENGDDLGLPPSPGDTSYYQDQVDDFHEARSRAALAKGWNEVQSGDEEDGEEEEEEVLALDMDDEDDEDGGNAGEEEEEENADDDGGSSVQSEAEASVDPSLSWGQRKKLYYDTDYGSKSRGRQSQQEAEEEEREEEEEAQIIQRRLAQALQEDDFGVAWVEAFAKPVPQVDEAETRVVKDLAKVSVKEKLKMLRKESPELLELIEDLKVKLTEVKDELEPLLELVEQGIIPPGKGSQYLRTKYNLYLNYCSNISFYLILKARRVPAHGHPVIERLVTYRNLINKLSVVDQKLSSEIRHLLTLKDDAVKKELIPKAKSTKPKPKSVSKTSAAACAVTDLSDDSDFDEKAKLKYYKEIEDRQKLKRKKEENSTEEQALEDQNAKRAITYQIAKNRGLTPRRKKIDRNPRVKHREKFRRAKIRRRGQVREVRKEEQRYSGELSGIRAGVKKSIKLK
+>DECOY_sp|Q9NQZ2|SAS10_HUMAN Something about silencing protein 10 OS=Homo sapiens OX=9606 GN=UTP3 PE=1 SV=1
+KLKISKKVGARIGSLEGSYRQEEKRVERVQGRRRIKARRFKERHKVRPNRDIKKRRPTLGRNKAIQYTIARKANQDELAQEETSNEEKKRKLKQRDEIEKYYKLKAKEDFDSDDSLDTVACAAASTKSVSKPKPKTSKAKPILEKKVADDKLTLLHRIESSLKQDVVSLKNILNRYTVLREIVPHGHAPVRRAKLILYFSINSCYNLYLNYKTRLYQSGKGPPIIGQEVLELLPELEDKVETLKVKLDEILELLEPSEKRLMKLKEKVSVKALDKVVRTEAEDVQPVPKAFAEVWAVGFDDEQLAQALRRQIIQAEEEEEREEEEAEQQSQRGRSKSGYDTDYYLKKRQGWSLSPDVSAEAESQVSSGGDDDANEEEEEEGANGGDEDDEDDMDLALVEEEEEEGDEEDGSQVENWGKALAARSRAEHFDDVQDQYYSTDGPSPPLGLDDGNEDTLTPGAKARVAAWKAAGRRRSRGVM
+>sp|P43007|SATT_HUMAN Neutral amino acid transporter A OS=Homo sapiens OX=9606 GN=SLC1A4 PE=1 SV=1
+MEKSNETNGYLDSAQAGPAAGPGAPGTAAGRARRCAGFLRRQALVLLTVSGVLAGAGLGAALRGLSLSRTQVTYLAFPGEMLLRMLRMIILPLVVCSLVSGAASLDASCLGRLGGIAVAYFGLTTLSASALAVALAFIIKPGSGAQTLQSSDLGLEDSGPPPVPKETVDSFLDLARNLFPSNLVVAAFRTYATDYKVVTQNSSSGNVTHEKIPIGTEIEGMNILGLVLFALVLGVALKKLGSEGEDLIRFFNSLNEATMVLVSWIMWYVPVGIMFLVGSKIVEMKDIIVLVTSLGKYIFASILGHVIHGGIVLPLIYFVFTRKNPFRFLLGLLAPFATAFATCSSSATLPSMMKCIEENNGVDKRISRFILPIGATVNMDGAAIFQCVAAVFIAQLNNVELNAGQIFTILVTATASSVGAAGVPAGGVLTIAIILEAIGLPTHDLPLILAVDWIVDRTTTVVNVEGDALGAGILHHLNQKATKKGEQELAEVKVEAIPNCKSEEETSPLVTHQNPAGPVASAPELESKESVL
+>DECOY_sp|P43007|SATT_HUMAN Neutral amino acid transporter A OS=Homo sapiens OX=9606 GN=SLC1A4 PE=1 SV=1
+LVSEKSELEPASAVPGAPNQHTVLPSTEEESKCNPIAEVKVEALEQEGKKTAKQNLHHLIGAGLADGEVNVVTTTRDVIWDVALILPLDHTPLGIAELIIAITLVGGAPVGAAGVSSATATVLITFIQGANLEVNNLQAIFVAAVCQFIAAGDMNVTAGIPLIFRSIRKDVGNNEEICKMMSPLTASSSCTAFATAFPALLGLLFRFPNKRTFVFYILPLVIGGHIVHGLISAFIYKGLSTVLVIIDKMEVIKSGVLFMIGVPVYWMIWSVLVMTAENLSNFFRILDEGESGLKKLAVGLVLAFLVLGLINMGEIETGIPIKEHTVNGSSSNQTVVKYDTAYTRFAAVVLNSPFLNRALDLFSDVTEKPVPPPGSDELGLDSSQLTQAGSGPKIIFALAVALASASLTTLGFYAVAIGGLRGLCSADLSAAGSVLSCVVLPLIIMRLMRLLMEGPFALYTVQTRSLSLGRLAAGLGAGALVGSVTLLVLAQRRLFGACRRARGAATGPAGPGAAPGAQASDLYGNTENSKEM
+>sp|Q9H4B6|SAV1_HUMAN Protein salvador homolog 1 OS=Homo sapiens OX=9606 GN=SAV1 PE=1 SV=2
+MLSRKKTKNEVSKPAEVQGKYVKKETSPLLRNLMPSFIRHGPTIPRRTDICLPDSSPNAFSTSGDVVSRNQSFLRTPIQRTPHEIMRRESNRLSAPSYLARSLADVPREYGSSQSFVTEVSFAVENGDSGSRYYYSDNFFDGQRKRPLGDRAHEDYRYYEYNHDLFQRMPQNQGRHASGIGRVAATSLGNLTNHGSEDLPLPPGWSVDWTMRGRKYYIDHNTNTTHWSHPLEREGLPPGWERVESSEFGTYYVDHTNKKAQYRHPCAPSVPRYDQPPPVTYQPQQTERNQSLLVPANPYHTAEIPDWLQVYARAPVKYDHILKWELFQLADLDTYQGMLKLLFMKELEQIVKMYEAYRQALLTELENRKQRQQWYAQQHGKNF
+>DECOY_sp|Q9H4B6|SAV1_HUMAN Protein salvador homolog 1 OS=Homo sapiens OX=9606 GN=SAV1 PE=1 SV=2
+FNKGHQQAYWQQRQKRNELETLLAQRYAEYMKVIQELEKMFLLKLMGQYTDLDALQFLEWKLIHDYKVPARAYVQLWDPIEATHYPNAPVLLSQNRETQQPQYTVPPPQDYRPVSPACPHRYQAKKNTHDVYYTGFESSEVREWGPPLGERELPHSWHTTNTNHDIYYKRGRMTWDVSWGPPLPLDESGHNTLNGLSTAAVRGIGSAHRGQNQPMRQFLDHNYEYYRYDEHARDGLPRKRQGDFFNDSYYYRSGSDGNEVAFSVETVFSQSSGYERPVDALSRALYSPASLRNSERRMIEHPTRQIPTRLFSQNRSVVDGSTSFANPSSDPLCIDTRRPITPGHRIFSPMLNRLLPSTEKKVYKGQVEAPKSVENKTKKRSLM
+>sp|Q8IYX7|SAXO1_HUMAN Stabilizer of axonemal microtubules 1 OS=Homo sapiens OX=9606 GN=SAXO1 PE=1 SV=2
+MKTKCICELCSCGRHHCPHLPTKIYDKTEKPCLLSEYTENYPFYHSYLPRESFKPRREYQKGPIPMEGLTTSRRDFGPHKVAPVKVHQYDQFVPSEENMDLLTTYKKDYNPYPVCRVDPIKPRDSKYPCSDKMECLPTYKADYLPWNQPRREPLRLEHKYQPASVRFDNRTTHQDDYPIKGLVKTISCKPLAMPKLCNIPLEDVTNYKMSYVAHPVEKRFVHEAEKFRPCEIPFESLTTQKQSYRGLMGEPAKSLKPLARPPGLDMPFCNTTEFRDKYQAWPMPRMFSKAPITYVPPEDRMDLLTTVQAHYTCPKGAPAQSCRPALQIKKCGRFEGSSTTKDDYKQWSSMRTEPVKPVPQLDLPTEPLDCLTTTRAHYVPHLPINTKSCKPHWSGPRGNVPVESQTTYTISFTPKEMGRCLASYPEPPGYTFEEVDALGHRIYKPVSQAGSQQSSHLSVDDSENPNQRELEVLA
+>DECOY_sp|Q8IYX7|SAXO1_HUMAN Stabilizer of axonemal microtubules 1 OS=Homo sapiens OX=9606 GN=SAXO1 PE=1 SV=2
+ALVELERQNPNESDDVSLHSSQQSGAQSVPKYIRHGLADVEEFTYGPPEPYSALCRGMEKPTFSITYTTQSEVPVNGRPGSWHPKCSKTNIPLHPVYHARTTTLCDLPETPLDLQPVPKVPETRMSSWQKYDDKTTSSGEFRGCKKIQLAPRCSQAPAGKPCTYHAQVTTLLDMRDEPPVYTIPAKSFMRPMPWAQYKDRFETTNCFPMDLGPPRALPKLSKAPEGMLGRYSQKQTTLSEFPIECPRFKEAEHVFRKEVPHAVYSMKYNTVDELPINCLKPMALPKCSITKVLGKIPYDDQHTTRNDFRVSAPQYKHELRLPERRPQNWPLYDAKYTPLCEMKDSCPYKSDRPKIPDVRCVPYPNYDKKYTTLLDMNEESPVFQDYQHVKVPAVKHPGFDRRSTTLGEMPIPGKQYERRPKFSERPLYSHYFPYNETYESLLCPKETKDYIKTPLHPCHHRGCSCLECICKTKM
+>sp|P0C263|SBK2_HUMAN Serine/threonine-protein kinase SBK2 OS=Homo sapiens OX=9606 GN=SBK2 PE=3 SV=2
+MPGKQSEEGPAEAGASEDSEEEGLGGLTLEELQQGQEAARALEDMMTLSAQTLVRAEVDELYEEVRPLGQGCYGRVLLVTHRQKGTPLALKQLPKPRTSLRGFLYEFCVGLSLGAHSAIVTAYGIGIESAHSYSFLTEPVLHGDLMAFIQPKVGLPQPAVHRCAAQLASALEYIHARGLVYRDLKPENVLVCDPACRRFKLTDFGHTRPRGTLLRLAGPPIPYTAPELCAPPPLPEGLPIQPALDAWALGVLLFCLLTGYFPWDRPLAEADPFYEDFLIWQASGQPRDRPQPWFGLAAAADALLRGLLDPHPRRRSAVIAIREHLGRPWRQREGEAEAVGAVEEEAGQ
+>DECOY_sp|P0C263|SBK2_HUMAN Serine/threonine-protein kinase SBK2 OS=Homo sapiens OX=9606 GN=SBK2 PE=3 SV=2
+QGAEEEVAGVAEAEGERQRWPRGLHERIAIVASRRRPHPDLLGRLLADAAAALGFWPQPRDRPQGSAQWILFDEYFPDAEALPRDWPFYGTLLCFLLVGLAWADLAPQIPLGEPLPPPACLEPATYPIPPGALRLLTGRPRTHGFDTLKFRRCAPDCVLVNEPKLDRYVLGRAHIYELASALQAACRHVAPQPLGVKPQIFAMLDGHLVPETLFSYSHASEIGIGYATVIASHAGLSLGVCFEYLFGRLSTRPKPLQKLALPTGKQRHTVLLVRGYCGQGLPRVEEYLEDVEARVLTQASLTMMDELARAAEQGQQLEELTLGGLGEEESDESAGAEAPGEESQKGPM
+>sp|O94855|SC24D_HUMAN Protein transport protein Sec24D OS=Homo sapiens OX=9606 GN=SEC24D PE=1 SV=2
+MSQQGYVATPPYSQPQPGIGLSPPHYGHYGDPSHTASPTGMMKPAGPLGATATRGMLPPGPPPPGPHQFGQNGAHATGHPPQRFPGPPPVNNVASSHAPYQPSAQSSYPGPISTSSVTQLGSQLSAMQINSYGSGMAPPSQGPPGPLSATSLQTPPRPPQPSILQPGSQVLPPPPTTLNGPGASPLPLPMYRPDGLSGPPPPNAQYQPPPLPGQTLGAGYPPQQANSGPQMAGAQLSYPGGFPGGPAQMAGPPQPQKKLDPDSIPSPIQVIENDRASRGGQVYATNTRGQIPPLVTTDCMIQDQGNASPRFIRCTTYCFPCTSDMAKQAQIPLAAVIKPFATIPSNESPLYLVNHGESGPVRCNRCKAYMCPFMQFIEGGRRYQCGFCNCVNDVPPFYFQHLDHIGRRLDHYEKPELSLGSYEYVATLDYCRKSKPPNPPAFIFMIDVSYSNIKNGLVKLICEELKTMLEKIPKEEQEETSAIRVGFITYNKVLHFFNVKSNLAQPQMMVVTDVGEVFVPLLDGFLVNYQESQSVIHNLLDQIPDMFADSNENETVFAPVIQAGMEALKAADCPGKLFIFHSSLPTAEAPGKLKNRDDKKLVNTDKEKILFQPQTNVYDSLAKDCVAHGCSVTLFLFPSQYVDVASLGLVPQLTGGTLYKYNNFQMHLDRQQFLNDLRNDIEKKIGFDAIMRVRTSTGFRATDFFGGILMNNTTDVEMAAIDCDKAVTVEFKHDDKLSEDSGALIQCAVLYTTISGQRRLRIHNLGLNCSSQLADLYKSCETDALINFFAKSAFKAVLHQPLKVIREILVNQTAHMLACYRKNCASPSAASQLILPDSMKVLPVYMNCLLKNCVLLSRPEISTDERAYQRQLVMTMGVADSQLFFYPQLLPIHTLDVKSTMLPAAVRCSESRLSEEGIFLLANGLHMFLWLGVSSPPELIQGIFNVPSFAHINTDMTLLPEVGNPYSQQLRMIMGIIQQKRPYSMKLTIVKQREQPEMVFRQFLVEDKGLYGGSSYVDFLCCVHKEICQLLN
+>DECOY_sp|O94855|SC24D_HUMAN Protein transport protein Sec24D OS=Homo sapiens OX=9606 GN=SEC24D PE=1 SV=2
+NLLQCIEKHVCCLFDVYSSGGYLGKDEVLFQRFVMEPQERQKVITLKMSYPRKQQIIGMIMRLQQSYPNGVEPLLTMDTNIHAFSPVNFIGQILEPPSSVGLWLFMHLGNALLFIGEESLRSESCRVAAPLMTSKVDLTHIPLLQPYFFLQSDAVGMTMVLQRQYAREDTSIEPRSLLVCNKLLCNMYVPLVKMSDPLILQSAASPSACNKRYCALMHATQNVLIERIVKLPQHLVAKFASKAFFNILADTECSKYLDALQSSCNLGLNHIRLRRQGSITTYLVACQILAGSDESLKDDHKFEVTVAKDCDIAAMEVDTTNNMLIGGFFDTARFGTSTRVRMIADFGIKKEIDNRLDNLFQQRDLHMQFNNYKYLTGGTLQPVLGLSAVDVYQSPFLFLTVSCGHAVCDKALSDYVNTQPQFLIKEKDTNVLKKDDRNKLKGPAEATPLSSHFIFLKGPCDAAKLAEMGAQIVPAFVTENENSDAFMDPIQDLLNHIVSQSEQYNVLFGDLLPVFVEGVDTVVMMQPQALNSKVNFFHLVKNYTIFGVRIASTEEQEEKPIKELMTKLEECILKVLGNKINSYSVDIMFIFAPPNPPKSKRCYDLTAVYEYSGLSLEPKEYHDLRRGIHDLHQFYFPPVDNVCNCFGCQYRRGGEIFQMFPCMYAKCRNCRVPGSEGHNVLYLPSENSPITAFPKIVAALPIQAQKAMDSTCPFCYTTCRIFRPSANGQDQIMCDTTVLPPIQGRTNTAYVQGGRSARDNEIVQIPSPISDPDLKKQPQPPGAMQAPGGPFGGPYSLQAGAMQPGSNAQQPPYGAGLTQGPLPPPQYQANPPPPGSLGDPRYMPLPLPSAGPGNLTTPPPPLVQSGPQLISPQPPRPPTQLSTASLPGPPGQSPPAMGSGYSNIQMASLQSGLQTVSSTSIPGPYSSQASPQYPAHSSAVNNVPPPGPFRQPPHGTAHAGNQGFQHPGPPPPGPPLMGRTATAGLPGAPKMMGTPSATHSPDGYHGYHPPSLGIGPQPQSYPPTAVYGQQSM
+>sp|P31641|SC6A6_HUMAN Sodium- and chloride-dependent taurine transporter OS=Homo sapiens OX=9606 GN=SLC6A6 PE=1 SV=2
+MATKEKLQCLKDFHKDILKPSPGKSPGTRPEDEAEGKPPQREKWSSKIDFVLSVAGGFVGLGNVWRFPYLCYKNGGGAFLIPYFIFLFGSGLPVFFLEIIIGQYTSEGGITCWEKICPLFSGIGYASVVIVSLLNVYYIVILAWATYYLFQSFQKELPWAHCNHSWNTPHCMEDTMRKNKSVWITISSTNFTSPVIEFWERNVLSLSPGIDHPGSLKWDLALCLLLVWLVCFFCIWKGVRSTGKVVYFTATFPFAMLLVLLVRGLTLPGAGAGIKFYLYPDITRLEDPQVWIDAGTQIFFSYAICLGAMTSLGSYNKYKYNSYRDCMLLGCLNSGTSFVSGFAIFSILGFMAQEQGVDIADVAESGPGLAFIAYPKAVTMMPLPTFWSILFFIMLLLLGLDSQFVEVEGQITSLVDLYPSFLRKGYRREIFIAFVCSISYLLGLTMVTEGGMYVFQLFDYYAASGVCLLWVAFFECFVIAWIYGGDNLYDGIEDMIGYRPGPWMKYSWAVITPVLCVGCFIFSLVKYVPLTYNKTYVYPNWAIGLGWSLALSSMLCVPLVIVIRLCQTEGPFLVRVKYLLTPREPNRWAVEREGATPYNSRTVMNGALVKPTHIIVETMM
+>DECOY_sp|P31641|SC6A6_HUMAN Sodium- and chloride-dependent taurine transporter OS=Homo sapiens OX=9606 GN=SLC6A6 PE=1 SV=2
+MMTEVIIHTPKVLAGNMVTRSNYPTAGEREVAWRNPERPTLLYKVRVLFPGETQCLRIVIVLPVCLMSSLALSWGLGIAWNPYVYTKNYTLPVYKVLSFIFCGVCLVPTIVAWSYKMWPGPRYGIMDEIGDYLNDGGYIWAIVFCEFFAVWLLCVGSAAYYDFLQFVYMGGETVMTLGLLYSISCVFAIFIERRYGKRLFSPYLDVLSTIQGEVEVFQSDLGLLLLMIFFLISWFTPLPMMTVAKPYAIFALGPGSEAVDAIDVGQEQAMFGLISFIAFGSVFSTGSNLCGLLMCDRYSNYKYKNYSGLSTMAGLCIAYSFFIQTGADIWVQPDELRTIDPYLYFKIGAGAGPLTLGRVLLVLLMAFPFTATFYVVKGTSRVGKWICFFCVLWVLLLCLALDWKLSGPHDIGPSLSLVNREWFEIVPSTFNTSSITIWVSKNKRMTDEMCHPTNWSHNCHAWPLEKQFSQFLYYTAWALIVIYYVNLLSVIVVSAYGIGSFLPCIKEWCTIGGESTYQGIIIELFFVPLGSGFLFIFYPILFAGGGNKYCLYPFRWVNGLGVFGGAVSLVFDIKSSWKERQPPKGEAEDEPRTGPSKGPSPKLIDKHFDKLCQLKEKTAM
+>sp|Q9BY12|SCAPE_HUMAN S phase cyclin A-associated protein in the endoplasmic reticulum OS=Homo sapiens OX=9606 GN=SCAPER PE=1 SV=2
+MMASFQRSNSHDKVRRIVAEEGRTARNLIAWSVPLESKDDDGKPKCQTGGKSKRTIQGTHKTTKQSTAVDCKITSSTTGDKHFDKSPTKTRHPRKIDLRARYWAFLFDNLRRAVDEIYVTCESDQSVVECKEVLMMLDNYVRDFKALIDWIQLQEKLEKTDAQSRPTSLAWEVKKMSPGRHVIPSPSTDRINVTSNARRSLNFGGSTGTVPAPRLAPTGVSWADKVKAHHTGSTASSEITPAQSCPPMTVQKASRKNERKDAEGWETVQRGRPIRSRSTAVMPKVSLATEATRSKDDSDKENVCLLPDESIQKGQFVGDGTSNTIESHPKDSLHSCDHPLAEKTQFTVSTLDDVKNSGSIRDNYVRTSEISAVHIDTECVSVMLQAGTPPLQVNEEKFPAEKARIENEMDPSDISNSMAEVLAKKEELADRLEKANEEAIASAIAEEEQLTREIEAEENNDINIETDNDSDFSASMGSGSVSFCGMSMDWNDVLADYEARESWRQNTSWGDIVEEEPARPPGHGIHMHEKLSSPSRKRTIAESKKKHEEKQMKAQQLREKLREEKTLKLQKLLEREKDVRKWKEELLDQRRRMMEEKLLHAEFKREVQLQAIVKKAQEEEAKVNEIAFINTLEAQNKRHDVLSKLKEYEQRLNELQEERQRRQEEKQARDEAVQERKRALEAERQARVEELLMKRKEQEARIEQQRQEKEKAREDAARERARDREERLAALTAAQQEAMEELQKKIQLKHDESIRRHMEQIEQRKEKAAELSSGRHANTDYAPKLTPYERKKQCSLCNVLISSEVYLFSHVKGRKHQQAVRENTSIQGRELSDEEVEHLSLKKYIIDIVVESTAPAEALKDGEERQKNKKKAKKIKARMNFRAKEYESLMETKNSGSDSPYKAKLQRLAKDLLKQVQVQDSGSWANNKVSALDRTLGEITRILEKENVADQIAFQAAGGLTALEHILQAVVPATNVNTVLRIPPKSLCNAINVYNLTCNNCSENCSDVLFSNKITFLMDLLIHQLTVYVPDENNTILGRNTNKQVFEGLTTGLLKVSAVVLGCLIANRPDGNCQPATPKIPTQEMKNKPSQGDPFNNRVQDLISYVVNMGLIDKLCACFLSVQGPVDENPKMAIFLQHAAGLLHAMCTLCFAVTGRSYSIFDNNRQDPTGLTAALQATDLAGVLHMLYCVLFHGTILDPSTASPKENYTQNTIQVAIQSLRFFNSFAALHLPAFQSIVGAEGLSLAFRHMASSLLGHCSQVSCESLLHEVIVCVGYFTVNHPDNQVIVQSGRHPTVLQKLCQLPFQYFSDPRLIKVLFPSLIAACYNNHQNKIILEQEMSCVLLATFIQDLAQTPGQAENQPYQPKGKCLGSQDYLELANRFPQQAWEEARQFFLKKEKK
+>DECOY_sp|Q9BY12|SCAPE_HUMAN S phase cyclin A-associated protein in the endoplasmic reticulum OS=Homo sapiens OX=9606 GN=SCAPER PE=1 SV=2
+KKEKKLFFQRAEEWAQQPFRNALELYDQSGLCKGKPQYPQNEAQGPTQALDQIFTALLVCSMEQELIIKNQHNNYCAAILSPFLVKILRPDSFYQFPLQCLKQLVTPHRGSQVIVQNDPHNVTFYGVCVIVEHLLSECSVQSCHGLLSSAMHRFALSLGEAGVISQFAPLHLAAFSNFFRLSQIAVQITNQTYNEKPSATSPDLITGHFLVCYLMHLVGALDTAQLAATLGTPDQRNNDFISYSRGTVAFCLTCMAHLLGAAHQLFIAMKPNEDVPGQVSLFCACLKDILGMNVVYSILDQVRNNFPDGQSPKNKMEQTPIKPTAPQCNGDPRNAILCGLVVASVKLLGTTLGEFVQKNTNRGLITNNEDPVYVTLQHILLDMLFTIKNSFLVDSCNESCNNCTLNYVNIANCLSKPPIRLVTNVNTAPVVAQLIHELATLGGAAQFAIQDAVNEKELIRTIEGLTRDLASVKNNAWSGSDQVQVQKLLDKALRQLKAKYPSDSGSNKTEMLSEYEKARFNMRAKIKKAKKKNKQREEGDKLAEAPATSEVVIDIIYKKLSLHEVEEDSLERGQISTNERVAQQHKRGKVHSFLYVESSILVNCLSCQKKREYPTLKPAYDTNAHRGSSLEAAKEKRQEIQEMHRRISEDHKLQIKKQLEEMAEQQAATLAALREERDRARERAADERAKEKEQRQQEIRAEQEKRKMLLEEVRAQREAELARKREQVAEDRAQKEEQRRQREEQLENLRQEYEKLKSLVDHRKNQAELTNIFAIENVKAEEEQAKKVIAQLQVERKFEAHLLKEEMMRRRQDLLEEKWKRVDKERELLKQLKLTKEERLKERLQQAKMQKEEHKKKSEAITRKRSPSSLKEHMHIGHGPPRAPEEEVIDGWSTNQRWSERAEYDALVDNWDMSMGCFSVSGSGMSASFDSDNDTEINIDNNEEAEIERTLQEEEAIASAIAEENAKELRDALEEKKALVEAMSNSIDSPDMENEIRAKEAPFKEENVQLPPTGAQLMVSVCETDIHVASIESTRVYNDRISGSNKVDDLTSVTFQTKEALPHDCSHLSDKPHSEITNSTGDGVFQGKQISEDPLLCVNEKDSDDKSRTAETALSVKPMVATSRSRIPRGRQVTEWGEADKRENKRSAKQVTMPPCSQAPTIESSATSGTHHAKVKDAWSVGTPALRPAPVTGTSGGFNLSRRANSTVNIRDTSPSPIVHRGPSMKKVEWALSTPRSQADTKELKEQLQIWDILAKFDRVYNDLMMLVEKCEVVSQDSECTVYIEDVARRLNDFLFAWYRARLDIKRPHRTKTPSKDFHKDGTTSSTIKCDVATSQKTTKHTGQITRKSKGGTQCKPKGDDDKSELPVSWAILNRATRGEEAVIRRVKDHSNSRQFSAMM
+>sp|Q12770|SCAP_HUMAN Sterol regulatory element-binding protein cleavage-activating protein OS=Homo sapiens OX=9606 GN=SCAP PE=1 SV=4
+MTLTERLREKISRAFYNHGLLCASYPIPIILFTGFCILACCYPLLKLPLPGTGPVEFTTPVKDYSPPPVDSDRKQGEPTEQPEWYVGAPVAYVQQIFVKSSVFPWHKNLLAVDVFRSPLSRAFQLVEEIRNHVLRDSSGIRSLEELCLQVTDLLPGLRKLRNLLPEHGCLLLSPGNFWQNDWERFHADPDIIGTIHQHEPKTLQTSATLKDLLFGVPGKYSGVSLYTRKRMVSYTITLVFQHYHAKFLGSLRARLMLLHPSPNCSLRAESLVHVHFKEEIGVAELIPLVTTYIILFAYIYFSTRKIDMVKSKWGLALAAVVTVLSSLLMSVGLCTLFGLTPTLNGGEIFPYLVVVIGLENVLVLTKSVVSTPVDLEVKLRIAQGLSSESWSIMKNMATELGIILIGYFTLVPAIQEFCLFAVVGLVSDFFLQMLFFTTVLSIDIRRMELADLNKRLPPEACLPSAKPVGQPTRYERQLAVRPSTPHTITLQPSSFRNLRLPKRLRVVYFLARTRLAQRLIMAGTVVWIGILVYTDPAGLRNYLAAQVTEQSPLGEGALAPMPVPSGMLPPSHPDPAFSIFPPDAPKLPENQTSPGESPERGGPAEVVHDSPVPEVTWGPEDEELWRKLSFRHWPTLFSYYNITLAKRYISLLPVIPVTLRLNPREALEGRHPQDGRSAWPPPGPIPAGHWEAGPKGPGGVQAHGDVTLYKVAALGLATGIVLVLLLLCLYRVLCPRNYGQLGGGPGRRRRGELPCDDYGYAPPETEIVPLVLRGHLMDIECLASDGMLLVSCCLAGHVCVWDAQTGDCLTRIPRPGRQRRDSGVGSGLEAQESWERLSDGGKAGPEEPGDSPPLRHRPRGPPPPSLFGDQPDLTCLIDTNFSAQPRSSQPTQPEPRHRAVCGRSRDSPGYDFSCLVQRVYQEEGLAAVCTPALRPPSPGPVLSQAPEDEGGSPEKGSPSLAWAPSAEGSIWSLELQGNLIVVGRSSGRLEVWDAIEGVLCCSSEEVSSGITALVFLDKRIVAARLNGSLDFFSLETHTALSPLQFRGTPGRGSSPASPVYSSSDTVACHLTHTVPCAHQKPITALKAAAGRLVTGSQDHTLRVFRLEDSCCLFTLQGHSGAITTVYIDQTMVLASGGQDGAICLWDVLTGSRVSHVFAHRGDVTSLTCTTSCVISSGLDDLISIWDRSTGIKFYSIQQDLGCGASLGVISDNLLVTGGQGCVSFWDLNYGDLLQTVYLGKNSEAQPARQILVLDNAAIVCNFGSELSLVYVPSVLEKLD
+>DECOY_sp|Q12770|SCAP_HUMAN Sterol regulatory element-binding protein cleavage-activating protein OS=Homo sapiens OX=9606 GN=SCAP PE=1 SV=4
+DLKELVSPVYVLSLESGFNCVIAANDLVLIQRAPQAESNKGLYVTQLLDGYNLDWFSVCGQGGTVLLNDSIVGLSAGCGLDQQISYFKIGTSRDWISILDDLGSSIVCSTTCTLSTVDGRHAFVHSVRSGTLVDWLCIAGDQGGSALVMTQDIYVTTIAGSHGQLTFLCCSDELRFVRLTHDQSGTVLRGAAAKLATIPKQHACPVTHTLHCAVTDSSSYVPSAPSSGRGPTGRFQLPSLATHTELSFFDLSGNLRAAVIRKDLFVLATIGSSVEESSCCLVGEIADWVELRGSSRGVVILNGQLELSWISGEASPAWALSPSGKEPSGGEDEPAQSLVPGPSPPRLAPTCVAALGEEQYVRQVLCSFDYGPSDRSRGCVARHRPEPQTPQSSRPQASFNTDILCTLDPQDGFLSPPPPGRPRHRLPPSDGPEEPGAKGGDSLREWSEQAELGSGVGSDRRQRGPRPIRTLCDGTQADWVCVHGALCCSVLLMGDSALCEIDMLHGRLVLPVIETEPPAYGYDDCPLEGRRRRGPGGGLQGYNRPCLVRYLCLLLLVLVIGTALGLAAVKYLTVDGHAQVGGPGKPGAEWHGAPIPGPPPWASRGDQPHRGELAERPNLRLTVPIVPLLSIYRKALTINYYSFLTPWHRFSLKRWLEEDEPGWTVEPVPSDHVVEAPGGREPSEGPSTQNEPLKPADPPFISFAPDPHSPPLMGSPVPMPALAGEGLPSQETVQAALYNRLGAPDTYVLIGIWVVTGAMILRQALRTRALFYVVRLRKPLRLNRFSSPQLTITHPTSPRVALQREYRTPQGVPKASPLCAEPPLRKNLDALEMRRIDISLVTTFFLMQLFFDSVLGVVAFLCFEQIAPVLTFYGILIIGLETAMNKMISWSESSLGQAIRLKVELDVPTSVVSKTLVLVNELGIVVVLYPFIEGGNLTPTLGFLTCLGVSMLLSSLVTVVAALALGWKSKVMDIKRTSFYIYAFLIIYTTVLPILEAVGIEEKFHVHVLSEARLSCNPSPHLLMLRARLSGLFKAHYHQFVLTITYSVMRKRTYLSVGSYKGPVGFLLDKLTASTQLTKPEHQHITGIIDPDAHFREWDNQWFNGPSLLLCGHEPLLNRLKRLGPLLDTVQLCLEELSRIGSSDRLVHNRIEEVLQFARSLPSRFVDVALLNKHWPFVSSKVFIQQVYAVPAGVYWEPQETPEGQKRDSDVPPPSYDKVPTTFEVPGTGPLPLKLLPYCCALICFGTFLIIPIPYSACLLGHNYFARSIKERLRETLTM
+>sp|Q9UJQ7|SCP2D_HUMAN SCP2 sterol-binding domain-containing protein 1 OS=Homo sapiens OX=9606 GN=SCP2D1 PE=2 SV=1
+MWKRSDHQPKIKAEDGPLVGQFEVLGSVPEPAMPHPLELSEFESFPVFQDIRLHIREVGAQLVKKVNAVFQLDITKNGKTILRWTIDLKNGSGDMYPGPARLPADTVFTIPESVFMELVLGKMNPQKAFLAGKFKVSGKVLLSWKLERVFKDWAKF
+>DECOY_sp|Q9UJQ7|SCP2D_HUMAN SCP2 sterol-binding domain-containing protein 1 OS=Homo sapiens OX=9606 GN=SCP2D1 PE=2 SV=1
+FKAWDKFVRELKWSLLVKGSVKFKGALFAKQPNMKGLVLEMFVSEPITFVTDAPLRAPGPYMDGSGNKLDITWRLITKGNKTIDLQFVANVKKVLQAGVERIHLRIDQFVPFSEFESLELPHPMAPEPVSGLVEFQGVLPGDEAKIKPQHDSRKWM
+>sp|O75711|SCRG1_HUMAN Scrapie-responsive protein 1 OS=Homo sapiens OX=9606 GN=SCRG1 PE=1 SV=1
+MKLMVLVFTIGLTLLLGVQAMPANRLSCYRKILKDHNCHNLPEGVADLTQIDVNVQDHFWDGKGCEMICYCNFSELLCCPKDVFFGPKISFVIPCNNQ
+>DECOY_sp|O75711|SCRG1_HUMAN Scrapie-responsive protein 1 OS=Homo sapiens OX=9606 GN=SCRG1 PE=1 SV=1
+QNNCPIVFSIKPGFFVDKPCCLLESFNCYCIMECGKGDWFHDQVNVDIQTLDAVGEPLNHCNHDKLIKRYCSLRNAPMAQVGLLLTLGITFVLVMLKM
+>sp|Q9NX18|SDHF2_HUMAN Succinate dehydrogenase assembly factor 2, mitochondrial OS=Homo sapiens OX=9606 GN=SDHAF2 PE=1 SV=1
+MAVSTVFSTSSLMLALSRHSLLSPLLSVTSFRRFYRGDSPTDSQKDMIEIPLPPWQERTDESIETKRARLLYESRKRGMLENCILLSLFAKEHLQHMTEKQLNLYDRLINEPSNDWDIYYWATEAKPAPEIFENEVMALLRDFAKNKNKEQRLRAPDLEYLFEKPR
+>DECOY_sp|Q9NX18|SDHF2_HUMAN Succinate dehydrogenase assembly factor 2, mitochondrial OS=Homo sapiens OX=9606 GN=SDHAF2 PE=1 SV=1
+RPKEFLYELDPARLRQEKNKNKAFDRLLAMVENEFIEPAPKAETAWYYIDWDNSPENILRDYLNLQKETMHQLHEKAFLSLLICNELMGRKRSEYLLRARKTEISEDTREQWPPLPIEIMDKQSDTPSDGRYFRRFSTVSLLPSLLSHRSLALMLSSTSFVTSVAM
+>sp|Q9H7L9|SDS3_HUMAN Sin3 histone deacetylase corepressor complex component SDS3 OS=Homo sapiens OX=9606 GN=SUDS3 PE=1 SV=2
+MSAAGLLAPAPAQAGAPPAPEYYPEEDEELESAEDDERSCRGRESDEDTEDASETDLAKHDEEDYVEMKEQMYQDKLASLKRQLQQLQEGTLQEYQKRMKKLDQQYKERIRNAELFLQLETEQVERNYIKEKKAAVKEFEDKKVELKENLIAELEEKKKMIENEKLTMELTGDSMEVKPIMTRKLRRRPNDPVPIPDKRRKPAPAQLNYLLTDEQIMEDLRTLNKLKSPKRPASPSSPEHLPATPAESPAQRFEARIEDGKLYYDKRWYHKSQAIYLESKDNQKLSCVISSVGANEIWVRKTSDSTKMRIYLGQLQRGLFVIRRRSAA
+>DECOY_sp|Q9H7L9|SDS3_HUMAN Sin3 histone deacetylase corepressor complex component SDS3 OS=Homo sapiens OX=9606 GN=SUDS3 PE=1 SV=2
+AASRRRIVFLGRQLQGLYIRMKTSDSTKRVWIENAGVSSIVCSLKQNDKSELYIAQSKHYWRKDYYLKGDEIRAEFRQAPSEAPTAPLHEPSSPSAPRKPSKLKNLTRLDEMIQEDTLLYNLQAPAPKRRKDPIPVPDNPRRRLKRTMIPKVEMSDGTLEMTLKENEIMKKKEELEAILNEKLEVKKDEFEKVAAKKEKIYNREVQETELQLFLEANRIREKYQQDLKKMRKQYEQLTGEQLQQLQRKLSALKDQYMQEKMEVYDEEDHKALDTESADETDEDSERGRCSREDDEASELEEDEEPYYEPAPPAGAQAPAPALLGAASM
+>sp|Q9BPW5|RSLBB_HUMAN Ras-like protein family member 11B OS=Homo sapiens OX=9606 GN=RASL11B PE=2 SV=1
+MRLIQNMCTIAEYPAPGNAAASDCCVGAAGRRLVKIAVVGASGVGKTALVVRFLTKRFIGDYERNAGNLYTRQVQIEGETLALQVQDTPGIQVHENSLSCSEQLNRCIRWADAVVIVFSITDYKSYELISQLHQHVQQLHLGTRLPVVVVANKADLLHIKQVDPQLGLQLASMLGCSFYEVSVSENYNDVYSAFHVLCKEVSHKQQPSSTPEKRRTSLIPRPKSPNMQDLKRRFKQALSAKVRTVTSV
+>DECOY_sp|Q9BPW5|RSLBB_HUMAN Ras-like protein family member 11B OS=Homo sapiens OX=9606 GN=RASL11B PE=2 SV=1
+VSTVTRVKASLAQKFRRKLDQMNPSKPRPILSTRRKEPTSSPQQKHSVEKCLVHFASYVDNYNESVSVEYFSCGLMSALQLGLQPDVQKIHLLDAKNAVVVVPLRTGLHLQQVHQHLQSILEYSKYDTISFVIVVADAWRICRNLQESCSLSNEHVQIGPTDQVQLALTEGEIQVQRTYLNGANREYDGIFRKTLFRVVLATKGVGSAGVVAIKVLRRGAAGVCCDSAAANGPAPYEAITCMNQILRM
+>sp|P14678|RSMB_HUMAN Small nuclear ribonucleoprotein-associated proteins B and B' OS=Homo sapiens OX=9606 GN=SNRPB PE=1 SV=2
+MTVGKSSKMLQHIDYRMRCILQDGRIFIGTFKAFDKHMNLILCDCDEFRKIKPKNSKQAEREEKRVLGLVLLRGENLVSMTVEGPPPKDTGIARVPLAGAAGGPGIGRAAGRGIPAGVPMPQAPAGLAGPVRGVGGPSQQVMTPQGRGTVAAAAAAATASIAGAPTQYPPGRGGPPPPMGRGAPPPGMMGPPPGMRPPMGPPMGIPPGRGTPMGMPPPGMRPPPPGMRGPPPPGMRPPRP
+>DECOY_sp|P14678|RSMB_HUMAN Small nuclear ribonucleoprotein-associated proteins B and B' OS=Homo sapiens OX=9606 GN=SNRPB PE=1 SV=2
+PRPPRMGPPPPGRMGPPPPRMGPPPMGMPTGRGPPIGMPPGMPPRMGPPPGMMGPPPAGRGMPPPPGGRGPPYQTPAGAISATAAAAAAAVTGRGQPTMVQQSPGGVGRVPGALGAPAQPMPVGAPIGRGAARGIGPGGAAGALPVRAIGTDKPPPGEVTMSVLNEGRLLVLGLVRKEEREAQKSNKPKIKRFEDCDCLILNMHKDFAKFTGIFIRGDQLICRMRYDIHQLMKSSKGVTM
+>sp|P63162|RSMN_HUMAN Small nuclear ribonucleoprotein-associated protein N OS=Homo sapiens OX=9606 GN=SNRPN PE=1 SV=1
+MTVGKSSKMLQHIDYRMRCILQDGRIFIGTFKAFDKHMNLILCDCDEFRKIKPKNAKQPEREEKRVLGLVLLRGENLVSMTVEGPPPKDTGIARVPLAGAAGGPGVGRAAGRGVPAGVPIPQAPAGLAGPVRGVGGPSQQVMTPQGRGTVAAAAVAATASIAGAPTQYPPGRGTPPPPVGRATPPPGIMAPPPGMRPPMGPPIGLPPARGTPIGMPPPGMRPPPPGIRGPPPPGMRPPRP
+>DECOY_sp|P63162|RSMN_HUMAN Small nuclear ribonucleoprotein-associated protein N OS=Homo sapiens OX=9606 GN=SNRPN PE=1 SV=1
+PRPPRMGPPPPGRIGPPPPRMGPPPMGIPTGRAPPLGIPPGMPPRMGPPPAMIGPPPTARGVPPPPTGRGPPYQTPAGAISATAAVAAAAVTGRGQPTMVQQSPGGVGRVPGALGAPAQPIPVGAPVGRGAARGVGPGGAAGALPVRAIGTDKPPPGEVTMSVLNEGRLLVLGLVRKEEREPQKANKPKIKRFEDCDCLILNMHKDFAKFTGIFIRGDQLICRMRYDIHQLMKSSKGVTM
+>sp|Q96IZ7|RSRC1_HUMAN Serine/Arginine-related protein 53 OS=Homo sapiens OX=9606 GN=RSRC1 PE=1 SV=1
+MGRRSSDTEEESRSKRKKKHRRRSSSSSSSDSRTYSRKKGGRKSRSKSRSWSRDLQPRSHSYDRRRRHRSSSSSSYGSRRKRSRSRSRGRGKSYRVQRSRSKSRTRRSRSRPRLRSHSRSSERSSHRRTRSRSRDRERRKGRDKEKREKEKDKGKDKELHNIKRGESGNIKAGLEHLPPAEQAKARLQLVLEAAAKADEALKAKERNEEEAKRRKEEDQATLVEQVKRVKEIEAIESDSFVQQTFRSSKEVKKSVEPSEVKQATSTSGPASAVADPPSTEKEIDPTSIPTAIKYQDDNSLAHPNLFIEKADAEEKWFKRLIALRQERLMGSPVA
+>DECOY_sp|Q96IZ7|RSRC1_HUMAN Serine/Arginine-related protein 53 OS=Homo sapiens OX=9606 GN=RSRC1 PE=1 SV=1
+AVPSGMLREQRLAILRKFWKEEADAKEIFLNPHALSNDDQYKIATPISTPDIEKETSPPDAVASAPGSTSTAQKVESPEVSKKVEKSSRFTQQVFSDSEIAEIEKVRKVQEVLTAQDEEKRRKAEEENREKAKLAEDAKAAAELVLQLRAKAQEAPPLHELGAKINGSEGRKINHLEKDKGKDKEKERKEKDRGKRRERDRSRSRTRRHSSRESSRSHSRLRPRSRSRRTRSKSRSRQVRYSKGRGRSRSRSRKRRSGYSSSSSSRHRRRRDYSHSRPQLDRSWSRSKSRSKRGGKKRSYTRSDSSSSSSSRRRHKKKRKSRSEEETDSSRRGM
+>sp|Q8N8U3|RTL3_HUMAN Retrotransposon Gag-like protein 3 OS=Homo sapiens OX=9606 GN=RTL3 PE=2 SV=1
+MVEDLAASYIVLKLENEIRQAQVQWLMEENAALQAQIPELQKSQAAKEYDLLRKSSEAKEPQKLPEHMNPPAAWEAQKTPEFKEPQKPPEPQDLLPWEPPAAWELQEAPAAPESLAPPATRESQKPPMAHEIPTVLEGQGPANTQDATIAQEPKNSEPQDPPNIEKPQEAPEYQETAAQLEFLELPPPQEPLEPSNAQEFLELSAAQESLEGLIVVETSAASEFPQAPIGLEATDFPLQYTLTFSGDSQKLPEFLVQLYSYMRVRGHLYPTEAALVSFVGNCFSGRAGWWFQLLLDIQSPLLEQCESFIPVLQDTFDNPENMKDANQCIHQLCQGEGHVATHFHLIAQELNWDESTLWIQFQEGLASSIQDELSHTSPATNLSDLITQCISLEEKPDPNPLGKSSSAEGDGPESPPAENQPMQAAINCPHISEAEWVRWHKGRLCLYCGYPGHFARDCPVKPHQALQAGNIQACQ
+>DECOY_sp|Q8N8U3|RTL3_HUMAN Retrotransposon Gag-like protein 3 OS=Homo sapiens OX=9606 GN=RTL3 PE=2 SV=1
+QCAQINGAQLAQHPKVPCDRAFHGPYGCYLCLRGKHWRVWEAESIHPCNIAAQMPQNEAPPSEPGDGEASSSKGLPNPDPKEELSICQTILDSLNTAPSTHSLEDQISSALGEQFQIWLTSEDWNLEQAILHFHTAVHGEGQCLQHICQNADKMNEPNDFTDQLVPIFSECQELLPSQIDLLLQFWWGARGSFCNGVFSVLAAETPYLHGRVRMYSYLQVLFEPLKQSDGSFTLTYQLPFDTAELGIPAQPFESAASTEVVILGELSEQAASLELFEQANSPELPEQPPPLELFELQAATEQYEPAEQPKEINPPDQPESNKPEQAITADQTNAPGQGELVTPIEHAMPPKQSERTAPPALSEPAAPAEQLEWAAPPEWPLLDQPEPPKQPEKFEPTKQAEWAAPPNMHEPLKQPEKAESSKRLLDYEKAAQSKQLEPIQAQLAANEEMLWQVQAQRIENELKLVIYSAALDEVM
+>sp|O75298|RTN2_HUMAN Reticulon-2 OS=Homo sapiens OX=9606 GN=RTN2 PE=1 SV=1
+MGQVLPVFAHCKEAPSTASSTPDSTEGGNDDSDFRELHTAREFSEEDEEETTSQDWGTPRELTFSYIAFDGVVGSGGRRDSTARRPRPQGRSVSEPRDQHPQPSLGDSLESIPSLSQSPEPGRRGDPDTAPPSERPLEDLRLRLDHLGWVARGTGSGEDSSTSSSTPLEDEEPQEPNRLETGEAGEELDLRLRLAQPSSPEVLTPQLSPGSGTPQAGTPSPSRSRDSNSGPEEPLLEEEEKQWGPLEREPVRGQCLDSTDQLEFTVEPRLLGTAMEWLKTSLLLAVYKTVPILELSPPLWTAIGWVQRGPTPPTPVLRVLLKWAKSPRSSGVPSLSLGADMGSKVADLLYWKDTRTSGVVFTGLMVSLLCLLHFSIVSVAAHLALLLLCGTISLRVYRKVLQAVHRGDGANPFQAYLDVDLTLTREQTERLSHQITSRVVSAATQLRHFFLVEDLVDSLKLALLFYILTFVGAIFNGLTLLILGVIGLFTIPLLYRQHQAQIDQYVGLVTNQLSHIKAKIRAKIPGTGALASAAAAVSGSKAKAE
+>DECOY_sp|O75298|RTN2_HUMAN Reticulon-2 OS=Homo sapiens OX=9606 GN=RTN2 PE=1 SV=1
+EAKAKSGSVAAAASALAGTGPIKARIKAKIHSLQNTVLGVYQDIQAQHQRYLLPITFLGIVGLILLTLGNFIAGVFTLIYFLLALKLSDVLDEVLFFHRLQTAASVVRSTIQHSLRETQERTLTLDVDLYAQFPNAGDGRHVAQLVKRYVRLSITGCLLLLALHAAVSVISFHLLCLLSVMLGTFVVGSTRTDKWYLLDAVKSGMDAGLSLSPVGSSRPSKAWKLLVRLVPTPPTPGRQVWGIATWLPPSLELIPVTKYVALLLSTKLWEMATGLLRPEVTFELQDTSDLCQGRVPERELPGWQKEEEELLPEEPGSNSDRSRSPSPTGAQPTGSGPSLQPTLVEPSSPQALRLRLDLEEGAEGTELRNPEQPEEDELPTSSSTSSDEGSGTGRAVWGLHDLRLRLDELPRESPPATDPDGRRGPEPSQSLSPISELSDGLSPQPHQDRPESVSRGQPRPRRATSDRRGGSGVVGDFAIYSFTLERPTGWDQSTTEEEDEESFERATHLERFDSDDNGGETSDPTSSATSPAEKCHAFVPLVQGM
+>sp|Q9Y224|RTRAF_HUMAN RNA transcription, translation and transport factor protein OS=Homo sapiens OX=9606 GN=RTRAF PE=1 SV=1
+MFRRKLTALDYHNPAGFNCKDETEFRNFIVWLEDQKIRHYKIEDRGNLRNIHSSDWPKFFEKYLRDVNCPFKIQDRQEAIDWLLGLAVRLEYGDNAEKYKDLVPDNSKTADNATKNAEPLINLDVNNPDFKAGVMALANLLQIQRHDDYLVMLKAIRILVQERLTQDAVAKANQTKEGLPVALDKHILGFDTGDAVLNEAAQILRLLHIEELRELQTKINEAIVAVQAIIADPKTDHRLGKVGR
+>DECOY_sp|Q9Y224|RTRAF_HUMAN RNA transcription, translation and transport factor protein OS=Homo sapiens OX=9606 GN=RTRAF PE=1 SV=1
+RGVKGLRHDTKPDAIIAQVAVIAENIKTQLERLEEIHLLRLIQAAENLVADGTDFGLIHKDLAVPLGEKTQNAKAVADQTLREQVLIRIAKLMVLYDDHRQIQLLNALAMVGAKFDPNNVDLNILPEANKTANDATKSNDPVLDKYKEANDGYELRVALGLLWDIAEQRDQIKFPCNVDRLYKEFFKPWDSSHINRLNGRDEIKYHRIKQDELWVIFNRFETEDKCNFGAPNHYDLATLKRRFM
+>sp|P09661|RU2A_HUMAN U2 small nuclear ribonucleoprotein A' OS=Homo sapiens OX=9606 GN=SNRPA1 PE=1 SV=2
+MVKLTAELIEQAAQYTNAVRDRELDLRGYKIPVIENLGATLDQFDAIDFSDNEIRKLDGFPLLRRLKTLLVNNNRICRIGEGLDQALPCLTELILTNNSLVELGDLDPLASLKSLTYLSILRNPVTNKKHYRLYVIYKVPQVRVLDFQKVKLKERQEAEKMFKGKRGAQLAKDIARRSKTFNPGAGLPTDKKKGGPSPGDVEAIKNAIANASTLAEVERLKGLLQSGQIPGRERRSGPTDDGEEEMEEDTVTNGS
+>DECOY_sp|P09661|RU2A_HUMAN U2 small nuclear ribonucleoprotein A' OS=Homo sapiens OX=9606 GN=SNRPA1 PE=1 SV=2
+SGNTVTDEEMEEEGDDTPGSRRERGPIQGSQLLGKLREVEALTSANAIANKIAEVDGPSPGGKKKDTPLGAGPNFTKSRRAIDKALQAGRKGKFMKEAEQREKLKVKQFDLVRVQPVKYIVYLRYHKKNTVPNRLISLYTLSKLSALPDLDGLEVLSNNTLILETLCPLAQDLGEGIRCIRNNNVLLTKLRRLLPFGDLKRIENDSFDIADFQDLTAGLNEIVPIKYGRLDLERDRVANTYQAAQEILEATLKVM
+>sp|Q8WXA3|RUFY2_HUMAN RUN and FYVE domain-containing protein 2 OS=Homo sapiens OX=9606 GN=RUFY2 PE=1 SV=3
+MATKDPTAVERANLLNMAKLSIKGLIESALSFGRTLDSDYPPLQQFFVVMEHCLKHGLKVRKSFLSYNKTIWGPLELVEKLYPEAEEIGASVRDLPGLKTPLGRARAWLRLALMQKKMADYLRCLIIQRDLLSEFYEYHALMMEEEGAVIVGLLVGLNVIDANLCVKGEDLDSQVGVIDFSMYLKNEEDIGNKERNVQIAAILDQKNYVEELNRQLNSTVSSLHSRVDSLEKSNTKLIEELAIAKNNIIKLQEENHQLRSENKLILMKTQQHLEVTKVDVETELQTYKHSRQGLDEMYNEARRQLRDESQLRQDVENELAVQVSMKHEIELAMKLLEKDIHEKQDTLIGLRQQLEEVKAINIEMYQKLQGSEDGLKEKNEIIARLEEKTNKITAAMRQLEQRLQQAEKAQMEAEDEDEKYLQECLSKSDSLQKQISQKEKQLVQLETDLKIEKEWRQTLQEDLQKEKDALSHLRNETQQIISLKKEFLNLQDENQQLKKIYHEQEQALQELGNKLSESKLKIEDIKEANKALQGLVWLKDKEATHCKLCEKEFSLSKRKHHCRNCGEIFCNACSDNELPLPSSPKPVRVCDSCHALLIQRCSSNLP
+>DECOY_sp|Q8WXA3|RUFY2_HUMAN RUN and FYVE domain-containing protein 2 OS=Homo sapiens OX=9606 GN=RUFY2 PE=1 SV=3
+PLNSSCRQILLAHCSDCVRVPKPSSPLPLENDSCANCFIEGCNRCHHKRKSLSFEKECLKCHTAEKDKLWVLGQLAKNAEKIDEIKLKSESLKNGLEQLAQEQEHYIKKLQQNEDQLNLFEKKLSIIQQTENRLHSLADKEKQLDEQLTQRWEKEIKLDTELQVLQKEKQSIQKQLSDSKSLCEQLYKEDEDEAEMQAKEAQQLRQELQRMAATIKNTKEELRAIIENKEKLGDESGQLKQYMEINIAKVEELQQRLGILTDQKEHIDKELLKMALEIEHKMSVQVALENEVDQRLQSEDRLQRRAENYMEDLGQRSHKYTQLETEVDVKTVELHQQTKMLILKNESRLQHNEEQLKIINNKAIALEEILKTNSKELSDVRSHLSSVTSNLQRNLEEVYNKQDLIAAIQVNREKNGIDEENKLYMSFDIVGVQSDLDEGKVCLNADIVNLGVLLGVIVAGEEEMMLAHYEYFESLLDRQIILCRLYDAMKKQMLALRLWARARGLPTKLGPLDRVSAGIEEAEPYLKEVLELPGWITKNYSLFSKRVKLGHKLCHEMVVFFQQLPPYDSDLTRGFSLASEILGKISLKAMNLLNAREVATPDKTAM
+>sp|Q7L099|RUFY3_HUMAN Protein RUFY3 OS=Homo sapiens OX=9606 GN=RUFY3 PE=1 SV=1
+MSALTPPTDMPTPTTDKITQAAMETIYLCKFRVSMDGEWLCLRELDDISLTPDPEPTHEDPNYLMANERMNLMNMAKLSIKGLIESALNLGRTLDSDYAPLQQFFVVMEHCLKHGLKAKKTFLGQNKSFWGPLELVEKLVPEAAEITASVKDLPGLKTPVGRGRAWLRLALMQKKLSEYMKALINKKELLSEFYEPNALMMEEEGAIIAGLLVGLNVIDANFCMKGEDLDSQVGVIDFSMYLKDGNSSKGTEGDGQITAILDQKNYVEELNRHLNATVNNLQAKVDALEKSNTKLTEELAVANNRIITLQEEMERVKEESSYILESNRKGPKQDRTAEGQALSEARKHLKEETQLRLDVEKELEMQISMRQEMELAMKMLEKDVCEKQDALVSLRQQLDDLRALKHELAFKLQSSDLGVKQKSELNSRLEEKTNQMAATIKQLEQSEKDLVKQAKTLNSAANKLIPKHH
+>DECOY_sp|Q7L099|RUFY3_HUMAN Protein RUFY3 OS=Homo sapiens OX=9606 GN=RUFY3 PE=1 SV=1
+HHKPILKNAASNLTKAQKVLDKESQELQKITAAMQNTKEELRSNLESKQKVGLDSSQLKFALEHKLARLDDLQQRLSVLADQKECVDKELMKMALEMEQRMSIQMELEKEVDLRLQTEEKLHKRAESLAQGEATRDQKPGKRNSELIYSSEEKVREMEEQLTIIRNNAVALEETLKTNSKELADVKAQLNNVTANLHRNLEEVYNKQDLIATIQGDGETGKSSNGDKLYMSFDIVGVQSDLDEGKMCFNADIVNLGVLLGAIIAGEEEMMLANPEYFESLLEKKNILAKMYESLKKQMLALRLWARGRGVPTKLGPLDKVSATIEAAEPVLKEVLELPGWFSKNQGLFTKKAKLGHKLCHEMVVFFQQLPAYDSDLTRGLNLASEILGKISLKAMNMLNMRENAMLYNPDEHTPEPDPTLSIDDLERLCLWEGDMSVRFKCLYITEMAAQTIKDTTPTPMDTPPTLASM
+>sp|Q96GQ5|RUS1_HUMAN RUS1 family protein C16orf58 OS=Homo sapiens OX=9606 GN=C16orf58 PE=1 SV=2
+MADDAGLETPLCSEQFGSGEARGCRAAADGSLQWEVGGWRWWGLSRAFTVKPEGRDAGEVGASGAPSPPLSGLQAVFLPQGFPDSVSPDYLPYQLWDSVQAFASSLSGSLATQAVLLGIGVGNAKATVSAATATWLVKDSTGMLGRIVFAWWKGSKLDCNAKQWRLFADILNDVAMFLEIMAPVYPICFTMTVSTSNLAKCIVSVAGGATRAALTVHQARRNNMADVSAKDSSQETLVNLAGLLVSLLMLPLVSGCPGFSLGCFFFLTALHIYANYRAVRALVMETLNEGRLRLVLKHYLQRGEVLDPTAANRMEPLWTGFWPAPSLSLGVPLHRLVSSVFELQQLVEGHQESYLLCWDQSQNQVQVVLNQKAGPKTILRAATHGLMLGALQGDGPLPAELEELRNRVRAGPKKESWVVVKETHEVLDMLFPKFLKGLQDAGWKTEKHQLEVDEWRATWLLSPEKKVL
+>DECOY_sp|Q96GQ5|RUS1_HUMAN RUS1 family protein C16orf58 OS=Homo sapiens OX=9606 GN=C16orf58 PE=1 SV=2
+LVKKEPSLLWTARWEDVELQHKETKWGADQLGKLFKPFLMDLVEHTEKVVVWSEKKPGARVRNRLEELEAPLPGDGQLAGLMLGHTAARLITKPGAKQNLVVQVQNQSQDWCLLYSEQHGEVLQQLEFVSSVLRHLPVGLSLSPAPWFGTWLPEMRNAATPDLVEGRQLYHKLVLRLRGENLTEMVLARVARYNAYIHLATLFFFCGLSFGPCGSVLPLMLLSVLLGALNVLTEQSSDKASVDAMNNRRAQHVTLAARTAGGAVSVICKALNSTSVTMTFCIPYVPAMIELFMAVDNLIDAFLRWQKANCDLKSGKWWAFVIRGLMGTSDKVLWTATAASVTAKANGVGIGLLVAQTALSGSLSSAFAQVSDWLQYPLYDPSVSDPFGQPLFVAQLGSLPPSPAGSAGVEGADRGEPKVTFARSLGWWRWGGVEWQLSGDAAARCGRAEGSGFQESCLPTELGADDAM
+>sp|Q6P087|RUSD3_HUMAN Mitochondrial mRNA pseudouridine synthase RPUSD3 OS=Homo sapiens OX=9606 GN=RPUSD3 PE=1 SV=3
+MRAVLAREMDGRRVLGRFWSGWRRGLGVRPVPEDAGFGTEARHQRQPRGSCQRSGPLGDQPFAGLLPKNLSREELVDALRAAVVDRKGPLVTLNKPQGLPVTGKPGELTLFSVLPELSQSLGLREQELQVVRASGKESSGLVLLSSCPQTASRLQKYFTHARRAQRPTATYCAVTDGIPAASEGKIQAALKLEHIDGVNLTVPVKAPSRKDILEGVKKTLSHFRVVATGSGCALVQLQPLTVFSSQLQVHMVLQLCPVLGDHMYSARVGTVLGQRFLLPAENNKPQRQVLDEALLRRLHLTPSQAAQLPLHLHLHRLLLPGTRARDTPVELLAPLPPYFSRTLQCLGLRLQ
+>DECOY_sp|Q6P087|RUSD3_HUMAN Mitochondrial mRNA pseudouridine synthase RPUSD3 OS=Homo sapiens OX=9606 GN=RPUSD3 PE=1 SV=3
+QLRLGLCQLTRSFYPPLPALLEVPTDRARTGPLLLRHLHLHLPLQAAQSPTLHLRRLLAEDLVQRQPKNNEAPLLFRQGLVTGVRASYMHDGLVPCLQLVMHVQLQSSFVTLPQLQVLACGSGTAVVRFHSLTKKVGELIDKRSPAKVPVTLNVGDIHELKLAAQIKGESAAPIGDTVACYTATPRQARRAHTFYKQLRSATQPCSSLLVLGSSEKGSARVVQLEQERLGLSQSLEPLVSFLTLEGPKGTVPLGQPKNLTVLPGKRDVVAARLADVLEERSLNKPLLGAFPQDGLPGSRQCSGRPQRQHRAETGFGADEPVPRVGLGRRWGSWFRGLVRRGDMERALVARM
+>sp|Q9Y230|RUVB2_HUMAN RuvB-like 2 OS=Homo sapiens OX=9606 GN=RUVBL2 PE=1 SV=3
+MATVTATTKVPEIRDVTRIERIGAHSHIRGLGLDDALEPRQASQGMVGQLAARRAAGVVLEMIREGKIAGRAVLIAGQPGTGKTAIAMGMAQALGPDTPFTAIAGSEIFSLEMSKTEALTQAFRRSIGVRIKEETEIIEGEVVEIQIDRPATGTGSKVGKLTLKTTEMETIYDLGTKMIESLTKDKVQAGDVITIDKATGKISKLGRSFTRARDYDAMGSQTKFVQCPDGELQKRKEVVHTVSLHEIDVINSRTQGFLALFSGDTGEIKSEVREQINAKVAEWREEGKAEIIPGVLFIDEVHMLDIESFSFLNRALESDMAPVLIMATNRGITRIRGTSYQSPHGIPIDLLDRLLIVSTTPYSEKDTKQILRIRCEEEDVEMSEDAYTVLTRIGLETSLRYAIQLITAASLVCRKRKGTEVQVDDIKRVYSLFLDESRSTQYMKEYQDAFLFNELKGETMDTS
+>DECOY_sp|Q9Y230|RUVB2_HUMAN RuvB-like 2 OS=Homo sapiens OX=9606 GN=RUVBL2 PE=1 SV=3
+STDMTEGKLENFLFADQYEKMYQTSRSEDLFLSYVRKIDDVQVETGKRKRCVLSAATILQIAYRLSTELGIRTLVTYADESMEVDEEECRIRLIQKTDKESYPTTSVILLRDLLDIPIGHPSQYSTGRIRTIGRNTAMILVPAMDSELARNLFSFSEIDLMHVEDIFLVGPIIEAKGEERWEAVKANIQERVESKIEGTDGSFLALFGQTRSNIVDIEHLSVTHVVEKRKQLEGDPCQVFKTQSGMADYDRARTFSRGLKSIKGTAKDITIVDGAQVKDKTLSEIMKTGLDYITEMETTKLTLKGVKSGTGTAPRDIQIEVVEGEIIETEEKIRVGISRRFAQTLAETKSMELSFIESGAIATFPTDPGLAQAMGMAIATKGTGPQGAILVARGAIKGERIMELVVGAARRAALQGVMGQSAQRPELADDLGLGRIHSHAGIREIRTVDRIEPVKTTATVTAM
+>sp|Q9HBX9|RXFP1_HUMAN Relaxin receptor 1 OS=Homo sapiens OX=9606 GN=RXFP1 PE=1 SV=2
+MTSGSVFFYILIFGKYFSHGGGQDVKCSLGYFPCGNITKCLPQLLHCNGVDDCGNQADEDNCGDNNGWSLQFDKYFASYYKMTSQYPFEAETPECLVGSVPVQCLCQGLELDCDETNLRAVPSVSSNVTAMSLQWNLIRKLPPDCFKNYHDLQKLYLQNNKITSISIYAFRGLNSLTKLYLSHNRITFLKPGVFEDLHRLEWLIIEDNHLSRISPPTFYGLNSLILLVLMNNVLTRLPDKPLCQHMPRLHWLDLEGNHIHNLRNLTFISCSNLTVLVMRKNKINHLNENTFAPLQKLDELDLGSNKIENLPPLIFKDLKELSQLNLSYNPIQKIQANQFDYLVKLKSLSLEGIEISNIQQRMFRPLMNLSHIYFKKFQYCGYAPHVRSCKPNTDGISSLENLLASIIQRVFVWVVSAVTCFGNIFVICMRPYIRSENKLYAMSIISLCCADCLMGIYLFVIGGFDLKFRGEYNKHAQLWMESTHCQLVGSLAILSTEVSVLLLTFLTLEKYICIVYPFRCVRPGKCRTITVLILIWITGFIVAFIPLSNKEFFKNYYGTNGVCFPLHSEDTESIGAQIYSVAIFLGINLAAFIIIVFSYGSMFYSVHQSAITATEIRNQVKKEMILAKRFFFIVFTDALCWIPIFVVKFLSLLQVEIPGTITSWVVIFILPINSALNPILYTLTTRPFKEMIHRFWYNYRQRKSMDSKGQKTYAPSFIWVEMWPLQEMPPELMKPDLFTYPCEMSLISQSTRLNSYS
+>DECOY_sp|Q9HBX9|RXFP1_HUMAN Relaxin receptor 1 OS=Homo sapiens OX=9606 GN=RXFP1 PE=1 SV=2
+SYSNLRTSQSILSMECPYTFLDPKMLEPPMEQLPWMEVWIFSPAYTKQGKSDMSKRQRYNYWFRHIMEKFPRTTLTYLIPNLASNIPLIFIVVWSTITGPIEVQLLSLFKVVFIPIWCLADTFVIFFFRKALIMEKKVQNRIETATIASQHVSYFMSGYSFVIIIFAALNIGLFIAVSYIQAGISETDESHLPFCVGNTGYYNKFFEKNSLPIFAVIFGTIWILILVTITRCKGPRVCRFPYVICIYKELTLFTLLLVSVETSLIALSGVLQCHTSEMWLQAHKNYEGRFKLDFGGIVFLYIGMLCDACCLSIISMAYLKNESRIYPRMCIVFINGFCTVASVVWVFVRQIISALLNELSSIGDTNPKCSRVHPAYGCYQFKKFYIHSLNMLPRFMRQQINSIEIGELSLSKLKVLYDFQNAQIKQIPNYSLNLQSLEKLDKFILPPLNEIKNSGLDLEDLKQLPAFTNENLHNIKNKRMVLVTLNSCSIFTLNRLNHIHNGELDLWHLRPMHQCLPKDPLRTLVNNMLVLLILSNLGYFTPPSIRSLHNDEIILWELRHLDEFVGPKLFTIRNHSLYLKTLSNLGRFAYISISTIKNNQLYLKQLDHYNKFCDPPLKRILNWQLSMATVNSSVSPVARLNTEDCDLELGQCLCQVPVSGVLCEPTEAEFPYQSTMKYYSAFYKDFQLSWGNNDGCNDEDAQNGCDDVGNCHLLQPLCKTINGCPFYGLSCKVDQGGGHSFYKGFILIYFFVSGSTM
+>sp|Q8WXD0|RXFP2_HUMAN Relaxin receptor 2 OS=Homo sapiens OX=9606 GN=RXFP2 PE=1 SV=1
+MIVFLVFKHLFSLRLITMFFLLHFIVLINVKDFALTQGSMITPSCQKGYFPCGNLTKCLPRAFHCDGKDDCGNGADEENCGDTSGWATIFGTVHGNANSVALTQECFLKQYPQCCDCKETELECVNGDLKSVPMISNNVTLLSLKKNKIHSLPDKVFIKYTKLKKIFLQHNCIRHISRKAFFGLCNLQILYLNHNCITTLRPGIFKDLHQLTWLILDDNPITRISQRLFTGLNSLFFLSMVNNYLEALPKQMCAQMPQLNWVDLEGNRIKYLTNSTFLSCDSLTVLFLPRNQIGFVPEKTFSSLKNLGELDLSSNTITELSPHLFKDLKLLQKLNLSSNPLMYLHKNQFESLKQLQSLDLERIEIPNINTRMFQPMKNLSHIYFKNFRYCSYAPHVRICMPLTDGISSFEDLLANNILRIFVWVIAFITCFGNLFVIGMRSFIKAENTTHAMSIKILCCADCLMGVYLFFVGIFDIKYRGQYQKYALLWMESVQCRLMGFLAMLSTEVSVLLLTYLTLEKFLVIVFPFSNIRPGKRQTSVILICIWMAGFLIAVIPFWNKDYFGNFYGKNGVCFPLYYDQTEDIGSKGYSLGIFLGVNLLAFLIIVFSYITMFCSIQKTALQTTEVRNCFGREVAVANRFFFIVFSDAICWIPVFVVKILSLFRVEIPDTMTSWIVIFFLPVNSALNPILYTLTTNFFKDKLKQLLHKHQRKSIFKIKKKSLSTSIVWIEDSSSLKLGVLNKITLGDSIMKPVS
+>DECOY_sp|Q8WXD0|RXFP2_HUMAN Relaxin receptor 2 OS=Homo sapiens OX=9606 GN=RXFP2 PE=1 SV=1
+SVPKMISDGLTIKNLVGLKLSSSDEIWVISTSLSKKKIKFISKRQHKHLLQKLKDKFFNTTLTYLIPNLASNVPLFFIVIWSTMTDPIEVRFLSLIKVVFVPIWCIADSFVIFFFRNAVAVERGFCNRVETTQLATKQISCFMTIYSFVIILFALLNVGLFIGLSYGKSGIDETQDYYLPFCVGNKGYFNGFYDKNWFPIVAILFGAMWICILIVSTQRKGPRINSFPFVIVLFKELTLYTLLLVSVETSLMALFGMLRCQVSEMWLLAYKQYQGRYKIDFIGVFFLYVGMLCDACCLIKISMAHTTNEAKIFSRMGIVFLNGFCTIFAIVWVFIRLINNALLDEFSSIGDTLPMCIRVHPAYSCYRFNKFYIHSLNKMPQFMRTNINPIEIRELDLSQLQKLSEFQNKHLYMLPNSSLNLKQLLKLDKFLHPSLETITNSSLDLEGLNKLSSFTKEPVFGIQNRPLFLVTLSDCSLFTSNTLYKIRNGELDVWNLQPMQACMQKPLAELYNNVMSLFFLSNLGTFLRQSIRTIPNDDLILWTLQHLDKFIGPRLTTICNHNLYLIQLNCLGFFAKRSIHRICNHQLFIKKLKTYKIFVKDPLSHIKNKKLSLLTVNNSIMPVSKLDGNVCELETEKCDCCQPYQKLFCEQTLAVSNANGHVTGFITAWGSTDGCNEEDAGNGCDDKGDCHFARPLCKTLNGCPFYGKQCSPTIMSGQTLAFDKVNILVIFHLLFFMTILRLSFLHKFVLFVIM
+>sp|Q9Y2B1|RXLT1_HUMAN Ribitol-5-phosphate xylosyltransferase 1 OS=Homo sapiens OX=9606 GN=RXYLT1 PE=1 SV=1
+MRLTRKRLCSFLIALYCLFSLYAAYHVFFGRRRQAPAGSPRGLRKGAAPARERRGREQSTLESEEWNPWEGDEKNEQQHRFKTSLQILDKSTKGKTDLSVQIWGKAAIGLYLWEHIFEGLLDPSDVTAQWREGKSIVGRTQYSFITGPAVIPGYFSVDVNNVVLILNGREKAKIFYATQWLLYAQNLVQIQKLQHLAVVLLGNEHCDNEWINPFLKRNGGFVELLFIIYDSPWINDVDVFQWPLGVATYRNFPVVEASWSMLHDERPYLCNFLGTIYENSSRQALMNILKKDGNDKLCWVSAREHWQPQETNESLKNYQDALLQSDLTLCPVGVNTECYRIYEACSYGSIPVVEDVMTAGNCGNTSVHHGAPLQLLKSMGAPFIFIKNWKELPAVLEKEKTIILQEKIERRKMLLQWYQHFKTELKMKFTNILESSFLMNNKS
+>DECOY_sp|Q9Y2B1|RXLT1_HUMAN Ribitol-5-phosphate xylosyltransferase 1 OS=Homo sapiens OX=9606 GN=RXYLT1 PE=1 SV=1
+SKNNMLFSSELINTFKMKLETKFHQYWQLLMKRREIKEQLIITKEKELVAPLEKWNKIFIFPAGMSKLLQLPAGHHVSTNGCNGATMVDEVVPISGYSCAEYIRYCETNVGVPCLTLDSQLLADQYNKLSENTEQPQWHERASVWCLKDNGDKKLINMLAQRSSNEYITGLFNCLYPREDHLMSWSAEVVPFNRYTAVGLPWQFVDVDNIWPSDYIIFLLEVFGGNRKLFPNIWENDCHENGLLVVALHQLKQIQVLNQAYLLWQTAYFIKAKERGNLILVVNNVDVSFYGPIVAPGTIFSYQTRGVISKGERWQATVDSPDLLGEFIHEWLYLGIAAKGWIQVSLDTKGKTSKDLIQLSTKFRHQQENKEDGEWPNWEESELTSQERGRRERAPAAGKRLGRPSGAPAQRRRGFFVHYAAYLSFLCYLAILFSCLRKRTLRM
+>sp|Q8N1F8|S11IP_HUMAN Serine/threonine-protein kinase 11-interacting protein OS=Homo sapiens OX=9606 GN=STK11IP PE=1 SV=4
+MTTAQRDSLLWKLAGLLRESGDVVLSGCSTLSLLTPTLQQLNHVFELHLGPWGPGQTGFVALPSHPADSPVILQLQFLFDVLQKTLSLKLVHVAGPGPTGPIKIFPFKSLRHLELRGVPLHCLHGLRGIYSQLETLICSRSLQALEELLSACGGDFCSALPWLALLSANFSYNALTALDSSLRLLSALRFLNLSHNQVQDCQGFLMDLCELHHLDISYNRLHLVPRMGPSGAALGVLILRGNELRSLHGLEQLRNLRHLDLAYNLLEGHRELSPLWLLAELRKLYLEGNPLWFHPEHRAATAQYLSPRARDAATGFLLDGKVLSLTDFQTHTSLGLSPMGPPLPWPVGSTPETSGGPDLSDSLSSGGVVTQPLLHKVKSRVRVRRASISEPSDTDPEPRTLNPSPAGWFVQQHPELELMSSFRERFGRNWLQYRSHLEPSGNPLPATPTTSAPSAPPASSQGPDTAPRPSPPQEEARGPQESPQKMSEEVRAEPQEEEEEKEGKEEKEEGEMVEQGEEEAGEEEEEEQDQKEVEAELCRPLLVCPLEGPEGVRGRECFLRVTSAHLFEVELQAARTLERLELQSLEAAEIEPEAQAQRSPRPTGSDLLPGAPILSLRFSYICPDRQLRRYLVLEPDAHAAVQELLAVLTPVTNVAREQLGEARDLLLGRFQCLRCGHEFKPEEPRMGLDSEEGWRPLFQKTESPAVCPNCGSDHVVLLAVSRGTPNRERKQGEQSLAPSPSASPVCHPPGHGDHLDRAKNSPPQAPSTRDHGSWSLSPPPERCGLRSVDHRLRLFLDVEVFSDAQEEFQCCLKVPVALAGHTGEFMCLVVVSDRRLYLLKVTGEMREPPASWLQLTLAVPLQDLSGIELGLAGQSLRLEWAAGAGRCVLLPRDARHCRAFLEELLDVLQSLPPAWRNCVSATEEEVTPQHRLWPLLEKDSSLEARQFFYLRAFLVEGPSTCLVSLLLTPSTLFLLDEDAAGSPAEPSPPAASGEASEKVPPSGPGPAVRVREQQPLSSLSSVLLYRSAPEDLRLLFYDEVSRLESFWALRVVCQEQLTALLAWIREPWEELFSIGLRTVIQEALALDR
+>DECOY_sp|Q8N1F8|S11IP_HUMAN Serine/threonine-protein kinase 11-interacting protein OS=Homo sapiens OX=9606 GN=STK11IP PE=1 SV=4
+RDLALAEQIVTRLGISFLEEWPERIWALLATLQEQCVVRLAWFSELRSVEDYFLLRLDEPASRYLLVSSLSSLPQQERVRVAPGPGSPPVKESAEGSAAPPSPEAPSGAADEDLLFLTSPTLLLSVLCTSPGEVLFARLYFFQRAELSSDKELLPWLRHQPTVEEETASVCNRWAPPLSQLVDLLEELFARCHRADRPLLVCRGAGAAWELRLSQGALGLEIGSLDQLPVALTLQLWSAPPERMEGTVKLLYLRRDSVVVLCMFEGTHGALAVPVKLCCQFEEQADSFVEVDLFLRLRHDVSRLGCREPPPSLSWSGHDRTSPAQPPSNKARDLHDGHGPPHCVPSASPSPALSQEGQKRERNPTGRSVALLVVHDSGCNPCVAPSETKQFLPRWGEESDLGMRPEEPKFEHGCRLCQFRGLLLDRAEGLQERAVNTVPTLVALLEQVAAHADPELVLYRRLQRDPCIYSFRLSLIPAGPLLDSGTPRPSRQAQAEPEIEAAELSQLELRELTRAAQLEVEFLHASTVRLFCERGRVGEPGELPCVLLPRCLEAEVEKQDQEEEEEEGAEEEGQEVMEGEEKEEKGEKEEEEEQPEARVEESMKQPSEQPGRAEEQPPSPRPATDPGQSSAPPASPASTTPTAPLPNGSPELHSRYQLWNRGFRERFSSMLELEPHQQVFWGAPSPNLTRPEPDTDSPESISARRVRVRSKVKHLLPQTVVGGSSLSDSLDPGGSTEPTSGVPWPLPPGMPSLGLSTHTQFDTLSLVKGDLLFGTAADRARPSLYQATAARHEPHFWLPNGELYLKRLEALLWLPSLERHGELLNYALDLHRLNRLQELGHLSRLENGRLILVGLAAGSPGMRPVLHLRNYSIDLHHLECLDMLFGQCDQVQNHSLNLFRLASLLRLSSDLATLANYSFNASLLALWPLASCFDGGCASLLEELAQLSRSCILTELQSYIGRLGHLCHLPVGRLELHRLSKFPFIKIPGTPGPGAVHVLKLSLTKQLVDFLFQLQLIVPSDAPHSPLAVFGTQGPGWPGLHLEFVHNLQQLTPTLLSLTSCGSLVVDGSERLLGALKWLLSDRQATTM
+>sp|Q13621|S12A1_HUMAN Solute carrier family 12 member 1 OS=Homo sapiens OX=9606 GN=SLC12A1 PE=1 SV=2
+MSLNNSSNVFLDSVPSNTNRFQVSVINENHESSAAADDNTDPPHYEETSFGDEAQKRLRISFRPGNQECYDNFLQSGETAKTDASFHAYDSHTNTYYLQTFGHNTMDAVPKIEYYRNTGSISGPKVNRPSLLEIHEQLAKNVAVTPSSADRVANGDGIPGDEQAENKEDDQAGVVKFGWVKGVLVRCMLNIWGVMLFIRLSWIVGEAGIGLGVLIILLSTMVTSITGLSTSAIATNGFVRGGGAYYLISRSLGPEFGGSIGLIFAFANAVAVAMYVVGFAETVVDLLKESDSMMVDPTNDIRIIGSITVVILLGISVAGMEWEAKAQVILLVILLIAIANFFIGTVIPSNNEKKSRGFFNYQASIFAENFGPRFTKGEGFFSVFAIFFPAATGILAGANISGDLEDPQDAIPRGTMLAIFITTVAYLGVAICVGACVVRDATGNMNDTIISGMNCNGSAACGLGYDFSRCRHEPCQYGLMNNFQVMSMVSGFGPLITAGIFSATLSSALASLVSAPKVFQALCKDNIYKALQFFAKGYGKNNEPLRGYILTFLIAMAFILIAELNTIAPIISNFFLASYALINFSCFHASYAKSPGWRPAYGIYNMWVSLFGAVLCCAVMFVINWWAAVITYVIEFFLYVYVTCKKPDVNWGSSTQALSYVSALDNALELTTVEDHVKNFRPQCIVLTGGPMTRPALLDITHAFTKNSGLCICCEVFVGPRKLCVKEMNSGMAKKQAWLIKNKIKAFYAAVAADCFRDGVRSLLQASGLGRMKPNTLVIGYKKNWRKAPLTEIENYVGIIHDAFDFEIGVVIVRISQGFDISQVLQVQEELERLEQERLALEATIKDNECEEESGGIRGLFKKAGKLNITKTTPKKDGSINTSQSMHVGEFNQKLVEASTQFKKKQEKGTIDVWWLFDDGGLTLLIPYILTLRKKWKDCKLRIYVGGKINRIEEEKIVMASLLSKFRIKFADIHIIGDINIRPNKESWKVFEEMIEPYRLHESCKDLTTAEKLKRETPWKITDAELEAVKEKSYRQVRLNELLQEHSRAANLIVLSLPVARKGSISDLLYMAWLEILTKNLPPVLLVRGNHKNVLTFYS
+>DECOY_sp|Q13621|S12A1_HUMAN Solute carrier family 12 member 1 OS=Homo sapiens OX=9606 GN=SLC12A1 PE=1 SV=2
+SYFTLVNKHNGRVLLVPPLNKTLIELWAMYLLDSISGKRAVPLSLVILNAARSHEQLLENLRVQRYSKEKVAELEADTIKWPTERKLKEATTLDKCSEHLRYPEIMEEFVKWSEKNPRINIDGIIHIDAFKIRFKSLLSAMVIKEEEIRNIKGGVYIRLKCDKWKKRLTLIYPILLTLGGDDFLWWVDITGKEQKKKFQTSAEVLKQNFEGVHMSQSTNISGDKKPTTKTINLKGAKKFLGRIGGSEEECENDKITAELALREQELRELEEQVQLVQSIDFGQSIRVIVVGIEFDFADHIIGVYNEIETLPAKRWNKKYGIVLTNPKMRGLGSAQLLSRVGDRFCDAAVAAYFAKIKNKILWAQKKAMGSNMEKVCLKRPGVFVECCICLGSNKTFAHTIDLLAPRTMPGGTLVICQPRFNKVHDEVTTLELANDLASVYSLAQTSSGWNVDPKKCTVYVYLFFEIVYTIVAAWWNIVFMVACCLVAGFLSVWMNYIGYAPRWGPSKAYSAHFCSFNILAYSALFFNSIIPAITNLEAILIFAMAILFTLIYGRLPENNKGYGKAFFQLAKYINDKCLAQFVKPASVLSALASSLTASFIGATILPGFGSVMSMVQFNNMLGYQCPEHRCRSFDYGLGCAASGNCNMGSIITDNMNGTADRVVCAGVCIAVGLYAVTTIFIALMTGRPIADQPDELDGSINAGALIGTAAPFFIAFVSFFGEGKTFRPGFNEAFISAQYNFFGRSKKENNSPIVTGIFFNAIAILLIVLLIVQAKAEWEMGAVSIGLLIVVTISGIIRIDNTPDVMMSDSEKLLDVVTEAFGVVYMAVAVANAFAFILGISGGFEPGLSRSILYYAGGGRVFGNTAIASTSLGTISTVMTSLLIILVGLGIGAEGVIWSLRIFLMVGWINLMCRVLVGKVWGFKVVGAQDDEKNEAQEDGPIGDGNAVRDASSPTVAVNKALQEHIELLSPRNVKPGSISGTNRYYEIKPVADMTNHGFTQLYYTNTHSDYAHFSADTKATEGSQLFNDYCEQNGPRFSIRLRKQAEDGFSTEEYHPPDTNDDAAASSEHNENIVSVQFRNTNSPVSDLFVNSSNNLSM
+>sp|Q9Y666|S12A7_HUMAN Solute carrier family 12 member 7 OS=Homo sapiens OX=9606 GN=SLC12A7 PE=1 SV=3
+MPTNFTVVPVEAHADGGGDETAERTEAPGTPEGPEPERPSPGDGNPRENSPFLNNVEVEQESFFEGKNMALFEEEMDSNPMVSSLLNKLANYTNLSQGVVEHEEDEESRRREAKAPRMGTFIGVYLPCLQNILGVILFLRLTWIVGVAGVLESFLIVAMCCTCTMLTAISMSAIATNGVVPAGGSYYMISRSLGPEFGGAVGLCFYLGTTFAGAMYILGTIEIFLTYISPGAAIFQAEAAGGEAAAMLHNMRVYGTCTLVLMALVVFVGVKYVNKLALVFLACVVLSILAIYAGVIKSAFDPPDIPVCLLGNRTLSRRSFDACVKAYGIHNNSATSALWGLFCNGSQPSAACDEYFIQNNVTEIQGIPGAASGVFLENLWSTYAHAGAFVEKKGVPSVPVAEESRASALPYVLTDIAASFTLLVGIYFPSVTGIMAGSNRSGDLKDAQKSIPTGTILAIVTTSFIYLSCIVLFGACIEGVVLRDKFGEALQGNLVIGMLAWPSPWVIVIGSFFSTCGAGLQSLTGAPRLLQAIARDGIVPFLQVFGHGKANGEPTWALLLTVLICETGILIASLDSVAPILSMFFLMCYLFVNLACAVQTLLRTPNWRPRFKFYHWTLSFLGMSLCLALMFICSWYYALSAMLIAGCIYKYIEYRGAEKEWGDGIRGLSLNAARYALLRVEHGPPHTKNWRPQVLVMLNLDAEQAVKHPRLLSFTSQLKAGKGLTIVGSVLEGTYLDKHMEAQRAEENIRSLMSTEKTKGFCQLVVSSSLRDGMSHLIQSAGLGGLKHNTVLMAWPASWKQEDNPFSWKNFVDTVRDTTAAHQALLVAKNVDSFPQNQERFGGGHIDVWWIVHDGGMLMLLPFLLRQHKVWRKCRMRIFTVAQVDDNSIQMKKDLQMFLYHLRISAEVEVVEMVENDISAFTYERTLMMEQRSQMLKQMQLSKNEQEREAQLIHDRNTASHTAAAARTQAPPTPDKVQMTWTREKLIAEKYRSRDTSLSGFKDLFSMKPDQSNVRRMHTAVKLNGVVLNKSQDAQLVLLNMPGPPKNRQGDENYMEFLEVLTEGLNRVLLVRGGGREVITIYS
+>DECOY_sp|Q9Y666|S12A7_HUMAN Solute carrier family 12 member 7 OS=Homo sapiens OX=9606 GN=SLC12A7 PE=1 SV=3
+SYITIVERGGGRVLLVRNLGETLVELFEMYNEDGQRNKPPGPMNLLVLQADQSKNLVVGNLKVATHMRRVNSQDPKMSFLDKFGSLSTDRSRYKEAILKERTWTMQVKDPTPPAQTRAAAATHSATNRDHILQAEREQENKSLQMQKLMQSRQEMMLTREYTFASIDNEVMEVVEVEASIRLHYLFMQLDKKMQISNDDVQAVTFIRMRCKRWVKHQRLLFPLLMLMGGDHVIWWVDIHGGGFREQNQPFSDVNKAVLLAQHAATTDRVTDVFNKWSFPNDEQKWSAPWAMLVTNHKLGGLGASQILHSMGDRLSSSVVLQCFGKTKETSMLSRINEEARQAEMHKDLYTGELVSGVITLGKGAKLQSTFSLLRPHKVAQEADLNLMVLVQPRWNKTHPPGHEVRLLAYRAANLSLGRIGDGWEKEAGRYEIYKYICGAILMASLAYYWSCIFMLALCLSMGLFSLTWHYFKFRPRWNPTRLLTQVACALNVFLYCMLFFMSLIPAVSDLSAILIGTECILVTLLLAWTPEGNAKGHGFVQLFPVIGDRAIAQLLRPAGTLSQLGAGCTSFFSGIVIVWPSPWALMGIVLNGQLAEGFKDRLVVGEICAGFLVICSLYIFSTTVIALITGTPISKQADKLDGSRNSGAMIGTVSPFYIGVLLTFSAAIDTLVYPLASARSEEAVPVSPVGKKEVFAGAHAYTSWLNELFVGSAAGPIGQIETVNNQIFYEDCAASPQSGNCFLGWLASTASNNHIGYAKVCADFSRRSLTRNGLLCVPIDPPDFASKIVGAYIALISLVVCALFVLALKNVYKVGVFVVLAMLVLTCTGYVRMNHLMAAAEGGAAEAQFIAAGPSIYTLFIEITGLIYMAGAFTTGLYFCLGVAGGFEPGLSRSIMYYSGGAPVVGNTAIASMSIATLMTCTCCMAVILFSELVGAVGVIWTLRLFLIVGLINQLCPLYVGIFTGMRPAKAERRRSEEDEEHEVVGQSLNTYNALKNLLSSVMPNSDMEEEFLAMNKGEFFSEQEVEVNNLFPSNERPNGDGPSPREPEPGEPTGPAETREATEDGGGDAHAEVPVVTFNTPM
+>sp|Q9UKG4|S13A4_HUMAN Solute carrier family 13 member 4 OS=Homo sapiens OX=9606 GN=SLC13A4 PE=1 SV=2
+MGLLQGLLRVRKLLLVVCVPLLLLPLPVLHPSSEASCAYVLIVTAVYWVSEAVPLGAAALVPAFLYPFFGVLRSNEVAAEYFKNTTLLLVGVICVAAAVEKWNLHKRIALRMVLMAGAKPGMLLLCFMCCTTLLSMWLSNTSTTAMVMPIVEAVLQELVSAEDEQLVAGNSNTEEAEPISLDVKNSQPSLELIFVNEESNADLTTLMHNENLNGVPSITNPIKTANQHQGKKQHPSQEKPQVLTPSPRKQKLNRKYRSHHDQMICKCLSLSISYSATIGGLTTIIGTSTSLIFLEHFNNQYPAAEVVNFGTWFLFSFPISLIMLVVSWFWMHWLFLGCNFKETCSLSKKKKTKREQLSEKRIQEEYEKLGDISYPEMVTGFFFILMTVLWFTREPGFVPGWDSFFEKKGYRTDATVSVFLGFLLFLIPAKKPCFGKKNDGENQEHSLGTEPIITWKDFQKTMPWEIVILVGGGYALASGSKSSGLSTWIGNQMLSLSSLPPWAVTLLACILVSIVTEFVSNPATITIFLPILCSLSETLHINPLYTLIPVTMCISFAVMLPVGNPPNAIVFSYGHCQIKDMVKAGLGVNVIGLVIVMVAINTWGVSLFHLDTYPAWARVSNITDQA
+>DECOY_sp|Q9UKG4|S13A4_HUMAN Solute carrier family 13 member 4 OS=Homo sapiens OX=9606 GN=SLC13A4 PE=1 SV=2
+AQDTINSVRAWAPYTDLHFLSVGWTNIAVMVIVLGIVNVGLGAKVMDKIQCHGYSFVIANPPNGVPLMVAFSICMTVPILTYLPNIHLTESLSCLIPLFITITAPNSVFETVISVLICALLTVAWPPLSSLSLMQNGIWTSLGSSKSGSALAYGGGVLIVIEWPMTKQFDKWTIIPETGLSHEQNEGDNKKGFCPKKAPILFLLFGLFVSVTADTRYGKKEFFSDWGPVFGPERTFWLVTMLIFFFGTVMEPYSIDGLKEYEEQIRKESLQERKTKKKKSLSCTEKFNCGLFLWHMWFWSVVLMILSIPFSFLFWTGFNVVEAAPYQNNFHELFILSTSTGIITTLGGITASYSISLSLCKCIMQDHHSRYKRNLKQKRPSPTLVQPKEQSPHQKKGQHQNATKIPNTISPVGNLNENHMLTTLDANSEENVFILELSPQSNKVDLSIPEAEETNSNGAVLQEDEASVLEQLVAEVIPMVMATTSTNSLWMSLLTTCCMFCLLLMGPKAGAMLVMRLAIRKHLNWKEVAAAVCIVGVLLLTTNKFYEAAVENSRLVGFFPYLFAPVLAAAGLPVAESVWYVATVILVYACSAESSPHLVPLPLLLLPVCVVLLLKRVRLLGQLLGM
+>sp|Q9Y2C5|S17A4_HUMAN Probable small intestine urate exporter OS=Homo sapiens OX=9606 GN=SLC17A4 PE=2 SV=1
+MSTGPDVKATVGDISSDGNLNVAQEECSRKGFCSVRHGLALILQLCNFSIYTQQMNLSIAIPAMVNNTAPPSQPNASTERPSTDSQGYWNETLKEFKAMAPAYDWSPEIQGIILSSLNYGSFLAPIPSGYVAGIFGAKYVVGAGLFISSFLTLFIPLAANAGVALLIVLRIVQGIAQVMVLTGQYSIWVKWAPPLERSQLTTIAGSGSMLGSFIVLLAGGLLCQTIGWPYVFYIFGGIGCACCPLWFPLIYDDPVNHPFISAGEKRYIVCSLAQQDCSPGWSLPIRAMIKSLPLWAILVSYFCEYWLFYTIMAYTPTYISSVLQANLRDSGILSALPFVVGCICIILGGLLADFLLSRKILRLITIRKLFTAIGVLFPSVILVSLPWVRSSHSMTMTFLVLSSAISSFCESGALVNFLDIAPRYTGFLKGLLQVFAHIAGAISPTAAGFFISQDSEFGWRNVFLLSAAVNISGLVFYLIFGRADVQDWAKEQTFTHL
+>DECOY_sp|Q9Y2C5|S17A4_HUMAN Probable small intestine urate exporter OS=Homo sapiens OX=9606 GN=SLC17A4 PE=2 SV=1
+LHTFTQEKAWDQVDARGFILYFVLGSINVAASLLFVNRWGFESDQSIFFGAATPSIAGAIHAFVQLLGKLFGTYRPAIDLFNVLAGSECFSSIASSLVLFTMTMSHSSRVWPLSVLIVSPFLVGIATFLKRITILRLIKRSLLFDALLGGLIICICGVVFPLASLIGSDRLNAQLVSSIYTPTYAMITYFLWYECFYSVLIAWLPLSKIMARIPLSWGPSCDQQALSCVIYRKEGASIFPHNVPDDYILPFWLPCCACGIGGFIYFVYPWGITQCLLGGALLVIFSGLMSGSGAITTLQSRELPPAWKVWISYQGTLVMVQAIGQVIRLVILLAVGANAALPIFLTLFSSIFLGAGVVYKAGFIGAVYGSPIPALFSGYNLSSLIIGQIEPSWDYAPAMAKFEKLTENWYGQSDTSPRETSANPQSPPATNNVMAPIAISLNMQQTYISFNCLQLILALGHRVSCFGKRSCEEQAVNLNGDSSIDGVTAKVDPGTSM
+>sp|O95136|S1PR2_HUMAN Sphingosine 1-phosphate receptor 2 OS=Homo sapiens OX=9606 GN=S1PR2 PE=1 SV=2
+MGSLYSEYLNPNKVQEHYNYTKETLETQETTSRQVASAFIVILCCAIVVENLLVLIAVARNSKFHSAMYLFLGNLAASDLLAGVAFVANTLLSGSVTLRLTPVQWFAREGSAFITLSASVFSLLAIAIERHVAIAKVKLYGSDKSCRMLLLIGASWLISLVLGGLPILGWNCLGHLEACSTVLPLYAKHYVLCVVTIFSIILLAIVALYVRIYCVVRSSHADMAAPQTLALLKTVTIVLGVFIVCWLPAFSILLLDYACPVHSCPILYKAHYFFAVSTLNSLLNPVIYTWRSRDLRREVLRPLQCWRPGVGVQGRRRGGTPGHHLLPLRSSSSLERGMHMPTSPTFLEGNTVV
+>DECOY_sp|O95136|S1PR2_HUMAN Sphingosine 1-phosphate receptor 2 OS=Homo sapiens OX=9606 GN=S1PR2 PE=1 SV=2
+VVTNGELFTPSTPMHMGRELSSSSRLPLLHHGPTGGRRRGQVGVGPRWCQLPRLVERRLDRSRWTYIVPNLLSNLTSVAFFYHAKYLIPCSHVPCAYDLLLISFAPLWCVIFVGLVITVTKLLALTQPAAMDAHSSRVVCYIRVYLAVIALLIISFITVVCLVYHKAYLPLVTSCAELHGLCNWGLIPLGGLVLSILWSAGILLLMRCSKDSGYLKVKAIAVHREIAIALLSFVSASLTIFASGERAFWQVPTLRLTVSGSLLTNAVFAVGALLDSAALNGLFLYMASHFKSNRAVAILVLLNEVVIACCLIVIFASAVQRSTTEQTELTEKTYNYHEQVKNPNLYESYLSGM
+>sp|O95977|S1PR4_HUMAN Sphingosine 1-phosphate receptor 4 OS=Homo sapiens OX=9606 GN=S1PR4 PE=1 SV=1
+MNATGTPVAPESCQQLAAGGHSRLIVLHYNHSGRLAGRGGPEDGGLGALRGLSVAASCLVVLENLLVLAAITSHMRSRRWVYYCLVNITLSDLLTGAAYLANVLLSGARTFRLAPAQWFLREGLLFTALAASTFSLLFTAGERFATMVRPVAESGATKTSRVYGFIGLCWLLAALLGMLPLLGWNCLCAFDRCSSLLPLYSKRYILFCLVIFAGVLATIMGLYGAIFRLVQASGQKAPRPAARRKARRLLKTVLMILLAFLVCWGPLFGLLLADVFGSNLWAQEYLRGMDWILALAVLNSAVNPIIYSFRSREVCRAVLSFLCCGCLRLGMRGPGDCLARAVEAHSGASTTDSSLRPRDSFRGSRSLSFRMREPLSSISSVRSI
+>DECOY_sp|O95977|S1PR4_HUMAN Sphingosine 1-phosphate receptor 4 OS=Homo sapiens OX=9606 GN=S1PR4 PE=1 SV=1
+ISRVSSISSLPERMRFSLSRSGRFSDRPRLSSDTTSAGSHAEVARALCDGPGRMGLRLCGCCLFSLVARCVERSRFSYIIPNVASNLVALALIWDMGRLYEQAWLNSGFVDALLLGFLPGWCVLFALLIMLVTKLLRRAKRRAAPRPAKQGSAQVLRFIAGYLGMITALVGAFIVLCFLIYRKSYLPLLSSCRDFACLCNWGLLPLMGLLAALLWCLGIFGYVRSTKTAGSEAVPRVMTAFREGATFLLSFTSAALATFLLGERLFWQAPALRFTRAGSLLVNALYAAGTLLDSLTINVLCYYVWRRSRMHSTIAALVLLNELVVLCSAAVSLGRLAGLGGDEPGGRGALRGSHNYHLVILRSHGGAALQQCSEPAVPTGTANM
+>sp|Q9H228|S1PR5_HUMAN Sphingosine 1-phosphate receptor 5 OS=Homo sapiens OX=9606 GN=S1PR5 PE=2 SV=1
+MESGLLRPAPVSEVIVLHYNYTGKLRGARYQPGAGLRADAVVCLAVCAFIVLENLAVLLVLGRHPRFHAPMFLLLGSLTLSDLLAGAAYAANILLSGPLTLKLSPALWFAREGGVFVALTASVLSLLAIALERSLTMARRGPAPVSSRGRTLAMAAAAWGVSLLLGLLPALGWNCLGRLDACSTVLPLYAKAYVLFCVLAFVGILAAICALYARIYCQVRANARRLPARPGTAGTTSTRARRKPRSLALLRTLSVVLLAFVACWGPLFLLLLLDVACPARTCPVLLQADPFLGLAMANSLLNPIIYTLTNRDLRHALLRLVCCGRHSCGRDPSGSQQSASAAEASGGLRRCLPPGLDGSFSGSERSSPQRDGLDTSGSTGSPGAPTAARTLVSEPAAD
+>DECOY_sp|Q9H228|S1PR5_HUMAN Sphingosine 1-phosphate receptor 5 OS=Homo sapiens OX=9606 GN=S1PR5 PE=2 SV=1
+DAAPESVLTRAATPAGPSGTSGSTDLGDRQPSSRESGSFSGDLGPPLCRRLGGSAEAASASQQSGSPDRGCSHRGCCVLRLLAHRLDRNTLTYIIPNLLSNAMALGLFPDAQLLVPCTRAPCAVDLLLLLFLPGWCAVFALLVVSLTRLLALSRPKRRARTSTTGATGPRAPLRRANARVQCYIRAYLACIAALIGVFALVCFLVYAKAYLPLVTSCADLRGLCNWGLAPLLGLLLSVGWAAAAMALTRGRSSVPAPGRRAMTLSRELAIALLSLVSATLAVFVGGERAFWLAPSLKLTLPGSLLINAAYAAGALLDSLTLSGLLLFMPAHFRPHRGLVLLVALNELVIFACVALCVVADARLGAGPQYRAGRLKGTYNYHLVIVESVPAPRLLGSEM
+>sp|Q9Y267|S22AE_HUMAN Solute carrier family 22 member 14 OS=Homo sapiens OX=9606 GN=SLC22A14 PE=2 SV=4
+MAGEENFKEELRSQDASRNLNQHEVAGHPHSWSLEMLLRRLRAVHTKQDDKFANLLDAVGEFGTFQQRLVALTFIPSIMSAFFMFADHFVFTAQKPYCNTSWILAVGPHLSKAEQLNLTIPQAPNGSFLTCFMYLPVPWNLDSIIQFGLNDTDTCQDGWIYPDAKKRSLINEFDLVCGMETKKDTAQIMFMAGLPIGSLIFRLITDKMGRYPAILLSLLGLIIFGFGTAFMNSFHLYLFFRFGISQSVVGYAISSISLATEWLVGEHRAHAIILGHCFFAVGAVLLTGIAYSLPHWQLLFLVGGILVIPFISYIWILPESPRWLMMKGKVKEAKQVLCYAASVNKKTIPSNLLDELQLPRKKVTRASVLDFCKNRQLCKVTLVMSCVWFTVSYTYFTLSLRMRELGVSVHFRHVVPSIMEVPARLCCIFLLQQIGRKWSLAVTLLQAIIWCLLLLFLPEGEDGLRLKWPRCPATELKSMTILVLMLREFSLAATVTVFFLYTAELLPTVLRATGLGLVSLASVAGAILSLTIISQTPSLLPIFLCCVLAIVAFSLSSLLPETRDQPLSESLNHSSQIRNKVKDMKTKETSSDDV
+>DECOY_sp|Q9Y267|S22AE_HUMAN Solute carrier family 22 member 14 OS=Homo sapiens OX=9606 GN=SLC22A14 PE=2 SV=4
+VDDSSTEKTKMDKVKNRIQSSHNLSESLPQDRTEPLLSSLSFAVIALVCCLFIPLLSPTQSIITLSLIAGAVSALSVLGLGTARLVTPLLEATYLFFVTVTAALSFERLMLVLITMSKLETAPCRPWKLRLGDEGEPLFLLLLCWIIAQLLTVALSWKRGIQQLLFICCLRAPVEMISPVVHRFHVSVGLERMRLSLTFYTYSVTFWVCSMVLTVKCLQRNKCFDLVSARTVKKRPLQLEDLLNSPITKKNVSAAYCLVQKAEKVKGKMMLWRPSEPLIWIYSIFPIVLIGGVLFLLQWHPLSYAIGTLLVAGVAFFCHGLIIAHARHEGVLWETALSISSIAYGVVSQSIGFRFFLYLHFSNMFATGFGFIILGLLSLLIAPYRGMKDTILRFILSGIPLGAMFMIQATDKKTEMGCVLDFENILSRKKADPYIWGDQCTDTDNLGFQIISDLNWPVPLYMFCTLFSGNPAQPITLNLQEAKSLHPGVALIWSTNCYPKQATFVFHDAFMFFASMISPIFTLAVLRQQFTGFEGVADLLNAFKDDQKTHVARLRRLLMELSWSHPHGAVEHQNLNRSADQSRLEEKFNEEGAM
+>sp|Q86VW1|S22AG_HUMAN Solute carrier family 22 member 16 OS=Homo sapiens OX=9606 GN=SLC22A16 PE=1 SV=1
+MGSRHFEGIYDHVGHFGRFQRVLYFICAFQNISCGIHYLASVFMGVTPHHVCRPPGNVSQVVFHNHSNWSLEDTGALLSSGQKDYVTVQLQNGEIWELSRCSRNKRENTSSLGYEYTGSKKEFPCVDGYIYDQNTWKSTAVTQWNLVCDRKWLAMLIQPLFMFGVLLGSVTFGYFSDRLGRRVVLWATSSSMFLFGIAAAFAVDYYTFMAARFFLAMVASGYLVVGFVYVMEFIGMKSRTWASVHLHSFFAVGTLLVALTGYLVRTWWLYQMILSTVTVPFILCCWVLPETPFWLLSEGRYEEAQKIVDIMAKWNRASSCKLSELLSLDLQGPVSNSPTEVQKHNLSYLFYNWSITKRTLTVWLIWFTGSLGFYSFSLNSVNLGGNEYLNLFLLGVVEIPAYTFVCIAMDKVGRRTVLAYSLFCSALACGVVMVIPQKHYILGVVTAMVGKFAIGAAFGLIYLYTAELYPTIVRSLAVGSGSMVCRLASILAPFSVDLSSIWIFIPQLFVGTMALLSGVLTLKLPETLGKRLATTWEEAAKLESENESKSSKLLLTTNNSGLEKTEAITPRDSGLGE
+>DECOY_sp|Q86VW1|S22AG_HUMAN Solute carrier family 22 member 16 OS=Homo sapiens OX=9606 GN=SLC22A16 PE=1 SV=1
+EGLGSDRPTIAETKELGSNNTTLLLKSSKSENESELKAAEEWTTALRKGLTEPLKLTLVGSLLAMTGVFLQPIFIWISSLDVSFPALISALRCVMSGSGVALSRVITPYLEATYLYILGFAAGIAFKGVMATVVGLIYHKQPIVMVVGCALASCFLSYALVTRRGVKDMAICVFTYAPIEVVGLLFLNLYENGGLNVSNLSFSYFGLSGTFWILWVTLTRKTISWNYFLYSLNHKQVETPSNSVPGQLDLSLLESLKCSSARNWKAMIDVIKQAEEYRGESLLWFPTEPLVWCCLIFPVTVTSLIMQYLWWTRVLYGTLAVLLTGVAFFSHLHVSAWTRSKMGIFEMVYVFGVVLYGSAVMALFFRAAMFTYYDVAFAAAIGFLFMSSSTAWLVVRRGLRDSFYGFTVSGLLVGFMFLPQILMALWKRDCVLNWQTVATSKWTNQDYIYGDVCPFEKKSGTYEYGLSSTNERKNRSCRSLEWIEGNQLQVTVYDKQGSSLLAGTDELSWNSHNHFVVQSVNGPPRCVHHPTVGMFVSALYHIGCSINQFACIFYLVRQFRGFHGVHDYIGEFHRSGM
+>sp|Q8N5S1|S2541_HUMAN Solute carrier family 25 member 41 OS=Homo sapiens OX=9606 GN=SLC25A41 PE=2 SV=2
+MGAQPGEPQNTCSRIQTLFRRVKTLLIKAPPPPQPPPPPPSWNPGCTHVYGYAFGHMHDNNLEHLPSQQVLDTGEQLMVPVEVLEVDNKEALWKFLLSGAMAGAVSRTGTAPLDRAKVYMQVYSSKTNFTNLLGGLQSMVQEGGFRSLWRGNGINVLKIAPEYAIKFSVFEQCKNYFCGIQGSPPFQERLLAGSLAVAISQTLINPMEVLKTRLTLRRTGQYKGLLDCARQILQREGTRALYRGYLPNMLGIIPYACTDLAVYEMLQCFWVKSGRDMGDPSGLVSLSSVTLSTTCGQMASYPLTLVRTRMQAQDTVEGSNPTMRGVLQRILAQQGWLGLYRGMTPTLLKVLPAGGISYVVYEAMKKTLGI
+>DECOY_sp|Q8N5S1|S2541_HUMAN Solute carrier family 25 member 41 OS=Homo sapiens OX=9606 GN=SLC25A41 PE=2 SV=2
+IGLTKKMAEYVVYSIGGAPLVKLLTPTMGRYLGLWGQQALIRQLVGRMTPNSGEVTDQAQMRTRVLTLPYSAMQGCTTSLTVSSLSVLGSPDGMDRGSKVWFCQLMEYVALDTCAYPIIGLMNPLYGRYLARTGERQLIQRACDLLGKYQGTRRLTLRTKLVEMPNILTQSIAVALSGALLREQFPPSGQIGCFYNKCQEFVSFKIAYEPAIKLVNIGNGRWLSRFGGEQVMSQLGGLLNTFNTKSSYVQMYVKARDLPATGTRSVAGAMAGSLLFKWLAEKNDVELVEVPVMLQEGTDLVQQSPLHELNNDHMHGFAYGYVHTCGPNWSPPPPPPQPPPPAKILLTKVRRFLTQIRSCTNQPEGPQAGM
+>sp|Q6ZT89|S2548_HUMAN Solute carrier family 25 member 48 OS=Homo sapiens OX=9606 GN=SLC25A48 PE=1 SV=2
+MGSFQLEDFAAGWIGGAASVIVGHPLDTVKTRLQAGVGYGNTLSCIRVVYRRESMFGFFKGMSFPLASIAVYNSVVFGVFSNTQRFLSQHRCGEPEASPPRTLSDLLLASMVAGVVSVGLGGPVDLIKIRLQMQTQPFRDANLGLKSRAVAPAEQPAYQGPVHCITTIVRNEGLAGLYRGASAMLLRDVPGYCLYFIPYVFLSEWITPEACTGPSPCAVWLAGGMAGAISWGTATPMDVVKSRLQADGVYLNKYKGVLDCISQSYQKEGLKVFFRGITVNAVRGFPMSAAMFLGYELSLQAIRGDHAVTSP
+>DECOY_sp|Q6ZT89|S2548_HUMAN Solute carrier family 25 member 48 OS=Homo sapiens OX=9606 GN=SLC25A48 PE=1 SV=2
+PSTVAHDGRIAQLSLEYGLFMAASMPFGRVANVTIGRFFVKLGEKQYSQSICDLVGKYKNLYVGDAQLRSKVVDMPTATGWSIAGAMGGALWVACPSPGTCAEPTIWESLFVYPIFYLCYGPVDRLLMASAGRYLGALGENRVITTICHVPGQYAPQEAPAVARSKLGLNADRFPQTQMQLRIKILDVPGGLGVSVVGAVMSALLLDSLTRPPSAEPEGCRHQSLFRQTNSFVGFVVSNYVAISALPFSMGKFFGFMSERRYVVRICSLTNGYGVGAQLRTKVTDLPHGVIVSAAGGIWGAAFDELQFSGM
+>sp|Q5K4L6|S27A3_HUMAN Long-chain fatty acid transport protein 3 OS=Homo sapiens OX=9606 GN=SLC27A3 PE=2 SV=3
+MGVCQRTRAPWKEKSQLERAALGFRKGGSGMFASGWNQTVPIEEAGSMAALLLLPLLLLLPLLLLKLHLWPQLRWLPADLAFAVRALCCKRALRARALAAAAADPEGPEGGCSLAWRLAELAQQRAAHTFLIHGSRRFSYSEAERESNRAARAFLRALGWDWGPDGGDSGEGSAGEGERAAPGAGDAAAGSGAEFAGGDGAARGGGAAAPLSPGATVALLLPAGPEFLWLWFGLAKAGLRTAFVPTALRRGPLLHCLRSCGARALVLAPEFLESLEPDLPALRAMGLHLWAAGPGTHPAGISDLLAEVSAEVDGPVPGYLSSPQSITDTCLYIFTSGTTGLPKAARISHLKILQCQGFYQLCGVHQEDVIYLALPLYHMSGSLLGIVGCMGIGATVVLKSKFSAGQFWEDCQQHRVTVFQYIGELCRYLVNQPPSKAERGHKVRLAVGSGLRPDTWERFVRRFGPLQVLETYGLTEGNVATINYTGQRGAVGRASWLYKHIFPFSLIRYDVTTGEPIRDPQGHCMATSPGEPGLLVAPVSQQSPFLGYAGGPELAQGKLLKDVFRPGDVFFNTGDLLVCDDQGFLRFHDRTGDTFRWKGENVATTEVAEVFEALDFLQEVNVYGVTVPGHEGRAGMAALVLRPPHALDLMQLYTHVSENLPPYARPRFLRLQESLATTETFKQQKVRMANEGFDPSTLSDPLYVLDQAVGAYLPLTTARYSALLAGNLRI
+>DECOY_sp|Q5K4L6|S27A3_HUMAN Long-chain fatty acid transport protein 3 OS=Homo sapiens OX=9606 GN=SLC27A3 PE=2 SV=3
+IRLNGALLASYRATTLPLYAGVAQDLVYLPDSLTSPDFGENAMRVKQQKFTETTALSEQLRLFRPRAYPPLNESVHTYLQMLDLAHPPRLVLAAMGARGEHGPVTVGYVNVEQLFDLAEFVEAVETTAVNEGKWRFTDGTRDHFRLFGQDDCVLLDGTNFFVDGPRFVDKLLKGQALEPGGAYGLFPSQQSVPAVLLGPEGPSTAMCHGQPDRIPEGTTVDYRILSFPFIHKYLWSARGVAGRQGTYNITAVNGETLGYTELVQLPGFRRVFREWTDPRLGSGVALRVKHGREAKSPPQNVLYRCLEGIYQFVTVRHQQCDEWFQGASFKSKLVVTAGIGMCGVIGLLSGSMHYLPLALYIVDEQHVGCLQYFGQCQLIKLHSIRAAKPLGTTGSTFIYLCTDTISQPSSLYGPVPGDVEASVEALLDSIGAPHTGPGAAWLHLGMARLAPLDPELSELFEPALVLARAGCSRLCHLLPGRRLATPVFATRLGAKALGFWLWLFEPGAPLLLAVTAGPSLPAAAGGGRAAGDGGAFEAGSGAAADGAGPAAREGEGASGEGSDGGDPGWDWGLARLFARAARNSEREAESYSFRRSGHILFTHAARQQALEALRWALSCGGEPGEPDAAAAALARARLARKCCLARVAFALDAPLWRLQPWLHLKLLLLPLLLLLPLLLLAAMSGAEEIPVTQNWGSAFMGSGGKRFGLAARELQSKEKWPARTRQCVGM
+>sp|Q8IWB4|S31A7_HUMAN Spermatogenesis-associated protein 31A7 OS=Homo sapiens OX=9606 GN=SPATA31A7 PE=2 SV=4
+MENLPFPLKLLSASSLNAPSSTPWVLDIFLTLVFALGFFFLLLPYLSYFRCDDPPSPSPGKRKCPVGRRRRPRGRMKNHSLRAGRECPRGLEETSDLLSQLQSLLGPHLDKGDFGQLSGPDPPGEVGERAPDGASQSSHEPMEDAAPILSPLASPDPQAKHPQDLASTPSPGPMTTSVSSLSASQPPEPSLPLEHPSPEPPALFPHPPHTPDPLACSLPPPKGFTAPPLRDSTLITPSHCDSVAFPLGTVPQSLSPHEDLVASVPAISGLGGSNSHVSASSRWQETARTSCAFNSSVQQDHLSRHPPETCQMEAGSLFLLSSDGQNVVGIQVTETAKVNIWEEKENVGSFTNRMTPEKHLNYLRNLAKSLDAEQDTTNPKPFWNMGENSKQLPGPQKLSDPRLWQESFWKNYSQLFWGLPSLHSESLVANAWVTDRSYTLQSPPFLFNEMSNVCPIQRETTMSPLLFQAQPLSHLGPECQPFISSTPQFRPTPMAQAEAQAHLQSSFPVLSPAFPSLIQNTGVACPASQNKVQALSLPETQHPEWPLLRRQLEGRLALPSRVQKSQDVFSVSTPNLPQESLTSILPENFPVSPELRRQLEQHIKKWIIQHWGNLGRIQESLDLMQLRDESPGTSQAKGKPSPWQSSMSTGESSKEAQKVKFQLERDPCPHLGQILGETPQNLSRDMKSFPRKVLGVTSEELERNLRKPLRSDSGSDLLRCTERTHIENILKAHMGRNLGQTNEGLIPVCVRRSWLAVNQALPVSNTHVKTSNLAAPKSGKACVNTAQVLSFLEPCTQQGLGAHIVRFWAKHRWGLPLRVLKPIQCFKLEKVSSLSLTQLAGPSSATCESGAGSEVEVDMFLRKPPMASLRKQVLTKASDHMPESLLASSPAWKQFQRAPRGIPSWNDHEPLKPPPAGQEGRWPSKPLTYSLTGSIQQSRSLGAQSSKAGETREAVPQCRVPLETCMLANLQATSEDVHGFEAPGTSKSSLHPRVSVSQDPRKLCLMEEVVNEFEPGMATKSETQPQVCAAVVLLPDGQASVVPHASENLVSQVPQGHLQSMPTGNMRASQELHDLMAARRSKLVHEEPRNPNCQGSCKSQRPMFPPIHKSEKSRKPNLEKHEERLEGLRTPQLTPVRKTEDTHQDEGVQLLPSKKQPPSVSPFGENIKQIFQWIFSKKKSKPAPVTAESQKTVKNRSRVYSSSAEAQGLMTAVGQMLDEKMSLCHARHASKVNQHKQKFQAPVCGFPCNHRHLFYSEHGRILSYAASSQQATLKSQGCPNRDRQIRNQQPLKSVRCNNEQWGLRHPQILHPKKAVSPVSPPQHWPKTSGASSHHHHCPRHCLLWEGI
+>DECOY_sp|Q8IWB4|S31A7_HUMAN Spermatogenesis-associated protein 31A7 OS=Homo sapiens OX=9606 GN=SPATA31A7 PE=2 SV=4
+IGEWLLCHRPCHHHHSSAGSTKPWHQPPSVPSVAKKPHLIQPHRLGWQENNCRVSKLPQQNRIQRDRNPCGQSKLTAQQSSAAYSLIRGHESYFLHRHNCPFGCVPAQFKQKHQNVKSAHRAHCLSMKEDLMQGVATMLGQAEASSSYVRSRNKVTKQSEATVPAPKSKKKSFIWQFIQKINEGFPSVSPPQKKSPLLQVGEDQHTDETKRVPTLQPTRLGELREEHKELNPKRSKESKHIPPFMPRQSKCSGQCNPNRPEEHVLKSRRAAMLDHLEQSARMNGTPMSQLHGQPVQSVLNESAHPVVSAQGDPLLVVAACVQPQTESKTAMGPEFENVVEEMLCLKRPDQSVSVRPHLSSKSTGPAEFGHVDESTAQLNALMCTELPVRCQPVAERTEGAKSSQAGLSRSQQISGTLSYTLPKSPWRGEQGAPPPKLPEHDNWSPIGRPARQFQKWAPSSALLSEPMHDSAKTLVQKRLSAMPPKRLFMDVEVESGAGSECTASSPGALQTLSLSSVKELKFCQIPKLVRLPLGWRHKAWFRVIHAGLGQQTCPELFSLVQATNVCAKGSKPAALNSTKVHTNSVPLAQNVALWSRRVCVPILGENTQGLNRGMHAKLINEIHTRETCRLLDSGSDSRLPKRLNRELEESTVGLVKRPFSKMDRSLNQPTEGLIQGLHPCPDRELQFKVKQAEKSSEGTSMSSQWPSPKGKAQSTGPSEDRLQMLDLSEQIRGLNGWHQIIWKKIHQELQRRLEPSVPFNEPLISTLSEQPLNPTSVSFVDQSKQVRSPLALRGELQRRLLPWEPHQTEPLSLAQVKNQSAPCAVGTNQILSPFAPSLVPFSSQLHAQAEAQAMPTPRFQPTSSIFPQCEPGLHSLPQAQFLLPSMTTERQIPCVNSMENFLFPPSQLTYSRDTVWANAVLSESHLSPLGWFLQSYNKWFSEQWLRPDSLKQPGPLQKSNEGMNWFPKPNTTDQEADLSKALNRLYNLHKEPTMRNTFSGVNEKEEWINVKATETVQIGVVNQGDSSLLFLSGAEMQCTEPPHRSLHDQQVSSNFACSTRATEQWRSSASVHSNSGGLGSIAPVSAVLDEHPSLSQPVTGLPFAVSDCHSPTILTSDRLPPATFGKPPPLSCALPDPTHPPHPFLAPPEPSPHELPLSPEPPQSASLSSVSTTMPGPSPTSALDQPHKAQPDPSALPSLIPAADEMPEHSSQSAGDPAREGVEGPPDPGSLQGFDGKDLHPGLLSQLQSLLDSTEELGRPCERGARLSHNKMRGRPRRRRGVPCKRKGPSPSPPDDCRFYSLYPLLLFFFGLAFVLTLFIDLVWPTSSPANLSSASLLKLPFPLNEM
+>sp|Q6ZQQ2|S31D1_HUMAN Spermatogenesis-associated protein 31D1 OS=Homo sapiens OX=9606 GN=SPATA31D1 PE=2 SV=1
+MENILCFLNSYTETGLSPDSHWLDIDPNFICLSGLGLFILYLFYVVLTLYSSPTEKNNDIQKHQGRAKRRRKGGTFKGFPDWKSFQREEEEERKLLSLLKSFGPPVSCSPRGQHHDTNHFRRLLCPDPVCRVCKRATADIQQLLSWESLKDAAPSVSPLASSASATESSFTLASTPSATPPEDLILSPRPKASPPPPLILSPDLITTLADLFSPSPLRDPLPPQPVSPLDSKFPIDHSPPQQLPFPLLPPHHIERVESSLQPEASLSLNTIFSFGSTLCQDISQAMNPIDSCARHHGPPIPSALPPEDCTVTQSKSSLTILKTFPEMLSLGGSGGSSTSAPTIKGIDHSHLASSEFTWWQPHAKDSFSSNFVPSDFMEELLTLHSSEAFLGGHSVANLIEPVNISFLSHDILALLERQVKKRGDFLMWKENGKKPGSFPKQLRPNYQLNSSRNMLTSIAVKHDLAESFPFWASKGKLEWQHIHQQPPHSKCFEDHLEQKYVQLFWGLPSLHSESLHPTVLVQRGHSSMFVFFNGITNTSISHESPVLPPPQPLSLPSTQPLPLPQTLPQGQSPHLTQVKSLAQPQSPFRALLPSPLFLIRICGVCFHRPQNEARSLLPSEINHLEWNVLQKVQESLWGLPSVVQKSQEDFCPPAPNPELVRKSFKVHVPISIIPGDFPLSSEVRKKLEQHIRRRLIQRRWGLPRRIHESLSLLRPQSKISELSVSERIHGPLNISLVEGQRCNVLKKSASSFPRSFHERSSNMLSMENVGNYQGYSQETVPKDHLLHGPETSSDKDLRSNSERDLETHMMHLSGNDSGVRLGQKQLENALTVRLSKKFEEINEGRMPGTVHSSWHSVKQTMSLPEKSHSQIKHRNLVTLVSEDHCVDTSQEISFLSSNKQKMLEAHIKTFRMRMLWGLPLKVLESIEIFKSKADLSTSFSHFDLPSSATFISQGDSKDGVSKSRSRSTFQGEKLGTTSSVPILDRPHPVSSPVVQEGQGTLRRQFSDTDHDLIETDSKDGASTSLRRGTTDFQSEKLDSTSSFPILGHSYLVTSPVNQEKQGTLRREFSDTDNDLTESVRTTEDGRQTFLPPPHSIVDEVSQKQTVLASRCSAELPIMQAGAGCESWDKRKSSFHNVDRLQGSRKTFPVTNALQSQTRNNLTTSKSGSCSLTNVKASTSNETEIFPPRISVPQDPKSSYLKNQMLSQLKLVQRKHSQPQSHFTDMSFALDNLSSKDLLTNSQGISSGDMGTSQVVHVHLEDSGIRVAQKQEPRVPTCVLQKCQVTNFPPAVNRVSPVRPKGGELDGGDAGLGTSQRRRKSLPVHNKTSGEVLGSKSSPTLKTQPPPENLFRKWMKTSLQWFNKPSISYEEQESSWEKGSSLSSCVQNIGRVIRAAFTGTTEAQKIRKDTREFLEEKLGHRHGIDITCPQEPLSFPVGLGKAQHNPEVHVRAEPVQGCPCNYRAPSCKVTRTKSCSQQAIFVGQNYPTRIRQIIDKDRQPQKVEAFKGKILCQSHPQSMPHRKPVPHPNPTCRRQVSLVCPAVPTSAKSPVFSDVPFLTGQKMLPKHLQGGKFPPTK
+>DECOY_sp|Q6ZQQ2|S31D1_HUMAN Spermatogenesis-associated protein 31D1 OS=Homo sapiens OX=9606 GN=SPATA31D1 PE=2 SV=1
+KTPPFKGGQLHKPLMKQGTLFPVDSFVPSKASTPVAPCVLSVQRRCTPNPHPVPKRHPMSQPHSQCLIKGKFAEVKQPQRDKDIIQRIRTPYNQGVFIAQQSCSKTRTVKCSPARYNCPCGQVPEARVHVEPNHQAKGLGVPFSLPEQPCTIDIGHRHGLKEELFERTDKRIKQAETTGTFAARIVRGINQVCSSLSSGKEWSSEQEEYSISPKNFWQLSTKMWKRFLNEPPPQTKLTPSSKSGLVEGSTKNHVPLSKRRRQSTGLGADGGDLEGGKPRVPSVRNVAPPFNTVQCKQLVCTPVRPEQKQAVRIGSDELHVHVVQSTGMDGSSIGQSNTLLDKSSLNDLAFSMDTFHSQPQSHKRQVLKLQSLMQNKLYSSKPDQPVSIRPPFIETENSTSAKVNTLSCSGSKSTTLNNRTQSQLANTVPFTKRSGQLRDVNHFSSKRKDWSECGAGAQMIPLEASCRSALVTQKQSVEDVISHPPPLFTQRGDETTRVSETLDNDTDSFERRLTGQKEQNVPSTVLYSHGLIPFSSTSDLKESQFDTTGRRLSTSAGDKSDTEILDHDTDSFQRRLTGQGEQVVPSSVPHPRDLIPVSSTTGLKEGQFTSRSRSKSVGDKSDGQSIFTASSPLDFHSFSTSLDAKSKFIEISELVKLPLGWLMRMRFTKIHAELMKQKNSSLFSIEQSTDVCHDESVLTVLNRHKIQSHSKEPLSMTQKVSHWSSHVTGPMRGENIEEFKKSLRVTLANELQKQGLRVGSDNGSLHMMHTELDRESNSRLDKDSSTEPGHLLHDKPVTEQSYGQYNGVNEMSLMNSSREHFSRPFSSASKKLVNCRQGEVLSINLPGHIRESVSLESIKSQPRLLSLSEHIRRPLGWRRQILRRRIHQELKKRVESSLPFDGPIISIPVHVKFSKRVLEPNPAPPCFDEQSKQVVSPLGWLSEQVKQLVNWELHNIESPLLSRAENQPRHFCVGCIRILFLPSPLLARFPSQPQALSKVQTLHPSQGQPLTQPLPLPQTSPLSLPQPPPLVPSEHSISTNTIGNFFVFMSSHGRQVLVTPHLSESHLSPLGWFLQVYKQELHDEFCKSHPPQQHIHQWELKGKSAWFPFSEALDHKVAISTLMNRSSNLQYNPRLQKPFSGPKKGNEKWMLFDGRKKVQRELLALIDHSLFSINVPEILNAVSHGGLFAESSHLTLLEEMFDSPVFNSSFSDKAHPQWWTFESSALHSHDIGKITPASTSSGGSGGLSLMEPFTKLITLSSKSQTVTCDEPPLASPIPPGHHRACSDIPNMAQSIDQCLTSGFSFITNLSLSAEPQLSSEVREIHHPPLLPFPLQQPPSHDIPFKSDLPSVPQPPLPDRLPSPSFLDALTTILDPSLILPPPPSAKPRPSLILDEPPTASPTSALTFSSETASASSALPSVSPAADKLSEWSLLQQIDATARKCVRCVPDPCLLRRFHNTDHHQGRPSCSVPPGFSKLLSLLKREEEEERQFSKWDPFGKFTGGKRRRKARGQHKQIDNNKETPSSYLTLVVYFLYLIFLGLGSLCIFNPDIDLWHSDPSLGTETYSNLFCLINEM
+>sp|Q5M8T2|S35D3_HUMAN Solute carrier family 35 member D3 OS=Homo sapiens OX=9606 GN=SLC35D3 PE=2 SV=1
+MRQLCRGRVLGISVAIAHGVFSGSLNILLKFLISRYQFSFLTLVQCLTSSTAALSLELLRRLGLIAVPPFGLSLARSFAGVAVLSTLQSSLTLWSLRGLSLPMYVVFKRCLPLVTMLIGVLVLKNGAPSPGVLAAVLITTCGAALAGAGDLTGDPIGYVTGVLAVLVHAAYLVLIQKASADTEHGPLTAQYVIAVSATPLLVICSFASTDSIHAWTFPGWKDPAMVCIFVACILIGCAMNFTTLHCTYINSAVTTSFVGVVKSIATITVGMVAFSDVEPTSLFIAGVVVNTLGSIIYCVAKFMETRKQSNYEDLEAQPRGEEAQLSGDQLPFVMEELPGEGGNGRSEGGEAAGGPAQESRQEVRGSPRGVPLVAGSSEEGSRRSLKDAYLEVWRLVRGTRYMKKDYLIENEELPSP
+>DECOY_sp|Q5M8T2|S35D3_HUMAN Solute carrier family 35 member D3 OS=Homo sapiens OX=9606 GN=SLC35D3 PE=2 SV=1
+PSPLEENEILYDKKMYRTGRVLRWVELYADKLSRRSGEESSGAVLPVGRPSGRVEQRSEQAPGGAAEGGESRGNGGEGPLEEMVFPLQDGSLQAEEGRPQAELDEYNSQKRTEMFKAVCYIISGLTNVVVGAIFLSTPEVDSFAVMGVTITAISKVVGVFSTTVASNIYTCHLTTFNMACGILICAVFICVMAPDKWGPFTWAHISDTSAFSCIVLLPTASVAIVYQATLPGHETDASAKQILVLYAAHVLVALVGTVYGIPDGTLDGAGALAAGCTTILVAALVGPSPAGNKLVLVGILMTVLPLCRKFVVYMPLSLGRLSWLTLSSQLTSLVAVGAFSRALSLGFPPVAILGLRRLLELSLAATSSTLCQVLTLFSFQYRSILFKLLINLSGSFVGHAIAVSIGLVRGRCLQRM
+>sp|Q7Z769|S35E3_HUMAN Solute carrier family 35 member E3 OS=Homo sapiens OX=9606 GN=SLC35E3 PE=2 SV=1
+MALLVDRVRGHWRIAAGLLFNLLVSICIVFLNKWIYVYHGFPNMSLTLVHFVVTWLGLYICQKLDIFAPKSLPPSRLLLLALSFCGFVVFTNLSLQNNTIGTYQLAKAMTTPVIIAIQTFCYQKTFSTRIQLTLIPITLGVILNSYYDVKFNFLGMVFAALGVLVTSLYQVWVGAKQHELQVNSMQLLYYQAPMSSAMLLVAVPFFEPVFGEGGIFGPWSVSALLMVLLSGVIAFMVNLSIYWIIGNTSPVTYNMFGHFKFCITLFGGYVLFKDPLSINQALGILCTLFGILAYTHFKLSEQEGSRSKLAQRP
+>DECOY_sp|Q7Z769|S35E3_HUMAN Solute carrier family 35 member E3 OS=Homo sapiens OX=9606 GN=SLC35E3 PE=2 SV=1
+PRQALKSRSGEQESLKFHTYALIGFLTCLIGLAQNISLPDKFLVYGGFLTICFKFHGFMNYTVPSTNGIIWYISLNVMFAIVGSLLVMLLASVSWPGFIGGEGFVPEFFPVAVLLMASSMPAQYYLLQMSNVQLEHQKAGVWVQYLSTVLVGLAAFVMGLFNFKVDYYSNLIVGLTIPILTLQIRTSFTKQYCFTQIAIIVPTTMAKALQYTGITNNQLSLNTFVVFGCFSLALLLLRSPPLSKPAFIDLKQCIYLGLWTVVFHVLTLSMNPFGHYVYIWKNLFVICISVLLNFLLGAAIRWHGRVRDVLLAM
+>sp|Q8N357|S35F6_HUMAN Solute carrier family 35 member F6 OS=Homo sapiens OX=9606 GN=SLC35F6 PE=1 SV=1
+MAWTKYQLFLAGLMLVTGSINTLSAKWADNFMAEGCGGSKEHSFQHPFLQAVGMFLGEFSCLAAFYLLRCRAAGQSDSSVDPQQPFNPLLFLPPALCDMTGTSLMYVALNMTSASSFQMLRGAVIIFTGLFSVAFLGRRLVLSQWLGILATIAGLVVVGLADLLSKHDSQHKLSEVITGDLLIIMAQIIVAIQMVLEEKFVYKHNVHPLRAVGTEGLFGFVILSLLLVPMYYIPAGSFSGNPRGTLEDALDAFCQVGQQPLIAVALLGNISSIAFFNFAGISVTKELSATTRMVLDSLRTVVIWALSLALGWEAFHALQILGFLILLIGTALYNGLHRPLLGRLSRGRPLAEESEQERLLGGTRTPINDAS
+>DECOY_sp|Q8N357|S35F6_HUMAN Solute carrier family 35 member F6 OS=Homo sapiens OX=9606 GN=SLC35F6 PE=1 SV=1
+SADNIPTRTGGLLREQESEEALPRGRSLRGLLPRHLGNYLATGILLILFGLIQLAHFAEWGLALSLAWIVVTRLSDLVMRTTASLEKTVSIGAFNFFAISSINGLLAVAILPQQGVQCFADLADELTGRPNGSFSGAPIYYMPVLLLSLIVFGFLGETGVARLPHVNHKYVFKEELVMQIAVIIQAMIILLDGTIVESLKHQSDHKSLLDALGVVVLGAITALIGLWQSLVLRRGLFAVSFLGTFIIVAGRLMQFSSASTMNLAVYMLSTGTMDCLAPPLFLLPNFPQQPDVSSDSQGAARCRLLYFAALCSFEGLFMGVAQLFPHQFSHEKSGGCGEAMFNDAWKASLTNISGTVLMLGALFLQYKTWAM
+>sp|Q96KT7|S35G5_HUMAN Solute carrier family 35 member G5 OS=Homo sapiens OX=9606 GN=SLC35G5 PE=2 SV=1
+MAGSHPYFNLPDSTHPSPPSAPPSLRWHQRCQPSGATNGLLVALLGGGLPAGFVGPLSRMAYQGSNLPSLELLICRCLFHLPIALLLKLRGDPLLGPPDIRGWACFCALLNVLSIGCAYSAVQVVPAGNAATVRKGSSTVCSAVLTLCLESQGLGGYEWCGLLGSILGLIIILGPGLWTLQEGTTGVYTTLGYVQAFLGGLALSLGLLVYRSLHFPSCLPTVAFLSGLVGLLGCVPGLFVLQTPVLPSDLLSWSCVGAEGILALVSFTCVGYAVTKAHPALVCAVLHSEVVVALILQYYMLHETVALSDIMGAGVVLGSIAIITARNLSCERTGKVEE
+>DECOY_sp|Q96KT7|S35G5_HUMAN Solute carrier family 35 member G5 OS=Homo sapiens OX=9606 GN=SLC35G5 PE=2 SV=1
+EEVKGTRECSLNRATIIAISGLVVGAGMIDSLAVTEHLMYYQLILAVVVESHLVACVLAPHAKTVAYGVCTFSVLALIGEAGVCSWSLLDSPLVPTQLVFLGPVCGLLGVLGSLFAVTPLCSPFHLSRYVLLGLSLALGGLFAQVYGLTTYVGTTGEQLTWLGPGLIIILGLISGLLGCWEYGGLGQSELCLTLVASCVTSSGKRVTAANGAPVVQVASYACGISLVNLLACFCAWGRIDPPGLLPDGRLKLLLAIPLHFLCRCILLELSPLNSGQYAMRSLPGVFGAPLGGGLLAVLLGNTAGSPQCRQHWRLSPPASPPSPHTSDPLNFYPHSGAM
+>sp|L0R6Q1|S35U4_HUMAN SLC35A4 upstream open reading frame protein OS=Homo sapiens OX=9606 GN=SLC35A4 PE=3 SV=1
+MADDKDSLPKLKDLAFLKNQLESLQRRVEDEVNSGVGQDGSLLSSPFLKGFLAGYVVAKLRASAVLGFAVGTCTGIYAAQAYAVPNVEKTLRDYLQLLRKGPD
+>DECOY_sp|L0R6Q1|S35U4_HUMAN SLC35A4 upstream open reading frame protein OS=Homo sapiens OX=9606 GN=SLC35A4 PE=3 SV=1
+DPGKRLLQLYDRLTKEVNPVAYAQAAYIGTCTGVAFGLVASARLKAVVYGALFGKLFPSSLLSGDQGVGSNVEDEVRRQLSELQNKLFALDKLKPLSDKDDAM
+>sp|Q7Z2H8|S36A1_HUMAN Proton-coupled amino acid transporter 1 OS=Homo sapiens OX=9606 GN=SLC36A1 PE=1 SV=1
+MSTQRLRNEDYHDYSSTDVSPEESPSEGLNNLSSPGSYQRFGQSNSTTWFQTLIHLLKGNIGTGLLGLPLAVKNAGIVMGPISLLIIGIVAVHCMGILVKCAHHFCRRLNKSFVDYGDTVMYGLESSPCSWLRNHAHWGRRVVDFFLIVTQLGFCCVYFVFLADNFKQVIEAANGTTNNCHNNETVILTPTMDSRLYMLSFLPFLVLLVFIRNLRALSIFSLLANITMLVSLVMIYQFIVQRIPDPSHLPLVAPWKTYPLFFGTAIFSFEGIGMVLPLENKMKDPRKFPLILYLGMVIVTILYISLGCLGYLQFGANIQGSITLNLPNCWLYQSVKLLYSIGIFFTYALQFYVPAEIIIPFFVSRAPEHCELVVDLFVRTVLVCLTCILAILIPRLDLVISLVGSVSSSALALIIPPLLEVTTFYSEGMSPLTIFKDALISILGFVGFVVGTYEALYELIQPSNAPIFINSTCAFI
+>DECOY_sp|Q7Z2H8|S36A1_HUMAN Proton-coupled amino acid transporter 1 OS=Homo sapiens OX=9606 GN=SLC36A1 PE=1 SV=1
+IFACTSNIFIPANSPQILEYLAEYTGVVFGVFGLISILADKFITLPSMGESYFTTVELLPPIILALASSSVSGVLSIVLDLRPILIALICTLCVLVTRVFLDVVLECHEPARSVFFPIIIEAPVYFQLAYTFFIGISYLLKVSQYLWCNPLNLTISGQINAGFQLYGLCGLSIYLITVIVMGLYLILPFKRPDKMKNELPLVMGIGEFSFIATGFFLPYTKWPAVLPLHSPDPIRQVIFQYIMVLSVLMTINALLSFISLARLNRIFVLLVLFPLFSLMYLRSDMTPTLIVTENNHCNNTTGNAAEIVQKFNDALFVFYVCCFGLQTVILFFDVVRRGWHAHNRLWSCPSSELGYMVTDGYDVFSKNLRRCFHHACKVLIGMCHVAVIGIILLSIPGMVIGANKVALPLGLLGTGINGKLLHILTQFWTTSNSQGFRQYSGPSSLNNLGESPSEEPSVDTSSYDHYDENRLRQTSM
+>sp|Q495M3|S36A2_HUMAN Proton-coupled amino acid transporter 2 OS=Homo sapiens OX=9606 GN=SLC36A2 PE=1 SV=1
+MSVTKSTEGPQGAVAIKLDLMSPPESAKKLENKDSTFLDESPSESAGLKKTKGITVFQALIHLVKGNMGTGILGLPLAVKNAGILMGPLSLLVMGFIACHCMHILVKCAQRFCKRLNKPFMDYGDTVMHGLEANPNAWLQNHAHWGRHIVSFFLIITQLGFCCVYIVFLADNLKQVVEAVNSTTNNCYSNETVILTPTMDSRLYMLSFLPFLVLLVLIRNLRILTIFSMLANISMLVSLVIIIQYITQEIPDPSRLPLVASWKTYPLFFGTAIFSFESIGVVLPLENKMKNARHFPAILSLGMSIVTSLYIGMAALGYLRFGDDIKASISLNLPNCWLYQSVKLLYIAGILCTYALQFYVPAEIIIPFAISRVSTRWALPLDLSIRLVMVCLTCLLAILIPRLDLVISLVGSVSGTALALIIPPLLEVTTFYSEGMSPLTIFKDALISILGFVGFVVGTYQALDELLKSEDSHPFSNSTTFVR
+>DECOY_sp|Q495M3|S36A2_HUMAN Proton-coupled amino acid transporter 2 OS=Homo sapiens OX=9606 GN=SLC36A2 PE=1 SV=1
+RVFTTSNSFPHSDESKLLEDLAQYTGVVFGVFGLISILADKFITLPSMGESYFTTVELLPPIILALATGSVSGVLSIVLDLRPILIALLCTLCVMVLRISLDLPLAWRTSVRSIAFPIIIEAPVYFQLAYTCLIGAIYLLKVSQYLWCNPLNLSISAKIDDGFRLYGLAAMGIYLSTVISMGLSLIAPFHRANKMKNELPLVVGISEFSFIATGFFLPYTKWSAVLPLRSPDPIEQTIYQIIIVLSVLMSINALMSFITLIRLNRILVLLVLFPLFSLMYLRSDMTPTLIVTENSYCNNTTSNVAEVVQKLNDALFVIYVCCFGLQTIILFFSVIHRGWHAHNQLWANPNAELGHMVTDGYDMFPKNLRKCFRQACKVLIHMCHCAIFGMVLLSLPGMLIGANKVALPLGLIGTGMNGKVLHILAQFVTIGKTKKLGASESPSEDLFTSDKNELKKASEPPSMLDLKIAVAGQPGETSKTVSM
+>sp|Q495N2|S36A3_HUMAN Proton-coupled amino acid transporter 3 OS=Homo sapiens OX=9606 GN=SLC36A3 PE=2 SV=2
+MSLLGRDYNSELNSLDNGPQSPSESSSSITSENVHPAGEAGLSMMQTLIHLLKCNIGTGLLGLPLAIKNAGLLVGPVSLLAIGVLTVHCMVILLNCAQHLSQRLQKTFVNYGEATMYGLETCPNTWLRAHAVWGRYTVSFLLVITQLGFCSVYFMFMADNLQQMVEKAHVTSNICQPREILTLTPILDIRFYMLIILPFLILLVFIQNLKVLSVFSTLANITTLGSMALIFEYIMEGIPYPSNLPLMANWKTFLLFFGTAIFTFEGVGMVLPLKNQMKHPQQFSFVLYLGMSIVIILYILLGTLGYMKFGSDTQASITLNLPNCWLYQSVKLMYSIGIFFTYALQFHVPAEIIIPFAISQVSESWALFVDLSVRSALVCLTCVSAILIPRLDLVISLVGSVSSSALALIIPALLEIVIFYSEDMSCVTIAKDIMISIVGLLGCIFGTYQALYELPQPISHSMANSTGVHA
+>DECOY_sp|Q495N2|S36A3_HUMAN Proton-coupled amino acid transporter 3 OS=Homo sapiens OX=9606 GN=SLC36A3 PE=2 SV=2
+AHVGTSNAMSHSIPQPLEYLAQYTGFICGLLGVISIMIDKAITVCSMDESYFIVIELLAPIILALASSSVSGVLSIVLDLRPILIASVCTLCVLASRVSLDVFLAWSESVQSIAFPIIIEAPVHFQLAYTFFIGISYMLKVSQYLWCNPLNLTISAQTDSGFKMYGLTGLLIYLIIVISMGLYLVFSFQQPHKMQNKLPLVMGVGEFTFIATGFFLLFTKWNAMLPLNSPYPIGEMIYEFILAMSGLTTINALTSFVSLVKLNQIFVLLILFPLIILMYFRIDLIPTLTLIERPQCINSTVHAKEVMQQLNDAMFMFYVSCFGLQTIVLLFSVTYRGWVAHARLWTNPCTELGYMTAEGYNVFTKQLRQSLHQACNLLIVMCHVTLVGIALLSVPGVLLGANKIALPLGLLGTGINCKLLHILTQMMSLGAEGAPHVNESTISSSSESPSQPGNDLSNLESNYDRGLLSM
+>sp|Q9H2H9|S38A1_HUMAN Sodium-coupled neutral amino acid transporter 1 OS=Homo sapiens OX=9606 GN=SLC38A1 PE=1 SV=1
+MMHFKSGLELTELQNMTVPEDDNISNDSNDFTEVENGQINSKFISDRESRRSLTNSHLEKKKCDEYIPGTTSLGMSVFNLSNAIMGSGILGLAFALANTGILLFLVLLTSVTLLSIYSINLLLICSKETGCMVYEKLGEQVFGTTGKFVIFGATSLQNTGAMLSYLFIVKNELPSAIKFLMGKEETFSAWYVDGRVLVVIVTFGIILPLCLLKNLGYLGYTSGFSLSCMVFFLIVVIYKKFQIPCIVPELNSTISANSTNADTCTPKYVTFNSKTVYALPTIAFAFVCHPSVLPIYSELKDRSQKKMQMVSNISFFAMFVMYFLTAIFGYLTFYDNVQSDLLHKYQSKDDILILTVRLAVIVAVILTVPVLFFTVRSSLFELAKKTKFNLCRHTVVTCILLVVINLLVIFIPSMKDIFGVVGVTSANMLIFILPSSLYLKITDQDGDKGTQRIWAALFLGLGVLFSLVSIPLVIYDWACSSSSDEGH
+>DECOY_sp|Q9H2H9|S38A1_HUMAN Sodium-coupled neutral amino acid transporter 1 OS=Homo sapiens OX=9606 GN=SLC38A1 PE=1 SV=1
+HGEDSSSSCAWDYIVLPISVLSFLVGLGLFLAAWIRQTGKDGDQDTIKLYLSSPLIFILMNASTVGVVGFIDKMSPIFIVLLNIVVLLICTVVTHRCLNFKTKKALEFLSSRVTFFLVPVTLIVAVIVALRVTLILIDDKSQYKHLLDSQVNDYFTLYGFIATLFYMVFMAFFSINSVMQMKKQSRDKLESYIPLVSPHCVFAFAITPLAYVTKSNFTVYKPTCTDANTSNASITSNLEPVICPIQFKKYIVVILFFVMCSLSFGSTYGLYGLNKLLCLPLIIGFTVIVVLVRGDVYWASFTEEKGMLFKIASPLENKVIFLYSLMAGTNQLSTAGFIVFKGTTGFVQEGLKEYVMCGTEKSCILLLNISYISLLTVSTLLVLFLLIGTNALAFALGLIGSGMIANSLNFVSMGLSTTGPIYEDCKKKELHSNTLSRRSERDSIFKSNIQGNEVETFDNSDNSINDDEPVTMNQLETLELGSKFHMM
+>sp|Q08AI6|S38AB_HUMAN Putative sodium-coupled neutral amino acid transporter 11 OS=Homo sapiens OX=9606 GN=SLC38A11 PE=2 SV=1
+MKQAGFPLGILLLFWVSYVTDFSLVLLIKGGALSGTDTYQSLVNKTFGFPGYLLLSVLQFLYPFIAMISYNIIAGDTLSKVFQRIPGVDPENVFIGRHFIIGLSTVTFTLPLSLYRNIAKLGKVSLISTGLTTLILGIVMARAISLGPHIPKTEDAWVFAKPNAIQAVGVMSFAFICHHNSFLVYSSLEEPTVAKWSRLIHMSIVISVFICIFFATCGYLTFTGFTQGDLFENYCRNDDLVTFGRFCYGVTVILTYPMECFVTREVIANVFFGGNLSSVFHIVVTVMVITVATLVSLLIDCLGIVLELNGVLCATPLIFIIPSACYLKLSEEPRTHSDKIMSCVMLPIGAVVMVFGFVMAITNTQDCTHGQEMFYCFPDNFSLTNTSESHVQQTTQLSTLNISIFQ
+>DECOY_sp|Q08AI6|S38AB_HUMAN Putative sodium-coupled neutral amino acid transporter 11 OS=Homo sapiens OX=9606 GN=SLC38A11 PE=2 SV=1
+QFISINLTSLQTTQQVHSESTNTLSFNDPFCYFMEQGHTCDQTNTIAMVFGFVMVVAGIPLMVCSMIKDSHTRPEESLKLYCASPIIFILPTACLVGNLELVIGLCDILLSVLTAVTIVMVTVVIHFVSSLNGGFFVNAIVERTVFCEMPYTLIVTVGYCFRGFTVLDDNRCYNEFLDGQTFGTFTLYGCTAFFICIFVSIVISMHILRSWKAVTPEELSSYVLFSNHHCIFAFSMVGVAQIANPKAFVWADETKPIHPGLSIARAMVIGLILTTLGTSILSVKGLKAINRYLSLPLTFTVTSLGIIFHRGIFVNEPDVGPIRQFVKSLTDGAIINYSIMAIFPYLFQLVSLLLYGPFGFTKNVLSQYTDTGSLAGGKILLVLSFDTVYSVWFLLLIGLPFGAQKM
+>sp|Q9BRY0|S39A3_HUMAN Zinc transporter ZIP3 OS=Homo sapiens OX=9606 GN=SLC39A3 PE=1 SV=2
+MVKLLVAKILCMVGVFFFMLLGSLLPVKIIETDFEKAHRSKKILSLCNTFGGGVFLATCFNALLPAVREKLQKVLSLGHISTDYPLAETILLLGFFMTVFLEQLILTFRKEKPSFIDLETFNAGSDVGSDSEYESPFMGGARGHALYVEPHGHGPSLSVQGLSRASPVRLLSLAFALSAHSVFEGLALGLQEEGEKVVSLFVGVAVHETLVAVALGISMARSAMPLRDAAKLAVTVSAMIPLGIGLGLGIESAQGVPGSVASVLLQGLAGGTFLFITFLEILAKELEEKSDRLLKVLFLVLGYTVLAGMVFLKW
+>DECOY_sp|Q9BRY0|S39A3_HUMAN Zinc transporter ZIP3 OS=Homo sapiens OX=9606 GN=SLC39A3 PE=1 SV=2
+WKLFVMGALVTYGLVLFLVKLLRDSKEELEKALIELFTIFLFTGGALGQLLVSAVSGPVGQASEIGLGLGIGLPIMASVTVALKAADRLPMASRAMSIGLAVAVLTEHVAVGVFLSVVKEGEEQLGLALGEFVSHASLAFALSLLRVPSARSLGQVSLSPGHGHPEVYLAHGRAGGMFPSEYESDSGVDSGANFTELDIFSPKEKRFTLILQELFVTMFFGLLLITEALPYDTSIHGLSLVKQLKERVAPLLANFCTALFVGGGFTNCLSLIKKSRHAKEFDTEIIKVPLLSGLLMFFFVGVMCLIKAVLLKVM
+>sp|Q96H72|S39AD_HUMAN Zinc transporter ZIP13 OS=Homo sapiens OX=9606 GN=SLC39A13 PE=1 SV=3
+MPGCPCPGCGMAGPRLLFLTALALELLERAGGSQPALRSRGTATACRLDNKESESWGALLSGERLDTWICSLLGSLMVGLSGVFPLLVIPLEMGTMLRSEAGAWRLKQLLSFALGGLLGNVFLHLLPEAWAYTCSASPGGEGQSLQQQQQLGLWVIAGILTFLALEKMFLDSKEEGTSQAPNKDPTAAAAALNGGHCLAQPAAEPGLGAVVRSIKVSGYLNLLANTIDNFTHGLAVAASFLVSKKIGLLTTMAILLHEIPHEVGDFAILLRAGFDRWSAAKLQLSTALGGLLGAGFAICTQSPKGVVGCSPAAEETAAWVLPFTSGGFLYIALVNVLPDLLEEEDPWRSLQQLLLLCAGIVVMVLFSLFVD
+>DECOY_sp|Q96H72|S39AD_HUMAN Zinc transporter ZIP13 OS=Homo sapiens OX=9606 GN=SLC39A13 PE=1 SV=3
+DVFLSFLVMVVIGACLLLLQQLSRWPDEEELLDPLVNVLAIYLFGGSTFPLVWAATEEAAPSCGVVGKPSQTCIAFGAGLLGGLATSLQLKAASWRDFGARLLIAFDGVEHPIEHLLIAMTTLLGIKKSVLFSAAVALGHTFNDITNALLNLYGSVKISRVVAGLGPEAAPQALCHGGNLAAAAATPDKNPAQSTGEEKSDLFMKELALFTLIGAIVWLGLQQQQQLSQGEGGPSASCTYAWAEPLLHLFVNGLLGGLAFSLLQKLRWAGAESRLMTGMELPIVLLPFVGSLGVMLSGLLSCIWTDLREGSLLAGWSESEKNDLRCATATGRSRLAPQSGGARELLELALATLFLLRPGAMGCGPCPCGPM
+>sp|P60866|RS20_HUMAN 40S ribosomal protein S20 OS=Homo sapiens OX=9606 GN=RPS20 PE=1 SV=1
+MAFKDTGKTPVEPEVAIHRIRITLTSRNVKSLEKVCADLIRGAKEKNLKVKGPVRMPTKTLRITTRKTPCGEGSKTWDRFQMRIHKRLIDLHSPSEIVKQITSISIEPGVEVEVTIADA
+>DECOY_sp|P60866|RS20_HUMAN 40S ribosomal protein S20 OS=Homo sapiens OX=9606 GN=RPS20 PE=1 SV=1
+ADAITVEVEVGPEISISTIQKVIESPSHLDILRKHIRMQFRDWTKSGEGCPTKRTTIRLTKTPMRVPGKVKLNKEKAGRILDACVKELSKVNRSTLTIRIRHIAVEPEVPTKGTDKFAM
+>sp|P22090|RS4Y1_HUMAN 40S ribosomal protein S4, Y isoform 1 OS=Homo sapiens OX=9606 GN=RPS4Y1 PE=1 SV=2
+MARGPKKHLKRVAAPKHWMLDKLTGVFAPRPSTGPHKLRECLPLIVFLRNRLKYALTGDEVKKICMQRFIKIDGKVRVDVTYPAGFMDVISIEKTGEHFRLVYDTKGRFAVHRITVEEAKYKLCKVRKITVGVKGIPHLVTHDARTIRYPDPVIKVNDTVQIDLGTGKIINFIKFDTGNLCMVIGGANLGRVGVITNRERHPGSFDVVHVKDANGNSFATRLSNIFVIGNGNKPWISLPRGKGIRLTVAEERDKRLATKQSSG
+>DECOY_sp|P22090|RS4Y1_HUMAN 40S ribosomal protein S4, Y isoform 1 OS=Homo sapiens OX=9606 GN=RPS4Y1 PE=1 SV=2
+GSSQKTALRKDREEAVTLRIGKGRPLSIWPKNGNGIVFINSLRTAFSNGNADKVHVVDFSGPHRERNTIVGVRGLNAGGIVMCLNGTDFKIFNIIKGTGLDIQVTDNVKIVPDPYRITRADHTVLHPIGKVGVTIKRVKCLKYKAEEVTIRHVAFRGKTDYVLRFHEGTKEISIVDMFGAPYTVDVRVKGDIKIFRQMCIKKVEDGTLAYKLRNRLFVILPLCERLKHPGTSPRPAFVGTLKDLMWHKPAAVRKLHKKPGRAM
+>sp|Q5TD94|RSH4A_HUMAN Radial spoke head protein 4 homolog A OS=Homo sapiens OX=9606 GN=RSPH4A PE=1 SV=1
+MEDSTSPKQEKENQEELGETRRPWEGKTAASPQYSEPESSEPLEAKQGPETGRQSRSSRPWSPQSRAKTPLGGPAGPETSSPAPVSPREPSSSPSPLAPARQDLAAPPQSDRTTSVIPEAGTPYPDPLEQSSDKRESTPHHTSQSEGNTFQQSQQPKPHLCGRRDVSYNNAKQKELRFDVFQEEDSNSDYDLQQPAPGGSEVAPSMLEITIQNAKAYLLKTSSNSGFNLYDHLSNMLTKILNERPENAVDIFENISQDVKMAHFSKKFDALQNENELLPTYEIAEKQKALFLQGHLEGVDQELEDEIAENALPNVMESAFYFEQAGVGLGTDETYRIFLALKQLTDTHPIQRCRFWGKILGLEMNYIVAEVEFREGEDEEEVEEEDVAEERDNGESEAHEDEEDELPKSFYKAPQAIPKEESRTGANKYVYFVCNEPGRPWVKLPPVIPAQIVIARKIKKFFTGRLDAPIISYPPFPGNESNYLRAQIARISAGTHVSPLGFYQFGEEEGEEEEEAEGGRNSFEENPDFEGIQVIDLVESLSNWVHHVQHILSQGRCNWFNSIQKNEEEEEEEDEEKDDSDYIEQEVGLPLLTPISEDLEIQNIPPWTTRLSSNLIPQYAIAVLQSNLWPGAYAFSNGKKFENFYIGWGHKYSPDNYTPPVPPPVYQEYPSGPEITEMDDPSVEEEQAFRAAQEAVLLAAENEESEEDEDEEDDYD
+>DECOY_sp|Q5TD94|RSH4A_HUMAN Radial spoke head protein 4 homolog A OS=Homo sapiens OX=9606 GN=RSPH4A PE=1 SV=1
+DYDDEEDEDEESEENEAALLVAEQAARFAQEEEVSPDDMETIEPGSPYEQYVPPPVPPTYNDPSYKHGWGIYFNEFKKGNSFAYAGPWLNSQLVAIAYQPILNSSLRTTWPPINQIELDESIPTLLPLGVEQEIYDSDDKEEDEEEEEEENKQISNFWNCRGQSLIHQVHHVWNSLSEVLDIVQIGEFDPNEEFSNRGGEAEEEEEGEEEGFQYFGLPSVHTGASIRAIQARLYNSENGPFPPYSIIPADLRGTFFKKIKRAIVIQAPIVPPLKVWPRGPENCVFYVYKNAGTRSEEKPIAQPAKYFSKPLEDEEDEHAESEGNDREEAVDEEEVEEEDEGERFEVEAVIYNMELGLIKGWFRCRQIPHTDTLQKLALFIRYTEDTGLGVGAQEFYFASEMVNPLANEAIEDELEQDVGELHGQLFLAKQKEAIEYTPLLENENQLADFKKSFHAMKVDQSINEFIDVANEPRENLIKTLMNSLHDYLNFGSNSSTKLLYAKANQITIELMSPAVESGGPAPQQLDYDSNSDEEQFVDFRLEKQKANNYSVDRRGCLHPKPQQSQQFTNGESQSTHHPTSERKDSSQELPDPYPTGAEPIVSTTRDSQPPAALDQRAPALPSPSSSPERPSVPAPSSTEPGAPGGLPTKARSQPSWPRSSRSQRGTEPGQKAELPESSEPESYQPSAATKGEWPRRTEGLEEQNEKEQKPSTSDEM
+>sp|P57060|RWD2B_HUMAN RWD domain-containing protein 2B OS=Homo sapiens OX=9606 GN=RWDD2B PE=1 SV=1
+MKIELSMQPWNPGYSSEGATAQETYTCPKMIEMEQAEAQLAELDLLASMFPGENELIVNDQLAVAELKDCIEKKTMEGRSSKVYFTINMNLDVSDEKMAMFSLACILPFKYPAVLPEITVRSVLLSRSQQTQLNTDLTAFLQKHCHGDVCILNATEWVREHASGYVSRDTSSSPTTGSTVQSVDLIFTRLWIYSHHIYNKCKRKNILEWAKELSLSGFSMPGKPGVVCVEGPQSACEEFWSRLRKLNWKRILIRHREDIPFDGTNDETERQRKFSIFEEKVFSVNGARGNHMDFGQLYQFLNTKGCGDVFQMFFGVEGQ
+>DECOY_sp|P57060|RWD2B_HUMAN RWD domain-containing protein 2B OS=Homo sapiens OX=9606 GN=RWDD2B PE=1 SV=1
+QGEVGFFMQFVDGCGKTNLFQYLQGFDMHNGRAGNVSFVKEEFISFKRQRETEDNTGDFPIDERHRILIRKWNLKRLRSWFEECASQPGEVCVVGPKGPMSFGSLSLEKAWELINKRKCKNYIHHSYIWLRTFILDVSQVTSGTTPSSSTDRSVYGSAHERVWETANLICVDGHCHKQLFATLDTNLQTQQSRSLLVSRVTIEPLVAPYKFPLICALSFMAMKEDSVDLNMNITFYVKSSRGEMTKKEICDKLEAVALQDNVILENEGPFMSALLDLEALQAEAQEMEIMKPCTYTEQATAGESSYGPNWPQMSLEIKM
+>sp|Q9H446|RWDD1_HUMAN RWD domain-containing protein 1 OS=Homo sapiens OX=9606 GN=RWDD1 PE=1 SV=1
+MTDYGEEQRNELEALESIYPDSFTVLSENPPSFTITVTSEAGENDETVQTTLKFTYSEKYPDEAPLYEIFSQENLEDNDVSDILKLLALQAEENLGMVMIFTLVTAVQEKLNEIVDQIKTRREEEKKQKEKEAEEAEKQLFHGTPVTIENFLNWKAKFDAELLEIKKKRMKEEEQAGKNKLSGKQLFETDHNLDTSDIQFLEDAGNNVEVDESLFQEMDDLELEDDEDDPDYNPADPESDSAD
+>DECOY_sp|Q9H446|RWDD1_HUMAN RWD domain-containing protein 1 OS=Homo sapiens OX=9606 GN=RWDD1 PE=1 SV=1
+DASDSEPDAPNYDPDDEDDELELDDMEQFLSEDVEVNNGADELFQIDSTDLNHDTEFLQKGSLKNKGAQEEEKMRKKKIELLEADFKAKWNLFNEITVPTGHFLQKEAEEAEKEKQKKEEERRTKIQDVIENLKEQVATVLTFIMVMGLNEEAQLALLKLIDSVDNDELNEQSFIEYLPAEDPYKESYTFKLTTQVTEDNEGAESTVTITFSPPNESLVTFSDPYISELAELENRQEEGYDTM
+>sp|Q8N488|RYBP_HUMAN RING1 and YY1-binding protein OS=Homo sapiens OX=9606 GN=RYBP PE=1 SV=2
+MTMGDKKSPTRPKRQAKPAADEGFWDCSVCTFRNSAEAFKCSICDVRKGTSTRKPRINSQLVAQQVAQQYATPPPPKKEKKEKVEKQDKEKPEKDKEISPSVTKKNTNKKTKPKSDILKDPPSEANSIQSANATTKTSETNHTSRPRLKNVDRSTAQQLAVTVGNVTVIITDFKEKTRSSSTSSSTVTSSAGSEQQNQSSSGSESTDKGSSRSSTPKGDMSAVNDESF
+>DECOY_sp|Q8N488|RYBP_HUMAN RING1 and YY1-binding protein OS=Homo sapiens OX=9606 GN=RYBP PE=1 SV=2
+FSEDNVASMDGKPTSSRSSGKDTSESGSSSQNQQESGASSTVTSSSTSSSRTKEKFDTIIVTVNGVTVALQQATSRDVNKLRPRSTHNTESTKTTANASQISNAESPPDKLIDSKPKTKKNTNKKTVSPSIEKDKEPKEKDQKEVKEKKEKKPPPPTAYQQAVQQAVLQSNIRPKRTSTGKRVDCISCKFAEASNRFTCVSCDWFGEDAAPKAQRKPRTPSKKDGMTM
+>sp|P04271|S100B_HUMAN Protein S100-B OS=Homo sapiens OX=9606 GN=S100B PE=1 SV=2
+MSELEKAMVALIDVFHQYSGREGDKHKLKKSELKELINNELSHFLEEIKEQEVVDKVMETLDNDGDGECDFQEFMAFVAMVTTACHEFFEHE
+>DECOY_sp|P04271|S100B_HUMAN Protein S100-B OS=Homo sapiens OX=9606 GN=S100B PE=1 SV=2
+EHEFFEHCATTVMAVFAMFEQFDCEGDGDNDLTEMVKDVVEQEKIEELFHSLENNILEKLESKKLKHKDGERGSYQHFVDILAVMAKELESM
+>sp|P25815|S100P_HUMAN Protein S100-P OS=Homo sapiens OX=9606 GN=S100P PE=1 SV=2
+MTELETAMGMIIDVFSRYSGSEGSTQTLTKGELKVLMEKELPGFLQSGKDKDAVDKLLKDLDANGDAQVDFSEFIVFVAAITSACHKYFEKAGLK
+>DECOY_sp|P25815|S100P_HUMAN Protein S100-P OS=Homo sapiens OX=9606 GN=S100P PE=1 SV=2
+KLGAKEFYKHCASTIAAVFVIFESFDVQADGNADLDKLLKDVADKDKGSQLFGPLEKEMLVKLEGKTLTQTSGESGSYRSFVDIIMGMATELETM
+>sp|P33764|S10A3_HUMAN Protein S100-A3 OS=Homo sapiens OX=9606 GN=S100A3 PE=1 SV=1
+MARPLEQAVAAIVCTFQEYAGRCGDKYKLCQAELKELLQKELATWTPTEFRECDYNKFMSVLDTNKDCEVDFVEYVRSLACLCLYCHEYFKDCPSEPPCSQ
+>DECOY_sp|P33764|S10A3_HUMAN Protein S100-A3 OS=Homo sapiens OX=9606 GN=S100A3 PE=1 SV=1
+QSCPPESPCDKFYEHCYLCLCALSRVYEVFDVECDKNTDLVSMFKNYDCERFETPTWTALEKQLLEKLEAQCLKYKDGCRGAYEQFTCVIAAVAQELPRAM
+>sp|P33763|S10A5_HUMAN Protein S100-A5 OS=Homo sapiens OX=9606 GN=S100A5 PE=1 SV=2
+METPLEKALTTMVTTFHKYSGREGSKLTLSRKELKELIKKELCLGEMKESSIDDLMKSLDKNSDQEIDFKEYSVFLTMLCMAYNDFFLEDNK
+>DECOY_sp|P33763|S10A5_HUMAN Protein S100-A5 OS=Homo sapiens OX=9606 GN=S100A5 PE=1 SV=2
+KNDELFFDNYAMCLMTLFVSYEKFDIEQDSNKDLSKMLDDISSEKMEGLCLEKKILEKLEKRSLTLKSGERGSYKHFTTVMTTLAKELPTEM
+>sp|P31151|S10A7_HUMAN Protein S100-A7 OS=Homo sapiens OX=9606 GN=S100A7 PE=1 SV=4
+MSNTQAERSIIGMIDMFHKYTRRDDKIEKPSLLTMMKENFPNFLSACDKKGTNYLADVFEKKDKNEDKKIDFSEFLSLLGDIATDYHKQSHGAAPCSGGSQ
+>DECOY_sp|P31151|S10A7_HUMAN Protein S100-A7 OS=Homo sapiens OX=9606 GN=S100A7 PE=1 SV=4
+QSGGSCPAAGHSQKHYDTAIDGLLSLFESFDIKKDENKDKKEFVDALYNTGKKDCASLFNPFNEKMMTLLSPKEIKDDRRTYKHFMDIMGIISREAQTNSM
+>sp|P05109|S10A8_HUMAN Protein S100-A8 OS=Homo sapiens OX=9606 GN=S100A8 PE=1 SV=1
+MLTELEKALNSIIDVYHKYSLIKGNFHAVYRDDLKKLLETECPQYIRKKGADVWFKELDINTDGAVNFQEFLILVIKMGVAAHKKSHEESHKE
+>DECOY_sp|P05109|S10A8_HUMAN Protein S100-A8 OS=Homo sapiens OX=9606 GN=S100A8 PE=1 SV=1
+EKHSEEHSKKHAAVGMKIVLILFEQFNVAGDTNIDLEKFWVDAGKKRIYQPCETELLKKLDDRYVAHFNGKILSYKHYVDIISNLAKELETLM
+>sp|P31949|S10AB_HUMAN Protein S100-A11 OS=Homo sapiens OX=9606 GN=S100A11 PE=1 SV=2
+MAKISSPTETERCIESLIAVFQKYAGKDGYNYTLSKTEFLSFMNTELAAFTKNQKDPGVLDRMMKKLDTNSDGQLDFSEFLNLIGGLAMACHDSFLKAVPSQKRT
+>DECOY_sp|P31949|S10AB_HUMAN Protein S100-A11 OS=Homo sapiens OX=9606 GN=S100A11 PE=1 SV=2
+TRKQSPVAKLFSDHCAMALGGILNLFESFDLQGDSNTDLKKMMRDLVGPDKQNKTFAALETNMFSLFETKSLTYNYGDKGAYKQFVAILSEICRETETPSSIKAM
+>sp|Q9HCY8|S10AE_HUMAN Protein S100-A14 OS=Homo sapiens OX=9606 GN=S100A14 PE=1 SV=1
+MGQCRSANAEDAQEFSDVERAIETLIKNFHQYSVEGGKETLTPSELRDLVTQQLPHLMPSNCGLEEKIANLGSCNDSKLEFRSFWELIGEAAKSVKLERPVRGH
+>DECOY_sp|Q9HCY8|S10AE_HUMAN Protein S100-A14 OS=Homo sapiens OX=9606 GN=S100A14 PE=1 SV=1
+HGRVPRELKVSKAAEGILEWFSRFELKSDNCSGLNAIKEELGCNSPMLHPLQQTVLDRLESPTLTEKGGEVSYQHFNKILTEIAREVDSFEQADEANASRCQGM
+>sp|Q9UHW9|S12A6_HUMAN Solute carrier family 12 member 6 OS=Homo sapiens OX=9606 GN=SLC12A6 PE=1 SV=2
+MHPPETTTKMASVRFMVTPTKIDDIPGLSDTSPDLSSRSSSRVRFSSRESVPETSRSEPMSEMSGATTSLATVALDPPSDRTSHPQDVIEDLSQNSITGEHSQLLDDGHKKARNAYLNNSNYEEGDEYFDKNLALFEEEMDTRPKVSSLLNRMANYTNLTQGAKEHEEAENITEGKKKPTKTPQMGTFMGVYLPCLQNIFGVILFLRLTWVVGTAGVLQAFAIVLICCCCTMLTAISMSAIATNGVVPAGGSYFMISRALGPEFGGAVGLCFYLGTTFAAAMYILGAIEIFLVYIVPRAAIFHSDDALKESAAMLNNMRVYGTAFLVLMVLVVFIGVRYVNKFASLFLACVIVSILAIYAGAIKSSFAPPHFPVCMLGNRTLSSRHIDVCSKTKEINNMTVPSKLWGFFCNSSQFFNATCDEYFVHNNVTSIQGIPGLASGIITENLWSNYLPKGEIIEKPSAKSSDVLGSLNHEYVLVDITTSFTLLVGIFFPSVTGIMAGSNRSGDLKDAQKSIPIGTILAILTTSFVYLSNVVLFGACIEGVVLRDKFGDAVKGNLVVGTLSWPSPWVIVIGSFFSTCGAGLQSLTGAPRLLQAIAKDNIIPFLRVFGHSKANGEPTWALLLTAAIAELGILIASLDLVAPILSMFFLMCYLFVNLACALQTLLRTPNWRPRFRYYHWALSFMGMSICLALMFISSWYYAIVAMVIAGMIYKYIEYQGAEKEWGDGIRGLSLSAARFALLRLEEGPPHTKNWRPQLLVLLKLDEDLHVKHPRLLTFASQLKAGKGLTIVGSVIVGNFLENYGEALAAEQTIKHLMEAEKVKGFCQLVVAAKLREGISHLIQSCGLGGMKHNTVVMGWPNGWRQSEDARAWKTFIGTVRVTTAAHLALLVAKNISFFPSNVEQFSEGNIDVWWIVHDGGMLMLLPFLLKQHKVWRKCSIRIFTVAQLEDNSIQMKKDLATFLYHLRIEAEVEVVEMHDSDISAYTYERTLMMEQRSQMLRHMRLSKTERDREAQLVKDRNSMLRLTSIGSDEDEETETYQEKVHMTWTKDKYMASRGQKAKSMEGFQDLLNMRPDQSNVRRMHTAVKLNEVIVNKSHEAKLVLLNMPGPPRNPEGDENYMEFLEVLTEGLERVLLVRGGGSEVITIYS
+>DECOY_sp|Q9UHW9|S12A6_HUMAN Solute carrier family 12 member 6 OS=Homo sapiens OX=9606 GN=SLC12A6 PE=1 SV=2
+SYITIVESGGGRVLLVRELGETLVELFEMYNEDGEPNRPPGPMNLLVLKAEHSKNVIVENLKVATHMRRVNSQDPRMNLLDQFGEMSKAKQGRSAMYKDKTWTMHVKEQYTETEEDEDSGISTLRLMSNRDKVLQAERDRETKSLRMHRLMQSRQEMMLTREYTYASIDSDHMEVVEVEAEIRLHYLFTALDKKMQISNDELQAVTFIRISCKRWVKHQKLLFPLLMLMGGDHVIWWVDINGESFQEVNSPFFSINKAVLLALHAATTVRVTGIFTKWARADESQRWGNPWGMVVTNHKMGGLGCSQILHSIGERLKAAVVLQCFGKVKEAEMLHKITQEAALAEGYNELFNGVIVSGVITLGKGAKLQSAFTLLRPHKVHLDEDLKLLVLLQPRWNKTHPPGEELRLLAFRAASLSLGRIGDGWEKEAGQYEIYKYIMGAIVMAVIAYYWSSIFMLALCISMGMFSLAWHYYRFRPRWNPTRLLTQLACALNVFLYCMLFFMSLIPAVLDLSAILIGLEAIAATLLLAWTPEGNAKSHGFVRLFPIINDKAIAQLLRPAGTLSQLGAGCTSFFSGIVIVWPSPWSLTGVVLNGKVADGFKDRLVVGEICAGFLVVNSLYVFSTTLIALITGIPISKQADKLDGSRNSGAMIGTVSPFFIGVLLTFSTTIDVLVYEHNLSGLVDSSKASPKEIIEGKPLYNSWLNETIIGSALGPIGQISTVNNHVFYEDCTANFFQSSNCFFGWLKSPVTMNNIEKTKSCVDIHRSSLTRNGLMCVPFHPPAFSSKIAGAYIALISVIVCALFLSAFKNVYRVGIFVVLVMLVLFATGYVRMNNLMAASEKLADDSHFIAARPVIYVLFIEIAGLIYMAAAFTTGLYFCLGVAGGFEPGLARSIMFYSGGAPVVGNTAIASMSIATLMTCCCCILVIAFAQLVGATGVVWTLRLFLIVGFINQLCPLYVGMFTGMQPTKTPKKKGETINEAEEHEKAGQTLNTYNAMRNLLSSVKPRTDMEEEFLALNKDFYEDGEEYNSNNLYANRAKKHGDDLLQSHEGTISNQSLDEIVDQPHSTRDSPPDLAVTALSTTAGSMESMPESRSTEPVSERSSFRVRSSSRSSLDPSTDSLGPIDDIKTPTVMFRVSAMKTTTEPPHM
+>sp|Q9BZW2|S13A1_HUMAN Solute carrier family 13 member 1 OS=Homo sapiens OX=9606 GN=SLC13A1 PE=1 SV=1
+MKFFSYILVYRRFLFVVFTVLVLLPLPIVLHTKEAECAYTLFVVATFWLTEALPLSVTALLPSLMLPMFGIMPSKKVASAYFKDFHLLLIGVICLATSIEKWNLHKRIALKMVMMVGVNPAWLTLGFMSSTAFLSMWLSNTSTAAMVMPIAEAVVQQIINAEAEVEATQMTYFNGSTNHGLEIDESVNGHEINERKEKTKPVPGYNNDTGKISSKVELEKNSGMRTKYRTKKGHVTRKLTCLCIAYSSTIGGLTTITGTSTNLIFAEYFNTRYPDCRCLNFGSWFTFSFPAALIILLLSWIWLQWLFLGFNFKEMFKCGKTKTVQQKACAEVIKQEYQKLGPIRYQEIVTLVLFIIMALLWFSRDPGFVPGWSALFSEYPGFATDSTVALLIGLLFFLIPAKTLTKTTPTGEIVAFDYSPLITWKEFQSFMPWDIAILVGGGFALADGCEESGLSKWIGNKLSPLGSLPAWLIILISSLMVTSLTEVASNPATITLFLPILSPLAEAIHVNPLYILIPSTLCTSFAFLLPVANPPNAIVFSYGHLKVIDMVKAGLGVNIVGVAVVMLGICTWIVPMFDLYTYPSWAPAMSNETMP
+>DECOY_sp|Q9BZW2|S13A1_HUMAN Solute carrier family 13 member 1 OS=Homo sapiens OX=9606 GN=SLC13A1 PE=1 SV=1
+PMTENSMAPAWSPYTYLDFMPVIWTCIGLMVVAVGVINVGLGAKVMDIVKLHGYSFVIANPPNAVPLLFAFSTCLTSPILIYLPNVHIAEALPSLIPLFLTITAPNSAVETLSTVMLSSILIILWAPLSGLPSLKNGIWKSLGSEECGDALAFGGGVLIAIDWPMFSQFEKWTILPSYDFAVIEGTPTTKTLTKAPILFFLLGILLAVTSDTAFGPYESFLASWGPVFGPDRSFWLLAMIIFLVLTVIEQYRIPGLKQYEQKIVEACAKQQVTKTKGCKFMEKFNFGLFLWQLWIWSLLLIILAAPFSFTFWSGFNLCRCDPYRTNFYEAFILNTSTGTITTLGGITSSYAICLCTLKRTVHGKKTRYKTRMGSNKELEVKSSIKGTDNNYGPVPKTKEKRENIEHGNVSEDIELGHNTSGNFYTMQTAEVEAEANIIQQVVAEAIPMVMAATSTNSLWMSLFATSSMFGLTLWAPNVGVMMVMKLAIRKHLNWKEISTALCIVGILLLHFDKFYASAVKKSPMIGFMPLMLSPLLATVSLPLAETLWFTAVVFLTYACEAEKTHLVIPLPLLVLVTFVVFLFRRYVLIYSFFKM
+>sp|Q9UDX4|S14L3_HUMAN SEC14-like protein 3 OS=Homo sapiens OX=9606 GN=SEC14L3 PE=1 SV=1
+MSGRVGDLSPKQAETLAKFRENVQDVLPALPNPDDYFLLRWLRARNFDLQKSEALLRKYMEFRKTMDIDHILDWQPPEVIQKYMPGGLCGYDRDGCPVWYDIIGPLDPKGLLFSVTKQDLLKTKMRDCERILHECDLQTERLGKKIETIVMIFDCEGLGLKHFWKPLVEVYQEFFGLLEENYPETLKFMLIVKATKLFPVGYNLMKPFLSEDTRRKIIVLGNNWKEGLLKLISPEELPAQFGGTLTDPDGNPKCLTKINYGGEIPKSMYVRDQVKTQYEHSVQINRGSSHQVEYEILFPGCVLRWQFSSDGADIGFGVFLKTKMGERQRAGEMTDVLPSQRYNAHMVPEDGNLTCSEAGVYVLRFDNTYSFVHAKKVSFTVEVLLPDEGMQKYDKELTPV
+>DECOY_sp|Q9UDX4|S14L3_HUMAN SEC14-like protein 3 OS=Homo sapiens OX=9606 GN=SEC14L3 PE=1 SV=1
+VPTLEKDYKQMGEDPLLVEVTFSVKKAHVFSYTNDFRLVYVGAESCTLNGDEPVMHANYRQSPLVDTMEGARQREGMKTKLFVGFGIDAGDSSFQWRLVCGPFLIEYEVQHSSGRNIQVSHEYQTKVQDRVYMSKPIEGGYNIKTLCKPNGDPDTLTGGFQAPLEEPSILKLLGEKWNNGLVIIKRRTDESLFPKMLNYGVPFLKTAKVILMFKLTEPYNEELLGFFEQYVEVLPKWFHKLGLGECDFIMVITEIKKGLRETQLDCEHLIRECDRMKTKLLDQKTVSFLLGKPDLPGIIDYWVPCGDRDYGCLGGPMYKQIVEPPQWDLIHDIDMTKRFEMYKRLLAESKQLDFNRARLWRLLFYDDPNPLAPLVDQVNERFKALTEAQKPSLDGVRGSM
+>sp|B5MCN3|S14L6_HUMAN Putative SEC14-like protein 6 OS=Homo sapiens OX=9606 GN=SEC14L6 PE=5 SV=1
+MSGQVGDLSPSQEKSLAQFRENIQDVLSALPNPDDYFLLRWLQARSFDLQKSEDMLRKHMEFRKQQDLANILAWQPPEVVRLYNANGICGHDGEGSPVWYHIVGSLDPKGLLLSASKQELLRDSFRSCELLLRECELQSQKLGKRVEKIIAIFGLEGLGLRDLWKPGIELLQEFFSALEANYPEILKSLIVVRAPKLFAVAFNLVKSYMSEETRRKVVILGDNWKQELTKFISPDQLPVEFGGTMTDPDGNPKCLTKINYGGEVPKSYYLCKQVRLQYEHTRSVGRGSSLQVENEILFPGCVLRWQFASDGGDIGFGVFLKTKMGERQRAREMTEVLPSQRYNAHMVPEDGILTCLQAGSYVLRFYNTYSLVHSKRISYTVEVLLPDQTFMEKMEKF
+>DECOY_sp|B5MCN3|S14L6_HUMAN Putative SEC14-like protein 6 OS=Homo sapiens OX=9606 GN=SEC14L6 PE=5 SV=1
+FKEMKEMFTQDPLLVEVTYSIRKSHVLSYTNYFRLVYSGAQLCTLIGDEPVMHANYRQSPLVETMERARQREGMKTKLFVGFGIDGGDSAFQWRLVCGPFLIENEVQLSSGRGVSRTHEYQLRVQKCLYYSKPVEGGYNIKTLCKPNGDPDTMTGGFEVPLQDPSIFKTLEQKWNDGLIVVKRRTEESMYSKVLNFAVAFLKPARVVILSKLIEPYNAELASFFEQLLEIGPKWLDRLGLGELGFIAIIKEVRKGLKQSQLECERLLLECSRFSDRLLEQKSASLLLGKPDLSGVIHYWVPSGEGDHGCIGNANYLRVVEPPQWALINALDQQKRFEMHKRLMDESKQLDFSRAQLWRLLFYDDPNPLASLVDQINERFQALSKEQSPSLDGVQGSM
+>sp|Q16348|S15A2_HUMAN Solute carrier family 15 member 2 OS=Homo sapiens OX=9606 GN=SLC15A2 PE=1 SV=2
+MNPFQKNESKETLFSPVSIEEVPPRPPSPPKKPSPTICGSNYPLSIAFIVVNEFCERFSYYGMKAVLILYFLYFLHWNEDTSTSIYHAFSSLCYFTPILGAAIADSWLGKFKTIIYLSLVYVLGHVIKSLGALPILGGQVVHTVLSLIGLSLIALGTGGIKPCVAAFGGDQFEEKHAEERTRYFSVFYLSINAGSLISTFITPMLRGDVQCFGEDCYALAFGVPGLLMVIALVVFAMGSKIYNKPPPEGNIVAQVFKCIWFAISNRFKNRSGDIPKRQHWLDWAAEKYPKQLIMDVKALTRVLFLYIPLPMFWALLDQQGSRWTLQAIRMNRNLGFFVLQPDQMQVLNPLLVLIFIPLFDFVIYRLVSKCGINFSSLRKMAVGMILACLAFAVAAAVEIKINEMAPAQPGPQEVFLQVLNLADDEVKVTVVGNENNSLLIESIKSFQKTPHYSKLHLKTKSQDFHFHLKYHNLSLYTEHSVQEKNWYSLVIREDGNSISSMMVKDTESRTTNGMTTVRFVNTLHKDVNISLSTDTSLNVGEDYGVSAYRTVQRGEYPAVHCRTEDKNFSLNLGLLDFGAAYLFVITNNTNQGLQAWKIEDIPANKMSIAWQLPQYALVTAGEVMFSVTGLEFSYSQAPSSMKSVLQAAWLLTIAVGNIIVLVVAQFSGLVQWAEFILFSCLLLVICLIFSIMGYYYVPVKTEDMRGPADKHIPHIQGNMIKLETKKTKL
+>DECOY_sp|Q16348|S15A2_HUMAN Solute carrier family 15 member 2 OS=Homo sapiens OX=9606 GN=SLC15A2 PE=1 SV=2
+LKTKKTELKIMNGQIHPIHKDAPGRMDETKVPVYYYGMISFILCIVLLLCSFLIFEAWQVLGSFQAVVLVIINGVAITLLWAAQLVSKMSSPAQSYSFELGTVSFMVEGATVLAYQPLQWAISMKNAPIDEIKWAQLGQNTNNTIVFLYAAGFDLLGLNLSFNKDETRCHVAPYEGRQVTRYASVGYDEGVNLSTDTSLSINVDKHLTNVFRVTTMGNTTRSETDKVMMSSISNGDERIVLSYWNKEQVSHETYLSLNHYKLHFHFDQSKTKLHLKSYHPTKQFSKISEILLSNNENGVVTVKVEDDALNLVQLFVEQPGPQAPAMENIKIEVAAAVAFALCALIMGVAMKRLSSFNIGCKSVLRYIVFDFLPIFILVLLPNLVQMQDPQLVFFGLNRNMRIAQLTWRSGQQDLLAWFMPLPIYLFLVRTLAKVDMILQKPYKEAAWDLWHQRKPIDGSRNKFRNSIAFWICKFVQAVINGEPPPKNYIKSGMAFVVLAIVMLLGPVGFALAYCDEGFCQVDGRLMPTIFTSILSGANISLYFVSFYRTREEAHKEEFQDGGFAAVCPKIGGTGLAILSLGILSLVTHVVQGGLIPLAGLSKIVHGLVYVLSLYIITKFKGLWSDAIAAGLIPTFYCLSSFAHYISTSTDENWHLFYLFYLILVAKMGYYSFRECFENVVIFAISLPYNSGCITPSPKKPPSPPRPPVEEISVPSFLTEKSENKQFPNM
+>sp|Q8IY34|S15A3_HUMAN Solute carrier family 15 member 3 OS=Homo sapiens OX=9606 GN=SLC15A3 PE=1 SV=2
+MPAPRAREQPRVPGERQPLLPRGARGPRRWRRAAGAAVLLVEMLERAAFFGVTANLVLYLNSTNFNWTGEQATRAALVFLGASYLLAPVGGWLADVYLGRYRAVALSLLLYLAASGLLPATAFPDGRSSFCGEMPASPLGPACPSAGCPRSSPSPYCAPVLYAGLLLLGLAASSVRSNLTSFGADQVMDLGRDATRRFFNWFYWSINLGAVLSLLVVAFIQQNISFLLGYSIPVGCVGLAFFIFLFATPVFITKPPMGSQVSSMLKLALQNCCPQLWQRHSARDRQCARVLADERSPQPGASPQEDIANFQVLVKILPVMVTLVPYWMVYFQMQSTYVLQGLHLHIPNIFPANPANISVALRAQGSSYTIPEAWLLLANVVVVLILVPLKDRLIDPLLLRCKLLPSALQKMALGMFFGFTSVIVAGVLEMERLHYIHHNETVSQQIGEVLYNAAPLSIWWQIPQYLLIGISEIFASIPGLEFAYSEAPRSMQGAIMGIFFCLSGVGSLLGSSLVALLSLPGGWLHCPKDFGNINNCRMDLYFFLLAGIQAVTALLFVWIAGRYERASQGPASHSRFSRDRG
+>DECOY_sp|Q8IY34|S15A3_HUMAN Solute carrier family 15 member 3 OS=Homo sapiens OX=9606 GN=SLC15A3 PE=1 SV=2
+GRDRSFRSHSAPGQSAREYRGAIWVFLLATVAQIGALLFFYLDMRCNNINGFDKPCHLWGGPLSLLAVLSSGLLSGVGSLCFFIGMIAGQMSRPAESYAFELGPISAFIESIGILLYQPIQWWISLPAANYLVEGIQQSVTENHHIYHLREMELVGAVIVSTFGFFMGLAMKQLASPLLKCRLLLPDILRDKLPVLILVVVVNALLLWAEPITYSSGQARLAVSINAPNAPFINPIHLHLGQLVYTSQMQFYVMWYPVLTVMVPLIKVLVQFNAIDEQPSAGPQPSREDALVRACQRDRASHRQWLQPCCNQLALKLMSSVQSGMPPKTIFVPTAFLFIFFALGVCGVPISYGLLFSINQQIFAVVLLSLVAGLNISWYFWNFFRRTADRGLDMVQDAGFSTLNSRVSSAALGLLLLGAYLVPACYPSPSSRPCGASPCAPGLPSAPMEGCFSSRGDPFATAPLLGSAALYLLLSLAVARYRGLYVDALWGGVPALLYSAGLFVLAARTAQEGTWNFNTSNLYLVLNATVGFFAARELMEVLLVAAGAARRWRRPGRAGRPLLPQREGPVRPQERARPAPM
+>sp|Q9NRA2|S17A5_HUMAN Sialin OS=Homo sapiens OX=9606 GN=SLC17A5 PE=1 SV=2
+MRSPVRDLARNDGEESTDRTPLLPGAPRAEAAPVCCSARYNLAILAFFGFFIVYALRVNLSVALVDMVDSNTTLEDNRTSKACPEHSAPIKVHHNQTGKKYQWDAETQGWILGSFFYGYIITQIPGGYVASKIGGKMLLGFGILGTAVLTLFTPIAADLGVGPLIVLRALEGLGEGVTFPAMHAMWSSWAPPLERSKLLSISYAGAQLGTVISLPLSGIICYYMNWTYVFYFFGTIGIFWFLLWIWLVSDTPQKHKRISHYEKEYILSSLRNQLSSQKSVPWVPILKSLPLWAIVVAHFSYNWTFYTLLTLLPTYMKEILRFNVQENGFLSSLPYLGSWLCMILSGQAADNLRAKWNFSTLCVRRIFSLIGMIGPAVFLVAAGFIGCDYSLAVAFLTISTTLGGFCSSGFSINHLDIAPSYAGILLGITNTFATIPGMVGPVIAKSLTPDNTVGEWQTVFYIAAAINVFGAIFFTLFAKGEVQNWALNDHHGHRH
+>DECOY_sp|Q9NRA2|S17A5_HUMAN Sialin OS=Homo sapiens OX=9606 GN=SLC17A5 PE=1 SV=2
+HRHGHHDNLAWNQVEGKAFLTFFIAGFVNIAAAIYFVTQWEGVTNDPTLSKAIVPGVMGPITAFTNTIGLLIGAYSPAIDLHNISFGSSCFGGLTTSITLFAVALSYDCGIFGAAVLFVAPGIMGILSFIRRVCLTSFNWKARLNDAAQGSLIMCLWSGLYPLSSLFGNEQVNFRLIEKMYTPLLTLLTYFTWNYSFHAVVIAWLPLSKLIPVWPVSKQSSLQNRLSSLIYEKEYHSIRKHKQPTDSVLWIWLLFWFIGITGFFYFVYTWNMYYCIIGSLPLSIVTGLQAGAYSISLLKSRELPPAWSSWMAHMAPFTVGEGLGELARLVILPGVGLDAAIPTFLTLVATGLIGFGLLMKGGIKSAVYGGPIQTIIYGYFFSGLIWGQTEADWQYKKGTQNHHVKIPASHEPCAKSTRNDELTTNSDVMDVLAVSLNVRLAYVIFFGFFALIALNYRASCCVPAAEARPAGPLLPTRDTSEEGDNRALDRVPSRM
+>sp|P21453|S1PR1_HUMAN Sphingosine 1-phosphate receptor 1 OS=Homo sapiens OX=9606 GN=S1PR1 PE=1 SV=2
+MGPTSVPLVKAHRSSVSDYVNYDIIVRHYNYTGKLNISADKENSIKLTSVVFILICCFIILENIFVLLTIWKTKKFHRPMYYFIGNLALSDLLAGVAYTANLLLSGATTYKLTPAQWFLREGSMFVALSASVFSLLAIAIERYITMLKMKLHNGSNNFRLFLLISACWVISLILGGLPIMGWNCISALSSCSTVLPLYHKHYILFCTTVFTLLLLSIVILYCRIYSLVRTRSRRLTFRKNISKASRSSEKSLALLKTVIIVLSVFIACWAPLFILLLLDVGCKVKTCDILFRAEYFLVLAVLNSGTNPIIYTLTNKEMRRAFIRIMSCCKCPSGDSAGKFKRPIIAGMEFSRSKSDNSSHPQKDEGDNPETIMSSGNVNSSS
+>DECOY_sp|P21453|S1PR1_HUMAN Sphingosine 1-phosphate receptor 1 OS=Homo sapiens OX=9606 GN=S1PR1 PE=1 SV=2
+SSSNVNGSSMITEPNDGEDKQPHSSNDSKSRSFEMGAIIPRKFKGASDGSPCKCCSMIRIFARRMEKNTLTYIIPNTGSNLVALVLFYEARFLIDCTKVKCGVDLLLLIFLPAWCAIFVSLVIIVTKLLALSKESSRSAKSINKRFTLRRSRTRVLSYIRCYLIVISLLLLTFVTTCFLIYHKHYLPLVTSCSSLASICNWGMIPLGGLILSIVWCASILLFLRFNNSGNHLKMKLMTIYREIAIALLSFVSASLAVFMSGERLFWQAPTLKYTTAGSLLLNATYAVGALLDSLALNGIFYYMPRHFKKTKWITLLVFINELIIFCCILIFVVSTLKISNEKDASINLKGTYNYHRVIIDYNVYDSVSSRHAKVLPVSTPGM
+>sp|Q99500|S1PR3_HUMAN Sphingosine 1-phosphate receptor 3 OS=Homo sapiens OX=9606 GN=S1PR3 PE=1 SV=2
+MATALPPRLQPVRGNETLREHYQYVGKLAGRLKEASEGSTLTTVLFLVICSFIVLENLMVLIAIWKNNKFHNRMYFFIGNLALCDLLAGIAYKVNILMSGKKTFSLSPTVWFLREGSMFVALGASTCSLLAIAIERHLTMIKMRPYDANKRHRVFLLIGMCWLIAFTLGALPILGWNCLHNLPDCSTILPLYSKKYIAFCISIFTAILVTIVILYARIYFLVKSSSRKVANHNNSERSMALLRTVVIVVSVFIACWSPLFILFLIDVACRVQACPILFKAQWFIVLAVLNSAMNPVIYTLASKEMRRAFFRLVCNCLVRGRGARASPIQPALDPSRSKSSSSNNSSHSPKVKEDLPHTAPSSCIMDKNAALQNGIFCN
+>DECOY_sp|Q99500|S1PR3_HUMAN Sphingosine 1-phosphate receptor 3 OS=Homo sapiens OX=9606 GN=S1PR3 PE=1 SV=2
+NCFIGNQLAANKDMICSSPATHPLDEKVKPSHSSNNSSSSKSRSPDLAPQIPSARAGRGRVLCNCVLRFFARRMEKSALTYIVPNMASNLVALVIFWQAKFLIPCAQVRCAVDILFLIFLPSWCAIFVSVVIVVTRLLAMSRESNNHNAVKRSSSKVLFYIRAYLIVITVLIATFISICFAIYKKSYLPLITSCDPLNHLCNWGLIPLAGLTFAILWCMGILLFVRHRKNADYPRMKIMTLHREIAIALLSCTSAGLAVFMSGERLFWVTPSLSFTKKGSMLINVKYAIGALLDCLALNGIFFYMRNHFKNNKWIAILVMLNELVIFSCIVLFLVTTLTSGESAEKLRGALKGVYQYHERLTENGRVPQLRPPLATAM
+>sp|O15245|S22A1_HUMAN Solute carrier family 22 member 1 OS=Homo sapiens OX=9606 GN=SLC22A1 PE=1 SV=2
+MPTVDDILEQVGESGWFQKQAFLILCLLSAAFAPICVGIVFLGFTPDHHCQSPGVAELSQRCGWSPAEELNYTVPGLGPAGEAFLGQCRRYEVDWNQSALSCVDPLASLATNRSHLPLGPCQDGWVYDTPGSSIVTEFNLVCADSWKLDLFQSCLNAGFLFGSLGVGYFADRFGRKLCLLGTVLVNAVSGVLMAFSPNYMSMLLFRLLQGLVSKGNWMAGYTLITEFVGSGSRRTVAIMYQMAFTVGLVALTGLAYALPHWRWLQLAVSLPTFLFLLYYWCVPESPRWLLSQKRNTEAIKIMDHIAQKNGKLPPADLKMLSLEEDVTEKLSPSFADLFRTPRLRKRTFILMYLWFTDSVLYQGLILHMGATSGNLYLDFLYSALVEIPGAFIALITIDRVGRIYPMAMSNLLAGAACLVMIFISPDLHWLNIIIMCVGRMGITIAIQMICLVNAELYPTFVRNLGVMVCSSLCDIGGIITPFIVFRLREVWQALPLILFAVLGLLAAGVTLLLPETKGVALPETMKDAENLGRKAKPKENTIYLKVQTSEPSGT
+>DECOY_sp|O15245|S22A1_HUMAN Solute carrier family 22 member 1 OS=Homo sapiens OX=9606 GN=SLC22A1 PE=1 SV=2
+TGSPESTQVKLYITNEKPKAKRGLNEADKMTEPLAVGKTEPLLLTVGAALLGLVAFLILPLAQWVERLRFVIFPTIIGGIDCLSSCVMVGLNRVFTPYLEANVLCIMQIAITIGMRGVCMIIINLWHLDPSIFIMVLCAAGALLNSMAMPYIRGVRDITILAIFAGPIEVLASYLFDLYLNGSTAGMHLILGQYLVSDTFWLYMLIFTRKRLRPTRFLDAFSPSLKETVDEELSLMKLDAPPLKGNKQAIHDMIKIAETNRKQSLLWRPSEPVCWYYLLFLFTPLSVALQLWRWHPLAYALGTLAVLGVTFAMQYMIAVTRRSGSGVFETILTYGAMWNGKSVLGQLLRFLLMSMYNPSFAMLVGSVANVLVTGLLCLKRGFRDAFYGVGLSGFLFGANLCSQFLDLKWSDACVLNFETVISSGPTDYVWGDQCPGLPLHSRNTALSALPDVCSLASQNWDVEYRRCQGLFAEGAPGLGPVTYNLEEAPSWGCRQSLEAVGPSQCHHDPTFGLFVIGVCIPAFAASLLCLILFAQKQFWGSEGVQELIDDVTPM
+>sp|O15244|S22A2_HUMAN Solute carrier family 22 member 2 OS=Homo sapiens OX=9606 GN=SLC22A2 PE=1 SV=2
+MPTTVDDVLEHGGEFHFFQKQMFFLLALLSATFAPIYVGIVFLGFTPDHRCRSPGVAELSLRCGWSPAEELNYTVPGPGPAGEASPRQCRRYEVDWNQSTFDCVDPLASLDTNRSRLPLGPCRDGWVYETPGSSIVTEFNLVCANSWMLDLFQSSVNVGFFIGSMSIGYIADRFGRKLCLLTTVLINAAAGVLMAISPTYTWMLIFRLIQGLVSKAGWLIGYILITEFVGRRYRRTVGIFYQVAYTVGLLVLAGVAYALPHWRWLQFTVSLPNFFFLLYYWCIPESPRWLISQNKNAEAMRIIKHIAKKNGKSLPASLQRLRLEEETGKKLNPSFLDLVRTPQIRKHTMILMYNWFTSSVLYQGLIMHMGLAGDNIYLDFFYSALVEFPAAFMIILTIDRIGRRYPWAASNMVAGAACLASVFIPGDLQWLKIIISCLGRMGITMAYEIVCLVNAELYPTFIRNLGVHICSSMCDIGGIITPFLVYRLTNIWLELPLMVFGVLGLVAGGLVLLLPETKGKALPETIEEAENMQRPRKNKEKMIYLQVQKLDIPLN
+>DECOY_sp|O15244|S22A2_HUMAN Solute carrier family 22 member 2 OS=Homo sapiens OX=9606 GN=SLC22A2 PE=1 SV=2
+NLPIDLKQVQLYIMKEKNKRPRQMNEAEEITEPLAKGKTEPLLLVLGGAVLGLVGFVMLPLELWINTLRYVLFPTIIGGIDCMSSCIHVGLNRIFTPYLEANVLCVIEYAMTIGMRGLCSIIIKLWQLDGPIFVSALCAAGAVMNSAAWPYRRGIRDITLIIMFAAPFEVLASYFFDLYINDGALGMHMILGQYLVSSTFWNYMLIMTHKRIQPTRVLDLFSPNLKKGTEEELRLRQLSAPLSKGNKKAIHKIIRMAEANKNQSILWRPSEPICWYYLLFFFNPLSVTFQLWRWHPLAYAVGALVLLGVTYAVQYFIGVTRRYRRGVFETILIYGILWGAKSVLGQILRFILMWTYTPSIAMLVGAAANILVTTLLCLKRGFRDAIYGISMSGIFFGVNVSSQFLDLMWSNACVLNFETVISSGPTEYVWGDRCPGLPLRSRNTDLSALPDVCDFTSQNWDVEYRRCQRPSAEGAPGPGPVTYNLEEAPSWGCRLSLEAVGPSRCRHDPTFGLFVIGVYIPAFTASLLALLFFMQKQFFHFEGGHELVDDVTTPM
+>sp|Q8WUG5|S22AH_HUMAN Solute carrier family 22 member 17 OS=Homo sapiens OX=9606 GN=SLC22A17 PE=2 SV=1
+MASDPIFTLAPPLHCHYGAFPPNASGWEQPPNASGVSVASAALAASAASRVATSTDPSCSGFAPPDFNHCLKDWDYNGLPVLTTNAIGQWDLVCDLGWQVILEQILFILGFASGYLFLGYPADRFGRRGIVLLTLGLVGPCGVGGAAAGSSTGVMALRFLLGFLLAGVDLGVYLMRLELCDPTQRLRVALAGELVGVGGHFLFLGLALVSKDWRFLQRMITAPCILFLFYGWPGLFLESARWLIVKRQIEEAQSVLRILAERNRPHGQMLGEEAQEALQDLENTCPLPATSSFSFASLLNYRNIWKNLLILGFTNFIAHAIRHCYQPVGGGGSPSDFYLCSLLASGTAALACVFLGVTVDRFGRRGILLLSMTLTGIASLVLLGLWDCEHPIFPTVWAQQGNPNRDLNEAAITTFSVLGLFSSQAAAILSTLLAAEVIPTTVRGRGLGLIMALGALGGLSGPAQRLHMGHGAFLQHVVLAACALLCILSIMLLPETKRKLLPEVLRDGELCRRPSLLRQPPPTRCDHVPLLATPNPAL
+>DECOY_sp|Q8WUG5|S22AH_HUMAN Solute carrier family 22 member 17 OS=Homo sapiens OX=9606 GN=SLC22A17 PE=2 SV=1
+LAPNPTALLPVHDCRTPPPQRLLSPRRCLEGDRLVEPLLKRKTEPLLMISLICLLACAALVVHQLFAGHGMHLRQAPGSLGGLAGLAMILGLGRGRVTTPIVEAALLTSLIAAAQSSFLGLVSFTTIAAENLDRNPNGQQAWVTPFIPHECDWLGLLVLSAIGTLTMSLLLIGRRGFRDVTVGLFVCALAATGSALLSCLYFDSPSGGGGVPQYCHRIAHAIFNTFGLILLNKWINRYNLLSAFSFSSTAPLPCTNELDQLAEQAEEGLMQGHPRNREALIRLVSQAEEIQRKVILWRASELFLGPWGYFLFLICPATIMRQLFRWDKSVLALGLFLFHGGVGVLEGALAVRLRQTPDCLELRMLYVGLDVGALLFGLLFRLAMVGTSSGAAAGGVGCPGVLGLTLLVIGRRGFRDAPYGLFLYGSAFGLIFLIQELIVQWGLDCVLDWQGIANTTLVPLGNYDWDKLCHNFDPPAFGSCSPDTSTAVRSAASAALAASAVSVGSANPPQEWGSANPPFAGYHCHLPPALTFIPDSAM
+>sp|A6NK97|S22AK_HUMAN Solute carrier family 22 member 20 OS=Homo sapiens OX=9606 GN=SLC22A20P PE=2 SV=1
+MAFTDLLDALGSMGRFQLNHTALLLLPCGLLACHNFLQNFTAAVPPHHCRGPANHTEASTNDSGAWLRATIPLDQLGAPEPCRRFTKPQWALLSPNSSIPGAATEGCKDGWVYNRSVFPSTIVMEWDLVCEARTLRDLAQSVYMAGVLVGAAVFGSLADRLGCKGPLVWSYLQLAASGAATAYFSSFSAYCVFRFLMGMTFSGIILNSVSLVVEWMPTRGRTVAGILLGYSFTLGQLILAGVAYLIRPWRCLQFAISAPFLIFFLYSWWLPESSRWLLLHGKSQLAVQNLQKVAAMNGRKEEGERLTKEVMSSYIQSEFASVCTSNSILDLFRTPAIRKVTCCLMVIWFSNSVAYYGLAMDLQKFGLSLYLVQALFGIINTPAMLVATATMIYVGRRATVASFLILAGLMVIANMFVPEGTQILCTAQAALGKGCLASSFICVYLFTGELYPTEIRQMGMGFASVHARLGGLTAPLVTTLGEYSTILPPVSFGATAILAGLAVCVLTETRNMPLVETIAAMERRVKEGSSKKHVEEKSEEISLQQLRASPLKETI
+>DECOY_sp|A6NK97|S22AK_HUMAN Solute carrier family 22 member 20 OS=Homo sapiens OX=9606 GN=SLC22A20P PE=2 SV=1
+ITEKLPSARLQQLSIEESKEEVHKKSSGEKVRREMAAITEVLPMNRTETLVCVALGALIATAGFSVPPLITSYEGLTTVLPATLGGLRAHVSAFGMGMQRIETPYLEGTFLYVCIFSSALCGKGLAAQATCLIQTGEPVFMNAIVMLGALILFSAVTARRGVYIMTATAVLMAPTNIIGFLAQVLYLSLGFKQLDMALGYYAVSNSFWIVMLCCTVKRIAPTRFLDLISNSTCVSAFESQIYSSMVEKTLREGEEKRGNMAAVKQLNQVALQSKGHLLLWRSSEPLWWSYLFFILFPASIAFQLCRWPRILYAVGALILQGLTFSYGLLIGAVTRGRTPMWEVVLSVSNLIIGSFTMGMLFRFVCYASFSSFYATAAGSAALQLYSWVLPGKCGLRDALSGFVAAGVLVGAMYVSQALDRLTRAECVLDWEMVITSPFVSRNYVWGDKCGETAAGPISSNPSLLAWQPKTFRRCPEPAGLQDLPITARLWAGSDNTSAETHNAPGRCHHPPVAATFNQLFNHCALLGCPLLLLATHNLQFRGMSGLADLLDTFAM
+>sp|A6NKX4|S22AV_HUMAN Putative solute carrier family 22 member 31 OS=Homo sapiens OX=9606 GN=SLC22A31 PE=3 SV=4
+MEQEARVLRAAGGFGRARRLLASASWVPCIVLGLVLSSEELLTAQPAPHCRPDPTLLPPALRALRGPALLDAAIPRLGPTRAPAEALGVLSPSYLAPLTRAPRPSSWASCSGAAAGPTWNLVCGDGWKVPLEQVSHLLGWLLGCVILGAGCDRFGRRAVFVASLVLTTGLGASEALAASFPTLLVLRLLHGGTLAGALLALYLARLELCDPPHRLAFSMGAGLFSVVGTLLLPGLAALVQDWRLLQGLGALMSGLLLLFWGFPALFPESPCWLLATGQVARARKILWRFAEASGVGPGDSSLEENSLATELTMLSARSPQPRYHSPLGLLRTRVTWRNGLILGFSSLVGGGIRASFRRSLAPQVPTFYLPYFLEAGLEAAALVFLLLTADCCGRRPVLLLGTMVTGLASLLLLAGAQYLPGWTVLFLSVLGLLASRAVSALSSLFAAEVFPTVIRGAGLGLVLGAGFLGQAAGPLDTLHGRQGFFLQQVVFASLAVLALLCVLLLPESRSRGLPQSLQDADRLRRSPLLRGRPRQDHLPLLPPSNSYWAGHTPEQH
+>DECOY_sp|A6NKX4|S22AV_HUMAN Putative solute carrier family 22 member 31 OS=Homo sapiens OX=9606 GN=SLC22A31 PE=3 SV=4
+HQEPTHGAWYSNSPPLLPLHDQRPRGRLLPSRRLRDADQLSQPLGRSRSEPLLLVCLLALVALSAFVVQQLFFGQRGHLTDLPGAAQGLFGAGLVLGLGAGRIVTPFVEAAFLSSLASVARSALLGLVSLFLVTWGPLYQAGALLLLSALGTVMTGLLLVPRRGCCDATLLLFVLAAAELGAELFYPLYFTPVQPALSRRFSARIGGGVLSSFGLILGNRWTVRTRLLGLPSHYRPQPSRASLMTLETALSNEELSSDGPGVGSAEAFRWLIKRARAVQGTALLWCPSEPFLAPFGWFLLLLGSMLAGLGQLLRWDQVLAALGPLLLTGVVSFLGAGMSFALRHPPDCLELRALYLALLAGALTGGHLLRLVLLTPFSAALAESAGLGTTLVLSAVFVARRGFRDCGAGLIVCGLLWGLLHSVQELPVKWGDGCVLNWTPGAAAGSCSAWSSPRPARTLPALYSPSLVGLAEAPARTPGLRPIAADLLAPGRLARLAPPLLTPDPRCHPAPQATLLEESSLVLGLVICPVWSASALLRRARGFGGAARLVRAEQEM
+>sp|Q9UHI7|S23A1_HUMAN Solute carrier family 23 member 1 OS=Homo sapiens OX=9606 GN=SLC23A1 PE=1 SV=3
+MRAQEDLEGRTQHETTRDPSTPLPTEPKFDMLYKIEDVPPWYLCILLGFQHYLTCFSGTIAVPFLLAEALCVGHDQHMVSQLIGTIFTCVGITTLIQTTVGIRLPLFQASAFAFLVPAKAILALERWKCPPEEEIYGNWSLPLNTSHIWHPRIREVQGAIMVSSVVEVVIGLLGLPGALLNYIGPLTVTPTVSLIGLSVFQAAGDRAGSHWGISACSILLIILFSQYLRNLTFLLPVYRWGKGLTLLRIQIFKMFPIMLAIMTVWLLCYVLTLTDVLPTDPKAYGFQARTDARGDIMAIAPWIRIPYPCQWGLPTVTAAAVLGMFSATLAGIIESIGDYYACARLAGAPPPPVHAINRGIFTEGICCIIAGLLGTGNGSTSSSPNIGVLGITKVGSRRVVQYGAAIMLVLGTIGKFTALFSSLPDPILGGMFCTLFGMITAVGLSNLQFVDMNSSRNLFVLGFSMFFGLTLPNYLESNPGAINTGILEVDQILIVLLTTEMFVGGCLAFILDNTVPGSPEERGLIQWKAGAHANSDMSSSLKSYDFPIGMGIVKRITFLKYIPICPVFKGFSSSSKDQIAIPEDTPENTETASVCTKV
+>DECOY_sp|Q9UHI7|S23A1_HUMAN Solute carrier family 23 member 1 OS=Homo sapiens OX=9606 GN=SLC23A1 PE=1 SV=3
+VKTCVSATETNEPTDEPIAIQDKSSSSFGKFVPCIPIYKLFTIRKVIGMGIPFDYSKLSSSMDSNAHAGAKWQILGREEPSGPVTNDLIFALCGGVFMETTLLVILIQDVELIGTNIAGPNSELYNPLTLGFFMSFGLVFLNRSSNMDVFQLNSLGVATIMGFLTCFMGGLIPDPLSSFLATFKGITGLVLMIAAGYQVVRRSGVKTIGLVGINPSSSTSGNGTGLLGAIICCIGETFIGRNIAHVPPPPAGALRACAYYDGISEIIGALTASFMGLVAAATVTPLGWQCPYPIRIWPAIAMIDGRADTRAQFGYAKPDTPLVDTLTLVYCLLWVTMIALMIPFMKFIQIRLLTLGKGWRYVPLLFTLNRLYQSFLIILLISCASIGWHSGARDGAAQFVSLGILSVTPTVTLPGIYNLLAGPLGLLGIVVEVVSSVMIAGQVERIRPHWIHSTNLPLSWNGYIEEEPPCKWRELALIAKAPVLFAFASAQFLPLRIGVTTQILTTIGVCTFITGILQSVMHQDHGVCLAEALLFPVAITGSFCTLYHQFGLLICLYWPPVDEIKYLMDFKPETPLPTSPDRTTEHQTRGELDEQARM
+>sp|Q96DW6|S2538_HUMAN Mitochondrial glycine transporter OS=Homo sapiens OX=9606 GN=SLC25A38 PE=1 SV=1
+MIQNSRPSLLQPQDVGDTVETLMLHPVIKAFLCGSISGTCSTLLFQPLDLLKTRLQTLQPSDHGSRRVGMLAVLLKVVRTESLLGLWKGMSPSIVRCVPGVGIYFGTLYSLKQYFLRGHPPTALESVMLGVGSRSVAGVCMSPITVIKTRYESGKYGYESIYAALRSIYHSEGHRGLFSGLTATLLRDAPFSGIYLMFYNQTKNIVPHDQVDATLIPITNFSCGIFAGILASLVTQPADVIKTHMQLYPLKFQWIGQAVTLIFKDYGLRGFFQGGIPRALRRTLMAAMAWTVYEEMMAKMGLKS
+>DECOY_sp|Q96DW6|S2538_HUMAN Mitochondrial glycine transporter OS=Homo sapiens OX=9606 GN=SLC25A38 PE=1 SV=1
+SKLGMKAMMEEYVTWAMAAMLTRRLARPIGGQFFGRLGYDKFILTVAQGIWQFKLPYLQMHTKIVDAPQTVLSALIGAFIGCSFNTIPILTADVQDHPVINKTQNYFMLYIGSFPADRLLTATLGSFLGRHGESHYISRLAAYISEYGYKGSEYRTKIVTIPSMCVGAVSRSGVGLMVSELATPPHGRLFYQKLSYLTGFYIGVGPVCRVISPSMGKWLGLLSETRVVKLLVALMGVRRSGHDSPQLTQLRTKLLDLPQFLLTSCTGSISGCLFAKIVPHLMLTEVTDGVDQPQLLSPRSNQIM
+>sp|Q8N413|S2545_HUMAN Solute carrier family 25 member 45 OS=Homo sapiens OX=9606 GN=SLC25A45 PE=2 SV=2
+MPVEEFVAGWISGALGLVLGHPFDTVKVRLQTQTTYRGIVDCMVKIYRHESLLGFFKGMSFPIASIAVVNSVLFGVYSNTLLVLTATSHQERRAQPPSYMHIFLAGCTGGFLQAYCLAPFDLIKVRLQNQTEPRAQPGSPPPRYQGPVHCAASIFREEGPRGLFRGAWALTLRDTPTVGIYFITYEGLCRQYTPEGQNPSSATVLVAGGFAGIASWVAATPLDMIKSRMQMDGLRRRVYQGMLDCMVSSIRQEGLGVFFRGVTINSARAFPVNAVTFLSYEYLLRWWG
+>DECOY_sp|Q8N413|S2545_HUMAN Solute carrier family 25 member 45 OS=Homo sapiens OX=9606 GN=SLC25A45 PE=2 SV=2
+GWWRLLYEYSLFTVANVPFARASNITVGRFFVGLGEQRISSVMCDLMGQYVRRRLGDMQMRSKIMDLPTAAVWSAIGAFGGAVLVTASSPNQGEPTYQRCLGEYTIFYIGVTPTDRLTLAWAGRFLGRPGEERFISAACHVPGQYRPPPSGPQARPETQNQLRVKILDFPALCYAQLFGGTCGALFIHMYSPPQARREQHSTATLVLLTNSYVGFLVSNVVAISAIPFSMGKFFGLLSEHRYIKVMCDVIGRYTTQTQLRVKVTDFPHGLVLGLAGSIWGAVFEEVPM
+>sp|P50443|S26A2_HUMAN Sulfate transporter OS=Homo sapiens OX=9606 GN=SLC26A2 PE=1 SV=2
+MSSESKEQHNVSPRDSAEGNDSYPSGIHLELQRESSTDFKQFETNDQCRPYHRILIERQEKSDTNFKEFVIKKLQKNCQCSPAKAKNMILGFLPVLQWLPKYDLKKNILGDVMSGLIVGILLVPQSIAYSLLAGQEPVYGLYTSFFASIIYFLLGTSRHISVGIFGVLCLMIGETVDRELQKAGYDNAHSAPSLGMVSNGSTLLNHTSDRICDKSCYAIMVGSTVTFIAGVYQVAMGFFQVGFVSVYLSDALLSGFVTGASFTILTSQAKYLLGLNLPRTNGVGSLITTWIHVFRNIHKTNLCDLITSLLCLLVLLPTKELNEHFKSKLKAPIPIELVVVVAATLASHFGKLHENYNSSIAGHIPTGFMPPKVPEWNLIPSVAVDAIAISIIGFAITVSLSEMFAKKHGYTVKANQEMYAIGFCNIIPSFFHCFTTSAALAKTLVKESTGCHTQLSGVVTALVLLLVLLVIAPLFYSLQKSVLGVITIVNLRGALRKFRDLPKMWSISRMDTVIWFVTMLSSALLSTEIGLLVGVCFSIFCVILRTQKPKSSLLGLVEESEVFESVSAYKNLQIKPGIKIFRFVAPLYYINKECFKSALYKQTVNPILIKVAWKKAAKRKIKEKVVTLGGIQDEMSVQLSHDPLELHTIVIDCSAIQFLDTAGIHTLKEVRRDYEAIGIQVLLAQCNPTVRDSLTNGEYCKKEEENLLFYSVYEAMAFAEVSKNQKGVCVPNGLSLSSD
+>DECOY_sp|P50443|S26A2_HUMAN Sulfate transporter OS=Homo sapiens OX=9606 GN=SLC26A2 PE=1 SV=2
+DSSLSLGNPVCVGKQNKSVEAFAMAEYVSYFLLNEEEKKCYEGNTLSDRVTPNCQALLVQIGIAEYDRRVEKLTHIGATDLFQIASCDIVITHLELPDHSLQVSMEDQIGGLTVVKEKIKRKAAKKWAVKILIPNVTQKYLASKFCEKNIYYLPAVFRFIKIGPKIQLNKYASVSEFVESEEVLGLLSSKPKQTRLIVCFISFCVGVLLGIETSLLASSLMTVFWIVTDMRSISWMKPLDRFKRLAGRLNVITIVGLVSKQLSYFLPAIVLLVLLLVLATVVGSLQTHCGTSEKVLTKALAASTTFCHFFSPIINCFGIAYMEQNAKVTYGHKKAFMESLSVTIAFGIISIAIADVAVSPILNWEPVKPPMFGTPIHGAISSNYNEHLKGFHSALTAAVVVVLEIPIPAKLKSKFHENLEKTPLLVLLCLLSTILDCLNTKHINRFVHIWTTILSGVGNTRPLNLGLLYKAQSTLITFSAGTVFGSLLADSLYVSVFGVQFFGMAVQYVGAIFTVTSGVMIAYCSKDCIRDSTHNLLTSGNSVMGLSPASHANDYGAKQLERDVTEGIMLCLVGFIGVSIHRSTGLLFYIISAFFSTYLGYVPEQGALLSYAISQPVLLIGVILGSMVDGLINKKLDYKPLWQLVPLFGLIMNKAKAPSCQCNKQLKKIVFEKFNTDSKEQREILIRHYPRCQDNTEFQKFDTSSERQLELHIGSPYSDNGEASDRPSVNHQEKSESSM
+>sp|Q7LBE3|S26A9_HUMAN Solute carrier family 26 member 9 OS=Homo sapiens OX=9606 GN=SLC26A9 PE=1 SV=1
+MSQPRPRYVVDRAAYSLTLFDDEFEKKDRTYPVGEKLRNAFRCSSAKIKAVVFGLLPVLSWLPKYKIKDYIIPDLLGGLSGGSIQVPQGMAFALLANLPAVNGLYSSFFPLLTYFFLGGVHQMVPGTFAVISILVGNICLQLAPESKFQVFNNATNESYVDTAAMEAERLHVSATLACLTAIIQMGLGFMQFGFVAIYLSESFIRGFMTAAGLQILISVLKYIFGLTIPSYTGPGSIVFTFIDICKNLPHTNIASLIFALISGAFLVLVKELNARYMHKIRFPIPTEMIVVVVATAISGGCKMPKKYHMQIVGEIQRGFPTPVSPVVSQWKDMIGTAFSLAIVSYVINLAMGRTLANKHGYDVDSNQEMIALGCSNFFGSFFKIHVICCALSVTLAVDGAGGKSQVASLCVSLVVMITMLVLGIYLYPLPKSVLGALIAVNLKNSLKQLTDPYYLWRKSKLDCCIWVVSFLSSFFLSLPYGVAVGVAFSVLVVVFQTQFRNGYALAQVMDTDIYVNPKTYNRAQDIQGIKIITYCSPLYFANSEIFRQKVIAKTGMDPQKVLLAKQKYLKKQEKRRMRPTQQRRSLFMKTKTVSLQELQQDFENAPPTDPNNNQTPANGTSVSYITFSPDSSSPAQSEPPASAEAPGEPSDMLASVPPFVTFHTLILDMSGVSFVDLMGIKALAKLSSTYGKIGVKVFLVNIHAQVYNDISHGGVFEDGSLECKHVFPSIHDAVLFAQANARDVTPGHNFQGAPGDAELSLYDSEEDIRSYWDLEQEMFGSMFHAETLTAL
+>DECOY_sp|Q7LBE3|S26A9_HUMAN Solute carrier family 26 member 9 OS=Homo sapiens OX=9606 GN=SLC26A9 PE=1 SV=1
+LATLTEAHFMSGFMEQELDWYSRIDEESDYLSLEADGPAGQFNHGPTVDRANAQAFLVADHISPFVHKCELSGDEFVGGHSIDNYVQAHINVLFVKVGIKGYTSSLKALAKIGMLDVFSVGSMDLILTHFTVFPPVSALMDSPEGPAEASAPPESQAPSSSDPSFTIYSVSTGNAPTQNNNPDTPPANEFDQQLEQLSVTKTKMFLSRRQQTPRMRRKEQKKLYKQKALLVKQPDMGTKAIVKQRFIESNAFYLPSCYTIIKIGQIDQARNYTKPNVYIDTDMVQALAYGNRFQTQFVVVLVSFAVGVAVGYPLSLFFSSLFSVVWICCDLKSKRWLYYPDTLQKLSNKLNVAILAGLVSKPLPYLYIGLVLMTIMVVLSVCLSAVQSKGGAGDVALTVSLACCIVHIKFFSGFFNSCGLAIMEQNSDVDYGHKNALTRGMALNIVYSVIALSFATGIMDKWQSVVPSVPTPFGRQIEGVIQMHYKKPMKCGGSIATAVVVVIMETPIPFRIKHMYRANLEKVLVLFAGSILAFILSAINTHPLNKCIDIFTFVISGPGTYSPITLGFIYKLVSILIQLGAATMFGRIFSESLYIAVFGFQMFGLGMQIIATLCALTASVHLREAEMAATDVYSENTANNFVQFKSEPALQLCINGVLISIVAFTGPVMQHVGGLFFYTLLPFFSSYLGNVAPLNALLAFAMGQPVQISGGSLGGLLDPIIYDKIKYKPLWSLVPLLGFVVAKIKASSCRFANRLKEGVPYTRDKKEFEDDFLTLSYAARDVVYRPRPQSM
+>sp|Q6PCB7|S27A1_HUMAN Long-chain fatty acid transport protein 1 OS=Homo sapiens OX=9606 GN=SLC27A1 PE=1 SV=1
+MRAPGAGAASVVSLALLWLLGLPWTWSAAAALGVYVGSGGWRFLRIVCKTARRDLFGLSVLIRVRLELRRHQRAGHTIPRIFQAVVQRQPERLALVDAGTGECWTFAQLDAYSNAVANLFRQLGFAPGDVVAIFLEGRPEFVGLWLGLAKAGMEAALLNVNLRREPLAFCLGTSGAKALIFGGEMVAAVAEVSGHLGKSLIKFCSGDLGPEGILPDTHLLDPLLKEASTAPLAQIPSKGMDDRLFYIYTSGTTGLPKAAIVVHSRYYRMAAFGHHAYRMQAADVLYDCLPLYHSAGNIIGVGQCLIYGLTVVLRKKFSASRFWDDCIKYNCTVVQYIGEICRYLLKQPVREAERRHRVRLAVGNGLRPAIWEEFTERFGVRQIGEFYGATECNCSIANMDGKVGSCGFNSRILPHVYPIRLVKVNEDTMELLRDAQGLCIPCQAGEPGLLVGQINQQDPLRRFDGYVSESATSKKIAHSVFSKGDSAYLSGDVLVMDELGYMYFRDRSGDTFRWRGENVSTTEVEGVLSRLLGQTDVAVYGVAVPGVEGKAGMAAVADPHSLLDPNAIYQELQKVLAPYARPIFLRLLPQVDTTGTFKIQKTRLQREGFDPRQTSDRLFFLDLKQGHYLPLNEAVYTRICSGAFAL
+>DECOY_sp|Q6PCB7|S27A1_HUMAN Long-chain fatty acid transport protein 1 OS=Homo sapiens OX=9606 GN=SLC27A1 PE=1 SV=1
+LAFAGSCIRTYVAENLPLYHGQKLDLFFLRDSTQRPDFGERQLRTKQIKFTGTTDVQPLLRLFIPRAYPALVKQLEQYIANPDLLSHPDAVAAMGAKGEVGPVAVGYVAVDTQGLLRSLVGEVETTSVNEGRWRFTDGSRDRFYMYGLEDMVLVDGSLYASDGKSFVSHAIKKSTASESVYGDFRRLPDQQNIQGVLLGPEGAQCPICLGQADRLLEMTDENVKVLRIPYVHPLIRSNFGCSGVKGDMNAISCNCETAGYFEGIQRVGFRETFEEWIAPRLGNGVALRVRHRREAERVPQKLLYRCIEGIYQVVTCNYKICDDWFRSASFKKRLVVTLGYILCQGVGIINGASHYLPLCDYLVDAAQMRYAHHGFAAMRYYRSHVVIAAKPLGTTGSTYIYFLRDDMGKSPIQALPATSAEKLLPDLLHTDPLIGEPGLDGSCFKILSKGLHGSVEAVAAVMEGGFILAKAGSTGLCFALPERRLNVNLLAAEMGAKALGLWLGVFEPRGELFIAVVDGPAFGLQRFLNAVANSYADLQAFTWCEGTGADVLALREPQRQVVAQFIRPITHGARQHRRLELRVRILVSLGFLDRRATKCVIRLFRWGGSGVYVGLAAAASWTWPLGLLWLLALSVVSAAGAGPARM
+>sp|O00337|S28A1_HUMAN Sodium/nucleoside cotransporter 1 OS=Homo sapiens OX=9606 GN=SLC28A1 PE=1 SV=2
+MENDPSRRRESISLTPVAKGLENMGADFLESLEEGQLPRSDLSPAEIRSSWSEAAPKPFSRWRNLQPALRARSFCREHMQLFRWIGTGLLCTGLSAFLLVACLLDFQRALALFVLTCVVLTFLGHRLLKRLLGPKLRRFLKPQGHPRLLLWFKRGLALAAFLGLVLWLSLDTSQRPEQLVSFAGICVFVALLFACSKHHCAVSWRAVSWGLGLQFVLGLLVIRTEPGFIAFEWLGEQIRIFLSYTKAGSSFVFGEALVKDVFAFQVLPIIVFFSCVISVLYHVGLMQWVILKIAWLMQVTMGTTATETLSVAGNIFVSQTEAPLLIRPYLADMTLSEVHVVMTGGYATIAGSLLGAYISFGIDATSLIAASVMAAPCALALSKLVYPEVEESKFRREEGVKLTYGDAQNLIEAASTGAAISVKVVANIAANLIAFLAVLDFINAALSWLGDMVDIQGLSFQLICSYILRPVAFLMGVAWEDCPVVAELLGIKLFLNEFVAYQDLSKYKQRRLAGAEEWVGDRKQWISVRAEVLTTFALCGFANFSSIGIMLGGLTSMVPQRKSDFSQIVLRALFTGACVSLVNACMAGILYMPRGAEVDCMSLLNTTLSSSSFEIYQCCREAFQSVNPEFSPEALDNCCRFYNHTICAQ
+>DECOY_sp|O00337|S28A1_HUMAN Sodium/nucleoside cotransporter 1 OS=Homo sapiens OX=9606 GN=SLC28A1 PE=1 SV=2
+QACITHNYFRCCNDLAEPSFEPNVSQFAERCCQYIEFSSSSLTTNLLSMCDVEAGRPMYLIGAMCANVLSVCAGTFLARLVIQSFDSKRQPVMSTLGGLMIGISSFNAFGCLAFTTLVEARVSIWQKRDGVWEEAGALRRQKYKSLDQYAVFENLFLKIGLLEAVVPCDEWAVGMLFAVPRLIYSCILQFSLGQIDVMDGLWSLAANIFDLVALFAILNAAINAVVKVSIAAGTSAAEILNQADGYTLKVGEERRFKSEEVEPYVLKSLALACPAAMVSAAILSTADIGFSIYAGLLSGAITAYGGTMVVHVESLTMDALYPRILLPAETQSVFINGAVSLTETATTGMTVQMLWAIKLIVWQMLGVHYLVSIVCSFFVIIPLVQFAFVDKVLAEGFVFSSGAKTYSLFIRIQEGLWEFAIFGPETRIVLLGLVFQLGLGWSVARWSVACHHKSCAFLLAVFVCIGAFSVLQEPRQSTDLSLWLVLGLFAALALGRKFWLLLRPHGQPKLFRRLKPGLLRKLLRHGLFTLVVCTLVFLALARQFDLLCAVLLFASLGTCLLGTGIWRFLQMHERCFSRARLAPQLNRWRSFPKPAAESWSSRIEAPSLDSRPLQGEELSELFDAGMNELGKAVPTLSISERRRSPDNEM
+>sp|Q9NR83|S2A4R_HUMAN SLC2A4 regulator OS=Homo sapiens OX=9606 GN=SLC2A4RG PE=1 SV=4
+MERPPPRAAGRDPSALRAEAPWLRAEGPGPRAAPVTVPTPPQGSSVGGGFAGLEFARPQESEPRASDLGAPRTWTGAAAGPRTPSAHIPVPAQRATPGKARLDEVMAAAALTSLSTSPLLLGAPVAAFSPEPGLEPWKEALVRPPGSYSSSSNSGDWGWDLASDQSSPSTPSPPLPPEAAHFLFGEPTLRKRKSPAQVMFQCLWKSCGKVLSTASAMQRHIRLVHLGRQAEPEQSDGEEDFYYTELDVGVDTLTDGLSSLTPVSPTASMPPAFPRLELPELLEPPALPSPLRPPAPPLPPPPVLSTVANPQSCHSDRVYQGCLTPARLEPQPTEVGACPPALSSRIGVTLRKPRGDAKKCRKVYGMERRDLWCTACRWKKACQRFLD
+>DECOY_sp|Q9NR83|S2A4R_HUMAN SLC2A4 regulator OS=Homo sapiens OX=9606 GN=SLC2A4RG PE=1 SV=4
+DLFRQCAKKWRCATCWLDRREMGYVKRCKKADGRPKRLTVGIRSSLAPPCAGVETPQPELRAPTLCGQYVRDSHCSQPNAVTSLVPPPPLPPAPPRLPSPLAPPELLEPLELRPFAPPMSATPSVPTLSSLGDTLTDVGVDLETYYFDEEGDSQEPEAQRGLHVLRIHRQMASATSLVKGCSKWLCQFMVQAPSKRKRLTPEGFLFHAAEPPLPPSPTSPSSQDSALDWGWDGSNSSSSYSGPPRVLAEKWPELGPEPSFAAVPAGLLLPSTSLSTLAAAAMVEDLRAKGPTARQAPVPIHASPTRPGAAAGTWTRPAGLDSARPESEQPRAFELGAFGGGVSSGQPPTPVTVPAARPGPGEARLWPAEARLASPDRGAARPPPREM
+>sp|Q9UHR5|S30BP_HUMAN SAP30-binding protein OS=Homo sapiens OX=9606 GN=SAP30BP PE=1 SV=1
+MAGKKNVLSSLAVYAEDSEPESDGEAGIEAVGSAAEEKGGLVSDAYGEDDFSRLGGDEDGYEEEEDENSRQSEDDDSETEKPEADDPKDNTEAEKRDPQELVASFSERVRNMSPDEIKIPPEPPGRCSNHLQDKIQKLYERKIKEGMDMNYIIQRKKEFRNPSIYEKLIQFCAIDELGTNYPKDMFDPHGWSEDSYYEALAKAQKIEMDKLEKAKKERTKIEFVTGTKKGTTTNATSTTTTTASTAVADAQKRKSKWDSAIPVTTIAQPTILTTTATLPAVVTVTTSASGSKTTVISAVGTIVKKAKQ
+>DECOY_sp|Q9UHR5|S30BP_HUMAN SAP30-binding protein OS=Homo sapiens OX=9606 GN=SAP30BP PE=1 SV=1
+QKAKKVITGVASIVTTKSGSASTTVTVVAPLTATTTLITPQAITTVPIASDWKSKRKQADAVATSATTTTTSTANTTTGKKTGTVFEIKTREKKAKELKDMEIKQAKALAEYYSDESWGHPDFMDKPYNTGLEDIACFQILKEYISPNRFEKKRQIIYNMDMGEKIKREYLKQIKDQLHNSCRGPPEPPIKIEDPSMNRVRESFSAVLEQPDRKEAETNDKPDDAEPKETESDDDESQRSNEDEEEEYGDEDGGLRSFDDEGYADSVLGGKEEAASGVAEIGAEGDSEPESDEAYVALSSLVNKKGAM
+>sp|Q96G79|S35A4_HUMAN Probable UDP-sugar transporter protein SLC35A4 OS=Homo sapiens OX=9606 GN=SLC35A4 PE=2 SV=1
+MSVEDGGMPGLGRPRQARWTLMLLLSTAMYGAHAPLLALCHVDGRVPFRPSSAVLLTELTKLLLCAFSLLVGWQAWPQGPPPWRQAAPFALSALLYGANNNLVIYLQRYMDPSTYQVLSNLKIGSTAVLYCLCLRHRLSVRQGLALLLLMAAGACYAAGGLQVPGNTLPSPPPAAAASPMPLHITPLGLLLLILYCLISGLSSVYTELLMKRQRLPLALQNLFLYTFGVLLNLGLHAGGGSGPGLLEGFSGWAALVVLSQALNGLLMSAVMKHGSSITRLFVVSCSLVVNAVLSAVLLRLQLTAAFFLATLLIGLAMRLYYGSR
+>DECOY_sp|Q96G79|S35A4_HUMAN Probable UDP-sugar transporter protein SLC35A4 OS=Homo sapiens OX=9606 GN=SLC35A4 PE=2 SV=1
+RSGYYLRMALGILLTALFFAATLQLRLLVASLVANVVLSCSVVFLRTISSGHKMVASMLLGNLAQSLVVLAAWGSFGELLGPGSGGGAHLGLNLLVGFTYLFLNQLALPLRQRKMLLETYVSSLGSILCYLILLLLGLPTIHLPMPSAAAAPPPSPLTNGPVQLGGAAYCAGAAMLLLLALGQRVSLRHRLCLCYLVATSGIKLNSLVQYTSPDMYRQLYIVLNNNAGYLLASLAFPAAQRWPPPGQPWAQWGVLLSFACLLLKTLETLLVASSPRFPVRGDVHCLALLPAHAGYMATSLLLMLTWRAQRPRGLGPMGGDEVSM
+>sp|Q8TB61|S35B2_HUMAN Adenosine 3'-phospho 5'-phosphosulfate transporter 1 OS=Homo sapiens OX=9606 GN=SLC35B2 PE=1 SV=1
+MDARWWAVVVLAAFPSLGAGGETPEAPPESWTQLWFFRFVVNAAGYASFMVPGYLLVQYFRRKNYLETGRGLCFPLVKACVFGNEPKASDEVPLAPRTEAAETTPMWQALKLLFCATGLQVSYLTWGVLQERVMTRSYGATATSPGERFTDSQFLVLMNRVLALIVAGLSCVLCKQPRHGAPMYRYSFASLSNVLSSWCQYEALKFVSFPTQVLAKASKVIPVMLMGKLVSRRSYEHWEYLTATLISIGVSMFLLSSGPEPRSSPATTLSGLILLAGYIAFDSFTSNWQDALFAYKMSSVQMMFGVNFFSCLFTVGSLLEQGALLEGTRFMGRHSEFAAHALLLSICSACGQLFIFYTIGQFGAAVFTIIMTLRQAFAILLSCLLYGHTVTVVGGLGVAVVFAALLLRVYARGRLKQRGKKAVPVESPVQKV
+>DECOY_sp|Q8TB61|S35B2_HUMAN Adenosine 3'-phospho 5'-phosphosulfate transporter 1 OS=Homo sapiens OX=9606 GN=SLC35B2 PE=1 SV=1
+VKQVPSEVPVAKKGRQKLRGRAYVRLLLAAFVVAVGLGGVVTVTHGYLLCSLLIAFAQRLTMIITFVAAGFQGITYFIFLQGCASCISLLLAHAAFESHRGMFRTGELLAGQELLSGVTFLCSFFNVGFMMQVSSMKYAFLADQWNSTFSDFAIYGALLILGSLTTAPSSRPEPGSSLLFMSVGISILTATLYEWHEYSRRSVLKGMLMVPIVKSAKALVQTPFSVFKLAEYQCWSSLVNSLSAFSYRYMPAGHRPQKCLVCSLGAVILALVRNMLVLFQSDTFREGPSTATAGYSRTMVREQLVGWTLYSVQLGTACFLLKLAQWMPTTEAAETRPALPVEDSAKPENGFVCAKVLPFCLGRGTELYNKRRFYQVLLYGPVMFSAYGAANVVFRFFWLQTWSEPPAEPTEGGAGLSPFAALVVVAWWRADM
+>sp|Q969S0|S35B4_HUMAN UDP-xylose and UDP-N-acetylglucosamine transporter OS=Homo sapiens OX=9606 GN=SLC35B4 PE=1 SV=1
+MRPALAVGLVFAGCCSNVIFLELLARKHPGCGNIVTFAQFLFIAVEGFLFEADLGRKPPAIPIRYYAIMVTMFFTVSVVNNYALNLNIAMPLHMIFRSGSLIANMILGIIILKKRYSIFKYTSIALVSVGIFICTFMSAKQVTSQSSLSENDGFQAFVWWLLGIGALTFALLMSARMGIFQETLYKRFGKHSKEALFYNHALPLPGFVFLASDIYDHAVLFNKSELYEIPVIGVTLPIMWFYLLMNIITQYVCIRGVFILTTECASLTVTLVVTLRKFVSLIFSILYFQNPFTLWHWLGTLFVFIGTLMYTEVWNNLGTTKSEPQKDSKKN
+>DECOY_sp|Q969S0|S35B4_HUMAN UDP-xylose and UDP-N-acetylglucosamine transporter OS=Homo sapiens OX=9606 GN=SLC35B4 PE=1 SV=1
+NKKSDKQPESKTTGLNNWVETYMLTGIFVFLTGLWHWLTFPNQFYLISFILSVFKRLTVVLTVTLSACETTLIFVGRICVYQTIINMLLYFWMIPLTVGIVPIEYLESKNFLVAHDYIDSALFVFGPLPLAHNYFLAEKSHKGFRKYLTEQFIGMRASMLLAFTLAGIGLLWWVFAQFGDNESLSSQSTVQKASMFTCIFIGVSVLAISTYKFISYRKKLIIIGLIMNAILSGSRFIMHLPMAINLNLAYNNVVSVTFFMTVMIAYYRIPIAPPKRGLDAEFLFGEVAIFLFQAFTVINGCGPHKRALLELFIVNSCCGAFVLGVALAPRM
+>sp|Q9NTN3|S35D1_HUMAN UDP-glucuronic acid/UDP-N-acetylgalactosamine transporter OS=Homo sapiens OX=9606 GN=SLC35D1 PE=2 SV=1
+MAEVHRRQHARVKGEAPAKSSTLRDEEELGMASAETLTVFLKLLAAGFYGVSSFLIVVVNKSVLTNYRFPSSLCVGLGQMVATVAVLWVGKALRVVKFPDLDRNVPRKTFPLPLLYFGNQITGLFSTKKLNLPMFTVLRRFSILFTMFAEGVLLKKTFSWGIKMTVFAMIIGAFVAASSDLAFDLEGYAFILINDVLTAANGAYVKQKLDSKELGKYGLLYYNALFMILPTLAIAYFTGDAQKAVEFEGWADTLFLLQFTLSCVMGFILMYATVLCTQYNSALTTTIVGCIKNILITYIGMVFGGDYIFTWTNFIGLNISIAGSLVYSYITFTEEQLSKQSEANNKLDIKGKGAV
+>DECOY_sp|Q9NTN3|S35D1_HUMAN UDP-glucuronic acid/UDP-N-acetylgalactosamine transporter OS=Homo sapiens OX=9606 GN=SLC35D1 PE=2 SV=1
+VAGKGKIDLKNNAESQKSLQEETFTIYSYVLSGAISINLGIFNTWTFIYDGGFVMGIYTILINKICGVITTTLASNYQTCLVTAYMLIFGMVCSLTFQLLFLTDAWGEFEVAKQADGTFYAIALTPLIMFLANYYLLGYKGLEKSDLKQKVYAGNAATLVDNILIFAYGELDFALDSSAAVFAGIIMAFVTMKIGWSFTKKLLVGEAFMTFLISFRRLVTFMPLNLKKTSFLGTIQNGFYLLPLPFTKRPVNRDLDPFKVVRLAKGVWLVAVTAVMQGLGVCLSSPFRYNTLVSKNVVVILFSSVGYFGAALLKLFVTLTEASAMGLEEEDRLTSSKAPAEGKVRAHQRRHVEAM
+>sp|Q76EJ3|S35D2_HUMAN UDP-N-acetylglucosamine/UDP-glucose/GDP-mannose transporter OS=Homo sapiens OX=9606 GN=SLC35D2 PE=1 SV=1
+MTAGGQAEAEGAGGEPGAARLPSRVARLLSALFYGTCSFLIVLVNKALLTTYGFPSPIFLGIGQMAATIMILYVSKLNKIIHFPDFDKKIPVKLFPLPLLYVGNHISGLSSTSKLSLPMFTVLRKFTIPLTLLLETIILGKQYSLNIILSVFAIILGAFIAAGSDLAFNLEGYIFVFLNDIFTAANGVYTKQKMDPKELGKYGVLFYNACFMIIPTLIISVSTGDLQQATEFNQWKNVVFILQFLLSCFLGFLLMYSTVLCSYYNSALTTAVVGAIKNVSVAYIGILIGGDYIFSLLNFVGLNICMAGGLRYSFLTLSSQLKPKPVGEENICLDLKS
+>DECOY_sp|Q76EJ3|S35D2_HUMAN UDP-N-acetylglucosamine/UDP-glucose/GDP-mannose transporter OS=Homo sapiens OX=9606 GN=SLC35D2 PE=1 SV=1
+SKLDLCINEEGVPKPKLQSSLTLFSYRLGGAMCINLGVFNLLSFIYDGGILIGIYAVSVNKIAGVVATTLASNYYSCLVTSYMLLFGLFCSLLFQLIFVVNKWQNFETAQQLDGTSVSIILTPIIMFCANYFLVGYKGLEKPDMKQKTYVGNAATFIDNLFVFIYGELNFALDSGAAIFAGLIIAFVSLIINLSYQKGLIITELLLTLPITFKRLVTFMPLSLKSTSSLGSIHNGVYLLPLPFLKVPIKKDFDPFHIIKNLKSVYLIMITAAMQGIGLFIPSPFGYTTLLAKNVLVILFSCTGYFLASLLRAVRSPLRAAGPEGGAGEAEAQGGATM
+>sp|Q5T1Q4|S35F1_HUMAN Solute carrier family 35 member F1 OS=Homo sapiens OX=9606 GN=SLC35F1 PE=2 SV=2
+MIPPEQPQQQLQPPSPAPPNHVVTTIENLPAEGSGGGGSLSASSRAGVRQRIRKVLNREMLISVALGQVLSLLICGIGLTSKYLSEDFHANTPVFQSFLNYILLFLVYTTTLAVRQGEENLLAILRRRWWKYMILGLIDLEANYLVVKAYQYTTLTSIQLLDCFVIPVVILLSWFFLLIRYKAVHFIGIVVCILGMGCMVGADVLVGRHQGAGENKLVGDLLVLGGATLYGISNVWEEYIIRTLSRVEFLGMIGLFGAFFSGIQLAIMEHKELLKVPWDWQIGLLYVGFSACMFGLYSFMPVVIKKTSATSVNLSLLTADLYSLFCGLFLFHYKFSGLYLLSFFTILIGLVLYSSTSTYIAQDPRVYKQFRNPSGPVVDLPTTAQVEPSVTYTSLGQETEEEPHVRVA
+>DECOY_sp|Q5T1Q4|S35F1_HUMAN Solute carrier family 35 member F1 OS=Homo sapiens OX=9606 GN=SLC35F1 PE=2 SV=2
+AVRVHPEEETEQGLSTYTVSPEVQATTPLDVVPGSPNRFQKYVRPDQAIYTSTSSYLVLGILITFFSLLYLGSFKYHFLFLGCFLSYLDATLLSLNVSTASTKKIVVPMFSYLGFMCASFGVYLLGIQWDWPVKLLEKHEMIALQIGSFFAGFLGIMGLFEVRSLTRIIYEEWVNSIGYLTAGGLVLLDGVLKNEGAGQHRGVLVDAGVMCGMGLICVVIGIFHVAKYRILLFFWSLLIVVPIVFCDLLQISTLTTYQYAKVVLYNAELDILGLIMYKWWRRRLIALLNEEGQRVALTTTYVLFLLIYNLFSQFVPTNAHFDESLYKSTLGIGCILLSLVQGLAVSILMERNLVKRIRQRVGARSSASLSGGGGSGEAPLNEITTVVHNPPAPSPPQLQQQPQEPPIM
+>sp|Q8WV83|S35F5_HUMAN Solute carrier family 35 member F5 OS=Homo sapiens OX=9606 GN=SLC35F5 PE=1 SV=1
+MVPPRRHRGAGRPGVLSSSPPFRLRSAKFSGIALEDLRRALKTRLQMVCVFVMNRMNSQNSGFTQRRRMALGIVILLLVDVIWVASSELTSYVFTQYNKPFFSTFAKTSMFVLYLLGFIIWKPWRQQCTRGLRGKHAAFFADAEGYFAACTTDTTMNSSLSEPLYVPVKFHDLPSEKPESTNIDTEKTPKKSRVRFSNIMEIRQLPSSHALEAKLSRMSYPVKEQESILKTVGKLTATQVAKISFFFCFVWFLANLSYQEALSDTQVAIVNILSSTSGLFTLILAAVFPSNSGDRFTLSKLLAVILSIGGVVLVNLAGSEKPAGRDTVGSIWSLAGAMLYAVYIVMIKRKVDREDKLDIPMFFGFVGLFNLLLLWPGFFLLHYTGFEDFEFPNKVVLMCIIINGLIGTVLSEFLWLWGCFLTSSLIGTLALSLTIPLSIIADMCMQKVQFSWLFFAGAIPVFFSFFIVTLLCHYNNWDPVMVGIRRIFAFICRKHRIQRVPEDSEQCESLISMHSVSQEDGAS
+>DECOY_sp|Q8WV83|S35F5_HUMAN Solute carrier family 35 member F5 OS=Homo sapiens OX=9606 GN=SLC35F5 PE=1 SV=1
+SAGDEQSVSHMSILSECQESDEPVRQIRHKRCIFAFIRRIGVMVPDWNNYHCLLTVIFFSFFVPIAGAFFLWSFQVKQMCMDAIISLPITLSLALTGILSSTLFCGWLWLFESLVTGILGNIIICMLVVKNPFEFDEFGTYHLLFFGPWLLLLNFLGVFGFFMPIDLKDERDVKRKIMVIYVAYLMAGALSWISGVTDRGAPKESGALNVLVVGGISLIVALLKSLTFRDGSNSPFVAALILTFLGSTSSLINVIAVQTDSLAEQYSLNALFWVFCFFFSIKAVQTATLKGVTKLISEQEKVPYSMRSLKAELAHSSPLQRIEMINSFRVRSKKPTKETDINTSEPKESPLDHFKVPVYLPESLSSNMTTDTTCAAFYGEADAFFAAHKGRLGRTCQQRWPKWIIFGLLYLVFMSTKAFTSFFPKNYQTFVYSTLESSAVWIVDVLLLIVIGLAMRRRQTFGSNQSNMRNMVFVCVMQLRTKLARRLDELAIGSFKASRLRFPPSSSLVGPRGAGRHRRPPVM
+>sp|Q9NVC3|S38A7_HUMAN Putative sodium-coupled neutral amino acid transporter 7 OS=Homo sapiens OX=9606 GN=SLC38A7 PE=1 SV=1
+MAQVSINNDYSEWDLSTDAGERARLLQSPCVDTAPKSEWEASPGGLDRGTTSTLGAIFIVVNACLGAGLLNFPAAFSTAGGVAAGIALQMGMLVFIISGLVILAYCSQASNERTYQEVVWAVCGKLTGVLCEVAIAVYTFGTCIAFLIIIGDQQDKIIAVMAKEPEGASGPWYTDRKFTISLTAFLFILPLSIPREIGFQKYASFLSVVGTWYVTAIVIIKYIWPDKEMTPGNILTRPASWMAVFNAMPTICFGFQCHVSSVPVFNSMQQPEVKTWGGVVTAAMVIALAVYMGTGICGFLTFGAAVDPDVLLSYPSEDMAVAVARAFIILSVLTSYPILHFCGRAVVEGLWLRYQGVPVEEDVGRERRRRVLQTLVWFLLTLLLALFIPDIGKVISVIGGLAACFIFVFPGLCLIQAKLSEMEEVKPASWWVLVSYGVLLVTLGAFIFGQTTANAIFVDLLA
+>DECOY_sp|Q9NVC3|S38A7_HUMAN Putative sodium-coupled neutral amino acid transporter 7 OS=Homo sapiens OX=9606 GN=SLC38A7 PE=1 SV=1
+ALLDVFIANATTQGFIFAGLTVLLVGYSVLVWWSAPKVEEMESLKAQILCLGPFVFIFCAALGGIVSIVKGIDPIFLALLLTLLFWVLTQLVRRRRERGVDEEVPVGQYRLWLGEVVARGCFHLIPYSTLVSLIIFARAVAVAMDESPYSLLVDPDVAAGFTLFGCIGTGMYVALAIVMAATVVGGWTKVEPQQMSNFVPVSSVHCQFGFCITPMANFVAMWSAPRTLINGPTMEKDPWIYKIIVIATVYWTGVVSLFSAYKQFGIERPISLPLIFLFATLSITFKRDTYWPGSAGEPEKAMVAIIKDQQDGIIILFAICTGFTYVAIAVECLVGTLKGCVAWVVEQYTRENSAQSCYALIVLGSIIFVLMGMQLAIGAAVGGATSFAAPFNLLGAGLCANVVIFIAGLTSTTGRDLGGPSAEWESKPATDVCPSQLLRAREGADTSLDWESYDNNISVQAM
+>sp|A6NNN8|S38A8_HUMAN Putative sodium-coupled neutral amino acid transporter 8 OS=Homo sapiens OX=9606 GN=SLC38A8 PE=1 SV=1
+MEGQTPGSRGLPEKPHPATAAATLSSMGAVFILMKSALGAGLLNFPWAFSKAGGVVPAFLVELVSLVFLISGLVILGYAAAVSGQATYQGVVRGLCGPAIGKLCEACFLLNLLMISVAFLRVIGDQLEKLCDSLLSGTPPAPQPWYADQRFTLPLLSVLVILPLSAPREIAFQKYTSILGTLAACYLALVITVQYYLWPQGLVRESHPSLSPASWTSVFSVFPTICFGFQCHEAAVSIYCSMRKRSLSHWALVSVLSLLACCLIYSLTGVYGFLTFGTEVSADVLMSYPGNDMVIIVARVLFAVSIVTVYPIVLFLGRSVMQDFWRRSCLGGWGPSALADPSGLWVRMPLTILWVTVTLAMALFMPDLSEIVSIIGGISSFFIFIFPGLCLICAMGVEPIGPRVKCCLEVWGVVSVLVGTFIFGQSTAAAVWEMF
+>DECOY_sp|A6NNN8|S38A8_HUMAN Putative sodium-coupled neutral amino acid transporter 8 OS=Homo sapiens OX=9606 GN=SLC38A8 PE=1 SV=1
+FMEWVAAATSQGFIFTGVLVSVVGWVELCCKVRPGIPEVGMACILCLGPFIFIFFSSIGGIISVIESLDPMFLAMALTVTVWLITLPMRVWLGSPDALASPGWGGLCSRRWFDQMVSRGLFLVIPYVTVISVAFLVRAVIIVMDNGPYSMLVDASVETGFTLFGYVGTLSYILCCALLSLVSVLAWHSLSRKRMSCYISVAAEHCQFGFCITPFVSFVSTWSAPSLSPHSERVLGQPWLYYQVTIVLALYCAALTGLISTYKQFAIERPASLPLIVLVSLLPLTFRQDAYWPQPAPPTGSLLSDCLKELQDGIVRLFAVSIMLLNLLFCAECLKGIAPGCLGRVVGQYTAQGSVAAAYGLIVLGSILFVLSVLEVLFAPVVGGAKSFAWPFNLLGAGLASKMLIFVAGMSSLTAAATAPHPKEPLGRSGPTQGEM
+>sp|Q6P5W5|S39A4_HUMAN Zinc transporter ZIP4 OS=Homo sapiens OX=9606 GN=SLC39A4 PE=1 SV=4
+MASLVSLELGLLLAVLVVTATASPPAGLLSLLTSGQGALDQEALGGLLNTLADRVHCANGPCGKCLSVEDALGLGEPEGSGLPPGPVLEARYVARLSAAAVLYLSNPEGTCEDARAGLWASHADHLLALLESPKALTPGLSWLLQRMQARAAGQTPKMACVDIPQLLEEAVGAGAPGSAGGVLAALLDHVRSGSCFHALPSPQYFVDFVFQQHSSEVPMTLAELSALMQRLGVGREAHSDHSHRHRGASSRDPVPLISSSNSSSVWDTVCLSARDVMAAYGLSEQAGVTPEAWAQLSPALLQQQLSGACTSQSRPPVQDQLSQSERYLYGSLATLLICLCAVFGLLLLTCTGCRGVTHYILQTFLSLAVGAVTGDAVLHLTPKVLGLHTHSEEGLSPQPTWRLLAMLAGLYAFFLFENLFNLLLPRDPEDLEDGPCGHSSHSHGGHSHGVSLQLAPSELRQPKPPHEGSRADLVAEESPELLNPEPRRLSPELRLLPYMITLGDAVHNFADGLAVGAAFASSWKTGLATSLAVFCHELPHELGDFAALLHAGLSVRQALLLNLASALTAFAGLYVALAVGVSEESEAWILAVATGLFLYVALCDMLPAMLKVRDPRPWLLFLLHNVGLLGGWTVLLLLSLYEDDITF
+>DECOY_sp|Q6P5W5|S39A4_HUMAN Zinc transporter ZIP4 OS=Homo sapiens OX=9606 GN=SLC39A4 PE=1 SV=4
+FTIDDEYLSLLLLVTWGGLLGVNHLLFLLWPRPDRVKLMAPLMDCLAVYLFLGTAVALIWAESEESVGVALAVYLGAFATLASALNLLLAQRVSLGAHLLAAFDGLEHPLEHCFVALSTALGTKWSSAFAAGVALGDAFNHVADGLTIMYPLLRLEPSLRRPEPNLLEPSEEAVLDARSGEHPPKPQRLESPALQLSVGHSHGGHSHSSHGCPGDELDEPDRPLLLNFLNEFLFFAYLGALMALLRWTPQPSLGEESHTHLGLVKPTLHLVADGTVAGVALSLFTQLIYHTVGRCGTCTLLLLGFVACLCILLTALSGYLYRESQSLQDQVPPRSQSTCAGSLQQQLLAPSLQAWAEPTVGAQESLGYAAMVDRASLCVTDWVSSSNSSSILPVPDRSSAGRHRHSHDSHAERGVGLRQMLASLEALTMPVESSHQQFVFDVFYQPSPLAHFCSGSRVHDLLAALVGGASGPAGAGVAEELLQPIDVCAMKPTQGAARAQMRQLLWSLGPTLAKPSELLALLHDAHSAWLGARADECTGEPNSLYLVAAASLRAVYRAELVPGPPLGSGEPEGLGLADEVSLCKGCPGNACHVRDALTNLLGGLAEQDLAGQGSTLLSLLGAPPSATATVVLVALLLGLELSVLSAM
+>sp|Q9C0K1|S39A8_HUMAN Zinc transporter ZIP8 OS=Homo sapiens OX=9606 GN=SLC39A8 PE=2 SV=1
+MAPGRAVAGLLLLAAAGLGGVAEGPGLAFSEDVLSVFGANLSLSAAQLQHLLEQMGAASRVGVPEPGQLHFNQCLTAEEIFSLHGFSNATQITSSKFSVICPAVLQQLNFHPCEDRPKHKTRPSHSEVWGYGFLSVTIINLASLLGLILTPLIKKSYFPKILTFFVGLAIGTLFSNAIFQLIPEAFGFDPKVDSYVEKAVAVFGGFYLLFFFERMLKMLLKTYGQNGHTHFGNDNFGPQEKTHQPKALPAINGVTCYANPAVTEANGHIHFDNVSVVSLQDGKKEPSSCTCLKGPKLSEIGTIAWMITLCDALHNFIDGLAIGASCTLSLLQGLSTSIAILCEEFPHELGDFVILLNAGMSTRQALLFNFLSACSCYVGLAFGILVGNNFAPNIIFALAGGMFLYISLADMFPEMNDMLREKVTGRKTDFTFFMIQNAGMLTGFTAILLITLYAGEIELE
+>DECOY_sp|Q9C0K1|S39A8_HUMAN Zinc transporter ZIP8 OS=Homo sapiens OX=9606 GN=SLC39A8 PE=2 SV=1
+ELEIEGAYLTILLIATFGTLMGANQIMFFTFDTKRGTVKERLMDNMEPFMDALSIYLFMGGALAFIINPAFNNGVLIGFALGVYCSCASLFNFLLAQRTSMGANLLIVFDGLEHPFEECLIAISTSLGQLLSLTCSAGIALGDIFNHLADCLTIMWAITGIESLKPGKLCTCSSPEKKGDQLSVVSVNDFHIHGNAETVAPNAYCTVGNIAPLAKPQHTKEQPGFNDNGFHTHGNQGYTKLLMKLMREFFFLLYFGGFVAVAKEVYSDVKPDFGFAEPILQFIANSFLTGIALGVFFTLIKPFYSKKILPTLILGLLSALNIITVSLFGYGWVESHSPRTKHKPRDECPHFNLQQLVAPCIVSFKSSTIQTANSFGHLSFIEEATLCQNFHLQGPEPVGVRSAAGMQELLHQLQAASLSLNAGFVSLVDESFALGPGEAVGGLGAAALLLLGAVARGPAM
+>sp|Q8N1S5|S39AB_HUMAN Zinc transporter ZIP11 OS=Homo sapiens OX=9606 GN=SLC39A11 PE=2 SV=3
+MLQGHSSVFQALLGTFFTWGMTAAGAALVFVFSSGQRRILDGSLGFAAGVMLAASYWSLLAPAVEMATSSGGFGAFAFFPVAVGFTLGAAFVYLADLLMPHLGAAEDPQTTLALNFGSTLMKKKSDPEGPALLFPESELSIRIGRAGLLSDKSENGEAYQRKKAAATGLPEGPAVPVPSRGNLAQPGGSSWRRIALLILAITIHNVPEGLAVGVGFGAIEKTASATFESARNLAIGIGIQNFPEGLAVSLPLRGAGFSTWRAFWYGQLSGMVEPLAGVFGAFAVVLAEPILPYALAFAAGAMVYVVMDDIIPEAQISGNGKLASWASILGFVVMMSLDVGLG
+>DECOY_sp|Q8N1S5|S39AB_HUMAN Zinc transporter ZIP11 OS=Homo sapiens OX=9606 GN=SLC39A11 PE=2 SV=3
+GLGVDLSMMVVFGLISAWSALKGNGSIQAEPIIDDMVVYVMAGAAFALAYPLIPEALVVAFAGFVGALPEVMGSLQGYWFARWTSFGAGRLPLSVALGEPFNQIGIGIALNRASEFTASATKEIAGFGVGVALGEPVNHITIALILLAIRRWSSGGPQALNGRSPVPVAPGEPLGTAAAKKRQYAEGNESKDSLLGARGIRISLESEPFLLAPGEPDSKKKMLTSGFNLALTTQPDEAAGLHPMLLDALYVFAAGLTFGVAVPFFAFAGFGGSSTAMEVAPALLSWYSAALMVGAAFGLSGDLIRRQGSSFVFVLAAGAATMGWTFFTGLLAQFVSSHGQLM
+>sp|Q15043|S39AE_HUMAN Zinc transporter ZIP14 OS=Homo sapiens OX=9606 GN=SLC39A14 PE=1 SV=3
+MKLLLLHPAFQSCLLLTLLGLWRTTPEAHASSLGAPAISAASFLQDLIHRYGEGDSLTLQQLKALLNHLDVGVGRGNVTQHVQGHRNLSTCFSSGDLFTAHNFSEQSRIGSSELQEFCPTILQQLDSRACTSENQENEENEQTEEGRPSAVEVWGYGLLCVTVISLCSLLGASVVPFMKKTFYKRLLLYFIALAIGTLYSNALFQLIPEAFGFNPLEDYYVSKSAVVFGGFYLFFFTEKILKILLKQKNEHHHGHSHYASESLPSKKDQEEGVMEKLQNGDLDHMIPQHCSSELDGKAPMVDEKVIVGSLSVQDLQASQSACYWLKGVRYSDIGTLAWMITLSDGLHNFIDGLAIGASFTVSVFQGISTSVAILCEEFPHELGDFVILLNAGMSIQQALFFNFLSACCCYLGLAFGILAGSHFSANWIFALAGGMFLYISLADMFPEMNEVCQEDERKGSILIPFIIQNLGLLTGFTIMVVLTMYSGQIQIG
+>DECOY_sp|Q15043|S39AE_HUMAN Zinc transporter ZIP14 OS=Homo sapiens OX=9606 GN=SLC39A14 PE=1 SV=3
+GIQIQGSYMTLVVMITFGTLLGLNQIIFPILISGKREDEQCVENMEPFMDALSIYLFMGGALAFIWNASFHSGALIGFALGLYCCCASLFNFFLAQQISMGANLLIVFDGLEHPFEECLIAVSTSIGQFVSVTFSAGIALGDIFNHLGDSLTIMWALTGIDSYRVGKLWYCASQSAQLDQVSLSGVIVKEDVMPAKGDLESSCHQPIMHDLDGNQLKEMVGEEQDKKSPLSESAYHSHGHHHENKQKLLIKLIKETFFFLYFGGFVVASKSVYYDELPNFGFAEPILQFLANSYLTGIALAIFYLLLRKYFTKKMFPVVSAGLLSCLSIVTVCLLGYGWVEVASPRGEETQENEENEQNESTCARSDLQQLITPCFEQLESSGIRSQESFNHATFLDGSSFCTSLNRHGQVHQTVNGRGVGVDLHNLLAKLQQLTLSDGEGYRHILDQLFSAASIAPAGLSSAHAEPTTRWLGLLTLLLCSQFAPHLLLLKM
+>sp|Q8TE82|S3TC1_HUMAN SH3 domain and tetratricopeptide repeat-containing protein 1 OS=Homo sapiens OX=9606 GN=SH3TC1 PE=1 SV=3
+MENLPAVTTEEPTPMGRGPVGPSGGGSTRDQVRTVVMRPSVSWEKAGPEEAKAPVRGDEAPPARVAGPAAGTPPCQMGVYPTDLTLQLLAVRRKSRLRDPGLQQTLRGQLRLLENDSREMARVLGELSARLLSIHSDQDRIVVTFKTFEEIWKFSTYHALGFTHHCLANLLMDQAFWLLLPSEEEETAIQVHVDENALRLTHESLLIQEGPFFVLCPDHHVRVMTGPRDAGNGPQALRQASGAPQGEAAPETDSSPPSPSVSSEEVAVAAAPEPLIPFHQWALRIPQDPIDDAMGGPVMPGNPLMAVGLASALADFQGSGPEEMTFRGGDLIEILGAQVPSLPWCVGRHAASGRVGFVRSSLISMQGPVSELESAIFLNEEEKSFFSEGCFSEEDARQLLRRMSGTDVCSVYSLDSVEEAETEQPQEKEIPPPCLSLEPQETLQKVKNVLEQCKTCPGCPQEPASWGLCAASSDVSLQDPEEPSFCLEAEDDWEDPEALSSLLLFLNAPGYKASFRGLYDVALPWLSSVFRSFSDEEELTGRLAQARGAAKKAGLLMALARLCFLLGRLCSRRLKLSQARVYFEEALGALEGSFGDLFLVVAVYANLASIYRKQKNREKCAQVVPKAMALLLGTPDHICSTEAEGELLQLALRRAVGGQSLQAEARACFLLARHHVHLKQPEEALPFLERLLLLHRDSGAPEAAWLSDCYLLLADIYSRKCLPHLVLSCVKVASLRTRGSLAGSLRSVNLVLQNAPQPHSLPAQTSHYLRQALASLTPGTGQALRGPLYTSLAQLYSHHGCHGPAITFMTQAVEASAIAGVRAIVDHLVALAWLHVLHGQSPVALDILQSVRDAVVASEDQEGVIANMVAVALKRTGRTRQAAESYYRALRVARDLGQQRNQAVGLANFGALCLHAGASRLAQHYLLEAVRLFSRLPLGECGRDFTHVLLQLGHLCTRQGPAQQGKGYYEWALLVAVEMGHVESQLRAVQRLCHFYSAVMPSEAQCVIYHELQLSLACKVADKVLEGQLLETISQLYLSLGTERAYKSALDYTKRSLGIFIDLQKKEKEAHAWLQAGKIYYILRQSELVDLYIQVAQNVALYTGDPNLGLELFEAAGDIFFDGAWEREKAVSFYRDRALPLAVTTGNRKAELRLCNKLVALLATLEEPQEGLEFAHMALALSITLGDRLNERVAYHRLAALQHRLGHGELAEHFYLKALSLCNSPLEFDEETLYYVKVYLVLGDIIFYDLKDPFDAAGYYQLALAAAVDLGNKKAQLKIYTRLATIYHNFLLDREKSLFFYQKARTFATELNVRRVNLPPLPLCGWAPWLAPSHPR
+>DECOY_sp|Q8TE82|S3TC1_HUMAN SH3 domain and tetratricopeptide repeat-containing protein 1 OS=Homo sapiens OX=9606 GN=SH3TC1 PE=1 SV=3
+RPHSPALWPAWGCLPLPPLNVRRVNLETAFTRAKQYFFLSKERDLLFNHYITALRTYIKLQAKKNGLDVAAALALQYYGAADFPDKLDYFIIDGLVLYVKVYYLTEEDFELPSNCLSLAKLYFHEALEGHGLRHQLAALRHYAVRENLRDGLTISLALAMHAFELGEQPEELTALLAVLKNCLRLEAKRNGTTVALPLARDRYFSVAKEREWAGDFFIDGAAEFLELGLNPDGTYLAVNQAVQIYLDVLESQRLIYYIKGAQLWAHAEKEKKQLDIFIGLSRKTYDLASKYARETGLSLYLQSITELLQGELVKDAVKCALSLQLEHYIVCQAESPMVASYFHCLRQVARLQSEVHGMEVAVLLAWEYYGKGQQAPGQRTCLHGLQLLVHTFDRGCEGLPLRSFLRVAELLYHQALRSAGAHLCLAGFNALGVAQNRQQGLDRAVRLARYYSEAAQRTRGTRKLAVAVMNAIVGEQDESAVVADRVSQLIDLAVPSQGHLVHLWALAVLHDVIARVGAIASAEVAQTMFTIAPGHCGHHSYLQALSTYLPGRLAQGTGPTLSALAQRLYHSTQAPLSHPQPANQLVLNVSRLSGALSGRTRLSAVKVCSLVLHPLCKRSYIDALLLYCDSLWAAEPAGSDRHLLLLRELFPLAEEPQKLHVHHRALLFCARAEAQLSQGGVARRLALQLLEGEAETSCIHDPTGLLLAMAKPVVQACKERNKQKRYISALNAYVAVVLFLDGFSGELAGLAEEFYVRAQSLKLRRSCLRGLLFCLRALAMLLGAKKAAGRAQALRGTLEEEDSFSRFVSSLWPLAVDYLGRFSAKYGPANLFLLLSSLAEPDEWDDEAELCFSPEEPDQLSVDSSAACLGWSAPEQPCGPCTKCQELVNKVKQLTEQPELSLCPPPIEKEQPQETEAEEVSDLSYVSCVDTGSMRRLLQRADEESFCGESFFSKEEENLFIASELESVPGQMSILSSRVFGVRGSAAHRGVCWPLSPVQAGLIEILDGGRFTMEEPGSGQFDALASALGVAMLPNGPMVPGGMADDIPDQPIRLAWQHFPILPEPAAAVAVEESSVSPSPPSSDTEPAAEGQPAGSAQRLAQPGNGADRPGTMVRVHHDPCLVFFPGEQILLSEHTLRLANEDVHVQIATEEEESPLLLWFAQDMLLNALCHHTFGLAHYTSFKWIEEFTKFTVVIRDQDSHISLLRASLEGLVRAMERSDNELLRLQGRLTQQLGPDRLRSKRRVALLQLTLDTPYVGMQCPPTGAAPGAVRAPPAEDGRVPAKAEEPGAKEWSVSPRMVVTRVQDRTSGGGSPGVPGRGMPTPEETTVAPLNEM
+>sp|Q5BKX6|S45A4_HUMAN Solute carrier family 45 member 4 OS=Homo sapiens OX=9606 GN=SLC45A4 PE=1 SV=2
+MKMAPQNADPESMQVQELSVPLPDPQKAGGAEAENCETISEGSIDRIPMRLWVMHGAVMFGREFCYAMETALVTPILLQIGLPEQYYSLTWFLSPILGLIFTPLIGSASDRCTLSWGRRRPFILALCVGVLFGVALFLNGSAIGLALGDVPNRQPIGIVLTVLGVVVLDFSADATEGPIRAYLLDVVDSEEQDMALNIHAFSAGLGGAIGYVLGGLDWTQTFLGSWFRTQNQVLFFFAAIIFTVSVALHLFSIDEEQYSPQQERSAEEPGALDGGEPHGVPAFPDEVQSEHELALDYPDVDIMRSKSDSALHVPDTALDLEPELLFLHDIEPSIFHDASYPATPRSTSQELAKTKLPRLATFLKEAAKEDETLLDNHLNEAKVPNGSGSPTKDALGGYTRVDTKPSATSSSMRRRRHAFRRQASSTFSYYGKLGSHCYRYRRANAVVLIKPSRSMSDLYDMQKRQRQHRHRNQSGATTSSGDTESEEGEGETTVRLLWLSMLKMPRELMRLCLCHLLTWFSVIAEAVFYTDFMGQVIFEGDPKAPSNSTAWQAYNAGVKMGCWGLVIYAATGAICSALLQKYLDNYDLSVRVIYVLGTLGFSVGTAVMAMFPNVYVAMVTISTMGIVSMSISYCPYALLGQYHDIKQYIHHSPGNSKRGFGIDCAILSCQVYISQILVASALGGVVDAVGTVRVIPMVASVGSFLGFLTATFLVIYPNVSEEAKEEQKGLSSPLAGEGRAGGNSEKPTVLKLTRKEGLQGPVETESVV
+>DECOY_sp|Q5BKX6|S45A4_HUMAN Solute carrier family 45 member 4 OS=Homo sapiens OX=9606 GN=SLC45A4 PE=1 SV=2
+VVSETEVPGQLGEKRTLKLVTPKESNGGARGEGALPSSLGKQEEKAEESVNPYIVLFTATLFGLFSGVSAVMPIVRVTGVADVVGGLASAVLIQSIYVQCSLIACDIGFGRKSNGPSHHIYQKIDHYQGLLAYPCYSISMSVIGMTSITVMAVYVNPFMAMVATGVSFGLTGLVYIVRVSLDYNDLYKQLLASCIAGTAAYIVLGWCGMKVGANYAQWATSNSPAKPDGEFIVQGMFDTYFVAEAIVSFWTLLHCLCLRMLERPMKLMSLWLLRVTTEGEGEESETDGSSTTAGSQNRHRHQRQRKQMDYLDSMSRSPKILVVANARRYRYCHSGLKGYYSFTSSAQRRFAHRRRRMSSSTASPKTDVRTYGGLADKTPSGSGNPVKAENLHNDLLTEDEKAAEKLFTALRPLKTKALEQSTSRPTAPYSADHFISPEIDHLFLLEPELDLATDPVHLASDSKSRMIDVDPYDLALEHESQVEDPFAPVGHPEGGDLAGPEEASREQQPSYQEEDISFLHLAVSVTFIIAAFFFLVQNQTRFWSGLFTQTWDLGGLVYGIAGGLGASFAHINLAMDQEESDVVDLLYARIPGETADASFDLVVVGLVTLVIGIPQRNPVDGLALGIASGNLFLAVGFLVGVCLALIFPRRRGWSLTCRDSASGILPTFILGLIPSLFWTLSYYQEPLGIQLLIPTVLATEMAYCFERGFMVAGHMVWLRMPIRDISGESITECNEAEAGGAKQPDPLPVSLEQVQMSEPDANQPAMKM
+>sp|Q7Z3Q1|S46A3_HUMAN Solute carrier family 46 member 3 OS=Homo sapiens OX=9606 GN=SLC46A3 PE=2 SV=1
+MKILFVEPAIFLSAFAMTLTGPLTTQYVYRRIWEETGNYTFSSDSNISECEKNKSSPIFAFQEEVQKKVSRFNLQMDISGLIPGLVSTFILLSISDHYGRKFPMILSSVGALATSVWLCLLCYFAFPFQLLIASTFIGAFCGNYTTFWGACFAYIVDQCKEHKQKTIRIAIIDFLLGLVTGLTGLSSGYFIRELGFEWSFLIIAVSLAVNLIYILFFLGDPVKECSSQNVTMSCSEGFKNLFYRTYMLFKNASGKRRFLLCLLLFTVITYFFVVIGIAPIFILYELDSPLCWNEVFIGYGSALGSASFLTSFLGIWLFSYCMEDIHMAFIGIFTTMTGMAMTAFASTTLMMFLARVPFLFTIVPFSVLRSMLSKVVRSTEQGTLFACIAFLETLGGVTAVSTFNGIYSATVAWYPGFTFLLSAGLLLLPAISLCVVKCTSWNEGSYELLIQEESSEDASDR
+>DECOY_sp|Q7Z3Q1|S46A3_HUMAN Solute carrier family 46 member 3 OS=Homo sapiens OX=9606 GN=SLC46A3 PE=2 SV=1
+RDSADESSEEQILLEYSGENWSTCKVVCLSIAPLLLLGASLLFTFGPYWAVTASYIGNFTSVATVGGLTELFAICAFLTGQETSRVVKSLMSRLVSFPVITFLFPVRALFMMLTTSAFATMAMGTMTTFIGIFAMHIDEMCYSFLWIGLFSTLFSASGLASGYGIFVENWCLPSDLEYLIFIPAIGIVVFFYTIVTFLLLCLLFRRKGSANKFLMYTRYFLNKFGESCSMTVNQSSCEKVPDGLFFLIYILNVALSVAIILFSWEFGLERIFYGSSLGTLGTVLGLLFDIIAIRITKQKHEKCQDVIYAFCAGWFTTYNGCFAGIFTSAILLQFPFAFYCLLCLWVSTALAGVSSLIMPFKRGYHDSISLLIFTSVLGPILGSIDMQLNFRSVKKQVEEQFAFIPSSKNKECESINSDSSFTYNGTEEWIRRYVYQTTLPGTLTMAFASLFIAPEVFLIKM
+>sp|Q6UXD7|S49A3_HUMAN Solute carrier family 49 member A3 OS=Homo sapiens OX=9606 GN=SLC49A3 PE=2 SV=1
+MAGPTEAETGLAEPRALCAQRGHRTYARRWVFLLAISLLNCSNATLWLSFAPVADVIAEDLVLSMEQINWLSLVYLVVSTPFGVAAIWILDSVGLRAATILGAWLNFAGSVLRMVPCMVVGTQNPFAFLMGGQSLCALAQSLVIFSPAKLAALWFPEHQRATANMLATMSNPLGVLVANVLSPVLVKKGEDIPLMLGVYTIPAGVVCLLSTICLWESVPPTPPSAGAASSTSEKFLDGLKLQLMWNKAYVILAVCLGGMIGISASFSALLEQILCASGHSSGFSGLCGALFITFGILGALALGPYVDRTKHFTEATKIGLCLFSLACVPFALVSQLQGQTLALAATCSLLGLFGFSVGPVAMELAVECSFPVGEGAATGMIFVLGQAEGILIMLAMTALTVRRSEPSLSTCQQGEDPLDWTVSLLLMAGLCTFFSCILAVFFHTPYRRLQAESGEPPSTRNAVGGADSGPGVDRGGAGRAGVLGPSTATPECTARGASLEDPRGPGSPHPACHRATPRAQGPAATDAPSRPGRLAGRVQASRFIDPAGSHSSFSSPWVIT
+>DECOY_sp|Q6UXD7|S49A3_HUMAN Solute carrier family 49 member A3 OS=Homo sapiens OX=9606 GN=SLC49A3 PE=2 SV=1
+TIVWPSSFSSHSGAPDIFRSAQVRGALRGPRSPADTAAPGQARPTARHCAPHPSGPGRPDELSAGRATCEPTATSPGLVGARGAGGRDVGPGSDAGGVANRTSPPEGSEAQLRRYPTHFFVALICSFFTCLGAMLLLSVTWDLPDEGQQCTSLSPESRRVTLATMALMILIGEAQGLVFIMGTAAGEGVPFSCEVALEMAVPGVSFGFLGLLSCTAALALTQGQLQSVLAFPVCALSFLCLGIKTAETFHKTRDVYPGLALAGLIGFTIFLAGCLGSFGSSHGSACLIQELLASFSASIGIMGGLCVALIVYAKNWMLQLKLGDLFKESTSSAAGASPPTPPVSEWLCITSLLCVVGAPITYVGLMLPIDEGKKVLVPSLVNAVLVGLPNSMTALMNATARQHEPFWLAALKAPSFIVLSQALACLSQGGMLFAFPNQTGVVMCPVMRLVSGAFNLWAGLITAARLGVSDLIWIAAVGFPTSVVLYVLSLWNIQEMSLVLDEAIVDAVPAFSLWLTANSCNLLSIALLFVWRRAYTRHGRQACLARPEALGTEAETPGAM
+>sp|Q2Y0W8|S4A8_HUMAN Electroneutral sodium bicarbonate exchanger 1 OS=Homo sapiens OX=9606 GN=SLC4A8 PE=1 SV=1
+MPAAGSNEPDGVLSYQRPDEEAVVDQGGTSTILNIHYEKEELEGHRTLYVGVRMPLGRQSHRHHRTHGQKHRRRGRGKGASQGEEGLEALAHDTPSQRVQFILGTEEDEEHVPHELFTELDEICMKEGEDAEWKETARWLKFEEDVEDGGERWSKPYVATLSLHSLFELRSCLINGTVLLDMHANSIEEISDLILDQQELSSDLNDSMRVKVREALLKKHHHQNEKKRNNLIPIVRSFAEVGKKQSDPHLMDKHGQTVSPQSVPTTNLEVKNGVNCEHSPVDLSKVDLHFMKKIPTGAEASNVLVGEVDILDRPIVAFVRLSPAVLLSGLTEVPIPTRFLFILLGPVGKGQQYHEIGRSMATIMTDEIFHDVAYKAKERDDLLAGIDEFLDQVTVLPPGEWDPSIRIEPPKNVPSQEKRKMPGVPNGNVCHIEQEPHGGHSGPELQRTGRLFGGLVLDIKRKAPWYWSDYRDALSLQCLASFLFLYCACMSPVITFGGLLGEATEGRISAIESLFGASMTGIAYSLFAGQALTILGSTGPVLVFEKILFKFCKDYALSYLSLRACIGLWTAFLCIVLVATDASSLVCYITRFTEEAFASLICIIFIYEAIEKLIHLAETYPIHMHSQLDHLSLYYCRCTLPENPNNHTLQYWKDHNIVTAEVHWANLTVSECQEMHGEFMGSACGHHGPYTPDVLFWSCILFFTTFILSSTLKTFKTSRYFPTRVRSMVSDFAVFLTIFTMVIIDFLIGVPSPKLQVPSVFKPTRDDRGWIINPIGPNPWWTVIAAIIPALLCTILIFMDQQITAVIINRKEHKLKKGCGYHLDLLMVAIMLGVCSIMGLPWFVAATVLSITHVNSLKLESECSAPGEQPKFLGIREQRVTGLMIFVLMGCSVFMTAILKFIPMPVLYGVFLYMGVSSLQGIQFFDRLKLFGMPAKHQPDFIYLRHVPLRKVHLFTLIQLTCLVLLWVIKASPAAIVFPMMVLALVFVRKVMDLCFSKRELSWLDDLMPESKKKKLDDAKKKAKEEEEAEKMLEIGGDKFPLESRKLLSSPGKNISCRCDPSEINISDEMPKTTVWKALSMNSGNAKEKSLFN
+>DECOY_sp|Q2Y0W8|S4A8_HUMAN Electroneutral sodium bicarbonate exchanger 1 OS=Homo sapiens OX=9606 GN=SLC4A8 PE=1 SV=1
+NFLSKEKANGSNMSLAKWVTTKPMEDSINIESPDCRCSINKGPSSLLKRSELPFKDGGIELMKEAEEEEKAKKKADDLKKKKSEPMLDDLWSLERKSFCLDMVKRVFVLALVMMPFVIAAPSAKIVWLLVLCTLQILTFLHVKRLPVHRLYIFDPQHKAPMGFLKLRDFFQIGQLSSVGMYLFVGYLVPMPIFKLIATMFVSCGMLVFIMLGTVRQERIGLFKPQEGPASCESELKLSNVHTISLVTAAVFWPLGMISCVGLMIAVMLLDLHYGCGKKLKHEKRNIIVATIQQDMFILITCLLAPIIAAIVTWWPNPGIPNIIWGRDDRTPKFVSPVQLKPSPVGILFDIIVMTFITLFVAFDSVMSRVRTPFYRSTKFTKLTSSLIFTTFFLICSWFLVDPTYPGHHGCASGMFEGHMEQCESVTLNAWHVEATVINHDKWYQLTHNNPNEPLTCRCYYLSLHDLQSHMHIPYTEALHILKEIAEYIFIICILSAFAEETFRTIYCVLSSADTAVLVICLFATWLGICARLSLYSLAYDKCFKFLIKEFVLVPGTSGLITLAQGAFLSYAIGTMSAGFLSEIASIRGETAEGLLGGFTIVPSMCACYLFLFSALCQLSLADRYDSWYWPAKRKIDLVLGGFLRGTRQLEPGSHGGHPEQEIHCVNGNPVGPMKRKEQSPVNKPPEIRISPDWEGPPLVTVQDLFEDIGALLDDREKAKYAVDHFIEDTMITAMSRGIEHYQQGKGVPGLLIFLFRTPIPVETLGSLLVAPSLRVFAVIPRDLIDVEGVLVNSAEAGTPIKKMFHLDVKSLDVPSHECNVGNKVELNTTPVSQPSVTQGHKDMLHPDSQKKGVEAFSRVIPILNNRKKENQHHHKKLLAERVKVRMSDNLDSSLEQQDLILDSIEEISNAHMDLLVTGNILCSRLEFLSHLSLTAVYPKSWREGGDEVDEEFKLWRATEKWEADEGEKMCIEDLETFLEHPVHEEDEETGLIFQVRQSPTDHALAELGEEGQSAGKGRGRRRHKQGHTRHHRHSQRGLPMRVGVYLTRHGELEEKEYHINLITSTGGQDVVAEEDPRQYSLVGDPENSGAAPM
+>sp|Q9NWF4|S52A1_HUMAN Solute carrier family 52, riboflavin transporter, member 1 OS=Homo sapiens OX=9606 GN=SLC52A1 PE=1 SV=2
+MAAPTLGRLVLTHLLVALFGMGSWAAVNGIWVELPVVVKDLPEGWSLPSYLSVVVALGNLGLLVVTLWRQLAPGKGEQVPIQVVQVLSVVGTALLAPLWHHVAPVAGQLHSVAFLTLALVLAMACCTSNVTFLPFLSHLPPPFLRSFFLGQGLSALLPCVLALVQGVGRLECPPAPTNGTSGPPLDFPERFPASTFFWALTALLVTSAAAFRGLLLLLPSLPSVTTGGSGPELQLGSPGAEEEEKEEEEALPLQEPPSQAAGTIPGPDPEAHQLFSAHGAFLLGLMAFTSAVTNGVLPSVQSFSCLPYGRLAYHLAVVLGSAANPLACFLAMGVLCRSLAGLVGLSLLGMLFGAYLMALAILSPCPPLVGTTAGVVLVVLSWVLCLCVFSYVKVAASSLLHGGGRPALLAAGVAIQVGSLLGAGAMFPPTSIYHVFQSRKDCVDPCGP
+>DECOY_sp|Q9NWF4|S52A1_HUMAN Solute carrier family 52, riboflavin transporter, member 1 OS=Homo sapiens OX=9606 GN=SLC52A1 PE=1 SV=2
+PGCPDVCDKRSQFVHYISTPPFMAGAGLLSGVQIAVGAALLAPRGGGHLLSSAAVKVYSFVCLCLVWSLVVLVVGATTGVLPPCPSLIALAMLYAGFLMGLLSLGVLGALSRCLVGMALFCALPNAASGLVVALHYALRGYPLCSFSQVSPLVGNTVASTFAMLGLLFAGHASFLQHAEPDPGPITGAAQSPPEQLPLAEEEEKEEEEAGPSGLQLEPGSGGTTVSPLSPLLLLLGRFAAASTVLLATLAWFFTSAPFREPFDLPPGSTGNTPAPPCELRGVGQVLALVCPLLASLGQGLFFSRLFPPPLHSLFPLFTVNSTCCAMALVLALTLFAVSHLQGAVPAVHHWLPALLATGVVSLVQVVQIPVQEGKGPALQRWLTVVLLGLNGLAVVVSLYSPLSWGEPLDKVVVPLEVWIGNVAAWSGMGFLAVLLHTLVLRGLTPAAM
+>sp|P31213|S5A2_HUMAN 3-oxo-5-alpha-steroid 4-dehydrogenase 2 OS=Homo sapiens OX=9606 GN=SRD5A2 PE=1 SV=1
+MQVQCQQSPVLAGSATLVALGALALYVAKPSGYGKHTESLKPAATRLPARAAWFLQELPSFAVPAGILARQPLSLFGPPGTVLLGLFCVHYFHRTFVYSLLNRGRPYPAILILRGTAFCTGNGVLQGYYLIYCAEYPDGWYTDIRFSLGVFLFILGMGINIHSDYILRQLRKPGEISYRIPQGGLFTYVSGANFLGEIIEWIGYALATWSLPALAFAFFSLCFLGLRAFHHHRFYLKMFEDYPKSRKALIPFIF
+>DECOY_sp|P31213|S5A2_HUMAN 3-oxo-5-alpha-steroid 4-dehydrogenase 2 OS=Homo sapiens OX=9606 GN=SRD5A2 PE=1 SV=1
+FIFPILAKRSKPYDEFMKLYFRHHHFARLGLFCLSFFAFALAPLSWTALAYGIWEIIEGLFNAGSVYTFLGGQPIRYSIEGPKRLQRLIYDSHINIGMGLIFLFVGLSFRIDTYWGDPYEACYILYYGQLVGNGTCFATGRLILIAPYPRGRNLLSYVFTRHFYHVCFLGLLVTGPPGFLSLPQRALIGAPVAFSPLEQLFWAARAPLRTAAPKLSETHKGYGSPKAVYLALAGLAVLTASGALVPSQQCQVQM
+>sp|Q9H9S3|S61A2_HUMAN Protein transport protein Sec61 subunit alpha isoform 2 OS=Homo sapiens OX=9606 GN=SEC61A2 PE=2 SV=3
+MGIKFLEVIKPFCAVLPEIQKPERKIQFREKVLWTAITLFIFLVCCQIPLFGIMSSDSADPFYWMRVILASNRGTLMELGISPIVTSGLIMQLLAGAKIIEVGDTPKDRALFNGAQKLFGMIITIGQAIVYVMTGMYGDPAEMGAGICLLIIIQLFVAGLIVLLLDELLQKGYGLGSGISLFIATNICETIVWKAFSPTTINTGRGTEFEGAVIALFHLLATRTDKVRALREAFYRQNLPNLMNLIATVFVFAVVIYFQGFRVDLPIKSARYRGQYSSYPIKLFYTSNIPIILQSALVSNLYVISQMLSVRFSGNFLVNLLGQWADVSGGGPARSYPVGGLCYYLSPPESMGAIFEDPVHVVVYIIFMLGSCAFFSKTWIEVSGSSAKDVAKQLKEQQMVMRGHRDTSMVHELNRYIPTAAAFGGLCIGALSVLADFLGAIGSGTGILLAVTIIYQYFEIFVKEQAEVGGMGALFF
+>DECOY_sp|Q9H9S3|S61A2_HUMAN Protein transport protein Sec61 subunit alpha isoform 2 OS=Homo sapiens OX=9606 GN=SEC61A2 PE=2 SV=3
+FFLAGMGGVEAQEKVFIEFYQYIITVALLIGTGSGIAGLFDALVSLAGICLGGFAAATPIYRNLEHVMSTDRHGRMVMQQEKLQKAVDKASSGSVEIWTKSFFACSGLMFIIYVVVHVPDEFIAGMSEPPSLYYCLGGVPYSRAPGGGSVDAWQGLLNVLFNGSFRVSLMQSIVYLNSVLASQLIIPINSTYFLKIPYSSYQGRYRASKIPLDVRFGQFYIVVAFVFVTAILNMLNPLNQRYFAERLARVKDTRTALLHFLAIVAGEFETGRGTNITTPSFAKWVITECINTAIFLSIGSGLGYGKQLLEDLLLVILGAVFLQIIILLCIGAGMEAPDGYMGTMVYVIAQGITIIMGFLKQAGNFLARDKPTDGVEIIKAGALLQMILGSTVIPSIGLEMLTGRNSALIVRMWYFPDASDSSMIGFLPIQCCVLFIFLTIATWLVKERFQIKREPKQIEPLVACFPKIVELFKIGM
+>sp|Q9H1V8|S6A17_HUMAN Sodium-dependent neutral amino acid transporter SLC6A17 OS=Homo sapiens OX=9606 GN=SLC6A17 PE=1 SV=3
+MPKNSKVTQREHSSEHVTESVADLLALEEPVDYKQSVLNVAGEAGGKQKAVEEELDAEDRPAWNSKLQYILAQIGFSVGLGNIWRFPYLCQKNGGGAYLVPYLVLLIIIGIPLFFLELAVGQRIRRGSIGVWHYICPRLGGIGFSSCIVCLFVGLYYNVIIGWSIFYFFKSFQYPLPWSECPVVRNGSVAVVEAECEKSSATTYFWYREALDISDSISESGGLNWKMTLCLLVAWSIVGMAVVKGIQSSGKVMYFSSLFPYVVLACFLVRGLLLRGAVDGILHMFTPKLDKMLDPQVWREAATQVFFALGLGFGGVIAFSSYNKQDNNCHFDAALVSFINFFTSVLATLVVFAVLGFKANIMNEKCVVENAEKILGYLNTNVLSRDLIPPHVNFSHLTTKDYMEMYNVIMTVKEDQFSALGLDPCLLEDELDKSVQGTGLAFIAFTEAMTHFPASPFWSVMFFLMLINLGLGSMIGTMAGITTPIIDTFKVPKEMFTVGCCVFAFLVGLLFVQRSGNYFVTMFDDYSATLPLTLIVILENIAVAWIYGTKKFMQELTEMLGFRPYRFYFYMWKFVSPLCMAVLTTASIIQLGVTPPGYSAWIKEEAAERYLYFPNWAMALLITLIVVATLPIPVVFVLRHFHLLSDGSNTLSVSYKKGRMMKDISNLEENDETRFILSKVPSEAPSPMPTHRSYLGPGSTSPLETSGNPNGRYGSGYLLASTPESEL
+>DECOY_sp|Q9H1V8|S6A17_HUMAN Sodium-dependent neutral amino acid transporter SLC6A17 OS=Homo sapiens OX=9606 GN=SLC6A17 PE=1 SV=3
+LESEPTSALLYGSGYRGNPNGSTELPSTSGPGLYSRHTPMPSPAESPVKSLIFRTEDNEELNSIDKMMRGKKYSVSLTNSGDSLLHFHRLVFVVPIPLTAVVILTILLAMAWNPFYLYREAAEEKIWASYGPPTVGLQIISATTLVAMCLPSVFKWMYFYFRYPRFGLMETLEQMFKKTGYIWAVAINELIVILTLPLTASYDDFMTVFYNGSRQVFLLGVLFAFVCCGVTFMEKPVKFTDIIPTTIGAMTGIMSGLGLNILMLFFMVSWFPSAPFHTMAETFAIFALGTGQVSKDLEDELLCPDLGLASFQDEKVTMIVNYMEMYDKTTLHSFNVHPPILDRSLVNTNLYGLIKEANEVVCKENMINAKFGLVAFVVLTALVSTFFNIFSVLAADFHCNNDQKNYSSFAIVGGFGLGLAFFVQTAAERWVQPDLMKDLKPTFMHLIGDVAGRLLLGRVLFCALVVYPFLSSFYMVKGSSQIGKVVAMGVISWAVLLCLTMKWNLGGSESISDSIDLAERYWFYTTASSKECEAEVVAVSGNRVVPCESWPLPYQFSKFFYFISWGIIVNYYLGVFLCVICSSFGIGGLRPCIYHWVGISGRRIRQGVALELFFLPIGIIILLVLYPVLYAGGGNKQCLYPFRWINGLGVSFGIQALIYQLKSNWAPRDEADLEEEVAKQKGGAEGAVNLVSQKYDVPEELALLDAVSETVHESSHERQTVKSNKPM
+>sp|Q695T7|S6A19_HUMAN Sodium-dependent neutral amino acid transporter B(0)AT1 OS=Homo sapiens OX=9606 GN=SLC6A19 PE=1 SV=1
+MVRLVLPNPGLDARIPSLAELETIEQEEASSRPKWDNKAQYMLTCLGFCVGLGNVWRFPYLCQSHGGGAFMIPFLILLVLEGIPLLYLEFAIGQRLRRGSLGVWSSIHPALKGLGLASMLTSFMVGLYYNTIISWIMWYLFNSFQEPLPWSDCPLNENQTGYVDECARSSPVDYFWYRETLNISTSISDSGSIQWWMLLCLACAWSVLYMCTIRGIETTGKAVYITSTLPYVVLTIFLIRGLTLKGATNGIVFLFTPNVTELAQPDTWLDAGAQVFFSFSLAFGGLISFSSYNSVHNNCEKDSVIVSIINGFTSVYVAIVVYSVIGFRATQRYDDCFSTNILTLINGFDLPEGNVTQENFVDMQQRCNASDPAAYAQLVFQTCDINAFLSEAVEGTGLAFIVFTEAITKMPLSPLWSVLFFIMLFCLGLSSMFGNMEGVVVPLQDLRVIPPKWPKEVLTGLICLGTFLIGFIFTLNSGQYWLSLLDSYAGSIPLLIIAFCEMFSVVYVYGVDRFNKDIEFMIGHKPNIFWQVTWRVVSPLLMLIIFLFFFVVEVSQELTYSIWDPGYEEFPKSQKISYPNWVYVVVVIVAGVPSLTIPGYAIYKLIRNHCQKPGDHQGLVSTLSTASMNGDLKY
+>DECOY_sp|Q695T7|S6A19_HUMAN Sodium-dependent neutral amino acid transporter B(0)AT1 OS=Homo sapiens OX=9606 GN=SLC6A19 PE=1 SV=1
+YKLDGNMSATSLTSVLGQHDGPKQCHNRILKYIAYGPITLSPVGAVIVVVVYVWNPYSIKQSKPFEEYGPDWISYTLEQSVEVVFFFLFIILMLLPSVVRWTVQWFINPKHGIMFEIDKNFRDVGYVYVVSFMECFAIILLPISGAYSDLLSLWYQGSNLTFIFGILFTGLCILGTLVEKPWKPPIVRLDQLPVVVGEMNGFMSSLGLCFLMIFFLVSWLPSLPMKTIAETFVIFALGTGEVAESLFANIDCTQFVLQAYAAPDSANCRQQMDVFNEQTVNGEPLDFGNILTLINTSFCDDYRQTARFGIVSYVVIAVYVSTFGNIISVIVSDKECNNHVSNYSSFSILGGFALSFSFFVQAGADLWTDPQALETVNPTFLFVIGNTAGKLTLGRILFITLVVYPLTSTIYVAKGTTEIGRITCMYLVSWACALCLLMWWQISGSDSISTSINLTERYWFYDVPSSRACEDVYGTQNENLPCDSWPLPEQFSNFLYWMIWSIITNYYLGVMFSTLMSALGLGKLAPHISSWVGLSGRRLRQGIAFELYLLPIGELVLLILFPIMFAGGGHSQCLYPFRWVNGLGVCFGLCTLMYQAKNDWKPRSSAEEQEITELEALSPIRADLGPNPLVLRVM
+>sp|Q8TBB6|S7A14_HUMAN Probable cationic amino acid transporter OS=Homo sapiens OX=9606 GN=SLC7A14 PE=2 SV=3
+MSGFFTSLDPRRVQWGAAWYAMHSRILRTKPVESMLEGTGTTTAHGTKLAQVLTTVDLISLGVGSCVGTGMYVVSGLVAKEMAGPGVIVSFIIAAVASILSGVCYAEFGVRVPKTTGSAYTYSYVTVGEFVAFFIGWNLILEYLIGTAAGASALSSMFDSLANHTISRWMADSVGTLNGLGKGEESYPDLLALLIAVIVTIIVALGVKNSIGFNNVLNVLNLAVWVFIMIAGLFFINGKYWAEGQFLPHGWSGVLQGAATCFYAFIGFDIIATTGEEAKNPNTSIPYAITASLVICLTAYVSVSVILTLMVPYYTIDTESPLMEMFVAHGFYAAKFVVAIGSVAGLTVSLLGSLFPMPRVIYAMAGDGLLFRFLAHVSSYTETPVVACIVSGFLAALLALLVSLRDLIEMMSIGTLLAYTLVSVCVLLLRYQPESDIDGFVKFLSEEHTKKKEGILADCEKEACSPVSEGDEFSGPATNTCGAKNLPSLGDNEMLIGKSDKSTYNVNHPNYGTVDMTTGIEADESENIYLIKLKKLIGPHYYTMRIRLGLPGKMDRPTAATGHTVTICVLLLFILMFIFCSFIIFGSDYISEQSWWAILLVVLMVLLISTLVFVILQQPENPKKLPYMAPCLPFVPAFAMLVNIYLMLKLSTITWIRFAVWCFVGLLIYFGYGIWNSTLEISAREEALHQSTYQRYDVDDPFSVEEGFSYATEGESQEDWGGPTEDKGFYYQQMSDAKANGRTSSKAKSKSKHKQNSEALIANDELDYSPE
+>DECOY_sp|Q8TBB6|S7A14_HUMAN Probable cationic amino acid transporter OS=Homo sapiens OX=9606 GN=SLC7A14 PE=2 SV=3
+EPSYDLEDNAILAESNQKHKSKSKAKSSTRGNAKADSMQQYYFGKDETPGGWDEQSEGETAYSFGEEVSFPDDVDYRQYTSQHLAEERASIELTSNWIGYGFYILLGVFCWVAFRIWTITSLKLMLYINVLMAFAPVFPLCPAMYPLKKPNEPQQLIVFVLTSILLVMLVVLLIAWWSQESIYDSGFIIFSCFIFMLIFLLLVCITVTHGTAATPRDMKGPLGLRIRMTYYHPGILKKLKILYINESEDAEIGTTMDVTGYNPHNVNYTSKDSKGILMENDGLSPLNKAGCTNTAPGSFEDGESVPSCAEKECDALIGEKKKTHEESLFKVFGDIDSEPQYRLLLVCVSVLTYALLTGISMMEILDRLSVLLALLAALFGSVICAVVPTETYSSVHALFRFLLGDGAMAYIVRPMPFLSGLLSVTLGAVSGIAVVFKAAYFGHAVFMEMLPSETDITYYPVMLTLIVSVSVYATLCIVLSATIAYPISTNPNKAEEGTTAIIDFGIFAYFCTAAGQLVGSWGHPLFQGEAWYKGNIFFLGAIMIFVWVALNLVNLVNNFGISNKVGLAVIITVIVAILLALLDPYSEEGKGLGNLTGVSDAMWRSITHNALSDFMSSLASAGAATGILYELILNWGIFFAVFEGVTVYSYTYASGTTKPVRVGFEAYCVGSLISAVAAIIFSVIVGPGAMEKAVLGSVVYMGTGVCSGVGLSILDVTTLVQALKTGHATTTGTGELMSEVPKTRLIRSHMAYWAAGWQVRRPDLSTFFGSM
+>sp|P60059|SC61G_HUMAN Protein transport protein Sec61 subunit gamma OS=Homo sapiens OX=9606 GN=SEC61G PE=1 SV=1
+MDQVMQFVEPSRQFVKDSIRLVKRCTKPDRKEFQKIAMATAIGFAIMGFIGFFVKLIHIPINNIIVGG
+>DECOY_sp|P60059|SC61G_HUMAN Protein transport protein Sec61 subunit gamma OS=Homo sapiens OX=9606 GN=SEC61G PE=1 SV=1
+GGVIINNIPIHILKVFFGIFGMIAFGIATAMAIKQFEKRDPKTCRKVLRISDKVFQRSPEVFQMVQDM
+>sp|Q8N9R8|SCAI_HUMAN Protein SCAI OS=Homo sapiens OX=9606 GN=SCAI PE=1 SV=2
+MVRGARQPQQPRSRLAPRLTGTVEKPPRKRRSRTEFALKEIMSSGGAEDDIPQGERKTVTDFCYLLDKSKQLFNGLRDLPQYGQKQWQSYFGRTFDVYTKLWKFQQQHRQVLDNRYGLKRWQIGEIASKIGQLYYHYYLRTSETSYLNEAFSFYSAIRQRSYYSQVNKEDRPELVVKKLRYYARFIVVCLLLNKMDVVKDLVKELSDEIEDYTHRFNTEDQVEWNLVLQEVAAFIEADPVMVLNDDNTIVITSNRLAETGAPLLEQGMIVGQLSLADALIIGNCNNQVKFSELTVDMFRMLQALEREPMNLASQMNKPGMQESADKPTRRENPHKYLLYKPTFSQLYTFLAASFKELPANSVLLIYLSATGVFPTGRSDSEGPYDFGGVLTNSNRDIINGDAIHKRNQSHKEMHCLHPGDLYPFTRKPLFIIVDSSNSVAYKNFTNLFGQPLVCLLSPTAYPKALQDQSQRGSLFTLFLNNPLMAFLFVSGLSSMRRGLWEKCQEYLRKINRDIAQLLTHSRSIDQAFLQFFGDEFLRLLLTRFIFCSATMRMHKIFRETRNYPESYPQLPRDETVENPHLQKHILELASILDVRNVFFENTIDDY
+>DECOY_sp|Q8N9R8|SCAI_HUMAN Protein SCAI OS=Homo sapiens OX=9606 GN=SCAI PE=1 SV=2
+YDDITNEFFVNRVDLISALELIHKQLHPNEVTEDRPLQPYSEPYNRTERFIKHMRMTASCFIFRTLLLRLFEDGFFQLFAQDISRSHTLLQAIDRNIKRLYEQCKEWLGRRMSSLGSVFLFAMLPNNLFLTFLSGRQSQDQLAKPYATPSLLCVLPQGFLNTFNKYAVSNSSDVIIFLPKRTFPYLDGPHLCHMEKHSQNRKHIADGNIIDRNSNTLVGGFDYPGESDSRGTPFVGTASLYILLVSNAPLEKFSAALFTYLQSFTPKYLLYKHPNERRTPKDASEQMGPKNMQSALNMPERELAQLMRFMDVTLESFKVQNNCNGIILADALSLQGVIMGQELLPAGTEALRNSTIVITNDDNLVMVPDAEIFAAVEQLVLNWEVQDETNFRHTYDEIEDSLEKVLDKVVDMKNLLLCVVIFRAYYRLKKVVLEPRDEKNVQSYYSRQRIASYFSFAENLYSTESTRLYYHYYLQGIKSAIEGIQWRKLGYRNDLVQRHQQQFKWLKTYVDFTRGFYSQWQKQGYQPLDRLGNFLQKSKDLLYCFDTVTKREGQPIDDEAGGSSMIEKLAFETRSRRKRPPKEVTGTLRPALRSRPQQPQRAGRVM
+>sp|O15126|SCAM1_HUMAN Secretory carrier-associated membrane protein 1 OS=Homo sapiens OX=9606 GN=SCAMP1 PE=1 SV=2
+MSDFDSNPFADPDLNNPFKDPSVTQVTRNVPPGLDEYNPFSDSRTPPPGGVKMPNVPNTQPAIMKPTEEHPAYTQIAKEHALAQAELLKRQEELERKAAELDRREREMQNLSQHGRKNNWPPLPSNFPVGPCFYQDFSVDIPVEFQKTVKLMYYLWMFHAVTLFLNIFGCLAWFCVDSARAVDFGLSILWFLLFTPCSFVCWYRPLYGAFRSDSSFRFFVFFFVYICQFAVHVLQAAGFHNWGNCGWISSLTGLNQNIPVGIMMIIIAALFTASAVISLVMFKKVHGLYRTTGASFEKAQQEFATGVMSNKTVQTAAANAASTAASSAAQNAFKGNQI
+>DECOY_sp|O15126|SCAM1_HUMAN Secretory carrier-associated membrane protein 1 OS=Homo sapiens OX=9606 GN=SCAMP1 PE=1 SV=2
+IQNGKFANQAASSAATSAANAAATQVTKNSMVGTAFEQQAKEFSAGTTRYLGHVKKFMVLSIVASATFLAAIIIMMIGVPINQNLGTLSSIWGCNGWNHFGAAQLVHVAFQCIYVFFFVFFRFSSDSRFAGYLPRYWCVFSCPTFLLFWLISLGFDVARASDVCFWALCGFINLFLTVAHFMWLYYMLKVTKQFEVPIDVSFDQYFCPGVPFNSPLPPWNNKRGHQSLNQMERERRDLEAAKRELEEQRKLLEAQALAHEKAIQTYAPHEETPKMIAPQTNPVNPMKVGGPPPTRSDSFPNYEDLGPPVNRTVQTVSPDKFPNNLDPDAFPNSDFDSM
+>sp|Q8WXD2|SCG3_HUMAN Secretogranin-3 OS=Homo sapiens OX=9606 GN=SCG3 PE=1 SV=3
+MGFLGTGTWILVLVLPIQAFPKPGGSQDKSLHNRELSAERPLNEQIAEAEEDKIKKTYPPENKPGQSNYSFVDNLNLLKAITEKEKIEKERQSIRSSPLDNKLNVEDVDSTKNRKLIDDYDSTKSGLDHKFQDDPDGLHQLDGTPLTAEDIVHKIAARIYEENDRAVFDKIVSKLLNLGLITESQAHTLEDEVAEVLQKLISKEANNYEEDPNKPTSWTENQAGKIPEKVTPMAAIQDGLAKGENDETVSNTLTLTNGLERRTKTYSEDNFEELQYFPNFYALLKSIDSEKEAKEKETLITIMKTLIDFVKMMVKYGTISPEEGVSYLENLDEMIALQTKNKLEKNATDNISKLFPAPSEKSHEETDSTKEEAAKMEKEYGSLKDSTKDDNSNPGGKTDEPKGKTEAYLEAIRKNIEWLKKHDKKGNKEDYDLSKMRDFINKQADAYVEKGILDKEEAEAIKRIYSSL
+>DECOY_sp|Q8WXD2|SCG3_HUMAN Secretogranin-3 OS=Homo sapiens OX=9606 GN=SCG3 PE=1 SV=3
+LSSYIRKIAEAEEKDLIGKEVYADAQKNIFDRMKSLDYDEKNGKKDHKKLWEINKRIAELYAETKGKPEDTKGGPNSNDDKTSDKLSGYEKEMKAAEEKTSDTEEHSKESPAPFLKSINDTANKELKNKTQLAIMEDLNELYSVGEEPSITGYKVMMKVFDILTKMITILTEKEKAEKESDISKLLAYFNPFYQLEEFNDESYTKTRRELGNTLTLTNSVTEDNEGKALGDQIAAMPTVKEPIKGAQNETWSTPKNPDEEYNNAEKSILKQLVEAVEDELTHAQSETILGLNLLKSVIKDFVARDNEEYIRAAIKHVIDEATLPTGDLQHLGDPDDQFKHDLGSKTSDYDDILKRNKTSDVDEVNLKNDLPSSRISQREKEIKEKETIAKLLNLNDVFSYNSQGPKNEPPYTKKIKDEEAEAIQENLPREASLERNHLSKDQSGGPKPFAQIPLVLVLIWTGTGLFGM
+>sp|Q96NL6|SCLT1_HUMAN Sodium channel and clathrin linker 1 OS=Homo sapiens OX=9606 GN=SCLT1 PE=1 SV=2
+MAAEIDFLREQNRRLNEDFRRYQMESFSKYSSVQKAVCQGEGDDTFENLVFDQSFLAPLVTEYDKHLGELNGQLKYYQKQVGEMKLQLENVIKENERLHSELKDAVEKKLEAFPLGTEVGTDIYADDETVRNLQEQLQLANQEKTQAVELWQTVSQELDRLHKLYQEHMTEAQIHVFESQKQKDQLFDFQQLTKQLHVTNENMEVTNQQFLKTVTEQSVIIEQLRKKLRQAKLELRVAVAKVEELTNVTEDLQGQMKKKEKDVVSAHGREEASDRRLQQLQSSIKQLEIRLCVTIQEANQLRTENTHLEKQTRELQAKCNELENERYEAIVRARNSMQLLEEANLQKSQALLEEKQKEEDIEKMKETVSRFVQDATIRTKKEVANTKKQCNIQISRLTEELSALQMECAEKQGQIERVIKEKKAVEEELEKIYREGRGNESDYRKLEEMHQRFLVSERSKDDLQLRLTRAENRIKQLETDSSEEISRYQEMIQKLQNVLESERENCGLVSEQRLKLQQENKQLRKETESLRKIALEAQKKAKVKISTMEHEFSIKERGFEVQLREMEDSNRNSIVELRHLLATQQKAANRWKEETKKLTESAEIRINNLKSELSRQKLHTQELLSQLEMANEKVAENEKLILEHQEKANRLQRRLSQAEERAASASQQLSVITVQRRKAASLMNLENI
+>DECOY_sp|Q96NL6|SCLT1_HUMAN Sodium channel and clathrin linker 1 OS=Homo sapiens OX=9606 GN=SCLT1 PE=1 SV=2
+INELNMLSAAKRRQVTIVSLQQSASAAREEAQSLRRQLRNAKEQHELILKENEAVKENAMELQSLLEQTHLKQRSLESKLNNIRIEASETLKKTEEKWRNAAKQQTALLHRLEVISNRNSDEMERLQVEFGREKISFEHEMTSIKVKAKKQAELAIKRLSETEKRLQKNEQQLKLRQESVLGCNERESELVNQLKQIMEQYRSIEESSDTELQKIRNEARTLRLQLDDKSRESVLFRQHMEELKRYDSENGRGERYIKELEEEVAKKEKIVREIQGQKEACEMQLASLEETLRSIQINCQKKTNAVEKKTRITADQVFRSVTEKMKEIDEEKQKEELLAQSKQLNAEELLQMSNRARVIAEYRENELENCKAQLERTQKELHTNETRLQNAEQITVCLRIELQKISSQLQQLRRDSAEERGHASVVDKEKKKMQGQLDETVNTLEEVKAVAVRLELKAQRLKKRLQEIIVSQETVTKLFQQNTVEMNENTVHLQKTLQQFDFLQDKQKQSEFVHIQAETMHEQYLKHLRDLEQSVTQWLEVAQTKEQNALQLQEQLNRVTEDDAYIDTGVETGLPFAELKKEVADKLESHLRENEKIVNELQLKMEGVQKQYYKLQGNLEGLHKDYETVLPALFSQDFVLNEFTDDGEGQCVAKQVSSYKSFSEMQYRRFDENLRRNQERLFDIEAAM
+>sp|Q9UN30|SCML1_HUMAN Sex comb on midleg-like protein 1 OS=Homo sapiens OX=9606 GN=SCML1 PE=1 SV=2
+MMSNSSSEIDVIKTRIPTYDEDDNTILYAYETKPEFVNKEPNIVSDASCNTEEQLKTVDDVLIHCQVIYDALQNLDKKIDVIRRKVSKIQRFHARSLWTNHKRYGYKKHSYRLVKKLKLQKMKKNEVYETFSYPESYSPTLPVSRRENNSPSNLPRPSFCMEEYQRAELEEDPILSRTPSPVHPSDFSEHNCQPYYASDGATYGSSSGLCLGNPRADSIHNTYSTDHASAAPPSVTRSPVENDGYIEEGSITKHPSTWSVEAVVLFLKQTDPLALCPLVDLFRSHEIDGKALLLLTSDVLLKHLGVKLGTAVKLCYYIDRLKQGKCFEN
+>DECOY_sp|Q9UN30|SCML1_HUMAN Sex comb on midleg-like protein 1 OS=Homo sapiens OX=9606 GN=SCML1 PE=1 SV=2
+NEFCKGQKLRDIYYCLKVATGLKVGLHKLLVDSTLLLLAKGDIEHSRFLDVLPCLALPDTQKLFLVVAEVSWTSPHKTISGEEIYGDNEVPSRTVSPPAASAHDTSYTNHISDARPNGLCLGSSSGYTAGDSAYYPQCNHESFDSPHVPSPTRSLIPDEELEARQYEEMCFSPRPLNSPSNNERRSVPLTPSYSEPYSFTEYVENKKMKQLKLKKVLRYSHKKYGYRKHNTWLSRAHFRQIKSVKRRIVDIKKDLNQLADYIVQCHILVDDVTKLQEETNCSADSVINPEKNVFEPKTEYAYLITNDDEDYTPIRTKIVDIESSSNSMM
+>sp|Q8N228|SCML4_HUMAN Sex comb on midleg-like protein 4 OS=Homo sapiens OX=9606 GN=SCML4 PE=1 SV=2
+MQSQRIPGRKRGRPSLHSTPMKMAVHNLYSASAGSLPAVKIPKKRGRKPGYKIKSRVLMTPLALSPPRSTPEPDLSSIPQDAATVPSLAAPQALTVCLYINKQANAGPYLERKKVQQLPEHFGPERPSAVLQQAVQACIDCAHQQKLVFSLVKQGYGGEMVSVSASFDGKQHLRSLPVVNSIGYVLRFLAKLCRSLLCDDLFSHQPFPRGCSASEKVQEKEEGRMESVKTVTTEEYLVNPVGMNRYSVDTSASTFNHRGSLHPSSSLYCKRQNSGDSHLGGGPAATAGGPRTSPMSSGGPSAPGLRPPASSPKRNTTSLEGNRCASSPSQDAQDARRPRSRNPSAWTVEDVVWFVKDADPQALGPHVELFRKHEIDGNALLLLKSDMVMKYLGLKLGPALKLCYHIDKLKQAKF
+>DECOY_sp|Q8N228|SCML4_HUMAN Sex comb on midleg-like protein 4 OS=Homo sapiens OX=9606 GN=SCML4 PE=1 SV=2
+FKAQKLKDIHYCLKLAPGLKLGLYKMVMDSKLLLLANGDIEHKRFLEVHPGLAQPDADKVFWVVDEVTWASPNRSRPRRADQADQSPSSACRNGELSTTNRKPSSAPPRLGPASPGGSSMPSTRPGGATAAPGGGLHSDGSNQRKCYLSSSPHLSGRHNFTSASTDVSYRNMGVPNVLYEETTVTKVSEMRGEEKEQVKESASCGRPFPQHSFLDDCLLSRCLKALFRLVYGISNVVPLSRLHQKGDFSASVSVMEGGYGQKVLSFVLKQQHACDICAQVAQQLVASPREPGFHEPLQQVKKRELYPGANAQKNIYLCVTLAQPAALSPVTAADQPISSLDPEPTSRPPSLALPTMLVRSKIKYGPKRGRKKPIKVAPLSGASASYLNHVAMKMPTSHLSPRGRKRGPIRQSQM
+>sp|Q9NY46|SCN3A_HUMAN Sodium channel protein type 3 subunit alpha OS=Homo sapiens OX=9606 GN=SCN3A PE=1 SV=2
+MAQALLVPPGPESFRLFTRESLAAIEKRAAEEKAKKPKKEQDNDDENKPKPNSDLEAGKNLPFIYGDIPPEMVSEPLEDLDPYYINKKTFIVMNKGKAIFRFSATSALYILTPLNPVRKIAIKILVHSLFSMLIMCTILTNCVFMTLSNPPDWTKNVEYTFTGIYTFESLIKILARGFCLEDFTFLRDPWNWLDFSVIVMAYVTEFVSLGNVSALRTFRVLRALKTISVIPGLKTIVGALIQSVKKLSDVMILTVFCLSVFALIGLQLFMGNLRNKCLQWPPSDSAFETNTTSYFNGTMDSNGTFVNVTMSTFNWKDYIGDDSHFYVLDGQKDPLLCGNGSDAGQCPEGYICVKAGRNPNYGYTSFDTFSWAFLSLFRLMTQDYWENLYQLTLRAAGKTYMIFFVLVIFLGSFYLVNLILAVVAMAYEEQNQATLEEAEQKEAEFQQMLEQLKKQQEEAQAVAAASAASRDFSGIGGLGELLESSSEASKLSSKSAKEWRNRRKKRRQREHLEGNNKGERDSFPKSESEDSVKRSSFLFSMDGNRLTSDKKFCSPHQSLLSIRGSLFSPRRNSKTSIFSFRGRAKDVGSENDFADDEHSTFEDSESRRDSLFVPHRHGERRNSNVSQASMSSRMVPGLPANGKMHSTVDCNGVVSLVGGPSALTSPTGQLPPEGTTTETEVRKRRLSSYQISMEMLEDSSGRQRAVSIASILTNTMEELEESRQKCPPCWYRFANVFLIWDCCDAWLKVKHLVNLIVMDPFVDLAITICIVLNTLFMAMEHYPMTEQFSSVLTVGNLVFTGIFTAEMVLKIIAMDPYYYFQEGWNIFDGIIVSLSLMELGLSNVEGLSVLRSFRLLRVFKLAKSWPTLNMLIKIIGNSVGALGNLTLVLAIIVFIFAVVGMQLFGKSYKECVCKINDDCTLPRWHMNDFFHSFLIVFRVLCGEWIETMWDCMEVAGQTMCLIVFMLVMVIGNLVVLNLFLALLLSSFSSDNLAATDDDNEMNNLQIAVGRMQKGIDYVKNKMRECFQKAFFRKPKVIEIHEGNKIDSCMSNNTGIEISKELNYLRDGNGTTSGVGTGSSVEKYVIDENDYMSFINNPSLTVTVPIAVGESDFENLNTEEFSSESELEESKEKLNATSSSEGSTVDVVLPREGEQAETEPEEDLKPEACFTEGCIKKFPFCQVSTEEGKGKIWWNLRKTCYSIVEHNWFETFIVFMILLSSGALAFEDIYIEQRKTIKTMLEYADKVFTYIFILEMLLKWVAYGFQTYFTNAWCWLDFLIVDVSLVSLVANALGYSELGAIKSLRTLRALRPLRALSRFEGMRVVVNALVGAIPSIMNVLLVCLIFWLIFSIMGVNLFAGKFYHCVNMTTGNMFDISDVNNLSDCQALGKQARWKNVKVNFDNVGAGYLALLQVATFKGWMDIMYAAVDSRDVKLQPVYEENLYMYLYFVIFIIFGSFFTLNLFIGVIIDNFNQQKKKFGGQDIFMTEEQKKYYNAMKKLGSKKPQKPIPRPANKFQGMVFDFVTRQVFDISIMILICLNMVTMMVETDDQGKYMTLVLSRINLVFIVLFTGEFVLKLVSLRHYYFTIGWNIFDFVVVILSIVGMFLAEMIEKYFVSPTLFRVIRLARIGRILRLIKGAKGIRTLLFALMMSLPALFNIGLLLFLVMFIYAIFGMSNFAYVKKEAGIDDMFNFETFGNSMICLFQITTSAGWDGLLAPILNSAPPDCDPDTIHPGSSVKGDCGNPSVGIFFFVSYIIISFLVVVNMYIAVILENFSVATEESAEPLSEDDFEMFYEVWEKFDPDATQFIEFSKLSDFAAALDPPLLIAKPNKVQLIAMDLPMVSGDRIHCLDILFAFTKRVLGESGEMDALRIQMEDRFMASNPSKVSYEPITTTLKRKQEEVSAAIIQRNFRCYLLKQRLKNISSNYNKEAIKGRIDLPIKQDMIIDKLNGNSTPEKTDGSSSTTSPPSYDSVTKPDKEKFEKDKPEKESKGKEVRENQK
+>DECOY_sp|Q9NY46|SCN3A_HUMAN Sodium channel protein type 3 subunit alpha OS=Homo sapiens OX=9606 GN=SCN3A PE=1 SV=2
+KQNERVEKGKSEKEPKDKEFKEKDPKTVSDYSPPSTTSSSGDTKEPTSNGNLKDIIMDQKIPLDIRGKIAEKNYNSSINKLRQKLLYCRFNRQIIAASVEEQKRKLTTTIPEYSVKSPNSAMFRDEMQIRLADMEGSEGLVRKTFAFLIDLCHIRDGSVMPLDMAILQVKNPKAILLPPDLAAAFDSLKSFEIFQTADPDFKEWVEYFMEFDDESLPEASEETAVSFNELIVAIYMNVVVLFSIIIYSVFFFIGVSPNGCDGKVSSGPHITDPDCDPPASNLIPALLGDWGASTTIQFLCIMSNGFTEFNFMDDIGAEKKVYAFNSMGFIAYIFMVLFLLLGINFLAPLSMMLAFLLTRIGKAGKILRLIRGIRALRIVRFLTPSVFYKEIMEALFMGVISLIVVVFDFINWGITFYYHRLSVLKLVFEGTFLVIFVLNIRSLVLTMYKGQDDTEVMMTVMNLCILIMISIDFVQRTVFDFVMGQFKNAPRPIPKQPKKSGLKKMANYYKKQEETMFIDQGGFKKKQQNFNDIIVGIFLNLTFFSGFIIFIVFYLYMYLNEEYVPQLKVDRSDVAAYMIDMWGKFTAVQLLALYGAGVNDFNVKVNKWRAQKGLAQCDSLNNVDSIDFMNGTTMNVCHYFKGAFLNVGMISFILWFILCVLLVNMISPIAGVLANVVVRMGEFRSLARLPRLARLTRLSKIAGLESYGLANAVLSVLSVDVILFDLWCWANTFYTQFGYAVWKLLMELIFIYTFVKDAYELMTKITKRQEIYIDEFALAGSSLLIMFVIFTEFWNHEVISYCTKRLNWWIKGKGEETSVQCFPFKKICGETFCAEPKLDEEPETEAQEGERPLVVDVTSGESSSTANLKEKSEELESESSFEETNLNEFDSEGVAIPVTVTLSPNNIFSMYDNEDIVYKEVSSGTGVGSTTGNGDRLYNLEKSIEIGTNNSMCSDIKNGEHIEIVKPKRFFAKQFCERMKNKVYDIGKQMRGVAIQLNNMENDDDTAALNDSSFSSLLLALFLNLVVLNGIVMVLMFVILCMTQGAVEMCDWMTEIWEGCLVRFVILFSHFFDNMHWRPLTCDDNIKCVCEKYSKGFLQMGVVAFIFVIIALVLTLNGLAGVSNGIIKILMNLTPWSKALKFVRLLRFSRLVSLGEVNSLGLEMLSLSVIIGDFINWGEQFYYYPDMAIIKLVMEATFIGTFVLNGVTLVSSFQETMPYHEMAMFLTNLVICITIALDVFPDMVILNVLHKVKLWADCCDWILFVNAFRYWCPPCKQRSEELEEMTNTLISAISVARQRGSSDELMEMSIQYSSLRRKRVETETTTGEPPLQGTPSTLASPGGVLSVVGNCDVTSHMKGNAPLGPVMRSSMSAQSVNSNRREGHRHPVFLSDRRSESDEFTSHEDDAFDNESGVDKARGRFSFISTKSNRRPSFLSGRISLLSQHPSCFKKDSTLRNGDMSFLFSSRKVSDESESKPFSDREGKNNGELHERQRRKKRRNRWEKASKSSLKSAESSSELLEGLGGIGSFDRSAASAAAVAQAEEQQKKLQELMQQFEAEKQEAEELTAQNQEEYAMAVVALILNVLYFSGLFIVLVFFIMYTKGAARLTLQYLNEWYDQTMLRFLSLFAWSFTDFSTYGYNPNRGAKVCIYGEPCQGADSGNGCLLPDKQGDLVYFHSDDGIYDKWNFTSMTVNVFTGNSDMTGNFYSTTNTEFASDSPPWQLCKNRLNGMFLQLGILAFVSLCFVTLIMVDSLKKVSQILAGVITKLGPIVSITKLARLVRFTRLASVNGLSVFETVYAMVIVSFDLWNWPDRLFTFDELCFGRALIKILSEFTYIGTFTYEVNKTWDPPNSLTMFVCNTLITCMILMSFLSHVLIKIAIKRVPNLPTLIYLASTASFRFIAKGKNMVIFTKKNIYYPDLDELPESVMEPPIDGYIFPLNKGAELDSNPKPKNEDDNDQEKKPKKAKEEAARKEIAALSERTFLRFSEPGPPVLLAQAM
+>sp|Q14524|SCN5A_HUMAN Sodium channel protein type 5 subunit alpha OS=Homo sapiens OX=9606 GN=SCN5A PE=1 SV=2
+MANFLLPRGTSSFRRFTRESLAAIEKRMAEKQARGSTTLQESREGLPEEEAPRPQLDLQASKKLPDLYGNPPQELIGEPLEDLDPFYSTQKTFIVLNKGKTIFRFSATNALYVLSPFHPIRRAAVKILVHSLFNMLIMCTILTNCVFMAQHDPPPWTKYVEYTFTAIYTFESLVKILARGFCLHAFTFLRDPWNWLDFSVIIMAYTTEFVDLGNVSALRTFRVLRALKTISVISGLKTIVGALIQSVKKLADVMVLTVFCLSVFALIGLQLFMGNLRHKCVRNFTALNGTNGSVEADGLVWESLDLYLSDPENYLLKNGTSDVLLCGNSSDAGTCPEGYRCLKAGENPDHGYTSFDSFAWAFLALFRLMTQDCWERLYQQTLRSAGKIYMIFFMLVIFLGSFYLVNLILAVVAMAYEEQNQATIAETEEKEKRFQEAMEMLKKEHEALTIRGVDTVSRSSLEMSPLAPVNSHERRSKRRKRMSSGTEECGEDRLPKSDSEDGPRAMNHLSLTRGLSRTSMKPRSSRGSIFTFRRRDLGSEADFADDENSTAGESESHHTSLLVPWPLRRTSAQGQPSPGTSAPGHALHGKKNSTVDCNGVVSLLGAGDPEATSPGSHLLRPVMLEHPPDTTTPSEEPGGPQMLTSQAPCVDGFEEPGARQRALSAVSVLTSALEELEESRHKCPPCWNRLAQRYLIWECCPLWMSIKQGVKLVVMDPFTDLTITMCIVLNTLFMALEHYNMTSEFEEMLQVGNLVFTGIFTAEMTFKIIALDPYYYFQQGWNIFDSIIVILSLMELGLSRMSNLSVLRSFRLLRVFKLAKSWPTLNTLIKIIGNSVGALGNLTLVLAIIVFIFAVVGMQLFGKNYSELRDSDSGLLPRWHMMDFFHAFLIIFRILCGEWIETMWDCMEVSGQSLCLLVFLLVMVIGNLVVLNLFLALLLSSFSADNLTAPDEDREMNNLQLALARIQRGLRFVKRTTWDFCCGLLRQRPQKPAALAAQGQLPSCIATPYSPPPPETEKVPPTRKETRFEEGEQPGQGTPGDPEPVCVPIAVAESDTDDQEEDEENSLGTEEESSKQQESQPVSGGPEAPPDSRTWSQVSATASSEAEASASQADWRQQWKAEPQAPGCGETPEDSCSEGSTADMTNTAELLEQIPDLGQDVKDPEDCFTEGCVRRCPCCAVDTTQAPGKVWWRLRKTCYHIVEHSWFETFIIFMILLSSGALAFEDIYLEERKTIKVLLEYADKMFTYVFVLEMLLKWVAYGFKKYFTNAWCWLDFLIVDVSLVSLVANTLGFAEMGPIKSLRTLRALRPLRALSRFEGMRVVVNALVGAIPSIMNVLLVCLIFWLIFSIMGVNLFAGKFGRCINQTEGDLPLNYTIVNNKSQCESLNLTGELYWTKVKVNFDNVGAGYLALLQVATFKGWMDIMYAAVDSRGYEEQPQWEYNLYMYIYFVIFIIFGSFFTLNLFIGVIIDNFNQQKKKLGGQDIFMTEEQKKYYNAMKKLGSKKPQKPIPRPLNKYQGFIFDIVTKQAFDVTIMFLICLNMVTMMVETDDQSPEKINILAKINLLFVAIFTGECIVKLAALRHYYFTNSWNIFDFVVVILSIVGTVLSDIIQKYFFSPTLFRVIRLARIGRILRLIRGAKGIRTLLFALMMSLPALFNIGLLLFLVMFIYSIFGMANFAYVKWEAGIDDMFNFQTFANSMLCLFQITTSAGWDGLLSPILNTGPPYCDPTLPNSNGSRGDCGSPAVGILFFTTYIIISFLIVVNMYIAIILENFSVATEESTEPLSEDDFDMFYEIWEKFDPEATQFIEYSVLSDFADALSEPLRIAKPNQISLINMDLPMVSGDRIHCMDILFAFTKRVLGESGEMDALKIQMEEKFMAANPSKISYEPITTTLRRKHEEVSAMVIQRAFRRHLLQRSLKHASFLFRQQAGSGLSEEDAPEREGLIAYVMSENFSRPLGPPSSSSISSTSFPPSYDSVTRATSDNLQVRGSDYSHSEDLADFPPSPDRDRESIV
+>DECOY_sp|Q14524|SCN5A_HUMAN Sodium channel protein type 5 subunit alpha OS=Homo sapiens OX=9606 GN=SCN5A PE=1 SV=2
+VISERDRDPSPPFDALDESHSYDSGRVQLNDSTARTVSDYSPPFSTSSISSSSPPGLPRSFNESMVYAILGEREPADEESLGSGAQQRFLFSAHKLSRQLLHRRFARQIVMASVEEHKRRLTTTIPEYSIKSPNAAMFKEEMQIKLADMEGSEGLVRKTFAFLIDMCHIRDGSVMPLDMNILSIQNPKAIRLPESLADAFDSLVSYEIFQTAEPDFKEWIEYFMDFDDESLPETSEETAVSFNELIIAIYMNVVILFSIIIYTTFFLIGVAPSGCDGRSGNSNPLTPDCYPPGTNLIPSLLGDWGASTTIQFLCLMSNAFTQFNFMDDIGAEWKVYAFNAMGFISYIFMVLFLLLGINFLAPLSMMLAFLLTRIGKAGRILRLIRGIRALRIVRFLTPSFFYKQIIDSLVTGVISLIVVVFDFINWSNTFYYHRLAALKVICEGTFIAVFLLNIKALINIKEPSQDDTEVMMTVMNLCILFMITVDFAQKTVIDFIFGQYKNLPRPIPKQPKKSGLKKMANYYKKQEETMFIDQGGLKKKQQNFNDIIVGIFLNLTFFSGFIIFIVFYIYMYLNYEWQPQEEYGRSDVAAYMIDMWGKFTAVQLLALYGAGVNDFNVKVKTWYLEGTLNLSECQSKNNVITYNLPLDGETQNICRGFKGAFLNVGMISFILWFILCVLLVNMISPIAGVLANVVVRMGEFRSLARLPRLARLTRLSKIPGMEAFGLTNAVLSVLSVDVILFDLWCWANTFYKKFGYAVWKLLMELVFVYTFMKDAYELLVKITKREELYIDEFALAGSSLLIMFIIFTEFWSHEVIHYCTKRLRWWVKGPAQTTDVACCPCRRVCGETFCDEPDKVDQGLDPIQELLEATNTMDATSGESCSDEPTEGCGPAQPEAKWQQRWDAQSASAEAESSATASVQSWTRSDPPAEPGGSVPQSEQQKSSEEETGLSNEEDEEQDDTDSEAVAIPVCVPEPDGPTGQGPQEGEEFRTEKRTPPVKETEPPPPSYPTAICSPLQGQAALAAPKQPRQRLLGCCFDWTTRKVFRLGRQIRALALQLNNMERDEDPATLNDASFSSLLLALFLNLVVLNGIVMVLLFVLLCLSQGSVEMCDWMTEIWEGCLIRFIILFAHFFDMMHWRPLLGSDSDRLESYNKGFLQMGVVAFIFVIIALVLTLNGLAGVSNGIIKILTNLTPWSKALKFVRLLRFSRLVSLNSMRSLGLEMLSLIVIISDFINWGQQFYYYPDLAIIKFTMEATFIGTFVLNGVQLMEEFESTMNYHELAMFLTNLVICMTITLDTFPDMVVLKVGQKISMWLPCCEWILYRQALRNWCPPCKHRSEELEELASTLVSVASLARQRAGPEEFGDVCPAQSTLMQPGGPEESPTTTDPPHELMVPRLLHSGPSTAEPDGAGLLSVVGNCDVTSNKKGHLAHGPASTGPSPQGQASTRRLPWPVLLSTHHSESEGATSNEDDAFDAESGLDRRRFTFISGRSSRPKMSTRSLGRTLSLHNMARPGDESDSKPLRDEGCEETGSSMRKRRKSRREHSNVPALPSMELSSRSVTDVGRITLAEHEKKLMEMAEQFRKEKEETEAITAQNQEEYAMAVVALILNVLYFSGLFIVLMFFIMYIKGASRLTQQYLREWCDQTMLRFLALFAWAFSDFSTYGHDPNEGAKLCRYGEPCTGADSSNGCLLVDSTGNKLLYNEPDSLYLDLSEWVLGDAEVSGNTGNLATFNRVCKHRLNGMFLQLGILAFVSLCFVTLVMVDALKKVSQILAGVITKLGSIVSITKLARLVRFTRLASVNGLDVFETTYAMIIVSFDLWNWPDRLFTFAHLCFGRALIKVLSEFTYIATFTYEVYKTWPPPDHQAMFVCNTLITCMILMNFLSHVLIKVAARRIPHFPSLVYLANTASFRFITKGKNLVIFTKQTSYFPDLDELPEGILEQPPNGYLDPLKKSAQLDLQPRPAEEEPLGERSEQLTTSGRAQKEAMRKEIAALSERTFRRFSSTGRPLLFNAM
+>sp|P51168|SCNNB_HUMAN Amiloride-sensitive sodium channel subunit beta OS=Homo sapiens OX=9606 GN=SCNN1B PE=1 SV=2
+MHVKKYLLKGLHRLQKGPGYTYKELLVWYCDNTNTHGPKRIICEGPKKKAMWFLLTLLFAALVCWQWGIFIRTYLSWEVSVSLSVGFKTMDFPAVTICNASPFKYSKIKHLLKDLDELMEAVLERILAPELSHANATRNLNFSIWNHTPLVLIDERNPHHPMVLDLFGDNHNGLTSSSASEKICNAHGCKMAMRLCSLNRTQCTFRNFTSATQALTEWYILQATNIFAQVPQQELVEMSYPGEQMILACLFGAEPCNYRNFTSIFYPHYGNCYIFNWGMTEKALPSANPGTEFGLKLILDIGQEDYVPFLASTAGVRLMLHEQRSYPFIRDEGIYAMSGTETSIGVLVDKLQRMGEPYSPCTVNGSEVPVQNFYSDYNTTYSIQACLRSCFQDHMIRNCNCGHYLYPLPRGEKYCNNRDFPDWAHCYSDLQMSVAQRETCIGMCKESCNDTQYKMTISMADWPSEASEDWIFHVLSQERDQSTNITLSRKGIVKLNIYFQEFNYRTIEESAANNIVWLLSNLGGQFGFWMGGSVLCLIEFGEIIIDFVWITIIKLVALAKSLRQRRAQASYAGPPPTVAELVEAHTNFGFQPDTAPRSPNTGPYPSEQALPIPGTPPPNYDSLRLQPLDVIESDSEGDAI
+>DECOY_sp|P51168|SCNNB_HUMAN Amiloride-sensitive sodium channel subunit beta OS=Homo sapiens OX=9606 GN=SCNN1B PE=1 SV=2
+IADGESDSEIVDLPQLRLSDYNPPPTGPIPLAQESPYPGTNPSRPATDPQFGFNTHAEVLEAVTPPPGAYSAQARRQRLSKALAVLKIITIWVFDIIIEGFEILCLVSGGMWFGFQGGLNSLLWVINNAASEEITRYNFEQFYINLKVIGKRSLTINTSQDREQSLVHFIWDESAESPWDAMSITMKYQTDNCSEKCMGICTERQAVSMQLDSYCHAWDPFDRNNCYKEGRPLPYLYHGCNCNRIMHDQFCSRLCAQISYTTNYDSYFNQVPVESGNVTCPSYPEGMRQLKDVLVGISTETGSMAYIGEDRIFPYSRQEHLMLRVGATSALFPVYDEQGIDLILKLGFETGPNASPLAKETMGWNFIYCNGYHPYFISTFNRYNCPEAGFLCALIMQEGPYSMEVLEQQPVQAFINTAQLIYWETLAQTASTFNRFTCQTRNLSCLRMAMKCGHANCIKESASSSTLGNHNDGFLDLVMPHHPNREDILVLPTHNWISFNLNRTANAHSLEPALIRELVAEMLEDLDKLLHKIKSYKFPSANCITVAPFDMTKFGVSLSVSVEWSLYTRIFIGWQWCVLAAFLLTLLFWMAKKKPGECIIRKPGHTNTNDCYWVLLEKYTYGPGKQLRHLGKLLYKKVHM
+>sp|P51170|SCNNG_HUMAN Amiloride-sensitive sodium channel subunit gamma OS=Homo sapiens OX=9606 GN=SCNN1G PE=1 SV=4
+MAPGEKIKAKIKKNLPVTGPQAPTIKELMRWYCLNTNTHGCRRIVVSRGRLRRLLWIGFTLTAVALILWQCALLVFSFYTVSVSIKVHFRKLDFPAVTICNINPYKYSTVRHLLADLEQETREALKSLYGFPESRKRREAESWNSVSEGKQPRFSHRIPLLIFDQDEKGKARDFFTGRKRKVGGSIIHKASNVMHIESKQVVGFQLCSNDTSDCATYTFSSGINAIQEWYKLHYMNIMAQVPLEKKINMSYSAEELLVTCFFDGVSCDARNFTLFHHPMHGNCYTFNNRENETILSTSMGGSEYGLQVILYINEEEYNPFLVSSTGAKVIIHRQDEYPFVEDVGTEIETAMVTSIGMHLTESFKLSEPYSQCTEDGSDVPIRNIYNAAYSLQICLHSCFQTKMVEKCGCAQYSQPLPPAANYCNYQQHPNWMYCYYQLHRAFVQEELGCQSVCKEACSFKEWTLTTSLAQWPSVVSEKWLLPVLTWDQGRQVNKKLNKTDLAKLLIFYKDLNQRSIMESPANSIEMLLSNFGGQLGLWMSCSVVCVIEIIEVFFIDFFSIIARRQWQKAKEWWAWKQAPPCPEAPRSPQGQDNPALDIDDDLPTFNSALHLPPALGTQVPGTPPPKYNTLRLERAFSNQLTDTQMLDEL
+>DECOY_sp|P51170|SCNNG_HUMAN Amiloride-sensitive sodium channel subunit gamma OS=Homo sapiens OX=9606 GN=SCNN1G PE=1 SV=4
+LEDLMQTDTLQNSFARELRLTNYKPPPTGPVQTGLAPPLHLASNFTPLDDDIDLAPNDQGQPSRPAEPCPPAQKWAWWEKAKQWQRRAIISFFDIFFVEIIEIVCVVSCSMWLGLQGGFNSLLMEISNAPSEMISRQNLDKYFILLKALDTKNLKKNVQRGQDWTLVPLLWKESVVSPWQALSTTLTWEKFSCAEKCVSQCGLEEQVFARHLQYYCYMWNPHQQYNCYNAAPPLPQSYQACGCKEVMKTQFCSHLCIQLSYAANYINRIPVDSGDETCQSYPESLKFSETLHMGISTVMATEIETGVDEVFPYEDQRHIIVKAGTSSVLFPNYEEENIYLIVQLGYESGGMSTSLITENERNNFTYCNGHMPHHFLTFNRADCSVGDFFCTVLLEEASYSMNIKKELPVQAMINMYHLKYWEQIANIGSSFTYTACDSTDNSCLQFGVVQKSEIHMVNSAKHIISGGVKRKRGTFFDRAKGKEDQDFILLPIRHSFRPQKGESVSNWSEAERRKRSEPFGYLSKLAERTEQELDALLHRVTSYKYPNINCITVAPFDLKRFHVKISVSVTYFSFVLLACQWLILAVATLTFGIWLLRRLRGRSVVIRRCGHTNTNLCYWRMLEKITPAQPGTVPLNKKIKAKIKEGPAM
+>sp|O43819|SCO2_HUMAN Protein SCO2 homolog, mitochondrial OS=Homo sapiens OX=9606 GN=SCO2 PE=1 SV=3
+MLLLTRSPTAWHRLSQLKPRVLPGTLGGQALHLRSWLLSRQGPAETGGQGQPQGPGLRTRLLITGLFGAGLGGAWLALRAEKERLQQQKRTEALRQAAVGQGDFHLLDHRGRARCKADFRGQWVLMYFGFTHCPDICPDELEKLVQVVRQLEAEPGLPPVQPVFITVDPERDDVEAMARYVQDFHPRLLGLTGSTKQVAQASHSYRVYYNAGPKDEDQDYIVDHSIAIYLLNPDGLFTDYYGRSRSAEQISDSVRRHMAAFRSVLS
+>DECOY_sp|O43819|SCO2_HUMAN Protein SCO2 homolog, mitochondrial OS=Homo sapiens OX=9606 GN=SCO2 PE=1 SV=3
+SLVSRFAAMHRRVSDSIQEASRSRGYYDTFLGDPNLLYIAISHDVIYDQDEDKPGANYYVRYSHSAQAVQKTSGTLGLLRPHFDQVYRAMAEVDDREPDVTIFVPQVPPLGPEAELQRVVQVLKELEDPCIDPCHTFGFYMLVWQGRFDAKCRARGRHDLLHFDGQGVAAQRLAETRKQQQLREKEARLALWAGGLGAGFLGTILLRTRLGPGQPQGQGGTEAPGQRSLLWSRLHLAQGGLTGPLVRPKLQSLRHWATPSRTLLLM
+>sp|Q8WTV0|SCRB1_HUMAN Scavenger receptor class B member 1 OS=Homo sapiens OX=9606 GN=SCARB1 PE=1 SV=1
+MGCSAKARWAAGALGVAGLLCAVLGAVMIVMVPSLIKQQVLKNVRIDPSSLSFNMWKEIPIPFYLSVYFFDVMNPSEILKGEKPQVRERGPYVYREFRHKSNITFNNNDTVSFLEYRTFQFQPSKSHGSESDYIVMPNILVLGAAVMMENKPMTLKLIMTLAFTTLGERAFMNRTVGEIMWGYKDPLVNLINKYFPGMFPFKDKFGLFAELNNSDSGLFTVFTGVQNISRIHLVDKWNGLSKVDFWHSDQCNMINGTSGQMWPPFMTPESSLEFYSPEACRSMKLMYKESGVFEGIPTYRFVAPKTLFANGSIYPPNEGFCPCLESGIQNVSTCRFSAPLFLSHPHFLNADPVLAEAVTGLHPNQEAHSLFLDIHPVTGIPMNCSVKLQLSLYMKSVAGIGQTGKIEPVVLPLLWFAESGAMEGETLHTFYTQLVLMPKVMHYAQYVLLALGCVLLLVPVICQIRSQVGAGQRAARADSHSLACWGKGASDRTLWPTAAWSPPPAAVLRLCRSGSGHCWGLRSTLASFACRVATTLPVLEGLGPSLGGGTGS
+>DECOY_sp|Q8WTV0|SCRB1_HUMAN Scavenger receptor class B member 1 OS=Homo sapiens OX=9606 GN=SCARB1 PE=1 SV=1
+SGTGGGLSPGLGELVPLTTAVRCAFSALTSRLGWCHGSGSRCLRLVAAPPPSWAATPWLTRDSAGKGWCALSHSDARAARQGAGVQSRIQCIVPVLLLVCGLALLVYQAYHMVKPMLVLQTYFTHLTEGEMAGSEAFWLLPLVVPEIKGTQGIGAVSKMYLSLQLKVSCNMPIGTVPHIDLFLSHAEQNPHLGTVAEALVPDANLFHPHSLFLPASFRCTSVNQIGSELCPCFGENPPYISGNAFLTKPAVFRYTPIGEFVGSEKYMLKMSRCAEPSYFELSSEPTMFPPWMQGSTGNIMNCQDSHWFDVKSLGNWKDVLHIRSINQVGTFVTFLGSDSNNLEAFLGFKDKFPFMGPFYKNILNVLPDKYGWMIEGVTRNMFAREGLTTFALTMILKLTMPKNEMMVAAGLVLINPMVIYDSESGHSKSPQFQFTRYELFSVTDNNNFTINSKHRFERYVYPGRERVQPKEGKLIESPNMVDFFYVSLYFPIPIEKWMNFSLSSPDIRVNKLVQQKILSPVMVIMVAGLVACLLGAVGLAGAAWRAKASCGM
+>sp|Q96FV2|SCRN2_HUMAN Secernin-2 OS=Homo sapiens OX=9606 GN=SCRN2 PE=1 SV=3
+MASSSPDSPCSCDCFVSVPPASAIPAVIFAKNSDRPRDEVQEVVFVPAGTHTPGSRLQCTYIEVEQVSKTHAVILSRPSWLWGAEMGANEHGVCIGNEAVWTKEPVGEGEALLGMDLLRLALERSSSAQEALHVITGLLEHYGQGGNCLEDAAPFSYHSTFLLADRTEAWVLETAGRLWAAQRIQEGARNISNQLSIGTDISAQHPELRTHAQAKGWWDGQGAFDFAQIFSLTQQPVRMEAAKARFQAGRELLRQRQGGITAEVMMGILRDKESGICMDSGGFRTTASMVSVLPQDPTQPCVHFLTATPDPSRSVFKPFIFGMGVAQAPQVLSPTFGAQDPVRTLPRFQTQVDRRHTLYRGHQAALGLMERDQDRGQQLQQKQQDLEQEGLEATQGLLAGEWAPPLWELGSLFQAFVKRESQAYA
+>DECOY_sp|Q96FV2|SCRN2_HUMAN Secernin-2 OS=Homo sapiens OX=9606 GN=SCRN2 PE=1 SV=3
+AYAQSERKVFAQFLSGLEWLPPAWEGALLGQTAELGEQELDQQKQQLQQGRDQDREMLGLAAQHGRYLTHRRDVQTQFRPLTRVPDQAGFTPSLVQPAQAVGMGFIFPKFVSRSPDPTATLFHVCPQTPDQPLVSVMSATTRFGGSDMCIGSEKDRLIGMMVEATIGGQRQRLLERGAQFRAKAAEMRVPQQTLSFIQAFDFAGQGDWWGKAQAHTRLEPHQASIDTGISLQNSINRAGEQIRQAAWLRGATELVWAETRDALLFTSHYSFPAADELCNGGQGYHELLGTIVHLAEQASSSRELALRLLDMGLLAEGEGVPEKTWVAENGICVGHENAGMEAGWLWSPRSLIVAHTKSVQEVEIYTCQLRSGPTHTGAPVFVVEQVEDRPRDSNKAFIVAPIASAPPVSVFCDCSCPSDPSSSAM
+>sp|P47872|SCTR_HUMAN Secretin receptor OS=Homo sapiens OX=9606 GN=SCTR PE=2 SV=2
+MRPHLSPPLQQLLLPVLLACAAHSTGALPRLCDVLQVLWEEQDQCLQELSREQTGDLGTEQPVPGCEGMWDNISCWPSSVPGRMVEVECPRFLRMLTSRNGSLFRNCTQDGWSETFPRPNLACGVNVNDSSNEKRHSYLLKLKVMYTVGYSSSLVMLLVALGILCAFRRLHCTRNYIHMHLFVSFILRALSNFIKDAVLFSSDDVTYCDAHRAGCKLVMVLFQYCIMANYSWLLVEGLYLHTLLAISFFSERKYLQGFVAFGWGSPAIFVALWAIARHFLEDVGCWDINANASIWWIIRGPVILSILINFILFINILRILMRKLRTQETRGNEVSHYKRLARSTLLLIPLFGIHYIVFAFSPEDAMEIQLFFELALGSFQGLVVAVLYCFLNGEVQLEVQKKWQQWHLREFPLHPVASFSNSTKASHLEQSQGTCRTSII
+>DECOY_sp|P47872|SCTR_HUMAN Secretin receptor OS=Homo sapiens OX=9606 GN=SCTR PE=2 SV=2
+IISTRCTGQSQELHSAKTSNSFSAVPHLPFERLHWQQWKKQVELQVEGNLFCYLVAVVLGQFSGLALEFFLQIEMADEPSFAFVIYHIGFLPILLLTSRALRKYHSVENGRTEQTRLKRMLIRLINIFLIFNILISLIVPGRIIWWISANANIDWCGVDELFHRAIAWLAVFIAPSGWGFAVFGQLYKRESFFSIALLTHLYLGEVLLWSYNAMICYQFLVMVLKCGARHADCYTVDDSSFLVADKIFNSLARLIFSVFLHMHIYNRTCHLRRFACLIGLAVLLMVLSSSYGVTYMVKLKLLYSHRKENSSDNVNVGCALNPRPFTESWGDQTCNRFLSGNRSTLMRLFRPCEVEVMRGPVSSPWCSINDWMGECGPVPQETGLDGTQERSLEQLCQDQEEWLVQLVDCLRPLAGTSHAACALLVPLLLQQLPPSLHPRM
+>sp|Q8IX30|SCUB3_HUMAN Signal peptide, CUB and EGF-like domain-containing protein 3 OS=Homo sapiens OX=9606 GN=SCUBE3 PE=1 SV=1
+MGSGRVPGLCLLVLLVHARAAQYSKAAQDVDECVEGTDNCHIDAICQNTPRSYKCICKSGYTGDGKHCKDVDECEREDNAGCVHDCVNIPGNYRCTCYDGFHLAHDGHNCLDVDECAEGNGGCQQSCVNMMGSYECHCREGFFLSDNQHTCIQRPEEGMNCMNKNHGCAHICRETPKGGIACECRPGFELTKNQRDCKLTCNYGNGGCQHTCDDTEQGPRCGCHIKFVLHTDGKTCIETCAVNNGGCDSKCHDAATGVHCTCPVGFMLQPDRKTCKDIDECRLNNGGCDHICRNTVGSFECSCKKGYKLLINERNCQDIDECSFDRTCDHICVNTPGSFQCLCHRGYLLYGITHCGDVDECSINRGGCRFGCINTPGSYQCTCPAGQGRLHWNGKDCTEPLKCQGSPGASKAMLSCNRSGKKDTCALTCPSRARFLPESENGFTVSCGTPSPRAAPARAGHNGNSTNSNHCHEAAVLSIKQRASFKIKDAKCRLHLRNKGKTEEAGRITGPGGAPCSECQVTFIHLKCDSSRKGKGRRARTPPGKEVTRLTLELEAEVRAEETTASCGLPCLRQRMERRLKGSLKMLRKSINQDRFLLRLAGLDYELAHKPGLVAGERAEPMESCRPGQHRAGTKCVSCPQGTYYHGQTEQCVPCPAGTFQEREGQLSCDLCPGSDAHGPLGATNVTTCAGQCPPGQHSVDGFKPCQPCPRGTYQPEAGRTLCFPCGGGLTTKHEGAISFQDCDTKVQCSPGHYYNTSIHRCIRCAMGSYQPDFRQNFCSRCPGNTSTDFDGSTSVAQCKNRQCGGELGEFTGYIESPNYPGNYPAGVECIWNINPPPKRKILIVVPEIFLPSEDECGDVLVMRKNSSPSSITTYETCQTYERPIAFTARSRKLWINFKTSEANSARGFQIPYVTYDEDYEQLVEDIVRDGRLYASENHQEILKDKKLIKAFFEVLAHPQNYFKYTEKHKEMLPKSFIKLLRSKVSSFLRPYK
+>DECOY_sp|Q8IX30|SCUB3_HUMAN Signal peptide, CUB and EGF-like domain-containing protein 3 OS=Homo sapiens OX=9606 GN=SCUBE3 PE=1 SV=1
+KYPRLFSSVKSRLLKIFSKPLMEKHKETYKFYNQPHALVEFFAKILKKDKLIEQHNESAYLRGDRVIDEVLQEYDEDYTVYPIQFGRASNAESTKFNIWLKRSRATFAIPREYTQCTEYTTISSPSSNKRMVLVDGCEDESPLFIEPVVILIKRKPPPNINWICEVGAPYNGPYNPSEIYGTFEGLEGGCQRNKCQAVSTSGDFDTSTNGPCRSCFNQRFDPQYSGMACRICRHISTNYYHGPSCQVKTDCDQFSIAGEHKTTLGGGCPFCLTRGAEPQYTGRPCPQCPKFGDVSHQGPPCQGACTTVNTAGLPGHADSGPCLDCSLQGEREQFTGAPCPVCQETQGHYYTGQPCSVCKTGARHQGPRCSEMPEAREGAVLGPKHALEYDLGALRLLFRDQNISKRLMKLSGKLRREMRQRLCPLGCSATTEEARVEAELELTLRTVEKGPPTRARRGKGKRSSDCKLHIFTVQCESCPAGGPGTIRGAEETKGKNRLHLRCKADKIKFSARQKISLVAAEHCHNSNTSNGNHGARAPAARPSPTGCSVTFGNESEPLFRARSPCTLACTDKKGSRNCSLMAKSAGPSGQCKLPETCDKGNWHLRGQGAPCTCQYSGPTNICGFRCGGRNISCEDVDGCHTIGYLLYGRHCLCQFSGPTNVCIHDCTRDFSCEDIDQCNRENILLKYGKKCSCEFSGVTNRCIHDCGGNNLRCEDIDKCTKRDPQLMFGVPCTCHVGTAADHCKSDCGGNNVACTEICTKGDTHLVFKIHCGCRPGQETDDCTHQCGGNGYNCTLKCDRQNKTLEFGPRCECAIGGKPTERCIHACGHNKNMCNMGEEPRQICTHQNDSLFFGERCHCEYSGMMNVCSQQCGGNGEACEDVDLCNHGDHALHFGDYCTCRYNGPINVCDHVCGANDERECEDVDKCHKGDGTYGSKCICKYSRPTNQCIADIHCNDTGEVCEDVDQAAKSYQAARAHVLLVLLCLGPVRGSGM
+>sp|Q96KG9|SCYL1_HUMAN N-terminal kinase-like protein OS=Homo sapiens OX=9606 GN=SCYL1 PE=1 SV=1
+MWFFARDPVRDFPFELIPEPPEGGLPGPWALHRGRKKATGSPVSIFVYDVKPGAEEQTQVAKAAFKRFKTLRHPNILAYIDGLETEKCLHVVTEAVTPLGIYLKARVEAGGLKELEISWGLHQIVKALSFLVNDCSLIHNNVCMAAVFVDRAGEWKLGGLDYMYSAQGNGGGPPRKGIPELEQYDPPELADSSGRVVREKWSADMWRLGCLIWEVFNGPLPRAAALRNPGKIPKTLVPHYCELVGANPKVRPNPARFLQNCRAPGGFMSNRFVETNLFLEEIQIKEPAEKQKFFQELSKSLDAFPEDFCRHKVLPQLLTAFEFGNAGAVVLTPLFKVGKFLSAEEYQQKIIPVVVKMFSSTDRAMRIRLLQQMEQFIQYLDEPTVNTQIFPHVVHGFLDTNPAIREQTVKSMLLLAPKLNEANLNVELMKHFARLQAKDEQGPIRCNTTVCLGKIGSYLSASTRHRVLTSAFSRATRDPFAPSRVAGVLGFAATHNLYSMNDCAQKILPVLCGLTVDPEKSVRDQAFKAIRSFLSKLESVSEDPTQLEEVEKDVHAASSPGMGGAAASWAGWAVTGVSSLTSKLIRSHPTTAPTETNIPQRPTPEGVPAPAPTPVPATPTTSGHWETQEEDKDTAEDSSTADRWDDEDWGSLEQEAESVLAQQDDWSTGGQVSRASQVSNSDHKSSKSPESDWSSWEAEGSWEQGWQEPSSQEPPPDGTRLASEYNWGGPESSDKGDPFATLSARPSTQPRPDSWGEDNWEGLETDSRQVKAELARKKREERRREMEAKRAERKVAKGPMKLGARKLD
+>DECOY_sp|Q96KG9|SCYL1_HUMAN N-terminal kinase-like protein OS=Homo sapiens OX=9606 GN=SCYL1 PE=1 SV=1
+DLKRAGLKMPGKAVKREARKAEMERRREERKKRALEAKVQRSDTELGEWNDEGWSDPRPQTSPRASLTAFPDGKDSSEPGGWNYESALRTGDPPPEQSSPEQWGQEWSGEAEWSSWDSEPSKSSKHDSNSVQSARSVQGGTSWDDQQALVSEAEQELSGWDEDDWRDATSSDEATDKDEEQTEWHGSTTPTAPVPTPAPAPVGEPTPRQPINTETPATTPHSRILKSTLSSVGTVAWGAWSAAAGGMGPSSAAHVDKEVEELQTPDESVSELKSLFSRIAKFAQDRVSKEPDVTLGCLVPLIKQACDNMSYLNHTAAFGLVGAVRSPAFPDRTARSFASTLVRHRTSASLYSGIKGLCVTTNCRIPGQEDKAQLRAFHKMLEVNLNAENLKPALLLMSKVTQERIAPNTDLFGHVVHPFIQTNVTPEDLYQIFQEMQQLLRIRMARDTSSFMKVVVPIIKQQYEEASLFKGVKFLPTLVVAGANGFEFATLLQPLVKHRCFDEPFADLSKSLEQFFKQKEAPEKIQIEELFLNTEVFRNSMFGGPARCNQLFRAPNPRVKPNAGVLECYHPVLTKPIKGPNRLAAARPLPGNFVEWILCGLRWMDASWKERVVRGSSDALEPPDYQELEPIGKRPPGGGNGQASYMYDLGGLKWEGARDVFVAAMCVNNHILSCDNVLFSLAKVIQHLGWSIELEKLGGAEVRAKLYIGLPTVAETVVHLCKETELGDIYALINPHRLTKFRKFAAKAVQTQEEAGPKVDYVFISVPSGTAKKRGRHLAWPGPLGGEPPEPILEFPFDRVPDRAFFWM
+>sp|Q9Y2R9|RT07_HUMAN 28S ribosomal protein S7, mitochondrial OS=Homo sapiens OX=9606 GN=MRPS7 PE=1 SV=2
+MAAPAVKVARGWSGLALGVRRAVLQLPGLTQVRWSRYSPEFKDPLIDKEYYRKPVEELTEEEKYVRELKKTQLIKAAPAGKTSSVFEDPVISKFTNMMMIGGNKVLARSLMIQTLEAVKRKQFEKYHAASAEEQATIERNPYTIFHQALKNCEPMIGLVPILKGGRFYQVPVPLPDRRRRFLAMKWMITECRDKKHQRTLMPEKLSHKLLEAFHNQGPVIKRKHDLHKMAEANRALAHYRWW
+>DECOY_sp|Q9Y2R9|RT07_HUMAN 28S ribosomal protein S7, mitochondrial OS=Homo sapiens OX=9606 GN=MRPS7 PE=1 SV=2
+WWRYHALARNAEAMKHLDHKRKIVPGQNHFAELLKHSLKEPMLTRQHKKDRCETIMWKMALFRRRRDPLPVPVQYFRGGKLIPVLGIMPECNKLAQHFITYPNREITAQEEASAAHYKEFQKRKVAELTQIMLSRALVKNGGIMMMNTFKSIVPDEFVSSTKGAPAAKILQTKKLERVYKEEETLEEVPKRYYEKDILPDKFEPSYRSWRVQTLGPLQLVARRVGLALGSWGRAVKVAPAAM
+>sp|Q9Y3D5|RT18C_HUMAN 28S ribosomal protein S18c, mitochondrial OS=Homo sapiens OX=9606 GN=MRPS18C PE=1 SV=1
+MAAVVAVCGGLGRKKLTHLVTAAVSLTHPGTHTVLWRRGCSQQVSSNEDLPISMENPYKEPLKKCILCGKHVDYKNVQLLSQFVSPFTGCIYGRHITGLCGKKQKEITKAIKRAQIMGFMPVTYKDPAYLKDPKVCNIRYRE
+>DECOY_sp|Q9Y3D5|RT18C_HUMAN 28S ribosomal protein S18c, mitochondrial OS=Homo sapiens OX=9606 GN=MRPS18C PE=1 SV=1
+ERYRINCVKPDKLYAPDKYTVPMFGMIQARKIAKTIEKQKKGCLGTIHRGYICGTFPSVFQSLLQVNKYDVHKGCLICKKLPEKYPNEMSIPLDENSSVQQSCGRRWLVTHTGPHTLSVAATVLHTLKKRGLGGCVAVVAAM
+>sp|P51398|RT29_HUMAN 28S ribosomal protein S29, mitochondrial OS=Homo sapiens OX=9606 GN=DAP3 PE=1 SV=1
+MMLKGITRLISRIHKLDPGRFLHMGTQARQSIAAHLDNQVPVESPRAISRTNENDPAKHGDQHEGQHYNISPQDLETVFPHGLPPRFVMQVKTFSEACLMVRKPALELLHYLKNTSFAYPAIRYLLYGEKGTGKTLSLCHVIHFCAKQDWLILHIPDAHLWVKNCRDLLQSSYNKQRFDQPLEASTWLKNFKTTNERFLNQIKVQEKYVWNKRESTEKGSPLGEVVEQGITRVRNATDAVGIVLKELKRQSSLGMFHLLVAVDGINALWGRTTLKREDKSPIAPEELALVHNLRKMMKNDWHGGAIVSALSQTGSLFKPRKAYLPQELLGKEGFDALDPFIPILVSNYNPKEFESCIQYYLENNWLQHEKAPTEEGKKELLFLSNANPSLLERHCAYL
+>DECOY_sp|P51398|RT29_HUMAN 28S ribosomal protein S29, mitochondrial OS=Homo sapiens OX=9606 GN=DAP3 PE=1 SV=1
+LYACHRELLSPNANSLFLLEKKGEETPAKEHQLWNNELYYQICSEFEKPNYNSVLIPIFPDLADFGEKGLLEQPLYAKRPKFLSGTQSLASVIAGGHWDNKMMKRLNHVLALEEPAIPSKDERKLTTRGWLANIGDVAVLLHFMGLSSQRKLEKLVIGVADTANRVRTIGQEVVEGLPSGKETSERKNWVYKEQVKIQNLFRENTTKFNKLWTSAELPQDFRQKNYSSQLLDRCNKVWLHADPIHLILWDQKACFHIVHCLSLTKGTGKEGYLLYRIAPYAFSTNKLYHLLELAPKRVMLCAESFTKVQMVFRPPLGHPFVTELDQPSINYHQGEHQDGHKAPDNENTRSIARPSEVPVQNDLHAAISQRAQTGMHLFRGPDLKHIRSILRTIGKLMM
+>sp|Q9Y291|RT33_HUMAN 28S ribosomal protein S33, mitochondrial OS=Homo sapiens OX=9606 GN=MRPS33 PE=1 SV=1
+MSSLSEYAFRMSRLSARLFGEVTRPTNSKSMKVVKLFSELPLAKKKETYDWYPNHHTYAELMQTLRFLGLYRDEHQDFMDEQKRLKKLRGKEKPKKGEGKRAAKRK
+>DECOY_sp|Q9Y291|RT33_HUMAN 28S ribosomal protein S33, mitochondrial OS=Homo sapiens OX=9606 GN=MRPS33 PE=1 SV=1
+KRKAARKGEGKKPKEKGRLKKLRKQEDMFDQHEDRYLGLFRLTQMLEAYTHHNPYWDYTEKKKALPLESFLKVVKMSKSNTPRTVEGFLRASLRSMRFAYESLSSM
+>sp|P82909|RT36_HUMAN 28S ribosomal protein S36, mitochondrial OS=Homo sapiens OX=9606 GN=MRPS36 PE=1 SV=2
+MMGSKMASASRVVQVVKPHTPLIRFPDRRDNPKPNVSEALRSAGLPSHSSVISQHSKGSKSPDLLMYQGPPDTAEIIKTLPQKYRRKLVSQEEMEFIQRGGPE
+>DECOY_sp|P82909|RT36_HUMAN 28S ribosomal protein S36, mitochondrial OS=Homo sapiens OX=9606 GN=MRPS36 PE=1 SV=2
+EPGGRQIFEMEEQSVLKRRYKQPLTKIIEATDPPGQYMLLDPSKSGKSHQSIVSSHSPLGASRLAESVNPKPNDRRDPFRILPTHPKVVQVVRSASAMKSGMM
+>sp|Q9BQC6|RT63_HUMAN Ribosomal protein 63, mitochondrial OS=Homo sapiens OX=9606 GN=MRPL57 PE=1 SV=1
+MFLTALLWRGRIPGRQWIGKHRRPRFVSLRAKQNMIRRLEIEAENHYWLSMPYMTREQERGHAAVRRREAFEAIKAAATSKFPPHRFIADQLDHLNVTKKWS
+>DECOY_sp|Q9BQC6|RT63_HUMAN Ribosomal protein 63, mitochondrial OS=Homo sapiens OX=9606 GN=MRPL57 PE=1 SV=1
+SWKKTVNLHDLQDAIFRHPPFKSTAAAKIAEFAERRRVAAHGREQERTMYPMSLWYHNEAEIELRRIMNQKARLSVFRPRRHKGIWQRGPIRGRWLLATLFM
+>sp|Q9Y3I0|RTCB_HUMAN tRNA-splicing ligase RtcB homolog OS=Homo sapiens OX=9606 GN=RTCB PE=1 SV=1
+MSRSYNDELQFLEKINKNCWRIKKGFVPNMQVEGVFYVNDALEKLMFEELRNACRGGGVGGFLPAMKQIGNVAALPGIVHRSIGLPDVHSGYGFAIGNMAAFDMNDPEAVVSPGGVGFDINCGVRLLRTNLDESDVQPVKEQLAQAMFDHIPVGVGSKGVIPMNAKDLEEALEMGVDWSLREGYAWAEDKEHCEEYGRMLQADPNKVSARAKKRGLPQLGTLGAGNHYAEIQVVDEIFNEYAAKKMGIDHKGQVCVMIHSGSRGLGHQVATDALVAMEKAMKRDKIIVNDRQLACARIASPEGQDYLKGMAAAGNYAWVNRSSMTFLTRQAFAKVFNTTPDDLDLHVIYDVSHNIAKVEQHVVDGKERTLLVHRKGSTRAFPPHHPLIAVDYQLTGQPVLIGGTMGTCSYVLTGTEQGMTETFGTTCHGAGRALSRAKSRRNLDFQDVLDKLADMGIAIRVASPKLVMEEAPESYKNVTDVVNTCHDAGISKKAIKLRPIAVIKG
+>DECOY_sp|Q9Y3I0|RTCB_HUMAN tRNA-splicing ligase RtcB homolog OS=Homo sapiens OX=9606 GN=RTCB PE=1 SV=1
+GKIVAIPRLKIAKKSIGADHCTNVVDTVNKYSEPAEEMVLKPSAVRIAIGMDALKDLVDQFDLNRRSKARSLARGAGHCTTGFTETMGQETGTLVYSCTGMTGGILVPQGTLQYDVAILPHHPPFARTSGKRHVLLTREKGDVVHQEVKAINHSVDYIVHLDLDDPTTNFVKAFAQRTLFTMSSRNVWAYNGAAAMGKLYDQGEPSAIRACALQRDNVIIKDRKMAKEMAVLADTAVQHGLGRSGSHIMVCVQGKHDIGMKKAAYENFIEDVVQIEAYHNGAGLTGLQPLGRKKARASVKNPDAQLMRGYEECHEKDEAWAYGERLSWDVGMELAEELDKANMPIVGKSGVGVPIHDFMAQALQEKVPQVDSEDLNTRLLRVGCNIDFGVGGPSVVAEPDNMDFAAMNGIAFGYGSHVDPLGISRHVIGPLAAVNGIQKMAPLFGGVGGGRCANRLEEFMLKELADNVYFVGEVQMNPVFGKKIRWCNKNIKELFQLEDNYSRSM
+>sp|O95197|RTN3_HUMAN Reticulon-3 OS=Homo sapiens OX=9606 GN=RTN3 PE=1 SV=2
+MAEPSAATQSHSISSSSFGAEPSAPGGGGSPGACPALGTKSCSSSCADSFVSSSSSQPVSLFSTSQEGLSSLCSDEPSSEIMTSSFLSSSEIHNTGLTILHGEKSHVLGSQPILAKEGKDHLDLLDMKKMEKPQGTSNNVSDSSVSLAAGVHCDRPSIPASFPEHPAFLSKKIGQVEEQIDKETKNPNGVSSREAKTALDADDRFTLLTAQKPPTEYSKVEGIYTYSLSPSKVSGDDVIEKDSPESPFEVIIDKAAFDKEFKDSYKESTDDFGSWSVHTDKESSEDISETNDKLFPLRNKEAGRYPMSALLSRQFSHTNAALEEVSRCVNDMHNFTNEILTWDLVPQVKQQTDKSSDCITKTTGLDMSEYNSEIPVVNLKTSTHQKTPVCSIDGSTPITKSTGDWAEASLQQENAITGKPVPDSLNSTKEFSIKGVQGNMQKQDDTLAELPGSPPEKCDSLGSGVATVKVVLPDDHLKDEMDWQSSALGEITEADSSGESDDTVIEDITADTSFENNKIQAEKPVSIPSAVVKTGEREIKEIPSCEREEKTSKNFEELVSDSELHQDQPDILGRSPASEAACSKVPDTNVSLEDVSEVAPEKPITTENPKLPSTVSPNVFNETEFSLNVTTSAYLESLHGKNVKHIDDSSPEDLIAAFTETRDKGIVDSERNAFKAISEKMTDFKTTPPVEVLHENESGGSEIKDIGSKYSEQSKETNGSEPLGVFPTQGTPVASLDLEQEQLTIKALKELGERQVEKSTSAQRDAELPSEEVLKQTFTFAPESWPQRSYDILERNVKNGSDLGISQKPITIRETTRVDAVSSLSKTELVKKHVLARLLTDFSVHDLIFWRDVKKTGFVFGTTLIMLLSLAAFSVISVVSYLILALLSVTISFRIYKSVIQAVQKSEEGHPFKAYLDVDITLSSEAFHNYMNAAMVHINRALKLIIRLFLVEDLVDSLKLAVFMWLMTYVGAVFNGITLLILAELLIFSVPIVYEKYKTQIDHYVGIARDQTKSIVEKIQAKLPGIAKKKAE
+>DECOY_sp|O95197|RTN3_HUMAN Reticulon-3 OS=Homo sapiens OX=9606 GN=RTN3 PE=1 SV=2
+EAKKKAIGPLKAQIKEVISKTQDRAIGVYHDIQTKYKEYVIPVSFILLEALILLTIGNFVAGVYTMLWMFVALKLSDVLDEVLFLRIILKLARNIHVMAANMYNHFAESSLTIDVDLYAKFPHGEESKQVAQIVSKYIRFSITVSLLALILYSVVSIVSFAALSLLMILTTGFVFGTKKVDRWFILDHVSFDTLLRALVHKKVLETKSLSSVADVRTTERITIPKQSIGLDSGNKVNRELIDYSRQPWSEPAFTFTQKLVEESPLEADRQASTSKEVQREGLEKLAKITLQEQELDLSAVPTGQTPFVGLPESGNTEKSQESYKSGIDKIESGGSENEHLVEVPPTTKFDTMKESIAKFANRESDVIGKDRTETFAAILDEPSSDDIHKVNKGHLSELYASTTVNLSFETENFVNPSVTSPLKPNETTIPKEPAVESVDELSVNTDPVKSCAAESAPSRGLIDPQDQHLESDSVLEEFNKSTKEERECSPIEKIEREGTKVVASPISVPKEAQIKNNEFSTDATIDEIVTDDSEGSSDAETIEGLASSQWDMEDKLHDDPLVVKVTAVGSGLSDCKEPPSGPLEALTDDQKQMNGQVGKISFEKTSNLSDPVPKGTIANEQQLSAEAWDGTSKTIPTSGDISCVPTKQHTSTKLNVVPIESNYESMDLGTTKTICDSSKDTQQKVQPVLDWTLIENTFNHMDNVCRSVEELAANTHSFQRSLLASMPYRGAEKNRLPFLKDNTESIDESSEKDTHVSWSGFDDTSEKYSDKFEKDFAAKDIIVEFPSEPSDKEIVDDGSVKSPSLSYTYIGEVKSYETPPKQATLLTFRDDADLATKAERSSVGNPNKTEKDIQEEVQGIKKSLFAPHEPFSAPISPRDCHVGAALSVSSDSVNNSTGQPKEMKKMDLLDLHDKGEKALIPQSGLVHSKEGHLITLGTNHIESSSLFSSTMIESSPEDSCLSSLGEQSTSFLSVPQSSSSSVFSDACSSSCSKTGLAPCAGPSGGGGPASPEAGFSSSSISHSQTAASPEAM
+>sp|P09234|RU1C_HUMAN U1 small nuclear ribonucleoprotein C OS=Homo sapiens OX=9606 GN=SNRPC PE=1 SV=1
+MPKFYCDYCDTYLTHDSPSVRKTHCSGRKHKENVKDYYQKWMEEQAQSLIDKTTAAFQQGKIPPTPFSAPPPAGAMIPPPPSLPGPPRPGMMPAPHMGGPPMMPMMGPPPPGMMPVGPAPGMRPPMGGHMPMMPGPPMMRPPARPMMVPTRPGMTRPDR
+>DECOY_sp|P09234|RU1C_HUMAN U1 small nuclear ribonucleoprotein C OS=Homo sapiens OX=9606 GN=SNRPC PE=1 SV=1
+RDPRTMGPRTPVMMPRAPPRMMPPGPMMPMHGGMPPRMGPAPGVPMMGPPPPGMMPMMPPGGMHPAPMMGPRPPGPLSPPPPIMAGAPPPASFPTPPIKGQQFAATTKDILSQAQEEMWKQYYDKVNEKHKRGSCHTKRVSPSDHTLYTDCYDCYFKPM
+>sp|Q96T51|RUFY1_HUMAN RUN and FYVE domain-containing protein 1 OS=Homo sapiens OX=9606 GN=RUFY1 PE=1 SV=2
+MADREGGCAAGRGRELEPELEPGPGPGSALEPGEEFEIVDRSQLPGPGDLRSATRPRAAEGWSAPILTLARRATGNLSASCGSALRAAAGLGGGDSGDGTARAASKCQMMEERANLMHMMKLSIKVLLQSALSLGRSLDADHAPLQQFFVVMEHCLKHGLKVKKSFIGQNKSFFGPLELVEKLCPEASDIATSVRNLPELKTAVGRGRAWLYLALMQKKLADYLKVLIDNKHLLSEFYEPEALMMEEEGMVIVGLLVGLNVLDANLCLKGEDLDSQVGVIDFSLYLKDVQDLDGGKEHERITDVLDQKNYVEELNRHLSCTVGDLQTKIDGLEKTNSKLQEELSAATDRICSLQEEQQQLREQNELIRERSEKSVEITKQDTKVELETYKQTRQGLDEMYSDVWKQLKEEKKVRLELEKELELQIGMKTEMEIAMKLLEKDTHEKQDTLVALRQQLEEVKAINLQMFHKAQNAESSLQQKNEAITSFEGKTNQVMSSMKQMEERLQHSERARQGAEERSHKLQQELGGRIGALQLQLSQLHEQCSSLEKELKSEKEQRQALQRELQHEKDTSSLLRMELQQVEGLKKELRELQDEKAELQKICEEQEQALQEMGLHLSQSKLKMEDIKEVNQALKGHAWLKDDEATHCRQCEKEFSISRRKHHCRNCGHIFCNTCSSNELALPSYPKPVRVCDSCHTLLLQRCSSTAS
+>DECOY_sp|Q96T51|RUFY1_HUMAN RUN and FYVE domain-containing protein 1 OS=Homo sapiens OX=9606 GN=RUFY1 PE=1 SV=2
+SATSSCRQLLLTHCSDCVRVPKPYSPLALENSSCTNCFIHGCNRCHHKRRSISFEKECQRCHTAEDDKLWAHGKLAQNVEKIDEMKLKSQSLHLGMEQLAQEQEECIKQLEAKEDQLERLEKKLGEVQQLEMRLLSSTDKEHQLERQLAQRQEKESKLEKELSSCQEHLQSLQLQLAGIRGGLEQQLKHSREEAGQRARESHQLREEMQKMSSMVQNTKGEFSTIAENKQQLSSEANQAKHFMQLNIAKVEELQQRLAVLTDQKEHTDKELLKMAIEMETKMGIQLELEKELELRVKKEEKLQKWVDSYMEDLGQRTQKYTELEVKTDQKTIEVSKESRERILENQERLQQQEEQLSCIRDTAASLEEQLKSNTKELGDIKTQLDGVTCSLHRNLEEVYNKQDLVDTIREHEKGGDLDQVDKLYLSFDIVGVQSDLDEGKLCLNADLVNLGVLLGVIVMGEEEMMLAEPEYFESLLHKNDILVKLYDALKKQMLALYLWARGRGVATKLEPLNRVSTAIDSAEPCLKEVLELPGFFSKNQGIFSKKVKLGHKLCHEMVVFFQQLPAHDADLSRGLSLASQLLVKISLKMMHMLNAREEMMQCKSAARATGDGSDGGGLGAAARLASGCSASLNGTARRALTLIPASWGEAARPRTASRLDGPGPLQSRDVIEFEEGPELASGPGPGPELEPELERGRGAACGGERDAM
+>sp|P62304|RUXE_HUMAN Small nuclear ribonucleoprotein E OS=Homo sapiens OX=9606 GN=SNRPE PE=1 SV=1
+MAYRGQGQKVQKVMVQPINLIFRYLQNRSRIQVWLYEQVNMRIEGCIIGFDEYMNLVLDDAEEIHSKTKSRKQLGRIMLKGDNITLLQSVSN
+>DECOY_sp|P62304|RUXE_HUMAN Small nuclear ribonucleoprotein E OS=Homo sapiens OX=9606 GN=SNRPE PE=1 SV=1
+NSVSQLLTINDGKLMIRGLQKRSKTKSHIEEADDLVLNMYEDFGIICGEIRMNVQEYLWVQIRSRNQLYRFILNIPQVMVKQVKQGQGRYAM
+>sp|A8MWD9|RUXGL_HUMAN Putative small nuclear ribonucleoprotein G-like protein 15 OS=Homo sapiens OX=9606 GN=SNRPGP15 PE=5 SV=2
+MSKAHPPELKKFTDKKFSLKLNGGRHVQGILRGFDPFMNLVIDECVEMATSGQQKNIGMVEIRGNSIIMLEALERV
+>DECOY_sp|A8MWD9|RUXGL_HUMAN Putative small nuclear ribonucleoprotein G-like protein 15 OS=Homo sapiens OX=9606 GN=SNRPGP15 PE=5 SV=2
+VRELAELMIISNGRIEVMGINKQQGSTAMEVCEDIVLNMFPDFGRLIGQVHRGGNLKLSFKKDTFKKLEPPHAKSM
+>sp|P62308|RUXG_HUMAN Small nuclear ribonucleoprotein G OS=Homo sapiens OX=9606 GN=SNRPG PE=1 SV=1
+MSKAHPPELKKFMDKKLSLKLNGGRHVQGILRGFDPFMNLVIDECVEMATSGQQNNIGMVVIRGNSIIMLEALERV
+>DECOY_sp|P62308|RUXG_HUMAN Small nuclear ribonucleoprotein G OS=Homo sapiens OX=9606 GN=SNRPG PE=1 SV=1
+VRELAELMIISNGRIVVMGINNQQGSTAMEVCEDIVLNMFPDFGRLIGQVHRGGNLKLSLKKDMFKKLEPPHAKSM
+>sp|Q9Y2V3|RX_HUMAN Retinal homeobox protein Rx OS=Homo sapiens OX=9606 GN=RAX PE=1 SV=2
+MHLPGCAPAMADGSFSLAGHLLRSPGGSTSRLHSIEAILGFTKDDGILGTFPAERGARGAKERDRRLGARPACPKAPEEGSEPSPPPAPAPAPEYEAPRPYCPKEPGEARPSPGLPVGPATGEAKLSEEEQPKKKHRRNRTTFTTYQLHELERAFEKSHYPDVYSREELAGKVNLPEVRVQVWFQNRRAKWRRQEKLEVSSMKLQDSPLLSFSRSPPSATLSPLGAGPGSGGGPAGGALPLESWLGPPLPGGGATALQSLPGFGPPAQSLPASYTPPPPPPPFLNSPPLGPGLQPLAPPPPSYPCGPGFGDKFPLDEADPRNSSIAALRLKAKEHIQAIGKPWQAL
+>DECOY_sp|Q9Y2V3|RX_HUMAN Retinal homeobox protein Rx OS=Homo sapiens OX=9606 GN=RAX PE=1 SV=2
+LAQWPKGIAQIHEKAKLRLAAISSNRPDAEDLPFKDGFGPGCPYSPPPPALPQLGPGLPPSNLFPPPPPPPTYSAPLSQAPPGFGPLSQLATAGGGPLPPGLWSELPLAGGAPGGGSGPGAGLPSLTASPPSRSFSLLPSDQLKMSSVELKEQRRWKARRNQFWVQVRVEPLNVKGALEERSYVDPYHSKEFARELEHLQYTTFTTRNRRHKKKPQEEESLKAEGTAPGVPLGPSPRAEGPEKPCYPRPAEYEPAPAPAPPPSPESGEEPAKPCAPRAGLRRDREKAGRAGREAPFTGLIGDDKTFGLIAEISHLRSTSGGPSRLLHGALSFSGDAMAPACGPLHM
+>sp|Q9NUL5|RYDEN_HUMAN Repressor of yield of DENV protein OS=Homo sapiens OX=9606 GN=RYDEN PE=1 SV=2
+MSQEGVELEKSVRRLREKFHGKVSSKKAGALMRKFGSDHTGVGRSIVYGVKQKDGQELSNDLDAQDPPEDMKQDRDIQAVATSLLPLTEANLRMFQRAQDDLIPAVDRQFACSSCDHVWWRRVPQRKEVSRCRKCRKRYEPVPADKMWGLAEFHCPKCRHNFRGWAQMGSPSPCYGCGFPVYPTRILPPRWDRDPDRRSTHTHSCSAADCYNRREPHVPGTSCAHPKSRKQNHLPKVLHPSNPHISSGSTVATCLSQGGLLEDLDNLILEDLKEEEEEEEEVEDEEGGPRE
+>DECOY_sp|Q9NUL5|RYDEN_HUMAN Repressor of yield of DENV protein OS=Homo sapiens OX=9606 GN=RYDEN PE=1 SV=2
+ERPGGEEDEVEEEEEEEEKLDELILNDLDELLGGQSLCTAVTSGSSIHPNSPHLVKPLHNQKRSKPHACSTGPVHPERRNYCDAASCSHTHTSRRDPDRDWRPPLIRTPYVPFGCGYCPSPSGMQAWGRFNHRCKPCHFEALGWMKDAPVPEYRKRCKRCRSVEKRQPVRRWWVHDCSSCAFQRDVAPILDDQARQFMRLNAETLPLLSTAVAQIDRDQKMDEPPDQADLDNSLEQGDKQKVGYVISRGVGTHDSGFKRMLAGAKKSSVKGHFKERLRRVSKELEVGEQSM
+>sp|Q96BU1|S1PBP_HUMAN S100P-binding protein OS=Homo sapiens OX=9606 GN=S100PBP PE=1 SV=1
+MMCSRVPSEQSSGTSLLPKDGAPFSWDSLDEDGLDDSLLELSEGEEDDGDVNYTEEEIDALLKEDDPSYEQSSGEDDGGHVEKGERGSQILLDTPREKNSSYSLGPVAETPDLFKLPQLSTSSGHGPAHTKPLNRRSVLEKNLIKVTVAPFNPTVCDALLDKDETDSSKDTEKLSSLGEEMREDGLSPNESKLCTESEGISPNNSAWNGPQLSSSNNNFQQTVSDKNMPDSENPTSVFSRISDHSETPNMELSCRNGGSHKSSCEMRSLVVSTSSNKQDVLNKDSGKMKGHERRLGKVIPVLQTKTRTNVPTFSQSNLEQQKQLYLRSVIAHIEDPEDTNQGISGELCALMDQVHHMQHSKWQHPSDLTTRNYARRQKHLQRYSLTQWVDRNMRSHHRFQRLPDFSYS
+>DECOY_sp|Q96BU1|S1PBP_HUMAN S100P-binding protein OS=Homo sapiens OX=9606 GN=S100PBP PE=1 SV=1
+SYSFDPLRQFRHHSRMNRDVWQTLSYRQLHKQRRAYNRTTLDSPHQWKSHQMHHVQDMLACLEGSIGQNTDEPDEIHAIVSRLYLQKQQELNSQSFTPVNTRTKTQLVPIVKGLRREHGKMKGSDKNLVDQKNSSTSVVLSRMECSSKHSGGNRCSLEMNPTESHDSIRSFVSTPNESDPMNKDSVTQQFNNNSSSLQPGNWASNNPSIGESETCLKSENPSLGDERMEEGLSSLKETDKSSDTEDKDLLADCVTPNFPAVTVKILNKELVSRRNLPKTHAPGHGSSTSLQPLKFLDPTEAVPGLSYSSNKERPTDLLIQSGREGKEVHGGDDEGSSQEYSPDDEKLLADIEEETYNVDGDDEEGESLELLSDDLGDEDLSDWSFPAGDKPLLSTGSSQESPVRSCMM
+>sp|Q08357|S20A2_HUMAN Sodium-dependent phosphate transporter 2 OS=Homo sapiens OX=9606 GN=SLC20A2 PE=1 SV=1
+MAMDEYLWMVILGFIIAFILAFSVGANDVANSFGTAVGSGVVTLRQACILASIFETTGSVLLGAKVGETIRKGIIDVNLYNETVETLMAGEVSAMVGSAVWQLIASFLRLPISGTHCIVGSTIGFSLVAIGTKGVQWMELVKIVASWFISPLLSGFMSGLLFVLIRIFILKKEDPVPNGLRALPVFYAATIAINVFSIMYTGAPVLGLVLPMWAIALISFGVALLFAFFVWLFVCPWMRRKITGKLQKEGALSRVSDESLSKVQEAESPVFKELPGAKANDDSTIPLTGAAGETLGTSEGTSAGSHPRAAYGRALSMTHGSVKSPISNGTFGFDGHTRSDGHVYHTVHKDSGLYKDLLHKIHIDRGPEEKPAQESNYRLLRRNNSYTCYTAAICGLPVHATFRAADSSAPEDSEKLVGDTVSYSKKRLRYDSYSSYCNAVAEAEIEAEEGGVEMKLASELADPDQPREDPAEEEKEEKDAPEVHLLFHFLQVLTACFGSFAHGGNDVSNAIGPLVALWLIYKQGGVTQEAATPVWLLFYGGVGICTGLWVWGRRVIQTMGKDLTPITPSSGFTIELASAFTVVIASNIGLPVSTTHCKVGSVVAVGWIRSRKAVDWRLFRNIFVAWFVTVPVAGLFSAAVMALLMYGILPYV
+>DECOY_sp|Q08357|S20A2_HUMAN Sodium-dependent phosphate transporter 2 OS=Homo sapiens OX=9606 GN=SLC20A2 PE=1 SV=1
+VYPLIGYMLLAMVAASFLGAVPVTVFWAVFINRFLRWDVAKRSRIWGVAVVSGVKCHTTSVPLGINSAIVVTFASALEITFGSSPTIPTLDKGMTQIVRRGWVWLGTCIGVGGYFLLWVPTAAEQTVGGQKYILWLAVLPGIANSVDNGGHAFSGFCATLVQLFHFLLHVEPADKEEKEEEAPDERPQDPDALESALKMEVGGEEAEIEAEAVANCYSSYSDYRLRKKSYSVTDGVLKESDEPASSDAARFTAHVPLGCIAATYCTYSNNRRLLRYNSEQAPKEEPGRDIHIKHLLDKYLGSDKHVTHYVHGDSRTHGDFGFTGNSIPSKVSGHTMSLARGYAARPHSGASTGESTGLTEGAAGTLPITSDDNAKAGPLEKFVPSEAEQVKSLSEDSVRSLAGEKQLKGTIKRRMWPCVFLWVFFAFLLAVGFSILAIAWMPLVLGLVPAGTYMISFVNIAITAAYFVPLARLGNPVPDEKKLIFIRILVFLLGSMFGSLLPSIFWSAVIKVLEMWQVGKTGIAVLSFGITSGVICHTGSIPLRLFSAILQWVASGVMASVEGAMLTEVTENYLNVDIIGKRITEGVKAGLLVSGTTEFISALICAQRLTVVGSGVATGFSNAVDNAGVSFALIFAIIFGLIVMWLYEDMAM
+>sp|Q9H015|S22A4_HUMAN Solute carrier family 22 member 4 OS=Homo sapiens OX=9606 GN=SLC22A4 PE=1 SV=3
+MRDYDEVIAFLGEWGPFQRLIFFLLSASIIPNGFNGMSVVFLAGTPEHRCRVPDAANLSSAWRNNSVPLRLRDGREVPHSCSRYRLATIANFSALGLEPGRDVDLGQLEQESCLDGWEFSQDVYLSTVVTEWNLVCEDNWKVPLTTSLFFVGVLLGSFVSGQLSDRFGRKNVLFATMAVQTGFSFLQIFSISWEMFTVLFVIVGMGQISNYVVAFILGTEILGKSVRIIFSTLGVCTFFAVGYMLLPLFAYFIRDWRMLLLALTVPGVLCVPLWWFIPESPRWLISQRRFREAEDIIQKAAKMNNIAVPAVIFDSVEELNPLKQQKAFILDLFRTRNIAIMTIMSLLLWMLTSVGYFALSLDAPNLHGDAYLNCFLSALIEIPAYITAWLLLRTLPRRYIIAAVLFWGGGVLLFIQLVPVDYYFLSIGLVMLGKFGITSAFSMLYVFTAELYPTLVRNMAVGVTSTASRVGSIIAPYFVYLGAYNRMLPYIVMGSLTVLIGILTLFFPESLGMTLPETLEQMQKVKWFRSGKKTRDSMETEENPKVLITAF
+>DECOY_sp|Q9H015|S22A4_HUMAN Solute carrier family 22 member 4 OS=Homo sapiens OX=9606 GN=SLC22A4 PE=1 SV=3
+FATILVKPNEETEMSDRTKKGSRFWKVKQMQELTEPLTMGLSEPFFLTLIGILVTLSGMVIYPLMRNYAGLYVFYPAIISGVRSATSTVGVAMNRVLTPYLEATFVYLMSFASTIGFKGLMVLGISLFYYDVPVLQIFLLVGGGWFLVAAIIYRRPLTRLLLWATIYAPIEILASLFCNLYADGHLNPADLSLAFYGVSTLMWLLLSMITMIAINRTRFLDLIFAKQQKLPNLEEVSDFIVAPVAINNMKAAKQIIDEAERFRRQSILWRPSEPIFWWLPVCLVGPVTLALLLMRWDRIFYAFLPLLMYGVAFFTCVGLTSFIIRVSKGLIETGLIFAVVYNSIQGMGVIVFLVTFMEWSISFIQLFSFGTQVAMTAFLVNKRGFRDSLQGSVFSGLLVGVFFLSTTLPVKWNDECVLNWETVVTSLYVDQSFEWGDLCSEQELQGLDVDRGPELGLASFNAITALRYRSCSHPVERGDRLRLPVSNNRWASSLNAADPVRCRHEPTGALFVVSMGNFGNPIISASLLFFILRQFPGWEGLFAIVEDYDRM
+>sp|O76082|S22A5_HUMAN Solute carrier family 22 member 5 OS=Homo sapiens OX=9606 GN=SLC22A5 PE=1 SV=1
+MRDYDEVTAFLGEWGPFQRLIFFLLSASIIPNGFTGLSSVFLIATPEHRCRVPDAANLSSAWRNHTVPLRLRDGREVPHSCRRYRLATIANFSALGLEPGRDVDLGQLEQESCLDGWEFSQDVYLSTIVTEWNLVCEDDWKAPLTISLFFVGVLLGSFISGQLSDRFGRKNVLFVTMGMQTGFSFLQIFSKNFEMFVVLFVLVGMGQISNYVAAFVLGTEILGKSVRIIFSTLGVCIFYAFGYMVLPLFAYFIRDWRMLLVALTMPGVLCVALWWFIPESPRWLISQGRFEEAEVIIRKAAKANGIVVPSTIFDPSELQDLSSKKQQSHNILDLLRTWNIRMVTIMSIMLWMTISVGYFGLSLDTPNLHGDIFVNCFLSAMVEVPAYVLAWLLLQYLPRRYSMATALFLGGSVLLFMQLVPPDLYYLATVLVMVGKFGVTAAFSMVYVYTAELYPTVVRNMGVGVSSTASRLGSILSPYFVYLGAYDRFLPYILMGSLTILTAILTLFLPESFGTPLPDTIDQMLRVKGMKHRKTPSHTRMLKDGQERPTILKSTAF
+>DECOY_sp|O76082|S22A5_HUMAN Solute carrier family 22 member 5 OS=Homo sapiens OX=9606 GN=SLC22A5 PE=1 SV=1
+FATSKLITPREQGDKLMRTHSPTKRHKMGKVRLMQDITDPLPTGFSEPLFLTLIATLITLSGMLIYPLFRDYAGLYVFYPSLISGLRSATSSVGVGMNRVVTPYLEATYVYVMSFAATVGFKGVMVLVTALYYLDPPVLQMFLLVSGGLFLATAMSYRRPLYQLLLWALVYAPVEVMASLFCNVFIDGHLNPTDLSLGFYGVSITMWLMISMITVMRINWTRLLDLINHSQQKKSSLDQLESPDFITSPVVIGNAKAAKRIIVEAEEFRGQSILWRPSEPIFWWLAVCLVGPMTLAVLLMRWDRIFYAFLPLVMYGFAYFICVGLTSFIIRVSKGLIETGLVFAAVYNSIQGMGVLVFLVVFMEFNKSFIQLFSFGTQMGMTVFLVNKRGFRDSLQGSIFSGLLVGVFFLSITLPAKWDDECVLNWETVITSLYVDQSFEWGDLCSEQELQGLDVDRGPELGLASFNAITALRYRRCSHPVERGDRLRLPVTHNRWASSLNAADPVRCRHEPTAILFVSSLGTFGNPIISASLLFFILRQFPGWEGLFATVEDYDRM
+>sp|Q8TCC7|S22A8_HUMAN Solute carrier family 22 member 8 OS=Homo sapiens OX=9606 GN=SLC22A8 PE=1 SV=1
+MTFSEILDRVGSMGHFQFLHVAILGLPILNMANHNLLQIFTAATPVHHCRPPHNASTGPWVLPMGPNGKPERCLRFVHPPNASLPNDTQRAMEPCLDGWVYNSTKDSIVTEWDLVCNSNKLKEMAQSIFMAGILIGGLVLGDLSDRFGRRPILTCSYLLLAASGSGAAFSPTFPIYMVFRFLCGFGISGITLSTVILNVEWVPTRMRAIMSTALGYCYTFGQFILPGLAYAIPQWRWLQLTVSIPFFVFFLSSWWTPESIRWLVLSGKSSKALKILRRVAVFNGKKEEGERLSLEELKLNLQKEISLAKAKYTASDLFRIPMLRRMTFCLSLAWFATGFAYYSLAMGVEEFGVNLYILQIIFGGVDVPAKFITILSLSYLGRHTTQAAALLLAGGAILALTFVPLDLQTVRTVLAVFGKGCLSSSFSCLFLYTSELYPTVIRQTGMGVSNLWTRVGSMVSPLVKITGEVQPFIPNIIYGITALLGGSAALFLPETLNQPLPETIEDLENWSLRAKKPKQEPEVEKASQRIPLQPHGPGLGSS
+>DECOY_sp|Q8TCC7|S22A8_HUMAN Solute carrier family 22 member 8 OS=Homo sapiens OX=9606 GN=SLC22A8 PE=1 SV=1
+SSGLGPGHPQLPIRQSAKEVEPEQKPKKARLSWNELDEITEPLPQNLTEPLFLAASGGLLATIGYIINPIFPQVEGTIKVLPSVMSGVRTWLNSVGMGTQRIVTPYLESTYLFLCSFSSSLCGKGFVALVTRVTQLDLPVFTLALIAGGALLLAAAQTTHRGLYSLSLITIFKAPVDVGGFIIQLIYLNVGFEEVGMALSYYAFGTAFWALSLCFTMRRLMPIRFLDSATYKAKALSIEKQLNLKLEELSLREGEEKKGNFVAVRRLIKLAKSSKGSLVLWRISEPTWWSSLFFVFFPISVTLQLWRWQPIAYALGPLIFQGFTYCYGLATSMIARMRTPVWEVNLIVTSLTIGSIGFGCLFRFVMYIPFTPSFAAGSGSAALLLYSCTLIPRRGFRDSLDGLVLGGILIGAMFISQAMEKLKNSNCVLDWETVISDKTSNYVWGDLCPEMARQTDNPLSANPPHVFRLCREPKGNPGMPLVWPGTSANHPPRCHHVPTAATFIQLLNHNAMNLIPLGLIAVHLFQFHGMSGVRDLIESFTM
+>sp|Q9Y226|S22AD_HUMAN Solute carrier family 22 member 13 OS=Homo sapiens OX=9606 GN=SLC22A13 PE=2 SV=2
+MAQFVQVLAEIGDFGRFQIQLLILLCVLNFLSPFYFFAHVFMVLDEPHHCAVAWVKNHTFNLSAAEQLVLSVPLDTAGHPEPCLMFRPPPANASLQDILSHRFNETQPCDMGWEYPENRLPSLKNEFNLVCDRKHLKDTTQSVFMAGLLVGTLMFGPLCDRIGRKATILAQLLLFTLIGLATAFVPSFELYMALRFAVATAVAGLSFSNVTLLTEWVGPSWRTQAVVLAQCNFSLGQMVLAGLAYGFRNWRLLQITGTAPGLLLFFYFWALPESARWLLTRGRMDEAIQLIQKAASVNRRKLSPELMNQLVPEKTGPSGNALDLFRHPQLRKVTLIIFCVWFVDSLGYYGLSLQVGDFGLDVYLTQLIFGAVEVPARCSSIFMMQRFGRKWSQLGTLVLGGLMCIIIIFIPADLPVVVTMLAVVGKMATAAAFTISYVYSAELFPTILRQTGMGLVGIFSRIGGILTPLVILLGEYHAALPMLIYGSLPIVAGLLCTLLPETHGQGLKDTLQDLELGPHPRSPKSVPSEKETEAKGRTSSPGVAFVSSTYF
+>DECOY_sp|Q9Y226|S22AD_HUMAN Solute carrier family 22 member 13 OS=Homo sapiens OX=9606 GN=SLC22A13 PE=2 SV=2
+FYTSSVFAVGPSSTRGKAETEKESPVSKPSRPHPGLELDQLTDKLGQGHTEPLLTCLLGAVIPLSGYILMPLAAHYEGLLIVLPTLIGGIRSFIGVLGMGTQRLITPFLEASYVYSITFAAATAMKGVVALMTVVVPLDAPIFIIIICMLGGLVLTGLQSWKRGFRQMMFISSCRAPVEVAGFILQTLYVDLGFDGVQLSLGYYGLSDVFWVCFIILTVKRLQPHRFLDLANGSPGTKEPVLQNMLEPSLKRRNVSAAKQILQIAEDMRGRTLLWRASEPLAWFYFFLLLGPATGTIQLLRWNRFGYALGALVMQGLSFNCQALVVAQTRWSPGVWETLLTVNSFSLGAVATAVAFRLAMYLEFSPVFATALGILTFLLLQALITAKRGIRDCLPGFMLTGVLLGAMFVSQTTDKLHKRDCVLNFENKLSPLRNEPYEWGMDCPQTENFRHSLIDQLSANAPPPRFMLCPEPHGATDLPVSLVLQEAASLNFTHNKVWAVACHHPEDLVMFVHAFFYFPSLFNLVCLLILLQIQFRGFDGIEALVQVFQAM
+>sp|Q8IZD6|S22AF_HUMAN Solute carrier family 22 member 15 OS=Homo sapiens OX=9606 GN=SLC22A15 PE=2 SV=1
+MEVEEAFQAVGEMGIYQMYLCFLLAVLLQLYVATEAILIALVGATPSYHWDLAELLPNQSHGNQSAGEDQAFGDWLLTANGSEIHKHVHFSSSFTSIASEWFLIANRSYKVSAASSFFFSGVFVGVISFGQLSDRFGRKKVYLTGFALDILFAIANGFSPSYEFFAVTRFLVGMMNGGMSLVAFVLLNECVGTAYWALAGSIGGLFFAVGIAQYALLGYFIRSWRTLAILVNLQGTVVFLLSLFIPESPRWLYSQGRLSEAEEALYLIAKRNRKLKCTFSLTHPANRSCRETGSFLDLFRYRVLLGHTLILMFIWFVCSLVYYGLTLSAGDLGGSIYANLALSGLIEIPSYPLCIYLINQKWFGRKRTLSAFLCLGGLACLIVMFLPEKKDTGVFAVVNSHSLSLLGKLTISAAFNIVYIYTSELYPTVIRNVGLGTCSMFSRVGGIIAPFIPSLKYVQWSLPFIVFGATGLTSGLLSLLLPETLNSPLLETFSDLQVYSYRRLGEEALSLQALDPQQCVDKESSLGSESEEEEEFYDADEETQMIK
+>DECOY_sp|Q8IZD6|S22AF_HUMAN Solute carrier family 22 member 15 OS=Homo sapiens OX=9606 GN=SLC22A15 PE=2 SV=1
+KIMQTEEDADYFEEEEESESGLSSEKDVCQQPDLAQLSLAEEGLRRYSYVQLDSFTELLPSNLTEPLLLSLLGSTLGTAGFVIFPLSWQVYKLSPIFPAIIGGVRSFMSCTGLGVNRIVTPYLESTYIYVINFAASITLKGLLSLSHSNVVAFVGTDKKEPLFMVILCALGGLCLFASLTRKRGFWKQNILYICLPYSPIEILGSLALNAYISGGLDGASLTLGYYVLSCVFWIFMLILTHGLLVRYRFLDLFSGTERCSRNAPHTLSFTCKLKRNRKAILYLAEEAESLRGQSYLWRPSEPIFLSLLFVVTGQLNVLIALTRWSRIFYGLLAYQAIGVAFFLGGISGALAWYATGVCENLLVFAVLSMGGNMMGVLFRTVAFFEYSPSFGNAIAFLIDLAFGTLYVKKRGFRDSLQGFSIVGVFVGSFFFSSAASVKYSRNAILFWESAISTFSSSFHVHKHIESGNATLLWDGFAQDEGASQNGHSQNPLLEALDWHYSPTAGVLAILIAETAVYLQLLVALLFCLYMQYIGMEGVAQFAEEVEM
+>sp|Q96BI1|S22AI_HUMAN Solute carrier family 22 member 18 OS=Homo sapiens OX=9606 GN=SLC22A18 PE=1 SV=3
+MQGARAPRDQGRSPGRMSALGRSSVILLTYVLAATELTCLFMQFSIVPYLSRKLGLDSIAFGYLQTTFGVLQLLGGPVFGRFADQRGARAALTLSFLAALALYLLLAAASSPALPGVYLLFASRLPGALMHTLPAAQMVITDLSAPEERPAALGRLGLCFGVGVILGSLLGGTLVSAYGIQCPAILAALATLLGAVLSFTCIPASTKGAKTDAQAPLPGGPRASVFDLKAIASLLRLPDVPRIFLVKVASNCPTGLFMVMFSIISMDFFQLEAAQAGYLMSFFGLLQMVTQGLVIGQLSSHFSEEVLLRASVLVFIVVGLAMAWMSSVFHFCLLVPGLVFSLCTLNVVTDSMLIKAVSTSDTGTMLGLCASVQPLLRTLGPTVGGLLYRSFGVPVFGHVQVAINTLVLLVLWRKPMPQRKDKVR
+>DECOY_sp|Q96BI1|S22AI_HUMAN Solute carrier family 22 member 18 OS=Homo sapiens OX=9606 GN=SLC22A18 PE=1 SV=3
+RVKDKRQPMPKRWLVLLVLTNIAVQVHGFVPVGFSRYLLGGVTPGLTRLLPQVSACLGLMTGTDSTSVAKILMSDTVVNLTCLSFVLGPVLLCFHFVSSMWAMALGVVIFVLVSARLLVEESFHSSLQGIVLGQTVMQLLGFFSMLYGAQAAELQFFDMSIISFMVMFLGTPCNSAVKVLFIRPVDPLRLLSAIAKLDFVSARPGGPLPAQADTKAGKTSAPICTFSLVAGLLTALAALIAPCQIGYASVLTGGLLSGLIVGVGFCLGLRGLAAPREEPASLDTIVMQAAPLTHMLAGPLRSAFLLYVGPLAPSSAAALLLYLALAALFSLTLAARAGRQDAFRGFVPGGLLQLVGFTTQLYGFAISDLGLKRSLYPVISFQMFLCTLETAALVYTLLIVSSRGLASMRGPSRGQDRPARAGQM
+>sp|Q8N4F4|S22AO_HUMAN Solute carrier family 22 member 24 OS=Homo sapiens OX=9606 GN=SLC22A24 PE=2 SV=1
+MGFDVLLDQVGGMGRFQICLIAFFCITNILLFPNIVLENFTAFTPSHRCWVPLLDNDSVSDNDTGTLSKDDLLRISIPLDSNLRPQKCQRFIHPQWQLLHLNGTFPNTNEPDTEPCVDGWVYDRSSFLSTIVTEWDLVCESQSLKSMVQSLFMAGSLLGGLIYGHLSDRVGRKIICKLCFLQLAISNTCAAFAPTFLVYCILRFLAGFSTMTILGNTFILSLEWTLPRSRSMTIMVLLCSYSVGQMLLGGLAFAIQDWHILQLTVSTPIIVLFLSSWYEQSPHSLPVSEAMVDIERKIVTPGICSVSGLVLSHDVHSTYCVT
+>DECOY_sp|Q8N4F4|S22AO_HUMAN Solute carrier family 22 member 24 OS=Homo sapiens OX=9606 GN=SLC22A24 PE=2 SV=1
+TVCYTSHVDHSLVLGSVSCIGPTVIKREIDVMAESVPLSHPSQEYWSSLFLVIIPTSVTLQLIHWDQIAFALGGLLMQGVSYSCLLVMITMSRSRPLTWELSLIFTNGLITMTSFGALFRLICYVLFTPAFAACTNSIALQLFCLKCIIKRGVRDSLHGYILGGLLSGAMFLSQVMSKLSQSECVLDWETVITSLFSSRDYVWGDVCPETDPENTNPFTGNLHLLQWQPHIFRQCKQPRLNSDLPISIRLLDDKSLTGTDNDSVSDNDLLPVWCRHSPTFATFNELVINPFLLINTICFFAILCIQFRGMGGVQDLLVDFGM
+>sp|Q96H78|S2544_HUMAN Solute carrier family 25 member 44 OS=Homo sapiens OX=9606 GN=SLC25A44 PE=2 SV=1
+MEDKRNIQIIEWEHLDKKKFYVFGVAMTMMIRVSVYPFTLIRTRLQVQKGKSLYHGTFDAFIKILRADGITGLYRGFLVNTFTLISGQCYVTTYELTRKFVADYSQSNTVKSLVAGGSASLVAQSITVPIDVVSQHLMMQRKGEKMGRFQVRGNPEGQGVVAFGQTKDIIRQILQADGLRGFYRGYVASLLTYIPNSAVWWPFYHFYAEQLSYLCPKECPHIVFQAVSGPLAAATASILTNPMDVIRTRVQVEGKNSIILTFRQLMAEEGPWGLMKGLSARIISATPSTIVIVVGYESLKKLSLRPELVDSRHW
+>DECOY_sp|Q96H78|S2544_HUMAN Solute carrier family 25 member 44 OS=Homo sapiens OX=9606 GN=SLC25A44 PE=2 SV=1
+WHRSDVLEPRLSLKKLSEYGVVIVITSPTASIIRASLGKMLGWPGEEAMLQRFTLIISNKGEVQVRTRIVDMPNTLISATAAALPGSVAQFVIHPCEKPCLYSLQEAYFHYFPWWVASNPIYTLLSAVYGRYFGRLGDAQLIQRIIDKTQGFAVVGQGEPNGRVQFRGMKEGKRQMMLHQSVVDIPVTISQAVLSASGGAVLSKVTNSQSYDAVFKRTLEYTTVYCQGSILTFTNVLFGRYLGTIGDARLIKIFADFTGHYLSKGKQVQLRTRILTFPYVSVRIMMTMAVGFVYFKKKDLHEWEIIQINRKDEM
+>sp|Q96AG3|S2546_HUMAN Solute carrier family 25 member 46 OS=Homo sapiens OX=9606 GN=SLC25A46 PE=1 SV=1
+MHPRRPDGFDGLGYRGGARDEQGFGGAFPARSFSTGSDLGHWVTTPPDIPGSRNLHWGEKSPPYGVPTTSTPYEGPTEEPFSSGGGGSVQGQSSEQLNRFAGFGIGLASLFTENVLAHPCIVLRRQCQVNYHAQHYHLTPFTVINIMYSFNKTQGPRALWKGMGSTFIVQGVTLGAEGIISEFTPLPREVLHKWSPKQIGEHLLLKSLTYVVAMPFYSASLIETVQSEIIRDNTGILECVKEGIGRVIGMGVPHSKRLLPLLSLIFPTVLHGVLHYIISSVIQKFVLLILKRKTYNSHLAESTSPVQSMLDAYFPELIANFAASLCSDVILYPLETVLHRLHIQGTRTIIDNTDLGYEVLPINTQYEGMRDCINTIRQEEGVFGFYKGFGAVIIQYTLHAAVLQITKIIYSTLLQNNI
+>DECOY_sp|Q96AG3|S2546_HUMAN Solute carrier family 25 member 46 OS=Homo sapiens OX=9606 GN=SLC25A46 PE=1 SV=1
+INNQLLTSYIIKTIQLVAAHLTYQIIVAGFGKYFGFVGEEQRITNICDRMGEYQTNIPLVEYGLDTNDIITRTGQIHLRHLVTELPYLIVDSCLSAAFNAILEPFYADLMSQVPSTSEALHSNYTKRKLILLVFKQIVSSIIYHLVGHLVTPFILSLLPLLRKSHPVGMGIVRGIGEKVCELIGTNDRIIESQVTEILSASYFPMAVVYTLSKLLLHEGIQKPSWKHLVERPLPTFESIIGEAGLTVGQVIFTSGMGKWLARPGQTKNFSYMINIVTFPTLHYHQAHYNVQCQRRLVICPHALVNETFLSALGIGFGAFRNLQESSQGQVSGGGGSSFPEETPGEYPTSTTPVGYPPSKEGWHLNRSGPIDPPTTVWHGLDSGTSFSRAPFAGGFGQEDRAGGRYGLGDFGDPRRPHM
+>sp|Q86WA9|S2611_HUMAN Sodium-independent sulfate anion transporter OS=Homo sapiens OX=9606 GN=SLC26A11 PE=2 SV=2
+MPSSVTALGQARSSGPGMAPSACCCSPAALQRRLPILAWLPSYSLQWLKMDFVAGLSVGLTAIPQALAYAEVAGLPPQYGLYSAFMGCFVYFFLGTSRDVTLGPTAIMSLLVSFYTFHEPAYAVLLAFLSGCIQLAMGVLRLGFLLDFISYPVIKGFTSAAAVTIGFGQIKNLLGLQNIPRPFFLQVYHTFLRIAETRVGDAVLGLVCMLLLLVLKLMRDHVPPVHPEMPPGVRLSRGLVWAATTARNALVVSFAALVAYSFEVTGYQPFILTGETAEGLPPVRIPPFSVTTANGTISFTEMVQDMGAGLAVVPLMGLLESIAVAKAFASQNNYRIDANQELLAIGLTNMLGSLVSSYPVTGSFGRTAVNAQSGVCTPAGGLVTGVLVLLSLDYLTSLFYYIPKSALAAVIIMAVAPLFDTKIFRTLWRVKRLDLLPLCVTFLLCFWEVQYGILAGALVSLLMLLHSAARPETKVSEGPVLVLQPASGLSFPAMEALREEILSRALEVSPPRCLVLECTHVCSIDYTVVLGLGELLQDFQKQGVALAFVGLQVPVLRVLLSADLKGFQYFSTLEEAEKHLRQEPGTQPYNIREDSILDQKVALLKA
+>DECOY_sp|Q86WA9|S2611_HUMAN Sodium-independent sulfate anion transporter OS=Homo sapiens OX=9606 GN=SLC26A11 PE=2 SV=2
+AKLLAVKQDLISDERINYPQTGPEQRLHKEAEELTSFYQFGKLDASLLVRLVPVQLGVFALAVGQKQFDQLLEGLGLVVTYDISCVHTCELVLCRPPSVELARSLIEERLAEMAPFSLGSAPQLVLVPGESVKTEPRAASHLLMLLSVLAGALIGYQVEWFCLLFTVCLPLLDLRKVRWLTRFIKTDFLPAVAMIIVAALASKPIYYFLSTLYDLSLLVLVGTVLGGAPTCVGSQANVATRGFSGTVPYSSVLSGLMNTLGIALLEQNADIRYNNQSAFAKAVAISELLGMLPVVALGAGMDQVMETFSITGNATTVSFPPIRVPPLGEATEGTLIFPQYGTVEFSYAVLAAFSVVLANRATTAAWVLGRSLRVGPPMEPHVPPVHDRMLKLVLLLLMCVLGLVADGVRTEAIRLFTHYVQLFFPRPINQLGLLNKIQGFGITVAAASTFGKIVPYSIFDLLFGLRLVGMALQICGSLFALLVAYAPEHFTYFSVLLSMIATPGLTVDRSTGLFFYVFCGMFASYLGYQPPLGAVEAYALAQPIATLGVSLGAVFDMKLWQLSYSPLWALIPLRRQLAAPSCCCASPAMGPGSSRAQGLATVSSPM
+>sp|Q9H2B4|S26A1_HUMAN Sulfate anion transporter 1 OS=Homo sapiens OX=9606 GN=SLC26A1 PE=1 SV=2
+MDESPEPLQQGRGPVPVRRQRPAPRGLREMLKARLWCSCSCSVLCVRALVQDLLPATRWLRQYRPREYLAGDVMSGLVIGIILVPQAIAYSLLAGLQPIYSLYTSFFANLIYFLMGTSRHVSVGIFSLLCLMVGQVVDRELQLAGFDPSQDGLQPGANSSTLNGSAAMLDCGRDCYAIRVATALTLMTGLYQVLMGVLRLGFVSAYLSQPLLDGFAMGASVTILTSQLKHLLGVRIPRHQGPGMVVLTWLSLLRGAGQANVCDVVTSTVCLAVLLAAKELSDRYRHRLRVPLPTELLVIVVATLVSHFGQLHKRFGSSVAGDIPTGFMPPQVPEPRLMQRVALDAVALALVAAAFSISLAEMFARSHGYSVRANQELLAVGCCNVLPAFLHCFATSAALAKSLVKTATGCRTQLSSVVSATVVLLVLLALAPLFHDLQRSVLACVIVVSLRGALRKVWDLPRLWRMSPADALVWAGTAATCMLVSTEAGLLAGVILSLLSLAGRTQRPRTALLARIGDTAFYEDATEFEGLVPEPGVRVFRFGGPLYYANKDFFLQSLYSLTGLDAGCMAARRKEGGSETGVGEGGPAQGEDLGPVSTRAALVPAAAGFHTVVIDCAPLLFLDAAGVSTLQDLRRDYGALGISLLLACCSPPVRDILSRGGFLGEGPGDTAEEEQLFLSVHDAVQTARARHRELEATDAHL
+>DECOY_sp|Q9H2B4|S26A1_HUMAN Sulfate anion transporter 1 OS=Homo sapiens OX=9606 GN=SLC26A1 PE=1 SV=2
+LHADTAELERHRARATQVADHVSLFLQEEEATDGPGEGLFGGRSLIDRVPPSCCALLLSIGLAGYDRRLDQLTSVGAADLFLLPACDIVVTHFGAAAPVLAARTSVPGLDEGQAPGGEGVGTESGGEKRRAAMCGADLGTLSYLSQLFFDKNAYYLPGGFRFVRVGPEPVLGEFETADEYFATDGIRALLATRPRQTRGALSLLSLIVGALLGAETSVLMCTAATGAWVLADAPSMRWLRPLDWVKRLAGRLSVVIVCALVSRQLDHFLPALALLVLLVVTASVVSSLQTRCGTATKVLSKALAASTAFCHLFAPLVNCCGVALLEQNARVSYGHSRAFMEALSISFAAAVLALAVADLAVRQMLRPEPVQPPMFGTPIDGAVSSGFRKHLQGFHSVLTAVVIVLLETPLPVRLRHRYRDSLEKAALLVALCVTSTVVDCVNAQGAGRLLSLWTLVVMGPGQHRPIRVGLLHKLQSTLITVSAGMAFGDLLPQSLYASVFGLRLVGMLVQYLGTMLTLATAVRIAYCDRGCDLMAASGNLTSSNAGPQLGDQSPDFGALQLERDVVQGVMLCLLSFIGVSVHRSTGMLFYILNAFFSTYLSYIPQLGALLSYAIAQPVLIIGIVLGSMVDGALYERPRYQRLWRTAPLLDQVLARVCLVSCSCSCWLRAKLMERLGRPAPRQRRVPVPGRGQQLPEPSEDM
+>sp|P58743|S26A5_HUMAN Prestin OS=Homo sapiens OX=9606 GN=SLC26A5 PE=2 SV=1
+MDHAEENEILAATQRYYVERPIFSHPVLQERLHTKDKVPDSIADKLKQAFTCTPKKIRNIIYMFLPITKWLPAYKFKEYVLGDLVSGISTGVLQLPQGLAFAMLAAVPPIFGLYSSFYPVIMYCFLGTSRHISIGPFAVISLMIGGVAVRLVPDDIVIPGGVNATNGTEARDALRVKVAMSVTLLSGIIQFCLGVCRFGFVAIYLTEPLVRGFTTAAAVHVFTSMLKYLFGVKTKRYSGIFSVVYSTVAVLQNVKNLNVCSLGVGLMVFGLLLGGKEFNERFKEKLPAPIPLEFFAVVMGTGISAGFNLKESYNVDVVGTLPLGLLPPANPDTSLFHLVYVDAIAIAIVGFSVTISMAKTLANKHGYQVDGNQELIALGLCNSIGSLFQTFSISCSLSRSLVQEGTGGKTQLAGCLASLMILLVILATGFLFESLPQAVLSAIVIVNLKGMFMQFSDLPFFWRTSKIELTIWLTTFVSSLFLGLDYGLITAVIIALLTVIYRTQSPSYKVLGKLPETDVYIDIDAYEEVKEIPGIKIFQINAPIYYANSDLYSNALKRKTGVNPAVIMGARRKAMRKYAKEVGNANMANATVVKADAEVDGEDATKPEEEDGEVKYPPIVIKSTFPEEMQRFMPPGDNVHTVILDFTQVNFIDSVGVKTLAGIVKEYGDVGIYVYLAGCSAQVVNDLTRNRFFENPALWELLFHSIHDAVLGSQLREALAEQEASAPPSQEDLEPNATPATPEA
+>DECOY_sp|P58743|S26A5_HUMAN Prestin OS=Homo sapiens OX=9606 GN=SLC26A5 PE=2 SV=1
+AEPTAPTANPELDEQSPPASAEQEALAERLQSGLVADHISHFLLEWLAPNEFFRNRTLDNVVQASCGALYVYIGVDGYEKVIGALTKVGVSDIFNVQTFDLIVTHVNDGPPMFRQMEEPFTSKIVIPPYKVEGDEEEPKTADEGDVEADAKVVTANAMNANGVEKAYKRMAKRRAGMIVAPNVGTKRKLANSYLDSNAYYIPANIQFIKIGPIEKVEEYADIDIYVDTEPLKGLVKYSPSQTRYIVTLLAIIVATILGYDLGLFLSSVFTTLWITLEIKSTRWFFPLDSFQMFMGKLNVIVIASLVAQPLSEFLFGTALIVLLIMLSALCGALQTKGGTGEQVLSRSLSCSISFTQFLSGISNCLGLAILEQNGDVQYGHKNALTKAMSITVSFGVIAIAIADVYVLHFLSTDPNAPPLLGLPLTGVVDVNYSEKLNFGASIGTGMVVAFFELPIPAPLKEKFRENFEKGGLLLGFVMLGVGLSCVNLNKVNQLVAVTSYVVSFIGSYRKTKVGFLYKLMSTFVHVAAATTFGRVLPETLYIAVFGFRCVGLCFQIIGSLLTVSMAVKVRLADRAETGNTANVGGPIVIDDPVLRVAVGGIMLSIVAFPGISIHRSTGLFCYMIVPYFSSYLGFIPPVAALMAFALGQPLQLVGTSIGSVLDGLVYEKFKYAPLWKTIPLFMYIINRIKKPTCTFAQKLKDAISDPVKDKTHLREQLVPHSFIPREVYYRQTAALIENEEAHDM
+>sp|Q8TE54|S26A7_HUMAN Anion exchange transporter OS=Homo sapiens OX=9606 GN=SLC26A7 PE=2 SV=2
+MTGAKRKKKSMLWSKMHTPQCEDIIQWCRRRLPILDWAPHYNLKENLLPDTVSGIMLAVQQVTQGLAFAVLSSVHPVFGLYGSLFPAIIYAIFGMGHHVATGTFALTSLISANAVERIVPQNMQNLTTQSNTSVLGLSDFEMQRIHVAAAVSFLGGVIQVAMFVLQLGSATFVVTEPVISAMTTGAATHVVTSQVKYLLGMKMPYISGPLGFFYIYAYVFENIKSVRLEALLLSLLSIVVLVLVKELNEQFKRKIKVVLPVDLVLIIAASFACYCTNMENTYGLEVVGHIPQGIPSPRAPPMNILSAVITEAFGVALVGYVASLALAQGSAKKFKYSIDDNQEFLAHGLSNIVSSFFFCIPSAAAMGRTAGLYSTGAKTQVACLISCIFVLIVIYAIGPLLYWLPMCVLASIIVVGLKGMLIQFRDLKKYWNVDKIDWGIWVSTYVFTICFAANVGLLFGVVCTIAIVIGRFPRAMTVSIKNMKEMEFKVKTEMDSETLQQVKIISINNPLVFLNAKKFYTDLMNMIQKENACNQPLDDISKCEQNTLLNSLSNGNCNEEASQSCPNEKCYLILDCSGFTFFDYSGVSMLVEVYMDCKGRSVDVLLAHCTASLIKAMTYYGNLDSEKPIFFESVSAAISHIHSNKNLSKLSDHSEV
+>DECOY_sp|Q8TE54|S26A7_HUMAN Anion exchange transporter OS=Homo sapiens OX=9606 GN=SLC26A7 PE=2 SV=2
+VESHDSLKSLNKNSHIHSIAASVSEFFIPKESDLNGYYTMAKILSATCHALLVDVSRGKCDMYVEVLMSVGSYDFFTFGSCDLILYCKENPCSQSAEENCNGNSLSNLLTNQECKSIDDLPQNCANEKQIMNMLDTYFKKANLFVLPNNISIIKVQQLTESDMETKVKFEMEKMNKISVTMARPFRGIVIAITCVVGFLLGVNAAFCITFVYTSVWIGWDIKDVNWYKKLDRFQILMGKLGVVIISALVCMPLWYLLPGIAYIVILVFICSILCAVQTKAGTSYLGATRGMAAASPICFFFSSVINSLGHALFEQNDDISYKFKKASGQALALSAVYGVLAVGFAETIVASLINMPPARPSPIGQPIHGVVELGYTNEMNTCYCAFSAAIILVLDVPLVVKIKRKFQENLEKVLVLVVISLLSLLLAELRVSKINEFVYAYIYFFGLPGSIYPMKMGLLYKVQSTVVHTAAGTTMASIVPETVVFTASGLQLVFMAVQIVGGLFSVAAAVHIRQMEFDSLGLVSTNSQTTLNQMNQPVIREVANASILSTLAFTGTAVHHGMGFIAYIIAPFLSGYLGFVPHVSSLVAFALGQTVQQVALMIGSVTDPLLNEKLNYHPAWDLIPLRRRCWQIIDECQPTHMKSWLMSKKKRKAGTM
+>sp|O14975|S27A2_HUMAN Very long-chain acyl-CoA synthetase OS=Homo sapiens OX=9606 GN=SLC27A2 PE=1 SV=2
+MLSAIYTVLAGLLFLPLLVNLCCPYFFQDIGYFLKVAAVGRRVRSYGKRRPARTILRAFLEKARQTPHKPFLLFRDETLTYAQVDRRSNQVARALHDHLGLRQGDCVALLMGNEPAYVWLWLGLVKLGCAMACLNYNIRAKSLLHCFQCCGAKVLLVSPELQAAVEEILPSLKKDDVSIYYVSRTSNTDGIDSFLDKVDEVSTEPIPESWRSEVTFSTPALYIYTSGTTGLPKAAMITHQRIWYGTGLTFVSGLKADDVIYITLPFYHSAALLIGIHGCIVAGATLALRTKFSASQFWDDCRKYNVTVIQYIGELLRYLCNSPQKPNDRDHKVRLALGNGLRGDVWRQFVKRFGDICIYEFYAATEGNIGFMNYARKVGAVGRVNYLQKKIITYDLIKYDVEKDEPVRDENGYCVRVPKGEVGLLVCKITQLTPFNGYAGAKAQTEKKKLRDVFKKGDLYFNSGDLLMVDHENFIYFHDRVGDTFRWKGENVATTEVADTVGLVDFVQEVNVYGVHVPDHEGRIGMASIKMKENHEFDGKKLFQHIADYLPSYARPRFLRIQDTIEITGTFKHRKMTLVEEGFNPAVIKDALYFLDDTAKMYVPMTEDIYNAISAKTLKL
+>DECOY_sp|O14975|S27A2_HUMAN Very long-chain acyl-CoA synthetase OS=Homo sapiens OX=9606 GN=SLC27A2 PE=1 SV=2
+LKLTKASIANYIDETMPVYMKATDDLFYLADKIVAPNFGEEVLTMKRHKFTGTIEITDQIRLFRPRAYSPLYDAIHQFLKKGDFEHNEKMKISAMGIRGEHDPVHVGYVNVEQVFDVLGVTDAVETTAVNEGKWRFTDGVRDHFYIFNEHDVMLLDGSNFYLDGKKFVDRLKKKETQAKAGAYGNFPTLQTIKCVLLGVEGKPVRVCYGNEDRVPEDKEVDYKILDYTIIKKQLYNVRGVAGVKRAYNMFGINGETAAYFEYICIDGFRKVFQRWVDGRLGNGLALRVKHDRDNPKQPSNCLYRLLEGIYQIVTVNYKRCDDWFQSASFKTRLALTAGAVICGHIGILLAASHYFPLTIYIVDDAKLGSVFTLGTGYWIRQHTIMAAKPLGTTGSTYIYLAPTSFTVESRWSEPIPETSVEDVKDLFSDIGDTNSTRSVYYISVDDKKLSPLIEEVAAQLEPSVLLVKAGCCQFCHLLSKARINYNLCAMACGLKVLGLWLWVYAPENGMLLAVCDGQRLGLHDHLARAVQNSRRDVQAYTLTEDRFLLFPKHPTQRAKELFARLITRAPRRKGYSRVRRGVAAVKLFYGIDQFFYPCCLNVLLPLFLLGALVTYIASLM
+>sp|Q5VYP0|S31A3_HUMAN Spermatogenesis-associated protein 31A3 OS=Homo sapiens OX=9606 GN=SPATA31A3 PE=2 SV=1
+MENLPFPLKLLSASSLNAPSSTPWVLDIFLTLVFALGFFFLLLPYLSYFRCDDPPSPSPGKRKCPVGRRRRPRGRMKNHSLRAGRECRRGLEETSDLLSQLQSLLGPHLDKGDFGQLSGPDPPGEVGERAPDGASQSSHEPMEDAAPILSLLASPDPQAKHPQDLASTPSPGPMTTSVSSLSASQPPEPSLPLEHPSPEPPALFPHPPHTPDPLACSLPPPKGFTAPPLRDSTLITPSHCDSVALPLGTVPQSLSPHEDLVASVPAISGLGGSNSHVSASSRWQETARTSCAFNSSVQQDHLSRHPPETCQMEAGSLFLLSSDGQNVVGIQVTETAKVNIWEEKENVGSFTNRMTPEKHLNSLRNLAKSLDAEQDTTNPKPFWNMGENSKQLPGPQKLSDPRLWQESFWKNYSQLFWGLPSLHSESLVANAWVTDRSYTLQSPPFLFNEMSNVCPIQRETTMSPLLFQAQPLSHLGPECQPFISSTPQFRPTPMAQAEAQAHLQSSFPVLSPAFPSLIQNTGVACPASQNKVQALSLPETQHPEWPLLRRQLEGRLALPSRVQKSQDVFSVSTPNLPQESLTSILPENFPVSPELRRQLEQHIKKWIIQHWGNLGRIQESLDLMQLQDESPGTSQAKGKPSPWQSSMSTGESSKEAQKVKFQLERDPCPHLGQILGETPQNLSRDMKSFPRKVLGVTSEELERNLRKPLRSDSGSDLLRCTERTHIENILKAHMGRNLGQTNEGLIPVRVRRSWLAVNQALPVSNTHVKTSNLAAPKSGKACVNTAQVLSFLEPCTQQGLGAHIVRFWAKHRWGLPLRVLKPIQCFKLEKVSSLSLTQLAGPSSATCESGAGSEVEVDMFLRKPPMASLRKQVLTKASDHMPESLLASSPAWKQFQRAPRGIPSWNDHEPLKPPPAGQEGRWPSKPLTYSLTGSTQQSRSLGAQSSKAGETREAVPQCRVPLETCMLANLQATSEDVHGFEAPGTSKSSLHPRVSVSQDPRKLCLMEEVVSEFEPGMATKSETQPQVCAAVVLLPDGQASVVPHASENLVSQVPQGHLQSMPTGNMRASQELHDLMAARRSKLVHEEPRKPNCQGSCKSQRPMFPPIHKSEKFRKPNLEKHEERLEGLRTPQLTPVRKTEDTHQDEGVQLLPSKKQPPSVSPFGENIKQIFQWIFSKKKSKPAPVTAESQKTVKNRSCVYSSSAEAQGLMTAVGQMLDEKMSLCHARHASKVNQHKQKFQAPVCGFPCNHRHLFYSEHGRILSYAASSQQATLKSQGCPNRDRQIRNQQPLKSVRCNNEQWGLRHPQILHPKKAVSPVSPPQHWPKTSGASSHHHHCPRHCLLWEGI
+>DECOY_sp|Q5VYP0|S31A3_HUMAN Spermatogenesis-associated protein 31A3 OS=Homo sapiens OX=9606 GN=SPATA31A3 PE=2 SV=1
+IGEWLLCHRPCHHHHSSAGSTKPWHQPPSVPSVAKKPHLIQPHRLGWQENNCRVSKLPQQNRIQRDRNPCGQSKLTAQQSSAAYSLIRGHESYFLHRHNCPFGCVPAQFKQKHQNVKSAHRAHCLSMKEDLMQGVATMLGQAEASSSYVCSRNKVTKQSEATVPAPKSKKKSFIWQFIQKINEGFPSVSPPQKKSPLLQVGEDQHTDETKRVPTLQPTRLGELREEHKELNPKRFKESKHIPPFMPRQSKCSGQCNPKRPEEHVLKSRRAAMLDHLEQSARMNGTPMSQLHGQPVQSVLNESAHPVVSAQGDPLLVVAACVQPQTESKTAMGPEFESVVEEMLCLKRPDQSVSVRPHLSSKSTGPAEFGHVDESTAQLNALMCTELPVRCQPVAERTEGAKSSQAGLSRSQQTSGTLSYTLPKSPWRGEQGAPPPKLPEHDNWSPIGRPARQFQKWAPSSALLSEPMHDSAKTLVQKRLSAMPPKRLFMDVEVESGAGSECTASSPGALQTLSLSSVKELKFCQIPKLVRLPLGWRHKAWFRVIHAGLGQQTCPELFSLVQATNVCAKGSKPAALNSTKVHTNSVPLAQNVALWSRRVRVPILGENTQGLNRGMHAKLINEIHTRETCRLLDSGSDSRLPKRLNRELEESTVGLVKRPFSKMDRSLNQPTEGLIQGLHPCPDRELQFKVKQAEKSSEGTSMSSQWPSPKGKAQSTGPSEDQLQMLDLSEQIRGLNGWHQIIWKKIHQELQRRLEPSVPFNEPLISTLSEQPLNPTSVSFVDQSKQVRSPLALRGELQRRLLPWEPHQTEPLSLAQVKNQSAPCAVGTNQILSPFAPSLVPFSSQLHAQAEAQAMPTPRFQPTSSIFPQCEPGLHSLPQAQFLLPSMTTERQIPCVNSMENFLFPPSQLTYSRDTVWANAVLSESHLSPLGWFLQSYNKWFSEQWLRPDSLKQPGPLQKSNEGMNWFPKPNTTDQEADLSKALNRLSNLHKEPTMRNTFSGVNEKEEWINVKATETVQIGVVNQGDSSLLFLSGAEMQCTEPPHRSLHDQQVSSNFACSTRATEQWRSSASVHSNSGGLGSIAPVSAVLDEHPSLSQPVTGLPLAVSDCHSPTILTSDRLPPATFGKPPPLSCALPDPTHPPHPFLAPPEPSPHELPLSPEPPQSASLSSVSTTMPGPSPTSALDQPHKAQPDPSALLSLIPAADEMPEHSSQSAGDPAREGVEGPPDPGSLQGFDGKDLHPGLLSQLQSLLDSTEELGRRCERGARLSHNKMRGRPRRRRGVPCKRKGPSPSPPDDCRFYSLYPLLLFFFGLAFVLTLFIDLVWPTSSPANLSSASLLKLPFPLNEM
+>sp|P0DKV0|S31C1_HUMAN Putative spermatogenesis-associated protein 31C1 OS=Homo sapiens OX=9606 GN=SPATA31C1 PE=5 SV=1
+MENLPFPLKLLSASSLNTPSSTPWVLDIFLTLVFALGLFFLLLPYFSYLRCDNPPSPSPRKRKRHLVSQRHLVSQCPTGRRGRPRGRMKNHSLRACRECPRGLEETWDLLSQLQSLLGPHLEKGDFGQLSGPDPPGEVGKRTPDGASRSSHEPMEDAAPIVSPLASPDPRTKHPQDLASTPPPGPMTTSVSSLSASQPPEPSLLLERPSPEPPALFPHPPHTPDPLACSPPPPKGFTPPPLRDSTLLTPSHCDSVALPLDTVPQSLSPREDLAASVPAISGLGGSNSQVSALSWSQETTKTWCIFNSSVQQDHLSRQRDTTMSPLLFQAQPLSHLGPESQPFISSTPQFRPTPMAQAEAQAHLQSSFPVLSPAFLSPMKNTGVACPASQNKVQALSLPETQHPERPLLRKQLEGGLALPSRVQKSQDVFSVSTPNLPQERLTSILPENFPVSPELWRQLEQYMGQRGRIQESLDLMQLQDELPGTSQAKGKPRPWQSSTSTGESSKEAQTVKFQLERDPCPHLGQILGETPQNLSRGMESFPGKVLGATSEESERNLRKPLRSDSGSDLLRRTERNHIENILKAHMGRKLGQTNEGLIPVSVRRSWLAVNQAFPVSNTHVKTSNLAAPKSRKACVNTAQVLSFLELCTQQVLEAHIVRFWAKHRWGLPLRVLKPIQCFQLEKVSSLSLIQLAGPSSDTCESGAGSKVEVATLLGEPPMASLRKQVLTKPSVHMPERLQASSPACKQFQRAPRGIPSSNDHGSLKAPTAGQEGRWPSKPLTYSLKGSTQQSRSLGAQSSRAGETREAVPQPTVPLGTCMRANLQATSEDVRGFKAPGASKSSLLPRMSVSQDPRKLCLMEEAVSEFEPGMATKSETQPQVSAAVVLLPDGQASVVPHASENLASQVPQGHLQSTPTGNMQASQELCDLMSARRSNMGHKEPRNPNCQGSCKSQSPMFPPTHKRENSRKPNLEKHEEMFQGLRTPQLTPGRKTEDTRQNEGVQLLPSKKQPPSISHFGENIKQFFETIFSKKERKPAPVTAESQKTVKNRSCVYGSSAEAERLMTAVGQIPEENMSLCHARHASKVNQQRQQFQAPVCGFPCNHRHPFYSDHSRMLSYAASSQQATLKNQSRPNRDRQIRDQQPLKSVRCNNEQWGLRHPQLLLPKKAVSPVSPPQHRPKTPSASSHHHH
+>DECOY_sp|P0DKV0|S31C1_HUMAN Putative spermatogenesis-associated protein 31C1 OS=Homo sapiens OX=9606 GN=SPATA31C1 PE=5 SV=1
+HHHHSSASPTKPRHQPPSVPSVAKKPLLLQPHRLGWQENNCRVSKLPQQDRIQRDRNPRSQNKLTAQQSSAAYSLMRSHDSYFPHRHNCPFGCVPAQFQQRQQNVKSAHRAHCLSMNEEPIQGVATMLREAEASSGYVCSRNKVTKQSEATVPAPKREKKSFITEFFQKINEGFHSISPPQKKSPLLQVGENQRTDETKRGPTLQPTRLGQFMEEHKELNPKRSNERKHTPPFMPSQSKCSGQCNPNRPEKHGMNSRRASMLDCLEQSAQMNGTPTSQLHGQPVQSALNESAHPVVSAQGDPLLVVAASVQPQTESKTAMGPEFESVAEEMLCLKRPDQSVSMRPLLSSKSAGPAKFGRVDESTAQLNARMCTGLPVTPQPVAERTEGARSSQAGLSRSQQTSGKLSYTLPKSPWRGEQGATPAKLSGHDNSSPIGRPARQFQKCAPSSAQLREPMHVSPKTLVQKRLSAMPPEGLLTAVEVKSGAGSECTDSSPGALQILSLSSVKELQFCQIPKLVRLPLGWRHKAWFRVIHAELVQQTCLELFSLVQATNVCAKRSKPAALNSTKVHTNSVPFAQNVALWSRRVSVPILGENTQGLKRGMHAKLINEIHNRETRRLLDSGSDSRLPKRLNRESEESTAGLVKGPFSEMGRSLNQPTEGLIQGLHPCPDRELQFKVTQAEKSSEGTSTSSQWPRPKGKAQSTGPLEDQLQMLDLSEQIRGRQGMYQELQRWLEPSVPFNEPLISTLREQPLNPTSVSFVDQSKQVRSPLALGGELQKRLLPREPHQTEPLSLAQVKNQSAPCAVGTNKMPSLFAPSLVPFSSQLHAQAEAQAMPTPRFQPTSSIFPQSEPGLHSLPQAQFLLPSMTTDRQRSLHDQQVSSNFICWTKTTEQSWSLASVQSNSGGLGSIAPVSAALDERPSLSQPVTDLPLAVSDCHSPTLLTSDRLPPPTFGKPPPPSCALPDPTHPPHPFLAPPEPSPRELLLSPEPPQSASLSSVSTTMPGPPPTSALDQPHKTRPDPSALPSVIPAADEMPEHSSRSAGDPTRKGVEGPPDPGSLQGFDGKELHPGLLSQLQSLLDWTEELGRPCERCARLSHNKMRGRPRGRRGTPCQSVLHRQSVLHRKRKRPSPSPPNDCRLYSFYPLLLFFLGLAFVLTLFIDLVWPTSSPTNLSSASLLKLPFPLNEM
+>sp|Q6ZUB1|S31E1_HUMAN Spermatogenesis-associated protein 31E1 OS=Homo sapiens OX=9606 GN=SPATA31E1 PE=2 SV=2
+MGNLVIPLGKGRAGRVESGQRIPPPAPRPSVECTGDDIALQMEKMLFPLKSPSATWLSPSSTPWMMDFILTSVCGLVLLFLLLLYVHSDPPSPPPGRKRSSREPQRERSGRSRSRKISALKACRILLRELEETRDLNYLLESHLRKLAGEGSSHLPLGGDPLGDVCKPVPAKAHQPHGKCMQDPSPASLSPPAPPAPLASTLSPGPMTFSEPFGPHSTLSASGPPEPLLPLKCPATQPHVVFPPSPQPHGPLASSPPPPDSSLAGLQCGSTTCPVPQSSPLHNQVLPPPTRVISGLGCSSDPIWDLYCWREAATTWGLSTYSHGKSQPRHLPDHTSEASFWGDPTPKHMEVGGCTFIHPDVQKLLETLIAKRALMKMWQEKERKRADHPHMTSLGKEWDITTLNPFWNVSTQPQQLPRPQQVSDATTVGNHLQQKRSQLFWDLPSLNSESLATTVWVSRNPSSQNAHSVPLDKASTSLPGEPEVEASSQLSQAPPQPHHMAQPQHFTPAWPQSQPPPLAEIQTQAHLSPPVPSLGCSSPPQIRGCGASYPTSQERTQSVIPTGKEYLEWPLKKRPKWKRVLPSLLKKSQAVLSQPTAHLPQERPASWSPKSAPILPGVVTSPELPEHWWQGRNAIHQEQSCGPPSRLQASGDLLQPDGEFPGRPQSQAEDTQQALLPSQPSDFAGKGRKDVQKTGFRSSGRFSDKGCLGSKLGPDPSRDQGSGRTSVKALDEDKEAEGDLRRSWKYQSVSSTPRDPDKEHLENKLQIHLARKVGEIKEGWIPMPVRRSWLMAKCAVPKSDTHRKPGKLASWRGGKAHVNTSQELSFLHPCTQQILEVHLVRFCVRHSWGTDLQSLEPINVWSGEAQAPPFPQSTFTPWASWVSRVESVPKVPIFLGKRPQNGPGDNRTTSKSVPTVSGPLAAPPPEQEGVQRPPRGSQSADTHGRSEAFPTGHKGRGCSQPPTCSLVGRTWQSRTVLESGKPKPRLEGSMGSEMAGNEAWLESESMSPGDPCSSRALQVLSIGSQWARAEDALQALKVGEKPPTWEVTLGASVRASSGSVQEDLRSTGALGTTGNPSASSVCVAQDPEQLHLKAQVVSEIALIVQVDSEEQLPGRAPGILLQDGATGLCLPGRHMDMLTAADRLPTQAPLSTSQSVSGKNMTASQGPCALLWKGGDSPGQQEPGSPKAKAPQKSQKTLGCADKGEAHRRPRTGEQGHRSKGPRTSEASGRSHPAQAREIGDKQERKYNQLQLEKGQTPPESHFQRKISHHPQGLHPRKGGTRWEDVLQKGKPGADAFQSWGSGPPRQFMDCMADKAWTISRVVGQILVDKLGLQWGRGPSEVNRHKGDFRAQENVPSCCHRGHCHQERSREMRALACSPKATPKGHHCPVKNRGIRDRDSSWAPPPREPVSPAGPHHHRPRMASTSGGPHPQLQELMSAQRCLAS
+>DECOY_sp|Q6ZUB1|S31E1_HUMAN Spermatogenesis-associated protein 31E1 OS=Homo sapiens OX=9606 GN=SPATA31E1 PE=2 SV=2
+SALCRQASMLEQLQPHPGGSTSAMRPRHHHPGAPSVPERPPPAWSSDRDRIGRNKVPCHHGKPTAKPSCALARMERSREQHCHGRHCCSPVNEQARFDGKHRNVESPGRGWQLGLKDVLIQGVVRSITWAKDAMCDMFQRPPGSGWSQFADAGPKGKQLVDEWRTGGKRPHLGQPHHSIKRQFHSEPPTQGKELQLQNYKREQKDGIERAQAPHSRGSAESTRPGKSRHGQEGTRPRRHAEGKDACGLTKQSKQPAKAKPSGPEQQGPSDGGKWLLACPGQSATMNKGSVSQSTSLPAQTPLRDAATLMDMHRGPLCLGTAGDQLLIGPARGPLQEESDVQVILAIESVVQAKLHLQEPDQAVCVSSASPNGTTGLAGTSRLDEQVSGSSARVSAGLTVEWTPPKEGVKLAQLADEARAWQSGISLVQLARSSCPDGPSMSESELWAENGAMESGMSGELRPKPKGSELVTRSQWTRGVLSCTPPQSCGRGKHGTPFAESRGHTDASQSGRPPRQVGEQEPPPAALPGSVTPVSKSTTRNDGPGNQPRKGLFIPVKPVSEVRSVWSAWPTFTSQPFPPAQAEGSWVNIPELSQLDTGWSHRVCFRVLHVELIQQTCPHLFSLEQSTNVHAKGGRWSALKGPKRHTDSKPVACKAMLWSRRVPMPIWGEKIEGVKRALHIQLKNELHEKDPDRPTSSVSQYKWSRRLDGEAEKDEDLAKVSTRGSGQDRSPDPGLKSGLCGKDSFRGSSRFGTKQVDKRGKGAFDSPQSPLLAQQTDEAQSQPRGPFEGDPQLLDGSAQLRSPPGCSQEQHIANRGQWWHEPLEPSTVVGPLIPASKPSWSAPREQPLHATPQSLVAQSKKLLSPLVRKWKPRKKLPWELYEKGTPIVSQTREQSTPYSAGCGRIQPPSSCGLSPVPPSLHAQTQIEALPPPQSQPWAPTFHQPQAMHHPQPPAQSLQSSAEVEPEGPLSTSAKDLPVSHANQSSPNRSVWVTTALSESNLSPLDWFLQSRKQQLHNGVTTADSVQQPRPLQQPQTSVNWFPNLTTIDWEKGLSTMHPHDARKREKEQWMKMLARKAILTELLKQVDPHIFTCGGVEMHKPTPDGWFSAESTHDPLHRPQSKGHSYTSLGWTTAAERWCYLDWIPDSSCGLGSIVRTPPPLVQNHLPSSQPVPCTTSGCQLGALSSDPPPPSSALPGHPQPSPPFVVHPQTAPCKLPLLPEPPGSASLTSHPGFPESFTMPGPSLTSALPAPPAPPSLSAPSPDQMCKGHPQHAKAPVPKCVDGLPDGGLPLHSSGEGALKRLHSELLYNLDRTEELERLLIRCAKLASIKRSRSRGSRERQPERSSRKRGPPPSPPDSHVYLLLLFLLVLGCVSTLIFDMMWPTSSPSLWTASPSKLPFLMKEMQLAIDDGTCEVSPRPAPPPIRQGSEVRGARGKGLPIVLNGM
+>sp|Q9BS91|S35A5_HUMAN Probable UDP-sugar transporter protein SLC35A5 OS=Homo sapiens OX=9606 GN=SLC35A5 PE=1 SV=2
+MEKQCCSHPVICSLSTMYTFLLGAIFIALSSSRILLVKYSANEENKYDYLPTTVNVCSELVKLVFCVLVSFCVIKKDHQSRNLKYASWKEFSDFMKWSIPAFLYFLDNLIVFYVLSYLQPAMAVIFSNFSIITTALLFRIVLKRRLNWIQWASLLTLFLSIVALTAGTKTLQHNLAGRGFHHDAFFSPSNSCLLFRSECPRKDNCTAKEWTFPEAKWNTTARVFSHIRLGMGHVLIIVQCFISSMANIYNEKILKEGNQLTESIFIQNSKLYFFGILFNGLTLGLQRSNRDQIKNCGFFYGHSAFSVALIFVTAFQGLSVAFILKFLDNMFHVLMAQVTTVIITTVSVLVFDFRPSLEFFLEAPSVLLSIFIYNASKPQVPEYAPRQERIRDLSGNLWERSSGDGEELERLTKPKSDESDEDTF
+>DECOY_sp|Q9BS91|S35A5_HUMAN Probable UDP-sugar transporter protein SLC35A5 OS=Homo sapiens OX=9606 GN=SLC35A5 PE=1 SV=2
+FTDEDSEDSKPKTLRELEEGDGSSREWLNGSLDRIREQRPAYEPVQPKSANYIFISLLVSPAELFFELSPRFDFVLVSVTTIIVTTVQAMLVHFMNDLFKLIFAVSLGQFATVFILAVSFASHGYFFGCNKIQDRNSRQLGLTLGNFLIGFFYLKSNQIFISETLQNGEKLIKENYINAMSSIFCQVIILVHGMGLRIHSFVRATTNWKAEPFTWEKATCNDKRPCESRFLLCSNSPSFFADHHFGRGALNHQLTKTGATLAVISLFLTLLSAWQIWNLRRKLVIRFLLATTIISFNSFIVAMAPQLYSLVYFVILNDLFYLFAPISWKMFDSFEKWSAYKLNRSQHDKKIVCFSVLVCFVLKVLESCVNVTTPLYDYKNEENASYKVLLIRSSSLAIFIAGLLFTYMTSLSCIVPHSCCQKEM
+>sp|Q9H1N7|S35B3_HUMAN Adenosine 3'-phospho 5'-phosphosulfate transporter 2 OS=Homo sapiens OX=9606 GN=SLC35B3 PE=1 SV=1
+MDLTQQAKDIQNITVQETNKNNSESIECSKITMDLKFNNSRKYISITVPSKTQTMSPHIKSVDDVVVLGMNLSKFNKLTQFFICVAGVFVFYLIYGYLQELIFSVEGFKSCGWYLTLVQFAFYSIFGLIELQLIQDKRRRIPGKTYMIIAFLTVGTMGLSNTSLGYLNYPTQVIFKCCKLIPVMLGGVFIQGKRYNVADVSAAICMSLGLIWFTLADSTTAPNFNLTGVVLISLALCADAVIGNVQEKAMKLHNASNSEMVLYSYSIGFVYILLGLTCTSGLGPAVTFCAKNPVRTYGYAFLFSLTGYFGISFVLALIKIFGALIAVTVTTGRKAMTIVLSFIFFAKPFTFQYVWSGLLVVLGIFLNVYSKNMDKIRLPSLYDLINKSVEARKSRTLAQTV
+>DECOY_sp|Q9H1N7|S35B3_HUMAN Adenosine 3'-phospho 5'-phosphosulfate transporter 2 OS=Homo sapiens OX=9606 GN=SLC35B3 PE=1 SV=1
+VTQALTRSKRAEVSKNILDYLSPLRIKDMNKSYVNLFIGLVVLLGSWVYQFTFPKAFFIFSLVITMAKRGTTVTVAILAGFIKILALVFSIGFYGTLSFLFAYGYTRVPNKACFTVAPGLGSTCTLGLLIYVFGISYSYLVMESNSANHLKMAKEQVNGIVADACLALSILVVGTLNFNPATTSDALTFWILGLSMCIAASVDAVNYRKGQIFVGGLMVPILKCCKFIVQTPYNLYGLSTNSLGMTGVTLFAIIMYTKGPIRRRKDQILQLEILGFISYFAFQVLTLYWGCSKFGEVSFILEQLYGYILYFVFVGAVCIFFQTLKNFKSLNMGLVVVDDVSKIHPSMTQTKSPVTISIYKRSNNFKLDMTIKSCEISESNNKNTEQVTINQIDKAQQTLDM
+>sp|Q96K37|S35E1_HUMAN Solute carrier family 35 member E1 OS=Homo sapiens OX=9606 GN=SLC35E1 PE=1 SV=2
+MAAAAVGAGHGAGGPGAASSSGGAREGARVAALCLLWYALSAGGNVVNKVILSAFPFPVTVSLCHILALCAGLPPLLRAWRVPPAPPVSGPGPSPHPSSGPLLPPRFYPRYVLPLAFGKYFASVSAHVSIWKVPVSYAHTVKATMPIWVVLLSRIIMKEKQSTKVYLSLIPIISGVLLATVTELSFDMWGLVSALAATLCFSLQNIFSKKVLRDSRIHHLRLLNILGCHAVFFMIPTWVLVDLSAFLVSSDLTYVYQWPWTLLLLAVSGFCNFAQNVIAFSILNLVSPLSYSVANATKRIMVITVSLIMLRNPVTSTNVLGMMTAILGVFLYNKTKYDANQQARKHLLPVTTADLSSKERHRSPLEKPHNGLLFPQHGDYQYGRNNILTDHFQYSRQSYPNSYSLNRYDV
+>DECOY_sp|Q96K37|S35E1_HUMAN Solute carrier family 35 member E1 OS=Homo sapiens OX=9606 GN=SLC35E1 PE=1 SV=2
+VDYRNLSYSNPYSQRSYQFHDTLINNRGYQYDGHQPFLLGNHPKELPSRHREKSSLDATTVPLLHKRAQQNADYKTKNYLFVGLIATMMGLVNTSTVPNRLMILSVTIVMIRKTANAVSYSLPSVLNLISFAIVNQAFNCFGSVALLLLTWPWQYVYTLDSSVLFASLDVLVWTPIMFFVAHCGLINLLRLHHIRSDRLVKKSFINQLSFCLTAALASVLGWMDFSLETVTALLVGSIIPILSLYVKTSQKEKMIIRSLLVVWIPMTAKVTHAYSVPVKWISVHASVSAFYKGFALPLVYRPYFRPPLLPGSSPHPSPGPGSVPPAPPVRWARLLPPLGACLALIHCLSVTVPFPFASLIVKNVVNGGASLAYWLLCLAAVRAGERAGGSSSAAGPGGAGHGAGVAAAAM
+>sp|P0CK97|S35E2_HUMAN Solute carrier family 35 member E2 OS=Homo sapiens OX=9606 GN=SLC35E2 PE=2 SV=1
+MSSSVKTPALEELVPGSEEKPKGRSPLSWGSLFGHRSEKIVFAKSDGGTDENVLTVTITETTVIESDLGVWSSRALLYLTLWFFFSFCTLFLNKYILSLLGGEPSMLGAVQMLSTTVIGCVKTLVPCCLYQHKARLSYPPNFLMTMLFVGLMRFATVVLGLVSLKNVAVSFAETVKSSAPIFTVIMSRMILGEYTGRPSDREEREELQLQPGRGAAASDRRSPVPPSERHGVRPHGENLPGDFQVPQALHRVALSMALPCPMLPAS
+>DECOY_sp|P0CK97|S35E2_HUMAN Solute carrier family 35 member E2 OS=Homo sapiens OX=9606 GN=SLC35E2 PE=2 SV=1
+SAPLMPCPLAMSLAVRHLAQPVQFDGPLNEGHPRVGHRESPPVPSRRDSAAAGRGPQLQLEEREERDSPRGTYEGLIMRSMIVTFIPASSKVTEAFSVAVNKLSVLGLVVTAFRMLGVFLMTMLFNPPYSLRAKHQYLCCPVLTKVCGIVTTSLMQVAGLMSPEGGLLSLIYKNLFLTCFSFFFWLTLYLLARSSWVGLDSEIVTTETITVTLVNEDTGGDSKAFVIKESRHGFLSGWSLPSRGKPKEESGPVLEELAPTKVSSSM
+>sp|Q6ICL7|S35E4_HUMAN Solute carrier family 35 member E4 OS=Homo sapiens OX=9606 GN=SLC35E4 PE=2 SV=1
+MCRCPPEHHDGRMTSAEVGAAAGGAQAAGPPEWPPGSPQALRQPGRARVAMAALVWLLAGASMSSLNKWIFTVHGFGRPLLLSALHMLVAALACHRGARRPMPGGTRCRVLLLSLTFGTSMACGNVGLRAVPLDLAQLVTTTTPLFTLALSALLLGRRHHPLQLAAMGPLCLGAACSLAGEFRTPPTGCGFLLAATCLRGLKSVQQSALLQEERLDAVTLLYATSLPSFCLLAGAALVLEAGVAPPPTAGDSRLWACILLSCLLSVLYNLASFSLLALTSALTVHVLGNLTVVGNLILSRLLFGSRLSALSYVGIALTLSGMFLYHNCEFVASWAARRGLWRRDQPSKGL
+>DECOY_sp|Q6ICL7|S35E4_HUMAN Solute carrier family 35 member E4 OS=Homo sapiens OX=9606 GN=SLC35E4 PE=2 SV=1
+LGKSPQDRRWLGRRAAWSAVFECNHYLFMGSLTLAIGVYSLASLRSGFLLRSLILNGVVTLNGLVHVTLASTLALLSFSALNYLVSLLCSLLICAWLRSDGATPPPAVGAELVLAAGALLCFSPLSTAYLLTVADLREEQLLASQQVSKLGRLCTAALLFGCGTPPTRFEGALSCAAGLCLPGMAALQLPHHRRGLLLASLALTFLPTTTTVLQALDLPVARLGVNGCAMSTGFTLSLLLVRCRTGGPMPRRAGRHCALAAVLMHLASLLLPRGFGHVTFIWKNLSSMSAGALLWVLAAMAVRARGPQRLAQPSGPPWEPPGAAQAGGAAAGVEASTMRGDHHEPPCRCM
+>sp|Q8IXU6|S35F2_HUMAN Solute carrier family 35 member F2 OS=Homo sapiens OX=9606 GN=SLC35F2 PE=1 SV=1
+MEADSPAGPGAPEPLAEGAAAEFSSLLRRIKGKLFTWNILKTIALGQMLSLCICGTAITSQYLAERYKVNTPMLQSFINYCLLFLIYTVMLAFRSGSDNLLVILKRKWWKYILLGLADVEANYVIVRAYQYTTLTSVQLLDCFGIPVLMALSWFILHARYRVIHFIAVAVCLLGVGTMVGADILAGREDNSGSDVLIGDILVLLGASLYAISNVCEEYIVKKLSRQEFLGMVGLFGTIISGIQLLIVEYKDIASIHWDWKIALLFVAFALCMFCLYSFMPLVIKVTSATSVNLGILTADLYSLFVGLFLFGYKFSGLYILSFTVIMVGFILYCSTPTRTAEPAESSVPPVTSIGIDNLGLKLEENLQETHSAVL
+>DECOY_sp|Q8IXU6|S35F2_HUMAN Solute carrier family 35 member F2 OS=Homo sapiens OX=9606 GN=SLC35F2 PE=1 SV=1
+LVASHTEQLNEELKLGLNDIGISTVPPVSSEAPEATRTPTSCYLIFGVMIVTFSLIYLGSFKYGFLFLGVFLSYLDATLIGLNVSTASTVKIVLPMFSYLCFMCLAFAVFLLAIKWDWHISAIDKYEVILLQIGSIITGFLGVMGLFEQRSLKKVIYEECVNSIAYLSAGLLVLIDGILVDSGSNDERGALIDAGVMTGVGLLCVAVAIFHIVRYRAHLIFWSLAMLVPIGFCDLLQVSTLTTYQYARVIVYNAEVDALGLLIYKWWKRKLIVLLNDSGSRFALMVTYILFLLCYNIFSQLMPTNVKYREALYQSTIATGCICLSLMQGLAITKLINWTFLKGKIRRLLSSFEAAAGEALPEPAGPGAPSDAEM
+>sp|Q8IY50|S35F3_HUMAN Putative thiamine transporter SLC35F3 OS=Homo sapiens OX=9606 GN=SLC35F3 PE=2 SV=2
+MKKHSARVAPLSACNSPVLTLTKVEGEERPRDSPGPAEAQAPAGVEAGGRASRRCWTCSRAQLKKIFWGVAVVLCVCSSWAGSTQLAKLTFRKFDAPFTLTWFATNWNFLFFPLYYVGHVCKSTEKQSVKQRYRECCRFFGDNGLTLKVFFTKAAPFGVLWTLTNYLYLHAIKKINTTDVSVLFCCNKAFVFLLSWIVLRDRFMGVRIVAAILAIAGIVMMTYADGFHSHSVIGIALVVASASMSALYKVLFKLLLGSAKFGEAALFLSILGVFNILFITCIPIILYFTKVEYWSSFDDIPWGNLCGFSVLLLTFNIVLNFGIAVTYPTLMSLGIVLSIPVNAVIDHYTSQIVFNGVRVIAIIIIGLGFLLLLLPEEWDVWLIKLLTRLKVRKKEEPAEGAADLSSGPQSKNRRARPSFAR
+>DECOY_sp|Q8IY50|S35F3_HUMAN Putative thiamine transporter SLC35F3 OS=Homo sapiens OX=9606 GN=SLC35F3 PE=2 SV=2
+RAFSPRARRNKSQPGSSLDAAGEAPEEKKRVKLRTLLKILWVDWEEPLLLLLFGLGIIIIAIVRVGNFVIQSTYHDIVANVPISLVIGLSMLTPYTVAIGFNLVINFTLLLVSFGCLNGWPIDDFSSWYEVKTFYLIIPICTIFLINFVGLISLFLAAEGFKASGLLLKFLVKYLASMSASAVVLAIGIVSHSHFGDAYTMMVIGAIALIAAVIRVGMFRDRLVIWSLLFVFAKNCCFLVSVDTTNIKKIAHLYLYNTLTWLVGFPAAKTFFVKLTLGNDGFFRCCERYRQKVSQKETSKCVHGVYYLPFFLFNWNTAFWTLTFPADFKRFTLKALQTSGAWSSCVCLVVAVGWFIKKLQARSCTWCRRSARGGAEVGAPAQAEAPGPSDRPREEGEVKTLTLVPSNCASLPAVRASHKKM
+>sp|Q969I6|S38A4_HUMAN Sodium-coupled neutral amino acid transporter 4 OS=Homo sapiens OX=9606 GN=SLC38A4 PE=1 SV=1
+MDPMELRNVNIEPDDESSSGESAPDSYIGIGNSEKAAMSSQFANEDTESQKFLTNGFLGKKKLADYADEHHPGTTSFGMSSFNLSNAIMGSGILGLSYAMANTGIILFIIMLLAVAILSLYSVHLLLKTAKEGGSLIYEKLGEKAFGWPGKIGAFVSITMQNIGAMSSYLFIIKYELPEVIRAFMGLEENTGEWYLNGNYLIIFVSVGIILPLSLLKNLGYLGYTSGFSLTCMVFFVSVVIYKKFQIPCPLPVLDHSVGNLSFNNTLPMHVVMLPNNSESSDVNFMMDYTHRNPAGLDENQAKGSLHDSGVEYEAHSDDKCEPKYFVFNSRTAYAIPILVFAFVCHPEVLPIYSELKDRSRRKMQTVSNISITGMLVMYLLAALFGYLTFYGEVEDELLHAYSKVYTLDIPLLMVRLAVLVAVTLTVPIVLFPIRTSVITLLFPKRPFSWIRHFLIAAVLIALNNVLVILVPTIKYIFGFIGASSATMLIFILPAVFYLKLVKKETFRSPQKVGALIFLVVGIFFMIGSMALIIIDWIYDPPNSKHH
+>DECOY_sp|Q969I6|S38A4_HUMAN Sodium-coupled neutral amino acid transporter 4 OS=Homo sapiens OX=9606 GN=SLC38A4 PE=1 SV=1
+HHKSNPPDYIWDIIILAMSGIMFFIGVVLFILAGVKQPSRFTEKKVLKLYFVAPLIFILMTASSAGIFGFIYKITPVLIVLVNNLAILVAAILFHRIWSFPRKPFLLTIVSTRIPFLVIPVTLTVAVLVALRVMLLPIDLTYVKSYAHLLEDEVEGYFTLYGFLAALLYMVLMGTISINSVTQMKRRSRDKLESYIPLVEPHCVFAFVLIPIAYATRSNFVFYKPECKDDSHAEYEVGSDHLSGKAQNEDLGAPNRHTYDMMFNVDSSESNNPLMVVHMPLTNNFSLNGVSHDLVPLPCPIQFKKYIVVSVFFVMCTLSFGSTYGLYGLNKLLSLPLIIGVSVFIILYNGNLYWEGTNEELGMFARIVEPLEYKIIFLYSSMAGINQMTISVFAGIKGPWGFAKEGLKEYILSGGEKATKLLLHVSYLSLIAVALLMIIFLIIGTNAMAYSLGLIGSGMIANSLNFSSMGFSTTGPHHEDAYDALKKKGLFGNTLFKQSETDENAFQSSMAAKESNGIGIYSDPASEGSSSEDDPEINVNRLEMPDM
+>sp|Q8IZM9|S38A6_HUMAN Probable sodium-coupled neutral amino acid transporter 6 OS=Homo sapiens OX=9606 GN=SLC38A6 PE=1 SV=2
+MEASWGSFNAERGWYVSVQQPEEAEAEELSPLLSNELHRQRSPGVSFGLSVFNLMNAIMGSGILGLAYVLANTGVFGFSFLLLTVALLASYSVHLLLSMCIQTAVTSYEDLGLFAFGLPGKLVVAGTIIIQNIGAMSSYLLIIKTELPAAIAEFLTGDYSRYWYLDGQTLLIIICVGIVFPLALLPKIGFLGYTSSLSFFFMMFFALVVIIKKWSIPCPLTLNYVEKGFQISNVTDDCKPKLFHFSKESAYALPTMAFSFLCHTSILPIYCELQSPSKKRMQNVTNTAIALSFLIYFISALFGYLTFYDKVESELLKGYSKYLSHDVVVMTVKLCILFAVLLTVPLIHFPARKAVTMMFFSNFPFSWIRHFLITLALNIIIVLLAIYVPDIRNVFGVVGASTSTCLIFIFPGLFYLKLSREDFLSWKKLGAFVLLIFGILVGNFSLALIIFDWINK
+>DECOY_sp|Q8IZM9|S38A6_HUMAN Probable sodium-coupled neutral amino acid transporter 6 OS=Homo sapiens OX=9606 GN=SLC38A6 PE=1 SV=2
+KNIWDFIILALSFNGVLIGFILLVFAGLKKWSLFDERSLKLYFLGPFIFILCTSTSAGVVGFVNRIDPVYIALLVIIINLALTILFHRIWSFPFNSFFMMTVAKRAPFHILPVTLLVAFLICLKVTMVVVDHSLYKSYGKLLESEVKDYFTLYGFLASIFYILFSLAIATNTVNQMRKKSPSQLECYIPLISTHCLFSFAMTPLAYASEKSFHFLKPKCDDTVNSIQFGKEVYNLTLPCPISWKKIIVVLAFFMMFFFSLSSTYGLFGIKPLLALPFVIGVCIIILLTQGDLYWYRSYDGTLFEAIAAPLETKIILLYSSMAGINQIIITGAVVLKGPLGFAFLGLDEYSTVATQICMSLLLHVSYSALLAVTLLLFSFGFVGTNALVYALGLIGSGMIANMLNFVSLGFSVGPSRQRHLENSLLPSLEEAEAEEPQQVSVYWGREANFSGWSAEM
+>sp|Q9HBR0|S38AA_HUMAN Putative sodium-coupled neutral amino acid transporter 10 OS=Homo sapiens OX=9606 GN=SLC38A10 PE=1 SV=2
+MTAAAASNWGLITNIVNSIVGVSVLTMPFCFKQCGIVLGALLLVFCSWMTHQSCMFLVKSASLSKRRTYAGLAFHAYGKAGKMLVETSMIGLMLGTCIAFYVVIGDLGSNFFARLFGFQVGGTFRMFLLFAVSLCIVLPLSLQRNMMASIQSFSAMALLFYTVFMFVIVLSSLKHGLFSGQWLRRVSYVRWEGVFRCIPIFGMSFACQSQVLPTYDSLDEPSVKTMSSIFASSLNVVTTFYVMVGFFGYVSFTEATAGNVLMHFPSNLVTEMLRVGFMMSVAVGFPMMILPCRQALSTLLCEQQQKDGTFAAGGYMPPLRFKALTLSVVFGTMVGGILIPNVETILGLTGATMGSLICFICPALIYKKIHKNALSSQVVLWVGLGVLVVSTVTTLSVSEEVPEDLAEEAPGGRLGEAEGLMKVEAARLSAQDPVVAVAEDGREKPKLPKEREELEQAQIKGPVDVPGREDGKEAPEEAQLDRPGQGIAVPVGEAHRHEPPVPHDKVVVDEGQDREVPEENKPPSRHAGGKAPGVQGQMAPPLPDSEREKQEPEQGEVGKRPGQAQALEEAGDLPEDPQKVPEADGQPAVQPAKEDLGPGDRGLHPRPQAVLSEQQNGLAVGGGEKAKGGPPPGNAAGDTGQPAEDSDHGGKPPLPAEKPAPGPGLPPEPREQRDVERAGGNQAASQLEEAGRAEMLDHAVLLQVIKEQQVQQKRLLDQQEKLLAVIEEQHKEIHQQRQEDEEDKPRQVEVHQEPGAAVPRGQEAPEGKARETVENLPPLPLDPVLRAPGGRPAPSQDLNQRSLEHSEGPVGRDPAGPPDGGPDTEPRAAQAKLRDGQKDAAPRAAGTVKELPKGPEQVPVPDPAREAGGPEERLAEEFPGQSQDVTGGSQDRKKPGKEVAATGTSILKEANWLVAGPGAETGDPRMKPKQVSRDLGLAADLPGGAEGAAAQPQAVLRQPELRVISDGEQGGQQGHRLDHGGHLEMRKARGGDHVPVSHEQPRGGEDAAVQEPRQRPEPELGLKRAVPGGQRPDNAKPNRDLKLQAGSDLRRRRRDLGPHAEGQLAPRDGVIIGLNPLPDVQVNDLRGALDAQLRQAAGGALQVVHSRQLRQAPGPPEES
+>DECOY_sp|Q9HBR0|S38AA_HUMAN Putative sodium-coupled neutral amino acid transporter 10 OS=Homo sapiens OX=9606 GN=SLC38A10 PE=1 SV=2
+SEEPPGPAQRLQRSHVVQLAGGAAQRLQADLAGRLDNVQVDPLPNLGIIVGDRPALQGEAHPGLDRRRRRLDSGAQLKLDRNPKANDPRQGGPVARKLGLEPEPRQRPEQVAADEGGRPQEHSVPVHDGGRAKRMELHGGHDLRHGQQGGQEGDSIVRLEPQRLVAQPQAAAGEAGGPLDAALGLDRSVQKPKMRPDGTEAGPGAVLWNAEKLISTGTAAVEKGPKKRDQSGGTVDQSQGPFEEALREEPGGAERAPDPVPVQEPGKPLEKVTGAARPAADKQGDRLKAQAARPETDPGGDPPGAPDRGVPGESHELSRQNLDQSPAPRGGPARLVPDLPLPPLNEVTERAKGEPAEQGRPVAAGPEQHVEVQRPKDEEDEQRQQHIEKHQEEIVALLKEQQDLLRKQQVQQEKIVQLLVAHDLMEARGAEELQSAAQNGGAREVDRQERPEPPLGPGPAPKEAPLPPKGGHDSDEAPQGTDGAANGPPPGGKAKEGGGVALGNQQESLVAQPRPHLGRDGPGLDEKAPQVAPQGDAEPVKQPDEPLDGAEELAQAQGPRKGVEGQEPEQKERESDPLPPAMQGQVGPAKGGAHRSPPKNEEPVERDQGEDVVVKDHPVPPEHRHAEGVPVAIGQGPRDLQAEEPAEKGDERGPVDVPGKIQAQELEEREKPLKPKERGDEAVAVVPDQASLRAAEVKMLGEAEGLRGGPAEEALDEPVEESVSLTTVTSVVLVGLGVWLVVQSSLANKHIKKYILAPCIFCILSGMTAGTLGLITEVNPILIGGVMTGFVVSLTLAKFRLPPMYGGAAFTGDKQQQECLLTSLAQRCPLIMMPFGVAVSMMFGVRLMETVLNSPFHMLVNGATAETFSVYGFFGVMVYFTTVVNLSSAFISSMTKVSPEDLSDYTPLVQSQCAFSMGFIPICRFVGEWRVYSVRRLWQGSFLGHKLSSLVIVFMFVTYFLLAMASFSQISAMMNRQLSLPLVICLSVAFLLFMRFTGGVQFGFLRAFFNSGLDGIVVYFAICTGLMLGIMSTEVLMKGAKGYAHFALGAYTRRKSLSASKVLFMCSQHTMWSCFVLLLAGLVIGCQKFCFPMTLVSVGVISNVINTILGWNSAAAATM
+>sp|Q9NY26|S39A1_HUMAN Zinc transporter ZIP1 OS=Homo sapiens OX=9606 GN=SLC39A1 PE=1 SV=1
+MGPWGEPELLVWRPEAVASEPPVPVGLEVKLGALVLLLVLTLLCSLVPICVLRRPGANHEGSASRQKALSLVSCFAGGVFLATCLLDLLPDYLAAIDEALAALHVTLQFPLQEFILAMGFFLVLVMEQITLAYKEQSGPSPLEETRALLGTVNGGPQHWHDGPGVPQASGAPATPSALRACVLVFSLALHSVFEGLAVGLQRDRARAMELCLALLLHKGILAVSLSLRLLQSHLRAQVVAGCGILFSCMTPLGIGLGAALAESAGPLHQLAQSVLEGMAAGTFLYITFLEILPQELASSEQRILKVILLLAGFALLTGLLFIQI
+>DECOY_sp|Q9NY26|S39A1_HUMAN Zinc transporter ZIP1 OS=Homo sapiens OX=9606 GN=SLC39A1 PE=1 SV=1
+IQIFLLGTLLAFGALLLIVKLIRQESSALEQPLIELFTIYLFTGAAMGELVSQALQHLPGASEALAAGLGIGLPTMCSFLIGCGAVVQARLHSQLLRLSLSVALIGKHLLLALCLEMARARDRQLGVALGEFVSHLALSFVLVCARLASPTAPAGSAQPVGPGDHWHQPGGNVTGLLARTEELPSPGSQEKYALTIQEMVLVLFFGMALIFEQLPFQLTVHLAALAEDIAALYDPLLDLLCTALFVGGAFCSVLSLAKQRSASGEHNAGPRRLVCIPVLSCLLTLVLLLVLAGLKVELGVPVPPESAVAEPRWVLLEPEGWPGM
+>sp|Q9NP94|S39A2_HUMAN Zinc transporter ZIP2 OS=Homo sapiens OX=9606 GN=SLC39A2 PE=1 SV=2
+MEQLLGIKLGCLFALLALTLGCGLTPICFKWFQIDAARGHHRLVLRLLGCISAGVFLGAGFMHMTAEALEEIESQIQKFMVQNRSASERNSSGDADSAHMEYPYGELIISLGFFFVFFLESLALQCCPGAAGGSTVQDEEWGGAHIFELHSHGHLPSPSKGPLRALVLLLSLSFHSVFEGLAVGLQPTVAATVQLCLAVLAHKGLVVFGVGMRLVHLGTSSRWAVFSILLLALMSPLGLAVGLAVTGGDSEGGRGLAQAVLEGVAAGTFLYVTFLEILPRELASPEAPLAKWSCVAAGFAFMAFIALWA
+>DECOY_sp|Q9NP94|S39A2_HUMAN Zinc transporter ZIP2 OS=Homo sapiens OX=9606 GN=SLC39A2 PE=1 SV=2
+AWLAIFAMFAFGAAVCSWKALPAEPSALERPLIELFTVYLFTGAAVGELVAQALGRGGESDGGTVALGVALGLPSMLALLLISFVAWRSSTGLHVLRMGVGFVVLGKHALVALCLQVTAAVTPQLGVALGEFVSHFSLSLLLVLARLPGKSPSPLHGHSHLEFIHAGGWEEDQVTSGGAAGPCCQLALSELFFVFFFGLSIILEGYPYEMHASDADGSSNRESASRNQVMFKQIQSEIEELAEATMHMFGAGLFVGASICGLLRLVLRHHGRAADIQFWKFCIPTLGCGLTLALLAFLCGLKIGLLQEM
+>sp|Q6ZMH5|S39A5_HUMAN Zinc transporter ZIP5 OS=Homo sapiens OX=9606 GN=SLC39A5 PE=1 SV=3
+MMGSPVSHLLAGFCVWVVLGWVGGSVPNLGPAEQEQNHYLAQLFGLYGENGTLTAGGLARLLHSLGLGRVQGLRLGQHGPLTGRAASPAADNSTHRPQNPELSVDVWAGMPLGPSGWGDLEESKAPHLPRGPAPSGLDLLHRLLLLDHSLADHLNEDCLNGSQLLVNFGLSPAAPLTPRQFALLCPALLYQIDSRVCIGAPAPAPPGDLLSALLQSALAVLLLSLPSPLSLLLLRLLGPRLLRPLLGFLGALAVGTLCGDALLHLLPHAQEGRHAGPGGLPEKDLGPGLSVLGGLFLLFVLENMLGLLRHRGLRPRCCRRKRRNLETRNLDPENGSGMALQPLQAAPEPGAQGQREKNSQHPPALAPPGHQGHSHGHQGGTDITWMVLLGDGLHNLTDGLAIGAAFSDGFSSGLSTTLAVFCHELPHELGDFAMLLQSGLSFRRLLLLSLVSGALGLGGAVLGVGLSLGPVPLTPWVFGVTAGVFLYVALVDMLPALLRPPEPLPTPHVLLQGLGLLLGGGLMLAITLLEERLLPVTTEG
+>DECOY_sp|Q6ZMH5|S39A5_HUMAN Zinc transporter ZIP5 OS=Homo sapiens OX=9606 GN=SLC39A5 PE=1 SV=3
+GETTVPLLREELLTIALMLGGGLLLGLGQLLVHPTPLPEPPRLLAPLMDVLAVYLFVGATVGFVWPTLPVPGLSLGVGLVAGGLGLAGSVLSLLLLRRFSLGSQLLMAFDGLEHPLEHCFVALTTSLGSSFGDSFAAGIALGDTLNHLGDGLLVMWTIDTGGQHGHSHGQHGPPALAPPHQSNKERQGQAGPEPAAQLPQLAMGSGNEPDLNRTELNRRKRRCCRPRLGRHRLLGLMNELVFLLFLGGLVSLGPGLDKEPLGGPGAHRGEQAHPLLHLLADGCLTGVALAGLFGLLPRLLRPGLLRLLLLSLPSPLSLLLVALASQLLASLLDGPPAPAPAGICVRSDIQYLLAPCLLAFQRPTLPAAPSLGFNVLLQSGNLCDENLHDALSHDLLLLRHLLDLGSPAPGRPLHPAKSEELDGWGSPGLPMGAWVDVSLEPNQPRHTSNDAAPSAARGTLPGHQGLRLGQVRGLGLSHLLRALGGATLTGNEGYLGFLQALYHNQEQEAPGLNPVSGGVWGLVVWVCFGALLHSVPSGMM
+>sp|Q13433|S39A6_HUMAN Zinc transporter ZIP6 OS=Homo sapiens OX=9606 GN=SLC39A6 PE=1 SV=3
+MARKLSVILILTFALSVTNPLHELKAAAFPQTTEKISPNWESGINVDLAISTRQYHLQQLFYRYGENNSLSVEGFRKLLQNIGIDKIKRIHIHHDHDHHSDHEHHSDHERHSDHEHHSEHEHHSDHDHHSHHNHAASGKNKRKALCPDHDSDSSGKDPRNSQGKGAHRPEHASGRRNVKDSVSASEVTSTVYNTVSEGTHFLETIETPRPGKLFPKDVSSSTPPSVTSKSRVSRLAGRKTNESVSEPRKGFMYSRNTNENPQECFNASKLLTSHGMGIQVPLNATEFNYLCPAIINQIDARSCLIHTSEKKAEIPPKTYSLQIAWVGGFIAISIISFLSLLGVILVPLMNRVFFKFLLSFLVALAVGTLSGDAFLHLLPHSHASHHHSHSHEEPAMEMKRGPLFSHLSSQNIEESAYFDSTWKGLTALGGLYFMFLVEHVLTLIKQFKDKKKKNQKKPENDDDVEIKKQLSKYESQLSTNEEKVDTDDRTEGYLRADSQEPSHFDSQQPAVLEEEEVMIAHAHPQEVYNEYVPRGCKNKCHSHFHDTLGQSDDLIHHHHDYHHILHHHHHQNHHPHSHSQRYSREELKDAGVATLAWMVIMGDGLHNFSDGLAIGAAFTEGLSSGLSTSVAVFCHELPHELGDFAVLLKAGMTVKQAVLYNALSAMLAYLGMATGIFIGHYAENVSMWIFALTAGLFMYVALVDMVPEMLHNDASDHGCSRWGYFFLQNAGMLLGFGIMLLISIFEHKIVFRINF
+>DECOY_sp|Q13433|S39A6_HUMAN Zinc transporter ZIP6 OS=Homo sapiens OX=9606 GN=SLC39A6 PE=1 SV=3
+FNIRFVIKHEFISILLMIGFGLLMGANQLFFYGWRSCGHDSADNHLMEPVMDVLAVYMFLGATLAFIWMSVNEAYHGIFIGTAMGLYALMASLANYLVAQKVTMGAKLLVAFDGLEHPLEHCFVAVSTSLGSSLGETFAAGIALGDSFNHLGDGMIVMWALTAVGADKLEERSYRQSHSHPHHNQHHHHHLIHHYDHHHHILDDSQGLTDHFHSHCKNKCGRPVYENYVEQPHAHAIMVEEEELVAPQQSDFHSPEQSDARLYGETRDDTDVKEENTSLQSEYKSLQKKIEVDDDNEPKKQNKKKKDKFQKILTLVHEVLFMFYLGGLATLGKWTSDFYASEEINQSSLHSFLPGRKMEMAPEEHSHSHHHSAHSHPLLHLFADGSLTGVALAVLFSLLFKFFVRNMLPVLIVGLLSLFSIISIAIFGGVWAIQLSYTKPPIEAKKESTHILCSRADIQNIIAPCLYNFETANLPVQIGMGHSTLLKSANFCEQPNENTNRSYMFGKRPESVSENTKRGALRSVRSKSTVSPPTSSSVDKPFLKGPRPTEITELFHTGESVTNYVTSTVESASVSDKVNRRGSAHEPRHAGKGQSNRPDKGSSDSDHDPCLAKRKNKGSAAHNHHSHHDHDSHHEHESHHEHDSHREHDSHHEHDSHHDHDHHIHIRKIKDIGINQLLKRFGEVSLSNNEGYRYFLQQLHYQRTSIALDVNIGSEWNPSIKETTQPFAAAKLEHLPNTVSLAFTLILIVSLKRAM
+>sp|Q92504|S39A7_HUMAN Zinc transporter SLC39A7 OS=Homo sapiens OX=9606 GN=SLC39A7 PE=1 SV=2
+MARGLGAPHWVAVGLLTWATLGLLVAGLGGHDDLHDDLQEDFHGHSHRHSHEDFHHGHSHAHGHGHTHESIWHGHTHDHDHGHSHEDLHHGHSHGYSHESLYHRGHGHDHEHSHGGYGESGAPGIKQDLDAVTLWAYALGATVLISAAPFFVLFLIPVESNSPRHRSLLQILLSFASGGLLGDAFLHLIPHALEPHSHHTLEQPGHGHSHSGQGPILSVGLWVLSGIVAFLVVEKFVRHVKGGHGHSHGHGHAHSHTRGSHGHGRQERSTKEKQSSEEEEKETRGVQKRRGGSTVPKDGPVRPQNAEEEKRGLDLRVSGYLNLAADLAHNFTDGLAIGASFRGGRGLGILTTMTVLLHEVPHEVGDFAILVQSGCSKKQAMRLQLLTAVGALAGTACALLTEGGAVGSEIAGGAGPGWVLPFTAGGFIYVATVSVLPELLREASPLQSLLEVLGLLGGVIMMVLIAHLE
+>DECOY_sp|Q92504|S39A7_HUMAN Zinc transporter SLC39A7 OS=Homo sapiens OX=9606 GN=SLC39A7 PE=1 SV=2
+ELHAILVMMIVGGLLGLVELLSQLPSAERLLEPLVSVTAVYIFGGATFPLVWGPGAGGAIESGVAGGETLLACATGALAGVATLLQLRMAQKKSCGSQVLIAFDGVEHPVEHLLVTMTTLIGLGRGGRFSAGIALGDTFNHALDAALNLYGSVRLDLGRKEEEANQPRVPGDKPVTSGGRRKQVGRTEKEEEESSQKEKTSREQRGHGHSGRTHSHAHGHGHSHGHGGKVHRVFKEVVLFAVIGSLVWLGVSLIPGQGSHSHGHGPQELTHHSHPELAHPILHLFADGLLGGSAFSLLIQLLSRHRPSNSEVPILFLVFFPAASILVTAGLAYAWLTVADLDQKIGPAGSEGYGGHSHEHDHGHGRHYLSEHSYGHSHGHHLDEHSHGHDHDHTHGHWISEHTHGHGHAHSHGHHFDEHSHRHSHGHFDEQLDDHLDDHGGLGAVLLGLTAWTLLGVAVWHPAGLGRAM
+>sp|Q9NQ40|S52A3_HUMAN Solute carrier family 52, riboflavin transporter, member 3 OS=Homo sapiens OX=9606 GN=SLC52A3 PE=1 SV=4
+MAFLMHLLVCVFGMGSWVTINGLWVELPLLVMELPEGWYLPSYLTVVIQLANIGPLLVTLLHHFRPSCLSEVPIIFTLLGVGTVTCIIFAFLWNMTSWVLDGHHSIAFLVLTFFLALVDCTSSVTFLPFMSRLPTYYLTTFFVGEGLSGLLPALVALAQGSGLTTCVNVTEISDSVPSPVPTRETDIAQGVPRALVSALPGMEAPLSHLESRYLPAHFSPLVFFLLLSIMMACCLVAFFVLQRQPRCWEASVEDLLNDQVTLHSIRPREENDLGPAGTVDSSQGQGYLEEKAAPCCPAHLAFIYTLVAFVNALTNGMLPSVQTYSCLSYGPVAYHLAATLSIVANPLASLVSMFLPNRSLLFLGVLSVLGTCFGGYNMAMAVMSPCPLLQGHWGGEVLIVASWVLFSGCLSYVKVMLGVVLRDLSRSALLWCGAAVQLGSLLGALLMFPLVNVLRLFSSADFCNLHCPA
+>DECOY_sp|Q9NQ40|S52A3_HUMAN Solute carrier family 52, riboflavin transporter, member 3 OS=Homo sapiens OX=9606 GN=SLC52A3 PE=1 SV=4
+APCHLNCFDASSFLRLVNVLPFMLLAGLLSGLQVAAGCWLLASRSLDRLVVGLMVKVYSLCGSFLVWSAVILVEGGWHGQLLPCPSMVAMAMNYGGFCTGLVSLVGLFLLSRNPLFMSVLSALPNAVISLTAALHYAVPGYSLCSYTQVSPLMGNTLANVFAVLTYIFALHAPCCPAAKEELYGQGQSSDVTGAPGLDNEERPRISHLTVQDNLLDEVSAEWCRPQRQLVFFAVLCCAMMISLLLFFVLPSFHAPLYRSELHSLPAEMGPLASVLARPVGQAIDTERTPVPSPVSDSIETVNVCTTLGSGQALAVLAPLLGSLGEGVFFTTLYYTPLRSMFPLFTVSSTCDVLALFFTLVLFAISHHGDLVWSTMNWLFAFIICTVTGVGLLTFIIPVESLCSPRFHHLLTVLLPGINALQIVVTLYSPLYWGEPLEMVLLPLEVWLGNITVWSGMGFVCVLLHMLFAM
+>sp|P61619|S61A1_HUMAN Protein transport protein Sec61 subunit alpha isoform 1 OS=Homo sapiens OX=9606 GN=SEC61A1 PE=1 SV=2
+MAIKFLEVIKPFCVILPEIQKPERKIQFKEKVLWTAITLFIFLVCCQIPLFGIMSSDSADPFYWMRVILASNRGTLMELGISPIVTSGLIMQLLAGAKIIEVGDTPKDRALFNGAQKLFGMIITIGQSIVYVMTGMYGDPSEMGAGICLLITIQLFVAGLIVLLLDELLQKGYGLGSGISLFIATNICETIVWKAFSPTTVNTGRGMEFEGAIIALFHLLATRTDKVRALREAFYRQNLPNLMNLIATIFVFAVVIYFQGFRVDLPIKSARYRGQYNTYPIKLFYTSNIPIILQSALVSNLYVISQMLSARFSGNLLVSLLGTWSDTSSGGPARAYPVGGLCYYLSPPESFGSVLEDPVHAVVYIVFMLGSCAFFSKTWIEVSGSSAKDVAKQLKEQQMVMRGHRETSMVHELNRYIPTAAAFGGLCIGALSVLADFLGAIGSGTGILLAVTIIYQYFEIFVKEQSEVGSMGALLF
+>DECOY_sp|P61619|S61A1_HUMAN Protein transport protein Sec61 subunit alpha isoform 1 OS=Homo sapiens OX=9606 GN=SEC61A1 PE=1 SV=2
+FLLAGMSGVESQEKVFIEFYQYIITVALLIGTGSGIAGLFDALVSLAGICLGGFAAATPIYRNLEHVMSTERHGRMVMQQEKLQKAVDKASSGSVEIWTKSFFACSGLMFVIYVVAHVPDELVSGFSEPPSLYYCLGGVPYARAPGGSSTDSWTGLLSVLLNGSFRASLMQSIVYLNSVLASQLIIPINSTYFLKIPYTNYQGRYRASKIPLDVRFGQFYIVVAFVFITAILNMLNPLNQRYFAERLARVKDTRTALLHFLAIIAGEFEMGRGTNVTTPSFAKWVITECINTAIFLSIGSGLGYGKQLLEDLLLVILGAVFLQITILLCIGAGMESPDGYMGTMVYVISQGITIIMGFLKQAGNFLARDKPTDGVEIIKAGALLQMILGSTVIPSIGLEMLTGRNSALIVRMWYFPDASDSSMIGFLPIQCCVLFIFLTIATWLVKEKFQIKREPKQIEPLIVCFPKIVELFKIAM
+>sp|Q96CW6|S7A6O_HUMAN Probable RNA polymerase II nuclear localization protein SLC7A6OS OS=Homo sapiens OX=9606 GN=SLC7A6OS PE=1 SV=2
+MEAARTAVLRVKRKRSAEPAEALVLACKRLRSDAVESAAQKTSEGLERAAENNVFHLVATVCSQEEPVQPLLREVLRPSRDSQQRVRRNLRASAREVRQEGRYRVLSSRRSLGTTSSGQESEYTPGNPEAAGNSGFQLLDLVHEEGEPEAASAGSCKTSDPDVILCNSVELIRERLTVSEDGPGVRRQEEQKHDDYVYDIYYLETATPGWIENILSVQPYSQEWELVNDDQEPEDIYDDEDDENSENNWRNEYPEEESSDGDEDSRGSADYNSLSEEERGSSRQRMWSKYPLDVQKEFGYDSPHDLDSD
+>DECOY_sp|Q96CW6|S7A6O_HUMAN Probable RNA polymerase II nuclear localization protein SLC7A6OS OS=Homo sapiens OX=9606 GN=SLC7A6OS PE=1 SV=2
+DSDLDHPSDYGFEKQVDLPYKSWMRQRSSGREEESLSNYDASGRSDEDGDSSEEEPYENRWNNESNEDDEDDYIDEPEQDDNVLEWEQSYPQVSLINEIWGPTATELYYIDYVYDDHKQEEQRRVGPGDESVTLRERILEVSNCLIVDPDSTKCSGASAAEPEGEEHVLDLLQFGSNGAAEPNGPTYESEQGSSTTGLSRRSSLVRYRGEQRVERASARLNRRVRQQSDRSPRLVERLLPQVPEEQSCVTAVLHFVNNEAARELGESTKQAASEVADSRLRKCALVLAEAPEASRKRKVRLVATRAAEM
+>sp|P0DJI9|SAA2_HUMAN Serum amyloid A-2 protein OS=Homo sapiens OX=9606 GN=SAA2 PE=1 SV=1
+MKLLTGLVFCSLVLSVSSRSFFSFLGEAFDGARDMWRAYSDMREANYIGSDKYFHARGNYDAAKRGPGGAWAAEVISNARENIQRLTGRGAEDSLADQAANKWGRSGRDPNHFRPAGLPEKY
+>DECOY_sp|P0DJI9|SAA2_HUMAN Serum amyloid A-2 protein OS=Homo sapiens OX=9606 GN=SAA2 PE=1 SV=1
+YKEPLGAPRFHNPDRGSRGWKNAAQDALSDEAGRGTLRQINERANSIVEAAWAGGPGRKAADYNGRAHFYKDSGIYNAERMDSYARWMDRAGDFAEGLFSFFSRSSVSLVLSCFVLGTLLKM
+>sp|Q9NTJ5|SAC1_HUMAN Phosphatidylinositide phosphatase SAC1 OS=Homo sapiens OX=9606 GN=SACM1L PE=1 SV=2
+MATAAYEQLKLHITPEKFYVEACDDGADDVLTIDRVSTEVTLAVKKDVPPSAVTRPIFGILGTIHLVAGNYLIVITKKIKVGEFFSHVVWKATDFDVLSYKKTMLHLTDIQLQDNKTFLAMLNHVLNVDGFYFSTTYDLTHTLQRLSNTSPEFQEMSLLERADQRFVWNGHLLRELSAQPEVHRFALPVLHGFITMHSCSINGKYFDWILISRRSCFRAGVRYYVRGIDSEGHAANFVETEQIVHYNGSKASFVQTRGSIPVFWSQRPNLKYKPLPQISKVANHMDGFQRHFDSQVIIYGKQVIINLINQKGSEKPLEQTFATMVSSLGSGMMRYIAFDFHKECKNMRWDRLSILLDQVAEMQDELSYFLVDSAGQVVANQEGVFRSNCMDCLDRTNVIQSLLARRSLQAQLQRLGVLHVGQKLEEQDEFEKIYKNAWADNANACAKQYAGTGALKTDFTRTGKRTHLGLIMDGWNSMIRYYKNNFSDGFRQDSIDLFLGNYSVDELESHSPLSVPRDWKFLALPIIMVVAFSMCIICLLMAGDTWTETLAYVLFWGVASIGTFFIILYNGKDFVDAPRLVQKEKID
+>DECOY_sp|Q9NTJ5|SAC1_HUMAN Phosphatidylinositide phosphatase SAC1 OS=Homo sapiens OX=9606 GN=SACM1L PE=1 SV=2
+DIKEKQVLRPADVFDKGNYLIIFFTGISAVGWFLVYALTETWTDGAMLLCIICMSFAVVMIIPLALFKWDRPVSLPSHSELEDVSYNGLFLDISDQRFGDSFNNKYYRIMSNWGDMILGLHTRKGTRTFDTKLAGTGAYQKACANANDAWANKYIKEFEDQEELKQGVHLVGLRQLQAQLSRRALLSQIVNTRDLCDMCNSRFVGEQNAVVQGASDVLFYSLEDQMEAVQDLLISLRDWRMNKCEKHFDFAIYRMMGSGLSSVMTAFTQELPKESGKQNILNIIVQKGYIIVQSDFHRQFGDMHNAVKSIQPLPKYKLNPRQSWFVPISGRTQVFSAKSGNYHVIQETEVFNAAHGESDIGRVYYRVGARFCSRRSILIWDFYKGNISCSHMTIFGHLVPLAFRHVEPQASLERLLHGNWVFRQDARELLSMEQFEPSTNSLRQLTHTLDYTTSFYFGDVNLVHNLMALFTKNDQLQIDTLHLMTKKYSLVDFDTAKWVVHSFFEGVKIKKTIVILYNGAVLHITGLIGFIPRTVASPPVDKKVALTVETSVRDITLVDDAGDDCAEVYFKEPTIHLKLQEYAATAM
+>sp|Q8TDM5|SACA4_HUMAN Sperm acrosome membrane-associated protein 4 OS=Homo sapiens OX=9606 GN=SPACA4 PE=1 SV=1
+MVLCWLLLLVMALPPGTTGVKDCVFCELTDSMQCPGTYMHCGDDEDCFTGHGVAPGTGPVINKGCLRATSCGLEEPVSYRGVTYSLTTNCCTGRLCNRAPSSQTVGATTSLALGLGMLLPPRLL
+>DECOY_sp|Q8TDM5|SACA4_HUMAN Sperm acrosome membrane-associated protein 4 OS=Homo sapiens OX=9606 GN=SPACA4 PE=1 SV=1
+LLRPPLLMGLGLALSTTAGVTQSSPARNCLRGTCCNTTLSYTVGRYSVPEELGCSTARLCGKNIVPGTGPAVGHGTFCDEDDGCHMYTGPCQMSDTLECFVCDKVGTTGPPLAMVLLLLWCLVM
+>sp|W5XKT8|SACA6_HUMAN Sperm acrosome membrane-associated protein 6 OS=Homo sapiens OX=9606 GN=SPACA6 PE=1 SV=2
+MALLALASAVPSALLALAVFRVPAWACLLCFTTYSERLRICQMFVGMRSPKLEECEEAFTAAFQGLSDTEINYDERSHLHDTFTQMTHALQELAAAQGSFEVAFPDAAEKMKKVITQLKEAQACIPPCGLQEFARRFLCSGCYSRVCDLPLDCPVQDVTVTRGDQAMFSCIVNFQLPKEEITYSWKFAGGGLRTQDLSYFRDMPRAEGYLARIRPAQLTHRGTFSCVIKQDQRPLARLYFFLNVTGPPPRAETELQASFREVLRWAPRDAELIEPWRPSLGELLARPEALTPSNLFLLAVLGALASASATVLAWMFFRWYCSGN
+>DECOY_sp|W5XKT8|SACA6_HUMAN Sperm acrosome membrane-associated protein 6 OS=Homo sapiens OX=9606 GN=SPACA6 PE=1 SV=2
+NGSCYWRFFMWALVTASASALAGLVALLFLNSPTLAEPRALLEGLSPRWPEILEADRPAWRLVERFSAQLETEARPPPGTVNLFFYLRALPRQDQKIVCSFTGRHTLQAPRIRALYGEARPMDRFYSLDQTRLGGGAFKWSYTIEEKPLQFNVICSFMAQDGRTVTVDQVPCDLPLDCVRSYCGSCLFRRAFEQLGCPPICAQAEKLQTIVKKMKEAADPFAVEFSGQAAALEQLAHTMQTFTDHLHSREDYNIETDSLGQFAATFAEECEELKPSRMGVFMQCIRLRESYTTFCLLCAWAPVRFVALALLASPVASALALLAM
+>sp|Q9UBT2|SAE2_HUMAN SUMO-activating enzyme subunit 2 OS=Homo sapiens OX=9606 GN=UBA2 PE=1 SV=2
+MALSRGLPRELAEAVAGGRVLVVGAGGIGCELLKNLVLTGFSHIDLIDLDTIDVSNLNRQFLFQKKHVGRSKAQVAKESVLQFYPKANIVAYHDSIMNPDYNVEFFRQFILVMNALDNRAARNHVNRMCLAADVPLIESGTAGYLGQVTTIKKGVTECYECHPKPTQRTFPGCTIRNTPSEPIHCIVWAKYLFNQLFGEEDADQEVSPDRADPEAAWEPTEAEARARASNEDGDIKRISTKEWAKSTGYDPVKLFTKLFKDDIRYLLTMDKLWRKRKPPVPLDWAEVQSQGEETNASDQQNEPQLGLKDQQVLDVKSYARLFSKSIETLRVHLAEKGDGAELIWDKDDPSAMDFVTSAANLRMHIFSMNMKSRFDIKSMAGNIIPAIATTNAVIAGLIVLEGLKILSGKIDQCRTIFLNKQPNPRKKLLVPCALDPPNPNCYVCASKPEVTVRLNVHKVTVLTLQDKIVKEKFAMVAPDVQIEDGKGTILISSEEGETEANNHKKLSEFGIRNGSRLQADDFLQDYTLLINILHSEDLGKDVEFEVVGDAPEKVGPKQAEDAAKSITNGSDDGAQPSTSTAQEQDDVLIVDSDEEDSSNNADVSEEERSRKRKLDEKENLSAKRSRIEQKEELDDVIALD
+>DECOY_sp|Q9UBT2|SAE2_HUMAN SUMO-activating enzyme subunit 2 OS=Homo sapiens OX=9606 GN=UBA2 PE=1 SV=2
+DLAIVDDLEEKQEIRSRKASLNEKEDLKRKRSREEESVDANNSSDEEDSDVILVDDQEQATSTSPQAGDDSGNTISKAADEAQKPGVKEPADGVVEFEVDKGLDESHLINILLTYDQLFDDAQLRSGNRIGFESLKKHNNAETEGEESSILITGKGDEIQVDPAVMAFKEKVIKDQLTLVTVKHVNLRVTVEPKSACVYCNPNPPDLACPVLLKKRPNPQKNLFITRCQDIKGSLIKLGELVILGAIVANTTAIAPIINGAMSKIDFRSKMNMSFIHMRLNAASTVFDMASPDDKDWILEAGDGKEALHVRLTEISKSFLRAYSKVDLVQQDKLGLQPENQQDSANTEEGQSQVEAWDLPVPPKRKRWLKDMTLLYRIDDKFLKTFLKVPDYGTSKAWEKTSIRKIDGDENSARARAEAETPEWAAEPDARDPSVEQDADEEGFLQNFLYKAWVICHIPESPTNRITCGPFTRQTPKPHCEYCETVGKKITTVQGLYGATGSEILPVDAALCMRNVHNRAARNDLANMVLIFQRFFEVNYDPNMISDHYAVINAKPYFQLVSEKAVQAKSRGVHKKQFLFQRNLNSVDITDLDILDIHSFGTLVLNKLLECGIGGAGVVLVRGGAVAEALERPLGRSLAM
+>sp|Q9NXZ1|SAGE1_HUMAN Sarcoma antigen 1 OS=Homo sapiens OX=9606 GN=SAGE1 PE=1 SV=2
+MQASPLQTSQPTPPEELHAAAYVFTNDGQQMRSDEVNLVATGHQSKKKHSRKSKRHSSSKRRKSMSSWLDKQEDAAVTHSICEERINNGQPVADNVLSTAPPWPDATIAHNIREERMENGQSRTDKVLSTAPPQLVHMAAAGIPSMSTRDLHSTVTHNIREERMENGQPQPDNVLSTGPTGLINMAATPIPAMSARDLYATVTHNVCEQKMENVQPAPDNVLLTLRPRRINMTDTGISPMSTRDPYATITYNVPEEKMEKGQPQPDNILSTASTGLINVAGAGTPAISTNGLYSTVPHNVCEEKMENDQPQPNNVLSTVQPVIIYLTATGIPGMNTRDQYATITHNVCEERVVNNQPLPSNALSTVLPGLAYLATADMPAMSTRDQHATIIHNLREEKKDNSQPTPDNVLSAVTPELINLAGAGIPPMSTRDQYATVNHHVHEARMENGQRKQDNVLSNVLSGLINMAGASIPAMSSRDLYATITHSVREEKMESGKPQTDKVISNDAPQLGHMAAGGIPSMSTKDLYATVTQNVHEERMENNQPQPSYDLSTVLPGLTYLTVAGIPAMSTRDQYATVTHNVHEEKIKNGQAASDNVFSTVPPAFINMAATGVSSMSTRDQYAAVTHNIREEKINNSQPAPGNILSTAPPWLRHMAAAGISSTITRDLYVTATHSVHEEKMTNGQQAPDNSLSTVPPGCINLSGAGISCRSTRDLYATVIHDIQEEEMENDQTPPDGFLSNSDSPELINMTGHCMPPNALDSFSHDFTSLSKDELLYKPDSNEFAVGTKNYSVSAGDPPVTVMSLVETVPNTPQISPAMAKKINDDIKYQLMKEVRRFGQNYERIFILLEEVQGSMKVKRQFVEFTIKEAARFKKVVLIQQLEKALKEIDSHCHLRKVKHMRKR
+>DECOY_sp|Q9NXZ1|SAGE1_HUMAN Sarcoma antigen 1 OS=Homo sapiens OX=9606 GN=SAGE1 PE=1 SV=2
+RKRMHKVKRLHCHSDIEKLAKELQQILVVKKFRAAEKITFEVFQRKVKMSGQVEELLIFIREYNQGFRRVEKMLQYKIDDNIKKAMAPSIQPTNPVTEVLSMVTVPPDGASVSYNKTGVAFENSDPKYLLEDKSLSTFDHSFSDLANPPMCHGTMNILEPSDSNSLFGDPPTQDNEMEEEQIDHIVTAYLDRTSRCSIGAGSLNICGPPVTSLSNDPAQQGNTMKEEHVSHTATVYLDRTITSSIGAAAMHRLWPPATSLINGPAPQSNNIKEERINHTVAAYQDRTSMSSVGTAAMNIFAPPVTSFVNDSAAQGNKIKEEHVNHTVTAYQDRTSMAPIGAVTLYTLGPLVTSLDYSPQPQNNEMREEHVNQTVTAYLDKTSMSPIGGAAMHGLQPADNSIVKDTQPKGSEMKEERVSHTITAYLDRSSMAPISAGAMNILGSLVNSLVNDQKRQGNEMRAEHVHHNVTAYQDRTSMPPIGAGALNILEPTVASLVNDPTPQSNDKKEERLNHIITAHQDRTSMAPMDATALYALGPLVTSLANSPLPQNNVVREECVNHTITAYQDRTNMGPIGTATLYIIVPQVTSLVNNPQPQDNEMKEECVNHPVTSYLGNTSIAPTGAGAVNILGTSATSLINDPQPQGKEMKEEPVNYTITAYPDRTSMPSIGTDTMNIRRPRLTLLVNDPAPQVNEMKQECVNHTVTAYLDRASMAPIPTAAMNILGTPGTSLVNDPQPQGNEMREERINHTVTSHLDRTSMSPIGAAAMHVLQPPATSLVKDTRSQGNEMREERINHAITADPWPPATSLVNDAVPQGNNIREECISHTVAADEQKDLWSSMSKRRKSSSHRKSKRSHKKKSQHGTAVLNVEDSRMQQGDNTFVYAAAHLEEPPTPQSTQLPSAQM
+>sp|Q9Y467|SALL2_HUMAN Sal-like protein 2 OS=Homo sapiens OX=9606 GN=SALL2 PE=1 SV=4
+MSRRKQRKPQQLISDCEGPSASENGDASEEDHPQVCAKCCAQFTDPTEFLAHQNACSTDPPVMVIIGGQENPNNSSASSEPRPEGHNNPQVMDTEHSNPPDSGSSVPTDPTWGPERRGEESPGHFLVAATGTAAGGGGGLILASPKLGATPLPPESTPAPPPPPPPPPPPGVGSGHLNIPLILEELRVLQQRQIHQMQMTEQICRQVLLLGSLGQTVGAPASPSELPGTGTASSTKPLLPLFSPIKPVQTSKTLASSSSSSSSSSGAETPKQAFFHLYHPLGSQHPFSAGGVGRSHKPTPAPSPALPGSTDQLIASPHLAFPSTTGLLAAQCLGAARGLEATASPGLLKPKNGSGELSYGEVMGPLEKPGGRHKCRFCAKVFGSDSALQIHLRSHTGERPYKCNVCGNRFTTRGNLKVHFHRHREKYPHVQMNPHPVPEHLDYVITSSGLPYGMSVPPEKAEEEAATPGGGVERKPLVASTTALSATESLTLLSTSAGTATAPGLPAFNKFVLMKAVEPKNKADENTPPGSEGSAISGVAESSTATRMQLSKLVTSLPSWALLTNHFKSTGSFPFPYVLEPLGASPSETSKLQQLVEKIDRQGAVAVTSAASGAPTTSAPAPSSSASSGPNQCVICLRVLSCPRALRLHYGQHGGERPFKCKVCGRAFSTRGNLRAHFVGHKASPAARAQNSCPICQKKFTNAVTLQQHVRMHLGGQIPNGGTALPEGGGAAQENGSEQSTVSGAGSFPQQQSQQPSPEEELSEEEEEEDEEEEEDVTDEDSLAGRGSESGGEKAISVRGDSEEASGAEEEVGTVAAAATAGKEMDSNEKTTQQSSLPPPPPPDSLDQPQPMEQGSSGVLGGKEEGGKPERSSSPASALTPEGEATSVTLVEELSLQEAMRKEPGESSSRKACEVCGQAFPSQAALEEHQKTHPKEGPLFTCVFCRQGFLERATLKKHMLLAHHQVQPFAPHGPQNIAALSLVPGCSPSITSTGLSPFPRKDDPTIP
+>DECOY_sp|Q9Y467|SALL2_HUMAN Sal-like protein 2 OS=Homo sapiens OX=9606 GN=SALL2 PE=1 SV=4
+PITPDDKRPFPSLGTSTISPSCGPVLSLAAINQPGHPAFPQVQHHALLMHKKLTARELFGQRCFVCTFLPGEKPHTKQHEELAAQSPFAQGCVECAKRSSSEGPEKRMAEQLSLEEVLTVSTAEGEPTLASAPSSSREPKGGEEKGGLVGSSGQEMPQPQDLSDPPPPPPLSSQQTTKENSDMEKGATAAAAVTGVEEEAGSAEESDGRVSIAKEGGSESGRGALSDEDTVDEEEEEDEEEEEESLEEEPSPQQSQQQPFSGAGSVTSQESGNEQAAGGGEPLATGGNPIQGGLHMRVHQQLTVANTFKKQCIPCSNQARAAPSAKHGVFHARLNGRTSFARGCVKCKFPREGGHQGYHLRLARPCSLVRLCIVCQNPGSSASSSPAPASTTPAGSAASTVAVAGQRDIKEVLQQLKSTESPSAGLPELVYPFPFSGTSKFHNTLLAWSPLSTVLKSLQMRTATSSEAVGSIASGESGPPTNEDAKNKPEVAKMLVFKNFAPLGPATATGASTSLLTLSETASLATTSAVLPKREVGGGPTAAEEEAKEPPVSMGYPLGSSTIVYDLHEPVPHPNMQVHPYKERHRHFHVKLNGRTTFRNGCVNCKYPREGTHSRLHIQLASDSGFVKACFRCKHRGGPKELPGMVEGYSLEGSGNKPKLLGPSATAELGRAAGLCQAALLGTTSPFALHPSAILQDTSGPLAPSPAPTPKHSRGVGGASFPHQSGLPHYLHFFAQKPTEAGSSSSSSSSSSALTKSTQVPKIPSFLPLLPKTSSATGTGPLESPSAPAGVTQGLSGLLLVQRCIQETMQMQHIQRQQLVRLEELILPINLHGSGVGPPPPPPPPPPPAPTSEPPLPTAGLKPSALILGGGGGAATGTAAVLFHGPSEEGRREPGWTPDTPVSSGSDPPNSHETDMVQPNNHGEPRPESSASSNNPNEQGGIIVMVPPDTSCANQHALFETPDTFQACCKACVQPHDEESADGNESASPGECDSILQQPKRQKRRSM
+>sp|Q8N8I0|SAM12_HUMAN Sterile alpha motif domain-containing protein 12 OS=Homo sapiens OX=9606 GN=SAMD12 PE=2 SV=2
+MAVEALHCGLNPRGIDHPAHAEGIKLQIEGEGVESQSIKNKNFQKVPDQKGTPKRLQAEAETAKSATVKLSKPVALWTQQDVCKWLKKHCPNQYQIYSESFKQHDITGRALLRLTDKKLERMGIAQENLRQHILQQVLQLKVREEVRNLQLLTQGTLLLPDGWMDGEIRRKTTLLLGQTGVRENLLLFLHRISIIENSIQI
+>DECOY_sp|Q8N8I0|SAM12_HUMAN Sterile alpha motif domain-containing protein 12 OS=Homo sapiens OX=9606 GN=SAMD12 PE=2 SV=2
+IQISNEIISIRHLFLLLNERVGTQGLLLTTKRRIEGDMWGDPLLLTGQTLLQLNRVEERVKLQLVQQLIHQRLNEQAIGMRELKKDTLRLLARGTIDHQKFSESYIQYQNPCHKKLWKCVDQQTWLAVPKSLKVTASKATEAEAQLRKPTGKQDPVKQFNKNKISQSEVGEGEIQLKIGEAHAPHDIGRPNLGCHLAEVAM
+>sp|Q9Y512|SAM50_HUMAN Sorting and assembly machinery component 50 homolog OS=Homo sapiens OX=9606 GN=SAMM50 PE=1 SV=3
+MGTVHARSLEPLPSSGPDFGGLGEEAEFVEVEPEAKQEILENKDVVVQHVHFDGLGRTKDDIIICEIGDVFKAKNLIEVMRKSHEAREKLLRLGIFRQVDVLIDTCQGDDALPNGLDVTFEVTELRRLTGSYNTMVGNNEGSMVLGLKLPNLLGRAEKVTFQFSYGTKETSYGLSFFKPRPGNFERNFSVNLYKVTGQFPWSSLRETDRGMSAEYSFPIWKTSHTVKWEGVWRELGCLSRTASFAVRKESGHSLKSSLSHAMVIDSRNSSILPRRGALLKVNQELAGYTGGDVSFIKEDFELQLNKQLIFDSVFSASFWGGMLVPIGDKPSSIADRFYLGGPTSIRGFSMHSIGPQSEGDYLGGEAYWAGGLHLYTPLPFRPGQGGFGELFRTHFFLNAGNLCNLNYGEGPKAHIRKLAECIRWSYGAGIVLRLGNIARLELNYCVPMGVQTGDRICDGVQFGAGIRFL
+>DECOY_sp|Q9Y512|SAM50_HUMAN Sorting and assembly machinery component 50 homolog OS=Homo sapiens OX=9606 GN=SAMM50 PE=1 SV=3
+LFRIGAGFQVGDCIRDGTQVGMPVCYNLELRAINGLRLVIGAGYSWRICEALKRIHAKPGEGYNLNCLNGANLFFHTRFLEGFGGQGPRFPLPTYLHLGGAWYAEGGLYDGESQPGISHMSFGRISTPGGLYFRDAISSPKDGIPVLMGGWFSASFVSDFILQKNLQLEFDEKIFSVDGGTYGALEQNVKLLAGRRPLISSNRSDIVMAHSLSSKLSHGSEKRVAFSATRSLCGLERWVGEWKVTHSTKWIPFSYEASMGRDTERLSSWPFQGTVKYLNVSFNREFNGPRPKFFSLGYSTEKTGYSFQFTVKEARGLLNPLKLGLVMSGENNGVMTNYSGTLRRLETVEFTVDLGNPLADDGQCTDILVDVQRFIGLRLLKERAEHSKRMVEILNKAKFVDGIECIIIDDKTRGLGDFHVHQVVVDKNELIEQKAEPEVEVFEAEEGLGGFDPGSSPLPELSRAHVTGM
+>sp|Q96LT4|SAMD8_HUMAN Sphingomyelin synthase-related protein 1 OS=Homo sapiens OX=9606 GN=SAMD8 PE=1 SV=2
+MAGPNQLCIRRWTTKHVAVWLKDEGFFEYVDILCNKHRLDGITLLTLTEYDLRSPPLEIKVLGDIKRLMLSVRKLQKIHIDVLEEMGYNSDSPMGSMTPFISALQSTDWLCNGELSHDCDGPITDLNSDQYQYMNGKNKHSVRRLDPEYWKTILSCIYVFIVFGFTSFIMVIVHERVPDMQTYPPLPDIFLDSVPRIPWAFAMTEVCGMILCYIWLLVLLLHKHRSILLRRLCSLMGTVFLLRCFTMFVTSLSVPGQHLQCTGKIYGSVWEKLHRAFAIWSGFGMTLTGVHTCGDYMFSGHTVVLTMLNFFVTEYTPRSWNFLHTLSWVLNLFGIFFILAAHEHYSIDVFIAFYITTRLFLYYHTLANTRAYQQSRRARIWFPMFSFFECNVNGTVPNEYCWPFSKPAIMKRLIG
+>DECOY_sp|Q96LT4|SAMD8_HUMAN Sphingomyelin synthase-related protein 1 OS=Homo sapiens OX=9606 GN=SAMD8 PE=1 SV=2
+GILRKMIAPKSFPWCYENPVTGNVNCEFFSFMPFWIRARRSQQYARTNALTHYYLFLRTTIYFAIFVDISYHEHAALIFFIGFLNLVWSLTHLFNWSRPTYETVFFNLMTLVVTHGSFMYDGCTHVGTLTMGFGSWIAFARHLKEWVSGYIKGTCQLHQGPVSLSTVFMTFCRLLFVTGMLSCLRRLLISRHKHLLLVLLWIYCLIMGCVETMAFAWPIRPVSDLFIDPLPPYTQMDPVREHVIVMIFSTFGFVIFVYICSLITKWYEPDLRRVSHKNKGNMYQYQDSNLDTIPGDCDHSLEGNCLWDTSQLASIFPTMSGMPSDSNYGMEELVDIHIKQLKRVSLMLRKIDGLVKIELPPSRLDYETLTLLTIGDLRHKNCLIDVYEFFGEDKLWVAVHKTTWRRICLQNPGAM
+>sp|P02743|SAMP_HUMAN Serum amyloid P-component OS=Homo sapiens OX=9606 GN=APCS PE=1 SV=2
+MNKPLLWISVLTSLLEAFAHTDLSGKVFVFPRESVTDHVNLITPLEKPLQNFTLCFRAYSDLSRAYSLFSYNTQGRDNELLVYKERVGEYSLYIGRHKVTSKVIEKFPAPVHICVSWESSSGIAEFWINGTPLVKKGLRQGYFVEAQPKIVLGQEQDSYGGKFDRSQSFVGEIGDLYMWDSVLPPENILSAYQGTPLPANILDWQALNYEIRGYVIIKPLVWV
+>DECOY_sp|P02743|SAMP_HUMAN Serum amyloid P-component OS=Homo sapiens OX=9606 GN=APCS PE=1 SV=2
+VWVLPKIIVYGRIEYNLAQWDLINAPLPTGQYASLINEPPLVSDWMYLDGIEGVFSQSRDFKGGYSDQEQGLVIKPQAEVFYGQRLGKKVLPTGNIWFEAIGSSSEWSVCIHVPAPFKEIVKSTVKHRGIYLSYEGVREKYVLLENDRGQTNYSFLSYARSLDSYARFCLTFNQLPKELPTILNVHDTVSERPFVFVKGSLDTHAFAELLSTLVSIWLLPKNM
+>sp|Q1RMZ1|SAMTR_HUMAN S-adenosylmethionine sensor upstream of mTORC1 OS=Homo sapiens OX=9606 GN=BMT2 PE=1 SV=1
+MEPGAGGRNTARAQRAGSPNTPPPREQERKLEQEKLSGVVKSVHRRLRKKYREVGDFDKIWREHCEDEETLCEYAVAMKNLADNHWAKTCEGEGRIEWCCSVCREYFQNGGKRKALEKDEKRAVLATKTTPALNMHESSQLEGHLTNLSFTNPEFITELLQASGKIRLLDVGSCFNPFLKFEEFLTVGIDIVPAVESVYKCDFLNLQLQQPLQLAQDAIDAFLKQLKNPIDSLPGELFHVVVFSLLLSYFPSPYQRWICCKKAHELLVLNGLLLIITPDSSHQNRHAMMMKSWKIAIESLGFKRFKYSKFSHMHLMAFRKISLKTTSDLVSRNYPGMLYIPQDFNSIEDEEYSNPSCYVRSDIEDEQLAYGFTELPDAPYDSDSGESQASSIPFYELEDPILLLS
+>DECOY_sp|Q1RMZ1|SAMTR_HUMAN S-adenosylmethionine sensor upstream of mTORC1 OS=Homo sapiens OX=9606 GN=BMT2 PE=1 SV=1
+SLLLIPDELEYFPISSAQSEGSDSDYPADPLETFGYALQEDEIDSRVYCSPNSYEEDEISNFDQPIYLMGPYNRSVLDSTTKLSIKRFAMLHMHSFKSYKFRKFGLSEIAIKWSKMMMAHRNQHSSDPTIILLLGNLVLLEHAKKCCIWRQYPSPFYSLLLSFVVVHFLEGPLSDIPNKLQKLFADIADQALQLPQQLQLNLFDCKYVSEVAPVIDIGVTLFEEFKLFPNFCSGVDLLRIKGSAQLLETIFEPNTFSLNTLHGELQSSEHMNLAPTTKTALVARKEDKELAKRKGGNQFYERCVSCCWEIRGEGECTKAWHNDALNKMAVAYECLTEEDECHERWIKDFDGVERYKKRLRRHVSKVVGSLKEQELKREQERPPPTNPSGARQARATNRGGAGPEM
+>sp|Q8TEE9|SAP25_HUMAN Histone deacetylase complex subunit SAP25 OS=Homo sapiens OX=9606 GN=SAP25 PE=1 SV=2
+MTPLAPWDPKYEAKAGPRPVWGANCSSGASFSGRTLCHPSFWPLYEAASGRGLRPVAPATGHWNGQQAPPDAGFPVVCCEDVFLSDPLLPRGQRVPLYLSKAPQQMMGSLKLLPPPPIMSARVLPRPSPSRGPSTAWLSGPELIALTGLLQMSQGEPRPSSSAVGPPDHTSDPPSPCGSPSSSQGADLSLPQTPDTHCP
+>DECOY_sp|Q8TEE9|SAP25_HUMAN Histone deacetylase complex subunit SAP25 OS=Homo sapiens OX=9606 GN=SAP25 PE=1 SV=2
+PCHTDPTQPLSLDAGQSSSPSGCPSPPDSTHDPPGVASSSPRPEGQSMQLLGTLAILEPGSLWATSPGRSPSPRPLVRASMIPPPPLLKLSGMMQQPAKSLYLPVRQGRPLLPDSLFVDECCVVPFGADPPAQQGNWHGTAPAVPRLGRGSAAEYLPWFSPHCLTRGSFSAGSSCNAGWVPRPGAKAEYKPDWPALPTM
+>sp|Q5SSQ6|SAPC1_HUMAN Suppressor APC domain-containing protein 1 OS=Homo sapiens OX=9606 GN=SAPCD1 PE=2 SV=2
+MGSQGSGGVPLVQAPYTVLLLPLGTSRQDPGAQSFFLWLRRMQALEREQDALWQGLELLQHGQAWFEDHLREAQRQQLHLGALGENFLTDLHSEPGRPPLAQIQKVNICLQNLIHEKELSRQQKGVTQPKEEMAQRGCTKGPRGPTRV
+>DECOY_sp|Q5SSQ6|SAPC1_HUMAN Suppressor APC domain-containing protein 1 OS=Homo sapiens OX=9606 GN=SAPCD1 PE=2 SV=2
+VRTPGRPGKTCGRQAMEEKPQTVGKQQRSLEKEHILNQLCINVKQIQALPPRGPESHLDTLFNEGLAGLHLQQRQAERLHDEFWAQGHQLLELGQWLADQERELAQMRRLWLFFSQAGPDQRSTGLPLLLVTYPAQVLPVGGSGQSGM
+>sp|Q86UD0|SAPC2_HUMAN Suppressor APC domain-containing protein 2 OS=Homo sapiens OX=9606 GN=SAPCD2 PE=1 SV=2
+MAGAAMAERGRVPPPAPAPSTEGLPRAFLQSLRTLFDILDDRRRGCVHLREIESRWQGTDARELPRGVLEGLRQVAPASGYLTFERFVAGLRTSLLSADGGPRDPTRAPARPGDQPPPPPQRLVFAPADEPRTVLERKPLPLGVRAPLAGPSAAARSPEQLCAPAEAAPCPAEPERSQSAALEPSSSADAGAVACRALEADSGDARRAPRARGERRRHTIASGVDCGLLKQMKELEQEKEVLLQGLEMMARGRDWYQQQLQRVQERQRRLGQSRASADFGAAGSPRPLGRLLPKVQEVARCLGELLAAACASRALPPSSSGPPCPALTSTSPPVWQQQTILMLKEQNRLLTQEVTEKSERITQLEQEKSALIKQLFEARALSQQDGGPLDSTFI
+>DECOY_sp|Q86UD0|SAPC2_HUMAN Suppressor APC domain-containing protein 2 OS=Homo sapiens OX=9606 GN=SAPCD2 PE=1 SV=2
+IFTSDLPGGDQQSLARAEFLQKILASKEQELQTIRESKETVEQTLLRNQEKLMLITQQQWVPPSTSTLAPCPPGSSSPPLARSACAAALLEGLCRAVEQVKPLLRGLPRPSGAAGFDASARSQGLRRQREQVRQLQQQYWDRGRAMMELGQLLVEKEQELEKMQKLLGCDVGSAITHRRREGRARPARRADGSDAELARCAVAGADASSSPELAASQSREPEAPCPAAEAPACLQEPSRAAASPGALPARVGLPLPKRELVTRPEDAPAFVLRQPPPPPQDGPRAPARTPDRPGGDASLLSTRLGAVFREFTLYGSAPAVQRLGELVGRPLERADTGQWRSEIERLHVCGRRRDDLIDFLTRLSQLFARPLGETSPAPAPPPVRGREAMAAGAM
+>sp|Q9NR31|SAR1A_HUMAN GTP-binding protein SAR1a OS=Homo sapiens OX=9606 GN=SAR1A PE=1 SV=1
+MSFIFEWIYNGFSSVLQFLGLYKKSGKLVFLGLDNAGKTTLLHMLKDDRLGQHVPTLHPTSEELTIAGMTFTTFDLGGHEQARRVWKNYLPAINGIVFLVDCADHSRLVESKVELNALMTDETISNVPILILGNKIDRTDAISEEKLREIFGLYGQTTGKGNVTLKELNARPMEVFMCSVLKRQGYGEGFRWLSQYID
+>DECOY_sp|Q9NR31|SAR1A_HUMAN GTP-binding protein SAR1a OS=Homo sapiens OX=9606 GN=SAR1A PE=1 SV=1
+DIYQSLWRFGEGYGQRKLVSCMFVEMPRANLEKLTVNGKGTTQGYLGFIERLKEESIADTRDIKNGLILIPVNSITEDTMLANLEVKSEVLRSHDACDVLFVIGNIAPLYNKWVRRAQEHGGLDFTTFTMGAITLEESTPHLTPVHQGLRDDKLMHLLTTKGANDLGLFVLKGSKKYLGLFQLVSSFGNYIWEFIFSM
+>sp|Q9Y6B6|SAR1B_HUMAN GTP-binding protein SAR1b OS=Homo sapiens OX=9606 GN=SAR1B PE=1 SV=1
+MSFIFDWIYSGFSSVLQFLGLYKKTGKLVFLGLDNAGKTTLLHMLKDDRLGQHVPTLHPTSEELTIAGMTFTTFDLGGHVQARRVWKNYLPAINGIVFLVDCADHERLLESKEELDSLMTDETIANVPILILGNKIDRPEAISEERLREMFGLYGQTTGKGSISLKELNARPLEVFMCSVLKRQGYGEGFRWMAQYID
+>DECOY_sp|Q9Y6B6|SAR1B_HUMAN GTP-binding protein SAR1b OS=Homo sapiens OX=9606 GN=SAR1B PE=1 SV=1
+DIYQAMWRFGEGYGQRKLVSCMFVELPRANLEKLSISGKGTTQGYLGFMERLREESIAEPRDIKNGLILIPVNAITEDTMLSDLEEKSELLREHDACDVLFVIGNIAPLYNKWVRRAQVHGGLDFTTFTMGAITLEESTPHLTPVHQGLRDDKLMHLLTTKGANDLGLFVLKGTKKYLGLFQLVSSFGSYIWDFIFSM
+>sp|Q9BW04|SARG_HUMAN Specifically androgen-regulated gene protein OS=Homo sapiens OX=9606 GN=SARG PE=1 SV=2
+MPERELWPAGTGSEPVTRVGSCDSMMSSTSTRSGSSDSSYDFLSTEEKECLLFLEETIGSLDTEADSGLSTDESEPATTPRGFRALPITQPTPRGGPEETITQQGRTPRTVTESSSSHPPEPQGLGLRSGSYSLPRNIHIARSQNFRKSTTQASSHNPGEPGRLAPEPEKEQVSQSSQPRQAPASPQEAALDLDVVLIPPPEAFRDTQPEQCREASLPEGPGQQGHTPQLHTPSSSQEREQTPSEAMSQKAKETVSTRYTQPQPPPAGLPQNARAEDAPLSSGEDPNSRLAPLTTPKPRKLPPNIVLKSSRSSFHSDPQHWLSRHTEAAPGDSGLISCSLQEQRKARKEALEKLGLPQDQDEPGLHLSKPTSSIRPKETRAQHLSPAPGLAQPAAPAQASAAIPAAGKALAQAPAPAPGPAQGPLPMKSPAPGNVAASKSMPISIPKAPRANSALTPPKPESGLTLQESNTPGLRQMNFKSNTLERSGVGLSSYLSTEKDASPKTSTSLGKGSFLDKISPSVLRNSRPRPASLGTGKDFAGIQVGKLADLEQEQSSKRLSYQGQSRDKLPRPPCVSVKISPKGVPNEHRREALKKLGLLKE
+>DECOY_sp|Q9BW04|SARG_HUMAN Specifically androgen-regulated gene protein OS=Homo sapiens OX=9606 GN=SARG PE=1 SV=2
+EKLLGLKKLAERRHENPVGKPSIKVSVCPPRPLKDRSQGQYSLRKSSQEQELDALKGVQIGAFDKGTGLSAPRPRSNRLVSPSIKDLFSGKGLSTSTKPSADKETSLYSSLGVGSRELTNSKFNMQRLGPTNSEQLTLGSEPKPPTLASNARPAKPISIPMSKSAAVNGPAPSKMPLPGQAPGPAPAPAQALAKGAAPIAASAQAPAAPQALGPAPSLHQARTEKPRISSTPKSLHLGPEDQDQPLGLKELAEKRAKRQEQLSCSILGSDGPAAETHRSLWHQPDSHFSSRSSKLVINPPLKRPKPTTLPALRSNPDEGSSLPADEARANQPLGAPPPQPQTYRTSVTEKAKQSMAESPTQEREQSSSPTHLQPTHGQQGPGEPLSAERCQEPQTDRFAEPPPILVVDLDLAAEQPSAPAQRPQSSQSVQEKEPEPALRGPEGPNHSSAQTTSKRFNQSRAIHINRPLSYSGSRLGLGQPEPPHSSSSETVTRPTRGQQTITEEPGGRPTPQTIPLARFGRPTTAPESEDTSLGSDAETDLSGITEELFLLCEKEETSLFDYSSDSSGSRTSTSSMMSDCSGVRTVPESGTGAPWLEREPM
+>sp|Q6SZW1|SARM1_HUMAN Sterile alpha and TIR motif-containing protein 1 OS=Homo sapiens OX=9606 GN=SARM1 PE=1 SV=1
+MVLTLLLSAYKLCRFFAMSGPRPGAERLAVPGPDGGGGTGPWWAAGGRGPREVSPGAGTEVQDALERALPELQQALSALKQAGGARAVGAGLAEVFQLVEEAWLLPAVGREVAQGLCDAIRLDGGLDLLLRLLQAPELETRVQAARLLEQILVAENRDRVARIGLGVILNLAKEREPVELARSVAGILEHMFKHSEETCQRLVAAGGLDAVLYWCRRTDPALLRHCALALGNCALHGGQAVQRRMVEKRAAEWLFPLAFSKEDELLRLHACLAVAVLATNKEVEREVERSGTLALVEPLVASLDPGRFARCLVDASDTSQGRGPDDLQRLVPLLDSNRLEAQCIGAFYLCAEAAIKSLQGKTKVFSDIGAIQSLKRLVSYSTNGTKSALAKRALRLLGEEVPRPILPSVPSWKEAEVQTWLQQIGFSKYCESFREQQVDGDLLLRLTEEELQTDLGMKSGITRKRFFRELTELKTFANYSTCDRSNLADWLGSLDPRFRQYTYGLVSCGLDRSLLHRVSEQQLLEDCGIHLGVHRARILTAAREMLHSPLPCTGGKPSGDTPDVFISYRRNSGSQLASLLKVHLQLHGFSVFIDVEKLEAGKFEDKLIQSVMGARNFVLVLSPGALDKCMQDHDCKDWVHKEIVTALSCGKNIVPIIDGFEWPEPQVLPEDMQAVLTFNGIKWSHEYQEATIEKIIRFLQGRSSRDSSAGSDTSLEGAAPMGPT
+>DECOY_sp|Q6SZW1|SARM1_HUMAN Sterile alpha and TIR motif-containing protein 1 OS=Homo sapiens OX=9606 GN=SARM1 PE=1 SV=1
+TPGMPAAGELSTDSGASSDRSSRGQLFRIIKEITAEQYEHSWKIGNFTLVAQMDEPLVQPEPWEFGDIIPVINKGCSLATVIEKHVWDKCDHDQMCKDLAGPSLVLVFNRAGMVSQILKDEFKGAELKEVDIFVSFGHLQLHVKLLSALQSGSNRRYSIFVDPTDGSPKGGTCPLPSHLMERAATLIRARHVGLHIGCDELLQQESVRHLLSRDLGCSVLGYTYQRFRPDLSGLWDALNSRDCTSYNAFTKLETLERFFRKRTIGSKMGLDTQLEEETLRLLLDGDVQQERFSECYKSFGIQQLWTQVEAEKWSPVSPLIPRPVEEGLLRLARKALASKTGNTSYSVLRKLSQIAGIDSFVKTKGQLSKIAAEACLYFAGICQAELRNSDLLPVLRQLDDPGRGQSTDSADVLCRAFRGPDLSAVLPEVLALTGSREVEREVEKNTALVAVALCAHLRLLEDEKSFALPFLWEAARKEVMRRQVAQGGHLACNGLALACHRLLAPDTRRCWYLVADLGGAAVLRQCTEESHKFMHELIGAVSRALEVPEREKALNLIVGLGIRAVRDRNEAVLIQELLRAAQVRTELEPAQLLRLLLDLGGDLRIADCLGQAVERGVAPLLWAEEVLQFVEALGAGVARAGGAQKLASLAQQLEPLARELADQVETGAGPSVERPGRGGAAWWPGTGGGGDPGPVALREAGPRPGSMAFFRCLKYASLLLTLVM
+>sp|P82979|SARNP_HUMAN SAP domain-containing ribonucleoprotein OS=Homo sapiens OX=9606 GN=SARNP PE=1 SV=3
+MATETVELHKLKLAELKQECLARGLETKGIKQDLIHRLQAYLEEHAEEEANEEDVLGDETEEEETKPIELPVKEEEPPEKTVDVAAEKKVVKITSEIPQTERMQKRAERFNVPVSLESKKAARAARFGISSVPTKGLSSDNKPMVNLDKLKERAQRFGLNVSSISRKSEDDEKLKKRKERFGIVTSSAGTGTTEDTEAKKRKRAERFGIA
+>DECOY_sp|P82979|SARNP_HUMAN SAP domain-containing ribonucleoprotein OS=Homo sapiens OX=9606 GN=SARNP PE=1 SV=3
+AIGFREARKRKKAETDETTGTGASSTVIGFREKRKKLKEDDESKRSISSVNLGFRQAREKLKDLNVMPKNDSSLGKTPVSSIGFRAARAAKKSELSVPVNFREARKQMRETQPIESTIKVVKKEAAVDVTKEPPEEEKVPLEIPKTEEEETEDGLVDEENAEEEAHEELYAQLRHILDQKIGKTELGRALCEQKLEALKLKHLEVTETAM
+>sp|Q86VE3|SATL1_HUMAN Spermidine/spermine N(1)-acetyltransferase-like protein 1 OS=Homo sapiens OX=9606 GN=SATL1 PE=2 SV=3
+MSPPGMWQPGVQQPGISQQVPSHPDMSQPGMSQQVPSQPGIRQPDTSQSCKNQTDMSQPDANQSSLSDSNQTGIIQPSPSLLGMNQMDMNQWSASLYEMNQVDMKQPSMSQAGMRQSGTNLPDINQPGMKQPGTWQLGRSQPGMWPQSLSELVLSEASISQPGPPQRAPSQSGPRQSSTSQAGTNQSGISQPVMWQLDMRQSGGSQPSMRQVGTSQSGTSQIGMSQPGTWQTGLSQPVPRQPNKSPPGMWQRGMWQPGMSQQVPSQLGMRQPGTSQSSKNQTGMSHPGRGQPGIWEPGPSQPGLSQQDLNQLVLSQPGLSQPGRSQPSVSQMGMRQTSMDYFQIRHAEAGDCPEILRLIKELAACENMLDAMELTAADLLRDGFGDNPLFYCLIAEVNDQQKPSGKLTVGFAMYYFTYDSWTGKVLYLEDFYVTQAYQGLGIGAEMLKRLSQIAITTQCNCMHFLVVIWNQASINYYTSRGALDLSSEEGWHLFRFNREELLDMAWEE
+>DECOY_sp|Q86VE3|SATL1_HUMAN Spermidine/spermine N(1)-acetyltransferase-like protein 1 OS=Homo sapiens OX=9606 GN=SATL1 PE=2 SV=3
+EEWAMDLLEERNFRFLHWGEESSLDLAGRSTYYNISAQNWIVVLFHMCNCQTTIAIQSLRKLMEAGIGLGQYAQTVYFDELYLVKGTWSDYTFYYMAFGVTLKGSPKQQDNVEAILCYFLPNDGFGDRLLDAATLEMADLMNECAALEKILRLIEPCDGAEAHRIQFYDMSTQRMGMQSVSPQSRGPQSLGPQSLVLQNLDQQSLGPQSPGPEWIGPQGRGPHSMGTQNKSSQSTGPQRMGLQSPVQQSMGPQWMGRQWMGPPSKNPQRPVPQSLGTQWTGPQSMGIQSTGSQSTGVQRMSPQSGGSQRMDLQWMVPQSIGSQNTGAQSTSSQRPGSQSPARQPPGPQSISAESLVLESLSQPWMGPQSRGLQWTGPQKMGPQNIDPLNTGSQRMGAQSMSPQKMDVQNMEYLSASWQNMDMQNMGLLSPSPQIIGTQNSDSLSSQNADPQSMDTQNKCSQSTDPQRIGPQSPVQQSMGPQSMDPHSPVQQSIGPQQVGPQWMGPPSM
+>sp|P0C264|SBK3_HUMAN Uncharacterized serine/threonine-protein kinase SBK3 OS=Homo sapiens OX=9606 GN=SBK3 PE=3 SV=2
+MERRASETPEDGDPEEDTATALQRLVELTTSRVTPVRSLRDQYHLIRKLGSGSYGRVLLAQPHQGGPAVALKLLRRDLVLRSTFLREFCVGRCVSAHPGLLQTLAGPLQTPRYFAFAQEYAPCGDLSGMLQERGLPELLVKRVVAQLAGALDFLHSRGLVHADVKPDNVLVFDPVCSRVALGDLGLTRPEGSPTPAPPVPLPTAPPELCLLLPPDTLPLRPAVDSWGLGVLLFCAATACFPWDVALAPNPEFEAFAGWVTTKPQPPQPPPPWDQFAPPALALLQGLLDLDPETRSPPLAVLDFLGDDWGLQGNREGPGVLGSAVSYEDREEGGSSLEEWTDEGDDSKSGGRTGTDGGAP
+>DECOY_sp|P0C264|SBK3_HUMAN Uncharacterized serine/threonine-protein kinase SBK3 OS=Homo sapiens OX=9606 GN=SBK3 PE=3 SV=2
+PAGGDTGTRGGSKSDDGEDTWEELSSGGEERDEYSVASGLVGPGERNGQLGWDDGLFDLVALPPSRTEPDLDLLGQLLALAPPAFQDWPPPPQPPQPKTTVWGAFAEFEPNPALAVDWPFCATAACFLLVGLGWSDVAPRLPLTDPPLLLCLEPPATPLPVPPAPTPSGEPRTLGLDGLAVRSCVPDFVLVNDPKVDAHVLGRSHLFDLAGALQAVVRKVLLEPLGREQLMGSLDGCPAYEQAFAFYRPTQLPGALTQLLGPHASVCRGVCFERLFTSRLVLDRRLLKLAVAPGGQHPQALLVRGYSGSGLKRILHYQDRLSRVPTVRSTTLEVLRQLATATDEEPDGDEPTESARREM
+>sp|P67812|SC11A_HUMAN Signal peptidase complex catalytic subunit SEC11A OS=Homo sapiens OX=9606 GN=SEC11A PE=1 SV=1
+MLSLDFLDDVRRMNKRQLYYQVLNFGMIVSSALMIWKGLMVITGSESPIVVVLSGSMEPAFHRGDLLFLTNRVEDPIRVGEIVVFRIEGREIPIVHRVLKIHEKQNGHIKFLTKGDNNAVDDRGLYKQGQHWLEKKDVVGRARGFVPYIGIVTILMNDYPKFKYAVLFLLGLFVLVHRE
+>DECOY_sp|P67812|SC11A_HUMAN Signal peptidase complex catalytic subunit SEC11A OS=Homo sapiens OX=9606 GN=SEC11A PE=1 SV=1
+ERHVLVFLGLLFLVAYKFKPYDNMLITVIGIYPVFGRARGVVDKKELWHQGQKYLGRDDVANNDGKTLFKIHGNQKEHIKLVRHVIPIERGEIRFVVIEGVRIPDEVRNTLFLLDGRHFAPEMSGSLVVVIPSESGTIVMLGKWIMLASSVIMGFNLVQYYLQRKNMRRVDDLFDLSLM
+>sp|O15027|SC16A_HUMAN Protein transport protein Sec16A OS=Homo sapiens OX=9606 GN=SEC16A PE=1 SV=3
+MPGLDRPLSRQNPHDGVVTPAASPSLPQPGLQMPGQWGPVQGGPQPSGQHRSPCPEGPVPSGVPCATSVPHFPTPSILHQGPGHEQHSPLVAPPAALPSDGRDEVSHLQSGSHLANNSDPESTFRQNPRIVNHWASPELRQNPGVKNEHRPASALVNPLARGDSPENRTHHPLGAGAGSGCAPLEADSGASGALAMFFQGGETENEENLSSEKAGLSGQADFDDFCSSPGLGRPPAPTHVGAGSLCQALLPGPSNEAAGDVWGDTASTGVPDASGSQYENVENLEFVQNQEVLPSEPLNLDPSSPSDQFRYGPLPGPAVPRHGAVCHTGAPDATLHTVHPDSVSSSYSSRSHGRLSGSARPQELVGTFIQQEVGKPEDEASGSFFKQIDSSPVGGETDETTVSQNYRGSVSQPSTPSPPKPTGIFQTSANSSFEPVKSHLVGVKPFEADRANVVGEVRETCVRQKQCRPAAALPDASPGNLEQPPDNMETLCAPQVCPLPLNSTTEAVHMLPHAGAPPLDTVYPAPEKRPSARTQGPVKCESPATTLWAQSELPDFGGNVLLAPAAPALYVCAKPQPPVVQPPEEAMSGQQSRNPSSAAPVQSRGGIGASENLENPPKMGEEEALQSQASSGYASLLSSPPTESLQNPPVLIAQPDHSYNLAQPINFSVSLSNSHEKNQSWREALVGDRPAVSSWALGGDSGENTSLSGIPTSSVLSLSLPSSVAQSNFPQGSGASEMVSNQPANLLVQPPSQPVPENLVPESQKDRKAGSALPGFANSPAGSTSVVLVPPAHGTLVPDGNKANHSSHQEDTYGALDFTLSRTLENPVNVYNPSHSDSLASQQSVASHPRQSGPGAPNLDRFYQQVTKDAQGQPGLERAQQELVPPQQQASPPQLPKAMFSELSNPESLPAQGQAQNSAQSPASLVLVDAGQQLPPRPPQSSSVSLVSSGSGQAAVPSEQPWPQPVPALAPGPPPQDLAAYYYYRPLYDAYQPQYSLPYPPEPGAASLYYQDVYSLYEPRYRPYDGAASAYAQNYRYPEPERPSSRASHSSERPPPRQGYPEGYYSSKSGWSSQSDYYASYYSSQYDYGDPGHWDRYHYSARVRDPRTYDRRYWCDAEYDAYRREHSAFGDRPEKRDNNWRYDPRFTGSFDDDPDPHRDPYGEEVDRRSVHSEHSARSLHSAHSLASRRSSLSSHSHQSQIYRSHNVAAGSYEAPLPPGSFHGDFAYGTYRSNFSSGPGFPEYGYPADTVWPAMEQVSSRPTSPEKFSVPHVCARFGPGGQLIKVIPNLPSEGQPALVEVHSMEALLQHTSEQEEMRAFPGPLAKDDTHKVDVINFAQNKAMKCLQNENLIDKESASLLWNFIVLLCRQNGTVVGTDIAELLLRDHRTVWLPGKSPNEANLIDFTNEAVEQVEEEESGEAQLSFLTGGPAAAASSLERETERFRELLLYGRKKDALESAMKNGLWGHALLLASKMDSRTHARVMTRFANSLPINDPLQTVYQLMSGRMPAASTCCGDEKWGDWRPHLAMVLSNLNNNMDVESRTMATMGDTLASRGLLDAAHFCYLMAQAGFGVYTKKTTKLVLIGSNHSLPFLKFATNEAIQRTEAYEYAQSLGAETCPLPSFQVFKFIYSCRLAEMGLATQAFHYCEAIAKSILTQPHLYSPVLISQLVQMASQLRLFDPQLKEKPEEESLAAPTWLVHLQQVERQIKEGAGVWHQDGALPQQCPGTPSSEMEQLDRPGLSQPGALGIANPLLAVPAPSPEHSSPSVRLLPSAPQTLPDGPLASPARVPMFPVPLPPGPLEPGPGCVTPGPALGFLEPSGPGLPPGVPPLQERRHLLQEARSPDPGIVPQEAPVGNSLSELSEENFDGKFANLTPSRTVPDSEAPPGWDRADSGPTQPPLSLSPAPETKRPGQAAKKETKEPKKGESWFFRWLPGKKKTEAYLPDDKNKSIVWDEKKNQWVNLNEPEEEKKAPPPPPTSMPKTVQAAPPALPGPPGAPVNMYSRRAAGTRARYVDVLNPSGTQRSEPALAPADFVAPLAPLPIPSNLFVPTPDAEEPQLPDGTGREGPAAARGLANPEPAPEPKVLSSAASLPGSELPSSRPEGSQGGELSRCSSMSSLSREVSQHFNQAPGDLPAAGGPPSGAMPFYNPAQLAQACATSGSSRLGRIGQRKHLVLN
+>DECOY_sp|O15027|SC16A_HUMAN Protein transport protein Sec16A OS=Homo sapiens OX=9606 GN=SEC16A PE=1 SV=3
+NLVLHKRQGIRGLRSSGSTACAQALQAPNYFPMAGSPPGGAAPLDGPAQNFHQSVERSLSSMSSCRSLEGGQSGEPRSSPLESGPLSAASSLVKPEPAPEPNALGRAAAPGERGTGDPLQPEEADPTPVFLNSPIPLPALPAVFDAPALAPESRQTGSPNLVDVYRARTGAARRSYMNVPAGPPGPLAPPAAQVTKPMSTPPPPPAKKEEEPENLNVWQNKKEDWVISKNKDDPLYAETKKKGPLWRFFWSEGKKPEKTEKKAAQGPRKTEPAPSLSLPPQTPGSDARDWGPPAESDPVTRSPTLNAFKGDFNEESLESLSNGVPAEQPVIGPDPSRAEQLLHRREQLPPVGPPLGPGSPELFGLAPGPTVCGPGPELPGPPLPVPFMPVRAPSALPGDPLTQPASPLLRVSPSSHEPSPAPVALLPNAIGLAGPQSLGPRDLQEMESSPTGPCQQPLAGDQHWVGAGEKIQREVQQLHVLWTPAALSEEEPKEKLQPDFLRLQSAMQVLQSILVPSYLHPQTLISKAIAECYHFAQTALGMEALRCSYIFKFVQFSPLPCTEAGLSQAYEYAETRQIAENTAFKLFPLSHNSGILVLKTTKKTYVGFGAQAMLYCFHAADLLGRSALTDGMTAMTRSEVDMNNNLNSLVMALHPRWDGWKEDGCCTSAAPMRGSMLQYVTQLPDNIPLSNAFRTMVRAHTRSDMKSALLLAHGWLGNKMASELADKKRGYLLLERFRETERELSSAAAAPGGTLFSLQAEGSEEEEVQEVAENTFDILNAENPSKGPLWVTRHDRLLLEAIDTGVVTGNQRCLLVIFNWLLSASEKDILNENQLCKMAKNQAFNIVDVKHTDDKALPGPFARMEEQESTHQLLAEMSHVEVLAPQGESPLNPIVKILQGGPGFRACVHPVSFKEPSTPRSSVQEMAPWVTDAPYGYEPFGPGSSFNSRYTGYAFDGHFSGPPLPAEYSGAAVNHSRYIQSQHSHSSLSSRRSALSHASHLSRASHESHVSRRDVEEGYPDRHPDPDDDFSGTFRPDYRWNNDRKEPRDGFASHERRYADYEADCWYRRDYTRPDRVRASYHYRDWHGPDGYDYQSSYYSAYYDSQSSWGSKSSYYGEPYGQRPPPRESSHSARSSPREPEPYRYNQAYASAAGDYPRYRPEYLSYVDQYYLSAAGPEPPYPLSYQPQYADYLPRYYYYAALDQPPPGPALAPVPQPWPQESPVAAQGSGSSVLSVSSSQPPRPPLQQGADVLVLSAPSQASNQAQGQAPLSEPNSLESFMAKPLQPPSAQQQPPVLEQQARELGPQGQADKTVQQYFRDLNPAGPGSQRPHSAVSQQSALSDSHSPNYVNVPNELTRSLTFDLAGYTDEQHSSHNAKNGDPVLTGHAPPVLVVSTSGAPSNAFGPLASGAKRDKQSEPVLNEPVPQSPPQVLLNAPQNSVMESAGSGQPFNSQAVSSPLSLSLVSSTPIGSLSTNEGSDGGLAWSSVAPRDGVLAERWSQNKEHSNSLSVSFNIPQALNYSHDPQAILVPPNQLSETPPSSLLSAYGSSAQSQLAEEEGMKPPNELNESAGIGGRSQVPAASSPNRSQQGSMAEEPPQVVPPQPKACVYLAPAAPALLVNGGFDPLESQAWLTTAPSECKVPGQTRASPRKEPAPYVTDLPPAGAHPLMHVAETTSNLPLPCVQPACLTEMNDPPQELNGPSADPLAAAPRCQKQRVCTERVEGVVNARDAEFPKVGVLHSKVPEFSSNASTQFIGTPKPPSPTSPQSVSGRYNQSVTTEDTEGGVPSSDIQKFFSGSAEDEPKGVEQQIFTGVLEQPRASGSLRGHSRSSYSSSVSDPHVTHLTADPAGTHCVAGHRPVAPGPLPGYRFQDSPSSPDLNLPESPLVEQNQVFELNEVNEYQSGSADPVGTSATDGWVDGAAENSPGPLLAQCLSGAGVHTPAPPRGLGPSSCFDDFDAQGSLGAKESSLNEENETEGGQFFMALAGSAGSDAELPACGSGAGAGLPHHTRNEPSDGRALPNVLASAPRHENKVGPNQRLEPSAWHNVIRPNQRFTSEPDSNNALHSGSQLHSVEDRGDSPLAAPPAVLPSHQEHGPGQHLISPTPFHPVSTACPVGSPVPGEPCPSRHQGSPQPGGQVPGWQGPMQLGPQPLSPSAAPTVVGDHPNQRSLPRDLGPM
+>sp|Q96JE7|SC16B_HUMAN Protein transport protein Sec16B OS=Homo sapiens OX=9606 GN=SEC16B PE=1 SV=2
+MELWAPQRLPQTRGKATAPSKDPDRGFRRDGHHRPVPHSWHNGERFHQWQDNRGSPQPQQEPRADHQQQPHYASRPGDWHQPVSGVDYYEGGYRNQLYSRPGYENSYQSYQSPTMREEYAYGSYYYHGHPQWLQEERVPRQRSPYIWHEDYREQKYLDEHHYENQHSPFGTNSETHFQSNSRNPCKDSPASNSGQEWPGELFPGSLLAEAQKNKPSLASESNLLQQRESGLSSSSYELSQYIRDAPERDDPPASAAWSPVQADVSSAGPKAPMKFYIPHVPVSFGPGGQLVHVGPSSPTDGQAALVELHSMEVILNDSEEQEEMRSFSGPLIREDVHKVDIMTFCQQKAAQSCKSETLGSRDSALLWQLLVLLCRQNGSMVGSDIAELLMQDCKKLEKYKRQPPVANLINLTDEDWPVLSSGTPNLLTGEIPPSVETPAQIVEKFTRLLYYGRKKEALEWAMKNHLWGHALFLSSKMDPQTYSWVMSGFTSTLALNDPLQTLFQLMSGRIPQAATCCGEKQWGDWRPHLAVILSNQAGDPELYQRAIVAIGDTLAGKGLVEAAHFCYLMAHVPFGHYTVKTDHLVLLGSSHSQEFLKFATTEAIQRTEIFEYCQMLGRPKSFIPSFQVYKLLYASRLADYGLVSQALHYCEAIGAAVLSQGESSHPVLLVELIKLAEKLKLSDPLVLERRSGDRDLEPDWLAQLRRQLEQKVAGDIGDPHPTRSDISGAGGTTTENTFYQDFSGCQGYSEAPGYRSALWLTPEQTCLLQPSPQQPFPLQPGSYPAGGGAGQTGTPRPFYSVPETHLPGTGSSVAVTEATGGTVWEEMLQTHLGPGENTVSQETSQPPDGQEVISKPQTPLAARPRSISESSASSAKEDEKESSDEADKNSPRNTAQRGKLGDGKEHTKSSGFGWFSWFRSKPTKNASPAGDEDSSDSPDSEETPRASSPHQAGLGLSLTPSPESPPLPDVSAFSRGRGGGEGRGSASSGGAAAGAGVGGLSGPESVSFELCSNPGVLLPPPALKGAVPLYNPSQVPQLPTATSLNRPNRLAQRRYPTQPC
+>DECOY_sp|Q96JE7|SC16B_HUMAN Protein transport protein Sec16B OS=Homo sapiens OX=9606 GN=SEC16B PE=1 SV=2
+CPQTPYRRQALRNPRNLSTATPLQPVQSPNYLPVAGKLAPPPLLVGPNSCLEFSVSEPGSLGGVGAGAAAGGSSASGRGEGGGRGRSFASVDPLPPSEPSPTLSLGLGAQHPSSARPTEESDPSDSSDEDGAPSANKTPKSRFWSFWGFGSSKTHEKGDGLKGRQATNRPSNKDAEDSSEKEDEKASSASSESISRPRAALPTQPKSIVEQGDPPQSTEQSVTNEGPGLHTQLMEEWVTGGTAETVAVSSGTGPLHTEPVSYFPRPTGTQGAGGGAPYSGPQLPFPQQPSPQLLCTQEPTLWLASRYGPAESYGQCGSFDQYFTNETTTGGAGSIDSRTPHPDGIDGAVKQELQRRLQALWDPELDRDGSRRELVLPDSLKLKEALKILEVLLVPHSSEGQSLVAAGIAECYHLAQSVLGYDALRSAYLLKYVQFSPIFSKPRGLMQCYEFIETRQIAETTAFKLFEQSHSSGLLVLHDTKVTYHGFPVHAMLYCFHAAEVLGKGALTDGIAVIARQYLEPDGAQNSLIVALHPRWDGWQKEGCCTAAQPIRGSMLQFLTQLPDNLALTSTFGSMVWSYTQPDMKSSLFLAHGWLHNKMAWELAEKKRGYYLLRTFKEVIQAPTEVSPPIEGTLLNPTGSSLVPWDEDTLNILNAVPPQRKYKELKKCDQMLLEAIDSGVMSGNQRCLLVLLQWLLASDRSGLTESKCSQAAKQQCFTMIDVKHVDERILPGSFSRMEEQEESDNLIVEMSHLEVLAAQGDTPSSPGVHVLQGGPGFSVPVHPIYFKMPAKPGASSVDAQVPSWAASAPPDDREPADRIYQSLEYSSSSLGSERQQLLNSESALSPKNKQAEALLSGPFLEGPWEQGSNSAPSDKCPNRSNSQFHTESNTGFPSHQNEYHHEDLYKQERYDEHWIYPSRQRPVREEQLWQPHGHYYYSGYAYEERMTPSQYSQYSNEYGPRSYLQNRYGGEYYDVGSVPQHWDGPRSAYHPQQQHDARPEQQPQPSGRNDQWQHFREGNHWSHPVPRHHGDRRFGRDPDKSPATAKGRTQPLRQPAWLEM
+>sp|P23921|RIR1_HUMAN Ribonucleoside-diphosphate reductase large subunit OS=Homo sapiens OX=9606 GN=RRM1 PE=1 SV=1
+MHVIKRDGRQERVMFDKITSRIQKLCYGLNMDFVDPAQITMKVIQGLYSGVTTVELDTLAAETAATLTTKHPDYAILAARIAVSNLHKETKKVFSDVMEDLYNYINPHNGKHSPMVAKSTLDIVLANKDRLNSAIIYDRDFSYNYFGFKTLERSYLLKINGKVAERPQHMLMRVSVGIHKEDIDAAIETYNLLSERWFTHASPTLFNAGTNRPQLSSCFLLSMKDDSIEGIYDTLKQCALISKSAGGIGVAVSCIRATGSYIAGTNGNSNGLVPMLRVYNNTARYVDQGGNKRPGAFAIYLEPWHLDIFEFLDLKKNTGKEEQRARDLFFALWIPDLFMKRVETNQDWSLMCPNECPGLDEVWGEEFEKLYASYEKQGRVRKVVKAQQLWYAIIESQTETGTPYMLYKDSCNRKSNQQNLGTIKCSNLCTEIVEYTSKDEVAVCNLASLALNMYVTSEHTYDFKKLAEVTKVVVRNLNKIIDINYYPVPEACLSNKRHRPIGIGVQGLADAFILMRYPFESAEAQLLNKQIFETIYYGALEASCDLAKEQGPYETYEGSPVSKGILQYDMWNVTPTDLWDWKVLKEKIAKYGIRNSLLIAPMPTASTAQILGNNESIEPYTSNIYTRRVLSGEFQIVNPHLLKDLTERGLWHEEMKNQIIACNGSIQSIPEIPDDLKQLYKTVWEISQKTVLKMAAERGAFIDQSQSLNIHIAEPNYGKLTSMHFYGWKQGLKTGMYYLRTRPAANPIQFTLNKEKLKDKEKVSKEEEEKERNTAAMVCSLENRDECLMCGS
+>DECOY_sp|P23921|RIR1_HUMAN Ribonucleoside-diphosphate reductase large subunit OS=Homo sapiens OX=9606 GN=RRM1 PE=1 SV=1
+SGCMLCEDRNELSCVMAATNREKEEEEKSVKEKDKLKEKNLTFQIPNAAPRTRLYYMGTKLGQKWGYFHMSTLKGYNPEAIHINLSQSQDIFAGREAAMKLVTKQSIEWVTKYLQKLDDPIEPISQISGNCAIIQNKMEEHWLGRETLDKLLHPNVIQFEGSLVRRTYINSTYPEISENNGLIQATSATPMPAILLSNRIGYKAIKEKLVKWDWLDTPTVNWMDYQLIGKSVPSGEYTEYPGQEKALDCSAELAGYYITEFIQKNLLQAEASEFPYRMLIFADALGQVGIGIPRHRKNSLCAEPVPYYNIDIIKNLNRVVVKTVEALKKFDYTHESTVYMNLALSALNCVAVEDKSTYEVIETCLNSCKITGLNQQNSKRNCSDKYLMYPTGTETQSEIIAYWLQQAKVVKRVRGQKEYSAYLKEFEEGWVEDLGPCENPCMLSWDQNTEVRKMFLDPIWLAFFLDRARQEEKGTNKKLDLFEFIDLHWPELYIAFAGPRKNGGQDVYRATNNYVRLMPVLGNSNGNTGAIYSGTARICSVAVGIGGASKSILACQKLTDYIGEISDDKMSLLFCSSLQPRNTGANFLTPSAHTFWRESLLNYTEIAADIDEKHIGVSVRMLMHQPREAVKGNIKLLYSRELTKFGFYNYSFDRDYIIASNLRDKNALVIDLTSKAVMPSHKGNHPNIYNYLDEMVDSFVKKTEKHLNSVAIRAALIAYDPHKTTLTAATEAALTDLEVTTVGSYLGQIVKMTIQAPDVFDMNLGYCLKQIRSTIKDFMVREQRGDRKIVHM
+>sp|Q7LG56|RIR2B_HUMAN Ribonucleoside-diphosphate reductase subunit M2 B OS=Homo sapiens OX=9606 GN=RRM2B PE=1 SV=1
+MGDPERPEAAGLDQDERSSSDTNESEIKSNEEPLLRKSSRRFVIFPIQYPDIWKMYKQAQASFWTAEEVDLSKDLPHWNKLKADEKYFISHILAFFAASDGIVNENLVERFSQEVQVPEARCFYGFQILIENVHSEMYSLLIDTYIRDPKKREFLFNAIETMPYVKKKADWALRWIADRKSTFGERVVAFAAVEGVFFSGSFAAIFWLKKRGLMPGLTFSNELISRDEGLHCDFACLMFQYLVNKPSEERVREIIVDAVKIEQEFLTEALPVGLIGMNCILMKQYIEFVADRLLVELGFSKVFQAENPFDFMENISLEGKTNFFEKRVSEYQRFAVMAETTDNVFTLDADF
+>DECOY_sp|Q7LG56|RIR2B_HUMAN Ribonucleoside-diphosphate reductase subunit M2 B OS=Homo sapiens OX=9606 GN=RRM2B PE=1 SV=1
+FDADLTFVNDTTEAMVAFRQYESVRKEFFNTKGELSINEMFDFPNEAQFVKSFGLEVLLRDAVFEIYQKMLICNMGILGVPLAETLFEQEIKVADVIIERVREESPKNVLYQFMLCAFDCHLGEDRSILENSFTLGPMLGRKKLWFIAAFSGSFFVGEVAAFAVVREGFTSKRDAIWRLAWDAKKKVYPMTEIANFLFERKKPDRIYTDILLSYMESHVNEILIQFGYFCRAEPVQVEQSFREVLNENVIGDSAAFFALIHSIFYKEDAKLKNWHPLDKSLDVEEATWFSAQAQKYMKWIDPYQIPFIVFRRSSKRLLPEENSKIESENTDSSSREDQDLGAAEPREPDGM
+>sp|Q92963|RIT1_HUMAN GTP-binding protein Rit1 OS=Homo sapiens OX=9606 GN=RIT1 PE=1 SV=1
+MDSGTRPVGSCCSSPAGLSREYKLVMLGAGGVGKSAMTMQFISHRFPEDHDPTIEDAYKIRIRIDDEPANLDILDTAGQAEFTAMRDQYMRAGEGFIICYSITDRRSFHEVREFKQLIYRVRRTDDTPVVLVGNKSDLKQLRQVTKEEGLALAREFSCPFFETSAAYRYYIDDVFHALVREIRRKEKEAVLAMEKKSKPKNSVWKRLKSPFRKKKDSVT
+>DECOY_sp|Q92963|RIT1_HUMAN GTP-binding protein Rit1 OS=Homo sapiens OX=9606 GN=RIT1 PE=1 SV=1
+TVSDKKKRFPSKLRKWVSNKPKSKKEMALVAEKEKRRIERVLAHFVDDIYYRYAASTEFFPCSFERALALGEEKTVQRLQKLDSKNGVLVVPTDDTRRVRYILQKFERVEHFSRRDTISYCIIFGEGARMYQDRMATFEAQGATDLIDLNAPEDDIRIRIKYADEITPDHDEPFRHSIFQMTMASKGVGGAGLMVLKYERSLGAPSSCCSGVPRTGSDM
+>sp|Q96K30|RITA1_HUMAN RBPJ-interacting and tubulin-associated protein 1 OS=Homo sapiens OX=9606 GN=RITA1 PE=1 SV=1
+MKTPVELAVSGMQTLGLQHRCRGGYRVKARTSYVDETLFGSPAGTRPTPPDFDPPWVEKANRTRGVGKEASKALGAKGSCETTPSRGSTPTLTPRKKNKYRPISHTPSYCDESLFGSRSEGASFGAPRMAKGDAAKLRALLWTPPPTPRGSHSPRPREAPLRAIHPAGPSKTEPGPAADSQKLSMGGLHSSRPLKRGLSHSLTHLNVPSTGHPATSAPHTNGPQDLRPSTSGVTFRSPLVTSRARSVSISVPSTPRRGGATQKPKPPWK
+>DECOY_sp|Q96K30|RITA1_HUMAN RBPJ-interacting and tubulin-associated protein 1 OS=Homo sapiens OX=9606 GN=RITA1 PE=1 SV=1
+KWPPKPKQTAGGRRPTSPVSISVSRARSTVLPSRFTVGSTSPRLDQPGNTHPASTAPHGTSPVNLHTLSHSLGRKLPRSSHLGGMSLKQSDAAPGPETKSPGAPHIARLPAERPRPSHSGRPTPPPTWLLARLKAADGKAMRPAGFSAGESRSGFLSEDCYSPTHSIPRYKNKKRPTLTPTSGRSPTTECSGKAGLAKSAEKGVGRTRNAKEVWPPDFDPPTPRTGAPSGFLTEDVYSTRAKVRYGGRCRHQLGLTQMGSVALEVPTKM
+>sp|P62906|RL10A_HUMAN 60S ribosomal protein L10a OS=Homo sapiens OX=9606 GN=RPL10A PE=1 SV=2
+MSSKVSRDTLYEAVREVLHGNQRKRRKFLETVELQISLKNYDPQKDKRFSGTVRLKSTPRPKFSVCVLGDQQHCDEAKAVDIPHMDIEALKKLNKNKKLVKKLAKKYDAFLASESLIKQIPRILGPGLNKAGKFPSLLTHNENMVAKVDEVKSTIKFQMKKVLCLAVAVGHVKMTDDELVYNIHLAVNFLVSLLKKNWQNVRALYIKSTMGKPQRLY
+>DECOY_sp|P62906|RL10A_HUMAN 60S ribosomal protein L10a OS=Homo sapiens OX=9606 GN=RPL10A PE=1 SV=2
+YLRQPKGMTSKIYLARVNQWNKKLLSVLFNVALHINYVLEDDTMKVHGVAVALCLVKKMQFKITSKVEDVKAVMNENHTLLSPFKGAKNLGPGLIRPIQKILSESALFADYKKALKKVLKKNKNLKKLAEIDMHPIDVAKAEDCHQQDGLVCVSFKPRPTSKLRVTGSFRKDKQPDYNKLSIQLEVTELFKRRKRQNGHLVERVAEYLTDRSVKSSM
+>sp|Q02543|RL18A_HUMAN 60S ribosomal protein L18a OS=Homo sapiens OX=9606 GN=RPL18A PE=1 SV=2
+MKASGTLREYKVVGRCLPTPKCHTPPLYRMRIFAPNHVVAKSRFWYFVSQLKKMKKSSGEIVYCGQVFEKSPLRVKNFGIWLRYDSRSGTHNMYREYRDLTTAGAVTQCYRDMGARHRARAHSIQIMKVEEIAASKCRRPAVKQFHDSKIKFPLPHRVLRRQHKPRFTTKRPNTFF
+>DECOY_sp|Q02543|RL18A_HUMAN 60S ribosomal protein L18a OS=Homo sapiens OX=9606 GN=RPL18A PE=1 SV=2
+FFTNPRKTTFRPKHQRRLVRHPLPFKIKSDHFQKVAPRRCKSAAIEEVKMIQISHARARHRAGMDRYCQTVAGATTLDRYERYMNHTGSRSDYRLWIGFNKVRLPSKEFVQGCYVIEGSSKKMKKLQSVFYWFRSKAVVHNPAFIRMRYLPPTHCKPTPLCRGVVKYERLTGSAKM
+>sp|O76021|RL1D1_HUMAN Ribosomal L1 domain-containing protein 1 OS=Homo sapiens OX=9606 GN=RSL1D1 PE=1 SV=3
+MEDSASASLSSAAATGTSTSTPAAPTARKQLDKEQVRKAVDALLTHCKSRKNNYGLLLNENESLFLMVVLWKIPSKELRVRLTLPHSIRSDSEDICLFTKDEPNSTPEKTEQFYRKLLNKHGIKTVSQIISLQTLKKEYKSYEAKLRLLSSFDFFLTDARIRRLLPSLIGRHFYQRKKVPVSVNLLSKNLSREINDCIGGTVLNISKSGSCSAIRIGHVGMQIEHIIENIVAVTKGLSEKLPEKWESVKLLFVKTEKSAALPIFSSFVSNWDEATKRSLLNKKKKEARRKRRERNFEKQKERKKKRQQARKTASVLSKDDVAPESGDTTVKKPESKKEQTPEHGKKKRGRGKAQVKATNESEDEIPQLVPIGKKTPANEKVEIQKHATGKKSPAKSPNPSTPRGKKRKALPASETPKAAESETPGKSPEKKPKIKEEAVKEKSPSLGKKDARQTPKKPEAKFFTTPSKSVRKASHTPKKWPKKPKVPQST
+>DECOY_sp|O76021|RL1D1_HUMAN Ribosomal L1 domain-containing protein 1 OS=Homo sapiens OX=9606 GN=RSL1D1 PE=1 SV=3
+TSQPVKPKKPWKKPTHSAKRVSKSPTTFFKAEPKKPTQRADKKGLSPSKEKVAEEKIKPKKEPSKGPTESEAAKPTESAPLAKRKKGRPTSPNPSKAPSKKGTAHKQIEVKENAPTKKGIPVLQPIEDESENTAKVQAKGRGRKKKGHEPTQEKKSEPKKVTTDGSEPAVDDKSLVSATKRAQQRKKKREKQKEFNRERRKRRAEKKKKNLLSRKTAEDWNSVFSSFIPLAASKETKVFLLKVSEWKEPLKESLGKTVAVINEIIHEIQMGVHGIRIASCSGSKSINLVTGGICDNIERSLNKSLLNVSVPVKKRQYFHRGILSPLLRRIRADTLFFDFSSLLRLKAEYSKYEKKLTQLSIIQSVTKIGHKNLLKRYFQETKEPTSNPEDKTFLCIDESDSRISHPLTLRVRLEKSPIKWLVVMLFLSENENLLLGYNNKRSKCHTLLADVAKRVQEKDLQKRATPAAPTSTSTGTAAASSLSASASDEM
+>sp|P62266|RS23_HUMAN 40S ribosomal protein S23 OS=Homo sapiens OX=9606 GN=RPS23 PE=1 SV=3
+MGKCRGLRTARKLRSHRRDQKWHDKQYKKAHLGTALKANPFGGASHAKGIVLEKVGVEAKQPNSAIRKCVRVQLIKNGKKITAFVPNDGCLNFIEENDEVLVAGFGRKGHAVGDIPGVRFKVVKVANVSLLALYKGKKERPRS
+>DECOY_sp|P62266|RS23_HUMAN 40S ribosomal protein S23 OS=Homo sapiens OX=9606 GN=RPS23 PE=1 SV=3
+SRPREKKGKYLALLSVNAVKVVKFRVGPIDGVAHGKRGFGAVLVEDNEEIFNLCGDNPVFATIKKGNKILQVRVCKRIASNPQKAEVGVKELVIGKAHSAGGFPNAKLATGLHAKKYQKDHWKQDRRHSRLKRATRLGRCKGM
+>sp|Q5JNZ5|RS26L_HUMAN Putative 40S ribosomal protein S26-like 1 OS=Homo sapiens OX=9606 GN=RPS26P11 PE=5 SV=1
+MTKKRRNNSHAKKGRGHVQPIRCTNCVRCVPTDKAIKKFVIRNIVEAAAVRDISEVSVFDAYVLPKLYVKLHYCVSCAIHSKVVRNRSREACKDRTPPPRFRPAGAAPRPPPKPM
+>DECOY_sp|Q5JNZ5|RS26L_HUMAN Putative 40S ribosomal protein S26-like 1 OS=Homo sapiens OX=9606 GN=RPS26P11 PE=5 SV=1
+MPKPPPRPAAGAPRFRPPPTRDKCAERSRNRVVKSHIACSVCYHLKVYLKPLVYADFVSVESIDRVAAAEVINRIVFKKIAKDTPVCRVCNTCRIPQVHGRGKKAHSNNRRKKTM
+>sp|P62979|RS27A_HUMAN Ubiquitin-40S ribosomal protein S27a OS=Homo sapiens OX=9606 GN=RPS27A PE=1 SV=2
+MQIFVKTLTGKTITLEVEPSDTIENVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLSDYNIQKESTLHLVLRLRGGAKKRKKKSYTTPKKNKHKRKKVKLAVLKYYKVDENGKISRLRRECPSDECGAGVFMASHFDRHYCGKCCLTYCFNKPEDK
+>DECOY_sp|P62979|RS27A_HUMAN Ubiquitin-40S ribosomal protein S27a OS=Homo sapiens OX=9606 GN=RPS27A PE=1 SV=2
+KDEPKNFCYTLCCKGCYHRDFHSAMFVGAGCEDSPCERRLRSIKGNEDVKYYKLVALKVKKRKHKNKKPTTYSKKKRKKAGGRLRLVLHLTSEKQINYDSLTRGDELQKGAFILRQQDPPIGEKDQIKAKVNEITDSPEVELTITKGTLTKVFIQM
+>sp|P15880|RS2_HUMAN 40S ribosomal protein S2 OS=Homo sapiens OX=9606 GN=RPS2 PE=1 SV=2
+MADDAGAAGGPGGPGGPGMGNRGGFRGGFGSGIRGRGRGRGRGRGRGRGARGGKAEDKEWMPVTKLGRLVKDMKIKSLEEIYLFSLPIKESEIIDFFLGASLKDEVLKIMPVQKQTRAGQRTRFKAFVAIGDYNGHVGLGVKCSKEVATAIRGAIILAKLSIVPVRRGYWGNKIGKPHTVPCKVTGRCGSVLVRLIPAPRGTGIVSAPVPKKLLMMAGIDDCYTSARGCTATLGNFAKATFDAISKTYSYLTPDLWKETVFTKSPYQEFTDHLVKTHTRVSVQRTQAPAVATT
+>DECOY_sp|P15880|RS2_HUMAN 40S ribosomal protein S2 OS=Homo sapiens OX=9606 GN=RPS2 PE=1 SV=2
+TTAVAPAQTRQVSVRTHTKVLHDTFEQYPSKTFVTEKWLDPTLYSYTKSIADFTAKAFNGLTATCGRASTYCDDIGAMMLLKKPVPASVIGTGRPAPILRVLVSGCRGTVKCPVTHPKGIKNGWYGRRVPVISLKALIIAGRIATAVEKSCKVGLGVHGNYDGIAVFAKFRTRQGARTQKQVPMIKLVEDKLSAGLFFDIIESEKIPLSFLYIEELSKIKMDKVLRGLKTVPMWEKDEAKGGRAGRGRGRGRGRGRGRGRIGSGFGGRFGGRNGMGPGGPGGPGGAAGADDAM
+>sp|P62753|RS6_HUMAN 40S ribosomal protein S6 OS=Homo sapiens OX=9606 GN=RPS6 PE=1 SV=1
+MKLNISFPATGCQKLIEVDDERKLRTFYEKRMATEVAADALGEEWKGYVVRISGGNDKQGFPMKQGVLTHGRVRLLLSKGHSCYRPRRTGERKRKSVRGCIVDANLSVLNLVIVKKGEKDIPGLTDTTVPRRLGPKRASRIRKLFNLSKEDDVRQYVVRKPLNKEGKKPRTKAPKIQRLVTPRVLQHKRRRIALKKQRTKKNKEEAAEYAKLLAKRMKEAKEKRQEQIAKRRRLSSLRASTSKSESSQK
+>DECOY_sp|P62753|RS6_HUMAN 40S ribosomal protein S6 OS=Homo sapiens OX=9606 GN=RPS6 PE=1 SV=1
+KQSSESKSTSARLSSLRRRKAIQEQRKEKAEKMRKALLKAYEAAEEKNKKTRQKKLAIRRRKHQLVRPTVLRQIKPAKTRPKKGEKNLPKRVVYQRVDDEKSLNFLKRIRSARKPGLRRPVTTDTLGPIDKEGKKVIVLNLVSLNADVICGRVSKRKREGTRRPRYCSHGKSLLLRVRGHTLVGQKMPFGQKDNGGSIRVVYGKWEEGLADAAVETAMRKEYFTRLKREDDVEILKQCGTAPFSINLKM
+>sp|P61254|RL26_HUMAN 60S ribosomal protein L26 OS=Homo sapiens OX=9606 GN=RPL26 PE=1 SV=1
+MKFNPFVTSDRSKNRKRHFNAPSHIRRKIMSSPLSKELRQKYNVRSMPIRKDDEVQVVRGHYKGQQIGKVVQVYRKKYVIYIERVQREKANGTTVHVGIHPSKVVITRLKLDKDRKKILERKAKSRQVGKEKGKYKEETIEKMQE
+>DECOY_sp|P61254|RL26_HUMAN 60S ribosomal protein L26 OS=Homo sapiens OX=9606 GN=RPL26 PE=1 SV=1
+EQMKEITEEKYKGKEKGVQRSKAKRELIKKRDKDLKLRTIVVKSPHIGVHVTTGNAKERQVREIYIVYKKRYVQVVKGIQQGKYHGRVVQVEDDKRIPMSRVNYKQRLEKSLPSSMIKRRIHSPANFHRKRNKSRDSTVFPNFKM
+>sp|P46779|RL28_HUMAN 60S ribosomal protein L28 OS=Homo sapiens OX=9606 GN=RPL28 PE=1 SV=3
+MSAHLQWMVVRNCSSFLIKRNKQTYSTEPNNLKARNSFRYNGLIHRKTVGVEPAADGKGVVVVIKRRSGQRKPATSYVRTTINKNARATLSSIRHMIRKNKYRPDLRMAAIRRASAILRSQKPVMVKRKRTRPTKSS
+>DECOY_sp|P46779|RL28_HUMAN 60S ribosomal protein L28 OS=Homo sapiens OX=9606 GN=RPL28 PE=1 SV=3
+SSKTPRTRKRKVMVPKQSRLIASARRIAAMRLDPRYKNKRIMHRISSLTARANKNITTRVYSTAPKRQGSRRKIVVVVGKGDAAPEVGVTKRHILGNYRFSNRAKLNNPETSYTQKNRKILFSSCNRVVMWQLHASM
+>sp|P62910|RL32_HUMAN 60S ribosomal protein L32 OS=Homo sapiens OX=9606 GN=RPL32 PE=1 SV=2
+MAALRPLVKPKIVKKRTKKFIRHQSDRYVKIKRNWRKPRGIDNRVRRRFKGQILMPNIGYGSNKKTKHMLPSGFRKFLVHNVKELEVLLMCNKSYCAEIAHNVSSKNRKAIVERAAQLAIRVTNPNARLRSEENE
+>DECOY_sp|P62910|RL32_HUMAN 60S ribosomal protein L32 OS=Homo sapiens OX=9606 GN=RPL32 PE=1 SV=2
+ENEESRLRANPNTVRIALQAAREVIAKRNKSSVNHAIEACYSKNCMLLVELEKVNHVLFKRFGSPLMHKTKKNSGYGINPMLIQGKFRRRVRNDIGRPKRWNRKIKVYRDSQHRIFKKTRKKVIKPKVLPRLAAM
+>sp|P49207|RL34_HUMAN 60S ribosomal protein L34 OS=Homo sapiens OX=9606 GN=RPL34 PE=1 SV=3
+MVQRLTYRRRLSYNTASNKTRLSRTPGNRIVYLYTKKVGKAPKSACGVCPGRLRGVRAVRPKVLMRLSKTKKHVSRAYGGSMCAKCVRDRIKRAFLIEEQKIVVKVLKAQAQSQKAK
+>DECOY_sp|P49207|RL34_HUMAN 60S ribosomal protein L34 OS=Homo sapiens OX=9606 GN=RPL34 PE=1 SV=3
+KAKQSQAQAKLVKVVIKQEEILFARKIRDRVCKACMSGGYARSVHKKTKSLRMLVKPRVARVGRLRGPCVGCASKPAKGVKKTYLYVIRNGPTRSLRTKNSATNYSLRRRYTLRQVM
+>sp|P49411|EFTU_HUMAN Elongation factor Tu, mitochondrial OS=Homo sapiens OX=9606 GN=TUFM PE=1 SV=2
+MAAATLLRATPHFSGLAAGRTFLLQGLLRLLKAPALPLLCRGLAVEAKKTYVRDKPHVNVGTIGHVDHGKTTLTAAITKILAEGGGAKFKKYEEIDNAPEERARGITINAAHVEYSTAARHYAHTDCPGHADYVKNMITGTAPLDGCILVVAANDGPMPQTREHLLLARQIGVEHVVVYVNKADAVQDSEMVELVELEIRELLTEFGYKGEETPVIVGSALCALEGRDPELGLKSVQKLLDAVDTYIPVPARDLEKPFLLPVEAVYSVPGRGTVVTGTLERGILKKGDECELLGHSKNIRTVVTGIEMFHKSLERAEAGDNLGALVRGLKREDLRRGLVMVKPGSIKPHQKVEAQVYILSKEEGGRHKPFVSHFMPVMFSLTWDMACRIILPPEKELAMPGEDLKFNLILRQPMILEKGQRFTLRDGNRTIGTGLVTNTLAMTEEEKNIKWG
+>DECOY_sp|P49411|EFTU_HUMAN Elongation factor Tu, mitochondrial OS=Homo sapiens OX=9606 GN=TUFM PE=1 SV=2
+GWKINKEEETMALTNTVLGTGITRNGDRLTFRQGKELIMPQRLILNFKLDEGPMALEKEPPLIIRCAMDWTLSFMVPMFHSVFPKHRGGEEKSLIYVQAEVKQHPKISGPKVMVLGRRLDERKLGRVLAGLNDGAEARELSKHFMEIGTVVTRINKSHGLLECEDGKKLIGRELTGTVVTGRGPVSYVAEVPLLFPKELDRAPVPIYTDVADLLKQVSKLGLEPDRGELACLASGVIVPTEEGKYGFETLLERIELEVLEVMESDQVADAKNVYVVVHEVGIQRALLLHERTQPMPGDNAAVVLICGDLPATGTIMNKVYDAHGPCDTHAYHRAATSYEVHAANITIGRAREEPANDIEEYKKFKAGGGEALIKTIAATLTTKGHDVHGITGVNVHPKDRVYTKKAEVALGRCLLPLAPAKLLRLLGQLLFTRGAALGSFHPTARLLTAAAM
+>sp|Q99944|EGFL8_HUMAN Epidermal growth factor-like protein 8 OS=Homo sapiens OX=9606 GN=EGFL8 PE=1 SV=1
+MGSRAELCTLLGGFSFLLLLIPGEGAKGGSLRESQGVCSKQTLVVPLHYNESYSQPVYKPYLTLCAGRRICSTYRTMYRVMWREVRREVQQTHAVCCQGWKKRHPGALTCEAICAKPCLNGGVCVRPDQCECAPGWGGKHCHVDVDECRTSITLCSHHCFNTAGSFTCGCPHDLVLGVDGRTCMEGSPEPPTSASILSVAVREAEKDERALKQEIHELRGRLERLEQWAGQAGAWVRAVLPVPPEELQPEQVAELWGRGDRIESLSDQVLLLEERLGACSCEDNSLGLGVNHR
+>DECOY_sp|Q99944|EGFL8_HUMAN Epidermal growth factor-like protein 8 OS=Homo sapiens OX=9606 GN=EGFL8 PE=1 SV=1
+RHNVGLGLSNDECSCAGLREELLLVQDSLSEIRDGRGWLEAVQEPQLEEPPVPLVARVWAGAQGAWQELRELRGRLEHIEQKLAREDKEAERVAVSLISASTPPEPSGEMCTRGDVGLVLDHPCGCTFSGATNFCHHSCLTISTRCEDVDVHCHKGGWGPACECQDPRVCVGGNLCPKACIAECTLAGPHRKKWGQCCVAHTQQVERRVERWMVRYMTRYTSCIRRGACLTLYPKYVPQSYSENYHLPVVLTQKSCVGQSERLSGGKAGEGPILLLLFSFGGLLTCLEARSGM
+>sp|P00533|EGFR_HUMAN Epidermal growth factor receptor OS=Homo sapiens OX=9606 GN=EGFR PE=1 SV=2
+MRPSGTAGAALLALLAALCPASRALEEKKVCQGTSNKLTQLGTFEDHFLSLQRMFNNCEVVLGNLEITYVQRNYDLSFLKTIQEVAGYVLIALNTVERIPLENLQIIRGNMYYENSYALAVLSNYDANKTGLKELPMRNLQEILHGAVRFSNNPALCNVESIQWRDIVSSDFLSNMSMDFQNHLGSCQKCDPSCPNGSCWGAGEENCQKLTKIICAQQCSGRCRGKSPSDCCHNQCAAGCTGPRESDCLVCRKFRDEATCKDTCPPLMLYNPTTYQMDVNPEGKYSFGATCVKKCPRNYVVTDHGSCVRACGADSYEMEEDGVRKCKKCEGPCRKVCNGIGIGEFKDSLSINATNIKHFKNCTSISGDLHILPVAFRGDSFTHTPPLDPQELDILKTVKEITGFLLIQAWPENRTDLHAFENLEIIRGRTKQHGQFSLAVVSLNITSLGLRSLKEISDGDVIISGNKNLCYANTINWKKLFGTSGQKTKIISNRGENSCKATGQVCHALCSPEGCWGPEPRDCVSCRNVSRGRECVDKCNLLEGEPREFVENSECIQCHPECLPQAMNITCTGRGPDNCIQCAHYIDGPHCVKTCPAGVMGENNTLVWKYADAGHVCHLCHPNCTYGCTGPGLEGCPTNGPKIPSIATGMVGALLLLLVVALGIGLFMRRRHIVRKRTLRRLLQERELVEPLTPSGEAPNQALLRILKETEFKKIKVLGSGAFGTVYKGLWIPEGEKVKIPVAIKELREATSPKANKEILDEAYVMASVDNPHVCRLLGICLTSTVQLITQLMPFGCLLDYVREHKDNIGSQYLLNWCVQIAKGMNYLEDRRLVHRDLAARNVLVKTPQHVKITDFGLAKLLGAEEKEYHAEGGKVPIKWMALESILHRIYTHQSDVWSYGVTVWELMTFGSKPYDGIPASEISSILEKGERLPQPPICTIDVYMIMVKCWMIDADSRPKFRELIIEFSKMARDPQRYLVIQGDERMHLPSPTDSNFYRALMDEEDMDDVVDADEYLIPQQGFFSSPSTSRTPLLSSLSATSNNSTVACIDRNGLQSCPIKEDSFLQRYSSDPTGALTEDSIDDTFLPVPEYINQSVPKRPAGSVQNPVYHNQPLNPAPSRDPHYQDPHSTAVGNPEYLNTVQPTCVNSTFDSPAHWAQKGSHQISLDNPDYQQDFFPKEAKPNGIFKGSTAENAEYLRVAPQSSEFIGA
+>DECOY_sp|P00533|EGFR_HUMAN Epidermal growth factor receptor OS=Homo sapiens OX=9606 GN=EGFR PE=1 SV=2
+AGIFESSQPAVRLYEANEATSGKFIGNPKAEKPFFDQQYDPNDLSIQHSGKQAWHAPSDFTSNVCTPQVTNLYEPNGVATSHPDQYHPDRSPAPNLPQNHYVPNQVSGAPRKPVSQNIYEPVPLFTDDISDETLAGTPDSSYRQLFSDEKIPCSQLGNRDICAVTSNNSTASLSSLLPTRSTSPSSFFGQQPILYEDADVVDDMDEEDMLARYFNSDTPSPLHMREDGQIVLYRQPDRAMKSFEIILERFKPRSDADIMWCKVMIMYVDITCIPPQPLREGKELISSIESAPIGDYPKSGFTMLEWVTVGYSWVDSQHTYIRHLISELAMWKIPVKGGEAHYEKEEAGLLKALGFDTIKVHQPTKVLVNRAALDRHVLRRDELYNMGKAIQVCWNLLYQSGINDKHERVYDLLCGFPMLQTILQVTSTLCIGLLRCVHPNDVSAMVYAEDLIEKNAKPSTAERLEKIAVPIKVKEGEPIWLGKYVTGFAGSGLVKIKKFETEKLIRLLAQNPAEGSPTLPEVLEREQLLRRLTRKRVIHRRRMFLGIGLAVVLLLLLAGVMGTAISPIKPGNTPCGELGPGTCGYTCNPHCLHCVHGADAYKWVLTNNEGMVGAPCTKVCHPGDIYHACQICNDPGRGTCTINMAQPLCEPHCQICESNEVFERPEGELLNCKDVCERGRSVNRCSVCDRPEPGWCGEPSCLAHCVQGTAKCSNEGRNSIIKTKQGSTGFLKKWNITNAYCLNKNGSIIVDGDSIEKLSRLGLSTINLSVVALSFQGHQKTRGRIIELNEFAHLDTRNEPWAQILLFGTIEKVTKLIDLEQPDLPPTHTFSDGRFAVPLIHLDGSISTCNKFHKINTANISLSDKFEGIGIGNCVKRCPGECKKCKRVGDEEMEYSDAGCARVCSGHDTVVYNRPCKKVCTAGFSYKGEPNVDMQYTTPNYLMLPPCTDKCTAEDRFKRCVLCDSERPGTCGAACQNHCCDSPSKGRCRGSCQQACIIKTLKQCNEEGAGWCSGNPCSPDCKQCSGLHNQFDMSMNSLFDSSVIDRWQISEVNCLAPNNSFRVAGHLIEQLNRMPLEKLGTKNADYNSLVALAYSNEYYMNGRIIQLNELPIREVTNLAILVYGAVEQITKLFSLDYNRQVYTIELNGLVVECNNFMRQLSLFHDEFTGLQTLKNSTGQCVKKEELARSAPCLAALLALLAAGATGSPRM
+>sp|Q8N140|EID3_HUMAN EP300-interacting inhibitor of differentiation 3 OS=Homo sapiens OX=9606 GN=EID3 PE=1 SV=1
+MKMDVSVRAAGCSDDLSSGEADVDPKLLELTADEEKCRSIRRQYRQLMYCVRQNREDIVSSANNSLTEALEEANVLFDGVSRTREAALDARFLVMASDLGKEKAKQLNSDMNFFNQLAFCDFLFLFVGLNWMEGDPDKLSDCDDSIALSFWKAIEKEATSWMVKAETFHFVFGSFKLERSAPKPRLEHQKKVRKMEENGNMPTKLQKLDLSSYPEATEKNVERILGLLQTYFRKYPDTPVSYFEFVIDPNSFSRTVENIFYVSFIVRDGFARIRLDEDRLPILEPMNVNQMGEGNDSSCHGRKQGVISLTLQEWKNIVAAFEISEAMITYSSY
+>DECOY_sp|Q8N140|EID3_HUMAN EP300-interacting inhibitor of differentiation 3 OS=Homo sapiens OX=9606 GN=EID3 PE=1 SV=1
+YSSYTIMAESIEFAAVINKWEQLTLSIVGQKRGHCSSDNGEGMQNVNMPELIPLRDEDLRIRAFGDRVIFSVYFINEVTRSFSNPDIVFEFYSVPTDPYKRFYTQLLGLIREVNKETAEPYSSLDLKQLKTPMNGNEEMKRVKKQHELRPKPASRELKFSGFVFHFTEAKVMWSTAEKEIAKWFSLAISDDCDSLKDPDGEMWNLGVFLFLFDCFALQNFFNMDSNLQKAKEKGLDSAMVLFRADLAAERTRSVGDFLVNAEELAETLSNNASSVIDERNQRVCYMLQRYQRRISRCKEEDATLELLKPDVDAEGSSLDDSCGAARVSVDMKM
+>sp|O75822|EIF3J_HUMAN Eukaryotic translation initiation factor 3 subunit J OS=Homo sapiens OX=9606 GN=EIF3J PE=1 SV=2
+MAAAAAAAGDSDSWDADAFSVEDPVRKVGGGGTAGGDRWEGEDEDEDVKDNWDDDDDEKKEEAEVKPEVKISEKKKIAEKIKEKERQQKKRQEEIKKRLEEPEEPKVLTPEEQLADKLRLKKLQEESDLELAKETFGVNNAVYGIDAMNPSSRDDFTEFGKLLKDKITQYEKSLYYASFLEVLVRDVCISLEIDDLKKITNSLTVLCSEKQKQEKQSKAKKKKKGVVPGGGLKATMKDDLADYGGYDGGYVQDYEDFM
+>DECOY_sp|O75822|EIF3J_HUMAN Eukaryotic translation initiation factor 3 subunit J OS=Homo sapiens OX=9606 GN=EIF3J PE=1 SV=2
+MFDEYDQVYGGDYGGYDALDDKMTAKLGGGPVVGKKKKKAKSQKEQKQKESCLVTLSNTIKKLDDIELSICVDRVLVELFSAYYLSKEYQTIKDKLLKGFETFDDRSSPNMADIGYVANNVGFTEKALELDSEEQLKKLRLKDALQEEPTLVKPEEPEELRKKIEEQRKKQQREKEKIKEAIKKKESIKVEPKVEAEEKKEDDDDDWNDKVDEDEDEGEWRDGGATGGGGVKRVPDEVSFADADWSDSDGAAAAAAAM
+>sp|Q9NVF9|EKI2_HUMAN Ethanolamine kinase 2 OS=Homo sapiens OX=9606 GN=ETNK2 PE=1 SV=3
+MAVPPSAPQPRASFHLRRHTPCPQCSWGMEEKAAASASCREPPGPPRAAAVAYFGISVDPDDILPGALRLIQELRPHWKPEQVRTKRFTDGITNKLVACYVEEDMQDCVLVRVYGERTELLVDRENEVRNFQLLRAHSCAPKLYCTFQNGLCYEYMQGVALEPEHIREPRLFRLIALEMAKIHTIHANGSLPKPILWHKMHNYFTLVKNEINPSLSADVPKVEVLERELAWLKEHLSQLESPVVFCHNDLLCKNIIYDSIKGHVRFIDYEYAGYNYQAFDIGNHFNEFAGVNEVDYCLYPARETQLQWLHYYLQAQKGMAVTPREVQRLYVQVNKFALASHFFWALWALIQNQYSTIDFDFLRYAVIRFNQYFKVKPQASALEMPK
+>DECOY_sp|Q9NVF9|EKI2_HUMAN Ethanolamine kinase 2 OS=Homo sapiens OX=9606 GN=ETNK2 PE=1 SV=3
+KPMELASAQPKVKFYQNFRIVAYRLFDFDITSYQNQILAWLAWFFHSALAFKNVQVYLRQVERPTVAMGKQAQLYYHLWQLQTERAPYLCYDVENVGAFENFHNGIDFAQYNYGAYEYDIFRVHGKISDYIINKCLLDNHCFVVPSELQSLHEKLWALERELVEVKPVDASLSPNIENKVLTFYNHMKHWLIPKPLSGNAHITHIKAMELAILRFLRPERIHEPELAVGQMYEYCLGNQFTCYLKPACSHARLLQFNRVENERDVLLETREGYVRVLVCDQMDEEVYCAVLKNTIGDTFRKTRVQEPKWHPRLEQILRLAGPLIDDPDVSIGFYAVAAARPPGPPERCSASAAAKEEMGWSCQPCPTHRRLHFSARPQPASPPVAM
+>sp|P0DMC3|ELA_HUMAN Apelin receptor early endogenous ligand OS=Homo sapiens OX=9606 GN=APELA PE=1 SV=1
+MRFQQFLFAFFIFIMSLLLISGQRPVNLTMRRKLRKHNCLQRRCMPLHSRVPFP
+>DECOY_sp|P0DMC3|ELA_HUMAN Apelin receptor early endogenous ligand OS=Homo sapiens OX=9606 GN=APELA PE=1 SV=1
+PFPVRSHLPMCRRQLCNHKRLKRRMTLNVPRQGSILLLSMIFIFFAFLFQQFRM
+>sp|P28324|ELK4_HUMAN ETS domain-containing protein Elk-4 OS=Homo sapiens OX=9606 GN=ELK4 PE=1 SV=3
+MDSAITLWQFLLQLLQKPQNKHMICWTSNDGQFKLLQAEEVARLWGIRKNKPNMNYDKLSRALRYYYVKNIIKKVNGQKFVYKFVSYPEILNMDPMTVGRIEGDCESLNFSEVSSSSKDVENGGKDKPPQPGAKTSSRNDYIHSGLYSSFTLNSLNSSNVKLFKLIKTENPAEKLAEKKSPQEPTPSVIKFVTTPSKKPPVEPVAATISIGPSISPSSEETIQALETLVSPKLPSLEAPTSASNVMTAFATTPPISSIPPLQEPPRTPSPPLSSHPDIDTDIDSVASQPMELPENLSLEPKDQDSVLLEKDKVNNSSRSKKPKGLELAPTLVITSSDPSPLGILSPSLPTASLTPAFFSQTPIILTPSPLLSSIHFWSTLSPVAPLSPARLQGANTLFQFPSVLNSHGPFTLSGLDGPSTPGPFSPDLQKT
+>DECOY_sp|P28324|ELK4_HUMAN ETS domain-containing protein Elk-4 OS=Homo sapiens OX=9606 GN=ELK4 PE=1 SV=3
+TKQLDPSFPGPTSPGDLGSLTFPGHSNLVSPFQFLTNAGQLRAPSLPAVPSLTSWFHISSLLPSPTLIIPTQSFFAPTLSATPLSPSLIGLPSPDSSTIVLTPALELGKPKKSRSSNNVKDKELLVSDQDKPELSLNEPLEMPQSAVSDIDTDIDPHSSLPPSPTRPPEQLPPISSIPPTTAFATMVNSASTPAELSPLKPSVLTELAQITEESSPSISPGISITAAVPEVPPKKSPTTVFKIVSPTPEQPSKKEALKEAPNETKILKFLKVNSSNLSNLTFSSYLGSHIYDNRSSTKAGPQPPKDKGGNEVDKSSSSVESFNLSECDGEIRGVTMPDMNLIEPYSVFKYVFKQGNVKKIINKVYYYRLARSLKDYNMNPKNKRIGWLRAVEEAQLLKFQGDNSTWCIMHKNQPKQLLQLLFQWLTIASDM
+>sp|Q9HB65|ELL3_HUMAN RNA polymerase II elongation factor ELL3 OS=Homo sapiens OX=9606 GN=ELL3 PE=1 SV=2
+MEELQEPLRGQLRLCFTQAARTSLLLLRLNDAALRALQECQRQQVRPVIAFQGHRGYLRLPGPGWSCLFSFIVSQCCQEGAGGSLDLVCQRFLRSGPNSLHCLGSLRERLIIWAAMDSIPAPSSVQGHNLTEDARHPESWQNTGGYSEGDAVSQPQMALEEVSVSDPLASNQGQSLPGSSREHMAQWEVRSQTHVPNREPVQALPSSASRKRLDKKRSVPVATVELEEKRFRTLPLVPSPLQGLTNQDLQEGEDWEQEDEDMDPRLEHSSSVQEDSESPSPEDIPDYLLQYRAIHSAEQQHAYEQDFETDYAEYRILHARVGTASQRFIELGAEIKRVRRGTPEYKVLEDKIIQEYKKFRKQYPSYREEKRRCEYLHQKLSHIKGLILEFEEKNRGS
+>DECOY_sp|Q9HB65|ELL3_HUMAN RNA polymerase II elongation factor ELL3 OS=Homo sapiens OX=9606 GN=ELL3 PE=1 SV=2
+SGRNKEEFELILGKIHSLKQHLYECRRKEERYSPYQKRFKKYEQIIKDELVKYEPTGRRVRKIEAGLEIFRQSATGVRAHLIRYEAYDTEFDQEYAHQQEASHIARYQLLYDPIDEPSPSESDEQVSSSHELRPDMDEDEQEWDEGEQLDQNTLGQLPSPVLPLTRFRKEELEVTAVPVSRKKDLRKRSASSPLAQVPERNPVHTQSRVEWQAMHERSSGPLSQGQNSALPDSVSVEELAMQPQSVADGESYGGTNQWSEPHRADETLNHGQVSSPAPISDMAAWIILRERLSGLCHLSNPGSRLFRQCVLDLSGGAGEQCCQSVIFSFLCSWGPGPLRLYGRHGQFAIVPRVQQRQCEQLARLAADNLRLLLLSTRAAQTFCLRLQGRLPEQLEEM
+>sp|Q8N336|ELMD1_HUMAN ELMO domain-containing protein 1 OS=Homo sapiens OX=9606 GN=ELMOD1 PE=2 SV=3
+MKHFLRMLIQVCLYFYCKFLWRCLKFVMRKLTGRCELQRICYNTKPGASRTMKIETSLRDSKSKLLQTSVSVHPDAIEKTIEDIMELKKINPDVNPQLGISLQACLLQIVGYRNLIADVEKLRREAYDSDNPQHEEMLLKLWKFLKPNTPLESRISKQWCEIGFQGDDPKTDFRGMGLLGLYNLQYFAERDATAAQQVLSDSLHPKCRDITKEEISKFSKAEWEKKRMDKAIGYSFAIVGINITDLAYNLLVSGALKTHFYNIAPEAPTLSHFQQTFCYLMHEFHKFWIEEDPMDIMEFNRVREKFRKRIIKQLQNPDMALCPHFAASEGLINM
+>DECOY_sp|Q8N336|ELMD1_HUMAN ELMO domain-containing protein 1 OS=Homo sapiens OX=9606 GN=ELMOD1 PE=2 SV=3
+MNILGESAAFHPCLAMDPNQLQKIIRKRFKERVRNFEMIDMPDEEIWFKHFEHMLYCFTQQFHSLTPAEPAINYFHTKLAGSVLLNYALDTINIGVIAFSYGIAKDMRKKEWEAKSFKSIEEKTIDRCKPHLSDSLVQQAATADREAFYQLNYLGLLGMGRFDTKPDDGQFGIECWQKSIRSELPTNPKLFKWLKLLMEEHQPNDSDYAERRLKEVDAILNRYGVIQLLCAQLSIGLQPNVDPNIKKLEMIDEITKEIADPHVSVSTQLLKSKSDRLSTEIKMTRSAGPKTNYCIRQLECRGTLKRMVFKLCRWLFKCYFYLCVQILMRLFHKM
+>sp|Q96FG2|ELMD3_HUMAN ELMO domain-containing protein 3 OS=Homo sapiens OX=9606 GN=ELMOD3 PE=1 SV=2
+MNEKSCSFHSKEELRDGQGERLSAGYSPSYDKDKSVLAFRGIPISELKNHGILQALTTEAYEWEPRVVSTEVVRAQEEWEAVDTIQPETGSQASSEQPGQLISFSEALQHFQTVDLSPFKKRIQPTIRRTGLAALRHYLFGPPKLHQRLREERDLVLTIAQCGLDSQDPVHGRVLQTIYKKLTGSKFDCALHGNHWEDLGFQGANPATDLRGAGFLALLHLLYLVMDSKTLPMAQEIFRLSRHHIQQFPFCLMSVNITHIAIQALREECLSRECNRQQKVIPVVNSFYAATFLHLAHVWRTQRKTISDSGFVLKELEVLAKKSPRRLLKTLELYLARVSKGQASLLGAQKCYGPEAPPFKDLTFTGESDLQSHSSEGVWLI
+>DECOY_sp|Q96FG2|ELMD3_HUMAN ELMO domain-containing protein 3 OS=Homo sapiens OX=9606 GN=ELMOD3 PE=1 SV=2
+ILWVGESSHSQLDSEGTFTLDKFPPAEPGYCKQAGLLSAQGKSVRALYLELTKLLRRPSKKALVELEKLVFGSDSITKRQTRWVHALHLFTAAYFSNVVPIVKQQRNCERSLCEERLAQIAIHTINVSMLCFPFQQIHHRSLRFIEQAMPLTKSDMVLYLLHLLALFGAGRLDTAPNAGQFGLDEWHNGHLACDFKSGTLKKYITQLVRGHVPDQSDLGCQAITLVLDREERLRQHLKPPGFLYHRLAALGTRRITPQIRKKFPSLDVTQFHQLAESFSILQGPQESSAQSGTEPQITDVAEWEEQARVVETSVVRPEWEYAETTLAQLIGHNKLESIPIGRFALVSKDKDYSPSYGASLREGQGDRLEEKSHFSCSKENM
+>sp|Q92556|ELMO1_HUMAN Engulfment and cell motility protein 1 OS=Homo sapiens OX=9606 GN=ELMO1 PE=1 SV=2
+MPPPADIVKVAIEWPGAYPKLMEIDQKKPLSAIIKEVCDGWSLANHEYFALQHADSSNFYITEKNRNEIKNGTILRLTTSPAQNAQQLHERIQSSSMDAKLEALKDLASLSRDVTFAQEFINLDGISLLTQMVESGTERYQKLQKIMKPCFGDMLSFTLTAFVELMDHGIVSWDTFSVAFIKKIASFVNKSAIDISILQRSLAILESMVLNSHDLYQKVAQEITIGQLIPHLQGSDQEIQTYTIAVINALFLKAPDERRQEMANILAQKQLRSIILTHVIRAQRAINNEMAHQLYVLQVLTFNLLEDRMMTKMDPQDQAQRDIIFELRRIAFDAESEPNNSSGSMEKRKSMYTRDYKKLGFINHVNPAMDFTQTPPGMLALDNMLYFAKHHQDAYIRIVLENSSREDKHECPFGRSSIELTKMLCEILKVGELPSETCNDFHPMFFTHDRSFEEFFCICIQLLNKTWKEMRATSEDFNKVMQVVKEQVMRALTTKPSSLDQFKSKLQNLSYTEILKIRQSERMNQEDFQSRPILELKEKIQPEILELIKQQRLNRLVEGTCFRKLNARRRQDKFWYCRLSPNHKVLHYGDLEESPQGEVPHDSLQDKLPVADIKAVVTGKDCPHMKEKGALKQNKEVLELAFSILYDSNCQLNFIAPDKHEYCIWTDGLNALLGKDMMSDLTRNDLDTLLSMEIKLRLLDLENIQIPDAPPPIPKEPSNYDFVYDCN
+>DECOY_sp|Q92556|ELMO1_HUMAN Engulfment and cell motility protein 1 OS=Homo sapiens OX=9606 GN=ELMO1 PE=1 SV=2
+NCDYVFDYNSPEKPIPPPADPIQINELDLLRLKIEMSLLTDLDNRTLDSMMDKGLLANLGDTWICYEHKDPAIFNLQCNSDYLISFALELVEKNQKLAGKEKMHPCDKGTVVAKIDAVPLKDQLSDHPVEGQPSEELDGYHLVKHNPSLRCYWFKDQRRRANLKRFCTGEVLRNLRQQKILELIEPQIKEKLELIPRSQFDEQNMRESQRIKLIETYSLNQLKSKFQDLSSPKTTLARMVQEKVVQMVKNFDESTARMEKWTKNLLQICICFFEEFSRDHTFFMPHFDNCTESPLEGVKLIECLMKTLEISSRGFPCEHKDERSSNELVIRIYADQHHKAFYLMNDLALMGPPTQTFDMAPNVHNIFGLKKYDRTYMSKRKEMSGSSNNPESEADFAIRRLEFIIDRQAQDQPDMKTMMRDELLNFTLVQLVYLQHAMENNIARQARIVHTLIISRLQKQALINAMEQRREDPAKLFLANIVAITYTQIEQDSGQLHPILQGITIEQAVKQYLDHSNLVMSELIALSRQLISIDIASKNVFSAIKKIFAVSFTDWSVIGHDMLEVFATLTFSLMDGFCPKMIKQLKQYRETGSEVMQTLLSIGDLNIFEQAFTVDRSLSALDKLAELKADMSSSQIREHLQQANQAPSTTLRLITGNKIENRNKETIYFNSSDAHQLAFYEHNALSWGDCVEKIIASLPKKQDIEMLKPYAGPWEIAVKVIDAPPPM
+>sp|P60002|ELOF1_HUMAN Transcription elongation factor 1 homolog OS=Homo sapiens OX=9606 GN=ELOF1 PE=1 SV=1
+MGRRKSKRKPPPKKKMTGTLETQFTCPFCNHEKSCDVKMDRARNTGVISCTVCLEEFQTPITYLSEPVDVYSDWIDACEAANQ
+>DECOY_sp|P60002|ELOF1_HUMAN Transcription elongation factor 1 homolog OS=Homo sapiens OX=9606 GN=ELOF1 PE=1 SV=1
+QNAAECADIWDSYVDVPESLYTIPTQFEELCVTCSIVGTNRARDMKVDCSKEHNCFPCTFQTELTGTMKKKPPPKRKSKRRGM
+>sp|O95834|EMAL2_HUMAN Echinoderm microtubule-associated protein-like 2 OS=Homo sapiens OX=9606 GN=EML2 PE=1 SV=1
+MSSFGAGKTKEVIFSVEDGSVKMFLRGRPVPMMIPDELAPTYSLDTRSELPSCRLKLEWVYGYRGRDCRANLYLLPTGEIVYFVASVAVLYSVEEQRQRHYLGHNDDIKCLAIHPDMVTIATGQVAGTTKEGKPLPPHVRIWDSVSLSTLHVLGLGVFDRAVCCVGFSKSNGGNLLCAVDESNDHMLSVWDWAKETKVVDVKCSNEAVLVATFHPTDPTVLITCGKSHIYFWTLEGGSLSKRQGLFEKHEKPKYVLCVTFLEGGDVVTGDSGGNLYVWGKGGNRITQAVLGAHDGGVFGLCALRDGTLVSGGGRDRRVVLWGSDYSKLQEVEVPEDFGPVRTVAEGHGDTLYVGTTRNSILQGSVHTGFSLLVQGHVEELWGLATHPSRAQFVTCGQDKLVHLWSSDSHQPLWSRIIEDPARSAGFHPSGSVLAVGTVTGRWLLLDTETHDLVAIHTDGNEQISVVSFSPDGAYLAVGSHDNLVYVYTVDQGGRKVSRLGKCSGHSSFITHLDWAQDSSCFVTNSGDYEILYWDPATCKQITSADAVRNMEWATATCVLGFGVFGIWSEGADGTDINAVARSHDGKLLASADDFGKVHLFSYPCCQPRALSHKYGGHSSHVTNVAFLWDDSMALTTGGKDTSVLQWRVV
+>DECOY_sp|O95834|EMAL2_HUMAN Echinoderm microtubule-associated protein-like 2 OS=Homo sapiens OX=9606 GN=EML2 PE=1 SV=1
+VVRWQLVSTDKGGTTLAMSDDWLFAVNTVHSSHGGYKHSLARPQCCPYSFLHVKGFDDASALLKGDHSRAVANIDTGDAGESWIGFVGFGLVCTATAWEMNRVADASTIQKCTAPDWYLIEYDGSNTVFCSSDQAWDLHTIFSSHGSCKGLRSVKRGGQDVTYVYVLNDHSGVALYAGDPSFSVVSIQENGDTHIAVLDHTETDLLLWRGTVTGVALVSGSPHFGASRAPDEIIRSWLPQHSDSSWLHVLKDQGCTVFQARSPHTALGWLEEVHGQVLLSFGTHVSGQLISNRTTGVYLTDGHGEAVTRVPGFDEPVEVEQLKSYDSGWLVVRRDRGGGSVLTGDRLACLGFVGGDHAGLVAQTIRNGGKGWVYLNGGSDGTVVDGGELFTVCLVYKPKEHKEFLGQRKSLSGGELTWFYIHSKGCTILVTPDTPHFTAVLVAENSCKVDVVKTEKAWDWVSLMHDNSEDVACLLNGGNSKSFGVCCVARDFVGLGLVHLTSLSVSDWIRVHPPLPKGEKTTGAVQGTAITVMDPHIALCKIDDNHGLYHRQRQEEVSYLVAVSAVFYVIEGTPLLYLNARCDRGRYGYVWELKLRCSPLESRTDLSYTPALEDPIMMPVPRGRLFMKVSGDEVSFIVEKTKGAGFSSM
+>sp|Q9HC35|EMAL4_HUMAN Echinoderm microtubule-associated protein-like 4 OS=Homo sapiens OX=9606 GN=EML4 PE=1 SV=3
+MDGFAGSLDDSISAASTSDVQDRLSALESRVQQQEDEITVLKAALADVLRRLAISEDHVASVKKSVSSKGQPSPRAVIPMSCITNGSGANRKPSHTSAVSIAGKETLSSAAKSGTEKKKEKPQGQREKKEESHSNDQSPQIRASPSPQPSSQPLQIHRQTPESKNATPTKSIKRPSPAEKSHNSWENSDDSRNKLSKIPSTPKLIPKVTKTADKHKDVIINQEGEYIKMFMRGRPITMFIPSDVDNYDDIRTELPPEKLKLEWAYGYRGKDCRANVYLLPTGKIVYFIASVVVLFNYEERTQRHYLGHTDCVKCLAIHPDKIRIATGQIAGVDKDGRPLQPHVRVWDSVTLSTLQIIGLGTFERGVGCLDFSKADSGVHLCIIDDSNEHMLTVWDWQKKAKGAEIKTTNEVVLAVEFHPTDANTIITCGKSHIFFWTWSGNSLTRKQGIFGKYEKPKFVQCLAFLGNGDVLTGDSGGVMLIWSKTTVEPTPGKGPKGVYQISKQIKAHDGSVFTLCQMRNGMLLTGGGKDRKIILWDHDLNPEREIEVPDQYGTIRAVAEGKADQFLVGTSRNFILRGTFNDGFQIEVQGHTDELWGLATHPFKDLLLTCAQDRQVCLWNSMEHRLEWTRLVDEPGHCADFHPSGTVVAIGTHSGRWFVLDAETRDLVSIHTDGNEQLSVMRYSIDGTFLAVGSHDNFIYLYVVSENGRKYSRYGRCTGHSSYITHLDWSPDNKYIMSNSGDYEILYWDIPNGCKLIRNRSDCKDIDWTTYTCVLGFQVFGVWPEGSDGTDINALVRSHNRKVIAVADDFCKVHLFQYPCSKAKAPSHKYSAHSSHVTNVSFTHNDSHLISTGGKDMSIIQWKLVEKLSLPQNETVADTTLTKAPVSSTESVIQSNTPTPPPSQPLNETAEEESRISSSPTLLENSLEQTVEPSEDHSEEESEEGSGDLGEPLYEEPCNEISKEQAKATLLEDQQDPSPSS
+>DECOY_sp|Q9HC35|EMAL4_HUMAN Echinoderm microtubule-associated protein-like 4 OS=Homo sapiens OX=9606 GN=EML4 PE=1 SV=3
+SSPSPDQQDELLTAKAQEKSIENCPEEYLPEGLDGSGEESEEESHDESPEVTQELSNELLTPSSSIRSEEEATENLPQSPPPTPTNSQIVSETSSVPAKTLTTDAVTENQPLSLKEVLKWQIISMDKGGTSILHSDNHTFSVNTVHSSHASYKHSPAKAKSCPYQFLHVKCFDDAVAIVKRNHSRVLANIDTGDSGEPWVGFVQFGLVCTYTTWDIDKCDSRNRILKCGNPIDWYLIEYDGSNSMIYKNDPSWDLHTIYSSHGTCRGYRSYKRGNESVVYLYIFNDHSGVALFTGDISYRMVSLQENGDTHISVLDRTEADLVFWRGSHTGIAVVTGSPHFDACHGPEDVLRTWELRHEMSNWLCVQRDQACTLLLDKFPHTALGWLEDTHGQVEIQFGDNFTGRLIFNRSTGVLFQDAKGEAVARITGYQDPVEIEREPNLDHDWLIIKRDKGGGTLLMGNRMQCLTFVSGDHAKIQKSIQYVGKPGKGPTPEVTTKSWILMVGGSDGTLVDGNGLFALCQVFKPKEYKGFIGQKRTLSNGSWTWFFIHSKGCTIITNADTPHFEVALVVENTTKIEAGKAKKQWDWVTLMHENSDDIICLHVGSDAKSFDLCGVGREFTGLGIIQLTSLTVSDWVRVHPQLPRGDKDVGAIQGTAIRIKDPHIALCKVCDTHGLYHRQTREEYNFLVVVSAIFYVIKGTPLLYVNARCDKGRYGYAWELKLKEPPLETRIDDYNDVDSPIFMTIPRGRMFMKIYEGEQNIIVDKHKDATKTVKPILKPTSPIKSLKNRSDDSNEWSNHSKEAPSPRKISKTPTANKSEPTQRHIQLPQSSPQPSPSARIQPSQDNSHSEEKKERQGQPKEKKKETGSKAASSLTEKGAISVASTHSPKRNAGSGNTICSMPIVARPSPQGKSSVSKKVSAVHDESIALRRLVDALAAKLVTIEDEQQQVRSELASLRDQVDSTSAASISDDLSGAFGDM
+>sp|Q9P0I2|EMC3_HUMAN ER membrane protein complex subunit 3 OS=Homo sapiens OX=9606 GN=EMC3 PE=1 SV=3
+MAGPELLLDSNIRLWVVLPIVIITFFVGMIRHYVSILLQSDKKLTQEQVSDSQVLIRSRVLRENGKYIPKQSFLTRKYYFNNPEDGFFKKTKRKVVPPSPMTDPTMLTDMMKGNVTNVLPMILIGGWINMTFSGFVTTKVPFPLTLRFKPMLQQGIELLTLDASWVSSASWYFLNVFGLRSIYSLILGQDNAADQSRMMQEQMTGAAMAMPADTNKAFKTEWEALELTDHQWALDDVEEELMAKDLHFEGMFKKELQTSIF
+>DECOY_sp|Q9P0I2|EMC3_HUMAN ER membrane protein complex subunit 3 OS=Homo sapiens OX=9606 GN=EMC3 PE=1 SV=3
+FISTQLEKKFMGEFHLDKAMLEEEVDDLAWQHDTLELAEWETKFAKNTDAPMAMAAGTMQEQMMRSQDAANDQGLILSYISRLGFVNLFYWSASSVWSADLTLLEIGQQLMPKFRLTLPFPVKTTVFGSFTMNIWGGILIMPLVNTVNGKMMDTLMTPDTMPSPPVVKRKTKKFFGDEPNNFYYKRTLFSQKPIYKGNERLVRSRILVQSDSVQEQTLKKDSQLLISVYHRIMGVFFTIIVIPLVVWLRINSDLLLEPGAM
+>sp|Q5J8M3|EMC4_HUMAN ER membrane protein complex subunit 4 OS=Homo sapiens OX=9606 GN=EMC4 PE=1 SV=2
+MTAQGGLVANRGRRFKWAIELSGPGGGSRGRSDRGSGQGDSLYPVGYLDKQVPDTSVQETDRILVEKRCWDIALGPLKQIPMNLFIMYMAGNTISIFPTMMVCMMAWRPIQALMAISATFKMLESSSQKFLQGLVYLIGNLMGLALAVYKCQSMGLLPTHASDWLAFIEPPERMEFSGGGLLL
+>DECOY_sp|Q5J8M3|EMC4_HUMAN ER membrane protein complex subunit 4 OS=Homo sapiens OX=9606 GN=EMC4 PE=1 SV=2
+LLLGGGSFEMREPPEIFALWDSAHTPLLGMSQCKYVALALGMLNGILYVLGQLFKQSSSELMKFTASIAMLAQIPRWAMMCVMMTPFISITNGAMYMIFLNMPIQKLPGLAIDWCRKEVLIRDTEQVSTDPVQKDLYGVPYLSDGQGSGRDSRGRSGGGPGSLEIAWKFRRGRNAVLGGQATM
+>sp|Q9H4I9|EMRE_HUMAN Essential MCU regulator, mitochondrial OS=Homo sapiens OX=9606 GN=SMDT1 PE=1 SV=1
+MASGAARWLVLAPVRSGALRSGPSLRKDGDVSAAWSGSGRSLVPSRSVIVTRSGAILPKPVKMSFGLLRVFSIVIPFLYVGTLISKNFAALLEEHDIFVPEDDDDDD
+>DECOY_sp|Q9H4I9|EMRE_HUMAN Essential MCU regulator, mitochondrial OS=Homo sapiens OX=9606 GN=SMDT1 PE=1 SV=1
+DDDDDDEPVFIDHEELLAAFNKSILTGVYLFPIVISFVRLLGFSMKVPKPLIAGSRTVIVSRSPVLSRGSGSWAASVDGDKRLSPGSRLAGSRVPALVLWRAAGSAM
+>sp|Q9UHY7|ENOPH_HUMAN Enolase-phosphatase E1 OS=Homo sapiens OX=9606 GN=ENOPH1 PE=1 SV=1
+MVVLSVPAEVTVILLDIEGTTTPIAFVKDILFPYIEENVKEYLQTHWEEEECQQDVSLLRKQAEEDAHLDGAVPIPAASGNGVDDLQQMIQAVVDNVCWQMSLDRKTTALKQLQGHMWRAAFTAGRMKAEFFADVVPAVRKWREAGMKVYIYSSGSVEAQKLLFGHSTEGDILELVDGHFDTKIGHKVESESYRKIADSIGCSTNNILFLTDVTREASAAEEADVHVAVVVRPGNAGLTDDEKTYYSLITSFSELYLPSST
+>DECOY_sp|Q9UHY7|ENOPH_HUMAN Enolase-phosphatase E1 OS=Homo sapiens OX=9606 GN=ENOPH1 PE=1 SV=1
+TSSPLYLESFSTILSYYTKEDDTLGANGPRVVVAVHVDAEEAASAERTVDTLFLINNTSCGISDAIKRYSESEVKHGIKTDFHGDVLELIDGETSHGFLLKQAEVSGSSYIYVKMGAERWKRVAPVVDAFFEAKMRGATFAARWMHGQLQKLATTKRDLSMQWCVNDVVAQIMQQLDDVGNGSAAPIPVAGDLHADEEAQKRLLSVDQQCEEEEWHTQLYEKVNEEIYPFLIDKVFAIPTTTGEIDLLIVTVEAPVSLVVM
+>sp|Q8TC92|ENOX1_HUMAN Ecto-NOX disulfide-thiol exchanger 1 OS=Homo sapiens OX=9606 GN=ENOX1 PE=1 SV=1
+MVDAGGVENITQLPQELPQMMAAAADGLGSIAIDTTQLNMSVTDPTAWATAMNNLGMVPVGLPGQQLVSDSICVPGFDPSLNMMTGITPINPMIPGLGLVPPPPPTEVAVVKEIIHCKSCTLFPQNPNLPPPSTRERPPGCKTVFVGGLPENATEEIIQEVFEQCGDITAIRKSKKNFCHIRFAEEFMVDKAIYLSGYRMRLGSSTDKKDSGRLHVDFAQARDDFYEWECKQRMRAREERHRRKLEEDRLRPPSPPAIMHYSEHEAALLAEKLKDDSKFSEAITVLLSWIERGEVNRRSANQFYSMVQSANSHVRRLMNEKATHEQEMEEAKENFKNALTGILTQFEQIVAVFNASTRQKAWDHFSKAQRKNIDIWRKHSEELRNAQSEQLMGIRREEEMEMSDDENCDSPTKKMRVDESALAAQAYALKEENDSLRWQLDAYRNEVELLKQEKEQLFRTEENLTKDQQLQFLQQTMQGMQQQLLTIQEELNNKKSELEQAKEEQSHTQALLKVLQEQLKGTKELVETNGHSHEDSNEINVLTVALVNQDRENNIEKRSQGLKSEKEALLIGIISTFLHVHPFGANIEYLWSYMQQLDSKISANEIEMLLMRLPRMFKQEFTGVGATLEKRWKLCAFEGIKTT
+>DECOY_sp|Q8TC92|ENOX1_HUMAN Ecto-NOX disulfide-thiol exchanger 1 OS=Homo sapiens OX=9606 GN=ENOX1 PE=1 SV=1
+TTKIGEFACLKWRKELTAGVGTFEQKFMRPLRMLLMEIENASIKSDLQQMYSWLYEINAGFPHVHLFTSIIGILLAEKESKLGQSRKEINNERDQNVLAVTLVNIENSDEHSHGNTEVLEKTGKLQEQLVKLLAQTHSQEEKAQELESKKNNLEEQITLLQQQMGQMTQQLFQLQQDKTLNEETRFLQEKEQKLLEVENRYADLQWRLSDNEEKLAYAQAALASEDVRMKKTPSDCNEDDSMEMEEERRIGMLQESQANRLEESHKRWIDINKRQAKSFHDWAKQRTSANFVAVIQEFQTLIGTLANKFNEKAEEMEQEHTAKENMLRRVHSNASQVMSYFQNASRRNVEGREIWSLLVTIAESFKSDDKLKEALLAAEHESYHMIAPPSPPRLRDEELKRRHREERARMRQKCEWEYFDDRAQAFDVHLRGSDKKDTSSGLRMRYGSLYIAKDVMFEEAFRIHCFNKKSKRIATIDGCQEFVEQIIEETANEPLGGVFVTKCGPPRERTSPPPLNPNQPFLTCSKCHIIEKVVAVETPPPPPVLGLGPIMPNIPTIGTMMNLSPDFGPVCISDSVLQQGPLGVPVMGLNNMATAWATPDTVSMNLQTTDIAISGLGDAAAAMMQPLEQPLQTINEVGGADVM
+>sp|Q9Y6X5|ENPP4_HUMAN Bis(5'-adenosyl)-triphosphatase ENPP4 OS=Homo sapiens OX=9606 GN=ENPP4 PE=1 SV=3
+MKLLVILLFSGLITGFRSDSSSSLPPKLLLVSFDGFRADYLKNYEFPHLQNFIKEGVLVEHVKNVFITKTFPNHYSIVTGLYEESHGIVANSMYDAVTKKHFSDSNDKDPFWWNEAVPIWVTNQLQENRSSAAAMWPGTDVPIHDTISSYFMNYNSSVSFEERLNNITMWLNNSNPPVTFATLYWEEPDASGHKYGPEDKENMSRVLKKIDDLIGDLVQRLKMLGLWENLNVIITSDHGMTQCSQDRLINLDSCIDHSYYTLIDLSPVAAILPKINRTEVYNKLKNCSPHMNVYLKEDIPNRFYYQHNDRIQPIILVADEGWTIVLNESSQKLGDHGYDNSLPSMHPFLAAHGPAFHKGYKHSTINIVDIYPMMCHILGLKPHPNNGTFGHTKCLLVDQWCINLPEAIAIVIGSLLVLTMLTCLIIIMQNRLSVPRPFSRLQLQEDDDDPLIG
+>DECOY_sp|Q9Y6X5|ENPP4_HUMAN Bis(5'-adenosyl)-triphosphatase ENPP4 OS=Homo sapiens OX=9606 GN=ENPP4 PE=1 SV=3
+GILPDDDDEQLQLRSFPRPVSLRNQMIIILCTLMTLVLLSGIVIAIAEPLNICWQDVLLCKTHGFTGNNPHPKLGLIHCMMPYIDVINITSHKYGKHFAPGHAALFPHMSPLSNDYGHDGLKQSSENLVITWGEDAVLIIPQIRDNHQYYFRNPIDEKLYVNMHPSCNKLKNYVETRNIKPLIAAVPSLDILTYYSHDICSDLNILRDQSCQTMGHDSTIIVNLNEWLGLMKLRQVLDGILDDIKKLVRSMNEKDEPGYKHGSADPEEWYLTAFTVPPNSNNLWMTINNLREEFSVSSNYNMFYSSITDHIPVDTGPWMAAASSRNEQLQNTVWIPVAENWWFPDKDNSDSFHKKTVADYMSNAVIGHSEEYLGTVISYHNPFTKTIFVNKVHEVLVGEKIFNQLHPFEYNKLYDARFGDFSVLLLKPPLSSSSDSRFGTILGSFLLIVLLKM
+>sp|Q9Y5L3|ENTP2_HUMAN Ectonucleoside triphosphate diphosphohydrolase 2 OS=Homo sapiens OX=9606 GN=ENTPD2 PE=1 SV=1
+MAGKVRSLLPPLLLAAAGLAGLLLLCVPTRDVREPPALKYGIVLDAGSSHTSMFIYKWPADKENDTGIVGQHSSCDVPGGGISSYADNPSGASQSLVGCLEQALQDVPKERHAGTPLYLGATAGMRLLNLTNPEASTSVLMAVTHTLTQYPFDFRGARILSGQEEGVFGWVTANYLLENFIKYGWVGRWFRPRKGTLGAMDLGGASTQITFETTSPAEDRASEVQLHLYGQHYRVYTHSFLCYGRDQVLQRLLASALQTHGFHPCWPRGFSTQVLLGDVYQSPCTMAQRPQNFNSSARVSLSGSSDPHLCRDLVSGLFSFSSCPFSRCSFNGVFQPPVAGNFVAFSAFFYTVDFLRTSMGLPVATLQQLEAAAVNVCNQTWAQLQARVPGQRARLADYCAGAMFVQQLLSRGYGFDERAFGGVIFQKKAADTAVGWALGYMLNLTNLIPADPPGLRKGTDFSSWVVLLLLFASALLAALVLLLRQVHSAKLPSTI
+>DECOY_sp|Q9Y5L3|ENTP2_HUMAN Ectonucleoside triphosphate diphosphohydrolase 2 OS=Homo sapiens OX=9606 GN=ENTPD2 PE=1 SV=1
+ITSPLKASHVQRLLLVLAALLASAFLLLLVVWSSFDTGKRLGPPDAPILNTLNLMYGLAWGVATDAAKKQFIVGGFAREDFGYGRSLLQQVFMAGACYDALRARQGPVRAQLQAWTQNCVNVAAAELQQLTAVPLGMSTRLFDVTYFFASFAVFNGAVPPQFVGNFSCRSFPCSSFSFLGSVLDRCLHPDSSGSLSVRASSNFNQPRQAMTCPSQYVDGLLVQTSFGRPWCPHFGHTQLASALLRQLVQDRGYCLFSHTYVRYHQGYLHLQVESARDEAPSTTEFTIQTSAGGLDMAGLTGKRPRFWRGVWGYKIFNELLYNATVWGFVGEEQGSLIRAGRFDFPYQTLTHTVAMLVSTSAEPNTLNLLRMGATAGLYLPTGAHREKPVDQLAQELCGVLSQSAGSPNDAYSSIGGGPVDCSSHQGVIGTDNEKDAPWKYIFMSTHSSGADLVIGYKLAPPERVDRTPVCLLLLGALGAAALLLPPLLSRVKGAM
+>sp|O75355|ENTP3_HUMAN Ectonucleoside triphosphate diphosphohydrolase 3 OS=Homo sapiens OX=9606 GN=ENTPD3 PE=1 SV=2
+MFTVLTRQPCEQAGLKALYRTPTIIALVVLLVSIVVLVSITVIQIHKQEVLPPGLKYGIVLDAGSSRTTVYVYQWPAEKENNTGVVSQTFKCSVKGSGISSYGNNPQDVPRAFEECMQKVKGQVPSHLHGSTPIHLGATAGMRLLRLQNETAANEVLESIQSYFKSQPFDFRGAQIISGQEEGVYGWITANYLMGNFLEKNLWHMWVHPHGVETTGALDLGGASTQISFVAGEKMDLNTSDIMQVSLYGYVYTLYTHSFQCYGRNEAEKKFLAMLLQNSPTKNHLTNPCYPRDYSISFTMGHVFDSLCTVDQRPESYNPNDVITFEGTGDPSLCKEKVASIFDFKACHDQETCSFDGVYQPKIKGPFVAFAGFYYTASALNLSGSFSLDTFNSSTWNFCSQNWSQLPLLLPKFDEVYARSYCFSANYIYHLFVNGYKFTEETWPQIHFEKEVGNSSIAWSLGYMLSLTNQIPAESPLIRLPIEPPVFVGTLAFFTAAALLCLAFLAYLCSATRRKRHSEHAFDHAVDSD
+>DECOY_sp|O75355|ENTP3_HUMAN Ectonucleoside triphosphate diphosphohydrolase 3 OS=Homo sapiens OX=9606 GN=ENTPD3 PE=1 SV=2
+DSDVAHDFAHESHRKRRTASCLYALFALCLLAAATFFALTGVFVPPEIPLRILPSEAPIQNTLSLMYGLSWAISSNGVEKEFHIQPWTEETFKYGNVFLHYIYNASFCYSRAYVEDFKPLLLPLQSWNQSCFNWTSSNFTDLSFSGSLNLASATYYFGAFAVFPGKIKPQYVGDFSCTEQDHCAKFDFISAVKEKCLSPDGTGEFTIVDNPNYSEPRQDVTCLSDFVHGMTFSISYDRPYCPNTLHNKTPSNQLLMALFKKEAENRGYCQFSHTYLTYVYGYLSVQMIDSTNLDMKEGAVFSIQTSAGGLDLAGTTEVGHPHVWMHWLNKELFNGMLYNATIWGYVGEEQGSIIQAGRFDFPQSKFYSQISELVENAATENQLRLLRMGATAGLHIPTSGHLHSPVQGKVKQMCEEFARPVDQPNNGYSSIGSGKVSCKFTQSVVGTNNEKEAPWQYVYVTTRSSGADLVIGYKLGPPLVEQKHIQIVTISVLVVISVLLVVLAIITPTRYLAKLGAQECPQRTLVTFM
+>sp|B3EWF7|EP2A2_HUMAN Laforin, isoform 9 OS=Homo sapiens OX=9606 GN=EPM2A PE=1 SV=1
+MHPKEGAEQHVFSPVPGAPTPPPNRCGRLVLGPRLPAAGTPGPGIRAAAARHALPLWGGGATRRGRRPAGAAGGGVAARAGALGAARCRPPEAGRHRGGRRGPGPAGAGPVARGGGAGGRGGGAGRGGAGPRGHVLVQVPEAGAGRRALLGRYCQQTPAPGAERELRPAPPTGASASGRPRRPRRRASRAFCPRPCALPGRPGLTLLCRPRCRRQPRLRLPTDSLDPYSAPGRLPAHSVACPSDLVSAHPVLSFFPTAPASRASALRLPPGAPFALRVPLDLRVPPFAGPLAARPRAADGFNSPTPPWLGFVSSFSCSNSLKKTQNDPTNETSVFANPRQQCAT
+>DECOY_sp|B3EWF7|EP2A2_HUMAN Laforin, isoform 9 OS=Homo sapiens OX=9606 GN=EPM2A PE=1 SV=1
+TACQQRPNAFVSTENTPDNQTKKLSNSCSFSSVFGLWPPTPSNFGDAARPRAALPGAFPPVRLDLPVRLAFPAGPPLRLASARSAPATPFFSLVPHASVLDSPCAVSHAPLRGPASYPDLSDTPLRLRPQRRCRPRCLLTLGPRGPLACPRPCFARSARRRPRRPRGSASAGTPPAPRLEREAGPAPTQQCYRGLLARRGAGAEPVQVLVHGRPGAGGRGAGGGRGGAGGGRAVPGAGAPGPGRRGGRHRGAEPPRCRAAGLAGARAAVGGGAAGAPRRGRRTAGGGWLPLAHRAAAARIGPGPTGAAPLRPGLVLRGCRNPPPTPAGPVPSFVHQEAGEKPHM
+>sp|Q09472|EP300_HUMAN Histone acetyltransferase p300 OS=Homo sapiens OX=9606 GN=EP300 PE=1 SV=2
+MAENVVEPGPPSAKRPKLSSPALSASASDGTDFGSLFDLEHDLPDELINSTELGLTNGGDINQLQTSLGMVQDAASKHKQLSELLRSGSSPNLNMGVGGPGQVMASQAQQSSPGLGLINSMVKSPMTQAGLTSPNMGMGTSGPNQGPTQSTGMMNSPVNQPAMGMNTGMNAGMNPGMLAAGNGQGIMPNQVMNGSIGAGRGRQNMQYPNPGMGSAGNLLTEPLQQGSPQMGGQTGLRGPQPLKMGMMNNPNPYGSPYTQNPGQQIGASGLGLQIQTKTVLSNNLSPFAMDKKAVPGGGMPNMGQQPAPQVQQPGLVTPVAQGMGSGAHTADPEKRKLIQQQLVLLLHAHKCQRREQANGEVRQCNLPHCRTMKNVLNHMTHCQSGKSCQVAHCASSRQIISHWKNCTRHDCPVCLPLKNAGDKRNQQPILTGAPVGLGNPSSLGVGQQSAPNLSTVSQIDPSSIERAYAALGLPYQVNQMPTQPQVQAKNQQNQQPGQSPQGMRPMSNMSASPMGVNGGVGVQTPSLLSDSMLHSAINSQNPMMSENASVPSLGPMPTAAQPSTTGIRKQWHEDITQDLRNHLVHKLVQAIFPTPDPAALKDRRMENLVAYARKVEGDMYESANNRAEYYHLLAEKIYKIQKELEEKRRTRLQKQNMLPNAAGMVPVSMNPGPNMGQPQPGMTSNGPLPDPSMIRGSVPNQMMPRITPQSGLNQFGQMSMAQPPIVPRQTPPLQHHGQLAQPGALNPPMGYGPRMQQPSNQGQFLPQTQFPSQGMNVTNIPLAPSSGQAPVSQAQMSSSSCPVNSPIMPPGSQGSHIHCPQLPQPALHQNSPSPVPSRTPTPHHTPPSIGAQQPPATTIPAPVPTPPAMPPGPQSQALHPPPRQTPTPPTTQLPQQVQPSLPAAPSADQPQQQPRSQQSTAASVPTPTAPLLPPQPATPLSQPAVSIEGQVSNPPSTSSTEVNSQAIAEKQPSQEVKMEAKMEVDQPEPADTQPEDISESKVEDCKMESTETEERSTELKTEIKEEEDQPSTSATQSSPAPGQSKKKIFKPEELRQALMPTLEALYRQDPESLPFRQPVDPQLLGIPDYFDIVKSPMDLSTIKRKLDTGQYQEPWQYVDDIWLMFNNAWLYNRKTSRVYKYCSKLSEVFEQEIDPVMQSLGYCCGRKLEFSPQTLCCYGKQLCTIPRDATYYSYQNRYHFCEKCFNEIQGESVSLGDDPSQPQTTINKEQFSKRKNDTLDPELFVECTECGRKMHQICVLHHEIIWPAGFVCDGCLKKSARTRKENKFSAKRLPSTRLGTFLENRVNDFLRRQNHPESGEVTVRVVHASDKTVEVKPGMKARFVDSGEMAESFPYRTKALFAFEEIDGVDLCFFGMHVQEYGSDCPPPNQRRVYISYLDSVHFFRPKCLRTAVYHEILIGYLEYVKKLGYTTGHIWACPPSEGDDYIFHCHPPDQKIPKPKRLQEWYKKMLDKAVSERIVHDYKDIFKQATEDRLTSAKELPYFEGDFWPNVLEESIKELEQEEEERKREENTSNESTDVTKGDSKNAKKKNNKKTSKNKSSLSRGNKKKPGMPNVSNDLSQKLYATMEKHKEVFFVIRLIAGPAANSLPPIVDPDPLIPCDLMDGRDAFLTLARDKHLEFSSLRRAQWSTMCMLVELHTQSQDRFVYTCNECKHHVETRWHCTVCEDYDLCITCYNTKNHDHKMEKLGLGLDDESNNQQAAATQSPGDSRRLSIQRCIQSLVHACQCRNANCSLPSCQKMKRVVQHTKGCKRKTNGGCPICKQLIALCCYHAKHCQENKCPVPFCLNIKQKLRQQQLQHRLQQAQMLRRRMASMQRTGVVGQQQGLPSPTPATPTTPTGQQPTTPQTPQPTSQPQPTPPNSMPPYLPRTQAAGPVSQGKAAGQVTPPTPPQTAQPPLPGPPPAAVEMAMQIQRAAETQRQMAHVQIFQRPIQHQMPPMTPMAPMGMNPPPMTRGPSGHLEPGMGPTGMQQQPPWSQGGLPQPQQLQSGMPRPAMMSVAQHGQPLNMAPQPGLGQVGISPLKPGTVSQQALQNLLRTLRSPSSPLQQQQVLSILHANPQLLAAFIKQRAAKYANSNPQPIPGQPGMPQGQPGLQPPTMPGQQGVHSNPAMQNMNPMQAGVQRAGLPQQQPQQQLQPPMGGMSPQAQQMNMNHNTMPSQFRDILRRQQMMQQQQQQGAGPGIGPGMANHNQFQQPQGVGYPPQQQQRMQHHMQQMQQGNMGQIGQLPQALGAEAGASLQAYQQRLLQQQMGSPVQPNPMSPQQHMLPNQAQSPHLQGQQIPNSLSNQVRSPQPVPSPRPQSQPPHSSPSPRMQPQPSPHHVSPQTSSPHPGLVAAQANPMEQGHFASPDQNSMLSQLASNPGMANLHGASATDLGLSTDNSDLNSNLSQSTLDIH
+>DECOY_sp|Q09472|EP300_HUMAN Histone acetyltransferase p300 OS=Homo sapiens OX=9606 GN=EP300 PE=1 SV=2
+HIDLTSQSLNSNLDSNDTSLGLDTASAGHLNAMGPNSALQSLMSNQDPSAFHGQEMPNAQAAVLGPHPSSTQPSVHHPSPQPQMRPSPSSHPPQSQPRPSPVPQPSRVQNSLSNPIQQGQLHPSQAQNPLMHQQPSMPNPQVPSGMQQQLLRQQYAQLSAGAEAGLAQPLQGIQGMNGQQMQQMHHQMRQQQQPPYGVGQPQQFQNHNAMGPGIGPGAGQQQQQQMMQQRRLIDRFQSPMTNHNMNMQQAQPSMGGMPPQLQQQPQQQPLGARQVGAQMPNMNQMAPNSHVGQQGPMTPPQLGPQGQPMGPQGPIPQPNSNAYKAARQKIFAALLQPNAHLISLVQQQQLPSSPSRLTRLLNQLAQQSVTGPKLPSIGVQGLGPQPAMNLPQGHQAVSMMAPRPMGSQLQQPQPLGGQSWPPQQQMGTPGMGPELHGSPGRTMPPPNMGMPAMPTMPPMQHQIPRQFIQVHAMQRQTEAARQIQMAMEVAAPPPGPLPPQATQPPTPPTVQGAAKGQSVPGAAQTRPLYPPMSNPPTPQPQSTPQPTQPTTPQQGTPTTPTAPTPSPLGQQQGVVGTRQMSAMRRRLMQAQQLRHQLQQQRLKQKINLCFPVPCKNEQCHKAHYCCLAILQKCIPCGGNTKRKCGKTHQVVRKMKQCSPLSCNANRCQCAHVLSQICRQISLRRSDGPSQTAAAQQNNSEDDLGLGLKEMKHDHNKTNYCTICLDYDECVTCHWRTEVHHKCENCTYVFRDQSQTHLEVLMCMTSWQARRLSSFELHKDRALTLFADRGDMLDCPILPDPDVIPPLSNAAPGAILRIVFFVEKHKEMTAYLKQSLDNSVNPMGPKKKNGRSLSSKNKSTKKNNKKKANKSDGKTVDTSENSTNEERKREEEEQELEKISEELVNPWFDGEFYPLEKASTLRDETAQKFIDKYDHVIRESVAKDLMKKYWEQLRKPKPIKQDPPHCHFIYDDGESPPCAWIHGTTYGLKKVYELYGILIEHYVATRLCKPRFFHVSDLYSIYVRRQNPPPCDSGYEQVHMGFFCLDVGDIEEFAFLAKTRYPFSEAMEGSDVFRAKMGPKVEVTKDSAHVVRVTVEGSEPHNQRRLFDNVRNELFTGLRTSPLRKASFKNEKRTRASKKLCGDCVFGAPWIIEHHLVCIQHMKRGCETCEVFLEPDLTDNKRKSFQEKNITTQPQSPDDGLSVSEGQIENFCKECFHYRNQYSYYTADRPITCLQKGYCCLTQPSFELKRGCCYGLSQMVPDIEQEFVESLKSCYKYVRSTKRNYLWANNFMLWIDDVYQWPEQYQGTDLKRKITSLDMPSKVIDFYDPIGLLQPDVPQRFPLSEPDQRYLAELTPMLAQRLEEPKFIKKKSQGPAPSSQTASTSPQDEEEKIETKLETSREETETSEMKCDEVKSESIDEPQTDAPEPQDVEMKAEMKVEQSPQKEAIAQSNVETSSTSPPNSVQGEISVAPQSLPTAPQPPLLPATPTPVSAATSQQSRPQQQPQDASPAAPLSPQVQQPLQTTPPTPTQRPPPHLAQSQPGPPMAPPTPVPAPITTAPPQQAGISPPTHHPTPTRSPVPSPSNQHLAPQPLQPCHIHSGQSGPPMIPSNVPCSSSSMQAQSVPAQGSSPALPINTVNMGQSPFQTQPLFQGQNSPQQMRPGYGMPPNLAGPQALQGHHQLPPTQRPVIPPQAMSMQGFQNLGSQPTIRPMMQNPVSGRIMSPDPLPGNSTMGPQPQGMNPGPNMSVPVMGAANPLMNQKQLRTRRKEELEKQIKYIKEALLHYYEARNNASEYMDGEVKRAYAVLNEMRRDKLAAPDPTPFIAQVLKHVLHNRLDQTIDEHWQKRIGTTSPQAATPMPGLSPVSANESMMPNQSNIASHLMSDSLLSPTQVGVGGNVGMPSASMNSMPRMGQPSQGPQQNQQNKAQVQPQTPMQNVQYPLGLAAYAREISSPDIQSVTSLNPASQQGVGLSSPNGLGVPAGTLIPQQNRKDGANKLPLCVPCDHRTCNKWHSIIQRSSACHAVQCSKGSQCHTMHNLVNKMTRCHPLNCQRVEGNAQERRQCKHAHLLLVLQQQILKRKEPDATHAGSGMGQAVPTVLGPQQVQPAPQQGMNPMGGGPVAKKDMAFPSLNNSLVTKTQIQLGLGSAGIQQGPNQTYPSGYPNPNNMMGMKLPQPGRLGTQGGMQPSGQQLPETLLNGASGMGPNPYQMNQRGRGAGISGNMVQNPMIGQGNGAALMGPNMGANMGTNMGMAPQNVPSNMMGTSQTPGQNPGSTGMGMNPSTLGAQTMPSKVMSNILGLGPSSQQAQSAMVQGPGGVGMNLNPSSGSRLLESLQKHKSAADQVMGLSTQLQNIDGGNTLGLETSNILEDPLDHELDFLSGFDTGDSASASLAPSSLKPRKASPPGPEVVNEAM
+>sp|Q9H2F5|EPC1_HUMAN Enhancer of polycomb homolog 1 OS=Homo sapiens OX=9606 GN=EPC1 PE=1 SV=1
+MSKLSFRARALDASKPLPVFRCEDLPDLHEYASINRAVPQMPTGMEKEEESEHHLQRAISAQQVYGEKRDNMVIPVPEAESNIAYYESIYPGEFKMPKQLIHIQPFSLDAEQPDYDLDSEDEVFVNKLKKKMDICPLQFEEMIDRLEKGSGQQPVSLQEAKLLLKEDDELIREVYEYWIKKRKNCRGPSLIPSVKQEKRDGSSTNDPYVAFRRRTEKMQTRKNRKNDEASYEKMLKLRRDLSRAVTILEMIKRREKSKRELLHLTLEIMEKRYNLGDYNGEIMSEVMAQRQPMKPTYAIPIIPITNSSQFKHQEAMDVKEFKVNKQDKADLIRPKRKYEKKPKVLPSSAAATPQQTSPAALPVFNAKDLNQYDFPSSDEEPLSQVLSGSSEAEEDNDPDGPFAFRRKAGCQYYAPHLDQTGNWPWTSPKDGGLGDVRYRYCLTTLTVPQRCIGFARRRVGRGGRVLLDRAHSDYDSVFHHLDLEMLSSPQHSPVNQFANTSETNTSDKSFSKDLSQILVNIKSCRWRHFRPRTPSLHDSDNDELSCRKLYRSINRTGTAQPGTQTCSTSTQSKSSSGSAHFAFTAEQYQQHQQQLALMQKQQLAQIQQQQANSNSSTNTSQNLASNQQKSGFRLNIQGLERTLQGFVSKTLDSASAQFAASALVTSEQLMGFKMKDDVVLGIGVNGVLPASGVYKGLHLSSTTPTALVHTSPSTAGSALLQPSNITQTSSSHSALSHQVTAANSATTQVLIGNNIRLTVPSSVATVNSIAPINARHIPRTLSAVPSSALKLAAAANCQVSKVPSSSSVDSVPRENHESEKPALNNIADNTVAMEVT
+>DECOY_sp|Q9H2F5|EPC1_HUMAN Enhancer of polycomb homolog 1 OS=Homo sapiens OX=9606 GN=EPC1 PE=1 SV=1
+TVEMAVTNDAINNLAPKESEHNERPVSDVSSSSPVKSVQCNAAAALKLASSPVASLTRPIHRANIPAISNVTAVSSPVTLRINNGILVQTTASNAATVQHSLASHSSSTQTINSPQLLASGATSPSTHVLATPTTSSLHLGKYVGSAPLVGNVGIGLVVDDKMKFGMLQESTVLASAAFQASASDLTKSVFGQLTRELGQINLRFGSKQQNSALNQSTNTSSNSNAQQQQIQALQQKQMLALQQQHQQYQEATFAFHASGSSSKSQTSTSCTQTGPQATGTRNISRYLKRCSLEDNDSDHLSPTRPRFHRWRCSKINVLIQSLDKSFSKDSTNTESTNAFQNVPSHQPSSLMELDLHHFVSDYDSHARDLLVRGGRGVRRRAFGICRQPVTLTTLCYRYRVDGLGGDKPSTWPWNGTQDLHPAYYQCGAKRRFAFPGDPDNDEEAESSGSLVQSLPEEDSSPFDYQNLDKANFVPLAAPSTQQPTAAASSPLVKPKKEYKRKPRILDAKDQKNVKFEKVDMAEQHKFQSSNTIPIIPIAYTPKMPQRQAMVESMIEGNYDGLNYRKEMIELTLHLLERKSKERRKIMELITVARSLDRRLKLMKEYSAEDNKRNKRTQMKETRRRFAVYPDNTSSGDRKEQKVSPILSPGRCNKRKKIWYEYVERILEDDEKLLLKAEQLSVPQQGSGKELRDIMEEFQLPCIDMKKKLKNVFVEDESDLDYDPQEADLSFPQIHILQKPMKFEGPYISEYYAINSEAEPVPIVMNDRKEGYVQQASIARQLHHESEEEKEMGTPMQPVARNISAYEHLDPLDECRFVPLPKSADLARARFSLKSM
+>sp|Q9HCE0|EPG5_HUMAN Ectopic P granules protein 5 homolog OS=Homo sapiens OX=9606 GN=EPG5 PE=1 SV=2
+MAEAVKPQRRAKAKASRTKTKEKKKYETPQREESSEVSLPKTSREQEIPSLACEFKGDHLKVVTDSQLQDDASGQNESEMFDVPLTSLTISNEESLTCNTEPPKEGGEARPCVGDSAVTPKVHPGDNVGTKVETPKNFTEVEENMSVQGGLSESAPQSNFSYTQPAMENIQVRETQNSKEDKQGLVCSSEVPQNVGLQSSCPAKHGFQTPRVKKLYPQLPAEIAGEAPALVAVKPLLRSERLYPELPSQLELVPFTKEQLKILEPGSWLENVESYLEEFDSMAHQDRHEFYELLLNYSRCRKQLLLAEAELLTLTSDCQNAKSRLWQFKEEQMSVQGICADQVKVFSYHRYQRVEMNENALVELKKLFDAKSEHLHQTLALHSYTSVLSRLQVESYIYALLSSSAVLRSSAIHQQGRASKQTESIPSDLCQLKECISVLFMFTRRVNEDTQFHDDILLWLQKLVSVLQRVGCPGDHLFLLNHILRCPAGVSKWAVPFIQIKVLHNPSGVFHFMQSLALLMSPVKNRAEFMCHMKPSERKPSSSGPGSGTWTLVDEGGEEDEDPETSWILLNEDDLVTILAQFPFHELFQHLLGFKAKGDYLPETTRPQEMMKIFAFANSLVELLAVGLETFNRARYRQFVKRIGYMIRMTLGYVSDHWAQYVSHNQGSGLAQQPYSMEKLQVEFDELFLRAVLHVLKAKRLGIWLFMSEMPFGTLSVQMLWKLFYLMHQVESENLQQLSSSLQPAQCKQQLQDPEHFTNFEKCLSSMNSSEEICLLTTFAQMAQARRTNVDEDFIKIIVLEIYEVSYVTLSTRETFSKVGRELLGTITAVHPEIISVLLDRVQETIDQVGMVSLYLFKELPLYLWQPSASEIAVIRDWLLNYNLTVVKNKLACVILEGLNWGFAKQATLHLDQAVHAEVALMVLEAYQKYLAQKPYAGILSESMKQVSYLASIVRYGETPETSFNQWAWNLILRLKLHKNDYGIQPNCPAVPFSVTVPDMTESPTFHPLLKAVKAGMPIGCYLALSMTAVGHSIEKFCAEGIPLLGILVQSRHLRTVVHVLDKILPLFYPCQYYLLKNEQFLSHLLLFLHLDSGVPQGVTQQVTHKVAQHLTGASHGDNVKLLNSMIQAHISVSTQPNEVGPVAVLEFWVQALISQHLWYREQPILFLMDHLCKAAFQLMQEDCIQKLLYQQHKNALGYHCDRSLLSSLVSWIVAGNITPSFVEGLATPTQVWFAWTVLNMESIFEEDSQLRRVIEGELVINSAFTPDQALKKAQTQLKLPIVPSLQRLLIYRWAHQALVTPSDHPLLPLIWQKFFLLYLHRPGPQYGLPIDGCIGRRFFQSPAHINLLKEMKRRLTEVADFHHAASKALRVPAEGSEGLPESHSGTPGYLTSPELHKELVRLFNVYILWLEDENFQKGDTYIPSLPKHYDIHRLAKVMQNQQDLWMEYLNMERIYHEFQETVGLWTQAKLESHSTPCSLSVQLDFTDPLLAKERVLSNLRKHEAPQPPLALHPTKPPVPVISSAVLLSQKDATQLVCTDLNLLQQQARTAALRESQQVALDGELLDTMPKQYVNREEQTTLHLECRGSSGKKCQGAAVVTVQFEGMHKNEAISQQLHVLRKEVKQLQAEAAKPPSLNIVEAAVHAENLITALVNAYKLQPTPGIQKVGISLFFTIVDYVSDETQRHPPTRQFFTSCIEILGQVFISGIKSECRKVLETILKNSRLCSLLSPFFTPNAAPAEFIQLYEQVVKFLSEDNSDMIFMLLTKFDLKQWLSATKPPLSDRTRLLESIHLALTAWGLEPDEDILMPFNLFCKHWTYLLLYQFPDQYSDILRLLMQSSAEQLLSPECWKATLRALGCCAPSCQQGAASTEGAVLPSSSDALLSDKQVMETIQWLSDFFYKLRLSKMDFKSFGLFSKWSPYMADVKTFLGYLVKRLIDLEMTCLAQDPTASRKTVLKSLHSVIIQLFKPWILVLEDNESSQQRHYPWLESDTVVASSIVQLFTDCIDSLHESFKDKLLPGDAGALWLHLMHYCEACTAPKMPEFILYAFHSTYRKLPWKDLHPDQMLMEAFFKVERGSPKSCFLFLGSVLCEVNWVSVLSDAWNSSPHPETRSMIVCLLFMMILLAKEVQLVDQTDSPLLSLLGQTSSLSWHLVDIVSYQSVLSYFSSHYPPSIILAKESYAELIMKLLKVSAGLSIPTDSQKHLDAVPKCQAFTHQMVQFLSTLEQNGKITLAVLEQEMSKLLDDIIVFNPPDMDSQTRHMALSSLFMEVLMMMNNATIPTAEFLRGSIRTWIGQKMHGLVVLPLLTAACQSLASVRHMAETTEACITAYFKESPLNQNSGWGPILVSLQVPELTMEEFLQECLTLGSYLTLYVYLLQCLNSEQTLRNEMKVLLILSKWLEQVYPSSVEEEAKLFLWWHQVLQLSLIQTEQNDSVLTESVIRILLLVQSRQNLVAEERLSSGILGAIGFGRKSPLSNRFRVVARSMAAFLSVQVPMEDQIRLRPGSELHLTPKAQQALNALESMASSKQYVEYQDQILQATQFIRHPGHCLQDGKSFLALLVNCLYPEVHYLDHIR
+>DECOY_sp|Q9HCE0|EPG5_HUMAN Ectopic P granules protein 5 homolog OS=Homo sapiens OX=9606 GN=EPG5 PE=1 SV=2
+RIHDLYHVEPYLCNVLLALFSKGDQLCHGPHRIFQTAQLIQDQYEVYQKSSAMSELANLAQQAKPTLHLESGPRLRIQDEMPVQVSLFAAMSRAVVRFRNSLPSKRGFGIAGLIGSSLREEAVLNQRSQVLLLIRIVSETLVSDNQETQILSLQLVQHWWLFLKAEEEVSSPYVQELWKSLILLVKMENRLTQESNLCQLLYVYLTLYSGLTLCEQLFEEMTLEPVQLSVLIPGWGSNQNLPSEKFYATICAETTEAMHRVSALSQCAATLLPLVVLGHMKQGIWTRISGRLFEATPITANNMMMLVEMFLSSLAMHRTQSDMDPPNFVIIDDLLKSMEQELVALTIKGNQELTSLFQVMQHTFAQCKPVADLHKQSDTPISLGASVKLLKMILEAYSEKALIISPPYHSSFYSLVSQYSVIDVLHWSLSSTQGLLSLLPSDTQDVLQVEKALLIMMFLLCVIMSRTEPHPSSNWADSLVSVWNVECLVSGLFLFCSKPSGREVKFFAEMLMQDPHLDKWPLKRYTSHFAYLIFEPMKPATCAECYHMLHLWLAGADGPLLKDKFSEHLSDICDTFLQVISSAVVTDSELWPYHRQQSSENDELVLIWPKFLQIIVSHLSKLVTKRSATPDQALCTMELDILRKVLYGLFTKVDAMYPSWKSFLGFSKFDMKSLRLKYFFDSLWQITEMVQKDSLLADSSSPLVAGETSAAGQQCSPACCGLARLTAKWCEPSLLQEASSQMLLRLIDSYQDPFQYLLLYTWHKCFLNFPMLIDEDPELGWATLALHISELLRTRDSLPPKTASLWQKLDFKTLLMFIMDSNDESLFKVVQEYLQIFEAPAANPTFFPSLLSCLRSNKLITELVKRCESKIGSIFVQGLIEICSTFFQRTPPHRQTEDSVYDVITFFLSIGVKQIGPTPQLKYANVLATILNEAHVAAEVINLSPPKAAEAQLQKVEKRLVHLQQSIAENKHMGEFQVTVVAAGQCKKGSSGRCELHLTTQEERNVYQKPMTDLLEGDLAVQQSERLAATRAQQQLLNLDTCVLQTADKQSLLVASSIVPVPPKTPHLALPPQPAEHKRLNSLVREKALLPDTFDLQVSLSCPTSHSELKAQTWLGVTEQFEHYIREMNLYEMWLDQQNQMVKALRHIDYHKPLSPIYTDGKQFNEDELWLIYVNFLRVLEKHLEPSTLYGPTGSHSEPLGESGEAPVRLAKSAAHHFDAVETLRRKMEKLLNIHAPSQFFRRGICGDIPLGYQPGPRHLYLLFFKQWILPLLPHDSPTVLAQHAWRYILLRQLSPVIPLKLQTQAKKLAQDPTFASNIVLEGEIVRRLQSDEEFISEMNLVTWAFWVQTPTALGEVFSPTINGAVIWSVLSSLLSRDCHYGLANKHQQYLLKQICDEQMLQFAAKCLHDMLFLIPQERYWLHQSILAQVWFELVAVPGVENPQTSVSIHAQIMSNLLKVNDGHSAGTLHQAVKHTVQQTVGQPVGSDLHLFLLLHSLFQENKLLYYQCPYFLPLIKDLVHVVTRLHRSQVLIGLLPIGEACFKEISHGVATMSLALYCGIPMGAKVAKLLPHFTPSETMDPVTVSFPVAPCNPQIGYDNKHLKLRLILNWAWQNFSTEPTEGYRVISALYSVQKMSESLIGAYPKQALYKQYAELVMLAVEAHVAQDLHLTAQKAFGWNLGELIVCALKNKVVTLNYNLLWDRIVAIESASPQWLYLPLEKFLYLSVMGVQDITEQVRDLLVSIIEPHVATITGLLERGVKSFTERTSLTVYSVEYIELVIIKIFDEDVNTRRAQAMQAFTTLLCIEESSNMSSLCKEFNTFHEPDQLQQKCQAPQLSSSLQQLNESEVQHMLYFLKWLMQVSLTGFPMESMFLWIGLRKAKLVHLVARLFLEDFEVQLKEMSYPQQALGSGQNHSVYQAWHDSVYGLTMRIMYGIRKVFQRYRARNFTELGVALLEVLSNAFAFIKMMEQPRTTEPLYDGKAKFGLLHQFLEHFPFQALITVLDDENLLIWSTEPDEDEEGGEDVLTWTGSGPGSSSPKRESPKMHCMFEARNKVPSMLLALSQMFHFVGSPNHLVKIQIFPVAWKSVGAPCRLIHNLLFLHDGPCGVRQLVSVLKQLWLLIDDHFQTDENVRRTFMFLVSICEKLQCLDSPISETQKSARGQQHIASSRLVASSSLLAYIYSEVQLRSLVSTYSHLALTQHLHESKADFLKKLEVLANENMEVRQYRHYSFVKVQDACIGQVSMQEEKFQWLRSKANQCDSTLTLLEAEALLLQKRCRSYNLLLEYFEHRDQHAMSDFEELYSEVNELWSGPELIKLQEKTFPVLELQSPLEPYLRESRLLPKVAVLAPAEGAIEAPLQPYLKKVRPTQFGHKAPCSSQLGVNQPVESSCVLGQKDEKSNQTERVQINEMAPQTYSFNSQPASESLGGQVSMNEEVETFNKPTEVKTGVNDGPHVKPTVASDGVCPRAEGGEKPPETNCTLSEENSITLSTLPVDFMESENQGSADDQLQSDTVVKLHDGKFECALSPIEQERSTKPLSVESSEERQPTEYKKKEKTKTRSAKAKARRQPKVAEAM
+>sp|P29320|EPHA3_HUMAN Ephrin type-A receptor 3 OS=Homo sapiens OX=9606 GN=EPHA3 PE=1 SV=2
+MDCQLSILLLLSCSVLDSFGELIPQPSNEVNLLDSKTIQGELGWISYPSHGWEEISGVDEHYTPIRTYQVCNVMDHSQNNWLRTNWVPRNSAQKIYVELKFTLRDCNSIPLVLGTCKETFNLYYMESDDDHGVKFREHQFTKIDTIAADESFTQMDLGDRILKLNTEIREVGPVNKKGFYLAFQDVGACVALVSVRVYFKKCPFTVKNLAMFPDTVPMDSQSLVEVRGSCVNNSKEEDPPRMYCSTEGEWLVPIGKCSCNAGYEERGFMCQACRPGFYKALDGNMKCAKCPPHSSTQEDGSMNCRCENNYFRADKDPPSMACTRPPSSPRNVISNINETSVILDWSWPLDTGGRKDVTFNIICKKCGWNIKQCEPCSPNVRFLPRQFGLTNTTVTVTDLLAHTNYTFEIDAVNGVSELSSPPRQFAAVSITTNQAAPSPVLTIKKDRTSRNSISLSWQEPEHPNGIILDYEVKYYEKQEQETSYTILRARGTNVTISSLKPDTIYVFQIRARTAAGYGTNSRKFEFETSPDSFSISGESSQVVMIAISAAVAIILLTVVIYVLIGRFCGYKSKHGADEKRLHFGNGHLKLPGLRTYVDPHTYEDPTQAVHEFAKELDATNISIDKVVGAGEFGEVCSGRLKLPSKKEISVAIKTLKVGYTEKQRRDFLGEASIMGQFDHPNIIRLEGVVTKSKPVMIVTEYMENGSLDSFLRKHDAQFTVIQLVGMLRGIASGMKYLSDMGYVHRDLAARNILINSNLVCKVSDFGLSRVLEDDPEAAYTTRGGKIPIRWTSPEAIAYRKFTSASDVWSYGIVLWEVMSYGERPYWEMSNQDVIKAVDEGYRLPPPMDCPAALYQLMLDCWQKDRNNRPKFEQIVSILDKLIRNPGSLKIITSAAARPSNLLLDQSNVDITTFRTTGDWLNGVWTAHCKEIFTGVEYSSCDTIAKISTDDMKKVGVTVVGPQKKIISSIKALETQSKNGPVPV
+>DECOY_sp|P29320|EPHA3_HUMAN Ephrin type-A receptor 3 OS=Homo sapiens OX=9606 GN=EPHA3 PE=1 SV=2
+VPVPGNKSQTELAKISSIIKKQPGVVTVGVKKMDDTSIKAITDCSSYEVGTFIEKCHATWVGNLWDGTTRFTTIDVNSQDLLLNSPRAAASTIIKLSGPNRILKDLISVIQEFKPRNNRDKQWCDLMLQYLAAPCDMPPPLRYGEDVAKIVDQNSMEWYPREGYSMVEWLVIGYSWVDSASTFKRYAIAEPSTWRIPIKGGRTTYAAEPDDELVRSLGFDSVKCVLNSNILINRAALDRHVYGMDSLYKMGSAIGRLMGVLQIVTFQADHKRLFSDLSGNEMYETVIMVPKSKTVVGELRIINPHDFQGMISAEGLFDRRQKETYGVKLTKIAVSIEKKSPLKLRGSCVEGFEGAGVVKDISINTADLEKAFEHVAQTPDEYTHPDVYTRLGPLKLHGNGFHLRKEDAGHKSKYGCFRGILVYIVVTLLIIAVAASIAIMVVQSSEGSISFSDPSTEFEFKRSNTGYGAATRARIQFVYITDPKLSSITVNTGRARLITYSTEQEQKEYYKVEYDLIIGNPHEPEQWSLSISNRSTRDKKITLVPSPAAQNTTISVAAFQRPPSSLESVGNVADIEFTYNTHALLDTVTVTTNTLGFQRPLFRVNPSCPECQKINWGCKKCIINFTVDKRGGTDLPWSWDLIVSTENINSIVNRPSSPPRTCAMSPPDKDARFYNNECRCNMSGDEQTSSHPPCKACKMNGDLAKYFGPRCAQCMFGREEYGANCSCKGIPVLWEGETSCYMRPPDEEKSNNVCSGRVEVLSQSDMPVTDPFMALNKVTFPCKKFYVRVSVLAVCAGVDQFALYFGKKNVPGVERIETNLKLIRDGLDMQTFSEDAAITDIKTFQHERFKVGHDDDSEMYYLNFTEKCTGLVLPISNCDRLTFKLEVYIKQASNRPVWNTRLWNNQSHDMVNCVQYTRIPTYHEDVGSIEEWGHSPYSIWGLEGQITKSDLLNVENSPQPILEGFSDLVSCSLLLLISLQCDM
+>sp|P54764|EPHA4_HUMAN Ephrin type-A receptor 4 OS=Homo sapiens OX=9606 GN=EPHA4 PE=1 SV=1
+MAGIFYFALFSCLFGICDAVTGSRVYPANEVTLLDSRSVQGELGWIASPLEGGWEEVSIMDEKNTPIRTYQVCNVMEPSQNNWLRTDWITREGAQRVYIEIKFTLRDCNSLPGVMGTCKETFNLYYYESDNDKERFIRENQFVKIDTIAADESFTQVDIGDRIMKLNTEIRDVGPLSKKGFYLAFQDVGACIALVSVRVFYKKCPLTVRNLAQFPDTITGADTSSLVEVRGSCVNNSEEKDVPKMYCGADGEWLVPIGNCLCNAGHEERSGECQACKIGYYKALSTDATCAKCPPHSYSVWEGATSCTCDRGFFRADNDAASMPCTRPPSAPLNLISNVNETSVNLEWSSPQNTGGRQDISYNVVCKKCGAGDPSKCRPCGSGVHYTPQQNGLKTTKVSITDLLAHTNYTFEIWAVNGVSKYNPNPDQSVSVTVTTNQAAPSSIALVQAKEVTRYSVALAWLEPDRPNGVILEYEVKYYEKDQNERSYRIVRTAARNTDIKGLNPLTSYVFHVRARTAAGYGDFSEPLEVTTNTVPSRIIGDGANSTVLLVSVSGSVVLVVILIAAFVISRRRSKYSKAKQEADEEKHLNQGVRTYVDPFTYEDPNQAVREFAKEIDASCIKIEKVIGVGEFGEVCSGRLKVPGKREICVAIKTLKAGYTDKQRRDFLSEASIMGQFDHPNIIHLEGVVTKCKPVMIITEYMENGSLDAFLRKNDGRFTVIQLVGMLRGIGSGMKYLSDMSYVHRDLAARNILVNSNLVCKVSDFGMSRVLEDDPEAAYTTRGGKIPIRWTAPEAIAYRKFTSASDVWSYGIVMWEVMSYGERPYWDMSNQDVIKAIEEGYRLPPPMDCPIALHQLMLDCWQKERSDRPKFGQIVNMLDKLIRNPNSLKRTGTESSRPNTALLDPSSPEFSAVVSVGDWLQAIKMDRYKDNFTAAGYTTLEAVVHVNQEDLARIGITAITHQNKILSSVQAMRTQMQQMHGRMVPV
+>DECOY_sp|P54764|EPHA4_HUMAN Ephrin type-A receptor 4 OS=Homo sapiens OX=9606 GN=EPHA4 PE=1 SV=1
+VPVMRGHMQQMQTRMAQVSSLIKNQHTIATIGIRALDEQNVHVVAELTTYGAATFNDKYRDMKIAQLWDGVSVVASFEPSSPDLLATNPRSSETGTRKLSNPNRILKDLMNVIQGFKPRDSREKQWCDLMLQHLAIPCDMPPPLRYGEEIAKIVDQNSMDWYPREGYSMVEWMVIGYSWVDSASTFKRYAIAEPATWRIPIKGGRTTYAAEPDDELVRSMGFDSVKCVLNSNVLINRAALDRHVYSMDSLYKMGSGIGRLMGVLQIVTFRGDNKRLFADLSGNEMYETIIMVPKCKTVVGELHIINPHDFQGMISAESLFDRRQKDTYGAKLTKIAVCIERKGPVKLRGSCVEGFEGVGIVKEIKICSADIEKAFERVAQNPDEYTFPDVYTRVGQNLHKEEDAEQKAKSYKSRRRSIVFAAILIVVLVVSGSVSVLLVTSNAGDGIIRSPVTNTTVELPESFDGYGAATRARVHFVYSTLPNLGKIDTNRAATRVIRYSRENQDKEYYKVEYELIVGNPRDPELWALAVSYRTVEKAQVLAISSPAAQNTTVTVSVSQDPNPNYKSVGNVAWIEFTYNTHALLDTISVKTTKLGNQQPTYHVGSGCPRCKSPDGAGCKKCVVNYSIDQRGGTNQPSSWELNVSTENVNSILNLPASPPRTCPMSAADNDARFFGRDCTCSTAGEWVSYSHPPCKACTADTSLAKYYGIKCAQCEGSREEHGANCLCNGIPVLWEGDAGCYMKPVDKEESNNVCSGRVEVLSSTDAGTITDPFQALNRVTLPCKKYFVRVSVLAICAGVDQFALYFGKKSLPGVDRIETNLKMIRDGIDVQTFSEDAAITDIKVFQNERIFREKDNDSEYYYLNFTEKCTGMVGPLSNCDRLTFKIEIYVRQAGERTIWDTRLWNNQSPEMVNCVQYTRIPTNKEDMISVEEWGGELPSAIWGLEGQVSRSDLLTVENAPYVRSGTVADCIGFLCSFLAFYFIGAM
+>sp|P01588|EPO_HUMAN Erythropoietin OS=Homo sapiens OX=9606 GN=EPO PE=1 SV=1
+MGVHECPAWLWLLLSLLSLPLGLPVLGAPPRLICDSRVLERYLLEAKEAENITTGCAEHCSLNENITVPDTKVNFYAWKRMEVGQQAVEVWQGLALLSEAVLRGQALLVNSSQPWEPLQLHVDKAVSGLRSLTTLLRALGAQKEAISPPDAASAAPLRTITADTFRKLFRVYSNFLRGKLKLYTGEACRTGDR
+>DECOY_sp|P01588|EPO_HUMAN Erythropoietin OS=Homo sapiens OX=9606 GN=EPO PE=1 SV=1
+RDGTRCAEGTYLKLKGRLFNSYVRFLKRFTDATITRLPAASAADPPSIAEKQAGLARLLTTLSRLGSVAKDVHLQLPEWPQSSNVLLAQGRLVAESLLALGQWVEVAQQGVEMRKWAYFNVKTDPVTINENLSCHEACGTTINEAEKAELLYRELVRSDCILRPPAGLVPLGLPLSLLSLLLWLWAPCEHVGM
+>sp|Q12929|EPS8_HUMAN Epidermal growth factor receptor kinase substrate 8 OS=Homo sapiens OX=9606 GN=EPS8 PE=1 SV=1
+MNGHISNHPSSFGMYPSQMNGYGSSPTFSQTDREHGSKTSAKALYEQRKNYARDSVSSVSDISQYRVEHLTTFVLDRKDAMITVDDGIRKLKLLDAKGKVWTQDMILQVDDRAVSLIDLESKNELENFPLNTIQHCQAVMHSCSYDSVLALVCKEPTQNKPDLHLFQCDEVKANLISEDIESAISDSKGGKQKRRPDALRMISNADPSIPPPPRAPAPAPPGTVTQVDVRSRVAAWSAWAADQGDFEKPRQYHEQEETPEMMAARIDRDVQILNHILDDIEFFITKLQKAAEAFSELSKRKKNKKGKRKGPGEGVLTLRAKPPPPDEFLDCFQKFKHGFNLLAKLKSHIQNPSAADLVHFLFTPLNMVVQATGGPELASSVLSPLLNKDTIDFLNYTVNGDERQLWMSLGGTWMKARAEWPKEQFIPPYVPRFRNGWEPPMLNFMGATMEQDLYQLAESVANVAEHQRKQEIKRLSTEHSSVSEYHPADGYAFSSNIYTRGSHLDQGEAAVAFKPTSNRHIDRNYEPLKTQPKKYAKSKYDFVARNNSELSVLKDDILEILDDRKQWWKVRNASGDSGFVPNNILDIVRPPESGLGRADPPYTHTIQKQRMEYGPRPADTPPAPSPPPTPAPVPVPLPPSTPAPVPVSKVPANITRQNSSSSDSGGSIVRDSQRHKQLPVDRRKSQMEEVQDELIHRLTIGRSAAQKKFHVPRQNVPVINITYDSTPEDVKTWLQSKGFNPVTVNSLGVLNGAQLFSLNKDELRTVCPEGARVYSQITVQKAALEDSSGSSELQEIMRRRQEKISAAASDSGVESFDEGSSH
+>DECOY_sp|Q12929|EPS8_HUMAN Epidermal growth factor receptor kinase substrate 8 OS=Homo sapiens OX=9606 GN=EPS8 PE=1 SV=1
+HSSGEDFSEVGSDSAAASIKEQRRRMIEQLESSGSSDELAAKQVTIQSYVRAGEPCVTRLEDKNLSFLQAGNLVGLSNVTVPNFGKSQLWTKVDEPTSDYTINIVPVNQRPVHFKKQAASRGITLRHILEDQVEEMQSKRRDVPLQKHRQSDRVISGGSDSSSSNQRTINAPVKSVPVPAPTSPPLPVPVPAPTPPPSPAPPTDAPRPGYEMRQKQITHTYPPDARGLGSEPPRVIDLINNPVFGSDGSANRVKWWQKRDDLIELIDDKLVSLESNNRAVFDYKSKAYKKPQTKLPEYNRDIHRNSTPKFAVAAEGQDLHSGRTYINSSFAYGDAPHYESVSSHETSLRKIEQKRQHEAVNAVSEALQYLDQEMTAGMFNLMPPEWGNRFRPVYPPIFQEKPWEARAKMWTGGLSMWLQREDGNVTYNLFDITDKNLLPSLVSSALEPGGTAQVVMNLPTFLFHVLDAASPNQIHSKLKALLNFGHKFKQFCDLFEDPPPPKARLTLVGEGPGKRKGKKNKKRKSLESFAEAAKQLKTIFFEIDDLIHNLIQVDRDIRAAMMEPTEEQEHYQRPKEFDGQDAAWASWAAVRSRVDVQTVTGPPAPAPARPPPPISPDANSIMRLADPRRKQKGGKSDSIASEIDESILNAKVEDCQFLHLDPKNQTPEKCVLALVSDYSCSHMVAQCHQITNLPFNELENKSELDILSVARDDVQLIMDQTWVKGKADLLKLKRIGDDVTIMADKRDLVFTTLHEVRYQSIDSVSSVSDRAYNKRQEYLAKASTKSGHERDTQSFTPSSGYGNMQSPYMGFSSPHNSIHGNM
+>sp|P60509|ERB1_HUMAN Endogenous retrovirus group PABLB member 1 Env polyprotein OS=Homo sapiens OX=9606 GN=ERVPABLB-1 PE=2 SV=1
+MDPLHTIEKVPARRNIHDRGHQGHRMGDGTPGRPKISVQQMTRFSLIIFFLSAPFVVNASTSNVFLQWAHSYADGLQQGDPCWVCGSLPVTNTMELPWWVSPLQGKDWVFFQSFIGDLKQWTGAQMTGVTRKNISEWPINKTLNEPGHDKPFSVNETRDKVIAFAIPLLDTKVFVQTSRPQNTQYRNGFLQIWDGFIWLTATKGHLSQIAPLCWEQRNHSLDNWPNTTRVMGWIPPGQCRHTILLQQRDLFATDWSQQPGLNWYAPNGTQWLCSPNLWPWLPSGWLGCCTLGIPWAQGRWVKTMEVYPYLPHVVNQGTRAIVHRNDHLPTIFMPSVGLGTVIQHIEALANFTQRALNDSLQSISLMNAEVYYMHEDILQNRMALDILTAAEGGTCALIKTECCVYIPNNSRNISLALEDTCRQIQVISSSALSLHDWIASQFSGRPSWWQKILIVLATLWSVGIALCCGLYFCRMFSQHIPQTHSIIFQQELPLSPPSQEHYQSQRDIFHSNAP
+>DECOY_sp|P60509|ERB1_HUMAN Endogenous retrovirus group PABLB member 1 Env polyprotein OS=Homo sapiens OX=9606 GN=ERVPABLB-1 PE=2 SV=1
+PANSHFIDRQSQYHEQSPPSLPLEQQFIISHTQPIHQSFMRCFYLGCCLAIGVSWLTALVILIKQWWSPRGSFQSAIWDHLSLASSSIVQIQRCTDELALSINRSNNPIYVCCETKILACTGGEAATLIDLAMRNQLIDEHMYYVEANMLSISQLSDNLARQTFNALAEIHQIVTGLGVSPMFITPLHDNRHVIARTGQNVVHPLYPYVEMTKVWRGQAWPIGLTCCGLWGSPLWPWLNPSCLWQTGNPAYWNLGPQQSWDTAFLDRQQLLITHRCQGPPIWGMVRTTNPWNDLSHNRQEWCLPAIQSLHGKTATLWIFGDWIQLFGNRYQTNQPRSTQVFVKTDLLPIAFAIVKDRTENVSFPKDHGPENLTKNIPWESINKRTVGTMQAGTWQKLDGIFSQFFVWDKGQLPSVWWPLEMTNTVPLSGCVWCPDGQQLGDAYSHAWQLFVNSTSANVVFPASLFFIILSFRTMQQVSIKPRGPTGDGMRHGQHGRDHINRRAPVKEITHLPDM
+>sp|P15170|ERF3A_HUMAN Eukaryotic peptide chain release factor GTP-binding subunit ERF3A OS=Homo sapiens OX=9606 GN=GSPT1 PE=1 SV=1
+MELSEPIVENGETEMSPEESWEHKEEISEAEPGGGSLGDGRPPEESAHEMMEEEEEIPKPKSVVAPPGAPKKEHVNVVFIGHVDAGKSTIGGQIMYLTGMVDKRTLEKYEREAKEKNRETWYLSWALDTNQEERDKGKTVEVGRAYFETEKKHFTILDAPGHKSFVPNMIGGASQADLAVLVISARKGEFETGFEKGGQTREHAMLAKTAGVKHLIVLINKMDDPTVNWSNERYEECKEKLVPFLKKVGFNPKKDIHFMPCSGLTGANLKEQSDFCPWYIGLPFIPYLDNLPNFNRSVDGPIRLPIVDKYKDMGTVVLGKLESGSICKGQQLVMMPNKHNVEVLGILSDDVETDTVAPGENLKIRLKGIEEEEILPGFILCDPNNLCHSGRTFDAQIVIIEHKSIICPGYNAVLHIHTCIEEVEITALICLVDKKSGEKSKTRPRFVKQDQVCIARLRTAGTICLETFKDFPQMGRFTLRDEGKTIAIGKVLKLVPEKD
+>DECOY_sp|P15170|ERF3A_HUMAN Eukaryotic peptide chain release factor GTP-binding subunit ERF3A OS=Homo sapiens OX=9606 GN=GSPT1 PE=1 SV=1
+DKEPVLKLVKGIAITKGEDRLTFRGMQPFDKFTELCITGATRLRAICVQDQKVFRPRTKSKEGSKKDVLCILATIEVEEICTHIHLVANYGPCIISKHEIIVIQADFTRGSHCLNNPDCLIFGPLIEEEEIGKLRIKLNEGPAVTDTEVDDSLIGLVEVNHKNPMMVLQQGKCISGSELKGLVVTGMDKYKDVIPLRIPGDVSRNFNPLNDLYPIFPLGIYWPCFDSQEKLNAGTLGSCPMFHIDKKPNFGVKKLFPVLKEKCEEYRENSWNVTPDDMKNILVILHKVGATKALMAHERTQGGKEFGTEFEGKRASIVLVALDAQSAGGIMNPVFSKHGPADLITFHKKETEFYARGVEVTKGKDREEQNTDLAWSLYWTERNKEKAEREYKELTRKDVMGTLYMIQGGITSKGADVHGIFVVNVHEKKPAGPPAVVSKPKPIEEEEEMMEHASEEPPRGDGLSGGGPEAESIEEKHEWSEEPSMETEGNEVIPESLEM
+>sp|Q8IYD1|ERF3B_HUMAN Eukaryotic peptide chain release factor GTP-binding subunit ERF3B OS=Homo sapiens OX=9606 GN=GSPT2 PE=1 SV=2
+MDSGSSSSDSAPDCWDQVDMESPGSAPSGDGVSSAVAEAQREPLSSAFSRKLNVNAKPFVPNVHAAEFVPSFLRGPTQPPTLPAGSGSNDETCTGAGYPQGKRMGRGAPVEPSREEPLVSLEGSNSAVTMELSEPVVENGEVEMALEESWEHSKEVSEAEPGGGSSGDSGPPEESGQEMMEEKEEIRKSKSVIVPSGAPKKEHVNVVFIGHVDAGKSTIGGQIMFLTGMVDKRTLEKYEREAKEKNRETWYLSWALDTNQEERDKGKTVEVGRAYFETERKHFTILDAPGHKSFVPNMIGGASQADLAVLVISARKGEFETGFEKGGQTREHAMLAKTAGVKHLIVLINKMDDPTVNWSIERYEECKEKLVPFLKKVGFSPKKDIHFMPCSGLTGANIKEQSDFCPWYTGLPFIPYLDNLPNFNRSIDGPIRLPIVDKYKDMGTVVLGKLESGSIFKGQQLVMMPNKHNVEVLGILSDDTETDFVAPGENLKIRLKGIEEEEILPGFILCDPSNLCHSGRTFDVQIVIIEHKSIICPGYNAVLHIHTCIEEVEITALISLVDKKSGEKSKTRPRFVKQDQVCIARLRTAGTICLETFKDFPQMGRFTLRDEGKTIAIGKVLKLVPEKD
+>DECOY_sp|Q8IYD1|ERF3B_HUMAN Eukaryotic peptide chain release factor GTP-binding subunit ERF3B OS=Homo sapiens OX=9606 GN=GSPT2 PE=1 SV=2
+DKEPVLKLVKGIAITKGEDRLTFRGMQPFDKFTELCITGATRLRAICVQDQKVFRPRTKSKEGSKKDVLSILATIEVEEICTHIHLVANYGPCIISKHEIIVIQVDFTRGSHCLNSPDCLIFGPLIEEEEIGKLRIKLNEGPAVFDTETDDSLIGLVEVNHKNPMMVLQQGKFISGSELKGLVVTGMDKYKDVIPLRIPGDISRNFNPLNDLYPIFPLGTYWPCFDSQEKINAGTLGSCPMFHIDKKPSFGVKKLFPVLKEKCEEYREISWNVTPDDMKNILVILHKVGATKALMAHERTQGGKEFGTEFEGKRASIVLVALDAQSAGGIMNPVFSKHGPADLITFHKRETEFYARGVEVTKGKDREEQNTDLAWSLYWTERNKEKAEREYKELTRKDVMGTLFMIQGGITSKGADVHGIFVVNVHEKKPAGSPVIVSKSKRIEEKEEMMEQGSEEPPGSDGSSGGGPEAESVEKSHEWSEELAMEVEGNEVVPESLEMTVASNSGELSVLPEERSPEVPAGRGMRKGQPYGAGTCTEDNSGSGAPLTPPQTPGRLFSPVFEAAHVNPVFPKANVNLKRSFASSLPERQAEAVASSVGDGSPASGPSEMDVQDWCDPASDSSSSGSDM
+>sp|Q4G0M1|ERFE_HUMAN Erythroferrone OS=Homo sapiens OX=9606 GN=ERFE PE=2 SV=2
+MAPARRPAGARLLLVYAGLLAAAAAGLGSPEPGAPSRSRARREPPPGNELPRGPGESRAGPAARPPEPTAERAHSVDPRDAWMLFVRQSDKGVNGKKRSRGKAKKLKFGLPGPPGPPGPQGPPGPIIPPEALLKEFQLLLKGAVRQRERAEPEPCTCGPAGPVAASLAPVSATAGEDDDDVVGDVLALLAAPLAPGPRAPRVEAAFLCRLRRDALVERRALHELGVYYLPDAEGAFRRGPGLNLTSGQYRAPVAGFYALAATLHVALGEPPRRGPPRPRDHLRLLICIQSRCQRNASLEAIMGLESSSELFTISVNGVLYLQMGQWTSVFLDNASGCSLTVRSGSHFSAVLLGV
+>DECOY_sp|Q4G0M1|ERFE_HUMAN Erythroferrone OS=Homo sapiens OX=9606 GN=ERFE PE=2 SV=2
+VGLLVASFHSGSRVTLSCGSANDLFVSTWQGMQLYLVGNVSITFLESSSELGMIAELSANRQCRSQICILLRLHDRPRPPGRRPPEGLAVHLTAALAYFGAVPARYQGSTLNLGPGRRFAGEADPLYYVGLEHLARREVLADRRLRCLFAAEVRPARPGPALPAALLALVDGVVDDDDEGATASVPALSAAVPGAPGCTCPEPEARERQRVAGKLLLQFEKLLAEPPIIPGPPGQPGPPGPPGPLGFKLKKAKGRSRKKGNVGKDSQRVFLMWADRPDVSHAREATPEPPRAAPGARSEGPGRPLENGPPPERRARSRSPAGPEPSGLGAAAAALLGAYVLLLRAGAPRRAPAM
+>sp|Q8IV48|ERI1_HUMAN 3'-5' exoribonuclease 1 OS=Homo sapiens OX=9606 GN=ERI1 PE=1 SV=3
+MEDPQSKEPAGEAVALALLESPRPEGGEEPPRPSPEETQQCKFDGQETKGSKFITSSASDFSDPVYKEIAITNGCINRMSKEELRAKLSEFKLETRGVKDVLKKRLKNYYKKQKLMLKESNFADSYYDYICIIDFEATCEEGNPPEFVHEIIEFPVVLLNTHTLEIEDTFQQYVRPEINTQLSDFCISLTGITQDQVDRADTFPQVLKKVIDWMKLKELGTKYKYSLLTDGSWDMSKFLNIQCQLSRLKYPPFAKKWINIRKSYGNFYKVPRSQTKLTIMLEKLGMDYDGRPHCGLDDSKNIARIAVRMLQDGCELRINEKMHAGQLMSVSSSLPIEGTPPPQMPHFRK
+>DECOY_sp|Q8IV48|ERI1_HUMAN 3'-5' exoribonuclease 1 OS=Homo sapiens OX=9606 GN=ERI1 PE=1 SV=3
+KRFHPMQPPPTGEIPLSSSVSMLQGAHMKENIRLECGDQLMRVAIRAINKSDDLGCHPRGDYDMGLKELMITLKTQSRPVKYFNGYSKRINIWKKAFPPYKLRSLQCQINLFKSMDWSGDTLLSYKYKTGLEKLKMWDIVKKLVQPFTDARDVQDQTIGTLSICFDSLQTNIEPRVYQQFTDEIELTHTNLLVVPFEIIEHVFEPPNGEECTAEFDIICIYDYYSDAFNSEKLMLKQKKYYNKLRKKLVDKVGRTELKFESLKARLEEKSMRNICGNTIAIEKYVPDSFDSASSTIFKSGKTEQGDFKCQQTEEPSPRPPEEGGEPRPSELLALAVAEGAPEKSQPDEM
+>sp|A8K979|ERI2_HUMAN ERI1 exoribonuclease 2 OS=Homo sapiens OX=9606 GN=ERI2 PE=2 SV=2
+MATKRLARQLGLIRRKSIAPANGNLGRSKSKQLFDYLIVIDFESTCWNDGKHHHSQEIIEFPAVLLNTSTGQIDSEFQAYVQPQEHPILSEFCMELTGIKQAQVDEGVPLKICLSQFCKWIHKIQQQKNIIFATGISEPSASEVKLCAFVTWSDWDLGVCLEYECKRKQLLKPVFLNSWIDLRATYKLFYRRKPKGLSGALQEVGIEFSGREHSGLDDSRNTALLAWKMIRDGCVMKITRSLNKVPTKKNFSILARNLNTIQVEEMSACNISIQGPSIYNKEPKNIINPHEKVQMKSICANSPIKAQQDQLQVKNNIKASLHNVKSSLPLFNTKSSTSVGQLQSPTLNSPIYMQKQGKNEHLAFNTKSKASTVGSELVLVSTTVPTVHHVSDLEMSSTLDCLPVLADWEDVVLLPASQPEENVDCTVPISDSDLEISFNSGERLMVLKELEMSSHENFGDIEETPQKSETSKSIVYKSPHTTIYNVKEAKDPGSDISAFKLPEHKSSTFNRVNANMSHPLVLGKHPLLSGGTKRNPCSPQAFPPAKKQPFTIHEEKPTSSDCSPVRSSSWRRLPSILTSTVNLQEPWKSGKMTPPLCKCGRRSKRLVVSNNGPNHGKVFYCCPIGKYQENRKCCGYFKWEQTLQKERANSMVPSHSTGGLTFSSPETSHICDRNLSISTKNSLRLRPSMRN
+>DECOY_sp|A8K979|ERI2_HUMAN ERI1 exoribonuclease 2 OS=Homo sapiens OX=9606 GN=ERI2 PE=2 SV=2
+NRMSPRLRLSNKTSISLNRDCIHSTEPSSFTLGGTSHSPVMSNAREKQLTQEWKFYGCCKRNEQYKGIPCCYFVKGHNPGNNSVVLRKSRRGCKCLPPTMKGSKWPEQLNVTSTLISPLRRWSSSRVPSCDSSTPKEEHITFPQKKAPPFAQPSCPNRKTGGSLLPHKGLVLPHSMNANVRNFTSSKHEPLKFASIDSGPDKAEKVNYITTHPSKYVISKSTESKQPTEEIDGFNEHSSMELEKLVMLREGSNFSIELDSDSIPVTCDVNEEPQSAPLLVVDEWDALVPLCDLTSSMELDSVHHVTPVTTSVLVLESGVTSAKSKTNFALHENKGQKQMYIPSNLTPSQLQGVSTSSKTNFLPLSSKVNHLSAKINNKVQLQDQQAKIPSNACISKMQVKEHPNIINKPEKNYISPGQISINCASMEEVQITNLNRALISFNKKTPVKNLSRTIKMVCGDRIMKWALLATNRSDDLGSHERGSFEIGVEQLAGSLGKPKRRYFLKYTARLDIWSNLFVPKLLQKRKCEYELCVGLDWDSWTVFACLKVESASPESIGTAFIINKQQQIKHIWKCFQSLCIKLPVGEDVQAQKIGTLEMCFESLIPHEQPQVYAQFESDIQGTSTNLLVAPFEIIEQSHHHKGDNWCTSEFDIVILYDFLQKSKSRGLNGNAPAISKRRILGLQRALRKTAM
+>sp|O43414|ERI3_HUMAN ERI1 exoribonuclease 3 OS=Homo sapiens OX=9606 GN=ERI3 PE=1 SV=2
+MATASPAADGGRGRPWEGGLVSWPPAPPLTLPWTWMGPSWGQHPGHWGFPALTEPSASPAAGLGIFEVRRVLDASGCSMLAPLQTGAARFSSYLLSRARKVLGSHLFSPCGVPEFCSISTRKLAAHGFGASMAAMVSFPPQRYHYFLVLDFEATCDKPQIHPQEIIEFPILKLNGRTMEIESTFHMYVQPVVHPQLTPFCTELTGIIQAMVDGQPSLQQVLERVDEWMAKEGLLDPNVKSIFVTCGDWDLKVMLPGQCQYLGLPVADYFKQWINLKKAYSFAMGCWPKNGLLDMNKGLSLQHIGRPHSGIDDCKNIANIMKTLAYRGFIFKQTSKPF
+>DECOY_sp|O43414|ERI3_HUMAN ERI1 exoribonuclease 3 OS=Homo sapiens OX=9606 GN=ERI3 PE=1 SV=2
+FPKSTQKFIFGRYALTKMINAINKCDDIGSHPRGIHQLSLGKNMDLLGNKPWCGMAFSYAKKLNIWQKFYDAVPLGLYQCQGPLMVKLDWDGCTVFISKVNPDLLGEKAMWEDVRELVQQLSPQGDVMAQIIGTLETCFPTLQPHVVPQVYMHFTSEIEMTRGNLKLIPFEIIEQPHIQPKDCTAEFDLVLFYHYRQPPFSVMAAMSAGFGHAALKRTSISCFEPVGCPSFLHSGLVKRARSLLYSSFRAAGTQLPALMSCGSADLVRRVEFIGLGAAPSASPETLAPFGWHGPHQGWSPGMWTWPLTLPPAPPWSVLGGEWPRGRGGDAAPSATAM
+>sp|Q6P6B1|ERIC5_HUMAN Glutamate-rich protein 5 OS=Homo sapiens OX=9606 GN=ERICH5 PE=2 SV=1
+MGCSSSALNKAGDSSRFPSVTSNEHFSTAEESESCFAQPKPHALGRESTVDGNVQRESRPPLQKLKVSAEPTANGVKPLQEQPLAKDVAPGRDATDQSGSTEKTQPGEGLEESGPPQPGGKEDAPAAEGKKKDAGAGTEAESLKGNAEAQPLGPEAKGQPLQAAVEKDSLRAVEVTENPQTAAEMKPLGTTENVLTLQIAGELQPQGTVGKDEQAPLLETISKENESPEILEGSQFVETAEEQQLQATLGKEEQPQLLERIPKENVTPEVLDRSQLVEKPVMNDPFHKTPEGPGNMEQIQPEGIVGSMEHPARNVEAGAYVEMIRNIHTNEEDQRIEGETGEKVETDMENEKVSEGAETKEEETGEVVDLSAAT
+>DECOY_sp|Q6P6B1|ERIC5_HUMAN Glutamate-rich protein 5 OS=Homo sapiens OX=9606 GN=ERICH5 PE=2 SV=1
+TAASLDVVEGTEEEKTEAGESVKENEMDTEVKEGTEGEIRQDEENTHINRIMEVYAGAEVNRAPHEMSGVIGEPQIQEMNGPGEPTKHFPDNMVPKEVLQSRDLVEPTVNEKPIRELLQPQEEKGLTAQLQQEEATEVFQSGELIEPSENEKSITELLPAQEDKGVTGQPQLEGAIQLTLVNETTGLPKMEAATQPNETVEVARLSDKEVAAQLPQGKAEPGLPQAEANGKLSEAETGAGADKKKGEAAPADEKGGPQPPGSEELGEGPQTKETSGSQDTADRGPAVDKALPQEQLPKVGNATPEASVKLKQLPPRSERQVNGDVTSERGLAHPKPQAFCSESEEATSFHENSTVSPFRSSDGAKNLASSSCGM
+>sp|O94905|ERLN2_HUMAN Erlin-2 OS=Homo sapiens OX=9606 GN=ERLIN2 PE=1 SV=1
+MAQLGAVVAVASSFFCASLFSAVHKIEEGHIGVYYRGGALLTSTSGPGFHLMLPFITSYKSVQTTLQTDEVKNVPCGTSGGVMIYFDRIEVVNFLVPNAVYDIVKNYTADYDKALIFNKIHHELNQFCSVHTLQEVYIELFDQIDENLKLALQQDLTSMAPGLVIQAVRVTKPNIPEAIRRNYELMESEKTKLLIAAQKQKVVEKEAETERKKALIEAEKVAQVAEITYGQKVMEKETEKKISEIEDAAFLAREKAKADAECYTAMKIAEANKLKLTPEYLQLMKYKAIASNSKIYFGKDIPNMFMDSAGSVSKQFEGLADKLSFGLEDEPLETATKEN
+>DECOY_sp|O94905|ERLN2_HUMAN Erlin-2 OS=Homo sapiens OX=9606 GN=ERLIN2 PE=1 SV=1
+NEKTATELPEDELGFSLKDALGEFQKSVSGASDMFMNPIDKGFYIKSNSAIAKYKMLQLYEPTLKLKNAEAIKMATYCEADAKAKERALFAADEIESIKKETEKEMVKQGYTIEAVQAVKEAEILAKKRETEAEKEVVKQKQAAILLKTKESEMLEYNRRIAEPINPKTVRVAQIVLGPAMSTLDQQLALKLNEDIQDFLEIYVEQLTHVSCFQNLEHHIKNFILAKDYDATYNKVIDYVANPVLFNVVEIRDFYIMVGGSTGCPVNKVEDTQLTTQVSKYSTIFPLMLHFGPGSTSTLLAGGRYYVGIHGEEIKHVASFLSACFFSSAVAVVAGLQAM
+>sp|Q76MJ5|ERN2_HUMAN Serine/threonine-protein kinase/endoribonuclease IRE2 OS=Homo sapiens OX=9606 GN=ERN2 PE=1 SV=4
+MASAVRGSRPWPRLGLQLQFAALLLGTLSPQVHTLRPENLLLVSTLDGSLHALSKQTGDLKWTLRDDPVIEGPMYVTEMAFLSDPADGSLYILGTQKQQGLMKLPFTIPELVHASPCRSSDGVFYTGRKQDAWFVVDPESGETQMTLTTEGPSTPRLYIGRTQYTVTMHDPRAPALRWNTTYRRYSAPPMDGSPGKYMSHLASCGMGLLLTVDPGSGTVLWTQDLGVPVMGVYTWHQDGLRQLPHLTLARDTLHFLALRWGHIRLPASGPRDTATLFSTLDTQLLMTLYVGKDETGFYVSKALVHTGVALVPRGLTLAPADGPTTDEVTLQVSGEREGSPSTAVRYPSGSVALPSQWLLIGHHELPPVLHTTMLRVHPTLGSGTAETRPPENTQAPAFFLELLSLSREKLWDSELHPEEKTPDSYLGLGPQDLLAASLTAVLLGGWILFVMRQQQPQVVEKQQETPLAPADFAHISQDAQSLHSGASRRSQKRLQSPSKQAQPLDDPEAEQLTVVGKISFNPKDVLGRGAGGTFVFRGQFEGRAVAVKRLLRECFGLVRREVQLLQESDRHPNVLRYFCTERGPQFHYIALELCRASLQEYVENPDLDRGGLEPEVVLQQLMSGLAHLHSLHIVHRDLKPGNILITGPDSQGLGRVVLSDFGLCKKLPAGRCSFSLHSGIPGTEGWMAPELLQLLPPDSPTSAVDIFSAGCVFYYVLSGGSHPFGDSLYRQANILTGAPCLAHLEEEVHDKVVARDLVGAMLSPLPQPRPSAPQVLAHPFFWSRAKQLQFFQDVSDWLEKESEQEPLVRALEAGGCAVVRDNWHEHISMPLQTDLRKFRSYKGTSVRDLLRAVRNKKHHYRELPVEVRQALGQVPDGFVQYFTNRFPRLLLHTHRAMRSCASESLFLPYYPPDSEARRPCPGATGR
+>DECOY_sp|Q76MJ5|ERN2_HUMAN Serine/threonine-protein kinase/endoribonuclease IRE2 OS=Homo sapiens OX=9606 GN=ERN2 PE=1 SV=4
+RGTAGPCPRRAESDPPYYPLFLSESACSRMARHTHLLLRPFRNTFYQVFGDPVQGLAQRVEVPLERYHHKKNRVARLLDRVSTGKYSRFKRLDTQLPMSIHEHWNDRVVACGGAELARVLPEQESEKELWDSVDQFFQLQKARSWFFPHALVQPASPRPQPLPSLMAGVLDRAVVKDHVEEELHALCPAGTLINAQRYLSDGFPHSGGSLVYYFVCGASFIDVASTPSDPPLLQLLEPAMWGETGPIGSHLSFSCRGAPLKKCLGFDSLVVRGLGQSDPGTILINGPKLDRHVIHLSHLHALGSMLQQLVVEPELGGRDLDPNEVYEQLSARCLELAIYHFQPGRETCFYRLVNPHRDSEQLLQVERRVLGFCERLLRKVAVARGEFQGRFVFTGGAGRGLVDKPNFSIKGVVTLQEAEPDDLPQAQKSPSQLRKQSRRSAGSHLSQADQSIHAFDAPALPTEQQKEVVQPQQQRMVFLIWGGLLVATLSAALLDQPGLGLYSDPTKEEPHLESDWLKERSLSLLELFFAPAQTNEPPRTEATGSGLTPHVRLMTTHLVPPLEHHGILLWQSPLAVSGSPYRVATSPSGEREGSVQLTVEDTTPGDAPALTLGRPVLAVGTHVLAKSVYFGTEDKGVYLTMLLQTDLTSFLTATDRPGSAPLRIHGWRLALFHLTDRALTLHPLQRLGDQHWTYVGMVPVGLDQTWLVTGSGPDVTLLLGMGCSALHSMYKGPSGDMPPASYRRYTTNWRLAPARPDHMTVTYQTRGIYLRPTSPGETTLTMQTEGSEPDVVFWADQKRGTYFVGDSSRCPSAHVLEPITFPLKMLGQQKQTGLIYLSGDAPDSLFAMETVYMPGEIVPDDRLTWKLDGTQKSLAHLSGDLTSVLLLNEPRLTHVQPSLTGLLLAAFQLQLGLRPWPRSGRVASAM
+>sp|Q96HE7|ERO1A_HUMAN ERO1-like protein alpha OS=Homo sapiens OX=9606 GN=ERO1A PE=1 SV=2
+MGRGWGFLFGLLGAVWLLSSGHGEEQPPETAAQRCFCQVSGYLDDCTCDVETIDRFNNYRLFPRLQKLLESDYFRYYKVNLKRPCPFWNDISQCGRRDCAVKPCQSDEVPDGIKSASYKYSEEANNLIEECEQAERLGAVDESLSEETQKAVLQWTKHDDSSDNFCEADDIQSPEAEYVDLLLNPERYTGYKGPDAWKIWNVIYEENCFKPQTIKRPLNPLASGQGTSEENTFYSWLEGLCVEKRAFYRLISGLHASINVHLSARYLLQETWLEKKWGHNITEFQQRFDGILTEGEGPRRLKNLYFLYLIELRALSKVLPFFERPDFQLFTGNKIQDEENKMLLLEILHEIKSFPLHFDENSFFAGDKKEAHKLKEDFRLHFRNISRIMDCVGCFKCRLWGKLQTQGLGTALKILFSEKLIANMPESGPSYEFHLTRQEIVSLFNAFGRISTSVKELENFRNLLQNIH
+>DECOY_sp|Q96HE7|ERO1A_HUMAN ERO1-like protein alpha OS=Homo sapiens OX=9606 GN=ERO1A PE=1 SV=2
+HINQLLNRFNELEKVSTSIRGFANFLSVIEQRTLHFEYSPGSEPMNAILKESFLIKLATGLGQTQLKGWLRCKFCGVCDMIRSINRFHLRFDEKLKHAEKKDGAFFSNEDFHLPFSKIEHLIELLLMKNEEDQIKNGTFLQFDPREFFPLVKSLARLEILYLFYLNKLRRPGEGETLIGDFRQQFETINHGWKKELWTEQLLYRASLHVNISAHLGSILRYFARKEVCLGELWSYFTNEESTGQGSALPNLPRKITQPKFCNEEYIVNWIKWADPGKYGTYREPNLLLDVYEAEPSQIDDAECFNDSSDDHKTWQLVAKQTEESLSEDVAGLREAQECEEILNNAEESYKYSASKIGDPVEDSQCPKVACDRRGCQSIDNWFPCPRKLNVKYYRFYDSELLKQLRPFLRYNNFRDITEVDCTCDDLYGSVQCFCRQAATEPPQEEGHGSSLLWVAGLLGFLFGWGRGM
+>sp|P30042|ES1_HUMAN ES1 protein homolog, mitochondrial OS=Homo sapiens OX=9606 GN=C21orf33 PE=1 SV=3
+MAAVRVLVASRLAAASAFTSLSPGGRTPSQRAALHLSVPRPAARVALVLSGCGVYDGTEIHEASAILVHLSRGGAEVQIFAPDVPQMHVIDHTKGQPSEGESRNVLTESARIARGKITDLANLSAANHDAAIFPGGFGAAKNLSTFAVDGKDCKVNKEVERVLKEFHQAGKPIGLCCIAPVLAAKVLRGVEVTVGHEQEEGGKWPYAGTAEAIKALGAKHCVKEVVEAHVDQKNKVVTTPAFMCETALHYIHDGIGAMVRKVLELTGK
+>DECOY_sp|P30042|ES1_HUMAN ES1 protein homolog, mitochondrial OS=Homo sapiens OX=9606 GN=C21orf33 PE=1 SV=3
+KGTLELVKRVMAGIGDHIYHLATECMFAPTTVVKNKQDVHAEVVEKVCHKAGLAKIAEATGAYPWKGGEEQEHGVTVEVGRLVKAALVPAICCLGIPKGAQHFEKLVREVEKNVKCDKGDVAFTSLNKAAGFGGPFIAADHNAASLNALDTIKGRAIRASETLVNRSEGESPQGKTHDIVHMQPVDPAFIQVEAGGRSLHVLIASAEHIETGDYVGCGSLVLAVRAAPRPVSLHLAARQSPTRGGPSLSTFASAAALRSAVLVRVAAM
+>sp|Q9H6S3|ES8L2_HUMAN Epidermal growth factor receptor kinase substrate 8-like protein 2 OS=Homo sapiens OX=9606 GN=EPS8L2 PE=1 SV=2
+MSQSGAVSCCPGATNGSLGRSDGVAKMSPKDLFEQRKKYSNSNVIMHETSQYHVQHLATFIMDKSEAITSVDDAIRKLVQLSSKEKIWTQEMLLQVNDQSLRLLDIESQEELEDFPLPTVQRSQTVLNQLRYPSVLLLVCQDSEQSKPDVHFFHCDEVEAELVHEDIESALADCRLGKKMRPQTLKGHQEKIRQRQSILPPPQGPAPIPFQHRGGDSPEAKNRVGPQVPLSEPGFRRRESQEEPRAVLAQKIEKETQILNCALDDIEWFVARLQKAAEAFKQLNQRKKGKKKGKKAPAEGVLTLRARPPSEGEFIDCFQKIKLAINLLAKLQKHIQNPSAAELVHFLFGPLDLIVNTCSGPDIARSVSCPLLSRDAVDFLRGHLVPKEMSLWESLGESWMRPRSEWPREPQVPLYVPKFHSGWEPPVDVLQEAPWEVEGLASAPIEEVSPVSRQSIRNSQKHSPTSEPTPPGDALPPVSSPHTHRGYQPTPAMAKYVKILYDFTARNANELSVLKDEVLEVLEDGRQWWKLRSRSGQAGYVPCNILGEARPEDAGAPFEQAGQKYWGPASPTHKLPPSFPGNKDELMQHMDEVNDELIRKISNIRAQPQRHFRVERSQPVSQPLTYESGPDEVRAWLEAKAFSPRIVENLGILTGPQLFSLNKEELKKVCGEEGVRVYSQLTMQKAFLEKQQSGSELEELMNKFHSMNQRRGEDS
+>DECOY_sp|Q9H6S3|ES8L2_HUMAN Epidermal growth factor receptor kinase substrate 8-like protein 2 OS=Homo sapiens OX=9606 GN=EPS8L2 PE=1 SV=2
+SDEGRRQNMSHFKNMLEELESGSQQKELFAKQMTLQSYVRVGEEGCVKKLEEKNLSFLQPGTLIGLNEVIRPSFAKAELWARVEDPGSEYTLPQSVPQSREVRFHRQPQARINSIKRILEDNVEDMHQMLEDKNGPFSPPLKHTPSAPGWYKQGAQEFPAGADEPRAEGLINCPVYGAQGSRSRLKWWQRGDELVELVEDKLVSLENANRATFDYLIKVYKAMAPTPQYGRHTHPSSVPPLADGPPTPESTPSHKQSNRISQRSVPSVEEIPASALGEVEWPAEQLVDVPPEWGSHFKPVYLPVQPERPWESRPRMWSEGLSEWLSMEKPVLHGRLFDVADRSLLPCSVSRAIDPGSCTNVILDLPGFLFHVLEAASPNQIHKQLKALLNIALKIKQFCDIFEGESPPRARLTLVGEAPAKKGKKKGKKRQNLQKFAEAAKQLRAVFWEIDDLACNLIQTEKEIKQALVARPEEQSERRRFGPESLPVQPGVRNKAEPSDGGRHQFPIPAPGQPPPLISQRQRIKEQHGKLTQPRMKKGLRCDALASEIDEHVLEAEVEDCHFFHVDPKSQESDQCVLLLVSPYRLQNLVTQSRQVTPLPFDELEEQSEIDLLRLSQDNVQLLMEQTWIKEKSSLQVLKRIADDVSTIAESKDMIFTALHQVHYQSTEHMIVNSNSYKKRQEFLDKPSMKAVGDSRGLSGNTAGPCCSVAGSQSM
+>sp|Q96AP7|ESAM_HUMAN Endothelial cell-selective adhesion molecule OS=Homo sapiens OX=9606 GN=ESAM PE=1 SV=1
+MISLPGPLVTNLLRFLFLGLSALAPPSRAQLQLHLPANRLQAVEGGEVVLPAWYTLHGEVSSSQPWEVPFVMWFFKQKEKEDQVLSYINGVTTSKPGVSLVYSMPSRNLSLRLEGLQEKDSGPYSCSVNVQDKQGKSRGHSIKTLELNVLVPPAPPSCRLQGVPHVGANVTLSCQSPRSKPAVQYQWDRQLPSFQTFFAPALDVIRGSLSLTNLSSSMAGVYVCKAHNEVGTAQCNVTLEVSTGPGAAVVAGAVVGTLVGLGLLAGLVLLYHRRGKALEEPANDIKEDAIAPRTLPWPKSSDTISKNGTLSSVTSARALRPPHGPPRPGALTPTPSLSSQALPSPRLPTTDGAHPQPISPIPGGVSSSGLSRMGAVPVMVPAQSQAGSLV
+>DECOY_sp|Q96AP7|ESAM_HUMAN Endothelial cell-selective adhesion molecule OS=Homo sapiens OX=9606 GN=ESAM PE=1 SV=1
+VLSGAQSQAPVMVPVAGMRSLGSSSVGGPIPSIPQPHAGDTTPLRPSPLAQSSLSPTPTLAGPRPPGHPPRLARASTVSSLTGNKSITDSSKPWPLTRPAIADEKIDNAPEELAKGRRHYLLVLGALLGLGVLTGVVAGAVVAAGPGTSVELTVNCQATGVENHAKCVYVGAMSSSLNTLSLSGRIVDLAPAFFTQFSPLQRDWQYQVAPKSRPSQCSLTVNAGVHPVGQLRCSPPAPPVLVNLELTKISHGRSKGQKDQVNVSCSYPGSDKEQLGELRLSLNRSPMSYVLSVGPKSTTVGNIYSLVQDEKEKQKFFWMVFPVEWPQSSSVEGHLTYWAPLVVEGGEVAQLRNAPLHLQLQARSPPALASLGLFLFRLLNTVLPGPLSIM
+>sp|Q14674|ESPL1_HUMAN Separin OS=Homo sapiens OX=9606 GN=ESPL1 PE=1 SV=3
+MRSFKRVNFGTLLSSQKEAEELLPALKEFLSNPPAGFPSSRSDAERRQACDAILRACNQQLTAKLACPRHLGSLLELAELACDGYLVSTPQRPPLYLERILFVLLRNAAAQGSPEATLRLAQPLHACLVQCSREAAPQDYEAVARGSFSLLWKGAEALLERRAAFAARLKALSFLVLLEDESTPCEVPHFASPTACRAVAAHQLFDASGHGLNEADADFLDDLLSRHVIRALVGERGSSSGLLSPQRALCLLELTLEHCRRFCWSRHHDKAISAVEKAHSYLRNTNLAPSLQLCQLGVKLLQVGEEGPQAVAKLLIKASAVLSKSMEAPSPPLRALYESCQFFLSGLERGTKRRYRLDAILSLFAFLGGYCSLLQQLRDDGVYGGSSKQQQSFLQMYFQGLHLYTVVVYDFAQGCQIVDLADLTQLVDSCKSTVVWMLEALEGLSGQELTDHMGMTASYTSNLAYSFYSHKLYAEACAISEPLCQHLGLVKPGTYPEVPPEKLHRCFRLQVESLKKLGKQAQGCKMVILWLAALQPCSPEHMAEPVTFWVRVKMDAARAGDKELQLKTLRDSLSGWDPETLALLLREELQAYKAVRADTGQERFNIICDLLELSPEETPAGAWARATHLVELAQVLCYHDFTQQTNCSALDAIREALQLLDSVRPEAQARDQLLDDKAQALLWLYICTLEAKMQEGIERDRRAQAPGNLEEFEVNDLNYEDKLQEDRFLYSNIAFNLAADAAQSKCLDQALALWKELLTKGQAPAVRCLQQTAASLQILAALYQLVAKPMQALEVLLLLRIVSERLKDHSKAAGSSCHITQLLLTLGCPSYAQLHLEEAASSLKHLDQTTDTYLLLSLTCDLLRSQLYWTHQKVTKGVSLLLSVLRDPALQKSSKAWYLLRVQVLQLVAAYLSLPSNNLSHSLWEQLCAQGWQTPEIALIDSHKLLRSIILLLMGSDILSTQKAAVETSFLDYGENLVQKWQVLSEVLSCSEKLVCHLGRLGSVSEAKAFCLEALKLTTKLQIPRQCALFLVLKGELELARNDIDLCQSDLQQVLFLLESCTEFGGVTQHLDSVKKVHLQKGKQQAQVPCPPQLPEEELFLRGPALELVATVAKEPGPIAPSTNSSPVLKTKPQPIPNFLSHSPTCDCSLCASPVLTAVCLRWVLVTAGVRLAMGHQAQGLDLLQVVLKGCPEAAERLTQALQASLNHKTPPSLVPSLLDEILAQAYTLLALEGLNQPSNESLQKVLQSGLKFVAARIPHLEPWRASLLLIWALTKLGGLSCCTTQLFASSWGWQPPLIKSVPGSEPSKTQGQKRSGRGRQKLASAPLRLNNTSQKGLEGRGLPCTPKPPDRIRQAGPHVPFTVFEEVCPTESKPEVPQAPRVQQRVQTRLKVNFSDDSDLEDPVSAEAWLAEEPKRRGTASRGRGRARKGLSLKTDAVVAPGSAPGNPGLNGRSRRAKKVASRHCEERRPQRASDQARPGPEIMRTIPEEELTDNWRKMSFEILRGSDGEDSASGGKTPAPGPEAASGEWELLRLDSSKKKLPSPCPDKESDKDLGPRLRLPSAPVATGLSTLDSICDSLSVAFRGISHCPPSGLYAHLCRFLALCLGHRDPYATAFLVTESVSITCRHQLLTHLHRQLSKAQKHRGSLEIADQLQGLSLQEMPGDVPLARIQRLFSFRALESGHFPQPEKESFQERLALIPSGVTVCVLALATLQPGTVGNTLLLTRLEKDSPPVSVQIPTGQNKLHLRSVLNEFDAIQKAQKENSSCTDKREWWTGRLALDHRMEVLIASLEKSVLGCWKGLLLPSSEEPGPAQEASRLQELLQDCGWKYPDRTLLKIMLSGAGALTPQDIQALAYGLCPTQPERAQELLNEAVGRLQGLTVPSNSHLVLVLDKDLQKLPWESMPSLQALPVTRLPSFRFLLSYSIIKEYGASPVLSQGVDPRSTFYVLNPHNNLSSTEEQFRANFSSEAGWRGVVGEVPRPEQVQEALTKHDLYIYAGHGAGARFLDGQAVLRLSCRAVALLFGCSSAALAVRGNLEGAGIVLKYIMAGCPLFLGNLWDVTDRDIDRYTEALLQGWLGAGPGAPLLYYVNQARQAPRLKYLIGAAPIAYGLPVSLR
+>DECOY_sp|Q14674|ESPL1_HUMAN Separin OS=Homo sapiens OX=9606 GN=ESPL1 PE=1 SV=3
+RLSVPLGYAIPAAGILYKLRPAQRAQNVYYLLPAGPGAGLWGQLLAETYRDIDRDTVDWLNGLFLPCGAMIYKLVIGAGELNGRVALAASSCGFLLAVARCSLRLVAQGDLFRAGAGHGAYIYLDHKTLAEQVQEPRPVEGVVGRWGAESSFNARFQEETSSLNNHPNLVYFTSRPDVGQSLVPSAGYEKIISYSLLFRFSPLRTVPLAQLSPMSEWPLKQLDKDLVLVLHSNSPVTLGQLRGVAENLLEQAREPQTPCLGYALAQIDQPTLAGAGSLMIKLLTRDPYKWGCDQLLEQLRSAEQAPGPEESSPLLLGKWCGLVSKELSAILVEMRHDLALRGTWWERKDTCSSNEKQAKQIADFENLVSRLHLKNQGTPIQVSVPPSDKELRTLLLTNGVTGPQLTALALVCVTVGSPILALREQFSEKEPQPFHGSELARFSFLRQIRALPVDGPMEQLSLGQLQDAIELSGRHKQAKSLQRHLHTLLQHRCTISVSETVLFATAYPDRHGLCLALFRCLHAYLGSPPCHSIGRFAVSLSDCISDLTSLGTAVPASPLRLRPGLDKDSEKDPCPSPLKKKSSDLRLLEWEGSAAEPGPAPTKGGSASDEGDSGRLIEFSMKRWNDTLEEEPITRMIEPGPRAQDSARQPRREECHRSAVKKARRSRGNLGPNGPASGPAVVADTKLSLGKRARGRGRSATGRRKPEEALWAEASVPDELDSDDSFNVKLRTQVRQQVRPAQPVEPKSETPCVEEFVTFPVHPGAQRIRDPPKPTCPLGRGELGKQSTNNLRLPASALKQRGRGSRKQGQTKSPESGPVSKILPPQWGWSSAFLQTTCCSLGGLKTLAWILLLSARWPELHPIRAAVFKLGSQLVKQLSENSPQNLGELALLTYAQALIEDLLSPVLSPPTKHNLSAQLAQTLREAAEPCGKLVVQLLDLGQAQHGMALRVGATVLVWRLCVATLVPSACLSCDCTPSHSLFNPIPQPKTKLVPSSNTSPAIPGPEKAVTAVLELAPGRLFLEEEPLQPPCPVQAQQKGKQLHVKKVSDLHQTVGGFETCSELLFLVQQLDSQCLDIDNRALELEGKLVLFLACQRPIQLKTTLKLAELCFAKAESVSGLRGLHCVLKESCSLVESLVQWKQVLNEGYDLFSTEVAAKQTSLIDSGMLLLIISRLLKHSDILAIEPTQWGQACLQEWLSHSLNNSPLSLYAAVLQLVQVRLLYWAKSSKQLAPDRLVSLLLSVGKTVKQHTWYLQSRLLDCTLSLLLYTDTTQDLHKLSSAAEELHLQAYSPCGLTLLLQTIHCSSGAAKSHDKLRESVIRLLLLVELAQMPKAVLQYLAALIQLSAATQQLCRVAPAQGKTLLEKWLALAQDLCKSQAADAALNFAINSYLFRDEQLKDEYNLDNVEFEELNGPAQARRDREIGEQMKAELTCIYLWLLAQAKDDLLQDRAQAEPRVSDLLQLAERIADLASCNTQQTFDHYCLVQALEVLHTARAWAGAPTEEPSLELLDCIINFREQGTDARVAKYAQLEERLLLALTEPDWGSLSDRLTKLQLEKDGARAADMKVRVWFTVPEAMHEPSCPQLAALWLIVMKCGQAQKGLKKLSEVQLRFCRHLKEPPVEPYTGPKVLGLHQCLPESIACAEAYLKHSYFSYALNSTYSATMGMHDTLEQGSLGELAELMWVVTSKCSDVLQTLDALDVIQCGQAFDYVVVTYLHLGQFYMQLFSQQQKSSGGYVGDDRLQQLLSCYGGLFAFLSLIADLRYRRKTGRELGSLFFQCSEYLARLPPSPAEMSKSLVASAKILLKAVAQPGEEGVQLLKVGLQCLQLSPALNTNRLYSHAKEVASIAKDHHRSWCFRRCHELTLELLCLARQPSLLGSSSGREGVLARIVHRSLLDDLFDADAENLGHGSADFLQHAAVARCATPSAFHPVECPTSEDELLVLFSLAKLRAAFAARRELLAEAGKWLLSFSGRAVAEYDQPAAERSCQVLCAHLPQALRLTAEPSGQAAANRLLVFLIRELYLPPRQPTSVLYGDCALEALELLSGLHRPCALKATLQQNCARLIADCAQRREADSRSSPFGAPPNSLFEKLAPLLEEAEKQSSLLTGFNVRKFSRM
+>sp|Q86US8|EST1A_HUMAN Telomerase-binding protein EST1A OS=Homo sapiens OX=9606 GN=SMG6 PE=1 SV=2
+MAEGLERVRISASELRGILATLAPQAGSRENMKELKEARPRKDNRRPDLEIYKPGLSRLRNKPKIKEPPGSEEFKDEIVNDRDCSAVENGTQPVKDVCKELNNQEQNGPIDPENNRGQESFPRTAGQEDRSLKIIKRTKKPDLQIYQPGRRLQTVSKESASRVEEEEVLNQVEQLRVEEDECRGNVAKEEVANKPDRAEIEKSPGGGRVGAAKGEKGKRMGKGEGVRETHDDPARGRPGSAKRYSRSDKRRNRYRTRSTSSAGSNNSAEGAGLTDNGCRRRRQDRTKERPRLKKQVSVSSTDSLDEDRIDEPDGLGPRRSSERKRHLERNWSGRGEGEQKNSAKEYRGTLRVTFDAEAMNKESPMVRSARDDMDRGKPDKGLSSGGKGSEKQESKNPKQELRGRGRGILILPAHTTLSVNSAGSPESAPLGPRLLFGSGSKGSRSWGRGGTTRRLWDPNNPDQKPALKTQTPQLHFLDTDDEVSPTSWGDSRQAQASYYKFQNSDNPYYYPRTPGPASQYPYTGYNPLQYPVGPTNGVYPGPYYPGYPTPSGQYVCSPLPTSTMSPEEVEQHMRNLQQQELHRLLRVADNQELQLSNLLSRDRISPEGLEKMAQLRAELLQLYERCILLDIEFSDNQNVDQILWKNAFYQVIEKFRQLVKDPNVENPEQIRNRLLELLDEGSDFFDSLLQKLQVTYKFKLEDYMDGLAIRSKPLRKTVKYALISAQRCMICQGDIARYREQASDTANYGKARSWYLKAQHIAPKNGRPYNQLALLAVYTRRKLDAVYYYMRSLAASNPILTAKESLMSLFEETKRKAEQMEKKQHEEFDLSPDQWRKGKKSTFRHVGDDTTRLEIWIHPSHPRSSQGTESGKDSEQENGLGSLSPSDLNKRFILSFLHAHGKLFTRIGMETFPAVAEKVLKEFQVLLQHSPSPIGSTRMLQLMTINMFAVHNSQLKDCFSEECRSVIQEQAAALGLAMFSLLVRRCTCLLKESAKAQLSSPEDQDDQDDIKVSSFVPDLKELLPSVKVWSDWMLGYPDTWNPPPTSLDLPSHVAVDVWSTLADFCNILTAVNQSEVPLYKDPDDDLTLLILEEDRLLSGFVPLLAAPQDPCYVEKTSDKVIAADCKRVTVLKYFLEALCGQEEPLLAFKGGKYVSVAPVPDTMGKEMGSQEGTRLEDEEEDVVIEDFEEDSEAEGSGGEDDIRELRAKKLALARKIAEQQRRQEKIQAVLEDHSQMRQMELEIRPLFLVPDTNGFIDHLASLARLLESRKYILVVPLIVINELDGLAKGQETDHRAGGYARVVQEKARKSIEFLEQRFESRDSCLRALTSRGNELESIAFRSEDITGQLGNNDDLILSCCLHYCKDKAKDFMPASKEEPIRLLREVVLLTDDRNLRVKALTRNVPVRDIPAFLTWAQVG
+>DECOY_sp|Q86US8|EST1A_HUMAN Telomerase-binding protein EST1A OS=Homo sapiens OX=9606 GN=SMG6 PE=1 SV=2
+GVQAWTLFAPIDRVPVNRTLAKVRLNRDDTLLVVERLLRIPEEKSAPMFDKAKDKCYHLCCSLILDDNNGLQGTIDESRFAISELENGRSTLARLCSDRSEFRQELFEISKRAKEQVVRAYGGARHDTEQGKALGDLENIVILPVVLIYKRSELLRALSALHDIFGNTDPVLFLPRIELEMQRMQSHDELVAQIKEQRRQQEAIKRALALKKARLERIDDEGGSGEAESDEEFDEIVVDEEEDELRTGEQSGMEKGMTDPVPAVSVYKGGKFALLPEEQGCLAELFYKLVTVRKCDAAIVKDSTKEVYCPDQPAALLPVFGSLLRDEELILLTLDDDPDKYLPVESQNVATLINCFDALTSWVDVAVHSPLDLSTPPPNWTDPYGLMWDSWVKVSPLLEKLDPVFSSVKIDDQDDQDEPSSLQAKASEKLLCTCRRVLLSFMALGLAAAQEQIVSRCEESFCDKLQSNHVAFMNITMLQLMRTSGIPSPSHQLLVQFEKLVKEAVAPFTEMGIRTFLKGHAHLFSLIFRKNLDSPSLSGLGNEQESDKGSETGQSSRPHSPHIWIELRTTDDGVHRFTSKKGKRWQDPSLDFEEHQKKEMQEAKRKTEEFLSMLSEKATLIPNSAALSRMYYYVADLKRRTYVALLALQNYPRGNKPAIHQAKLYWSRAKGYNATDSAQERYRAIDGQCIMCRQASILAYKVTKRLPKSRIALGDMYDELKFKYTVQLKQLLSDFFDSGEDLLELLRNRIQEPNEVNPDKVLQRFKEIVQYFANKWLIQDVNQNDSFEIDLLICREYLQLLEARLQAMKELGEPSIRDRSLLNSLQLEQNDAVRLLRHLEQQQLNRMHQEVEEPSMTSTPLPSCVYQGSPTPYGPYYPGPYVGNTPGVPYQLPNYGTYPYQSAPGPTRPYYYPNDSNQFKYYSAQAQRSDGWSTPSVEDDTDLFHLQPTQTKLAPKQDPNNPDWLRRTTGGRGWSRSGKSGSGFLLRPGLPASEPSGASNVSLTTHAPLILIGRGRGRLEQKPNKSEQKESGKGGSSLGKDPKGRDMDDRASRVMPSEKNMAEADFTVRLTGRYEKASNKQEGEGRGSWNRELHRKRESSRRPGLGDPEDIRDEDLSDTSSVSVQKKLRPREKTRDQRRRRCGNDTLGAGEASNNSGASSTSRTRYRNRRKDSRSYRKASGPRGRAPDDHTERVGEGKGMRKGKEGKAAGVRGGGPSKEIEARDPKNAVEEKAVNGRCEDEEVRLQEVQNLVEEEEVRSASEKSVTQLRRGPQYIQLDPKKTRKIIKLSRDEQGATRPFSEQGRNNEPDIPGNQEQNNLEKCVDKVPQTGNEVASCDRDNVIEDKFEESGPPEKIKPKNRLRSLGPKYIELDPRRNDKRPRAEKLEKMNERSGAQPALTALIGRLESASIRVRELGEAM
+>sp|P14921|ETS1_HUMAN Protein C-ets-1 OS=Homo sapiens OX=9606 GN=ETS1 PE=1 SV=1
+MKAAVDLKPTLTIIKTEKVDLELFPSPDMECADVPLLTPSSKEMMSQALKATFSGFTKEQQRLGIPKDPRQWTETHVRDWVMWAVNEFSLKGVDFQKFCMNGAALCALGKDCFLELAPDFVGDILWEHLEILQKEDVKPYQVNGVNPAYPESRYTSDYFISYGIEHAQCVPPSEFSEPSFITESYQTLHPISSEELLSLKYENDYPSVILRDPLQTDTLQNDYFAIKQEVVTPDNMCMGRTSRGKLGGQDSFESIESYDSCDRLTQSWSSQSSFNSLQRVPSYDSFDSEDYPAALPNHKPKGTFKDYVRDRADLNKDKPVIPAAALAGYTGSGPIQLWQFLLELLTDKSCQSFISWTGDGWEFKLSDPDEVARRWGKRKNKPKMNYEKLSRGLRYYYDKNIIHKTAGKRYVYRFVCDLQSLLGYTPEELHAMLDVKPDADE
+>DECOY_sp|P14921|ETS1_HUMAN Protein C-ets-1 OS=Homo sapiens OX=9606 GN=ETS1 PE=1 SV=1
+EDADPKVDLMAHLEEPTYGLLSQLDCVFRYVYRKGATKHIINKDYYYRLGRSLKEYNMKPKNKRKGWRRAVEDPDSLKFEWGDGTWSIFSQCSKDTLLELLFQWLQIPGSGTYGALAAAPIVPKDKNLDARDRVYDKFTGKPKHNPLAAPYDESDFSDYSPVRQLSNFSSQSSWSQTLRDCSDYSEISEFSDQGGLKGRSTRGMCMNDPTVVEQKIAFYDNQLTDTQLPDRLIVSPYDNEYKLSLLEESSIPHLTQYSETIFSPESFESPPVCQAHEIGYSIFYDSTYRSEPYAPNVGNVQYPKVDEKQLIELHEWLIDGVFDPALELFCDKGLACLAAGNMCFKQFDVGKLSFENVAWMVWDRVHTETWQRPDKPIGLRQQEKTFGSFTAKLAQSMMEKSSPTLLPVDACEMDPSPFLELDVKETKIITLTPKLDVAAKM
+>sp|P41161|ETV5_HUMAN ETS translocation variant 5 OS=Homo sapiens OX=9606 GN=ETV5 PE=1 SV=1
+MDGFYDQQVPFMVPGKSRSEECRGRPVIDRKRKFLDTDLAHDSEELFQDLSQLQEAWLAEAQVPDDEQFVPDFQSDNLVLHAPPPTKIKRELHSPSSELSSCSHEQALGANYGEKCLYNYCAYDRKPPSGFKPLTPPTTPLSPTHQNPLFPPPQATLPTSGHAPAAGPVQGVGPAPAPHSLPEPGPQQQTFAVPRPPHQPLQMPKMMPENQYPSEQRFQRQLSEPCHPFPPQPGVPGDNRPSYHRQMSEPIVPAAPPPPQGFKQEYHDPLYEHGVPGMPGPPAHGFQSPMGIKQEPRDYCVDSEVPNCQSSYMRGGYFSSSHEGFSYEKDPRLYFDDTCVVPERLEGKVKQEPTMYREGPPYQRRGSLQLWQFLVTLLDDPANAHFIAWTGRGMEFKLIEPEEVARRWGIQKNRPAMNYDKLSRSLRYYYEKGIMQKVAGERYVYKFVCDPDALFSMAFPDNQRPFLKAESECHLSEEDTLPLTHFEDSPAYLLDMDRCSSLPYAEGFAY
+>DECOY_sp|P41161|ETV5_HUMAN ETS translocation variant 5 OS=Homo sapiens OX=9606 GN=ETV5 PE=1 SV=1
+YAFGEAYPLSSCRDMDLLYAPSDEFHTLPLTDEESLHCESEAKLFPRQNDPFAMSFLADPDCVFKYVYREGAVKQMIGKEYYYRLSRSLKDYNMAPRNKQIGWRRAVEEPEILKFEMGRGTWAIFHANAPDDLLTVLFQWLQLSGRRQYPPGERYMTPEQKVKGELREPVVCTDDFYLRPDKEYSFGEHSSSFYGGRMYSSQCNPVESDVCYDRPEQKIGMPSQFGHAPPGPMGPVGHEYLPDHYEQKFGQPPPPAAPVIPESMQRHYSPRNDGPVGPQPPFPHCPESLQRQFRQESPYQNEPMMKPMQLPQHPPRPVAFTQQQPGPEPLSHPAPAPGVGQVPGAAPAHGSTPLTAQPPPFLPNQHTPSLPTTPPTLPKFGSPPKRDYACYNYLCKEGYNAGLAQEHSCSSLESSPSHLERKIKTPPPAHLVLNDSQFDPVFQEDDPVQAEALWAEQLQSLDQFLEESDHALDTDLFKRKRDIVPRGRCEESRSKGPVMFPVQQDYFGDM
+>sp|P12724|ECP_HUMAN Eosinophil cationic protein OS=Homo sapiens OX=9606 GN=RNASE3 PE=1 SV=2
+MVPKLFTSQICLLLLLGLMGVEGSLHARPPQFTRAQWFAIQHISLNPPRCTIAMRAINNYRWRCKNQNTFLRTTFANVVNVCGNQSIRCPHNRTLNNCHRSRFRVPLLHCDLINPGAQNISNCTYADRPGRRFYVVACDNRDPRDSPRYPVVPVHLDTTI
+>DECOY_sp|P12724|ECP_HUMAN Eosinophil cationic protein OS=Homo sapiens OX=9606 GN=RNASE3 PE=1 SV=2
+ITTDLHVPVVPYRPSDRPDRNDCAVVYFRRGPRDAYTCNSINQAGPNILDCHLLPVRFRSRHCNNLTRNHPCRISQNGCVNVVNAFTTRLFTNQNKCRWRYNNIARMAITCRPPNLSIHQIAFWQARTFQPPRAHLSGEVGMLGLLLLLCIQSTFLKPVM
+>sp|Q008S8|ECT2L_HUMAN Epithelial cell-transforming sequence 2 oncogene-like OS=Homo sapiens OX=9606 GN=ECT2L PE=2 SV=2
+MESFHTRFSAWTPFSNKSLNRQLFQERVALISHWFDLWTNKQRQEFLFAIFLRCTKSQLRFVQDWFSERMQVAKVDFSTVLPRFISLYIFSFLSPKDLCAAAQVSWPWKFLTEQDCLWMPKCVKFGWFLPYTPTDNEYGAWKRHYIACVSHLDWLTPREAAATYGTLNEPKTEDEELLERQREKCLRKRIWEKIALRKKELFKVRPPWVSGTCCSSVLKPRCQPRLSQTVRERVGLHEALEKQLVLTSLETLPKRSNISGSHSYPLLSKKNWHGVHKNDDRSSYALRPHFMLISSRIPAYEMVMESVKAGVVSVVYEHSVTLESLLYLIEKALDGQKAQSIGIFSDGDSREINLLQGYKIGVKNLLRPEVRDFWEKLGSYVATEEEGGHVDFFVPLGASEAGIEVLSQLSQLTGTFFTAPTGIATGSYQHILSDWLGSQWGKAPSSIYFCESKLQTWSSFTDFLEETLKTVRKQLYPFFKELQKSISGRMIGQFMFDTMGMTNILNNQDTAQALADGLMELSKEDSERNVVEDNSWDTKSRLSKNDLNFEALINLERILQKDSAEKRARVVRELLQSERKYVQILEIVRDVYVAPLKAALSSNRAILSAANIQIIFCDILQILSLNRQFLDNLRDRLQEWGPAHCVGEIVTKFGSQLNTYTNFFNNYPVILKTIEKCREMIPAFRTFLKRHDKTIVTKMLSLPELLLYPSRRFEEYLNLLYAVRLHTPAEHVDRGDLTTAIDQIKKYKGYIDQMKQNITMKDHLSDIQRIIWGCPTLSEVNRYLIRVQDVAQLHCCDEEISFSLRLYEHIHDLSLFLFNDALLVSSRGTSHTPFERTSKTTYQFIASVALHRLLIENIPDSKYVKNAFILQGPKYKWICATEIEDDKFLWLSVLRNAIKSSMEK
+>DECOY_sp|Q008S8|ECT2L_HUMAN Epithelial cell-transforming sequence 2 oncogene-like OS=Homo sapiens OX=9606 GN=ECT2L PE=2 SV=2
+KEMSSKIANRLVSLWLFKDDEIETACIWKYKPGQLIFANKVYKSDPINEILLRHLAVSAIFQYTTKSTREFPTHSTGRSSVLLADNFLFLSLDHIHEYLRLSFSIEEDCCHLQAVDQVRILYRNVESLTPCGWIIRQIDSLHDKMTINQKMQDIYGKYKKIQDIATTLDGRDVHEAPTHLRVAYLLNLYEEFRRSPYLLLEPLSLMKTVITKDHRKLFTRFAPIMERCKEITKLIVPYNNFFNTYTNLQSGFKTVIEGVCHAPGWEQLRDRLNDLFQRNLSLIQLIDCFIIQINAASLIARNSSLAAKLPAVYVDRVIELIQVYKRESQLLERVVRARKEASDKQLIRELNILAEFNLDNKSLRSKTDWSNDEVVNRESDEKSLEMLGDALAQATDQNNLINTMGMTDFMFQGIMRGSISKQLEKFFPYLQKRVTKLTEELFDTFSSWTQLKSECFYISSPAKGWQSGLWDSLIHQYSGTAIGTPATFFTGTLQSLQSLVEIGAESAGLPVFFDVHGGEEETAVYSGLKEWFDRVEPRLLNKVGIKYGQLLNIERSDGDSFIGISQAKQGDLAKEILYLLSELTVSHEYVVSVVGAKVSEMVMEYAPIRSSILMFHPRLAYSSRDDNKHVGHWNKKSLLPYSHSGSINSRKPLTELSTLVLQKELAEHLGVRERVTQSLRPQCRPKLVSSCCTGSVWPPRVKFLEKKRLAIKEWIRKRLCKERQRELLEEDETKPENLTGYTAAAERPTLWDLHSVCAIYHRKWAGYENDTPTYPLFWGFKVCKPMWLCDQETLFKWPWSVQAAACLDKPSLFSFIYLSIFRPLVTSFDVKAVQMRESFWDQVFRLQSKTCRLFIAFLFEQRQKNTWLDFWHSILAVREQFLQRNLSKNSFPTWASFRTHFSEM
+>sp|Q9BV94|EDEM2_HUMAN ER degradation-enhancing alpha-mannosidase-like protein 2 OS=Homo sapiens OX=9606 GN=EDEM2 PE=1 SV=2
+MPFRLLIPLGLLCALLPQHHGAPGPDGSAPDPAHYRERVKAMFYHAYDSYLENAFPFDELRPLTCDGHDTWGSFSLTLIDALDTLLILGNVSEFQRVVEVLQDSVDFDIDVNASVFETNIRVVGGLLSAHLLSKKAGVEVEAGWPCSGPLLRMAEEAARKLLPAFQTPTGMPYGTVNLLHGVNPGETPVTCTAGIGTFIVEFATLSSLTGDPVFEDVARVALMRLWESRSDIGLVGNHIDVLTGKWVAQDAGIGAGVDSYFEYLVKGAILLQDKKLMAMFLEYNKAIRNYTRFDDWYLWVQMYKGTVSMPVFQSLEAYWPGLQSLIGDIDNAMRTFLNYYTVWKQFGGLPEFYNIPQGYTVEKREGYPLRPELIESAMYLYRATGDPTLLELGRDAVESIEKISKVECGFATIKDLRDHKLDNRMESFFLAETVKYLYLLFDPTNFIHNNGSTFDAVITPYGECILGAGGYIFNTEAHPIDPAALHCCQRLKEEQWEVEDLMREFYSLKRSRSKFQKNTVSSGPWEPPARPGTLFSPENHDQARERKPAKQKVPLLSCPSQPFTSKLALLGQVFLDSS
+>DECOY_sp|Q9BV94|EDEM2_HUMAN ER degradation-enhancing alpha-mannosidase-like protein 2 OS=Homo sapiens OX=9606 GN=EDEM2 PE=1 SV=2
+SSDLFVQGLLALKSTFPQSPCSLLPVKQKAPKRERAQDHNEPSFLTGPRAPPEWPGSSVTNKQFKSRSRKLSYFERMLDEVEWQEEKLRQCCHLAAPDIPHAETNFIYGGAGLICEGYPTIVADFTSGNNHIFNTPDFLLYLYKVTEALFFSEMRNDLKHDRLDKITAFGCEVKSIKEISEVADRGLELLTPDGTARYLYMASEILEPRLPYGERKEVTYGQPINYFEPLGGFQKWVTYYNLFTRMANDIDGILSQLGPWYAELSQFVPMSVTGKYMQVWLYWDDFRTYNRIAKNYELFMAMLKKDQLLIAGKVLYEFYSDVGAGIGADQAVWKGTLVDIHNGVLGIDSRSEWLRMLAVRAVDEFVPDGTLSSLTAFEVIFTGIGATCTVPTEGPNVGHLLNVTGYPMGTPTQFAPLLKRAAEEAMRLLPGSCPWGAEVEVGAKKSLLHASLLGGVVRINTEFVSANVDIDFDVSDQLVEVVRQFESVNGLILLTDLADILTLSFSGWTDHGDCTLPRLEDFPFANELYSDYAHYFMAKVRERYHAPDPASGDPGPAGHHQPLLACLLGLPILLRFPM
+>sp|P24530|EDNRB_HUMAN Endothelin receptor type B OS=Homo sapiens OX=9606 GN=EDNRB PE=1 SV=1
+MQPPPSLCGRALVALVLACGLSRIWGEERGFPPDRATPLLQTAEIMTPPTKTLWPKGSNASLARSLAPAEVPKGDRTAGSPPRTISPPPCQGPIEIKETFKYINTVVSCLVFVLGIIGNSTLLRIIYKNKCMRNGPNILIASLALGDLLHIVIDIPINVYKLLAEDWPFGAEMCKLVPFIQKASVGITVLSLCALSIDRYRAVASWSRIKGIGVPKWTAVEIVLIWVVSVVLAVPEAIGFDIITMDYKGSYLRICLLHPVQKTAFMQFYKTAKDWWLFSFYFCLPLAITAFFYTLMTCEMLRKKSGMQIALNDHLKQRREVAKTVFCLVLVFALCWLPLHLSRILKLTLYNQNDPNRCELLSFLLVLDYIGINMASLNSCINPIALYLVSKRFKNCFKSCLCCWCQSFEEKQSLEEKQSCLKFKANDHGYDNFRSSNKYSSS
+>DECOY_sp|P24530|EDNRB_HUMAN Endothelin receptor type B OS=Homo sapiens OX=9606 GN=EDNRB PE=1 SV=1
+SSSYKNSSRFNDYGHDNAKFKLCSQKEELSQKEEFSQCWCCLCSKFCNKFRKSVLYLAIPNICSNLSAMNIGIYDLVLLFSLLECRNPDNQNYLTLKLIRSLHLPLWCLAFVLVLCFVTKAVERRQKLHDNLAIQMGSKKRLMECTMLTYFFATIALPLCFYFSFLWWDKATKYFQMFATKQVPHLLCIRLYSGKYDMTIIDFGIAEPVALVVSVVWILVIEVATWKPVGIGKIRSWSAVARYRDISLACLSLVTIGVSAKQIFPVLKCMEAGFPWDEALLKYVNIPIDIVIHLLDGLALSAILINPGNRMCKNKYIIRLLTSNGIIGLVFVLCSVVTNIYKFTEKIEIPGQCPPPSITRPPSGATRDGKPVEAPALSRALSANSGKPWLTKTPPTMIEATQLLPTARDPPFGREEGWIRSLGCALVLAVLARGCLSPPPQM
+>sp|P60608|EFC2_HUMAN Endogenous retrovirus group FC1 member 1 Env polyprotein OS=Homo sapiens OX=9606 GN=ERVFC1-1 PE=1 SV=1
+MNSPCDRLQQFIQVLLEESWSFPSFANTLHWPENLLSYIDELVWQGSLQNFHQHEVRFDKPPLRLPLTGFSSLTENWSSRQAVSSRLVATAASPPAGCQAPIAFLGLKFSSLGPARKNPALCFLYDQSNSKCNTSWVKENVGCPWHWCNIHEALIRTEKGSDPMFYVNTSTGGRDGFNGFNLQISDPWDPRWASGVDGGLYEHKTFMYPVAKIRIARTLKTTVTGLSDLASSIQSAEKELTSQLQPAADQAKSSRFSWLTLISEGAQLLQSTGVQNLSHCFLCAALRRPPLVAVPLPTPFNYTINSSTPIPPVPKGQVPLFSDPIRHKFPFCYSTPNASWCNQTRMLTSTPAPPRGYFWCNSTLTKVLNSTGNHTLCLPISLIPGLTLYSQDELSHLLAWTEPRPQNKSKWAIFLPLVLGISLASSLVASGLGKGALTHSIQTSQDLSTHLQLAIEASAESLDSLQRQITTVAQVAAQNRQALDLLMAEKGRTCLFLQEECCYYLNESGVVENSLQTLKKKKSSKRS
+>DECOY_sp|P60608|EFC2_HUMAN Endogenous retrovirus group FC1 member 1 Env polyprotein OS=Homo sapiens OX=9606 GN=ERVFC1-1 PE=1 SV=1
+SRKSSKKKKLTQLSNEVVGSENLYYCCEEQLFLCTRGKEAMLLDLAQRNQAAVQAVTTIQRQLSDLSEASAEIALQLHTSLDQSTQISHTLAGKGLGSAVLSSALSIGLVLPLFIAWKSKNQPRPETWALLHSLEDQSYLTLGPILSIPLCLTHNGTSNLVKTLTSNCWFYGRPPAPTSTLMRTQNCWSANPTSYCFPFKHRIPDSFLPVQGKPVPPIPTSSNITYNFPTPLPVAVLPPRRLAACLFCHSLNQVGTSQLLQAGESILTLWSFRSSKAQDAAPQLQSTLEKEASQISSALDSLGTVTTKLTRAIRIKAVPYMFTKHEYLGGDVGSAWRPDWPDSIQLNFGNFGDRGGTSTNVYFMPDSGKETRILAEHINCWHWPCGVNEKVWSTNCKSNSQDYLFCLAPNKRAPGLSSFKLGLFAIPAQCGAPPSAATAVLRSSVAQRSSWNETLSSFGTLPLRLPPKDFRVEHQHFNQLSGQWVLEDIYSLLNEPWHLTNAFSPFSWSEELLVQIFQQLRDCPSNM
+>sp|Q9BSW2|EFC4B_HUMAN EF-hand calcium-binding domain-containing protein 4B OS=Homo sapiens OX=9606 GN=CRACR2A PE=1 SV=1
+MAAPDGRVVSRPQRLGQGSGQGPKGSGACLHPLDSLEQKETQEQTSGQLVMLRKAQEFFQTCDAEGKGFIARKDMQRLHKELPLSLEELEDVFDALDADGNGYLTPQEFTTGFSHFFFSQNNPSQEDAGEQVAQRHEEKVYLSRGDEDLGDMGEDEEAQFRMLMDRLGAQKVLEDESDVKQLWLQLKKEEPHLLSNFEDFLTRIISQLQEAHEEKNELECALKRKIAAYDEEIQHLYEEMEQQIKSEKEQFLLKDTERFQARSQELEQKLLCKEQELEQLTQKQKRLEGQCTALHHDKHETKAENTKLKLTNQELARELERTSWELQDAQQQLESLQQEACKLHQEKEMEVYRVTESLQREKAGLLKQLDFLRCVGGHWPVLRAPPRSLGSEGPV
+>DECOY_sp|Q9BSW2|EFC4B_HUMAN EF-hand calcium-binding domain-containing protein 4B OS=Homo sapiens OX=9606 GN=CRACR2A PE=1 SV=1
+VPGESGLSRPPARLVPWHGGVCRLFDLQKLLGAKERQLSETVRYVEMEKEQHLKCAEQQLSELQQQADQLEWSTRELERALEQNTLKLKTNEAKTEHKDHHLATCQGELRKQKQTLQELEQEKCLLKQELEQSRAQFRETDKLLFQEKESKIQQEMEEYLHQIEEDYAAIKRKLACELENKEEHAEQLQSIIRTLFDEFNSLLHPEEKKLQLWLQKVDSEDELVKQAGLRDMLMRFQAEEDEGMDGLDEDGRSLYVKEEHRQAVQEGADEQSPNNQSFFFHSFGTTFEQPTLYGNGDADLADFVDELEELSLPLEKHLRQMDKRAIFGKGEADCTQFFEQAKRLMVLQGSTQEQTEKQELSDLPHLCAGSGKPGQGSGQGLRQPRSVVRGDPAAM
+>sp|Q5THR3|EFCB6_HUMAN EF-hand calcium-binding domain-containing protein 6 OS=Homo sapiens OX=9606 GN=EFCAB6 PE=1 SV=1
+MCKMAIIPDWLRSHPHTRKFTHSRPHSSPCRVYSRNGSPNKFRSSSTTAVANPTLSSLDVKRILFQKITDRGDELQKAFQLLDTGQNLTVSKSELRRIITDFLMPLTREQFQDVLAQIPLSTSGTVPYLAFLSRFGGIDLYINGIKRGGGNEMNCCRTLRELEIQVGEKVFKNIKTVMKAFELIDVNKTGLVRPQELRRVLETFCMKLRDEEYEKFSKHYNIHKDTAVDYNVFLKNLSINNDLNLRYCMGNQEVSLENQQAKNSKKERLLGSASSEDIWRNYSLDEIERNFCLQLSKSYEKVEKALSAGDPCKGGYVSFNYLKIVLDTFVYQIPRRIFIQLMKRFGLKATTKINWKQFLTSFHEPQGLQVSSKGPLTKRNSINSRNESHKENIITKLFRHTEDHSASLKKALLIINTKPDGPITREEFRYILNCMAVKLSDSEFKELMQMLDPGDTGVVNTSMFIDLIEENCRMRKTSPCTDAKTPFLLAWDSVEEIVHDTITRNLQAFYNMLRSYDLGDTGRIGRNNFKKIMHVFCPFLTNAHFIKLCSKIQDIGSGRILYKKLLACIGIDGPPTVSPVLVPKDQLLSEHLQKDEQQQPDLSERTKLTEDKTTLTKKMTTEEVIEKFKKCIQQQDPAFKKRFLDFSKEPNGKINVHDFKKVLEDTGMPMDDDQYALLTTKIGFEKEGMSYLDFAAGFEDPPMRGPETTPPQPPTPSKSYVNSHFITAEECLKLFPRRLKESFRDPYSAFFKTDADRDGIINMHDLHRLLLHLLLNLKDDEFERFLGLLGLRLSVTLNFREFQNLCEKRPWRTDEAPQRLIRPKQKVADSELACEQAHQYLVTKAKNRWSDLSKNFLETDNEGNGILRRRDIKNALYGFDIPLTPREFEKLWARYDTEGKGHITYQEFLQKLGINYSPAVHRPCAEDYFNFMGHFTKPQQLQEEMKELQQSTEKAVAARDKLMDRHQDISKAFTKTDQSKTNYISICKMQEVLEECGCSLTEGELTHLLNSWGVSRHDNAINYLDFLRAVENSKSTGAQPKEKEESMPINFATLNPQEAVRKIQEVVESSQLALSTAFSALDKEDTGFVKATEFGQVLKDFCYKLTDNQYHYFLRKLRIHLTPYINWKYFLQNFSCFLEETADEWAEKMPKGPPPTSPKATADRDILARLHKAVTSHYHAITQEFENFDTMKTNTISREEFRAICNRRVQILTDEQFDRLWNEMPVNAKGRLKYPDFLSRFSSETAATPMATGDSAVAQRGSSVPDVSEGTRSALSLPTQELRPGSKSQSHPCTPASTTVIPGTPPLQNCDPIESRLRKRIQGCWRQLLKECKEKDVARQGDINASDFLALVEKFNLDISKEECQQLIIKYDLKSNGKFAYCDFIQSCVLLLKAKESSLMHRMKIQNAHKMKEAGAETPSFYSALLRIQPKIVHCWRPMRRTFKSYDEAGTGLLSVADFRTVLRQYSINLSEEEFFHILEYYDKTLSSKISYNDFLRAFLQ
+>DECOY_sp|Q5THR3|EFCB6_HUMAN EF-hand calcium-binding domain-containing protein 6 OS=Homo sapiens OX=9606 GN=EFCAB6 PE=1 SV=1
+QLFARLFDNYSIKSSLTKDYYELIHFFEEESLNISYQRLVTRFDAVSLLGTGAEDYSKFTRRMPRWCHVIKPQIRLLASYFSPTEAGAEKMKHANQIKMRHMLSSEKAKLLLVCSQIFDCYAFKGNSKLDYKIILQQCEEKSIDLNFKEVLALFDSANIDGQRAVDKEKCEKLLQRWCGQIRKRLRSEIPDCNQLPPTGPIVTTSAPTCPHSQSKSGPRLEQTPLSLASRTGESVDPVSSGRQAVASDGTAMPTAATESSFRSLFDPYKLRGKANVPMENWLRDFQEDTLIQVRRNCIARFEERSITNTKMTDFNEFEQTIAHYHSTVAKHLRALIDRDATAKPSTPPPGKPMKEAWEDATEELFCSFNQLFYKWNIYPTLHIRLKRLFYHYQNDTLKYCFDKLVQGFETAKVFGTDEKDLASFATSLALQSSEVVEQIKRVAEQPNLTAFNIPMSEEKEKPQAGTSKSNEVARLFDLYNIANDHRSVGWSNLLHTLEGETLSCGCEELVEQMKCISIYNTKSQDTKTFAKSIDQHRDMLKDRAAVAKETSQQLEKMEEQLQQPKTFHGMFNFYDEACPRHVAPSYNIGLKQLFEQYTIHGKGETDYRAWLKEFERPTLPIDFGYLANKIDRRRLIGNGENDTELFNKSLDSWRNKAKTVLYQHAQECALESDAVKQKPRILRQPAEDTRWPRKECLNQFERFNLTVSLRLGLLGLFREFEDDKLNLLLHLLLRHLDHMNIIGDRDADTKFFASYPDRFSEKLRRPFLKLCEEATIFHSNVYSKSPTPPQPPTTEPGRMPPDEFGAAFDLYSMGEKEFGIKTTLLAYQDDDMPMGTDELVKKFDHVNIKGNPEKSFDLFRKKFAPDQQQICKKFKEIVEETTMKKTLTTKDETLKTRESLDPQQQEDKQLHESLLQDKPVLVPSVTPPGDIGICALLKKYLIRGSGIDQIKSCLKIFHANTLFPCFVHMIKKFNNRGIRGTDGLDYSRLMNYFAQLNRTITDHVIEEVSDWALLFPTKADTCPSTKRMRCNEEILDIFMSTNVVGTDGPDLMQMLEKFESDSLKVAMCNLIYRFEERTIPGDPKTNIILLAKKLSASHDETHRFLKTIINEKHSENRSNISNRKTLPGKSSVQLGQPEHFSTLFQKWNIKTTAKLGFRKMLQIFIRRPIQYVFTDLVIKLYNFSVYGGKCPDGASLAKEVKEYSKSLQLCFNREIEDLSYNRWIDESSASGLLREKKSNKAQQNELSVEQNGMCYRLNLDNNISLNKLFVNYDVATDKHINYHKSFKEYEEDRLKMCFTELVRRLEQPRVLGTKNVDILEFAKMVTKINKFVKEGVQIELERLTRCCNMENGGGRKIGNIYLDIGGFRSLFALYPVTGSTSLPIQALVDQFQERTLPMLFDTIIRRLESKSVTLNQGTDLLQFAKQLEDGRDTIKQFLIRKVDLSSLTPNAVATTSSSRFKNPSGNRSYVRCPSSHPRSHTFKRTHPHSRLWDPIIAMKCM
+>sp|Q7Z2Z2|EFL1_HUMAN Elongation factor-like GTPase 1 OS=Homo sapiens OX=9606 GN=EFL1 PE=1 SV=2
+MVLNSLDKMIQLQKNTANIRNICVLAHVDHGKTTLADCLISSNGIISSRLAGKLRYMDSREDEQIRGITMKSSAISLHYATGNEEYLINLIDSPGHVDFSSEVSTAVRICDGCIIVVDAVEGVCPQTQAVLRQAWLENIRPVLVINKIDRLIVELKFTPQEAYSHLKNILEQINALTGTLFTSKVLEERAERETESQVNPNSEQGEQVYDWSTGLEDTDDSHLYFSPEQGNVVFTSAIDGWGFGIEHFARIYSQKIGIKKEVLMKTLWGDYYINMKAKKIMKGDQAKGKKPLFVQLILENIWSLYDAVLKKDKDKIDKIVTSLGLKIGAREARHSDPKVQINAICSQWLPISHAVLAMVCQKLPSPLDITAERVERLMCTGSQTFDSFPPETQALKAAFMKCGSEDTAPVIIFVSKMFAVDAKALPQNKPRPLTQEEIAQRRERARQRHAEKLAAAQGQAPLEPTQDGSAIETCPKGEEPRGDEQQVESMTPKPVLQEENNQESFIAFARVFSGVARRGKKIFVLGPKYSPLEFLRRVPLGFSAPPDGLPQVPHMAYCALENLYLLMGRELEYLEEVPPGNVLGIGGLQDFVLKSATLCSLPSCPPFIPLNFEATPIVRVAVEPKHPSEMPQLVKGMKLLNQADPCVQILIQETGEHVLVTAGEVHLQRCLDDLKERFAKIHISVSEPIIPFRETITKPPKVDMVNEEIGKQQKVAVIHQMKEDQSKIPEGIQVDSDGLITITTPNKLATLSVRAMPLPEEVTQILEENSDLIRSMEQLTSSLNEGENTHMIHQKTQEKIWEFKGKLEQHLTGRRWRNIVDQIWSFGPRKCGPNILVNKSEDFQNSVWTGPADKASKEASRYRDLGNSIVSGFQLATLSGPMCEEPLMGVCFVLEKWDLSKFEEQGASDLAKEGQEENETCSGGNENQELQDGCSEAFEKRTSQKGESPLTDCYGPFSGQLIATMKEACRYALQVKPQRLMAAMYTCDIMATGDVLGRVYAVLSKREGRVLQEEMKEGTDMFIIKAVLPVAESFGFADEIRKRTSGLASPQLVFSHWEIIPSDPFWVPTTEEEYLHFGEKADSENQARKYMNAVRKRKGLYVEEKIVEHAEKQRTLSKNK
+>DECOY_sp|Q7Z2Z2|EFL1_HUMAN Elongation factor-like GTPase 1 OS=Homo sapiens OX=9606 GN=EFL1 PE=1 SV=2
+KNKSLTRQKEAHEVIKEEVYLGKRKRVANMYKRAQNESDAKEGFHLYEEETTPVWFPDSPIIEWHSFVLQPSALGSTRKRIEDAFGFSEAVPLVAKIIFMDTGEKMEEQLVRGERKSLVAYVRGLVDGTAMIDCTYMAAMLRQPKVQLAYRCAEKMTAILQGSFPGYCDTLPSEGKQSTRKEFAESCGDQLEQNENGGSCTENEEQGEKALDSAGQEEFKSLDWKELVFCVGMLPEECMPGSLTALQFGSVISNGLDRYRSAEKSAKDAPGTWVSNQFDESKNVLINPGCKRPGFSWIQDVINRWRRGTLHQELKGKFEWIKEQTKQHIMHTNEGENLSSTLQEMSRILDSNEELIQTVEEPLPMARVSLTALKNPTTITILGDSDVQIGEPIKSQDEKMQHIVAVKQQKGIEENVMDVKPPKTITERFPIIPESVSIHIKAFREKLDDLCRQLHVEGATVLVHEGTEQILIQVCPDAQNLLKMGKVLQPMESPHKPEVAVRVIPTAEFNLPIFPPCSPLSCLTASKLVFDQLGGIGLVNGPPVEELYELERGMLLYLNELACYAMHPVQPLGDPPASFGLPVRRLFELPSYKPGLVFIKKGRRAVGSFVRAFAIFSEQNNEEQLVPKPTMSEVQQEDGRPEEGKPCTEIASGDQTPELPAQGQAAALKEAHRQRARERRQAIEEQTLPRPKNQPLAKADVAFMKSVFIIVPATDESGCKMFAAKLAQTEPPFSDFTQSGTCMLREVREATIDLPSPLKQCVMALVAHSIPLWQSCIANIQVKPDSHRAERAGIKLGLSTVIKDIKDKDKKLVADYLSWINELILQVFLPKKGKAQDGKMIKKAKMNIYYDGWLTKMLVEKKIGIKQSYIRAFHEIGFGWGDIASTFVVNGQEPSFYLHSDDTDELGTSWDYVQEGQESNPNVQSETEREAREELVKSTFLTGTLANIQELINKLHSYAEQPTFKLEVILRDIKNIVLVPRINELWAQRLVAQTQPCVGEVADVVIICGDCIRVATSVESSFDVHGPSDILNILYEENGTAYHLSIASSKMTIGRIQEDERSDMYRLKGALRSSIIGNSSILCDALTTKGHDVHALVCINRINATNKQLQIMKDLSNLVM
+>sp|P52803|EFNA5_HUMAN Ephrin-A5 OS=Homo sapiens OX=9606 GN=EFNA5 PE=1 SV=1
+MLHVEMLTLVFLVLWMCVFSQDPGSKAVADRYAVYWNSSNPRFQRGDYHIDVCINDYLDVFCPHYEDSVPEDKTERYVLYMVNFDGYSACDHTSKGFKRWECNRPHSPNGPLKFSEKFQLFTPFSLGFEFRPGREYFYISSAIPDNGRRSCLKLKVFVRPTNSCMKTIGVHDRVFDVNDKVENSLEPADDTVHESAEPSRGENAAQTPRIPSRLLAILLFLLAMLLTL
+>DECOY_sp|P52803|EFNA5_HUMAN Ephrin-A5 OS=Homo sapiens OX=9606 GN=EFNA5 PE=1 SV=1
+LTLLMALLFLLIALLRSPIRPTQAANEGRSPEASEHVTDDAPELSNEVKDNVDFVRDHVGITKMCSNTPRVFVKLKLCSRRGNDPIASSIYFYERGPRFEFGLSFPTFLQFKESFKLPGNPSHPRNCEWRKFGKSTHDCASYGDFNVMYLVYRETKDEPVSDEYHPCFVDLYDNICVDIHYDGRQFRPNSSNWYVAYRDAVAKSGPDQSFVCMWLVLFVLTLMEVHLM
+>sp|P52799|EFNB2_HUMAN Ephrin-B2 OS=Homo sapiens OX=9606 GN=EFNB2 PE=1 SV=1
+MAVRRDSVWKYCWGVLMVLCRTAISKSIVLEPIYWNSSNSKFLPGQGLVLYPQIGDKLDIICPKVDSKTVGQYEYYKVYMVDKDQADRCTIKKENTPLLNCAKPDQDIKFTIKFQEFSPNLWGLEFQKNKDYYIISTSNGSLEGLDNQEGGVCQTRAMKILMKVGQDASSAGSTRNKDPTRRPELEAGTNGRSSTTSPFVKPNPGSSTDGNSAGHSGNNILGSEVALFAGIASGCIIFIVIIITLVVLLLKYRRRHRKHSPQHTTTLSLSTLATPKRSGNNNGSEPSDIIIPLRTADSVFCPHYEKVSGDYGHPVYIVQEMPPQSPANIYYKV
+>DECOY_sp|P52799|EFNB2_HUMAN Ephrin-B2 OS=Homo sapiens OX=9606 GN=EFNB2 PE=1 SV=1
+VKYYINAPSQPPMEQVIYVPHGYDGSVKEYHPCFVSDATRLPIIIDSPESGNNNGSRKPTALTSLSLTTTHQPSHKRHRRRYKLLLVVLTIIIVIFIICGSAIGAFLAVESGLINNGSHGASNGDTSSGPNPKVFPSTTSSRGNTGAELEPRRTPDKNRTSGASSADQGVKMLIKMARTQCVGGEQNDLGELSGNSTSIIYYDKNKQFELGWLNPSFEQFKITFKIDQDPKACNLLPTNEKKITCRDAQDKDVMYVKYYEYQGVTKSDVKPCIIDLKDGIQPYLVLGQGPLFKSNSSNWYIPELVISKSIATRCLVMLVGWCYKWVSDRRVAM
+>sp|Q9GZT9|EGLN1_HUMAN Egl nine homolog 1 OS=Homo sapiens OX=9606 GN=EGLN1 PE=1 SV=1
+MANDSGGPGGPSPSERDRQYCELCGKMENLLRCSRCRSSFYCCKEHQRQDWKKHKLVCQGSEGALGHGVGPHQHSGPAPPAAVPPPRAGAREPRKAAARRDNASGDAAKGKVKAKPPADPAAAASPCRAAAGGQGSAVAAEAEPGKEEPPARSSLFQEKANLYPPSNTPGDALSPGGGLRPNGQTKPLPALKLALEYIVPCMNKHGICVVDDFLGKETGQQIGDEVRALHDTGKFTDGQLVSQKSDSSKDIRGDKITWIEGKEPGCETIGLLMSSMDDLIRHCNGKLGSYKINGRTKAMVACYPGNGTGYVRHVDNPNGDGRCVTCIYYLNKDWDAKVSGGILRIFPEGKAQFADIEPKFDRLLFFWSDRRNPHEVQPAYATRYAITVWYFDADERARAKVKYLTGEKGVRVELNKPSDSVGKDVF
+>DECOY_sp|Q9GZT9|EGLN1_HUMAN Egl nine homolog 1 OS=Homo sapiens OX=9606 GN=EGLN1 PE=1 SV=1
+FVDKGVSDSPKNLEVRVGKEGTLYKVKARAREDADFYWVTIAYRTAYAPQVEHPNRRDSWFFLLRDFKPEIDAFQAKGEPFIRLIGGSVKADWDKNLYYICTVCRGDGNPNDVHRVYGTGNGPYCAVMAKTRGNIKYSGLKGNCHRILDDMSSMLLGITECGPEKGEIWTIKDGRIDKSSDSKQSVLQGDTFKGTDHLARVEDGIQQGTEKGLFDDVVCIGHKNMCPVIYELALKLAPLPKTQGNPRLGGGPSLADGPTNSPPYLNAKEQFLSSRAPPEEKGPEAEAAVASGQGGAAARCPSAAAAPDAPPKAKVKGKAADGSANDRRAAAKRPERAGARPPPVAAPPAPGSHQHPGVGHGLAGESGQCVLKHKKWDQRQHEKCCYFSSRCRSCRLLNEMKGCLECYQRDRESPSPGGPGGSDNAM
+>sp|Q14232|EI2BA_HUMAN Translation initiation factor eIF-2B subunit alpha OS=Homo sapiens OX=9606 GN=EIF2B1 PE=1 SV=1
+MDDKELIEYFKSQMKEDPDMASAVAAIRTLLEFLKRDKGETIQGLRANLTSAIETLCGVDSSVAVSSGGELFLRFISLASLEYSDYSKCKKIMIERGELFLRRISLSRNKIADLCHTFIKDGATILTHAYSRVVLRVLEAAVAAKKRFSVYVTESQPDLSGKKMAKALCHLNVPVTVVLDAAVGYIMEKADLVIVGAEGVVENGGIINKIGTNQMAVCAKAQNKPFYVVAESFKFVRLFPLNQQDVPDKFKYKADTLKVAQTGQDLKEEHPWVDYTAPSLITLLFTDLGVLTPSAVSDELIKLYL
+>DECOY_sp|Q14232|EI2BA_HUMAN Translation initiation factor eIF-2B subunit alpha OS=Homo sapiens OX=9606 GN=EIF2B1 PE=1 SV=1
+LYLKILEDSVASPTLVGLDTFLLTILSPATYDVWPHEEKLDQGTQAVKLTDAKYKFKDPVDQQNLPFLRVFKFSEAVVYFPKNQAKACVAMQNTGIKNIIGGNEVVGEAGVIVLDAKEMIYGVAADLVVTVPVNLHCLAKAMKKGSLDPQSETVYVSFRKKAAVAAELVRLVVRSYAHTLITAGDKIFTHCLDAIKNRSLSIRRLFLEGREIMIKKCKSYDSYELSALSIFRLFLEGGSSVAVSSDVGCLTEIASTLNARLGQITEGKDRKLFELLTRIAAVASAMDPDEKMQSKFYEILEKDDM
+>sp|Q9UI10|EI2BD_HUMAN Translation initiation factor eIF-2B subunit delta OS=Homo sapiens OX=9606 GN=EIF2B4 PE=1 SV=2
+MAAVAVAVREDSGSGMKAELPPGPGAVGREMTKEEKLQLRKEKKQQKKKRKEEKGAEPETGSAVSAAQCQVGPTRELPESGIQLGTPREKVPAGRSKAELRAERRAKQEAERALKQARKGEQGGPPPKASPSTAGETPSGVKRLPEYPQVDDLLLRRLVKKPERQQVPTRKDYGSKVSLFSHLPQYSRQNSLTQFMSIPSSVIHPAMVRLGLQYSQGLVSGSNARCIALLRALQQVIQDYTTPPNEELSRDLVNKLKPYMSFLTQCRPLSASMHNAIKFLNKEITSVGSSKREEEAKSELRAAIDRYVQEKIVLAAQAISRFAYQKISNGDVILVYGCSSLVSRILQEAWTEGRRFRVVVVDSRPWLEGRHTLRSLVHAGVPASYLLIPAASYVLPEVSKVLLGAHALLANGSVMSRVGTAQLALVARAHNVPVLVCCETYKFCERVQTDAFVSNELDDPDDLQCKRGEHVALANWQNHASLRLLNLVYDVTPPELVDLVITELGMIPCSSVPVVLRVKSSDQ
+>DECOY_sp|Q9UI10|EI2BD_HUMAN Translation initiation factor eIF-2B subunit delta OS=Homo sapiens OX=9606 GN=EIF2B4 PE=1 SV=2
+QDSSKVRLVVPVSSCPIMGLETIVLDVLEPPTVDYVLNLLRLSAHNQWNALAVHEGRKCQLDDPDDLENSVFADTQVRECFKYTECCVLVPVNHARAVLALQATGVRSMVSGNALLAHAGLLVKSVEPLVYSAAPILLYSAPVGAHVLSRLTHRGELWPRSDVVVVRFRRGETWAEQLIRSVLSSCGYVLIVDGNSIKQYAFRSIAQAALVIKEQVYRDIAARLESKAEEERKSSGVSTIEKNLFKIANHMSASLPRCQTLFSMYPKLKNVLDRSLEENPPTTYDQIVQQLARLLAICRANSGSVLGQSYQLGLRVMAPHIVSSPISMFQTLSNQRSYQPLHSFLSVKSGYDKRTPVQQREPKKVLRRLLLDDVQPYEPLRKVGSPTEGATSPSAKPPPGGQEGKRAQKLAREAEQKARREARLEAKSRGAPVKERPTGLQIGSEPLERTPGVQCQAASVASGTEPEAGKEEKRKKKQQKKEKRLQLKEEKTMERGVAGPGPPLEAKMGSGSDERVAVAVAAM
+>sp|Q13144|EI2BE_HUMAN Translation initiation factor eIF-2B subunit epsilon OS=Homo sapiens OX=9606 GN=EIF2B5 PE=1 SV=3
+MAAPVVAPPGVVVSRANKRSGAGPGGSGGGGARGAEEEPPPPLQAVLVADSFDRRFFPISKDQPRVLLPLANVALIDYTLEFLTATGVQETFVFCCWKAAQIKEHLLKSKWCRPTSLNVVRIITSELYRSLGDVLRDVDAKALVRSDFLLVYGDVISNINITRALEEHRLRRKLEKNVSVMTMIFKESSPSHPTRCHEDNVVVAVDSTTNRVLHFQKTQGLRRFAFPLSLFQGSSDGVEVRYDLLDCHISICSPQVAQLFTDNFDYQTRDDFVRGLLVNEEILGNQIHMHVTAKEYGARVSNLHMYSAVCADVIRRWVYPLTPEANFTDSTTQSCTHSRHNIYRGPEVSLGHGSILEENVLLGSGTVIGSNCFITNSVIGPGCHIGDNVVLDQTYLWQGVRVAAGAQIHQSLLCDNAEVKERVTLKPRSVLTSQVVVGPNITLPEGSVISLHPPDAEEDEDDGEFSDDSGADQEKDKVKMKGYNPAEVGAAGKGYLWKAAGMNMEEEEELQQNLWGLKINMEEESESESEQSMDSEEPDSRGGSPQMDDIKVFQNEVLGTLQRGKEENISCDNLVLEINSLKYAYNISLKEVMQVLSHVVLEFPLQQMDSPLDSSRYCALLLPLLKAWSPVFRNYIKRAADHLEALAAIEDFFLEHEALGISMAKVLMAFYQLEILAEETILSWFSQRDTTDKGQQLRKNQQLQRFIQWLKEAEEESSEDD
+>DECOY_sp|Q13144|EI2BE_HUMAN Translation initiation factor eIF-2B subunit epsilon OS=Homo sapiens OX=9606 GN=EIF2B5 PE=1 SV=3
+DDESSEEEAEKLWQIFRQLQQNKRLQQGKDTTDRQSFWSLITEEALIELQYFAMLVKAMSIGLAEHELFFDEIAALAELHDAARKIYNRFVPSWAKLLPLLLACYRSSDLPSDMQQLPFELVVHSLVQMVEKLSINYAYKLSNIELVLNDCSINEEKGRQLTGLVENQFVKIDDMQPSGGRSDPEESDMSQESESESEEEMNIKLGWLNQQLEEEEEMNMGAAKWLYGKGAAGVEAPNYGKMKVKDKEQDAGSDDSFEGDDEDEEADPPHLSIVSGEPLTINPGVVVQSTLVSRPKLTVREKVEANDCLLSQHIQAGAAVRVGQWLYTQDLVVNDGIHCGPGIVSNTIFCNSGIVTGSGLLVNEELISGHGLSVEPGRYINHRSHTCSQTTSDTFNAEPTLPYVWRRIVDACVASYMHLNSVRAGYEKATVHMHIQNGLIEENVLLGRVFDDRTQYDFNDTFLQAVQPSCISIHCDLLDYRVEVGDSSGQFLSLPFAFRRLGQTKQFHLVRNTTSDVAVVVNDEHCRTPHSPSSEKFIMTMVSVNKELKRRLRHEELARTININSIVDGYVLLFDSRVLAKADVDRLVDGLSRYLESTIIRVVNLSTPRCWKSKLLHEKIQAAKWCCFVFTEQVGTATLFELTYDILAVNALPLLVRPQDKSIPFFRRDFSDAVLVAQLPPPPEEEAGRAGGGGSGGPGAGSRKNARSVVVGPPAVVPAAM
+>sp|P55884|EIF3B_HUMAN Eukaryotic translation initiation factor 3 subunit B OS=Homo sapiens OX=9606 GN=EIF3B PE=1 SV=3
+MQDAENVAVPEAAEERAEPGQQQPAAEPPPAEGLLRPAGPGAPEAAGTEASSEEVGIAEAGPESEVRTEPAAEAEAASGPSESPSPPAAEELPGSHAEPPVPAQGEAPGEQARDERSDSRAQAVSEDAGGNEGRAAEAEPRALENGDADEPSFSDPEDFVDDVSEEELLGDVLKDRPQEADGIDSVIVVDNVPQVGPDRLEKLKNVIHKIFSKFGKITNDFYPEEDGKTKGYIFLEYASPAHAVDAVKNADGYKLDKQHTFRVNLFTDFDKYMTISDEWDIPEKQPFKDLGNLRYWLEEAECRDQYSVIFESGDRTSIFWNDVKDPVSIEERARWTETYVRWSPKGTYLATFHQRGIALWGGEKFKQIQRFSHQGVQLIDFSPCERYLVTFSPLMDTQDDPQAIIIWDILTGHKKRGFHCESSAHWPIFKWSHDGKFFARMTLDTLSIYETPSMGLLDKKSLKISGIKDFSWSPGGNIIAFWVPEDKDIPARVTLMQLPTRQEIRVRNLFNVVDCKLHWQKNGDYLCVKVDRTPKGTQGVVTNFEIFRMREKQVPVDVVEMKETIIAFAWEPNGSKFAVLHGEAPRISVSFYHVKNNGKIELIKMFDKQQANTIFWSPQGQFVVLAGLRSMNGALAFVDTSDCTVMNIAEHYMASDVEWDPTGRYVVTSVSWWSHKVDNAYWLWTFQGRLLQKNNKDRFCQLLWRPRPPTLLSQEQIKQIKKDLKKYSKIFEQKDRLSQSKASKELVERRRTMMEDFRKYRKMAQELYMEQKNERLELRGGVDTDELDSNVDDWEEETIEFFVTEEIIPLGNQE
+>DECOY_sp|P55884|EIF3B_HUMAN Eukaryotic translation initiation factor 3 subunit B OS=Homo sapiens OX=9606 GN=EIF3B PE=1 SV=3
+EQNGLPIIEETVFFEITEEEWDDVNSDLEDTDVGGRLELRENKQEMYLEQAMKRYKRFDEMMTRRREVLEKSAKSQSLRDKQEFIKSYKKLDKKIQKIQEQSLLTPPRPRWLLQCFRDKNNKQLLRGQFTWLWYANDVKHSWWSVSTVVYRGTPDWEVDSAMYHEAINMVTCDSTDVFALAGNMSRLGALVVFQGQPSWFITNAQQKDFMKILEIKGNNKVHYFSVSIRPAEGHLVAFKSGNPEWAFAIITEKMEVVDVPVQKERMRFIEFNTVVGQTGKPTRDVKVCLYDGNKQWHLKCDVVNFLNRVRIEQRTPLQMLTVRAPIDKDEPVWFAIINGGPSWSFDKIGSIKLSKKDLLGMSPTEYISLTDLTMRAFFKGDHSWKFIPWHASSECHFGRKKHGTLIDWIIIAQPDDQTDMLPSFTVLYRECPSFDILQVGQHSFRQIQKFKEGGWLAIGRQHFTALYTGKPSWRVYTETWRAREEISVPDKVDNWFISTRDGSEFIVSYQDRCEAEELWYRLNGLDKFPQKEPIDWEDSITMYKDFDTFLNVRFTHQKDLKYGDANKVADVAHAPSAYELFIYGKTKGDEEPYFDNTIKGFKSFIKHIVNKLKELRDPGVQPVNDVVIVSDIGDAEQPRDKLVDGLLEEESVDDVFDEPDSFSPEDADGNELARPEAEAARGENGGADESVAQARSDSREDRAQEGPAEGQAPVPPEAHSGPLEEAAPPSPSESPGSAAEAEAAPETRVESEPGAEAIGVEESSAETGAAEPAGPGAPRLLGEAPPPEAAPQQQGPEAREEAAEPVAVNEADQM
+>sp|O15371|EIF3D_HUMAN Eukaryotic translation initiation factor 3 subunit D OS=Homo sapiens OX=9606 GN=EIF3D PE=1 SV=1
+MAKFMTPVIQDNPSGWGPCAVPEQFRDMPYQPFSKGDRLGKVADWTGATYQDKRYTNKYSSQFGGGSQYAYFHEEDESSFQLVDTARTQKTAYQRNRMRFAQRNLRRDKDRRNMLQFNLQILPKSAKQKERERIRLQKKFQKQFGVRQKWDQKSQKPRDSSVEVRSDWEVKEEMDFPQLMKMRYLEVSEPQDIECCGALEYYDKAFDRITTRSEKPLRSIKRIFHTVTTTDDPVIRKLAKTQGNVFATDAILATLMSCTRSVYSWDIVVQRVGSKLFFDKRDNSDFDLLTVSETANEPPQDEGNSFNSPRNLAMEATYINHNFSQQCLRMGKERYNFPNPNPFVEDDMDKNEIASVAYRYRRWKLGDDIDLIVRCEHDGVMTGANGEVSFINIKTLNEWDSRHCNGVDWRQKLDSQRGAVIATELKNNSYKLARWTCCALLAGSEYLKLGYVSRYHVKDSSRHVILGTQQFKPNEFASQINLSVENAWGILRCVIDICMKLEEGKYLILKDPNKQVIRVYSLPDGTFSSDEDEEEEEEEEEEEEEEET
+>DECOY_sp|O15371|EIF3D_HUMAN Eukaryotic translation initiation factor 3 subunit D OS=Homo sapiens OX=9606 GN=EIF3D PE=1 SV=1
+TEEEEEEEEEEEEEEEDEDSSFTGDPLSYVRIVQKNPDKLILYKGEELKMCIDIVCRLIGWANEVSLNIQSAFENPKFQQTGLIVHRSSDKVHYRSVYGLKLYESGALLACCTWRALKYSNNKLETAIVAGRQSDLKQRWDVGNCHRSDWENLTKINIFSVEGNAGTMVGDHECRVILDIDDGLKWRRYRYAVSAIENKDMDDEVFPNPNPFNYREKGMRLCQQSFNHNIYTAEMALNRPSNFSNGEDQPPENATESVTLLDFDSNDRKDFFLKSGVRQVVIDWSYVSRTCSMLTALIADTAFVNGQTKALKRIVPDDTTTVTHFIRKISRLPKESRTTIRDFAKDYYELAGCCEIDQPESVELYRMKMLQPFDMEEKVEWDSRVEVSSDRPKQSKQDWKQRVGFQKQFKKQLRIREREKQKASKPLIQLNFQLMNRRDKDRRLNRQAFRMRNRQYATKQTRATDVLQFSSEDEEHFYAYQSGGGFQSSYKNTYRKDQYTAGTWDAVKGLRDGKSFPQYPMDRFQEPVACPGWGSPNDQIVPTMFKAM
+>sp|O75821|EIF3G_HUMAN Eukaryotic translation initiation factor 3 subunit G OS=Homo sapiens OX=9606 GN=EIF3G PE=1 SV=2
+MPTGDFDSKPSWADQVEEEGEDDKCVTSELLKGIPLATGDTSPEPELLPGAPLPPPKEVINGNIKTVTEYKIDEDGKKFKIVRTFRIETRKASKAVARRKNWKKFGNSEFDPPGPNVATTTVSDDVSMTFITSKEDLNCQEEEDPMNKLKGQKIVSCRICKGDHWTTRCPYKDTLGPMQKELAEQLGLSTGEKEKLPGELEPVQATQNKTGKYVPPSLRDGASRRGESMQPNRRADDNATIRVTNLSEDTRETDLQELFRPFGSISRIYLAKDKTTGQSKGFAFISFHRREDAARAIAGVSGFGYDHLILNVEWAKPSTN
+>DECOY_sp|O75821|EIF3G_HUMAN Eukaryotic translation initiation factor 3 subunit G OS=Homo sapiens OX=9606 GN=EIF3G PE=1 SV=2
+NTSPKAWEVNLILHDYGFGSVGAIARAADERRHFSIFAFGKSQGTTKDKALYIRSISGFPRFLEQLDTERTDESLNTVRITANDDARRNPQMSEGRRSAGDRLSPPVYKGTKNQTAQVPELEGPLKEKEGTSLGLQEALEKQMPGLTDKYPCRTTWHDGKCIRCSVIKQGKLKNMPDEEEQCNLDEKSTIFTMSVDDSVTTTAVNPGPPDFESNGFKKWNKRRAVAKSAKRTEIRFTRVIKFKKGDEDIKYETVTKINGNIVEKPPPLPAGPLLEPEPSTDGTALPIGKLLESTVCKDDEGEEEVQDAWSPKSDFDGTPM
+>sp|Q13347|EIF3I_HUMAN Eukaryotic translation initiation factor 3 subunit I OS=Homo sapiens OX=9606 GN=EIF3I PE=1 SV=1
+MKPILLQGHERSITQIKYNREGDLLFTVAKDPIVNVWYSVNGERLGTYMGHTGAVWCVDADWDTKHVLTGSADNSCRLWDCETGKQLALLKTNSAVRTCGFDFGGNIIMFSTDKQMGYQCFVSFFDLRDPSQIDNNEPYMKIPCNDSKITSAVWGPLGECIIAGHESGELNQYSAKSGEVLVNVKEHSRQINDIQLSRDMTMFVTASKDNTAKLFDSTTLEHQKTFRTERPVNSAALSPNYDHVVLGGGQEAMDVTTTSTRIGKFEARFFHLAFEEEFGRVKGHFGPINSVAFHPDGKSYSSGGEDGYVRIHYFDPQYFEFEFEA
+>DECOY_sp|Q13347|EIF3I_HUMAN Eukaryotic translation initiation factor 3 subunit I OS=Homo sapiens OX=9606 GN=EIF3I PE=1 SV=1
+AEFEFEFYQPDFYHIRVYGDEGGSSYSKGDPHFAVSNIPGFHGKVRGFEEEFALHFFRAEFKGIRTSTTTVDMAEQGGGLVVHDYNPSLAASNVPRETRFTKQHELTTSDFLKATNDKSATVFMTMDRSLQIDNIQRSHEKVNVLVEGSKASYQNLEGSEHGAIICEGLPGWVASTIKSDNCPIKMYPENNDIQSPDRLDFFSVFCQYGMQKDTSFMIINGGFDFGCTRVASNTKLLALQKGTECDWLRCSNDASGTLVHKTDWDADVCWVAGTHGMYTGLREGNVSYWVNVIPDKAVTFLLDGERNYKIQTISREHGQLLIPKM
+>sp|Q3SY89|ELB3B_HUMAN Elongin-A3 member B OS=Homo sapiens OX=9606 GN=ELOA3B PE=2 SV=2
+MAAGSTTLRAVGKLQVRLATKTEPKKLEKYLQKLSALPMTADILAETGIRKTVKRLRKHQHVGDFARDLAARWKKLVLVDRNTGPDPQDPEESASRQRFGEALQEREKAWGFPENATAPRSPSHSPEHRRTARRTPPGQQRPHPRSPSREPRAERKRPRMAPADSGPHRDPPTRTAPLPMPEGPEPAVPGEQPGRGHAHAAQGGPLLGQGCQGQPQGEAVGSHSKGHKSSRGASAQKSPPVQESQSERLQAAGADSAGPKTVPSHVFSELWDPSEAWMQANYDLLSAFEAMTSQANPEALCAPALQEEAAFPGRRVNAKMPVYSGSRPACQLQVPTLRQQCLRVPRNNPDALGDVEGVPYSVLEPVLEGWTPDQLYRTEKDNAALARETDELWRIHCLQDFKEEKPQEHESWRELYLRLRDAREQRLRVVTTKIRSARENKPSGRQTKMICFNSVAKTPYDASRRQEKSAGAADPGNGEMEPAPKPAGSSQAPSGLGDGDGGSVSGGGSSNRHAAPADKTRKQAAKKVAPLMAKAIRDYKGRFSRR
+>DECOY_sp|Q3SY89|ELB3B_HUMAN Elongin-A3 member B OS=Homo sapiens OX=9606 GN=ELOA3B PE=2 SV=2
+RRSFRGKYDRIAKAMLPAVKKAAQKRTKDAPAAHRNSSGGGSVSGGDGDGLGSPAQSSGAPKPAPEMEGNGPDAAGASKEQRRSADYPTKAVSNFCIMKTQRGSPKNERASRIKTTVVRLRQERADRLRLYLERWSEHEQPKEEKFDQLCHIRWLEDTERALAANDKETRYLQDPTWGELVPELVSYPVGEVDGLADPNNRPVRLCQQRLTPVQLQCAPRSGSYVPMKANVRRGPFAAEEQLAPACLAEPNAQSTMAEFASLLDYNAQMWAESPDWLESFVHSPVTKPGASDAGAAQLRESQSEQVPPSKQASAGRSSKHGKSHSGVAEGQPQGQCGQGLLPGGQAAHAHGRGPQEGPVAPEPGEPMPLPATRTPPDRHPGSDAPAMRPRKREARPERSPSRPHPRQQGPPTRRATRRHEPSHSPSRPATANEPFGWAKEREQLAEGFRQRSASEEPDQPDPGTNRDVLVLKKWRAALDRAFDGVHQHKRLRKVTKRIGTEALIDATMPLASLKQLYKELKKPETKTALRVQLKGVARLTTSGAAM
+>sp|P32519|ELF1_HUMAN ETS-related transcription factor Elf-1 OS=Homo sapiens OX=9606 GN=ELF1 PE=1 SV=2
+MAAVVQQNDLVFEFASNVMEDERQLGDPAIFPAVIVEHVPGADILNSYAGLACVEEPNDMITESSLDVAEEEIIDDDDDDITLTVEASCHDGDETIETIEAAEALLNMDSPGPMLDEKRINNNIFSSPEDDMVVAPVTHVSVTLDGIPEVMETQQVQEKYADSPGASSPEQPKRKKGRKTKPPRPDSPATTPNISVKKKNKDGKGNTIYLWEFLLALLQDKATCPKYIKWTQREKGIFKLVDSKAVSRLWGKHKNKPDMNYETMGRALRYYYQRGILAKVEGQRLVYQFKEMPKDLIYINDEDPSSSIESSDPSLSSSATSNRNQTSRSRVSSSPGVKGGATTVLKPGNSKAAKPKDPVEVAQPSEVLRTVQPTQSPYPTQLFRTVHVVQPVQAVPEGEAARTSTMQDETLNSSVQSIRTIQAPTQVPVVVSPRNQQLHTVTLQTVPLTTVIASTDPSAGTGSQKFILQAIPSSQPMTVLKENVMLQSQKAGSPPSIVLGPAQVQQVLTSNVQTICNGTVSVASSPSFSATAPVVTFSPRSSQLVAHPPGTVITSVIKTQETKTLTQEVEKKESEDHLKENTEKTEQQPQPYVMVVSSSNGFTSQVAMKQNELLEPNSF
+>DECOY_sp|P32519|ELF1_HUMAN ETS-related transcription factor Elf-1 OS=Homo sapiens OX=9606 GN=ELF1 PE=1 SV=2
+FSNPELLENQKMAVQSTFGNSSSVVMVYPQPQQETKETNEKLHDESEKKEVEQTLTKTEQTKIVSTIVTGPPHAVLQSSRPSFTVVPATASFSPSSAVSVTGNCITQVNSTLVQQVQAPGLVISPPSGAKQSQLMVNEKLVTMPQSSPIAQLIFKQSGTGASPDTSAIVTTLPVTQLTVTHLQQNRPSVVVPVQTPAQITRISQVSSNLTEDQMTSTRAAEGEPVAQVPQVVHVTRFLQTPYPSQTPQVTRLVESPQAVEVPDKPKAAKSNGPKLVTTAGGKVGPSSSVRSRSTQNRNSTASSSLSPDSSEISSSPDEDNIYILDKPMEKFQYVLRQGEVKALIGRQYYYRLARGMTEYNMDPKNKHKGWLRSVAKSDVLKFIGKERQTWKIYKPCTAKDQLLALLFEWLYITNGKGDKNKKKVSINPTTAPSDPRPPKTKRGKKRKPQEPSSAGPSDAYKEQVQQTEMVEPIGDLTVSVHTVPAVVMDDEPSSFINNNIRKEDLMPGPSDMNLLAEAAEITEITEDGDHCSAEVTLTIDDDDDDIIEEEAVDLSSETIMDNPEEVCALGAYSNLIDAGPVHEVIVAPFIAPDGLQREDEMVNSAFEFVLDNQQVVAAM
+>sp|Q9UKW6|ELF5_HUMAN ETS-related transcription factor Elf-5 OS=Homo sapiens OX=9606 GN=ELF5 PE=1 SV=2
+MPSLPHSHRVMLDSVTHSTFLPNASFCDPLMSWTDLFSNEEYYPAFEHQTACDSYWTSVHPEYWTKRHVWEWLQFCCDQYKLDTNCISFCNFNISGLQLCSMTQEEFVEAAGLCGEYLYFILQNIRTQGYSFFNDAEESKATIKDYADSNCLKTSGIKSQDCHSHSRTSLQSSHLWEFVRDLLLSPEENCGILEWEDREQGIFRVVKSEALAKMWGQRKKNDRMTYEKLSRALRYYYKTGILERVDRRLVYKFGKNAHGWQEDKL
+>DECOY_sp|Q9UKW6|ELF5_HUMAN ETS-related transcription factor Elf-5 OS=Homo sapiens OX=9606 GN=ELF5 PE=1 SV=2
+LKDEQWGHANKGFKYVLRRDVRELIGTKYYYRLARSLKEYTMRDNKKRQGWMKALAESKVVRFIGQERDEWELIGCNEEPSLLLDRVFEWLHSSQLSTRSHSHCDQSKIGSTKLCNSDAYDKITAKSEEADNFFSYGQTRINQLIFYLYEGCLGAAEVFEEQTMSCLQLGSINFNCFSICNTDLKYQDCCFQLWEWVHRKTWYEPHVSTWYSDCATQHEFAPYYEENSFLDTWSMLPDCFSANPLFTSHTVSDLMVRHSHPLSPM
+>sp|P55199|ELL_HUMAN RNA polymerase II elongation factor ELL OS=Homo sapiens OX=9606 GN=ELL PE=1 SV=1
+MAALKEDRSYGLSCGRVSDGSKVSVFHVKLTDSALRAFESYRARQDSVSLRPSIRFQGSQGHISIPQPDCPAEARTFSFYLSNIGRDNPQGSFDCIQQYVSSHGEVHLDCLGSIQDKITVCATDDSYQKARQSMAQAEEETRSRSAIVIKAGGRYLGKKVQFRKPAPGATDAVPSRKRATPINLASAIRKSGASAVSGGSGVSQRPFRDRVLHLLALRPYRKAELLLRLQKDGLTQADKDALDGLLQQVANMSAKDGTCTLQDCMYKDVQKDWPGYSEGDQQLLKRVLVRKLCQPQSTGSLLGDPAASSPPGERGRSASPPQKRLQPPDFIDPLANKKPRISHFTQRAQPAVNGKLGVPNGREALLPTPGPPASTDTLSSSTHLPPRLEPPRAHDPLADVSNDLGHSGRDCEHGEAAAPAPTVRLGLPLLTDCAQPSRPHGSPSRSKPKKKSKKHKDKERAAEDKPRAQLPDCAPATHATPGAPADTPGLNGTCSVSSVPTSTSETPDYLLKYAAISSSEQRQSYKNDFNAEYSEYRDLHARIERITRRFTQLDAQLRQLSQGSEEYETTRGQILQEYRKIKKTNTNYSQEKHRCEYLHSKLAHIKRLIAEYDQRQLQAWP
+>DECOY_sp|P55199|ELL_HUMAN RNA polymerase II elongation factor ELL OS=Homo sapiens OX=9606 GN=ELL PE=1 SV=1
+PWAQLQRQDYEAILRKIHALKSHLYECRHKEQSYNTNTKKIKRYEQLIQGRTTEYEESGQSLQRLQADLQTFRRTIREIRAHLDRYESYEANFDNKYSQRQESSSIAAYKLLYDPTESTSTPVSSVSCTGNLGPTDAPAGPTAHTAPACDPLQARPKDEAAREKDKHKKSKKKPKSRSPSGHPRSPQACDTLLPLGLRVTPAPAAAEGHECDRGSHGLDNSVDALPDHARPPELRPPLHTSSSLTDTSAPPGPTPLLAERGNPVGLKGNVAPQARQTFHSIRPKKNALPDIFDPPQLRKQPPSASRGREGPPSSAAPDGLLSGTSQPQCLKRVLVRKLLQQDGESYGPWDKQVDKYMCDQLTCTGDKASMNAVQQLLGDLADKDAQTLGDKQLRLLLEAKRYPRLALLHLVRDRFPRQSVGSGGSVASAGSKRIASALNIPTARKRSPVADTAGPAPKRFQVKKGLYRGGAKIVIASRSRTEEEAQAMSQRAKQYSDDTACVTIKDQISGLCDLHVEGHSSVYQQICDFSGQPNDRGINSLYFSFTRAEAPCDPQPISIHGQSGQFRISPRLSVSDQRARYSEFARLASDTLKVHFVSVKSGDSVRGCSLGYSRDEKLAAM
+>sp|Q8NG57|ELOA3_HUMAN Elongin-A3 OS=Homo sapiens OX=9606 GN=ELOA3 PE=1 SV=2
+MAAGSTTLRAVGKLQVRLATKTEPKKLEKYLQKLSALPMTADILAETGIRKTVKRLRKHQHVGDFARDLAARWKKLVLVDRNTGPDPQDPEESASRQRFGEALQEREKAWGFPENATAPRSPSHSPEHRRTARRTPPGQQRPHPRSPSREPRAERKRPRMAPADSGPHRDPPTRTAPLPMPEGPEPAVPGEQPGRGHAHAAQGGPLLGQGCQGQPQGEAVGSHSKGHKSSRGASAQKSPPVQESQSERLQAAGADSAGPKTVPSHVFSELWDPSEAWMQANYDLLSAFEAMTSQANPEALSAPALQEEAAFPGRRVNAKMPVYSGSRPACQLQVPTLRQQCLRVPRNNPDALGDVEGVPYSVLEPVLEGWTPDQLYRTEKDNAALARETDELWRIHCLQDFKEEKPQEHESWRELYLRLRDAREQRLRVVTTKIRSARENKPSGRQTKMICFNSVAKTPYDASRRQEKSAGAADPGNGEMEPAPKPAGSSQAPSGLGDGDGGSVSGGGSSNRHAAPADKTRKQAAKKVAPLMAKAIRDYKGRFSRR
+>DECOY_sp|Q8NG57|ELOA3_HUMAN Elongin-A3 OS=Homo sapiens OX=9606 GN=ELOA3 PE=1 SV=2
+RRSFRGKYDRIAKAMLPAVKKAAQKRTKDAPAAHRNSSGGGSVSGGDGDGLGSPAQSSGAPKPAPEMEGNGPDAAGASKEQRRSADYPTKAVSNFCIMKTQRGSPKNERASRIKTTVVRLRQERADRLRLYLERWSEHEQPKEEKFDQLCHIRWLEDTERALAANDKETRYLQDPTWGELVPELVSYPVGEVDGLADPNNRPVRLCQQRLTPVQLQCAPRSGSYVPMKANVRRGPFAAEEQLAPASLAEPNAQSTMAEFASLLDYNAQMWAESPDWLESFVHSPVTKPGASDAGAAQLRESQSEQVPPSKQASAGRSSKHGKSHSGVAEGQPQGQCGQGLLPGGQAAHAHGRGPQEGPVAPEPGEPMPLPATRTPPDRHPGSDAPAMRPRKREARPERSPSRPHPRQQGPPTRRATRRHEPSHSPSRPATANEPFGWAKEREQLAEGFRQRSASEEPDQPDPGTNRDVLVLKKWRAALDRAFDGVHQHKRLRKVTKRIGTEALIDATMPLASLKQLYKELKKPETKTALRVQLKGVARLTTSGAAM
+>sp|Q15369|ELOC_HUMAN Elongin-C OS=Homo sapiens OX=9606 GN=ELOC PE=1 SV=1
+MDGEEKTYGGCEGPDAMYVKLISSDGHEFIVKREHALTSGTIKAMLSGPGQFAENETNEVNFREIPSHVLSKVCMYFTYKVRYTNSSTEIPEFPIAPEIALELLMAANFLDC
+>DECOY_sp|Q15369|ELOC_HUMAN Elongin-C OS=Homo sapiens OX=9606 GN=ELOC PE=1 SV=1
+CDLFNAAMLLELAIEPAIPFEPIETSSNTYRVKYTFYMCVKSLVHSPIERFNVENTENEAFQGPGSLMAKITGSTLAHERKVIFEHGDSSILKVYMADPGECGGYTKEEGDM
+>sp|Q9NXB9|ELOV2_HUMAN Elongation of very long chain fatty acids protein 2 OS=Homo sapiens OX=9606 GN=ELOVL2 PE=1 SV=2
+MEHLKAFDDEINAFLDNMFGPRDSRVRGWFMLDSYLPTFFLTVMYLLSIWLGNKYMKNRPALSLRGILTLYNLGITLLSAYMLAELILSTWEGGYNLQCQDLTSAGEADIRVAKVLWWYYFSKSVEFLDTIFFVLRKKTSQITFLHVYHHASMFNIWWCVLNWIPCGQSFFGPTLNSFIHILMYSYYGLSVFPSMHKYLWWKKYLTQAQLVQFVLTITHTMSAVVKPCGFPFGCLIFQSSYMLTLVILFLNFYVQTYRKKPMKKDMQEPPAGKEVKNGFSKAYFTAANGVMNKKAQ
+>DECOY_sp|Q9NXB9|ELOV2_HUMAN Elongation of very long chain fatty acids protein 2 OS=Homo sapiens OX=9606 GN=ELOVL2 PE=1 SV=2
+QAKKNMVGNAATFYAKSFGNKVEKGAPPEQMDKKMPKKRYTQVYFNLFLIVLTLMYSSQFILCGFPFGCPKVVASMTHTITLVFQVLQAQTLYKKWWLYKHMSPFVSLGYYSYMLIHIFSNLTPGFFSQGCPIWNLVCWWINFMSAHHYVHLFTIQSTKKRLVFFITDLFEVSKSFYYWWLVKAVRIDAEGASTLDQCQLNYGGEWTSLILEALMYASLLTIGLNYLTLIGRLSLAPRNKMYKNGLWISLLYMVTLFFTPLYSDLMFWGRVRSDRPGFMNDLFANIEDDFAKLHEM
+>sp|Q9GZR5|ELOV4_HUMAN Elongation of very long chain fatty acids protein 4 OS=Homo sapiens OX=9606 GN=ELOVL4 PE=1 SV=1
+MGLLDSEPGSVLNVVSTALNDTVEFYRWTWSIADKRVENWPLMQSPWPTLSISTLYLLFVWLGPKWMKDREPFQMRLVLIIYNFGMVLLNLFIFRELFMGSYNAGYSYICQSVDYSNNVHEVRIAAALWWYFVSKGVEYLDTVFFILRKKNNQVSFLHVYHHCTMFTLWWIGIKWVAGGQAFFGAQLNSFIHVIMYSYYGLTAFGPWIQKYLWWKRYLTMLQLIQFHVTIGHTALSLYTDCPFPKWMHWALIAYAISFIFLFLNFYIRTYKEPKKPKAGKTAMNGISANGVSKSEKQLMIENGKKQKNGKAKGD
+>DECOY_sp|Q9GZR5|ELOV4_HUMAN Elongation of very long chain fatty acids protein 4 OS=Homo sapiens OX=9606 GN=ELOVL4 PE=1 SV=1
+DGKAKGNKQKKGNEIMLQKESKSVGNASIGNMATKGAKPKKPEKYTRIYFNLFLFIFSIAYAILAWHMWKPFPCDTYLSLATHGITVHFQILQLMTLYRKWWLYKQIWPGFATLGYYSYMIVHIFSNLQAGFFAQGGAVWKIGIWWLTFMTCHHYVHLFSVQNNKKRLIFFVTDLYEVGKSVFYWWLAAAIRVEHVNNSYDVSQCIYSYGANYSGMFLERFIFLNLLVMGFNYIILVLRMQFPERDKMWKPGLWVFLLYLTSISLTPWPSQMLPWNEVRKDAISWTWRYFEVTDNLATSVVNLVSGPESDLLGM
+>sp|Q9NYP7|ELOV5_HUMAN Elongation of very long chain fatty acids protein 5 OS=Homo sapiens OX=9606 GN=ELOVL5 PE=1 SV=1
+MEHFDASLSTYFKALLGPRDTRVKGWFLLDNYIPTFICSVIYLLIVWLGPKYMRNKQPFSCRGILVVYNLGLTLLSLYMFCELVTGVWEGKYNFFCQGTRTAGESDMKIIRVLWWYYFSKLIEFMDTFFFILRKNNHQITVLHVYHHASMLNIWWFVMNWVPCGHSYFGATLNSFIHVLMYSYYGLSSVPSMRPYLWWKKYITQGQLLQFVLTIIQTSCGVIWPCTFPLGWLYFQIGYMISLIALFTNFYIQTYNKKGASRRKDHLKDHQNGSMAAVNGHTNSFSPLENNVKPRKLRKD
+>DECOY_sp|Q9NYP7|ELOV5_HUMAN Elongation of very long chain fatty acids protein 5 OS=Homo sapiens OX=9606 GN=ELOVL5 PE=1 SV=1
+DKRLKRPKVNNELPSFSNTHGNVAAMSGNQHDKLHDKRRSAGKKNYTQIYFNTFLAILSIMYGIQFYLWGLPFTCPWIVGCSTQIITLVFQLLQGQTIYKKWWLYPRMSPVSSLGYYSYMLVHIFSNLTAGFYSHGCPVWNMVFWWINLMSAHHYVHLVTIQHNNKRLIFFFTDMFEILKSFYYWWLVRIIKMDSEGATRTGQCFFNYKGEWVGTVLECFMYLSLLTLGLNYVVLIGRCSFPQKNRMYKPGLWVILLYIVSCIFTPIYNDLLFWGKVRTDRPGLLAKFYTSLSADFHEM
+>sp|A1L3X0|ELOV7_HUMAN Elongation of very long chain fatty acids protein 7 OS=Homo sapiens OX=9606 GN=ELOVL7 PE=1 SV=1
+MAFSDLTSRTVHLYDNWIKDADPRVEDWLLMSSPLPQTILLGFYVYFVTSLGPKLMENRKPFELKKAMITYNFFIVLFSVYMCYEFVMSGWGIGYSFRCDIVDYSRSPTALRMARTCWLYYFSKFIELLDTIFFVLRKKNSQVTFLHVFHHTIMPWTWWFGVKFAAGGLGTFHALLNTAVHVVMYSYYGLSALGPAYQKYLWWKKYLTSLQLVQFVIVAIHISQFFFMEDCKYQFPVFACIIMSYSFMFLLLFLHFWYRAYTKGQRLPKTVKNGTCKNKDN
+>DECOY_sp|A1L3X0|ELOV7_HUMAN Elongation of very long chain fatty acids protein 7 OS=Homo sapiens OX=9606 GN=ELOVL7 PE=1 SV=1
+NDKNKCTGNKVTKPLRQGKTYARYWFHLFLLLFMFSYSMIICAFVPFQYKCDEMFFFQSIHIAVIVFQVLQLSTLYKKWWLYKQYAPGLASLGYYSYMVVHVATNLLAHFTGLGGAAFKVGFWWTWPMITHHFVHLFTVQSNKKRLVFFITDLLEIFKSFYYLWCTRAMRLATPSRSYDVIDCRFSYGIGWGSMVFEYCMYVSFLVIFFNYTIMAKKLEFPKRNEMLKPGLSTVFYVYFGLLITQPLPSSMLLWDEVRPDADKIWNDYLHVTRSTLDSFAM
+>sp|Q9NT22|EMIL3_HUMAN EMILIN-3 OS=Homo sapiens OX=9606 GN=EMILIN3 PE=1 SV=2
+MGRRRLLVWLCAVAALLSGAQARGTPLLARPAPPGASRYSLYTTGWRPRLRPGPHKALCAYVVHRNVTCILQEGAESYVKAEYRQCRWGPKCPGTVTYRTVLRPKYKVGYKTVTDLAWRCCPGFTGKRCPEHLTDHGAASPQLEPEPQIPSGQLDPGPRPPSYSRAAPSPHGRKGPGLFGERLERLEGDVQRLAQTYGTLSGLVASHEDPNRMTGGPRAPAVPVGFGVIPEGLVGPGDRARGPLTPPLDEILSKVTEVSNTLQTKVQLLDKVHGLALGHEAHLQRLREAPPSPLTSLALLEEYVDRRLHRLWGSLLDGFEQKLQGVQSECDLRVQEVRRQCEEGQAASRRLHQSLDGRELALRQELSQLGSQLQGLSVSGRGSCCGQLALINARMDGLERALQAVTETQRGPGAPAGDELTRLSAAMLEGGVDGLLEGLETLNGTEGGARGCCLRLDMGGWGVGGFGTMLEERVQSLEERLATLAGELSHDSASPGRSARPLVQTELAVLEQRLVSLETSCTPSTTSAILDSLVAEVKAWQSRSEALLRQVASHAALLQQLNGTVAEVQGQLAEGTGSSLQGEITLLKVNLNSVSKSLTGLSDSVSQYSDAFLAANTSLDERERKVEAEVQAIQEQVSSQGSRLQAGHRQVLNLRGELEQLKAGVAKVASGLSRCQDTAQKLQHTVGHFDQRVAQVEGACRRLGLLAAGLDSLPTEPLRPREGLWSHVDQLNRTLAQHTQDIARLRDDLLDCQAQLAEQVRPGQAN
+>DECOY_sp|Q9NT22|EMIL3_HUMAN EMILIN-3 OS=Homo sapiens OX=9606 GN=EMILIN3 PE=1 SV=2
+NAQGPRVQEALQAQCDLLDDRLRAIDQTHQALTRNLQDVHSWLGERPRLPETPLSDLGAALLGLRRCAGEVQAVRQDFHGVTHQLKQATDQCRSLGSAVKAVGAKLQELEGRLNLVQRHGAQLRSGQSSVQEQIAQVEAEVKREREDLSTNAALFADSYQSVSDSLGTLSKSVSNLNVKLLTIEGQLSSGTGEALQGQVEAVTGNLQQLLAAHSAVQRLLAESRSQWAKVEAVLSDLIASTTSPTCSTELSVLRQELVALETQVLPRASRGPSASDHSLEGALTALREELSQVREELMTGFGGVGWGGMDLRLCCGRAGGETGNLTELGELLGDVGGELMAASLRTLEDGAPAGPGRQTETVAQLARELGDMRANILALQGCCSGRGSVSLGQLQSGLQSLEQRLALERGDLSQHLRRSAAQGEECQRRVEQVRLDCESQVGQLKQEFGDLLSGWLRHLRRDVYEELLALSTLPSPPAERLRQLHAEHGLALGHVKDLLQVKTQLTNSVETVKSLIEDLPPTLPGRARDGPGVLGEPIVGFGVPVAPARPGGTMRNPDEHSAVLGSLTGYTQALRQVDGELRELREGFLGPGKRGHPSPAARSYSPPRPGPDLQGSPIQPEPELQPSAAGHDTLHEPCRKGTFGPCCRWALDTVTKYGVKYKPRLVTRYTVTGPCKPGWRCQRYEAKVYSEAGEQLICTVNRHVVYACLAKHPGPRLRPRWGTTYLSYRSAGPPAPRALLPTGRAQAGSLLAAVACLWVLLRRRGM
+>sp|Q04741|EMX1_HUMAN Homeobox protein EMX1 OS=Homo sapiens OX=9606 GN=EMX1 PE=1 SV=2
+MFQPAAKRGFTIESLVAKDGGTGGGTGGGGAGSHLLAAAASEEPLRPTALNYPHPSAAEAAFVSGFPAAAAAGAGRSLYGGPELVFPEAMNHPALTVHPAHQLGASPLQPPHSFFGAQHRDPLHFYPWVLRNRFFGHRFQASDVPQDGLLLHGPFARKPKRIRTAFSPSQLLRLERAFEKNHYVVGAERKQLAGSLSLSETQVKVWFQNRRTKYKRQKLEEEGPESEQKKKGSHHINRWRIATKQANGEDIDVTSND
+>DECOY_sp|Q04741|EMX1_HUMAN Homeobox protein EMX1 OS=Homo sapiens OX=9606 GN=EMX1 PE=1 SV=2
+DNSTVDIDEGNAQKTAIRWRNIHHSGKKKQESEPGEEELKQRKYKTRRNQFWVKVQTESLSLSGALQKREAGVVYHNKEFARELRLLQSPSFATRIRKPKRAFPGHLLLGDQPVDSAQFRHGFFRNRLVWPYFHLPDRHQAGFFSHPPQLPSAGLQHAPHVTLAPHNMAEPFVLEPGGYLSRGAGAAAAAPFGSVFAAEAASPHPYNLATPRLPEESAAAALLHSGAGGGGTGGGTGGDKAVLSEITFGRKAAPQFM
+>sp|Q9NRM1|ENAM_HUMAN Enamelin OS=Homo sapiens OX=9606 GN=ENAM PE=1 SV=3
+MLVLRCRLGTSFPKLDNLVPKGKMKILLVFLGLLGNSVAMPMHMPRMPGFSSKSEEMMRYNQFNFMNGPHMAHLGPFFGNGLPQQFPQYQMPMWPQPPPNTWHPRKSSAPKRHNKTDQTQETQKPNQTQSKKPPQKRPLKQPSHNQPQPEEEAQPPQAFPPFGNGLFPYQQPPWQIPQRLPPPGYGRPPISNEEGGNPYFGYFGYHGFGGRPPYYSEEMFEQDFEKPKEEDPPKAESPGTEPTANSTVTETNSTQPNPKGSQGGNDTSPTGNSTPGLNTGNNPPAQNGIGPLPAVNASGQGGPGSQIPWRPSQPNIRENHPYPNIRNFPSGRQWYFTGTVMGHRQNRPFYRNQQVQRGPRWNFFAWERKQVARPGNPVYHKAYPPTSRGNYPNYAGNPANLRRKPQGPNKHPVGTTVAPLGPKPGPVVRNEKIQNPKEKPLGPKEQIIVPTKNPTSPWRNSQQYEVNKSNYKLPHSEGYMPVPNFNSVDQHENSYYPRGDSRKVPNSDGQTQSQNLPKGIVLGSRRMPYESETNQSELKHSSYQPAVYPEEIPSPAKEHFPAGRNTWDHQEISPPFKEDPGRQEEHLPHPSHGSRGSVFYPEYNPYDPRENSPYLRGNTWDERDDSPNTMGQKESPLYPINTPDQKEIVPYNEEDPVDPTGDEVFPGQNRWGEELSFKGGPTVRHYEGEQYTSNQPKEYLPYSLDNPSKPREDFYYSEFYPWSPDENFPSYNTASTMPPPIESRGYYVNNAAGPEESTLFPSRNSWDHRIQAQGQRERRPYFNRNIWDQATHLQKAPARPPDQKGNQPYYSNTPAGLQKNPIWHEGENLNYGMQITRMNSPEREHSSFPNFIPPSYPSGQKEAHLFHLSQRGSCCAGSSTGPKDNPLALQDYTPSYGLAPGENQDTSPLYTDGSHTKQTRDIISPTSILPGQRNSSEKRESQNPFRDDVSTLRRNTPCSIKNQLGQKEIMPFPEASSLQSKNTPCLKNDLGGDGNNILEQVFEDNQLNERTVDLTPEQLVIGTPDEGSNPEGIQSQVQENESERQQQRPSNILHLPCFGSKLAKHHSSTTGTPSSDGRQSPFDGDSITPTENPNTLVELATEEQFKSINVDPLDADEHSPFEFLQRGTNVQDQVQDCLLLQA
+>DECOY_sp|Q9NRM1|ENAM_HUMAN Enamelin OS=Homo sapiens OX=9606 GN=ENAM PE=1 SV=3
+AQLLLCDQVQDQVNTGRQLFEFPSHEDADLPDVNISKFQEETALEVLTNPNETPTISDGDFPSQRGDSSPTGTTSSHHKALKSGFCPLHLINSPRQQQRESENEQVQSQIGEPNSGEDPTGIVLQEPTLDVTRENLQNDEFVQELINNGDGGLDNKLCPTNKSQLSSAEPFPMIEKQGLQNKISCPTNRRLTSVDDRFPNQSERKESSNRQGPLISTPSIIDRTQKTHSGDTYLPSTDQNEGPALGYSPTYDQLALPNDKPGTSSGACCSGRQSLHFLHAEKQGSPYSPPIFNPFSSHEREPSNMRTIQMGYNLNEGEHWIPNKQLGAPTNSYYPQNGKQDPPRAPAKQLHTAQDWINRNFYPRRERQGQAQIRHDWSNRSPFLTSEEPGAANNVYYGRSEIPPPMTSATNYSPFNEDPSWPYFESYYFDERPKSPNDLSYPLYEKPQNSTYQEGEYHRVTPGGKFSLEEGWRNQGPFVEDGTPDVPDEENYPVIEKQDPTNIPYLPSEKQGMTNPSDDREDWTNGRLYPSNERPDYPNYEPYFVSGRSGHSPHPLHEEQRGPDEKFPPSIEQHDWTNRGAPFHEKAPSPIEEPYVAPQYSSHKLESQNTESEYPMRRSGLVIGKPLNQSQTQGDSNPVKRSDGRPYYSNEHQDVSNFNPVPMYGESHPLKYNSKNVEYQQSNRWPSTPNKTPVIIQEKPGLPKEKPNQIKENRVVPGPKPGLPAVTTGVPHKNPGQPKRRLNAPNGAYNPYNGRSTPPYAKHYVPNGPRAVQKREWAFFNWRPGRQVQQNRYFPRNQRHGMVTGTFYWQRGSPFNRINPYPHNERINPQSPRWPIQSGPGGQGSANVAPLPGIGNQAPPNNGTNLGPTSNGTPSTDNGGQSGKPNPQTSNTETVTSNATPETGPSEAKPPDEEKPKEFDQEFMEESYYPPRGGFGHYGFYGFYPNGGEENSIPPRGYGPPPLRQPIQWPPQQYPFLGNGFPPFAQPPQAEEEPQPQNHSPQKLPRKQPPKKSQTQNPKQTEQTQDTKNHRKPASSKRPHWTNPPPQPWMPMQYQPFQQPLGNGFFPGLHAMHPGNMFNFQNYRMMEESKSSFGPMRPMHMPMAVSNGLLGLFVLLIKMKGKPVLNDLKPFSTGLRCRLVLM
+>sp|Q6UWT2|ENHO_HUMAN Adropin OS=Homo sapiens OX=9606 GN=ENHO PE=2 SV=2
+MGAAISQGALIAIVCNGLVGFLLLLLWVILCWACHSRSADVDSLSESSPNSSPGPCPEKAPPPQKPSHEGSYLLQP
+>DECOY_sp|Q6UWT2|ENHO_HUMAN Adropin OS=Homo sapiens OX=9606 GN=ENHO PE=2 SV=2
+PQLLYSGEHSPKQPPPAKEPCPGPSSNPSSESLSDVDASRSHCAWCLIVWLLLLLFGVLGNCVIAILAGQSIAAGM
+>sp|O42043|ENK18_HUMAN Endogenous retrovirus group K member 18 Env polyprotein OS=Homo sapiens OX=9606 GN=ERVK-18 PE=1 SV=2
+MVTPVTWMDNPIEVYVNDSVWVPGPTDDRCPAKPEEEGMMINISIGYHYPPICLGRAPGCLMPAVQNWLVEVPTVSPNSRFTYHMVSGMSLRPRVNCLQDFSYQRSLKFRPKGKTCPKEIPKGSKNTEVLVWEECVANSVVILQNNEFGTIIDWAPRGQFYHNCSGQTQSCPSAQVSPAVDSDLTESLDKHKHKKLQSFYLWEWEEKGISTPRPKIISPVSGPEHPELWRLTVASHHIRIWSGNQTLETRYRKPFYTIDLNSILTVPLQSCVKPPYMLVVGNIVIKPASQTITCENCRLFTCIDSTFNWQHRILLVRAREGMWIPVSTDRPWEASPSIHILTEILKGVLNRSKRFIFTLIAVIMGLIAVTATAAVAGVALHSSVQSVNFVNYWQKNSTRLWNSQSSIDQKLASQINDLRQTVIWMGDRLMTLEHHFQLQCDWNTSDFCITPQIYNESEHHWDMVRRHLQGREDNLTLDISKLKEQIFEASKAHLNLVPGTEAIAGVADGLANLNPVTWIKTIRSTMIINLILIVVCLFCLLLVCRCTQQLRRDSDIENGP
+>DECOY_sp|O42043|ENK18_HUMAN Endogenous retrovirus group K member 18 Env polyprotein OS=Homo sapiens OX=9606 GN=ERVK-18 PE=1 SV=2
+PGNEIDSDRRLQQTCRCVLLLCFLCVVILILNIIMTSRITKIWTVPNLNALGDAVGAIAETGPVLNLHAKSAEFIQEKLKSIDLTLNDERGQLHRRVMDWHHESENYIQPTICFDSTNWDCQLQFHHELTMLRDGMWIVTQRLDNIQSALKQDISSQSNWLRTSNKQWYNVFNVSQVSSHLAVGAVAATATVAILGMIVAILTFIFRKSRNLVGKLIETLIHISPSAEWPRDTSVPIWMGERARVLLIRHQWNFTSDICTFLRCNECTITQSAPKIVINGVVLMYPPKVCSQLPVTLISNLDITYFPKRYRTELTQNGSWIRIHHSAVTLRWLEPHEPGSVPSIIKPRPTSIGKEEWEWLYFSQLKKHKHKDLSETLDSDVAPSVQASPCSQTQGSCNHYFQGRPAWDIITGFENNQLIVVSNAVCEEWVLVETNKSGKPIEKPCTKGKPRFKLSRQYSFDQLCNVRPRLSMGSVMHYTFRSNPSVTPVEVLWNQVAPMLCGPARGLCIPPYHYGISINIMMGEEEPKAPCRDDTPGPVWVSDNVYVEIPNDMWTVPTVM
+>sp|P61566|ENK24_HUMAN Endogenous retrovirus group K member 24 Env polyprotein OS=Homo sapiens OX=9606 GN=ERVK-24 PE=2 SV=1
+MVTPVTWMDNPIEVYVNDSEWVPGPTDDRCPAKPEEEGMMINISIGYRYPPICLGTAPGCLMPAVQNWLVEVPIVSPISRFTYHMVSGMSLRPRVNYLQDFPYQRSLKFRPKGKPCPKEIPKESKNTEVLVWEECVANSAVILQNNEFGTIIDWAPRGQFYHNCSGQTQSCPSAQVSPAVDSDLTESLDKHKHKKLQSFYPWEWGEKGISTPRPKIISPVSGPEHPELWRLTVASHHIRIWSGNQTLETRDRKPFYTVDLNSSLTLPLQSCVKPPYMLVVGNIVIKPDSQTITCENCRLLTCIDSTFNWQHRILLVRAREGVWILVSMDRPWEASPSVHILTEVLKGVLNRSKRFIFTLIAVIMGLIAVTATGAVAGVALHSSVQSVNFVNDWQKNSTRLWNSQSSIDQKLANQINDLRQTVIWMGDRLMSLEHRFQLQCDWNTSDFCITPQIYNESEHHWDMVRHHLQGREDNLTLDISKLKEQIFEASKAHLNLVPGTEAIAGVADGLANLNPVTWVKTIGSTTIINLILILVCLFCLLLVCRCTQQLRRDSDHRERAMMTMAVLSKRKGGNVGKSKRDQIVTVSV
+>DECOY_sp|P61566|ENK24_HUMAN Endogenous retrovirus group K member 24 Env polyprotein OS=Homo sapiens OX=9606 GN=ERVK-24 PE=2 SV=1
+VSVTVIQDRKSKGVNGGKRKSLVAMTMMARERHDSDRRLQQTCRCVLLLCFLCVLILILNIITTSGITKVWTVPNLNALGDAVGAIAETGPVLNLHAKSAEFIQEKLKSIDLTLNDERGQLHHRVMDWHHESENYIQPTICFDSTNWDCQLQFRHELSMLRDGMWIVTQRLDNIQNALKQDISSQSNWLRTSNKQWDNVFNVSQVSSHLAVGAVAGTATVAILGMIVAILTFIFRKSRNLVGKLVETLIHVSPSAEWPRDMSVLIWVGERARVLLIRHQWNFTSDICTLLRCNECTITQSDPKIVINGVVLMYPPKVCSQLPLTLSSNLDVTYFPKRDRTELTQNGSWIRIHHSAVTLRWLEPHEPGSVPSIIKPRPTSIGKEGWEWPYFSQLKKHKHKDLSETLDSDVAPSVQASPCSQTQGSCNHYFQGRPAWDIITGFENNQLIVASNAVCEEWVLVETNKSEKPIEKPCPKGKPRFKLSRQYPFDQLYNVRPRLSMGSVMHYTFRSIPSVIPVEVLWNQVAPMLCGPATGLCIPPYRYGISINIMMGEEEPKAPCRDDTPGPVWESDNVYVEIPNDMWTVPTVM
+>sp|Q902F8|ENK8_HUMAN Endogenous retrovirus group K member 8 Env polyprotein OS=Homo sapiens OX=9606 GN=ERVK-8 PE=1 SV=1
+MNPSEMQRKAPPRRRRHRNRAPLTHKMNKMVTSEEQMKLPSTKKAEPPTWAQLKKLTQLATKYLENTKVTQTPESMLLAALMIVSMVVSLPMPAGAAVANYTNWAYVPFPPLIRAVTWMDNPIEVYVNDSVWVPGPIDDRCPAKPEEEGMMINISIGYRYPPICLGRAPGCLMPAVQNWLVEVPTVSPISRFTYHMVSGMSLRPRVNYLQDFSYQRSLKFRPKGKPCPKEIPKESKNTEVLVWEECVANSAVILQNNEFGTIIDWAPRGQFYHNCSGQTQSCPSAQVSPAVDSDLTESLDKHKHKKLQSFYPWEWGEKRISTPRPKIVSPVSGPEHPELWRLTVASHHIRIWSGNQTLETRDRKPFYTVDLNSSLTLPLQSCVKPPYMLVVGNIVIKPDSQTITCENCRLLTCIDSTFNWQHRILLVRAREGVWIPVSMDRPWEASPSVHILTEVLKGVLNRSKRFIFTLIAVIMGLIAVTATAAVAGVALHSSVQSVNFVNDGQKNSTRLWNSQSSIDQKLANQINDLRQTVIWMGDRLMSLEHRFQLQCDWNTSDFCITPQIYNDSEHHWDMVRRHLQGREDNLTLDISKLKEQIFEASKAHLNLVPGTEAIAGVADGLANLNPVTWVKTIGSTTIINLILILVCLFCLLLVCRCTQQLRRDSDHRERAMMTMAVLSKRKGGNVGKSKRDQIVTVSV
+>DECOY_sp|Q902F8|ENK8_HUMAN Endogenous retrovirus group K member 8 Env polyprotein OS=Homo sapiens OX=9606 GN=ERVK-8 PE=1 SV=1
+VSVTVIQDRKSKGVNGGKRKSLVAMTMMARERHDSDRRLQQTCRCVLLLCFLCVLILILNIITTSGITKVWTVPNLNALGDAVGAIAETGPVLNLHAKSAEFIQEKLKSIDLTLNDERGQLHRRVMDWHHESDNYIQPTICFDSTNWDCQLQFRHELSMLRDGMWIVTQRLDNIQNALKQDISSQSNWLRTSNKQGDNVFNVSQVSSHLAVGAVAATATVAILGMIVAILTFIFRKSRNLVGKLVETLIHVSPSAEWPRDMSVPIWVGERARVLLIRHQWNFTSDICTLLRCNECTITQSDPKIVINGVVLMYPPKVCSQLPLTLSSNLDVTYFPKRDRTELTQNGSWIRIHHSAVTLRWLEPHEPGSVPSVIKPRPTSIRKEGWEWPYFSQLKKHKHKDLSETLDSDVAPSVQASPCSQTQGSCNHYFQGRPAWDIITGFENNQLIVASNAVCEEWVLVETNKSEKPIEKPCPKGKPRFKLSRQYSFDQLYNVRPRLSMGSVMHYTFRSIPSVTPVEVLWNQVAPMLCGPARGLCIPPYRYGISINIMMGEEEPKAPCRDDIPGPVWVSDNVYVEIPNDMWTVARILPPFPVYAWNTYNAVAAGAPMPLSVVMSVIMLAALLMSEPTQTVKTNELYKTALQTLKKLQAWTPPEAKKTSPLKMQEESTVMKNMKHTLPARNRHRRRRPPAKRQMESPNM
+>sp|Q8TC29|ENKUR_HUMAN Enkurin OS=Homo sapiens OX=9606 GN=ENKUR PE=1 SV=1
+MDPTCSSECIYNLIPSDLKEPPQPPRYISIFKATVKDDMQKAKTAMKTMGPAKVEVPSPKDFLKKHSKEKTLPPKKNFDRNVPKKPAVPLKTDHPVMGIQSGKNFINTNAADIIMGVAKKPKPIYVDKRTGDKHDLEPSGLVPKYINKKDYGVTPEYICKRNEEIKKAQEDYDRYIQENLKKAAMKRLSDEEREAVLQGLKKNWEEVHKEFQSLSVFIDSIPKKIRKQRLEEEMKQLEHDIGIIEKHKIIYIANNA
+>DECOY_sp|Q8TC29|ENKUR_HUMAN Enkurin OS=Homo sapiens OX=9606 GN=ENKUR PE=1 SV=1
+ANNAIYIIKHKEIIGIDHELQKMEEELRQKRIKKPISDIFVSLSQFEKHVEEWNKKLGQLVAEREEDSLRKMAAKKLNEQIYRDYDEQAKKIEENRKCIYEPTVGYDKKNIYKPVLGSPELDHKDGTRKDVYIPKPKKAVGMIIDAANTNIFNKGSQIGMVPHDTKLPVAPKKPVNRDFNKKPPLTKEKSHKKLFDKPSPVEVKAPGMTKMATKAKQMDDKVTAKFISIYRPPQPPEKLDSPILNYICESSCTPDM
+>sp|Q16206|ENOX2_HUMAN Ecto-NOX disulfide-thiol exchanger 2 OS=Homo sapiens OX=9606 GN=ENOX2 PE=1 SV=2
+MQRDFRWLWVYEIGYAADNSRTLNVDSTAMTLPMSDPTAWATAMNNLGMAPLGIAGQPILPDFDPALGMMTGIPPITPMMPGLGIVPPPIPPDMPVVKEIIHCKSCTLFPPNPNLPPPATRERPPGCKTVFVGGLPENGTEQIIVEVFEQCGEIIAIRKSKKNFCHIRFAEEYMVDKALYLSGYRIRLGSSTDKKDTGRLHVDFAQARDDLYEWECKQRMLAREERHRRRMEEERLRPPSPPPVVHYSDHECSIVAEKLKDDSKFSEAVQTLLTWIERGEVNRRSANNFYSMIQSANSHVRRLVNEKAAHEKDMEEAKEKFKQALSGILIQFEQIVAVYHSASKQKAWDHFTKAQRKNISVWCKQAEEIRNIHNDELMGIRREEEMEMSDDEIEEMTETKETEESALVSQAEALKEENDSLRWQLDAYRNEVELLKQEQGKVHREDDPNKEQQLKLLQQALQGMQQHLLKVQEEYKKKEAELEKLKDDKLQVEKMLENLKEKESCASRLCASNQDSEYPLEKTMNSSPIKSEREALLVGIISTFLHVHPFGASIEYICSYLHRLDNKICTSDVECLMGRLQHTFKQEMTGVGASLEKRWKFCGFEGLKLT
+>DECOY_sp|Q16206|ENOX2_HUMAN Ecto-NOX disulfide-thiol exchanger 2 OS=Homo sapiens OX=9606 GN=ENOX2 PE=1 SV=2
+TLKLGEFGCFKWRKELSAGVGTMEQKFTHQLRGMLCEVDSTCIKNDLRHLYSCIYEISAGFPHVHLFTSIIGVLLAERESKIPSSNMTKELPYESDQNSACLRSACSEKEKLNELMKEVQLKDDKLKELEAEKKKYEEQVKLLHQQMGQLAQQLLKLQQEKNPDDERHVKGQEQKLLEVENRYADLQWRLSDNEEKLAEAQSVLASEETEKTETMEEIEDDSMEMEEERRIGMLEDNHINRIEEAQKCWVSINKRQAKTFHDWAKQKSASHYVAVIQEFQILIGSLAQKFKEKAEEMDKEHAAKENVLRRVHSNASQIMSYFNNASRRNVEGREIWTLLTQVAESFKSDDKLKEAVISCEHDSYHVVPPPSPPRLREEEMRRRHREERALMRQKCEWEYLDDRAQAFDVHLRGTDKKDTSSGLRIRYGSLYLAKDVMYEEAFRIHCFNKKSKRIAIIEGCQEFVEVIIQETGNEPLGGVFVTKCGPPRERTAPPPLNPNPPFLTCSKCHIIEKVVPMDPPIPPPVIGLGPMMPTIPPIGTMMGLAPDFDPLIPQGAIGLPAMGLNNMATAWATPDSMPLTMATSDVNLTRSNDAAYGIEYVWLWRFDRQM
+>sp|Q6UWV6|ENPP7_HUMAN Ectonucleotide pyrophosphatase/phosphodiesterase family member 7 OS=Homo sapiens OX=9606 GN=ENPP7 PE=1 SV=3
+MRGLAVLLTVALATLLAPGAGAPVQSQGSQNKLLLVSFDGFRWNYDQDVDTPNLDAMARDGVKARYMTPAFVTMTSPCHFTLVTGKYIENHGVVHNMYYNTTSKVKLPYHATLGIQRWWDNGSVPIWITAQRQGLRAGSFFYPGGNVTYQGVAVTRSRKEGIAHNYKNETEWRANIDTVMAWFTEEDLDLVTLYFGEPDSTGHRYGPESPERREMVRQVDRTVGYLRESIARNHLTDRLNLIITSDHGMTTVDKRAGDLVEFHKFPNFTFRDIEFELLDYGPNGMLLPKEGRLEKVYDALKDAHPKLHVYKKEAFPEAFHYANNPRVTPLLMYSDLGYVIHGRINVQFNNGEHGFDNKDMDMKTIFRAVGPSFRAGLEVEPFESVHVYELMCRLLGIVPEANDGHLATLLPMLHTESALPPDGRPTLLPKGRSALPPSSRPLLVMGLLGTVILLSEVA
+>DECOY_sp|Q6UWV6|ENPP7_HUMAN Ectonucleotide pyrophosphatase/phosphodiesterase family member 7 OS=Homo sapiens OX=9606 GN=ENPP7 PE=1 SV=3
+AVESLLIVTGLLGMVLLPRSSPPLASRGKPLLTPRGDPPLASETHLMPLLTALHGDNAEPVIGLLRCMLEYVHVSEFPEVELGARFSPGVARFITKMDMDKNDFGHEGNNFQVNIRGHIVYGLDSYMLLPTVRPNNAYHFAEPFAEKKYVHLKPHADKLADYVKELRGEKPLLMGNPGYDLLEFEIDRFTFNPFKHFEVLDGARKDVTTMGHDSTIILNLRDTLHNRAISERLYGVTRDVQRVMERREPSEPGYRHGTSDPEGFYLTVLDLDEETFWAMVTDINARWETENKYNHAIGEKRSRTVAVGQYTVNGGPYFFSGARLGQRQATIWIPVSGNDWWRQIGLTAHYPLKVKSTTNYYMNHVVGHNEIYKGTVLTFHCPSTMTVFAPTMYRAKVGDRAMADLNPTDVDQDYNWRFGDFSVLLLKNQSGQSQVPAGAGPALLTALAVTLLVALGRM
+>sp|Q8IYW4|ENTD1_HUMAN ENTH domain-containing protein 1 OS=Homo sapiens OX=9606 GN=ENTHD1 PE=2 SV=1
+MAFRRQVKNFVKNYSDAEIKVREATSNDPWGPSSSLMLDISDLTFNTISLSEIMNMLWHRLNDHGKNWRHVYKSLTLMDYLIKNGSKKVIQHCREGFCNLQTLKDFQHIDEAGKDQGYYIREKSKQVITLLMDEPLLCKEREVACRTRQRTSHSILFSKRQLGSSNSLTACTSAPTPDISASEKKYKLPKFGRLHNKRNVCKAGLKQEHCQDVHLPTETMLSQETLPLKIHGWKSTEDLMTFLDDDPELPLLATPPSIVSPITCLSEAEEVCNLSGADAVPTLSENSPSGQRDVSLDKRSDGIFTNTVTENLLETPLEKQSAAEGLKTLTILPACWSSKEEFISPDLRVSKSDSTFHNQASVETLCLSPSFKIFDRVKEIVINKAYQKPAQSSIQMDDKILKTTTRVSTASEGASSFSPLSMSSPDLASPEKSAHLLSPILAGPSFWTLSHQQLSSTSFKDEDKTAKLHHSFASRGPVSSDVEENDSLNLLGILPNNSDSAKKNISHISSSHWGEFSTQNVDQFIPLSCSGFQSTKDFPQEPEAKNSISVLLREVKRAIARLHEDLSTVIQELNVINNILMSMSLNSSQISQSSQVPQSSEGSSDQI
+>DECOY_sp|Q8IYW4|ENTD1_HUMAN ENTH domain-containing protein 1 OS=Homo sapiens OX=9606 GN=ENTHD1 PE=2 SV=1
+IQDSSGESSQPVQSSQSIQSSNLSMSMLINNIVNLEQIVTSLDEHLRAIARKVERLLVSISNKAEPEQPFDKTSQFGSCSLPIFQDVNQTSFEGWHSSSIHSINKKASDSNNPLIGLLNLSDNEEVDSSVPGRSAFSHHLKATKDEDKFSTSSLQQHSLTWFSPGALIPSLLHASKEPSALDPSSMSLPSFSSAGESATSVRTTTKLIKDDMQISSQAPKQYAKNIVIEKVRDFIKFSPSLCLTEVSAQNHFTSDSKSVRLDPSIFEEKSSWCAPLITLTKLGEAASQKELPTELLNETVTNTFIGDSRKDLSVDRQGSPSNESLTPVADAGSLNCVEEAESLCTIPSVISPPTALLPLEPDDDLFTMLDETSKWGHIKLPLTEQSLMTETPLHVDQCHEQKLGAKCVNRKNHLRGFKPLKYKKESASIDPTPASTCATLSNSSGLQRKSFLISHSTRQRTRCAVEREKCLLPEDMLLTIVQKSKERIYYGQDKGAEDIHQFDKLTQLNCFGERCHQIVKKSGNKILYDMLTLSKYVHRWNKGHDNLRHWLMNMIESLSITNFTLDSIDLMLSSSPGWPDNSTAERVKIEADSYNKVFNKVQRRFAM
+>sp|O75354|ENTP6_HUMAN Ectonucleoside triphosphate diphosphohydrolase 6 OS=Homo sapiens OX=9606 GN=ENTPD6 PE=1 SV=3
+MKKGIRYETSRKTSYIFQQPQHGPWQTRMRKISNHGSLRVAKVAYPLGLCVGVFIYVAYIKWHRATATQAFFSITRAAPGARWGQQAHSPLGTAADGHEVFYGIMFDAGSTGTRVHVFQFTRPPRETPTLTHETFKALKPGLSAYADDVEKSAQGIRELLDVAKQDIPFDFWKATPLVLKATAGLRLLPGEKAQKLLQKVKKVFKASPFLVGDDCVSIMNGTDEGVSAWITINFLTGSLKTPGGSSVGMLDLGGGSTQIAFLPRVEGTLQASPPGYLTALRMFNRTYKLYSYSYLGLGLMSARLAILGGVEGQPAKDGKELVSPCLSPSFKGEWEHAEVTYRVSGQKAAASLHELCAARVSEVLQNRVHRTEEVKHVDFYAFSYYYDLAAGVGLIDAEKGGSLVVGDFEIAAKYVCRTLETQPQSSPFSCMDLTYVSLLLQEFGFPRSKVLKLTRKIDNVETSWALGAIFHYIDSLNRQKSPAS
+>DECOY_sp|O75354|ENTP6_HUMAN Ectonucleoside triphosphate diphosphohydrolase 6 OS=Homo sapiens OX=9606 GN=ENTPD6 PE=1 SV=3
+SAPSKQRNLSDIYHFIAGLAWSTEVNDIKRTLKLVKSRPFGFEQLLLSVYTLDMCSFPSSQPQTELTRCVYKAAIEFDGVVLSGGKEADILGVGAALDYYYSFAYFDVHKVEETRHVRNQLVESVRAACLEHLSAAAKQGSVRYTVEAHEWEGKFSPSLCPSVLEKGDKAPQGEVGGLIALRASMLGLGLYSYSYLKYTRNFMRLATLYGPPSAQLTGEVRPLFAIQTSGGGLDLMGVSSGGPTKLSGTLFNITIWASVGEDTGNMISVCDDGVLFPSAKFVKKVKQLLKQAKEGPLLRLGATAKLVLPTAKWFDFPIDQKAVDLLERIGQASKEVDDAYASLGPKLAKFTEHTLTPTERPPRTFQFVHVRTGTSGADFMIGYFVEHGDAATGLPSHAQQGWRAGPAARTISFFAQTATARHWKIYAVYIFVGVCLGLPYAVKAVRLSGHNSIKRMRTQWPGHQPQQFIYSTKRSTEYRIGKKM
+>sp|Q5MY95|ENTP8_HUMAN Ectonucleoside triphosphate diphosphohydrolase 8 OS=Homo sapiens OX=9606 GN=ENTPD8 PE=1 SV=2
+MGLSRKEQVFLALLGASGVSGLTALILLLVEATSVLLPTDIKFGIVFDAGSSHTSLFLYQWLANKENGTGVVSQALACQVEGPGISSYTSNAAQAGESLQGCLEEALVLIPEAQHRKTPTFLGATAGMRLLSRKNSSQARDIFAAVTQVLGRSPVDFWGAELLAGQAEGAFGWITVNYGLGTLVKYSFTGEWIQPPEEMLVGALDMGGASTQITFVPGGPILDKSTQADFRLYGSDYSVYTHSYLCFGRDQMLSRLLVGLVQSRPAALLRHPCYLSGYQTTLALGPLYESPCVHATPPLSLPQNLTVEGTGNPGACVSAIRELFNFSSCQGQEDCAFDGVYQPPLRGQFYAFSNFYYTFHFLNLTSRQPLSTVNATIWEFCQRPWKLVEASYPGQDRWLRDYCASGLYILTLLHEGYGFSEETWPSLEFRKQAGGVDIGWTLGYMLNLTGMIPADAPAQWRAESYGVWVAKVVFMVLALVAVVGAALVQLFWLQD
+>DECOY_sp|Q5MY95|ENTP8_HUMAN Ectonucleoside triphosphate diphosphohydrolase 8 OS=Homo sapiens OX=9606 GN=ENTPD8 PE=1 SV=2
+DQLWFLQVLAAGVVAVLALVMFVVKAVWVGYSEARWQAPADAPIMGTLNLMYGLTWGIDVGGAQKRFELSPWTEESFGYGEHLLTLIYLGSACYDRLWRDQGPYSAEVLKWPRQCFEWITANVTSLPQRSTLNLFHFTYYFNSFAYFQGRLPPQYVGDFACDEQGQCSSFNFLERIASVCAGPNGTGEVTLNQPLSLPPTAHVCPSEYLPGLALTTQYGSLYCPHRLLAAPRSQVLGVLLRSLMQDRGFCLYSHTYVSYDSGYLRFDAQTSKDLIPGGPVFTIQTSAGGMDLAGVLMEEPPQIWEGTFSYKVLTGLGYNVTIWGFAGEAQGALLEAGWFDVPSRGLVQTVAAFIDRAQSSNKRSLLRMGATAGLFTPTKRHQAEPILVLAEELCGQLSEGAQAANSTYSSIGPGEVQCALAQSVVGTGNEKNALWQYLFLSTHSSGADFVIGFKIDTPLLVSTAEVLLLILATLGSVGSAGLLALFVQEKRSLGM
+>sp|Q92613|JADE3_HUMAN Protein Jade-3 OS=Homo sapiens OX=9606 GN=JADE3 PE=1 SV=1
+MKRHRPVSSSDSSDESPSTSFTSGSMYRIKSKIPNEHKKPAEVFRKDLISAMKLPDSHHINPDSYYLFADTWKEEWEKGVQVPASPDTVPQPSLRIIAEKVKDVLFIRPRKYIHCSSPDTTEPGYINIMELAASVCRYDLDDMDIFWLQELNEDLAEMGCGPVDENLMEKTVEVLERHCHENMNHAIETEEGLGIEYDEDVICDVCRSPDSEEGNDMVFCDKCNVCVHQACYGILKVPEGSWLCRSCVLGIYPQCVLCPKKGGALKTTKTGTKWAHVSCALWIPEVSIACPERMEPITKISHIPPSRWALVCNLCKLKTGACIQCSIKSCITAFHVTCAFEHGLEMKTILDEGDEVKFKSYCLKHSQNRQKLGEAEYPHHRAKEQSQAKSEKTSLRAQKLRELEEEFYSLVRVEDVAAELGMPTLAVDFIYNYWKLKRKSNFNKPLFPPKEDEENGLVQPKEESIHTRMRMFMHLRQDLERVRNLCYMISRREKLKLSHNKIQEQIFGLQVQLLNQEIDAGLPLTNALENSLFYPPPRITLKLKMPKSTPEDHRNSSTETDQQPHSPDSSSSVHSIRNMQVPQESLEMRTKSYPRYPLESKNNRLLASLSHSRSEAKESSPAWRTPSSECYHGQSLGKPLVLQAALHGQSSIGNGKSQPNSKFAKSNGLEGSWSGNVTQKDSSSEMFCDQEPVFSPHLVSQGSFRKSTVEHFSRSFKETTNRWVKNTEDLQCYVKPTKNMSPKEQFWGRQVLRRSAGRAPYQENDGYCPDLELSDSEAESDGNKEKVRVRKDSSDRENPPHDSRRDCHGKSKTHPLSHSSMQR
+>DECOY_sp|Q92613|JADE3_HUMAN Protein Jade-3 OS=Homo sapiens OX=9606 GN=JADE3 PE=1 SV=1
+RQMSSHSLPHTKSKGHCDRRSDHPPNERDSSDKRVRVKEKNGDSEAESDSLELDPCYGDNEQYPARGASRRLVQRGWFQEKPSMNKTPKVYCQLDETNKVWRNTTEKFSRSFHEVTSKRFSGQSVLHPSFVPEQDCFMESSSDKQTVNGSWSGELGNSKAFKSNPQSKGNGISSQGHLAAQLVLPKGLSQGHYCESSPTRWAPSSEKAESRSHSLSALLRNNKSELPYRPYSKTRMELSEQPVQMNRISHVSSSSDPSHPQQDTETSSNRHDEPTSKPMKLKLTIRPPPYFLSNELANTLPLGADIEQNLLQVQLGFIQEQIKNHSLKLKERRSIMYCLNRVRELDQRLHMFMRMRTHISEEKPQVLGNEEDEKPPFLPKNFNSKRKLKWYNYIFDVALTPMGLEAAVDEVRVLSYFEEELERLKQARLSTKESKAQSQEKARHHPYEAEGLKQRNQSHKLCYSKFKVEDGEDLITKMELGHEFACTVHFATICSKISCQICAGTKLKCLNCVLAWRSPPIHSIKTIPEMREPCAISVEPIWLACSVHAWKTGTKTTKLAGGKKPCLVCQPYIGLVCSRCLWSGEPVKLIGYCAQHVCVNCKDCFVMDNGEESDPSRCVDCIVDEDYEIGLGEETEIAHNMNEHCHRELVEVTKEMLNEDVPGCGMEALDENLEQLWFIDMDDLDYRCVSAALEMINIYGPETTDPSSCHIYKRPRIFLVDKVKEAIIRLSPQPVTDPSAPVQVGKEWEEKWTDAFLYYSDPNIHHSDPLKMASILDKRFVEAPKKHENPIKSKIRYMSGSTFSTSPSEDSSDSSSVPRHRKM
+>sp|Q15652|JHD2C_HUMAN Probable JmjC domain-containing histone demethylation protein 2C OS=Homo sapiens OX=9606 GN=JMJD1C PE=1 SV=2
+MAVETRAELVGKRFLCVAVGDEARSERWESGRGWRSWRAGVIRAVSHRDSRNPDLAVYVEFDDLEWDKREWVKVYEDFSTFLVEYHLIWAKRNDPSQTQGSKSKQIQWPALTFKPLVERNIPSSVTAVEFLVDKQLDFLTEDSAFQPYQDDIDSLNPVLRDNPQLHEEVKVWVKEQKVQEIFMQGPYSLNGYRVRVYRQDSATQWFTGIITHHDLFTRTMIVMNDQVLEPQNVDPSMVQMTFLDDVVHSLLKGENIGITSRRRSRANQNVNAVHSHYTRAQANSPRPAMNSQAAVPKQNTHQQQQQRSIRPNKRKGSDSSIPDEEKMKEEKYDYISRGENPKGKNKHLMNKRRKPEEDEKKLNMKRLRTDNVSDFSESSDSENSNKRIIDNSSEQKPENELKNKNTSKINGEEGKPHNNEKAGEETLKNSQPPWDQIQEDKKHEEAEKRKSVDTQLQEDMIIHSSEQSTVSDHNSNDLLPQECNMDKTHTMELLPKEKFVSRPPTPKCVIDITNDTNLEKVAQENSSTFGLQTLQKMDPNVSDSKHSIANAKFLETAKKDSDQSWVSDVVKVDLTQSSVTNASSGNDHLNMEKEKYVSYISPLSAVSVMEDKLHKRSPPPETIKSKLNTSVDTHKIKSSPSPEVVKPKITHSPDSVKSKATYVNSQATGERRLANKIEHELSRCSFHPIPTRSSTLETTKSPLIIDKNEHFTVYRDPALIGSETGANHISPFLSQHPFPLHSSSHRTCLNPGTHHPALTPAPHLLAGSSSQTPLPTINTHPLTSGPHHAVHHPHLLPTVLPGVPTASLLGGHPRLESAHASSLSHLALAHQQQQQLLQHQSPHLLGQAHPSASYNQLGLYPIIWQYPNGTHAYSGLGLPSSKWVHPENAVNAEASLRRNSPSPWLHQPTPVTSADGIGLLSHIPVRPSSAEPHRPLKITAHSSPPLTKTLVDHHKEELERKAFMEPLRSVASTSAKNDLDLNRSQTGKDCHLHRHFVDPVLNQLQRPPQETGERLNKYKEEHRRILQESIDVAPFTTKIKGLEGERENYSRVASSSSSPKSHIIKQDMDVERSVSDLYKMKHSVPQSLPQSNYFTTLSNSVVNEPPRSYPSKEVSNIYGDKQSNALAAAAANPQTLTSFITSLSKPPPLIKHQPESEGLVGKIPEHLPHQIASHSVTTFRNDCRSPTHLTVSSTNTLRSMPALHRAPVFHPPIHHSLERKEGSYSSLSPPTLTPVMPVNAGGKVQESQKPPTLIPEPKDSQANFKSSSEQSLTEMWRPNNNLSKEKTEWHVEKSSGKLQAAMASVIVRPSSSTKTDSMPAMQLASKDRVSERSSAGAHKTDCLKLAEAGETGRIILPNVNSDSVHTKSEKNFQAVSQGSVPSSVMSAVNTMCNTKTDVITSAADTTSVSSWGGSEVISSLSNTILASTSSECVSSKSVSQPVAQKQECKVSTTAPVTLASSKTGSVVQPSSGFSGTTDFIHLKKHKAALAAAQYKSSNASETEPNAIKNQTLSASLPLDSTVICSTINKANSVGNGQASQTSQPNYHTKLKKAWLTRHSEEDKNTNKMENSGNSVSEIIKPCSVNLIASTSSDIQNSVDSKIIVDKYVKDDKVNRRKAKRTYESGSESGDSDESESKSEQRTKRQPKPTYKKKQNDLQKRKGEIEEDLKPNGVLSRSAKERSKLKLQSNSNTGIPRSVLKDWRKVKKLKQTGESFLQDDSCCEIGPNLQKCRECRLIRSKKGEEPAHSPVFCRFYYFRRLSFSKNGVVRIDGFSSPDQYDDEAMSLWTHENFEDDELDIETSKYILDIIGDKFCQLVTSEKTALSWVKKDAKIAWKRAVRGVREMCDACEATLFNIHWVCQKCGFVVCLDCYKAKERKSSRDKELYAWMKCVKGQPHDHKHLMPTQIIPGSVLTDLLDAMHTLREKYGIKSHCHCTNKQNLQVGNFPTMNGVSQVLQNVLNHSNKISLCMPESQQQNTPPKSEKNGGSSPESDVGTDNKLTPPESQSPLHWLADLAEQKAREEKKENKELTLENQIKEEREQDNSESPNGRTSPLVSQNNEQGSTLRDLLTTTAGKLRVGSTDAGIAFAPVYSMGAPSSKSGRTMPNILDDIIASVVENKIPPSKTSKINVKPELKEEPEESIISAVDENNKLYSDIPHSWICEKHILWLKDYKNSSNWKLFKECWKQGQPAVVSGVHKKMNISLWKAESISLDFGDHQADLLNCKDSIISNANVKEFWDGFEEVSKRQKNKSGETVVLKLKDWPSGEDFKTMMPARYEDLLKSLPLPEYCNPEGKFNLASHLPGFFVRPDLGPRLCSAYGVVAAKDHDIGTTNLHIEVSDVVNILVYVGIAKGNGILSKAGILKKFEEEDLDDILRKRLKDSSEIPGALWHIYAGKDVDKIREFLQKISKEQGLEVLPEHDPIRDQSWYVNKKLRQRLLEEYGVRTCTLIQFLGDAIVLPAGALHQVQNFHSCIQVTEDFVSPEHLVESFHLTQELRLLKEEINYDDKLQVKNILYHAVKEMVRALKIHEDEVEDMEEN
+>DECOY_sp|Q15652|JHD2C_HUMAN Probable JmjC domain-containing histone demethylation protein 2C OS=Homo sapiens OX=9606 GN=JMJD1C PE=1 SV=2
+NEEMDEVEDEHIKLARVMEKVAHYLINKVQLKDDYNIEEKLLRLEQTLHFSEVLHEPSVFDETVQICSHFNQVQHLAGAPLVIADGLFQILTCTRVGYEELLRQRLKKNVYWSQDRIPDHEPLVELGQEKSIKQLFERIKDVDKGAYIHWLAGPIESSDKLRKRLIDDLDEEEFKKLIGAKSLIGNGKAIGVYVLINVVDSVEIHLNTTGIDHDKAAVVGYASCLRPGLDPRVFFGPLHSALNFKGEPNCYEPLPLSKLLDEYRAPMMTKFDEGSPWDKLKLVVTEGSKNKQRKSVEEFGDWFEKVNANSIISDKCNLLDAQHDGFDLSISEAKWLSINMKKHVGSVVAPQGQKWCEKFLKWNSSNKYDKLWLIHKECIWSHPIDSYLKNNEDVASIISEEPEEKLEPKVNIKSTKSPPIKNEVVSAIIDDLINPMTRGSKSSPAGMSYVPAFAIGADTSGVRLKGATTTLLDRLTSGQENNQSVLPSTRGNPSESNDQEREEKIQNELTLEKNEKKEERAKQEALDALWHLPSQSEPPTLKNDTGVDSEPSSGGNKESKPPTNQQQSEPMCLSIKNSHNLVNQLVQSVGNMTPFNGVQLNQKNTCHCHSKIGYKERLTHMADLLDTLVSGPIIQTPMLHKHDHPQGKVCKMWAYLEKDRSSKREKAKYCDLCVVFGCKQCVWHINFLTAECADCMERVGRVARKWAIKADKKVWSLATKESTVLQCFKDGIIDLIYKSTEIDLEDDEFNEHTWLSMAEDDYQDPSSFGDIRVVGNKSFSLRRFYYFRCFVPSHAPEEGKKSRILRCERCKQLNPGIECCSDDQLFSEGTQKLKKVKRWDKLVSRPIGTNSNSQLKLKSREKASRSLVGNPKLDEEIEGKRKQLDNQKKKYTPKPQRKTRQESKSESEDSDGSESGSEYTRKAKRRNVKDDKVYKDVIIKSDVSNQIDSSTSAILNVSCPKIIESVSNGSNEMKNTNKDEESHRTLWAKKLKTHYNPQSTQSAQGNGVSNAKNITSCIVTSDLPLSASLTQNKIANPETESANSSKYQAAALAAKHKKLHIFDTTGSFGSSPQVVSGTKSSALTVPATTSVKCEQKQAVPQSVSKSSVCESSTSALITNSLSSIVESGGWSSVSTTDAASTIVDTKTNCMTNVASMVSSPVSGQSVAQFNKESKTHVSDSNVNPLIIRGTEGAEALKLCDTKHAGASSRESVRDKSALQMAPMSDTKTSSSPRVIVSAMAAQLKGSSKEVHWETKEKSLNNNPRWMETLSQESSSKFNAQSDKPEPILTPPKQSEQVKGGANVPMVPTLTPPSLSSYSGEKRELSHHIPPHFVPARHLAPMSRLTNTSSVTLHTPSRCDNRFTTVSHSAIQHPLHEPIKGVLGESEPQHKILPPPKSLSTIFSTLTQPNAAAAALANSQKDGYINSVEKSPYSRPPENVVSNSLTTFYNSQPLSQPVSHKMKYLDSVSREVDMDQKIIHSKPSSSSSAVRSYNEREGELGKIKTTFPAVDISEQLIRRHEEKYKNLREGTEQPPRQLQNLVPDVFHRHLHCDKGTQSRNLDLDNKASTSAVSRLPEMFAKRELEEKHHDVLTKTLPPSSHATIKLPRHPEASSPRVPIHSLLGIGDASTVPTPQHLWPSPSNRRLSAEANVANEPHVWKSSPLGLGSYAHTGNPYQWIIPYLGLQNYSASPHAQGLLHPSQHQLLQQQQQHALALHSLSSAHASELRPHGGLLSATPVGPLVTPLLHPHHVAHHPGSTLPHTNITPLPTQSSSGALLHPAPTLAPHHTGPNLCTRHSSSHLPFPHQSLFPSIHNAGTESGILAPDRYVTFHENKDIILPSKTTELTSSRTPIPHFSCRSLEHEIKNALRREGTAQSNVYTAKSKVSDPSHTIKPKVVEPSPSSKIKHTDVSTNLKSKITEPPPSRKHLKDEMVSVASLPSIYSVYKEKEMNLHDNGSSANTVSSQTLDVKVVDSVWSQDSDKKATELFKANAISHKSDSVNPDMKQLTQLGFTSSNEQAVKELNTDNTIDIVCKPTPPRSVFKEKPLLEMTHTKDMNCEQPLLDNSNHDSVTSQESSHIIMDEQLQTDVSKRKEAEEHKKDEQIQDWPPQSNKLTEEGAKENNHPKGEEGNIKSTNKNKLENEPKQESSNDIIRKNSNESDSSESFDSVNDTRLRKMNLKKEDEEPKRRKNMLHKNKGKPNEGRSIYDYKEEKMKEEDPISSDSGKRKNPRISRQQQQQHTNQKPVAAQSNMAPRPSNAQARTYHSHVANVNQNARSRRRSTIGINEGKLLSHVVDDLFTMQVMSPDVNQPELVQDNMVIMTRTFLDHHTIIGTFWQTASDQRYVRVRYGNLSYPGQMFIEQVKQEKVWVKVEEHLQPNDRLVPNLSDIDDQYPQFASDETLFDLQKDVLFEVATVSSPINREVLPKFTLAPWQIQKSKSGQTQSPDNRKAWILHYEVLFTSFDEYVKVWERKDWELDDFEVYVALDPNRSDRHSVARIVGARWSRWGRGSEWRESRAEDGVAVCLFRKGVLEARTEVAM
+>sp|Q8N9B5|JMY_HUMAN Junction-mediating and -regulatory protein OS=Homo sapiens OX=9606 GN=JMY PE=1 SV=2
+MSFALEETLESDWVAVRPHVFDEREKHKFVFIVAWNEIEGKFAITCHNRTAQRQRSGSREQAGARGGAEAGGAASDGSRGPGSPAGRGRPEATASATLVRSPGPRRSSAWAEGGSPRSTRSLLGDPRLRSPGSKGAESRLRSPVRAKPIPGQKTSEADDAAGAAAAAARPAPREAQVSSVRIVSASGTVSEEIEVLEMVKEDEAPLALSDAEQPPPATELESPAEECSWAGLFSFQDLRAVHQQLCSVNSQLEPCLPVFPEEPSGMWTVLFGGAPEMTEQEIDTLCYQLQVYLGHGLDTCGWKILSQVLFTETDDPEEYYESLSELRQKGYEEVLQRARKRIQELLDKHKNTESMVELLDLYQMEDEAYSSLAEATTELYQYLLQPFRDMRELAMLRRQQIKISMENDYLGPRRIESLQKEDADWQRKAHMAVLSIQDLTVKYFEITAKAQKAVYDRMRADQKKFGKASWAAAAERMEKLQYAVSKETLQMMRAKEICLEQRKHALKEEMQSLRGGTEAIARLDQLEADYYDLQLQLYEVQFEILKCEELLLTAQLESIKRLISEKRDEVVYYDTYESMEAMLEKEEMAASAYLQREELQKLQQKARQLEARRGRVSAKKSYLRNKKEICIAKHNEKIQQRTRIEDEYRTHHTVQLKREKLHDEEERKSAWVSQERQRTLDRLRTFKQRYPGQVILKSTRLRLAHARRKGAASPVLQEDHCDSLPSVLQVEEKTEEVGEGRVKRGPSQTTEPQSLVQLEDTSLTQLEATSLPLSGVTSELPPTISLPLLNNNLEPCSVTINPLPSPLPPTPPPPPPPPPPPPPPPLPVAKDSGPETLEKDLPRKEGNEKRIPKSASAPSAHLFDSSQLVSARKKLRKTAEGLQRRRVSSPMDEVLASLKRGSFHLKKVEQRTLPPFPDEDDSNNILAQIRKGVKLKKVQKDVLRESFTLLPDTDPLTRSIHEALRRIKEASPESEDEEEALPCTDWEN
+>DECOY_sp|Q8N9B5|JMY_HUMAN Junction-mediating and -regulatory protein OS=Homo sapiens OX=9606 GN=JMY PE=1 SV=2
+NEWDTCPLAEEEDESEPSAEKIRRLAEHISRTLPDTDPLLTFSERLVDKQVKKLKVGKRIQALINNSDDEDPFPPLTRQEVKKLHFSGRKLSALVEDMPSSVRRRQLGEATKRLKKRASVLQSSDFLHASPASASKPIRKENGEKRPLDKELTEPGSDKAVPLPPPPPPPPPPPPPPPTPPLPSPLPNITVSCPELNNNLLPLSITPPLESTVGSLPLSTAELQTLSTDELQVLSQPETTQSPGRKVRGEGVEETKEEVQLVSPLSDCHDEQLVPSAAGKRRAHALRLRTSKLIVQGPYRQKFTRLRDLTRQREQSVWASKREEEDHLKERKLQVTHHTRYEDEIRTRQQIKENHKAICIEKKNRLYSKKASVRGRRAELQRAKQQLKQLEERQLYASAAMEEKELMAEMSEYTDYYVVEDRKESILRKISELQATLLLEECKLIEFQVEYLQLQLDYYDAELQDLRAIAETGGRLSQMEEKLAHKRQELCIEKARMMQLTEKSVAYQLKEMREAAAAWSAKGFKKQDARMRDYVAKQAKATIEFYKVTLDQISLVAMHAKRQWDADEKQLSEIRRPGLYDNEMSIKIQQRRLMALERMDRFPQLLYQYLETTAEALSSYAEDEMQYLDLLEVMSETNKHKDLLEQIRKRARQLVEEYGKQRLESLSEYYEEPDDTETFLVQSLIKWGCTDLGHGLYVQLQYCLTDIEQETMEPAGGFLVTWMGSPEEPFVPLCPELQSNVSCLQQHVARLDQFSFLGAWSCEEAPSELETAPPPQEADSLALPAEDEKVMELVEIEESVTGSASVIRVSSVQAERPAPRAAAAAAGAADDAESTKQGPIPKARVPSRLRSEAGKSGPSRLRPDGLLSRTSRPSGGEAWASSRRPGPSRVLTASATAEPRGRGAPSGPGRSGDSAAGGAEAGGRAGAQERSGSRQRQATRNHCTIAFKGEIENWAVIFVFKHKEREDFVHPRVAVWDSELTEELAFSM
+>sp|Q96JJ6|JPH4_HUMAN Junctophilin-4 OS=Homo sapiens OX=9606 GN=JPH4 PE=2 SV=2
+MSPGGKFDFDDGGCYVGGWEAGRAHGYGVCTGPGAQGEYSGCWAHGFESLGVFTGPGGHSYQGHWQQGKREGLGVERKSRWTYRGEWLGGLKGRSGVWESVSGLRYAGLWKDGFQDGYGTETYSDGGTYQGQWQAGKRHGYGVRQSVPYHQAALLRSPRRTSLDSGHSDPPTPPPPLPLPGDEGGSPASGSRGGFVLAGPGDADGASSRKRTPAAGGFFRRSLLLSGLRAGGRRSSLGSKRGSLRSEVSSEVGSTGPPGSEASGPPAAAPPALIEGSATEVYAGEWRADRRSGFGVSQRSNGLRYEGEWLGNRRHGYGRTTRPDGSREEGKYKRNRLVHGGRVRSLLPLALRRGKVKEKVDRAVEGARRAVSAARQRQEIAAARAADALLKAVAASSVAEKAVEAARMAKLIAQDLQPMLEAPGRRPRQDSEGSDTEPLDEDSPGVYENGLTPSEGSPELPSSPASSRQPWRPPACRSPLPPGGDQGPFSSPKAWPEEWGGAGAQAEELAGYEAEDEAGMQGPGPRDGSPLLGGCSDSSGSLREEEGEDEEPLPPLRAPAGTEPEPIAMLVLRGSSSRGPDAGCLTEELGEPAATERPAQPGAANPLVVGAVALLDLSLAFLFSQLLT
+>DECOY_sp|Q96JJ6|JPH4_HUMAN Junctophilin-4 OS=Homo sapiens OX=9606 GN=JPH4 PE=2 SV=2
+TLLQSFLFALSLDLLAVAGVVLPNAAGPQAPRETAAPEGLEETLCGADPGRSSSGRLVLMAIPEPETGAPARLPPLPEEDEGEEERLSGSSDSCGGLLPSGDRPGPGQMGAEDEAEYGALEEAQAGAGGWEEPWAKPSSFPGQDGGPPLPSRCAPPRWPQRSSAPSSPLEPSGESPTLGNEYVGPSDEDLPETDSGESDQRPRRGPAELMPQLDQAILKAMRAAEVAKEAVSSAAVAKLLADAARAAAIEQRQRAASVARRAGEVARDVKEKVKGRRLALPLLSRVRGGHVLRNRKYKGEERSGDPRTTRGYGHRRNGLWEGEYRLGNSRQSVGFGSRRDARWEGAYVETASGEILAPPAAAPPGSAESGPPGTSGVESSVESRLSGRKSGLSSRRGGARLGSLLLSRRFFGGAAPTRKRSSAGDADGPGALVFGGRSGSAPSGGEDGPLPLPPPPTPPDSHGSDLSTRRPSRLLAAQHYPVSQRVGYGHRKGAQWQGQYTGGDSYTETGYGDQFGDKWLGAYRLGSVSEWVGSRGKLGGLWEGRYTWRSKREVGLGERKGQQWHGQYSHGGPGTFVGLSEFGHAWCGSYEGQAGPGTCVGYGHARGAEWGGVYCGGDDFDFKGGPSM
+>sp|Q14695|K0087_HUMAN Uncharacterized protein KIAA0087 OS=Homo sapiens OX=9606 GN=KIAA0087 PE=2 SV=1
+MEAWESSQPLLRCEIPCPLPGTDRDGSVSLPGEAASCDLDTLEPEHGNRRVSGNPISVCWAYKVTKVKCWSVRERGGRHIGGPRSTLKHPAHHGMGKNLATSLPTAASLGLGKGQLLVSIRFMDTTKKRGQSETFNIC
+>DECOY_sp|Q14695|K0087_HUMAN Uncharacterized protein KIAA0087 OS=Homo sapiens OX=9606 GN=KIAA0087 PE=2 SV=1
+CINFTESQGRKKTTDMFRISVLLQGKGLGLSAATPLSTALNKGMGHHAPHKLTSRPGGIHRGGRERVSWCKVKTVKYAWCVSIPNGSVRRNGHEPELTDLDCSAAEGPLSVSGDRDTGPLPCPIECRLLPQSSEWAEM
+>sp|Q14667|K0100_HUMAN Protein KIAA0100 OS=Homo sapiens OX=9606 GN=KIAA0100 PE=1 SV=3
+MPLFFSALLVLLLVALSALFLGRWLVVRLATKWCQRKLQAELKIGSFRFFWIQNVSLKFQQHQQTVEIDNLWISSKLLSHDLPHYVALCFGEVRIRTDLQKVSDLSAPFSQSAGVDQKELSFSPSLLKIFCQLFSIHVDAINIMVLKVDTSESLWHIQISRSRFLLDSDGKRLICEVSLCKINSKVLKSGQLEDTCLVELSLALDLCLKVGISSRHLTAITVDVWTLHAELHEGLFQSQLLCQGPSLASKPVPCSEVTENLVEPTLPGLFLLQQLPDQVKVKMENTSVVLSMNSQKRHLTWTLKLLQFLYHRDEDQLPLRSFTANSDMAQMSTELLLEDGLLLSQSRQRIVCLNSLKASVQVTTIDLSASLVLNTCIIHYRHQEFSHWLHLLALETQGSSSPVLKQRKKRTFPQILAPIIFSTSISNVNISIQLGDTPPFALGFNSISLDYQHLRPQSIHQRGVLTVDHLCWRVGSDSHIQRAPHPPNMHVWGEALVLDSFTLQGSYNQPLGLSSTQSDTLFLDCTIRGLQVEASDTCAQCLSRILSLMGPQSGKSAVSRHSSFGESVSLLWKVDLKVEDMNLFTLSALVGASEVRLDTLTILGSAETSTVGIQGLVLALVKSVTEKMQPCCKAPDIPTPVLSLSMLSITYHSSIRSLEVQCGAGLTLLWSPPDHMYLYQHVLATLQCRDLLRATVFPETVPSLALETSGTTSELEGRAPEPLPPKRLLNLTLEVSTAKLTAFVAEDKFITLAAESVSLSRHGGSLQAYCPELAAGFDGNSIFNFKEVEVQLLPELEEMILHRNPFPALQTLRNRVWLLSFGSVSVEFPYQYDFSRTLDEAVGVQKWLKGLHQGTRAWASPSPVPLPPDLLLKVEHFSWVFLDDVFEVKLHDNYELMKDESKESAKRLQLLDAKVAALRKQHGELLPARKIEELYASLERKNIEIYIQRSRRLYGNTPMRRALLTWSLAGLELVALADASFHGPEHVVEQVQELDPGSPFPPEGLDLVIQWCRMLKCNVKSFLVRIRDYPRYLFEIRDWRLMGRLVGTEQSGQPCSRRRQILHLGLPWGNVAVERNMPPLKFYHDFHSEIFQYTVVWGPCWDPAWTLIGQCVDLLTKPSADPSPPLPWWDKSRLLFHGDWHMDIEQANLHQLATEDPYNTTENMHWEWSHLSFHWKPGQFVFKGDLDINVRTASKYDDCCFLHLPDLCMTLDLQWLCHGNPHDHHSVTLRAPEFLPEVPLGQLHDSYRAFRSENLNLSIKMDLTRHSGTISQPRILLYSSTLRWMQNFWATWTSVTRPICRGKLFNNLKPSKKKLGQHYKQLSYTALFPQLQVHYWASFAQQRGIQIECSQGHVFTRGTQRLIPQAGTVMRRLISDWSVTQMVSDLSQVTVHLMASPTEENADHCLDPLVTKTHLLSLSSLTYQRHSNRTAEEELSARDGDPTFHTHQLHLVDLRISWTTTNRDIAFGLYDGYKKAAVLKRNLSTEALKGLKIDPQMPAKKPKRGVPTSASAPPRVNTPSFSGQPDKGSSGGAYMLQKLIEETDRFVVFTEEESGMSDQLCGIAACQTDDIYNRNCLIELVNCQMVLRGAETEGCVIVSAAKAQLLQCQHHPAWYGDTLKQKTSWTCLLDGMQYFATTESSPTEQDGRQLWLEVKNIEEHRQRSLDSVQELMESGQAVGGMVTTTTDWNQPAEAQQAQQVQRIISRCNCRMYYISYSHDIDPELATQIKPPEVLENQEKEDLLKKQEGAVDTFTLIHHELEISTNPAQYAMILDIVNNLLLHVEPKRKEHSEKKQRVRFQLEISSNPEEQRSSILHLQEAVRQHVAQIRQLEKQMYSIMKSLQDDSKNENLLDLNQKLQLQLNQEKANLQLESEELNILIRCFKDFQLQRANKMELRKQQEDVSVVRRTEFYFAQARWRLTEEDGQLGIAELELQRFLYSKVNKSDDTAEHLLELGWFTMNNLLPNAVYKVVLRPQSSCQSGRQLALRLFSKVRPPVGGISVKEHFEVNVVPLTIQLTHQFFHRMMGFFFPGRSVEDDEVGDEEDKSKLVTTGIPVVKPRQLIATDDAVPLGPGKGVAQGLTRSSGVRRSFRKSPEHPVDDIDKMKERAAMNNSFIYIKIPQVPLCVSYKGEKNSVDWGDLNLVLPCLEYHNNTWTWLDFAMAVKRDSRKALVAQVIKEKLRLKSATGSEVRGKLETKSDLNMQQQEEEEKARLLIGLSVGDKNPGKKSIFGRRK
+>DECOY_sp|Q14667|K0100_HUMAN Protein KIAA0100 OS=Homo sapiens OX=9606 GN=KIAA0100 PE=1 SV=3
+KRRGFISKKGPNKDGVSLGILLRAKEEEEQQQMNLDSKTELKGRVESGTASKLRLKEKIVQAVLAKRSDRKVAMAFDLWTWTNNHYELCPLVLNLDGWDVSNKEGKYSVCLPVQPIKIYIFSNNMAAREKMKDIDDVPHEPSKRFSRRVGSSRTLGQAVGKGPGLPVADDTAILQRPKVVPIGTTVLKSKDEEDGVEDDEVSRGPFFFGMMRHFFQHTLQITLPVVNVEFHEKVSIGGVPPRVKSFLRLALQRGSQCSSQPRLVVKYVANPLLNNMTFWGLELLHEATDDSKNVKSYLFRQLELEAIGLQGDEETLRWRAQAFYFETRRVVSVDEQQKRLEMKNARQLQFDKFCRILINLEESELQLNAKEQNLQLQLKQNLDLLNENKSDDQLSKMISYMQKELQRIQAVHQRVAEQLHLISSRQEEPNSSIELQFRVRQKKESHEKRKPEVHLLLNNVIDLIMAYQAPNTSIELEHHILTFTDVAGEQKKLLDEKEQNELVEPPKIQTALEPDIDHSYSIYYMRCNCRSIIRQVQQAQQAEAPQNWDTTTTVMGGVAQGSEMLEQVSDLSRQRHEEINKVELWLQRGDQETPSSETTAFYQMGDLLCTWSTKQKLTDGYWAPHHQCQLLQAKAASVIVCGETEAGRLVMQCNVLEILCNRNYIDDTQCAAIGCLQDSMGSEEETFVVFRDTEEILKQLMYAGGSSGKDPQGSFSPTNVRPPASASTPVGRKPKKAPMQPDIKLGKLAETSLNRKLVAAKKYGDYLGFAIDRNTTTWSIRLDVLHLQHTHFTPDGDRASLEEEATRNSHRQYTLSSLSLLHTKTVLPDLCHDANEETPSAMLHVTVQSLDSVMQTVSWDSILRRMVTGAQPILRQTGRTFVHGQSCEIQIGRQQAFSAWYHVQLQPFLATYSLQKYHQGLKKKSPKLNNFLKGRCIPRTVSTWTAWFNQMWRLTSSYLLIRPQSITGSHRTLDMKISLNLNESRFARYSDHLQGLPVEPLFEPARLTVSHHDHPNGHCLWQLDLTMCLDPLHLFCCDDYKSATRVNIDLDGKFVFQGPKWHFSLHSWEWHMNETTNYPDETALQHLNAQEIDMHWDGHFLLRSKDWWPLPPSPDASPKTLLDVCQGILTWAPDWCPGWVVTYQFIESHFDHYFKLPPMNREVAVNGWPLGLHLIQRRRSCPQGSQETGVLRGMLRWDRIEFLYRPYDRIRVLFSKVNCKLMRCWQIVLDLGEPPFPSGPDLEQVQEVVHEPGHFSADALAVLELGALSWTLLARRMPTNGYLRRSRQIYIEINKRELSAYLEEIKRAPLLEGHQKRLAAVKADLLQLRKASEKSEDKMLEYNDHLKVEFVDDLFVWSFHEVKLLLDPPLPVPSPSAWARTGQHLGKLWKQVGVAEDLTRSFDYQYPFEVSVSGFSLLWVRNRLTQLAPFPNRHLIMEELEPLLQVEVEKFNFISNGDFGAALEPCYAQLSGGHRSLSVSEAALTIFKDEAVFATLKATSVELTLNLLRKPPLPEPARGELESTTGSTELALSPVTEPFVTARLLDRCQLTALVHQYLYMHDPPSWLLTLGAGCQVELSRISSHYTISLMSLSLVPTPIDPAKCCPQMKETVSKVLALVLGQIGVTSTEASGLITLTDLRVESAGVLASLTFLNMDEVKLDVKWLLSVSEGFSSHRSVASKGSQPGMLSLIRSLCQACTDSAEVQLGRITCDLFLTDSQTSSLGLPQNYSGQLTFSDLVLAEGWVHMNPPHPARQIHSDSGVRWCLHDVTLVGRQHISQPRLHQYDLSISNFGLAFPPTDGLQISINVNSISTSFIIPALIQPFTRKKRQKLVPSSSGQTELALLHLWHSFEQHRYHIICTNLVLSASLDITTVQVSAKLSNLCVIRQRSQSLLLGDELLLETSMQAMDSNATFSRLPLQDEDRHYLFQLLKLTWTLHRKQSNMSLVVSTNEMKVKVQDPLQQLLFLGPLTPEVLNETVESCPVPKSALSPGQCLLQSQFLGEHLEAHLTWVDVTIATLHRSSIGVKLCLDLALSLEVLCTDELQGSKLVKSNIKCLSVECILRKGDSDLLFRSRSIQIHWLSESTDVKLVMINIADVHISFLQCFIKLLSPSFSLEKQDVGASQSFPASLDSVKQLDTRIRVEGFCLAVYHPLDHSLLKSSIWLNDIEVTQQHQQFKLSVNQIWFFRFSGIKLEAQLKRQCWKTALRVVLWRGLFLASLAVLLLVLLASFFLPM
+>sp|O60303|K0556_HUMAN Protein KIAA0556 OS=Homo sapiens OX=9606 GN=KIAA0556 PE=1 SV=4
+MDGQTLRKAERSWSCSREKKEGYAKDMVTDFDEKHDEYLILLQQRNRILKHLKSKDPVQLRLEHLEQGFSVYVNGANSELKSSPRKAIHSDFSRSASHTEGTHDYGRRTLFREAEEALRRSSRTAPSKVQRRGWHQKSVQIRTEAGPRLHIEPPVDYSDDFELCGDVTLQANNTSEDRPQELRRSLELSVNLQRKQKDCSSDEYDSIEEDILSEPEPEDPALVGHPRHDRPPSSGDWTQKDVHGEQETEGRSSPGPDTLVVLEFNPASKSHKRERNLSAKRKDNAEVFVPTKPEPNLTPQAPAVFPDQERMCSRPGSRRERPLSATRKTLCEAEYPEEDASAVLQAIQVENAALQRALLSRKAEQPASPLQDAEGPPAKPWTSLLEEKEETLELLPITTATTTQEPAGAAGGARAINQAMDRIGLLGSRQQQKLLKVLQAVESDSAHLGRVVSPTKEQVSDTEDKQRMRADEIKDAIYVTMEILSNWGNSWWVGLTEVEFFDLNDTKLYVSPHDVDIRNTATPGELGRLVNRNLAGKKDSSPWTCPFHPPLQLFFVIRNTRQLGDFHLAKIKVRNYWTADGDLDIGAKNVKLYVNRNLIFNGKLDKGDREAPADHSILVDQKNEKSEQLEEAMNAHSEESKGTHEMAGASGDKELGLGCSPPAETLADAKLSSQGNVSGKRKNSTNCRKDSLSQLEEYLRLSAVPTSMGDMPSAPATSPPVKCPPVHEEPSLIQQLENLMGRKICEPPGKTPSWLQPSPTGKDRKQGGRKPKPLWLSPEKPLAWKGRLPSDDVIGEGPGETEARDKGLRHEPGWGTSRSVNTKERPQRATTKVHSDDSDIFNQPPNRERPASGRRGSRKDAGSSSHGDDQPASREDTWSSRTPSRSRWRSEQEHTLHESWSSLSAFDRSHRGRISNTELPGDILDELLQQKSSRHSDLPPSKKGEQPGLSRGQDGYSGETDAGGDFKIPVLPYGQRLVIDIKSTWGDRHYVGLNGIEIFSSKGEPVQISNIKADPPDINILPAYGKDPRVVTNLIDGVNRTQDDMHVWLAPFTRGRSHSITIDFTHPCHVALIRIWNYNKSRIHSFRGVKDITMLLDTQCIFEGEIAKASGTLAGAPEHFGDTILFTTDDDILEAIFYSDEMFDLDVGSLDSLQDEEAMRRPSTADGEGDERPFTQAGLGADERIPELELPSSSPVPQVTTPEPGIYHGICLQLNFTASWGDLHYLGLTGLEVVGKEGQALPIHLHQISASPRDLNELPEYSDDSRALDKLIDGTNITMEDEHMWLIPFSPGLDHVVTIRLDRAESIAGLRFWNYNKSPEDTYRGAKIVHVSLDGLCVSPPEGFLIRKGPGNCHFDFAQEILFVDYLRAQLLPQPARRLDMRSLECASMDYEAPLMPCGFIFQFQLLTSWGDPYYIGLTGLELYDERGEKIPLSENNIAAFPDSVNSLEGVGGDVRTPDKLIDQVNDTSDGRHMWLAPILPGLVNRVYVIFDLPTTVSMIKLWNYAKTPHRGVKEFGLLVDDLLVYNGILAMVSHLVGGILPTCEPTVPYHTILFTEDRDIRHQEKHTTISNQAEDQDVQMMNENQIITNAKRKQSVVDPALRPKTCISEKETRRRRC
+>DECOY_sp|O60303|K0556_HUMAN Protein KIAA0556 OS=Homo sapiens OX=9606 GN=KIAA0556 PE=1 SV=4
+CRRRRTEKESICTKPRLAPDVVSQKRKANTIIQNENMMQVDQDEAQNSITTHKEQHRIDRDETFLITHYPVTPECTPLIGGVLHSVMALIGNYVLLDDVLLGFEKVGRHPTKAYNWLKIMSVTTPLDFIVYVRNVLGPLIPALWMHRGDSTDNVQDILKDPTRVDGGVGELSNVSDPFAAINNESLPIKEGREDYLELGTLGIYYPDGWSTLLQFQFIFGCPMLPAEYDMSACELSRMDLRRAPQPLLQARLYDVFLIEQAFDFHCNGPGKRILFGEPPSVCLGDLSVHVIKAGRYTDEPSKNYNWFRLGAISEARDLRITVVHDLGPSFPILWMHEDEMTINTGDILKDLARSDDSYEPLENLDRPSASIQHLHIPLAQGEKGVVELGTLGLYHLDGWSATFNLQLCIGHYIGPEPTTVQPVPSSSPLELEPIREDAGLGAQTFPREDGEGDATSPRRMAEEDQLSDLSGVDLDFMEDSYFIAELIDDDTTFLITDGFHEPAGALTGSAKAIEGEFICQTDLLMTIDKVGRFSHIRSKNYNWIRILAVHCPHTFDITISHSRGRTFPALWVHMDDQTRNVGDILNTVVRPDKGYAPLINIDPPDAKINSIQVPEGKSSFIEIGNLGVYHRDGWTSKIDIVLRQGYPLVPIKFDGGADTEGSYGDQGRSLGPQEGKKSPPLDSHRSSKQQLLEDLIDGPLETNSIRGRHSRDFASLSSWSEHLTHEQESRWRSRSPTRSSWTDERSAPQDDGHSSSGADKRSGRRGSAPRERNPPQNFIDSDDSHVKTTARQPREKTNVSRSTGWGPEHRLGKDRAETEGPGEGIVDDSPLRGKWALPKEPSLWLPKPKRGGQKRDKGTPSPQLWSPTKGPPECIKRGMLNELQQILSPEEHVPPCKVPPSTAPASPMDGMSTPVASLRLYEELQSLSDKRCNTSNKRKGSVNGQSSLKADALTEAPPSCGLGLEKDGSAGAMEHTGKSEESHANMAEELQESKENKQDVLISHDAPAERDGKDLKGNFILNRNVYLKVNKAGIDLDGDATWYNRVKIKALHFDGLQRTNRIVFFLQLPPHFPCTWPSSDKKGALNRNVLRGLEGPTATNRIDVDHPSVYLKTDNLDFFEVETLGVWWSNGWNSLIEMTVYIADKIEDARMRQKDETDSVQEKTPSVVRGLHASDSEVAQLVKLLKQQQRSGLLGIRDMAQNIARAGGAAGAPEQTTTATTIPLLELTEEKEELLSTWPKAPPGEADQLPSAPQEAKRSLLARQLAANEVQIAQLVASADEEPYEAECLTKRTASLPRERRSGPRSCMREQDPFVAPAQPTLNPEPKTPVFVEANDKRKASLNRERKHSKSAPNFELVVLTDPGPSSRGETEQEGHVDKQTWDGSSPPRDHRPHGVLAPDEPEPESLIDEEISDYEDSSCDKQKRQLNVSLELSRRLEQPRDESTNNAQLTVDGCLEFDDSYDVPPEIHLRPGAETRIQVSKQHWGRRQVKSPATRSSRRLAEEAERFLTRRGYDHTGETHSASRSFDSHIAKRPSSKLESNAGNVYVSFGQELHELRLQVPDKSKLHKLIRNRQQLLILYEDHKEDFDTVMDKAYGEKKERSCSWSREAKRLTQGDM
+>sp|O94854|K0754_HUMAN Uncharacterized protein KIAA0754 OS=Homo sapiens OX=9606 GN=KIAA0754 PE=2 SV=4
+MPPNFPEFAERIEASLSEVSEAGASNPSLQEKKESSSALTESSGHLDHREPQSESVTLEHVSKSIGIPEVQDFKNLSGDCQDFRFQQHSANPPHEFQPVESEAVATSGNTDVMQESRFSSATWPRATKSLAKGGFSEKQHPLGDTACTVEMPPLSPCLSEELLDPELHVLITPSLREKTESELKFEEDERWIMMEAEGEWEEEKLSDREKTFLMADEKNSLADIFEEREQANTAVVEDGSDCLAAVLRTFGHLSLGQICCPDDPQPAKDQLATVPKDIPLDCDCVLTGEDILGEVANRTAQGLEGLVSDSACTVGTIDAEQLSDTDSVQMFLELEKECLCEEGVTPLVELQNQISSEGLAASQDAENLLVISHFSGAALEKEQHLGLLHVRAKDYDTRLDCGYFNTLDSSQVPNAVELIAHVDIMRDTSTVSKEECEKVPFSPRTAEFKSRQPADLDSLEKLDPGGLLNSDHRVSHEEKLSGFIASELAKDNGSLSQGDCSQTEGNGEECIERVTFSFAFNHELTDVTSGPEVEVLYESNLLTDEIHLESGNVTVNQENNSLTSMGNVVTCELSVEKVCDEDGEAKELDYQATLLEDQAPAHFHRNFPEQVFQDLQRKSPESEILSLHLLVEELRLNPDGVETVNDTKPELNVASSEGGEMERRDSDSFLNIFPEKQVTKAGNTEPVLEEWIPVLQRPSRTAAVPTVKDALDAALPSPEEGTSIAAVPAPEGTAVVAALVPFPHEDILVASIVSLEEEDVTAAAVSAPERATVPAVTVSVPEGTAAVAAVSSPEETAPAVAAAITQEGMSAVAGFSPEWAALAITVPITEEDGTPEGPVTPATTVHAPEEPDTAAVRVSTPEEPASPAAAVPTPEEPTSPAAAVPTPEEPTSPAAAVPPPEEPTSPAAAVPTPEEPTSPAAAVPTPEEPTSPAAAVPTPEEPTSPAAAVPTPEEPTSPAAAVPTPEEPTSPAAAVPTPEEPASPAAAVPTPEEPASPAAAVPTPEEPAFPAPAVPTPEESASAAVAVPTPEESASPAAAVPTPAESASFAAVVATLEEPTSPAASVPTPAAMVATLEEFTSPAASVPTSEEPASLAAAVSNPEEPTSPAAAVPTLEEPTSSAAAVLTPEELSSPAASVPTPEEPASPAAAVSNLEEPASPAAAVPTPEVAAIPAASVPTPEVPAIPAAAVPPMEEVSPIGVPFLGVSAHTDSVPISEEGTPVLEEASSTGMWIKEDLDSLVFGIKEVTSTVLHGKVPLAATAGLNSDEVIVHFDSGKGLKSKVRFAGLTWW
+>DECOY_sp|O94854|K0754_HUMAN Uncharacterized protein KIAA0754 OS=Homo sapiens OX=9606 GN=KIAA0754 PE=2 SV=4
+WWTLGAFRVKSKLGKGSDFHVIVEDSNLGATAALPVKGHLVTSTVEKIGFVLSDLDEKIWMGTSSAEELVPTGEESIPVSDTHASVGLFPVGIPSVEEMPPVAAAPIAPVEPTPVSAAPIAAVEPTPVAAAPSAPEELNSVAAAPSAPEEPTPVSAAPSSLEEPTLVAAASSTPEELTPVAAAPSTPEEPNSVAAALSAPEESTPVSAAPSTFEELTAVMAAPTPVSAAPSTPEELTAVVAAFSASEAPTPVAAAPSASEEPTPVAVAASASEEPTPVAPAPFAPEEPTPVAAAPSAPEEPTPVAAAPSAPEEPTPVAAAPSTPEEPTPVAAAPSTPEEPTPVAAAPSTPEEPTPVAAAPSTPEEPTPVAAAPSTPEEPTPVAAAPSTPEEPPPVAAAPSTPEEPTPVAAAPSTPEEPTPVAAAPSAPEEPTSVRVAATDPEEPAHVTTAPTVPGEPTGDEETIPVTIALAAWEPSFGAVASMGEQTIAAAVAPATEEPSSVAAVAATGEPVSVTVAPVTAREPASVAAATVDEEELSVISAVLIDEHPFPVLAAVVATGEPAPVAAISTGEEPSPLAADLADKVTPVAATRSPRQLVPIWEELVPETNGAKTVQKEPFINLFSDSDRREMEGGESSAVNLEPKTDNVTEVGDPNLRLEEVLLHLSLIESEPSKRQLDQFVQEPFNRHFHAPAQDELLTAQYDLEKAEGDEDCVKEVSLECTVVNGMSTLSNNEQNVTVNGSELHIEDTLLNSEYLVEVEPGSTVDTLEHNFAFSFTVREICEEGNGETQSCDGQSLSGNDKALESAIFGSLKEEHSVRHDSNLLGGPDLKELSDLDAPQRSKFEATRPSFPVKECEEKSVTSTDRMIDVHAILEVANPVQSSDLTNFYGCDLRTDYDKARVHLLGLHQEKELAAGSFHSIVLLNEADQSAALGESSIQNQLEVLPTVGEECLCEKELELFMQVSDTDSLQEADITGVTCASDSVLGELGQATRNAVEGLIDEGTLVCDCDLPIDKPVTALQDKAPQPDDPCCIQGLSLHGFTRLVAALCDSGDEVVATNAQEREEFIDALSNKEDAMLFTKERDSLKEEEWEGEAEMMIWREDEEFKLESETKERLSPTILVHLEPDLLEESLCPSLPPMEVTCATDGLPHQKESFGGKALSKTARPWTASSFRSEQMVDTNGSTAVAESEVPQFEHPPNASHQQFRFDQCDGSLNKFDQVEPIGISKSVHELTVSESQPERHDLHGSSETLASSSEKKEQLSPNSAGAESVESLSAEIREAFEPFNPPM
+>sp|Q2LD37|K1109_HUMAN Uncharacterized protein KIAA1109 OS=Homo sapiens OX=9606 GN=KIAA1109 PE=1 SV=2
+MDQRKNESIVPSITQLEDFLTEHNSNVVWLLVATILSCGWIIYLTYYNSRNVGLILTLVLNRLYKHGYIHIGSFSFSVLSGKVMVREIYYITEDMSIRIQDGFIIFRWWKMYNPKQKQHDPKAETRLYITVNDFEFHVYNRSDLYGRLQELFGLEPTIIPPKKDDDKTREIGRTRTQSKIERVKVKTESQDPTSSWRSLIPVIKVNVSTGRLAFGNHYQPQTLCINFDDAFLTYTTKPPSSHLDQFMHIVKGKLENVRVMLVPSPRYVGLQNDEPPRLMGEGFVVMQSNDVDIYYYMDEPGLVPEETEENIEGEMSSEDCKLQDLPPCWGLDIVCGKGTDFNYGPWADRQRDCLWKFFFPPDYQVLKVSEIAQPGRPRQILAFELRMNIIADATIDLLFTKNRETNAVHVNVGAGSYLEINIPMTVEENGYTPAIKGQLLHVDATTSMQYRTLLEAEMLAFHINASYPRIWNMPQTWQCELEVYKATYHFIFAQKNFFTDLIQDWSSDSPPDIFSFVPYTWNFKIMFHQFEMIWAANQHNWIDCSTKQQENVYLAACGETLNIDFSLPFTDFVPATCNTKFSLRGEDVDLHLFLPDCHPSKYSLFMLVKNCHPNKMIHDTGIPAECQSGQKTVKPKWRNVTQEKSGWVECWTVPSVMLTIDYTWHPIYPQKADEQLKQSLSEMEETMLSVLRPSQKTSDRVVSSPSTSSRPPIDPSELPPDKLHVEMELSPDSQITLYGPLLNAFLCIKENYFGEDDMYMDFEEVISSPVLSLSTSSSSGWTAVGMENDKKENEGSAKSIHPLALRPWDITVLVNLYKVHGRLPVHGTTDGPECPTAFLERLCFEMKKGFRETMLQLILSPLNVFVSDNYQQRPPVDEVLREGHINLSGLQLRAHAMFSAEGLPLGSDSLEYAWLIDVQAGSLTAKVTAPQLACLLEWGQTFVFHVVCREYELERPKSVIICQHGIDRRFCESKLSCIPGPCPTSDDLKYTMIRLAVDGADIYIVEHGCATNIKMGAIRVANCNLHNQSVGEGISAAIQDFQVRQYIEQLNNCRIGLQPAVLRRAYWLEAGSANLGLITVDIALAADHHSKHEAQRHFLETHDARTKRLWFLWPDDILKNKRCRNKCGCLGGCRFFGGTVTGLDFFKLEELTPSSSSAFSSTSAESDMYYGQSLLQPGEWIITKEIPKIIDGNVNGMKRKEWENKSVGIEVERKTQHLSLQVPLRSHSSSSSSEENSSSSAAQPLLAGEKESPSSVADDHLVQKEFLHGTKRDDGQASIPTEISGNSPVSPNTQDKSVGQSPLRSPLKRQASVCSTRLGSTKSLTAAFYGDKQPVTVGVQFSSDVSRSDENVLDSPKQRRSFGSFPYTPSADSNSFHQYRSMDSSMSMADSEAYFSAAEEFEPISSDEGPGTYPGRKKKKKQTQQIDYSRGSIYHSVEGPLTGHGESIQDSRTLPFKTHPSQASFVSALGGEDDVIEHLYIVEGEKTVESEQITPQQPVMNCYQTYLTQFQVINWSVKHPTNKRTSKSSLHRPLDLDTPTSEESSSSFEQLSVPTFKVIKQGLTANSLLDRGMQLSGSTSNTPYTPLEKKLADNTDDETLTEEWTLDQPVSQTRTTAIVEVKGTVDIVLTPLVAEALDRYIEAMVHCASTRHPAAIVDDLHAKVLREAVQNSKTTFSENLSSKQDIRGTKTEQSTIGTTNQGQAQTNLTMKQDNVTIKGLQTNVSIPKVNLCLLQASVEESPTTAPSRSVTHVSLVALCFDRIATQVRMNRGVVEETSNNAEPGRTSNFDRYVHATKMQPQSSGSLRSNAGAEKGKEIAAKLNIHRVHGQLRGLDTTDIGTCAITAIPFEKSKVLFTLEELDEFTFVDETDQQAVPDVTRIGPSQEKWGWIMFECGLENLTIKGGRQSGAVLYNSFGIMGKASDTERGGVLTSNNSSDSPTGSGYNTDVSDDNLPCDRTSPSSDLNGNSVSDEQDEGVESDDLKKDLPLMPPPPDSCSMKLTIKEIWFSFAAPTNVRSHTHAFSRQLNLLSTATPAVGAWLVPIDQLKSSLNKLETEGTLRICAVMGCIMTEALENKSVHFPLRSKYNRLTKVARFLQENPSCLLCNILHHYLHQANYSIIDDATMSDGLPALVTLKKGLVALARQWMKFIVVTPAFKGVSLHRPAQPLKPQIAMDHEHEDGLGLDNGGGLQSDTSADGAEFEFDAATVSEHTMLLEGTANRPPPGSSGPVTGAEIMRKLSKTHTHSDSALKIKGIHPYHSLSYTSGDTATDSPVHVGRAGMPVKDSPRKESLLSYLTGSFPSLHNLLEGTPQRSSAAVKSSSLTRTGNTVATDMLSEHPLLSEPSSVSFYNWMSNAVGNRGSVLQESPVTKSGHNSLPTGVAPNLPTIPSASDFNTVLSSDQNTLDGTHSQHSTSQDDVAGVEEANQGFPAVQLADAQVVFKPLLSHTGIQSQDTMPFCYRMYFGEHLSFSGTLDCLRADIVDSDTAKERKGKRARRQGHVNLPPLEFKPALMLGTFSISAVVMEKSVCTPQNSTSALSFHDLSKRYYNTFHCNFTISCQSISQHVDMALVRLIHQFSTMIDDIKATQTDIKLSRYTAGSASPTPTFKTRKHRDFRSSDFSRSSRGSLNGGNRVNNAKNKRTNNENNKKESRNKNSLGRSERRTSKVSRKGSKDVVDHMTIHMDDSDSITVSEQSEPSAECWQNMYKLLNFYSLISDPTGILEKSSETFGPAGVRSPTEPTCKVVFENEQDNSSLTKTQRKRSLVTSEPQHVTLIVFGIGMVNRTHLEADIGGLTMESELKRIHGSFTLKEKMKDVLHQKMTETCATAHIGGVNIVLLEGITPNIQLEDFPTSPTSTAKQEFLTVVKCSIAKSQALYSAQRGLKTNNAAVFKVGAISINIPQHPATLHSMMVRSSHQLSKQISDLIRQPSTAPQPVKEDIATPLPSEKTPTSVNQTPVETNEFPQLPEGLEKKPIVLKFSAMLDGIAIGAALLPSLKAEYKMGRMRSHGMTGAQTRFTFELPNHRLRFTSKVSATDMSTIPPSASLNLPPVTMSGKYIMEEHDSYSDQVWSIDELPSKQGYYLQGNYLRCVAEVGSFEHNLTTDLLNHLVFVQKVFMKEVNEVIQKVSGGEQPIPLWNEHDGTADGDKPKILLYSLNLQFKGIQVTATTPSMRAVRFETGLIELELSNRLQTKASPGSSSYLKLFGKCQVDLNLALGQIVKHQVYEEAGSDFHQVAYFKTRIGLRNALREEISGSSDREAVLITLNRPIVYAQPVAFDRAVLFWLNYKAAYDNWNEQRMALHKDIHMATKEVVDMLPGIQQTSAQAFGTLFLQLTVNDLGICLPITNTAQSNHTGDLDTGSALVLTIESTLITACSSESLVSKGHFKNFCIRFADGFETSWDDWKPEIHGDLVMNACVVPDGTYEVCSRTTGQAAAESSSAGTWTLNVLWKMCGIDVHMDPNIGKRLNALGNTLTTLTGEEDIDDIADLNSVNIADLSDEDEVDTMSPTIHTEATDYRRQAASASQPGELRGRKIMKRIVDIRELNEQAKVIDDLKKLGASEGTINQEIQRYQQLESVAVNDIRRDVRKKLRRSSMRAASLKDKWGLSYKPSYSRSKSISASGRPPLKRMERASSRVGETEELPEIRVDAASPGPRVTFNIQDTFPEETELDLLSVTIEGPSHYSSNSEGSCSVFSSPKTPGGFSPGIPFQTEEGRRDDSLSSTSEDSEKDEKDEDHERERFYIYRKPSHTSRKKATGFAAVHQLFTERWPTTPVNRSLSGTATERNIDFELDIRVEIDSGKCVLHPTTLLQEHDDISLRRSYDRSSRSLDQDSPSKKKKFQTNYASTTHLMTGKKVPSSLQTKPSDLETTVFYIPGVDVKLHYNSKTLKTESPNASRGSSLPRTLSKESKLYGMKDSATSPPSPPLPSTVQSKTNTLLPPQPPPIPAAKGKGSGGVKTAKLYAWVALQSLPEEMVISPCLLDFLEKALETIPITPVERNYTAVSSQDEDMGHFEIPDPMEESTTSLVSSSTSAYSSFPVDVVVYVRVQPSQIKFSCLPVSRVECMLKLPSLDLVFSSNRGELETLGTTYPAETLSPGGNATQSGTKTSASKTGIPGSSGLGSPLGRSRHSSSQSDLTSSSSSSSGLSFTACMSDFSLYVFHPYGAGKQKTAVSGLTPGSGGLGNVDEEPTSVTGRKDSLSINLEFVKVSLSRIRRSGGASFFESQSVSKSASKMDTTLINISAVCDIGSASFKYDMRRLSEILAFPRAWYRRSIARRLFLGDQTINLPTSGPGTPDSIEGVSQHLSPESSRKAYCKTWEQPSQSASFTHMPQSPNVFNEHMTNSTMSPGTVGQSLKSPASIRSRSVSDSSVPRRDSLSKTSTPFNKSNKAASQQGTPWETLVVFAINLKQLNVQMNMSNVMGNTTWTTSGLKSQGRLSVGSNRDREISMSVGLGRSQLDSKGGVVGGTIDVNALEMVAHISEHPNQQPSHKIQITMGSTEARVDYMGSSILMGIFSNADLKLQDEWKVNLYNTLDSSITDKSEIFVHGDLKWDIFQVMISRSTTPDLIKIGMKLQEFFTQQFDTSKRALSTWGPVPYLPPKTMTSNLEKSSQEQLLDAAHHRHWPGVLKVVSGCHISLFQIPLPEDGMQFGGSMSLHGNHMTLACFHGPNFRSKSWALFHLEEPNIAFWTEAQKIWEDGSSDHSTYIVQTLDFHLGHNTMVTKPCGALESPMATITKITRRRHENPPHGVASVKEWFNYVTATRNEELNLLRNVDANNTENSTTVKNSSLLSGFRGGSSYNHETETIFALPRMQLDFKSIHVQEPQEPSLQDASLKPKVECSVVTEFTDHICVTMDAELIMFLHDLVSAYLKEKEKAIFPPRILSTRPGQKSPIIIHDDNSSDKDREDSITYTTVDWRDFMCNTWHLEPTLRLISWTGRKIDPVGVDYILQKLGFHHARTTIPKWLQRGVMDPLDKVLSVLIKKLGTALQDEKEKKGKDKEEH
+>DECOY_sp|Q2LD37|K1109_HUMAN Uncharacterized protein KIAA1109 OS=Homo sapiens OX=9606 GN=KIAA1109 PE=1 SV=2
+HEEKDKGKKEKEDQLATGLKKILVSLVKDLPDMVGRQLWKPITTRAHHFGLKQLIYDVGVPDIKRGTWSILRLTPELHWTNCMFDRWDVTTYTISDERDKDSSNDDHIIIPSKQGPRTSLIRPPFIAKEKEKLYASVLDHLFMILEADMTVCIHDTFETVVSCEVKPKLSADQLSPEQPEQVHISKFDLQMRPLAFITETEHNYSSGGRFGSLLSSNKVTTSNETNNADVNRLLNLEENRTATVYNFWEKVSAVGHPPNEHRRRTIKTITAMPSELAGCPKTVMTNHGLHFDLTQVIYTSHDSSGDEWIKQAETWFAINPEELHFLAWSKSRFNPGHFCALTMHNGHLSMSGGFQMGDEPLPIQFLSIHCGSVVKLVGPWHRHHAADLLQEQSSKELNSTMTKPPLYPVPGWTSLARKSTDFQQTFFEQLKMGIKILDPTTSRSIMVQFIDWKLDGHVFIESKDTISSDLTNYLNVKWEDQLKLDANSFIGMLISSGMYDVRAETSGMTIQIKHSPQQNPHESIHAVMELANVDITGGVVGGKSDLQSRGLGVSMSIERDRNSGVSLRGQSKLGSTTWTTNGMVNSMNMQVNLQKLNIAFVVLTEWPTGQQSAAKNSKNFPTSTKSLSDRRPVSSDSVSRSRISAPSKLSQGVTGPSMTSNTMHENFVNPSQPMHTFSASQSPQEWTKCYAKRSSEPSLHQSVGEISDPTGPGSTPLNITQDGLFLRRAISRRYWARPFALIESLRRMDYKFSASGIDCVASINILTTDMKSASKSVSQSEFFSAGGSRRIRSLSVKVFELNISLSDKRGTVSTPEEDVNGLGGSGPTLGSVATKQKGAGYPHFVYLSFDSMCATFSLGSSSSSSSTLDSQSSSHRSRGLPSGLGSSGPIGTKSASTKTGSQTANGGPSLTEAPYTTGLTELEGRNSSFVLDLSPLKLMCEVRSVPLCSFKIQSPQVRVYVVVDVPFSSYASTSSSVLSTTSEEMPDPIEFHGMDEDQSSVATYNREVPTIPITELAKELFDLLCPSIVMEEPLSQLAVWAYLKATKVGGSGKGKAAPIPPPQPPLLTNTKSQVTSPLPPSPPSTASDKMGYLKSEKSLTRPLSSGRSANPSETKLTKSNYHLKVDVGPIYFVTTELDSPKTQLSSPVKKGTMLHTTSAYNTQFKKKKSPSDQDLSRSSRDYSRRLSIDDHEQLLTTPHLVCKGSDIEVRIDLEFDINRETATGSLSRNVPTTPWRETFLQHVAAFGTAKKRSTHSPKRYIYFREREHDEDKEDKESDESTSSLSDDRRGEETQFPIGPSFGGPTKPSSFVSCSGESNSSYHSPGEITVSLLDLETEEPFTDQINFTVRPGPSAADVRIEPLEETEGVRSSAREMRKLPPRGSASISKSRSYSPKYSLGWKDKLSAARMSSRRLKKRVDRRIDNVAVSELQQYRQIEQNITGESAGLKKLDDIVKAQENLERIDVIRKMIKRGRLEGPQSASAAQRRYDTAETHITPSMTDVEDEDSLDAINVSNLDAIDDIDEEGTLTTLTNGLANLRKGINPDMHVDIGCMKWLVNLTWTGASSSEAAAQGTTRSCVEYTGDPVVCANMVLDGHIEPKWDDWSTEFGDAFRICFNKFHGKSVLSESSCATILTSEITLVLASGTDLDGTHNSQATNTIPLCIGLDNVTLQLFLTGFAQASTQQIGPLMDVVEKTAMHIDKHLAMRQENWNDYAAKYNLWFLVARDFAVPQAYVIPRNLTILVAERDSSGSIEERLANRLGIRTKFYAVQHFDSGAEEYVQHKVIQGLALNLDVQCKGFLKLYSSSGPSAKTQLRNSLELEILGTEFRVARMSPTTATVQIGKFQLNLSYLLIKPKDGDATGDHENWLPIPQEGGSVKQIVENVEKMFVKQVFVLHNLLDTTLNHEFSGVEAVCRLYNGQLYYGQKSPLEDISWVQDSYSDHEEMIYKGSMTVPPLNLSASPPITSMDTASVKSTFRLRHNPLEFTFRTQAGTMGHSRMRGMKYEAKLSPLLAAGIAIGDLMASFKLVIPKKELGEPLQPFENTEVPTQNVSTPTKESPLPTAIDEKVPQPATSPQRILDSIQKSLQHSSRVMMSHLTAPHQPINISIAGVKFVAANNTKLGRQASYLAQSKAISCKVVTLFEQKATSTPSTPFDELQINPTIGELLVINVGGIHATACTETMKQHLVDKMKEKLTFSGHIRKLESEMTLGGIDAELHTRNVMGIGFVILTVHQPESTVLSRKRQTKTLSSNDQENEFVVKCTPETPSRVGAPGFTESSKELIGTPDSILSYFNLLKYMNQWCEASPESQESVTISDSDDMHITMHDVVDKSGKRSVKSTRRESRGLSNKNRSEKKNNENNTRKNKANNVRNGGNLSGRSSRSFDSSRFDRHKRTKFTPTPSASGATYRSLKIDTQTAKIDDIMTSFQHILRVLAMDVHQSISQCSITFNCHFTNYYRKSLDHFSLASTSNQPTCVSKEMVVASISFTGLMLAPKFELPPLNVHGQRRARKGKREKATDSDVIDARLCDLTGSFSLHEGFYMRYCFPMTDQSQIGTHSLLPKFVVQADALQVAPFGQNAEEVGAVDDQSTSHQSHTGDLTNQDSSLVTNFDSASPITPLNPAVGTPLSNHGSKTVPSEQLVSGRNGVANSMWNYFSVSSPESLLPHESLMDTAVTNGTRTLSSSKVAASSRQPTGELLNHLSPFSGTLYSLLSEKRPSDKVPMGARGVHVPSDTATDGSTYSLSHYPHIGKIKLASDSHTHTKSLKRMIEAGTVPGSSGPPPRNATGELLMTHESVTAADFEFEAGDASTDSQLGGGNDLGLGDEHEHDMAIQPKLPQAPRHLSVGKFAPTVVIFKMWQRALAVLGKKLTVLAPLGDSMTADDIISYNAQHLYHHLINCLLCSPNEQLFRAVKTLRNYKSRLPFHVSKNELAETMICGMVACIRLTGETELKNLSSKLQDIPVLWAGVAPTATSLLNLQRSFAHTHSRVNTPAAFSFWIEKITLKMSCSDPPPPMLPLDKKLDDSEVGEDQEDSVSNGNLDSSPSTRDCPLNDDSVDTNYGSGTPSDSSNNSTLVGGRETDSAKGMIGFSNYLVAGSQRGGKITLNELGCEFMIWGWKEQSPGIRTVDPVAQQDTEDVFTFEDLEELTFLVKSKEFPIATIACTGIDTTDLGRLQGHVRHINLKAAIEKGKEAGANSRLSGSSQPQMKTAHVYRDFNSTRGPEANNSTEEVVGRNMRVQTAIRDFCLAVLSVHTVSRSPATTPSEEVSAQLLCLNVKPISVNTQLGKITVNDQKMTLNTQAQGQNTTGITSQETKTGRIDQKSSLNESFTTKSNQVAERLVKAHLDDVIAAPHRTSACHVMAEIYRDLAEAVLPTLVIDVTGKVEVIATTRTQSVPQDLTWEETLTEDDTNDALKKELPTYPTNSTSGSLQMGRDLLSNATLGQKIVKFTPVSLQEFSSSSEESTPTDLDLPRHLSSKSTRKNTPHKVSWNIVQFQTLYTQYCNMVPQQPTIQESEVTKEGEVIYLHEIVDDEGGLASVFSAQSPHTKFPLTRSDQISEGHGTLPGEVSHYISGRSYDIQQTQKKKKKRGPYTGPGEDSSIPEFEEAASFYAESDAMSMSSDMSRYQHFSNSDASPTYPFSGFSRRQKPSDLVNEDSRSVDSSFQVGVTVPQKDGYFAATLSKTSGLRTSCVSAQRKLPSRLPSQGVSKDQTNPSVPSNGSIETPISAQGDDRKTGHLFEKQVLHDDAVSSPSEKEGALLPQAASSSSNEESSSSSSHSRLPVQLSLHQTKREVEIGVSKNEWEKRKMGNVNGDIIKPIEKTIIWEGPQLLSQGYYMDSEASTSSFASSSSPTLEELKFFDLGTVTGGFFRCGGLCGCKNRCRKNKLIDDPWLFWLRKTRADHTELFHRQAEHKSHHDAALAIDVTILGLNASGAELWYARRLVAPQLGIRCNNLQEIYQRVQFDQIAASIGEGVSQNHLNCNAVRIAGMKINTACGHEVIYIDAGDVALRIMTYKLDDSTPCPGPICSLKSECFRRDIGHQCIIVSKPRELEYERCVVHFVFTQGWELLCALQPATVKATLSGAQVDILWAYELSDSGLPLGEASFMAHARLQLGSLNIHGERLVEDVPPRQQYNDSVFVNLPSLILQLMTERFGKKMEFCLRELFATPCEPGDTTGHVPLRGHVKYLNVLVTIDWPRLALPHISKASGENEKKDNEMGVATWGSSSSTSLSLVPSSIVEEFDMYMDDEGFYNEKICLFANLLPGYLTIQSDPSLEMEVHLKDPPLESPDIPPRSSTSPSSVVRDSTKQSPRLVSLMTEEMESLSQKLQEDAKQPYIPHWTYDITLMVSPVTWCEVWGSKEQTVNRWKPKVTKQGSQCEAPIGTDHIMKNPHCNKVLMFLSYKSPHCDPLFLHLDVDEGRLSFKTNCTAPVFDTFPLSFDINLTEGCAALYVNEQQKTSCDIWNHQNAAWIMEFQHFMIKFNWTYPVFSFIDPPSDSSWDQILDTFFNKQAFIFHYTAKYVELECQWTQPMNWIRPYSANIHFALMEAELLTRYQMSTTADVHLLQGKIAPTYGNEEVTMPINIELYSGAGVNVHVANTERNKTFLLDITADAIINMRLEFALIQRPRGPQAIESVKLVQYDPPFFFKWLCDRQRDAWPGYNFDTGKGCVIDLGWCPPLDQLKCDESSMEGEINEETEEPVLGPEDMYYYIDVDNSQMVVFGEGMLRPPEDNQLGVYRPSPVLMVRVNELKGKVIHMFQDLHSSPPKTTYTLFADDFNICLTQPQYHNGFALRGTSVNVKIVPILSRWSSTPDQSETKVKVREIKSQTRTRGIERTKDDDKKPPIITPELGFLEQLRGYLDSRNYVHFEFDNVTIYLRTEAKPDHQKQKPNYMKWWRFIIFGDQIRISMDETIYYIERVMVKGSLVSFSFSGIHIYGHKYLRNLVLTLILGVNRSNYYTLYIIWGCSLITAVLLWVVNSNHETLFDELQTISPVISENKRQDM
+>sp|Q86T90|K1328_HUMAN Protein hinderin OS=Homo sapiens OX=9606 GN=KIAA1328 PE=1 SV=2
+MADVAGPSRPSAAAFWSRDFSDEEQSVVYVPGISAEGNVRSRHKLMSPKADVKLKTSRVTDASISMESLKGTGDSVDEQNSCRGEIKSASLKDLCLEDKRRIANLIKELARVSEEKEVTEERLKAEQESFEKKIRQLEEQNELIIKEREALQLQYRECQELLSLYQKYLSEQQEKLTMSLSELGAARMQEQQVSSRKSTLQCSSVELDGSYLSIARPQTYYQTKQRPKSAVQDSASESLIAFRNNSLKPVTLHHPKDDLDKIPSETTTCNCESPGRKPAVPTEKMPQEELHMKECPHLKPTPSQCCGHRLAADRVHDSHPTNMTPQHPKTHPESCSYCRLSWASLVHGGGALQPIETLKKQISEDRKQQLMLQKMELEIEKERLQHLLAQQETKLLLKQQQLHQSRLDYNCLLKSNCDGWLLGTSSSIKKHQDPPNSGENRKERKTVGFHSHMKDDAQWSCQKKDTCRPQRGTVTGVRKDASTSPMPTGSLKDFVTTASPSLQHTTSRYETSLLDLVQSLSPNSAPKPQRYPSREAGAWNHGTFRLSPLKSTRKKMGMHRTPEELEENQILEDIFFI
+>DECOY_sp|Q86T90|K1328_HUMAN Protein hinderin OS=Homo sapiens OX=9606 GN=KIAA1328 PE=1 SV=2
+IFFIDELIQNEELEEPTRHMGMKKRTSKLPSLRFTGHNWAGAERSPYRQPKPASNPSLSQVLDLLSTEYRSTTHQLSPSATTVFDKLSGTPMPSTSADKRVGTVTGRQPRCTDKKQCSWQADDKMHSHFGVTKREKRNEGSNPPDQHKKISSSTGLLWGDCNSKLLCNYDLRSQHLQQQKLLLKTEQQALLHQLREKEIELEMKQLMLQQKRDESIQKKLTEIPQLAGGGHVLSAWSLRCYSCSEPHTKPHQPTMNTPHSDHVRDAALRHGCCQSPTPKLHPCEKMHLEEQPMKETPVAPKRGPSECNCTTTESPIKDLDDKPHHLTVPKLSNNRFAILSESASDQVASKPRQKTQYYTQPRAISLYSGDLEVSSCQLTSKRSSVQQEQMRAAGLESLSMTLKEQQESLYKQYLSLLEQCERYQLQLAEREKIILENQEELQRIKKEFSEQEAKLREETVEKEESVRALEKILNAIRRKDELCLDKLSASKIEGRCSNQEDVSDGTGKLSEMSISADTVRSTKLKVDAKPSMLKHRSRVNGEASIGPVYVVSQEEDSFDRSWFAAASPRSPGAVDAM
+>sp|Q9P206|K1522_HUMAN Uncharacterized protein KIAA1522 OS=Homo sapiens OX=9606 GN=KIAA1522 PE=1 SV=2
+MVVFVGRRLPALLGLFKKKGSAKAENDKHLSVGPGQGPGSAVDEHQDNVFFPSGRPPHLEELHTQAQEGLRSLQHQEKQKLNKGGWDHGDTQSIQSSRTGPDEDNISFCSQTTSYVAESSTAEDALSIRSEMIQRKGSTFRPHDSFPKSGKSGRRRRERRSTVLGLPQHVQKELGLRNEREAPGTPRAPGARDAVRIPTVDGRPRGTSGMGARVSLQALEAEAEAGAETEAMLQRHIDRVYRDDTFVGRSTGTRAPPLTRPMSLAVPGLTGGAGPAEPLSPAMSISPQATYLSKLIPHAVLPPTVDVVALGRCSLRTLSRCSLHSASPASVRSLGRFSSVSSPQPRSRHPSSSSDTWSHSQSSDTIVSDGSTLSSKGGSEGQPESSTASNSVVPPPQGGSGRGSPSGGSTAEASDTLSIRSSGQLSGRSVSLRKLKRPPPPPRRTHSLHQRGLAVPDGPLGLPPKPERKQQPQLPRPPTTGGSEGAGAAPCPPNPANSWVPGLSPGGSRRPPRSPERTLSPSSGYSSQSGTPTLPPKGLAGPPASPGKAQPPKPERVTSLRSPGASVSSSLTSLCSSSSDPAPSDRSGPQILTPLGDRFVIPPHPKVPAPFSPPPSKPRSPNPAAPALAAPAVVPGPVSTTDASPQSPPTPQTTLTPLQESPVISKDQSPPPSPPPSYHPPPPPTKKPEVVVEAPSASETAEEPLQDPNWPPPPPPAPEEQDLSMADFPPPEEAFFSVASPEPAGPSGSPELVSSPAASSSSATALQIQPPGSPDPPPAPPAPAPASSAPGHVAKLPQKEPVGCSKGGGPPREDVGAPLVTPSLLQMVRLRSVGAPGGAPTPALGPSAPQKPLRRALSGRASPVPAPSSGLHAAVRLKACSLAASEGLSSAQPNGPPEAEPRPPQSPASTASFIFSKGSRKLQLERPVSPETQADLQRNLVAELRSISEQRPPQAPKKSPKAPPPVARKPSVGVPPPASPSYPRAEPLTAPPTNGLPHTQDRTKRELAENGGVLQLVGPEEKMGLPGSDSQKELA
+>DECOY_sp|Q9P206|K1522_HUMAN Uncharacterized protein KIAA1522 OS=Homo sapiens OX=9606 GN=KIAA1522 PE=1 SV=2
+ALEKQSDSGPLGMKEEPGVLQLVGGNEALERKTRDQTHPLGNTPPATLPEARPYSPSAPPPVGVSPKRAVPPPAKPSKKPAQPPRQESISRLEAVLNRQLDAQTEPSVPRELQLKRSGKSFIFSATSAPSQPPRPEAEPPGNPQASSLGESAALSCAKLRVAAHLGSSPAPVPSARGSLARRLPKQPASPGLAPTPAGGPAGVSRLRVMQLLSPTVLPAGVDERPPGGGKSCGVPEKQPLKAVHGPASSAPAPAPPAPPPDPSGPPQIQLATASSSSAAPSSVLEPSGSPGAPEPSAVSFFAEEPPPFDAMSLDQEEPAPPPPPPWNPDQLPEEATESASPAEVVVEPKKTPPPPPHYSPPPSPPPSQDKSIVPSEQLPTLTTQPTPPSQPSADTTSVPGPVVAPAALAPAAPNPSRPKSPPPSFPAPVKPHPPIVFRDGLPTLIQPGSRDSPAPDSSSSCLSTLSSSVSAGPSRLSTVREPKPPQAKGPSAPPGALGKPPLTPTGSQSSYGSSPSLTREPSRPPRRSGGPSLGPVWSNAPNPPCPAAGAGESGGTTPPRPLQPQQKREPKPPLGLPGDPVALGRQHLSHTRRPPPPPRKLKRLSVSRGSLQGSSRISLTDSAEATSGGSPSGRGSGGQPPPVVSNSATSSEPQGESGGKSSLTSGDSVITDSSQSHSWTDSSSSPHRSRPQPSSVSSFRGLSRVSAPSASHLSCRSLTRLSCRGLAVVDVTPPLVAHPILKSLYTAQPSISMAPSLPEAPGAGGTLGPVALSMPRTLPPARTGTSRGVFTDDRYVRDIHRQLMAETEAGAEAEAELAQLSVRAGMGSTGRPRGDVTPIRVADRAGPARPTGPAERENRLGLEKQVHQPLGLVTSRRERRRRGSKGSKPFSDHPRFTSGKRQIMESRISLADEATSSEAVYSTTQSCFSINDEDPGTRSSQISQTDGHDWGGKNLKQKEQHQLSRLGEQAQTHLEELHPPRGSPFFVNDQHEDVASGPGQGPGVSLHKDNEAKASGKKKFLGLLAPLRRGVFVVM
+>sp|Q9HCM3|K1549_HUMAN UPF0606 protein KIAA1549 OS=Homo sapiens OX=9606 GN=KIAA1549 PE=1 SV=4
+MPGARRRRRGAAMEGKPRAGVALAPGPSGRRPSARCARRRRPGLLLPGLWLLLLARPASCAPDELSPEQHNLSLYSMELVLKKSTGHSAAQVALTETAPGSQHSSPLHVTAPPSATTFDTAFFNQGKQTKSTADPSIFVATYVSVTSKEVAVNDDEMDNFLPDTHWTTPRMVSPIQYITVSPPGLPREALEPMLTPSLPMVSLQDEEVTSGWQNTTRQPAAYAESASHFHTFRSAFRTSEGIVPTPGRNLVLYPTDAYSHLSSRTLPEIVASLTEGVETTLFLSSRSLMPQPLGDGITIPLPSLGEVSQPPEEVWATSADRYTDVTTVLSQSLEETISPRTYPTVTASHAALAFSRTHSPLLSTPLAFASSASPTDVSSNPFLPSDSSKTSELHSNSALPGPVDNTHILSPVSSFRPYTWCAACTVPSPQQVLATSLMEKDVGSGDGAETLCMTVLEESSISLMSSVVADFSEFEEDPQVFNTLFPSRPIVPLSSRSMEISETSVGISAEVDMSSVTTTQVPPAHGRLSVPASLDPTAGSLSVAETQVTPSSVTTAFFSVITSILLDSSFSVIANKNTPSLAVRDPSVFTPYSLVPSVESSLFSDQERSSFSEHKPRGALDFASSFFSTPPLELSGSISSPSEAPASLSLMPSDLSPFTSQSFSPLVETFTLFDSSDLQSSQLSLPSSTNLEFSQLQPSSELPLNTIMLLPSRSEVSPWSSFPSDSLEFVEASTVSLTDSEAHFTSAFIETTSYLESSLISHESAVTALVPPGSESFDILTAGIQATSPLTTVHTTPILTESSLFSTLTPPDDQISALDGHVSVLASFSKAIPTGTVLITDAYLPSGSSFVSEATPFPLPTELTVVGPSLTPTEVPLNTSTEVSTTSTGAATGGPLDSTLMGDAASQSPPESSAAPPLPSLRPVTAFTLEATVDTPTLATAKPPYVCDITVPDAYLITTVLARRAVQEYIITAIKEVLRIHFNRAVELKVYELFTDFTFLVTSGPFVYTAISVINVLINSKLVRDQTPLILSVKPSFLVPESRFQVQTVLQFVPPSVDTGFCNFTQRIEKGLMTALFEVRKHHQGTYNLTVQILNITISSSRVTPRRGPVNIIFAVKSTQGFLNGSEVSELLRNLSVVEFSFYLGYPVLQIAEPFQYPQLNLSQLLKSSWVRTVLLGVMEKQLQNEVFQAEMERKLAQLLSEVSTRRRMWRRATVAAGNSVVQVVNVSRLEGDDNPVQLIYFVEDQDGERLSAVKSSDLINKMDLQRAAIILGYRIQGVIAQPVDRVKRPSPESQSNNLWVIVGVVIPVLVVMVIVVILYWKLCRTDKLDFQPDTVANIQQRQKLQIPSVKGFDFAKQHLGQHNKDDILIIHEPAPLPGPLKDHTTPSENGDVPSPKSKIPSKNVRHRGRVSPSDADSTVSEESSERDAGDKTPGAVNDGRSHRAPQSGPPLPSSGNEQHSSASIFEHVDRISRPPEASRRVPSKIQLIAMQPIPAPPVQRPSPADRVAESNKINKEIQTALRHKSEIEHHRNKIRLRAKRRGHYEFPVVDDLSSGDTKERHRVYRRAQMQIDKILDPTASVPSVFIEPRKSSRIKRSPKPRRKHQVNGCPADAEKDRLITTDSDGTYRRPPGVHNSAYIGCPSDPDLPADVQTPSSVELGRYPALPFPASQYIPPQPSIEEARQTMHSLLDDAFALVAPSSQPASTAGVGPGVPPGLPANSTPSQEERRATQWGSFYSPAQTANNPCSRYEDYGMTPPTGPLPRPGFGPGLLQSTELVPPDPQQPQASAEAPFAARGIYSEEMPSVARPRPVGGTTGSQIQHLTQVGIASRIGAQPVEIPPSRGSQYGGPGWPSYGEDEAGRREATHMLGHQEYSSSPLFQVPRTSGREPSAPSGNLPHRGLQGPGLGYPTSSTEDLQPGHSSASLIKAIREELLRLSQKQSTVQNFHS
+>DECOY_sp|Q9HCM3|K1549_HUMAN UPF0606 protein KIAA1549 OS=Homo sapiens OX=9606 GN=KIAA1549 PE=1 SV=4
+SHFNQVTSQKQSLRLLEERIAKILSASSHGPQLDETSSTPYGLGPGQLGRHPLNGSPASPERGSTRPVQFLPSSSYEQHGLMHTAERRGAEDEGYSPWGPGGYQSGRSPPIEVPQAGIRSAIGVQTLHQIQSGTTGGVPRPRAVSPMEESYIGRAAFPAEASAQPQQPDPPVLETSQLLGPGFGPRPLPGTPPTMGYDEYRSCPNNATQAPSYFSGWQTARREEQSPTSNAPLGPPVGPGVGATSAPQSSPAVLAFADDLLSHMTQRAEEISPQPPIYQSAPFPLAPYRGLEVSSPTQVDAPLDPDSPCGIYASNHVGPPRRYTGDSDTTILRDKEADAPCGNVQHKRRPKPSRKIRSSKRPEIFVSPVSATPDLIKDIQMQARRYVRHREKTDGSSLDDVVPFEYHGRRKARLRIKNRHHEIESKHRLATQIEKNIKNSEAVRDAPSPRQVPPAPIPQMAILQIKSPVRRSAEPPRSIRDVHEFISASSHQENGSSPLPPGSQPARHSRGDNVAGPTKDGADRESSEESVTSDADSPSVRGRHRVNKSPIKSKPSPVDGNESPTTHDKLPGPLPAPEHIILIDDKNHQGLHQKAFDFGKVSPIQLKQRQQINAVTDPQFDLKDTRCLKWYLIVVIVMVVLVPIVVGVIVWLNNSQSEPSPRKVRDVPQAIVGQIRYGLIIAARQLDMKNILDSSKVASLREGDQDEVFYILQVPNDDGELRSVNVVQVVSNGAAVTARRWMRRRTSVESLLQALKREMEAQFVENQLQKEMVGLLVTRVWSSKLLQSLNLQPYQFPEAIQLVPYGLYFSFEVVSLNRLLESVESGNLFGQTSKVAFIINVPGRRPTVRSSSITINLIQVTLNYTGQHHKRVEFLATMLGKEIRQTFNCFGTDVSPPVFQLVTQVQFRSEPVLFSPKVSLILPTQDRVLKSNILVNIVSIATYVFPGSTVLFTFDTFLEYVKLEVARNFHIRLVEKIATIIYEQVARRALVTTILYADPVTIDCVYPPKATALTPTDVTAELTFATVPRLSPLPPAASSEPPSQSAADGMLTSDLPGGTAAGTSTTSVETSTNLPVETPTLSPGVVTLETPLPFPTAESVFSSGSPLYADTILVTGTPIAKSFSALVSVHGDLASIQDDPPTLTSFLSSETLIPTTHVTTLPSTAQIGATLIDFSESGPPVLATVASEHSILSSELYSTTEIFASTFHAESDTLSVTSAEVFELSDSPFSSWPSVESRSPLLMITNLPLESSPQLQSFELNTSSPLSLQSSQLDSSDFLTFTEVLPSFSQSTFPSLDSPMLSLSAPAESPSSISGSLELPPTSFFSSAFDLAGRPKHESFSSREQDSFLSSEVSPVLSYPTFVSPDRVALSPTNKNAIVSFSSDLLISTIVSFFATTVSSPTVQTEAVSLSGATPDLSAPVSLRGHAPPVQTTTVSSMDVEASIGVSTESIEMSRSSLPVIPRSPFLTNFVQPDEEFESFDAVVSSMLSISSEELVTMCLTEAGDGSGVDKEMLSTALVQQPSPVTCAACWTYPRFSSVPSLIHTNDVPGPLASNSHLESTKSSDSPLFPNSSVDTPSASSAFALPTSLLPSHTRSFALAAHSATVTPYTRPSITEELSQSLVTTVDTYRDASTAWVEEPPQSVEGLSPLPITIGDGLPQPMLSRSSLFLTTEVGETLSAVIEPLTRSSLHSYADTPYLVLNRGPTPVIGESTRFASRFTHFHSASEAYAAPQRTTNQWGSTVEEDQLSVMPLSPTLMPELAERPLGPPSVTIYQIPSVMRPTTWHTDPLFNDMEDDNVAVEKSTVSVYTAVFISPDATSKTQKGQNFFATDFTTASPPATVHLPSSHQSGPATETLAVQAASHGTSKKLVLEMSYLSLNHQEPSLEDPACSAPRALLLLWLGPLLLGPRRRRACRASPRRGSPGPALAVGARPKGEMAAGRRRRRAGPM
+>sp|Q5VZ46|K1614_HUMAN Uncharacterized protein KIAA1614 OS=Homo sapiens OX=9606 GN=KIAA1614 PE=2 SV=3
+MEGTEAAAAKPAGGSPQGPKTGSGTASPVEGTSAVEWSGPEPQLDNGHPPRPWPCPQENRTSSLMAPQPPRVWGVQLQGPSVLESKVRALKEKMTVAKQGVSPCSASQEWSSPKKPQCRRGKAGRAGTPSEGSFLPGAVVAPRTQNLPDGQLDGSINEEQPARDGGPRLPRPPAPGREYCNRGSPWPPEAEWTLPDHDRGPLLGPSSLQQSPIHGVTPGRPGGPGHCNKIIHIPSPRTGRSYPFPDGVVTEADLDSTSLTSEEVFVPRTALLGERWRAGDLEALGAGSSVLSLSDRVERNRLLLQEMLNVSGQSPRKVGTPAWTPSWDTAAPERPVGDVDWASGTSLQDSGQNRTVGPNPEPVLSPRHEEATHLLQRARMKARTRPLRASHDIVPTITQGSRDGHRSPARDPRTTPACRDSLQNGHTSDSSSGESSGGHRPRRGPSPSHVRFEDESAREAEFRHLERLQQRQRQVLSTVLQAADQGPLRSKPDLADYINGAPRLRDAGQGTFHRLVGSLDRRGHPAPPAPGSERRCQACGSCIDDPRPAQGKAPPVPRTLQELQAACGMERVLGGLSSPLRLLPAEPRLHMEWIRETHIGDTVCPAEVDSALDSTDNSDNCRTDSEEAGTSQAGWACGRTQGSSPRLRLRGSRPRGHRWSKKAEAELPWGLQAQQHLPRADDVEVENEVKEGRGHTPEGTLFLREDAKPPDLELKRVSLGPQWQPGPGLGSHQPHPLDSRTPCRTAYATTAPMTPESSGPGGQAQVTESHESLEIVSPSSLQQSHAEPSAPHQAWQPTASLCPEGWAPTPPPSRKTTSPVSHRKAALAGLLRLGDQTEPVGIPRPPSRSAVLRTCELPPSQTQPSRPQVRHPLLALSTNNCNNSAPRGLQEPYGGAVHEGRVERGPCSREPEPPLENSRDGGPQGFLGSADVATINSTGITLSLSSEESESSKESEGSLQRTGSGSGGHVLSRASAGAGTGPGSPSAAPLDQNKKRSSSIASTLGLKKLFSALGQSSRPKLGKSRSYSVEQLQPAPPGLTSQSRAPSLQSLHPVSPSHQRRKAASFQNLHSLLSSKGNRSSLYLVAGPGDHSAAGRPAKTSPRRALSVEDVGAPSLARTVGRLVEVFPDGTSQLQLQRSPGGTFGFCVASGNGRPDSGMPSPLPQPHGWGGLSKQGRAFWLWSEAFLVFG
+>DECOY_sp|Q5VZ46|K1614_HUMAN Uncharacterized protein KIAA1614 OS=Homo sapiens OX=9606 GN=KIAA1614 PE=2 SV=3
+GFVLFAESWLWFARGQKSLGGWGHPQPLPSPMGSDPRGNGSAVCFGFTGGPSRQLQLQSTGDPFVEVLRGVTRALSPAGVDEVSLARRPSTKAPRGAASHDGPGAVLYLSSRNGKSSLLSHLNQFSAAKRRQHSPSVPHLSQLSPARSQSTLGPPAPQLQEVSYSRSKGLKPRSSQGLASFLKKLGLTSAISSSRKKNQDLPAASPSGPGTGAGASARSLVHGGSGSGTRQLSGESEKSSESEESSLSLTIGTSNITAVDASGLFGQPGGDRSNELPPEPERSCPGREVRGEHVAGGYPEQLGRPASNNCNNTSLALLPHRVQPRSPQTQSPPLECTRLVASRSPPRPIGVPETQDGLRLLGALAAKRHSVPSTTKRSPPPTPAWGEPCLSATPQWAQHPASPEAHSQQLSSPSVIELSEHSETVQAQGGPGSSEPTMPATTAYATRCPTRSDLPHPQHSGLGPGPQWQPGLSVRKLELDPPKADERLFLTGEPTHGRGEKVENEVEVDDARPLHQQAQLGWPLEAEAKKSWRHGRPRSGRLRLRPSSGQTRGCAWGAQSTGAEESDTRCNDSNDTSDLASDVEAPCVTDGIHTERIWEMHLRPEAPLLRLPSSLGGLVREMGCAAQLEQLTRPVPPAKGQAPRPDDICSGCAQCRRESGPAPPAPHGRRDLSGVLRHFTGQGADRLRPAGNIYDALDPKSRLPGQDAAQLVTSLVQRQRQQLRELHRFEAERASEDEFRVHSPSPGRRPRHGGSSEGSSSDSTHGNQLSDRCAPTTRPDRAPSRHGDRSGQTITPVIDHSARLPRTRAKMRARQLLHTAEEHRPSLVPEPNPGVTRNQGSDQLSTGSAWDVDGVPREPAATDWSPTWAPTGVKRPSQGSVNLMEQLLLRNREVRDSLSLVSSGAGLAELDGARWREGLLATRPVFVEESTLSTSDLDAETVVGDPFPYSRGTRPSPIHIIKNCHGPGGPRGPTVGHIPSQQLSSPGLLPGRDHDPLTWEAEPPWPSGRNCYERGPAPPRPLRPGGDRAPQEENISGDLQGDPLNQTRPAVVAGPLFSGESPTGARGAKGRRCQPKKPSSWEQSASCPSVGQKAVTMKEKLARVKSELVSPGQLQVGWVRPPQPAMLSSTRNEQPCPWPRPPHGNDLQPEPGSWEVASTGEVPSATGSGTKPGQPSGGAPKAAAAETGEM
+>sp|Q9BY89|K1671_HUMAN Uncharacterized protein KIAA1671 OS=Homo sapiens OX=9606 GN=KIAA1671 PE=1 SV=2
+MATRVEVGSITPLTAVPGLGEMGKEETLTRTYFLQAGEASGAPPARILEAKSPLRSPARLLPLPRLAPKPFSKEQDVKSPVPSLRPSSTGPSPSGGLSEEPAAKDLDNRMPGLVGQEVGSGEGPRTSSPLFNKAVFLRPSSSTMILFETTKSGPALGKAVSEGAEEAKLGVSGSRPEVAAKPALPTQKPAGTLPRSAPLSQDTKPPVPQEEAGQDHPPSKASSVEDTARPLVEPRPRLKRRPVSAIFTESIQPQKPGPGAAATVGKVPPTPPEKTWVRKPRPLSMDLTARFENKEALLRKVADEGSGPTAGDMAGLERPRAASKLDRDCLVKAEAPLHDPDLDFLEVAKKIRERKEKMLSKPEMGSPRALVGGSSGVTPSNDQSPWEEKAKLDPEPEKAAESPSPRLGRGLELAEVKSRVADGEAAAGGEWASRRSVRKCISLFREDSTLALAVGSESPLATPASPSAAPEPEKGVVSVQERIRGWTAESSEAKPEVRRRTFQARPLSADLTKLFSSSASSNEVKYEKSAELSGEFPKEPREKQKEGHSLDGACIPRSPWKPGTLRDKSRQTEQKVSSNQDPDSCRGGSSVEAPCPSDVTPEDDRSFQTVWATVFEHHVERHTVADQSGRCLSTTPPGDMAHARVSEPRPRPEMGSWLGRDPPDMTKLKKENSRGFDNPETEKLGPTTLLNGELRPYHTPLRDKYPLSENHNNNTFLKHLENPPTSQRIEPRYDIVHAVGERVHSEAISPAPEEKAVTLRSLRSWLSLKDRQLSQEVTPADLECGLEGQAGSVQRASLIWEARGMPEASGPKFGGNCPFPKWTGGAVVSSHKATVAVSEEHCAPGATSVRAIKAAIWESQHEGPEGARSKPGVGARGPPQGCPLDPLSRATNGPSDSQARTHPDAFAVQKGPFIVAAREGDPGPAQVPQPAVRMRKAGAMDQRMDRWRRRTLPPNVKFDTFSSLVPEDSPHVGHRRTDYVSPTASALRKPQLSHYRVETQEVNPGASRDQTSPAVKQGSPVEPKATFFAVTYQIPNTQKAKGVVLSGAESLLEHSRKITPPSSPHSLTSTLVSLGHEEALEMAGSKNWMKGREHENASILKTLKPTDRPSSLGAWSLDPFNGRIIDVDALWSHRGSEDGPRPQSNWKESANKMSPSGGAPQTTPTLRSRPKDLPVRRKTDVISDTFPGKIRDGYRSSVLDIDALMAEYQELSLKVPGEAQERRSPTVEPSTLPRERPVQLGGVEQRRRSLKEMPDTGGLWKPASSAEINHSFTPGLGKQLAETLETAMGTKSSPPFWALPPSAPSERYPGGSPIPADPRKKTGFAEDDRKAFASKHHVAKCQNYLAESKPSGREDPGSGVRVSPKSPPTDQKKGTPRKSTGRGEEDSVAQWGDHPRDCGRVPLDIKRAYSEKGPPANIREGLSIMHEARERRREQPKGRPSLTGENLEAKMGPCWWESGTGDSHKVLPRDLEKEDAPQEKERPLQQVSPVASVPWRSHSFCKDRRSGPFVDQLKQCFSRQPTEPKDTDTLVHEAGSQYGTWTEQCQSGESLATESPDSSATSTRKQPPSSRLSSLSSQTEPTSAGDQYDCSRDQRSTSVDHSSTDLESTDGMEGPPPPDACPEKRVDDFSFIDQTSVLDSSALKTRVQLSKRSRRRAPISHSLRRSRFSESESRSPLEDETDNTWMFKDSTEEKSPRKEESDEEETASKAERTPVSHPQRMPAFPGMDPAVLKAQLHKRPEVDSPGETPSWAPQPKSPKSPFQPGVLGSRVLPSSMDKDERSDEPSPQWLKELKSKKRQSLYENQV
+>DECOY_sp|Q9BY89|K1671_HUMAN Uncharacterized protein KIAA1671 OS=Homo sapiens OX=9606 GN=KIAA1671 PE=1 SV=2
+VQNEYLSQRKKSKLEKLWQPSPEDSREDKDMSSPLVRSGLVGPQFPSKPSKPQPAWSPTEGPSDVEPRKHLQAKLVAPDMGPFAPMRQPHSVPTREAKSATEEEDSEEKRPSKEETSDKFMWTNDTEDELPSRSESESFRSRRLSHSIPARRRSRKSLQVRTKLASSDLVSTQDIFSFDDVRKEPCADPPPPGEMGDTSELDTSSHDVSTSRQDRSCDYQDGASTPETQSSLSSLRSSPPQKRTSTASSDPSETALSEGSQCQETWTGYQSGAEHVLTDTDKPETPQRSFCQKLQDVFPGSRRDKCFSHSRWPVSAVPSVQQLPREKEQPADEKELDRPLVKHSDGTGSEWWCPGMKAELNEGTLSPRGKPQERRRERAEHMISLGERINAPPGKESYARKIDLPVRGCDRPHDGWQAVSDEEGRGTSKRPTGKKQDTPPSKPSVRVGSGPDERGSPKSEALYNQCKAVHHKSAFAKRDDEAFGTKKRPDAPIPSGGPYRESPASPPLAWFPPSSKTGMATELTEALQKGLGPTFSHNIEASSAPKWLGGTDPMEKLSRRRQEVGGLQVPRERPLTSPEVTPSRREQAEGPVKLSLEQYEAMLADIDLVSSRYGDRIKGPFTDSIVDTKRRVPLDKPRSRLTPTTQPAGGSPSMKNASEKWNSQPRPGDESGRHSWLADVDIIRGNFPDLSWAGLSSPRDTPKLTKLISANEHERGKMWNKSGAMELAEEHGLSVLTSTLSHPSSPPTIKRSHELLSEAGSLVVGKAKQTNPIQYTVAFFTAKPEVPSGQKVAPSTQDRSAGPNVEQTEVRYHSLQPKRLASATPSVYDTRRHGVHPSDEPVLSSFTDFKVNPPLTRRRWRDMRQDMAGAKRMRVAPQPVQAPGPDGERAAVIFPGKQVAFADPHTRAQSDSPGNTARSLPDLPCGQPPGRAGVGPKSRAGEPGEHQSEWIAAKIARVSTAGPACHEESVAVTAKHSSVVAGGTWKPFPCNGGFKPGSAEPMGRAEWILSARQVSGAQGELGCELDAPTVEQSLQRDKLSLWSRLSRLTVAKEEPAPSIAESHVREGVAHVIDYRPEIRQSTPPNELHKLFTNNNHNESLPYKDRLPTHYPRLEGNLLTTPGLKETEPNDFGRSNEKKLKTMDPPDRGLWSGMEPRPRPESVRAHAMDGPPTTSLCRGSQDAVTHREVHHEFVTAWVTQFSRDDEPTVDSPCPAEVSSGGRCSDPDQNSSVKQETQRSKDRLTGPKWPSRPICAGDLSHGEKQKERPEKPFEGSLEASKEYKVENSSASSSFLKTLDASLPRAQFTRRRVEPKAESSEATWGRIREQVSVVGKEPEPAASPSAPTALPSESGVALALTSDERFLSICKRVSRRSAWEGGAAAEGDAVRSKVEALELGRGLRPSPSEAAKEPEPDLKAKEEWPSQDNSPTVGSSGGVLARPSGMEPKSLMKEKRERIKKAVELFDLDPDHLPAEAKVLCDRDLKSAARPRELGAMDGATPGSGEDAVKRLLAEKNEFRATLDMSLPRPKRVWTKEPPTPPVKGVTAAAGPGPKQPQISETFIASVPRRKLRPRPEVLPRATDEVSSAKSPPHDQGAEEQPVPPKTDQSLPASRPLTGAPKQTPLAPKAAVEPRSGSVGLKAEEAGESVAKGLAPGSKTTEFLIMTSSSPRLFVAKNFLPSSTRPGEGSGVEQGVLGPMRNDLDKAAPEESLGGSPSPGTSSPRLSPVPSKVDQEKSFPKPALRPLPLLRAPSRLPSKAELIRAPPAGSAEGAQLFYTRTLTEEKGMEGLGPVATLPTISGVEVRTAM
+>sp|P13645|K1C10_HUMAN Keratin, type I cytoskeletal 10 OS=Homo sapiens OX=9606 GN=KRT10 PE=1 SV=6
+MSVRYSSSKHYSSSRSGGGGGGGGCGGGGGVSSLRISSSKGSLGGGFSSGGFSGGSFSRGSSGGGCFGGSSGGYGGLGGFGGGSFRGSYGSSSFGGSYGGIFGGGSFGGGSFGGGSFGGGGFGGGGFGGGFGGGFGGDGGLLSGNEKVTMQNLNDRLASYLDKVRALEESNYELEGKIKEWYEKHGNSHQGEPRDYSKYYKTIDDLKNQILNLTTDNANILLQIDNARLAADDFRLKYENEVALRQSVEADINGLRRVLDELTLTKADLEMQIESLTEELAYLKKNHEEEMKDLRNVSTGDVNVEMNAAPGVDLTQLLNNMRSQYEQLAEQNRKDAEAWFNEKSKELTTEIDNNIEQISSYKSEITELRRNVQALEIELQSQLALKQSLEASLAETEGRYCVQLSQIQAQISALEEQLQQIRAETECQNTEYQQLLDIKIRLENEIQTYRSLLEGEGSSGGGGRGGGSFGGGYGGGSSGGGSSGGGHGGGHGGSSGGGYGGGSSGGGSSGGGYGGGSSSGGHGGSSSGGYGGGSSGGGGGGYGGGSSGGGSSSGGGYGGGSSSGGHKSSSSGSVGESSSKGPRY
+>DECOY_sp|P13645|K1C10_HUMAN Keratin, type I cytoskeletal 10 OS=Homo sapiens OX=9606 GN=KRT10 PE=1 SV=6
+YRPGKSSSEGVSGSSSSKHGGSSSGGGYGGGSSSGGGSSGGGYGGGGGGSSGGGYGGSSSGGHGGSSSGGGYGGGSSGGGSSGGGYGGGSSGGHGGGHGGGSSGGGSSGGGYGGGFSGGGRGGGGSSGEGELLSRYTQIENELRIKIDLLQQYETNQCETEARIQQLQEELASIQAQIQSLQVCYRGETEALSAELSQKLALQSQLEIELAQVNRRLETIESKYSSIQEINNDIETTLEKSKENFWAEADKRNQEALQEYQSRMNNLLQTLDVGPAANMEVNVDGTSVNRLDKMEEEHNKKLYALEETLSEIQMELDAKTLTLEDLVRRLGNIDAEVSQRLAVENEYKLRFDDAALRANDIQLLINANDTTLNLIQNKLDDITKYYKSYDRPEGQHSNGHKEYWEKIKGELEYNSEELARVKDLYSALRDNLNQMTVKENGSLLGGDGGFGGGFGGGFGGGGFGGGGFSGGGFSGGGFSGGGFIGGYSGGFSSSGYSGRFSGGGFGGLGGYGGSSGGFCGGGSSGRSFSGGSFGGSSFGGGLSGKSSSIRLSSVGGGGGCGGGGGGGGSRSSSYHKSSSYRVSM
+>sp|Q99456|K1C12_HUMAN Keratin, type I cytoskeletal 12 OS=Homo sapiens OX=9606 GN=KRT12 PE=1 SV=1
+MDLSNNTMSLSVRTPGLSRRLSSQSVIGRPRGMSASSVGSGYGGSAFGFGASCGGGFSAASMFGSSSGFGGGSGSSMAGGLGAGYGRALGGGSFGGLGMGFGGSPGGGSLGILSGNDGGLLSGSEKETMQNLNDRLASYLDKVRALEEANTELENKIREWYETRGTGTADASQSDYSKYYPLIEDLRNKIISASIGNAQLLLQIDNARLAAEDFRMKYENELALRQGVEADINGLRRVLDELTLTRTDLEMQIESLNEELAYMKKNHEDELQSFRVGGPGEVSVEMDAAPGVDLTRLLNDMRAQYETIAEQNRKDAEAWFIEKSGELRKEISTNTEQLQSSKSEVTDLRRAFQNLEIELQSQLAMKKSLEDSLAEAEGDYCAQLSQVQQLISNLEAQLLQVRADAERQNVDHQRLLNVKARLELEIETYRRLLDGEAQGDGLEESLFVTDSKSQAQSTDSSKDPTKTRKIKTVVQEMVNGEVVSSQVQEIEELM
+>DECOY_sp|Q99456|K1C12_HUMAN Keratin, type I cytoskeletal 12 OS=Homo sapiens OX=9606 GN=KRT12 PE=1 SV=1
+MLEEIEQVQSSVVEGNVMEQVVTKIKRTKTPDKSSDTSQAQSKSDTVFLSEELGDGQAEGDLLRRYTEIELELRAKVNLLRQHDVNQREADARVQLLQAELNSILQQVQSLQACYDGEAEALSDELSKKMALQSQLEIELNQFARRLDTVESKSSQLQETNTSIEKRLEGSKEIFWAEADKRNQEAITEYQARMDNLLRTLDVGPAADMEVSVEGPGGVRFSQLEDEHNKKMYALEENLSEIQMELDTRTLTLEDLVRRLGNIDAEVGQRLALENEYKMRFDEAALRANDIQLLLQANGISASIIKNRLDEILPYYKSYDSQSADATGTGRTEYWERIKNELETNAEELARVKDLYSALRDNLNQMTEKESGSLLGGDNGSLIGLSGGGPSGGFGMGLGGFSGGGLARGYGAGLGGAMSSGSGGGFGSSSGFMSAASFGGGCSAGFGFASGGYGSGVSSASMGRPRGIVSQSSLRRSLGPTRVSLSMTNNSLDM
+>sp|Q5HYC2|K2026_HUMAN Uncharacterized protein KIAA2026 OS=Homo sapiens OX=9606 GN=KIAA2026 PE=2 SV=2
+MSVPGTPGAMEPAGEEERPPPAAEGEDDEEEVAAAAQTSGPAHGRSASSLEDADDQEEEMEAMVIGGGCCKEQELTYELQQGYRILGEFLQEKHRGLTAPFLQPLGGVATAEEEVAEGPRSGGRGGRAFPQQPGQGMCLLQMEEKFASGQYGGITEFVADFRLMLETCYRLHGVDHWISKQGQKLEMMLEQKLALLSRHLREKTTIAVTSRGYYGLEDEKGTACTSTRRRSTPRSLAGLTSGVFESIMVQVLRQEEQLRAKEEKRLREQERKEAEEASQKEIEEWERKLLAQAAPTCMETMWEIPAIGHFLCLAQQILNLPEIVFYELERCLLMPQCNAFLSKIMTSLLSPPHRRPTLHRRPTLPYRTWEAALRQKVQQWYTAVGQTENPDNCAEKLGLCPQFFKVLGEVNPLEEKPFHELPFYQKVWLLKGLCDFVYETQKEVQDAVLGQPIHECREVILGYDYLENAYVHFPQFCGADVRIYKQRPFQAPEFPIPPIKIQRVPRIKLEKLKCDYVSTSNGEHRCSRDSLPSSFKKEQENNFDPACCPAKMILDNHDISVEMGVKSNYEIRIRRPCEIKKTDCCKENLEKPRSPGEVTGFGEPLSPGEIRFIENQEKYGEASRIKIEPSPLKENTLKSCQIHVNGSHSDHPEINCHKVVRDILLEQSLQSHKKLKLTKMRAKKKKKKKKKLKDVLNENLQRKREGLHSLAFKSYKPEIQNKLLIIKKKAKHKKHKSGKKSVSKKAITKKRKTVIKSPTVPEFQLICTNLDELRELITKIENELKDLENSRKKSGKWYHRRQAVKELHSTLIRLLNELLPWEPKLMKAFQRNRSRLKKDYDDFRRQPDHDTFNRELWTTDEGEGDLGKDSPKGEISKSIDSTEPLDILEKDHFDSDDMKLSEIDFPMARSKLLKKELPSKDLPKTLLKTLKRQSKQTDYVDDSTKELSPRKKAKLSTNETTVENLESDVQIDCFSESKHTEPSFPESFASLDSVPVSTLQKGTKPIQALLAKNIGNKVTLTNQLPPSTGRNALAVEKPVLSPPEASPIKPALTCHTNTKGPLQMVYKMPCGQWLPIDLQNSSVKIQVQPMVDPKTGEKIMQQVLILPKNFVIQHKEGKAVAKEVPPLQQKGTEQHCSSFPQTTNINSSLASVFVNSPGTVSTQLPNTAFNKTITPLSNISSARPQPLSPVTSVSNLLTPSVKTSQSEAGKAKNAVSAATFSLPSASPTISSTGQPLSSTTTLNGSTNPGSSFNCFAQQTADSSEAKQELKTVCIRDSQSILVRTRGGNTGVVKVQTNPDQNSPNTVSSSSVFTFAPQLQAFLVPKSTTSSSAFSPVAGTTTTSSLSPFSQTPTSVSIPASFAPSMGKNLKLTLGHTTGSGDLGHVIDKTSHMPSSPLKSSICSSTLLPSTTSSSVSVISISAANFGQNNANIIHTPTKQQQVDYITKSYPVTRSEATAATNGDVISGTPVQKLMLVSAPSILSSGNGTAINMTPALTSTGVSAQKLVFINAPVPSGTSTPTLVAESLKQTLPPPLHKAYVKTPEQPQIVLIPSTVGTPIKINSSPAVSQIKDVKIGLNIGQAIVNTSGTVPAIPSINILQNVTPKGEDKSSKGYILPLSTSGNSVPVSSNFVSQNITPVNESVVSSARAVNVLSVTGANLSLGSFPVTSASASAGAQPPVLVSGNDTSSRIMPILSNRLCSSSLGNTVAISTVKTGHLASSVLISTTQPVVSPKCLTSALQIPVTVALPTPATTSPKIINTVPHSAAVPGATRSVSISKRQSRTSLQFHSPGISTTVPTNVNTNKPQTELSSLSTSPGKITNTSNFASLPNQQALVKTPSYSSAPGGTTIHTASAPSNVTSLVGSQFSEPCIQQKIVINTSTPLAPGTQIMINGTRFIVPPQGLGAGSHVLLISTNPKYGAPLVLNSGQGIQSTPIDNSAQKITLASNNSLSGQPLQHPLRSPTKFINSFGNASSIPTVHTSPQLINTTAKVPVPPPVPTVSLTSVIKSPATLLAKTSLVSAICPSNPPLPSSTSVFHLDPPVKKLLVSPEGAILNTINTPASKVSSLSPSLSQIVVSASRSPASVFPAFQSSGLEKPDRAAS
+>DECOY_sp|Q5HYC2|K2026_HUMAN Uncharacterized protein KIAA2026 OS=Homo sapiens OX=9606 GN=KIAA2026 PE=2 SV=2
+SAARDPKELGSSQFAPFVSAPSRSASVVIQSLSPSLSSVKSAPTNITNLIAGEPSVLLKKVPPDLHFVSTSSPLPPNSPCIASVLSTKALLTAPSKIVSTLSVTPVPPPVPVKATTNILQPSTHVTPISSANGFSNIFKTPSRLPHQLPQGSLSNNSALTIKQASNDIPTSQIGQGSNLVLPAGYKPNTSILLVHSGAGLGQPPVIFRTGNIMIQTGPALPTSTNIVIKQQICPESFQSGVLSTVNSPASATHITTGGPASSYSPTKVLAQQNPLSAFNSTNTIKGPSTSLSSLETQPKNTNVNTPVTTSIGPSHFQLSTRSQRKSISVSRTAGPVAASHPVTNIIKPSTTAPTPLAVTVPIQLASTLCKPSVVPQTTSILVSSALHGTKVTSIAVTNGLSSSCLRNSLIPMIRSSTDNGSVLVPPQAGASASASTVPFSGLSLNAGTVSLVNVARASSVVSENVPTINQSVFNSSVPVSNGSTSLPLIYGKSSKDEGKPTVNQLINISPIAPVTGSTNVIAQGINLGIKVDKIQSVAPSSNIKIPTGVTSPILVIQPQEPTKVYAKHLPPPLTQKLSEAVLTPTSTGSPVPANIFVLKQASVGTSTLAPTMNIATGNGSSLISPASVLMLKQVPTGSIVDGNTAATAESRTVPYSKTIYDVQQQKTPTHIINANNQGFNAASISIVSVSSSTTSPLLTSSCISSKLPSSPMHSTKDIVHGLDGSGTTHGLTLKLNKGMSPAFSAPISVSTPTQSFPSLSSTTTTGAVPSFASSSTTSKPVLFAQLQPAFTFVSSSSVTNPSNQDPNTQVKVVGTNGGRTRVLISQSDRICVTKLEQKAESSDATQQAFCNFSSGPNTSGNLTTTSSLPQGTSSITPSASPLSFTAASVANKAKGAESQSTKVSPTLLNSVSTVPSLPQPRASSINSLPTITKNFATNPLQTSVTGPSNVFVSALSSNINTTQPFSSCHQETGKQQLPPVEKAVAKGEKHQIVFNKPLILVQQMIKEGTKPDVMPQVQIKVSSNQLDIPLWQGCPMKYVMQLPGKTNTHCTLAPKIPSAEPPSLVPKEVALANRGTSPPLQNTLTVKNGINKALLAQIPKTGKQLTSVPVSDLSAFSEPFSPETHKSESFCDIQVDSELNEVTTENTSLKAKKRPSLEKTSDDVYDTQKSQRKLTKLLTKPLDKSPLEKKLLKSRAMPFDIESLKMDDSDFHDKELIDLPETSDISKSIEGKPSDKGLDGEGEDTTWLERNFTDHDPQRRFDDYDKKLRSRNRQFAKMLKPEWPLLENLLRILTSHLEKVAQRRHYWKGSKKRSNELDKLENEIKTILERLEDLNTCILQFEPVTPSKIVTKRKKTIAKKSVSKKGSKHKKHKAKKKIILLKNQIEPKYSKFALSHLGERKRQLNENLVDKLKKKKKKKKKARMKTLKLKKHSQLSQELLIDRVVKHCNIEPHDSHSGNVHIQCSKLTNEKLPSPEIKIRSAEGYKEQNEIFRIEGPSLPEGFGTVEGPSRPKELNEKCCDTKKIECPRRIRIEYNSKVGMEVSIDHNDLIMKAPCCAPDFNNEQEKKFSSPLSDRSCRHEGNSTSVYDCKLKELKIRPVRQIKIPPIPFEPAQFPRQKYIRVDAGCFQPFHVYANELYDYGLIVERCEHIPQGLVADQVEKQTEYVFDCLGKLLWVKQYFPLEHFPKEELPNVEGLVKFFQPCLGLKEACNDPNETQGVATYWQQVKQRLAAEWTRYPLTPRRHLTPRRHPPSLLSTMIKSLFANCQPMLLCRELEYFVIEPLNLIQQALCLFHGIAPIEWMTEMCTPAAQALLKREWEEIEKQSAEEAEKREQERLRKEEKARLQEEQRLVQVMISEFVGSTLGALSRPTSRRRTSTCATGKEDELGYYGRSTVAITTKERLHRSLLALKQELMMELKQGQKSIWHDVGHLRYCTELMLRFDAVFETIGGYQGSAFKEEMQLLCMGQGPQQPFARGGRGGSRPGEAVEEEATAVGGLPQLFPATLGRHKEQLFEGLIRYGQQLEYTLEQEKCCGGGIVMAEMEEEQDDADELSSASRGHAPGSTQAAAAVEEEDDEGEAAPPPREEEGAPEMAGPTGPVSM
+>sp|P35908|K22E_HUMAN Keratin, type II cytoskeletal 2 epidermal OS=Homo sapiens OX=9606 GN=KRT2 PE=1 SV=2
+MSCQISCKSRGRGGGGGGFRGFSSGSAVVSGGSRRSTSSFSCLSRHGGGGGGFGGGGFGSRSLVGLGGTKSISISVAGGGGGFGAAGGFGGRGGGFGGGSSFGGGSGFSGGGFGGGGFGGGRFGGFGGPGGVGGLGGPGGFGPGGYPGGIHEVSVNQSLLQPLNVKVDPEIQNVKAQEREQIKTLNNKFASFIDKVRFLEQQNQVLQTKWELLQQMNVGTRPINLEPIFQGYIDSLKRYLDGLTAERTSQNSELNNMQDLVEDYKKKYEDEINKRTAAENDFVTLKKDVDNAYMIKVELQSKVDLLNQEIEFLKVLYDAEISQIHQSVTDTNVILSMDNSRNLDLDSIIAEVKAQYEEIAQRSKEEAEALYHSKYEELQVTVGRHGDSLKEIKIEISELNRVIQRLQGEIAHVKKQCKNVQDAIADAEQRGEHALKDARNKLNDLEEALQQAKEDLARLLRDYQELMNVKLALDVEIATYRKLLEGEECRMSGDLSSNVTVSVTSSTISSNVASKAAFGGSGGRGSSSGGGYSSGSSSYGSGGRQSGSRGGSGGGGSISGGGYGSGGGSGGRYGSGGGSKGGSISGGGYGSGGGKHSSGGGSRGGSSSGGGYGSGGGGSSSVKGSSGEAFGSSVTFSFR
+>DECOY_sp|P35908|K22E_HUMAN Keratin, type II cytoskeletal 2 epidermal OS=Homo sapiens OX=9606 GN=KRT2 PE=1 SV=2
+RFSFTVSSGFAEGSSGKVSSSGGGGSGYGGGSSSGGRSGGGSSHKGGGSGYGGGSISGGKSGGGSGYRGGSGGGSGYGGGSISGGGGSGGRSGSQRGGSGYSSSGSSYGGGSSSGRGGSGGFAAKSAVNSSITSSTVSVTVNSSLDGSMRCEEGELLKRYTAIEVDLALKVNMLEQYDRLLRALDEKAQQLAEELDNLKNRADKLAHEGRQEADAIADQVNKCQKKVHAIEGQLRQIVRNLESIEIKIEKLSDGHRGVTVQLEEYKSHYLAEAEEKSRQAIEEYQAKVEAIISDLDLNRSNDMSLIVNTDTVSQHIQSIEADYLVKLFEIEQNLLDVKSQLEVKIMYANDVDKKLTVFDNEAATRKNIEDEYKKKYDEVLDQMNNLESNQSTREATLGDLYRKLSDIYGQFIPELNIPRTGVNMQQLLEWKTQLVQNQQELFRVKDIFSAFKNNLTKIQEREQAKVNQIEPDVKVNLPQLLSQNVSVEHIGGPYGGPGFGGPGGLGGVGGPGGFGGFRGGGFGGGGFGGGSFGSGGGFSSGGGFGGGRGGFGGAAGFGGGGGAVSISISKTGGLGVLSRSGFGGGGFGGGGGGHRSLCSFSSTSRRSGGSVVASGSSFGRFGGGGGGRGRSKCSIQCSM
+>sp|P13647|K2C5_HUMAN Keratin, type II cytoskeletal 5 OS=Homo sapiens OX=9606 GN=KRT5 PE=1 SV=3
+MSRQSSVSFRSGGSRSFSTASAITPSVSRTSFTSVSRSGGGGGGGFGRVSLAGACGVGGYGSRSLYNLGGSKRISISTSGGSFRNRFGAGAGGGYGFGGGAGSGFGFGGGAGGGFGLGGGAGFGGGFGGPGFPVCPPGGIQEVTVNQSLLTPLNLQIDPSIQRVRTEEREQIKTLNNKFASFIDKVRFLEQQNKVLDTKWTLLQEQGTKTVRQNLEPLFEQYINNLRRQLDSIVGERGRLDSELRNMQDLVEDFKNKYEDEINKRTTAENEFVMLKKDVDAAYMNKVELEAKVDALMDEINFMKMFFDAELSQMQTHVSDTSVVLSMDNNRNLDLDSIIAEVKAQYEEIANRSRTEAESWYQTKYEELQQTAGRHGDDLRNTKHEISEMNRMIQRLRAEIDNVKKQCANLQNAIADAEQRGELALKDARNKLAELEEALQKAKQDMARLLREYQELMNTKLALDVEIATYRKLLEGEECRLSGEGVGPVNISVVTSSVSSGYGSGSGYGGGLGGGLGGGLGGGLAGGSSGSYYSSSSGGVGLGGGLSVGGSGFSASSGRGLGVGFGSGGGSSSSVKFVSTTSSSRKSFKS
+>DECOY_sp|P13647|K2C5_HUMAN Keratin, type II cytoskeletal 5 OS=Homo sapiens OX=9606 GN=KRT5 PE=1 SV=3
+SKFSKRSSSTTSVFKVSSSSGGGSGFGVGLGRGSSASFGSGGVSLGGGLGVGGSSSSYYSGSSGGALGGGLGGGLGGGLGGGYGSGSGYGSSVSSTVVSINVPGVGEGSLRCEEGELLKRYTAIEVDLALKTNMLEQYERLLRAMDQKAKQLAEELEALKNRADKLALEGRQEADAIANQLNACQKKVNDIEARLRQIMRNMESIEHKTNRLDDGHRGATQQLEEYKTQYWSEAETRSRNAIEEYQAKVEAIISDLDLNRNNDMSLVVSTDSVHTQMQSLEADFFMKMFNIEDMLADVKAELEVKNMYAADVDKKLMVFENEATTRKNIEDEYKNKFDEVLDQMNRLESDLRGREGVISDLQRRLNNIYQEFLPELNQRVTKTGQEQLLTWKTDLVKNQQELFRVKDIFSAFKNNLTKIQEREETRVRQISPDIQLNLPTLLSQNVTVEQIGGPPCVPFGPGGFGGGFGAGGGLGFGGGAGGGFGFGSGAGGGFGYGGGAGAGFRNRFSGGSTSISIRKSGGLNYLSRSGYGGVGCAGALSVRGFGGGGGGGSRSVSTFSTRSVSPTIASATSFSRSGGSRFSVSSQRSM
+>sp|P48668|K2C6C_HUMAN Keratin, type II cytoskeletal 6C OS=Homo sapiens OX=9606 GN=KRT6C PE=1 SV=3
+MASTSTTIRSHSSSRRGFSANSARLPGVSRSGFSSISVSRSRGSGGLGGACGGAGFGSRSLYGLGGSKRISIGGGSCAISGGYGSRAGGSYGFGGAGSGFGFGGGAGIGFGLGGGAGLAGGFGGPGFPVCPPGGIQEVTVNQSLLTPLNLQIDPAIQRVRAEEREQIKTLNNKFASFIDKVRFLEQQNKVLDTKWTLLQEQGTKTVRQNLEPLFEQYINNLRRQLDSIVGERGRLDSELRNMQDLVEDLKNKYEDEINKRTAAENEFVTLKKDVDAAYMNKVELQAKADTLTDEINFLRALYDAELSQMQTHISDTSVVLSMDNNRNLDLDSIIAEVKAQYEEIAQRSRAEAESWYQTKYEELQVTAGRHGDDLRNTKQEIAEINRMIQRLRSEIDHVKKQCASLQAAIADAEQRGEMALKDAKNKLEGLEDALQKAKQDLARLLKEYQELMNVKLALDVEIATYRKLLEGEECRLNGEGVGQVNVSVVQSTISSGYGGASGVGSGLGLGGGSSYSYGSGLGIGGGFSSSSGRAIGGGLSSVGGGSSTIKYTTTSSSSRKSYKH
+>DECOY_sp|P48668|K2C6C_HUMAN Keratin, type II cytoskeletal 6C OS=Homo sapiens OX=9606 GN=KRT6C PE=1 SV=3
+HKYSKRSSSSTTTYKITSSGGGVSSLGGGIARGSSSSFGGGIGLGSGYSYSSGGGLGLGSGVGSAGGYGSSITSQVVSVNVQGVGEGNLRCEEGELLKRYTAIEVDLALKVNMLEQYEKLLRALDQKAKQLADELGELKNKADKLAMEGRQEADAIAAQLSACQKKVHDIESRLRQIMRNIEAIEQKTNRLDDGHRGATVQLEEYKTQYWSEAEARSRQAIEEYQAKVEAIISDLDLNRNNDMSLVVSTDSIHTQMQSLEADYLARLFNIEDTLTDAKAQLEVKNMYAADVDKKLTVFENEAATRKNIEDEYKNKLDEVLDQMNRLESDLRGREGVISDLQRRLNNIYQEFLPELNQRVTKTGQEQLLTWKTDLVKNQQELFRVKDIFSAFKNNLTKIQEREEARVRQIAPDIQLNLPTLLSQNVTVEQIGGPPCVPFGPGGFGGALGAGGGLGFGIGAGGGFGFGSGAGGFGYSGGARSGYGGSIACSGGGISIRKSGGLGYLSRSGFGAGGCAGGLGGSGRSRSVSISSFGSRSVGPLRASNASFGRRSSSHSRITTSTSAM
+>sp|Q68EN5|K895L_HUMAN Uncharacterized protein KIAA0895-like OS=Homo sapiens OX=9606 GN=KIAA0895L PE=2 SV=1
+MVLDSGAQAYDQAPPSPPTSPPSLRHRLKPSDRDGPPLYPWSQSLALPLALAVPPALQPQPEQQPFSQMLLGHRGHMRRSESTYSVNSTGRRGRGTLGRPPPGRGRNPGGGTLRPAASLPHIAKTQRDAGHIASKSPCMLVALRPTNMDRERDKFFQSHYTYNPQFEYQEPMPTAVLEKYCEASGQFIHQAVGIIEAVLEKFGTYEHFEAATGGQLLTKCQIWSIVRKYMQKEGCAGEVVVQLSEDLLSQAVMMVENSRPTLAINLTGARQYWLEGMLRHEIGTHYLRGVNNARQPWHNAEGRLRYGLRPANPTEEGLASLHSVLFRKQPFLWRAALLYYTIHRAARMSFRQLFQDLERYVQDADVRWEYCVRAKRGQTDTSLPGCFSKDQVYLDGIVRILRHRQTIDFPLLTSLGKVSYEDVDHLRPHGVLDNTRVPHFMQDLARYRQQLEHIMATNRLDEAELGRLLPD
+>DECOY_sp|Q68EN5|K895L_HUMAN Uncharacterized protein KIAA0895-like OS=Homo sapiens OX=9606 GN=KIAA0895L PE=2 SV=1
+DPLLRGLEAEDLRNTAMIHELQQRYRALDQMFHPVRTNDLVGHPRLHDVDEYSVKGLSTLLPFDITQRHRLIRVIGDLYVQDKSFCGPLSTDTQGRKARVCYEWRVDADQVYRELDQFLQRFSMRAARHITYYLLAARWLFPQKRFLVSHLSALGEETPNAPRLGYRLRGEANHWPQRANNVGRLYHTGIEHRLMGELWYQRAGTLNIALTPRSNEVMMVAQSLLDESLQVVVEGACGEKQMYKRVISWIQCKTLLQGGTAAEFHEYTGFKELVAEIIGVAQHIFQGSAECYKELVATPMPEQYEFQPNYTYHSQFFKDRERDMNTPRLAVLMCPSKSAIHGADRQTKAIHPLSAAPRLTGGGPNRGRGPPPRGLTGRGRRGTSNVSYTSESRRMHGRHGLLMQSFPQQEPQPQLAPPVALALPLALSQSWPYLPPGDRDSPKLRHRLSPPSTPPSPPAQDYAQAGSDLVM
+>sp|P54819|KAD2_HUMAN Adenylate kinase 2, mitochondrial OS=Homo sapiens OX=9606 GN=AK2 PE=1 SV=2
+MAPSVPAAEPEYPKGIRAVLLGPPGAGKGTQAPRLAENFCVCHLATGDMLRAMVASGSELGKKLKATMDAGKLVSDEMVVELIEKNLETPLCKNGFLLDGFPRTVRQAEMLDDLMEKRKEKLDSVIEFSIPDSLLIRRITGRLIHPKSGRSYHEEFNPPKEPMKDDITGEPLIRRSDDNEKALKIRLQAYHTQTTPLIEYYRKRGIHSAIDASQTPDVVFASILAAFSKATCKDLVMFI
+>DECOY_sp|P54819|KAD2_HUMAN Adenylate kinase 2, mitochondrial OS=Homo sapiens OX=9606 GN=AK2 PE=1 SV=2
+IFMVLDKCTAKSFAALISAFVVDPTQSADIASHIGRKRYYEILPTTQTHYAQLRIKLAKENDDSRRILPEGTIDDKMPEKPPNFEEHYSRGSKPHILRGTIRRILLSDPISFEIVSDLKEKRKEMLDDLMEAQRVTRPFGDLLFGNKCLPTELNKEILEVVMEDSVLKGADMTAKLKKGLESGSAVMARLMDGTALHCVCFNEALRPAQTGKGAGPPGLLVARIGKPYEPEAAPVSPAM
+>sp|P27144|KAD4_HUMAN Adenylate kinase 4, mitochondrial OS=Homo sapiens OX=9606 GN=AK4 PE=1 SV=1
+MASKLLRAVILGPPGSGKGTVCQRIAQNFGLQHLSSGHFLRENIKASTEVGEMAKQYIEKSLLVPDHVITRLMMSELENRRGQHWLLDGFPRTLGQAEALDKICEVDLVISLNIPFETLKDRLSRRWIHPPSGRVYNLDFNPPHVHGIDDVTGEPLVQQEDDKPEAVAARLRQYKDVAKPVIELYKSRGVLHQFSGTETNKIWPYVYTLFSNKITPIQSKEAY
+>DECOY_sp|P27144|KAD4_HUMAN Adenylate kinase 4, mitochondrial OS=Homo sapiens OX=9606 GN=AK4 PE=1 SV=1
+YAEKSQIPTIKNSFLTYVYPWIKNTETGSFQHLVGRSKYLEIVPKAVDKYQRLRAAVAEPKDDEQQVLPEGTVDDIGHVHPPNFDLNYVRGSPPHIWRRSLRDKLTEFPINLSIVLDVECIKDLAEAQGLTRPFGDLLWHQGRRNELESMMLRTIVHDPVLLSKEIYQKAMEGVETSAKINERLFHGSSLHQLGFNQAIRQCVTGKGSGPPGLIVARLLKSAM
+>sp|Q96MA6|KAD8_HUMAN Adenylate kinase 8 OS=Homo sapiens OX=9606 GN=AK8 PE=1 SV=1
+MDATIAPHRIPPEMPQYGEENHIFELMQNMLEQLLIHQPEDPIPFMIQHLHRDNDNVPRIVILGPPASGKTTIAMWLCKHLNSSLLTLENLILNEFSYTATEARRLYLQRKTVPSALLVQLIQERLAEEDCIKQGWILDGIPETREQALRIQTLGITPRHVIVLSAPDTVLIERNLGKRIDPQTGEIYHTTFDWPPESEIQNRLMVPEDISELETAQKLLEYHRNIVRVIPSYPKILKVISADQPCVDVFYQALTYVQSNHRTNAPFTPRVLLLGPVGSGKSLQAALLAQKYRLVNVCCGQLLKEAVADRTTFGELIQPFFEKEMAVPDSLLMKVLSQRLDQQDCIQKGWVLHGVPRDLDQAHLLNRLGYNPNRVFFLNVPFDSIMERLTLRRIDPVTGERYHLMYKPPPTMEIQARLLQNPKDAEEQVKLKMDLFYRNSADLEQLYGSAITLNGDQDPYTVFEYIESGIINPLPKKIP
+>DECOY_sp|Q96MA6|KAD8_HUMAN Adenylate kinase 8 OS=Homo sapiens OX=9606 GN=AK8 PE=1 SV=1
+PIKKPLPNIIGSEIYEFVTYPDQDGNLTIASGYLQELDASNRYFLDMKLKVQEEADKPNQLLRAQIEMTPPPKYMLHYREGTVPDIRRLTLREMISDFPVNLFFVRNPNYGLRNLLHAQDLDRPVGHLVWGKQICDQQDLRQSLVKMLLSDPVAMEKEFFPQILEGFTTRDAVAEKLLQGCCVNVLRYKQALLAAQLSKGSGVPGLLLVRPTFPANTRHNSQVYTLAQYFVDVCPQDASIVKLIKPYSPIVRVINRHYELLKQATELESIDEPVMLRNQIESEPPWDFTTHYIEGTQPDIRKGLNREILVTDPASLVIVHRPTIGLTQIRLAQERTEPIGDLIWGQKICDEEALREQILQVLLASPVTKRQLYLRRAETATYSFENLILNELTLLSSNLHKCLWMAITTKGSAPPGLIVIRPVNDNDRHLHQIMFPIPDEPQHILLQELMNQMLEFIHNEEGYQPMEPPIRHPAITADM
+>sp|Q5TCS8|KAD9_HUMAN Adenylate kinase 9 OS=Homo sapiens OX=9606 GN=AK9 PE=1 SV=2
+MTSQEKTEEYPFADIFDEDETERNFLLSKPVCFVVFGKPGVGKTTLARYITQAWKCIRVEALPILEEQIAAETESGVMLQSMLISGQSIPDELVIKLMLEKLNSPEVCHFGYIITEIPSLSQDAMTTLQQIELIKNLNLKPDVIINIKCPDYDLCQRISGQRQHNNTGYIYSRDQWDPEVIENHRKKKKEAQKDGKGEEEEEEEEQEEEEAFIAEMQMVAEILHHLVQRPEDYLENVENIVKLYKETILQTLEEVMAEHNPQYLIELNGNKPAEELFMIVMDRLKYLNLKRAAILTKLQGAEEEINDTMENDELFRTLASYKLIAPRYRWQRSKWGRTCPVNLKDGNIYSGLPDYSVSFLGKIYCLSSEEALKPFLLNPRPYLLPPMPGPPCKVFILGPQYSGKTTLCNMLAENYKGKVVDYAQLVQPRFDKARETLVENTIAEATAAAIKVVKEKLLRELQARKQAETALREFQRQYEKMEFGVFPMEATHSSIDEEGYIQGSQRDRGSSLVDTEEAKTKSENVLHDQAAKVDKDDGKETGETFTFKRHSQDASQDVKLYSDTAPTEDLIEEVTADHPEVVTMIEETIKMSQDINFEQPYEKHAEILQEVLGEVMEENKDRFPGAPKYGGWIVDNCPIVKELWMALIKKGIIPDLVIYLSDTENNGKCLFNRIYLQKKSEIDSKILERLLEELQKKKKEEEEARKATEEELRLEEENRRLLELMKVKAKEAEETDNEDEEEIEGDELEVHEEPEASHDTRGSWLPEEFEASEVPETEPEAVSEPIEETTVETEIPKGSKEGLEIEKLSETVVLPEFPEDSYPDVPEMEPFKEKIGSFIILWKQLEATISEAYIKILNLEIADRTPQELLQKVVETMEKPFQYTAWELTGEDYEEETEDYQTEAEVDEELEEEEEEEGEDKMKERKRHLGDTKHFCPVVLKENFILQPGNTEEAAKYREKIYYFSSAEAKEKFLEHPEDYVAHEEPLKAPPLRICLVGPQGSGKTMCGRQLAEKLNIFHIQFEEVLQEKLLLKTEKKVGPEFEEDSENEQAAKQELEELAIQANVKVEEENTKKQLPEVQLTEEEEVIKSSLMENEPLPPEILEVILSEWWLKEPIRSTGFILDGFPRYPEEAQFLGDRGFFPDAAVFIQVDDQDIFDRLLPAQIEKWKLKQKKKLERKKLIKDMKAKIRVDTIAKRRAELILERDKKRRENVVRDDEEISEEELEEDNDDIENILEDEFPKDEEEMSGEEDEEQETDAIERLRGELGEKFEADTHNLQIIQDELERYLIPIISINGARRNHIVQYTLNMKLKPLVENRASIFEKCHPIPAPLAQKMLTFTYKYISSFGYWDPVKLSEGETIKPVENAENPIYPVIHRQYIYFLSSKETKEKFMKNPIKYIRQPKPKPTVPIRIIIVGPPKSGKTTVAKKITSEYGLKHLSIGGALRYVLNNHPETELALMLNWHLHKGMTAPDELAIQALELSLMESVCNTAGVVIDGYPVTKHQMNLLEARSIIPMVIFELSVPSKEIFKRLLLEKENEQRLPYPLHNSAQIVAVNNVKYRKNIGEIRQYYQEQHQNWYVIDGFHSKWWVWNEVIKNVQMVNKYMQTYLERIKAGKAACIDKLCITPQELLSRLGEFEQFCPVSLAESQELFDCSATDSLEFAAEFRGHYYKMSSQEKLNKFLENPELYVPPLAPHPLPSADMIPKRLTLSELKSRFPKCAELQGYCPVTYKDGNQRYEALVPGSINYALEYHNRIYICENKEKLQKFLRSPLKYWEQKLPHKLPPLREPILLTSLPLPGYLEQGIATSLIKAMNAAGCLKPKFPFLSIRRSALLYIALHLKAFNPKGSEYTRKKYKKKMEQFMESCELITYLGAKMTRKYKEPQFRAIDFDHKLKTFLSLRNIDPING
+>DECOY_sp|Q5TCS8|KAD9_HUMAN Adenylate kinase 9 OS=Homo sapiens OX=9606 GN=AK9 PE=1 SV=2
+GNIPDINRLSLFTKLKHDFDIARFQPEKYKRTMKAGLYTILECSEMFQEMKKKYKKRTYESGKPNFAKLHLAIYLLASRRISLFPFKPKLCGAANMAKILSTAIGQELYGPLPLSTLLIPERLPPLKHPLKQEWYKLPSRLFKQLKEKNECIYIRNHYELAYNISGPVLAEYRQNGDKYTVPCYGQLEACKPFRSKLESLTLRKPIMDASPLPHPALPPVYLEPNELFKNLKEQSSMKYYHGRFEAAFELSDTASCDFLEQSEALSVPCFQEFEGLRSLLEQPTICLKDICAAKGAKIRELYTQMYKNVMQVNKIVENWVWWKSHFGDIVYWNQHQEQYYQRIEGINKRYKVNNVAVIQASNHLPYPLRQENEKELLLRKFIEKSPVSLEFIVMPIISRAELLNMQHKTVPYGDIVVGATNCVSEMLSLELAQIALEDPATMGKHLHWNLMLALETEPHNNLVYRLAGGISLHKLGYESTIKKAVTTKGSKPPGVIIIRIPVTPKPKPQRIYKIPNKMFKEKTEKSSLFYIYQRHIVPYIPNEANEVPKITEGESLKVPDWYGFSSIYKYTFTLMKQALPAPIPHCKEFISARNEVLPKLKMNLTYQVIHNRRAGNISIIPILYRELEDQIIQLNHTDAEFKEGLEGRLREIADTEQEEDEEGSMEEEDKPFEDELINEIDDNDEELEEESIEEDDRVVNERRKKDRELILEARRKAITDVRIKAKMDKILKKRELKKKQKLKWKEIQAPLLRDFIDQDDVQIFVAADPFFGRDGLFQAEEPYRPFGDLIFGTSRIPEKLWWESLIVELIEPPLPENEMLSSKIVEEEETLQVEPLQKKTNEEEVKVNAQIALEELEQKAAQENESDEEFEPGVKKETKLLLKEQLVEEFQIHFINLKEALQRGCMTKGSGQPGVLCIRLPPAKLPEEHAVYDEPHELFKEKAEASSFYYIKERYKAAEETNGPQLIFNEKLVVPCFHKTDGLHRKREKMKDEGEEEEEEELEEDVEAETQYDETEEEYDEGTLEWATYQFPKEMTEVVKQLLEQPTRDAIELNLIKIYAESITAELQKWLIIFSGIKEKFPEMEPVDPYSDEPFEPLVVTESLKEIELGEKSGKPIETEVTTEEIPESVAEPETEPVESAEFEEPLWSGRTDHSAEPEEHVELEDGEIEEEDENDTEEAEKAKVKMLELLRRNEEELRLEEETAKRAEEEEKKKKQLEELLRELIKSDIESKKQLYIRNFLCKGNNETDSLYIVLDPIIGKKILAMWLEKVIPCNDVIWGGYKPAGPFRDKNEEMVEGLVEQLIEAHKEYPQEFNIDQSMKITEEIMTVVEPHDATVEEILDETPATDSYLKVDQSADQSHRKFTFTEGTEKGDDKDVKAAQDHLVNESKTKAEETDVLSSGRDRQSGQIYGEEDISSHTAEMPFVGFEMKEYQRQFERLATEAQKRAQLERLLKEKVVKIAAATAEAITNEVLTERAKDFRPQVLQAYDVVKGKYNEALMNCLTTKGSYQPGLIFVKCPPGPMPPLLYPRPNLLFPKLAEESSLCYIKGLFSVSYDPLGSYINGDKLNVPCTRGWKSRQWRYRPAILKYSALTRFLEDNEMTDNIEEEAGQLKTLIAARKLNLYKLRDMVIMFLEEAPKNGNLEILYQPNHEAMVEELTQLITEKYLKVINEVNELYDEPRQVLHHLIEAVMQMEAIFAEEEEQEEEEEEEEGKGDKQAEKKKKRHNEIVEPDWQDRSYIYGTNNHQRQGSIRQCLDYDPCKINIIVDPKLNLNKILEIQQLTTMADQSLSPIETIIYGFHCVEPSNLKELMLKIVLEDPISQGSILMSQLMVGSETEAAIQEELIPLAEVRICKWAQTIYRALTTKGVGPKGFVVFCVPKSLLFNRETEDEDFIDAFPYEETKEQSTM
+>sp|Q86T24|KAISO_HUMAN Transcriptional regulator Kaiso OS=Homo sapiens OX=9606 GN=ZBTB33 PE=1 SV=2
+MESRKLISATDIQYSGSLLNSLNEQRGHGLFCDVTVIVEDRKFRAHKNILSASSTYFHQLFSVAGQVVELSFIRAEIFAEILNYIYSSKIVRVRSDLLDELIKSGQLLGVKFIAELGVPLSQVKSISGTAQDGNTEPLPPDSGDKNLVIQKSKDEAQDNGATIMPIITESFSLSAEDYEMKKIIVTDSDDDDDDVIFCSEILPTKETLPSNNTVAQVQSNPGPVAISDVAPSASNNSPPLTNITPTQKLPTPVNQATLSQTQGSEKLLVSSAPTHLTPNIILLNQTPLSTPPNVSSSLPNHMPSSINLLVQNQQTPNSAILTGNKANEEEEEEIIDDDDDTISSSPDSAVSNTSLVPQADTSQNTSFDGSLIQKMQIPTLLQEPLSNSLKISDIITRNTNDPGVGSKHLMEGQKIITLDTATEIEGLSTGCKVYANIGEDTYDIVIPVKDDPDEGEARLENEIPKTSGSEMANKRMKVKHDDHYELIVDGRVYYICIVCKRSYVCLTSLRRHFNIHSWEKKYPCRYCEKVFPLAEYRTKHEIHHTGERRYQCLACGKSFINYQFMSSHIKSVHSQDPSGDSKLYRLHPCRSLQIRQYAYLSDRSSTIPAMKDDGIGYKVDTGKEPPVGTTTSTQNKPMTWEDIFIQQENDSIFKQNVTDGSTEFEFIIPESY
+>DECOY_sp|Q86T24|KAISO_HUMAN Transcriptional regulator Kaiso OS=Homo sapiens OX=9606 GN=ZBTB33 PE=1 SV=2
+YSEPIIFEFETSGDTVNQKFISDNEQQIFIDEWTMPKNQTSTTTGVPPEKGTDVKYGIGDDKMAPITSSRDSLYAYQRIQLSRCPHLRYLKSDGSPDQSHVSKIHSSMFQYNIFSKGCALCQYRREGTHHIEHKTRYEALPFVKECYRCPYKKEWSHINFHRRLSTLCVYSRKCVICIYYVRGDVILEYHDDHKVKMRKNAMESGSTKPIENELRAEGEDPDDKVPIVIDYTDEGINAYVKCGTSLGEIETATDLTIIKQGEMLHKSGVGPDNTNRTIIDSIKLSNSLPEQLLTPIQMKQILSGDFSTNQSTDAQPVLSTNSVASDPSSSITDDDDDIIEEEEEENAKNGTLIASNPTQQNQVLLNISSPMHNPLSSSVNPPTSLPTQNLLIINPTLHTPASSVLLKESGQTQSLTAQNVPTPLKQTPTINTLPPSNNSASPAVDSIAVPGPNSQVQAVTNNSPLTEKTPLIESCFIVDDDDDDSDTVIIKKMEYDEASLSFSETIIPMITAGNDQAEDKSKQIVLNKDGSDPPLPETNGDQATGSISKVQSLPVGLEAIFKVGLLQGSKILEDLLDSRVRVIKSSYIYNLIEAFIEARIFSLEVVQGAVSFLQHFYTSSASLINKHARFKRDEVIVTVDCFLGHGRQENLSNLLSGSYQIDTASILKRSEM
+>sp|P23352|KALM_HUMAN Anosmin-1 OS=Homo sapiens OX=9606 GN=ANOS1 PE=1 SV=3
+MVPGVPGAVLTLCLWLAASSGCLAAGPGAAAARRLDESLSAGSVQRARCASRCLSLQITRISAFFQHFQNNGSLVWCQNHKQCSKCLEPCKESGDLRKHQCQSFCEPLFPKKSYECLTSCEFLKYILLVKQGDCPAPEKASGFAAACVESCEVDNECSGVKKCCSNGCGHTCQVPKTLYKGVPLKPRKELRFTELQSGQLEVKWSSKFNISIEPVIYVVQRRWNYGIHPSEDDATHWQTVAQTTDERVQLTDIRPSRWYQFRVAAVNVHGTRGFTAPSKHFRSSKDPSAPPAPANLRLANSTVNSDGSVTVTIVWDLPEEPDIPVHHYKVFWSWMVSSKSLVPTKKKRRKTTDGFQNSVILEKLQPDCDYVVELQAITYWGQTRLKSAKVSLHFTSTHATNNKEQLVKTRKGGIQTQLPFQRRRPTRPLEVGAPFYQDGQLQVKVYWKKTEDPTVNRYHVRWFPEACAHNRTTGSEASSGMTHENYIILQDLSFSCKYKVTVQPIRPKSHSKAEAVFFTTPPCSALKGKSHKPVGCLGEAGHVLSKVLAKPENLSASFIVQDVNITGHFSWKMAKANLYQPMTGFQVTWAEVTTESRQNSLPNSIISQSQILPSDHYVLTVPNLRPSTLYRLEVQVLTPGGEGPATIKTFRTPELPPSSAHRSHLKHRHPHHYKPSPERY
+>DECOY_sp|P23352|KALM_HUMAN Anosmin-1 OS=Homo sapiens OX=9606 GN=ANOS1 PE=1 SV=3
+YREPSPKYHHPHRHKLHSRHASSPPLEPTRFTKITAPGEGGPTLVQVELRYLTSPRLNPVTLVYHDSPLIQSQSIISNPLSNQRSETTVEAWTVQFGTMPQYLNAKAMKWSFHGTINVDQVIFSASLNEPKALVKSLVHGAEGLCGVPKHSKGKLASCPPTTFFVAEAKSHSKPRIPQVTVKYKCSFSLDQLIIYNEHTMGSSAESGTTRNHACAEPFWRVHYRNVTPDETKKWYVKVQLQGDQYFPAGVELPRTPRRRQFPLQTQIGGKRTKVLQEKNNTAHTSTFHLSVKASKLRTQGWYTIAQLEVVYDCDPQLKELIVSNQFGDTTKRRKKKTPVLSKSSVMWSWFVKYHHVPIDPEEPLDWVITVTVSGDSNVTSNALRLNAPAPPASPDKSSRFHKSPATFGRTGHVNVAAVRFQYWRSPRIDTLQVREDTTQAVTQWHTADDESPHIGYNWRRQVVYIVPEISINFKSSWKVELQGSQLETFRLEKRPKLPVGKYLTKPVQCTHGCGNSCCKKVGSCENDVECSEVCAAAFGSAKEPAPCDGQKVLLIYKLFECSTLCEYSKKPFLPECFSQCQHKRLDGSEKCPELCKSCQKHNQCWVLSGNNQFHQFFASIRTIQLSLCRSACRARQVSGASLSEDLRRAAAAGPGAALCGSSAALWLCLTLVAGPVGPVM
+>sp|Q14678|KANK1_HUMAN KN motif and ankyrin repeat domain-containing protein 1 OS=Homo sapiens OX=9606 GN=KANK1 PE=1 SV=3
+MAHTTKVNGSASGKAGDILSGDQDKEQKDPYFVETPYGYQLDLDFLKYVDDIQKGNTIKRLNIQKRRKPSVPCPEPRTTSGQQGIWTSTESLSSSNSDDNKQCPNFLIARSQVTSTPISKPPPPLETSLPFLTIPENRQLPPPSPQLPKHNLHVTKTLMETRRRLEQERATMQMTPGEFRRPRLASFGGMGTTSSLPSFVGSGNHNPAKHQLQNGYQGNGDYGSYAPAAPTTSSMGSSIRHSPLSSGISTPVTNVSPMHLQHIREQMAIALKRLKELEEQVRTIPVLQVKISVLQEEKRQLVSQLKNQRAASQINVCGVRKRSYSAGNASQLEQLSRARRSGGELYIDYEEEEMETVEQSTQRIKEFRQLTADMQALEQKIQDSSCEASSELRENGECRSVAVGAEENMNDIVVYHRGSRSCKDAAVGTLVEMRNCGVSVTEAMLGVMTEADKEIELQQQTIESLKEKIYRLEVQLRETTHDREMTKLKQELQAAGSRKKVDKATMAQPLVFSKVVEAVVQTRDQMVGSHMDLVDTCVGTSVETNSVGISCQPECKNKVVGPELPMNWWIVKERVEMHDRCAGRSVEMCDKSVSVEVSVCETGSNTEESVNDLTLLKTNLNLKEVRSIGCGDCSVDVTVCSPKECASRGVNTEAVSQVEAAVMAVPRTADQDTSTDLEQVHQFTNTETATLIESCTNTCLSTLDKQTSTQTVETRTVAVGEGRVKDINSSTKTRSIGVGTLLSGHSGFDRPSAVKTKESGVGQININDNYLVGLKMRTIACGPPQLTVGLTASRRSVGVGDDPVGESLENPQPQAPLGMMTGLDHYIERIQKLLAEQQTLLAENYSELAEAFGEPHSQMGSLNSQLISTLSSINSVMKSASTEELRNPDFQKTSLGKITGNYLGYTCKCGGLQSGSPLSSQTSQPEQEVGTSEGKPISSLDAFPTQEGTLSPVNLTDDQIAAGLYACTNNESTLKSIMKKKDGNKDSNGAKKNLQFVGINGGYETTSSDDSSSDESSSSESDDECDVIEYPLEEEEEEEDEDTRGMAEGHHAVNIEGLKSARVEDEMQVQECEPEKVEIRERYELSEKMLSACNLLKNTINDPKALTSKDMRFCLNTLQHEWFRVSSQKSAIPAMVGDYIAAFEAISPDVLRYVINLADGNGNTALHYSVSHSNFEIVKLLLDADVCNVDHQNKAGYTPIMLAALAAVEAEKDMRIVEELFGCGDVNAKASQAGQTALMLAVSHGRIDMVKGLLACGADVNIQDDEGSTALMCASEHGHVEIVKLLLAQPGCNGHLEDNDGSTALSIALEAGHKDIAVLLYAHVNFAKAQSPGTPRLGRKTSPGPTHRGSFD
+>DECOY_sp|Q14678|KANK1_HUMAN KN motif and ankyrin repeat domain-containing protein 1 OS=Homo sapiens OX=9606 GN=KANK1 PE=1 SV=3
+DFSGRHTPGPSTKRGLRPTGPSQAKAFNVHAYLLVAIDKHGAELAISLATSGDNDELHGNCGPQALLLKVIEVHGHESACMLATSGEDDQINVDAGCALLGKVMDIRGHSVALMLATQGAQSAKANVDGCGFLEEVIRMDKEAEVAALAALMIPTYGAKNQHDVNCVDADLLLKVIEFNSHSVSYHLATNGNGDALNIVYRLVDPSIAEFAAIYDGVMAPIASKQSSVRFWEHQLTNLCFRMDKSTLAKPDNITNKLLNCASLMKESLEYRERIEVKEPECEQVQMEDEVRASKLGEINVAHHGEAMGRTDEDEEEEEEELPYEIVDCEDDSESSSSEDSSSDDSSTTEYGGNIGVFQLNKKAGNSDKNGDKKKMISKLTSENNTCAYLGAAIQDDTLNVPSLTGEQTPFADLSSIPKGESTGVEQEPQSTQSSLPSGSQLGGCKCTYGLYNGTIKGLSTKQFDPNRLEETSASKMVSNISSLTSILQSNLSGMQSHPEGFAEALESYNEALLTQQEALLKQIREIYHDLGTMMGLPAQPQPNELSEGVPDDGVGVSRRSATLGVTLQPPGCAITRMKLGVLYNDNINIQGVGSEKTKVASPRDFGSHGSLLTGVGISRTKTSSNIDKVRGEGVAVTRTEVTQTSTQKDLTSLCTNTCSEILTATETNTFQHVQELDTSTDQDATRPVAMVAAEVQSVAETNVGRSACEKPSCVTVDVSCDGCGISRVEKLNLNTKLLTLDNVSEETNSGTECVSVEVSVSKDCMEVSRGACRDHMEVREKVIWWNMPLEPGVVKNKCEPQCSIGVSNTEVSTGVCTDVLDMHSGVMQDRTQVVAEVVKSFVLPQAMTAKDVKKRSGAAQLEQKLKTMERDHTTERLQVELRYIKEKLSEITQQQLEIEKDAETMVGLMAETVSVGCNRMEVLTGVAADKCSRSGRHYVVIDNMNEEAGVAVSRCEGNERLESSAECSSDQIKQELAQMDATLQRFEKIRQTSQEVTEMEEEEYDIYLEGGSRRARSLQELQSANGASYSRKRVGCVNIQSAARQNKLQSVLQRKEEQLVSIKVQLVPITRVQEELEKLRKLAIAMQERIHQLHMPSVNTVPTSIGSSLPSHRISSGMSSTTPAAPAYSGYDGNGQYGNQLQHKAPNHNGSGVFSPLSSTTGMGGFSALRPRRFEGPTMQMTAREQELRRRTEMLTKTVHLNHKPLQPSPPPLQRNEPITLFPLSTELPPPPKSIPTSTVQSRAILFNPCQKNDDSNSSSLSETSTWIGQQGSTTRPEPCPVSPKRRKQINLRKITNGKQIDDVYKLFDLDLQYGYPTEVFYPDKQEKDQDGSLIDGAKGSASGNVKTTHAM
+>sp|P17612|KAPCA_HUMAN cAMP-dependent protein kinase catalytic subunit alpha OS=Homo sapiens OX=9606 GN=PRKACA PE=1 SV=2
+MGNAAAAKKGSEQESVKEFLAKAKEDFLKKWESPAQNTAHLDQFERIKTLGTGSFGRVMLVKHKETGNHYAMKILDKQKVVKLKQIEHTLNEKRILQAVNFPFLVKLEFSFKDNSNLYMVMEYVPGGEMFSHLRRIGRFSEPHARFYAAQIVLTFEYLHSLDLIYRDLKPENLLIDQQGYIQVTDFGFAKRVKGRTWTLCGTPEYLAPEIILSKGYNKAVDWWALGVLIYEMAAGYPPFFADQPIQIYEKIVSGKVRFPSHFSSDLKDLLRNLLQVDLTKRFGNLKNGVNDIKNHKWFATTDWIAIYQRKVEAPFIPKFKGPGDTSNFDDYEEEEIRVSINEKCGKEFSEF
+>DECOY_sp|P17612|KAPCA_HUMAN cAMP-dependent protein kinase catalytic subunit alpha OS=Homo sapiens OX=9606 GN=PRKACA PE=1 SV=2
+FESFEKGCKENISVRIEEEEYDDFNSTDGPGKFKPIFPAEVKRQYIAIWDTTAFWKHNKIDNVGNKLNGFRKTLDVQLLNRLLDKLDSSFHSPFRVKGSVIKEYIQIPQDAFFPPYGAAMEYILVGLAWWDVAKNYGKSLIIEPALYEPTGCLTWTRGKVRKAFGFDTVQIYGQQDILLNEPKLDRYILDLSHLYEFTLVIQAAYFRAHPESFRGIRRLHSFMEGGPVYEMVMYLNSNDKFSFELKVLFPFNVAQLIRKENLTHEIQKLKVVKQKDLIKMAYHNGTEKHKVLMVRGFSGTGLTKIREFQDLHATNQAPSEWKKLFDEKAKALFEKVSEQESGKKAAAANGM
+>sp|Q3ZCT8|KBTBC_HUMAN Kelch repeat and BTB domain-containing protein 12 OS=Homo sapiens OX=9606 GN=KBTBD12 PE=2 SV=2
+MECKIEGKEKYQHSLNLLNKIQNMKELAEMIDVVLTAEGEKFPCHRLVLAAFSPYFKAMFTCGLLECNQREVILYDITAESVSVLLNYMYNAALEINNANVQTVAMAAYFMQMEEVFSVCQKYMMDHMDASNCLGIYYFAKQIGAEDLSDRSKKYLYQHFAEVSLHEEILEIEVHQFLTLIKSDDLNISREESILDLVLRWVNHNKELRTVHLVELLKQVRLELVNPSFLRQALRRNTMLLCDADCVDIIQNAFKAIKTPQQHSLNLRYGMETTSLLLCIGNNSSGIRSRHRSYGDASFCYDPVSRKTYFISSPKYGEGLGTVCTGVVMENNTIIVAGEASASKLSRQKNKNVEIYRYHDRGNQFWEKLCTAEFRELYALGSIHNDLYVIGGQMKIKNQYLITNCVDKYSVERDNWKRVSPLPLQLACHAVVTVNNKLYVIGGWTPQMDLPDEEPDRLSNKLLQYDPSQDQWSVRAPMKYSKYRFSTAVVNSEIYVLGGIGCVGQDKGQVRKCLDVVEIYNPDGDFWREGPPMPSPLLSLRTNSTNAGAVDGKLYVCGGFHGADRHEVISKEILELDPWENQWNVVAINVLMHDSYDVCLVARMNPRDLIPPPSDLVEEGNEH
+>DECOY_sp|Q3ZCT8|KBTBC_HUMAN Kelch repeat and BTB domain-containing protein 12 OS=Homo sapiens OX=9606 GN=KBTBD12 PE=2 SV=2
+HENGEEVLDSPPPILDRPNMRAVLCVDYSDHMLVNIAVVNWQNEWPDLELIEKSIVEHRDAGHFGGCVYLKGDVAGANTSNTRLSLLPSPMPPGERWFDGDPNYIEVVDLCKRVQGKDQGVCGIGGLVYIESNVVATSFRYKSYKMPARVSWQDQSPDYQLLKNSLRDPEEDPLDMQPTWGGIVYLKNNVTVVAHCALQLPLPSVRKWNDREVSYKDVCNTILYQNKIKMQGGIVYLDNHISGLAYLERFEATCLKEWFQNGRDHYRYIEVNKNKQRSLKSASAEGAVIITNNEMVVGTCVTGLGEGYKPSSIFYTKRSVPDYCFSADGYSRHRSRIGSSNNGICLLLSTTEMGYRLNLSHQQPTKIAKFANQIIDVCDADCLLMTNRRLAQRLFSPNVLELRVQKLLEVLHVTRLEKNHNVWRLVLDLISEERSINLDDSKILTLFQHVEIELIEEHLSVEAFHQYLYKKSRDSLDEAGIQKAFYYIGLCNSADMHDMMYKQCVSFVEEMQMFYAAMAVTQVNANNIELAANYMYNLLVSVSEATIDYLIVERQNCELLGCTFMAKFYPSFAALVLRHCPFKEGEATLVVDIMEALEKMNQIKNLLNLSHQYKEKGEIKCEM
+>sp|P49674|KC1E_HUMAN Casein kinase I isoform epsilon OS=Homo sapiens OX=9606 GN=CSNK1E PE=1 SV=1
+MELRVGNKYRLGRKIGSGSFGDIYLGANIASGEEVAIKLECVKTKHPQLHIESKFYKMMQGGVGIPSIKWCGAEGDYNVMVMELLGPSLEDLFNFCSRKFSLKTVLLLADQMISRIEYIHSKNFIHRDVKPDNFLMGLGKKGNLVYIIDFGLAKKYRDARTHQHIPYRENKNLTGTARYASINTHLGIEQSRRDDLESLGYVLMYFNLGSLPWQGLKAATKRQKYERISEKKMSTPIEVLCKGYPSEFSTYLNFCRSLRFDDKPDYSYLRQLFRNLFHRQGFSYDYVFDWNMLKFGAARNPEDVDRERREHEREERMGQLRGSATRALPPGPPTGATANRLRSAAEPVASTPASRIQPAGNTSPRAISRVDRERKVSMRLHRGAPANVSSSDLTGRQEVSRIPASQTSVPFDHLGK
+>DECOY_sp|P49674|KC1E_HUMAN Casein kinase I isoform epsilon OS=Homo sapiens OX=9606 GN=CSNK1E PE=1 SV=1
+KGLHDFPVSTQSAPIRSVEQRGTLDSSSVNAPAGRHLRMSVKRERDVRSIARPSTNGAPQIRSAPTSAVPEAASRLRNATAGTPPGPPLARTASGRLQGMREEREHERRERDVDEPNRAAGFKLMNWDFVYDYSFGQRHFLNRFLQRLYSYDPKDDFRLSRCFNLYTSFESPYGKCLVEIPTSMKKESIREYKQRKTAAKLGQWPLSGLNFYMLVYGLSELDDRRSQEIGLHTNISAYRATGTLNKNERYPIHQHTRADRYKKALGFDIIYVLNGKKGLGMLFNDPKVDRHIFNKSHIYEIRSIMQDALLLVTKLSFKRSCFNFLDELSPGLLEMVMVNYDGEAGCWKISPIGVGGQMMKYFKSEIHLQPHKTKVCELKIAVEEGSAINAGLYIDGFSGSGIKRGLRYKNGVRLEM
+>sp|Q9Y6M4|KC1G3_HUMAN Casein kinase I isoform gamma-3 OS=Homo sapiens OX=9606 GN=CSNK1G3 PE=1 SV=2
+MENKKKDKDKSDDRMARPSGRSGHNTRGTGSSSSGVLMVGPNFRVGKKIGCGNFGELRLGKNLYTNEYVAIKLEPMKSRAPQLHLEYRFYKQLGSGDGIPQVYYFGPCGKYNAMVLELLGPSLEDLFDLCDRTFSLKTVLMIAIQLISRMEYVHSKNLIYRDVKPENFLIGRPGNKTQQVIHIIDFGLAKEYIDPETKKHIPYREHKSLTGTARYMSINTHLGKEQSRRDDLEALGHMFMYFLRGSLPWQGLKADTLKERYQKIGDTKRATPIEVLCENFPEMATYLRYVRRLDFFEKPDYDYLRKLFTDLFDRKGYMFDYEYDWIGKQLPTPVGAVQQDPALSSNREAHQHRDKMQQSKNQSADHRAAWDSQQANPHHLRAHLAADRHGGSVQVVSSTNGELNTDDPTAGRSNAPITAPTEVEVMDETKCCCFFKRRKRKTIQRHK
+>DECOY_sp|Q9Y6M4|KC1G3_HUMAN Casein kinase I isoform gamma-3 OS=Homo sapiens OX=9606 GN=CSNK1G3 PE=1 SV=2
+KHRQITKRKRRKFFCCCKTEDMVEVETPATIPANSRGATPDDTNLEGNTSSVVQVSGGHRDAALHARLHHPNAQQSDWAARHDASQNKSQQMKDRHQHAERNSSLAPDQQVAGVPTPLQKGIWDYEYDFMYGKRDFLDTFLKRLYDYDPKEFFDLRRVYRLYTAMEPFNECLVEIPTARKTDGIKQYREKLTDAKLGQWPLSGRLFYMFMHGLAELDDRRSQEKGLHTNISMYRATGTLSKHERYPIHKKTEPDIYEKALGFDIIHIVQQTKNGPRGILFNEPKVDRYILNKSHVYEMRSILQIAIMLVTKLSFTRDCLDFLDELSPGLLELVMANYKGCPGFYYVQPIGDGSGLQKYFRYELHLQPARSKMPELKIAVYENTYLNKGLRLEGFNGCGIKKGVRFNPGVMLVGSSSSGTGRTNHGSRGSPRAMRDDSKDKDKKKNEM
+>sp|Q68DU8|KCD16_HUMAN BTB/POZ domain-containing protein KCTD16 OS=Homo sapiens OX=9606 GN=KCTD16 PE=1 SV=1
+MALSGNCSRYYPREQGSAVPNSFPEVVELNVGGQVYFTRHSTLISIPHSLLWKMFSPKRDTANDLAKDSKGRFFIDRDGFLFRYILDYLRDRQVVLPDHFPEKGRLKREAEYFQLPDLVKLLTPDEIKQSPDEFCHSDFEDASQGSDTRICPPSSLLPADRKWGFITVGYRGSCTLGREGQADAKFRRVPRILVCGRISLAKEVFGETLNESRDPDRAPERYTSRFYLKFKHLERAFDMLSECGFHMVACNSSVTASFINQYTDDKIWSSYTEYVFYREPSRWSPSHCDCCCKNGKGDKEGESGTSCNDLSTSSCDSQSEASSPQETVICGPVTRQTNIQTLDRPIKKGPVQLIQQSEMRRKSDLLRTLTSGSRESNMSSKKKAVKEKLSIEEELEKCIQDFLKIKIPDRFPERKHPWQSELLRKYHL
+>DECOY_sp|Q68DU8|KCD16_HUMAN BTB/POZ domain-containing protein KCTD16 OS=Homo sapiens OX=9606 GN=KCTD16 PE=1 SV=1
+LHYKRLLESQWPHKREPFRDPIKIKLFDQICKELEEEISLKEKVAKKKSSMNSERSGSTLTRLLDSKRRMESQQILQVPGKKIPRDLTQINTQRTVPGCIVTEQPSSAESQSDCSSTSLDNCSTGSEGEKDGKGNKCCCDCHSPSWRSPERYFVYETYSSWIKDDTYQNIFSATVSSNCAVMHFGCESLMDFARELHKFKLYFRSTYREPARDPDRSENLTEGFVEKALSIRGCVLIRPVRRFKADAQGERGLTCSGRYGVTIFGWKRDAPLLSSPPCIRTDSGQSADEFDSHCFEDPSQKIEDPTLLKVLDPLQFYEAERKLRGKEPFHDPLVVQRDRLYDLIYRFLFGDRDIFFRGKSDKALDNATDRKPSFMKWLLSHPISILTSHRTFYVQGGVNLEVVEPFSNPVASGQERPYYRSCNGSLAM
+>sp|Q6PI47|KCD18_HUMAN BTB/POZ domain-containing protein KCTD18 OS=Homo sapiens OX=9606 GN=KCTD18 PE=2 SV=2
+MEGHKAEEEVLDVLRLNVGGCIYTARRESLCRFKDSMLASMFSGRFPLKTDESGACVIDRDGRLFKYLLDYLHGEVQIPTDEQTRIALQEEADYFGIPYPYSLSDHLANEMETYSLRSNIELKKALTDFCDSYGLVCNKPTVWVLHYLNTSGASCESRIIGVYATKTDGTDAIEKQLGGRIHSKGIFKREAGNNVQYIWSYYSVAELKKMMDAFDAWEGKGVSYWRVPHELIECWTLEERPLLGSLRHMAPIRKRRLITFNEADESVNYKTGPKPVRFLGPSTSTQIKVKNSASVTVSPASAIQTSAGATANRFQSGSRRKAAQRSAPSRATALVGTGAPGHPQASPGAASAENGGTHLPPAKVLLSDKKPTPQRVIKLKRTPLCATAPCLPSPTATRQANSLKPLPGEAARALGVRTENGKNKGN
+>DECOY_sp|Q6PI47|KCD18_HUMAN BTB/POZ domain-containing protein KCTD18 OS=Homo sapiens OX=9606 GN=KCTD18 PE=2 SV=2
+NGKNKGNETRVGLARAAEGPLPKLSNAQRTATPSPLCPATACLPTRKLKIVRQPTPKKDSLLVKAPPLHTGGNEASAAGPSAQPHGPAGTGVLATARSPASRQAAKRRSGSQFRNATAGASTQIASAPSVTVSASNKVKIQTSTSPGLFRVPKPGTKYNVSEDAENFTILRRKRIPAMHRLSGLLPREELTWCEILEHPVRWYSVGKGEWADFADMMKKLEAVSYYSWIYQVNNGAERKFIGKSHIRGGLQKEIADTGDTKTAYVGIIRSECSAGSTNLYHLVWVTPKNCVLGYSDCFDTLAKKLEINSRLSYTEMENALHDSLSYPYPIGFYDAEEQLAIRTQEDTPIQVEGHLYDLLYKFLRGDRDIVCAGSEDTKLPFRGSFMSALMSDKFRCLSERRATYICGGVNLRLVDLVEEEAKHGEM
+>sp|Q4G0X4|KCD21_HUMAN BTB/POZ domain-containing protein KCTD21 OS=Homo sapiens OX=9606 GN=KCTD21 PE=1 SV=1
+MSDPITLNVGGKLYTTSLATLTSFPDSMLGAMFSGKMPTKRDSQGNCFIDRDGKVFRYILNFLRTSHLDLPEDFQEMGLLRREADFYQVQPLIEALQEKEVELSKAEKNAMLNITLNQRVQTVHFTVREAPQIYSLSSSSMEVFNANIFSTSCLFLKLLGSKLFYCSNGNLSSITSHLQDPNHLTLDWVANVEGLPEEEYTKQNLKRLWVVPANKQINSFQVFVEEVLKIALSDGFCIDSSHPHALDFMNNKIIRLIRYR
+>DECOY_sp|Q4G0X4|KCD21_HUMAN BTB/POZ domain-containing protein KCTD21 OS=Homo sapiens OX=9606 GN=KCTD21 PE=1 SV=1
+RYRILRIIKNNMFDLAHPHSSDICFGDSLAIKLVEEVFVQFSNIQKNAPVVWLRKLNQKTYEEEPLGEVNAVWDLTLHNPDQLHSTISSLNGNSCYFLKSGLLKLFLCSTSFINANFVEMSSSSLSYIQPAERVTFHVTQVRQNLTINLMANKEAKSLEVEKEQLAEILPQVQYFDAERRLLGMEQFDEPLDLHSTRLFNLIYRFVKGDRDIFCNGQSDRKTPMKGSFMAGLMSDPFSTLTALSTTYLKGGVNLTIPDSM
+>sp|P78508|KCJ10_HUMAN ATP-sensitive inward rectifier potassium channel 10 OS=Homo sapiens OX=9606 GN=KCNJ10 PE=1 SV=1
+MTSVAKVYYSQTTQTESRPLMGPGIRRRRVLTKDGRSNVRMEHIADKRFLYLKDLWTTFIDMQWRYKLLLFSATFAGTWFLFGVVWYLVAVAHGDLLELDPPANHTPCVVQVHTLTGAFLFSLESQTTIGYGFRYISEECPLAIVLLIAQLVLTTILEIFITGTFLAKIARPKKRAETIRFSQHAVVASHNGKPCLMIRVANMRKSLLIGCQVTGKLLQTHQTKEGENIRLNQVNVTFQVDTASDSPFLILPLTFYHVVDETSPLKDLPLRSGEGDFELVLILSGTVESTSATCQVRTSYLPEEILWGYEFTPAISLSASGKYIADFSLFDQVVKVASPSGLRDSTVRYGDPEKLKLEESLREQAEKEGSALSVRISNV
+>DECOY_sp|P78508|KCJ10_HUMAN ATP-sensitive inward rectifier potassium channel 10 OS=Homo sapiens OX=9606 GN=KCNJ10 PE=1 SV=1
+VNSIRVSLASGEKEAQERLSEELKLKEPDGYRVTSDRLGSPSAVKVVQDFLSFDAIYKGSASLSIAPTFEYGWLIEEPLYSTRVQCTASTSEVTGSLILVLEFDGEGSRLPLDKLPSTEDVVHYFTLPLILFPSDSATDVQFTVNVQNLRINEGEKTQHTQLLKGTVQCGILLSKRMNAVRIMLCPKGNHSAVVAHQSFRITEARKKPRAIKALFTGTIFIELITTLVLQAILLVIALPCEESIYRFGYGITTQSELSFLFAGTLTHVQVVCPTHNAPPDLELLDGHAVAVLYWVVGFLFWTGAFTASFLLLKYRWQMDIFTTWLDKLYLFRKDAIHEMRVNSRGDKTLVRRRRIGPGMLPRSETQTTQSYYVKAVSTM
+>sp|Q14654|KCJ11_HUMAN ATP-sensitive inward rectifier potassium channel 11 OS=Homo sapiens OX=9606 GN=KCNJ11 PE=1 SV=2
+MLSRKGIIPEEYVLTRLAEDPAEPRYRARQRRARFVSKKGNCNVAHKNIREQGRFLQDVFTTLVDLKWPHTLLIFTMSFLCSWLLFAMAWWLIAFAHGDLAPSEGTAEPCVTSIHSFSSAFLFSIEVQVTIGFGGRMVTEECPLAILILIVQNIVGLMINAIMLGCIFMKTAQAHRRAETLIFSKHAVIALRHGRLCFMLRVGDLRKSMIISATIHMQVVRKTTSPEGEVVPLHQVDIPMENGVGGNSIFLVAPLIIYHVIDANSPLYDLAPSDLHHHQDLEIIVILEGVVETTGITTQARTSYLADEILWGQRFVPIVAEEDGRYSVDYSKFGNTIKVPTPLCTARQLDEDHSLLEALTLASARGPLRKRSVPMAKAKPKFSISPDSLS
+>DECOY_sp|Q14654|KCJ11_HUMAN ATP-sensitive inward rectifier potassium channel 11 OS=Homo sapiens OX=9606 GN=KCNJ11 PE=1 SV=2
+SLSDPSISFKPKAKAMPVSRKRLPGRASALTLAELLSHDEDLQRATCLPTPVKITNGFKSYDVSYRGDEEAVIPVFRQGWLIEDALYSTRAQTTIGTTEVVGELIVIIELDQHHHLDSPALDYLPSNADIVHYIILPAVLFISNGGVGNEMPIDVQHLPVVEGEPSTTKRVVQMHITASIIMSKRLDGVRLMFCLRGHRLAIVAHKSFILTEARRHAQATKMFICGLMIANIMLGVINQVILILIALPCEETVMRGGFGITVQVEISFLFASSFSHISTVCPEATGESPALDGHAFAILWWAMAFLLWSCLFSMTFILLTHPWKLDVLTTFVDQLFRGQERINKHAVNCNGKKSVFRARRQRARYRPEAPDEALRTLVYEEPIIGKRSLM
+>sp|Q9UNX9|KCJ14_HUMAN ATP-sensitive inward rectifier potassium channel 14 OS=Homo sapiens OX=9606 GN=KCNJ14 PE=1 SV=1
+MGLARALRRLSGALDSGDSRAGDEEEAGPGLCRNGWAPAPVQSPVGRRRGRFVKKDGHCNVRFVNLGGQGARYLSDLFTTCVDVRWRWMCLLFSCSFLASWLLFGLAFWLIASLHGDLAAPPPPAPCFSHVASFLAAFLFALETQTSIGYGVRSVTEECPAAVAAVVLQCIAGCVLDAFVVGAVMAKMAKPKKRNETLVFSENAVVALRDHRLCLMWRVGNLRRSHLVEAHVRAQLLQPRVTPEGEYIPLDHQDVDVGFDGGTDRIFLVSPITIVHEIDSASPLYELGRAELARADFELVVILEGMVEATAMTTQCRSSYLPGELLWGHRFEPVLFQRGSQYEVDYRHFHRTYEVPGTPVCSAKELDERAEQASHSLKSSFPGSLTAFCYENELALSCCQEEDEDDETEEGNGVETEDGAASPRVLTPTLALTLPP
+>DECOY_sp|Q9UNX9|KCJ14_HUMAN ATP-sensitive inward rectifier potassium channel 14 OS=Homo sapiens OX=9606 GN=KCNJ14 PE=1 SV=1
+PPLTLALTPTLVRPSAAGDETEVGNGEETEDDEDEEQCCSLALENEYCFATLSGPFSSKLSHSAQEAREDLEKASCVPTGPVEYTRHFHRYDVEYQSGRQFLVPEFRHGWLLEGPLYSSRCQTTMATAEVMGELIVVLEFDARALEARGLEYLPSASDIEHVITIPSVLFIRDTGGDFGVDVDQHDLPIYEGEPTVRPQLLQARVHAEVLHSRRLNGVRWMLCLRHDRLAVVANESFVLTENRKKPKAMKAMVAGVVFADLVCGAICQLVVAAVAAPCEETVSRVGYGISTQTELAFLFAALFSAVHSFCPAPPPPAALDGHLSAILWFALGFLLWSALFSCSFLLCMWRWRVDVCTTFLDSLYRAGQGGLNVFRVNCHGDKKVFRGRRRGVPSQVPAPAWGNRCLGPGAEEEDGARSDGSDLAGSLRRLARALGM
+>sp|Q9NPI9|KCJ16_HUMAN Inward rectifier potassium channel 16 OS=Homo sapiens OX=9606 GN=KCNJ16 PE=1 SV=1
+MSYYGSSYHIINADAKYPGYPPEHIIAEKRRARRRLLHKDGSCNVYFKHIFGEWGSYVVDIFTTLVDTKWRHMFVIFSLSYILSWLIFGSVFWLIAFHHGDLLNDPDITPCVDNVHSFTGAFLFSLETQTTIGYGYRCVTEECSVAVLMVILQSILSCIINTFIIGAALAKMATARKRAQTIRFSYFALIGMRDGKLCLMWRIGDFRPNHVVEGTVRAQLLRYTEDSEGRMTMAFKDLKLVNDQIILVTPVTIVHEIDHESPLYALDRKAVAKDNFEILVTFIYTGDSTGTSHQSRSSYVPREILWGHRFNDVLEVKRKYYKVNCLQFEGSVEVYAPFCSAKQLDWKDQQLHIEKAPPVRESCTSDTKARRRSFSAVAIVSSCENPEETTTSATHEYRETPYQKALLTLNRISVESQM
+>DECOY_sp|Q9NPI9|KCJ16_HUMAN Inward rectifier potassium channel 16 OS=Homo sapiens OX=9606 GN=KCNJ16 PE=1 SV=1
+MQSEVSIRNLTLLAKQYPTERYEHTASTTTEEPNECSSVIAVASFSRRRAKTDSTCSERVPPAKEIHLQQDKWDLQKASCFPAYVEVSGEFQLCNVKYYKRKVELVDNFRHGWLIERPVYSSRSQHSTGTSDGTYIFTVLIEFNDKAVAKRDLAYLPSEHDIEHVITVPTVLIIQDNVLKLDKFAMTMRGESDETYRLLQARVTGEVVHNPRFDGIRWMLCLKGDRMGILAFYSFRITQARKRATAMKALAAGIIFTNIICSLISQLIVMLVAVSCEETVCRYGYGITTQTELSFLFAGTFSHVNDVCPTIDPDNLLDGHHFAILWFVSGFILWSLIYSLSFIVFMHRWKTDVLTTFIDVVYSGWEGFIHKFYVNCSGDKHLLRRRARRKEAIIHEPPYGPYKADANIIHYSSGYYSM
+>sp|Q9Y691|KCMB2_HUMAN Calcium-activated potassium channel subunit beta-2 OS=Homo sapiens OX=9606 GN=KCNMB2 PE=1 SV=1
+MFIWTSGRTSSSYRHDEKRNIYQKIRDHDLLDKRKTVTALKAGEDRAILLGLAMMVCSIMMYFLLGITLLRSYMQSVWTEESQCTLLNASITETFNCSFSCGPDCWKLSQYPCLQVYVNLTSSGEKLLLYHTEETIKINQKCSYIPKCGKNFEESMSLVNVVMENFRKYQHFSCYSDPEGNQKSVILTKLYSSNVLFHSLFWPTCMMAGGVAIVAMVKLTQYLSLLCERIQRINR
+>DECOY_sp|Q9Y691|KCMB2_HUMAN Calcium-activated potassium channel subunit beta-2 OS=Homo sapiens OX=9606 GN=KCNMB2 PE=1 SV=1
+RNIRQIRECLLSLYQTLKVMAVIAVGGAMMCTPWFLSHFLVNSSYLKTLIVSKQNGEPDSYCSFHQYKRFNEMVVNVLSMSEEFNKGCKPIYSCKQNIKITEETHYLLLKEGSSTLNVYVQLCPYQSLKWCDPGCSFSCNFTETISANLLTCQSEETWVSQMYSRLLTIGLLFYMMISCVMMALGLLIARDEGAKLATVTKRKDLLDHDRIKQYINRKEDHRYSSSTRGSTWIFM
+>sp|Q9P0J7|KCMF1_HUMAN E3 ubiquitin-protein ligase KCMF1 OS=Homo sapiens OX=9606 GN=KCMF1 PE=1 SV=2
+MSRHEGVSCDACLKGNFRGRRYKCLICYDYDLCASCYESGATTTRHTTDHPMQCILTRVDFDLYYGGEAFSVEQPQSFTCPYCGKMGYTETSLQEHVTSEHAETSTEVICPICAALPGGDPNHVTDDFAAHLTLEHRAPRDLDESSGVRHVRRMFHPGRGLGGPRARRSNMHFTSSSTGGLSSSQSSYSPSNREAMDPIAELLSQLSGVRRSAGGQLNSSGPSASQLQQLQMQLQLERQHAQAARQQLETARNATRRTNTSSVTTTITQSTATTNIANTESSQQTLQNSQFLLTRLNDPKMSETERQSMESERADRSLFVQELLLSTLVREESSSSDEDDRGEMADFGAMGCVDIMPLDVALENLNLKESNKGNEPPPPPL
+>DECOY_sp|Q9P0J7|KCMF1_HUMAN E3 ubiquitin-protein ligase KCMF1 OS=Homo sapiens OX=9606 GN=KCMF1 PE=1 SV=2
+LPPPPPENGKNSEKLNLNELAVDLPMIDVCGMAGFDAMEGRDDEDSSSSEERVLTSLLLEQVFLSRDARESEMSQRETESMKPDNLRTLLFQSNQLTQQSSETNAINTTATSQTITTTVSSTNTRRTANRATELQQRAAQAHQRELQLQMQLQQLQSASPGSSNLQGGASRRVGSLQSLLEAIPDMAERNSPSYSSQSSSLGGTSSSTFHMNSRRARPGGLGRGPHFMRRVHRVGSSEDLDRPARHELTLHAAFDDTVHNPDGGPLAACIPCIVETSTEAHESTVHEQLSTETYGMKGCYPCTFSQPQEVSFAEGGYYLDFDVRTLICQMPHDTTHRTTTAGSEYCSACLDYDYCILCKYRRGRFNGKLCADCSVGEHRSM
+>sp|Q09470|KCNA1_HUMAN Potassium voltage-gated channel subfamily A member 1 OS=Homo sapiens OX=9606 GN=KCNA1 PE=1 SV=2
+MTVMSGENVDEASAAPGHPQDGSYPRQADHDDHECCERVVINISGLRFETQLKTLAQFPNTLLGNPKKRMRYFDPLRNEYFFDRNRPSFDAILYYYQSGGRLRRPVNVPLDMFSEEIKFYELGEEAMEKFREDEGFIKEEERPLPEKEYQRQVWLLFEYPESSGPARVIAIVSVMVILISIVIFCLETLPELKDDKDFTGTVHRIDNTTVIYNSNIFTDPFFIVETLCIIWFSFELVVRFFACPSKTDFFKNIMNFIDIVAIIPYFITLGTEIAEQEGNQKGEQATSLAILRVIRLVRVFRIFKLSRHSKGLQILGQTLKASMRELGLLIFFLFIGVILFSSAVYFAEAEEAESHFSSIPDAFWWAVVSMTTVGYGDMYPVTIGGKIVGSLCAIAGVLTIALPVPVIVSNFNYFYHRETEGEEQAQLLHVSSPNLASDSDLSRRSSSTMSKSEYMEIEEDMNNSIAHYRQVNIRTANCTTANQNCVNKSKLLTDV
+>DECOY_sp|Q09470|KCNA1_HUMAN Potassium voltage-gated channel subfamily A member 1 OS=Homo sapiens OX=9606 GN=KCNA1 PE=1 SV=2
+VDTLLKSKNVCNQNATTCNATRINVQRYHAISNNMDEEIEMYESKSMTSSSRRSLDSDSALNPSSVHLLQAQEEGETERHYFYNFNSVIVPVPLAITLVGAIACLSGVIKGGITVPYMDGYGVTTMSVVAWWFADPISSFHSEAEEAEAFYVASSFLIVGIFLFFILLGLERMSAKLTQGLIQLGKSHRSLKFIRFVRVLRIVRLIALSTAQEGKQNGEQEAIETGLTIFYPIIAVIDIFNMINKFFDTKSPCAFFRVVLEFSFWIICLTEVIFFPDTFINSNYIVTTNDIRHVTGTFDKDDKLEPLTELCFIVISILIVMVSVIAIVRAPGSSEPYEFLLWVQRQYEKEPLPREEEKIFGEDERFKEMAEEGLEYFKIEESFMDLPVNVPRRLRGGSQYYYLIADFSPRNRDFFYENRLPDFYRMRKKPNGLLTNPFQALTKLQTEFRLGSINIVVRECCEHDDHDAQRPYSGDQPHGPAASAEDVNEGSMVTM
+>sp|Q14721|KCNB1_HUMAN Potassium voltage-gated channel subfamily B member 1 OS=Homo sapiens OX=9606 GN=KCNB1 PE=1 SV=2
+MPAGMTKHGSRSTSSLPPEPMEIVRSKACSRRVRLNVGGLAHEVLWRTLDRLPRTRLGKLRDCNTHDSLLEVCDDYSLDDNEYFFDRHPGAFTSILNFYRTGRLHMMEEMCALSFSQELDYWGIDEIYLESCCQARYHQKKEQMNEELKREAETLREREGEEFDNTCCAEKRKKLWDLLEKPNSSVAAKILAIISIMFIVLSTIALSLNTLPELQSLDEFGQSTDNPQLAHVEAVCIAWFTMEYLLRFLSSPKKWKFFKGPLNAIDLLAILPYYVTIFLTESNKSVLQFQNVRRVVQIFRIMRILRILKLARHSTGLQSLGFTLRRSYNELGLLILFLAMGIMIFSSLVFFAEKDEDDTKFKSIPASFWWATITMTTVGYGDIYPKTLLGKIVGGLCCIAGVLVIALPIPIIVNNFSEFYKEQKRQEKAIKRREALERAKRNGSIVSMNMKDAFARSIEMMDIVVEKNGENMGKKDKVQDNHLSPNKWKWTKRTLSETSSSKSFETKEQGSPEKARSSSSPQHLNVQQLEDMYNKMAKTQSQPILNTKESAAQSKPKEELEMESIPSPVAPLPTRTEGVIDMRSMSSIDSFISCATDFPEATRFSHSPLTSLPSKTGGSTAPEVGWRGALGASGGRFVEANPSPDASQHSSFFIESPKSSMKTNNPLKLRALKVNFMEGDPSPLLPVLGMYHDPLRNRGSAAAAVAGLECATLLDKAVLSPESSIYTTASAKTPPRSPEKHTAIAFNFEAGVHQYIDADTDDEGQLLYSVDSSPPKSLPGSTSPKFSTGTRSEKNHFESSPLPTSPKFLRQNCIYSTEALTGKGPSGQEKCKLENHISPDVRVLPGGGAHGSTRDQSI
+>DECOY_sp|Q14721|KCNB1_HUMAN Potassium voltage-gated channel subfamily B member 1 OS=Homo sapiens OX=9606 GN=KCNB1 PE=1 SV=2
+ISQDRTSGHAGGGPLVRVDPSIHNELKCKEQGSPGKGTLAETSYICNQRLFKPSTPLPSSEFHNKESRTGTSFKPSTSGPLSKPPSSDVSYLLQGEDDTDADIYQHVGAEFNFAIATHKEPSRPPTKASATTYISSEPSLVAKDLLTACELGAVAAAASGRNRLPDHYMGLVPLLPSPDGEMFNVKLARLKLPNNTKMSSKPSEIFFSSHQSADPSPNAEVFRGGSAGLAGRWGVEPATSGGTKSPLSTLPSHSFRTAEPFDTACSIFSDISSMSRMDIVGETRTPLPAVPSPISEMELEEKPKSQAASEKTNLIPQSQTKAMKNYMDELQQVNLHQPSSSSRAKEPSGQEKTEFSKSSSTESLTRKTWKWKNPSLHNDQVKDKKGMNEGNKEVVIDMMEISRAFADKMNMSVISGNRKARELAERRKIAKEQRKQEKYFESFNNVIIPIPLAIVLVGAICCLGGVIKGLLTKPYIDGYGVTTMTITAWWFSAPISKFKTDDEDKEAFFVLSSFIMIGMALFLILLGLENYSRRLTFGLSQLGTSHRALKLIRLIRMIRFIQVVRRVNQFQLVSKNSETLFITVYYPLIALLDIANLPGKFFKWKKPSSLFRLLYEMTFWAICVAEVHALQPNDTSQGFEDLSQLEPLTNLSLAITSLVIFMISIIALIKAAVSSNPKELLDWLKKRKEACCTNDFEEGERERLTEAERKLEENMQEKKQHYRAQCCSELYIEDIGWYDLEQSFSLACMEEMMHLRGTRYFNLISTFAGPHRDFFYENDDLSYDDCVELLSDHTNCDRLKGLRTRPLRDLTRWLVEHALGGVNLRVRRSCAKSRVIEMPEPPLSSTSRSGHKTMGAPM
+>sp|Q9NSA2|KCND1_HUMAN Potassium voltage-gated channel subfamily D member 1 OS=Homo sapiens OX=9606 GN=KCND1 PE=1 SV=2
+MAAGLATWLPFARAAAVGWLPLAQQPLPPAPGVKASRGDEVLVVNVSGRRFETWKNTLDRYPDTLLGSSEKEFFYDADSGEYFFDRDPDMFRHVLNFYRTGRLHCPRQECIQAFDEELAFYGLVPELVGDCCLEEYRDRKKENAERLAEDEEAEQAGDGPALPAGSSLRQRLWRAFENPHTSTAALVFYYVTGFFIAVSVIANVVETIPCRGSARRSSREQPCGERFPQAFFCMDTACVLIFTGEYLLRLFAAPSRCRFLRSVMSLIDVVAILPYYIGLLVPKNDDVSGAFVTLRVFRVFRIFKFSRHSQGLRILGYTLKSCASELGFLLFSLTMAIIIFATVMFYAEKGTNKTNFTSIPAAFWYTIVTMTTLGYGDMVPSTIAGKIFGSICSLSGVLVIALPVPVIVSNFSRIYHQNQRADKRRAQQKVRLARIRLAKSGTTNAFLQYKQNGGLEDSGSGEEQALCVRNRSAFEQQHHHLLHCLEKTTCHEFTDELTFSEALGAVSPGGRTSRSTSVSSQPVGPGSLLSSCCPRRAKRRAIRLANSTASVSRGSMQELDMLAGLRRSHAPQSRSSLNAKPHDSLDLNCDSRDFVAAIISIPTPPANTPDESQPSSPGGGGRAGSTLRNSSLGTPCLFPETVKISSL
+>DECOY_sp|Q9NSA2|KCND1_HUMAN Potassium voltage-gated channel subfamily D member 1 OS=Homo sapiens OX=9606 GN=KCND1 PE=1 SV=2
+LSSIKVTEPFLCPTGLSSNRLTSGARGGGGPSSPQSEDPTNAPPTPISIIAAVFDRSDCNLDLSDHPKANLSSRSQPAHSRRLGALMDLEQMSGRSVSATSNALRIARRKARRPCCSSLLSGPGVPQSSVSTSRSTRGGPSVAGLAESFTLEDTFEHCTTKELCHLLHHHQQEFASRNRVCLAQEEGSGSDELGGNQKYQLFANTTGSKALRIRALRVKQQARRKDARQNQHYIRSFNSVIVPVPLAIVLVGSLSCISGFIKGAITSPVMDGYGLTTMTVITYWFAAPISTFNTKNTGKEAYFMVTAFIIIAMTLSFLLFGLESACSKLTYGLIRLGQSHRSFKFIRFVRFVRLTVFAGSVDDNKPVLLGIYYPLIAVVDILSMVSRLFRCRSPAAFLRLLYEGTFILVCATDMCFFAQPFREGCPQERSSRRASGRCPITEVVNAIVSVAIFFGTVYYFVLAATSTHPNEFARWLRQRLSSGAPLAPGDGAQEAEEDEALREANEKKRDRYEELCCDGVLEPVLGYFALEEDFAQICEQRPCHLRGTRYFNLVHRFMDPDRDFFYEGSDADYFFEKESSGLLTDPYRDLTNKWTEFRRGSVNVVLVEDGRSAKVGPAPPLPQQALPLWGVAAARAFPLWTALGAAM
+>sp|Q9UJ96|KCNG2_HUMAN Potassium voltage-gated channel subfamily G member 2 OS=Homo sapiens OX=9606 GN=KCNG2 PE=1 SV=1
+MEPWPCSPGGGGGTRARHVIINVGGCRVRLAWAALARCPLARLERLRACRGHDDLLRVCDDYDVSRDEFFFDRSPCAFRAIVALLRAGKLRLLRGPCALAFRDELAYWGIDEARLERCCLRRLRRREEEAAEARAGPTERGAQGSPARALGPRGRLQRGRRRLRDVVDNPHSGLAGKLFACVSVSFVAVTAVGLCLSTMPDIRAEEERGECSPKCRSLFVLETVCVAWFSFEFLLRSLQAESKCAFLRAPLNIIDILALLPFYVSLLLGLAAGPGGTKLLERAGLVLRLLRALRVLYVMRLARHSLGLRSLGLTMRRCAREFGLLLLFLCVAMALFAPLVHLAERELGARRDFSSVPASYWWAVISMTTVGYGDMVPRSLPGQVVALSSILSGILLMAFPVTSIFHTFSRSYSELKEQQQRAASPEPALQEDSTHSATATEDSSQGPDSAGLADDSADALWVRAGR
+>DECOY_sp|Q9UJ96|KCNG2_HUMAN Potassium voltage-gated channel subfamily G member 2 OS=Homo sapiens OX=9606 GN=KCNG2 PE=1 SV=1
+RGARVWLADASDDALGASDPGQSSDETATASHTSDEQLAPEPSAARQQQEKLESYSRSFTHFISTVPFAMLLIGSLISSLAVVQGPLSRPVMDGYGVTTMSIVAWWYSAPVSSFDRRAGLEREALHVLPAFLAMAVCLFLLLLGFERACRRMTLGLSRLGLSHRALRMVYLVRLARLLRLVLGARELLKTGGPGAALGLLLSVYFPLLALIDIINLPARLFACKSEAQLSRLLFEFSFWAVCVTELVFLSRCKPSCEGREEEARIDPMTSLCLGVATVAVFSVSVCAFLKGALGSHPNDVVDRLRRRGRQLRGRPGLARAPSGQAGRETPGARAEAAEEERRRLRRLCCRELRAEDIGWYALEDRFALACPGRLLRLKGARLLAVIARFACPSRDFFFEDRSVDYDDCVRLLDDHGRCARLRELRALPCRALAAWALRVRCGGVNIIVHRARTGGGGGPSCPWPEM
+>sp|Q8TAE7|KCNG3_HUMAN Potassium voltage-gated channel subfamily G member 3 OS=Homo sapiens OX=9606 GN=KCNG3 PE=1 SV=1
+MTFGRSGAASVVLNVGGARYSLSRELLKDFPLRRVSRLHGCRSERDVLEVCDDYDRERNEYFFDRHSEAFGFILLYVRGHGKLRFAPRMCELSFYNEMIYWGLEGAHLEYCCQRRLDDRMSDTYTFYSADEPGVLGRDEARPGGAEAAPSRRWLERMRRTFEEPTSSLAAQILASVSVVFVIVSMVVLCASTLPDWRNAAADNRSLDDRSRYSAGPGREPSGIIEAICIGWFTAECIVRFIVSKNKCEFVKRPLNIIDLLAITPYYISVLMTVFTGENSQLQRAGVTLRVLRMMRIFWVIKLARHFIGLQTLGLTLKRCYREMVMLLVFICVAMAIFSALSQLLEHGLDLETSNKDFTSIPAACWWVIISMTTVGYGDMYPITVPGRILGGVCVVSGIVLLALPITFIYHSFVQCYHELKFRSARYSRSLSTEFLN
+>DECOY_sp|Q8TAE7|KCNG3_HUMAN Potassium voltage-gated channel subfamily G member 3 OS=Homo sapiens OX=9606 GN=KCNG3 PE=1 SV=1
+NLFETSLSRSYRASRFKLEHYCQVFSHYIFTIPLALLVIGSVVCVGGLIRGPVTIPYMDGYGVTTMSIIVWWCAAPISTFDKNSTELDLGHELLQSLASFIAMAVCIFVLLMVMERYCRKLTLGLTQLGIFHRALKIVWFIRMMRLVRLTVGARQLQSNEGTFVTMLVSIYYPTIALLDIINLPRKVFECKNKSVIFRVICEATFWGICIAEIIGSPERGPGASYRSRDDLSRNDAAANRWDPLTSACLVVMSVIVFVVSVSALIQAALSSTPEEFTRRMRELWRRSPAAEAGGPRAEDRGLVGPEDASYFTYTDSMRDDLRRQCCYELHAGELGWYIMENYFSLECMRPAFRLKGHGRVYLLIFGFAESHRDFFYENRERDYDDCVELVDRESRCGHLRSVRRLPFDKLLERSLSYRAGGVNLVVSAAGSRGFTM
+>sp|Q12809|KCNH2_HUMAN Potassium voltage-gated channel subfamily H member 2 OS=Homo sapiens OX=9606 GN=KCNH2 PE=1 SV=1
+MPVRRGHVAPQNTFLDTIIRKFEGQSRKFIIANARVENCAVIYCNDGFCELCGYSRAEVMQRPCTCDFLHGPRTQRRAAAQIAQALLGAEERKVEIAFYRKDGSCFLCLVDVVPVKNEDGAVIMFILNFEVVMEKDMVGSPAHDTNHRGPPTSWLAPGRAKTFRLKLPALLALTARESSVRSGGAGGAGAPGAVVVDVDLTPAAPSSESLALDEVTAMDNHVAGLGPAEERRALVGPGSPPRSAPGQLPSPRAHSLNPDASGSSCSLARTRSRESCASVRRASSADDIEAMRAGVLPPPPRHASTGAMHPLRSGLLNSTSDSDLVRYRTISKIPQITLNFVDLKGDPFLASPTSDREIIAPKIKERTHNVTEKVTQVLSLGADVLPEYKLQAPRIHRWTILHYSPFKAVWDWLILLLVIYTAVFTPYSAAFLLKETEEGPPATECGYACQPLAVVDLIVDIMFIVDILINFRTTYVNANEEVVSHPGRIAVHYFKGWFLIDMVAAIPFDLLIFGSGSEELIGLLKTARLLRLVRVARKLDRYSEYGAAVLFLLMCTFALIAHWLACIWYAIGNMEQPHMDSRIGWLHNLGDQIGKPYNSSGLGGPSIKDKYVTALYFTFSSLTSVGFGNVSPNTNSEKIFSICVMLIGSLMYASIFGNVSAIIQRLYSGTARYHTQMLRVREFIRFHQIPNPLRQRLEEYFQHAWSYTNGIDMNAVLKGFPECLQADICLHLNRSLLQHCKPFRGATKGCLRALAMKFKTTHAPPGDTLVHAGDLLTALYFISRGSIEILRGDVVVAILGKNDIFGEPLNLYARPGKSNGDVRALTYCDLHKIHRDDLLEVLDMYPEFSDHFWSSLEITFNLRDTNMIPGSPGSTELEGGFSRQRKRKLSFRRRTDKDTEQPGEVSALGPGRAGAGPSSRGRPGGPWGESPSSGPSSPESSEDEGPGRSSSPLRLVPFSSPRPPGEPPGGEPLMEDCEKSSDTCNPLSGAFSGVSNIFSFWGDSRGRQYQELPRCPAPTPSLLNIPLSSPGRRPRGDVESRLDALQRQLNRLETRLSADMATVLQLLQRQMTLVPPAYSAVTTPGPGPTSTSPLLPVSPLPTLTLDSLSQVSQFMACEELPPGAPELPQEGPTRRLSLPGQLGALTSQPLHRHGSDPGS
+>DECOY_sp|Q12809|KCNH2_HUMAN Potassium voltage-gated channel subfamily H member 2 OS=Homo sapiens OX=9606 GN=KCNH2 PE=1 SV=1
+SGPDSGHRHLPQSTLAGLQGPLSLRRTPGEQPLEPAGPPLEECAMFQSVQSLSDLTLTPLPSVPLLPSTSTPGPGPTTVASYAPPVLTMQRQLLQLVTAMDASLRTELRNLQRQLADLRSEVDGRPRRGPSSLPINLLSPTPAPCRPLEQYQRGRSDGWFSFINSVGSFAGSLPNCTDSSKECDEMLPEGGPPEGPPRPSSFPVLRLPSSSRGPGEDESSEPSSPGSSPSEGWPGGPRGRSSPGAGARGPGLASVEGPQETDKDTRRRFSLKRKRQRSFGGELETSGPSGPIMNTDRLNFTIELSSWFHDSFEPYMDLVELLDDRHIKHLDCYTLARVDGNSKGPRAYLNLPEGFIDNKGLIAVVVDGRLIEISGRSIFYLATLLDGAHVLTDGPPAHTTKFKMALARLCGKTAGRFPKCHQLLSRNLHLCIDAQLCEPFGKLVANMDIGNTYSWAHQFYEELRQRLPNPIQHFRIFERVRLMQTHYRATGSYLRQIIASVNGFISAYMLSGILMVCISFIKESNTNPSVNGFGVSTLSSFTFYLATVYKDKISPGGLGSSNYPKGIQDGLNHLWGIRSDMHPQEMNGIAYWICALWHAILAFTCMLLFLVAAGYESYRDLKRAVRVLRLLRATKLLGILEESGSGFILLDFPIAAVMDILFWGKFYHVAIRGPHSVVEENANVYTTRFNILIDVIFMIDVILDVVALPQCAYGCETAPPGEETEKLLFAASYPTFVATYIVLLLILWDWVAKFPSYHLITWRHIRPAQLKYEPLVDAGLSLVQTVKETVNHTREKIKPAIIERDSTPSALFPDGKLDVFNLTIQPIKSITRYRVLDSDSTSNLLGSRLPHMAGTSAHRPPPPLVGARMAEIDDASSARRVSACSERSRTRALSCSSGSADPNLSHARPSPLQGPASRPPSGPGVLARREEAPGLGAVHNDMATVEDLALSESSPAAPTLDVDVVVAGPAGAGGAGGSRVSSERATLALLAPLKLRFTKARGPALWSTPPGRHNTDHAPSGVMDKEMVVEFNLIFMIVAGDENKVPVVDVLCLFCSGDKRYFAIEVKREEAGLLAQAIQAAARRQTRPGHLFDCTCPRQMVEARSYGCLECFGDNCYIVACNEVRANAIIFKRSQGEFKRIITDLFTNQPAVHGRRVPM
+>sp|P48544|KCNJ5_HUMAN G protein-activated inward rectifier potassium channel 4 OS=Homo sapiens OX=9606 GN=KCNJ5 PE=1 SV=2
+MAGDSRNAMNQDMEIGVTPWDPKKIPKQARDYVPIATDRTRLLAEGKKPRQRYMEKSGKCNVHHGNVQETYRYLSDLFTTLVDLKWRFNLLVFTMVYTVTWLFFGFIWWLIAYIRGDLDHVGDQEWIPCVENLSGFVSAFLFSIETETTIGYGFRVITEKCPEGIILLLVQAILGSIVNAFMVGCMFVKISQPKKRAETLMFSNNAVISMRDEKLCLMFRVGDLRNSHIVEASIRAKLIKSRQTKEGEFIPLNQTDINVGFDTGDDRLFLVSPLIISHEINQKSPFWEMSQAQLHQEEFEVVVILEGMVEATGMTCQARSSYMDTEVLWGHRFTPVLTLEKGFYEVDYNTFHDTYETNTPSCCAKELAEMKREGRLLQYLPSPPLLGGCAEAGLDAEAEQNEEDEPKGLGGSREARGSV
+>DECOY_sp|P48544|KCNJ5_HUMAN G protein-activated inward rectifier potassium channel 4 OS=Homo sapiens OX=9606 GN=KCNJ5 PE=1 SV=2
+VSGRAERSGGLGKPEDEENQEAEADLGAEACGGLLPPSPLYQLLRGERKMEALEKACCSPTNTEYTDHFTNYDVEYFGKELTLVPTFRHGWLVETDMYSSRAQCTMGTAEVMGELIVVVEFEEQHLQAQSMEWFPSKQNIEHSIILPSVLFLRDDGTDFGVNIDTQNLPIFEGEKTQRSKILKARISAEVIHSNRLDGVRFMLCLKEDRMSIVANNSFMLTEARKKPQSIKVFMCGVMFANVISGLIAQVLLLIIGEPCKETIVRFGYGITTETEISFLFASVFGSLNEVCPIWEQDGVHDLDGRIYAILWWIFGFFLWTVTYVMTFVLLNFRWKLDVLTTFLDSLYRYTEQVNGHHVNCKGSKEMYRQRPKKGEALLRTRDTAIPVYDRAQKPIKKPDWPTVGIEMDQNMANRSDGAM
+>sp|O95279|KCNK5_HUMAN Potassium channel subfamily K member 5 OS=Homo sapiens OX=9606 GN=KCNK5 PE=1 SV=1
+MVDRGPLLTSAIIFYLAIGAAIFEVLEEPHWKEAKKNYYTQKLHLLKEFPCLGQEGLDKILEVVSDAAGQGVAITGNQTFNNWNWPNAMIFAATVITTIGYGNVAPKTPAGRLFCVFYGLFGVPLCLTWISALGKFFGGRAKRLGQFLTKRGVSLRKAQITCTVIFIVWGVLVHLVIPPFVFMVTEGWNYIEGLYYSFITISTIGFGDFVAGVNPSANYHALYRYFVELWIYLGLAWLSLFVNWKVSMFVEVHKAIKKRRRRRKESFESSPHSRKALQVKGSTASKDVNIFSFLSKKEETYNDLIKQIGKKAMKTSGGGETGPGPGLGPQGGGLPALPPSLVPLVVYSKNRVPTLEEVSQTLRSKGHVSRSPDEEAVARAPEDSSPAPEVFMNQLDRISEECEPWDAQDYHPLIFQDASITFVNTEAGLSDEETSKSSLEDNLAGEESPQQGAEAKAPLNMGEFPSSSESTFTSTESELSVPYEQLMNEYNKANSPKGT
+>DECOY_sp|O95279|KCNK5_HUMAN Potassium channel subfamily K member 5 OS=Homo sapiens OX=9606 GN=KCNK5 PE=1 SV=1
+TGKPSNAKNYENMLQEYPVSLESETSTFTSESSSPFEGMNLPAKAEAGQQPSEEGALNDELSSKSTEEDSLGAETNVFTISADQFILPHYDQADWPECEESIRDLQNMFVEPAPSSDEPARAVAEEDPSRSVHGKSRLTQSVEELTPVRNKSYVVLPVLSPPLAPLGGGQPGLGPGPGTEGGGSTKMAKKGIQKILDNYTEEKKSLFSFINVDKSATSGKVQLAKRSHPSSEFSEKRRRRRKKIAKHVEVFMSVKWNVFLSLWALGLYIWLEVFYRYLAHYNASPNVGAVFDGFGITSITIFSYYLGEIYNWGETVMFVFPPIVLHVLVGWVIFIVTCTIQAKRLSVGRKTLFQGLRKARGGFFKGLASIWTLCLPVGFLGYFVCFLRGAPTKPAVNGYGITTIVTAAFIMANPWNWNNFTQNGTIAVGQGAADSVVELIKDLGEQGLCPFEKLLHLKQTYYNKKAEKWHPEELVEFIAAGIALYFIIASTLLPGRDVM
+>sp|Q9NPC2|KCNK9_HUMAN Potassium channel subfamily K member 9 OS=Homo sapiens OX=9606 GN=KCNK9 PE=1 SV=1
+MKRQNVRTLSLIVCTFTYLLVGAAVFDALESDHEMREEEKLKAEEIRIKGKYNISSEDYRQLELVILQSEPHRAGVQWKFAGSFYFAITVITTIGYGHAAPGTDAGKAFCMFYAVLGIPLTLVMFQSLGERMNTFVRYLLKRIKKCCGMRNTDVSMENMVTVGFFSCMGTLCIGAAAFSQCEEWSFFHAYYYCFITLTTIGFGDYVALQTKGALQKKPLYVAFSFMYILVGLTVIGAFLNLVVLRFLTMNSEDERRDAEERASLAGNRNSMVIHIPEEPRPSRPRYKADVPDLQSVCSCTCYRSQDYGGRSVAPQNSFSAKLAPHYFHSISYKIEEISPSTLKNSLFPSPISSISPGLHSFTDHQRLMKRRKSV
+>DECOY_sp|Q9NPC2|KCNK9_HUMAN Potassium channel subfamily K member 9 OS=Homo sapiens OX=9606 GN=KCNK9 PE=1 SV=1
+VSKRRKMLRQHDTFSHLGPSISSIPSPFLSNKLTSPSIEEIKYSISHFYHPALKASFSNQPAVSRGGYDQSRYCTCSCVSQLDPVDAKYRPRSPRPEEPIHIVMSNRNGALSAREEADRREDESNMTLFRLVVLNLFAGIVTLGVLIYMFSFAVYLPKKQLAGKTQLAVYDGFGITTLTIFCYYYAHFFSWEECQSFAAAGICLTGMCSFFGVTVMNEMSVDTNRMGCCKKIRKLLYRVFTNMREGLSQFMVLTLPIGLVAYFMCFAKGADTGPAAHGYGITTIVTIAFYFSGAFKWQVGARHPESQLIVLELQRYDESSINYKGKIRIEEAKLKEEERMEHDSELADFVAAGVLLYTFTCVILSLTRVNQRKM
+>sp|Q9HB15|KCNKC_HUMAN Potassium channel subfamily K member 12 OS=Homo sapiens OX=9606 GN=KCNK12 PE=2 SV=1
+MSSRSPRPPPRRSRRRLPRPSCCCCCCRRSHLNEDTGRFVLLAALIGLYLVAGATVFSALESPGEAEARARWGATLRNFSAAHGVAEPELRAFLRHYEAALAAGVRADALRPRWDFPGAFYFVGTVVSTIGFGMTTPATVGGKAFLIAYGLFGCAGTILFFNLFLERIISLLAFIMRACRERQLRRSGLLPATFRRGSALSEADSLAGWKPSVYHVLLILGLFAVLLSCCASAMYTSVEGWDYVDSLYFCFVTFSTIGFGDLVSSQHAAYRNQGLYRLGNFLFILLGVCCIYSLFNVISILIKQVLNWMLRKLSCRCCARCCPAPGAPLARRNAITPGSRLRRRLAALGADPAARDSDAEGRRLSGELISMRDLTASNKVSLALLQKQLSETANGYPRSVCVNTRQNGFSGGVGALGIMNNRLAETSASR
+>DECOY_sp|Q9HB15|KCNKC_HUMAN Potassium channel subfamily K member 12 OS=Homo sapiens OX=9606 GN=KCNK12 PE=2 SV=1
+RSASTEALRNNMIGLAGVGGSFGNQRTNVCVSRPYGNATESLQKQLLALSVKNSATLDRMSILEGSLRRGEADSDRAAPDAGLAALRRRLRSGPTIANRRALPAGPAPCCRACCRCSLKRLMWNLVQKILISIVNFLSYICCVGLLIFLFNGLRYLGQNRYAAHQSSVLDGFGITSFTVFCFYLSDVYDWGEVSTYMASACCSLLVAFLGLILLVHYVSPKWGALSDAESLASGRRFTAPLLGSRRLQRERCARMIFALLSIIRELFLNFFLITGACGFLGYAILFAKGGVTAPTTMGFGITSVVTGVFYFAGPFDWRPRLADARVGAALAAEYHRLFARLEPEAVGHAASFNRLTAGWRARAEAEGPSELASFVTAGAVLYLGILAALLVFRGTDENLHSRRCCCCCCSPRPLRRRSRRPPPRPSRSSM
+>sp|Q96T55|KCNKG_HUMAN Potassium channel subfamily K member 16 OS=Homo sapiens OX=9606 GN=KCNK16 PE=1 SV=1
+MPSAGLCSCWGGRVLPLLLAYVCYLLLGATIFQLLERQAEAQSRDQFQLEKLRFLENYTCLDQWAMEQFVQVIMEAWVKGVNPKGNSTNPSNWDFGSSFFFAGTVVTTIGYGNLAPSTEAGQVFCVFYALLGIPLNVIFLNHLGTGLRAHLAAIERWEDRPRRSQVLQVLGLALFLTLGTLVILIFPPMVFSHVEGWSFSEGFYFAFITLSTIGFGDYVVGTDPSKHYISVYRSLAAIWILLGLAWLALILPLGPLLLHRCCQLWLLSLRQGCGAKAAPGRRPRRGSTAARGVQVTPQDFPISKKGLGS
+>DECOY_sp|Q96T55|KCNKG_HUMAN Potassium channel subfamily K member 16 OS=Homo sapiens OX=9606 GN=KCNK16 PE=1 SV=1
+SGLGKKSIPFDQPTVQVGRAATSGRRPRRGPAAKAGCGQRLSLLWLQCCRHLLLPGLPLILALWALGLLIWIAALSRYVSIYHKSPDTGVVYDGFGITSLTIFAFYFGESFSWGEVHSFVMPPFILIVLTGLTLFLALGLVQLVQSRRPRDEWREIAALHARLGTGLHNLFIVNLPIGLLAYFVCFVQGAETSPALNGYGITTVVTGAFFFSSGFDWNSPNTSNGKPNVGKVWAEMIVQVFQEMAWQDLCTYNELFRLKELQFQDRSQAEAQRELLQFITAGLLLYCVYALLLPLVRGGWCSCLGASPM
+>sp|Q92952|KCNN1_HUMAN Small conductance calcium-activated potassium channel protein 1 OS=Homo sapiens OX=9606 GN=KCNN1 PE=2 SV=2
+MNSHSYNGSVGRPLGSGPGALGRDPPDPEAGHPPQPPHSPGLQVVVAKSEPARPSPGSPRGQPQDQDDDEDDEEDEAGRQRASGKPSNVGHRLGHRRALFEKRKRLSDYALIFGMFGIVVMVTETELSWGVYTKESLYSFALKCLISLSTAILLGLVVLYHAREIQLFMVDNGADDWRIAMTCERVFLISLELAVCAIHPVPGHYRFTWTARLAFTYAPSVAEADVDVLLSIPMFLRLYLLGRVMLLHSKIFTDASSRSIGALNKITFNTRFVMKTLMTICPGTVLLVFSISSWIIAAWTVRVCERYHDKQEVTSNFLGAMWLISITFLSIGYGDMVPHTYCGKGVCLLTGIMGAGCTALVVAVVARKLELTKAEKHVHNFMMDTQLTKRVKNAAANVLRETWLIYKHTRLVKKPDQARVRKHQRKFLQAIHQAQKLRSVKIEQGKLNDQANTLTDLAKTQTVMYDLVSELHAQHEELEARLATLESRLDALGASLQALPGLIAQAIRPPPPPLPPRPGPGPQDQAARSSPCRWTPVAPSDCG
+>DECOY_sp|Q92952|KCNN1_HUMAN Small conductance calcium-activated potassium channel protein 1 OS=Homo sapiens OX=9606 GN=KCNN1 PE=2 SV=2
+GCDSPAVPTWRCPSSRAAQDQPGPGPRPPLPPPPPRIAQAILGPLAQLSAGLADLRSELTALRAELEEHQAHLESVLDYMVTQTKALDTLTNAQDNLKGQEIKVSRLKQAQHIAQLFKRQHKRVRAQDPKKVLRTHKYILWTERLVNAAANKVRKTLQTDMMFNHVHKEAKTLELKRAVVAVVLATCGAGMIGTLLCVGKGCYTHPVMDGYGISLFTISILWMAGLFNSTVEQKDHYRECVRVTWAAIIWSSISFVLLVTGPCITMLTKMVFRTNFTIKNLAGISRSSADTFIKSHLLMVRGLLYLRLFMPISLLVDVDAEAVSPAYTFALRATWTFRYHGPVPHIACVALELSILFVRECTMAIRWDDAGNDVMFLQIERAHYLVVLGLLIATSLSILCKLAFSYLSEKTYVGWSLETETVMVVIGFMGFILAYDSLRKRKEFLARRHGLRHGVNSPKGSARQRGAEDEEDDEDDDQDQPQGRPSGPSPRAPESKAVVVQLGPSHPPQPPHGAEPDPPDRGLAGPGSGLPRGVSGNYSHSNM
+>sp|O43526|KCNQ2_HUMAN Potassium voltage-gated channel subfamily KQT member 2 OS=Homo sapiens OX=9606 GN=KCNQ2 PE=1 SV=2
+MVQKSRNGGVYPGPSGEKKLKVGFVGLDPGAPDSTRDGALLIAGSEAPKRGSILSKPRAGGAGAGKPPKRNAFYRKLQNFLYNVLERPRGWAFIYHAYVFLLVFSCLVLSVFSTIKEYEKSSEGALYILEIVTIVVFGVEYFVRIWAAGCCCRYRGWRGRLKFARKPFCVIDIMVLIASIAVLAAGSQGNVFATSALRSLRFLQILRMIRMDRRGGTWKLLGSVVYAHSKELVTAWYIGFLCLILASFLVYLAEKGENDHFDTYADALWWGLITLTTIGYGDKYPQTWNGRLLAATFTLIGVSFFALPAGILGSGFALKVQEQHRQKHFEKRRNPAAGLIQSAWRFYATNLSRTDLHSTWQYYERTVTVPMYSSQTQTYGASRLIPPLNQLELLRNLKSKSGLAFRKDPPPEPSPSKGSPCRGPLCGCCPGRSSQKVSLKDRVFSSPRGVAAKGKGSPQAQTVRRSPSADQSLEDSPSKVPKSWSFGDRSRARQAFRIKGAASRQNSEEASLPGEDIVDDKSCPCEFVTEDLTPGLKVSIRAVCVMRFLVSKRKFKESLRPYDVMDVIEQYSAGHLDMLSRIKSLQSRVDQIVGRGPAITDKDRTKGPAEAELPEDPSMMGRLGKVEKQVLSMEKKLDFLVNIYMQRMGIPPTETEAYFGAKEPEPAPPYHSPEDSREHVDRHGCIVKIVRSSSSTGQKNFSAPPAAPPVQCPPSTSWQPQSHPRQGHGTSPVGDHGSLVRIPPPPAHERSLSAYGGGNRASMEFLRQEDTPGCRPPEGNLRDSDTSISIPSVDHEELERSFSGFSISQSKENLDALNSCYAAVAPCAKVRPYIAEGESDTDSDLCTPCGPPPRSATGEGPFGDVGWAGPRK
+>DECOY_sp|O43526|KCNQ2_HUMAN Potassium voltage-gated channel subfamily KQT member 2 OS=Homo sapiens OX=9606 GN=KCNQ2 PE=1 SV=2
+KRPGAWGVDGFPGEGTASRPPPGCPTCLDSDTDSEGEAIYPRVKACPAVAAYCSNLADLNEKSQSISFGSFSRELEEHDVSPISISTDSDRLNGEPPRCGPTDEQRLFEMSARNGGGYASLSREHAPPPPIRVLSGHDGVPSTGHGQRPHSQPQWSTSPPCQVPPAAPPASFNKQGTSSSSRVIKVICGHRDVHERSDEPSHYPPAPEPEKAGFYAETETPPIGMRQMYINVLFDLKKEMSLVQKEVKGLRGMMSPDEPLEAEAPGKTRDKDTIAPGRGVIQDVRSQLSKIRSLMDLHGASYQEIVDMVDYPRLSEKFKRKSVLFRMVCVARISVKLGPTLDETVFECPCSKDDVIDEGPLSAEESNQRSAAGKIRFAQRARSRDGFSWSKPVKSPSDELSQDASPSRRVTQAQPSGKGKAAVGRPSSFVRDKLSVKQSSRGPCCGCLPGRCPSGKSPSPEPPPDKRFALGSKSKLNRLLELQNLPPILRSAGYTQTQSSYMPVTVTREYYQWTSHLDTRSLNTAYFRWASQILGAAPNRRKEFHKQRHQEQVKLAFGSGLIGAPLAFFSVGILTFTAALLRGNWTQPYKDGYGITTLTILGWWLADAYTDFHDNEGKEALYVLFSALILCLFGIYWATVLEKSHAYVVSGLLKWTGGRRDMRIMRLIQLFRLSRLASTAFVNGQSGAALVAISAILVMIDIVCFPKRAFKLRGRWGRYRCCCGAAWIRVFYEVGFVVITVIELIYLAGESSKEYEKITSFVSLVLCSFVLLFVYAHYIFAWGRPRELVNYLFNQLKRYFANRKPPKGAGAGGARPKSLISGRKPAESGAILLAGDRTSDPAGPDLGVFGVKLKKEGSPGPYVGGNRSKQVM
+>sp|Q7Z7F0|KHDC4_HUMAN KH homology domain-containing protein 4 OS=Homo sapiens OX=9606 GN=KHDC4 PE=1 SV=1
+MSAGSATHPGAGGRRSKWDQPAPAPLLFLPPAAPGGEVTSSGGSPGGTTAAPSGALDAAAAVAAKINAMLMAKGKLKPTQNASEKLQAPGKGLTSNKSKDDLVVAEVEINDVPLTCRNLLTRGQTQDEISRLSGAAVSTRGRFMTTEEKAKVGPGDRPLYLHVQGQTRELVDRAVNRIKEIITNGVVKAATGTSPTFNGATVTVYHQPAPIAQLSPAVSQKPPFQSGMHYVQDKLFVGLEHAVPTFNVKEKVEGPGCSYLQHIQIETGAKVFLRGKGSGCIEPASGREAFEPMYIYISHPKPEGLAAAKKLCENLLQTVHAEYSRFVNQINTAVPLPGYTQPSAISSVPPQPPYYPSNGYQSGYPVVPPPQQPVQPPYGVPSIVPPAVSLAPGVLPALPTGVPPVPTQYPITQVQPPASTGQSPMGGPFIPAAPVKTALPAGPQPQPQPQPPLPSQPQAQKRRFTEELPDERESGLLGYQHGPIHMTNLGTGFSSQNEIEGAGSKPASSSGKERERDRQLMPPPAFPVTGIKTESDERNGSGTLTGSHDYPAKKMKTTEKGFGLVAYAADSSDEEEEHGGHKNASSFPQGWSLGYQYPSSQPRAKQQMPFWMAP
+>DECOY_sp|Q7Z7F0|KHDC4_HUMAN KH homology domain-containing protein 4 OS=Homo sapiens OX=9606 GN=KHDC4 PE=1 SV=1
+PAMWFPMQQKARPQSSPYQYGLSWGQPFSSANKHGGHEEEEDSSDAAYAVLGFGKETTKMKKAPYDHSGTLTGSGNREDSETKIGTVPFAPPPMLQRDREREKGSSSAPKSGAGEIENQSSFGTGLNTMHIPGHQYGLLGSEREDPLEETFRRKQAQPQSPLPPQPQPQPQPGAPLATKVPAAPIFPGGMPSQGTSAPPQVQTIPYQTPVPPVGTPLAPLVGPALSVAPPVISPVGYPPQVPQQPPPVVPYGSQYGNSPYYPPQPPVSSIASPQTYGPLPVATNIQNVFRSYEAHVTQLLNECLKKAAALGEPKPHSIYIYMPEFAERGSAPEICGSGKGRLFVKAGTEIQIHQLYSCGPGEVKEKVNFTPVAHELGVFLKDQVYHMGSQFPPKQSVAPSLQAIPAPQHYVTVTAGNFTPSTGTAAKVVGNTIIEKIRNVARDVLERTQGQVHLYLPRDGPGVKAKEETTMFRGRTSVAAGSLRSIEDQTQGRTLLNRCTLPVDNIEVEAVVLDDKSKNSTLGKGPAQLKESANQTPKLKGKAMLMANIKAAVAAAADLAGSPAATTGGPSGGSSTVEGGPAAPPLFLLPAPAPQDWKSRRGGAGPHTASGASM
+>sp|Q5VWX1|KHDR2_HUMAN KH domain-containing, RNA-binding, signal transduction-associated protein 2 OS=Homo sapiens OX=9606 GN=KHDRBS2 PE=1 SV=1
+MEEEKYLPELMAEKDSLDPSFVHASRLLAEEIEKFQGSDGKKEDEEKKYLDVISNKNIKLSERVLIPVKQYPKFNFVGKLLGPRGNSLKRLQEETGAKMSILGKGSMRDKAKEEELRKSGEAKYAHLSDELHVLIEVFAPPGEAYSRMSHALEEIKKFLVPDYNDEIRQEQLRELSYLNGSEDSGRGRGIRGRGIRIAPTAPSRGRGGAIPPPPPPGRGVLTPRGSTVTRGALPVPPVARGVPTPRARGAPTVPGYRAPPPPAHEAYEEYGYDDGYGGEYDDQTYETYDNSYATQTQSVPEYYDYGHGVSEDAYDSYAPEEWATTRSSLKAPPQRSARGGYREHPYGRY
+>DECOY_sp|Q5VWX1|KHDR2_HUMAN KH domain-containing, RNA-binding, signal transduction-associated protein 2 OS=Homo sapiens OX=9606 GN=KHDRBS2 PE=1 SV=1
+YRGYPHERYGGRASRQPPAKLSSRTTAWEEPAYSDYADESVGHGYDYYEPVSQTQTAYSNDYTEYTQDDYEGGYGDDYGYEEYAEHAPPPPARYGPVTPAGRARPTPVGRAVPPVPLAGRTVTSGRPTLVGRGPPPPPPIAGGRGRSPATPAIRIGRGRIGRGRGSDESGNLYSLERLQEQRIEDNYDPVLFKKIEELAHSMRSYAEGPPAFVEILVHLEDSLHAYKAEGSKRLEEEKAKDRMSGKGLISMKAGTEEQLRKLSNGRPGLLKGVFNFKPYQKVPILVRESLKINKNSIVDLYKKEEDEKKGDSGQFKEIEEALLRSAHVFSPDLSDKEAMLEPLYKEEEM
+>sp|Q7Z4S6|KI21A_HUMAN Kinesin-like protein KIF21A OS=Homo sapiens OX=9606 GN=KIF21A PE=1 SV=2
+MLGAPDESSVRVAVRIRPQLAKEKIEGCHICTSVTPGEPQVFLGKDKAFTFDYVFDIDSQQEQIYIQCIEKLIEGCFEGYNATVFAYGQTGAGKTYTMGTGFDVNIVEEELGIISRAVKHLFKSIEEKKHIAIKNGLPAPDFKVNAQFLELYNEEVLDLFDTTRDIDAKSKKSNIRIHEDSTGGIYTVGVTTRTVNTESEMMQCLKLGALSRTTASTQMNVQSSRSHAIFTIHVCQTRVCPQIDADNATDNKIISESAQMNEFETLTAKFHFVDLAGSERLKRTGATGERAKEGISINCGLLALGNVISALGDKSKRATHVPYRDSKLTRLLQDSLGGNSQTIMIACVSPSDRDFMETLNTLKYANRARNIKNKVMVNQDRASQQINALRSEITRLQMELMEYKTGKRIIDEEGVESINDMFHENAMLQTENNNLRVRIKAMQETVDALRSRITQLVSDQANHVLARAGEGNEEISNMIHSYIKEIEDLRAKLLESEAVNENLRKNLTRATARAPYFSGSSTFSPTILSSDKETIEIIDLAKKDLEKLKRKEKRKKKRLQKLEESNREERSVAGKEDNTDTDQEKKEEKGVSERENNELEVEESQEVSDHEDEEEEEEEEEDDIDGGESSDESDSESDEKANYQADLANITCEIAIKQKLIDELENSQKRLQTLKKQYEEKLMMLQHKIRDTQLERDQVLQNLGSVESYSEEKAKKVRSEYEKKLQAMNKELQRLQAAQKEHARLLKNQSQYEKQLKKLQQDVMEMKKTKVRLMKQMKEEQEKARLTESRRNREIAQLKKDQRKRDHQLRLLEAQKRNQEVVLRRKTEEVTALRRQVRPMSDKVAGKVTRKLSSSDAPAQDTGSSAAAVETDASRTGAQQKMRIPVARVQALPTPATNGNRKKYQRKGLTGRVFISKTARMKWQLLERRVTDIIMQKMTISNMEADMNRLLKQREELTKRREKLSKRREKIVKENGEGDKNVANINEEMESLTANIDYINDSISDCQANIMQMEEAKEEGETLDVTAVINACTLTEARYLLDHFLSMGINKGLQAAQKEAQIKVLEGRLKQTEITSATQNQLLFHMLKEKAELNPELDALLGHALQDLDSVPLENVEDSTDEDAPLNSPGSEGSTLSSDLMKLCGEVKPKNKARRRTTTQMELLYADSSELASDTSTGDASLPGPLTPVAEGQEIGMNTETSGTSAREKELSPPPGLPSKIGSISRQSSLSEKKIPEPSPVTRRKAYEKAEKSKAKEQKHSDSGTSEASLSPPSSPPSRPRNELNVFNRLTVSQGNTSVQQDKSDESDSSLSEVHRSSRRGIINPFPASKGIRAFPLQCIHIAEGHTKAVLCVDSTDDLLFTGSKDRTCKVWNLVTGQEIMSLGGHPNNVVSVKYCNYTSLVFTVSTSYIKVWDIRDSAKCIRTLTSSGQVTLGDACSASTSRTVAIPSGENQINQIALNPTGTFLYAASGNAVRMWDLKRFQSTGKLTGHLGPVMCLTVDQISSGQDLIITGSKDHYIKMFDVTEGALGTVSPTHNFEPPHYDGIEALTIQGDNLFSGSRDNGIKKWDLTQKDLLQQVPNAHKDWVCALGVVPDHPVLLSGCRGGILKVWNMDTFMPVGEMKGHDSPINAICVNSTHIFTAADDRTVRIWKARNLQDGQISDTGDLGEDIASN
+>DECOY_sp|Q7Z4S6|KI21A_HUMAN Kinesin-like protein KIF21A OS=Homo sapiens OX=9606 GN=KIF21A PE=1 SV=2
+NSAIDEGLDGTDSIQGDQLNRAKWIRVTRDDAATFIHTSNVCIANIPSDHGKMEGVPMFTDMNWVKLIGGRCGSLLVPHDPVVGLACVWDKHANPVQQLLDKQTLDWKKIGNDRSGSFLNDGQITLAEIGDYHPPEFNHTPSVTGLAGETVDFMKIYHDKSGTIILDQGSSIQDVTLCMVPGLHGTLKGTSQFRKLDWMRVANGSAAYLFTGTPNLAIQNIQNEGSPIAVTRSTSASCADGLTVQGSSTLTRICKASDRIDWVKIYSTSVTFVLSTYNCYKVSVVNNPHGGLSMIEQGTVLNWVKCTRDKSGTFLLDDTSDVCLVAKTHGEAIHICQLPFARIGKSAPFPNIIGRRSSRHVESLSSDSEDSKDQQVSTNGQSVTLRNFVNLENRPRSPPSSPPSLSAESTGSDSHKQEKAKSKEAKEYAKRRTVPSPEPIKKESLSSQRSISGIKSPLGPPPSLEKERASTGSTETNMGIEQGEAVPTLPGPLSADGTSTDSALESSDAYLLEMQTTTRRRAKNKPKVEGCLKMLDSSLTSGESGPSNLPADEDTSDEVNELPVSDLDQLAHGLLADLEPNLEAKEKLMHFLLQNQTASTIETQKLRGELVKIQAEKQAAQLGKNIGMSLFHDLLYRAETLTCANIVATVDLTEGEEKAEEMQMINAQCDSISDNIYDINATLSEMEENINAVNKDGEGNEKVIKERRKSLKERRKTLEERQKLLRNMDAEMNSITMKQMIIDTVRRELLQWKMRATKSIFVRGTLGKRQYKKRNGNTAPTPLAQVRAVPIRMKQQAGTRSADTEVAAASSGTDQAPADSSSLKRTVKGAVKDSMPRVQRRLATVEETKRRLVVEQNRKQAELLRLQHDRKRQDKKLQAIERNRRSETLRAKEQEEKMQKMLRVKTKKMEMVDQQLKKLQKEYQSQNKLLRAHEKQAAQLRQLEKNMAQLKKEYESRVKKAKEESYSEVSGLNQLVQDRELQTDRIKHQLMMLKEEYQKKLTQLRKQSNELEDILKQKIAIECTINALDAQYNAKEDSESDSEDSSEGGDIDDEEEEEEEEEDEHDSVEQSEEVELENNERESVGKEEKKEQDTDTNDEKGAVSREERNSEELKQLRKKKRKEKRKLKELDKKALDIIEITEKDSSLITPSFTSSGSFYPARATARTLNKRLNENVAESELLKARLDEIEKIYSHIMNSIEENGEGARALVHNAQDSVLQTIRSRLADVTEQMAKIRVRLNNNETQLMANEHFMDNISEVGEEDIIRKGTKYEMLEMQLRTIESRLANIQQSARDQNVMVKNKINRARNAYKLTNLTEMFDRDSPSVCAIMITQSNGGLSDQLLRTLKSDRYPVHTARKSKDGLASIVNGLALLGCNISIGEKAREGTAGTRKLRESGALDVFHFKATLTEFENMQASESIIKNDTANDADIQPCVRTQCVHITFIAHSRSSQVNMQTSATTRSLAGLKLCQMMESETNVTRTTVGVTYIGGTSDEHIRINSKKSKADIDRTTDFLDLVEENYLELFQANVKFDPAPLGNKIAIHKKEEISKFLHKVARSIIGLEEEVINVDFGTGMTYTKGAGTQGYAFVTANYGEFCGEILKEICQIYIQEQQSDIDFVYDFTFAKDKGLFVQPEGPTVSTCIHCGEIKEKALQPRIRVAVRVSSEDPAGLM
+>sp|Q9ULI4|KI26A_HUMAN Kinesin-like protein KIF26A OS=Homo sapiens OX=9606 GN=KIF26A PE=1 SV=3
+MVGRGVPLCAAQPAVAEGGPAREPPPLLEVSPRKRLPAGPDQDPCGSRPAPEGAGAGPEQGHSAGGGGWCRHCHTKLVELKRQAWKLVSGPGTTLRDPCLSALLLDKLPAPGALPACRPEAERRCDVCATHLQQLTREAMHLLQAPASHEDLDAPHGGPSLAPPSTTTSSRDTPGPAGPAGRQPGRAGPDRTKGLAWSPGPSVQVSVAPAGLGGALSTVTIQAQQCLEGMWSVSRVNSFLPPACLAEAAVAAVAVADTVRECPPVAGPDGLSKAWGRGGVCTSALVTPTPGSVGGSTGPSAAASFFIRAMQKLSLASKRKKPHPPPPPATRGTSTYPTDFSGVLQLWPPPAPPCLLRAASKTKDNPGSIGKVKVMLRIWPAQGAQRSAEAMSFLKVDPRKKQVILYDPAAGPPGSAGPRRAATAAVPKMFAFDAVFPQDSEQAEVCSGTVADVLQSVVSGADGCIFSFGHMSLGKSYTMIGKDSSPQSLGIVPCAISWLFRLIEERRERTGTRFSVRVSAVEVCGRDQSLRDLLAEVAPGSLQDTQSPGVYLREDPVCGAQLQNQSELRAPTAEKAAFYLDAALAARSTSRAGCGEDARRSSHMLFTLHVYQYRMEKCGRGGMSGGRSRLHLIDLGSCEAAAGRAGEAAGGPLCLSLSALGSVILALVNGAKHVPYRDHRLTMLLRESLATAGCRTTMIAHVSDAPAQHAETLSTVQLAARIHRLRRKKAKYASSSSGGESSCEEGRARRPPHLRPFHPRTVALDPDRTPPCLPGDPDYSSSSEQSCDTVIYVGPGGAALSDRELTDNEGPPDFVPIIPALSRHRPSKGPRDADHFRCSTFAELQERLECMDGNEGPSGGPGGTDGAQASPARGGRKPSPPEAASPRKAVGTPMAASTPRGSSGPDTHQGTPEPCKAIVWGDQREDSSAWPELLVPEKAAVSGGRRPLPSPAPPPPQLLEACRAPEEPGGGGTDGVARTPPVGMSGQVAGSPMLPGATCPRLAAGSRCPERGLLTTTVTLQRPVELNGEDELVFTVVEELSLGALAGAGRPTSLASFDSDCSLRALASGSRPVSIISSINDEFDAYTSQAPEGGPLEGAAWAGSSHGSSISSWLSEVSVCTADSRDPTPQPRFSPDSLAGLDPGGPPALDGSLGDGSSGFLGPDRPDSPGPTWGPCPGEVAAVAPSRPGREPQAGPSRWASAAQTIHSSLPRKPRTASATTRVGCARLGQSPPGRGGLFEDPWLLRVGECDTQAASAGRAPSPTLGSPRLPEAQVMLACAQRVVDGCEVAARAARRPEAVARIPPLRRGATTLGVTTPAVSWGDAPTEVVACSGSLKASPTSKKGLAPKAGFLPRPSGAAPPAPPTRKSSLEQRSSPASAPPHAVNPARVGAAAVLRGEEEPRPSSRADHSVPRATSSLKARASKVEAAHRLAGHASLERYEGLAHSSSKGREAPGRPPRAVPKLGVPPSSPTHGPAPACRSGAAKAVGAPKPPVGGGKGRGLVAGGSRALGPSVKLSTASVTGRSPGGPVAGPRAAPRAGPSVGAKAGRGTVMGTKQALRAAHSRVHELSASGAPGRGGSSWGSADSDSGHDSGVNVGEERPPTGPALPSPYSKVTAPRRPQRYSSGHGSDNSSVLSGELPPAMGRTALFHHSGGSSGYESLRRDSEATGSASSAPDSMSESGAASPGARTRSLKSPKKRATGLQRRRLIPAPLPDTTALGRKPSLPGQWVDLPPPLAGSLKEPFEIKVYEIDDVERLQRPRPTPREAPTQGLACVSTRLRLAERRQQRLREVQAKHKHLCEELAETQGRLMLEPGRWLEQFEVDPELEPESAEYLAALERATAALEQCVNLCKAHVMMVTCFDISVAASAAIPGPQEVDV
+>DECOY_sp|Q9ULI4|KI26A_HUMAN Kinesin-like protein KIF26A OS=Homo sapiens OX=9606 GN=KIF26A PE=1 SV=3
+VDVEQPGPIAASAAVSIDFCTVMMVHAKCLNVCQELAATARELAALYEASEPELEPDVEFQELWRGPELMLRGQTEALEECLHKHKAQVERLRQQRREALRLRTSVCALGQTPAERPTPRPRQLREVDDIEYVKIEFPEKLSGALPPPLDVWQGPLSPKRGLATTDPLPAPILRRRQLGTARKKPSKLSRTRAGPSAAGSESMSDPASSASGTAESDRRLSEYGSSGGSHHFLATRGMAPPLEGSLVSSNDSGHGSSYRQPRRPATVKSYPSPLAPGTPPREEGVNVGSDHGSDSDASGWSSGGRGPAGSASLEHVRSHAARLAQKTGMVTGRGAKAGVSPGARPAARPGAVPGGPSRGTVSATSLKVSPGLARSGGAVLGRGKGGGVPPKPAGVAKAAGSRCAPAPGHTPSSPPVGLKPVARPPRGPAERGKSSSHALGEYRELSAHGALRHAAEVKSARAKLSSTARPVSHDARSSPRPEEEGRLVAAAGVRAPNVAHPPASAPSSRQELSSKRTPPAPPAAGSPRPLFGAKPALGKKSTPSAKLSGSCAVVETPADGWSVAPTTVGLTTAGRRLPPIRAVAEPRRAARAAVECGDVVRQACALMVQAEPLRPSGLTPSPARGASAAQTDCEGVRLLWPDEFLGGRGPPSQGLRACGVRTTASATRPKRPLSSHITQAASAWRSPGAQPERGPRSPAVAAVEGPCPGWTPGPSDPRDPGLFGSSGDGLSGDLAPPGGPDLGALSDPSFRPQPTPDRSDATCVSVESLWSSISSGHSSGAWAAGELPGGEPAQSTYADFEDNISSIISVPRSGSALARLSCDSDFSALSTPRGAGALAGLSLEEVVTFVLEDEGNLEVPRQLTVTTTLLGREPCRSGAALRPCTAGPLMPSGAVQGSMGVPPTRAVGDTGGGGPEEPARCAELLQPPPPAPSPLPRRGGSVAAKEPVLLEPWASSDERQDGWVIAKCPEPTGQHTDPGSSGRPTSAAMPTGVAKRPSAAEPPSPKRGGRAPSAQAGDTGGPGGSPGENGDMCELREQLEAFTSCRFHDADRPGKSPRHRSLAPIIPVFDPPGENDTLERDSLAAGGPGVYIVTDCSQESSSSYDPDGPLCPPTRDPDLAVTRPHFPRLHPPRRARGEECSSEGGSSSSAYKAKKRRLRHIRAALQVTSLTEAHQAPADSVHAIMTTRCGATALSERLLMTLRHDRYPVHKAGNVLALIVSGLASLSLCLPGGAAEGARGAAAECSGLDILHLRSRGGSMGGRGCKEMRYQYVHLTFLMHSSRRADEGCGARSTSRAALAADLYFAAKEATPARLESQNQLQAGCVPDERLYVGPSQTDQLSGPAVEALLDRLSQDRGCVEVASVRVSFRTGTRERREEILRFLWSIACPVIGLSQPSSDKGIMTYSKGLSMHGFSFICGDAGSVVSQLVDAVTGSCVEAQESDQPFVADFAFMKPVAATAARRPGASGPPGAAPDYLIVQKKRPDVKLFSMAEASRQAGQAPWIRLMVKVKGISGPNDKTKSAARLLCPPAPPPWLQLVGSFDTPYTSTGRTAPPPPPHPKKRKSALSLKQMARIFFSAAASPGTSGGVSGPTPTVLASTCVGGRGWAKSLGDPGAVPPCERVTDAVAVAAVAAEALCAPPLFSNVRSVSWMGELCQQAQITVTSLAGGLGAPAVSVQVSPGPSWALGKTRDPGARGPQRGAPGAPGPTDRSSTTTSPPALSPGGHPADLDEHSAPAQLLHMAERTLQQLHTACVDCRREAEPRCAPLAGPAPLKDLLLASLCPDRLTTGPGSVLKWAQRKLEVLKTHCHRCWGGGGASHGQEPGAGAGEPAPRSGCPDQDPGAPLRKRPSVELLPPPERAPGGEAVAPQAACLPVGRGVM
+>sp|P43627|KI2L2_HUMAN Killer cell immunoglobulin-like receptor 2DL2 OS=Homo sapiens OX=9606 GN=KIR2DL2 PE=1 SV=1
+MSLMVVSMACVGFFLLQGAWPHEGVHRKPSLLAHPGRLVKSEETVILQCWSDVRFEHFLLHREGKFKDTLHLIGEHHDGVSKANFSIGPMMQDLAGTYRCYGSVTHSPYQLSAPSDPLDIVITGLYEKPSLSAQPGPTVLAGESVTLSCSSRSSYDMYHLSREGEAHECRFSAGPKVNGTFQADFPLGPATHGGTYRCFGSFRDSPYEWSNSSDPLLVSVIGNPSNSWPSPTEPSSKTGNPRHLHILIGTSVVIILFILLFFLLHRWCSNKKNAAVMDQESAGNRTANSEDSDEQDPQEVTYTQLNHCVFTQRKITRPSQRPKTPPTDIIVYAELPNAESRSKVVSCP
+>DECOY_sp|P43627|KI2L2_HUMAN Killer cell immunoglobulin-like receptor 2DL2 OS=Homo sapiens OX=9606 GN=KIR2DL2 PE=1 SV=1
+PCSVVKSRSEANPLEAYVIIDTPPTKPRQSPRTIKRQTFVCHNLQTYTVEQPDQEDSDESNATRNGASEQDMVAANKKNSCWRHLLFFLLIFLIIVVSTGILIHLHRPNGTKSSPETPSPWSNSPNGIVSVLLPDSSNSWEYPSDRFSGFCRYTGGHTAPGLPFDAQFTGNVKPGASFRCEHAEGERSLHYMDYSSRSSCSLTVSEGALVTPGPQASLSPKEYLGTIVIDLPDSPASLQYPSHTVSGYCRYTGALDQMMPGISFNAKSVGDHHEGILHLTDKFKGERHLLFHEFRVDSWCQLIVTEESKVLRGPHALLSPKRHVGEHPWAGQLLFFGVCAMSVVMLSM
+>sp|P43628|KI2L3_HUMAN Killer cell immunoglobulin-like receptor 2DL3 OS=Homo sapiens OX=9606 GN=KIR2DL3 PE=1 SV=1
+MSLMVVSMVCVGFFLLQGAWPHEGVHRKPSLLAHPGPLVKSEETVILQCWSDVRFQHFLLHREGKFKDTLHLIGEHHDGVSKANFSIGPMMQDLAGTYRCYGSVTHSPYQLSAPSDPLDIVITGLYEKPSLSAQPGPTVLAGESVTLSCSSRSSYDMYHLSREGEAHERRFSAGPKVNGTFQADFPLGPATHGGTYRCFGSFRDSPYEWSNSSDPLLVSVTGNPSNSWPSPTEPSSETGNPRHLHVLIGTSVVIILFILLLFFLLHRWCCNKKNAVVMDQEPAGNRTVNREDSDEQDPQEVTYAQLNHCVFTQRKITRPSQRPKTPPTDIIVYTELPNAEP
+>DECOY_sp|P43628|KI2L3_HUMAN Killer cell immunoglobulin-like receptor 2DL3 OS=Homo sapiens OX=9606 GN=KIR2DL3 PE=1 SV=1
+PEANPLETYVIIDTPPTKPRQSPRTIKRQTFVCHNLQAYTVEQPDQEDSDERNVTRNGAPEQDMVVANKKNCCWRHLLFFLLLIFLIIVVSTGILVHLHRPNGTESSPETPSPWSNSPNGTVSVLLPDSSNSWEYPSDRFSGFCRYTGGHTAPGLPFDAQFTGNVKPGASFRREHAEGERSLHYMDYSSRSSCSLTVSEGALVTPGPQASLSPKEYLGTIVIDLPDSPASLQYPSHTVSGYCRYTGALDQMMPGISFNAKSVGDHHEGILHLTDKFKGERHLLFHQFRVDSWCQLIVTEESKVLPGPHALLSPKRHVGEHPWAGQLLFFGVCVMSVVMLSM
+>sp|Q8NHK3|KI2LB_HUMAN Killer cell immunoglobulin-like receptor 2DL5B OS=Homo sapiens OX=9606 GN=KIR2DL5B PE=3 SV=2
+MSLMVVSMACVGFFLLQGAWTHEGGQDKPLLSAWPSAVVPRGGHVTLLCRSRLGFTIFSLYKEDGVPVPELYNKIFWKSILMGPVTPAHAGTYRCRGSHPRSPIEWSAPSNPLVIVVTGLFGKPSLSAQPGPTVRTGENVTLSCSSRSSFDMYHLSREGRAHEPRLPAVPSVDGTFQADFPLGPATHGGTYTCFSSLHDSPYEWSDPSDPLLVSVTGNSSSSSSSPTEPSSKTGIRRHLHILIGTSVAIILFIILFFFLLHCCCSNKKNAAVMDQEPAGDRTVNREDSDDQDPQEVTYAQLDHCVFTQTKITSPSQRPKTPPTDTTMYMELPNAKPRSLSPAHKHHSQALRGSSRETTALSQNRVASSHVPAAGI
+>DECOY_sp|Q8NHK3|KI2LB_HUMAN Killer cell immunoglobulin-like receptor 2DL5B OS=Homo sapiens OX=9606 GN=KIR2DL5B PE=3 SV=2
+IGAAPVHSSAVRNQSLATTERSSGRLAQSHHKHAPSLSRPKANPLEMYMTTDTPPTKPRQSPSTIKTQTFVCHDLQAYTVEQPDQDDSDERNVTRDGAPEQDMVAANKKNSCCCHLLFFFLIIFLIIAVSTGILIHLHRRIGTKSSPETPSSSSSSSNGTVSVLLPDSPDSWEYPSDHLSSFCTYTGGHTAPGLPFDAQFTGDVSPVAPLRPEHARGERSLHYMDFSSRSSCSLTVNEGTRVTPGPQASLSPKGFLGTVVIVLPNSPASWEIPSRPHSGRCRYTGAHAPTVPGMLISKWFIKNYLEPVPVGDEKYLSFITFGLRSRCLLTVHGGRPVVASPWASLLPKDQGGEHTWAGQLLFFGVCAMSVVMLSM
+>sp|Q14952|KI2S3_HUMAN Killer cell immunoglobulin-like receptor 2DS3 OS=Homo sapiens OX=9606 GN=KIR2DS3 PE=2 SV=1
+MSLMVISMACVGFFWLQGAWPHEGFRRKPSLLAHPGRLVKSEETVILQCWSDVMFEHFLLHREGTFNDTLRLIGEHIDGVSKANFSIGRMRQDLAGTYRCYGSVPHSPYQFSAPSDPLDIVITGLYEKPSLSAQPGPTVLAGESVTLSCSSWSSYDMYHLSTEGEAHERRFSAGPKVNGTFQADFPLGPATQGGTYRCFGSFHDSPYEWSKSSDPLLVSVTGNPSNSWPSPTEPSSKTGNPRHLHVLIGTSVVKLPFTILLFFLLHRWCSDKKNASVMDQGPAGNRTVNREDSDEQDHQEVSYA
+>DECOY_sp|Q14952|KI2S3_HUMAN Killer cell immunoglobulin-like receptor 2DS3 OS=Homo sapiens OX=9606 GN=KIR2DS3 PE=2 SV=1
+AYSVEQHDQEDSDERNVTRNGAPGQDMVSANKKDSCWRHLLFFLLITFPLKVVSTGILVHLHRPNGTKSSPETPSPWSNSPNGTVSVLLPDSSKSWEYPSDHFSGFCRYTGGQTAPGLPFDAQFTGNVKPGASFRREHAEGETSLHYMDYSSWSSCSLTVSEGALVTPGPQASLSPKEYLGTIVIDLPDSPASFQYPSHPVSGYCRYTGALDQRMRGISFNAKSVGDIHEGILRLTDNFTGERHLLFHEFMVDSWCQLIVTEESKVLRGPHALLSPKRRFGEHPWAGQLWFFGVCAMSIVMLSM
+>sp|Q14943|KI3S1_HUMAN Killer cell immunoglobulin-like receptor 3DS1 OS=Homo sapiens OX=9606 GN=KIR3DS1 PE=1 SV=2
+MLLMVVSMACVGLFLVQRAGPHMGGQDKPFLSAWPSAVVPRGGHVTLRCHYRHRFNNFMLYKEDRIHVPIFHGRIFQEGFNMSPVTTAHAGNYTCRGSHPHSPTGWSAPSNPMVIMVTGNHRKPSLLAHPGPLVKSGERVILQCWSDIMFEHFFLHREWISKDPSRLVGQIHDGVSKANFSIGSMMRALAGTYRCYGSVTHTPYQLSAPSDPLDIVVTGLYEKPSLSAQPGPKVQAGESVTLSCSSRSSYDMYHLSREGGAHERRLPAVRKVNRTFQADFPLGPATHGGTYRCFGSFRHSPYEWSDPSDPLLVSVTGNPSSSWPSPTEPSSKSGNLRHLHILIGTSVVKIPFTILLFFLLHRWCSNKKKCCCNGPRACREQK
+>DECOY_sp|Q14943|KI3S1_HUMAN Killer cell immunoglobulin-like receptor 3DS1 OS=Homo sapiens OX=9606 GN=KIR3DS1 PE=1 SV=2
+KQERCARPGNCCCKKKNSCWRHLLFFLLITFPIKVVSTGILIHLHRLNGSKSSPETPSPWSSSPNGTVSVLLPDSPDSWEYPSHRFSGFCRYTGGHTAPGLPFDAQFTRNVKRVAPLRREHAGGERSLHYMDYSSRSSCSLTVSEGAQVKPGPQASLSPKEYLGTVVIDLPDSPASLQYPTHTVSGYCRYTGALARMMSGISFNAKSVGDHIQGVLRSPDKSIWERHLFFHEFMIDSWCQLIVREGSKVLPGPHALLSPKRHNGTVMIVMPNSPASWGTPSHPHSGRCTYNGAHATTVPSMNFGEQFIRGHFIPVHIRDEKYLMFNNFRHRYHCRLTVHGGRPVVASPWASLFPKDQGGMHPGARQVLFLGVCAMSVVMLLM
+>sp|Q8N7Y1|KIAS3_HUMAN Putative uncharacterized protein KIRREL3-AS3 OS=Homo sapiens OX=9606 GN=KIRREL3-AS3 PE=5 SV=1
+MEKRGESLDLGVRCKRGEERDRRPCWKPSRPGAARGGRGLWTVGGGGSPTETAESQQLGKPPEFWVSAHPGWLQVSCAHRASRWDASRWHPLQRFFARRGVRRPNPSVPSPLPKPPVPSAGSCEPLAPPPTSASGASRSWVTQTLAEAGAYRGCRPAPGSAAGWPRSDRRARLPRKASKPCSPALPSLAACCPQGFLRSGTKRVMCKVLGPGAGVRGTAVECSEQAGVWAHCRPQLTATFS
+>DECOY_sp|Q8N7Y1|KIAS3_HUMAN Putative uncharacterized protein KIRREL3-AS3 OS=Homo sapiens OX=9606 GN=KIRREL3-AS3 PE=5 SV=1
+SFTATLQPRCHAWVGAQESCEVATGRVGAGPGLVKCMVRKTGSRLFGQPCCAALSPLAPSCPKSAKRPLRARRDSRPWGAASGPAPRCGRYAGAEALTQTVWSRSAGSASTPPPALPECSGASPVPPKPLPSPVSPNPRRVGRRAFFRQLPHWRSADWRSARHACSVQLWGPHASVWFEPPKGLQQSEATETPSGGGGVTWLGRGGRAAGPRSPKWCPRRDREEGRKCRVGLDLSEGRKEM
+>sp|P10721|KIT_HUMAN Mast/stem cell growth factor receptor Kit OS=Homo sapiens OX=9606 GN=KIT PE=1 SV=1
+MRGARGAWDFLCVLLLLLRVQTGSSQPSVSPGEPSPPSIHPGKSDLIVRVGDEIRLLCTDPGFVKWTFEILDETNENKQNEWITEKAEATNTGKYTCTNKHGLSNSIYVFVRDPAKLFLVDRSLYGKEDNDTLVRCPLTDPEVTNYSLKGCQGKPLPKDLRFIPDPKAGIMIKSVKRAYHRLCLHCSVDQEGKSVLSEKFILKVRPAFKAVPVVSVSKASYLLREGEEFTVTCTIKDVSSSVYSTWKRENSQTKLQEKYNSWHHGDFNYERQATLTISSARVNDSGVFMCYANNTFGSANVTTTLEVVDKGFINIFPMINTTVFVNDGENVDLIVEYEAFPKPEHQQWIYMNRTFTDKWEDYPKSENESNIRYVSELHLTRLKGTEGGTYTFLVSNSDVNAAIAFNVYVNTKPEILTYDRLVNGMLQCVAAGFPEPTIDWYFCPGTEQRCSASVLPVDVQTLNSSGPPFGKLVVQSSIDSSAFKHNGTVECKAYNDVGKTSAYFNFAFKGNNKEQIHPHTLFTPLLIGFVIVAGMMCIIVMILTYKYLQKPMYEVQWKVVEEINGNNYVYIDPTQLPYDHKWEFPRNRLSFGKTLGAGAFGKVVEATAYGLIKSDAAMTVAVKMLKPSAHLTEREALMSELKVLSYLGNHMNIVNLLGACTIGGPTLVITEYCCYGDLLNFLRRKRDSFICSKQEDHAEAALYKNLLHSKESSCSDSTNEYMDMKPGVSYVVPTKADKRRSVRIGSYIERDVTPAIMEDDELALDLEDLLSFSYQVAKGMAFLASKNCIHRDLAARNILLTHGRITKICDFGLARDIKNDSNYVVKGNARLPVKWMAPESIFNCVYTFESDVWSYGIFLWELFSLGSSPYPGMPVDSKFYKMIKEGFRMLSPEHAPAEMYDIMKTCWDADPLKRPTFKQIVQLIEKQISESTNHIYSNLANCSPNRQKPVVDHSVRINSVGSTASSSQPLLVHDDV
+>DECOY_sp|P10721|KIT_HUMAN Mast/stem cell growth factor receptor Kit OS=Homo sapiens OX=9606 GN=KIT PE=1 SV=1
+VDDHVLLPQSSSATSGVSNIRVSHDVVPKQRNPSCNALNSYIHNTSESIQKEILQVIQKFTPRKLPDADWCTKMIDYMEAPAHEPSLMRFGEKIMKYFKSDVPMGPYPSSGLSFLEWLFIGYSWVDSEFTYVCNFISEPAMWKVPLRANGKVVYNSDNKIDRALGFDCIKTIRGHTLLINRAALDRHICNKSALFAMGKAVQYSFSLLDELDLALEDDEMIAPTVDREIYSGIRVSRRKDAKTPVVYSVGPKMDMYENTSDSCSSEKSHLLNKYLAAEAHDEQKSCIFSDRKRRLFNLLDGYCCYETIVLTPGGITCAGLLNVINMHNGLYSLVKLESMLAERETLHASPKLMKVAVTMAADSKILGYATAEVVKGFAGAGLTKGFSLRNRPFEWKHDYPLQTPDIYVYNNGNIEEVVKWQVEYMPKQLYKYTLIMVIICMMGAVIVFGILLPTFLTHPHIQEKNNGKFAFNFYASTKGVDNYAKCEVTGNHKFASSDISSQVVLKGFPPGSSNLTQVDVPLVSASCRQETGPCFYWDITPEPFGAAVCQLMGNVLRDYTLIEPKTNVYVNFAIAANVDSNSVLFTYTGGETGKLRTLHLESVYRINSENESKPYDEWKDTFTRNMYIWQQHEPKPFAEYEVILDVNEGDNVFVTTNIMPFINIFGKDVVELTTTVNASGFTNNAYCMFVGSDNVRASSITLTAQREYNFDGHHWSNYKEQLKTQSNERKWTSYVSSSVDKITCTVTFEEGERLLYSAKSVSVVPVAKFAPRVKLIFKESLVSKGEQDVSCHLCLRHYARKVSKIMIGAKPDPIFRLDKPLPKGQCGKLSYNTVEPDTLPCRVLTDNDEKGYLSRDVLFLKAPDRVFVYISNSLGHKNTCTYKGTNTAEAKETIWENQKNENTEDLIEFTWKVFGPDTCLLRIEDGVRVILDSKGPHISPPSPEGPSVSPQSSGTQVRLLLLLVCLFDWAGRAGRM
+>sp|Q5VTJ3|KLD7A_HUMAN Kelch domain-containing protein 7A OS=Homo sapiens OX=9606 GN=KLHDC7A PE=1 SV=5
+MFPRGAEAQDWHLDMQLTGKVVLSAAALLLVTVAYRLYKSRPAPAQRWGGNGQAEAKEEAEGSGQPAVQEASPGVLLRGPRRRRSSKRAEAPQGCSCENPRGPYVLVTGATSTDRKPQRKGSGEERGGQGSDSEQVPPCCPSQETRTAVGSNPDPPHFPRLGSEPKSSPAGLIAAADGSCAGGEPSPWQDSKPREHPGLGQLEPPHCHYVAPLQGSSDMNQSWVFTRVIGVSREEAGALEAASDVDLTLHQQEGAPNSSYTFSSIARVRMEEHFIQKAEGVEPRLKGKVYDYYVESTSQAIFQGRLAPRTAALTEVPSPRPPPGSLGTGAASGGQAGDTKGAAERAASPQTGPWPSTRGFSRKESLLQIAENPELQLQPDGFRLPAPPCPDPGALPGLGRSSREPHVQPVAGTNFFHIPLTPASAPQVRLDLGNCYEVLTLAKRQNLEALKEAAYKVMSENYLQVLRSPDIYGCLSGAERELILQRRLRGRQYLVVADVCPKEDSGGLCCYDDEQDVWRPLARMPPEAVSRGCAICSLFNYLFVVSGCQGPGHQPSSRVFCYNPLTGIWSEVCPLNQARPHCRLVALDGHLYAIGGECLNSVERYDPRLDRWDFAPPLPSDTFALAHTATVRAKEIFVTGGSLRFLLFRFSAQEQRWWAGPTGGSKDRTAEMVAVNGFLYRFDLNRSLGIAVYRCSASTRLWYECATYRTPYPDAFQCAVVDNLIYCVGRRSTLCFLADSVSPRFVPKELRSFPAPQGTLLPTVLTLPTPDLPQTRV
+>DECOY_sp|Q5VTJ3|KLD7A_HUMAN Kelch domain-containing protein 7A OS=Homo sapiens OX=9606 GN=KLHDC7A PE=1 SV=5
+VRTQPLDPTPLTLVTPLLTGQPAPFSRLEKPVFRPSVSDALFCLTSRRGVCYILNDVVACQFADPYPTRYTACEYWLRTSASCRYVAIGLSRNLDFRYLFGNVAVMEATRDKSGGTPGAWWRQEQASFRFLLFRLSGGTVFIEKARVTATHALAFTDSPLPPAFDWRDLRPDYREVSNLCEGGIAYLHGDLAVLRCHPRAQNLPCVESWIGTLPNYCFVRSSPQHGPGQCGSVVFLYNFLSCIACGRSVAEPPMRALPRWVDQEDDYCCLGGSDEKPCVDAVVLYQRGRLRRQLILEREAGSLCGYIDPSRLVQLYNESMVKYAAEKLAELNQRKALTLVEYCNGLDLRVQPASAPTLPIHFFNTGAVPQVHPERSSRGLGPLAGPDPCPPAPLRFGDPQLQLEPNEAIQLLSEKRSFGRTSPWPGTQPSAAREAAGKTDGAQGGSAAGTGLSGPPPRPSPVETLAATRPALRGQFIAQSTSEVYYDYVKGKLRPEVGEAKQIFHEEMRVRAISSFTYSSNPAGEQQHLTLDVDSAAELAGAEERSVGIVRTFVWSQNMDSSGQLPAVYHCHPPELQGLGPHERPKSDQWPSPEGGACSGDAAAILGAPSSKPESGLRPFHPPDPNSGVATRTEQSPCCPPVQESDSGQGGREEGSGKRQPKRDTSTAGTVLVYPGRPNECSCGQPAEARKSSRRRRPGRLLVGPSAEQVAPQGSGEAEEKAEAQGNGGWRQAPAPRSKYLRYAVTVLLLAAASLVVKGTLQMDLHWDQAEAGRPFM
+>sp|Q8IXV7|KLD8B_HUMAN Kelch domain-containing protein 8B OS=Homo sapiens OX=9606 GN=KLHDC8B PE=2 SV=1
+MSAGGGRAFAWQVFPPMPTCRVYGTVAHQDGHLLVLGGCGRAGLPLDTAETLDMASHTWLALAPLPTARAGAAAVVLGKQVLVVGGVDEVQSPVAAVEAFLMDEGRWERRATLPQAAMGVATVERDGMVYALGGMGPDTAPQAQVRVYEPRRDCWLSLPSMPTPCYGASTFLHGNKIYVLGGRQGKLPVTAFEAFDLEARTWTRHPSLPSRRAFAGCAMAEGSVFSLGGLQQPGPHNFYSRPHFVNTVEMFDLEHGSWTKLPRSLRMRDKRADFVVGSLGGHIVAIGGLGNQPCPLGSVESFSLARRRWEALPAMPTARCSCSSLQAGPRLFVIGGVAQGPSQAVEALCLRDGV
+>DECOY_sp|Q8IXV7|KLD8B_HUMAN Kelch domain-containing protein 8B OS=Homo sapiens OX=9606 GN=KLHDC8B PE=2 SV=1
+VGDRLCLAEVAQSPGQAVGGIVFLRPGAQLSSCSCRATPMAPLAEWRRRALSFSEVSGLPCPQNGLGGIAVIHGGLSGVVFDARKDRMRLSRPLKTWSGHELDFMEVTNVFHPRSYFNHPGPQQLGGLSFVSGEAMACGAFARRSPLSPHRTWTRAELDFAEFATVPLKGQRGGLVYIKNGHLFTSAGYCPTPMSPLSLWCDRRPEYVRVQAQPATDPGMGGLAYVMGDREVTAVGMAAQPLTARREWRGEDMLFAEVAAVPSQVEDVGGVVLVQKGLVVAAAGARATPLPALALWTHSAMDLTEATDLPLGARGCGGLVLLHGDQHAVTGYVRCTPMPPFVQWAFARGGGASM
+>sp|Q9BQ90|KLDC3_HUMAN Kelch domain-containing protein 3 OS=Homo sapiens OX=9606 GN=KLHDC3 PE=2 SV=1
+MLRWTVHLEGGPRRVNHAAVAVGHRVYSFGGYCSGEDYETLRQIDVHIFNAVSLRWTKLPPVKSAIRGQAPVVPYMRYGHSTVLIDDTVLLWGGRNDTEGACNVLYAFDVNTHKWFTPRVSGTVPGARDGHSACVLGKIMYIFGGYEQQADCFSNDIHKLDTSTMTWTLICTKGSPARWRDFHSATMLGSHMYVFGGRADRFGPFHSNNEIYCNRIRVFDTRTEAWLDCPPTPVLPEGRRSHSAFGYNGELYIFGGYNARLNRHFHDLWKFNPVSFTWKKIEPKGKGPCPRRRQCCCIVGDKIVLFGGTSPSPEEGLGDEFDLIDHSDLHILDFSPSLKTLCKLAVIQYNLDQSCLPHDIRWELNAMTTNSNISRPIVSSHG
+>DECOY_sp|Q9BQ90|KLDC3_HUMAN Kelch domain-containing protein 3 OS=Homo sapiens OX=9606 GN=KLHDC3 PE=2 SV=1
+GHSSVIPRSINSNTTMANLEWRIDHPLCSQDLNYQIVALKCLTKLSPSFDLIHLDSHDILDFEDGLGEEPSPSTGGFLVIKDGVICCCQRRRPCPGKGKPEIKKWTFSVPNFKWLDHFHRNLRANYGGFIYLEGNYGFASHSRRGEPLVPTPPCDLWAETRTDFVRIRNCYIENNSHFPGFRDARGGFVYMHSGLMTASHFDRWRAPSGKTCILTWTMTSTDLKHIDNSFCDAQQEYGGFIYMIKGLVCASHGDRAGPVTGSVRPTFWKHTNVDFAYLVNCAGETDNRGGWLLVTDDILVTSHGYRMYPVVPAQGRIASKVPPLKTWRLSVANFIHVDIQRLTEYDEGSCYGGFSYVRHGVAVAAHNVRRPGGELHVTWRLM
+>sp|Q9P2N7|KLH13_HUMAN Kelch-like protein 13 OS=Homo sapiens OX=9606 GN=KLHL13 PE=1 SV=3
+MPLKWKTSSPAIWKFPVPVLKTSRSTPLSPAYISLVEEEDQHMKLSLGGSEMGLSSHLQSSKAGPTRIFTSNTHSSVVLQGFDQLRLEGLLCDVTLMPGDTDDAFPVHRVMMASASDYFKAMFTGGMKEQDLMCIKLHGVSKVGLRKIIDFIYTAKLSLNMDNLQDTLEAASFLQILPVLDFCKVFLISGVTLDNCVEVGRIANTYNLTEVDKYVNSFVLKNFPALLSTGEFLKLPFERLAFVLSSNSLKHCTELELFKATCRWLRLEEPRMDFAAKLMKNIRFPLMTPQELINYVQTVDFMRTDNTCVNLLLEASNYQMMPYMQPVMQSDRTAIRSDTTHLVTLGGVLRQQLVVSKELRMYDEKAHEWKSLAPMDAPRYQHGIAVIGNFLYVVGGQSNYDTKGKTAVDTVFRFDPRYNKWMQVASLNEKRTFFHLSALKGYLYAVGGRNAAGELPTVECYNPRTNEWTYVAKMSEPHYGHAGTVYGGVMYISGGITHDTFQKELMCFDPDTDKWIQKAPMTTVRGLHCMCTVGERLYVIGGNHFRGTSDYDDVLSCEYYSPILDQWTPIAAMLRGQSDVGVAVFENKIYVVGGYSWNNRCMVEIVQKYDPDKDEWHKVFDLPESLGGIRACTLTVFPPEETTPSPSRESPLSAP
+>DECOY_sp|Q9P2N7|KLH13_HUMAN Kelch-like protein 13 OS=Homo sapiens OX=9606 GN=KLHL13 PE=1 SV=3
+PASLPSERSPSPTTEEPPFVTLTCARIGGLSEPLDFVKHWEDKDPDYKQVIEVMCRNNWSYGGVVYIKNEFVAVGVDSQGRLMAAIPTWQDLIPSYYECSLVDDYDSTGRFHNGGIVYLREGVTCMCHLGRVTTMPAKQIWKDTDPDFCMLEKQFTDHTIGGSIYMVGGYVTGAHGYHPESMKAVYTWENTRPNYCEVTPLEGAANRGGVAYLYGKLASLHFFTRKENLSAVQMWKNYRPDFRFVTDVATKGKTDYNSQGGVVYLFNGIVAIGHQYRPADMPALSKWEHAKEDYMRLEKSVVLQQRLVGGLTVLHTTDSRIATRDSQMVPQMYPMMQYNSAELLLNVCTNDTRMFDVTQVYNILEQPTMLPFRINKMLKAAFDMRPEELRLWRCTAKFLELETCHKLSNSSLVFALREFPLKLFEGTSLLAPFNKLVFSNVYKDVETLNYTNAIRGVEVCNDLTVGSILFVKCFDLVPLIQLFSAAELTDQLNDMNLSLKATYIFDIIKRLGVKSVGHLKICMLDQEKMGGTFMAKFYDSASAMMVRHVPFADDTDGPMLTVDCLLGELRLQDFGQLVVSSHTNSTFIRTPGAKSSQLHSSLGMESGGLSLKMHQDEEEVLSIYAPSLPTSRSTKLVPVPFKWIAPSSTKWKLPM
+>sp|Q96M94|KLH15_HUMAN Kelch-like protein 15 OS=Homo sapiens OX=9606 GN=KLHL15 PE=1 SV=2
+MAGDVEGFCSSIHDTSVSAGFRALYEEGLLLDVTLVIEDHQFQAHKALLATQSDYFRIMFTADMRERDQDKIHLKGLTATGFSHVLQFMYYGTIELSMNTVHEILQAAMYVQLIEVVKFCCSFLLAKICLENCAEIMRLLDDFGVNIEGVREKLDTFLLDNFVPLMSRPDFLSYLSFEKLMSYLDNDHLSRFPEIELYEAVQSWLRHDRRRWRHTDTIIQNIRFCLMTPTSVFEKVKTSEFYRYSRQLRYEVDQALNYFQNVHQQPLLDMKSSRIRSAKPQTTVFRGMIGHSMVNSKILLLKKPRVWWELEGPQVPLRPDCLAIVNNFVFLLGGEELGPDGEFHASSKVFRYDPRQNSWLQMADMSVPRSEFAVGVIGKFIYAVAGRTRDETFYSTERYDITNDKWEFVDPYPVNKYGHEGTVLNNKLFITGGITSSSTSKQVCVFDPSKEGTIEQRTRRTQVVTNCWENKSKMNYARCFHKMISYNGKLYVFGGVCVILRASFESQGCPSTEVYNPETDQWTILASMPIGRSGHGVTVLDKQIMVLGGLCYNGHYSDSILTFDPDENKWKEDEYPRMPCKLDGLQVCNLHFPDYVLDEVRRCN
+>DECOY_sp|Q96M94|KLH15_HUMAN Kelch-like protein 15 OS=Homo sapiens OX=9606 GN=KLHL15 PE=1 SV=2
+NCRRVEDLVYDPFHLNCVQLGDLKCPMRPYEDEKWKNEDPDFTLISDSYHGNYCLGGLVMIQKDLVTVGHGSRGIPMSALITWQDTEPNYVETSPCGQSEFSARLIVCVGGFVYLKGNYSIMKHFCRAYNMKSKNEWCNTVVQTRRTRQEITGEKSPDFVCVQKSTSSSTIGGTIFLKNNLVTGEHGYKNVPYPDVFEWKDNTIDYRETSYFTEDRTRGAVAYIFKGIVGVAFESRPVSMDAMQLWSNQRPDYRFVKSSAHFEGDPGLEEGGLLFVFNNVIALCDPRLPVQPGELEWWVRPKKLLLIKSNVMSHGIMGRFVTTQPKASRIRSSKMDLLPQQHVNQFYNLAQDVEYRLQRSYRYFESTKVKEFVSTPTMLCFRINQIITDTHRWRRRDHRLWSQVAEYLEIEPFRSLHDNDLYSMLKEFSLYSLFDPRSMLPVFNDLLFTDLKERVGEINVGFDDLLRMIEACNELCIKALLFSCCFKVVEILQVYMAAQLIEHVTNMSLEITGYYMFQLVHSFGTATLGKLHIKDQDRERMDATFMIRFYDSQTALLAKHAQFQHDEIVLTVDLLLGEEYLARFGASVSTDHISSCFGEVDGAM
+>sp|Q96CT2|KLH29_HUMAN Kelch-like protein 29 OS=Homo sapiens OX=9606 GN=KLHL29 PE=2 SV=3
+MSRHHSRFERDYRVGWDRREWSVNGTHGTTSICSVTSGAGGGTASSLSVRPGLLPLPVVPSRLPTPATAPAPCTTGSSEAITSLVASSASAVTTKAPGISKGDSQSQGLATSIRWGQTPINQSTPWDTDEPPSKQMRESDNPGTGPWVTTVAAGNQPTLIAHSYGVAQPPTFSPAVNVQAPVIGVTPSLPPHVGPQLPLMPGHYSLPQPPSQPLSSVVVNMPAQALYASPQPLAVSTLPGVGQVARPGPTAVGNGHMAGPLLPPPPPAQPSATLPSGAPATNGPPTTDSAHGLQMLRTIGVGKYEFTDPGHPREMLKELNQQRRAKAFTDLKIVVEGREFEVHQNVLASCSLYFKDLIQRSVQDSGQGGREKLELVLSNLQADVLELLLEFVYTGSLVIDSANAKTLLEAASKFQFHTFCKVCVSFLEKQLTASNCLGVLAMAEAMQCSELYHMAKAFALQIFPEVAAQEEILSISKDDFIAYVSNDSLNTKAEELVYETVIKWIKKDPATRTQYAAELLAVVRLPFIHPSYLLNVVDNEELIKSSEACRDLVNEAKRYHMLPHARQEMQTPRTRPRLSAGVAEVIVLVGGRQMVGMTQRSLVAVTCWNPQNNKWYPLASLPFYDREFFSVVSAGDNIYLSGGMESGVTLADVWCYMSLLDNWNLVSRMTVPRCRHNSLVYDGKIYTLGGLGVAGNVDHVERYDTITNQWEAVAPLPKAVHSAAATVCGGKIYVFGGVNEAGRAAGVLQSYVPQTNTWSFIESPMIDNKYAPAVTLNGFVFILGGAYARATTIYDPEKGNIKAGPNMNHSRQFCSAVVLDGKIYATGGIVSSEGPALGNMEAYEPTTNTWTLLPHMPCPVFRHGCVVIKKYIQSG
+>DECOY_sp|Q96CT2|KLH29_HUMAN Kelch-like protein 29 OS=Homo sapiens OX=9606 GN=KLHL29 PE=2 SV=3
+GSQIYKKIVVCGHRFVPCPMHPLLTWTNTTPEYAEMNGLAPGESSVIGGTAYIKGDLVVASCFQRSHNMNPGAKINGKEPDYITTARAYAGGLIFVFGNLTVAPAYKNDIMPSEIFSWTNTQPVYSQLVGAARGAENVGGFVYIKGGCVTAAASHVAKPLPAVAEWQNTITDYREVHDVNGAVGLGGLTYIKGDYVLSNHRCRPVTMRSVLNWNDLLSMYCWVDALTVGSEMGGSLYINDGASVVSFFERDYFPLSALPYWKNNQPNWCTVAVLSRQTMGVMQRGGVLVIVEAVGASLRPRTRPTQMEQRAHPLMHYRKAENVLDRCAESSKILEENDVVNLLYSPHIFPLRVVALLEAAYQTRTAPDKKIWKIVTEYVLEEAKTNLSDNSVYAIFDDKSISLIEEQAAVEPFIQLAFAKAMHYLESCQMAEAMALVGLCNSATLQKELFSVCVKCFTHFQFKSAAELLTKANASDIVLSGTYVFELLLELVDAQLNSLVLELKERGGQGSDQVSRQILDKFYLSCSALVNQHVEFERGEVVIKLDTFAKARRQQNLEKLMERPHGPDTFEYKGVGITRLMQLGHASDTTPPGNTAPAGSPLTASPQAPPPPPLLPGAMHGNGVATPGPRAVQGVGPLTSVALPQPSAYLAQAPMNVVVSSLPQSPPQPLSYHGPMLPLQPGVHPPLSPTVGIVPAQVNVAPSFTPPQAVGYSHAILTPQNGAAVTTVWPGTGPNDSERMQKSPPEDTDWPTSQNIPTQGWRISTALGQSQSDGKSIGPAKTTVASASSAVLSTIAESSGTTCPAPATAPTPLRSPVVPLPLLGPRVSLSSATGGGAGSTVSCISTTGHTGNVSWERRDWGVRYDREFRSHHRSM
+>sp|A6NCF5|KLH33_HUMAN Kelch-like protein 33 OS=Homo sapiens OX=9606 GN=KLHL33 PE=4 SV=2
+MLLSGMRESQGTEVSLRTISTQDLRLLVSFAYSGVVRARWPGLLRAAQAALQYQSSSCLDLCQKGLARGLSPARCLALFPMAEAPGLERLWSKARHYLLTHLPAVALCPAFPSLPAACLAELLDSDELHVQEEFEAFVAARCWLAANPETQESEAKALLRCVRFGRMSTRELRRVRAAGLLPPLTPDLLHQLMVEADVPGQERRREPDRALVVIGGDGLRPDMALRQPSRAVWWARAFRCGVGLVRTVEWGQLPALPAPGRFRHGAASLAGSELYVCGGQDFYSHSNTLASTLRWEPSQEDWEEMAPLSQARSLFSLVALDGKLYALGGRHNDVALDSVETYNPELNVWRPAPALPAPCFAHAAAILEGQLYVSGGCGGTGQYLASLMHYDPKLEKPGTFLSPMGVPRAGHVMAALGGRLYVAGGLGETEDLLSFEAYELRTDSWTHLAPLPSPHVGAASAVLQGELLVLGGYSHRTYALSHLIHAYCPGLGRWLCLGTLPRPRAEMPACILTLPAVQHIALVPTPHQTKPAG
+>DECOY_sp|A6NCF5|KLH33_HUMAN Kelch-like protein 33 OS=Homo sapiens OX=9606 GN=KLHL33 PE=4 SV=2
+GAPKTQHPTPVLAIHQVAPLTLICAPMEARPRPLTGLCLWRGLGPCYAHILHSLAYTRHSYGGLVLLEGQLVASAAGVHPSPLPALHTWSDTRLEYAEFSLLDETEGLGGAVYLRGGLAAMVHGARPVGMPSLFTGPKELKPDYHMLSALYQGTGGCGGSVYLQGELIAAAHAFCPAPLAPAPRWVNLEPNYTEVSDLAVDNHRGGLAYLKGDLAVLSFLSRAQSLPAMEEWDEQSPEWRLTSALTNSHSYFDQGGCVYLESGALSAAGHRFRGPAPLAPLQGWEVTRVLGVGCRFARAWWVARSPQRLAMDPRLGDGGIVVLARDPERRREQGPVDAEVMLQHLLDPTLPPLLGAARVRRLERTSMRGFRVCRLLAKAESEQTEPNAALWCRAAVFAEFEEQVHLEDSDLLEALCAAPLSPFAPCLAVAPLHTLLYHRAKSWLRELGPAEAMPFLALCRAPSLGRALGKQCLDLCSSSQYQLAAQAARLLGPWRARVVGSYAFSVLLRLDQTSITRLSVETGQSERMGSLLM
+>sp|Q6PF15|KLH35_HUMAN Kelch-like protein 35 OS=Homo sapiens OX=9606 GN=KLHL35 PE=1 SV=3
+MRQGHAPEESEPGCEAPCAGPCHAQRVLQALNAYRRSGTLTDVVLRAGGRDFPCHRAALSAGSAYFRSLFAAGRPERGPAVVPVVPVAPEAPGTSPAGAAAALAVVLDYVYGAGVRLRAEDEAAAVLALAERLGVAGLREACVRFLEGRLRAANSLALRRVAAAFSLAPLAERCGRVLRQAFAEVARHADFLELAPDEVVALLADPALGVAREEAVFEAAMRWVRHDAPARRGQLRRLLEHVRLPLLAPAYFLEKVEADELLQACGECRPLLLEARACFILGREAGALRTRPRRFMDLAEVIVVIGGCDRKGLLKLPFADAYHPESQRWTPLPSLPGYTRSEFAACALRNDVYVSGGHINSHDVWMFSSHLHTWIKVASLHKGRWRHKMAVVQGQLFAVGGFDGLRRLHSVERYDPFSNTWAAAAPLPEAVSSAAVASCAGKLFVIGGARQGGVNTDKVQCFDPKEDRWSLRSPAPFSQRCLEAVSLEDTIYVMGGLMSKIFTYDPGTDVWGEAAVLPSPVESCGVTVCDGKVHILGGRDDRGESTDKVFTFDPSSGQVEVQPSLQRCTSSHGCVTIIQSLGR
+>DECOY_sp|Q6PF15|KLH35_HUMAN Kelch-like protein 35 OS=Homo sapiens OX=9606 GN=KLHL35 PE=1 SV=3
+RGLSQIITVCGHSSTCRQLSPQVEVQGSSPDFTFVKDTSEGRDDRGGLIHVKGDCVTVGCSEVPSPLVAAEGWVDTGPDYTFIKSMLGGMVYITDELSVAELCRQSFPAPSRLSWRDEKPDFCQVKDTNVGGQRAGGIVFLKGACSAVAASSVAEPLPAAAAWTNSFPDYREVSHLRRLGDFGGVAFLQGQVVAMKHRWRGKHLSAVKIWTHLHSSFMWVDHSNIHGGSVYVDNRLACAAFESRTYGPLSPLPTWRQSEPHYADAFPLKLLGKRDCGGIVVIVEALDMFRRPRTRLAGAERGLIFCARAELLLPRCEGCAQLLEDAEVKELFYAPALLPLRVHELLRRLQGRRAPADHRVWRMAAEFVAEERAVGLAPDALLAVVEDPALELFDAHRAVEAFAQRLVRGCREALPALSFAAAVRRLALSNAARLRGELFRVCAERLGAVGLREALALVAAAEDEARLRVGAGYVYDLVVALAAAAGAPSTGPAEPAVPVVPVVAPGREPRGAAFLSRFYASGASLAARHCPFDRGGARLVVDTLTGSRRYANLAQLVRQAHCPGACPAECGPESEEPAHGQRM
+>sp|Q2WGJ6|KLH38_HUMAN Kelch-like protein 38 OS=Homo sapiens OX=9606 GN=KLHL38 PE=1 SV=3
+MDEESLDGLLFKDHDFSSDLLRQLNSLRQSRILTDVSICAGAREIPCHRNVLASSSPYFRAMFCSSFREKSEAKVQLKGIDPPTLDQIVSYVYTGEAHIATDNVLPVMEAASMLQFPKLFEACSSYLQSQLAPSNCLGMIRLSEILSCETLKKKAREVALTSFPEVAASADLKELCALELRDYLGDDGLCGEEEKVFEALMVWIKHDLQARKRYMQELFKQVRLQYIHPAFFHHFIANDALLQSSPACQIILETAKRQMFSLCGTTVPDCKLLLHVPPRNSYQDFLILLGGRKDSQQTTRDVLLYSKQTGQWQSLAKLPTRLYKASAITLHRSIYVLGGMAVSSGRSLVSHNVYIFSLKLNQWRLGEPMLVARYSHRSTAHKNFIFSIGGIGEGQELMGSMERYDSICNVWESMASMPVGVLHPAVAVKDQRLYLFGGEDIMQNPVRLIQVYHISRNSWFKMETRMIKNVCAPAVVLGERIVIVGGYTRRILAYDPQSNKFVKCADMKDRRMHHGATVMGNKLYVTGGRRLTTDCNIEDSASFDCYDPETDTWTSQGQLPHKLFDHACLTLQCIPRTSGLP
+>DECOY_sp|Q2WGJ6|KLH38_HUMAN Kelch-like protein 38 OS=Homo sapiens OX=9606 GN=KLHL38 PE=1 SV=3
+PLGSTRPICQLTLCAHDFLKHPLQGQSTWTDTEPDYCDFSASDEINCDTTLRRGGTVYLKNGMVTAGHHMRRDKMDACKVFKNSQPDYALIRRTYGGVIVIREGLVVAPACVNKIMRTEMKFWSNRSIHYVQILRVPNQMIDEGGFLYLRQDKVAVAPHLVGVPMSAMSEWVNCISDYREMSGMLEQGEGIGGISFIFNKHATSRHSYRAVLMPEGLRWQNLKLSFIYVNHSVLSRGSSVAMGGLVYISRHLTIASAKYLRTPLKALSQWQGTQKSYLLVDRTTQQSDKRGGLLILFDQYSNRPPVHLLLKCDPVTTGCLSFMQRKATELIIQCAPSSQLLADNAIFHHFFAPHIYQLRVQKFLEQMYRKRAQLDHKIWVMLAEFVKEEEGCLGDDGLYDRLELACLEKLDASAAVEPFSTLAVERAKKKLTECSLIESLRIMGLCNSPALQSQLYSSCAEFLKPFQLMSAAEMVPLVNDTAIHAEGTYVYSVIQDLTPPDIGKLQVKAESKERFSSCFMARFYPSSSALVNRHCPIERAGACISVDTLIRSQRLSNLQRLLDSSFDHDKFLLGDLSEEDM
+>sp|Q2TBA0|KLH40_HUMAN Kelch-like protein 40 OS=Homo sapiens OX=9606 GN=KLHL40 PE=1 SV=2
+MALGLEQAEEQRLYQQTLLQDGLKDMLDHGKFLDCVVRAGEREFPCHRLVLAACSPYFRARFLAEPERAGELHLEEVSPDVVAQVLHYLYTSEIALDEASVQDLFAAAHRFQIPSIFTICVSFLQKRLCLSNCLAVFRLGLLLDCARLAVAARDFICAHFTLVARDADFLGLSADELIAIISSDGLNVEKEEAVFEAVMRWAGSGDAEAQAERQRALPTVFESVRCRLLPRAFLESRVERHPLVRAQPELLRKVQMVKDAHEGRITTLRKKKKGKDGAGAKEADKGTSKAKAEEDEEAERILPGILNDTLRFGMFLQDLIFMISEEGAVAYDPAANECYCASLSNQVPKNHVSLVTKENQVFVAGGLFYNEDNKEDPMSAYFLQFDHLDSEWLGMPPLPSPRCLFGLGEALNSIYVVGGREIKDGERCLDSVMCYDRLSFKWGESDPLPYVVYGHTVLSHMDLVYVIGGKGSDRKCLNKMCVYDPKKFEWKELAPMQTARSLFGATVHDGRIIVAAGVTDTGLTSSAEVYSITDNKWAPFEAFPQERSSLSLVSLVGTLYAIGGFATLETESGELVPTELNDIWRYNEEEKKWEGVLREIAYAAGATFLPVRLNVLCLTKM
+>DECOY_sp|Q2TBA0|KLH40_HUMAN Kelch-like protein 40 OS=Homo sapiens OX=9606 GN=KLHL40 PE=1 SV=2
+MKTLCLVNLRVPLFTAGAAYAIERLVGEWKKEEENYRWIDNLETPVLEGSETELTAFGGIAYLTGVLSVLSLSSREQPFAEFPAWKNDTISYVEASSTLGTDTVGAAVIIRGDHVTAGFLSRATQMPALEKWEFKKPDYVCMKNLCKRDSGKGGIVYVLDMHSLVTHGYVVYPLPDSEGWKFSLRDYCMVSDLCREGDKIERGGVVYISNLAEGLGFLCRPSPLPPMGLWESDLHDFQLFYASMPDEKNDENYFLGGAVFVQNEKTVLSVHNKPVQNSLSACYCENAAPDYAVAGEESIMFILDQLFMGFRLTDNLIGPLIREAEEDEEAKAKSTGKDAEKAGAGDKGKKKKRLTTIRGEHADKVMQVKRLLEPQARVLPHREVRSELFARPLLRCRVSEFVTPLARQREAQAEADGSGAWRMVAEFVAEEKEVNLGDSSIIAILEDASLGLFDADRAVLTFHACIFDRAAVALRACDLLLGLRFVALCNSLCLRKQLFSVCITFISPIQFRHAAAFLDQVSAEDLAIESTYLYHLVQAVVDPSVEELHLEGAREPEALFRARFYPSCAALVLRHCPFEREGARVVCDLFKGHDLMDKLGDQLLTQQYLRQEEAQELGLAM
+>sp|Q9P2K6|KLH42_HUMAN Kelch-like protein 42 OS=Homo sapiens OX=9606 GN=KLHL42 PE=1 SV=2
+MSAEEMVQIRLEDRCYPVSKRKLIEQSDYFRALYRSGMREALSQEAGGPEVQQLRGLSAPGLRLVLDFINAGGAREGWLLGPRGEKGGGVDEDEEMDEVSLLSELVEAASFLQVTSLLQLLLSQVRLNNCLEMYRLAQVYGLPDLQEACLRFMVVHFHEVLCKPQFHLLGSPPQAPGDVSLKQRLREARMTGTPVLVALGDFLGGPLAPHPYQGEPPSMLRYEEMTERWFPLANNLPPDLVNVRGYGSAILDNYLFIVGGYRITSQEISAAHSYNPSTNEWLQVASMNQKRSNFKLVAVNSKLYAIGGQAVSNVECYNPEQDAWNFVAPLPNPLAEFSACECKGKIYVIGGYTTRDRNMNILQYCPSSDMWTLFETCDVHIRKQQMVSVEETIYIVGGCLHELGPNRRSSQSEDMLTVQSYNTVTRQWLYLKENTSKSGLNLTCALHNDGIYIMSRDVTLSTSLEHRVFLKYNIFSDSWEAFRRFPAFGHNLLVSSLYLPNKAET
+>DECOY_sp|Q9P2K6|KLH42_HUMAN Kelch-like protein 42 OS=Homo sapiens OX=9606 GN=KLHL42 PE=1 SV=2
+TEAKNPLYLSSVLLNHGFAPFRRFAEWSDSFINYKLFVRHELSTSLTVDRSMIYIGDNHLACTLNLGSKSTNEKLYLWQRTVTNYSQVTLMDESQSSRRNPGLEHLCGGVIYITEEVSVMQQKRIHVDCTEFLTWMDSSPCYQLINMNRDRTTYGGIVYIKGKCECASFEALPNPLPAVFNWADQEPNYCEVNSVAQGGIAYLKSNVAVLKFNSRKQNMSAVQLWENTSPNYSHAASIEQSTIRYGGVIFLYNDLIASGYGRVNVLDPPLNNALPFWRETMEEYRLMSPPEGQYPHPALPGGLFDGLAVLVPTGTMRAERLRQKLSVDGPAQPPSGLLHFQPKCLVEHFHVVMFRLCAEQLDPLGYVQALRYMELCNNLRVQSLLLQLLSTVQLFSAAEVLESLLSVEDMEEDEDVGGGKEGRPGLLWGERAGGANIFDLVLRLGPASLGRLQQVEPGGAEQSLAERMGSRYLARFYDSQEILKRKSVPYCRDELRIQVMEEASM
+>sp|Q9UH77|KLHL3_HUMAN Kelch-like protein 3 OS=Homo sapiens OX=9606 GN=KLHL3 PE=1 SV=2
+MEGESVKLSSQTLIQAGDDEKNQRTITVNPAHMGKAFKVMNELRSKQLLCDVMIVAEDVEIEAHRVVLAACSPYFCAMFTGDMSESKAKKIEIKDVDGQTLSKLIDYIYTAEIEVTEENVQVLLPAASLLQLMDVRQNCCDFLQSQLHPTNCLGIRAFADVHTCTDLLQQANAYAEQHFPEVMLGEEFLSLSLDQVCSLISSDKLTVSSEEKVFEAVISWINYEKETRLEHMAKLMEHVRLPLLPRDYLVQTVEEEALIKNNNTCKDFLIEAMKYHLLPLDQRLLIKNPRTKPRTPVSLPKVMIVVGGQAPKAIRSVECYDFEEDRWDQIAELPSRRCRAGVVFMAGHVYAVGGFNGSLRVRTVDVYDGVKDQWTSIASMQERRSTLGAAVLNDLLYAVGGFDGSTGLASVEAYSYKTNEWFFVAPMNTRRSSVGVGVVEGKLYAVGGYDGASRQCLSTVEQYNPATNEWIYVADMSTRRSGAGVGVLSGQLYATGGHDGPLVRKSVEVYDPGTNTWKQVADMNMCRRNAGVCAVNGLLYVVGGDDGSCNLASVEYYNPVTDKWTLLPTNMSTGRSYAGVAVIHKSL
+>DECOY_sp|Q9UH77|KLHL3_HUMAN Kelch-like protein 3 OS=Homo sapiens OX=9606 GN=KLHL3 PE=1 SV=2
+LSKHIVAVGAYSRGTSMNTPLLTWKDTVPNYYEVSALNCSGDDGGVVYLLGNVACVGANRRCMNMDAVQKWTNTGPDYVEVSKRVLPGDHGGTAYLQGSLVGVGAGSRRTSMDAVYIWENTAPNYQEVTSLCQRSAGDYGGVAYLKGEVVGVGVSSRRTNMPAVFFWENTKYSYAEVSALGTSGDFGGVAYLLDNLVAAGLTSRREQMSAISTWQDKVGDYVDVTRVRLSGNFGGVAYVHGAMFVVGARCRRSPLEAIQDWRDEEFDYCEVSRIAKPAQGGVVIMVKPLSVPTRPKTRPNKILLRQDLPLLHYKMAEILFDKCTNNNKILAEEEVTQVLYDRPLLPLRVHEMLKAMHELRTEKEYNIWSIVAEFVKEESSVTLKDSSILSCVQDLSLSLFEEGLMVEPFHQEAYANAQQLLDTCTHVDAFARIGLCNTPHLQSQLFDCCNQRVDMLQLLSAAPLLVQVNEETVEIEATYIYDILKSLTQGDVDKIEIKKAKSESMDGTFMACFYPSCAALVVRHAEIEVDEAVIMVDCLLQKSRLENMVKFAKGMHAPNVTITRQNKEDDGAQILTQSSLKVSEGEM
+>sp|Q9UKQ9|KLK9_HUMAN Kallikrein-9 OS=Homo sapiens OX=9606 GN=KLK9 PE=2 SV=1
+MKLGLLCALLSLLAGHGWADTRAIGAEECRPNSQPWQAGLFHLTRLFCGATLISDRWLLTAAHCRKPYLWVRLGEHHLWKWEGPEQLFRVTDFFPHPGFNKDLSANDHNDDIMLIRLPRQARLSPAVQPLNLSQTCVSPGMQCLISGWGAVSSPKALFPVTLQCANISILENKLCHWAYPGHISDSMLCAGLWEGGRGSCQGDSGGPLVCNGTLAGVVSGGAEPCSRPRRPAVYTSVCHYLDWIQEIMEN
+>DECOY_sp|Q9UKQ9|KLK9_HUMAN Kallikrein-9 OS=Homo sapiens OX=9606 GN=KLK9 PE=2 SV=1
+NEMIEQIWDLYHCVSTYVAPRRPRSCPEAGGSVVGALTGNCVLPGGSDGQCSGRGGEWLGACLMSDSIHGPYAWHCLKNELISINACQLTVPFLAKPSSVAGWGSILCQMGPSVCTQSLNLPQVAPSLRAQRPLRILMIDDNHDNASLDKNFGPHPFFDTVRFLQEPGEWKWLHHEGLRVWLYPKRCHAATLLWRDSILTAGCFLRTLHFLGAQWPQSNPRCEEAGIARTDAWGHGALLSLLACLLGLKM
+>sp|P03952|KLKB1_HUMAN Plasma kallikrein OS=Homo sapiens OX=9606 GN=KLKB1 PE=1 SV=1
+MILFKQATYFISLFATVSCGCLTQLYENAFFRGGDVASMYTPNAQYCQMRCTFHPRCLLFSFLPASSINDMEKRFGCFLKDSVTGTLPKVHRTGAVSGHSLKQCGHQISACHRDIYKGVDMRGVNFNVSKVSSVEECQKRCTNNIRCQFFSYATQTFHKAEYRNNCLLKYSPGGTPTAIKVLSNVESGFSLKPCALSEIGCHMNIFQHLAFSDVDVARVLTPDAFVCRTICTYHPNCLFFTFYTNVWKIESQRNVCLLKTSESGTPSSSTPQENTISGYSLLTCKRTLPEPCHSKIYPGVDFGGEELNVTFVKGVNVCQETCTKMIRCQFFTYSLLPEDCKEEKCKCFLRLSMDGSPTRIAYGTQGSSGYSLRLCNTGDNSVCTTKTSTRIVGGTNSSWGEWPWQVSLQVKLTAQRHLCGGSLIGHQWVLTAAHCFDGLPLQDVWRIYSGILNLSDITKDTPFSQIKEIIIHQNYKVSEGNHDIALIKLQAPLNYTEFQKPICLPSKGDTSTIYTNCWVTGWGFSKEKGEIQNILQKVNIPLVTNEECQKRYQDYKITQRMVCAGYKEGGKDACKGDSGGPLVCKHNGMWRLVGITSWGEGCARREQPGVYTKVAEYMDWILEKTQSSDGKAQMQSPA
+>DECOY_sp|P03952|KLKB1_HUMAN Plasma kallikrein OS=Homo sapiens OX=9606 GN=KLKB1 PE=1 SV=1
+APSQMQAKGDSSQTKELIWDMYEAVKTYVGPQERRACGEGWSTIGVLRWMGNHKCVLPGGSDGKCADKGGEKYGACVMRQTIKYDQYRKQCEENTVLPINVKQLINQIEGKEKSFGWGTVWCNTYITSTDGKSPLCIPKQFETYNLPAQLKILAIDHNGESVKYNQHIIIEKIQSFPTDKTIDSLNLIGSYIRWVDQLPLGDFCHAATLVWQHGILSGGCLHRQATLKVQLSVQWPWEGWSSNTGGVIRTSTKTTCVSNDGTNCLRLSYGSSGQTGYAIRTPSGDMSLRLFCKCKEEKCDEPLLSYTFFQCRIMKTCTEQCVNVGKVFTVNLEEGGFDVGPYIKSHCPEPLTRKCTLLSYGSITNEQPTSSSPTGSESTKLLCVNRQSEIKWVNTYFTFFLCNPHYTCITRCVFADPTLVRAVDVDSFALHQFINMHCGIESLACPKLSFGSEVNSLVKIATPTGGPSYKLLCNNRYEAKHFTQTAYSFFQCRINNTCRKQCEEVSSVKSVNFNVGRMDVGKYIDRHCASIQHGCQKLSHGSVAGTRHVKPLTGTVSDKLFCGFRKEMDNISSAPLFSFLLCRPHFTCRMQCYQANPTYMSAVDGGRFFANEYLQTLCGCSVTAFLSIFYTAQKFLIM
+>sp|Q12918|KLRB1_HUMAN Killer cell lectin-like receptor subfamily B member 1 OS=Homo sapiens OX=9606 GN=KLRB1 PE=1 SV=1
+MDQQAIYAELNLPTDSGPESSSPSSLPRDVCQGSPWHQFALKLSCAGIILLVLVVTGLSVSVTSLIQKSSIEKCSVDIQQSRNKTTERPGLLNCPIYWQQLREKCLLFSHTVNPWNNSLADCSTKESSLLLIRDKDELIHTQNLIRDKAILFWIGLNFSLSEKNWKWINGSFLNSNDLEIRGDAKENSCISISQTSVYSEYCSTEIRWICQKELTPVRNKVYPDS
+>DECOY_sp|Q12918|KLRB1_HUMAN Killer cell lectin-like receptor subfamily B member 1 OS=Homo sapiens OX=9606 GN=KLRB1 PE=1 SV=1
+SDPYVKNRVPTLEKQCIWRIETSCYESYVSTQSISICSNEKADGRIELDNSNLFSGNIWKWNKESLSFNLGIWFLIAKDRILNQTHILEDKDRILLLSSEKTSCDALSNNWPNVTHSFLLCKERLQQWYIPCNLLGPRETTKNRSQQIDVSCKEISSKQILSTVSVSLGTVVLVLLIIGACSLKLAFQHWPSGQCVDRPLSSPSSSEPGSDTPLNLEAYIAQQDM
+>sp|Q96E93|KLRG1_HUMAN Killer cell lectin-like receptor subfamily G member 1 OS=Homo sapiens OX=9606 GN=KLRG1 PE=1 SV=1
+MTDSVIYSMLELPTATQAQNDYGPQQKSSSSRPSCSCLVAIALGLLTAVLLSVLLYQWILCQGSNYSTCASCPSCPDRWMKYGNHCYYFSVEEKDWNSSLEFCLARDSHLLVITDNQEMSLLQVFLSEAFCWIGLRNNSGWRWEDGSPLNFSRISSNSFVQTCGAINKNGLQASSCEVPLHWVCKKCPFADQALF
+>DECOY_sp|Q96E93|KLRG1_HUMAN Killer cell lectin-like receptor subfamily G member 1 OS=Homo sapiens OX=9606 GN=KLRG1 PE=1 SV=1
+FLAQDAFPCKKCVWHLPVECSSAQLGNKNIAGCTQVFSNSSIRSFNLPSGDEWRWGSNNRLGIWCFAESLFVQLLSMEQNDTIVLLHSDRALCFELSSNWDKEEVSFYYCHNGYKMWRDPCSPCSACTSYNSGQCLIWQYLLVSLLVATLLGLAIAVLCSCSPRSSSSKQQPGYDNQAQTATPLELMSYIVSDTM
+>sp|Q03164|KMT2A_HUMAN Histone-lysine N-methyltransferase 2A OS=Homo sapiens OX=9606 GN=KMT2A PE=1 SV=5
+MAHSCRWRFPARPGTTGGGGGGGRRGLGGAPRQRVPALLLPPGPPVGGGGPGAPPSPPAVAAAAAAAGSSGAGVPGGAAAASAASSSSASSSSSSSSSASSGPALLRVGPGFDAALQVSAAIGTNLRRFRAVFGESGGGGGSGEDEQFLGFGSDEEVRVRSPTRSPSVKTSPRKPRGRPRSGSDRNSAILSDPSVFSPLNKSETKSGDKIKKKDSKSIEKKRGRPPTFPGVKIKITHGKDISELPKGNKEDSLKKIKRTPSATFQQATKIKKLRAGKLSPLKSKFKTGKLQIGRKGVQIVRRRGRPPSTERIKTPSGLLINSELEKPQKVRKDKEGTPPLTKEDKTVVRQSPRRIKPVRIIPSSKRTDATIAKQLLQRAKKGAQKKIEKEAAQLQGRKVKTQVKNIRQFIMPVVSAISSRIIKTPRRFIEDEDYDPPIKIARLESTPNSRFSAPSCGSSEKSSAASQHSSQMSSDSSRSSSPSVDTSTDSQASEEIQVLPEERSDTPEVHPPLPISQSPENESNDRRSRRYSVSERSFGSRTTKKLSTLQSAPQQQTSSSPPPPLLTPPPPLQPASSISDHTPWLMPPTIPLASPFLPASTAPMQGKRKSILREPTFRWTSLKHSRSEPQYFSSAKYAKEGLIRKPIFDNFRPPPLTPEDVGFASGFSASGTAASARLFSPLHSGTRFDMHKRSPLLRAPRFTPSEAHSRIFESVTLPSNRTSAGTSSSGVSNRKRKRKVFSPIRSEPRSPSHSMRTRSGRLSSSELSPLTPPSSVSSSLSISVSPLATSALNPTFTFPSHSLTQSGESAEKNQRPRKQTSAPAEPFSSSSPTPLFPWFTPGSQTERGRNKDKAPEELSKDRDADKSVEKDKSRERDREREKENKRESRKEKRKKGSEIQSSSALYPVGRVSKEKVVGEDVATSSSAKKATGRKKSSSHDSGTDITSVTLGDTTAVKTKILIKKGRGNLEKTNLDLGPTAPSLEKEKTLCLSTPSSSTVKHSTSSIGSMLAQADKLPMTDKRVASLLKKAKAQLCKIEKSKSLKQTDQPKAQGQESDSSETSVRGPRIKHVCRRAAVALGRKRAVFPDDMPTLSALPWEEREKILSSMGNDDKSSIAGSEDAEPLAPPIKPIKPVTRNKAPQEPPVKKGRRSRRCGQCPGCQVPEDCGVCTNCLDKPKFGGRNIKKQCCKMRKCQNLQWMPSKAYLQKQAKAVKKKEKKSKTSEKKDSKESSVVKNVVDSSQKPTPSAREDPAPKKSSSEPPPRKPVEEKSEEGNVSAPGPESKQATTPASRKSSKQVSQPALVIPPQPPTTGPPRKEVPKTTPSEPKKKQPPPPESGPEQSKQKKVAPRPSIPVKQKPKEKEKPPPVNKQENAGTLNILSTLSNGNSSKQKIPADGVHRIRVDFKEDCEAENVWEMGGLGILTSVPITPRVVCFLCASSGHVEFVYCQVCCEPFHKFCLEENERPLEDQLENWCCRRCKFCHVCGRQHQATKQLLECNKCRNSYHPECLGPNYPTKPTKKKKVWICTKCVRCKSCGSTTPGKGWDAQWSHDFSLCHDCAKLFAKGNFCPLCDKCYDDDDYESKMMQCGKCDRWVHSKCENLSDEMYEILSNLPESVAYTCVNCTERHPAEWRLALEKELQISLKQVLTALLNSRTTSHLLRYRQAAKPPDLNPETEESIPSRSSPEGPDPPVLTEVSKQDDQQPLDLEGVKRKMDQGNYTSVLEFSDDIVKIIQAAINSDGGQPEIKKANSMVKSFFIRQMERVFPWFSVKKSRFWEPNKVSSNSGMLPNAVLPPSLDHNYAQWQEREENSHTEQPPLMKKIIPAPKPKGPGEPDSPTPLHPPTPPILSTDRSREDSPELNPPPGIEDNRQCALCLTYGDDSANDAGRLLYIGQNEWTHVNCALWSAEVFEDDDGSLKNVHMAVIRGKQLRCEFCQKPGATVGCCLTSCTSNYHFMCSRAKNCVFLDDKKVYCQRHRDLIKGEVVPENGFEVFRRVFVDFEGISLRRKFLNGLEPENIHMMIGSMTIDCLGILNDLSDCEDKLFPIGYQCSRVYWSTTDARKRCVYTCKIVECRPPVVEPDINSTVEHDENRTIAHSPTSFTESSSKESQNTAEIISPPSPDRPPHSQTSGSCYYHVISKVPRIRTPSYSPTQRSPGCRPLPSAGSPTPTTHEIVTVGDPLLSSGLRSIGSRRHSTSSLSPQRSKLRIMSPMRTGNTYSRNNVSSVSTTGTATDLESSAKVVDHVLGPLNSSTSLGQNTSTSSNLQRTVVTVGNKNSHLDGSSSSEMKQSSASDLVSKSSSLKGEKTKVLSSKSSEGSAHNVAYPGIPKLAPQVHNTTSRELNVSKIGSFAEPSSVSFSSKEALSFPHLHLRGQRNDRDQHTDSTQSANSSPDEDTEVKTLKLSGMSNRSSIINEHMGSSSRDRRQKGKKSCKETFKEKHSSKSFLEPGQVTTGEEGNLKPEFMDEVLTPEYMGQRPCNNVSSDKIGDKGLSMPGVPKAPPMQVEGSAKELQAPRKRTVKVTLTPLKMENESQSKNALKESSPASPLQIESTSPTEPISASENPGDGPVAQPSPNNTSCQDSQSNNYQNLPVQDRNLMLPDGPKPQEDGSFKRRYPRRSARARSNMFFGLTPLYGVRSYGEEDIPFYSSSTGKKRGKRSAEGQVDGADDLSTSDEDDLYYYNFTRTVISSGGEERLASHNLFREEEQCDLPKISQLDGVDDGTESDTSVTATTRKSSQIPKRNGKENGTENLKIDRPEDAGEKEHVTKSSVGHKNEPKMDNCHSVSRVKTQGQDSLEAQLSSLESSRRVHTSTPSDKNLLDTYNTELLKSDSDNNNSDDCGNILPSDIMDFVLKNTPSMQALGESPESSSSELLNLGEGLGLDSNREKDMGLFEVFSQQLPTTEPVDSSVSSSISAEEQFELPLELPSDLSVLTTRSPTVPSQNPSRLAVISDSGEKRVTITEKSVASSESDPALLSPGVDPTPEGHMTPDHFIQGHMDADHISSPPCGSVEQGHGNNQDLTRNSSTPGLQVPVSPTVPIQNQKYVPNSTDSPGPSQISNAAVQTTPPHLKPATEKLIVVNQNMQPLYVLQTLPNGVTQKIQLTSSVSSTPSVMETNTSVLGPMGGGLTLTTGLNPSLPTSQSLFPSASKGLLPMSHHQHLHSFPAATQSSFPPNISNPPSGLLIGVQPPPDPQLLVSESSQRTDLSTTVATPSSGLKKRPISRLQTRKNKKLAPSSTPSNIAPSDVVSNMTLINFTPSQLPNHPSLLDLGSLNTSSHRTVPNIIKRSKSSIMYFEPAPLLPQSVGGTAATAAGTSTISQDTSHLTSGSVSGLASSSSVLNVVSMQTTTTPTSSASVPGHVTLTNPRLLGTPDIGSISNLLIKASQQSLGIQDQPVALPPSSGMFPQLGTSQTPSTAAITAASSICVLPSTQTTGITAASPSGEADEHYQLQHVNQLLASKTGIHSSQRDLDSASGPQVSNFTQTVDAPNSMGLEQNKALSSAVQASPTSPGGSPSSPSSGQRSASPSVPGPTKPKPKTKRFQLPLDKGNGKKHKVSHLRTSSSEAHIPDQETTSLTSGTGTPGAEAEQQDTASVEQSSQKECGQPAGQVAVLPEVQVTQNPANEQESAEPKTVEEEESNFSSPLMLWLQQEQKRKESITEKKPKKGLVFEISSDDGFQICAESIEDAWKSLTDKVQEARSNARLKQLSFAGVNGLRMLGILHDAVVFLIEQLSGAKHCRNYKFRFHKPEEANEPPLNPHGSARAEVHLRKSAFDMFNFLASKHRQPPEYNPNDEEEEEVQLKSARRATSMDLPMPMRFRHLKKTSKEAVGVYRSPIHGRGLFCKRNIDAGEMVIEYAGNVIRSIQTDKREKYYDSKGIGCYMFRIDDSEVVDATMHGNAARFINHSCEPNCYSRVINIDGQKHIVIFAMRKIYRGEELTYDYKFPIEDASNKLPCNCGAKKCRKFLN
+>DECOY_sp|Q03164|KMT2A_HUMAN Histone-lysine N-methyltransferase 2A OS=Homo sapiens OX=9606 GN=KMT2A PE=1 SV=5
+NLFKRCKKAGCNCPLKNSADEIPFKYDYTLEEGRYIKRMAFIVIHKQGDINIVRSYCNPECSHNIFRAANGHMTADVVESDDIRFMYCGIGKSDYYKERKDTQISRIVNGAYEIVMEGADINRKCFLGRGHIPSRYVGVAEKSTKKLHRFRMPMPLDMSTARRASKLQVEEEEEDNPNYEPPQRHKSALFNFMDFASKRLHVEARASGHPNLPPENAEEPKHFRFKYNRCHKAGSLQEILFVVADHLIGLMRLGNVGAFSLQKLRANSRAEQVKDTLSKWADEISEACIQFGDDSSIEFVLGKKPKKETISEKRKQEQQLWLMLPSSFNSEEEEVTKPEASEQENAPNQTVQVEPLVAVQGAPQGCEKQSSQEVSATDQQEAEAGPTGTGSTLSTTEQDPIHAESSSTRLHSVKHKKGNGKDLPLQFRKTKPKPKTPGPVSPSASRQGSSPSSPSGGPSTPSAQVASSLAKNQELGMSNPADVTQTFNSVQPGSASDLDRQSSHIGTKSALLQNVHQLQYHEDAEGSPSAATIGTTQTSPLVCISSAATIAATSPTQSTGLQPFMGSSPPLAVPQDQIGLSQQSAKILLNSISGIDPTGLLRPNTLTVHGPVSASSTPTTTTQMSVVNLVSSSSALGSVSGSTLHSTDQSITSTGAATAATGGVSQPLLPAPEFYMISSKSRKIINPVTRHSSTNLSGLDLLSPHNPLQSPTFNILTMNSVVDSPAINSPTSSPALKKNKRTQLRSIPRKKLGSSPTAVTTSLDTRQSSESVLLQPDPPPQVGILLGSPPNSINPPFSSQTAAPFSHLHQHHSMPLLGKSASPFLSQSTPLSPNLGTTLTLGGGMPGLVSTNTEMVSPTSSVSSTLQIKQTVGNPLTQLVYLPQMNQNVVILKETAPKLHPPTTQVAANSIQSPGPSDTSNPVYKQNQIPVTPSVPVQLGPTSSNRTLDQNNGHGQEVSGCPPSSIHDADMHGQIFHDPTMHGEPTPDVGPSLLAPDSESSAVSKETITVRKEGSDSIVALRSPNQSPVTPSRTTLVSLDSPLELPLEFQEEASISSSVSSDVPETTPLQQSFVEFLGMDKERNSDLGLGEGLNLLESSSSEPSEGLAQMSPTNKLVFDMIDSPLINGCDDSNNNDSDSKLLETNYTDLLNKDSPTSTHVRRSSELSSLQAELSDQGQTKVRSVSHCNDMKPENKHGVSSKTVHEKEGADEPRDIKLNETGNEKGNRKPIQSSKRTTATVSTDSETGDDVGDLQSIKPLDCQEEERFLNHSALREEGGSSIVTRTFNYYYLDDEDSTSLDDAGDVQGEASRKGRKKGTSSSYFPIDEEGYSRVGYLPTLGFFMNSRARASRRPYRRKFSGDEQPKPGDPLMLNRDQVPLNQYNNSQSDQCSTNNPSPQAVPGDGPNESASIPETPSTSEIQLPSAPSSEKLANKSQSENEMKLPTLTVKVTRKRPAQLEKASGEVQMPPAKPVGPMSLGKDGIKDSSVNNCPRQGMYEPTLVEDMFEPKLNGEEGTTVQGPELFSKSSHKEKFTEKCSKKGKQRRDRSSSGMHENIISSRNSMGSLKLTKVETDEDPSSNASQTSDTHQDRDNRQGRLHLHPFSLAEKSSFSVSSPEAFSGIKSVNLERSTTNHVQPALKPIGPYAVNHASGESSKSSLVKTKEGKLSSSKSVLDSASSQKMESSSSGDLHSNKNGVTVVTRQLNSSTSTNQGLSTSSNLPGLVHDVVKASSELDTATGTTSVSSVNNRSYTNGTRMPSMIRLKSRQPSLSSTSHRRSGISRLGSSLLPDGVTVIEHTTPTPSGASPLPRCGPSRQTPSYSPTRIRPVKSIVHYYCSGSTQSHPPRDPSPPSIIEATNQSEKSSSETFSTPSHAITRNEDHEVTSNIDPEVVPPRCEVIKCTYVCRKRADTTSWYVRSCQYGIPFLKDECDSLDNLIGLCDITMSGIMMHINEPELGNLFKRRLSIGEFDVFVRRFVEFGNEPVVEGKILDRHRQCYVKKDDLFVCNKARSCMFHYNSTCSTLCCGVTAGPKQCFECRLQKGRIVAMHVNKLSGDDDEFVEASWLACNVHTWENQGIYLLRGADNASDDGYTLCLACQRNDEIGPPPNLEPSDERSRDTSLIPPTPPHLPTPSDPEGPGKPKPAPIIKKMLPPQETHSNEEREQWQAYNHDLSPPLVANPLMGSNSSVKNPEWFRSKKVSFWPFVREMQRIFFSKVMSNAKKIEPQGGDSNIAAQIIKVIDDSFELVSTYNGQDMKRKVGELDLPQQDDQKSVETLVPPDPGEPSSRSPISEETEPNLDPPKAAQRYRLLHSTTRSNLLATLVQKLSIQLEKELALRWEAPHRETCNVCTYAVSEPLNSLIEYMEDSLNECKSHVWRDCKGCQMMKSEYDDDDYCKDCLPCFNGKAFLKACDHCLSFDHSWQADWGKGPTTSGCSKCRVCKTCIWVKKKKTPKTPYNPGLCEPHYSNRCKNCELLQKTAQHQRGCVHCFKCRRCCWNELQDELPRENEELCFKHFPECCVQCYVFEVHGSSACLFCVVRPTIPVSTLIGLGGMEWVNEAECDEKFDVRIRHVGDAPIKQKSSNGNSLTSLINLTGANEQKNVPPPKEKEKPKQKVPISPRPAVKKQKSQEPGSEPPPPQKKKPESPTTKPVEKRPPGTTPPQPPIVLAPQSVQKSSKRSAPTTAQKSEPGPASVNGEESKEEVPKRPPPESSSKKPAPDERASPTPKQSSDVVNKVVSSEKSDKKESTKSKKEKKKVAKAQKQLYAKSPMWQLNQCKRMKCCQKKINRGGFKPKDLCNTCVGCDEPVQCGPCQGCRRSRRGKKVPPEQPAKNRTVPKIPKIPPALPEADESGAISSKDDNGMSSLIKEREEWPLASLTPMDDPFVARKRGLAVAARRCVHKIRPGRVSTESSDSEQGQAKPQDTQKLSKSKEIKCLQAKAKKLLSAVRKDTMPLKDAQALMSGISSTSHKVTSSSPTSLCLTKEKELSPATPGLDLNTKELNGRGKKILIKTKVATTDGLTVSTIDTGSDHSSSKKRGTAKKASSSTAVDEGVVKEKSVRGVPYLASSSQIESGKKRKEKRSERKNEKERERDRERSKDKEVSKDADRDKSLEEPAKDKNRGRETQSGPTFWPFLPTPSSSSFPEAPASTQKRPRQNKEASEGSQTLSHSPFTFTPNLASTALPSVSISLSSSVSSPPTLPSLESSSLRGSRTRMSHSPSRPESRIPSFVKRKRKRNSVGSSSTGASTRNSPLTVSEFIRSHAESPTFRPARLLPSRKHMDFRTGSHLPSFLRASAATGSASFGSAFGVDEPTLPPPRFNDFIPKRILGEKAYKASSFYQPESRSHKLSTWRFTPERLISKRKGQMPATSAPLFPSALPITPPMLWPTHDSISSAPQLPPPPTLLPPPPSSSTQQQPASQLTSLKKTTRSGFSRESVSYRRSRRDNSENEPSQSIPLPPHVEPTDSREEPLVQIEESAQSDTSTDVSPSSSRSSDSSMQSSHQSAASSKESSGCSPASFRSNPTSELRAIKIPPDYDEDEIFRRPTKIIRSSIASVVPMIFQRINKVQTKVKRGQLQAAEKEIKKQAGKKARQLLQKAITADTRKSSPIIRVPKIRRPSQRVVTKDEKTLPPTGEKDKRVKQPKELESNILLGSPTKIRETSPPRGRRRVIQVGKRGIQLKGTKFKSKLPSLKGARLKKIKTAQQFTASPTRKIKKLSDEKNGKPLESIDKGHTIKIKVGPFTPPRGRKKEISKSDKKKIKDGSKTESKNLPSFVSPDSLIASNRDSGSRPRGRPKRPSTKVSPSRTPSRVRVEEDSGFGLFQEDEGSGGGGGSEGFVARFRRLNTGIAASVQLAADFGPGVRLLAPGSSASSSSSSSSSASSSSAASAAAAGGPVGAGSSGAAAAAAAVAPPSPPAGPGGGGVPPGPPLLLAPVRQRPAGGLGRRGGGGGGGTTGPRAPFRWRCSHAM
+>sp|Q4FZB7|KMT5B_HUMAN Histone-lysine N-methyltransferase KMT5B OS=Homo sapiens OX=9606 GN=KMT5B PE=1 SV=4
+MKWLGESKIMVVNGRRNGGKLSNDHQQNQSKLQHTGKDTLKAGKNAVERRSNRCNGNSGFEGQSRYVPSSGMSAKELCENDDLATSLVLDPYLGFQTHKMNTSAFPSRSSRHFSKSDSFSHNNPVRFRPIKGRQEELKEVIERFKKDEHLEKAFKCLTSGEWARHYFLNKNKMQEKLFKEHVFIYLRMFATDSGFEILPCNRYSSEQNGAKIVATKEWKRNDKIELLVGCIAELSEIEENMLLRHGENDFSVMYSTRKNCAQLWLGPAAFINHDCRPNCKFVSTGRDTACVKALRDIEPGEEISCYYGDGFFGENNEFCECYTCERRGTGAFKSRVGLPAPAPVINSKYGLRETDKRLNRLKKLGDSSKNSDSQSVSSNTDADTTQEKNNATSNRKSSVGVKKNSKSRTLTRQSMSRIPASSNSTSSKLTHINNSRVPKKLKKPAKPLLSKIKLRNHCKRLEQKNASRKLEMGNLVLKEPKVVLYKNLPIKKDKEPEGPAQAAVASGCLTRHAAREHRQNPVRGAHSQGESSPCTYITRRSVRTRTNLKEASDIKLEPNTLNGYKSSVTEPCPDSGEQLQPAPVLQEEELAHETAQKGEAKCHKSDTGMSKKKSRQGKLVKQFAKIEESTPVHDSPGKDDAVPDLMGPHSDQGEHSGTVGVPVSYTDCAPSPVGCSVVTSDSFKTKDSFRTAKSKKKRRITRYDAQLILENNSGIPKLTLRRRHDSSSKTNDQENDGMNSSKISIKLSKDHDNDNNLYVAKLNNGFNSGSGSSSTKLKIQLKRDEENRGSYTEGLHENGVCCSDPLSLLESRMEVDDYSQYEEESTDDSSSSEGDEEEDDYDDDFEDDFIPLPPAKRLRLIVGKDSIDIDISSRRREDQSLRLNA
+>DECOY_sp|Q4FZB7|KMT5B_HUMAN Histone-lysine N-methyltransferase KMT5B OS=Homo sapiens OX=9606 GN=KMT5B PE=1 SV=4
+ANLRLSQDERRRSSIDIDISDKGVILRLRKAPPLPIFDDEFDDDYDDEEEDGESSSSDDTSEEEYQSYDDVEMRSELLSLPDSCCVGNEHLGETYSGRNEEDRKLQIKLKTSSSGSGSNFGNNLKAVYLNNDNDHDKSLKISIKSSNMGDNEQDNTKSSSDHRRRLTLKPIGSNNELILQADYRTIRRKKKSKATRFSDKTKFSDSTVVSCGVPSPACDTYSVPVGVTGSHEGQDSHPGMLDPVADDKGPSDHVPTSEEIKAFQKVLKGQRSKKKSMGTDSKHCKAEGKQATEHALEEEQLVPAPQLQEGSDPCPETVSSKYGNLTNPELKIDSAEKLNTRTRVSRRTIYTCPSSEGQSHAGRVPNQRHERAAHRTLCGSAVAAQAPGEPEKDKKIPLNKYLVVKPEKLVLNGMELKRSANKQELRKCHNRLKIKSLLPKAPKKLKKPVRSNNIHTLKSSTSNSSAPIRSMSQRTLTRSKSNKKVGVSSKRNSTANNKEQTTDADTNSSVSQSDSNKSSDGLKKLRNLRKDTERLGYKSNIVPAPAPLGVRSKFAGTGRRECTYCECFENNEGFFGDGYYCSIEEGPEIDRLAKVCATDRGTSVFKCNPRCDHNIFAAPGLWLQACNKRTSYMVSFDNEGHRLLMNEEIESLEAICGVLLEIKDNRKWEKTAVIKAGNQESSYRNCPLIEFGSDTAFMRLYIFVHEKFLKEQMKNKNLFYHRAWEGSTLCKFAKELHEDKKFREIVEKLEEQRGKIPRFRVPNNHSFSDSKSFHRSSRSPFASTNMKHTQFGLYPDLVLSTALDDNECLEKASMGSSPVYRSQGEFGSNGNCRNSRREVANKGAKLTDKGTHQLKSQNQQHDNSLKGGNRRGNVVMIKSEGLWKM
+>sp|Q86Y97|KMT5C_HUMAN Histone-lysine N-methyltransferase KMT5C OS=Homo sapiens OX=9606 GN=KMT5C PE=1 SV=1
+MGPDRVTARELCENDDLATSLVLDPYLGFRTHKMNVSPVPPLRRQQHLRSALETFLRQRDLEAAYRALTLGGWTARYFQSRGPRQEAALKTHVYRYLRAFLPESGFTILPCTRYSMETNGAKIVSTRAWKKNEKLELLVGCIAELREADEGLLRAGENDFSIMYSTRKRSAQLWLGPAAFINHDCKPNCKFVPADGNAACVKVLRDIEPGDEVTCFYGEGFFGEKNEHCECHTCERKGEGAFRTRPREPALPPRPLDKYQLRETKRRLQQGLDSGSRQGLLGPRACVHPSPLRRDPFCAACQPLRLPACSARPDTSPLWLQWLPQPQPRVRPRKRRRPRPRRAPVLSTHHAARVSLHRWGGCGPHCRLRGEALVALGQPPHARWAPQQDWHWARRYGLPYVVRVDLRRLAPAPPATPAPAGTPGPILIPKQALAFAPFSPPKRLRLVVSHGSIDLDVGGEEL
+>DECOY_sp|Q86Y97|KMT5C_HUMAN Histone-lysine N-methyltransferase KMT5C OS=Homo sapiens OX=9606 GN=KMT5C PE=1 SV=1
+LEEGGVDLDISGHSVVLRLRKPPSFPAFALAQKPILIPGPTGAPAPTAPPAPALRRLDVRVVYPLGYRRAWHWDQQPAWRAHPPQGLAVLAEGRLRCHPGCGGWRHLSVRAAHHTSLVPARRPRPRRRKRPRVRPQPQPLWQLWLPSTDPRASCAPLRLPQCAACFPDRRLPSPHVCARPGLLGQRSGSDLGQQLRRKTERLQYKDLPRPPLAPERPRTRFAGEGKRECTHCECHENKEGFFGEGYFCTVEDGPEIDRLVKVCAANGDAPVFKCNPKCDHNIFAAPGLWLQASRKRTSYMISFDNEGARLLGEDAERLEAICGVLLELKENKKWARTSVIKAGNTEMSYRTCPLITFGSEPLFARLYRYVHTKLAAEQRPGRSQFYRATWGGLTLARYAAELDRQRLFTELASRLHQQRRLPPVPSVNMKHTRFGLYPDLVLSTALDDNECLERATVRDPGM
+>sp|Q15139|KPCD1_HUMAN Serine/threonine-protein kinase D1 OS=Homo sapiens OX=9606 GN=PRKD1 PE=1 SV=2
+MSAPPVLRPPSPLLPVAAAAAAAAAALVPGSGPGPAPFLAPVAAPVGGISFHLQIGLSREPVLLLQDSSGDYSLAHVREMACSIVDQKFPECGFYGMYDKILLFRHDPTSENILQLVKAASDIQEGDLIEVVLSASATFEDFQIRPHALFVHSYRAPAFCDHCGEMLWGLVRQGLKCEGCGLNYHKRCAFKIPNNCSGVRRRRLSNVSLTGVSTIRTSSAELSTSAPDEPLLQKSPSESFIGREKRSNSQSYIGRPIHLDKILMSKVKVPHTFVIHSYTRPTVCQYCKKLLKGLFRQGLQCKDCRFNCHKRCAPKVPNNCLGEVTINGDLLSPGAESDVVMEEGSDDNDSERNSGLMDDMEEAMVQDAEMAMAECQNDSGEMQDPDPDHEDANRTISPSTSNNIPLMRVVQSVKHTKRKSSTVMKEGWMVHYTSKDTLRKRHYWRLDSKCITLFQNDTGSRYYKEIPLSEILSLEPVKTSALIPNGANPHCFEITTANVVYYVGENVVNPSSPSPNNSVLTSGVGADVARMWEIAIQHALMPVIPKGSSVGTGTNLHRDISVSISVSNCQIQENVDISTVYQIFPDEVLGSGQFGIVYGGKHRKTGRDVAIKIIDKLRFPTKQESQLRNEVAILQNLHHPGVVNLECMFETPERVFVVMEKLHGDMLEMILSSEKGRLPEHITKFLITQILVALRHLHFKNIVHCDLKPENVLLASADPFPQVKLCDFGFARIIGEKSFRRSVVGTPAYLAPEVLRNKGYNRSLDMWSVGVIIYVSLSGTFPFNEDEDIHDQIQNAAFMYPPNPWKEISHEAIDLINNLLQVKMRKRYSVDKTLSHPWLQDYQTWLDLRELECKIGERYITHESDDLRWEKYAGEQGLQYPTHLINPSASHSDTPETEETEMKALGERVSIL
+>DECOY_sp|Q15139|KPCD1_HUMAN Serine/threonine-protein kinase D1 OS=Homo sapiens OX=9606 GN=PRKD1 PE=1 SV=2
+LISVREGLAKMETEETEPTDSHSASPNILHTPYQLGQEGAYKEWRLDDSEHTIYREGIKCELERLDLWTQYDQLWPHSLTKDVSYRKRMKVQLLNNILDIAEHSIEKWPNPPYMFAANQIQDHIDEDENFPFTGSLSVYIIVGVSWMDLSRNYGKNRLVEPALYAPTGVVSRRFSKEGIIRAFGFDCLKVQPFPDASALLVNEPKLDCHVINKFHLHRLAVLIQTILFKTIHEPLRGKESSLIMELMDGHLKEMVVFVREPTEFMCELNVVGPHHLNQLIAVENRLQSEQKTPFRLKDIIKIAVDRGTKRHKGGYVIGFQGSGLVEDPFIQYVTSIDVNEQIQCNSVSISVSIDRHLNTGTGVSSGKPIVPMLAHQIAIEWMRAVDAGVGSTLVSNNPSPSSPNVVNEGVYYVVNATTIEFCHPNAGNPILASTKVPELSLIESLPIEKYYRSGTDNQFLTICKSDLRWYHRKRLTDKSTYHVMWGEKMVTSSKRKTHKVSQVVRMLPINNSTSPSITRNADEHDPDPDQMEGSDNQCEAMAMEADQVMAEEMDDMLGSNRESDNDDSGEEMVVDSEAGPSLLDGNITVEGLCNNPVKPACRKHCNFRCDKCQLGQRFLGKLLKKCYQCVTPRTYSHIVFTHPVKVKSMLIKDLHIPRGIYSQSNSRKERGIFSESPSKQLLPEDPASTSLEASSTRITSVGTLSVNSLRRRRVGSCNNPIKFACRKHYNLGCGECKLGQRVLGWLMEGCHDCFAPARYSHVFLAHPRIQFDEFTASASLVVEILDGEQIDSAAKVLQLINESTPDHRFLLIKDYMGYFGCEPFKQDVISCAMERVHALSYDGSSDQLLLVPERSLGIQLHFSIGGVPAAVPALFPAPGPGSGPVLAAAAAAAAAAVPLLPSPPRLVPPASM
+>sp|Q9BZL6|KPCD2_HUMAN Serine/threonine-protein kinase D2 OS=Homo sapiens OX=9606 GN=PRKD2 PE=1 SV=3
+MATAPSYPAGLPGSPGPGSPPPPGGLELQSPPPLLPQIPAPGSGVSFHIQIGLTREFVLLPAASELAHVKQLACSIVDQKFPECGFYGLYDKILLFKHDPTSANLLQLVRSSGDIQEGDLVEVVLSASATFEDFQIRPHALTVHSYRAPAFCDHCGEMLFGLVRQGLKCDGCGLNYHKRCAFSIPNNCSGARKRRLSSTSLASGHSVRLGTSESLPCTAEELSRSTTELLPRRPPSSSSSSSASSYTGRPIELDKMLLSKVKVPHTFLIHSYTRPTVCQACKKLLKGLFRQGLQCKDCKFNCHKRCATRVPNDCLGEALINGDVPMEEATDFSEADKSALMDESEDSGVIPGSHSENALHASEEEEGEGGKAQSSLGYIPLMRVVQSVRHTTRKSSTTLREGWVVHYSNKDTLRKRHYWRLDCKCITLFQNNTTNRYYKEIPLSEILTVESAQNFSLVPPGTNPHCFEIVTANATYFVGEMPGGTPGGPSGQGAEAARGWETAIRQALMPVILQDAPSAPGHAPHRQASLSISVSNSQIQENVDIATVYQIFPDEVLGSGQFGVVYGGKHRKTGRDVAVKVIDKLRFPTKQESQLRNEVAILQSLRHPGIVNLECMFETPEKVFVVMEKLHGDMLEMILSSEKGRLPERLTKFLITQILVALRHLHFKNIVHCDLKPENVLLASADPFPQVKLCDFGFARIIGEKSFRRSVVGTPAYLAPEVLLNQGYNRSLDMWSVGVIMYVSLSGTFPFNEDEDINDQIQNAAFMYPASPWSHISAGAIDLINNLLQVKMRKRYSVDKSLSHPWLQEYQTWLDLRELEGKMGERYITHESDDARWEQFAAEHPLPGSGLPTDRDLGGACPPQDHDMQGLAERISVL
+>DECOY_sp|Q9BZL6|KPCD2_HUMAN Serine/threonine-protein kinase D2 OS=Homo sapiens OX=9606 GN=PRKD2 PE=1 SV=3
+LVSIREALGQMDHDQPPCAGGLDRDTPLGSGPLPHEAAFQEWRADDSEHTIYREGMKGELERLDLWTQYEQLWPHSLSKDVSYRKRMKVQLLNNILDIAGASIHSWPSAPYMFAANQIQDNIDEDENFPFTGSLSVYMIVGVSWMDLSRNYGQNLLVEPALYAPTGVVSRRFSKEGIIRAFGFDCLKVQPFPDASALLVNEPKLDCHVINKFHLHRLAVLIQTILFKTLREPLRGKESSLIMELMDGHLKEMVVFVKEPTEFMCELNVIGPHRLSQLIAVENRLQSEQKTPFRLKDIVKVAVDRGTKRHKGGYVVGFQGSGLVEDPFIQYVTAIDVNEQIQSNSVSISLSAQRHPAHGPASPADQLIVPMLAQRIATEWGRAAEAGQGSPGGPTGGPMEGVFYTANATVIEFCHPNTGPPVLSFNQASEVTLIESLPIEKYYRNTTNNQFLTICKCDLRWYHRKRLTDKNSYHVVWGERLTTSSKRTTHRVSQVVRMLPIYGLSSQAKGGEGEEEESAHLANESHSGPIVGSDESEDMLASKDAESFDTAEEMPVDGNILAEGLCDNPVRTACRKHCNFKCDKCQLGQRFLGKLLKKCAQCVTPRTYSHILFTHPVKVKSLLMKDLEIPRGTYSSASSSSSSSPPRRPLLETTSRSLEEATCPLSESTGLRVSHGSALSTSSLRRKRAGSCNNPISFACRKHYNLGCGDCKLGQRVLGFLMEGCHDCFAPARYSHVTLAHPRIQFDEFTASASLVVEVLDGEQIDGSSRVLQLLNASTPDHKFLLIKDYLGYFGCEPFKQDVISCALQKVHALESAAPLLVFERTLGIQIHFSVGSGPAPIQPLLPPPSQLELGGPPPPSGPGPSGPLGAPYSPATAM
+>sp|P60014|KR10A_HUMAN Keratin-associated protein 10-10 OS=Homo sapiens OX=9606 GN=KRTAP10-10 PE=2 SV=1
+MAASTMSICSSACTDSWRVVDCPESCCEPCCCAPAPSLTLVCTPVSCVSSPCCQTACEPSACQSGYTSSCTTPCYQQSSCQPDCCTSSPCQQACCVPVCCVPVCCVPVCNKPVCFVPTCSESSPSCCQQSSCQPTCCTSSPCQQACCVPVCSKSVCYVPVCSGASTSCCQQSSCQPACCTASCCRPSSSVSLLCHPVCKSTCCVPVPSCGASASSCQPSCCRTASCVSLLCRPVCSRPACYSLCSGQKSSC
+>DECOY_sp|P60014|KR10A_HUMAN Keratin-associated protein 10-10 OS=Homo sapiens OX=9606 GN=KRTAP10-10 PE=2 SV=1
+CSSKQGSCLSYCAPRSCVPRCLLSVCSATRCCSPQCSSASAGCSPVPVCCTSKCVPHCLLSVSSSPRCCSATCCAPQCSSQQCCSTSAGSCVPVYCVSKSCVPVCCAQQCPSSTCCTPQCSSQQCCSPSSESCTPVFCVPKNCVPVCCVPVCCVPVCCAQQCPSSTCCDPQCSSQQYCPTTCSSTYGSQCASPECATQCCPSSVCSVPTCVLTLSPAPACCCPECCSEPCDVVRWSDTCASSCISMTSAAM
+>sp|P60413|KR10C_HUMAN Keratin-associated protein 10-12 OS=Homo sapiens OX=9606 GN=KRTAP10-12 PE=2 SV=1
+MSVCSSDLSYGSRVCLPGSCDSCSDSWQVDDCPESCCEPPCCAPAPCLSLVCTPVSRVSSPCCRVTCEPSPCQSGCTSSCTPSCCQQSSCQPACCTSSPCQQACCVPVCCKTVCCKPVCCMPVCCGPSSSCCQQSSCQPACCISSPCQQSCCVPVCCKPICCVPVCSGASSLCCQQSSCQPACCTTSCCRPSSSVSLLCRPVCRPARRVPVPSCCVPTSSCQPSCGRLASCGSLLCRPTCSRLAC
+>DECOY_sp|P60413|KR10C_HUMAN Keratin-associated protein 10-12 OS=Homo sapiens OX=9606 GN=KRTAP10-12 PE=2 SV=1
+CALRSCTPRCLLSGCSALRGCSPQCSSTPVCCSPVPVRRAPRCVPRCLLSVSSSPRCCSTTCCAPQCSSQQCCLSSAGSCVPVCCIPKCCVPVCCSQQCPSSICCAPQCSSQQCCSSSPGCCVPMCCVPKCCVTKCCVPVCCAQQCPSSTCCAPQCSSQQCCSPTCSSTCGSQCPSPECTVRCCPSSVRSVPTCVLSLCPAPACCPPECCSEPCDDVQWSDSCSDCSGPLCVRSGYSLDSSCVSM
+>sp|P60329|KR124_HUMAN Keratin-associated protein 12-4 OS=Homo sapiens OX=9606 GN=KRTAP12-4 PE=1 SV=1
+MCHTSHSSGCPMACPGSPCCVPSTCYPPEGYGTSCCCSAPCVALLCRPLCGVSTCCQPACCVPSPCQVACCVPVSCKPVLCVASFCPTSGCCQPFCPTLVYRPVTWSTPTGC
+>DECOY_sp|P60329|KR124_HUMAN Keratin-associated protein 12-4 OS=Homo sapiens OX=9606 GN=KRTAP12-4 PE=1 SV=1
+CGTPTSWTVPRYVLTPCFPQCCGSTPCFSAVCLVPKCSVPVCCAVQCPSPVCCAPQCCTSVGCLPRCLLAVCPASCCCSTGYGEPPYCTSPVCCPSGPCAMPCGSSHSTHCM
+>sp|P17301|ITA2_HUMAN Integrin alpha-2 OS=Homo sapiens OX=9606 GN=ITGA2 PE=1 SV=1
+MGPERTGAAPLPLLLVLALSQGILNCCLAYNVGLPEAKIFSGPSSEQFGYAVQQFINPKGNWLLVGSPWSGFPENRMGDVYKCPVDLSTATCEKLNLQTSTSIPNVTEMKTNMSLGLILTRNMGTGGFLTCGPLWAQQCGNQYYTTGVCSDISPDFQLSASFSPATQPCPSLIDVVVVCDESNSIYPWDAVKNFLEKFVQGLDIGPTKTQVGLIQYANNPRVVFNLNTYKTKEEMIVATSQTSQYGGDLTNTFGAIQYARKYAYSAASGGRRSATKVMVVVTDGESHDGSMLKAVIDQCNHDNILRFGIAVLGYLNRNALDTKNLIKEIKAIASIPTERYFFNVSDEAALLEKAGTLGEQIFSIEGTVQGGDNFQMEMSQVGFSADYSSQNDILMLGAVGAFGWSGTIVQKTSHGHLIFPKQAFDQILQDRNHSSYLGYSVAAISTGESTHFVAGAPRANYTGQIVLYSVNENGNITVIQAHRGDQIGSYFGSVLCSVDVDKDTITDVLLVGAPMYMSDLKKEEGRVYLFTIKKGILGQHQFLEGPEGIENTRFGSAIAALSDINMDGFNDVIVGSPLENQNSGAVYIYNGHQGTIRTKYSQKILGSDGAFRSHLQYFGRSLDGYGDLNGDSITDVSIGAFGQVVQLWSQSIADVAIEASFTPEKITLVNKNAQIILKLCFSAKFRPTKQNNQVAIVYNITLDADGFSSRVTSRGLFKENNERCLQKNMVVNQAQSCPEHIIYIQEPSDVVNSLDLRVDISLENPGTSPALEAYSETAKVFSIPFHKDCGEDGLCISDLVLDVRQIPAAQEQPFIVSNQNKRLTFSVTLKNKRESAYNTGIVVDFSENLFFASFSLPVDGTEVTCQVAASQKSVACDVGYPALKREQQVTFTINFDFNLQNLQNQASLSFQALSESQEENKADNLVNLKIPLLYDAEIHLTRSTNINFYEISSDGNVPSIVHSFEDVGPKFIFSLKVTTGSVPVSMATVIIHIPQYTKEKNPLMYLTGVQTDKAGDISCNADINPLKIGQTSSSVSFKSENFRHTKELNCRTASCSNVTCWLKDVHMKGEYFVNVTTRIWNGTFASSTFQTVQLTAAAEINTYNPEIYVIEDNTVTIPLMIMKPDEKAEVPTGVIIGSIIAGILLLLALVAILWKLGFFKRKYEKMTKNPDEIDETTELSS
+>DECOY_sp|P17301|ITA2_HUMAN Integrin alpha-2 OS=Homo sapiens OX=9606 GN=ITGA2 PE=1 SV=1
+SSLETTEDIEDPNKTMKEYKRKFFGLKWLIAVLALLLLIGAIISGIIVGTPVEAKEDPKMIMLPITVTNDEIVYIEPNYTNIEAAATLQVTQFTSSAFTGNWIRTTVNVFYEGKMHVDKLWCTVNSCSATRCNLEKTHRFNESKFSVSSSTQGIKLPNIDANCSIDGAKDTQVGTLYMLPNKEKTYQPIHIIVTAMSVPVSGTTVKLSFIFKPGVDEFSHVISPVNGDSSIEYFNINTSRTLHIEADYLLPIKLNVLNDAKNEEQSESLAQFSLSAQNQLNQLNFDFNITFTVQQERKLAPYGVDCAVSKQSAAVQCTVETGDVPLSFSAFFLNESFDVVIGTNYASERKNKLTVSFTLRKNQNSVIFPQEQAAPIQRVDLVLDSICLGDEGCDKHFPISFVKATESYAELAPSTGPNELSIDVRLDLSNVVDSPEQIYIIHEPCSQAQNVVMNKQLCRENNEKFLGRSTVRSSFGDADLTINYVIAVQNNQKTPRFKASFCLKLIIQANKNVLTIKEPTFSAEIAVDAISQSWLQVVQGFAGISVDTISDGNLDGYGDLSRGFYQLHSRFAGDSGLIKQSYKTRITGQHGNYIYVAGSNQNELPSGVIVDNFGDMNIDSLAAIASGFRTNEIGEPGELFQHQGLIGKKITFLYVRGEEKKLDSMYMPAGVLLVDTITDKDVDVSCLVSGFYSGIQDGRHAQIVTINGNENVSYLVIQGTYNARPAGAVFHTSEGTSIAAVSYGLYSSHNRDQLIQDFAQKPFILHGHSTKQVITGSWGFAGVAGLMLIDNQSSYDASFGVQSMEMQFNDGGQVTGEISFIQEGLTGAKELLAAEDSVNFFYRETPISAIAKIEKILNKTDLANRNLYGLVAIGFRLINDHNCQDIVAKLMSGDHSEGDTVVVMVKTASRRGGSAASYAYKRAYQIAGFTNTLDGGYQSTQSTAVIMEEKTKYTNLNFVVRPNNAYQILGVQTKTPGIDLGQVFKELFNKVADWPYISNSEDCVVVVDILSPCPQTAPSFSASLQFDPSIDSCVGTTYYQNGCQQAWLPGCTLFGGTGMNRTLILGLSMNTKMETVNPISTSTQLNLKECTATSLDVPCKYVDGMRNEPFGSWPSGVLLWNGKPNIFQQVAYGFQESSPGSFIKAEPLGVNYALCCNLIGQSLALVLLLPLPAAGTREPGM
+>sp|P53708|ITA8_HUMAN Integrin alpha-8 OS=Homo sapiens OX=9606 GN=ITGA8 PE=1 SV=3
+MSPGASRGPRGSQAPLIAPLCCAAAALGMLLWSPACQAFNLDVEKLTVYSGPKGSYFGYAVDFHIPDARTASVLVGAPKANTSQPDIVEGGAVYYCPWPAEGSAQCRQIPFDTTNNRKIRVNGTKEPIEFKSNQWFGATVKAHKGKVVACAPLYHWRTLKPTPEKDPVGTCYVAIQNFSAYAEFSPCRNSNADPEGQGYCQAGFSLDFYKNGDLIVGGPGSFYWQGQVITASVADIIANYSFKDILRKLAGEKQTEVAPASYDDSYLGYSVAAGEFTGDSQQELVAGIPRGAQNFGYVSIINSTDMTFIQNFTGEQMASYFGYTVVVSDVNSDGLDDVLVGAPLFMEREFESNPREVGQIYLYLQVSSLLFRDPQILTGTETFGRFGSAMAHLGDLNQDGYNDIAIGVPFAGKDQRGKVLIYNGNKDGLNTKPSQVLQGVWASHAVPSGFGFTLRGDSDIDKNDYPDLIVGAFGTGKVAVYRARPVVTVDAQLLLHPMIINLENKTCQVPDSMTSAACFSLRVCASVTGQSIANTIVLMAEVQLDSLKQKGAIKRTLFLDNHQAHRVFPLVIKRQKSHQCQDFIVYLRDETEFRDKLSPINISLNYSLDESTFKEGLEVKPILNYYRENIVSEQAHILVDCGEDNLCVPDLKLSARPDKHQVIIGDENHLMLIINARNEGEGAYEAELFVMIPEEADYVGIERNNKGFRPLSCEYKMENVTRMVVCDLGNPMVSGTNYSLGLRFAVPRLEKTNMSINFDLQIRSSNKDNPDSNFVSLQINITAVAQVEIRGVSHPPQIVLPIHNWEPEEEPHKEEEVGPLVEHIYELHNIGPSTISDTILEVGWPFSARDEFLLYIFHIQTLGPLQCQPNPNINPQDIKPAASPEDTPELSAFLRNSTIPHLVRKRDVHVVEFHRQSPAKILNCTNIECLQISCAVGRLEGGESAVLKVRSRLWAHTFLQRKNDPYALASLVSFEVKKMPYTDQPAKLPEGSIVIKTSVIWATPNVSFSIPLWVIILAILLGLLVLAILTLALWKCGFFDRARPPQEDMTDREQLTNDKTPEA
+>DECOY_sp|P53708|ITA8_HUMAN Integrin alpha-8 OS=Homo sapiens OX=9606 GN=ITGA8 PE=1 SV=3
+AEPTKDNTLQERDTMDEQPPRARDFFGCKWLALTLIALVLLGLLIALIIVWLPISFSVNPTAWIVSTKIVISGEPLKAPQDTYPMKKVEFSVLSALAYPDNKRQLFTHAWLRSRVKLVASEGGELRGVACSIQLCEINTCNLIKAPSQRHFEVVHVDRKRVLHPITSNRLFASLEPTDEPSAAPKIDQPNINPNPQCQLPGLTQIHFIYLLFEDRASFPWGVELITDSITSPGINHLEYIHEVLPGVEEEKHPEEEPEWNHIPLVIQPPHSVGRIEVQAVATINIQLSVFNSDPNDKNSSRIQLDFNISMNTKELRPVAFRLGLSYNTGSVMPNGLDCVVMRTVNEMKYECSLPRFGKNNREIGVYDAEEPIMVFLEAEYAGEGENRANIILMLHNEDGIIVQHKDPRASLKLDPVCLNDEGCDVLIHAQESVINERYYNLIPKVELGEKFTSEDLSYNLSINIPSLKDRFETEDRLYVIFDQCQHSKQRKIVLPFVRHAQHNDLFLTRKIAGKQKLSDLQVEAMLVITNAISQGTVSACVRLSFCAASTMSDPVQCTKNELNIIMPHLLLQADVTVVPRARYVAVKGTGFAGVILDPYDNKDIDSDGRLTFGFGSPVAHSAWVGQLVQSPKTNLGDKNGNYILVKGRQDKGAFPVGIAIDNYGDQNLDGLHAMASGFRGFTETGTLIQPDRFLLSSVQLYLYIQGVERPNSEFEREMFLPAGVLVDDLGDSNVDSVVVTYGFYSAMQEGTFNQIFTMDTSNIISVYGFNQAGRPIGAVLEQQSDGTFEGAAVSYGLYSDDYSAPAVETQKEGALKRLIDKFSYNAIIDAVSATIVQGQWYFSGPGGVILDGNKYFDLSFGAQCYGQGEPDANSNRCPSFEAYASFNQIAVYCTGVPDKEPTPKLTRWHYLPACAVVKGKHAKVTAGFWQNSKFEIPEKTGNVRIKRNNTTDFPIQRCQASGEAPWPCYYVAGGEVIDPQSTNAKPAGVLVSATRADPIHFDVAYGFYSGKPGSYVTLKEVDLNFAQCAPSWLLMGLAAAACCLPAILPAQSGRPGRSAGPSM
+>sp|P38570|ITAE_HUMAN Integrin alpha-E OS=Homo sapiens OX=9606 GN=ITGAE PE=1 SV=3
+MWLFHTLLCIASLALLAAFNVDVARPWLTPKGGAPFVLSSLLHQDPSTNQTWLLVTSPRTKRTPGPLHRCSLVQDEILCHPVEHVPIPKGRHRGVTVVRSHHGVLICIQVLVRRPHSLSSELTGTCSLLGPDLRPQAQANFFDLENLLDPDARVDTGDCYSNKEGGGEDDVNTARQRRALEKEEEEDKEEEEDEEEEEAGTEIAIILDGSGSIDPPDFQRAKDFISNMMRNFYEKCFECNFALVQYGGVIQTEFDLRDSQDVMASLARVQNITQVGSVTKTASAMQHVLDSIFTSSHGSRRKASKVMVVLTDGGIFEDPLNLTTVINSPKMQGVERFAIGVGEEFKSARTARELNLIASDPDETHAFKVTNYMALDGLLSKLRYNIISMEGTVGDALHYQLAQIGFSAQILDERQVLLGAVGAFDWSGGALLYDTRSRRGRFLNQTAAAAADAEAAQYSYLGYAVAVLHKTCSLSYIAGAPRYKHHGAVFELQKEGREASFLPVLEGEQMGSYFGSELCPVDIDMDGSTDFLLVAAPFYHVHGEEGRVYVYRLSEQDGSFSLARILSGHPGFTNARFGFAMAAMGDLSQDKLTDVAIGAPLEGFGADDGASFGSVYIYNGHWDGLSASPSQRIRASTVAPGLQYFGMSMAGGFDISGDGLADITVGTLGQAVVFRSRPVVRLKVSMAFTPSALPIGFNGVVNVRLCFEISSVTTASESGLREALLNFTLDVDVGKQRRRLQCSDVRSCLGCLREWSSGSQLCEDLLLMPTEGELCEEDCFSNASVKVSYQLQTPEGQTDHPQPILDRYTEPFAIFQLPYEKACKNKLFCVAELQLATTVSQQELVVGLTKELTLNINLTNSGEDSYMTSMALNYPRNLQLKRMQKPPSPNIQCDDPQPVASVLIMNCRIGHPVLKRSSAHVSVVWQLEENAFPNRTADITVTVTNSNERRSLANETHTLQFRHGFVAVLSKPSIMYVNTGQGLSHHKEFLFHVHGENLFGAEYQLQICVPTKLRGLQVVAVKKLTRTQASTVCTWSQERACAYSSVQHVEEWHSVSCVIASDKENVTVAAEISWDHSEELLKDVTELQILGEISFNKSLYEGLNAENHRTKITVVFLKDEKYHSLPIIIKGSVGGLLVLIVILVILFKCGFFKRKYQQLNLESIRKAQLKSENLLEEEN
+>DECOY_sp|P38570|ITAE_HUMAN Integrin alpha-E OS=Homo sapiens OX=9606 GN=ITGAE PE=1 SV=3
+NEEELLNESKLQAKRISELNLQQYKRKFFGCKFLIVLIVILVLLGGVSGKIIIPLSHYKEDKLFVVTIKTRHNEANLGEYLSKNFSIEGLIQLETVDKLLEESHDWSIEAAVTVNEKDSAIVCSVSHWEEVHQVSSYACAREQSWTCVTSAQTRTLKKVAVVQLGRLKTPVCIQLQYEAGFLNEGHVHFLFEKHHSLGQGTNVYMISPKSLVAVFGHRFQLTHTENALSRRENSNTVTVTIDATRNPFANEELQWVVSVHASSRKLVPHGIRCNMILVSAVPQPDDCQINPSPPKQMRKLQLNRPYNLAMSTMYSDEGSNTLNINLTLEKTLGVVLEQQSVTTALQLEAVCFLKNKCAKEYPLQFIAFPETYRDLIPQPHDTQGEPTQLQYSVKVSANSFCDEECLEGETPMLLLDECLQSGSSWERLCGLCSRVDSCQLRRRQKGVDVDLTFNLLAERLGSESATTVSSIEFCLRVNVVGNFGIPLASPTFAMSVKLRVVPRSRFVVAQGLTGVTIDALGDGSIDFGGAMSMGFYQLGPAVTSARIRQSPSASLGDWHGNYIYVSGFSAGDDAGFGELPAGIAVDTLKDQSLDGMAAMAFGFRANTFGPHGSLIRALSFSGDQESLRYVYVRGEEGHVHYFPAAVLLFDTSGDMDIDVPCLESGFYSGMQEGELVPLFSAERGEKQLEFVAGHHKYRPAGAIYSLSCTKHLVAVAYGLYSYQAAEADAAAAATQNLFRGRRSRTDYLLAGGSWDFAGVAGLLVQREDLIQASFGIQALQYHLADGVTGEMSIINYRLKSLLGDLAMYNTVKFAHTEDPDSAILNLERATRASKFEEGVGIAFREVGQMKPSNIVTTLNLPDEFIGGDTLVVMVKSAKRRSGHSSTFISDLVHQMASATKTVSGVQTINQVRALSAMVDQSDRLDFETQIVGGYQVLAFNCEFCKEYFNRMMNSIFDKARQFDPPDISGSGDLIIAIETGAEEEEEDEEEEKDEEEEKELARRQRATNVDDEGGGEKNSYCDGTDVRADPDLLNELDFFNAQAQPRLDPGLLSCTGTLESSLSHPRRVLVQICILVGHHSRVVTVGRHRGKPIPVHEVPHCLIEDQVLSCRHLPGPTRKTRPSTVLLWTQNTSPDQHLLSSLVFPAGGKPTLWPRAVDVNFAALLALSAICLLTHFLWM
+>sp|P20701|ITAL_HUMAN Integrin alpha-L OS=Homo sapiens OX=9606 GN=ITGAL PE=1 SV=3
+MKDSCITVMAMALLSGFFFFAPASSYNLDVRGARSFSPPRAGRHFGYRVLQVGNGVIVGAPGEGNSTGSLYQCQSGTGHCLPVTLRGSNYTSKYLGMTLATDPTDGSILACDPGLSRTCDQNTYLSGLCYLFRQNLQGPMLQGRPGFQECIKGNVDLVFLFDGSMSLQPDEFQKILDFMKDVMKKLSNTSYQFAAVQFSTSYKTEFDFSDYVKRKDPDALLKHVKHMLLLTNTFGAINYVATEVFREELGARPDATKVLIIITDGEATDSGNIDAAKDIIRYIIGIGKHFQTKESQETLHKFASKPASEFVKILDTFEKLKDLFTELQKKIYVIEGTSKQDLTSFNMELSSSGISADLSRGHAVVGAVGAKDWAGGFLDLKADLQDDTFIGNEPLTPEVRAGYLGYTVTWLPSRQKTSLLASGAPRYQHMGRVLLFQEPQGGGHWSQVQTIHGTQIGSYFGGELCGVDVDQDGETELLLIGAPLFYGEQRGGRVFIYQRRQLGFEEVSELQGDPGYPLGRFGEAITALTDINGDGLVDVAVGAPLEEQGAVYIFNGRHGGLSPQPSQRIEGTQVLSGIQWFGRSIHGVKDLEGDGLADVAVGAESQMIVLSSRPVVDMVTLMSFSPAEIPVHEVECSYSTSNKMKEGVNITICFQIKSLIPQFQGRLVANLTYTLQLDGHRTRRRGLFPGGRHELRRNIAVTTSMSCTDFSFHFPVCVQDLISPINVSLNFSLWEEEGTPRDQRAQGKDIPPILRPSLHSETWEIPFEKNCGEDKKCEANLRVSFSPARSRALRLTAFASLSVELSLSNLEEDAYWVQLDLHFPPGLSFRKVEMLKPHSQIPVSCEELPEESRLLSRALSCNVSSPIFKAGHSVALQMMFNTLVNSSWGDSVELHANVTCNNEDSDLLEDNSATTIIPILYPINILIQDQEDSTLYVSFTPKGPKIHQVKHMYQVRIQPSIHDHNIPTLEAVVGVPQPPSEGPITHQWSVQMEPPVPCHYEDLERLPDAAEPCLPGALFRCPVVFRQEILVQVIGTLELVGEIEASSMFSLCSSLSISFNSSKHFHLYGSNASLAQVVMKVDVVYEKQMLYLYVLSGIGGLLLLLLIFIVLYKVGFFKRNLKEKMEAGRGVPNGIPAEDSEQLASGQEAGDPGCLKPLHEKDSESGGGKD
+>DECOY_sp|P20701|ITAL_HUMAN Integrin alpha-L OS=Homo sapiens OX=9606 GN=ITGAL PE=1 SV=3
+DKGGGSESDKEHLPKLCGPDGAEQGSALQESDEAPIGNPVGRGAEMKEKLNRKFFGVKYLVIFILLLLLLGGIGSLVYLYLMQKEYVVDVKMVVQALSANSGYLHFHKSSNFSISLSSCLSFMSSAEIEGVLELTGIVQVLIEQRFVVPCRFLAGPLCPEAADPLRELDEYHCPVPPEMQVSWQHTIPGESPPQPVGVVAELTPINHDHISPQIRVQYMHKVQHIKPGKPTFSVYLTSDEQDQILINIPYLIPIITTASNDELLDSDENNCTVNAHLEVSDGWSSNVLTNFMMQLAVSHGAKFIPSSVNCSLARSLLRSEEPLEECSVPIQSHPKLMEVKRFSLGPPFHLDLQVWYADEELNSLSLEVSLSAFATLRLARSRAPSFSVRLNAECKKDEGCNKEFPIEWTESHLSPRLIPPIDKGQARQDRPTGEEEWLSFNLSVNIPSILDQVCVPFHFSFDTCSMSTTVAINRRLEHRGGPFLGRRRTRHGDLQLTYTLNAVLRGQFQPILSKIQFCITINVGEKMKNSTSYSCEVEHVPIEAPSFSMLTVMDVVPRSSLVIMQSEAGVAVDALGDGELDKVGHISRGFWQIGSLVQTGEIRQSPQPSLGGHRGNFIYVAGQEELPAGVAVDVLGDGNIDTLATIAEGFRGLPYGPDGQLESVEEFGLQRRQYIFVRGGRQEGYFLPAGILLLETEGDQDVDVGCLEGGFYSGIQTGHITQVQSWHGGGQPEQFLLVRGMHQYRPAGSALLSTKQRSPLWTVTYGLYGARVEPTLPENGIFTDDQLDAKLDLFGGAWDKAGVAGVVAHGRSLDASIGSSSLEMNFSTLDQKSTGEIVYIKKQLETFLDKLKEFTDLIKVFESAPKSAFKHLTEQSEKTQFHKGIGIIYRIIDKAADINGSDTAEGDTIIILVKTADPRAGLEERFVETAVYNIAGFTNTLLLMHKVHKLLADPDKRKVYDSFDFETKYSTSFQVAAFQYSTNSLKKMVDKMFDLIKQFEDPQLSMSGDFLFVLDVNGKICEQFGPRGQLMPGQLNQRFLYCLGSLYTNQDCTRSLGPDCALISGDTPDTALTMGLYKSTYNSGRLTVPLCHGTGSQCQYLSGTSNGEGPAGVIVGNGVQLVRYGFHRGARPPSFSRAGRVDLNYSSAPAFFFFGSLLAMAMVTICSDKM
+>sp|P11215|ITAM_HUMAN Integrin alpha-M OS=Homo sapiens OX=9606 GN=ITGAM PE=1 SV=2
+MALRVLLLTALTLCHGFNLDTENAMTFQENARGFGQSVVQLQGSRVVVGAPQEIVAANQRGSLYQCDYSTGSCEPIRLQVPVEAVNMSLGLSLAATTSPPQLLACGPTVHQTCSENTYVKGLCFLFGSNLRQQPQKFPEALRGCPQEDSDIAFLIDGSGSIIPHDFRRMKEFVSTVMEQLKKSKTLFSLMQYSEEFRIHFTFKEFQNNPNPRSLVKPITQLLGRTHTATGIRKVVRELFNITNGARKNAFKILVVITDGEKFGDPLGYEDVIPEADREGVIRYVIGVGDAFRSEKSRQELNTIASKPPRDHVFQVNNFEALKTIQNQLREKIFAIEGTQTGSSSSFEHEMSQEGFSAAITSNGPLLSTVGSYDWAGGVFLYTSKEKSTFINMTRVDSDMNDAYLGYAAAIILRNRVQSLVLGAPRYQHIGLVAMFRQNTGMWESNANVKGTQIGAYFGASLCSVDVDSNGSTDLVLIGAPHYYEQTRGGQVSVCPLPRGRARWQCDAVLYGEQGQPWGRFGAALTVLGDVNGDKLTDVAIGAPGEEDNRGAVYLFHGTSGSGISPSHSQRIAGSKLSPRLQYFGQSLSGGQDLTMDGLVDLTVGAQGHVLLLRSQPVLRVKAIMEFNPREVARNVFECNDQVVKGKEAGEVRVCLHVQKSTRDRLREGQIQSVVTYDLALDSGRPHSRAVFNETKNSTRRQTQVLGLTQTCETLKLQLPNCIEDPVSPIVLRLNFSLVGTPLSAFGNLRPVLAEDAQRLFTALFPFEKNCGNDNICQDDLSITFSFMSLDCLVVGGPREFNVTVTVRNDGEDSYRTQVTFFFPLDLSYRKVSTLQNQRSQRSWRLACESASSTEVSGALKSTSCSINHPIFPENSEVTFNITFDVDSKASLGNKLLLKANVTSENNMPRTNKTEFQLELPVKYAVYMVVTSHGVSTKYLNFTASENTSRVMQHQYQVSNLGQRSLPISLVFLVPVRLNQTVIWDRPQVTFSENLSSTCHTKERLPSHSDFLAELRKAPVVNCSIAVCQRIQCDIPFFGIQEEFNATLKGNLSFDWYIKTSHNHLLIVSTAEILFNDSVFTLLPGQGAFVRSQTETKVEPFEVPNPLPLIVGSSVGGLLLLALITAALYKLGFFKRQYKDMMSEGGPPGAEPQ
+>DECOY_sp|P11215|ITAM_HUMAN Integrin alpha-M OS=Homo sapiens OX=9606 GN=ITGAM PE=1 SV=2
+QPEAGPPGGESMMDKYQRKFFGLKYLAATILALLLLGGVSSGVILPLPNPVEFPEVKTETQSRVFAGQGPLLTFVSDNFLIEATSVILLHNHSTKIYWDFSLNGKLTANFEEQIGFFPIDCQIRQCVAISCNVVPAKRLEALFDSHSPLREKTHCTSSLNESFTVQPRDWIVTQNLRVPVLFVLSIPLSRQGLNSVQYQHQMVRSTNESATFNLYKTSVGHSTVVMYVAYKVPLELQFETKNTRPMNNESTVNAKLLLKNGLSAKSDVDFTINFTVESNEPFIPHNISCSTSKLAGSVETSSASECALRWSRQSRQNQLTSVKRYSLDLPFFFTVQTRYSDEGDNRVTVTVNFERPGGVVLCDLSMFSFTISLDDQCINDNGCNKEFPFLATFLRQADEALVPRLNGFASLPTGVLSFNLRLVIPSVPDEICNPLQLKLTECTQTLGLVQTQRRTSNKTENFVARSHPRGSDLALDYTVVSQIQGERLRDRTSKQVHLCVRVEGAEKGKVVQDNCEFVNRAVERPNFEMIAKVRLVPQSRLLLVHGQAGVTLDVLGDMTLDQGGSLSQGFYQLRPSLKSGAIRQSHSPSIGSGSTGHFLYVAGRNDEEGPAGIAVDTLKDGNVDGLVTLAAGFRGWPQGQEGYLVADCQWRARGRPLPCVSVQGGRTQEYYHPAGILVLDTSGNSDVDVSCLSAGFYAGIQTGKVNANSEWMGTNQRFMAVLGIHQYRPAGLVLSQVRNRLIIAAAYGLYADNMDSDVRTMNIFTSKEKSTYLFVGGAWDYSGVTSLLPGNSTIAASFGEQSMEHEFSSSSGTQTGEIAFIKERLQNQITKLAEFNNVQFVHDRPPKSAITNLEQRSKESRFADGVGIVYRIVGERDAEPIVDEYGLPDGFKEGDTIVVLIKFANKRAGNTINFLERVVKRIGTATHTRGLLQTIPKVLSRPNPNNQFEKFTFHIRFEESYQMLSFLTKSKKLQEMVTSVFEKMRRFDHPIISGSGDILFAIDSDEQPCGRLAEPFKQPQQRLNSGFLFCLGKVYTNESCTQHVTPGCALLQPPSTTAALSLGLSMNVAEVPVQLRIPECSGTSYDCQYLSGRQNAAVIEQPAGVVVRSGQLQVVSQGFGRANEQFTMANETDLNFGHCLTLATLLLVRLAM
+>sp|P06756|ITAV_HUMAN Integrin alpha-V OS=Homo sapiens OX=9606 GN=ITGAV PE=1 SV=2
+MAFPPRRRLRLGPRGLPLLLSGLLLPLCRAFNLDVDSPAEYSGPEGSYFGFAVDFFVPSASSRMFLLVGAPKANTTQPGIVEGGQVLKCDWSSTRRCQPIEFDATGNRDYAKDDPLEFKSHQWFGASVRSKQDKILACAPLYHWRTEMKQEREPVGTCFLQDGTKTVEYAPCRSQDIDADGQGFCQGGFSIDFTKADRVLLGGPGSFYWQGQLISDQVAEIVSKYDPNVYSIKYNNQLATRTAQAIFDDSYLGYSVAVGDFNGDGIDDFVSGVPRAARTLGMVYIYDGKNMSSLYNFTGEQMAAYFGFSVAATDINGDDYADVFIGAPLFMDRGSDGKLQEVGQVSVSLQRASGDFQTTKLNGFEVFARFGSAIAPLGDLDQDGFNDIAIAAPYGGEDKKGIVYIFNGRSTGLNAVPSQILEGQWAARSMPPSFGYSMKGATDIDKNGYPDLIVGAFGVDRAILYRARPVITVNAGLEVYPSILNQDNKTCSLPGTALKVSCFNVRFCLKADGKGVLPRKLNFQVELLLDKLKQKGAIRRALFLYSRSPSHSKNMTISRGGLMQCEELIAYLRDESEFRDKLTPITIFMEYRLDYRTAADTTGLQPILNQFTPANISRQAHILLDCGEDNVCKPKLEVSVDSDQKKIYIGDDNPLTLIVKAQNQGEGAYEAELIVSIPLQADFIGVVRNNEALARLSCAFKTENQTRQVVCDLGNPMKAGTQLLAGLRFSVHQQSEMDTSVKFDLQIQSSNLFDKVSPVVSHKVDLAVLAAVEIRGVSSPDHVFLPIPNWEHKENPETEEDVGPVVQHIYELRNNGPSSFSKAMLHLQWPYKYNNNTLLYILHYDIDGPMNCTSDMEINPLRIKISSLQTTEKNDTVAGQGERDHLITKRDLALSEGDIHTLGCGVAQCLKIVCQVGRLDRGKSAILYVKSLLWTETFMNKENQNHSYSLKSSASFNVIEFPYKNLPIEDITNSTLVTTNVTWGIQPAPMPVPVWVIILAVLAGLLLLAVLVFVMYRMGFFKRVRPPQEEQEREQLQPHENGEGNSET
+>DECOY_sp|P06756|ITAV_HUMAN Integrin alpha-V OS=Homo sapiens OX=9606 GN=ITGAV PE=1 SV=2
+TESNGEGNEHPQLQEREQEEQPPRVRKFFGMRYMVFVLVALLLLGALVALIIVWVPVPMPAPQIGWTVNTTVLTSNTIDEIPLNKYPFEIVNFSASSKLSYSHNQNEKNMFTETWLLSKVYLIASKGRDLRGVQCVIKLCQAVGCGLTHIDGESLALDRKTILHDREGQGAVTDNKETTQLSSIKIRLPNIEMDSTCNMPGDIDYHLIYLLTNNNYKYPWQLHLMAKSFSSPGNNRLEYIHQVVPGVDEETEPNEKHEWNPIPLFVHDPSSVGRIEVAALVALDVKHSVVPSVKDFLNSSQIQLDFKVSTDMESQQHVSFRLGALLQTGAKMPNGLDCVVQRTQNETKFACSLRALAENNRVVGIFDAQLPISVILEAEYAGEGQNQAKVILTLPNDDGIYIKKQDSDVSVELKPKCVNDEGCDLLIHAQRSINAPTFQNLIPQLGTTDAATRYDLRYEMFITIPTLKDRFESEDRLYAILEECQMLGGRSITMNKSHSPSRSYLFLARRIAGKQKLKDLLLEVQFNLKRPLVGKGDAKLCFRVNFCSVKLATGPLSCTKNDQNLISPYVELGANVTIVPRARYLIARDVGFAGVILDPYGNKDIDTAGKMSYGFSPPMSRAAWQGELIQSPVANLGTSRGNFIYVIGKKDEGGYPAAIAIDNFGDQDLDGLPAIASGFRAFVEFGNLKTTQFDGSARQLSVSVQGVEQLKGDSGRDMFLPAGIFVDAYDDGNIDTAAVSFGFYAAMQEGTFNYLSSMNKGDYIYVMGLTRAARPVGSVFDDIGDGNFDGVAVSYGLYSDDFIAQATRTALQNNYKISYVNPDYKSVIEAVQDSILQGQWYFSGPGGLLVRDAKTFDISFGGQCFGQGDADIDQSRCPAYEVTKTGDQLFCTGVPEREQKMETRWHYLPACALIKDQKSRVSAGFWQHSKFELPDDKAYDRNGTADFEIPQCRRTSSWDCKLVQGGEVIGPQTTNAKPAGVLLFMRSSASPVFFDVAFGFYSGEPGSYEAPSDVDLNFARCLPLLLGSLLLPLGRPGLRLRRRPPFAM
+>sp|P16144|ITB4_HUMAN Integrin beta-4 OS=Homo sapiens OX=9606 GN=ITGB4 PE=1 SV=5
+MAGPRPSPWARLLLAALISVSLSGTLANRCKKAPVKSCTECVRVDKDCAYCTDEMFRDRRCNTQAELLAAGCQRESIVVMESSFQITEETQIDTTLRRSQMSPQGLRVRLRPGEERHFELEVFEPLESPVDLYILMDFSNSMSDDLDNLKKMGQNLARVLSQLTSDYTIGFGKFVDKVSVPQTDMRPEKLKEPWPNSDPPFSFKNVISLTEDVDEFRNKLQGERISGNLDAPEGGFDAILQTAVCTRDIGWRPDSTHLLVFSTESAFHYEADGANVLAGIMSRNDERCHLDTTGTYTQYRTQDYPSVPTLVRLLAKHNIIPIFAVTNYSYSYYEKLHTYFPVSSLGVLQEDSSNIVELLEEAFNRIRSNLDIRALDSPRGLRTEVTSKMFQKTRTGSFHIRRGEVGIYQVQLRALEHVDGTHVCQLPEDQKGNIHLKPSFSDGLKMDAGIICDVCTCELQKEVRSARCSFNGDFVCGQCVCSEGWSGQTCNCSTGSLSDIQPCLREGEDKPCSGRGECQCGHCVCYGEGRYEGQFCEYDNFQCPRTSGFLCNDRGRCSMGQCVCEPGWTGPSCDCPLSNATCIDSNGGICNGRGHCECGRCHCHQQSLYTDTICEINYSAIHPGLCEDLRSCVQCQAWGTGEKKGRTCEECNFKVKMVDELKRAEEVVVRCSFRDEDDDCTYSYTMEGDGAPGPNSTVLVHKKKDCPPGSFWWLIPLLLLLLPLLALLLLLCWKYCACCKACLALLPCCNRGHMVGFKEDHYMLRENLMASDHLDTPMLRSGNLKGRDVVRWKVTNNMQRPGFATHAASINPTELVPYGLSLRLARLCTENLLKPDTRECAQLRQEVEENLNEVYRQISGVHKLQQTKFRQQPNAGKKQDHTIVDTVLMAPRSAKPALLKLTEKQVEQRAFHDLKVAPGYYTLTADQDARGMVEFQEGVELVDVRVPLFIRPEDDDEKQLLVEAIDVPAGTATLGRRLVNITIIKEQARDVVSFEQPEFSVSRGDQVARIPVIRRVLDGGKSQVSYRTQDGTAQGNRDYIPVEGELLFQPGEAWKELQVKLLELQEVDSLLRGRQVRRFHVQLSNPKFGAHLGQPHSTTIIIRDPDELDRSFTSQMLSSQPPPHGDLGAPQNPNAKAAGSRKIHFNWLPPSGKPMGYRVKYWIQGDSESEAHLLDSKVPSVELTNLYPYCDYEMKVCAYGAQGEGPYSSLVSCRTHQEVPSEPGRLAFNVVSSTVTQLSWAEPAETNGEITAYEVCYGLVNDDNRPIGPMKKVLVDNPKNRMLLIENLRESQPYRYTVKARNGAGWGPEREAIINLATQPKRPMSIPIIPDIPIVDAQSGEDYDSFLMYSDDVLRSPSGSQRPSVSDDTGCGWKFEPLLGEELDLRRVTWRLPPELIPRLSASSGRSSDAEAPHGPPDDGGAGGKGGSLPRSATPGPPGEHLVNGRMDFAFPGSTNSLHRMTTTSAAAYGTHLSPHVPHRVLSTSSTLTRDYNSLTRSEHSHSTTLPRDYSTLTSVSSHDSRLTAGVPDTPTRLVFSALGPTSLRVSWQEPRCERPLQGYSVEYQLLNGGELHRLNIPNPAQTSVVVEDLLPNHSYVFRVRAQSQEGWGREREGVITIESQVHPQSPLCPLPGSAFTLSTPSAPGPLVFTALSPDSLQLSWERPRRPNGDIVGYLVTCEMAQGGGPATAFRVDGDSPESRLTVPGLSENVPYKFKVQARTTEGFGPEREGIITIESQDGGPFPQLGSRAGLFQHPLQSEYSSITTTHTSATEPFLVDGLTLGAQHLEAGGSLTRHVTQEFVSRTLTTSGTLSTHMDQQFFQT
+>DECOY_sp|P16144|ITB4_HUMAN Integrin beta-4 OS=Homo sapiens OX=9606 GN=ITGB4 PE=1 SV=5
+TQFFQQDMHTSLTGSTTLTRSVFEQTVHRTLSGGAELHQAGLTLGDVLFPETASTHTTTISSYESQLPHQFLGARSGLQPFPGGDQSEITIIGEREPGFGETTRAQVKFKYPVNESLGPVTLRSEPSDGDVRFATAPGGGQAMECTVLYGVIDGNPRRPREWSLQLSDPSLATFVLPGPASPTSLTFASGPLPCLPSQPHVQSEITIVGERERGWGEQSQARVRFVYSHNPLLDEVVVSTQAPNPINLRHLEGGNLLQYEVSYGQLPRECRPEQWSVRLSTPGLASFVLRTPTDPVGATLRSDHSSVSTLTSYDRPLTTSHSHESRTLSNYDRTLTSSTSLVRHPVHPSLHTGYAAASTTTMRHLSNTSGPFAFDMRGNVLHEGPPGPTASRPLSGGKGGAGGDDPPGHPAEADSSRGSSASLRPILEPPLRWTVRRLDLEEGLLPEFKWGCGTDDSVSPRQSGSPSRLVDDSYMLFSDYDEGSQADVIPIDPIIPISMPRKPQTALNIIAEREPGWGAGNRAKVTYRYPQSERLNEILLMRNKPNDVLVKKMPGIPRNDDNVLGYCVEYATIEGNTEAPEAWSLQTVTSSVVNFALRGPESPVEQHTRCSVLSSYPGEGQAGYACVKMEYDCYPYLNTLEVSPVKSDLLHAESESDGQIWYKVRYGMPKGSPPLWNFHIKRSGAAKANPNQPAGLDGHPPPQSSLMQSTFSRDLEDPDRIIITTSHPQGLHAGFKPNSLQVHFRRVQRGRLLSDVEQLELLKVQLEKWAEGPQFLLEGEVPIYDRNGQATGDQTRYSVQSKGGDLVRRIVPIRAVQDGRSVSFEPQEFSVVDRAQEKIITINVLRRGLTATGAPVDIAEVLLQKEDDDEPRIFLPVRVDVLEVGEQFEVMGRADQDATLTYYGPAVKLDHFARQEVQKETLKLLAPKASRPAMLVTDVITHDQKKGANPQQRFKTQQLKHVGSIQRYVENLNEEVEQRLQACERTDPKLLNETCLRALRLSLGYPVLETPNISAAHTAFGPRQMNNTVKWRVVDRGKLNGSRLMPTDLHDSAMLNERLMYHDEKFGVMHGRNCCPLLALCAKCCACYKWCLLLLLALLPLLLLLLPILWWFSGPPCDKKKHVLVTSNPGPAGDGEMTYSYTCDDDEDRFSCRVVVEEARKLEDVMKVKFNCEECTRGKKEGTGWAQCQVCSRLDECLGPHIASYNIECITDTYLSQQHCHCRGCECHGRGNCIGGNSDICTANSLPCDCSPGTWGPECVCQGMSCRGRDNCLFGSTRPCQFNDYECFQGEYRGEGYCVCHGCQCEGRGSCPKDEGERLCPQIDSLSGTSCNCTQGSWGESCVCQGCVFDGNFSCRASRVEKQLECTCVDCIIGADMKLGDSFSPKLHINGKQDEPLQCVHTGDVHELARLQVQYIGVEGRRIHFSGTRTKQFMKSTVETRLGRPSDLARIDLNSRIRNFAEELLEVINSSDEQLVGLSSVPFYTHLKEYYSYSYNTVAFIPIINHKALLRVLTPVSPYDQTRYQTYTGTTDLHCREDNRSMIGALVNAGDAEYHFASETSFVLLHTSDPRWGIDRTCVATQLIADFGGEPADLNGSIREGQLKNRFEDVDETLSIVNKFSFPPDSNPWPEKLKEPRMDTQPVSVKDVFKGFGITYDSTLQSLVRALNQGMKKLNDLDDSMSNSFDMLIYLDVPSELPEFVELEFHREEGPRLRVRLGQPSMQSRRLTTDIQTEETIQFSSEMVVISERQCGAALLEAQTNCRRDRFMEDTCYACDKDVRVCETCSKVPAKKCRNALTGSLSVSILAALLLRAWPSPRPGAM
+>sp|Q96J02|ITCH_HUMAN E3 ubiquitin-protein ligase Itchy homolog OS=Homo sapiens OX=9606 GN=ITCH PE=1 SV=2
+MSDSGSQLGSMGSLTMKSQLQITVISAKLKENKKNWFGPSPYVEVTVDGQSKKTEKCNNTNSPKWKQPLTVIVTPVSKLHFRVWSHQTLKSDVLLGTAALDIYETLKSNNMKLEEVVVTLQLGGDKEPTETIGDLSICLDGLQLESEVVTNGETTCSENGVSLCLPRLECNSAISAHCNLCLPGLSDSPISASRVAGFTGASQNDDGSRSKDETRVSTNGSDDPEDAGAGENRRVSGNNSPSLSNGGFKPSRPPRPSRPPPPTPRRPASVNGSPSATSESDGSSTGSLPPTNTNTNTSEGATSGLIIPLTISGGSGPRPLNPVTQAPLPPGWEQRVDQHGRVYYVDHVEKRTTWDRPEPLPPGWERRVDNMGRIYYVDHFTRTTTWQRPTLESVRNYEQWQLQRSQLQGAMQQFNQRFIYGNQDLFATSQSKEFDPLGPLPPGWEKRTDSNGRVYFVNHNTRITQWEDPRSQGQLNEKPLPEGWEMRFTVDGIPYFVDHNRRTTTYIDPRTGKSALDNGPQIAYVRDFKAKVQYFRFWCQQLAMPQHIKITVTRKTLFEDSFQQIMSFSPQDLRRRLWVIFPGEEGLDYGGVAREWFFLLSHEVLNPMYCLFEYAGKDNYCLQINPASYINPDHLKYFRFIGRFIAMALFHGKFIDTGFSLPFYKRILNKPVGLKDLESIDPEFYNSLIWVKENNIEECDLEMYFSVDKEILGEIKSHDLKPNGGNILVTEENKEEYIRMVAEWRLSRGVEEQTQAFFEGFNEILPQQYLQYFDAKELEVLLCGMQEIDLNDWQRHAIYRHYARTSKQIMWFWQFVKEIDNEKRMRLLQFVTGTCRLPVGGFADLMGSNGPQKFCIEKVGKENWLPRSHTCFNRLDLPPYKSYEQLKEKLLFAIEETEGFGQE
+>DECOY_sp|Q96J02|ITCH_HUMAN E3 ubiquitin-protein ligase Itchy homolog OS=Homo sapiens OX=9606 GN=ITCH PE=1 SV=2
+EQGFGETEEIAFLLKEKLQEYSKYPPLDLRNFCTHSRPLWNEKGVKEICFKQPGNSGMLDAFGGVPLRCTGTVFQLLRMRKENDIEKVFQWFWMIQKSTRAYHRYIAHRQWDNLDIEQMGCLLVELEKADFYQLYQQPLIENFGEFFAQTQEEVGRSLRWEAVMRIYEEKNEETVLINGGNPKLDHSKIEGLIEKDVSFYMELDCEEINNEKVWILSNYFEPDISELDKLGVPKNLIRKYFPLSFGTDIFKGHFLAMAIFRGIFRFYKLHDPNIYSAPNIQLCYNDKGAYEFLCYMPNLVEHSLLFFWERAVGGYDLGEEGPFIVWLRRRLDQPSFSMIQQFSDEFLTKRTVTIKIHQPMALQQCWFRFYQVKAKFDRVYAIQPGNDLASKGTRPDIYTTTRRNHDVFYPIGDVTFRMEWGEPLPKENLQGQSRPDEWQTIRTNHNVFYVRGNSDTRKEWGPPLPGLPDFEKSQSTAFLDQNGYIFRQNFQQMAGQLQSRQLQWQEYNRVSELTPRQWTTTRTFHDVYYIRGMNDVRREWGPPLPEPRDWTTRKEVHDVYYVRGHQDVRQEWGPPLPAQTVPNLPRPGSGGSITLPIILGSTAGESTNTNTNTPPLSGTSSGDSESTASPSGNVSAPRRPTPPPPRSPRPPRSPKFGGNSLSPSNNGSVRRNEGAGADEPDDSGNTSVRTEDKSRSGDDNQSAGTFGAVRSASIPSDSLGPLCLNCHASIASNCELRPLCLSVGNESCTTEGNTVVESELQLGDLCISLDGITETPEKDGGLQLTVVVEELKMNNSKLTEYIDLAATGLLVDSKLTQHSWVRFHLKSVPTVIVTLPQKWKPSNTNNCKETKKSQGDVTVEVYPSPGFWNKKNEKLKASIVTIQLQSKMTLSGMSGLQSGSDSM
+>sp|P26440|IVD_HUMAN Isovaleryl-CoA dehydrogenase, mitochondrial OS=Homo sapiens OX=9606 GN=IVD PE=1 SV=1
+MATATRLLGWRVASWRLRPPLAGFVSQRAHSLLPVDDAINGLSEEQRQLRQTMAKFLQEHLAPKAQEIDRSNEFKNLREFWKQLGNLGVLGITAPVQYGGSGLGYLEHVLVMEEISRASGAVGLSYGAHSNLCINQLVRNGNEAQKEKYLPKLISGEYIGALAMSEPNAGSDVVSMKLKAEKKGNHYILNGNKFWITNGPDADVLIVYAKTDLAAVPASRGITAFIVEKGMPGFSTSKKLDKLGMRGSNTCELIFEDCKIPAANILGHENKGVYVLMSGLDLERLVLAGGPLGLMQAVLDHTIPYLHVREAFGQKIGHFQLMQGKMADMYTRLMACRQYVYNVAKACDEGHCTAKDCAGVILYSAECATQVALDGIQCFGGNGYINDFPMGRFLRDAKLYEIGAGTSEVRRLVIGRAFNADFH
+>DECOY_sp|P26440|IVD_HUMAN Isovaleryl-CoA dehydrogenase, mitochondrial OS=Homo sapiens OX=9606 GN=IVD PE=1 SV=1
+HFDANFARGIVLRRVESTGAGIEYLKADRLFRGMPFDNIYGNGGFCQIGDLAVQTACEASYLIVGACDKATCHGEDCAKAVNYVYQRCAMLRTYMDAMKGQMLQFHGIKQGFAERVHLYPITHDLVAQMLGLPGGALVLRELDLGSMLVYVGKNEHGLINAAPIKCDEFILECTNSGRMGLKDLKKSTSFGPMGKEVIFATIGRSAPVAALDTKAYVILVDADPGNTIWFKNGNLIYHNGKKEAKLKMSVVDSGANPESMALAGIYEGSILKPLYKEKQAENGNRVLQNICLNSHAGYSLGVAGSARSIEEMVLVHELYGLGSGGYQVPATIGLVGLNGLQKWFERLNKFENSRDIEQAKPALHEQLFKAMTQRLQRQEESLGNIADDVPLLSHARQSVFGALPPRLRWSAVRWGLLRTATAM
+>sp|Q6PHW0|IYD1_HUMAN Iodotyrosine deiodinase 1 OS=Homo sapiens OX=9606 GN=IYD PE=1 SV=2
+MYFLTPILVAILCILVVWIFKNADRSMEKKKGEPRTRAEARPWVDEDLKDSSDLHQAEEDADEWQESEENVEHIPFSHNHYPEKEMVKRSQEFYELLNKRRSVRFISNEQVPMEVIDNVIRTAGTAPSGAHTEPWTFVVVKDPDVKHKIRKIIEEEEEINYMKRMGHRWVTDLKKLRTNWIKEYLDTAPILILIFKQVHGFAANGKKKVHYYNEISVSIACGILLAALQNAGLVTVTTTPLNCGPRLRVLLGRPAHEKLLMLLPVGYPSKEATVPDLKRKPLDQIMVTV
+>DECOY_sp|Q6PHW0|IYD1_HUMAN Iodotyrosine deiodinase 1 OS=Homo sapiens OX=9606 GN=IYD PE=1 SV=2
+VTVMIQDLPKRKLDPVTAEKSPYGVPLLMLLKEHAPRGLLVRLRPGCNLPTTTVTVLGANQLAALLIGCAISVSIENYYHVKKKGNAAFGHVQKFILILIPATDLYEKIWNTRLKKLDTVWRHGMRKMYNIEEEEEIIKRIKHKVDPDKVVVFTWPETHAGSPATGATRIVNDIVEMPVQENSIFRVSRRKNLLEYFEQSRKVMEKEPYHNHSFPIHEVNEESEQWEDADEEAQHLDSSDKLDEDVWPRAEARTRPEGKKKEMSRDANKFIWVVLICLIAVLIPTLFYM
+>sp|Q9NQC1|JADE2_HUMAN E3 ubiquitin-protein ligase Jade-2 OS=Homo sapiens OX=9606 GN=JADE2 PE=1 SV=2
+MEEKRRKYSISSDNSDTTDSHATSTSASRCSKLPSSTKSGWPRQNEKKPSEVFRTDLITAMKIPDSYQLSPDDYYILADPWRQEWEKGVQVPAGAEAIPEPVVRILPPLEGPPAQASPSSTMLGEGSQPDWPGGSRYDLDEIDAYWLELINSELKEMERPELDELTLERVLEELETLCHQNMARAIETQEGLGIEYDEDVVCDVCRSPEGEDGNEMVFCDKCNVCVHQACYGILKVPTGSWLCRTCALGVQPKCLLCPKRGGALKPTRSGTKWVHVSCALWIPEVSIGCPEKMEPITKISHIPASRWALSCSLCKECTGTCIQCSMPSCVTAFHVTCAFDHGLEMRTILADNDEVKFKSFCQEHSDGGPRNEPTSEPTEPSQAGEDLEKVTLRKQRLQQLEEDFYELVEPAEVAERLDLAEALVDFIYQYWKLKRKANANQPLLTPKTDEVDNLAQQEQDVLYRRLKLFTHLRQDLERVRNLCYMVTRRERTKHAICKLQEQIFHLQMKLIEQDLCRGLSTSFPIDGTFFNSWLAQSVQITAENMAMSEWPLNNGHREDPAPGLLSEELLQDEETLLSFMRDPSLRPGDPARKARGRTRLPAKKKPPPPPPQDGPGSRTTPDKAPKKTWGQDAGSGKGGQGPPTRKPPRRTSSHLPSSPAAGDCPILATPESPPPLAPETPDEAASVAADSDVQVPGPAASPKPLGRLRPPRESKVTRRLPGARPDAGMGPPSAVAERPKVSLHFDTETDGYFSDGEMSDSDVEAEDGGVQRGPREAGAEEVVRMGVLAS
+>DECOY_sp|Q9NQC1|JADE2_HUMAN E3 ubiquitin-protein ligase Jade-2 OS=Homo sapiens OX=9606 GN=JADE2 PE=1 SV=2
+SALVGMRVVEEAGAERPGRQVGGDEAEVDSDSMEGDSFYGDTETDFHLSVKPREAVASPPGMGADPRAGPLRRTVKSERPPRLRGLPKPSAAPGPVQVDSDAAVSAAEDPTEPALPPPSEPTALIPCDGAAPSSPLHSSTRRPPKRTPPGQGGKGSGADQGWTKKPAKDPTTRSGPGDQPPPPPPKKKAPLRTRGRAKRAPDGPRLSPDRMFSLLTEEDQLLEESLLGPAPDERHGNNLPWESMAMNEATIQVSQALWSNFFTGDIPFSTSLGRCLDQEILKMQLHFIQEQLKCIAHKTRERRTVMYCLNRVRELDQRLHTFLKLRRYLVDQEQQALNDVEDTKPTLLPQNANAKRKLKWYQYIFDVLAEALDLREAVEAPEVLEYFDEELQQLRQKRLTVKELDEGAQSPETPESTPENRPGGDSHEQCFSKFKVEDNDALITRMELGHDFACTVHFATVCSPMSCQICTGTCEKCLSCSLAWRSAPIHSIKTIPEMKEPCGISVEPIWLACSVHVWKTGSRTPKLAGGRKPCLLCKPQVGLACTRCLWSGTPVKLIGYCAQHVCVNCKDCFVMENGDEGEPSRCVDCVVDEDYEIGLGEQTEIARAMNQHCLTELEELVRELTLEDLEPREMEKLESNILELWYADIEDLDYRSGGPWDPQSGEGLMTSSPSAQAPPGELPPLIRVVPEPIAEAGAPVQVGKEWEQRWPDALIYYDDPSLQYSDPIKMATILDTRFVESPKKENQRPWGSKTSSPLKSCRSASTSTAHSDTTDSNDSSISYKRRKEEM
+>sp|P23458|JAK1_HUMAN Tyrosine-protein kinase JAK1 OS=Homo sapiens OX=9606 GN=JAK1 PE=1 SV=2
+MQYLNIKEDCNAMAFCAKMRSSKKTEVNLEAPEPGVEVIFYLSDREPLRLGSGEYTAEELCIRAAQACRISPLCHNLFALYDENTKLWYAPNRTITVDDKMSLRLHYRMRFYFTNWHGTNDNEQSVWRHSPKKQKNGYEKKKIPDATPLLDASSLEYLFAQGQYDLVKCLAPIRDPKTEQDGHDIENECLGMAVLAISHYAMMKKMQLPELPKDISYKRYIPETLNKSIRQRNLLTRMRINNVFKDFLKEFNNKTICDSSVSTHDLKVKYLATLETLTKHYGAEIFETSMLLISSENEMNWFHSNDGGNVLYYEVMVTGNLGIQWRHKPNVVSVEKEKNKLKRKKLENKHKKDEEKNKIREEWNNFSYFPEITHIVIKESVVSINKQDNKKMELKLSSHEEALSFVSLVDGYFRLTADAHHYLCTDVAPPLIVHNIQNGCHGPICTEYAINKLRQEGSEEGMYVLRWSCTDFDNILMTVTCFEKSEQVQGAQKQFKNFQIEVQKGRYSLHGSDRSFPSLGDLMSHLKKQILRTDNISFMLKRCCQPKPREISNLLVATKKAQEWQPVYPMSQLSFDRILKKDLVQGEHLGRGTRTHIYSGTLMDYKDDEGTSEEKKIKVILKVLDPSHRDISLAFFEAASMMRQVSHKHIVYLYGVCVRDVENIMVEEFVEGGPLDLFMHRKSDVLTTPWKFKVAKQLASALSYLEDKDLVHGNVCTKNLLLAREGIDSECGPFIKLSDPGIPITVLSRQECIERIPWIAPECVEDSKNLSVAADKWSFGTTLWEICYNGEIPLKDKTLIEKERFYESRCRPVTPSCKELADLMTRCMNYDPNQRPFFRAIMRDINKLEEQNPDIVSEKKPATEVDPTHFEKRFLKRIRDLGEGHFGKVELCRYDPEGDNTGEQVAVKSLKPESGGNHIADLKKEIEILRNLYHENIVKYKGICTEDGGNGIKLIMEFLPSGSLKEYLPKNKNKINLKQQLKYAVQICKGMDYLGSRQYVHRDLAARNVLVESEHQVKIGDFGLTKAIETDKEYYTVKDDRDSPVFWYAPECLMQSKFYIASDVWSFGVTLHELLTYCDSDSSPMALFLKMIGPTHGQMTVTRLVNTLKEGKRLPCPPNCPDEVYQLMRKCWEFQPSNRTSFQNLIEGFEALLK
+>DECOY_sp|P23458|JAK1_HUMAN Tyrosine-protein kinase JAK1 OS=Homo sapiens OX=9606 GN=JAK1 PE=1 SV=2
+KLLAEFGEILNQFSTRNSPQFEWCKRMLQYVEDPCNPPCPLRKGEKLTNVLRTVTMQGHTPGIMKLFLAMPSSDSDCYTLLEHLTVGFSWVDSAIYFKSQMLCEPAYWFVPSDRDDKVTYYEKDTEIAKTLGFDGIKVQHESEVLVNRAALDRHVYQRSGLYDMGKCIQVAYKLQQKLNIKNKNKPLYEKLSGSPLFEMILKIGNGGDETCIGKYKVINEHYLNRLIEIEKKLDAIHNGGSEPKLSKVAVQEGTNDGEPDYRCLEVKGFHGEGLDRIRKLFRKEFHTPDVETAPKKESVIDPNQEELKNIDRMIARFFPRQNPDYNMCRTMLDALEKCSPTVPRCRSEYFREKEILTKDKLPIEGNYCIEWLTTGFSWKDAAVSLNKSDEVCEPAIWPIREICEQRSLVTIPIGPDSLKIFPGCESDIGERALLLNKTCVNGHVLDKDELYSLASALQKAVKFKWPTTLVDSKRHMFLDLPGGEVFEEVMINEVDRVCVGYLYVIHKHSVQRMMSAAEFFALSIDRHSPDLVKLIVKIKKEESTGEDDKYDMLTGSYIHTRTGRGLHEGQVLDKKLIRDFSLQSMPYVPQWEQAKKTAVLLNSIERPKPQCCRKLMFSINDTRLIQKKLHSMLDGLSPFSRDSGHLSYRGKQVEIQFNKFQKQAGQVQESKEFCTVTMLINDFDTCSWRLVYMGEESGEQRLKNIAYETCIPGHCGNQINHVILPPAVDTCLYHHADATLRFYGDVLSVFSLAEEHSSLKLEMKKNDQKNISVVSEKIVIHTIEPFYSFNNWEERIKNKEEDKKHKNELKKRKLKNKEKEVSVVNPKHRWQIGLNGTVMVEYYLVNGGDNSHFWNMENESSILLMSTEFIEAGYHKTLTELTALYKVKLDHTSVSSDCITKNNFEKLFDKFVNNIRMRTLLNRQRISKNLTEPIYRKYSIDKPLEPLQMKKMMAYHSIALVAMGLCENEIDHGDQETKPDRIPALCKVLDYQGQAFLYELSSADLLPTADPIKKKEYGNKQKKPSHRWVSQENDNTGHWNTFYFRMRYHLRLSMKDDVTITRNPAYWLKTNEDYLAFLNHCLPSIRCAQAARICLEEATYEGSGLRLPERDSLYFIVEVGPEPAELNVETKKSSRMKACFAMANCDEKINLYQM
+>sp|O60674|JAK2_HUMAN Tyrosine-protein kinase JAK2 OS=Homo sapiens OX=9606 GN=JAK2 PE=1 SV=2
+MGMACLTMTEMEGTSTSSIYQNGDISGNANSMKQIDPVLQVYLYHSLGKSEADYLTFPSGEYVAEEICIAASKACGITPVYHNMFALMSETERIWYPPNHVFHIDESTRHNVLYRIRFYFPRWYCSGSNRAYRHGISRGAEAPLLDDFVMSYLFAQWRHDFVHGWIKVPVTHETQEECLGMAVLDMMRIAKENDQTPLAIYNSISYKTFLPKCIRAKIQDYHILTRKRIRYRFRRFIQQFSQCKATARNLKLKYLINLETLQSAFYTEKFEVKEPGSGPSGEEIFATIIITGNGGIQWSRGKHKESETLTEQDLQLYCDFPNIIDVSIKQANQEGSNESRVVTIHKQDGKNLEIELSSLREALSFVSLIDGYYRLTADAHHYLCKEVAPPAVLENIQSNCHGPISMDFAISKLKKAGNQTGLYVLRCSPKDFNKYFLTFAVERENVIEYKHCLITKNENEEYNLSGTKKNFSSLKDLLNCYQMETVRSDNIIFQFTKCCPPKPKDKSNLLVFRTNGVSDVPTSPTLQRPTHMNQMVFHKIRNEDLIFNESLGQGTFTKIFKGVRREVGDYGQLHETEVLLKVLDKAHRNYSESFFEAASMMSKLSHKHLVLNYGVCVCGDENILVQEFVKFGSLDTYLKKNKNCINILWKLEVAKQLAWAMHFLEENTLIHGNVCAKNILLIREEDRKTGNPPFIKLSDPGISITVLPKDILQERIPWVPPECIENPKNLNLATDKWSFGTTLWEICSGGDKPLSALDSQRKLQFYEDRHQLPAPKWAELANLINNCMDYEPDFRPSFRAIIRDLNSLFTPDYELLTENDMLPNMRIGALGFSGAFEDRDPTQFEERHLKFLQQLGKGNFGSVEMCRYDPLQDNTGEVVAVKKLQHSTEEHLRDFEREIEILKSLQHDNIVKYKGVCYSAGRRNLKLIMEYLPYGSLRDYLQKHKERIDHIKLLQYTSQICKGMEYLGTKRYIHRDLATRNILVENENRVKIGDFGLTKVLPQDKEYYKVKEPGESPIFWYAPESLTESKFSVASDVWSFGVVLYELFTYIEKSKSPPAEFMRMIGNDKQGQMIVFHLIELLKNNGRLPRPDGCPDEIYMIMTECWNNNVNQRPSFRDLALRVDQIRDNMAG
+>DECOY_sp|O60674|JAK2_HUMAN Tyrosine-protein kinase JAK2 OS=Homo sapiens OX=9606 GN=JAK2 PE=1 SV=2
+GAMNDRIQDVRLALDRFSPRQNVNNNWCETMIMYIEDPCGDPRPLRGNNKLLEILHFVIMQGQKDNGIMRMFEAPPSKSKEIYTFLEYLVVGFSWVDSAVSFKSETLSEPAYWFIPSEGPEKVKYYEKDQPLVKTLGFDGIKVRNENEVLINRTALDRHIYRKTGLYEMGKCIQSTYQLLKIHDIREKHKQLYDRLSGYPLYEMILKLNRRGASYCVGKYKVINDHQLSKLIEIEREFDRLHEETSHQLKKVAVVEGTNDQLPDYRCMEVSGFNGKGLQQLFKLHREEFQTPDRDEFAGSFGLAGIRMNPLMDNETLLEYDPTFLSNLDRIIARFSPRFDPEYDMCNNILNALEAWKPAPLQHRDEYFQLKRQSDLASLPKDGGSCIEWLTTGFSWKDTALNLNKPNEICEPPVWPIREQLIDKPLVTISIGPDSLKIFPPNGTKRDEERILLINKACVNGHILTNEELFHMAWALQKAVELKWLINICNKNKKLYTDLSGFKVFEQVLINEDGCVCVGYNLVLHKHSLKSMMSAAEFFSESYNRHAKDLVKLLVETEHLQGYDGVERRVGKFIKTFTGQGLSENFILDENRIKHFVMQNMHTPRQLTPSTPVDSVGNTRFVLLNSKDKPKPPCCKTFQFIINDSRVTEMQYCNLLDKLSSFNKKTGSLNYEENENKTILCHKYEIVNEREVAFTLFYKNFDKPSCRLVYLGTQNGAKKLKSIAFDMSIPGHCNSQINELVAPPAVEKCLYHHADATLRYYGDILSVFSLAERLSSLEIELNKGDQKHITVVRSENSGEQNAQKISVDIINPFDCYLQLDQETLTESEKHKGRSWQIGGNGTIIITAFIEEGSPGSGPEKVEFKETYFASQLTELNILYKLKLNRATAKCQSFQQIFRRFRYRIRKRTLIHYDQIKARICKPLFTKYSISNYIALPTQDNEKAIRMMDLVAMGLCEEQTEHTVPVKIWGHVFDHRWQAFLYSMVFDDLLPAEAGRSIGHRYARNSGSCYWRPFYFRIRYLVNHRTSEDIHFVHNPPYWIRETESMLAFMNHYVPTIGCAKSAAICIEEAVYEGSPFTLYDAESKGLSHYLYVQLVPDIQKMSNANGSIDGNQYISSTSTGEMETMTLCAMGM
+>sp|Q86VZ6|JAZF1_HUMAN Juxtaposed with another zinc finger protein 1 OS=Homo sapiens OX=9606 GN=JAZF1 PE=1 SV=2
+MTGIAAASFFSNTCRFGGCGLHFPTLADLIEHIEDNHIDTDPRVLEKQELQQPTYVALSYINRFMTDAARREQESLKKKIQPKLSLTLSSSVSRGNVSTPPRHSSGSLTPPVTPPITPSSSFRSSTPTGSEYDEEEVDYEESDSDESWTTESAISSEAILSSMCMNGGEEKPFACPVPGCKKRYKNVNGIKYHAKNGHRTQIRVRKPFKCRCGKSYKTAQGLRHHTINFHPPVSAEIIRKMQQ
+>DECOY_sp|Q86VZ6|JAZF1_HUMAN Juxtaposed with another zinc finger protein 1 OS=Homo sapiens OX=9606 GN=JAZF1 PE=1 SV=2
+QQMKRIIEASVPPHFNITHHRLGQATKYSKGCRCKFPKRVRIQTRHGNKAHYKIGNVNKYRKKCGPVPCAFPKEEGGNMCMSSLIAESSIASETTWSEDSDSEEYDVEEEDYESGTPTSSRFSSSPTIPPTVPPTLSGSSHRPPTSVNGRSVSSSLTLSLKPQIKKKLSEQERRAADTMFRNIYSLAVYTPQQLEQKELVRPDTDIHNDEIHEILDALTPFHLGCGGFRCTNSFFSAAAIGTM
+>sp|Q13387|JIP2_HUMAN C-Jun-amino-terminal kinase-interacting protein 2 OS=Homo sapiens OX=9606 GN=MAPK8IP2 PE=1 SV=2
+MADRAEMFSLSTFHSLSPPGCRPPQDISLEEFDDEDLSEITDDCGLGLSYDSDHCEKDSLSLGRSEQPHPICSFQDDFQEFEMIDDNEEEDDEDEEEEEEEEEGDGEGQEGGDPGSEAPAPGPLIPSPSVEEPHKHRPTTLRLTTLGAQDSLNNNGGFDLVRPASWQETALCSPAPEALRELPGPLPATDTGPGGAQSPVRPGCDCEGNRPAEPPAPGGTSPSSDPGIEADLRSRSSGGRGGRRSSQELSSPGSDSEDAGGARLGRMISSISETELELSSDGGSSSSGRSSHLTNSIEEASSPASEPEPPREPPRRPAFLPVGPDDTNSEYESGSESEPDLSEDADSPWLLSNLVSRMISEGSSPIRCPGQCLSPAPRPPGEPVSPAGGAAQDSQDPEAAAGPGGVELVDMETLCAPPPPAPAAPRPGPAQPGPCLFLSNPTRDTITPLWAAPGRAARPGRACSAACSEEEDEEDDEEEEDAEDSAGSPGGRGTGPSAPRDASLVYDAVKYTLVVDEHTQLELVSLRRCAGLGHDSEEDSGGEASEEEAGAALLGGGQVSGDTSPDSPDLTFSKKFLNVFVNSTSRSSSTESFGLFSCLVNGEEREQTHRAVFRFIPRHPDELELDVDDPVLVEAEEDDFWFRGFNMRTGERGVFPAFYAHAVPGPAKDLLGSKRSPCWVERFDVQFLGSVEVPCHQGNGILCAAMQKIATARKLTVHLRPPASCDLEISLRGVKLSLSGGGPEFQRCSHFFQMKNISFCGCHPRNSCYFGFITKHPLLSRFACHVFVSQESMRPVAQSVGRAFLEYYQEHLAYACPTEDIYLE
+>DECOY_sp|Q13387|JIP2_HUMAN C-Jun-amino-terminal kinase-interacting protein 2 OS=Homo sapiens OX=9606 GN=MAPK8IP2 PE=1 SV=2
+ELYIDETPCAYALHEQYYELFARGVSQAVPRMSEQSVFVHCAFRSLLPHKTIFGFYCSNRPHCGCFSINKMQFFHSCRQFEPGGGSLSLKVGRLSIELDCSAPPRLHVTLKRATAIKQMAACLIGNGQHCPVEVSGLFQVDFREVWCPSRKSGLLDKAPGPVAHAYFAPFVGREGTRMNFGRFWFDDEEAEVLVPDDVDLELEDPHRPIFRFVARHTQEREEGNVLCSFLGFSETSSSRSTSNVFVNLFKKSFTLDPSDPSTDGSVQGGGLLAAGAEEESAEGGSDEESDHGLGACRRLSVLELQTHEDVVLTYKVADYVLSADRPASPGTGRGGPSGASDEADEEEEDDEEDEEESCAASCARGPRAARGPAAWLPTITDRTPNSLFLCPGPQAPGPRPAAPAPPPPACLTEMDVLEVGGPGAAAEPDQSDQAAGGAPSVPEGPPRPAPSLCQGPCRIPSSGESIMRSVLNSLLWPSDADESLDPESESGSEYESNTDDPGVPLFAPRRPPERPPEPESAPSSAEEISNTLHSSRGSSSSGGDSSLELETESISSIMRGLRAGGADESDSGPSSLEQSSRRGGRGGSSRSRLDAEIGPDSSPSTGGPAPPEAPRNGECDCGPRVPSQAGGPGTDTAPLPGPLERLAEPAPSCLATEQWSAPRVLDFGGNNNLSDQAGLTTLRLTTPRHKHPEEVSPSPILPGPAPAESGPDGGEQGEGDGEEEEEEEEEDEDDEEENDDIMEFEQFDDQFSCIPHPQESRGLSLSDKECHDSDYSLGLGCDDTIESLDEDDFEELSIDQPPRCGPPSLSHFTSLSFMEARDAM
+>sp|Q96N16|JKIP1_HUMAN Janus kinase and microtubule-interacting protein 1 OS=Homo sapiens OX=9606 GN=JAKMIP1 PE=1 SV=1
+MSKKGRSKGEKPEMETDAVQMANEELRAKLTSIQIEFQQEKSKVGKLRERLQEAKLEREQEQRRHTAYISELKAKLHEEKTKELQALREGLIRQHEQEAARTAKIKEGELQRLQATLNVLRDGAADKVKTALLTEAREEARRAFDGERLRLQQEILELKAARKQAEEALSNCMQADKTKAADLRAAYQAHQDEVHRIKRECERDIRRLMDEIKGKDRVILALEKELGVQAGQTQKLLLQKEALDEQLVQVKEAERHHSSPKRELPPGIGDMVELMGVQDQHMDERDVRRFQLKIAELNSVIRKLEDRNTLLADERNELLKRSRETEVQLKPLVEKNKRMNKKNEDLLQSIQRMEEKIKNLTRENVEMKEKLSAQASLKRHTSLNDLSLTRDEQEIEFLRLQVLEQQHVIDDLSLERERLLRSKRHRGKSLKPPKKHVVETFFGFDEESVDSETLSETSYNTDRTDRTPATPEEDLDDATAREEADLRFCQLTREYQALQRAYALLQEQVGGTLDAEREARTREQLQADLLRCQAKIEDLEKLLVEKGQDSKWVEEKQLLIRTNQDLLEKIYRLEMEENQLKNEMQDAKDQNELLEFRVLELEVRDSICCKLSNGADILFEPKLKFM
+>DECOY_sp|Q96N16|JKIP1_HUMAN Janus kinase and microtubule-interacting protein 1 OS=Homo sapiens OX=9606 GN=JAKMIP1 PE=1 SV=1
+MFKLKPEFLIDAGNSLKCCISDRVELELVRFELLENQDKADQMENKLQNEEMELRYIKELLDQNTRILLQKEEVWKSDQGKEVLLKELDEIKAQCRLLDAQLQERTRAEREADLTGGVQEQLLAYARQLAQYERTLQCFRLDAEERATADDLDEEPTAPTRDTRDTNYSTESLTESDVSEEDFGFFTEVVHKKPPKLSKGRHRKSRLLRERELSLDDIVHQQELVQLRLFEIEQEDRTLSLDNLSTHRKLSAQASLKEKMEVNERTLNKIKEEMRQISQLLDENKKNMRKNKEVLPKLQVETERSRKLLENREDALLTNRDELKRIVSNLEAIKLQFRRVDREDMHQDQVGMLEVMDGIGPPLERKPSSHHREAEKVQVLQEDLAEKQLLLKQTQGAQVGLEKELALIVRDKGKIEDMLRRIDRECERKIRHVEDQHAQYAARLDAAKTKDAQMCNSLAEEAQKRAAKLELIEQQLRLREGDFARRAEERAETLLATKVKDAAGDRLVNLTAQLRQLEGEKIKATRAAEQEHQRILGERLAQLEKTKEEHLKAKLESIYATHRRQEQERELKAEQLRERLKGVKSKEQQFEIQISTLKARLEENAMQVADTEMEPKEGKSRGKKSM
+>sp|Q9H910|JUPI2_HUMAN Jupiter microtubule associated homolog 2 OS=Homo sapiens OX=9606 GN=JPT2 PE=1 SV=1
+MFQVPDSEGGRAGSRAMKPPGGESSNLFGSPEEATPSSRPNRMASNIFGPTEEPQNIPKRTNPPGGKGSGIFDESTPVQTRQHLNPPGGKTSDIFGSPVTATSRLAHPNKPKDHVFLCEGEEPKSDLKAARSIPAGAEPGEKGSARKAGPAKEQEPMPTVDSHEPRLGPRPRSHNKVLNPPGGKSSISFY
+>DECOY_sp|Q9H910|JUPI2_HUMAN Jupiter microtubule associated homolog 2 OS=Homo sapiens OX=9606 GN=JPT2 PE=1 SV=1
+YFSISSKGGPPNLVKNHSRPRPGLRPEHSDVTPMPEQEKAPGAKRASGKEGPEAGAPISRAAKLDSKPEEGECLFVHDKPKNPHALRSTATVPSGFIDSTKGGPPNLHQRTQVPTSEDFIGSGKGGPPNTRKPINQPEETPGFINSAMRNPRSSPTAEEPSGFLNSSEGGPPKMARSGARGGESDPVQFM
+>sp|Q15053|K0040_HUMAN Uncharacterized protein KIAA0040 OS=Homo sapiens OX=9606 GN=KIAA0040 PE=1 SV=1
+MHYVHVHRVTTQPRNKPQTKCPSGGQSQGPRGQFLDTVLAAMCPIAMLLTADPGMPPTCLWHTPHAKHKEHLSIHLNMVPKCVHMHVTHTHTNSGSRYVGKYILLIKWSLAMYFVQGSTLSTVTKMSHGKALPDSDTYIQFPNQQGPHTPSIP
+>DECOY_sp|Q15053|K0040_HUMAN Uncharacterized protein KIAA0040 OS=Homo sapiens OX=9606 GN=KIAA0040 PE=1 SV=1
+PISPTHPGQQNPFQIYTDSDPLAKGHSMKTVTSLTSGQVFYMALSWKILLIYKGVYRSGSNTHTHTVHMHVCKPVMNLHISLHEKHKAHPTHWLCTPPMGPDATLLMAIPCMAALVTDLFQGRPGQSQGGSPCKTQPKNRPQTTVRHVHVYHM
+>sp|Q92628|K0232_HUMAN Uncharacterized protein KIAA0232 OS=Homo sapiens OX=9606 GN=KIAA0232 PE=1 SV=5
+MYPICTVVVDGLPSESSSSSYPGPVSVSEMSLLHALGPVQTWLGQELEKCGIDAMIYTRYVLSLLLHDSYDYDLQEQENDIFLGWEKGAYKKWGKSKKKCSDLTLEEMKKQAAVQCLRSASDESSGIETLVEELCSRLKDLQSKQEEKIHKKLEGSPSPEAELSPPAKDQVEMYYEAFPPLSEKPVCLQEIMTVWNKSKVCSYSSSSSSSTAPPASTDTSSPKDCNSESEVTKERSSEVPTTVHEKTQSKSKNEKENKFSNGTIEEKPALYKKQIRHKPEGKIRPRSWSSGSSEAGSSSSGNQGELKASMKYVKVRHKAREIRNKKGRNGQSRLSLKHGEKAERNIHTGSSSSSSSGSVKQLCKRGKRPLKEIGRKDPGSTEGKDLYMENRKDTEYKEEPLWYTEPIAEYFVPLSRKSKLETTYRNRQDTSDLTSEAVEELSESVHGLCISNNNLHKTYLAAGTFIDGHFVEMPAVINEDIDLTGTSLCSLPEDNKYLDDIHLSELTHFYEVDIDQSMLDPGASETMQGESRILNMIRQKSKENTDFEAECCIVLDGMELQGERAIWTDSTSSVGAEGLFLQDLGNLAQFWECCSSSSGDADGESFGGDSPVRLSPILDSTVLNSHLLAGNQELFSDINEGSGINSCFSVFEVQCSNSVLPFSFETLNLGNENTDSSANMLGKTQSRLLIWTKNSAFEENEHCSNLSTRTCSPWSHSEETRSDNETLNIQFEESTQFNAEDINYVVPRVSSNYVDEELLDFLQDETCQQNSRTLGEIPTLVFKKTSKLESVCGIQLEQKTENKNFETTQVCNESPHGDGYSSGVIKDIWTKMADTNSVATVEIERTDAELFSADVNNYCCCLDAEAELETLQEPDKAVRRSEYHLWEGQKESLEKRAFASSELSNVDGGDYTTPSKPWDVAQDKENTFILGGVYGELKTFNSDGEWAVVPPSHTKGSLLQCAASDVVTIAGTDVFMTPGNSFAPGHRQLWKPFVSFEQNDQPKSGENGLNKGFSFIFHEDLLGACGNFQVEDPGLEYSFSSFDLSNPFSQVLHVECSFEPEGIASFSPSFKPKSILCSDSDSEVFHPRICGVDRTQYRAIRISPRTHFRPISASELSPGGGSESEFESEKDEANIPIPSQVDIFEDPQADLKPLEEDAEKEGHYYGKSELESGKFLPRLKKSGMEKSAQTSLDSQEESTGILSVGKQNQCLECSMNESLEIDLESSEANCKIMAQCEEEINNFCGCKAGCQFPAYEDNPVSSGQLEEFPVLNTDIQGMNRSQEKQTWWEKALYSPLFPASECEECYTNAKGESGLEEYPDAKETPSNEERLLDFNRVSSVYEARCTGERDSGAKSDGFRGKMCSSASSTSEETGSEGGGEWVGPSEEELFSRTHL
+>DECOY_sp|Q92628|K0232_HUMAN Uncharacterized protein KIAA0232 OS=Homo sapiens OX=9606 GN=KIAA0232 PE=1 SV=5
+LHTRSFLEEESPGVWEGGGESGTEESTSSASSCMKGRFGDSKAGSDREGTCRAEYVSSVRNFDLLREENSPTEKADPYEELGSEGKANTYCEECESAPFLPSYLAKEWWTQKEQSRNMGQIDTNLVPFEELQGSSVPNDEYAPFQCGAKCGCFNNIEEECQAMIKCNAESSELDIELSENMSCELCQNQKGVSLIGTSEEQSDLSTQASKEMGSKKLRPLFKGSELESKGYYHGEKEADEELPKLDAQPDEFIDVQSPIPINAEDKESEFESESGGGPSLESASIPRFHTRPSIRIARYQTRDVGCIRPHFVESDSDSCLISKPKFSPSFSAIGEPEFSCEVHLVQSFPNSLDFSSFSYELGPDEVQFNGCAGLLDEHFIFSFGKNLGNEGSKPQDNQEFSVFPKWLQRHGPAFSNGPTMFVDTGAITVVDSAACQLLSGKTHSPPVVAWEGDSNFTKLEGYVGGLIFTNEKDQAVDWPKSPTTYDGGDVNSLESSAFARKELSEKQGEWLHYESRRVAKDPEQLTELEAEADLCCCYNNVDASFLEADTREIEVTAVSNTDAMKTWIDKIVGSSYGDGHPSENCVQTTEFNKNETKQELQIGCVSELKSTKKFVLTPIEGLTRSNQQCTEDQLFDLLEEDVYNSSVRPVVYNIDEANFQTSEEFQINLTENDSRTEESHSWPSCTRTSLNSCHENEEFASNKTWILLRSQTKGLMNASSDTNENGLNLTEFSFPLVSNSCQVEFVSFCSNIGSGENIDSFLEQNGALLHSNLVTSDLIPSLRVPSDGGFSEGDADGSSSSCCEWFQALNGLDQLFLGEAGVSSTSDTWIAREGQLEMGDLVICCEAEFDTNEKSKQRIMNLIRSEGQMTESAGPDLMSQDIDVEYFHTLESLHIDDLYKNDEPLSCLSTGTLDIDENIVAPMEVFHGDIFTGAALYTKHLNNNSICLGHVSESLEEVAESTLDSTDQRNRYTTELKSKRSLPVFYEAIPETYWLPEEKYETDKRNEMYLDKGETSGPDKRGIEKLPRKGRKCLQKVSGSSSSSSSGTHINREAKEGHKLSLRSQGNRGKKNRIERAKHRVKVYKMSAKLEGQNGSSSSGAESSGSSWSRPRIKGEPKHRIQKKYLAPKEEITGNSFKNEKENKSKSQTKEHVTTPVESSREKTVESESNCDKPSSTDTSAPPATSSSSSSSYSCVKSKNWVTMIEQLCVPKESLPPFAEYYMEVQDKAPPSLEAEPSPSGELKKHIKEEQKSQLDKLRSCLEEVLTEIGSSEDSASRLCQVAAQKKMEELTLDSCKKKSKGWKKYAGKEWGLFIDNEQEQLDYDYSDHLLLSLVYRTYIMADIGCKELEQGLWTQVPGLAHLLSMESVSVPGPYSSSSSESPLGDVVVTCIPYM
+>sp|Q8IV33|K0825_HUMAN Uncharacterized protein KIAA0825 OS=Homo sapiens OX=9606 GN=KIAA0825 PE=2 SV=3
+MDWDDEYSHNSFDLHCLLNSFPGDLEFEQIFSDIDEKIEQNAASIKHCIKEIQSEINKQCPGVQLQTTTDCFEWLTNYNYSTSESSFISHGDLIKFFKTLQDLLKNEQNQEEMTLDLLWDLSCHSSVSFPSTLSGTSFHFLSRTSLHSVEDNSSMDVKSMWDDIRLHLRRFLVSKLQSHNEINNSQQKILLKKQCLQQLLFLYPESEVIIKYQNIQNKLLANLLWNCFPSYNRDSNLDVIAHGYQSTMLKLYSVIKEDFNTLCEILAPSSMVKFIKETYLDTVTEEMAKFLENFCELQFRENAVRVVKTSKSSSKHRGAVHALVTTECPQKGRNFSLPLDKVEFLSQLIKSFMKLEKGVQELFDEILLSLKITRDTSGILEKSDREVVMEKPRANETNIPSEQSLPGKEATLLDFGWRSAFKEVSLPMAHCVVTAIEGFSTKILQQEQNERSSAVSYAMNLVNVQQVWQDSHMFPEEEQPKKIGKFCSDIMEKLDTMLPLALACRDDSFQEIRANLVEACCKVATAVLQRLQERAKEVPSKAPLKNLHTYLSTAVYVFQHFKRYDNLMKEMTKKPIFLVLVQRYQEFINTLQFQVTNYCVRVCATSILQDAESHHWDDYKAFYEGERCSFSIQMWHYFCWSLHYDLWTILPPKLAQEILVEVLEKSLSLLASRYARAHPSRKRTPQLRLDVTTILICTENMLWSVCTSVQKLLNPHQHTDDKIFKIHTHCNNLFTTLVILTSPLTELYKTFQHGLDESASDSLKSFFKQPLYWVSCISHFYPSLLRTPSAGGLKAEGQLKLLLSQPRCNWNLLLETLLHHDGLLLRILLKSSKQVSDTENNLNQGPSLMEAIFKILYHCSFSPQTFANVFVSYMEEEQLWDFLYNIPVSTCVEYELEVIRCLRLALTDAIKDTVQQIVSVMSSRRNCETNLNKHIVPDCLLESMPKEWNYSPKETNRKESCKSFTRLTAQAVSIVISKLPTVIACLPPPVKYFFFLSERKMSKKFVELKKAGLLVWNLIVIICRIFEDGNTVELLTGASLDRWSKEKLGLICMCLKSIMGDQTSIHNQMIQKVIQSIEQQKPNWIERQLLKARKLSTECAFMTIEKSTALQEGDVALELTEQKINTMVLDLCHKPGGREYLRQIYHIMQLNEEYLKEQLFSMNSSEEKPLPIRPLKTTLRSIEDQPSAFNPFHVYKAFSENMLDQSAITKWNWNWAKLLPNYLRLDKMTFSVLLKNRWEMKKDETLEEEEKAILEHLKQICTPQNSSASDNIEEQ
+>DECOY_sp|Q8IV33|K0825_HUMAN Uncharacterized protein KIAA0825 OS=Homo sapiens OX=9606 GN=KIAA0825 PE=2 SV=3
+QEEINDSASSNQPTCIQKLHELIAKEEEELTEDKKMEWRNKLLVSFTMKDLRLYNPLLKAWNWNWKTIASQDLMNESFAKYVHFPNFASPQDEISRLTTKLPRIPLPKEESSNMSFLQEKLYEENLQMIHYIQRLYERGGPKHCLDLVMTNIKQETLELAVDGEQLATSKEITMFACETSLKRAKLLQREIWNPKQQEISQIVKQIMQNHISTQDGMISKLCMCILGLKEKSWRDLSAGTLLEVTNGDEFIRCIIVILNWVLLGAKKLEVFKKSMKRESLFFFYKVPPPLCAIVTPLKSIVISVAQATLRTFSKCSEKRNTEKPSYNWEKPMSELLCDPVIHKNLNTECNRRSSMVSVIQQVTDKIADTLALRLCRIVELEYEVCTSVPINYLFDWLQEEEMYSVFVNAFTQPSFSCHYLIKFIAEMLSPGQNLNNETDSVQKSSKLLIRLLLGDHHLLTELLLNWNCRPQSLLLKLQGEAKLGGASPTRLLSPYFHSICSVWYLPQKFFSKLSDSASEDLGHQFTKYLETLPSTLIVLTTFLNNCHTHIKFIKDDTHQHPNLLKQVSTCVSWLMNETCILITTVDLRLQPTRKRSPHARAYRSALLSLSKELVEVLIEQALKPPLITWLDYHLSWCFYHWMQISFSCREGEYFAKYDDWHHSEADQLISTACVRVCYNTVQFQLTNIFEQYRQVLVLFIPKKTMEKMLNDYRKFHQFVYVATSLYTHLNKLPAKSPVEKAREQLRQLVATAVKCCAEVLNARIEQFSDDRCALALPLMTDLKEMIDSCFKGIKKPQEEEPFMHSDQWVQQVNVLNMAYSVASSRENQEQQLIKTSFGEIATVVCHAMPLSVEKFASRWGFDLLTAEKGPLSQESPINTENARPKEMVVERDSKELIGSTDRTIKLSLLIEDFLEQVGKELKMFSKILQSLFEVKDLPLSFNRGKQPCETTVLAHVAGRHKSSSKSTKVVRVANERFQLECFNELFKAMEETVTDLYTEKIFKVMSSPALIECLTNFDEKIVSYLKLMTSQYGHAIVDLNSDRNYSPFCNWLLNALLKNQINQYKIIVESEPYLFLLQQLCQKKLLIKQQSNNIENHSQLKSVLFRRLHLRIDDWMSKVDMSSNDEVSHLSTRSLFHFSTGSLTSPFSVSSHCSLDWLLDLTMEEQNQENKLLDQLTKFFKILDGHSIFSSESTSYNYNTLWEFCDTTTQLQVGPCQKNIESQIEKICHKISAANQEIKEDIDSFIQEFELDGPFSNLLCHLDFSNHSYEDDWDM
+>sp|P59773|K102L_HUMAN UPF0258 protein KIAA1024-like OS=Homo sapiens OX=9606 GN=KIAA1024L PE=3 SV=2
+MDLSVLPNNNHPDKFLQLDVKSLTRSSALLQASLVRFPGGNYPAAQHWQNLVYSQREKKNIAAQRIRGSSADSLVTADSPPPSMSSVMKNNPLYGDLSLEEAMEERKKNPSWTIEEYDKHSLHTNLSGHLKENPNDLRFWLGDMYTPGFDTLLKKEEKQEKHSKFCRMGLILLVVISILVTIVTIITFFT
+>DECOY_sp|P59773|K102L_HUMAN UPF0258 protein KIAA1024-like OS=Homo sapiens OX=9606 GN=KIAA1024L PE=3 SV=2
+TFFTIITVITVLISIVVLLILGMRCFKSHKEQKEEKKLLTDFGPTYMDGLWFRLDNPNEKLHGSLNTHLSHKDYEEITWSPNKKREEMAEELSLDGYLPNNKMVSSMSPPPSDATVLSDASSGRIRQAAINKKERQSYVLNQWHQAAPYNGGPFRVLSAQLLASSRTLSKVDLQLFKDPHNNNPLVSLDM
+>sp|Q9UPP5|K1107_HUMAN Uncharacterized protein KIAA1107 OS=Homo sapiens OX=9606 GN=KIAA1107 PE=2 SV=2
+MESDRLIISLPRVKWTEAALTMASQLQEKCIAFIVDNFSKIIQSENFALLLQSQAMSSTADLLDTILKAIEENITTENSCSLLMALDTLLNSDSTKEMGFTCKIQALRDKLWIFLVQSFYAVRHTESWKLMSTDDQQKIQAAAFDKGDDRRLGKKPIFSSSQQRKQVSDSGDIKIKSWRGNNKKECWSYLSTNKKMKSDGLGASGHSSSTNRNSINKTLKQDDVKEKDGTKIASKITKELKTGGKNVSGKPKTVTKSKTENGDKARLENMSPRQVVERSATAAAAATGQKNLLNGKGVRNQEGQISGARPKVLTGNLNVQAKAKPLKKATGKDSPCLSIAGPSSRSTDSSMEFSISTECLDEPKENGSTEEEKPSGHKLSFCDSPGQMMKNSVDSVKNSTVAIKSRPVSRVTNGTSNKKSIHEQDTNVNNSVLKKVSGKGCSEPVPQAILKKRGTSNGCTAAQQRTKSTPSNLTKTQGSQGESPNSVKSSVSSRQSDENVAKLDHNTTTEKQAPKRKMVKQVHTALPKVNAKIVAMPKNLNQSKKGETLNNKDSKQKMPPGQVISKTQPSSQRPLKHETSTVQKSMFHDVRDNNNKDSVSEQKPHKPLINLASEISDAEALQSSCRPDPQKPLNDQEKEKLALECQNISKLDKSLKHELESKQICLDKSETKFPNHKETDDCDAANICCHSVGSDNVNSKFYSTTALKYMVSNPNENSLNSNPVCDLDSTSAGQIHLISDRENQVGRKDTNKQSSIKCVEDVSLCNPERTNGTLNSAQEDKKSKVPVEGLTIPSKLSDESAMDEDKHATADSDVSSKCFSGQLSEKNSPKNMETSESPESHETPETPFVGHWNLSTGVLHQRESPESDTGSATTSSDDIKPRSEDYDAGGSQDDDGSNDRGISKCGTMLCHDFLGRSSSDTSTPEELKIYDSNLRIEVKMKKQSNNDLFQVNSTSDDEIPRKRPEIWSRSAIVHSRERENIPRGSVQFAQEIDQVSSSADETEDERSEAENVAENFSISNPAPQQFQGIINLAFEDATENECREFSANKKFKRSVLLSVDECEELGSDEGEVHTPFQASVDSFSPSDVFDGISHEHHGRTCYSRFSRESEDNILECKQNKGNSVCKNESTVLDLSSIDSSRKNKQSVSATEKKNTIDVLSSRSRQLLREDKKVNNGSNVENDIQQRSKFLDSDVKSQERPCHLDLHQREPNSDIPKNSSTKSLDSFRSQVLPQEGPVKESHSTTTEKANIALSAGDIDDCDTLAQTRMYDHRPSKTLSPIYEMDVIEAFEQKVESETHVTDMDFEDDQHFAKQDWTLLKQLLSEQDSNLDVTNSVPEDLSLAQYLINQTLLLARDSSKPQGITHIDTLNRWSELTSPLDSSASITMASFSSEDCSPQGEWTILELETQH
+>DECOY_sp|Q9UPP5|K1107_HUMAN Uncharacterized protein KIAA1107 OS=Homo sapiens OX=9606 GN=KIAA1107 PE=2 SV=2
+HQTELELITWEGQPSCDESSFSAMTISASSDLPSTLESWRNLTDIHTIGQPKSSDRALLLTQNILYQALSLDEPVSNTVDLNSDQESLLQKLLTWDQKAFHQDDEFDMDTVHTESEVKQEFAEIVDMEYIPSLTKSPRHDYMRTQALTDCDDIDGASLAINAKETTTSHSEKVPGEQPLVQSRFSDLSKTSSNKPIDSNPERQHLDLHCPREQSKVDSDLFKSRQQIDNEVNSGNNVKKDERLLQRSRSSLVDITNKKETASVSQKNKRSSDISSLDLVTSENKCVSNGKNQKCELINDESERSFRSYCTRGHHEHSIGDFVDSPSFSDVSAQFPTHVEGEDSGLEECEDVSLLVSRKFKKNASFERCENETADEFALNIIGQFQQPAPNSISFNEAVNEAESREDETEDASSSVQDIEQAFQVSGRPINERERSHVIASRSWIEPRKRPIEDDSTSNVQFLDNNSQKKMKVEIRLNSDYIKLEEPTSTDSSSRGLFDHCLMTGCKSIGRDNSGDDDQSGGADYDESRPKIDDSSTTASGTDSEPSERQHLVGTSLNWHGVFPTEPTEHSEPSESTEMNKPSNKESLQGSFCKSSVDSDATAHKDEDMASEDSLKSPITLGEVPVKSKKDEQASNLTGNTREPNCLSVDEVCKISSQKNTDKRGVQNERDSILHIQGASTSDLDCVPNSNLSNENPNSVMYKLATTSYFKSNVNDSGVSHCCINAADCDDTEKHNPFKTESKDLCIQKSELEHKLSKDLKSINQCELALKEKEQDNLPKQPDPRCSSQLAEADSIESALNILPKHPKQESVSDKNNNDRVDHFMSKQVTSTEHKLPRQSSPQTKSIVQGPPMKQKSDKNNLTEGKKSQNLNKPMAVIKANVKPLATHVQKVMKRKPAQKETTTNHDLKAVNEDSQRSSVSSKVSNPSEGQSGQTKTLNSPTSKTRQQAATCGNSTGRKKLIAQPVPESCGKGSVKKLVSNNVNTDQEHISKKNSTGNTVRSVPRSKIAVTSNKVSDVSNKMMQGPSDCFSLKHGSPKEEETSGNEKPEDLCETSISFEMSSDTSRSSPGAISLCPSDKGTAKKLPKAKAQVNLNGTLVKPRAGSIQGEQNRVGKGNLLNKQGTAAAAATASREVVQRPSMNELRAKDGNETKSKTVTKPKGSVNKGGTKLEKTIKSAIKTGDKEKVDDQKLTKNISNRNTSSSHGSAGLGDSKMKKNTSLYSWCEKKNNGRWSKIKIDGSDSVQKRQQSSSFIPKKGLRRDDGKDFAAAQIKQQDDTSMLKWSETHRVAYFSQVLFIWLKDRLAQIKCTFGMEKTSDSNLLTDLAMLLSCSNETTINEEIAKLITDLLDATSSMAQSQLLLAFNESQIIKSFNDVIFAICKEQLQSAMTLAAETWKVRPLSIILRDSEM
+>sp|Q6ZU35|K1211_HUMAN Uncharacterized protein KIAA1211 OS=Homo sapiens OX=9606 GN=KIAA1211 PE=1 SV=3
+MGTRAFSHDSIFIPDGGAESEQTVQAMSQDNILGKVKTLQQQLGKNIKFGQRSPNAIPMNKANSGEASLEEDLFLTSPMEIVTQQDIVLSDAENKSSDTPSSLSPLNLPGAGSEMEEKVAPVKPSRPKRHFSSAGTIESVNLDAIPLAIARLDNSAAKHKLAVKPKKQRVSKKHRRLAQDPQHEQGGLESRPCLDQNGHPGEDKPTWHEEEPNPLDSEEERRRQEDYWRELEAKCKRQKAEAAEKRRLEEQRLQALERRLWEENRRQELLEEEGEGQEPPLEAERAPREEQQRSLEAPGWEDAERREREERERLEAEEERRRLQAQAQAEERRRLEEDARLEERRRQEEEEGRCAEELKRQEEEEAEGWEELEQQEAEVQGPPEALEETGEGRRGAEEEDLGEEEEEGQAHLEDWRGQLSELLNDFEERLEDQERLKPEGQREHSEEPGICEEQNPEAERRREQQGRSGDFQGADRPGPEEKREEGDTEPLLKQEGPVEAAQPPVERKEAAALEQGRKVEELRWQEVDERQTMPRPYTFQVSSGGKQILFPKVNLSPVTPAKDTGLTAAPQEPKAPKASPVQHALPSSLSVPHTAILVTGAQLCGPAVNLSQIKDTACKSLLGLEEKKHAEAPAGENPPRGPGDARAGSGKAKPRQESPSSASALAEWASIRSRILKNAESDPRSSERDQLRPGDESTPRGRCDSRGNQRKTPPVNAKFSIMPAWQKFSDGGTETSKQSTEAESIRKRPMLGPSEETAPQPPPAGVRELGKGPEKSEMHREPADTTEGCKFAKDLPSFLVPSLPYPPQKVVAHTEFTTSSDSETANGIAKPDPVMPGGEEKASPFGIKLRRTNYSLRFNCDQQAEQKKKKRHSSTGDSADAGPPAAGSARGEKEMEGVALKHGPSLPQERKQAPSTRRDSAEPSSSRSVPVAHPGPPPASSQTPAPEHDKAANKMPLAQKPALAPKPTSQTPPASPLSKLSRPYLVELLSRRAGRPDPEPSEPSKEDQESSDRRPPSPPGPEERKGQKRDEEEEATERKPASPPLPATQQEKPSQTPEAGRKEKPMLQSRHSLDGSKLTEKVETAQPLWITLALQKQKGFREQQATREERKQAREAKQAEKLSKENVSVSVQPGSSSVSRAGSLHKSTALPEEKRPETAVSRLERREQLKKANTLPTSVTVEISDSAPPAPLVKEVTKRFSTPDAAPVSTEPAWLALAKRKAKAWSDCPQIIK
+>DECOY_sp|Q6ZU35|K1211_HUMAN Uncharacterized protein KIAA1211 OS=Homo sapiens OX=9606 GN=KIAA1211 PE=1 SV=3
+KIIQPCDSWAKAKRKALALWAPETSVPAADPTSFRKTVEKVLPAPPASDSIEVTVSTPLTNAKKLQERRELRSVATEPRKEEPLATSKHLSGARSVSSSGPQVSVSVNEKSLKEAQKAERAQKREERTAQQERFGKQKQLALTIWLPQATEVKETLKSGDLSHRSQLMPKEKRGAEPTQSPKEQQTAPLPPSAPKRETAEEEEDRKQGKREEPGPPSPPRRDSSEQDEKSPESPEPDPRGARRSLLEVLYPRSLKSLPSAPPTQSTPKPALAPKQALPMKNAAKDHEPAPTQSSAPPPGPHAVPVSRSSSPEASDRRTSPAQKREQPLSPGHKLAVGEMEKEGRASGAAPPGADASDGTSSHRKKKKQEAQQDCNFRLSYNTRRLKIGFPSAKEEGGPMVPDPKAIGNATESDSSTTFETHAVVKQPPYPLSPVLFSPLDKAFKCGETTDAPERHMESKEPGKGLERVGAPPPQPATEESPGLMPRKRISEAETSQKSTETGGDSFKQWAPMISFKANVPPTKRQNGRSDCRGRPTSEDGPRLQDRESSRPDSEANKLIRSRISAWEALASASSPSEQRPKAKGSGARADGPGRPPNEGAPAEAHKKEELGLLSKCATDKIQSLNVAPGCLQAGTVLIATHPVSLSSPLAHQVPSAKPAKPEQPAATLGTDKAPTVPSLNVKPFLIQKGGSSVQFTYPRPMTQREDVEQWRLEEVKRGQELAAAEKREVPPQAAEVPGEQKLLPETDGEERKEEPGPRDAGQFDGSRGQQERRREAEPNQEECIGPEESHERQGEPKLREQDELREEFDNLLESLQGRWDELHAQGEEEEEGLDEEEAGRRGEGTEELAEPPGQVEAEQQELEEWGEAEEEEQRKLEEACRGEEEEQRRREELRADEELRRREEAQAQAQLRRREEEAELREREERERREADEWGPAELSRQQEERPAREAELPPEQGEGEEELLEQRRNEEWLRRELAQLRQEELRRKEAAEAKQRKCKAELERWYDEQRRREEESDLPNPEEEHWTPKDEGPHGNQDLCPRSELGGQEHQPDQALRRHKKSVRQKKPKVALKHKAASNDLRAIALPIADLNVSEITGASSFHRKPRSPKVPAVKEEMESGAGPLNLPSLSSPTDSSKNEADSLVIDQQTVIEMPSTLFLDEELSAEGSNAKNMPIANPSRQGFKINKGLQQQLTKVKGLINDQSMAQVTQESEAGGDPIFISDHSFARTGM
+>sp|P19012|K1C15_HUMAN Keratin, type I cytoskeletal 15 OS=Homo sapiens OX=9606 GN=KRT15 PE=1 SV=3
+MTTTFLQTSSSTFGGGSTRGGSLLAGGGGFGGGSLSGGGGSRSISASSARFVSSGSGGGYGGGMRVCGFGGGAGSVFGGGFGGGVGGGFGGGFGGGDGGLLSGNEKITMQNLNDRLASYLDKVRALEEANADLEVKIHDWYQKQTPTSPECDYSQYFKTIEELRDKIMATTIDNSRVILEIDNARLAADDFRLKYENELALRQGVEADINGLRRVLDELTLARTDLEMQIEGLNEELAYLKKNHEEEMKEFSSQLAGQVNVEMDAAPGVDLTRVLAEMREQYEAMAEKNRRDVEAWFFSKTEELNKEVASNTEMIQTSKTEITDLRRTMQELEIELQSQLSMKAGLENSLAETECRYATQLQQIQGLIGGLEAQLSELRCEMEAQNQEYKMLLDIKTRLEQEIATYRSLLEGQDAKMAGIAIREASSGGGGSSSNFHINVEESVDGQVVSSHKREI
+>DECOY_sp|P19012|K1C15_HUMAN Keratin, type I cytoskeletal 15 OS=Homo sapiens OX=9606 GN=KRT15 PE=1 SV=3
+IERKHSSVVQGDVSEEVNIHFNSSSGGGGSSAERIAIGAMKADQGELLSRYTAIEQELRTKIDLLMKYEQNQAEMECRLESLQAELGGILGQIQQLQTAYRCETEALSNELGAKMSLQSQLEIELEQMTRRLDTIETKSTQIMETNSAVEKNLEETKSFFWAEVDRRNKEAMAEYQERMEALVRTLDVGPAADMEVNVQGALQSSFEKMEEEHNKKLYALEENLGEIQMELDTRALTLEDLVRRLGNIDAEVGQRLALENEYKLRFDDAALRANDIELIVRSNDITTAMIKDRLEEITKFYQSYDCEPSTPTQKQYWDHIKVELDANAEELARVKDLYSALRDNLNQMTIKENGSLLGGDGGGFGGGFGGGVGGGFGGGFVSGAGGGFGCVRMGGGYGGGSGSSVFRASSASISRSGGGGSLSGGGFGGGGALLSGGRTSGGGFTSSSTQLFTTTM
+>sp|Q04695|K1C17_HUMAN Keratin, type I cytoskeletal 17 OS=Homo sapiens OX=9606 GN=KRT17 PE=1 SV=2
+MTTSIRQFTSSSSIKGSSGLGGGSSRTSCRLSGGLGAGSCRLGSAGGLGSTLGGSSYSSCYSFGSGGGYGSSFGGVDGLLAGGEKATMQNLNDRLASYLDKVRALEEANTELEVKIRDWYQRQAPGPARDYSQYYRTIEELQNKILTATVDNANILLQIDNARLAADDFRTKFETEQALRLSVEADINGLRRVLDELTLARADLEMQIENLKEELAYLKKNHEEEMNALRGQVGGEINVEMDAAPGVDLSRILNEMRDQYEKMAEKNRKDAEDWFFSKTEELNREVATNSELVQSGKSEISELRRTMQALEIELQSQLSMKASLEGNLAETENRYCVQLSQIQGLIGSVEEQLAQLRCEMEQQNQEYKILLDVKTRLEQEIATYRRLLEGEDAHLTQYKKEPVTTRQVRTIVEEVQDGKVISSREQVHQTTR
+>DECOY_sp|Q04695|K1C17_HUMAN Keratin, type I cytoskeletal 17 OS=Homo sapiens OX=9606 GN=KRT17 PE=1 SV=2
+RTTQHVQERSSIVKGDQVEEVITRVQRTTVPEKKYQTLHADEGELLRRYTAIEQELRTKVDLLIKYEQNQQEMECRLQALQEEVSGILGQIQSLQVCYRNETEALNGELSAKMSLQSQLEIELAQMTRRLESIESKGSQVLESNTAVERNLEETKSFFWDEADKRNKEAMKEYQDRMENLIRSLDVGPAADMEVNIEGGVQGRLANMEEEHNKKLYALEEKLNEIQMELDARALTLEDLVRRLGNIDAEVSLRLAQETEFKTRFDDAALRANDIQLLINANDVTATLIKNQLEEITRYYQSYDRAPGPAQRQYWDRIKVELETNAEELARVKDLYSALRDNLNQMTAKEGGALLGDVGGFSSGYGGGSGFSYCSSYSSGGLTSGLGGASGLRCSGAGLGGSLRCSTRSSGGGLGSSGKISSSSTFQRISTTM
+>sp|P05783|K1C18_HUMAN Keratin, type I cytoskeletal 18 OS=Homo sapiens OX=9606 GN=KRT18 PE=1 SV=2
+MSFTTRSTFSTNYRSLGSVQAPSYGARPVSSAASVYAGAGGSGSRISVSRSTSFRGGMGSGGLATGIAGGLAGMGGIQNEKETMQSLNDRLASYLDRVRSLETENRRLESKIREHLEKKGPQVRDWSHYFKIIEDLRAQIFANTVDNARIVLQIDNARLAADDFRVKYETELAMRQSVENDIHGLRKVIDDTNITRLQLETEIEALKEELLFMKKNHEEEVKGLQAQIASSGLTVEVDAPKSQDLAKIMADIRAQYDELARKNREELDKYWSQQIEESTTVVTTQSAEVGAAETTLTELRRTVQSLEIDLDSMRNLKASLENSLREVEARYALQMEQLNGILLHLESELAQTRAEGQRQAQEYEALLNIKVKLEAEIATYRRLLEDGEDFNLGDALDSSNSMQTIQKTTTRRIVDGKVVSETNDTKVLRH
+>DECOY_sp|P05783|K1C18_HUMAN Keratin, type I cytoskeletal 18 OS=Homo sapiens OX=9606 GN=KRT18 PE=1 SV=2
+HRLVKTDNTESVVKGDVIRRTTTKQITQMSNSSDLADGLNFDEGDELLRRYTAIEAELKVKINLLAEYEQAQRQGEARTQALESELHLLIGNLQEMQLAYRAEVERLSNELSAKLNRMSDLDIELSQVTRRLETLTTEAAGVEASQTTVVTTSEEIQQSWYKDLEERNKRALEDYQARIDAMIKALDQSKPADVEVTLGSSAIQAQLGKVEEEHNKKMFLLEEKLAEIETELQLRTINTDDIVKRLGHIDNEVSQRMALETEYKVRFDDAALRANDIQLVIRANDVTNAFIQARLDEIIKFYHSWDRVQPGKKELHERIKSELRRNETELSRVRDLYSALRDNLSQMTEKENQIGGMGALGGAIGTALGGSGMGGRFSTSRSVSIRSGSGGAGAYVSAASSVPRAGYSPAQVSGLSRYNTSFTSRTTFSM
+>sp|P35900|K1C20_HUMAN Keratin, type I cytoskeletal 20 OS=Homo sapiens OX=9606 GN=KRT20 PE=1 SV=1
+MDFSRRSFHRSLSSSLQAPVVSTVGMQRLGTTPSVYGGAGGRGIRISNSRHTVNYGSDLTGGGDLFVGNEKMAMQNLNDRLASYLEKVRTLEQSNSKLEVQIKQWYETNAPRAGRDYSAYYRQIEELRSQIKDAQLQNARCVLQIDNAKLAAEDFRLKYETERGIRLTVEADLQGLNKVFDDLTLHKTDLEIQIEELNKDLALLKKEHQEEVDGLHKHLGNTVNVEVDAAPGLNLGVIMNEMRQKYEVMAQKNLQEAKEQFERQTAVLQQQVTVNTEELKGTEVQLTELRRTSQSLEIELQSHLSMKESLEHTLEETKARYSSQLANLQSLLSSLEAQLMQIRSNMERQNNEYHILLDIKTRLEQEIATYRRLLEGEDVKTTEYQLSTLEERDIKKTRKIKTVVQEVVDGKVVSSEVKEVEENI
+>DECOY_sp|P35900|K1C20_HUMAN Keratin, type I cytoskeletal 20 OS=Homo sapiens OX=9606 GN=KRT20 PE=1 SV=1
+INEEVEKVESSVVKGDVVEQVVTKIKRTKKIDREELTSLQYETTKVDEGELLRRYTAIEQELRTKIDLLIHYENNQREMNSRIQMLQAELSSLLSQLNALQSSYRAKTEELTHELSEKMSLHSQLEIELSQSTRRLETLQVETGKLEETNVTVQQQLVATQREFQEKAEQLNKQAMVEYKQRMENMIVGLNLGPAADVEVNVTNGLHKHLGDVEEQHEKKLLALDKNLEEIQIELDTKHLTLDDFVKNLGQLDAEVTLRIGRETEYKLRFDEAALKANDIQLVCRANQLQADKIQSRLEEIQRYYASYDRGARPANTEYWQKIQVELKSNSQELTRVKELYSALRDNLNQMAMKENGVFLDGGGTLDSGYNVTHRSNSIRIGRGGAGGYVSPTTGLRQMGVTSVVPAQLSSSLSRHFSRRSFDM
+>sp|Q2M2I5|K1C24_HUMAN Keratin, type I cytoskeletal 24 OS=Homo sapiens OX=9606 GN=KRT24 PE=1 SV=1
+MSCSSRASSSRAGGSSSARVSAGGSSFSSGSRCGLGGSSAQGFRGGASSCSLSGGSSGAFGGSFGGGFGSCSVGGGFGGASGSGTGFGGGSSFGGVSGFGRGSGFCGSSRFSSGATGGFYSYGGGMGGGVGDGGLFSGGEKQTMQNLNDRLANYLDKVRALEEANTDLENKIKEWYDKYGPGSGDGGSGRDYSKYYSIIEDLRNQIIAATVENAGIILHIDNARLAADDFRLKYENELCLRQSVEADINGLRKVLDDLTMTRSDLEMQIESFTEELAYLRKNHEEEMKNMQGSSGGEVTVEMNAAPGTDLTKLLNDMRAQYEELAEQNRREAEERFNKQSASLQAQISTDAGAATSAKNEITELKRTLQALEIELQSQLAMKSSLEGTLADTEAGYVAQLSEIQTQISALEEEICQIWGETKCQNAEYKQLLDIKTRLEVEIETYRRLLDGEGGGSSFAEFGGRNSGSVNMGSRDLVSGDSRSGSCSGQGRDSSKTRVTKTIVEELVDGKVVSSQVSSISEVKVK
+>DECOY_sp|Q2M2I5|K1C24_HUMAN Keratin, type I cytoskeletal 24 OS=Homo sapiens OX=9606 GN=KRT24 PE=1 SV=1
+KVKVESISSVQSSVVKGDVLEEVITKTVRTKSSDRGQGSCSGSRSDGSVLDRSGMNVSGSNRGGFEAFSSGGGEGDLLRRYTEIEVELRTKIDLLQKYEANQCKTEGWIQCIEEELASIQTQIESLQAVYGAETDALTGELSSKMALQSQLEIELAQLTRKLETIENKASTAAGADTSIQAQLSASQKNFREEAERRNQEALEEYQARMDNLLKTLDTGPAANMEVTVEGGSSGQMNKMEEEHNKRLYALEETFSEIQMELDSRTMTLDDLVKRLGNIDAEVSQRLCLENEYKLRFDDAALRANDIHLIIGANEVTAAIIQNRLDEIISYYKSYDRGSGGDGSGPGYKDYWEKIKNELDTNAEELARVKDLYNALRDNLNQMTQKEGGSFLGGDGVGGGMGGGYSYFGGTAGSSFRSSGCFGSGRGFGSVGGFSSGGGFGTGSGSAGGFGGGVSCSGFGGGFSGGFAGSSGGSLSCSSAGGRFGQASSGGLGCRSGSSFSSGGASVRASSSGGARSSSARSSCSM
+>sp|Q8IYS2|K2013_HUMAN Uncharacterized protein KIAA2013 OS=Homo sapiens OX=9606 GN=KIAA2013 PE=1 SV=1
+MWLQQRLKGLPGLLSSSWARRLLCLLGLLLLLLWFGGSGARRAAGGLHLLPWSRGEPGAAEPSACLEAATRAWRGLRERGEVVPLGPGVPALVANGFLALDVAANRLWVTPGEREPAVAPDFVPFVQLRPLSALAEAGEAVLLLREGLLRRVRCLQLGSPGPGPVAAGPGPASVSGLAAGSGRDCVLLQEDFLAHRGRPHVYLQRIQLNNPTERVAALQTVGPTAGPAPKAFTSTLEKVGDHQFLLYSGRSPPTPTGLVHLVVVAAKKLVNRLQVAPKTQLDETVLWVVHVSGPINPQVLKSKAAKELKALQDLARKEMLELLDMPAAELLQDHQLLWAQLFSPGVEMKKITDTHTPSGLTVNLTLYYMLSCSPAPLLSPSLSHRERDQMESTLNYEDHCFSGHATMHAENLWPGRLSSVQQILQLSDLWRLTLQKRGCKGLVKVGAPGILQGMVLSFGGLQFTENHLQFQADPDVLHNSYALHGIRYKNDHINLAVLADAEGKPYLHVSVESRGQPVKIYACKAGCLDEPVELTSAPTGHTFSVMVTQPITPLLYISTDLTHLQDLRHTLHLKAILAHDEHMAQQDPGLPFLFWFSVASLITLFHLFLFKLIYNEYCGPGAKPLFRSKEDPSV
+>DECOY_sp|Q8IYS2|K2013_HUMAN Uncharacterized protein KIAA2013 OS=Homo sapiens OX=9606 GN=KIAA2013 PE=1 SV=1
+VSPDEKSRFLPKAGPGCYENYILKFLFLHFLTILSAVSFWFLFPLGPDQQAMHEDHALIAKLHLTHRLDQLHTLDTSIYLLPTIPQTVMVSFTHGTPASTLEVPEDLCGAKCAYIKVPQGRSEVSVHLYPKGEADALVALNIHDNKYRIGHLAYSNHLVDPDAQFQLHNETFQLGGFSLVMGQLIGPAGVKVLGKCGRKQLTLRWLDSLQLIQQVSSLRGPWLNEAHMTAHGSFCHDEYNLTSEMQDRERHSLSPSLLPAPSCSLMYYLTLNVTLGSPTHTDTIKKMEVGPSFLQAWLLQHDQLLEAAPMDLLELMEKRALDQLAKLEKAAKSKLVQPNIPGSVHVVWLVTEDLQTKPAVQLRNVLKKAAVVVLHVLGTPTPPSRGSYLLFQHDGVKELTSTFAKPAPGATPGVTQLAAVRETPNNLQIRQLYVHPRGRHALFDEQLLVCDRGSGAALGSVSAPGPGAAVPGPGPSGLQLCRVRRLLGERLLLVAEGAEALASLPRLQVFPVFDPAVAPEREGPTVWLRNAAVDLALFGNAVLAPVGPGLPVVEGRERLGRWARTAAELCASPEAAGPEGRSWPLLHLGGAARRAGSGGFWLLLLLLGLLCLLRRAWSSSLLGPLGKLRQQLWM
+>sp|P19013|K2C4_HUMAN Keratin, type II cytoskeletal 4 OS=Homo sapiens OX=9606 GN=KRT4 PE=1 SV=4
+MIARQQCVRGGPRGFSCGSAIVGGGKRGAFSSVSMSGGAGRCSSGGFGSRSLYNLRGNKSISMSVAGSRQGACFGGAGGFGTGGFGAGGFGAGFGTGGFGGGFGGSFSGKGGPGFPVCPAGGIQEVTINQSLLTPLHVEIDPEIQKVRTEEREQIKLLNNKFASFIDKVQFLEQQNKVLETKWNLLQQQTTTTSSKNLEPLFETYLSVLRKQLDTLGNDKGRLQSELKTMQDSVEDFKTKYEEEINKRTAAENDFVVLKKDVDAAYLNKVELEAKVDSLNDEINFLKVLYDAELSQMQTHVSDTSVVLSMDNNRNLDLDSIIAEVRAQYEEIAQRSKAEAEALYQTKVQQLQISVDQHGDNLKNTKSEIAELNRMIQRLRAEIENIKKQCQTLQVSVADAEQRGENALKDAHSKRVELEAALQQAKEELARMLREYQELMSVKLALDIEIATYRKLLEGEEYRMSGECQSAVSISVVSGSTSTGGISGGLGSGSGFGLSSGFGSGSGSGFGFGGSVSGSSSSKIISTTTLNKRR
+>DECOY_sp|P19013|K2C4_HUMAN Keratin, type II cytoskeletal 4 OS=Homo sapiens OX=9606 GN=KRT4 PE=1 SV=4
+RRKNLTTTSIIKSSSSGSVSGGFGFGSGSGSGFGSSLGFGSGSGLGGSIGGTSTSGSVVSISVASQCEGSMRYEEGELLKRYTAIEIDLALKVSMLEQYERLMRALEEKAQQLAAELEVRKSHADKLANEGRQEADAVSVQLTQCQKKINEIEARLRQIMRNLEAIESKTNKLNDGHQDVSIQLQQVKTQYLAEAEAKSRQAIEEYQARVEAIISDLDLNRNNDMSLVVSTDSVHTQMQSLEADYLVKLFNIEDNLSDVKAELEVKNLYAADVDKKLVVFDNEAATRKNIEEEYKTKFDEVSDQMTKLESQLRGKDNGLTDLQKRLVSLYTEFLPELNKSSTTTTQQQLLNWKTELVKNQQELFQVKDIFSAFKNNLLKIQEREETRVKQIEPDIEVHLPTLLSQNITVEQIGGAPCVPFGPGGKGSFSGGFGGGFGGTGFGAGFGGAGFGGTGFGGAGGFCAGQRSGAVSMSISKNGRLNYLSRSGFGGSSCRGAGGSMSVSSFAGRKGGGVIASGCSFGRPGGRVCQQRAIM
+>sp|P02538|K2C6A_HUMAN Keratin, type II cytoskeletal 6A OS=Homo sapiens OX=9606 GN=KRT6A PE=1 SV=3
+MASTSTTIRSHSSSRRGFSANSARLPGVSRSGFSSVSVSRSRGSGGLGGACGGAGFGSRSLYGLGGSKRISIGGGSCAISGGYGSRAGGSYGFGGAGSGFGFGGGAGIGFGLGGGAGLAGGFGGPGFPVCPPGGIQEVTVNQSLLTPLNLQIDPTIQRVRAEEREQIKTLNNKFASFIDKVRFLEQQNKVLETKWTLLQEQGTKTVRQNLEPLFEQYINNLRRQLDSIVGERGRLDSELRGMQDLVEDFKNKYEDEINKRTAAENEFVTLKKDVDAAYMNKVELQAKADTLTDEINFLRALYDAELSQMQTHISDTSVVLSMDNNRNLDLDSIIAEVKAQYEEIAQRSRAEAESWYQTKYEELQVTAGRHGDDLRNTKQEIAEINRMIQRLRSEIDHVKKQCANLQAAIADAEQRGEMALKDAKNKLEGLEDALQKAKQDLARLLKEYQELMNVKLALDVEIATYRKLLEGEECRLNGEGVGQVNISVVQSTVSSGYGGASGVGSGLGLGGGSSYSYGSGLGVGGGFSSSSGRAIGGGLSSVGGGSSTIKYTTTSSSSRKSYKH
+>DECOY_sp|P02538|K2C6A_HUMAN Keratin, type II cytoskeletal 6A OS=Homo sapiens OX=9606 GN=KRT6A PE=1 SV=3
+HKYSKRSSSSTTTYKITSSGGGVSSLGGGIARGSSSSFGGGVGLGSGYSYSSGGGLGLGSGVGSAGGYGSSVTSQVVSINVQGVGEGNLRCEEGELLKRYTAIEVDLALKVNMLEQYEKLLRALDQKAKQLADELGELKNKADKLAMEGRQEADAIAAQLNACQKKVHDIESRLRQIMRNIEAIEQKTNRLDDGHRGATVQLEEYKTQYWSEAEARSRQAIEEYQAKVEAIISDLDLNRNNDMSLVVSTDSIHTQMQSLEADYLARLFNIEDTLTDAKAQLEVKNMYAADVDKKLTVFENEAATRKNIEDEYKNKFDEVLDQMGRLESDLRGREGVISDLQRRLNNIYQEFLPELNQRVTKTGQEQLLTWKTELVKNQQELFRVKDIFSAFKNNLTKIQEREEARVRQITPDIQLNLPTLLSQNVTVEQIGGPPCVPFGPGGFGGALGAGGGLGFGIGAGGGFGFGSGAGGFGYSGGARSGYGGSIACSGGGISIRKSGGLGYLSRSGFGAGGCAGGLGGSGRSRSVSVSSFGSRSVGPLRASNASFGRRSSSHSRITTSTSAM
+>sp|Q14CN4|K2C72_HUMAN Keratin, type II cytoskeletal 72 OS=Homo sapiens OX=9606 GN=KRT72 PE=1 SV=2
+MSRQLTHFPRGERLGFSGCSAVLSGGIGSSSASFRARVKGSASFGSKSLSCLGGSRSLALSAAARRGGGRLGGFVGTAFGSAGLGPKCPSVCPPGGIPQVTVNKSLLAPLNVEMDPEIQRVRAQEREQIKALNNKFASFIDKVRFLEQQNQVLETKWNLLQQLDLNNCRKNLEPIYEGYISNLQKQLEMLSGDGVRLDSELRNMQDLVEDYKKRYEVEINRRTAAENEFVVLKKDVDAAYMNKVELQAKVDSLTDEIKFFKCLYEGEITQIQSHISDTSIVLSMDNNRDLDLDSIIAEVRAQYEEIALKSKAEAETLYQTKIQELQVTAGQHGDDLKLTKAEISELNRLIQRIRSEIGNVKKQCADLETAIADAEQRGDCALKDARAKLDELEGALHQAKEELARMLREYQELVSLKLALDMEIATYRKLLESEECRMSGEYPNSVSISVISSTNAGAGGAGFSMGFGASSSYSYKTAAADVKTKGSCGSELKDPLAKTSGSSCATKKASR
+>DECOY_sp|Q14CN4|K2C72_HUMAN Keratin, type II cytoskeletal 72 OS=Homo sapiens OX=9606 GN=KRT72 PE=1 SV=2
+RSAKKTACSSGSTKALPDKLESGCSGKTKVDAAATKYSYSSSAGFGMSFGAGGAGANTSSIVSISVSNPYEGSMRCEESELLKRYTAIEMDLALKLSVLEQYERLMRALEEKAQHLAGELEDLKARADKLACDGRQEADAIATELDACQKKVNGIESRIRQILRNLESIEAKTLKLDDGHQGATVQLEQIKTQYLTEAEAKSKLAIEEYQARVEAIISDLDLDRNNDMSLVISTDSIHSQIQTIEGEYLCKFFKIEDTLSDVKAQLEVKNMYAADVDKKLVVFENEAATRRNIEVEYRKKYDEVLDQMNRLESDLRVGDGSLMELQKQLNSIYGEYIPELNKRCNNLDLQQLLNWKTELVQNQQELFRVKDIFSAFKNNLAKIQEREQARVRQIEPDMEVNLPALLSKNVTVQPIGGPPCVSPCKPGLGASGFATGVFGGLRGGGRRAAASLALSRSGGLCSLSKSGFSASGKVRARFSASSSGIGGSLVASCGSFGLREGRPFHTLQRSM
+>sp|O95678|K2C75_HUMAN Keratin, type II cytoskeletal 75 OS=Homo sapiens OX=9606 GN=KRT75 PE=1 SV=2
+MSRQSSITFQSGSRRGFSTTSAITPAAGRSRFSSVSVARSAAGSGGLGRISSAGASFGSRSLYNLGGAKRVSINGCGSSCRSGFGGRASNRFGVNSGFGYGGGVGGGFSGPSFPVCPPGGIQEVTVNQSLLTPLHLQIDPTIQRVRAEEREQIKTLNNKFASFIDKVRFLEQQNKVLETKWALLQEQGSRTVRQNLEPLFDSYTSELRRQLESITTERGRLEAELRNMQDVVEDFKVRYEDEINKRTAAENEFVALKKDVDAAYMNKVELEAKVKSLPEEINFIHSVFDAELSQLQTQVGDTSVVLSMDNNRNLDLDSIIAEVKAQYEDIANRSRAEAESWYQTKYEELQVTAGRHGDDLRNTKQEISEMNRMIQRLRAEIDSVKKQCSSLQTAIADAEQRGELALKDARAKLVDLEEALQKAKQDMARLLREYQELMNIKLALDVEIATYRKLLEGEECRLSGEGVSPVNISVVTSTLSSGYGSGSSIGGGNLGLGGGSGYSFTTSGGHSLGAGLGGSGFSATSNRGLGGSGSSVKFVSTTSSSQKSYTH
+>DECOY_sp|O95678|K2C75_HUMAN Keratin, type II cytoskeletal 75 OS=Homo sapiens OX=9606 GN=KRT75 PE=1 SV=2
+HTYSKQSSSTTSVFKVSSGSGGLGRNSTASFGSGGLGAGLSHGGSTTFSYGSGGGLGLNGGGISSGSGYGSSLTSTVVSINVPSVGEGSLRCEEGELLKRYTAIEVDLALKINMLEQYERLLRAMDQKAKQLAEELDVLKARADKLALEGRQEADAIATQLSSCQKKVSDIEARLRQIMRNMESIEQKTNRLDDGHRGATVQLEEYKTQYWSEAEARSRNAIDEYQAKVEAIISDLDLNRNNDMSLVVSTDGVQTQLQSLEADFVSHIFNIEEPLSKVKAELEVKNMYAADVDKKLAVFENEAATRKNIEDEYRVKFDEVVDQMNRLEAELRGRETTISELQRRLESTYSDFLPELNQRVTRSGQEQLLAWKTELVKNQQELFRVKDIFSAFKNNLTKIQEREEARVRQITPDIQLHLPTLLSQNVTVEQIGGPPCVPFSPGSFGGGVGGGYGFGSNVGFRNSARGGFGSRCSSGCGNISVRKAGGLNYLSRSGFSAGASSIRGLGGSGAASRAVSVSSFRSRGAAPTIASTTSFGRRSGSQFTISSQRSM
+>sp|Q6KB66|K2C80_HUMAN Keratin, type II cytoskeletal 80 OS=Homo sapiens OX=9606 GN=KRT80 PE=1 SV=2
+MACRSCVVGFSSLSSCEVTPVGSPRPGTSGWDSCRAPGPGFSSRSLTGCWSAGTISKVTVNPGLLVPLDVKLDPAVQQLKNQEKEEMKALNDKFASLIGKVQALEQRNQLLETRWSFLQGQDSAIFDLGHLYEEYQGRLQEELRKVSQERGQLEANLLQVLEKVEEFRIRYEDEISKRTDMEFTFVQLKKDLDAECLHRTELETKLKSLESFVELMKTIYEQELKDLAAQVKDVSVTVGMDSRCHIDLSGIVEEVKAQYDAVAARSLEEAEAYSRSQLEEQAARSAEYGSSLQSSRSEIADLNVRIQKLRSQILSVKSHCLKLEENIKTAEEQGELAFQDAKTKLAQLEAALQQAKQDMARQLRKYQELMNVKLALDIEIATYRKLVEGEEGRMDSPSATVVSAVQSRCKTAASRSGLSKAPSRKKKGSKGPVIKITEMSEKYFSQESEVSE
+>DECOY_sp|Q6KB66|K2C80_HUMAN Keratin, type II cytoskeletal 80 OS=Homo sapiens OX=9606 GN=KRT80 PE=1 SV=2
+ESVESEQSFYKESMETIKIVPGKSGKKKRSPAKSLGSRSAATKCRSQVASVVTASPSDMRGEEGEVLKRYTAIEIDLALKVNMLEQYKRLQRAMDQKAQQLAAELQALKTKADQFALEGQEEATKINEELKLCHSKVSLIQSRLKQIRVNLDAIESRSSQLSSGYEASRAAQEELQSRSYAEAEELSRAAVADYQAKVEEVIGSLDIHCRSDMGVTVSVDKVQAALDKLEQEYITKMLEVFSELSKLKTELETRHLCEADLDKKLQVFTFEMDTRKSIEDEYRIRFEEVKELVQLLNAELQGREQSVKRLEEQLRGQYEEYLHGLDFIASDQGQLFSWRTELLQNRQELAQVKGILSAFKDNLAKMEEKEQNKLQQVAPDLKVDLPVLLGPNVTVKSITGASWCGTLSRSSFGPGPARCSDWGSTGPRPSGVPTVECSSLSSFGVVCSRCAM
+>sp|P05787|K2C8_HUMAN Keratin, type II cytoskeletal 8 OS=Homo sapiens OX=9606 GN=KRT8 PE=1 SV=7
+MSIRVTQKSYKVSTSGPRAFSSRSYTSGPGSRISSSSFSRVGSSNFRGGLGGGYGGASGMGGITAVTVNQSLLSPLVLEVDPNIQAVRTQEKEQIKTLNNKFASFIDKVRFLEQQNKMLETKWSLLQQQKTARSNMDNMFESYINNLRRQLETLGQEKLKLEAELGNMQGLVEDFKNKYEDEINKRTEMENEFVLIKKDVDEAYMNKVELESRLEGLTDEINFLRQLYEEEIRELQSQISDTSVVLSMDNSRSLDMDSIIAEVKAQYEDIANRSRAEAESMYQIKYEELQSLAGKHGDDLRRTKTEISEMNRNISRLQAEIEGLKGQRASLEAAIADAEQRGELAIKDANAKLSELEAALQRAKQDMARQLREYQELMNVKLALDIEIATYRKLLEGEESRLESGMQNMSIHTKTTSGYAGGLSSAYGGLTSPGLSYSLGSSFGSGAGSSSFSRTSSSRAVVVKKIETRDGKLVSESSDVLPK
+>DECOY_sp|P05787|K2C8_HUMAN Keratin, type II cytoskeletal 8 OS=Homo sapiens OX=9606 GN=KRT8 PE=1 SV=7
+KPLVDSSESVLKGDRTEIKKVVVARSSSTRSFSSSGAGSGFSSGLSYSLGPSTLGGYASSLGGAYGSTTKTHISMNQMGSELRSEEGELLKRYTAIEIDLALKVNMLEQYERLQRAMDQKARQLAAELESLKANADKIALEGRQEADAIAAELSARQGKLGEIEAQLRSINRNMESIETKTRRLDDGHKGALSQLEEYKIQYMSEAEARSRNAIDEYQAKVEAIISDMDLSRSNDMSLVVSTDSIQSQLERIEEEYLQRLFNIEDTLGELRSELEVKNMYAEDVDKKILVFENEMETRKNIEDEYKNKFDEVLGQMNGLEAELKLKEQGLTELQRRLNNIYSEFMNDMNSRATKQQQLLSWKTELMKNQQELFRVKDIFSAFKNNLTKIQEKEQTRVAQINPDVELVLPSLLSQNVTVATIGGMGSAGGYGGGLGGRFNSSGVRSFSSSSIRSGPGSTYSRSSFARPGSTSVKYSKQTVRISM
+>sp|Q8IZA0|K319L_HUMAN Dyslexia-associated protein KIAA0319-like protein OS=Homo sapiens OX=9606 GN=KIAA0319L PE=1 SV=2
+MEKRLGVKPNPASWILSGYYWQTSAKWLRSLYLFYTCFCFSVLWLSTDASESRCQQGKTQFGVGLRSGGENHLWLLEGTPSLQSCWAACCQDSACHVFWWLEGMCIQADCSRPQSCRAFRTHSSNSMLVFLKKFQTADDLGFLPEDDVPHLLGLGWNWASWRQSPPRAALRPAVSSSDQQSLIRKLQKRGSPSDVVTPIVTQHSKVNDSNELGGLTTSGSAEVHKAITISSPLTTDLTAELSGGPKNVSVQPEISEGLATTPSTQQVKSSEKTQIAVPQPVAPSYSYATPTPQASFQSTSAPYPVIKELVVSAGESVQITLPKNEVQLNAYVLQEPPKGETYTYDWQLITHPRDYSGEMEGKHSQILKLSKLTPGLYEFKVIVEGQNAHGEGYVNVTVKPEPRKNRPPIAIVSPQFQEISLPTTSTVIDGSQSTDDDKIVQYHWEELKGPLREEKISEDTAILKLSKLVPGNYTFSLTVVDSDGATNSTTANLTVNKAVDYPPVANAGPNQVITLPQNSITLFGNQSTDDHGITSYEWSLSPSSKGKVVEMQGVRTPTLQLSAMQEGDYTYQLTVTDTIGQQATAQVTVIVQPENNKPPQADAGPDKELTLPVDSTTLDGSKSSDDQKIISYLWEKTQGPDGVQLENANSSVATVTGLQVGTYVFTLTVKDERNLQSQSSVNVIVKEEINKPPIAKITGNVVITLPTSTAELDGSKSSDDKGIVSYLWTRDEGSPAAGEVLNHSDHHPILFLSNLVEGTYTFHLKVTDAKGESDTDRTTVEVKPDPRKNNLVEIILDINVSQLTERLKGMFIRQIGVLLGVLDSDIIVQKIQPYTEQSTKMVFFVQNEPPHQIFKGHEVAAMLKSELRKQKADFLIFRALEVNTVTCQLNCSDHGHCDSFTKRCICDPFWMENFIKVQLRDGDSNCEWSVLYVIIATFVIVVALGILSWTVICCCKRQKGKPKRKSKYKILDATDQESLELKPTSRAGIKQKGLLLSSSLMHSESELDSDDAIFTWPDREKGKLLHGQNGSVPNGQTPLKARSPREEIL
+>DECOY_sp|Q8IZA0|K319L_HUMAN Dyslexia-associated protein KIAA0319-like protein OS=Homo sapiens OX=9606 GN=KIAA0319L PE=1 SV=2
+LIEERPSRAKLPTQGNPVSGNQGHLLKGKERDPWTFIADDSDLESESHMLSSSLLLGKQKIGARSTPKLELSEQDTADLIKYKSKRKPKGKQRKCCCIVTWSLIGLAVVIVFTAIIVYLVSWECNSDGDRLQVKIFNEMWFPDCICRKTFSDCHGHDSCNLQCTVTNVELARFILFDAKQKRLESKLMAAVEHGKFIQHPPENQVFFVMKTSQETYPQIKQVIIDSDLVGLLVGIQRIFMGKLRETLQSVNIDLIIEVLNNKRPDPKVEVTTRDTDSEGKADTVKLHFTYTGEVLNSLFLIPHHDSHNLVEGAAPSGEDRTWLYSVIGKDDSSKSGDLEATSTPLTIVVNGTIKAIPPKNIEEKVIVNVSSQSQLNREDKVTLTFVYTGVQLGTVTAVSSNANELQVGDPGQTKEWLYSIIKQDDSSKSGDLTTSDVPLTLEKDPGADAQPPKNNEPQVIVTVQATAQQGITDTVTLQYTYDGEQMASLQLTPTRVGQMEVVKGKSSPSLSWEYSTIGHDDTSQNGFLTISNQPLTIVQNPGANAVPPYDVAKNVTLNATTSNTAGDSDVVTLSFTYNGPVLKSLKLIATDESIKEERLPGKLEEWHYQVIKDDDTSQSGDIVTSTTPLSIEQFQPSVIAIPPRNKRPEPKVTVNVYGEGHANQGEVIVKFEYLGPTLKSLKLIQSHKGEMEGSYDRPHTILQWDYTYTEGKPPEQLVYANLQVENKPLTIQVSEGASVVLEKIVPYPASTSQFSAQPTPTAYSYSPAVPQPVAIQTKESSKVQQTSPTTALGESIEPQVSVNKPGGSLEATLDTTLPSSITIAKHVEASGSTTLGGLENSDNVKSHQTVIPTVVDSPSGRKQLKRILSQQDSSSVAPRLAARPPSQRWSAWNWGLGLLHPVDDEPLFGLDDATQFKKLFVLMSNSSHTRFARCSQPRSCDAQICMGELWWFVHCASDQCCAAWCSQLSPTGELLWLHNEGGSRLGVGFQTKGQQCRSESADTSLWLVSFCFCTYFLYLSRLWKASTQWYYGSLIWSAPNPKVGLRKEM
+>sp|Q6NY19|KANK3_HUMAN KN motif and ankyrin repeat domain-containing protein 3 OS=Homo sapiens OX=9606 GN=KANK3 PE=1 SV=1
+MAKFALNQNLPDLGGPRLCPVPAAGGARSPSSPYSVETPYGFHLDLDFLKYIEELERGPAARRAPGPPTSRRPRAPRPGLAGARSPGAWTSSESLASDDGGAPGILSQGAPSGLLMQPLSPRAPVRNPRVEHTLRETSRRLELAQTHERAPSPGRGVPRSPRGSGRSSPAPNLAPASPGPAQLQLVREQMAAALRRLRELEDQARTLPELQEQVRALRAEKARLLAGRAQPEPDGEAETRPDKLAQLRRLTERLATSERGGRARASPRADSPDGLAAGRSEGALQVLDGEVGSLDGTPQTREVAAEAVPETREAGAQAVPETREAGVEAAPETVEADAWVTEALLGLPAAAERELELLRASLEHQRGVSELLRGRLRELEEAREAAEEAAAGARAQLREATTQTPWSCAEKAAQTESPAEAPSLTQESSPGSMDGDRAVAPAGILKSIMKKRDGTPGAQPSSGPKSLQFVGVLNGEYESSSSEDASDSDGDSENGGAEPPGSSSGSGDDSGGGSDSGTPGPPSGGDIRDPEPEAEAEPQQVAQGRCELSPRLREACVALQRQLSRPRGVASDGGAVRLVAQEWFRVSSQRRSQAEPVARMLEGVRRLGPELLAHVVNLADGNGNTALHYSVSHGNLAIASLLLDTGACEVNRQNRAGYSALMLAALTSVRQEEEDMAVVQRLFCMGDVNAKASQTGQTALMLAISHGRQDMVATLLACGADVNAQDADGATALMCASEYGRLDTVRLLLTQPGCDPAILDNEGTSALAIALEAEQDEVAALLHAHLSSGQPDTQAGVQRHNLSSLQPPPPRFKKFSCLSLPSSWDYNSCEPSRLAQLTIF
+>DECOY_sp|Q6NY19|KANK3_HUMAN KN motif and ankyrin repeat domain-containing protein 3 OS=Homo sapiens OX=9606 GN=KANK3 PE=1 SV=1
+FITLQALRSPECSNYDWSSPLSLCSFKKFRPPPPQLSSLNHRQVGAQTDPQGSSLHAHLLAAVEDQEAELAIALASTGENDLIAPDCGPQTLLLRVTDLRGYESACMLATAGDADQANVDAGCALLTAVMDQRGHSIALMLATQGTQSAKANVDGMCFLRQVVAMDEEEQRVSTLAALMLASYGARNQRNVECAGTDLLLSAIALNGHSVSYHLATNGNGDALNVVHALLEPGLRRVGELMRAVPEAQSRRQSSVRFWEQAVLRVAGGDSAVGRPRSLQRQLAVCAERLRPSLECRGQAVQQPEAEAEPEPDRIDGGSPPGPTGSDSGGGSDDGSGSSSGPPEAGGNESDGDSDSADESSSSEYEGNLVGVFQLSKPGSSPQAGPTGDRKKMISKLIGAPAVARDGDMSGPSSEQTLSPAEAPSETQAAKEACSWPTQTTAERLQARAGAAAEEAAERAEELERLRGRLLESVGRQHELSARLLELEREAAAPLGLLAETVWADAEVTEPAAEVGAERTEPVAQAGAERTEPVAEAAVERTQPTGDLSGVEGDLVQLAGESRGAALGDPSDARPSARARGGRESTALRETLRRLQALKDPRTEAEGDPEPQARGALLRAKEARLARVQEQLEPLTRAQDELERLRRLAAAMQERVLQLQAPGPSAPALNPAPSSRGSGRPSRPVGRGPSPAREHTQALELRRSTERLTHEVRPNRVPARPSLPQMLLGSPAGQSLIGPAGGDDSALSESSTWAGPSRAGALGPRPARPRRSTPPGPARRAAPGRELEEIYKLFDLDLHFGYPTEVSYPSSPSRAGGAAPVPCLRPGGLDPLNQNLAFKAM
+>sp|Q9H9L4|KANL2_HUMAN KAT8 regulatory NSL complex subunit 2 OS=Homo sapiens OX=9606 GN=KANSL2 PE=1 SV=3
+MNRIRIHVLPTNRGRITPVPRSQEPLSCAFTHRPCSHPRLEGQEFCIKHILEDKNAPFKQCSYISTKNGKRCPNAAPKPEKKDGVSFCAEHVRRNALALHAQMKKTNPGPVGETLLCQLSSYAKTELGSQTPESSRSEASRILDEDSWSDGEQEPITVDQTWRGDPDSEADSIDSDQEDPLKHAGVYTAEEVALIMREKLIRLQSLYIDQFKRLQHLLKEKKRRYLHNRKVEHEALGSSLLTGPEGLLAKERENLKRLKCLRRYRQRYGVEALLHRQLKERRMLATDGAAQQAHTTRSSQRCLAFVDDVRCSNQSLPMTRHCLTHICQDTNQVLFKCCQGSEEVPCNKPVPVSLSEDPCCPLHFQLPPQMYKPEQVLSVPDDLEAGPMDLYLSAAELQPTESLPLEFSDDLDVVGDGMQCPPSPLLFDPSLTLEDHLVKEIAEDPVDILGQMQMAGDGCRSQGSRNSEKASAPLSQSGLATANGKPEPTSIS
+>DECOY_sp|Q9H9L4|KANL2_HUMAN KAT8 regulatory NSL complex subunit 2 OS=Homo sapiens OX=9606 GN=KANSL2 PE=1 SV=3
+SISTPEPKGNATALGSQSLPASAKESNRSGQSRCGDGAMQMQGLIDVPDEAIEKVLHDELTLSPDFLLPSPPCQMGDGVVDLDDSFELPLSETPQLEAASLYLDMPGAELDDPVSLVQEPKYMQPPLQFHLPCCPDESLSVPVPKNCPVEESGQCCKFLVQNTDQCIHTLCHRTMPLSQNSCRVDDVFALCRQSSRTTHAQQAAGDTALMRREKLQRHLLAEVGYRQRYRRLCKLRKLNEREKALLGEPGTLLSSGLAEHEVKRNHLYRRKKEKLLHQLRKFQDIYLSQLRILKERMILAVEEATYVGAHKLPDEQDSDISDAESDPDGRWTQDVTIPEQEGDSWSDEDLIRSAESRSSEPTQSGLETKAYSSLQCLLTEGVPGPNTKKMQAHLALANRRVHEACFSVGDKKEPKPAANPCRKGNKTSIYSCQKFPANKDELIHKICFEQGELRPHSCPRHTFACSLPEQSRPVPTIRGRNTPLVHIRIRNM
+>sp|O95251|KAT7_HUMAN Histone acetyltransferase KAT7 OS=Homo sapiens OX=9606 GN=KAT7 PE=1 SV=1
+MPRRKRNAGSSSDGTEDSDFSTDLEHTDSSESDGTSRRSARVTRSSARLSQSSQDSSPVRNLQSFGTEEPAYSTRRVTRSQQQPTPVTPKKYPLRQTRSSGSETEQVVDFSDRETKNTADHDESPPRTPTGNAPSSESDIDISSPNVSHDESIAKDMSLKDSGSDLSHRPKRRRFHESYNFNMKCPTPGCNSLGHLTGKHERHFSISGCPLYHNLSADECKVRAQSRDKQIEERMLSHRQDDNNRHATRHQAPTERQLRYKEKVAELRKKRNSGLSKEQKEKYMEHRQTYGNTREPLLENLTSEYDLDLFRRAQARASEDLEKLRLQGQITEGSNMIKTIAFGRYELDTWYHSPYPEEYARLGRLYMCEFCLKYMKSQTILRRHMAKCVWKHPPGDEIYRKGSISVFEVDGKKNKIYCQNLCLLAKLFLDHKTLYYDVEPFLFYVMTEADNTGCHLIGYFSKEKNSFLNYNVSCILTMPQYMRQGYGKMLIDFSYLLSKVEEKVGSPERPLSDLGLISYRSYWKEVLLRYLHNFQGKEISIKEISQETAVNPVDIVSTLQALQMLKYWKGKHLVLKRQDLIDEWIAKEAKRSNSNKTMDPSCLKWTPPKGT
+>DECOY_sp|O95251|KAT7_HUMAN Histone acetyltransferase KAT7 OS=Homo sapiens OX=9606 GN=KAT7 PE=1 SV=1
+TGKPPTWKLCSPDMTKNSNSRKAEKAIWEDILDQRKLVLHKGKWYKLMQLAQLTSVIDVPNVATEQSIEKISIEKGQFNHLYRLLVEKWYSRYSILGLDSLPREPSGVKEEVKSLLYSFDILMKGYGQRMYQPMTLICSVNYNLFSNKEKSFYGILHCGTNDAETMVYFLFPEVDYYLTKHDLFLKALLCLNQCYIKNKKGDVEFVSISGKRYIEDGPPHKWVCKAMHRRLITQSKMYKLCFECMYLRGLRAYEEPYPSHYWTDLEYRGFAITKIMNSGETIQGQLRLKELDESARAQARRFLDLDYESTLNELLPERTNGYTQRHEMYKEKQEKSLGSNRKKRLEAVKEKYRLQRETPAQHRTAHRNNDDQRHSLMREEIQKDRSQARVKCEDASLNHYLPCGSISFHREHKGTLHGLSNCGPTPCKMNFNYSEHFRRRKPRHSLDSGSDKLSMDKAISEDHSVNPSSIDIDSESSPANGTPTRPPSEDHDATNKTERDSFDVVQETESGSSRTQRLPYKKPTVPTPQQQSRTVRRTSYAPEETGFSQLNRVPSSDQSSQSLRASSRTVRASRRSTGDSESSDTHELDTSFDSDETGDSSSGANRKRRPM
+>sp|Q8IYT4|KATL2_HUMAN Katanin p60 ATPase-containing subunit A-like 2 OS=Homo sapiens OX=9606 GN=KATNAL2 PE=1 SV=3
+MELSYQTLKFTHQAREACEMRTEARRKNLLILISHYLTQEGYIDTANALEQETKLGLRRFEVCDNIDLETILMEYESYYFVKFQKYPKIVKKSSDTAENNLPQRSRGKTRRMMNDSCQNLPKINQQRPRSKTTAGKTGDTKSLNKEHPNQEVVDNTRLESANFGLHISRIRKDSGEENAHPRRGQIIDFQGLLTDAIKGATSELALNTFDHNPDPSERLLKPLSAFIGMNSEMRELAAVVSRDIYLHNPNIKWNDIIGLDAAKQLVKEAVVYPIRYPQLFTGILSPWKGLLLYGPPGTGKTLLAKAVATECKTTFFNISASTIVSKWRGDSEKLVRVLFELARYHAPSTIFLDELESVMSQRGTASGGEHEGSLRMKTELLVQMDGLARSEDLVFVLAASNLPWELDCAMLRRLEKRILVDLPSREARQAMIYHWLPPVSKSRALELHTELEYSVLSQETEGYSGSDIKLVCREAAMRPVRKIFDALENHQSESSDLPRIQLDIVTTADFLDVLTHTKPSAKNLAQRYSDWQREFESV
+>DECOY_sp|Q8IYT4|KATL2_HUMAN Katanin p60 ATPase-containing subunit A-like 2 OS=Homo sapiens OX=9606 GN=KATNAL2 PE=1 SV=3
+VSEFERQWDSYRQALNKASPKTHTLVDLFDATTVIDLQIRPLDSSESQHNELADFIKRVPRMAAERCVLKIDSGSYGETEQSLVSYELETHLELARSKSVPPLWHYIMAQRAERSPLDVLIRKELRRLMACDLEWPLNSAALVFVLDESRALGDMQVLLETKMRLSGEHEGGSATGRQSMVSELEDLFITSPAHYRALEFLVRVLKESDGRWKSVITSASINFFTTKCETAVAKALLTKGTGPPGYLLLGKWPSLIGTFLQPYRIPYVVAEKVLQKAADLGIIDNWKINPNHLYIDRSVVAALERMESNMGIFASLPKLLRESPDPNHDFTNLALESTAGKIADTLLGQFDIIQGRRPHANEEGSDKRIRSIHLGFNASELRTNDVVEQNPHEKNLSKTDGTKGATTKSRPRQQNIKPLNQCSDNMMRRTKGRSRQPLNNEATDSSKKVIKPYKQFKVFYYSEYEMLITELDINDCVEFRRLGLKTEQELANATDIYGEQTLYHSILILLNKRRAETRMECAERAQHTFKLTQYSLEM
+>sp|Q9NYS0|KBRS1_HUMAN NF-kappa-B inhibitor-interacting Ras-like protein 1 OS=Homo sapiens OX=9606 GN=NKIRAS1 PE=1 SV=1
+MGKGCKVVVCGLLSVGKTAILEQLLYGNHTIGMEDCETMEDVYMASVETDRGVKEQLHLYDTRGLQEGVELPKHYFSFADGFVLVYSVNNLESFQRVELLKKEIDKFKDKKEVAIVVLGNKIDLSEQRQVDAEVAQQWAKSEKVRLWEVTVTDRKTLIEPFTLLASKLSQPQSKSSFPLPGRKNKGNSNSEN
+>DECOY_sp|Q9NYS0|KBRS1_HUMAN NF-kappa-B inhibitor-interacting Ras-like protein 1 OS=Homo sapiens OX=9606 GN=NKIRAS1 PE=1 SV=1
+NESNSNGKNKRGPLPFSSKSQPQSLKSALLTFPEILTKRDTVTVEWLRVKESKAWQQAVEADVQRQESLDIKNGLVVIAVEKKDKFKDIEKKLLEVRQFSELNNVSYVLVFGDAFSFYHKPLEVGEQLGRTDYLHLQEKVGRDTEVSAMYVDEMTECDEMGITHNGYLLQELIATKGVSLLGCVVVKCGKGM
+>sp|Q8IY47|KBTB2_HUMAN Kelch repeat and BTB domain-containing protein 2 OS=Homo sapiens OX=9606 GN=KBTBD2 PE=1 SV=2
+MSTQDERQINTEYAVSLLEQLKLFYEQQLFTDIVLIVEGTEFPCHKMVLATCSSYFRAMFMSGLSESKQTHVHLRNVDAATLQIIITYAYTGNLAMNDSTVEQLYETACFLQVEDVLQRCREYLIKKINAENCVRLLSFADLFSCEELKQSAKRMVEHKFTAVYHQDAFMQLSHDLLIDILSSDNLNVEKEETVREAAMLWLEYNTESRSQYLSSVLSQIRIDALSEVTQRAWFQGLPPNDKSVVVQGLYKSMPKFFKPRLGMTKEEMMIFIEASSENPCSLYSSVCYSPQAEKVYKLCSPPADLHKVGTVVTPDNDIYIAGGQVPLKNTKTNHSKTSKLQTAFRTVNCFYWFDAQQNTWFPKTPMLFVRIKPSLVCCEGYIYAIGGDSVGGELNRRTVERYDTEKDEWTMVSPLPCAWQWSAAVVVHDCIYVMTLNLMYCYFPRSDSWVEMAMRQTSRSFASAAAFGDKIFYIGGLHIATNSGIRLPSGTVDGSSVTVEIYDVNKNEWKMAANIPAKRYSDPCVRAVVISNSLCVFMRETHLNERAKYVTYQYDLELDRWSLRQHISERVLWDLGRDFRCTVGKLYPSCLEESPWKPPTYLFSTDGTEEFELDGEMVALPPV
+>DECOY_sp|Q8IY47|KBTB2_HUMAN Kelch repeat and BTB domain-containing protein 2 OS=Homo sapiens OX=9606 GN=KBTBD2 PE=1 SV=2
+VPPLAVMEGDLEFEETGDTSFLYTPPKWPSEELCSPYLKGVTCRFDRGLDWLVRESIHQRLSWRDLELDYQYTVYKARENLHTERMFVCLSNSIVVARVCPDSYRKAPINAAMKWENKNVDYIEVTVSSGDVTGSPLRIGSNTAIHLGGIYFIKDGFAAASAFSRSTQRMAMEVWSDSRPFYCYMLNLTMVYICDHVVVAASWQWACPLPSVMTWEDKETDYREVTRRNLEGGVSDGGIAYIYGECCVLSPKIRVFLMPTKPFWTNQQADFWYFCNVTRFATQLKSTKSHNTKTNKLPVQGGAIYIDNDPTVVTGVKHLDAPPSCLKYVKEAQPSYCVSSYLSCPNESSAEIFIMMEEKTMGLRPKFFKPMSKYLGQVVVSKDNPPLGQFWARQTVESLADIRIQSLVSSLYQSRSETNYELWLMAAERVTEEKEVNLNDSSLIDILLDHSLQMFADQHYVATFKHEVMRKASQKLEECSFLDAFSLLRVCNEANIKKILYERCRQLVDEVQLFCATEYLQEVTSDNMALNGTYAYTIIIQLTAADVNRLHVHTQKSESLGSMFMARFYSSCTALVMKHCPFETGEVILVIDTFLQQEYFLKLQELLSVAYETNIQREDQTSM
+>sp|Q9NVX7|KBTB4_HUMAN Kelch repeat and BTB domain-containing protein 4 OS=Homo sapiens OX=9606 GN=KBTBD4 PE=1 SV=3
+MESPEEPGASMDENYFVNYTFKDRSHSGRVAQGIMKLCLEEELFADVTISVEGREFQLHRLVLSAQSCFFRSMFTSNLKEAHNRVIVLQDVSESVFQLLVDYIYHGTVKLRAEELQEIYEVSDMYQLTSLFEECSRFLARTVQVGNCLQVMWLADRHSDPELYTAAKHCAKTHLAQLQNTEEFLHLPHRLLTDIISDGVPCSQNPTEAIEAWINFNKEEREAFAESLRTSLKEIGENVHIYLIGKESSRTHSLAVSLHCAEDDSISVSGQNSLCHQITAACKHGGDLYVVGGSIPRRMWKCNNATVDWEWCAPLPRDRLQHTLVSVPGKDAIYSLGGKTLQDTLSNAVIYYRVGDNVWTETTQLEVAVSGAAGANLNGIIYLLGGEENDLDFFTKPSRLIQCFDTETDKCHVKPYVLPFAGRMHAAVHKDLVFIVAEGDSLVCYNPLLDSFTRLCLPEAWSSAPSLWKIASCNGSIYVFRDRYKKGDANTYKLDPATSAVTVTRGIKVLLTNLQFVLA
+>DECOY_sp|Q9NVX7|KBTB4_HUMAN Kelch repeat and BTB domain-containing protein 4 OS=Homo sapiens OX=9606 GN=KBTBD4 PE=1 SV=3
+ALVFQLNTLLVKIGRTVTVASTAPDLKYTNADGKKYRDRFVYISGNCSAIKWLSPASSWAEPLCLRTFSDLLPNYCVLSDGEAVIFVLDKHVAAHMRGAFPLVYPKVHCKDTETDFCQILRSPKTFFDLDNEEGGLLYIIGNLNAGAAGSVAVELQTTETWVNDGVRYYIVANSLTDQLTKGGLSYIADKGPVSVLTHQLRDRPLPACWEWDVTANNCKWMRRPISGGVVYLDGGHKCAATIQHCLSNQGSVSISDDEACHLSVALSHTRSSEKGILYIHVNEGIEKLSTRLSEAFAEREEKNFNIWAEIAETPNQSCPVGDSIIDTLLRHPLHLFEETNQLQALHTKACHKAATYLEPDSHRDALWMVQLCNGVQVTRALFRSCEEFLSTLQYMDSVEYIEQLEEARLKVTGHYIYDVLLQFVSESVDQLVIVRNHAEKLNSTFMSRFFCSQASLVLRHLQFERGEVSITVDAFLEEELCLKMIGQAVRGSHSRDKFTYNVFYNEDMSAGPEEPSEM
+>sp|P48729|KC1A_HUMAN Casein kinase I isoform alpha OS=Homo sapiens OX=9606 GN=CSNK1A1 PE=1 SV=2
+MASSSGSKAEFIVGGKYKLVRKIGSGSFGDIYLAINITNGEEVAVKLESQKARHPQLLYESKLYKILQGGVGIPHIRWYGQEKDYNVLVMDLLGPSLEDLFNFCSRRFTMKTVLMLADQMISRIEYVHTKNFIHRDIKPDNFLMGIGRHCNKLFLIDFGLAKKYRDNRTRQHIPYREDKNLTGTARYASINAHLGIEQSRRDDMESLGYVLMYFNRTSLPWQGLKAATKKQKYEKISEKKMSTPVEVLCKGFPAEFAMYLNYCRGLRFEEAPDYMYLRQLFRILFRTLNHQYDYTFDWTMLKQKAAQQAASSSGQGQQAQTPTGKQTDKTKSNMKGF
+>DECOY_sp|P48729|KC1A_HUMAN Casein kinase I isoform alpha OS=Homo sapiens OX=9606 GN=CSNK1A1 PE=1 SV=2
+FGKMNSKTKDTQKGTPTQAQQGQGSSSAAQQAAKQKLMTWDFTYDYQHNLTRFLIRFLQRLYMYDPAEEFRLGRCYNLYMAFEAPFGKCLVEVPTSMKKESIKEYKQKKTAAKLGQWPLSTRNFYMLVYGLSEMDDRRSQEIGLHANISAYRATGTLNKDERYPIHQRTRNDRYKKALGFDILFLKNCHRGIGMLFNDPKIDRHIFNKTHVYEIRSIMQDALMLVTKMTFRRSCFNFLDELSPGLLDMVLVNYDKEQGYWRIHPIGVGGQLIKYLKSEYLLQPHRAKQSELKVAVEEGNTINIALYIDGFSGSGIKRVLKYKGGVIFEAKSGSSSAM
+>sp|Q9HCP0|KC1G1_HUMAN Casein kinase I isoform gamma-1 OS=Homo sapiens OX=9606 GN=CSNK1G1 PE=1 SV=1
+MDHPSREKDERQRTTKPMAQRSAHCSRPSGSSSSSGVLMVGPNFRVGKKIGCGNFGELRLGKNLYTNEYVAIKLEPIKSRAPQLHLEYRFYKQLGSAGEGLPQVYYFGPCGKYNAMVLELLGPSLEDLFDLCDRTFTLKTVLMIAIQLLSRMEYVHSKNLIYRDVKPENFLIGRQGNKKEHVIHIIDFGLAKEYIDPETKKHIPYREHKSLTGTARYMSINTHLGKEQSRRDDLEALGHMFMYFLRGSLPWQGLKADTLKERYQKIGDTKRNTPIEALCENFPEEMATYLRYVRRLDFFEKPDYEYLRTLFTDLFEKKGYTFDYAYDWVGRPIPTPVGSVHVDSGASAITRESHTHRDRPSQQQPLRNQVVSSTNGELNVDDPTGAHSNAPITAHAEVEVVEEAKCCCFFKRKRKKTAQRHK
+>DECOY_sp|Q9HCP0|KC1G1_HUMAN Casein kinase I isoform gamma-1 OS=Homo sapiens OX=9606 GN=CSNK1G1 PE=1 SV=1
+KHRQATKKRKRKFFCCCKAEEVVEVEAHATIPANSHAGTPDDVNLEGNTSSVVQNRLPQQQSPRDRHTHSERTIASAGSDVHVSGVPTPIPRGVWDYAYDFTYGKKEFLDTFLTRLYEYDPKEFFDLRRVYRLYTAMEEPFNECLAEIPTNRKTDGIKQYREKLTDAKLGQWPLSGRLFYMFMHGLAELDDRRSQEKGLHTNISMYRATGTLSKHERYPIHKKTEPDIYEKALGFDIIHIVHEKKNGQRGILFNEPKVDRYILNKSHVYEMRSLLQIAIMLVTKLTFTRDCLDFLDELSPGLLELVMANYKGCPGFYYVQPLGEGASGLQKYFRYELHLQPARSKIPELKIAVYENTYLNKGLRLEGFNGCGIKKGVRFNPGVMLVGSSSSSGSPRSCHASRQAMPKTTRQREDKERSPHDM
+>sp|P78368|KC1G2_HUMAN Casein kinase I isoform gamma-2 OS=Homo sapiens OX=9606 GN=CSNK1G2 PE=1 SV=1
+MDFDKKGGKGETEEGRRMSKAGGGRSSHGIRSSGTSSGVLMVGPNFRVGKKIGCGNFGELRLGKNLYTNEYVAIKLEPIKSRAPQLHLEYRFYKQLSATEGVPQVYYFGPCGKYNAMVLELLGPSLEDLFDLCDRTFTLKTVLMIAIQLITRMEYVHTKSLIYRDVKPENFLVGRPGTKRQHAIHIIDFGLAKEYIDPETKKHIPYREHKSLTGTARYMSINTHLGKEQSRRDDLEALGHMFMYFLRGSLPWQGLKADTLKERYQKIGDTKRATPIEVLCENFPEEMATYLRYVRRLDFFEKPDYDYLRKLFTDLFDRSGFVFDYEYDWAGKPLPTPIGTVHTDLPSQPQLRDKTQPHSKNQALNSTNGELNADDPTAGHSNAPITAPAEVEVADETKCCCFFKRRKRKSLQRHK
+>DECOY_sp|P78368|KC1G2_HUMAN Casein kinase I isoform gamma-2 OS=Homo sapiens OX=9606 GN=CSNK1G2 PE=1 SV=1
+KHRQLSKRKRRKFFCCCKTEDAVEVEAPATIPANSHGATPDDANLEGNTSNLAQNKSHPQTKDRLQPQSPLDTHVTGIPTPLPKGAWDYEYDFVFGSRDFLDTFLKRLYDYDPKEFFDLRRVYRLYTAMEEPFNECLVEIPTARKTDGIKQYREKLTDAKLGQWPLSGRLFYMFMHGLAELDDRRSQEKGLHTNISMYRATGTLSKHERYPIHKKTEPDIYEKALGFDIIHIAHQRKTGPRGVLFNEPKVDRYILSKTHVYEMRTILQIAIMLVTKLTFTRDCLDFLDELSPGLLELVMANYKGCPGFYYVQPVGETASLQKYFRYELHLQPARSKIPELKIAVYENTYLNKGLRLEGFNGCGIKKGVRFNPGVMLVGSSTGSSRIGHSSRGGGAKSMRRGEETEGKGGKKDFDM
+>sp|Q16322|KCA10_HUMAN Potassium voltage-gated channel subfamily A member 10 OS=Homo sapiens OX=9606 GN=KCNA10 PE=1 SV=2
+MDVCGWKEMEVALVNFDNSDEIQEEPGYATDFDSTSPKGRPGGSSFSNGKILISESTNHETAFSKLPGDYADPPGPEPVVLNEGNQRVIINIAGLRFETQLRTLSQFPETLLGDREKRMQFFDSMRNEYFFDRNRPSFDGILYYYQSGGKIRRPANVPIDIFADEISFYELGSEAMDQFREDEGFIKDPETLLPTNDIHRQFWLLFEYPESSSAARAVAVVSVLVVVISITIFCLETLPEFREDRELKVVRDPNLNMSKTVLSQTMFTDPFFMVESTCIVWFTFELVLRFVVCPSKTDFFRNIMNIIDIISIIPYFATLITELVQETEPSAQQNMSLAILRIIRLVRVFRIFKLSRHSKGLQILGQTLKASMRELGLLIFFLFIGVILFSSAVYFAEVDEPESHFSSIPDGFWWAVVTMTTVGYGDMCPTTPGGKIVGTLCAIAGVLTIALPVPVIVSNFNYFYHRETENEEKQNIPGEIERILNSVGSRMGSTDSLNKTNGGCSTEKSRK
+>DECOY_sp|Q16322|KCA10_HUMAN Potassium voltage-gated channel subfamily A member 10 OS=Homo sapiens OX=9606 GN=KCNA10 PE=1 SV=2
+KRSKETSCGGNTKNLSDTSGMRSGVSNLIREIEGPINQKEENETERHYFYNFNSVIVPVPLAITLVGAIACLTGVIKGGPTTPCMDGYGVTTMTVVAWWFGDPISSFHSEPEDVEAFYVASSFLIVGIFLFFILLGLERMSAKLTQGLIQLGKSHRSLKFIRFVRVLRIIRLIALSMNQQASPETEQVLETILTAFYPIISIIDIINMINRFFDTKSPCVVFRLVLEFTFWVICTSEVMFFPDTFMTQSLVTKSMNLNPDRVVKLERDERFEPLTELCFITISIVVVLVSVVAVARAASSSEPYEFLLWFQRHIDNTPLLTEPDKIFGEDERFQDMAESGLEYFSIEDAFIDIPVNAPRRIKGGSQYYYLIGDFSPRNRDFFYENRMSDFFQMRKERDGLLTEPFQSLTRLQTEFRLGAINIIVRQNGENLVVPEPGPPDAYDGPLKSFATEHNTSESILIKGNSFSSGGPRGKPSTSDFDTAYGPEEQIEDSNDFNVLAVEMEKWGCVDM
+>sp|Q13303|KCAB2_HUMAN Voltage-gated potassium channel subunit beta-2 OS=Homo sapiens OX=9606 GN=KCNAB2 PE=1 SV=2
+MYPESTTGSPARLSLRQTGSPGMIYSTRYGSPKRQLQFYRNLGKSGLRVSCLGLGTWVTFGGQITDEMAEQLMTLAYDNGINLFDTAEVYAAGKAEVVLGNIIKKKGWRRSSLVITTKIFWGGKAETERGLSRKHIIEGLKASLERLQLEYVDVVFANRPDPNTPMEETVRAMTHVINQGMAMYWGTSRWSSMEIMEAYSVARQFNLTPPICEQAEYHMFQREKVEVQLPELFHKIGVGAMTWSPLACGIVSGKYDSGIPPYSRASLKGYQWLKDKILSEEGRRQQAKLKELQAIAERLGCTLPQLAIAWCLRNEGVSSVLLGASNADQLMENIGAIQVLPKLSSSIIHEIDSILGNKPYSKKDYRS
+>DECOY_sp|Q13303|KCAB2_HUMAN Voltage-gated potassium channel subunit beta-2 OS=Homo sapiens OX=9606 GN=KCNAB2 PE=1 SV=2
+SRYDKKSYPKNGLISDIEHIISSSLKPLVQIAGINEMLQDANSAGLLVSSVGENRLCWAIALQPLTCGLREAIAQLEKLKAQQRRGEESLIKDKLWQYGKLSARSYPPIGSDYKGSVIGCALPSWTMAGVGIKHFLEPLQVEVKERQFMHYEAQECIPPTLNFQRAVSYAEMIEMSSWRSTGWYMAMGQNIVHTMARVTEEMPTNPDPRNAFVVDVYELQLRELSAKLGEIIHKRSLGRETEAKGGWFIKTTIVLSSRRWGKKKIINGLVVEAKGAAYVEATDFLNIGNDYALTMLQEAMEDTIQGGFTVWTGLGLCSVRLGSKGLNRYFQLQRKPSGYRTSYIMGPSGTQRLSLRAPSGTTSEPYM
+>sp|A0A087WTH5|KCE1B_HUMAN Potassium voltage-gated channel subfamily E member 1B OS=Homo sapiens OX=9606 GN=KCNE1B PE=3 SV=2
+MPRMILSNTTAVTPFLTKLWQETVQQGGNMSGLARRSPRSGDGKLEALYVLMVLGFFGFFTLGIMLSYIRSKKLEHSNDPFNVYIESNAWQEKDKAYVQARVLESYRSCYVVENHLAIEQPNTHLPETKPSP
+>DECOY_sp|A0A087WTH5|KCE1B_HUMAN Potassium voltage-gated channel subfamily E member 1B OS=Homo sapiens OX=9606 GN=KCNE1B PE=3 SV=2
+PSPKTEPLHTNPQEIALHNEVVYCSRYSELVRAQVYAKDKEQWANSEIYVNFPDNSHELKKSRIYSLMIGLTFFGFFGLVMLVYLAELKGDGSRPSRRALGSMNGGQQVTEQWLKTLFPTVATTNSLIMRPM
+>sp|P22459|KCNA4_HUMAN Potassium voltage-gated channel subfamily A member 4 OS=Homo sapiens OX=9606 GN=KCNA4 PE=1 SV=2
+MEVAMVSAESSGCNSHMPYGYAAQARARERERLAHSRAAAAAAVAAATAAVEGSGGSGGGSHHHHQSRGACTSHDPQSSRGSRRRRRQRSEKKKAHYRQSSFPHCSDLMPSGSEEKILRELSEEEEDEEEEEEEEEEGRFYYSEDDHGDECSYTDLLPQDEGGGGYSSVRYSDCCERVVINVSGLRFETQMKTLAQFPETLLGDPEKRTQYFDPLRNEYFFDRNRPSFDAILYYYQSGGRLKRPVNVPFDIFTEEVKFYQLGEEALLKFREDEGFVREEEDRALPENEFKKQIWLLFEYPESSSPARGIAIVSVLVILISIVIFCLETLPEFRDDRDLVMALSAGGHGGLLNDTSAPHLENSGHTIFNDPFFIVETVCIVWFSFEFVVRCFACPSQALFFKNIMNIIDIVSILPYFITLGTDLAQQQGGGNGQQQQAMSFAILRIIRLVRVFRIFKLSRHSKGLQILGHTLRASMRELGLLIFFLFIGVILFSSAVYFAEADEPTTHFQSIPDAFWWAVVTMTTVGYGDMKPITVGGKIVGSLCAIAGVLTIALPVPVIVSNFNYFYHRETENEEQTQLTQNAVSCPYLPSNLLKKFRSSTSSSLGDKSEYLEMEEGVKESLCAKEEKCQGKGDDSETDKNNCSNAKAVETDV
+>DECOY_sp|P22459|KCNA4_HUMAN Potassium voltage-gated channel subfamily A member 4 OS=Homo sapiens OX=9606 GN=KCNA4 PE=1 SV=2
+VDTEVAKANSCNNKDTESDDGKGQCKEEKACLSEKVGEEMELYESKDGLSSSTSSRFKKLLNSPLYPCSVANQTLQTQEENETERHYFYNFNSVIVPVPLAITLVGAIACLSGVIKGGVTIPKMDGYGVTTMTVVAWWFADPISQFHTTPEDAEAFYVASSFLIVGIFLFFILLGLERMSARLTHGLIQLGKSHRSLKFIRFVRVLRIIRLIAFSMAQQQQGNGGGQQQALDTGLTIFYPLISVIDIINMINKFFLAQSPCAFCRVVFEFSFWVICVTEVIFFPDNFITHGSNELHPASTDNLLGGHGGASLAMVLDRDDRFEPLTELCFIVISILIVLVSVIAIGRAPSSSEPYEFLLWIQKKFENEPLARDEEERVFGEDERFKLLAEEGLQYFKVEETFIDFPVNVPRKLRGGSQYYYLIADFSPRNRDFFYENRLPDFYQTRKEPDGLLTEPFQALTKMQTEFRLGSVNIVVRECCDSYRVSSYGGGGEDQPLLDTYSCEDGHDDESYYFRGEEEEEEEEEEDEEEESLERLIKEESGSPMLDSCHPFSSQRYHAKKKESRQRRRRRSGRSSQPDHSTCAGRSQHHHHSGGGSGGSGEVAATAAAVAAAAAARSHALRERERARAQAAYGYPMHSNCGSSEASVMAVEM
+>sp|P22460|KCNA5_HUMAN Potassium voltage-gated channel subfamily A member 5 OS=Homo sapiens OX=9606 GN=KCNA5 PE=1 SV=4
+MEIALVPLENGGAMTVRGGDEARAGCGQATGGELQCPPTAGLSDGPKEPAPKGRGAQRDADSGVRPLPPLPDPGVRPLPPLPEELPRPRRPPPEDEEEEGDPGLGTVEDQALGTASLHHQRVHINISGLRFETQLGTLAQFPNTLLGDPAKRLRYFDPLRNEYFFDRNRPSFDGILYYYQSGGRLRRPVNVSLDVFADEIRFYQLGDEAMERFREDEGFIKEEEKPLPRNEFQRQVWLIFEYPESSGSARAIAIVSVLVILISIITFCLETLPEFRDERELLRHPPAPHQPPAPAPGANGSGVMAPPSGPTVAPLLPRTLADPFFIVETTCVIWFTFELLVRFFACPSKAGFSRNIMNIIDVVAIFPYFITLGTELAEQQPGGGGGGQNGQQAMSLAILRVIRLVRVFRIFKLSRHSKGLQILGKTLQASMRELGLLIFFLFIGVILFSSAVYFAEADNQGTHFSSIPDAFWWAVVTMTTVGYGDMRPITVGGKIVGSLCAIAGVLTIALPVPVIVSNFNYFYHRETDHEEPAVLKEEQGTQSQGPGLDRGVQRKVSGSRGSFCKAGGTLENADSARRGSCPLEKCNVKAKSNVDLRRSLYALCLDTSRETDL
+>DECOY_sp|P22460|KCNA5_HUMAN Potassium voltage-gated channel subfamily A member 5 OS=Homo sapiens OX=9606 GN=KCNA5 PE=1 SV=4
+LDTERSTDLCLAYLSRRLDVNSKAKVNCKELPCSGRRASDANELTGGAKCFSGRSGSVKRQVGRDLGPGQSQTGQEEKLVAPEEHDTERHYFYNFNSVIVPVPLAITLVGAIACLSGVIKGGVTIPRMDGYGVTTMTVVAWWFADPISSFHTGQNDAEAFYVASSFLIVGIFLFFILLGLERMSAQLTKGLIQLGKSHRSLKFIRFVRVLRIVRLIALSMAQQGNQGGGGGGPQQEALETGLTIFYPFIAVVDIINMINRSFGAKSPCAFFRVLLEFTFWIVCTTEVIFFPDALTRPLLPAVTPGSPPAMVGSGNAGPAPAPPQHPAPPHRLLEREDRFEPLTELCFTIISILIVLVSVIAIARASGSSEPYEFILWVQRQFENRPLPKEEEKIFGEDERFREMAEDGLQYFRIEDAFVDLSVNVPRRLRGGSQYYYLIGDFSPRNRDFFYENRLPDFYRLRKAPDGLLTNPFQALTGLQTEFRLGSINIHVRQHHLSATGLAQDEVTGLGPDGEEEEDEPPPRRPRPLEEPLPPLPRVGPDPLPPLPRVGSDADRQAGRGKPAPEKPGDSLGATPPCQLEGGTAQGCGARAEDGGRVTMAGGNELPVLAIEM
+>sp|P17658|KCNA6_HUMAN Potassium voltage-gated channel subfamily A member 6 OS=Homo sapiens OX=9606 GN=KCNA6 PE=2 SV=1
+MRSEKSLTLAAPGEVRGPEGEQQDAGDFPEAGGGGGCCSSERLVINISGLRFETQLRTLSLFPDTLLGDPGRRVRFFDPLRNEYFFDRNRPSFDAILYYYQSGGRLRRPVNVPLDIFLEEIRFYQLGDEALAAFREDEGCLPEGGEDEKPLPSQPFQRQVWLLFEYPESSGPARGIAIVSVLVILISIVIFCLETLPQFRVDGRGGNNGGVSRVSPVSRGSQEEEEDEDDSYTFHHGITPGEMGTGGSSSLSTLGGSFFTDPFFLVETLCIVWFTFELLVRFSACPSKPAFFRNIMNIIDLVAIFPYFITLGTELVQQQEQQPASGGGGQNGQQAMSLAILRVIRLVRVFRIFKLSRHSKGLQILGKTLQASMRELGLLIFFLFIGVILFSSAVYFAEADDDDSLFPSIPDAFWWAVVTMTTVGYGDMYPMTVGGKIVGSLCAIAGVLTIALPVPVIVSNFNYFYHRETEQEEQGQYTHVTCGQPAPDLRATDNGLGKPDFPEANRERRPSYLPTPHRAYAEKRMLTEV
+>DECOY_sp|P17658|KCNA6_HUMAN Potassium voltage-gated channel subfamily A member 6 OS=Homo sapiens OX=9606 GN=KCNA6 PE=2 SV=1
+VETLMRKEAYARHPTPLYSPRRERNAEPFDPKGLGNDTARLDPAPQGCTVHTYQGQEEQETERHYFYNFNSVIVPVPLAITLVGAIACLSGVIKGGVTMPYMDGYGVTTMTVVAWWFADPISPFLSDDDDAEAFYVASSFLIVGIFLFFILLGLERMSAQLTKGLIQLGKSHRSLKFIRFVRVLRIVRLIALSMAQQGNQGGGGSAPQQEQQQVLETGLTIFYPFIAVLDIINMINRFFAPKSPCASFRVLLEFTFWVICLTEVLFFPDTFFSGGLTSLSSSGGTGMEGPTIGHHFTYSDDEDEEEEQSGRSVPSVRSVGGNNGGRGDVRFQPLTELCFIVISILIVLVSVIAIGRAPGSSEPYEFLLWVQRQFPQSPLPKEDEGGEPLCGEDERFAALAEDGLQYFRIEELFIDLPVNVPRRLRGGSQYYYLIADFSPRNRDFFYENRLPDFFRVRRGPDGLLTDPFLSLTRLQTEFRLGSINIVLRESSCCGGGGGAEPFDGADQQEGEPGRVEGPAALTLSKESRM
+>sp|Q92953|KCNB2_HUMAN Potassium voltage-gated channel subfamily B member 2 OS=Homo sapiens OX=9606 GN=KCNB2 PE=2 SV=2
+MAEKAPPGLNRKTSRSTLSLPPEPVDIIRSKTCSRRVKINVGGLNHEVLWRTLDRLPRTRLGKLRDCNTHESLLEVCDDYNLNENEYFFDRHPGAFTSILNFYRTGKLHMMEEMCALSFGQELDYWGIDEIYLESCCQARYHQKKEQMNEELRREAETMREREGEEFDNTCCPDKRKKLWDLLEKPNSSVAAKILAIVSILFIVLSTIALSLNTLPELQETDEFGQLNDNRQLAHVEAVCIAWFTMEYLLRFLSSPNKWKFFKGPLNVIDLLAILPYYVTIFLTESNKSVLQFQNVRRVVQIFRIMRILRILKLARHSTGLQSLGFTLRRSYNELGLLILFLAMGIMIFSSLVFFAEKDEDATKFTSIPASFWWATITMTTVGYGDIYPKTLLGKIVGGLCCIAGVLVIALPIPIIVNNFSEFYKEQKRQEKAIKRREALERAKRNGSIVSMNLKDAFARSMELIDVAVEKAGESANTKDSADDNHLSPSRWKWARKALSETSSNKSFENKYQEVSQKDSHEQLNNTSSSSPQHLSAQKLEMLYNEITKTQPHSHPNPDCQEKPERPSAYEEEIEMEEVVCPQEQLAVAQTEVIVDMKSTSSIDSFTSCATDFTETERSPLPPPSASHLQMKFPTDLPGTEEHQRARGPPFLTLSREKGPAARDGTLEYAPVDITVNLDASGSQCGLHSPLQSDNATDSPKSSLKGSNPLKSRSLKVNFKENRGSAPQTPPSTARPLPVTTADFSLTTPQHISTILLEETPSQGDRPLLGTEVSAPCQGPSKGLSPRFPKQKLFPFSSRERRSFTEIDTGDDEDFLELPGAREEKQVDSSPNCFADKPSDGRDPLREEGSVGSSSPQDTGHNCRQDIYHAVSEVKKDSSQEGCKMENHLFAPEIHSNPGDTGYCPTRETSM
+>DECOY_sp|Q92953|KCNB2_HUMAN Potassium voltage-gated channel subfamily B member 2 OS=Homo sapiens OX=9606 GN=KCNB2 PE=2 SV=2
+MSTERTPCYGTDGPNSHIEPAFLHNEMKCGEQSSDKKVESVAHYIDQRCNHGTDQPSSSGVSGEERLPDRGDSPKDAFCNPSSDVQKEERAGPLELFDEDDGTDIETFSRRERSSFPFLKQKPFRPSLGKSPGQCPASVETGLLPRDGQSPTEELLITSIHQPTTLSFDATTVPLPRATSPPTQPASGRNEKFNVKLSRSKLPNSGKLSSKPSDTANDSQLPSHLGCQSGSADLNVTIDVPAYELTGDRAAPGKERSLTLFPPGRARQHEETGPLDTPFKMQLHSASPPPLPSRETETFDTACSTFSDISSTSKMDVIVETQAVALQEQPCVVEEMEIEEEYASPREPKEQCDPNPHSHPQTKTIENYLMELKQASLHQPSSSSTNNLQEHSDKQSVEQYKNEFSKNSSTESLAKRAWKWRSPSLHNDDASDKTNASEGAKEVAVDILEMSRAFADKLNMSVISGNRKARELAERRKIAKEQRKQEKYFESFNNVIIPIPLAIVLVGAICCLGGVIKGLLTKPYIDGYGVTTMTITAWWFSAPISTFKTADEDKEAFFVLSSFIMIGMALFLILLGLENYSRRLTFGLSQLGTSHRALKLIRLIRMIRFIQVVRRVNQFQLVSKNSETLFITVYYPLIALLDIVNLPGKFFKWKNPSSLFRLLYEMTFWAICVAEVHALQRNDNLQGFEDTEQLEPLTNLSLAITSLVIFLISVIALIKAAVSSNPKELLDWLKKRKDPCCTNDFEEGERERMTEAERRLEENMQEKKQHYRAQCCSELYIEDIGWYDLEQGFSLACMEEMMHLKGTRYFNLISTFAGPHRDFFYENENLNYDDCVELLSEHTNCDRLKGLRTRPLRDLTRWLVEHNLGGVNIKVRRSCTKSRIIDVPEPPLSLTSRSTKRNLGPPAKEAM
+>sp|Q96PR1|KCNC2_HUMAN Potassium voltage-gated channel subfamily C member 2 OS=Homo sapiens OX=9606 GN=KCNC2 PE=1 SV=1
+MGKIENNERVILNVGGTRHETYRSTLKTLPGTRLALLASSEPPGDCLTTAGDKLQPSPPPLSPPPRAPPLSPGPGGCFEGGAGNCSSRGGRASDHPGGGREFFFDRHPGVFAYVLNYYRTGKLHCPADVCGPLFEEELAFWGIDETDVEPCCWMTYRQHRDAEEALDIFETPDLIGGDPGDDEDLAAKRLGIEDAAGLGGPDGKSGRWRRLQPRMWALFEDPYSSRAARFIAFASLFFILVSITTFCLETHEAFNIVKNKTEPVINGTSVVLQYEIETDPALTYVEGVCVVWFTFEFLVRIVFSPNKLEFIKNLLNIIDFVAILPFYLEVGLSGLSSKAAKDVLGFLRVVRFVRILRIFKLTRHFVGLRVLGHTLRASTNEFLLLIIFLALGVLIFATMIYYAERVGAQPNDPSASEHTQFKNIPIGFWWAVVTMTTLGYGDMYPQTWSGMLVGALCALAGVLTIAMPVPVIVNNFGMYYSLAMAKQKLPRKRKKHIPPAPQASSPTFCKTELNMACNSTQSDTCLGKDNRLLEHNRSVLSGDDSTGSEPPLSPPERLPIRRSSTRDKNRRGETCFLLTTGDYTCASDGGIRKGYEKSRSLNNIAGLAGNALRLSPVTSPYNSPCPLRRSRSPIPSIL
+>DECOY_sp|Q96PR1|KCNC2_HUMAN Potassium voltage-gated channel subfamily C member 2 OS=Homo sapiens OX=9606 GN=KCNC2 PE=1 SV=1
+LISPIPSRSRRLPCPSNYPSTVPSLRLANGALGAINNLSRSKEYGKRIGGDSACTYDGTTLLFCTEGRRNKDRTSSRRIPLREPPSLPPESGTSDDGSLVSRNHELLRNDKGLCTDSQTSNCAMNLETKCFTPSSAQPAPPIHKKRKRPLKQKAMALSYYMGFNNVIVPVPMAITLVGALACLAGVLMGSWTQPYMDGYGLTTMTVVAWWFGIPINKFQTHESASPDNPQAGVREAYYIMTAFILVGLALFIILLLFENTSARLTHGLVRLGVFHRTLKFIRLIRVFRVVRLFGLVDKAAKSSLGSLGVELYFPLIAVFDIINLLNKIFELKNPSFVIRVLFEFTFWVVCVGEVYTLAPDTEIEYQLVVSTGNIVPETKNKVINFAEHTELCFTTISVLIFFLSAFAIFRAARSSYPDEFLAWMRPQLRRWRGSKGDPGGLGAADEIGLRKAALDEDDGPDGGILDPTEFIDLAEEADRHQRYTMWCCPEVDTEDIGWFALEEEFLPGCVDAPCHLKGTRYYNLVYAFVGPHRDFFFERGGGPHDSARGGRSSCNGAGGEFCGGPGPSLPPARPPPSLPPPSPQLKDGATTLCDGPPESSALLALRTGPLTKLTSRYTEHRTGGVNLIVRENNEIKGM
+>sp|Q14003|KCNC3_HUMAN Potassium voltage-gated channel subfamily C member 3 OS=Homo sapiens OX=9606 GN=KCNC3 PE=1 SV=3
+MLSSVCVSSFRGRQGASKQQPAPPPQPPESPPPPPLPPQQQQPAQPGPAASPAGPPAPRGPGDRRAEPCPGLPAAAMGRHGGGGGDSGKIVINVGGVRHETYRSTLRTLPGTRLAGLTEPEAAARFDYDPGADEFFFDRHPGVFAYVLNYYRTGKLHCPADVCGPLFEEELGFWGIDETDVEACCWMTYRQHRDAEEALDSFEAPDPAGAANAANAAGAHDGGLDDEAGAGGGGLDGAGGELKRLCFQDAGGGAGGPPGGAGGAGGTWWRRWQPRVWALFEDPYSSRAARYVAFASLFFILISITTFCLETHEGFIHISNKTVTQASPIPGAPPENITNVEVETEPFLTYVEGVCVVWFTFEFLMRITFCPDKVEFLKSSLNIIDCVAILPFYLEVGLSGLSSKAAKDVLGFLRVVRFVRILRIFKLTRHFVGLRVLGHTLRASTNEFLLLIIFLALGVLIFATMIYYAERIGADPDDILGSNHTYFKNIPIGFWWAVVTMTTLGYGDMYPKTWSGMLVGALCALAGVLTIAMPVPVIVNNFGMYYSLAMAKQKLPKKKNKHIPRPPQPGSPNYCKPDPPPPPPPHPHHGSGGISPPPPITPPSMGVTVAGAYPAGPHTHPGLLRGGAGGLGIMGLPPLPAPGEPCPLAQEEVIEINRADPRPNGDPAAAALAHEDCPAIDQPAMSPEDKSPITPGSRGRYSRDRACFLLTDYAPSPDGSIRKATGAPPLPPQDWRKPGPPSFLPDLNANAAAWISP
+>DECOY_sp|Q14003|KCNC3_HUMAN Potassium voltage-gated channel subfamily C member 3 OS=Homo sapiens OX=9606 GN=KCNC3 PE=1 SV=3
+PSIWAAANANLDPLFSPPGPKRWDQPPLPPAGTAKRISGDPSPAYDTLLFCARDRSYRGRSGPTIPSKDEPSMAPQDIAPCDEHALAAAAPDGNPRPDARNIEIVEEQALPCPEGPAPLPPLGMIGLGGAGGRLLGPHTHPGAPYAGAVTVGMSPPTIPPPPSIGGSGHHPHPPPPPPPDPKCYNPSGPQPPRPIHKNKKKPLKQKAMALSYYMGFNNVIVPVPMAITLVGALACLAGVLMGSWTKPYMDGYGLTTMTVVAWWFGIPINKFYTHNSGLIDDPDAGIREAYYIMTAFILVGLALFIILLLFENTSARLTHGLVRLGVFHRTLKFIRLIRVFRVVRLFGLVDKAAKSSLGSLGVELYFPLIAVCDIINLSSKLFEVKDPCFTIRMLFEFTFWVVCVGEVYTLFPETEVEVNTINEPPAGPIPSAQTVTKNSIHIFGEHTELCFTTISILIFFLSAFAVYRAARSSYPDEFLAWVRPQWRRWWTGGAGGAGGPPGGAGGGADQFCLRKLEGGAGDLGGGGAGAEDDLGGDHAGAANAANAAGAPDPAEFSDLAEEADRHQRYTMWCCAEVDTEDIGWFGLEEEFLPGCVDAPCHLKGTRYYNLVYAFVGPHRDFFFEDAGPDYDFRAAAEPETLGALRTGPLTRLTSRYTEHRVGGVNIVIKGSDGGGGGHRGMAAAPLGPCPEARRDGPGRPAPPGAPSAAPGPQAPQQQQPPLPPPPPSEPPQPPPAPQQKSAGQRGRFSSVCVSSLM
+>sp|Q9NZV8|KCND2_HUMAN Potassium voltage-gated channel subfamily D member 2 OS=Homo sapiens OX=9606 GN=KCND2 PE=1 SV=2
+MAAGVAAWLPFARAAAIGWMPVASGPMPAPPRQERKRTQDALIVLNVSGTRFQTWQDTLERYPDTLLGSSERDFFYHPETQQYFFDRDPDIFRHILNFYRTGKLHYPRHECISAYDEELAFFGLIPEIIGDCCYEEYKDRRRENAERLQDDADTDTAGESALPTMTARQRVWRAFENPHTSTMALVFYYVTGFFIAVSVIANVVETVPCGSSPGHIKELPCGERYAVAFFCLDTACVMIFTVEYLLRLAAAPSRYRFVRSVMSIIDVVAILPYYIGLVMTDNEDVSGAFVTLRVFRVFRIFKFSRHSQGLRILGYTLKSCASELGFLLFSLTMAIIIFATVMFYAEKGSSASKFTSIPAAFWYTIVTMTTLGYGDMVPKTIAGKIFGSICSLSGVLVIALPVPVIVSNFSRIYHQNQRADKRRAQKKARLARIRAAKSGSANAYMQSKRNGLLSNQLQSSEDEQAFVSKSGSSFETQHHHLLHCLEKTTNHEFVDEQVFEESCMEVATVNRPSSHSPSLSSQQGVTSTCCSRRHKKTFRIPNANVSGSHQGSIQELSTIQIRCVERTPLSNSRSSLNAKMEECVKLNCEQPYVTTAIISIPTPPVTTPEGDDRPESPEYSGGNIVRVSAL
+>DECOY_sp|Q9NZV8|KCND2_HUMAN Potassium voltage-gated channel subfamily D member 2 OS=Homo sapiens OX=9606 GN=KCND2 PE=1 SV=2
+LASVRVINGGSYEPSEPRDDGEPTTVPPTPISIIATTVYPQECNLKVCEEMKANLSSRSNSLPTREVCRIQITSLEQISGQHSGSVNANPIRFTKKHRRSCCTSTVGQQSSLSPSHSSPRNVTAVEMCSEEFVQEDVFEHNTTKELCHLLHHHQTEFSSGSKSVFAQEDESSQLQNSLLGNRKSQMYANASGSKAARIRALRAKKQARRKDARQNQHYIRSFNSVIVPVPLAIVLVGSLSCISGFIKGAITKPVMDGYGLTTMTVITYWFAAPISTFKSASSGKEAYFMVTAFIIIAMTLSFLLFGLESACSKLTYGLIRLGQSHRSFKFIRFVRFVRLTVFAGSVDENDTMVLGIYYPLIAVVDIISMVSRVFRYRSPAAALRLLYEVTFIMVCATDLCFFAVAYREGCPLEKIHGPSSGCPVTEVVNAIVSVAIFFGTVYYFVLAMTSTHPNEFARWVRQRATMTPLASEGATDTDADDQLREANERRRDKYEEYCCDGIIEPILGFFALEEDYASICEHRPYHLKGTRYFNLIHRFIDPDRDFFYQQTEPHYFFDRESSGLLTDPYRELTDQWTQFRTGSVNLVILADQTRKREQRPPAPMPGSAVPMWGIAAARAFPLWAAVGAAM
+>sp|Q9Y4C1|KDM3A_HUMAN Lysine-specific demethylase 3A OS=Homo sapiens OX=9606 GN=KDM3A PE=1 SV=4
+MVLTLGESWPVLVGRRFLSLSAADGSDGSHDSWDVERVAEWPWLSGTIRAVSHTDVTKKDLKVCVEFDGESWRKRRWIEVYSLLRRAFLVEHNLVLAERKSPEISERIVQWPAITYKPLLDKAGLGSITSVRFLGDQQRVFLSKDLLKPIQDVNSLRLSLTDNQIVSKEFQALIVKHLDESHLLKGDKNLVGSEVKIYSLDPSTQWFSATVINGNPASKTLQVNCEEIPALKIVDPSLIHVEVVHDNLVTCGNSARIGAVKRKSSENNGTLVSKQAKSCSEASPSMCPVQSVPTTVFKEILLGCTAATPPSKDPRQQSTPQAANSPPNLGAKIPQGCHKQSLPEEISSCLNTKSEALRTKPDVCKAGLLSKSSQIGTGDLKILTEPKGSCTQPKTNTDQENRLESVPQALTGLPKECLPTKASSKAELEIANPPELQKHLEHAPSPSDVSNAPEVKAGVNSDSPNNCSGKKVEPSALACRSQNLKESSVKVDNESCCSRSNNKIQNAPSRKSVLTDPAKLKKLQQSGEAFVQDDSCVNIVAQLPKCRECRLDSLRKDKEQQKDSPVFCRFFHFRRLQFNKHGVLRVEGFLTPNKYDNEAIGLWLPLTKNVVGIDLDTAKYILANIGDHFCQMVISEKEAMSTIEPHRQVAWKRAVKGVREMCDVCDTTIFNLHWVCPRCGFGVCVDCYRMKRKNCQQGAAYKTFSWLKCVKSQIHEPENLMPTQIIPGKALYDVGDIVHSVRAKWGIKANCPCSNRQFKLFSKPASKEDLKQTSLAGEKPTLGAVLQQNPSVLEPAAVGGEAASKPAGSMKPACPASTSPLNWLADLTSGNVNKENKEKQPTMPILKNEIKCLPPLPPLSKSSTVLHTFNSTILTPVSNNNSGFLRNLLNSSTGKTENGLKNTPKILDDIFASLVQNKTTSDLSKRPQGLTIKPSILGFDTPHYWLCDNRLLCLQDPNNKSNWNVFRECWKQGQPVMVSGVHHKLNSELWKPESFRKEFGEQEVDLVNCRTNEIITGATVGDFWDGFEDVPNRLKNEKEPMVLKLKDWPPGEDFRDMMPSRFDDLMANIPLPEYTRRDGKLNLASRLPNYFVRPDLGPKMYNAYGLITPEDRKYGTTNLHLDVSDAANVMVYVGIPKGQCEQEEEVLKTIQDGDSDELTIKRFIEGKEKPGALWHIYAAKDTEKIREFLKKVSEEQGQENPADHDPIHDQSWYLDRSLRKRLHQEYGVQGWAIVQFLGDVVFIPAGAPHQVHNLYSCIKVAEDFVSPEHVKHCFWLTQEFRYLSQTHTNHEDKLQVKNVIYHAVKDAVAMLKASESSFGKP
+>DECOY_sp|Q9Y4C1|KDM3A_HUMAN Lysine-specific demethylase 3A OS=Homo sapiens OX=9606 GN=KDM3A PE=1 SV=4
+PKGFSSESAKLMAVADKVAHYIVNKVQLKDEHNTHTQSLYRFEQTLWFCHKVHEPSVFDEAVKICSYLNHVQHPAGAPIFVVDGLFQVIAWGQVGYEQHLRKRLSRDLYWSQDHIPDHDAPNEQGQEESVKKLFERIKETDKAAYIHWLAGPKEKGEIFRKITLEDSDGDQITKLVEEEQECQGKPIGVYVMVNAADSVDLHLNTTGYKRDEPTILGYANYMKPGLDPRVFYNPLRSALNLKGDRRTYEPLPINAMLDDFRSPMMDRFDEGPPWDKLKLVMPEKENKLRNPVDEFGDWFDGVTAGTIIENTRCNVLDVEQEGFEKRFSEPKWLESNLKHHVGSVMVPQGQKWCERFVNWNSKNNPDQLCLLRNDCLWYHPTDFGLISPKITLGQPRKSLDSTTKNQVLSAFIDDLIKPTNKLGNETKGTSSNLLNRLFGSNNNSVPTLITSNFTHLVTSSKSLPPLPPLCKIENKLIPMTPQKEKNEKNVNGSTLDALWNLPSTSAPCAPKMSGAPKSAAEGGVAAPELVSPNQQLVAGLTPKEGALSTQKLDEKSAPKSFLKFQRNSCPCNAKIGWKARVSHVIDGVDYLAKGPIIQTPMLNEPEHIQSKVCKLWSFTKYAAGQQCNKRKMRYCDVCVGFGCRPCVWHLNFITTDCVDCMERVGKVARKWAVQRHPEITSMAEKESIVMQCFHDGINALIYKATDLDIGVVNKTLPLWLGIAENDYKNPTLFGEVRLVGHKNFQLRRFHFFRCFVPSDKQQEKDKRLSDLRCERCKPLQAVINVCSDDQVFAEGSQQLKKLKAPDTLVSKRSPANQIKNNSRSCCSENDVKVSSEKLNQSRCALASPEVKKGSCNNPSDSNVGAKVEPANSVDSPSPAHELHKQLEPPNAIELEAKSSAKTPLCEKPLGTLAQPVSELRNEQDTNTKPQTCSGKPETLIKLDGTGIQSSKSLLGAKCVDPKTRLAESKTNLCSSIEEPLSQKHCGQPIKAGLNPPSNAAQPTSQQRPDKSPPTAATCGLLIEKFVTTPVSQVPCMSPSAESCSKAQKSVLTGNNESSKRKVAGIRASNGCTVLNDHVVEVHILSPDVIKLAPIEECNVQLTKSAPNGNIVTASFWQTSPDLSYIKVESGVLNKDGKLLHSEDLHKVILAQFEKSVIQNDTLSLRLSNVDQIPKLLDKSLFVRQQDGLFRVSTISGLGAKDLLPKYTIAPWQVIRESIEPSKREALVLNHEVLFARRLLSYVEIWRRKRWSEGDFEVCVKLDKKTVDTHSVARITGSLWPWEAVREVDWSDHSGDSGDAASLSLFRRGVLVPWSEGLTLVM
+>sp|Q8N371|KDM8_HUMAN JmjC domain-containing protein 5 OS=Homo sapiens OX=9606 GN=KDM8 PE=1 SV=1
+MAGDTHCPAEPLAREGTLWEALRALLPHSKEDLKLDLGEKVERSVVTLLQRATELFYEGRRDECLQSSEVILDYSWEKLNTGTWQDVDKDWRRVYAIGCLLKALCLCQAPEDANTVAAALRVCDMGLLMGAAILGDILLKVAAILQTHLPGKRPARGSLPEQPCTKKARADHGLIPDVKLEKTVPRLHRPSLQHFREQFLVPGRPVILKGVADHWPCMQKWSLEYIQEIAGCRTVPVEVGSRYTDEEWSQTLMTVNEFISKYIVNEPRDVGYLAQHQLFDQIPELKQDISIPDYCSLGDGEEEEITINAWFGPQGTISPLHQDPQQNFLVQVMGRKYIRLYSPQESGALYPHDTHLLHNTSQVDVENPDLEKFPKFAKAPFLSCILSPGEILFIPVKYWHYVRALDLSFSVSFWWS
+>DECOY_sp|Q8N371|KDM8_HUMAN JmjC domain-containing protein 5 OS=Homo sapiens OX=9606 GN=KDM8 PE=1 SV=1
+SWWFSVSFSLDLARVYHWYKVPIFLIEGPSLICSLFPAKAFKPFKELDPNEVDVQSTNHLLHTDHPYLAGSEQPSYLRIYKRGMVQVLFNQQPDQHLPSITGQPGFWANITIEEEEGDGLSCYDPISIDQKLEPIQDFLQHQALYGVDRPENVIYKSIFENVTMLTQSWEEDTYRSGVEVPVTRCGAIEQIYELSWKQMCPWHDAVGKLIVPRGPVLFQERFHQLSPRHLRPVTKELKVDPILGHDARAKKTCPQEPLSGRAPRKGPLHTQLIAAVKLLIDGLIAAGMLLGMDCVRLAAAVTNADEPAQCLCLAKLLCGIAYVRRWDKDVDQWTGTNLKEWSYDLIVESSQLCEDRRGEYFLETARQLLTVVSREVKEGLDLKLDEKSHPLLARLAEWLTGERALPEAPCHTDGAM
+>sp|Q13976|KGP1_HUMAN cGMP-dependent protein kinase 1 OS=Homo sapiens OX=9606 GN=PRKG1 PE=1 SV=3
+MSELEEDFAKILMLKEERIKELEKRLSEKEEEIQELKRKLHKCQSVLPVPSTHIGPRTTRAQGISAEPQTYRSFHDLRQAFRKFTKSERSKDLIKEAILDNDFMKNLELSQIQEIVDCMYPVEYGKDSCIIKEGDVGSLVYVMEDGKVEVTKEGVKLCTMGPGKVFGELAILYNCTRTATVKTLVNVKLWAIDRQCFQTIMMRTGLIKHTEYMEFLKSVPTFQSLPEEILSKLADVLEETHYENGEYIIRQGARGDTFFIISKGTVNVTREDSPSEDPVFLRTLGKGDWFGEKALQGEDVRTANVIAAEAVTCLVIDRDSFKHLIGGLDDVSNKAYEDAEAKAKYEAEAAFFANLKLSDFNIIDTLGVGGFGRVELVQLKSEESKTFAMKILKKRHIVDTRQQEHIRSEKQIMQGAHSDFIVRLYRTFKDSKYLYMLMEACLGGELWTILRDRGSFEDSTTRFYTACVVEAFAYLHSKGIIYRDLKPENLILDHRGYAKLVDFGFAKKIGFGKKTWTFCGTPEYVAPEIILNKGHDISADYWSLGILMYELLTGSPPFSGPDPMKTYNIILRGIDMIEFPKKIAKNAANLIKKLCRDNPSERLGNLKNGVKDIQKHKWFEGFNWEGLRKGTLTPPIIPSVASPTDTSNFDSFPEDNDEPPPDDNSGWDIDF
+>DECOY_sp|Q13976|KGP1_HUMAN cGMP-dependent protein kinase 1 OS=Homo sapiens OX=9606 GN=PRKG1 PE=1 SV=3
+FDIDWGSNDDPPPEDNDEPFSDFNSTDTPSAVSPIIPPTLTGKRLGEWNFGEFWKHKQIDKVGNKLNGLRESPNDRCLKKILNAANKAIKKPFEIMDIGRLIINYTKMPDPGSFPPSGTLLEYMLIGLSWYDASIDHGKNLIIEPAVYEPTGCFTWTKKGFGIKKAFGFDVLKAYGRHDLILNEPKLDRYIIGKSHLYAFAEVVCATYFRTTSDEFSGRDRLITWLEGGLCAEMLMYLYKSDKFTRYLRVIFDSHAGQMIQKESRIHEQQRTDVIHRKKLIKMAFTKSEESKLQVLEVRGFGGVGLTDIINFDSLKLNAFFAAEAEYKAKAEADEYAKNSVDDLGGILHKFSDRDIVLCTVAEAAIVNATRVDEGQLAKEGFWDGKGLTRLFVPDESPSDERTVNVTGKSIIFFTDGRAGQRIIYEGNEYHTEELVDALKSLIEEPLSQFTPVSKLFEMYETHKILGTRMMITQFCQRDIAWLKVNVLTKVTATRTCNYLIALEGFVKGPGMTCLKVGEKTVEVKGDEMVYVLSGVDGEKIICSDKGYEVPYMCDVIEQIQSLELNKMFDNDLIAEKILDKSRESKTFKRFAQRLDHFSRYTQPEASIGQARTTRPGIHTSPVPLVSQCKHLKRKLEQIEEEKESLRKELEKIREEKLMLIKAFDEELESM
+>sp|Q14012|KCC1A_HUMAN Calcium/calmodulin-dependent protein kinase type 1 OS=Homo sapiens OX=9606 GN=CAMK1 PE=1 SV=1
+MLGAVEGPRWKQAEDIRDIYDFRDVLGTGAFSEVILAEDKRTQKLVAIKCIAKEALEGKEGSMENEIAVLHKIKHPNIVALDDIYESGGHLYLIMQLVSGGELFDRIVEKGFYTERDASRLIFQVLDAVKYLHDLGIVHRDLKPENLLYYSLDEDSKIMISDFGLSKMEDPGSVLSTACGTPGYVAPEVLAQKPYSKAVDCWSIGVIAYILLCGYPPFYDENDAKLFEQILKAEYEFDSPYWDDISDSAKDFIRHLMEKDPEKRFTCEQALQHPWIAGDTALDKNIHQSVSEQIKKNFAKSKWKQAFNATAVVRHMRKLQLGTSQEGQGQTASHGELLTPVAGGPAAGCCCRDCCVEPGTELSPTLPHQL
+>DECOY_sp|Q14012|KCC1A_HUMAN Calcium/calmodulin-dependent protein kinase type 1 OS=Homo sapiens OX=9606 GN=CAMK1 PE=1 SV=1
+LQHPLTPSLETGPEVCCDRCCCGAAPGGAVPTLLEGHSATQGQGEQSTGLQLKRMHRVVATANFAQKWKSKAFNKKIQESVSQHINKDLATDGAIWPHQLAQECTFRKEPDKEMLHRIFDKASDSIDDWYPSDFEYEAKLIQEFLKADNEDYFPPYGCLLIYAIVGISWCDVAKSYPKQALVEPAVYGPTGCATSLVSGPDEMKSLGFDSIMIKSDEDLSYYLLNEPKLDRHVIGLDHLYKVADLVQFILRSADRETYFGKEVIRDFLEGGSVLQMILYLHGGSEYIDDLAVINPHKIKHLVAIENEMSGEKGELAEKAICKIAVLKQTRKDEALIVESFAGTGLVDRFDYIDRIDEAQKWRPGEVAGLM
+>sp|Q9UQM7|KCC2A_HUMAN Calcium/calmodulin-dependent protein kinase type II subunit alpha OS=Homo sapiens OX=9606 GN=CAMK2A PE=1 SV=2
+MATITCTRFTEEYQLFEELGKGAFSVVRRCVKVLAGQEYAAKIINTKKLSARDHQKLEREARICRLLKHPNIVRLHDSISEEGHHYLIFDLVTGGELFEDIVAREYYSEADASHCIQQILEAVLHCHQMGVVHRDLKPENLLLASKLKGAAVKLADFGLAIEVEGEQQAWFGFAGTPGYLSPEVLRKDPYGKPVDLWACGVILYILLVGYPPFWDEDQHRLYQQIKAGAYDFPSPEWDTVTPEAKDLINKMLTINPSKRITAAEALKHPWISHRSTVASCMHRQETVDCLKKFNARRKLKGAILTTMLATRNFSGGKSGGNKKSDGVKESSESTNTTIEDEDTKVRKQEIIKVTEQLIEAISNGDFESYTKMCDPGMTAFEPEALGNLVEGLDFHRFYFENLWSRNSKPVHTTILNPHIHLMGDESACIAYIRITQYLDAGGIPRTAQSEETRVWHRRDGKWQIVHFHRSGAPSVLPH
+>DECOY_sp|Q9UQM7|KCC2A_HUMAN Calcium/calmodulin-dependent protein kinase type II subunit alpha OS=Homo sapiens OX=9606 GN=CAMK2A PE=1 SV=2
+HPLVSPAGSRHFHVIQWKGDRRHWVRTEESQATRPIGGADLYQTIRIYAICASEDGMLHIHPNLITTHVPKSNRSWLNEFYFRHFDLGEVLNGLAEPEFATMGPDCMKTYSEFDGNSIAEILQETVKIIEQKRVKTDEDEITTNTSESSEKVGDSKKNGGSKGGSFNRTALMTTLIAGKLKRRANFKKLCDVTEQRHMCSAVTSRHSIWPHKLAEAATIRKSPNITLMKNILDKAEPTVTDWEPSPFDYAGAKIQQYLRHQDEDWFPPYGVLLIYLIVGCAWLDVPKGYPDKRLVEPSLYGPTGAFGFWAQQEGEVEIALGFDALKVAAGKLKSALLLNEPKLDRHVVGMQHCHLVAELIQQICHSADAESYYERAVIDEFLEGGTVLDFILYHHGEESISDHLRVINPHKLLRCIRAERELKQHDRASLKKTNIIKAAYEQGALVKVCRRVVSFAGKGLEEFLQYEETFRTCTITAM
+>sp|Q13555|KCC2G_HUMAN Calcium/calmodulin-dependent protein kinase type II subunit gamma OS=Homo sapiens OX=9606 GN=CAMK2G PE=1 SV=4
+MATTATCTRFTDDYQLFEELGKGAFSVVRRCVKKTSTQEYAAKIINTKKLSARDHQKLEREARICRLLKHPNIVRLHDSISEEGFHYLVFDLVTGGELFEDIVAREYYSEADASHCIHQILESVNHIHQHDIVHRDLKPENLLLASKCKGAAVKLADFGLAIEVQGEQQAWFGFAGTPGYLSPEVLRKDPYGKPVDIWACGVILYILLVGYPPFWDEDQHKLYQQIKAGAYDFPSPEWDTVTPEAKNLINQMLTINPAKRITADQALKHPWVCQRSTVASMMHRQETVECLRKFNARRKLKGAILTTMLVSRNFSAAKSLLNKKSDGGVKKRKSSSSVHLMPQSNNKNSLVSPAQEPAPLQTAMEPQTTVVHNATDGIKGSTESCNTTTEDEDLKGRVPEGRSSRDRTAPSAGMQPQPSLCSSAMRKQEIIKITEQLIEAINNGDFEAYTKICDPGLTSFEPEALGNLVEGMDFHKFYFENLLSKNSKPIHTTILNPHVHVIGEDAACIAYIRLTQYIDGQGRPRTSQSEETRVWHRRDGKWLNVHYHCSGAPAAPLQ
+>DECOY_sp|Q13555|KCC2G_HUMAN Calcium/calmodulin-dependent protein kinase type II subunit gamma OS=Homo sapiens OX=9606 GN=CAMK2G PE=1 SV=4
+QLPAAPAGSCHYHVNLWKGDRRHWVRTEESQSTRPRGQGDIYQTLRIYAICAADEGIVHVHPNLITTHIPKSNKSLLNEFYFKHFDMGEVLNGLAEPEFSTLGPDCIKTYAEFDGNNIAEILQETIKIIEQKRMASSCLSPQPQMGASPATRDRSSRGEPVRGKLDEDETTTNCSETSGKIGDTANHVVTTQPEMATQLPAPEQAPSVLSNKNNSQPMLHVSSSSKRKKVGGDSKKNLLSKAASFNRSVLMTTLIAGKLKRRANFKRLCEVTEQRHMMSAVTSRQCVWPHKLAQDATIRKAPNITLMQNILNKAEPTVTDWEPSPFDYAGAKIQQYLKHQDEDWFPPYGVLLIYLIVGCAWIDVPKGYPDKRLVEPSLYGPTGAFGFWAQQEGQVEIALGFDALKVAAGKCKSALLLNEPKLDRHVIDHQHIHNVSELIQHICHSADAESYYERAVIDEFLEGGTVLDFVLYHFGEESISDHLRVINPHKLLRCIRAERELKQHDRASLKKTNIIKAAYEQTSTKKVCRRVVSFAGKGLEEFLQYDDTFRTCTATTAM
+>sp|Q9UJ90|KCNE5_HUMAN Potassium voltage-gated channel subfamily E regulatory beta subunit 5 OS=Homo sapiens OX=9606 GN=KCNE5 PE=1 SV=1
+MNCSESQRLRTLLSRLLLELHHRGNASGLGAGPRPSMGMGVVPDPFVGREVTSAKGDDAYLYILLIMIFYACLAGGLILAYTRSRKLVEAKDEPSQACAEHEWAPGGALTADAEAAAGSQAEGRRQLASEGLPALAQGAERV
+>DECOY_sp|Q9UJ90|KCNE5_HUMAN Potassium voltage-gated channel subfamily E regulatory beta subunit 5 OS=Homo sapiens OX=9606 GN=KCNE5 PE=1 SV=1
+VREAGQALAPLGESALQRRGEAQSGAAAEADATLAGGPAWEHEACAQSPEDKAEVLKRSRTYALILGGALCAYFIMILLIYLYADDGKASTVERGVFPDPVVGMGMSPRPGAGLGSANGRHHLELLLRSLLTRLRQSESCNM
+>sp|Q9UQ05|KCNH4_HUMAN Potassium voltage-gated channel subfamily H member 4 OS=Homo sapiens OX=9606 GN=KCNH4 PE=2 SV=1
+MPVMKGLLAPQNTFLDTIATRFDGTHSNFLLANAQGTRGFPIVYCSDGFCELTGYGRTEVMQKTCSCRFLYGPETSEPALQRLHKALEGHQEHRAEICFYRKDGSAFWCLLDMMPIKNEMGEVVLFLFSFKDITQSGSPGLGPQGGRGDSNHENSLGRRGATWKFRSARRRSRTVLHRLTGHFGRRGQGGMKANNNVFEPKPSVPEYKVASVGGSRCLLLHYSVSKAIWDGLILLATFYVAVTVPYNVCFSGDDDTPITSRHTLVSDIAVEMLFILDIILNFRTTYVSQSGQVISAPRSIGLHYLATWFFIDLIAALPFDLLYIFNITVTSLVHLLKTVRLLRLLRLLQKLERYSQCSAVVLTLLMSVFALLAHWMACIWYVIGRREMEANDPLLWDIGWLHELGKRLEVPYVNGSVGGPSRRSAYIAALYFTLSSLTSVGFGNVCANTDAEKIFSICTMLIGALMHAVVFGNVTAIIQRMYSRRSLYHSRMKDLKDFIRVHRLPRPLKQRMLEYFQTTWAVNSGIDANELLRDFPDELRADIAMHLNREILQLPLFGAASRGCLRALSLHIKTSFCAPGEYLLRRGDALQAHYYVCSGSLEVLRDNMVLAILGKGDLIGADIPEPGQEPGLGADPNFVLKTSADVKALTYCGLQQLSSRGLAEVLRLYPEYGAAFRAGLPRDLTFNLRQGSDTSGLSRFSRSPRLSQPRSESLGSSSDKTLPSITEAESGAEPGGGPRPRRPLLLPNLSPARPRGSLVSLLGEELPPFSALVSSPSLSPSLSPALAGQGHSASPHGPPRCSAAWKPPQLLIPPLGTFGPPDLSPRIVDGIEDSGSTAEAPSFRFSRRPELPRPRSQAPPTGTRPSPELASEAEEVKEKVCRLNQEISRLNQEVSQLSRELRHIMGLLQARLGPPGHPAGSAWTPDPPCPQLRPPCLSPCASRPPPSLQDTTLAEVHCPASVGTMETGTALLDLRPSILPPYPSEPDPLGPSPVPEASPPTPSLLRHSFQSRSDTFH
+>DECOY_sp|Q9UQ05|KCNH4_HUMAN Potassium voltage-gated channel subfamily H member 4 OS=Homo sapiens OX=9606 GN=KCNH4 PE=2 SV=1
+HFTDSRSQFSHRLLSPTPPSAEPVPSPGLPDPESPYPPLISPRLDLLATGTEMTGVSAPCHVEALTTDQLSPPPRSACPSLCPPRLQPCPPDPTWASGAPHGPPGLRAQLLGMIHRLERSLQSVEQNLRSIEQNLRCVKEKVEEAESALEPSPRTGTPPAQSRPRPLEPRRSFRFSPAEATSGSDEIGDVIRPSLDPPGFTGLPPILLQPPKWAASCRPPGHPSASHGQGALAPSLSPSLSPSSVLASFPPLEEGLLSVLSGRPRAPSLNPLLLPRRPRPGGGPEAGSEAETISPLTKDSSSGLSESRPQSLRPSRSFRSLGSTDSGQRLNFTLDRPLGARFAAGYEPYLRLVEALGRSSLQQLGCYTLAKVDASTKLVFNPDAGLGPEQGPEPIDAGILDGKGLIALVMNDRLVELSGSCVYYHAQLADGRRLLYEGPACFSTKIHLSLARLCGRSAAGFLPLQLIERNLHMAIDARLEDPFDRLLENADIGSNVAWTTQFYELMRQKLPRPLRHVRIFDKLDKMRSHYLSRRSYMRQIIATVNGFVVAHMLAGILMTCISFIKEADTNACVNGFGVSTLSSLTFYLAAIYASRRSPGGVSGNVYPVELRKGLEHLWGIDWLLPDNAEMERRGIVYWICAMWHALLAFVSMLLTLVVASCQSYRELKQLLRLLRLLRVTKLLHVLSTVTINFIYLLDFPLAAILDIFFWTALYHLGISRPASIVQGSQSVYTTRFNLIIDLIFLMEVAIDSVLTHRSTIPTDDDGSFCVNYPVTVAVYFTALLILGDWIAKSVSYHLLLCRSGGVSAVKYEPVSPKPEFVNNNAKMGGQGRRGFHGTLRHLVTRSRRRASRFKWTAGRRGLSNEHNSDGRGGQPGLGPSGSQTIDKFSFLFLVVEGMENKIPMMDLLCWFASGDKRYFCIEARHEQHGELAKHLRQLAPESTEPGYLFRCSCTKQMVETRGYGTLECFGDSCYVIPFGRTGQANALLFNSHTGDFRTAITDLFTNQPALLGKMVPM
+>sp|Q8NCM2|KCNH5_HUMAN Potassium voltage-gated channel subfamily H member 5 OS=Homo sapiens OX=9606 GN=KCNH5 PE=1 SV=3
+MPGGKRGLVAPQNTFLENIVRRSSESSFLLGNAQIVDWPVVYSNDGFCKLSGYHRADVMQKSSTCSFMYGELTDKKTIEKVRQTFDNYESNCFEVLLYKKNRTPVWFYMQIAPIRNEHEKVVLFLCTFKDITLFKQPIEDDSTKGWTKFARLTRALTNSRSVLQQLTPMNKTEVVHKHSRLAEVLQLGSDILPQYKQEAPKTPPHIILHYCAFKTTWDWVILILTFYTAIMVPYNVSFKTKQNNIAWLVLDSVVDVIFLVDIVLNFHTTFVGPGGEVISDPKLIRMNYLKTWFVIDLLSCLPYDIINAFENVDEGISSLFSSLKVVRLLRLGRVARKLDHYLEYGAAVLVLLVCVFGLVAHWLACIWYSIGDYEVIDEVTNTIQIDSWLYQLALSIGTPYRYNTSAGIWEGGPSKDSLYVSSLYFTMTSLTTIGFGNIAPTTDVEKMFSVAMMMVGSLLYATIFGNVTTIFQQMYANTNRYHEMLNNVRDFLKLYQVPKGLSERVMDYIVSTWSMSKGIDTEKVLSICPKDMRADICVHLNRKVFNEHPAFRLASDGCLRALAVEFQTIHCAPGDLIYHAGESVDALCFVVSGSLEVIQDDEVVAILGKGDVFGDIFWKETTLAHACANVRALTYCDLHIIKREALLKVLDFYTAFANSFSRNLTLTCNLRKRIIFRKISDVKKEEEERLRQKNEVTLSIPVDHPVRKLFQKFKQQKELRNQGSTQGDPERNQLQVESRSLQNGASITGTSVVTVSQITPIQTSLAYVKTSESLKQNNRDAMELKPNGGADQKCLKVNSPIRMKNGNGKGWLRLKNNMGAHEEKKEDWNNVTKAESMGLLSEDPKSSDSENSVTKNPLRKTDSCDSGITKSDLRLDKAGEARSPLEHSPIQADAKHPFYPIPEQALQTTLQEVKHELKEDIQLLSCRMTALEKQVAEILKILSEKSVPQASSPKSQMPLQVPPQIPCQDIFSVSRPESPESDKDEIHF
+>DECOY_sp|Q8NCM2|KCNH5_HUMAN Potassium voltage-gated channel subfamily H member 5 OS=Homo sapiens OX=9606 GN=KCNH5 PE=1 SV=3
+FHIEDKDSEPSEPRSVSFIDQCPIQPPVQLPMQSKPSSAQPVSKESLIKLIEAVQKELATMRCSLLQIDEKLEHKVEQLTTQLAQEPIPYFPHKADAQIPSHELPSRAEGAKDLRLDSKTIGSDCSDTKRLPNKTVSNESDSSKPDESLLGMSEAKTVNNWDEKKEEHAGMNNKLRLWGKGNGNKMRIPSNVKLCKQDAGGNPKLEMADRNNQKLSESTKVYALSTQIPTIQSVTVVSTGTISAGNQLSRSEVQLQNREPDGQTSGQNRLEKQQKFKQFLKRVPHDVPISLTVENKQRLREEEEKKVDSIKRFIIRKRLNCTLTLNRSFSNAFATYFDLVKLLAERKIIHLDCYTLARVNACAHALTTEKWFIDGFVDGKGLIAVVEDDQIVELSGSVVFCLADVSEGAHYILDGPACHITQFEVALARLCGDSALRFAPHENFVKRNLHVCIDARMDKPCISLVKETDIGKSMSWTSVIYDMVRESLGKPVQYLKLFDRVNNLMEHYRNTNAYMQQFITTVNGFITAYLLSGVMMMAVSFMKEVDTTPAINGFGITTLSTMTFYLSSVYLSDKSPGGEWIGASTNYRYPTGISLALQYLWSDIQITNTVEDIVEYDGISYWICALWHAVLGFVCVLLVLVAAGYELYHDLKRAVRGLRLLRVVKLSSFLSSIGEDVNEFANIIDYPLCSLLDIVFWTKLYNMRILKPDSIVEGGPGVFTTHFNLVIDVLFIVDVVSDLVLWAINNQKTKFSVNYPVMIATYFTLILIVWDWTTKFACYHLIIHPPTKPAEQKYQPLIDSGLQLVEALRSHKHVVETKNMPTLQQLVSRSNTLARTLRAFKTWGKTSDDEIPQKFLTIDKFTCLFLVVKEHENRIPAIQMYFWVPTRNKKYLLVEFCNSEYNDFTQRVKEITKKDTLEGYMFSCTSSKQMVDARHYGSLKCFGDNSYVVPWDVIQANGLLFSSESSRRVINELFTNQPAVLGRKGGPM
+>sp|Q9H252|KCNH6_HUMAN Potassium voltage-gated channel subfamily H member 6 OS=Homo sapiens OX=9606 GN=KCNH6 PE=1 SV=1
+MPVRRGHVAPQNTYLDTIIRKFEGQSRKFLIANAQMENCAIIYCNDGFCELFGYSRVEVMQQPCTCDFLTGPNTPSSAVSRLAQALLGAEECKVDILYYRKDASSFRCLVDVVPVKNEDGAVIMFILNFEDLAQLLAKCSSRSLSQRLLSQSFLGSEGSHGRPGGPGPGTGRGKYRTISQIPQFTLNFVEFNLEKHRSSSTTEIEIIAPHKVVERTQNVTEKVTQVLSLGADVLPEYKLQAPRIHRWTILHYSPFKAVWDWLILLLVIYTAVFTPYSAAFLLSDQDESRRGACSYTCSPLTVVDLIVDIMFVVDIVINFRTTYVNTNDEVVSHPRRIAVHYFKGWFLIDMVAAIPFDLLIFRTGSDETTTLIGLLKTARLLRLVRVARKLDRYSEYGAAVLFLLMCTFALIAHWLACIWYAIGNVERPYLEHKIGWLDSLGVQLGKRYNGSDPASGPSVQDKYVTALYFTFSSLTSVGFGNVSPNTNSEKVFSICVMLIGSLMYASIFGNVSAIIQRLYSGTARYHTQMLRVKEFIRFHQIPNPLRQRLEEYFQHAWSYTNGIDMNAVLKGFPECLQADICLHLHRALLQHCPAFSGAGKGCLRALAVKFKTTHAPPGDTLVHLGDVLSTLYFISRGSIEILRDDVVVAILGKNDIFGEPVSLHAQPGKSSADVRALTYCDLHKIQRADLLEVLDMYPAFAESFWSKLEVTFNLRDAAGGLHSSPRQAPGSQDHQGFFLSDNQSGSPHELGPQFPSKGYSLLGPGSQNSMGAGPCAPGHPDAAPPLSISDASGLWPELLQEMPPRHSPQSPQEDPDCWPLKLGSRLEQLQAQMNRLESRVSSDLSRILQLLQKPMPQGHASYILEAPASNDLALVPIASETTSPGPRLPQGFLPPAQTPSYGDLDDCSPKHRNSSPRMPHLAVATDKTLAPSSEQEQPEGLWPPLASPLHPLEVQGLICGPCFSSLPEHLGSVPKQLDFQRHGSDPGFAGSWGH
+>DECOY_sp|Q9H252|KCNH6_HUMAN Potassium voltage-gated channel subfamily H member 6 OS=Homo sapiens OX=9606 GN=KCNH6 PE=1 SV=1
+HGWSGAFGPDSGHRQFDLQKPVSGLHEPLSSFCPGCILGQVELPHLPSALPPWLGEPQEQESSPALTKDTAVALHPMRPSSNRHKPSCDDLDGYSPTQAPPLFGQPLRPGPSTTESAIPVLALDNSAPAELIYSAHGQPMPKQLLQLIRSLDSSVRSELRNMQAQLQELRSGLKLPWCDPDEQPSQPSHRPPMEQLLEPWLGSADSISLPPAADPHGPACPGAGMSNQSGPGLLSYGKSPFQPGLEHPSGSQNDSLFFGQHDQSGPAQRPSSHLGGAADRLNFTVELKSWFSEAFAPYMDLVELLDARQIKHLDCYTLARVDASSKGPQAHLSVPEGFIDNKGLIAVVVDDRLIEISGRSIFYLTSLVDGLHVLTDGPPAHTTKFKVALARLCGKGAGSFAPCHQLLARHLHLCIDAQLCEPFGKLVANMDIGNTYSWAHQFYEELRQRLPNPIQHFRIFEKVRLMQTHYRATGSYLRQIIASVNGFISAYMLSGILMVCISFVKESNTNPSVNGFGVSTLSSFTFYLATVYKDQVSPGSAPDSGNYRKGLQVGLSDLWGIKHELYPREVNGIAYWICALWHAILAFTCMLLFLVAAGYESYRDLKRAVRVLRLLRATKLLGILTTTEDSGTRFILLDFPIAAVMDILFWGKFYHVAIRRPHSVVEDNTNVYTTRFNIVIDVVFMIDVILDVVTLPSCTYSCAGRRSEDQDSLLFAASYPTFVATYIVLLLILWDWVAKFPSYHLITWRHIRPAQLKYEPLVDAGLSLVQTVKETVNQTREVVKHPAIIEIETTSSSRHKELNFEVFNLTFQPIQSITRYKGRGTGPGPGGPRGHSGESGLFSQSLLRQSLSRSSCKALLQALDEFNLIFMIVAGDENKVPVVDVLCRFSSADKRYYLIDVKCEEAGLLAQALRSVASSPTNPGTLFDCTCPQQMVEVRSYGFLECFGDNCYIIACNEMQANAILFKRSQGEFKRIITDLYTNQPAVHGRRVPM
+>sp|Q9NS40|KCNH7_HUMAN Potassium voltage-gated channel subfamily H member 7 OS=Homo sapiens OX=9606 GN=KCNH7 PE=2 SV=2
+MPVRRGHVAPQNTFLGTIIRKFEGQNKKFIIANARVQNCAIIYCNDGFCEMTGFSRPDVMQKPCTCDFLHGPETKRHDIAQIAQALLGSEERKVEVTYYHKNGSTFICNTHIIPVKNQEGVAMMFIINFEYVTDNENAATPERVNPILPIKTVNRKFFGFKFPGLRVLTYRKQSLPQEDPDVVVIDSSKHSDDSVAMKHFKSPTKESCSPSEADDTKALIQPSKCSPLVNISGPLDHSSPKRQWDRLYPDMLQSSSQLSHSRSRESLCSIRRASSVHDIEGFGVHPKNIFRDRHASEDNGRNVKGPFNHIKSSLLGSTSDSNLNKYSTINKIPQLTLNFSEVKTEKKNSSPPSSDKTIIAPKVKDRTHNVTEKVTQVLSLGADVLPEYKLQTPRINKFTILHYSPFKAVWDWLILLLVIYTAIFTPYSAAFLLNDREEQKRRECGYSCSPLNVVDLIVDIMFIIDILINFRTTYVNQNEEVVSDPAKIAIHYFKGWFLIDMVAAIPFDLLIFGSGSDETTTLIGLLKTARLLRLVRVARKLDRYSEYGAAVLMLLMCIFALIAHWLACIWYAIGNVERPYLTDKIGWLDSLGQQIGKRYNDSDSSSGPSIKDKYVTALYFTFSSLTSVGFGNVSPNTNSEKIFSICVMLIGSLMYASIFGNVSAIIQRLYSGTARYHMQMLRVKEFIRFHQIPNPLRQRLEEYFQHAWTYTNGIDMNMVLKGFPECLQADICLHLNQTLLQNCKAFRGASKGCLRALAMKFKTTHAPPGDTLVHCGDVLTALYFLSRGSIEILKDDIVVAILGKNDIFGEMVHLYAKPGKSNADVRALTYCDLHKIQREDLLEVLDMYPEFSDHFLTNLELTFNLRHESAKADLLRSQSMNDSEGDNCKLRRRKLSFESEGEKENSTNDPEDSADTIRHYQSSKRHFEEKKSRSSSFISSIDDEQKPLFSGIVDSSPGIGKASGLDFEETVPTSGRMHIDKRSHSCKDITDMRSWERENAHPQPEDSSPSALQRAAWGISETESDLTYGEVEQRLDLLQEQLNRLESQMTTDIQTILQLLQKQTTVVPPAYSMVTAGSEYQRPIIQLMRTSQPEASIKTDRSFSPSSQCPEFLDLEKSKLKSKESLSSGVHLNTASEDNLTSLLKQDSDLSLELHLRQRKTYVHPIRHPSLPDSSLSTVGIVGLHRHVSDPGLPGK
+>DECOY_sp|Q9NS40|KCNH7_HUMAN Potassium voltage-gated channel subfamily H member 7 OS=Homo sapiens OX=9606 GN=KCNH7 PE=2 SV=2
+KGPLGPDSVHRHLGVIGVTSLSSDPLSPHRIPHVYTKRQRLHLELSLDSDQKLLSTLNDESATNLHVGSSLSEKSKLKSKELDLFEPCQSSPSFSRDTKISAEPQSTRMLQIIPRQYESGATVMSYAPPVVTTQKQLLQLITQIDTTMQSELRNLQEQLLDLRQEVEGYTLDSETESIGWAARQLASPSSDEPQPHANEREWSRMDTIDKCSHSRKDIHMRGSTPVTEEFDLGSAKGIGPSSDVIGSFLPKQEDDISSIFSSSRSKKEEFHRKSSQYHRITDASDEPDNTSNEKEGESEFSLKRRRLKCNDGESDNMSQSRLLDAKASEHRLNFTLELNTLFHDSFEPYMDLVELLDERQIKHLDCYTLARVDANSKGPKAYLHVMEGFIDNKGLIAVVIDDKLIEISGRSLFYLATLVDGCHVLTDGPPAHTTKFKMALARLCGKSAGRFAKCNQLLTQNLHLCIDAQLCEPFGKLVMNMDIGNTYTWAHQFYEELRQRLPNPIQHFRIFEKVRLMQMHYRATGSYLRQIIASVNGFISAYMLSGILMVCISFIKESNTNPSVNGFGVSTLSSFTFYLATVYKDKISPGSSSDSDNYRKGIQQGLSDLWGIKDTLYPREVNGIAYWICALWHAILAFICMLLMLVAAGYESYRDLKRAVRVLRLLRATKLLGILTTTEDSGSGFILLDFPIAAVMDILFWGKFYHIAIKAPDSVVEENQNVYTTRFNILIDIIFMIDVILDVVNLPSCSYGCERRKQEERDNLLFAASYPTFIATYIVLLLILWDWVAKFPSYHLITFKNIRPTQLKYEPLVDAGLSLVQTVKETVNHTRDKVKPAIITKDSSPPSSNKKETKVESFNLTLQPIKNITSYKNLNSDSTSGLLSSKIHNFPGKVNRGNDESAHRDRFINKPHVGFGEIDHVSSARRISCLSERSRSHSLQSSSQLMDPYLRDWQRKPSSHDLPGSINVLPSCKSPQILAKTDDAESPSCSEKTPSKFHKMAVSDDSHKSSDIVVVDPDEQPLSQKRYTLVRLGPFKFGFFKRNVTKIPLIPNVREPTAANENDTVYEFNIIFMMAVGEQNKVPIIHTNCIFTSGNKHYYTVEVKREESGLLAQAIQAIDHRKTEPGHLFDCTCPKQMVDPRSFGTMECFGDNCYIIACNQVRANAIIFKKNQGEFKRIITGLFTNQPAVHGRRVPM
+>sp|Q96L42|KCNH8_HUMAN Potassium voltage-gated channel subfamily H member 8 OS=Homo sapiens OX=9606 GN=KCNH8 PE=2 SV=2
+MPVMKGLLAPQNTFLDTIATRFDGTHSNFILANAQVAKGFPIVYCSDGFCELAGFARTEVMQKSCSCKFLFGVETNEQLMLQIEKSLEEKTEFKGEIMFYKKNGSPFWCLLDIVPIKNEKGDVVLFLASFKDITDTKVKITPEDKKEDKVKGRSRAGTHFDSARRRSRAVLYHISGHLQRREKNKLKINNNVFVDKPAFPEYKVSDAKKSKFILLHFSTFKAGWDWLILLATFYVAVTVPYNVCFIGNDDLSTTRSTTVSDIAVEILFIIDIILNFRTTYVSKSGQVIFEARSICIHYVTTWFIIDLIAALPFDLLYAFNVTVVSLVHLLKTVRLLRLLRLLQKLDRYSQHSTIVLTLLMSMFALLAHWMACIWYVIGKMEREDNSLLKWEVGWLHELGKRLESPYYGNNTLGGPSIRSAYIAALYFTLSSLTSVGFGNVSANTDAEKIFSICTMLIGALMHALVFGNVTAIIQRMYSRWSLYHTRTKDLKDFIRVHHLPQQLKQRMLEYFQTTWSVNNGIDSNELLKDFPDELRSDITMHLNKEILQLSLFECASRGCLRSLSLHIKTSFCAPGEYLLRQGDALQAIYFVCSGSMEVLKDSMVLAILGKGDLIGANLSIKDQVIKTNADVKALTYCDLQCIILKGLFEVLDLYPEYAHKFVEDIQHDLTYNLREGHESDVISRLSNKSMVSQSEPKGNGNINKRLPSIVEDEEEEEEGEEEEAVSLSPICTRGSSSRNKKVGSNKAYLGLSLKQLASGTVPFHSPIRVSRSNSPKTKQEIDPPNHNKRKEKNLKLQLSTLNNAGPPDLSPRIVDGIEDGNSSEESQTFDFGSERIRSEPRISPPLGDPEIGAAVLFIKAEETKQQINKLNSEVTTLTQEVSQLGKDMRNVIQLLENVLSPQQPSRFCSLHSTSVCPSRESLQTRTSWSAHQPCLHLQTGGAAYTQAQLCSSNITSDIWSVDPSSVGSSPQRTGAHEQNPADSELYHSPSLDYSPSHYQVVQEGHLQFLRCISPHSDSTLTPLQSISATLSSSVCSSSETSLHLVLPSRSEEGSFSQGTVSSFSLENLPGSWNQEGMASASTKPLENLPLEVVTSTAEVKDNKAINV
+>DECOY_sp|Q96L42|KCNH8_HUMAN Potassium voltage-gated channel subfamily H member 8 OS=Homo sapiens OX=9606 GN=KCNH8 PE=2 SV=2
+VNIAKNDKVEATSTVVELPLNELPKTSASAMGEQNWSGPLNELSFSSVTGQSFSGEESRSPLVLHLSTESSSCVSSSLTASISQLPTLTSDSHPSICRLFQLHGEQVVQYHSPSYDLSPSHYLESDAPNQEHAGTRQPSSGVSSPDVSWIDSTINSSCLQAQTYAAGGTQLHLCPQHASWSTRTQLSERSPCVSTSHLSCFRSPQQPSLVNELLQIVNRMDKGLQSVEQTLTTVESNLKNIQQKTEEAKIFLVAAGIEPDGLPPSIRPESRIRESGFDFTQSEESSNGDEIGDVIRPSLDPPGANNLTSLQLKLNKEKRKNHNPPDIEQKTKPSNSRSVRIPSHFPVTGSALQKLSLGLYAKNSGVKKNRSSSGRTCIPSLSVAEEEEGEEEEEEDEVISPLRKNINGNGKPESQSVMSKNSLRSIVDSEHGERLNYTLDHQIDEVFKHAYEPYLDLVEFLGKLIICQLDCYTLAKVDANTKIVQDKISLNAGILDGKGLIALVMSDKLVEMSGSCVFYIAQLADGQRLLYEGPACFSTKIHLSLSRLCGRSACEFLSLQLIEKNLHMTIDSRLEDPFDKLLENSDIGNNVSWTTQFYELMRQKLQQPLHHVRIFDKLDKTRTHYLSWRSYMRQIIATVNGFVLAHMLAGILMTCISFIKEADTNASVNGFGVSTLSSLTFYLAAIYASRISPGGLTNNGYYPSELRKGLEHLWGVEWKLLSNDEREMKGIVYWICAMWHALLAFMSMLLTLVITSHQSYRDLKQLLRLLRLLRVTKLLHVLSVVTVNFAYLLDFPLAAILDIIFWTTVYHICISRAEFIVQGSKSVYTTRFNLIIDIIFLIEVAIDSVTTSRTTSLDDNGIFCVNYPVTVAVYFTALLILWDWGAKFTSFHLLIFKSKKADSVKYEPFAPKDVFVNNNIKLKNKERRQLHGSIHYLVARSRRRASDFHTGARSRGKVKDEKKDEPTIKVKTDTIDKFSALFLVVDGKENKIPVIDLLCWFPSGNKKYFMIEGKFETKEELSKEIQLMLQENTEVGFLFKCSCSKQMVETRAFGALECFGDSCYVIPFGKAVQANALIFNSHTGDFRTAITDLFTNQPALLGKMVPM
+>sp|P48048|KCNJ1_HUMAN ATP-sensitive inward rectifier potassium channel 1 OS=Homo sapiens OX=9606 GN=KCNJ1 PE=1 SV=1
+MNASSRNVFDTLIRVLTESMFKHLRKWVVTRFFGHSRQRARLVSKDGRCNIEFGNVEAQSRFIFFVDIWTTVLDLKWRYKMTIFITAFLGSWFFFGLLWYAVAYIHKDLPEFHPSANHTPCVENINGLTSAFLFSLETQVTIGYGFRCVTEQCATAIFLLIFQSILGVIINSFMCGAILAKISRPKKRAKTITFSKNAVISKRGGKLCLLIRVANLRKSLLIGSHIYGKLLKTTVTPEGETIILDQININFVVDAGNENLFFISPLTIYHVIDHNSPFFHMAAETLLQQDFELVVFLDGTVESTSATCQVRTSYVPEEVLWGYRFAPIVSKTKEGKYRVDFHNFSKTVEVETPHCAMCLYNEKDVRARMKRGYDNPNFILSEVNETDDTKM
+>DECOY_sp|P48048|KCNJ1_HUMAN ATP-sensitive inward rectifier potassium channel 1 OS=Homo sapiens OX=9606 GN=KCNJ1 PE=1 SV=1
+MKTDDTENVESLIFNPNDYGRKMRARVDKENYLCMACHPTEVEVTKSFNHFDVRYKGEKTKSVIPAFRYGWLVEEPVYSTRVQCTASTSEVTGDLFVVLEFDQQLLTEAAMHFFPSNHDIVHYITLPSIFFLNENGADVVFNINIQDLIITEGEPTVTTKLLKGYIHSGILLSKRLNAVRILLCLKGGRKSIVANKSFTITKARKKPRSIKALIAGCMFSNIIVGLISQFILLFIATACQETVCRFGYGITVQTELSFLFASTLGNINEVCPTHNASPHFEPLDKHIYAVAYWLLGFFFWSGLFATIFITMKYRWKLDLVTTWIDVFFIFRSQAEVNGFEINCRGDKSVLRARQRSHGFFRTVVWKRLHKFMSETLVRILTDFVNRSSANM
+>sp|Q15842|KCNJ8_HUMAN ATP-sensitive inward rectifier potassium channel 8 OS=Homo sapiens OX=9606 GN=KCNJ8 PE=1 SV=1
+MLARKSIIPEEYVLARIAAENLRKPRIRDRLPKARFIAKSGACNLAHKNIREQGRFLQDIFTTLVDLKWRHTLVIFTMSFLCSWLLFAIMWWLVAFAHGDIYAYMEKSGMEKSGLESTVCVTNVRSFTSAFLFSIEVQVTIGFGGRMMTEECPLAITVLILQNIVGLIINAVMLGCIFMKTAQAHRRAETLIFSRHAVIAVRNGKLCFMFRVGDLRKSMIISASVRIQVVKKTTTPEGEVVPIHQLDIPVDNPIESNNIFLVAPLIICHVIDKRSPLYDISATDLANQDLEVIVILEGVVETTGITTQARTSYIAEEIQWGHRFVSIVTEEEGVYSVDYSKFGNTVKVAAPRCSARELDEKPSILIQTLQKSELSHQNSLRKRNSMRRNNSMRRNNSIRRNNSSLMVPKVQFMTPEGNQNTSES
+>DECOY_sp|Q15842|KCNJ8_HUMAN ATP-sensitive inward rectifier potassium channel 8 OS=Homo sapiens OX=9606 GN=KCNJ8 PE=1 SV=1
+SESTNQNGEPTMFQVKPVMLSSNNRRISNNRRMSNNRRMSNRKRLSNQHSLESKQLTQILISPKEDLERASCRPAAVKVTNGFKSYDVSYVGEEETVISVFRHGWQIEEAIYSTRAQTTIGTTEVVGELIVIVELDQNALDTASIDYLPSRKDIVHCIILPAVLFINNSEIPNDVPIDLQHIPVVEGEPTTTKKVVQIRVSASIIMSKRLDGVRFMFCLKGNRVAIVAHRSFILTEARRHAQATKMFICGLMVANIILGVINQLILVTIALPCEETMMRGGFGITVQVEISFLFASTFSRVNTVCVTSELGSKEMGSKEMYAYIDGHAFAVLWWMIAFLLWSCLFSMTFIVLTHRWKLDVLTTFIDQLFRGQERINKHALNCAGSKAIFRAKPLRDRIRPKRLNEAAIRALVYEEPIISKRALM
+>sp|O95069|KCNK2_HUMAN Potassium channel subfamily K member 2 OS=Homo sapiens OX=9606 GN=KCNK2 PE=1 SV=2
+MLPSASRERPGYRAGVAAPDLLDPKSAAQNSKPRLSFSTKPTVLASRVESDTTINVMKWKTVSTIFLVVVLYLIIGATVFKALEQPHEISQRTTIVIQKQTFISQHSCVNSTELDELIQQIVAAINAGIIPLGNTSNQISHWDLGSSFFFAGTVITTIGFGNISPRTEGGKIFCIIYALLGIPLFGFLLAGVGDQLGTIFGKGIAKVEDTFIKWNVSQTKIRIISTIIFILFGCVLFVALPAIIFKHIEGWSALDAIYFVVITLTTIGFGDYVAGGSDIEYLDFYKPVVWFWILVGLAYFAAVLSMIGDWLRVISKKTKEEVGEFRAHAAEWTANVTAEFKETRRRLSVEIYDKFQRATSIKRKLSAELAGNHNQELTPCRRTLSVNHLTSERDVLPPLLKTESIYLNGLTPHCAGEEIAVIENIK
+>DECOY_sp|O95069|KCNK2_HUMAN Potassium channel subfamily K member 2 OS=Homo sapiens OX=9606 GN=KCNK2 PE=1 SV=2
+KINEIVAIEEGACHPTLGNLYISETKLLPPLVDRESTLHNVSLTRRCPTLEQNHNGALEASLKRKISTARQFKDYIEVSLRRRTEKFEATVNATWEAAHARFEGVEEKTKKSIVRLWDGIMSLVAAFYALGVLIWFWVVPKYFDLYEIDSGGAVYDGFGITTLTIVVFYIADLASWGEIHKFIIAPLAVFLVCGFLIFIITSIIRIKTQSVNWKIFTDEVKAIGKGFITGLQDGVGALLFGFLPIGLLAYIICFIKGGETRPSINGFGITTIVTGAFFFSSGLDWHSIQNSTNGLPIIGANIAAVIQQILEDLETSNVCSHQSIFTQKQIVITTRQSIEHPQELAKFVTAGIILYLVVVLFITSVTKWKMVNITTDSEVRSALVTPKTSFSLRPKSNQAASKPDLLDPAAVGARYGPRERSASPLM
+>sp|Q9Y2U2|KCNK7_HUMAN Potassium channel subfamily K member 7 OS=Homo sapiens OX=9606 GN=KCNK7 PE=2 SV=1
+MGGLRPWSRYGLLVVAHLLALGLGAVVFQALEGPPACRLQAELRAELAAFQAEHRACLPPGALEELLGTALATQAHGVSTLGNSSEGRTWDLPSALLFAASILTTTGYGHMAPLSPGGKAFCMVYAALGLPASLALVATLRHCLLPVLSRPRAWVAVHWQLSPARAALLQAVALGLLVASSFVLLPALVLWGLQGDCSLLGAVYFCFSSLSTIGLEDLLPGRGRSLHPVIYHLGQLALLGYLLLGLLAMLLAVETFSELPQVRAMGKFFRPSGPVTAEDQGGILGQDELALSTLPPAAPASGQAPAC
+>DECOY_sp|Q9Y2U2|KCNK7_HUMAN Potassium channel subfamily K member 7 OS=Homo sapiens OX=9606 GN=KCNK7 PE=2 SV=1
+CAPAQGSAPAAPPLTSLALEDQGLIGGQDEATVPGSPRFFKGMARVQPLESFTEVALLMALLGLLLYGLLALQGLHYIVPHLSRGRGPLLDELGITSLSSFCFYVAGLLSCDGQLGWLVLAPLLVFSSAVLLGLAVAQLLAARAPSLQWHVAVWARPRSLVPLLCHRLTAVLALSAPLGLAAYVMCFAKGGPSLPAMHGYGTTTLISAAFLLASPLDWTRGESSNGLTSVGHAQTALATGLLEELAGPPLCARHEAQFAALEARLEAQLRCAPPGELAQFVVAGLGLALLHAVVLLGYRSWPRLGGM
+>sp|Q9HB14|KCNKD_HUMAN Potassium channel subfamily K member 13 OS=Homo sapiens OX=9606 GN=KCNK13 PE=2 SV=2
+MAGRGFSWGPGHLNEDNARFLLLAALIVLYLLGGAAVFSALELAHERQAKQRWEERLANFSRGHNLSRDELRGFLRHYEEATRAGIRVDNVRPRWDFTGAFYFVGTVVSTIGFGMTTPATVGGKIFLIFYGLVGCSSTILFFNLFLERLITIIAYIMKSCHQRQLRRRGALPQESLKDAGQCEVDSLAGWKPSVYYVMLILCTASILISCCASAMYTPIEGWSYFDSLYFCFVAFSTIGFGDLVSSQNAHYESQGLYRFANFVFILMGVCCIYSLFNVISILIKQSLNWILRKMDSGCCPQCQRGLLRSRRNVVMPGSVRNRCNISIETDGVAESDTDGRRLSGEMISMKDLLAANKASLAILQKQLSEMANGCPHQTSTLARDNEFSGGVGAFAIMNNRLAETSGDR
+>DECOY_sp|Q9HB14|KCNKD_HUMAN Potassium channel subfamily K member 13 OS=Homo sapiens OX=9606 GN=KCNK13 PE=2 SV=2
+RDGSTEALRNNMIAFAGVGGSFENDRALTSTQHPCGNAMESLQKQLIALSAKNAALLDKMSIMEGSLRRGDTDSEAVGDTEISINCRNRVSGPMVVNRRSRLLGRQCQPCCGSDMKRLIWNLSQKILISIVNFLSYICCVGMLIFVFNAFRYLGQSEYHANQSSVLDGFGITSFAVFCFYLSDFYSWGEIPTYMASACCSILISATCLILMVYYVSPKWGALSDVECQGADKLSEQPLAGRRRLQRQHCSKMIYAIITILRELFLNFFLITSSCGVLGYFILFIKGGVTAPTTMGFGITSVVTGVFYFAGTFDWRPRVNDVRIGARTAEEYHRLFGRLEDRSLNHGRSFNALREEWRQKAQREHALELASFVAAGGLLYLVILAALLLFRANDENLHGPGWSFGRGAM
+>sp|Q9H427|KCNKF_HUMAN Potassium channel subfamily K member 15 OS=Homo sapiens OX=9606 GN=KCNK15 PE=1 SV=2
+MRRPSVRAAGLVLCTLCYLLVGAAVFDALESEAESGRQRLLVQKRGALRRKFGFSAEDYRELERLALQAEPHRAGRQWKFPGSFYFAITVITTIGYGHAAPGTDSGKVFCMFYALLGIPLTLVTFQSLGERLNAVVRRLLLAAKCCLGLRWTCVSTENLVVAGLLACAATLALGAVAFSHFEGWTFFHAYYYCFITLTTIGFGDFVALQSGEALQRKLPYVAFSFLYILLGLTVIGAFLNLVVLRFLVASADWPERAARPPSPRPPGAPESRGLWLPRRPARSVGSASVFCHVHKLERCARDNLGFSPPSSPGVVRGGQAPRPGARWKSI
+>DECOY_sp|Q9H427|KCNKF_HUMAN Potassium channel subfamily K member 15 OS=Homo sapiens OX=9606 GN=KCNK15 PE=1 SV=2
+ISKWRAGPRPAQGGRVVGPSSPPSFGLNDRACRELKHVHCFVSASGVSRAPRRPLWLGRSEPAGPPRPSPPRAAREPWDASAVLFRLVVLNLFAGIVTLGLLIYLFSFAVYPLKRQLAEGSQLAVFDGFGITTLTIFCYYYAHFFTWGEFHSFAVAGLALTAACALLGAVVLNETSVCTWRLGLCCKAALLLRRVVANLREGLSQFTVLTLPIGLLAYFMCFVKGSDTGPAAHGYGITTIVTIAFYFSGPFKWQRGARHPEAQLALRELERYDEASFGFKRRLAGRKQVLLRQRGSEAESELADFVAAGVLLYCLTCLVLGAARVSPRRM
+>sp|Q96T54|KCNKH_HUMAN Potassium channel subfamily K member 17 OS=Homo sapiens OX=9606 GN=KCNK17 PE=2 SV=1
+MYRPRARAAPEGRVRGCAVPSTVLLLLAYLAYLALGTGVFWTLEGRAAQDSSRSFQRDKWELLQNFTCLDRPALDSLIRDVVQAYKNGASLLSNTTSMGRWELVGSFFFSVSTITTIGYGNLSPNTMAARLFCIFFALVGIPLNLVVLNRLGHLMQQGVNHWASRLGGTWQDPDKARWLAGSGALLSGLLLFLLLPPLLFSHMEGWSYTEGFYFAFITLSTVGFGDYVIGMNPSQRYPLWYKNMVSLWILFGMAWLALIIKLILSQLETPGRVCSCCHHSSKEDFKSQSWRQGPDREPESHSPQQGCYPEGPMGIIQHLEPSAHAAGCGKDS
+>DECOY_sp|Q96T54|KCNKH_HUMAN Potassium channel subfamily K member 17 OS=Homo sapiens OX=9606 GN=KCNK17 PE=2 SV=1
+SDKGCGAAHASPELHQIIGMPGEPYCGQQPSHSEPERDPGQRWSQSKFDEKSSHHCCSCVRGPTELQSLILKIILALWAMGFLIWLSVMNKYWLPYRQSPNMGIVYDGFGVTSLTIFAFYFGETYSWGEMHSFLLPPLLLFLLLGSLLAGSGALWRAKDPDQWTGGLRSAWHNVGQQMLHGLRNLVVLNLPIGVLAFFICFLRAAMTNPSLNGYGITTITSVSFFFSGVLEWRGMSTTNSLLSAGNKYAQVVDRILSDLAPRDLCTFNQLLEWKDRQFSRSSDQAARGELTWFVGTGLALYALYALLLLVTSPVACGRVRGEPAARARPRYM
+>sp|Q9H478|KCQ1D_HUMAN KCNQ1 downstream neighbor protein OS=Homo sapiens OX=9606 GN=KCNQ1DN PE=2 SV=1
+MGRKWSGPTAEHQLPMPPPGVRLDSWKGVASGCSPSKASQEARGKEKCPTLNGQPQWSALFTLPPQRE
+>DECOY_sp|Q9H478|KCQ1D_HUMAN KCNQ1 downstream neighbor protein OS=Homo sapiens OX=9606 GN=KCNQ1DN PE=2 SV=1
+ERQPPLTFLASWQPQGNLTPCKEKGRAEQSAKSPSCGSAVGKWSDLRVGPPPMPLQHEATPGSWKRGM
+>sp|P06732|KCRM_HUMAN Creatine kinase M-type OS=Homo sapiens OX=9606 GN=CKM PE=1 SV=2
+MPFGNTHNKFKLNYKPEEEYPDLSKHNNHMAKVLTLELYKKLRDKETPSGFTVDDVIQTGVDNPGHPFIMTVGCVAGDEESYEVFKELFDPIISDRHGGYKPTDKHKTDLNHENLKGGDDLDPNYVLSSRVRTGRSIKGYTLPPHCSRGERRAVEKLSVEALNSLTGEFKGKYYPLKSMTEKEQQQLIDDHFLFDKPVSPLLLASGMARDWPDARGIWHNDNKSFLVWVNEEDHLRVISMEKGGNMKEVFRRFCVGLQKIEEIFKKAGHPFMWNQHLGYVLTCPSNLGTGLRGGVHVKLAHLSKHPKFEEILTRLRLQKRGTGGVDTAAVGSVFDVSNADRLGSSEVEQVQLVVDGVKLMVEMEKKLEKGQSIDDMIPAQK
+>DECOY_sp|P06732|KCRM_HUMAN Creatine kinase M-type OS=Homo sapiens OX=9606 GN=CKM PE=1 SV=2
+KQAPIMDDISQGKELKKEMEVMLKVGDVVLQVQEVESSGLRDANSVDFVSGVAATDVGGTGRKQLRLRTLIEEFKPHKSLHALKVHVGGRLGTGLNSPCTLVYGLHQNWMFPHGAKKFIEEIKQLGVCFRRFVEKMNGGKEMSIVRLHDEENVWVLFSKNDNHWIGRADPWDRAMGSALLLPSVPKDFLFHDDILQQQEKETMSKLPYYKGKFEGTLSNLAEVSLKEVARREGRSCHPPLTYGKISRGTRVRSSLVYNPDLDDGGKLNEHNLDTKHKDTPKYGGHRDSIIPDFLEKFVEYSEEDGAVCGVTMIFPHGPNDVGTQIVDDVTFGSPTEKDRLKKYLELTLVKAMHNNHKSLDPYEEEPKYNLKFKNHTNGFPM
+>sp|Q8NB78|KDM1B_HUMAN Lysine-specific histone demethylase 1B OS=Homo sapiens OX=9606 GN=KDM1B PE=1 SV=3
+MATPRGRTKKKASFDHSPDSLPLRSSGRQAKKKATETTDEDEDGGSEKKYRKCEKAGCTATCPVCFASASERCAKNGYTSRWYHLSCGEHFCNECFDHYYRSHKDGYDKYTTWKKIWTSNGKTEPSPKAFMADQQLPYWVQCTKPECRKWRQLTKEIQLTPQIAKTYRCGMKPNTAIKPETSDHCSLPEDLRVLEVSNHWWYSMLILPPLLKDSVAAPLLSAYYPDCVGMSPSCTSTNRAAATGNASPGKLEHSKAALSVHVPGMNRYFQPFYQPNECGKALCVRPDVMELDELYEFPEYSRDPTMYLALRNLILALWYTNCKEALTPQKCIPHIIVRGLVRIRCVQEVERILYFMTRKGLINTGVLSVGADQYLLPKDYHNKSVIIIGAGPAGLAAARQLHNFGIKVTVLEAKDRIGGRVWDDKSFKGVTVGRGAQIVNGCINNPVALMCEQLGISMHKFGERCDLIQEGGRITDPTIDKRMDFHFNALLDVVSEWRKDKTQLQDVPLGEKIEEIYKAFIKESGIQFSELEGQVLQFHLSNLEYACGSNLHQVSARSWDHNEFFAQFAGDHTLLTPGYSVIIEKLAEGLDIQLKSPVQCIDYSGDEVQVTTTDGTGYSAQKVLVTVPLALLQKGAIQFNPPLSEKKMKAINSLGAGIIEKIALQFPYRFWDSKVQGADFFGHVPPSASKRGLFAVFYDMDPQKKHSVLMSVIAGEAVASVRTLDDKQVLQQCMATLRELFKEQEVPDPTKYFVTRWSTDPWIQMAYSFVKTGGSGEAYDIIAEDIQGTVFFAGEATNRHFPQTVTGAYLSGVREASKIAAF
+>DECOY_sp|Q8NB78|KDM1B_HUMAN Lysine-specific histone demethylase 1B OS=Homo sapiens OX=9606 GN=KDM1B PE=1 SV=3
+FAAIKSAERVGSLYAGTVTQPFHRNTAEGAFFVTGQIDEAIIDYAEGSGGTKVFSYAMQIWPDTSWRTVFYKTPDPVEQEKFLERLTAMCQQLVQKDDLTRVSAVAEGAIVSMLVSHKKQPDMDYFVAFLGRKSASPPVHGFFDAGQVKSDWFRYPFQLAIKEIIGAGLSNIAKMKKESLPPNFQIAGKQLLALPVTVLVKQASYGTGDTTTVQVEDGSYDICQVPSKLQIDLGEALKEIIVSYGPTLLTHDGAFQAFFENHDWSRASVQHLNSGCAYELNSLHFQLVQGELESFQIGSEKIFAKYIEEIKEGLPVDQLQTKDKRWESVVDLLANFHFDMRKDITPDTIRGGEQILDCREGFKHMSIGLQECMLAVPNNICGNVIQAGRGVTVGKFSKDDWVRGGIRDKAELVTVKIGFNHLQRAAALGAPGAGIIIVSKNHYDKPLLYQDAGVSLVGTNILGKRTMFYLIREVEQVCRIRVLGRVIIHPICKQPTLAEKCNTYWLALILNRLALYMTPDRSYEPFEYLEDLEMVDPRVCLAKGCENPQYFPQFYRNMGPVHVSLAAKSHELKGPSANGTAAARNTSTCSPSMGVCDPYYASLLPAAVSDKLLPPLILMSYWWHNSVELVRLDEPLSCHDSTEPKIATNPKMGCRYTKAIQPTLQIEKTLQRWKRCEPKTCQVWYPLQQDAMFAKPSPETKGNSTWIKKWTTYKDYGDKHSRYYHDFCENCFHEGCSLHYWRSTYGNKACRESASAFCVPCTATCGAKECKRYKKESGGDEDEDTTETAKKKAQRGSSRLPLSDPSHDFSAKKKTRGRPTAM
+>sp|Q9Y2K7|KDM2A_HUMAN Lysine-specific demethylase 2A OS=Homo sapiens OX=9606 GN=KDM2A PE=1 SV=3
+MEPEEERIRYSQRLRGTMRRRYEDDGISDDEIEGKRTFDLEEKLHTNKYNANFVTFMEGKDFNVEYIQRGGLRDPLIFKNSDGLGIKMPDPDFTVNDVKMCVGSRRMVDVMDVNTQKGIEMTMAQWTRYYETPEEEREKLYNVISLEFSHTRLENMVQRPSTVDFIDWVDNMWPRHLKESQTESTNAILEMQYPKVQKYCLMSVRGCYTDFHVDFGGTSVWYHIHQGGKVFWLIPPTAHNLELYENWLLSGKQGDIFLGDRVSDCQRIELKQGYTFVIPSGWIHAVYTPTDTLVFGGNFLHSFNIPMQLKIYNIEDRTRVPNKFRYPFYYEMCWYVLERYVYCITNRSHLTKEFQKESLSMDLELNGLESGNGDEEAVDREPRRLSSRRSVLTSPVANGVNLDYDGLGKTCRSLPSLKKTLAGDSSSDCSRGSHNGQVWDPQCAPRKDRQVHLTHFELEGLRCLVDKLESLPLHKKCVPTGIEDEDALIADVKILLEELANSDPKLALTGVPIVQWPKRDKLKFPTRPKVRVPTIPITKPHTMKPAPRLTPVRPAAASPIVSGARRRRVRCRKCKACVQGECGVCHYCRDMKKFGGPGRMKQSCVLRQCLAPRLPHSVTCSLCGEVDQNEETQDFEKKLMECCICNEIVHPGCLQMDGEGLLNEELPNCWECPKCYQEDSSEKAQKRKMEESDEEAVQAKVLRPLRSCDEPLTPPPHSPTSMLQLIHDPVSPRGMVTRSSPGAGPSDHHSASRDERFKRRQLLRLQATERTMVREKENNPSGKKELSEVEKAKIRGSYLTVTLQRPTKELHGTSIVPKLQAITASSANLRHSPRVLVQHCPARTPQRGDEEGLGGEEEEEEEEEEEDDSAEEGGAARLNGRGSWAQDGDESWMQREVWMSVFRYLSRRELCECMRVCKTWYKWCCDKRLWTKIDLSRCKAIVPQALSGIIKRQPVSLDLSWTNISKKQLTWLVNRLPGLKDLLLAGCSWSAVSALSTSSCPLLRTLDLRWAVGIKDPQIRDLLTPPADKPGQDNRSKLRNMTDFRLAGLDITDATLRLIIRHMPLLSRLDLSHCSHLTDQSSNLLTAVGSSTRYSLTELNMAGCNKLTDQTLIYLRRIANVTLIDLRGCKQITRKACEHFISDLSINSLYCLSDEKLIQKIS
+>DECOY_sp|Q9Y2K7|KDM2A_HUMAN Lysine-specific demethylase 2A OS=Homo sapiens OX=9606 GN=KDM2A PE=1 SV=3
+SIKQILKEDSLCYLSNISLDSIFHECAKRTIQKCGRLDILTVNAIRRLYILTQDTLKNCGAMNLETLSYRTSSGVATLLNSSQDTLHSCHSLDLRSLLPMHRIILRLTADTIDLGALRFDTMNRLKSRNDQGPKDAPPTLLDRIQPDKIGVAWRLDLTRLLPCSSTSLASVASWSCGALLLDKLGPLRNVLWTLQKKSINTWSLDLSVPQRKIIGSLAQPVIAKCRSLDIKTWLRKDCCWKYWTKCVRMCECLERRSLYRFVSMWVERQMWSEDGDQAWSGRGNLRAAGGEEASDDEEEEEEEEEEEGGLGEEDGRQPTRAPCHQVLVRPSHRLNASSATIAQLKPVISTGHLEKTPRQLTVTLYSGRIKAKEVESLEKKGSPNNEKERVMTRETAQLRLLQRRKFREDRSASHHDSPGAGPSSRTVMGRPSVPDHILQLMSTPSHPPPTLPEDCSRLPRLVKAQVAEEDSEEMKRKQAKESSDEQYCKPCEWCNPLEENLLGEGDMQLCGPHVIENCICCEMLKKEFDQTEENQDVEGCLSCTVSHPLRPALCQRLVCSQKMRGPGGFKKMDRCYHCVGCEGQVCAKCKRCRVRRRRAGSVIPSAAAPRVPTLRPAPKMTHPKTIPITPVRVKPRTPFKLKDRKPWQVIPVGTLALKPDSNALEELLIKVDAILADEDEIGTPVCKKHLPLSELKDVLCRLGELEFHTLHVQRDKRPACQPDWVQGNHSGRSCDSSSDGALTKKLSPLSRCTKGLGDYDLNVGNAVPSTLVSRRSSLRRPERDVAEEDGNGSELGNLELDMSLSEKQFEKTLHSRNTICYVYRELVYWCMEYYFPYRFKNPVRTRDEINYIKLQMPINFSHLFNGGFVLTDTPTYVAHIWGSPIVFTYGQKLEIRQCDSVRDGLFIDGQKGSLLWNEYLELNHATPPILWFVKGGQHIHYWVSTGGFDVHFDTYCGRVSMLCYKQVKPYQMELIANTSETQSEKLHRPWMNDVWDIFDVTSPRQVMNELRTHSFELSIVNYLKEREEEPTEYYRTWQAMTMEIGKQTNVDMVDVMRRSGVCMKVDNVTFDPDPMKIGLGDSNKFILPDRLGGRQIYEVNFDKGEMFTVFNANYKNTHLKEELDFTRKGEIEDDSIGDDEYRRRMTGRLRQSYRIREEEPEM
+>sp|O75164|KDM4A_HUMAN Lysine-specific demethylase 4A OS=Homo sapiens OX=9606 GN=KDM4A PE=1 SV=2
+MASESETLNPSARIMTFYPTMEEFRNFSRYIAYIESQGAHRAGLAKVVPPKEWKPRASYDDIDDLVIPAPIQQLVTGQSGLFTQYNIQKKAMTVREFRKIANSDKYCTPRYSEFEELERKYWKNLTFNPPIYGADVNGTLYEKHVDEWNIGRLRTILDLVEKESGITIEGVNTPYLYFGMWKTSFAWHTEDMDLYSINYLHFGEPKSWYSVPPEHGKRLERLAKGFFPGSAQSCEAFLRHKMTLISPLMLKKYGIPFDKVTQEAGEFMITFPYGYHAGFNHGFNCAESTNFATRRWIEYGKQAVLCSCRKDMVKISMDVFVRKFQPERYKLWKAGKDNTVIDHTLPTPEAAEFLKESELPPRAGNEEECPEEDMEGVEDGEEGDLKTSLAKHRIGTKRHRVCLEIPQEVSQSELFPKEDLSSEQYEMTECPAALAPVRPTHSSVRQVEDGLTFPDYSDSTEVKFEELKNVKLEEEDEEEEQAAAALDLSVNPASVGGRLVFSGSKKKSSSSLGSGSSRDSISSDSETSEPLSCRAQGQTGVLTVHSYAKGDGRVTVGEPCTRKKGSAARSFSERELAEVADEYMFSLEENKKSKGRRQPLSKLPRHHPLVLQECVSDDETSEQLTPEEEAEETEAWAKPLSQLWQNRPPNFEAEKEFNETMAQQAPHCAVCMIFQTYHQVEFGGFNQNCGNASDLAPQKQRTKPLIPEMCFTSTGCSTDINLSTPYLEEDGTSILVSCKKCSVRVHASCYGVPPAKASEDWMCSRCSANALEEDCCLCSLRGGALQRANDDRWVHVSCAVAILEARFVNIAERSPVDVSKIPLPRFKLKCIFCKKRRKRTAGCCVQCSHGRCPTAFHVSCAQAAGVMMQPDDWPFVVFITCFRHKIPNLERAKGALQSITAGQKVISKHKNGRFYQCEVVRLTTETFYEVNFDDGSFSDNLYPEDIVSQDCLQFGPPAEGEVVQVRWTDGQVYGAKFVASHPIQMYQVEFEDGSQLVVKRDDVYTLDEELPKRVKSRLSVASDMRFNEIFTEKEVKQEKKRQRVINSRYREDYIEPALYRAIME
+>DECOY_sp|O75164|KDM4A_HUMAN Lysine-specific demethylase 4A OS=Homo sapiens OX=9606 GN=KDM4A PE=1 SV=2
+EMIARYLAPEIYDERYRSNIVRQRKKEQKVEKETFIENFRMDSAVSLRSKVRKPLEEDLTYVDDRKVVLQSGDEFEVQYMQIPHSAVFKAGYVQGDTWRVQVVEGEAPPGFQLCDQSVIDEPYLNDSFSGDDFNVEYFTETTLRVVECQYFRGNKHKSIVKQGATISQLAGKARELNPIKHRFCTIFVVFPWDDPQMMVGAAQACSVHFATPCRGHSCQVCCGATRKRRKKCFICKLKFRPLPIKSVDVPSREAINVFRAELIAVACSVHVWRDDNARQLAGGRLSCLCCDEELANASCRSCMWDESAKAPPVGYCSAHVRVSCKKCSVLISTGDEELYPTSLNIDTSCGTSTFCMEPILPKTRQKQPALDSANGCNQNFGGFEVQHYTQFIMCVACHPAQQAMTENFEKEAEFNPPRNQWLQSLPKAWAETEEAEEEPTLQESTEDDSVCEQLVLPHHRPLKSLPQRRGKSKKNEELSFMYEDAVEALERESFSRAASGKKRTCPEGVTVRGDGKAYSHVTLVGTQGQARCSLPESTESDSSISDRSSGSGLSSSSKKKSGSFVLRGGVSAPNVSLDLAAAAQEEEEDEEELKVNKLEEFKVETSDSYDPFTLGDEVQRVSSHTPRVPALAAPCETMEYQESSLDEKPFLESQSVEQPIELCVRHRKTGIRHKALSTKLDGEEGDEVGEMDEEPCEEENGARPPLESEKLFEAAEPTPLTHDIVTNDKGAKWLKYREPQFKRVFVDMSIKVMDKRCSCLVAQKGYEIWRRTAFNTSEACNFGHNFGAHYGYPFTIMFEGAEQTVKDFPIGYKKLMLPSILTMKHRLFAECSQASGPFFGKALRELRKGHEPPVSYWSKPEGFHLYNISYLDMDETHWAFSTKWMGFYLYPTNVGEITIGSEKEVLDLITRLRGINWEDVHKEYLTGNVDAGYIPPNFTLNKWYKRELEEFESYRPTCYKDSNAIKRFERVTMAKKQINYQTFLGSQGTVLQQIPAPIVLDDIDDYSARPKWEKPPVVKALGARHAGQSEIYAIYRSFNRFEEMTPYFTMIRASPNLTESESAM
+>sp|B2RXH2|KDM4E_HUMAN Lysine-specific demethylase 4E OS=Homo sapiens OX=9606 GN=KDM4E PE=1 SV=1
+MKSVHSSPQNTSHTIMTFYPTMEEFADFNTYVAYMESQGAHQAGLAKVIPPKEWKARQMYDDIEDILIATPLQQVTSGQGGVFTQYHKKKKAMRVGQYRRLANSKKYQTPPHQNFADLEQRYWKSHPGNPPIYGADISGSLFEESTKQWNLGHLGTILDLLEQECGVVIEGVNTPYLYFGMWKTTFAWHTEDMDLYSINYLHFGEPKTWYVVPPEHGQHLERLARELFPDISRGCEAFLRHKVALISPTVLKENGIPFNCMTQEAGEFMVTFPYGYHAGFNHGFNCAEAINFATPRWIDYGKMASQCSCGESTVTFSMDPFVRIVQPESYELWKHRQDLAIVEHTEPRVAESQELSNWRDDIVLRRAALGLRLLPNLTAQCPTQPVSSGHCYNPKGCGTDAVPGSAFQSSAYHTQTQSLTLGMSARVLLPSTGSWGSGRGRGRGQGQGRGCSRGRGHGCCTRELGTEEPTVQPASKRRLLMGTRSRAQGHRPQLPLANDLMTNLSL
+>DECOY_sp|B2RXH2|KDM4E_HUMAN Lysine-specific demethylase 4E OS=Homo sapiens OX=9606 GN=KDM4E PE=1 SV=1
+LSLNTMLDNALPLQPRHGQARSRTGMLLRRKSAPQVTPEETGLERTCCGHGRGRSCGRGQGQGRGRGRGSGWSGTSPLLVRASMGLTLSQTQTHYASSQFASGPVADTGCGKPNYCHGSSVPQTPCQATLNPLLRLGLAARRLVIDDRWNSLEQSEAVRPETHEVIALDQRHKWLEYSEPQVIRVFPDMSFTVTSEGCSCQSAMKGYDIWRPTAFNIAEACNFGHNFGAHYGYPFTVMFEGAEQTMCNFPIGNEKLVTPSILAVKHRLFAECGRSIDPFLERALRELHQGHEPPVVYWTKPEGFHLYNISYLDMDETHWAFTTKWMGFYLYPTNVGEIVVGCEQELLDLITGLHGLNWQKTSEEFLSGSIDAGYIPPNGPHSKWYRQELDAFNQHPPTQYKKSNALRRYQGVRMAKKKKHYQTFVGGQGSTVQQLPTAILIDEIDDYMQRAKWEKPPIVKALGAQHAGQSEMYAVYTNFDAFEEMTPYFTMITHSTNQPSSHVSKM
+>sp|O15054|KDM6B_HUMAN Lysine-specific demethylase 6B OS=Homo sapiens OX=9606 GN=KDM6B PE=1 SV=4
+MHRAVDPPGARAAREAFALGGLSCAGAWSSCPPHPPPRSAWLPGGRCSASIGQPPLPAPLPPSHGSSSGHPSKPYYAPGAPTPRPLHGKLESLHGCVQALLREPAQPGLWEQLGQLYESEHDSEEATRCYHSALRYGGSFAELGPRIGRLQQAQLWNFHTGSCQHRAKVLPPLEQVWNLLHLEHKRNYGAKRGGPPVKRAAEPPVVQPVPPAALSGPSGEEGLSPGGKRRRGCNSEQTGLPPGLPLPPPPLPPPPPPPPPPPPPLPGLATSPPFQLTKPGLWSTLHGDAWGPERKGSAPPERQEQRHSLPHPYPYPAPAYTAHPPGHRLVPAAPPGPGPRPPGAESHGCLPATRPPGSDLRESRVQRSRMDSSVSPAATTACVPYAPSRPPGLPGTTTSSSSSSSSNTGLRGVEPNPGIPGADHYQTPALEVSHHGRLGPSAHSSRKPFLGAPAATPHLSLPPGPSSPPPPPCPRLLRPPPPPAWLKGPACRAAREDGEILEELFFGTEGPPRPAPPPLPHREGFLGPPASRFSVGTQDSHTPPTPPTPTTSSSNSNSGSHSSSPAGPVSFPPPPYLARSIDPLPRPPSPAQNPQDPPLVPLTLALPPAPPSSCHQNTSGSFRRPESPRPRVSFPKTPEVGPGPPPGPLSKAPQPVPPGVGELPARGPRLFDFPPTPLEDQFEEPAEFKILPDGLANIMKMLDESIRKEEEQQQHEAGVAPQPPLKEPFASLQSPFPTDTAPTTTAPAVAVTTTTTTTTTTTATQEEEKKPPPALPPPPPLAKFPPPSQPQPPPPPPPSPASLLKSLASVLEGQKYCYRGTGAAVSTRPGPLPTTQYSPGPPSGATALPPTSAAPSAQGSPQPSASSSSQFSTSGGPWARERRAGEEPVPGPMTPTQPPPPLSLPPARSESEVLEEISRACETLVERVGRSATDPADPVDTAEPADSGTERLLPPAQAKEEAGGVAAVSGSCKRRQKEHQKEHRRHRRACKDSVGRRPREGRAKAKAKVPKEKSRRVLGNLDLQSEEIQGREKSRPDLGGASKAKPPTAPAPPSAPAPSAQPTPPSASVPGKKAREEAPGPPGVSRADMLKLRSLSEGPPKELKIRLIKVESGDKETFIASEVEERRLRMADLTISHCAADVVRASRNAKVKGKFRESYLSPAQSVKPKINTEEKLPREKLNPPTPSIYLESKRDAFSPVLLQFCTDPRNPITVIRGLAGSLRLNLGLFSTKTLVEASGEHTVEVRTQVQQPSDENWDLTGTRQIWPCESSRSHTTIAKYAQYQASSFQESLQEEKESEDEESEEPDSTTGTPPSSAPDPKNHHIIKFGTNIDLSDAKRWKPQLQELLKLPAFMRVTSTGNMLSHVGHTILGMNTVQLYMKVPGSRTPGHQENNNFCSVNINIGPGDCEWFAVHEHYWETISAFCDRHGVDYLTGSWWPILDDLYASNIPVYRFVQRPGDLVWINAGTVHWVQATGWCNNIAWNVGPLTAYQYQLALERYEWNEVKNVKSIVPMIHVSWNVARTVKISDPDLFKMIKFCLLQSMKHCQVQRESLVRAGKKIAYQGRVKDEPAYYCNECDVEVFNILFVTSENGSRNTYLVHCEGCARRRSAGLQGVVVLEQYRTEELAQAYDAFTLAPASTSR
+>DECOY_sp|O15054|KDM6B_HUMAN Lysine-specific demethylase 6B OS=Homo sapiens OX=9606 GN=KDM6B PE=1 SV=4
+RSTSAPALTFADYAQALEETRYQELVVVGQLGASRRRACGECHVLYTNRSGNESTVFLINFVEVDCENCYYAPEDKVRGQYAIKKGARVLSERQVQCHKMSQLLCFKIMKFLDPDSIKVTRAVNWSVHIMPVISKVNKVENWEYRELALQYQYATLPGVNWAINNCWGTAQVWHVTGANIWVLDGPRQVFRYVPINSAYLDDLIPWWSGTLYDVGHRDCFASITEWYHEHVAFWECDGPGININVSCFNNNEQHGPTRSGPVKMYLQVTNMGLITHGVHSLMNGTSTVRMFAPLKLLEQLQPKWRKADSLDINTGFKIIHHNKPDPASSPPTGTTSDPEESEEDESEKEEQLSEQFSSAQYQAYKAITTHSRSSECPWIQRTGTLDWNEDSPQQVQTRVEVTHEGSAEVLTKTSFLGLNLRLSGALGRIVTIPNRPDTCFQLLVPSFADRKSELYISPTPPNLKERPLKEETNIKPKVSQAPSLYSERFKGKVKANRSARVVDAACHSITLDAMRLRREEVESAIFTEKDGSEVKILRIKLEKPPGESLSRLKLMDARSVGPPGPAEERAKKGPVSASPPTPQASPAPASPPAPATPPKAKSAGGLDPRSKERGQIEESQLDLNGLVRRSKEKPVKAKAKARGERPRRGVSDKCARRHRRHEKQHEKQRRKCSGSVAAVGGAEEKAQAPPLLRETGSDAPEATDVPDAPDTASRGVREVLTECARSIEELVESESRAPPLSLPPPPQTPTMPGPVPEEGARRERAWPGGSTSFQSSSSASPQPSGQASPAASTPPLATAGSPPGPSYQTTPLPGPRTSVAAGTGRYCYKQGELVSALSKLLSAPSPPPPPPPQPQSPPPFKALPPPPPLAPPPKKEEEQTATTTTTTTTTTTVAVAPATTTPATDTPFPSQLSAFPEKLPPQPAVGAEHQQQEEEKRISEDLMKMINALGDPLIKFEAPEEFQDELPTPPFDFLRPGRAPLEGVGPPVPQPAKSLPGPPPGPGVEPTKPFSVRPRPSEPRRFSGSTNQHCSSPPAPPLALTLPVLPPDQPNQAPSPPRPLPDISRALYPPPPFSVPGAPSSSHSGSNSNSSSTTPTPPTPPTHSDQTGVSFRSAPPGLFGERHPLPPPAPRPPGETGFFLEELIEGDERAARCAPGKLWAPPPPPRLLRPCPPPPPSSPGPPLSLHPTAAPAGLFPKRSSHASPGLRGHHSVELAPTQYHDAGPIGPNPEVGRLGTNSSSSSSSSTTTGPLGPPRSPAYPVCATTAAPSVSSDMRSRQVRSERLDSGPPRTAPLCGHSEAGPPRPGPGPPAAPVLRHGPPHATYAPAPYPYPHPLSHRQEQREPPASGKREPGWADGHLTSWLGPKTLQFPPSTALGPLPPPPPPPPPPPPPLPPPPLPLGPPLGTQESNCGRRRKGGPSLGEEGSPGSLAAPPVPQVVPPEAARKVPPGGRKAGYNRKHELHLLNWVQELPPLVKARHQCSGTHFNWLQAQQLRGIRPGLEAFSGGYRLASHYCRTAEESDHESEYLQGLQEWLGPQAPERLLAQVCGHLSELKGHLPRPTPAGPAYYPKSPHGSSSGHSPPLPAPLPPQGISASCRGGPLWASRPPPHPPCSSWAGACSLGGLAFAERAARAGPPDVARHM
+>sp|Q6ZMT4|KDM7A_HUMAN Lysine-specific demethylase 7A OS=Homo sapiens OX=9606 GN=KDM7A PE=1 SV=2
+MAGAAAAVAAGAAAGAAAAAVSVAAPGRASAPPPPPPVYCVCRQPYDVNRFMIECDICKDWFHGSCVGVEEHHAVDIDLYHCPNCAVLHGSSLMKKRRNWHRHDYTEIDDGSKPVQAGTRTFIKELRSRVFPSADEIIIKMHGSQLTQRYLEKHGFDVPIMVPKLDDLGLRLPSPTFSVMDVERYVGGDKVIDVIDVARQADSKMTLHNYVKYFMNPNRPKVLNVISLEFSDTKMSELVEVPDIAKKLSWVENYWPDDSVFPKPFVQKYCLMGVQDSYTDFHIDFGGTSVWYHVLWGEKIFYLIKPTDENLARYESWSSSVTQSEVFFGDKVDKCYKCVVKQGHTLFVPTGWIHAVLTSQDCMAFGGNFLHNLNIGMQLRCYEMEKRLKTPDLFKFPFFEAICWFVAKNLLETLKELREDGFQPQTYLVQGVKALHTALKLWMKKELVSEHAFEIPDNVRPGHLIKELSKVIRAIEEENGKPVKSQGIPIVCPVSRSSNEATSPYHSRRKMRKLRDHNVRTPSNLDILELHTREVLKRLEMCPWEEDILSSKLNGKFNKHLQPSSTVPEWRAKDNDLRLLLTNGRIIKDERQPFADQSLYTADSENEEDKRRTKKAKMKIEESSGVEGVEHEESQKPLNGFFTRVKSELRSRSSGYSDISESEDSGPECTALKSIFTTEESESSGDEKKQEITSNFKEESNVMRNFLQKSQKPSRSEIPIKRECPTSTSTEEEAIQGMLSMAGLHYSTCLQRQIQSTDCSGERNSLQDPSSCHGSNHEVRQLYRYDKPVECGYHVKTEDPDLRTSSWIKQFDTSRFHPQDLSRSQKCIRKEGSSEISQRVQSRNYVDSSGSSLQNGKYMQNSNLTSGACQISNGSLSPERPVGETSFSVPLHPTKRPASNPPPISNQATKGKRPKKGMATAKQRLGKILKLNRNGHARFFV
+>DECOY_sp|Q6ZMT4|KDM7A_HUMAN Lysine-specific demethylase 7A OS=Homo sapiens OX=9606 GN=KDM7A PE=1 SV=2
+VFFRAHGNRNLKLIKGLRQKATAMGKKPRKGKTAQNSIPPPNSAPRKTPHLPVSFSTEGVPREPSLSGNSIQCAGSTLNSNQMYKGNQLSSGSSDVYNRSQVRQSIESSGEKRICKQSRSLDQPHFRSTDFQKIWSSTRLDPDETKVHYGCEVPKDYRYLQRVEHNSGHCSSPDQLSNREGSCDTSQIQRQLCTSYHLGAMSLMGQIAEEETSTSTPCERKIPIESRSPKQSKQLFNRMVNSEEKFNSTIEQKKEDGSSESEETTFISKLATCEPGSDESESIDSYGSSRSRLESKVRTFFGNLPKQSEEHEVGEVGSSEEIKMKAKKTRRKDEENESDATYLSQDAFPQREDKIIRGNTLLLRLDNDKARWEPVTSSPQLHKNFKGNLKSSLIDEEWPCMELRKLVERTHLELIDLNSPTRVNHDRLKRMKRRSHYPSTAENSSRSVPCVIPIGQSKVPKGNEEEIARIVKSLEKILHGPRVNDPIEFAHESVLEKKMWLKLATHLAKVGQVLYTQPQFGDERLEKLTELLNKAVFWCIAEFFPFKFLDPTKLRKEMEYCRLQMGINLNHLFNGGFAMCDQSTLVAHIWGTPVFLTHGQKVVCKYCKDVKDGFFVESQTVSSSWSEYRALNEDTPKILYFIKEGWLVHYWVSTGGFDIHFDTYSDQVGMLCYKQVFPKPFVSDDPWYNEVWSLKKAIDPVEVLESMKTDSFELSIVNLVKPRNPNMFYKVYNHLTMKSDAQRAVDIVDIVKDGGVYREVDMVSFTPSPLRLGLDDLKPVMIPVDFGHKELYRQTLQSGHMKIIIEDASPFVRSRLEKIFTRTGAQVPKSGDDIETYDHRHWNRRKKMLSSGHLVACNPCHYLDIDVAHHEEVGVCSGHFWDKCIDCEIMFRNVDYPQRCVCYVPPPPPPASARGPAAVSVAAAAAGAAAGAAVAAAAGAM
+>sp|P23276|KELL_HUMAN Kell blood group glycoprotein OS=Homo sapiens OX=9606 GN=KEL PE=1 SV=2
+MEGGDQSEEEPRERSQAGGMGTLWSQESTPEERLPVEGSRPWAVARRVLTAILILGLLLCFSVLLFYNFQNCGPRPCETSVCLDLRDHYLASGNTSVAPCTDFFSFACGRAKETNNSFQELATKNKNRLRRILEVQNSWHPGSGEEKAFQFYNSCMDTLAIEAAGTGPLRQVIEELGGWRISGKWTSLNFNRTLRLLMSQYGHFPFFRAYLGPHPASPHTPVIQIDQPEFDVPLKQDQEQKIYAQIFREYLTYLNQLGTLLGGDPSKVQEHSSLSISITSRLFQFLRPLEQRRAQGKLFQMVTIDQLKEMAPAIDWLSCLQATFTPMSLSPSQSLVVHDVEYLKNMSQLVEEMLLKQRDFLQSHMILGLVVTLSPALDSQFQEARRKLSQKLRELTEQPPMPARPRWMKCVEETGTFFEPTLAALFVREAFGPSTRSAAMKLFTAIRDALITRLRNLPWMNEETQNMAQDKVAQLQVEMGASEWALKPELARQEYNDIQLGSSFLQSVLSCVRSLRARIVQSFLQPHPQHRWKVSPWDVNAYYSVSDHVVVFPAGLLQPPFFHPGYPRAVNFGAAGSIMAHELLHIFYQLLLPGGCLACDNHALQEAHLCLKRHYAAFPLPSRTSFNDSLTFLENAADVGGLAIALQAYSKRLLRHHGETVLPSLDLSPQQIFFRSYAQVMCRKPSPQDSHDTHSPPHLRVHGPLSSTPAFARYFRCARGALLNPSSRCQLW
+>DECOY_sp|P23276|KELL_HUMAN Kell blood group glycoprotein OS=Homo sapiens OX=9606 GN=KEL PE=1 SV=2
+WLQCRSSPNLLAGRACRFYRAFAPTSSLPGHVRLHPPSHTDHSDQPSPKRCMVQAYSRFFIQQPSLDLSPLVTEGHHRLLRKSYAQLAIALGGVDAANELFTLSDNFSTRSPLPFAAYHRKLCLHAEQLAHNDCALCGGPLLLQYFIHLLEHAMISGAAGFNVARPYGPHFFPPQLLGAPFVVVHDSVSYYANVDWPSVKWRHQPHPQLFSQVIRARLSRVCSLVSQLFSSGLQIDNYEQRALEPKLAWESAGMEVQLQAVKDQAMNQTEENMWPLNRLRTILADRIATFLKMAASRTSPGFAERVFLAALTPEFFTGTEEVCKMWRPRAPMPPQETLERLKQSLKRRAEQFQSDLAPSLTVVLGLIMHSQLFDRQKLLMEEVLQSMNKLYEVDHVVLSQSPSLSMPTFTAQLCSLWDIAPAMEKLQDITVMQFLKGQARRQELPRLFQFLRSTISISLSSHEQVKSPDGGLLTGLQNLYTLYERFIQAYIKQEQDQKLPVDFEPQDIQIVPTHPSAPHPGLYARFFPFHGYQSMLLRLTRNFNLSTWKGSIRWGGLEEIVQRLPGTGAAEIALTDMCSNYFQFAKEEGSGPHWSNQVELIRRLRNKNKTALEQFSNNTEKARGCAFSFFDTCPAVSTNGSALYHDRLDLCVSTECPRPGCNQFNYFLLVSFCLLLGLILIATLVRRAVAWPRSGEVPLREEPTSEQSWLTGMGGAQSRERPEEESQDGGEM
+>sp|Q13237|KGP2_HUMAN cGMP-dependent protein kinase 2 OS=Homo sapiens OX=9606 GN=PRKG2 PE=1 SV=1
+MGNGSVKPKHSKHPDGHSGNLTTDALRNKVTELERELRRKDAEIQEREYHLKELREQLSKQTVAIAELTEELQNKCIQLNKLQDVVHMQGGSPLQASPDKVPLEVHRKTSGLVSLHSRRGAKAGVSAEPTTRTYDLNKPPEFSFEKARVRKDSSEKKLITDALNKNQFLKRLDPQQIKDMVECMYGRNYQQGSYIIKQGEPGNHIFVLAEGRLEVFQGEKLLSSIPMWTTFGELAILYNCTRTASVKAITNVKTWALDREVFQNIMRRTAQARDEQYRNFLRSVSLLKNLPEDKLTKIIDCLEVEYYDKGDYIIREGEEGSTFFILAKGKVKVTQSTEGHDQPQLIKTLQKGEYFGEKALISDDVRSANIIAEENDVACLVIDRETFNQTVGTFEELQKYLEGYVANLNRDDEKRHAKRSMSNWKLSKALSLEMIQLKEKVARFSSSSPFQNLEIIATLGVGGFGRVELVKVKNENVAFAMKCIRKKHIVDTKQQEHVYSEKRILEELCSPFIVKLYRTFKDNKYVYMLLEACLGGELWSILRDRGSFDEPTSKFCVACVTEAFDYLHRLGIIYRDLKPENLILDAEGYLKLVDFGFAKKIGSGQKTWTFCGTPEYVAPEVILNKGHDFSVDFWSLGILVYELLTGNPPFSGVDQMMTYNLILKGIEKMDFPRKITRRPEDLIRRLCRQNPTERLGNLKNGINDIKKHRWLNGFNWEGLKARSLPSPLQRELKGPIDHSYFDKYPPEKGMPPDELSGWDKDF
+>DECOY_sp|Q13237|KGP2_HUMAN cGMP-dependent protein kinase 2 OS=Homo sapiens OX=9606 GN=PRKG2 PE=1 SV=1
+FDKDWGSLEDPPMGKEPPYKDFYSHDIPGKLERQLPSPLSRAKLGEWNFGNLWRHKKIDNIGNKLNGLRETPNQRCLRRILDEPRRTIKRPFDMKEIGKLILNYTMMQDVGSFPPNGTLLEYVLIGLSWFDVSFDHGKNLIVEPAVYEPTGCFTWTKQGSGIKKAFGFDVLKLYGEADLILNEPKLDRYIIGLRHLYDFAETVCAVCFKSTPEDFSGRDRLISWLEGGLCAELLMYVYKNDKFTRYLKVIFPSCLEELIRKESYVHEQQKTDVIHKKRICKMAFAVNENKVKVLEVRGFGGVGLTAIIELNQFPSSSSFRAVKEKLQIMELSLAKSLKWNSMSRKAHRKEDDRNLNAVYGELYKQLEEFTGVTQNFTERDIVLCAVDNEEAIINASRVDDSILAKEGFYEGKQLTKILQPQDHGETSQTVKVKGKALIFFTSGEEGERIIYDGKDYYEVELCDIIKTLKDEPLNKLLSVSRLFNRYQEDRAQATRRMINQFVERDLAWTKVNTIAKVSATRTCNYLIALEGFTTWMPISSLLKEGQFVELRGEALVFIHNGPEGQKIIYSGQQYNRGYMCEVMDKIQQPDLRKLFQNKNLADTILKKESSDKRVRAKEFSFEPPKNLDYTRTTPEASVGAKAGRRSHLSVLGSTKRHVELPVKDPSAQLPSGGQMHVVDQLKNLQICKNQLEETLEAIAVTQKSLQERLEKLHYEREQIEADKRRLERELETVKNRLADTTLNGSHGDPHKSHKPKVSGNGM
+>sp|Q16774|KGUA_HUMAN Guanylate kinase OS=Homo sapiens OX=9606 GN=GUK1 PE=1 SV=2
+MSGPRPVVLSGPSGAGKSTLLKRLLQEHSGIFGFSVSHTTRNPRPGEENGKDYYFVTREVMQRDIAAGDFIEHAEFSGNLYGTSKVAVQAVQAMNRICVLDVDLQGVRNIKATDLRPIYISVQPPSLHVLEQRLRQRNTETEESLVKRLAAAQADMESSKEPGLFDVVIINDSLDQAYAELKEALSEEIKKAQRTGA
+>DECOY_sp|Q16774|KGUA_HUMAN Guanylate kinase OS=Homo sapiens OX=9606 GN=GUK1 PE=1 SV=2
+AGTRQAKKIEESLAEKLEAYAQDLSDNIIVVDFLGPEKSSEMDAQAAALRKVLSEETETNRQRLRQELVHLSPPQVSIYIPRLDTAKINRVGQLDVDLVCIRNMAQVAQVAVKSTGYLNGSFEAHEIFDGAAIDRQMVERTVFYYDKGNEEGPRPNRTTHSVSFGFIGSHEQLLRKLLTSKGAGSPGSLVVPRPGSM
+>sp|Q587J8|KHD3L_HUMAN KHDC3-like protein OS=Homo sapiens OX=9606 GN=KHDC3L PE=1 SV=1
+MDAPRRFPTLVQLMQPKAMPVEVLGHLPKRFSWFHSEFLKNPKVVRLEVWLVEKIFGRGGERIPHVQGMSQILIHVNRLDPNGEAEILVFGRPSYQEDTIKMIMNLADYHRQLQAKGSGKALAQDVATQKAETQRSSIEVREAGTQRSVEVREAGTQRSVEVQEVGTQGSPVEVQEAGTQQSLQAANKSGTQRSPEAASKAVTQRFREDARDPVTRL
+>DECOY_sp|Q587J8|KHD3L_HUMAN KHDC3-like protein OS=Homo sapiens OX=9606 GN=KHDC3L PE=1 SV=1
+LRTVPDRADERFRQTVAKSAAEPSRQTGSKNAAQLSQQTGAEQVEVPSGQTGVEQVEVSRQTGAERVEVSRQTGAERVEISSRQTEAKQTAVDQALAKGSGKAQLQRHYDALNMIMKITDEQYSPRGFVLIEAEGNPDLRNVHILIQSMGQVHPIREGGRGFIKEVLWVELRVVKPNKLFESHFWSFRKPLHGLVEVPMAKPQMLQVLTPFRRPADM
+>sp|Q07666|KHDR1_HUMAN KH domain-containing, RNA-binding, signal transduction-associated protein 1 OS=Homo sapiens OX=9606 GN=KHDRBS1 PE=1 SV=1
+MQRRDDPAARMSRSSGRSGSMDPSGAHPSVRQTPSRQPPLPHRSRGGGGGSRGGARASPATQPPPLLPPSATGPDATVGGPAPTPLLPPSATASVKMEPENKYLPELMAEKDSLDPSFTHAMQLLTAEIEKIQKGDSKKDDEENYLDLFSHKNMKLKERVLIPVKQYPKFNFVGKILGPQGNTIKRLQEETGAKISVLGKGSMRDKAKEEELRKGGDPKYAHLNMDLHVFIEVFGPPCEAYALMAHAMEEVKKFLVPDMMDDICQEQFLELSYLNGVPEPSRGRGVPVRGRGAAPPPPPVPRGRGVGPPRGALVRGTPVRGAITRGATVTRGVPPPPTVRGAPAPRARTAGIQRIPLPPPPAPETYEEYGYDDTYAEQSYEGYEGYYSQSQGDSEYYDYGHGEVQDSYEAYGQDDWNGTRPSLKAPPARPVKGAYREHPYGRY
+>DECOY_sp|Q07666|KHDR1_HUMAN KH domain-containing, RNA-binding, signal transduction-associated protein 1 OS=Homo sapiens OX=9606 GN=KHDRBS1 PE=1 SV=1
+YRGYPHERYAGKVPRAPPAKLSPRTGNWDDQGYAEYSDQVEGHGYDYYESDGQSQSYYGEYGEYSQEAYTDDYGYEEYTEPAPPPPLPIRQIGATRARPAPAGRVTPPPPVGRTVTAGRTIAGRVPTGRVLAGRPPGVGRGRPVPPPPPAAGRGRVPVGRGRSPEPVGNLYSLELFQEQCIDDMMDPVLFKKVEEMAHAMLAYAECPPGFVEIFVHLDMNLHAYKPDGGKRLEEEKAKDRMSGKGLVSIKAGTEEQLRKITNGQPGLIKGVFNFKPYQKVPILVREKLKMNKHSFLDLYNEEDDKKSDGKQIKEIEATLLQMAHTFSPDLSDKEAMLEPLYKNEPEMKVSATASPPLLPTPAPGGVTADPGTASPPLLPPPQTAPSARAGGRSGGGGGRSRHPLPPQRSPTQRVSPHAGSPDMSGSRGSSRSMRAAPDDRRQM
+>sp|P50053|KHK_HUMAN Ketohexokinase OS=Homo sapiens OX=9606 GN=KHK PE=1 SV=2
+MEEKQILCVGLVVLDVISLVDKYPKEDSEIRCLSQRWQRGGNASNSCTVLSLLGAPCAFMGSMAPGHVADFLVADFRRRGVDVSQVAWQSKGDTPSSCCIINNSNGNRTIVLHDTSLPDVSATDFEKVDLTQFKWIHIEGRNASEQVKMLQRIDAHNTRQPPEQKIRVSVEVEKPREELFQLFGYGDVVFVSKDVAKHLGFQSAEEALRGLYGRVRKGAVLVCAWAEEGADALGPDGKLLHSDAFPPPRVVDTLGAGDTFNASVIFSLSQGRSVQEALRFGCQVAGKKCGLQGFDGIV
+>DECOY_sp|P50053|KHK_HUMAN Ketohexokinase OS=Homo sapiens OX=9606 GN=KHK PE=1 SV=2
+VIGDFGQLGCKKGAVQCGFRLAEQVSRGQSLSFIVSANFTDGAGLTDVVRPPPFADSHLLKGDPGLADAGEEAWACVLVAGKRVRGYLGRLAEEASQFGLHKAVDKSVFVVDGYGFLQFLEERPKEVEVSVRIKQEPPQRTNHADIRQLMKVQESANRGEIHIWKFQTLDVKEFDTASVDPLSTDHLVITRNGNSNNIICCSSPTDGKSQWAVQSVDVGRRRFDAVLFDAVHGPAMSGMFACPAGLLSLVTCSNSANGGRQWRQSLCRIESDEKPYKDVLSIVDLVVLGVCLIQKEEM
+>sp|Q9H1H9|KI13A_HUMAN Kinesin-like protein KIF13A OS=Homo sapiens OX=9606 GN=KIF13A PE=1 SV=2
+MSDTKVKVAVRVRPMNRRELELNTKCVVEMEGNQTVLHPPPSNTKQGERKPPKVFAFDYCFWSMDESNTTKYAGQEVVFKCLGEGILEKAFQGYNACIFAYGQTGSGKSFSMMGHAEQLGLIPRLCCALFKRISLEQNESQTFKVEVSYMEIYNEKVRDLLDPKGSRQSLKVREHKVLGPYVDGLSQLAVTSFEDIESLMSEGNKSRTVAATNMNEESSRSHAVFNIIITQTLYDLQSGNSGEKVSKVSLVDLAGSERVSKTGAAGERLKEGSNINKSLTTLGLVISSLADQAAGKGKSKFVPYRDSVLTWLLKDNLGGNSQTSMIATISPAADNYEETLSTLRYADRAKRIVNHAVVNEDPNAKVIRELREEVEKLREQLSQAEAMKAPELKEKLEESEKLIKELTVTWEEKLRKTEEIAQERQRQLESMGISLEMSGIKVGDDKCYLVNLNADPALNELLVYYLKDHTRVGADTSQDIQLFGIGIQPQHCEIDIASDGDVTLTPKENARSCVNGTLVCSTTQLWHGDRILWGNNHFFRINLPKRKRRDWLKDFEKETGPPEHDLDAASEASSEPDYNYEFAQMEVIMKTLNSNDPVQNVVQVLEKQYLEEKRSALEEQRLMYERELEQLRQQLSPDRQPQSSGPDRLAYSSQTAQQKVTQWAEERDELFRQSLAKLREQLVKANTLVREANFLAEEMSKLTDYQVTLQIPAANLSANRKRGAIVSEPAIQVRRKGKSTQVWTIEKLENKLIDMRDLYQEWKEKVPEAKRLYGKRGDPFYEAQENHNLIGVANVFLECLFCDVKLQYAVPIISQQGEVAGRLHVEVMRVTGAVPERVVEDDSSENSSESGSLEVVDSSGEIIHRVKKLTCRVKIKEATGLPLNLSNFVFCQYTFWDQCESTVAAPVVDPEVPSPQSKDAQYTVTFSHCKDYVVNVTEEFLEFISDGALAIEVWGHRCAGNGSSIWEVDSLHAKTRTLHDRWNEVTRRIEMWISILELNELGEYAAVELHQAKDVNTGGIFQLRQGHSRRVQVTVKPVQHSGTLPLMVEAILSVSIGCVTARSTKLQRGLDSYQRDDEDGDDMDSYQEEDLNCVRERWSDALIKRREYLDEQIKKVSNKTEKTEDDVEREAQLVEQWVGLTEERNAVLVPAPGSGIPGAPADWIPPPGMETHIPVLFLDLNADDLSANEQLVGPHASGVNSILPKEHGSQFFYLPIIKHSDDEVSATASWDSSVHDSVHLNRVTPQNERIYLIVKTTVQLSHPAAMELVLRKRIAANIYNKQSFTQSLKRRISLKNIFYSCGVTYEIVSNIPKATEEIEDRETLALLAARSENEGTSDGETYIEKYTRGVLQVENILSLERLRQAVTVKEALSTKARHIRRSLSTPNVHNVSSSRPDLSGFDEDDKGWPENQLDMSDYSSSYQDVACYGTLPRDSPRRNKEGCTSETPHALTVSPFKAFSPQPPKFFKPLMPVKEEHKKRIALEARPLLSQESMPPPQAHNPGCIVPSGSNGSSMPVEHNSKREKKIDSEEEENELEAINRKLISSQPYVPVEFADFSVYNASLENREWFSSKVDLSNSRVLEKEVSRSPTTSSITSGYFSHSASNATLSDMVVPSSDSSDQLAIQTKDADSTEHSTPSLVHDFRPSSNKELTEVEKGLVKDKIIVVPLKENSALAKGSPSSQSIPEKNSKSLCRTGSCSELDACPSKISQPARGFCPREVTVEHTTNILEDHSFTEFMGVSEGKDFDGLTDSSAGELSSRRSLPNKTGGKTVSDGLHHPSQLHSKLENDQVIIPEAAFWVLCCQ
+>DECOY_sp|Q9H1H9|KI13A_HUMAN Kinesin-like protein KIF13A OS=Homo sapiens OX=9606 GN=KIF13A PE=1 SV=2
+QCCLVWFAAEPIIVQDNELKSHLQSPHHLGDSVTKGGTKNPLSRRSSLEGASSDTLGDFDKGESVGMFETFSHDELINTTHEVTVERPCFGRAPQSIKSPCADLESCSGTRCLSKSNKEPISQSSPSGKALASNEKLPVVIIKDKVLGKEVETLEKNSSPRFDHVLSPTSHETSDADKTQIALQDSSDSSPVVMDSLTANSASHSFYGSTISSTTPSRSVEKELVRSNSLDVKSSFWERNELSANYVSFDAFEVPVYPQSSILKRNIAELENEEEESDIKKERKSNHEVPMSSGNSGSPVICGPNHAQPPPMSEQSLLPRAELAIRKKHEEKVPMLPKFFKPPQPSFAKFPSVTLAHPTESTCGEKNRRPSDRPLTGYCAVDQYSSSYDSMDLQNEPWGKDDEDFGSLDPRSSSVNHVNPTSLSRRIHRAKTSLAEKVTVAQRLRELSLINEVQLVGRTYKEIYTEGDSTGENESRAALLALTERDEIEETAKPINSVIEYTVGCSYFINKLSIRRKLSQTFSQKNYINAAIRKRLVLEMAAPHSLQVTTKVILYIRENQPTVRNLHVSDHVSSDWSATASVEDDSHKIIPLYFFQSGHEKPLISNVGSAHPGVLQENASLDDANLDLFLVPIHTEMGPPPIWDAPAGPIGSGPAPVLVANREETLGVWQEVLQAEREVDDETKETKNSVKKIQEDLYERRKILADSWRERVCNLDEEQYSDMDDGDEDDRQYSDLGRQLKTSRATVCGISVSLIAEVMLPLTGSHQVPKVTVQVRRSHGQRLQFIGGTNVDKAQHLEVAAYEGLENLELISIWMEIRRTVENWRDHLTRTKAHLSDVEWISSGNGACRHGWVEIALAGDSIFELFEETVNVVYDKCHSFTVTYQADKSQPSPVEPDVVPAAVTSECQDWFTYQCFVFNSLNLPLGTAEKIKVRCTLKKVRHIIEGSSDVVELSGSESSNESSDDEVVREPVAGTVRMVEVHLRGAVEGQQSIIPVAYQLKVDCFLCELFVNAVGILNHNEQAEYFPDGRKGYLRKAEPVKEKWEQYLDRMDILKNELKEITWVQTSKGKRRVQIAPESVIAGRKRNASLNAAPIQLTVQYDTLKSMEEALFNAERVLTNAKVLQERLKALSQRFLEDREEAWQTVKQQATQSSYALRDPGSSQPQRDPSLQQRLQELEREYMLRQEELASRKEELYQKELVQVVNQVPDNSNLTKMIVEMQAFEYNYDPESSAESAADLDHEPPGTEKEFDKLWDRRKRKPLNIRFFHNNGWLIRDGHWLQTTSCVLTGNVCSRANEKPTLTVDGDSAIDIECHQPQIGIGFLQIDQSTDAGVRTHDKLYYVLLENLAPDANLNVLYCKDDGVKIGSMELSIGMSELQRQREQAIEETKRLKEEWTVTLEKILKESEELKEKLEPAKMAEAQSLQERLKEVEERLERIVKANPDENVVAHNVIRKARDAYRLTSLTEEYNDAAPSITAIMSTQSNGGLNDKLLWTLVSDRYPVFKSKGKGAAQDALSSIVLGLTTLSKNINSGEKLREGAAGTKSVRESGALDVLSVKSVKEGSNGSQLDYLTQTIIINFVAHSRSSEENMNTAAVTRSKNGESMLSEIDEFSTVALQSLGDVYPGLVKHERVKLSQRSGKPDLLDRVKENYIEMYSVEVKFTQSENQELSIRKFLACCLRPILGLQEAHGMMSFSKGSGTQGYAFICANYGQFAKELIGEGLCKFVVEQGAYKTTNSEDMSWFCYDFAFVKPPKREGQKTNSPPPHLVTQNGEMEVVCKTNLELERRNMPRVRVAVKVKTDSM
+>sp|Q8NI77|KI18A_HUMAN Kinesin-like protein KIF18A OS=Homo sapiens OX=9606 GN=KIF18A PE=1 SV=2
+MSVTEEDLCHHMKVVVRVRPENTKEKAAGFHKVVHVVDKHILVFDPKQEEVSFFHGKKTTNQNVIKKQNKDLKFVFDAVFDETSTQSEVFEHTTKPILRSFLNGYNCTVLAYGATGAGKTHTMLGSADEPGVMYLTMLHLYKCMDEIKEEKICSTAVSYLEVYNEQIRDLLVNSGPLAVREDTQKGVVVHGLTLHQPKSSEEILHLLDNGNKNRTQHPTDMNATSSRSHAVFQIYLRQQDKTASINQNVRIAKMSLIDLAGSERASTSGAKGTRFVEGTNINRSLLALGNVINALADSKRKNQHIPYRNSKLTRLLKDSLGGNCQTIMIAAVSPSSVFYDDTYNTLKYANRAKDIKSSLKSNVLNVNNHITQYVKICNEQKAEILLLKEKLKAYEEQKAFTNENDQAKLMISNPQEKEIERFQEILNCLFQNREEIRQEYLKLEMLLKENELKSFYQQQCHKQIEMMCSEDKVEKATGKRDHRLAMLKTRRSYLEKRREEELKQFDENTNWLHRVEKEMGLLSQNGHIPKELKKDLHCHHLHLQNKDLKAQIRHMMDLACLQEQQHRQTEAVLNALLPTLRKQYCTLKEAGLSNAAFESDFKEIEHLVERKKVVVWADQTAEQPKQNDLPGISVLMTFPQLGPVQPIPCCSSSGGTNLVKIPTEKRTRRKLMPSPLKGQHTLKSPPSQSVQLNDSLSKELQPIVYTPEDCRKAFQNPSTVTLMKPSSFTTSFQAISSNINSDNCLKMLCEVAIPHNRRKECGQEDLDSTFTICEDIKSSKCKLPEQESLPNDNKDILQRLDPSSFSTKHSMPVPSMVPSYMAMTTAAKRKRKLTSSTSNSSLTADVNSGFAKRVRQDNSSEKHLQENKPTMEHKRNICKINPSMVRKFGRNISKGNLR
+>DECOY_sp|Q8NI77|KI18A_HUMAN Kinesin-like protein KIF18A OS=Homo sapiens OX=9606 GN=KIF18A PE=1 SV=2
+RLNGKSINRGFKRVMSPNIKCINRKHEMTPKNEQLHKESSNDQRVRKAFGSNVDATLSSNSTSSTLKRKRKAATTMAMYSPVMSPVPMSHKTSFSSPDLRQLIDKNDNPLSEQEPLKCKSSKIDECITFTSDLDEQGCEKRRNHPIAVECLMKLCNDSNINSSIAQFSTTFSSPKMLTVTSPNQFAKRCDEPTYVIPQLEKSLSDNLQVSQSPPSKLTHQGKLPSPMLKRRTRKETPIKVLNTGGSSSCCPIPQVPGLQPFTMLVSIGPLDNQKPQEATQDAWVVVKKREVLHEIEKFDSEFAANSLGAEKLTCYQKRLTPLLANLVAETQRHQQEQLCALDMMHRIQAKLDKNQLHLHHCHLDKKLEKPIHGNQSLLGMEKEVRHLWNTNEDFQKLEEERRKELYSRRTKLMALRHDRKGTAKEVKDESCMMEIQKHCQQQYFSKLENEKLLMELKLYEQRIEERNQFLCNLIEQFREIEKEQPNSIMLKAQDNENTFAKQEEYAKLKEKLLLIEAKQENCIKVYQTIHNNVNLVNSKLSSKIDKARNAYKLTNYTDDYFVSSPSVAAIMITQCNGGLSDKLLRTLKSNRYPIHQNKRKSDALANIVNGLALLSRNINTGEVFRTGKAGSTSARESGALDILSMKAIRVNQNISATKDQQRLYIQFVAHSRSSTANMDTPHQTRNKNGNDLLHLIEESSKPQHLTLGHVVVGKQTDERVALPGSNVLLDRIQENYVELYSVATSCIKEEKIEDMCKYLHLMTLYMVGPEDASGLMTHTKGAGTAGYALVTCNYGNLFSRLIPKTTHEFVESQTSTEDFVADFVFKLDKNQKKIVNQNTTKKGHFFSVEEQKPDFVLIHKDVVHVVKHFGAAKEKTNEPRVRVVVKMHHCLDEETVSM
+>sp|Q86Y91|KI18B_HUMAN Kinesin-like protein KIF18B OS=Homo sapiens OX=9606 GN=KIF18B PE=1 SV=3
+MSHPGVTTVMAVEDSTLQVVVRVRPPTPRELDSQRRPVVQVVDERVLVFNPEEPDGGFPGLKWGGTHDGPKKKGKDLTFVFDRVFGEAATQQDVFQHTTHSVLDSFLQGYNCSVFAYGATGAGKTHTMLGREGDPGIMYLTTVELYRRLEARQQEKHFEVLISYQEVYNEQIHDLLEPKGPLAIREDPDKGVVVQGLSFHQPASAEQLLEILTRGNRNRTQHPTDANATSSRSHAIFQIFVKQQDRVPGLTQAVQVAKMSLIDLAGSERASSTHAKGERLREGANINRSLLALINVLNALADAKGRKTHVPYRDSKLTRLLKDSLGGNCRTVMIAAISPSSLTYEDTYNTLKYADRAKEIRLSLKSNVTSLDCHISQYATICQQLQAEVAALRKKLQVYEGGGQPPPQDLPGSPKSGPPPEHLPSSPLPPHPPSQPCTPELPAGPRALQEESLGMEAQVERAMEGNSSDQEQSPEDEDEGPAEEVPTQMPEQNPTHALPESPRLTLQPKPVVGHFSARELDGDRSKQLALKVLCVAQRQYSLLQAANLLTPDMITEFETLQQLVQEEKIEPGAEALRTSGLARGAPLAQELCSESKPPGYTGPVTRTMARRLSGPLHTLGIPPGPNCTPAQGSRWPMEKKRRRPSALEADSPMAPKRGTKRQRQSFLPCLRRGSLPDTQPSQGPSTPKGERASSPCHSPRVCPATVIKSRVPLGPSAMQNCSTPLALPTRDLNATFDLSEEPPSKPSFHECIGWDKIPQELSRLDQPFIPRAPVPLFTMKGPKPTSSLPGTSACKKKRVASSSVSHGRSRIARLPSSTLKRPAGPLVLPELPLSPLCPSNRRNGKDLIRVGRALSAGNGVTKVS
+>DECOY_sp|Q86Y91|KI18B_HUMAN Kinesin-like protein KIF18B OS=Homo sapiens OX=9606 GN=KIF18B PE=1 SV=3
+SVKTVGNGASLARGVRILDKGNRRNSPCLPSLPLEPLVLPGAPRKLTSSPLRAIRSRGHSVSSSAVRKKKCASTGPLSSTPKPGKMTFLPVPARPIFPQDLRSLEQPIKDWGICEHFSPKSPPEESLDFTANLDRTPLALPTSCNQMASPGLPVRSKIVTAPCVRPSHCPSSAREGKPTSPGQSPQTDPLSGRRLCPLFSQRQRKTGRKPAMPSDAELASPRRRKKEMPWRSGQAPTCNPGPPIGLTHLPGSLRRAMTRTVPGTYGPPKSESCLEQALPAGRALGSTRLAEAGPEIKEEQVLQQLTEFETIMDPTLLNAAQLLSYQRQAVCLVKLALQKSRDGDLERASFHGVVPKPQLTLRPSEPLAHTPNQEPMQTPVEEAPGEDEDEPSQEQDSSNGEMAREVQAEMGLSEEQLARPGAPLEPTCPQSPPHPPLPSSPLHEPPPGSKPSGPLDQPPPQGGGEYVQLKKRLAAVEAQLQQCITAYQSIHCDLSTVNSKLSLRIEKARDAYKLTNYTDEYTLSSPSIAAIMVTRCNGGLSDKLLRTLKSDRYPVHTKRGKADALANLVNILALLSRNINAGERLREGKAHTSSARESGALDILSMKAVQVAQTLGPVRDQQKVFIQFIAHSRSSTANADTPHQTRNRNGRTLIELLQEASAPQHFSLGQVVVGKDPDERIALPGKPELLDHIQENYVEQYSILVEFHKEQQRAELRRYLEVTTLYMIGPDGERGLMTHTKGAGTAGYAFVSCNYGQLFSDLVSHTTHQFVDQQTAAEGFVRDFVFTLDKGKKKPGDHTGGWKLGPFGGDPEEPNFVLVREDVVQVVPRRQSDLERPTPPRVRVVVQLTSDEVAMVTTVGPHSM
+>sp|Q96Q89|KI20B_HUMAN Kinesin-like protein KIF20B OS=Homo sapiens OX=9606 GN=KIF20B PE=1 SV=3
+MESNFNQEGVPRPSYVFSADPIARPSEINFDGIKLDLSHEFSLVAPNTEANSFESKDYLQVCLRIRPFTQSEKELESEGCVHILDSQTVVLKEPQCILGRLSEKSSGQMAQKFSFSKVFGPATTQKEFFQGCIMQPVKDLLKGQSRLIFTYGLTNSGKTYTFQGTEENIGILPRTLNVLFDSLQERLYTKMNLKPHRSREYLRLSSEQEKEEIASKSALLRQIKEVTVHNDSDDTLYGSLTNSLNISEFEESIKDYEQANLNMANSIKFSVWVSFFEIYNEYIYDLFVPVSSKFQKRKMLRLSQDVKGYSFIKDLQWIQVSDSKEAYRLLKLGIKHQSVAFTKLNNASSRSHSIFTVKILQIEDSEMSRVIRVSELSLCDLAGSERTMKTQNEGERLRETGNINTSLLTLGKCINVLKNSEKSKFQQHVPFRESKLTHYFQSFFNGKGKICMIVNISQCYLAYDETLNVLKFSAIAQKVCVPDTLNSSQEKLFGPVKSSQDVSLDSNSNSKILNVKRATISWENSLEDLMEDEDLVEELENAEETQNVETKLLDEDLDKTLEENKAFISHEEKRKLLDLIEDLKKKLINEKKEKLTLEFKIREEVTQEFTQYWAQREADFKETLLQEREILEENAERRLAIFKDLVGKCDTREEAAKDICATKVETEETHNYVGFEDIIDSLQDNVADIKKQAEIAHLYIASLPDPQEATACLELKFNQIKAELAKTKGELIKTKEELKKRENESDSLIQELETSNKKIITQNQRIKELINIIDQKEDTINEFQNLKSHMENTFKCNDKADTSSLIINNKLICNETVEVPKDSKSKICSERKRVNENELQQDEPPAKKGSIHVSSAITEDQKKSEEVRPNIAEIEDIRVLQENNEGLRAFLLTIENELKNEKEEKAELNKQIVHFQQELSLSEKKNLTLSKEVQQIQSNYDIAIAELHVQKSKNQEQEEKIMKLSNEIETATRSITNNVSQIKLMHTKIDELRTLDSVSQISNIDLLNLRDLSNGSEEDNLPNTQLDLLGNDYLVSKQVKEYRIQEPNRENSFHSSIEAIWEECKEIVKASSKKSHQIEELEQQIEKLQAEVKGYKDENNRLKEKEHKNQDDLLKEKETLIQQLKEELQEKNVTLDVQIQHVVEGKRALSELTQGVTCYKAKIKELETILETQKVECSHSAKLEQDILEKESIILKLERNLKEFQEHLQDSVKNTKDLNVKELKLKEEITQLTNNLQDMKHLLQLKEEEEETNRQETEKLKEELSASSARTQNLKADLQRKEEDYADLKEKLTDAKKQIKQVQKEVSVMRDEDKLLRIKINELEKKKNQCSQELDMKQRTIQQLKEQLNNQKVEEAIQQYERACKDLNVKEKIIEDMRMTLEEQEQTQVEQDQVLEAKLEEVERLATELEKWKEKCNDLETKNNQRSNKEHENNTDVLGKLTNLQDELQESEQKYNADRKKWLEEKMMLITQAKEAENIRNKEMKKYAEDRERFFKQQNEMEILTAQLTEKDSDLQKWREERDQLVAALEIQLKALISSNVQKDNEIEQLKRIISETSKIETQIMDIKPKRISSADPDKLQTEPLSTSFEISRNKIEDGSVVLDSCEVSTENDQSTRFPKPELEIQFTPLQPNKMAVKHPGCTTPVTVKIPKARKRKSNEMEEDLVKCENKKNATPRTNLKFPISDDRNSSVKKEQKVAIRPSSKKTYSLRSQASIIGVNLATKKKEGTLQKFGDFLQHSPSILQSKAKKIIETMSSSKLSNVEASKENVSQPKRAKRKLYTSEISSPIDISGQVILMDQKMKESDHQIIKRRLRTKTAK
+>DECOY_sp|Q96Q89|KI20B_HUMAN Kinesin-like protein KIF20B OS=Homo sapiens OX=9606 GN=KIF20B PE=1 SV=3
+KATKTRLRRKIIQHDSEKMKQDMLIVQGSIDIPSSIESTYLKRKARKPQSVNEKSAEVNSLKSSSMTEIIKKAKSQLISPSHQLFDGFKQLTGEKKKTALNVGIISAQSRLSYTKKSSPRIAVKQEKKVSSNRDDSIPFKLNTRPTANKKNECKVLDEEMENSKRKRAKPIKVTVPTTCGPHKVAMKNPQLPTFQIELEPKPFRTSQDNETSVECSDLVVSGDEIKNRSIEFSTSLPETQLKDPDASSIRKPKIDMIQTEIKSTESIIRKLQEIENDKQVNSSILAKLQIELAAVLQDREERWKQLDSDKETLQATLIEMENQQKFFRERDEAYKKMEKNRINEAEKAQTILMMKEELWKKRDANYKQESEQLEDQLNTLKGLVDTNNEHEKNSRQNNKTELDNCKEKWKELETALREVEELKAELVQDQEVQTQEQEELTMRMDEIIKEKVNLDKCAREYQQIAEEVKQNNLQEKLQQITRQKMDLEQSCQNKKKELENIKIRLLKDEDRMVSVEKQVQKIQKKADTLKEKLDAYDEEKRQLDAKLNQTRASSASLEEKLKETEQRNTEEEEEKLQLLHKMDQLNNTLQTIEEKLKLEKVNLDKTNKVSDQLHEQFEKLNRELKLIISEKELIDQELKASHSCEVKQTELITELEKIKAKYCTVGQTLESLARKGEVVHQIQVDLTVNKEQLEEKLQQILTEKEKLLDDQNKHEKEKLRNNEDKYGKVEAQLKEIQQELEEIQHSKKSSAKVIEKCEEWIAEISSHFSNERNPEQIRYEKVQKSVLYDNGLLDLQTNPLNDEESGNSLDRLNLLDINSIQSVSDLTRLEDIKTHMLKIQSVNNTISRTATEIENSLKMIKEEQEQNKSKQVHLEAIAIDYNSQIQQVEKSLTLNKKESLSLEQQFHVIQKNLEAKEEKENKLENEITLLFARLGENNEQLVRIDEIEAINPRVEESKKQDETIASSVHISGKKAPPEDQQLENENVRKRESCIKSKSDKPVEVTENCILKNNIILSSTDAKDNCKFTNEMHSKLNQFENITDEKQDIINILEKIRQNQTIIKKNSTELEQILSDSENERKKLEEKTKILEGKTKALEAKIQNFKLELCATAEQPDPLSAIYLHAIEAQKKIDAVNDQLSDIIDEFGVYNHTEETEVKTACIDKAAEERTDCKGVLDKFIALRREANEELIEREQLLTEKFDAERQAWYQTFEQTVEERIKFELTLKEKKENILKKKLDEILDLLKRKEEHSIFAKNEELTKDLDEDLLKTEVNQTEEANELEEVLDEDEMLDELSNEWSITARKVNLIKSNSNSDLSVDQSSKVPGFLKEQSSNLTDPVCVKQAIASFKLVNLTEDYALYCQSINVIMCIKGKGNFFSQFYHTLKSERFPVHQQFKSKESNKLVNICKGLTLLSTNINGTERLREGENQTKMTRESGALDCLSLESVRIVRSMESDEIQLIKVTFISHSRSSANNLKTFAVSQHKIGLKLLRYAEKSDSVQIWQLDKIFSYGKVDQSLRLMKRKQFKSSVPVFLDYIYENYIEFFSVWVSFKISNAMNLNAQEYDKISEEFESINLSNTLSGYLTDDSDNHVTVEKIQRLLASKSAIEEKEQESSLRLYERSRHPKLNMKTYLREQLSDFLVNLTRPLIGINEETGQFTYTKGSNTLGYTFILRSQGKLLDKVPQMICGQFFEKQTTAPGFVKSFSFKQAMQGSSKESLRGLICQPEKLVVTQSDLIHVCGESELEKESQTFPRIRLCVQLYDKSEFSNAETNPAVLSFEHSLDLKIGDFNIESPRAIPDASFVYSPRPVGEQNFNSEM
+>sp|O75037|KI21B_HUMAN Kinesin-like protein KIF21B OS=Homo sapiens OX=9606 GN=KIF21B PE=1 SV=2
+MAGQGDCCVKVAVRIRPQLSKEKIEGCHICTSVTPGEPQVLLGKDKAFTYDFVFDLDTWQEQIYSTCVSKLIEGCFEGYNATVLAYGQTGAGKTYTMGTGFDMATSEEEQGIIPRAIAHLFGGIAERKRRAQEQGVAGPEFKVSAQFLELYNEEILDLFDSTRDPDTRHRRSNIKIHEDANGGIYTTGVTSRLIHSQEELIQCLKQGALSRTTASTQMNVQSSRSHAIFTIHLCQMRMCTQPDLVNEAVTGLPDGTPPSSEYETLTAKFHFVDLAGSERLKRTGATGERAKEGISINCGLLALGNVISALGDQSKKVVHVPYRDSKLTRLLQDSLGGNSQTIMIACVSPSDRDFMETLNTLKYANRARNIKNKVVVNQDKTSQQISALRAEIARLQMELMEYKAGKRVIGEDGAEGYSDLFRENAMLQKENGALRLRVKAMQEAIDAINNRVTQLMSQEANLLLAKAGDGNEAIGALIQNYIREIEELRTKLLESEAMNESLRRSLSRASARSPYSLGASPAAPAFGGSPASSMEDASEVIRRAKQDLERLKKKEVRQRRKSPEKEAFKKRAKLQQENSEETDENEAEEEEEERDESGCEEEEGREDEDEDSGSEESLVDSDSDPEEKEVNFQADLADLTCEIEIKQKLIDELENSQRRLQTLKHQYEEKLILLQNKIRDTQLERDRVLQNLSTMECYTEEKANKIKADYEKRLREMNRDLQKLQAAQKEHARLLKNQSRYERELKKLQAEVAEMKKAKVALMKQMREEQQRRRLVETKRNREIAQLKKEQRRQEFQIRALESQKRQQEMVLRRKTQEVSALRRLAKPMSERVAGRAGLKPPMLDSGAEVSASTTSSEAESGARSVSSIVRQWNRKINHFLGDHPAPTVNGTRPARKKFQKKGASQSFSKAARLKWQSLERRIIDIVMQRMTIVNLEADMERLIKKREELFLLQEALRRKRERLQAESPEEEKGLQELAEEIEVLAANIDYINDGITDCQATIVQLEETKEELDSTDTSVVISSCSLAEARLLLDNFLKASIDKGLQVAQKEAQIRLLEGRLRQTDMAGSSQNHLLLDALREKAEAHPELQALIYNVQQENGYASTDEEISEFSEGSFSQSFTMKGSTSHDDFKFKSEPKLSAQMKAVSAECLGPPLDISTKNITKSLASLVEIKEDGVGFSVRDPYYRDRVSRTVSLPTRGSTFPRQSRATETSPLTRRKSYDRGQPIRSTDVGFTPPSSPPTRPRNDRNVFSRLTSNQSQGSALDKSDDSDSSLSEVLRGIISPVGGAKGARTAPLQCVSMAEGHTKPILCLDATDELLFTGSKDRSCKMWNLVTGQEIAALKGHPNNVVSIKYCSHSGLVFSVSTSYIKVWDIRDSAKCIRTLTSSGQVISGDACAATSTRAITSAQGEHQINQIALSPSGTMLYAASGNAVRIWELSRFQPVGKLTGHIGPVMCLTVTQTASQHDLVVTGSKDHYVKMFELGECVTGTIGPTHNFEPPHYDGIECLAIQGDILFSGSRDNGIKKWDLDQQELIQQIPNAHKDWVCALAFIPGRPMLLSACRAGVIKVWNVDNFTPIGEIKGHDSPINAICTNAKHIFTASSDCRVKLWNYVPGLTPCLPRRVLAIKGRATTLP
+>DECOY_sp|O75037|KI21B_HUMAN Kinesin-like protein KIF21B OS=Homo sapiens OX=9606 GN=KIF21B PE=1 SV=2
+PLTTARGKIALVRRPLCPTLGPVYNWLKVRCDSSATFIHKANTCIANIPSDHGKIEGIPTFNDVNWVKIVGARCASLLMPRGPIFALACVWDKHANPIQQILEQQDLDWKKIGNDRSGSFLIDGQIALCEIGDYHPPEFNHTPGITGTVCEGLEFMKVYHDKSGTVVLDHQSATQTVTLCMVPGIHGTLKGVPQFRSLEWIRVANGSAAYLMTGSPSLAIQNIQHEGQASTIARTSTAACADGSIVQGSSTLTRICKASDRIDWVKIYSTSVSFVLGSHSCYKISVVNNPHGKLAAIEQGTVLNWMKCSRDKSGTFLLEDTADLCLIPKTHGEAMSVCQLPATRAGKAGGVPSIIGRLVESLSSDSDDSKDLASGQSQNSTLRSFVNRDNRPRTPPSSPPTFGVDTSRIPQGRDYSKRRTLPSTETARSQRPFTSGRTPLSVTRSVRDRYYPDRVSFGVGDEKIEVLSALSKTINKTSIDLPPGLCEASVAKMQASLKPESKFKFDDHSTSGKMTFSQSFSGESFESIEEDTSAYGNEQQVNYILAQLEPHAEAKERLADLLLHNQSSGAMDTQRLRGELLRIQAEKQAVQLGKDISAKLFNDLLLRAEALSCSSIVVSTDTSDLEEKTEELQVITAQCDTIGDNIYDINAALVEIEEALEQLGKEEEPSEAQLRERKRRLAEQLLFLEERKKILREMDAELNVITMRQMVIDIIRRELSQWKLRAAKSFSQSAGKKQFKKRAPRTGNVTPAPHDGLFHNIKRNWQRVISSVSRAGSEAESSTTSASVEAGSDLMPPKLGARGAVRESMPKALRRLASVEQTKRRLVMEQQRKQSELARIQFEQRRQEKKLQAIERNRKTEVLRRRQQEERMQKMLAVKAKKMEAVEAQLKKLEREYRSQNKLLRAHEKQAAQLKQLDRNMERLRKEYDAKIKNAKEETYCEMTSLNQLVRDRELQTDRIKNQLLILKEEYQHKLTQLRRQSNELEDILKQKIEIECTLDALDAQFNVEKEEPDSDSDVLSEESGSDEDEDERGEEEECGSEDREEEEEEAENEDTEESNEQQLKARKKFAEKEPSKRRQRVEKKKLRELDQKARRIVESADEMSSAPSGGFAPAAPSAGLSYPSRASARSLSRRLSENMAESELLKTRLEEIERIYNQILAGIAENGDGAKALLLNAEQSMLQTVRNNIADIAEQMAKVRLRLAGNEKQLMANERFLDSYGEAGDEGIVRKGAKYEMLEMQLRAIEARLASIQQSTKDQNVVVKNKINRARNAYKLTNLTEMFDRDSPSVCAIMITQSNGGLSDQLLRTLKSDRYPVHVVKKSQDGLASIVNGLALLGCNISIGEKAREGTAGTRKLRESGALDVFHFKATLTEYESSPPTGDPLGTVAENVLDPQTCMRMQCLHITFIAHSRSSQVNMQTSATTRSLAGQKLCQILEEQSHILRSTVGTTYIGGNADEHIKINSRRHRTDPDRTSDFLDLIEENYLELFQASVKFEPGAVGQEQARRKREAIGGFLHAIARPIIGQEEESTAMDFGTGMTYTKGAGTQGYALVTANYGEFCGEILKSVCTSYIQEQWTDLDFVFDYTFAKDKGLLVQPEGPTVSTCIHCGEIKEKSLQPRIRVAVKVCCDGQGAM
+>sp|P43631|KI2S2_HUMAN Killer cell immunoglobulin-like receptor 2DS2 OS=Homo sapiens OX=9606 GN=KIR2DS2 PE=1 SV=2
+MSLMVVSMACVGFFLLQGAWPHEGVHRKPSLLAHPGPLVKSEETVILQCWSDVRFEHFLLHREGKYKDTLHLIGEHHDGVSKANFSIGPMMQDLAGTYRCYGSVTHSPYQLSAPSDPLDIVITGLYEKPSLSAQPGPTVLAGESVTLSCSSRSSYDMYHLSREGEAHERRFSAGPKVNGTFQADFPLGPATHGGTYRCFGSFRDSPYEWSNSSDPLLVSVTGNPSNSWPSPTEPSSKTGNPRHLHVLIGTSVVKIPFTILLFFLLHRWCSNKKNAAVMDQEPAGNRTVNSEDSDEQDHQEVSYA
+>DECOY_sp|P43631|KI2S2_HUMAN Killer cell immunoglobulin-like receptor 2DS2 OS=Homo sapiens OX=9606 GN=KIR2DS2 PE=1 SV=2
+AYSVEQHDQEDSDESNVTRNGAPEQDMVAANKKNSCWRHLLFFLLITFPIKVVSTGILVHLHRPNGTKSSPETPSPWSNSPNGTVSVLLPDSSNSWEYPSDRFSGFCRYTGGHTAPGLPFDAQFTGNVKPGASFRREHAEGERSLHYMDYSSRSSCSLTVSEGALVTPGPQASLSPKEYLGTIVIDLPDSPASLQYPSHTVSGYCRYTGALDQMMPGISFNAKSVGDHHEGILHLTDKYKGERHLLFHEFRVDSWCQLIVTEESKVLPGPHALLSPKRHVGEHPWAGQLLFFGVCAMSVVMLSM
+>sp|Q14953|KI2S5_HUMAN Killer cell immunoglobulin-like receptor 2DS5 OS=Homo sapiens OX=9606 GN=KIR2DS5 PE=1 SV=2
+MSLMVISMACVAFFLLQGAWPHEGFRRKPSLLAHPGPLVKSEETVILQCWSDVMFEHFLLHREGTFNHTLRLIGEHIDGVSKGNFSIGRMTQDLAGTYRCYGSVTHSPYQLSAPSDPLDIVITGLYEKPSLSAQPGPTVLAGESVTLSCSSRSSYDMYHLSREGEAHERRLPAGPKVNRTFQADFPLDPATHGGTYRCFGSFRDSPYEWSKSSDPLLVSVTGNSSNSWPSPTEPSSETGNPRHLHVLIGTSVVKLPFTILLFFLLHRWCSNKKNASVMDQGPAGNRTVNREDSDEQDHQEVSYA
+>DECOY_sp|Q14953|KI2S5_HUMAN Killer cell immunoglobulin-like receptor 2DS5 OS=Homo sapiens OX=9606 GN=KIR2DS5 PE=1 SV=2
+AYSVEQHDQEDSDERNVTRNGAPGQDMVSANKKNSCWRHLLFFLLITFPLKVVSTGILVHLHRPNGTESSPETPSPWSNSSNGTVSVLLPDSSKSWEYPSDRFSGFCRYTGGHTAPDLPFDAQFTRNVKPGAPLRREHAEGERSLHYMDYSSRSSCSLTVSEGALVTPGPQASLSPKEYLGTIVIDLPDSPASLQYPSHTVSGYCRYTGALDQTMRGISFNGKSVGDIHEGILRLTHNFTGERHLLFHEFMVDSWCQLIVTEESKVLPGPHALLSPKRRFGEHPWAGQLLFFAVCAMSIVMLSM
+>sp|Q15058|KIF14_HUMAN Kinesin-like protein KIF14 OS=Homo sapiens OX=9606 GN=KIF14 PE=1 SV=1
+MSLHSTHNRNNSGDILDIPSSQNSSSLNALTHSSRLKLHLKSDMSECENDDPLLRSAGKVRDINRTYVISASRKTADMPLTPNPVGRLALQRRTTRNKESSLLVSELEDTTEKTAETRLTLQRRAKTDSAEKWKTAEIDSVKMTLNVGGETENNGVSKESRTNVRIVNNAKNSFVASSVPLDEDPQVIEMMADKKYKETFSAPSRANENVALKYSSNRPPIASLSQTEVVRSGHLTTKPTQSKLDIKVLGTGNLYHRSIGKEIAKTSNKFGSLEKRTPTKCTTEHKLTTKCSLPQLKSPAPSILKNRMSNLQVKQRPKSSFLANKQERSAENTILPEEETVVQNTSAGKDPLKVENSQVTVAVRVRPFTKREKIEKASQVVFMSGKEITVEHPDTKQVYNFIYDVSFWSFDECHPHYASQTTVYEKLAAPLLERAFEGFNTCLFAYGQTGSGKSYTMMGFSEEPGIIPRFCEDLFSQVARKQTQEVSYHIEMSFFEVYNEKIHDLLVCKDENGQRKQPLRVREHPVYGPYVEALSMNIVSSYADIQSWLELGNKQRATAATGMNDKSSRSHSVFTLVMTQTKTEFVEGEEHDHRITSRINLIDLAGSERCSTAHTNGDRLKEGVSINKSLLTLGKVISALSEQANQRSVFIPYRESVLTWLLKESLGGNSKTAMIATISPAASNIEETLSTLRYANQARLIVNIAKVNEDMNAKLIRELKAEIAKLKAAQRNSRNIDPERYRLCRQEITSLRMKLHQQERDMAEMQRVWKEKFEQAEKRKLQETKELQKAGIMFQMDNHLPNLVNLNEDPQLSEMLLYMIKEGTTTVGKYKPNSSHDIQLSGVLIADDHCTIKNFGGTVSIIPVGEAKTYVNGKHILEITVLRHGDRVILGGDHYFRFNHPVEVQKGKRPSGRDTPISEGPKDFEFAKNELLMAQRSQLEAEIKEAQLKAKEEMMQGIQIAKEMAQQELSSQKAAYESKIKALEAELREESQRKKMQEINNQKANHKIEELEKAKQHLEQEIYVNKKRLEMETLATKQALEDHSIRHARILEALETEKQKIAKEVQILQQNRNNRDKTFTVQTTWSSMKLSMMIQEANAISSKLKTYYVFGRHDISDKSSSDTSIRVRNLKLGISTFWSLEKFESKLAAMKELYESNGSNRGEDAFCDPEDEWEPDITDAPVSSLSRRRSRSLMKNRRISGCLHDIQVHPIKNLHSSHSSGLMDKSSTIYSNSAESFLPGICKELIGSSLDFFGQSYDEERTIADSLINSFLKIYNGLFAISKAHEEQDEESQDNLFSSDRAIQSLTIQTACAFEQLVVLMKHWLSDLLPCTNIARLEDELRQEVKKLGGYLQLFLQGCCLDISSMIKEAQKNAIQIVQQAVKYVGQLAVLKGSKLHFLENGNNKAASVQEEFMDAVCDGVGLGMKILLDSGLEKAKELQHELFRQCTKNEVTKEMKTNAMGLIRSLENIFAESKIKSFRRQVQEENFEYQDFKRMVNRAPEFLKLKHCLEKAIEIIISALKGCHSDINLLQTCVESIRNLASDFYSDFSVPSTSVGSYESRVTHIVHQELESLAKSLLFCFESEESPDLLKPWETYNQNTKEEHQQSKSSGIDGSKNKGVPKRVYELHGSSPAVSSEECTPSRIQWV
+>DECOY_sp|Q15058|KIF14_HUMAN Kinesin-like protein KIF14 OS=Homo sapiens OX=9606 GN=KIF14 PE=1 SV=1
+VWQIRSPTCEESSVAPSSGHLEYVRKPVGKNKSGDIGSSKSQQHEEKTNQNYTEWPKLLDPSEESEFCFLLSKALSELEQHVIHTVRSEYSGVSTSPVSFDSYFDSALNRISEVCTQLLNIDSHCGKLASIIIEIAKELCHKLKLFEPARNVMRKFDQYEFNEEQVQRRFSKIKSEAFINELSRILGMANTKMEKTVENKTCQRFLEHQLEKAKELGSDLLIKMGLGVGDCVADMFEEQVSAAKNNGNELFHLKSGKLVALQGVYKVAQQVIQIANKQAEKIMSSIDLCCGQLFLQLYGGLKKVEQRLEDELRAINTCPLLDSLWHKMLVVLQEFACATQITLSQIARDSSFLNDQSEEDQEEHAKSIAFLGNYIKLFSNILSDAITREEDYSQGFFDLSSGILEKCIGPLFSEASNSYITSSKDMLGSSHSSHLNKIPHVQIDHLCGSIRRNKMLSRSRRRSLSSVPADTIDPEWEDEPDCFADEGRNSGNSEYLEKMAALKSEFKELSWFTSIGLKLNRVRISTDSSSKDSIDHRGFVYYTKLKSSIANAEQIMMSLKMSSWTTQVTFTKDRNNRNQQLIQVEKAIKQKETELAELIRAHRISHDELAQKTALTEMELRKKNVYIEQELHQKAKELEEIKHNAKQNNIEQMKKRQSEERLEAELAKIKSEYAAKQSSLEQQAMEKAIQIGQMMEEKAKLQAEKIEAELQSRQAMLLENKAFEFDKPGESIPTDRGSPRKGKQVEVPHNFRFYHDGGLIVRDGHRLVTIELIHKGNVYTKAEGVPIISVTGGFNKITCHDDAILVGSLQIDHSSNPKYKGVTTTGEKIMYLLMESLQPDENLNVLNPLHNDMQFMIGAKQLEKTEQLKRKEAQEFKEKWVRQMEAMDREQQHLKMRLSTIEQRCLRYREPDINRSNRQAAKLKAIEAKLERILKANMDENVKAINVILRAQNAYRLTSLTEEINSAAPSITAIMATKSNGGLSEKLLWTLVSERYPIFVSRQNAQESLASIVKGLTLLSKNISVGEKLRDGNTHATSCRESGALDILNIRSTIRHDHEEGEVFETKTQTMVLTFVSHSRSSKDNMGTAATARQKNGLELWSQIDAYSSVINMSLAEVYPGYVPHERVRLPQKRQGNEDKCVLLDHIKENYVEFFSMEIHYSVEQTQKRAVQSFLDECFRPIIGPEESFGMMTYSKGSGTQGYAFLCTNFGEFARELLPAALKEYVTTQSAYHPHCEDFSWFSVDYIFNYVQKTDPHEVTIEKGSMFVVQSAKEIKERKTFPRVRVAVTVQSNEVKLPDKGASTNQVVTEEEPLITNEASREQKNALFSSKPRQKVQLNSMRNKLISPAPSKLQPLSCKTTLKHETTCKTPTRKELSGFKNSTKAIEKGISRHYLNGTGLVKIDLKSQTPKTTLHGSRVVETQSLSAIPPRNSSYKLAVNENARSPASFTEKYKKDAMMEIVQPDEDLPVSSAVFSNKANNVIRVNTRSEKSVGNNETEGGVNLTMKVSDIEATKWKEASDTKARRQLTLRTEATKETTDELESVLLSSEKNRTTRRQLALRGVPNPTLPMDATKRSASIVYTRNIDRVKGASRLLPDDNECESMDSKLHLKLRSSHTLANLSSSNQSSPIDLIDGSNNRNHTSHLSM
+>sp|Q2TAC6|KIF19_HUMAN Kinesin-like protein KIF19 OS=Homo sapiens OX=9606 GN=KIF19 PE=2 SV=2
+MKDSGDSKDQQLMVALRVRPISVAELEEGATLIAHKVDEQMVVLMDPMEDPDDILRAHRSREKSYLFDVAFDFTATQEMVYQATTKSLIEGVISGYNATVFAYGPTGCGKTYTMLGTDQEPGIYVQTLNDLFRAIEETSNDMEYEVSMSYLEIYNEMIRDLLNPSLGYLELREDSKGVIQVAGITEVSTINAKEIMQLLMKGNRQRTQEPTAANQTSSRSHAVLQVTVRQRSRVKNILQEVRQGRLFMIDLAGSERASQTQNRGQRMKEGAHINRSLLALGNCINALSDKGSNKYINYRDSKLTRLLKDSLGGNSRTVMIAHISPASSAFEESRNTLTYAGRAKNIKTRVKQNLLNVSYHIAQYTSIIADLRGEIQRLKRKIDEQTGRGQARGRQDRGDIRHIQAEVQLHSGQGEKAGMGQLREQLASAFQEQMDVRRRLLELENRAMEVQIDTSRHLLTIAGWKHEKSRRALKWREEQRKECYAKDDSEKDSDTGDDQPDILEPPEVAAARESIAALVDEQKQLRKQKLALEQRCRELRARGRRLEETLPRRIGSEEQREVLSLLCRVHELEVENTEMQSHALLRDGALRHRHEAVRRLEQHRSLCDEIIQGQRQIIDDYNLAVPQRLEELYEVYLRELEEGSLEQATIMDQVASRALQDSSLPKITPAGTSLTPDSDLESVKTLSSDAQHLQNSALPPLSTESEGHHVFKAGTGAWQAKSSSVPTPPPIQLGSLVTQEAPAQDSLGSWINSSPDSSENLSEIPLSHKERKEILTGTKCIWVKAARRRSRALGTEGRHLLAPATERSSLSLHSLSEGDDARPPGPLACKRPPSPTLQHAASEDNLSSSTGEAPSRAVGHHGDGPRPWLRGQKKSLGKKREESLEAKRRKRRSRSFEVTGQGLSHPKTHLLGPHQAERISDHRMPVCRHPAPGIRHLGKVTLPLAKVKLPPSQNTGPGDSSPLAVPPNPGGGSRRATRGPRLPHGTSTHGKDGCSRHN
+>DECOY_sp|Q2TAC6|KIF19_HUMAN Kinesin-like protein KIF19 OS=Homo sapiens OX=9606 GN=KIF19 PE=2 SV=2
+NHRSCGDKGHTSTGHPLRPGRTARRSGGGPNPPVALPSSDGPGTNQSPPLKVKALPLTVKGLHRIGPAPHRCVPMRHDSIREAQHPGLLHTKPHSLGQGTVEFSRSRRKRRKAELSEERKKGLSKKQGRLWPRPGDGHHGVARSPAEGTSSSLNDESAAHQLTPSPPRKCALPGPPRADDGESLSHLSLSSRETAPALLHRGETGLARSRRRAAKVWICKTGTLIEKREKHSLPIESLNESSDPSSNIWSGLSDQAPAEQTVLSGLQIPPPTPVSSSKAQWAGTGAKFVHHGESETSLPPLASNQLHQADSSLTKVSELDSDPTLSTGAPTIKPLSSDQLARSAVQDMITAQELSGEELERLYVEYLEELRQPVALNYDDIIQRQGQIIEDCLSRHQELRRVAEHRHRLAGDRLLAHSQMETNEVELEHVRCLLSLVERQEESGIRRPLTEELRRGRARLERCRQELALKQKRLQKQEDVLAAISERAAAVEPPELIDPQDDGTDSDKESDDKAYCEKRQEERWKLARRSKEHKWGAITLLHRSTDIQVEMARNELELLRRRVDMQEQFASALQERLQGMGAKEGQGSHLQVEAQIHRIDGRDQRGRAQGRGTQEDIKRKLRQIEGRLDAIISTYQAIHYSVNLLNQKVRTKINKARGAYTLTNRSEEFASSAPSIHAIMVTRSNGGLSDKLLRTLKSDRYNIYKNSGKDSLANICNGLALLSRNIHAGEKMRQGRNQTQSARESGALDIMFLRGQRVEQLINKVRSRQRVTVQLVAHSRSSTQNAATPEQTRQRNGKMLLQMIEKANITSVETIGAVQIVGKSDERLELYGLSPNLLDRIMENYIELYSMSVEYEMDNSTEEIARFLDNLTQVYIGPEQDTGLMTYTKGCGTPGYAFVTANYGSIVGEILSKTTAQYVMEQTATFDFAVDFLYSKERSRHARLIDDPDEMPDMLVVMQEDVKHAILTAGEELEAVSIPRVRLAVMLQQDKSDGSDKM
+>sp|Q86VH2|KIF27_HUMAN Kinesin-like protein KIF27 OS=Homo sapiens OX=9606 GN=KIF27 PE=2 SV=1
+MEEIPVKVAVRIRPLLCKEALHNHQVCVRVIPNSQQVIIGRDRVFTFDFVFGKNSTQDEVYNTCIKPLVLSLIEGYNATVFAYGQTGSGKTYTIGGGHIASVVEGQKGIIPRAIQEIFQSISEHPSIDFNVKVSYIEVYKEDLRDLLELETSMKDLHIREDEKGNTVIVGAKECHVESAGEVMSLLEMGNAARHTGTTQMNEHSSRSHAIFTISICQVHKNMEAAEDGSWYSPRHIVSKFHFVDLAGSERVTKTGNTGERFKESIQINSGLLALGNVISALGDPRRKSSHIPYRDAKITRLLKDSLGGSAKTVMITCVSPSSSNFDESLNSLKYANRARNIRNKPTVNFSPESDRIDEMEFEIKLLREALQSQQAGVSQTTQINREGSPDTNRIHSLEEQVAQLQGECLGYQCCVEEAFTFLVDLKDTVRLNEKQQHKLQEWFNMIQEVRKAVLTSFRGIGGTASLEEGPQHVTVLQLKRELKKCQCVLAADEVVFNQKELEVKELKNQVQMMVQENKGHAVSLKEAQKVNRLQNEKIIEQQLLVDQLSEELTKLNLSVTSSAKENCGDGPDARIPERRPYTVPFDTHLGHYIYIPSRQDSRKVHTSPPMYSLDRIFAGFRTRSQMLLGHIEEQDKVLHCQFSDNSDDEESEGQEKSGTRCRSRSWIQKPDSVCSLVELSDTQDETQKSDLENEDLKIDCLQESQELNLQKLKNSERILTEAKQKMRELTINIKMKEDLIKELIKTGNDAKSVSKQYSLKVTKLEHDAEQAKVELIETQKQLQELENKDLSDVAMKVKLQKEFRKKMDAAKLRVQVLQKKQQDSKKLASLSIQNEKRANELEQSVDHMKYQKIQLQRKLREENEKRKQLDAVIKRDQQKIKEIQLKTGQEEGLKPKAEDLDACNLKRRKGSFGSIDHLQKLDEQKKWLDEEVEKVLNQRQELEELEADLKKREAIVSKKEALLQEKSHLENKKLRSSQALNTDSLKISTRLNLLEQELSEKNVQLQTSTAEEKTKISEQVEVLQKEKDQLQKRRHNVDEKLKNGRVLSPEEEHVLFQLEEGIEALEAAIEYRNESIQNRQKSLRASFHNLSRGEANVLEKLACLSPVEIRTILFRYFNKVVNLREAERKQQLYNEEMKMKVLERDNMVRELESALDHLKLQCDRRLTLQQKEHEQKMQLLLHHFKEQDGEGIMETFKTYEDKIQQLEKDLYFYKKTSRDHKKKLKELVGEAIRRQLAPSEYQEAGDGVLKPEGGGMLSEELKWASRPESMKLSGREREMDSSASSLRTQPNPQKLWEDIPELPPIHSSLAPPSGHMLGNENKTETDDNQFTKSHSRLSSQIQVVGNVGRLHGVTPVKLCRKELRQISALELSLRRSSLGVGIGSMAADSIEVSRKPRDLKT
+>DECOY_sp|Q86VH2|KIF27_HUMAN Kinesin-like protein KIF27 OS=Homo sapiens OX=9606 GN=KIF27 PE=2 SV=1
+TKLDRPKRSVEISDAAMSGIGVGLSSRRLSLELASIQRLEKRCLKVPTVGHLRGVNGVVQIQSSLRSHSKTFQNDDTETKNENGLMHGSPPALSSHIPPLEPIDEWLKQPNPQTRLSSASSDMERERGSLKMSEPRSAWKLEESLMGGGEPKLVGDGAEQYESPALQRRIAEGVLEKLKKKHDRSTKKYFYLDKELQQIKDEYTKFTEMIGEGDQEKFHHLLLQMKQEHEKQQLTLRRDCQLKLHDLASELERVMNDRELVKMKMEENYLQQKREAERLNVVKNFYRFLITRIEVPSLCALKELVNAEGRSLNHFSARLSKQRNQISENRYEIAAELAEIGEELQFLVHEEEPSLVRGNKLKEDVNHRRKQLQDKEKQLVEVQESIKTKEEATSTQLQVNKESLEQELLNLRTSIKLSDTNLAQSSRLKKNELHSKEQLLAEKKSVIAERKKLDAELEELEQRQNLVKEVEEDLWKKQEDLKQLHDISGFSGKRRKLNCADLDEAKPKLGEEQGTKLQIEKIKQQDRKIVADLQKRKENEERLKRQLQIKQYKMHDVSQELENARKENQISLSALKKSDQQKKQLVQVRLKAADMKKRFEKQLKVKMAVDSLDKNELEQLQKQTEILEVKAQEADHELKTVKLSYQKSVSKADNGTKILEKILDEKMKINITLERMKQKAETLIRESNKLKQLNLEQSEQLCDIKLDENELDSKQTEDQTDSLEVLSCVSDPKQIWSRSRCRTGSKEQGESEEDDSNDSFQCHLVKDQEEIHGLLMQSRTRFGAFIRDLSYMPPSTHVKRSDQRSPIYIYHGLHTDFPVTYPRREPIRADPGDGCNEKASSTVSLNLKTLEESLQDVLLQQEIIKENQLRNVKQAEKLSVAHGKNEQVMMQVQNKLEKVELEKQNFVVEDAALVCQCKKLERKLQLVTVHQPGEELSATGGIGRFSTLVAKRVEQIMNFWEQLKHQQKENLRVTDKLDVLFTFAEEVCCQYGLCEGQLQAVQEELSHIRNTDPSGERNIQTTQSVGAQQSQLAERLLKIEFEMEDIRDSEPSFNVTPKNRINRARNAYKLSNLSEDFNSSSPSVCTIMVTKASGGLSDKLLRTIKADRYPIHSSKRRPDGLASIVNGLALLGSNIQISEKFREGTNGTKTVRESGALDVFHFKSVIHRPSYWSGDEAAEMNKHVQCISITFIAHSRSSHENMQTTGTHRAANGMELLSMVEGASEVHCEKAGVIVTNGKEDERIHLDKMSTELELLDRLDEKYVEIYSVKVNFDISPHESISQFIEQIARPIIGKQGEVVSAIHGGGITYTKGSGTQGYAFVTANYGEILSLVLPKICTNYVEDQTSNKGFVFDFTFVRDRGIIVQQSNPIVRVCVQHNHLAEKCLLPRIRVAVKVPIEEM
+>sp|Q8N4N8|KIF2B_HUMAN Kinesin-like protein KIF2B OS=Homo sapiens OX=9606 GN=KIF2B PE=1 SV=3
+MASQFCLPESPCLSPLKPLKPHFGDIQEGIYVAIQRSDKRIHLAVVTEINRENYWVTVEWVEKAVKKGKKIDLETILLLNPALDSAEHPMPPPPLSPLALAPSSAIRDQRTATKWVAMIPQKNQTASGDSLDVRVPSKPCLMKQKKSPCLWEIQKLQEQREKRRRLQQEIRARRALDVNTRNPNYEIMHMIEEYRRHLDSSKISVLEPPQEHRICVCVRKRPLNQRETTLKDLDIITVPSDNVVMVHESKQKVDLTRYLQNQTFCFDHAFDDKASNELVYQFTAQPLVESIFRKGMATCFAYGQTGSGKTYTMGGDFSGTAQDCSKGIYALVAQDVFLLLRNSTYEKLDLKVYGTFFEIYGGKVYDLLNWKKKLQVLEDGNQQIQVVGLQEKEVCCVEEVLNLVEIGNSCRTSRQTPVNAHSSRSHAVFQIILKSGRIMHGKFSLVDLAGNERGADTTKASRKRQLEGAEINKSLLALKECILALGQNKPHTPFRASKLTLVLRDSFIGQNSSTCMIATISPGMTSCENTLNTLRYANRVKKLNVDVRPYHRGHYPIGHEAPRMLKSHIGNSEMSLQRDEFIKIPYVQSEEQKEIEEVETLPTLLGKDTTISGKGSSQWLENIQERAGGVHHDIDFCIARSLSILEQKIDALTEIQKKLKLLLADLHVKSKVE
+>DECOY_sp|Q8N4N8|KIF2B_HUMAN Kinesin-like protein KIF2B OS=Homo sapiens OX=9606 GN=KIF2B PE=1 SV=3
+EVKSKVHLDALLLKLKKQIETLADIKQELISLSRAICFDIDHHVGGAREQINELWQSSGKGSITTDKGLLTPLTEVEEIEKQEESQVYPIKIFEDRQLSMESNGIHSKLMRPAEHGIPYHGRHYPRVDVNLKKVRNAYRLTNLTNECSTMGPSITAIMCTSSNQGIFSDRLVLTLKSARFPTHPKNQGLALICEKLALLSKNIEAGELQRKRSAKTTDAGRENGALDVLSFKGHMIRGSKLIIQFVAHSRSSHANVPTQRSTRCSNGIEVLNLVEEVCCVEKEQLGVVQIQQNGDELVQLKKKWNLLDYVKGGYIEFFTGYVKLDLKEYTSNRLLLFVDQAVLAYIGKSCDQATGSFDGGMTYTKGSGTQGYAFCTAMGKRFISEVLPQATFQYVLENSAKDDFAHDFCFTQNQLYRTLDVKQKSEHVMVVNDSPVTIIDLDKLTTERQNLPRKRVCVCIRHEQPPELVSIKSSDLHRRYEEIMHMIEYNPNRTNVDLARRARIEQQLRRRKERQEQLKQIEWLCPSKKQKMLCPKSPVRVDLSDGSATQNKQPIMAVWKTATRQDRIASSPALALPSLPPPPMPHEASDLAPNLLLITELDIKKGKKVAKEVWEVTVWYNERNIETVVALHIRKDSRQIAVYIGEQIDGFHPKLPKLPSLCPSEPLCFQSAM
+>sp|Q9Y496|KIF3A_HUMAN Kinesin-like protein KIF3A OS=Homo sapiens OX=9606 GN=KIF3A PE=1 SV=4
+MPINKSEKPESCDNVKVVVRCRPLNEREKSMCYKQAVSVDEMRGTITVHKTDSSNEPPKTFTFDTVFGPESKQLDVYNLTARPIIDSVLEGYNGTIFAYGQTGTGKTFTMEGVRAIPELRGIIPNSFAHIFGHIAKAEGDTRFLVRVSYLEIYNEEVRDLLGKDQTQRLEVKERPDVGVYIKDLSAYVVNNADDMDRIMTLGHKNRSVGATNMNEHSSRSHAIFTITIECSEKGIDGNMHVRMGKLHLVDLAGSERQAKTGATGQRLKEATKINLSLSTLGNVISALVDGKSTHVPYRNSKLTRLLQDSLGGNSKTMMCANIGPADYNYDETISTLRYANRAKNIKNKARINEDPKDALLRQFQKEIEELKKKLEEGEEISGSDISGSEEDDDEEGEVGEDGEKRKKRRGKKKVSPDKMIEMQAKIDEERKALETKLDMEEEERNKARAELEKREKDLLKAQQEHQSLLEKLSALEKKVIVGGVDLLAKAEEQEKLLEESNMELEERRKRAEQLRRELEEKEQERLDIEEKYTSLQEEAQGKTKKLKKVWTMLMAAKSEMADLQQEHQREIEGLLENIRQLSRELRLQMLIIDNFIPRDYQEMIENYVHWNEDIGEWQLKCVAYTGNNMRKQTPVPDKKEKDPFEVDLSHVYLAYTEESLRQSLMKLERPRTSKGKARPKTGRRKRSAKPETVIDSLLQ
+>DECOY_sp|Q9Y496|KIF3A_HUMAN Kinesin-like protein KIF3A OS=Homo sapiens OX=9606 GN=KIF3A PE=1 SV=4
+QLLSDIVTEPKASRKRRGTKPRAKGKSTRPRELKMLSQRLSEETYALYVHSLDVEFPDKEKKDPVPTQKRMNNGTYAVCKLQWEGIDENWHVYNEIMEQYDRPIFNDIILMQLRLERSLQRINELLGEIERQHEQQLDAMESKAAMLMTWVKKLKKTKGQAEEQLSTYKEEIDLREQEKEELERRLQEARKRREELEMNSEELLKEQEEAKALLDVGGVIVKKELASLKELLSQHEQQAKLLDKERKELEARAKNREEEEMDLKTELAKREEDIKAQMEIMKDPSVKKKGRRKKRKEGDEGVEGEEDDDEESGSIDSGSIEEGEELKKKLEEIEKQFQRLLADKPDENIRAKNKINKARNAYRLTSITEDYNYDAPGINACMMTKSNGGLSDQLLRTLKSNRYPVHTSKGDVLASIVNGLTSLSLNIKTAEKLRQGTAGTKAQRESGALDVLHLKGMRVHMNGDIGKESCEITITFIAHSRSSHENMNTAGVSRNKHGLTMIRDMDDANNVVYASLDKIYVGVDPREKVELRQTQDKGLLDRVEENYIELYSVRVLFRTDGEAKAIHGFIHAFSNPIIGRLEPIARVGEMTFTKGTGTQGYAFITGNYGELVSDIIPRATLNYVDLQKSEPGFVTDFTFTKPPENSSDTKHVTITGRMEDVSVAQKYCMSKERENLPRCRVVVKVNDCSEPKESKNIPM
+>sp|O15066|KIF3B_HUMAN Kinesin-like protein KIF3B OS=Homo sapiens OX=9606 GN=KIF3B PE=1 SV=1
+MSKLKSSESVRVVVRCRPMNGKEKAASYDKVVDVDVKLGQVSVKNPKGTAHEMPKTFTFDAVYDWNAKQFELYDETFRPLVDSVLQGFNGTIFAYGQTGTGKTYTMEGIRGDPEKRGVIPNSFDHIFTHISRSQNQQYLVRASYLEIYQEEIRDLLSKDQTKRLELKERPDTGVYVKDLSSFVTKSVKEIEHVMNVGNQNRSVGATNMNEHSSRSHAIFVITIECSEVGLDGENHIRVGKLNLVDLAGSERQAKTGAQGERLKEATKINLSLSALGNVISALVDGKSTHIPYRDSKLTRLLQDSLGGNAKTVMVANVGPASYNVEETLTTLRYANRAKNIKNKPRVNEDPKDALLREFQEEIARLKAQLEKRSIGRRKRREKRREGGGSGGGGEEEEEEGEEGEEEGDDKDDYWREQQEKLEIEKRAIVEDHSLVAEEKMRLLKEKEKKMEDLRREKDAAEMLGAKIKAMESKLLVGGKNIVDHTNEQQKILEQKRQEIAEQKRREREIQQQMESRDEETLELKETYSSLQQEVDIKTKKLKKLFSKLQAVKAEIHDLQEEHIKERQELEQTQNELTRELKLKHLIIENFIPLEEKSKIMNRAFFDEEEDHWKLHPITRLENQQMMKRPVSAVGYKRPLSQHARMSMMIRPEARYRAENIVLLELDMPSRTTRDYEGPAIAPKVQAALDAALQDEDEIQVDASSFESTANKKSKARPKSGRKSGSSSSSSGTPASQLYPQSRGLVPK
+>DECOY_sp|O15066|KIF3B_HUMAN Kinesin-like protein KIF3B OS=Homo sapiens OX=9606 GN=KIF3B PE=1 SV=1
+KPVLGRSQPYLQSAPTGSSSSSSGSKRGSKPRAKSKKNATSEFSSADVQIEDEDQLAADLAAQVKPAIAPGEYDRTTRSPMDLELLVINEARYRAEPRIMMSMRAHQSLPRKYGVASVPRKMMQQNELRTIPHLKWHDEEEDFFARNMIKSKEELPIFNEIILHKLKLERTLENQTQELEQREKIHEEQLDHIEAKVAQLKSFLKKLKKTKIDVEQQLSSYTEKLELTEEDRSEMQQQIERERRKQEAIEQRKQELIKQQENTHDVINKGGVLLKSEMAKIKAGLMEAADKERRLDEMKKEKEKLLRMKEEAVLSHDEVIARKEIELKEQQERWYDDKDDGEEEGEEGEEEEEEGGGGSGGGERRKERRKRRGISRKELQAKLRAIEEQFERLLADKPDENVRPKNKINKARNAYRLTTLTEEVNYSAPGVNAVMVTKANGGLSDQLLRTLKSDRYPIHTSKGDVLASIVNGLASLSLNIKTAEKLREGQAGTKAQRESGALDVLNLKGVRIHNEGDLGVESCEITIVFIAHSRSSHENMNTAGVSRNQNGVNMVHEIEKVSKTVFSSLDKVYVGTDPREKLELRKTQDKSLLDRIEEQYIELYSARVLYQQNQSRSIHTFIHDFSNPIVGRKEPDGRIGEMTYTKGTGTQGYAFITGNFGQLVSDVLPRFTEDYLEFQKANWDYVADFTFTKPMEHATGKPNKVSVQGLKVDVDVVKDYSAAKEKGNMPRCRVVVRVSESSKLKSM
+>sp|O95239|KIF4A_HUMAN Chromosome-associated kinesin KIF4A OS=Homo sapiens OX=9606 GN=KIF4A PE=1 SV=3
+MKEEVKGIPVRVALRCRPLVPKEISEGCQMCLSFVPGEPQVVVGTDKSFTYDFVFDPSTEQEEVFNTAVAPLIKGVFKGYNATVLAYGQTGSGKTYSMGGAYTAEQENEPTVGVIPRVIQLLFKEIDKKSDFEFTLKVSYLEIYNEEILDLLCPSREKAQINIREDPKEGIKIVGLTEKTVLVALDTVSCLEQGNNSRTVASTAMNSQSSRSHAIFTISLEQRKKSDKNSSFRSKLHLVDLAGSERQKKTKAEGDRLKEGININRGLLCLGNVISALGDDKKGGFVPYRDSKLTRLLQDSLGGNSHTLMIACVSPADSNLEETLNTLRYADRARKIKNKPIVNIDPQTAELNHLKQQVQQLQVLLLQAHGGTLPGSITVEPSENLQSLMEKNQSLVEENEKLSRGLSEAAGQTAQMLERIILTEQANEKMNAKLEELRQHAACKLDLQKLVETLEDQELKENVEIICNLQQLITQLSDETVACMAAAIDTAVEQEAQVETSPETSRSSDAFTTQHALRQAQMSKELVELNKALALKEALARKMTQNDSQLQPIQYQYQDNIKELELEVINLQKEKEELVLELQTAKKDANQAKLSERRRKRLQELEGQIADLKKKLNEQSKLLKLKESTERTVSKLNQEIRMMKNQRVQLMRQMKEDAEKFRQWKQKKDKEVIQLKERDRKRQYELLKLERNFQKQSNVLRRKTEEAAAANKRLKDALQKQREVADKRKETQSRGMEGTAARVKNWLGNEIEVMVSTEEAKRHLNDLLEDRKILAQDVAQLKEKKESGENPPPKLRRRTFSLTEVRGQVSESEDSITKQIESLETEMEFRSAQIADLQQKLLDAESEDRPKQRWENIATILEAKCALKYLIGELVSSKIQVSKLESSLKQSKTSCADMQKMLFEERNHFAEIETELQAELVRMEQQHQEKVLYLLSQLQQSQMAEKQLEESVSEKEQQLLSTLKCQDEELEKMREVCEQNQQLLRENEIIKQKLTLLQVASRQKHLPKDTLLSPDSSFEYVPPKPKPSRVKEKFLEQSMDIEDLKYCSEHSVNEHEDGDGDDDEGDDEEWKPTKLVKVSRKNIQGCSCKGWCGNKQCGCRKQKSDCGVDCCCDPTKCRNRQQGKDSLGTVERTQDSEGSFKLEDPTEVTPGLSFFNPVCATPNSKILKEMCDVEQVLSKKTPPAPSPFDLPELKHVATEYQENKAPGKKKKRALASNTSFFSGCSPIEEEAH
+>DECOY_sp|O95239|KIF4A_HUMAN Chromosome-associated kinesin KIF4A OS=Homo sapiens OX=9606 GN=KIF4A PE=1 SV=3
+HAEEEIPSCGSFFSTNSALARKKKKGPAKNEQYETAVHKLEPLDFPSPAPPTKKSLVQEVDCMEKLIKSNPTACVPNFFSLGPTVETPDELKFSGESDQTREVTGLSDKGQQRNRCKTPDCCCDVGCDSKQKRCGCQKNGCWGKCSCGQINKRSVKVLKTPKWEEDDGEDDDGDGDEHENVSHESCYKLDEIDMSQELFKEKVRSPKPKPPVYEFSSDPSLLTDKPLHKQRSAVQLLTLKQKIIENERLLQQNQECVERMKELEEDQCKLTSLLQQEKESVSEELQKEAMQSQQLQSLLYLVKEQHQQEMRVLEAQLETEIEAFHNREEFLMKQMDACSTKSQKLSSELKSVQIKSSVLEGILYKLACKAELITAINEWRQKPRDESEADLLKQQLDAIQASRFEMETELSEIQKTISDESESVQGRVETLSFTRRRLKPPPNEGSEKKEKLQAVDQALIKRDELLDNLHRKAEETSVMVEIENGLWNKVRAATGEMGRSQTEKRKDAVERQKQLADKLRKNAAAAEETKRRLVNSQKQFNRELKLLEYQRKRDREKLQIVEKDKKQKWQRFKEADEKMQRMLQVRQNKMMRIEQNLKSVTRETSEKLKLLKSQENLKKKLDAIQGELEQLRKRRRESLKAQNADKKATQLELVLEEKEKQLNIVELELEKINDQYQYQIPQLQSDNQTMKRALAEKLALAKNLEVLEKSMQAQRLAHQTTFADSSRSTEPSTEVQAEQEVATDIAAAMCAVTEDSLQTILQQLNCIIEVNEKLEQDELTEVLKQLDLKCAAHQRLEELKANMKENAQETLIIRELMQATQGAAESLGRSLKENEEVLSQNKEMLSQLNESPEVTISGPLTGGHAQLLLVQLQQVQQKLHNLEATQPDINVIPKNKIKRARDAYRLTNLTEELNSDAPSVCAIMLTHSNGGLSDQLLRTLKSDRYPVFGGKKDDGLASIVNGLCLLGRNINIGEKLRDGEAKTKKQRESGALDVLHLKSRFSSNKDSKKRQELSITFIAHSRSSQSNMATSAVTRSNNGQELCSVTDLAVLVTKETLGVIKIGEKPDERINIQAKERSPCLLDLIEENYIELYSVKLTFEFDSKKDIEKFLLQIVRPIVGVTPENEQEATYAGGMSYTKGSGTQGYALVTANYGKFVGKILPAVATNFVEEQETSPDFVFDYTFSKDTGVVVQPEGPVFSLCMQCGESIEKPVLPRCRLAVRVPIGKVEEKM
+>sp|Q9BW19|KIFC1_HUMAN Kinesin-like protein KIFC1 OS=Homo sapiens OX=9606 GN=KIFC1 PE=1 SV=2
+MDPQRSPLLEVKGNIELKRPLIKAPSQLPLSGSRLKRRPDQMEDGLEPEKKRTRGLGATTKITTSHPRVPSLTTVPQTQGQTTAQKVSKKTGPRCSTAIATGLKNQKPVPAVPVQKSGTSGVPPMAGGKKPSKRPAWDLKGQLCDLNAELKRCRERTQTLDQENQQLQDQLRDAQQQVKALGTERTTLEGHLAKVQAQAEQGQQELKNLRACVLELEERLSTQEGLVQELQKKQVELQEERRGLMSQLEEKERRLQTSEAALSSSQAEVASLRQETVAQAALLTEREERLHGLEMERRRLHNQLQELKGNIRVFCRVRPVLPGEPTPPPGLLLFPSGPGGPSDPPTRLSLSRSDERRGTLSGAPAPPTRHDFSFDRVFPPGSGQDEVFEEIAMLVQSALDGYPVCIFAYGQTGSGKTFTMEGGPGGDPQLEGLIPRALRHLFSVAQELSGQGWTYSFVASYVEIYNETVRDLLATGTRKGQGGECEIRRAGPGSEELTVTNARYVPVSCEKEVDALLHLARQNRAVARTAQNERSSRSHSVFQLQISGEHSSRGLQCGAPLSLVDLAGSERLDPGLALGPGERERLRETQAINSSLSTLGLVIMALSNKESHVPYRNSKLTYLLQNSLGGSAKMLMFVNISPLEENVSESLNSLRFASKVNQCVIGTAQANRK
+>DECOY_sp|Q9BW19|KIFC1_HUMAN Kinesin-like protein KIFC1 OS=Homo sapiens OX=9606 GN=KIFC1 PE=1 SV=2
+KRNAQATGIVCQNVKSAFRLSNLSESVNEELPSINVFMLMKASGGLSNQLLYTLKSNRYPVHSEKNSLAMIVLGLTSLSSNIAQTERLREREGPGLALGPDLRESGALDVLSLPAGCQLGRSSHEGSIQLQFVSHSRSSRENQATRAVARNQRALHLLADVEKECSVPVYRANTVTLEESGPGARRIECEGGQGKRTGTALLDRVTENYIEVYSAVFSYTWGQGSLEQAVSFLHRLARPILGELQPDGGPGGEMTFTKGSGTQGYAFICVPYGDLASQVLMAIEEFVEDQGSGPPFVRDFSFDHRTPPAPAGSLTGRREDSRSLSLRTPPDSPGGPGSPFLLLGPPPTPEGPLVPRVRCFVRINGKLEQLQNHLRRREMELGHLREERETLLAAQAVTEQRLSAVEAQSSSLAAESTQLRREKEELQSMLGRREEQLEVQKKQLEQVLGEQTSLREELELVCARLNKLEQQGQEAQAQVKALHGELTTRETGLAKVQQQADRLQDQLQQNEQDLTQTRERCRKLEANLDCLQGKLDWAPRKSPKKGGAMPPVGSTGSKQVPVAPVPKQNKLGTAIATSCRPGTKKSVKQATTQGQTQPVTTLSPVRPHSTTIKTTAGLGRTRKKEPELGDEMQDPRRKLRSGSLPLQSPAKILPRKLEINGKVELLPSRQPDM
+>sp|O60870|KIN17_HUMAN DNA/RNA-binding protein KIN17 OS=Homo sapiens OX=9606 GN=KIN PE=1 SV=2
+MGKSDFLTPKAIANRIKSKGLQKLRWYCQMCQKQCRDENGFKCHCMSESHQRQLLLASENPQQFMDYFSEEFRNDFLELLRRRFGTKRVHNNIVYNEYISHREHIHMNATQWETLTDFTKWLGREGLCKVDETPKGWYIQYIDRDPETIRRQLELEKKKKQDLDDEEKTAKFIEEQVRRGLEGKEQEVPTFTELSRENDEEKVTFNLSKGACSSSGATSSKSSTLGPSALKTIGSSASVKRKESSQSSTQSKEKKKKKSALDEIMEIEEEKKRTARTDYWLQPEIIVKIITKKLGEKYHKKKAIVKEVIDKYTAVVKMIDSGDKLKLDQTHLETVIPAPGKRILVLNGGYRGNEGTLESINEKTFSATIVIETGPLKGRRVEGIQYEDISKLA
+>DECOY_sp|O60870|KIN17_HUMAN DNA/RNA-binding protein KIN17 OS=Homo sapiens OX=9606 GN=KIN PE=1 SV=2
+ALKSIDEYQIGEVRRGKLPGTEIVITASFTKENISELTGENGRYGGNLVLIRKGPAPIVTELHTQDLKLKDGSDIMKVVATYKDIVEKVIAKKKHYKEGLKKTIIKVIIEPQLWYDTRATRKKEEEIEMIEDLASKKKKKEKSQTSSQSSEKRKVSASSGITKLASPGLTSSKSSTAGSSSCAGKSLNFTVKEEDNERSLETFTPVEQEKGELGRRVQEEIFKATKEEDDLDQKKKKELELQRRITEPDRDIYQIYWGKPTEDVKCLGERGLWKTFDTLTEWQTANMHIHERHSIYENYVINNHVRKTGFRRRLLELFDNRFEESFYDMFQQPNESALLLQRQHSESMCHCKFGNEDRCQKQCMQCYWRLKQLGKSKIRNAIAKPTLFDSKGM
+>sp|Q96J84|KIRR1_HUMAN Kin of IRRE-like protein 1 OS=Homo sapiens OX=9606 GN=KIRREL1 PE=1 SV=2
+MLSLLVWILTLSDTFSQGTQTRFSQEPADQTVVAGQRAVLPCVLLNYSGIVQWTKDGLALGMGQGLKAWPRYRVVGSADAGQYNLEITDAELSDDASYECQATEAALRSRRAKLTVLIPPEDTRIDGGPVILLQAGTPHNLTCRAFNAKPAATIIWFRDGTQQEGAVASTELLKDGKRETTVSQLLINPTDLDIGRVFTCRSMNEAIPSGKETSIELDVHHPPTVTLSIEPQTVQEGERVVFTCQATANPEILGYRWAKGGFLIEDAHESRYETNVDYSFFTEPVSCEVHNKVGSTNVSTLVNVHFAPRIVVDPKPTTTDIGSDVTLTCVWVGNPPLTLTWTKKDSNMVLSNSNQLLLKSVTQADAGTYTCRAIVPRIGVAEREVPLYVNGPPIISSEAVQYAVRGDGGKVECFIGSTPPPDRIAWAWKENFLEVGTLERYTVERTNSGSGVLSTLTINNVMEADFQTHYNCTAWNSFGPGTAIIQLEEREVLPVGIIAGATIGASILLIFFFIALVFFLYRRRKGSRKDVTLRKLDIKVETVNREPLTMHSDREDDTASVSTATRVMKAIYSSFKDDVDLKQDLRCDTIDTREEYEMKDPTNGYYNVRAHEDRPSSRAVLYADYRAPGPARFDGRPSSRLSHSSGYAQLNTYSRGPASDYGPEPTPPGPAAPAGTDTTSQLSYENYEKFNSHPFPGAAGYPTYRLGYPQAPPSGLERTPYEAYDPIGKYATATRFSYTSQHSDYGQRFQQRMQTHV
+>DECOY_sp|Q96J84|KIRR1_HUMAN Kin of IRRE-like protein 1 OS=Homo sapiens OX=9606 GN=KIRREL1 PE=1 SV=2
+VHTQMRQQFRQGYDSHQSTYSFRTATAYKGIPDYAEYPTRELGSPPAQPYGLRYTPYGAAGPFPHSNFKEYNEYSLQSTTDTGAPAAPGPPTPEPGYDSAPGRSYTNLQAYGSSHSLRSSPRGDFRAPGPARYDAYLVARSSPRDEHARVNYYGNTPDKMEYEERTDITDCRLDQKLDVDDKFSSYIAKMVRTATSVSATDDERDSHMTLPERNVTEVKIDLKRLTVDKRSGKRRRYLFFVLAIFFFILLISAGITAGAIIGVPLVEREELQIIATGPGFSNWATCNYHTQFDAEMVNNITLTSLVGSGSNTREVTYRELTGVELFNEKWAWAIRDPPPTSGIFCEVKGGDGRVAYQVAESSIIPPGNVYLPVEREAVGIRPVIARCTYTGADAQTVSKLLLQNSNSLVMNSDKKTWTLTLPPNGVWVCTLTVDSGIDTTTPKPDVVIRPAFHVNVLTSVNTSGVKNHVECSVPETFFSYDVNTEYRSEHADEILFGGKAWRYGLIEPNATAQCTFVVREGEQVTQPEISLTVTPPHHVDLEISTEKGSPIAENMSRCTFVRGIDLDTPNILLQSVTTERKGDKLLETSAVAGEQQTGDRFWIITAAPKANFARCTLNHPTGAQLLIVPGGDIRTDEPPILVTLKARRSRLAAETAQCEYSADDSLEADTIELNYQGADASGVVRYRPWAKLGQGMGLALGDKTWQVIGSYNLLVCPLVARQGAVVTQDAPEQSFRTQTGQSFTDSLTLIWVLLSLM
+>sp|Q8IZU9|KIRR3_HUMAN Kin of IRRE-like protein 3 OS=Homo sapiens OX=9606 GN=KIRREL3 PE=1 SV=1
+MKPFQLDLLFVCFFLFSQELGLQKRGCCLVLGYMAKDKFRRMNEGQVYSFSQQPQDQVVVSGQPVTLLCAIPEYDGFVLWIKDGLALGVGRDLSSYPQYLVVGNHLSGEHHLKILRAELQDDAVYECQAIQAAIRSRPARLTVLVPPDDPVILGGPVISLRAGDPLNLTCHADNAKPAASIIWLRKGEVINGATYSKTLLRDGKRESIVSTLFISPGDVENGQSIVCRATNKAIPGGKETSVTIDIQHPPLVNLSVEPQPVLEDNVVTFHCSAKANPAVTQYRWAKRGQIIKEASGEVYRTTVDYTYFSEPVSCEVTNALGSTNLSRTVDVYFGPRMTTEPQSLLVDLGSDAIFSCAWTGNPSLTIVWMKRGSGVVLSNEKTLTLKSVRQEDAGKYVCRAVVPRVGAGEREVTLTVNGPPIISSTQTQHALHGEKGQIKCFIRSTPPPDRIAWSWKENVLESGTSGRYTVETISTEEGVISTLTISNIVRADFQTIYNCTAWNSFGSDTEIIRLKEQGSEMKSGAGLEAESVPMAVIIGVAVGAGVAFLVLMATIVAFCCARSQRNLKGVVSAKNDIRVEIVHKEPASGREGEEHSTIKQLMMDRGEFQQDSVLKQLEVLKEEEKEFQNLKDPTNGYYSVNTFKEHHSTPTISLSSCQPDLRPAGKQRVPTGMSFTNIYSTLSGQGRLYDYGQRFVLGMGSSSIELCEREFQRGSLSDSSSFLDTQCDSSVSSSGKQDGYVQFDKASKASASSSHHSQSSSQNSDPSRPLQRRMQTHV
+>DECOY_sp|Q8IZU9|KIRR3_HUMAN Kin of IRRE-like protein 3 OS=Homo sapiens OX=9606 GN=KIRREL3 PE=1 SV=1
+VHTQMRRQLPRSPDSNQSSSQSHHSSSASAKSAKDFQVYGDQKGSSSVSSDCQTDLFSSSDSLSGRQFERECLEISSSGMGLVFRQGYDYLRGQGSLTSYINTFSMGTPVRQKGAPRLDPQCSSLSITPTSHHEKFTNVSYYGNTPDKLNQFEKEEEKLVELQKLVSDQQFEGRDMMLQKITSHEEGERGSAPEKHVIEVRIDNKASVVGKLNRQSRACCFAVITAMLVLFAVGAGVAVGIIVAMPVSEAELGAGSKMESGQEKLRIIETDSGFSNWATCNYITQFDARVINSITLTSIVGEETSITEVTYRGSTGSELVNEKWSWAIRDPPPTSRIFCKIQGKEGHLAHQTQTSSIIPPGNVTLTVEREGAGVRPVVARCVYKGADEQRVSKLTLTKENSLVVGSGRKMWVITLSPNGTWACSFIADSGLDVLLSQPETTMRPGFYVDVTRSLNTSGLANTVECSVPESFYTYDVTTRYVEGSAEKIIQGRKAWRYQTVAPNAKASCHFTVVNDELVPQPEVSLNVLPPHQIDITVSTEKGGPIAKNTARCVISQGNEVDGPSIFLTSVISERKGDRLLTKSYTAGNIVEGKRLWIISAAPKANDAHCTLNLPDGARLSIVPGGLIVPDDPPVLVTLRAPRSRIAAQIAQCEYVADDQLEARLIKLHHEGSLHNGVVLYQPYSSLDRGVGLALGDKIWLVFGDYEPIACLLTVPQGSVVVQDQPQQSFSYVQGENMRRFKDKAMYGLVLCCGRKQLGLEQSFLFFCVFLLDLQFPKM
+>sp|Q969F8|KISSR_HUMAN KiSS-1 receptor OS=Homo sapiens OX=9606 GN=KISS1R PE=1 SV=2
+MHTVATSGPNASWGAPANASGCPGCGANASDGPVPSPRAVDAWLVPLFFAALMLLGLVGNSLVIYVICRHKPMRTVTNFYIANLAATDVTFLLCCVPFTALLYPLPGWVLGDFMCKFVNYIQQVSVQATCATLTAMSVDRWYVTVFPLRALHRRTPRLALAVSLSIWVGSAAVSAPVLALHRLSPGPRAYCSEAFPSRALERAFALYNLLALYLLPLLATCACYAAMLRHLGRVAVRPAPADSALQGQVLAERAGAVRAKVSRLVAAVVLLFAACWGPIQLFLVLQALGPAGSWHPRSYAAYALKTWAHCMSYSNSALNPLLYAFLGSHFRQAFRRVCPCAPRRPRRPRRPGPSDPAAPHAELLRLGSHPAPARAQKPGSSGLAARGLCVLGEDNAPL
+>DECOY_sp|Q969F8|KISSR_HUMAN KiSS-1 receptor OS=Homo sapiens OX=9606 GN=KISS1R PE=1 SV=2
+LPANDEGLVCLGRAALGSSGPKQARAPAPHSGLRLLEAHPAAPDSPGPRRPRRPRRPACPCVRRFAQRFHSGLFAYLLPNLASNSYSMCHAWTKLAYAAYSRPHWSGAPGLAQLVLFLQIPGWCAAFLLVVAAVLRSVKARVAGAREALVQGQLASDAPAPRVAVRGLHRLMAAYCACTALLPLLYLALLNYLAFARELARSPFAESCYARPGPSLRHLALVPASVAASGVWISLSVALALRPTRRHLARLPFVTVYWRDVSMATLTACTAQVSVQQIYNVFKCMFDGLVWGPLPYLLATFPVCCLLFTVDTAALNAIYFNTVTRMPKHRCIVYIVLSNGVLGLLMLAAFFLPVLWADVARPSPVPGDSANAGCGPCGSANAPAGWSANPGSTAVTHM
+>sp|Q9Y2Y9|KLF13_HUMAN Krueppel-like factor 13 OS=Homo sapiens OX=9606 GN=KLF13 PE=1 SV=1
+MAAAAYVDHFAAECLVSMSSRAVVHGPREGPESRPEGAAVAATPTLPRVEERRDGKDSASLFVVARILADLNQQAPAPAPAERREGAAARKARTPCRLPPPAPEPTSPGAEGAAAAPPSPAWSEPEPEAGLEPEREPGPAGSGEPGLRQRVRRGRSRADLESPQRKHKCHYAGCEKVYGKSSHLKAHLRTHTGERPFACSWQDCNKKFARSDELARHYRTHTGEKKFSCPICEKRFMRSDHLTKHARRHANFHPGMLQRRGGGSRTGSLSDYSRSDASSPTISPASSP
+>DECOY_sp|Q9Y2Y9|KLF13_HUMAN Krueppel-like factor 13 OS=Homo sapiens OX=9606 GN=KLF13 PE=1 SV=1
+PSSAPSITPSSADSRSYDSLSGTRSGGGRRQLMGPHFNAHRRAHKTLHDSRMFRKECIPCSFKKEGTHTRYHRALEDSRAFKKNCDQWSCAFPREGTHTRLHAKLHSSKGYVKECGAYHCKHKRQPSELDARSRGRRVRQRLGPEGSGAPGPEREPELGAEPEPESWAPSPPAAAAGEAGPSTPEPAPPPLRCPTRAKRAAAGERREAPAPAPAQQNLDALIRAVVFLSASDKGDRREEVRPLTPTAAVAAGEPRSEPGERPGHVVARSSMSVLCEAAFHDVYAAAAM
+>sp|Q9UIH9|KLF15_HUMAN Krueppel-like factor 15 OS=Homo sapiens OX=9606 GN=KLF15 PE=1 SV=1
+MVDHLLPVDENFSSPKCPVGYLGDRLVGRRAYHMLPSPVSEDDSDASSPCSCSSPDSQALCSCYGGGLGTESQDSILDFLLSQATLGSGGGSGSSIGASSGPVAWGPWRRAAAPVKGEHFCLPEFPLGDPDDVPRPFQPTLEEIEEFLEENMEPGVKEVPEGNSKDLDACSQLSAGPHKSHLHPGSSGRERCSPPPGGASAGGAQGPGGGPTPDGPIPVLLQIQPVPVKQESGTGPASPGQAPENVKVAQLLVNIQGQTFALVPQVVPSSNLNLPSKFVRIAPVPIAAKPVGSGPLGPGPAGLLMGQKFPKNPAAELIKMHKCTFPGCSKMYTKSSHLKAHLRRHTGEKPFACTWPGCGWRFSRSDELSRHRRSHSGVKPYQCPVCEKKFARSDHLSKHIKVHRFPRSSRSVRSVN
+>DECOY_sp|Q9UIH9|KLF15_HUMAN Krueppel-like factor 15 OS=Homo sapiens OX=9606 GN=KLF15 PE=1 SV=1
+NVSRVSRSSRPFRHVKIHKSLHDSRAFKKECVPCQYPKVGSHSRRHRSLEDSRSFRWGCGPWTCAFPKEGTHRRLHAKLHSSKTYMKSCGPFTCKHMKILEAAPNKPFKQGMLLGAPGPGLPGSGVPKAAIPVPAIRVFKSPLNLNSSPVVQPVLAFTQGQINVLLQAVKVNEPAQGPSAPGTGSEQKVPVPQIQLLVPIPGDPTPGGGPGQAGGASAGGPPPSCRERGSSGPHLHSKHPGASLQSCADLDKSNGEPVEKVGPEMNEELFEEIEELTPQFPRPVDDPDGLPFEPLCFHEGKVPAAARRWPGWAVPGSSAGISSGSGGGSGLTAQSLLFDLISDQSETGLGGGYCSCLAQSDPSSCSCPSSADSDDESVPSPLMHYARRGVLRDGLYGVPCKPSSFNEDVPLLHDVM
+>sp|Q9Y5W3|KLF2_HUMAN Krueppel-like factor 2 OS=Homo sapiens OX=9606 GN=KLF2 PE=1 SV=2
+MALSEPILPSFSTFASPCRERGLQERWPRAEPESGGTDDDLNSVLDFILSMGLDGLGAEAAPEPPPPPPPPAFYYPEPGAPPPYSAPAGGLVSELLRPELDAPLGPALHGRFLLAPPGRLVKAEPPEADGGGGYGCAPGLTRGPRGLKREGAPGPAASCMRGPGGRPPPPPDTPPLSPDGPARLPAPGPRASFPPPFGGPGFGAPGPGLHYAPPAPPAFGLFDDAAAAAAALGLAPPAARGLLTPPASPLELLEAKPKRGRRSWPRKRTATHTCSYAGCGKTYTKSSHLKAHLRTHTGEKPYHCNWDGCGWKFARSDELTRHYRKHTGHRPFQCHLCDRAFSRSDHLALHMKRHM
+>DECOY_sp|Q9Y5W3|KLF2_HUMAN Krueppel-like factor 2 OS=Homo sapiens OX=9606 GN=KLF2 PE=1 SV=2
+MHRKMHLALHDSRSFARDCLHCQFPRHGTHKRYHRTLEDSRAFKWGCGDWNCHYPKEGTHTRLHAKLHSSKTYTKGCGAYSCTHTATRKRPWSRRGRKPKAELLELPSAPPTLLGRAAPPALGLAAAAAAADDFLGFAPPAPPAYHLGPGPAGFGPGGFPPPFSARPGPAPLRAPGDPSLPPTDPPPPPRGGPGRMCSAAPGPAGERKLGRPGRTLGPACGYGGGGDAEPPEAKVLRGPPALLFRGHLAPGLPADLEPRLLESVLGGAPASYPPPAGPEPYYFAPPPPPPPPEPAAEAGLGDLGMSLIFDLVSNLDDDTGGSEPEARPWREQLGRERCPSAFTSFSPLIPESLAM
+>sp|O75840|KLF7_HUMAN Krueppel-like factor 7 OS=Homo sapiens OX=9606 GN=KLF7 PE=2 SV=1
+MDVLASYSIFQELQLVHDTGYFSALPSLEETWQQTCLELERYLQTEPRRISETFGEDLDCFLHASPPPCIEESFRRLDPLLLPVEAAICEKSSAVDILLSRDKLLSETCLSLQPASSSLDSYTAVNQAQLNAVTSLTPPSSPELSRHLVKTSQTLSAVDGTVTLKLVAKKAALSSVKVGGVATAAAAVTAAGAVKSGQSDSDQGGLGAEACPENKKRVHRCQFNGCRKVYTKSSHLKAHQRTHTGEKPYKCSWEGCEWRFARSDELTRHYRKHTGAKPFKCNHCDRCFSRSDHLALHMKRHI
+>DECOY_sp|O75840|KLF7_HUMAN Krueppel-like factor 7 OS=Homo sapiens OX=9606 GN=KLF7 PE=2 SV=1
+IHRKMHLALHDSRSFCRDCHNCKFPKAGTHKRYHRTLEDSRAFRWECGEWSCKYPKEGTHTRQHAKLHSSKTYVKRCGNFQCRHVRKKNEPCAEAGLGGQDSDSQGSKVAGAATVAAAATAVGGVKVSSLAAKKAVLKLTVTGDVASLTQSTKVLHRSLEPSSPPTLSTVANLQAQNVATYSDLSSSAPQLSLCTESLLKDRSLLIDVASSKECIAAEVPLLLPDLRRFSEEICPPPSAHLFCDLDEGFTESIRRPETQLYRELELCTQQWTEELSPLASFYGTDHVLQLEQFISYSALVDM
+>sp|Q9NQR1|KMT5A_HUMAN N-lysine methyltransferase KMT5A OS=Homo sapiens OX=9606 GN=KMT5A PE=1 SV=3
+MGEGGAAAALVAAAAAAAAAAAAVVAGQRRRRLGRRARCHGPGRAAGGKMSKPCAVEAAAAAVAATAPGPEMVERRGPGRPRTDGENVFTGQSKIYSYMSPNKCSGMRFPLQEENSVTHHEVKCQGKPLAGIYRKREEKRNAGNAVRSAMKSEEQKIKDARKGPLVPFPNQKSEAAEPPKTPPSSCDSTNAAIAKQALKKPIKGKQAPRKKAQGKTQQNRKLTDFYPVRRSSRKSKAELQSEERKRIDELIESGKEEGMKIDLIDGKGRGVIATKQFSRGDFVVEYHGDLIEITDAKKREALYAQDPSTGCYMYYFQYLSKTYCVDATRETNRLGRLINHSKCGNCQTKLHDIDGVPHLILIASRDIAAGEELLYDYGDRSKASIEAHPWLKH
+>DECOY_sp|Q9NQR1|KMT5A_HUMAN N-lysine methyltransferase KMT5A OS=Homo sapiens OX=9606 GN=KMT5A PE=1 SV=3
+HKLWPHAEISAKSRDGYDYLLEEGAAIDRSAILILHPVGDIDHLKTQCNGCKSHNILRGLRNTERTADVCYTKSLYQFYYMYCGTSPDQAYLAERKKADTIEILDGHYEVVFDGRSFQKTAIVGRGKGDILDIKMGEEKGSEILEDIRKREESQLEAKSKRSSRRVPYFDTLKRNQQTKGQAKKRPAQKGKIPKKLAQKAIAANTSDCSSPPTKPPEAAESKQNPFPVLPGKRADKIKQEESKMASRVANGANRKEERKRYIGALPKGQCKVEHHTVSNEEQLPFRMGSCKNPSMYSYIKSQGTFVNEGDTRPRGPGRREVMEPGPATAAVAAAAAEVACPKSMKGGAARGPGHCRARRGLRRRRQGAVVAAAAAAAAAAAAVLAAAAGGEGM
+>sp|Q8NG31|KNL1_HUMAN Kinetochore scaffold 1 OS=Homo sapiens OX=9606 GN=KNL1 PE=1 SV=3
+MDGVSSEANEENDNIERPVRRRHSSILKPPRSPLQDLRGGNERVQESNALRNKKNSRRVSFADTIKVFQTESHMKIVRKSEMEGCSAMVPSQLQLLPPGFKRFSCLSLPETETGENLLLIQNKKLEDNYCEITGMNTLLSAPIHTQMQQKEFSIIEHTRERKHANDQTVIFSDENQMDLTSSHTVMITKGLLDNPISEKSTKIDTTSFLANLKLHTEDSRMKKEVNFSVDQNTSSENKIDFNDFIKRLKTGKCSAFPDVPDKENFEIPIYSKEPNSASSTHQMHVSLKEDENNSNITRLFREKDDGMNFTQCHTANIQTLIPTSSETNSRESKGNDITIYGNDFMDLTFNHTLQILPATGNFSEIENQTQNAMDVTTGYGTKASGNKTVFKSKQNTAFQDLSINSADKIHITRSHIMGAETHIVSQTCNQDARILAMTPESIYSNPSIQGCKTVFYSSCNDAMEMTKCLSNMREEKNLLKHDSNYAKMYCNPDAMSSLTEKTIYSGEENMDITKSHTVAIDNQIFKQDQSNVQIAAAPTPEKEMMLQNLMTTSEDGKMNVNCNSVPHVSKERIQQSLSNPLSISLTDRKTELLSGENMDLTESHTSNLGSQVPLAAYNLAPESTSESHSQSKSSSDECEEITKSRNEPFQRSDIIAKNSLTDTWNKDKDWVLKILPYLDKDSPQSADCNQEIATSHNIVYCGGVLDKQITNRNTVSWEQSLFSTTKPLFSSGQFSMKNHDTAISSHTVKSVLGQNSKLAEPLRKSLSNPTPDYCHDKMIICSEEEQNMDLTKSHTVVIGFGPSELQELGKTNLEHTTGQLTTMNRQIAVKVEKCGKSPIEKSGVLKSNCIMDVLEDESVQKPKFPKEKQNVKIWGRKSVGGPKIDKTIVFSEDDKNDMDITKSYTIEINHRPLLEKRDCHLVPLAGTSETILYTCRQDDMEITRSHTTALECKTVSPDEITTRPMDKTVVFVDNHVELEMTESHTVFIDYQEKERTDRPNFELSQRKSLGTPTVICTPTEESVFFPGNGESDRLVANDSQLTPLEEWSNNRGPVEVADNMELSKSATCKNIKDVQSPGFLNEPLSSKSQRRKSLKLKNDKTIVFSENHKNDMDITQSCMVEIDNESALEDKEDFHLAGASKTILYSCGQDDMEITRSHTTALECKTLLPNEIAIRPMDKTVLFTDNYSDLEVTDSHTVFIDCQATEKILEENPKFGIGKGKNLGVSFPKDNSCVQEIAEKQALAVGNKIVLHTEQKQQLFAATNRTTNEIIKFHSAAMDEKVIGKVVDQACTLEKAQVESCQLNNRDRRNVDFTSSHATAVCGSSDNYSCLPNVISCTDNLEGSAMLLCDKDEEKANYCPVQNDLAYANDFASEYYLESEGQPLSAPCPLLEKEEVIQTSTKGQLDCVITLHKDQDLIKDPRNLLANQTLVYSQDLGEMTKLNSKRVSFKLPKDQMKVYVDDIYVIPQPHFSTDQPPLPKKGQSSINKEEVILSKAGNKSLNIIENSSAPICENKPKILNSEEWFAAACKKELKENIQTTNYNTALDFHSNSDVTKQVIQTHVNAGEAPDPVITSNVPCFHSIKPNLNNLNGKTGEFLAFQTVHLPPLPEQLLELGNKAHNDMHIVQATEIHNINIISSNAKDSRDEENKKSHNGAETTSLPPKTVFKDKVRRCSLGIFLPRLPNKRNCSVTGIDDLEQIPADTTDINHLETQPVSSKDSGIGSVAGKLNLSPSQYINEENLPVYPDEINSSDSINIETEEKALIETYQKEISPYENKMGKTCNSQKRTWVQEEEDIHKEKKIRKNEIKFSDTTQDREIFDHHTEEDIDKSANSVLIKNLSRTPSSCSSSLDSIKADGTSLDFSTYRSSQMESQFLRDTICEESLREKLQDGRITIREFFILLQVHILIQKPRQSNLPGNFTVNTPPTPEDLMLSQYVYRPKIQIYREDCEARRQKIEELKLSASNQDKLLVDINKNLWEKMRHCSDKELKAFGIYLNKIKSCFTKMTKVFTHQGKVALYGKLVQSAQNEREKLQIKIDEMDKILKKIDNCLTEMETETKNLEDEEKNNPVEEWDSEMRAAEKELEQLKTEEEELQRNLLELEVQKEQTLAQIDFMQKQRNRTEELLDQLSLSEWDVVEWSDDQAVFTFVYDTIQLTITFEESVVGFPFLDKRYRKIVDVNFQSLLDEDQAPPSSLLVHKLIFQYVEEKESWKKTCTTQHQLPKMLEEFSLVVHHCRLLGEEIEYLKRWGPNYNLMNIDINNNELRLLFSSSAAFAKFEITLFLSAYYPSVPLPSTIQNHVGNTSQDDIATILSKVPLENNYLKNVVKQIYQDLFQDCHFYH
+>DECOY_sp|Q8NG31|KNL1_HUMAN Kinetochore scaffold 1 OS=Homo sapiens OX=9606 GN=KNL1 PE=1 SV=3
+HYFHCDQFLDQYIQKVVNKLYNNELPVKSLITAIDDQSTNGVHNQITSPLPVSPYYASLFLTIEFKAFAASSSFLLRLENNNIDINMLNYNPGWRKLYEIEEGLLRCHHVVLSFEELMKPLQHQTTCTKKWSEKEEVYQFILKHVLLSSPPAQDEDLLSQFNVDVIKRYRKDLFPFGVVSEEFTITLQITDYVFTFVAQDDSWEVVDWESLSLQDLLEETRNRQKQMFDIQALTQEKQVELELLNRQLEEEETKLQELEKEAARMESDWEEVPNNKEEDELNKTETEMETLCNDIKKLIKDMEDIKIQLKERENQASQVLKGYLAVKGQHTFVKTMKTFCSKIKNLYIGFAKLEKDSCHRMKEWLNKNIDVLLKDQNSASLKLEEIKQRRAECDERYIQIKPRYVYQSLMLDEPTPPTNVTFNGPLNSQRPKQILIHVQLLIFFERITIRGDQLKERLSEECITDRLFQSEMQSSRYTSFDLSTGDAKISDLSSSCSSPTRSLNKILVSNASKDIDEETHHDFIERDQTTDSFKIENKRIKKEKHIDEEEQVWTRKQSNCTKGMKNEYPSIEKQYTEILAKEETEINISDSSNIEDPYVPLNEENIYQSPSLNLKGAVSGIGSDKSSVPQTELHNIDTTDAPIQELDDIGTVSCNRKNPLRPLFIGLSCRRVKDKFVTKPPLSTTEAGNHSKKNEEDRSDKANSSIININHIETAQVIHMDNHAKNGLELLQEPLPPLHVTQFALFEGTKGNLNNLNPKISHFCPVNSTIVPDPAEGANVHTQIVQKTVDSNSHFDLATNYNTTQINEKLEKKCAAAFWEESNLIKPKNECIPASSNEIINLSKNGAKSLIVEEKNISSQGKKPLPPQDTSFHPQPIVYIDDVYVKMQDKPLKFSVRKSNLKTMEGLDQSYVLTQNALLNRPDKILDQDKHLTIVCDLQGKTSTQIVEEKELLPCPASLPQGESELYYESAFDNAYALDNQVPCYNAKEEDKDCLLMASGELNDTCSIVNPLCSYNDSSGCVATAHSSTFDVNRRDRNNLQCSEVQAKELTCAQDVVKGIVKEDMAASHFKIIENTTRNTAAFLQQKQETHLVIKNGVALAQKEAIEQVCSNDKPFSVGLNKGKGIGFKPNEELIKETAQCDIFVTHSDTVELDSYNDTFLVTKDMPRIAIENPLLTKCELATTHSRTIEMDDQGCSYLITKSAGALHFDEKDELASENDIEVMCSQTIDMDNKHNESFVITKDNKLKLSKRRQSKSSLPENLFGPSQVDKINKCTASKSLEMNDAVEVPGRNNSWEELPTLQSDNAVLRDSEGNGPFFVSEETPTCIVTPTGLSKRQSLEFNPRDTREKEQYDIFVTHSETMELEVHNDVFVVTKDMPRTTIEDPSVTKCELATTHSRTIEMDDQRCTYLITESTGALPVLHCDRKELLPRHNIEITYSKTIDMDNKDDESFVITKDIKPGGVSKRGWIKVNQKEKPFKPKQVSEDELVDMICNSKLVGSKEIPSKGCKEVKVAIQRNMTTLQGTTHELNTKGLEQLESPGFGIVVTHSKTLDMNQEEESCIIMKDHCYDPTPNSLSKRLPEALKSNQGLVSKVTHSSIATDHNKMSFQGSSFLPKTTSFLSQEWSVTNRNTIQKDLVGGCYVINHSTAIEQNCDASQPSDKDLYPLIKLVWDKDKNWTDTLSNKAIIDSRQFPENRSKTIEECEDSSSKSQSHSESTSEPALNYAALPVQSGLNSTHSETLDMNEGSLLETKRDTLSISLPNSLSQQIREKSVHPVSNCNVNMKGDESTTMLNQLMMEKEPTPAAAIQVNSQDQKFIQNDIAVTHSKTIDMNEEGSYITKETLSSMADPNCYMKAYNSDHKLLNKEERMNSLCKTMEMADNCSSYFVTKCGQISPNSYISEPTMALIRADQNCTQSVIHTEAGMIHSRTIHIKDASNISLDQFATNQKSKFVTKNGSAKTGYGTTVDMANQTQNEIESFNGTAPLIQLTHNFTLDMFDNGYITIDNGKSERSNTESSTPILTQINATHCQTFNMGDDKERFLRTINSNNEDEKLSVHMQHTSSASNPEKSYIPIEFNEKDPVDPFASCKGTKLRKIFDNFDIKNESSTNQDVSFNVEKKMRSDETHLKLNALFSTTDIKTSKESIPNDLLGKTIMVTHSSTLDMQNEDSFIVTQDNAHKRERTHEIISFEKQQMQTHIPASLLTNMGTIECYNDELKKNQILLLNEGTETEPLSLCSFRKFGPPLLQLQSPVMASCGEMESKRVIKMHSETQFVKITDAFSVRRSNKKNRLANSEQVRENGGRLDQLPSRPPKLISSHRRRVPREINDNEENAESSVGDM
+>sp|Q14558|KPRA_HUMAN Phosphoribosyl pyrophosphate synthase-associated protein 1 OS=Homo sapiens OX=9606 GN=PRPSAP1 PE=1 SV=2
+MNAARTGYRVFSANSTAACTELAKRITERLGAELGKSVVYQETNGETRVEIKESVRGQDIFIIQTIPRDVNTAVMELLIMAYALKTACARNIIGVIPYFPYSKQSKMRKRGSIVCKLLASMLAKAGLTHIITMDLHQKEIQGFFSFPVDNLRASPFLLQYIQEEIPNYRNAVIVAKSPDAAKRAQSYAERLRLGLAVIHGEAQCTELDMDDGRHSPPMVKNATVHPGLELPLMMAKEKPPITVVGDVGGRIAIIVDDIIDDVESFVAAAEILKERGAYKIYVMATHGILSAEAPRLIEESSVDEVVVTNTVPHEVQKLQCPKIKTVDISLILSEAIRRIHNGESMAYLFRNITVDD
+>DECOY_sp|Q14558|KPRA_HUMAN Phosphoribosyl pyrophosphate synthase-associated protein 1 OS=Homo sapiens OX=9606 GN=PRPSAP1 PE=1 SV=2
+DDVTINRFLYAMSEGNHIRRIAESLILSIDVTKIKPCQLKQVEHPVTNTVVVEDVSSEEILRPAEASLIGHTAMVYIKYAGREKLIEAAAVFSEVDDIIDDVIIAIRGGVDGVVTIPPKEKAMMLPLELGPHVTANKVMPPSHRGDDMDLETCQAEGHIVALGLRLREAYSQARKAADPSKAVIVANRYNPIEEQIYQLLFPSARLNDVPFSFFGQIEKQHLDMTIIHTLGAKALMSALLKCVISGRKRMKSQKSYPFYPIVGIINRACATKLAYAMILLEMVATNVDRPITQIIFIDQGRVSEKIEVRTEGNTEQYVVSKGLEAGLRETIRKALETCAATSNASFVRYGTRAANM
+>sp|Q52LG2|KR132_HUMAN Keratin-associated protein 13-2 OS=Homo sapiens OX=9606 GN=KRTAP13-2 PE=1 SV=1
+MSYNCCSGNFSSRSCGDYLRYPASSRGFSYPSNLVYSTDLCSPSTCQLGSSLYRGCQEICWEPTSCQTSYVESSPCQTSCYRPRTSLLCSPCKTTYSGSLGFGSSSCRSLGYGSRSCYSVGCGSSGVRSLGYGSCGFPSLGYGSGFCRPTYLASRSCQSPCYRPAYGSTFCRSTC
+>DECOY_sp|Q52LG2|KR132_HUMAN Keratin-associated protein 13-2 OS=Homo sapiens OX=9606 GN=KRTAP13-2 PE=1 SV=1
+CTSRCFTSGYAPRYCPSQCSRSALYTPRCFGSGYGLSPFGCSGYGLSRVGSSGCGVSYCSRSGYGLSRCSSSGFGLSGSYTTKCPSCLLSTRPRYCSTQCPSSEVYSTQCSTPEWCIEQCGRYLSSGLQCTSPSCLDTSYVLNSPYSFGRSSAPYRLYDGCSRSSFNGSCCNYSM
+>sp|Q8IUB9|KR191_HUMAN Keratin-associated protein 19-1 OS=Homo sapiens OX=9606 GN=KRTAP19-1 PE=1 SV=2
+MSHYGSYYGGLGYSCGGFGGLGYGYGCGCGSFCRRGSGCGYGGYGYGSGFGSYGYGSGFGGYGYGSGFGGYGYGCCRPSYNGGYGFSGFY
+>DECOY_sp|Q8IUB9|KR191_HUMAN Keratin-associated protein 19-1 OS=Homo sapiens OX=9606 GN=KRTAP19-1 PE=1 SV=2
+YFGSFGYGGNYSPRCCGYGYGGFGSGYGYGGFGSGYGYSGFGSGYGYGGYGCGSGRRCFSGCGCGYGYGLGGFGGCSYGLGGYYSGYHSM
+>sp|Q3SYF9|KR197_HUMAN Keratin-associated protein 19-7 OS=Homo sapiens OX=9606 GN=KRTAP19-7 PE=1 SV=1
+MSYSGSYYGGLGYGCGGFGGLGYGYSCGCGSFRRLGYGCGYGGYRYSCCHPSCYGGYWSSGFY
+>DECOY_sp|Q3SYF9|KR197_HUMAN Keratin-associated protein 19-7 OS=Homo sapiens OX=9606 GN=KRTAP19-7 PE=1 SV=1
+YFGSSWYGGYCSPHCCSYRYGGYGCGYGLRRFSGCGCSYGYGLGGFGGCGYGLGGYYSGSYSM
+>sp|Q3LI62|KR204_HUMAN Putative keratin-associated protein 20-4 OS=Homo sapiens OX=9606 GN=KRTAP20-4 PE=3 SV=1
+MSYYSHLSGGLGCGLAVAVTMGRTVAVAEYGRCRHGCHSSYSAR
+>DECOY_sp|Q3LI62|KR204_HUMAN Putative keratin-associated protein 20-4 OS=Homo sapiens OX=9606 GN=KRTAP20-4 PE=3 SV=1
+RASYSSHCGHRCRGYEAVAVTRGMTVAVALGCGLGGSLHSYYSM
+>sp|Q3LHN0|KR251_HUMAN Keratin-associated protein 25-1 OS=Homo sapiens OX=9606 GN=KRTAP25-1 PE=3 SV=1
+MHNRSQGFFFSSCHPQNHVSYGCQSPSFIFCRCQSLNFVSRTCYPLSYFSYGNQTIGSISNSFRSLNYVSHSFQPISFMHSSFQPACSDFVGWQSPFLRRTC
+>DECOY_sp|Q3LHN0|KR251_HUMAN Keratin-associated protein 25-1 OS=Homo sapiens OX=9606 GN=KRTAP25-1 PE=3 SV=1
+CTRRLFPSQWGVFDSCAPQFSSHMFSIPQFSHSVYNLSRFSNSISGITQNGYSFYSLPYCTRSVFNLSQCRCFIFSPSQCGYSVHNQPHCSSFFFGQSRNHM
+>sp|Q9BQ66|KR412_HUMAN Keratin-associated protein 4-12 OS=Homo sapiens OX=9606 GN=KRTAP4-12 PE=1 SV=1
+MVNSCCGSVCSDQGCGLENCCRPSCCQTTCCRTTCCRPSCCVSSCCRPQCCQSVCCQPTCCRPSCCQTTCCRTTCCRPSCCVSSCCRPQCCQSVCCQPTCCRPSCCQTTCCRTTCCRPSCCVSSCCRPQCCQSVCCQPTCCRPSCCISSSCCPSCCESSCCRPCCCLRPVCGRVSCHTTCYRPTCVISTCPRPLCCASSCC
+>DECOY_sp|Q9BQ66|KR412_HUMAN Keratin-associated protein 4-12 OS=Homo sapiens OX=9606 GN=KRTAP4-12 PE=1 SV=1
+CCSSACCLPRPCTSIVCTPRYCTTHCSVRGCVPRLCCCPRCCSSECCSPCCSSSICCSPRCCTPQCCVSQCCQPRCCSSVCCSPRCCTTRCCTTQCCSPRCCTPQCCVSQCCQPRCCSSVCCSPRCCTTRCCTTQCCSPRCCTPQCCVSQCCQPRCCSSVCCSPRCCTTRCCTTQCCSPRCCNELGCGQDSCVSGCCSNVM
+>sp|Q07627|KRA11_HUMAN Keratin-associated protein 1-1 OS=Homo sapiens OX=9606 GN=KRTAP1-1 PE=1 SV=1
+MACCQTSFCGFPSCSTSGTCGSSCCQPSCCETSSCQPRCCETSCCQPSCCQTSFCGFPSFSTGGTCDSSCCQPSCCETSCCQPSCYQTSSCGTGCGIGGGIGYGQEGSSGAVSTRIRWCRPDCRVEGTCLPPCCVVSCTPPSCCQLHHAEASCCRPSYCGQSCCRPVCCCYCSEPTC
+>DECOY_sp|Q07627|KRA11_HUMAN Keratin-associated protein 1-1 OS=Homo sapiens OX=9606 GN=KRTAP1-1 PE=1 SV=1
+CTPESCYCCCVPRCCSQGCYSPRCCSAEAHHLQCCSPPTCSVVCCPPLCTGEVRCDPRCWRIRTSVAGSSGEQGYGIGGGIGCGTGCSSTQYCSPQCCSTECCSPQCCSSDCTGGTSFSPFGCFSTQCCSPQCCSTECCRPQCSSTECCSPQCCSSGCTGSTSCSPFGCFSTQCCAM
+>sp|Q9BYR6|KRA33_HUMAN Keratin-associated protein 3-3 OS=Homo sapiens OX=9606 GN=KRTAP3-3 PE=1 SV=1
+MDCCASRGCSVPTGPATTICSSDKSCRCGVCLPSTCPHTVWLLEPTCCDNCPPPCHIPQPCVPTCFLLNSCQPTPGLETLNLTTFTQPCCEPCLPRGC
+>DECOY_sp|Q9BYR6|KRA33_HUMAN Keratin-associated protein 3-3 OS=Homo sapiens OX=9606 GN=KRTAP3-3 PE=1 SV=1
+CGRPLCPECCPQTFTTLNLTELGPTPQCSNLLFCTPVCPQPIHCPPPCNDCCTPELLWVTHPCTSPLCVGCRCSKDSSCITTAPGTPVSCGRSACCDM
+>sp|Q9NPI7|KRCC1_HUMAN Lysine-rich coiled-coil protein 1 OS=Homo sapiens OX=9606 GN=KRCC1 PE=2 SV=1
+MKHSKKTYDSFQDELEDYIKVQKARGLEPKTCFRKMKGDYLETCGYKGEVNSRPTYRMFDQRLPSETIQTYPRSCNIPQTVENRLPQWLPAHDSRLRLDSLSYCQFTRDCFSEKPVPLNFNQQEYICGSHGVEHRVYKHFSSDNSTSTHQASHKQIHQKRKRHPEEGREKSEEERSKHKRKKSCEEIDLDKHKSIQRKKTEVEIETVHVSTEKLKNRKEKKSRDVVSKKEERKRTKKKKEQGQERTEEEMLWDQSILGF
+>DECOY_sp|Q9NPI7|KRCC1_HUMAN Lysine-rich coiled-coil protein 1 OS=Homo sapiens OX=9606 GN=KRCC1 PE=2 SV=1
+FGLISQDWLMEEETREQGQEKKKKTRKREEKKSVVDRSKKEKRNKLKETSVHVTEIEVETKKRQISKHKDLDIEECSKKRKHKSREEESKERGEEPHRKRKQHIQKHSAQHTSTSNDSSFHKYVRHEVGHSGCIYEQQNFNLPVPKESFCDRTFQCYSLSDLRLRSDHAPLWQPLRNEVTQPINCSRPYTQITESPLRQDFMRYTPRSNVEGKYGCTELYDGKMKRFCTKPELGRAKQVKIYDELEDQFSDYTKKSHKM
+>sp|Q13601|KRR1_HUMAN KRR1 small subunit processome component homolog OS=Homo sapiens OX=9606 GN=KRR1 PE=1 SV=4
+MASPSLERPEKGAGKSEFRNQKPKPENQDESELLTVPDGWKEPAFSKEDNPRGLLEESSFATLFPKYREAYLKECWPLVQKALNEHHVNATLDLIEGSMTVCTTKKTFDPYIIIRARDLIKLLARSVSFEQAVRILQDDVACDIIKIGSLVRNKERFVKRRQRLIGPKGSTLKALELLTNCYIMVQGNTVSAIGPFSGLKEVRKVVLDTMKNIHPIYNIKSLMIKRELAKDSELRSQSWERFLPQFKHKNVNKRKEPKKKTVKKEYTPFPPPQPESQIDKELASGEYFLKANQKKRQKMEAIKAKQAEAISKRQEERNKAFIPPKEKPIVKPKEASTETKIDVASIKEKVKKAKNKKLGALTAEEIALKMEADEKKKKKKK
+>DECOY_sp|Q13601|KRR1_HUMAN KRR1 small subunit processome component homolog OS=Homo sapiens OX=9606 GN=KRR1 PE=1 SV=4
+KKKKKKKEDAEMKLAIEEATLAGLKKNKAKKVKEKISAVDIKTETSAEKPKVIPKEKPPIFAKNREEQRKSIAEAQKAKIAEMKQRKKQNAKLFYEGSALEKDIQSEPQPPPFPTYEKKVTKKKPEKRKNVNKHKFQPLFREWSQSRLESDKALERKIMLSKINYIPHINKMTDLVVKRVEKLGSFPGIASVTNGQVMIYCNTLLELAKLTSGKPGILRQRRKVFREKNRVLSGIKIIDCAVDDQLIRVAQEFSVSRALLKILDRARIIIYPDFTKKTTCVTMSGEILDLTANVHHENLAKQVLPWCEKLYAERYKPFLTAFSSEELLGRPNDEKSFAPEKWGDPVTLLESEDQNEPKPKQNRFESKGAGKEPRELSPSAM
+>sp|O76011|KRT34_HUMAN Keratin, type I cuticular Ha4 OS=Homo sapiens OX=9606 GN=KRT34 PE=1 SV=2
+MLYAKPPPTINGIKGLQRKERLKPAHIHLQQLTCFSITCSSTMSYSCCLPSLGCRTSCSSRPCVPPSCHGYTLPGACNIPANVSNCNWFCEGSFNGSEKETMQFLNDRLASYLEKVRQLERDNAELEKLIQERSQQQEPLLCPSYQSYFKTIEELQQKILCAKAENARLVVNIDNAKLASDDFRSKYQTEQSLRLLVESDINSIRRILDELTLCKSDLESQVESLREELICLKKNHEEEVNTLRSQLGDRLNVEVDTAPTVDLNQVLNETRSQYEALVEINRREVEQWFATQTEELNKQVVSSSEQLQSCQAEIIELRRTVNALEIELQAQHNLRDSLENTLTESEAHYSSQLSQVQSLITNVESQLAEIRCDLERQNQEYQVLLDVRARLECEINTYRSLLESEDCKLPCNPCATTNASGNSCGPCGTSQKGCCN
+>DECOY_sp|O76011|KRT34_HUMAN Keratin, type I cuticular Ha4 OS=Homo sapiens OX=9606 GN=KRT34 PE=1 SV=2
+NCCGKQSTGCPGCSNGSANTTACPNCPLKCDESELLSRYTNIECELRARVDLLVQYEQNQRELDCRIEALQSEVNTILSQVQSLQSSYHAESETLTNELSDRLNHQAQLEIELANVTRRLEIIEAQCSQLQESSSVVQKNLEETQTAFWQEVERRNIEVLAEYQSRTENLVQNLDVTPATDVEVNLRDGLQSRLTNVEEEHNKKLCILEERLSEVQSELDSKCLTLEDLIRRISNIDSEVLLRLSQETQYKSRFDDSALKANDINVVLRANEAKACLIKQQLEEITKFYSQYSPCLLPEQQQSREQILKELEANDRELQRVKELYSALRDNLFQMTEKESGNFSGECFWNCNSVNAPINCAGPLTYGHCSPPVCPRSSCSTRCGLSPLCCSYSMTSSCTISFCTLQQLHIHAPKLREKRQLGKIGNITPPPKAYLM
+>sp|Q92764|KRT35_HUMAN Keratin, type I cuticular Ha5 OS=Homo sapiens OX=9606 GN=KRT35 PE=1 SV=5
+MASKCLKAGFSSGSLKSPGGASGGSTRVSAMYSSSSCKLPSLSPVARSFSACSVGLGRSSYRATSCLPALCLPAGGFATSYSGGGGWFGEGILTGNEKETMQSLNDRLAGYLEKVRQLEQENASLESRIREWCEQQVPYMCPDYQSYFRTIEELQKKTLCSKAENARLVVEIDNAKLAADDFRTKYETEVSLRQLVESDINGLRRILDDLTLCKSDLEAQVESLKEELLCLKKNHEEEVNSLRCQLGDRLNVEVDAAPPVDLNRVLEEMRCQYETLVENNRRDAEDWLDTQSEELNQQVVSSSEQLQSCQAEIIELRRTVNALEIELQAQHSMRDALESTLAETEARYSSQLAQMQCMITNVEAQLAEIRADLERQNQEYQVLLDVRARLECEINTYRGLLESEDSKLPCNPCAPDYSPSKSCLPCLPAASCGPSAARTNCSPRPICVPCPGGRF
+>DECOY_sp|Q92764|KRT35_HUMAN Keratin, type I cuticular Ha5 OS=Homo sapiens OX=9606 GN=KRT35 PE=1 SV=5
+FRGGPCPVCIPRPSCNTRAASPGCSAAPLCPLCSKSPSYDPACPNCPLKSDESELLGRYTNIECELRARVDLLVQYEQNQRELDARIEALQAEVNTIMCQMQALQSSYRAETEALTSELADRMSHQAQLEIELANVTRRLEIIEAQCSQLQESSSVVQQNLEESQTDLWDEADRRNNEVLTEYQCRMEELVRNLDVPPAADVEVNLRDGLQCRLSNVEEEHNKKLCLLEEKLSEVQAELDSKCLTLDDLIRRLGNIDSEVLQRLSVETEYKTRFDDAALKANDIEVVLRANEAKSCLTKKQLEEITRFYSQYDPCMYPVQQECWERIRSELSANEQELQRVKELYGALRDNLSQMTEKENGTLIGEGFWGGGGSYSTAFGGAPLCLAPLCSTARYSSRGLGVSCASFSRAVPSLSPLKCSSSSYMASVRTSGGSAGGPSKLSGSSFGAKLCKSAM
+>sp|O76014|KRT37_HUMAN Keratin, type I cuticular Ha7 OS=Homo sapiens OX=9606 GN=KRT37 PE=1 SV=3
+MTSFYSTSSCPLGCTMAPGARNVFVSPIDVGCQPVAEANAASMCLLANVAHANRVRVGSTPLGRPSLCLPPTSHTACPLPGTCHIPGNIGICGAYGKNTLNGHEKETMKFLNDRLANYLEKVRQLEQENAELETTLLERSKCHESTVCPDYQSYFRTIEELQQKILCSKAENARLIVQIDNAKLAADDFRIKLESERSLHQLVEADKCGTQKLLDDATLAKADLEAQQESLKEEQLSLKSNHEQEVKILRSQLGEKFRIELDIEPTIDLNRVLGEMRAQYEAMVETNHQDVEQWFQAQSEGISLQAMSCSEELQCCQSEILELRCTVNALEVERQAQHTLKDCLQNSLCEAEDRYGTELAQMQSLISNLEEQLSEIRADLERQNQEYQVLLDVKARLENEIATYRNLLESEDCKLPCNPCSTPASCTSCPSCGPVTGGSPSGHGASMGR
+>DECOY_sp|O76014|KRT37_HUMAN Keratin, type I cuticular Ha7 OS=Homo sapiens OX=9606 GN=KRT37 PE=1 SV=3
+RGMSAGHGSPSGGTVPGCSPCSTCSAPTSCPNCPLKCDESELLNRYTAIENELRAKVDLLVQYEQNQRELDARIESLQEELNSILSQMQALETGYRDEAECLSNQLCDKLTHQAQREVELANVTCRLELIESQCCQLEESCSMAQLSIGESQAQFWQEVDQHNTEVMAEYQARMEGLVRNLDITPEIDLEIRFKEGLQSRLIKVEQEHNSKLSLQEEKLSEQQAELDAKALTADDLLKQTGCKDAEVLQHLSRESELKIRFDDAALKANDIQVILRANEAKSCLIKQQLEEITRFYSQYDPCVTSEHCKSRELLTTELEANEQELQRVKELYNALRDNLFKMTEKEHGNLTNKGYAGCIGINGPIHCTGPLPCATHSTPPLCLSPRGLPTSGVRVRNAHAVNALLCMSAANAEAVPQCGVDIPSVFVNRAGPAMTCGLPCSSTSYFSTM
+>sp|Q9UBS0|KS6B2_HUMAN Ribosomal protein S6 kinase beta-2 OS=Homo sapiens OX=9606 GN=RPS6KB2 PE=1 SV=2
+MAAVFDLDLETEEGSEGEGEPELSPADACPLAELRAAGLEPVGHYEEVELTETSVNVGPERIGPHCFELLRVLGKGGYGKVFQVRKVQGTNLGKIYAMKVLRKAKIVRNAKDTAHTRAERNILESVKHPFIVELAYAFQTGGKLYLILECLSGGELFTHLEREGIFLEDTACFYLAEITLALGHLHSQGIIYRDLKPENIMLSSQGHIKLTDFGLCKESIHEGAVTHTFCGTIEYMAPEILVRSGHNRAVDWWSLGALMYDMLTGSPPFTAENRKKTMDKIIRGKLALPPYLTPDARDLVKKFLKRNPSQRIGGGPGDAADVQRHPFFRHMNWDDLLAWRVDPPFRPCLQSEEDVSQFDTRFTRQTPVDSPDDTALSESANQAFLGFTYVAPSVLDSIKEGFSFQPKLRSPRRLNSSPRAPVSPLKFSPFEGFRPSPSLPEPTELPLPPLLPPPPPSTTAPLPIRPPSGTKKSKRGRGRPGR
+>DECOY_sp|Q9UBS0|KS6B2_HUMAN Ribosomal protein S6 kinase beta-2 OS=Homo sapiens OX=9606 GN=RPS6KB2 PE=1 SV=2
+RGPRGRGRKSKKTGSPPRIPLPATTSPPPPPLLPPLPLETPEPLSPSPRFGEFPSFKLPSVPARPSSNLRRPSRLKPQFSFGEKISDLVSPAVYTFGLFAQNASESLATDDPSDVPTQRTFRTDFQSVDEESQLCPRFPPDVRWALLDDWNMHRFFPHRQVDAADGPGGGIRQSPNRKLFKKVLDRADPTLYPPLALKGRIIKDMTKKRNEATFPPSGTLMDYMLAGLSWWDVARNHGSRVLIEPAMYEITGCFTHTVAGEHISEKCLGFDTLKIHGQSSLMINEPKLDRYIIGQSHLHGLALTIEALYFCATDELFIGERELHTFLEGGSLCELILYLKGGTQFAYALEVIFPHKVSELINREARTHATDKANRVIKAKRLVKMAYIKGLNTGQVKRVQFVKGYGGKGLVRLLEFCHPGIREPGVNVSTETLEVEEYHGVPELGAARLEALPCADAPSLEPEGEGESGEETELDLDFVAAM
+>sp|Q96S38|KS6C1_HUMAN Ribosomal protein S6 kinase delta-1 OS=Homo sapiens OX=9606 GN=RPS6KC1 PE=1 SV=2
+MTSYRERSADLARFYTVTEPQRHPRGYTVYKVTARVVSRRNPEDVQEIIVWKRYSDFKKLHKELWQIHKNLFRHSELFPPFAKGIVFGRFDETVIEERRQCAEDLLQFSANIPALYNSKQLEDFFKGGIINDSSELIGPAEAHSDSLIDTFPECSTEGFSSDSDLVSLTVDVDSLAELDDGMASNQNSPIRTFGLNLSSDSSALGAVASDSEQSKTEEERESRSLFPGSLKPKLGKRDYLEKAGELIKLALKKEEEDDYEAASDFYRKGVDLLLEGVQGESSPTRREAVKRRTAEYLMRAESISSLYGKPQLDDVSQPPGSLSSRPLWNLRSPAEELKAFRVLGVIDKVLLVMDTRTEQTFILKGLRKSSEYSRNRKTIIPRCVPNMVCLHKYIISEESVFLVLQHAEGGKLWSYISKFLNRSPEESFDIKEVKKPTLAKVHLQQPTSSPQDSSSFESRGSDGGSMLKALPLKSSLTPSSQDDSNQEDDGQDSSPKWPDSGSSSEEECTTSYLTLCNEYGQEKIEPGSLNEEPFMKTEGNGVDTKAIKSFPAHLAADSDSPSTQLRAHELKFFPNDDPEAVSSPRTSDSLSRSKNSPMEFFRIDSKDSASELLGLDFGEKLYSLKSEPLKPFFTLPDGDSASRSFNTSESKVEFKAQDTISRGSDDSVPVISFKDAAFDDVSGTDEGRPDLLVNLPGELESTREAAAMGPTKFTQTNIGIIENKLLEAPDVLCLRLSTEQCQAHEEKGIEELSDPSGPKSYSITEKHYAQEDPRMLFVAAVDHSSSGDMSLLPSSDPKFQGLGVVESAVTANNTEESLFRICSPLSGANEYIASTDTLKTEEVLLFTDQTDDLAKEEPTSLFQRDSETKGESGLVLEGDKEIHQIFEDLDKKLALASRFYIPEGCIQRWAAEMVVALDALHREGIVCRDLNPNNILLNDRGHIQLTYFSRWSEVEDSCDSDAIERMYCAPEVGAITEETEACDWWSLGAVLFELLTGKTLVECHPAGINTHTTLNMPECVSEEARSLIQQLLQFNPLERLGAGVAGVEDIKSHPFFTPVDWAELMR
+>DECOY_sp|Q96S38|KS6C1_HUMAN Ribosomal protein S6 kinase delta-1 OS=Homo sapiens OX=9606 GN=RPS6KC1 PE=1 SV=2
+RMLEAWDVPTFFPHSKIDEVGAVGAGLRELPNFQLLQQILSRAEESVCEPMNLTTHTNIGAPHCEVLTKGTLLEFLVAGLSWWDCAETEETIAGVEPACYMREIADSDCSDEVESWRSFYTLQIHGRDNLLINNPNLDRCVIGERHLADLAVVMEAAWRQICGEPIYFRSALALKKDLDEFIQHIEKDGELVLGSEGKTESDRQFLSTPEEKALDDTQDTFLLVEETKLTDTSAIYENAGSLPSCIRFLSEETNNATVASEVVGLGQFKPDSSPLLSMDGSSSHDVAAVFLMRPDEQAYHKETISYSKPGSPDSLEEIGKEEHAQCQETSLRLCLVDPAELLKNEIIGINTQTFKTPGMAAAERTSELEGPLNVLLDPRGEDTGSVDDFAADKFSIVPVSDDSGRSITDQAKFEVKSESTNFSRSASDGDPLTFFPKLPESKLSYLKEGFDLGLLESASDKSDIRFFEMPSNKSRSLSDSTRPSSVAEPDDNPFFKLEHARLQTSPSDSDAALHAPFSKIAKTDVGNGETKMFPEENLSGPEIKEQGYENCLTLYSTTCEEESSSGSDPWKPSSDQGDDEQNSDDQSSPTLSSKLPLAKLMSGGDSGRSEFSSSDQPSSTPQQLHVKALTPKKVEKIDFSEEPSRNLFKSIYSWLKGGEAHQLVLFVSEESIIYKHLCVMNPVCRPIITKRNRSYESSKRLGKLIFTQETRTDMVLLVKDIVGLVRFAKLEEAPSRLNWLPRSSLSGPPQSVDDLQPKGYLSSISEARMLYEATRRKVAERRTPSSEGQVGELLLDVGKRYFDSAAEYDDEEEKKLALKILEGAKELYDRKGLKPKLSGPFLSRSEREEETKSQESDSAVAGLASSDSSLNLGFTRIPSNQNSAMGDDLEALSDVDVTLSVLDSDSSFGETSCEPFTDILSDSHAEAPGILESSDNIIGGKFFDELQKSNYLAPINASFQLLDEACQRREEIVTEDFRGFVIGKAFPPFLESHRFLNKHIQWLEKHLKKFDSYRKWVIIEQVDEPNRRSVVRATVKYVTYGRPHRQPETVTYFRALDASRERYSTM
+>sp|Q8N1A0|KT222_HUMAN Keratin-like protein KRT222 OS=Homo sapiens OX=9606 GN=KRT222 PE=2 SV=1
+MELSQLLNEIRANYEKILTRNQIETVLSTRIQLEEDISKKMDKDEEALKAAQAELKEARRQWHHLQVEIESLHAVERGLENSLHASEQHYQMQLQDLETVIEGLEKELQEVRRGIEKQLQEHEMLLNTKMRLEQEIATYRHLLEKEEIRYYGCIQGGKKDKKPTTSRVGFVLPSAIINEISFTTKVPQKYENENVETVTKQAILNGSIVKESTEAHGTIQTEKVDEVIKEWEGSFFKDNPRLRKKSVSLRFDLHLAATDEGCLETKQDNLPDIEVRLIMRRSCSIPSIKPPSTAN
+>DECOY_sp|Q8N1A0|KT222_HUMAN Keratin-like protein KRT222 OS=Homo sapiens OX=9606 GN=KRT222 PE=2 SV=1
+NATSPPKISPISCSRRMILRVEIDPLNDQKTELCGEDTAALHLDFRLSVSKKRLRPNDKFFSGEWEKIVEDVKETQITGHAETSEKVISGNLIAQKTVTEVNENEYKQPVKTTFSIENIIASPLVFGVRSTTPKKDKKGGQICGYYRIEEKELLHRYTAIEQELRMKTNLLMEHEQLQKEIGRRVEQLEKELGEIVTELDQLQMQYHQESAHLSNELGREVAHLSEIEVQLHHWQRRAEKLEAQAAKLAEEDKDMKKSIDEELQIRTSLVTEIQNRTLIKEYNARIENLLQSLEM
+>sp|O76009|KT33A_HUMAN Keratin, type I cuticular Ha3-I OS=Homo sapiens OX=9606 GN=KRT33A PE=2 SV=2
+MSYSCGLPSLSCRTSCSSRPCVPPSCHGCTLPGACNIPANVSNCNWFCEGSFNGSEKETMQFLNDRLASYLEKVRQLERDNAELENLIRERSQQQEPLVCASYQSYFKTIEELQQKILCSKSENARLVVQIDNAKLASDDFRTKYETELSLRQLVESDINGLRRILDELTLCRSDLEAQVESLKEELLCLKQNHEQEVNTLRCQLGDRLNVEVDAAPTVDLNQVLNETRSQYEALVETNRREVEQWFATQTEELNKQVVSSSEQLQSYQAEIIELRRTVNALEIELQAQHNLRDSLENTLTESEARYSSQLSQVQRLITNVESQLAEIRSDLERQNQEYQVLLDVRARLECEINTYRSLLESEDCKLPSNPCATTNACDKSTGPCISNPCGLRARCGPCNTFGY
+>DECOY_sp|O76009|KT33A_HUMAN Keratin, type I cuticular Ha3-I OS=Homo sapiens OX=9606 GN=KRT33A PE=2 SV=2
+YGFTNCPGCRARLGCPNSICPGTSKDCANTTACPNSPLKCDESELLSRYTNIECELRARVDLLVQYEQNQRELDSRIEALQSEVNTILRQVQSLQSSYRAESETLTNELSDRLNHQAQLEIELANVTRRLEIIEAQYSQLQESSSVVQKNLEETQTAFWQEVERRNTEVLAEYQSRTENLVQNLDVTPAADVEVNLRDGLQCRLTNVEQEHNQKLCLLEEKLSEVQAELDSRCLTLEDLIRRLGNIDSEVLQRLSLETEYKTRFDDSALKANDIQVVLRANESKSCLIKQQLEEITKFYSQYSACVLPEQQQSRERILNELEANDRELQRVKELYSALRDNLFQMTEKESGNFSGECFWNCNSVNAPINCAGPLTCGHCSPPVCPRSSCSTRCSLSPLGCSYSM
+>sp|Q9BVA0|KTNB1_HUMAN Katanin p80 WD40 repeat-containing subunit B1 OS=Homo sapiens OX=9606 GN=KATNB1 PE=1 SV=1
+MATPVVTKTAWKLQEIVAHASNVSSLVLGKASGRLLATGGDDCRVNLWSINKPNCIMSLTGHTSPVESVRLNTPEELIVAGSQSGSIRVWDLEAAKILRTLMGHKANICSLDFHPYGEFVASGSQDTNIKLWDIRRKGCVFRYRGHSQAVRCLRFSPDGKWLASAADDHTVKLWDLTAGKMMSEFPGHTGPVNVVEFHPNEYLLASGSSDRTIRFWDLEKFQVVSCIEGEPGPVRSVLFNPDGCCLYSGCQDSLRVYGWEPERCFDVVLVNWGKVADLAICNDQLIGVAFSQSNVSSYVVDLTRVTRTGTVARDPVQDHRPLAQPLPNPSAPLRRIYERPSTTCSKPQRVKQNSESERRSPSSEDDRDERESRAEIQNAEDYNEIFQPKNSISRTPPRRSEPFPAPPEDDAATAKEAAKPSPAMDVQFPVPNLEVLPRPPVVASTPAPKAEPAIIPATRNEPIGLKASDFLPAVKIPQQAELVDEDAMSQIRKGHDTMCVVLTSRHKNLDTVRAVWTMGDIKTSVDSAVAINDLSVVVDLLNIVNQKASLWKLDLCTTVLPQIEKLLQSKYESYVQTGCTSLKLILQRFLPLITDMLAAPPSVGVDISREERLHKCRLCYKQLKSISGLVKSKSGLSGRHGSTFRELHLLMASLD
+>DECOY_sp|Q9BVA0|KTNB1_HUMAN Katanin p80 WD40 repeat-containing subunit B1 OS=Homo sapiens OX=9606 GN=KATNB1 PE=1 SV=1
+DLSAMLLHLERFTSGHRGSLGSKSKVLGSISKLQKYCLRCKHLREERSIDVGVSPPAALMDTILPLFRQLILKLSTCGTQVYSEYKSQLLKEIQPLVTTCLDLKWLSAKQNVINLLDVVVSLDNIAVASDVSTKIDGMTWVARVTDLNKHRSTLVVCMTDHGKRIQSMADEDVLEAQQPIKVAPLFDSAKLGIPENRTAPIIAPEAKPAPTSAVVPPRPLVELNPVPFQVDMAPSPKAAEKATAADDEPPAPFPESRRPPTRSISNKPQFIENYDEANQIEARSEREDRDDESSPSRRESESNQKVRQPKSCTTSPREYIRRLPASPNPLPQALPRHDQVPDRAVTGTRTVRTLDVVYSSVNSQSFAVGILQDNCIALDAVKGWNVLVVDFCREPEWGYVRLSDQCGSYLCCGDPNFLVSRVPGPEGEICSVVQFKELDWFRITRDSSGSALLYENPHFEVVNVPGTHGPFESMMKGATLDWLKVTHDDAASALWKGDPSFRLCRVAQSHGRYRFVCGKRRIDWLKINTDQSGSAVFEGYPHFDLSCINAKHGMLTRLIKAAELDWVRISGSQSGAVILEEPTNLRVSEVPSTHGTLSMICNPKNISWLNVRCDDGGTALLRGSAKGLVLSSVNSAHAVIEQLKWATKTVVPTAM
+>sp|Q9NVR5|KTU_HUMAN Protein kintoun OS=Homo sapiens OX=9606 GN=DNAAF2 PE=1 SV=2
+MAKAAASSSLEDLDLSGEEVQRLTSAFQDPEFRRMFSQYAEELTDPENRRRYEAEITALERERGVEVRFVHPEPGHVLRTSLDGARRCFVNVCSNALVGAPSSRPGSGGDRGAAPGSHWSLPYSLAPGREYAGRSSSRYMVYDVVFHPDALALARRHEGFRQMLDATALEAVEKQFGVKLDRRNAKTLKAKYKGTPEAAVLRTPLPGVIPARPDGEPKGPLPDFPYPYQYPAAPGPRAPSPPEAALQPAPTEPRYSVVQRHHVDLQDYRCSRDSAPSPVPHELVITIELPLLRSAEQAALEVTRKLLCLDSRKPDYRLRLSLPYPVDDGRGKAQFNKARRQLVVTLPVVLPAARREPAVAVAAAAPEESADRSGTDGQACASAREGEAGPARSRAEDGGHDTCVAGAAGSGVTTLGDPEVAPPPAAAGEERVPKPGEQDLSRHAGSPPGSVEEPSPGGENSPGGGGSPCLSSRSLAWGSSAGRESARGDSSVETREESEGTGGQRSACAMGGPGTKSGEPLCPPLLCNQDKETLTLLIQVPRIQPQSLQGDLNPLWYKLRFSAQDLVYSFFLQFAPENKLSTTEPVISISSNNAVIELAKSPESHGHWREWYYGVNNDSLEERLFVNEENVNEFLEEVLSSPFKQSMSLTPPLIEVLQVTDNKIQINAKLQECSNSDQLQGKEERVNEESHLTEKEYIEHCNTPTTDSDSSIAVKALQIDSFGLVTCFQQESLDVSQMILGKSQQPESKMQSEFIKEKSATCSNEEKDNLNESVITEEKETDGDHLSSLLNKTTVHNIPGFDSIKETNMQDGSVQVIKDHVTNCAFSFQNSLLYDLD
+>DECOY_sp|Q9NVR5|KTU_HUMAN Protein kintoun OS=Homo sapiens OX=9606 GN=DNAAF2 PE=1 SV=2
+DLDYLLSNQFSFACNTVHDKIVQVSGDQMNTEKISDFGPINHVTTKNLLSSLHDGDTEKEETIVSENLNDKEENSCTASKEKIFESQMKSEPQQSKGLIMQSVDLSEQQFCTVLGFSDIQLAKVAISSDSDTTPTNCHEIYEKETLHSEENVREEKGQLQDSNSCEQLKANIQIKNDTVQLVEILPPTLSMSQKFPSSLVEELFENVNEENVFLREELSDNNVGYYWERWHGHSEPSKALEIVANNSSISIVPETTSLKNEPAFQLFFSYVLDQASFRLKYWLPNLDGQLSQPQIRPVQILLTLTEKDQNCLLPPCLPEGSKTGPGGMACASRQGGTGESEERTEVSSDGRASERGASSGWALSRSSLCPSGGGGPSNEGGPSPEEVSGPPSGAHRSLDQEGPKPVREEGAAAPPPAVEPDGLTTVGSGAAGAVCTDHGGDEARSRAPGAEGERASACAQGDTGSRDASEEPAAAAVAVAPERRAAPLVVPLTVVLQRRAKNFQAKGRGDDVPYPLSLRLRYDPKRSDLCLLKRTVELAAQEASRLLPLEITIVLEHPVPSPASDRSCRYDQLDVHHRQVVSYRPETPAPQLAAEPPSPARPGPAAPYQYPYPFDPLPGKPEGDPRAPIVGPLPTRLVAAEPTGKYKAKLTKANRRDLKVGFQKEVAELATADLMQRFGEHRRALALADPHFVVDYVMYRSSSRGAYERGPALSYPLSWHSGPAAGRDGGSGPRSSPAGVLANSCVNVFCRRAGDLSTRLVHGPEPHVFRVEVGRERELATIEAEYRRRNEPDTLEEAYQSFMRRFEPDQFASTLRQVEEGSLDLDELSSSAAAKAM
+>sp|P06310|KV230_HUMAN Immunoglobulin kappa variable 2-30 OS=Homo sapiens OX=9606 GN=IGKV2-30 PE=3 SV=2
+MRLPAQLLGLLMLWVPGSSGDVVMTQSPLSLPVTLGQPASISCRSSQSLVYSDGNTYLNWFQQRPGQSPRRLIYKVSNRDSGVPDRFSGSGSGTDFTLKISRVEAEDVGVYYCMQGTHWP
+>DECOY_sp|P06310|KV230_HUMAN Immunoglobulin kappa variable 2-30 OS=Homo sapiens OX=9606 GN=IGKV2-30 PE=3 SV=2
+PWHTGQMCYYVGVDEAEVRSIKLTFDTGSGSGSFRDPVGSDRNSVKYILRRPSQGPRQQFWNLYTNGDSYVLSQSSRCSISAPQGLTVPLSLPSQTMVVDGSSGPVWLMLLGLLQAPLRM
+>sp|P04433|KV311_HUMAN Immunoglobulin kappa variable 3-11 OS=Homo sapiens OX=9606 GN=IGKV3-11 PE=1 SV=1
+MEAPAQLLFLLLLWLPDTTGEIVLTQSPATLSLSPGERATLSCRASQSVSSYLAWYQQKPGQAPRLLIYDASNRATGIPARFSGSGSGTDFTLTISSLEPEDFAVYYCQQRSNWP
+>DECOY_sp|P04433|KV311_HUMAN Immunoglobulin kappa variable 3-11 OS=Homo sapiens OX=9606 GN=IGKV3-11 PE=1 SV=1
+PWNSRQQCYYVAFDEPELSSITLTFDTGSGSGSFRAPIGTARNSADYILLRPAQGPKQQYWALYSSVSQSARCSLTAREGPSLSLTAPSQTLVIEGTTDPLWLLLLFLLQAPAEM
+>sp|P06315|KV502_HUMAN Immunoglobulin kappa variable 5-2 OS=Homo sapiens OX=9606 GN=IGKV5-2 PE=1 SV=1
+MGSQVHLLSFLLLWISDTRAETTLTQSPAFMSATPGDKVNISCKASQDIDDDMNWYQQKPGEAAIFIIQEATTLVPGIPPRFSGSGYGTDFTLTINNIESEDAAYYFCLQHDNFP
+>DECOY_sp|P06315|KV502_HUMAN Immunoglobulin kappa variable 5-2 OS=Homo sapiens OX=9606 GN=IGKV5-2 PE=1 SV=1
+PFNDHQLCFYYAADESEINNITLTFDTGYGSGSFRPPIGPVLTTAEQIIFIAAEGPKQQYWNMDDDIDQSAKCSINVKDGPTASMFAPSQTLTTEARTDSIWLLLFSLLHVQSGM
+>sp|A0A087WSZ0|KVD08_HUMAN Immunoglobulin kappa variable 1D-8 OS=Homo sapiens OX=9606 GN=IGKV1D-8 PE=3 SV=6
+MDMRVPAQLLGLLLLWLPGARCAIWMTQSPSLLSASTGDRVTISCRMSQGISSYLAWYQQKPGKAPELLIYAASTLQSGVPSRFSGSGSGTDFTLTISCLQSEDFATYYCQQYYSFP
+>DECOY_sp|A0A087WSZ0|KVD08_HUMAN Immunoglobulin kappa variable 1D-8 OS=Homo sapiens OX=9606 GN=IGKV1D-8 PE=3 SV=6
+PFSYYQQCYYTAFDESQLCSITLTFDTGSGSGSFRSPVGSQLTSAAYILLEPAKGPKQQYWALYSSIGQSMRCSITVRDGTSASLLSPSQTMWIACRAGPLWLLLLGLLQAPVRMDM
+>sp|P01611|KVD12_HUMAN Immunoglobulin kappa variable 1D-12 OS=Homo sapiens OX=9606 GN=IGKV1D-12 PE=1 SV=2
+MDMMVPAQLLGLLLLWFPGSRCDIQMTQSPSSVSASVGDRVTITCRASQGISSWLAWYQQKPGKAPKLLIYAASSLQSGVPSRFSGSGSGTDFTLTISSLQPEDFATYYCQQANSFP
+>DECOY_sp|P01611|KVD12_HUMAN Immunoglobulin kappa variable 1D-12 OS=Homo sapiens OX=9606 GN=IGKV1D-12 PE=1 SV=2
+PFSNAQQCYYTAFDEPQLSSITLTFDTGSGSGSFRSPVGSQLSSAAYILLKPAKGPKQQYWALWSSIGQSARCTITVRDGVSASVSSPSQTMQIDCRSGPFWLLLLGLLQAPVMMDM
+>sp|A0A0C4DH25|KVD20_HUMAN Immunoglobulin kappa variable 3D-20 OS=Homo sapiens OX=9606 GN=IGKV3D-20 PE=3 SV=1
+METPAQLLFLLLLWLPDTTGEIVLTQSPATLSLSPGERATLSCGASQSVSSSYLAWYQQKPGLAPRLLIYDASSRATGIPDRFSGSGSGTDFTLTISRLEPEDFAVYYCQQYGSSP
+>DECOY_sp|A0A0C4DH25|KVD20_HUMAN Immunoglobulin kappa variable 3D-20 OS=Homo sapiens OX=9606 GN=IGKV3D-20 PE=3 SV=1
+PSSGYQQCYYVAFDEPELRSITLTFDTGSGSGSFRDPIGTARSSADYILLRPALGPKQQYWALYSSSVSQSAGCSLTAREGPSLSLTAPSQTLVIEGTTDPLWLLLLFLLQAPTEM
+>sp|A0A075B6S6|KVD30_HUMAN Immunoglobulin kappa variable 2D-30 OS=Homo sapiens OX=9606 GN=IGKV2D-30 PE=3 SV=1
+MRLPAQLLGLLMLWVPGSSGDVVMTQSPLSLPVTLGQPASISCRSSQSLVYSDGNTYLNWFQQRPGQSPRRLIYKVSNWDSGVPDRFSGSGSGTDFTLKISRVEAEDVGVYYCMQGTHWP
+>DECOY_sp|A0A075B6S6|KVD30_HUMAN Immunoglobulin kappa variable 2D-30 OS=Homo sapiens OX=9606 GN=IGKV2D-30 PE=3 SV=1
+PWHTGQMCYYVGVDEAEVRSIKLTFDTGSGSGSFRDPVGSDWNSVKYILRRPSQGPRQQFWNLYTNGDSYVLSQSSRCSISAPQGLTVPLSLPSQTMVVDGSSGPVWLMLLGLLQAPLRM
+>sp|P04432|KVD39_HUMAN Immunoglobulin kappa variable 1D-39 OS=Homo sapiens OX=9606 GN=IGKV1D-39 PE=3 SV=2
+MDMRVPAQLLGLLLLWLRGARCDIQMTQSPSSLSASVGDRVTITCRASQSISSYLNWYQQKPGKAPKLLIYAASSLQSGVPSRFSGSGSGTDFTLTISSLQPEDFATYYCQQSYSTP
+>DECOY_sp|P04432|KVD39_HUMAN Immunoglobulin kappa variable 1D-39 OS=Homo sapiens OX=9606 GN=IGKV1D-39 PE=3 SV=2
+PTSYSQQCYYTAFDEPQLSSITLTFDTGSGSGSFRSPVGSQLSSAAYILLKPAKGPKQQYWNLYSSISQSARCTITVRDGVSASLSSPSQTMQIDCRAGRLWLLLLGLLQAPVRMDM
+>sp|Q6P1M3|L2GL2_HUMAN Lethal(2) giant larvae protein homolog 2 OS=Homo sapiens OX=9606 GN=LLGL2 PE=1 SV=2
+MRRFLRPGHDPVRERLKRDLFQFNKTVEHGFPHQPSALGYSPSLRILAIGTRSGAIKLYGAPGVEFMGLHQENNAVTQIHLLPGQCQLVTLLDDNSLHLWSLKVKGGASELQEDESFTLRGPPGAAPSATQITVVLPHSSCELLYLGTESGNVFVVQLPAFRALEDRTISSDAVLQRLPEEARHRRVFEMVEALQEHPRDPNQILIGYSRGLVVIWDLQGSRVLYHFLSSQQLENIWWQRDGRLLVSCHSDGSYCQWPVSSEAQQPEPLRSLVPYGPFPCKAITRILWLTTRQGLPFTIFQGGMPRASYGDRHCISVIHDGQQTAFDFTSRVIGFTVLTEADPAATFDDPYALVVLAEEELVVIDLQTAGWPPVQLPYLASLHCSAITCSHHVSNIPLKLWERIIAAGSRQNAHFSTMEWPIDGGTSLTPAPPQRDLLLTGHEDGTVRFWDASGVCLRLLYKLSTVRVFLTDTDPNENFSAQGEDEWPPLRKVGSFDPYSDDPRLGIQKIFLCKYSGYLAVAGTAGQVLVLELNDEAAEQAVEQVEADLLQDQEGYRWKGHERLAARSGPVRFEPGFQPFVLVQCQPPAVVTSLALHSEWRLVAFGTSHGFGLFDHQQRRQVFVKCTLHPSDQLALEGPLSRVKSLKKSLRQSFRRMRRSRVSSRKRHPAGPPGEAQEGSAKAERPGLQNMELAPVQRKIEARSAEDSFTGFVRTLYFADTYLKDSSRHCPSLWAGTNGGTIYAFSLRVPPAERRMDEPVRAEQAKEIQLMHRAPVVGILVLDGHSVPLPEPLEVAHDLSKSPDMQGSHQLLVVSEEQFKVFTLPKVSAKLKLKLTALEGSRVRRVSVAHFGSRRAEDYGEHHLAVLTNLGDIQVVSLPLLKPQVRYSCIRREDVSGIASCVFTKYGQGFYLISPSEFERFSLSTKWLVEPRCLVDSAETKNHRPGNGAGPKKAPSRARNSGTQSDGEEKQPGLVMERALLSDERVLKEIQSTLEGDRGSGNWRSHRAAVGCSLSNGGAE
+>DECOY_sp|Q6P1M3|L2GL2_HUMAN Lethal(2) giant larvae protein homolog 2 OS=Homo sapiens OX=9606 GN=LLGL2 PE=1 SV=2
+EAGGNSLSCGVAARHSRWNGSGRDGELTSQIEKLVREDSLLAREMVLGPQKEEGDSQTGSNRARSPAKKPGAGNGPRHNKTEASDVLCRPEVLWKTSLSFREFESPSILYFGQGYKTFVCSAIGSVDERRICSYRVQPKLLPLSVVQIDGLNTLVALHHEGYDEARRSGFHAVSVRRVRSGELATLKLKLKASVKPLTFVKFQEESVVLLQHSGQMDPSKSLDHAVELPEPLPVSHGDLVLIGVVPARHMLQIEKAQEARVPEDMRREAPPVRLSFAYITGGNTGAWLSPCHRSSDKLYTDAFYLTRVFGTFSDEASRAEIKRQVPALEMNQLGPREAKASGEQAEGPPGAPHRKRSSVRSRRMRRFSQRLSKKLSKVRSLPGELALQDSPHLTCKVFVQRRQQHDFLGFGHSTGFAVLRWESHLALSTVVAPPQCQVLVFPQFGPEFRVPGSRAALREHGKWRYGEQDQLLDAEVQEVAQEAAEDNLELVLVQGATGAVALYGSYKCLFIKQIGLRPDDSYPDFSGVKRLPPWEDEGQASFNENPDTDTLFVRVTSLKYLLRLCVGSADWFRVTGDEHGTLLLDRQPPAPTLSTGGDIPWEMTSFHANQRSGAAIIREWLKLPINSVHHSCTIASCHLSALYPLQVPPWGATQLDIVVLEEEALVVLAYPDDFTAAPDAETLVTFGIVRSTFDFATQQGDHIVSICHRDGYSARPMGGQFITFPLGQRTTLWLIRTIAKCPFPGYPVLSRLPEPQQAESSVPWQCYSGDSHCSVLLRGDRQWWINELQQSSLFHYLVRSGQLDWIVVLGRSYGILIQNPDRPHEQLAEVMEFVRRHRAEEPLRQLVADSSITRDELARFAPLQVVFVNGSETGLYLLECSSHPLVVTIQTASPAAGPPGRLTFSEDEQLESAGGKVKLSWLHLSNDDLLTVLQCQGPLLHIQTVANNEQHLGMFEVGPAGYLKIAGSRTGIALIRLSPSYGLASPQHPFGHEVTKNFQFLDRKLRERVPDHGPRLFRRM
+>sp|Q9GZZ8|LACRT_HUMAN Extracellular glycoprotein lacritin OS=Homo sapiens OX=9606 GN=LACRT PE=1 SV=1
+MKFTTLLFLAAVAGALVYAEDASSDSTGADPAQEAGTSKPNEEISGPAEPASPPETTTTAQETSAAAVQGTAKVTSSRQELNPLKSIVEKSILLTEQALAKAGKGMHGGVPGGKQFIENGSEFAQKLLKKFSLLKPWA
+>DECOY_sp|Q9GZZ8|LACRT_HUMAN Extracellular glycoprotein lacritin OS=Homo sapiens OX=9606 GN=LACRT PE=1 SV=1
+AWPKLLSFKKLLKQAFESGNEIFQKGGPVGGHMGKGAKALAQETLLISKEVISKLPNLEQRSSTVKATGQVAAASTEQATTTTEPPSAPEAPGSIEENPKSTGAEQAPDAGTSDSSADEAYVLAGAVAALFLLTTFKM
+>sp|Q6GTX8|LAIR1_HUMAN Leukocyte-associated immunoglobulin-like receptor 1 OS=Homo sapiens OX=9606 GN=LAIR1 PE=1 SV=1
+MSPHPTALLGLVLCLAQTIHTQEEDLPRPSISAEPGTVIPLGSHVTFVCRGPVGVQTFRLERESRSTYNDTEDVSQASPSESEARFRIDSVSEGNAGPYRCIYYKPPKWSEQSDYLELLVKETSGGPDSPDTEPGSSAGPTQRPSDNSHNEHAPASQGLKAEHLYILIGVSVVFLFCLLLLVLFCLHRQNQIKQGPPRSKDEEQKPQQRPDLAVDVLERTADKATVNGLPEKDRETDTSALAAGSSQEVTYAQLDHWALTQRTARAVSPQSTKPMAESITYAAVARH
+>DECOY_sp|Q6GTX8|LAIR1_HUMAN Leukocyte-associated immunoglobulin-like receptor 1 OS=Homo sapiens OX=9606 GN=LAIR1 PE=1 SV=1
+HRAVAAYTISEAMPKTSQPSVARATRQTLAWHDLQAYTVEQSSGAALASTDTERDKEPLGNVTAKDATRELVDVALDPRQQPKQEEDKSRPPGQKIQNQRHLCFLVLLLLCFLFVVSVGILIYLHEAKLGQSAPAHENHSNDSPRQTPGASSGPETDPSDPGGSTEKVLLELYDSQESWKPPKYYICRYPGANGESVSDIRFRAESESPSAQSVDETDNYTSRSERELRFTQVGVPGRCVFTVHSGLPIVTGPEASISPRPLDEEQTHITQALCLVLGLLATPHPSM
+>sp|P55268|LAMB2_HUMAN Laminin subunit beta-2 OS=Homo sapiens OX=9606 GN=LAMB2 PE=1 SV=2
+MELTSRERGRGQPLPWELRLGLLLSVLAATLAQAPAPDVPGCSRGSCYPATGDLLVGRADRLTASSTCGLNGPQPYCIVSHLQDEKKCFLCDSRRPFSARDNPHSHRIQNVVTSFAPQRRAAWWQSENGIPAVTIQLDLEAEFHFTHLIMTFKTFRPAAMLVERSADFGRTWHVYRYFSYDCGADFPGVPLAPPRHWDDVVCESRYSEIEPSTEGEVIYRVLDPAIPIPDPYSSRIQNLLKITNLRVNLTRLHTLGDNLLDPRREIREKYYYALYELVVRGNCFCYGHASECAPAPGAPAHAEGMVHGACICKHNTRGLNCEQCQDFYRDLPWRPAEDGHSHACRKCECHGHTHSCHFDMAVYLASGNVSGGVCDGCQHNTAGRHCELCRPFFYRDPTKDLRDPAVCRSCDCDPMGSQDGGRCDSHDDPALGLVSGQCRCKEHVVGTRCQQCRDGFFGLSISDRLGCRRCQCNARGTVPGSTPCDPNSGSCYCKRLVTGRGCDRCLPGHWGLSHDLLGCRPCDCDVGGALDPQCDEGTGQCHCRQHMVGRRCEQVQPGYFRPFLDHLIWEAEDTRGQVLDVVERLVTPGETPSWTGSGFVRLQEGQTLEFLVASVPKAMDYDLLLRLEPQVPEQWAELELIVQRPGPVPAHSLCGHLVPKDDRIQGTLQPHARYLIFPNPVCLEPGISYKLHLKLVRTGGSAQPETPYSGPGLLIDSLVLLPRVLVLEMFSGGDAAALERQATFERYQCHEEGLVPSKTSPSEACAPLLISLSTLIYNGALPCQCNPQGSLSSECNPHGGQCLCKPGVVGRRCDLCAPGYYGFGPTGCQACQCSHEGALSSLCEKTSGQCLCRTGAFGLRCDRCQRGQWGFPSCRPCVCNGHADECNTHTGACLGCRDHTGGEHCERCIAGFHGDPRLPYGGQCRPCPCPEGPGSQRHFATSCHQDEYSQQIVCHCRAGYTGLRCEACAPGHFGDPSRPGGRCQLCECSGNIDPMDPDACDPHTGQCLRCLHHTEGPHCAHCKPGFHGQAARQSCHRCTCNLLGTNPQQCPSPDQCHCDPSSGQCPCLPNVQGPSCDRCAPNFWNLTSGHGCQPCACHPSRARGPTCNEFTGQCHCRAGFGGRTCSECQELHWGDPGLQCHACDCDSRGIDTPQCHRFTGHCSCRPGVSGVRCDQCARGFSGIFPACHPCHACFGDWDRVVQDLAARTQRLEQRAQELQQTGVLGAFESSFWHMQEKLGIVQGIVGARNTSAASTAQLVEATEELRREIGEATEHLTQLEADLTDVQDENFNANHALSGLERDRLALNLTLRQLDQHLDLLKHSNFLGAYDSIRHAHSQSAEAERRANTSALAVPSPVSNSASARHRTEALMDAQKEDFNSKHMANQRALGKLSAHTHTLSLTDINELVCGAPGDAPCATSPCGGAGCRDEDGQPRCGGLSCNGAAATADLALGRARHTQAELQRALAEGGSILSRVAETRRQASEAQQRAQAALDKANASRGQVEQANQELQELIQSVKDFLNQEGADPDSIEMVATRVLELSIPASAEQIQHLAGAIAERVRSLADVDAILARTVGDVRRAEQLLQDARRARSWAEDEKQKAETVQAALEEAQRAQGIAQGAIRGAVADTRDTEQTLYQVQERMAGAERALSSAGERARQLDALLEALKLKRAGNSLAASTAEETAGSAQGRAQEAEQLLRGPLGDQYQTVKALAERKAQGVLAAQARAEQLRDEARDLLQAAQDKLQRLQELEGTYEENERALESKAAQLDGLEARMRSVLQAINLQVQIYNTCQ
+>DECOY_sp|P55268|LAMB2_HUMAN Laminin subunit beta-2 OS=Homo sapiens OX=9606 GN=LAMB2 PE=1 SV=2
+QCTNYIQVQLNIAQLVSRMRAELGDLQAAKSELARENEEYTGELEQLRQLKDQAAQLLDRAEDRLQEARAQAALVGQAKREALAKVTQYQDGLPGRLLQEAEQARGQASGATEEATSAALSNGARKLKLAELLADLQRAREGASSLAREAGAMREQVQYLTQETDRTDAVAGRIAGQAIGQARQAEELAAQVTEAKQKEDEAWSRARRADQLLQEARRVDGVTRALIADVDALSRVREAIAGALHQIQEASAPISLELVRTAVMEISDPDAGEQNLFDKVSQILEQLEQNAQEVQGRSANAKDLAAQARQQAESAQRRTEAVRSLISGGEALARQLEAQTHRARGLALDATAAAGNCSLGGCRPQGDEDRCGAGGCPSTACPADGPAGCVLENIDTLSLTHTHASLKGLARQNAMHKSNFDEKQADMLAETRHRASASNSVPSPVALASTNARREAEASQSHAHRISDYAGLFNSHKLLDLHQDLQRLTLNLALRDRELGSLAHNANFNEDQVDTLDAELQTLHETAEGIERRLEETAEVLQATSAASTNRAGVIGQVIGLKEQMHWFSSEFAGLVGTQQLEQARQELRQTRAALDQVVRDWDGFCAHCPHCAPFIGSFGRACQDCRVGSVGPRCSCHGTFRHCQPTDIGRSDCDCAHCQLGPDGWHLEQCESCTRGGFGARCHCQGTFENCTPGRARSPHCACPQCGHGSTLNWFNPACRDCSPGQVNPLCPCQGSSPDCHCQDPSPCQQPNTGLLNCTCRHCSQRAAQGHFGPKCHACHPGETHHLCRLCQGTHPDCADPDMPDINGSCECLQCRGGPRSPDGFHGPACAECRLGTYGARCHCVIQQSYEDQHCSTAFHRQSGPGEPCPCPRCQGGYPLRPDGHFGAICRECHEGGTHDRCGLCAGTHTNCEDAHGNCVCPRCSPFGWQGRQCRDCRLGFAGTRCLCQGSTKECLSSLAGEHSCQCAQCGTPGFGYYGPACLDCRRGVVGPKCLCQGGHPNCESSLSGQPNCQCPLAGNYILTSLSILLPACAESPSTKSPVLGEEHCQYREFTAQRELAAADGGSFMELVLVRPLLVLSDILLGPGSYPTEPQASGGTRVLKLHLKYSIGPELCVPNPFILYRAHPQLTGQIRDDKPVLHGCLSHAPVPGPRQVILELEAWQEPVQPELRLLLDYDMAKPVSAVLFELTQGEQLRVFGSGTWSPTEGPTVLREVVDLVQGRTDEAEWILHDLFPRFYGPQVQECRRGVMHQRCHCQGTGEDCQPDLAGGVDCDCPRCGLLDHSLGWHGPLCRDCGRGTVLRKCYCSGSNPDCPTSGPVTGRANCQCRRCGLRDSISLGFFGDRCQQCRTGVVHEKCRCQGSVLGLAPDDHSDCRGGDQSGMPDCDCSRCVAPDRLDKTPDRYFFPRCLECHRGATNHQCGDCVGGSVNGSALYVAMDFHCSHTHGHCECKRCAHSHGDEAPRWPLDRYFDQCQECNLGRTNHKCICAGHVMGEAHAPAGPAPACESAHGYCFCNGRVVLEYLAYYYKERIERRPDLLNDGLTHLRTLNVRLNTIKLLNQIRSSYPDPIPIAPDLVRYIVEGETSPEIESYRSECVVDDWHRPPALPVGPFDAGCDYSFYRYVHWTRGFDASREVLMAAPRFTKFTMILHTFHFEAELDLQITVAPIGNESQWWAARRQPAFSTVVNQIRHSHPNDRASFPRRSDCLFCKKEDQLHSVICYPQPGNLGCTSSATLRDARGVLLDGTAPYCSGRSCGPVDPAPAQALTAALVSLLLGLRLEWPLPQGRGRERSTLEM
+>sp|Q9BW62|KATL1_HUMAN Katanin p60 ATPase-containing subunit A-like 1 OS=Homo sapiens OX=9606 GN=KATNAL1 PE=1 SV=1
+MNLAEICDNAKKGREYALLGNYDSSMVYYQGVMQQIQRHCQSVRDPAIKGKWQQVRQELLEEYEQVKSIVSTLESFKIDKPPDFPVSCQDEPFRDPAVWPPPVPAEHRAPPQIRRPNREVRPLRKEMAGVGARGPVGRAHPISKSEKPSTSRDKDYRARGRDDKGRKNMQDGASDGEMPKFDGAGYDKDLVEALERDIVSRNPSIHWDDIADLEEAKKLLREAVVLPMWMPDFFKGIRRPWKGVLMVGPPGTGKTMLAKAVATECGTTFFNVSSSTLTSKYRGESEKLVRLLFEMARFYAPTTIFIDEIDSICSRRGTSDEHEASRRVKSELLIQMDGVGGALENDDPSKMVMVLAATNFPWDIDEALRRRLEKRIYIPLPTAKGRAELLKINLREVELDPDIQLEDIAEKIEGYSGADITNVCRDASLMAMRRRINGLSPEEIRALSKEELQMPVTKGDFELALKKIAKSVSAADLEKYEKWMVEFGSA
+>DECOY_sp|Q9BW62|KATL1_HUMAN Katanin p60 ATPase-containing subunit A-like 1 OS=Homo sapiens OX=9606 GN=KATNAL1 PE=1 SV=1
+ASGFEVMWKEYKELDAASVSKAIKKLALEFDGKTVPMQLEEKSLARIEEPSLGNIRRRMAMLSADRCVNTIDAGSYGEIKEAIDELQIDPDLEVERLNIKLLEARGKATPLPIYIRKELRRRLAEDIDWPFNTAALVMVMKSPDDNELAGGVGDMQILLESKVRRSAEHEDSTGRRSCISDIEDIFITTPAYFRAMEFLLRVLKESEGRYKSTLTSSSVNFFTTGCETAVAKALMTKGTGPPGVMLVGKWPRRIGKFFDPMWMPLVVAERLLKKAEELDAIDDWHISPNRSVIDRELAEVLDKDYGAGDFKPMEGDSAGDQMNKRGKDDRGRARYDKDRSTSPKESKSIPHARGVPGRAGVGAMEKRLPRVERNPRRIQPPARHEAPVPPPWVAPDRFPEDQCSVPFDPPKDIKFSELTSVISKVQEYEELLEQRVQQWKGKIAPDRVSQCHRQIQQMVGQYYVMSSDYNGLLAYERGKKANDCIEALNM
+>sp|Q674X7|KAZRN_HUMAN Kazrin OS=Homo sapiens OX=9606 GN=KAZN PE=1 SV=2
+MMEDNKQLALRIDGAVQSASQEVTNLRAELTATNRRLAELSGGGGPGPGPGAAASASAAGDSAATNMENPQLGAQVLLREEVSRLQEEVHLLRQMKEMLAKDLEESQGGKSSEVLSATELRVQLAQKEQELARAKEALQAMKADRKRLKGEKTDLVSQMQQLYATLESREEQLRDFIRNYEQHRKESEDAVKALAKEKDLLEREKWELRRQAKEATDHATALRSQLDLKDNRMKELEAELAMAKQSLATLTKDVPKRHSLAMPGETVLNGNQEWVVQADLPLTAAIRQSQQTLYHSHPPHPADRQAVRVSPCHSRQPSVISDASAAEGDRSSTPSDINSPRHRTHSLCNGDSPGPVQKNLHNPIVQSLEDLEDQKRKKKKEKMGFGSISRVFARGKQRKSLDPGLFDDSDSQCSPTRQSLSLSEGEEQMDRLQQVELVRTTPMSHWKAGTVQAWLEVVMAMPMYVKACTENVKSGKVLLSLSDEDLQLGLGVCSSLHRRKLRLAIEDYRDAEAGRSLSKAAELDHHWVAKAWLNDIGLSQYSQAFQNHLVDGRMLNSLMKRDLEKHLNVSKKFHQVSILLGIELLYQVNFSREALQERRARCETQNIDPVVWTNQRVLKWVRDIDLKEYADNLTNSGVHGAVLVLEPTFNAEAMATALGIPSGKHILRRHLAEEMSAVFHPANSTGIREAERFGTPPGRASSVTRAGKEENSSGLKYKAGRLPLGKIGRGFSSKDPDFHDDYGSLQNEDCGDDDPQSRLEQCRLEGYNSLEVTNV
+>DECOY_sp|Q674X7|KAZRN_HUMAN Kazrin OS=Homo sapiens OX=9606 GN=KAZN PE=1 SV=2
+VNTVELSNYGELRCQELRSQPDDDGCDENQLSGYDDHFDPDKSSFGRGIKGLPLRGAKYKLGSSNEEKGARTVSSARGPPTGFREAERIGTSNAPHFVASMEEALHRRLIHKGSPIGLATAMAEANFTPELVLVAGHVGSNTLNDAYEKLDIDRVWKLVRQNTWVVPDINQTECRARREQLAERSFNVQYLLEIGLLISVQHFKKSVNLHKELDRKMLSNLMRGDVLHNQFAQSYQSLGIDNLWAKAVWHHDLEAAKSLSRGAEADRYDEIALRLKRRHLSSCVGLGLQLDEDSLSLLVKGSKVNETCAKVYMPMAMVVELWAQVTGAKWHSMPTTRVLEVQQLRDMQEEGESLSLSQRTPSCQSDSDDFLGPDLSKRQKGRAFVRSISGFGMKEKKKKRKQDELDELSQVIPNHLNKQVPGPSDGNCLSHTRHRPSNIDSPTSSRDGEAASADSIVSPQRSHCPSVRVAQRDAPHPPHSHYLTQQSQRIAATLPLDAQVVWEQNGNLVTEGPMALSHRKPVDKTLTALSQKAMALEAELEKMRNDKLDLQSRLATAHDTAEKAQRRLEWKERELLDKEKALAKVADESEKRHQEYNRIFDRLQEERSELTAYLQQMQSVLDTKEGKLRKRDAKMAQLAEKARALEQEKQALQVRLETASLVESSKGGQSEELDKALMEKMQRLLHVEEQLRSVEERLLVQAGLQPNEMNTAASDGAASASAAAGPGPGPGGGGSLEALRRNTATLEARLNTVEQSASQVAGDIRLALQKNDEMM
+>sp|O75600|KBL_HUMAN 2-amino-3-ketobutyrate coenzyme A ligase, mitochondrial OS=Homo sapiens OX=9606 GN=GCAT PE=1 SV=1
+MWPGNAWRAALFWVPRGRRAQSALAQLRGILEGELEGIRGAGTWKSERVITSRQGPHIRVDGVSGGILNFCANNYLGLSSHPEVIQAGLQALEEFGAGLSSVRFICGTQSIHKNLEAKIARFHQREDAILYPSCYDANAGLFEALLTPEDAVLSDELNHASIIDGIRLCKAHKYRYRHLDMADLEAKLQEAQKHRLRLVATDGAFSMDGDIAPLQEICCLASRYGALVFMDECHATGFLGPTGRGTDELLGVMDQVTIINSTLGKALGGASGGYTTGPGPLVSLLRQRARPYLFSNSLPPAVVGCASKALDLLMGSNTIVQSMAAKTQRFRSKMEAAGFTISGASHPICPVMLGDARLASRMADDMLKRGIFVIGFSYPVVPKGKARIRVQISAVHSEEDIDRCVEAFVEVGRLHGALP
+>DECOY_sp|O75600|KBL_HUMAN 2-amino-3-ketobutyrate coenzyme A ligase, mitochondrial OS=Homo sapiens OX=9606 GN=GCAT PE=1 SV=1
+PLAGHLRGVEVFAEVCRDIDEESHVASIQVRIRAKGKPVVPYSFGIVFIGRKLMDDAMRSALRADGLMVPCIPHSAGSITFGAAEMKSRFRQTKAAMSQVITNSGMLLDLAKSACGVVAPPLSNSFLYPRARQRLLSVLPGPGTTYGGSAGGLAKGLTSNIITVQDMVGLLEDTGRGTPGLFGTAHCEDMFVLAGYRSALCCIEQLPAIDGDMSFAGDTAVLRLRHKQAEQLKAELDAMDLHRYRYKHAKCLRIGDIISAHNLEDSLVADEPTLLAEFLGANADYCSPYLIADERQHFRAIKAELNKHISQTGCIFRVSSLGAGFEELAQLGAQIVEPHSSLGLYNNACFNLIGGSVGDVRIHPGQRSTIVRESKWTGAGRIGELEGELIGRLQALASQARRGRPVWFLAARWANGPWM
+>sp|Q8NFY9|KBTB8_HUMAN Kelch repeat and BTB domain-containing protein 8 OS=Homo sapiens OX=9606 GN=KBTBD8 PE=1 SV=2
+MAASADLSKSSPTPNGIPSSDPASDAMDPFHACSILKQLKTMYDEGQLTDIVVEVDHGKTFSCHRNVLAAISPYFRSMFTSGLTESTQKEVRIVGVEAESMDLVLNYAYTSRVILTEANVQALFTAASIFQIPSIQDQCAKYMISHLDPQNSIGVFIFADHYGHQELGDRSKEYIRKKFLCVTKEQEFLQLTKDQLISILDSDDLNVDREEHVYESIIRWFEHEQNEREVHLPEIFAKCIRFPLMEDTFIEKIPPQFAQAIAKSCVEKGPSNTNGCTQRLGMTASEMIICFDAAHKHSGKKQTVPCLDIVTGRVFKLCKPPNDLREVGILVSPDNDIYIAGGYRPSSSEVSIDHKAENDFWMYDHSTNRWLSKPSLLRARIGCKLVYCCGKMYAIGGRVYEGDGRNSLKSVECYDSRENCWTTVCAMPVAMEFHNAVEYKEKIYVLQGEFFLFYEPQKDYWGFLTPMTVPRIQGLAAVYKDSIYYIAGTCGNHQRMFTVEAYDIELNKWTRKKDFPCDQSINPYLKLVLFQNKLHLFVRATQVTVEEHVFRTSRKNSLYQYDDIADQWMKVYETPDRLWDLGRHFECAVAKLYPQCLQKVL
+>DECOY_sp|Q8NFY9|KBTB8_HUMAN Kelch repeat and BTB domain-containing protein 8 OS=Homo sapiens OX=9606 GN=KBTBD8 PE=1 SV=2
+LVKQLCQPYLKAVACEFHRGLDWLRDPTEYVKMWQDAIDDYQYLSNKRSTRFVHEEVTVQTARVFLHLKNQFLVLKLYPNISQDCPFDKKRTWKNLEIDYAEVTFMRQHNGCTGAIYYISDKYVAALGQIRPVTMPTLFGWYDKQPEYFLFFEGQLVYIKEKYEVANHFEMAVPMACVTTWCNERSDYCEVSKLSNRGDGEYVRGGIAYMKGCCYVLKCGIRARLLSPKSLWRNTSHDYMWFDNEAKHDISVESSSPRYGGAIYIDNDPSVLIGVERLDNPPKCLKFVRGTVIDLCPVTQKKGSHKHAADFCIIMESATMGLRQTCGNTNSPGKEVCSKAIAQAFQPPIKEIFTDEMLPFRICKAFIEPLHVERENQEHEFWRIISEYVHEERDVNLDDSDLISILQDKTLQLFEQEKTVCLFKKRIYEKSRDGLEQHGYHDAFIFVGISNQPDLHSIMYKACQDQISPIQFISAATFLAQVNAETLIVRSTYAYNLVLDMSEAEVGVIRVEKQTSETLGSTFMSRFYPSIAALVNRHCSFTKGHDVEVVIDTLQGEDYMTKLQKLISCAHFPDMADSAPDSSPIGNPTPSSKSLDASAAM
+>sp|P48730|KC1D_HUMAN Casein kinase I isoform delta OS=Homo sapiens OX=9606 GN=CSNK1D PE=1 SV=2
+MELRVGNRYRLGRKIGSGSFGDIYLGTDIAAGEEVAIKLECVKTKHPQLHIESKIYKMMQGGVGIPTIRWCGAEGDYNVMVMELLGPSLEDLFNFCSRKFSLKTVLLLADQMISRIEYIHSKNFIHRDVKPDNFLMGLGKKGNLVYIIDFGLAKKYRDARTHQHIPYRENKNLTGTARYASINTHLGIEQSRRDDLESLGYVLMYFNLGSLPWQGLKAATKRQKYERISEKKMSTPIEVLCKGYPSEFATYLNFCRSLRFDDKPDYSYLRQLFRNLFHRQGFSYDYVFDWNMLKFGASRAADDAERERRDREERLRHSRNPATRGLPSTASGRLRGTQEVAPPTPLTPTSHTANTSPRPVSGMERERKVSMRLHRGAPVNISSSDLTGRQDTSRMSTSQIPGRVASSGLQSVVHR
+>DECOY_sp|P48730|KC1D_HUMAN Casein kinase I isoform delta OS=Homo sapiens OX=9606 GN=CSNK1D PE=1 SV=2
+RHVVSQLGSSAVRGPIQSTSMRSTDQRGTLDSSSINVPAGRHLRMSVKREREMGSVPRPSTNATHSTPTLPTPPAVEQTGRLRGSATSPLGRTAPNRSHRLREERDRREREADDAARSAGFKLMNWDFVYDYSFGQRHFLNRFLQRLYSYDPKDDFRLSRCFNLYTAFESPYGKCLVEIPTSMKKESIREYKQRKTAAKLGQWPLSGLNFYMLVYGLSELDDRRSQEIGLHTNISAYRATGTLNKNERYPIHQHTRADRYKKALGFDIIYVLNGKKGLGMLFNDPKVDRHIFNKSHIYEIRSIMQDALLLVTKLSFKRSCFNFLDELSPGLLEMVMVNYDGEAGCWRITPIGVGGQMMKYIKSEIHLQPHKTKVCELKIAVEEGAAIDTGLYIDGFSGSGIKRGLRYRNGVRLEM
+>sp|Q8IU85|KCC1D_HUMAN Calcium/calmodulin-dependent protein kinase type 1D OS=Homo sapiens OX=9606 GN=CAMK1D PE=1 SV=1
+MARENGESSSSWKKQAEDIKKIFEFKETLGTGAFSEVVLAEEKATGKLFAVKCIPKKALKGKESSIENEIAVLRKIKHENIVALEDIYESPNHLYLVMQLVSGGELFDRIVEKGFYTEKDASTLIRQVLDAVYYLHRMGIVHRDLKPENLLYYSQDEESKIMISDFGLSKMEGKGDVMSTACGTPGYVAPEVLAQKPYSKAVDCWSIGVIAYILLCGYPPFYDENDSKLFEQILKAEYEFDSPYWDDISDSAKDFIRNLMEKDPNKRYTCEQAARHPWIAGDTALNKNIHESVSAQIRKNFAKSKWRQAFNATAVVRHMRKLHLGSSLDSSNASVSSSLSLASQKDCLAPSTLCSFISSSSGVSGVGAERRPRPTTVTAVHSGSK
+>DECOY_sp|Q8IU85|KCC1D_HUMAN Calcium/calmodulin-dependent protein kinase type 1D OS=Homo sapiens OX=9606 GN=CAMK1D PE=1 SV=1
+KSGSHVATVTTPRPRREAGVGSVGSSSSIFSCLTSPALCDKQSALSLSSSVSANSSDLSSGLHLKRMHRVVATANFAQRWKSKAFNKRIQASVSEHINKNLATDGAIWPHRAAQECTYRKNPDKEMLNRIFDKASDSIDDWYPSDFEYEAKLIQEFLKSDNEDYFPPYGCLLIYAIVGISWCDVAKSYPKQALVEPAVYGPTGCATSMVDGKGEMKSLGFDSIMIKSEEDQSYYLLNEPKLDRHVIGMRHLYYVADLVQRILTSADKETYFGKEVIRDFLEGGSVLQMVLYLHNPSEYIDELAVINEHKIKRLVAIENEISSEKGKLAKKPICKVAFLKGTAKEEALVVESFAGTGLTEKFEFIKKIDEAQKKWSSSSEGNERAM
+>sp|Q16566|KCC4_HUMAN Calcium/calmodulin-dependent protein kinase type IV OS=Homo sapiens OX=9606 GN=CAMK4 PE=1 SV=1
+MLKVTVPSCSASSCSSVTASAAPGTASLVPDYWIDGSNRDALSDFFEVESELGRGATSIVYRCKQKGTQKPYALKVLKKTVDKKIVRTEIGVLLRLSHPNIIKLKEIFETPTEISLVLELVTGGELFDRIVEKGYYSERDAADAVKQILEAVAYLHENGIVHRDLKPENLLYATPAPDAPLKIADFGLSKIVEHQVLMKTVCGTPGYCAPEILRGCAYGPEVDMWSVGIITYILLCGFEPFYDERGDQFMFRRILNCEYYFISPWWDEVSLNAKDLVRKLIVLDPKKRLTTFQALQHPWVTGKAANFVHMDTAQKKLQEFNARRKLKAAVKAVVASSRLGSASSSHGSIQESHKASRDPSPIQDGNEDMKAIPEGEKIQGDGAQAAVKGAQAELMKVQALEKVKGADINAEEAPKMVPKAVEDGIKVADLELEEGLAEEKLKTVEEAAAPREGQGSSAVGFEVPQQDVILPEY
+>DECOY_sp|Q16566|KCC4_HUMAN Calcium/calmodulin-dependent protein kinase type IV OS=Homo sapiens OX=9606 GN=CAMK4 PE=1 SV=1
+YEPLIVDQQPVEFGVASSGQGERPAAAEEVTKLKEEALGEELELDAVKIGDEVAKPVMKPAEEANIDAGKVKELAQVKMLEAQAGKVAAQAGDGQIKEGEPIAKMDENGDQIPSPDRSAKHSEQISGHSSSASGLRSSAVVAKVAAKLKRRANFEQLKKQATDMHVFNAAKGTVWPHQLAQFTTLRKKPDLVILKRVLDKANLSVEDWWPSIFYYECNLIRRFMFQDGREDYFPEFGCLLIYTIIGVSWMDVEPGYACGRLIEPACYGPTGCVTKMLVQHEVIKSLGFDAIKLPADPAPTAYLLNEPKLDRHVIGNEHLYAVAELIQKVADAADRESYYGKEVIRDFLEGGTVLELVLSIETPTEFIEKLKIINPHSLRLLVGIETRVIKKDVTKKLVKLAYPKQTGKQKCRYVISTAGRGLESEVEFFDSLADRNSGDIWYDPVLSATGPAASATVSSCSSASCSPVTVKLM
+>sp|Q9BQ13|KCD14_HUMAN BTB/POZ domain-containing protein KCTD14 OS=Homo sapiens OX=9606 GN=KCTD14 PE=1 SV=2
+MWQGCAVERPVGRMTSQTPLPQSPRPRRPTMSTVVELNVGGEFHTTTLGTLRKFPGSKLAEMFSSLAKASTDAEGRFFIDRPSTYFRPILDYLRTGQVPTQHIPEVYREAQFYEIKPLVKLLEDMPQIFGEQVSRKQFLLQVPGYSENLELMVRLARAEAITARKSSVLVCLVETEEQDAYYSEVLCFLQDKKMFKSVVKFGPWKAVLDNSDLMHCLEMDIKAQGYKVFSKFYLTYPTKRNEFHFNIYSFTFTWW
+>DECOY_sp|Q9BQ13|KCD14_HUMAN BTB/POZ domain-containing protein KCTD14 OS=Homo sapiens OX=9606 GN=KCTD14 PE=1 SV=2
+WWTFTFSYINFHFENRKTPYTLYFKSFVKYGQAKIDMELCHMLDSNDLVAKWPGFKVVSKFMKKDQLFCLVESYYADQEETEVLCVLVSSKRATIAEARALRVMLELNESYGPVQLLFQKRSVQEGFIQPMDELLKVLPKIEYFQAERYVEPIHQTPVQGTRLYDLIPRFYTSPRDIFFRGEADTSAKALSSFMEALKSGPFKRLTGLTTTHFEGGVNLEVVTSMTPRRPRPSQPLPTQSTMRGVPREVACGQWM
+>sp|Q17RG1|KCD19_HUMAN BTB/POZ domain-containing protein KCTD19 OS=Homo sapiens OX=9606 GN=KCTD19 PE=2 SV=1
+MEESGMAHESAEDLFHFNVGGWHFSVPRSKLSQFPDSLLWKEASALTSSESQRLFIDRDGSTFRHVHYYLYTSKLSFSSCAELNLLYEQALGLQLMPLLQTLDNLKEGKHHLRVRPADLPVAERASLNYWRTWKCISKPSEFPIKSPAFTGLHDKAPLGLMDTPLLDTEEEVHYCFLPLDLVAKYPSLVTEDNLLWLAETVALIECECSEFRFIVNFLRSQKILLPDNFSNIDVLEAEVEILEIPALTEAVRWYRMNMGGCSPTTCSPLSPGKGARTASLESVKPLYTMALGLLVKYPDSALGQLRIESTLDGSRLYITGNGVLFQHVKNWLGTCRLPLTETISEVYELCAFLDKRDITYEPIKVALKTHLEPRTLAPMDVLNEWTAEITVYSPQQIIKVYVGSHWYATTLQTLLKYPELLSNPQRVYWITYGQTLLIHGDGQMFRHILNFLRLGKLFLPSEFKEWPLFCQEVEEYHIPSLSEALAQCEAYKSWTQEKESENEEAFSIRRLHVVTEGPGSLVEFSRDTKETTAYMPVDFEDCSDRTPWNKAKGNLVRSNQMDEAEQYTRPIQVSLCRNAKRAGNPSTYSHCRGLCTNPGHWGSHPESPPKKKCTTINLTQKSETKDPPATPMQKLISLVREWDMVNCKQWEFQPLTATRSSPLEEATLQLPLGSEAASQPSTSAAWKAHSTASEKDPGPQAGAGAGAKDKGPEPTFKPYLPPKRAGTLKDWSKQRTKERESPAPEQPLPEASEVDSLGVILKVTHPPVVGSDGFCMFFEDSIIYTTEMDNLRHTTPTASPQPQEVTFLSFSLSWEEMFYAQKCHCFLADIIMDSIRQKDPKAITAKVVSLANRLWTLHISPKQFVVDLLAITGFKDDRHTQERLYSWVELTLPFARKYGRCMDLLIQRGLSRSVSYSILGKYLQED
+>DECOY_sp|Q17RG1|KCD19_HUMAN BTB/POZ domain-containing protein KCTD19 OS=Homo sapiens OX=9606 GN=KCTD19 PE=2 SV=1
+DEQLYKGLISYSVSRSLGRQILLDMCRGYKRAFPLTLEVWSYLREQTHRDDKFGTIALLDVVFQKPSIHLTWLRNALSVVKATIAKPDKQRISDMIIDALFCHCKQAYFMEEWSLSFSLFTVEQPQPSATPTTHRLNDMETTYIISDEFFMCFGDSGVVPPHTVKLIVGLSDVESAEPLPQEPAPSEREKTRQKSWDKLTGARKPPLYPKFTPEPGKDKAGAGAGAQPGPDKESATSHAKWAASTSPQSAAESGLPLQLTAEELPSSRTATLPQFEWQKCNVMDWERVLSILKQMPTAPPDKTESKQTLNITTCKKKPPSEPHSGWHGPNTCLGRCHSYTSPNGARKANRCLSVQIPRTYQEAEDMQNSRVLNGKAKNWPTRDSCDEFDVPMYATTEKTDRSFEVLSGPGETVVHLRRISFAEENESEKEQTWSKYAECQALAESLSPIHYEEVEQCFLPWEKFESPLFLKGLRLFNLIHRFMQGDGHILLTQGYTIWYVRQPNSLLEPYKLLTQLTTAYWHSGVYVKIIQQPSYVTIEATWENLVDMPALTRPELHTKLAVKIPEYTIDRKDLFACLEYVESITETLPLRCTGLWNKVHQFLVGNGTIYLRSGDLTSEIRLQGLASDPYKVLLGLAMTYLPKVSELSATRAGKGPSLPSCTTPSCGGMNMRYWRVAETLAPIELIEVEAELVDINSFNDPLLIKQSRLFNVIFRFESCECEILAVTEALWLLNDETVLSPYKAVLDLPLFCYHVEEETDLLPTDMLGLPAKDHLGTFAPSKIPFESPKSICKWTRWYNLSAREAVPLDAPRVRLHHKGEKLNDLTQLLPMLQLGLAQEYLLNLEACSSFSLKSTYLYYHVHRFTSGDRDIFLRQSESSTLASAEKWLLSDPFQSLKSRPVSFHWGGVNFHFLDEASEHAMGSEEM
+>sp|Q6PIL6|KCIP4_HUMAN Kv channel-interacting protein 4 OS=Homo sapiens OX=9606 GN=KCNIP4 PE=1 SV=1
+MNVRRVESISAQLEEASSTGGFLYAQNSTKRSIKERLMKLLPCSAAKTSSPAIQNSVEDELEMATVRHRPEALELLEAQSKFTKKELQILYRGFKNECPSGVVNEETFKEIYSQFFPQGDSTTYAHFLFNAFDTDHNGAVSFEDFIKGLSILLRGTVQEKLNWAFNLYDINKDGYITKEEMLDIMKAIYDMMGKCTYPVLKEDAPRQHVETFFQKMDKNKDGVVTIDEFIESCQKDENIMRSMQLFENVI
+>DECOY_sp|Q6PIL6|KCIP4_HUMAN Kv channel-interacting protein 4 OS=Homo sapiens OX=9606 GN=KCNIP4 PE=1 SV=1
+IVNEFLQMSRMINEDKQCSEIFEDITVVGDKNKDMKQFFTEVHQRPADEKLVPYTCKGMMDYIAKMIDLMEEKTIYGDKNIDYLNFAWNLKEQVTGRLLISLGKIFDEFSVAGNHDTDFANFLFHAYTTSDGQPFFQSYIEKFTEENVVGSPCENKFGRYLIQLEKKTFKSQAELLELAEPRHRVTAMELEDEVSNQIAPSSTKAASCPLLKMLREKISRKTSNQAYLFGGTSSAEELQASISEVRRVNM
+>sp|Q9NPA1|KCMB3_HUMAN Calcium-activated potassium channel subunit beta-3 OS=Homo sapiens OX=9606 GN=KCNMB3 PE=1 SV=2
+MDFSPSSELGFHFVAFILLTRHRTAFPASGKKRETDYSDGDPLDVHKRLPSSAGEDRAVMLGFAMMGFSVLMFFLLGTTILKPFMLSIQREESTCTAIHTDIMDDWLDCAFTCGVHCHGQGKYPCLQVFVNLSHPGQKALLHYNEEAVQINPKCFYTPKCHQDRNDLLNSALDIKEFFDHKNGTPFSCFYSPASQSEDVILIKKYDQMAIFHCLFWPSLTLLGGALIVGMVRLTQHLSLLCEKYSTVVRDEVGGKVPYIEQHQFKLCIMRRSKGRAEKS
+>DECOY_sp|Q9NPA1|KCMB3_HUMAN Calcium-activated potassium channel subunit beta-3 OS=Homo sapiens OX=9606 GN=KCNMB3 PE=1 SV=2
+SKEARGKSRRMICLKFQHQEIYPVKGGVEDRVVTSYKECLLSLHQTLRVMGVILAGGLLTLSPWFLCHFIAMQDYKKILIVDESQSAPSYFCSFPTGNKHDFFEKIDLASNLLDNRDQHCKPTYFCKPNIQVAEENYHLLAKQGPHSLNVFVQLCPYKGQGHCHVGCTFACDLWDDMIDTHIATCTSEERQISLMFPKLITTGLLFFMLVSFGMMAFGLMVARDEGASSPLRKHVDLPDGDSYDTERKKGSAPFATRHRTLLIFAVFHFGLESSPSFDM
+>sp|P16389|KCNA2_HUMAN Potassium voltage-gated channel subfamily A member 2 OS=Homo sapiens OX=9606 GN=KCNA2 PE=1 SV=2
+MTVATGDPADEAAALPGHPQDTYDPEADHECCERVVINISGLRFETQLKTLAQFPETLLGDPKKRMRYFDPLRNEYFFDRNRPSFDAILYYYQSGGRLRRPVNVPLDIFSEEIRFYELGEEAMEMFREDEGYIKEEERPLPENEFQRQVWLLFEYPESSGPARIIAIVSVMVILISIVSFCLETLPIFRDENEDMHGSGVTFHTYSNSTIGYQQSTSFTDPFFIVETLCIIWFSFEFLVRFFACPSKAGFFTNIMNIIDIVAIIPYFITLGTELAEKPEDAQQGQQAMSLAILRVIRLVRVFRIFKLSRHSKGLQILGQTLKASMRELGLLIFFLFIGVILFSSAVYFAEADERESQFPSIPDAFWWAVVSMTTVGYGDMVPTTIGGKIVGSLCAIAGVLTIALPVPVIVSNFNYFYHRETEGEEQAQYLQVTSCPKIPSSPDLKKSRSASTISKSDYMEIQEGVNNSNEDFREENLKTANCTLANTNYVNITKMLTDV
+>DECOY_sp|P16389|KCNA2_HUMAN Potassium voltage-gated channel subfamily A member 2 OS=Homo sapiens OX=9606 GN=KCNA2 PE=1 SV=2
+VDTLMKTINVYNTNALTCNATKLNEERFDENSNNVGEQIEMYDSKSITSASRSKKLDPSSPIKPCSTVQLYQAQEEGETERHYFYNFNSVIVPVPLAITLVGAIACLSGVIKGGITTPVMDGYGVTTMSVVAWWFADPISPFQSEREDAEAFYVASSFLIVGIFLFFILLGLERMSAKLTQGLIQLGKSHRSLKFIRFVRVLRIVRLIALSMAQQGQQADEPKEALETGLTIFYPIIAVIDIINMINTFFGAKSPCAFFRVLFEFSFWIICLTEVIFFPDTFSTSQQYGITSNSYTHFTVGSGHMDENEDRFIPLTELCFSVISILIVMVSVIAIIRAPGSSEPYEFLLWVQRQFENEPLPREEEKIYGEDERFMEMAEEGLEYFRIEESFIDLPVNVPRRLRGGSQYYYLIADFSPRNRDFFYENRLPDFYRMRKKPDGLLTEPFQALTKLQTEFRLGSINIVVRECCEHDAEPDYTDQPHGPLAAAEDAPDGTAVTM
+>sp|Q8WWG9|KCNE4_HUMAN Potassium voltage-gated channel subfamily E member 4 OS=Homo sapiens OX=9606 GN=KCNE4 PE=1 SV=4
+MHFLTIYPNCSSGVVRAQSRTEQKNPLGLDDLGIQNLGQTVSLAPAVEAASMLKMEPLNSTHPGTAASSSPLESRAAGGGSGNGNEYFYILVVMSFYGIFLIGIMLGYMKSKRREKKSSLLLLYKDEERLWGEAMKPLPVVSGLRSVQVPLMLNMLQESVAPALSCTLCSMEGDSVSSESSSPDVHLTIQEEGADDELEETSETPLNESSEGSSENIHQNS
+>DECOY_sp|Q8WWG9|KCNE4_HUMAN Potassium voltage-gated channel subfamily E member 4 OS=Homo sapiens OX=9606 GN=KCNE4 PE=1 SV=4
+SNQHINESSGESSENLPTESTEELEDDAGEEQITLHVDPSSSESSVSDGEMSCLTCSLAPAVSEQLMNLMLPVQVSRLGSVVPLPKMAEGWLREEDKYLLLLSSKKERRKSKMYGLMIGILFIGYFSMVVLIYFYENGNGSGGGAARSELPSSSAATGPHTSNLPEMKLMSAAEVAPALSVTQGLNQIGLDDLGLPNKQETRSQARVVGSSCNPYITLFHM
+>sp|Q9H3M0|KCNF1_HUMAN Potassium voltage-gated channel subfamily F member 1 OS=Homo sapiens OX=9606 GN=KCNF1 PE=1 SV=1
+MDGSGERSLPEPGSQSSAASDDIEIVVNVGGVRQVLYGDLLSQYPETRLAELINCLAGGYDTIFSLCDDYDPGKREFYFDRDPDAFKCVIEVYYFGEVHMKKGICPICFKNEMDFWKVDLKFLDDCCKSHLSEKREELEEIARRVQLILDDLGVDAAEGRWRRCQKCVWKFLEKPESSCPARVVAVLSFLLILVSSVVMCMGTIPELQVLDAEGNRVEHPTLENVETACIGWFTLEYLLRLFSSPNKLHFALSFMNIVDVLAILPFYVSLTLTHLGARMMELTNVQQAVQALRIMRIARIFKLARHSSGLQTLTYALKRSFKELGLLLMYLAVGIFVFSALGYTMEQSHPETLFKSIPQSFWWAIITMTTVGYGDIYPKTTLGKLNAAISFLCGVIAIALPIHPIINNFVRYYNKQRVLETAAKHELELMELNSSSGGEGKTGGSRSDLDNLPPEPAGKEAPSCSSRLKLSHSDTFIPLLTEEKHHRTRLQSCK
+>DECOY_sp|Q9H3M0|KCNF1_HUMAN Potassium voltage-gated channel subfamily F member 1 OS=Homo sapiens OX=9606 GN=KCNF1 PE=1 SV=1
+KCSQLRTRHHKEETLLPIFTDSHSLKLRSSCSPAEKGAPEPPLNDLDSRSGGTKGEGGSSSNLEMLELEHKAATELVRQKNYYRVFNNIIPHIPLAIAIVGCLFSIAANLKGLTTKPYIDGYGVTTMTIIAWWFSQPISKFLTEPHSQEMTYGLASFVFIGVALYMLLLGLEKFSRKLAYTLTQLGSSHRALKFIRAIRMIRLAQVAQQVNTLEMMRAGLHTLTLSVYFPLIALVDVINMFSLAFHLKNPSSFLRLLYELTFWGICATEVNELTPHEVRNGEADLVQLEPITGMCMVVSSVLILLFSLVAVVRAPCSSEPKELFKWVCKQCRRWRGEAADVGLDDLILQVRRAIEELEERKESLHSKCCDDLFKLDVKWFDMENKFCIPCIGKKMHVEGFYYVEIVCKFADPDRDFYFERKGPDYDDCLSFITDYGGALCNILEALRTEPYQSLLDGYLVQRVGGVNVVIEIDDSAASSQSGPEPLSREGSGDM
+>sp|Q9ULD8|KCNH3_HUMAN Potassium voltage-gated channel subfamily H member 3 OS=Homo sapiens OX=9606 GN=KCNH3 PE=1 SV=2
+MPAMRGLLAPQNTFLDTIATRFDGTHSNFVLGNAQVAGLFPVVYCSDGFCDLTGFSRAEVMQRGCACSFLYGPDTSELVRQQIRKALDEHKEFKAELILYRKSGLPFWCLLDVIPIKNEKGEVALFLVSHKDISETKNRGGPDRWKETGGGRRRYGRARSKGFNANRRRSRAVLYHLSGHLQKQPKGKHKLNKGVFGEKPNLPEYKVAAIRKSPFILLHCGALRATWDGFILLATLYVAVTVPYSVCVSTAREPSAARGPPSVCDLAVEVLFILDIVLNFRTTFVSKSGQVVFAPKSICLHYVTTWFLLDVIAALPFDLLHAFKVNVYFGAHLLKTVRLLRLLRLLPRLDRYSQYSAVVLTLLMAVFALLAHWVACVWFYIGQREIESSESELPEIGWLQELARRLETPYYLVGRRPAGGNSSGQSDNCSSSSEANGTGLELLGGPSLRSAYITSLYFALSSLTSVGFGNVSANTDTEKIFSICTMLIGALMHAVVFGNVTAIIQRMYARRFLYHSRTRDLRDYIRIHRIPKPLKQRMLEYFQATWAVNNGIDTTELLQSLPDELRADIAMHLHKEVLQLPLFEAASRGCLRALSLALRPAFCTPGEYLIHQGDALQALYFVCSGSMEVLKGGTVLAILGKGDLIGCELPRREQVVKANADVKGLTYCVLQCLQLAGLHDSLALYPEFAPRFSRGLRGELSYNLGAGGGSAEVDTSSLSGDNTLMSTLEEKETDGEQGPTVSPAPADEPSSPLLSPGCTSSSSAAKLLSPRRTAPRPRLGGRGRPGRAGALKAEAGPSAPPRALEGLRLPPMPWNVPPDLSPRVVDGIEDGCGSDQPKFSFRVGQSGPECSSSPSPGPESGLLTVPHGPSEARNTDTLDKLRQAVTELSEQVLQMREGLQSLRQAVQLVLAPHREGPCPRASGEGPCPASTSGLLQPLCVDTGASSYCLQPPAGSVLSGTWPHPRPGPPPLMAPWPWGPPASQSSPWPRATAFWTSTSDSEPPASGDLCSEPSTPASPPPSEEGARTGPAEPVSQAEATSTGEPPPGSGGLALPWDPHSLEMVLIGCHGSGTVQWTQEEGTGV
+>DECOY_sp|Q9ULD8|KCNH3_HUMAN Potassium voltage-gated channel subfamily H member 3 OS=Homo sapiens OX=9606 GN=KCNH3 PE=1 SV=2
+VGTGEEQTWQVTGSGHCGILVMELSHPDWPLALGGSGPPPEGTSTAEAQSVPEAPGTRAGEESPPPSAPTSPESCLDGSAPPESDSTSTWFATARPWPSSQSAPPGWPWPAMLPPPGPRPHPWTGSLVSGAPPQLCYSSAGTDVCLPQLLGSTSAPCPGEGSARPCPGERHPALVLQVAQRLSQLGERMQLVQESLETVAQRLKDLTDTNRAESPGHPVTLLGSEPGPSPSSSCEPGSQGVRFSFKPQDSGCGDEIGDVVRPSLDPPVNWPMPPLRLGELARPPASPGAEAKLAGARGPRGRGGLRPRPATRRPSLLKAASSSSTCGPSLLPSSPEDAPAPSVTPGQEGDTEKEELTSMLTNDGSLSSTDVEASGGGAGLNYSLEGRLGRSFRPAFEPYLALSDHLGALQLCQLVCYTLGKVDANAKVVQERRPLECGILDGKGLIALVTGGKLVEMSGSCVFYLAQLADGQHILYEGPTCFAPRLALSLARLCGRSAAEFLPLQLVEKHLHMAIDARLEDPLSQLLETTDIGNNVAWTAQFYELMRQKLPKPIRHIRIYDRLDRTRSHYLFRRAYMRQIIATVNGFVVAHMLAGILMTCISFIKETDTNASVNGFGVSTLSSLAFYLSTIYASRLSPGGLLELGTGNAESSSSCNDSQGSSNGGAPRRGVLYYPTELRRALEQLWGIEPLESESSEIERQGIYFWVCAVWHALLAFVAMLLTLVVASYQSYRDLRPLLRLLRLLRVTKLLHAGFYVNVKFAHLLDFPLAAIVDLLFWTTVYHLCISKPAFVVQGSKSVFTTRFNLVIDLIFLVEVALDCVSPPGRAASPERATSVCVSYPVTVAVYLTALLIFGDWTARLAGCHLLIFPSKRIAAVKYEPLNPKEGFVGKNLKHKGKPQKQLHGSLHYLVARSRRRNANFGKSRARGYRRRGGGTEKWRDPGGRNKTESIDKHSVLFLAVEGKENKIPIVDLLCWFPLGSKRYLILEAKFEKHEDLAKRIQQRVLESTDPGYLFSCACGRQMVEARSFGTLDCFGDSCYVVPFLGAVQANGLVFNSHTGDFRTAITDLFTNQPALLGRMAPM
+>sp|P48549|KCNJ3_HUMAN G protein-activated inward rectifier potassium channel 1 OS=Homo sapiens OX=9606 GN=KCNJ3 PE=1 SV=1
+MSALRRKFGDDYQVVTTSSSGSGLQPQGPGQDPQQQLVPKKKRQRFVDKNGRCNVQHGNLGSETSRYLSDLFTTLVDLKWRWNLFIFILTYTVAWLFMASMWWVIAYTRGDLNKAHVGNYTPCVANVYNFPSAFLFFIETEATIGYGYRYITDKCPEGIILFLFQSILGSIVDAFLIGCMFIKMSQPKKRAETLMFSEHAVISMRDGKLTLMFRVGNLRNSHMVSAQIRCKLLKSRQTPEGEFLPLDQLELDVGFSTGADQLFLVSPLTICHVIDAKSPFYDLSQRSMQTEQFEIVVILEGIVETTGMTCQARTSYTEDEVLWGHRFFPVISLEEGFFKVDYSQFHATFEVPTPPYSVKEQEEMLLMSSPLIAPAITNSKERHNSVECLDGLDDITTKLPSKLQKITGREDFPKKLLRMSSTTSEKAYSLGDLPMKLQRISSVPGNSEEKLVSKTTKMLSDPMSQSVADLPPKLQKMAGGAARMEGNLPAKLRKMNSDRFT
+>DECOY_sp|P48549|KCNJ3_HUMAN G protein-activated inward rectifier potassium channel 1 OS=Homo sapiens OX=9606 GN=KCNJ3 PE=1 SV=1
+TFRDSNMKRLKAPLNGEMRAAGGAMKQLKPPLDAVSQSMPDSLMKTTKSVLKEESNGPVSSIRQLKMPLDGLSYAKESTTSSMRLLKKPFDERGTIKQLKSPLKTTIDDLGDLCEVSNHREKSNTIAPAILPSSMLLMEEQEKVSYPPTPVEFTAHFQSYDVKFFGEELSIVPFFRHGWLVEDETYSTRAQCTMGTTEVIGELIVVIEFQETQMSRQSLDYFPSKADIVHCITLPSVLFLQDAGTSFGVDLELQDLPLFEGEPTQRSKLLKCRIQASVMHSNRLNGVRFMLTLKGDRMSIVAHESFMLTEARKKPQSMKIFMCGILFADVISGLISQFLFLIIGEPCKDTIYRYGYGITAETEIFFLFASPFNYVNAVCPTYNGVHAKNLDGRTYAIVWWMSAMFLWAVTYTLIFIFLNWRWKLDVLTTFLDSLYRSTESGLNGHQVNCRGNKDVFRQRKKKPVLQQQPDQGPGQPQLGSGSSSTTVVQYDDGFKRRLASM
+>sp|P48050|KCNJ4_HUMAN Inward rectifier potassium channel 4 OS=Homo sapiens OX=9606 GN=KCNJ4 PE=1 SV=1
+MHGHSRNGQAHVPRRKRRNRFVKKNGQCNVYFANLSNKSQRYMADIFTTCVDTRWRYMLMIFSAAFLVSWLFFGLLFWCIAFFHGDLEASPGVPAAGGPAAGGGGAAPVAPKPCIMHVNGFLGAFLFSVETQTTIGYGFRCVTEECPLAVIAVVVQSIVGCVIDSFMIGTIMAKMARPKKRAQTLLFSHHAVISVRDGKLCLMWRVGNLRKSHIVEAHVRAQLIKPYMTQEGEYLPLDQRDLNVGYDIGLDRIFLVSPIIIVHEIDEDSPLYGMGKEELESEDFEIVVILEGMVEATAMTTQARSSYLASEILWGHRFEPVVFEEKSHYKVDYSRFHKTYEVAGTPCCSARELQESKITVLPAPPPPPSAFCYENELALMSQEEEEMEEEAAAAAAVAAGLGLEAGSKEEAGIIRMLEFGSHLDLERMQASLPLDNISYRRESAI
+>DECOY_sp|P48050|KCNJ4_HUMAN Inward rectifier potassium channel 4 OS=Homo sapiens OX=9606 GN=KCNJ4 PE=1 SV=1
+IASERRYSINDLPLSAQMRELDLHSGFELMRIIGAEEKSGAELGLGAAVAAAAAAEEEMEEEEQSMLALENEYCFASPPPPPAPLVTIKSEQLERASCCPTGAVEYTKHFRSYDVKYHSKEEFVVPEFRHGWLIESALYSSRAQTTMATAEVMGELIVVIEFDESELEEKGMGYLPSDEDIEHVIIIPSVLFIRDLGIDYGVNLDRQDLPLYEGEQTMYPKILQARVHAEVIHSKRLNGVRWMLCLKGDRVSIVAHHSFLLTQARKKPRAMKAMITGIMFSDIVCGVISQVVVAIVALPCEETVCRFGYGITTQTEVSFLFAGLFGNVHMICPKPAVPAAGGGGAAPGGAAPVGPSAELDGHFFAICWFLLGFFLWSVLFAASFIMLMYRWRTDVCTTFIDAMYRQSKNSLNAFYVNCQGNKKVFRNRRKRRPVHAQGNRSHGHM
+>sp|P48051|KCNJ6_HUMAN G protein-activated inward rectifier potassium channel 2 OS=Homo sapiens OX=9606 GN=KCNJ6 PE=1 SV=1
+MAKLTESMTNVLEGDSMDQDVESPVAIHQPKLPKQARDDLPRHISRDRTKRKIQRYVRKDGKCNVHHGNVRETYRYLTDIFTTLVDLKWRFNLLIFVMVYTVTWLFFGMIWWLIAYIRGDMDHIEDPSWTPCVTNLNGFVSAFLFSIETETTIGYGYRVITDKCPEGIILLLIQSVLGSIVNAFMVGCMFVKISQPKKRAETLVFSTHAVISMRDGKLCLMFRVGDLRNSHIVEASIRAKLIKSKQTSEGEFIPLNQTDINVGYYTGDDRLFLVSPLIISHEINQQSPFWEISKAQLPKEELEIVVILEGMVEATGMTCQARSSYITSEILWGYRFTPVLTLEDGFYEVDYNSFHETYETSTPSLSAKELAELASRAELPLSWSVSSKLNQHAELETEEEEKNLEEQTERNGDVANLENESKV
+>DECOY_sp|P48051|KCNJ6_HUMAN G protein-activated inward rectifier potassium channel 2 OS=Homo sapiens OX=9606 GN=KCNJ6 PE=1 SV=1
+VKSENELNAVDGNRETQEELNKEEEETELEAHQNLKSSVSWSLPLEARSALEALEKASLSPTSTEYTEHFSNYDVEYFGDELTLVPTFRYGWLIESTIYSSRAQCTMGTAEVMGELIVVIELEEKPLQAKSIEWFPSQQNIEHSIILPSVLFLRDDGTYYGVNIDTQNLPIFEGESTQKSKILKARISAEVIHSNRLDGVRFMLCLKGDRMSIVAHTSFVLTEARKKPQSIKVFMCGVMFANVISGLVSQILLLIIGEPCKDTIVRYGYGITTETEISFLFASVFGNLNTVCPTWSPDEIHDMDGRIYAILWWIMGFFLWTVTYVMVFILLNFRWKLDVLTTFIDTLYRYTERVNGHHVNCKGDKRVYRQIKRKTRDRSIHRPLDDRAQKPLKPQHIAVPSEVDQDMSDGELVNTMSETLKAM
+>sp|O14649|KCNK3_HUMAN Potassium channel subfamily K member 3 OS=Homo sapiens OX=9606 GN=KCNK3 PE=1 SV=1
+MKRQNVRTLALIVCTFTYLLVGAAVFDALESEPELIERQRLELRQQELRARYNLSQGGYEELERVVLRLKPHKAGVQWRFAGSFYFAITVITTIGYGHAAPSTDGGKVFCMFYALLGIPLTLVMFQSLGERINTLVRYLLHRAKKGLGMRRADVSMANMVLIGFFSCISTLCIGAAAFSHYEHWTFFQAYYYCFITLTTIGFGDYVALQKDQALQTQPQYVAFSFVYILTGLTVIGAFLNLVVLRFMTMNAEDEKRDAEHRALLTRNGQAGGGGGGGSAHTTDTASSTAAAGGGGFRNVYAEVLHFQSMCSCLWYKSREKLQYSIPMIIPRDLSTSDTCVEQSHSSPGGGGRYSDTPSRRCLCSGAPRSAISSVSTGLHSLSTFRGLMKRRSSV
+>DECOY_sp|O14649|KCNK3_HUMAN Potassium channel subfamily K member 3 OS=Homo sapiens OX=9606 GN=KCNK3 PE=1 SV=1
+VSSRRKMLGRFTSLSHLGTSVSSIASRPAGSCLCRRSPTDSYRGGGGPSSHSQEVCTDSTSLDRPIIMPISYQLKERSKYWLCSCMSQFHLVEAYVNRFGGGGAAATSSATDTTHASGGGGGGGAQGNRTLLARHEADRKEDEANMTMFRLVVLNLFAGIVTLGTLIYVFSFAVYQPQTQLAQDKQLAVYDGFGITTLTIFCYYYAQFFTWHEYHSFAAAGICLTSICSFFGILVMNAMSVDARRMGLGKKARHLLYRVLTNIREGLSQFMVLTLPIGLLAYFMCFVKGGDTSPAAHGYGITTIVTIAFYFSGAFRWQVGAKHPKLRLVVRELEEYGGQSLNYRARLEQQRLELRQREILEPESELADFVAAGVLLYTFTCVILALTRVNQRKM
+>sp|Q7Z418|KCNKI_HUMAN Potassium channel subfamily K member 18 OS=Homo sapiens OX=9606 GN=KCNK18 PE=1 SV=1
+MEVSGHPQARRCCPEALGKLFPGLCFLCFLVTYALVGAVVFSAIEDGQVLVAADDGEFEKFLEELCRILNCSETVVEDRKQDLQGHLQKVKPQWFNRTTHWSFLSSLFFCCTVFSTVGYGYIYPVTRLGKYLCMLYALFGIPLMFLVLTDTGDILATILSTSYNRFRKFPFFTRPLLSKWCPKSLFKKKPDPKPADEAVPQIIISAEELPGPKLGTCPSRPSCSMELFERSHALEKQNTLQLPPQAMERSNSCPELVLGRLSYSIISNLDEVGQQVERLDIPLPIIALIVFAYISCAAAILPFWETQLDFENAFYFCFVTLTTIGFGDTVLEHPNFFLFFSIYIIVGMEIVFIAFKLVQNRLIDIYKNVMLFFAKGKFYHLVKK
+>DECOY_sp|Q7Z418|KCNKI_HUMAN Potassium channel subfamily K member 18 OS=Homo sapiens OX=9606 GN=KCNK18 PE=1 SV=1
+KKVLHYFKGKAFFLMVNKYIDILRNQVLKFAIFVIEMGVIIYISFFLFFNPHELVTDGFGITTLTVFCFYFANEFDLQTEWFPLIAAACSIYAFVILAIIPLPIDLREVQQGVEDLNSIISYSLRGLVLEPCSNSREMAQPPLQLTNQKELAHSREFLEMSCSPRSPCTGLKPGPLEEASIIIQPVAEDAPKPDPKKKFLSKPCWKSLLPRTFFPFKRFRNYSTSLITALIDGTDTLVLFMLPIGFLAYLMCLYKGLRTVPYIYGYGVTSFVTCCFFLSSLFSWHTTRNFWQPKVKQLHGQLDQKRDEVVTESCNLIRCLEELFKEFEGDDAAVLVQGDEIASFVVAGVLAYTVLFCLFCLGPFLKGLAEPCCRRAQPHGSVEM
+>sp|Q9H2S1|KCNN2_HUMAN Small conductance calcium-activated potassium channel protein 2 OS=Homo sapiens OX=9606 GN=KCNN2 PE=1 SV=2
+MSSCRYNGGVMRPLSNLSASRRNLHEMDSEAQPLQPPASVGGGGGASSPSAAAAAAAAVSSSAPEIVVSKPEHNNSNNLALYGTGGGGSTGGGGGGGGSGHGSSSGTKSSKKKNQNIGYKLGHRRALFEKRKRLSDYALIFGMFGIVVMVIETELSWGAYDKASLYSLALKCLISLSTIILLGLIIVYHAREIQLFMVDNGADDWRIAMTYERIFFICLEILVCAIHPIPGNYTFTWTARLAFSYAPSTTTADVDIILSIPMFLRLYLIARVMLLHSKLFTDASSRSIGALNKINFNTRFVMKTLMTICPGTVLLVFSISLWIIAAWTVRACERYHDQQDVTSNFLGAMWLISITFLSIGYGDMVPNTYCGKGVCLLTGIMGAGCTALVVAVVARKLELTKAEKHVHNFMMDTQLTKRVKNAAANVLRETWLIYKNTKLVKKIDHAKVRKHQRKFLQAIHQLRSVKMEQRKLNDQANTLVDLAKTQNIMYDMISDLNERSEDFEKRIVTLETKLETLIGSIHALPGLISQTIRQQQRDFIEAQMESYDKHVTYNAERSRSSSRRRRSSSTAPPTSSESS
+>DECOY_sp|Q9H2S1|KCNN2_HUMAN Small conductance calcium-activated potassium channel protein 2 OS=Homo sapiens OX=9606 GN=KCNN2 PE=1 SV=2
+SSESSTPPATSSSRRRRSSSRSREANYTVHKDYSEMQAEIFDRQQQRITQSILGPLAHISGILTELKTELTVIRKEFDESRENLDSIMDYMINQTKALDVLTNAQDNLKRQEMKVSRLQHIAQLFKRQHKRVKAHDIKKVLKTNKYILWTERLVNAAANKVRKTLQTDMMFNHVHKEAKTLELKRAVVAVVLATCGAGMIGTLLCVGKGCYTNPVMDGYGISLFTISILWMAGLFNSTVDQQDHYRECARVTWAAIIWLSISFVLLVTGPCITMLTKMVFRTNFNIKNLAGISRSSADTFLKSHLLMVRAILYLRLFMPISLIIDVDATTTSPAYSFALRATWTFTYNGPIPHIACVLIELCIFFIREYTMAIRWDDAGNDVMFLQIERAHYVIILGLLIITSLSILCKLALSYLSAKDYAGWSLETEIVMVVIGFMGFILAYDSLRKRKEFLARRHGLKYGINQNKKKSSKTGSSSGHGSGGGGGGGGTSGGGGTGYLALNNSNNHEPKSVVIEPASSSVAAAAAAAASPSSAGGGGGVSAPPQLPQAESDMEHLNRRSASLNSLPRMVGGNYRCSSM
+>sp|Q9UGI6|KCNN3_HUMAN Small conductance calcium-activated potassium channel protein 3 OS=Homo sapiens OX=9606 GN=KCNN3 PE=2 SV=1
+MDTSGHFHDSGVGDLDEDPKCPCPSSGDEQQQQQQQQQQQQPPPPAPPAAPQQPLGPSLQPQPPQLQQQQQQQQQQQQQQQQQQQPPHPLSQLAQLQSQPVHPGLLHSSPTAFRAPPSSNSTAILHPSSRQGSQLNLNDHLLGHSPSSTATSGPGGGSRHRQASPLVHRRDSNPFTEIAMSSCKYSGGVMKPLSRLSASRRNLIEAETEGQPLQLFSPSNPPEIVISSREDNHAHQTLLHHPNATHNHQHAGTTASSTTFPKANKRKNQNIGYKLGHRRALFEKRKRLSDYALIFGMFGIVVMVIETELSWGLYSKDSMFSLALKCLISLSTIILLGLIIAYHTREVQLFVIDNGADDWRIAMTYERILYISLEMLVCAIHPIPGEYKFFWTARLAFSYTPSRAEADVDIILSIPMFLRLYLIARVMLLHSKLFTDASSRSIGALNKINFNTRFVMKTLMTICPGTVLLVFSISLWIIAAWTVRVCERYHDQQDVTSNFLGAMWLISITFLSIGYGDMVPHTYCGKGVCLLTGIMGAGCTALVVAVVARKLELTKAEKHVHNFMMDTQLTKRIKNAAANVLRETWLIYKHTKLLKKIDHAKVRKHQRKFLQAIHQLRSVKMEQRKLSDQANTLVDLSKMQNVMYDLITELNDRSEDLEKQIGSLESKLEHLTASFNSLPLLIADTLRQQQQQLLSAIIEARGVSVAVGTTHTPISDSPIGVSSTSFPTPYTSSSSC
+>DECOY_sp|Q9UGI6|KCNN3_HUMAN Small conductance calcium-activated potassium channel protein 3 OS=Homo sapiens OX=9606 GN=KCNN3 PE=2 SV=1
+CSSSSTYPTPFSTSSVGIPSDSIPTHTTGVAVSVGRAEIIASLLQQQQQRLTDAILLPLSNFSATLHELKSELSGIQKELDESRDNLETILDYMVNQMKSLDVLTNAQDSLKRQEMKVSRLQHIAQLFKRQHKRVKAHDIKKLLKTHKYILWTERLVNAAANKIRKTLQTDMMFNHVHKEAKTLELKRAVVAVVLATCGAGMIGTLLCVGKGCYTHPVMDGYGISLFTISILWMAGLFNSTVDQQDHYRECVRVTWAAIIWLSISFVLLVTGPCITMLTKMVFRTNFNIKNLAGISRSSADTFLKSHLLMVRAILYLRLFMPISLIIDVDAEARSPTYSFALRATWFFKYEGPIPHIACVLMELSIYLIREYTMAIRWDDAGNDIVFLQVERTHYAIILGLLIITSLSILCKLALSFMSDKSYLGWSLETEIVMVVIGFMGFILAYDSLRKRKEFLARRHGLKYGINQNKRKNAKPFTTSSATTGAHQHNHTANPHHLLTQHAHNDERSSIVIEPPNSPSFLQLPQGETEAEILNRRSASLRSLPKMVGGSYKCSSMAIETFPNSDRRHVLPSAQRHRSGGGPGSTATSSPSHGLLHDNLNLQSGQRSSPHLIATSNSSPPARFATPSSHLLGPHVPQSQLQALQSLPHPPQQQQQQQQQQQQQQQQQQQLQPPQPQLSPGLPQQPAAPPAPPPPQQQQQQQQQQQQEDGSSPCPCKPDEDLDGVGSDHFHGSTDM
+>sp|P51787|KCNQ1_HUMAN Potassium voltage-gated channel subfamily KQT member 1 OS=Homo sapiens OX=9606 GN=KCNQ1 PE=1 SV=3
+MAAASSPPRAERKRWGWGRLPGARRGSAGLAKKCPFSLELAEGGPAGGALYAPIAPGAPGPAPPASPAAPAAPPVASDLGPRPPVSLDPRVSIYSTRRPVLARTHVQGRVYNFLERPTGWKCFVYHFAVFLIVLVCLIFSVLSTIEQYAALATGTLFWMEIVLVVFFGTEYVVRLWSAGCRSKYVGLWGRLRFARKPISIIDLIVVVASMVVLCVGSKGQVFATSAIRGIRFLQILRMLHVDRQGGTWRLLGSVVFIHRQELITTLYIGFLGLIFSSYFVYLAEKDAVNESGRVEFGSYADALWWGVVTVTTIGYGDKVPQTWVGKTIASCFSVFAISFFALPAGILGSGFALKVQQKQRQKHFNRQIPAAASLIQTAWRCYAAENPDSSTWKIYIRKAPRSHTLLSPSPKPKKSVVVKKKKFKLDKDNGVTPGEKMLTVPHITCDPPEERRLDHFSVDGYDSSVRKSPTLLEVSMPHFMRTNSFAEDLDLEGETLLTPITHISQLREHHRATIKVIRRMQYFVAKKKFQQARKPYDVRDVIEQYSQGHLNLMVRIKELQRRLDQSIGKPSLFISVSEKSKDRGSNTIGARLNRVEDKVTQLDQRLALITDMLHQLLSLHGGSTPGSGGPPREGGAHITQPCGSGGSVDPELFLPSNTLPTYEQLTVPRRGPDEGS
+>DECOY_sp|P51787|KCNQ1_HUMAN Potassium voltage-gated channel subfamily KQT member 1 OS=Homo sapiens OX=9606 GN=KCNQ1 PE=1 SV=3
+SGEDPGRRPVTLQEYTPLTNSPLFLEPDVSGGSGCPQTIHAGGERPPGGSGPTSGGHLSLLQHLMDTILALRQDLQTVKDEVRNLRAGITNSGRDKSKESVSIFLSPKGISQDLRRQLEKIRVMLNLHGQSYQEIVDRVDYPKRAQQFKKKAVFYQMRRIVKITARHHERLQSIHTIPTLLTEGELDLDEAFSNTRMFHPMSVELLTPSKRVSSDYGDVSFHDLRREEPPDCTIHPVTLMKEGPTVGNDKDLKFKKKKVVVSKKPKPSPSLLTHSRPAKRIYIKWTSSDPNEAAYCRWATQILSAAAPIQRNFHKQRQKQQVKLAFGSGLIGAPLAFFSIAFVSFCSAITKGVWTQPVKDGYGITTVTVVGWWLADAYSGFEVRGSENVADKEALYVFYSSFILGLFGIYLTTILEQRHIFVVSGLLRWTGGQRDVHLMRLIQLFRIGRIASTAFVQGKSGVCLVVMSAVVVILDIISIPKRAFRLRGWLGVYKSRCGASWLRVVYETGFFVVLVIEMWFLTGTALAAYQEITSLVSFILCVLVILFVAFHYVFCKWGTPRELFNYVRGQVHTRALVPRRTSYISVRPDLSVPPRPGLDSAVPPAAPAAPSAPPAPGPAGPAIPAYLAGGAPGGEALELSFPCKKALGASGRRAGPLRGWGWRKREARPPSSAAAM
+>sp|P56696|KCNQ4_HUMAN Potassium voltage-gated channel subfamily KQT member 4 OS=Homo sapiens OX=9606 GN=KCNQ4 PE=1 SV=2
+MAEAPPRRLGLGPPPGDAPRAELVALTAVQSEQGEAGGGGSPRRLGLLGSPLPPGAPLPGPGSGSGSACGQRSSAAHKRYRRLQNWVYNVLERPRGWAFVYHVFIFLLVFSCLVLSVLSTIQEHQELANECLLILEFVMIVVFGLEYIVRVWSAGCCCRYRGWQGRFRFARKPFCVIDFIVFVASVAVIAAGTQGNIFATSALRSMRFLQILRMVRMDRRGGTWKLLGSVVYAHSKELITAWYIGFLVLIFASFLVYLAEKDANSDFSSYADSLWWGTITLTTIGYGDKTPHTWLGRVLAAGFALLGISFFALPAGILGSGFALKVQEQHRQKHFEKRRMPAANLIQAAWRLYSTDMSRAYLTATWYYYDSILPSFRELALLFEHVQRARNGGLRPLEVRRAPVPDGAPSRYPPVATCHRPGSTSFCPGESSRMGIKDRIRMGSSQRRTGPSKQHLAPPTMPTSPSSEQVGEATSPTKVQKSWSFNDRTRFRASLRLKPRTSAEDAPSEEVAEEKSYQCELTVDDIMPAVKTVIRSIRILKFLVAKRKFKETLRPYDVKDVIEQYSAGHLDMLGRIKSLQTRVDQIVGRGPGDRKAREKGDKGPSDAEVVDEISMMGRVVKVEKQVQSIEHKLDLLLGFYSRCLRSGTSASLGAVQVPLFDPDITSDYHSPVDHEDISVSAQTLSISRSVSTNMD
+>DECOY_sp|P56696|KCNQ4_HUMAN Potassium voltage-gated channel subfamily KQT member 4 OS=Homo sapiens OX=9606 GN=KCNQ4 PE=1 SV=2
+DMNTSVSRSISLTQASVSIDEHDVPSHYDSTIDPDFLPVQVAGLSASTGSRLCRSYFGLLLDLKHEISQVQKEVKVVRGMMSIEDVVEADSPGKDGKERAKRDGPGRGVIQDVRTQLSKIRGLMDLHGASYQEIVDKVDYPRLTEKFKRKAVLFKLIRISRIVTKVAPMIDDVTLECQYSKEEAVEESPADEASTRPKLRLSARFRTRDNFSWSKQVKTPSTAEGVQESSPSTPMTPPALHQKSPGTRRQSSGMRIRDKIGMRSSEGPCFSTSGPRHCTAVPPYRSPAGDPVPARRVELPRLGGNRARQVHEFLLALERFSPLISDYYYWTATLYARSMDTSYLRWAAQILNAAPMRRKEFHKQRHQEQVKLAFGSGLIGAPLAFFSIGLLAFGAALVRGLWTHPTKDGYGITTLTITGWWLSDAYSSFDSNADKEALYVLFSAFILVLFGIYWATILEKSHAYVVSGLLKWTGGRRDMRVMRLIQLFRMSRLASTAFINGQTGAAIVAVSAVFVIFDIVCFPKRAFRFRGQWGRYRCCCGASWVRVIYELGFVVIMVFELILLCENALEQHEQITSLVSLVLCSFVLLFIFVHYVFAWGRPRELVNYVWNQLRRYRKHAASSRQGCASGSGSGPGPLPAGPPLPSGLLGLRRPSGGGGAEGQESQVATLAVLEARPADGPPPGLGLRRPPAEAM
+>sp|Q9ULS6|KCNS2_HUMAN Potassium voltage-gated channel subfamily S member 2 OS=Homo sapiens OX=9606 GN=KCNS2 PE=1 SV=2
+MTGQSLWDVSEANVEDGEIRINVGGFKRRLRSHTLLRFPETRLGRLLLCHSREAILELCDDYDDVQREFYFDRNPELFPYVLHFYHTGKLHVMAELCVFSFSQEIEYWGINEFFIDSCCSYSYHGRKVEPEQEKWDEQSDQESTTSSFDEILAFYNDASKFDGQPLGNFRRQLWLALDNPGYSVLSRVFSILSILVVMGSIITMCLNSLPDFQIPDSQGNPGEDPRFEIVEHFGIAWFTFELVARFAVAPDFLKFFKNALNLIDLMSIVPFYITLVVNLVVESTPTLANLGRVAQVLRLMRIFRILKLARHSTGLRSLGATLKYSYKEVGLLLLYLSVGISIFSVVAYTIEKEENEGLATIPACWWWATVSMTTVGYGDVVPGTTAGKLTASACILAGILVVVLPITLIFNKFSHFYRRQKQLESAMRSCDFGDGMKEVPSVNLRDYYAHKVKSLMASLTNMSRSSPSELSLNDSLR
+>DECOY_sp|Q9ULS6|KCNS2_HUMAN Potassium voltage-gated channel subfamily S member 2 OS=Homo sapiens OX=9606 GN=KCNS2 PE=1 SV=2
+RLSDNLSLESPSSRSMNTLSAMLSKVKHAYYDRLNVSPVEKMGDGFDCSRMASELQKQRRYFHSFKNFILTIPLVVVLIGALICASATLKGATTGPVVDGYGVTTMSVTAWWWCAPITALGENEEKEITYAVVSFISIGVSLYLLLLGVEKYSYKLTAGLSRLGTSHRALKLIRFIRMLRLVQAVRGLNALTPTSEVVLNVVLTIYFPVISMLDILNLANKFFKLFDPAVAFRAVLEFTFWAIGFHEVIEFRPDEGPNGQSDPIQFDPLSNLCMTIISGMVVLISLISFVRSLVSYGPNDLALWLQRRFNGLPQGDFKSADNYFALIEDFSSTTSEQDSQEDWKEQEPEVKRGHYSYSCCSDIFFENIGWYEIEQSFSFVCLEAMVHLKGTHYFHLVYPFLEPNRDFYFERQVDDYDDCLELIAERSHCLLLRGLRTEPFRLLTHSRLRRKFGGVNIRIEGDEVNAESVDWLSQGTM
+>sp|Q6UVM3|KCNT2_HUMAN Potassium channel subfamily T member 2 OS=Homo sapiens OX=9606 GN=KCNT2 PE=1 SV=1
+MVDLESEVPPLPPRYRFRDLLLGDQGWQNDDRVQVEFYMNENTFKERLKLFFIKNQRSSLRIRLFNFSLKLLSCLLYIIRVLLENPSQGNEWSHIFWVNRSLPLWGLQVSVALISLFETILLGYLSYKGNIWEQILRIPFILEIINAVPFIISIFWPSLRNLFVPVFLNCWLAKHALENMINDLHRAIQRTQSAMFNQVLILISTLLCLIFTCICGIQHLERIGKKLNLFDSLYFCIVTFSTVGFGDVTPETWSSKLFVVAMICVALVVLPIQFEQLAYLWMERQKSGGNYSRHRAQTEKHVVLCVSSLKIDLLMDFLNEFYAHPRLQDYYVVILCPTEMDVQVRRVLQIPMWSQRVIYLQGSALKDQDLLRAKMDDAEACFILSSRCEVDRTSSDHQTILRAWAVKDFAPNCPLYVQILKPENKFHIKFADHVVCEEEFKYAMLALNCICPATSTLITLLVHTSRGQEGQQSPEQWQKMYGRCSGNEVYHIVLEESTFFAEYEGKSFTYASFHAHKKFGVCLIGVRREDNKNILLNPGPRYIMNSTDICFYINITKEENSAFKNQDQQRKSNVSRSFYHGPSRLPVHSIIASMGTVAIDLQDTSCRSASGPTLSLPTEGSKEIRRPSIAPVLEVADTSSIQTCDLLSDQSEDETTPDEEMSSNLEYAKGYPPYSPYIGSSPTFCHLLHEKVPFCCLRLDKSCQHNYYEDAKAYGFKNKLIIVAAETAGNGLYNFIVPLRAYYRPKKELNPIVLLLDNPPDMHFLDAICWFPMVYYMVGSIDNLDDLLRCGVTFAANMVVVDKESTMSAEEDYMADAKTIVNVQTLFRLFSSLSIITELTHPANMRFMQFRAKDCYSLALSKLEKKERERGSNLAFMFRLPFAAGRVFSISMLDTLLYQSFVKDYMISITRLLLGLDTTPGSGFLCSMKITADDLWIRTYARLYQKLCSSTGDVPIGIYRTESQKLTTSESQISISVEEWEDTKDSKEQGHHRSNHRNSTSSDQSDHPLLRRKSMQWARRLSRKGPKHSGKTAEKITQQRLNLYRRSERQELAELVKNRMKHLGLSTVGYDEMNDHQSTLSYILINPSPDTRIELNDVVYLIRPDPLAYLPNSEPSRRNSICNVTGQDSREETQL
+>DECOY_sp|Q6UVM3|KCNT2_HUMAN Potassium channel subfamily T member 2 OS=Homo sapiens OX=9606 GN=KCNT2 PE=1 SV=1
+LQTEERSDQGTVNCISNRRSPESNPLYALPDPRILYVVDNLEIRTDPSPNILIYSLTSQHDNMEDYGVTSLGLHKMRNKVLEALEQRESRRYLNLRQQTIKEATKGSHKPGKRSLRRAWQMSKRRLLPHDSQDSSTSNRHNSRHHGQEKSDKTDEWEEVSISIQSESTTLKQSETRYIGIPVDGTSSCLKQYLRAYTRIWLDDATIKMSCLFGSGPTTDLGLLLRTISIMYDKVFSQYLLTDLMSISFVRGAAFPLRFMFALNSGREREKKELKSLALSYCDKARFQMFRMNAPHTLETIISLSSFLRFLTQVNVITKADAMYDEEASMTSEKDVVVMNAAFTVGCRLLDDLNDISGVMYYVMPFWCIADLFHMDPPNDLLLVIPNLEKKPRYYARLPVIFNYLGNGATEAAVIILKNKFGYAKADEYYNHQCSKDLRLCCFPVKEHLLHCFTPSSGIYPSYPPYGKAYELNSSMEEDPTTEDESQDSLLDCTQISSTDAVELVPAISPRRIEKSGETPLSLTPGSASRCSTDQLDIAVTGMSAIISHVPLRSPGHYFSRSVNSKRQQDQNKFASNEEKTINIYFCIDTSNMIYRPGPNLLINKNDERRVGILCVGFKKHAHFSAYTFSKGEYEAFFTSEELVIHYVENGSCRGYMKQWQEPSQQGEQGRSTHVLLTILTSTAPCICNLALMAYKFEEECVVHDAFKIHFKNEPKLIQVYLPCNPAFDKVAWARLITQHDSSTRDVECRSSLIFCAEADDMKARLLDQDKLASGQLYIVRQSWMPIQLVRRVQVDMETPCLIVVYYDQLRPHAYFENLFDMLLDIKLSSVCLVVHKETQARHRSYNGGSKQREMWLYALQEFQIPLVVLAVCIMAVVFLKSSWTEPTVDGFGVTSFTVICFYLSDFLNLKKGIRELHQIGCICTFILCLLTSILILVQNFMASQTRQIARHLDNIMNELAHKALWCNLFVPVFLNRLSPWFISIIFPVANIIELIFPIRLIQEWINGKYSLYGLLITEFLSILAVSVQLGWLPLSRNVWFIHSWENGQSPNELLVRIIYLLCSLLKLSFNFLRIRLSSRQNKIFFLKLREKFTNENMYFEVQVRDDNQWGQDGLLLDRFRYRPPLPPVESELDVM
+>sp|A8MYU2|KCNU1_HUMAN Potassium channel subfamily U member 1 OS=Homo sapiens OX=9606 GN=KCNU1 PE=1 SV=2
+MFQTKLRNETWEDLPKMSCTTEIQAAFILSSFVTFFSGLIILLIFRLIWRSVKKWQIIKGTGIILELFTSGTIARSHVRSLHFQGQFRDHIEMLLSAQTFVGQVLVILVFVLSIGSLIIYFINSADPVGSCSSYEDKTIPIDLVFNAFFSFYFGLRFMAADDKIKFWLEMNSIVDIFTIPPTFISYYLKSNWLGLRFLRALRLLELPQILQILRAIKTSNSVKFSKLLSIILSTWFTAAGFIHLVENSGDPWLKGRNSQNISYFESIYLVMATTSTVGFGDVVAKTSLGRTFIMFFTLGSLILFANYIPEMVELFANKRKYTSSYEALKGKKFIVVCGNITVDSVTAFLRNFLRDKSGEINTEIVFLGETPPSLELETIFKCYLAYTTFISGSAMKWEDLRRVAVESAEACLIIANPLCSDSHAEDISNIMRVLSIKNYDSTTRIIIQILQSHNKVYLPKIPSWNWDTGDNIICFAELKLGFIAQGCLVPGLCTFLTSLFVEQNKKVMPKQTWKKHFLNSMKNKILTQRLSDDFAGMSFPEVARLCFLKMHLLLIAIEYKSLFTDGFCGLILNPPPQVRIRKNTLGFFIAETPKDVRRALFYCSVCHDDVFIPELITNCGCKSRSRQHITVPSVKRMKKCLKGISSRISGQDSPPRVSASTSSISNFTTRTLQHDVEQDSDQLDSSGMFHWCKPTSLDKVTLKRTGKSKYKFRNHIVACVFGDAHSAPMGLRNFVMPLRASNYTRKELKDIVFIGSLDYLQREWRFLWNFPQIYILPGCALYSGDLHAANIEQCSMCAVLSPPPQPSSNQTLVDTEAIMATLTIGSLQIDSSSDPSPSVSEETPGYTNGHNEKSNCRKVPILTELKNPSNIHFIEQLGGLEGSLQETNLHLSTAFSTGTVFSGSFLDSLLATAFYNYHVLELLQMLVTGGVSSQLEQHLDKDKVYGVADSCTSLLSGRNRCKLGLLSLHETILSDVNPRNTFGQLFCGSLDLFGILCVGLYRIIDEEELNPENKRFVITRPANEFKLLPSDLVFCAIPFSTACYKRNEEFSLQKSYEIVNKASQTTETHSDTNCPPTIDSVTETLYSPVYSYQPRTNSLSFPKQIAWNQSRTNSIISSQIPLGDNAKENERKTSDEVYDEDPFAYSEPL
+>DECOY_sp|A8MYU2|KCNU1_HUMAN Potassium channel subfamily U member 1 OS=Homo sapiens OX=9606 GN=KCNU1 PE=1 SV=2
+LPESYAFPDEDYVEDSTKRENEKANDGLPIQSSIISNTRSQNWAIQKPFSLSNTRPQYSYVPSYLTETVSDITPPCNTDSHTETTQSAKNVIEYSKQLSFEENRKYCATSFPIACFVLDSPLLKFENAPRTIVFRKNEPNLEEEDIIRYLGVCLIGFLDLSGCFLQGFTNRPNVDSLITEHLSLLGLKCRNRGSLLSTCSDAVGYVKDKDLHQELQSSVGGTVLMQLLELVHYNYFATALLSDLFSGSFVTGTSFATSLHLNTEQLSGELGGLQEIFHINSPNKLETLIPVKRCNSKENHGNTYGPTEESVSPSPDSSSDIQLSGITLTAMIAETDVLTQNSSPQPPPSLVACMSCQEINAAHLDGSYLACGPLIYIQPFNWLFRWERQLYDLSGIFVIDKLEKRTYNSARLPMVFNRLGMPASHADGFVCAVIHNRFKYKSKGTRKLTVKDLSTPKCWHFMGSSDLQDSDQEVDHQLTRTTFNSISSTSASVRPPSDQGSIRSSIGKLCKKMRKVSPVTIHQRSRSKCGCNTILEPIFVDDHCVSCYFLARRVDKPTEAIFFGLTNKRIRVQPPPNLILGCFGDTFLSKYEIAILLLHMKLFCLRAVEPFSMGAFDDSLRQTLIKNKMSNLFHKKWTQKPMVKKNQEVFLSTLFTCLGPVLCGQAIFGLKLEAFCIINDGTDWNWSPIKPLYVKNHSQLIQIIIRTTSDYNKISLVRMINSIDEAHSDSCLPNAIILCAEASEVAVRRLDEWKMASGSIFTTYALYCKFITELELSPPTEGLFVIETNIEGSKDRLFNRLFATVSDVTINGCVVIFKKGKLAEYSSTYKRKNAFLEVMEPIYNAFLILSGLTFFMIFTRGLSTKAVVDGFGVTSTTAMVLYISEFYSINQSNRGKLWPDGSNEVLHIFGAATFWTSLIISLLKSFKVSNSTKIARLIQLIQPLELLRLARLFRLGLWNSKLYYSIFTPPITFIDVISNMELWFKIKDDAAMFRLGFYFSFFANFVLDIPITKDEYSSCSGVPDASNIFYIILSGISLVFVLIVLVQGVFTQASLLMEIHDRFQGQFHLSRVHSRAITGSTFLELIIGTGKIIQWKKVSRWILRFILLIILGSFFTVFSSLIFAAQIETTCSMKPLDEWTENRLKTQFM
+>sp|Q6PIU1|KCNV1_HUMAN Potassium voltage-gated channel subfamily V member 1 OS=Homo sapiens OX=9606 GN=KCNV1 PE=1 SV=2
+MPSSGRALLDSPLDSGSLTSLDSSVFCSEGEGEPLALGDCFTVNVGGSRFVLSQQALSCFPHTRLGKLAVVVASYRRPGALAAVPSPLELCDDANPVDNEYFFDRSSQAFRYVLHYYRTGRLHVMEQLCALSFLQEIQYWGIDELSIDSCCRDRYFRRKELSETLDFKKDTEDQESQHESEQDFSQGPCPTVRQKLWNILEKPGSSTAARIFGVISIIFVVVSIINMALMSAELSWLDLQLLEILEYVCISWFTGEFVLRFLCVRDRCRFLRKVPNIIDLLAILPFYITLLVESLSGSQTTQELENVGRIVQVLRLLRALRMLKLGRHSTGLRSLGMTITQCYEEVGLLLLFLSVGISIFSTVEYFAEQSIPDTTFTSVPCAWWWATTSMTTVGYGDIRPDTTTGKIVAFMCILSGILVLALPIAIINDRFSACYFTLKLKEAAVRQREALKKLTKNIATDSYISVNLRDVYARSIMEMLRLKGRERASTRSSGGDDFWF
+>DECOY_sp|Q6PIU1|KCNV1_HUMAN Potassium voltage-gated channel subfamily V member 1 OS=Homo sapiens OX=9606 GN=KCNV1 PE=1 SV=2
+FWFDDGGSSRTSARERGKLRLMEMISRAYVDRLNVSIYSDTAINKTLKKLAERQRVAAEKLKLTFYCASFRDNIIAIPLALVLIGSLICMFAVIKGTTTDPRIDGYGVTTMSTTAWWWACPVSTFTTDPISQEAFYEVTSFISIGVSLFLLLLGVEEYCQTITMGLSRLGTSHRGLKLMRLARLLRLVQVIRGVNELEQTTQSGSLSEVLLTIYFPLIALLDIINPVKRLFRCRDRVCLFRLVFEGTFWSICVYELIELLQLDLWSLEASMLAMNIISVVVFIISIVGFIRAATSSGPKELINWLKQRVTPCPGQSFDQESEHQSEQDETDKKFDLTESLEKRRFYRDRCCSDISLEDIGWYQIEQLFSLACLQEMVHLRGTRYYHLVYRFAQSSRDFFYENDVPNADDCLELPSPVAALAGPRRYSAVVVALKGLRTHPFCSLAQQSLVFRSGGVNVTFCDGLALPEGEGESCFVSSDLSTLSGSDLPSDLLARGSSPM
+>sp|Q8NC54|KCT2_HUMAN Keratinocyte-associated transmembrane protein 2 OS=Homo sapiens OX=9606 GN=KCT2 PE=1 SV=2
+MAAAVPKRMRGPAQAKLLPGSAIQALVGLARPLVLALLLVSAALSSVVSRTDSPSPTVLNSHISTPNVNALTHENQTKPSISQISTTLPPTTSTKKSGGASVVPHPSPTPLSQEEADNNEDPSIEEEDLLMLNSSPSTAKDTLDNGDYGEPDYDWTTGPRDDDESDDTLEENRGYMEIEQSVKSFKMPSSNIEEEDSHFFFHLIIFAFCIAVVYITYHNKRKIFLLVQSRKWRDGLCSKTVEYHRLDQNVNEAMPSLKITNDYIF
+>DECOY_sp|Q8NC54|KCT2_HUMAN Keratinocyte-associated transmembrane protein 2 OS=Homo sapiens OX=9606 GN=KCT2 PE=1 SV=2
+FIYDNTIKLSPMAENVNQDLRHYEVTKSCLGDRWKRSQVLLFIKRKNHYTIYVVAICFAFIILHFFFHSDEEEINSSPMKFSKVSQEIEMYGRNEELTDDSEDDDRPGTTWDYDPEGYDGNDLTDKATSPSSNLMLLDEEEISPDENNDAEEQSLPTPSPHPVVSAGGSKKTSTTPPLTTSIQSISPKTQNEHTLANVNPTSIHSNLVTPSPSDTRSVVSSLAASVLLLALVLPRALGVLAQIASGPLLKAQAPGRMRKPVAAAM
+>sp|Q9Y597|KCTD3_HUMAN BTB/POZ domain-containing protein KCTD3 OS=Homo sapiens OX=9606 GN=KCTD3 PE=1 SV=2
+MAGGHCGSFPAAAAGSGEIVQLNVGGTRFSTSRQTLMWIPDSFFSSLLSGRISTLRDETGAIFIDRDPAAFAPILNFLRTKELDLRGVSINVLRHEAEFYGITPLVRRLLLCEELERSSCGSVLFHGYLPPPGIPSRKINNTVRSADSRNGLNSTEGEARGNGTQPVLSGTGEETVRLGFPVDPRKVLIVAGHHNWIVAAYAHFAVCYRIKESSGWQQVFTSPYLDWTIERVALNAKVVGGPHGDKDKMVAVASESSIILWSVQDGGSGSEIGVFSLGVPVDALFFIGNQLVATSHTGKVGVWNAVTQHWQVQDVVPITSYDTAGSFLLLGCNNGSIYYIDMQKFPLRMKDNDLLVTELYHDPSNDAITALSVYLTPKTSVSGNWIEIAYGTSSGAVRVIVQHPETVGSGPQLFQTFTVHRSPVTKIMLSEKHLVSVCADNNHVRTWTVTRFRGMISTQPGSTPLASFKILSLEETESHGSYSSGNDIGPFGERDDQQVFIQKVVPITNKLFVRLSSTGKRICEIQAVDCTTISSFTVRECEGSSRMGSRPRRYLFTGHTNGSIQMWDLTTAMDMVNKSEDKDVGGPTEEELLKLLDQCDLSTSRCATPNISPATSVVQHSHLRESNSSLQLQHHDTTHEAATYGSMRPYRESPLLARARRTESFHSYRDFQTINLNRNVERAVPENGNLGPIQAEVKGATGECNISERKSPGVEIKSLRELDSGLEVHKIAEGFSESKKRSSEDENENKIEFRKKGGFEGGGFLGRKKVPYLASSPSTSDGGTDSPGTASPSPTKTTPSPRHKKSDSSGQEYSL
+>DECOY_sp|Q9Y597|KCTD3_HUMAN BTB/POZ domain-containing protein KCTD3 OS=Homo sapiens OX=9606 GN=KCTD3 PE=1 SV=2
+LSYEQGSSDSKKHRPSPTTKTPSPSATGPSDTGGDSTSPSSALYPVKKRGLFGGGEFGGKKRFEIKNENEDESSRKKSESFGEAIKHVELGSDLERLSKIEVGPSKRESINCEGTAGKVEAQIPGLNGNEPVAREVNRNLNITQFDRYSHFSETRRARALLPSERYPRMSGYTAAEHTTDHHQLQLSSNSERLHSHQVVSTAPSINPTACRSTSLDCQDLLKLLEEETPGGVDKDESKNVMDMATTLDWMQISGNTHGTFLYRRPRSGMRSSGECERVTFSSITTCDVAQIECIRKGTSSLRVFLKNTIPVVKQIFVQQDDREGFPGIDNGSSYSGHSETEELSLIKFSALPTSGPQTSIMGRFRTVTWTRVHNNDACVSVLHKESLMIKTVPSRHVTFTQFLQPGSGVTEPHQVIVRVAGSSTGYAIEIWNGSVSTKPTLYVSLATIADNSPDHYLETVLLDNDKMRLPFKQMDIYYISGNNCGLLLFSGATDYSTIPVVDQVQWHQTVANWVGVKGTHSTAVLQNGIFFLADVPVGLSFVGIESGSGGDQVSWLIISSESAVAVMKDKDGHPGGVVKANLAVREITWDLYPSTFVQQWGSSEKIRYCVAFHAYAAVIWNHHGAVILVKRPDVPFGLRVTEEGTGSLVPQTGNGRAEGETSNLGNRSDASRVTNNIKRSPIGPPPLYGHFLVSGCSSRELEECLLLRRVLPTIGYFEAEHRLVNISVGRLDLEKTRLFNLIPAFAAPDRDIFIAGTEDRLTSIRGSLLSSFFSDPIWMLTQRSTSFRTGGVNLQVIEGSGAAAAPFSGCHGGAM
+>sp|Q9ULH0|KDIS_HUMAN Kinase D-interacting substrate of 220 kDa OS=Homo sapiens OX=9606 GN=KIDINS220 PE=1 SV=3
+MSVLISQSVINYVEEENIPALKALLEKCKDVDERNECGQTPLMIAAEQGNLEIVKELIKNGANCNLEDLDNWTALISASKEGHVHIVEELLKCGVNLEHRDMGGWTALMWACYKGRTDVVELLLSHGANPSVTGLYSVYPIIWAAGRGHADIVHLLLQNGAKVNCSDKYGTTPLVWAARKGHLECVKHLLAMGADVDQEGANSMTALIVAVKGGYTQSVKEILKRNPNVNLTDKDGNTALMIASKEGHTEIVQDLLDAGTYVNIPDRSGDTVLIGAVRGGHVEIVRALLQKYADIDIRGQDNKTALYWAVEKGNATMVRDILQCNPDTEICTKDGETPLIKATKMRNIEVVELLLDKGAKVSAVDKKGDTPLHIAIRGRSRKLAELLLRNPKDGRLLYRPNKAGETPYNIDCSHQKSILTQIFGARHLSPTETDGDMLGYDLYSSALADILSEPTMQPPICVGLYAQWGSGKSFLLKKLEDEMKTFAGQQIEPLFQFSWLIVFLTLLLCGGLGLLFAFTVHPNLGIAVSLSFLALLYIFFIVIYFGGRREGESWNWAWVLSTRLARHIGYLELLLKLMFVNPPELPEQTTKALPVRFLFTDYNRLSSVGGETSLAEMIATLSDACEREFGFLATRLFRVFKTEDTQGKKKWKKTCCLPSFVIFLFIIGCIISGITLLAIFRVDPKHLTVNAVLISIASVVGLAFVLNCRTWWQVLDSLLNSQRKRLHNAASKLHKLKSEGFMKVLKCEVELMARMAKTIDSFTQNQTRLVVIIDGLDACEQDKVLQMLDTVRVLFSKGPFIAIFASDPHIIIKAINQNLNSVLRDSNINGHDYMRNIVHLPVFLNSRGLSNARKFLVTSATNGDVPCSDTTGIQEDADRRVSQNSLGEMTKLGSKTALNRRDTYRRRQMQRTITRQMSFDLTKLLVTEDWFSDISPQTMRRLLNIVSVTGRLLRANQISFNWDRLASWINLTEQWPYRTSWLILYLEETEGIPDQMTLKTIYERISKNIPTTKDVEPLLEIDGDIRNFEVFLSSRTPVLVARDVKVFLPCTVNLDPKLREIIADVRAAREQISIGGLAYPPLPLHEGPPRAPSGYSQPPSVCSSTSFNGPFAGGVVSPQPHSSYYSGMTGPQHPFYNRPFFAPYLYTPRYYPGGSQHLISRPSVKTSLPRDQNNGLEVIKEDAAEGLSSPTDSSRGSGPAPGPVVLLNSLNVDAVCEKLKQIEGLDQSMLPQYCTTIKKANINGRVLAQCNIDELKKEMNMNFGDWHLFRSTVLEMRNAESHVVPEDPRFLSESSSGPAPHGEPARRASHNELPHTELSSQTPYTLNFSFEELNTLGLDEGAPRHSNLSWQSQTRRTPSLSSLNSQDSSIEISKLTDKVQAEYRDAYREYIAQMSQLEGGPGSTTISGRSSPHSTYYMGQSSSGGSIHSNLEQEKGKDSEPKPDDGRKSFLMKRGDVIDYSSSGVSTNDASPLDPITEEDEKSDQSGSKLLPGKKSSERSSLFQTDLKLKGSGLRYQKLPSDEDESGTEESDNTPLLKDDKDRKAEGKVERVPKSPEHSAEPIRTFIKAKEYLSDALLDKKDSSDSGVRSSESSPNHSLHNEVADDSQLEKANLIELEDDSHSGKRGIPHSLSGLQDPIIARMSICSEDKKSPSECSLIASSPEENWPACQKAYNLNRTPSTVTLNNNSAPANRANQNFDEMEGIRETSQVILRPSSSPNPTTIQNENLKSMTHKRSQRSSYTRLSKDPPELHAAASSESTGFGEERESIL
+>DECOY_sp|Q9ULH0|KDIS_HUMAN Kinase D-interacting substrate of 220 kDa OS=Homo sapiens OX=9606 GN=KIDINS220 PE=1 SV=3
+LISEREEGFGTSESSAAAHLEPPDKSLRTYSSRQSRKHTMSKLNENQITTPNPSSSPRLIVQSTERIGEMEDFNQNARNAPASNNNLTVTSPTRNLNYAKQCAPWNEEPSSAILSCESPSKKDESCISMRAIIPDQLGSLSHPIGRKGSHSDDELEILNAKELQSDDAVENHLSHNPSSESSRVGSDSSDKKDLLADSLYEKAKIFTRIPEASHEPSKPVREVKGEAKRDKDDKLLPTNDSEETGSEDEDSPLKQYRLGSGKLKLDTQFLSSRESSKKGPLLKSGSQDSKEDEETIPDLPSADNTSVGSSSYDIVDGRKMLFSKRGDDPKPESDKGKEQELNSHISGGSSSQGMYYTSHPSSRGSITTSGPGGELQSMQAIYERYADRYEAQVKDTLKSIEISSDQSNLSSLSPTRRTQSQWSLNSHRPAGEDLGLTNLEEFSFNLTYPTQSSLETHPLENHSARRAPEGHPAPGSSSESLFRPDEPVVHSEANRMELVTSRFLHWDGFNMNMEKKLEDINCQALVRGNINAKKITTCYQPLMSQDLGEIQKLKECVADVNLSNLLVVPGPAPGSGRSSDTPSSLGEAADEKIVELGNNQDRPLSTKVSPRSILHQSGGPYYRPTYLYPAFFPRNYFPHQPGTMGSYYSSHPQPSVVGGAFPGNFSTSSCVSPPQSYGSPARPPGEHLPLPPYALGGISIQERAARVDAIIERLKPDLNVTCPLFVKVDRAVLVPTRSSLFVEFNRIDGDIELLPEVDKTTPINKSIREYITKLTMQDPIGETEELYLILWSTRYPWQETLNIWSALRDWNFSIQNARLLRGTVSVINLLRRMTQPSIDSFWDETVLLKTLDFSMQRTITRQMQRRRYTDRRNLATKSGLKTMEGLSNQSVRRDADEQIGTTDSCPVDGNTASTVLFKRANSLGRSNLFVPLHVINRMYDHGNINSDRLVSNLNQNIAKIIIHPDSAFIAIFPGKSFLVRVTDLMQLVKDQECADLGDIIVVLRTQNQTFSDITKAMRAMLEVECKLVKMFGESKLKHLKSAANHLRKRQSNLLSDLVQWWTRCNLVFALGVVSAISILVANVTLHKPDVRFIALLTIGSIICGIIFLFIVFSPLCCTKKWKKKGQTDETKFVRFLRTALFGFERECADSLTAIMEALSTEGGVSSLRNYDTFLFRVPLAKTTQEPLEPPNVFMLKLLLELYGIHRALRTSLVWAWNWSEGERRGGFYIVIFFIYLLALFSLSVAIGLNPHVTFAFLLGLGGCLLLTLFVILWSFQFLPEIQQGAFTKMEDELKKLLFSKGSGWQAYLGVCIPPQMTPESLIDALASSYLDYGLMDGDTETPSLHRAGFIQTLISKQHSCDINYPTEGAKNPRYLLRGDKPNRLLLEALKRSRGRIAIHLPTDGKKDVASVKAGKDLLLEVVEINRMKTAKILPTEGDKTCIETDPNCQLIDRVMTANGKEVAWYLATKNDQGRIDIDAYKQLLARVIEVHGGRVAGILVTDGSRDPINVYTGADLLDQVIETHGEKSAIMLATNGDKDTLNVNPNRKLIEKVSQTYGGKVAVILATMSNAGEQDVDAGMALLHKVCELHGKRAAWVLPTTGYKDSCNVKAGNQLLLHVIDAHGRGAAWIIPYVSYLGTVSPNAGHSLLLEVVDTRGKYCAWMLATWGGMDRHELNVGCKLLEEVIHVHGEKSASILATWNDLDELNCNAGNKILEKVIELNGQEAAIMLPTQGCENREDVDKCKELLAKLAPINEEEVYNIVSQSILVSM
+>sp|Q8NHM5|KDM2B_HUMAN Lysine-specific demethylase 2B OS=Homo sapiens OX=9606 GN=KDM2B PE=1 SV=1
+MAGPQMGGSAEDHPPRKRHAAEKQKKKTVIYTKCFEFESATQRPIDRQRYDENEDLSDVEEIVSVRGFSLEEKLRSQLYQGDFVHAMEGKDFNYEYVQREALRVPLIFREKDGLGIKMPDPDFTVRDVKLLVGSRRLVDVMDVNTQKGTEMSMSQFVRYYETPEAQRDKLYNVISLEFSHTKLEHLVKRPTVVDLVDWVDNMWPQHLKEKQTEATNAIAEMKYPKVKKYCLMSVKGCFTDFHIDFGGTSVWYHVFRGGKIFWLIPPTLHNLALYEEWVLSGKQSDIFLGDRVERCQRIELKQGYTFFIPSGWIHAVYTPVDSLVFGGNILHSFNVPMQLRIYEIEDRTRVQPKFRYPFYYEMCWYVLERYVYCVTQRSHLTQEYQRESMLIDAPRKPSIDGFSSDSWLEMEEEACDQQPQEEEEKDEEGEGRDRAPKPPTDGSTSPTSTPSEDQEALGKKPKAPALRFLKRTLSNESEESVKSTTLAVDYPKTPTGSPATEVSAKWTHLTEFELKGLKALVEKLESLPENKKCVPEGIEDPQALLEGVKNVLKEHADDDPSLAITGVPVVTWPKKTPKNRAVGRPKGKLGPASAVKLAANRTTAGARRRRTRCRKCEACLRTECGECHFCKDMKKFGGPGRMKQSCIMRQCIAPVLPHTAVCLVCGEAGKEDTVEEEEGKFNLMLMECSICNEIIHPGCLKIKESEGVVNDELPNCWECPKCNHAGKTGKQKRGPGFKYASNLPGSLLKEQKMNRDNKEGQEPAKRRSECEEAPRRRSDEHSKKVPPDGLLRRKSDDVHLRKKRKYEKPQELSGRKRASSLQTSPGSSSHLSPRPPLGSSLSPWWRSSLTYFQQQLKPGKEDKLFRKKRRSWKNAEDRMALANKPLRRFKQEPEDELPEAPPKTRESDHSRSSSPTAGPSTEGAEGPEEKKKVKMRRKRRLPNKELSRELSKELNHEIQRTENSLANENQQPIKSEPESEGEEPKRPPGICERPHRFSKGLNGTPRELRHQLGPSLRSPPRVISRPPPSVSPPKCIQMERHVIRPPPISPPPDSLPLDDGAAHVMHREVWMAVFSYLSHQDLCVCMRVCRTWNRWCCDKRLWTRIDLNHCKSITPLMLSGIIRRQPVSLDLSWTNISKKQLSWLINRLPGLRDLVLSGCSWIAVSALCSSSCPLLRTLDVQWVEGLKDAQMRDLLSPPTDNRPGQMDNRSKLRNIVELRLAGLDITDASLRLIIRHMPLLSKLHLSYCNHVTDQSINLLTAVGTTTRDSLTEINLSDCNKVTDQCLSFFKRCGNICHIDLRYCKQVTKEGCEQFIAEMSVSVQFGQVEEKLLQKLS
+>DECOY_sp|Q8NHM5|KDM2B_HUMAN Lysine-specific demethylase 2B OS=Homo sapiens OX=9606 GN=KDM2B PE=1 SV=1
+SLKQLLKEEVQGFQVSVSMEAIFQECGEKTVQKCYRLDIHCINGCRKFFSLCQDTVKNCDSLNIETLSDRTTTGVATLLNISQDTVHNCYSLHLKSLLPMHRIILRLSADTIDLGALRLEVINRLKSRNDMQGPRNDTPPSLLDRMQADKLGEVWQVDLTRLLPCSSSCLASVAIWSCGSLVLDRLGPLRNILWSLQKKSINTWSLDLSVPQRRIIGSLMLPTISKCHNLDIRTWLRKDCCWRNWTRCVRMCVCLDQHSLYSFVAMWVERHMVHAAGDDLPLSDPPPSIPPPRIVHREMQICKPPSVSPPPRSIVRPPSRLSPGLQHRLERPTGNLGKSFRHPRECIGPPRKPEEGESEPESKIPQQNENALSNETRQIEHNLEKSLERSLEKNPLRRKRRMKVKKKEEPGEAGETSPGATPSSSRSHDSERTKPPAEPLEDEPEQKFRRLPKNALAMRDEANKWSRRKKRFLKDEKGPKLQQQFYTLSSRWWPSLSSGLPPRPSLHSSSGPSTQLSSARKRGSLEQPKEYKRKKRLHVDDSKRRLLGDPPVKKSHEDSRRRPAEECESRRKAPEQGEKNDRNMKQEKLLSGPLNSAYKFGPGRKQKGTKGAHNCKPCEWCNPLEDNVVGESEKIKLCGPHIIENCISCEMLMLNFKGEEEEVTDEKGAEGCVLCVATHPLVPAICQRMICSQKMRGPGGFKKMDKCFHCEGCETRLCAECKRCRTRRRRAGATTRNAALKVASAPGLKGKPRGVARNKPTKKPWTVVPVGTIALSPDDDAHEKLVNKVGELLAQPDEIGEPVCKKNEPLSELKEVLAKLGKLEFETLHTWKASVETAPSGTPTKPYDVALTTSKVSEESENSLTRKLFRLAPAKPKKGLAEQDESPTSTPSTSGDTPPKPARDRGEGEEDKEEEEQPQQDCAEEEMELWSDSSFGDISPKRPADILMSERQYEQTLHSRQTVCYVYRELVYWCMEYYFPYRFKPQVRTRDEIEYIRLQMPVNFSHLINGGFVLSDVPTYVAHIWGSPIFFTYGQKLEIRQCREVRDGLFIDSQKGSLVWEEYLALNHLTPPILWFIKGGRFVHYWVSTGGFDIHFDTFCGKVSMLCYKKVKPYKMEAIANTAETQKEKLHQPWMNDVWDVLDVVTPRKVLHELKTHSFELSIVNYLKDRQAEPTEYYRVFQSMSMETGKQTNVDMVDVLRRSGVLLKVDRVTFDPDPMKIGLGDKERFILPVRLAERQVYEYNFDKGEMAHVFDGQYLQSRLKEELSFGRVSVIEEVDSLDENEDYRQRDIPRQTASEFEFCKTYIVTKKKQKEAAHRKRPPHDEASGGMQPGAM
+>sp|Q7LBC6|KDM3B_HUMAN Lysine-specific demethylase 3B OS=Homo sapiens OX=9606 GN=KDM3B PE=1 SV=2
+MADAAASPVGKRLLLLFADTAASASASAPAAAAASGDPGPALRTRAWRAGTVRAMSGAVPQDLAIFVEFDGCNWKQHSWVKVHAEEVIVLLLEGSLVWAPREDPVLLQGIRVSIAQWPALTFTPLVDKLGLGSVVPVEYLLDRELRFLSDANGLHLFQMGTDSQNQILLEHAALRETVNALISDQKLQEIFSRGPYSVQGHRVKIYQPEGEEGWLYGVVSHQDSITRLMEVSVTESGEIKSVDPRLIHVMLMDNSAPQSEGGTLKAVKSSKGKKKRESIEGKDGRRRKSASDSGCDPASKKLKGDRGEVDSNGSDGGEASRGPWKGGNASGEPGLDQRAKQPPSTFVPQINRNIRFATYTKENGRTLVVQDEPVGGDTPASFTPYSTATGQTPLAPEVGGAENKEAGKTLEQVGQGIVASAAVVTTASSTPNTVRISDTGLAAGTVPEKQKGSRSQASGENSRNSILASSGFGAPLPSSSQPLTFGSGRSQSNGVLATENKPLGFSFGCSSAQEAQKDTDLSKNLFFQCMSQTLPTSNYFTTVSESLADDSSSRDSFKQSLESLSSGLCKGRSVLGTDTKPGSKAGSSVDRKVPAESMPTLTPAFPRSLLNARTPENHENLFLQPPKLSREEPSNPFLAFVEKVEHSPFSSFASQASGSSSSATTVTSKVAPSWPESHSSADSASLAKKKPLFITTDSSKLVSGVLGSALTSGGPSLSAMGNGRSSSPTSSLTQPIEMPTLSSSPTEERPTVGPGQQDNPLLKTFSNVFGRHSGGFLSSPADFSQENKAPFEAVKRFSLDERSLACRQDSDSSTNSDLSDLSDSEEQLQAKTGLKGIPEHLMGKLGPNGERSAELLLGKSKGKQAPKGRPRTAPLKVGQSVLKDVSKVKKLKQSGEPFLQDGSCINVAPHLHKCRECRLERYRKFKEQEQDDSTVACRFFHFRRLIFTRKGVLRVEGFLSPQQSDPDAMNLWIPSSSLAEGIDLETSKYILANVGDQFCQLVMSEKEAMMMVEPHQKVAWKRAVRGVREMCDVCETTLFNIHWVCRKCGFGVCLDCYRLRKSRPRSETEEMGDEEVFSWLKCAKGQSHEPENLMPTQIIPGTALYNIGDMVHAARGKWGIKANCPCISRQNKSVLRPAVTNGMSQLPSINPSASSGNETTFSGGGGPAPVTTPEPDHVPKADSTDIRSEEPLKTDSSASNSNSELKAIRPPCPDTAPPSSALHWLADLATQKAKEETKEAGSLRSVLNKESHSPFGLDSFNSTAKVSPLTPKLFNSLLLGPTASNNKTEGSSLRDLLHSGPGKLPQTPLDTGIPFPPVFSTSSAGVKSKASLPNFLDHIIASVVENKKTSDASKRACNLTDTQKEVKEMVMGLNVLDPHTSHSWLCDGRLLCLHDPSNKNNWKIFRECWKQGQPVLVSGVHKKLKSELWKPEAFSQEFGDQDVDLVNCRNCAIISDVKVRDFWDGFEIICKRLRSEDGQPMVLKLKDWPPGEDFRDMMPTRFEDLMENLPLPEYTKRDGRLNLASRLPSYFVRPDLGPKMYNAYGLITAEDRRVGTTNLHLDVSDAVNVMVYVGIPIGEGAHDEEVLKTIDEGDADEVTKQRIHDGKEKPGALWHIYAAKDAEKIRELLRKVGEEQGQENPPDHDPIHDQSWYLDQTLRKRLYEEYGVQGWAIVQFLGDAVFIPAGAPHQVHNLYSCIKVAEDFVSPEHVKHCFRLTQEFRHLSNTHTNHEDKLQVKNIIYHAVKDAVGTLKAHESKLARS
+>DECOY_sp|Q7LBC6|KDM3B_HUMAN Lysine-specific demethylase 3B OS=Homo sapiens OX=9606 GN=KDM3B PE=1 SV=2
+SRALKSEHAKLTGVADKVAHYIINKVQLKDEHNTHTNSLHRFEQTLRFCHKVHEPSVFDEAVKICSYLNHVQHPAGAPIFVADGLFQVIAWGQVGYEEYLRKRLTQDLYWSQDHIPDHDPPNEQGQEEGVKRLLERIKEADKAAYIHWLAGPKEKGDHIRQKTVEDADGEDITKLVEEDHAGEGIPIGVYVMVNVADSVDLHLNTTGVRRDEATILGYANYMKPGLDPRVFYSPLRSALNLRGDRKTYEPLPLNEMLDEFRTPMMDRFDEGPPWDKLKLVMPQGDESRLRKCIIEFGDWFDRVKVDSIIACNRCNVLDVDQDGFEQSFAEPKWLESKLKKHVGSVLVPQGQKWCERFIKWNNKNSPDHLCLLRGDCLWSHSTHPDLVNLGMVMEKVEKQTDTLNCARKSADSTKKNEVVSAIIHDLFNPLSAKSKVGASSTSFVPPFPIGTDLPTQPLKGPGSHLLDRLSSGETKNNSATPGLLLSNFLKPTLPSVKATSNFSDLGFPSHSEKNLVSRLSGAEKTEEKAKQTALDALWHLASSPPATDPCPPRIAKLESNSNSASSDTKLPEESRIDTSDAKPVHDPEPTTVPAPGGGGSFTTENGSSASPNISPLQSMGNTVAPRLVSKNQRSICPCNAKIGWKGRAAHVMDGINYLATGPIIQTPMLNEPEHSQGKACKLWSFVEEDGMEETESRPRSKRLRYCDLCVGFGCKRCVWHINFLTTECVDCMERVGRVARKWAVKQHPEVMMMAEKESMVLQCFQDGVNALIYKSTELDIGEALSSSPIWLNMADPDSQQPSLFGEVRLVGKRTFILRRFHFFRCAVTSDDQEQEKFKRYRELRCERCKHLHPAVNICSGDQLFPEGSQKLKKVKSVDKLVSQGVKLPATRPRGKPAQKGKSKGLLLEASREGNPGLKGMLHEPIGKLGTKAQLQEESDSLDSLDSNTSSDSDQRCALSREDLSFRKVAEFPAKNEQSFDAPSSLFGGSHRGFVNSFTKLLPNDQQGPGVTPREETPSSSLTPMEIPQTLSSTPSSSRGNGMASLSPGGSTLASGLVGSVLKSSDTTIFLPKKKALSASDASSHSEPWSPAVKSTVTTASSSSGSAQSAFSSFPSHEVKEVFALFPNSPEERSLKPPQLFLNEHNEPTRANLLSRPFAPTLTPMSEAPVKRDVSSGAKSGPKTDTGLVSRGKCLGSSLSELSQKFSDRSSSDDALSESVTTFYNSTPLTQSMCQFFLNKSLDTDKQAEQASSCGFSFGLPKNETALVGNSQSRGSGFTLPQSSSPLPAGFGSSALISNRSNEGSAQSRSGKQKEPVTGAALGTDSIRVTNPTSSATTVVAASAVIGQGVQELTKGAEKNEAGGVEPALPTQGTATSYPTFSAPTDGGVPEDQVVLTRGNEKTYTAFRINRNIQPVFTSPPQKARQDLGPEGSANGGKWPGRSAEGGDSGNSDVEGRDGKLKKSAPDCGSDSASKRRRGDKGEISERKKKGKSSKVAKLTGGESQPASNDMLMVHILRPDVSKIEGSETVSVEMLRTISDQHSVVGYLWGEEGEPQYIKVRHGQVSYPGRSFIEQLKQDSILANVTERLAAHELLIQNQSDTGMQFLHLGNADSLFRLERDLLYEVPVVSGLGLKDVLPTFTLAPWQAISVRIGQLLVPDERPAWVLSGELLLVIVEEAHVKVWSHQKWNCGDFEVFIALDQPVAGSMARVTGARWARTRLAPGPDGSAAAAAPASASASAATDAFLLLLRKGVPSAAADAM
+>sp|O94953|KDM4B_HUMAN Lysine-specific demethylase 4B OS=Homo sapiens OX=9606 GN=KDM4B PE=1 SV=4
+MGSEDHGAQNPSCKIMTFRPTMEEFKDFNKYVAYIESQGAHRAGLAKIIPPKEWKPRQTYDDIDDVVIPAPIQQVVTGQSGLFTQYNIQKKAMTVGEYRRLANSEKYCTPRHQDFDDLERKYWKNLTFVSPIYGADISGSLYDDDVAQWNIGSLRTILDMVERECGTIIEGVNTPYLYFGMWKTTFAWHTEDMDLYSINYLHFGEPKSWYAIPPEHGKRLERLAIGFFPGSSQGCDAFLRHKMTLISPIILKKYGIPFSRITQEAGEFMITFPYGYHAGFNHGFNCAESTNFATLRWIDYGKVATQCTCRKDMVKISMDVFVRILQPERYELWKQGKDLTVLDHTRPTALTSPELSSWSASRASLKAKLLRRSHRKRSQPKKPKPEDPKFPGEGTAGAALLEEAGGSVKEEAGPEVDPEEEEEEPQPLPHGREAEGAEEDGRGKLRPTKAKSERKKKSFGLLPPQLPPPPAHFPSEEALWLPSPLEPPVLGPGPAAMEESPLPAPLNVVPPEVPSEELEAKPRPIIPMLYVVPRPGKAAFNQEHVSCQQAFEHFAQKGPTWKEPVSPMELTGPEDGAASSGAGRMETKARAGEGQAPSTFSKLKMEIKKSRRHPLGRPPTRSPLSVVKQEASSDEEASPFSGEEDVSDPDALRPLLSLQWKNRAASFQAERKFNAAAARTEPYCAICTLFYPYCQALQTEKEAPIASLGKGCPATLPSKSRQKTRPLIPEMCFTSGGENTEPLPANSYIGDDGTSPLIACGKCCLQVHASCYGIRPELVNEGWTCSRCAAHAWTAECCLCNLRGGALQMTTDRRWIHVICAIAVPEARFLNVIERHPVDISAIPEQRWKLKCVYCRKRMKKVSGACIQCSYEHCSTSFHVTCAHAAGVLMEPDDWPYVVSITCLKHKSGGHAVQLLRAVSLGQVVITKNRNGLYYRCRVIGAASQTCYEVNFDDGSYSDNLYPESITSRDCVQLGPPSEGELVELRWTDGNLYKAKFISSVTSHIYQVEFEDGSQLTVKRGDIFTLEEELPKRVRSRLSLSTGAPQEPAFSGEEAKAAKRPRVGTPLATEDSGRSQDYVAFVESLLQVQGRPGAPF
+>DECOY_sp|O94953|KDM4B_HUMAN Lysine-specific demethylase 4B OS=Homo sapiens OX=9606 GN=KDM4B PE=1 SV=4
+FPAGPRGQVQLLSEVFAVYDQSRGSDETALPTGVRPRKAAKAEEGSFAPEQPAGTSLSLRSRVRKPLEEELTFIDGRKVTLQSGDEFEVQYIHSTVSSIFKAKYLNGDTWRLEVLEGESPPGLQVCDRSTISEPYLNDSYSGDDFNVEYCTQSAAGIVRCRYYLGNRNKTIVVQGLSVARLLQVAHGGSKHKLCTISVVYPWDDPEMLVGAAHACTVHFSTSCHEYSCQICAGSVKKMRKRCYVCKLKWRQEPIASIDVPHREIVNLFRAEPVAIACIVHIWRRDTTMQLAGGRLNCLCCEATWAHAACRSCTWGENVLEPRIGYCSAHVQLCCKGCAILPSTGDDGIYSNAPLPETNEGGSTFCMEPILPRTKQRSKSPLTAPCGKGLSAIPAEKETQLAQCYPYFLTCIACYPETRAAAANFKREAQFSAARNKWQLSLLPRLADPDSVDEEGSFPSAEEDSSAEQKVVSLPSRTPPRGLPHRRSKKIEMKLKSFTSPAQGEGARAKTEMRGAGSSAAGDEPGTLEMPSVPEKWTPGKQAFHEFAQQCSVHEQNFAAKGPRPVVYLMPIIPRPKAELEESPVEPPVVNLPAPLPSEEMAAPGPGLVPPELPSPLWLAEESPFHAPPPPLQPPLLGFSKKKRESKAKTPRLKGRGDEEAGEAERGHPLPQPEEEEEEPDVEPGAEEKVSGGAEELLAAGATGEGPFKPDEPKPKKPQSRKRHSRRLLKAKLSARSASWSSLEPSTLATPRTHDLVTLDKGQKWLEYREPQLIRVFVDMSIKVMDKRCTCQTAVKGYDIWRLTAFNTSEACNFGHNFGAHYGYPFTIMFEGAEQTIRSFPIGYKKLIIPSILTMKHRLFADCGQSSGPFFGIALRELRKGHEPPIAYWSKPEGFHLYNISYLDMDETHWAFTTKWMGFYLYPTNVGEIITGCEREVMDLITRLSGINWQAVDDDYLSGSIDAGYIPSVFTLNKWYKRELDDFDQHRPTCYKESNALRRYEGVTMAKKQINYQTFLGSQGTVVQQIPAPIVVDDIDDYTQRPKWEKPPIIKALGARHAGQSEIYAVYKNFDKFEEMTPRFTMIKCSPNQAGHDESGM
+>sp|P41229|KDM5C_HUMAN Lysine-specific demethylase 5C OS=Homo sapiens OX=9606 GN=KDM5C PE=1 SV=2
+MEPGSDDFLPPPECPVFEPSWAEFRDPLGYIAKIRPIAEKSGICKIRPPADWQPPFAVEVDNFRFTPRIQRLNELEAQTRVKLNYLDQIAKFWEIQGSSLKIPNVERRILDLYSLSKIVVEEGGYEAICKDRRWARVAQRLNYPPGKNIGSLLRSHYERIVYPYEMYQSGANLVQCNTRPFDNEEKDKEYKPHSIPLRQSVQPSKFNSYGRRAKRLQPDPEPTEEDIEKNPELKKLQIYGAGPKMMGLGLMAKDKTLRKKDKEGPECPPTVVVKEELGGDVKVESTSPKTFLESKEELSHSPEPCTKMTMRLRRNHSNAQFIESYVCRMCSRGDEDDKLLLCDGCDDNYHIFCLLPPLPEIPKGVWRCPKCVMAECKRPPEAFGFEQATREYTLQSFGEMADSFKADYFNMPVHMVPTELVEKEFWRLVNSIEEDVTVEYGADIHSKEFGSGFPVSDSKRHLTPEEEEYATSGWNLNVMPVLEQSVLCHINADISGMKVPWLYVGMVFSAFCWHIEDHWSYSINYLHWGEPKTWYGVPSLAAEHLEEVMKKLTPELFDSQPDLLHQLVTLMNPNTLMSHGVPVVRTNQCAGEFVITFPRAYHSGFNQGYNFAEAVNFCTADWLPAGRQCIEHYRRLRRYCVFSHEELICKMAACPEKLDLNLAAAVHKEMFIMVQEERRLRKALLEKGITEAEREAFELLPDDERQCIKCKTTCFLSALACYDCPDGLVCLSHINDLCKCSSSRQYLRYRYTLDELPAMLHKLKVRAESFDTWANKVRVALEVEDGRKRSLEELRALESEARERRFPNSELLQQLKNCLSEAEACVSRALGLVSGQEAGPHRVAGLQMTLTELRAFLDQMNNLPCAMHQIGDVKGVLEQVEAYQAEAREALASLPSSPGLLQSLLERGRQLGVEVPEAQQLQRQVEQARWLDEVKRTLAPSARRGTLAVMRGLLVAGASVAPSPAVDKAQAELQELLTIAERWEEKAHLCLEARQKHPPATLEAIIREAENIPVHLPNIQALKEALAKARAWIADVDEIQNGDHYPCLDDLEGLVAVGRDLPVGLEELRQLELQVLTAHSWREKASKTFLKKNSCYTLLEVLCPCADAGSDSTKRSRWMEKELGLYKSDTELLGLSAQDLRDPGSVIVAFKEGEQKEKEGILQLRRTNSAKPSPLASSSTASSTTSICVCGQVLAGAGALQCDLCQDWFHGRCVSVPRLLSSPRPNPTSSPLLAWWEWDTKFLCPLCMRSRRPRLETILALLVALQRLPVRLPEGEALQCLTERAISWQGRARQALASEDVTALLGRLAELRQRLQAEPRPEEPPNYPAAPASDPLREGSGKDMPKVQGLLENGDSVTSPEKVAPEEGSGKRDLELLSSLLPQLTGPVLELPEATRAPLEELMMEGDLLEVTLDENHSIWQLLQAGQPPDLERIRTLLELEKAERHGSRARGRALERRRRRKVDRGGEGDDPAREELEPKRVRSSGPEAEEVQEEEELEEETGGEGPPAPIPTTGSPSTQENQNGLEPAEGTTSGPSAPFSTLTPRLHLPCPQQPPQQQL
+>DECOY_sp|P41229|KDM5C_HUMAN Lysine-specific demethylase 5C OS=Homo sapiens OX=9606 GN=KDM5C PE=1 SV=2
+LQQQPPQQPCPLHLRPTLTSFPASPGSTTGEAPELGNQNEQTSPSGTTPIPAPPGEGGTEEELEEEEQVEEAEPGSSRVRKPELEERAPDDGEGGRDVKRRRRRELARGRARSGHREAKELELLTRIRELDPPQGAQLLQWISHNEDLTVELLDGEMMLEELPARTAEPLELVPGTLQPLLSSLLELDRKGSGEEPAVKEPSTVSDGNELLGQVKPMDKGSGERLPDSAPAAPYNPPEEPRPEAQLRQRLEALRGLLATVDESALAQRARGQWSIARETLCQLAEGEPLRVPLRQLAVLLALITELRPRRSRMCLPCLFKTDWEWWALLPSSTPNPRPSSLLRPVSVCRGHFWDQCLDCQLAGAGALVQGCVCISTTSSATSSSALPSPKASNTRRLQLIGEKEKQEGEKFAVIVSGPDRLDQASLGLLETDSKYLGLEKEMWRSRKTSDSGADACPCLVELLTYCSNKKLFTKSAKERWSHATLVQLELQRLEELGVPLDRGVAVLGELDDLCPYHDGNQIEDVDAIWARAKALAEKLAQINPLHVPINEAERIIAELTAPPHKQRAELCLHAKEEWREAITLLEQLEAQAKDVAPSPAVSAGAVLLGRMVALTGRRASPALTRKVEDLWRAQEVQRQLQQAEPVEVGLQRGRELLSQLLGPSSPLSALAERAEAQYAEVQELVGKVDGIQHMACPLNNMQDLFARLETLTMQLGAVRHPGAEQGSVLGLARSVCAEAESLCNKLQQLLESNPFRRERAESELARLEELSRKRGDEVELAVRVKNAWTDFSEARVKLKHLMAPLEDLTYRYRLYQRSSSCKCLDNIHSLCVLGDPCDYCALASLFCTTKCKICQREDDPLLEFAEREAETIGKELLAKRLRREEQVMIFMEKHVAAALNLDLKEPCAAMKCILEEHSFVCYRRLRRYHEICQRGAPLWDATCFNVAEAFNYGQNFGSHYARPFTIVFEGACQNTRVVPVGHSMLTNPNMLTVLQHLLDPQSDFLEPTLKKMVEELHEAALSPVGYWTKPEGWHLYNISYSWHDEIHWCFASFVMGVYLWPVKMGSIDANIHCLVSQELVPMVNLNWGSTAYEEEEPTLHRKSDSVPFGSGFEKSHIDAGYEVTVDEEISNVLRWFEKEVLETPVMHVPMNFYDAKFSDAMEGFSQLTYERTAQEFGFAEPPRKCEAMVCKPCRWVGKPIEPLPPLLCFIHYNDDCGDCLLLKDDEDGRSCMRCVYSEIFQANSHNRRLRMTMKTCPEPSHSLEEKSELFTKPSTSEVKVDGGLEEKVVVTPPCEPGEKDKKRLTKDKAMLGLGMMKPGAGYIQLKKLEPNKEIDEETPEPDPQLRKARRGYSNFKSPQVSQRLPISHPKYEKDKEENDFPRTNCQVLNAGSQYMEYPYVIREYHSRLLSGINKGPPYNLRQAVRAWRRDKCIAEYGGEEVVIKSLSYLDLIRREVNPIKLSSGQIEWFKAIQDLYNLKVRTQAELENLRQIRPTFRFNDVEVAFPPQWDAPPRIKCIGSKEAIPRIKAIYGLPDRFEAWSPEFVPCEPPPLFDDSGPEM
+>sp|O15550|KDM6A_HUMAN Lysine-specific demethylase 6A OS=Homo sapiens OX=9606 GN=KDM6A PE=1 SV=2
+MKSCGVSLATAAAAAAAFGDEEKKMAAGKASGESEEASPSLTAEEREALGGLDSRLFGFVRFHEDGARTKALLGKAVRCYESLILKAEGKVESDFFCQLGHFNLLLEDYPKALSAYQRYYSLQSDYWKNAAFLYGLGLVYFHYNAFQWAIKAFQEVLYVDPSFCRAKEIHLRLGLMFKVNTDYESSLKHFQLALVDCNPCTLSNAEIQFHIAHLYETQRKYHSAKEAYEQLLQTENLSAQVKATVLQQLGWMHHTVDLLGDKATKESYAIQYLQKSLEADPNSGQSWYFLGRCYSSIGKVQDAFISYRQSIDKSEASADTWCSIGVLYQQQNQPMDALQAYICAVQLDHGHAAAWMDLGTLYESCNQPQDAIKCYLNATRSKSCSNTSALAARIKYLQAQLCNLPQGSLQNKTKLLPSIEEAWSLPIPAELTSRQGAMNTAQQNTSDNWSGGHAVSHPPVQQQAHSWCLTPQKLQHLEQLRANRNNLNPAQKLMLEQLESQFVLMQQHQMRPTGVAQVRSTGIPNGPTADSSLPTNSVSGQQPQLALTRVPSVSQPGVRPACPGQPLANGPFSAGHVPCSTSRTLGSTDTILIGNNHITGSGSNGNVPYLQRNALTLPHNRTNLTSSAEEPWKNQLSNSTQGLHKGQSSHSAGPNGERPLSSTGPSQHLQAAGSGIQNQNGHPTLPSNSVTQGAALNHLSSHTATSGGQQGITLTKESKPSGNILTVPETSRHTGETPNSTASVEGLPNHVHQMTADAVCSPSHGDSKSPGLLSSDNPQLSALLMGKANNNVGTGTCDKVNNIHPAVHTKTDNSVASSPSSAISTATPSPKSTEQTTTNSVTSLNSPHSGLHTINGEGMEESQSPMKTDLLLVNHKPSPQIIPSMSVSIYPSSAEVLKACRNLGKNGLSNSSILLDKCPPPRPPSSPYPPLPKDKLNPPTPSIYLENKRDAFFPPLHQFCTNPNNPVTVIRGLAGALKLDLGLFSTKTLVEANNEHMVEVRTQLLQPADENWDPTGTKKIWHCESNRSHTTIAKYAQYQASSFQESLREENEKRSHHKDHSDSESTSSDNSGRRRKGPFKTIKFGTNIDLSDDKKWKLQLHELTKLPAFVRVVSAGNLLSHVGHTILGMNTVQLYMKVPGSRTPGHQENNNFCSVNINIGPGDCEWFVVPEGYWGVLNDFCEKNNLNFLMGSWWPNLEDLYEANVPVYRFIQRPGDLVWINAGTVHWVQAIGWCNNIAWNVGPLTACQYKLAVERYEWNKLQSVKSIVPMVHLSWNMARNIKVSDPKLFEMIKYCLLRTLKQCQTLREALIAAGKEIIWHGRTKEEPAHYCSICEVEVFDLLFVTNESNSRKTYIVHCQDCARKTSGNLENFVVLEQYKMEDLMQVYDQFTLAPPLPSASS
+>DECOY_sp|O15550|KDM6A_HUMAN Lysine-specific demethylase 6A OS=Homo sapiens OX=9606 GN=KDM6A PE=1 SV=2
+SSASPLPPALTFQDYVQMLDEMKYQELVVFNELNGSTKRACDQCHVIYTKRSNSENTVFLLDFVEVECISCYHAPEEKTRGHWIIEKGAAILAERLTQCQKLTRLLCYKIMEFLKPDSVKINRAMNWSLHVMPVISKVSQLKNWEYREVALKYQCATLPGVNWAINNCWGIAQVWHVTGANIWVLDGPRQIFRYVPVNAEYLDELNPWWSGMLFNLNNKECFDNLVGWYGEPVVFWECDGPGININVSCFNNNEQHGPTRSGPVKMYLQVTNMGLITHGVHSLLNGASVVRVFAPLKTLEHLQLKWKKDDSLDINTGFKITKFPGKRRRGSNDSSTSESDSHDKHHSRKENEERLSEQFSSAQYQAYKAITTHSRNSECHWIKKTGTPDWNEDAPQLLQTRVEVMHENNAEVLTKTSFLGLDLKLAGALGRIVTVPNNPNTCFQHLPPFFADRKNELYISPTPPNLKDKPLPPYPSSPPRPPPCKDLLISSNSLGNKGLNRCAKLVEASSPYISVSMSPIIQPSPKHNVLLLDTKMPSQSEEMGEGNITHLGSHPSNLSTVSNTTTQETSKPSPTATSIASSPSSAVSNDTKTHVAPHINNVKDCTGTGVNNNAKGMLLASLQPNDSSLLGPSKSDGHSPSCVADATMQHVHNPLGEVSATSNPTEGTHRSTEPVTLINGSPKSEKTLTIGQQGGSTATHSSLHNLAAGQTVSNSPLTPHGNQNQIGSGAAQLHQSPGTSSLPREGNPGASHSSQGKHLGQTSNSLQNKWPEEASSTLNTRNHPLTLANRQLYPVNGNSGSGTIHNNGILITDTSGLTRSTSCPVHGASFPGNALPQGPCAPRVGPQSVSPVRTLALQPQQGSVSNTPLSSDATPGNPIGTSRVQAVGTPRMQHQQMLVFQSELQELMLKQAPNLNNRNARLQELHQLKQPTLCWSHAQQQVPPHSVAHGGSWNDSTNQQATNMAGQRSTLEAPIPLSWAEEISPLLKTKNQLSGQPLNCLQAQLYKIRAALASTNSCSKSRTANLYCKIADQPQNCSEYLTGLDMWAAAHGHDLQVACIYAQLADMPQNQQQYLVGISCWTDASAESKDISQRYSIFADQVKGISSYCRGLFYWSQGSNPDAELSKQLYQIAYSEKTAKDGLLDVTHHMWGLQQLVTAKVQASLNETQLLQEYAEKASHYKRQTEYLHAIHFQIEANSLTCPNCDVLALQFHKLSSEYDTNVKFMLGLRLHIEKARCFSPDVYLVEQFAKIAWQFANYHFYVLGLGYLFAANKWYDSQLSYYRQYASLAKPYDELLLNFHGLQCFFDSEVKGEAKLILSEYCRVAKGLLAKTRAGDEHFRVFGFLRSDLGGLAEREEATLSPSAEESEGSAKGAAMKKEEDGFAAAAAAATALSVGCSKM
+>sp|Q14145|KEAP1_HUMAN Kelch-like ECH-associated protein 1 OS=Homo sapiens OX=9606 GN=KEAP1 PE=1 SV=2
+MQPDPRPSGAGACCRFLPLQSQCPEGAGDAVMYASTECKAEVTPSQHGNRTFSYTLEDHTKQAFGIMNELRLSQQLCDVTLQVKYQDAPAAQFMAHKVVLASSSPVFKAMFTNGLREQGMEVVSIEGIHPKVMERLIEFAYTASISMGEKCVLHVMNGAVMYQIDSVVRACSDFLVQQLDPSNAIGIANFAEQIGCVELHQRAREYIYMHFGEVAKQEEFFNLSHCQLVTLISRDDLNVRCESEVFHACINWVKYDCEQRRFYVQALLRAVRCHSLTPNFLQMQLQKCEILQSDSRCKDYLVKIFEELTLHKPTQVMPCRAPKVGRLIYTAGGYFRQSLSYLEAYNPSDGTWLRLADLQVPRSGLAGCVVGGLLYAVGGRNNSPDGNTDSSALDCYNPMTNQWSPCAPMSVPRNRIGVGVIDGHIYAVGGSHGCIHHNSVERYEPERDEWHLVAPMLTRRIGVGVAVLNRLLYAVGGFDGTNRLNSAECYYPERNEWRMITAMNTIRSGAGVCVLHNCIYAAGGYDGQDQLNSVERYDVETETWTFVAPMKHRRSALGITVHQGRIYVLGGYDGHTFLDSVECYDPDTDTWSEVTRMTSGRSGVGVAVTMEPCRKQIDQQNCTC
+>DECOY_sp|Q14145|KEAP1_HUMAN Kelch-like ECH-associated protein 1 OS=Homo sapiens OX=9606 GN=KEAP1 PE=1 SV=2
+CTCNQQDIQKRCPEMTVAVGVGSRGSTMRTVESWTDTDPDYCEVSDLFTHGDYGGLVYIRGQHVTIGLASRRHKMPAVFTWTETEVDYREVSNLQDQGDYGGAAYICNHLVCVGAGSRITNMATIMRWENREPYYCEASNLRNTGDFGGVAYLLRNLVAVGVGIRRTLMPAVLHWEDREPEYREVSNHHICGHSGGVAYIHGDIVGVGIRNRPVSMPACPSWQNTMPNYCDLASSDTNGDPSNNRGGVAYLLGGVVCGALGSRPVQLDALRLWTGDSPNYAELYSLSQRFYGGATYILRGVKPARCPMVQTPKHLTLEEFIKVLYDKCRSDSQLIECKQLQMQLFNPTLSHCRVARLLAQVYFRRQECDYKVWNICAHFVESECRVNLDDRSILTVLQCHSLNFFEEQKAVEGFHMYIYERARQHLEVCGIQEAFNAIGIANSPDLQQVLFDSCARVVSDIQYMVAGNMVHLVCKEGMSISATYAFEILREMVKPHIGEISVVEMGQERLGNTFMAKFVPSSSALVVKHAMFQAAPADQYKVQLTVDCLQQSLRLENMIGFAQKTHDELTYSFTRNGHQSPTVEAKCETSAYMVADGAGEPCQSQLPLFRCCAGAGSPRPDPQM
+>sp|Q4VXA5|KHDC1_HUMAN KH homology domain-containing protein 1 OS=Homo sapiens OX=9606 GN=KHDC1 PE=2 SV=1
+MLSAFQRLFRVLFVIETVSEYGVLIFIYGWPFLQTLAMLLIGTVSFHLWIRRNRERNSRSGKTRCRSKRSEQSMDMGTSALSKKPWWTLPQNFHAPMVFHMEEDQEELIFGHGDTYLRCIEVHSHTLIQLESWFTATGQTRVTVVGPHRARQWLLHMFCCVGSQDSYHHARGLEMLERVRSQPLTNDDLVTSISVPPYTGDLSLAPRISGTVCLSVPQPSPYQVIGCSGFHLSSLYP
+>DECOY_sp|Q4VXA5|KHDC1_HUMAN KH homology domain-containing protein 1 OS=Homo sapiens OX=9606 GN=KHDC1 PE=2 SV=1
+PYLSSLHFGSCGIVQYPSPQPVSLCVTGSIRPALSLDGTYPPVSISTVLDDNTLPQSRVRELMELGRAHHYSDQSGVCCFMHLLWQRARHPGVVTVRTQGTATFWSELQILTHSHVEICRLYTDGHGFILEEQDEEMHFVMPAHFNQPLTWWPKKSLASTGMDMSQESRKSRCRTKGSRSNRERNRRIWLHFSVTGILLMALTQLFPWGYIFILVGYESVTEIVFLVRFLRQFASLM
+>sp|O75525|KHDR3_HUMAN KH domain-containing, RNA-binding, signal transduction-associated protein 3 OS=Homo sapiens OX=9606 GN=KHDRBS3 PE=1 SV=1
+MEEKYLPELMAEKDSLDPSFTHALRLVNQEIEKFQKGEGKDEEKYIDVVINKNMKLGQKVLIPVKQFPKFNFVGKLLGPRGNSLKRLQEETLTKMSILGKGSMRDKAKEEELRKSGEAKYFHLNDDLHVLIEVFAPPAEAYARMGHALEEIKKFLIPDYNDEIRQAQLQELTYLNGGSENADVPVVRGKPTLRTRGVPAPAITRGRGGVTARPVGVVVPRGTPTPRGVLSTRGPVSRGRGLLTPRARGVPPTGYRPPPPPPTQETYGEYDYDDGYGTAYDEQSYDSYDNSYSTPAQSGADYYDYGHGLSEETYDSYGQEEWTNSRHKAPSARTAKGVYRDQPYGRY
+>DECOY_sp|O75525|KHDR3_HUMAN KH domain-containing, RNA-binding, signal transduction-associated protein 3 OS=Homo sapiens OX=9606 GN=KHDRBS3 PE=1 SV=1
+YRGYPQDRYVGKATRASPAKHRSNTWEEQGYSDYTEESLGHGYDYYDAGSQAPTSYSNDYSDYSQEDYATGYGDDYDYEGYTEQTPPPPPPRYGTPPVGRARPTLLGRGRSVPGRTSLVGRPTPTGRPVVVGVPRATVGGRGRTIAPAPVGRTRLTPKGRVVPVDANESGGNLYTLEQLQAQRIEDNYDPILFKKIEELAHGMRAYAEAPPAFVEILVHLDDNLHFYKAEGSKRLEEEKAKDRMSGKGLISMKTLTEEQLRKLSNGRPGLLKGVFNFKPFQKVPILVKQGLKMNKNIVVDIYKEEDKGEGKQFKEIEQNVLRLAHTFSPDLSDKEAMLEPLYKEEM
+>sp|O15037|KHNYN_HUMAN Protein KHNYN OS=Homo sapiens OX=9606 GN=KHNYN PE=1 SV=3
+MPTWGARPASPDRFAVSAEAENKVREQQPHVERIFSVGVSVLPKDCPDNPHIWLQLEGPKENASRAKEYLKGLCSPELQDEIHYPPKLHCIFLGAQGFFLDCLAWSTSAHLVPRAPGSLMISGLTEAFVMAQSRVEELAERLSWDFTPGPSSGASQCTGVLRDFSALLQSPGDAHREALLQLPLAVQEELLSLVQEASSGQGPGALASWEGRSSALLGAQCQGVRAPPSDGRESLDTGSMGPGDCRGARGDTYAVEKEGGKQGGPREMDWGWKELPGEEAWEREVALRPQSVGGGARESAPLKGKALGKEEIALGGGGFCVHREPPGAHGSCHRAAQSRGASLLQRLHNGNASPPRVPSPPPAPEPPWHCGDRGDCGDRGDVGDRGDKQQGMARGRGPQWKRGARGGNLVTGTQRFKEALQDPFTLCLANVPGQPDLRHIVIDGSNVAMVHGLQHYFSSRGIAIAVQYFWDRGHRDITVFVPQWRFSKDAKVRESHFLQKLYSLSLLSLTPSRVMDGKRISSYDDRFMVKLAEETDGIIVSNDQFRDLAEESEKWMAIIRERLLPFTFVGNLFMVPDDPLGRNGPTLDEFLKKPARTQGSSKAQHPSRGFAEHGKQQQGREEEKGSGGIRKTRETERLRRQLLEVFWGQDHKVDFILQREPYCRDINQLSEALLSLNF
+>DECOY_sp|O15037|KHNYN_HUMAN Protein KHNYN OS=Homo sapiens OX=9606 GN=KHNYN PE=1 SV=3
+FNLSLLAESLQNIDRCYPERQLIFDVKHDQGWFVELLQRRLRETERTKRIGGSGKEEERGQQQKGHEAFGRSPHQAKSSGQTRAPKKLFEDLTPGNRGLPDDPVMFLNGVFTFPLLRERIIAMWKESEEALDRFQDNSVIIGDTEEALKVMFRDDYSSIRKGDMVRSPTLSLLSLSYLKQLFHSERVKADKSFRWQPVFVTIDRHGRDWFYQVAIAIGRSSFYHQLGHVMAVNSGDIVIHRLDPQGPVNALCLTFPDQLAEKFRQTGTVLNGGRAGRKWQPGRGRAMGQQKDGRDGVDGRDGCDGRDGCHWPPEPAPPPSPVRPPSANGNHLRQLLSAGRSQAARHCSGHAGPPERHVCFGGGGLAIEEKGLAKGKLPASERAGGGVSQPRLAVEREWAEEGPLEKWGWDMERPGGQKGGEKEVAYTDGRAGRCDGPGMSGTDLSERGDSPPARVGQCQAGLLASSRGEWSALAGPGQGSSAEQVLSLLEEQVALPLQLLAERHADGPSQLLASFDRLVGTCQSAGSSPGPTFDWSLREALEEVRSQAMVFAETLGSIMLSGPARPVLHASTSWALCDLFFGQAGLFICHLKPPYHIEDQLEPSCLGKLYEKARSANEKPGELQLWIHPNDPCDKPLVSVGVSFIREVHPQQERVKNEAEASVAFRDPSAPRAGWTPM
+>sp|Q96FN5|KIF12_HUMAN Kinesin-like protein KIF12 OS=Homo sapiens OX=9606 GN=KIF12 PE=1 SV=3
+MEERGSPDGDLARSLEQGPEGPETPIQVVLRVRPMSAAELRRGQQSVLHCSGTRTLQGGPEVAFRFGAVLDAARTQEDVFRACGVRRLGELALRGFSCTVFTFGQTGSGKTYTLTGPPPQGEGVPVPPSLAGIMQRTFAWLLDRVQHLGAPVTLRASYLEIYNEQVRDLLSLGSPRPLPVRWNKTRGFYVEQLRVVEFGSLEALMELLQTGLSRRRNSAHTLNQASSRSHALLTLYISRQTAQQMPSVDPGEPPVGGKLCFVDLAGSEKVAATGSRGELMLEANSINRSLLALGHCISLLLDPQRKQSHIPFRDSKLTKLLADSLGGRGVTLMVACVSPSAQCLPETLSTLRYASRAQRVTTRPQAPKSPVAKQPQRLETEMLQLQEENRRLQFQLDQMDCKASGLSGARVAWAQRNLYGMLQEFMLENERLRKEKSQLQNSRDLAQNEQRILAQQVHALERRLLSACYHHQQGPGLTPPCPCLMAPAPPCHALPPLYSCPCCHICPLCRVPLAHWACLPGEHHLPQVLDPEASGGRPPSARPPPWAPPCSPGSAKCPRERSHSDWTQTRVLAEMLTEEEVVPSAPPLPVRPPKTSPGLRGGAGVPNLAQRLEALRDQIGSSLRRGRSQPPCSEGARSPGQVLPPH
+>DECOY_sp|Q96FN5|KIF12_HUMAN Kinesin-like protein KIF12 OS=Homo sapiens OX=9606 GN=KIF12 PE=1 SV=3
+HPPLVQGPSRAGESCPPQSRGRRLSSGIQDRLAELRQALNPVGAGGRLGPSTKPPRVPLPPASPVVEEETLMEALVRTQTWDSHSRERPCKASGPSCPPAWPPPRASPPRGGSAEPDLVQPLHHEGPLCAWHALPVRCLPCIHCCPCSYLPPLAHCPPAPAMLCPCPPTLGPGQQHHYCASLLRRELAHVQQALIRQENQALDRSNQLQSKEKRLRENELMFEQLMGYLNRQAWAVRAGSLGSAKCDMQDLQFQLRRNEEQLQLMETELRQPQKAVPSKPAQPRTTVRQARSAYRLTSLTEPLCQASPSVCAVMLTVGRGGLSDALLKTLKSDRFPIHSQKRQPDLLLSICHGLALLSRNISNAELMLEGRSGTAAVKESGALDVFCLKGGVPPEGPDVSPMQQATQRSIYLTLLAHSRSSAQNLTHASNRRRSLGTQLLEMLAELSGFEVVRLQEVYFGRTKNWRVPLPRPSGLSLLDRVQENYIELYSARLTVPAGLHQVRDLLWAFTRQMIGALSPPVPVGEGQPPPGTLTYTKGSGTQGFTFVTCSFGRLALEGLRRVGCARFVDEQTRAADLVAGFRFAVEPGGQLTRTGSCHLVSQQGRRLEAASMPRVRLVVQIPTEPGEPGQELSRALDGDPSGREEM
+>sp|O60333|KIF1B_HUMAN Kinesin-like protein KIF1B OS=Homo sapiens OX=9606 GN=KIF1B PE=1 SV=5
+MSGASVKVAVRVRPFNSRETSKESKCIIQMQGNSTSIINPKNPKEAPKSFSFDYSYWSHTSPEDPCFASQNRVYNDIGKEMLLHAFEGYNVCIFAYGQTGAGKSYTMMGKQEESQAGIIPQLCEELFEKINDNCNEEMSYSVEVSYMEIYCERVRDLLNPKNKGNLRVREHPLLGPYVEDLSKLAVTSYTDIADLMDAGNKARTVAATNMNETSSRSHAVFTIVFTQKKHDNETNLSTEKVSKISLVDLAGSERADSTGAKGTRLKEGANINKSLTTLGKVISALAEVDNCTSKSKKKKKTDFIPYRDSVLTWLLRENLGGNSRTAMVAALSPADINYDETLSTLRYADRAKQIKCNAVINEDPNAKLVRELKEEVTRLKDLLRAQGLGDIIDIDPLIDDYSGSGSKYLKDFQNNKHRYLLASENQRPGHFSTASMGSLTSSPSSCSLSSQVGLTSVTSIQERIMSTPGGEEAIERLKESEKIIAELNETWEEKLRKTEAIRMEREALLAEMGVAIREDGGTLGVFSPKKTPHLVNLNEDPLMSECLLYYIKDGITRVGQADAERRQDIVLSGAHIKEEHCIFRSERSNSGEVIVTLEPCERSETYVNGKRVSQPVQLRSGNRIIMGKNHVFRFNHPEQARAEREKTPSAETPSEPVDWTFAQRELLEKQGIDMKQEMEKRLQEMEILYKKEKEEADLLLEQQRLDYESKLQALQKQVETRSLAAETTEEEEEEEEVPWTQHEFELAQWAFRKWKSHQFTSLRDLLWGNAVYLKEANAISVELKKKVQFQFVLLTDTLYSPLPPELLPTEMEKTHEDRPFPRTVVAVEVQDLKNGATHYWSLEKLKQRLDLMREMYDRAGEMASSAQDESETTVTGSDPFYDRFHWFKLVGSSPIFHGCVNERLADRTPSPTFSTADSDITELADEQQDEMEDFDDEAFVDDAGSDAGTEEGSDLFSDGHDPFYDRSPWFILVGRAFVYLSNLLYPVPLIHRVAIVSEKGEVRGFLRVAVQAIAADEEAPDYGSGIRQSGTAKISFDNEYFNQSDFSSVAMTRSGLSLEELRIVEGQGQSSEVITPPEEISRINDLDLKSSTLLDGKMVMEGFSEEIGNHLKLGSAFTFRVTVLQASGILPEYADIFCQFNFLHRHDEAFSTEPLKNNGRGSPLAFYHVQNIAVEITESFVDYIKTKPIVFEVFGHYQQHPLHLQGQELNSPPQPCRRFFPPPMPLSKPVPATKLNTMSKTSLGQSMSKYDLLVWFEISELEPTGEYIPAVVDHTAGLPCQGTFLLHQGIQRRITVTIIHEKGSELHWKDVRELVVGRIRNKPEVDEAAVDAILSLNIISAKYLKSSHNSSRTFYRFEAVWDSSLHNSLLLNRVTPYGEKIYMTLSAYLELDHCIQPAVITKDVCMVFYSRDAKISPPRSLRSLFGSGYSKSPDSNRVTGIYELSLCKMSDTGSPGMQRRRRKILDTSVAYVRGEENLAGWRPRGDSLILEHQWELEKLELLHEVEKTRHFLLLRERLGDSIPKSLSDSLSPSLSSGTLSTSTSISSQISTTTFESAITPSESSGYDSGDIESLVDREKELATKCLQLLTHTFNREFSQVHGSVSDCKLSDISPIGRDPSESSFSSATLTPSSTCPSLVDSRSNSLDQKTPEANSRASSPCPEFEQFQIVPAVETPYLARAGKNEFLNLVPDIEEIRPSSVVSKKGYLHFKEPLYSNWAKHFVVVRRPYVFIYNSDKDPVERGIINLSTAQVEYSEDQQAMVKTPNTFAVCTKHRGVLLQALNDKDMNDWLYAFNPLLAGTIRSKLSRRCPSQSKY
+>DECOY_sp|O60333|KIF1B_HUMAN Kinesin-like protein KIF1B OS=Homo sapiens OX=9606 GN=KIF1B PE=1 SV=5
+YKSQSPCRRSLKSRITGALLPNFAYLWDNMDKDNLAQLLVGRHKTCVAFTNPTKVMAQQDESYEVQATSLNIIGREVPDKDSNYIFVYPRRVVVFHKAWNSYLPEKFHLYGKKSVVSSPRIEEIDPVLNLFENKGARALYPTEVAPVIQFQEFEPCPSSARSNAEPTKQDLSNSRSDVLSPCTSSPTLTASSFSSESPDRGIPSIDSLKCDSVSGHVQSFERNFTHTLLQLCKTALEKERDVLSEIDGSDYGSSESPTIASEFTTTSIQSSISTSTSLTGSSLSPSLSDSLSKPISDGLRERLLLFHRTKEVEHLLELKELEWQHELILSDGRPRWGALNEEGRVYAVSTDLIKRRRRQMGPSGTDSMKCLSLEYIGTVRNSDPSKSYGSGFLSRLSRPPSIKADRSYFVMCVDKTIVAPQICHDLELYASLTMYIKEGYPTVRNLLLSNHLSSDWVAEFRYFTRSSNHSSKLYKASIINLSLIADVAAEDVEPKNRIRGVVLERVDKWHLESGKEHIITVTIRRQIGQHLLFTGQCPLGATHDVVAPIYEGTPELESIEFWVLLDYKSMSQGLSTKSMTNLKTAPVPKSLPMPPPFFRRCPQPPSNLEQGQLHLPHQQYHGFVEFVIPKTKIYDVFSETIEVAINQVHYFALPSGRGNNKLPETSFAEDHRHLFNFQCFIDAYEPLIGSAQLVTVRFTFASGLKLHNGIEESFGEMVMKGDLLTSSKLDLDNIRSIEEPPTIVESSQGQGEVIRLEELSLGSRTMAVSSFDSQNFYENDFSIKATGSQRIGSGYDPAEEDAAIAQVAVRLFGRVEGKESVIAVRHILPVPYLLNSLYVFARGVLIFWPSRDYFPDHGDSFLDSGEETGADSGADDVFAEDDFDEMEDQQEDALETIDSDATSFTPSPTRDALRENVCGHFIPSSGVLKFWHFRDYFPDSGTVTTESEDQASSAMEGARDYMERMLDLRQKLKELSWYHTAGNKLDQVEVAVVTRPFPRDEHTKEMETPLLEPPLPSYLTDTLLVFQFQVKKKLEVSIANAEKLYVANGWLLDRLSTFQHSKWKRFAWQALEFEHQTWPVEEEEEEEETTEAALSRTEVQKQLAQLKSEYDLRQQELLLDAEEKEKKYLIEMEQLRKEMEQKMDIGQKELLERQAFTWDVPESPTEASPTKEREARAQEPHNFRFVHNKGMIIRNGSRLQVPQSVRKGNVYTESRECPELTVIVEGSNSRESRFICHEEKIHAGSLVIDQRREADAQGVRTIGDKIYYLLCESMLPDENLNVLHPTKKPSFVGLTGGDERIAVGMEALLAEREMRIAETKRLKEEWTENLEAIIKESEKLREIAEEGGPTSMIREQISTVSTLGVQSSLSCSSPSSTLSGMSATSFHGPRQNESALLYRHKNNQFDKLYKSGSGSYDDILPDIDIIDGLGQARLLDKLRTVEEKLERVLKANPDENIVANCKIQKARDAYRLTSLTEDYNIDAPSLAAVMATRSNGGLNERLLWTLVSDRYPIFDTKKKKKSKSTCNDVEALASIVKGLTTLSKNINAGEKLRTGKAGTSDARESGALDVLSIKSVKETSLNTENDHKKQTFVITFVAHSRSSTENMNTAAVTRAKNGADMLDAIDTYSTVALKSLDEVYPGLLPHERVRLNGKNKPNLLDRVRECYIEMYSVEVSYSMEENCNDNIKEFLEECLQPIIGAQSEEQKGMMTYSKGAGTQGYAFICVNYGEFAHLLMEKGIDNYVRNQSAFCPDEPSTHSWYSYDFSFSKPAEKPNKPNIISTSNGQMQIICKSEKSTERSNFPRVRVAVKVSAGSM
+>sp|Q14807|KIF22_HUMAN Kinesin-like protein KIF22 OS=Homo sapiens OX=9606 GN=KIF22 PE=1 SV=5
+MAAGGSTQQRRREMAAASAAAISGAGRCRLSKIGATRRPPPARVRVAVRLRPFVDGTAGASDPPCVRGMDSCSLEIANWRNHQETLKYQFDAFYGERSTQQDIYAGSVQPILRHLLEGQNASVLAYGPTGAGKTHTMLGSPEQPGVIPRALMDLLQLTREEGAEGRPWALSVTMSYLEIYQEKVLDLLDPASGDLVIREDCRGNILIPGLSQKPISSFADFERHFLPASRNRTVGATRLNQRSSRSHAVLLVKVDQRERLAPFRQREGKLYLIDLAGSEDNRRTGNKGLRLKESGAINTSLFVLGKVVDALNQGLPRVPYRDSKLTRLLQDSLGGSAHSILIANIAPERRFYLDTVSALNFAARSKEVINRPFTNESLQPHALGPVKLSQKELLGPPEAKRARGPEEEEIGSPEPMAAPASASQKLSPLQKLSSMDPAMLERLLSLDRLLASQGSQGAPLLSTPKRERMVLMKTVEEKDLEIERLKTKQKELEAKMLAQKAEEKENHCPTMLRPLSHRTVTGAKPLKKAVVMPLQLIQEQAASPNAEIHILKNKGRKRKLESLDALEPEEKAEDCWELQISPELLAHGRQKILDLLNEGSARDLRSLQRIGPKKAQLIVGWRELHGPFSQVEDLERVEGITGKQMESFLKANILGLAAGQRCGAS
+>DECOY_sp|Q14807|KIF22_HUMAN Kinesin-like protein KIF22 OS=Homo sapiens OX=9606 GN=KIF22 PE=1 SV=5
+SAGCRQGAALGLINAKLFSEMQKGTIGEVRELDEVQSFPGHLERWGVILQAKKPGIRQLSRLDRASGENLLDLIKQRGHALLEPSIQLEWCDEAKEEPELADLSELKRKRGKNKLIHIEANPSAAQEQILQLPMVVAKKLPKAGTVTRHSLPRLMTPCHNEKEEAKQALMKAELEKQKTKLREIELDKEEVTKMLVMRERKPTSLLPAGQSGQSALLRDLSLLRELMAPDMSSLKQLPSLKQSASAPAAMPEPSGIEEEEPGRARKAEPPGLLEKQSLKVPGLAHPQLSENTFPRNIVEKSRAAFNLASVTDLYFRREPAINAILISHASGGLSDQLLRTLKSDRYPVRPLGQNLADVVKGLVFLSTNIAGSEKLRLGKNGTRRNDESGALDILYLKGERQRFPALRERQDVKVLLVAHSRSSRQNLRTAGVTRNRSAPLFHREFDAFSSIPKQSLGPILINGRCDERIVLDGSAPDLLDLVKEQYIELYSMTVSLAWPRGEAGEERTLQLLDMLARPIVGPQEPSGLMTHTKGAGTPGYALVSANQGELLHRLIPQVSGAYIDQQTSREGYFADFQYKLTEQHNRWNAIELSCSDMGRVCPPDSAGATGDVFPRLRVAVRVRAPPPRRTAGIKSLRCRGAGSIAAASAAAMERRRQQTSGGAAM
+>sp|Q9UIL4|KIF25_HUMAN Kinesin-like protein KIF25 OS=Homo sapiens OX=9606 GN=KIF25 PE=2 SV=2
+MTWTSGQLQREKQARPGSGAVLAFPDDKDLRVYGPAESQSAVFGDVCPLLTSLLDGYNVCVMAYGQTGSGKSYTMLGRHSDDGPVLPLDPQSDLGIIPRVAEELFRLILENTSRSPKVEVSIVEVYNNDIFDLLAKDSIAAVSGVKREVVTAKDGRTEVALLASEAVGSASKLMELVHGGLQLRAKHPTLVHADSSRSHLIITVTLTTASCSDSTADQACSATLPREQTEAGRAGRSRRASQGALAPQLVPGNPAGHAEQVQARLQLVDSAGSECVGVSGVTGLALREMACISRSLAALAGVLGALLEHRGHAPYRNSRLTHLLQDCLGGDAKLLVILCISPSQRHLAQTLQGLGFGIRARQVQRGPARKKPPSSQTEGKRRPD
+>DECOY_sp|Q9UIL4|KIF25_HUMAN Kinesin-like protein KIF25 OS=Homo sapiens OX=9606 GN=KIF25 PE=2 SV=2
+DPRRKGETQSSPPKKRAPGRQVQRARIGFGLGQLTQALHRQSPSICLIVLLKADGGLCDQLLHTLRSNRYPAHGRHELLAGLVGALAALSRSICAMERLALGTVGSVGVCESGASDVLQLRAQVQEAHGAPNGPVLQPALAGQSARRSRGARGAETQERPLTASCAQDATSDSCSATTLTVTIILHSRSSDAHVLTPHKARLQLGGHVLEMLKSASGVAESALLAVETRGDKATVVERKVGSVAAISDKALLDFIDNNYVEVISVEVKPSRSTNELILRFLEEAVRPIIGLDSQPDLPLVPGDDSHRGLMTYSKGSGTQGYAMVCVNYGDLLSTLLPCVDGFVASQSEAPGYVRLDKDDPFALVAGSGPRAQKERQLQGSTWTM
+>sp|B7ZC32|KIF28_HUMAN Kinesin-like protein KIF28P OS=Homo sapiens OX=9606 GN=KIF28P PE=3 SV=2
+MPTQSVDSVKAVRVRPFSQREKNSGSKCVISMHSRTTTTTQDPKNPEHVKTFTFDLAYWSHNGFQRDKDGVLISADPSRKFAGQRDVFHDLGRGILDSAWQGYNATLLAYGQTGSGKSYSMIGFGCKQGIIPTVCEELFRAIENQGRNQEHQVMFSMLEIYKEIIRDLLSRTKKPGGLRIREDQQLGFYVEGLKSVPCENYAQIERLMEQGTKIRTTASTNMNASSSRSHLVITIQFKQVFLDRDLTKQSSINLVDLARSERQKSSGSEGDRLREGSCVNLSLTNLGSVISVLADAAMGKKVLHIPYRDSVLTKLLQSALGGNSRTALVAAVSPADICYEETLSTLRYAERERKIRNRAVANTWTLMRKSRAENSKLLPMMTFPHLLNLSEDPQLTRVLKYFIQAGTQPAPCPRPALSPPHPALRISDKHASFTNADGKVTVTPHSKCKVAVNGVPITTRTKLQHLDRLILGSNSTYLYVGFPSEWGSEDLSRFDYDFFQLERAAAEGASADKLGAADGGDGKAGPSVLAAFQDYIKLMPLVSEANQMSEEPKKGLNMELKVKNLASSDSRGYDLQKEVLVKVTHHGSHEVWIWSKAKFINRKFLMQELYQRFLDGDHGPVARDDDPFWDPVEVVRLGSAHIWLQSLAYCMKLEEQVEFLNCDGLEEAVLHTCIAPCSPTGQTHGEEDVVIDPLELLGKRMDFQIHIVRCLGVNWMKEDAKRGTQIGYRIYDLPNTIYTKPVWKSVNPQIEETVQFAALTASQEFLNYLRTNALIVDLWGLQEGCTELSCSQLGLMVTGEGHILVDTKKISTVKDISQAASNQIPELYLKLLKLEQETEPLRNINRALREENVLLKASLAKTASGQAPKPSNTLKISGMTAQLPSAGEMSQMCTQQAGSDRELAKALKVFYQSMNTARGQLFRLRRHQPPEVDQMLRPFIHQRSQMFKDLGDLRESSLWTLKMTLLL
+>DECOY_sp|B7ZC32|KIF28_HUMAN Kinesin-like protein KIF28P OS=Homo sapiens OX=9606 GN=KIF28P PE=3 SV=2
+LLLTMKLTWLSSERLDGLDKFMQSRQHIFPRLMQDVEPPQHRRLRFLQGRATNMSQYFVKLAKALERDSGAQQTCMQSMEGASPLQATMGSIKLTNSPKPAQGSATKALSAKLLVNEERLARNINRLPETEQELKLLKLYLEPIQNSAAQSIDKVTSIKKTDVLIHGEGTVMLGLQSCSLETCGEQLGWLDVILANTRLYNLFEQSATLAAFQVTEEIQPNVSKWVPKTYITNPLDYIRYGIQTGRKADEKMWNVGLCRVIHIQFDMRKGLLELPDIVVDEEGHTQGTPSCPAICTHLVAEELGDCNLFEVQEELKMCYALSQLWIHASGLRVVEVPDWFPDDDRAVPGHDGDLFRQYLEQMLFKRNIFKAKSWIWVEHSGHHTVKVLVEKQLDYGRSDSSALNKVKLEMNLGKKPEESMQNAESVLPMLKIYDQFAALVSPGAKGDGGDAAGLKDASAGEAAARELQFFDYDFRSLDESGWESPFGVYLYTSNSGLILRDLHQLKTRTTIPVGNVAVKCKSHPTVTVKGDANTFSAHKDSIRLAPHPPSLAPRPCPAPQTGAQIFYKLVRTLQPDESLNLLHPFTMMPLLKSNEARSKRMLTWTNAVARNRIKREREAYRLTSLTEEYCIDAPSVAAVLATRSNGGLASQLLKTLVSDRYPIHLVKKGMAADALVSIVSGLNTLSLNVCSGERLRDGESGSSKQRESRALDVLNISSQKTLDRDLFVQKFQITIVLHSRSSSANMNTSATTRIKTGQEMLREIQAYNECPVSKLGEVYFGLQQDERIRLGGPKKTRSLLDRIIEKYIELMSFMVQHEQNRGQNEIARFLEECVTPIIGQKCGFGIMSYSKGSGTQGYALLTANYGQWASDLIGRGLDHFVDRQGAFKRSPDASILVGDKDRQFGNHSWYALDFTFTKVHEPNKPDQTTTTTRSHMSIVCKSGSNKERQSFPRVRVAKVSDVSQTPM
+>sp|Q99661|KIF2C_HUMAN Kinesin-like protein KIF2C OS=Homo sapiens OX=9606 GN=KIF2C PE=1 SV=2
+MAMDSSLQARLFPGLAIKIQRSNGLIHSANVRTVNLEKSCVSVEWAEGGATKGKEIDFDDVAAINPELLQLLPLHPKDNLPLQENVTIQKQKRRSVNSKIPAPKESLRSRSTRMSTVSELRITAQENDMEVELPAAANSRKQFSVPPAPTRPSCPAVAEIPLRMVSEEMEEQVHSIRGSSSANPVNSVRRKSCLVKEVEKMKNKREEKKAQNSEMRMKRAQEYDSSFPNWEFARMIKEFRATLECHPLTMTDPIEEHRICVCVRKRPLNKQELAKKEIDVISIPSKCLLLVHEPKLKVDLTKYLENQAFCFDFAFDETASNEVVYRFTARPLVQTIFEGGKATCFAYGQTGSGKTHTMGGDLSGKAQNASKGIYAMASRDVFLLKNQPCYRKLGLEVYVTFFEIYNGKLFDLLNKKAKLRVLEDGKQQVQVVGLQEHLVNSADDVIKMIDMGSACRTSGQTFANSNSSRSHACFQIILRAKGRMHGKFSLVDLAGNERGADTSSADRQTRMEGAEINKSLLALKECIRALGQNKAHTPFRESKLTQVLRDSFIGENSRTCMIATISPGISSCEYTLNTLRYADRVKELSPHSGPSGEQLIQMETEEMEACSNGALIPGNLSKEEEELSSQMSSFNEAMTQIRELEEKAMEELKEIIQQGPDWLELSEMTEQPDYDLETFVNKAESALAQQAKHFSALRDVIKALRLAMQLEEQASRQISSKKRPQ
+>DECOY_sp|Q99661|KIF2C_HUMAN Kinesin-like protein KIF2C OS=Homo sapiens OX=9606 GN=KIF2C PE=1 SV=2
+QPRKKSSIQRSAQEELQMALRLAKIVDRLASFHKAQQALASEAKNVFTELDYDPQETMESLELWDPGQQIIEKLEEMAKEELERIQTMAENFSSMQSSLEEEEKSLNGPILAGNSCAEMEETEMQILQEGSPGSHPSLEKVRDAYRLTNLTYECSSIGPSITAIMCTRSNEGIFSDRLVQTLKSERFPTHAKNQGLARICEKLALLSKNIEAGEMRTQRDASSTDAGRENGALDVLSFKGHMRGKARLIIQFCAHSRSSNSNAFTQGSTRCASGMDIMKIVDDASNVLHEQLGVVQVQQKGDELVRLKAKKNLLDFLKGNYIEFFTVYVELGLKRYCPQNKLLFVDRSAMAYIGKSANQAKGSLDGGMTHTKGSGTQGYAFCTAKGGEFITQVLPRATFRYVVENSATEDFAFDFCFAQNELYKTLDVKLKPEHVLLLCKSPISIVDIEKKALEQKNLPRKRVCVCIRHEEIPDTMTLPHCELTARFEKIMRAFEWNPFSSDYEQARKMRMESNQAKKEERKNKMKEVEKVLCSKRRVSNVPNASSSGRISHVQEEMEESVMRLPIEAVAPCSPRTPAPPVSFQKRSNAAAPLEVEMDNEQATIRLESVTSMRTSRSRLSEKPAPIKSNVSRRKQKQITVNEQLPLNDKPHLPLLQLLEPNIAAVDDFDIEKGKTAGGEAWEVSVCSKELNVTRVNASHILGNSRQIKIALGPFLRAQLSSDMAM
+>sp|P33176|KINH_HUMAN Kinesin-1 heavy chain OS=Homo sapiens OX=9606 GN=KIF5B PE=1 SV=1
+MADLAECNIKVMCRFRPLNESEVNRGDKYIAKFQGEDTVVIASKPYAFDRVFQSSTSQEQVYNDCAKKIVKDVLEGYNGTIFAYGQTSSGKTHTMEGKLHDPEGMGIIPRIVQDIFNYIYSMDENLEFHIKVSYFEIYLDKIRDLLDVSKTNLSVHEDKNRVPYVKGCTERFVCSPDEVMDTIDEGKSNRHVAVTNMNEHSSRSHSIFLINVKQENTQTEQKLSGKLYLVDLAGSEKVSKTGAEGAVLDEAKNINKSLSALGNVISALAEGSTYVPYRDSKMTRILQDSLGGNCRTTIVICCSPSSYNESETKSTLLFGQRAKTIKNTVCVNVELTAEQWKKKYEKEKEKNKILRNTIQWLENELNRWRNGETVPIDEQFDKEKANLEAFTVDKDITLTNDKPATAIGVIGNFTDAERRKCEEEIAKLYKQLDDKDEEINQQSQLVEKLKTQMLDQEELLASTRRDQDNMQAELNRLQAENDASKEEVKEVLQALEELAVNYDQKSQEVEDKTKEYELLSDELNQKSATLASIDAELQKLKEMTNHQKKRAAEMMASLLKDLAEIGIAVGNNDVKQPEGTGMIDEEFTVARLYISKMKSEVKTMVKRCKQLESTQTESNKKMEENEKELAACQLRISQHEAKIKSLTEYLQNVEQKKRQLEESVDALSEELVQLRAQEKVHEMEKEHLNKVQTANEVKQAVEQQIQSHRETHQKQISSLRDEVEAKAKLITDLQDQNQKMMLEQERLRVEHEKLKATDQEKSRKLHELTVMQDRREQARQDLKGLEETVAKELQTLHNLRKLFVQDLATRVKKSAEIDSDDTGGSAAQKQKISFLENNLEQLTKVHKQLVRDNADLRCELPKLEKRLRATAERVKALESALKEAKENASRDRKRYQQEVDRIKEAVRSKNMARRGHSAQIAKPIRPGQHPAASPTHPSAIRGGGAFVQNSQPVAVRGGGGKQV
+>DECOY_sp|P33176|KINH_HUMAN Kinesin-1 heavy chain OS=Homo sapiens OX=9606 GN=KIF5B PE=1 SV=1
+VQKGGGGRVAVPQSNQVFAGGGRIASPHTPSAAPHQGPRIPKAIQASHGRRAMNKSRVAEKIRDVEQQYRKRDRSANEKAEKLASELAKVREATARLRKELKPLECRLDANDRVLQKHVKTLQELNNELFSIKQKQAASGGTDDSDIEASKKVRTALDQVFLKRLNHLTQLEKAVTEELGKLDQRAQERRDQMVTLEHLKRSKEQDTAKLKEHEVRLREQELMMKQNQDQLDTILKAKAEVEDRLSSIQKQHTERHSQIQQEVAQKVENATQVKNLHEKEMEHVKEQARLQVLEESLADVSEELQRKKQEVNQLYETLSKIKAEHQSIRLQCAALEKENEEMKKNSETQTSELQKCRKVMTKVESKMKSIYLRAVTFEEDIMGTGEPQKVDNNGVAIGIEALDKLLSAMMEAARKKQHNTMEKLKQLEADISALTASKQNLEDSLLEYEKTKDEVEQSKQDYNVALEELAQLVEKVEEKSADNEAQLRNLEAQMNDQDRRTSALLEEQDLMQTKLKEVLQSQQNIEEDKDDLQKYLKAIEEECKRREADTFNGIVGIATAPKDNTLTIDKDVTFAELNAKEKDFQEDIPVTEGNRWRNLENELWQITNRLIKNKEKEKEYKKKWQEATLEVNVCVTNKITKARQGFLLTSKTESENYSSPSCCIVITTRCNGGLSDQLIRTMKSDRYPVYTSGEALASIVNGLASLSKNINKAEDLVAGEAGTKSVKESGALDVLYLKGSLKQETQTNEQKVNILFISHSRSSHENMNTVAVHRNSKGEDITDMVEDPSCVFRETCGKVYPVRNKDEHVSLNTKSVDLLDRIKDLYIEFYSVKIHFELNEDMSYIYNFIDQVIRPIIGMGEPDHLKGEMTHTKGSSTQGYAFITGNYGELVDKVIKKACDNYVQEQSTSSQFVRDFAYPKSAIVVTDEGQFKAIYKDGRNVESENLPRFRCMVKINCEALDAM
+>sp|Q6UWL6|KIRR2_HUMAN Kin of IRRE-like protein 2 OS=Homo sapiens OX=9606 GN=KIRREL2 PE=1 SV=2
+MLRMRVPALLVLLFCFRGRAGPSPHFLQQPEDLVVLLGEEARLPCALGAYWGLVQWTKSGLALGGQRDLPGWSRYWISGNAANGQHDLHIRPVELEDEASYECQATQAGLRSRPAQLHVLVPPEAPQVLGGPSVSLVAGVPANLTCRSRGDARPTPELLWFRDGVLLDGATFHQTLLKEGTPGSVESTLTLTPFSHDDGATFVCRARSQALPTGRDTAITLSLQYPPEVTLSASPHTVQEGEKVIFLCQATAQPPVTGYRWAKGGSPVLGARGPRLEVVADASFLTEPVSCEVSNAVGSANRSTALDVLFGPILQAKPEPVSVDVGEDASFSCAWRGNPLPRVTWTRRGGAQVLGSGATLRLPSVGPEDAGDYVCRAEAGLSGLRGGAAEARLTVNAPPVVTALHSAPAFLRGPARLQCLVFASPAPDAVVWSWDEGFLEAGSQGRFLVETFPAPESRGGLGPGLISVLHISGTQESDFSRSFNCSARNRLGEGGAQASLGRRDLLPTVRIVAGVAAATTTLLMVITGVALCCWRHSKASASFSEQKNLMRIPGSSDGSSSRGPEEEETGSREDRGPIVHTDHSDLVLEEKGTLETKDPTNGYYKVRGVSVSLSLGEAPGGGLFLPPPSPLGPPGTPTFYDFNPHLGMVPPCRLYRARAGYLTTPHPRAFTSYIKPTSFGPPDLAPGTPPFPYAAFPTPSHPRLQTHV
+>DECOY_sp|Q6UWL6|KIRR2_HUMAN Kin of IRRE-like protein 2 OS=Homo sapiens OX=9606 GN=KIRREL2 PE=1 SV=2
+VHTQLRPHSPTPFAAYPFPPTGPALDPPGFSTPKIYSTFARPHPTTLYGARARYLRCPPVMGLHPNFDYFTPTGPPGLPSPPPLFLGGGPAEGLSLSVSVGRVKYYGNTPDKTELTGKEELVLDSHDTHVIPGRDERSGTEEEEPGRSSSGDSSGPIRMLNKQESFSASAKSHRWCCLAVGTIVMLLTTTAAAVGAVIRVTPLLDRRGLSAQAGGEGLRNRASCNFSRSFDSEQTGSIHLVSILGPGLGGRSEPAPFTEVLFRGQSGAELFGEDWSWVVADPAPSAFVLCQLRAPGRLFAPASHLATVVPPANVTLRAEAAGGRLGSLGAEARCVYDGADEPGVSPLRLTAGSGLVQAGGRRTWTVRPLPNGRWACSFSADEGVDVSVPEPKAQLIPGFLVDLATSRNASGVANSVECSVPETLFSADAVVELRPGRAGLVPSGGKAWRYGTVPPQATAQCLFIVKEGEQVTHPSASLTVEPPYQLSLTIATDRGTPLAQSRARCVFTAGDDHSFPTLTLTSEVSGPTGEKLLTQHFTAGDLLVGDRFWLLEPTPRADGRSRCTLNAPVGAVLSVSPGGLVQPAEPPVLVHLQAPRSRLGAQTAQCEYSAEDELEVPRIHLDHQGNAANGSIWYRSWGPLDRQGGLALGSKTWQVLGWYAGLACPLRAEEGLLVVLDEPQQLFHPSPGARGRFCFLLVLLAPVRMRLM
+>sp|Q8TBQ9|KISHA_HUMAN Protein kish-A OS=Homo sapiens OX=9606 GN=TMEM167A PE=1 SV=1
+MSAIFNFQSLLTVILLLICTCAYIRSLAPSLLDRNKTGLLGIFWKCARIGERKSPYVAVCCIVMAFSILFIQ
+>DECOY_sp|Q8TBQ9|KISHA_HUMAN Protein kish-A OS=Homo sapiens OX=9606 GN=TMEM167A PE=1 SV=1
+QIFLISFAMVICCVAVYPSKREGIRACKWFIGLLGTKNRDLLSPALSRIYACTCILLLIVTLLSQFNFIASM
+>sp|Q9NRX6|KISHB_HUMAN Protein kish-B OS=Homo sapiens OX=9606 GN=TMEM167B PE=3 SV=1
+MTNVYSLDGILVFGLLFVCTCAYFKKVPRLKTWLLSEKKGVWGVFYKAAVIGTRLHAAVAIACVVMAFYVLFIK
+>DECOY_sp|Q9NRX6|KISHB_HUMAN Protein kish-B OS=Homo sapiens OX=9606 GN=TMEM167B PE=3 SV=1
+KIFLVYFAMVVCAIAVAAHLRTGIVAAKYFVGWVGKKESLLWTKLRPVKKFYACTCVFLLGFVLIGDLSYVNTM
+>sp|Q8N5S9|KKCC1_HUMAN Calcium/calmodulin-dependent protein kinase kinase 1 OS=Homo sapiens OX=9606 GN=CAMKK1 PE=1 SV=2
+MEGGPAVCCQDPRAELVERVAAIDVTHLEEADGGPEPTRNGVDPPPRARAASVIPGSTSRLLPARPSLSARKLSLQERPAGSYLEAQAGPYATGPASHISPRAWRRPTIESHHVAISDAEDCVQLNQYKLQSEIGKGAYGVVRLAYNESEDRHYAMKVLSKKKLLKQYGFPRRPPPRGSQAAQGGPAKQLLPLERVYQEIAILKKLDHVNVVKLIEVLDDPAEDNLYLVFDLLRKGPVMEVPCDKPFSEEQARLYLRDVILGLEYLHCQKIVHRDIKPSNLLLGDDGHVKIADFGVSNQFEGNDAQLSSTAGTPAFMAPEAISDSGQSFSGKALDVWATGVTLYCFVYGKCPFIDDFILALHRKIKNEPVVFPEEPEISEELKDLILKMLDKNPETRIGVPDIKLHPWVTKNGEEPLPSEEEHCSVVEVTEEEVKNSVRLIPSWTTVILVKSMLRKRSFGNPFEPQARREERSMSAPGNLLVKEGFGEGGKSPELPGVQEDEAAS
+>DECOY_sp|Q8N5S9|KKCC1_HUMAN Calcium/calmodulin-dependent protein kinase kinase 1 OS=Homo sapiens OX=9606 GN=CAMKK1 PE=1 SV=2
+SAAEDEQVGPLEPSKGGEGFGEKVLLNGPASMSREERRAQPEFPNGFSRKRLMSKVLIVTTWSPILRVSNKVEEETVEVVSCHEEESPLPEEGNKTVWPHLKIDPVGIRTEPNKDLMKLILDKLEESIEPEEPFVVPENKIKRHLALIFDDIFPCKGYVFCYLTVGTAWVDLAKGSFSQGSDSIAEPAMFAPTGATSSLQADNGEFQNSVGFDAIKVHGDDGLLLNSPKIDRHVIKQCHLYELGLIVDRLYLRAQEESFPKDCPVEMVPGKRLLDFVLYLNDEAPDDLVEILKVVNVHDLKKLIAIEQYVRELPLLQKAPGGQAAQSGRPPPRRPFGYQKLLKKKSLVKMAYHRDESENYALRVVGYAGKGIESQLKYQNLQVCDEADSIAVHHSEITPRRWARPSIHSAPGTAYPGAQAELYSGAPREQLSLKRASLSPRAPLLRSTSGPIVSAARARPPPDVGNRTPEPGGDAEELHTVDIAAVREVLEARPDQCCVAPGGEM
+>sp|Q96RR4|KKCC2_HUMAN Calcium/calmodulin-dependent protein kinase kinase 2 OS=Homo sapiens OX=9606 GN=CAMKK2 PE=1 SV=2
+MSSCVSSQPSSNRAAPQDELGGRGSSSSESQKPCEALRGLSSLSIHLGMESFIVVTECEPGCAVDLGLARDRPLEADGQEVPLDTSGSQARPHLSGRKLSLQERSQGGLAAGGSLDMNGRCICPSLPYSPVSSPQSSPRLPRRPTVESHHVSITGMQDCVQLNQYTLKDEIGKGSYGVVKLAYNENDNTYYAMKVLSKKKLIRQAGFPRRPPPRGTRPAPGGCIQPRGPIEQVYQEIAILKKLDHPNVVKLVEVLDDPNEDHLYMVFELVNQGPVMEVPTLKPLSEDQARFYFQDLIKGIEYLHYQKIIHRDIKPSNLLVGEDGHIKIADFGVSNEFKGSDALLSNTVGTPAFMAPESLSETRKIFSGKALDVWAMGVTLYCFVFGQCPFMDERIMCLHSKIKSQALEFPDQPDIAEDLKDLITRMLDKNPESRIVVPEIKLHPWVTRHGAEPLPSEDENCTLVEVTEEEVENSVKHIPSLATVILVKTMIRKRSFGNPFEGSRREERSLSAPGNLLTKKPTRECESLSELKEARQRRQPPGHRPAPRGGGGSALVRGSPCVESCWAPAPGSPARMHPLRPEEAMEPE
+>DECOY_sp|Q96RR4|KKCC2_HUMAN Calcium/calmodulin-dependent protein kinase kinase 2 OS=Homo sapiens OX=9606 GN=CAMKK2 PE=1 SV=2
+EPEMAEEPRLPHMRAPSGPAPAWCSEVCPSGRVLASGGGGRPAPRHGPPQRRQRAEKLESLSECERTPKKTLLNGPASLSREERRSGEFPNGFSRKRIMTKVLIVTALSPIHKVSNEVEEETVEVLTCNEDESPLPEAGHRTVWPHLKIEPVVIRSEPNKDLMRTILDKLDEAIDPQDPFELAQSKIKSHLCMIREDMFPCQGFVFCYLTVGMAWVDLAKGSFIKRTESLSEPAMFAPTGVTNSLLADSGKFENSVGFDAIKIHGDEGVLLNSPKIDRHIIKQYHLYEIGKILDQFYFRAQDESLPKLTPVEMVPGQNVLEFVMYLHDENPDDLVEVLKVVNPHDLKKLIAIEQYVQEIPGRPQICGGPAPRTGRPPPRRPFGAQRILKKKSLVKMAYYTNDNENYALKVVGYSGKGIEDKLTYQNLQVCDQMGTISVHHSEVTPRRPLRPSSQPSSVPSYPLSPCICRGNMDLSGGAALGGQSREQLSLKRGSLHPRAQSGSTDLPVEQGDAELPRDRALGLDVACGPECETVVIFSEMGLHISLSSLGRLAECPKQSESSSSGRGGLEDQPAARNSSPQSSVCSSM
+>sp|Q9NSK0|KLC4_HUMAN Kinesin light chain 4 OS=Homo sapiens OX=9606 GN=KLC4 PE=1 SV=3
+MSGLVLGQRDEPAGHRLSQEEILGSTRLVSQGLEALRSEHQAVLQSLSQTIECLQQGGHEEGLVHEKARQLRRSMENIELGLSEAQVMLALASHLSTVESEKQKLRAQVRRLCQENQWLRDELAGTQQRLQRSEQAVAQLEEEKKHLEFLGQLRQYDEDGHTSEEKEGDATKDSLDDLFPNEEEEDPSNGLSRGQGATAAQQGGYEIPARLRTLHNLVIQYAAQGRYEVAVPLCKQALEDLERTSGRGHPDVATMLNILALVYRDQNKYKEAAHLLNDALSIRESTLGPDHPAVAATLNNLAVLYGKRGKYKEAEPLCQRALEIREKVLGTNHPDVAKQLNNLALLCQNQGKYEAVERYYQRALAIYEGQLGPDNPNVARTKNNLASCYLKQGKYAEAETLYKEILTRAHVQEFGSVDDDHKPIWMHAEEREEMSKSRHHEGGTPYAEYGGWYKACKVSSPTVNTTLRNLGALYRRQGKLEAAETLEECALRSRRQGTDPISQTKVAELLGESDGRRTSQEGPGDSVKFEGGEDASVAVEWSGDGSGTLQRSGSLGKIRDVLRRSSELLVRKLQGTEPRPSSSNMKRAASLNYLNQPSAAPLQVSRGLSASTMDLSSSS
+>DECOY_sp|Q9NSK0|KLC4_HUMAN Kinesin light chain 4 OS=Homo sapiens OX=9606 GN=KLC4 PE=1 SV=3
+SSSSLDMTSASLGRSVQLPAASPQNLYNLSAARKMNSSSPRPETGQLKRVLLESSRRLVDRIKGLSGSRQLTGSGDGSWEVAVSADEGGEFKVSDGPGEQSTRRGDSEGLLEAVKTQSIPDTGQRRSRLACEELTEAAELKGQRRYLAGLNRLTTNVTPSSVKCAKYWGGYEAYPTGGEHHRSKSMEEREEAHMWIPKHDDDVSGFEQVHARTLIEKYLTEAEAYKGQKLYCSALNNKTRAVNPNDPGLQGEYIALARQYYREVAEYKGQNQCLLALNNLQKAVDPHNTGLVKERIELARQCLPEAEKYKGRKGYLVALNNLTAAVAPHDPGLTSERISLADNLLHAAEKYKNQDRYVLALINLMTAVDPHGRGSTRELDELAQKCLPVAVEYRGQAAYQIVLNHLTRLRAPIEYGGQQAATAGQGRSLGNSPDEEEENPFLDDLSDKTADGEKEESTHGDEDYQRLQGLFELHKKEEELQAVAQESRQLRQQTGALEDRLWQNEQCLRRVQARLKQKESEVTSLHSALALMVQAESLGLEINEMSRRLQRAKEHVLGEEHGGQQLCEITQSLSQLVAQHESRLAELGQSVLRTSGLIEEQSLRHGAPEDRQGLVLGSM
+>sp|Q96G42|KLD7B_HUMAN Kelch domain-containing protein 7B OS=Homo sapiens OX=9606 GN=KLHDC7B PE=2 SV=2
+MVLRSHPFPRQDRPQGSVPRAVPGSPVGPSTSTHSEDRHGPSSSVGTVIGTGTGGLVEAGGQPQPRSSETNGSPSPDPPPGLRGEGTREKSLDPLPQAAMPRGPAQPPAQRPPGPAASSSARRSQPVPQLRKRSRCEIAPSSEQEVRPAASGDPQGEAPGEGGSPAGRSGALTEKQEEARKLMVFLQRPGGWGVVEGPRKPSSRALEPATAAALRRRLDLGSCLDVLAFAQQHGEPGLAQETYALMSDNLLRVLGDPCLYRRLSAADRERILSLRTGRGRAVLGVLVLPSLYQGGRSGLPRGPRGEEPPAAAPVSLPLPAHLHVFNPRENTWRPLTQVPEEAPLRGCGLCTMHNYLFLAGGIRGSGAKAVCSNEVFCYNPLTNIWSQVRPMQQARAQLKLVALDGLLYAIGGECLYSMECYDPRTDAWTPRAPLPAGTFPVAHEAVACRGDIYVTGGHLFYRLLRYSPVKDAWDECPYSASHRRSSDIVALGGFLYRFDLLRGVGAAVMRYNTVTGSWSRAASLPLPAPAPLHCTTLGNTIYCLNPQVTATFTVSGGTAQFQAKELQPFPLGSTGVLSPFILTLPPEDRLQTSL
+>DECOY_sp|Q96G42|KLD7B_HUMAN Kelch domain-containing protein 7B OS=Homo sapiens OX=9606 GN=KLHDC7B PE=2 SV=2
+LSTQLRDEPPLTLIFPSLVGTSGLPFPQLEKAQFQATGGSVTFTATVQPNLCYITNGLTTCHLPAPAPLPLSAARSWSGTVTNYRMVAAGVGRLLDFRYLFGGLAVIDSSRRHSASYPCEDWADKVPSYRLLRYFLHGGTVYIDGRCAVAEHAVPFTGAPLPARPTWADTRPDYCEMSYLCEGGIAYLLGDLAVLKLQARAQQMPRVQSWINTLPNYCFVENSCVAKAGSGRIGGALFLYNHMTCLGCGRLPAEEPVQTLPRWTNERPNFVHLHAPLPLSVPAAAPPEEGRPGRPLGSRGGQYLSPLVLVGLVARGRGTRLSLIRERDAASLRRYLCPDGLVRLLNDSMLAYTEQALGPEGHQQAFALVDLCSGLDLRRRLAAATAPELARSSPKRPGEVVGWGGPRQLFVMLKRAEEQKETLAGSRGAPSGGEGPAEGQPDGSAAPRVEQESSPAIECRSRKRLQPVPQSRRASSSAAPGPPRQAPPQAPGRPMAAQPLPDLSKERTGEGRLGPPPDPSPSGNTESSRPQPQGGAEVLGGTGTGIVTGVSSSPGHRDESHTSTSPGVPSGPVARPVSGQPRDQRPFPHSRLVM
+>sp|Q8TBB5|KLDC4_HUMAN Kelch domain-containing protein 4 OS=Homo sapiens OX=9606 GN=KLHDC4 PE=1 SV=1
+MGKKGKKEKKGRGAEKTAAKMEKKVSKRSRKEEEDLEALIAHFQTLDAKRTQTVELPCPPPSPRLNASLSVHPEKDELILFGGEYFNGQKTFLYNELYVYNTRKDTWTKVDIPSPPPRRCAHQAVVVPQGGGQLWVFGGEFASPNGEQFYHYKDLWVLHLATKTWEQVKSTGGPSGRSGHRMVAWKRQLILFGGFHESTRDYIYYNDVYAFNLDTFTWSKLSPSGTGPTPRSGCQMSVTPQGGIVVYGGYSKQRVKKDVDKGTRHSDMFLLKPEDGREDKWVWTRMNPSGVKPTPRSGFSVAMAPNHQTLFFGGVCDEEEEESLSGEFFNDLYFYDATRNRWFEGQLKGPKSEKKKRRRGRKEEPEGGSRPACGGAGTQGPVQLVKEVVAEDGTVVTIKQVLTAPGSAGQPRSEDEDSLEEAGSPAPGPCPRSNAMLAVKHGVLYVYGGMFEAGDRQVTLSDLHCLDLHRMEAWKALVEMDPETQEWLEETDSEEDSEEVEGAEGGVDDEDSGEESGAED
+>DECOY_sp|Q8TBB5|KLDC4_HUMAN Kelch domain-containing protein 4 OS=Homo sapiens OX=9606 GN=KLHDC4 PE=1 SV=1
+DEAGSEEGSDEDDVGGEAGEVEESDEESDTEELWEQTEPDMEVLAKWAEMRHLDLCHLDSLTVQRDGAEFMGGYVYLVGHKVALMANSRPCPGPAPSGAEELSDEDESRPQGASGPATLVQKITVVTGDEAVVEKVLQVPGQTGAGGCAPRSGGEPEEKRGRRRKKKESKPGKLQGEFWRNRTADYFYLDNFFEGSLSEEEEEDCVGGFFLTQHNPAMAVSFGSRPTPKVGSPNMRTWVWKDERGDEPKLLFMDSHRTGKDVDKKVRQKSYGGYVVIGGQPTVSMQCGSRPTPGTGSPSLKSWTFTDLNFAYVDNYYIYDRTSEHFGGFLILQRKWAVMRHGSRGSPGGTSKVQEWTKTALHLVWLDKYHYFQEGNPSAFEGGFVWLQGGGQPVVVAQHACRRPPPSPIDVKTWTDKRTNYVYLENYLFTKQGNFYEGGFLILEDKEPHVSLSANLRPSPPPCPLEVTQTRKADLTQFHAILAELDEEEKRSRKSVKKEMKAATKEAGRGKKEKKGKKGM
+>sp|Q9BXK1|KLF16_HUMAN Krueppel-like factor 16 OS=Homo sapiens OX=9606 GN=KLF16 PE=1 SV=1
+MSAAVACVDYFAADVLMAISSGAVVHRGRPGPEGAGPAAGLDVRAARREAASPGTPGPPPPPPAASGPGPGAAAAPHLLAASILADLRGGPGAAPGGASPASSSSAASSPSSGRAPGAAPSAAAKSHRCPFPDCAKAYYKSSHLKSHLRTHTGERPFACDWQGCDKKFARSDELARHHRTHTGEKRFSCPLCSKRFTRSDHLAKHARRHPGFHPDLLRRPGARSTSPSDSLPCSLAGSPAPSPAPSPAPAGL
+>DECOY_sp|Q9BXK1|KLF16_HUMAN Krueppel-like factor 16 OS=Homo sapiens OX=9606 GN=KLF16 PE=1 SV=1
+LGAPAPSPAPSPAPSGALSCPLSDSPSTSRAGPRRLLDPHFGPHRRAHKALHDSRTFRKSCLPCSFRKEGTHTRHHRALEDSRAFKKDCGQWDCAFPREGTHTRLHSKLHSSKYYAKACDPFPCRHSKAAASPAAGPARGSSPSSAASSSSAPSAGGPAAGPGGRLDALISAALLHPAAAAGPGPGSAAPPPPPPGPTGPSAAERRAARVDLGAAPGAGEPGPRGRHVVAGSSIAMLVDAAFYDVCAVAASM
+>sp|Q13886|KLF9_HUMAN Krueppel-like factor 9 OS=Homo sapiens OX=9606 GN=KLF9 PE=1 SV=1
+MSAAAYMDFVAAQCLVSISNRAAVPEHGVAPDAERLRLPEREVTKEHGDPGDTWKDYCTLVTIAKSLLDLNKYRPIQTPSVCSDSLESPDEDMGSDSDVTTESGSSPSHSPEERQDPGSAPSPLSLLHPGVAAKGKHASEKRHKCPYSGCGKVYGKSSHLKAHYRVHTGERPFPCTWPDCLKKFSRSDELTRHYRTHTGEKQFRCPLCEKRFMRSDHLTKHARRHTEFHPSMIKRSKKALANAL
+>DECOY_sp|Q13886|KLF9_HUMAN Krueppel-like factor 9 OS=Homo sapiens OX=9606 GN=KLF9 PE=1 SV=1
+LANALAKKSRKIMSPHFETHRRAHKTLHDSRMFRKECLPCRFQKEGTHTRYHRTLEDSRSFKKLCDPWTCPFPREGTHVRYHAKLHSSKGYVKGCGSYPCKHRKESAHKGKAAVGPHLLSLPSPASGPDQREEPSHSPSSGSETTVDSDSGMDEDPSELSDSCVSPTQIPRYKNLDLLSKAITVLTCYDKWTDGPDGHEKTVEREPLRLREADPAVGHEPVAARNSISVLCQAAVFDMYAAASM
+>sp|Q9P2G3|KLH14_HUMAN Kelch-like protein 14 OS=Homo sapiens OX=9606 GN=KLHL14 PE=1 SV=2
+MSRSGDRTSTFDPSHSDNLLHGLNLLWRKQLFCDVTLTAQGQQFHCHKAVLASCSQYFRSLFSSHPPLGGGVGGQDGLGAPKDQQQPPQQQPSQQQQPPPQEEPGTPSSSPDDKLLTSPRAINNLVLQGCSSIGLRLVLEYLYTANVTLSLDTVEEVLSVSKILHIPQVTKLCVQFLNDQISVQNYKQVCKIAALHGLEETKKLANKYLVEDVLLLNFEEMRALLDSLPPPVESELALFQMSVLWLEHDRETRMQYAPDLMKRLRFALIPAPELVERVQSVDFMRTDPVCQKLLLDAMNYHLMPFRQHCRQSLASRIRSNKKMLLLVGGLPPGPDRLPSNLVQYYDDEKKTWKILTIMPYNSAHHCVVEVENFLFVLGGEDQWNPNGKHSTNFVSRYDPRFNSWIQLPPMQERRASFYACRLDKHLYVIGGRNETGYLSSVECYNLETNEWRYVSSLPQPLAAHAGAVHNGKIYISGGVHNGEYVPWLYCYDPVMDVWARKQDMNTKRAIHTLAVMNDRLYAIGGNHLKGFSHLDVMLVECYDPKGDQWNILQTPILEGRSGPGCAVLDDSIYLVGGYSWSMGAYKSSTICYCPEKGTWTELEGDVAEPLAGPACVTVILPSCVPYNK
+>DECOY_sp|Q9P2G3|KLH14_HUMAN Kelch-like protein 14 OS=Homo sapiens OX=9606 GN=KLHL14 PE=1 SV=2
+KNYPVCSPLIVTVCAPGALPEAVDGELETWTGKEPCYCITSSKYAGMSWSYGGVLYISDDLVACGPGSRGELIPTQLINWQDGKPDYCEVLMVDLHSFGKLHNGGIAYLRDNMVALTHIARKTNMDQKRAWVDMVPDYCYLWPVYEGNHVGGSIYIKGNHVAGAHAALPQPLSSVYRWENTELNYCEVSSLYGTENRGGIVYLHKDLRCAYFSARREQMPPLQIWSNFRPDYRSVFNTSHKGNPNWQDEGGLVFLFNEVEVVCHHASNYPMITLIKWTKKEDDYYQVLNSPLRDPGPPLGGVLLLMKKNSRIRSALSQRCHQRFPMLHYNMADLLLKQCVPDTRMFDVSQVREVLEPAPILAFRLRKMLDPAYQMRTERDHELWLVSMQFLALESEVPPPLSDLLARMEEFNLLLVDEVLYKNALKKTEELGHLAAIKCVQKYNQVSIQDNLFQVCLKTVQPIHLIKSVSLVEEVTDLSLTVNATYLYELVLRLGISSCGQLVLNNIARPSTLLKDDPSSSPTGPEEQPPPQQQQSPQQQPPQQQDKPAGLGDQGGVGGGLPPHSSFLSRFYQSCSALVAKHCHFQQGQATLTVDCFLQKRWLLNLGHLLNDSHSPDFTSTRDGSRSM
+>sp|Q53GT1|KLH22_HUMAN Kelch-like protein 22 OS=Homo sapiens OX=9606 GN=KLHL22 PE=1 SV=2
+MAEEQEFTQLCKLPAQPSHPHCVNNTYRSAQHSQALLRGLLALRDSGILFDVVLVVEGRHIEAHRILLAASCDYFRGMFAGGLKEMEQEEVLIHGVSYNAMCQILHFIYTSELELSLSNVQETLVAACQLQIPEIIHFCCDFLMSWVDEENILDVYRLAELFDLSRLTEQLDTYILKNFVAFSRTDKYRQLPLEKVYSLLSSNRLEVSCETEVYEGALLYHYSLEQVQADQISLHEPPKLLETVRFPLMEAEVLQRLHDKLDPSPLRDTVASALMYHRNESLQPSLQSPQTELRSDFQCVVGFGGIHSTPSTVLSDQAKYLNPLLGEWKHFTASLAPRMSNQGIAVLNNFVYLIGGDNNVQGFRAESRCWRYDPRHNRWFQIQSLQQEHADLSVCVVGRYIYAVAGRDYHNDLNAVERYDPATNSWAYVAPLKREVYAHAGATLEGKMYITCGRRGEDYLKETHCYDPGSNTWHTLADGPVRRAWHGMATLLNKLYVIGGSNNDAGYRRDVHQVACYSCTSGQWSSVCPLPAGHGEPGIAVLDNRIYVLGGRSHNRGSRTGYVHIYDVEKDCWEEGPQLDNSISGLAACVLTLPRSLLLEPPRGTPDRSQADPDFASEVMSVSDWEEFDNSSED
+>DECOY_sp|Q53GT1|KLH22_HUMAN Kelch-like protein 22 OS=Homo sapiens OX=9606 GN=KLHL22 PE=1 SV=2
+DESSNDFEEWDSVSMVESAFDPDAQSRDPTGRPPELLLSRPLTLVCAALGSISNDLQPGEEWCDKEVDYIHVYGTRSGRNHSRGGLVYIRNDLVAIGPEGHGAPLPCVSSWQGSTCSYCAVQHVDRRYGADNNSGGIVYLKNLLTAMGHWARRVPGDALTHWTNSGPDYCHTEKLYDEGRRGCTIYMKGELTAGAHAYVERKLPAVYAWSNTAPDYREVANLDNHYDRGAVAYIYRGVVCVSLDAHEQQLSQIQFWRNHRPDYRWCRSEARFGQVNNDGGILYVFNNLVAIGQNSMRPALSATFHKWEGLLPNLYKAQDSLVTSPTSHIGGFGVVCQFDSRLETQPSQLSPQLSENRHYMLASAVTDRLPSPDLKDHLRQLVEAEMLPFRVTELLKPPEHLSIQDAQVQELSYHYLLAGEYVETECSVELRNSSLLSYVKELPLQRYKDTRSFAVFNKLIYTDLQETLRSLDFLEALRYVDLINEEDVWSMLFDCCFHIIEPIQLQCAAVLTEQVNSLSLELESTYIFHLIQCMANYSVGHILVEEQEMEKLGGAFMGRFYDCSAALLIRHAEIHRGEVVLVVDFLIGSDRLALLGRLLAQSHQASRYTNNVCHPHSPQAPLKCLQTFEQEEAM
+>sp|Q8WZ60|KLHL6_HUMAN Kelch-like protein 6 OS=Homo sapiens OX=9606 GN=KLHL6 PE=1 SV=3
+MLMAGQRGAWTMGDVVEKSLEGPLAPSTDEPSQKTGDLVEILNGEKVKFDDAGLSLILQNGLETLRMENALTDVILCVDIQEFSCHRVVLAAASNYFRAMFCNDLKEKYEKRIIIKGVDAETMHTLLDYTYTSKALITKQNVQRVLEAANLFQFLRMVDACASFLTEALNPENCVGILRLADTHSLDSLKKQVQSYIIQNFVQILNSEEFLDLPVDTLHHILKSDDLYVTEEAQVFETVMSWVRHKPSERLCLLPYVLENVRLPLLDPWYFVETVEADPLIRQCPEVFPLLQEARMYHLSGNEIISERTKPRMHEFQSEVFMIIGGCTKDERFVAEVTCLDPLRRSRLEVAKLPLTEHELESENKKWVEFACVTLKNEVYISGGKETQHDVWKYNSSINKWIQIEYLNIGRWRHKMVVLGGKVYVIGGFDGLQRINNVETYDPFHNCWSEAAPLLVHVSSFAATSHKKKLYVIGGGPNGKLATDKTQCYDPSTNKWSLKAAMPVEAKCINAVSFRDRIYVVGGAMRALYAYSPLEDSWCLVTQLSHERASCGIAPCNNRLYITGGRDEKNEVIATVLCWDPEAQKLTEECVLPRGVSHHGSVTIRKSYTHIRRIVPGAVSV
+>DECOY_sp|Q8WZ60|KLHL6_HUMAN Kelch-like protein 6 OS=Homo sapiens OX=9606 GN=KLHL6 PE=1 SV=3
+VSVAGPVIRRIHTYSKRITVSGHHSVGRPLVCEETLKQAEPDWCLVTAIVENKEDRGGTIYLRNNCPAIGCSAREHSLQTVLCWSDELPSYAYLARMAGGVVYIRDRFSVANICKAEVPMAAKLSWKNTSPDYCQTKDTALKGNPGGGIVYLKKKHSTAAFSSVHVLLPAAESWCNHFPDYTEVNNIRQLGDFGGIVYVKGGLVVMKHRWRGINLYEIQIWKNISSNYKWVDHQTEKGGSIYVENKLTVCAFEVWKKNESELEHETLPLKAVELRSRRLPDLCTVEAVFREDKTCGGIIMFVESQFEHMRPKTRESIIENGSLHYMRAEQLLPFVEPCQRILPDAEVTEVFYWPDLLPLRVNELVYPLLCLRESPKHRVWSMVTEFVQAEETVYLDDSKLIHHLTDVPLDLFEESNLIQVFNQIIYSQVQKKLSDLSHTDALRLIGVCNEPNLAETLFSACADVMRLFQFLNAAELVRQVNQKTILAKSTYTYDLLTHMTEADVGKIIIRKEYKEKLDNCFMARFYNSAAALVVRHCSFEQIDVCLIVDTLANEMRLTELGNQLILSLGADDFKVKEGNLIEVLDGTKQSPEDTSPALPGELSKEVVDGMTWAGRQGAMLM
+>sp|Q9UBX7|KLK11_HUMAN Kallikrein-11 OS=Homo sapiens OX=9606 GN=KLK11 PE=1 SV=2
+MQRLRWLRDWKSSGRGLTAAKEPGARSSPLQAMRILQLILLALATGLVGGETRIIKGFECKPHSQPWQAALFEKTRLLCGATLIAPRWLLTAAHCLKPRYIVHLGQHNLQKEEGCEQTRTATESFPHPGFNNSLPNKDHRNDIMLVKMASPVSITWAVRPLTLSSRCVTAGTSCLISGWGSTSSPQLRLPHTLRCANITIIEHQKCENAYPGNITDTMVCASVQEGGKDSCQGDSGGPLVCNQSLQGIISWGQDPCAITRKPGVYTKVCKYVDWIQETMKNN
+>DECOY_sp|Q9UBX7|KLK11_HUMAN Kallikrein-11 OS=Homo sapiens OX=9606 GN=KLK11 PE=1 SV=2
+NNKMTEQIWDVYKCVKTYVGPKRTIACPDQGWSIIGQLSQNCVLPGGSDGQCSDKGGEQVSACVMTDTINGPYANECKQHEIITINACRLTHPLRLQPSSTSGWGSILCSTGATVCRSSLTLPRVAWTISVPSAMKVLMIDNRHDKNPLSNNFGPHPFSETATRTQECGEEKQLNHQGLHVIYRPKLCHAATLLWRPAILTAGCLLRTKEFLAAQWPQSHPKCEFGKIIRTEGGVLGTALALLILQLIRMAQLPSSRAGPEKAATLGRGSSKWDRLWRLRQM
+>sp|Q9P0G3|KLK14_HUMAN Kallikrein-14 OS=Homo sapiens OX=9606 GN=KLK14 PE=1 SV=2
+MSLRVLGSGTWPSAPKMFLLLTALQVLAIAMTQSQEDENKIIGGHTCTRSSQPWQAALLAGPRRRFLCGGALLSGQWVITAAHCGRPILQVALGKHNLRRWEATQQVLRVVRQVTHPNYNSRTHDNDLMLLQLQQPARIGRAVRPIEVTQACASPGTSCRVSGWGTISSPIARYPASLQCVNINISPDEVCQKAYPRTITPGMVCAGVPQGGKDSCQGDSGGPLVCRGQLQGLVSWGMERCALPGYPGVYTNLCKYRSWIEETMRDK
+>DECOY_sp|Q9P0G3|KLK14_HUMAN Kallikrein-14 OS=Homo sapiens OX=9606 GN=KLK14 PE=1 SV=2
+KDRMTEEIWSRYKCLNTYVGPYGPLACREMGWSVLGQLQGRCVLPGGSDGQCSDKGGQPVGACVMGPTITRPYAKQCVEDPSININVCQLSAPYRAIPSSITGWGSVRCSTGPSACAQTVEIPRVARGIRAPQQLQLLMLDNDHTRSNYNPHTVQRVVRLVQQTAEWRRLNHKGLAVQLIPRGCHAATIVWQGSLLAGGCLFRRRPGALLAAQWPQSSRTCTHGGIIKNEDEQSQTMAIALVQLATLLLFMKPASPWTGSGLVRLSM
+>sp|P06870|KLK1_HUMAN Kallikrein-1 OS=Homo sapiens OX=9606 GN=KLK1 PE=1 SV=2
+MWFLVLCLALSLGGTGAAPPIQSRIVGGWECEQHSQPWQAALYHFSTFQCGGILVHRQWVLTAAHCISDNYQLWLGRHNLFDDENTAQFVHVSESFPHPGFNMSLLENHTRQADEDYSHDLMLLRLTEPADTITDAVKVVELPTEEPEVGSTCLASGWGSIEPENFSFPDDLQCVDLKILPNDECKKAHVQKVTDFMLCVGHLEGGKDTCVGDSGGPLMCDGVLQGVTSWGYVPCGTPNKPSVAVRVLSYVKWIEDTIAENS
+>DECOY_sp|P06870|KLK1_HUMAN Kallikrein-1 OS=Homo sapiens OX=9606 GN=KLK1 PE=1 SV=2
+SNEAITDEIWKVYSLVRVAVSPKNPTGCPVYGWSTVGQLVGDCMLPGGSDGVCTDKGGELHGVCLMFDTVKQVHAKKCEDNPLIKLDVCQLDDPFSFNEPEISGWGSALCTSGVEPEETPLEVVKVADTITDAPETLRLLMLDHSYDEDAQRTHNELLSMNFGPHPFSESVHVFQATNEDDFLNHRGLWLQYNDSICHAATLVWQRHVLIGGCQFTSFHYLAAQWPQSHQECEWGGVIRSQIPPAAGTGGLSLALCLVLFWM
+>sp|P20151|KLK2_HUMAN Kallikrein-2 OS=Homo sapiens OX=9606 GN=KLK2 PE=1 SV=1
+MWDLVLSIALSVGCTGAVPLIQSRIVGGWECEKHSQPWQVAVYSHGWAHCGGVLVHPQWVLTAAHCLKKNSQVWLGRHNLFEPEDTGQRVPVSHSFPHPLYNMSLLKHQSLRPDEDSSHDLMLLRLSEPAKITDVVKVLGLPTQEPALGTTCYASGWGSIEPEEFLRPRSLQCVSLHLLSNDMCARAYSEKVTEFMLCAGLWTGGKDTCGGDSGGPLVCNGVLQGITSWGPEPCALPEKPAVYTKVVHYRKWIKDTIAANP
+>DECOY_sp|P20151|KLK2_HUMAN Kallikrein-2 OS=Homo sapiens OX=9606 GN=KLK2 PE=1 SV=1
+PNAAITDKIWKRYHVVKTYVAPKEPLACPEPGWSTIGQLVGNCVLPGGSDGGCTDKGGTWLGACLMFETVKESYARACMDNSLLHLSVCQLSRPRLFEEPEISGWGSAYCTTGLAPEQTPLGLVKVVDTIKAPESLRLLMLDHSSDEDPRLSQHKLLSMNYLPHPFSHSVPVRQGTDEPEFLNHRGLWVQSNKKLCHAATLVWQPHVLVGGCHAWGHSYVAVQWPQSHKECEWGGVIRSQILPVAGTCGVSLAISLVLDWM
+>sp|P49862|KLK7_HUMAN Kallikrein-7 OS=Homo sapiens OX=9606 GN=KLK7 PE=1 SV=1
+MARSLLLPLQILLLSLALETAGEEAQGDKIIDGAPCARGSHPWQVALLSGNQLHCGGVLVNERWVLTAAHCKMNEYTVHLGSDTLGDRRAQRIKASKSFRHPGYSTQTHVNDLMLVKLNSQARLSSMVKKVRLPSRCEPPGTTCTVSGWGTTTSPDVTFPSDLMCVDVKLISPQDCTKVYKDLLENSMLCAGIPDSKKNACNGDSGGPLVCRGTLQGLVSWGTFPCGQPNDPGVYTQVCKFTKWINDTMKKHR
+>DECOY_sp|P49862|KLK7_HUMAN Kallikrein-7 OS=Homo sapiens OX=9606 GN=KLK7 PE=1 SV=1
+RHKKMTDNIWKTFKCVQTYVGPDNPQGCPFTGWSVLGQLTGRCVLPGGSDGNCANKKSDPIGACLMSNELLDKYVKTCDQPSILKVDVCMLDSPFTVDPSTTTGWGSVTCTTGPPECRSPLRVKKVMSSLRAQSNLKVLMLDNVHTQTSYGPHRFSKSAKIRQARRDGLTDSGLHVTYENMKCHAATLVWRENVLVGGCHLQNGSLLAVQWPHSGRACPAGDIIKDGQAEEGATELALSLLLIQLPLLLSRAM
+>sp|Q1ED39|KNOP1_HUMAN Lysine-rich nucleolar protein 1 OS=Homo sapiens OX=9606 GN=KNOP1 PE=1 SV=1
+MITKTHKVDLGLPEKKKKKKVVKEPETRYSVLNNDDYFADVSPLRATSPSKSVAHGQAPEMPLVKKKKKKKKGVSTLCEEHVEPETTLPARRTEKSPSLRKQVFGHLEFLSGEKKNKKSPLAMSHASGVKTSPDPRQGEEETRVGKKLKKHKKEKKGAQDPTAFSVQDPWFCEAREARDVGDTCSVGKKDEEQAALGQKRKRKSPREHNGKVKKKKKIHQEGDALPGHSKPSRSMESSPRKGSKKKPVKVEAPEYIPISDDPKASAKKKMKSKKKVEQPVIEEPALKRKKKKKRKESGVAGDPWKEETDTDLEVVLEKKGNMDEAHIDQVRRKALQEEIDRESGKTEASETRKWTGTQFGQWDTAGFENEDQKLKFLRLMGGFKNLSPSFSRPASTIARPNMALGKKAADSLQQNLQRDYDRAMSWKYSRGAGLGFSTAPNKIFYIDRNASKSVKLED
+>DECOY_sp|Q1ED39|KNOP1_HUMAN Lysine-rich nucleolar protein 1 OS=Homo sapiens OX=9606 GN=KNOP1 PE=1 SV=1
+DELKVSKSANRDIYFIKNPATSFGLGAGRSYKWSMARDYDRQLNQQLSDAAKKGLAMNPRAITSAPRSFSPSLNKFGGMLRLFKLKQDENEFGATDWQGFQTGTWKRTESAETKGSERDIEEQLAKRRVQDIHAEDMNGKKELVVELDTDTEEKWPDGAVGSEKRKKKKKRKLAPEEIVPQEVKKKSKMKKKASAKPDDSIPIYEPAEVKVPKKKSGKRPSSEMSRSPKSHGPLADGEQHIKKKKKVKGNHERPSKRKRKQGLAAQEEDKKGVSCTDGVDRAERAECFWPDQVSFATPDQAGKKEKKHKKLKKGVRTEEEGQRPDPSTKVGSAHSMALPSKKNKKEGSLFELHGFVQKRLSPSKETRRAPLTTEPEVHEECLTSVGKKKKKKKKVLPMEPAQGHAVSKSPSTARLPSVDAFYDDNNLVSYRTEPEKVVKKKKKKEPLGLDVKHTKTIM
+>sp|P50748|KNTC1_HUMAN Kinetochore-associated protein 1 OS=Homo sapiens OX=9606 GN=KNTC1 PE=1 SV=1
+MWNDIELLTNDDTGSGYLSVGSRKEHGTALYQVDLLVKISSEKASLNPKIQACSLSDGFIIVADQSVILLDSICRSLQLHLVFDTEVDVVGLCQEGKFLLVGERSGNLHLIHVTSKQTLLTNAFVQKANDENRRTYQNLVIEKDGSNEGTYYMLLLTYSGFFCITNLQLLKIQQAIENVDFSTAKKLQGQIKSSFISTENYHTLGCLSLVAGDLASEVPVIIGGTGNCAFSKWEPDSSKKGMTVKNLIDAEIIKGAKKFQLIDNLLFVLDTDNVLSLWDIYTLTPVWNWPSLHVEEFLLTTEADSPSSVTWQGITNLKLIALTASANKKMKNLMVYSLPTMEILYSLEVSSVSSLVQTGISTDTIYLLEGVCKNDPKLSEDSVSVLVLRCLTEALPENRLSRLLHKHRFAEAESFAIQFGLDVELVYKVKSNHILEKLALSSVDASEQTEWQQLVDDAKENLHKIQDDEFVVNYCLKAQWITYETTQEMLNYAKTRLLKKEDKTALIYSDGLKEVLRAHAKLTTFYGAFGPEKFSGSSWIEFLNNEDDLKDIFLQLKEGNLVCAQYLWLRHRANFESRFDVKMLESLLNSMSASVSLQKLCPWFKNDVIPFVRRTVPEGQIILAKWLEQAARNLELTDKANWPENGLQLAEIFFTAEKTDELGLASSWHWISLKDYQNTEEVCQLRTLVNNLRELITLHRKYNCKLALSDFEKENTTTIVFRMFDKVLAPELIPSILEKFIRVYMREHDLQEEELLLLYIEDLLNRCSSKSTSLFETAWEAKAMAVIACLSDTDLIFDAVLKIMYAAVVPWSAAVEQLVKQHLEMDHPKVKLLQESYKLMEMKKLLRGYGIREVNLLNKEIMRVVRYILKQDVPSSLEDALKVAQAFMLSDDEIYSLRIIDLIDREQGEDCLLLLKSLPPAEAEKTAERVIIWARLALQEEPDHSKEGKAWRMSVAKTSVDILKILCDIQKDNLQKKDECEEMLKLFKEVASLQENFEVFLSFEDYSNSSLVADLREQHIKAHEVAQAKHKPGSTPEPIAAEVRSPSMESKLHRQALALQMSKQELEAELTLRALKDGNIKTALKKCSDLFKYHCNADTGKLLFLTCQKLCQMLADNVPVTVPVGLNLPSMIHDLASQAATICSPDFLLDALELCKHTLMAVELSRQCQMDDCGILMKASFGTHKDPYEEWSYSDFFSEDGIVLESQMVLPVIYELISSLVPLAESKRYPLESTSLPYCSLNEGDGLVLPVINSISALLQNLQESSQWELALRFVVGSFGTCLQHSVSNFMNATLSEKLFGETTLVKSRHVVMELKEKAVIFIRENATTLLHKVFNCRLVDLDLALGYCTLLPQKDVFENLWKLIDKAWQNYDKILAISLVGSELASLYQEIEMGLKFRELSTDAQWGIRLGKLGISFQPVFRQHFLTKKDLIKALVENIDMDTSLILEYCSTFQLDCDAVLQLFIETLLHNTNAGQGQGDASMDSAKRRHPKLLAKALEMVPLLTSTKDLVISLSGILHKLDPYDYEMIEVVLKVIERADEKITNININQALSILKHLKSYRRISPPVDLEYQYMLEHVITLPSAAQTRLPFHLIFFGTAQNFWKILSTELSEESFPTLLLISKLMKFSLDTLYVSTAKHVFEKKLKPKLLKLTQAKSSTLINKEITKITQTIESCLLSIVNPEWAVAIAISLAQDIPEGSFKISALKFCLYLAERWLQNIPSQDEKREKAEALLKKLHIQYRRSGTEAVLIAHKLNTEEYLRVIGKPAHLIVSLYEHPSINQRIQNSSGTDYPDIHAAAKEIAEVNEINLEKVWDMLLEKWLCPSTKPGEKPSELFELQEDEALRRVQYLLLSRPIDYSSRMLFVFATSTTTTLGMHQLTFAHRTRALQCLFYLADKETIESLFKKPIEEVKSYLRCITFLASFETLNIPITYELFCSSPKEGMIKGLWKNHSHESMAVRLVTELCLEYKIYDLQLWNGLLQKLLGFNMIPYLRKVLKAISSIHSLWQVPYFSKAWQRVIQIPLLSASCPLSPDQLSDCSESLIAVLECPVSGDLDLIGVARQYIQLELPAFALACLMLMPHSEKRHQQIKNFLGSCDPQVILKQLEEHMNTGQLAGFSHQIRSLILNNIINKKEFGILAKTKYFQMLKMHAMNTNNITELVNYLANDLSLDEASVLITEYSKHCGKPVPPDTAPCEILKMFLSGLS
+>DECOY_sp|P50748|KNTC1_HUMAN Kinetochore-associated protein 1 OS=Homo sapiens OX=9606 GN=KNTC1 PE=1 SV=1
+SLGSLFMKLIECPATDPPVPKGCHKSYETILVSAEDLSLDNALYNVLETINNTNMAHMKLMQFYKTKALIGFEKKNIINNLILSRIQHSFGALQGTNMHEELQKLIVQPDCSGLFNKIQQHRKESHPMLMLCALAFAPLELQIYQRAVGILDLDGSVPCELVAILSESCDSLQDPSLPCSASLLPIQIVRQWAKSFYPVQWLSHISSIAKLVKRLYPIMNFGLLKQLLGNWLQLDYIKYELCLETVLRVAMSEHSHNKWLGKIMGEKPSSCFLEYTIPINLTEFSALFTICRLYSKVEEIPKKFLSEITEKDALYFLCQLARTRHAFTLQHMGLTTTTSTAFVFLMRSSYDIPRSLLLYQVRRLAEDEQLEFLESPKEGPKTSPCLWKELLMDWVKELNIENVEAIEKAAAHIDPYDTGSSNQIRQNISPHEYLSVILHAPKGIVRLYEETNLKHAILVAETGSRRYQIHLKKLLAEAKERKEDQSPINQLWREALYLCFKLASIKFSGEPIDQALSIAIAVAWEPNVISLLCSEITQTIKTIEKNILTSSKAQTLKLLKPKLKKEFVHKATSVYLTDLSFKMLKSILLLTPFSEESLETSLIKWFNQATGFFILHFPLRTQAASPLTIVHELMYQYELDVPPSIRRYSKLHKLISLAQNININTIKEDAREIVKLVVEIMEYDYPDLKHLIGSLSIVLDKTSTLLPVMELAKALLKPHRRKASDMSADGQGQGANTNHLLTEIFLQLVADCDLQFTSCYELILSTDMDINEVLAKILDKKTLFHQRFVPQFSIGLKGLRIGWQADTSLERFKLGMEIEQYLSALESGVLSIALIKDYNQWAKDILKWLNEFVDKQPLLTCYGLALDLDVLRCNFVKHLLTTANERIFIVAKEKLEMVVHRSKVLTTEGFLKESLTANMFNSVSHQLCTGFSGVVFRLALEWQSSEQLNQLLASISNIVPLVLGDGENLSCYPLSTSELPYRKSEALPVLSSILEYIVPLVMQSELVIGDESFFDSYSWEEYPDKHTGFSAKMLIGCDDMQCQRSLEVAMLTHKCLELADLLFDPSCITAAQSALDHIMSPLNLGVPVTVPVNDALMQCLKQCTLFLLKGTDANCHYKFLDSCKKLATKINGDKLARLTLEAELEQKSMQLALAQRHLKSEMSPSRVEAAIPEPTSGPKHKAQAVEHAKIHQERLDAVLSSNSYDEFSLFVEFNEQLSAVEKFLKLMEECEDKKQLNDKQIDCLIKLIDVSTKAVSMRWAKGEKSHDPEEQLALRAWIIVREATKEAEAPPLSKLLLLCDEGQERDILDIIRLSYIEDDSLMFAQAVKLADELSSPVDQKLIYRVVRMIEKNLLNVERIGYGRLLKKMEMLKYSEQLLKVKPHDMELHQKVLQEVAASWPVVAAYMIKLVADFILDTDSLCAIVAMAKAEWATEFLSTSKSSCRNLLDEIYLLLLEEEQLDHERMYVRIFKELISPILEPALVKDFMRFVITTTNEKEFDSLALKCNYKRHLTILERLNNVLTRLQCVEETNQYDKLSIWHWSSALGLEDTKEATFFIEALQLGNEPWNAKDTLELNRAAQELWKALIIQGEPVTRRVFPIVDNKFWPCLKQLSVSASMSNLLSELMKVDFRSEFNARHRLWLYQACVLNGEKLQLFIDKLDDENNLFEIWSSGSFKEPGFAGYFTTLKAHARLVEKLGDSYILATKDEKKLLRTKAYNLMEQTTEYTIWQAKLCYNVVFEDDQIKHLNEKADDVLQQWETQESADVSSLALKELIHNSKVKYVLEVDLGFQIAFSEAEAFRHKHLLRSLRNEPLAETLCRLVLVSVSDESLKPDNKCVGELLYITDTSIGTQVLSSVSSVELSYLIEMTPLSYVMLNKMKKNASATLAILKLNTIGQWTVSSPSDAETTLLFEEVHLSPWNWVPTLTYIDWLSLVNDTDLVFLLNDILQFKKAGKIIEADILNKVTMGKKSSDPEWKSFACNGTGGIIVPVESALDGAVLSLCGLTHYNETSIFSSKIQGQLKKATSFDVNEIAQQIKLLQLNTICFFGSYTLLLMYYTGENSGDKEIVLNQYTRRNEDNAKQVFANTLLTQKSTVHILHLNGSREGVLLFKGEQCLGVVDVETDFVLHLQLSRCISDLLIVSQDAVIIFGDSLSCAQIKPNLSAKESSIKVLLDVQYLATGHEKRSGVSLYGSGTDDNTLLEIDNWM
+>sp|P05129|KPCG_HUMAN Protein kinase C gamma type OS=Homo sapiens OX=9606 GN=PRKCG PE=1 SV=3
+MAGLGPGVGDSEGGPRPLFCRKGALRQKVVHEVKSHKFTARFFKQPTFCSHCTDFIWGIGKQGLQCQVCSFVVHRRCHEFVTFECPGAGKGPQTDDPRNKHKFRLHSYSSPTFCDHCGSLLYGLVHQGMKCSCCEMNVHRRCVRSVPSLCGVDHTERRGRLQLEIRAPTADEIHVTVGEARNLIPMDPNGLSDPYVKLKLIPDPRNLTKQKTRTVKATLNPVWNETFVFNLKPGDVERRLSVEVWDWDRTSRNDFMGAMSFGVSELLKAPVDGWYKLLNQEEGEYYNVPVADADNCSLLQKFEACNYPLELYERVRMGPSSSPIPSPSPSPTDPKRCFFGASPGRLHISDFSFLMVLGKGSFGKVMLAERRGSDELYAIKILKKDVIVQDDDVDCTLVEKRVLALGGRGPGGRPHFLTQLHSTFQTPDRLYFVMEYVTGGDLMYHIQQLGKFKEPHAAFYAAEIAIGLFFLHNQGIIYRDLKLDNVMLDAEGHIKITDFGMCKENVFPGTTTRTFCGTPDYIAPEIIAYQPYGKSVDWWSFGVLLYEMLAGQPPFDGEDEEELFQAIMEQTVTYPKSLSREAVAICKGFLTKHPGKRLGSGPDGEPTIRAHGFFRWIDWERLERLEIPPPFRPRPCGRSGENFDKFFTRAAPALTPPDRLVLASIDQADFQGFTYVNPDFVHPDARSPTSPVPVPVM
+>DECOY_sp|P05129|KPCG_HUMAN Protein kinase C gamma type OS=Homo sapiens OX=9606 GN=PRKCG PE=1 SV=3
+MVPVPVPSTPSRADPHVFDPNVYTFGQFDAQDISALVLRDPPTLAPAARTFFKDFNEGSRGCPRPRFPPPIELRELREWDIWRFFGHARITPEGDPGSGLRKGPHKTLFGKCIAVAERSLSKPYTVTQEMIAQFLEEEDEGDFPPQGALMEYLLVGFSWWDVSKGYPQYAIIEPAIYDPTGCFTRTTTGPFVNEKCMGFDTIKIHGEADLMVNDLKLDRYIIGQNHLFFLGIAIEAAYFAAHPEKFKGLQQIHYMLDGGTVYEMVFYLRDPTQFTSHLQTLFHPRGGPGRGGLALVRKEVLTCDVDDDQVIVDKKLIKIAYLEDSGRREALMVKGFSGKGLVMLFSFDSIHLRGPSAGFFCRKPDTPSPSPSPIPSSSPGMRVREYLELPYNCAEFKQLLSCNDADAVPVNYYEGEEQNLLKYWGDVPAKLLESVGFSMAGMFDNRSTRDWDWVEVSLRREVDGPKLNFVFTENWVPNLTAKVTRTKQKTLNRPDPILKLKVYPDSLGNPDMPILNRAEGVTVHIEDATPARIELQLRGRRETHDVGCLSPVSRVCRRHVNMECCSCKMGQHVLGYLLSGCHDCFTPSSYSHLRFKHKNRPDDTQPGKGAGPCEFTVFEHCRRHVVFSCVQCQLGQKGIGWIFDTCHSCFTPQKFFRATFKHSKVEHVVKQRLAGKRCFLPRPGGESDGVGPGLGAM
+>sp|O60256|KPRB_HUMAN Phosphoribosyl pyrophosphate synthase-associated protein 2 OS=Homo sapiens OX=9606 GN=PRPSAP2 PE=1 SV=1
+MFCVTPPELETKMNITKGGLVLFSANSNSSCMELSKKIAERLGVEMGKVQVYQEPNRETRVQIQESVRGKDVFIIQTVSKDVNTTIMELLIMVYACKTSCAKSIIGVIPYFPYSKQCKMRKRGSIVSKLLASMMCKAGLTHLITMDLHQKEIQGFFNIPVDNLRASPFLLQYIQEEIPDYRNAVIVAKSPASAKRAQSFAERLRLGIAVIHGEAQDAESDLVDGRHSPPMVRSVAAIHPSLEIPMLIPKEKPPITVVGDVGGRIAIIVDDIIDDVDSFLAAAETLKERGAYKIFVMATHGLLSSDAPRRIEESAIDEVVVTNTIPHEVQKLQCPKIKTVDISMILSEAIRRIHNGESMSYLFRNIGLDD
+>DECOY_sp|O60256|KPRB_HUMAN Phosphoribosyl pyrophosphate synthase-associated protein 2 OS=Homo sapiens OX=9606 GN=PRPSAP2 PE=1 SV=1
+DDLGINRFLYSMSEGNHIRRIAESLIMSIDVTKIKPCQLKQVEHPITNTVVVEDIASEEIRRPADSSLLGHTAMVFIKYAGREKLTEAAALFSDVDDIIDDVIIAIRGGVDGVVTIPPKEKPILMPIELSPHIAAVSRVMPPSHRGDVLDSEADQAEGHIVAIGLRLREAFSQARKASAPSKAVIVANRYDPIEEQIYQLLFPSARLNDVPINFFGQIEKQHLDMTILHTLGAKCMMSALLKSVISGRKRMKCQKSYPFYPIVGIISKACSTKCAYVMILLEMITTNVDKSVTQIIFVDKGRVSEQIQVRTERNPEQYVQVKGMEVGLREAIKKSLEMCSSNSNASFLVLGGKTINMKTELEPPTVCFM
+>sp|P11801|KPSH1_HUMAN Serine/threonine-protein kinase H1 OS=Homo sapiens OX=9606 GN=PSKH1 PE=1 SV=4
+MGCGTSKVLPEPPKDVQLDLVKKVEPFSGTKSDVYKHFITEVDSVGPVKAGFPAASQYAHPCPGPPTAGHTEPPSEPPRRARVAKYRAKFDPRVTAKYDIKALIGRGSFSRVVRVEHRATRQPYAIKMIETKYREGREVCESELRVLRRVRHANIIQLVEVFETQERVYMVMELATGGELFDRIIAKGSFTERDATRVLQMVLDGVRYLHALGITHRDLKPENLLYYHPGTDSKIIITDFGLASARKKGDDCLMKTTCGTPEYIAPEVLVRKPYTNSVDMWALGVIAYILLSGTMPFEDDNRTRLYRQILRGKYSYSGEPWPSVSNLAKDFIDRLLTVDPGARMTALQALRHPWVVSMAASSSMKNLHRSISQNLLKRASSRCQSTKSAQSTRSSRSTRSNKSRRVRERELRELNLRYQQQYNG
+>DECOY_sp|P11801|KPSH1_HUMAN Serine/threonine-protein kinase H1 OS=Homo sapiens OX=9606 GN=PSKH1 PE=1 SV=4
+GNYQQQYRLNLERLERERVRRSKNSRTSRSSRTSQASKTSQCRSSARKLLNQSISRHLNKMSSSAAMSVVWPHRLAQLATMRAGPDVTLLRDIFDKALNSVSPWPEGSYSYKGRLIQRYLRTRNDDEFPMTGSLLIYAIVGLAWMDVSNTYPKRVLVEPAIYEPTGCTTKMLCDDGKKRASALGFDTIIIKSDTGPHYYLLNEPKLDRHTIGLAHLYRVGDLVMQLVRTADRETFSGKAIIRDFLEGGTALEMVMYVREQTEFVEVLQIINAHRVRRLVRLESECVERGERYKTEIMKIAYPQRTARHEVRVVRSFSGRGILAKIDYKATVRPDFKARYKAVRARRPPESPPETHGATPPGPCPHAYQSAAPFGAKVPGVSDVETIFHKYVDSKTGSFPEVKKVLDLQVDKPPEPLVKSTGCGM
+>sp|Q96QS6|KPSH2_HUMAN Serine/threonine-protein kinase H2 OS=Homo sapiens OX=9606 GN=PSKH2 PE=2 SV=1
+MGCGASRKVVPGPPALAWAKHEGQNQAGVGGAGPGPEAAAQAAQRIQVARFRAKFDPRVLARYDIKALIGTGSFSRVVRVEQKTTKKPFAIKVMETREREGREACVSELSVLRRVSHRYIVQLMEIFETEDQVYMVMELATGGELFDRLIAQGSFTERDAVRILQMVADGIRYLHALQITHRNLKPENLLYYHPGEESKILITDFGLAYSGKKSGDWTMKTLCGTPEYIAPEVLLRKPYTSAVDMWALGVITYALLSGFLPFDDESQTRLYRKILKGKYNYTGEPWPSISHLAKDFIDKLLILEAGHRMSAGQALDHPWVITMAAGSSMKNLQRAISRNLMQRASPHSQSPGSAQSSKSHYSHKSRHMWSKRNLRIVESPLSALL
+>DECOY_sp|Q96QS6|KPSH2_HUMAN Serine/threonine-protein kinase H2 OS=Homo sapiens OX=9606 GN=PSKH2 PE=2 SV=1
+LLASLPSEVIRLNRKSWMHRSKHSYHSKSSQASGPSQSHPSARQMLNRSIARQLNKMSSGAAMTIVWPHDLAQGASMRHGAELILLKDIFDKALHSISPWPEGTYNYKGKLIKRYLRTQSEDDFPLFGSLLAYTIVGLAWMDVASTYPKRLLVEPAIYEPTGCLTKMTWDGSKKGSYALGFDTILIKSEEGPHYYLLNEPKLNRHTIQLAHLYRIGDAVMQLIRVADRETFSGQAILRDFLEGGTALEMVMYVQDETEFIEMLQVIYRHSVRRLVSLESVCAERGERERTEMVKIAFPKKTTKQEVRVVRSFSGTGILAKIDYRALVRPDFKARFRAVQIRQAAQAAAEPGPGAGGVGAQNQGEHKAWALAPPGPVVKRSAGCGM
+>sp|Q9BYP8|KR171_HUMAN Keratin-associated protein 17-1 OS=Homo sapiens OX=9606 GN=KRTAP17-1 PE=1 SV=1
+MGCCPGDCFTCCTQEQNCCEECCCQPGCCGCCGSCCGCGGSGCGGSGCGGSCCGSSCCGSGCGGCGGCGGCGGGCCGSSCCGSSCCGSGCCGPVCCQPTPICDTK
+>DECOY_sp|Q9BYP8|KR171_HUMAN Keratin-associated protein 17-1 OS=Homo sapiens OX=9606 GN=KRTAP17-1 PE=1 SV=1
+KTDCIPTPQCCVPGCCGSGCCSSGCCSSGCCGGGCGGCGGCGGCGSGCCSSGCCSGGCGSGGCGSGGCGCCSGCCGCCGPQCCCEECCNQEQTCCTFCDGPCCGM
+>sp|Q3LHN2|KR192_HUMAN Keratin-associated protein 19-2 OS=Homo sapiens OX=9606 GN=KRTAP19-2 PE=1 SV=1
+MCYGYGCGCGSFCRLGYGCGYEGCRYGCGHRGCGDGCCCPSCYRRYRFTGFY
+>DECOY_sp|Q3LHN2|KR192_HUMAN Keratin-associated protein 19-2 OS=Homo sapiens OX=9606 GN=KRTAP19-2 PE=1 SV=1
+YFGTFRYRRYCSPCCCGDGCGRHGCGYRCGEYGCGYGLRCFSGCGCGYGYCM
+>sp|Q3LI73|KR194_HUMAN Keratin-associated protein 19-4 OS=Homo sapiens OX=9606 GN=KRTAP19-4 PE=3 SV=1
+MSYYGSYYRGLGYGCGGFGGLGYGYGCGCGSFRRLGYGCGFGGNGYGYCRPSCYGGYGFSILLKSYPEDTISEVIRRSFNLTKY
+>DECOY_sp|Q3LI73|KR194_HUMAN Keratin-associated protein 19-4 OS=Homo sapiens OX=9606 GN=KRTAP19-4 PE=3 SV=1
+YKTLNFSRRIVESITDEPYSKLLISFGYGGYCSPRCYGYGNGGFGCGYGLRRFSGCGCGYGYGLGGFGGCGYGLGRYYSGYYSM
+>sp|Q3LI72|KR195_HUMAN Keratin-associated protein 19-5 OS=Homo sapiens OX=9606 GN=KRTAP19-5 PE=1 SV=1
+MNYYGNYYGGLGYGYGGFDDLGYGYGCGCGSFRRLGYGGGYGGYGYGSGFGGYGYRSCRPSCYGGYGFSGFY
+>DECOY_sp|Q3LI72|KR195_HUMAN Keratin-associated protein 19-5 OS=Homo sapiens OX=9606 GN=KRTAP19-5 PE=1 SV=1
+YFGSFGYGGYCSPRCSRYGYGGFGSGYGYGGYGGGYGLRRFSGCGCGYGYGLDDFGGYGYGLGGYYNGYYNM
+>sp|Q3LI61|KR202_HUMAN Keratin-associated protein 20-2 OS=Homo sapiens OX=9606 GN=KRTAP20-2 PE=3 SV=1
+MCYYSNYYGGLRYGYGVLGGGYGCGCGYGHGYGGLGCGYGRGYGGYGYGCCRPSCYGRYWSCGFY
+>DECOY_sp|Q3LI61|KR202_HUMAN Keratin-associated protein 20-2 OS=Homo sapiens OX=9606 GN=KRTAP20-2 PE=3 SV=1
+YFGCSWYRGYCSPRCCGYGYGGYGRGYGCGLGGYGHGYGCGCGYGGGLVGYGYRLGGYYNSYYCM
+>sp|Q3LI58|KR211_HUMAN Keratin-associated protein 21-1 OS=Homo sapiens OX=9606 GN=KRTAP21-1 PE=3 SV=1
+MCCNYYGNSCGYGSGCGCGYGSGSGCGCGYGTGYGCGYGCGFGSHYGCGYGTGYGCGYGSGSGYCGYRPFCFRRCYSSC
+>DECOY_sp|Q3LI58|KR211_HUMAN Keratin-associated protein 21-1 OS=Homo sapiens OX=9606 GN=KRTAP21-1 PE=3 SV=1
+CSSYCRRFCFPRYGCYGSGSGYGCGYGTGYGCGYHSGFGCGYGCGYGTGYGCGCGSGSGYGCGCGSGYGCSNGYYNCCM
+>sp|Q3MIV0|KR221_HUMAN Keratin-associated protein 22-1 OS=Homo sapiens OX=9606 GN=KRTAP22-1 PE=3 SV=2
+MSFDNNYHGGQGYAKGGLGCSYGCGLSGYGYACYCPWCYERSWFSGCF
+>DECOY_sp|Q3MIV0|KR221_HUMAN Keratin-associated protein 22-1 OS=Homo sapiens OX=9606 GN=KRTAP22-1 PE=3 SV=2
+FCGSFWSREYCWPCYCAYGYGSLGCGYSCGLGGKAYGQGGHYNNDFSM
+>sp|Q3LI83|KR241_HUMAN Keratin-associated protein 24-1 OS=Homo sapiens OX=9606 GN=KRTAP24-1 PE=2 SV=1
+MPAGSMSTTGYPGVCSTTSYRTHCYIPVTSSVTLSSSDLSPTFGHCLPSSYQGNLWLLDYCQESYGEAPTCKSPSCEPKTCSTTGCDPSNSSVPCNSPSAGQVFSVCETTNVSPSPSCSPSTQTNGYVCNCHIPTRNASKACQTLRNGSNCFGQLNCLSKSFQTLNHCRLSTLGYKSYQNPCFIPSYVSPLCYISNSCQPQSYLVRNYHYSSYRPTSCRPLSYLSRSFRSLSYIPSTFPPLRYLCSGSRPLKCY
+>DECOY_sp|Q3LI83|KR241_HUMAN Keratin-associated protein 24-1 OS=Homo sapiens OX=9606 GN=KRTAP24-1 PE=2 SV=1
+YCKLPRSGSCLYRLPPFTSPIYSLSRFSRSLYSLPRCSTPRYSSYHYNRVLYSQPQCSNSIYCLPSVYSPIFCPNQYSKYGLTSLRCHNLTQFSKSLCNLQGFCNSGNRLTQCAKSANRTPIHCNCVYGNTQTSPSCSPSPSVNTTECVSFVQGASPSNCPVSSNSPDCGTTSCTKPECSPSKCTPAEGYSEQCYDLLWLNGQYSSPLCHGFTPSLDSSSLTVSSTVPIYCHTRYSTTSCVGPYGTTSMSGAPM
+>sp|Q6L8G5|KR510_HUMAN Keratin-associated protein 5-10 OS=Homo sapiens OX=9606 GN=KRTAP5-10 PE=2 SV=1
+MGCCGCSGGCGSGCGGCGSGCGGCGSGCGGYGSGCGGCGSSCCVPVCCCKPVCCCVPACSCSSCGSCGGSKGDCGSCGGSKGGCGSCGGSKGGCGSCGGSKGGCGSCGGSKGGCGSCGGSKGGCGSCGGSKGGCGSCGCSQCNCCKPCCCSSGCGSCCQSSCCNPCCCQSSCCVPVCCQSSCCKPCCCQSSCCVPVCCQCKI
+>DECOY_sp|Q6L8G5|KR510_HUMAN Keratin-associated protein 5-10 OS=Homo sapiens OX=9606 GN=KRTAP5-10 PE=2 SV=1
+IKCQCCVPVCCSSQCCCPKCCSSQCCVPVCCSSQCCCPNCCSSQCCSGCGSSCCCPKCCNCQSCGCSGCGGKSGGCSGCGGKSGGCSGCGGKSGGCSGCGGKSGGCSGCGGKSGGCSGCGGKSGGCSGCDGKSGGCSGCSSCSCAPVCCCVPKCCCVPVCCSSGCGGCGSGYGGCGSGCGGCGSGCGGCGSGCGGSCGCCGM
+>sp|Q9BYQ3|KRA93_HUMAN Keratin-associated protein 9-3 OS=Homo sapiens OX=9606 GN=KRTAP9-3 PE=1 SV=1
+MTHCCSPCCQPTCCRTTCWQPTTVTTCSSTPCCQPSCCVSSCCQPCCHPTCCQNTCCRTTCCQPICVTSCCQPSCCSTPCCQPTCCGSSCGQSSSCAPVYCRRTCYHPTSVCLPGCLNQSCGSNCCQPCCRPACCETTCCRTTCFQPTCVYSCCQPSCC
+>DECOY_sp|Q9BYQ3|KRA93_HUMAN Keratin-associated protein 9-3 OS=Homo sapiens OX=9606 GN=KRTAP9-3 PE=1 SV=1
+CCSPQCCSYVCTPQFCTTRCCTTECCAPRCCPQCCNSGCSQNLCGPLCVSTPHYCTRRCYVPACSSSQGCSSGCCTPQCCPTSCCSPQCCSTVCIPQCCTTRCCTNQCCTPHCCPQCCSSVCCSPQCCPTSSCTTVTTPQWCTTRCCTPQCCPSCCHTM
+>sp|A8MVA2|KRA96_HUMAN Keratin-associated protein 9-6 OS=Homo sapiens OX=9606 GN=KRTAP9-6 PE=3 SV=1
+MTHCCSPGCQPTCCRTTCCRTTCWQPTIVTTCSSTPCCQPSCCVSSCCQPYCHPTCCQNTCCRTTCCQPTCVTSCCQPSCCSTPCYQPICCGSSCCGQTSCGSSCGQSSSCAPVYCRRTCYHPTTVCLPGCLNQSCGSSCCQPCYCPACCVSSCCQHSCC
+>DECOY_sp|A8MVA2|KRA96_HUMAN Keratin-associated protein 9-6 OS=Homo sapiens OX=9606 GN=KRTAP9-6 PE=3 SV=1
+CCSHQCCSSVCCAPCYCPQCCSSGCSQNLCGPLCVTTPHYCTRRCYVPACSSSQGCSSGCSTQGCCSSGCCIPQYCPTSCCSPQCCSTVCTPQCCTTRCCTNQCCTPHCYPQCCSSVCCSPQCCPTSSCTTVITPQWCTTRCCTTRCCTPQCGPSCCHTM
+>sp|Q5JUW0|KRBX4_HUMAN KRAB domain-containing protein 4 OS=Homo sapiens OX=9606 GN=KRBOX4 PE=2 SV=1
+MAMSQESLTFKDVFVDFTLEEWQQLDSAQKNLYRDVMLENYSHLVSVGYLVAKPDVIFRLGPGEESWMADGGTPVRTCAGEDRPEVWQVDEQIDHYKESQDKLPWQAAFIGKETLKDESGQESRTCRKSIYLSTEFDSVRQRLPKYYSWEKAFKTSFKLSWSKWKLCKKER
+>DECOY_sp|Q5JUW0|KRBX4_HUMAN KRAB domain-containing protein 4 OS=Homo sapiens OX=9606 GN=KRBOX4 PE=2 SV=1
+REKKCLKWKSWSLKFSTKFAKEWSYYKPLRQRVSDFETSLYISKRCTRSEQGSEDKLTEKGIFAAQWPLKDQSEKYHDIQEDVQWVEPRDEGACTRVPTGGDAMWSEEGPGLRFIVDPKAVLYGVSVLHSYNELMVDRYLNKQASDLQQWEELTFDVFVDKFTLSEQSMAM
+>sp|Q8N6L1|KTAP2_HUMAN Keratinocyte-associated protein 2 OS=Homo sapiens OX=9606 GN=KRTCAP2 PE=1 SV=2
+MVVGTGTSLALSSLLSLLLFAGMQMYSRQLASTEWLTIQGGLLGSGLFVFSLTAFNNLENLVFGKGFQAKIFPEILLCLLLALFASGLIHRVCVTTCFIFSMVGLYYINKISSTLYQAAAPVLTPAKVTGKSKKRN
+>DECOY_sp|Q8N6L1|KTAP2_HUMAN Keratinocyte-associated protein 2 OS=Homo sapiens OX=9606 GN=KRTCAP2 PE=1 SV=2
+NRKKSKGTVKAPTLVPAAAQYLTSSIKNIYYLGVMSFIFCTTVCVRHILGSAFLALLLCLLIEPFIKAQFGKGFVLNELNNFATLSFVFLGSGLLGGQITLWETSALQRSYMQMGAFLLLSLLSSLALSTGTGVVM
+>sp|Q14643|ITPR1_HUMAN Inositol 1,4,5-trisphosphate receptor type 1 OS=Homo sapiens OX=9606 GN=ITPR1 PE=1 SV=3
+MSDKMSSFLHIGDICSLYAEGSTNGFISTLGLVDDRCVVQPETGDLNNPPKKFRDCLFKLCPMNRYSAQKQFWKAAKPGANSTTDAVLLNKLHHAADLEKKQNETENRKLLGTVIQYGNVIQLLHLKSNKYLTVNKRLPALLEKNAMRVTLDEAGNEGSWFYIQPFYKLRSIGDSVVIGDKVVLNPVNAGQPLHASSHQLVDNPGCNEVNSVNCNTSWKIVLFMKWSDNKDDILKGGDVVRLFHAEQEKFLTCDEHRKKQHVFLRTTGRQSATSATSSKALWEVEVVQHDPCRGGAGYWNSLFRFKHLATGHYLAAEVDPDFEEECLEFQPSVDPDQDASRSRLRNAQEKMVYSLVSVPEGNDISSIFELDPTTLRGGDSLVPRNSYVRLRHLCTNTWVHSTNIPIDKEEEKPVMLKIGTSPVKEDKEAFAIVPVSPAEVRDLDFANDASKVLGSIAGKLEKGTITQNERRSVTKLLEDLVYFVTGGTNSGQDVLEVVFSKPNRERQKLMREQNILKQIFKLLQAPFTDCGDGPMLRLEELGDQRHAPFRHICRLCYRVLRHSQQDYRKNQEYIAKQFGFMQKQIGYDVLAEDTITALLHNNRKLLEKHITAAEIDTFVSLVRKNREPRFLDYLSDLCVSMNKSIPVTQELICKAVLNPTNADILIETKLVLSRFEFEGVSSTGENALEAGEDEEEVWLFWRDSNKEIRSKSVRELAQDAKEGQKEDRDVLSYYRYQLNLFARMCLDRQYLAINEISGQLDVDLILRCMSDENLPYDLRASFCRLMLHMHVDRDPQEQVTPVKYARLWSEIPSEIAIDDYDSSGASKDEIKERFAQTMEFVEEYLRDVVCQRFPFSDKEKNKLTFEVVNLARNLIYFGFYNFSDLLRLTKILLAILDCVHVTTIFPISKMAKGEENKGNNDVEKLKSSNVMRSIHGVGELMTQVVLRGGGFLPMTPMAAAPEGNVKQAEPEKEDIMVMDTKLKIIEILQFILNVRLDYRISCLLCIFKREFDESNSQTSETSSGNSSQEGPSNVPGALDFEHIEEQAEGIFGGSEENTPLDLDDHGGRTFLRVLLHLTMHDYPPLVSGALQLLFRHFSQRQEVLQAFKQVQLLVTSQDVDNYKQIKQDLDQLRSIVEKSELWVYKGQGPDETMDGASGENEHKKTEEGNNKPQKHESTSSYNYRVVKEILIRLSKLCVQESASVRKSRKQQQRLLRNMGAHAVVLELLQIPYEKAEDTKMQEIMRLAHEFLQNFCAGNQQNQALLHKHINLFLNPGILEAVTMQHIFMNNFQLCSEINERVVQHFVHCIETHGRNVQYIKFLQTIVKAEGKFIKKCQDMVMAELVNSGEDVLVFYNDRASFQTLIQMMRSERDRMDENSPLMYHIHLVELLAVCTEGKNVYTEIKCNSLLPLDDIVRVVTHEDCIPEVKIAYINFLNHCYVDTEVEMKEIYTSNHMWKLFENFLVDICRACNNTSDRKHADSILEKYVTEIVMSIVTTFFSSPFSDQSTTLQTRQPVFVQLLQGVFRVYHCNWLMPSQKASVESCIRVLSDVAKSRAIAIPVDLDSQVNNLFLKSHSIVQKTAMNWRLSARNAARRDSVLAASRDYRNIIERLQDIVSALEDRLRPLVQAELSVLVDVLHRPELLFPENTDARRKCESGGFICKLIKHTKQLLEENEEKLCIKVLQTLREMMTKDRGYGEKLISIDELDNAELPPAPDSENATEELEPSPPLRQLEDHKRGEALRQVLVNRYYGNVRPSGRRESLTSFGNGPLSAGGPGKPGGGGGGSGSSSMSRGEMSLAEVQCHLDKEGASNLVIDLIMNASSDRVFHESILLAIALLEGGNTTIQHSFFCRLTEDKKSEKFFKVFYDRMKVAQQEIKATVTVNTSDLGNKKKDDEVDRDAPSRKKAKEPTTQITEEVRDQLLEASAATRKAFTTFRREADPDDHYQPGEGTQATADKAKDDLEMSAVITIMQPILRFLQLLCENHNRDLQNFLRCQNNKTNYNLVCETLQFLDCICGSTTGGLGLLGLYINEKNVALINQTLESLTEYCQGPCHENQNCIATHESNGIDIITALILNDINPLGKKRMDLVLELKNNASKLLLAIMESRHDSENAERILYNMRPKELVEVIKKAYMQGEVEFEDGENGEDGAASPRNVGHNIYILAHQLARHNKELQSMLKPGGQVDGDEALEFYAKHTAQIEIVRLDRTMEQIVFPVPSICEFLTKESKLRIYYTTERDEQGSKINDFFLRSEDLFNEMNWQKKLRAQPVLYWCARNMSFWSSISFNLAVLMNLLVAFFYPFKGVRGGTLEPHWSGLLWTAMLISLAIVIALPKPHGIRALIASTILRLIFSVGLQPTLFLLGAFNVCNKIIFLMSFVGNCGTFTRGYRAMVLDVEFLYHLLYLVICAMGLFVHEFFYSLLLFDLVYREETLLNVIKSVTRNGRSIILTAVLALILVYLFSIVGYLFFKDDFILEVDRLPNETAVPETGESLASEFLFSDVCRVESGENCSSPAPREELVPAEETEQDKEHTCETLLMCIVTVLSHGLRSGGGVGDVLRKPSKEEPLFAARVIYDLLFFFMVIIIVLNLIFGVIIDTFADLRSEKQKKEEILKTTCFICGLERDKFDNKTVTFEEHIKEEHNMWHYLCFIVLVKVKDSTEYTGPESYVAEMIKERNLDWFPRMRAMSLVSSDSEGEQNELRNLQEKLESTMKLVTNLSGQLSELKDQMTEQRKQKQRIGLLGHPPHMNVNPQQPA
+>DECOY_sp|Q14643|ITPR1_HUMAN Inositol 1,4,5-trisphosphate receptor type 1 OS=Homo sapiens OX=9606 GN=ITPR1 PE=1 SV=3
+APQQPNVNMHPPHGLLGIRQKQKRQETMQDKLESLQGSLNTVLKMTSELKEQLNRLENQEGESDSSVLSMARMRPFWDLNREKIMEAVYSEPGTYETSDKVKVLVIFCLYHWMNHEEKIHEEFTVTKNDFKDRELGCIFCTTKLIEEKKQKESRLDAFTDIIVGFILNLVIIIVMFFFLLDYIVRAAFLPEEKSPKRLVDGVGGGSRLGHSLVTVICMLLTECTHEKDQETEEAPVLEERPAPSSCNEGSEVRCVDSFLFESALSEGTEPVATENPLRDVELIFDDKFFLYGVISFLYVLILALVATLIISRGNRTVSKIVNLLTEERYVLDFLLLSYFFEHVFLGMACIVLYLLHYLFEVDLVMARYGRTFTGCNGVFSMLFIIKNCVNFAGLLFLTPQLGVSFILRLITSAILARIGHPKPLAIVIALSILMATWLLGSWHPELTGGRVGKFPYFFAVLLNMLVALNFSISSWFSMNRACWYLVPQARLKKQWNMENFLDESRLFFDNIKSGQEDRETTYYIRLKSEKTLFECISPVPFVIQEMTRDLRVIEIQATHKAYFELAEDGDVQGGPKLMSQLEKNHRALQHALIYINHGVNRPSAAGDEGNEGDEFEVEGQMYAKKIVEVLEKPRMNYLIREANESDHRSEMIALLLKSANNKLELVLDMRKKGLPNIDNLILATIIDIGNSEHTAICNQNEHCPGQCYETLSELTQNILAVNKENIYLGLLGLGGTTSGCICDLFQLTECVLNYNTKNNQCRLFNQLDRNHNECLLQLFRLIPQMITIVASMELDDKAKDATAQTGEGPQYHDDPDAERRFTTFAKRTAASAELLQDRVEETIQTTPEKAKKRSPADRDVEDDKKKNGLDSTNVTVTAKIEQQAVKMRDYFVKFFKESKKDETLRCFFSHQITTNGGELLAIALLISEHFVRDSSANMILDIVLNSAGEKDLHCQVEALSMEGRSMSSSGSGGGGGGPKGPGGASLPGNGFSTLSERRGSPRVNGYYRNVLVQRLAEGRKHDELQRLPPSPELEETANESDPAPPLEANDLEDISILKEGYGRDKTMMERLTQLVKICLKEENEELLQKTHKILKCIFGGSECKRRADTNEPFLLEPRHLVDVLVSLEAQVLPRLRDELASVIDQLREIINRYDRSAALVSDRRAANRASLRWNMATKQVISHSKLFLNNVQSDLDVPIAIARSKAVDSLVRICSEVSAKQSPMLWNCHYVRFVGQLLQVFVPQRTQLTTSQDSFPSSFFTTVISMVIETVYKELISDAHKRDSTNNCARCIDVLFNEFLKWMHNSTYIEKMEVETDVYCHNLFNIYAIKVEPICDEHTVVRVIDDLPLLSNCKIETYVNKGETCVALLEVLHIHYMLPSNEDMRDRESRMMQILTQFSARDNYFVLVDEGSNVLEAMVMDQCKKIFKGEAKVITQLFKIYQVNRGHTEICHVFHQVVRENIESCLQFNNMFIHQMTVAELIGPNLFLNIHKHLLAQNQQNGACFNQLFEHALRMIEQMKTDEAKEYPIQLLELVVAHAGMNRLLRQQQKRSKRVSASEQVCLKSLRILIEKVVRYNYSSTSEHKQPKNNGEETKKHENEGSAGDMTEDPGQGKYVWLESKEVISRLQDLDQKIQKYNDVDQSTVLLQVQKFAQLVEQRQSFHRFLLQLAGSVLPPYDHMTLHLLVRLFTRGGHDDLDLPTNEESGGFIGEAQEEIHEFDLAGPVNSPGEQSSNGSSTESTQSNSEDFERKFICLLCSIRYDLRVNLIFQLIEIIKLKTDMVMIDEKEPEAQKVNGEPAAAMPTMPLFGGGRLVVQTMLEGVGHISRMVNSSKLKEVDNNGKNEEGKAMKSIPFITTVHVCDLIALLIKTLRLLDSFNYFGFYILNRALNVVEFTLKNKEKDSFPFRQCVVDRLYEEVFEMTQAFREKIEDKSAGSSDYDDIAIESPIESWLRAYKVPTVQEQPDRDVHMHLMLRCFSARLDYPLNEDSMCRLILDVDLQGSIENIALYQRDLCMRAFLNLQYRYYSLVDRDEKQGEKADQALERVSKSRIEKNSDRWFLWVEEEDEGAELANEGTSSVGEFEFRSLVLKTEILIDANTPNLVAKCILEQTVPISKNMSVCLDSLYDLFRPERNKRVLSVFTDIEAATIHKELLKRNNHLLATITDEALVDYGIQKQMFGFQKAIYEQNKRYDQQSHRLVRYCLRCIHRFPAHRQDGLEELRLMPGDGCDTFPAQLLKFIQKLINQERMLKQRERNPKSFVVELVDQGSNTGGTVFYVLDELLKTVSRRENQTITGKELKGAISGLVKSADNAFDLDRVEAPSVPVIAFAEKDEKVPSTGIKLMVPKEEEKDIPINTSHVWTNTCLHRLRVYSNRPVLSDGGRLTTPDLEFISSIDNGEPVSVLSYVMKEQANRLRSRSADQDPDVSPQFELCEEEFDPDVEAALYHGTALHKFRFLSNWYGAGGRCPDHQVVEVEWLAKSSTASTASQRGTTRLFVHQKKRHEDCTLFKEQEAHFLRVVDGGKLIDDKNDSWKMFLVIKWSTNCNVSNVENCGPNDVLQHSSAHLPQGANVPNLVVKDGIVVSDGISRLKYFPQIYFWSGENGAEDLTVRMANKELLAPLRKNVTLYKNSKLHLLQIVNGYQIVTGLLKRNETENQKKELDAAHHLKNLLVADTTSNAGPKAAKWFQKQASYRNMPCLKFLCDRFKKPPNNLDGTEPQVVCRDDVLGLTSIFGNTSGEAYLSCIDGIHLFSSMKDSM
+>sp|Q8WYK2|JDP2_HUMAN Jun dimerization protein 2 OS=Homo sapiens OX=9606 GN=JDP2 PE=1 SV=1
+MMPGQIPDPSVTTGSLPGLGPLTGLPSSALTVEELKYADIRNLGAMIAPLHFLEVKLGKRPQPVKSELDEEEERRKRRREKNKVAAARCRNKKKERTEFLQRESERLELMNAELKTQIEELKQERQQLILMLNRHRPTCIVRTDSVKTPESEGNPLLEQLEKK
+>DECOY_sp|Q8WYK2|JDP2_HUMAN Jun dimerization protein 2 OS=Homo sapiens OX=9606 GN=JDP2 PE=1 SV=1
+KKELQELLPNGESEPTKVSDTRVICTPRHRNLMLILQQREQKLEEIQTKLEANMLELRESERQLFETREKKKNRCRAAAVKNKERRRKRREEEEDLESKVPQPRKGLKVELFHLPAIMAGLNRIDAYKLEEVTLASSPLGTLPGLGPLSGTTVSPDPIQGPMM
+>sp|Q9Y4A0|JERKL_HUMAN Jerky protein homolog-like OS=Homo sapiens OX=9606 GN=JRKL PE=2 SV=2
+MSGKRKRVVLTIKDKLDIIKKLEDGGSSKQLAVIYGIGETTVRDIRKNKEKIITYASSSDSTSLLAKRKSMKPSMYEELDRAMLEWFNQQRAKGNPISGPICAKRAEFFFYALGMDGDFNPSAGWLTRFKQRHSIREINIRNERLNGDETAVEDFCNNFRDFIERENLQPEQIYNADETGLFWKCLPSRISVIKGKCTVPGHKSIEERVTIMCCANATGLHKLKLCVVGKAKKPRSFKSTDTLNLPVSYFSQKGAWMDLSIFRQWFDKIFVPQVREYLRSKGLQEKAVLLLDNSPTHPNENVLRSDDGQIFAKYLPPNVASLIQPSDQGVIATMKRNYRAGLLQNNLEEGNDLKSFWKKLTLLDALYEIAMAWNLVKPVTISRAWKKILPMVEEKESLDFDVEDISVATVAAILQHTKGLENVTTENLEKWLEVDSTEPGYEVLTDSEIIRRAQGQADESSENEEEEIELIPEKHINHAAALQWTENLLDYLEQQGDMILPDRLVIRKLRATIRNKQKMTKSSQ
+>DECOY_sp|Q9Y4A0|JERKL_HUMAN Jerky protein homolog-like OS=Homo sapiens OX=9606 GN=JRKL PE=2 SV=2
+QSSKTMKQKNRITARLKRIVLRDPLIMDGQQELYDLLNETWQLAAAHNIHKEPILEIEEEENESSEDAQGQARRIIESDTLVEYGPETSDVELWKELNETTVNELGKTHQLIAAVTAVSIDEVDFDLSEKEEVMPLIKKWARSITVPKVLNWAMAIEYLADLLTLKKWFSKLDNGEELNNQLLGARYNRKMTAIVGQDSPQILSAVNPPLYKAFIQGDDSRLVNENPHTPSNDLLLVAKEQLGKSRLYERVQPVFIKDFWQRFISLDMWAGKQSFYSVPLNLTDTSKFSRPKKAKGVVCLKLKHLGTANACCMITVREEISKHGPVTCKGKIVSIRSPLCKWFLGTEDANYIQEPQLNEREIFDRFNNCFDEVATEDGNLRENRINIERISHRQKFRTLWGASPNFDGDMGLAYFFFEARKACIPGSIPNGKARQQNFWELMARDLEEYMSPKMSKRKALLSTSDSSSAYTIIKEKNKRIDRVTTEGIGYIVALQKSSGGDELKKIIDLKDKITLVVRKRKGSM
+>sp|Q5VZ66|JKIP3_HUMAN Janus kinase and microtubule-interacting protein 3 OS=Homo sapiens OX=9606 GN=JAKMIP3 PE=2 SV=2
+MSKRGMSSRAKGDKAEALAALQAANEDLRAKLTDIQIELQQEKSKVSKVEREKNQELRQVREHEQHKTAVLLTELKTKLHEEKMKELQAVRETLLRQHEAELLRVIKIKDNENQRLQALLSALRDGGPEKVKTVLLSEAKEEAKKGFEVEKVKMQQEISELKGAKRQVEEALTLVIQADKIKAAEIRSVYHLHQEEITRIKKECEREIRRLMEEIKFKDRAVFVLERELGVQAGHAQRLQLQKEALDEQLSQVREADRHPGSPRRELPHAAGAGDASDHSGSPEQQLDEKDARRFQLKIAELSAIIRKLEDRNALLSEERNELLKRVREAESQYKPLLDKNKRLSRKNEDLSHALRRMENKLKFVTQENIEMRQRAGIIRRPSSLNDLDQSQDEREVDFLKLQIVEQQNLIDELSKTLETAGYVKSVLERDKLLRFRKQRKKMAKLPKPVVVETFFGYDEEASLESDGSSVSYQTDRTDQTPCTPDDDLEEGMAKEETELRFRQLTMEYQALQRAYALLQEQVGGTLDAEREVKTREQLQAEVQRAQARIEDLEKALAEQGQDMKWIEEKQALYRRNQELVEKIKQMETEEARLRHEVQDARDQNELLEFRILELEERERKSPAISFHHTPFVDGKSPLQVYCEAEGVTDIVVAELMKKLDILGDNANLTNEEQVVVIQARTVLTLAEKWLQQIEETEAALQRKMVDLESEKELFSKQKGYLDEELDYRKQALDQANKHILELEAMLYDALQQEAGAKVAELLSEEEREKLKVAVEQWKRQVMSELRERDAQILRERMELLQLAQQRIKELEERIEAQKRQIKELEEKFLFLFLFFSLAFILWS
+>DECOY_sp|Q5VZ66|JKIP3_HUMAN Janus kinase and microtubule-interacting protein 3 OS=Homo sapiens OX=9606 GN=JAKMIP3 PE=2 SV=2
+SWLIFALSFFLFLFLFKEELEKIQRKQAEIREELEKIRQQALQLLEMRERLIQADRERLESMVQRKWQEVAVKLKEREEESLLEAVKAGAEQQLADYLMAELELIHKNAQDLAQKRYDLEEDLYGKQKSFLEKESELDVMKRQLAAETEEIQQLWKEALTLVTRAQIVVVQEENTLNANDGLIDLKKMLEAVVIDTVGEAECYVQLPSKGDVFPTHHFSIAPSKREREELELIRFELLENQDRADQVEHRLRAEETEMQKIKEVLEQNRRYLAQKEEIWKMDQGQEALAKELDEIRAQARQVEAQLQERTKVEREADLTGGVQEQLLAYARQLAQYEMTLQRFRLETEEKAMGEELDDDPTCPTQDTRDTQYSVSSGDSELSAEEDYGFFTEVVVPKPLKAMKKRQKRFRLLKDRELVSKVYGATELTKSLEDILNQQEVIQLKLFDVEREDQSQDLDNLSSPRRIIGARQRMEINEQTVFKLKNEMRRLAHSLDENKRSLRKNKDLLPKYQSEAERVRKLLENREESLLANRDELKRIIASLEAIKLQFRRADKEDLQQEPSGSHDSADGAGAAHPLERRPSGPHRDAERVQSLQEDLAEKQLQLRQAHGAQVGLERELVFVARDKFKIEEMLRRIERECEKKIRTIEEQHLHYVSRIEAAKIKDAQIVLTLAEEVQRKAGKLESIEQQMKVKEVEFGKKAEEKAESLLVTKVKEPGGDRLASLLAQLRQNENDKIKIVRLLEAEHQRLLTERVAQLEKMKEEHLKTKLETLLVATKHQEHERVQRLEQNKEREVKSVKSKEQQLEIQIDTLKARLDENAAQLAALAEAKDGKARSSMGRKSM
+>sp|P0C870|JMJD7_HUMAN JmjC domain-containing protein 7 OS=Homo sapiens OX=9606 GN=JMJD7 PE=1 SV=1
+MAEAALEAVRSELREFPAAARELCVPLAVPYLDKPPTPLHFYRDWVCPNRPCIIRNALQHWPALQKWSLPYFRATVGSTEVSVAVTPDGYADAVRGDRFMMPAERRLPLSFVLDVLEGRAQHPGVLYVQKQCSNLPSELPQLLPDLESHVPWASEALGKMPDAVNFWLGEAAAVTSLHKDHYENLYCVVSGEKHFLFHPPSDRPFIPYELYTPATYQLTEEGTFKVVDEEAMEKVPWIPLDPLAPDLARYPSYSQAQALRCTVRAGEMLYLPALWFHHVQQSQGCIAVNFWYDMEYDLKYSYFQLLDSLTKASGLD
+>DECOY_sp|P0C870|JMJD7_HUMAN JmjC domain-containing protein 7 OS=Homo sapiens OX=9606 GN=JMJD7 PE=1 SV=1
+DLGSAKTLSDLLQFYSYKLDYEMDYWFNVAICGQSQQVHHFWLAPLYLMEGARVTCRLAQAQSYSPYRALDPALPDLPIWPVKEMAEEDVVKFTGEETLQYTAPTYLEYPIFPRDSPPHFLFHKEGSVVCYLNEYHDKHLSTVAAAEGLWFNVADPMKGLAESAWPVHSELDPLLQPLESPLNSCQKQVYLVGPHQARGELVDLVFSLPLRREAPMMFRDGRVADAYGDPTVAVSVETSGVTARFYPLSWKQLAPWHQLANRIICPRNPCVWDRYFHLPTPPKDLYPVALPVCLERAAAPFERLESRVAELAAEAM
+>sp|Q15040|JOS1_HUMAN Josephin-1 OS=Homo sapiens OX=9606 GN=JOSD1 PE=1 SV=1
+MSCVPWKGDKAKSESLELPQAAPPQIYHEKQRRELCALHALNNVFQDSNAFTRDTLQEIFQRLSPNTMVTPHKKSMLGNGNYDVNVIMAALQTKGYEAVWWDKRRDVGVIALTNVMGFIMNLPSSLCWGPLKLPLKRQHWICVREVGGAYYNLDSKLKMPEWIGGESELRKFLKHHLRGKNCELLLVVPEEVEAHQSWRTDV
+>DECOY_sp|Q15040|JOS1_HUMAN Josephin-1 OS=Homo sapiens OX=9606 GN=JOSD1 PE=1 SV=1
+VDTRWSQHAEVEEPVVLLLECNKGRLHHKLFKRLESEGGIWEPMKLKSDLNYYAGGVERVCIWHQRKLPLKLPGWCLSSPLNMIFGMVNTLAIVGVDRRKDWWVAEYGKTQLAAMIVNVDYNGNGLMSKKHPTVMTNPSLRQFIEQLTDRTFANSDQFVNNLAHLACLERRQKEHYIQPPAAQPLELSESKAKDGKWPVCSM
+>sp|P17535|JUND_HUMAN Transcription factor jun-D OS=Homo sapiens OX=9606 GN=JUND PE=1 SV=3
+METPFYGDEALSGLGGGASGSGGSFASPGRLFPGAPPTAAAGSMMKKDALTLSLSEQVAAALKPAAAPPPTPLRADGAPSAAPPDGLLASPDLGLLKLASPELERLIIQSNGLVTTTPTSSQFLYPKVAASEEQEFAEGFVKALEDLHKQNQLGAGAAAAAAAAAAGGPSGTATGSAPPGELAPAAAAPEAPVYANLSSYAGGAGGAGGAATVAFAAEPVPFPPPPPPGALGPPRLAALKDEPQTVPDVPSFGESPPLSPIDMDTQERIKAERKRLRNRIAASKCRKRKLERISRLEEKVKTLKSQNTELASTASLLREQVAQLKQKVLSHVNSGCQLLPQHQVPAY
+>DECOY_sp|P17535|JUND_HUMAN Transcription factor jun-D OS=Homo sapiens OX=9606 GN=JUND PE=1 SV=3
+YAPVQHQPLLQCGSNVHSLVKQKLQAVQERLLSATSALETNQSKLTKVKEELRSIRELKRKRCKSAAIRNRLRKREAKIREQTDMDIPSLPPSEGFSPVDPVTQPEDKLAALRPPGLAGPPPPPPFPVPEAAFAVTAAGGAGGAGGAYSSLNAYVPAEPAAAAPALEGPPASGTATGSPGGAAAAAAAAAAGAGLQNQKHLDELAKVFGEAFEQEESAAVKPYLFQSSTPTTTVLGNSQIILRELEPSALKLLGLDPSALLGDPPAASPAGDARLPTPPPAAAPKLAAAVQESLSLTLADKKMMSGAAATPPAGPFLRGPSAFSGGSGSAGGGLGSLAEDGYFPTEM
+>sp|A6ND01|JUNO_HUMAN Sperm-egg fusion protein Juno OS=Homo sapiens OX=9606 GN=IZUMO1R PE=1 SV=3
+MACWWPLLLELWTVMPTWAGDELLNICMNAKHHKRVPSPEDKLYEECIPWKDNACCTLTTSWEAHLDVSPLYNFSLFHCGLLMPGCRKHFIQAICFYECSPNLGPWIQPVGSLGWEVAPSGQGERVVNVPLCQEDCEEWWEDCRMSYTCKSNWRGGWDWSQGKNRCPKGAQCLPFSHYFPTPADLCEKTWSNSFKASPERRNSGRCLQKWFEPAQGNPNVAVARLFASSAPSWELSYTIMVCSLFLPFLS
+>DECOY_sp|A6ND01|JUNO_HUMAN Sperm-egg fusion protein Juno OS=Homo sapiens OX=9606 GN=IZUMO1R PE=1 SV=3
+SLFPLFLSCVMITYSLEWSPASSAFLRAVAVNPNGQAPEFWKQLCRGSNRREPSAKFSNSWTKECLDAPTPFYHSFPLCQAGKPCRNKGQSWDWGGRWNSKCTYSMRCDEWWEECDEQCLPVNVVREGQGSPAVEWGLSGVPQIWPGLNPSCEYFCIAQIFHKRCGPMLLGCHFLSFNYLPSVDLHAEWSTTLTCCANDKWPICEEYLKDEPSPVRKHHKANMCINLLEDGAWTPMVTWLELLLPWWCAM
+>sp|P05412|JUN_HUMAN Transcription factor AP-1 OS=Homo sapiens OX=9606 GN=JUN PE=1 SV=2
+MTAKMETTFYDDALNASFLPSESGPYGYSNPKILKQSMTLNLADPVGSLKPHLRAKNSDLLTSPDVGLLKLASPELERLIIQSSNGHITTTPTPTQFLCPKNVTDEQEGFAEGFVRALAELHSQNTLPSVTSAAQPVNGAGMVAPAVASVAGGSGSGGFSASLHSEPPVYANLSNFNPGALSSGGGAPSYGAAGLAFPAQPQQQQQPPHHLPQQMPVQHPRLQALKEEPQTVPEMPGETPPLSPIDMESQERIKAERKRMRNRIAASKCRKRKLERIARLEEKVKTLKAQNSELASTANMLREQVAQLKQKVMNHVNSGCQLMLTQQLQTF
+>DECOY_sp|P05412|JUN_HUMAN Transcription factor AP-1 OS=Homo sapiens OX=9606 GN=JUN PE=1 SV=2
+FTQLQQTLMLQCGSNVHNMVKQKLQAVQERLMNATSALESNQAKLTKVKEELRAIRELKRKRCKSAAIRNRMRKREAKIREQSEMDIPSLPPTEGPMEPVTQPEEKLAQLRPHQVPMQQPLHHPPQQQQQPQAPFALGAAGYSPAGGGSSLAGPNFNSLNAYVPPESHLSASFGGSGSGGAVSAVAPAVMGAGNVPQAASTVSPLTNQSHLEALARVFGEAFGEQEDTVNKPCLFQTPTPTTTIHGNSSQIILRELEPSALKLLGVDPSTLLDSNKARLHPKLSGVPDALNLTMSQKLIKPNSYGYPGSESPLFSANLADDYFTTEMKATM
+>sp|Q5VV43|K0319_HUMAN Dyslexia-associated protein KIAA0319 OS=Homo sapiens OX=9606 GN=KIAA0319 PE=1 SV=1
+MAPPTGVLSSLLLLVTIAGCARKQCSEGRTYSNAVISPNLETTRIMRVSHTFPVVDCTAACCDLSSCDLAWWFEGRCYLVSCPHKENCEPKKMGPIRSYLTFVLRPVQRPAQLLDYGDMMLNRGSPSGIWGDSPEDIRKDLTFLGKDWGLEEMSEYSDDYRELEKDLLQPSGKQEPRGSAEYTDWGLLPGSEGAFNSSVGDSPAVPAETQQDPELHYLNESASTPAPKLPERSVLLPLPTTPSSGEVLEKEKASQLQEQSSNSSGKEVLMPSHSLPPASLELSSVTVEKSPVLTVTPGSTEHSIPTPPTSAAPSESTPSELPISPTTAPRTVKELTVSAGDNLIITLPDNEVELKAFVAPAPPVETTYNYEWNLISHPTDYQGEIKQGHKQTLNLSQLSVGLYVFKVTVSSENAFGEGFVNVTVKPARRVNLPPVAVVSPQLQELTLPLTSALIDGSQSTDDTEIVSYHWEEINGPFIEEKTSVDSPVLRLSNLDPGNYSFRLTVTDSDGATNSTTAALIVNNAVDYPPVANAGPNHTITLPQNSITLNGNQSSDDHQIVLYEWSLGPGSEGKHVVMQGVQTPYLHLSAMQEGDYTFQLKVTDSSRQQSTAVVTVIVQPENNRPPVAVAGPDKELIFPVESATLDGSSSSDDHGIVFYHWEHVRGPSAVEMENIDKAIATVTGLQVGTYHFRLTVKDQQGLSSTSTLTVAVKKENNSPPRARAGGRHVLVLPNNSITLDGSRSTDDQRIVSYLWIRDGQSPAAGDVIDGSDHSVALQLTNLVEGVYTFHLRVTDSQGASDTDTATVEVQPDPRKSGLVELTLQVGVGQLTEQRKDTLVRQLAVLLNVLDSDIKVQKIRAHSDLSTVIVFYVQSRPPFKVLKAAEVARNLHMRLSKEKADFLLFKVLRVDTAGCLLKCSGHGHCDPLTKRCICSHLWMENLIQRYIWDGESNCEWSIFYVTVLAFTLIVLTGGFTWLCICCCKRQKRTKIRKKTKYTILDNMDEQERMELRPKYGIKHRSTEHNSSLMVSESEFDSDQDTIFSREKMERGNPKVSMNGSIRNGASFSYCSKDR
+>DECOY_sp|Q5VV43|K0319_HUMAN Dyslexia-associated protein KIAA0319 OS=Homo sapiens OX=9606 GN=KIAA0319 PE=1 SV=1
+RDKSCYSFSAGNRISGNMSVKPNGREMKERSFITDQDSDFESESVMLSSNHETSRHKIGYKPRLEMREQEDMNDLITYKTKKRIKTRKQRKCCCICLWTFGGTLVILTFALVTVYFISWECNSEGDWIYRQILNEMWLHSCICRKTLPDCHGHGSCKLLCGATDVRLVKFLLFDAKEKSLRMHLNRAVEAAKLVKFPPRSQVYFVIVTSLDSHARIKQVKIDSDLVNLLVALQRVLTDKRQETLQGVGVQLTLEVLGSKRPDPQVEVTATDTDSAGQSDTVRLHFTYVGEVLNTLQLAVSHDSGDIVDGAAPSQGDRIWLYSVIRQDDTSRSGDLTISNNPLVLVHRGGARARPPSNNEKKVAVTLTSTSSLGQQDKVTLRFHYTGVQLGTVTAIAKDINEMEVASPGRVHEWHYFVIGHDDSSSSGDLTASEVPFILEKDPGAVAVPPRNNEPQVIVTVVATSQQRSSDTVKLQFTYDGEQMASLHLYPTQVGQMVVHKGESGPGLSWEYLVIQHDDSSQNGNLTISNQPLTITHNPGANAVPPYDVANNVILAATTSNTAGDSDTVTLRFSYNGPDLNSLRLVPSDVSTKEEIFPGNIEEWHYSVIETDDTSQSGDILASTLPLTLEQLQPSVVAVPPLNVRRAPKVTVNVFGEGFANESSVTVKFVYLGVSLQSLNLTQKHGQKIEGQYDTPHSILNWEYNYTTEVPPAPAVFAKLEVENDPLTIILNDGASVTLEKVTRPATTPSIPLESPTSESPAASTPPTPISHETSGPTVTLVPSKEVTVSSLELSAPPLSHSPMLVEKGSSNSSQEQLQSAKEKELVEGSSPTTPLPLLVSREPLKPAPTSASENLYHLEPDQQTEAPVAPSDGVSSNFAGESGPLLGWDTYEASGRPEQKGSPQLLDKELERYDDSYESMEELGWDKGLFTLDKRIDEPSDGWIGSPSGRNLMMDGYDLLQAPRQVPRLVFTLYSRIPGMKKPECNEKHPCSVLYCRGEFWWALDCSSLDCCAATCDVVPFTHSVRMIRTTELNPSIVANSYTRGESCQKRACGAITVLLLLSSLVGTPPAM
+>sp|Q6NV74|K121L_HUMAN Uncharacterized protein KIAA1211-like OS=Homo sapiens OX=9606 GN=KIAA1211L PE=1 SV=3
+MISTRVMDIKLREAAEGLGEDSTGKKKSKFKTFKKFFGKKKRKESPSSTGSSTWKQSQTRNEVIAIESGPVGYDSEDELEESRGTLGSRALSHDSIFIPESGQDATRPVRVFSQENVCDRIKALQLKIQCNVKMGPPPPPGGLPAKRGEDAGMSSEDDGLPRSPPEMSLLHDVGPGTTIKVSVVSPDHVSDSTVSARISDNSLAPVADFSYPAESSSCLDNSAAKHKLQVKPRNQRSSKMRRLSSRAQSESLSDLTCTPEEEENEEKPLLEVSPEERPSSGQQDVAPDRGPEPGPPAPLPPPGGARARRARLQHSSALTASVEEGGVPGEDPSSRPATPELAEPESAPTLRVEPPSPPEGPPNPGPDGGKQDGEAPPAGPCAPATDKAEEVVCAPEDVASPFPTAIPEGDTTPPETDPAATSEAPSARDGPERSVPKEAEPTPPVLPDEEKGPPGPAPEPEREAETEPERGAGTEPERIGTEPSTAPAPSPPAPKSCLKHRPAAASEGPAASPPLAAAESPPVEPGPGSLDAEAAAPERPKAERAEAPPAGAERAAPERKAERGGAELRGAKKFSVSSCRARPRPGVSRPLERASGRLPLARSGPVWRSEAALDDLQGLPEPQHAKPGPRKLAERGPQDSGDRAASPAGPRKSPQEAAAAPGTREPCPAAQEPAPSEDRNPFPVKLRSTSLSLKYRDGASQEVKGVKRYSAEVRLERSLTVLPKEEKCPLGTAPALRGTRAPSDQGKGKARPPEPLSSKPPLPRKPLLQSFTLPHQPAPPDAGPGEREPRKEPRTAEKRPLRRGAEKSLPPAATGPGADGQPAPPWITVTRQKRRGTLDQPPNQEDKPGARTLKSEPGKQAKVPERGQEPVKQADFVRSKSFLITPVKPAVDRKQGAKLNFKEGLQRGISLSHQNLAQSAVMMEKELHQLKRASYASTDQPSWMELARKKSQAWSDMPQIIK
+>DECOY_sp|Q6NV74|K121L_HUMAN Uncharacterized protein KIAA1211-like OS=Homo sapiens OX=9606 GN=KIAA1211L PE=1 SV=3
+KIIQPMDSWAQSKKRALEMWSPQDTSAYSARKLQHLEKEMMVASQALNQHSLSIGRQLGEKFNLKAGQKRDVAPKVPTILFSKSRVFDAQKVPEQGREPVKAQKGPESKLTRAGPKDEQNPPQDLTGRRKQRTVTIWPPAPQGDAGPGTAAPPLSKEAGRRLPRKEATRPEKRPEREGPGADPPAPQHPLTFSQLLPKRPLPPKSSLPEPPRAKGKGQDSPARTGRLAPATGLPCKEEKPLVTLSRELRVEASYRKVGKVEQSAGDRYKLSLSTSRLKVPFPNRDESPAPEQAAPCPERTGPAAAAEQPSKRPGAPSAARDGSDQPGREALKRPGPKAHQPEPLGQLDDLAAESRWVPGSRALPLRGSARELPRSVGPRPRARCSSVSFKKAGRLEAGGREAKREPAAREAGAPPAEAREAKPREPAAAEADLSGPGPEVPPSEAAALPPSAAPGESAAAPRHKLCSKPAPPSPAPATSPETGIREPETGAGREPETEAEREPEPAPGPPGKEEDPLVPPTPEAEKPVSREPGDRASPAESTAAPDTEPPTTDGEPIATPFPSAVDEPACVVEEAKDTAPACPGAPPAEGDQKGGDPGPNPPGEPPSPPEVRLTPASEPEALEPTAPRSSPDEGPVGGEEVSATLASSHQLRARRARAGGPPPLPAPPGPEPGRDPAVDQQGSSPREEPSVELLPKEENEEEEPTCTLDSLSESQARSSLRRMKSSRQNRPKVQLKHKAASNDLCSSSEAPYSFDAVPALSNDSIRASVTSDSVHDPSVVSVKITTGPGVDHLLSMEPPSRPLGDDESSMGADEGRKAPLGGPPPPPGMKVNCQIKLQLAKIRDCVNEQSFVRVPRTADQGSEPIFISDHSLARSGLTGRSEELEDESDYGVPGSEIAIVENRTQSQKWTSSGTSSPSEKRKKKGFFKKFTKFKSKKKGTSDEGLGEAAERLKIDMVRTSIM
+>sp|Q9P260|K1468_HUMAN LisH domain and HEAT repeat-containing protein KIAA1468 OS=Homo sapiens OX=9606 GN=KIAA1468 PE=1 SV=2
+MAAMAPGGSGSGGGVNPFLSDSDEDDDEVAATEERRAVLRLGAGSGLDPGSAGSLSPQDPVALGSSARPGLPGEASAAAVALGGTGETPARLSIDAIAAQLLRDQYLLTALELHTELLESGRELPRLRDYFSNPGNFERQSGTPPGMGAPGVPGAAGVGGAGGREPSTASGGGQLNRAGSISTLDSLDFARYSDDGNRETDEKVAVLEFELRKAKETIQALRANLTKAAEHEVPLQERKNYKSSPEIQEPIKPLEKRALNFLVNEFLLKNNYKLTSITFSDENDDQDFELWDDVGLNIPKPPDLLQLYRDFGNHQVTGKDLVDVASGVEEDELEALTPIISNLPPTLETPQPAENSMLVQKLEDKISLLNSEKWSLMEQIRRLKSEMDFLKNEHFAIPAVCDSVQPPLDQLPHKDSEDSGQHPDVNSSDKGKNTDIHLSISDEADSTIPKENSPNSFPRREREGMPPSSLSSKKTVHFDKPNRKLSPAFHQALLSFCRMSADSRLGYEVSRIADSEKSVMLMLGRCLPHIVPNVLLAKREELIPLILCTACLHPEPKERDQLLHILFNLIKRPDDEQRQMILTGCVAFARHVGPTRVEAELLPQCWEQINHKYPERRLLVAESCGALAPYLPKEIRSSLVLSMLQQMLMEDKADLVREAVIKSLGIIMGYIDDPDKYHQGFELLLSALGDPSERVVSATHQVFLPAYAAWTTELGNLQSHLILTLLNKIEKLLREGEHGLDEHKLHMYLSALQSLIPSLFALVLQNAPFSSKAKLHGEVPQIEVTRFPRPMSPLQDVSTIIGSREQLAVLLQLYDYQLEQEGTTGWESLLWVVNQLLPQLIEIVGKINVTSTACVHEFSRFFWRLCRTFGKIFTNTKVKPQFQEILRLSEENIDSSAGNGVLTKATVPIYATGVLTCYIQEEDRKLLVGFLEDVMTLLSLSHAPLDSLKASFVELGANPAYHELLLTVLWYGVVHTSALVRCTAARMFELTLRGMSEALVDKRVAPALVTLSSDPEFSVRIATIPAFGTIMETVIQRELLERVKMQLASFLEDPQYQDQHSLHTEIIKTFGRVGPNAEPRFRDEFVIPHLHKLALVNNLQIVDSKRLDIATHLFEAYSALSCCFISEDLMVNHFLPGLRCLRTDMEHLSPEHEVILSSMIKECEQKVENKTVQEPQGSMSIAASLVSEDTKTKFLNKMGQLTTSGAMLANVFQRKK
+>DECOY_sp|Q9P260|K1468_HUMAN LisH domain and HEAT repeat-containing protein KIAA1468 OS=Homo sapiens OX=9606 GN=KIAA1468 PE=1 SV=2
+KKRQFVNALMAGSTTLQGMKNLFKTKTDESVLSAAISMSGQPEQVTKNEVKQECEKIMSSLIVEHEPSLHEMDTRLCRLGPLFHNVMLDESIFCCSLASYAEFLHTAIDLRKSDVIQLNNVLALKHLHPIVFEDRFRPEANPGVRGFTKIIETHLSHQDQYQPDELFSALQMKVRELLERQIVTEMITGFAPITAIRVSFEPDSSLTVLAPAVRKDVLAESMGRLTLEFMRAATCRVLASTHVVGYWLVTLLLEHYAPNAGLEVFSAKLSDLPAHSLSLLTMVDELFGVLLKRDEEQIYCTLVGTAYIPVTAKTLVGNGASSDINEESLRLIEQFQPKVKTNTFIKGFTRCLRWFFRSFEHVCATSTVNIKGVIEILQPLLQNVVWLLSEWGTTGEQELQYDYLQLLVALQERSGIITSVDQLPSMPRPFRTVEIQPVEGHLKAKSSFPANQLVLAFLSPILSQLASLYMHLKHEDLGHEGERLLKEIKNLLTLILHSQLNGLETTWAAYAPLFVQHTASVVRESPDGLASLLLEFGQHYKDPDDIYGMIIGLSKIVAERVLDAKDEMLMQQLMSLVLSSRIEKPLYPALAGCSEAVLLRREPYKHNIQEWCQPLLEAEVRTPGVHRAFAVCGTLIMQRQEDDPRKILNFLIHLLQDREKPEPHLCATCLILPILEERKALLVNPVIHPLCRGLMLMVSKESDAIRSVEYGLRSDASMRCFSLLAQHFAPSLKRNPKDFHVTKKSSLSSPPMGERERRPFSNPSNEKPITSDAEDSISLHIDTNKGKDSSNVDPHQGSDESDKHPLQDLPPQVSDCVAPIAFHENKLFDMESKLRRIQEMLSWKESNLLSIKDELKQVLMSNEAPQPTELTPPLNSIIPTLAELEDEEVGSAVDVLDKGTVQHNGFDRYLQLLDPPKPINLGVDDWLEFDQDDNEDSFTISTLKYNNKLLFENVLFNLARKELPKIPEQIEPSSKYNKREQLPVEHEAAKTLNARLAQITEKAKRLEFELVAVKEDTERNGDDSYRAFDLSDLTSISGARNLQGGGSATSPERGGAGGVGAAGPVGPAGMGPPTGSQREFNGPNSFYDRLRPLERGSELLETHLELATLLYQDRLLQAAIADISLRAPTEGTGGLAVAAASAEGPLGPRASSGLAVPDQPSLSGASGPDLGSGAGLRLVARREETAAVEDDDEDSDSLFPNVGGGSGSGGPAMAAM
+>sp|Q6ZVL6|K154L_HUMAN UPF0606 protein KIAA1549L OS=Homo sapiens OX=9606 GN=KIAA1549L PE=2 SV=2
+MDHTASQNAQDLIGIPHLGVSGSSTKWHSELSPTEGPHSAGSSTPGFLSPMAELSHPSPPPPALGSLLQLPDGSPSWSMLEVASGPASTQQIKAGVPGRVHNGVSLPTFKNTETATHEAEPPLFQTAESGAIEMTSRKLASATANDSANPLHLSAAPENSRGPALSAEHTSSLVPSLHITTLGQEQAILSGAVPASPSTGTADFPSILTFLQPTENHASPSPVPEMPTLPAEGSDGSPPATRDLLLSSKVPNLLSTSWTFPRWKKDSVTAILGKNEEANVTIPLQAFPRKEVLSLHTVNGFVSDFSTGSVSSPIITAPRTNPLPSGPPLPSILSIQATQTVFPSLGFSSTKPEAYAAAVDHSGLPASASKQVRASPSSMDVYDSLTIGDMKKPATTDVFWSSLSAETGSLSTESIISGLQQQTNYDLNGHTISTTSWETHLAPTAPPNGLTSAADAIKSQDFKDTAGHSVTAEGFSIQDLVLGTSIEQPVQQSDMTMVGSHIDLWPTSNNNHSRDFQTAEVAYYSPTTRHSVSHPQLQLPNQPAHPLLLTSPGPTSTGSLQEMLSDGTDTGSEISSDINSSPERNASTPFQNILGYHSAAESSISTSVFPRTSSRVLRASQHPKKWTADTVSSKVQPTAAAAVTLFLRKSSPPALSAALVAKGTSSSPLAVASGPAKSSSMTTLAKNVTNKAASGPKRTPGAVHTAFPFTPTYMYARTGHTTSTHTAMQGNMDTASGLLSTTYLPRKPQAMHTGLPNPTNLEMPRASTPRPLTVTAALTSITASVKATRLPPLRAENTDAVLPAASAAVVTTGKMASNLECQMSSKLLVKTVLFLTQRRVQISESLKFSIAKGLTQALRKAFHQNDVSAHVDILEYSHNVTVGYYATKGKLVYLPAVVIEMLGVYGVSNVTADLKQHTPHLQSVAVLASPWNPQPAGYFQLKTVLQFVSQADNIQSCKFAQTMEQRLQKAFQDAERKVLNTKSNLTIQIVSTSNASQAVTLVYVVGNQSTFLNGTVASSLLSQLSAELVGFYLTYPPLTIAEPLEYPNLDISETTRDYWVITVLQGVDNSLVGLHNQSFARVMEQRLAQLFMMSQQQGRRFKRATTLGSYTVQMVKMQRVPGPKDPAELTYYTLYNGKPLLGTAAAKILSTIDSQRMALTLHHVVLLQADPVVKNPPNNLWIIAAVLAPIAVVTVIIIIITAVLCRKNKNDFKPDTMINLPQRAKPVQGFDYAKQHLGQQGADEEVIPVTQETVVLPLPIRDAPQERDVAQDGSTIKTAKSTETRKSRSPSENGSVISNESGKPSSGRRSPQNVMAQQKVTKEEARKRNVPASDEEEGAVLFDNSSKVAAEPFDTSSGSVQLIAIKPTALPMVPPTSDRSQESSAVLNGEVNKALKQKSDIEHYRNKLRLKAKRKGYYDFPAVETSKGLTERKKMYEKAPKEMEHVLDPDSELCAPFTESKNRQQMKNSVYRSRQSLNSPSPGETEMDLLVTRERPRRGIRNSGYDTEPEIIEETNIDRVPEPRGYSRSRQVKGHSETSTLSSQPSIDEVRQQMHMLLEEAFSLASAGHAGQSRHQEAYGSAQHLPYSEVVTSAPGTMTRPRAGVQWVPTYRPEMYQYSLPRPAYRFSQLPEMVMGSPPPPVPPRTGPVAVASLRRSTSDIGSKTRMAESTGPEPAQLHDSASFTQMSRGPVSVTQLDQSALNYSGNTVPAVFAIPAANRPGFTGYFIPTPPSSYRNQAWMSYAGENELPSQWADSVPLPGYIEAYPRSRYPQSSPSRLPRQYSQPANLHPSLEQAPAPSTAASQQSLAENDPSDAPLTNISTAALVKAIREEVAKLAKKQTDMFEFQV
+>DECOY_sp|Q6ZVL6|K154L_HUMAN UPF0606 protein KIAA1549L OS=Homo sapiens OX=9606 GN=KIAA1549L PE=2 SV=2
+VQFEFMDTQKKALKAVEERIAKVLAATSINTLPADSPDNEALSQQSAATSPAPAQELSPHLNAPQSYQRPLRSPSSQPYRSRPYAEIYGPLPVSDAWQSPLENEGAYSMWAQNRYSSPPTPIFYGTFGPRNAAPIAFVAPVTNGSYNLASQDLQTVSVPGRSMQTFSASDHLQAPEPGTSEAMRTKSGIDSTSRRLSAVAVPGTRPPVPPPPSGMVMEPLQSFRYAPRPLSYQYMEPRYTPVWQVGARPRTMTGPASTVVESYPLHQASGYAEQHRSQGAHGASALSFAEELLMHMQQRVEDISPQSSLTSTESHGKVQRSRSYGRPEPVRDINTEEIIEPETDYGSNRIGRRPRERTVLLDMETEGPSPSNLSQRSRYVSNKMQQRNKSETFPACLESDPDLVHEMEKPAKEYMKKRETLGKSTEVAPFDYYGKRKAKLRLKNRYHEIDSKQKLAKNVEGNLVASSEQSRDSTPPVMPLATPKIAILQVSGSSTDFPEAAVKSSNDFLVAGEEEDSAPVNRKRAEEKTVKQQAMVNQPSRRGSSPKGSENSIVSGNESPSRSKRTETSKATKITSGDQAVDREQPADRIPLPLVVTEQTVPIVEEDAGQQGLHQKAYDFGQVPKARQPLNIMTDPKFDNKNKRCLVATIIIIIVTVVAIPALVAAIIWLNNPPNKVVPDAQLLVVHHLTLAMRQSDITSLIKAAATGLLPKGNYLTYYTLEAPDKPGPVRQMKVMQVTYSGLTTARKFRRGQQQSMMFLQALRQEMVRAFSQNHLGVLSNDVGQLVTIVWYDRTTESIDLNPYELPEAITLPPYTLYFGVLEASLQSLLSSAVTGNLFTSQNGVVYVLTVAQSANSTSVIQITLNSKTNLVKREADQFAKQLRQEMTQAFKCSQINDAQSVFQLVTKLQFYGAPQPNWPSALVAVSQLHPTHQKLDATVNSVGYVGLMEIVVAPLYVLKGKTAYYGVTVNHSYELIDVHASVDNQHFAKRLAQTLGKAISFKLSESIQVRRQTLFLVTKVLLKSSMQCELNSAMKGTTVVAASAAPLVADTNEARLPPLRTAKVSATISTLAATVTLPRPTSARPMELNTPNPLGTHMAQPKRPLYTTSLLGSATDMNGQMATHTSTTHGTRAYMYTPTFPFATHVAGPTRKPGSAAKNTVNKALTTMSSSKAPGSAVALPSSSTGKAVLAASLAPPSSKRLFLTVAAAATPQVKSSVTDATWKKPHQSARLVRSSTRPFVSTSISSEAASHYGLINQFPTSANREPSSNIDSSIESGTDTGDSLMEQLSGTSTPGPSTLLLPHAPQNPLQLQPHSVSHRTTPSYYAVEATQFDRSHNNNSTPWLDIHSGVMTMDSQQVPQEISTGLVLDQISFGEATVSHGATDKFDQSKIADAASTLGNPPATPALHTEWSTTSITHGNLDYNTQQQLGSIISETSLSGTEASLSSWFVDTTAPKKMDGITLSDYVDMSSPSARVQKSASAPLGSHDVAAAYAEPKTSSFGLSPFVTQTAQISLISPLPPGSPLPNTRPATIIPSSVSGTSFDSVFGNVTHLSLVEKRPFAQLPITVNAEENKGLIATVSDKKWRPFTWSTSLLNPVKSSLLLDRTAPPSGDSGEAPLTPMEPVPSPSAHNETPQLFTLISPFDATGTSPSAPVAGSLIAQEQGLTTIHLSPVLSSTHEASLAPGRSNEPAASLHLPNASDNATASALKRSTMEIAGSEATQFLPPEAEHTATETNKFTPLSVGNHVRGPVGAKIQQTSAPGSAVELMSWSPSGDPLQLLSGLAPPPPSPHSLEAMPSLFGPTSSGASHPGETPSLESHWKTSSGSVGLHPIGILDQANQSATHDM
+>sp|Q5JYT7|K1755_HUMAN Uncharacterized protein KIAA1755 OS=Homo sapiens OX=9606 GN=KIAA1755 PE=2 SV=2
+MDPPSLDTAIQHALAGLYPPFEATAPTVLGQVFRLLDSGFQGDGLSFLLDFLIPAKRLCEQVREAACAPYSHCLFLHEGWPLCLRDEVVVHLAPLNPLLLRQGDFYLQVEPQEEQSVCIMIKCLSLDLCTVDKKPVPEPAYPILFTQEWLEAINSDFEGNPLHNCLVASENGIAPVPWTKITSPEFVDDRPQVVNALCQAWGPLPLEALDLSSPQELHQASSPDNQVLPAQSLAKGKGRTYGSKYPGLIKVEQARCGEVAFRMDEVVSQDFEGDYVALLGFSQESRGESPSREAGTSSGCTSGALEEIAGTKETPLFQKILPLSEANEGPSLGNRACTKPESSEERPYNLGFRRKVNLKAPTHNSERPPQGSYMNVLEDALDCASGLRAGVSQEPAASKMQGPLGNPENMVQLRPGPRQASSPRLSPASPAAAASETKIEVKTKERNGRLPKPMPCPSRNTSSPEPPTPGLKFSFLRGQRQPSVTPEKASLQHNGPWKVLCSLYSPKPNRAKSLGKAGTTQTKTSGPATAPSPLTEEKAALPEASAGSPERGPTLEEEPPGPEPRIGALGVKVFRSRIACLPGGRDRAGRPLLLVSTTEGAWEAPWCTVSEVTKLLSYLCTIPRPEDKAKGLAVLIDARRQPPQPGLVSALQATQAQVPASIRAILFLGEKEAALQLQTLPDVQVEVLTSLKALSHHVDPSQLPAVLEGPFPYCHTEWVHFFQKLDPFLADLHQASSLLQASIEEFEKADPPGGMQEATRCLSKSKELMEAVLRDPGLLGLQREGGATLARLQHDASRLDFSPDVRSHLAAATALYSLVDEQLHVLVTASNSLLGKLELRVRLGRLEAAIHQVSDWMEQEGRRCLQSLTPKDGSLETVEKAHAEFENFFLQAAAQYRRGLELSKQAAQLGATARGAGEAERAEFPELAAFASTQRAFQAELTHFYMAAERQRTDLETLLHLHRFCKRMTWFHMDCQDLMAQLRLDKTSRVSPGDQRRLHRYLQRLASEFPAEKLAAVGLQVASLSRAGLGQELWEEARIRHEEIRMLLEKALTHSSCPEAPAAHSARPERRGVAAKGQGVSVEVTSKGRWDQPPLDSLGMDHLPKSYWPPGPPRGEQNRTFQAGSPPQEAGQAAEAEDGKGSHKLPDPAREHLLATTFFRQQPPRQSQVPRLTGGSFSSEGTDSQTSLEDSPQTSPLASL
+>DECOY_sp|Q5JYT7|K1755_HUMAN Uncharacterized protein KIAA1755 OS=Homo sapiens OX=9606 GN=KIAA1755 PE=2 SV=2
+LSALPSTQPSDELSTQSDTGESSFSGGTLRPVQSQRPPQQRFFTTALLHERAPDPLKHSGKGDEAEAAQGAEQPPSGAQFTRNQEGRPPGPPWYSKPLHDMGLSDLPPQDWRGKSTVEVSVGQGKAAVGRREPRASHAAPAEPCSSHTLAKELLMRIEEHRIRAEEWLEQGLGARSLSAVQLGVAALKEAPFESALRQLYRHLRRQDGPSVRSTKDLRLQAMLDQCDMHFWTMRKCFRHLHLLTELDTRQREAAMYFHTLEAQFARQTSAFAALEPFEAREAEGAGRATAGLQAAQKSLELGRRYQAAAQLFFNEFEAHAKEVTELSGDKPTLSQLCRRGEQEMWDSVQHIAAELRGLRVRLELKGLLSNSATVLVHLQEDVLSYLATAAALHSRVDPSFDLRSADHQLRALTAGGERQLGLLGPDRLVAEMLEKSKSLCRTAEQMGGPPDAKEFEEISAQLLSSAQHLDALFPDLKQFFHVWETHCYPFPGELVAPLQSPDVHHSLAKLSTLVEVQVDPLTQLQLAAEKEGLFLIARISAPVQAQTAQLASVLGPQPPQRRADILVALGKAKDEPRPITCLYSLLKTVESVTCWPAEWAGETTSVLLLPRGARDRGGPLCAIRSRFVKVGLAGIRPEPGPPEEELTPGREPSGASAEPLAAKEETLPSPATAPGSTKTQTTGAKGLSKARNPKPSYLSCLVKWPGNHQLSAKEPTVSPQRQGRLFSFKLGPTPPEPSSTNRSPCPMPKPLRGNREKTKVEIKTESAAAAPSAPSLRPSSAQRPGPRLQVMNEPNGLPGQMKSAAPEQSVGARLGSACDLADELVNMYSGQPPRESNHTPAKLNVKRRFGLNYPREESSEPKTCARNGLSPGENAESLPLIKQFLPTEKTGAIEELAGSTCGSSTGAERSPSEGRSEQSFGLLAVYDGEFDQSVVEDMRFAVEGCRAQEVKILGPYKSGYTRGKGKALSQAPLVQNDPSSAQHLEQPSSLDLAELPLPGWAQCLANVVQPRDDVFEPSTIKTWPVPAIGNESAVLCNHLPNGEFDSNIAELWEQTFLIPYAPEPVPKKDVTCLDLSLCKIMICVSQEEQPEVQLYFDGQRLLLPNLPALHVVVEDRLCLPWGEHLFLCHSYPACAAERVQECLRKAPILFDLLFSLGDGQFGSDLLRFVQGLVTPATAEFPPYLGALAHQIATDLSPPDM
+>sp|Q6NSI8|K1841_HUMAN Uncharacterized protein KIAA1841 OS=Homo sapiens OX=9606 GN=KIAA1841 PE=2 SV=2
+MSRGYSENNNFLNNNNQMVLDMILYPLIGIPQTINWETIARLVPGLTPKECAKRFDELKSSGSSPVDNQYNSLMAAGESPVETLATYIKSSLLDIHGEFQETPVGHDAVSKTGRHSIASTRNCSSESENCTTHNGGEMTEESEGPNMVIHVCDEAKNLKEDFTCPRDLLISEMKYFAEYLSMDAQRWEEVDISVHCDVHIFNWLIKYIKRNTKENKDCEMPTLEPGNVISILISSEFLKMDSLVEQCIQYCHKNMNAIVATPCNMNCINANLLTRIADLFSHNEVDDLKDKKDKFKSKLFCKKIERLFDPEYLNPDSRSNAATLYRCCLCKKLLTKETERRIPCIPGKINVDRRGNIVYIHIRDKTWDVHEYLNSLFEELKSWRDVYWRLWGTINWLTCSRCYQAFLCIEFSHCQYHSETVVYPTAASSLNTVGTGIYPCCNQKVLRFDPTQLTKGCKVRDHMVTLRDQGEGGDLPSCPTARMLDDLHKYRDVIVVPFSKDTVSDVGVGLCDEKGIECDVLLEPNTPWGPKTGELNAFLSLKNWTLQLKQQSLFSEEEEYTTGSEVTEDEVGDEEEVSKKQRKKEKPKKFTRQPKKQVSSPCAQRKEKALEKSASRDVSPFVMSMQKNKWDATRSLRFNQDAQREDDQRRMTEITGHLIKMRLGDLDRVKSKEAKEFAGGIYSRLEAQIKASVPVSARQSSSEKNTRSKSRFGQGRPA
+>DECOY_sp|Q6NSI8|K1841_HUMAN Uncharacterized protein KIAA1841 OS=Homo sapiens OX=9606 GN=KIAA1841 PE=2 SV=2
+APRGQGFRSKSRTNKESSSQRASVPVSAKIQAELRSYIGGAFEKAEKSKVRDLDGLRMKILHGTIETMRRQDDERQADQNFRLSRTADWKNKQMSMVFPSVDRSASKELAKEKRQACPSSVQKKPQRTFKKPKEKKRQKKSVEEEDGVEDETVESGTTYEEEESFLSQQKLQLTWNKLSLFANLEGTKPGWPTNPELLVDCEIGKEDCLGVGVDSVTDKSFPVVIVDRYKHLDDLMRATPCSPLDGGEGQDRLTVMHDRVKCGKTLQTPDFRLVKQNCCPYIGTGVTNLSSAATPYVVTESHYQCHSFEICLFAQYCRSCTLWNITGWLRWYVDRWSKLEEFLSNLYEHVDWTKDRIHIYVINGRRDVNIKGPICPIRRETEKTLLKKCLCCRYLTAANSRSDPNLYEPDFLREIKKCFLKSKFKDKKDKLDDVENHSFLDAIRTLLNANICNMNCPTAVIANMNKHCYQICQEVLSDMKLFESSILISIVNGPELTPMECDKNEKTNRKIYKILWNFIHVDCHVSIDVEEWRQADMSLYEAFYKMESILLDRPCTFDEKLNKAEDCVHIVMNPGESEETMEGGNHTTCNESESSCNRTSAISHRGTKSVADHGVPTEQFEGHIDLLSSKIYTALTEVPSEGAAMLSNYQNDVPSSGSSKLEDFRKACEKPTLGPVLRAITEWNITQPIGILPYLIMDLVMQNNNNLFNNNESYGRSM
+>sp|P08779|K1C16_HUMAN Keratin, type I cytoskeletal 16 OS=Homo sapiens OX=9606 GN=KRT16 PE=1 SV=4
+MTTCSRQFTSSSSMKGSCGIGGGIGGGSSRISSVLAGGSCRAPSTYGGGLSVSSRFSSGGACGLGGGYGGGFSSSSSFGSGFGGGYGGGLGAGFGGGLGAGFGGGFAGGDGLLVGSEKVTMQNLNDRLASYLDKVRALEEANADLEVKIRDWYQRQRPSEIKDYSPYFKTIEDLRNKIIAATIENAQPILQIDNARLAADDFRTKYEHELALRQTVEADVNGLRRVLDELTLARTDLEMQIEGLKEELAYLRKNHEEEMLALRGQTGGDVNVEMDAAPGVDLSRILNEMRDQYEQMAEKNRRDAETWFLSKTEELNKEVASNSELVQSSRSEVTELRRVLQGLEIELQSQLSMKASLENSLEETKGRYCMQLSQIQGLIGSVEEQLAQLRCEMEQQSQEYQILLDVKTRLEQEIATYRRLLEGEDAHLSSQQASGQSYSSREVFTSSSSSSSRQTRPILKEQSSSSFSQGQSS
+>DECOY_sp|P08779|K1C16_HUMAN Keratin, type I cytoskeletal 16 OS=Homo sapiens OX=9606 GN=KRT16 PE=1 SV=4
+SSQGQSFSSSSQEKLIPRTQRSSSSSSSTFVERSSYSQGSAQQSSLHADEGELLRRYTAIEQELRTKVDLLIQYEQSQQEMECRLQALQEEVSGILGQIQSLQMCYRGKTEELSNELSAKMSLQSQLEIELGQLVRRLETVESRSSQVLESNSAVEKNLEETKSLFWTEADRRNKEAMQEYQDRMENLIRSLDVGPAADMEVNVDGGTQGRLALMEEEHNKRLYALEEKLGEIQMELDTRALTLEDLVRRLGNVDAEVTQRLALEHEYKTRFDDAALRANDIQLIPQANEITAAIIKNRLDEITKFYPSYDKIESPRQRQYWDRIKVELDANAEELARVKDLYSALRDNLNQMTVKESGVLLGDGGAFGGGFGAGLGGGFGAGLGGGYGGGFGSGFSSSSSFGGGYGGGLGCAGGSSFRSSVSLGGGYTSPARCSGGALVSSIRSSGGGIGGGIGCSGKMSSSSTFQRSCTTM
+>sp|P08727|K1C19_HUMAN Keratin, type I cytoskeletal 19 OS=Homo sapiens OX=9606 GN=KRT19 PE=1 SV=4
+MTSYSYRQSSATSSFGGLGGGSVRFGPGVAFRAPSIHGGSGGRGVSVSSARFVSSSSSGAYGGGYGGVLTASDGLLAGNEKLTMQNLNDRLASYLDKVRALEAANGELEVKIRDWYQKQGPGPSRDYSHYYTTIQDLRDKILGATIENSRIVLQIDNARLAADDFRTKFETEQALRMSVEADINGLRRVLDELTLARTDLEMQIEGLKEELAYLKKNHEEEISTLRGQVGGQVSVEVDSAPGTDLAKILSDMRSQYEVMAEQNRKDAEAWFTSRTEELNREVAGHTEQLQMSRSEVTDLRRTLQGLEIELQSQLSMKAALEDTLAETEARFGAQLAHIQALISGIEAQLGDVRADSERQNQEYQRLMDIKSRLEQEIATYRSLLEGQEDHYNNLSASKVL
+>DECOY_sp|P08727|K1C19_HUMAN Keratin, type I cytoskeletal 19 OS=Homo sapiens OX=9606 GN=KRT19 PE=1 SV=4
+LVKSASLNNYHDEQGELLSRYTAIEQELRSKIDMLRQYEQNQRESDARVDGLQAEIGSILAQIHALQAGFRAETEALTDELAAKMSLQSQLEIELGQLTRRLDTVESRSMQLQETHGAVERNLEETRSTFWAEADKRNQEAMVEYQSRMDSLIKALDTGPASDVEVSVQGGVQGRLTSIEEEHNKKLYALEEKLGEIQMELDTRALTLEDLVRRLGNIDAEVSMRLAQETEFKTRFDDAALRANDIQLVIRSNEITAGLIKDRLDQITTYYHSYDRSPGPGQKQYWDRIKVELEGNAAELARVKDLYSALRDNLNQMTLKENGALLGDSATLVGGYGGGYAGSSSSSVFRASSVSVGRGGSGGHISPARFAVGPGFRVSGGGLGGFSSTASSQRYSYSTM
+>sp|Q9C075|K1C23_HUMAN Keratin, type I cytoskeletal 23 OS=Homo sapiens OX=9606 GN=KRT23 PE=1 SV=2
+MNSGHSFSQTPSASFHGAGGGWGRPRSFPRAPTVHGGAGGARISLSFTTRSCPPPGGSWGSGRSSPLLGGNGKATMQNLNDRLASYLEKVRALEEANMKLESRILKWHQQRDPGSKKDYSQYEENITHLQEQIVDGKMTNAQIILLIDNARMAVDDFNLKYENEHSFKKDLEIEVEGLRRTLDNLTIVTTDLEQEVEGMRKELILMKKHHEQEMEKHHVPSDFNVNVKVDTGPREDLIKVLEDMRQEYELIIKKKHRDLDTWYKEQSAAMSQEAASPATVQSRQGDIHELKRTFQALEIDLQTQYSTKSALENMLSETQSRYSCKLQDMQEIISHYEEELTQLRHELERQNNEYQVLLGIKTHLEKEITTYRRLLEGESEGTREESKSSMKVSATPKIKAITQETINGRLVLCQVNEIQKHA
+>DECOY_sp|Q9C075|K1C23_HUMAN Keratin, type I cytoskeletal 23 OS=Homo sapiens OX=9606 GN=KRT23 PE=1 SV=2
+AHKQIENVQCLVLRGNITEQTIAKIKPTASVKMSSKSEERTGESEGELLRRYTTIEKELHTKIGLLVQYENNQRELEHRLQTLEEEYHSIIEQMDQLKCSYRSQTESLMNELASKTSYQTQLDIELAQFTRKLEHIDGQRSQVTAPSAAEQSMAASQEKYWTDLDRHKKKIILEYEQRMDELVKILDERPGTDVKVNVNFDSPVHHKEMEQEHHKKMLILEKRMGEVEQELDTTVITLNDLTRRLGEVEIELDKKFSHENEYKLNFDDVAMRANDILLIIQANTMKGDVIQEQLHTINEEYQSYDKKSGPDRQQHWKLIRSELKMNAEELARVKELYSALRDNLNQMTAKGNGGLLPSSRGSGWSGGPPPCSRTTFSLSIRAGGAGGHVTPARPFSRPRGWGGGAGHFSASPTQSFSHGSNM
+>sp|Q7Z3Z0|K1C25_HUMAN Keratin, type I cytoskeletal 25 OS=Homo sapiens OX=9606 GN=KRT25 PE=1 SV=1
+MSLRLSSASRRSCPRPTTGSLRLYGGGTSFGTGNSCGISGIGSGFSSAFGGSSSGGNTGGGNPCAGFTVNERGLLSGNEKVTMQNLNDRLASYLDSVHALEEANADLEQKIKGWYEKFGPGSCRGLDHDYSRYFPIIDDLKNQIIASTTSNANAVLQIDNARLTADDFRLKYENELALHQSVEADVNGLRRVLDEITLCRTDLEIQYETLSEEMTYLKKNHKEEMQVLQCAAGGNVNVEMNAAPGVDLTVLLNNMRAEYEALAEQNRRDAEAWFNEKSASLQQQISEDVGATTSARNELTEMKRTLQTLEIELQSLLATKHSLECSLTETESNYCAQLAQIQAQIGALEEQLHQVRTETEGQKLEYEQLLDIKLHLEKEIETYCLLIGGDDGACKSGGYKSKDYGSGNVGSQVKDPAKAIVVKKVLEEVDQRSKILTTRLHSLEEKSQSN
+>DECOY_sp|Q7Z3Z0|K1C25_HUMAN Keratin, type I cytoskeletal 25 OS=Homo sapiens OX=9606 GN=KRT25 PE=1 SV=1
+NSQSKEELSHLRTTLIKSRQDVEELVKKVVIAKAPDKVQSGVNGSGYDKSKYGGSKCAGDDGGILLCYTEIEKELHLKIDLLQEYELKQGETETRVQHLQEELAGIQAQIQALQACYNSETETLSCELSHKTALLSQLEIELTQLTRKMETLENRASTTAGVDESIQQQLSASKENFWAEADRRNQEALAEYEARMNNLLVTLDVGPAANMEVNVNGGAACQLVQMEEKHNKKLYTMEESLTEYQIELDTRCLTIEDLVRRLGNVDAEVSQHLALENEYKLRFDDATLRANDIQLVANANSTTSAIIQNKLDDIIPFYRSYDHDLGRCSGPGFKEYWGKIKQELDANAEELAHVSDLYSALRDNLNQMTVKENGSLLGRENVTFGACPNGGGTNGGSSSGGFASSFGSGIGSIGCSNGTGFSTGGGYLRLSGTTPRPCSRRSASSLRLSM
+>sp|P35527|K1C9_HUMAN Keratin, type I cytoskeletal 9 OS=Homo sapiens OX=9606 GN=KRT9 PE=1 SV=3
+MSCRQFSSSYLSRSGGGGGGGLGSGGSIRSSYSRFSSSGGGGGGGRFSSSSGYGGGSSRVCGRGGGGSFGYSYGGGSGGGFSASSLGGGFGGGSRGFGGASGGGYSSSGGFGGGFGGGSGGGFGGGYGSGFGGFGGFGGGAGGGDGGILTANEKSTMQELNSRLASYLDKVQALEEANNDLENKIQDWYDKKGPAAIQKNYSPYYNTIDDLKDQIVDLTVGNNKTLLDIDNTRMTLDDFRIKFEMEQNLRQGVDADINGLRQVLDNLTMEKSDLEMQYETLQEELMALKKNHKEEMSQLTGQNSGDVNVEINVAPGKDLTKTLNDMRQEYEQLIAKNRKDIENQYETQITQIEHEVSSSGQEVQSSAKEVTQLRHGVQELEIELQSQLSKKAALEKSLEDTKNRYCGQLQMIQEQISNLEAQITDVRQEIECQNQEYSLLLSIKMRLEKEIETYHNLLEGGQEDFESSGAGKIGLGGRGGSGGSYGRGSRGGSGGSYGGGGSGGGYGGGSGSRGGSGGSYGGGSGSGGGSGGGYGGGSGGGHSGGSGGGHSGGSGGNYGGGSGSGGGSGGGYGGGSGSRGGSGGSHGGGSGFGGESGGSYGGGEEASGSGGGYGGGSGKSSHS
+>DECOY_sp|P35527|K1C9_HUMAN Keratin, type I cytoskeletal 9 OS=Homo sapiens OX=9606 GN=KRT9 PE=1 SV=3
+SHSSKGSGGGYGGGSGSAEEGGGYSGGSEGGFGSGGGHSGGSGGRSGSGGGYGGGSGGGSGSGGGYNGGSGGSHGGGSGGSHGGGSGGGYGGGSGGGSGSGGGYSGGSGGRSGSGGGYGGGSGGGGYSGGSGGRSGRGYSGGSGGRGGLGIKGAGSSEFDEQGGELLNHYTEIEKELRMKISLLLSYEQNQCEIEQRVDTIQAELNSIQEQIMQLQGCYRNKTDELSKELAAKKSLQSQLEIELEQVGHRLQTVEKASSQVEQGSSSVEHEIQTIQTEYQNEIDKRNKAILQEYEQRMDNLTKTLDKGPAVNIEVNVDGSNQGTLQSMEEKHNKKLAMLEEQLTEYQMELDSKEMTLNDLVQRLGNIDADVGQRLNQEMEFKIRFDDLTMRTNDIDLLTKNNGVTLDVIQDKLDDITNYYPSYNKQIAAPGKKDYWDQIKNELDNNAEELAQVKDLYSALRSNLEQMTSKENATLIGGDGGGAGGGFGGFGGFGSGYGGGFGGGSGGGFGGGFGGSSSYGGGSAGGFGRSGGGFGGGLSSASFGGGSGGGYSYGFSGGGGRGCVRSSGGGYGSSSSFRGGGGGGGSSSFRSYSSRISGGSGLGGGGGGGSRSLYSSSFQRCSM
+>sp|Q15323|K1H1_HUMAN Keratin, type I cuticular Ha1 OS=Homo sapiens OX=9606 GN=KRT31 PE=1 SV=3
+MPYNFCLPSLSCRTSCSSRPCVPPSCHSCTLPGACNIPANVSNCNWFCEGSFNGSEKETMQFLNDRLASYLEKVRQLERDNAELENLIRERSQQQEPLLCPSYQSYFKTIEELQQKILCTKSENARLVVQIDNAKLAADDFRTKYQTELSLRQLVESDINGLRRILDELTLCKSDLEAQVESLKEELLCLKSNHEQEVNTLRCQLGDRLNVEVDAAPTVDLNRVLNETRSQYEALVETNRREVEQWFTTQTEELNKQVVSSSEQLQSYQAEIIELRRTVNALEIELQAQHNLRDSLENTLTESEARYSSQLSQVQSLITNVESQLAEIRSDLERQNQEYQVLLDVRARLECEINTYRSLLESEDCNLPSNPCATTNACSKPIGPCLSNPCTSCVPPAPCTPCAPRPRCGPCNSFVR
+>DECOY_sp|Q15323|K1H1_HUMAN Keratin, type I cuticular Ha1 OS=Homo sapiens OX=9606 GN=KRT31 PE=1 SV=3
+RVFSNCPGCRPRPACPTCPAPPVCSTCPNSLCPGIPKSCANTTACPNSPLNCDESELLSRYTNIECELRARVDLLVQYEQNQRELDSRIEALQSEVNTILSQVQSLQSSYRAESETLTNELSDRLNHQAQLEIELANVTRRLEIIEAQYSQLQESSSVVQKNLEETQTTFWQEVERRNTEVLAEYQSRTENLVRNLDVTPAADVEVNLRDGLQCRLTNVEQEHNSKLCLLEEKLSEVQAELDSKCLTLEDLIRRLGNIDSEVLQRLSLETQYKTRFDDAALKANDIQVVLRANESKTCLIKQQLEEITKFYSQYSPCLLPEQQQSRERILNELEANDRELQRVKELYSALRDNLFQMTEKESGNFSGECFWNCNSVNAPINCAGPLTCSHCSPPVCPRSSCSTRCSLSPLCFNYPM
+>sp|Q9Y3D8|KAD6_HUMAN Adenylate kinase isoenzyme 6 OS=Homo sapiens OX=9606 GN=AK6 PE=1 SV=1
+MLLPNILLTGTPGVGKTTLGKELASKSGLKYINVGDLAREEQLYDGYDEEYDCPILDEDRVVDELDNQMREGGVIVDYHGCDFFPERWFHIVFVLRTDTNVLYERLETRGYNEKKLTDNIQCEIFQVLYEEATASYKEEIVHQLPSNKPEELENNVDQILKWIEQWIKDHNS
+>DECOY_sp|Q9Y3D8|KAD6_HUMAN Adenylate kinase isoenzyme 6 OS=Homo sapiens OX=9606 GN=AK6 PE=1 SV=1
+SNHDKIWQEIWKLIQDVNNELEEPKNSPLQHVIEEKYSATAEEYLVQFIECQINDTLKKENYGRTELREYLVNTDTRLVFVIHFWREPFFDCGHYDVIVGGERMQNDLEDVVRDEDLIPCDYEEDYGDYLQEERALDGVNIYKLGSKSALEKGLTTKGVGPTGTLLINPLLM
+>sp|P29622|KAIN_HUMAN Kallistatin OS=Homo sapiens OX=9606 GN=SERPINA4 PE=1 SV=3
+MHLIDYLLLLLVGLLALSHGQLHVEHDGESCSNSSHQQILETGEGSPSLKIAPANADFAFRFYYLIASETPGKNIFFSPLSISAAYAMLSLGACSHSRSQILEGLGFNLTELSESDVHRGFQHLLHTLNLPGHGLETRVGSALFLSHNLKFLAKFLNDTMAVYEAKLFHTNFYDTVGTIQLINDHVKKETRGKIVDLVSELKKDVLMVLVNYIYFKALWEKPFISSRTTPKDFYVDENTTVRVPMMLQDQEHHWYLHDRYLPCSVLRMDYKGDATVFFILPNQGKMREIEEVLTPEMLMRWNNLLRKRNFYKKLELHLPKFSISGSYVLDQILPRLGFTDLFSKWADLSGITKQQKLEASKSFHKATLDVDEAGTEAAAATSFAIKFFSAQTNRHILRFNRPFLVVIFSTSTQSVLFLGKVVDPTKP
+>DECOY_sp|P29622|KAIN_HUMAN Kallistatin OS=Homo sapiens OX=9606 GN=SERPINA4 PE=1 SV=3
+PKTPDVVKGLFLVSQTSTSFIVVLFPRNFRLIHRNTQASFFKIAFSTAAAAETGAEDVDLTAKHFSKSAELKQQKTIGSLDAWKSFLDTFGLRPLIQDLVYSGSISFKPLHLELKKYFNRKRLLNNWRMLMEPTLVEEIERMKGQNPLIFFVTADGKYDMRLVSCPLYRDHLYWHHEQDQLMMPVRVTTNEDVYFDKPTTRSSIFPKEWLAKFYIYNVLVMLVDKKLESVLDVIKGRTEKKVHDNILQITGVTDYFNTHFLKAEYVAMTDNLFKALFKLNHSLFLASGVRTELGHGPLNLTHLLHQFGRHVDSESLETLNFGLGELIQSRSHSCAGLSLMAYAASISLPSFFINKGPTESAILYYFRFAFDANAPAIKLSPSGEGTELIQQHSSNSCSEGDHEVHLQGHSLALLGVLLLLLYDILHM
+>sp|Q63ZY3|KANK2_HUMAN KN motif and ankyrin repeat domain-containing protein 2 OS=Homo sapiens OX=9606 GN=KANK2 PE=1 SV=1
+MAQVLHVPAPFPGTPGPASPPAFPAKDPDPPYSVETPYGYRLDLDFLKYVDDIEKGHTLRRVAVQRRPRLSSLPRGPGSWWTSTESLCSNASGDSRHSAYSYCGRGFYPQYGALETRGGFNPRVERTLLDARRRLEDQAATPTGLGSLTPSAAGSTASLVGVGLPPPTPRSSGLSTPVPPSAGHLAHVREQMAGALRKLRQLEEQVKLIPVLQVKLSVLQEEKRQLTVQLKSQKFLGHPTAGRGRSELCLDLPDPPEDPVALETRSVGTWVRERDLGMPDGEAALAAKVAVLETQLKKALQELQAAQARQADPQPQAWPPPDSPVRVDTVRVVEGPREVEVVASTAAGAPAQRAQSLEPYGTGLRALAMPGRPESPPVFRSQEVVETMCPVPAAATSNVHMVKKISITERSCDGAAGLPEVPAESSSSPPGSEVASLTQPEKSTGRVPTQEPTHREPTRQAASQESEEAGGTGGPPAGVRSIMKRKEEVADPTAHRRSLQFVGVNGGYESSSEDSSTAENISDNDSTENEAPEPRERVPSVAEAPQLRPAGTAAAKTSRQECQLSRESQHIPTAEGASGSNTEEEIRMELSPDLISACLALEKYLDNPNALTERELKVAYTTVLQEWLRLACRSDAHPELVRRHLVTFRAMSARLLDYVVNIADSNGNTALHYSVSHANFPVVQQLLDSGVCKVDKQNRAGYSPIMLTALATLKTQDDIETVLQLFRLGNINAKASQAGQTALMLAVSHGRVDVVKALLACEADVNVQDDDGSTALMCACEHGHKEIAGLLLAVPSCDISLTDRDGSTALMVALDAGQSEIASMLYSRMNIKCSFAPMSDDESPTSSSAEE
+>DECOY_sp|Q63ZY3|KANK2_HUMAN KN motif and ankyrin repeat domain-containing protein 2 OS=Homo sapiens OX=9606 GN=KANK2 PE=1 SV=1
+EEASSSTPSEDDSMPAFSCKINMRSYLMSAIESQGADLAVMLATSGDRDTLSIDCSPVALLLGAIEKHGHECACMLATSGDDDQVNVDAECALLAKVVDVRGHSVALMLATQGAQSAKANINGLRFLQLVTEIDDQTKLTALATLMIPSYGARNQKDVKCVGSDLLQQVVPFNAHSVSYHLATNGNSDAINVVYDLLRASMARFTVLHRRVLEPHADSRCALRLWEQLVTTYAVKLERETLANPNDLYKELALCASILDPSLEMRIEEETNSGSAGEATPIHQSERSLQCEQRSTKAAATGAPRLQPAEAVSPVRERPEPAENETSDNDSINEATSSDESSSEYGGNVGVFQLSRRHATPDAVEEKRKMISRVGAPPGGTGGAEESEQSAAQRTPERHTPEQTPVRGTSKEPQTLSAVESGPPSSSSEAPVEPLGAAGDCSRETISIKKVMHVNSTAAAPVPCMTEVVEQSRFVPPSEPRGPMALARLGTGYPELSQARQAPAGAATSAVVEVERPGEVVRVTDVRVPSDPPPWAQPQPDAQRAQAAQLEQLAKKLQTELVAVKAALAAEGDPMGLDRERVWTGVSRTELAVPDEPPDPLDLCLESRGRGATPHGLFKQSKLQVTLQRKEEQLVSLKVQLVPILKVQEELQRLKRLAGAMQERVHALHGASPPVPTSLGSSRPTPPPLGVGVLSATSGAASPTLSGLGTPTAAQDELRRRADLLTREVRPNFGGRTELAGYQPYFGRGCYSYASHRSDGSANSCLSETSTWWSGPGRPLSSLRPRRQVAVRRLTHGKEIDDVYKLFDLDLRYGYPTEVSYPPDPDKAPFAPPSAPGPTGPFPAPVHLVQAM
+>sp|Q7Z3B3|KANL1_HUMAN KAT8 regulatory NSL complex subunit 1 OS=Homo sapiens OX=9606 GN=KANSL1 PE=1 SV=2
+MAAMAPALTDAAAEAHHIRFKLAPPSSTLSPGSAENNGNANILIAANGTKRKAIAAEDPSLDFRNNPTKEDLGKLQPLVASYLCSDVTSVPSKESLKLQGVFSKQTVLKSHPLLSQSYELRAELLGRQPVLEFSLENLRTMNTSGQTALPQAPVNGLAKKLTKSSTHSDHDNSTSLNGGKRALTSSALHGGEMGGSESGDLKGGMTNCTLPHRSLDVEHTTLYSNNSTANKSSVNSMEQPALQGSSRLSPGTDSSSNLGGVKLEGKKSPLSSILFSALDSDTRITALLRRQADIESRARRLQKRLQVVQAKQVERHIQHQLGGFLEKTLSKLPNLESLRPRSQLMLTRKAEAALRKAASETTTSEGLSNFLKSNSISEELERFTASGIANLRCSEQAFDSDVTDSSSGGESDIEEEELTRADPEQRHVPLRRRSEWKWAADRAAIVSRWNWLQAHVSDLEYRIRQQTDIYKQIRANKGLIVLGEVPPPEHTTDLFLPLSSEVKTDHGTDKLIESVSQPLENHGARIIGHISESLSTKSCGALRPVNGVINTLQPVLADHIPGDSSDAEEQLHKKQRLNLVSSSSDGTCVAARTRPVLSCKKRRLVRPNSIVPLSKKVHRNSTIRPGCDVNPSCALCGSGSINTMPPEIHYEAPLLERLSQLDSCVHPVLAFPDDVPTSLHFQSMLKSQWQNKPFDKIKPPKKLSLKHRAPMPGSLPDSARKDRHKLVSSFLTTAKLSHHQTRPDRTHRQHLDDVGAVPMVERVTAPKAERLLNPPPPVHDPNHSKMRLRDHSSERSEVLKHHTDMSSSSYLAATHHPPHSPLVRQLSTSSDSPAPASSSSQVTASTSQQPVRRRRGESSFDINNIVIPMSVAATTRVEKLQYKEILTPSWREVDLQSLKGSPDEENEEIEDLSDAAFAALHAKCEEMERARWLWTTSVPPQRRGSRSYRSSDGRTTPQLGSANPSTPQPASPDVSSSHSLSEYSHGQSPRSPISPELHSAPLTPVARDTPRHLASEDTRCSTPELGLDEQSVQPWERRTFPLAHSPQAECEDQLDAQERAARCTRRTSGSKTGRETEAAPTSPPIVPLKSRHLVAAATAQRPTHR
+>DECOY_sp|Q7Z3B3|KANL1_HUMAN KAT8 regulatory NSL complex subunit 1 OS=Homo sapiens OX=9606 GN=KANSL1 PE=1 SV=2
+RHTPRQATAAAVLHRSKLPVIPPSTPAAETERGTKSGSTRRTCRAAREQADLQDECEAQPSHALPFTRREWPQVSQEDLGLEPTSCRTDESALHRPTDRAVPTLPASHLEPSIPSRPSQGHSYESLSHSSSVDPSAPQPTSPNASGLQPTTRGDSSRYSRSGRRQPPVSTTWLWRAREMEECKAHLAAFAADSLDEIEENEEDPSGKLSQLDVERWSPTLIEKYQLKEVRTTAAVSMPIVINNIDFSSEGRRRRVPQQSTSATVQSSSSAPAPSDSSTSLQRVLPSHPPHHTAALYSSSSMDTHHKLVESRESSHDRLRMKSHNPDHVPPPPNLLREAKPATVREVMPVAGVDDLHQRHTRDPRTQHHSLKATTLFSSVLKHRDKRASDPLSGPMPARHKLSLKKPPKIKDFPKNQWQSKLMSQFHLSTPVDDPFALVPHVCSDLQSLRELLPAEYHIEPPMTNISGSGCLACSPNVDCGPRITSNRHVKKSLPVISNPRVLRRKKCSLVPRTRAAVCTGDSSSSVLNLRQKKHLQEEADSSDGPIHDALVPQLTNIVGNVPRLAGCSKTSLSESIHGIIRAGHNELPQSVSEILKDTGHDTKVESSLPLFLDTTHEPPPVEGLVILGKNARIQKYIDTQQRIRYELDSVHAQLWNWRSVIAARDAAWKWESRRRLPVHRQEPDARTLEEEEIDSEGGSSSDTVDSDFAQESCRLNAIGSATFRELEESISNSKLFNSLGESTTTESAAKRLAAEAKRTLMLQSRPRLSELNPLKSLTKELFGGLQHQIHREVQKAQVVQLRKQLRRARSEIDAQRRLLATIRTDSDLASFLISSLPSKKGELKVGGLNSSSDTGPSLRSSGQLAPQEMSNVSSKNATSNNSYLTTHEVDLSRHPLTCNTMGGKLDGSESGGMEGGHLASSTLARKGGNLSTSNDHDSHTSSKTLKKALGNVPAQPLATQGSTNMTRLNELSFELVPQRGLLEARLEYSQSLLPHSKLVTQKSFVGQLKLSEKSPVSTVDSCLYSAVLPQLKGLDEKTPNNRFDLSPDEAAIAKRKTGNAAILINANGNNEASGPSLTSSPPALKFRIHHAEAAADTLAPAMAAM
+>sp|Q8N6L0|KASH5_HUMAN Protein KASH5 OS=Homo sapiens OX=9606 GN=CCDC155 PE=1 SV=2
+MDLPEGPVGGPTAEMYLRERPEEARLGMPVSLEEQILNSTFEACDPQRTGTVAVAQVLAYLEAVTGQGPQDARLQTLANSLDPNGEGPKATVDLDTFLVVMRDWIAACQLHGGLELEEETAFQGALTSRQLPSGCPEAEEPANLESFGGEDPRPELQATADLLSSLEDLELSNRRLVGENAKLQRSMETAEEGSARLGEEILALRKQLHSTQQALQFAKAMDEELEDLKTLARSLEEQNRSLLAQARQAEKEQQHLVAEMETLQEENGKLLAERDGVKKRSQELAMEKDTLKRQLFECEHLICQRDTILSERTRDVESLAQTLEEYRVTTQELRLEISRLEEQLSQTYEGPDELPEGAQLRRVGWTELLPPSLGLEIEAIRQKQEVATADLSNPLCGVWQWEEVIHETSEETEFPSEAPAGGQRNFQGEPAHPEEGRKEPSMWLTRREEEEDAESQVTADLPVPLGAPRPGDIPENPPERPARRELQQALVPVMKKLVPVRRRAWGQLCLPPQRLRVTRHPLIPAPVLGLLLLLLLSVLLLGPSPPPTWPHLQLCYLQPPPV
+>DECOY_sp|Q8N6L0|KASH5_HUMAN Protein KASH5 OS=Homo sapiens OX=9606 GN=CCDC155 PE=1 SV=2
+VPPPQLYCLQLHPWTPPPSPGLLLVSLLLLLLLGLVPAPILPHRTVRLRQPPLCLQGWARRRVPVLKKMVPVLAQQLERRAPREPPNEPIDGPRPAGLPVPLDATVQSEADEEEERRTLWMSPEKRGEEPHAPEGQFNRQGGAPAESPFETEESTEHIVEEWQWVGCLPNSLDATAVEQKQRIAEIELGLSPPLLETWGVRRLQAGEPLEDPGEYTQSLQEELRSIELRLEQTTVRYEELTQALSEVDRTRESLITDRQCILHECEFLQRKLTDKEMALEQSRKKVGDREALLKGNEEQLTEMEAVLHQQEKEAQRAQALLSRNQEELSRALTKLDELEEDMAKAFQLAQQTSHLQKRLALIEEGLRASGEEATEMSRQLKANEGVLRRNSLELDELSSLLDATAQLEPRPDEGGFSELNAPEEAEPCGSPLQRSTLAGQFATEEELELGGHLQCAAIWDRMVVLFTDLDVTAKPGEGNPDLSNALTQLRADQPGQGTVAELYALVQAVAVTGTRQPDCAEFTSNLIQEELSVPMGLRAEEPRERLYMEATPGGVPGEPLDM
+>sp|Q8WYB5|KAT6B_HUMAN Histone acetyltransferase KAT6B OS=Homo sapiens OX=9606 GN=KAT6B PE=1 SV=3
+MVKLANPLYTEWILEAIQKIKKQKQRPSEERICHAVSTSHGLDKKTVSEQLELSVQDGSVLKVTNKGLASYKDPDNPGRFSSVKPGTFPKSAKGSRGSCNDLRNVDWNKLLRRAIEGLEEPNGSSLKNIEKYLRSQSDLTSTTNNPAFQQRLRLGAKRAVNNGRLLKDGPQYRVNYGSLDGKGAPQYPSAFPSSLPPVSLLPHEKDQPRADPIPICSFCLGTKESNREKKPEELLSCADCGSSGHPSCLKFCPELTTNVKALRWQCIECKTCSACRVQGRNADNMLFCDSCDRGFHMECCDPPLSRMPKGMWICQVCRPKKKGRKLLHEKAAQIKRRYAKPIGRPKNKLKQRLLSVTSDEGSMNAFTGRGSPGRGQKTKVCTTPSSGHAASGKDSSSRLAVTDPTRPGATTKITTTSTYISASTLKVNKKTKGLIDGLTKFFTPSPDGRRSRGEIIDFSKHYRPRKKVSQKQSCTSHVLATGTTQKLKPPPSSLPPPTPISGQSPSSQKSSTATSSPSPQSSSSQCSVPSLSSLTTNSQLKALFDGLSHIYTTQGQSRKKGHPSYAPPKRMRRKTELSSTAKSKAHFFGKRDIRSRFISHSSSSSWGMARGSIFKAIAHFKRTTFLKKHRMLGRLKYKVTPQMGTPSPGKGSLTDGRIKPDQDDDTEIKINIKQESADVNVIGNKDVVTEEDLDVFKQAQELSWEKIECESGVEDCGRYPSVIEFGKYEIQTWYSSPYPQEYARLPKLYLCEFCLKYMKSKNILLRHSKKCGWFHPPANEIYRRKDLSVFEVDGNMSKIYCQNLCLLAKLFLDHKTLYYDVEPFLFYVLTKNDEKGCHLVGYFSKEKLCQQKYNVSCIMIMPQHQRQGFGRFLIDFSYLLSRREGQAGSPEKPLSDLGRLSYLAYWKSVILEYLYHHHERHISIKAISRATGMCPHDIATTLQHLHMIDKRDGRFVIIRREKLILSHMEKLKTCSRANELDPDSLRWTPILISNAAVSEEEREAEKEAERLMEQASCWEKEEQEILSTRANSRQSPAKVQSKNKYLHSPESRPVTGERGQLLELSKESSEEEEEEEDEEEEEEEEEEEEDEEEEEEEEEEEEEENIQSSPPRLTKPQSVAIKRKRPFVLKKKRGRKRRRINSSVTTETISETTEVLNEPFDNSDEERPMPQLEPTCEIEVEEDGRKPVLRKAFQHQPGKKRQTEEEEGKDNHCFKNADPCRNNMNDDSSNLKEGSKDNPEPLKCKQVWPKGTKRGLSKWRQNKERKTGFKLNLYTPPETPMEPDEQVTVEEQKETSEGKTSPSPIRIEEEVKETGEALLPQEENRREETCAPVSPNTSPGEKPEDDLIKPEEEEEEEEEEEEEEEEEEGEEEEGGGNVEKDPDGAKSQEKEEPEISTEKEDSARLDDHEEEEEEDEEPSHNEDHDADDEDDSHMESAEVEKEELPRESFKEVLENQETFLDLNVQPGHSNPEVLMDCGVDLTASCNSEPKELAGDPEAVPESDEEPPPGEQAQKQDQKNSKEVDTEFKEGNPATMEIDSETVQAVQSLTQESSEQDDTFQDCAETQEACRSLQNYTRADQSPQIATTLDDCQQSDHSSPVSSVHSHPGQSVRSVNSPSVPALENSYAQISPDQSAISVPSLQNMETSPMMDVPSVSDHSQQVVDSGFSDLGSIESTTENYENPSSYDSTMGGSICGNGSSQNSCSYSNLTSSSLTQSSCAVTQQMSNISGSCSMLQQTSISSPPTCSVKSPQGCVVERPPSSSQQLAQCSMAANFTPPMQLAEIPETSNANIGLYERMGQSDFGAGHYPQPSATFSLAKLQQLTNTLIDHSLPYSHSAAVTSYANSASLSTPLSNTGLVQLSQSPHSVPGGPQAQATMTPPPNLTPPPMNLPPPLLQRNMAASNIGISHSQRLQTQIASKGHISMRTKSASLSPAAATHQSQIYGRSQTVAMQGPARTLTMQRGMNMSVNLMPAPAYNVNSVNMNMNTLNAMNGYSMSQPMMNSGYHSNHGYMNQTPQYPMQMQMGMMGTQPYAQQPMQTPPHGNMMYTAPGHHGYMNTGMSKQSLNGSYMRR
+>DECOY_sp|Q8WYB5|KAT6B_HUMAN Histone acetyltransferase KAT6B OS=Homo sapiens OX=9606 GN=KAT6B PE=1 SV=3
+RRMYSGNLSQKSMGTNMYGHHGPATYMMNGHPPTQMPQQAYPQTGMMGMQMQMPYQPTQNMYGHNSHYGSNMMPQSMSYGNMANLTNMNMNVSNVNYAPAPMLNVSMNMGRQMTLTRAPGQMAVTQSRGYIQSQHTAAAPSLSASKTRMSIHGKSAIQTQLRQSHSIGINSAAMNRQLLPPPLNMPPPTLNPPPTMTAQAQPGGPVSHPSQSLQVLGTNSLPTSLSASNAYSTVAASHSYPLSHDILTNTLQQLKALSFTASPQPYHGAGFDSQGMREYLGINANSTEPIEALQMPPTFNAAMSCQALQQSSSPPREVVCGQPSKVSCTPPSSISTQQLMSCSGSINSMQQTVACSSQTLSSSTLNSYSCSNQSSGNGCISGGMTSDYSSPNEYNETTSEISGLDSFGSDVVQQSHDSVSPVDMMPSTEMNQLSPVSIASQDPSIQAYSNELAPVSPSNVSRVSQGPHSHVSSVPSSHDSQQCDDLTTAIQPSQDARTYNQLSRCAEQTEACDQFTDDQESSEQTLSQVAQVTESDIEMTAPNGEKFETDVEKSNKQDQKQAQEGPPPEEDSEPVAEPDGALEKPESNCSATLDVGCDMLVEPNSHGPQVNLDLFTEQNELVEKFSERPLEEKEVEASEMHSDDEDDADHDENHSPEEDEEEEEEHDDLRASDEKETSIEPEEKEQSKAGDPDKEVNGGGEEEEGEEEEEEEEEEEEEEEEEEPKILDDEPKEGPSTNPSVPACTEERRNEEQPLLAEGTEKVEEEIRIPSPSTKGESTEKQEEVTVQEDPEMPTEPPTYLNLKFGTKREKNQRWKSLGRKTGKPWVQKCKLPEPNDKSGEKLNSSDDNMNNRCPDANKFCHNDKGEEEETQRKKGPQHQFAKRLVPKRGDEEVEIECTPELQPMPREEDSNDFPENLVETTESITETTVSSNIRRRKRGRKKKLVFPRKRKIAVSQPKTLRPPSSQINEEEEEEEEEEEEEEDEEEEEEEEEEEEDEEEEEEESSEKSLELLQGREGTVPRSEPSHLYKNKSQVKAPSQRSNARTSLIEQEEKEWCSAQEMLREAEKEAEREEESVAANSILIPTWRLSDPDLENARSCTKLKEMHSLILKERRIIVFRGDRKDIMHLHQLTTAIDHPCMGTARSIAKISIHREHHHYLYELIVSKWYALYSLRGLDSLPKEPSGAQGERRSLLYSFDILFRGFGQRQHQPMIMICSVNYKQQCLKEKSFYGVLHCGKEDNKTLVYFLFPEVDYYLTKHDLFLKALLCLNQCYIKSMNGDVEFVSLDKRRYIENAPPHFWGCKKSHRLLINKSKMYKLCFECLYLKPLRAYEQPYPSSYWTQIEYKGFEIVSPYRGCDEVGSECEIKEWSLEQAQKFVDLDEETVVDKNGIVNVDASEQKINIKIETDDDQDPKIRGDTLSGKGPSPTGMQPTVKYKLRGLMRHKKLFTTRKFHAIAKFISGRAMGWSSSSSHSIFRSRIDRKGFFHAKSKATSSLETKRRMRKPPAYSPHGKKRSQGQTTYIHSLGDFLAKLQSNTTLSSLSPVSCQSSSSQPSPSSTATSSKQSSPSQGSIPTPPPLSSPPPKLKQTTGTALVHSTCSQKQSVKKRPRYHKSFDIIEGRSRRGDPSPTFFKTLGDILGKTKKNVKLTSASIYTSTTTIKTTAGPRTPDTVALRSSSDKGSAAHGSSPTTCVKTKQGRGPSGRGTFANMSGEDSTVSLLRQKLKNKPRGIPKAYRRKIQAAKEHLLKRGKKKPRCVQCIWMGKPMRSLPPDCCEMHFGRDCSDCFLMNDANRGQVRCASCTKCEICQWRLAKVNTTLEPCFKLCSPHGSSGCDACSLLEEPKKERNSEKTGLCFSCIPIPDARPQDKEHPLLSVPPLSSPFASPYQPAGKGDLSGYNVRYQPGDKLLRGNNVARKAGLRLRQQFAPNNTTSTLDSQSRLYKEINKLSSGNPEELGEIARRLLKNWDVNRLDNCSGRSGKASKPFTGPKVSSFRGPNDPDKYSALGKNTVKLVSGDQVSLELQESVTKKDLGHSTSVAHCIREESPRQKQKKIKQIAELIWETYLPNALKVM
+>sp|Q96I82|KAZD1_HUMAN Kazal-type serine protease inhibitor domain-containing protein 1 OS=Homo sapiens OX=9606 GN=KAZALD1 PE=1 SV=1
+MLPPPRPAAALALPVLLLLLVVLTPPPTGARPSPGPDYLRRGWMRLLAEGEGCAPCRPEECAAPRGCLAGRVRDACGCCWECANLEGQLCDLDPSAHFYGHCGEQLECRLDTGGDLSRGEVPEPLCACRSQSPLCGSDGHTYSQICRLQEAARARPDANLTVAHPGPCESGPQIVSHPYDTWNVTGQDVIFGCEVFAYPMASIEWRKDGLDIQLPGDDPHISVQFRGGPQRFEVTGWLQIQAVRPSDEGTYRCLGRNALGQVEAPASLTVLTPDQLNSTGIPQLRSLNLVPEEEAESEENDDYY
+>DECOY_sp|Q96I82|KAZD1_HUMAN Kazal-type serine protease inhibitor domain-containing protein 1 OS=Homo sapiens OX=9606 GN=KAZALD1 PE=1 SV=1
+YYDDNEESEAEEEPVLNLSRLQPIGTSNLQDPTLVTLSAPAEVQGLANRGLCRYTGEDSPRVAQIQLWGTVEFRQPGGRFQVSIHPDDGPLQIDLGDKRWEISAMPYAFVECGFIVDQGTVNWTDYPHSVIQPGSECPGPHAVTLNADPRARAAEQLRCIQSYTHGDSGCLPSQSRCACLPEPVEGRSLDGGTDLRCELQEGCHGYFHASPDLDCLQGELNACEWCCGCADRVRGALCGRPAACEEPRCPACGEGEALLRMWGRRLYDPGPSPRAGTPPPTLVVLLLLLVPLALAAAPRPPPLM
+>sp|Q8WVZ9|KBTB7_HUMAN Kelch repeat and BTB domain-containing protein 7 OS=Homo sapiens OX=9606 GN=KBTBD7 PE=1 SV=1
+MQSREDVPRSRRLASPRGGRRPKRISKPSVSAFFTGPEELKDTAHSAALLAQLKSFYDARLLCDVTIEVVTPGSGPGTGRLFSCNRNVLAAACPYFKSMFTGGMYESQQASVTMHDVDAESFEVLVDYCYTGRVSLSEANVQRLYAASDMLQLEYVREACASFLARRLDLTNCTAILKFADAFDHHKLRSQAQSYIAHNFKQLSRMGSIREETLADLTLAQLLAVLRLDSLDIESERTVCHVAVQWLEAAAKERGPSAAEVFKCVRWMHFTEEDQDYLEGLLTKPIVKKYCLDVIEGALQMRYGDLLYKSLVPVPNSSSSSSSSNSLVSAAENPPQRLGMCAKEMVIFFGHPRDPFLCYDPYSGDIYTMPSPLTSFAHTKTVTSSAVCVSPDHDIYLAAQPRKDLWVYKPAQNSWQQLADRLLCREGMDVAYLNGYIYILGGRDPITGVKLKEVECYSVQRNQWALVAPVPHSFYSFELIVVQNYLYAVNSKRMLCYDPSHNMWLNCASLKRSDFQEACVFNDEIYCICDIPVMKVYNPARGEWRRISNIPLDSETHNYQIVNHDQKLLLITSTTPQWKKNRVTVYEYDTREDQWINIGTMLGLLQFDSGFICLCARVYPSCLEPGQSFITEEDDARSESSTEWDLDGFSELDSESGSSSSFSDDEVWVQVAPQRNAQDQQGSL
+>DECOY_sp|Q8WVZ9|KBTB7_HUMAN Kelch repeat and BTB domain-containing protein 7 OS=Homo sapiens OX=9606 GN=KBTBD7 PE=1 SV=1
+LSGQQDQANRQPAVQVWVEDDSFSSSSGSESDLESFGDLDWETSSESRADDEETIFSQGPELCSPYVRACLCIFGSDFQLLGLMTGINIWQDERTDYEYVTVRNKKWQPTTSTILLLKQDHNVIQYNHTESDLPINSIRRWEGRAPNYVKMVPIDCICYIEDNFVCAEQFDSRKLSACNLWMNHSPDYCLMRKSNVAYLYNQVVILEFSYFSHPVPAVLAWQNRQVSYCEVEKLKVGTIPDRGGLIYIYGNLYAVDMGERCLLRDALQQWSNQAPKYVWLDKRPQAALYIDHDPSVCVASSTVTKTHAFSTLPSPMTYIDGSYPDYCLFPDRPHGFFIVMEKACMGLRQPPNEAASVLSNSSSSSSSSNPVPVLSKYLLDGYRMQLAGEIVDLCYKKVIPKTLLGELYDQDEETFHMWRVCKFVEAASPGREKAAAELWQVAVHCVTRESEIDLSDLRLVALLQALTLDALTEERISGMRSLQKFNHAIYSQAQSRLKHHDFADAFKLIATCNTLDLRRALFSACAERVYELQLMDSAAYLRQVNAESLSVRGTYCYDVLVEFSEADVDHMTVSAQQSEYMGGTFMSKFYPCAAALVNRNCSFLRGTGPGSGPTVVEITVDCLLRADYFSKLQALLAASHATDKLEEPGTFFASVSPKSIRKPRRGGRPSALRRSRPVDERSQM
+>sp|O94819|KBTBB_HUMAN Kelch repeat and BTB domain-containing protein 11 OS=Homo sapiens OX=9606 GN=KBTBD11 PE=1 SV=1
+MEHAVAPCVLYPGTEPGAAGESESEGAASPAQTPCSLGASLCFSSGEESPPQSLASAAEGAATSPPSSGGPRVVERQWEAGSAGAASPEELASPEERACPEEPAAPSPEPRVWLEDPASPEEPGEPAPVPPGFGAVYGEPDLVLEVSGRRLRAHKAVLAARSDYFRARASRDVLRVQGVSLTALRLLLADAYSGRMAGVRPDNVAEVVAGARRLQLPGAAQRATDAVGPQLSLANCYEVLSAAKRQRLNELRDAAYCFMSDHYLEVLREPAVFGRLSGAERDLLLRRRLRAGRAHLLAAALGPAGERAGSRPQSPSGDADARGDAAVYCFHAAAGEWRELTRLPEGAPARGCGLCVLYNYLFVAGGVAPAGPDGRARPSDQVFCYNPATDSWSAVRPLRQARSQLRLLALDGHLYAVGGECLLSVERYDPRADRWAPVAPLPRGAFAVAHEATTCHGEIYVSGGSLFYRLLKYDPRRDEWQECPCSSSRERSADMVALDGFIYRFDLSGSRGEAQAAGPSGVSVSRYHCLAKQWSPCVAPLRLPGGPTGLQPFRCAALDGAIYCVSRAGTWRFQPAREGEAGGDAGQGGGFEALGAPLDVRGVLIPFALSLPEKPPRGEQGAP
+>DECOY_sp|O94819|KBTBB_HUMAN Kelch repeat and BTB domain-containing protein 11 OS=Homo sapiens OX=9606 GN=KBTBD11 PE=1 SV=1
+PAGQEGRPPKEPLSLAFPILVGRVDLPAGLAEFGGGQGADGGAEGERAPQFRWTGARSVCYIAGDLAACRFPQLGTPGGPLRLPAVCPSWQKALCHYRSVSVGSPGAAQAEGRSGSLDFRYIFGDLAVMDASRERSSSCPCEQWEDRRPDYKLLRYFLSGGSVYIEGHCTTAEHAVAFAGRPLPAVPAWRDARPDYREVSLLCEGGVAYLHGDLALLRLQSRAQRLPRVASWSDTAPNYCFVQDSPRARGDPGAPAVGGAVFLYNYLVCLGCGRAPAGEPLRTLERWEGAAAHFCYVAADGRADADGSPSQPRSGAREGAPGLAAALLHARGARLRRRLLLDREAGSLRGFVAPERLVELYHDSMFCYAADRLENLRQRKAASLVEYCNALSLQPGVADTARQAAGPLQLRRAGAVVEAVNDPRVGAMRGSYADALLLRLATLSVGQVRLVDRSARARFYDSRAALVAKHARLRRGSVELVLDPEGYVAGFGPPVPAPEGPEEPSAPDELWVRPEPSPAAPEEPCAREEPSALEEPSAAGASGAEWQREVVRPGGSSPPSTAAGEAASALSQPPSEEGSSFCLSAGLSCPTQAPSAAGESESEGAAGPETGPYLVCPAVAHEM
+>sp|Q13554|KCC2B_HUMAN Calcium/calmodulin-dependent protein kinase type II subunit beta OS=Homo sapiens OX=9606 GN=CAMK2B PE=1 SV=3
+MATTVTCTRFTDEYQLYEDIGKGAFSVVRRCVKLCTGHEYAAKIINTKKLSARDHQKLEREARICRLLKHSNIVRLHDSISEEGFHYLVFDLVTGGELFEDIVAREYYSEADASHCIQQILEAVLHCHQMGVVHRDLKPENLLLASKCKGAAVKLADFGLAIEVQGDQQAWFGFAGTPGYLSPEVLRKEAYGKPVDIWACGVILYILLVGYPPFWDEDQHKLYQQIKAGAYDFPSPEWDTVTPEAKNLINQMLTINPAKRITAHEALKHPWVCQRSTVASMMHRQETVECLKKFNARRKLKGAILTTMLATRNFSVGRQTTAPATMSTAASGTTMGLVEQAKSLLNKKADGVKPQTNSTKNSAAATSPKGTLPPAALEPQTTVIHNPVDGIKESSDSANTTIEDEDAKAPRVPDILSSVRRGSGAPEAEGPLPCPSPAPFSPLPAPSPRISDILNSVRRGSGTPEAEGPLSAGPPPCLSPALLGPLSSPSPRISDILNSVRRGSGTPEAEGPSPVGPPPCPSPTIPGPLPTPSRKQEIIKTTEQLIEAVNNGDFEAYAKICDPGLTSFEPEALGNLVEGMDFHRFYFENLLAKNSKPIHTTILNPHVHVIGEDAACIAYIRLTQYIDGQGRPRTSQSEETRVWHRRDGKWQNVHFHCSGAPVAPLQ
+>DECOY_sp|Q13554|KCC2B_HUMAN Calcium/calmodulin-dependent protein kinase type II subunit beta OS=Homo sapiens OX=9606 GN=CAMK2B PE=1 SV=3
+QLPAVPAGSCHFHVNQWKGDRRHWVRTEESQSTRPRGQGDIYQTLRIYAICAADEGIVHVHPNLITTHIPKSNKALLNEFYFRHFDMGEVLNGLAEPEFSTLGPDCIKAYAEFDGNNVAEILQETTKIIEQKRSPTPLPGPITPSPCPPPGVPSPGEAEPTGSGRRVSNLIDSIRPSPSSLPGLLAPSLCPPPGASLPGEAEPTGSGRRVSNLIDSIRPSPAPLPSFPAPSPCPLPGEAEPAGSGRRVSSLIDPVRPAKADEDEITTNASDSSEKIGDVPNHIVTTQPELAAPPLTGKPSTAAASNKTSNTQPKVGDAKKNLLSKAQEVLGMTTGSAATSMTAPATTQRGVSFNRTALMTTLIAGKLKRRANFKKLCEVTEQRHMMSAVTSRQCVWPHKLAEHATIRKAPNITLMQNILNKAEPTVTDWEPSPFDYAGAKIQQYLKHQDEDWFPPYGVLLIYLIVGCAWIDVPKGYAEKRLVEPSLYGPTGAFGFWAQQDGQVEIALGFDALKVAAGKCKSALLLNEPKLDRHVVGMQHCHLVAELIQQICHSADAESYYERAVIDEFLEGGTVLDFVLYHFGEESISDHLRVINSHKLLRCIRAERELKQHDRASLKKTNIIKAAYEHGTCLKVCRRVVSFAGKGIDEYLQYEDTFRTCTVTTAM
+>sp|Q13557|KCC2D_HUMAN Calcium/calmodulin-dependent protein kinase type II subunit delta OS=Homo sapiens OX=9606 GN=CAMK2D PE=1 SV=3
+MASTTTCTRFTDEYQLFEELGKGAFSVVRRCMKIPTGQEYAAKIINTKKLSARDHQKLEREARICRLLKHPNIVRLHDSISEEGFHYLVFDLVTGGELFEDIVAREYYSEADASHCIQQILESVNHCHLNGIVHRDLKPENLLLASKSKGAAVKLADFGLAIEVQGDQQAWFGFAGTPGYLSPEVLRKDPYGKPVDMWACGVILYILLVGYPPFWDEDQHRLYQQIKAGAYDFPSPEWDTVTPEAKDLINKMLTINPAKRITASEALKHPWICQRSTVASMMHRQETVDCLKKFNARRKLKGAILTTMLATRNFSAAKSLLKKPDGVKESTESSNTTIEDEDVKARKQEIIKVTEQLIEAINNGDFEAYTKICDPGLTAFEPEALGNLVEGMDFHRFYFENALSKSNKPIHTIILNPHVHLVGDDAACIAYIRLTQYMDGSGMPKTMQSEETRVWHRRDGKWQNVHFHRSGSPTVPIKPPCIPNGKENFSGGTSLWQNI
+>DECOY_sp|Q13557|KCC2D_HUMAN Calcium/calmodulin-dependent protein kinase type II subunit delta OS=Homo sapiens OX=9606 GN=CAMK2D PE=1 SV=3
+INQWLSTGGSFNEKGNPICPPKIPVTPSGSRHFHVNQWKGDRRHWVRTEESQMTKPMGSGDMYQTLRIYAICAADDGVLHVHPNLIITHIPKNSKSLANEFYFRHFDMGEVLNGLAEPEFATLGPDCIKTYAEFDGNNIAEILQETVKIIEQKRAKVDEDEITTNSSETSEKVGDPKKLLSKAASFNRTALMTTLIAGKLKRRANFKKLCDVTEQRHMMSAVTSRQCIWPHKLAESATIRKAPNITLMKNILDKAEPTVTDWEPSPFDYAGAKIQQYLRHQDEDWFPPYGVLLIYLIVGCAWMDVPKGYPDKRLVEPSLYGPTGAFGFWAQQDGQVEIALGFDALKVAAGKSKSALLLNEPKLDRHVIGNLHCHNVSELIQQICHSADAESYYERAVIDEFLEGGTVLDFVLYHFGEESISDHLRVINPHKLLRCIRAERELKQHDRASLKKTNIIKAAYEQGTPIKMCRRVVSFAGKGLEEFLQYEDTFRTCTTTSAM
+>sp|Q9NZI2|KCIP1_HUMAN Kv channel-interacting protein 1 OS=Homo sapiens OX=9606 GN=KCNIP1 PE=1 SV=2
+MGAVMGTFSSLQTKQRRPSKDIAWWYYQYQRDKIEDELEMTMVCHRPEGLEQLEAQTNFTKRELQVLYRGFKNECPSGVVNEDTFKQIYAQFFPHGDASTYAHYLFNAFDTTQTGSVKFEDFVTALSILLRGTVHEKLRWTFNLYDINKDGYINKEEMMDIVKAIYDMMGKYTYPVLKEDTPRQHVDVFFQKMDKNKDGIVTLDEFLESCQEDDNIMRSLQLFQNVM
+>DECOY_sp|Q9NZI2|KCIP1_HUMAN Kv channel-interacting protein 1 OS=Homo sapiens OX=9606 GN=KCNIP1 PE=1 SV=2
+MVNQFLQLSRMINDDEQCSELFEDLTVIGDKNKDMKQFFVDVHQRPTDEKLVPYTYKGMMDYIAKVIDMMEEKNIYGDKNIDYLNFTWRLKEHVTGRLLISLATVFDEFKVSGTQTTDFANFLYHAYTSADGHPFFQAYIQKFTDENVVGSPCENKFGRYLVQLERKTFNTQAELQELGEPRHCVMTMELEDEIKDRQYQYYWWAIDKSPRRQKTQLSSFTGMVAGM
+>sp|Q9NS61|KCIP2_HUMAN Kv channel-interacting protein 2 OS=Homo sapiens OX=9606 GN=KCNIP2 PE=1 SV=3
+MRGQGRKESLSDSRDLDGSYDQLTGHPPGPTKKALKQRFLKLLPCCGPQALPSVSETLAAPASLRPHRPRLLDPDSVDDEFELSTVCHRPEGLEQLQEQTKFTRKELQVLYRGFKNECPSGIVNEENFKQIYSQFFPQGDSSTYATFLFNAFDTNHDGSVSFEDFVAGLSVILRGTVDDRLNWAFNLYDLNKDGCITKEEMLDIMKSIYDMMGKYTYPALREEAPREHVESFFQKMDRNKDGVVTIEEFIESCQKDENIMRSMQLFDNVI
+>DECOY_sp|Q9NS61|KCIP2_HUMAN Kv channel-interacting protein 2 OS=Homo sapiens OX=9606 GN=KCNIP2 PE=1 SV=3
+IVNDFLQMSRMINEDKQCSEIFEEITVVGDKNRDMKQFFSEVHERPAEERLAPYTYKGMMDYISKMIDLMEEKTICGDKNLDYLNFAWNLRDDVTGRLIVSLGAVFDEFSVSGDHNTDFANFLFTAYTSSDGQPFFQSYIQKFNEENVIGSPCENKFGRYLVQLEKRTFKTQEQLQELGEPRHCVTSLEFEDDVSDPDLLRPRHPRLSAPAALTESVSPLAQPGCCPLLKLFRQKLAKKTPGPPHGTLQDYSGDLDRSDSLSEKRGQGRM
+>sp|Q16558|KCMB1_HUMAN Calcium-activated potassium channel subunit beta-1 OS=Homo sapiens OX=9606 GN=KCNMB1 PE=1 SV=5
+MVKKLVMAQKRGETRALCLGVTMVVCAVITYYILVTTVLPLYQKSVWTQESKCHLIETNIRDQEELKGKKVPQYPCLWVNVSAAGRWAVLYHTEDTRDQNQQCSYIPGSVDNYQTARADVEKVRAKFQEQQVFYCFSAPRGNETSVLFQRLYGPQALLFSLFWPTFLLTGGLLIIAMVKSNQYLSILAAQK
+>DECOY_sp|Q16558|KCMB1_HUMAN Calcium-activated potassium channel subunit beta-1 OS=Homo sapiens OX=9606 GN=KCNMB1 PE=1 SV=5
+KQAALISLYQNSKVMAIILLGGTLLFTPWFLSFLLAQPGYLRQFLVSTENGRPASFCYFVQQEQFKARVKEVDARATQYNDVSGPIYSCQQNQDRTDETHYLVAWRGAASVNVWLCPYQPVKKGKLEEQDRINTEILHCKSEQTWVSKQYLPLVTTVLIYYTIVACVVMTVGLCLARTEGRKQAMVLKKVM
+>sp|Q86W47|KCMB4_HUMAN Calcium-activated potassium channel subunit beta-4 OS=Homo sapiens OX=9606 GN=KCNMB4 PE=1 SV=2
+MAKLRVAYEYTEAEDKSIRLGLFLIISGVVSLFIFGFCWLSPALQDLQATEANCTVLSVQQIGEVFECTFTCGADCRGTSQYPCVQVYVNNSESNSRALLHSDEHQLLTNPKCSYIPPCKRENQKNLESVMNWQQYWKDEIGSQPFTCYFNQHQRPDDVLLHRTHDEIVLLHCFLWPLVTFVVGVLIVVLTICAKSLAVKAEAMKKRKFS
+>DECOY_sp|Q86W47|KCMB4_HUMAN Calcium-activated potassium channel subunit beta-4 OS=Homo sapiens OX=9606 GN=KCNMB4 PE=1 SV=2
+SFKRKKMAEAKVALSKACITLVVILVGVVFTVLPWLFCHLLVIEDHTRHLLVDDPRQHQNFYCTFPQSGIEDKWYQQWNMVSELNKQNERKCPPIYSCKPNTLLQHEDSHLLARSNSESNNVYVQVCPYQSTGRCDAGCTFTCEFVEGIQQVSLVTCNAETAQLDQLAPSLWCFGFIFLSVVGSIILFLGLRISKDEAETYEYAVRLKAM
+>sp|P22001|KCNA3_HUMAN Potassium voltage-gated channel subfamily A member 3 OS=Homo sapiens OX=9606 GN=KCNA3 PE=1 SV=3
+MDERLSLLRSPPPPSARHRAHPPQRPASSGGAHTLVNHGYAEPAAGRELPPDMTVVPGDHLLEPEVADGGGAPPQGGCGGGGCDRYEPLPPSLPAAGEQDCCGERVVINISGLRFETQLKTLCQFPETLLGDPKRRMRYFDPLRNEYFFDRNRPSFDAILYYYQSGGRIRRPVNVPIDIFSEEIRFYQLGEEAMEKFREDEGFLREEERPLPRRDFQRQVWLLFEYPESSGPARGIAIVSVLVILISIVIFCLETLPEFRDEKDYPASTSQDSFEAAGNSTSGSRAGASSFSDPFFVVETLCIIWFSFELLVRFFACPSKATFSRNIMNLIDIVAIIPYFITLGTELAERQGNGQQAMSLAILRVIRLVRVFRIFKLSRHSKGLQILGQTLKASMRELGLLIFFLFIGVILFSSAVYFAEADDPTSGFSSIPDAFWWAVVTMTTVGYGDMHPVTIGGKIVGSLCAIAGVLTIALPVPVIVSNFNYFYHRETEGEEQSQYMHVGSCQHLSSSAEELRKARSNSTLSKSEYMVIEEGGMNHSAFPQTPFKTGNSTATCTTNNNPNSCVNIKKIFTDV
+>DECOY_sp|P22001|KCNA3_HUMAN Potassium voltage-gated channel subfamily A member 3 OS=Homo sapiens OX=9606 GN=KCNA3 PE=1 SV=3
+VDTFIKKINVCSNPNNNTTCTATSNGTKFPTQPFASHNMGGEEIVMYESKSLTSNSRAKRLEEASSSLHQCSGVHMYQSQEEGETERHYFYNFNSVIVPVPLAITLVGAIACLSGVIKGGITVPHMDGYGVTTMTVVAWWFADPISSFGSTPDDAEAFYVASSFLIVGIFLFFILLGLERMSAKLTQGLIQLGKSHRSLKFIRFVRVLRIVRLIALSMAQQGNGQREALETGLTIFYPIIAVIDILNMINRSFTAKSPCAFFRVLLEFSFWIICLTEVVFFPDSFSSAGARSGSTSNGAAEFSDQSTSAPYDKEDRFEPLTELCFIVISILIVLVSVIAIGRAPGSSEPYEFLLWVQRQFDRRPLPREEERLFGEDERFKEMAEEGLQYFRIEESFIDIPVNVPRRIRGGSQYYYLIADFSPRNRDFFYENRLPDFYRMRRKPDGLLTEPFQCLTKLQTEFRLGSINIVVREGCCDQEGAAPLSPPLPEYRDCGGGGCGGQPPAGGGDAVEPELLHDGPVVTMDPPLERGAAPEAYGHNVLTHAGGSSAPRQPPHARHRASPPPPSRLLSLREDM
+>sp|P48547|KCNC1_HUMAN Potassium voltage-gated channel subfamily C member 1 OS=Homo sapiens OX=9606 GN=KCNC1 PE=1 SV=1
+MGQGDESERIVINVGGTRHQTYRSTLRTLPGTRLAWLAEPDAHSHFDYDPRADEFFFDRHPGVFAHILNYYRTGKLHCPADVCGPLYEEELAFWGIDETDVEPCCWMTYRQHRDAEEALDSFGGAPLDNSADDADADGPGDSGDGEDELEMTKRLALSDSPDGRPGGFWRRWQPRIWALFEDPYSSRYARYVAFASLFFILVSITTFCLETHERFNPIVNKTEIENVRNGTQVRYYREAETEAFLTYIEGVCVVWFTFEFLMRVIFCPNKVEFIKNSLNIIDFVAILPFYLEVGLSGLSSKAAKDVLGFLRVVRFVRILRIFKLTRHFVGLRVLGHTLRASTNEFLLLIIFLALGVLIFATMIYYAERIGAQPNDPSASEHTHFKNIPIGFWWAVVTMTTLGYGDMYPQTWSGMLVGALCALAGVLTIAMPVPVIVNNFGMYYSLAMAKQKLPKKKKKHIPRPPQLGSPNYCKSVVNSPHHSTQSDTCPLAQEEILEINRAGRKPLRGMSI
+>DECOY_sp|P48547|KCNC1_HUMAN Potassium voltage-gated channel subfamily C member 1 OS=Homo sapiens OX=9606 GN=KCNC1 PE=1 SV=1
+ISMGRLPKRGARNIELIEEQALPCTDSQTSHHPSNVVSKCYNPSGLQPPRPIHKKKKKPLKQKAMALSYYMGFNNVIVPVPMAITLVGALACLAGVLMGSWTQPYMDGYGLTTMTVVAWWFGIPINKFHTHESASPDNPQAGIREAYYIMTAFILVGLALFIILLLFENTSARLTHGLVRLGVFHRTLKFIRLIRVFRVVRLFGLVDKAAKSSLGSLGVELYFPLIAVFDIINLSNKIFEVKNPCFIVRMLFEFTFWVVCVGEIYTLFAETEAERYYRVQTGNRVNEIETKNVIPNFREHTELCFTTISVLIFFLSAFAVYRAYRSSYPDEFLAWIRPQWRRWFGGPRGDPSDSLALRKTMELEDEGDGSDGPGDADADDASNDLPAGGFSDLAEEADRHQRYTMWCCPEVDTEDIGWFALEEEYLPGCVDAPCHLKGTRYYNLIHAFVGPHRDFFFEDARPDYDFHSHADPEALWALRTGPLTRLTSRYTQHRTGGVNIVIRESEDGQGM
+>sp|Q9Y6J6|KCNE2_HUMAN Potassium voltage-gated channel subfamily E member 2 OS=Homo sapiens OX=9606 GN=KCNE2 PE=1 SV=1
+MSTLSNFTQTLEDVFRRIFITYMDNWRQNTTAEQEALQAKVDAENFYYVILYLMVMIGMFSFIIVAILVSTVKSKRREHSNDPYHQYIVEDWQEKYKSQILNLEESKATIHENIGAAGFKMSP
+>DECOY_sp|Q9Y6J6|KCNE2_HUMAN Potassium voltage-gated channel subfamily E member 2 OS=Homo sapiens OX=9606 GN=KCNE2 PE=1 SV=1
+PSMKFGAAGINEHITAKSEELNLIQSKYKEQWDEVIYQHYPDNSHERRKSKVTSVLIAVIIFSFMGIMVMLYLIVYYFNEADVKAQLAEQEATTNQRWNDMYTIFIRRFVDELTQTFNSLTSM
+>sp|Q5JUK3|KCNT1_HUMAN Potassium channel subfamily T member 1 OS=Homo sapiens OX=9606 GN=KCNT1 PE=1 SV=2
+MARAKLPRSPSEGKAGPGGAPAGAAAPEEPHGLSPLLPARGGGSVGSDVGQRLPVEDFSLDSSLSQVQVEFYVNENTFKERLKLFFIKNQRSSLRIRLFNFSLKLLTCLLYIVRVLLDDPALGIGCWGCPKQNYSFNDSSSEINWAPILWVERKMTLWAIQVIVAIISFLETMLLIYLSYKGNIWEQIFRVSFVLEMINTLPFIITIFWPPLRNLFIPVFLNCWLAKHALENMINDFHRAILRTQSAMFNQVLILFCTLLCLVFTGTCGIQHLERAGENLSLLTSFYFCIVTFSTVGYGDVTPKIWPSQLLVVIMICVALVVLPLQFEELVYLWMERQKSGGNYSRHRAQTEKHVVLCVSSLKIDLLMDFLNEFYAHPRLQDYYVVILCPTEMDVQVRRVLQIPLWSQRVIYLQGSALKDQDLMRAKMDNGEACFILSSRNEVDRTAADHQTILRAWAVKDFAPNCPLYVQILKPENKFHVKFADHVVCEEECKYAMLALNCICPATSTLITLLVHTSRGQEGQESPEQWQRMYGRCSGNEVYHIRMGDSKFFREYEGKSFTYAAFHAHKKYGVCLIGLKREDNKSILLNPGPRHILAASDTCFYINITKEENSAFIFKQEEKRKKRAFSGQGLHEGPARLPVHSIIASMGTVAMDLQGTEHRPTQSGGGGGGSKLALPTENGSGSRRPSIAPVLELADSSALLPCDLLSDQSEDEVTPSDDEGLSVVEYVKGYPPNSPYIGSSPTLCHLLPVKAPFCCLRLDKGCKHNSYEDAKAYGFKNKLIIVSAETAGNGLYNFIVPLRAYYRSRKELNPIVLLLDNKPDHHFLEAICCFPMVYYMEGSVDNLDSLLQCGIIYADNLVVVDKESTMSAEEDYMADAKTIVNVQTMFRLFPSLSITTELTHPSNMRFMQFRAKDSYSLALSKLEKRERENGSNLAFMFRLPFAAGRVFSISMLDTLLYQSFVKDYMITITRLLLGLDTTPGSGYLCAMKITEGDLWIRTYGRLFQKLCSSSAEIPIGIYRTESHVFSTSESQISVNVEDCEDTREVKGPWGSRAGTGGSSQGRHTGGGDPAEHPLLRRKSLQWARRLSRKAPKQAGRAAAAEWISQQRLSLYRRSERQELSELVKNRMKHLGLPTTGYEDVANLTASDVMNRVNLGYLQDEMNDHQNTLSYVLINPPPDTRLEPSDIVYLIRSDPLAHVASSSQSRKSSCSHKLSSCNPETRDETQL
+>DECOY_sp|Q5JUK3|KCNT1_HUMAN Potassium channel subfamily T member 1 OS=Homo sapiens OX=9606 GN=KCNT1 PE=1 SV=2
+LQTEDRTEPNCSSLKHSCSSKRSQSSSAVHALPDSRILYVIDSPELRTDPPPNILVYSLTNQHDNMEDQLYGLNVRNMVDSATLNAVDEYGTTPLGLHKMRNKVLESLEQRESRRYLSLRQQSIWEAAAARGAQKPAKRSLRRAWQLSKRRLLPHEAPDGGGTHRGQSSGGTGARSGWPGKVERTDECDEVNVSIQSESTSFVHSETRYIGIPIEASSSCLKQFLRGYTRIWLDGETIKMACLYGSGPTTDLGLLLRTITIMYDKVFSQYLLTDLMSISFVRGAAFPLRFMFALNSGNERERKELKSLALSYSDKARFQMFRMNSPHTLETTISLSPFLRFMTQVNVITKADAMYDEEASMTSEKDVVVLNDAYIIGCQLLSDLNDVSGEMYYVMPFCCIAELFHHDPKNDLLLVIPNLEKRSRYYARLPVIFNYLGNGATEASVIILKNKFGYAKADEYSNHKCGKDLRLCCFPAKVPLLHCLTPSSGIYPSNPPYGKVYEVVSLGEDDSPTVEDESQDSLLDCPLLASSDALELVPAISPRRSGSGNETPLALKSGGGGGGSQTPRHETGQLDMAVTGMSAIISHVPLRAPGEHLGQGSFARKKRKEEQKFIFASNEEKTINIYFCTDSAALIHRPGPNLLISKNDERKLGILCVGYKKHAHFAAYTFSKGEYERFFKSDGMRIHYVENGSCRGYMRQWQEPSEQGEQGRSTHVLLTILTSTAPCICNLALMAYKCEEECVVHDAFKVHFKNEPKLIQVYLPCNPAFDKVAWARLITQHDAATRDVENRSSLIFCAEGNDMKARMLDQDKLASGQLYIVRQSWLPIQLVRRVQVDMETPCLIVVYYDQLRPHAYFENLFDMLLDIKLSSVCLVVHKETQARHRSYNGGSKQREMWLYVLEEFQLPLVVLAVCIMIVVLLQSPWIKPTVDGYGVTSFTVICFYFSTLLSLNEGARELHQIGCTGTFVLCLLTCFLILVQNFMASQTRLIARHFDNIMNELAHKALWCNLFVPIFLNRLPPWFITIIFPLTNIMELVFSVRFIQEWINGKYSLYILLMTELFSIIAVIVQIAWLTMKREVWLIPAWNIESSSDNFSYNQKPCGWCGIGLAPDDLLVRVIYLLCTLLKLSFNFLRIRLSSRQNKIFFLKLREKFTNENVYFEVQVQSLSSDLSFDEVPLRQGVDSGVSGGGRAPLLPSLGHPEEPAAAGAPAGGPGAKGESPSRPLKARAM
+>sp|P17540|KCRS_HUMAN Creatine kinase S-type, mitochondrial OS=Homo sapiens OX=9606 GN=CKMT2 PE=1 SV=2
+MASIFSKLLTGRNASLLFATMGTSVLTTGYLLNRQKVCAEVREQPRLFPPSADYPDLRKHNNCMAECLTPAIYAKLRNKVTPNGYTLDQCIQTGVDNPGHPFIKTVGMVAGDEESYEVFADLFDPVIKLRHNGYDPRVMKHTTDLDASKITQGQFDEHYVLSSRVRTGRSIRGLSLPPACTRAERREVENVAITALEGLKGDLAGRYYKLSEMTEQDQQRLIDDHFLFDKPVSPLLTCAGMARDWPDARGIWHNYDKTFLIWINEEDHTRVISMEKGGNMKRVFERFCRGLKEVERLIQERGWEFMWNERLGYILTCPSNLGTGLRAGVHVRIPKLSKDPRFSKILENLRLQKRGTGGVDTAAVADVYDISNIDRIGRSEVELVQIVIDGVNYLVDCEKKLERGQDIKVPPPLPQFGKK
+>DECOY_sp|P17540|KCRS_HUMAN Creatine kinase S-type, mitochondrial OS=Homo sapiens OX=9606 GN=CKMT2 PE=1 SV=2
+KKGFQPLPPPVKIDQGRELKKECDVLYNVGDIVIQVLEVESRGIRDINSIDYVDAVAATDVGGTGRKQLRLNELIKSFRPDKSLKPIRVHVGARLGTGLNSPCTLIYGLRENWMFEWGREQILREVEKLGRCFREFVRKMNGGKEMSIVRTHDEENIWILFTKDYNHWIGRADPWDRAMGACTLLPSVPKDFLFHDDILRQQDQETMESLKYYRGALDGKLGELATIAVNEVERREARTCAPPLSLGRISRGTRVRSSLVYHEDFQGQTIKSADLDTTHKMVRPDYGNHRLKIVPDFLDAFVEYSEEDGAVMGVTKIFPHGPNDVGTQICQDLTYGNPTVKNRLKAYIAPTLCEAMCNNHKRLDPYDASPPFLRPQERVEACVKQRNLLYGTTLVSTGMTAFLLSANRGTLLKSFISAM
+>sp|Q8NC69|KCTD6_HUMAN BTB/POZ domain-containing protein KCTD6 OS=Homo sapiens OX=9606 GN=KCTD6 PE=1 SV=2
+MDNGDWGYMMTDPVTLNVGGHLYTTSLTTLTRYPDSMLGAMFGGDFPTARDPQGNYFIDRDGPLFRYVLNFLRTSELTLPLDFKEFDLLRKEADFYQIEPLIQCLNDPKPLYPMDTFEEVVELSSTRKLSKYSNPVAVIITQLTITTKVHSLLEGISNYFTKWNKHMMDTRDCQVSFTFGPCDYHQEVSLRVHLMEYITKQGFTIRNTRVHHMSERANENTVEHNWTFCRLARKTDD
+>DECOY_sp|Q8NC69|KCTD6_HUMAN BTB/POZ domain-containing protein KCTD6 OS=Homo sapiens OX=9606 GN=KCTD6 PE=1 SV=2
+DDTKRALRCFTWNHEVTNENARESMHHVRTNRITFGQKTIYEMLHVRLSVEQHYDCPGFTFSVQCDRTDMMHKNWKTFYNSIGELLSHVKTTITLQTIIVAVPNSYKSLKRTSSLEVVEEFTDMPYLPKPDNLCQILPEIQYFDAEKRLLDFEKFDLPLTLESTRLFNLVYRFLPGDRDIFYNGQPDRATPFDGGFMAGLMSDPYRTLTTLSTTYLHGGVNLTVPDTMMYGWDGNDM
+>sp|Q6ZWB6|KCTD8_HUMAN BTB/POZ domain-containing protein KCTD8 OS=Homo sapiens OX=9606 GN=KCTD8 PE=2 SV=1
+MALKDTGSGGSTILPISEMVSSSSSPGASAAAAPGPCAPSPFPEVVELNVGGQVYVTKHSTLLSVPDSTLASMFSPSSPRGGARRRGELPRDSRARFFIDRDGFLFRYVLDYLRDKQLALPEHFPEKERLLREAEYFQLTDLVKLLSPKVTKQNSLNDEGCQSDLEDNVSQGSSDALLLRGAAAAVPSGPGAHGGGGGGGAQDKRSGFLTLGYRGSYTTVRDNQADAKFRRVARIMVCGRIALAKEVFGDTLNESRDPDRQPEKYTSRFYLKFTYLEQAFDRLSEAGFHMVACNSSGTAAFVNQYRDDKIWSSYTEYIFFRPPQKIVSPKQEHEDRKHDKVTDKGSESGTSCNELSTSSCDSHSEASTPQDNPSSAQQATAHQPNTLTLDRPSKKAPVQWIPPPDKRRNSELFQTLISKSRETNLSKKKVCEKLSVEEEMKKCIQDFKKIHIPDYFPERKRQWQSELLQKYGL
+>DECOY_sp|Q6ZWB6|KCTD8_HUMAN BTB/POZ domain-containing protein KCTD8 OS=Homo sapiens OX=9606 GN=KCTD8 PE=2 SV=1
+LGYKQLLESQWQRKREPFYDPIHIKKFDQICKKMEEEVSLKECVKKKSLNTERSKSILTQFLESNRRKDPPPIWQVPAKKSPRDLTLTNPQHATAQQASSPNDQPTSAESHSDCSSTSLENCSTGSESGKDTVKDHKRDEHEQKPSVIKQPPRFFIYETYSSWIKDDRYQNVFAATGSSNCAVMHFGAESLRDFAQELYTFKLYFRSTYKEPQRDPDRSENLTDGFVEKALAIRGCVMIRAVRRFKADAQNDRVTTYSGRYGLTLFGSRKDQAGGGGGGGHAGPGSPVAAAAGRLLLADSSGQSVNDELDSQCGEDNLSNQKTVKPSLLKVLDTLQFYEAERLLREKEPFHEPLALQKDRLYDLVYRFLFGDRDIFFRARSDRPLEGRRRAGGRPSSPSFMSALTSDPVSLLTSHKTVYVQGGVNLEVVEPFPSPACPGPAAAASAGPSSSSSVMESIPLITSGGSGTDKLAM
+>sp|Q6UW63|KDEL1_HUMAN KDEL motif-containing protein 1 OS=Homo sapiens OX=9606 GN=KDELC1 PE=1 SV=1
+MFGTLLLYCFFLATVPALAETGGERQLSPEKSEIWGPGLKADVVLPARYFYIQAVDTSGNKFTSSPGEKVFQVKVSAPEEQFTRVGVQVLDRKDGSFIVRYRMYASYKNLKVEIKFQGQHVAKSPYILKGPVYHENCDCPLQDSAAWLREMNCPETIAQIQRDLAHFPAVDPEKIAVEIPKRFGQRQSLCHYTLKDNKVYIKTHGEHVGFRIFMDAILLSLTRKVKMPDVELFVNLGDWPLEKKKSNSNIHPIFSWCGSTDSKDIVMPTYDLTDSVLETMGRVSLDMMSVQANTGPPWESKNSTAVWRGRDSRKERLELVKLSRKHPELIDAAFTNFFFFKHDENLYGPIVKHISFFDFFKHKYQINIDGTVAAYRLPYLLVGDSVVLKQDSIYYEHFYNELQPWKHYIPVKSNLSDLLEKLKWAKDHDEEAKKIAKAGQEFARNNLMGDDIFCYYFKLFQEYANLQVSEPQIREGMKRVEPQTEDDLFPCTCHRKKTKDEL
+>DECOY_sp|Q6UW63|KDEL1_HUMAN KDEL motif-containing protein 1 OS=Homo sapiens OX=9606 GN=KDELC1 PE=1 SV=1
+LEDKTKKRHCTCPFLDDETQPEVRKMGERIQPESVQLNAYEQFLKFYYCFIDDGMLNNRAFEQGAKAIKKAEEDHDKAWKLKELLDSLNSKVPIYHKWPQLENYFHEYYISDQKLVVSDGVLLYPLRYAAVTGDINIQYKHKFFDFFSIHKVIPGYLNEDHKFFFFNTFAADILEPHKRSLKVLELREKRSDRGRWVATSNKSEWPPGTNAQVSMMDLSVRGMTELVSDTLDYTPMVIDKSDTSGCWSFIPHINSNSKKKELPWDGLNVFLEVDPMKVKRTLSLLIADMFIRFGVHEGHTKIYVKNDKLTYHCLSQRQGFRKPIEVAIKEPDVAPFHALDRQIQAITEPCNMERLWAASDQLPCDCNEHYVPGKLIYPSKAVHQGQFKIEVKLNKYSAYMRYRVIFSGDKRDLVQVGVRTFQEEPASVKVQFVKEGPSSTFKNGSTDVAQIYFYRAPLVVDAKLGPGWIESKEPSLQREGGTEALAPVTALFFCYLLLTGFM
+>sp|Q7Z4H8|KDEL2_HUMAN KDEL motif-containing protein 2 OS=Homo sapiens OX=9606 GN=KDELC2 PE=1 SV=2
+MRRLPRALLLQLRLALLVAAGAPEVLVSAPRSLVWGPGLQAAVVLPVRYFYLQAVNSEGQNLTRSPAGETPFKVVVKSLSPKELVRIHVPKPLDRNDGTFLMRYRMYETVDEGLKIEVLYGDEHVAQSPYILKGPVYHEYCECPEDPQAWQKTLSCPTKEPQIAKDFASFPSINLQQMLKEVPKRFGDERGAIVHYTILNNHVYRRSLGKYTDFKMFSDEILLSLTRKVLLPDLEFYVNLGDWPLEHRKVNGTPSPIPIISWCGSLDSRDVVLPTYDITHSMLEAMRGVTNDLLSIQGNTGPSWINKTERAFFRGRDSREERLQLVQLSKENPQLLDAGITGYFFFQEKEKELGKAKLMGFFDFFKYKYQVNVDGTVAAYRYPYLMLGDSLVLKQDSPYYEHFYMALEPWKHYVPIKRNLSDLLEKVKWAKENDEEAKKIAKEGQLMARDLLQPHRLYCYYYQVLQKYAERQSSKPEVRDGMELVPQPEDSTAICQCHRKKPSREEL
+>DECOY_sp|Q7Z4H8|KDEL2_HUMAN KDEL motif-containing protein 2 OS=Homo sapiens OX=9606 GN=KDELC2 PE=1 SV=2
+LEERSPKKRHCQCIATSDEPQPVLEMGDRVEPKSSQREAYKQLVQYYYCYLRHPQLLDRAMLQGEKAIKKAEEDNEKAWKVKELLDSLNRKIPVYHKWPELAMYFHEYYPSDQKLVLSDGLMLYPYRYAAVTGDVNVQYKYKFFDFFGMLKAKGLEKEKEQFFFYGTIGADLLQPNEKSLQVLQLREERSDRGRFFARETKNIWSPGTNGQISLLDNTVGRMAELMSHTIDYTPLVVDRSDLSGCWSIIPIPSPTGNVKRHELPWDGLNVYFELDPLLVKRTLSLLIEDSFMKFDTYKGLSRRYVHNNLITYHVIAGREDGFRKPVEKLMQQLNISPFSAFDKAIQPEKTPCSLTKQWAQPDEPCECYEHYVPGKLIYPSQAVHEDGYLVEIKLGEDVTEYMRYRMLFTGDNRDLPKPVHIRVLEKPSLSKVVVKFPTEGAPSRTLNQGESNVAQLYFYRVPLVVAAQLGPGWVLSRPASVLVEPAGAAVLLALRLQLLLARPLRRM
+>sp|Q96L93|KI16B_HUMAN Kinesin-like protein KIF16B OS=Homo sapiens OX=9606 GN=KIF16B PE=1 SV=2
+MASVKVAVRVRPMNRREKDLEAKFIIQMEKSKTTITNLKIPEGGTGDSGRERTKTFTYDFSFYSADTKSPDYVSQEMVFKTLGTDVVKSAFEGYNACVFAYGQTGSGKSYTMMGNSGDSGLIPRICEGLFSRINETTRWDEASFRTEVSYLEIYNERVRDLLRRKSSKTFNLRVREHPKEGPYVEDLSKHLVQNYGDVEELMDAGNINRTTAATGMNDVSSRSHAIFTIKFTQAKFDSEMPCETVSKIHLVDLAGSERADATGATGVRLKEGGNINKSLVTLGNVISALADLSQDAANTLAKKKQVFVPYRDSVLTWLLKDSLGGNSKTIMIATISPADVNYGETLSTLRYANRAKNIINKPTINEDANVKLIRELRAEIARLKTLLAQGNQIALLDSPTALSMEEKLQQNEARVQELTKEWTNKWNETQNILKEQTLALRKEGIGVVLDSELPHLIGIDDDLLSTGIILYHLKEGQTYVGRDDASTEQDIVLHGLDLESEHCIFENIGGTVTLIPLSGSQCSVNGVQIVEATHLNQGAVILLGRTNMFRFNHPKEAAKLREKRKSGLLSSFSLSMTDLSKSRENLSAVMLYNPGLEFERQQREELEKLESKRKLIEEMEEKQKSDKAELERMQQEVETQRKETEIVQLQIRKQEESLKRRSFHIENKLKDLLAEKEKFEEERLREQQEIELQKKRQEEETFLRVQEELQRLKELNNNEKAEKFQIFQELDQLQKEKDEQYAKLELEKKRLEEQEKEQVMLVAHLEEQLREKQEMIQLLRRGEVQWVEEEKRDLEGIRESLLRVKEARAGGDEDGEELEKAQLRFFEFKRRQLVKLVNLEKDLVQQKDILKKEVQEEQEILECLKCEHDKESRLLEKHDESVTDVTEVPQDFEKIKPVEYRLQYKERQLQYLLQNHLPTLLEEKQRAFEILDRGPLSLDNTLYQVEKEMEEKEEQLAQYQANANQLQKLQATFEFTANIARQEEKVRKKEKEILESREKQQREALERALARLERRHSALQRHSTLGMEIEEQRQKLASLNSGSREQSGLQASLEAEQEALEKDQERLEYEIQQLKQKIYEVDGVQKDHHGTLEGKVASSSLPVSAEKSHLVPLMDARINAYIEEEVQRRLQDLHRVISEGCSTSADTMKDNEKLHNGTIQRKLKYERMVSRSLGANPDDLKDPIKISIPRYVLCGQGKDAHFEFEVKITVLDETWTVFRRYSRFREMHKTLKLKYAELAALEFPPKKLFGNKDERVIAERRSHLEKYLRDFFSVMLQSATSPLHINKVGLTLSKHTICEFSPFFKKGVFDYSSHGTG
+>DECOY_sp|Q96L93|KI16B_HUMAN Kinesin-like protein KIF16B OS=Homo sapiens OX=9606 GN=KIF16B PE=1 SV=2
+GTGHSSYDFVGKKFFPSFECITHKSLTLGVKNIHLPSTASQLMVSFFDRLYKELHSRREAIVREDKNGFLKKPPFELAALEAYKLKLTKHMERFRSYRRFVTWTEDLVTIKVEFEFHADKGQGCLVYRPISIKIPDKLDDPNAGLSRSVMREYKLKRQITGNHLKENDKMTDASTSCGESIVRHLDQLRRQVEEEIYANIRADMLPVLHSKEASVPLSSSAVKGELTGHHDKQVGDVEYIKQKLQQIEYELREQDKELAEQEAELSAQLGSQERSGSNLSALKQRQEEIEMGLTSHRQLASHRRELRALARELAERQQKERSELIEKEKKRVKEEQRAINATFEFTAQLKQLQNANAQYQALQEEKEEMEKEVQYLTNDLSLPGRDLIEFARQKEELLTPLHNQLLYQLQREKYQLRYEVPKIKEFDQPVETVDTVSEDHKELLRSEKDHECKLCELIEQEEQVEKKLIDKQQVLDKELNVLKVLQRRKFEFFRLQAKELEEGDEDGGARAEKVRLLSERIGELDRKEEEVWQVEGRRLLQIMEQKERLQEELHAVLMVQEKEQEELRKKELELKAYQEDKEKQLQDLEQFIQFKEAKENNNLEKLRQLEEQVRLFTEEEQRKKQLEIEQQERLREEEFKEKEALLDKLKNEIHFSRRKLSEEQKRIQLQVIETEKRQTEVEQQMRELEAKDSKQKEEMEEILKRKSELKELEERQQREFELGPNYLMVASLNERSKSLDTMSLSFSSLLGSKRKERLKAAEKPHNFRFMNTRGLLIVAGQNLHTAEVIQVGNVSCQSGSLPILTVTGGINEFICHESELDLGHLVIDQETSADDRGVYTQGEKLHYLIIGTSLLDDDIGILHPLESDLVVGIGEKRLALTQEKLINQTENWKNTWEKTLEQVRAENQQLKEEMSLATPSDLLAIQNGQALLTKLRAIEARLERILKVNADENITPKNIINKARNAYRLTSLTEGYNVDAPSITAIMITKSNGGLSDKLLWTLVSDRYPVFVQKKKALTNAADQSLDALASIVNGLTVLSKNINGGEKLRVGTAGTADARESGALDVLHIKSVTECPMESDFKAQTFKITFIAHSRSSVDNMGTAATTRNINGADMLEEVDGYNQVLHKSLDEVYPGEKPHERVRLNFTKSSKRRLLDRVRENYIELYSVETRFSAEDWRTTENIRSFLGECIRPILGSDGSNGMMTYSKGSGTQGYAFVCANYGEFASKVVDTGLTKFVMEQSVYDPSKTDASYFSFDYTFTKTRERGSDGTGGEPIKLNTITTKSKEMQIIFKAELDKERRNMPRVRVAVKVSAM
+>sp|Q8N109|KI2LA_HUMAN Killer cell immunoglobulin-like receptor 2DL5A OS=Homo sapiens OX=9606 GN=KIR2DL5A PE=3 SV=1
+MSLMVISMACVGFFLLQGAWTHEGGQDKPLLSAWPSAVVPRGGHVTLLCRSRLGFTIFSLYKEDGVPVPELYNKIFWKSILMGPVTPAHAGTYRCRGSHPRSPIEWSAPSNPLVIVVTGLFGKPSLSAQPGPTVRTGENVTLSCSSRSSFDMYHLSREGRAHEPRLPAVPSVNGTFQADFPLGPATHGGTYTCFGSLHDSPYEWSDPSDPLLVSVTGNSSSSSSSPTEPSSKTGIRRHLHILIGTSVAIILFIILFFFLLHCCCSNKKNAAVMDQEPAGDRTVNREDSDDQDPQEVTYAQLDHCVFTQTKITSPSQRPKTPPTDTTMYMELPNAKPRSLSPAHKHHSQALRGSSRETTALSQNRVASSHVPAAGI
+>DECOY_sp|Q8N109|KI2LA_HUMAN Killer cell immunoglobulin-like receptor 2DL5A OS=Homo sapiens OX=9606 GN=KIR2DL5A PE=3 SV=1
+IGAAPVHSSAVRNQSLATTERSSGRLAQSHHKHAPSLSRPKANPLEMYMTTDTPPTKPRQSPSTIKTQTFVCHDLQAYTVEQPDQDDSDERNVTRDGAPEQDMVAANKKNSCCCHLLFFFLIIFLIIAVSTGILIHLHRRIGTKSSPETPSSSSSSSNGTVSVLLPDSPDSWEYPSDHLSGFCTYTGGHTAPGLPFDAQFTGNVSPVAPLRPEHARGERSLHYMDFSSRSSCSLTVNEGTRVTPGPQASLSPKGFLGTVVIVLPNSPASWEIPSRPHSGRCRYTGAHAPTVPGMLISKWFIKNYLEPVPVGDEKYLSFITFGLRSRCLLTVHGGRPVVASPWASLLPKDQGGEHTWAGQLLFFGVCAMSIVMLSM
+>sp|Q14954|KI2S1_HUMAN Killer cell immunoglobulin-like receptor 2DS1 OS=Homo sapiens OX=9606 GN=KIR2DS1 PE=1 SV=2
+MSLTVVSMACVGFFLLQGAWPHEGVHRKPSLLAHPGRLVKSEETVILQCWSDVMFEHFLLHREGMFNDTLRLIGEHHDGVSKANFSISRMKQDLAGTYRCYGSVTHSPYQLSAPSDPLDIVIIGLYEKPSLSAQPGPTVLAGENVTLSCSSRSSYDMYHLSREGEAHERRLPAGTKVNGTFQANFPLGPATHGGTYRCFGSFRDSPYEWSKSSDPLLVSVTGNPSNSWPSPTEPSSETGNPRHLHVLIGTSVVKIPFTILLFFLLHRWCSDKKNAAVMDQEPAGNRTVNSEDSDEQDHQEVSYA
+>DECOY_sp|Q14954|KI2S1_HUMAN Killer cell immunoglobulin-like receptor 2DS1 OS=Homo sapiens OX=9606 GN=KIR2DS1 PE=1 SV=2
+AYSVEQHDQEDSDESNVTRNGAPEQDMVAANKKDSCWRHLLFFLLITFPIKVVSTGILVHLHRPNGTESSPETPSPWSNSPNGTVSVLLPDSSKSWEYPSDRFSGFCRYTGGHTAPGLPFNAQFTGNVKTGAPLRREHAEGERSLHYMDYSSRSSCSLTVNEGALVTPGPQASLSPKEYLGIIVIDLPDSPASLQYPSHTVSGYCRYTGALDQKMRSISFNAKSVGDHHEGILRLTDNFMGERHLLFHEFMVDSWCQLIVTEESKVLRGPHALLSPKRHVGEHPWAGQLLFFGVCAMSVVTLSM
+>sp|P43632|KI2S4_HUMAN Killer cell immunoglobulin-like receptor 2DS4 OS=Homo sapiens OX=9606 GN=KIR2DS4 PE=1 SV=2
+MSLMVIIMACVGFFLLQGAWPQEGVHRKPSFLALPGHLVKSEETVILQCWSDVMFEHFLLHREGKFNNTLHLIGEHHDGVSKANFSIGPMMPVLAGTYRCYGSVPHSPYQLSAPSDPLDMVIIGLYEKPSLSAQPGPTVQAGENVTLSCSSRSSYDMYHLSREGEAHERRLPAVRSINGTFQADFPLGPATHGGTYRCFGSFRDAPYEWSNSSDPLLVSVTGNPSNSWPSPTEPSSKTGNPRHLHVLIGTSVVKIPFTILLFFLLHRWCSDKKNAAVMDQEPAGNRTVNSEDSDEQDHQEVSYA
+>DECOY_sp|P43632|KI2S4_HUMAN Killer cell immunoglobulin-like receptor 2DS4 OS=Homo sapiens OX=9606 GN=KIR2DS4 PE=1 SV=2
+AYSVEQHDQEDSDESNVTRNGAPEQDMVAANKKDSCWRHLLFFLLITFPIKVVSTGILVHLHRPNGTKSSPETPSPWSNSPNGTVSVLLPDSSNSWEYPADRFSGFCRYTGGHTAPGLPFDAQFTGNISRVAPLRREHAEGERSLHYMDYSSRSSCSLTVNEGAQVTPGPQASLSPKEYLGIIVMDLPDSPASLQYPSHPVSGYCRYTGALVPMMPGISFNAKSVGDHHEGILHLTNNFKGERHLLFHEFMVDSWCQLIVTEESKVLHGPLALFSPKRHVGEQPWAGQLLFFGVCAMIIVMLSM
+>sp|P43629|KI3L1_HUMAN Killer cell immunoglobulin-like receptor 3DL1 OS=Homo sapiens OX=9606 GN=KIR3DL1 PE=1 SV=1
+MSLMVVSMACVGLFLVQRAGPHMGGQDKPFLSAWPSAVVPRGGHVTLRCHYRHRFNNFMLYKEDRIHIPIFHGRIFQESFNMSPVTTAHAGNYTCRGSHPHSPTGWSAPSNPVVIMVTGNHRKPSLLAHPGPLVKSGERVILQCWSDIMFEHFFLHKEGISKDPSRLVGQIHDGVSKANFSIGPMMLALAGTYRCYGSVTHTPYQLSAPSDPLDIVVTGPYEKPSLSAQPGPKVQAGESVTLSCSSRSSYDMYHLSREGGAHERRLPAVRKVNRTFQADFPLGPATHGGTYRCFGSFRHSPYEWSDPSDPLLVSVTGNPSSSWPSPTEPSSKSGNPRHLHILIGTSVVIILFILLLFFLLHLWCSNKKNAAVMDQEPAGNRTANSEDSDEQDPEEVTYAQLDHCVFTQRKITRPSQRPKTPPTDTILYTELPNAKPRSKVVSCP
+>DECOY_sp|P43629|KI3L1_HUMAN Killer cell immunoglobulin-like receptor 3DL1 OS=Homo sapiens OX=9606 GN=KIR3DL1 PE=1 SV=1
+PCSVVKSRPKANPLETYLITDTPPTKPRQSPRTIKRQTFVCHDLQAYTVEEPDQEDSDESNATRNGAPEQDMVAANKKNSCWLHLLFFLLLIFLIIVVSTGILIHLHRPNGSKSSPETPSPWSSSPNGTVSVLLPDSPDSWEYPSHRFSGFCRYTGGHTAPGLPFDAQFTRNVKRVAPLRREHAGGERSLHYMDYSSRSSCSLTVSEGAQVKPGPQASLSPKEYPGTVVIDLPDSPASLQYPTHTVSGYCRYTGALALMMPGISFNAKSVGDHIQGVLRSPDKSIGEKHLFFHEFMIDSWCQLIVREGSKVLPGPHALLSPKRHNGTVMIVVPNSPASWGTPSHPHSGRCTYNGAHATTVPSMNFSEQFIRGHFIPIHIRDEKYLMFNNFRHRYHCRLTVHGGRPVVASPWASLFPKDQGGMHPGARQVLFLGVCAMSVVMLSM
+>sp|Q8N743|KI3L3_HUMAN Killer cell immunoglobulin-like receptor 3DL3 OS=Homo sapiens OX=9606 GN=KIR3DL3 PE=2 SV=2
+MSLMVVSMACVGFFLLEGPWPHVGGQDKPFLSAWPGTVVSEGQHVTLQCRSRLGFNEFSLSKEDGMPVPELYNRIFRNSFLMGPVTPAHAGTYRCCSSHPHSPTGWSAPSNPVVIMVTGVHRKPSLLAHPGPLVKSGETVILQCWSDVRFERFLLHREGITEDPLRLVGQLHDAGSQVNYSMGPMTPALAGTYRCFGSVTHLPYELSAPSDPLDIVVVGLYGKPSLSAQPGPTVQAGENVTLSCSSRSLFDIYHLSREAEAGELRLTAVLRVNGTFQANFPLGPVTHGGNYRCFGSFRALPHAWSDPSDPLPVSVTGNSRHLHVLIGTSVVIIPFAILLFFLLHRWCANKKNAVVMDQEPAGNRTVNREDSDEQDPQEVTYAQLNHCVFTQRKITRPSQRPKTPPTDTSV
+>DECOY_sp|Q8N743|KI3L3_HUMAN Killer cell immunoglobulin-like receptor 3DL3 OS=Homo sapiens OX=9606 GN=KIR3DL3 PE=2 SV=2
+VSTDTPPTKPRQSPRTIKRQTFVCHNLQAYTVEQPDQEDSDERNVTRNGAPEQDMVVANKKNACWRHLLFFLLIAFPIIVVSTGILVHLHRSNGTVSVPLPDSPDSWAHPLARFSGFCRYNGGHTVPGLPFNAQFTGNVRLVATLRLEGAEAERSLHYIDFLSRSSCSLTVNEGAQVTPGPQASLSPKGYLGVVVIDLPDSPASLEYPLHTVSGFCRYTGALAPTMPGMSYNVQSGADHLQGVLRLPDETIGERHLLFREFRVDSWCQLIVTEGSKVLPGPHALLSPKRHVGTVMIVVPNSPASWGTPSHPHSSCCRYTGAHAPTVPGMLFSNRFIRNYLEPVPMGDEKSLSFENFGLRSRCQLTVHQGESVVTGPWASLFPKDQGGVHPWPGELLFFGVCAMSVVMLSM
+>sp|P46013|KI67_HUMAN Proliferation marker protein Ki-67 OS=Homo sapiens OX=9606 GN=MKI67 PE=1 SV=2
+MWPTRRLVTIKRSGVDGPHFPLSLSTCLFGRGIECDIRIQLPVVSKQHCKIEIHEQEAILHNFSSTNPTQVNGSVIDEPVRLKHGDVITIIDRSFRYENESLQNGRKSTEFPRKIREQEPARRVSRSSFSSDPDEKAQDSKAYSKITEGKVSGNPQVHIKNVKEDSTADDSKDSVAQGTTNVHSSEHAGRNGRNAADPISGDFKEISSVKLVSRYGELKSVPTTQCLDNSKKNESPFWKLYESVKKELDVKSQKENVLQYCRKSGLQTDYATEKESADGLQGETQLLVSRKSRPKSGGSGHAVAEPASPEQELDQNKGKGRDVESVQTPSKAVGASFPLYEPAKMKTPVQYSQQQNSPQKHKNKDLYTTGRRESVNLGKSEGFKAGDKTLTPRKLSTRNRTPAKVEDAADSATKPENLSSKTRGSIPTDVEVLPTETEIHNEPFLTLWLTQVERKIQKDSLSKPEKLGTTAGQMCSGLPGLSSVDINNFGDSINESEGIPLKRRRVSFGGHLRPELFDENLPPNTPLKRGEAPTKRKSLVMHTPPVLKKIIKEQPQPSGKQESGSEIHVEVKAQSLVISPPAPSPRKTPVASDQRRRSCKTAPASSSKSQTEVPKRGGRKSGNLPSKRVSISRSQHDILQMICSKRRSGASEANLIVAKSWADVVKLGAKQTQTKVIKHGPQRSMNKRQRRPATPKKPVGEVHSQFSTGHANSPCTIIIGKAHTEKVHVPARPYRVLNNFISNQKMDFKEDLSGIAEMFKTPVKEQPQLTSTCHIAISNSENLLGKQFQGTDSGEEPLLPTSESFGGNVFFSAQNAAKQPSDKCSASPPLRRQCIRENGNVAKTPRNTYKMTSLETKTSDTETEPSKTVSTANRSGRSTEFRNIQKLPVESKSEETNTEIVECILKRGQKATLLQQRREGEMKEIERPFETYKENIELKENDEKMKAMKRSRTWGQKCAPMSDLTDLKSLPDTELMKDTARGQNLLQTQDHAKAPKSEKGKITKMPCQSLQPEPINTPTHTKQQLKASLGKVGVKEELLAVGKFTRTSGETTHTHREPAGDGKSIRTFKESPKQILDPAARVTGMKKWPRTPKEEAQSLEDLAGFKELFQTPGPSEESMTDEKTTKIACKSPPPESVDTPTSTKQWPKRSLRKADVEEEFLALRKLTPSAGKAMLTPKPAGGDEKDIKAFMGTPVQKLDLAGTLPGSKRQLQTPKEKAQALEDLAGFKELFQTPGHTEELVAAGKTTKIPCDSPQSDPVDTPTSTKQRPKRSIRKADVEGELLACRNLMPSAGKAMHTPKPSVGEEKDIIIFVGTPVQKLDLTENLTGSKRRPQTPKEEAQALEDLTGFKELFQTPGHTEEAVAAGKTTKMPCESSPPESADTPTSTRRQPKTPLEKRDVQKELSALKKLTQTSGETTHTDKVPGGEDKSINAFRETAKQKLDPAASVTGSKRHPKTKEKAQPLEDLAGLKELFQTPVCTDKPTTHEKTTKIACRSQPDPVDTPTSSKPQSKRSLRKVDVEEEFFALRKRTPSAGKAMHTPKPAVSGEKNIYAFMGTPVQKLDLTENLTGSKRRLQTPKEKAQALEDLAGFKELFQTRGHTEESMTNDKTAKVACKSSQPDPDKNPASSKRRLKTSLGKVGVKEELLAVGKLTQTSGETTHTHTEPTGDGKSMKAFMESPKQILDSAASLTGSKRQLRTPKGKSEVPEDLAGFIELFQTPSHTKESMTNEKTTKVSYRASQPDLVDTPTSSKPQPKRSLRKADTEEEFLAFRKQTPSAGKAMHTPKPAVGEEKDINTFLGTPVQKLDQPGNLPGSNRRLQTRKEKAQALEELTGFRELFQTPCTDNPTTDEKTTKKILCKSPQSDPADTPTNTKQRPKRSLKKADVEEEFLAFRKLTPSAGKAMHTPKAAVGEEKDINTFVGTPVEKLDLLGNLPGSKRRPQTPKEKAKALEDLAGFKELFQTPGHTEESMTDDKITEVSCKSPQPDPVKTPTSSKQRLKISLGKVGVKEEVLPVGKLTQTSGKTTQTHRETAGDGKSIKAFKESAKQMLDPANYGTGMERWPRTPKEEAQSLEDLAGFKELFQTPDHTEESTTDDKTTKIACKSPPPESMDTPTSTRRRPKTPLGKRDIVEELSALKQLTQTTHTDKVPGDEDKGINVFRETAKQKLDPAASVTGSKRQPRTPKGKAQPLEDLAGLKELFQTPICTDKPTTHEKTTKIACRSPQPDPVGTPTIFKPQSKRSLRKADVEEESLALRKRTPSVGKAMDTPKPAGGDEKDMKAFMGTPVQKLDLPGNLPGSKRWPQTPKEKAQALEDLAGFKELFQTPGTDKPTTDEKTTKIACKSPQPDPVDTPASTKQRPKRNLRKADVEEEFLALRKRTPSAGKAMDTPKPAVSDEKNINTFVETPVQKLDLLGNLPGSKRQPQTPKEKAEALEDLVGFKELFQTPGHTEESMTDDKITEVSCKSPQPESFKTSRSSKQRLKIPLVKVDMKEEPLAVSKLTRTSGETTQTHTEPTGDSKSIKAFKESPKQILDPAASVTGSRRQLRTRKEKARALEDLVDFKELFSAPGHTEESMTIDKNTKIPCKSPPPELTDTATSTKRCPKTRPRKEVKEELSAVERLTQTSGQSTHTHKEPASGDEGIKVLKQRAKKKPNPVEEEPSRRRPRAPKEKAQPLEDLAGFTELSETSGHTQESLTAGKATKIPCESPPLEVVDTTASTKRHLRTRVQKVQVKEEPSAVKFTQTSGETTDADKEPAGEDKGIKALKESAKQTPAPAASVTGSRRRPRAPRESAQAIEDLAGFKDPAAGHTEESMTDDKTTKIPCKSSPELEDTATSSKRRPRTRAQKVEVKEELLAVGKLTQTSGETTHTDKEPVGEGKGTKAFKQPAKRKLDAEDVIGSRRQPRAPKEKAQPLEDLASFQELSQTPGHTEELANGAADSFTSAPKQTPDSGKPLKISRRVLRAPKVEPVGDVVSTRDPVKSQSKSNTSLPPLPFKRGGGKDGSVTGTKRLRCMPAPEEIVEELPASKKQRVAPRARGKSSEPVVIMKRSLRTSAKRIEPAEELNSNDMKTNKEEHKLQDSVPENKGISLRSRRQNKTEAEQQITEVFVLAERIEINRNEKKPMKTSPEMDIQNPDDGARKPIPRDKVTENKRCLRSARQNESSQPKVAEESGGQKSAKVLMQNQKGKGEAGNSDSMCLRSRKTKSQPAASTLESKSVQRVTRSVKRCAENPKKAEDNVCVKKIRTRSHRDSEDI
+>DECOY_sp|P46013|KI67_HUMAN Proliferation marker protein Ki-67 OS=Homo sapiens OX=9606 GN=MKI67 PE=1 SV=2
+IDESDRHSRTRIKKVCVNDEAKKPNEACRKVSRTVRQVSKSELTSAAPQSKTKRSRLCMSDSNGAEGKGKQNQMLVKASKQGGSEEAVKPQSSENQRASRLCRKNETVKDRPIPKRAGDDPNQIDMEPSTKMPKKENRNIEIREALVFVETIQQEAETKNQRRSRLSIGKNEPVSDQLKHEEKNTKMDNSNLEEAPEIRKASTRLSRKMIVVPESSKGRARPAVRQKKSAPLEEVIEEPAPMCRLRKTGTVSGDKGGGRKFPLPPLSTNSKSQSKVPDRTSVVDGVPEVKPARLVRRSIKLPKGSDPTQKPASTFSDAAGNALEETHGPTQSLEQFSALDELPQAKEKPARPQRRSGIVDEADLKRKAPQKFAKTGKGEGVPEKDTHTTEGSTQTLKGVALLEEKVEVKQARTRPRRKSSTATDELEPSSKCPIKTTKDDTMSEETHGAAPDKFGALDEIAQASERPARPRRRSGTVSAAPAPTQKASEKLAKIGKDEGAPEKDADTTEGSTQTFKVASPEEKVQVKQVRTRLHRKTSATTDVVELPPSECPIKTAKGATLSEQTHGSTESLETFGALDELPQAKEKPARPRRRSPEEEVPNPKKKARQKLVKIGEDGSAPEKHTHTSQGSTQTLREVASLEEKVEKRPRTKPCRKTSTATDTLEPPPSKCPIKTNKDITMSEETHGPASFLEKFDVLDELARAKEKRTRLQRRSGTVSAAPDLIQKPSEKFAKISKSDGTPETHTQTTEGSTRTLKSVALPEEKMDVKVLPIKLRQKSSRSTKFSEPQPSKCSVETIKDDTMSEETHGPTQFLEKFGVLDELAEAKEKPTQPQRKSGPLNGLLDLKQVPTEVFTNINKEDSVAPKPTDMAKGASPTRKRLALFEEEVDAKRLNRKPRQKTSAPTDVPDPQPSKCAIKTTKEDTTPKDTGPTQFLEKFGALDELAQAKEKPTQPWRKSGPLNGPLDLKQVPTGMFAKMDKEDGGAPKPTDMAKGVSPTRKRLALSEEEVDAKRLSRKSQPKFITPTGVPDPQPSRCAIKTTKEHTTPKDTCIPTQFLEKLGALDELPQAKGKPTRPQRKSGTVSAAPDLKQKATERFVNIGKDEDGPVKDTHTTQTLQKLASLEEVIDRKGLPTKPRRRTSTPTDMSEPPPSKCAIKTTKDDTTSEETHDPTQFLEKFGALDELSQAEEKPTRPWREMGTGYNAPDLMQKASEKFAKISKGDGATERHTQTTKGSTQTLKGVPLVEEKVGVKGLSIKLRQKSSTPTKVPDPQPSKCSVETIKDDTMSEETHGPTQFLEKFGALDELAKAKEKPTQPRRKSGPLNGLLDLKEVPTGVFTNIDKEEGVAAKPTHMAKGASPTLKRFALFEEEVDAKKLSRKPRQKTNTPTDAPDSQPSKCLIKKTTKEDTTPNDTCPTQFLERFGTLEELAQAKEKRTQLRRNSGPLNGPQDLKQVPTGLFTNIDKEEGVAPKPTHMAKGASPTQKRFALFEEETDAKRLSRKPQPKSSTPTDVLDPQSARYSVKTTKENTMSEKTHSPTQFLEIFGALDEPVESKGKPTRLQRKSGTLSAASDLIQKPSEMFAKMSKGDGTPETHTHTTEGSTQTLKGVALLEEKVGVKGLSTKLRRKSSAPNKDPDPQSSKCAVKATKDNTMSEETHGRTQFLEKFGALDELAQAKEKPTQLRRKSGTLNETLDLKQVPTGMFAYINKEGSVAPKPTHMAKGASPTRKRLAFFEEEVDVKRLSRKSQPKSSTPTDVPDPQSRCAIKTTKEHTTPKDTCVPTQFLEKLGALDELPQAKEKTKPHRKSGTVSAAPDLKQKATERFANISKDEGGPVKDTHTTEGSTQTLKKLASLEKQVDRKELPTKPQRRTSTPTDASEPPSSECPMKTTKGAAVAEETHGPTQFLEKFGTLDELAQAEEKPTQPRRKSGTLNETLDLKQVPTGVFIIIDKEEGVSPKPTHMAKGASPMLNRCALLEGEVDAKRISRKPRQKTSTPTDVPDSQPSDCPIKTTKGAAVLEETHGPTQFLEKFGALDELAQAKEKPTQLQRKSGPLTGALDLKQVPTGMFAKIDKEDGGAPKPTLMAKGASPTLKRLALFEEEVDAKRLSRKPWQKTSTPTDVSEPPPSKCAIKTTKEDTMSEESPGPTQFLEKFGALDELSQAEEKPTRPWKKMGTVRAAPDLIQKPSEKFTRISKGDGAPERHTHTTEGSTRTFKGVALLEEKVGVKGLSAKLQQKTHTPTNIPEPQLSQCPMKTIKGKESKPAKAHDQTQLLNQGRATDKMLETDPLSKLDTLDSMPACKQGWTRSRKMAKMKEDNEKLEINEKYTEFPREIEKMEGERRQQLLTAKQGRKLICEVIETNTEESKSEVPLKQINRFETSRGSRNATSVTKSPETETDSTKTELSTMKYTNRPTKAVNGNERICQRRLPPSASCKDSPQKAANQASFFVNGGFSESTPLLPEEGSDTGQFQKGLLNESNSIAIHCTSTLQPQEKVPTKFMEAIGSLDEKFDMKQNSIFNNLVRYPRAPVHVKETHAKGIIITCPSNAHGTSFQSHVEGVPKKPTAPRRQRKNMSRQPGHKIVKTQTQKAGLKVVDAWSKAVILNAESAGSRRKSCIMQLIDHQSRSISVRKSPLNGSKRGGRKPVETQSKSSSAPATKCSRRRQDSAVPTKRPSPAPPSIVLSQAKVEVHIESGSEQKGSPQPQEKIIKKLVPPTHMVLSKRKTPAEGRKLPTNPPLNEDFLEPRLHGGFSVRRRKLPIGESENISDGFNNIDVSSLGPLGSCMQGATTGLKEPKSLSDKQIKREVQTLWLTLFPENHIETETPLVEVDTPISGRTKSSLNEPKTASDAADEVKAPTRNRTSLKRPTLTKDGAKFGESKGLNVSERRGTTYLDKNKHKQPSNQQQSYQVPTKMKAPEYLPFSAGVAKSPTQVSEVDRGKGKNQDLEQEPSAPEAVAHGSGGSKPRSKRSVLLQTEGQLGDASEKETAYDTQLGSKRCYQLVNEKQSKVDLEKKVSEYLKWFPSENKKSNDLCQTTPVSKLEGYRSVLKVSSIEKFDGSIPDAANRGNRGAHESSHVNTTGQAVSDKSDDATSDEKVNKIHVQPNGSVKGETIKSYAKSDQAKEDPDSSFSSRSVRRAPEQERIKRPFETSKRGNQLSENEYRFSRDIITIVDGHKLRVPEDIVSGNVQTPNTSSFNHLIAEQEHIEIKCHQKSVVPLQIRIDCEIGRGFLCTSLSLPFHPGDVGSRKITVLRRTPWM
+>sp|Q8IX03|KIBRA_HUMAN Protein KIBRA OS=Homo sapiens OX=9606 GN=WWC1 PE=1 SV=1
+MPRPELPLPEGWEEARDFDGKVYYIDHTNRTTSWIDPRDRYTKPLTFADCISDELPLGWEEAYDPQVGDYFIDHNTKTTQIEDPRVQWRREQEHMLKDYLVVAQEALSAQKEIYQVKQQRLELAQQEYQQLHAVWEHKLGSQVSLVSGSSSSSKYDPEILKAEIATAKSRVNKLKREMVHLQHELQFKERGFQTLKKIDKKMSDAQGSYKLDEAQAVLRETKAIKKAITCGEKEKQDLIKSLAMLKDGFRTDRGSHSDLWSSSSSLESSSFPLPKQYLDVSSQTDISGSFGINSNNQLAEKVRLRLRYEEAKRRIANLKIQLAKLDSEAWPGVLDSERDRLILINEKEELLKEMRFISPRKWTQGEVEQLEMARKRLEKDLQAARDTQSKALTERLKLNSKRNQLVRELEEATRQVATLHSQLKSLSSSMQSLSSGSSPGSLTSSRGSLVASSLDSSTSASFTDLYYDPFEQLDSELQSKVEFLLLEGATGFRPSGCITTIHEDEVAKTQKAEGGGRLQALRSLSGTPKSMTSLSPRSSLSSPSPPCSPLMADPLLAGDAFLNSLEFEDPELSATLCELSLGNSAQERYRLEEPGTEGKQLGQAVNTAQGCGLKVACVSAAVSDESVAGDSGVYEASVQRLGASEAAAFDSDESEAVGATRIQIALKYDEKNKQFAILIIQLSNLSALLQQQDQKVNIRVAVLPCSESTTCLFRTRPLDASDTLVFNEVFWVSMSYPALHQKTLRVDVCTTDRSHLEECLGGAQISLAEVCRSGERSTRWYNLLSYKYLKKQSRELKPVGVMAPASGPASTDAVSALLEQTAVELEKRQEGRSSTQTLEDSWRYEETSENEAVAEEEEEEVEEEEGEEDVFTEKASPDMDGYPALKVDKETNTETPAPSPTVVRPKDRRVGTPSQGPFLRGSTIIRSKTFSPGPQSQYVCRLNRSDSDSSTLSKKPPFVRNSLERRSVRMKRPSSVKSLRSERLIRTSLDLELDLQATRTWHSQLTQEISVLKELKEQLEQAKSHGEKELPQWLREDERFRLLLRMLEKRQMDRAEHKGELQTDKMMRAAAKDVHRLRGQSCKEPPEVQSFREKMAFFTRPRMNIPALSADDV
+>DECOY_sp|Q8IX03|KIBRA_HUMAN Protein KIBRA OS=Homo sapiens OX=9606 GN=WWC1 PE=1 SV=1
+VDDASLAPINMRPRTFFAMKERFSQVEPPEKCSQGRLRHVDKAAARMMKDTQLEGKHEARDMQRKELMRLLLRFREDERLWQPLEKEGHSKAQELQEKLEKLVSIEQTLQSHWTRTAQLDLELDLSTRILRESRLSKVSSPRKMRVSRRELSNRVFPPKKSLTSSDSDSRNLRCVYQSQPGPSFTKSRIITSGRLFPGQSPTGVRRDKPRVVTPSPAPTETNTEKDVKLAPYGDMDPSAKETFVDEEGEEEEVEEEEEEAVAENESTEEYRWSDELTQTSSRGEQRKELEVATQELLASVADTSAPGSAPAMVGVPKLERSQKKLYKYSLLNYWRTSREGSRCVEALSIQAGGLCEELHSRDTTCVDVRLTKQHLAPYSMSVWFVENFVLTDSADLPRTRFLCTTSESCPLVAVRINVKQDQQQLLASLNSLQIILIAFQKNKEDYKLAIQIRTAGVAESEDSDFAAAESAGLRQVSAEYVGSDGAVSEDSVAASVCAVKLGCGQATNVAQGLQKGETGPEELRYREQASNGLSLECLTASLEPDEFELSNLFADGALLPDAMLPSCPPSPSSLSSRPSLSTMSKPTGSLSRLAQLRGGGEAKQTKAVEDEHITTICGSPRFGTAGELLLFEVKSQLESDLQEFPDYYLDTFSASTSSDLSSAVLSGRSSTLSGPSSGSSLSQMSSSLSKLQSHLTAVQRTAEELERVLQNRKSNLKLRETLAKSQTDRAAQLDKELRKRAMELQEVEGQTWKRPSIFRMEKLLEEKENILILRDRESDLVGPWAESDLKALQIKLNAIRRKAEEYRLRLRVKEALQNNSNIGFSGSIDTQSSVDLYQKPLPFSSSELSSSSSWLDSHSGRDTRFGDKLMALSKILDQKEKEGCTIAKKIAKTERLVAQAEDLKYSGQADSMKKDIKKLTQFGREKFQLEHQLHVMERKLKNVRSKATAIEAKLIEPDYKSSSSSGSVLSVQSGLKHEWVAHLQQYEQQALELRQQKVQYIEKQASLAEQAVVLYDKLMHEQERRWQVRPDEIQTTKTNHDIFYDGVQPDYAEEWGLPLEDSICDAFTLPKTYRDRPDIWSTTRNTHDIYYVKGDFDRAEEWGEPLPLEPRPM
+>sp|Q2M2Z5|KIZ_HUMAN Centrosomal protein kizuna OS=Homo sapiens OX=9606 GN=KIZ PE=1 SV=2
+MSRTLASAVPLSSPDYYERLGQLQHGLRDSEKKRLDLEKKLYEYNQSDTCRVKLKYVKLKNYLKEICESEKKAHTRNQEYLKRFERVQAHVVHFTTNTEKLQKLKLEYETQIKKMLCSKDSLGLKEELTDEDREKVAVHEGINSGTAMSRGLYQPATIFMGRQMSAILSMRDFSTEHKSPQPTKNFSIPDPHSHRQTAQSSNVTDSCVVQTSNDTQCLNKSDNIDGKASLQIGEKMPVTASVLSEEEQTHCLEIGSNTRHGKSNLSEGKKSAELNSPLRERLSPENRTTDLKCDSSSGSEGEILTREHIEVEEKRASPPVSPIPVSEYCESENKWSQEKHSPWEGVSDHLAHREPKSQKPFRKMQEEEEESWSTSSDLTISISEDDLILESPEPQPNPGGKMEGEDGIEALKLIHAEQERVALSTEKNCILQTLSSPDSEKESSTNAPTREPGQTPDSDVPRAQVGQHVATLKEHDNSVKEEATALLRKALTEECGRRSAIHSSESSCSLPSILNDNSGIKEAKPAVWLNSVPTREQEVSSGCGDKSKKENVAADIPITETEAYQLLKKATLQDNTNQTENRFQKTDASVSHLSGLNIGSGAFETKTANKIASEASFSSSEGSPLSRHENKKKPVINLKSNALWDESDDSNSEIEAALRPRNHNTDDSDDFYD
+>DECOY_sp|Q2M2Z5|KIZ_HUMAN Centrosomal protein kizuna OS=Homo sapiens OX=9606 GN=KIZ PE=1 SV=2
+DYFDDSDDTNHNRPRLAAEIESNSDDSEDWLANSKLNIVPKKKNEHRSLPSGESSSFSAESAIKNATKTEFAGSGINLGSLHSVSADTKQFRNETQNTNDQLTAKKLLQYAETETIPIDAAVNEKKSKDGCGSSVEQERTPVSNLWVAPKAEKIGSNDNLISPLSCSSESSHIASRRGCEETLAKRLLATAEEKVSNDHEKLTAVHQGVQARPVDSDPTQGPERTPANTSSEKESDPSSLTQLICNKETSLAVREQEAHILKLAEIGDEGEMKGGPNPQPEPSELILDDESISITLDSSTSWSEEEEEQMKRFPKQSKPERHALHDSVGEWPSHKEQSWKNESECYESVPIPSVPPSARKEEVEIHERTLIEGESGSSSDCKLDTTRNEPSLRERLPSNLEASKKGESLNSKGHRTNSGIELCHTQEEESLVSATVPMKEGIQLSAKGDINDSKNLCQTDNSTQVVCSDTVNSSQATQRHSHPDPISFNKTPQPSKHETSFDRMSLIASMQRGMFITAPQYLGRSMATGSNIGEHVAVKERDEDTLEEKLGLSDKSCLMKKIQTEYELKLKQLKETNTTFHVVHAQVREFRKLYEQNRTHAKKESECIEKLYNKLKVYKLKVRCTDSQNYEYLKKELDLRKKESDRLGHQLQGLREYYDPSSLPVASALTRSM
+>sp|Q6P597|KLC3_HUMAN Kinesin light chain 3 OS=Homo sapiens OX=9606 GN=KLC3 PE=1 SV=2
+MSVQVAAPGSAGLGPERLSPEELVRQTRQVVQGLEALRAEHHGLAGHLAEALAGQGPAAGLEMLEEKQQVVSHSLEAIELGLGEAQVLLALSAHVGALEAEKQRLRSQARRLAQENVWLREELEETQRRLRASEESVAQLEEEKRHLEFLGQLRQYDPPAESQQSESPPRRDSLASLFPSEEEERKGPEAAGAAAAQQGGYEIPARLRTLHNLVIQYAGQGRYEVAVPLCRQALEDLERSSGHCHPDVATMLNILALVYRDQNKYKEATDLLHDALQIREQTLGPEHPAVAATLNNLAVLYGKRGRYREAEPLCQRALEIREKVLGADHPDVAKQLNNLALLCQNQGKFEDVERHYARALSIYEALGGPHDPNVAKTKNNLASAYLKQNKYQQAEELYKEILHKEDLPAPLGAPNTGTAGDAEQALRRSSSLSKIRESIRRGSEKLVSRLRGEAAAGAAGMKRAMSLNTLNVDAPRAPGTQFPSWHLDKAPRTLSASTQDLSPH
+>DECOY_sp|Q6P597|KLC3_HUMAN Kinesin light chain 3 OS=Homo sapiens OX=9606 GN=KLC3 PE=1 SV=2
+HPSLDQTSASLTRPAKDLHWSPFQTGPARPADVNLTNLSMARKMGAAGAAAEGRLRSVLKESGRRISERIKSLSSSRRLAQEADGATGTNPAGLPAPLDEKHLIEKYLEEAQQYKNQKLYASALNNKTKAVNPDHPGGLAEYISLARAYHREVDEFKGQNQCLLALNNLQKAVDPHDAGLVKERIELARQCLPEAERYRGRKGYLVALNNLTAAVAPHEPGLTQERIQLADHLLDTAEKYKNQDRYVLALINLMTAVDPHCHGSSRELDELAQRCLPVAVEYRGQGAYQIVLNHLTRLRAPIEYGGQQAAAAGAAEPGKREEEESPFLSALSDRRPPSESQQSEAPPDYQRLQGLFELHRKEEELQAVSEESARLRRQTEELEERLWVNEQALRRAQSRLRQKEAELAGVHASLALLVQAEGLGLEIAELSHSVVQQKEELMELGAAPGQGALAEALHGALGHHEARLAELGQVVQRTQRVLEEPSLREPGLGASGPAAVQVSM
+>sp|Q13118|KLF10_HUMAN Krueppel-like factor 10 OS=Homo sapiens OX=9606 GN=KLF10 PE=1 SV=1
+MLNFGASLQQTAEERMEMISERPKESMYSWNKTAEKSDFEAVEALMSMSCSWKSDFKKYVENRPVTPVSDLSEEENLLPGTPDFHTIPAFCLTPPYSPSDFEPSQVSNLMAPAPSTVHFKSLSDTAKPHIAAPFKEEEKSPVSAPKLPKAQATSVIRHTADAQLCNHQTCPMKAASILNYQNNSFRRRTHLNVEAARKNIPCAAVSPNRSKCERNTVADVDEKASAALYDFSVPSSETVICRSQPAPVSPQQKSVLVSPPAVSAGGVPPMPVICQMVPLPANNPVVTTVVPSTPPSQPPAVCPPVVFMGTQVPKGAVMFVVPQPVVQSSKPPVVSPNGTRLSPIAPAPGFSPSAAKVTPQIDSSRIRSHICSHPGCGKTYFKSSHLKAHTRTHTGEKPFSCSWKGCERRFARSDELSRHRRTHTGEKKFACPMCDRRFMRSDHLTKHARRHLSAKKLPNWQMEVSKLNDIALPPTPAPTQ
+>DECOY_sp|Q13118|KLF10_HUMAN Krueppel-like factor 10 OS=Homo sapiens OX=9606 GN=KLF10 PE=1 SV=1
+QTPAPTPPLAIDNLKSVEMQWNPLKKASLHRRAHKTLHDSRMFRRDCMPCAFKKEGTHTRRHRSLEDSRAFRRECGKWSCSFPKEGTHTRTHAKLHSSKFYTKGCGPHSCIHSRIRSSDIQPTVKAASPSFGPAPAIPSLRTGNPSVVPPKSSQVVPQPVVFMVAGKPVQTGMFVVPPCVAPPQSPPTSPVVTTVVPNNAPLPVMQCIVPMPPVGGASVAPPSVLVSKQQPSVPAPQSRCIVTESSPVSFDYLAASAKEDVDAVTNRECKSRNPSVAACPINKRAAEVNLHTRRRFSNNQYNLISAAKMPCTQHNCLQADATHRIVSTAQAKPLKPASVPSKEEEKFPAAIHPKATDSLSKFHVTSPAPAMLNSVQSPEFDSPSYPPTLCFAPITHFDPTGPLLNEEESLDSVPTVPRNEVYKKFDSKWSCSMSMLAEVAEFDSKEATKNWSYMSEKPRESIMEMREEATQQLSAGFNLM
+>sp|Q8TD94|KLF14_HUMAN Krueppel-like factor 14 OS=Homo sapiens OX=9606 GN=KLF14 PE=2 SV=2
+MSAAVACLDYFAAECLVSMSAGAVVHRRPPDPEGAGGAAGSEVGAAPPESALPGPGPPGPASVPQLPQVPAPSPGAGGAAPHLLAASVWADLRGSSGEGSWENSGEAPRASSGFSDPIPCSVQTPCSELAPASGAAAVCAPESSSDAPAVPSAPAAPGAPAASGGFSGGALGAGPAPAADQAPRRRSVTPAAKRHQCPFPGCTKAYYKSSHLKSHQRTHTGERPFSCDWLDCDKKFTRSDELARHYRTHTGEKRFSCPLCPKQFSRSDHLTKHARRHPTYHPDMIEYRGRRRTPRIDPPLTSEVESSASGSGPGPAPSFTTCL
+>DECOY_sp|Q8TD94|KLF14_HUMAN Krueppel-like factor 14 OS=Homo sapiens OX=9606 GN=KLF14 PE=2 SV=2
+LCTTFSPAPGPGSGSASSEVESTLPPDIRPTRRRGRYEIMDPHYTPHRRAHKTLHDSRSFQKPCLPCSFRKEGTHTRYHRALEDSRTFKKDCDLWDCSFPREGTHTRQHSKLHSSKYYAKTCGPFPCQHRKAAPTVSRRRPAQDAAPAPGAGLAGGSFGGSAAPAGPAAPASPVAPADSSSEPACVAAAGSAPALESCPTQVSCPIPDSFGSSARPAEGSNEWSGEGSSGRLDAWVSAALLHPAAGGAGPSPAPVQPLQPVSAPGPPGPGPLASEPPAAGVESGAAGGAGEPDPPRRHVVAGASMSVLCEAAFYDLCAVAASM
+>sp|Q99612|KLF6_HUMAN Krueppel-like factor 6 OS=Homo sapiens OX=9606 GN=KLF6 PE=1 SV=3
+MDVLPMCSIFQELQIVHETGYFSALPSLEEYWQQTCLELERYLQSEPCYVSASEIKFDSQEDLWTKIILAREKKEESELKISSSPPEDTLISPSFCYNLETNSLNSDVSSESSDSSEELSPTAKFTSDPIGEVLVSSGKLSSSVTSTPPSSPELSREPSQLWGCVPGELPSPGKVRSGTSGKPGDKGNGDASPDGRRRVHRCHFNGCRKVYTKSSHLKAHQRTHTGEKPYRCSWEGCEWRFARSDELTRHFRKHTGAKPFKCSHCDRCFSRSDHLALHMKRHL
+>DECOY_sp|Q99612|KLF6_HUMAN Krueppel-like factor 6 OS=Homo sapiens OX=9606 GN=KLF6 PE=1 SV=3
+LHRKMHLALHDSRSFCRDCHSCKFPKAGTHKRFHRTLEDSRAFRWECGEWSCRYPKEGTHTRQHAKLHSSKTYVKRCGNFHCRHVRRRGDPSADGNGKDGPKGSTGSRVKGPSPLEGPVCGWLQSPERSLEPSSPPTSTVSSSLKGSSVLVEGIPDSTFKATPSLEESSDSSESSVDSNLSNTELNYCFSPSILTDEPPSSSIKLESEEKKERALIIKTWLDEQSDFKIESASVYCPESQLYRELELCTQQWYEELSPLASFYGTEHVIQLEQFISCMPLVDM
+>sp|O95600|KLF8_HUMAN Krueppel-like factor 8 OS=Homo sapiens OX=9606 GN=KLF8 PE=1 SV=2
+MVDMDKLINNLEVQLNSEGGSMQVFKQVTASVRNRDPPEIEYRSNMTSPTLLDANPMENPALFNDIKIEPPEELLASDFSLPQVEPVDLSFHKPKAPLQPASMLQAPIRPPKPQSSPQTLVVSTSTSDMSTSANIPTVLTPGSVLTSSQSTGSQQILHVIHTIPSVSLPNKMGGLKTIPVVVQSLPMVYTTLPADGGPAAITVPLIGGDGKNAGSVKVDPTSMSPLEIPSDSEESTIESGSSALQSLQGLQQEPAAMAQMQGEESLDLKRRRIHQCDFAGCSKVYTKSSHLKAHRRIHTGEKPYKCTWDGCSWKFARSDELTRHFRKHTGIKPFRCTDCNRSFSRSDHLSLHRRRHDTM
+>DECOY_sp|O95600|KLF8_HUMAN Krueppel-like factor 8 OS=Homo sapiens OX=9606 GN=KLF8 PE=1 SV=2
+MTDHRRRHLSLHDSRSFSRNCDTCRFPKIGTHKRFHRTLEDSRAFKWSCGDWTCKYPKEGTHIRRHAKLHSSKTYVKSCGAFDCQHIRRRKLDLSEEGQMQAMAAPEQQLGQLSQLASSGSEITSEESDSPIELPSMSTPDVKVSGANKGDGGILPVTIAAPGGDAPLTTYVMPLSQVVVPITKLGGMKNPLSVSPITHIVHLIQQSGTSQSSTLVSGPTLVTPINASTSMDSTSTSVVLTQPSSQPKPPRIPAQLMSAPQLPAKPKHFSLDVPEVQPLSFDSALLEEPPEIKIDNFLAPNEMPNADLLTPSTMNSRYEIEPPDRNRVSATVQKFVQMSGGESNLQVELNNILKDMDVM
+>sp|Q6JEL2|KLH10_HUMAN Kelch-like protein 10 OS=Homo sapiens OX=9606 GN=KLHL10 PE=1 SV=1
+MEMESAAASTRFHQPHMERKMSAMACEIFNELRLEGKLCDVVIKVNGFEFSAHKNILCSCSSYFRALFTSGWNNTEKKVYNIPGISPDMMKLIIEYAYTRTVPITPDNVEKLLAAADQFNIMGIVRGCCEFLKSELCLDNCIGICKFTDYYYCPELRQKAYMFILHNFEEMVKVSAEFLELSVTELKDIIEKDELNVKQEDAVFEAILKWISHDPQNRKQHISILLPKVRLALMHAEYFMNNVKMNDYVKDSEECKPVIINALKAMYDLNMNGPSNSDFTNPLTRPRLPYAILFAIGGWSGGSPTNAIEAYDARADRWVNVTCEEESPRAYHGAAYLKGYVYIIGGFDSVDYFNSVKRFDPVKKTWHQVAPMHSRRCYVSVTVLGNFIYAMGGFDGYVRLNTAERYEPETNQWTLIAPMHEQRSDASATTLYGKVYICGGFNGNECLFTAEVYNTESNQWTVIAPMRSRRSGIGVIAYGEHVYAVGGFDGANRLRSAEAYSPVANTWRTIPTMFNPRSNFGIEVVDDLLFVVGGFNGFTTTFNVECYDEKTDEWYDAHDMSIYRSALSCCVVPGLANVEEYAARRDNFPGLALRDEVKYSASTSTLPV
+>DECOY_sp|Q6JEL2|KLH10_HUMAN Kelch-like protein 10 OS=Homo sapiens OX=9606 GN=KLHL10 PE=1 SV=1
+VPLTSTSASYKVEDRLALGPFNDRRAAYEEVNALGPVVCCSLASRYISMDHADYWEDTKEDYCEVNFTTTFGNFGGVVFLLDDVVEIGFNSRPNFMTPITRWTNAVPSYAEASRLRNAGDFGGVAYVHEGYAIVGIGSRRSRMPAIVTWQNSETNYVEATFLCENGNFGGCIYVKGYLTTASADSRQEHMPAILTWQNTEPEYREATNLRVYGDFGGMAYIFNGLVTVSVYCRRSHMPAVQHWTKKVPDFRKVSNFYDVSDFGGIIYVYGKLYAAGHYARPSEEECTVNVWRDARADYAEIANTPSGGSWGGIAFLIAYPLRPRTLPNTFDSNSPGNMNLDYMAKLANIIVPKCEESDKVYDNMKVNNMFYEAHMLALRVKPLLISIHQKRNQPDHSIWKLIAEFVADEQKVNLEDKEIIDKLETVSLELFEASVKVMEEFNHLIFMYAKQRLEPCYYYDTFKCIGICNDLCLESKLFECCGRVIGMINFQDAAALLKEVNDPTIPVTRTYAYEIILKMMDPSIGPINYVKKETNNWGSTFLARFYSSCSCLINKHASFEFGNVKIVVDCLKGELRLENFIECAMASMKREMHPQHFRTSAAASEMEM
+>sp|Q53G59|KLH12_HUMAN Kelch-like protein 12 OS=Homo sapiens OX=9606 GN=KLHL12 PE=1 SV=2
+MGGIMAPKDIMTNTHAKSILNSMNSLRKSNTLCDVTLRVEQKDFPAHRIVLAACSDYFCAMFTSELSEKGKPYVDIQGLTASTMEILLDFVYTETVHVTVENVQELLPAACLLQLKGVKQACCEFLESQLDPSNCLGIRDFAETHNCVDLMQAAEVFSQKHFPEVVQHEEFILLSQGEVEKLIKCDEIQVDSEEPVFEAVINWVKHAKKEREESLPNLLQYVRMPLLTPRYITDVIDAEPFIRCSLQCRDLVDEAKKFHLRPELRSQMQGPRTRARLGANEVLLVVGGFGSQQSPIDVVEKYDPKTQEWSFLPSITRKRRYVASVSLHDRIYVIGGYDGRSRLSSVECLDYTADEDGVWYSVAPMNVRRGLAGATTLGDMIYVSGGFDGSRRHTSMERYDPNIDQWSMLGDMQTAREGAGLVVASGVIYCLGGYDGLNILNSVEKYDPHTGHWTNVTPMATKRSGAGVALLNDHIYVVGGFDGTAHLSSVEAYNIRTDSWTTVTSMTTPRCYVGATVLRGRLYAIAGYDGNSLLSSIECYDPIIDSWEVVTSMGTQRCDAGVCVLREK
+>DECOY_sp|Q53G59|KLH12_HUMAN Kelch-like protein 12 OS=Homo sapiens OX=9606 GN=KLHL12 PE=1 SV=2
+KERLVCVGADCRQTGMSTVVEWSDIIPDYCEISSLLSNGDYGAIAYLRGRLVTAGVYCRPTTMSTVTTWSDTRINYAEVSSLHATGDFGGVVYIHDNLLAVGAGSRKTAMPTVNTWHGTHPDYKEVSNLINLGDYGGLCYIVGSAVVLGAGERATQMDGLMSWQDINPDYREMSTHRRSGDFGGSVYIMDGLTTAGALGRRVNMPAVSYWVGDEDATYDLCEVSSLRSRGDYGGIVYIRDHLSVSAVYRRKRTISPLFSWEQTKPDYKEVVDIPSQQSGFGGVVLLVENAGLRARTRPGQMQSRLEPRLHFKKAEDVLDRCQLSCRIFPEADIVDTIYRPTLLPMRVYQLLNPLSEEREKKAHKVWNIVAEFVPEESDVQIEDCKILKEVEGQSLLIFEEHQVVEPFHKQSFVEAAQMLDVCNHTEAFDRIGLCNSPDLQSELFECCAQKVGKLQLLCAAPLLEQVNEVTVHVTETYVFDLLIEMTSATLGQIDVYPKGKESLESTFMACFYDSCAALVIRHAPFDKQEVRLTVDCLTNSKRLSNMSNLISKAHTNTMIDKPAMIGGM
+>sp|Q9H0H3|KLH25_HUMAN Kelch-like protein 25 OS=Homo sapiens OX=9606 GN=KLHL25 PE=1 SV=1
+MSVSVHETRKSRSSTGSMNVTLFHKASHPDCVLAHLNTLRKHCMFTDVTLWAGDRAFPCHRAVLAASSRYFEAMFSHGLRESRDDTVNFQDNLHPEVLELLLDFAYSSRIAINEENAESLLEAGDMLQFHDVRDAAAEFLEKNLFPSNCLGMMLLSDAHQCRRLYEFSWRMCLVHFETVRQSEDFNSLSKDTLLDLISSDELETEDERVVFEAILQWVKHDLEPRKVHLPELLRSVRLALLPSDCLQEAVSSEALLMADERTKLIMDEALRCKTRILQNDGVVTSPCARPRKAGHTLLILGGQTFMCDKIYQVDHKAKEIIPKADLPSPRKEFSASAIGCKVYVTGGRGSENGVSKDVWVYDTVHEEWSKAAPMLIARFGHGSAELENCLYVVGGHTSLAGVFPASPSVSLKQVEKYDPGANKWMMVAPLRDGVSNAAVVSAKLKLFVFGGTSIHRDMVSKVQCYDPSENRWTIKAECPQPWRYTAAAVLGSQIFIMGGDTEFTAASAYRFDCETNQWTRIGDMTAKRMSCHALASGNKLYVVGGYFGTQRCKTLDCYDPTSDTWNCITTVPYSLIPTAFVSTWKHLPA
+>DECOY_sp|Q9H0H3|KLH25_HUMAN Kelch-like protein 25 OS=Homo sapiens OX=9606 GN=KLHL25 PE=1 SV=1
+APLHKWTSVFATPILSYPVTTICNWTDSTPDYCDLTKCRQTGFYGGVVYLKNGSALAHCSMRKATMDGIRTWQNTECDFRYASAATFETDGGMIFIQSGLVAAATYRWPQPCEAKITWRNESPDYCQVKSVMDRHISTGGFVFLKLKASVVAANSVGDRLPAVMMWKNAGPDYKEVQKLSVSPSAPFVGALSTHGGVVYLCNELEASGHGFRAILMPAAKSWEEHVTDYVWVDKSVGNESGRGGTVYVKCGIASASFEKRPSPLDAKPIIEKAKHDVQYIKDCMFTQGGLILLTHGAKRPRACPSTVVGDNQLIRTKCRLAEDMILKTREDAMLLAESSVAEQLCDSPLLALRVSRLLEPLHVKRPELDHKVWQLIAEFVVREDETELEDSSILDLLTDKSLSNFDESQRVTEFHVLCMRWSFEYLRRCQHADSLLMMGLCNSPFLNKELFEAAADRVDHFQLMDGAELLSEANEENIAIRSSYAFDLLLELVEPHLNDQFNVTDDRSERLGHSFMAEFYRSSAALVARHCPFARDGAWLTVDTFMCHKRLTNLHALVCDPHSAKHFLTVNMSGTSSRSKRTEHVSVSM
+>sp|Q53HC5|KLH26_HUMAN Kelch-like protein 26 OS=Homo sapiens OX=9606 GN=KLHL26 PE=1 SV=2
+MAESGGSSGGAGGGGAFGAGPGPERPNSTADKNGALKCTFSAPSHSTSLLQGLATLRAQGQLLDVVLTINREAFPAHKVVLAACSDYFRAMFTGGMREASQDVIELKGVSARGLRHIIDFAYSAEVTLDLDCVQDVLGAAVFLQMLPVVELCEEFLKAAMSVETCLNIGQMATTFSLASLRESVDAFTFRHFLQIAEEEDFLRLPLERLVFFLQSNRLQSCAEIDLFRAAVRWLQHDPARRPRASHVLCHIRFPLMQSSELVDSVQTLDIMVEDVLCRQYLLEAFNYQVLPFRQHEMQSPRTAVRSDVPSLVTFGGTPYTDSDRSVSSKVYQLPEPGARHFRELTEMEVGCSHTCVAVLDNFVYVAGGQHLQYRSGEGAVDACYRYDPHLNRWLRLQAMQESRIQFQLNVLCGMVYATGGRNRAGSLASVERYCPRRNEWGYACSLKRRTWGHAGAASGGRLYISGGYGISVEDKKALHCYDPVADQWEFKAPMSEPRVLHAMVGAGGRIYALGGRMDHVDRCFDVLAVEYYVPETDQWTSVSPMRAGQSEAGCCLLERKIYIVGGYNWRLNNVTGIVQVYNTDTDEWERDLHFPESFAGIACAPVLLPRAGTRR
+>DECOY_sp|Q53HC5|KLH26_HUMAN Kelch-like protein 26 OS=Homo sapiens OX=9606 GN=KLHL26 PE=1 SV=2
+RRTGARPLLVPACAIGAFSEPFHLDREWEDTDTNYVQVIGTVNNLRWNYGGVIYIKRELLCCGAESQGARMPSVSTWQDTEPVYYEVALVDFCRDVHDMRGGLAYIRGGAGVMAHLVRPESMPAKFEWQDAVPDYCHLAKKDEVSIGYGGSIYLRGGSAAGAHGWTRRKLSCAYGWENRRPCYREVSALSGARNRGGTAYVMGCLVNLQFQIRSEQMAQLRLWRNLHPDYRYCADVAGEGSRYQLHQGGAVYVFNDLVAVCTHSCGVEMETLERFHRAGPEPLQYVKSSVSRDSDTYPTGGFTVLSPVDSRVATRPSQMEHQRFPLVQYNFAELLYQRCLVDEVMIDLTQVSDVLESSQMLPFRIHCLVHSARPRRAPDHQLWRVAARFLDIEACSQLRNSQLFFVLRELPLRLFDEEEAIQLFHRFTFADVSERLSALSFTTAMQGINLCTEVSMAAKLFEECLEVVPLMQLFVAAGLVDQVCDLDLTVEASYAFDIIHRLGRASVGKLEIVDQSAERMGGTFMARFYDSCAALVVKHAPFAERNITLVVDLLQGQARLTALGQLLSTSHSPASFTCKLAGNKDATSNPREPGPGAGFAGGGGAGGSSGGSEAM
+>sp|Q9NXS3|KLH28_HUMAN Kelch-like protein 28 OS=Homo sapiens OX=9606 GN=KLHL28 PE=2 SV=2
+MDHTSPTYMLANLTHLHSEQLLQGLNLLRQHHELCDIILRVGDVKIHAHKVVLASVSPYFKAMFTGNLSEKENSEVEFQCIDETALQAIVEYAYTGTVFISQDTVESLLPAANLLQIKLVLKECCAFLESQLDPGNCIGISRFAETYGCRDLYLAATKYICQNFEAVCQTEEFFELTHADLDEIVSNDCLNVATEETVFYALESWIKYDVQERQKYLAQLLNSVRLPLLSVKFLTRLYEANHLIRDDRTCKHLLNEALKYHFMPEHRLSHQTVLMTRPRCAPKVLCAVGGKSGLFACLDSVEMYFPQNDSWIGLAPLNIPRYEFGICVLDQKVYVIGGIATNVRPGVTIRKHENSVECWNPDTNTWTSLERMNESRSTLGVVVLAGELYALGGYDGQSYLQSVEKYIPKIRKWQPVAPMTTTRSCFAAAVLDGMIYAIGGYGPAHMNSVERYDPSKDSWEMVASMADKRIHFGVGVMLGFIFVVGGHNGVSHLSSIERYDPHQNQWTVCRPMKEPRTGVGAAVIDNYLYVVGGHSGSSYLNTVQKYDPISDTWLDSAGMIYCRCNFGLTAL
+>DECOY_sp|Q9NXS3|KLH28_HUMAN Kelch-like protein 28 OS=Homo sapiens OX=9606 GN=KLHL28 PE=2 SV=2
+LATLGFNCRCYIMGASDLWTDSIPDYKQVTNLYSSGSHGGVVYLYNDIVAAGVGTRPEKMPRCVTWQNQHPDYREISSLHSVGNHGGVVFIFGLMVGVGFHIRKDAMSAVMEWSDKSPDYREVSNMHAPGYGGIAYIMGDLVAAAFCSRTTTMPAVPQWKRIKPIYKEVSQLYSQGDYGGLAYLEGALVVVGLTSRSENMRELSTWTNTDPNWCEVSNEHKRITVGPRVNTAIGGIVYVKQDLVCIGFEYRPINLPALGIWSDNQPFYMEVSDLCAFLGSKGGVACLVKPACRPRTMLVTQHSLRHEPMFHYKLAENLLHKCTRDDRILHNAEYLRTLFKVSLLPLRVSNLLQALYKQREQVDYKIWSELAYFVTEETAVNLCDNSVIEDLDAHTLEFFEETQCVAEFNQCIYKTAALYLDRCGYTEAFRSIGICNGPDLQSELFACCEKLVLKIQLLNAAPLLSEVTDQSIFVTGTYAYEVIAQLATEDICQFEVESNEKESLNGTFMAKFYPSVSALVVKHAHIKVDGVRLIIDCLEHHQRLLNLGQLLQESHLHTLNALMYTPSTHDM
+>sp|Q0D2K2|KLH30_HUMAN Kelch-like protein 30 OS=Homo sapiens OX=9606 GN=KLHL30 PE=2 SV=3
+MVRNVDDLDFHLPSHAQDMLDGLQRLRSQPKLADVTLLVGGRELPCHRGLLALSSPYFHAMFAGDFAESFSARVELRDVEPAVVGQLVDFVYTGRLTITQGNVEALTRTAARLHFPSVQKVCGRYLQQQLDAANCLGICEFGEQQGLLGVAAKAWAFLRENFEAVAREDEFLQLPRERLVTCLAGDLLQVQPEQSRLEALMRWVRHDPQARAAHLPELLSLVHLDAVPRPCVQQLLASEPLIQESEACRAALSQGHDGAPLALQQKLEEVLVVVGGQALEEEEAGEEPTPGLGNFAFYNSKAKRWMALPDFPDYHKWGFSLAALNNNIYVTGGSRGTKTDTWSTTQAWCFPLKEASWKPVAPMLKPRTNHASAALNGEIYVIGGTTLDVVEVESYDPYTDSWTPVSPALKYVSNFSAAGCRGRLYLVGSSACKYNALALQCYNPVTDAWSVIASPFLPKYLSSPRCAALHGELYLIGDNTKKVYVYDPGANLWQKVQSQHSLHENGALVPLGDALYVTGGRWQGMEGDYHVEMEAYDTVRDTWTRHGALPRLWLYHGASTVFLDVSKWTQPSGPTQEH
+>DECOY_sp|Q0D2K2|KLH30_HUMAN Kelch-like protein 30 OS=Homo sapiens OX=9606 GN=KLHL30 PE=2 SV=3
+HEQTPGSPQTWKSVDLFVTSAGHYLWLRPLAGHRTWTDRVTDYAEMEVHYDGEMGQWRGGTVYLADGLPVLAGNEHLSHQSQVKQWLNAGPDYVYVKKTNDGILYLEGHLAACRPSSLYKPLFPSAIVSWADTVPNYCQLALANYKCASSGVLYLRGRCGAASFNSVYKLAPSVPTWSDTYPDYSEVEVVDLTTGGIVYIEGNLAASAHNTRPKLMPAVPKWSAEKLPFCWAQTTSWTDTKTGRSGGTVYINNNLAALSFGWKHYDPFDPLAMWRKAKSNYFAFNGLGPTPEEGAEEEELAQGGVVVLVEELKQQLALPAGDHGQSLAARCAESEQILPESALLQQVCPRPVADLHVLSLLEPLHAARAQPDHRVWRMLAELRSQEPQVQLLDGALCTVLRERPLQLFEDERAVAEFNERLFAWAKAAVGLLGQQEGFECIGLCNAADLQQQLYRGCVKQVSPFHLRAATRTLAEVNGQTITLRGTYVFDVLQGVVAPEVDRLEVRASFSEAFDGAFMAHFYPSSLALLGRHCPLERGGVLLTVDALKPQSRLRQLGDLMDQAHSPLHFDLDDVNRVM
+>sp|Q96NJ5|KLH32_HUMAN Kelch-like protein 32 OS=Homo sapiens OX=9606 GN=KLHL32 PE=2 SV=2
+MPSERCLSIQEMLTGQRLCHSESHNDSVLAALNQQRSDGILCDITLIAEEQKFHAHKAVLAACSDYFRAMFSLCMVESGADEVNLHGVTSLGLKQALEFAYTGQILLEPGVIQDVLAAGSHLQLLELLNLCSHYLIQELNSFNYLDLYRLADLFNLTLLEKAVIDFLVKHLSELLKSRPEEVLTLPYCLLQEVLKSDRLTSLSEEQIWQLAVRWLEHNCHYQYMDELLQYIRFGLMDVDTLHTVALSHPLVQASETATALVNEALEYHQSIYAQPVWQTRRTKPRFQSDTLYIIGGKKREVCKVKELRYFNPVDQENALIAAIANWSELAPMPVGRSHHCVAVMGDFLFVAGGEVEHASGRTCAVRTACRYDPRSNSWAEIAPMKNCREHFVLGAMEEYLYAVGGRNELRQVLPTVERYCPKKNKWTFVQSFDRSLSCHAGYVADGLLWISGGVTNTAQYQNRLMVYEPNQNKWISRSPMLQRRVYHSMAAVQRKLYVLGGNDLDYNNDRILVRHIDSYNIDTDQWTRCNFNLLTGQNESGVAVHNGRIYLVGGYSIWTNEPLACIQVLDVSREGKEEVFYGPTLPFASNGIAACFLPAPYFTCPNLQTLQVPHHRIGTI
+>DECOY_sp|Q96NJ5|KLH32_HUMAN Kelch-like protein 32 OS=Homo sapiens OX=9606 GN=KLHL32 PE=2 SV=2
+ITGIRHHPVQLTQLNPCTFYPAPLFCAAIGNSAFPLTPGYFVEEKGERSVDLVQICALPENTWISYGGVLYIRGNHVAVGSENQGTLLNFNCRTWQDTDINYSDIHRVLIRDNNYDLDNGGLVYLKRQVAAMSHYVRRQLMPSRSIWKNQNPEYVMLRNQYQATNTVGGSIWLLGDAVYGAHCSLSRDFSQVFTWKNKKPCYREVTPLVQRLENRGGVAYLYEEMAGLVFHERCNKMPAIEAWSNSRPDYRCATRVACTRGSAHEVEGGAVFLFDGMVAVCHHSRGVPMPALESWNAIAAILANEQDVPNFYRLEKVKCVERKKGGIIYLTDSQFRPKTRRTQWVPQAYISQHYELAENVLATATESAQVLPHSLAVTHLTDVDMLGFRIYQLLEDMYQYHCNHELWRVALQWIQEESLSTLRDSKLVEQLLCYPLTLVEEPRSKLLESLHKVLFDIVAKELLTLNFLDALRYLDLYNFSNLEQILYHSCLNLLELLQLHSGAALVDQIVGPELLIQGTYAFELAQKLGLSTVGHLNVEDAGSEVMCLSFMARFYDSCAALVAKHAHFKQEEAILTIDCLIGDSRQQNLAALVSDNHSESHCLRQGTLMEQISLCRESPM
+>sp|Q8N4N3|KLH36_HUMAN Kelch-like protein 36 OS=Homo sapiens OX=9606 GN=KLHL36 PE=1 SV=1
+MMEGSRQTRVSRPYKISESSKVYRWADHSSTVLQRLNEQRLRGLFCDVVLVADEQRVPAHRNLLAVCSDYFNSMFTIGMREAFQKEVELIGASYIGLKAVVDFLYGGELVLDGGNIDYVLETAHLLQIWTVVDFCCEYLEQEVSEDNYLYLQELASIYSLKRLDAFIDGFILNHFGTLSFTPDFLQNVSMQKLCVYLSSSEVQRECEHDLLQAALQWLTQQPEREAHARQVLENIHFPLIPKNDLLHRVKPAVCSLLPKEANCEGFIEEAVRYHNNLAAQPVMQTKRTALRTNQERLLFVGGEVSERCLELSDDTCYLDAKSEQWVKETPLPARRSHHCVAVLGGFIFIAGGSFSRDNGGDAASNLLYRYDPRCKQWIKVASMNQRRVDFYLASIEDMLVAIGGRNENGALSSVETYSPKTDSWSYVAGLPRFTYGHAGTIYKDFVYISGGHDYQIGPYRKNLLCYDHRTDVWEERRPMTTARGWHSMCSLGDSIYSIGGSDDNIESMERFDVLGVEAYSPQCNQWTRVAPLLHANSESGVAVWEGRIYILGGYSWENTAFSKTVQVYDREADKWSRGVDLPKAIAGGSACVCALEPRPEDKKKKGKGKRHQDRGQ
+>DECOY_sp|Q8N4N3|KLH36_HUMAN Kelch-like protein 36 OS=Homo sapiens OX=9606 GN=KLHL36 PE=1 SV=1
+QGRDQHRKGKGKKKKDEPRPELACVCASGGAIAKPLDVGRSWKDAERDYVQVTKSFATNEWSYGGLIYIRGEWVAVGSESNAHLLPAVRTWQNCQPSYAEVGLVDFREMSEINDDSGGISYISDGLSCMSHWGRATTMPRREEWVDTRHDYCLLNKRYPGIQYDHGGSIYVFDKYITGAHGYTFRPLGAVYSWSDTKPSYTEVSSLAGNENRGGIAVLMDEISALYFDVRRQNMSAVKIWQKCRPDYRYLLNSAADGGNDRSFSGGAIFIFGGLVAVCHHSRRAPLPTEKVWQESKADLYCTDDSLELCRESVEGGVFLLREQNTRLATRKTQMVPQAALNNHYRVAEEIFGECNAEKPLLSCVAPKVRHLLDNKPILPFHINELVQRAHAEREPQQTLWQLAAQLLDHECERQVESSSLYVCLKQMSVNQLFDPTFSLTGFHNLIFGDIFADLRKLSYISALEQLYLYNDESVEQELYECCFDVVTWIQLLHATELVYDINGGDLVLEGGYLFDVVAKLGIYSAGILEVEKQFAERMGITFMSNFYDSCVALLNRHAPVRQEDAVLVVDCFLGRLRQENLRQLVTSSHDAWRYVKSSESIKYPRSVRTQRSGEMM
+>sp|O60662|KLH41_HUMAN Kelch-like protein 41 OS=Homo sapiens OX=9606 GN=KLHL41 PE=1 SV=2
+MDSQRELAEELRLYQSTLLQDGLKDLLDEKKFIDCTLKAGDKSLPCHRLILSACSPYFREYFLSEIDEAKKKEVVLDNVDPAILDLIIKYLYSASIDLNDGNVQDIFALASRFQIPSVFTVCVSYLQKRLAPGNCLAILRLGLLLDCPRLAISAREFVSDRFVQICKEEDFMQLSPQELISVISNDSLNVEKEEAVFEAVMKWVRTDKENRVKNLSEVFDCIRFRLMTEKYFKDHVEKDDIIKSNPDLQKKIKVLKDAFAGKLPEPSKNAAKTGAGEVNGDVGDEDLLPGYLNDIPRHGMFVKDLILLVNDTAAVAYDPTENECYLTALAEQIPRNHSSIVTQQNQIYVVGGLYVDEENKDQPLQSYFFQLDSIASEWVGLPPLPSARCLFGLGEVDDKIYVVAGKDLQTEASLDSVLCYDPVAAKWNEVKKLPIKVYGHNVISHKGMIYCLGGKTDDKKCTNRVFIFNPKKGDWKDLAPMKIPRSMFGVAVHKGKIVIAGGVTEDGLSASVEAFDLTTNKWDVMTEFPQERSSISLVSLAGSLYAIGGFAMIQLESKEFAPTEVNDIWKYEDDKKEWAGMLKEIRYASGASCLATRLNLFKLSKL
+>DECOY_sp|O60662|KLH41_HUMAN Kelch-like protein 41 OS=Homo sapiens OX=9606 GN=KLHL41 PE=1 SV=2
+LKSLKFLNLRTALCSAGSAYRIEKLMGAWEKKDDEYKWIDNVETPAFEKSELQIMAFGGIAYLSGALSVLSISSREQPFETMVDWKNTTLDFAEVSASLGDETVGGAIVIKGKHVAVGFMSRPIKMPALDKWDGKKPNFIFVRNTCKKDDTKGGLCYIMGKHSIVNHGYVKIPLKKVENWKAAVPDYCLVSDLSAETQLDKGAVVYIKDDVEGLGFLCRASPLPPLGVWESAISDLQFFYSQLPQDKNEEDVYLGGVVYIQNQQTVISSHNRPIQEALATLYCENETPDYAVAATDNVLLILDKVFMGHRPIDNLYGPLLDEDGVDGNVEGAGTKAANKSPEPLKGAFADKLVKIKKQLDPNSKIIDDKEVHDKFYKETMLRFRICDFVESLNKVRNEKDTRVWKMVAEFVAEEKEVNLSDNSIVSILEQPSLQMFDEEKCIQVFRDSVFERASIALRPCDLLLGLRLIALCNGPALRKQLYSVCVTFVSPIQFRSALAFIDQVNGDNLDISASYLYKIILDLIAPDVNDLVVEKKKAEDIESLFYERFYPSCASLILRHCPLSKDGAKLTCDIFKKEDLLDKLGDQLLTSQYLRLEEALERQSDM
+>sp|Q9NR64|KLHL1_HUMAN Kelch-like protein 1 OS=Homo sapiens OX=9606 GN=KLHL1 PE=2 SV=1
+MSGSGRKDFDVKHILRLRWKLFSHPSPSTGGPAGGGCLQQDGSGSFEHWGPSQSRLLKSQERSGVSTFWKKPSSSSSSSSSPSSSSSSFNPLNGTLLPVATRLQQGAPGQGTQQPARTLFYVESLEEEVVPGMDFPGPHEKGLVLQELKVEPDNSSQATGEGCGHRLSSTGHSMTPQSDLDSSSSEEFYQAVHHAEQTFRKMESYLKQQQLCDVILIVGNRKIPAHRLVLSSVSDYFAAMFTSDVCEAKQEEIKMEGIDPNALWDLVQFAYTGCLELKEDTIENLLAAACLLQLPQVVEVCCHFLMKLLHPSNCLGIRAFADAQGCIELMKVAHSYTMENIMEVIRNQEFLLLPAEELHKLLASDDVNVPDEETIFHALMMWVKYDMQSRCNDLSMLLAFIRLPLLPPQILADLENHALFKNDLECQKLILEAMKYHLLPERRTLMQSPRTKPRKSTVGTLYAVGGMDNNKGATTIEKYDLRTNLWIQAGMMNGRRLQFGVAVIDDKLFVIGGRDGLKTLNTVECYNPKTKTWTVLPPMSTHRHGLGVTVLEGPIYAVGGHDGWSYLNTVERWDPQSQQWTFVASMSIARSTVGVAALNGKLYSVGGRDGSSCLSSMEYYDPHTNKWNMCAPMCKRRGGVGVATCDGFLYAVGGHDAPASNHCSRLLDYVERYDPKTDTWTMVAPLSMPRDAVGVCLLGDRLYAVGGYDGQTYLNTMESYDPQTNEWTQMASLNIGRAGACVVVIKQP
+>DECOY_sp|Q9NR64|KLHL1_HUMAN Kelch-like protein 1 OS=Homo sapiens OX=9606 GN=KLHL1 PE=2 SV=1
+PQKIVVVCAGARGINLSAMQTWENTQPDYSEMTNLYTQGDYGGVAYLRDGLLCVGVADRPMSLPAVMTWTDTKPDYREVYDLLRSCHNSAPADHGGVAYLFGDCTAVGVGGRRKCMPACMNWKNTHPDYYEMSSLCSSGDRGGVSYLKGNLAAVGVTSRAISMSAVFTWQQSQPDWREVTNLYSWGDHGGVAYIPGELVTVGLGHRHTSMPPLVTWTKTKPNYCEVTNLTKLGDRGGIVFLKDDIVAVGFQLRRGNMMGAQIWLNTRLDYKEITTAGKNNDMGGVAYLTGVTSKRPKTRPSQMLTRREPLLHYKMAELILKQCELDNKFLAHNELDALIQPPLLPLRIFALLMSLDNCRSQMDYKVWMMLAHFITEEDPVNVDDSALLKHLEEAPLLLFEQNRIVEMINEMTYSHAVKMLEICGQADAFARIGLCNSPHLLKMLFHCCVEVVQPLQLLCAAALLNEITDEKLELCGTYAFQVLDWLANPDIGEMKIEEQKAECVDSTFMAAFYDSVSSLVLRHAPIKRNGVILIVDCLQQQKLYSEMKRFTQEAHHVAQYFEESSSSDLDSQPTMSHGTSSLRHGCGEGTAQSSNDPEVKLEQLVLGKEHPGPFDMGPVVEEELSEVYFLTRAPQQTGQGPAGQQLRTAVPLLTGNLPNFSSSSSSPSSSSSSSSSPKKWFTSVGSREQSKLLRSQSPGWHEFSGSGDQQLCGGGAPGGTSPSPHSFLKWRLRLIHKVDFDKRGSGSM
+>sp|Q9C0H6|KLHL4_HUMAN Kelch-like protein 4 OS=Homo sapiens OX=9606 GN=KLHL4 PE=1 SV=2
+MSVSGKKEFDVKQILRLRWRWFSHPFQGSTNTGSCLQQEGYEHRGTPVQGRLKSHSRDRNGLKKSNSPVHHNILAPVPGPAPAHQRAVQNLQQHNLIVHFQANEDTPKSVPEKNLFKEACEKRAQDLEMMADDNIEDSTARLDTQHSEDMNATRSEEQFHVINHAEQTLRKMENYLKEKQLCDVLLIAGHLRIPAHRLVLSAVSDYFAAMFTNDVLEAKQEEVRMEGVDPNALNSLVQYAYTGVLQLKEDTIESLLAAACLLQLTQVIDVCSNFLIKQLHPSNCLGIRSFGDAQGCTELLNVAHKYTMEHFIEVIKNQEFLLLPANEISKLLCSDDINVPDEETIFHALMQWVGHDVQNRQGELGMLLSYIRLPLLPPQLLADLETSSMFTGDLECQKLLMEAMKYHLLPERRSMMQSPRTKPRKSTVGALYAVGGMDAMKGTTTIEKYDLRTNSWLHIGTMNGRRLQFGVAVIDNKLYVVGGRDGLKTLNTVECFNPVGKIWTVMPPMSTHRHGLGVATLEGPMYAVGGHDGWSYLNTVERWDPEGRQWNYVASMSTPRSTVGVVALNNKLYAIGGRDGSSCLKSMEYFDPHTNKWSLCAPMSKRRGGVGVATYNGFLYVVGGHDAPASNHCSRLSDCVERYDPKGDSWSTVAPLSVPRDAVAVCPLGDKLYVVGGYDGHTYLNTVESYDAQRNEWKEEVPVNIGRAGACVVVVKLP
+>DECOY_sp|Q9C0H6|KLHL4_HUMAN Kelch-like protein 4 OS=Homo sapiens OX=9606 GN=KLHL4 PE=1 SV=2
+PLKVVVVCAGARGINVPVEEKWENRQADYSEVTNLYTHGDYGGVVYLKDGLPCVAVADRPVSLPAVTSWSDGKPDYREVCDSLRSCHNSAPADHGGVVYLFGNYTAVGVGGRRKSMPACLSWKNTHPDFYEMSKLCSSGDRGGIAYLKNNLAVVGVTSRPTSMSAVYNWQRGEPDWREVTNLYSWGDHGGVAYMPGELTAVGLGHRHTSMPPMVTWIKGVPNFCEVTNLTKLGDRGGVVYLKNDIVAVGFQLRRGNMTGIHLWSNTRLDYKEITTTGKMADMGGVAYLAGVTSKRPKTRPSQMMSRREPLLHYKMAEMLLKQCELDGTFMSSTELDALLQPPLLPLRIYSLLMGLEGQRNQVDHGVWQMLAHFITEEDPVNIDDSCLLKSIENAPLLLFEQNKIVEIFHEMTYKHAVNLLETCGQADGFSRIGLCNSPHLQKILFNSCVDIVQTLQLLCAAALLSEITDEKLQLVGTYAYQVLSNLANPDVGEMRVEEQKAELVDNTFMAAFYDSVASLVLRHAPIRLHGAILLVDCLQKEKLYNEMKRLTQEAHNIVHFQEESRTANMDESHQTDLRATSDEINDDAMMELDQARKECAEKFLNKEPVSKPTDENAQFHVILNHQQLNQVARQHAPAPGPVPALINHHVPSNSKKLGNRDRSHSKLRGQVPTGRHEYGEQQLCSGTNTSGQFPHSFWRWRLRLIQKVDFEKKGSVSM
+>sp|Q9P2J3|KLHL9_HUMAN Kelch-like protein 9 OS=Homo sapiens OX=9606 GN=KLHL9 PE=1 SV=2
+MKVSLGNGEMGVSAHLQPCKAGTTRFFTSNTHSSVVLQGFDQLRIEGLLCDVTLVPGDGDEIFPVHRAMMASASDYFKAMFTGGMKEQDLMCIKLHGVNKVGLKKIIDFIYTAKLSLNMDNLQDTLEAASFLQILPVLDFCKVFLISGVSLDNCVEVGRIANTYNLIEVDKYVNNFILKNFPALLSTGEFLKLPFERLAFVLSSNSLKHCTELELFKAACRWLRLEDPRMDYAAKLMKNIRFPLMTPQDLINYVQTVDFMRTDNTCVNLLLEASNYQMMPYMQPVMQSDRTAIRSDSTHLVTLGGVLRQQLVVSKELRMYDERAQEWRSLAPMDAPRYQHGIAVIGNFLYVVGGQSNYDTKGKTAVDTVFRFDPRYNKWMQVASLNEKRTFFHLSALKGHLYAVGGRSAAGELATVECYNPRMNEWSYVAKMSEPHYGHAGTVYGGLMYISGGITHDTFQNELMCFDPDTDKWMQKAPMTTVRGLHCMCTVGDKLYVIGGNHFRGTSDYDDVLSCEYYSPTLDQWTPIAAMLRGQSDVGVAVFENKIYVVGGYSWNNRCMVEIVQKYDPEKDEWHKVFDLPESLGGIRACTLTVFPPEENPGSPSRESPLSAPSDHS
+>DECOY_sp|Q9P2J3|KLHL9_HUMAN Kelch-like protein 9 OS=Homo sapiens OX=9606 GN=KLHL9 PE=1 SV=2
+SHDSPASLPSERSPSGPNEEPPFVTLTCARIGGLSEPLDFVKHWEDKEPDYKQVIEVMCRNNWSYGGVVYIKNEFVAVGVDSQGRLMAAIPTWQDLTPSYYECSLVDDYDSTGRFHNGGIVYLKDGVTCMCHLGRVTTMPAKQMWKDTDPDFCMLENQFTDHTIGGSIYMLGGYVTGAHGYHPESMKAVYSWENMRPNYCEVTALEGAASRGGVAYLHGKLASLHFFTRKENLSAVQMWKNYRPDFRFVTDVATKGKTDYNSQGGVVYLFNGIVAIGHQYRPADMPALSRWEQAREDYMRLEKSVVLQQRLVGGLTVLHTSDSRIATRDSQMVPQMYPMMQYNSAELLLNVCTNDTRMFDVTQVYNILDQPTMLPFRINKMLKAAYDMRPDELRLWRCAAKFLELETCHKLSNSSLVFALREFPLKLFEGTSLLAPFNKLIFNNVYKDVEILNYTNAIRGVEVCNDLSVGSILFVKCFDLVPLIQLFSAAELTDQLNDMNLSLKATYIFDIIKKLGVKNVGHLKICMLDQEKMGGTFMAKFYDSASAMMARHVPFIEDGDGPVLTVDCLLGEIRLQDFGQLVVSSHTNSTFFRTTGAKCPQLHASVGMEGNGLSVKM
+>sp|O43240|KLK10_HUMAN Kallikrein-10 OS=Homo sapiens OX=9606 GN=KLK10 PE=1 SV=3
+MRAPHLHLSAASGARALAKLLPLLMAQLWAAEAALLPQNDTRLDPEAYGSPCARGSQPWQVSLFNGLSFHCAGVLVDQSWVLTAAHCGNKPLWARVGDDHLLLLQGEQLRRTTRSVVHPKYHQGSGPILPRRTDEHDLMLLKLARPVVLGPRVRALQLPYRCAQPGDQCQVAGWGTTAARRVKYNKGLTCSSITILSPKECEVFYPGVVTNNMICAGLDRGQDPCQSDSGGPLVCDETLQGILSWGVYPCGSAQHPAVYTQICKYMSWINKVIRSN
+>DECOY_sp|O43240|KLK10_HUMAN Kallikrein-10 OS=Homo sapiens OX=9606 GN=KLK10 PE=1 SV=3
+NSRIVKNIWSMYKCIQTYVAPHQASGCPYVGWSLIGQLTEDCVLPGGSDSQCPDQGRDLGACIMNNTVVGPYFVECEKPSLITISSCTLGKNYKVRRAATTGWGAVQCQDGPQACRYPLQLARVRPGLVVPRALKLLMLDHEDTRRPLIPGSGQHYKPHVVSRTTRRLQEGQLLLLHDDGVRAWLPKNGCHAATLVWSQDVLVGACHFSLGNFLSVQWPQSGRACPSGYAEPDLRTDNQPLLAAEAAWLQAMLLPLLKALARAGSAASLHLHPARM
+>sp|Q9Y5K2|KLK4_HUMAN Kallikrein-4 OS=Homo sapiens OX=9606 GN=KLK4 PE=1 SV=2
+MATAGNPWGWFLGYLILGVAGSLVSGSCSQIINGEDCSPHSQPWQAALVMENELFCSGVLVHPQWVLSAAHCFQNSYTIGLGLHSLEADQEPGSQMVEASLSVRHPEYNRPLLANDLMLIKLDESVSESDTIRSISIASQCPTAGNSCLVSGWGLLANGRMPTVLQCVNVSVVSEEVCSKLYDPLYHPSMFCAGGGHDQKDSCNGDSGGPLICNGYLQGLVSFGKAPCGQVGVPGVYTNLCKFTEWIEKTVQAS
+>DECOY_sp|Q9Y5K2|KLK4_HUMAN Kallikrein-4 OS=Homo sapiens OX=9606 GN=KLK4 PE=1 SV=2
+SAQVTKEIWETFKCLNTYVGPVGVQGCPAKGFSVLGQLYGNCILPGGSDGNCSDKQDHGGGACFMSPHYLPDYLKSCVEESVVSVNVCQLVTPMRGNALLGWGSVLCSNGATPCQSAISISRITDSESVSEDLKILMLDNALLPRNYEPHRVSLSAEVMQSGPEQDAELSHLGLGITYSNQFCHAASLVWQPHVLVGSCFLENEMVLAAQWPQSHPSCDEGNIIQSCSGSVLSGAVGLILYGLFWGWPNGATAM
+>sp|O60259|KLK8_HUMAN Kallikrein-8 OS=Homo sapiens OX=9606 GN=KLK8 PE=1 SV=1
+MGRPRPRAAKTWMFLLLLGGAWAGHSRAQEDKVLGGHECQPHSQPWQAALFQGQQLLCGGVLVGGNWVLTAAHCKKPKYTVRLGDHSLQNKDGPEQEIPVVQSIPHPCYNSSDVEDHNHDLMLLQLRDQASLGSKVKPISLADHCTQPGQKCTVSGWGTVTSPRENFPDTLNCAEVKIFPQKKCEDAYPGQITDGMVCAGSSKGADTCQGDSGGPLVCDGALQGITSWGSDPCGRSDKPGVYTNICRYLDWIKKIIGSKG
+>DECOY_sp|O60259|KLK8_HUMAN Kallikrein-8 OS=Homo sapiens OX=9606 GN=KLK8 PE=1 SV=1
+GKSGIIKKIWDLYRCINTYVGPKDSRGCPDSGWSTIGQLAGDCVLPGGSDGQCTDAGKSSGACVMGDTIQGPYADECKKQPFIKVEACNLTDPFNERPSTVTGWGSVTCKQGPQTCHDALSIPKVKSGLSAQDRLQLLMLDHNHDEVDSSNYCPHPISQVVPIEQEPGDKNQLSHDGLRVTYKPKKCHAATLVWNGGVLVGGCLLQQGQFLAAQWPQSHPQCEHGGLVKDEQARSHGAWAGGLLLLFMWTKAARPRPRGM
+>sp|Q86Z14|KLOTB_HUMAN Beta-klotho OS=Homo sapiens OX=9606 GN=KLB PE=1 SV=1
+MKPGCAAGSPGNEWIFFSTDEITTRYRNTMSNGGLQRSVILSALILLRAVTGFSGDGRAIWSKNPNFTPVNESQLFLYDTFPKNFFWGIGTGALQVEGSWKKDGKGPSIWDHFIHTHLKNVSSTNGSSDSYIFLEKDLSALDFIGVSFYQFSISWPRLFPDGIVTVANAKGLQYYSTLLDALVLRNIEPIVTLYHWDLPLALQEKYGGWKNDTIIDIFNDYATYCFQMFGDRVKYWITIHNPYLVAWHGYGTGMHAPGEKGNLAAVYTVGHNLIKAHSKVWHNYNTHFRPHQKGWLSITLGSHWIEPNRSENTMDIFKCQQSMVSVLGWFANPIHGDGDYPEGMRKKLFSVLPIFSEAEKHEMRGTADFFAFSFGPNNFKPLNTMAKMGQNVSLNLREALNWIKLEYNNPRILIAENGWFTDSRVKTEDTTAIYMMKNFLSQVLQAIRLDEIRVFGYTAWSLLDGFEWQDAYTIRRGLFYVDFNSKQKERKPKSSAHYYKQIIRENGFSLKESTPDVQGQFPCDFSWGVTESVLKPESVASSPQFSDPHLYVWNATGNRLLHRVEGVRLKTRPAQCTDFVNIKKQLEMLARMKVTHYRFALDWASVLPTGNLSAVNRQALRYYRCVVSEGLKLGISAMVTLYYPTHAHLGLPEPLLHADGWLNPSTAEAFQAYAGLCFQELGDLVKLWITINEPNRLSDIYNRSGNDTYGAAHNLLVAHALAWRLYDRQFRPSQRGAVSLSLHADWAEPANPYADSHWRAAERFLQFEIAWFAEPLFKTGDYPAAMREYIASKHRRGLSSSALPRLTEAERRLLKGTVDFCALNHFTTRFVMHEQLAGSRYDSDRDIQFLQDITRLSSPTRLAVIPWGVRKLLRWVRRNYGDMDIYITASGIDDQALEDDRLRKYYLGKYLQEVLKAYLIDKVRIKGYYAFKLAEEKSKPRFGFFTSDFKAKSSIQFYNKVISSRGFPFENSSSRCSQTQENTECTVCLFLVQKKPLIFLGCCFFSTLVLLLSIAIFQRQKRRKFWKAKNLQHIPLKKGKRVVS
+>DECOY_sp|Q86Z14|KLOTB_HUMAN Beta-klotho OS=Homo sapiens OX=9606 GN=KLB PE=1 SV=1
+SVVRKGKKLPIHQLNKAKWFKRRKQRQFIAISLLLVLTSFFCCGLFILPKKQVLFLCVTCETNEQTQSCRSSSNEFPFGRSSIVKNYFQISSKAKFDSTFFGFRPKSKEEALKFAYYGKIRVKDILYAKLVEQLYKGLYYKRLRDDELAQDDIGSATIYIDMDGYNRRVWRLLKRVGWPIVALRTPSSLRTIDQLFQIDRDSDYRSGALQEHMVFRTTFHNLACFDVTGKLLRREAETLRPLASSSLGRRHKSAIYERMAAPYDGTKFLPEAFWAIEFQLFREAARWHSDAYPNAPEAWDAHLSLSVAGRQSPRFQRDYLRWALAHAVLLNHAAGYTDNGSRNYIDSLRNPENITIWLKVLDGLEQFCLGAYAQFAEATSPNLWGDAHLLPEPLGLHAHTPYYLTVMASIGLKLGESVVCRYYRLAQRNVASLNGTPLVSAWDLAFRYHTVKMRALMELQKKINVFDTCQAPRTKLRVGEVRHLLRNGTANWVYLHPDSFQPSSAVSEPKLVSETVGWSFDCPFQGQVDPTSEKLSFGNERIIQKYYHASSKPKREKQKSNFDVYFLGRRITYADQWEFGDLLSWATYGFVRIEDLRIAQLVQSLFNKMMYIATTDETKVRSDTFWGNEAILIRPNNYELKIWNLAERLNLSVNQGMKAMTNLPKFNNPGFSFAFFDATGRMEHKEAESFIPLVSFLKKRMGEPYDGDGHIPNAFWGLVSVMSQQCKFIDMTNESRNPEIWHSGLTISLWGKQHPRFHTNYNHWVKSHAKILNHGVTYVAALNGKEGPAHMGTGYGHWAVLYPNHITIWYKVRDGFMQFCYTAYDNFIDIITDNKWGGYKEQLALPLDWHYLTVIPEINRLVLADLLTSYYQLGKANAVTVIGDPFLRPWSISFQYFSVGIFDLASLDKELFIYSDSSGNTSSVNKLHTHIFHDWISPGKGDKKWSGEVQLAGTGIGWFFNKPFTDYLFLQSENVPTFNPNKSWIARGDGSFGTVARLLILASLIVSRQLGGNSMTNRYRTTIEDTSFFIWENGPSGAACGPKM
+>sp|Q9UEF7|KLOT_HUMAN Klotho OS=Homo sapiens OX=9606 GN=KL PE=1 SV=2
+MPASAPPRRPRPPPPSLSLLLVLLGLGGRRLRAEPGDGAQTWARFSRPPAPEAAGLFQGTFPDGFLWAVGSAAYQTEGGWQQHGKGASIWDTFTHHPLAPPGDSRNASLPLGAPSPLQPATGDVASDSYNNVFRDTEALRELGVTHYRFSISWARVLPNGSAGVPNREGLRYYRRLLERLRELGVQPVVTLYHWDLPQRLQDAYGGWANRALADHFRDYAELCFRHFGGQVKYWITIDNPYVVAWHGYATGRLAPGIRGSPRLGYLVAHNLLLAHAKVWHLYNTSFRPTQGGQVSIALSSHWINPRRMTDHSIKECQKSLDFVLGWFAKPVFIDGDYPESMKNNLSSILPDFTESEKKFIKGTADFFALCFGPTLSFQLLDPHMKFRQLESPNLRQLLSWIDLEFNHPQIFIVENGWFVSGTTKRDDAKYMYYLKKFIMETLKAIKLDGVDVIGYTAWSLMDGFEWHRGYSIRRGLFYVDFLSQDKMLLPKSSALFYQKLIEKNGFPPLPENQPLEGTFPCDFAWGVVDNYIQVDTTLSQFTDLNVYLWDVHHSKRLIKVDGVVTKKRKSYCVDFAAIQPQIALLQEMHVTHFRFSLDWALILPLGNQSQVNHTILQYYRCMASELVRVNITPVVALWQPMAPNQGLPRLLARQGAWENPYTALAFAEYARLCFQELGHHVKLWITMNEPYTRNMTYSAGHNLLKAHALAWHVYNEKFRHAQNGKISIALQADWIEPACPFSQKDKEVAERVLEFDIGWLAEPIFGSGDYPWVMRDWLNQRNNFLLPYFTEDEKKLIQGTFDFLALSHYTTILVDSEKEDPIKYNDYLEVQEMTDITWLNSPSQVAVVPWGLRKVLNWLKFKYGDLPMYIISNGIDDGLHAEDDQLRVYYMQNYINEALKAHILDGINLCGYFAYSFNDRTAPRFGLYRYAADQFEPKASMKHYRKIIDSNGFPGPETLERFCPEEFTVCTECSFFHTRKSLLAFIAFLFFASIISLSLIFYYSKKGRRSYK
+>DECOY_sp|Q9UEF7|KLOT_HUMAN Klotho OS=Homo sapiens OX=9606 GN=KL PE=1 SV=2
+KYSRRGKKSYYFILSLSIISAFFLFAIFALLSKRTHFFSCETCVTFEEPCFRELTEPGPFGNSDIIKRYHKMSAKPEFQDAAYRYLGFRPATRDNFSYAFYGCLNIGDLIHAKLAENIYNQMYYVRLQDDEAHLGDDIGNSIIYMPLDGYKFKLWNLVKRLGWPVVAVQSPSNLWTIDTMEQVELYDNYKIPDEKESDVLITTYHSLALFDFTGQILKKEDETFYPLLFNNRQNLWDRMVWPYDGSGFIPEALWGIDFELVREAVEKDKQSFPCAPEIWDAQLAISIKGNQAHRFKENYVHWALAHAKLLNHGASYTMNRTYPENMTIWLKVHHGLEQFCLRAYEAFALATYPNEWAGQRALLRPLGQNPAMPQWLAVVPTINVRVLESAMCRYYQLITHNVQSQNGLPLILAWDLSFRFHTVHMEQLLAIQPQIAAFDVCYSKRKKTVVGDVKILRKSHHVDWLYVNLDTFQSLTTDVQIYNDVVGWAFDCPFTGELPQNEPLPPFGNKEILKQYFLASSKPLLMKDQSLFDVYFLGRRISYGRHWEFGDMLSWATYGIVDVGDLKIAKLTEMIFKKLYYMYKADDRKTTGSVFWGNEVIFIQPHNFELDIWSLLQRLNPSELQRFKMHPDLLQFSLTPGFCLAFFDATGKIFKKESETFDPLISSLNNKMSEPYDGDIFVPKAFWGLVFDLSKQCEKISHDTMRRPNIWHSSLAISVQGGQTPRFSTNYLHWVKAHALLLNHAVLYGLRPSGRIGPALRGTAYGHWAVVYPNDITIWYKVQGGFHRFCLEAYDRFHDALARNAWGGYADQLRQPLDWHYLTVVPQVGLERLRELLRRYYRLGERNPVGASGNPLVRAWSISFRYHTVGLERLAETDRFVNNYSDSAVDGTAPQLPSPAGLPLSANRSDGPPALPHHTFTDWISAGKGHQQWGGETQYAASGVAWLFGDPFTGQFLGAAEPAPPRSFRAWTQAGDGPEARLRRGGLGLLVLLLSLSPPPPRPRRPPASAPM
+>sp|Q9P266|JCAD_HUMAN Junctional protein associated with coronary artery disease OS=Homo sapiens OX=9606 GN=JCAD PE=1 SV=3
+MYSVEDLLISHGYKLSRDPPASREDNPKGRQAARTGTRAGQGLQNGHEDGPAALAHRKTSAGKGHVSDSESRRSTPRGHGEPQSTSASRTSEAGFCNQPPSAWSSHPPTGNDQAYRRRGRQEARSQKPREHENLEARGMAQAHSLPVHVREGPWEVGGRSEHVMKKPVWEEELRMSGPAKWQNVSLESWNQPRKLGRQMSDGDGERLFQDLYPFIQGEHVLNSQNKGKSRSLPRVLSPESLSCTEIPIPLNERHSPKMPPYPPTCAPNLDSTRNSEKSGCSAPFPRPKFGRPLKPPSYSSHQQSRGGADSSDSQDSQQMDAYVPRHELCLSDPGLEPPVYVPPPSYRSPPQNIPNPYLEDTVPINVCGGHSQQQSPTEKAGASGQPPSGPPGTGNEYGVSPRLPQGLPAHPRPVTAYDGFVQYIPFDDPRLRHFKLAQPQGFCEDIKLDDKSYNSSPVTAQEPAHGGMQPDGAIWNPQSLIPPSGDERGLVLADSSPRWLWGQPPGDGENSGLPNQRDRCVARGQWPDVRGSQHGHTGRQVSSPYSQGESTCETQTKLKKFQTGTRTKKSSKKKMNETIFCLVSIPVKSESHLPDRDMDNNDLKPSADQKNGSDKSPALQEQSLLSMSSTDLELQALTGSMGGRTEFQKQDLGEPEEDRQTNDLSFIHLTKHRELKHSGSWPGHRYRDQQTQTSFSEEPQSSQLLPGAKLGGPSRAALSPKCSDPAASEAQTHTAFPTGDHKQRPSARNLKGHRSLSPSSNSAFSRTSLSVDQAPTPKAGRSQPCVDVHGLGAHPGPKREVVKGEPTGPCNSKQLFGQFLLKPVSRRPWDLISQLESFNKELQEEEESSSSSSSSSSSSEESEAEPQQENRAHCRQEDVGFRGNSPEMRVEPQPRMWVPESPVCRSGRGESKSESWSEELQPGHPRAWPPSPGRFRVEEGGGAPFCSADGSTSAEKRHLEVSNGMDELAGSPFPVTRMSSRSSDAKPLPASYPAEPREPQESPKITSAFSSVKPSEAVPRKFDSGGERGAGLPLSLSNKNRGLSAPDLRSVGLTPGQEQGASELEGSLGEASTIEIPPGESLQARAARILGIEVAVESLLPGIRRAGQNQPAEPDASACTPESPQEELLSRPAPADVPRVSTDAFYGRRKCGWTKSPLFVGDRDSARRAPQAFEHSDVDGVVTSTDPVPEPEPSPLESKFFEQKDVETKPPFRSTLFHFVERTPSVAGSEKRLRSPSKVIESLQEKLASPPRRADPDRLMRMKEVSSVSRMRVLSFRNADSQEDAEELKATTRGQAGLPGGLVSPGSGDRAQRLGHSLSVSKDSISREEKEHPAAQKEKSMDQDFWCPDSYDPSRVERV
+>DECOY_sp|Q9P266|JCAD_HUMAN Junctional protein associated with coronary artery disease OS=Homo sapiens OX=9606 GN=JCAD PE=1 SV=3
+VREVRSPDYSDPCWFDQDMSKEKQAAPHEKEERSISDKSVSLSHGLRQARDGSGPSVLGGPLGAQGRTTAKLEEADEQSDANRFSLVRMRSVSSVEKMRMLRDPDARRPPSALKEQLSEIVKSPSRLRKESGAVSPTREVFHFLTSRFPPKTEVDKQEFFKSELPSPEPEPVPDTSTVVGDVDSHEFAQPARRASDRDGVFLPSKTWGCKRRGYFADTSVRPVDAPAPRSLLEEQPSEPTCASADPEAPQNQGARRIGPLLSEVAVEIGLIRAARAQLSEGPPIEITSAEGLSGELESAGQEQGPTLGVSRLDPASLGRNKNSLSLPLGAGREGGSDFKRPVAESPKVSSFASTIKPSEQPERPEAPYSAPLPKADSSRSSMRTVPFPSGALEDMGNSVELHRKEASTSGDASCFPAGGGEEVRFRGPSPPWARPHGPQLEESWSESKSEGRGSRCVPSEPVWMRPQPEVRMEPSNGRFGVDEQRCHARNEQQPEAESEESSSSSSSSSSSSEEEEQLEKNFSELQSILDWPRRSVPKLLFQGFLQKSNCPGTPEGKVVERKPGPHAGLGHVDVCPQSRGAKPTPAQDVSLSTRSFASNSSPSLSRHGKLNRASPRQKHDGTPFATHTQAESAAPDSCKPSLAARSPGGLKAGPLLQSSQPEESFSTQTQQDRYRHGPWSGSHKLERHKTLHIFSLDNTQRDEEPEGLDQKQFETRGGMSGTLAQLELDTSSMSLLSQEQLAPSKDSGNKQDASPKLDNNDMDRDPLHSESKVPISVLCFITENMKKKSSKKTRTGTQFKKLKTQTECTSEGQSYPSSVQRGTHGHQSGRVDPWQGRAVCRDRQNPLGSNEGDGPPQGWLWRPSSDALVLGREDGSPPILSQPNWIAGDPQMGGHAPEQATVPSSNYSKDDLKIDECFGQPQALKFHRLRPDDFPIYQVFGDYATVPRPHAPLGQPLRPSVGYENGTGPPGSPPQGSAGAKETPSQQQSHGGCVNIPVTDELYPNPINQPPSRYSPPPVYVPPELGPDSLCLEHRPVYADMQQSDQSDSSDAGGRSQQHSSYSPPKLPRGFKPRPFPASCGSKESNRTSDLNPACTPPYPPMKPSHRENLPIPIETCSLSEPSLVRPLSRSKGKNQSNLVHEGQIFPYLDQFLREGDGDSMQRGLKRPQNWSELSVNQWKAPGSMRLEEEWVPKKMVHESRGGVEWPGERVHVPLSHAQAMGRAELNEHERPKQSRAEQRGRRRYAQDNGTPPHSSWASPPQNCFGAESTRSASTSQPEGHGRPTSRRSESDSVHGKGASTKRHALAAPGDEHGNQLGQGARTGTRAAQRGKPNDERSAPPDRSLKYGHSILLDEVSYM
+>sp|Q8TAC2|JOS2_HUMAN Josephin-2 OS=Homo sapiens OX=9606 GN=JOSD2 PE=1 SV=1
+MSQAPGAQPSPPTVYHERQRLELCAVHALNNVLQQQLFSQEAADEICKRLAPDSRLNPHRSLLGTGNYDVNVIMAALQGLGLAAVWWDRRRPLSQLALPQVLGLILNLPSPVSLGLLSLPLRRRHWVALRQVDGVYYNLDSKLRAPEALGDEDGVRAFLAAALAQGLCEVLLVVTKEVEEKGSWLRTD
+>DECOY_sp|Q8TAC2|JOS2_HUMAN Josephin-2 OS=Homo sapiens OX=9606 GN=JOSD2 PE=1 SV=1
+DTRLWSGKEEVEKTVVLLVECLGQALAAALFARVGDEDGLAEPARLKSDLNYYVGDVQRLAVWHRRRLPLSLLGLSVPSPLNLILGLVQPLALQSLPRRRDWWVAALGLGQLAAMIVNVDYNGTGLLSRHPNLRSDPALRKCIEDAAEQSFLQQQLVNNLAHVACLELRQREHYVTPPSPQAGPAQSM
+>sp|Q7Z3Y8|K1C27_HUMAN Keratin, type I cytoskeletal 27 OS=Homo sapiens OX=9606 GN=KRT27 PE=1 SV=2
+MSVRFSSTSRRLGSCGGTGSVRLSSGGAGFGAGNTCGVPGIGSGFSCAFGGSSSAGGYGGGLGGGSASCAAFTGNEHGLLSGNEKVTMQNLNDRLASYLENVRALEEANADLEQKIKGWYEKFGPGSCRGLDHDYSRYFPIIDELKNQIISATTSNAHVVLQNDNARLTADDFRLKFENELALHQSVEADINGLRRVLDELTLCRTDLEIQLETLSEELAYLKKNHEEEMKALQCAAGGNVNVEMNAAPGVDLTVLLNNMRAEYEALAEQNRRDAEAWFNEKSASLQQQISDDAGATTSARNELIEMKRTLQTLEIELQSLLATKHSLECSLTETESNYCAQLAQIQAQIGALEEQLHQVRTETEGQKLEYEQLLDIKVHLEKEIETYCLLIDGEDGSCSKSKGYGGPGNQTKDSSKTTIVKTVVEEIDPRGKVLSSRVHTVEEKSTKVNNKNEQRVSS
+>DECOY_sp|Q7Z3Y8|K1C27_HUMAN Keratin, type I cytoskeletal 27 OS=Homo sapiens OX=9606 GN=KRT27 PE=1 SV=2
+SSVRQENKNNVKTSKEEVTHVRSSLVKGRPDIEEVVTKVITTKSSDKTQNGPGGYGKSKSCSGDEGDILLCYTEIEKELHVKIDLLQEYELKQGETETRVQHLQEELAGIQAQIQALQACYNSETETLSCELSHKTALLSQLEIELTQLTRKMEILENRASTTAGADDSIQQQLSASKENFWAEADRRNQEALAEYEARMNNLLVTLDVGPAANMEVNVNGGAACQLAKMEEEHNKKLYALEESLTELQIELDTRCLTLEDLVRRLGNIDAEVSQHLALENEFKLRFDDATLRANDNQLVVHANSTTASIIQNKLEDIIPFYRSYDHDLGRCSGPGFKEYWGKIKQELDANAEELARVNELYSALRDNLNQMTVKENGSLLGHENGTFAACSASGGGLGGGYGGASSSGGFACSFGSGIGPVGCTNGAGFGAGGSSLRVSGTGGCSGLRRSTSSFRVSM
+>sp|Q6A162|K1C40_HUMAN Keratin, type I cytoskeletal 40 OS=Homo sapiens OX=9606 GN=KRT40 PE=1 SV=2
+MTSDCSSTHCSPESCGTASGCAPASSCSVETACLPGTCATSRCQTPSFLSRSRGLTGCLLPCYFTGSCNSPCLVGNCAWCEDGVFTSNEKETMQFLNDRLASYLEKVRSLEETNAELESRIQEQCEQDIPMVCPDYQRYFNTIEDLQQKILCTKAENSRLAVQLDNCKLATDDFKSKYESELSLRQLLEADISSLHGILEELTLCKSDLEAHVESLKEDLLCLKKNHEEEVNLLREQLGDRLSVELDTAPTLDLNRVLDEMRCQCETVLANNRREAEEWLAVQTEELNQQQLSSAEQLQGCQMEILELKRTASALEIELQAQQSLTESLECTVAETEAQYSSQLAQIQCLIDNLENQLAEIRCDLERQNQEYQVLLDVKARLEGEINTYWGLLDSEDSRLSCSPCSTTCTSSNTCEPCSAYVICTVENCCL
+>DECOY_sp|Q6A162|K1C40_HUMAN Keratin, type I cytoskeletal 40 OS=Homo sapiens OX=9606 GN=KRT40 PE=1 SV=2
+LCCNEVTCIVYASCPECTNSSTCTTSCPSCSLRSDESDLLGWYTNIEGELRAKVDLLVQYEQNQRELDCRIEALQNELNDILCQIQALQSSYQAETEAVTCELSETLSQQAQLEIELASATRKLELIEMQCGQLQEASSLQQQNLEETQVALWEEAERRNNALVTECQCRMEDLVRNLDLTPATDLEVSLRDGLQERLLNVEEEHNKKLCLLDEKLSEVHAELDSKCLTLEELIGHLSSIDAELLQRLSLESEYKSKFDDTALKCNDLQVALRSNEAKTCLIKQQLDEITNFYRQYDPCVMPIDQECQEQIRSELEANTEELSRVKELYSALRDNLFQMTEKENSTFVGDECWACNGVLCPSNCSGTFYCPLLCGTLGRSRSLFSPTQCRSTACTGPLCATEVSCSSAPACGSATGCSEPSCHTSSCDSTM
+>sp|Q86Y46|K2C73_HUMAN Keratin, type II cytoskeletal 73 OS=Homo sapiens OX=9606 GN=KRT73 PE=1 SV=1
+MSRQFTYKSGAAAKGGFSGCSAVLSGGSSSSYRAGGKGLSGGFSSRSLYSLGGARSISFNVASGSGWAGGYGFGRGRASGFAGSMFGSVALGSVCPSLCPPGGIHQVTINKSLLAPLNVELDPEIQKVRAQEREQIKVLNNKFASFIDKVRFLEQQNQVLETKWELLQQLDLNNCKNNLEPILEGYISNLRKQLETLSGDRVRLDSELRSVREVVEDYKKRYEEEINKRTTAENEFVVLKKDVDAAYTSKVELQAKVDALDGEIKFFKCLYEGETAQIQSHISDTSIILSMDNNRNLDLDSIIAEVRAQYEEIARKSKAEAEALYQTKFQELQLAAGRHGDDLKHTKNEISELTRLIQRLRSEIESVKKQCANLETAIADAEQRGDCALKDARAKLDELEGALQQAKEELARMLREYQELLSVKLSLDIEIATYRKLLEGEECRMSGEYTNSVSISVINSSMAGMAGTGAGFGFSNAGTYGYWPSSVSGGYSMLPGGCVTGSGNCSPRGEARTRLGSASEFRDSQGKTLALSSPTKKTMR
+>DECOY_sp|Q86Y46|K2C73_HUMAN Keratin, type II cytoskeletal 73 OS=Homo sapiens OX=9606 GN=KRT73 PE=1 SV=1
+RMTKKTPSSLALTKGQSDRFESASGLRTRAEGRPSCNGSGTVCGGPLMSYGGSVSSPWYGYTGANSFGFGAGTGAMGAMSSNIVSISVSNTYEGSMRCEEGELLKRYTAIEIDLSLKVSLLEQYERLMRALEEKAQQLAGELEDLKARADKLACDGRQEADAIATELNACQKKVSEIESRLRQILRTLESIENKTHKLDDGHRGAALQLEQFKTQYLAEAEAKSKRAIEEYQARVEAIISDLDLNRNNDMSLIISTDSIHSQIQATEGEYLCKFFKIEGDLADVKAQLEVKSTYAADVDKKLVVFENEATTRKNIEEEYRKKYDEVVERVSRLESDLRVRDGSLTELQKRLNSIYGELIPELNNKCNNLDLQQLLEWKTELVQNQQELFRVKDIFSAFKNNLVKIQEREQARVKQIEPDLEVNLPALLSKNITVQHIGGPPCLSPCVSGLAVSGFMSGAFGSARGRGFGYGGAWGSGSAVNFSISRAGGLSYLSRSSFGGSLGKGGARYSSSSGGSLVASCGSFGGKAAAGSKYTFQRSM
+>sp|Q7RTS7|K2C74_HUMAN Keratin, type II cytoskeletal 74 OS=Homo sapiens OX=9606 GN=KRT74 PE=1 SV=2
+MSRQLNIKSSGDKGNFSVHSAVVPRKAVGSLASYCAAGRGAGAGFGSRSLYSLGGNRRISFNVAGGGVRAGGYGFRPGSGYGGGRASGFAGSMFGSVALGPACLSVCPPGGIHQVTVNKSLLAPLNVELDPEIQKVRAQEREQIKVLNDKFASFIDKVRFLEQQNQVLETKWELLQQLDLNNCKKNLEPILEGYISNLRKQLETLSGDRVRLDSELRSMRDLVEDYKKRYEVEINRRTTAENEFVVLKKDADAAYAVKVELQAKVDSLDKEIKFLKCLYDAEIAQIQTHASETSVILSMDNNRDLDLDSIIAEVRMHYEEIALKSKAEAEALYQTKIQELQLAASRHGDDLKHTRSEMVELNRLIQRIRCEIGNVKKQRASLETAIADAEQRGDNALKDAQAKLDELEGALHQAKEELARMLREYQELMSLKLALDMEIATYRKLLEGEECRMSGENPSSVSISVISSSSYSYHHPSSAGVDLGASAVAGSSGSTQSGQTKTTEARGGDLKDTQGKSTPASIPARKATR
+>DECOY_sp|Q7RTS7|K2C74_HUMAN Keratin, type II cytoskeletal 74 OS=Homo sapiens OX=9606 GN=KRT74 PE=1 SV=2
+RTAKRAPISAPTSKGQTDKLDGGRAETTKTQGSQTSGSSGAVASAGLDVGASSPHHYSYSSSSIVSISVSSPNEGSMRCEEGELLKRYTAIEMDLALKLSMLEQYERLMRALEEKAQHLAGELEDLKAQADKLANDGRQEADAIATELSARQKKVNGIECRIRQILRNLEVMESRTHKLDDGHRSAALQLEQIKTQYLAEAEAKSKLAIEEYHMRVEAIISDLDLDRNNDMSLIVSTESAHTQIQAIEADYLCKLFKIEKDLSDVKAQLEVKVAYAADADKKLVVFENEATTRRNIEVEYRKKYDEVLDRMSRLESDLRVRDGSLTELQKRLNSIYGELIPELNKKCNNLDLQQLLEWKTELVQNQQELFRVKDIFSAFKDNLVKIQEREQARVKQIEPDLEVNLPALLSKNVTVQHIGGPPCVSLCAPGLAVSGFMSGAFGSARGGGYGSGPRFGYGGARVGGGAVNFSIRRNGGLSYLSRSGFGAGAGRGAACYSALSGVAKRPVVASHVSFNGKDGSSKINLQRSM
+>sp|Q96M32|KAD7_HUMAN Adenylate kinase 7 OS=Homo sapiens OX=9606 GN=AK7 PE=1 SV=3
+MAEEEETAALTEKVIRTQRVFINLLDSYSSGNIGKFLSNCVVGASLEEITEEEEEEDENKSAMLEASSTKVKEGTFQIVGTLSKPDSPRPDFAVETYSAISREDLLMRLLECDVIIYNITESSQQMEEAIWAVSALSEEVSHFEKRKLFILLSTVMTWARSKALDPEDSEVPFTEEDYRRRKSHPNFLDHINAEKMVLKFGKKARKFAAYVVAAGLQYGAEGGMLHTFFKMAWLGEIPALPVFGDGTNVIPTIHVLDLAGVIQNVIDHVPKPHYLVAVDESVHTLEDIVKCISKNTGPGKIQKIPRENAYLTKDLTQDCLDHLLVNLRMEALFVKENFNIRWAAQTGFVENINTILKEYKQSRGLMPIKICILGPPAVGKSSIAKELANYYKLHHIQLKDVISEAIAKLEAIVAPNDVGEGEEEVEEEEEEENVEDAQELLDGIKESMEQNAGQLDDQYIIRFMKEKLKSMPCRNQGYILDGFPKTYDQAKDLFNQEDEEEEDDVRGRMFPFDKLIIPEFVCALDASDEFLKERVINLPESIVAGTHYSQDRFLRALSNYRDINIDDETVFNYFDELEIHPIHIDVGKLEDAQNRLAIKQLIKEIGEPRNYGLTDEEKAEEERKAAEERLAREAAEEAEREHQEAVEMAEKIARWEEWNKRLEEVKREERELLEAQSIPLRNYLMTYVMPTLIQGLNECCNVRPEDPVDFLAEYLFKNNPEAQ
+>DECOY_sp|Q96M32|KAD7_HUMAN Adenylate kinase 7 OS=Homo sapiens OX=9606 GN=AK7 PE=1 SV=3
+QAEPNNKFLYEALFDVPDEPRVNCCENLGQILTPMVYTMLYNRLPISQAELLEREERKVEELRKNWEEWRAIKEAMEVAEQHEREAEEAAERALREEAAKREEEAKEEDTLGYNRPEGIEKILQKIALRNQADELKGVDIHIPHIELEDFYNFVTEDDINIDRYNSLARLFRDQSYHTGAVISEPLNIVREKLFEDSADLACVFEPIILKDFPFMRGRVDDEEEEDEQNFLDKAQDYTKPFGDLIYGQNRCPMSKLKEKMFRIIYQDDLQGANQEMSEKIGDLLEQADEVNEEEEEEEVEEEGEGVDNPAVIAELKAIAESIVDKLQIHHLKYYNALEKAISSKGVAPPGLICIKIPMLGRSQKYEKLITNINEVFGTQAAWRINFNEKVFLAEMRLNVLLHDLCDQTLDKTLYANERPIKQIKGPGTNKSICKVIDELTHVSEDVAVLYHPKPVHDIVNQIVGALDLVHITPIVNTGDGFVPLAPIEGLWAMKFFTHLMGGEAGYQLGAAVVYAAFKRAKKGFKLVMKEANIHDLFNPHSKRRRYDEETFPVESDEPDLAKSRAWTMVTSLLIFLKRKEFHSVEESLASVAWIAEEMQQSSETINYIIVDCELLRMLLDERSIASYTEVAFDPRPSDPKSLTGVIQFTGEKVKTSSAELMASKNEDEEEEEETIEELSAGVVCNSLFKGINGSSYSDLLNIFVRQTRIVKETLAATEEEEAM
+>sp|A0AUZ9|KAL1L_HUMAN KAT8 regulatory NSL complex subunit 1-like protein OS=Homo sapiens OX=9606 GN=KANSL1L PE=1 SV=2
+MTPALREATAKGISFSSLPSTMESDKMLYMESPRTVDEKLKGDTFSQMLGFPTPEPTLNTNFVNLKHFGSPQSSKHYQTVFLMRSNSTLNKHNENYKQKKLGEPSCNKLKNILYNGSNIQLSKICLSHSEEFIKKEPLSDTTSQCMKDVQIILDSNITKDTNVDKVQLQNCKWYQENALLDKVTDAEIKKGLLHCTQKKIVPGHSNVPVSSSAAEKEEEVHARLLHCVSKQKILLSQARRTQKHLQMLLAKHVVKHYGQQMKLSMKHQLPKMKTFHEPTTILGNSLPKCTEIKPEVNTLTAENKLWDDAKNGFARCTAAEIQRFAFSATGLLSHVEEGLDSDATDSSSDDDLDEYTLRKNVAVNCSTEWKWLVDRARVGSRWTWLQAQISDLECKIQQLTDIHRQIRASKGIVVLEECQLPKDILKKQMQFADQAASLNILGNPQVPQECQDPVPEQDFEMSPSSPTLLLRNIEKQSAQLTEIINSLIAPLNLSPTSSPLSSKSCSHKCLANGIYRSASENLDELSSSSSWLLNQKHSKKKRKDRTRLKSSSLTFMSTSARTRPLQSFHKRKLYRLSPTFYWTPQTLPSKETAFLNTTQMPCLQSASTWSSYEHNSESYLLREHVSELDSSFHSVLSLPSDVPLHFHFETLLKKTEIKGNLAENKFVDEYIISPSPVHSTLNQWRNGYSPICKPQIRSESSAQLLQGRKKRHLSETALGERTKLEESDFQHTESGSHSNFTAVSNVNVLSRIQNSSRNTARRRLRSESSYDIDNIVIPMSLVAPAKLEKLQYKEILTPSWRMVVLQPLDEYNLGKEEIEDLSDEVFSLRHKKYEEREQARWSLWEQSKWHRRNSRAYSKNVEGQDLLLKEYPNNFSSSQQCAAASPPGLPSENQDLCAYGLPSLNQSQETKSLWWERRAFPLKGEDMAALLCQDEKKDQVERSSTAFHGEIFGTSVPENGHHPKKQSDGMEEYKTFGLGLTNVKKNR
+>DECOY_sp|A0AUZ9|KAL1L_HUMAN KAT8 regulatory NSL complex subunit 1-like protein OS=Homo sapiens OX=9606 GN=KANSL1L PE=1 SV=2
+RNKKVNTLGLGFTKYEEMGDSQKKPHHGNEPVSTGFIEGHFATSSREVQDKKEDQCLLAAMDEGKLPFARREWWLSKTEQSQNLSPLGYACLDQNESPLGPPSAAACQQSSSFNNPYEKLLLDQGEVNKSYARSNRRHWKSQEWLSWRAQEREEYKKHRLSFVEDSLDEIEEKGLNYEDLPQLVVMRWSPTLIEKYQLKELKAPAVLSMPIVINDIDYSSESRLRRRATNRSSNQIRSLVNVNSVATFNSHSGSETHQFDSEELKTREGLATESLHRKKRGQLLQASSESRIQPKCIPSYGNRWQNLTSHVPSPSIIYEDVFKNEALNGKIETKKLLTEFHFHLPVDSPLSLVSHFSSDLESVHERLLYSESNHEYSSWTSASQLCPMQTTNLFATEKSPLTQPTWYFTPSLRYLKRKHFSQLPRTRASTSMFTLSSSKLRTRDKRKKKSHKQNLLWSSSSSLEDLNESASRYIGNALCKHSCSKSSLPSSTPSLNLPAILSNIIETLQASQKEINRLLLTPSSPSMEFDQEPVPDQCEQPVQPNGLINLSAAQDAFQMQKKLIDKPLQCEELVVIGKSARIQRHIDTLQQIKCELDSIQAQLWTWRSGVRARDVLWKWETSCNVAVNKRLTYEDLDDDSSSDTADSDLGEEVHSLLGTASFAFRQIEAATCRAFGNKADDWLKNEATLTNVEPKIETCKPLSNGLITTPEHFTKMKPLQHKMSLKMQQGYHKVVHKALLMQLHKQTRRAQSLLIKQKSVCHLLRAHVEEEKEAASSSVPVNSHGPVIKKQTCHLLGKKIEADTVKDLLANEQYWKCNQLQVKDVNTDKTINSDLIIQVDKMCQSTTDSLPEKKIFEESHSLCIKSLQINSGNYLINKLKNCSPEGLKKQKYNENHKNLTSNSRMLFVTQYHKSSQPSGFHKLNVFNTNLTPEPTPFGLMQSFTDGKLKEDVTRPSEMYLMKDSEMTSPLSSFSIGKATAERLAPTM
+>sp|O60229|KALRN_HUMAN Kalirin OS=Homo sapiens OX=9606 GN=KALRN PE=1 SV=2
+MTDRFWDQWYLWYLRLLRLLDRGSFRNDGLKASDVLPILKEKVAFVSGGRDKRGGPILTFPARSNHDRIRQEDLRKLVTYLASVPSEDVCKRGFTVIIDMRGSKWDLIKPLLKTLQEAFPAEIHVALIIKPDNFWQKQKTNFGSSKFIFETSMVSVEGLTKLVDPSQLTEEFDGSLDYNHEEWIELRLSLEEFFNSAVHLLSRLEDLQEMLARKEFPVDVEGSRRLIDEHTQLKKKVLKAPVEELDREGQRLLQCIRCSDGFSGRNCIPGSADFQSLVPKITSLLDKLHSTRQHLHQMWHVRKLKLDQCFQLRLFEQDAEKMFDWISHNKELFLQSHTEIGVSYQYALDLQTQHNHFAMNSMNAYVNINRIMSVASRLSEAGHYASQQIKQISTQLDQEWKSFAAALDERSTILAMSAVFHQKAEQFLSGVDAWCKMCSEGGLPSEMQDLELAIHHHQTLYEQVTQAYTEVSQDGKALLDVLQRPLSPGNSESLTATANYSKAVHQVLDVVHEVLHHQRRLESIWQHRKVRLHQRLQLCVFQQDVQQVLDWIENHGEAFLSKHTGVGKSLHRARALQKRHDDFEEVAQNTYTNADKLLEAAEQLAQTGECDPEEIYKAARHLEVRIQDFVRRVEQRKLLLDMSVSFHTHTKELWTWMEDLQKEMLEDVCADSVDAVQELIKQFQQQQTATLDATLNVIKEGEDLIQQLRSAPPSLGEPSEARDSAVSNNKTPHSSSISHIESVLQQLDDAQVQMEELFHERKIKLDIFLQLRIFEQYTIEVTAELDAWNEDLLRQMNDFNTEDLTLAEQRLQRHTERKLAMNNMTFEVIQQGQDLHQYITEVQASGIELICEKDIDLAAQVQELLEFLHEKQHELELNAEQTHKRLEQCLQLRHLQAEVKQVLGWIRNGESMLNASLVNASSLSEAEQLQREHEQFQLAIESLFHATSLQKTHQSALQVQQKAEVLLQAGHYDADAIRECAEKVALHWQQLMLKMEDRLKLVNASVAFYKTSEQVCSVLESLEQEYRRDEDWCGGRDKLGPAAEIDHVIPLISKHLEQKEAFLKACTLARRNAEVFLKYIHRNNVSMPSVASHTRGPEQQVKAILSELLQRENRVLHFWTLKKRRLDQCQQYVVFERSAKQALDWIQETGEFYLSTHTSTGETTEETQELLKEYGEFRVPAKQTKEKVKLLIQLADSFVEKGHIHATEIRKWVTTVDKHYRDFSLRMGKYRYSLEKALGVNTEDNKDLELDIIPASLSDREVKLRDANHEVNEEKRKSARKKEFIMAELLQTEKAYVRDLHECLETYLWEMTSGVEEIPPGILNKEHIIFGNIQEIYDFHNNIFLKELEKYEQLPEDVGHCFVTWADKFQMYVTYCKNKPDSNQLILEHAGTFFDEIQQRHGLANSISSYLIKPVQRITKYQLLLKELLTCCEEGKGELKDGLEVMLSVPKKANDAMHVSMLEGFDENLDVQGELILQDAFQVWDPKSLIRKGRERHLFLFEISLVFSKEIKDSSGHTKYVYKNKLLTSELGVTEHVEGDPCKFALWSGRTPSSDNKTVLKASNIETKQEWIKNIREVIQERIIHLKGALKEPLQLPKTPAKQRNNSKRDGVEDIDSQGDGSSQPDTISIASRTSQNTVDSDKLSGGCELTVVLQDFSAGHSSELTIQVGQTVELLERPSERPGWCLVRTTERSPPLEGLVPSSALCISHSRSSVEMDCFFPLVKDAYSHSSSENGGKSESVANLQAQPSLNSIHSSPGPKRSTNTLKKWLTSPVRRLNSGKADGNIKKQKKVRDGRKSFDLGSPKPGDETTPQGDSADEKSKKGWGEDEPDEESHTPLPPPMKIFDNDPTQDEMSSLLAARQASTEVPTAADLVNAIEKLVKNKLSLEGSSYRGSLKDPAGCLNEGMAPPTPPKNPEEEQKAKALRGRMFVLNELVQTEKDYVKDLGIVVEGFMKRIEEKGVPEDMRGKDKIVFGNIHQIYDWHKDFFLAELEKCIQEQDRLAQLFIKHERKLHIYVWYCQNKPRSEYIVAEYDAYFEEVKQEINQRLTLSDFLIKPIQRITKYQLLLKDFLRYSEKAGLECSDIEKAVELMCLVPKRCNDMMNLGRLQGFEGTLTAQGKLLQQDTFYVIELDAGMQSRTKERRVFLFEQIVIFSELLRKGSLTPGYMFKRSIKMNYLVLEENVDNDPCKFALMNRETSERVVLQAANADIQQAWVQDINQVLETQRDFLNALQSPIEYQRKERSTAVMRSQPARLPQASPRPYSSVPAGSEKPPKGSSYNPPLPPLKISTSNGSPGFEYHQPGDKFEASKQNDLGGCNGTSSMAVIKDYYALKENEICVSQGEVVQVLAVNQQNMCLVYQPASDHSPAAEGWVPGSILAPLTKATAAESSDGSIKKSCSWHTLRMRKRAEVENTGKNEATGPRKPKDILGNKVSVKETNSSEESECDDLDPNTSMEILNPNFIQEVAPEFLVPLVDVTCLLGDTVILQCKVCGRPKPTITWKGPDQNILDTDNSSATYTVSSCDSGEITLKICNLMPQDSGIYTCIATNDHGTTSTSATVKVQGVPAAPNRPIAQERSCTSVILRWLPPSSTGNCTISGYTVEYREEGSQIWQQSVASTLDTYLVIEDLSPGCPYQFRVSASNPWGISLPSEPSEFVRLPEYDAAADGATISWKENFDSAYTELNEIGRGRFSIVKKCIHKATRKDVAVKFVSKKMKKKEQAAHEAALLQHLQHPQYITLHDTYESPTSYILILELMDDGRLLDYLMNHDELMEEKVAFYIRDIMEALQYLHNCRVAHLDIKPENLLIDLRIPVPRVKLIDLEDAVQISGHFHIHHLLGNPEFAAPEVIQGIPVSLGTDIWSIGVLTYVMLSGVSPFLDESKEETCINVCRVDFSFPHEYFCGVSNAARDFINVILQEDFRRRPTAATCLQHPWLQPHNGSYSKIPLDTSRLACFIERRKHQNDVRPIPNVKSYIVNRVNQGT
+>DECOY_sp|O60229|KALRN_HUMAN Kalirin OS=Homo sapiens OX=9606 GN=KALRN PE=1 SV=2
+TGQNVRNVIYSKVNPIPRVDNQHKRREIFCALRSTDLPIKSYSGNHPQLWPHQLCTAATPRRRFDEQLIVNIFDRAANSVGCFYEHPFSFDVRCVNICTEEKSEDLFPSVGSLMVYTLVGISWIDTGLSVPIGQIVEPAAFEPNGLLHHIHFHGSIQVADELDILKVRPVPIRLDILLNEPKIDLHAVRCNHLYQLAEMIDRIYFAVKEEMLEDHNMLYDLLRGDDMLELILIYSTPSEYTDHLTIYQPHQLHQLLAAEHAAQEKKKMKKSVFKVAVDKRTAKHICKKVISFRGRGIENLETYASDFNEKWSITAGDAAADYEPLRVFESPESPLSIGWPNSASVRFQYPCGPSLDEIVLYTDLTSAVSQQWIQSGEERYEVTYGSITCNGTSSPPLWRLIVSTCSREQAIPRNPAAPVGQVKVTASTSTTGHDNTAICTYIGSDQPMLNCIKLTIEGSDCSSVTYTASSNDTDLINQDPGKWTITPKPRGCVKCQLIVTDGLLCTVDVLPVLFEPAVEQIFNPNLIEMSTNPDLDDCESEESSNTEKVSVKNGLIDKPKRPGTAENKGTNEVEARKRMRLTHWSCSKKISGDSSEAATAKTLPALISGPVWGEAAPSHDSAPQYVLCMNQQNVALVQVVEGQSVCIENEKLAYYDKIVAMSSTGNCGGLDNQKSAEFKDGPQHYEFGPSGNSTSIKLPPLPPNYSSGKPPKESGAPVSSYPRPSAQPLRAPQSRMVATSREKRQYEIPSQLANLFDRQTELVQNIDQVWAQQIDANAAQLVVRESTERNMLAFKCPDNDVNEELVLYNMKISRKFMYGPTLSGKRLLESFIVIQEFLFVRREKTRSQMGADLEIVYFTDQQLLKGQATLTGEFGQLRGLNMMDNCRKPVLCMLEVAKEIDSCELGAKESYRLFDKLLLQYKTIRQIPKILFDSLTLRQNIEQKVEEFYADYEAVIYESRPKNQCYWVYIHLKREHKIFLQALRDQEQICKELEALFFDKHWDYIQHINGFVIKDKGRMDEPVGKEEIRKMFGEVVIGLDKVYDKETQVLENLVFMRGRLAKAKQEEEPNKPPTPPAMGENLCGAPDKLSGRYSSGELSLKNKVLKEIANVLDAATPVETSAQRAALLSSMEDQTPDNDFIKMPPPLPTHSEEDPEDEGWGKKSKEDASDGQPTTEDGPKPSGLDFSKRGDRVKKQKKINGDAKGSNLRRVPSTLWKKLTNTSRKPGPSSHISNLSPQAQLNAVSESKGGNESSSHSYADKVLPFFCDMEVSSRSHSICLASSPVLGELPPSRETTRVLCWGPRESPRELLEVTQGVQITLESSHGASFDQLVVTLECGGSLKDSDVTNQSTRSAISITDPQSSGDGQSDIDEVGDRKSNNRQKAPTKPLQLPEKLAGKLHIIREQIVERINKIWEQKTEINSAKLVTKNDSSPTRGSWLAFKCPDGEVHETVGLESTLLKNKYVYKTHGSSDKIEKSFVLSIEFLFLHRERGKRILSKPDWVQFADQLILEGQVDLNEDFGELMSVHMADNAKKPVSLMVELGDKLEGKGEECCTLLEKLLLQYKTIRQVPKILYSSISNALGHRQQIEDFFTGAHELILQNSDPKNKCYTVYMQFKDAWTVFCHGVDEPLQEYKELEKLFINNHFDYIEQINGFIIHEKNLIGPPIEEVGSTMEWLYTELCEHLDRVYAKETQLLEAMIFEKKRASKRKEENVEHNADRLKVERDSLSAPIIDLELDKNDETNVGLAKELSYRYKGMRLSFDRYHKDVTTVWKRIETAHIHGKEVFSDALQILLKVKEKTQKAPVRFEGYEKLLEQTEETTEGTSTHTSLYFEGTEQIWDLAQKASREFVVYQQCQDLRRKKLTWFHLVRNERQLLESLIAKVQQEPGRTHSAVSPMSVNNRHIYKLFVEANRRALTCAKLFAEKQELHKSILPIVHDIEAAPGLKDRGGCWDEDRRYEQELSELVSCVQESTKYFAVSANVLKLRDEMKLMLQQWHLAVKEACERIADADYHGAQLLVEAKQQVQLASQHTKQLSTAHFLSEIALQFQEHERQLQEAESLSSANVLSANLMSEGNRIWGLVQKVEAQLHRLQLCQELRKHTQEANLELEHQKEHLFELLEQVQAALDIDKECILEIGSAQVETIYQHLDQGQQIVEFTMNNMALKRETHRQLRQEALTLDETNFDNMQRLLDENWADLEATVEITYQEFIRLQLFIDLKIKREHFLEEMQVQADDLQQLVSEIHSISSSHPTKNNSVASDRAESPEGLSPPASRLQQILDEGEKIVNLTADLTATQQQQFQKILEQVADVSDACVDELMEKQLDEMWTWLEKTHTHFSVSMDLLLKRQEVRRVFDQIRVELHRAAKYIEEPDCEGTQALQEAAELLKDANTYTNQAVEEFDDHRKQLARARHLSKGVGTHKSLFAEGHNEIWDLVQQVDQQFVCLQLRQHLRVKRHQWISELRRQHHLVEHVVDLVQHVAKSYNATATLSESNGPSLPRQLVDLLAKGDQSVETYAQTVQEYLTQHHHIALELDQMESPLGGESCMKCWADVGSLFQEAKQHFVASMALITSREDLAAAFSKWEQDLQTSIQKIQQSAYHGAESLRSAVSMIRNINVYANMSNMAFHNHQTQLDLAYQYSVGIETHSQLFLEKNHSIWDFMKEADQEFLRLQFCQDLKLKRVHWMQHLHQRTSHLKDLLSTIKPVLSQFDASGPICNRGSFGDSCRICQLLRQGERDLEEVPAKLVKKKLQTHEDILRRSGEVDVPFEKRALMEQLDELRSLLHVASNFFEELSLRLEIWEEHNYDLSGDFEETLQSPDVLKTLGEVSVMSTEFIFKSSGFNTKQKQWFNDPKIILAVHIEAPFAEQLTKLLPKILDWKSGRMDIIVTFGRKCVDESPVSALYTVLKRLDEQRIRDHNSRAPFTLIPGGRKDRGGSVFAVKEKLIPLVDSAKLGDNRFSGRDLLRLLRLYWLYWQDWFRDTM
+>sp|P31321|KAP1_HUMAN cAMP-dependent protein kinase type I-beta regulatory subunit OS=Homo sapiens OX=9606 GN=PRKAR1B PE=1 SV=4
+MASPPACPSEEDESLKGCELYVQLHGIQQVLKDCIVHLCISKPERPMKFLREHFEKLEKEENRQILARQKSNSQSDSHDEEVSPTPPNPVVKARRRRGGVSAEVYTEEDAVSYVRKVIPKDYKTMTALAKAISKNVLFAHLDDNERSDIFDAMFPVTHIAGETVIQQGNEGDNFYVVDQGEVDVYVNGEWVTNISEGGSFGELALIYGTPRAATVKAKTDLKLWGIDRDSYRRILMGSTLRKRKMYEEFLSKVSILESLEKWERLTVADALEPVQFEDGEKIVVQGEPGDDFYIITEGTASVLQRRSPNEEYVEVGRLGPSDYFGEIALLLNRPRAATVVARGPLKCVKLDRPRFERVLGPCSEILKRNIQRYNSFISLTV
+>DECOY_sp|P31321|KAP1_HUMAN cAMP-dependent protein kinase type I-beta regulatory subunit OS=Homo sapiens OX=9606 GN=PRKAR1B PE=1 SV=4
+VTLSIFSNYRQINRKLIESCPGLVREFRPRDLKVCKLPGRAVVTAARPRNLLLAIEGFYDSPGLRGVEVYEENPSRRQLVSATGETIIYFDDGPEGQVVIKEGDEFQVPELADAVTLREWKELSELISVKSLFEEYMKRKRLTSGMLIRRYSDRDIGWLKLDTKAKVTAARPTGYILALEGFSGGESINTVWEGNVYVDVEGQDVVYFNDGENGQQIVTEGAIHTVPFMADFIDSRENDDLHAFLVNKSIAKALATMTKYDKPIVKRVYSVADEETYVEASVGGRRRRAKVVPNPPTPSVEEDHSDSQSNSKQRALIQRNEEKELKEFHERLFKMPREPKSICLHVICDKLVQQIGHLQVYLECGKLSEDEESPCAPPSAM
+>sp|P13861|KAP2_HUMAN cAMP-dependent protein kinase type II-alpha regulatory subunit OS=Homo sapiens OX=9606 GN=PRKAR2A PE=1 SV=2
+MSHIQIPPGLTELLQGYTVEVLRQQPPDLVEFAVEYFTRLREARAPASVLPAATPRQSLGHPPPEPGPDRVADAKGDSESEEDEDLEVPVPSRFNRRVSVCAETYNPDEEEEDTDPRVIHPKTDEQRCRLQEACKDILLFKNLDQEQLSQVLDAMFERIVKADEHVIDQGDDGDNFYVIERGTYDILVTKDNQTRSVGQYDNRGSFGELALMYNTPRAATIVATSEGSLWGLDRVTFRRIIVKNNAKKRKMFESFIESVPLLKSLEVSERMKIVDVIGEKIYKDGERIITQGEKADSFYIIESGEVSILIRSRTKSNKDGGNQEVEIARCHKGQYFGELALVTNKPRAASAYAVGDVKCLVMDVQAFERLLGPCMDIMKRNISHYEEQLVKMFGSSVDLGNLGQ
+>DECOY_sp|P13861|KAP2_HUMAN cAMP-dependent protein kinase type II-alpha regulatory subunit OS=Homo sapiens OX=9606 GN=PRKAR2A PE=1 SV=2
+QGLNGLDVSSGFMKVLQEEYHSINRKMIDMCPGLLREFAQVDMVLCKVDGVAYASAARPKNTVLALEGFYQGKHCRAIEVEQNGGDKNSKTRSRILISVEGSEIIYFSDAKEGQTIIREGDKYIKEGIVDVIKMRESVELSKLLPVSEIFSEFMKRKKANNKVIIRRFTVRDLGWLSGESTAVITAARPTNYMLALEGFSGRNDYQGVSRTQNDKTVLIDYTGREIVYFNDGDDGQDIVHEDAKVIREFMADLVQSLQEQDLNKFLLIDKCAEQLRCRQEDTKPHIVRPDTDEEEEDPNYTEACVSVRRNFRSPVPVELDEDEESESDGKADAVRDPGPEPPPHGLSQRPTAAPLVSAPARAERLRTFYEVAFEVLDPPQQRLVEVTYGQLLETLGPPIQIHSM
+>sp|P22694|KAPCB_HUMAN cAMP-dependent protein kinase catalytic subunit beta OS=Homo sapiens OX=9606 GN=PRKACB PE=1 SV=2
+MGNAATAKKGSEVESVKEFLAKAKEDFLKKWENPTQNNAGLEDFERKKTLGTGSFGRVMLVKHKATEQYYAMKILDKQKVVKLKQIEHTLNEKRILQAVNFPFLVRLEYAFKDNSNLYMVMEYVPGGEMFSHLRRIGRFSEPHARFYAAQIVLTFEYLHSLDLIYRDLKPENLLIDHQGYIQVTDFGFAKRVKGRTWTLCGTPEYLAPEIILSKGYNKAVDWWALGVLIYEMAAGYPPFFADQPIQIYEKIVSGKVRFPSHFSSDLKDLLRNLLQVDLTKRFGNLKNGVSDIKTHKWFATTDWIAIYQRKVEAPFIPKFRGSGDTSNFDDYEEEDIRVSITEKCAKEFGEF
+>DECOY_sp|P22694|KAPCB_HUMAN cAMP-dependent protein kinase catalytic subunit beta OS=Homo sapiens OX=9606 GN=PRKACB PE=1 SV=2
+FEGFEKACKETISVRIDEEEYDDFNSTDGSGRFKPIFPAEVKRQYIAIWDTTAFWKHTKIDSVGNKLNGFRKTLDVQLLNRLLDKLDSSFHSPFRVKGSVIKEYIQIPQDAFFPPYGAAMEYILVGLAWWDVAKNYGKSLIIEPALYEPTGCLTWTRGKVRKAFGFDTVQIYGQHDILLNEPKLDRYILDLSHLYEFTLVIQAAYFRAHPESFRGIRRLHSFMEGGPVYEMVMYLNSNDKFAYELRVLFPFNVAQLIRKENLTHEIQKLKVVKQKDLIKMAYYQETAKHKVLMVRGFSGTGLTKKREFDELGANNQTPNEWKKLFDEKAKALFEKVSEVESGKKATAANGM
+>sp|Q6YP21|KAT3_HUMAN Kynurenine--oxoglutarate transaminase 3 OS=Homo sapiens OX=9606 GN=KYAT3 PE=1 SV=1
+MFLAQRSLCSLSGRAKFLKTISSSKILGFSTSAKMSLKFTNAKRIEGLDSNVWIEFTKLAADPSVVNLGQGFPDISPPTYVKEELSKIAAIDSLNQYTRGFGHPSLVKALSYLYEKLYQKQIDSNKEILVTVGAYGSLFNTIQALIDEGDEVILIVPFYDCYEPMVRMAGATPVFIPLRSKPVYGKRWSSSDWTLDPQELESKFNSKTKAIILNTPHNPLGKVYNREELQVIADLCIKYDTLCISDEVYEWLVYSGNKHLKIATFPGMWERTITIGSAGKTFSVTGWKLGWSIGPNHLIKHLQTVQQNTIYTCATPLQEALAQAFWIDIKRMDDPECYFNSLPKELEVKRDRMVRLLESVGLKPIVPDGGYFIIADVSLLDPDLSDMKNNEPYDYKFVKWMTKHKKLSAIPVSAFCNSETKSQFEKFVRFCFIKKDSTLDAAEEIIKAWSVQKS
+>DECOY_sp|Q6YP21|KAT3_HUMAN Kynurenine--oxoglutarate transaminase 3 OS=Homo sapiens OX=9606 GN=KYAT3 PE=1 SV=1
+SKQVSWAKIIEEAADLTSDKKIFCFRVFKEFQSKTESNCFASVPIASLKKHKTMWKVFKYDYPENNKMDSLDPDLLSVDAIIFYGGDPVIPKLGVSELLRVMRDRKVELEKPLSNFYCEPDDMRKIDIWFAQALAEQLPTACTYITNQQVTQLHKILHNPGISWGLKWGTVSFTKGASGITITREWMGPFTAIKLHKNGSYVLWEYVEDSICLTDYKICLDAIVQLEERNYVKGLPNHPTNLIIAKTKSNFKSELEQPDLTWDSSSWRKGYVPKSRLPIFVPTAGAMRVMPEYCDYFPVILIVEDGEDILAQITNFLSGYAGVTVLIEKNSDIQKQYLKEYLYSLAKVLSPHGFGRTYQNLSDIAAIKSLEEKVYTPPSIDPFGQGLNVVSPDAALKTFEIWVNSDLGEIRKANTFKLSMKASTSFGLIKSSSITKLFKARGSLSCLSRQALFM
+>sp|Q92993|KAT5_HUMAN Histone acetyltransferase KAT5 OS=Homo sapiens OX=9606 GN=KAT5 PE=1 SV=2
+MAEVGEIIEGCRLPVLRRNQDNEDEWPLAEILSVKDISGRKLFYVHYIDFNKRLDEWVTHERLDLKKIQFPKKEAKTPTKNGLPGSRPGSPEREVPASAQASGKTLPIPVQITLRFNLPKEREAIPGGEPDQPLSSSSCLQPNHRSTKRKVEVVSPATPVPSETAPASVFPQNGAARRAVAAQPGRKRKSNCLGTDEDSQDSSDGIPSAPRMTGSLVSDRSHDDIVTRMKNIECIELGRHRLKPWYFSPYPQELTTLPVLYLCEFCLKYGRSLKCLQRHLTKCDLRHPPGNEIYRKGTISFFEIDGRKNKSYSQNLCLLAKCFLDHKTLYYDTDPFLFYVMTEYDCKGFHIVGYFSKEKESTEDYNVACILTLPPYQRRGYGKLLIEFSYELSKVEGKTGTPEKPLSDLGLLSYRSYWSQTILEILMGLKSESGERPQITINEISEITSIKKEDVISTLQYLNLINYYKGQYILTLSEDIVDGHERAMLKRLLRIDSKCLHFTPKDWSKRGKW
+>DECOY_sp|Q92993|KAT5_HUMAN Histone acetyltransferase KAT5 OS=Homo sapiens OX=9606 GN=KAT5 PE=1 SV=2
+WKGRKSWDKPTFHLCKSDIRLLRKLMAREHGDVIDESLTLIYQGKYYNILNLYQLTSIVDEKKISTIESIENITIQPREGSESKLGMLIELITQSWYSRYSLLGLDSLPKEPTGTKGEVKSLEYSFEILLKGYGRRQYPPLTLICAVNYDETSEKEKSFYGVIHFGKCDYETMVYFLFPDTDYYLTKHDLFCKALLCLNQSYSKNKRGDIEFFSITGKRYIENGPPHRLDCKTLHRQLCKLSRGYKLCFECLYLVPLTTLEQPYPSFYWPKLRHRGLEICEINKMRTVIDDHSRDSVLSGTMRPASPIGDSSDQSDEDTGLCNSKRKRGPQAAVARRAAGNQPFVSAPATESPVPTAPSVVEVKRKTSRHNPQLCSSSSLPQDPEGGPIAEREKPLNFRLTIQVPIPLTKGSAQASAPVEREPSGPRSGPLGNKTPTKAEKKPFQIKKLDLREHTVWEDLRKNFDIYHVYFLKRGSIDKVSLIEALPWEDENDQNRRLVPLRCGEIIEGVEAM
+>sp|Q9H7Z6|KAT8_HUMAN Histone acetyltransferase KAT8 OS=Homo sapiens OX=9606 GN=KAT8 PE=1 SV=2
+MAAQGAAAAVAAGTSGVAGEGEPGPGENAAAEGTAPSPGRVSPPTPARGEPEVTVEIGETYLCRRPDSTWHSAEVIQSRVNDQEGREEFYVHYVGFNRRLDEWVDKNRLALTKTVKDAVQKNSEKYLSELAEQPERKITRNQKRKHDEINHVQKTYAEMDPTTAALEKEHEAITKVKYVDKIHIGNYEIDAWYFSPFPEDYGKQPKLWLCEYCLKYMKYEKSYRFHLGQCQWRQPPGKEIYRKSNISVYEVDGKDHKIYCQNLCLLAKLFLDHKTLYFDVEPFVFYILTEVDRQGAHIVGYFSKEKESPDGNNVACILTLPPYQRRGYGKFLIAFSYELSKLESTVGSPEKPLSDLGKLSYRSYWSWVLLEILRDFRGTLSIKDLSQMTSITQNDIISTLQSLNMVKYWKGQHVICVTPKLVEEHLKSAQYKKPPITVDSVCLKWAPPKHKQVKLSKK
+>DECOY_sp|Q9H7Z6|KAT8_HUMAN Histone acetyltransferase KAT8 OS=Homo sapiens OX=9606 GN=KAT8 PE=1 SV=2
+KKSLKVQKHKPPAWKLCVSDVTIPPKKYQASKLHEEVLKPTVCIVHQGKWYKVMNLSQLTSIIDNQTISTMQSLDKISLTGRFDRLIELLVWSWYSRYSLKGLDSLPKEPSGVTSELKSLEYSFAILFKGYGRRQYPPLTLICAVNNGDPSEKEKSFYGVIHAGQRDVETLIYFVFPEVDFYLTKHDLFLKALLCLNQCYIKHDKGDVEYVSINSKRYIEKGPPQRWQCQGLHFRYSKEYKMYKLCYECLWLKPQKGYDEPFPSFYWADIEYNGIHIKDVYKVKTIAEHEKELAATTPDMEAYTKQVHNIEDHKRKQNRTIKREPQEALESLYKESNKQVADKVTKTLALRNKDVWEDLRRNFGVYHVYFEERGEQDNVRSQIVEASHWTSDPRRCLYTEGIEVTVEPEGRAPTPPSVRGPSPATGEAAANEGPGPEGEGAVGSTGAAVAAAAGQAAM
+>sp|Q8N5Z5|KCD17_HUMAN BTB/POZ domain-containing protein KCTD17 OS=Homo sapiens OX=9606 GN=KCTD17 PE=1 SV=3
+MQTPRPAMRMEAGEAAPPAGAGGRAAGGWGKWVRLNVGGTVFLTTRQTLCREQKSFLSRLCQGEELQSDRDETGAYLIDRDPTYFGPILNFLRHGKLVLDKDMAEEGVLEEAEFYNIGPLIRIIKDRMEEKDYTVTQVPPKHVYRVLQCQEEELTQMVSTMSDGWRFEQLVNIGSSYNYGSEDQAEFLCVVSKELHSTPNGLSSESSRKTKSTEEQLEEQQQQEEEVEEVEVEQVQVEADAQEKAQSSQDPANLFSLPPLPPPPLPAGGSRPHPLRPEAELAVRASPRPLARPQSCHPCCYKPEAPGCEAPDHLQGLGVPI
+>DECOY_sp|Q8N5Z5|KCD17_HUMAN BTB/POZ domain-containing protein KCTD17 OS=Homo sapiens OX=9606 GN=KCTD17 PE=1 SV=3
+IPVGLGQLHDPAECGPAEPKYCCPHCSQPRALPRPSARVALEAEPRLPHPRSGGAPLPPPPLPPLSFLNAPDQSSQAKEQADAEVQVQEVEVEEVEEEQQQQEELQEETSKTKRSSESSLGNPTSHLEKSVVCLFEAQDESGYNYSSGINVLQEFRWGDSMTSVMQTLEEEQCQLVRYVHKPPVQTVTYDKEEMRDKIIRILPGINYFEAEELVGEEAMDKDLVLKGHRLFNLIPGFYTPDRDILYAGTEDRDSQLEEGQCLRSLFSKQERCLTQRTTLFVTGGVNLRVWKGWGGAARGGAGAPPAAEGAEMRMAPRPTQM
+>sp|Q9UIX4|KCNG1_HUMAN Potassium voltage-gated channel subfamily G member 1 OS=Homo sapiens OX=9606 GN=KCNG1 PE=1 SV=1
+MTLLPGDNSDYDYSALSCTSDASFHPAFLPQRQAIKGAFYRRAQRLRPQDEPRQGCQPEDRRRRIIINVGGIKYSLPWTTLDEFPLTRLGQLKACTNFDDILNVCDDYDVTCNEFFFDRNPGAFGTILTFLRAGKLRLLREMCALSFQEELLYWGIAEDHLDGCCKRRYLQKIEEFAEMVEREEEDDALDSEGRDSEGPAEGEGRLGRCMRRLRDMVERPHSGLPGKVFACLSVLFVTVTAVNLSVSTLPSLREEEEQGHCSQMCHNVFIVESVCVGWFSLEFLLRLIQAPSKFAFLRSPLTLIDLVAILPYYITLLVDGAAAGRRKPGAGNSYLDKVGLVLRVLRALRILYVMRLARHSLGLQTLGLTARRCTREFGLLLLFLCVAIALFAPLLYVIENEMADSPEFTSIPACYWWAVITMTTVGYGDMVPRSTPGQVVALSSILSGILLMAFPVTSIFHTFSRSYLELKQEQERVMFRRAQFLIKTKSQLSVSQDSDILFGSASSDTRDNN
+>DECOY_sp|Q9UIX4|KCNG1_HUMAN Potassium voltage-gated channel subfamily G member 1 OS=Homo sapiens OX=9606 GN=KCNG1 PE=1 SV=1
+NNDRTDSSASGFLIDSDQSVSLQSKTKILFQARRFMVREQEQKLELYSRSFTHFISTVPFAMLLIGSLISSLAVVQGPTSRPVMDGYGVTTMTIVAWWYCAPISTFEPSDAMENEIVYLLPAFLAIAVCLFLLLLGFERTCRRATLGLTQLGLSHRALRMVYLIRLARLVRLVLGVKDLYSNGAGPKRRGAAAGDVLLTIYYPLIAVLDILTLPSRLFAFKSPAQILRLLFELSFWGVCVSEVIFVNHCMQSCHGQEEEERLSPLTSVSLNVATVTVFLVSLCAFVKGPLGSHPREVMDRLRRMCRGLRGEGEAPGESDRGESDLADDEEEREVMEAFEEIKQLYRRKCCGDLHDEAIGWYLLEEQFSLACMERLLRLKGARLFTLITGFAGPNRDFFFENCTVDYDDCVNLIDDFNTCAKLQGLRTLPFEDLTTWPLSYKIGGVNIIIRRRRDEPQCGQRPEDQPRLRQARRYFAGKIAQRQPLFAPHFSADSTCSLASYDYDSNDGPLLTM
+>sp|Q8TDN1|KCNG4_HUMAN Potassium voltage-gated channel subfamily G member 4 OS=Homo sapiens OX=9606 GN=KCNG4 PE=1 SV=1
+MPMPSRDGGLHPRHHHYGSHSPWSQLLSSPMETPSIKGLYYRRVRKVGALDASPVDLKKEILINVGGRRYLLPWSTLDRFPLSRLSKLRLCRSYEEIVQLCDDYDEDSQEFFFDRSPSAFGVIVSFLAAGKLVLLQEMCALSFQEELAYWGIEEAHLERCCLRKLLRKLEELEELAKLHREDVLRQQRETRRPASHSSRWGLCMNRLREMVENPQSGLPGKVFACLSILFVATTAVSLCVSTMPDLRAEEDQGECSRKCYYIFIVETICVAWFSLEFCLRFVQAQDKCQFFQGPLNIIDILAISPYYVSLAVSEEPPEDGERPSGSSYLEKVGLVLRVLRALRILYVMRLARHSLGLQTLGLTVRRCTREFGLLLLFLAVAITLFSPLVYVAEKESGRVLEFTSIPASYWWAIISMTTVGYGDMVPRSVPGQMVALSSILSGILIMAFPATSIFHTFSHSYLELKKEQEQLQARLRHLQNTGPASECELLDPHVASEHELMNDVNDLILEGPALPIMHM
+>DECOY_sp|Q8TDN1|KCNG4_HUMAN Potassium voltage-gated channel subfamily G member 4 OS=Homo sapiens OX=9606 GN=KCNG4 PE=1 SV=1
+MHMIPLAPGELILDNVDNMLEHESAVHPDLLECESAPGTNQLHRLRAQLQEQEKKLELYSHSFTHFISTAPFAMILIGSLISSLAVMQGPVSRPVMDGYGVTTMSIIAWWYSAPISTFELVRGSEKEAVYVLPSFLTIAVALFLLLLGFERTCRRVTLGLTQLGLSHRALRMVYLIRLARLVRLVLGVKELYSSGSPREGDEPPEESVALSVYYPSIALIDIINLPGQFFQCKDQAQVFRLCFELSFWAVCITEVIFIYYCKRSCEGQDEEARLDPMTSVCLSVATTAVFLISLCAFVKGPLGSQPNEVMERLRNMCLGWRSSHSAPRRTERQQRLVDERHLKALEELEELKRLLKRLCCRELHAEEIGWYALEEQFSLACMEQLLVLKGAALFSVIVGFASPSRDFFFEQSDEDYDDCLQVIEEYSRCLRLKSLRSLPFRDLTSWPLLYRRGGVNILIEKKLDVPSADLAGVKRVRRYYLGKISPTEMPSSLLQSWPSHSGYHHHRPHLGGDRSPMPM
+>sp|O95259|KCNH1_HUMAN Potassium voltage-gated channel subfamily H member 1 OS=Homo sapiens OX=9606 GN=KCNH1 PE=1 SV=1
+MTMAGGRRGLVAPQNTFLENIVRRSNDTNFVLGNAQIVDWPIVYSNDGFCKLSGYHRAEVMQKSSTCSFMYGELTDKDTIEKVRQTFENYEMNSFEILMYKKNRTPVWFFVKIAPIRNEQDKVVLFLCTFSDITAFKQPIEDDSCKGWGKFARLTRALTSSRGVLQQLAPSVQKGENVHKHSRLAEVLQLGSDILPQYKQEAPKTPPHIILHYCVFKTTWDWIILILTFYTAILVPYNVSFKTRQNNVAWLVVDSIVDVIFLVDIVLNFHTTFVGPAGEVISDPKLIRMNYLKTWFVIDLLSCLPYDVINAFENVDEVSAFMGDPGKIGFADQIPPPLEGRESQGISSLFSSLKVVRLLRLGRVARKLDHYIEYGAAVLVLLVCVFGLAAHWMACIWYSIGDYEIFDEDTKTIRNNSWLYQLAMDIGTPYQFNGSGSGKWEGGPSKNSVYISSLYFTMTSLTSVGFGNIAPSTDIEKIFAVAIMMIGSLLYATIFGNVTTIFQQMYANTNRYHEMLNSVRDFLKLYQVPKGLSERVMDYIVSTWSMSRGIDTEKVLQICPKDMRADICVHLNRKVFKEHPAFRLASDGCLRALAMEFQTVHCAPGDLIYHAGESVDSLCFVVSGSLEVIQDDEVVAILGKGDVFGDVFWKEATLAQSCANVRALTYCDLHVIKRDALQKVLEFYTAFSHSFSRNLILTYNLRKRIVFRKISDVKREEEERMKRKNEAPLILPPDHPVRRLFQRFRQQKEARLAAERGGRDLDDLDVEKGNVLTEHASANHSLVKASVVTVRESPATPVSFQAASTSGVPDHAKLQAPGSECLGPKGGGGDCAKRKSWARFKDACGKSEDWNKVSKAESMETLPERTKASGEATLKKTDSCDSGITKSDLRLDNVGEARSPQDRSPILAEVKHSFYPIPEQTLQATVLEVRHELKEDIKALNAKMTNIEKQLSEILRILTSRRSSQSPQELFEISRPQSPESERDIFGAS
+>DECOY_sp|O95259|KCNH1_HUMAN Potassium voltage-gated channel subfamily H member 1 OS=Homo sapiens OX=9606 GN=KCNH1 PE=1 SV=1
+SAGFIDRESEPSQPRSIEFLEQPSQSSRRSTLIRLIESLQKEINTMKANLAKIDEKLEHRVELVTAQLTQEPIPYFSHKVEALIPSRDQPSRAEGVNDLRLDSKTIGSDCSDTKKLTAEGSAKTREPLTEMSEAKSVKNWDESKGCADKFRAWSKRKACDGGGGKPGLCESGPAQLKAHDPVGSTSAAQFSVPTAPSERVTVVSAKVLSHNASAHETLVNGKEVDLDDLDRGGREAALRAEKQQRFRQFLRRVPHDPPLILPAENKRKMREEEERKVDSIKRFVIRKRLNYTLILNRSFSHSFATYFELVKQLADRKIVHLDCYTLARVNACSQALTAEKWFVDGFVDGKGLIAVVEDDQIVELSGSVVFCLSDVSEGAHYILDGPACHVTQFEMALARLCGDSALRFAPHEKFVKRNLHVCIDARMDKPCIQLVKETDIGRSMSWTSVIYDMVRESLGKPVQYLKLFDRVSNLMEHYRNTNAYMQQFITTVNGFITAYLLSGIMMIAVAFIKEIDTSPAINGFGVSTLSTMTFYLSSIYVSNKSPGGEWKGSGSGNFQYPTGIDMALQYLWSNNRITKTDEDFIEYDGISYWICAMWHAALGFVCVLLVLVAAGYEIYHDLKRAVRGLRLLRVVKLSSFLSSIGQSERGELPPPIQDAFGIKGPDGMFASVEDVNEFANIVDYPLCSLLDIVFWTKLYNMRILKPDSIVEGAPGVFTTHFNLVIDVLFIVDVISDVVLWAVNNQRTKFSVNYPVLIATYFTLILIIWDWTTKFVCYHLIIHPPTKPAEQKYQPLIDSGLQLVEALRSHKHVNEGKQVSPALQQLVGRSSTLARTLRAFKGWGKCSDDEIPQKFATIDSFTCLFLVVKDQENRIPAIKVFFWVPTRNKKYMLIEFSNMEYNEFTQRVKEITDKDTLEGYMFSCTSSKQMVEARHYGSLKCFGDNSYVIPWDVIQANGLVFNTDNSRRVINELFTNQPAVLGRRGGAMTM
+>sp|P63252|KCNJ2_HUMAN Inward rectifier potassium channel 2 OS=Homo sapiens OX=9606 GN=KCNJ2 PE=1 SV=1
+MGSVRTNRYSIVSSEEDGMKLATMAVANGFGNGKSKVHTRQQCRSRFVKKDGHCNVQFINVGEKGQRYLADIFTTCVDIRWRWMLVIFCLAFVLSWLFFGCVFWLIALLHGDLDASKEGKACVSEVNSFTAAFLFSIETQTTIGYGFRCVTDECPIAVFMVVFQSIVGCIIDAFIIGAVMAKMAKPKKRNETLVFSHNAVIAMRDGKLCLMWRVGNLRKSHLVEAHVRAQLLKSRITSEGEYIPLDQIDINVGFDSGIDRIFLVSPITIVHEIDEDSPLYDLSKQDIDNADFEIVVILEGMVEATAMTTQCRSSYLANEILWGHRYEPVLFEEKHYYKVDYSRFHKTYEVPNTPLCSARDLAEKKYILSNANSFCYENEVALTSKEEDDSENGVPESTSTDTPPDIDLHNQASVPLEPRPLRRESEI
+>DECOY_sp|P63252|KCNJ2_HUMAN Inward rectifier potassium channel 2 OS=Homo sapiens OX=9606 GN=KCNJ2 PE=1 SV=1
+IESERRLPRPELPVSAQNHLDIDPPTDTSTSEPVGNESDDEEKSTLAVENEYCFSNANSLIYKKEALDRASCLPTNPVEYTKHFRSYDVKYYHKEEFLVPEYRHGWLIENALYSSRCQTTMATAEVMGELIVVIEFDANDIDQKSLDYLPSDEDIEHVITIPSVLFIRDIGSDFGVNIDIQDLPIYEGESTIRSKLLQARVHAEVLHSKRLNGVRWMLCLKGDRMAIVANHSFVLTENRKKPKAMKAMVAGIIFADIICGVISQFVVMFVAIPCEDTVCRFGYGITTQTEISFLFAATFSNVESVCAKGEKSADLDGHLLAILWFVCGFFLWSLVFALCFIVLMWRWRIDVCTTFIDALYRQGKEGVNIFQVNCHGDKKVFRSRCQQRTHVKSKGNGFGNAVAMTALKMGDEESSVISYRNTRVSGM
+>sp|Q92806|KCNJ9_HUMAN G protein-activated inward rectifier potassium channel 3 OS=Homo sapiens OX=9606 GN=KCNJ9 PE=2 SV=2
+MAQENAAFSPGQEEPPRRRGRQRYVEKDGRCNVQQGNVRETYRYLTDLFTTLVDLQWRLSLLFFVLAYALTWLFFGAIWWLIAYGRGDLEHLEDTAWTPCVNNLNGFVAAFLFSIETETTIGYGHRVITDQCPEGIVLLLLQAILGSMVNAFMVGCMFVKISQPNKRAATLVFSSHAVVSLRDGRLCLMFRVGDLRSSHIVEASIRAKLIRSRQTLEGEFIPLHQTDLSVGFDTGDDRLFLVSPLVISHEIDAASPFWEASRRALERDDFEIVVILEGMVEATGMTCQARSSYLVDEVLWGHRFTSVLTLEDGFYEVDYASFHETFEVPTPSCSARELAEAAARLDAHLYWSIPSRLDEKVEEEGAGEGAGGEAGADKEQNGCLPPPESESKV
+>DECOY_sp|Q92806|KCNJ9_HUMAN G protein-activated inward rectifier potassium channel 3 OS=Homo sapiens OX=9606 GN=KCNJ9 PE=2 SV=2
+VKSESEPPPLCGNQEKDAGAEGGAGEGAGEEEVKEDLRSPISWYLHADLRAAAEALERASCSPTPVEFTEHFSAYDVEYFGDELTLVSTFRHGWLVEDVLYSSRAQCTMGTAEVMGELIVVIEFDDRELARRSAEWFPSAADIEHSIVLPSVLFLRDDGTDFGVSLDTQHLPIFEGELTQRSRILKARISAEVIHSSRLDGVRFMLCLRGDRLSVVAHSSFVLTAARKNPQSIKVFMCGVMFANVMSGLIAQLLLLVIGEPCQDTIVRHGYGITTETEISFLFAAVFGNLNNVCPTWATDELHELDGRGYAILWWIAGFFLWTLAYALVFFLLSLRWQLDVLTTFLDTLYRYTERVNGQQVNCRGDKEVYRQRGRRRPPEEQGPSFAANEQAM
+>sp|O00180|KCNK1_HUMAN Potassium channel subfamily K member 1 OS=Homo sapiens OX=9606 GN=KCNK1 PE=1 SV=1
+MLQSLAGSSCVRLVERHRSAWCFGFLVLGYLLYLVFGAVVFSSVELPYEDLLRQELRKLKRRFLEEHECLSEQQLEQFLGRVLEASNYGVSVLSNASGNWNWDFTSALFFASTVLSTTGYGHTVPLSDGGKAFCIIYSVIGIPFTLLFLTAVVQRITVHVTRRPVLYFHIRWGFSKQVVAIVHAVLLGFVTVSCFFFIPAAVFSVLEDDWNFLESFYFCFISLSTIGLGDYVPGEGYNQKFRELYKIGITCYLLLGLIAMLVVLETFCELHELKKFRKMFYVKKDKDEDQVHIIEHDQLSFSSITDQAAGMKEDQKQNEPFVATQSSACVDGPANH
+>DECOY_sp|O00180|KCNK1_HUMAN Potassium channel subfamily K member 1 OS=Homo sapiens OX=9606 GN=KCNK1 PE=1 SV=1
+HNAPGDVCASSQTAVFPENQKQDEKMGAAQDTISSFSLQDHEIIHVQDEDKDKKVYFMKRFKKLEHLECFTELVVLMAILGLLLYCTIGIKYLERFKQNYGEGPVYDGLGITSLSIFCFYFSELFNWDDELVSFVAAPIFFFCSVTVFGLLVAHVIAVVQKSFGWRIHFYLVPRRTVHVTIRQVVATLFLLTFPIGIVSYIICFAKGGDSLPVTHGYGTTSLVTSAFFLASTFDWNWNGSANSLVSVGYNSAELVRGLFQELQQESLCEHEELFRRKLKRLEQRLLDEYPLEVSSFVVAGFVLYLLYGLVLFGFCWASRHREVLRVCSSGALSQLM
+>sp|Q9NYG8|KCNK4_HUMAN Potassium channel subfamily K member 4 OS=Homo sapiens OX=9606 GN=KCNK4 PE=1 SV=2
+MRSTTLLALLALVLLYLVSGALVFRALEQPHEQQAQRELGEVREKFLRAHPCVSDQELGLLIKEVADALGGGADPETNSTSNSSHSAWDLGSAFFFSGTIITTIGYGNVALRTDAGRLFCIFYALVGIPLFGILLAGVGDRLGSSLRHGIGHIEAIFLKWHVPPELVRVLSAMLFLLIGCLLFVLTPTFVFCYMEDWSKLEAIYFVIVTLTTVGFGDYVAGADPRQDSPAYQPLVWFWILLGLAYFASVLTTIGNWLRVVSRRTRAEMGGLTAQAASWTGTVTARVTQRAGPAAPPPEKEQPLLPPPPCPAQPLGRPRSPSPPEKAQPPSPPTASALDYPSENLAFIDESSDTQSERGCPLPRAPRGRRRPNPPRKPVRPRGPGRPRDKGVPV
+>DECOY_sp|Q9NYG8|KCNK4_HUMAN Potassium channel subfamily K member 4 OS=Homo sapiens OX=9606 GN=KCNK4 PE=1 SV=2
+VPVGKDRPRGPGRPRVPKRPPNPRRRGRPARPLPCGRESQTDSSEDIFALNESPYDLASATPPSPPQAKEPPSPSRPRGLPQAPCPPPPLLPQEKEPPPAAPGARQTVRATVTGTWSAAQATLGGMEARTRRSVVRLWNGITTLVSAFYALGLLIWFWVLPQYAPSDQRPDAGAVYDGFGVTTLTVIVFYIAELKSWDEMYCFVFTPTLVFLLCGILLFLMASLVRVLEPPVHWKLFIAEIHGIGHRLSSGLRDGVGALLIGFLPIGVLAYFICFLRGADTRLAVNGYGITTIITGSFFFASGLDWASHSSNSTSNTEPDAGGGLADAVEKILLGLEQDSVCPHARLFKERVEGLERQAQQEHPQELARFVLAGSVLYLLVLALLALLTTSRM
+>sp|Q9Y257|KCNK6_HUMAN Potassium channel subfamily K member 6 OS=Homo sapiens OX=9606 GN=KCNK6 PE=1 SV=1
+MRRGALLAGALAAYAAYLVLGALLVARLEGPHEARLRAELETLRAQLLQRSPCVAAPALDAFVERVLAAGRLGRVVLANASGSANASDPAWDFASALFFASTLITTVGYGYTTPLTDAGKAFSIAFALLGVPTTMLLLTASAQRLSLLLTHVPLSWLSMRWGWDPRRAACWHLVALLGVVVTVCFLVPAVIFAHLEEAWSFLDAFYFCFISLSTIGLGDYVPGEAPGQPYRALYKVLVTVYLFLGLVAMVLVLQTFRHVSDLHGLTELILLPPPCPASFNADEDDRVDILGPQPESHQQLSASSHTDYASIPR
+>DECOY_sp|Q9Y257|KCNK6_HUMAN Potassium channel subfamily K member 6 OS=Homo sapiens OX=9606 GN=KCNK6 PE=1 SV=1
+RPISAYDTHSSASLQQHSEPQPGLIDVRDDEDANFSAPCPPPLLILETLGHLDSVHRFTQLVLVMAVLGLFLYVTVLVKYLARYPQGPAEGPVYDGLGITSLSIFCFYFADLFSWAEELHAFIVAPVLFCVTVVVGLLAVLHWCAARRPDWGWRMSLWSLPVHTLLLSLRQASATLLLMTTPVGLLAFAISFAKGADTLPTTYGYGVTTILTSAFFLASAFDWAPDSANASGSANALVVRGLRGAALVREVFADLAPAAVCPSRQLLQARLTELEARLRAEHPGELRAVLLAGLVLYAAYAALAGALLAGRRM
+>sp|P57789|KCNKA_HUMAN Potassium channel subfamily K member 10 OS=Homo sapiens OX=9606 GN=KCNK10 PE=1 SV=1
+MFFLYTDFFLSLVAVPAAAPVCQPKSATNGQPPAPAPTPTPRLSISSRATVVARMEGTSQGGLQTVMKWKTVVAIFVVVVVYLVTGGLVFRALEQPFESSQKNTIALEKAEFLRDHVCVSPQELETLIQHALDADNAGVSPIGNSSNNSSHWDLGSAFFFAGTVITTIGYGNIAPSTEGGKIFCILYAIFGIPLFGFLLAGIGDQLGTIFGKSIARVEKVFRKKQVSQTKIRVISTILFILAGCIVFVTIPAVIFKYIEGWTALESIYFVVVTLTTVGFGDFVAGGNAGINYREWYKPLVWFWILVGLAYFAAVLSMIGDWLRVLSKKTKEEVGEIKAHAAEWKANVTAEFRETRRRLSVEIHDKLQRAATIRSMERRRLGLDQRAHSLDMLSPEKRSVFAALDTGRFKASSQESINNRPNNLRLKGPEQLNKHGQGASEDNIINKFGSTSRLTKRKNKDLKKTLPEDVQKIYKTFRNYSLDEEKKEEETEKMCNSDNSSTAMLTDCIQQHAELENGMIPTDTKDREPENNSLLEDRN
+>DECOY_sp|P57789|KCNKA_HUMAN Potassium channel subfamily K member 10 OS=Homo sapiens OX=9606 GN=KCNK10 PE=1 SV=1
+NRDELLSNNEPERDKTDTPIMGNELEAHQQICDTLMATSSNDSNCMKETEEEKKEEDLSYNRFTKYIKQVDEPLTKKLDKNKRKTLRSTSGFKNIINDESAGQGHKNLQEPGKLRLNNPRNNISEQSSAKFRGTDLAAFVSRKEPSLMDLSHARQDLGLRRREMSRITAARQLKDHIEVSLRRRTERFEATVNAKWEAAHAKIEGVEEKTKKSLVRLWDGIMSLVAAFYALGVLIWFWVLPKYWERYNIGANGGAVFDGFGVTTLTVVVFYISELATWGEIYKFIVAPITVFVICGALIFLITSIVRIKTQSVQKKRFVKEVRAISKGFITGLQDGIGALLFGFLPIGFIAYLICFIKGGETSPAINGYGITTIVTGAFFFASGLDWHSSNNSSNGIPSVGANDADLAHQILTELEQPSVCVHDRLFEAKELAITNKQSSEFPQELARFVLGGTVLYVVVVVFIAVVTKWKMVTQLGGQSTGEMRAVVTARSSISLRPTPTPAPAPPQGNTASKPQCVPAAAPVAVLSLFFDTYLFFM
+>sp|O15554|KCNN4_HUMAN Intermediate conductance calcium-activated potassium channel protein 4 OS=Homo sapiens OX=9606 GN=KCNN4 PE=1 SV=1
+MGGDLVLGLGALRRRKRLLEQEKSLAGWALVLAGTGIGLMVLHAEMLWFGGCSWALYLFLVKCTISISTFLLLCLIVAFHAKEVQLFMTDNGLRDWRVALTGRQAAQIVLELVVCGLHPAPVRGPPCVQDLGAPLTSPQPWPGFLGQGEALLSLAMLLRLYLVPRAVLLRSGVLLNASYRSIGALNQVRFRHWFVAKLYMNTHPGRLLLGLTLGLWLTTAWVLSVAERQAVNATGHLSDTLWLIPITFLTIGYGDVVPGTMWGKIVCLCTGVMGVCCTALLVAVVARKLEFNKAEKHVHNFMMDIQYTKEMKESAARVLQEAWMFYKHTRRKESHAARRHQRKLLAAINAFRQVRLKHRKLREQVNSMVDISKMHMILYDLQQNLSSSHRALEKQIDTLAGKLDALTELLSTALGPRQLPEPSQQSK
+>DECOY_sp|O15554|KCNN4_HUMAN Intermediate conductance calcium-activated potassium channel protein 4 OS=Homo sapiens OX=9606 GN=KCNN4 PE=1 SV=1
+KSQQSPEPLQRPGLATSLLETLADLKGALTDIQKELARHSSSLNQQLDYLIMHMKSIDVMSNVQERLKRHKLRVQRFANIAALLKRQHRRAAHSEKRRTHKYFMWAEQLVRAASEKMEKTYQIDMMFNHVHKEAKNFELKRAVVAVLLATCCVGMVGTCLCVIKGWMTGPVVDGYGITLFTIPILWLTDSLHGTANVAQREAVSLVWATTLWLGLTLGLLLRGPHTNMYLKAVFWHRFRVQNLAGISRYSANLLVGSRLLVARPVLYLRLLMALSLLAEGQGLFGPWPQPSTLPAGLDQVCPPGRVPAPHLGCVVLELVIQAAQRGTLAVRWDRLGNDTMFLQVEKAHFAVILCLLLFTSISITCKVLFLYLAWSCGGFWLMEAHLVMLGIGTGALVLAWGALSKEQELLRKRRRLAGLGLVLDGGM
+>sp|O43525|KCNQ3_HUMAN Potassium voltage-gated channel subfamily KQT member 3 OS=Homo sapiens OX=9606 GN=KCNQ3 PE=1 SV=2
+MGLKARRAAGAAGGGGDGGGGGGGAANPAGGDAAAAGDEERKVGLAPGDVEQVTLALGAGADKDGTLLLEGGGRDEGQRRTPQGIGLLAKTPLSRPVKRNNAKYRRIQTLIYDALERPRGWALLYHALVFLIVLGCLILAVLTTFKEYETVSGDWLLLLETFAIFIFGAEFALRIWAAGCCCRYKGWRGRLKFARKPLCMLDIFVLIASVPVVAVGNQGNVLATSLRSLRFLQILRMLRMDRRGGTWKLLGSAICAHSKELITAWYIGFLTLILSSFLVYLVEKDVPEVDAQGEEMKEEFETYADALWWGLITLATIGYGDKTPKTWEGRLIAATFSLIGVSFFALPAGILGSGLALKVQEQHRQKHFEKRRKPAAELIQAAWRYYATNPNRIDLVATWRFYESVVSFPFFRKEQLEAASSQKLGLLDRVRLSNPRGSNTKGKLFTPLNVDAIEESPSKEPKPVGLNNKERFRTAFRMKAYAFWQSSEDAGTGDPMAEDRGYGNDFPIEDMIPTLKAAIRAVRILQFRLYKKKFKETLRPYDVKDVIEQYSAGHLDMLSRIKYLQTRIDMIFTPGPPSTPKHKKSQKGSAFTFPSQQSPRNEPYVARPSTSEIEDQSMMGKFVKVERQVQDMGKKLDFLVDMHMQHMERLQVQVTEYYPTKGTSSPAEAEKKEDNRYSDLKTIICNYSETGPPEPPYSFHQVTIDKVSPYGFFAHDPVNLPRGGPSSGKVQATPPSSATTYVERPTVLPILTLLDSRVSCHSQADLQGPYSDRISPRQRRSITRDSDTPLSLMSVNHEELERSPSGFSISQDRDDYVFGPNGGSSWMREKRYLAEGETDTDTDPFTPSGSMPLSSTGDGISDSVWTPSNKPI
+>DECOY_sp|O43525|KCNQ3_HUMAN Potassium voltage-gated channel subfamily KQT member 3 OS=Homo sapiens OX=9606 GN=KCNQ3 PE=1 SV=2
+IPKNSPTWVSDSIGDGTSSLPMSGSPTFPDTDTDTEGEALYRKERMWSSGGNPGFVYDDRDQSISFGSPSRELEEHNVSMLSLPTDSDRTISRRQRPSIRDSYPGQLDAQSHCSVRSDLLTLIPLVTPREVYTTASSPPTAQVKGSSPGGRPLNVPDHAFFGYPSVKDITVQHFSYPPEPPGTESYNCIITKLDSYRNDEKKEAEAPSSTGKTPYYETVQVQLREMHQMHMDVLFDLKKGMDQVQREVKVFKGMMSQDEIESTSPRAVYPENRPSQQSPFTFASGKQSKKHKPTSPPGPTFIMDIRTQLYKIRSLMDLHGASYQEIVDKVDYPRLTEKFKKKYLRFQLIRVARIAAKLTPIMDEIPFDNGYGRDEAMPDGTGADESSQWFAYAKMRFATRFREKNNLGVPKPEKSPSEEIADVNLPTFLKGKTNSGRPNSLRVRDLLGLKQSSAAELQEKRFFPFSVVSEYFRWTAVLDIRNPNTAYYRWAAQILEAAPKRRKEFHKQRHQEQVKLALGSGLIGAPLAFFSVGILSFTAAILRGEWTKPTKDGYGITALTILGWWLADAYTEFEEKMEEGQADVEPVDKEVLYVLFSSLILTLFGIYWATILEKSHACIASGLLKWTGGRRDMRLMRLIQLFRLSRLSTALVNGQNGVAVVPVSAILVFIDLMCLPKRAFKLRGRWGKYRCCCGAAWIRLAFEAGFIFIAFTELLLLWDGSVTEYEKFTTLVALILCGLVILFVLAHYLLAWGRPRELADYILTQIRRYKANNRKVPRSLPTKALLGIGQPTRRQGEDRGGGELLLTGDKDAGAGLALTVQEVDGPALGVKREEDGAAAADGGAPNAAGGGGGGGDGGGGAAGAARRAKLGM
+>sp|Q9NR82|KCNQ5_HUMAN Potassium voltage-gated channel subfamily KQT member 5 OS=Homo sapiens OX=9606 GN=KCNQ5 PE=1 SV=3
+MPRHHAGGEEGGAAGLWVKSGAAAAAAGGGRLGSGMKDVESGRGRVLLNSAAARGDGLLLLGTRAATLGGGGGGLRESRRGKQGARMSLLGKPLSYTSSQSCRRNVKYRRVQNYLYNVLERPRGWAFIYHAFVFLLVFGCLILSVFSTIPEHTKLASSCLLILEFVMIVVFGLEFIIRIWSAGCCCRYRGWQGRLRFARKPFCVIDTIVLIASIAVVSAKTQGNIFATSALRSLRFLQILRMVRMDRRGGTWKLLGSVVYAHSKELITAWYIGFLVLIFSSFLVYLVEKDANKEFSTYADALWWGTITLTTIGYGDKTPLTWLGRLLSAGFALLGISFFALPAGILGSGFALKVQEQHRQKHFEKRRNPAANLIQCVWRSYAADEKSVSIATWKPHLKALHTCSPTKKEQGEASSSQKLSFKERVRMASPRGQSIKSRQASVGDRRSPSTDITAEGSPTKVQKSWSFNDRTRFRPSLRLKSSQPKPVIDADTALGTDDVYDEKGCQCDVSVEDLTPPLKTVIRAIRIMKFHVAKRKFKETLRPYDVKDVIEQYSAGHLDMLCRIKSLQTRVDQILGKGQITSDKKSREKITAEHETTDDLSMLGRVVKVEKQVQSIESKLDCLLDIYQQVLRKGSASALALASFQIPPFECEQTSDYQSPVDSKDLSGSAQNSGCLSRSTSANISRGLQFILTPNEFSAQTFYALSPTMHSQATQVPISQSDGSAVAATNTIANQINTAPKPAAPTTLQIPPPLPAIKHLPRPETLHPNPAGLQESISDVTTCLVASKENVQVAQSNLTKDRSMRKSFDMGGETLLSVCPMVPKDLGKSLSVQNLIRSTEELNIQLSGSESSGSRGSQDFYPKWRESKLFITDEEVGPEETETDTFDAAPQPAREAAFASDSLRTGRSRSSQSICKAGESTDALSLPHVKLK
+>DECOY_sp|Q9NR82|KCNQ5_HUMAN Potassium voltage-gated channel subfamily KQT member 5 OS=Homo sapiens OX=9606 GN=KCNQ5 PE=1 SV=3
+KLKVHPLSLADTSEGAKCISQSSRSRGTRLSDSAFAAERAPQPAADFTDTETEEPGVEEDTIFLKSERWKPYFDQSGRSGSSESGSLQINLEETSRILNQVSLSKGLDKPVMPCVSLLTEGGMDFSKRMSRDKTLNSQAVQVNEKSAVLCTTVDSISEQLGAPNPHLTEPRPLHKIAPLPPPIQLTTPAAPKPATNIQNAITNTAAVASGDSQSIPVQTAQSHMTPSLAYFTQASFENPTLIFQLGRSINASTSRSLCGSNQASGSLDKSDVPSQYDSTQECEFPPIQFSALALASASGKRLVQQYIDLLCDLKSEISQVQKEVKVVRGLMSLDDTTEHEATIKERSKKDSTIQGKGLIQDVRTQLSKIRCLMDLHGASYQEIVDKVDYPRLTEKFKRKAVHFKMIRIARIVTKLPPTLDEVSVDCQCGKEDYVDDTGLATDADIVPKPQSSKLRLSPRFRTRDNFSWSKQVKTPSGEATIDTSPSRRDGVSAQRSKISQGRPSAMRVREKFSLKQSSSAEGQEKKTPSCTHLAKLHPKWTAISVSKEDAAYSRWVCQILNAAPNRRKEFHKQRHQEQVKLAFGSGLIGAPLAFFSIGLLAFGASLLRGLWTLPTKDGYGITTLTITGWWLADAYTSFEKNADKEVLYVLFSSFILVLFGIYWATILEKSHAYVVSGLLKWTGGRRDMRVMRLIQLFRLSRLASTAFINGQTKASVVAISAILVITDIVCFPKRAFRLRGQWGRYRCCCGASWIRIIFELGFVVIMVFELILLCSSALKTHEPITSFVSLILCGFVLLFVFAHYIFAWGRPRELVNYLYNQVRRYKVNRRCSQSSTYSLPKGLLSMRAGQKGRRSERLGGGGGGLTAARTGLLLLGDGRAAASNLLVRGRGSEVDKMGSGLRGGGAAAAAAGSKVWLGAAGGEEGGAHHRPM
+>sp|Q96KK3|KCNS1_HUMAN Potassium voltage-gated channel subfamily S member 1 OS=Homo sapiens OX=9606 GN=KCNS1 PE=1 SV=2
+MLMLLVRGTHYENLRSKVVLPTPLGGRSTETFVSEFPGPDTGIRWRRSDEALRVNVGGVRRQLSARALARFPGTRLGRLQAAASEEQARRLCDDYDEAAREFYFDRHPGFFLSLLHFYRTGHLHVLDELCVFAFGQEADYWGLGENALAACCRARYLERRLTQPHAWDEDSDTPSSVDPCPDEISDVQRELARYGAARCGRLRRRLWLTMENPGYSLPSKLFSCVSISVVLASIAAMCIHSLPEYQAREAAAAVAAVAAGRSPEGVRDDPVLRRLEYFCIAWFSFEVSSRLLLAPSTRNFFCHPLNLIDIVSVLPFYLTLLAGVALGDQGGKEFGHLGKVVQVFRLMRIFRVLKLARHSTGLRSLGATLKHSYREVGILLLYLAVGVSVFSGVAYTAEKEEDVGFNTIPACWWWGTVSMTTVGYGDVVPVTVAGKLAASGCILGGILVVALPITIIFNKFSHFYRRQKALEAAVRNSNHQEFEDLLSSIDGVSEASLETSRETSQEGQSADLESQAPSEPPHPQMY
+>DECOY_sp|Q96KK3|KCNS1_HUMAN Potassium voltage-gated channel subfamily S member 1 OS=Homo sapiens OX=9606 GN=KCNS1 PE=1 SV=2
+YMQPHPPESPAQSELDASQGEQSTERSTELSAESVGDISSLLDEFEQHNSNRVAAELAKQRRYFHSFKNFIITIPLAVVLIGGLICGSAALKGAVTVPVVDGYGVTTMSVTGWWWCAPITNFGVDEEKEATYAVGSFVSVGVALYLLLIGVERYSHKLTAGLSRLGTSHRALKLVRFIRMLRFVQVVKGLHGFEKGGQDGLAVGALLTLYFPLVSVIDILNLPHCFFNRTSPALLLRSSVEFSFWAICFYELRRLVPDDRVGEPSRGAAVAAVAAAAERAQYEPLSHICMAAISALVVSISVCSFLKSPLSYGPNEMTLWLRRRLRGCRAAGYRALERQVDSIEDPCPDVSSPTDSDEDWAHPQTLRRELYRARCCAALANEGLGWYDAEQGFAFVCLEDLVHLHGTRYFHLLSLFFGPHRDFYFERAAEDYDDCLRRAQEESAAAQLRGLRTGPFRALARASLQRRVGGVNVRLAEDSRRWRIGTDPGPFESVFTETSRGGLPTPLVVKSRLNEYHTGRVLLMLM
+>sp|Q9BQ31|KCNS3_HUMAN Potassium voltage-gated channel subfamily S member 3 OS=Homo sapiens OX=9606 GN=KCNS3 PE=1 SV=3
+MVFGEFFHRPGQDEELVNLNVGGFKQSVDQSTLLRFPHTRLGKLLTCHSEEAILELCDDYSVADKEYYFDRNPSLFRYVLNFYYTGKLHVMEELCVFSFCQEIEYWGINELFIDSCCSNRYQERKEENHEKDWDQKSHDVSTDSSFEESSLFEKELEKFDTLRFGQLRKKIWIRMENPAYCLSAKLIAISSLSVVLASIVAMCVHSMSEFQNEDGEVDDPVLEGVEIACIAWFTGELAVRLAAAPCQKKFWKNPLNIIDFVSIIPFYATLAVDTKEEESEDIENMGKVVQILRLMRIFRILKLARHSVGLRSLGATLRHSYHEVGLLLLFLSVGISIFSVLIYSVEKDDHTSSLTSIPICWWWATISMTTVGYGDTHPVTLAGKLIASTCIICGILVVALPITIIFNKFSKYYQKQKDIDVDQCSEDAPEKCHELPYFNIRDIYAQRMHTFITSLSSVGIVVSDPDSTDASSIEDNEDICNTTSLENCTAK
+>DECOY_sp|Q9BQ31|KCNS3_HUMAN Potassium voltage-gated channel subfamily S member 3 OS=Homo sapiens OX=9606 GN=KCNS3 PE=1 SV=3
+KATCNELSTTNCIDENDEISSADTSDPDSVVIGVSSLSTIFTHMRQAYIDRINFYPLEHCKEPADESCQDVDIDKQKQYYKSFKNFIITIPLAVVLIGCIICTSAILKGALTVPHTDGYGVTTMSITAWWWCIPISTLSSTHDDKEVSYILVSFISIGVSLFLLLLGVEHYSHRLTAGLSRLGVSHRALKLIRFIRMLRLIQVVKGMNEIDESEEEKTDVALTAYFPIISVFDIINLPNKWFKKQCPAAALRVALEGTFWAICAIEVGELVPDDVEGDENQFESMSHVCMAVISALVVSLSSIAILKASLCYAPNEMRIWIKKRLQGFRLTDFKELEKEFLSSEEFSSDTSVDHSKQDWDKEHNEEKREQYRNSCCSDIFLENIGWYEIEQCFSFVCLEEMVHLKGTYYFNLVYRFLSPNRDFYYEKDAVSYDDCLELIAEESHCTLLKGLRTHPFRLLTSQDVSQKFGGVNLNVLEEDQGPRHFFEGFVM
+>sp|Q8TDN2|KCNV2_HUMAN Potassium voltage-gated channel subfamily V member 2 OS=Homo sapiens OX=9606 GN=KCNV2 PE=1 SV=1
+MLKQSERRRSWSYRPWNTTENEGSQHRRSICSLGARSGSQASIHGWTEGNYNYYIEEDEDGEEEDQWKDDLAEEDQQAGEVTTAKPEGPSDPPALLSTLNVNVGGHSYQLDYCELAGFPKTRLGRLATSTSRSRQLSLCDDYEEQTDEYFFDRDPAVFQLVYNFYLSGVLLVLDGLCPRRFLEELGYWGVRLKYTPRCCRICFEERRDELSERLKIQHELRAQAQVEEAEELFRDMRFYGPQRRRLWNLMEKPFSSVAAKAIGVASSTFVLVSVVALALNTVEEMQQHSGQGEGGPDLRPILEHVEMLCMGFFTLEYLLRLASTPDLRRFARSALNLVDLVAILPLYLQLLLECFTGEGHQRGQTVGSVGKVGQVLRVMRLMRIFRILKLARHSTGLRAFGFTLRQCYQQVGCLLLFIAMGIFTFSAAVYSVEHDVPSTNFTTIPHSWWWAAVSISTVGYGDMYPETHLGRFFAFLCIAFGIILNGMPISILYNKFSDYYSKLKAYEYTTIRRERGEVNFMQRARKKIAECLLGSNPQLTPRQEN
+>DECOY_sp|Q8TDN2|KCNV2_HUMAN Potassium voltage-gated channel subfamily V member 2 OS=Homo sapiens OX=9606 GN=KCNV2 PE=1 SV=1
+NEQRPTLQPNSGLLCEAIKKRARQMFNVEGRERRITTYEYAKLKSYYDSFKNYLISIPMGNLIIGFAICLFAFFRGLHTEPYMDGYGVTSISVAAWWWSHPITTFNTSPVDHEVSYVAASFTFIGMAIFLLLCGVQQYCQRLTFGFARLGTSHRALKLIRFIRMLRMVRLVQGVKGVSGVTQGRQHGEGTFCELLLQLYLPLIAVLDVLNLASRAFRRLDPTSALRLLYELTFFGMCLMEVHELIPRLDPGGEGQGSHQQMEEVTNLALAVVSVLVFTSSAVGIAKAAVSSFPKEMLNWLRRRQPGYFRMDRFLEEAEEVQAQARLEHQIKLRESLEDRREEFCIRCCRPTYKLRVGWYGLEELFRRPCLGDLVLLVGSLYFNYVLQFVAPDRDFFYEDTQEEYDDCLSLQRSRSTSTALRGLRTKPFGALECYDLQYSHGGVNVNLTSLLAPPDSPGEPKATTVEGAQQDEEALDDKWQDEEEGDEDEEIYYNYNGETWGHISAQSGSRAGLSCISRRHQSGENETTNWPRYSWSRRRESQKLM
+>sp|Q53RY4|KCP3_HUMAN Keratinocyte-associated protein 3 OS=Homo sapiens OX=9606 GN=KRTCAP3 PE=2 SV=1
+MRRCSLCAFDAARGPRRLMRVGLALILVGHVNLLLGAVLHGTVLRHVANPRGAVTPEYTVANVISVGSGLLSVSVGLVALLASRNLLRPPLHWVLLALALVNLLLSVACSLGLLLAVSLTVANGGRRLIADCHPGLLDPLVPLDEGPGHTDCPFDPTRIYDTALALWIPSLLMSAGEAALSGYCCVAALTLRGVGPCRKDGLQGQLEEMTELESPKCKRQENEQLLDQNQEIRASQRSWV
+>DECOY_sp|Q53RY4|KCP3_HUMAN Keratinocyte-associated protein 3 OS=Homo sapiens OX=9606 GN=KRTCAP3 PE=2 SV=1
+VWSRQSARIEQNQDLLQENEQRKCKPSELETMEELQGQLGDKRCPGVGRLTLAAVCCYGSLAAEGASMLLSPIWLALATDYIRTPDFPCDTHGPGEDLPVLPDLLGPHCDAILRRGGNAVTLSVALLLGLSCAVSLLLNVLALALLVWHLPPRLLNRSALLAVLGVSVSLLGSGVSIVNAVTYEPTVAGRPNAVHRLVTGHLVAGLLLNVHGVLILALGVRMLRRPGRAADFACLSCRRM
+>sp|Q6ZWJ8|KCP_HUMAN Kielin/chordin-like protein OS=Homo sapiens OX=9606 GN=KCP PE=2 SV=3
+MAGVGAAALSLLLHLGALALAAGAEGGAVPREPPGQQTTAHSSVLAGNSQEQWHPLREWLGRLEAAVMELREQNKDLQTRVRQLESCECHPASPQCWGLGRAWPEGARWEPDACTACVCQDGAAHCGPQAHLPHCRGCSQNGQTYGNGETFSPDACTTCRCLTGAVQCQGPSCSELNCLESCTPPGECCPICRPGCDYEGQLYEEGVTFLSSSNPCLQCTCLRSRVRCMALKCPPSPCPEPVLRPGHCCPTCQGCTEGGSHWEHGQEWTTPGDPCRICRCLEGHIQCRQRECASLCPYPARPLPGTCCPVCDGCFLNGREHRSGEPVGSGDPCSHCRCANGSVQCEPLPCPPVPCRHPGKIPGQCCPVCDGCEYQGHQYQSQETFRLQERGLCVRCSCQAGEVSCEEQECPVTPCALPASGRQLCPACELDGEEFAEGVQWEPDGRPCTACVCQDGVPKCGAVLCPPAPCQHPTQPPGACCPSCDSCTYHSQVYANGQNFTDADSPCHACHCQDGTVTCSLVDCPPTTCARPQSGPGQCCPRCPDCILEEEVFVDGESFSHPRDPCQECRCQEGHAHCQPRPCPRAPCAHPLPGTCCPNDCSGCAFGGKEYPSGADFPHPSDPCRLCRCLSGNVQCLARRCVPLPCPEPVLLPGECCPQCPAAPAPAGCPRPGAAHARHQEYFSPPGDPCRRCLCLDGSVSCQRLPCPPAPCAHPRQGPCCPSCDGCLYQGKEFASGERFPSPTAACHLCLCWEGSVSCEPKACAPALCPFPARGDCCPDCDGCEYLGESYLSNQEFPDPREPCNLCTCLGGFVTCGRRPCEPPGCSHPLIPSGHCCPTCQGCRYHGVTTASGETLPDPLDPTCSLCTCQEGSMRCQKKPCPPALCPHPSPGPCFCPVCHSCLSQGREHQDGEEFEGPAGSCEWCRCQAGQVSCVRLQCPPLPCKLQVTERGSCCPRCRGCLAHGEEHPEGSRWVPPDSACSSCVCHEGVVTCARIQCISSCAQPRQGPHDCCPQCSDCEHEGRKYEPGESFQPGADPCEVCICEPQPEGPPSLRCHRRQCPSLVGCPPSQLLPPGPQHCCPTCAEALSNCSEGLLGSELAPPDPCYTCQCQDLTWLCIHQACPELSCPLSERHTPPGSCCPVCRAPTQSCVHQGREVASGERWTVDTCTSCSCMAGTVRCQSQRCSPLSCGPDKAPALSPGSCCPRCLPRPASCMAFGDPHYRTFDGRLLHFQGSCSYVLAKDCHSGDFSVHVTNDDRGRSGVAWTQEVAVLLGDMAVRLLQDGAVTVDGHPVALPFLQEPLLYVELRGHTVILHAQPGLQVLWDGQSQVEVSVPGSYQGRTCGLCGNFNGFAQDDLQGPEGLLLPSEAAFGNSWQVSEGLWPGRPCSAGREVDPCRAAGYRARREANARCGVLKSSPFSRCHAVVPPEPFFAACVYDLCACGPGSSADACLCDALEAYASHCRQAGVTPTWRGPTLCVVGCPLERGFVFDECGPPCPRTCFNQHIPLGELAAHCVRPCVPGCQCPAGLVEHEAHCIPPEACPQVLLTGDQPLGARPSPSREPQETP
+>DECOY_sp|Q6ZWJ8|KCP_HUMAN Kielin/chordin-like protein OS=Homo sapiens OX=9606 GN=KCP PE=2 SV=3
+PTEQPERSPSPRAGLPQDGTLLVQPCAEPPICHAEHEVLGAPCQCGPVCPRVCHAALEGLPIHQNFCTRPCPPGCEDFVFGRELPCGVVCLTPGRWTPTVGAQRCHSAYAELADCLCADASSGPGCACLDYVCAAFFPEPPVVAHCRSFPSSKLVGCRANAERRARYGAARCPDVERGASCPRGPWLGESVQWSNGFAAESPLLLGEPGQLDDQAFGNFNGCLGCTRGQYSGPVSVEVQSQGDWLVQLGPQAHLIVTHGRLEVYLLPEQLFPLAVPHGDVTVAGDQLLRVAMDGLLVAVEQTWAVGSRGRDDNTVHVSFDGSHCDKALVYSCSGQFHLLRGDFTRYHPDGFAMCSAPRPLCRPCCSGPSLAPAKDPGCSLPSCRQSQCRVTGAMCSCSTCTDVTWREGSAVERGQHVCSQTPARCVPCCSGPPTHRESLPCSLEPCAQHICLWTLDQCQCTYCPDPPALESGLLGESCNSLAEACTPCCHQPGPPLLQSPPCGVLSPCQRRHCRLSPPGEPQPECICVECPDAGPQFSEGPEYKRGEHECDSCQPCCDHPGQRPQACSSICQIRACTVVGEHCVCSSCASDPPVWRSGEPHEEGHALCGRCRPCCSGRETVQLKCPLPPCQLRVCSVQGAQCRCWECSGAPGEFEEGDQHERGQSLCSHCVPCFCPGPSPHPCLAPPCPKKQCRMSGEQCTCLSCTPDLPDPLTEGSATTVGHYRCGQCTPCCHGSPILPHSCGPPECPRRGCTVFGGLCTCLNCPERPDPFEQNSLYSEGLYECGDCDPCCDGRAPFPCLAPACAKPECSVSGEWCLCLHCAATPSPFREGSAFEKGQYLCGDCSPCCPGQRPHACPAPPCPLRQCSVSGDLCLCRRCPDGPPSFYEQHRAHAAGPRPCGAPAPAAPCQPCCEGPLLVPEPCPLPVCRRALCQVNGSLCRCLRCPDSPHPFDAGSPYEKGGFACGSCDNPCCTGPLPHACPARPCPRPQCHAHGEQCRCEQCPDRPHSFSEGDVFVEEELICDPCRPCCQGPGSQPRACTTPPCDVLSCTVTGDQCHCAHCPSDADTFNQGNAYVQSHYTCSDCSPCCAGPPQTPHQCPAPPCLVAGCKPVGDQCVCATCPRGDPEWQVGEAFEEGDLECAPCLQRGSAPLACPTVPCEQEECSVEGAQCSCRVCLGREQLRFTEQSQYQHGQYECGDCVPCCQGPIKGPHRCPVPPCPLPECQVSGNACRCHSCPDGSGVPEGSRHERGNLFCGDCVPCCTGPLPRAPYPCLSACERQRCQIHGELCRCIRCPDGPTTWEQGHEWHSGGETCGQCTPCCHGPRLVPEPCPSPPCKLAMCRVRSRLCTCQLCPNSSSLFTVGEEYLQGEYDCGPRCIPCCEGPPTCSELCNLESCSPGQCQVAGTLCRCTTCADPSFTEGNGYTQGNQSCGRCHPLHAQPGCHAAGDQCVCATCADPEWRAGEPWARGLGWCQPSAPHCECSELQRVRTQLDKNQERLEMVAAELRGLWERLPHWQEQSNGALVSSHATTQQGPPERPVAGGEAGAALALAGLHLLLSLAAAGVGAM
+>sp|P12532|KCRU_HUMAN Creatine kinase U-type, mitochondrial OS=Homo sapiens OX=9606 GN=CKMT1A PE=1 SV=1
+MAGPFSRLLSARPGLRLLALAGAGSLAAGFLLRPEPVRAASERRRLYPPSAEYPDLRKHNNCMASHLTPAVYARLCDKTTPTGWTLDQCIQTGVDNPGHPFIKTVGMVAGDEETYEVFADLFDPVIQERHNGYDPRTMKHTTDLDASKIRSGYFDERYVLSSRVRTGRSIRGLSLPPACTRAERREVERVVVDALSGLKGDLAGRYYRLSEMTEAEQQQLIDDHFLFDKPVSPLLTAAGMARDWPDARGIWHNNEKSFLIWVNEEDHTRVISMEKGGNMKRVFERFCRGLKEVERLIQERGWEFMWNERLGYILTCPSNLGTGLRAGVHIKLPLLSKDSRFPKILENLRLQKRGTGGVDTAATGGVFDISNLDRLGKSEVELVQLVIDGVNYLIDCERRLERGQDIRIPTPVIHTKH
+>DECOY_sp|P12532|KCRU_HUMAN Creatine kinase U-type, mitochondrial OS=Homo sapiens OX=9606 GN=CKMT1A PE=1 SV=1
+HKTHIVPTPIRIDQGRELRRECDILYNVGDIVLQVLEVESKGLRDLNSIDFVGGTAATDVGGTGRKQLRLNELIKPFRSDKSLLPLKIHVGARLGTGLNSPCTLIYGLRENWMFEWGREQILREVEKLGRCFREFVRKMNGGKEMSIVRTHDEENVWILFSKENNHWIGRADPWDRAMGAATLLPSVPKDFLFHDDILQQQEAETMESLRYYRGALDGKLGSLADVVVREVERREARTCAPPLSLGRISRGTRVRSSLVYREDFYGSRIKSADLDTTHKMTRPDYGNHREQIVPDFLDAFVEYTEEDGAVMGVTKIFPHGPNDVGTQICQDLTWGTPTTKDCLRAYVAPTLHSAMCNNHKRLDPYEASPPYLRRRESAARVPEPRLLFGAALSGAGALALLRLGPRASLLRSFPGAM
+>sp|Q719H9|KCTD1_HUMAN BTB/POZ domain-containing protein KCTD1 OS=Homo sapiens OX=9606 GN=KCTD1 PE=1 SV=1
+MSRPLITRSPASPLNNQGIPTPAQLTKSNAPVHIDVGGHMYTSSLATLTKYPESRIGRLFDGTEPIVLDSLKQHYFIDRDGQMFRYILNFLRTSKLLIPDDFKDYTLLYEEAKYFQLQPMLLEMERWKQDRETGRFSRPCECLVVRVAPDLGERITLSGDKSLIEEVFPEIGDVMCNSVNAGWNHDSTHVIRFPLNGYCHLNSVQVLERLQQRGFEIVGSCGGGVDSSQFSEYVLRRELRRTPRVPSVIRIKQEPLD
+>DECOY_sp|Q719H9|KCTD1_HUMAN BTB/POZ domain-containing protein KCTD1 OS=Homo sapiens OX=9606 GN=KCTD1 PE=1 SV=1
+DLPEQKIRIVSPVRPTRRLERRLVYESFQSSDVGGGCSGVIEFGRQQLRELVQVSNLHCYGNLPFRIVHTSDHNWGANVSNCMVDGIEPFVEEILSKDGSLTIREGLDPAVRVVLCECPRSFRGTERDQKWREMELLMPQLQFYKAEEYLLTYDKFDDPILLKSTRLFNLIYRFMQGDRDIFYHQKLSDLVIPETGDFLRGIRSEPYKTLTALSSTYMHGGVDIHVPANSKTLQAPTPIGQNNLPSAPSRTILPRSM
+>sp|Q8WVF5|KCTD4_HUMAN BTB/POZ domain-containing protein KCTD4 OS=Homo sapiens OX=9606 GN=KCTD4 PE=1 SV=2
+MERKINRREKEKEYEGKHNSLEDTDQGKNCKSTLMTLNVGGYLYITQKQTLTKYPDTFLEGIVNGKILCPFDADGHYFIDRDGLLFRHVLNFLRNGELLLPEGFRENQLLAQEAEFFQLKGLAEEVKSRWEKEQLTPRETTFLEITDNHDRSQGLRIFCNAPDFISKIKSRIVLVSKSRLDGFPEEFSISSNIIQFKYFIKSENGTRLVLKEDNTFVCTLETLKFEAIMMALKCGFRLLTSLDCSKGSIVHSDALHFIK
+>DECOY_sp|Q8WVF5|KCTD4_HUMAN BTB/POZ domain-containing protein KCTD4 OS=Homo sapiens OX=9606 GN=KCTD4 PE=1 SV=2
+KIFHLADSHVISGKSCDLSTLLRFGCKLAMMIAEFKLTELTCVFTNDEKLVLRTGNESKIFYKFQIINSSISFEEPFGDLRSKSVLVIRSKIKSIFDPANCFIRLGQSRDHNDTIELFTTERPTLQEKEWRSKVEEALGKLQFFEAEQALLQNERFGEPLLLEGNRLFNLVHRFLLGDRDIFYHGDADFPCLIKGNVIGELFTDPYKTLTQKQTIYLYGGVNLTMLTSKCNKGQDTDELSNHKGEYEKEKERRNIKREM
+>sp|Q9NXV2|KCTD5_HUMAN BTB/POZ domain-containing protein KCTD5 OS=Homo sapiens OX=9606 GN=KCTD5 PE=1 SV=1
+MAENHCELLSPARGGIGAGLGGGLCRRCSAGLGALAQRPGSVSKWVRLNVGGTYFLTTRQTLCRDPKSFLYRLCQADPDLDSDKDETGAYLIDRDPTYFGPVLNYLRHGKLVINKDLAEEGVLEEAEFYNITSLIKLVKDKIRERDSKTSQVPVKHVYRVLQCQEEELTQMVSTMSDGWKFEQLVSIGSSYNYGNEDQAEFLCVVSKELHNTPYGTASEPSEKAKILQERGSRM
+>DECOY_sp|Q9NXV2|KCTD5_HUMAN BTB/POZ domain-containing protein KCTD5 OS=Homo sapiens OX=9606 GN=KCTD5 PE=1 SV=1
+MRSGREQLIKAKESPESATGYPTNHLEKSVVCLFEAQDENGYNYSSGISVLQEFKWGDSMTSVMQTLEEEQCQLVRYVHKVPVQSTKSDRERIKDKVLKILSTINYFEAEELVGEEALDKNIVLKGHRLYNLVPGFYTPDRDILYAGTEDKDSDLDPDAQCLRYLFSKPDRCLTQRTTLFYTGGVNLRVWKSVSGPRQALAGLGASCRRCLGGGLGAGIGGRAPSLLECHNEAM
+>sp|Q7L273|KCTD9_HUMAN BTB/POZ domain-containing protein KCTD9 OS=Homo sapiens OX=9606 GN=KCTD9 PE=1 SV=1
+MRRVTLFLNGSPKNGKVVAVYGTLSDLLSVASSKLGIKATSVYNGKGGLIDDIALIRDDDVLFVCEGEPFIDPQTDSKPPEGLLGFHTDWLTLNVGGRYFTTTRSTLVNKEPDSMLAHMFKDKGVWGNKQDHRGAFLIDRSPEYFEPILNYLRHGQLIVNDGINLLGVLEEARFFGIDSLIEHLEVAIKNSQPPEDHSPISRKEFVRFLLATPTKSELRCQGLNFSGADLSRLDLRYINFKMANLSRCNLAHANLCCANLERADLSGSVLDCANLQGVKMLCSNAEGASLKLCNFEDPSGLKANLEGANLKGVDMEGSQMTGINLRVATLKNAKLKNCNLRGATLAGTDLENCDLSGCDLQEANLRGSNVKGAIFEEMLTPLHMSQSVR
+>DECOY_sp|Q7L273|KCTD9_HUMAN BTB/POZ domain-containing protein KCTD9 OS=Homo sapiens OX=9606 GN=KCTD9 PE=1 SV=1
+RVSQSMHLPTLMEEFIAGKVNSGRLNAEQLDCGSLDCNELDTGALTAGRLNCNKLKANKLTAVRLNIGTMQSGEMDVGKLNAGELNAKLGSPDEFNCLKLSAGEANSCLMKVGQLNACDLVSGSLDARELNACCLNAHALNCRSLNAMKFNIYRLDLRSLDAGSFNLGQCRLESKTPTALLFRVFEKRSIPSHDEPPQSNKIAVELHEILSDIGFFRAEELVGLLNIGDNVILQGHRLYNLIPEFYEPSRDILFAGRHDQKNGWVGKDKFMHALMSDPEKNVLTSRTTTFYRGGVNLTLWDTHFGLLGEPPKSDTQPDIFPEGECVFLVDDDRILAIDDILGGKGNYVSTAKIGLKSSAVSLLDSLTGYVAVVKGNKPSGNLFLTVRRM
+>sp|P30085|KCY_HUMAN UMP-CMP kinase OS=Homo sapiens OX=9606 GN=CMPK1 PE=1 SV=3
+MKPLVVFVLGGPGAGKGTQCARIVEKYGYTHLSAGELLRDERKNPDSQYGELIEKYIKEGKIVPVEITISLLKREMDQTMAANAQKNKFLIDGFPRNQDNLQGWNKTMDGKADVSFVLFFDCNNEICIERCLERGKSSGRSDDNRESLEKRIQTYLQSTKPIIDLYEEMGKVKKIDASKSVDEVFDEVVQIFDKEG
+>DECOY_sp|P30085|KCY_HUMAN UMP-CMP kinase OS=Homo sapiens OX=9606 GN=CMPK1 PE=1 SV=3
+GEKDFIQVVEDFVEDVSKSADIKKVKGMEEYLDIIPKTSQLYTQIRKELSERNDDSRGSSKGRELCREICIENNCDFFLVFSVDAKGDMTKNWGQLNDQNRPFGDILFKNKQANAAMTQDMERKLLSITIEVPVIKGEKIYKEILEGYQSDPNKREDRLLEGASLHTYGYKEVIRACQTGKGAGPGGLVFVVLPKM
+>sp|Q8NAX2|KDF1_HUMAN Keratinocyte differentiation factor 1 OS=Homo sapiens OX=9606 GN=KDF1 PE=1 SV=2
+MPRPGHPRPASGPPRLGPWERPTELCLETYDKPPQPPPSRRTRRPDPKDPGHHGPESITFISGSAEPALESPTCCLLWRPWVWEWCRAAFCFRRCRDCLQRCGACVRGCSPCLSTEDSTEGTAEANWAKEHNGVPPSPDRAPPSRRDGQRLKSTMGSSFSYPDVKLKGIPVYPYPRATSPAPDADSCCKEPLADPPPMRHSLPSTFASSPRGSEEYYSFHESDLDLPEMGSGSMSSREIDVLIFKKLTELFSVHQIDELAKCTSDTVFLEKTSKISDLISSITQDYHLDEQDAEGRLVRGIIRISTRKSRARPQTSEGRSTRAAAPTAAAPDSGHETMVGSGLSQDELTVQISQETTADAIARKLRPYGAPGYPASHDSSFQGTDTDSSGAPLLQVYC
+>DECOY_sp|Q8NAX2|KDF1_HUMAN Keratinocyte differentiation factor 1 OS=Homo sapiens OX=9606 GN=KDF1 PE=1 SV=2
+CYVQLLPAGSSDTDTGQFSSDHSAPYGPAGYPRLKRAIADATTEQSIQVTLEDQSLGSGVMTEHGSDPAAATPAAARTSRGESTQPRARSKRTSIRIIGRVLRGEADQEDLHYDQTISSILDSIKSTKELFVTDSTCKALEDIQHVSFLETLKKFILVDIERSSMSGSGMEPLDLDSEHFSYYEESGRPSSAFTSPLSHRMPPPDALPEKCCSDADPAPSTARPYPYVPIGKLKVDPYSFSSGMTSKLRQGDRRSPPARDPSPPVGNHEKAWNAEATGETSDETSLCPSCGRVCAGCRQLCDRCRRFCFAARCWEWVWPRWLLCCTPSELAPEASGSIFTISEPGHHGPDKPDPRRTRRSPPPQPPKDYTELCLETPREWPGLRPPGSAPRPHGPRPM
+>sp|Q9H3R0|KDM4C_HUMAN Lysine-specific demethylase 4C OS=Homo sapiens OX=9606 GN=KDM4C PE=1 SV=2
+MEVAEVESPLNPSCKIMTFRPSMEEFREFNKYLAYMESKGAHRAGLAKVIPPKEWKPRQCYDDIDNLLIPAPIQQMVTGQSGLFTQYNIQKKAMTVKEFRQLANSGKYCTPRYLDYEDLERKYWKNLTFVAPIYGADINGSIYDEGVDEWNIARLNTVLDVVEEECGISIEGVNTPYLYFGMWKTTFAWHTEDMDLYSINYLHFGEPKSWYAIPPEHGKRLERLAQGFFPSSSQGCDAFLRHKMTLISPSVLKKYGIPFDKITQEAGEFMITFPYGYHAGFNHGFNCAESTNFATVRWIDYGKVAKLCTCRKDMVKISMDIFVRKFQPDRYQLWKQGKDIYTIDHTKPTPASTPEVKAWLQRRRKVRKASRSFQCARSTSKRPKADEEEEVSDEVDGAEVPNPDSVTDDLKVSEKSEAAVKLRNTEASSEEESSASRMQVEQNLSDHIKLSGNSCLSTSVTEDIKTEDDKAYAYRSVPSISSEADDSIPLSSGYEKPEKSDPSELSWPKSPESCSSVAESNGVLTEGEESDVESHGNGLEPGEIPAVPSGERNSFKVPSIAEGENKTSKSWRHPLSRPPARSPMTLVKQQAPSDEELPEVLSIEEEVEETESWAKPLIHLWQTKSPNFAAEQEYNATVARMKPHCAICTLLMPYHKPDSSNEENDARWETKLDEVVTSEGKTKPLIPEMCFIYSEENIEYSPPNAFLEEDGTSLLISCAKCCVRVHASCYGIPSHEICDGWLCARCKRNAWTAECCLCNLRGGALKQTKNNKWAHVMCAVAVPEVRFTNVPERTQIDVGRIPLQRLKLKCIFCRHRVKRVSGACIQCSYGRCPASFHVTCAHAAGVLMEPDDWPYVVNITCFRHKVNPNVKSKACEKVISVGQTVITKHRNTRYYSCRVMAVTSQTFYEVMFDDGSFSRDTFPEDIVSRDCLKLGPPAEGEVVQVKWPDGKLYGAKYFGSNIAHMYQVEFEDGSQIAMKREDIYTLDEELPKRVKARFSTASDMRFEDTFYGADIIQGERKRQRVLSSRFKNEYVADPVYRTFLKSSFQKKCQKRQ
+>DECOY_sp|Q9H3R0|KDM4C_HUMAN Lysine-specific demethylase 4C OS=Homo sapiens OX=9606 GN=KDM4C PE=1 SV=2
+QRKQCKKQFSSKLFTRYVPDAVYENKFRSSLVRQRKREGQIIDAGYFTDEFRMDSATSFRAKVRKPLEEDLTYIDERKMAIQSGDEFEVQYMHAINSGFYKAGYLKGDPWKVQVVEGEAPPGLKLCDRSVIDEPFTDRSFSGDDFMVEYFTQSTVAMVRCSYYRTNRHKTIVTQGVSIVKECAKSKVNPNVKHRFCTINVVYPWDDPEMLVGAAHACTVHFSAPCRGYSCQICAGSVRKVRHRCFICKLKLRQLPIRGVDIQTREPVNTFRVEPVAVACMVHAWKNNKTQKLAGGRLNCLCCEATWANRKCRACLWGDCIEHSPIGYCSAHVRVCCKACSILLSTGDEELFANPPSYEINEESYIFCMEPILPKTKGESTVVEDLKTEWRADNEENSSDPKHYPMLLTCIACHPKMRAVTANYEQEAAFNPSKTQWLHILPKAWSETEEVEEEISLVEPLEEDSPAQQKVLTMPSRAPPRSLPHRWSKSTKNEGEAISPVKFSNREGSPVAPIEGPELGNGHSEVDSEEGETLVGNSEAVSSCSEPSKPWSLESPDSKEPKEYGSSLPISDDAESSISPVSRYAYAKDDETKIDETVSTSLCSNGSLKIHDSLNQEVQMRSASSEEESSAETNRLKVAAESKESVKLDDTVSDPNPVEAGDVEDSVEEEEDAKPRKSTSRACQFSRSAKRVKRRRQLWAKVEPTSAPTPKTHDITYIDKGQKWLQYRDPQFKRVFIDMSIKVMDKRCTCLKAVKGYDIWRVTAFNTSEACNFGHNFGAHYGYPFTIMFEGAEQTIKDFPIGYKKLVSPSILTMKHRLFADCGQSSSPFFGQALRELRKGHEPPIAYWSKPEGFHLYNISYLDMDETHWAFTTKWMGFYLYPTNVGEISIGCEEEVVDLVTNLRAINWEDVGEDYISGNIDAGYIPAVFTLNKWYKRELDEYDLYRPTCYKGSNALQRFEKVTMAKKQINYQTFLGSQGTVMQQIPAPILLNDIDDYCQRPKWEKPPIVKALGARHAGKSEMYALYKNFERFEEMSPRFTMIKCSPNLPSEVEAVEM
+>sp|Q6B0I6|KDM4D_HUMAN Lysine-specific demethylase 4D OS=Homo sapiens OX=9606 GN=KDM4D PE=1 SV=3
+METMKSKANCAQNPNCNIMIFHPTKEEFNDFDKYIAYMESQGAHRAGLAKIIPPKEWKARETYDNISEILIATPLQQVASGRAGVFTQYHKKKKAMTVGEYRHLANSKKYQTPPHQNFEDLERKYWKNRIYNSPIYGADISGSLFDENTKQWNLGHLGTIQDLLEKECGVVIEGVNTPYLYFGMWKTTFAWHTEDMDLYSINYLHLGEPKTWYVVPPEHGQRLERLARELFPGSSRGCGAFLRHKVALISPTVLKENGIPFNRITQEAGEFMVTFPYGYHAGFNHGFNCAEAINFATPRWIDYGKMASQCSCGEARVTFSMDAFVRILQPERYDLWKRGQDRAVVDHMEPRVPASQELSTQKEVQLPRRAALGLRQLPSHWARHSPWPMAARSGTRCHTLVCSSLPRRSAVSGTATQPRAAAVHSSKKPSSTPSSTPGPSAQIIHPSNGRRGRGRPPQKLRAQELTLQTPAKRPLLAGTTCTASGPEPEPLPEDGALMDKPVPLSPGLQHPVKASGCSWAPVP
+>DECOY_sp|Q6B0I6|KDM4D_HUMAN Lysine-specific demethylase 4D OS=Homo sapiens OX=9606 GN=KDM4D PE=1 SV=3
+PVPAWSCGSAKVPHQLGPSLPVPKDMLAGDEPLPEPEPGSATCTTGALLPRKAPTQLTLEQARLKQPPRGRGRRGNSPHIIQASPGPTSSPTSSPKKSSHVAAARPQTATGSVASRRPLSSCVLTHCRTGSRAAMPWPSHRAWHSPLQRLGLAARRPLQVEKQTSLEQSAPVRPEMHDVVARDQGRKWLDYREPQLIRVFADMSFTVRAEGCSCQSAMKGYDIWRPTAFNIAEACNFGHNFGAHYGYPFTVMFEGAEQTIRNFPIGNEKLVTPSILAVKHRLFAGCGRSSGPFLERALRELRQGHEPPVVYWTKPEGLHLYNISYLDMDETHWAFTTKWMGFYLYPTNVGEIVVGCEKELLDQITGLHGLNWQKTNEDFLSGSIDAGYIPSNYIRNKWYKRELDEFNQHPPTQYKKSNALHRYEGVTMAKKKKHYQTFVGARGSAVQQLPTAILIESINDYTERAKWEKPPIIKALGARHAGQSEMYAIYKDFDNFEEKTPHFIMINCNPNQACNAKSKMTEM
+>sp|P29375|KDM5A_HUMAN Lysine-specific demethylase 5A OS=Homo sapiens OX=9606 GN=KDM5A PE=1 SV=3
+MAGVGPGGYAAEFVPPPECPVFEPSWEEFTDPLSFIGRIRPLAEKTGICKIRPPKDWQPPFACEVKSFRFTPRVQRLNELEAMTRVRLDFLDQLAKFWELQGSTLKIPVVERKILDLYALSKIVASKGGFEMVTKEKKWSKVGSRLGYLPGKGTGSLLKSHYERILYPYELFQSGVSLMGVQMPNLDLKEKVEPEVLSTDTQTSPEPGTRMNILPKRTRRVKTQSESGDVSRNTELKKLQIFGAGPKVVGLAMGTKDKEDEVTRRRKVTNRSDAFNMQMRQRKGTLSVNFVDLYVCMFCGRGNNEDKLLLCDGCDDSYHTFCLIPPLPDVPKGDWRCPKCVAEECSKPREAFGFEQAVREYTLQSFGEMADNFKSDYFNMPVHMVPTELVEKEFWRLVSSIEEDVIVEYGADISSKDFGSGFPVKDGRRKILPEEEEYALSGWNLNNMPVLEQSVLAHINVDISGMKVPWLYVGMCFSSFCWHIEDHWSYSINYLHWGEPKTWYGVPSHAAEQLEEVMRELAPELFESQPDLLHQLVTIMNPNVLMEHGVPVYRTNQCAGEFVVTFPRAYHSGFNQGYNFAEAVNFCTADWLPIGRQCVNHYRRLRRHCVFSHEELIFKMAADPECLDVGLAAMVCKELTLMTEEETRLRESVVQMGVLMSEEEVFELVPDDERQCSACRTTCFLSALTCSCNPERLVCLYHPTDLCPCPMQKKCLRYRYPLEDLPSLLYGVKVRAQSYDTWVSRVTEALSANFNHKKDLIELRVMLEDAEDRKYPENDLFRKLRDAVKEAETCASVAQLLLSKKQKHRQSPDSGRTRTKLTVEELKAFVQQLFSLPCVISQARQVKNLLDDVEEFHERAQEAMMDETPDSSKLQMLIDMGSSLYVELPELPRLKQELQQARWLDEVRLTLSDPQQVTLDVMKKLIDSGVGLAPHHAVEKAMAELQELLTVSERWEEKAKVCLQARPRHSVASLESIVNEAKNIPAFLPNVLSLKEALQKAREWTAKVEAIQSGSNYAYLEQLESLSAKGRPIPVRLEALPQVESQVAAARAWRERTGRTFLKKNSSHTLLQVLSPRTDIGVYGSGKNRRKKVKELIEKEKEKDLDLEPLSDLEEGLEETRDTAMVVAVFKEREQKEIEAMHSLRAANLAKMTMVDRIEEVKFCICRKTASGFMLQCELCKDWFHNSCVPLPKSSSQKKGSSWQAKEVKFLCPLCMRSRRPRLETILSLLVSLQKLPVRLPEGEALQCLTERAMSWQDRARQALATDELSSALAKLSVLSQRMVEQAAREKTEKIISAELQKAAANPDLQGHLPSFQQSAFNRVVSSVSSSPRQTMDYDDEETDSDEDIRETYGYDMKDTASVKSSSSLEPNLFCDEEIPIKSEEVVTHMWTAPSFCAEHAYSSASKSCSQGSSTPRKQPRKSPLVPRSLEPPVLELSPGAKAQLEELMMVGDLLEVSLDETQHIWRILQATHPPSEDRFLHIMEDDSMEEKPLKVKGKDSSEKKRKRKLEKVEQLFGEGKQKSKELKKMDKPRKKKLKLGADKSKELNKLAKKLAKEEERKKKKEKAAAAKVELVKESTEKKREKKVLDIPSKYDWSGAEESDDENAVCAAQNCQRPCKDKVDWVQCDGGCDEWFHQVCVGVSPEMAENEDYICINCAKKQGPVSPGPAPPPSFIMSYKLPMEDLKETS
+>DECOY_sp|P29375|KDM5A_HUMAN Lysine-specific demethylase 5A OS=Homo sapiens OX=9606 GN=KDM5A PE=1 SV=3
+STEKLDEMPLKYSMIFSPPPAPGPSVPGQKKACNICIYDENEAMEPSVGVCVQHFWEDCGGDCQVWDVKDKCPRQCNQAACVANEDDSEEAGSWDYKSPIDLVKKERKKETSEKVLEVKAAAAKEKKKKREEEKALKKALKNLEKSKDAGLKLKKKRPKDMKKLEKSKQKGEGFLQEVKELKRKRKKESSDKGKVKLPKEEMSDDEMIHLFRDESPPHTAQLIRWIHQTEDLSVELLDGVMMLEELQAKAGPSLELVPPELSRPVLPSKRPQKRPTSSGQSCSKSASSYAHEACFSPATWMHTVVEESKIPIEEDCFLNPELSSSSKVSATDKMDYGYTERIDEDSDTEEDDYDMTQRPSSSVSSVVRNFASQQFSPLHGQLDPNAAAKQLEASIIKETKERAAQEVMRQSLVSLKALASSLEDTALAQRARDQWSMARETLCQLAEGEPLRVPLKQLSVLLSLITELRPRRSRMCLPCLFKVEKAQWSSGKKQSSSKPLPVCSNHFWDKCLECQLMFGSATKRCICFKVEEIRDVMTMKALNAARLSHMAEIEKQEREKFVAVVMATDRTEELGEELDSLPELDLDKEKEKEILEKVKKRRNKGSGYVGIDTRPSLVQLLTHSSNKKLFTRGTRERWARAAAVQSEVQPLAELRVPIPRGKASLSELQELYAYNSGSQIAEVKATWERAKQLAEKLSLVNPLFAPINKAENVISELSAVSHRPRAQLCVKAKEEWRESVTLLEQLEAMAKEVAHHPALGVGSDILKKMVDLTVQQPDSLTLRVEDLWRAQQLEQKLRPLEPLEVYLSSGMDILMQLKSSDPTEDMMAEQAREHFEEVDDLLNKVQRAQSIVCPLSFLQQVFAKLEEVTLKTRTRGSDPSQRHKQKKSLLLQAVSACTEAEKVADRLKRFLDNEPYKRDEADELMVRLEILDKKHNFNASLAETVRSVWTDYSQARVKVGYLLSPLDELPYRYRLCKKQMPCPCLDTPHYLCVLREPNCSCTLASLFCTTRCASCQREDDPVLEFVEEESMLVGMQVVSERLRTEEETMLTLEKCVMAALGVDLCEPDAAMKFILEEHSFVCHRRLRRYHNVCQRGIPLWDATCFNVAEAFNYGQNFGSHYARPFTVVFEGACQNTRYVPVGHEMLVNPNMITVLQHLLDPQSEFLEPALERMVEELQEAAHSPVGYWTKPEGWHLYNISYSWHDEIHWCFSSFCMGVYLWPVKMGSIDVNIHALVSQELVPMNNLNWGSLAYEEEEPLIKRRGDKVPFGSGFDKSSIDAGYEVIVDEEISSVLRWFEKEVLETPVMHVPMNFYDSKFNDAMEGFSQLTYERVAQEFGFAERPKSCEEAVCKPCRWDGKPVDPLPPILCFTHYSDDCGDCLLLKDENNGRGCFMCVYLDVFNVSLTGKRQRMQMNFADSRNTVKRRRTVEDEKDKTGMALGVVKPGAGFIQLKKLETNRSVDGSESQTKVRRTRKPLINMRTGPEPSTQTDTSLVEPEVKEKLDLNPMQVGMLSVGSQFLEYPYLIREYHSKLLSGTGKGPLYGLRSGVKSWKKEKTVMEFGGKSAVIKSLAYLDLIKREVVPIKLTSGQLEWFKALQDLFDLRVRTMAELENLRQVRPTFRFSKVECAFPPQWDKPPRIKCIGTKEALPRIRGIFSLPDTFEEWSPEFVPCEPPPVFEAAYGGPGVGAM
+>sp|Q9UGL1|KDM5B_HUMAN Lysine-specific demethylase 5B OS=Homo sapiens OX=9606 GN=KDM5B PE=1 SV=3
+MEAATTLHPGPRPALPLGGPGPLGEFLPPPECPVFEPSWEEFADPFAFIHKIRPIAEQTGICKVRPPPDWQPPFACDVDKLHFTPRIQRLNELEAQTRVKLNFLDQIAKYWELQGSTLKIPHVERKILDLFQLNKLVAEEGGFAVVCKDRKWTKIATKMGFAPGKAVGSHIRGHYERILNPYNLFLSGDSLRCLQKPNLTTDTKDKEYKPHDIPQRQSVQPSETCPPARRAKRMRAEAMNIKIEPEETTEARTHNLRRRMGCPTPKCENEKEMKSSIKQEPIERKDYIVENEKEKPKSRSKKATNAVDLYVCLLCGSGNDEDRLLLCDGCDDSYHTFCLIPPLHDVPKGDWRCPKCLAQECSKPQEAFGFEQAARDYTLRTFGEMADAFKSDYFNMPVHMVPTELVEKEFWRLVSTIEEDVTVEYGADIASKEFGSGFPVRDGKIKLSPEEEEYLDSGWNLNNMPVMEQSVLAHITADICGMKLPWLYVGMCFSSFCWHIEDHWSYSINYLHWGEPKTWYGVPGYAAEQLENVMKKLAPELFVSQPDLLHQLVTIMNPNTLMTHEVPVYRTNQCAGEFVITFPRAYHSGFNQGFNFAEAVNFCTVDWLPLGRQCVEHYRLLHRYCVFSHDEMICKMASKADVLDVVVASTVQKDMAIMIEDEKALRETVRKLGVIDSERMDFELLPDDERQCVKCKTTCFMSAISCSCKPGLLVCLHHVKELCSCPPYKYKLRYRYTLDDLYPMMNALKLRAESYNEWALNVNEALEAKINKKKSLVSFKALIEESEMKKFPDNDLLRHLRLVTQDAEKCASVAQQLLNGKRQTRYRSGGGKSQNQLTVNELRQFVTQLYALPCVLSQTPLLKDLLNRVEDFQQHSQKLLSEETPSAAELQDLLDVSFEFDVELPQLAEMRIRLEQARWLEEVQQACLDPSSLTLDDMRRLIDLGVGLAPYSAVEKAMARLQELLTVSEHWDDKAKSLLKARPRHSLNSLATAVKEIEEIPAYLPNGAALKDSVQRARDWLQDVEGLQAGGRVPVLDTLIELVTRGRSIPVHLNSLPRLETLVAEVQAWKECAVNTFLTENSPYSLLEVLCPRCDIGLLGLKRKQRKLKEPLPNGKKKSTKLESLSDLERALTESKETASAMATLGEARLREMEALQSLRLANEGKLLSPLQDVDIKICLCQKAPAAPMIQCELCRDAFHTSCVAVPSISQGLRIWLCPHCRRSEKPPLEKILPLLASLQRIRVRLPEGDALRYMIERTVNWQHRAQQLLSSGNLKFVQDRVGSGLLYSRWQASAGQVSDTNKVSQPPGTTSFSLPDDWDNRTSYLHSPFSTGRSCIPLHGVSPEVNELLMEAQLLQVSLPEIQELYQTLLAKPSPAQQTDRSSPVRPSSEKNDCCRGKRDGINSLERKLKRRLEREGLSSERWERVKKMRTPKKKKIKLSHPKDMNNFKLERERSYELVRSAETHSLPSDTSYSEQEDSEDEDAICPAVSCLQPEGDEVDWVQCDGSCNQWFHQVCVGVSPEMAEKEDYICVRCTVKDAPSRK
+>DECOY_sp|Q9UGL1|KDM5B_HUMAN Lysine-specific demethylase 5B OS=Homo sapiens OX=9606 GN=KDM5B PE=1 SV=3
+KRSPADKVTCRVCIYDEKEAMEPSVGVCVQHFWQNCSGDCQVWDVEDGEPQLCSVAPCIADEDESDEQESYSTDSPLSHTEASRVLEYSRERELKFNNMDKPHSLKIKKKKPTRMKKVREWRESSLGERELRRKLKRELSNIGDRKGRCCDNKESSPRVPSSRDTQQAPSPKALLTQYLEQIEPLSVQLLQAEMLLENVEPSVGHLPICSRGTSFPSHLYSTRNDWDDPLSFSTTGPPQSVKNTDSVQGASAQWRSYLLGSGVRDQVFKLNGSSLLQQARHQWNVTREIMYRLADGEPLRVRIRQLSALLPLIKELPPKESRRCHPCLWIRLGQSISPVAVCSTHFADRCLECQIMPAAPAKQCLCIKIDVDQLPSLLKGENALRLSQLAEMERLRAEGLTAMASATEKSETLARELDSLSELKTSKKKGNPLPEKLKRQKRKLGLLGIDCRPCLVELLSYPSNETLFTNVACEKWAQVEAVLTELRPLSNLHVPISRGRTVLEILTDLVPVRGGAQLGEVDQLWDRARQVSDKLAAGNPLYAPIEEIEKVATALSNLSHRPRAKLLSKAKDDWHESVTLLEQLRAMAKEVASYPALGVGLDILRRMDDLTLSSPDLCAQQVEELWRAQELRIRMEALQPLEVDFEFSVDLLDQLEAASPTEESLLKQSHQQFDEVRNLLDKLLPTQSLVCPLAYLQTVFQRLENVTLQNQSKGGGSRYRTQRKGNLLQQAVSACKEADQTVLRLHRLLDNDPFKKMESEEILAKFSVLSKKKNIKAELAENVNLAWENYSEARLKLANMMPYLDDLTYRYRLKYKYPPCSCLEKVHHLCVLLGPKCSCSIASMFCTTKCKVCQREDDPLLEFDMRESDIVGLKRVTERLAKEDEIMIAMDKQVTSAVVVDLVDAKSAMKCIMEDHSFVCYRHLLRYHEVCQRGLPLWDVTCFNVAEAFNFGQNFGSHYARPFTIVFEGACQNTRYVPVEHTMLTNPNMITVLQHLLDPQSVFLEPALKKMVNELQEAAYGPVGYWTKPEGWHLYNISYSWHDEIHWCFSSFCMGVYLWPLKMGCIDATIHALVSQEMVPMNNLNWGSDLYEEEEPSLKIKGDRVPFGSGFEKSAIDAGYEVTVDEEITSVLRWFEKEVLETPVMHVPMNFYDSKFADAMEGFTRLTYDRAAQEFGFAEQPKSCEQALCKPCRWDGKPVDHLPPILCFTHYSDDCGDCLLLRDEDNGSGCLLCVYLDVANTAKKSRSKPKEKENEVIYDKREIPEQKISSKMEKENECKPTPCGMRRRLNHTRAETTEEPEIKINMAEARMRKARRAPPCTESPQVSQRQPIDHPKYEKDKTDTTLNPKQLCRLSDGSLFLNYPNLIREYHGRIHSGVAKGPAFGMKTAIKTWKRDKCVVAFGGEEAVLKNLQFLDLIKREVHPIKLTSGQLEWYKAIQDLFNLKVRTQAELENLRQIRPTFHLKDVDCAFPPQWDPPPRVKCIGTQEAIPRIKHIFAFPDAFEEWSPEFVPCEPPPLFEGLPGPGGLPLAPRPGPHLTTAAEM
+>sp|Q9BY66|KDM5D_HUMAN Lysine-specific demethylase 5D OS=Homo sapiens OX=9606 GN=KDM5D PE=1 SV=2
+MEPGCDEFLPPPECPVFEPSWAEFQDPLGYIAKIRPIAEKSGICKIRPPADWQPPFAVEVDNFRFTPRVQRLNELEAQTRVKLNYLDQIAKFWEIQGSSLKIPNVERKILDLYSLSKIVIEEGGYEAICKDRRWARVAQRLHYPPGKNIGSLLRSHYERIIYPYEMFQSGANHVQCNTHPFDNEVKDKEYKPHSIPLRQSVQPSKFSSYSRRAKRLQPDPEPTEEDIEKHPELKKLQIYGPGPKMMGLGLMAKDKDKTVHKKVTCPPTVTVKDEQSGGGNVSSTLLKQHLSLEPCTKTTMQLRKNHSSAQFIDSYICQVCSRGDEDDKLLFCDGCDDNYHIFCLLPPLPEIPRGIWRCPKCILAECKQPPEAFGFEQATQEYSLQSFGEMADSFKSDYFNMPVHMVPTELVEKEFWRLVSSIEEDVTVEYGADIHSKEFGSGFPVSNSKQNLSPEEKEYATSGWNLNVMPVLDQSVLCHINADISGMKVPWLYVGMVFSAFCWHIEDHWSYSINYLHWGEPKTWYGVPSLAAEHLEEVMKMLTPELFDSQPDLLHQLVTLMNPNTLMSHGVPVVRTNQCAGEFVITFPRAYHSGFNQGYNFAEAVNFCTADWLPAGRQCIEHYRRLRRYCVFSHEELICKMAAFPETLDLNLAVAVHKEMFIMVQEERRLRKALLEKGVTEAEREAFELLPDDERQCIKCKTTCFLSALACYDCPDGLVCLSHINDLCKCSSSRQYLRYRYTLDELPTMLHKLKIRAESFDTWANKVRVALEVEDGRKRSFEELRALESEARERRFPNSELLQRLKNCLSEVEACIAQVLGLVSGQVARMDTPQLTLTELRVLLEQMGSLPCAMHQIGDVKDVLEQVEAYQAEAREALATLPSSPGLLRSLLERGQQLGVEVPEAHQLQQQVEQAQWLDEVKQALAPSAHRGSLVIMQGLLVMGAKIASSPSVDKARAELQELLTIAERWEEKAHFCLEARQKHPPATLEAIIRETENIPVHLPNIQALKEALTKAQAWIADVDEIQNGDHYPCLDDLEGLVAVGRDLPVGLEELRQLELQVLTAHSWREKASKTFLKKNSCYTLLEVLCPCADAGSDSTKRSRWMEKALGLYQCDTELLGLSAQDLRDPGSVIVAFKEGEQKEKEGILQLRRTNSAKPSPLAPSLMASSPTSICVCGQVPAGVGVLQCDLCQDWFHGQCVSVPHLLTSPKPSLTSSPLLAWWEWDTKFLCPLCMRSRRPRLETILALLVALQRLPVRLPEGEALQCLTERAIGWQDRARKALASEDVTALLRQLAELRQQLQAKPRPEEASVYTSATACDPIREGSGNNISKVQGLLENGDSVTSPENMAPGKGSDLELLSSLLPQLTGPVLELPEAIRAPLEELMMEGDLLEVTLDENHSIWQLLQAGQPPDLDRIRTLLELEKFEHQGSRTRSRALERRRRRQKVDQGRNVENLVQQELQSKRARSSGIMSQVGREEEHYQEKADRENMFLTPSTDHSPFLKGNQNSLQHKDSGSSAACPSLMPLLQLSYSDEQQL
+>DECOY_sp|Q9BY66|KDM5D_HUMAN Lysine-specific demethylase 5D OS=Homo sapiens OX=9606 GN=KDM5D PE=1 SV=2
+LQQEDSYSLQLLPMLSPCAASSGSDKHQLSNQNGKLFPSHDTSPTLFMNERDAKEQYHEEERGVQSMIGSSRARKSQLEQQVLNEVNRGQDVKQRRRRRELARSRTRSGQHEFKELELLTRIRDLDPPQGAQLLQWISHNEDLTVELLDGEMMLEELPARIAEPLELVPGTLQPLLSSLLELDSGKGPAMNEPSTVSDGNELLGQVKSINNGSGERIPDCATASTYVSAEEPRPKAQLQQRLEALQRLLATVDESALAKRARDQWGIARETLCQLAEGEPLRVPLRQLAVLLALITELRPRRSRMCLPCLFKTDWEWWALLPSSTLSPKPSTLLHPVSVCQGHFWDQCLDCQLVGVGAPVQGCVCISTPSSAMLSPALPSPKASNTRRLQLIGEKEKQEGEKFAVIVSGPDRLDQASLGLLETDCQYLGLAKEMWRSRKTSDSGADACPCLVELLTYCSNKKLFTKSAKERWSHATLVQLELQRLEELGVPLDRGVAVLGELDDLCPYHDGNQIEDVDAIWAQAKTLAEKLAQINPLHVPINETERIIAELTAPPHKQRAELCFHAKEEWREAITLLEQLEARAKDVSPSSAIKAGMVLLGQMIVLSGRHASPALAQKVEDLWQAQEVQQQLQHAEPVEVGLQQGRELLSRLLGPSSPLTALAERAEAQYAEVQELVDKVDGIQHMACPLSGMQELLVRLETLTLQPTDMRAVQGSVLGLVQAICAEVESLCNKLRQLLESNPFRRERAESELARLEEFSRKRGDEVELAVRVKNAWTDFSEARIKLKHLMTPLEDLTYRYRLYQRSSSCKCLDNIHSLCVLGDPCDYCALASLFCTTKCKICQREDDPLLEFAEREAETVGKELLAKRLRREEQVMIFMEKHVAVALNLDLTEPFAAMKCILEEHSFVCYRRLRRYHEICQRGAPLWDATCFNVAEAFNYGQNFGSHYARPFTIVFEGACQNTRVVPVGHSMLTNPNMLTVLQHLLDPQSDFLEPTLMKMVEELHEAALSPVGYWTKPEGWHLYNISYSWHDEIHWCFASFVMGVYLWPVKMGSIDANIHCLVSQDLVPMVNLNWGSTAYEKEEPSLNQKSNSVPFGSGFEKSHIDAGYEVTVDEEISSVLRWFEKEVLETPVMHVPMNFYDSKFSDAMEGFSQLSYEQTAQEFGFAEPPQKCEALICKPCRWIGRPIEPLPPLLCFIHYNDDCGDCFLLKDDEDGRSCVQCIYSDIFQASSHNKRLQMTTKTCPELSLHQKLLTSSVNGGGSQEDKVTVTPPCTVKKHVTKDKDKAMLGLGMMKPGPGYIQLKKLEPHKEIDEETPEPDPQLRKARRSYSSFKSPQVSQRLPISHPKYEKDKVENDFPHTNCQVHNAGSQFMEYPYIIREYHSRLLSGINKGPPYHLRQAVRAWRRDKCIAEYGGEEIVIKSLSYLDLIKREVNPIKLSSGQIEWFKAIQDLYNLKVRTQAELENLRQVRPTFRFNDVEVAFPPQWDAPPRIKCIGSKEAIPRIKAIYGLPDQFEAWSPEFVPCEPPPLFEDCGPEM
+>sp|O60938|KERA_HUMAN Keratocan OS=Homo sapiens OX=9606 GN=KERA PE=1 SV=1
+MAGTICFIMWVLFITDTVWSRSVRQVYEVHDSDDWTIHDFECPMECFCPPSFPTALYCENRGLKEIPAIPSRIWYLYLQNNLIETIPEKPFENATQLRWINLNKNKITNYGIEKGALSQLKKLLFLFLEDNELEEVPSPLPRSLEQLQLARNKVSRIPQGTFSNLENLTLLDLQNNKLVDNAFQRDTFKGLKNLMQLNMAKNALRNMPPRLPANTMQLFLDNNSIEGIPENYFNVIPKVAFLRLNHNKLSDEGLPSRGFDVSSILDLQLSHNQLTKVPRISAHLQHLHLDHNKIKSVNVSVICPSPSMLPAERDSFSYGPHLRYLRLDGNEIKPPIPMALMTCFRLLQAVII
+>DECOY_sp|O60938|KERA_HUMAN Keratocan OS=Homo sapiens OX=9606 GN=KERA PE=1 SV=1
+IIVAQLLRFCTMLAMPIPPKIENGDLRLYRLHPGYSFSDREAPLMSPSPCIVSVNVSKIKNHDLHLHQLHASIRPVKTLQNHSLQLDLISSVDFGRSPLGEDSLKNHNLRLFAVKPIVNFYNEPIGEISNNDLFLQMTNAPLRPPMNRLANKAMNLQMLNKLGKFTDRQFANDVLKNNQLDLLTLNELNSFTGQPIRSVKNRALQLQELSRPLPSPVEELENDELFLFLLKKLQSLAGKEIGYNTIKNKNLNIWRLQTANEFPKEPITEILNNQLYLYWIRSPIAPIEKLGRNECYLATPFSPPCFCEMPCEFDHITWDDSDHVEYVQRVSRSWVTDTIFLVWMIFCITGAM
+>sp|Q63HM1|KFA_HUMAN Kynurenine formamidase OS=Homo sapiens OX=9606 GN=AFMID PE=2 SV=2
+MMDVSGVGFPSKVPWKKMSAEELENQYCPSRWVVRLGAEEALRTYSQIGIEATTRARATRKSLLHVPYGDGEGEKVDIYFPDESSEALPFFLFFHGGYWQSGSKDESAFMVHPLTAQGVAVVIVAYGIAPKGTLDHMVDQVTRSVAFVQKRYPSNKGIYLCGHSAGAHLAAMMLLADWTKHGVTPNLRGFFLVSGVFDLEPIVYTSQNVALQLTLEDAQRNSPQLKVAQAQPVDPTCRVLVVVGQFDSPEFHRQSWEFYQTLCQGEWKASFEELHDVDHFEIVENLTQKDNVLTQIILKTIFQ
+>DECOY_sp|Q63HM1|KFA_HUMAN Kynurenine formamidase OS=Homo sapiens OX=9606 GN=AFMID PE=2 SV=2
+QFITKLIIQTLVNDKQTLNEVIEFHDVDHLEEFSAKWEGQCLTQYFEWSQRHFEPSDFQGVVVLVRCTPDVPQAQAVKLQPSNRQADELTLQLAVNQSTYVIPELDFVGSVLFFGRLNPTVGHKTWDALLMMAALHAGASHGCLYIGKNSPYRKQVFAVSRTVQDVMHDLTGKPAIGYAVIVVAVGQATLPHVMFASEDKSGSQWYGGHFFLFFPLAESSEDPFYIDVKEGEGDGYPVHLLSKRTARARTTAEIGIQSYTRLAEEAGLRVVWRSPCYQNELEEASMKKWPVKSPFGVGSVDMM
+>sp|P52732|KIF11_HUMAN Kinesin-like protein KIF11 OS=Homo sapiens OX=9606 GN=KIF11 PE=1 SV=2
+MASQPNSSAKKKEEKGKNIQVVVRCRPFNLAERKASAHSIVECDPVRKEVSVRTGGLADKSSRKTYTFDMVFGASTKQIDVYRSVVCPILDEVIMGYNCTIFAYGQTGTGKTFTMEGERSPNEEYTWEEDPLAGIIPRTLHQIFEKLTDNGTEFSVKVSLLEIYNEELFDLLNPSSDVSERLQMFDDPRNKRGVIIKGLEEITVHNKDEVYQILEKGAAKRTTAATLMNAYSSRSHSVFSVTIHMKETTIDGEELVKIGKLNLVDLAGSENIGRSGAVDKRAREAGNINQSLLTLGRVITALVERTPHVPYRESKLTRILQDSLGGRTRTSIIATISPASLNLEETLSTLEYAHRAKNILNKPEVNQKLTKKALIKEYTEEIERLKRDLAAAREKNGVYISEENFRVMSGKLTVQEEQIVELIEKIGAVEEELNRVTELFMDNKNELDQCKSDLQNKTQELETTQKHLQETKLQLVKEEYITSALESTEEKLHDAASKLLNTVEETTKDVSGLHSKLDRKKAVDQHNAEAQDIFGKNLNSLFNNMEELIKDGSSKQKAMLEVHKTLFGNLLSSSVSALDTITTVALGSLTSIPENVSTHVSQIFNMILKEQSLAAESKTVLQELINVLKTDLLSSLEMILSPTVVSILKINSQLKHIFKTSLTVADKIEDQKKELDGFLSILCNNLHELQENTICSLVESQKQCGNLTEDLKTIKQTHSQELCKLMNLWTERFCALEEKCENIQKPLSSVQENIQQKSKDIVNKMTFHSQKFCADSDGFSQELRNFNQEGTKLVEESVKHSDKLNGNLEKISQETEQRCESLNTRTVYFSEQWVSSLNEREQELHNLLEVVSQCCEASSSDITEKSDGRKAAHEKQHNIFLDQMTIDEDKLIAQNLELNETIKIGLTKLNCFLEQDLKLDIPTGTTPQRKSYLYPSTLVRTEPREHLLDQLKRKQPELLMMLNCSENNKEETIPDVDVEEAVLGQYTEEPLSQEPSVDAGVDCSSIGGVPFFQHKKSHGKDKENRGINTLERSKVEETTEHLVTKSRLPLRAQINL
+>DECOY_sp|P52732|KIF11_HUMAN Kinesin-like protein KIF11 OS=Homo sapiens OX=9606 GN=KIF11 PE=1 SV=2
+LNIQARLPLRSKTVLHETTEEVKSRELTNIGRNEKDKGHSKKHQFFPVGGISSCDVGADVSPEQSLPEETYQGLVAEEVDVDPITEEKNNESCNLMMLLEPQKRKLQDLLHERPETRVLTSPYLYSKRQPTTGTPIDLKLDQELFCNLKTLGIKITENLELNQAILKDEDITMQDLFINHQKEHAAKRGDSKETIDSSSAECCQSVVELLNHLEQERENLSSVWQESFYVTRTNLSECRQETEQSIKELNGNLKDSHKVSEEVLKTGEQNFNRLEQSFGDSDACFKQSHFTMKNVIDKSKQQINEQVSSLPKQINECKEELACFRETWLNMLKCLEQSHTQKITKLDETLNGCQKQSEVLSCITNEQLEHLNNCLISLFGDLEKKQDEIKDAVTLSTKFIHKLQSNIKLISVVTPSLIMELSSLLDTKLVNILEQLVTKSEAALSQEKLIMNFIQSVHTSVNEPISTLSGLAVTTITDLASVSSSLLNGFLTKHVELMAKQKSSGDKILEEMNNFLSNLNKGFIDQAEANHQDVAKKRDLKSHLGSVDKTTEEVTNLLKSAADHLKEETSELASTIYEEKVLQLKTEQLHKQTTELEQTKNQLDSKCQDLENKNDMFLETVRNLEEEVAGIKEILEVIQEEQVTLKGSMVRFNEESIYVGNKERAAALDRKLREIEETYEKILAKKTLKQNVEPKNLINKARHAYELTSLTEELNLSAPSITAIISTRTRGGLSDQLIRTLKSERYPVHPTREVLATIVRGLTLLSQNINGAERARKDVAGSRGINESGALDVLNLKGIKVLEEGDITTEKMHITVSFVSHSRSSYANMLTAATTRKAAGKELIQYVEDKNHVTIEELGKIIVGRKNRPDDFMQLRESVDSSPNLLDFLEENYIELLSVKVSFETGNDTLKEFIQHLTRPIIGALPDEEWTYEENPSREGEMTFTKGTGTQGYAFITCNYGMIVEDLIPCVVSRYVDIQKTSAGFVMDFTYTKRSSKDALGGTRVSVEKRVPDCEVISHASAKREALNFPRCRVVVQINKGKEEKKKASSNPQSAM
+>sp|Q9NS87|KIF15_HUMAN Kinesin-like protein KIF15 OS=Homo sapiens OX=9606 GN=KIF15 PE=1 SV=1
+MAPGCKTELRSVTNGQSNQPSNEGDAIKVFVRIRPPAERSGSADGEQNLCLSVLSSTSLRLHSNPEPKTFTFDHVADVDTTQESVFATVAKSIVESCMSGYNGTIFAYGQTGSGKTFTMMGPSESDNFSHNLRGVIPRSFEYLFSLIDREKEKAGAGKSFLCKCSFIEIYNEQIYDLLDSASAGLYLREHIKKGVFVVGAVEQVVTSAAEAYQVLSGGWRNRRVASTSMNRESSRSHAVFTITIESMEKSNEIVNIRTSLLNLVDLAGSERQKDTHAEGMRLKEAGNINRSLSCLGQVITALVDVGNGKQRHVCYRDSKLTFLLRDSLGGNAKTAIIANVHPGSRCFGETLSTLNFAQRAKLIKNKAVVNEDTQGNVSQLQAEVKRLKEQLAELASGQTPPESFLTRDKKKTNYMEYFQEAMLFFKKSEQEKKSLIEKVTQLEDLTLKKEKFIQSNKMIVKFREDQIIRLEKLHKESRGGFLPEEQDRLLSELRNEIQTLREQIEHHPRVAKYAMENHSLREENRRLRLLEPVKRAQEMDAQTIAKLEKAFSEISGMEKSDKNQQGFSPKAQKEPCLFANTEKLKAQLLQIQTELNNSKQEYEEFKELTRKRQLELESELQSLQKANLNLENLLEATKACKRQEVSQLNKIHAETLKIITTPTKAYQLHSRPVPKLSPEMGSFGSLYTQNSSILDNDILNEPVPPEMNEQAFEAISEELRTVQEQMSALQAKLDEEEHKNLKLQQHVDKLEHHSTQMQELFSSERIDWTKQQEELLSQLNVLEKQLQETQTKNDFLKSEVHDLRVVLHSADKELSSVKLEYSSFKTNQEKEFNKLSERHMHVQLQLDNLRLENEKLLESKACLQDSYDNLQEIMKFEIDQLSRNLQNFKKENETLKSDLNNLMELLEAEKERNNKLSLQFEEDKENSSKEILKVLEAVRQEKQKETAKCEQQMAKVQKLEESLLATEKVISSLEKSRDSDKKVVADLMNQIQELRTSVCEKTETIDTLKQELKDINCKYNSALVDREESRVLIKKQEVDILDLKETLRLRILSEDIERDMLCEDLAHATEQLNMLTEASKKHSGLLQSAQEELTKKEALIQELQHKLNQKKEEVEQKKNEYNFKMRQLEHVMDSAAEDPQSPKTPPHFQTHLAKLLETQEQEIEDGRASKTSLEHLVTKLNEDREVKNAEILRMKEQLREMENLRLESQQLIEKNWLLQGQLDDIKRQKENSDQNHPDNQQLKNEQEESIKERLAKSKIVEEMLKMKADLEEVQSALYNKEMECLRMTDEVERTQTLESKAFQEKEQLRSKLEEMYEERERTSQEMEMLRKQVECLAEENGKLVGHQNLHQKIQYVVRLKKENVRLAEETEKLRAENVFLKEKKRSES
+>DECOY_sp|Q9NS87|KIF15_HUMAN Kinesin-like protein KIF15 OS=Homo sapiens OX=9606 GN=KIF15 PE=1 SV=1
+SESRKKEKLFVNEARLKETEEALRVNEKKLRVVYQIKQHLNQHGVLKGNEEALCEVQKRLMEMEQSTREREEYMEELKSRLQEKEQFAKSELTQTREVEDTMRLCEMEKNYLASQVEELDAKMKLMEEVIKSKALREKISEEQENKLQQNDPHNQDSNEKQRKIDDLQGQLLWNKEILQQSELRLNEMERLQEKMRLIEANKVERDENLKTVLHELSTKSARGDEIEQEQTELLKALHTQFHPPTKPSQPDEAASDMVHELQRMKFNYENKKQEVEEKKQNLKHQLEQILAEKKTLEEQASQLLGSHKKSAETLMNLQETAHALDECLMDREIDESLIRLRLTEKLDLIDVEQKKILVRSEERDVLASNYKCNIDKLEQKLTDITETKECVSTRLEQIQNMLDAVVKKDSDRSKELSSIVKETALLSEELKQVKAMQQECKATEKQKEQRVAELVKLIEKSSNEKDEEFQLSLKNNREKEAELLEMLNNLDSKLTENEKKFNQLNRSLQDIEFKMIEQLNDYSDQLCAKSELLKENELRLNDLQLQVHMHRESLKNFEKEQNTKFSSYELKVSSLEKDASHLVVRLDHVESKLFDNKTQTEQLQKELVNLQSLLEEQQKTWDIRESSFLEQMQTSHHELKDVHQQLKLNKHEEEDLKAQLASMQEQVTRLEESIAEFAQENMEPPVPENLIDNDLISSNQTYLSGFSGMEPSLKPVPRSHLQYAKTPTTIIKLTEAHIKNLQSVEQRKCAKTAELLNELNLNAKQLSQLESELELQRKRTLEKFEEYEQKSNNLETQIQLLQAKLKETNAFLCPEKQAKPSFGQQNKDSKEMGSIESFAKELKAITQADMEQARKVPELLRLRRNEERLSHNEMAYKAVRPHHEIQERLTQIENRLESLLRDQEEPLFGGRSEKHLKELRIIQDERFKVIMKNSQIFKEKKLTLDELQTVKEILSKKEQESKKFFLMAEQFYEMYNTKKKDRTLFSEPPTQGSALEALQEKLRKVEAQLQSVNGQTDENVVAKNKILKARQAFNLTSLTEGFCRSGPHVNAIIATKANGGLSDRLLFTLKSDRYCVHRQKGNGVDVLATIVQGLCSLSRNINGAEKLRMGEAHTDKQRESGALDVLNLLSTRINVIENSKEMSEITITFVAHSRSSERNMSTSAVRRNRWGGSLVQYAEAASTVVQEVAGVVFVGKKIHERLYLGASASDLLDYIQENYIEIFSCKCLFSKGAGAKEKERDILSFLYEFSRPIVGRLNHSFNDSESPGMMTFTKGSGTQGYAFITGNYGSMCSEVISKAVTAFVSEQTTDVDAVHDFTFTKPEPNSHLRLSTSSLVSLCLNQEGDASGSREAPPRIRVFVKIADGENSPQNSQGNTVSRLETKCGPAM
+>sp|Q12756|KIF1A_HUMAN Kinesin-like protein KIF1A OS=Homo sapiens OX=9606 GN=KIF1A PE=1 SV=2
+MAGASVKVAVRVRPFNSREMSRDSKCIIQMSGSTTTIVNPKQPKETPKSFSFDYSYWSHTSPEDINYASQKQVYRDIGEEMLQHAFEGYNVCIFAYGQTGAGKSYTMMGKQEKDQQGIIPQLCEDLFSRINDTTNDNMSYSVEVSYMEIYCERVRDLLNPKNKGNLRVREHPLLGPYVEDLSKLAVTSYNDIQDLMDSGNKARTVAATNMNETSSRSHAVFNIIFTQKRHDAETNITTEKVSKISLVDLAGSERADSTGAKGTRLKEGANINKSLTTLGKVISALAEMDSGPNKNKKKKKTDFIPYRDSVLTWLLRENLGGNSRTAMVAALSPADINYDETLSTLRYADRAKQIRCNAVINEDPNNKLIRELKDEVTRLRDLLYAQGLGDITDMTNALVGMSPSSSLSALSSRAASVSSLHERILFAPGSEEAIERLKETEKIIAELNETWEEKLRRTEAIRMEREALLAEMGVAMREDGGTLGVFSPKKTPHLVNLNEDPLMSECLLYYIKDGITRVGREDGERRQDIVLSGHFIKEEHCVFRSDSRGGSEAVVTLEPCEGADTYVNGKKVTEPSILRSGNRIIMGKSHVFRFNHPEQARQERERTPCAETPAEPVDWAFAQRELLEKQGIDMKQEMEQRLQELEDQYRREREEATYLLEQQRLDYESKLEALQKQMDSRYYPEVNEEEEEPEDEVQWTERECELALWAFRKWKWYQFTSLRDLLWGNAIFLKEANAISVELKKKVQFQFVLLTDTLYSPLPPDLLPPEAAKDRETRPFPRTIVAVEVQDQKNGATHYWTLEKLRQRLDLMREMYDRAAEVPSSVIEDCDNVVTGGDPFYDRFPWFRLVGRAFVYLSNLLYPVPLVHRVAIVSEKGEVKGFLRVAVQAISADEEAPDYGSGVRQSGTAKISFDDQHFEKFQSESCPVVGMSRSGTSQEELRIVEGQGQGADVGPSADEVNNNTCSAVPPEGLLLDSSEKAALDGPLDAALDHLRLGNTFTFRVTVLQASSISAEYADIFCQFNFIHRHDEAFSTEPLKNTGRGPPLGFYHVQNIAVEVTKSFIEYIKSQPIVFEVFGHYQQHPFPPLCKDVLSPLRPSRRHFPRVMPLSKPVPATKLSTLTRPCPGPCHCKYDLLVYFEICELEANGDYIPAVVDHRGGMPCMGTFLLHQGIQRRITVTLLHETGSHIRWKEVRELVVGRIRNTPETDESLIDPNILSLNILSSGYIHPAQDDRTFYQFEAAWDSSMHNSLLLNRVTPYREKIYMTLSAYIEMENCTQPAVVTKDFCMVFYSRDAKLPASRSIRNLFGSGSLRASESNRVTGVYELSLCHVADAGSPGMQRRRRRVLDTSVAYVRGEENLAGWRPRSDSLILDHQWELEKLSLLQEVEKTRHYLLLREKLETAQRPVPEALSPAFSEDSESHGSSSASSPLSAEGRPSPLEAPNERQRELAVKCLRLLTHTFNREYTHSHVCVSASESKLSEMSVTLLRDPSMSPLGVATLTPSSTCPSLVEGRYGATDLRTPQPCSRPASPEPELLPEADSKKLPSPARATETDKEPQRLLVPDIQEIRVSPIVSKKGYLHFLEPHTSGWARRFVVVRRPYAYMYNSDKDTVERFVLNLATAQVEYSEDQQAMLKTPNTFAVCTEHRGILLQAASDKDMHDWLYAFNPLLAGTIRSKLSRRRSAQMRV
+>DECOY_sp|Q12756|KIF1A_HUMAN Kinesin-like protein KIF1A OS=Homo sapiens OX=9606 GN=KIF1A PE=1 SV=2
+VRMQASRRRSLKSRITGALLPNFAYLWDHMDKDSAAQLLIGRHETCVAFTNPTKLMAQQDESYEVQATALNLVFREVTDKDSNYMYAYPRRVVVFRRAWGSTHPELFHLYGKKSVIPSVRIEQIDPVLLRQPEKDTETARAPSPLKKSDAEPLLEPEPSAPRSCPQPTRLDTAGYRGEVLSPCTSSPTLTAVGLPSMSPDRLLTVSMESLKSESASVCVHSHTYERNFTHTLLRLCKVALERQRENPAELPSPRGEASLPSSASSSGHSESDESFAPSLAEPVPRQATELKERLLLYHRTKEVEQLLSLKELEWQHDLILSDSRPRWGALNEEGRVYAVSTDLVRRRRRQMGPSGADAVHCLSLEYVGTVRNSESARLSGSGFLNRISRSAPLKADRSYFVMCFDKTVVAPQTCNEMEIYASLTMYIKERYPTVRNLLLSNHMSSDWAAEFQYFTRDDQAPHIYGSSLINLSLINPDILSEDTEPTNRIRGVVLERVEKWRIHSGTEHLLTVTIRRQIGQHLLFTGMCPMGGRHDVVAPIYDGNAELECIEFYVLLDYKCHCPGPCPRTLTSLKTAPVPKSLPMVRPFHRRSPRLPSLVDKCLPPFPHQQYHGFVEFVIPQSKIYEIFSKTVEVAINQVHYFGLPPGRGTNKLPETSFAEDHRHIFNFQCFIDAYEASISSAQLVTVRFTFTNGLRLHDLAADLPGDLAAKESSDLLLGEPPVASCTNNNVEDASPGVDAGQGQGEVIRLEEQSTGSRSMGVVPCSESQFKEFHQDDFSIKATGSQRVGSGYDPAEEDASIAQVAVRLFGKVEGKESVIAVRHVLPVPYLLNSLYVFARGVLRFWPFRDYFPDGGTVVNDCDEIVSSPVEAARDYMERMLDLRQRLKELTWYHTAGNKQDQVEVAVITRPFPRTERDKAAEPPLLDPPLPSYLTDTLLVFQFQVKKKLEVSIANAEKLFIANGWLLDRLSTFQYWKWKRFAWLALECERETWQVEDEPEEEEENVEPYYRSDMQKQLAELKSEYDLRQQELLYTAEERERRYQDELEQLRQEMEQKMDIGQKELLERQAFAWDVPEAPTEACPTREREQRAQEPHNFRFVHSKGMIIRNGSRLISPETVKKGNVYTDAGECPELTVVAESGGRSDSRFVCHEEKIFHGSLVIDQRREGDERGVRTIGDKIYYLLCESMLPDENLNVLHPTKKPSFVGLTGGDERMAVGMEALLAEREMRIAETRRLKEEWTENLEAIIKETEKLREIAEESGPAFLIREHLSSVSAARSSLASLSSSPSMGVLANTMDTIDGLGQAYLLDRLRTVEDKLERILKNNPDENIVANCRIQKARDAYRLTSLTEDYNIDAPSLAAVMATRSNGGLNERLLWTLVSDRYPIFDTKKKKKNKNPGSDMEALASIVKGLTTLSKNINAGEKLRTGKAGTSDARESGALDVLSIKSVKETTINTEADHRKQTFIINFVAHSRSSTENMNTAAVTRAKNGSDMLDQIDNYSTVALKSLDEVYPGLLPHERVRLNGKNKPNLLDRVRECYIEMYSVEVSYSMNDNTTDNIRSFLDECLQPIIGQQDKEQKGMMTYSKGAGTQGYAFICVNYGEFAHQLMEEGIDRYVQKQSAYNIDEPSTHSWYSYDFSFSKPTEKPQKPNVITTTSGSMQIICKSDRSMERSNFPRVRVAVKVSAGAM
+>sp|O43896|KIF1C_HUMAN Kinesin-like protein KIF1C OS=Homo sapiens OX=9606 GN=KIF1C PE=1 SV=3
+MAGASVKVAVRVRPFNARETSQDAKCVVSMQGNTTSIINPKQSKDAPKSFTFDYSYWSHTSTEDPQFASQQQVYRDIGEEMLLHAFEGYNVCIFAYGQTGAGKSYTMMGRQEPGQQGIVPQLCEDLFSRVSENQSAQLSYSVEVSYMEIYCERVRDLLNPKSRGSLRVREHPILGPYVQDLSKLAVTSYADIADLMDCGNKARTVAATNMNETSSRSHAVFTIVFTQRCHDQLTGLDSEKVSKISLVDLAGSERADSSGARGMRLKEGANINKSLTTLGKVISALADMQSKKRKSDFIPYRDSVLTWLLKENLGGNSRTAMIAALSPADINYEETLSTLRYADRTKQIRCNAIINEDPNARLIRELQEEVARLRELLMAQGLSASALEGLKTEEGSVRGALPAVSSPPAPVSPSSPTTHNGELEPSFSPNTESQIGPEEAMERLQETEKIIAELNETWEEKLRKTEALRMEREALLAEMGVAVREDGGTVGVFSPKKTPHLVNLNEDPLMSECLLYHIKDGVTRVGQVDMDIKLTGQFIREQHCLFRSIPQPDGEVVVTLEPCEGAETYVNGKLVTEPLVLKSGNRIVMGKNHVFRFNHPEQARLERERGVPPPPGPPSEPVDWNFAQKELLEQQGIDIKLEMEKRLQDLENQYRKEKEEADLLLEQQRLYADSDSGDDSDKRSCEESWRLISSLREQLPPTTVQTIVKRCGLPSSGKRRAPRRVYQIPQRRRLQGKDPRWATMADLKMQAVKEICYEVALADFRHGRAEIEALAALKMRELCRTYGKPDGPGDAWRAVARDVWDTVGEEEGGGAGSGGGSEEGARGAEVEDLRAHIDKLTGILQEVKLQNSSKDRELQALRDRMLRMERVIPLAQDHEDENEEGGEVPWAPPEGSEAAEEAAPSDRMPSARPPSPPLSSWERVSRLMEEDPAFRRGRLRWLKQEQLRLQGLQGSGGRGGGLRRPPARFVPPHDCKLRFPFKSNPQHRESWPGMGSGEAPTPLQPPEEVTPHPATPARRPPSPRRSHHPRRNSLDGGGRSRGAGSAQPEPQHFQPKKHNSYPQPPQPYPAQRPPGPRYPPYTTPPRMRRQRSAPDLKESGAAV
+>DECOY_sp|O43896|KIF1C_HUMAN Kinesin-like protein KIF1C OS=Homo sapiens OX=9606 GN=KIF1C PE=1 SV=3
+VAAGSEKLDPASRQRRMRPPTTYPPYRPGPPRQAPYPQPPQPYSNHKKPQFHQPEPQASGAGRSRGGGDLSNRRPHHSRRPSPPRRAPTAPHPTVEEPPQLPTPAEGSGMGPWSERHQPNSKFPFRLKCDHPPVFRAPPRRLGGGRGGSGQLGQLRLQEQKLWRLRGRRFAPDEEMLRSVREWSSLPPSPPRASPMRDSPAAEEAAESGEPPAWPVEGGEENEDEHDQALPIVREMRLMRDRLAQLERDKSSNQLKVEQLIGTLKDIHARLDEVEAGRAGEESGGGSGAGGGEEEGVTDWVDRAVARWADGPGDPKGYTRCLERMKLAALAEIEARGHRFDALAVEYCIEKVAQMKLDAMTAWRPDKGQLRRRQPIQYVRRPARRKGSSPLGCRKVITQVTTPPLQERLSSILRWSEECSRKDSDDGSDSDAYLRQQELLLDAEEKEKRYQNELDQLRKEMELKIDIGQQELLEKQAFNWDVPESPPGPPPPVGRERELRAQEPHNFRFVHNKGMVIRNGSKLVLPETVLKGNVYTEAGECPELTVVVEGDPQPISRFLCHQERIFQGTLKIDMDVQGVRTVGDKIHYLLCESMLPDENLNVLHPTKKPSFVGVTGGDERVAVGMEALLAEREMRLAETKRLKEEWTENLEAIIKETEQLREMAEEPGIQSETNPSFSPELEGNHTTPSSPSVPAPPSSVAPLAGRVSGEETKLGELASASLGQAMLLERLRAVEEQLERILRANPDENIIANCRIQKTRDAYRLTSLTEEYNIDAPSLAAIMATRSNGGLNEKLLWTLVSDRYPIFDSKRKKSQMDALASIVKGLTTLSKNINAGEKLRMGRAGSSDARESGALDVLSIKSVKESDLGTLQDHCRQTFVITFVAHSRSSTENMNTAAVTRAKNGCDMLDAIDAYSTVALKSLDQVYPGLIPHERVRLSGRSKPNLLDRVRECYIEMYSVEVSYSLQASQNESVRSFLDECLQPVIGQQGPEQRGMMTYSKGAGTQGYAFICVNYGEFAHLLMEEGIDRYVQQQSAFQPDETSTHSWYSYDFTFSKPADKSQKPNIISTTNGQMSVVCKADQSTERANFPRVRVAVKVSAGAM
+>sp|Q02241|KIF23_HUMAN Kinesin-like protein KIF23 OS=Homo sapiens OX=9606 GN=KIF23 PE=1 SV=3
+MKSARAKTPRKPTVKKGSQTNLKDPVGVYCRVRPLGFPDQECCIEVINNTTVQLHTPEGYRLNRNGDYKETQYSFKQVFGTHTTQKELFDVVANPLVNDLIHGKNGLLFTYGVTGSGKTHTMTGSPGEGGLLPRCLDMIFNSIGSFQAKRYVFKSNDRNSMDIQCEVDALLERQKREAMPNPKTSSSKRQVDPEFADMITVQEFCKAEEVDEDSVYGVFVSYIEIYNNYIYDLLEEVPFDPIKPKPPQSKLLREDKNHNMYVAGCTEVEVKSTEEAFEVFWRGQKKRRIANTHLNRESSRSHSVFNIKLVQAPLDADGDNVLQEKEQITISQLSLVDLAGSERTNRTRAEGNRLREAGNINQSLMTLRTCMDVLRENQMYGTNKMVPYRDSKLTHLFKNYFDGEGKVRMIVCVNPKAEDYEENLQVMRFAEVTQEVEVARPVDKAICGLTPGRRYRNQPRGPVGNEPLVTDVVLQSFPPLPSCEILDINDEQTLPRLIEALEKRHNLRQMMIDEFNKQSNAFKALLQEFDNAVLSKENHMQGKLNEKEKMISGQKLEIERLEKKNKTLEYKIEILEKTTTIYEEDKRNLQQELETQNQKLQRQFSDKRRLEARLQGMVTETTMKWEKECERRVAAKQLEMQNKLWVKDEKLKQLKAIVTEPKTEKPERPSRERDREKVTQRSVSPSPVPLSSNYIAQISNGQQLMSQPQLHRRSNSCSSISVASCISEWEQKIPTYNTPLKVTSIARRRQQEPGQSKTCIVSDRRRGMYWTEGREVVPTFRNEIEIEEDHCGRLLFQPDQNAPPIRLRHRRSRSAGDRWVDHKPASNMQTETVMQPHVPHAITVSVANEKALAKCEKYMLTHQELASDGEIETKLIKGDIYKTRGGGQSVQFTDIETLKQESPNGSRKRRSSTVAPAQPDGAESEWTDVETRCSVAVEMRAGSQLGPGYQHHAQPKRKKP
+>DECOY_sp|Q02241|KIF23_HUMAN Kinesin-like protein KIF23 OS=Homo sapiens OX=9606 GN=KIF23 PE=1 SV=3
+PKKRKPQAHHQYGPGLQSGARMEVAVSCRTEVDTWESEAGDPQAPAVTSSRRKRSGNPSEQKLTEIDTFQVSQGGGRTKYIDGKILKTEIEGDSALEQHTLMYKECKALAKENAVSVTIAHPVHPQMVTETQMNSAPKHDVWRDGASRSRRHRLRIPPANQDPQFLLRGCHDEEIEIENRFTPVVERGETWYMGRRRDSVICTKSQGPEQQRRRAISTVKLPTNYTPIKQEWESICSAVSISSCSNSRRHLQPQSMLQQGNSIQAIYNSSLPVPSPSVSRQTVKERDRERSPREPKETKPETVIAKLQKLKEDKVWLKNQMELQKAAVRRECEKEWKMTTETVMGQLRAELRRKDSFQRQLKQNQTELEQQLNRKDEEYITTTKELIEIKYELTKNKKELREIELKQGSIMKEKENLKGQMHNEKSLVANDFEQLLAKFANSQKNFEDIMMQRLNHRKELAEILRPLTQEDNIDLIECSPLPPFSQLVVDTVLPENGVPGRPQNRYRRGPTLGCIAKDVPRAVEVEQTVEAFRMVQLNEEYDEAKPNVCVIMRVKGEGDFYNKFLHTLKSDRYPVMKNTGYMQNERLVDMCTRLTMLSQNINGAERLRNGEARTRNTRESGALDVLSLQSITIQEKEQLVNDGDADLPAQVLKINFVSHSRSSERNLHTNAIRRKKQGRWFVEFAEETSKVEVETCGAVYMNHNKDERLLKSQPPKPKIPDFPVEELLDYIYNNYIEIYSVFVGYVSDEDVEEAKCFEQVTIMDAFEPDVQRKSSSTKPNPMAERKQRELLADVECQIDMSNRDNSKFVYRKAQFSGISNFIMDLCRPLLGGEGPSGTMTHTKGSGTVGYTFLLGNKGHILDNVLPNAVVDFLEKQTTHTGFVQKFSYQTEKYDGNRNLRYGEPTHLQVTTNNIVEICCEQDPFGLPRVRCYVGVPDKLNTQSGKKVTPKRPTKARASKM
+>sp|Q2VIQ3|KIF4B_HUMAN Chromosome-associated kinesin KIF4B OS=Homo sapiens OX=9606 GN=KIF4B PE=2 SV=2
+MKEEVKGIPVRVALRCRPLVPKEISEGCQMCLSFVPGETQVVVGTDKSFTYDFVFDPCTEQEEVFNKAVAPLIKGIFKGYNATVLAYGQTGSGKTYSMGGAYTAEQENEPTVGIIPRVIQLLFKEIDKKSDFEFTLKVSYLEIYNEEILDLLCPSREKAQINIREDPKEGIKIVGLTEKTVLVALDTVSCLEQGNNSRTVASTAMNSQSSRSHAIFTISIEQRKKSDKNCSFRSKLHLVDLAGSERQKKTKAEGDRLKEGININRGLLCLGNVISALGDDKKGSFVPYRDSKLTRLLQDSLGGNSHTLMIACVSPADSNLEETLSTLRYADRARKIKNKPIVNIDPHTAELNHLKQQVQQLQVLLLQAHGGTLPGSINAEPSENLQSLMEKNQSLVEENEKLSRCLSKAAGQTAQMLERIILTEQVNEKLNAKLEELRQHVACKLDLQKLVETLEDQELKENVEIICNLQQLITQLSDETVACTAAAIDTAVEEEAQVETSPETSRSSDAFTTQHALHQAQMSKEVVELNNALALKEALVRKMTQNDNQLQPIQFQYQDNIKNLELEVINLQKEKEELVRELQTAKKNVNQAKLSEHRHKLLQELEGQIADLKKKLNEQSKLLKLKESTERTVSKLNQEIWMMKNQRVQLMRQMKEDAEKFRQWKQKKDKEVIQLKERDRKRQYELLKLERNFQKQSSVLRRKTEEAAAANKRLKDALQKQREVTDKRKETQSHGKEGIAARVRNWLGNEIEVMVSTEEAKRHLNDLLEDRKILAQDVVQLKEKKESRENPPPKLRKCTFSLSEVHGQVLESEDCITKQIESLETEMELRSAQIADLQQKLLDAESEDRPKQCWENIATILEAKCALKYLIGELVSSKIHVTKLENSLRQSKASCADMQKMLFEEQNHFSEIETELQAELVRMEQQHQEKVLYLVSQLQESQMAEKQLEKSASEKEQQLVSTLQCQDEELEKMREVCEQNQQLLQENEIIKQKLILLQVASRQKHLPNDTLLSPDSSFEYIPPKPKPSRVKEKFLEQSMDIEDLKYCSEHSVNEHEDGDGDGDSDEGDDEEWKPTKLVKVSRKNIQGCSCKGWCGNKQCGCRKQKSDCGVDCSCDPTKCRNRQQGKDSLGTVEQTQDSEGSFKLEDPTEVTPGLSFFNPVCATPNSKILKEMCDMEQVLSKKTAPAPSPFDLPESKHGATEYQQNKPPGKKKKRALASNTSFFSGCSPIEEEAH
+>DECOY_sp|Q2VIQ3|KIF4B_HUMAN Chromosome-associated kinesin KIF4B OS=Homo sapiens OX=9606 GN=KIF4B PE=2 SV=2
+HAEEEIPSCGSFFSTNSALARKKKKGPPKNQQYETAGHKSEPLDFPSPAPATKKSLVQEMDCMEKLIKSNPTACVPNFFSLGPTVETPDELKFSGESDQTQEVTGLSDKGQQRNRCKTPDCSCDVGCDSKQKRCGCQKNGCWGKCSCGQINKRSVKVLKTPKWEEDDGEDSDGDGDGDEHENVSHESCYKLDEIDMSQELFKEKVRSPKPKPPIYEFSSDPSLLTDNPLHKQRSAVQLLILKQKIIENEQLLQQNQECVERMKELEEDQCQLTSVLQQEKESASKELQKEAMQSEQLQSVLYLVKEQHQQEMRVLEAQLETEIESFHNQEEFLMKQMDACSAKSQRLSNELKTVHIKSSVLEGILYKLACKAELITAINEWCQKPRDESEADLLKQQLDAIQASRLEMETELSEIQKTICDESELVQGHVESLSFTCKRLKPPPNERSEKKEKLQVVDQALIKRDELLDNLHRKAEETSVMVEIENGLWNRVRAAIGEKGHSQTEKRKDTVERQKQLADKLRKNAAAAEETKRRLVSSQKQFNRELKLLEYQRKRDREKLQIVEKDKKQKWQRFKEADEKMQRMLQVRQNKMMWIEQNLKSVTRETSEKLKLLKSQENLKKKLDAIQGELEQLLKHRHESLKAQNVNKKATQLERVLEEKEKQLNIVELELNKINDQYQFQIPQLQNDNQTMKRVLAEKLALANNLEVVEKSMQAQHLAHQTTFADSSRSTEPSTEVQAEEEVATDIAAATCAVTEDSLQTILQQLNCIIEVNEKLEQDELTEVLKQLDLKCAVHQRLEELKANLKENVQETLIIRELMQATQGAAKSLCRSLKENEEVLSQNKEMLSQLNESPEANISGPLTGGHAQLLLVQLQQVQQKLHNLEATHPDINVIPKNKIKRARDAYRLTSLTEELNSDAPSVCAIMLTHSNGGLSDQLLRTLKSDRYPVFSGKKDDGLASIVNGLCLLGRNINIGEKLRDGEAKTKKQRESGALDVLHLKSRFSCNKDSKKRQEISITFIAHSRSSQSNMATSAVTRSNNGQELCSVTDLAVLVTKETLGVIKIGEKPDERINIQAKERSPCLLDLIEENYIELYSVKLTFEFDSKKDIEKFLLQIVRPIIGVTPENEQEATYAGGMSYTKGSGTQGYALVTANYGKFIGKILPAVAKNFVEEQETCPDFVFDYTFSKDTGVVVQTEGPVFSLCMQCGESIEKPVLPRCRLAVRVPIGKVEEKM
+>sp|O60282|KIF5C_HUMAN Kinesin heavy chain isoform 5C OS=Homo sapiens OX=9606 GN=KIF5C PE=1 SV=1
+MADPAECSIKVMCRFRPLNEAEILRGDKFIPKFKGDETVVIGQGKPYVFDRVLPPNTTQEQVYNACAKQIVKDVLEGYNGTIFAYGQTSSGKTHTMEGKLHDPQLMGIIPRIAHDIFDHIYSMDENLEFHIKVSYFEIYLDKIRDLLDVSKTNLAVHEDKNRVPYVKGCTERFVSSPEEVMDVIDEGKANRHVAVTNMNEHSSRSHSIFLINIKQENVETEKKLSGKLYLVDLAGSEKVSKTGAEGAVLDEAKNINKSLSALGNVISALAEGTKTHVPYRDSKMTRILQDSLGGNCRTTIVICCSPSVFNEAETKSTLMFGQRAKTIKNTVSVNLELTAEEWKKKYEKEKEKNKTLKNVIQHLEMELNRWRNGEAVPEDEQISAKDQKNLEPCDNTPIIDNIAPVVAGISTEEKEKYDEEISSLYRQLDDKDDEINQQSQLAEKLKQQMLDQDELLASTRRDYEKIQEELTRLQIENEAAKDEVKEVLQALEELAVNYDQKSQEVEDKTRANEQLTDELAQKTTTLTTTQRELSQLQELSNHQKKRATEILNLLLKDLGEIGGIIGTNDVKTLADVNGVIEEEFTMARLYISKMKSEVKSLVNRSKQLESAQMDSNRKMNASERELAACQLLISQHEAKIKSLTDYMQNMEQKRRQLEESQDSLSEELAKLRAQEKMHEVSFQDKEKEHLTRLQDAEEMKKALEQQMESHREAHQKQLSRLRDEIEEKQKIIDEIRDLNQKLQLEQEKLSSDYNKLKIEDQEREMKLEKLLLLNDKREQAREDLKGLEETVSRELQTLHNLRKLFVQDLTTRVKKSVELDNDDGGGSAAQKQKISFLENNLEQLTKVHKQLVRDNADLRCELPKLEKRLRATAERVKALESALKEAKENAMRDRKRYQQEVDRIKEAVRAKNMARRAHSAQIAKPIRPGHYPASSPTAVHAIRGGGGSSSNSTHYQK
+>DECOY_sp|O60282|KIF5C_HUMAN Kinesin heavy chain isoform 5C OS=Homo sapiens OX=9606 GN=KIF5C PE=1 SV=1
+KQYHTSNSSSGGGGRIAHVATPSSAPYHGPRIPKAIQASHARRAMNKARVAEKIRDVEQQYRKRDRMANEKAEKLASELAKVREATARLRKELKPLECRLDANDRVLQKHVKTLQELNNELFSIKQKQAASGGGDDNDLEVSKKVRTTLDQVFLKRLNHLTQLERSVTEELGKLDERAQERKDNLLLLKELKMEREQDEIKLKNYDSSLKEQELQLKQNLDRIEDIIKQKEEIEDRLRSLQKQHAERHSEMQQELAKKMEEADQLRTLHEKEKDQFSVEHMKEQARLKALEESLSDQSEELQRRKQEMNQMYDTLSKIKAEHQSILLQCAALERESANMKRNSDMQASELQKSRNVLSKVESKMKSIYLRAMTFEEEIVGNVDALTKVDNTGIIGGIEGLDKLLLNLIETARKKQHNSLEQLQSLERQTTTLTTTKQALEDTLQENARTKDEVEQSKQDYNVALEELAQLVEKVEDKAAENEIQLRTLEEQIKEYDRRTSALLEDQDLMQQKLKEALQSQQNIEDDKDDLQRYLSSIEEDYKEKEETSIGAVVPAINDIIPTNDCPELNKQDKASIQEDEPVAEGNRWRNLEMELHQIVNKLTKNKEKEKEYKKKWEEATLELNVSVTNKITKARQGFMLTSKTEAENFVSPSCCIVITTRCNGGLSDQLIRTMKSDRYPVHTKTGEALASIVNGLASLSKNINKAEDLVAGEAGTKSVKESGALDVLYLKGSLKKETEVNEQKINILFISHSRSSHENMNTVAVHRNAKGEDIVDMVEEPSSVFRETCGKVYPVRNKDEHVALNTKSVDLLDRIKDLYIEFYSVKIHFELNEDMSYIHDFIDHAIRPIIGMLQPDHLKGEMTHTKGSSTQGYAFITGNYGELVDKVIQKACANYVQEQTTNPPLVRDFVYPKGQGIVVTEDGKFKPIFKDGRLIEAENLPRFRCMVKISCEAPDAM
+>sp|Q6ZMV9|KIF6_HUMAN Kinesin-like protein KIF6 OS=Homo sapiens OX=9606 GN=KIF6 PE=1 SV=3
+MVKQTIQIFARVKPPVRKHQQGIYSIDEDEKLIPSLEIILPRDLADGFVNNKRESYKFKFQRIFDQDANQETVFENIAKPVAGSVLAGYNGTIFAYGQTGSGKTFTITGGAERYSDRGIIPRTLSYIFEQLQKDSSKIYTTHISYLEIYNECGYDLLDPRHEASSLEDLPKVTILEDPDQNIHLKNLTLHQATTEEEALNLLFLGDTNRMIAETPMNQASTRSHCIFTIHLSSKEPGSATVRHAKLHLVDLAGSERVAKTGVGGHLLTEAKYINLSLHYLEQVIIALSEKHRSHIPYRNSMMTSVLRDSLGGNCMTTMIATLSLEKRNLDESISTCRFAQRVALIKNEAVLNEEINPRLVIKRLQKEIQELKDELAMVTGEQRTEALTEAELLQLEKLITSFLEDQDSDSRLEVGADMRKVHHCFHHLKKLLNDKKILENNTVSSESKDQDCQEPLKEEEYRKLRDILKQRDNEINILVNMLKKEKKKAQEALHLAGMDRREFRQSQSPPFRLGNPEEGQRMRLSSAPSQAQDFSILGKRSSLLHKKIGMREEMSLGCQEAFEIFKRDHADSVTIDDNKQILKQRFSEAKALGESINEARSKIGHLKEEITQRHIQQVALGISENMAVPLMPDQQEEKLRSQLEEEKRRYKTMFTRLKALKVEIEHLQLLMDKAKVKLQKEFEVWWAEEATNLQVNSPAVNSLDHTKPFLQTSDSQHEWSQLLSNKSSGGWEVQDQGTGRFDVCDVNARKILPSPCPSPHSQKQSSTSTPLEDSIPKRPVSSIPLTGDSQTDSDIIAFIKARQSILQKQCLGSN
+>DECOY_sp|Q6ZMV9|KIF6_HUMAN Kinesin-like protein KIF6 OS=Homo sapiens OX=9606 GN=KIF6 PE=1 SV=3
+NSGLCQKQLISQRAKIFAIIDSDTQSDGTLPISSVPRKPISDELPTSTSSQKQSHPSPCPSPLIKRANVDCVDFRGTGQDQVEWGGSSKNSLLQSWEHQSDSTQLFPKTHDLSNVAPSNVQLNTAEEAWWVEFEKQLKVKAKDMLLQLHEIEVKLAKLRTFMTKYRRKEEELQSRLKEEQQDPMLPVAMNESIGLAVQQIHRQTIEEKLHGIKSRAENISEGLAKAESFRQKLIQKNDDITVSDAHDRKFIEFAEQCGLSMEERMGIKKHLLSSRKGLISFDQAQSPASSLRMRQGEEPNGLRFPPSQSQRFERRDMGALHLAEQAKKKEKKLMNVLINIENDRQKLIDRLKRYEEEKLPEQCDQDKSESSVTNNELIKKDNLLKKLHHFCHHVKRMDAGVELRSDSDQDELFSTILKELQLLEAETLAETRQEGTVMALEDKLEQIEKQLRKIVLRPNIEENLVAENKILAVRQAFRCTSISEDLNRKELSLTAIMTTMCNGGLSDRLVSTMMSNRYPIHSRHKESLAIIVQELYHLSLNIYKAETLLHGGVGTKAVRESGALDVLHLKAHRVTASGPEKSSLHITFICHSRTSAQNMPTEAIMRNTDGLFLLNLAEEETTAQHLTLNKLHINQDPDELITVKPLDELSSAEHRPDLLDYGCENYIELYSIHTTYIKSSDKQLQEFIYSLTRPIIGRDSYREAGGTITFTKGSGTQGYAFITGNYGALVSGAVPKAINEFVTEQNADQDFIRQFKFKYSERKNNVFGDALDRPLIIELSPILKEDEDISYIGQQHKRVPPKVRAFIQITQKVM
+>sp|Q9HAQ2|KIF9_HUMAN Kinesin-like protein KIF9 OS=Homo sapiens OX=9606 GN=KIF9 PE=1 SV=4
+MGTRKKVHAFVRVKPTDDFAHEMIRYGDDKRSIDIHLKKDIRRGVVNNQQTDWSFKLDGVLHDASQDLVYETVAKDVVSQALDGYNGTIMCYGQTGAGKTYTMMGATENYKHRGILPRALQQVFRMIEERPTHAITVRVSYLEIYNESLFDLLSTLPYVGPSVTPMTIVENPQGVFIKGLSVHLTSQEEDAFSLLFEGETNRIIASHTMNKNSSRSHCIFTIYLEAHSRTLSEEKYITSKINLVDLAGSERLGKSGSEGQVLKEATYINKSLSFLEQAIIALGDQKRDHIPFRQCKLTHALKDSLGGNCNMVLVTNIYGEAAQLEETLSSLRFASRMKLVTTEPAINEKYDAERMVKNLEKELALLKQELAIHDSLTNRTFVTYDPMDEIQIAEINSQVRRYLEGTLDEIDIISLRQIKEVFNQFRVVLSQQEQEVESTLRRKYTLIDRNDFAAISAIQKAGLVDVDGHLVGEPEGQNFGLGVAPFSTKPGKKAKSKKTFKEPLSSLARKEGASSPVNGKDLDYVSTSKTQLVPSSKDGDVKDMLSRDRETSSIEPLPSDSPKEELRPIRPDTPPSKPVAFEEFKNEQGSEINRIFKENKSILNERRKRASETTQHINAIKREIDVTKEALNFQKSLREKQGKYENKGLMIIDEEEFLLILKLKDLKKQYRSEYQDLRDLRAEIQYCQHLVDQCRHRLLMEFDIWYNESFVIPEDMQMALKPGGSIRPGMVPVNRIVSLGEDDQDKFSQLQQRVLPEGPDSISFYNAKVKIEQKHNYLKTMMGLQQAHRK
+>DECOY_sp|Q9HAQ2|KIF9_HUMAN Kinesin-like protein KIF9 OS=Homo sapiens OX=9606 GN=KIF9 PE=1 SV=4
+KRHAQQLGMMTKLYNHKQEIKVKANYFSISDPGEPLVRQQLQSFKDQDDEGLSVIRNVPVMGPRISGGPKLAMQMDEPIVFSENYWIDFEMLLRHRCQDVLHQCYQIEARLDRLDQYESRYQKKLDKLKLILLFEEEDIIMLGKNEYKGQKERLSKQFNLAEKTVDIERKIANIHQTTESARKRRENLISKNEKFIRNIESGQENKFEEFAVPKSPPTDPRIPRLEEKPSDSPLPEISSTERDRSLMDKVDGDKSSPVLQTKSTSVYDLDKGNVPSSAGEKRALSSLPEKFTKKSKAKKGPKTSFPAVGLGFNQGEPEGVLHGDVDVLGAKQIASIAAFDNRDILTYKRRLTSEVEQEQQSLVVRFQNFVEKIQRLSIIDIEDLTGELYRRVQSNIEAIQIEDMPDYTVFTRNTLSDHIALEQKLLALEKELNKVMREADYKENIAPETTVLKMRSAFRLSSLTEELQAAEGYINTVLVMNCNGGLSDKLAHTLKCQRFPIHDRKQDGLAIIAQELFSLSKNIYTAEKLVQGESGSKGLRESGALDVLNIKSTIYKEESLTRSHAELYITFICHSRSSNKNMTHSAIIRNTEGEFLLSFADEEQSTLHVSLGKIFVGQPNEVITMPTVSPGVYPLTSLLDFLSENYIELYSVRVTIAHTPREEIMRFVQQLARPLIGRHKYNETAGMMTYTKGAGTQGYCMITGNYGDLAQSVVDKAVTEYVLDQSADHLVGDLKFSWDTQQNNVVGRRIDKKLHIDISRKDDGYRIMEHAFDDTPKVRVFAHVKKRTGM
+>sp|Q96AC6|KIFC2_HUMAN Kinesin-like protein KIFC2 OS=Homo sapiens OX=9606 GN=KIFC2 PE=2 SV=1
+MYAFYSLLIYIFYSLFRRDGGAAAAAEPGDPAQRARKPRGRRRPDLPAPELWTELTGLAASSEPEDGSEGAAEGRAAAVSLEEALLRLAEFLSVQLGAEESCGGPADLGQSGEVPSLLTVTSQLLALLAWLRSPRGRQALLQGTQPAPRVRPPSPDGSTSQEESPSHFTAVPGEPLGDETQGQQPLQLEEDQRAWQRLEQLILGQLEELKQQLEQQEEELGRLRLGVGATDSEKRVQHLTLENEALKQSLSLMRDLLLHWGPGPPIRAPQEEAEALLELQGRLQEAQDTTEALRAQLGVQEVQLQGLQGALQQLQQETEQNCRRELQQMHGQLAGLRARMASLRQGCGDLRGLVSTFTQSCQGSLSEARGQVSWALGALSSGGPGTQLPEGQQGPPAGCPGRLPELKGNIRVLCRLRPGTSSSLVSVEPGPGGTVTTCYRGRHRRFRLDWVFPPDASQEEVFRELEPAVLSCLRGYSVCIFTYGQTGTGKTYSMEGPPEDPGIVPRALQSLFREMGAGRQHRVTLSMVEIYNEAVRDLLAPGPPERLAVRQGPEGQGGIQVAGLTHWDVPNLETLHQMLKLGRSNRATAATAMNQRSSRSHALVTLTLRAASPPRAPGTAGTLHLVDLAGSERARKAGAAGPPRGDPDGARRLREAQTINRSLLALGGVMAALRAHRPHVPFRDSQLTRLLQPALGPGTTAVLLLQVGAGAGQVCACRSPPTRARPPAPLARRSPRGRRISGRQSAPSSSPTEWVKWSWGQPGAAGSRAPPGRLLPSAPTLRSPGPPAPLRRPLAVLHAPVPTTARARLSRPQRACPSSPGSRPCPWGLRPGLCWQRR
+>DECOY_sp|Q96AC6|KIFC2_HUMAN Kinesin-like protein KIFC2 OS=Homo sapiens OX=9606 GN=KIFC2 PE=2 SV=1
+RRQWCLGPRLGWPCPRSGPSSPCARQPRSLRARATTPVPAHLVALPRRLPAPPGPSRLTPASPLLRGPPARSGAAGPQGWSWKVWETPSSSPASQRGSIRRGRPSRRALPAPPRARTPPSRCACVQGAGAGVQLLLVATTGPGLAPQLLRTLQSDRFPVHPRHARLAAMVGGLALLSRNITQAERLRRAGDPDGRPPGAAGAKRARESGALDVLHLTGATGPARPPSAARLTLTVLAHSRSSRQNMATAATARNSRGLKLMQHLTELNPVDWHTLGAVQIGGQGEPGQRVALREPPGPALLDRVAENYIEVMSLTVRHQRGAGMERFLSQLARPVIGPDEPPGEMSYTKGTGTQGYTFICVSYGRLCSLVAPELERFVEEQSADPPFVWDLRFRRHRGRYCTTVTGGPGPEVSVLSSSTGPRLRCLVRINGKLEPLRGPCGAPPGQQGEPLQTGPGGSSLAGLAWSVQGRAESLSGQCSQTFTSVLGRLDGCGQRLSAMRARLGALQGHMQQLERRCNQETEQQLQQLAGQLGQLQVEQVGLQARLAETTDQAEQLRGQLELLAEAEEQPARIPPGPGWHLLLDRMLSLSQKLAENELTLHQVRKESDTAGVGLRLRGLEEEQQELQQKLEELQGLILQELRQWARQDEELQLPQQGQTEDGLPEGPVATFHSPSEEQSTSGDPSPPRVRPAPQTGQLLAQRGRPSRLWALLALLQSTVTLLSPVEGSQGLDAPGGCSEEAGLQVSLFEALRLLAEELSVAAARGEAAGESGDEPESSAALGTLETWLEPAPLDPRRRGRPKRARQAPDGPEAAAAAGGDRRFLSYFIYILLSYFAYM
+>sp|Q1MX18|INSC_HUMAN Protein inscuteable homolog OS=Homo sapiens OX=9606 GN=INSC PE=1 SV=1
+MRRPPGNGEAASEGPGGWGLWGVQESRRLCCAGHDRCKQALLQIGINMMALPGGRHLDSVTLPGQRLHLMQVDSVQRWMEDLKLMTECECMCVLQAKPISLEEDAQGDLILAGGPGPGDPLQLLLKRGWVISTELRRIGQKLAQDRWARVHSMSVRLTCHARSMVSEYSAVSRNSLKEMGEIEKLLMEKCSELSAVTERCLQVENEHVLKSMKACVSETLSMLGQHFGQLLELALTREVQALVRKIDASDNIYTTESTTGNLFSLTQEGAPLCRIIAKEGGVVALFKVCRQDSFRCLYPQALRTLASICCVEEGVHQLEKVDGVLCLADILTDNSHSEATRAEAAAVVAQVTSPHLPVTQHLSSFLESMEEIVTALVKLCQEASSGEVFLLASAALANITFFDTMACEMLLQLNAIRVLLEACSDKQRVDTPYTRDQIVTILANMSVLEQCASDIIQENGVQLIMGMLSEKPRSGTPAEVAACERVQQKAAVTLARLSRDPDVAREAVRLSCMSRLIELCRSPSERNSSDAVLVACLAALRRLAGVCPEGLQDSDFQQLVQPRLVDSFLLCSNMEESFV
+>DECOY_sp|Q1MX18|INSC_HUMAN Protein inscuteable homolog OS=Homo sapiens OX=9606 GN=INSC PE=1 SV=1
+VFSEEMNSCLLFSDVLRPQVLQQFDSDQLGEPCVGALRRLAALCAVLVADSSNRESPSRCLEILRSMCSLRVAERAVDPDRSLRALTVAAKQQVRECAAVEAPTGSRPKESLMGMILQVGNEQIIDSACQELVSMNALITVIQDRTYPTDVRQKDSCAELLVRIANLQLLMECAMTDFFTINALAASALLFVEGSSAEQCLKVLATVIEEMSELFSSLHQTVPLHPSTVQAVVAAAEARTAESHSNDTLIDALCLVGDVKELQHVGEEVCCISALTRLAQPYLCRFSDQRCVKFLAVVGGEKAIIRCLPAGEQTLSFLNGTTSETTYINDSADIKRVLAQVERTLALELLQGFHQGLMSLTESVCAKMSKLVHENEVQLCRETVASLESCKEMLLKEIEGMEKLSNRSVASYESVMSRAHCTLRVSMSHVRAWRDQALKQGIRRLETSIVWGRKLLLQLPDGPGPGGALILDGQADEELSIPKAQLVCMCECETMLKLDEMWRQVSDVQMLHLRQGPLTVSDLHRGGPLAMMNIGIQLLAQKCRDHGACCLRRSEQVGWLGWGGPGESAAEGNGPPRRM
+>sp|Q9Y581|INSL6_HUMAN Insulin-like peptide INSL6 OS=Homo sapiens OX=9606 GN=INSL6 PE=2 SV=2
+MPRLLRLSLLWLGLLLVRFSRELSDISSARKLCGRYLVKEIEKLCGHANWSQFRFEEETPFSRLIAQASEKVEAYSPYQFESPQTASPARGRGTNPVSTSWEEAVNSWEMQSLPEYKDKKGYSPLGKTREFSSSHNINVYIHENAKFQKKRRNKIKTLSNLFWGHHPQRKRRGYSEKCCLTGCTKEELSIACLPYIDFKRLKEKRSSLVTKIY
+>DECOY_sp|Q9Y581|INSL6_HUMAN Insulin-like peptide INSL6 OS=Homo sapiens OX=9606 GN=INSL6 PE=2 SV=2
+YIKTVLSSRKEKLRKFDIYPLCAISLEEKTCGTLCCKESYGRRKRQPHHGWFLNSLTKIKNRRKKQFKANEHIYVNINHSSSFERTKGLPSYGKKDKYEPLSQMEWSNVAEEWSTSVPNTGRGRAPSATQPSEFQYPSYAEVKESAQAILRSFPTEEEFRFQSWNAHGCLKEIEKVLYRGCLKRASSIDSLERSFRVLLLGLWLLSLRLLRPM
+>sp|P55073|IOD3_HUMAN Thyroxine 5-deiodinase OS=Homo sapiens OX=9606 GN=DIO3 PE=1 SV=4
+MPRQATSRLVVGEGEGSQGASGPAATMLRSLLLHSLRLCAQTASCLVLFPRFLGTAFMLWLLDFLCIRKHFLGRRRRGQPEPEVELNSEGEEVPPDDPPICVSDDNRLCTLASLKAVWHGQKLDFFKQAHEGGPAPNSEVVLPDGFQSQHILDYAQGNRPLVLNFGSCTUPPFMARMSAFQRLVTKYQRDVDFLIIYIEEAHPSDGWVTTDSPYIIPQHRSLEDRVSAARVLQQGAPGCALVLDTMANSSSSAYGAYFERLYVIQSGTIMYQGGRGPDGYQVSELRTWLERYDEQLHGARPRRV
+>DECOY_sp|P55073|IOD3_HUMAN Thyroxine 5-deiodinase OS=Homo sapiens OX=9606 GN=DIO3 PE=1 SV=4
+VRRPRAGHLQEDYRELWTRLESVQYGDPGRGGQYMITGSQIVYLREFYAGYASSSSNAMTDLVLACGPAGQQLVRAASVRDELSRHQPIIYPSDTTVWGDSPHAEEIYIILFDVDRQYKTVLRQFASMRAMFPPUTCSGFNLVLPRNGQAYDLIHQSQFGDPLVVESNPAPGGEHAQKFFDLKQGHWVAKLSALTCLRNDDSVCIPPDDPPVEEGESNLEVEPEPQGRRRRGLFHKRICLFDLLWLMFATGLFRPFLVLCSATQACLRLSHLLLSRLMTAAPGSAGQSGEGEGVVLRSTAQRPM
+>sp|P27987|IP3KB_HUMAN Inositol-trisphosphate 3-kinase B OS=Homo sapiens OX=9606 GN=ITPKB PE=1 SV=5
+MAVYCYALNSLVIMNSANEMKSGGGPGPSGSETPPPPRRAVLSPGSVFSPGRGASFLFPPAESLSPEEPRSPGGWRSGRRRLNSSSGSGSGSSGSSVSSPSWAGRLRGDRQQVVAAGTLSPPGPEEAKRKLRILQRELQNVQVNQKVGMFEAHIQAQSSAIQAPRSPRLGRARSPSPCPFRSSSQPPGRVLVQGARSEERRTKSWGEQCPETSGTDSGRKGGPSLCSSQVKKGMPPLPGRAAPTGSEAQGPSAFVRMEKGIPASPRCGSPTAMEIDKRGSPTPGTRSCLAPSLGLFGASLTMATEVAARVTSTGPHRPQDLALTEPSGRARELEDLQPPEALVERQGQFLGSETSPAPERGGPRDGEPPGKMGKGYLPCGMPGSGEPEVGKRPEETTVSVQSAESSDSLSWSRLPRALASVGPEEARSGAPVGGGRWQLSDRVEGGSPTLGLLGGSPSAQPGTGNVEAGIPSGRMLEPLPCWDAAKDLKEPQCPPGDRVGVQPGNSRVWQGTMEKAGLAWTRGTGVQSEGTWESQRQDSDALPSPELLPQDPDKPFLRKACSPSNIPAVIITDMGTQEDGALEETQGSPRGNLPLRKLSSSSASSTGFSSSYEDSEEDISSDPERTLDPNSAFLHTLDQQKPRVSKSWRKIKNMVHWSPFVMSFKKKYPWIQLAGHAGSFKAAANGRILKKHCESEQRCLDRLMVDVLRPFVPAYHGDVVKDGERYNQMDDLLADFDSPCVMDCKMGIRTYLEEELTKARKKPSLRKDMYQKMIEVDPEAPTEEEKAQRAVTKPRYMQWRETISSTATLGFRIEGIKKEDGTVNRDFKKTKTREQVTEAFREFTKGNHNILIAYRDRLKAIRTTLEVSPFFKCHEVIGSSLLFIHDKKEQAKVWMIDFGKTTPLPEGQTLQHDVPWQEGNREDGYLSGLNNLVDILTEMSQDAPLA
+>DECOY_sp|P27987|IP3KB_HUMAN Inositol-trisphosphate 3-kinase B OS=Homo sapiens OX=9606 GN=ITPKB PE=1 SV=5
+ALPADQSMETLIDVLNNLGSLYGDERNGEQWPVDHQLTQGEPLPTTKGFDIMWVKAQEKKDHIFLLSSGIVEHCKFFPSVELTTRIAKLRDRYAILINHNGKTFERFAETVQERTKTKKFDRNVTGDEKKIGEIRFGLTATSSITERWQMYRPKTVARQAKEEETPAEPDVEIMKQYMDKRLSPKKRAKTLEEELYTRIGMKCDMVCPSDFDALLDDMQNYREGDKVVDGHYAPVFPRLVDVMLRDLCRQESECHKKLIRGNAAAKFSGAHGALQIWPYKKKFSMVFPSWHVMNKIKRWSKSVRPKQQDLTHLFASNPDLTREPDSSIDEESDEYSSSFGTSSASSSSLKRLPLNGRPSGQTEELAGDEQTGMDTIIVAPINSPSCAKRLFPKDPDQPLLEPSPLADSDQRQSEWTGESQVGTGRTWALGAKEMTGQWVRSNGPQVGVRDGPPCQPEKLDKAADWCPLPELMRGSPIGAEVNGTGPQASPSGGLLGLTPSGGEVRDSLQWRGGGVPAGSRAEEPGVSALARPLRSWSLSDSSEASQVSVTTEEPRKGVEPEGSGPMGCPLYGKGMKGPPEGDRPGGREPAPSTESGLFQGQREVLAEPPQLDELERARGSPETLALDQPRHPGTSTVRAAVETAMTLSAGFLGLSPALCSRTGPTPSGRKDIEMATPSGCRPSAPIGKEMRVFASPGQAESGTPAARGPLPPMGKKVQSSCLSPGGKRGSDTGSTEPCQEGWSKTRREESRAGQVLVRGPPQSSSRFPCPSPSRARGLRPSRPAQIASSQAQIHAEFMGVKQNVQVNQLERQLIRLKRKAEEPGPPSLTGAAVVQQRDGRLRGAWSPSSVSSGSSGSGSGSSSNLRRRGSRWGGPSRPEEPSLSEAPPFLFSAGRGPSFVSGPSLVARRPPPPTESGSPGPGGGSKMENASNMIVLSNLAYCYVAM
+>sp|Q6GPH6|IPIL1_HUMAN Inositol 1,4,5-trisphosphate receptor-interacting protein-like 1 OS=Homo sapiens OX=9606 GN=ITPRIPL1 PE=1 SV=1
+MNVDAEASMAVISLLFLAVMYVVHHPLMVSDRMDLDTLARSRQLEKRMSEEMRLLEMEFEERKRAAEQRQKAENFWTGDTSSDQLVLGKKDMGWPFQADGQEGPLGWMLGNLWNTGLFCLFLVFELLRQNMQHEPAFDSSSEEEEEEVRVVPVTSYNWLTDFPSQEALDSFYKHYVQNAIRDLPCTCEFVESFVDDLIEACRVLSRQEAHPQLEDCLGIGAAFEKWGTLHETQKFDILVPIVPPQGTMFVLEMRDPALGRRCGCVLVESECVCKREKLLGDVLCLVHHHRDPSAVLGKCSSSIKAALCTGFHLDVCKTVQWFRNMMGNAWALVAHKYDFKLSLPPSTTSCKLRLDYRSGRFLSIHLVLGVQREDTLVYLVSQAPDQEQLTSVDWPESFVACEHLFLKLVGRFAPENTCHLKCLQIILSLRQHQSLPHGASRPILTSYHFKTALMHLLLRLPLTDWAHNMLSQRLQDILWFLGRGLQQRSLHHFLIGNNFLPLTIPIPKTFRNAEPVNLFQHLVLNPKAHSQAVEEFQNLLTQVKTLPHAPLAAAP
+>DECOY_sp|Q6GPH6|IPIL1_HUMAN Inositol 1,4,5-trisphosphate receptor-interacting protein-like 1 OS=Homo sapiens OX=9606 GN=ITPRIPL1 PE=1 SV=1
+PAAALPAHPLTKVQTLLNQFEEVAQSHAKPNLVLHQFLNVPEANRFTKPIPITLPLFNNGILFHHLSRQQLGRGLFWLIDQLRQSLMNHAWDTLPLRLLLHMLATKFHYSTLIPRSAGHPLSQHQRLSLIIQLCKLHCTNEPAFRGVLKLFLHECAVFSEPWDVSTLQEQDPAQSVLYVLTDERQVGLVLHISLFRGSRYDLRLKCSTTSPPLSLKFDYKHAVLAWANGMMNRFWQVTKCVDLHFGTCLAAKISSSCKGLVASPDRHHHVLCLVDGLLKERKCVCESEVLVCGCRRGLAPDRMELVFMTGQPPVIPVLIDFKQTEHLTGWKEFAAGIGLCDELQPHAEQRSLVRCAEILDDVFSEVFECTCPLDRIANQVYHKYFSDLAEQSPFDTLWNYSTVPVVRVEEEEEESSSDFAPEHQMNQRLLEFVLFLCFLGTNWLNGLMWGLPGEQGDAQFPWGMDKKGLVLQDSSTDGTWFNEAKQRQEAARKREEFEMELLRMEESMRKELQRSRALTDLDMRDSVMLPHHVVYMVALFLLSIVAMSAEADVNM
+>sp|P61925|IPKA_HUMAN cAMP-dependent protein kinase inhibitor alpha OS=Homo sapiens OX=9606 GN=PKIA PE=1 SV=2
+MTDVETTYADFIASGRTGRRNAIHDILVSSASGNSNELALKLAGLDINKTEGEEDAQRSSTEQSGEAQGEAAKSES
+>DECOY_sp|P61925|IPKA_HUMAN cAMP-dependent protein kinase inhibitor alpha OS=Homo sapiens OX=9606 GN=PKIA PE=1 SV=2
+SESKAAEGQAEGSQETSSRQADEEGETKNIDLGALKLALENSNGSASSVLIDHIANRRGTRGSAIFDAYTTEVDTM
+>sp|O94829|IPO13_HUMAN Importin-13 OS=Homo sapiens OX=9606 GN=IPO13 PE=1 SV=3
+MERREEQPGAAGAGAAPALDFTVENVEKALHQLYYDPNIENKNLAQKWLMQAQVSPQAWHFSWQLLQPDKVPEIQYFGASALHIKISRYWSDIPTDQYESLKAQLFTQITRFASGSKIVLTRLCVALASLALSMMPDAWPCAVADMVRLFQAEDSPVDGQGRCLALLELLTVLPEEFQTSRLPQYRKGLVRTSLAVECGAVFPLLEQLLQQPSSPSCVRQKVLKCFSSWVQLEVPLQDCEALIQAAFAALQDSELFDSSVEAIVNAISQPDAQRYVNTLLKLIPLVLGLQEQLRQAVQNGDMETSHGICRIAVALGENHSRALLDQVEHWQSFLALVNMIMFCTGIPGHYPVNETTSSLTLTFWYTLQDDILSFEAEKQAVYQQVYRPVYFQLVDVLLHKAQFPSDEEYGFWSSDEKEQFRIYRVDISDTLMYVYEMLGAELLSNLYDKLGRLLTSSEEPYSWQHTEALLYGFQSIAETIDVNYSDVVPGLIGLIPRISISNVQLADTVMFTIGALSEWLADHPVMINSVLPLVLHALGNPELSVSSVSTLKKICRECKYDLPPYAANIVAVSQDVLMKQIHKTSQCMWLMQALGFLLSALQVEEILKNLHSLISPYIQQLEKLAEEIPNPSNKLAIVHILGLLSNLFTTLDISHHEDDHEGPELRKLPVPQGPNPVVVVLQQVFQLIQKVLSKWLNDAQVVEAVCAIFEKSVKTLLDDFAPMVPQLCEMLGRMYSTIPQASALDLTRQLVHIFAHEPAHFPPIEALFLLVTSVTLTLFQQGPRDHPDIVDSFMQLLAQALKRKPDLFLCERLDVKAVFQCAVLALKFPEAPTVKASCGFFTELLPRCGEVESVGKVVQEDGRMLLIAVLEAIGGQASRSLMDCFADILFALNKHCFSLLSMWIKEALQPPGFPSARLSPEQKDTFSQQILRERVNKRRVKEMVKEFTLLCRGLHGTDYTADY
+>DECOY_sp|O94829|IPO13_HUMAN Importin-13 OS=Homo sapiens OX=9606 GN=IPO13 PE=1 SV=3
+YDATYDTGHLGRCLLTFEKVMEKVRRKNVRERLIQQSFTDKQEPSLRASPFGPPQLAEKIWMSLLSFCHKNLAFLIDAFCDMLSRSAQGGIAELVAILLMRGDEQVVKGVSEVEGCRPLLETFFGCSAKVTPAEPFKLALVACQFVAKVDLRECLFLDPKRKLAQALLQMFSDVIDPHDRPGQQFLTLTVSTVLLFLAEIPPFHAPEHAFIHVLQRTLDLASAQPITSYMRGLMECLQPVMPAFDDLLTKVSKEFIACVAEVVQADNLWKSLVKQILQFVQQLVVVVPNPGQPVPLKRLEPGEHDDEHHSIDLTTFLNSLLGLIHVIALKNSPNPIEEALKELQQIYPSILSHLNKLIEEVQLASLLFGLAQMLWMCQSTKHIQKMLVDQSVAVINAAYPPLDYKCERCIKKLTSVSSVSLEPNGLAHLVLPLVSNIMVPHDALWESLAGITFMVTDALQVNSISIRPILGILGPVVDSYNVDITEAISQFGYLLAETHQWSYPEESSTLLRGLKDYLNSLLEAGLMEYVYMLTDSIDVRYIRFQEKEDSSWFGYEEDSPFQAKHLLVDVLQFYVPRYVQQYVAQKEAEFSLIDDQLTYWFTLTLSSTTENVPYHGPIGTCFMIMNVLALFSQWHEVQDLLARSHNEGLAVAIRCIGHSTEMDGNQVAQRLQEQLGLVLPILKLLTNVYRQADPQSIANVIAEVSSDFLESDQLAAFAAQILAECDQLPVELQVWSSFCKLVKQRVCSPSSPQQLLQELLPFVAGCEVALSTRVLGKRYQPLRSTQFEEPLVTLLELLALCRGQGDVPSDEAQFLRVMDAVACPWADPMMSLALSALAVCLRTLVIKSGSAFRTIQTFLQAKLSEYQDTPIDSWYRSIKIHLASAGFYQIEPVKDPQLLQWSFHWAQPSVQAQMLWKQALNKNEINPDYYLQHLAKEVNEVTFDLAPAAGAGAAGPQEERREM
+>sp|Q9Y573|IPP_HUMAN Actin-binding protein IPP OS=Homo sapiens OX=9606 GN=IPP PE=2 SV=1
+MANEDCPKAADSPFSSDKHAQLILAQINKMRNGQHFCDVQLQVGQESFKAHRLVLAASSPYFAALFTGGMKESSKDVVPILGIEAGIFQILLDFIYTGIVNIGVNNVQELIIAADMLQLTEVVHLCCEFLKGQIDPLNCIGIFQFSEQIACHDLLEFSENYIHVHFLEVHSGEEFLALTKDQLIKILRSEELSIEDEYQVFLAAMQWILKDLGKRRKHVVEVLDPIRFPLLPPQRLLKYIEGVSDFNLRVALQTLLKEYCEVCKSPKENKFCSFLQTSKVRPRKKARKYLYAVGGYTRLQGGRWSDSRALSCVERFDTFSQYWTTVSSLHQARSGLGVTVLGGMVYAIGGEKDSMIFDCTECYDPVTKQWTTVASMNHPRCGLGVCVCYGAIYALGGWVGAEIGNTIERFDPDENKWEVVGNMAVSRYYFGCCEMQGLIYVIGGISNEGIELRSFEVYDPLSKRWSPLPPMGTRRAYLGVAALNDCIYSVGGWNETQDALHTVEKYSFEEEKWVEVASMKVPRAGMCVVAVNGLLYVSGGRSSSHDFLAPGTLDSVEVYNPHSDTWTEIGNMITSRCEGGVAVL
+>DECOY_sp|Q9Y573|IPP_HUMAN Actin-binding protein IPP OS=Homo sapiens OX=9606 GN=IPP PE=2 SV=1
+LVAVGGECRSTIMNGIETWTDSHPNYVEVSDLTGPALFDHSSSRGGSVYLLGNVAVVCMGARPVKMSAVEVWKEEEFSYKEVTHLADQTENWGGVSYICDNLAAVGLYARRTGMPPLPSWRKSLPDYVEFSRLEIGENSIGGIVYILGQMECCGFYYRSVAMNGVVEWKNEDPDFREITNGIEAGVWGGLAYIAGYCVCVGLGCRPHNMSAVTTWQKTVPDYCETCDFIMSDKEGGIAYVMGGLVTVGLGSRAQHLSSVTTWYQSFTDFREVCSLARSDSWRGGQLRTYGGVAYLYKRAKKRPRVKSTQLFSCFKNEKPSKCVECYEKLLTQLAVRLNFDSVGEIYKLLRQPPLLPFRIPDLVEVVHKRRKGLDKLIWQMAALFVQYEDEISLEESRLIKILQDKTLALFEEGSHVELFHVHIYNESFELLDHCAIQESFQFIGICNLPDIQGKLFECCLHVVETLQLMDAAIILEQVNNVGINVIGTYIFDLLIQFIGAEIGLIPVVDKSSEKMGGTFLAAFYPSSAALVLRHAKFSEQGVQLQVDCFHQGNRMKNIQALILQAHKDSSFPSDAAKPCDENAM
+>sp|A6NCM1|IQCAL_HUMAN IQ and AAA domain-containing protein 1-like OS=Homo sapiens OX=9606 GN=IQCA1L PE=3 SV=3
+MSEGAYQRLWESSHATLQELLDQEQLLLEPAPDRERQSFQYRLASLYLHYLGLLRRFDTVYDQMVQPQKRRLLRRLLDGVAGRVLELKDELVRADLCENHCLDRVLQDFKLTPADLEVPIPKYFLLEQSTTVRERGLILAEILSRLEPVSSQKSFTGMHRTEAIILVQKAERARQGRLRATFMREIRRDEEQDGRIREDGWHKFSQGQAAVTIQKVWKGYLQRKRTQQDRRMEMEFIGMLPSPNQVEHLSIISQPCLVEDVQRLRQMEKEEEFRAAMVKAHDSLVETEGPDMKEKMKEQIRQWFIECHDLTGRFPDYPDASSGGSYSIFADKTPEQVRMELEMQMQENRKKEQEKSKEKGKDEKEKKKGKEEKAKKGEVDAVLQVLPSKCIPMICAGHEEYLNTWKNRCESIHPSQNYDSETLREEKRKEVELEIRIQVDELMRQELRKLRLAVDKEEERPLRAPKKTPGKKTGKKKEKDLTSDRSVESLYEELVISGLLRKSESVALKDYIGDFLYLGSTLSLVKKLPMPSLFDIRQNVALYAVLRLGSPDIHIMAPLIRSILLVGPSGMGKKMLVKAVCTETGANLFDLSPENLLGKYPGRNGAQMMVHIVFKVARLLQPSVIWIGNAEKNFYKKTPKEDKEMDPKRIKKDLTKALRLLTPGDRVMLIGTTSRPQLAEMRGLCRVYERILFMPRPDYASRYVLWKRMIEARGIQPTQHLDISALAKVSDGYTPGHILQAIQSVLSERRFLQLSKRPLVASEFLGQLVKLDPVYREEEESLKDWYFKTPLGKKSMKHRMDQLEAEEAKLDKEKKKRK
+>DECOY_sp|A6NCM1|IQCAL_HUMAN IQ and AAA domain-containing protein 1-like OS=Homo sapiens OX=9606 GN=IQCA1L PE=3 SV=3
+KRKKKEKDLKAEEAELQDMRHKMSKKGLPTKFYWDKLSEEEERYVPDLKVLQGLFESAVLPRKSLQLFRRESLVSQIAQLIHGPTYGDSVKALASIDLHQTPQIGRAEIMRKWLVYRSAYDPRPMFLIREYVRCLGRMEALQPRSTTGILMVRDGPTLLRLAKTLDKKIRKPDMEKDEKPTKKYFNKEANGIWIVSPQLLRAVKFVIHVMMQAGNRGPYKGLLNEPSLDFLNAGTETCVAKVLMKKGMGSPGVLLISRILPAMIHIDPSGLRLVAYLAVNQRIDFLSPMPLKKVLSLTSGLYLFDGIYDKLAVSESKRLLGSIVLEEYLSEVSRDSTLDKEKKKGTKKGPTKKPARLPREEEKDVALRLKRLEQRMLEDVQIRIELEVEKRKEERLTESDYNQSPHISECRNKWTNLYEEHGACIMPICKSPLVQLVADVEGKKAKEEKGKKKEKEDKGKEKSKEQEKKRNEQMQMELEMRVQEPTKDAFISYSGGSSADPYDPFRGTLDHCEIFWQRIQEKMKEKMDPGETEVLSDHAKVMAARFEEEKEMQRLRQVDEVLCPQSIISLHEVQNPSPLMGIFEMEMRRDQQTRKRQLYGKWVKQITVAAQGQSFKHWGDERIRGDQEEDRRIERMFTARLRGQRAREAKQVLIIAETRHMGTFSKQSSVPELRSLIEALILGRERVTTSQELLFYKPIPVELDAPTLKFDQLVRDLCHNECLDARVLEDKLELVRGAVGDLLRRLLRRKQPQVMQDYVTDFRRLLGLYHLYLSALRYQFSQRERDPAPELLLQEQDLLEQLTAHSSEWLRQYAGESM
+>sp|Q4KMZ1|IQCC_HUMAN IQ domain-containing protein C OS=Homo sapiens OX=9606 GN=IQCC PE=1 SV=2
+MEPELLVRKVSALQACVRGFLVRRQFQSLRAEYEAIVREVEGDLGTLQWTEGRIPRPRFLPEKAKSHQTWKAGDRVANPEQGLWNHFPCEESEGEATWEEMVLKKSGESSANQGSLCRDHSSWLQMKQNRKPSQEKTRDTTRMENPEATDQRLPHSQPQLQELQYHRSHLAMELLWLQQAINSRKEYLLLKQTLRSPEAGPIREEPRVFLEHGEQACERDQSQPSAPLEDQSYRDRTTGELEQEDDSCHRVKSPHRSPGSLATTQKNIAGAKCREPCYSKSGPPSSIPSNSQALGDRLTKGPDDGRQTFGGTCLLQMKILEDQTPRGLKPRNHCPRKSRTQLSALYEDSNIKEMSPRKLDHKEPDCRTVRTQELGLSEDHIIWDGTLGGPEHSVLDLWRTKPPKGQAPTDRSSRDGTSNEPSHEGQKKQRTIPWRSKSPEILSSTKAGCTGEEQWRGRPWKTEPPG
+>DECOY_sp|Q4KMZ1|IQCC_HUMAN IQ domain-containing protein C OS=Homo sapiens OX=9606 GN=IQCC PE=1 SV=2
+GPPETKWPRGRWQEEGTCGAKTSSLIEPSKSRWPITRQKKQGEHSPENSTGDRSSRDTPAQGKPPKTRWLDLVSHEPGGLTGDWIIHDESLGLEQTRVTRCDPEKHDLKRPSMEKINSDEYLASLQTRSKRPCHNRPKLGRPTQDELIKMQLLCTGGFTQRGDDPGKTLRDGLAQSNSPISSPPGSKSYCPERCKAGAINKQTTALSGPSRHPSKVRHCSDDEQELEGTTRDRYSQDELPASPQSQDRECAQEGHELFVRPEERIPGAEPSRLTQKLLLYEKRSNIAQQLWLLEMALHSRHYQLEQLQPQSHPLRQDTAEPNEMRTTDRTKEQSPKRNQKMQLWSSHDRCLSGQNASSEGSKKLVMEEWTAEGESEECPFHNWLGQEPNAVRDGAKWTQHSKAKEPLFRPRPIRGETWQLTGLDGEVERVIAEYEARLSQFQRRVLFGRVCAQLASVKRVLLEPEM
+>sp|Q8N6M8|IQCF1_HUMAN IQ domain-containing protein F1 OS=Homo sapiens OX=9606 GN=IQCF1 PE=2 SV=2
+MEEKQPQKTKEPSKEDEPQQKEMPTHLSLGAESKAEAKTPVLVETQTVDNANEKSEKPPENQKKLSDKDTVATKIQAWWRGTLVRRALLHAALSACIIQCWWRLILSKILKKRRQAALEAFSRKEWAAVTLQSQARMWRIRRRYCQVLNAVRIIQAYWRCRSCASRGFIKGQYRVTANQLHLQLEILLDSGPCIVTECIPFSIKE
+>DECOY_sp|Q8N6M8|IQCF1_HUMAN IQ domain-containing protein F1 OS=Homo sapiens OX=9606 GN=IQCF1 PE=2 SV=2
+EKISFPICETVICPGSDLLIELQLHLQNATVRYQGKIFGRSACSRCRWYAQIIRVANLVQCYRRRIRWMRAQSQLTVAAWEKRSFAELAAQRRKKLIKSLILRWWCQIICASLAAHLLARRVLTGRWWAQIKTAVTDKDSLKKQNEPPKESKENANDVTQTEVLVPTKAEAKSEAGLSLHTPMEKQQPEDEKSPEKTKQPQKEEM
+>sp|P51617|IRAK1_HUMAN Interleukin-1 receptor-associated kinase 1 OS=Homo sapiens OX=9606 GN=IRAK1 PE=1 SV=2
+MAGGPGPGEPAAPGAQHFLYEVPPWVMCRFYKVMDALEPADWCQFAALIVRDQTELRLCERSGQRTASVLWPWINRNARVADLVHILTHLQLLRARDIITAWHPPAPLPSPGTTAPRPSSIPAPAEAEAWSPRKLPSSASTFLSPAFPGSQTHSGPELGLVPSPASLWPPPPSPAPSSTKPGPESSVSLLQGARPFPFCWPLCEISRGTHNFSEELKIGEGGFGCVYRAVMRNTVYAVKRLKENADLEWTAVKQSFLTEVEQLSRFRHPNIVDFAGYCAQNGFYCLVYGFLPNGSLEDRLHCQTQACPPLSWPQRLDILLGTARAIQFLHQDSPSLIHGDIKSSNVLLDERLTPKLGDFGLARFSRFAGSSPSQSSMVARTQTVRGTLAYLPEEYIKTGRLAVDTDTFSFGVVVLETLAGQRAVKTHGARTKYLKDLVEEEAEEAGVALRSTQSTLQAGLAADAWAAPIAMQIYKKHLDPRPGPCPPELGLGLGQLACCCLHRRAKRRPPMTQVYERLEKLQAVVAGVPGHSEAASCIPPSPQENSYVSSTGRAHSGAAPWQPLAAPSGASAQAAEQLQRGPNQPVESDESLGGLSAALRSWHLTPSCPLDPAPLREAGCPQGDTAGESSWGSGPGSRPTAVEGLALGSSASSSSEPPQIIINPARQKMVQKLALYEDGALDSLQLLSSSSLPGLGLEQDRQGPEESDEFQS
+>DECOY_sp|P51617|IRAK1_HUMAN Interleukin-1 receptor-associated kinase 1 OS=Homo sapiens OX=9606 GN=IRAK1 PE=1 SV=2
+SQFEDSEEPGQRDQELGLGPLSSSSLLQLSDLAGDEYLALKQVMKQRAPNIIIQPPESSSSASSGLALGEVATPRSGPGSGWSSEGATDGQPCGAERLPAPDLPCSPTLHWSRLAASLGGLSEDSEVPQNPGRQLQEAAQASAGSPAALPQWPAAGSHARGTSSVYSNEQPSPPICSAAESHGPVGAVVAQLKELREYVQTMPPRRKARRHLCCCALQGLGLGLEPPCPGPRPDLHKKYIQMAIPAAWADAALGAQLTSQTSRLAVGAEEAEEEVLDKLYKTRAGHTKVARQGALTELVVVGFSFTDTDVALRGTKIYEEPLYALTGRVTQTRAVMSSQSPSSGAFRSFRALGFDGLKPTLREDLLVNSSKIDGHILSPSDQHLFQIARATGLLIDLRQPWSLPPCAQTQCHLRDELSGNPLFGYVLCYFGNQACYGAFDVINPHRFRSLQEVETLFSQKVATWELDANEKLRKVAYVTNRMVARYVCGFGGEGIKLEESFNHTGRSIECLPWCFPFPRAGQLLSVSSEPGPKTSSPAPSPPPPWLSAPSPVLGLEPGSHTQSGPFAPSLFTSASSPLKRPSWAEAEAPAPISSPRPATTGPSPLPAPPHWATIIDRARLLQLHTLIHVLDAVRANRNIWPWLVSATRQGSRECLRLETQDRVILAAFQCWDAPELADMVKYFRCMVWPPVEYLFHQAGPAAPEGPGPGGAM
+>sp|O14654|IRS4_HUMAN Insulin receptor substrate 4 OS=Homo sapiens OX=9606 GN=IRS4 PE=1 SV=1
+MASCSFTRDQATRRLRGAAAAAAAALAAVVTTPLLSSGTPTALIGTGSSCPGAMWLSTATGSRSDSESEEEDLPVGEEVCKRGYLRKQKHGHRRYFVLKLETADAPARLEYYENARKFRHSVRAAAAAAAAAASGAAIPPLIPPRRVITLYQCFSVSQRADARYRHLIALFTQDEYFAMVAENESEQESWYLLLSRLILESKRRRCGTLGAQPDGEPAALAAAAAAEPPFYKDVWQVIVKPRGLGHRKELSGVFRLCLTDEEVVFVRLNTEVASVVVQLLSIRRCGHSEQYFFLEVGRSTVIGPGELWMQVDDCVVAQNMHELFLEKMRALCADEYRARCRSYSISIGAHLLTLLSARRHLGLVPLEPGGWLRRSRFEQFCHLRAIGDGEDEMLFTRRFVTPSEPVAHSRRGRLHLPRGRRSRRAVSVPASFFRRLAPSPARPRHPAEAPNNGARLSSEVSGSGSGNFGEEGNPQGKEDQEGSGGDYMPMNNWGSGNGRGSGGGQGSNGQGSSSHSSGGNQCSGEGQGSRGGQGSNGQGSGGNQCSRDGQGTAGGHGSGGGQRPGGGHGSGGGQGPGDGHGSGGGKNSGGGKGSGSGKGSDGDGERGKSLKKRSYFGKLTQSKQQQMPPPPPPPPPPPPAGGTGGKGKSGGRFRLYFCVDRGATKECKEAKEVKDAEIPEGAARGPHRARAFDEDEDDPYVPMRPGVATPLVSSSDYMPMAPQNVSASKKRHSRSPFEDSRGYMMMFPRVSPPPAPSPPKAPDTNKEDDSKDNDSESDYMFMAPGAGAIPKNPRNPQGGSSSKSWSSYFSLPNPFRSSPLGQNDNSEYVPMLPGKFLGRGLDKEVSYNWDPKDAASKPSGEGSFSKPGDGGSPSKPSDHEPPKNKAKRPNRLSFITKGYKIKPKPQKPTHEQREADSSSDYVNMDFTKRESNTPAPSTQGLPDSWGIIAEPRQSAFSNYVNVEFGVPFPNPANDLSDLLRAIPRANPLSLDSARWPLPPLPLSATGSNAIEEEGDYIEVIFNSAMTPAMALADSAIRYDAETGRIYVVDPFSECCMDISLSPSRCSEPPPVARLLQEEEQERRRPQSRSQSFFAAARAAVSAFPTDSLERDLSPSSAPAVASAAEPTLALSQVVAAASALAAAPGIGAAAAAAGFDSASARWFQPVANAADAEAVRGAQDVAGGSNPGAHNPSANLARGDNQAGGAAAAAAAPEPPPRSRRVPRPPEREDSDNDDDTHVRMDFARRDNQFDSPKRGR
+>DECOY_sp|O14654|IRS4_HUMAN Insulin receptor substrate 4 OS=Homo sapiens OX=9606 GN=IRS4 PE=1 SV=1
+RGRKPSDFQNDRRAFDMRVHTDDDNDSDEREPPRPVRRSRPPPEPAAAAAAAGGAQNDGRALNASPNHAGPNSGGAVDQAGRVAEADAANAVPQFWRASASDFGAAAAAAGIGPAAALASAAAVVQSLALTPEAASAVAPASSPSLDRELSDTPFASVAARAAAFFSQSRSQPRRREQEEEQLLRAVPPPESCRSPSLSIDMCCESFPDVVYIRGTEADYRIASDALAMAPTMASNFIVEIYDGEEEIANSGTASLPLPPLPWRASDLSLPNARPIARLLDSLDNAPNPFPVGFEVNVYNSFASQRPEAIIGWSDPLGQTSPAPTNSERKTFDMNVYDSSSDAERQEHTPKQPKPKIKYGKTIFSLRNPRKAKNKPPEHDSPKSPSGGDGPKSFSGEGSPKSAADKPDWNYSVEKDLGRGLFKGPLMPVYESNDNQGLPSSRFPNPLSFYSSWSKSSSGGQPNRPNKPIAGAGPAMFMYDSESDNDKSDDEKNTDPAKPPSPAPPPSVRPFMMMYGRSDEFPSRSHRKKSASVNQPAMPMYDSSSVLPTAVGPRMPVYPDDEDEDFARARHPGRAAGEPIEADKVEKAEKCEKTAGRDVCFYLRFRGGSKGKGGTGGAPPPPPPPPPPPPMQQQKSQTLKGFYSRKKLSKGREGDGDSGKGSGSGKGGGSNKGGGSGHGDGPGQGGGSGHGGGPRQGGGSGHGGATGQGDRSCQNGGSGQGNSGQGGRSGQGEGSCQNGGSSHSSSGQGNSGQGGGSGRGNGSGWNNMPMYDGGSGEQDEKGQPNGEEGFNGSGSGSVESSLRAGNNPAEAPHRPRAPSPALRRFFSAPVSVARRSRRGRPLHLRGRRSHAVPESPTVFRRTFLMEDEGDGIARLHCFQEFRSRRLWGGPELPVLGLHRRASLLTLLHAGISISYSRCRARYEDACLARMKELFLEHMNQAVVCDDVQMWLEGPGIVTSRGVELFFYQESHGCRRISLLQVVVSAVETNLRVFVVEEDTLCLRFVGSLEKRHGLGRPKVIVQWVDKYFPPEAAAAAALAAPEGDPQAGLTGCRRRKSELILRSLLLYWSEQESENEAVMAFYEDQTFLAILHRYRADARQSVSFCQYLTIVRRPPILPPIAAGSAAAAAAAAAARVSHRFKRANEYYELRAPADATELKLVFYRRHGHKQKRLYGRKCVEEGVPLDEEESESDSRSGTATSLWMAGPCSSGTGILATPTGSSLLPTTVVAALAAAAAAAAGRLRRTAQDRTFSCSAM
+>sp|Q9ULR0|ISY1_HUMAN Pre-mRNA-splicing factor ISY1 homolog OS=Homo sapiens OX=9606 GN=ISY1 PE=1 SV=3
+MARNAEKAMTALARFRQAQLEEGKVKERRPFLASECTELPKAEKWRRQIIGEISKKVAQIQNAGLGEFRIRDLNDEINKLLREKGHWEVRIKELGGPDYGKVGPKMLDHEGKEVPGNRGYKYFGAAKDLPGVRELFEKEPLPPPRKTRAELMKAIDFEYYGYLDEDDGVIVPLEQEYEKKLRAELVEKWKAEREARLARGEKEEEEEEEEEINIYAVTEEESDEEGSQEKGGDDSQQKFIAHVPVPSQQEIEEALVRRKKMELLQKYASETLQAQSEEARRLLGY
+>DECOY_sp|Q9ULR0|ISY1_HUMAN Pre-mRNA-splicing factor ISY1 homolog OS=Homo sapiens OX=9606 GN=ISY1 PE=1 SV=3
+YGLLRRAEESQAQLTESAYKQLLEMKKRRVLAEEIEQQSPVPVHAIFKQQSDDGGKEQSGEEDSEEETVAYINIEEEEEEEEEKEGRALRAEREAKWKEVLEARLKKEYEQELPVIVGDDEDLYGYYEFDIAKMLEARTKRPPPLPEKEFLERVGPLDKAAGFYKYGRNGPVEKGEHDLMKPGVKGYDPGGLEKIRVEWHGKERLLKNIEDNLDRIRFEGLGANQIQAVKKSIEGIIQRRWKEAKPLETCESALFPRREKVKGEELQAQRFRALATMAKEANRAM
+>sp|P15884|ITF2_HUMAN Transcription factor 4 OS=Homo sapiens OX=9606 GN=TCF4 PE=1 SV=3
+MHHQQRMAALGTDKELSDLLDFSAMFSPPVSSGKNGPTSLASGHFTGSNVEDRSSSGSWGNGGHPSPSRNYGDGTPYDHMTSRDLGSHDNLSPPFVNSRIQSKTERGSYSSYGRESNLQGCHQQSLLGGDMDMGNPGTLSPTKPGSQYYQYSSNNPRRRPLHSSAMEVQTKKVRKVPPGLPSSVYAPSASTADYNRDSPGYPSSKPATSTFPSSFFMQDGHHSSDPWSSSSGMNQPGYAGMLGNSSHIPQSSSYCSLHPHERLSYPSHSSADINSSLPPMSTFHRSGTNHYSTSSCTPPANGTDSIMANRGSGAAGSSQTGDALGKALASIYSPDHTNNSFSSNPSTPVGSPPSLSAGTAVWSRNGGQASSSPNYEGPLHSLQSRIEDRLERLDDAIHVLRNHAVGPSTAMPGGHGDMHGIIGPSHNGAMGGLGSGYGTGLLSANRHSLMVGTHREDGVALRGSHSLLPNQVPVPQLPVQSATSPDLNPPQDPYRGMPPGLQGQSVSSGSSEIKSDDEGDENLQDTKSSEDKKLDDDKKDIKSITSNNDDEDLTPEQKAEREKERRMANNARERLRVRDINEAFKELGRMVQLHLKSDKPQTKLLILHQAVAVILSLEQQVRERNLNPKAACLKRREEEKVSSEPPPLSLAGPHPGMGDASNHMGQM
+>DECOY_sp|P15884|ITF2_HUMAN Transcription factor 4 OS=Homo sapiens OX=9606 GN=TCF4 PE=1 SV=3
+MQGMHNSADGMGPHPGALSLPPPESSVKEEERRKLCAAKPNLNRERVQQELSLIVAVAQHLILLKTQPKDSKLHLQVMRGLEKFAENIDRVRLRERANNAMRREKEREAKQEPTLDEDDNNSTISKIDKKDDDLKKDESSKTDQLNEDGEDDSKIESSGSSVSQGQLGPPMGRYPDQPPNLDPSTASQVPLQPVPVQNPLLSHSGRLAVGDERHTGVMLSHRNASLLGTGYGSGLGGMAGNHSPGIIGHMDGHGGPMATSPGVAHNRLVHIADDLRELRDEIRSQLSHLPGEYNPSSSAQGGNRSWVATGASLSPPSGVPTSPNSSFSNNTHDPSYISALAKGLADGTQSSGAAGSGRNAMISDTGNAPPTCSSTSYHNTGSRHFTSMPPLSSNIDASSHSPYSLREHPHLSCYSSSQPIHSSNGLMGAYGPQNMGSSSSWPDSSHHGDQMFFSSPFTSTAPKSSPYGPSDRNYDATSASPAYVSSPLGPPVKRVKKTQVEMASSHLPRRRPNNSSYQYYQSGPKTPSLTGPNGMDMDGGLLSQQHCGQLNSERGYSSYSGRETKSQIRSNVFPPSLNDHSGLDRSTMHDYPTGDGYNRSPSPHGGNGWSGSSSRDEVNSGTFHGSALSTPGNKGSSVPPSFMASFDLLDSLEKDTGLAAMRQQHHM
+>sp|Q8WWU7|ITLN2_HUMAN Intelectin-2 OS=Homo sapiens OX=9606 GN=ITLN2 PE=2 SV=1
+MLSMLRTMTRLCFLLFFSVATSGCSAAAASSLEMLSREFETCAFSFSSLPRSCKEIKERCHSAGDGLYFLRTKNGVVYQTFCDMTSGGGGWTLVASVHENDMRGKCTVGDRWSSQQGNKADYPEGDGNWANYNTFGSAEAATSDDYKNPGYYDIQAKDLGIWHVPNKSPMQHWRNSALLRYRTNTGFLQRLGHNLFGIYQKYPVKYRSGKCWNDNGPAIPVVYDFGDAKKTASYYSPYGQREFVAGFVQFRVFNNERAANALCAGIKVTGCNTEHHCIGGGGFFPQGKPRQCGDFSAFDWDGYGTHVKSSCSREITEAAVLLFYR
+>DECOY_sp|Q8WWU7|ITLN2_HUMAN Intelectin-2 OS=Homo sapiens OX=9606 GN=ITLN2 PE=2 SV=1
+RYFLLVAAETIERSCSSKVHTGYGDWDFASFDGCQRPKGQPFFGGGGICHHETNCGTVKIGACLANAARENNFVRFQVFGAVFERQGYPSYYSATKKADGFDYVVPIAPGNDNWCKGSRYKVPYKQYIGFLNHGLRQLFGTNTRYRLLASNRWHQMPSKNPVHWIGLDKAQIDYYGPNKYDDSTAAEASGFTNYNAWNGDGEPYDAKNGQQSSWRDGVTCKGRMDNEHVSAVLTWGGGGSTMDCFTQYVVGNKTRLFYLGDGASHCREKIEKCSRPLSSFSFACTEFERSLMELSSAAAASCGSTAVSFFLLFCLRTMTRLMSLM
+>sp|Q9NQX7|ITM2C_HUMAN Integral membrane protein 2C OS=Homo sapiens OX=9606 GN=ITM2C PE=1 SV=1
+MVKISFQPAVAGIKGDKADKASASAPAPASATEILLTPAREEQPPQHRSKRGGSVGGVCYLSMGMVVLLMGLVFASVYIYRYFFLAQLARDNFFRCGVLYEDSLSSQVRTQMELEEDVKIYLDENYERINVPVPQFGGGDPADIIHDFQRGLTAYHDISLDKCYVIELNTTIVLPPRNFWELLMNVKRGTYLPQTYIIQEEMVVTEHVSDKEALGSFIYHLCNGKDTYRLRRRATRRRINKRGAKNCNAIRHFENTFVVETLICGVV
+>DECOY_sp|Q9NQX7|ITM2C_HUMAN Integral membrane protein 2C OS=Homo sapiens OX=9606 GN=ITM2C PE=1 SV=1
+VVGCILTEVVFTNEFHRIANCNKAGRKNIRRRTARRRLRYTDKGNCLHYIFSGLAEKDSVHETVVMEEQIIYTQPLYTGRKVNMLLEWFNRPPLVITTNLEIVYCKDLSIDHYATLGRQFDHIIDAPDGGGFQPVPVNIREYNEDLYIKVDEELEMQTRVQSSLSDEYLVGCRFFNDRALQALFFYRYIYVSAFVLGMLLVVMGMSLYCVGGVSGGRKSRHQPPQEERAPTLLIETASAPAPASASAKDAKDGKIGAVAPQFSIKVM
+>sp|Q15811|ITSN1_HUMAN Intersectin-1 OS=Homo sapiens OX=9606 GN=ITSN1 PE=1 SV=3
+MAQFPTPFGGSLDIWAITVEERAKHDQQFHSLKPISGFITGDQARNFFFQSGLPQPVLAQIWALADMNNDGRMDQVEFSIAMKLIKLKLQGYQLPSALPPVMKQQPVAISSAPAFGMGGIASMPPLTAVAPVPMGSIPVVGMSPTLVSSVPTAAVPPLANGAPPVIQPLPAFAHPAATLPKSSSFSRSGPGSQLNTKLQKAQSFDVASVPPVAEWAVPQSSRLKYRQLFNSHDKTMSGHLTGPQARTILMQSSLPQAQLASIWNLSDIDQDGKLTAEEFILAMHLIDVAMSGQPLPPVLPPEYIPPSFRRVRSGSGISVISSTSVDQRLPEEPVLEDEQQQLEKKLPVTFEDKKRENFERGNLELEKRRQALLEQQRKEQERLAQLERAEQERKERERQEQERKRQLELEKQLEKQRELERQREEERRKEIERREAAKRELERQRQLEWERNRRQELLNQRNKEQEDIVVLKAKKKTLEFELEALNDKKHQLEGKLQDIRCRLTTQRQEIESTNKSRELRIAEITHLQQQLQESQQMLGRLIPEKQILNDQLKQVQQNSLHRDSLVTLKRALEAKELARQHLRDQLDEVEKETRSKLQEIDIFNNQLKELREIHNKQQLQKQKSMEAERLKQKEQERKIIELEKQKEEAQRRAQERDKQWLEHVQQEDEHQRPRKLHEEEKLKREESVKKKDGEEKGKQEAQDKLGRLFHQHQEPAKPAVQAPWSTAEKGPLTISAQENVKVVYYRALYPFESRSHDEITIQPGDIVMVKGEWVDESQTGEPGWLGGELKGKTGWFPANYAEKIPENEVPAPVKPVTDSTSAPAPKLALRETPAPLAVTSSEPSTTPNNWADFSSTWPTSTNEKPETDNWDAWAAQPSLTVPSAGQLRQRSAFTPATATGSSPSPVLGQGEKVEGLQAQALYPWRAKKDNHLNFNKNDVITVLEQQDMWWFGEVQGQKGWFPKSYVKLISGPIRKSTSMDSGSSESPASLKRVASPAAKPVVSGEEFIAMYTYESSEQGDLTFQQGDVILVTKKDGDWWTGTVGDKAGVFPSNYVRLKDSEGSGTAGKTGSLGKKPEIAQVIASYTATGPEQLTLAPGQLILIRKKNPGGWWEGELQARGKKRQIGWFPANYVKLLSPGTSKITPTEPPKSTALAAVCQVIGMYDYTAQNDDELAFNKGQIINVLNKEDPDWWKGEVNGQVGLFPSNYVKLTTDMDPSQQWCSDLHLLDMLTPTERKRQGYIHELIVTEENYVNDLQLVTEIFQKPLMESELLTEKEVAMIFVNWKELIMCNIKLLKALRVRKKMSGEKMPVKMIGDILSAQLPHMQPYIRFCSRQLNGAALIQQKTDEAPDFKEFVKRLAMDPRCKGMPLSSFILKPMQRVTRYPLIIKNILENTPENHPDHSHLKHALEKAEELCSQVNEGVREKENSDRLEWIQAHVQCEGLSEQLVFNSVTNCLGPRKFLHSGKLYKAKSNKELYGFLFNDFLLLTQITKPLGSSGTDKVFSPKSNLQYKMYKTPIFLNEVLVKLPTDPSGDEPIFHISHIDRVYTLRAESINERTAWVQKIKAASELYIETEKKKREKAYLVRSQRATGIGRLMVNVVEGIELKPCRSHGKSNPYCEVTMGSQCHITKTIQDTLNPKWNSNCQFFIRDLEQEVLCITVFERDQFSPDDFLGRTEIRVADIKKDQGSKGPVTKCLLLHEVPTGEIVVRLDLQLFDEP
+>DECOY_sp|Q15811|ITSN1_HUMAN Intersectin-1 OS=Homo sapiens OX=9606 GN=ITSN1 PE=1 SV=3
+PEDFLQLDLRVVIEGTPVEHLLLCKTVPGKSGQDKKIDAVRIETRGLFDDPSFQDREFVTICLVEQELDRIFFQCNSNWKPNLTDQITKTIHCQSGMTVECYPNSKGHSRCPKLEIGEVVNVMLRGIGTARQSRVLYAKERKKKETEIYLESAAKIKQVWATRENISEARLTYVRDIHSIHFIPEDGSPDTPLKVLVENLFIPTKYMKYQLNSKPSFVKDTGSSGLPKTIQTLLLFDNFLFGYLEKNSKAKYLKGSHLFKRPGLCNTVSNFVLQESLGECQVHAQIWELRDSNEKERVGENVQSCLEEAKELAHKLHSHDPHNEPTNELINKIILPYRTVRQMPKLIFSSLPMGKCRPDMALRKVFEKFDPAEDTKQQILAAGNLQRSCFRIYPQMHPLQASLIDGIMKVPMKEGSMKKRVRLAKLLKINCMILEKWNVFIMAVEKETLLESEMLPKQFIETVLQLDNVYNEETVILEHIYGQRKRETPTLMDLLHLDSCWQQSPDMDTTLKVYNSPFLGVQGNVEGKWWDPDEKNLVNIIQGKNFALEDDNQATYDYMGIVQCVAALATSKPPETPTIKSTGPSLLKVYNAPFWGIQRKKGRAQLEGEWWGGPNKKRILILQGPALTLQEPGTATYSAIVQAIEPKKGLSGTKGATGSGESDKLRVYNSPFVGAKDGVTGTWWDGDKKTVLIVDGQQFTLDGQESSEYTYMAIFEEGSVVPKAAPSAVRKLSAPSESSGSDMSTSKRIPGSILKVYSKPFWGKQGQVEGFWWMDQQELVTIVDNKNFNLHNDKKARWPYLAQAQLGEVKEGQGLVPSPSSGTATAPTFASRQRLQGASPVTLSPQAAWADWNDTEPKENTSTPWTSSFDAWNNPTTSPESSTVALPAPTERLALKPAPASTSDTVPKVPAPVENEPIKEAYNAPFWGTKGKLEGGLWGPEGTQSEDVWEGKVMVIDGPQITIEDHSRSEFPYLARYYVVKVNEQASITLPGKEATSWPAQVAPKAPEQHQHFLRGLKDQAEQKGKEEGDKKKVSEERKLKEEEHLKRPRQHEDEQQVHELWQKDREQARRQAEEKQKELEIIKREQEKQKLREAEMSKQKQLQQKNHIERLEKLQNNFIDIEQLKSRTEKEVEDLQDRLHQRALEKAELARKLTVLSDRHLSNQQVQKLQDNLIQKEPILRGLMQQSEQLQQQLHTIEAIRLERSKNTSEIEQRQTTLRCRIDQLKGELQHKKDNLAELEFELTKKKAKLVVIDEQEKNRQNLLEQRRNREWELQRQRELERKAAERREIEKRREEERQRELERQKELQKELELQRKREQEQREREKREQEARELQALREQEKRQQELLAQRRKELELNGREFNERKKDEFTVPLKKELQQQEDELVPEEPLRQDVSTSSIVSIGSGSRVRRFSPPIYEPPLVPPLPQGSMAVDILHMALIFEEATLKGDQDIDSLNWISALQAQPLSSQMLITRAQPGTLHGSMTKDHSNFLQRYKLRSSQPVAWEAVPPVSAVDFSQAKQLKTNLQSGPGSRSFSSSKPLTAAPHAFAPLPQIVPPAGNALPPVAATPVSSVLTPSMGVVPISGMPVPAVATLPPMSAIGGMGFAPASSIAVPQQKMVPPLASPLQYGQLKLKILKMAISFEVQDMRGDNNMDALAWIQALVPQPLGSQFFFNRAQDGTIFGSIPKLSHFQQDHKAREEVTIAWIDLSGGFPTPFQAM
+>sp|Q0VF49|K2012_HUMAN Uncharacterized protein KIAA2012 OS=Homo sapiens OX=9606 GN=KIAA2012 PE=2 SV=2
+MFTLSLLSRGHGKLGQDKQKLEVYFEPEDYLNWRSPEDYVPVSKPQDKNNASQHSWSLFLPKTFSTRKGALILYSEGFAISAWTPKERRKGPYCPRGPWRKLDLELHTLQDLKEAILAYGRQQGEQDRAWQPYLHFRSQLESQAQRQIQPGHSAKRYLRGLLRTWPPDAMYRLWCAGYIKDSVLLQDSQLNVPKKLRPQQDLSGVPPKYHLLPVFPSFWIQQGKSFEQRQQGLDEGEAGAAGHVDQGPLAKNHGSQGTRLPPRRKQPWQEDETQAEDTSIENHLCLYASKESYNEKTQQTSRKAFGHGRIDHSWLPSDKSHITFCGGAFPNRKADLSDKQRNVKLHKARSSHLLQVLPAERSLFPPVASATGSRIITPGEVKKKKAPKALKLPPISEEPPRVLEPLKSQFKANEPPTELFILPVEIHYHTKQPPKEKAHRRGAPHPESEPESSEESTPVWRPPLKHASLETPWELTVHLPVDASRDTLSPQGSSSLPPASLGNLTLKGSKARHTRVHSQGKGVWKGDDDAPPHDVAPPLDLLPPIKGKKSPESQKGVDSPRTSDHNSPPSLPNMRVPRRALPAAQEDSSDPTLGHFLLGPDGEKVCLSLPGHTQTEALPSGKAYESVNSNISHEEEGPSSQHFLKANTEPRANLHMNLYETSPLTQTTEKQGAQQSLEAAAQKTGEPQSCINKALICSNRKEFYTRKLHIDMTPFLKESGNALDYQEEAGRPLRETHHNDQDPEPRSMTLDSPRASRTEHIQTPEADIVQKVGRDYDVHHLHRGLLGYGPESPERLSAVYTSLLPREREGKAEPRLFSQETSANISHERDLINEAKRKEKPKKDKTKGPKSEREGKVYGQAEAAIGKSKDSKAKKKLEKKTRPQRKRTQKERNLEIAAELSGPDVSYEETEDTSNRGSFASDSFVEDPWLSPKYDAQESQVSLDGRSSPSQIATVTGNMESKEERRCEDPSKALLTKREQEKASWDRLRAERAEMRWLEVEKKRREQEEQRQLQQEQLERAKKMEEELELEQQRRTEEIRLRKQRLQEEQQRQEEEERKQQLRLKAAQERARQQQEEFRRKLRELQRKKQQEEAERAEAEKQRQEELEMQLEEEQKHLMEMAEEERLEYQRRKQEAEEKARLEAEERRQKEEEAARLALEEATKQAQEQARYWIFGQQLP
+>DECOY_sp|Q0VF49|K2012_HUMAN Uncharacterized protein KIAA2012 OS=Homo sapiens OX=9606 GN=KIAA2012 PE=2 SV=2
+PLQQGFIWYRAQEQAQKTAEELALRAAEEEKQRREEAELRAKEEAEQKRRQYELREEEAMEMLHKQEEELQMELEEQRQKEAEAREAEEQQKKRQLERLKRRFEEQQQRAREQAAKLRLQQKREEEEQRQQEEQLRQKRLRIEETRRQQELELEEEMKKARELQEQQLQRQEEQERRKKEVELWRMEAREARLRDWSAKEQERKTLLAKSPDECRREEKSEMNGTVTAIQSPSSRGDLSVQSEQADYKPSLWPDEVFSDSAFSGRNSTDETEEYSVDPGSLEAAIELNREKQTRKRQPRTKKELKKKAKSDKSKGIAAEAQGYVKGERESKPGKTKDKKPKEKRKAENILDREHSINASTEQSFLRPEAKGERERPLLSTYVASLREPSEPGYGLLGRHLHHVDYDRGVKQVIDAEPTQIHETRSARPSDLTMSRPEPDQDNHHTERLPRGAEEQYDLANGSEKLFPTMDIHLKRTYFEKRNSCILAKNICSQPEGTKQAAAELSQQAGQKETTQTLPSTEYLNMHLNARPETNAKLFHQSSPGEEEHSINSNVSEYAKGSPLAETQTHGPLSLCVKEGDPGLLFHGLTPDSSDEQAAPLARRPVRMNPLSPPSNHDSTRPSDVGKQSEPSKKGKIPPLLDLPPAVDHPPADDDGKWVGKGQSHVRTHRAKSGKLTLNGLSAPPLSSSGQPSLTDRSADVPLHVTLEWPTELSAHKLPPRWVPTSEESSEPESEPHPAGRRHAKEKPPQKTHYHIEVPLIFLETPPENAKFQSKLPELVRPPEESIPPLKLAKPAKKKKVEGPTIIRSGTASAVPPFLSREAPLVQLLHSSRAKHLKVNRQKDSLDAKRNPFAGGCFTIHSKDSPLWSHDIRGHGFAKRSTQQTKENYSEKSAYLCLHNEISTDEAQTEDEQWPQKRRPPLRTGQSGHNKALPGQDVHGAAGAEGEDLGQQRQEFSKGQQIWFSPFVPLLHYKPPVGSLDQQPRLKKPVNLQSDQLLVSDKIYGACWLRYMADPPWTRLLGRLYRKASHGPQIQRQAQSELQSRFHLYPQWARDQEGQQRGYALIAEKLDQLTHLELDLKRWPGRPCYPGKRREKPTWASIAFGESYLILAGKRTSFTKPLFLSWSHQSANNKDQPKSVPVYDEPSRWNLYDEPEFYVELKQKDQGLKGHGRSLLSLTFM
+>sp|P04259|K2C6B_HUMAN Keratin, type II cytoskeletal 6B OS=Homo sapiens OX=9606 GN=KRT6B PE=1 SV=5
+MASTSTTIRSHSSSRRGFSANSARLPGVSRSGFSSISVSRSRGSGGLGGACGGAGFGSRSLYGLGGSKRISIGGGSCAISGGYGSRAGGSYGFGGAGSGFGFGGGAGIGFGLGGGAGLAGGFGGPGFPVCPPGGIQEVTVNQSLLTPLNLQIDPAIQRVRAEEREQIKTLNNKFASFIDKVRFLEQQNKVLDTKWTLLQEQGTKTVRQNLEPLFEQYINNLRRQLDNIVGERGRLDSELRNMQDLVEDLKNKYEDEINKRTAAENEFVTLKKDVDAAYMNKVELQAKADTLTDEINFLRALYDAELSQMQTHISDTSVVLSMDNNRNLDLDSIIAEVKAQYEEIAQRSRAEAESWYQTKYEELQITAGRHGDDLRNTKQEIAEINRMIQRLRSEIDHVKKQCANLQAAIADAEQRGEMALKDAKNKLEGLEDALQKAKQDLARLLKEYQELMNVKLALDVEIATYRKLLEGEECRLNGEGVGQVNISVVQSTVSSGYGGASGVGSGLGLGGGSSYSYGSGLGVGGGFSSSSGRATGGGLSSVGGGSSTIKYTTTSSSSRKSYKH
+>DECOY_sp|P04259|K2C6B_HUMAN Keratin, type II cytoskeletal 6B OS=Homo sapiens OX=9606 GN=KRT6B PE=1 SV=5
+HKYSKRSSSSTTTYKITSSGGGVSSLGGGTARGSSSSFGGGVGLGSGYSYSSGGGLGLGSGVGSAGGYGSSVTSQVVSINVQGVGEGNLRCEEGELLKRYTAIEVDLALKVNMLEQYEKLLRALDQKAKQLADELGELKNKADKLAMEGRQEADAIAAQLNACQKKVHDIESRLRQIMRNIEAIEQKTNRLDDGHRGATIQLEEYKTQYWSEAEARSRQAIEEYQAKVEAIISDLDLNRNNDMSLVVSTDSIHTQMQSLEADYLARLFNIEDTLTDAKAQLEVKNMYAADVDKKLTVFENEAATRKNIEDEYKNKLDEVLDQMNRLESDLRGREGVINDLQRRLNNIYQEFLPELNQRVTKTGQEQLLTWKTDLVKNQQELFRVKDIFSAFKNNLTKIQEREEARVRQIAPDIQLNLPTLLSQNVTVEQIGGPPCVPFGPGGFGGALGAGGGLGFGIGAGGGFGFGSGAGGFGYSGGARSGYGGSIACSGGGISIRKSGGLGYLSRSGFGAGGCAGGLGGSGRSRSVSISSFGSRSVGPLRASNASFGRRSSSHSRITTSTSAM
+>sp|Q5XKE5|K2C79_HUMAN Keratin, type II cytoskeletal 79 OS=Homo sapiens OX=9606 GN=KRT79 PE=1 SV=2
+MRSSVSRQTYSTKGGFSSNSASGGSGSQARTSFSSVTVSRSSGSGGGAHCGPGTGGFGSRSLYNLGGHKSISVSVAGGALLGRALGGFGFGSRAFMGQGAGRQTFGPACPPGGIQEVTVNQSLLTPLHVEIDPEIQRVRTQEREQIKTLNNKFASFIDKVRFLEQQNKVLETKWALLQEQGQNLGVTRNNLEPLFEAYLGSMRSTLDRLQSERGRLDSELRNVQDLVEDFKNKYEDEINKHTAAENEFVVLKKDVDAAYMGRMDLHGKVGTLTQEIDFLQQLYEMELSQVQTHVSNTNVVLSMDNNRNLDLDSIIAEVKAQYELIAQRSRAEAEAWYQTKYEELQVTAGKHGDNLRDTKNEIAELTRTIQRLQGEADAAKKQCQQLQTAIAEAEQRGELALKDAQKKLGDLDVALHQAKEDLTRLLRDYQELMNVKLALDVEIATYRKLLESEESRMSGECPSAVSISVTGNSTTVCGGGAASFGGGISLGGSGGATKGGFSTNVGYSTVKGGPVSAGTSILRKTTTVKTSSQRY
+>DECOY_sp|Q5XKE5|K2C79_HUMAN Keratin, type II cytoskeletal 79 OS=Homo sapiens OX=9606 GN=KRT79 PE=1 SV=2
+YRQSSTKVTTTKRLISTGASVPGGKVTSYGVNTSFGGKTAGGSGGLSIGGGFSAAGGGCVTTSNGTVSISVASPCEGSMRSEESELLKRYTAIEVDLALKVNMLEQYDRLLRTLDEKAQHLAVDLDGLKKQADKLALEGRQEAEAIATQLQQCQKKAADAEGQLRQITRTLEAIENKTDRLNDGHKGATVQLEEYKTQYWAEAEARSRQAILEYQAKVEAIISDLDLNRNNDMSLVVNTNSVHTQVQSLEMEYLQQLFDIEQTLTGVKGHLDMRGMYAADVDKKLVVFENEAATHKNIEDEYKNKFDEVLDQVNRLESDLRGRESQLRDLTSRMSGLYAEFLPELNNRTVGLNQGQEQLLAWKTELVKNQQELFRVKDIFSAFKNNLTKIQEREQTRVRQIEPDIEVHLPTLLSQNVTVEQIGGPPCAPGFTQRGAGQGMFARSGFGFGGLARGLLAGGAVSVSISKHGGLNYLSRSGFGGTGPGCHAGGGSGSSRSVTVSSFSTRAQSGSGGSASNSSFGGKTSYTQRSVSSRM
+>sp|P08729|K2C7_HUMAN Keratin, type II cytoskeletal 7 OS=Homo sapiens OX=9606 GN=KRT7 PE=1 SV=5
+MSIHFSSPVFTSRSAAFSGRGAQVRLSSARPGGLGSSSLYGLGASRPRVAVRSAYGGPVGAGIREVTINQSLLAPLRLDADPSLQRVRQEESEQIKTLNNKFASFIDKVRFLEQQNKLLETKWTLLQEQKSAKSSRLPDIFEAQIAGLRGQLEALQVDGGRLEAELRSMQDVVEDFKNKYEDEINHRTAAENEFVVLKKDVDAAYMSKVELEAKVDALNDEINFLRTLNETELTELQSQISDTSVVLSMDNSRSLDLDGIIAEVKAQYEEMAKCSRAEAEAWYQTKFETLQAQAGKHGDDLRNTRNEISEMNRAIQRLQAEIDNIKNQRAKLEAAIAEAEERGELALKDARAKQEELEAALQRGKQDMARQLREYQELMSVKLALDIEIATYRKLLEGEESRLAGDGVGAVNISVMNSTGGSSSGGGIGLTLGGTMGSNALSFSSSAGPGLLKAYSIRTASASRRSARD
+>DECOY_sp|P08729|K2C7_HUMAN Keratin, type II cytoskeletal 7 OS=Homo sapiens OX=9606 GN=KRT7 PE=1 SV=5
+DRASRRSASATRISYAKLLGPGASSSFSLANSGMTGGLTLGIGGGSSSGGTSNMVSINVAGVGDGALRSEEGELLKRYTAIEIDLALKVSMLEQYERLQRAMDQKGRQLAAELEEQKARADKLALEGREEAEAIAAELKARQNKINDIEAQLRQIARNMESIENRTNRLDDGHKGAQAQLTEFKTQYWAEAEARSCKAMEEYQAKVEAIIGDLDLSRSNDMSLVVSTDSIQSQLETLETENLTRLFNIEDNLADVKAELEVKSMYAADVDKKLVVFENEAATRHNIEDEYKNKFDEVVDQMSRLEAELRGGDVQLAELQGRLGAIQAEFIDPLRSSKASKQEQLLTWKTELLKNQQELFRVKDIFSAFKNNLTKIQESEEQRVRQLSPDADLRLPALLSQNITVERIGAGVPGGYASRVAVRPRSAGLGYLSSSGLGGPRASSLRVQAGRGSFAASRSTFVPSSFHISM
+>sp|P22612|KAPCG_HUMAN cAMP-dependent protein kinase catalytic subunit gamma OS=Homo sapiens OX=9606 GN=PRKACG PE=1 SV=3
+MGNAPAKKDTEQEESVNEFLAKARGDFLYRWGNPAQNTASSDQFERLRTLGMGSFGRVMLVRHQETGGHYAMKILNKQKVVKMKQVEHILNEKRILQAIDFPFLVKLQFSFKDNSYLYLVMEYVPGGEMFSRLQRVGRFSEPHACFYAAQVVLAVQYLHSLDLIHRDLKPENLLIDQQGYLQVTDFGFAKRVKGRTWTLCGTPEYLAPEIILSKGYNKAVDWWALGVLIYEMAVGFPPFYADQPIQIYEKIVSGRVRFPSKLSSDLKHLLRSLLQVDLTKRFGNLRNGVGDIKNHKWFATTSWIAIYEKKVEAPFIPKYTGPGDASNFDDYEEEELRISINEKCAKEFSEF
+>DECOY_sp|P22612|KAPCG_HUMAN cAMP-dependent protein kinase catalytic subunit gamma OS=Homo sapiens OX=9606 GN=PRKACG PE=1 SV=3
+FESFEKACKENISIRLEEEEYDDFNSADGPGTYKPIFPAEVKKEYIAIWSTTAFWKHNKIDGVGNRLNGFRKTLDVQLLSRLLHKLDSSLKSPFRVRGSVIKEYIQIPQDAYFPPFGVAMEYILVGLAWWDVAKNYGKSLIIEPALYEPTGCLTWTRGKVRKAFGFDTVQLYGQQDILLNEPKLDRHILDLSHLYQVALVVQAAYFCAHPESFRGVRQLRSFMEGGPVYEMVLYLYSNDKFSFQLKVLFPFDIAQLIRKENLIHEVQKMKVVKQKNLIKMAYHGGTEQHRVLMVRGFSGMGLTRLREFQDSSATNQAPNGWRYLFDGRAKALFENVSEEQETDKKAPANGM
+>sp|Q96EK5|KBP_HUMAN KIF1-binding protein OS=Homo sapiens OX=9606 GN=KIF1BP PE=1 SV=1
+MANVPWAEVCEKFQAALALSRVELHKNPEKEPYKSKYSARALLEEVKALLGPAPEDEDERPEAEDGPGAGDHALGLPAEVVEPEGPVAQRAVRLAVIEFHLGVNHIDTEELSAGEEHLVKCLRLLRRYRLSHDCISLCIQAQNNLGILWSEREEIETAQAYLESSEALYNQYMKEVGSPPLDPTERFLPEEEKLTEQERSKRFEKVYTHNLYYLAQVYQHLEMFEKAAHYCHSTLKRQLEHNAYHPIEWAINAATLSQFYINKLCFMEARHCLSAANVIFGQTGKISATEDTPEAEGEVPELYHQRKGEIARCWIKYCLTLMQNAQLSMQDNIGELDLDKQSELRALRKKELDEEESIRKKAVQFGTGELCDAISAVEEKVSYLRPLDFEEARELFLLGQHYVFEAKEFFQIDGYVTDHIEVVQDHSALFKVLAFFETDMERRCKMHKRRIAMLEPLTVDLNPQYYLLVNRQIQFEIAHAYYDMMDLKVAIADRLRDPDSHIVKKINNLNKSALKYYQLFLDSLRDPNKVFPEHIGEDVLRPAMLAKFRVARLYGKIITADPKKELENLATSLEHYKFIVDYCEKHPEAAQEIEVELELSKEMVSLLPTKMERFRTKMALT
+>DECOY_sp|Q96EK5|KBP_HUMAN KIF1-binding protein OS=Homo sapiens OX=9606 GN=KIF1BP PE=1 SV=1
+TLAMKTRFREMKTPLLSVMEKSLELEVEIEQAAEPHKECYDVIFKYHELSTALNELEKKPDATIIKGYLRAVRFKALMAPRLVDEGIHEPFVKNPDRLSDLFLQYYKLASKNLNNIKKVIHSDPDRLRDAIAVKLDMMDYYAHAIEFQIQRNVLLYYQPNLDVTLPELMAIRRKHMKCRREMDTEFFALVKFLASHDQVVEIHDTVYGDIQFFEKAEFVYHQGLLFLERAEEFDLPRLYSVKEEVASIADCLEGTGFQVAKKRISEEEDLEKKRLARLESQKDLDLEGINDQMSLQANQMLTLCYKIWCRAIEGKRQHYLEPVEGEAEPTDETASIKGTQGFIVNAASLCHRAEMFCLKNIYFQSLTAANIAWEIPHYANHELQRKLTSHCYHAAKEFMELHQYVQALYYLNHTYVKEFRKSREQETLKEEEPLFRETPDLPPSGVEKMYQNYLAESSELYAQATEIEERESWLIGLNNQAQICLSICDHSLRYRRLLRLCKVLHEEGASLEETDIHNVGLHFEIVALRVARQAVPGEPEVVEAPLGLAHDGAGPGDEAEPREDEDEPAPGLLAKVEELLARASYKSKYPEKEPNKHLEVRSLALAAQFKECVEAWPVNAM
+>sp|C9JR72|KBTBD_HUMAN Kelch repeat and BTB domain-containing protein 13 OS=Homo sapiens OX=9606 GN=KBTBD13 PE=1 SV=1
+MARGPQTLVQVWVGGQLFQADRALLVEHCGFFRGLFRSGMRETRAAEVRLGVLSAGGFRATLQVLRGDRPALAAEDELLQAVECAAFLQAPALARFLEHNLTSDNCALLCDAAAAFGLRDVFHSAALFICDGERELAAELALPEARAYVAALRPSSYAAVSTHTPAPGFLEDASRTLCYLDEEEDAWRTLAALPLEASTLLAGVATLGNKLYIVGGVRGASKEVVELGFCYDPDGGTWHEFPSPHQPRYDTALAGFDGRLYAIGGEFQRTPISSVERYDPAAGCWSFVADLPQPAAGVPCAQACGRLFVCLWRPADTTAVVEYAVRTDAWLPVAELRRPQSYGHCMVAHRDSLYVVRNGPSDDFLHCAIDCLNLATGQWTALPGQFVNSKGALFTAVVRGDTVYTVNRMFTLLYAIEGGTWRLLREKAGFPRPGSLQTFLLRLPPGAPGPVTSTTAEL
+>DECOY_sp|C9JR72|KBTBD_HUMAN Kelch repeat and BTB domain-containing protein 13 OS=Homo sapiens OX=9606 GN=KBTBD13 PE=1 SV=1
+LEATTSTVPGPAGPPLRLLFTQLSGPRPFGAKERLLRWTGGEIAYLLTFMRNVTYVTDGRVVATFLAGKSNVFQGPLATWQGTALNLCDIACHLFDDSPGNRVVYLSDRHAVMCHGYSQPRRLEAVPLWADTRVAYEVVATTDAPRWLCVFLRGCAQACPVGAAPQPLDAVFSWCGAAPDYREVSSIPTRQFEGGIAYLRGDFGALATDYRPQHPSPFEHWTGGDPDYCFGLEVVEKSAGRVGGVIYLKNGLTAVGALLTSAELPLAALTRWADEEEDLYCLTRSADELFGPAPTHTSVAAYSSPRLAAVYARAEPLALEAALEREGDCIFLAASHFVDRLGFAAAADCLLACNDSTLNHELFRALAPAQLFAACEVAQLLEDEAALAPRDGRLVQLTARFGGASLVGLRVEAARTERMGSRFLGRFFGCHEVLLARDAQFLQGGVWVQVLTQPGRAM
+>sp|Q8N752|KC1AL_HUMAN Casein kinase I isoform alpha-like OS=Homo sapiens OX=9606 GN=CSNK1A1L PE=2 SV=2
+MTNNSGSKAELVVGGKYKLVRKIGSGSFGDVYLGITTTNGEDVAVKLESQKVKHPQLLYESKLYTILQGGVGIPHMHWYGQEKDNNVLVMDLLGPSLEDLFNFCSRRFTMKTVLMLADQMISRIEYVHTKNFLHRDIKPDNFLMGTGRHCNKLFLIDFGLAKKYRDNRTRQHIPYREDKHLIGTVRYASINAHLGIEQSRRDDMESLGYVFMYFNRTSLPWQGLRAMTKKQKYEKISEKKMSTPVEVLCKGFPAEFAMYLNYCRGLRFEEVPDYMYLRQLFRILFRTLNHQYDYTFDWTMLKQKAAQQAASSSGQGQQAQTQTGKQTEKNKNNVKDN
+>DECOY_sp|Q8N752|KC1AL_HUMAN Casein kinase I isoform alpha-like OS=Homo sapiens OX=9606 GN=CSNK1A1L PE=2 SV=2
+NDKVNNKNKETQKGTQTQAQQGQGSSSAAQQAAKQKLMTWDFTYDYQHNLTRFLIRFLQRLYMYDPVEEFRLGRCYNLYMAFEAPFGKCLVEVPTSMKKESIKEYKQKKTMARLGQWPLSTRNFYMFVYGLSEMDDRRSQEIGLHANISAYRVTGILHKDERYPIHQRTRNDRYKKALGFDILFLKNCHRGTGMLFNDPKIDRHLFNKTHVYEIRSIMQDALMLVTKMTFRRSCFNFLDELSPGLLDMVLVNNDKEQGYWHMHPIGVGGQLITYLKSEYLLQPHKVKQSELKVAVDEGNTTTIGLYVDGFSGSGIKRVLKYKGGVVLEAKSGSNNTM
+>sp|O43448|KCAB3_HUMAN Voltage-gated potassium channel subunit beta-3 OS=Homo sapiens OX=9606 GN=KCNAB3 PE=2 SV=2
+MQVSIACTEQNLRSRSSEDRLCGPRPGPGGGNGGPAGGGHGNPPGGGGSGPKARAALVPRPPAPAGALRESTGRGTGMKYRNLGKSGLRVSCLGLGTWVTFGSQISDETAEDVLTVAYEHGVNLFDTAEVYAAGKAERTLGNILKSKGWRRSSYVITTKIFWGGQAETERGLSRKHIIEGLRGSLERLQLGYVDIVFANRSDPNCPMEEIVRAMTYVINQGLALYWGTSRWGAAEIMEAYSMARQFNLIPPVCEQAEHHLFQREKVEMQLPELYHKIGVGSVTWYPLACGLITSKYDGRVPDTCRASIKGYQWLKDKVQSEDGKKQQAKVMDLLPVAHQLGCTVAQLAIAWCLRSEGVSSVLLGVSSAEQLIEHLGALQVLSQLTPQTVMEIDGLLGNKPHSKK
+>DECOY_sp|O43448|KCAB3_HUMAN Voltage-gated potassium channel subunit beta-3 OS=Homo sapiens OX=9606 GN=KCNAB3 PE=2 SV=2
+KKSHPKNGLLGDIEMVTQPTLQSLVQLAGLHEILQEASSVGLLVSSVGESRLCWAIALQAVTCGLQHAVPLLDMVKAQQKKGDESQVKDKLWQYGKISARCTDPVRGDYKSTILGCALPYWTVSGVGIKHYLEPLQMEVKERQFLHHEAQECVPPILNFQRAMSYAEMIEAAGWRSTGWYLALGQNIVYTMARVIEEMPCNPDSRNAFVIDVYGLQLRELSGRLGEIIHKRSLGRETEAQGGWFIKTTIVYSSRRWGKSKLINGLTREAKGAAYVEATDFLNVGHEYAVTLVDEATEDSIQSGFTVWTGLGLCSVRLGSKGLNRYKMGTGRGTSERLAGAPAPPRPVLAARAKPGSGGGGPPNGHGGGAPGGNGGGPGPRPGCLRDESSRSRLNQETCAISVQM
+>sp|Q693B1|KCD11_HUMAN BTB/POZ domain-containing protein KCTD11 OS=Homo sapiens OX=9606 GN=KCTD11 PE=1 SV=1
+MLGAMFRAGTPMPPNLNSQGGGHYFIDRDGKAFRHILNFLRLGRLDLPRGYGETALLRAEADFYQIRPLLDALRELEASQGTPAPTAALLHADVDVSPRLVHFSARRGPHHYELSSVQVDTFRANLFCTDSECLGALRARFGVASGDRAEGSPHFHLEWAPRPVELPEVEYGRLGLQPLWTGGPGERREVVGTPSFLEEVLRVALEHGFRLDSVFPDPEDLLNSRSLRFVRH
+>DECOY_sp|Q693B1|KCD11_HUMAN BTB/POZ domain-containing protein KCTD11 OS=Homo sapiens OX=9606 GN=KCTD11 PE=1 SV=1
+HRVFRLSRSNLLDEPDPFVSDLRFGHELAVRLVEELFSPTGVVERREGPGGTWLPQLGLRGYEVEPLEVPRPAWELHFHPSGEARDGSAVGFRARLAGLCESDTCFLNARFTDVQVSSLEYHHPGRRASFHVLRPSVDVDAHLLAATPAPTGQSAELERLADLLPRIQYFDAEARLLATEGYGRPLDLRGLRLFNLIHRFAKGDRDIFYHGGGQSNLNPPMPTGARFMAGLM
+>sp|Q96SI1|KCD15_HUMAN BTB/POZ domain-containing protein KCTD15 OS=Homo sapiens OX=9606 GN=KCTD15 PE=1 SV=1
+MPHRKERPSGSSLHTHGSTGTAEGGNMSRLSLTRSPVSPLAAQGIPLPAQLTKSNAPVHIDVGGHMYTSSLATLTKYPDSRISRLFNGTEPIVLDSLKQHYFIDRDGEIFRYVLSFLRTSKLLLPDDFKDFSLLYEEARYYQLQPMVRELERWQQEQEQRRRSRACDCLVVRVTPDLGERIALSGEKALIEEVFPETGDVMCNSVNAGWNQDPTHVIRFPLNGYCRLNSVQVLERLFQRGFSVAASCGGGVDSSQFSEYVLCREERRPQPTPTAVRIKQEPLD
+>DECOY_sp|Q96SI1|KCD15_HUMAN BTB/POZ domain-containing protein KCTD15 OS=Homo sapiens OX=9606 GN=KCTD15 PE=1 SV=1
+DLPEQKIRVATPTPQPRREERCLVYESFQSSDVGGGCSAAVSFGRQFLRELVQVSNLRCYGNLPFRIVHTPDQNWGANVSNCMVDGTEPFVEEILAKEGSLAIREGLDPTVRVVLCDCARSRRRQEQEQQWRELERVMPQLQYYRAEEYLLSFDKFDDPLLLKSTRLFSLVYRFIEGDRDIFYHQKLSDLVIPETGNFLRSIRSDPYKTLTALSSTYMHGGVDIHVPANSKTLQAPLPIGQAALPSVPSRTLSLRSMNGGEATGTSGHTHLSSGSPREKRHPM
+>sp|Q7Z5Y7|KCD20_HUMAN BTB/POZ domain-containing protein KCTD20 OS=Homo sapiens OX=9606 GN=KCTD20 PE=1 SV=1
+MNVHRGSDSDRLLRQEASCLVDDTLAVAQEKEANSLASSGPHNLTYPLGPRNEDLSLDYASQPANLQFPHIMPLAEDIKGSCFQSGNKRNHEPFIAPERFGNSSVGFGSNSHSQAPEKVTLLVDGTRFVVNPQIFTAHPDTMLGRMFGPGREYNFTRPNEKGEYEIAEGISATVFRTVLDYYKTGIINCPDGISIPDLRDTCDYLCINFDFNTIRCQDLSALLHELSNDGAHKQFDHYLEELILPIMVGCAKKGERECHIVVLTDEDSVDWDEDHPPPMGEEYSQILYSSKLYRFFKYIENRDVAKTVLKERGLKNIRIGIEGYPTCKEKIKRRPGGRSEVIYNYVQRPFIQMSWEKEEGKSRHVDFQCVRSKSLTNLVAAGDDVLEDQEILMHHPPQVDELDRLNAPLSQMASNDFQD
+>DECOY_sp|Q7Z5Y7|KCD20_HUMAN BTB/POZ domain-containing protein KCTD20 OS=Homo sapiens OX=9606 GN=KCTD20 PE=1 SV=1
+DQFDNSAMQSLPANLRDLEDVQPPHHMLIEQDELVDDGAAVLNTLSKSRVCQFDVHRSKGEEKEWSMQIFPRQVYNYIVESRGGPRRKIKEKCTPYGEIGIRINKLGREKLVTKAVDRNEIYKFFRYLKSSYLIQSYEEGMPPPHDEDWDVSDEDTLVVIHCEREGKKACGVMIPLILEELYHDFQKHAGDNSLEHLLASLDQCRITNFDFNICLYDCTDRLDPISIGDPCNIIGTKYYDLVTRFVTASIGEAIEYEGKENPRTFNYERGPGFMRGLMTDPHATFIQPNVVFRTGDVLLTVKEPAQSHSNSGFGVSSNGFREPAIFPEHNRKNGSQFCSGKIDEALPMIHPFQLNAPQSAYDLSLDENRPGLPYTLNHPGSSALSNAEKEQAVALTDDVLCSAEQRLLRDSDSGRHVNM
+>sp|Q99712|KCJ15_HUMAN ATP-sensitive inward rectifier potassium channel 15 OS=Homo sapiens OX=9606 GN=KCNJ15 PE=1 SV=2
+MDAIHIGMSSTPLVKHTAGAGLKANRPRVMSKSGHSNVRIDKVDGIYLLYLQDLWTTVIDMKWRYKLTLFAATFVMTWFLFGVIYYAIAFIHGDLEPGEPISNHTPCIMKVDSLTGAFLFSLESQTTIGYGVRSITEECPHAIFLLVAQLVITTLIEIFITGTFLAKIARPKKRAETIKFSHCAVITKQNGKLCLVIQVANMRKSLLIQCQLSGKLLQTHVTKEGERILLNQATVKFHVDSSSESPFLILPMTFYHVLDETSPLRDLTPQNLKEKEFELVVLLNATVESTSAVCQSRTSYIPEEIYWGFEFVPVVSLSKNGKYVADFSQFEQIRKSPDCTFYCADSEKQQLEEKYRQEDQRERELRTLLLQQSNV
+>DECOY_sp|Q99712|KCJ15_HUMAN ATP-sensitive inward rectifier potassium channel 15 OS=Homo sapiens OX=9606 GN=KCNJ15 PE=1 SV=2
+VNSQQLLLTRLERERQDEQRYKEELQQKESDACYFTCDPSKRIQEFQSFDAVYKGNKSLSVVPVFEFGWYIEEPIYSTRSQCVASTSEVTANLLVVLEFEKEKLNQPTLDRLPSTEDLVHYFTMPLILFPSESSSDVHFKVTAQNLLIREGEKTVHTQLLKGSLQCQILLSKRMNAVQIVLCLKGNQKTIVACHSFKITEARKKPRAIKALFTGTIFIEILTTIVLQAVLLFIAHPCEETISRVGYGITTQSELSFLFAGTLSDVKMICPTHNSIPEGPELDGHIFAIAYYIVGFLFWTMVFTAAFLTLKYRWKMDIVTTWLDQLYLLYIGDVKDIRVNSHGSKSMVRPRNAKLGAGATHKVLPTSSMGIHIADM
+>sp|B7U540|KCJ18_HUMAN Inward rectifier potassium channel 18 OS=Homo sapiens OX=9606 GN=KCNJ18 PE=1 SV=3
+MTAASRANPYSIVSLEEDGLHLVTMSGANGFGNGKVHTRRRCRNRFVKKNGQCNIAFANMDEKSQRYLADMFTTCVDIRWRYMLLIFSLAFLASWLLFGVIFWVIAVAHGDLEPAEGHGRTPCVMQVHGFMAAFLFSIETQTTIGYGLRCVTEECLVAVFMVVAQSIVGCIIDSFMIGAIMAKMARPKKRAQTLLFSHNAVVALRDGKLCLMWRVGNLRKSHIVEAHVRAQLIKPRVTEEGEYIPLDQIDIDVGFDKGLDRIFLVSPITILHEIDEASPLFGISRQDLETDDFEIVVILEGMVEATAMTTQARSSYLANEILWGHRFEPVLFEEKNQYKIDYSHFHKTYEVPSTPRCSAKDLVENKFLLPSANSFCYENELAFLSRDEEDEADGDQDGRSRDGLSPQARHDFDRLQAGGGVLEQRPYRRGSEI
+>DECOY_sp|B7U540|KCJ18_HUMAN Inward rectifier potassium channel 18 OS=Homo sapiens OX=9606 GN=KCNJ18 PE=1 SV=3
+IESGRRYPRQELVGGGAQLRDFDHRAQPSLGDRSRGDQDGDAEDEEDRSLFALENEYCFSNASPLLFKNEVLDKASCRPTSPVEYTKHFHSYDIKYQNKEEFLVPEFRHGWLIENALYSSRAQTTMATAEVMGELIVVIEFDDTELDQRSIGFLPSAEDIEHLITIPSVLFIRDLGKDFGVDIDIQDLPIYEGEETVRPKILQARVHAEVIHSKRLNGVRWMLCLKGDRLAVVANHSFLLTQARKKPRAMKAMIAGIMFSDIICGVISQAVVMFVAVLCEETVCRLGYGITTQTEISFLFAAMFGHVQMVCPTRGHGEAPELDGHAVAIVWFIVGFLLWSALFALSFILLMYRWRIDVCTTFMDALYRQSKEDMNAFAINCQGNKKVFRNRCRRRTHVKGNGFGNAGSMTVLHLGDEELSVISYPNARSAATM
+>sp|Q8N5I3|KCNRG_HUMAN Potassium channel regulatory protein OS=Homo sapiens OX=9606 GN=KCNRG PE=1 SV=1
+MSSQELVTLNVGGKIFTTRFSTIKQFPASRLARMLDGRDQEFKMVGGQIFVDRDGDLFSFILDFLRTHQLLLPTEFSDYLRLQREALFYELRSLVDLLNPYLLQPRPALVEVHFLSRNTQAFFRVFGSCSKTIEMLTGRITVFTEQPSAPTWNGNFFPPQMTLLPLPPQRPSYHDLVFQCGSDSTTDNQTGVRYVSIKPDNRKLANGTNVLGLLIDTLLKEGFHLVSTRTVSSEDKTECYSFERIKSPEVLITNETPKPETIIIPEQSQIKK
+>DECOY_sp|Q8N5I3|KCNRG_HUMAN Potassium channel regulatory protein OS=Homo sapiens OX=9606 GN=KCNRG PE=1 SV=1
+KKIQSQEPIIITEPKPTENTILVEPSKIREFSYCETKDESSVTRTSVLHFGEKLLTDILLGLVNTGNALKRNDPKISVYRVGTQNDTTSDSGCQFVLDHYSPRQPPLPLLTMQPPFFNGNWTPASPQETFVTIRGTLMEITKSCSGFVRFFAQTNRSLFHVEVLAPRPQLLYPNLLDVLSRLEYFLAERQLRLYDSFETPLLLQHTRLFDLIFSFLDGDRDVFIQGGVMKFEQDRGDLMRALRSAPFQKITSFRTTFIKGGVNLTVLEQSSM
+>sp|P12277|KCRB_HUMAN Creatine kinase B-type OS=Homo sapiens OX=9606 GN=CKB PE=1 SV=1
+MPFSNSHNALKLRFPAEDEFPDLSAHNNHMAKVLTPELYAELRAKSTPSGFTLDDVIQTGVDNPGHPYIMTVGCVAGDEESYEVFKDLFDPIIEDRHGGYKPSDEHKTDLNPDNLQGGDDLDPNYVLSSRVRTGRSIRGFCLPPHCSRGERRAIEKLAVEALSSLDGDLAGRYYALKSMTEAEQQQLIDDHFLFDKPVSPLLLASGMARDWPDARGIWHNDNKTFLVWVNEEDHLRVISMQKGGNMKEVFTRFCTGLTQIETLFKSKDYEFMWNPHLGYILTCPSNLGTGLRAGVHIKLPNLGKHEKFSEVLKRLRLQKRGTGGVDTAAVGGVFDVSNADRLGFSEVELVQMVVDGVKLLIEMEQRLEQGQAIDDLMPAQK
+>DECOY_sp|P12277|KCRB_HUMAN Creatine kinase B-type OS=Homo sapiens OX=9606 GN=CKB PE=1 SV=1
+KQAPMLDDIAQGQELRQEMEILLKVGDVVMQVLEVESFGLRDANSVDFVGGVAATDVGGTGRKQLRLRKLVESFKEHKGLNPLKIHVGARLGTGLNSPCTLIYGLHPNWMFEYDKSKFLTEIQTLGTCFRTFVEKMNGGKQMSIVRLHDEENVWVLFTKNDNHWIGRADPWDRAMGSALLLPSVPKDFLFHDDILQQQEAETMSKLAYYRGALDGDLSSLAEVALKEIARREGRSCHPPLCFGRISRGTRVRSSLVYNPDLDDGGQLNDPNLDTKHEDSPKYGGHRDEIIPDFLDKFVEYSEEDGAVCGVTMIYPHGPNDVGTQIVDDLTFGSPTSKARLEAYLEPTLVKAMHNNHASLDPFEDEAPFRLKLANHSNSFPM
+>sp|Q14681|KCTD2_HUMAN BTB/POZ domain-containing protein KCTD2 OS=Homo sapiens OX=9606 GN=KCTD2 PE=1 SV=3
+MAELQLDPAMAGLGGGGGSGVGDGGGPVRGPPSPRPAGPTPRGHGRPAAAVAQPLEPGPGPPERAGGGGAARWVRLNVGGTYFVTTRQTLGREPKSFLCRLCCQEDPELDSDKDETGAYLIDRDPTYFGPILNYLRHGKLIITKELAEEGVLEEAEFYNIASLVRLVKERIRDNENRTSQGPVKHVYRVLQCQEEELTQMVSTMSDGWKFEQLISIGSSYNYGNEDQAEFLCVVSRELNNSTNGIVIEPSEKAKILQERGSRM
+>DECOY_sp|Q14681|KCTD2_HUMAN BTB/POZ domain-containing protein KCTD2 OS=Homo sapiens OX=9606 GN=KCTD2 PE=1 SV=3
+MRSGREQLIKAKESPEIVIGNTSNNLERSVVCLFEAQDENGYNYSSGISILQEFKWGDSMTSVMQTLEEEQCQLVRYVHKVPGQSTRNENDRIREKVLRVLSAINYFEAEELVGEEALEKTIILKGHRLYNLIPGFYTPDRDILYAGTEDKDSDLEPDEQCCLRCLFSKPERGLTQRTTVFYTGGVNLRVWRAAGGGGAREPPGPGPELPQAVAAAPRGHGRPTPGAPRPSPPGRVPGGGDGVGSGGGGGLGAMAPDLQLEAM
+>sp|Q96MP8|KCTD7_HUMAN BTB/POZ domain-containing protein KCTD7 OS=Homo sapiens OX=9606 GN=KCTD7 PE=1 SV=1
+MVVVTGREPDSRRQDGAMSSSDAEDDFLEPATPTATQAGHALPLLPQEFPEVVPLNIGGAHFTTRLSTLRCYEDTMLAAMFSGRHYIPTDSEGRYFIDRDGTHFGDVLNFLRSGDLPPRERVRAVYKEAQYYAIGPLLEQLENMQPLKGEKVRQAFLGLMPYYKDHLERIVEIARLRAVQRKARFAKLKVCVFKEEMPITPYECPLLNSLRFERSESDGQLFEHHCEVDVSFGPWEAVADVYDLLHCLVTDLSAQGLTVDHQCIGVCDKHLVNHYYCKRPIYEFKITWW
+>DECOY_sp|Q96MP8|KCTD7_HUMAN BTB/POZ domain-containing protein KCTD7 OS=Homo sapiens OX=9606 GN=KCTD7 PE=1 SV=1
+WWTIKFEYIPRKCYYHNVLHKDCVGICQHDVTLGQASLDTVLCHLLDYVDAVAEWPGFSVDVECHHEFLQGDSESREFRLSNLLPCEYPTIPMEEKFVCVKLKAFRAKRQVARLRAIEVIRELHDKYYPMLGLFAQRVKEGKLPQMNELQELLPGIAYYQAEKYVARVRERPPLDGSRLFNLVDGFHTGDRDIFYRGESDTPIYHRGSFMAALMTDEYCRLTSLRTTFHAGGINLPVVEPFEQPLLPLAHGAQTATPTAPELFDDEADSSSMAGDQRRSDPERGTVVVM
+>sp|O60341|KDM1A_HUMAN Lysine-specific histone demethylase 1A OS=Homo sapiens OX=9606 GN=KDM1A PE=1 SV=2
+MLSGKKAAAAAAAAAAAATGTEAGPGTAGGSENGSEVAAQPAGLSGPAEVGPGAVGERTPRKKEPPRASPPGGLAEPPGSAGPQAGPTVVPGSATPMETGIAETPEGRRTSRRKRAKVEYREMDESLANLSEDEYYSEEERNAKAEKEKKLPPPPPQAPPEEENESEPEEPSGVEGAAFQSRLPHDRMTSQEAACFPDIISGPQQTQKVFLFIRNRTLQLWLDNPKIQLTFEATLQQLEAPYNSDTVLVHRVHSYLERHGLINFGIYKRIKPLPTKKTGKVIIIGSGVSGLAAARQLQSFGMDVTLLEARDRVGGRVATFRKGNYVADLGAMVVTGLGGNPMAVVSKQVNMELAKIKQKCPLYEANGQAVPKEKDEMVEQEFNRLLEATSYLSHQLDFNVLNNKPVSLGQALEVVIQLQEKHVKDEQIEHWKKIVKTQEELKELLNKMVNLKEKIKELHQQYKEASEVKPPRDITAEFLVKSKHRDLTALCKEYDELAETQGKLEEKLQELEANPPSDVYLSSRDRQILDWHFANLEFANATPLSTLSLKHWDQDDDFEFTGSHLTVRNGYSCVPVALAEGLDIKLNTAVRQVRYTASGCEVIAVNTRSTSQTFIYKCDAVLCTLPLGVLKQQPPAVQFVPPLPEWKTSAVQRMGFGNLNKVVLCFDRVFWDPSVNLFGHVGSTTASRGELFLFWNLYKAPILLALVAGEAAGIMENISDDVIVGRCLAILKGIFGSSAVPQPKETVVSRWRADPWARGSYSYVAAGSSGNDYDLMAQPITPGPSIPGAPQPIPRLFFAGEHTIRNYPATVHGALLSGLREAGRIADQFLGAMYTLPRQATPGVPAQQSPSM
+>DECOY_sp|O60341|KDM1A_HUMAN Lysine-specific histone demethylase 1A OS=Homo sapiens OX=9606 GN=KDM1A PE=1 SV=2
+MSPSQQAPVGPTAQRPLTYMAGLFQDAIRGAERLGSLLAGHVTAPYNRITHEGAFFLRPIPQPAGPISPGPTIPQAMLDYDNGSSGAAVYSYSGRAWPDARWRSVVTEKPQPVASSGFIGKLIALCRGVIVDDSINEMIGAAEGAVLALLIPAKYLNWFLFLEGRSATTSGVHGFLNVSPDWFVRDFCLVVKNLNGFGMRQVASTKWEPLPPVFQVAPPQQKLVGLPLTCLVADCKYIFTQSTSRTNVAIVECGSATYRVQRVATNLKIDLGEALAVPVCSYGNRVTLHSGTFEFDDDQDWHKLSLTSLPTANAFELNAFHWDLIQRDRSSLYVDSPPNAELEQLKEELKGQTEALEDYEKCLATLDRHKSKVLFEATIDRPPKVESAEKYQQHLEKIKEKLNVMKNLLEKLEEQTKVIKKWHEIQEDKVHKEQLQIVVELAQGLSVPKNNLVNFDLQHSLYSTAELLRNFEQEVMEDKEKPVAQGNAEYLPCKQKIKALEMNVQKSVVAMPNGGLGTVVMAGLDAVYNGKRFTAVRGGVRDRAELLTVDMGFSQLQRAAALGSVGSGIIIVKGTKKTPLPKIRKYIGFNILGHRELYSHVRHVLVTDSNYPAELQQLTAEFTLQIKPNDLWLQLTRNRIFLFVKQTQQPGSIIDPFCAAEQSTMRDHPLRSQFAAGEVGSPEEPESENEEEPPAQPPPPPLKKEKEAKANREEESYYEDESLNALSEDMERYEVKARKRRSTRRGEPTEAIGTEMPTASGPVVTPGAQPGASGPPEALGGPPSARPPEKKRPTREGVAGPGVEAPGSLGAPQAAVESGNESGGATGPGAETGTAAAAAAAAAAAAKKGSLM
+>sp|Q06136|KDSR_HUMAN 3-ketodihydrosphingosine reductase OS=Homo sapiens OX=9606 GN=KDSR PE=1 SV=1
+MLLLAAAFLVAFVLLLYMVSPLISPKPLALPGAHVVVTGGSSGIGKCIAIECYKQGAFITLVARNEDKLLQAKKEIEMHSINDKQVVLCISVDVSQDYNQVENVIKQAQEKLGPVDMLVNCAGMAVSGKFEDLEVSTFERLMSINYLGSVYPSRAVITTMKERRVGRIVFVSSQAGQLGLFGFTAYSASKFAIRGLAEALQMEVKPYNVYITVAYPPDTDTPGFAEENRTKPLETRLISETTSVCKPEQVAKQIVKDAIQGNFNSSLGSDGYMLSALTCGMAPVTSITEGLQQVVTMGLFRTIALFYLGSFDSIVRRCMMQREKSENADKTA
+>DECOY_sp|Q06136|KDSR_HUMAN 3-ketodihydrosphingosine reductase OS=Homo sapiens OX=9606 GN=KDSR PE=1 SV=1
+ATKDANESKERQMMCRRVISDFSGLYFLAITRFLGMTVVQQLGETISTVPAMGCTLASLMYGDSGLSSNFNGQIADKVIQKAVQEPKCVSTTESILRTELPKTRNEEAFGPTDTDPPYAVTIYVNYPKVEMQLAEALGRIAFKSASYATFGFLGLQGAQSSVFVIRGVRREKMTTIVARSPYVSGLYNISMLREFTSVELDEFKGSVAMGACNVLMDVPGLKEQAQKIVNEVQNYDQSVDVSICLVVQKDNISHMEIEKKAQLLKDENRAVLTIFAGQKYCEIAICKGIGSSGGTVVVHAGPLALPKPSILPSVMYLLLVFAVLFAAALLLM
+>sp|Q5JSQ8|KHDCL_HUMAN Putative KHDC1-like protein OS=Homo sapiens OX=9606 GN=KHDC1L PE=5 SV=1
+MAVGTSALSKEPWWTLPENFHSPMVFHMEEDQEELIFGLDDTYLRCIELHSHTLIQLERCFTATGQTRVTVVGPPMAKQWLLLMFHCVGSQDSKCHARGLKMLERVRSQPLTNDDLVTSVSLPPYTGD
+>DECOY_sp|Q5JSQ8|KHDCL_HUMAN Putative KHDC1-like protein OS=Homo sapiens OX=9606 GN=KHDC1L PE=5 SV=1
+DGTYPPLSVSTVLDDNTLPQSRVRELMKLGRAHCKSDQSGVCHFMLLLWQKAMPPGVVTVRTQGTATFCRELQILTHSHLEICRLYTDDLGFILEEQDEEMHFVMPSHFNEPLTWWPEKSLASTGVAM
+>sp|Q9NQT8|KI13B_HUMAN Kinesin-like protein KIF13B OS=Homo sapiens OX=9606 GN=KIF13B PE=1 SV=2
+MGDSKVKVAVRIRPMNRRETDLHTKCVVDVDANKVILNPVNTNLSKGDARGQPKVFAYDHCFWSMDESVKEKYAGQDIVFKCLGENILQNAFDGYNACIFAYGQTGSGKSYTMMGTADQPGLIPRLCSGLFERTQKEENEEQSFKVEVSYMEIYNEKVRDLLDPKGSRQTLKVREHSVLGPYVDGLSKLAVTSYKDIESLMSEGNKSRTVAATNMNEESSRSHAVFKITLTHTLYDVKSGTSGEKVGKLSLVDLAGSERATKTGAAGDRLKEGSNINKSLTTLGLVISALADQSAGKNKNKFVPYRDSVLTWLLKDSLGGNSKTAMVATVSPAADNYDETLSTLRYADRAKHIVNHAVVNEDPNARIIRDLREEVEKLREQLTKAEAMKSPELKDRLEESEKLIQEMTVTWEEKLRKTEEIAQERQKQLESLGISLQSSGIKVGDDKCFLVNLNADPALNELLVYYLKEHTLIGSANSQDIQLCGMGILPEHCIIDITSEGQVMLTPQKNTRTFVNGSSVSSPIQLHHGDRILWGNNHFFRLNLPKKKKKAEREDEDQDPSMKNENSSEQLDVDGDSSSEVSSEVNFNYEYAQMEVTMKALGSNDPMQSILNSLEQQHEEEKRSALERQRLMYEHELEQLRRRLSPEKQNCRSMDRFSFHSPSAQQRLRQWAEEREATLNNSLMRLREQIVKANLLVREANYIAEELDKRTEYKVTLQIPASSLDANRKRGSLLSEPAIQVRRKGKGKQIWSLEKLDNRLLDMRDLYQEWKECEEDNPVIRSYFKRADPFYDEQENHSLIGVANVFLESLFYDVKLQYAVPIINQKGEVAGRLHVEVMRLSGDVGERIAGGDEVAEVSFEKETQENKLVCMVKILQATGLPQHLSHFVFCKYSFWDQQEPVIVAPEVDTSSSSVSKEPHCMVVFDHCNEFSVNITEDFIEHLSEGALAIEVYGHKINDPRKNPALWDLGIIQAKTRSLRDRWSEVTRKLEFWVQILEQNENGEYCPVEVISAKDVPTGGIFQLRQGQSRRVQVEVKSVQESGTLPLMEECILSVGIGCVKVRPLRAPRTHETFHEEEEDMDSYQDRDLERLRRKWLNALTKRQEYLDQQLQKLVSKRDKTEDDADREAQLLEMRLTLTEERNAVMVPSAGSGIPGAPAEWTPVPGMETHIPVIFLDLNADDFSSQDNLDDPEAGGWDATLTGEEEEEFFELQIVKQHDGEVKAEASWDSAVHGCPQLSRGTPVDERLFLIVRVTVQLSHPADMQLVLRKRICVNVHGRQGFAQSLLKKMSHRSSIPGCGVTFEIVSNIPEDAQGVEEREALARMAANVENPASADSEAYIEKYLRSVLAVENLLTLDRLRQEVAVKEQLTGKGKLSRRSISSPNVNRLSGSRQDLIPSYSLGSNKGRWESQQDVSQTTVSRGIAPAPALSVSPQNNHSPDPGLSNLAASYLNPVKSFVPQMPKLLKSLFPVRDEKRGKRPSPLAHQPVPRIMVQSASPDIRVTRMEEAQPEMGPDVLVQTMGAPALKICDKPAKVPSPPPVIAVTAVTPAPEAQDGPPSPLSEASSGYFSHSVSTATLSDALGPGLDAAAPPGSMPTAPEAEPEAPISHPPPPTAVPAEEPPGPQQLVSPGRERPDLEAPAPGSPFRVRRVRASELRSFSRMLAGDPGCSPGAEGNAPAPGAGGQALASDSEEADEVPEWLREGEFVTVGAHKTGVVRYVGPADFQEGTWVGVELDLPSGKNDGSIGGKQYFRCNPGYGLLVRPSRVRRATGPVRRRSTGLRLGAPEARRSATLSGSATNLASLTAALAKADRSHKNPENRKSWAS
+>DECOY_sp|Q9NQT8|KI13B_HUMAN Kinesin-like protein KIF13B OS=Homo sapiens OX=9606 GN=KIF13B PE=1 SV=2
+SAWSKRNEPNKHSRDAKALAATLSALNTASGSLTASRRAEPAGLRLGTSRRRVPGTARRVRSPRVLLGYGPNCRFYQKGGISGDNKGSPLDLEVGVWTGEQFDAPGVYRVVGTKHAGVTVFEGERLWEPVEDAEESDSALAQGGAGPAPANGEAGPSCGPDGALMRSFSRLESARVRRVRFPSGPAPAELDPRERGPSVLQQPGPPEEAPVATPPPPHSIPAEPEAEPATPMSGPPAAADLGPGLADSLTATSVSHSFYGSSAESLPSPPGDQAEPAPTVATVAIVPPPSPVKAPKDCIKLAPAGMTQVLVDPGMEPQAEEMRTVRIDPSASQVMIRPVPQHALPSPRKGRKEDRVPFLSKLLKPMQPVFSKVPNLYSAALNSLGPDPSHNNQPSVSLAPAPAIGRSVTTQSVDQQSEWRGKNSGLSYSPILDQRSGSLRNVNPSSISRRSLKGKGTLQEKVAVEQRLRDLTLLNEVALVSRLYKEIYAESDASAPNEVNAAMRALAEREEVGQADEPINSVIEFTVGCGPISSRHSMKKLLSQAFGQRGHVNVCIRKRLVLQMDAPHSLQVTVRVILFLREDVPTGRSLQPCGHVASDWSAEAKVEGDHQKVIQLEFFEEEEEGTLTADWGGAEPDDLNDQSSFDDANLDLFIVPIHTEMGPVPTWEAPAGPIGSGASPVMVANREETLTLRMELLQAERDADDETKDRKSVLKQLQQDLYEQRKTLANLWKRRLRELDRDQYSDMDEEEEHFTEHTRPARLPRVKVCGIGVSLICEEMLPLTGSEQVSKVEVQVRRSQGQRLQFIGGTPVDKASIVEVPCYEGNENQELIQVWFELKRTVESWRDRLSRTKAQIIGLDWLAPNKRPDNIKHGYVEIALAGESLHEIFDETINVSFENCHDFVVMCHPEKSVSSSSTDVEPAVIVPEQQDWFSYKCFVFHSLHQPLGTAQLIKVMCVLKNEQTEKEFSVEAVEDGGAIREGVDGSLRMVEVHLRGAVEGKQNIIPVAYQLKVDYFLSELFVNAVGILSHNEQEDYFPDARKFYSRIVPNDEECEKWEQYLDRMDLLRNDLKELSWIQKGKGKRRVQIAPESLLSGRKRNADLSSAPIQLTVKYETRKDLEEAIYNAERVLLNAKVIQERLRMLSNNLTAEREEAWQRLRQQASPSHFSFRDMSRCNQKEPSLRRRLQELEHEYMLRQRELASRKEEEHQQELSNLISQMPDNSGLAKMTVEMQAYEYNFNVESSVESSSDGDVDLQESSNENKMSPDQDEDEREAKKKKKPLNLRFFHNNGWLIRDGHHLQIPSSVSSGNVFTRTNKQPTLMVQGESTIDIICHEPLIGMGCLQIDQSNASGILTHEKLYYVLLENLAPDANLNVLFCKDDGVKIGSSQLSIGLSELQKQREQAIEETKRLKEEWTVTMEQILKESEELRDKLEPSKMAEAKTLQERLKEVEERLDRIIRANPDENVVAHNVIHKARDAYRLTSLTEDYNDAAPSVTAVMATKSNGGLSDKLLWTLVSDRYPVFKNKNKGASQDALASIVLGLTTLSKNINSGEKLRDGAAGTKTARESGALDVLSLKGVKEGSTGSKVDYLTHTLTIKFVAHSRSSEENMNTAAVTRSKNGESMLSEIDKYSTVALKSLGDVYPGLVSHERVKLTQRSGKPDLLDRVKENYIEMYSVEVKFSQEENEEKQTREFLGSCLRPILGPQDATGMMTYSKGSGTQGYAFICANYGDFANQLINEGLCKFVIDQGAYKEKVSEDMSWFCHDYAFVKPQGRADGKSLNTNVPNLIVKNADVDVVCKTHLDTERRNMPRIRVAVKVKSDGM
+>sp|O95235|KI20A_HUMAN Kinesin-like protein KIF20A OS=Homo sapiens OX=9606 GN=KIF20A PE=1 SV=1
+MSQGILSPPAGLLSDDDVVVSPMFESTAADLGSVVRKNLLSDCSVVSTSLEDKQQVPSEDSMEKVKVYLRVRPLLPSELERQEDQGCVRIENVETLVLQAPKDSFALKSNERGIGQATHRFTFSQIFGPEVGQASFFNLTVKEMVKDVLKGQNWLIYTYGVTNSGKTHTIQGTIKDGGILPRSLALIFNSLQGQLHPTPDLKPLLSNEVIWLDSKQIRQEEMKKLSLLNGGLQEEELSTSLKRSVYIESRIGTSTSFDSGIAGLSSISQCTSSSQLDETSHRWAQPDTAPLPVPANIRFSIWISFFEIYNELLYDLLEPPSQQRKRQTLRLCEDQNGNPYVKDLNWIHVQDAEEAWKLLKVGRKNQSFASTHLNQNSSRSHSIFSIRILHLQGEGDIVPKISELSLCDLAGSERCKDQKSGERLKEAGNINTSLHTLGRCIAALRQNQQNRSKQNLVPFRDSKLTRVFQGFFTGRGRSCMIVNVNPCASTYDETLHVAKFSAIASQLVHAPPMQLGFPSLHSFIKEHSLQVSPSLEKGAKADTGLDDDIENEADISMYGKEELLQVVEAMKTLLLKERQEKLQLEMHLRDEICNEMVEQMQQREQWCSEHLDTQKELLEEMYEEKLNILKESLTSFYQEEIQERDEKIEELEALLQEARQQSVAHQQSGSELALRRSQRLAASASTQQLQEVKAKLQQCKAELNSTTEELHKYQKMLEPPPSAKPFTIDVDKKLEEGQKNIRLLRTELQKLGESLQSAERACCHSTGAGKLRQALTTCDDILIKQDQTLAELQNNMVLVKLDLRKKAACIAEQYHTVLKLQGQVSAKKRLGTNQENQQPNQQPPGKKPFLRNLLPRTPTCQSSTDCSPYARILRSRRSPLLKSGPFGKKY
+>DECOY_sp|O95235|KI20A_HUMAN Kinesin-like protein KIF20A OS=Homo sapiens OX=9606 GN=KIF20A PE=1 SV=1
+YKKGFPGSKLLPSRRSRLIRAYPSCDTSSQCTPTRPLLNRLFPKKGPPQQNPQQNEQNTGLRKKASVQGQLKLVTHYQEAICAAKKRLDLKVLVMNNQLEALTQDQKILIDDCTTLAQRLKGAGTSHCCAREASQLSEGLKQLETRLLRINKQGEELKKDVDITFPKASPPPELMKQYKHLEETTSNLEAKCQQLKAKVEQLQQTSASAALRQSRRLALESGSQQHAVSQQRAEQLLAELEEIKEDREQIEEQYFSTLSEKLINLKEEYMEELLEKQTDLHESCWQERQQMQEVMENCIEDRLHMELQLKEQREKLLLTKMAEVVQLLEEKGYMSIDAENEIDDDLGTDAKAGKELSPSVQLSHEKIFSHLSPFGLQMPPAHVLQSAIASFKAVHLTEDYTSACPNVNVIMCSRGRGTFFGQFVRTLKSDRFPVLNQKSRNQQNQRLAAICRGLTHLSTNINGAEKLREGSKQDKCRESGALDCLSLESIKPVIDGEGQLHLIRISFISHSRSSNQNLHTSAFSQNKRGVKLLKWAEEADQVHIWNLDKVYPNGNQDECLRLTQRKRQQSPPELLDYLLENYIEFFSIWISFRINAPVPLPATDPQAWRHSTEDLQSSSTCQSISSLGAIGSDFSTSTGIRSEIYVSRKLSTSLEEEQLGGNLLSLKKMEEQRIQKSDLWIVENSLLPKLDPTPHLQGQLSNFILALSRPLIGGDKITGQITHTKGSNTVGYTYILWNQGKLVDKVMEKVTLNFFSAQGVEPGFIQSFTFRHTAQGIGRENSKLAFSDKPAQLVLTEVNEIRVCGQDEQRELESPLLPRVRLYVKVKEMSDESPVQQKDELSTSVVSCDSLLNKRVVSGLDAATSEFMPSVVVDDDSLLGAPPSLIGQSM
+>sp|Q2KJY2|KI26B_HUMAN Kinesin-like protein KIF26B OS=Homo sapiens OX=9606 GN=KIF26B PE=2 SV=1
+MNSVAGNKERLAVSTRGKKYGVNEVCSPTKPAAPFSPESWYRKAYEESRAGSRPTPEGAGSALGSSGTPSPGSGTSSPSSFTGSPGPASPGIGTSSPGSLGGSPGFGTGSPGSGSGGGSSPGSDRGVWCENCNARLVELKRQALRLLLPGPFPGKDPAFSAVIHDKLQVPNTIRKAWNDRDNRCDICATHLNQLKQEAIQMVLTLEQAAGSEHYDASPCSPPPLSNIPTLVGSRHVGGLQQPRDWAFVPAPCATSNYTGFANKHGSKPSSLGVSNGAEKKSGSPTHQAKVSLQMATSPSNGNILNSVAIQAHQYLDGTWSLSRTNGVTLYPYQISQLMTESSREGLTEAVLNRYNADKPSACSVPASQGSCVASETSTGTSVAASFFARAAQKLNLSSKKKKHRPSTSSAAEPPLFATSFSGILQTSPPPAPPCLLRAVNKVKDTPGLGKVKVMLRICSTLARDTSESSSFLKVDPRKKQITLYDPLTCGGQNAFQKRGNQVPPKMFAFDAVFPQDASQAEVCAGTVAEVIQSVVNGADGCVFCFGHAKLGKSYTMIGKDDSMQNLGIIPCAISWLFKLINERKEKTGARFSVRVSAVEVWGKEENLRDLLSEVATGSLQDGQSPGVYLCEDPICGTQLQNQSELRAPTAEKAAFFLDAAIASRRSHQQDCDEDDHRNSHVFFTLHIYQYRMEKSGKGGMSGGRSRLHLIDLGSCVKALSKNREGGSGLCLSLSALGNVILALVNGSKHIPYKESKLAMLLRESLGNMNCRTTMIAHISAAVGSYAETLSTIQIASRVLRMKKKKTKYTSSSSGGESSCEEGRMRRPTQLRPFHTRATVDPDFPIAHLSSDPDYSSSSEQSCDTVIYIGPNGTALSDKELTDNEGPPDFVPIVPALQKTRGDSRPAEAGEAAAGKSERDCLKCNTFAELQERLDCIDGSEEPSSFPFEELPAQFGPEQASRGPRLSQAAGASPLSESDKEDNGSEGQLTNREGPELPASKMQRSHSPVPAAAPAHSPSPASPRSVPGSSSQHSASPLVQSPSLQSSRESLNSCGFVEGKPRPMGSPRLGIASLSKTSEYKPPSSPSQRCKVYTQKGVLPSPAPLPPSSKDSGVASRESLLQPEVRTPPVGMSPQVLKKSMSAGSEGFPETPVDDEQQAATPSESKKEILSTTMVTVQQPLELNGEDELVFTLVEELTISGVLDSGRPTSIISFNSDCSARALASGSRPVSIISSISEDLECYSSTAPVSEVSITQFLPLPKMSLDEKAQDAGSRRSSISSWLSEMSAGSEGEQSCHSFIAQTCFGHGEAMAEPVASEFVSSLQNTAVVCREKPKASPDNLLILSEMGDDSFNKAAPIKGCKISTVSKAMVTISNTANLSSCEGYIPMKTNITVYPCIAMSPRNIQEPEAPTATPKAGPTLAQSRESKENSAKKEMKFEDPWLKREEEVKKETAHPNEEGMMRCETATGPSNAETRAEQEQDGKPSPGDRLSSSSGEVSASPVTDNFRRVVDGCEMALPGLATQSPVHPNKSVKSSSLPRAFQKASRQEEPDSLSYYCAAETNGVGAASGTPPSKATLEGKVASPKHCVLARPKGTPPLPPVRKSSLDQKNRASPQHSASGSGTSSPLNQPAAFPAGLPDEPSGKTKDASSSSKLFSAKLEQLASRSNSLGRATVSHYECLSLERAESLSSVSSRLHAGKDGTMPRAGRSLGRSAGTSPPSSGASPKAGQSKISAVSRLLLASPRARGPSASTTKTLSFSTKSLPQAVGQGSSSPPGGKHTPWSTQSLSRNRSSGLASKLPLRAVSGRISELLQGGAGARGLQLRAGPEAEARGGALAEDEPAAAHLLPSPYSKITPPRRPHRCSSGHGSDNSSVLSGELPPAMGKTALFYHSGGSSGYESVMRDSEATGSASSAQDSTSENSSSVGGRCRSLKTPKKRSNPGSQRRRLIPALSLDTSSPVRKPPNSTGVRWVDGPLRSSPRGLGEPFEIKVYEIDDVERLQRRRGGASKEAMCFNAKLKILEHRQQRIAEVRAKYEWLMKELEATKQYLMLDPNKWLSEFDLEQVWELDSLEYLEALECVTERLESRVNFCKAHLMMITCFDITSRRR
+>DECOY_sp|Q2KJY2|KI26B_HUMAN Kinesin-like protein KIF26B OS=Homo sapiens OX=9606 GN=KIF26B PE=2 SV=1
+RRRSTIDFCTIMMLHAKCFNVRSELRETVCELAELYELSDLEWVQELDFESLWKNPDLMLYQKTAELEKMLWEYKARVEAIRQQRHELIKLKANFCMAEKSAGGRRRQLREVDDIEYVKIEFPEGLGRPSSRLPGDVWRVGTSNPPKRVPSSTDLSLAPILRRRQSGPNSRKKPTKLSRCRGGVSSSNESTSDQASSASGTAESDRMVSEYGSSGGSHYFLATKGMAPPLEGSLVSSNDSGHGSSCRHPRRPPTIKSYPSPLLHAAAPEDEALAGGRAEAEPGARLQLGRAGAGGQLLESIRGSVARLPLKSALGSSRNRSLSQTSWPTHKGGPPSSSGQGVAQPLSKTSFSLTKTTSASPGRARPSALLLRSVASIKSQGAKPSAGSSPPSTGASRGLSRGARPMTGDKGAHLRSSVSSLSEARELSLCEYHSVTARGLSNSRSALQELKASFLKSSSSADKTKGSPEDPLGAPFAAPQNLPSSTGSGSASHQPSARNKQDLSSKRVPPLPPTGKPRALVCHKPSAVKGELTAKSPPTGSAAGVGNTEAACYYSLSDPEEQRSAKQFARPLSSSKVSKNPHVPSQTALGPLAMECGDVVRRFNDTVPSASVEGSSSSLRDGPSPKGDQEQEARTEANSPGTATECRMMGEENPHATEKKVEEERKLWPDEFKMEKKASNEKSERSQALTPGAKPTATPAEPEQINRPSMAICPYVTINTKMPIYGECSSLNATNSITVMAKSVTSIKCGKIPAAKNFSDDGMESLILLNDPSAKPKERCVVATNQLSSVFESAVPEAMAEGHGFCTQAIFSHCSQEGESGASMESLWSSISSRRSGADQAKEDLSMKPLPLFQTISVESVPATSSYCELDESISSIISVPRSGSALARASCDSNFSIISTPRGSDLVGSITLEEVLTFVLEDEGNLELPQQVTVMTTSLIEKKSESPTAAQQEDDVPTEPFGESGASMSKKLVQPSMGVPPTRVEPQLLSERSAVGSDKSSPPLPAPSPLVGKQTYVKCRQSPSSPPKYESTKSLSAIGLRPSGMPRPKGEVFGCSNLSERSSQLSPSQVLPSASHQSSSGPVSRPSAPSPSHAPAAAPVPSHSRQMKSAPLEPGERNTLQGESGNDEKDSESLPSAGAAQSLRPGRSAQEPGFQAPLEEFPFSSPEESGDICDLREQLEAFTNCKLCDRESKGAAAEGAEAPRSDGRTKQLAPVIPVFDPPGENDTLEKDSLATGNPGIYIVTDCSQESSSSYDPDSSLHAIPFDPDVTARTHFPRLQTPRRMRGEECSSEGGSSSSTYKTKKKKMRLVRSAIQITSLTEAYSGVAASIHAIMTTRCNMNGLSERLLMALKSEKYPIHKSGNVLALIVNGLASLSLCLGSGGERNKSLAKVCSGLDILHLRSRGGSMGGKGSKEMRYQYIHLTFFVHSNRHDDEDCDQQHSRRSAIAADLFFAAKEATPARLESQNQLQTGCIPDECLYVGPSQGDQLSGTAVESLLDRLNEEKGWVEVASVRVSFRAGTKEKRENILKFLWSIACPIIGLNQMSDDKGIMTYSKGLKAHGFCFVCGDAGNVVSQIVEAVTGACVEAQSADQPFVADFAFMKPPVQNGRKQFANQGGCTLPDYLTIQKKRPDVKLFSSSESTDRALTSCIRLMVKVKGLGPTDKVKNVARLLCPPAPPPSTQLIGSFSTAFLPPEAASSTSPRHKKKKSSLNLKQAARAFFSAAVSTGTSTESAVCSGQSAPVSCASPKDANYRNLVAETLGERSSETMLQSIQYPYLTVGNTRSLSWTGDLYQHAQIAVSNLINGNSPSTAMQLSVKAQHTPSGSKKEAGNSVGLSSPKSGHKNAFGTYNSTACPAPVFAWDRPQQLGGVHRSGVLTPINSLPPPSCPSADYHESGAAQELTLVMQIAEQKLQNLHTACIDCRNDRDNWAKRITNPVQLKDHIVASFAPDKGPFPGPLLLRLAQRKLEVLRANCNECWVGRDSGPSSGGGSGSGPSGTGFGPSGGLSGPSSTGIGPSAPGPSGTFSSPSSTGSGPSPTGSSGLASGAGEPTPRSGARSEEYAKRYWSEPSFPAAPKTPSCVENVGYKKGRTSVALREKNGAVSNM
+>sp|P43626|KI2L1_HUMAN Killer cell immunoglobulin-like receptor 2DL1 OS=Homo sapiens OX=9606 GN=KIR2DL1 PE=1 SV=1
+MSLLVVSMACVGFFLLQGAWPHEGVHRKPSLLAHPGPLVKSEETVILQCWSDVMFEHFLLHREGMFNDTLRLIGEHHDGVSKANFSISRMTQDLAGTYRCYGSVTHSPYQVSAPSDPLDIVIIGLYEKPSLSAQPGPTVLAGENVTLSCSSRSSYDMYHLSREGEAHERRLPAGPKVNGTFQADFPLGPATHGGTYRCFGSFHDSPYEWSKSSDPLLVSVTGNPSNSWPSPTEPSSKTGNPRHLHILIGTSVVIILFILLFFLLHRWCSNKKNAAVMDQESAGNRTANSEDSDEQDPQEVTYTQLNHCVFTQRKITRPSQRPKTPPTDIIVYTELPNAESRSKVVSCP
+>DECOY_sp|P43626|KI2L1_HUMAN Killer cell immunoglobulin-like receptor 2DL1 OS=Homo sapiens OX=9606 GN=KIR2DL1 PE=1 SV=1
+PCSVVKSRSEANPLETYVIIDTPPTKPRQSPRTIKRQTFVCHNLQTYTVEQPDQEDSDESNATRNGASEQDMVAANKKNSCWRHLLFFLLIFLIIVVSTGILIHLHRPNGTKSSPETPSPWSNSPNGTVSVLLPDSSKSWEYPSDHFSGFCRYTGGHTAPGLPFDAQFTGNVKPGAPLRREHAEGERSLHYMDYSSRSSCSLTVNEGALVTPGPQASLSPKEYLGIIVIDLPDSPASVQYPSHTVSGYCRYTGALDQTMRSISFNAKSVGDHHEGILRLTDNFMGERHLLFHEFMVDSWCQLIVTEESKVLPGPHALLSPKRHVGEHPWAGQLLFFGVCAMSVVLLSM
+>sp|Q99706|KI2L4_HUMAN Killer cell immunoglobulin-like receptor 2DL4 OS=Homo sapiens OX=9606 GN=KIR2DL4 PE=1 SV=3
+MSMSPTVIILACLGFFLDQSVWAHVGGQDKPFCSAWPSAVVPQGGHVTLRCHYRRGFNIFTLYKKDGVPVPELYNRIFWNSFLISPVTPAHAGTYRCRGFHPHSPTEWSAPSNPLVIMVTGLYEKPSLTARPGPTVRAGENVTLSCSSQSSFDIYHLSREGEAHELRLPAVPSINGTFQADFPLGPATHGETYRCFGSFHGSPYEWSDPSDPLPVSVTGNPSSSWPSPTEPSFKTGIARHLHAVIRYSVAIILFTILPFFLLHRWCSKKKDAAVMNQEPAGHRTVNREDSDEQDPQEVTYAQLDHCIFTQRKITGPSQRSKRPSTDTSVCIELPNAEPRALSPAHEHHSQALMGSSRETTALSQTQLASSNVPAAGI
+>DECOY_sp|Q99706|KI2L4_HUMAN Killer cell immunoglobulin-like receptor 2DL4 OS=Homo sapiens OX=9606 GN=KIR2DL4 PE=1 SV=3
+IGAAPVNSSALQTQSLATTERSSGMLAQSHHEHAPSLARPEANPLEICVSTDTSPRKSRQSPGTIKRQTFICHDLQAYTVEQPDQEDSDERNVTRHGAPEQNMVAADKKKSCWRHLLFFPLITFLIIAVSYRIVAHLHRAIGTKFSPETPSPWSSSPNGTVSVPLPDSPDSWEYPSGHFSGFCRYTEGHTAPGLPFDAQFTGNISPVAPLRLEHAEGERSLHYIDFSSQSSCSLTVNEGARVTPGPRATLSPKEYLGTVMIVLPNSPASWETPSHPHFGRCRYTGAHAPTVPSILFSNWFIRNYLEPVPVGDKKYLTFINFGRRYHCRLTVHGGQPVVASPWASCFPKDQGGVHAWVSQDLFFGLCALIIVTPSMSM
+>sp|P43630|KI3L2_HUMAN Killer cell immunoglobulin-like receptor 3DL2 OS=Homo sapiens OX=9606 GN=KIR3DL2 PE=1 SV=1
+MSLTVVSMACVGFFLLQGAWPLMGGQDKPFLSARPSTVVPRGGHVALQCHYRRGFNNFMLYKEDRSHVPIFHGRIFQESFIMGPVTPAHAGTYRCRGSRPHSLTGWSAPSNPLVIMVTGNHRKPSLLAHPGPLLKSGETVILQCWSDVMFEHFFLHREGISEDPSRLVGQIHDGVSKANFSIGPLMPVLAGTYRCYGSVPHSPYQLSAPSDPLDIVITGLYEKPSLSAQPGPTVQAGENVTLSCSSWSSYDIYHLSREGEAHERRLRAVPKVNRTFQADFPLGPATHGGTYRCFGSFRALPCVWSNSSDPLLVSVTGNPSSSWPSPTEPSSKSGICRHLHVLIGTSVVIFLFILLLFFLLYRWCSNKKNAAVMDQEPAGDRTVNRQDSDEQDPQEVTYAQLDHCVFIQRKISRPSQRPKTPLTDTSVYTELPNAEPRSKVVSCPRAPQSGLEGVF
+>DECOY_sp|P43630|KI3L2_HUMAN Killer cell immunoglobulin-like receptor 3DL2 OS=Homo sapiens OX=9606 GN=KIR3DL2 PE=1 SV=1
+FVGELGSQPARPCSVVKSRPEANPLETYVSTDTLPTKPRQSPRSIKRQIFVCHDLQAYTVEQPDQEDSDQRNVTRDGAPEQDMVAANKKNSCWRYLLFFLLLIFLFIVVSTGILVHLHRCIGSKSSPETPSPWSSSPNGTVSVLLPDSSNSWVCPLARFSGFCRYTGGHTAPGLPFDAQFTRNVKPVARLRREHAEGERSLHYIDYSSWSSCSLTVNEGAQVTPGPQASLSPKEYLGTIVIDLPDSPASLQYPSHPVSGYCRYTGALVPMLPGISFNAKSVGDHIQGVLRSPDESIGERHLFFHEFMVDSWCQLIVTEGSKLLPGPHALLSPKRHNGTVMIVLPNSPASWGTLSHPRSGRCRYTGAHAPTVPGMIFSEQFIRGHFIPVHSRDEKYLMFNNFGRRYHCQLAVHGGRPVVTSPRASLFPKDQGGMLPWAGQLLFFGVCAMSVVTLSM
+>sp|Q9H7L2|KI3X1_HUMAN Putative killer cell immunoglobulin-like receptor-like protein KIR3DX1 OS=Homo sapiens OX=9606 GN=KIR3DX1 PE=5 SV=2
+MAPKLITVLCLGFCLNQKICPHAGAQDKFSLSAWPSPVVPLGGRVTLSCHSHLRFVIWTIFQTTGTRSHELHTGLSNNITISPVTPEHAGTYRCVGIYKHASKWSAESNSLKIIVTGLFTKPSISAHPSSLVHAGARVSLRCHSELAFDEFILYKEGHIQHSQQLDQGMEAGIHYVEAVFSMGPVTPAHAGAYRCCGCFSHSRYEWSAPSDPLDIVITGKYKKPSLSTQVDPMMRLGEKLTLFCSSEISFDQYHLFRHGVAHGQWLSGGQRHREAFQANFSVGRATPVPGGTYRCYGSFNDSPYKPPVTRCNFTPQETLRVLLCHSQNPPLNLTHLALKDSPATCICSLDSQ
+>DECOY_sp|Q9H7L2|KI3X1_HUMAN Putative killer cell immunoglobulin-like receptor-like protein KIR3DX1 OS=Homo sapiens OX=9606 GN=KIR3DX1 PE=5 SV=2
+QSDLSCICTAPSDKLALHTLNLPPNQSHCLLVRLTEQPTFNCRTVPPKYPSDNFSGYCRYTGGPVPTARGVSFNAQFAERHRQGGSLWQGHAVGHRFLHYQDFSIESSCFLTLKEGLRMMPDVQTSLSPKKYKGTIVIDLPDSPASWEYRSHSFCGCCRYAGAHAPTVPGMSFVAEVYHIGAEMGQDLQQSHQIHGEKYLIFEDFALESHCRLSVRAGAHVLSSPHASISPKTFLGTVIIKLSNSEASWKSAHKYIGVCRYTGAHEPTVPSITINNSLGTHLEHSRTGTTQFITWIVFRLHSHCSLTVRGGLPVVPSPWASLSFKDQAGAHPCIKQNLCFGLCLVTILKPAM
+>sp|Q9Y6Z4|KIAS1_HUMAN Putative uncharacterized protein KIF25-AS1 OS=Homo sapiens OX=9606 GN=KIF25-AS1 PE=5 SV=2
+MGDIFKNNGVLQGRLRAVACAPHCFGPRLRCLHHDQGLTELAWGTWPHSHPVRHQPQMPSARECCSIVCMAAKEVSAPKAPGSPWMVPGDVAMSGHRVGALDERGHPNPQTGHCRGGSVSVTWSSVSCCRGRLAAVRVMIARDPSTCHLAKGCSPAWGFLPQARGPAGTRTPQRRCSSHEA
+>DECOY_sp|Q9Y6Z4|KIAS1_HUMAN Putative uncharacterized protein KIF25-AS1 OS=Homo sapiens OX=9606 GN=KIF25-AS1 PE=5 SV=2
+AEHSSCRRQPTRTGAPGRAQPLFGWAPSCGKALHCTSPDRAIMVRVAALRGRCCSVSSWTVSVSGGRCHGTQPNPHGREDLAGVRHGSMAVDGPVMWPSGPAKPASVEKAAMCVISCCERASPMQPQHRVPHSHPWTGWALETLGQDHHLCRLRPGFCHPACAVARLRGQLVGNNKFIDGM
+>sp|Q8NEZ4|KMT2C_HUMAN Histone-lysine N-methyltransferase 2C OS=Homo sapiens OX=9606 GN=KMT2C PE=1 SV=3
+MSSEEDKSVEQPQPPPPPPEEPGAPAPSPAAADKRPRGRPRKDGASPFQRARKKPRSRGKTAVEDEDSMDGLETTETETIVETEIKEQSAEEDAEAEVDNSKQLIPTLQRSVSEESANSLVSVGVEAKISEQLCAFCYCGEKSSLGQGDLKQFRITPGFILPWRNQPSNKKDIDDNSNGTYEKMQNSAPRKQRGQRKERSPQQNIVSCVSVSTQTASDDQAGKLWDELSLVGLPDAIDIQALFDSTGTCWAHHRCVEWSLGVCQMEEPLLVNVDKAVVSGSTERCAFCKHLGATIKCCEEKCTQMYHYPCAAGAGTFQDFSHIFLLCPEHIDQAPERSKEDANCAVCDSPGDLLDQFFCTTCGQHYHGMCLDIAVTPLKRAGWQCPECKVCQNCKQSGEDSKMLVCDTCDKGYHTFCLQPVMKSVPTNGWKCKNCRICIECGTRSSSQWHHNCLICDNCYQQQDNLCPFCGKCYHPELQKDMLHCNMCKRWVHLECDKPTDHELDTQLKEEYICMYCKHLGAEMDRLQPGEEVEIAELTTDYNNEMEVEGPEDQMVFSEQAANKDVNGQESTPGIVPDAVQVHTEEQQKSHPSESLDTDSLLIAVSSQHTVNTELEKQISNEVDSEDLKMSSEVKHICGEDQIEDKMEVTENIEVVTHQITVQQEQLQLLEEPETVVSREESRPPKLVMESVTLPLETLVSPHEESISLCPEEQLVIERLQGEKEQKENSELSTGLMDSEMTPTIEGCVKDVSYQGGKSIKLSSETESSFSSSADISKADVSSSPTPSSDLPSHDMLHNYPSALSSSAGNIMPTTYISVTPKIGMGKPAITKRKFSPGRPRSKQGAWSTHNTVSPPSWSPDISEGREIFKPRQLPGSAIWSIKVGRGSGFPGKRRPRGAGLSGRGGRGRSKLKSGIGAVVLPGVSTADISSNKDDEENSMHNTVVLFSSSDKFTLNQDMCVVCGSFGQGAEGRLLACSQCGQCYHPYCVSIKITKVVLSKGWRCLECTVCEACGKATDPGRLLLCDDCDISYHTYCLDPPLQTVPKGGWKCKWCVWCRHCGATSAGLRCEWQNNYTQCAPCASLSSCPVCYRNYREEDLILQCRQCDRWMHAVCQNLNTEEEVENVADIGFDCSMCRPYMPASNVPSSDCCESSLVAQIVTKVKELDPPKTYTQDGVCLTESGMTQLQSLTVTVPRRKRSKPKLKLKIINQNSVAVLQTPPDIQSEHSRDGEMDDSREGELMDCDGKSESSPEREAVDDETKGVEGTDGVKKRKRKPYRPGIGGFMVRQRSRTGQGKTKRSVIRKDSSGSISEQLPCRDDGWSEQLPDTLVDESVSVTESTEKIKKRYRKRKNKLEETFPAYLQEAFFGKDLLDTSRQSKISLDNLSEDGAQLLYKTNMNTGFLDPSLDPLLSSSSAPTKSGTHGPADDPLADISEVLNTDDDILGIISDDLAKSVDHSDIGPVTDDPSSLPQPNVNQSSRPLSEEQLDGILSPELDKMVTDGAILGKLYKIPELGGKDVEDLFTAVLSPANTQPTPLPQPPPPTQLLPIHNQDAFSRMPLMNGLIGSSPHLPHNSLPPGSGLGTFSAIAQSSYPDARDKNSAFNPMASDPNNSWTSSAPTVEGENDTMSNAQRSTLKWEKEEALGEMATVAPVLYTNINFPNLKEEFPDWTTRVKQIAKLWRKASSQERAPYVQKARDNRAALRINKVQMSNDSMKRQQQQDSIDPSSRIDSELFKDPLKQRESEHEQEWKFRQQMRQKSKQQAKIEATQKLEQVKNEQQQQQQQQFGSQHLLVQSGSDTPSSGIQSPLTPQPGNGNMSPAQSFHKELFTKQPPSTPTSTSSDDVFVKPQAPPPPPAPSRIPIQDSLSQAQTSQPPSPQVFSPGSSNSRPPSPMDPYAKMVGTPRPPPVGHSFSRRNSAAPVENCTPLSSVSRPLQMNETTANRPSPVRDLCSSSTTNNDPYAKPPDTPRPVMTDQFPKSLGLSRSPVVSEQTAKGPIAAGTSDHFTKPSPRADVFQRQRIPDSYARPLLTPAPLDSGPGPFKTPMQPPPSSQDPYGSVSQASRRLSVDPYERPALTPRPIDNFSHNQSNDPYSQPPLTPHPAVNESFAHPSRAFSQPGTISRPTSQDPYSQPPGTPRPVVDSYSQSSGTARSNTDPYSQPPGTPRPTTVDPYSQQPQTPRPSTQTDLFVTPVTNQRHSDPYAHPPGTPRPGISVPYSQPPATPRPRISEGFTRSSMTRPVLMPNQDPFLQAAQNRGPALPGPLVRPPDTCSQTPRPPGPGLSDTFSRVSPSAARDPYDQSPMTPRSQSDSFGTSQTAHDVADQPRPGSEGSFCASSNSPMHSQGQQFSGVSQLPGPVPTSGVTDTQNTVNMAQADTEKLRQRQKLREIILQQQQQKKIAGRQEKGSQDSPAVPHPGPLQHWQPENVNQAFTRPPPPYPGNIRSPVAPPLGPRYAVFPKDQRGPYPPDVASMGMRPHGFRFGFPGGSHGTMPSQERFLVPPQQIQGSGVSPQLRRSVSVDMPRPLNNSQMNNPVGLPQHFSPQSLPVQQHNILGQAYIELRHRAPDGRQRLPFSAPPGSVVEASSNLRHGNFIPRPDFPGPRHTDPMRRPPQGLPNQLPVHPDLEQVPPSQQEQGHSVHSSSMVMRTLNHPLGGEFSEAPLSTSVPSETTSDNLQITTQPSDGLEEKLDSDDPSVKELDVKDLEGVEVKDLDDEDLENLNLDTEDGKVVELDTLDNLETNDPNLDDLLRSGEFDIIAYTDPELDMGDKKSMFNEELDLPIDDKLDNQCVSVEPKKKEQENKTLVLSDKHSPQKKSTVTNEVKTEVLSPNSKVESKCETEKNDENKDNVDTPCSQASAHSDLNDGEKTSLHPCDPDLFEKRTNRETAGPSANVIQASTQLPAQDVINSCGITGSTPVLSSLLANEKSDNSDIRPSGSPPPPTLPASPSNHVSSLPPFIAPPGRVLDNAMNSNVTVVSRVNHVFSQGVQVNPGLIPGQSTVNHSLGTGKPATQTGPQTSQSGTSSMSGPQQLMIPQTLAQQNRERPLLLEEQPLLLQDLLDQERQEQQQQRQMQAMIRQRSEPFFPNIDFDAITDPIMKAKMVALKGINKVMAQNNLGMPPMVMSRFPFMGQVVTGTQNSEGQNLGPQAIPQDGSITHQISRPNPPNFGPGFVNDSQRKQYEEWLQETQQLLQMQQKYLEEQIGAHRKSKKALSAKQRTAKKAGREFPEEDAEQLKHVTEQQSMVQKQLEQIRKQQKEHAELIEDYRIKQQQQCAMAPPTMMPSVQPQPPLIPGATPPTMSQPTFPMVPQQLQHQQHTTVISGHTSPVRMPSLPGWQPNSAPAHLPLNPPRIQPPIAQLPIKTCTPAPGTVSNANPQSGPPPRVEFDDNNPFSESFQERERKERLREQQERQRIQLMQEVDRQRALQQRMEMEQHGMVGSEISSSRTSVSQIPFYSSDLPCDFMQPLGPLQQSPQHQQQMGQVLQQQNIQQGSINSPSTQTFMQTNERRQVGPPSFVPDSPSIPVGSPNFSSVKQGHGNLSGTSFQQSPVRPSFTPALPAAPPVANSSLPCGQDSTITHGHSYPGSTQSLIQLYSDIIPEEKGKKKRTRKKKRDDDAESTKAPSTPHSDITAPPTPGISETTSTPAVSTPSELPQQADQESVEPVGPSTPNMAAGQLCTELENKLPNSDFSQATPNQQTYANSEVDKLSMETPAKTEEIKLEKAETESCPGQEEPKLEEQNGSKVEGNAVACPVSSAQSPPHSAGAPAAKGDSGNELLKHLLKNKKSSSLLNQKPEGSICSEDDCTKDNKLVEKQNPAEGLQTLGAQMQGGFGCGNQLPKTDGGSETKKQRSKRTQRTGEKAAPRSKKRKKDEEEKQAMYSSTDTFTHLKQQNNLSNPPTPPASLPPTPPPMACQKMANGFATTEELAGKAGVLVSHEVTKTLGPKPFQLPFRPQDDLLARALAQGPKTVDVPASLPTPPHNNQEELRIQDHCGDRDTPDSFVPSSSPESVVGVEVSRYPDLSLVKEEPPEPVPSPIIPILPSTAGKSSESRRNDIKTEPGTLYFASPFGPSPNGPRSGLISVAITLHPTAAENISSVVAAFSDLLHVRIPNSYEVSSAPDVPSMGLVSSHRINPGLEYRQHLLLRGPPPGSANPPRLVSSYRLKQPNVPFPPTSNGLSGYKDSSHGIAESAALRPQWCCHCKVVILGSGVRKSFKDLTLLNKDSRESTKRVEKDIVFCSNNCFILYSSTAQAKNSENKESIPSLPQSPMRETPSKAFHQYSNNISTLDVHCLPQLPEKASPPASPPIAFPPAFEAAQVEAKPDELKVTVKLKPRLRAVHGGFEDCRPLNKKWRGMKWKKWSIHIVIPKGTFKPPCEDEIDEFLKKLGTSLKPDPVPKDYRKCCFCHEEGDGLTDGPARLLNLDLDLWVHLNCALWSTEVYETQAGALINVELALRRGLQMKCVFCHKTGATSGCHRFRCTNIYHFTCAIKAQCMFFKDKTMLCPMHKPKGIHEQELSYFAVFRRVYVQRDEVRQIASIVQRGERDHTFRVGSLIFHTIGQLLPQQMQAFHSPKALFPVGYEASRLYWSTRYANRRCRYLCSIEEKDGRPVFVIRIVEQGHEDLVLSDISPKGVWDKILEPVACVRKKSEMLQLFPAYLKGEDLFGLTVSAVARIAESLPGVEACENYTFRYGRNPLMELPLAVNPTGCARSEPKMSAHVKRFVLRPHTLNSTSTSKSFQSTVTGELNAPYSKQFVHSKSSQYRKMKTEWKSNVYLARSRIQGLGLYAARDIEKHTMVIEYIGTIIRNEVANRKEKLYESQNRGVYMFRMDNDHVIDATLTGGPARYINHSCAPNCVAEVVTFERGHKIIISSSRRIQKGEELCYDYKFDFEDDQHKIPCHCGAVNCRKWMN
+>DECOY_sp|Q8NEZ4|KMT2C_HUMAN Histone-lysine N-methyltransferase 2C OS=Homo sapiens OX=9606 GN=KMT2C PE=1 SV=3
+NMWKRCNVAGCHCPIKHQDDEFDFKYDYCLEEGKQIRRSSSIIIKHGREFTVVEAVCNPACSHNIYRAPGGTLTADIVHDNDMRFMYVGRNQSEYLKEKRNAVENRIITGIYEIVMTHKEIDRAAYLGLGQIRSRALYVNSKWETKMKRYQSSKSHVFQKSYPANLEGTVTSQFSKSTSTSNLTHPRLVFRKVHASMKPESRACGTPNVALPLEMLPNRGYRFTYNECAEVGPLSEAIRAVASVTLGFLDEGKLYAPFLQLMESKKRVCAVPELIKDWVGKPSIDSLVLDEHGQEVIRIVFVPRGDKEEISCLYRCRRNAYRTSWYLRSAEYGVPFLAKPSHFAQMQQPLLQGITHFILSGVRFTHDREGRQVISAIQRVEDRQVYVRRFVAFYSLEQEHIGKPKHMPCLMTKDKFFMCQAKIACTFHYINTCRFRHCGSTAGTKHCFVCKMQLGRRLALEVNILAGAQTEYVETSWLACNLHVWLDLDLNLLRAPGDTLGDGEEHCFCCKRYDKPVPDPKLSTGLKKLFEDIEDECPPKFTGKPIVIHISWKKWKMGRWKKNLPRCDEFGGHVARLRPKLKVTVKLEDPKAEVQAAEFAPPFAIPPSAPPSAKEPLQPLCHVDLTSINNSYQHFAKSPTERMPSQPLSPISEKNESNKAQATSSYLIFCNNSCFVIDKEVRKTSERSDKNLLTLDKFSKRVGSGLIVVKCHCCWQPRLAASEAIGHSSDKYGSLGNSTPPFPVNPQKLRYSSVLRPPNASGPPPGRLLLHQRYELGPNIRHSSVLGMSPVDPASSVEYSNPIRVHLLDSFAAVVSSINEAATPHLTIAVSILGSRPGNPSPGFPSAFYLTGPETKIDNRRSESSKGATSPLIPIIPSPVPEPPEEKVLSLDPYRSVEVGVVSEPSSSPVFSDPTDRDGCHDQIRLEEQNNHPPTPLSAPVDVTKPGQALARALLDDQPRFPLQFPKPGLTKTVEHSVLVGAKGALEETTAFGNAMKQCAMPPPTPPLSAPPTPPNSLNNQQKLHTFTDTSSYMAQKEEEDKKRKKSRPAAKEGTRQTRKSRQKKTESGGDTKPLQNGCGFGGQMQAGLTQLGEAPNQKEVLKNDKTCDDESCISGEPKQNLLSSSKKNKLLHKLLENGSDGKAAPAGASHPPSQASSVPCAVANGEVKSGNQEELKPEEQGPCSETEAKELKIEETKAPTEMSLKDVESNAYTQQNPTAQSFDSNPLKNELETCLQGAAMNPTSPGVPEVSEQDAQQPLESPTSVAPTSTTESIGPTPPATIDSHPTSPAKTSEADDDRKKKRTRKKKGKEEPIIDSYLQILSQTSGPYSHGHTITSDQGCPLSSNAVPPAAPLAPTFSPRVPSQQFSTGSLNGHGQKVSSFNPSGVPISPSDPVFSPPGVQRRENTQMFTQTSPSNISGQQINQQQLVQGMQQQHQPSQQLPGLPQMFDCPLDSSYFPIQSVSTRSSSIESGVMGHQEMEMRQQLARQRDVEQMLQIRQREQQERLREKREREQFSESFPNNDDFEVRPPPGSQPNANSVTGPAPTCTKIPLQAIPPQIRPPNLPLHAPASNPQWGPLSPMRVPSTHGSIVTTHQQHQLQQPVMPFTPQSMTPPTAGPILPPQPQVSPMMTPPAMACQQQQKIRYDEILEAHEKQQKRIQELQKQVMSQQETVHKLQEADEEPFERGAKKATRQKASLAKKSKRHAGIQEELYKQQMQLLQQTEQLWEEYQKRQSDNVFGPGFNPPNPRSIQHTISGDQPIAQPGLNQGESNQTGTVVQGMFPFRSMVMPPMGLNNQAMVKNIGKLAVMKAKMIPDTIADFDINPFFPESRQRIMAQMQRQQQQEQREQDLLDQLLLPQEELLLPRERNQQALTQPIMLQQPGSMSSTGSQSTQPGTQTAPKGTGLSHNVTSQGPILGPNVQVGQSFVHNVRSVVTVNSNMANDLVRGPPAIFPPLSSVHNSPSAPLTPPPPSGSPRIDSNDSKENALLSSLVPTSGTIGCSNIVDQAPLQTSAQIVNASPGATERNTRKEFLDPDCPHLSTKEGDNLDSHASAQSCPTDVNDKNEDNKETECKSEVKSNPSLVETKVENTVTSKKQPSHKDSLVLTKNEQEKKKPEVSVCQNDLKDDIPLDLEENFMSKKDGMDLEPDTYAIIDFEGSRLLDDLNPDNTELNDLTDLEVVKGDETDLNLNELDEDDLDKVEVGELDKVDLEKVSPDDSDLKEELGDSPQTTIQLNDSTTESPVSTSLPAESFEGGLPHNLTRMVMSSSHVSHGQEQQSPPVQELDPHVPLQNPLGQPPRRMPDTHRPGPFDPRPIFNGHRLNSSAEVVSGPPASFPLRQRGDPARHRLEIYAQGLINHQQVPLSQPSFHQPLGVPNNMQSNNLPRPMDVSVSRRLQPSVGSGQIQQPPVLFREQSPMTGHSGGPFGFRFGHPRMGMSAVDPPYPGRQDKPFVAYRPGLPPAVPSRINGPYPPPPRTFAQNVNEPQWHQLPGPHPVAPSDQSGKEQRGAIKKQQQQQLIIERLKQRQRLKETDAQAMNVTNQTDTVGSTPVPGPLQSVGSFQQGQSHMPSNSSACFSGESGPRPQDAVDHATQSTGFSDSQSRPTMPSQDYPDRAASPSVRSFTDSLGPGPPRPTQSCTDPPRVLPGPLAPGRNQAAQLFPDQNPMLVPRTMSSRTFGESIRPRPTAPPQSYPVSIGPRPTGPPHAYPDSHRQNTVPTVFLDTQTSPRPTQPQQSYPDVTTPRPTGPPQSYPDTNSRATGSSQSYSDVVPRPTGPPQSYPDQSTPRSITGPQSFARSPHAFSENVAPHPTLPPQSYPDNSQNHSFNDIPRPTLAPREYPDVSLRRSAQSVSGYPDQSSPPPQMPTKFPGPGSDLPAPTLLPRAYSDPIRQRQFVDARPSPKTFHDSTGAAIPGKATQESVVPSRSLGLSKPFQDTMVPRPTDPPKAYPDNNTTSSSCLDRVPSPRNATTENMQLPRSVSSLPTCNEVPAASNRRSFSHGVPPPRPTGVMKAYPDMPSPPRSNSSGPSFVQPSPPQSTQAQSLSDQIPIRSPAPPPPPAQPKVFVDDSSTSTPTSPPQKTFLEKHFSQAPSMNGNGPQPTLPSQIGSSPTDSGSQVLLHQSGFQQQQQQQQENKVQELKQTAEIKAQQKSKQRMQQRFKWEQEHESERQKLPDKFLESDIRSSPDISDQQQQRKMSDNSMQVKNIRLAARNDRAKQVYPAREQSSAKRWLKAIQKVRTTWDPFEEKLNPFNINTYLVPAVTAMEGLAEEKEWKLTSRQANSMTDNEGEVTPASSTWSNNPDSAMPNFASNKDRADPYSSQAIASFTGLGSGPPLSNHPLHPSSGILGNMLPMRSFADQNHIPLLQTPPPPQPLPTPQTNAPSLVATFLDEVDKGGLEPIKYLKGLIAGDTVMKDLEPSLIGDLQEESLPRSSQNVNPQPLSSPDDTVPGIDSHDVSKALDDSIIGLIDDDTNLVESIDALPDDAPGHTGSKTPASSSSLLPDLSPDLFGTNMNTKYLLQAGDESLNDLSIKSQRSTDLLDKGFFAEQLYAPFTEELKNKRKRYRKKIKETSETVSVSEDVLTDPLQESWGDDRCPLQESISGSSDKRIVSRKTKGQGTRSRQRVMFGGIGPRYPKRKRKKVGDTGEVGKTEDDVAEREPSSESKGDCDMLEGERSDDMEGDRSHESQIDPPTQLVAVSNQNIIKLKLKPKSRKRRPVTVTLSQLQTMGSETLCVGDQTYTKPPDLEKVKTVIQAVLSSECCDSSPVNSAPMYPRCMSCDFGIDAVNEVEEETNLNQCVAHMWRDCQRCQLILDEERYNRYCVPCSSLSACPACQTYNNQWECRLGASTAGCHRCWVCWKCKWGGKPVTQLPPDLCYTHYSIDCDDCLLLRGPDTAKGCAECVTCELCRWGKSLVVKTIKISVCYPHYCQGCQSCALLRGEAGQGFSGCVVCMDQNLTFKDSSSFLVVTNHMSNEEDDKNSSIDATSVGPLVVAGIGSKLKSRGRGGRGSLGAGRPRRKGPFGSGRGVKISWIASGPLQRPKFIERGESIDPSWSPPSVTNHTSWAGQKSRPRGPSFKRKTIAPKGMGIKPTVSIYTTPMINGASSSLASPYNHLMDHSPLDSSPTPSSSVDAKSIDASSSFSSETESSLKISKGGQYSVDKVCGEITPTMESDMLGTSLESNEKQEKEGQLREIVLQEEPCLSISEEHPSVLTELPLTVSEMVLKPPRSEERSVVTEPEELLQLQEQQVTIQHTVVEINETVEMKDEIQDEGCIHKVESSMKLDESDVENSIQKELETNVTHQSSVAILLSDTDLSESPHSKQQEETHVQVADPVIGPTSEQGNVDKNAAQESFVMQDEPGEVEMENNYDTTLEAIEVEEGPQLRDMEAGLHKCYMCIYEEKLQTDLEHDTPKDCELHVWRKCMNCHLMDKQLEPHYCKGCFPCLNDQQQYCNDCILCNHHWQSSSRTGCEICIRCNKCKWGNTPVSKMVPQLCFTHYGKDCTDCVLMKSDEGSQKCNQCVKCEPCQWGARKLPTVAIDLCMGHYHQGCTTCFFQDLLDGPSDCVACNADEKSREPAQDIHEPCLLFIHSFDQFTGAGAACPYHYMQTCKEECCKITAGLHKCFACRETSGSVVAKDVNVLLPEEMQCVGLSWEVCRHHAWCTGTSDFLAQIDIADPLGVLSLEDWLKGAQDDSATQTSVSVCSVINQQPSREKRQGRQKRPASNQMKEYTGNSNDDIDKKNSPQNRWPLIFGPTIRFQKLDGQGLSSKEGCYCFACLQESIKAEVGVSVLSNASEESVSRQLTPILQKSNDVEAEADEEASQEKIETEVITETETTELGDMSDEDEVATKGRSRPKKRARQFPSAGDKRPRGRPRKDAAAPSPAPAGPEEPPPPPPQPQEVSKDEESSM
+>sp|Q8IZD2|KMT2E_HUMAN Inactive histone-lysine N-methyltransferase 2E OS=Homo sapiens OX=9606 GN=KMT2E PE=1 SV=1
+MSIVIPLGVDTAETSYLEMAAGSEPESVEASPVVVEKSNSYPHQLYTSSSHHSHSYIGLPYADHNYGARPPPTPPASPPPSVLISKNEVGIFTTPNFDETSSATTISTSEDGSYGTDVTRCICGFTHDDGYMICCDKCSVWQHIDCMGIDRQHIPDTYLCERCQPRNLDKERAVLLQRRKRENMSDGDTSATESGDEVPVELYTAFQHTPTSITLTASRVSKVNDKRRKKSGEKEQHISKCKKAFREGSRKSSRVKGSAPEIDPSSDGSNFGWETKIKAWMDRYEEANNNQYSEGVQREAQRIALRLGNGNDKKEMNKSDLNTNNLLFKPPVESHIQKNKKILKSAKDLPPDALIIEYRGKFMLREQFEANGYFFKRPYPFVLFYSKFHGLEMCVDARTFGNEARFIRRSCTPNAEVRHEIQDGTIHLYIYSIHSIPKGTEITIAFDFDYGNCKYKVDCACLKENPECPVLKRSSESMENINSGYETRRKKGKKDKDISKEKDTQNQNITLDCEGTTNKMKSPETKQRKLSPLRLSVSNNQEPDFIDDIEEKTPISNEVEMESEEQIAERKRKMTREERKMEAILQAFARLEKREKRREQALERISTAKTEVKTECKDTQIVSDAEVIQEQAKEENASKPTPAKVNRTKQRKSFSRSRTHIGQQRRRHRTVSMCSDIQPSSPDIEVTSQQNDIENTVLTIEPETETALAEIITETEVPALNKCPTKYPKTKKHLVNEWLSEKNEKTGKPSDGLSERPLRITTDPEVLATQLNSLPGLTYSPHVYSTPKHYIRFTSPFLSEKRRRKEPTENISGSCKKRWLKQALEEENSAILHRFNSPCQERSRSPAVNGENKSPLLLNDSCSLPDLTTPLKKRRFYQLLDSVYSETSTPTPSPYATPTHTDITPMDPSFATPPRIKSDDETCRNGYKPIYSPVTPVTPGTPGNTMHFENISSPESSPEIKRRTYSQEGYDRSSTMLTLGPFRNSNLTELGLQEIKTIGYTSPRSRTEVNRQCPGEKEPVSDLQLGLDAVEPTALHKTLETPAHDRAEPNSQLDSTHSGRGTMYSSWVKSPDRTGVNFSVNSNLRDLTPSHQLEVGGGFRISESKCLMQDDTRGMFMETTVFCTSEDGLVSGFGRTVNDNLIDGNCTPQNPPQKKKVSLLEYRKRQREARKSGSKTENFPLISVSPHASGSLSNNGDGCASSNDNGEQVDHTASLPLPTPATVYNATSEETSNNCPVKDATASEKNEPEVQWTASTSVEQVRERSYQRALLLSDHRKDKDSGGESPCVSCSPSHVQSSPSSHSNHIPQLQAKGPVPSFSELMEDPDPENPEPTTTNECPSPDTSQNTCKSPPKMSKPGSPGSVIPAQAHGKIFTKPDPQWDSTVSASEAENGVHLKTELQQKQLSNNNQALSKNHPPQTHVRNSSEQLSQKLPSVPTKLHCPPSPHLENPPKSSTPHTPVQHGYLSPKPPSQQLGSPYRPHHSQSPQVGTPQREPQRNFYPAAQNLPANTQQATSGTLFTQTPSGQSSATYSQFNQQSLNSTAPPPPPPPPPSSSYYQNQQPSANFQNYNQLKGSLSQQTVFTSGPNQALPGTTSQQTVPGHHVTPGHFLPSQNPTIHHQTAAAVVPPPPPPPPAPGPHLVQQPNSHQQHSVAHVVGPVHAVTPGSHIHSQTAGHHLPPPPPPPGPAPHHHPPPHPSTGLQGLQAQHQHVVNSAPPPPPPPPPSSVLASGHHTTSAQALHHPPHQGPPLFPSSAHPTVPPYPSQATHHTTLGPGPQHQPSGTGPHCPLPVTGPHLQPQGPNSIPTPTASGFCPHPGSVALPHGVQGPQQASPVPGQIPIHRAQVPPTFQNNYHGSGWH
+>DECOY_sp|Q8IZD2|KMT2E_HUMAN Inactive histone-lysine N-methyltransferase 2E OS=Homo sapiens OX=9606 GN=KMT2E PE=1 SV=1
+HWGSGHYNNQFTPPVQARHIPIQGPVPSAQQPGQVGHPLAVSGPHPCFGSATPTPISNPGQPQLHPGTVPLPCHPGTGSPQHQPGPGLTTHHTAQSPYPPVTPHASSPFLPPGQHPPHHLAQASTTHHGSALVSSPPPPPPPPPASNVVHQHQAQLGQLGTSPHPPPHHHPAPGPPPPPPPLHHGATQSHIHSGPTVAHVPGVVHAVSHQQHSNPQQVLHPGPAPPPPPPPPVVAAATQHHITPNQSPLFHGPTVHHGPVTQQSTTGPLAQNPGSTFVTQQSLSGKLQNYNQFNASPQQNQYYSSSPPPPPPPPPATSNLSQQNFQSYTASSQGSPTQTFLTGSTAQQTNAPLNQAAPYFNRQPERQPTGVQPSQSHHPRYPSGLQQSPPKPSLYGHQVPTHPTSSKPPNELHPSPPCHLKTPVSPLKQSLQESSNRVHTQPPHNKSLAQNNNSLQKQQLETKLHVGNEAESASVTSDWQPDPKTFIKGHAQAPIVSGPSGPKSMKPPSKCTNQSTDPSPCENTTTPEPNEPDPDEMLESFSPVPGKAQLQPIHNSHSSPSSQVHSPSCSVCPSEGGSDKDKRHDSLLLARQYSRERVQEVSTSATWQVEPENKESATADKVPCNNSTEESTANYVTAPTPLPLSATHDVQEGNDNSSACGDGNNSLSGSAHPSVSILPFNETKSGSKRAERQRKRYELLSVKKKQPPNQPTCNGDILNDNVTRGFGSVLGDESTCFVTTEMFMGRTDDQMLCKSESIRFGGGVELQHSPTLDRLNSNVSFNVGTRDPSKVWSSYMTGRGSHTSDLQSNPEARDHAPTELTKHLATPEVADLGLQLDSVPEKEGPCQRNVETRSRPSTYGITKIEQLGLETLNSNRFPGLTLMTSSRDYGEQSYTRRKIEPSSEPSSINEFHMTNGPTGPTVPTVPSYIPKYGNRCTEDDSKIRPPTAFSPDMPTIDTHTPTAYPSPTPTSTESYVSDLLQYFRRKKLPTTLDPLSCSDNLLLPSKNEGNVAPSRSREQCPSNFRHLIASNEEELAQKLWRKKCSGSINETPEKRRRKESLFPSTFRIYHKPTSYVHPSYTLGPLSNLQTALVEPDTTIRLPRESLGDSPKGTKENKESLWENVLHKKTKPYKTPCKNLAPVETETIIEALATETEPEITLVTNEIDNQQSTVEIDPSSPQIDSCMSVTRHRRRQQGIHTRSRSFSKRQKTRNVKAPTPKSANEEKAQEQIVEADSVIQTDKCETKVETKATSIRELAQERRKERKELRAFAQLIAEMKREERTMKRKREAIQEESEMEVENSIPTKEEIDDIFDPEQNNSVSLRLPSLKRQKTEPSKMKNTTGECDLTINQNQTDKEKSIDKDKKGKKRRTEYGSNINEMSESSRKLVPCEPNEKLCACDVKYKCNGYDFDFAITIETGKPISHISYIYLHITGDQIEHRVEANPTCSRRIFRAENGFTRADVCMELGHFKSYFLVFPYPRKFFYGNAEFQERLMFKGRYEIILADPPLDKASKLIKKNKQIHSEVPPKFLLNNTNLDSKNMEKKDNGNGLRLAIRQAERQVGESYQNNNAEEYRDMWAKIKTEWGFNSGDSSPDIEPASGKVRSSKRSGERFAKKCKSIHQEKEGSKKRRKDNVKSVRSATLTISTPTHQFATYLEVPVEDGSETASTDGDSMNERKRRQLLVAREKDLNRPQCRECLYTDPIHQRDIGMCDIHQWVSCKDCCIMYGDDHTFGCICRTVDTGYSGDESTSITTASSTEDFNPTTFIGVENKSILVSPPPSAPPTPPPRAGYNHDAYPLGIYSHSHHSSSTYLQHPYSNSKEVVVPSAEVSEPESGAAMELYSTEATDVGLPIVISM
+>sp|P46019|KPB2_HUMAN Phosphorylase b kinase regulatory subunit alpha, liver isoform OS=Homo sapiens OX=9606 GN=PHKA2 PE=1 SV=1
+MRSRSNSGVRLDGYARLVQQTILCYQNPVTGLLSASHEQKDAWVRDNIYSILAVWGLGMAYRKNADRDEDKAKAYELEQNVVKLMRGLLQCMMRQVAKVEKFKHTQSTKDSLHAKYNTATCGTVVGDDQWGHLQVDATSLFLLFLAQMTASGLRIIFTLDEVAFIQNLVFYIEAAYKVADYGMWERGDKTNQGIPELNASSVGMAKAALEAIDELDLFGAHGGRKSVIHVLPDEVEHCQSILFSMLPRASTSKEIDAGLLSIISFPAFAVEDVNLVNVTKNEIISKLQGRYGCCRFLRDGYKTPREDPNRLHYDPAELKLFENIECEWPVFWTYFIIDGVFSGDAVQVQEYREALEGILIRGKNGIRLVPELYAVPPNKVDEEYKNPHTVDRVPMGKVPHLWGQSLYILSSLLAEGFLAAGEIDPLNRRFSTSVKPDVVVQVTVLAENNHIKDLLRKHGVNVQSIADIHPIQVQPGRILSHIYAKLGRNKNMNLSGRPYRHIGVLGTSKLYVIRNQIFTFTPQFTDQHHFYLALDNEMIVEMLRIELAYLCTCWRMTGRPTLTFPISRTMLTNDGSDIHSAVLSTIRKLEDGYFGGARVKLGNLSEFLTTSFYTYLTFLDPDCDEKLFDNASEGTFSPDSDSDLVGYLEDTCNQESQDELDHYINHLLQSTSLRSYLPPLCKNTEDRHVFSAIHSTRDILSVMAKAKGLEVPFVPMTLPTKVLSAHRKSLNLVDSPQPLLEKVPESDFQWPRDDHGDVDCEKLVEQLKDCSNLQDQADILYILYVIKGPSWDTNLSGQHGVTVQNLLGELYGKAGLNQEWGLIRYISGLLRKKVEVLAEACTDLLSHQKQLTVGLPPEPREKIISAPLPPEELTKLIYEASGQDISIAVLTQEIVVYLAMYVRAQPSLFVEMLRLRIGLIIQVMATELARSLNCSGEEASESLMNLSPFDMKNLLHHILSGKEFGVERSVRPIHSSTSSPTISIHEVGHTGVTKTERSGINRLRSEMKQMTRRFSADEQFFSVGQAASSSAHSSKSARSSTPSSPTGTSSSDSGGHHIGWGERQGQWLRRRRLDGAINRVPVGFYQRVWKILQKCHGLSIDGYVLPSSTTREMTPHEIKFAVHVESVLNRVPQPEYRQLLVEAIMVLTLLSDTEMTSIGGIIHVDQIVQMASQLFLQDQVSIGAMDTLEKDQATGICHFFYDSAPSGAYGTMTYLTRAVASYLQELLPNSGCQMQ
+>DECOY_sp|P46019|KPB2_HUMAN Phosphorylase b kinase regulatory subunit alpha, liver isoform OS=Homo sapiens OX=9606 GN=PHKA2 PE=1 SV=1
+QMQCGSNPLLEQLYSAVARTLYTMTGYAGSPASDYFFHCIGTAQDKELTDMAGISVQDQLFLQSAMQVIQDVHIIGGISTMETDSLLTLVMIAEVLLQRYEPQPVRNLVSEVHVAFKIEHPTMERTTSSPLVYGDISLGHCKQLIKWVRQYFGVPVRNIAGDLRRRRLWQGQREGWGIHHGGSDSSSTGTPSSPTSSRASKSSHASSSAAQGVSFFQEDASFRRTMQKMESRLRNIGSRETKTVGTHGVEHISITPSSTSSHIPRVSREVGFEKGSLIHHLLNKMDFPSLNMLSESAEEGSCNLSRALETAMVQIILGIRLRLMEVFLSPQARVYMALYVVIEQTLVAISIDQGSAEYILKTLEEPPLPASIIKERPEPPLGVTLQKQHSLLDTCAEALVEVKKRLLGSIYRILGWEQNLGAKGYLEGLLNQVTVGHQGSLNTDWSPGKIVYLIYLIDAQDQLNSCDKLQEVLKECDVDGHDDRPWQFDSEPVKELLPQPSDVLNLSKRHASLVKTPLTMPVFPVELGKAKAMVSLIDRTSHIASFVHRDETNKCLPPLYSRLSTSQLLHNIYHDLEDQSEQNCTDELYGVLDSDSDPSFTGESANDFLKEDCDPDLFTLYTYFSTTLFESLNGLKVRAGGFYGDELKRITSLVASHIDSGDNTLMTRSIPFTLTPRGTMRWCTCLYALEIRLMEVIMENDLALYFHHQDTFQPTFTFIQNRIVYLKSTGLVGIHRYPRGSLNMNKNRGLKAYIHSLIRGPQVQIPHIDAISQVNVGHKRLLDKIHNNEALVTVQVVVDPKVSTSFRRNLPDIEGAALFGEALLSSLIYLSQGWLHPVKGMPVRDVTHPNKYEEDVKNPPVAYLEPVLRIGNKGRILIGELAERYEQVQVADGSFVGDIIFYTWFVPWECEINEFLKLEAPDYHLRNPDERPTKYGDRLFRCCGYRGQLKSIIENKTVNVLNVDEVAFAPFSIISLLGADIEKSTSARPLMSFLISQCHEVEDPLVHIVSKRGGHAGFLDLEDIAELAAKAMGVSSANLEPIGQNTKDGREWMGYDAVKYAAEIYFVLNQIFAVEDLTFIIRLGSATMQALFLLFLSTADVQLHGWQDDGVVTGCTATNYKAHLSDKTSQTHKFKEVKAVQRMMCQLLGRMLKVVNQELEYAKAKDEDRDANKRYAMGLGWVALISYINDRVWADKQEHSASLLGTVPNQYCLITQQVLRAYGDLRVGSNSRSRM
+>sp|P17252|KPCA_HUMAN Protein kinase C alpha type OS=Homo sapiens OX=9606 GN=PRKCA PE=1 SV=4
+MADVFPGNDSTASQDVANRFARKGALRQKNVHEVKDHKFIARFFKQPTFCSHCTDFIWGFGKQGFQCQVCCFVVHKRCHEFVTFSCPGADKGPDTDDPRSKHKFKIHTYGSPTFCDHCGSLLYGLIHQGMKCDTCDMNVHKQCVINVPSLCGMDHTEKRGRIYLKAEVADEKLHVTVRDAKNLIPMDPNGLSDPYVKLKLIPDPKNESKQKTKTIRSTLNPQWNESFTFKLKPSDKDRRLSVEIWDWDRTTRNDFMGSLSFGVSELMKMPASGWYKLLNQEEGEYYNVPIPEGDEEGNMELRQKFEKAKLGPAGNKVISPSEDRKQPSNNLDRVKLTDFNFLMVLGKGSFGKVMLADRKGTEELYAIKILKKDVVIQDDDVECTMVEKRVLALLDKPPFLTQLHSCFQTVDRLYFVMEYVNGGDLMYHIQQVGKFKEPQAVFYAAEISIGLFFLHKRGIIYRDLKLDNVMLDSEGHIKIADFGMCKEHMMDGVTTRTFCGTPDYIAPEIIAYQPYGKSVDWWAYGVLLYEMLAGQPPFDGEDEDELFQSIMEHNVSYPKSLSKEAVSVCKGLMTKHPAKRLGCGPEGERDVREHAFFRRIDWEKLENREIQPPFKPKVCGKGAENFDKFFTRGQPVLTPPDQLVIANIDQSDFEGFSYVNPQFVHPILQSAV
+>DECOY_sp|P17252|KPCA_HUMAN Protein kinase C alpha type OS=Homo sapiens OX=9606 GN=PRKCA PE=1 SV=4
+VASQLIPHVFQPNVYSFGEFDSQDINAIVLQDPPTLVPQGRTFFKDFNEAGKGCVKPKFPPQIERNELKEWDIRRFFAHERVDREGEPGCGLRKAPHKTMLGKCVSVAEKSLSKPYSVNHEMISQFLEDEDEGDFPPQGALMEYLLVGYAWWDVSKGYPQYAIIEPAIYDPTGCFTRTTVGDMMHEKCMGFDAIKIHGESDLMVNDLKLDRYIIGRKHLFFLGISIEAAYFVAQPEKFKGVQQIHYMLDGGNVYEMVFYLRDVTQFCSHLQTLFPPKDLLALVRKEVMTCEVDDDQIVVDKKLIKIAYLEETGKRDALMVKGFSGKGLVMLFNFDTLKVRDLNNSPQKRDESPSIVKNGAPGLKAKEFKQRLEMNGEEDGEPIPVNYYEGEEQNLLKYWGSAPMKMLESVGFSLSGMFDNRTTRDWDWIEVSLRRDKDSPKLKFTFSENWQPNLTSRITKTKQKSENKPDPILKLKVYPDSLGNPDMPILNKADRVTVHLKEDAVEAKLYIRGRKETHDMGCLSPVNIVCQKHVNMDCTDCKMGQHILGYLLSGCHDCFTPSGYTHIKFKHKSRPDDTDPGKDAGPCSFTVFEHCRKHVVFCCVQCQFGQKGFGWIFDTCHSCFTPQKFFRAIFKHDKVEHVNKQRLAGKRAFRNAVDQSATSDNGPFVDAM
+>sp|Q05655|KPCD_HUMAN Protein kinase C delta type OS=Homo sapiens OX=9606 GN=PRKCD PE=1 SV=2
+MAPFLRIAFNSYELGSLQAEDEANQPFCAVKMKEALSTERGKTLVQKKPTMYPEWKSTFDAHIYEGRVIQIVLMRAAEEPVSEVTVGVSVLAERCKKNNGKAEFWLDLQPQAKVLMSVQYFLEDVDCKQSMRSEDEAKFPTMNRRGAIKQAKIHYIKNHEFIATFFGQPTFCSVCKDFVWGLNKQGYKCRQCNAAIHKKCIDKIIGRCTGTAANSRDTIFQKERFNIDMPHRFKVHNYMSPTFCDHCGSLLWGLVKQGLKCEDCGMNVHHKCREKVANLCGINQKLLAEALNQVTQRASRRSDSASSEPVGIYQGFEKKTGVAGEDMQDNSGTYGKIWEGSSKCNINNFIFHKVLGKGSFGKVLLGELKGRGEYFAIKALKKDVVLIDDDVECTMVEKRVLTLAAENPFLTHLICTFQTKDHLFFVMEFLNGGDLMYHIQDKGRFELYRATFYAAEIMCGLQFLHSKGIIYRDLKLDNVLLDRDGHIKIADFGMCKENIFGESRASTFCGTPDYIAPEILQGLKYTFSVDWWSFGVLLYEMLIGQSPFHGDDEDELFESIRVDTPHYPRWITKESKDILEKLFEREPTKRLGVTGNIKIHPFFKTINWTLLEKRRLEPPFRPKVKSPRDYSNFDQEFLNEKARLSYSDKNLIDSMDQSAFAGFSFVNPKFEHLLED
+>DECOY_sp|Q05655|KPCD_HUMAN Protein kinase C delta type OS=Homo sapiens OX=9606 GN=PRKCD PE=1 SV=2
+DELLHEFKPNVFSFGAFASQDMSDILNKDSYSLRAKENLFEQDFNSYDRPSKVKPRFPPELRRKELLTWNITKFFPHIKINGTVGLRKTPEREFLKELIDKSEKTIWRPYHPTDVRISEFLEDEDDGHFPSQGILMEYLLVGFSWWDVSFTYKLGQLIEPAIYDPTGCFTSARSEGFINEKCMGFDAIKIHGDRDLLVNDLKLDRYIIGKSHLFQLGCMIEAAYFTARYLEFRGKDQIHYMLDGGNLFEMVFFLHDKTQFTCILHTLFPNEAALTLVRKEVMTCEVDDDILVVDKKLAKIAFYEGRGKLEGLLVKGFSGKGLVKHFIFNNINCKSSGEWIKGYTGSNDQMDEGAVGTKKEFGQYIGVPESSASDSRRSARQTVQNLAEALLKQNIGCLNAVKERCKHHVNMGCDECKLGQKVLGWLLSGCHDCFTPSMYNHVKFRHPMDINFREKQFITDRSNAATGTCRGIIKDICKKHIAANCQRCKYGQKNLGWVFDKCVSCFTPQGFFTAIFEHNKIYHIKAQKIAGRRNMTPFKAEDESRMSQKCDVDELFYQVSMLVKAQPQLDLWFEAKGNNKKCREALVSVGVTVESVPEEAARMLVIQIVRGEYIHADFTSKWEPYMTPKKQVLTKGRETSLAEKMKVACFPQNAEDEAQLSGLEYSNFAIRLFPAM
+>sp|P41743|KPCI_HUMAN Protein kinase C iota type OS=Homo sapiens OX=9606 GN=PRKCI PE=1 SV=2
+MPTQRDSSTMSHTVAGGGSGDHSHQVRVKAYYRGDIMITHFEPSISFEGLCNEVRDMCSFDNEQLFTMKWIDEEGDPCTVSSQLELEEAFRLYELNKDSELLIHVFPCVPERPGMPCPGEDKSIYRRGARRWRKLYCANGHTFQAKRFNRRAHCAICTDRIWGLGRQGYKCINCKLLVHKKCHKLVTIECGRHSLPQEPVMPMDQSSMHSDHAQTVIPYNPSSHESLDQVGEEKEAMNTRESGKASSSLGLQDFDLLRVIGRGSYAKVLLVRLKKTDRIYAMKVVKKELVNDDEDIDWVQTEKHVFEQASNHPFLVGLHSCFQTESRLFFVIEYVNGGDLMFHMQRQRKLPEEHARFYSAEISLALNYLHERGIIYRDLKLDNVLLDSEGHIKLTDYGMCKEGLRPGDTTSTFCGTPNYIAPEILRGEDYGFSVDWWALGVLMFEMMAGRSPFDIVGSSDNPDQNTEDYLFQVILEKQIRIPRSLSVKAASVLKSFLNKDPKERLGCHPQTGFADIQGHPFFRNVDWDMMEQKQVVPPFKPNISGEFGLDNFDSQFTNEPVQLTPDDDDIVRKIDQSEFEGFEYINPLLMSAEECV
+>DECOY_sp|P41743|KPCI_HUMAN Protein kinase C iota type OS=Homo sapiens OX=9606 GN=PRKCI PE=1 SV=2
+VCEEASMLLPNIYEFGEFESQDIKRVIDDDDPTLQVPENTFQSDFNDLGFEGSINPKFPPVVQKQEMMDWDVNRFFPHGQIDAFGTQPHCGLREKPDKNLFSKLVSAAKVSLSRPIRIQKELIVQFLYDETNQDPNDSSGVIDFPSRGAMMEFMLVGLAWWDVSFGYDEGRLIEPAIYNPTGCFTSTTDGPRLGEKCMGYDTLKIHGESDLLVNDLKLDRYIIGREHLYNLALSIEASYFRAHEEPLKRQRQMHFMLDGGNVYEIVFFLRSETQFCSHLGVLFPHNSAQEFVHKETQVWDIDEDDNVLEKKVVKMAYIRDTKKLRVLLVKAYSGRGIVRLLDFDQLGLSSSAKGSERTNMAEKEEGVQDLSEHSSPNYPIVTQAHDSHMSSQDMPMVPEQPLSHRGCEITVLKHCKKHVLLKCNICKYGQRGLGWIRDTCIACHARRNFRKAQFTHGNACYLKRWRRAGRRYISKDEGPCPMGPREPVCPFVHILLESDKNLEYLRFAEELELQSSVTCPDGEEDIWKMTFLQENDFSCMDRVENCLGEFSISPEFHTIMIDGRYYAKVRVQHSHDGSGGGAVTHSMTSSDRQTPM
+>sp|Q05513|KPCZ_HUMAN Protein kinase C zeta type OS=Homo sapiens OX=9606 GN=PRKCZ PE=1 SV=4
+MPSRTGPKMEGSGGRVRLKAHYGGDIFITSVDAATTFEELCEEVRDMCRLHQQHPLTLKWVDSEGDPCTVSSQMELEEAFRLARQCRDEGLIIHVFPSTPEQPGLPCPGEDKSIYRRGARRWRKLYRANGHLFQAKRFNRRAYCGQCSERIWGLARQGYRCINCKLLVHKRCHGLVPLTCRKHMDSVMPSQEPPVDDKNEDADLPSEETDGIAYISSSRKHDSIKDDSEDLKPVIDGMDGIKISQGLGLQDFDLIRVIGRGSYAKVLLVRLKKNDQIYAMKVVKKELVHDDEDIDWVQTEKHVFEQASSNPFLVGLHSCFQTTSRLFLVIEYVNGGDLMFHMQRQRKLPEEHARFYAAEICIALNFLHERGIIYRDLKLDNVLLDADGHIKLTDYGMCKEGLGPGDTTSTFCGTPNYIAPEILRGEEYGFSVDWWALGVLMFEMMAGRSPFDIITDNPDMNTEDYLFQVILEKPIRIPRFLSVKASHVLKGFLNKDPKERLGCRPQTGFSDIKSHAFFRSIDWDLLEKKQALPPFQPQITDDYGLDNFDTQFTSEPVQLTPDDEDAIKRIDQSEFEGFEYINPLLLSTEESV
+>DECOY_sp|Q05513|KPCZ_HUMAN Protein kinase C zeta type OS=Homo sapiens OX=9606 GN=PRKCZ PE=1 SV=4
+VSEETSLLLPNIYEFGEFESQDIRKIADEDDPTLQVPESTFQTDFNDLGYDDTIQPQFPPLAQKKELLDWDISRFFAHSKIDSFGTQPRCGLREKPDKNLFGKLVHSAKVSLFRPIRIPKELIVQFLYDETNMDPNDTIIDFPSRGAMMEFMLVGLAWWDVSFGYEEGRLIEPAIYNPTGCFTSTTDGPGLGEKCMGYDTLKIHGDADLLVNDLKLDRYIIGREHLFNLAICIEAAYFRAHEEPLKRQRQMHFMLDGGNVYEIVLFLRSTTQFCSHLGVLFPNSSAQEFVHKETQVWDIDEDDHVLEKKVVKMAYIQDNKKLRVLLVKAYSGRGIVRILDFDQLGLGQSIKIGDMGDIVPKLDESDDKISDHKRSSSIYAIGDTEESPLDADENKDDVPPEQSPMVSDMHKRCTLPVLGHCRKHVLLKCNICRYGQRALGWIRESCQGCYARRNFRKAQFLHGNARYLKRWRRAGRRYISKDEGPCPLGPQEPTSPFVHIILGEDRCQRALRFAEELEMQSSVTCPDGESDVWKLTLPHQQHLRCMDRVEECLEEFTTAADVSTIFIDGGYHAKLRVRGGSGEMKPGTRSPM
+>sp|Q8IUC0|KR131_HUMAN Keratin-associated protein 13-1 OS=Homo sapiens OX=9606 GN=KRTAP13-1 PE=2 SV=2
+MSYNCCSGNFSSRSCGGYLHYPASSCGFSYPSNQVYSTDLCSPSTCQLGSSLYRGCQQTCWEPTSCQTSYVESSPCQTSCYRPRTSLLCSPCQTTYSGSLGFGSSSCRSLGYGSRSCYSVGCGSSGFRSLGYGGCGFPSLGYGVGFCRPTYLASRSCQSSCYRPTCGSGFYY
+>DECOY_sp|Q8IUC0|KR131_HUMAN Keratin-associated protein 13-1 OS=Homo sapiens OX=9606 GN=KRTAP13-1 PE=2 SV=2
+YYFGSGCTPRYCSSQCSRSALYTPRCFGVGYGLSPFGCGGYGLSRFGSSGCGVSYCSRSGYGLSRCSSSGFGLSGSYTTQCPSCLLSTRPRYCSTQCPSSEVYSTQCSTPEWCTQQCGRYLSSGLQCTSPSCLDTSYVQNSPYSFGCSSAPYHLYGGCSRSSFNGSCCNYSM
+>sp|A8MUX0|KR161_HUMAN Keratin-associated protein 16-1 OS=Homo sapiens OX=9606 GN=KRTAP16-1 PE=3 SV=1
+MSGSCSSRKCFSVPATSLCSTEVSCGGPICLPSSCQSQTWQLVTCQDSCGSSSCGPQCRQPSCPVSSCAQPLCCDPVICEPSCSVSSGCQPVCCEATTCEPSCSVSNCYQPVCFEATICEPSCSVSNCCQPVCFEATVCEPSCSVSSCAQPVCCEPAICEPSCSVSSCCQPVGSEATSCQPVLCVPTSCQPVLCKSSCCQPVVCEPSCCSAVCTLPSSCQPVVCEPSCCQPVCPTPTCSVTSSCQAVCCDPSPCEPSCSESSICQPATCVALVCEPVCLRPVCCVQSSCEPPSVPSTCQEPSCCVSSICQPICSEPSPCSPAVCVSSPCQPTCYVVKRCPSVCPEPVSCPSTSCRPLSCSPGSSASAICRPTCPRTFYIPSSSKRPCSATISYRPVSRPICRPICSGLLTYRQPYMTSISYRPACYRPCYSILRRPACVTSYSCRPVYFRPSCTESDSCKRDCKKSTSSQLDCVDTTPCKVDVSEEAPCQPTEAKPISPTTREAAAAQPAASKPANC
+>DECOY_sp|A8MUX0|KR161_HUMAN Keratin-associated protein 16-1 OS=Homo sapiens OX=9606 GN=KRTAP16-1 PE=3 SV=1
+CNAPKSAAPQAAAAERTTPSIPKAETPQCPAEESVDVKCPTTDVCDLQSSTSKKCDRKCSDSETCSPRFYVPRCSYSTVCAPRRLISYCPRYCAPRYSISTMYPQRYTLLGSCIPRCIPRSVPRYSITASCPRKSSSPIYFTRPCTPRCIASASSGPSCSLPRCSTSPCSVPEPCVSPCRKVVYCTPQCPSSVCVAPSCPSPESCIPQCISSVCCSPEQCTSPVSPPECSSQVCCVPRLCVPECVLAVCTAPQCISSESCSPECPSPDCCVAQCSSTVSCTPTPCVPQCCSPECVVPQCSSPLTCVASCCSPECVVPQCCSSKCLVPQCSTPVCLVPQCSTAESGVPQCCSSVSCSPECIAPECCVPQACSSVSCSPECVTAEFCVPQCCNSVSCSPECITAEFCVPQYCNSVSCSPECTTAECCVPQCGSSVSCSPECIVPDCCLPQACSSVPCSPQRCQPGCSSSGCSDQCTVLQWTQSQCSSPLCIPGGCSVETSCLSTAPVSFCKRSSCSGSM
+>sp|Q9BYU5|KRA21_HUMAN Keratin-associated protein 2-1 OS=Homo sapiens OX=9606 GN=KRTAP2-1 PE=2 SV=2
+MTGSCCGSTFSSLSYGGGCCQPCCCRDPCCCRPVTCQTTVCRPVTCVPRCTRPICEPCRRPVCCDPCSLQEGCCRPITCCPSSCTAVVCRPCCWATTCCQPVSVQSPCCRPPCGQPTPCSTTCRTSSC
+>DECOY_sp|Q9BYU5|KRA21_HUMAN Keratin-associated protein 2-1 OS=Homo sapiens OX=9606 GN=KRTAP2-1 PE=2 SV=2
+CSSTRCTTSCPTPQGCPPRCCPSQVSVPQCCTTAWCCPRCVVATCSSPCCTIPRCCGEQLSCPDCCVPRRCPECIPRTCRPVCTVPRCVTTQCTVPRCCCPDRCCCPQCCGGGYSLSSFTSGCCSGTM
+>sp|Q9BYR3|KRA44_HUMAN Keratin-associated protein 4-4 OS=Homo sapiens OX=9606 GN=KRTAP4-4 PE=1 SV=1
+MVNSCCGSVCSDQGCGLENCCRPSYCQTTCCRTTCCRPSCCVSSCCRPQCCQTTCCRTTCCHPSCCVSSCCRPQCCQSVCCQPTCCRPQCCQTTCCRTTCCRPSCCRPQCCQSVCCQPTCCCPSYCVSSCCRPQCCQTTCCRTTCCRPSCCVSRCYRPHCGQSLCC
+>DECOY_sp|Q9BYR3|KRA44_HUMAN Keratin-associated protein 4-4 OS=Homo sapiens OX=9606 GN=KRTAP4-4 PE=1 SV=1
+CCLSQGCHPRYCRSVCCSPRCCTTRCCTTQCCQPRCCSSVCYSPCCCTPQCCVSQCCQPRCCSPRCCTTRCCTTQCCQPRCCTPQCCVSQCCQPRCCSSVCCSPHCCTTRCCTTQCCQPRCCSSVCCSPRCCTTRCCTTQCYSPRCCNELGCGQDSCVSGCCSNVM
+>sp|Q9BYQ8|KRA49_HUMAN Keratin-associated protein 4-9 OS=Homo sapiens OX=9606 GN=KRTAP4-9 PE=2 SV=2
+MVSSCCGSVCSDQGCGQDLCQETCCRPSCCETTCCRTTCCRPSCCVSSCCRPQCCQSVCCQPTCSRPSCCQTTCCRTTCYRPSCCVSSCCRPQCCQPACCQPTCCRPSCCETTCCHPRCCISSCCRPSCCVSSCCKPQCCQSVCCQPNCCRPSCSISSCCRPSCCESSCCRPCCCVRPVCGRVSCHTTCYRPTCVISSCPRPLCCASSCC
+>DECOY_sp|Q9BYQ8|KRA49_HUMAN Keratin-associated protein 4-9 OS=Homo sapiens OX=9606 GN=KRTAP4-9 PE=2 SV=2
+CCSSACCLPRPCSSIVCTPRYCTTHCSVRGCVPRVCCCPRCCSSECCSPRCCSSISCSPRCCNPQCCVSQCCQPKCCSSVCCSPRCCSSICCRPHCCTTECCSPRCCTPQCCAPQCCQPRCCSSVCCSPRYCTTRCCTTQCCSPRSCTPQCCVSQCCQPRCCSSVCCSPRCCTTRCCTTECCSPRCCTEQCLDQGCGQDSCVSGCCSSVM
+>sp|Q701N4|KRA52_HUMAN Keratin-associated protein 5-2 OS=Homo sapiens OX=9606 GN=KRTAP5-2 PE=1 SV=1
+MGCCGCSRGCGSGCGGCGSSCGGCGSGCGGCGSGRGGCGSGCGGCSSSCGGCGSRCYVPVCCCKPVCSWVPACSCTSCGSCGGSKGGCGSCGGSKGGCGSCGGSKGGCGSCGCSQSSCCKPCCCSSGCGSSCCQSSCCKPCCCQSSCCVPVCCQSSCCKPCCCQSNCCVPVCCQCKI
+>DECOY_sp|Q701N4|KRA52_HUMAN Keratin-associated protein 5-2 OS=Homo sapiens OX=9606 GN=KRTAP5-2 PE=1 SV=1
+IKCQCCVPVCCNSQCCCPKCCSSQCCVPVCCSSQCCCPKCCSSQCCSSGCGSSCCCPKCCSSQSCGCSGCGGKSGGCSGCGGKSGGCSGCGGKSGGCSGCSTCSCAPVWSCVPKCCCVPVYCRSGCGGCSSSCGGCGSGCGGRGSGCGGCGSGCGGCSSGCGGCGSGCGRSCGCCGM
+>sp|Q6L8H2|KRA53_HUMAN Keratin-associated protein 5-3 OS=Homo sapiens OX=9606 GN=KRTAP5-3 PE=1 SV=1
+MGCSGCSGGCGSSCGGCGSSCGGCGSGYGGCGSGCCVPVCCCKPVCCCVPACSCSSCGSCGGSKGVCGSCGGCKGGCGSCGGSKGGCGSSCCVPVCCSSSCGSCGGSKGVCGFRGGSKGGCGSCGCSQCSCYKPCCCSSGCGSSCCQSSCCKPSCSQSSCCKPCCSQSSCCKPCCCSSGCGSSCCQSSCCKPCCSQSSCCKPCCCSSGCGSSCCQSSCCKPCSSQSSCCVPICCQCKI
+>DECOY_sp|Q6L8H2|KRA53_HUMAN Keratin-associated protein 5-3 OS=Homo sapiens OX=9606 GN=KRTAP5-3 PE=1 SV=1
+IKCQCCIPVCCSSQSSCPKCCSSQCCSSGCGSSCCCPKCCSSQSCCPKCCSSQCCSSGCGSSCCCPKCCSSQSCCPKCCSSQSCSPKCCSSQCCSSGCGSSCCCPKYCSCQSCGCSGCGGKSGGRFGCVGKSGGCSGCSSSCCVPVCCSSGCGGKSGGCSGCGGKCGGCSGCVGKSGGCSGCSSCSCAPVCCCVPKCCCVPVCCGSGCGGYGSGCGGCSSGCGGCSSGCGGSCGSCGM
+>sp|Q701N2|KRA55_HUMAN Keratin-associated protein 5-5 OS=Homo sapiens OX=9606 GN=KRTAP5-5 PE=2 SV=2
+MGCCGCSGGCGSGCGGRGSGCGGCGSGCGGCGSGCGGCGSGCGGCGGCGSGCAGCGGCGSGCCVPVCCCKPMCCCVPACSCSSCGKGGCGSCGGSKRGCVSCGVSKGACGSCGGSKGGCGSCGGSKGGCGSCGGSKGGCGSCGGSKGGCGSYGCSQSSCCKPCCCSSGCGSSCCQSSCCKPYCCQSSCCKPYCCQSSCCKPCSCFSGCGSSCCQSSCYKPCCCQSSCCVPVCCQCKI
+>DECOY_sp|Q701N2|KRA55_HUMAN Keratin-associated protein 5-5 OS=Homo sapiens OX=9606 GN=KRTAP5-5 PE=2 SV=2
+IKCQCCVPVCCSSQCCCPKYCSSQCCSSGCGSFCSCPKCCSSQCCYPKCCSSQCCYPKCCSSQCCSSGCGSSCCCPKCCSSQSCGYSGCGGKSGGCSGCGGKSGGCSGCGGKSGGCSGCGGKSGGCSGCAGKSVGCSVCGRKSGGCSGCGGKGCSSCSCAPVCCCMPKCCCVPVCCGSGCGGCGACGSGCGGCGGCGSGCGGCGSGCGGCGSGCGGCGSGRGGCGSGCGGSCGCCGM
+>sp|Q6L8G8|KRA57_HUMAN Keratin-associated protein 5-7 OS=Homo sapiens OX=9606 GN=KRTAP5-7 PE=1 SV=1
+MGCCGCSEGCGSGCGGCGSGCGGCGSGCGGCGSSCCVPVCCCKPVCCCVPACSCSSCGSCGGSKGGCGSCGGSKGGCGSCGGSKGGCGSCGCSQCSCYKPCCCSSGCGSSCCQSSCCKPCCCQSSCCKPCCCSSGCGSSCCQSSCCNPCCSQSSCCVPVCCQCKI
+>DECOY_sp|Q6L8G8|KRA57_HUMAN Keratin-associated protein 5-7 OS=Homo sapiens OX=9606 GN=KRTAP5-7 PE=1 SV=1
+IKCQCCVPVCCSSQSCCPNCCSSQCCSSGCGSSCCCPKCCSSQCCCPKCCSSQCCSSGCGSSCCCPKYCSCQSCGCSGCGGKSGGCSGCGGKSGGCSGCGGKSGGCSGCSSCSCAPVCCCVPKCCCVPVCCSSGCGGCGSGCGGCGSGCGGCGSGCGESCGCCGM
+>sp|Q3LI64|KRA61_HUMAN Keratin-associated protein 6-1 OS=Homo sapiens OX=9606 GN=KRTAP6-1 PE=1 SV=1
+MCGSYYGNYYGTPGYGFCGYGGLGYGYGGLGCGYGSCCGCGFRRLGCGYGYGSRSLCGYGYGCGSGSGYYY
+>DECOY_sp|Q3LI64|KRA61_HUMAN Keratin-associated protein 6-1 OS=Homo sapiens OX=9606 GN=KRTAP6-1 PE=1 SV=1
+YYYGSGSGCGYGYGCLSRSGYGYGCGLRRFGCGCCSGYGCGLGGYGYGLGGYGCFGYGPTGYYNGYYSGCM
+>sp|Q3LI67|KRA63_HUMAN Keratin-associated protein 6-3 OS=Homo sapiens OX=9606 GN=KRTAP6-3 PE=3 SV=3
+MCGSYYRNYNGGHGYGCCGYGGLGCGYGGCGYGCCGYGGLGFGYGGLDCGYGGLGCGYGSFCGCGYRGLDCGYGCGYGYVSHSFCGCGYRCGSGYGSSFGYYY
+>DECOY_sp|Q3LI67|KRA63_HUMAN Keratin-associated protein 6-3 OS=Homo sapiens OX=9606 GN=KRTAP6-3 PE=3 SV=3
+YYYGFSSGYGSGCRYGCGCFSHSVYGYGCGYGCDLGRYGCGCFSGYGCGLGGYGCDLGGYGFGLGGYGCCGYGCGGYGCGLGGYGCCGYGHGGNYNRYYSGCM
+>sp|Q8IUC3|KRA71_HUMAN Keratin-associated protein 7-1 OS=Homo sapiens OX=9606 GN=KRTAP7-1 PE=2 SV=2
+MTRYFCCGSYFPGYPIYGTNFHGTFRATPLNCVVPLGSPLNYGCGCNGYSSLGYSFGGSNINNLGGCYGGSFYRPWGSGSGFGYSTY
+>DECOY_sp|Q8IUC3|KRA71_HUMAN Keratin-associated protein 7-1 OS=Homo sapiens OX=9606 GN=KRTAP7-1 PE=2 SV=2
+YTSYGFGSGSGWPRYFSGGYCGGLNNINSGGFSYGLSSYGNCGCGYNLPSGLPVVCNLPTARFTGHFNTGYIPYGPFYSGCCFYRTM
+>sp|Q8NCW0|KREM2_HUMAN Kremen protein 2 OS=Homo sapiens OX=9606 GN=KREMEN2 PE=2 SV=1
+MGTQALQGFLFLLFLPLLQPRGASAGSLHSPGLSECFQVNGADYRGHQNRTGPRGAGRPCLFWDQTQQHSYSSASDPHGRWGLGAHNFCRNPDGDVQPWCYVAETEEGIYWRYCDIPSCHMPGYLGCFVDSGAPPALSGPSGTSTKLTVQVCLRFCRMKGYQLAGVEAGYACFCGSESDLARGRLAPATDCDQICFGHPGQLCGGDGRLGVYEVSVGSCQGNWTAPQGVIYSPDFPDEYGPDRNCSWALGPPGAALELTFRLFELADPRDRLELRDAASGSLLRAFDGARPPPSGPLRLGTAALLLTFRSDARGHAQGFALTYRGLQDAAEDPEAPEGSAQTPAAPLDGANVSCSPRPGAPPAAIGARVFSTVTAVSVLLLLLLGLLRPLRRRSCLLAPGKGPPALGASRGPRRSWAVWYQQPRGVALPCSPGDPQAEGSAAGYRPLSASSQSSLRSLISAL
+>DECOY_sp|Q8NCW0|KREM2_HUMAN Kremen protein 2 OS=Homo sapiens OX=9606 GN=KREMEN2 PE=2 SV=1
+LASILSRLSSQSSASLPRYGAASGEAQPDGPSCPLAVGRPQQYWVAWSRRPGRSAGLAPPGKGPALLCSRRRLPRLLGLLLLLLVSVATVTSFVRAGIAAPPAGPRPSCSVNAGDLPAAPTQASGEPAEPDEAADQLGRYTLAFGQAHGRADSRFTLLLAATGLRLPGSPPPRAGDFARLLSGSAADRLELRDRPDALEFLRFTLELAAGPPGLAWSCNRDPGYEDPFDPSYIVGQPATWNGQCSGVSVEYVGLRGDGGCLQGPHGFCIQDCDTAPALRGRALDSESGCFCAYGAEVGALQYGKMRCFRLCVQVTLKTSTGSPGSLAPPAGSDVFCGLYGPMHCSPIDCYRWYIGEETEAVYCWPQVDGDPNRCFNHAGLGWRGHPDSASSYSHQQTQDWFLCPRGAGRPGTRNQHGRYDAGNVQFCESLGPSHLSGASAGRPQLLPLFLLFLFGQLAQTGM
+>sp|O76015|KRT38_HUMAN Keratin, type I cuticular Ha8 OS=Homo sapiens OX=9606 GN=KRT38 PE=1 SV=3
+MTSSYSSSSCPLGCTMAPGARNVSVSPIDIGCQPGAEANIAPMCLLANVAHANRVRVGSTPLGRPSLCLPPTCHTACPLPGTCHIPGNIGICGAYGENTLNGHEKETMQFLNDRLANYLEKVRQLEQENAELEATLLERSKCHESTVCPDYQSYFHTIEELQQKILCSKAENARLIVQIDNAKLAADDFRIKLESERSLRQLVEADKCGTQKLLDDATLAKADLEAQQESLKEEQLSLKSNHEQEVKILRSQLGEKLRIELDIEPTIDLNRVLGEMRAQYEAMLETNRQDVEQWFQAQSEGISLQDMSCSEELQCCQSEILELRCTVNALEVERQAQHTLKDCLQNSLCEAEDRFGTELAQMQSLISNVEEQLSEIRADLERQNQEYQVLLDVKTRLENEIATYRNLLESEDCKLPCNPCSTSPSCVTAPCAPRPSCGPCTTCGPTCGASTTGSRF
+>DECOY_sp|O76015|KRT38_HUMAN Keratin, type I cuticular Ha8 OS=Homo sapiens OX=9606 GN=KRT38 PE=1 SV=3
+FRSGTTSAGCTPGCTTCPGCSPRPACPATVCSPSTSCPNCPLKCDESELLNRYTAIENELRTKVDLLVQYEQNQRELDARIESLQEEVNSILSQMQALETGFRDEAECLSNQLCDKLTHQAQREVELANVTCRLELIESQCCQLEESCSMDQLSIGESQAQFWQEVDQRNTELMAEYQARMEGLVRNLDITPEIDLEIRLKEGLQSRLIKVEQEHNSKLSLQEEKLSEQQAELDAKALTADDLLKQTGCKDAEVLQRLSRESELKIRFDDAALKANDIQVILRANEAKSCLIKQQLEEITHFYSQYDPCVTSEHCKSRELLTAELEANEQELQRVKELYNALRDNLFQMTEKEHGNLTNEGYAGCIGINGPIHCTGPLPCATHCTPPLCLSPRGLPTSGVRVRNAHAVNALLCMPAINAEAGPQCGIDIPSVSVNRAGPAMTCGLPCSSSSYSSTM
+>sp|O75582|KS6A5_HUMAN Ribosomal protein S6 kinase alpha-5 OS=Homo sapiens OX=9606 GN=RPS6KA5 PE=1 SV=1
+MEEEGGSSGGAAGTSADGGDGGEQLLTVKHELRTANLTGHAEKVGIENFELLKVLGTGAYGKVFLVRKISGHDTGKLYAMKVLKKATIVQKAKTTEHTRTERQVLEHIRQSPFLVTLHYAFQTETKLHLILDYINGGELFTHLSQRERFTEHEVQIYVGEIVLALEHLHKLGIIYRDIKLENILLDSNGHVVLTDFGLSKEFVADETERAYSFCGTIEYMAPDIVRGGDSGHDKAVDWWSLGVLMYELLTGASPFTVDGEKNSQAEISRRILKSEPPYPQEMSALAKDLIQRLLMKDPKKRLGCGPRDADEIKEHLFFQKINWDDLAAKKVPAPFKPVIRDELDVSNFAEEFTEMDPTYSPAALPQSSEKLFQGYSFVAPSILFKRNAAVIDPLQFHMGVERPGVTNVARSAMMKDSPFYQHYDLDLKDKPLGEGSFSICRKCVHKKSNQAFAVKIISKRMEANTQKEITALKLCEGHPNIVKLHEVFHDQLHTFLVMELLNGGELFERIKKKKHFSETEASYIMRKLVSAVSHMHDVGVVHRDLKPENLLFTDENDNLEIKIIDFGFARLKPPDNQPLKTPCFTLHYAAPELLNQNGYDESCDLWSLGVILYTMLSGQVPFQSHDRSLTCTSAVEIMKKIKKGDFSFEGEAWKNVSQEAKDLIQGLLTVDPNKRLKMSGLRYNEWLQDGSQLSSNPLMTPDILGSSGAAVHTCVKATFHAFNKYKREGFCLQNVDKAPLAKRRKMKKTSTSTETRSSSSESSHSSSSHSHGKTTPTKTLQPSNPADSNNPETLFQFSDSVA
+>DECOY_sp|O75582|KS6A5_HUMAN Ribosomal protein S6 kinase alpha-5 OS=Homo sapiens OX=9606 GN=RPS6KA5 PE=1 SV=1
+AVSDSFQFLTEPNNSDAPNSPQLTKTPTTKGHSHSSSSHSSESSSSRTETSTSTKKMKRRKALPAKDVNQLCFGERKYKNFAHFTAKVCTHVAAGSSGLIDPTMLPNSSLQSGDQLWENYRLGSMKLRKNPDVTLLGQILDKAEQSVNKWAEGEFSFDGKKIKKMIEVASTCTLSRDHSQFPVQGSLMTYLIVGLSWLDCSEDYGNQNLLEPAAYHLTFCPTKLPQNDPPKLRAFGFDIIKIELNDNEDTFLLNEPKLDRHVVGVDHMHSVASVLKRMIYSAETESFHKKKKIREFLEGGNLLEMVLFTHLQDHFVEHLKVINPHGECLKLATIEKQTNAEMRKSIIKVAFAQNSKKHVCKRCISFSGEGLPKDKLDLDYHQYFPSDKMMASRAVNTVGPREVGMHFQLPDIVAANRKFLISPAVFSYGQFLKESSQPLAAPSYTPDMETFEEAFNSVDLEDRIVPKFPAPVKKAALDDWNIKQFFLHEKIEDADRPGCGLRKKPDKMLLRQILDKALASMEQPYPPESKLIRRSIEAQSNKEGDVTFPSAGTLLEYMLVGLSWWDVAKDHGSDGGRVIDPAMYEITGCFSYARETEDAVFEKSLGFDTLVVHGNSDLLINELKIDRYIIGLKHLHELALVIEGVYIQVEHETFRERQSLHTFLEGGNIYDLILHLKTETQFAYHLTVLFPSQRIHELVQRETRTHETTKAKQVITAKKLVKMAYLKGTDHGSIKRVLFVKGYAGTGLVKLLEFNEIGVKEAHGTLNATRLEHKVTLLQEGGDGGDASTGAAGGSSGGEEEM
+>sp|P23443|KS6B1_HUMAN Ribosomal protein S6 kinase beta-1 OS=Homo sapiens OX=9606 GN=RPS6KB1 PE=1 SV=2
+MRRRRRRDGFYPAPDFRDREAEDMAGVFDIDLDQPEDAGSEDELEEGGQLNESMDHGGVGPYELGMEHCEKFEISETSVNRGPEKIRPECFELLRVLGKGGYGKVFQVRKVTGANTGKIFAMKVLKKAMIVRNAKDTAHTKAERNILEEVKHPFIVDLIYAFQTGGKLYLILEYLSGGELFMQLEREGIFMEDTACFYLAEISMALGHLHQKGIIYRDLKPENIMLNHQGHVKLTDFGLCKESIHDGTVTHTFCGTIEYMAPEILMRSGHNRAVDWWSLGALMYDMLTGAPPFTGENRKKTIDKILKCKLNLPPYLTQEARDLLKKLLKRNAASRLGAGPGDAGEVQAHPFFRHINWEELLARKVEPPFKPLLQSEEDVSQFDSKFTRQTPVDSPDDSTLSESANQVFLGFTYVAPSVLESVKEKFSFEPKIRSPRRFIGSPRTPVSPVKFSPGDFWGRGASASTANPQTPVEYPMETSGIEQMDVTMSGEASAPLPIRQPNSGPYKKQAFPMISKRPEHLRMNL
+>DECOY_sp|P23443|KS6B1_HUMAN Ribosomal protein S6 kinase beta-1 OS=Homo sapiens OX=9606 GN=RPS6KB1 PE=1 SV=2
+LNMRLHEPRKSIMPFAQKKYPGSNPQRIPLPASAEGSMTVDMQEIGSTEMPYEVPTQPNATSASAGRGWFDGPSFKVPSVPTRPSGIFRRPSRIKPEFSFKEKVSELVSPAVYTFGLFVQNASESLTSDDPSDVPTQRTFKSDFQSVDEESQLLPKFPPEVKRALLEEWNIHRFFPHAQVEGADGPGAGLRSAANRKLLKKLLDRAEQTLYPPLNLKCKLIKDITKKRNEGTFPPAGTLMDYMLAGLSWWDVARNHGSRMLIEPAMYEITGCFTHTVTGDHISEKCLGFDTLKVHGQHNLMINEPKLDRYIIGKQHLHGLAMSIEALYFCATDEMFIGERELQMFLEGGSLYELILYLKGGTQFAYILDVIFPHKVEELINREAKTHATDKANRVIMAKKLVKMAFIKGTNAGTVKRVQFVKGYGGKGLVRLLEFCEPRIKEPGRNVSTESIEFKECHEMGLEYPGVGGHDMSENLQGGEELEDESGADEPQDLDIDFVGAMDEAERDRFDPAPYFGDRRRRRRM
+>sp|Q86SY8|KTAS1_HUMAN Putative uncharacterized protein KTN1-AS1 OS=Homo sapiens OX=9606 GN=KTN1-AS1 PE=5 SV=2
+MEAAGGFQVHFHPSNGDGRFIETSSAADLEVISYSSKVSVTERCFIKVCTVLF
+>DECOY_sp|Q86SY8|KTAS1_HUMAN Putative uncharacterized protein KTN1-AS1 OS=Homo sapiens OX=9606 GN=KTN1-AS1 PE=5 SV=2
+FLVTCVKIFCRETVSVKSSYSIVELDAASSTEIFRGDGNSPHFHVQFGGAAEM
+>sp|P23919|KTHY_HUMAN Thymidylate kinase OS=Homo sapiens OX=9606 GN=DTYMK PE=1 SV=4
+MAARRGALIVLEGVDRAGKSTQSRKLVEALCAAGHRAELLRFPERSTEIGKLLSSYLQKKSDVEDHSVHLLFSANRWEQVPLIKEKLSQGVTLVVDRYAFSGVAFTGAKENFSLDWCKQPDVGLPKPDLVLFLQLQLADAAKRGAFGHERYENGAFQERALRCFHQLMKDTTLNWKMVDASKSIEAVHEDIRVLSEDAIRTATEKPLGELWK
+>DECOY_sp|P23919|KTHY_HUMAN Thymidylate kinase OS=Homo sapiens OX=9606 GN=DTYMK PE=1 SV=4
+KWLEGLPKETATRIADESLVRIDEHVAEISKSADVMKWNLTTDKMLQHFCRLAREQFAGNEYREHGFAGRKAADALQLQLFLVLDPKPLGVDPQKCWDLSFNEKAGTFAVGSFAYRDVVLTVGQSLKEKILPVQEWRNASFLLHVSHDEVDSKKQLYSSLLKGIETSREPFRLLEARHGAACLAEVLKRSQTSKGARDVGELVILAGRRAAM
+>sp|A0A0C4DH72|KV106_HUMAN Immunoglobulin kappa variable 1-6 OS=Homo sapiens OX=9606 GN=IGKV1-6 PE=3 SV=1
+MDMRVPAQLLGLLLLWLPGARCAIQMTQSPSSLSASVGDRVTITCRASQGIRNDLGWYQQKPGKAPKLLIYAASSLQSGVPSRFSGSGSGTDFTLTISSLQPEDFATYYCLQDYNYP
+>DECOY_sp|A0A0C4DH72|KV106_HUMAN Immunoglobulin kappa variable 1-6 OS=Homo sapiens OX=9606 GN=IGKV1-6 PE=3 SV=1
+PYNYDQLCYYTAFDEPQLSSITLTFDTGSGSGSFRSPVGSQLSSAAYILLKPAKGPKQQYWGLDNRIGQSARCTITVRDGVSASLSSPSQTMQIACRAGPLWLLLLGLLQAPVRMDM
+>sp|A0A0C4DH73|KV112_HUMAN Immunoglobulin kappa variable 1-12 OS=Homo sapiens OX=9606 GN=IGKV1-12 PE=3 SV=1
+MDMRVPAQLLGLLLLWFPGSRCDIQMTQSPSSVSASVGDRVTITCRASQGISSWLAWYQQKPGKAPKLLIYAASSLQSGVPSRFSGSGSGTDFTLTISSLQPEDFATYYCQQANSFP
+>DECOY_sp|A0A0C4DH73|KV112_HUMAN Immunoglobulin kappa variable 1-12 OS=Homo sapiens OX=9606 GN=IGKV1-12 PE=3 SV=1
+PFSNAQQCYYTAFDEPQLSSITLTFDTGSGSGSFRSPVGSQLSSAAYILLKPAKGPKQQYWALWSSIGQSARCTITVRDGVSASVSSPSQTMQIDCRSGPFWLLLLGLLQAPVRMDM
+>sp|P04430|KV116_HUMAN Immunoglobulin kappa variable 1-16 OS=Homo sapiens OX=9606 GN=IGKV1-16 PE=1 SV=2
+MDMRVLAQLLGLLLLCFPGARCDIQMTQSPSSLSASVGDRVTITCRASQGISNYLAWFQQKPGKAPKSLIYAASSLQSGVPSKFSGSGSGTDFTLTISSLQPEDFATYYCQQYNSYP
+>DECOY_sp|P04430|KV116_HUMAN Immunoglobulin kappa variable 1-16 OS=Homo sapiens OX=9606 GN=IGKV1-16 PE=1 SV=2
+PYSNYQQCYYTAFDEPQLSSITLTFDTGSGSGSFKSPVGSQLSSAAYILSKPAKGPKQQFWALYNSIGQSARCTITVRDGVSASLSSPSQTMQIDCRAGPFCLLLLGLLQALVRMDM
+>sp|A6NMS7|L37A1_HUMAN Leucine-rich repeat-containing protein 37A OS=Homo sapiens OX=9606 GN=LRRC37A PE=2 SV=3
+MSSAQCPALVCVMSRLRFWGPWPLLMWQLLWLLVKEAQPLEWVKDPLQLTSNPLGPPESWSSHSSHFPRESPHAPTLPADPWDFDHLGPSASSEMPAPPQESTENLVPFLDTWDSAGEQPLEPEQFLASQQDLKDKLSPQERLPVSPKKLKKDPAQRWSLAEIIGITRQLSTPQSQKQTLQNEYSSTDTPYPGSLPPELRVKSDEPPGPSEQVGPSQFHLEPETQNPETLEDIQSSSLQQEAPAQLPQLLEEEPSSMQQEAPALPPESSMESLTLPNHEVSVQPPGEDQAYYHLPNITVKPADVEVTITSEPTNETESSQAQQETPIQFPEEVEPSATQQEAPIEPPVPPMEHELSISEQQQPVQPSESPREVESSPTQQETPGQPPEHHEVTVSPPGHHQTHHLASPSVSVKPPDVQLTIAAEPSAEVGTSLVHQEATTRLSGSGNDVEPPAIQHGGPPLLPESSEEAGPLAVQQETSFQSPEPINNENPSPTQQEAAAEHPQTAEEGESSLTHQEAPAQTPEFPNVVVAQPPEHSHLTQATVQPLDLGFTITPESKTEVELSPTMKETPTQPPKKVVPQLRVYQGVTNPTPGQDQAQHPVSPSVTVQLLDLGLTITPEPTTEVGHSTPPKRTIVSPKHPEVTLPHPDQVQTQHSHLTRATVQPLDLGFTITPKSMTEVEPSTALMTTAPPPGHPEVTLPPSDKGQAQHSHLTQATVQPLDLELTITTKPTTEVKPSPTTEETSTQPPDLGLAIIPEPTTETGHSTALEKTTAPRPDRVQTLHRSLTEVTGPPTELEPAQDSLVQSESYTQNKALTAPEEHKASTSTNICELCTCGDEMLSCIDLNPEQRLRQVPVPEPNTHNGTFTILNFQGNYISYIDGNVWKAYSWTEKLILRENNLTELHKDSFEGLLSLQYLDLSCNKIQSIERHTFEPLPFLKFINLSCNVITELSFGTFQAWHGMQFLHKLILNHNPLTTVEDPYLFKLPALKYLDMGTTLVPLTTLKNILMMTVELEKLILPSHMACCLCQFKNSIEAVCKTVKLHCNSACLTNTTHCPEEASVGNPEGAFMKVLQARKNYTSTELIVEPEEPSDSSGINLSGFGSEQLDTNDESDFISTLSYILPYFSAVNLDVKSLLLPFIKLPTTGNSLAKIQTVGQNRQRVKRVLMGPRSIQKRHFKEVGRQSIRREQGAQASVENAAEEKRLTSPAPREVEQPHTQQGPEKLAGNAVYTKPSFTQEHKAAVSVLKPFSKGTPSTSSPAKALPQVRDRSKDLTHAISILESAKARVTNTKTSKPIVHARKKYRFHKTRSHVTHRTTKVKKSPKVRKKSYLSRLMLANRLPFSAAKSLINSPSQGAFSSLGDLSPQENPFLEVSALSEHFIEKNNTKHTTARNAFEENDFMENTNMPEGTISENTNYNHPPEADSAGTAFNLGPTVKQTETKWEYNNVGTDLSPEPKSFNYPLLSSPGDQFEIQLTQQLQSLIPNNNVRRLIAHVIRTLKMDCSGAHVQVTCAKLISRTGHLMKLLSGQQEVKASKIEWDTDQWKIENYINESTEAQSEQKEKSLELKKEVPGYGYTDKLILALIVTGILTILIILFCLIVICCHRRSLQEDEEGFSRGIFRFLPWRGCSSRRESQDGLSSFGQPLWFKDLYKPLSATRINNHAWKLHKKSSNEDKILNRDPGDSEAPTEEEESEALP
+>DECOY_sp|A6NMS7|L37A1_HUMAN Leucine-rich repeat-containing protein 37A OS=Homo sapiens OX=9606 GN=LRRC37A PE=2 SV=3
+PLAESEEEETPAESDGPDRNLIKDENSSKKHLKWAHNNIRTASLPKYLDKFWLPQGFSSLGDQSERRSSCGRWPLFRFIGRSFGEEDEQLSRRHCCIVILCFLIILITLIGTVILALILKDTYGYGPVEKKLELSKEKQESQAETSENIYNEIKWQDTDWEIKSAKVEQQGSLLKMLHGTRSILKACTVQVHAGSCDMKLTRIVHAILRRVNNNPILSQLQQTLQIEFQDGPSSLLPYNFSKPEPSLDTGVNNYEWKTETQKVTPGLNFATGASDAEPPHNYNTNESITGEPMNTNEMFDNEEFANRATTHKTNNKEIFHESLASVELFPNEQPSLDGLSSFAGQSPSNILSKAASFPLRNALMLRSLYSKKRVKPSKKVKTTRHTVHSRTKHFRYKKRAHVIPKSTKTNTVRAKASELISIAHTLDKSRDRVQPLAKAPSSTSPTGKSFPKLVSVAAKHEQTFSPKTYVANGALKEPGQQTHPQEVERPAPSTLRKEEAANEVSAQAGQERRISQRGVEKFHRKQISRPGMLVRKVRQRNQGVTQIKALSNGTTPLKIFPLLLSKVDLNVASFYPLIYSLTSIFDSEDNTDLQESGFGSLNIGSSDSPEEPEVILETSTYNKRAQLVKMFAGEPNGVSAEEPCHTTNTLCASNCHLKVTKCVAEISNKFQCLCCAMHSPLILKELEVTMMLINKLTTLPVLTTGMDLYKLAPLKFLYPDEVTTLPNHNLILKHLFQMGHWAQFTGFSLETIVNCSLNIFKLFPLPEFTHREISQIKNCSLDLYQLSLLGEFSDKHLETLNNERLILKETWSYAKWVNGDIYSIYNGQFNLITFTGNHTNPEPVPVQRLRQEPNLDICSLMEDGCTCLECINTSTSAKHEEPATLAKNQTYSESQVLSDQAPELETPPGTVETLSRHLTQVRDPRPATTKELATSHGTETTPEPIIALGLDPPQTSTEETTPSPKVETTPKTTITLELDLPQVTAQTLHSHQAQGKDSPPLTVEPHGPPPATTMLATSPEVETMSKPTITFGLDLPQVTARTLHSHQTQVQDPHPLTVEPHKPSVITRKPPTSHGVETTPEPTITLGLDLLQVTVSPSVPHQAQDQGPTPNTVGQYVRLQPVVKKPPQTPTEKMTPSLEVETKSEPTITFGLDLPQVTAQTLHSHEPPQAVVVNPFEPTQAPAEQHTLSSEGEEATQPHEAAAEQQTPSPNENNIPEPSQFSTEQQVALPGAEESSEPLLPPGGHQIAPPEVDNGSGSLRTTAEQHVLSTGVEASPEAAITLQVDPPKVSVSPSALHHTQHHGPPSVTVEHHEPPQGPTEQQTPSSEVERPSESPQVPQQQESISLEHEMPPVPPEIPAEQQTASPEVEEPFQIPTEQQAQSSETENTPESTITVEVDAPKVTINPLHYYAQDEGPPQVSVEHNPLTLSEMSSEPPLAPAEQQMSSPEEELLQPLQAPAEQQLSSSQIDELTEPNQTEPELHFQSPGVQESPGPPEDSKVRLEPPLSGPYPTDTSSYENQLTQKQSQPTSLQRTIGIIEALSWRQAPDKKLKKPSVPLREQPSLKDKLDQQSALFQEPELPQEGASDWTDLFPVLNETSEQPPAPMESSASPGLHDFDWPDAPLTPAHPSERPFHSSHSSWSEPPGLPNSTLQLPDKVWELPQAEKVLLWLLQWMLLPWPGWFRLRSMVCVLAPCQASSM
+>sp|O60309|L37A3_HUMAN Leucine-rich repeat-containing protein 37A3 OS=Homo sapiens OX=9606 GN=LRRC37A3 PE=2 SV=2
+MTSAQCPALACVMSPLRFWGPWPLLMWQLLWLLVKEAQPLEWVKDPLQLTSNPLGPPEPWSSHSSHFPRESPHAPTLPADPWDFDHLGPSASSEMPAPPQESTENLVPFLDTWDSAGELPLEPEQFLASQQDLKDKLSPQERLPVSPKKLKKDPAQRWSLAEIIGIIHQLSTPQSQKQTLQNEYSSTDTPYPGSLPPELRVKSDEPPGPSEQVGPSQFHLEPETQNPETLEDIQSSSLQQEAPAQLPQLLEEEPSSMQQEAPALPPESSMESLTLPNHEVSVQPPGEDQAYYHLPNITVKPADVEVTITSEPTNETESSQAQQETPIQFPEEVEPSATQQEAPIEPPVPPMEHELSISEQQQPVQPSESSREVESSPTQQETPGQPPEHHEVTVSPPGHHQTHHLASPSVSVKPPDVQLTIAAEPSAEVGTSLVHQEATTRLSGSGNDVEPPAIQHGGPPLLPESSEEAGPLAVQQETSFQSPEPINNENPSPTQQEAAAEHPQTAEEGESSLTHQEAPAQTPEFPNVVVAQPPEHSHLTQATVQPLDLGFTITPESMTEVELSPTMKETPTQPPKKVVPQLRVYQGVTNPTPGQDQAQHPVSPSVTVQLLDLGLTITPEPTTEVGHSTPPKRTIVSPKHPEVTLPHPDQVQTQHSHLTRATVQPLDLGFTITPKSMTEVEPSTALMTTAPPPGHPEVTLPPSDKGQAQHSHLTQATVQPLDLELTITTKPTTEVKPSPTTEETSTQLPDLGLAIIPEPTTETGHSTALEKTTAPRPDRVQTLHRSLTEVTGPPTELEPAQDSLVQSESYTQNKALTAPEEHKASTSTNICELCTCGDEMLSCIDLNPEQRLRQVPVPEPNTHNGTFTILNFQGNYISYIDGNVWKAYSWTEKLILRENNLTELHKDSFEGLLSLQYLDLSCNKIQSIERHTFEPLPFLKFINLSCNVITELSFGTFQAWHGMQFLHKLILNHNPLTTVEDPYLFKLPALKYLDMGTTLVPLTTLKNILMMTVELEKLIVPSHMACCLCQFKNSIEAVCKTVKLHCNSACLTNTTHCPEEASVGNPEGAFMKVLQARKNYTSTELIIEPEEPSDSSGINLSGFGSEQLDTNDESDVTSTLSYILPYFSAVNLDVKSLLLPFIKLPTTGNSLAKIQTVGKNRQRLNRVLMGPRSIQKRHFKEVGRQSIRREQGAQASVENTAEEKRLGSPAPRELKQPHTQQGPEKLAGNAVYTKPSFTQEHKAAVSVLKPFSKGAPSTSSPAKALPQVRDRWKDLTHAISILESAKARVTNMKTSKPIVHSRKKYRFHKTRSRMTHRTPKVKKSPKVRKKSYLSRLMLSNRLPFSAAKSLINSPSQGAFSSLRDLSPQENPFLEVSAPSEHFIENNNTKDTTARNAFEENVFMENTNMPEGTISENTNYNHPPEADSAGTAFNLGPTVKQTETKWEYNNVGTDLSPEPKSFNYPLLSSPGDQFEIQLTQQLQSVIPNNNVRRLIAHVIRTLKMDCSGAHVQVTCAKLVSRTGHLMKLLSGQQEVKASKIEWDTDQWKTENYINESTEAQSEQKEKSLEFTKELPGYGYTKKLILALIVTGILTILIILLCLIEICCHRRSLQEDEEGFSRDSEAPTEEESEALP
+>DECOY_sp|O60309|L37A3_HUMAN Leucine-rich repeat-containing protein 37A3 OS=Homo sapiens OX=9606 GN=LRRC37A3 PE=2 SV=2
+PLAESEEETPAESDRSFGEEDEQLSRRHCCIEILCLLIILITLIGTVILALILKKTYGYGPLEKTFELSKEKQESQAETSENIYNETKWQDTDWEIKSAKVEQQGSLLKMLHGTRSVLKACTVQVHAGSCDMKLTRIVHAILRRVNNNPIVSQLQQTLQIEFQDGPSSLLPYNFSKPEPSLDTGVNNYEWKTETQKVTPGLNFATGASDAEPPHNYNTNESITGEPMNTNEMFVNEEFANRATTDKTNNNEIFHESPASVELFPNEQPSLDRLSSFAGQSPSNILSKAASFPLRNSLMLRSLYSKKRVKPSKKVKPTRHTMRSRTKHFRYKKRSHVIPKSTKMNTVRAKASELISIAHTLDKWRDRVQPLAKAPSSTSPAGKSFPKLVSVAAKHEQTFSPKTYVANGALKEPGQQTHPQKLERPAPSGLRKEEATNEVSAQAGQERRISQRGVEKFHRKQISRPGMLVRNLRQRNKGVTQIKALSNGTTPLKIFPLLLSKVDLNVASFYPLIYSLTSTVDSEDNTDLQESGFGSLNIGSSDSPEEPEIILETSTYNKRAQLVKMFAGEPNGVSAEEPCHTTNTLCASNCHLKVTKCVAEISNKFQCLCCAMHSPVILKELEVTMMLINKLTTLPVLTTGMDLYKLAPLKFLYPDEVTTLPNHNLILKHLFQMGHWAQFTGFSLETIVNCSLNIFKLFPLPEFTHREISQIKNCSLDLYQLSLLGEFSDKHLETLNNERLILKETWSYAKWVNGDIYSIYNGQFNLITFTGNHTNPEPVPVQRLRQEPNLDICSLMEDGCTCLECINTSTSAKHEEPATLAKNQTYSESQVLSDQAPELETPPGTVETLSRHLTQVRDPRPATTKELATSHGTETTPEPIIALGLDPLQTSTEETTPSPKVETTPKTTITLELDLPQVTAQTLHSHQAQGKDSPPLTVEPHGPPPATTMLATSPEVETMSKPTITFGLDLPQVTARTLHSHQTQVQDPHPLTVEPHKPSVITRKPPTSHGVETTPEPTITLGLDLLQVTVSPSVPHQAQDQGPTPNTVGQYVRLQPVVKKPPQTPTEKMTPSLEVETMSEPTITFGLDLPQVTAQTLHSHEPPQAVVVNPFEPTQAPAEQHTLSSEGEEATQPHEAAAEQQTPSPNENNIPEPSQFSTEQQVALPGAEESSEPLLPPGGHQIAPPEVDNGSGSLRTTAEQHVLSTGVEASPEAAITLQVDPPKVSVSPSALHHTQHHGPPSVTVEHHEPPQGPTEQQTPSSEVERSSESPQVPQQQESISLEHEMPPVPPEIPAEQQTASPEVEEPFQIPTEQQAQSSETENTPESTITVEVDAPKVTINPLHYYAQDEGPPQVSVEHNPLTLSEMSSEPPLAPAEQQMSSPEEELLQPLQAPAEQQLSSSQIDELTEPNQTEPELHFQSPGVQESPGPPEDSKVRLEPPLSGPYPTDTSSYENQLTQKQSQPTSLQHIIGIIEALSWRQAPDKKLKKPSVPLREQPSLKDKLDQQSALFQEPELPLEGASDWTDLFPVLNETSEQPPAPMESSASPGLHDFDWPDAPLTPAHPSERPFHSSHSSWPEPPGLPNSTLQLPDKVWELPQAEKVLLWLLQWMLLPWPGWFRLPSMVCALAPCQASTM
+>sp|Q6ZP29|LAAT1_HUMAN Lysosomal amino acid transporter 1 homolog OS=Homo sapiens OX=9606 GN=PQLC2 PE=1 SV=1
+MVWKKLGSRNFSSCPSGSIQWIWDVLGECAQDGWDEASVGLGLISILCFAASTFPQFIKAYKTGNMDQALSLWFLLGWIGGDSCNLIGSFLADQLPLQTYTAVYYVLADLVMLTLYFYYKFRTRPSLLSAPINSVLLFLMGMACATPLLSAAGPVAAPREAFRGRALLSVESGSKPFTRQEVIGFVIGSISSVLYLLSRLPQIRTNFLRKSTQGISYSLFALVMLGNTLYGLSVLLKNPEEGQSEGSYLLHHLPWLVGSLGVLLLDTIISIQFLVYRRSTAASELEPLLPS
+>DECOY_sp|Q6ZP29|LAAT1_HUMAN Lysosomal amino acid transporter 1 homolog OS=Homo sapiens OX=9606 GN=PQLC2 PE=1 SV=1
+SPLLPELESAATSRRYVLFQISIITDLLLVGLSGVLWPLHHLLYSGESQGEEPNKLLVSLGYLTNGLMVLAFLSYSIGQTSKRLFNTRIQPLRSLLYLVSSISGIVFGIVEQRTFPKSGSEVSLLARGRFAERPAAVPGAASLLPTACAMGMLFLLVSNIPASLLSPRTRFKYYFYLTLMVLDALVYYVATYTQLPLQDALFSGILNCSDGGIWGLLFWLSLAQDMNGTKYAKIFQPFTSAAFCLISILGLGVSAEDWGDQACEGLVDWIWQISGSPCSSFNRSGLKKWVM
+>sp|P83111|LACTB_HUMAN Serine beta-lactamase-like protein LACTB, mitochondrial OS=Homo sapiens OX=9606 GN=LACTB PE=1 SV=2
+MYRLMSAVTARAAAPGGLASSCGRRGVHQRAGLPPLGHGWVGGLGLGLGLALGVKLAGGLRGAAPAQSPAAPDPEASPLAEPPQEQSLAPWSPQTPAPPCSRCFARAIESSRDLLHRIKDEVGAPGIVVGVSVDGKEVWSEGLGYADVENRVPCKPETVMRIASISKSLTMVALAKLWEAGKLDLDIPVQHYVPEFPEKEYEGEKVSVTTRLLISHLSGIRHYEKDIKKVKEEKAYKALKMMKENVAFEQEKEGKSNEKNDFTKFKTEQENEAKCRNSKPGKKKNDFEQGELYLREKFENSIESLRLFKNDPLFFKPGSQFLYSTFGYTLLAAIVERASGCKYLDYMQKIFHDLDMLTTVQEENEPVIYNRARFYVYNKKKRLVNTPYVDNSYKWAGGGFLSTVGDLLKFGNAMLYGYQVGLFKNSNENLLPGYLKPETMVMMWTPVPNTEMSWDKEGKYAMAWGVVERKQTYGSCRKQRHYASHTGGAVGASSVLLVLPEELDTETINNKVPPRGIIVSIICNMQSVGLNSTALKIALEFDKDRSD
+>DECOY_sp|P83111|LACTB_HUMAN Serine beta-lactamase-like protein LACTB, mitochondrial OS=Homo sapiens OX=9606 GN=LACTB PE=1 SV=2
+DSRDKDFELAIKLATSNLGVSQMNCIISVIIGRPPVKNNITETDLEEPLVLLVSSAGVAGGTHSAYHRQKRCSGYTQKREVVGWAMAYKGEKDWSMETNPVPTWMMVMTEPKLYGPLLNENSNKFLGVQYGYLMANGFKLLDGVTSLFGGGAWKYSNDVYPTNVLRKKKNYVYFRARNYIVPENEEQVTTLMDLDHFIKQMYDLYKCGSAREVIAALLTYGFTSYLFQSGPKFFLPDNKFLRLSEISNEFKERLYLEGQEFDNKKKGPKSNRCKAENEQETKFKTFDNKENSKGEKEQEFAVNEKMMKLAKYAKEEKVKKIDKEYHRIGSLHSILLRTTVSVKEGEYEKEPFEPVYHQVPIDLDLKGAEWLKALAVMTLSKSISAIRMVTEPKCPVRNEVDAYGLGESWVEKGDVSVGVVIGPAGVEDKIRHLLDRSSEIARAFCRSCPPAPTQPSWPALSQEQPPEALPSAEPDPAAPSQAPAAGRLGGALKVGLALGLGLGLGGVWGHGLPPLGARQHVGRRGCSSALGGPAAARATVASMLRYM
+>sp|Q6ISS4|LAIR2_HUMAN Leukocyte-associated immunoglobulin-like receptor 2 OS=Homo sapiens OX=9606 GN=LAIR2 PE=1 SV=1
+MSPHLTALLGLVLCLAQTIHTQEGALPRPSISAEPGTVISPGSHVTFMCRGPVGVQTFRLEREDRAKYKDSYNVFRLGPSESEARFHIDSVSEGNAGLYRCLYYKPPGWSEHSDFLELLVKESSGGPDSPDTEPGSSAGTVPGTEASGFDAP
+>DECOY_sp|Q6ISS4|LAIR2_HUMAN Leukocyte-associated immunoglobulin-like receptor 2 OS=Homo sapiens OX=9606 GN=LAIR2 PE=1 SV=1
+PADFGSAETGPVTGASSGPETDPSDPGGSSEKVLLELFDSHESWGPPKYYLCRYLGANGESVSDIHFRAESESPGLRFVNYSDKYKARDERELRFTQVGVPGRCMFTVHSGPSIVTGPEASISPRPLAGEQTHITQALCLVLGLLATLHPSM
+>sp|Q13753|LAMC2_HUMAN Laminin subunit gamma-2 OS=Homo sapiens OX=9606 GN=LAMC2 PE=1 SV=2
+MPALWLGCCLCFSLLLPAARATSRREVCDCNGKSRQCIFDRELHRQTGNGFRCLNCNDNTDGIHCEKCKNGFYRHRERDRCLPCNCNSKGSLSARCDNSGRCSCKPGVTGARCDRCLPGFHMLTDAGCTQDQRLLDSKCDCDPAGIAGPCDAGRCVCKPAVTGERCDRCRSGYYNLDGGNPEGCTQCFCYGHSASCRSSAEYSVHKITSTFHQDVDGWKAVQRNGSPAKLQWSQRHQDVFSSAQRLDPVYFVAPAKFLGNQQVSYGQSLSFDYRVDRGGRHPSAHDVILEGAGLRITAPLMPLGKTLPCGLTKTYTFRLNEHPSNNWSPQLSYFEYRRLLRNLTALRIRATYGEYSTGYIDNVTLISARPVSGAPAPWVEQCICPVGYKGQFCQDCASGYKRDSARLGPFGTCIPCNCQGGGACDPDTGDCYSGDENPDIECADCPIGFYNDPHDPRSCKPCPCHNGFSCSVMPETEEVVCNNCPPGVTGARCELCADGYFGDPFGEHGPVRPCQPCQCNNNVDPSASGNCDRLTGRCLKCIHNTAGIYCDQCKAGYFGDPLAPNPADKCRACNCNPMGSEPVGCRSDGTCVCKPGFGGPNCEHGAFSCPACYNQVKIQMDQFMQQLQRMEALISKAQGGDGVVPDTELEGRMQQAEQALQDILRDAQISEGASRSLGLQLAKVRSQENSYQSRLDDLKMTVERVRALGSQYQNRVRDTHRLITQMQLSLAESEASLGNTNIPASDHYVGPNGFKSLAQEATRLAESHVESASNMEQLTRETEDYSKQALSLVRKALHEGVGSGSGSPDGAVVQGLVEKLEKTKSLAQQLTREATQAEIEADRSYQHSLRLLDSVSRLQGVSDQSFQVEEAKRIKQKADSLSSLVTRHMDEFKRTQKNLGNWKEEAQQLLQNGKSGREKSDQLLSRANLAKSRAQEALSMGNATFYEVESILKNLREFDLQVDNRKAEAEEAMKRLSYISQKVSDASDKTQQAERALGSAAADAQRAKNGAGEALEISSEIEQEIGSLNLEANVTADGALAMEKGLASLKSEMREVEGELERKELEFDTNMDAVQMVITEAQKVDTRAKNAGVTIQDTLNTLDGLLHLMDQPLSVDEEGLVLLEQKLSRAKTQINSQLRPMMSELEERARQQRGHLHLLETSIDGILADVKNLENIRDNLPPGCYNTQALEQQ
+>DECOY_sp|Q13753|LAMC2_HUMAN Laminin subunit gamma-2 OS=Homo sapiens OX=9606 GN=LAMC2 PE=1 SV=2
+QQELAQTNYCGPPLNDRINELNKVDALIGDISTELLHLHGRQQRAREELESMMPRLQSNIQTKARSLKQELLVLGEEDVSLPQDMLHLLGDLTNLTDQITVGANKARTDVKQAETIVMQVADMNTDFELEKRELEGEVERMESKLSALGKEMALAGDATVNAELNLSGIEQEIESSIELAEGAGNKARQADAAASGLAREAQQTKDSADSVKQSIYSLRKMAEEAEAKRNDVQLDFERLNKLISEVEYFTANGMSLAEQARSKALNARSLLQDSKERGSKGNQLLQQAEEKWNGLNKQTRKFEDMHRTVLSSLSDAKQKIRKAEEVQFSQDSVGQLRSVSDLLRLSHQYSRDAEIEAQTAERTLQQALSKTKELKEVLGQVVAGDPSGSGSGVGEHLAKRVLSLAQKSYDETERTLQEMNSASEVHSEALRTAEQALSKFGNPGVYHDSAPINTNGLSAESEALSLQMQTILRHTDRVRNQYQSGLARVREVTMKLDDLRSQYSNEQSRVKALQLGLSRSAGESIQADRLIDQLAQEAQQMRGELETDPVVGDGGQAKSILAEMRQLQQMFQDMQIKVQNYCAPCSFAGHECNPGGFGPKCVCTGDSRCGVPESGMPNCNCARCKDAPNPALPDGFYGAKCQDCYIGATNHICKLCRGTLRDCNGSASPDVNNNCQCPQCPRVPGHEGFPDGFYGDACLECRAGTVGPPCNNCVVEETEPMVSCSFGNHCPCPKCSRPDHPDNYFGIPCDACEIDPNEDGSYCDGTDPDCAGGGQCNCPICTGFPGLRASDRKYGSACDQCFQGKYGVPCICQEVWPAPAGSVPRASILTVNDIYGTSYEGYTARIRLATLNRLLRRYEFYSLQPSWNNSPHENLRFTYTKTLGCPLTKGLPMLPATIRLGAGELIVDHASPHRGGRDVRYDFSLSQGYSVQQNGLFKAPAVFYVPDLRQASSFVDQHRQSWQLKAPSGNRQVAKWGDVDQHFTSTIKHVSYEASSRCSASHGYCFCQTCGEPNGGDLNYYGSRCRDCREGTVAPKCVCRGADCPGAIGAPDCDCKSDLLRQDQTCGADTLMHFGPLCRDCRAGTVGPKCSCRGSNDCRASLSGKSNCNCPLCRDRERHRYFGNKCKECHIGDTNDNCNLCRFGNGTQRHLERDFICQRSKGNCDCVERRSTARAAPLLLSFCLCCGLWLAPM
+>sp|Q9UQV4|LAMP3_HUMAN Lysosome-associated membrane glycoprotein 3 OS=Homo sapiens OX=9606 GN=LAMP3 PE=1 SV=3
+MPRQLSAAAALFASLAVILHDGSQMRAKAFPETRDYSQPTAAATVQDIKKPVQQPAKQAPHQTLAARFMDGHITFQTAATVKIPTTTPATTKNTATTSPITYTLVTTQATPNNSHTAPPVTEVTVGPSLAPYSLPPTITPPAHTTGTSSSTVSHTTGNTTQPSNQTTLPATLSIALHKSTTGQKPVQPTHAPGTTAAAHNTTRTAAPASTVPGPTLAPQPSSVKTGIYQVLNGSRLCIKAEMGIQLIVQDKESVFSPRRYFNIDPNATQASGNCGTRKSNLLLNFQGGFVNLTFTKDEESYYISEVGAYLTVSDPETIYQGIKHAVVMFQTAVGHSFKCVSEQSLQLSAHLQVKTTDVQLQAFDFEDDHFGNVDECSSDYTIVLPVIGAIVVGLCLMGMGVYKIRLRCQSSGYQRI
+>DECOY_sp|Q9UQV4|LAMP3_HUMAN Lysosome-associated membrane glycoprotein 3 OS=Homo sapiens OX=9606 GN=LAMP3 PE=1 SV=3
+IRQYGSSQCRLRIKYVGMGMLCLGVVIAGIVPLVITYDSSCEDVNGFHDDEFDFAQLQVDTTKVQLHASLQLSQESVCKFSHGVATQFMVVAHKIGQYITEPDSVTLYAGVESIYYSEEDKTFTLNVFGGQFNLLLNSKRTGCNGSAQTANPDINFYRRPSFVSEKDQVILQIGMEAKICLRSGNLVQYIGTKVSSPQPALTPGPVTSAPAATRTTNHAAATTGPAHTPQVPKQGTTSKHLAISLTAPLTTQNSPQTTNGTTHSVTSSSTGTTHAPPTITPPLSYPALSPGVTVETVPPATHSNNPTAQTTVLTYTIPSTTATNKTTAPTTTPIKVTAATQFTIHGDMFRAALTQHPAQKAPQQVPKKIDQVTAAATPQSYDRTEPFAKARMQSGDHLIVALSAFLAAAASLQRPM
+>sp|Q9UJQ1|LAMP5_HUMAN Lysosome-associated membrane glycoprotein 5 OS=Homo sapiens OX=9606 GN=LAMP5 PE=1 SV=1
+MDLQGRGVPSIDRLRVLLMLFHTMAQIMAEQEVENLSGLSTNPEKDIFVVRENGTTCLMAEFAAKFIVPYDVWASNYVDLITEQADIALTRGAEVKGRCGHSQSELQVFWVDRAYALKMLFVKESHNMSKGPEATWRLSKVQFVYDSSEKTHFKDAVSAGKHTANSHHLSALVTPAGKSYECQAQQTISLASSDPQKTVTMILSAVHIQPFDIISDFVFSEEHKCPVDEREQLEETLPLILGLILGLVIMVTLAIYHVHHKMTANQVQIPRDRSQYKHMG
+>DECOY_sp|Q9UJQ1|LAMP5_HUMAN Lysosome-associated membrane glycoprotein 5 OS=Homo sapiens OX=9606 GN=LAMP5 PE=1 SV=1
+GMHKYQSRDRPIQVQNATMKHHVHYIALTVMIVLGLILGLILPLTEELQEREDVPCKHEESFVFDSIIDFPQIHVASLIMTVTKQPDSSALSITQQAQCEYSKGAPTVLASLHHSNATHKGASVADKFHTKESSDYVFQVKSLRWTAEPGKSMNHSEKVFLMKLAYARDVWFVQLESQSHGCRGKVEAGRTLAIDAQETILDVYNSAWVDYPVIFKAAFEAMLCTTGNERVVFIDKEPNTSLGSLNEVEQEAMIQAMTHFLMLLVRLRDISPVGRGQLDM
+>sp|Q13571|LAPM5_HUMAN Lysosomal-associated transmembrane protein 5 OS=Homo sapiens OX=9606 GN=LAPTM5 PE=1 SV=1
+MDPRLSTVRQTCCCFNVRIATTALAIYHVIMSVLLFIEHSVEVAHGKASCKLSQMGYLRIADLISSFLLITMLFIISLSLLIGVVKNREKYLLPFLSLQIMDYLLCLLTLLGSYIELPAYLKLASRSRASSSKFPLMTLQLLDFCLSILTLCSSYMEVPTYLNFKSMNHMNYLPSQEDMPHNQFIKMMIIFSIAFITVLIFKVYMFKCVWRCYRLIKCMNSVEEKRNSKMLQKVVLPSYEEALSLPSKTPEGGPAPPPYSEV
+>DECOY_sp|Q13571|LAPM5_HUMAN Lysosomal-associated transmembrane protein 5 OS=Homo sapiens OX=9606 GN=LAPTM5 PE=1 SV=1
+VESYPPPAPGGEPTKSPLSLAEEYSPLVVKQLMKSNRKEEVSNMCKILRYCRWVCKFMYVKFILVTIFAISFIIMMKIFQNHPMDEQSPLYNMHNMSKFNLYTPVEMYSSCLTLISLCFDLLQLTMLPFKSSSARSRSALKLYAPLEIYSGLLTLLCLLYDMIQLSLFPLLYKERNKVVGILLSLSIIFLMTILLFSSILDAIRLYGMQSLKCSAKGHAVEVSHEIFLLVSMIVHYIALATTAIRVNFCCCTQRVTSLRPDM
+>sp|O43561|LAT_HUMAN Linker for activation of T-cells family member 1 OS=Homo sapiens OX=9606 GN=LAT PE=1 SV=1
+MEEAILVPCVLGLLLLPILAMLMALCVHCHRLPGSYDSTSSDSLYPRGIQFKRPHTVAPWPPAYPPVTSYPPLSQPDLLPIPRSPQPLGGSHRTPSSRRDSDGANSVASYENEGASGIRGAQAGWGVWGPSWTRLTPVSLPPEPACEDADEDEDDYHNPGYLVVLPDSTPATSTAAPSAPALSTPGIRDSAFSMESIDDYVNVPESGESAEASLDGSREYVNVSQELHPGAAKTEPAALSSQEAEEVEEEGAPDYENLQELN
+>DECOY_sp|O43561|LAT_HUMAN Linker for activation of T-cells family member 1 OS=Homo sapiens OX=9606 GN=LAT PE=1 SV=1
+NLEQLNEYDPAGEEEVEEAEQSSLAAPETKAAGPHLEQSVNVYERSGDLSAEASEGSEPVNVYDDISEMSFASDRIGPTSLAPASPAATSTAPTSDPLVVLYGPNHYDDEDEDADECAPEPPLSVPTLRTWSPGWVGWGAQAGRIGSAGENEYSAVSNAGDSDRRSSPTRHSGGLPQPSRPIPLLDPQSLPPYSTVPPYAPPWPAVTHPRKFQIGRPYLSDSSTSDYSGPLRHCHVCLAMLMALIPLLLLGLVCPVLIAEEM
+>sp|P05455|LA_HUMAN Lupus La protein OS=Homo sapiens OX=9606 GN=SSB PE=1 SV=2
+MAENGDNEKMAALEAKICHQIEYYFGDFNLPRDKFLKEQIKLDEGWVPLEIMIKFNRLNRLTTDFNVIVEALSKSKAELMEISEDKTKIRRSPSKPLPEVTDEYKNDVKNRSVYIKGFPTDATLDDIKEWLEDKGQVLNIQMRRTLHKAFKGSIFVVFDSIESAKKFVETPGQKYKETDLLILFKDDYFAKKNEERKQNKVEAKLRAKQEQEAKQKLEEDAEMKSLEEKIGCLLKFSGDLDDQTCREDLHILFSNHGEIKWIDFVRGAKEGIILFKEKAKEALGKAKDANNGNLQLRNKEVTWEVLEGEVEKEALKKIIEDQQESLNKWKSKGRRFKGKGKGNKAAQPGSGKGKVQFQGKKTKFASDDEHDEHDENGATGPVKRAREETDKEEPASKQQKTENGAGDQ
+>DECOY_sp|P05455|LA_HUMAN Lupus La protein OS=Homo sapiens OX=9606 GN=SSB PE=1 SV=2
+QDGAGNETKQQKSAPEEKDTEERARKVPGTAGNEDHEDHEDDSAFKTKKGQFQVKGKGSGPQAAKNGKGKGKFRRGKSKWKNLSEQQDEIIKKLAEKEVEGELVEWTVEKNRLQLNGNNADKAKGLAEKAKEKFLIIGEKAGRVFDIWKIEGHNSFLIHLDERCTQDDLDGSFKLLCGIKEELSKMEADEELKQKAEQEQKARLKAEVKNQKREENKKAFYDDKFLILLDTEKYKQGPTEVFKKASEISDFVVFISGKFAKHLTRRMQINLVQGKDELWEKIDDLTADTPFGKIYVSRNKVDNKYEDTVEPLPKSPSRRIKTKDESIEMLEAKSKSLAEVIVNFDTTLRNLRNFKIMIELPVWGEDLKIQEKLFKDRPLNFDGFYYEIQHCIKAELAAMKENDGNEAM
+>sp|Q86UK5|LBN_HUMAN Limbin OS=Homo sapiens OX=9606 GN=EVC2 PE=1 SV=1
+MDPSGSRGRPTWVLAGGLLAVALALGGRGCLGASSRPRWRPLGAQPPRDPQVAPRSGPGLRIPPGRSGAGPESSTQDLPCMIWPKVECCHFKTAVEAPLGMKLDKKMEVFIPLSTSAASSGPWAHSLFAFIPSWPKKNLFKRESPITHRLYGDISREVQGTSENGVIFQKCALVSGSSEAQTARIWLLVNNTKTTSSANLSELLLLDSIAGLTIWDSVGNRTSEGFQAFSKKFLQVGDAFAVSYAATLQAGDLGNGESLKLPAQLTFQSSSRNRTQLKVLFSITAEENVTVLPHHGLHAAGFFIAFLLSLVLTWAALFLMVRYQCLKGNMLTRHRVWQYESKLEPLPFTSADGVNEDLSLNDQMIDILSSEDPGSMLQALEELEIATLNRADADLEACRTQISKDIIALLLKNLTSSGHLSPQVERKMSAVFKKQFLLLENEIQEEYDRKMVALTAECDLETRKKMENQYQREMMAMEEAEELLKRAGERSAVECSNLLRTLHGLEQEHLRKSLALQQEEDFAKAHRQLAVFQRNELHSIFFTQIKSAIFKGELKPEAAKMLLQNYSKIQENVEELMDFFQASKRYHLSKRFGHREYLVQNLQSSETRVQGLLSTAAAQLTHLIQKHERAGYLDEDQMEMLLERAQTEVFSIKQKLDNDLKQEKKKLHQKLITKRRRELLQKHREQRREQASVGEAFRTVEDAGQYLHQKRSLMEEHGATLEELQERLDQAALDDLRTLTLSLFEKATDELRRLQNSAMTQELLKRGVPWLFLQQILEEHGKEMAARAEQLEGEERDRDQEGVQSVRQRLKDDAPEAVTEEQAELRRWEHLIFMKLCSSVFSLSEEELLRMRQEVHGCFAQMDRSLALPKIRARVLLQQFQTAWREAEFVKLDQAVAAPELQQQSKVRKSRSKSKSKGELLKKCIEDKIHLCEEQASEDLVEKVRGELLRERVQRMEAQEGGFAQSLVALQFQKASRVTETLSAYTALLSIQDLLLEELSASEMLTKSACTQILESHSRELQELERKLEDQLVQQEAAQQQQALASWQQWVADGPGILNEPGEVDSERQVSTVLHQALSKSQTLLEQHQQCLREEQQNSVVLEDLLENMEADTFATLCSQELRLASYLARMAMVPGATLRRLLSVVLPTASQPQLLALLDSATERHVDHAAESDGGAEQADVGRRRKHQSWWQALDGKLRGDLISRGLEKMLWARKRKQSILKKTCLPLRERMIFSGKGSWPHLSLEPIGELAPVPIVGAETIDLLNTGEKLFIFRNPKEPEISLHVPPRKKKNFLNAKKAMRALGMD
+>DECOY_sp|Q86UK5|LBN_HUMAN Limbin OS=Homo sapiens OX=9606 GN=EVC2 PE=1 SV=1
+DMGLARMAKKANLFNKKKRPPVHLSIEPEKPNRFIFLKEGTNLLDITEAGVIPVPALEGIPELSLHPWSGKGSFIMRERLPLCTKKLISQKRKRAWLMKELGRSILDGRLKGDLAQWWSQHKRRRGVDAQEAGGDSEAAHDVHRETASDLLALLQPQSATPLVVSLLRRLTAGPVMAMRALYSALRLEQSCLTAFTDAEMNELLDELVVSNQQEERLCQQHQELLTQSKSLAQHLVTSVQRESDVEGPENLIGPGDAVWQQWSALAQQQQAAEQQVLQDELKRELEQLERSHSELIQTCASKTLMESASLEELLLDQISLLATYASLTETVRSAKQFQLAVLSQAFGGEQAEMRQVRERLLEGRVKEVLDESAQEECLHIKDEICKKLLEGKSKSKSRSKRVKSQQQLEPAAVAQDLKVFEAERWATQFQQLLVRARIKPLALSRDMQAFCGHVEQRMRLLEEESLSFVSSCLKMFILHEWRRLEAQEETVAEPADDKLRQRVSQVGEQDRDREEGELQEARAAMEKGHEELIQQLFLWPVGRKLLEQTMASNQLRRLEDTAKEFLSLTLTRLDDLAAQDLREQLEELTAGHEEMLSRKQHLYQGADEVTRFAEGVSAQERRQERHKQLLERRRKTILKQHLKKKEQKLDNDLKQKISFVETQARELLMEMQDEDLYGAREHKQILHTLQAAATSLLGQVRTESSQLNQVLYERHGFRKSLHYRKSAQFFDMLEEVNEQIKSYNQLLMKAAEPKLEGKFIASKIQTFFISHLENRQFVALQRHAKAFDEEQQLALSKRLHEQELGHLTRLLNSCEVASREGARKLLEEAEEMAMMERQYQNEMKKRTELDCEATLAVMKRDYEEQIENELLLFQKKFVASMKREVQPSLHGSSTLNKLLLAIIDKSIQTRCAELDADARNLTAIELEELAQLMSGPDESSLIDIMQDNLSLDENVGDASTFPLPELKSEYQWVRHRTLMNGKLCQYRVMLFLAAWTLVLSLLFAIFFGAAHLGHHPLVTVNEEATISFLVKLQTRNRSSSQFTLQAPLKLSEGNGLDGAQLTAAYSVAFADGVQLFKKSFAQFGESTRNGVSDWITLGAISDLLLLESLNASSTTKTNNVLLWIRATQAESSGSVLACKQFIVGNESTGQVERSIDGYLRHTIPSERKFLNKKPWSPIFAFLSHAWPGSSAASTSLPIFVEMKKDLKMGLPAEVATKFHCCEVKPWIMCPLDQTSSEPGAGSRGPPIRLGPGSRPAVQPDRPPQAGLPRWRPRSSAGLCGRGGLALAVALLGGALVWTPRGRSGSPDM
+>sp|O95447|LCA5L_HUMAN Lebercilin-like protein OS=Homo sapiens OX=9606 GN=LCA5L PE=1 SV=1
+MSLADLTKTNIDEHFFGVALENNRRSAACKRSPGTGDFSRNSNASNKSVDYSRSQCSCGSLSSQYDYSEDFLCDCSEKAINRNYLKQPVVKEKEKKKYNVSKISQSKGQKEISVEKKHTWNASLFNSQIHMIAQRRDAMAHRILSARLHKIKGLKNELADMHHKLEAILTENQFLKQLQLRHLKAIGKYENSQNNLPQIMAKHQNEVKNLRQLLRKSQEKERTLSRKLRETDSQLLKTKDILQALQKLSEDKNLAEREELTHKLSIITTKMDANDKKIQSLEKQLRLNCRAFSRQLAIETRKTLAAQTATKTLQVEVKHLQQKLKEKDRELEIKNIYSHRILKNLHDTEDYPKVSSTKSVQADRKILPFTSMRHQGTQKSDVPPLTTKGKKATGNIDHKEKSTEINHEIPHCVNKLPKQEDSKRKYEDLSGEEKHLEVQILLENTGRQKDKKEDQEKKNIFVKEEQELPPKIIEVIHPERESNQEDVLVREKFKRSMQRNGVDDTLGKGTAPYTKGPLRQRRHYSFTEATENLHHGLPASGGPANAGNMRYSHSTGKHLSNREEMELEHSDSGYEPSFGKSSRIKVKDTTFRDKKSSLMEELFGSGYVLKTDQSSPGVAKGSEEPLQSKESHPLPPSQASTSHAFGDSKVTVVNSIKPSSPTEGKRKIII
+>DECOY_sp|O95447|LCA5L_HUMAN Lebercilin-like protein OS=Homo sapiens OX=9606 GN=LCA5L PE=1 SV=1
+IIIKRKGETPSSPKISNVVTVKSDGFAHSTSAQSPPLPHSEKSQLPEESGKAVGPSSQDTKLVYGSGFLEEMLSSKKDRFTTDKVKIRSSKGFSPEYGSDSHELEMEERNSLHKGTSHSYRMNGANAPGGSAPLGHHLNETAETFSYHRRQRLPGKTYPATGKGLTDDVGNRQMSRKFKERVLVDEQNSEREPHIVEIIKPPLEQEEKVFINKKEQDEKKDKQRGTNELLIQVELHKEEGSLDEYKRKSDEQKPLKNVCHPIEHNIETSKEKHDINGTAKKGKTTLPPVDSKQTGQHRMSTFPLIKRDAQVSKTSSVKPYDETDHLNKLIRHSYINKIELERDKEKLKQQLHKVEVQLTKTATQAALTKRTEIALQRSFARCNLRLQKELSQIKKDNADMKTTIISLKHTLEEREALNKDESLKQLAQLIDKTKLLQSDTERLKRSLTREKEQSKRLLQRLNKVENQHKAMIQPLNNQSNEYKGIAKLHRLQLQKLFQNETLIAELKHHMDALENKLGKIKHLRASLIRHAMADRRQAIMHIQSNFLSANWTHKKEVSIEKQGKSQSIKSVNYKKKEKEKVVPQKLYNRNIAKESCDCLFDESYDYQSSLSGCSCQSRSYDVSKNSANSNRSFDGTGPSRKCAASRRNNELAVGFFHEDINTKTLDALSM
+>sp|Q86VQ0|LCA5_HUMAN Lebercilin OS=Homo sapiens OX=9606 GN=LCA5 PE=1 SV=2
+MGERAGSPGTDQERKAGKHHYSYLSDFETPQSSGRSSLVSSSPASVRRKNPKRQTSDGQVHHQAPRKPSPKGLPNRKGVRVGFRSQSLNREPLRKDTDLVTKRILSARLLKINELQNEVSELQVKLAELLKENKSLKRLQYRQEKALNKFEDAENEISQLIFRHNNEITALKERLRKSQEKERATEKRVKDTESELFRTKFSLQKLKEISEARHLPERDDLAKKLVSAELKLDDTERRIKELSKNLELSTNSFQRQLLAERKRAYEAHDENKVLQKEVQRLYHKLKEKERELDIKNIYSNRLPKSSPNKEKELALRKNAACQSDFADLCTKGVQTMEDFKPEEYPLTPETIMCYENKWEEPGHLTLDLQSQKQDRHGEAGILNPIMEREEKFVTDEELHVVKQEVEKLEDEWEREELDKKQKEKASLLEREEKPEWETGRYQLGMYPIQNMDKLQGEEEERLKREMLLAKLNEIDRELQDSRNLKYPVLPLLPDFESKLHSPERSPKTYRFSESSERLFNGHHLQDISFSTPKGEGQNSGNVRSPASPNEFAFGSYVPSFAKTSERSNPFSQKSSFLDFQRNSMEKLSKDGVDLITRKEKKANLMEQLFGASGSSTISSKSSDPNSVASSKGDIDPLNFLPGNKGSRDQEHDEDEGFFLSEGRSFNPNRHRLKHADDKPAVKAADSVEDEIEEVALR
+>DECOY_sp|Q86VQ0|LCA5_HUMAN Lebercilin OS=Homo sapiens OX=9606 GN=LCA5 PE=1 SV=2
+RLAVEEIEDEVSDAAKVAPKDDAHKLRHRNPNFSRGESLFFGEDEDHEQDRSGKNGPLFNLPDIDGKSSAVSNPDSSKSSITSSGSAGFLQEMLNAKKEKRTILDVGDKSLKEMSNRQFDLFSSKQSFPNSRESTKAFSPVYSGFAFENPSAPSRVNGSNQGEGKPTSFSIDQLHHGNFLRESSESFRYTKPSREPSHLKSEFDPLLPLVPYKLNRSDQLERDIENLKALLMERKLREEEEGQLKDMNQIPYMGLQYRGTEWEPKEERELLSAKEKQKKDLEEREWEDELKEVEQKVVHLEEDTVFKEEREMIPNLIGAEGHRDQKQSQLDLTLHGPEEWKNEYCMITEPTLPYEEPKFDEMTQVGKTCLDAFDSQCAANKRLALEKEKNPSSKPLRNSYINKIDLEREKEKLKHYLRQVEKQLVKNEDHAEYARKREALLQRQFSNTSLELNKSLEKIRRETDDLKLEASVLKKALDDREPLHRAESIEKLKQLSFKTRFLESETDKVRKETAREKEQSKRLREKLATIENNHRFILQSIENEADEFKNLAKEQRYQLRKLSKNEKLLEALKVQLESVENQLENIKLLRASLIRKTVLDTDKRLPERNLSQSRFGVRVGKRNPLGKPSPKRPAQHHVQGDSTQRKPNKRRVSAPSSSVLSSRGSSQPTEFDSLYSYHHKGAKREQDTGPSGAREGM
+>sp|P04180|LCAT_HUMAN Phosphatidylcholine-sterol acyltransferase OS=Homo sapiens OX=9606 GN=LCAT PE=1 SV=1
+MGPPGSPWQWVTLLLGLLLPPAAPFWLLNVLFPPHTTPKAELSNHTRPVILVPGCLGNQLEAKLDKPDVVNWMCYRKTEDFFTIWLDLNMFLPLGVDCWIDNTRVVYNRSSGLVSNAPGVQIRVPGFGKTYSVEYLDSSKLAGYLHTLVQNLVNNGYVRDETVRAAPYDWRLEPGQQEEYYRKLAGLVEEMHAAYGKPVFLIGHSLGCLHLLYFLLRQPQAWKDRFIDGFISLGAPWGGSIKPMLVLASGDNQGIPIMSSIKLKEEQRITTTSPWMFPSRMAWPEDHVFISTPSFNYTGRDFQRFFADLHFEEGWYMWLQSRDLLAGLPAPGVEVYCLYGVGLPTPRTYIYDHGFPYTDPVGVLYEDGDDTVATRSTELCGLWQGRQPQPVHLLPLHGIQHLNMVFSNLTLEHINAILLGAYRQGPPASPTASPEPPPPE
+>DECOY_sp|P04180|LCAT_HUMAN Phosphatidylcholine-sterol acyltransferase OS=Homo sapiens OX=9606 GN=LCAT PE=1 SV=1
+EPPPPEPSATPSAPPGQRYAGLLIANIHELTLNSFVMNLHQIGHLPLLHVPQPQRGQWLGCLETSRTAVTDDGDEYLVGVPDTYPFGHDYIYTRPTPLGVGYLCYVEVGPAPLGALLDRSQLWMYWGEEFHLDAFFRQFDRGTYNFSPTSIFVHDEPWAMRSPFMWPSTTTIRQEEKLKISSMIPIGQNDGSALVLMPKISGGWPAGLSIFGDIFRDKWAQPQRLLFYLLHLCGLSHGILFVPKGYAAHMEEVLGALKRYYEEQQGPELRWDYPAARVTEDRVYGNNVLNQVLTHLYGALKSSDLYEVSYTKGFGPVRIQVGPANSVLGSSRNYVVRTNDIWCDVGLPLFMNLDLWITFFDETKRYCMWNVVDPKDLKAELQNGLCGPVLIVPRTHNSLEAKPTTHPPFLVNLLWFPAAPPLLLGLLLTVWQWPSGPPGM
+>sp|Q5T753|LCE1E_HUMAN Late cornified envelope protein 1E OS=Homo sapiens OX=9606 GN=LCE1E PE=1 SV=1
+MSCQQSQQQCQPPPKCTPKCPPKCPTPKCPPKCPPKCPPVSSCCSVSSGGCCGSSSGGSCGSSSGGCCSSGGGGCCLSHHRHHRSHRHRPQSSDCCSQPSGGSSCCGGGSGQHSGGCC
+>DECOY_sp|Q5T753|LCE1E_HUMAN Late cornified envelope protein 1E OS=Homo sapiens OX=9606 GN=LCE1E PE=1 SV=1
+CCGGSHQGSGGGCCSSGGSPQSCCDSSQPRHRHSRHHRHHSLCCGGGGSSCCGGSSSGCSGGSSSGCCGGSSVSCCSSVPPCKPPCKPPCKPTPCKPPCKPTCKPPPQCQQQSQQCSM
+>sp|Q5TA76|LCE3A_HUMAN Late cornified envelope protein 3A OS=Homo sapiens OX=9606 GN=LCE3A PE=1 SV=1
+MSCQQNQQQCQPPPKCPAKSPAQCLPPASSSCAPSSGGCGPSSERSCCLSHHRCRRSHRCRCQSSNSCDRGSGQQGGSSSCGHSSAGCC
+>DECOY_sp|Q5TA76|LCE3A_HUMAN Late cornified envelope protein 3A OS=Homo sapiens OX=9606 GN=LCE3A PE=1 SV=1
+CCGASSHGCSSSGGQQGSGRDCSNSSQCRCRHSRRCRHHSLCCSRESSPGCGGSSPACSSSAPPLCQAPSKAPCKPPPQCQQQNQQCSM
+>sp|Q5TA78|LCE4A_HUMAN Late cornified envelope protein 4A OS=Homo sapiens OX=9606 GN=LCE4A PE=1 SV=1
+MSCQQNQQQCQPPPKCPIPKYPPKCPSKCASSCPPPISSCCGSSSGGCGCCSSEGGGCCLSHHRHHRSHCHRPKSSNCYGSGSGQQSGGSGCCSGGGCC
+>DECOY_sp|Q5TA78|LCE4A_HUMAN Late cornified envelope protein 4A OS=Homo sapiens OX=9606 GN=LCE4A PE=1 SV=1
+CCGGGSCCGSGGSQQGSGSGYCNSSKPRHCHSRHHRHHSLCCGGGESSCCGCGGSSSGCCSSIPPPCSSACKSPCKPPYKPIPCKPPPQCQQQNQQCSM
+>sp|Q6UX65|DRAM2_HUMAN DNA damage-regulated autophagy modulator protein 2 OS=Homo sapiens OX=9606 GN=DRAM2 PE=1 SV=1
+MWWFQQGLSFLPSALVIWTSAAFIFSYITAVTLHHIDPALPYISDTGTVAPEKCLFGAMLNIAAVLCIATIYVRYKQVHALSPEENVIIKLNKAGLVLGILSCLGLSIVANFQKTTLFAAHVSGAVLTFGMGSLYMFVQTILSYQMQPKIHGKQVFWIRLLLVIWCGVSALSMLTCSSVLHSGNFGTDLEQKLHWNPEDKGYVLHMITTAAEWSMSFSFFGFFLTYIRDFQKISLRVEANLHGLTLYDTAPCPINNERTRLLSRDI
+>DECOY_sp|Q6UX65|DRAM2_HUMAN DNA damage-regulated autophagy modulator protein 2 OS=Homo sapiens OX=9606 GN=DRAM2 PE=1 SV=1
+IDRSLLRTRENNIPCPATDYLTLGHLNAEVRLSIKQFDRIYTLFFGFFSFSMSWEAATTIMHLVYGKDEPNWHLKQELDTGFNGSHLVSSCTLMSLASVGCWIVLLLRIWFVQKGHIKPQMQYSLITQVFMYLSGMGFTLVAGSVHAAFLTTKQFNAVISLGLCSLIGLVLGAKNLKIIVNEEPSLAHVQKYRVYITAICLVAAINLMAGFLCKEPAVTGTDSIYPLAPDIHHLTVATIYSFIFAASTWIVLASPLFSLGQQFWWM
+>sp|P01903|DRA_HUMAN HLA class II histocompatibility antigen, DR alpha chain OS=Homo sapiens OX=9606 GN=HLA-DRA PE=1 SV=1
+MAISGVPVLGFFIIAVLMSAQESWAIKEEHVIIQAEFYLNPDQSGEFMFDFDGDEIFHVDMAKKETVWRLEEFGRFASFEAQGALANIAVDKANLEIMTKRSNYTPITNVPPEVTVLTNSPVELREPNVLICFIDKFTPPVVNVTWLRNGKPVTTGVSETVFLPREDHLFRKFHYLPFLPSTEDVYDCRVEHWGLDEPLLKHWEFDAPSPLPETTENVVCALGLTVGLVGIIIGTIFIIKGVRKSNAAERRGPL
+>DECOY_sp|P01903|DRA_HUMAN HLA class II histocompatibility antigen, DR alpha chain OS=Homo sapiens OX=9606 GN=HLA-DRA PE=1 SV=1
+LPGRREAANSKRVGKIIFITGIIIGVLGVTLGLACVVNETTEPLPSPADFEWHKLLPEDLGWHEVRCDYVDETSPLFPLYHFKRFLHDERPLFVTESVGTTVPKGNRLWTVNVVPPTFKDIFCILVNPERLEVPSNTLVTVEPPVNTIPTYNSRKTMIELNAKDVAINALAGQAEFSAFRGFEELRWVTEKKAMDVHFIEDGDFDFMFEGSQDPNLYFEAQIIVHEEKIAWSEQASMLVAIIFFGLVPVGSIAM
+>sp|Q30154|DRB5_HUMAN HLA class II histocompatibility antigen, DR beta 5 chain OS=Homo sapiens OX=9606 GN=HLA-DRB5 PE=1 SV=1
+MVCLKLPGGSYMAKLTVTLMVLSSPLALAGDTRPRFLQQDKYECHFFNGTERVRFLHRDIYNQEEDLRFDSDVGEYRAVTELGRPDAEYWNSQKDFLEDRRAAVDTYCRHNYGVGESFTVQRRVEPKVTVYPARTQTLQHHNLLVCSVNGFYPGSIEVRWFRNSQEEKAGVVSTGLIQNGDWTFQTLVMLETVPRSGEVYTCQVEHPSVTSPLTVEWRAQSESAQSKMLSGVGGFVLGLLFLGAGLFIYFKNQKGHSGLHPTGLVS
+>DECOY_sp|Q30154|DRB5_HUMAN HLA class II histocompatibility antigen, DR beta 5 chain OS=Homo sapiens OX=9606 GN=HLA-DRB5 PE=1 SV=1
+SVLGTPHLGSHGKQNKFYIFLGAGLFLLGLVFGGVGSLMKSQASESQARWEVTLPSTVSPHEVQCTYVEGSRPVTELMVLTQFTWDGNQILGTSVVGAKEEQSNRFWRVEISGPYFGNVSCVLLNHHQLTQTRAPYVTVKPEVRRQVTFSEGVGYNHRCYTDVAARRDELFDKQSNWYEADPRGLETVARYEGVDSDFRLDEEQNYIDRHLFRVRETGNFFHCEYKDQQLFRPRTDGALALPSSLVMLTVTLKAMYSGGPLKLCVM
+>sp|O95995|DRC4_HUMAN Dynein regulatory complex subunit 4 OS=Homo sapiens OX=9606 GN=GAS8 PE=1 SV=1
+MAPKKKGKKGKAKGTPIVDGLAPEDMSKEQVEEHVSRIREELDREREERNYFQLERDKIHTFWEITRRQLEEKKAELRNKDREMEEAEERHQVEIKVYKQKVKHLLYEHQNNLTEMKAEGTVVMKLAQKEHRIQESVLRKDMRALKVELKEQELASEVVVKNLRLKHTEEITRMRNDFERQVREIEAKYDKKMKMLRDELDLRRKTELHEVEERKNGQIHTLMQRHEEAFTDIKNYYNDITLNNLALINSLKEQMEDMRKKEDHLEREMAEVSGQNKRLADPLQKAREEMSEMQKQLANYERDKQILLCTKARLKVREKELKDLQWEHEVLEQRFTKVQQERDELYRKFTAAIQEVQQKTGFKNLVLERKLQALSAAVEKKEVQFNEVLAASNLDPAALTLVSRKLEDVLESKNSTIKDLQYELAQVCKAHNDLLRTYEAKLLAFGIPLDNVGFKPLETAVIGQTLGQGPAGLVGTPT
+>DECOY_sp|O95995|DRC4_HUMAN Dynein regulatory complex subunit 4 OS=Homo sapiens OX=9606 GN=GAS8 PE=1 SV=1
+TPTGVLGAPGQGLTQGIVATELPKFGVNDLPIGFALLKAEYTRLLDNHAKCVQALEYQLDKITSNKSELVDELKRSVLTLAAPDLNSAALVENFQVEKKEVAASLAQLKRELVLNKFGTKQQVEQIAATFKRYLEDREQQVKTFRQELVEHEWQLDKLEKERVKLRAKTCLLIQKDREYNALQKQMESMEERAKQLPDALRKNQGSVEAMERELHDEKKRMDEMQEKLSNILALNNLTIDNYYNKIDTFAEEHRQMLTHIQGNKREEVEHLETKRRLDLEDRLMKMKKDYKAEIERVQREFDNRMRTIEETHKLRLNKVVVESALEQEKLEVKLARMDKRLVSEQIRHEKQALKMVVTGEAKMETLNNQHEYLLHKVKQKYVKIEVQHREEAEEMERDKNRLEAKKEELQRRTIEWFTHIKDRELQFYNREERERDLEERIRSVHEEVQEKSMDEPALGDVIPTGKAKGKKGKKKPAM
+>sp|P35462|DRD3_HUMAN D(3) dopamine receptor OS=Homo sapiens OX=9606 GN=DRD3 PE=1 SV=2
+MASLSQLSSHLNYTCGAENSTGASQARPHAYYALSYCALILAIVFGNGLVCMAVLKERALQTTTNYLVVSLAVADLLVATLVMPWVVYLEVTGGVWNFSRICCDVFVTLDVMMCTASILNLCAISIDRYTAVVMPVHYQHGTGQSSCRRVALMITAVWVLAFAVSCPLLFGFNTTGDPTVCSISNPDFVIYSSVVSFYLPFGVTVLVYARIYVVLKQRRRKRILTRQNSQCNSVRPGFPQQTLSPDPAHLELKRYYSICQDTALGGPGFQERGGELKREEKTRNSLSPTIAPKLSLEVRKLSNGRLSTSLKLGPLQPRGVPLREKKATQMVAIVLGAFIVCWLPFFLTHVLNTHCQTCHVSPELYSATTWLGYVNSALNPVIYTTFNIEFRKAFLKILSC
+>DECOY_sp|P35462|DRD3_HUMAN D(3) dopamine receptor OS=Homo sapiens OX=9606 GN=DRD3 PE=1 SV=2
+CSLIKLFAKRFEINFTTYIVPNLASNVYGLWTTASYLEPSVHCTQCHTNLVHTLFFPLWCVIFAGLVIAVMQTAKKERLPVGRPQLPGLKLSTSLRGNSLKRVELSLKPAITPSLSNRTKEERKLEGGREQFGPGGLATDQCISYYRKLELHAPDPSLTQQPFGPRVSNCQSNQRTLIRKRRRQKLVVYIRAYVLVTVGFPLYFSVVSSYIVFDPNSISCVTPDGTTNFGFLLPCSVAFALVWVATIMLAVRRCSSQGTGHQYHVPMVVATYRDISIACLNLISATCMMVDLTVFVDCCIRSFNWVGGTVELYVVWPMVLTAVLLDAVALSVVLYNTTTQLAREKLVAMCVLGNGFVIALILACYSLAYYAHPRAQSAGTSNEAGCTYNLHSSLQSLSAM
+>sp|P21917|DRD4_HUMAN D(4) dopamine receptor OS=Homo sapiens OX=9606 GN=DRD4 PE=1 SV=2
+MGNRSTADADGLLAGRGPAAGASAGASAGLAGQGAAALVGGVLLIGAVLAGNSLVCVSVATERALQTPTNSFIVSLAAADLLLALLVLPLFVYSEVQGGAWLLSPRLCDALMAMDVMLCTASIFNLCAISVDRFVAVAVPLRYNRQGGSRRQLLLIGATWLLSAAVAAPVLCGLNDVRGRDPAVCRLEDRDYVVYSSVCSFFLPCPLMLLLYWATFRGLQRWEVARRAKLHGRAPRRPSGPGPPSPTPPAPRLPQDPCGPDCAPPAPGLPRGPCGPDCAPAAPGLPPDPCGPDCAPPAPGLPQDPCGPDCAPPAPGLPRGPCGPDCAPPAPGLPQDPCGPDCAPPAPGLPPDPCGSNCAPPDAVRAAALPPQTPPQTRRRRRAKITGRERKAMRVLPVVVGAFLLCWTPFFVVHITQALCPACSVPPRLVSAVTWLGYVNSALNPVIYTVFNAEFRNVFRKALRACC
+>DECOY_sp|P21917|DRD4_HUMAN D(4) dopamine receptor OS=Homo sapiens OX=9606 GN=DRD4 PE=1 SV=2
+CCARLAKRFVNRFEANFVTYIVPNLASNVYGLWTVASVLRPPVSCAPCLAQTIHVVFFPTWCLLFAGVVVPLVRMAKRERGTIKARRRRRTQPPTQPPLAAARVADPPACNSGCPDPPLGPAPPACDPGCPDQPLGPAPPACDPGCPGRPLGPAPPACDPGCPDQPLGPAPPACDPGCPDPPLGPAAPACDPGCPGRPLGPAPPACDPGCPDQPLRPAPPTPSPPGPGSPRRPARGHLKARRAVEWRQLGRFTAWYLLLMLPCPLFFSCVSSYVVYDRDELRCVAPDRGRVDNLGCLVPAAVAASLLWTAGILLLQRRSGGQRNYRLPVAVAVFRDVSIACLNFISATCLMVDMAMLADCLRPSLLWAGGQVESYVFLPLVLLALLLDAAALSVIFSNTPTQLARETAVSVCVLSNGALVAGILLVGGVLAAAGQGALGASAGASAGAAPGRGALLGDADATSRNGM
+>sp|Q6IAN0|DRS7B_HUMAN Dehydrogenase/reductase SDR family member 7B OS=Homo sapiens OX=9606 GN=DHRS7B PE=1 SV=2
+MVSPATRKSLPKVKAMDFITSTAILPLLFGCLGVFGLFRLLQWVRGKAYLRNAVVVITGATSGLGKECAKVFYAAGAKLVLCGRNGGALEELIRELTASHATKVQTHKPYLVTFDLTDSGAIVAAAAEILQCFGYVDILVNNAGISYRGTIMDTTVDVDKRVMETNYFGPVALTKALLPSMIKRRQGHIVAISSIQGKMSIPFRSAYAASKHATQAFFDCLRAEMEQYEIEVTVISPGYIHTNLSVNAITADGSRYGVMDTTTAQGRSPVEVAQDVLAAVGKKKKDVILADLLPSLAVYLRTLAPGLFFSLMASRARKERKSKNS
+>DECOY_sp|Q6IAN0|DRS7B_HUMAN Dehydrogenase/reductase SDR family member 7B OS=Homo sapiens OX=9606 GN=DHRS7B PE=1 SV=2
+SNKSKREKRARSAMLSFFLGPALTRLYVALSPLLDALIVDKKKKGVAALVDQAVEVPSRGQATTTDMVGYRSGDATIANVSLNTHIYGPSIVTVEIEYQEMEARLCDFFAQTAHKSAAYASRFPISMKGQISSIAVIHGQRRKIMSPLLAKTLAVPGFYNTEMVRKDVDVTTDMITGRYSIGANNVLIDVYGFCQLIEAAAAVIAGSDTLDFTVLYPKHTQVKTAHSATLERILEELAGGNRGCLVLKAGAAYFVKACEKGLGSTAGTIVVVANRLYAKGRVWQLLRFLGFVGLCGFLLPLIATSTIFDMAKVKPLSKRTAPSVM
+>sp|A6NNS2|DRS7C_HUMAN Dehydrogenase/reductase SDR family member 7C OS=Homo sapiens OX=9606 GN=DHRS7C PE=2 SV=3
+MGVMAMLMLPLLLLGISGLLFIYQEVSRLWSKSAVQNKVVVITDAISGLGKECARVFHTGGARLVLCGKNWERLENLYDALISVADPSKQTFTPKLVLLDLSDISCVPDVAKEVLDCYGCVDILINNASVKVKGPAHKISLELDKKIMDANYFGPITLTKALLPNMISRRTGQIVLVNNIQGKFGIPFRTTYAASKHAALGFFDCLRAEVEEYDVVISTVSPTFIRSYHVYPEQGNWEASIWKFFFRKLTYGVHPVEVAEEVMRTVRRKKQEVFMANPIPKAAVYVRTFFPEFFFAVVACGVKEKLNVPEEG
+>DECOY_sp|A6NNS2|DRS7C_HUMAN Dehydrogenase/reductase SDR family member 7C OS=Homo sapiens OX=9606 GN=DHRS7C PE=2 SV=3
+GEEPVNLKEKVGCAVVAFFFEPFFTRVYVAAKPIPNAMFVEQKKRRVTRMVEEAVEVPHVGYTLKRFFFKWISAEWNGQEPYVHYSRIFTPSVTSIVVDYEEVEARLCDFFGLAAHKSAAYTTRFPIGFKGQINNVLVIQGTRRSIMNPLLAKTLTIPGFYNADMIKKDLELSIKHAPGKVKVSANNILIDVCGYCDLVEKAVDPVCSIDSLDLLVLKPTFTQKSPDAVSILADYLNELREWNKGCLVLRAGGTHFVRACEKGLGSIADTIVVVKNQVASKSWLRSVEQYIFLLGSIGLLLLPLMLMAMVGM
+>sp|P59020|DSCR9_HUMAN Down syndrome critical region protein 9 OS=Homo sapiens OX=9606 GN=DSCR9 PE=2 SV=1
+MGRICPVNSRARRLRARPGRPSGDSLPYHQLQGGAPRLWSPDPGRPAAYRRAHVCDVTAPRWGSTSRQGEGAVLQRMLGRRAPPSWSRDHAYSRRGWENAALFLNRKRKQEGTENTSICCRPESALACGGNLSPQFLKKVIQIQTQELW
+>DECOY_sp|P59020|DSCR9_HUMAN Down syndrome critical region protein 9 OS=Homo sapiens OX=9606 GN=DSCR9 PE=2 SV=1
+WLEQTQIQIVKKLFQPSLNGGCALASEPRCCISTNETGEQKRKRNLFLAANEWGRRSYAHDRSWSPPARRGLMRQLVAGEGQRSTSGWRPATVDCVHARRYAAPRGPDPSWLRPAGGQLQHYPLSDGSPRGPRARLRRARSNVPCIRGM
+>sp|Q9H410|DSN1_HUMAN Kinetochore-associated protein DSN1 homolog OS=Homo sapiens OX=9606 GN=DSN1 PE=1 SV=2
+MTSVTRSEIIDEKGPVMSKTHDHQLESSLSPVEVFAKTSASLEMNQGVSEERIHLGSSPKKGGNCDLSHQERLQSKSLHLSPQEQSASYQDRRQSWRRASMKETNRRKSLHPIHQGITELSRSISVDLAESKRLGCLLLSSFQFSIQKLEPFLRDTKGFSLESFRAKASSLSEELKHFADGLETDGTLQKCFEDSNGKASDFSLEASVAEMKEYITKFSLERQTWDQLLLHYQQEAKEILSRGSTEAKITEVKVEPMTYLGSSQNEVLNTKPDYQKILQNQSKVFDCMELVMDELQGSVKQLQAFMDESTQCFQKVSVQLGKRSMQQLDPSPARKLLKLQLQNPPAIHGSGSGSCQ
+>DECOY_sp|Q9H410|DSN1_HUMAN Kinetochore-associated protein DSN1 homolog OS=Homo sapiens OX=9606 GN=DSN1 PE=1 SV=2
+QCSGSGSGHIAPPNQLQLKLLKRAPSPDLQQMSRKGLQVSVKQFCQTSEDMFAQLQKVSGQLEDMVLEMCDFVKSQNQLIKQYDPKTNLVENQSSGLYTMPEVKVETIKAETSGRSLIEKAEQQYHLLLQDWTQRELSFKTIYEKMEAVSAELSFDSAKGNSDEFCKQLTGDTELGDAFHKLEESLSSAKARFSELSFGKTDRLFPELKQISFQFSSLLLCGLRKSEALDVSISRSLETIGQHIPHLSKRRNTEKMSARRWSQRRDQYSASQEQPSLHLSKSQLREQHSLDCNGGKKPSSGLHIREESVGQNMELSASTKAFVEVPSLSSELQHDHTKSMVPGKEDIIESRTVSTM
+>sp|Q6ZMT9|DTHD1_HUMAN Death domain-containing protein 1 OS=Homo sapiens OX=9606 GN=DTHD1 PE=2 SV=3
+MHDECTPQQTMSSIQDTKAADIAARGELNVIETATVSPTNGEESHYTNQVQLEKNKTHMSSALVEKENNTSLNGRVLGQEESQNKMFPDNAENEDDKQIEHMTVENINGNREETHGIIQTTETEIQETSESPREEMTTSSIICDISKKYINSTLPNDSENIKHKNNIMEKEYLDVLSDVTGPQVSCYITAPSYVLQQLECRIINHMSSLIVGDNEELVSNVITIECSDKEKRVPFPIGIAIPFTARYRGNYRDIMVKVCDINLQSSYLNPNSLEGMKGGYKGTCASVKVYKLGIFSVVSCLKKESFTVTKKGLALKSSMDSRISLNYPPGVFTSPVLVQLKIQPVDPALVAHLKAQQDTFYSVQSTSPLIHIQHPSTYPFQKPVTLFLPCSPYLDKNNLGSEIDHKRRASATINRITPSYFNRTKIASIRKPRKNASECLKLLGFRSQDSGWCGLDDVVKTIQSGLVSVELYEHLERFIVLHLSSTMDNSHLVTFVKSLEEAMLSTTACIVLSHQKDNPHRIAVLVVPSKDLSQVLKDLHLEGFGGPPEPSRHFQVREGEQLLLRFTGNIFASSNGKDYGKDYTLIFHLQRKPRLELQIKEVDEFGNYSCPHYKGTIVVYKVPKGKIVPNLNQSLVINENHSQLPICKLPLKLPKHKKLINRPQSTKRVSKDPVEALWDNLLHWLAEELSEENAESLSSTLPLRRSTIQLIKLKNPDDLTEQIHEFLCFWKKSLPTFTDKLRLLARHLRKIGRSDLAEELKFKWENKVFTEPQQCFDVAPE
+>DECOY_sp|Q6ZMT9|DTHD1_HUMAN Death domain-containing protein 1 OS=Homo sapiens OX=9606 GN=DTHD1 PE=2 SV=3
+EPAVDFCQQPETFVKNEWKFKLEEALDSRGIKRLHRALLRLKDTFTPLSKKWFCLFEHIQETLDDPNKLKILQITSRRLPLTSSLSEANEESLEEALWHLLNDWLAEVPDKSVRKTSQPRNILKKHKPLKLPLKCIPLQSHNENIVLSQNLNPVIKGKPVKYVVITGKYHPCSYNGFEDVEKIQLELRPKRQLHFILTYDKGYDKGNSSAFINGTFRLLLQEGERVQFHRSPEPPGGFGELHLDKLVQSLDKSPVVLVAIRHPNDKQHSLVICATTSLMAEELSKVFTVLHSNDMTSSLHLVIFRELHEYLEVSVLGSQITKVVDDLGCWGSDQSRFGLLKLCESANKRPKRISAIKTRNFYSPTIRNITASARRKHDIESGLNNKDLYPSCPLFLTVPKQFPYTSPHQIHILPSTSQVSYFTDQQAKLHAVLAPDVPQIKLQVLVPSTFVGPPYNLSIRSDMSSKLALGKKTVTFSEKKLCSVVSFIGLKYVKVSACTGKYGGKMGELSNPNLYSSQLNIDCVKVMIDRYNGRYRATFPIAIGIPFPVRKEKDSCEITIVNSVLEENDGVILSSMHNIIRCELQQLVYSPATIYCSVQPGTVDSLVDLYEKEMINNKHKINESDNPLTSNIYKKSIDCIISSTTMEERPSESTEQIETETTQIIGHTEERNGNINEVTMHEIQKDDENEANDPFMKNQSEEQGLVRGNLSTNNEKEVLASSMHTKNKELQVQNTYHSEEGNTPSVTATEIVNLEGRAAIDAAKTDQISSMTQQPTCEDHM
+>sp|O60941|DTNB_HUMAN Dystrobrevin beta OS=Homo sapiens OX=9606 GN=DTNB PE=1 SV=1
+MIEESGNKRKTMAEKRQLFIEMRAQNFDVIRLSTYRTACKLRFVQKRCNLHLVDIWNMIEAFRDNGLNTLDHTTEISVSRLETVISSIYYQLNKRLPSTHQISVEQSISLLLNFMIAAYDSEGRGKLTVFSVKAMLATMCGGKMLDKLRYVFSQMSDSNGLMIFSKFDQFLKEVLKLPTAVFEGPSFGYTEHSVRTCFPQQRKIMLNMFLDTMMADPPPQCLVWLPLMHRLAHVENVFHPVECSYCRCESMMGFRYRCQQCHNYQLCQNCFWRGHAGGPHSNQHQMKEHSSWKSPAKKLSHAISKSLGCVPTREPPHPVFPEQPEKPLDLAHIVPPRPLTNMNDTMVSHMSSGVPTPTKRLQYSQDIPSHLADEHALIASYVARLQHCARVLDSPSRLDEEHRLIARYAARLAAEAGNVTRPPTDLSFNFDANKQQRQLIAELENKNREILQEIQRLRLEHEQASQPTPEKAQQNPTLLAELRLLRQRKDELEQRMSALQESRRELMVQLEELMKLLKEEEQKQAAQATGSPHTSPTHGGGRPMPMPVRSTSAGSTPTHCPQDSLSGVGGDVQEAFAQGTRRNLRNDLLVAADSITNTMSSLVKELHSAEEGAEEEEEKMQNGKDRG
+>DECOY_sp|O60941|DTNB_HUMAN Dystrobrevin beta OS=Homo sapiens OX=9606 GN=DTNB PE=1 SV=1
+GRDKGNQMKEEEEEAGEEASHLEKVLSSMTNTISDAAVLLDNRLNRRTGQAFAEQVDGGVGSLSDQPCHTPTSGASTSRVPMPMPRGGGHTPSTHPSGTAQAAQKQEEEKLLKMLEELQVMLERRSEQLASMRQELEDKRQRLLRLEALLTPNQQAKEPTPQSAQEHELRLRQIEQLIERNKNELEAILQRQQKNADFNFSLDTPPRTVNGAEAALRAAYRAILRHEEDLRSPSDLVRACHQLRAVYSAILAHEDALHSPIDQSYQLRKTPTPVGSSMHSVMTDNMNTLPRPPVIHALDLPKEPQEPFVPHPPERTPVCGLSKSIAHSLKKAPSKWSSHEKMQHQNSHPGGAHGRWFCNQCLQYNHCQQCRYRFGMMSECRCYSCEVPHFVNEVHALRHMLPLWVLCQPPPDAMMTDLFMNLMIKRQQPFCTRVSHETYGFSPGEFVATPLKLVEKLFQDFKSFIMLGNSDSMQSFVYRLKDLMKGGCMTALMAKVSFVTLKGRGESDYAAIMFNLLLSISQEVSIQHTSPLRKNLQYYISSIVTELRSVSIETTHDLTNLGNDRFAEIMNWIDVLHLNCRKQVFRLKCATRYTSLRIVDFNQARMEIFLQRKEAMTKRKNGSEEIM
+>sp|P0CJ89|DU4L6_HUMAN Double homeobox protein 4-like protein 6 OS=Homo sapiens OX=9606 GN=DUX4L6 PE=3 SV=1
+MALPTPSDSTLPAEARGRGRRRRLVWTPSQSEALRACFERNPYPGIATRERLAQAIGIPEPRVQIWFQNERSRQLRQHRRESRPWPGRRGPPEGRRKRTAVTGSQTALLLRAFEKDRFPGIAAREELARETGLPESRIQIWFQNRRARHPGQGGRAPAQAGGLCSAAPGGGHPAPSWVAFAHTGAWGTGLPAPHVPCAPGALPQGAFVSQAARAAPALQPSQAAPAEGVSQPAPARGDFAYAAPAPPDGALSHPQAPRWPPHPGKSREDRDPQRDGLPGPCAVAQPGPAQAGPQGQGVLAPPTSQGSPWWGWGRGPQVAGAAWEPQAGAAPPPQPAPPDASASARQGQMQGIPAPSQALQEPAPWSALPCGLLLDELLASPEFLQQAQPLLETEAPGELEASEEAASLEAPLSEEEYRALLEEL
+>DECOY_sp|P0CJ89|DU4L6_HUMAN Double homeobox protein 4-like protein 6 OS=Homo sapiens OX=9606 GN=DUX4L6 PE=3 SV=1
+LEELLARYEEESLPAELSAAEESAELEGPAETELLPQAQQLFEPSALLEDLLLGCPLASWPAPEQLAQSPAPIGQMQGQRASASADPPAPQPPPAAGAQPEWAAGAVQPGRGWGWWPSGQSTPPALVGQGQPGAQAPGPQAVACPGPLGDRQPDRDERSKGPHPPWRPAQPHSLAGDPPAPAAYAFDGRAPAPQSVGEAPAAQSPQLAPAARAAQSVFAGQPLAGPACPVHPAPLGTGWAGTHAFAVWSPAPHGGGPAASCLGGAQAPARGGQGPHRARRNQFWIQIRSEPLGTERALEERAAIGPFRDKEFARLLLATQSGTVATRKRRGEPPGRRGPWPRSERRHQRLQRSRENQFWIQVRPEPIGIAQALRERTAIGPYPNREFCARLAESQSPTWVLRRRRGRGRAEAPLTSDSPTPLAM
+>sp|O75319|DUS11_HUMAN RNA/RNP complex-1-interacting phosphatase OS=Homo sapiens OX=9606 GN=DUSP11 PE=1 SV=2
+MRNSETLERGVGGCRVFSCLGSYPGIEGAGLALLADLALGGRLLGTHMSQWHHPRSGWGRRRDFSGRSSAKKKGGNHIPERWKDYLPVGQRMPGTRFIAFKVPLQKSFEKKLAPEECFSPLDLFNKIREQNEELGLIIDLTYTQRYYKPEDLPETVPYLKIFTVGHQVPDDETIFKFKHAVNGFLKENKDNDKLIGVHCTHGLNRTGYLICRYLIDVEGVRPDDAIELFNRCRGHCLERQNYIEDLQNGPIRKNWNSSVPRSSDFEDSAHLMQPVHNKPVKQGPRYNLHQIQGHSAPRHFHTQTQSLQQSVRKFSENPHVYQRHHLPPPGPPGEDYSHRRYSWNVKPNASRAAQDRRRWYPYNYSRLSYPACWEWTQ
+>DECOY_sp|O75319|DUS11_HUMAN RNA/RNP complex-1-interacting phosphatase OS=Homo sapiens OX=9606 GN=DUSP11 PE=1 SV=2
+QTWEWCAPYSLRSYNYPYWRRRDQAARSANPKVNWSYRRHSYDEGPPGPPPLHHRQYVHPNESFKRVSQQLSQTQTHFHRPASHGQIQHLNYRPGQKVPKNHVPQMLHASDEFDSSRPVSSNWNKRIPGNQLDEIYNQRELCHGRCRNFLEIADDPRVGEVDILYRCILYGTRNLGHTCHVGILKDNDKNEKLFGNVAHKFKFITEDDPVQHGVTFIKLYPVTEPLDEPKYYRQTYTLDIILGLEENQERIKNFLDLPSFCEEPALKKEFSKQLPVKFAIFRTGPMRQGVPLYDKWREPIHNGGKKKASSRGSFDRRRGWGSRPHHWQSMHTGLLRGGLALDALLALGAGEIGPYSGLCSFVRCGGVGRELTESNRM
+>sp|Q9H1R2|DUS15_HUMAN Dual specificity protein phosphatase 15 OS=Homo sapiens OX=9606 GN=DUSP15 PE=1 SV=4
+MTEGVLPGLYLGNFIDAKDLDQLGRNKITHIISIHESPQPLLQDITYLRIPVADTPEVPIKKHFKECINFIHCCRLNGGNCLVHCFAGISRSTTIVTAYVMTVTGLGWRDVLEAIKATRPIANPNPGFRQQLEEFGWASSQKGARHRTSKTSGAQCPPMTSATCLLAARVALLSAALVREATGRTAQRCRLSPRAAAERLLGPPPHVAAGWSPDPKYQICLCFGEEDPGPTQHPKEQLIMADVQVQLRPGSSSCTLSASTERPDGSSTPGNPDGITHLQCSCLHPKRAASSSCTR
+>DECOY_sp|Q9H1R2|DUS15_HUMAN Dual specificity protein phosphatase 15 OS=Homo sapiens OX=9606 GN=DUSP15 PE=1 SV=4
+RTCSSSAARKPHLCSCQLHTIGDPNGPTSSGDPRETSASLTCSSSGPRLQVQVDAMILQEKPHQTPGPDEEGFCLCIQYKPDPSWGAAVHPPPGLLREAAARPSLRCRQATRGTAERVLAASLLAVRAALLCTASTMPPCQAGSTKSTRHRAGKQSSAWGFEELQQRFGPNPNAIPRTAKIAELVDRWGLGTVTMVYATVITTSRSIGAFCHVLCNGGNLRCCHIFNICEKFHKKIPVEPTDAVPIRLYTIDQLLPQPSEHISIIHTIKNRGLQDLDKADIFNGLYLGPLVGETM
+>sp|Q6P1R4|DUS1L_HUMAN tRNA-dihydrouridine(16/17) synthase [NAD(P)(+)]-like OS=Homo sapiens OX=9606 GN=DUS1L PE=1 SV=1
+MPKLQGFEFWSRTLRGARHVVAPMVDQSELAWRLLSRRHGAQLCYTPMLHAQVFVRDANYRKENLYCEVCPEDRPLIVQFCANDPEVFVQAALLAQDYCDAIDLNLGCPQMIAKRGHYGAFLQDEWDLLQRMILLAHEKLSVPVTCKIRVFPEIDKTVRYAQMLEKAGCQLLTVHGRTKEQKGPLSGAASWEHIKAVRKAVAIPVFANGNIQCLQDVERCLRDTGVQGVMSAEGNLHNPALFEGRSPAVWELAEEYLDIVREHPCPLSYVRAHLFKLWHHTLQVHQELREELAKVKTLEGIAAVSQELKLRCQEEISRQEGAKPTGDLPFHWICQPYIRPGPREGSKEKAGARSKRALEEEEGGTEVLSKNKQKKQLRNPHKTFDPSLKPKYAKCDQCGNPKGNRCVFSLCRGCCKKRASKETADCPGHGLLFKTKLEKSLAWKEAQPELQEPQPAAPGTPGGFSEVMGSALA
+>DECOY_sp|Q6P1R4|DUS1L_HUMAN tRNA-dihydrouridine(16/17) synthase [NAD(P)(+)]-like OS=Homo sapiens OX=9606 GN=DUS1L PE=1 SV=1
+ALASGMVESFGGPTGPAAPQPEQLEPQAEKWALSKELKTKFLLGHGPCDATEKSARKKCCGRCLSFVCRNGKPNGCQDCKAYKPKLSPDFTKHPNRLQKKQKNKSLVETGGEEEELARKSRAGAKEKSGERPGPRIYPQCIWHFPLDGTPKAGEQRSIEEQCRLKLEQSVAAIGELTKVKALEERLEQHVQLTHHWLKFLHARVYSLPCPHERVIDLYEEALEWVAPSRGEFLAPNHLNGEASMVGQVGTDRLCREVDQLCQINGNAFVPIAVAKRVAKIHEWSAAGSLPGKQEKTRGHVTLLQCGAKELMQAYRVTKDIEPFVRIKCTVPVSLKEHALLIMRQLLDWEDQLFAGYHGRKAIMQPCGLNLDIADCYDQALLAAQVFVEPDNACFQVILPRDEPCVECYLNEKRYNADRVFVQAHLMPTYCLQAGHRRSLLRWALESQDVMPAVVHRAGRLTRSWFEFGQLKPM
+>sp|Q7RTS9|DYM_HUMAN Dymeclin OS=Homo sapiens OX=9606 GN=DYM PE=1 SV=1
+MGSNSSRIGDLPKNEYLKKLSGTESISENDPFWNQLLSFSFPAPTSSSELKLLEEATISVCRSLVENNPRTGNLGALIKVFLSRTKELKLSAECQNHIFIWQTHNALFIICCLLKVFICQMSEEELQLHFTYEEKSPGNYSSDSEDLLEELLCCLMQLITDIPLLDITYEISVEAISTMVVFLSCQLFHKEVLRQSISHKYLMRGPCLPYTSKLVKTLLYNFIRQEKPPPPGAHVFPQQSDGGGLLYGLASGVATGLWTVFTLGGVGSKAAASPELSSPLANQSLLLLLVLANLTDASDAPNPYRQAIMSFKNTQDSSPFPSSIPHAFQINFNSLYTALCEQQTSDQATLLLYTLLHQNSNIRTYMLARTDMENLVLPILEILYHVEERNSHHVYMALIILLILTEDDGFNRSIHEVILKNITWYSERVLTEISLGSLLILVVIRTIQYNMTRTRDKYLHTNCLAALANMSAQFRSLHQYAAQRIISLFSLLSKKHNKVLEQATQSLRGSLSSNDVPLPDYAQDLNVIEEVIRMMLEIINSCLTNSLHHNPNLVYALLYKRDLFEQFRTHPSFQDIMQNIDLVISFFSSRLLQAGAELSVERVLEIIKQGVVALPKDRLKKFPELKFKYVEEEQPEEFFIPYVWSLVYNSAVGLYWNPQDIQLFTMDSD
+>DECOY_sp|Q7RTS9|DYM_HUMAN Dymeclin OS=Homo sapiens OX=9606 GN=DYM PE=1 SV=1
+DSDMTFLQIDQPNWYLGVASNYVLSWVYPIFFEEPQEEEVYKFKLEPFKKLRDKPLAVVGQKIIELVREVSLEAGAQLLRSSFFSIVLDINQMIDQFSPHTRFQEFLDRKYLLAYVLNPNHHLSNTLCSNIIELMMRIVEEIVNLDQAYDPLPVDNSSLSGRLSQTAQELVKNHKKSLLSFLSIIRQAAYQHLSRFQASMNALAALCNTHLYKDRTRTMNYQITRIVVLILLSGLSIETLVRESYWTINKLIVEHISRNFGDDETLILLIILAMYVHHSNREEVHYLIELIPLVLNEMDTRALMYTRINSNQHLLTYLLLTAQDSTQQECLATYLSNFNIQFAHPISSPFPSSDQTNKFSMIAQRYPNPADSADTLNALVLLLLLSQNALPSSLEPSAAAKSGVGGLTFVTWLGTAVGSALGYLLGGGDSQQPFVHAGPPPPKEQRIFNYLLTKVLKSTYPLCPGRMLYKHSISQRLVEKHFLQCSLFVVMTSIAEVSIEYTIDLLPIDTILQMLCCLLEELLDESDSSYNGPSKEEYTFHLQLEEESMQCIFVKLLCCIIFLANHTQWIFIHNQCEASLKLEKTRSLFVKILAGLNGTRPNNEVLSRCVSITAEELLKLESSSTPAPFSFSLLQNWFPDNESISETGSLKKLYENKPLDGIRSSNSGM
+>sp|O43781|DYRK3_HUMAN Dual specificity tyrosine-phosphorylation-regulated kinase 3 OS=Homo sapiens OX=9606 GN=DYRK3 PE=1 SV=3
+MGGTARGPGRKDAGPPGAGLPPQQRRLGDGVYDTFMMIDETKCPPCSNVLCNPSEPPPPRRLNMTTEQFTGDHTQHFLDGGEMKVEQLFQEFGNRKSNTIQSDGISDSEKCSPTVSQGKSSDCLNTVKSNSSSKAPKVVPLTPEQALKQYKHHLTAYEKLEIINYPEIYFVGPNAKKRHGVIGGPNNGGYDDADGAYIHVPRDHLAYRYEVLKIIGKGSFGQVARVYDHKLRQYVALKMVRNEKRFHRQAAEEIRILEHLKKQDKTGSMNVIHMLESFTFRNHVCMAFELLSIDLYELIKKNKFQGFSVQLVRKFAQSILQSLDALHKNKIIHCDLKPENILLKHHGRSSTKVIDFGSSCFEYQKLYTYIQSRFYRAPEIILGSRYSTPIDIWSFGCILAELLTGQPLFPGEDEGDQLACMMELLGMPPPKLLEQSKRAKYFINSKGIPRYCSVTTQADGRVVLVGGRSRRGKKRGPPGSKDWGTALKGCDDYLFIEFLKRCLHWDPSARLTPAQALRHPWISKSVPRPLTTIDKVSGKRVVNPASAFQGLGSKLPPVVGIANKLKANLMSETNGSIPLCSVLPKLIS
+>DECOY_sp|O43781|DYRK3_HUMAN Dual specificity tyrosine-phosphorylation-regulated kinase 3 OS=Homo sapiens OX=9606 GN=DYRK3 PE=1 SV=3
+SILKPLVSCLPISGNTESMLNAKLKNAIGVVPPLKSGLGQFASAPNVVRKGSVKDITTLPRPVSKSIWPHRLAQAPTLRASPDWHLCRKLFEIFLYDDCGKLATGWDKSGPPGRKKGRRSRGGVLVVRGDAQTTVSCYRPIGKSNIFYKARKSQELLKPPPMGLLEMMCALQDGEDEGPFLPQGTLLEALICGFSWIDIPTSYRSGLIIEPARYFRSQIYTYLKQYEFCSSGFDIVKTSSRGHHKLLINEPKLDCHIIKNKHLADLSQLISQAFKRVLQVSFGQFKNKKILEYLDISLLEFAMCVHNRFTFSELMHIVNMSGTKDQKKLHELIRIEEAAQRHFRKENRVMKLAVYQRLKHDYVRAVQGFSGKGIIKLVEYRYALHDRPVHIYAGDADDYGGNNPGGIVGHRKKANPGVFYIEPYNIIELKEYATLHHKYQKLAQEPTLPVVKPAKSSSNSKVTNLCDSSKGQSVTPSCKESDSIGDSQITNSKRNGFEQFLQEVKMEGGDLFHQTHDGTFQETTMNLRRPPPPESPNCLVNSCPPCKTEDIMMFTDYVGDGLRRQQPPLGAGPPGADKRGPGRATGGM
+>sp|Q9NVP4|DZAN1_HUMAN Double zinc ribbon and ankyrin repeat-containing protein 1 OS=Homo sapiens OX=9606 GN=DZANK1 PE=1 SV=3
+MTAGSVCVPQIIPLRVPQPGKANHEIDNNTLLEMKSDTPDVNIYYTLDGSKPEFLKRIGYGENNTFKYIKPITLPDGKIQVKAIAVSKDCRQSGIVTKVFHVDYEPPNIVSPEDNVENVLKDSSRQEFKNGFVGSKLKKKYKNSENQRSWNVNLRKFPESPLEIPAYGGGSGSRPPTRQSQSPGFAHVSGQKCLTSTEIMRIQRETDFLKCAHCLAPRPSDPFARFCQECGSPVPPIFGCRLPPPEGAQMGLCAECRSLVPMNTPICVVCEAPLALQLQPQASLHLKEKVICRACGTGNPAHLRYCVTCEGALPSSQESMCSGDKAPPPPTQKGGTISCYRCGRWNLWEASFCGWCGAMLGIPAGCSVCPKCGASNHLSARFCGSCGICVKSLVKLSLDRSLALAAEEPRPFSESLNIPLPRSDVGTKRDIGTQTVGLFYPSGKLLAKKEQELASQKQRQEKMSDHKPLLTAISPGRGYWRRQLDHISAHLRCYAQNNPEFRALIAEPRMGKLISATVHEDGCEVSIRLNYSQVSNKNLYLNKAVNFSDHLLSSAAEGDGGLCGSRSSWVSDYSQSTSDTIEKIKRIKNFKTKTFQEKKEQLIPENRLLLKEVGPTGEGRVSVIEQLLDEGADPNCCDEDNRPVITVAVMNKHHEAIPVLVQRGADIDQQWGPLRNTALHEATLLGLAGRESTATLLGCNASIQKKNAGGQTAYDLALNTGDDLVTSLFAAKFGQGLEDQLAQTRSLSLDDC
+>DECOY_sp|Q9NVP4|DZAN1_HUMAN Double zinc ribbon and ankyrin repeat-containing protein 1 OS=Homo sapiens OX=9606 GN=DZANK1 PE=1 SV=3
+CDDLSLSRTQALQDELGQGFKAAFLSTVLDDGTNLALDYATQGGANKKQISANCGLLTATSERGALGLLTAEHLATNRLPGWQQDIDAGRQVLVPIAEHHKNMVAVTIVPRNDEDCCNPDAGEDLLQEIVSVRGEGTPGVEKLLLRNEPILQEKKEQFTKTKFNKIRKIKEITDSTSQSYDSVWSSRSGCLGGDGEAASSLLHDSFNVAKNLYLNKNSVQSYNLRISVECGDEHVTASILKGMRPEAILARFEPNNQAYCRLHASIHDLQRRWYGRGPSIATLLPKHDSMKEQRQKQSALEQEKKALLKGSPYFLGVTQTGIDRKTGVDSRPLPINLSESFPRPEEAALALSRDLSLKVLSKVCIGCSGCFRASLHNSAGCKPCVSCGAPIGLMAGCWGCFSAEWLNWRGCRYCSITGGKQTPPPPAKDGSCMSEQSSPLAGECTVCYRLHAPNGTGCARCIVKEKLHLSAQPQLQLALPAECVVCIPTNMPVLSRCEACLGMQAGEPPPLRCGFIPPVPSGCEQCFRAFPDSPRPALCHACKLFDTERQIRMIETSTLCKQGSVHAFGPSQSQRTPPRSGSGGGYAPIELPSEPFKRLNVNWSRQNESNKYKKKLKSGVFGNKFEQRSSDKLVNEVNDEPSVINPPEYDVHFVKTVIGSQRCDKSVAIAKVQIKGDPLTIPKIYKFTNNEGYGIRKLFEPKSGDLTYYINVDPTDSKMELLTNNDIEHNAKGPQPVRLPIIQPVCVSGATM
+>sp|P55084|ECHB_HUMAN Trifunctional enzyme subunit beta, mitochondrial OS=Homo sapiens OX=9606 GN=HADHB PE=1 SV=3
+MTILTYPFKNLPTASKWALRFSIRPLSCSSQLRAAPAVQTKTKKTLAKPNIRNVVVVDGVRTPFLLSGTSYKDLMPHDLARAALTGLLHRTSVPKEVVDYIIFGTVIQEVKTSNVAREAALGAGFSDKTPAHTVTMACISANQAMTTGVGLIASGQCDVIVAGGVELMSDVPIRHSRKMRKLMLDLNKAKSMGQRLSLISKFRFNFLAPELPAVSEFSTSETMGHSADRLAAAFAVSRLEQDEYALRSHSLAKKAQDEGLLSDVVPFKVPGKDTVTKDNGIRPSSLEQMAKLKPAFIKPYGTVTAANSSFLTDGASAMLIMAEEKALAMGYKPKAYLRDFMYVSQDPKDQLLLGPTYATPKVLEKAGLTMNDIDAFEFHEAFSGQILANFKAMDSDWFAENYMGRKTKVGLPPLEKFNNWGGSLSLGHPFGATGCRLVMAAANRLRKEGGQYGLVAACAAGGQGHAMIVEAYPK
+>DECOY_sp|P55084|ECHB_HUMAN Trifunctional enzyme subunit beta, mitochondrial OS=Homo sapiens OX=9606 GN=HADHB PE=1 SV=3
+KPYAEVIMAHGQGGAACAAVLGYQGGEKRLRNAAAMVLRCGTAGFPHGLSLSGGWNNFKELPPLGVKTKRGMYNEAFWDSDMAKFNALIQGSFAEHFEFADIDNMTLGAKELVKPTAYTPGLLLQDKPDQSVYMFDRLYAKPKYGMALAKEEAMILMASAGDTLFSSNAATVTGYPKIFAPKLKAMQELSSPRIGNDKTVTDKGPVKFPVVDSLLGEDQAKKALSHSRLAYEDQELRSVAFAAALRDASHGMTESTSFESVAPLEPALFNFRFKSILSLRQGMSKAKNLDLMLKRMKRSHRIPVDSMLEVGGAVIVDCQGSAILGVGTTMAQNASICAMTVTHAPTKDSFGAGLAAERAVNSTKVEQIVTGFIIYDVVEKPVSTRHLLGTLAARALDHPMLDKYSTGSLLFPTRVGDVVVVNRINPKALTKKTKTQVAPAARLQSSCSLPRISFRLAWKSATPLNKFPYTLITM
+>sp|Q08426|ECHP_HUMAN Peroxisomal bifunctional enzyme OS=Homo sapiens OX=9606 GN=EHHADH PE=1 SV=3
+MAEYTRLHNALALIRLRNPPVNAISTTLLRDIKEGLQKAVIDHTIKAIVICGAEGKFSAGADIRGFSAPRTFGLTLGHVVDEIQRNEKPVVAAIQGMAFGGGLELALGCHYRIAHAEAQVGLPEVTLGLLPGARGTQLLPRLTGVPAALDLITSGRRILADEALKLGILDKVVNSDPVEEAIRFAQRVSDQPLESRRLCNKPIQSLPNMDSIFSEALLKMRRQHPGCLAQEACVRAVQAAVQYPYEVGIKKEEELFLYLLQSGQARALQYAFFAERKANKWSTPSGASWKTASARPVSSVGVVGLGTMGRGIVISFARARIPVIAVDSDKNQLATANKMITSVLEKEASKMQQSGHPWSGPKPRLTSSVKELGGVDLVIEAVFEEMSLKKQVFAELSAVCKPEAFLCTNTSALDVDEIASSTDRPHLVIGTHFFSPAHVMKLLEVIPSQYSSPTTIATVMNLSKKIKKIGVVVGNCFGFVGNRMLNPYYNQAYFLLEEGSKPEEVDQVLEEFGFKMGPFRVSDLAGLDVGWKSRKGQGLTGPTLLPGTPARKRGNRRYCPIPDVLCELGRFGQKTGKGWYQYDKPLGRIHKPDPWLSKFLSRYRKTHHIEPRTISQDEILERCLYSLINEAFRILGEGIAASPEHIDVVYLHGYGWPRHKGGPMFYASTVGLPTVLEKLQKYYRQNPDIPQLEPSDYLKKLASQGNPPLKEWQSLAGSPSSKL
+>DECOY_sp|Q08426|ECHP_HUMAN Peroxisomal bifunctional enzyme OS=Homo sapiens OX=9606 GN=EHHADH PE=1 SV=3
+LKSSPSGALSQWEKLPPNGQSALKKLYDSPELQPIDPNQRYYKQLKELVTPLGVTSAYFMPGGKHRPWGYGHLYVVDIHEPSAAIGEGLIRFAENILSYLCRELIEDQSITRPEIHHTKRYRSLFKSLWPDPKHIRGLPKDYQYWGKGTKQGFRGLECLVDPIPCYRRNGRKRAPTGPLLTPGTLGQGKRSKWGVDLGALDSVRFPGMKFGFEELVQDVEEPKSGEELLFYAQNYYPNLMRNGVFGFCNGVVVGIKKIKKSLNMVTAITTPSSYQSPIVELLKMVHAPSFFHTGIVLHPRDTSSAIEDVDLASTNTCLFAEPKCVASLEAFVQKKLSMEEFVAEIVLDVGGLEKVSSTLRPKPGSWPHGSQQMKSAEKELVSTIMKNATALQNKDSDVAIVPIRARAFSIVIGRGMTGLGVVGVSSVPRASATKWSAGSPTSWKNAKREAFFAYQLARAQGSQLLYLFLEEEKKIGVEYPYQVAAQVARVCAEQALCGPHQRRMKLLAESFISDMNPLSQIPKNCLRRSELPQDSVRQAFRIAEEVPDSNVVKDLIGLKLAEDALIRRGSTILDLAAPVGTLRPLLQTGRAGPLLGLTVEPLGVQAEAHAIRYHCGLALELGGGFAMGQIAAVVPKENRQIEDVVHGLTLGFTRPASFGRIDAGASFKGEAGCIVIAKITHDIVAKQLGEKIDRLLTTSIANVPPNRLRILALANHLRTYEAM
+>sp|Q9UNE0|EDAR_HUMAN Tumor necrosis factor receptor superfamily member EDAR OS=Homo sapiens OX=9606 GN=EDAR PE=1 SV=1
+MAHVGDCTQTPWLPVLVVSLMCSARAEYSNCGENEYYNQTTGLCQECPPCGPGEEPYLSCGYGTKDEDYGCVPCPAEKFSKGGYQICRRHKDCEGFFRATVLTPGDMENDAECGPCLPGYYMLENRPRNIYGMVCYSCLLAPPNTKECVGATSGASANFPGTSGSSTLSPFQHAHKELSGQGHLATALIIAMSTIFIMAIAIVLIIMFYILKTKPSAPACCTSHPGKSVEAQVSKDEEKKEAPDNVVMFSEKDEFEKLTATPAKPTKSENDASSENEQLLSRSVDSDEEPAPDKQGSPELCLLSLVHLAREKSATSNKSAGIQSRRKKILDVYANVCGVVEGLSPTELPFDCLEKTSRMLSSTYNSEKAVVKTWRHLAESFGLKRDEIGGMTDGMQLFDRISTAGYSIPELLTKLVQIERLDAVESLCADILEWAGVVPPASQPHAAS
+>DECOY_sp|Q9UNE0|EDAR_HUMAN Tumor necrosis factor receptor superfamily member EDAR OS=Homo sapiens OX=9606 GN=EDAR PE=1 SV=1
+SAAHPQSAPPVVGAWELIDACLSEVADLREIQVLKTLLEPISYGATSIRDFLQMGDTMGGIEDRKLGFSEALHRWTKVVAKESNYTSSLMRSTKELCDFPLETPSLGEVVGCVNAYVDLIKKRRSQIGASKNSTASKERALHVLSLLCLEPSGQKDPAPEEDSDVSRSLLQENESSADNESKTPKAPTATLKEFEDKESFMVVNDPAEKKEEDKSVQAEVSKGPHSTCCAPASPKTKLIYFMIILVIAIAMIFITSMAIILATALHGQGSLEKHAHQFPSLTSSGSTGPFNASAGSTAGVCEKTNPPALLCSYCVMGYINRPRNELMYYGPLCPGCEADNEMDGPTLVTARFFGECDKHRRCIQYGGKSFKEAPCPVCGYDEDKTGYGCSLYPEEGPGCPPCEQCLGTTQNYYENEGCNSYEARASCMLSVVLVPLWPTQTCDGVHAM
+>sp|Q92838|EDA_HUMAN Ectodysplasin-A OS=Homo sapiens OX=9606 GN=EDA PE=1 SV=2
+MGYPEVERRELLPAAAPRERGSQGCGCGGAPARAGEGNSCLLFLGFFGLSLALHLLTLCCYLELRSELRRERGAESRLGGSGTPGTSGTLSSLGGLDPDSPITSHLGQPSPKQQPLEPGEAALHSDSQDGHQMALLNFFFPDEKPYSEEESRRVRRNKRSKSNEGADGPVKNKKKGKKAGPPGPNGPPGPPGPPGPQGPPGIPGIPGIPGTTVMGPPGPPGPPGPQGPPGLQGPSGAADKAGTRENQPAVVHLQGQGSAIQVKNDLSGGVLNDWSRITMNPKVFKLHPRSGELEVLVDGTYFIYSQVEVYYINFTDFASYEVVVDEKPFLQCTRSIETGKTNYNTCYTAGVCLLKARQKIAVKMVHADISINMSKHTTFFGAIRLGEAPAS
+>DECOY_sp|Q92838|EDA_HUMAN Ectodysplasin-A OS=Homo sapiens OX=9606 GN=EDA PE=1 SV=2
+SAPAEGLRIAGFFTTHKSMNISIDAHVMKVAIKQRAKLLCVGATYCTNYNTKGTEISRTCQLFPKEDVVVEYSAFDTFNIYYVEVQSYIFYTGDVLVELEGSRPHLKFVKPNMTIRSWDNLVGGSLDNKVQIASGQGQLHVVAPQNERTGAKDAAGSPGQLGPPGQPGPPGPPGPPGMVTTGPIGPIGPIGPPGQPGPPGPPGPPGNPGPPGAKKGKKKNKVPGDAGENSKSRKNRRVRRSEEESYPKEDPFFFNLLAMQHGDQSDSHLAAEGPELPQQKPSPQGLHSTIPSDPDLGGLSSLTGSTGPTGSGGLRSEAGRERRLESRLELYCCLTLLHLALSLGFFGLFLLCSNGEGARAPAGGCGCGQSGRERPAAAPLLERREVEPYGM
+>sp|O43854|EDIL3_HUMAN EGF-like repeat and discoidin I-like domain-containing protein 3 OS=Homo sapiens OX=9606 GN=EDIL3 PE=1 SV=1
+MKRSVAVWLLVGLSLGVPQFGKGDICDPNPCENGGICLPGLADGSFSCECPDGFTDPNCSSVVEVASDEEEPTSAGPCTPNPCHNGGTCEISEAYRGDTFIGYVCKCPRGFNGIHCQHNINECEVEPCKNGGICTDLVANYSCECPGEFMGRNCQYKCSGPLGIEGGIISNQQITASSTHRALFGLQKWYPYYARLNKKGLINAWTAAENDRWPWIQINLQRKMRVTGVITQGAKRIGSPEYIKSYKIAYSNDGKTWAMYKVKGTNEDMVFRGNIDNNTPYANSFTPPIKAQYVRLYPQVCRRHCTLRMELLGCELSGCSEPLGMKSGHIQDYQITASSIFRTLNMDMFTWEPRKARLDKQGKVNAWTSGHNDQSQWLQVDLLVPTKVTGIITQGAKDFGHVQFVGSYKLAYSNDGEHWTVYQDEKQRKDKVFQGNFDNDTHRKNVIDPPIYARHIRILPWSWYGRITLRSELLGCTEEE
+>DECOY_sp|O43854|EDIL3_HUMAN EGF-like repeat and discoidin I-like domain-containing protein 3 OS=Homo sapiens OX=9606 GN=EDIL3 PE=1 SV=1
+EEETCGLLESRLTIRGYWSWPLIRIHRAYIPPDIVNKRHTDNDFNGQFVKDKRQKEDQYVTWHEGDNSYALKYSGVFQVHGFDKAGQTIIGTVKTPVLLDVQLWQSQDNHGSTWANVKGQKDLRAKRPEWTFMDMNLTRFISSATIQYDQIHGSKMGLPESCGSLECGLLEMRLTCHRRCVQPYLRVYQAKIPPTFSNAYPTNNDINGRFVMDENTGKVKYMAWTKGDNSYAIKYSKIYEPSGIRKAGQTIVGTVRMKRQLNIQIWPWRDNEAATWANILGKKNLRAYYPYWKQLGFLARHTSSATIQQNSIIGGEIGLPGSCKYQCNRGMFEGPCECSYNAVLDTCIGGNKCPEVECENINHQCHIGNFGRPCKCVYGIFTDGRYAESIECTGGNHCPNPTCPGASTPEEEDSAVEVVSSCNPDTFGDPCECSFSGDALGPLCIGGNECPNPDCIDGKGFQPVGLSLGVLLWVAVSRKM
+>sp|P25101|EDNRA_HUMAN Endothelin-1 receptor OS=Homo sapiens OX=9606 GN=EDNRA PE=1 SV=1
+METLCLRASFWLALVGCVISDNPERYSTNLSNHVDDFTTFRGTELSFLVTTHQPTNLVLPSNGSMHNYCPQQTKITSAFKYINTVISCTIFIVGMVGNATLLRIIYQNKCMRNGPNALIASLALGDLIYVVIDLPINVFKLLAGRWPFDHNDFGVFLCKLFPFLQKSSVGITVLNLCALSVDRYRAVASWSRVQGIGIPLVTAIEIVSIWILSFILAIPEAIGFVMVPFEYRGEQHKTCMLNATSKFMEFYQDVKDWWLFGFYFCMPLVCTAIFYTLMTCEMLNRRNGSLRIALSEHLKQRREVAKTVFCLVVIFALCWFPLHLSRILKKTVYNEMDKNRCELLSFLLLMDYIGINLATMNSCINPIALYFVSKKFKNCFQSCLCCCCYQSKSLMTSVPMNGTSIQWKNHDQNNHNTDRSSHKDSMN
+>DECOY_sp|P25101|EDNRA_HUMAN Endothelin-1 receptor OS=Homo sapiens OX=9606 GN=EDNRA PE=1 SV=1
+NMSDKHSSRDTNHNNQDHNKWQISTGNMPVSTMLSKSQYCCCCLCSQFCNKFKKSVFYLAIPNICSNMTALNIGIYDMLLLFSLLECRNKDMENYVTKKLIRSLHLPFWCLAFIVVLCFVTKAVERRQKLHESLAIRLSGNRRNLMECTMLTYFIATCVLPMCFYFGFLWWDKVDQYFEMFKSTANLMCTKHQEGRYEFPVMVFGIAEPIALIFSLIWISVIEIATVLPIGIGQVRSWSAVARYRDVSLACLNLVTIGVSSKQLFPFLKCLFVGFDNHDFPWRGALLKFVNIPLDIVVYILDGLALSAILANPGNRMCKNQYIIRLLTANGVMGVIFITCSIVTNIYKFASTIKTQQPCYNHMSGNSPLVLNTPQHTTVLFSLETGRFTTFDDVHNSLNTSYREPNDSIVCGVLALWFSARLCLTEM
+>sp|Q15075|EEA1_HUMAN Early endosome antigen 1 OS=Homo sapiens OX=9606 GN=EEA1 PE=1 SV=2
+MLRRILQRTPGRVGSQGSDLDSSATPINTVDVNNESSSEGFICPQCMKSLGSADELFKHYEAVHDAGNDSGHGGESNLALKRDDVTLLRQEVQDLQASLKEEKWYSEELKKELEKYQGLQQQEAKPDGLVTDSSAELQSLEQQLEEAQTENFNIKQMKDLFEQKAAQLATEIADIKSKYDEERSLREAAEQKVTRLTEELNKEATVIQDLKTELLQRPGIEDVAVLKKELVQVQTLMDNMTLERERESEKLKDECKKLQSQYASSEATISQLRSELAKGPQEVAVYVQELQKLKSSVNELTQKNQTLTENLLKKEQDYTKLEEKHNEESVSKKNIQATLHQKDLDCQQLQSRLSASETSLHRIHVELSEKGEATQKLKEELSEVETKYQHLKAEFKQLQQQREEKEQHGLQLQSEINQLHSKLLETERQLGEAHGRLKEQRQLSSEKLMDKEQQVADLQLKLSRLEEQLKEKVTNSTELQHQLDKTKQQHQEQQALQQSTTAKLREAQNDLEQVLRQIGDKDQKIQNLEALLQKSKENISLLEKEREDLYAKIQAGEGETAVLNQLQEKNHTLQEQVTQLTEKLKNQSESHKQAQENLHDQVQEQKAHLRAAQDRVLSLETSVNELNSQLNESKEKVSQLDIQIKAKTELLLSAEAAKTAQRADLQNHLDTAQNALQDKQQELNKITTQLDQVTAKLQDKQEHCSQLESHLKEYKEKYLSLEQKTEELEGQIKKLEADSLEVKASKEQALQDLQQQRQLNTDLELRATELSKQLEMEKEIVSSTRLDLQKKSEALESIKQKLTKQEEEKKILKQDFETLSQETKIQHEELNNRIQTTVTELQKVKMEKEALMTELSTVKDKLSKVSDSLKNSKSEFEKENQKGKAAILDLEKTCKELKHQLQVQMENTLKEQKELKKSLEKEKEASHQLKLELNSMQEQLIQAQNTLKQNEKEEQQLQGNINELKQSSEQKKKQIEALQGELKIAVLQKTELENKLQQQLTQAAQELAAEKEKISVLQNNYEKSQETFKQLQSDFYGRESELLATRQDLKSVEEKLSLAQEDLISNRNQIGNQNKLIQELKTAKATLEQDSAKKEQQLQERCKALQDIQKEKSLKEKELVNEKSKLAEIEEIKCRQEKEITKLNEELKSHKLESIKEITNLKDAKQLLIQQKLELQGKADSLKAAVEQEKRNQQILKDQVKKEEEELKKEFIEKEAKLHSEIKEKEVGMKKHEENEAKLTMQITALNENLGTVKKEWQSSQRRVSELEKQTDDLRGEIAVLEATVQNNQDERRALLERCLKGEGEIEKLQTKVLELQRKLDNTTAAVQELGRENQSLQIKHTQALNRKWAEDNEVQNCMACGKGFSVTVRRHHCRQCGNIFCAECSAKNALTPSSKKPVRVCDACFNDLQG
+>DECOY_sp|Q15075|EEA1_HUMAN Early endosome antigen 1 OS=Homo sapiens OX=9606 GN=EEA1 PE=1 SV=2
+GQLDNFCADCVRVPKKSSPTLANKASCEACFINGCQRCHHRRVTVSFGKGCAMCNQVENDEAWKRNLAQTHKIQLSQNERGLEQVAATTNDLKRQLELVKTQLKEIEGEGKLCRELLARREDQNNQVTAELVAIEGRLDDTQKELESVRRQSSQWEKKVTGLNENLATIQMTLKAENEEHKKMGVEKEKIESHLKAEKEIFEKKLEEEEKKVQDKLIQQNRKEQEVAAKLSDAKGQLELKQQILLQKADKLNTIEKISELKHSKLEENLKTIEKEQRCKIEEIEALKSKENVLEKEKLSKEKQIDQLAKCREQLQQEKKASDQELTAKATKLEQILKNQNGIQNRNSILDEQALSLKEEVSKLDQRTALLESERGYFDSQLQKFTEQSKEYNNQLVSIKEKEAALEQAAQTLQQQLKNELETKQLVAIKLEGQLAEIQKKKQESSQKLENINGQLQQEEKENQKLTNQAQILQEQMSNLELKLQHSAEKEKELSKKLEKQEKLTNEMQVQLQHKLEKCTKELDLIAAKGKQNEKEFESKSNKLSDSVKSLKDKVTSLETMLAEKEMKVKQLETVTTQIRNNLEEHQIKTEQSLTEFDQKLIKKEEEQKTLKQKISELAESKKQLDLRTSSVIEKEMELQKSLETARLELDTNLQRQQQLDQLAQEKSAKVELSDAELKKIQGELEETKQELSLYKEKYEKLHSELQSCHEQKDQLKATVQDLQTTIKNLEQQKDQLANQATDLHNQLDARQATKAAEASLLLETKAKIQIDLQSVKEKSENLQSNLENVSTELSLVRDQAARLHAKQEQVQDHLNEQAQKHSESQNKLKETLQTVQEQLTHNKEQLQNLVATEGEGAQIKAYLDEREKELLSINEKSKQLLAELNQIKQDKDGIQRLVQELDNQAERLKATTSQQLAQQEQHQQKTKDLQHQLETSNTVKEKLQEELRSLKLQLDAVQQEKDMLKESSLQRQEKLRGHAEGLQRETELLKSHLQNIESQLQLGHQEKEERQQQLQKFEAKLHQYKTEVESLEEKLKQTAEGKESLEVHIRHLSTESASLRSQLQQCDLDKQHLTAQINKKSVSEENHKEELKTYDQEKKLLNETLTQNKQTLENVSSKLKQLEQVYVAVEQPGKALESRLQSITAESSAYQSQLKKCEDKLKESERERELTMNDMLTQVQVLEKKLVAVDEIGPRQLLETKLDQIVTAEKNLEETLRTVKQEAAERLSREEDYKSKIDAIETALQAAKQEFLDKMQKINFNETQAEELQQELSQLEASSDTVLGDPKAEQQQLGQYKELEKKLEESYWKEEKLSAQLDQVEQRLLTVDDRKLALNSEGGHGSDNGADHVAEYHKFLEDASGLSKMCQPCIFGESSSENNVDVTNIPTASSDLDSGQSGVRGPTRQLIRRLM
+>sp|P18146|EGR1_HUMAN Early growth response protein 1 OS=Homo sapiens OX=9606 GN=EGR1 PE=1 SV=1
+MAAAKAEMQLMSPLQISDPFGSFPHSPTMDNYPKLEEMMLLSNGAPQFLGAAGAPEGSGSNSSSSSSGGGGGGGGGSNSSSSSSTFNPQADTGEQPYEHLTAESFPDISLNNEKVLVETSYPSQTTRLPPITYTGRFSLEPAPNSGNTLWPEPLFSLVSGLVSMTNPPASSSSAPSPAASSASASQSPPLSCAVPSNDSSPIYSAAPTFPTPNTDIFPEPQSQAFPGSAGTALQYPPPAYPAAKGGFQVPMIPDYLFPQQQGDLGLGTPDQKPFQGLESRTQQPSLTPLSTIKAFATQSGSQDLKALNTSYQSQLIKPSRMRKYPNRPSKTPPHERPYACPVESCDRRFSRSDELTRHIRIHTGQKPFQCRICMRNFSRSDHLTTHIRTHTGEKPFACDICGRKFARSDERKRHTKIHLRQKDKKADKSVVASSATSSLSSYPSPVATSYPSPVTTSYPSPATTSYPSPVPTSFSSPGSSTYPSPVHSGFPSPSVATTYSSVPPAFPAQVSSFPSSAVTNSFSASTGLSDMTATFSPRTIEIC
+>DECOY_sp|P18146|EGR1_HUMAN Early growth response protein 1 OS=Homo sapiens OX=9606 GN=EGR1 PE=1 SV=1
+CIEITRPSFTATMDSLGTSASFSNTVASSPFSSVQAPFAPPVSSYTTAVSPSPFGSHVPSPYTSSGPSSFSTPVPSPYSTTAPSPYSTTVPSPYSTAVPSPYSSLSSTASSAVVSKDAKKDKQRLHIKTHRKREDSRAFKRGCIDCAFPKEGTHTRIHTTLHDSRSFNRMCIRCQFPKQGTHIRIHRTLEDSRSFRRDCSEVPCAYPREHPPTKSPRNPYKRMRSPKILQSQYSTNLAKLDQSGSQTAFAKITSLPTLSPQQTRSELGQFPKQDPTGLGLDGQQQPFLYDPIMPVQFGGKAAPYAPPPYQLATGASGPFAQSQPEPFIDTNPTPFTPAASYIPSSDNSPVACSLPPSQSASASSAAPSPASSSSAPPNTMSVLGSVLSFLPEPWLTNGSNPAPELSFRGTYTIPPLRTTQSPYSTEVLVKENNLSIDPFSEATLHEYPQEGTDAQPNFTSSSSSSNSGGGGGGGGGSSSSSSNSGSGEPAGAAGLFQPAGNSLLMMEELKPYNDMTPSHPFSGFPDSIQLPSMLQMEAKAAAM
+>sp|Q8N3D4|EH1L1_HUMAN EH domain-binding protein 1-like protein 1 OS=Homo sapiens OX=9606 GN=EHBP1L1 PE=1 SV=2
+MTSVWKRLQRVGKRAAKFQFVACYHELVLECTKKWQPDKLVVVWTRRNRRICSKAHSWQPGIQNPYRGTVVWMVPENVDISVTLYRDPHVDQYEAKEWTFIIENESKGQRKVLATAEVDLARHAGPVPVQVPVRLRLKPKSVKVVQAELSLTLSGVLLREGRATDDDMQSLASLMSVKPSDVGNLDDFAESDEDEAHGPGAPEARARVPQPDPSRELKTLCEEEEEGQGRPQQAVASPSNAEDTSPAPVSAPAPPARTSRGQGSERANEAGGQVGPEAPRPPETSPEMRSSRQPAQDTAPTPAPRLRKGSDALRPPVPQGEDEVPKASGAPPAGLGSARETQAQACPQEGTEAHGARLGPSIEDKGSGDPFGRQRLKAEEMDTEDRPEASGVDTEPRSGGREANTKRSGVRAGEAEESSAVCQVDAEQRSKVRHVDTKGPEATGVMPEARCRGTPEAPPRGSQGRLGVRTRDEAPSGLSLPPAEPAGHSGQLGDLEGARAAAGQEREGAEVRGGAPGIEGTGLEQGPSVGAISTRPQVSSWQGALLSTAQGAISRGLGGWEAEAGGSGDLETETEVVGLEVLGTQEKEVEGSGFPETRTLEIEILGALEKEAARSRVLESEVAGTAQCEGLETQETEVGVIETPGTETEVLGTQKTEAGGSGVLQTRTTIAETEVLVTQEISGDLGPLKIEDTIQSEMLGTQETEVEASRVPESEAEGTEAKILGTQEITARDSGVREIEAEIAESDILVAQEIEVGLLGVLGIETGAAEGAILGTQEIASRDSGVPGLEADTTGIQVKEVGGSEVPEIATGTAETEILGTQEIASRSSGVPGLESEVAGAQETEVGGSGISGPEAGMAEARVLMTRKTEIIVPEAEKEEAQTSGVQEAETRVGSALKYEALRAPVTQPRVLGSQEAKAEISGVQGSETQVLRVQEAEAGVWGMSEGKSGAWGAQEAEMKVLESPENKSGTFKAQEAEAGVLGNEKGKEAEGSLTEASLPEAQVASGAGAGAPRASSPEKAEEDRRLPGSQAPPALVSSSQSLLEWCQEVTTGYRGVRITNFTTSWRNGLAFCAILHRFYPDKIDYASLDPLNIKQNNKQAFDGFAALGVSRLLEPADMVLLSVPDKLIVMTYLCQIRAFCTGQELQLVQLEGGGGAGTYRVGSAQPSPPDDLDAGGLAQRLRGHGAEGPQEPKEAADRADGAAPGVASRNAVAGRASKDGGAEAPRESRPAEVPAEGLVNGAGAPGGGGVRLRRPSVNGEPGSVPPPRAHGSFSHVRDADLLKKRRSRLRNSSSFSMDDPDAGAMGAAAAEGQAPDPSPAPGPPTAADSQQPPGGSSPSEEPPPSPGEEAGLQRFQDTSQYVCAELQALEQEQRQIDGRAAEVEMQLRSLMESGANKLQEEVLIQEWFTLVNKKNALIRRQDQLQLLMEEQDLERRFELLSRELRAMLAIEDWQKTSAQQHREQLLLEELVSLVNQRDELVRDLDHKERIALEEDERLERGLEQRRRKLSRQLSRRERCVLS
+>DECOY_sp|Q8N3D4|EH1L1_HUMAN EH domain-binding protein 1-like protein 1 OS=Homo sapiens OX=9606 GN=EHBP1L1 PE=1 SV=2
+SLVCRERRSLQRSLKRRRQELGRELREDEELAIREKHDLDRVLEDRQNVLSVLEELLLQERHQQASTKQWDEIALMARLERSLLEFRRELDQEEMLLQLQDQRRILANKKNVLTFWEQILVEEQLKNAGSEMLSRLQMEVEAARGDIQRQEQELAQLEACVYQSTDQFRQLGAEEGPSPPPEESPSSGGPPQQSDAATPPGPAPSPDPAQGEAAAAGMAGADPDDMSFSSSNRLRSRRKKLLDADRVHSFSGHARPPPVSGPEGNVSPRRLRVGGGGPAGAGNVLGEAPVEAPRSERPAEAGGDKSARGAVANRSAVGPAAGDARDAAEKPEQPGEAGHGRLRQALGGADLDDPPSPQASGVRYTGAGGGGELQVLQLEQGTCFARIQCLYTMVILKDPVSLLVMDAPELLRSVGLAAFGDFAQKNNQKINLPDLSAYDIKDPYFRHLIACFALGNRWSTTFNTIRVGRYGTTVEQCWELLSQSSSVLAPPAQSGPLRRDEEAKEPSSARPAGAGAGSAVQAEPLSAETLSGEAEKGKENGLVGAEAEQAKFTGSKNEPSELVKMEAEQAGWAGSKGESMGWVGAEAEQVRLVQTESGQVGSIEAKAEQSGLVRPQTVPARLAEYKLASGVRTEAEQVGSTQAEEKEAEPVIIETKRTMLVRAEAMGAEPGSIGSGGVETEQAGAVESELGPVGSSRSAIEQTGLIETEATGTAIEPVESGGVEKVQIGTTDAELGPVGSDRSAIEQTGLIAGEAAGTEIGLVGLLGVEIEQAVLIDSEAIEAEIERVGSDRATIEQTGLIKAETGEAESEPVRSAEVETEQTGLMESQITDEIKLPGLDGSIEQTVLVETEAITTRTQLVGSGGAETKQTGLVETETGPTEIVGVETEQTELGECQATGAVESELVRSRAAEKELAGLIEIELTRTEPFGSGEVEKEQTGLVELGVVETETELDGSGGAEAEWGGLGRSIAGQATSLLAGQWSSVQPRTSIAGVSPGQELGTGEIGPAGGRVEAGEREQGAAARAGELDGLQGSHGAPEAPPLSLGSPAEDRTRVGLRGQSGRPPAEPTGRCRAEPMVGTAEPGKTDVHRVKSRQEADVQCVASSEEAEGARVGSRKTNAERGGSRPETDVGSAEPRDETDMEEAKLRQRGFPDGSGKDEISPGLRAGHAETGEQPCAQAQTERASGLGAPPAGSAKPVEDEGQPVPPRLADSGKRLRPAPTPATDQAPQRSSRMEPSTEPPRPAEPGVQGGAENARESGQGRSTRAPPAPASVPAPSTDEANSPSAVAQQPRGQGEEEEECLTKLERSPDPQPVRARAEPAGPGHAEDEDSEAFDDLNGVDSPKVSMLSALSQMDDDTARGERLLVGSLTLSLEAQVVKVSKPKLRLRVPVQVPVPGAHRALDVEATALVKRQGKSENEIIFTWEKAEYQDVHPDRYLTVSIDVNEPVMWVVTGRYPNQIGPQWSHAKSCIRRNRRTWVVVLKDPQWKKTCELVLEHYCAVFQFKAARKGVRQLRKWVSTM
+>sp|Q8NDI1|EHBP1_HUMAN EH domain-binding protein 1 OS=Homo sapiens OX=9606 GN=EHBP1 PE=1 SV=3
+MASVWKRLQRVGKHASKFQFVASYQELMVECTKKWQPDKLVVVWTRRSRRKSSKAHSWQPGIKNPYRGVVVWPVPENIEITVTLFKDPHAEEFEDKEWTFVIENESPSGRRKALATSSINMKQYASPMPTQTDVKLKFKPLSKKVVSAALQFSLSCIFLREGKATDEDMQSLASLMSMKQADIGNLDDFEEDNEDDDENRVNQEEKAAKITEIVNQLNALSSLDEDQDDCIKQANMRSAKSASSSEELINKLNFLDEAEKDLATVNSNPFDDPDAAELNPFGDPDSEEPITETASPRKTEDSFYNNSYNPFKEVQTPQYLNPFDEPEAFVTIKDSPPQSTKRKNIRPVDMSKYLYADSSKTEEEELDESNPFYEPKSTPPPNNLVNPVQELETERRVKRKAPAPPVLSPKTGVLNENTVSAGKDLSTSPKPSPIPSPVLGRKPNASQSLLVWCKEVTKNYRGVKITNFTTSWRNGLSFCAILHHFRPDLIDYKSLNPQDIKENNKKAYDGFASIGISRLLEPSDMVLLAIPDKLTVMTYLYQIRAHFSGQELNVVQIEENSSKSTYKVGNYETDTNSSVDQEKFYAELSDLKREPELQQPISGAVDFLSQDDSVFVNDSGVGESESEHQTPDDHLSPSTASPYCRRTKSDTEPQKSQQSSGRTSGSDDPGICSNTDSTQAQVLLGKKRLLKAETLELSDLYVSDKKKDMSPPFICEETDEQKLQTLDIGSNLEKEKLENSRSLECRSDPESPIKKTSLSPTSKLGYSYSRDLDLAKKKHASLRQTESDPDADRTTLNHADHSSKIVQHRLLSRQEELKERARVLLEQARRDAALKAGNKHNTNTATPFCNRQLSDQQDEERRRQLRERARQLIAEARSGVKMSELPSYGEMAAEKLKERSKASGDENDNIEIDTNEEIPEGFVVGGGDELTNLENDLDTPEQNSKLVDLKLKKLLEVQPQVANSPSSAAQKAVTESSEQDMKSGTEDLRTERLQKTTERFRNPVVFSKDSTVRKTQLQSFSQYIENRPEMKRQRSIQEDTKKGNEEKAAITETQRKPSEDEVLNKGFKDTSQYVVGELAALENEQKQIDTRAALVEKRLRYLMDTGRNTEEEEAMMQEWFMLVNKKNALIRRMNQLSLLEKEHDLERRYELLNRELRAMLAIEDWQKTEAQKRREQLLLDELVALVNKRDALVRDLDAQEKQAEEEDEHLERTLEQNKGKMAKKEEKCVLQ
+>DECOY_sp|Q8NDI1|EHBP1_HUMAN EH domain-binding protein 1 OS=Homo sapiens OX=9606 GN=EHBP1 PE=1 SV=3
+QLVCKEEKKAMKGKNQELTRELHEDEEEAQKEQADLDRVLADRKNVLAVLEDLLLQERRKQAETKQWDEIALMARLERNLLEYRRELDHEKELLSLQNMRRILANKKNVLMFWEQMMAEEEETNRGTDMLYRLRKEVLAARTDIQKQENELAALEGVVYQSTDKFGKNLVEDESPKRQTETIAAKEENGKKTDEQISRQRKMEPRNEIYQSFSQLQTKRVTSDKSFVVPNRFRETTKQLRETRLDETGSKMDQESSETVAKQAASSPSNAVQPQVELLKKLKLDVLKSNQEPTDLDNELNTLEDGGGVVFGEPIEENTDIEINDNEDGSAKSREKLKEAAMEGYSPLESMKVGSRAEAILQRARERLQRRREEDQQDSLQRNCFPTATNTNHKNGAKLAADRRAQELLVRAREKLEEQRSLLRHQVIKSSHDAHNLTTRDADPDSETQRLSAHKKKALDLDRSYSYGLKSTPSLSTKKIPSEPDSRCELSRSNELKEKELNSGIDLTQLKQEDTEECIFPPSMDKKKDSVYLDSLELTEAKLLRKKGLLVQAQTSDTNSCIGPDDSGSTRGSSQQSKQPETDSKTRRCYPSATSPSLHDDPTQHESESEGVGSDNVFVSDDQSLFDVAGSIPQQLEPERKLDSLEAYFKEQDVSSNTDTEYNGVKYTSKSSNEEIQVVNLEQGSFHARIQYLYTMVTLKDPIALLVMDSPELLRSIGISAFGDYAKKNNEKIDQPNLSKYDILDPRFHHLIACFSLGNRWSTTFNTIKVGRYNKTVEKCWVLLSQSANPKRGLVPSPIPSPKPSTSLDKGASVTNENLVGTKPSLVPPAPAKRKVRRETELEQVPNVLNNPPPTSKPEYFPNSEDLEEEETKSSDAYLYKSMDVPRINKRKTSQPPSDKITVFAEPEDFPNLYQPTQVEKFPNYSNNYFSDETKRPSATETIPEESDPDGFPNLEAADPDDFPNSNVTALDKEAEDLFNLKNILEESSSASKASRMNAQKICDDQDEDLSSLANLQNVIETIKAAKEEQNVRNEDDDENDEEFDDLNGIDAQKMSMLSALSQMDEDTAKGERLFICSLSFQLAASVVKKSLPKFKLKVDTQTPMPSAYQKMNISSTALAKRRGSPSENEIVFTWEKDEFEEAHPDKFLTVTIEINEPVPWVVVGRYPNKIGPQWSHAKSSKRRSRRTWVVVLKDPQWKKTCEVMLEQYSAVFQFKSAHKGVRQLRKWVSAM
+>sp|Q9H4M9|EHD1_HUMAN EH domain-containing protein 1 OS=Homo sapiens OX=9606 GN=EHD1 PE=1 SV=2
+MFSWVSKDARRKKEPELFQTVAEGLRQLYAQKLLPLEEHYRFHEFHSPALEDADFDNKPMVLLVGQYSTGKTTFIRHLIEQDFPGMRIGPEPTTDSFIAVMHGPTEGVVPGNALVVDPRRPFRKLNAFGNAFLNRFMCAQLPNPVLDSISIIDTPGILSGEKQRISRGYDFAAVLEWFAERVDRIILLFDAHKLDISDEFSEVIKALKNHEDKIRVVLNKADQIETQQLMRVYGALMWSLGKIINTPEVVRVYIGSFWSHPLLIPDNRKLFEAEEQDLFKDIQSLPRNAALRKLNDLIKRARLAKVHAYIISSLKKEMPNVFGKESKKKELVNNLGEIYQKIEREHQISPGDFPSLRKMQELLQTQDFSKFQALKPKLLDTVDDMLANDIARLMVMVRQEESLMPSQVVKGGAFDGTMNGPFGHGYGEGAGEGIDDVEWVVGKDKPTYDEIFYTLSPVNGKITGANAKKEMVKSKLPNTVLGKIWKLADVDKDGLLDDEEFALANHLIKVKLEGHELPADLPPHLVPPSKRRHE
+>DECOY_sp|Q9H4M9|EHD1_HUMAN EH domain-containing protein 1 OS=Homo sapiens OX=9606 GN=EHD1 PE=1 SV=2
+EHRRKSPPVLHPPLDAPLEHGELKVKILHNALAFEEDDLLGDKDVDALKWIKGLVTNPLKSKVMEKKANAGTIKGNVPSLTYFIEDYTPKDKGVVWEVDDIGEGAGEGYGHGFPGNMTGDFAGGKVVQSPMLSEEQRVMVMLRAIDNALMDDVTDLLKPKLAQFKSFDQTQLLEQMKRLSPFDGPSIQHEREIKQYIEGLNNVLEKKKSEKGFVNPMEKKLSSIIYAHVKALRARKILDNLKRLAANRPLSQIDKFLDQEEAEFLKRNDPILLPHSWFSGIYVRVVEPTNIIKGLSWMLAGYVRMLQQTEIQDAKNLVVRIKDEHNKLAKIVESFEDSIDLKHADFLLIIRDVREAFWELVAAFDYGRSIRQKEGSLIGPTDIISISDLVPNPLQACMFRNLFANGFANLKRFPRRPDVVLANGPVVGETPGHMVAIFSDTTPEPGIRMGPFDQEILHRIFTTKGTSYQGVLLVMPKNDFDADELAPSHFEHFRYHEELPLLKQAYLQRLGEAVTQFLEPEKKRRADKSVWSFM
+>sp|Q9NZC4|EHF_HUMAN ETS homologous factor OS=Homo sapiens OX=9606 GN=EHF PE=2 SV=1
+MILEGGGVMNLNPGNNLLHQPPAWTDSYSTCNVSSGFFGGQWHEIHPQYWTKYQVWEWLQHLLDTNQLDANCIPFQEFDINGEHLCSMSLQEFTRAAGTAGQLLYSNLQHLKWNGQCSSDLFQSTHNVIVKTEQTEPSIMNTWKDENYLYDTNYGSTVDLLDSKTFCRAQISMTTTSHLPVAESPDMKKEQDPPAKCHTKKHNPRGTHLWEFIRDILLNPDKNPGLIKWEDRSEGVFRFLKSEAVAQLWGKKKNNSSMTYEKLSRAMRYYYKREILERVDGRRLVYKFGKNARGWRENEN
+>DECOY_sp|Q9NZC4|EHF_HUMAN ETS homologous factor OS=Homo sapiens OX=9606 GN=EHF PE=2 SV=1
+NENERWGRANKGFKYVLRRGDVRELIERKYYYRMARSLKEYTMSSNNKKKGWLQAVAESKLFRFVGESRDEWKILGPNKDPNLLIDRIFEWLHTGRPNHKKTHCKAPPDQEKKMDPSEAVPLHSTTTMSIQARCFTKSDLLDVTSGYNTDYLYNEDKWTNMISPETQETKVIVNHTSQFLDSSCQGNWKLHQLNSYLLQGATGAARTFEQLSMSCLHEGNIDFEQFPICNADLQNTDLLHQLWEWVQYKTWYQPHIEHWQGGFFGSSVNCTSYSDTWAPPQHLLNNGPNLNMVGGGELIM
+>sp|Q9H9B1|EHMT1_HUMAN Histone-lysine N-methyltransferase EHMT1 OS=Homo sapiens OX=9606 GN=EHMT1 PE=1 SV=4
+MAAADAEAVPARGEPQQDCCVKTELLGEETPMAADEGSAEKQAGEAHMAADGETNGSCENSDASSHANAAKHTQDSARVNPQDGTNTLTRIAENGVSERDSEAAKQNHVTADDFVQTSVIGSNGYILNKPALQAQPLRTTSTLASSLPGHAAKTLPGGAGKGRTPSAFPQTPAAPPATLGEGSADTEDRKLPAPGADVKVHRARKTMPKSVVGLHAASKDPREVREARDHKEPKEEINKNISDFGRQQLLPPFPSLHQSLPQNQCYMATTKSQTACLPFVLAAAVSRKKKRRMGTYSLVPKKKTKVLKQRTVIEMFKSITHSTVGSKGEKDLGASSLHVNGESLEMDSDEDDSEELEEDDGHGAEQAAAFPTEDSRTSKESMSEADRAQKMDGESEEEQESVDTGEEEEGGDESDLSSESSIKKKFLKRKGKTDSPWIKPARKRRRRSRKKPSGALGSESYKSSAGSAEQTAPGDSTGYMEVSLDSLDLRVKGILSSQAEGLANGPDVLETDGLQEVPLCSCRMETPKSREITTLANNQCMATESVDHELGRCTNSVVKYELMRPSNKAPLLVLCEDHRGRMVKHQCCPGCGYFCTAGNFMECQPESSISHRFHKDCASRVNNASYCPHCGEESSKAKEVTIAKADTTSTVTPVPGQEKGSALEGRADTTTGSAAGPPLSEDDKLQGAASHVPEGFDPTGPAGLGRPTPGLSQGPGKETLESALIALDSEKPKKLRFHPKQLYFSARQGELQKVLLMLVDGIDPNFKMEHQNKRSPLHAAAEAGHVDICHMLVQAGANIDTCSEDQRTPLMEAAENNHLEAVKYLIKAGALVDPKDAEGSTCLHLAAKKGHYEVVQYLLSNGQMDVNCQDDGGWTPMIWATEYKHVDLVKLLLSKGSDINIRDNEENICLHWAAFSGCVDIAEILLAAKCDLHAVNIHGDSPLHIAARENRYDCVVLFLSRDSDVTLKNKEGETPLQCASLNSQVWSALQMSKALQDSAPDRPSPVERIVSRDIARGYERIPIPCVNAVDSEPCPSNYKYVSQNCVTSPMNIDRNITHLQYCVCIDDCSSSNCMCGQLSMRCWYDKDGRLLPEFNMAEPPLIFECNHACSCWRNCRNRVVQNGLRARLQLYRTRDMGWGVRSLQDIPPGTFVCEYVGELISDSEADVREEDSYLFDLDNKDGEVYCIDARFYGNVSRFINHHCEPNLVPVRVFMAHQDLRFPRIAFFSTRLIEAGEQLGFDYGERFWDIKGKLFSCRCGSPKCRHSSAALAQRQASAAQEAQEDGLPDTSSAAAADPL
+>DECOY_sp|Q9H9B1|EHMT1_HUMAN Histone-lysine N-methyltransferase EHMT1 OS=Homo sapiens OX=9606 GN=EHMT1 PE=1 SV=4
+LPDAAAASSTDPLGDEQAEQAASAQRQALAASSHRCKPSGCRCSFLKGKIDWFREGYDFGLQEGAEILRTSFFAIRPFRLDQHAMFVRVPVLNPECHHNIFRSVNGYFRADICYVEGDKNDLDFLYSDEERVDAESDSILEGVYECVFTGPPIDQLSRVGWGMDRTRYLQLRARLGNQVVRNRCNRWCSCAHNCEFILPPEAMNFEPLLRGDKDYWCRMSLQGCMCNSSSCDDICVCYQLHTINRDINMPSTVCNQSVYKYNSPCPESDVANVCPIPIREYGRAIDRSVIREVPSPRDPASDQLAKSMQLASWVQSNLSACQLPTEGEKNKLTVDSDRSLFLVVCDYRNERAAIHLPSDGHINVAHLDCKAALLIEAIDVCGSFAAWHLCINEENDRINIDSGKSLLLKVLDVHKYETAWIMPTWGGDDQCNVDMQGNSLLYQVVEYHGKKAALHLCTSGEADKPDVLAGAKILYKVAELHNNEAAEMLPTRQDESCTDINAGAQVLMHCIDVHGAEAAAHLPSRKNQHEMKFNPDIGDVLMLLVKQLEGQRASFYLQKPHFRLKKPKESDLAILASELTEKGPGQSLGPTPRGLGAPGTPDFGEPVHSAAGQLKDDESLPPGAASGTTTDARGELASGKEQGPVPTVTSTTDAKAITVEKAKSSEEGCHPCYSANNVRSACDKHFRHSISSEPQCEMFNGATCFYGCGPCCQHKVMRGRHDECLVLLPAKNSPRMLEYKVVSNTCRGLEHDVSETAMCQNNALTTIERSKPTEMRCSCLPVEQLGDTELVDPGNALGEAQSSLIGKVRLDLSDLSVEMYGTSDGPATQEASGASSKYSESGLAGSPKKRSRRRRKRAPKIWPSDTKGKRKLFKKKISSESSLDSEDGGEEEEGTDVSEQEEESEGDMKQARDAESMSEKSTRSDETPFAAAQEAGHGDDEELEESDDEDSDMELSEGNVHLSSAGLDKEGKSGVTSHTISKFMEIVTRQKLVKTKKKPVLSYTGMRRKKKRSVAAALVFPLCATQSKTTAMYCQNQPLSQHLSPFPPLLQQRGFDSINKNIEEKPEKHDRAERVERPDKSAAHLGVVSKPMTKRARHVKVDAGPAPLKRDETDASGEGLTAPPAAPTQPFASPTRGKGAGGPLTKAAHGPLSSALTSTTRLPQAQLAPKNLIYGNSGIVSTQVFDDATVHNQKAAESDRESVGNEAIRTLTNTGDQPNVRASDQTHKAANAHSSADSNECSGNTEGDAAMHAEGAQKEASGEDAAMPTEEGLLETKVCCDQQPEGRAPVAEADAAAM
+>sp|Q96KQ7|EHMT2_HUMAN Histone-lysine N-methyltransferase EHMT2 OS=Homo sapiens OX=9606 GN=EHMT2 PE=1 SV=3
+MAAAAGAAAAAAAEGEAPAEMGALLLEKETRGATERVHGSLGDTPRSEETLPKATPDSLEPAGPSSPASVTVTVGDEGADTPVGATPLIGDESENLEGDGDLRGGRILLGHATKSFPSSPSKGGSCPSRAKMSMTGAGKSPPSVQSLAMRLLSMPGAQGAAAAGSEPPPATTSPEGQPKVHRARKTMSKPGNGQPPVPEKRPPEIQHFRMSDDVHSLGKVTSDLAKRRKLNSGGGLSEELGSARRSGEVTLTKGDPGSLEEWETVVGDDFSLYYDSYSVDERVDSDSKSEVEALTEQLSEEEEEEEEEEEEEEEEEEEEEEEEDEESGNQSDRSGSSGRRKAKKKWRKDSPWVKPSRKRRKREPPRAKEPRGVNGVGSSGPSEYMEVPLGSLELPSEGTLSPNHAGVSNDTSSLETERGFEELPLCSCRMEAPKIDRISERAGHKCMATESVDGELSGCNAAILKRETMRPSSRVALMVLCETHRARMVKHHCCPGCGYFCTAGTFLECHPDFRVAHRFHKACVSQLNGMVFCPHCGEDASEAQEVTIPRGDGVTPPAGTAAPAPPPLSQDVPGRADTSQPSARMRGHGEPRRPPCDPLADTIDSSGPSLTLPNGGCLSAVGLPLGPGREALEKALVIQESERRKKLRFHPRQLYLSVKQGELQKVILMLLDNLDPNFQSDQQSKRTPLHAAAQKGSVEICHVLLQAGANINAVDKQQRTPLMEAVVNNHLEVARYMVQRGGCVYSKEEDGSTCLHHAAKIGNLEMVSLLLSTGQVDVNAQDSGGWTPIIWAAEHKHIEVIRMLLTRGADVTLTDNEENICLHWASFTGSAAIAEVLLNARCDLHAVNYHGDTPLHIAARESYHDCVLLFLSRGANPELRNKEGDTAWDLTPERSDVWFALQLNRKLRLGVGNRAIRTEKIICRDVARGYENVPIPCVNGVDGEPCPEDYKYISENCETSTMNIDRNITHLQHCTCVDDCSSSNCLCGQLSIRCWYDKDGRLLQEFNKIEPPLIFECNQACSCWRNCKNRVVQSGIKVRLQLYRTAKMGWGVRALQTIPQGTFICEYVGELISDAEADVREDDSYLFDLDNKDGEVYCIDARYYGNISRFINHLCDPNIIPVRVFMLHQDLRFPRIAFFSSRDIRTGEELGFDYGDRFWDIKSKYFTCQCGSEKCKHSAEAIALEQSRLARLDPHPELLPELGSLPPVNT
+>DECOY_sp|Q96KQ7|EHMT2_HUMAN Histone-lysine N-methyltransferase EHMT2 OS=Homo sapiens OX=9606 GN=EHMT2 PE=1 SV=3
+TNVPPLSGLEPLLEPHPDLRALRSQELAIAEASHKCKESGCQCTFYKSKIDWFRDGYDFGLEEGTRIDRSSFFAIRPFRLDQHLMFVRVPIINPDCLHNIFRSINGYYRADICYVEGDKNDLDFLYSDDERVDAEADSILEGVYECIFTGQPITQLARVGWGMKATRYLQLRVKIGSQVVRNKCNRWCSCAQNCEFILPPEIKNFEQLLRGDKDYWCRISLQGCLCNSSSCDDVCTCHQLHTINRDINMTSTECNESIYKYDEPCPEGDVGNVCPIPVNEYGRAVDRCIIKETRIARNGVGLRLKRNLQLAFWVDSREPTLDWATDGEKNRLEPNAGRSLFLLVCDHYSERAAIHLPTDGHYNVAHLDCRANLLVEAIAASGTFSAWHLCINEENDTLTVDAGRTLLMRIVEIHKHEAAWIIPTWGGSDQANVDVQGTSLLLSVMELNGIKAAHHLCTSGDEEKSYVCGGRQVMYRAVELHNNVVAEMLPTRQQKDVANINAGAQLLVHCIEVSGKQAAAHLPTRKSQQDSQFNPDLNDLLMLIVKQLEGQKVSLYLQRPHFRLKKRRESEQIVLAKELAERGPGLPLGVASLCGGNPLTLSPGSSDITDALPDCPPRRPEGHGRMRASPQSTDARGPVDQSLPPPAPAATGAPPTVGDGRPITVEQAESADEGCHPCFVMGNLQSVCAKHFRHAVRFDPHCELFTGATCFYGCGPCCHHKVMRARHTECLVMLAVRSSPRMTERKLIAANCGSLEGDVSETAMCKHGARESIRDIKPAEMRCSCLPLEEFGRETELSSTDNSVGAHNPSLTGESPLELSGLPVEMYESPGSSGVGNVGRPEKARPPERKRRKRSPKVWPSDKRWKKKAKRRGSSGSRDSQNGSEEDEEEEEEEEEEEEEEEEEEEEEEEESLQETLAEVESKSDSDVREDVSYSDYYLSFDDGVVTEWEELSGPDGKTLTVEGSRRASGLEESLGGGSNLKRRKALDSTVKGLSHVDDSMRFHQIEPPRKEPVPPQGNGPKSMTKRARHVKPQGEPSTTAPPPESGAAAAGQAGPMSLLRMALSQVSPPSKGAGTMSMKARSPCSGGKSPSSPFSKTAHGLLIRGGRLDGDGELNESEDGILPTAGVPTDAGEDGVTVTVSAPSSPGAPELSDPTAKPLTEESRPTDGLSGHVRETAGRTEKELLLAGMEAPAEGEAAAAAAAGAAAAM
+>sp|P41214|EIF2D_HUMAN Eukaryotic translation initiation factor 2D OS=Homo sapiens OX=9606 GN=EIF2D PE=1 SV=3
+MFAKAFRVKSNTAIKGSDRRKLRADVTTAFPTLGTDQVSELVPGKEELNIVKLYAHKGDAVTVYVSGGNPILFELEKNLYPTVYTLWSYPDLLPTFTTWPLVLEKLVGGADLMLPGLVMPPAGLPQVQKGDLCAISLVGNRAPVAIGVAAMSTAEMLTSGLKGRGFSVLHTYQDHLWRSGNKSSPPSIAPLALDSADLSEEKGSVQMDSTLQGDMRHMTLEGEEENGEVHQAREDKSLSEAPEDTSTRGLNQDSTDSKTLQEQMDELLQQCFLHALKCRVKKADLPLLTSTFLGSHMFSCCPEGRQLDIKKSSYKKLSKFLQQMQQEQIIQVKELSKGVESIVAVDWKHPRITSFVIPEPSPTSQTIQEGSREQPYHPPDIKPLYCVPASMTLLFQESGHKKGSFLEGSEVRTIVINYAKKNDLVDADNKNLVRLDPILCDCILEKNEQHTVMKLPWDSLLTRCLEKLQPAYQVTLPGQEPIVKKGRICPIDITLAQRASNKKVTVVRNLEAYGLDPYSVAAILQQRCQASTTVNPAPGAKDSLQVQIQGNQVHHLGWLLLEEYQLPRKHIQGLEKALKPGKKK
+>DECOY_sp|P41214|EIF2D_HUMAN Eukaryotic translation initiation factor 2D OS=Homo sapiens OX=9606 GN=EIF2D PE=1 SV=3
+KKKGPKLAKELGQIHKRPLQYEELLLWGLHHVQNGQIQVQLSDKAGPAPNVTTSAQCRQQLIAAVSYPDLGYAELNRVVTVKKNSARQALTIDIPCIRGKKVIPEQGPLTVQYAPQLKELCRTLLSDWPLKMVTHQENKELICDCLIPDLRVLNKNDADVLDNKKAYNIVITRVESGELFSGKKHGSEQFLLTMSAPVCYLPKIDPPHYPQERSGEQITQSTPSPEPIVFSTIRPHKWDVAVISEVGKSLEKVQIIQEQQMQQLFKSLKKYSSKKIDLQRGEPCCSFMHSGLFTSTLLPLDAKKVRCKLAHLFCQQLLEDMQEQLTKSDTSDQNLGRTSTDEPAESLSKDERAQHVEGNEEEGELTMHRMDGQLTSDMQVSGKEESLDASDLALPAISPPSSKNGSRWLHDQYTHLVSFGRGKLGSTLMEATSMAAVGIAVPARNGVLSIACLDGKQVQPLGAPPMVLGPLMLDAGGVLKELVLPWTTFTPLLDPYSWLTYVTPYLNKELEFLIPNGGSVYVTVADGKHAYLKVINLEEKGPVLESVQDTGLTPFATTVDARLKRRDSGKIATNSKVRFAKAFM
+>sp|Q14152|EIF3A_HUMAN Eukaryotic translation initiation factor 3 subunit A OS=Homo sapiens OX=9606 GN=EIF3A PE=1 SV=1
+MPAYFQRPENALKRANEFLEVGKKQPALDVLYDVMKSKKHRTWQKIHEPIMLKYLELCVDLRKSHLAKEGLYQYKNICQQVNIKSLEDVVRAYLKMAEEKTEAAKEESQQMVLDIEDLDNIQTPESVLLSAVSGEDTQDRTDRLLLTPWVKFLWESYRQCLDLLRNNSRVERLYHDIAQQAFKFCLQYTRKAEFRKLCDNLRMHLSQIQRHHNQSTAINLNNPESQSMHLETRLVQLDSAISMELWQEAFKAVEDIHGLFSLSKKPPKPQLMANYYNKVSTVFWKSGNALFHASTLHRLYHLSREMRKNLTQDEMQRMSTRVLLATLSIPITPERTDIARLLDMDGIIVEKQRRLATLLGLQAPPTRIGLINDMVRFNVLQYVVPEVKDLYNWLEVEFNPLKLCERVTKVLNWVREQPEKEPELQQYVPQLQNNTILRLLQQVSQIYQSIEFSRLTSLVPFVDAFQLERAIVDAARHCDLQVRIDHTSRTLSFGSDLNYATREDAPIGPHLQSMPSEQIRNQLTAMSSVLAKALEVIKPAHILQEKEEQHQLAVTAYLKNSRKEHQRILARRQTIEERKERLESLNIQREKEELEQREAELQKVRKAEEERLRQEAKEREKERILQEHEQIKKKTVRERLEQIKKTELGAKAFKDIDIEDLEELDPDFIMAKQVEQLEKEKKELQERLKNQEKKIDYFERAKRLEEIPLIKSAYEEQRIKDMDLWEQQEEERITTMQLEREKALEHKNRMSRMLEDRDLFVMRLKAARQSVYEEKLKQFEERLAEERHNRLEERKRQRKEERRITYYREKEEEEQRRAEEQMLKEREERERAERAKREEELREYQERVKKLEEVERKKRQRELEIEERERRREEERRLGDSSLSRKDSRWGDRDSEGTWRKGPEADSEWRRGPPEKEWRRGEGRDEDRSHRRDEERPRRLGDDEDREPSLRPDDDRVPRRGMDDDRGPRRGPEEDRFSRRGADDDRPSWRNTDDDRPPRRIADEDRGNWRHADDDRPPRRGLDEDRGSWRTADEDRGPRRGMDDDRGPRRGGADDERSSWRNADDDRGPRRGLDDDRGPRRGMDDDRGPRRGMDDDRGPRRGMDDDRGPRRGLDDDRGPWRNADDDRIPRRGAEDDRGPWRNMDDDRLSRRADDDRFPRRGDDSRPGPWRPLVKPGGWREKEKAREESWGPPRESRPSEEREWDREKERDRDNQDREENDKDPERERDRERDVDREDRFRRPRDEGGWRRGPAEESSSWRDSSRRDDRDRDDRRRERDDRRDLRERRDLRDDRDRRGPPLRSEREEVSSWRRADDRKDDRVEERDPPRRVPPPALSRDRERDRDREREGEKEKASWRAEKDRESLRRTKNETDEDGWTTVRR
+>DECOY_sp|Q14152|EIF3A_HUMAN Eukaryotic translation initiation factor 3 subunit A OS=Homo sapiens OX=9606 GN=EIF3A PE=1 SV=1
+RRVTTWGDEDTENKTRRLSERDKEARWSAKEKEGERERDRDRERDRSLAPPPVRRPPDREEVRDDKRDDARRWSSVEERESRLPPGRRDRDDRLDRRERLDRRDDRERRRDDRDRDDRRSSDRWSSSEEAPGRRWGGEDRPRRFRDERDVDRERDREREPDKDNEERDQNDRDREKERDWEREESPRSERPPGWSEERAKEKERWGGPKVLPRWPGPRSDDGRRPFRDDDARRSLRDDDMNRWPGRDDEAGRRPIRDDDANRWPGRDDDLGRRPGRDDDMGRRPGRDDDMGRRPGRDDDMGRRPGRDDDLGRRPGRDDDANRWSSREDDAGGRRPGRDDDMGRRPGRDEDATRWSGRDEDLGRRPPRDDDAHRWNGRDEDAIRRPPRDDDTNRWSPRDDDAGRRSFRDEEPGRRPGRDDDMGRRPVRDDDPRLSPERDEDDGLRRPREEDRRHSRDEDRGEGRRWEKEPPGRRWESDAEPGKRWTGESDRDGWRSDKRSLSSDGLRREEERRREREEIELERQRKKREVEELKKVREQYERLEEERKAREAREREEREKLMQEEARRQEEEEKERYYTIRREEKRQRKREELRNHREEALREEFQKLKEEYVSQRAAKLRMVFLDRDELMRSMRNKHELAKERELQMTTIREEEQQEWLDMDKIRQEEYASKILPIEELRKAREFYDIKKEQNKLREQLEKKEKELQEVQKAMIFDPDLEELDEIDIDKFAKAGLETKKIQELRERVTKKKIQEHEQLIREKEREKAEQRLREEEAKRVKQLEAERQELEEKERQINLSELREKREEITQRRALIRQHEKRSNKLYATVALQHQEEKEQLIHAPKIVELAKALVSSMATLQNRIQESPMSQLHPGIPADERTAYNLDSGFSLTRSTHDIRVQLDCHRAADVIARELQFADVFPVLSTLRSFEISQYIQSVQQLLRLITNNQLQPVYQQLEPEKEPQERVWNLVKTVRECLKLPNFEVELWNYLDKVEPVVYQLVNFRVMDNILGIRTPPAQLGLLTALRRQKEVIIGDMDLLRAIDTREPTIPISLTALLVRTSMRQMEDQTLNKRMERSLHYLRHLTSAHFLANGSKWFVTSVKNYYNAMLQPKPPKKSLSFLGHIDEVAKFAEQWLEMSIASDLQVLRTELHMSQSEPNNLNIATSQNHHRQIQSLHMRLNDCLKRFEAKRTYQLCFKFAQQAIDHYLREVRSNNRLLDLCQRYSEWLFKVWPTLLLRDTRDQTDEGSVASLLVSEPTQINDLDEIDLVMQQSEEKAAETKEEAMKLYARVVDELSKINVQQCINKYQYLGEKALHSKRLDVCLELYKLMIPEHIKQWTRHKKSKMVDYLVDLAPQKKGVELFENARKLANEPRQFYAPM
+>sp|P60228|EIF3E_HUMAN Eukaryotic translation initiation factor 3 subunit E OS=Homo sapiens OX=9606 GN=EIF3E PE=1 SV=1
+MAEYDLTTRIAHFLDRHLVFPLLEFLSVKEIYNEKELLQGKLDLLSDTNMVDFAMDVYKNLYSDDIPHALREKRTTVVAQLKQLQAETEPIVKMFEDPETTRQMQSTRDGRMLFDYLADKHGFRQEYLDTLYRYAKFQYECGNYSGAAEYLYFFRVLVPATDRNALSSLWGKLASEILMQNWDAAMEDLTRLKETIDNNSVSSPLQSLQQRTWLIHWSLFVFFNHPKGRDNIIDLFLYQPQYLNAIQTMCPHILRYLTTAVITNKDVRKRRQVLKDLVKVIQQESYTYKDPITEFVECLYVNFDFDGAQKKLRECESVLVNDFFLVACLEDFIENARLFIFETFCRIHQCISINMLADKLNMTPEEAERWIVNLIRNARLDAKIDSKLGHVVMGNNAVSPYQQVIEKTKSLSFRSQMLAMNIEKKLNQNSRSEAPNWATQDSGFY
+>DECOY_sp|P60228|EIF3E_HUMAN Eukaryotic translation initiation factor 3 subunit E OS=Homo sapiens OX=9606 GN=EIF3E PE=1 SV=1
+YFGSDQTAWNPAESRSNQNLKKEINMALMQSRFSLSKTKEIVQQYPSVANNGMVVHGLKSDIKADLRANRILNVIWREAEEPTMNLKDALMNISICQHIRCFTEFIFLRANEIFDELCAVLFFDNVLVSECERLKKQAGDFDFNVYLCEVFETIPDKYTYSEQQIVKVLDKLVQRRKRVDKNTIVATTLYRLIHPCMTQIANLYQPQYLFLDIINDRGKPHNFFVFLSWHILWTRQQLSQLPSSVSNNDITEKLRTLDEMAADWNQMLIESALKGWLSSLANRDTAPVLVRFFYLYEAAGSYNGCEYQFKAYRYLTDLYEQRFGHKDALYDFLMRGDRTSQMQRTTEPDEFMKVIPETEAQLQKLQAVVTTRKERLAHPIDDSYLNKYVDMAFDVMNTDSLLDLKGQLLEKENYIEKVSLFELLPFVLHRDLFHAIRTTLDYEAM
+>sp|Q53HC9|EIPR1_HUMAN EARP-interacting protein OS=Homo sapiens OX=9606 GN=EIPR1 PE=1 SV=2
+MEDDAPVIYGLEFQARALTPQTAETDAIRFLVGTQSLKYDNQIHIIDFDDENNIINKNVLLHQAGEIWHISASPADRGVLTTCYNRTSDSKVLTCAAVWRMPKELESGSHESPDDSSSTAQTLELLCHLDNTAHGNMACVVWEPMGDGKKIISLADNHILLWDLQESSSQAVLASSASLEGKGQLKFTSGRWSPHHNCTQVATANDTTLRGWDTRSMSQIYCIENAHGQLVRDLDFNPNKQYYLASCGDDCKVKFWDTRNVTEPVKTLEEHSHWVWNVRYNHSHDQLVLTGSSDSRVILSNMVSISSEPFGHLVDDDDISDQEDHRSEEKSKEPLQDNVIATYEEHEDSVYAVDWSSADPWLFASLSYDGRLVINRVPRALKYHILL
+>DECOY_sp|Q53HC9|EIPR1_HUMAN EARP-interacting protein OS=Homo sapiens OX=9606 GN=EIPR1 PE=1 SV=2
+LLIHYKLARPVRNIVLRGDYSLSAFLWPDASSWDVAYVSDEHEEYTAIVNDQLPEKSKEESRHDEQDSIDDDDVLHGFPESSISVMNSLIVRSDSSGTLVLQDHSHNYRVNWVWHSHEELTKVPETVNRTDWFKVKCDDGCSALYYQKNPNFDLDRVLQGHANEICYIQSMSRTDWGRLTTDNATAVQTCNHHPSWRGSTFKLQGKGELSASSALVAQSSSEQLDWLLIHNDALSIIKKGDGMPEWVVCAMNGHATNDLHCLLELTQATSSSDDPSEHSGSELEKPMRWVAACTLVKSDSTRNYCTTLVGRDAPSASIHWIEGAQHLLVNKNIINNEDDFDIIHIQNDYKLSQTGVLFRIADTEATQPTLARAQFELGYIVPADDEM
+>sp|Q9HBU6|EKI1_HUMAN Ethanolamine kinase 1 OS=Homo sapiens OX=9606 GN=ETNK1 PE=1 SV=1
+MLCGRPRSSSDNRNFLRERAGLSSAAVQTRIGNSAASRRSPAARPPVPAPPALPRGRPGTEGSTSLSAPAVLVVAVAVVVVVVSAVAWAMANYIHVPPGSPEVPKLNVTVQDQEEHRCREGALSLLQHLRPHWDPQEVTLQLFTDGITNKLIGCYVGNTMEDVVLVRIYGNKTELLVDRDEEVKSFRVLQAHGCAPQLYCTFNNGLCYEFIQGEALDPKHVCNPAIFRLIARQLAKIHAIHAHNGWIPKSNLWLKMGKYFSLIPTGFADEDINKRFLSDIPSSQILQEEMTWMKEILSNLGSPVVLCHNDLLCKNIIYNEKQGDVQFIDYEYSGYNYLAYDIGNHFNEFAGVSDVDYSLYPDRELQSQWLRAYLEAYKEFKGFGTEVTEKEVEILFIQVNQFALASHFFWGLWALIQAKYSTIEFDFLGYAIVRFNQYFKMKPEVTALKVPE
+>DECOY_sp|Q9HBU6|EKI1_HUMAN Ethanolamine kinase 1 OS=Homo sapiens OX=9606 GN=ETNK1 PE=1 SV=1
+EPVKLATVEPKMKFYQNFRVIAYGLFDFEITSYKAQILAWLGWFFHSALAFQNVQIFLIEVEKETVETGFGKFEKYAELYARLWQSQLERDPYLSYDVDSVGAFENFHNGIDYALYNYGSYEYDIFQVDGQKENYIINKCLLDNHCLVVPSGLNSLIEKMWTMEEQLIQSSPIDSLFRKNIDEDAFGTPILSFYKGMKLWLNSKPIWGNHAHIAHIKALQRAILRFIAPNCVHKPDLAEGQIFEYCLGNNFTCYLQPACGHAQLVRFSKVEEDRDVLLETKNGYIRVLVVDEMTNGVYCGILKNTIGDTFLQLTVEQPDWHPRLHQLLSLAGERCRHEEQDQVTVNLKPVEPSGPPVHIYNAMAWAVASVVVVVVAVAVVLVAPASLSTSGETGPRGRPLAPPAPVPPRAAPSRRSAASNGIRTQVAASSLGARERLFNRNDSSSRPRGCLM
+>sp|Q12926|ELAV2_HUMAN ELAV-like protein 2 OS=Homo sapiens OX=9606 GN=ELAVL2 PE=1 SV=2
+METQLSNGPTCNNTANGPTTINNNCSSPVDSGNTEDSKTNLIVNYLPQNMTQEELKSLFGSIGEIESCKLVRDKITGQSLGYGFVNYIDPKDAEKAINTLNGLRLQTKTIKVSYARPSSASIRDANLYVSGLPKTMTQKELEQLFSQYGRIITSRILVDQVTGISRGVGFIRFDKRIEAEEAIKGLNGQKPPGATEPITVKFANNPSQKTNQAILSQLYQSPNRRYPGPLAQQAQRFRLDNLLNMAYGVKRFSPMTIDGMTSLAGINIPGHPGTGWCIFVYNLAPDADESILWQMFGPFGAVTNVKVIRDFNTNKCKGFGFVTMTNYDEAAMAIASLNGYRLGDRVLQVSFKTNKTHKA
+>DECOY_sp|Q12926|ELAV2_HUMAN ELAV-like protein 2 OS=Homo sapiens OX=9606 GN=ELAVL2 PE=1 SV=2
+AKHTKNTKFSVQLVRDGLRYGNLSAIAMAAEDYNTMTVFGFGKCKNTNFDRIVKVNTVAGFPGFMQWLISEDADPALNYVFICWGTGPHGPINIGALSTMGDITMPSFRKVGYAMNLLNDLRFRQAQQALPGPYRRNPSQYLQSLIAQNTKQSPNNAFKVTIPETAGPPKQGNLGKIAEEAEIRKDFRIFGVGRSIGTVQDVLIRSTIIRGYQSFLQELEKQTMTKPLGSVYLNADRISASSPRAYSVKITKTQLRLGNLTNIAKEADKPDIYNVFGYGLSQGTIKDRVLKCSEIEGISGFLSKLEEQTMNQPLYNVILNTKSDETNGSDVPSSCNNNITTPGNATNNCTPGNSLQTEM
+>sp|O00472|ELL2_HUMAN RNA polymerase II elongation factor ELL2 OS=Homo sapiens OX=9606 GN=ELL2 PE=1 SV=2
+MAAGGTGGLREEQRYGLSCGRLGQDNITVLHVKLTETAIRALETYQSHKNLIPFRPSIQFQGLHGLVKIPKNDPLNEVHNFNFYLSNVGKDNPQGSFDCIQQTFSSSGASQLNCLGFIQDKITVCATNDSYQMTRERMTQAEEESRNRSTKVIKPGGPYVGKRVQIRKAPQAVSDTVPERKRSTPMNPANTIRKTHSSSTISQRPYRDRVIHLLALKAYKKPELLARLQKDGVNQKDKNSLGAILQQVANLNSKDLSYTLKDYVFKELQRDWPGYSEIDRRSLESVLSRKLNPSQNAAGTSRSESPVCSSRDAVSSPQKRLLDSEFIDPLMNKKARISHLTNRVPPTLNGHLNPTSEKSAAGLPLPPAAAAIPTPPPLPSTYLPISHPPQIVNSNSNSPSTPEGRGTQDLPVDSFSQNDSIYEDQQDKYTSRTSLETLPPGSVLLKCPKPMEENHSMSHKKSKKKSKKHKEKDQIKKHDIETIEEKEEDLKREEEIAKLNNSSPNSSGGVKEDCTASMEPSAIELPDYLIKYIAIVSYEQRQNYKDDFNAEYDEYRALHARMETVARRFIKLDAQRKRLSPGSKEYQNVHEEVLQEYQKIKQSSPNYHEEKYRCEYLHNKLAHIKRLIGEFDQQQAESWS
+>DECOY_sp|O00472|ELL2_HUMAN RNA polymerase II elongation factor ELL2 OS=Homo sapiens OX=9606 GN=ELL2 PE=1 SV=2
+SWSEAQQQDFEGILRKIHALKNHLYECRYKEEHYNPSSQKIKQYEQLVEEHVNQYEKSGPSLRKRQADLKIFRRAVTEMRAHLARYEDYEANFDDKYNQRQEYSVIAIYKILYDPLEIASPEMSATCDEKVGGSSNPSSNNLKAIEEERKLDEEKEEITEIDHKKIQDKEKHKKSKKKSKKHSMSHNEEMPKPCKLLVSGPPLTELSTRSTYKDQQDEYISDNQSFSDVPLDQTGRGEPTSPSNSNSNVIQPPHSIPLYTSPLPPPTPIAAAAPPLPLGAASKESTPNLHGNLTPPVRNTLHSIRAKKNMLPDIFESDLLRKQPSSVADRSSCVPSESRSTGAANQSPNLKRSLVSELSRRDIESYGPWDRQLEKFVYDKLTYSLDKSNLNAVQQLIAGLSNKDKQNVGDKQLRALLEPKKYAKLALLHIVRDRYPRQSITSSSHTKRITNAPNMPTSRKREPVTDSVAQPAKRIQVRKGVYPGGPKIVKTSRNRSEEEAQTMRERTMQYSDNTACVTIKDQIFGLCNLQSAGSSSFTQQICDFSGQPNDKGVNSLYFNFNHVENLPDNKPIKVLGHLGQFQISPRFPILNKHSQYTELARIATETLKVHLVTINDQGLRGCSLGYRQEERLGGTGGAAM
+>sp|Q96BJ8|ELMO3_HUMAN Engulfment and cell motility protein 3 OS=Homo sapiens OX=9606 GN=ELMO3 PE=1 SV=3
+MAPPRNVVKIAIKMRDAIPQLIQLDQAKPLAAVLKEVCDAWSLTHSERYALQFADGHRRYITENNRAEIKNGSILCLSTAPDLEAEQLLGGLQSNSPEGRREALRRLVPLASDMIFAREVISRNGLQILGTIIEDGDDLGEVLALSLRAFSELMEHGVVSWETLSIPFVRKVVCYVNMNLMDASVPPLALGLLESVTLSSPALGQLVKSEVPLDRLLVHLQVMNQQLQTKAMALLTALLQGASPVERKHMLDYLWQRNLRQFIYKNIIHSAAPMGDEMAHHLYVLQALMLGLLEPRMRTPLDPYSQEQREQLQVLRQAAFEVEGESSGAGLSADRRRSLCAREFRKLGFSNSNPAQDLERVPPGLLALDNMLYFSRNAPSAYSRFVLENSSREDKHECPFARGSIQLTVLLCELLRVGEPCSETAQDFSPMFFGQDQSFHELFCVGIQLLNKTWKEMRATQEDFDKVMQVVREQLARTLALKPTSLELFRTKVNALTYGEVLRLRQTERLHQEGTLAPPILELREKLKPELMGLIRQQRLLRLCEGTLFRKISSRRRQDKLWFCCLSPNHKLLQYGDMEEGASPPTLESLPEQLPVADMRALLTGKDCPHVREKGSGKQNKDLYELAFSISYDRGEEEAYLNFIAPSKREFYLWTDGLSALLGSPMGSEQTRLDLEQLLTMETKLRLLELENVPIPERPPPVPPPPTNFNFCYDCSIAEP
+>DECOY_sp|Q96BJ8|ELMO3_HUMAN Engulfment and cell motility protein 3 OS=Homo sapiens OX=9606 GN=ELMO3 PE=1 SV=3
+PEAISCDYCFNFNTPPPPVPPPREPIPVNELELLRLKTEMTLLQELDLRTQESGMPSGLLASLGDTWLYFERKSPAIFNLYAEEEGRDYSISFALEYLDKNQKGSGKERVHPCDKGTLLARMDAVPLQEPLSELTPPSAGEEMDGYQLLKHNPSLCCFWLKDQRRRSSIKRFLTGECLRLLRQQRILGMLEPKLKERLELIPPALTGEQHLRETQRLRLVEGYTLANVKTRFLELSTPKLALTRALQERVVQMVKDFDEQTARMEKWTKNLLQIGVCFLEHFSQDQGFFMPSFDQATESCPEGVRLLECLLVTLQISGRAFPCEHKDERSSNELVFRSYASPANRSFYLMNDLALLGPPVRELDQAPNSNSFGLKRFERACLSRRRDASLGAGSSEGEVEFAAQRLVQLQERQEQSYPDLPTRMRPELLGLMLAQLVYLHHAMEDGMPAASHIINKYIFQRLNRQWLYDLMHKREVPSAGQLLATLLAMAKTQLQQNMVQLHVLLRDLPVESKVLQGLAPSSLTVSELLGLALPPVSADMLNMNVYCVVKRVFPISLTEWSVVGHEMLESFARLSLALVEGLDDGDEIITGLIQLGNRSIVERAFIMDSALPVLRRLAERRGEPSNSQLGGLLQEAELDPATSLCLISGNKIEARNNETIYRRHGDAFQLAYRESHTLSWADCVEKLVAALPKAQDLQILQPIADRMKIAIKVVNRPPAM
+>sp|Q8IYF1|ELOA2_HUMAN Elongin-A2 OS=Homo sapiens OX=9606 GN=ELOA2 PE=1 SV=2
+MAAGSTTLHAVEKLQVRLATKTEPKKLEKYLQKLSALPMTADILAETGIRKTVKRLRKHQHVGDFARDLAARWKKLVLVDRNTRPGPQDPEESASRQRFGEALQDQEKAWGFPENATAPRSPSHSPEHRRTARRTPPGQQRPHPRSHSREPRAERKCPRIAPADSGRYRASPTRTAPLRMPEGPEPAAPGKQPGRGHTHAAQGGPLLCPGCQGQPQGKAVVSHSKGHKSSRQEKRPLCAQGDWHSPTLIREKSCGACLREETPRMPSWASARDRQPSDFKTDKEGGQAGSGQRVPALEEAPDSHQKRPQHSHSNKKRPSLDGRDPGNGTHGLSPEEKEQLSNDRETQEGKPPTAHLDRTSVSSLSEVEEVDMAEEFEQPTLSCEKYLTYDQLRKQKKKTGKSATTALGDKQRKANESKGTRESWDSAKKLPPVQESQSERLQAAGADSAGPKTVPSHVFSELWDLSEAWMQANYDPLSDSDSMTSQAKPEALSSPKFREEAAFPGRRVNAKMPVYSGSRPACQLQVPTLRQQCAQVLRNNPDALSDVGEVPYWVLEPVLEGWRPDQLYRRKKDNHALVRETDELRRNHCFQDFKEEKPQENKTWREQYLRLPDAPEQRLRVMTTNIRSARGNNPNGREAKMICFKSVAKTPYDTSRRQEKSAGDADPENGEIKPASKPAGSSHTPSSQSSSGGGRDSSSSILRWLPEKRANPCLSSSNEHAAPAAKTRKQAAKKVAPLMAKAIRDYKRRFSRR
+>DECOY_sp|Q8IYF1|ELOA2_HUMAN Elongin-A2 OS=Homo sapiens OX=9606 GN=ELOA2 PE=1 SV=2
+RRSFRRKYDRIAKAMLPAVKKAAQKRTKAAPAAHENSSSLCPNARKEPLWRLISSSSDRGGGSSSQSSPTHSSGAPKSAPKIEGNEPDADGASKEQRRSTDYPTKAVSKFCIMKAERGNPNNGRASRINTTMVRLRQEPADPLRLYQERWTKNEQPKEEKFDQFCHNRRLEDTERVLAHNDKKRRYLQDPRWGELVPELVWYPVEGVDSLADPNNRLVQACQQRLTPVQLQCAPRSGSYVPMKANVRRGPFAAEERFKPSSLAEPKAQSTMSDSDSLPDYNAQMWAESLDWLESFVHSPVTKPGASDAGAAQLRESQSEQVPPLKKASDWSERTGKSENAKRQKDGLATTASKGTKKKQKRLQDYTLYKECSLTPQEFEEAMDVEEVESLSSVSTRDLHATPPKGEQTERDNSLQEKEEPSLGHTGNGPDRGDLSPRKKNSHSHQPRKQHSDPAEELAPVRQGSGAQGGEKDTKFDSPQRDRASAWSPMRPTEERLCAGCSKERILTPSHWDGQACLPRKEQRSSKHGKSHSVVAKGQPQGQCGPCLLPGGQAAHTHGRGPQKGPAAPEPGEPMRLPATRTPSARYRGSDAPAIRPCKREARPERSHSRPHPRQQGPPTRRATRRHEPSHSPSRPATANEPFGWAKEQDQLAEGFRQRSASEEPDQPGPRTNRDVLVLKKWRAALDRAFDGVHQHKRLRKVTKRIGTEALIDATMPLASLKQLYKELKKPETKTALRVQLKEVAHLTTSGAAM
+>sp|Q9BXX0|EMIL2_HUMAN EMILIN-2 OS=Homo sapiens OX=9606 GN=EMILIN2 PE=1 SV=3
+MWQPRRPWPRVPWRWALALLALVGAGLCHAGPQPGYPARPSARNKNWCAYIVNKNVSCSVLEGSESFIQAQYNCAWNQMPCPSALVYRVNFRPRYVTRYKTVTQLEWRCCPGFRGGDCQEGPKDPVKTLRPTPARPRNSLKKATDNEPSQFSEPRKTLSPTGTAQPSWGVDPKEGPQELQEKKIQVLEEKVLRLTRTVLDLQSSLAGVSENLKHATQDDASRTRAPGLSSQHPKPDTTVSGDTETGQSPGVFNTKESGMKDIKSELAEVKDTLKNKSDKLEELDGKVKGYEGQLRQLQEAAQGPTVTMTTNELYQAYVDSKIDALREELMEGMDRKLADLKNSCEYKLTGLQQQCDDYGSSYLGVIELIGEKETSLRKEINNLRARLQEPSAQANCCDSEKNGDIGQQIKTLDQKIERVAEATRMLNGRLDNEFDRLIVPEPDVDFDAKWNELDARINVTEKNAEEHCFYIEETLRGAINGEVGDLKQLVDQKIQSLEDRLGSVLLQMTNNTGAELSPPGAAALPGVSGSGDERVMMELNHLKDKVQVVEDICLLNIQGKPHGMEGALPNREDRAVRDSLHLLKSLNDTMHRKFQETEQTIQKLQQDFSFLYSQLNHTENDVTHLQKEMSNCRAGENAGMGRFTKVGEQERTVDTLPSPQHPVAHCCSQLEERWQRLQSQVISELDACKECTQGVQREVSMVEGRVSHMEKTCSKLDSISGNLQRIKEGLNKHVSSLWNCVRQMNGTLRSHSRDISGLKNSVQQFYSHVFQISTDLQDLVKFQPSAKAPSPPPPAEAPKEPLQPEPAPPRPSGPATAEDPGRRPVLPQRPPEERPPQPPGSTGVIAETGQAGPPAGAGVSGRGLPRGVDGQTGSGTVPGAEGFAGAPGYPKSPPVASPGAPVPSLVSFSAGLTQKPFPSDGGVVLFNKVLVNDGDVYNPSTGVFTAPYDGRYLITATLTPERDAYVEAVLSVSNASVAQLHTAGYRREFLEYHRPPGALHTCGGPGAFHLIVHLKAGDAVNVVVTGGKLAHTDFDEMYSTFSGVFLYPFLSHL
+>DECOY_sp|Q9BXX0|EMIL2_HUMAN EMILIN-2 OS=Homo sapiens OX=9606 GN=EMILIN2 PE=1 SV=3
+LHSLFPYLFVGSFTSYMEDFDTHALKGGTVVVNVADGAKLHVILHFAGPGGCTHLAGPPRHYELFERRYGATHLQAVSANSVSLVAEVYADREPTLTATILYRGDYPATFVGTSPNYVDGDNVLVKNFLVVGGDSPFPKQTLGASFSVLSPVPAGPSAVPPSKPYGPAGAFGEAGPVTGSGTQGDVGRPLGRGSVGAGAPPGAQGTEAIVGTSGPPQPPREEPPRQPLVPRRGPDEATAPGSPRPPAPEPQLPEKPAEAPPPPSPAKASPQFKVLDQLDTSIQFVHSYFQQVSNKLGSIDRSHSRLTGNMQRVCNWLSSVHKNLGEKIRQLNGSISDLKSCTKEMHSVRGEVMSVERQVGQTCEKCADLESIVQSQLRQWREELQSCCHAVPHQPSPLTDVTREQEGVKTFRGMGANEGARCNSMEKQLHTVDNETHNLQSYLFSFDQQLKQITQETEQFKRHMTDNLSKLLHLSDRVARDERNPLAGEMGHPKGQINLLCIDEVVQVKDKLHNLEMMVREDGSGSVGPLAAAGPPSLEAGTNNTMQLLVSGLRDELSQIKQDVLQKLDGVEGNIAGRLTEEIYFCHEEANKETVNIRADLENWKADFDVDPEPVILRDFENDLRGNLMRTAEAVREIKQDLTKIQQGIDGNKESDCCNAQASPEQLRARLNNIEKRLSTEKEGILEIVGLYSSGYDDCQQQLGTLKYECSNKLDALKRDMGEMLEERLADIKSDVYAQYLENTTMTVTPGQAAEQLQRLQGEYGKVKGDLEELKDSKNKLTDKVEALESKIDKMGSEKTNFVGPSQGTETDGSVTTDPKPHQSSLGPARTRSADDQTAHKLNESVGALSSQLDLVTRTLRLVKEELVQIKKEQLEQPGEKPDVGWSPQATGTPSLTKRPESFQSPENDTAKKLSNRPRAPTPRLTKVPDKPGEQCDGGRFGPCCRWELQTVTKYRTVYRPRFNVRYVLASPCPMQNWACNYQAQIFSESGELVSCSVNKNVIYACWNKNRASPRAPYGPQPGAHCLGAGVLALLALAWRWPVRPWPRRPQWM
+>sp|Q6UWR7|ENPP6_HUMAN Ectonucleotide pyrophosphatase/phosphodiesterase family member 6 OS=Homo sapiens OX=9606 GN=ENPP6 PE=1 SV=2
+MAVKLGTLLLALALGLAQPASARRKLLVFLLDGFRSDYISDEALESLPGFKEIVSRGVKVDYLTPDFPSLSYPNYYTLMTGRHCEVHQMIGNYMWDPTTNKSFDIGVNKDSLMPLWWNGSEPLWVTLTKAKRKVYMYYWPGCEVEILGVRPTYCLEYKNVPTDINFANAVSDALDSFKSGRADLAAIYHERIDVEGHHYGPASPQRKDALKAVDTVLKYMTKWIQERGLQDRLNVIIFSDHGMTDIFWMDKVIELNKYISLNDLQQVKDRGPVVSLWPAPGKHSEIYNKLSTVEHMTVYEKEAIPSRFYYKKGKFVSPLTLVADEGWFITENREMLPFWMNSTGRREGWQRGWHGYDNELMDMRGIFLAFGPDFKSNFRAAPIRSVDVYNVMCNVVGITPLPNNGSWSRVMCMLKGRASTAPPVWPSHCALALILLFLLA
+>DECOY_sp|Q6UWR7|ENPP6_HUMAN Ectonucleotide pyrophosphatase/phosphodiesterase family member 6 OS=Homo sapiens OX=9606 GN=ENPP6 PE=1 SV=2
+ALLFLLILALACHSPWVPPATSARGKLMCMVRSWSGNNPLPTIGVVNCMVNYVDVSRIPAARFNSKFDPGFALFIGRMDMLENDYGHWGRQWGERRGTSNMWFPLMERNETIFWGEDAVLTLPSVFKGKKYYFRSPIAEKEYVTMHEVTSLKNYIESHKGPAPWLSVVPGRDKVQQLDNLSIYKNLEIVKDMWFIDTMGHDSFIIVNLRDQLGREQIWKTMYKLVTDVAKLADKRQPSAPGYHHGEVDIREHYIAALDARGSKFSDLADSVANAFNIDTPVNKYELCYTPRVGLIEVECGPWYYMYVKRKAKTLTVWLPESGNWWLPMLSDKNVGIDFSKNTTPDWMYNGIMQHVECHRGTMLTYYNPYSLSPFDPTLYDVKVGRSVIEKFGPLSELAEDSIYDSRFGDLLFVLLKRRASAPQALGLALALLLTGLKVAM
+>sp|Q14264|ENR1_HUMAN Endogenous retrovirus group 3 member 1 Env polyprotein OS=Homo sapiens OX=9606 GN=ERV3-1 PE=2 SV=2
+MLGMNMLLITLFLLLPLSMLKGEPWEGCLHCTHTTWSGNIMTKTLLYHTYYECAGTCLGTCTHNQTTYSVCDPGRGQPYVCYDPKSSPGTWFEIHVGSKEGDLLNQTKVFPSGKDVVSLYFDVCQIVSMGSLFPVIFSSMEYYSSCHKNRYAHPACSTDSPVTTCWDCTTWSTNQQSLGPIMLTKIPLEPDCKTSTCNSVNLTILEPDQPIWTTGLKAPLGARVSGEEIGPGAYVYLYIIKKTRTRSTQQFRVFESFYEHVNQKLPEPPPLASNLFAQLAENIASSLHVASCYVCGGMNMGDQWPWEARELMPQDNFTLTASSLEPAPSSQSIWFLKTSIIGKFCIARWGKAFTDPVGELTCLGQQYYNETLGKTLWRGKSNNSESPHPSPFSRFPSLNHSWYQLEAPNTWQAPSGLYWICGPQAYRQLPAKWSGACVLGTIRPSFFLMPLKQGEALGYPIYDETKRKSKRGITIGDWKDNEWPPERIIQYYGPATWAEDGMWGYRTPVYMLNRIIRLQAVLEIITNETAGALNLLAQQATKMRNVIYQNRLALDYLLAQEEGVCGKFNLTNCCLELDDEGKVIKEITAKIQKLAHIPVQTWKG
+>DECOY_sp|Q14264|ENR1_HUMAN Endogenous retrovirus group 3 member 1 Env polyprotein OS=Homo sapiens OX=9606 GN=ERV3-1 PE=2 SV=2
+GKWTQVPIHALKQIKATIEKIVKGEDDLELCCNTLNFKGCVGEEQALLYDLALRNQYIVNRMKTAQQALLNLAGATENTIIELVAQLRIIRNLMYVPTRYGWMGDEAWTAPGYYQIIREPPWENDKWDGITIGRKSKRKTEDYIPYGLAEGQKLPMLFFSPRITGLVCAGSWKAPLQRYAQPGCIWYLGSPAQWTNPAELQYWSHNLSPFRSFPSPHPSESNNSKGRWLTKGLTENYYQQGLCTLEGVPDTFAKGWRAICFKGIISTKLFWISQSSPAPELSSATLTFNDQPMLERAEWPWQDGMNMGGCVYCSAVHLSSAINEALQAFLNSALPPPEPLKQNVHEYFSEFVRFQQTSRTRTKKIIYLYVYAGPGIEEGSVRAGLPAKLGTTWIPQDPELITLNVSNCTSTKCDPELPIKTLMIPGLSQQNTSWTTCDWCTTVPSDTSCAPHAYRNKHCSSYYEMSSFIVPFLSGMSVIQCVDFYLSVVDKGSPFVKTQNLLDGEKSGVHIEFWTGPSSKPDYCVYPQGRGPDCVSYTTQNHTCTGLCTGACEYYTHYLLTKTMINGSWTTHTCHLCGEWPEGKLMSLPLLLFLTILLMNMGLM
+>sp|O43768|ENSA_HUMAN Alpha-endosulfine OS=Homo sapiens OX=9606 GN=ENSA PE=1 SV=1
+MSQKQEEENPAEETGEEKQDTQEKEGILPERAEEAKLKAKYPSLGQKPGGSDFLMKRLQKGQKYFDSGDYNMAKAKMKNKQLPSAGPDKNLVTGDHIPTPQDLPQRKSSLVTSKLAGGQVE
+>DECOY_sp|O43768|ENSA_HUMAN Alpha-endosulfine OS=Homo sapiens OX=9606 GN=ENSA PE=1 SV=1
+EVQGGALKSTVLSSKRQPLDQPTPIHDGTVLNKDPGASPLQKNKMKAKAMNYDGSDFYKQGKQLRKMLFDSGGPKQGLSPYKAKLKAEEAREPLIGEKEQTDQKEEGTEEAPNEEEQKQSM
+>sp|Q9Y227|ENTP4_HUMAN Ectonucleoside triphosphate diphosphohydrolase 4 OS=Homo sapiens OX=9606 GN=ENTPD4 PE=1 SV=1
+MGRIGISCLFPASWHFSISPVGCPRILNTNLRQIMVISVLAAAVSLLYFSVVIIRNKYGRLTRDKKFQRYLARVTDIEATDTNNPNVNYGIVVDCGSSGSRVFVYCWPRHNGNPHDLLDIRQMRDKNRKPVVMKIKPGISEFATSPEKVSDYISPLLNFAAEHVPRAKHKETPLYILCTAGMRILPESQQKAILEDLLTDIPVHFDFLFSDSHAEVISGKQEGVYAWIGINFVLGRFEHIEDDDEAVVEVNIPGSESSEAIVRKRTAGILDMGGVSTQIAYEVPKTVSFASSQQEEVAKNLLAEFNLGCDVHQTEHVYRVYVATFLGFGGNAARQRYEDRIFANTIQKNRLLGKQTGLTPDMPYLDPCLPLDIKDEIQQNGQTIYLRGTGDFDLCRETIQPFMNKTNETQTSLNGVYQPPIHFQNSEFYGFSEFYYCTEDVLRMGGDYNAAKFTKAAKDYCATKWSILRERFDRGLYASHADLHRLKYQCFKSAWMFEVFHRGFSFPVNYKSLKTALQVYDKEVQWTLGAILYRTRFLPLRDIQQEAFRASHTHWRGVSFVYNHYLFSGCFLVVLLAILLYLLRLRRIHRRTPRSSSAAALWMEEGLPAQNAPGTL
+>DECOY_sp|Q9Y227|ENTP4_HUMAN Ectonucleoside triphosphate diphosphohydrolase 4 OS=Homo sapiens OX=9606 GN=ENTPD4 PE=1 SV=1
+LTGPANQAPLGEEMWLAAASSSRPTRRHIRRLRLLYLLIALLVVLFCGSFLYHNYVFSVGRWHTHSARFAEQQIDRLPLFRTRYLIAGLTWQVEKDYVQLATKLSKYNVPFSFGRHFVEFMWASKFCQYKLRHLDAHSAYLGRDFRERLISWKTACYDKAAKTFKAANYDGGMRLVDETCYYFESFGYFESNQFHIPPQYVGNLSTQTENTKNMFPQITERCLDFDGTGRLYITQGNQQIEDKIDLPLCPDLYPMDPTLGTQKGLLRNKQITNAFIRDEYRQRAANGGFGLFTAVYVRYVHETQHVDCGLNFEALLNKAVEEQQSSAFSVTKPVEYAIQTSVGGMDLIGATRKRVIAESSESGPINVEVVAEDDDEIHEFRGLVFNIGIWAYVGEQKGSIVEAHSDSFLFDFHVPIDTLLDELIAKQQSEPLIRMGATCLIYLPTEKHKARPVHEAAFNLLPSIYDSVKEPSTAFESIGPKIKMVVPKRNKDRMQRIDLLDHPNGNHRPWCYVFVRSGSSGCDVVIGYNVNPNNTDTAEIDTVRALYRQFKKDRTLRGYKNRIIVVSFYLLSVAAALVSIVMIQRLNTNLIRPCGVPSISFHWSAPFLCSIGIRGM
+>sp|Q9NPA8|ENY2_HUMAN Transcription and mRNA export factor ENY2 OS=Homo sapiens OX=9606 GN=ENY2 PE=1 SV=1
+MVVSKMNKDAQMRAAINQKLIETGERERLKELLRAKLIECGWKDQLKAHCKEVIKEKGLEHVTVDDLVAEITPKGRALVPDSVKKELLQRIRTFLAQHASL
+>DECOY_sp|Q9NPA8|ENY2_HUMAN Transcription and mRNA export factor ENY2 OS=Homo sapiens OX=9606 GN=ENY2 PE=1 SV=1
+LSAHQALFTRIRQLLEKKVSDPVLARGKPTIEAVLDDVTVHELGKEKIVEKCHAKLQDKWGCEILKARLLEKLREREGTEILKQNIAARMQADKNMKSVVM
+>sp|A6NDY0|EPAB2_HUMAN Embryonic polyadenylate-binding protein 2 OS=Homo sapiens OX=9606 GN=PABPN1L PE=2 SV=1
+MWPFPSRSLFPPPTQAWLQTVSSDPEAQGWGAWNETKEILGPEGGEGKEEKEEEEDAEEDQDGDAGFLLSLLEQENLAECPLPDQELEAIKMKVCAMEQAEGTPRPPGVQQQAEEEEGTAAGQLLSPETVGCPLSGTPEEKVEADHRSVYVGNVDYGGSAEELEAHFSRCGEVHRVTILCDKFSGHPKGYAYIEFATKGSVQAAVELDQSLFRGRVIKVLPKRTNFPGISSTDRGGLRGHPGSRGAPFPHSGLQGRPRLRPQGQNRARGKFSPWFSPY
+>DECOY_sp|A6NDY0|EPAB2_HUMAN Embryonic polyadenylate-binding protein 2 OS=Homo sapiens OX=9606 GN=PABPN1L PE=2 SV=1
+YPSFWPSFKGRARNQGQPRLRPRGQLGSHPFPAGRSGPHGRLGGRDTSSIGPFNTRKPLVKIVRGRFLSQDLEVAAQVSGKTAFEIYAYGKPHGSFKDCLITVRHVEGCRSFHAELEEASGGYDVNGVYVSRHDAEVKEEPTGSLPCGVTEPSLLQGAATGEEEEAQQQVGPPRPTGEAQEMACVKMKIAELEQDPLPCEALNEQELLSLLFGADGDQDEEADEEEEKEEKGEGGEPGLIEKTENWAGWGQAEPDSSVTQLWAQTPPPFLSRSPFPWM
+>sp|P16452|EPB42_HUMAN Erythrocyte membrane protein band 4.2 OS=Homo sapiens OX=9606 GN=EPB42 PE=1 SV=3
+MGQALGIKSCDFQAARNNEEHHTKALSSRRLFVRRGQPFTIILYFRAPVRAFLPALKKVALTAQTGEQPSKINRTQATFPISSLGDRKWWSAVVEERDAQSWTISVTTPADAVIGHYSLLLQVSGRKQLLLGQFTLLFNPWNREDAVFLKNEAQRMEYLLNQNGLIYLGTADCIQAESWDFGQFEGDVIDLSLRLLSKDKQVEKWSQPVHVARVLGALLHFLKEQRVLPTPQTQATQEGALLNKRRGSVPILRQWLTGRGRPVYDGQAWVLAAVACTVLRCLGIPARVVTTFASAQGTGGRLLIDEYYNEEGLQNGEGQRGRIWIFQTSTECWMTRPALPQGYDGWQILHPSAPNGGGVLGSCDLVPVRAVKEGTLGLTPAVSDLFAAINASCVVWKCCEDGTLELTDSNTKYVGNNISTKGVGSDRCEDITQNYKYPEGSLQEKEVLERVEKEKMEREKDNGIRPPSLETASPLYLLLKAPSSLPLRGDAQISVTLVNHSEQEKAVQLAIGVQAVHYNGVLAAKLWRKKLHLTLSANLEKIITIGLFFSNFERNPPENTFLRLTAMATHSESNLSCFAQEDIAICRPHLAIKMPEKAEQYQPLTASVSLQNSLDAPMEDCVISILGRGLIHRERSYRFRSVWPENTMCAKFQFTPTHVGLQRLTVEVDCNMFQNLTNYKSVTVVAPELSA
+>DECOY_sp|P16452|EPB42_HUMAN Erythrocyte membrane protein band 4.2 OS=Homo sapiens OX=9606 GN=EPB42 PE=1 SV=3
+ASLEPAVVTVSKYNTLNQFMNCDVEVTLRQLGVHTPTFQFKACMTNEPWVSRFRYSRERHILGRGLISIVCDEMPADLSNQLSVSATLPQYQEAKEPMKIALHPRCIAIDEQAFCSLNSESHTAMATLRLFTNEPPNREFNSFFLGITIIKELNASLTLHLKKRWLKAALVGNYHVAQVGIALQVAKEQESHNVLTVSIQADGRLPLSSPAKLLLYLPSATELSPPRIGNDKEREMKEKEVRELVEKEQLSGEPYKYNQTIDECRDSGVGKTSINNGVYKTNSDTLELTGDECCKWVVCSANIAAFLDSVAPTLGLTGEKVARVPVLDCSGLVGGGNPASPHLIQWGDYGQPLAPRTMWCETSTQFIWIRGRQGEGNQLGEENYYEDILLRGGTGQASAFTTVVRAPIGLCRLVTCAVAALVWAQGDYVPRGRGTLWQRLIPVSGRRKNLLAGEQTAQTQPTPLVRQEKLFHLLAGLVRAVHVPQSWKEVQKDKSLLRLSLDIVDGEFQGFDWSEAQICDATGLYILGNQNLLYEMRQAENKLFVADERNWPNFLLTFQGLLLQKRGSVQLLLSYHGIVADAPTTVSITWSQADREEVVASWWKRDGLSSIPFTAQTRNIKSPQEGTQATLAVKKLAPLFARVPARFYLIITFPQGRRVFLRRSSLAKTHHEENNRAAQFDCSKIGLAQGM
+>sp|Q9UNN8|EPCR_HUMAN Endothelial protein C receptor OS=Homo sapiens OX=9606 GN=PROCR PE=1 SV=1
+MLTTLLPILLLSGWAFCSQDASDGLQRLHMLQISYFRDPYHVWYQGNASLGGHLTHVLEGPDTNTTIIQLQPLQEPESWARTQSGLQSYLLQFHGLVRLVHQERTLAFPLTIRCFLGCELPPEGSRAHVFFEVAVNGSSFVSFRPERALWQADTQVTSGVVTFTLQQLNAYNRTRYELREFLEDTCVQYVQKHISAENTKGSQTSRSYTSLVLGVLVGSFIIAGVAVGIFLCTGGRRC
+>DECOY_sp|Q9UNN8|EPCR_HUMAN Endothelial protein C receptor OS=Homo sapiens OX=9606 GN=PROCR PE=1 SV=1
+CRRGGTCLFIGVAVGAIIFSGVLVGLVLSTYSRSTQSGKTNEASIHKQVYQVCTDELFERLEYRTRNYANLQQLTFTVVGSTVQTDAQWLAREPRFSVFSSGNVAVEFFVHARSGEPPLECGLFCRITLPFALTREQHVLRVLGHFQLLYSQLGSQTRAWSEPEQLPQLQIITTNTDPGELVHTLHGGLSANGQYWVHYPDRFYSIQLMHLRQLGDSADQSCFAWGSLLLIPLLTTLM
+>sp|P29322|EPHA8_HUMAN Ephrin type-A receptor 8 OS=Homo sapiens OX=9606 GN=EPHA8 PE=1 SV=2
+MAPARGRLPPALWVVTAAAAAATCVSAARGEVNLLDTSTIHGDWGWLTYPAHGWDSINEVDESFQPIHTYQVCNVMSPNQNNWLRTSWVPRDGARRVYAEIKFTLRDCNSMPGVLGTCKETFNLYYLESDRDLGASTQESQFLKIDTIAADESFTGADLGVRRLKLNTEVRSVGPLSKRGFYLAFQDIGACLAILSLRIYYKKCPAMVRNLAAFSEAVTGADSSSLVEVRGQCVRHSEERDTPKMYCSAEGEWLVPIGKCVCSAGYEERRDACVACELGFYKSAPGDQLCARCPPHSHSAAPAAQACHCDLSYYRAALDPPSSACTRPPSAPVNLISSVNGTSVTLEWAPPLDPGGRSDITYNAVCRRCPWALSRCEACGSGTRFVPQQTSLVQASLLVANLLAHMNYSFWIEAVNGVSDLSPEPRRAAVVNITTNQAAPSQVVVIRQERAGQTSVSLLWQEPEQPNGIILEYEIKYYEKDKEMQSYSTLKAVTTRATVSGLKPGTRYVFQVRARTSAGCGRFSQAMEVETGKPRPRYDTRTIVWICLTLITGLVVLLLLLICKKRHCGYSKAFQDSDEEKMHYQNGQAPPPVFLPLHHPPGKLPEPQFYAEPHTYEEPGRAGRSFTREIEASRIHIEKIIGSGDSGEVCYGRLRVPGQRDVPVAIKALKAGYTERQRRDFLSEASIMGQFDHPNIIRLEGVVTRGRLAMIVTEYMENGSLDTFLRTHDGQFTIMQLVGMLRGVGAGMRYLSDLGYVHRDLAARNVLVDSNLVCKVSDFGLSRVLEDDPDAAYTTTGGKIPIRWTAPEAIAFRTFSSASDVWSFGVVMWEVLAYGERPYWNMTNRDVISSVEEGYRLPAPMGCPHALHQLMLDCWHKDRAQRPRFSQIVSVLDALIRSPESLRATATVSRCPPPAFVRSCFDLRGGSGGGGGLTVGDWLDSIRMGRYRDHFAAGGYSSLGMVLRMNAQDVRALGITLMGHQKKILGSIQTMRAQLTSTQGPRRHL
+>DECOY_sp|P29322|EPHA8_HUMAN Ephrin type-A receptor 8 OS=Homo sapiens OX=9606 GN=EPHA8 PE=1 SV=2
+LHRRPGQTSTLQARMTQISGLIKKQHGMLTIGLARVDQANMRLVMGLSSYGGAAFHDRYRGMRISDLWDGVTLGGGGGSGGRLDFCSRVFAPPPCRSVTATARLSEPSRILADLVSVIQSFRPRQARDKHWCDLMLQHLAHPCGMPAPLRYGEEVSSIVDRNTMNWYPREGYALVEWMVVGFSWVDSASSFTRFAIAEPATWRIPIKGGTTTYAADPDDELVRSLGFDSVKCVLNSDVLVNRAALDRHVYGLDSLYRMGAGVGRLMGVLQMITFQGDHTRLFTDLSGNEMYETVIMALRGRTVVGELRIINPHDFQGMISAESLFDRRQRETYGAKLAKIAVPVDRQGPVRLRGYCVEGSDGSGIIKEIHIRSAEIERTFSRGARGPEEYTHPEAYFQPEPLKGPPHHLPLFVPPPAQGNQYHMKEEDSDQFAKSYGCHRKKCILLLLLVVLGTILTLCIWVITRTDYRPRPKGTEVEMAQSFRGCGASTRARVQFVYRTGPKLGSVTARTTVAKLTSYSQMEKDKEYYKIEYELIIGNPQEPEQWLLSVSTQGAREQRIVVVQSPAAQNTTINVVAARRPEPSLDSVGNVAEIWFSYNMHALLNAVLLSAQVLSTQQPVFRTGSGCAECRSLAWPCRRCVANYTIDSRGGPDLPPAWELTVSTGNVSSILNVPASPPRTCASSPPDLAARYYSLDCHCAQAAPAASHSHPPCRACLQDGPASKYFGLECAVCADRREEYGASCVCKGIPVLWEGEASCYMKPTDREESHRVCQGRVEVLSSSDAGTVAESFAALNRVMAPCKKYYIRLSLIALCAGIDQFALYFGRKSLPGVSRVETNLKLRRVGLDAGTFSEDAAITDIKLFQSEQTSAGLDRDSELYYLNFTEKCTGLVGPMSNCDRLTFKIEAYVRRAGDRPVWSTRLWNNQNPSMVNCVQYTHIPQFSEDVENISDWGHAPYTLWGWDGHITSTDLLNVEGRAASVCTAAAAAATVVWLAPPLRGRAPAM
+>sp|P58107|EPIPL_HUMAN Epiplakin OS=Homo sapiens OX=9606 GN=EPPK1 PE=1 SV=3
+MSGHTLPPLPVPGTNSTEQASVPRAMAATLGAGTPPRPQARSIAGVYVEASGQAQSVYAAMEQGLLPAGLGQALLEAQAATGGLVDLARGQLLPVSKALQQGLVGLELKEKLLAAERATTGYPDPYGGEKLALFQAIGKEVVDRALGQSWLEVQLATGGLVDPAQGVLVAPEPACHQGLLDRETWHKLSELEPGTGDLRFLDPNTLERLTYHQLLERCVRAPGSGLALLPLKITFRSMGGAVSAAELLEVGILDEQAVQGLREGRLAAVDVSARAEVRRYLEGTGSVAGVVLLPEGHKKSFFQAATEHLLPMGTALPLLEAQAATHTLVDPITGQRLWVDEAVRAGLVSPELHEQLLVAEQAVTGHHDPFSGSQIPLFQAMKKGLVDRPLALRLLDAQLATGGLVCPARRLRLPLEAALRCGCLDEDTQRQLSQAGSFSDGTHGGLRYEQLLALCVTDPETGLAFLPLSGGPRGGEPQGPPFIKYSTRQALSTATATVSVGKFRGRPVSLWELLFSEAISSEQRAMLAQQYQEGTLSVEKLAAKLSATLEQAAATARVTFSGLRDTVTPGELLKAEIIDQDLYERLEHGQATAKDVGSLASVQRYLQGTGCIAGLLLPGSQERLSIYEARCKGLLRPGTALILLEAQAATGFIIDPKANKGHSVEEALRAAVIGPDVFAKLLSAERAVTGYTDPYTGQQISLFQAMQKGLIVREHGIRLLEAQIATGGVIDPVHSHRVPVDVAYRRGYFDQMLNLILLDPSDDTKGFFDPNTHENLTYLQLLERCVRDPETGLYLLPLSSTQSPLVDSATQQAFQNLLLSVKYGRFQGQRVSAWELINSEYFSEGRRRQLLRRYRQREVTLGQVAKLLEAETQRQADIMLPALRSRVTVHQLLEAGIIDQQLLDQVLAGTISPEALLLMDGVRRYLCGLGAVGGVRLLPSGQRLSLYQAMRQKLLGPRVALALLEAQAATGTIMDPHSPESLSVDEAVRRGVVGPELYGRLKRAEGAIAGFRDPFSGKQVSVFQAMKKGLIPWEQAARLLEAQVATGGIIDPTSHHHLPMPVAIQRGYVDQEMETALSSSSETFPTPDGQGRTSYAQLLEECPRDETSGLHLLPLPESAPALPTEEQVQRSLQAVPGAKDGTSLWDLLSSCHFTEEQRRGLLEDVQEGRTTVPQLLASVQRWVQETKLLAQARVMVPGPRGEVPAVWLLDAGIITQETLEALAQGTQSPAQVAEQPAVKACLWGTGCVAGVLLQPSGAKASIAQAVRDGLLPTGLGQRLLEAQVASGFLVDPLNNQRLSVEDAVKVGLVGRELSEQLGQAERAAAGYPDPYSRASLSLWQAMEKGLVPQNEGLPLLQVQLATGGVVDPVHGVHLPQAAACRLGLLDTQTSQVLTAVDKDNKFFFDPSARDQVTYQQLRERCVCDSETGLLLLPLPSDTVLEVDDHTAVALRAMKVPVSTGRFKGCSVSLWDLLLSEYVGADKRRELVALCRSGRAAALRQVVSAVTTLVEAAERQPLQATFRGLRKQVSARDLFRAQLISRKTLDELSQGTTTVKEVAEMDSVKRSLEGGNFIAGVLIQGTQERMSIPEALRRHILRPGTALVLLEAQAATGFIIDPVENRKLTVEEAFKAGMFGKETYVKLLSAERAVTGYTDPYTGQQISLFQAMQKDLIVREHGIRLLEAQIATGGIIDPVHSHRVPVDVAYRCGYFDEEMNRILADPSDDTKGFFDPNTHENLTYLQLLERCVEDPETGLYLLQIIKKGENYVYINEATRHVLQSRTAKMRVGRFADQVVSFWDLLSSPYFTEDRKRELIQEYGAQSGGLEKLLEIITTTIEETETQNQGIKVAAIRGEVTAADLFNSRVIDQKTLHTLRVGRTGGQALSTLECVKPYLEGSGCIAGVTVPSTREVMSLHEASRKELIPAAFATWLLEAQAATGFLLDPCTRQKLSVDEAVDVGLVNEELRERLLKAERAATGYRDPATGDTIPLFQAMQKQLIEKAEALRLLEVQVATGGVIDPQHHHRLPLETAYRRGCLHKDIYALISDQKHMRKRFVDPNTQEKVSYRELQERCRPQEDTGWLLFPVNKAARDSEHIDDETRRALEAEQVEITVGRFRGQKPTLWALLNSEYVTEEKKLQLVRMYRTHTRRALQTVAQLILELIEKQETSNKHLWFQGIRRQITASELLSSAIITEEMLQDLETGRSTTQELMEDDRVKRYLEGTSCIAGVLVPAKDQPGRQEKMSIYQAMWKGVLRPGTALVLLEAQAATGFVIDPVRNLRLSVEEAVAAGVVGGEIQEKLLSAERAVTGYTDPYTGQQISLFQAMQKDLIVREHGIRLLEAQIATGGVIDPVHSHRVPVDVAYRRGYFDEEMNRVLADPSDDTKGFFDPNTHENLTYVQLLRRCVPDPDTGLYMLQLAGRGSAVHQLSEELRCALRDARVTPGSGALQGQSVSVWELLFYREVSEDRRQDLLSRYRAGTLTVEELGATLTSLLAQAQAQARAEAEAGSPRPDPREALRAATMEVKVGRLRGRAVPVWDVLASGYVSRAAREELLAEFGSGTLDLPALTRRLTAIIEEAEEAPGARPQLQDAWRGPREPGPAGRGDGDSGRSQREGQGEGETQEAAAAAAAAAARRQEQTLRDATMEVQRGQFQGRPVSVWDVLFSSYLSEARRDELLAQHAAGALGLPDLVAVLTRVIEETEERLSKVSFRGLRRQVSASELHTSGILGPETLRDLAQGTKTLQEVTEMDSVKRYLEGTSCIAGVLVPAKDQPGRQEKMSIYQAMWKGVLRPGTALVLLEAQAATGFVIDPVRNLRLSVEEAVAAGVVGGEIQEKLLSAERAVTGYTDPYTGQQISLFQAMQKDLIVREHGIRLLEAQIATGGVIDPVHSHRVPVDVAYQRGYFDEEMNRVLADPSDDTKGFFDPNTHENLTYVQLLRRCVPDPDTGLYMLQLAGRGSAVHQLSEELRCALRDARVTPGSGALQGQSVSVWELLFYREVSEDRRQDLLSRYRAGTLTVEELGATLTSLLAQAQAQARAEAEAGSPRPDPREALRAATMEVKVGRLRGRAVPVWDVLASGYVSRAAREELLAEFGSGTLDLPALTRRLTAIIEEAEEAPGARPQLQDAWRGPREPGPAGRGDGDSGRSQREGQGEGETQEAAAAARRQEQTLRDATMEVQRGQFQGRPVSVWDVLFSSYLSEARRDELLAQHAAGALGLPDLVAVLTRVIEETEERLSKVSFRGLRCQVSASELHTSGILGPETLRDLAQGTKTLQEVTEMDSVKRYLEGTSCIAGVLVPAKDQPGRQEKMSIYQAMWKGVLRPGTALVLLEAQAATGFVIDPVRNLRLSVEEAVAAGVVGGEIQEKLLSAERAVTGYTDPYTGQQISLFQAMQKDLIVREHGIRLLEAQIATGGVIDPVHSHRVPVDVAYRRGYFDEEMNRVLADPSDDTKGFFDPNTHENLTYVQLLRRCVPDPDTGLYMLQLAGRGSAVHQLSEELRCALRDARVTPGSGALQGQSVSVWELLFYREVSEDRRQDLLSRYRAGTLTVEELGATLTSLLAQAQAQARAEAEAGSPRPDPREALRAATMEVKVGRLRGRAVPVWDVLASGYVSRAAREELLAEFGSGTLDLPALTRRLTAIIEEAEEAPGARPQLQDAWRGPREPGPAGRGDGDSGRSQREGQGEGETQEAAAATAAARRQEQTLRDATMEVQRGQFQGRPVSVWDVLFSSYLSEARRDELLAQHAAGALGLPDLVAVLTRVIEETEERLSKVSFRGLRRQVSASELHTSGILGPETLRDLAQGTKTLQEVTEMDSVKRYLEGTSCIAGVLVPAKDQPGRQEKMSIYQAMWKGVLRPGTALVLLEAQAATGFVIDPVRNLRLSVEEAVAAGVVGGEIQEKLLSAERAVTGYTDPYTGQQISLFQAMQKDLIVREHGIRLLEAQIATGGVIDPVHSHRVPVDVAYRRGYFDEEMNRVLADPSDDTKGFFDPNTHENLTYVQLLRRCVPDPDTGLYMLQLAGRGSAVHQLSEELRCALRDARVTPGSGALQGQSVSVWELLFYREVSEDRRQDLLSRYRAGTLTVEELGATLTSLLAQAQAQARAEAEAGSPRPDPREALRAATMEVKVGRLRGRAVPVWDVLASGYVSRAAREELLAEFGSGTLDLPALTRRLTAIIEEAEEAPGARPQLQDAWRGPREPGPAGRGDGDSGRSQREGQGEGETQEAAAATAAARRQEQTLRDATMEVQRGQFQGRPVSVWDVLFSSYLSEARRDELLAQHAAGALGLPDLVAVLTRVIEETEERLSKVSFRGLRRQVSASELHTSGILGPETLRDLAQGTKTLQEVTEMDSVKRYLEGTSCIAGVLVPAKDQPGHQEKMSIYQAMWKGVLRPGTALVLLEAQAATGFVIDPVRNLRLSVEEAVAAGVVGGEIQEKLLSAERAVTGYTDPYTGQQISLFQAMQKDLIVREHGIRLLEAQIATGGVIDPVHSHRVPVDVAYRRGYFDEEMNRVLAHPSDDTKGFFDPNTHENLTYVQLLRRCVPDPDTGLYMLQLAGRGSAVHQLSEELRCALRDARVMPGSGALQGQSVSVWELLFYREVSEDRRQDLLSRYRAGTLTVEELGATLTSLLAQAQAQARAEAEAEAGSPRPDPREALRAATMEVKVGRLRGRAVPVWDVLASGYVSGAAREELLAEFGSGTLDLPALTRRLTAIIEEAEEAPGARPQLQDAWRGPREPGPAGRGDGDSGRSQREGQGEGETQEAAAAARRQEQTLRDATMEVQRGQFQGRPVSVWDVLFSSYLSEAHRDELLAQHAAGALGLPDLVAVLTRVIEETEERLSKVSFRGLRRQVSASELHTSGILGPETLRDLAQGTKTLQEVTEMDSVKRYLEGTSCIAGVLVPAKDQPGRQEKMSIYQAMWKGVLRPGTALVLLEAQAATGFVIDPVRNLRLSVEEAVAAGVVGGEIQEKLLSAERAVTGYTDPYTGQQISLFQAMQKDLIVREHGIRLLEAQIATGGVIDPVHSHRVPVDVAYRRGYFDEEMNRVLADPSDDTKGFFDPNTHENLTYLQLLQRATLDPETGLLFLSLSLQ
+>DECOY_sp|P58107|EPIPL_HUMAN Epiplakin OS=Homo sapiens OX=9606 GN=EPPK1 PE=1 SV=3
+QLSLSLFLLGTEPDLTARQLLQLYTLNEHTNPDFFGKTDDSPDALVRNMEEDFYGRRYAVDVPVRHSHVPDIVGGTAIQAELLRIGHERVILDKQMAQFLSIQQGTYPDTYGTVAREASLLKEQIEGGVVGAAVAEEVSLRLNRVPDIVFGTAAQAELLVLATGPRLVGKWMAQYISMKEQRGPQDKAPVLVGAICSTGELYRKVSDMETVEQLTKTGQALDRLTEPGLIGSTHLESASVQRRLGRFSVKSLREETEEIVRTLVAVLDPLGLAGAAHQALLEDRHAESLYSSFLVDWVSVPRGQFQGRQVEMTADRLTQEQRRAAAAAEQTEGEGQGERQSRGSDGDGRGAPGPERPGRWADQLQPRAGPAEEAEEIIATLRRTLAPLDLTGSGFEALLEERAAGSVYGSALVDWVPVARGRLRGVKVEMTAARLAERPDPRPSGAEAEAEARAQAQAQALLSTLTAGLEEVTLTGARYRSLLDQRRDESVERYFLLEWVSVSQGQLAGSGPMVRADRLACRLEESLQHVASGRGALQLMYLGTDPDPVCRRLLQVYTLNEHTNPDFFGKTDDSPHALVRNMEEDFYGRRYAVDVPVRHSHVPDIVGGTAIQAELLRIGHERVILDKQMAQFLSIQQGTYPDTYGTVAREASLLKEQIEGGVVGAAVAEEVSLRLNRVPDIVFGTAAQAELLVLATGPRLVGKWMAQYISMKEQHGPQDKAPVLVGAICSTGELYRKVSDMETVEQLTKTGQALDRLTEPGLIGSTHLESASVQRRLGRFSVKSLREETEEIVRTLVAVLDPLGLAGAAHQALLEDRRAESLYSSFLVDWVSVPRGQFQGRQVEMTADRLTQEQRRAAATAAAAEQTEGEGQGERQSRGSDGDGRGAPGPERPGRWADQLQPRAGPAEEAEEIIATLRRTLAPLDLTGSGFEALLEERAARSVYGSALVDWVPVARGRLRGVKVEMTAARLAERPDPRPSGAEAEARAQAQAQALLSTLTAGLEEVTLTGARYRSLLDQRRDESVERYFLLEWVSVSQGQLAGSGPTVRADRLACRLEESLQHVASGRGALQLMYLGTDPDPVCRRLLQVYTLNEHTNPDFFGKTDDSPDALVRNMEEDFYGRRYAVDVPVRHSHVPDIVGGTAIQAELLRIGHERVILDKQMAQFLSIQQGTYPDTYGTVAREASLLKEQIEGGVVGAAVAEEVSLRLNRVPDIVFGTAAQAELLVLATGPRLVGKWMAQYISMKEQRGPQDKAPVLVGAICSTGELYRKVSDMETVEQLTKTGQALDRLTEPGLIGSTHLESASVQRRLGRFSVKSLREETEEIVRTLVAVLDPLGLAGAAHQALLEDRRAESLYSSFLVDWVSVPRGQFQGRQVEMTADRLTQEQRRAAATAAAAEQTEGEGQGERQSRGSDGDGRGAPGPERPGRWADQLQPRAGPAEEAEEIIATLRRTLAPLDLTGSGFEALLEERAARSVYGSALVDWVPVARGRLRGVKVEMTAARLAERPDPRPSGAEAEARAQAQAQALLSTLTAGLEEVTLTGARYRSLLDQRRDESVERYFLLEWVSVSQGQLAGSGPTVRADRLACRLEESLQHVASGRGALQLMYLGTDPDPVCRRLLQVYTLNEHTNPDFFGKTDDSPDALVRNMEEDFYGRRYAVDVPVRHSHVPDIVGGTAIQAELLRIGHERVILDKQMAQFLSIQQGTYPDTYGTVAREASLLKEQIEGGVVGAAVAEEVSLRLNRVPDIVFGTAAQAELLVLATGPRLVGKWMAQYISMKEQRGPQDKAPVLVGAICSTGELYRKVSDMETVEQLTKTGQALDRLTEPGLIGSTHLESASVQCRLGRFSVKSLREETEEIVRTLVAVLDPLGLAGAAHQALLEDRRAESLYSSFLVDWVSVPRGQFQGRQVEMTADRLTQEQRRAAAAAEQTEGEGQGERQSRGSDGDGRGAPGPERPGRWADQLQPRAGPAEEAEEIIATLRRTLAPLDLTGSGFEALLEERAARSVYGSALVDWVPVARGRLRGVKVEMTAARLAERPDPRPSGAEAEARAQAQAQALLSTLTAGLEEVTLTGARYRSLLDQRRDESVERYFLLEWVSVSQGQLAGSGPTVRADRLACRLEESLQHVASGRGALQLMYLGTDPDPVCRRLLQVYTLNEHTNPDFFGKTDDSPDALVRNMEEDFYGRQYAVDVPVRHSHVPDIVGGTAIQAELLRIGHERVILDKQMAQFLSIQQGTYPDTYGTVAREASLLKEQIEGGVVGAAVAEEVSLRLNRVPDIVFGTAAQAELLVLATGPRLVGKWMAQYISMKEQRGPQDKAPVLVGAICSTGELYRKVSDMETVEQLTKTGQALDRLTEPGLIGSTHLESASVQRRLGRFSVKSLREETEEIVRTLVAVLDPLGLAGAAHQALLEDRRAESLYSSFLVDWVSVPRGQFQGRQVEMTADRLTQEQRRAAAAAAAAAAEQTEGEGQGERQSRGSDGDGRGAPGPERPGRWADQLQPRAGPAEEAEEIIATLRRTLAPLDLTGSGFEALLEERAARSVYGSALVDWVPVARGRLRGVKVEMTAARLAERPDPRPSGAEAEARAQAQAQALLSTLTAGLEEVTLTGARYRSLLDQRRDESVERYFLLEWVSVSQGQLAGSGPTVRADRLACRLEESLQHVASGRGALQLMYLGTDPDPVCRRLLQVYTLNEHTNPDFFGKTDDSPDALVRNMEEDFYGRRYAVDVPVRHSHVPDIVGGTAIQAELLRIGHERVILDKQMAQFLSIQQGTYPDTYGTVAREASLLKEQIEGGVVGAAVAEEVSLRLNRVPDIVFGTAAQAELLVLATGPRLVGKWMAQYISMKEQRGPQDKAPVLVGAICSTGELYRKVRDDEMLEQTTSRGTELDQLMEETIIASSLLESATIQRRIGQFWLHKNSTEQKEILELILQAVTQLARRTHTRYMRVLQLKKEETVYESNLLAWLTPKQGRFRGVTIEVQEAELARRTEDDIHESDRAAKNVPFLLWGTDEQPRCREQLERYSVKEQTNPDVFRKRMHKQDSILAYIDKHLCGRRYATELPLRHHHQPDIVGGTAVQVELLRLAEAKEILQKQMAQFLPITDGTAPDRYGTAAREAKLLRERLEENVLGVDVAEDVSLKQRTCPDLLFGTAAQAELLWTAFAAPILEKRSAEHLSMVERTSPVTVGAICGSGELYPKVCELTSLAQGGTRGVRLTHLTKQDIVRSNFLDAATVEGRIAAVKIGQNQTETEEITTTIIELLKELGGSQAGYEQILERKRDETFYPSSLLDWFSVVQDAFRGVRMKATRSQLVHRTAENIYVYNEGKKIIQLLYLGTEPDEVCRELLQLYTLNEHTNPDFFGKTDDSPDALIRNMEEDFYGCRYAVDVPVRHSHVPDIIGGTAIQAELLRIGHERVILDKQMAQFLSIQQGTYPDTYGTVAREASLLKVYTEKGFMGAKFAEEVTLKRNEVPDIIFGTAAQAELLVLATGPRLIHRRLAEPISMREQTGQILVGAIFNGGELSRKVSDMEAVEKVTTTGQSLEDLTKRSILQARFLDRASVQKRLGRFTAQLPQREAAEVLTTVASVVQRLAAARGSRCLAVLERRKDAGVYESLLLDWLSVSCGKFRGTSVPVKMARLAVATHDDVELVTDSPLPLLLLGTESDCVCRERLQQYTVQDRASPDFFFKNDKDVATLVQSTQTDLLGLRCAAAQPLHVGHVPDVVGGTALQVQLLPLGENQPVLGKEMAQWLSLSARSYPDPYGAAAREAQGLQESLERGVLGVKVADEVSLRQNNLPDVLFGSAVQAELLRQGLGTPLLGDRVAQAISAKAGSPQLLVGAVCGTGWLCAKVAPQEAVQAPSQTGQALAELTEQTIIGADLLWVAPVEGRPGPVMVRAQALLKTEQVWRQVSALLQPVTTRGEQVDELLGRRQEETFHCSSLLDWLSTGDKAGPVAQLSRQVQEETPLAPASEPLPLLHLGSTEDRPCEELLQAYSTRGQGDPTPFTESSSSLATEMEQDVYGRQIAVPMPLHHHSTPDIIGGTAVQAELLRAAQEWPILGKKMAQFVSVQKGSFPDRFGAIAGEARKLRGYLEPGVVGRRVAEDVSLSEPSHPDMITGTAAQAELLALAVRPGLLKQRMAQYLSLRQGSPLLRVGGVAGLGCLYRRVGDMLLLAEPSITGALVQDLLQQDIIGAELLQHVTVRSRLAPLMIDAQRQTEAELLKAVQGLTVERQRYRRLLQRRRGESFYESNILEWASVRQGQFRGYKVSLLLNQFAQQTASDVLPSQTSSLPLLYLGTEPDRVCRELLQLYTLNEHTNPDFFGKTDDSPDLLILNLMQDFYGRRYAVDVPVRHSHVPDIVGGTAIQAELLRIGHERVILGKQMAQFLSIQQGTYPDTYGTVAREASLLKAFVDPGIVAARLAEEVSHGKNAKPDIIFGTAAQAELLILATGPRLLGKCRAEYISLREQSGPLLLGAICGTGQLYRQVSALSGVDKATAQGHELREYLDQDIIEAKLLEGPTVTDRLGSFTVRATAAAQELTASLKAALKEVSLTGEQYQQALMARQESSIAESFLLEWLSVPRGRFKGVSVTATATSLAQRTSYKIFPPGQPEGGRPGGSLPLFALGTEPDTVCLALLQEYRLGGHTGDSFSGAQSLQRQTDEDLCGCRLAAELPLRLRRAPCVLGGTALQADLLRLALPRDVLGKKMAQFLPIQSGSFPDHHGTVAQEAVLLQEHLEPSVLGARVAEDVWLRQGTIPDVLTHTAAQAELLPLATGMPLLHETAAQFFSKKHGEPLLVVGAVSGTGELYRRVEARASVDVAALRGERLGQVAQEDLIGVELLEAASVAGGMSRFTIKLPLLALGSGPARVCRELLQHYTLRELTNPDLFRLDGTGPELESLKHWTERDLLGQHCAPEPAVLVGQAPDVLGGTALQVELWSQGLARDVVEKGIAQFLALKEGGYPDPYGTTAREAALLKEKLELGVLGQQLAKSVPLLQGRALDVLGGTAAQAELLAQGLGAPLLGQEMAAYVSQAQGSAEVYVGAISRAQPRPPTGAGLTAAMARPVSAQETSNTGPVPLPPLTHGSM
+>sp|O95208|EPN2_HUMAN Epsin-2 OS=Homo sapiens OX=9606 GN=EPN2 PE=1 SV=3
+MTTSSIRRQMKNIVNNYSEAEIKVREATSNDPWGPSSSLMTEIADLTYNVVAFSEIMSMVWKRLNDHGKNWRHVYKALTLLDYLIKTGSERVAQQCRENIFAIQTLKDFQYIDRDGKDQGINVREKSKQLVALLKDEERLKAERAQALKTKERMAQVATGMGSNQITFGRGSSQPNLSTSHSEQEYGKAGGSPASYHGSPEASLCPQHRTGAPLGQSEELQPLSQRHPFLPHLGLASRPNGDWSQPCLTCDRAARATSPRVSSELEQARPQTSGEEELQLQLALAMSREVAEQEERLRRGDDLRLQMALEESRRDTVKIPKKKEHGSLPQQTTLLDLMDALPSSGPAAQKAEPWGPSASTNQTNPWGGPAAPASTSDPWPSFGTKPAASIDPWGVPTGATVQSVPKNSDPWAASQQPASSAGKRASDAWGAVSTTKPVSVSGSFELFSNLNGTIKDDFSEFDNLRTSKKTAESVTSLPSQNNGTTSPDPFESQPLTVASSKPSSARKTPESFLGPNAALVNLDSLVTRPAPPAQSLNPFLAPGAPATSAPVNPFQVNQPQPLTLNQLRGSPVLGTSTSFGPGPGVESMAVASMTSAAPQPALGATGSSLTPLGPAMMNMVGSVGIPPSAAQATGTTNPFLL
+>DECOY_sp|O95208|EPN2_HUMAN Epsin-2 OS=Homo sapiens OX=9606 GN=EPN2 PE=1 SV=3
+LLFPNTTGTAQAASPPIGVSGVMNMMAPGLPTLSSGTAGLAPQPAASTMSAVAMSEVGPGPGFSTSTGLVPSGRLQNLTLPQPQNVQFPNVPASTAPAGPALFPNLSQAPPAPRTVLSDLNVLAANPGLFSEPTKRASSPKSSAVTLPQSEFPDPSTTGNNQSPLSTVSEATKKSTRLNDFESFDDKITGNLNSFLEFSGSVSVPKTTSVAGWADSARKGASSAPQQSAAWPDSNKPVSQVTAGTPVGWPDISAAPKTGFSPWPDSTSAPAAPGGWPNTQNTSASPGWPEAKQAAPGSSPLADMLDLLTTQQPLSGHEKKKPIKVTDRRSEELAMQLRLDDGRRLREEQEAVERSMALALQLQLEEEGSTQPRAQELESSVRPSTARAARDCTLCPQSWDGNPRSALGLHPLFPHRQSLPQLEESQGLPAGTRHQPCLSAEPSGHYSAPSGGAKGYEQESHSTSLNPQSSGRGFTIQNSGMGTAVQAMREKTKLAQAREAKLREEDKLLAVLQKSKERVNIGQDKGDRDIYQFDKLTQIAFINERCQQAVRESGTKILYDLLTLAKYVHRWNKGHDNLRKWVMSMIESFAVVNYTLDAIETMLSSSPGWPDNSTAERVKIEAESYNNVINKMQRRISSTTM
+>sp|Q5T890|ER6L2_HUMAN DNA excision repair protein ERCC-6-like 2 OS=Homo sapiens OX=9606 GN=ERCC6L2 PE=1 SV=2
+MQPGSAPPPGRMDPSAPQPRAETSGKDIWHPGERCLAPSPDNGKLCEASIKSITVDENGKSFAVVLYADFQERKIPLKQLQEVKFVKDCPRNLIFDDEDLEKPYFPNRKFPSSSVAFKLSDNGDSIPYTINRYLRDYQREGTRFLYGHYIHGGGCILGDDMGLGKTVQVISFLAAVLHKKGTREDIENNMPEFLLRSMKKEPLSSTAKKMFLIVAPLSVLYNWKDELDTWGYFRVTVLHGNRKDNELIRVKQRKCEIALTTYETLRLCLDELNSLEWSAVIVDEAHRIKNPKARVTEVMKALKCNVRIGLTGTILQNNMKELWCVMDWAVPGLLGSGTYFKKQFSDPVEHGQRHTATKRELATGRKAMQRLAKKMSGWFLRRTKTLIKDQLPKKEDRMVYCSLTDFQKAVYQTVLETEDVTLILQSSEPCTCRSGQKRRNCCYKTNSHGETVKTLYLSYLTVLQKVANHVALLQAASTSKQQETLIKRICDQVFSRFPDFVQKSKDAAFETLSDPKYSGKMKVLQQLLNHCRKNRDKVLLFSFSTKLLDVLQQYCMASGLDYRRLDGSTKSEERLKIVKEFNSTQDVNICLVSTMAGGLGLNFVGANVVVLFDPTWNPANDLQAIDRAYRIGQCRDVKVLRLISLGTVEEIMYLRQIYKQQLHCVVVGSENAKRYFEAVQGSKEHQGELFGIHNLFKFRSQGSCLTKDILEREGQVEAGIMTATTWLKEGPPAHKLEMPRQPDCQECRGTEQAAEPLAKEACDLCSDFSDEEPVGATGIKTAKNKAPDSSKASSSPGQLTLLQCGFSKLLETKCKAVEDSDGNTASDDESSDEQPTCLSTEAKDAGCEKNQDSLGTSKHQKLDNILNPKEKHIFYKSEKILEQNISSKSDEKKIKNTDKHCILQNVTESEDSDVICPTQYTTERFPDNSIRFKPPLEGSEDSETEHTVKTRNNDNSRNTDDKRNGIISKKLSPENTTLKSILKRKGTSDISDESDDIEISSKSRVRKRASSLRFKRIKETKKELHNSPKTMNKTNQVYAANEDHNSQFIDDYSSSDESLSVSHFSFSKQSHRPRTIRDRTSFSSKLPSHNKKNSTFIPRKPMKCSNEKVVNQEQSYESMDKFLDGVQEVAYIHSNQNVIGSSKAENHMSRWAAHDVFELKQFSQLPANIAVCSSKTYKEKVDADTLPHTKKGQQPSEGSISLPLYISNPVNQKKKKVYHTNQTTFIIGETPKGIRRKQFEEMASYFNSSSVNEFAKHITNATSEERQKMLRDFYASQYPEVKEFFVDSVSQFNNSSFEKGEQRTRKKSDKRESLIKPRLSDSETLSFKDSTNKISQVCSLKTYKRKSVKFQNHISYREEVFFNDAETKKSPVSSTQEIDSGKNSQASEDTVTSRSLNSESETRERRLENTMKDQQDLTRTGISRKEPLLKLENKKIENPVLENTSVISLLGDTSILDDLFKSHGNSPTQLPKKVLSGPMEKAKQRPKDFWDILNEQNDESLSKLTDLAVIETLCEKAPLAAPFKRREEPATSLWKSNEKFLWKKFSPSDTDENATNTQSTT
+>DECOY_sp|Q5T890|ER6L2_HUMAN DNA excision repair protein ERCC-6-like 2 OS=Homo sapiens OX=9606 GN=ERCC6L2 PE=1 SV=2
+TTSQTNTANEDTDSPSFKKWLFKENSKWLSTAPEERRKFPAALPAKECLTEIVALDTLKSLSEDNQENLIDWFDKPRQKAKEMPGSLVKKPLQTPSNGHSKFLDDLISTDGLLSIVSTNELVPNEIKKNELKLLPEKRSIGTRTLDQQDKMTNELRRERTESESNLSRSTVTDESAQSNKGSDIEQTSSVPSKKTEADNFFVEERYSIHNQFKVSKRKYTKLSCVQSIKNTSDKFSLTESDSLRPKILSERKDSKKRTRQEGKEFSSNNFQSVSDVFFEKVEPYQSAYFDRLMKQREESTANTIHKAFENVSSSNFYSAMEEFQKRRIGKPTEGIIFTTQNTHYVKKKKQNVPNSIYLPLSISGESPQQGKKTHPLTDADVKEKYTKSSCVAINAPLQSFQKLEFVDHAAWRSMHNEAKSSGIVNQNSHIYAVEQVGDLFKDMSEYSQEQNVVKENSCKMPKRPIFTSNKKNHSPLKSSFSTRDRITRPRHSQKSFSFHSVSLSEDSSSYDDIFQSNHDENAAYVQNTKNMTKPSNHLEKKTEKIRKFRLSSARKRVRSKSSIEIDDSEDSIDSTGKRKLISKLTTNEPSLKKSIIGNRKDDTNRSNDNNRTKVTHETESDESGELPPKFRISNDPFRETTYQTPCIVDSDESETVNQLICHKDTNKIKKEDSKSSINQELIKESKYFIHKEKPNLINDLKQHKSTGLSDQNKECGADKAETSLCTPQEDSSEDDSATNGDSDEVAKCKTELLKSFGCQLLTLQGPSSSAKSSDPAKNKATKIGTAGVPEEDSFDSCLDCAEKALPEAAQETGRCEQCDPQRPMELKHAPPGEKLWTTATMIGAEVQGERELIDKTLCSGQSRFKFLNHIGFLEGQHEKSGQVAEFYRKANESGVVVCHLQQKYIQRLYMIEEVTGLSILRLVKVDRCQGIRYARDIAQLDNAPNWTPDFLVVVNAGVFNLGLGGAMTSVLCINVDQTSNFEKVIKLREESKTSGDLRRYDLGSAMCYQQLVDLLKTSFSFLLVKDRNKRCHNLLQQLVKMKGSYKPDSLTEFAADKSKQVFDPFRSFVQDCIRKILTEQQKSTSAAQLLAVHNAVKQLVTLYSLYLTKVTEGHSNTKYCCNRRKQGSRCTCPESSQLILTVDETELVTQYVAKQFDTLSCYVMRDEKKPLQDKILTKTRRLFWGSMKKALRQMAKRGTALERKTATHRQGHEVPDSFQKKFYTGSGLLGPVAWDMVCWLEKMNNQLITGTLGIRVNCKLAKMVETVRAKPNKIRHAEDVIVASWELSNLEDLCLRLTEYTTLAIECKRQKVRILENDKRNGHLVTVRFYGWTDLEDKWNYLVSLPAVILFMKKATSSLPEKKMSRLLFEPMNNEIDERTGKKHLVAALFSIVQVTKGLGMDDGLICGGGHIYHGYLFRTGERQYDRLYRNITYPISDGNDSLKFAVSSSPFKRNPFYPKELDEDDFILNRPCDKVFKVEQLQKLPIKREQFDAYLVVAFSKGNEDVTISKISAECLKGNDPSPALCREGPHWIDKGSTEARPQPASPDMRGPPPASGPQM
+>sp|P21860|ERBB3_HUMAN Receptor tyrosine-protein kinase erbB-3 OS=Homo sapiens OX=9606 GN=ERBB3 PE=1 SV=1
+MRANDALQVLGLLFSLARGSEVGNSQAVCPGTLNGLSVTGDAENQYQTLYKLYERCEVVMGNLEIVLTGHNADLSFLQWIREVTGYVLVAMNEFSTLPLPNLRVVRGTQVYDGKFAIFVMLNYNTNSSHALRQLRLTQLTEILSGGVYIEKNDKLCHMDTIDWRDIVRDRDAEIVVKDNGRSCPPCHEVCKGRCWGPGSEDCQTLTKTICAPQCNGHCFGPNPNQCCHDECAGGCSGPQDTDCFACRHFNDSGACVPRCPQPLVYNKLTFQLEPNPHTKYQYGGVCVASCPHNFVVDQTSCVRACPPDKMEVDKNGLKMCEPCGGLCPKACEGTGSGSRFQTVDSSNIDGFVNCTKILGNLDFLITGLNGDPWHKIPALDPEKLNVFRTVREITGYLNIQSWPPHMHNFSVFSNLTTIGGRSLYNRGFSLLIMKNLNVTSLGFRSLKEISAGRIYISANRQLCYHHSLNWTKVLRGPTEERLDIKHNRPRRDCVAEGKVCDPLCSSGGCWGPGPGQCLSCRNYSRGGVCVTHCNFLNGEPREFAHEAECFSCHPECQPMEGTATCNGSGSDTCAQCAHFRDGPHCVSSCPHGVLGAKGPIYKYPDVQNECRPCHENCTQGCKGPELQDCLGQTLVLIGKTHLTMALTVIAGLVVIFMMLGGTFLYWRGRRIQNKRAMRRYLERGESIEPLDPSEKANKVLARIFKETELRKLKVLGSGVFGTVHKGVWIPEGESIKIPVCIKVIEDKSGRQSFQAVTDHMLAIGSLDHAHIVRLLGLCPGSSLQLVTQYLPLGSLLDHVRQHRGALGPQLLLNWGVQIAKGMYYLEEHGMVHRNLAARNVLLKSPSQVQVADFGVADLLPPDDKQLLYSEAKTPIKWMALESIHFGKYTHQSDVWSYGVTVWELMTFGAEPYAGLRLAEVPDLLEKGERLAQPQICTIDVYMVMVKCWMIDENIRPTFKELANEFTRMARDPPRYLVIKRESGPGIAPGPEPHGLTNKKLEEVELEPELDLDLDLEAEEDNLATTTLGSALSLPVGTLNRPRGSQSLLSPSSGYMPMNQGNLGESCQESAVSGSSERCPRPVSLHPMPRGCLASESSEGHVTGSEAELQEKVSMCRSRSRSRSPRPRGDSAYHSQRHSLLTPVTPLSPPGLEEEDVNGYVMPDTHLKGTPSSREGTLSSVGLSSVLGTEEEDEDEEYEYMNRRRRHSPPHPPRPSSLEELGYEYMDVGSDLSASLGSTQSCPLHPVPIMPTAGTTPDEDYEYMNRQRDGGGPGGDYAAMGACPASEQGYEEMRAFQGPGHQAPHVHYARLKTLRSLEATDSAFDNPDYWHSRLFPKANAQRT
+>DECOY_sp|P21860|ERBB3_HUMAN Receptor tyrosine-protein kinase erbB-3 OS=Homo sapiens OX=9606 GN=ERBB3 PE=1 SV=1
+TRQANAKPFLRSHWYDPNDFASDTAELSRLTKLRAYHVHPAQHGPGQFARMEEYGQESAPCAGMAAYDGGPGGGDRQRNMYEYDEDPTTGATPMIPVPHLPCSQTSGLSASLDSGVDMYEYGLEELSSPRPPHPPSHRRRRNMYEYEEDEDEEETGLVSSLGVSSLTGERSSPTGKLHTDPMVYGNVDEEELGPPSLPTVPTLLSHRQSHYASDGRPRPSRSRSRSRCMSVKEQLEAESGTVHGESSESALCGRPMPHLSVPRPCRESSGSVASEQCSEGLNGQNMPMYGSSPSLLSQSGRPRNLTGVPLSLASGLTTTALNDEEAELDLDLDLEPELEVEELKKNTLGHPEPGPAIGPGSERKIVLYRPPDRAMRTFENALEKFTPRINEDIMWCKVMVMYVDITCIQPQALREGKELLDPVEALRLGAYPEAGFTMLEWVTVGYSWVDSQHTYKGFHISELAMWKIPTKAESYLLQKDDPPLLDAVGFDAVQVQSPSKLLVNRAALNRHVMGHEELYYMGKAIQVGWNLLLQPGLAGRHQRVHDLLSGLPLYQTVLQLSSGPCLGLLRVIHAHDLSGIALMHDTVAQFSQRGSKDEIVKICVPIKISEGEPIWVGKHVTGFVGSGLVKLKRLETEKFIRALVKNAKESPDLPEISEGRELYRRMARKNQIRRGRWYLFTGGLMMFIVVLGAIVTLAMTLHTKGILVLTQGLCDQLEPGKCGQTCNEHCPRCENQVDPYKYIPGKAGLVGHPCSSVCHPGDRFHACQACTDSGSGNCTATGEMPQCEPHCSFCEAEHAFERPEGNLFNCHTVCVGGRSYNRCSLCQGPGPGWCGGSSCLPDCVKGEAVCDRRPRNHKIDLREETPGRLVKTWNLSHHYCLQRNASIYIRGASIEKLSRFGLSTVNLNKMILLSFGRNYLSRGGITTLNSFVSFNHMHPPWSQINLYGTIERVTRFVNLKEPDLAPIKHWPDGNLGTILFDLNGLIKTCNVFGDINSSDVTQFRSGSGTGECAKPCLGGCPECMKLGNKDVEMKDPPCARVCSTQDVVFNHPCSAVCVGGYQYKTHPNPELQFTLKNYVLPQPCRPVCAGSDNFHRCAFCDTDQPGSCGGACEDHCCQNPNPGFCHGNCQPACITKTLTQCDESGPGWCRGKCVEHCPPCSRGNDKVVIEADRDRVIDRWDITDMHCLKDNKEIYVGGSLIETLQTLRLQRLAHSSNTNYNLMVFIAFKGDYVQTGRVVRLNPLPLTSFENMAVLVYGTVERIWQLFSLDANHGTLVIELNGMVVECREYLKYLTQYQNEADGTVSLGNLTGPCVAQSNGVESGRALSFLLGLVQLADNARM
+>sp|Q15303|ERBB4_HUMAN Receptor tyrosine-protein kinase erbB-4 OS=Homo sapiens OX=9606 GN=ERBB4 PE=1 SV=1
+MKPATGLWVWVSLLVAAGTVQPSDSQSVCAGTENKLSSLSDLEQQYRALRKYYENCEVVMGNLEITSIEHNRDLSFLRSVREVTGYVLVALNQFRYLPLENLRIIRGTKLYEDRYALAIFLNYRKDGNFGLQELGLKNLTEILNGGVYVDQNKFLCYADTIHWQDIVRNPWPSNLTLVSTNGSSGCGRCHKSCTGRCWGPTENHCQTLTRTVCAEQCDGRCYGPYVSDCCHRECAGGCSGPKDTDCFACMNFNDSGACVTQCPQTFVYNPTTFQLEHNFNAKYTYGAFCVKKCPHNFVVDSSSCVRACPSSKMEVEENGIKMCKPCTDICPKACDGIGTGSLMSAQTVDSSNIDKFINCTKINGNLIFLVTGIHGDPYNAIEAIDPEKLNVFRTVREITGFLNIQSWPPNMTDFSVFSNLVTIGGRVLYSGLSLLILKQQGITSLQFQSLKEISAGNIYITDNSNLCYYHTINWTTLFSTINQRIVIRDNRKAENCTAEGMVCNHLCSSDGCWGPGPDQCLSCRRFSRGRICIESCNLYDGEFREFENGSICVECDPQCEKMEDGLLTCHGPGPDNCTKCSHFKDGPNCVEKCPDGLQGANSFIFKYADPDRECHPCHPNCTQGCNGPTSHDCIYYPWTGHSTLPQHARTPLIAAGVIGGLFILVIVGLTFAVYVRRKSIKKKRALRRFLETELVEPLTPSGTAPNQAQLRILKETELKRVKVLGSGAFGTVYKGIWVPEGETVKIPVAIKILNETTGPKANVEFMDEALIMASMDHPHLVRLLGVCLSPTIQLVTQLMPHGCLLEYVHEHKDNIGSQLLLNWCVQIAKGMMYLEERRLVHRDLAARNVLVKSPNHVKITDFGLARLLEGDEKEYNADGGKMPIKWMALECIHYRKFTHQSDVWSYGVTIWELMTFGGKPYDGIPTREIPDLLEKGERLPQPPICTIDVYMVMVKCWMIDADSRPKFKELAAEFSRMARDPQRYLVIQGDDRMKLPSPNDSKFFQNLLDEEDLEDMMDAEEYLVPQAFNIPPPIYTSRARIDSNRSEIGHSPPPAYTPMSGNQFVYRDGGFAAEQGVSVPYRAPTSTIPEAPVAQGATAEIFDDSCCNGTLRKPVAPHVQEDSSTQRYSADPTVFAPERSPRGELDEEGYMTPMRDKPKQEYLNPVEENPFVSRRKNGDLQALDNPEYHNASNGPPKAEDEYVNEPLYLNTFANTLGKAEYLKNNILSMPEKAKKAFDNPDYWNHSLPPRSTLQHPDYLQEYSTKYFYKQNGRIRPIVAENPEYLSEFSLKPGTVLPPPPYRHRNTVV
+>DECOY_sp|Q15303|ERBB4_HUMAN Receptor tyrosine-protein kinase erbB-4 OS=Homo sapiens OX=9606 GN=ERBB4 PE=1 SV=1
+VVTNRHRYPPPPLVTGPKLSFESLYEPNEAVIPRIRGNQKYFYKTSYEQLYDPHQLTSRPPLSHNWYDPNDFAKKAKEPMSLINNKLYEAKGLTNAFTNLYLPENVYEDEAKPPGNSANHYEPNDLAQLDGNKRRSVFPNEEVPNLYEQKPKDRMPTMYGEEDLEGRPSREPAFVTPDASYRQTSSDEQVHPAVPKRLTGNCCSDDFIEATAGQAVPAEPITSTPARYPVSVGQEAAFGGDRYVFQNGSMPTYAPPPSHGIESRNSDIRARSTYIPPPINFAQPVLYEEADMMDELDEEDLLNQFFKSDNPSPLKMRDDGQIVLYRQPDRAMRSFEAALEKFKPRSDADIMWCKVMVMYVDITCIPPQPLREGKELLDPIERTPIGDYPKGGFTMLEWITVGYSWVDSQHTFKRYHICELAMWKIPMKGGDANYEKEDGELLRALGFDTIKVHNPSKVLVNRAALDRHVLRREELYMMGKAIQVCWNLLLQSGINDKHEHVYELLCGHPMLQTVLQITPSLCVGLLRVLHPHDMSAMILAEDMFEVNAKPGTTENLIKIAVPIKVTEGEPVWIGKYVTGFAGSGLVKVRKLETEKLIRLQAQNPATGSPTLPEVLETELFRRLARKKKISKRRVYVAFTLGVIVLIFLGGIVGAAILPTRAHQPLTSHGTWPYYICDHSTPGNCGQTCNPHCPHCERDPDAYKFIFSNAGQLGDPCKEVCNPGDKFHSCKTCNDPGPGHCTLLGDEMKECQPDCEVCISGNEFERFEGDYLNCSEICIRGRSFRRCSLCQDPGPGWCGDSSCLHNCVMGEATCNEAKRNDRIVIRQNITSFLTTWNITHYYCLNSNDTIYINGASIEKLSQFQLSTIGQQKLILLSLGSYLVRGGITVLNSFVSFDTMNPPWSQINLFGTIERVTRFVNLKEPDIAEIANYPDGHIGTVLFILNGNIKTCNIFKDINSSDVTQASMLSGTGIGDCAKPCIDTCPKCMKIGNEEVEMKSSPCARVCSSSDVVFNHPCKKVCFAGYTYKANFNHELQFTTPNYVFTQPCQTVCAGSDNFNMCAFCDTDKPGSCGGACERHCCDSVYPGYCRGDCQEACVTRTLTQCHNETPGWCRGTCSKHCRGCGSSGNTSVLTLNSPWPNRVIDQWHITDAYCLFKNQDVYVGGNLIETLNKLGLEQLGFNGDKRYNLFIALAYRDEYLKTGRIIRLNELPLYRFQNLAVLVYGTVERVSRLFSLDRNHEISTIELNGMVVECNEYYKRLARYQQELDSLSSLKNETGACVSQSDSPQVTGAAVLLSVWVWLGTAPKM
+>sp|Q2NKX8|ERC6L_HUMAN DNA excision repair protein ERCC-6-like OS=Homo sapiens OX=9606 GN=ERCC6L PE=1 SV=1
+MEASRRFPEAEALSPEQAAHYLRYVKEAKEATKNGDLEEAFKLFNLAKDIFPNEKVLSRIQKIQEALEELAEQGDDEFTDVCNSGLLLYRELHNQLFEHQKEGIAFLYSLYRDGRKGGILADDMGLGKTVQIIAFLSGMFDASLVNHVLLIMPTNLINTWVKEFIKWTPGMRVKTFHGPSKDERTRNLNRIQQRNGVIITTYQMLINNWQQLSSFRGQEFVWDYVILDEAHKIKTSSTKSAICARAIPASNRLLLTGTPIQNNLQELWSLFDFACQGSLLGTLKTFKMEYENPITRAREKDATPGEKALGFKISENLMAIIKPYFLRRTKEDVQKKKSSNPEARLNEKNPDVDAICEMPSLSRKNDLIIWIRLVPLQEEIYRKFVSLDHIKELLMETRSPLAELGVLKKLCDHPRLLSARACCLLNLGTFSAQDGNEGEDSPDVDHIDQVTDDTLMEESGKMIFLMDLLKRLRDEGHQTLVFSQSRQILNIIERLLKNRHFKTLRIDGTVTHLLEREKRINLFQQNKDYSVFLLTTQVGGVGLTLTAATRVVIFDPSWNPATDAQAVDRVYRIGQKENVVVYRLITCGTVEEKIYRRQVFKDSLIRQTTGEKKNPFRYFSKQELRELFTIEDLQNSVTQLQLQSLHAAQRKSDIKLDEHIAYLQSLGIAGISDHDLMYTCDLSVKEELDVVEESHYIQQRVQKAQFLVEFESQNKEFLMEQQRTRNEGAWLREPVFPSSTKKKCPKLNKPQPQPSPLLSTHHTQEEDISSKMASVVIDDLPKEGEKQDLSSIKVNVTTLQDGKGTGSADSIATLPKGFGSVEELCTNSSLGMEKSFATKNEAVQKETLQEGPKQEALQEDPLESFNYVLSKSTKADIGPNLDQLKDDEILRHCNPWPIISITNESQNAESNVSIIEIADDLSASHSALQDAQASEAKLEEEPSASSPQYACDFNLFLEDSADNRQNFSSQSLEHVEKENSLCGSAPNSRAGFVHSKTCLSWEFSEKDDEPEEVVVKAKIRSKARRIVSDGEDEDDSFKDTSSINPFNTSLFQFSSVKQFDASTPKNDISPPGRFFSSQIPSSVNKSMNSRRSLASRRSLINMVLDHVEDMEERLDDSSEAKGPEDYPEEGVEESSGEASKYTEEDPSGETLSSENKSSWLMTSKPSALAQETSLGAPEPLSGEQLVGSPQDKAAEATNDYETLVKRGKELKECGKIQEALNCLVKALDIKSADPEVMLLTLSLYKQLNNN
+>DECOY_sp|Q2NKX8|ERC6L_HUMAN DNA excision repair protein ERCC-6-like OS=Homo sapiens OX=9606 GN=ERCC6L PE=1 SV=1
+NNNLQKYLSLTLLMVEPDASKIDLAKVLCNLAEQIKGCEKLEKGRKVLTEYDNTAEAAKDQPSGVLQEGSLPEPAGLSTEQALASPKSTMLWSSKNESSLTEGSPDEETYKSAEGSSEEVGEEPYDEPGKAESSDDLREEMDEVHDLVMNILSRRSALSRRSNMSKNVSSPIQSSFFRGPPSIDNKPTSADFQKVSSFQFLSTNFPNISSTDKFSDDEDEGDSVIRRAKSRIKAKVVVEEPEDDKESFEWSLCTKSHVFGARSNPASGCLSNEKEVHELSQSSFNQRNDASDELFLNFDCAYQPSSASPEEELKAESAQADQLASHSASLDDAIEIISVNSEANQSENTISIIPWPNCHRLIEDDKLQDLNPGIDAKTSKSLVYNFSELPDEQLAEQKPGEQLTEKQVAENKTAFSKEMGLSSNTCLEEVSGFGKPLTAISDASGTGKGDQLTTVNVKISSLDQKEGEKPLDDIVVSAMKSSIDEEQTHHTSLLPSPQPQPKNLKPCKKKTSSPFVPERLWAGENRTRQQEMLFEKNQSEFEVLFQAKQVRQQIYHSEEVVDLEEKVSLDCTYMLDHDSIGAIGLSQLYAIHEDLKIDSKRQAAHLSQLQLQTVSNQLDEITFLERLEQKSFYRFPNKKEGTTQRILSDKFVQRRYIKEEVTGCTILRYVVVNEKQGIRYVRDVAQADTAPNWSPDFIVVRTAATLTLGVGGVQTTLLFVSYDKNQQFLNIRKERELLHTVTGDIRLTKFHRNKLLREIINLIQRSQSFVLTQHGEDRLRKLLDMLFIMKGSEEMLTDDTVQDIHDVDPSDEGENGDQASFTGLNLLCCARASLLRPHDCLKKLVGLEALPSRTEMLLEKIHDLSVFKRYIEEQLPVLRIWIILDNKRSLSPMECIADVDPNKENLRAEPNSSKKKQVDEKTRRLFYPKIIAMLNESIKFGLAKEGPTADKERARTIPNEYEMKFTKLTGLLSGQCAFDFLSWLEQLNNQIPTGTLLLRNSAPIARACIASKTSSTKIKHAEDLIVYDWVFEQGRFSSLQQWNNILMQYTTIIVGNRQQIRNLNRTREDKSPGHFTKVRMGPTWKIFEKVWTNILNTPMILLVHNVLSADFMGSLFAIIQVTKGLGMDDALIGGKRGDRYLSYLFAIGEKQHEFLQNHLERYLLLGSNCVDTFEDDGQEALEELAEQIKQIRSLVKENPFIDKALNFLKFAEELDGNKTAEKAEKVYRLYHAAQEPSLAEAEPFRRSAEM
+>sp|P07992|ERCC1_HUMAN DNA excision repair protein ERCC-1 OS=Homo sapiens OX=9606 GN=ERCC1 PE=1 SV=1
+MDPGKDKEGVPQPSGPPARKKFVIPLDEDEVPPGVAKPLFRSTQSLPTVDTSAQAAPQTYAEYAISQPLEGAGATCPTGSEPLAGETPNQALKPGAKSNSIIVSPRQRGNPVLKFVRNVPWEFGDVIPDYVLGQSTCALFLSLRYHNLHPDYIHGRLQSLGKNFALRVLLVQVDVKDPQQALKELAKMCILADCTLILAWSPEEAGRYLETYKAYEQKPADLLMEKLEQDFVSRVTECLTTVKSVNKTDSQTLLTTFGSLEQLIAASREDLALCPGLGPQKARRLFDVLHEPFLKVP
+>DECOY_sp|P07992|ERCC1_HUMAN DNA excision repair protein ERCC-1 OS=Homo sapiens OX=9606 GN=ERCC1 PE=1 SV=1
+PVKLFPEHLVDFLRRAKQPGLGPCLALDERSAAILQELSGFTTLLTQSDTKNVSKVTTLCETVRSVFDQELKEMLLDAPKQEYAKYTELYRGAEEPSWALILTCDALICMKALEKLAQQPDKVDVQVLLVRLAFNKGLSQLRGHIYDPHLNHYRLSLFLACTSQGLVYDPIVDGFEWPVNRVFKLVPNGRQRPSVIISNSKAGPKLAQNPTEGALPESGTPCTAGAGELPQSIAYEAYTQPAAQASTDVTPLSQTSRFLPKAVGPPVEDEDLPIVFKKRAPPGSPQPVGEKDKGPDM
+>sp|P18074|ERCC2_HUMAN General transcription and DNA repair factor IIH helicase subunit XPD OS=Homo sapiens OX=9606 GN=ERCC2 PE=1 SV=1
+MKLNVDGLLVYFPYDYIYPEQFSYMRELKRTLDAKGHGVLEMPSGTGKTVSLLALIMAYQRAYPLEVTKLIYCSRTVPEIEKVIEELRKLLNFYEKQEGEKLPFLGLALSSRKNLCIHPEVTPLRFGKDVDGKCHSLTASYVRAQYQHDTSLPHCRFYEEFDAHGREVPLPAGIYNLDDLKALGRRQGWCPYFLARYSILHANVVVYSYHYLLDPKIADLVSKELARKAVVVFDEAHNIDNVCIDSMSVNLTRRTLDRCQGNLETLQKTVLRIKETDEQRLRDEYRRLVEGLREASAARETDAHLANPVLPDEVLQEAVPGSIRTAEHFLGFLRRLLEYVKWRLRVQHVVQESPPAFLSGLAQRVCIQRKPLRFCAERLRSLLHTLEITDLADFSPLTLLANFATLVSTYAKGFTIIIEPFDDRTPTIANPILHFSCMDASLAIKPVFERFQSVIITSGTLSPLDIYPKILDFHPVTMATFTMTLARVCLCPMIIGRGNDQVAISSKFETREDIAVIRNYGNLLLEMSAVVPDGIVAFFTSYQYMESTVASWYEQGILENIQRNKLLFIETQDGAETSVALEKYQEACENGRGAILLSVARGKVSEGIDFVHHYGRAVIMFGVPYVYTQSRILKARLEYLRDQFQIRENDFLTFDAMRHAAQCVGRAIRGKTDYGLMVFADKRFARGDKRGKLPRWIQEHLTDANLNLTVDEGVQVAKYFLRQMAQPFHREDQLGLSLLSLEQLESEETLKRIEQIAQQL
+>DECOY_sp|P18074|ERCC2_HUMAN General transcription and DNA repair factor IIH helicase subunit XPD OS=Homo sapiens OX=9606 GN=ERCC2 PE=1 SV=1
+LQQAIQEIRKLTEESELQELSLLSLGLQDERHFPQAMQRLFYKAVQVGEDVTLNLNADTLHEQIWRPLKGRKDGRAFRKDAFVMLGYDTKGRIARGVCQAAHRMADFTLFDNERIQFQDRLYELRAKLIRSQTYVYPVGFMIVARGYHHVFDIGESVKGRAVSLLIAGRGNECAEQYKELAVSTEAGDQTEIFLLKNRQINELIGQEYWSAVTSEMYQYSTFFAVIGDPVVASMELLLNGYNRIVAIDERTEFKSSIAVQDNGRGIIMPCLCVRALTMTFTAMTVPHFDLIKPYIDLPSLTGSTIIVSQFREFVPKIALSADMCSFHLIPNAITPTRDDFPEIIITFGKAYTSVLTAFNALLTLPSFDALDTIELTHLLSRLREACFRLPKRQICVRQALGSLFAPPSEQVVHQVRLRWKVYELLRRLFGLFHEATRISGPVAEQLVEDPLVPNALHADTERAASAERLGEVLRRYEDRLRQEDTEKIRLVTKQLTELNGQCRDLTRRTLNVSMSDICVNDINHAEDFVVVAKRALEKSVLDAIKPDLLYHYSYVVVNAHLISYRALFYPCWGQRRGLAKLDDLNYIGAPLPVERGHADFEEYFRCHPLSTDHQYQARVYSATLSHCKGDVDKGFRLPTVEPHICLNKRSSLALGLFPLKEGEQKEYFNLLKRLEEIVKEIEPVTRSCYILKTVELPYARQYAMILALLSVTKGTGSPMELVGHGKADLTRKLERMYSFQEPYIYDYPFYVLLGDVNLKM
+>sp|Q9Y282|ERGI3_HUMAN Endoplasmic reticulum-Golgi intermediate compartment protein 3 OS=Homo sapiens OX=9606 GN=ERGIC3 PE=1 SV=1
+MEALGKLKQFDAYPKTLEDFRVKTCGGATVTIVSGLLMLLLFLSELQYYLTTEVHPELYVDKSRGDKLKINIDVLFPHMPCAYLSIDAMDVAGEQQLDVEHNLFKQRLDKDGIPVSSEAERHELGKVEVTVFDPDSLDPDRCESCYGAEAEDIKCCNTCEDVREAYRRRGWAFKNPDTIEQCRREGFSQKMQEQKNEGCQVYGFLEVNKVAGNFHFAPGKSFQQSHVHVHDLQSFGLDNINMTHYIQHLSFGEDYPGIVNPLDHTNVTAPQASMMFQYFVKVVPTVYMKVDGEVLRTNQFSVTRHEKVANGLLGDQGLPGVFVLYELSPMMVKLTEKHRSFTHFLTGVCAIIGGMFTVAGLIDSLIYHSARAIQKKIDLGKTT
+>DECOY_sp|Q9Y282|ERGI3_HUMAN Endoplasmic reticulum-Golgi intermediate compartment protein 3 OS=Homo sapiens OX=9606 GN=ERGIC3 PE=1 SV=1
+TTKGLDIKKQIARASHYILSDILGAVTFMGGIIACVGTLFHTFSRHKETLKVMMPSLEYLVFVGPLGQDGLLGNAVKEHRTVSFQNTRLVEGDVKMYVTPVVKVFYQFMMSAQPATVNTHDLPNVIGPYDEGFSLHQIYHTMNINDLGFSQLDHVHVHSQQFSKGPAFHFNGAVKNVELFGYVQCGENKQEQMKQSFGERRCQEITDPNKFAWGRRRYAERVDECTNCCKIDEAEAGYCSECRDPDLSDPDFVTVEVKGLEHREAESSVPIGDKDLRQKFLNHEVDLQQEGAVDMADISLYACPMHPFLVDINIKLKDGRSKDVYLEPHVETTLYYQLESLFLLLMLLGSVITVTAGGCTKVRFDELTKPYADFQKLKGLAEM
+>sp|P84090|ERH_HUMAN Enhancer of rudimentary homolog OS=Homo sapiens OX=9606 GN=ERH PE=1 SV=1
+MSHTILLVQPTKRPEGRTYADYESVNECMEGVCKMYEEHLKRMNPNSPSITYDISQLFDFIDDLADLSCLVYRADTQTYQPYNKDWIKEKIYVLLRRQAQQAGK
+>DECOY_sp|P84090|ERH_HUMAN Enhancer of rudimentary homolog OS=Homo sapiens OX=9606 GN=ERH PE=1 SV=1
+KGAQQAQRRLLVYIKEKIWDKNYPQYTQTDARYVLCSLDALDDIFDFLQSIDYTISPSNPNMRKLHEEYMKCVGEMCENVSEYDAYTRGEPRKTPQVLLITHSM
+>sp|Q5W0A0|ERI6B_HUMAN Glutamate-rich protein 6B OS=Homo sapiens OX=9606 GN=ERICH6B PE=2 SV=1
+MSAENNQLSGASPPHPPTTPQYSTQNLPSEKEDTEVELDEESLQDESPFSPEGESLEDKEYLEEEEDLEEEEYLGKEEYLKEEEYLGKEEHLEEEEYLEKAGYLEEEEYIEEEEYLGKEGYLEEEEYLGKEEHLEEEEYLGKEGYLEKEDYIEEVDYLGKKAYLEEEEYLGKKSYLEEEKALEKEENLEEEEALEKEENLDGKENLYKKYLKEPKASYSSQTMLLRDARSPDAGPSQVTTFLTVPLTFATPSPVSESATESSELLLTLYRRSQASQTDWCYDRTAVKSLKSKSETEQETTTKLAPEEHVNTKVQQKKEENVLEFASKENFWDGITDESIDKLEVEDLDENFLNSSYQTVFKTIIKEMAAHNELEEDFDIPLTKLLESENRWKLVIMLKKNYEKFKETILRIKRRREAQKLTEMTSFTFHLMSKPTPEKPETEEIQKPQRVVHHRKKLERDKEWIQKKTVVHQGDGKLILYPNKNVYQILFPDGTGQIHYPSGNLAMLILYAKMKKFTYIILEDSLEGRIRALINNSGNATFYDENSDIWLNLSSNLGYYFPKDKRQKAWNWWNLNIHVHAPPVQPISLKINEYIQVQIRSQDKIIFCFTYEQKQICLNLGTRYKFVIPEVLSEMKKKTILEAEPGPTAQKIRVLLGKMNRLLNYATTPDLENFIEAVSISLMDNKYLKKMLSKLWF
+>DECOY_sp|Q5W0A0|ERI6B_HUMAN Glutamate-rich protein 6B OS=Homo sapiens OX=9606 GN=ERICH6B PE=2 SV=1
+FWLKSLMKKLYKNDMLSISVAEIFNELDPTTAYNLLRNMKGLLVRIKQATPGPEAELITKKKMESLVEPIVFKYRTGLNLCIQKQEYTFCFIIKDQSRIQVQIYENIKLSIPQVPPAHVHINLNWWNWAKQRKDKPFYYGLNSSLNLWIDSNEDYFTANGSNNILARIRGELSDELIIYTFKKMKAYLILMALNGSPYHIQGTGDPFLIQYVNKNPYLILKGDGQHVVTKKQIWEKDRELKKRHHVVRQPKQIEETEPKEPTPKSMLHFTFSTMETLKQAERRRKIRLITEKFKEYNKKLMIVLKWRNESELLKTLPIDFDEELENHAAMEKIITKFVTQYSSNLFNEDLDEVELKDISEDTIGDWFNEKSAFELVNEEKKQQVKTNVHEEPALKTTTEQETESKSKLSKVATRDYCWDTQSAQSRRYLTLLLESSETASESVPSPTAFTLPVTLFTTVQSPGADPSRADRLLMTQSSYSAKPEKLYKKYLNEKGDLNEEKELAEEEELNEEKELAKEEELYSKKGLYEEEELYAKKGLYDVEEIYDEKELYGEKGLYEEEELHEEKGLYEEEELYGEKGLYEEEEIYEEEELYGAKELYEEEELHEEKGLYEEEKLYEEKGLYEEEELDEEEELYEKDELSEGEPSFPSEDQLSEEDLEVETDEKESPLNQTSYQPTTPPHPPSAGSLQNNEASM
+>sp|Q7L0X2|ERIP6_HUMAN Glutamate-rich protein 6 OS=Homo sapiens OX=9606 GN=ERICH6 PE=2 SV=1
+MAHLRSPSGFGDPGKKDQKESEEELEEEEEEEEVEEEEEEVEEEEEEVEEEEEEVVEEELVGEEQELEAPETFSEEYLWKVTDIGDYDDDFPDVRPRLASIVSPSLTSTFVPSQSATSTETPSASPPSSTSSHKSFPKIFQTFRKDMSEMSIDRNIHRNLSPGIPVSVQTEESWLQDLSDKVQSRKKASKEKAEPECLASKLREKWVINPEESKLNILYELEFKEDFITLFEPSLRTLPSIGPPSILAYKEESSNLGINFKDEEEETSPKCEFCGSDLRAFFSNVDVSSEPKGHASCCIAFQNLIDYIYEEQIKTKPPKAELIAIDPHAAHGSEVDRLKAKEKALQRKQEQRMARHFAIISREQTHFSEDDSKRLKTISYQLSVDIPEKQIIDDIVFDFQLRNSNMSIICCDSRIACGKVVRNELLEKHYKHGSKFLTSFPDGTTQIFYPSGNLAIIRVPNKVNGFTCIVQEDMPTNPAILAVLDSSGRSSCYHPNGNVWVYINILGGQYSDQAGNRIRAWNWSNSITSSPFVSFKPVFLALNRYIGVRILEQDKISITFLAMGQQARISVGTKVKLPNPEEIPILRYVSGDDLLLLASLIKIRRLFHKLEGCVNFPSSQVWEKLKQPSYLSSLSLKLIALCHSSGIKQDIMKTIRNIINEEI
+>DECOY_sp|Q7L0X2|ERIP6_HUMAN Glutamate-rich protein 6 OS=Homo sapiens OX=9606 GN=ERICH6 PE=2 SV=1
+IEENIINRITKMIDQKIGSSHCLAILKLSLSSLYSPQKLKEWVQSSPFNVCGELKHFLRRIKILSALLLLDDGSVYRLIPIEEPNPLKVKTGVSIRAQQGMALFTISIKDQELIRVGIYRNLALFVPKFSVFPSSTISNSWNWARIRNGAQDSYQGGLINIYVWVNGNPHYCSSRGSSDLVALIAPNTPMDEQVICTFGNVKNPVRIIALNGSPYFIQTTGDPFSTLFKSGHKYHKELLENRVVKGCAIRSDCCIISMNSNRLQFDFVIDDIIQKEPIDVSLQYSITKLRKSDDESFHTQERSIIAFHRAMRQEQKRQLAKEKAKLRDVESGHAAHPDIAILEAKPPKTKIQEEYIYDILNQFAICCSAHGKPESSVDVNSFFARLDSGCFECKPSTEEEEDKFNIGLNSSEEKYALISPPGISPLTRLSPEFLTIFDEKFELEYLINLKSEEPNIVWKERLKSALCEPEAKEKSAKKRSQVKDSLDQLWSEETQVSVPIGPSLNRHINRDISMESMDKRFTQFIKPFSKHSSTSSPPSASPTETSTASQSPVFTSTLSPSVISALRPRVDPFDDDYDGIDTVKWLYEESFTEPAELEQEEGVLEEEVVEEEEEEVEEEEEEVEEEEEEVEEEEEEEELEEESEKQDKKGPDGFGSPSRLHAM
+>sp|O75477|ERLN1_HUMAN Erlin-1 OS=Homo sapiens OX=9606 GN=ERLIN1 PE=1 SV=1
+MTQARVLVAAVVGLVAVLLYASIHKIEEGHLAVYYRGGALLTSPSGPGYHIMLPFITTFRSVQTTLQTDEVKNVPCGTSGGVMIYIDRIEVVNMLAPYAVFDIVRNYTADYDKTLIFNKIHHELNQFCSAHTLQEVYIELFDQIDENLKQALQKDLNLMAPGLTIQAVRVTKPKIPEAIRRNFELMEAEKTKLLIAAQKQKVVEKEAETERKKAVIEAEKIAQVAKIRFQQKVMEKETEKRISEIEDAAFLAREKAKADAEYYAAHKYATSNKHKLTPEYLELKKYQAIASNSKIYFGSNIPNMFVDSSCALKYSDIRTGRESSLPSKEALEPSGENVIQNKESTG
+>DECOY_sp|O75477|ERLN1_HUMAN Erlin-1 OS=Homo sapiens OX=9606 GN=ERLIN1 PE=1 SV=1
+GTSEKNQIVNEGSPELAEKSPLSSERGTRIDSYKLACSSDVFMNPINSGFYIKSNSAIAQYKKLELYEPTLKHKNSTAYKHAAYYEADAKAKERALFAADEIESIRKETEKEMVKQQFRIKAVQAIKEAEIVAKKRETEAEKEVVKQKQAAILLKTKEAEMLEFNRRIAEPIKPKTVRVAQITLGPAMLNLDKQLAQKLNEDIQDFLEIYVEQLTHASCFQNLEHHIKNFILTKDYDATYNRVIDFVAYPALMNVVEIRDIYIMVGGSTGCPVNKVEDTQLTTQVSRFTTIFPLMIHYGPGSPSTLLAGGRYYVALHGEEIKHISAYLLVAVLGVVAAVLVRAQTM
+>sp|Q8TAM6|ERMIN_HUMAN Ermin OS=Homo sapiens OX=9606 GN=ERMN PE=2 SV=1
+MTDVPATFTQAECNGDKPPENGQQTITKISEELTDVDSPLPHYRVEPSLEGALTKGSQEERRKLQGNMLLNSSMEDKMLKENPEEKLFIVHKAITDLSLQETSADEMTFREGHQWEKIPLSGSNQEIRRQKERITEQPLKEEEDEDRKNKGHQAAEIEWLGFRKPSQADMLHSKHDEEQKVWDEEIDDDDDDNCNNDEDEVRVIEFKKKHEEVSQFKEEGDASEDSPLSSASSQAVTPDEQPTLGKKSDISRNAYSRYNTISYRKIRKGNTKQRIDEFESMMHL
+>DECOY_sp|Q8TAM6|ERMIN_HUMAN Ermin OS=Homo sapiens OX=9606 GN=ERMN PE=2 SV=1
+LHMMSEFEDIRQKTNGKRIKRYSITNYRSYANRSIDSKKGLTPQEDPTVAQSSASSLPSDESADGEEKFQSVEEHKKKFEIVRVEDEDNNCNDDDDDDIEEDWVKQEEDHKSHLMDAQSPKRFGLWEIEAAQHGKNKRDEDEEEKLPQETIREKQRRIEQNSGSLPIKEWQHGERFTMEDASTEQLSLDTIAKHVIFLKEEPNEKLMKDEMSSNLLMNGQLKRREEQSGKTLAGELSPEVRYHPLPSDVDTLEESIKTITQQGNEPPKDGNCEAQTFTAPVDTM
+>sp|Q7Z2K6|ERMP1_HUMAN Endoplasmic reticulum metallopeptidase 1 OS=Homo sapiens OX=9606 GN=ERMP1 PE=1 SV=2
+MEWGSESAAVRRHRVGVERREGAAAAPPPEREARAQEPLVDGCSGGGRTRKRSPGGSGGASRGAGTGLSEVRAALGLALYLIALRTLVQLSLQQLVLRGAAGHRGEFDALQARDYLEHITSIGPRTTGSPENEILTVHYLLEQIKLIEVQSNSLHKISVDVQRPTGSFSIDFLGGFTSYYDNITNVVVKLEPRDGAQHAVLANCHFDSVANSPGASDDAVSCSVMLEVLRVLSTSSEALHHAVIFLFNGAEENVLQASHGFITQHPWASLIRAFINLEAAGVGGKELVFQTGPENPWLVQAYVSAAKHPFASVVAQEVFQSGIIPSDTDFRIYRDFGNIPGIDLAFIENGYIYHTKYDTADRILTDSIQRAGDNILAVLKHLATSDMLAAASKYRHGNMVFFDVLGLFVIAYPSRIGSIINYMVVMGVVLYLGKKFLQPKHKTGNYKKDFLCGLGITLISWFTSLVTVLIIAVFISLIGQSLSWYNHFYVSVCLYGTATVAKIILIHTLAKRFYYMNASAQYLGEVFFDISLFVHCCFLVTLTYQGLCSAFISAVWVAFPLLTKLCVHKDFKQHGAQGKFIAFYLLGMFIPYLYALYLIWAVFEMFTPILGRSGSEIPPDVVLASILAGCTMILSSYFINFIYLAKSTKKTMLTLTLVCAITFLLVCSGTFFPYSSNPANPKPKRVFLQHMTRTFHDLEGNAVKRDSGIWINGFDYTGISHITPHIPEINDSIRAHCEENAPLCGFPWYLPVHFLIRKNWYLPAPEVSPRNPPHFRLISKEQTPWDSIKLTFEATGPSHMSFYVRAHKGSTLSQWSLGNGTPVTSKGGDYFVFYSHGLQASAWQFWIEVQVSEEHPEGMVTVAIAAHYLSGEDKRSPQLDALKEKFPDWTFPSAWVCTYDLFVF
+>DECOY_sp|Q7Z2K6|ERMP1_HUMAN Endoplasmic reticulum metallopeptidase 1 OS=Homo sapiens OX=9606 GN=ERMP1 PE=1 SV=2
+FVFLDYTCVWASPFTWDPFKEKLADLQPSRKDEGSLYHAAIAVTVMGEPHEESVQVEIWFQWASAQLGHSYFVFYDGGKSTVPTGNGLSWQSLTSGKHARVYFSMHSPGTAEFTLKISDWPTQEKSILRFHPPNRPSVEPAPLYWNKRILFHVPLYWPFGCLPANEECHARISDNIEPIHPTIHSIGTYDFGNIWIGSDRKVANGELDHFTRTMHQLFVRKPKPNAPNSSYPFFTGSCVLLFTIACVLTLTLMTKKTSKALYIFNIFYSSLIMTCGALISALVVDPPIESGSRGLIPTFMEFVAWILYLAYLYPIFMGLLYFAIFKGQAGHQKFDKHVCLKTLLPFAVWVASIFASCLGQYTLTVLFCCHVFLSIDFFVEGLYQASANMYYFRKALTHILIIKAVTATGYLCVSVYFHNYWSLSQGILSIFVAIILVTVLSTFWSILTIGLGCLFDKKYNGTKHKPQLFKKGLYLVVGMVVMYNIISGIRSPYAIVFLGLVDFFVMNGHRYKSAAALMDSTALHKLVALINDGARQISDTLIRDATDYKTHYIYGNEIFALDIGPINGFDRYIRFDTDSPIIGSQFVEQAVVSAFPHKAASVYAQVLWPNEPGTQFVLEKGGVGAAELNIFARILSAWPHQTIFGHSAQLVNEEAGNFLFIVAHHLAESSTSLVRLVELMVSCSVADDSAGPSNAVSDFHCNALVAHQAGDRPELKVVVNTINDYYSTFGGLFDISFSGTPRQVDVSIKHLSNSQVEILKIQELLYHVTLIENEPSGTTRPGISTIHELYDRAQLADFEGRHGAAGRLVLQQLSLQVLTRLAILYLALGLAARVESLGTGAGRSAGGSGGPSRKRTRGGGSCGDVLPEQARAEREPPPAAAAGERREVGVRHRRVAASESGWEM
+>sp|Q86YB8|ERO1B_HUMAN ERO1-like protein beta OS=Homo sapiens OX=9606 GN=ERO1B PE=1 SV=2
+MSQGVRRAGAGQGVAAAVQLLVTLSFLRSVVEAQVTGVLDDCLCDIDSIDNFNTYKIFPKIKKLQERDYFRYYKVNLKRPCPFWAEDGHCSIKDCHVEPCPESKIPVGIKAGHSNKYLKMANNTKELEDCEQANKLGAINSTLSNQSKEAFIDWARYDDSRDHFCELDDERSPAAQYVDLLLNPERYTGYKGTSAWRVWNSIYEENCFKPRSVYRPLNPLAPSRGEDDGESFYTWLEGLCLEKRVFYKLISGLHASINLHLCANYLLEETWGKPSWGPNIKEFKHRFDPVETKGEGPRRLKNLYFLYLIELRALSKVAPYFERSIVDLYTGNAEEDADTKTLLLNIFQDTKSFPMHFDEKSMFAGDKKGAKSLKEEFRLHFKNISRIMDCVGCDKCRLWGKLQTQGLGTALKILFSEKEIQKLPENSPSKGFQLTRQEIVALLNAFGRLSTSIRDLQNFKVLLQHSR
+>DECOY_sp|Q86YB8|ERO1B_HUMAN ERO1-like protein beta OS=Homo sapiens OX=9606 GN=ERO1B PE=1 SV=2
+RSHQLLVKFNQLDRISTSLRGFANLLAVIEQRTLQFGKSPSNEPLKQIEKESFLIKLATGLGQTQLKGWLRCKDCGVCDMIRSINKFHLRFEEKLSKAGKKDGAFMSKEDFHMPFSKTDQFINLLLTKTDADEEANGTYLDVISREFYPAVKSLARLEILYLFYLNKLRRPGEGKTEVPDFRHKFEKINPGWSPKGWTEELLYNACLHLNISAHLGSILKYFVRKELCLGELWTYFSEGDDEGRSPALPNLPRYVSRPKFCNEEYISNWVRWASTGKYGTYREPNLLLDVYQAAPSREDDLECFHDRSDDYRAWDIFAEKSQNSLTSNIAGLKNAQECDELEKTNNAMKLYKNSHGAKIGVPIKSEPCPEVHCDKISCHGDEAWFPCPRKLNVKYYRFYDREQLKKIKPFIKYTNFNDISDIDCLCDDLVGTVQAEVVSRLFSLTVLLQVAAAVGQGAGARRVGQSM
+>sp|Q96DN0|ERP27_HUMAN Endoplasmic reticulum resident protein 27 OS=Homo sapiens OX=9606 GN=ERP27 PE=1 SV=1
+MEAAPSRFMFLLFLLTCELAAEVAAEVEKSSDGPGAAQEPTWLTDVPAAMEFIAATEVAVIGFFQDLEIPAVPILHSMVQKFPGVSFGISTDSEVLTHYNITGNTICLFRLVDNEQLNLEDEDIESIDATKLSRFIEINSLHMVTEYNPVTVIGLFNSVIQIHLLLIMNKASPEYEENMHRYQKAAKLFQGKILFILVDSGMKENGKVISFFKLKESQLPALAIYQTLDDEWDTLPTAEVSVEHVQNFCDGFLSGKLLKENRESEGKTPKVEL
+>DECOY_sp|Q96DN0|ERP27_HUMAN Endoplasmic reticulum resident protein 27 OS=Homo sapiens OX=9606 GN=ERP27 PE=1 SV=1
+LEVKPTKGESERNEKLLKGSLFGDCFNQVHEVSVEATPLTDWEDDLTQYIALAPLQSEKLKFFSIVKGNEKMGSDVLIFLIKGQFLKAAKQYRHMNEEYEPSAKNMILLLHIQIVSNFLGIVTVPNYETVMHLSNIEIFRSLKTADISEIDEDELNLQENDVLRFLCITNGTINYHTLVESDTSIGFSVGPFKQVMSHLIPVAPIELDQFFGIVAVETAAIFEMAAPVDTLWTPEQAAGPGDSSKEVEAAVEAALECTLLFLLFMFRSPAAEM
+>sp|P30040|ERP29_HUMAN Endoplasmic reticulum resident protein 29 OS=Homo sapiens OX=9606 GN=ERP29 PE=1 SV=4
+MAAAVPRAAFLSPLLPLLLGFLLLSAPHGGSGLHTKGALPLDTVTFYKVIPKSKFVLVKFDTQYPYGEKQDEFKRLAENSASSDDLLVAEVGISDYGDKLNMELSEKYKLDKESYPVFYLFRDGDFENPVPYTGAVKVGAIQRWLKGQGVYLGMPGCLPVYDALAGEFIRASGVEARQALLKQGQDNLSSVKETQKKWAEQYLKIMGKILDQGEDFPASEMTRIARLIEKNKMSDGKKEELQKSLNILTAFQKKGAEKEEL
+>DECOY_sp|P30040|ERP29_HUMAN Endoplasmic reticulum resident protein 29 OS=Homo sapiens OX=9606 GN=ERP29 PE=1 SV=4
+LEEKEAGKKQFATLINLSKQLEEKKGDSMKNKEILRAIRTMESAPFDEGQDLIKGMIKLYQEAWKKQTEKVSSLNDQGQKLLAQRAEVGSARIFEGALADYVPLCGPMGLYVGQGKLWRQIAGVKVAGTYPVPNEFDGDRFLYFVPYSEKDLKYKESLEMNLKDGYDSIGVEAVLLDDSSASNEALRKFEDQKEGYPYQTDFKVLVFKSKPIVKYFTVTDLPLAGKTHLGSGGHPASLLLFGLLLPLLPSLFAARPVAAAM
+>sp|O95718|ERR2_HUMAN Steroid hormone receptor ERR2 OS=Homo sapiens OX=9606 GN=ESRRB PE=1 SV=3
+MSSDDRHLGSSCGSFIKTEPSSPSSGIDALSHHSPSGSSDASGGFGLALGTHANGLDSPPMFAGAGLGGTPCRKSYEDCASGIMEDSAIKCEYMLNAIPKRLCLVCGDIASGYHYGVASCEACKAFFKRTIQGNIEYSCPATNECEITKRRRKSCQACRFMKCLKVGMLKEGVRLDRVRGGRQKYKRRLDSESSPYLSLQISPPAKKPLTKIVSYLLVAEPDKLYAMPPPGMPEGDIKALTTLCDLADRELVVIIGWAKHIPGFSSLSLGDQMSLLQSAWMEILILGIVYRSLPYDDKLVYAEDYIMDEEHSRLAGLLELYRAILQLVRRYKKLKVEKEEFVTLKALALANSDSMYIEDLEAVQKLQDLLHEALQDYELSQRHEEPWRTGKLLLTLPLLRQTAAKAVQHFYSVKLQGKVPMHKLFLEMLEAKV
+>DECOY_sp|O95718|ERR2_HUMAN Steroid hormone receptor ERR2 OS=Homo sapiens OX=9606 GN=ESRRB PE=1 SV=3
+VKAELMELFLKHMPVKGQLKVSYFHQVAKAATQRLLPLTLLLKGTRWPEEHRQSLEYDQLAEHLLDQLKQVAELDEIYMSDSNALALAKLTVFEEKEVKLKKYRRVLQLIARYLELLGALRSHEEDMIYDEAYVLKDDYPLSRYVIGLILIEMWASQLLSMQDGLSLSSFGPIHKAWGIIVVLERDALDCLTTLAKIDGEPMGPPPMAYLKDPEAVLLYSVIKTLPKKAPPSIQLSLYPSSESDLRRKYKQRGGRVRDLRVGEKLMGVKLCKMFRCAQCSKRRRKTIECENTAPCSYEINGQITRKFFAKCAECSAVGYHYGSAIDGCVLCLRKPIANLMYECKIASDEMIGSACDEYSKRCPTGGLGAGAFMPPSDLGNAHTGLALGFGGSADSSGSPSHHSLADIGSSPSSPETKIFSGCSSGLHRDDSSM
+>sp|P54849|EMP1_HUMAN Epithelial membrane protein 1 OS=Homo sapiens OX=9606 GN=EMP1 PE=1 SV=3
+MLVLLAGIFVVHIATVIMLFVSTIANVWLVSNTVDASVGLWKNCTNISCSDSLSYASEDALKTVQAFMILSIIFCVIALLVFVFQLFTMEKGNRFFLSGATTLVCWLCILVGVSIYTSHYANRDGTQYHHGYSYILGWICFCFSFIIGVLYLVLRKK
+>DECOY_sp|P54849|EMP1_HUMAN Epithelial membrane protein 1 OS=Homo sapiens OX=9606 GN=EMP1 PE=1 SV=3
+KKRLVLYLVGIIFSFCFCIWGLIYSYGHHYQTGDRNAYHSTYISVGVLICLWCVLTTAGSLFFRNGKEMTFLQFVFVLLAIVCFIISLIMFAQVTKLADESAYSLSDSCSINTCNKWLGVSADVTNSVLWVNAITSVFLMIVTAIHVVFIGALLVLM
+>sp|O94919|ENDD1_HUMAN Endonuclease domain-containing 1 protein OS=Homo sapiens OX=9606 GN=ENDOD1 PE=1 SV=2
+MGTARWLALGSLFALAGLLEGRLVGEEEAGFGECDKFFYAGTPPAGLAADSHVKICQRAEGAERFATLYSTRDRIPVYSAFRAPRPAPGGAEQRWLVEPQIDDPNSNLEEAINEAEAITSVNSLGSKQALNTDYLDSDYQRGQLYPFSLSSDVQVATFTLTNSAPMTQSFQERWYVNLHSLMDRALTPQCGSGEDLYILTGTVPSDYRVKDKVAVPEFVWLAACCAVPGGGWAMGFVKHTRDSDIIEDVMVKDLQKLLPFNPQLFQNNCGETEQDTEKMKKILEVVNQIQDEERMVQSQKSSSPLSSTRSKRSTLLPPEASEGSSSFLGKLMGFIATPFIKLFQLIYYLVVAILKNIVYFLWCVTKQVINGIESCLYRLGSATISYFMAIGEELVSIPWKVLKVVAKVIRALLRILCCLLKAICRVLSIPVRVLVDVATFPVYTMGAIPIVCKDIALGLGGTVSLLFDTAFGTLGGLFQVVFSVCKRIGYKVTFDNSGEL
+>DECOY_sp|O94919|ENDD1_HUMAN Endonuclease domain-containing 1 protein OS=Homo sapiens OX=9606 GN=ENDOD1 PE=1 SV=2
+LEGSNDFTVKYGIRKCVSFVVQFLGGLTGFATDFLLSVTGGLGLAIDKCVIPIAGMTYVPFTAVDVLVRVPISLVRCIAKLLCCLIRLLARIVKAVVKLVKWPISVLEEGIAMFYSITASGLRYLCSEIGNIVQKTVCWLFYVINKLIAVVLYYILQFLKIFPTAIFGMLKGLFSSSGESAEPPLLTSRKSRTSSLPSSSKQSQVMREEDQIQNVVELIKKMKETDQETEGCNNQFLQPNFPLLKQLDKVMVDEIIDSDRTHKVFGMAWGGGPVACCAALWVFEPVAVKDKVRYDSPVTGTLIYLDEGSGCQPTLARDMLSHLNVYWREQFSQTMPASNTLTFTAVQVDSSLSFPYLQGRQYDSDLYDTNLAQKSGLSNVSTIAEAENIAEELNSNPDDIQPEVLWRQEAGGPAPRPARFASYVPIRDRTSYLTAFREAGEARQCIKVHSDAALGAPPTGAYFFKDCEGFGAEEEGVLRGELLGALAFLSGLALWRATGM
+>sp|P21128|ENDOU_HUMAN Poly(U)-specific endoribonuclease OS=Homo sapiens OX=9606 GN=ENDOU PE=1 SV=2
+MRACISLVLAVLCGLAWAGKIESCASRCNEKFNRDAACQCDRRCLWHGNCCEDYEHLCTEDHKESEPLPQLEEETEEALASNLYSAPTSCQGRCYEAFDKHHQCHCNARCQEFGNCCKDFESLCSDHEVSHSSDAITKEEIQSISEKIYRADTNKAQKEDIVLNSQNCISPSETRNQVDRCPKPLFTYVNEKLFSKPTYAAFINLLNNYQRATGHGEHFSAQELAEQDAFLREIMKTAVMKELYSFLHHQNRYGSEQEFVDDLKNMWFGLYSRGNEEGDSSGFEHVFSGEVKKGKVTGFHNWIRFYLEEKEGLVDYYSHIYDGPWDSYPDVLAMQFNWDGYYKEVGSAFIGSSPEFEFALYSLCFIARPGKVCQLSLGGYPLAVRTYTWDKSTYGNGKKYIATAYIVSST
+>DECOY_sp|P21128|ENDOU_HUMAN Poly(U)-specific endoribonuclease OS=Homo sapiens OX=9606 GN=ENDOU PE=1 SV=2
+TSSVIYATAIYKKGNGYTSKDWTYTRVALPYGGLSLQCVKGPRAIFCLSYLAFEFEPSSGIFASGVEKYYGDWNFQMALVDPYSDWPGDYIHSYYDVLGEKEELYFRIWNHFGTVKGKKVEGSFVHEFGSSDGEENGRSYLGFWMNKLDDVFEQESGYRNQHHLFSYLEKMVATKMIERLFADQEALEQASFHEGHGTARQYNNLLNIFAAYTPKSFLKENVYTFLPKPCRDVQNRTESPSICNQSNLVIDEKQAKNTDARYIKESISQIEEKTIADSSHSVEHDSCLSEFDKCCNGFEQCRANCHCQHHKDFAEYCRGQCSTPASYLNSALAEETEEELQPLPESEKHDETCLHEYDECCNGHWLCRRDCQCAADRNFKENCRSACSEIKGAWALGCLVALVLSICARM
+>sp|Q9N2J8|ENH3_HUMAN HERV-H_2q24.1 provirus ancestral Env polyprotein OS=Homo sapiens OX=9606 PE=2 SV=1
+MILAGRAPSNTSTLMKFYSLLLYSLLFSFPFLYHPLPLPSYLHHTINLTHSLPAASNPSLANNCWLCISLSSSAYIAVPTLQTDRATSPVSLHLRTSFNSPHLYPPEELIYFLDRSSKTSPDISHQPAAALLHIYLKNLSPYINSTPPIFGPLTTQTTIPVAAPLCISRQRPTGIPLGNISPSRCSFTLHLQSPTTHVTETIGVFQLHIIDKPSINTDKLKNVSSNYCLGRHLPYISLHPWLPSPCSSDSPPRPSSCLLTPSPQNNSERLLVDTQRFLIHHENRTSSSMQLAHQSPLQPLTAAALAGSLGVWVQDTPFSTPSHPFSLHLQFCLTQGLFFLCGSSTYMCLPANWTGTCTLVFLTPKIQFANGTKELPVPLMTLTPQKRVIPLIPLMVGLGLSASTIALSTGIAGISTSVTTFRSPSNDFSASITDISQTLSVLQAQVDSLAAVVLQNRRGLGLSILLNEECCFYLNQSGLVYENIKKLKDRAQKLANQASNYAESPWALSNWMSWVLPILSPLIPIFLLLLFGPCIFHLVSQFIQNRIQAITNHSI
+>DECOY_sp|Q9N2J8|ENH3_HUMAN HERV-H_2q24.1 provirus ancestral Env polyprotein OS=Homo sapiens OX=9606 PE=2 SV=1
+ISHNTIAQIRNQIFQSVLHFICPGFLLLLFIPILPSLIPLVWSMWNSLAWPSEAYNSAQNALKQARDKLKKINEYVLGSQNLYFCCEENLLISLGLGRRNQLVVAALSDVQAQLVSLTQSIDTISASFDNSPSRFTTVSTSIGAIGTSLAITSASLGLGVMLPILPIVRKQPTLTMLPVPLEKTGNAFQIKPTLFVLTCTGTWNAPLCMYTSSGCLFFLGQTLCFQLHLSFPHSPTSFPTDQVWVGLSGALAAATLPQLPSQHALQMSSSTRNEHHILFRQTDVLLRESNNQPSPTLLCSSPRPPSDSSCPSPLWPHLSIYPLHRGLCYNSSVNKLKDTNISPKDIIHLQFVGITETVHTTPSQLHLTFSCRSPSINGLPIGTPRQRSICLPAAVPITTQTTLPGFIPPTSNIYPSLNKLYIHLLAAAPQHSIDPSTKSSRDLFYILEEPPYLHPSNFSTRLHLSVPSTARDTQLTPVAIYASSSLSICLWCNNALSPNSAAPLSHTLNITHHLYSPLPLPHYLFPFSFLLSYLLLSYFKMLTSTNSPARGALIM
+>sp|P61568|ENK11_HUMAN Putative endogenous retrovirus group K member 11-1 Env polyprotein OS=Homo sapiens OX=9606 GN=ERVK11-1 PE=5 SV=1
+MPGAIDDHCPAQPGEEGTAFNVTMGYKYPPLCLGHATRCIHLETQVWAAYLLERLATGKWGHLVSGLSLCPLRQMKRGVIGDTPYFQYKPVGKLCPKNFEGPSKTLIWGDCVNSHAVVLKNDSYALVIDWAPKGYLKNTCSSGGGEFLEATYFISYWEDEDHHPTLHRWFGSFFTLKWEDKDITLHPQGLV
+>DECOY_sp|P61568|ENK11_HUMAN Putative endogenous retrovirus group K member 11-1 Env polyprotein OS=Homo sapiens OX=9606 GN=ERVK11-1 PE=5 SV=1
+VLGQPHLTIDKDEWKLTFFSGFWRHLTPHHDEDEWYSIFYTAELFEGGGSSCTNKLYGKPAWDIVLAYSDNKLVVAHSNVCDGWILTKSPGEFNKPCLKGVPKYQFYPTDGIVGRKMQRLPCLSLGSVLHGWKGTALRELLYAAWVQTELHICRTAHGLCLPPYKYGMTVNFATGEEGPQAPCHDDIAGPM
+>sp|P61565|ENK21_HUMAN Endogenous retrovirus group K member 21 Env polyprotein OS=Homo sapiens OX=9606 GN=ERVK-21 PE=1 SV=1
+MHPSEMQRKAPPRRRRHRNRAPLTHKMNKMVTSEQMKLPSTKKAEPPTWAQLKKLTQLATKYLENTKVTQTPESMLLAALMIVSMVVSLPMPAGAAAANYTNWAYVPFPPLIRAVTWMDNPIEVYVNDSVWVHGPIDDRCPAKPEEEGMMINISIGYHYPPICLGRAPGCLMPAVQNWLVEVPTVSPISRFTYNMVSGMSLRPRVNYLQDFSYQRSLKFRPKGKPCPKEIPKESKNTEVLVWEECVANSVVILQNNEFGTIIDWAPRGQFYHNCSGQTQSCPSAQVSPAVDSDLTESLDKHKHKKLQSFYPWEWGEKGISTPRPKIISPVSGPEHPELWRLTVASHHIRIWSGNQTLETRDRKPFYTVDLNSSLTVPLQSCVKPPYMLVVGNIVIKPDSQTITCENCRLLTCIDSTFNWQHRILLVRAREGVWIPVSMDRPWEASPSIHILTEVLKGVLNRSKRFIFTLIAVIMGLIAVTAMAAVAGVALHSFVQSVNFVNDWQKNSTRLWNSQSSIDQKLANQINDLRQTVIWMGDRLMSLEHRFQLQCDWNTSDFCITPQIYNESEHHWDMVRRHLQGREDNLTLDISKLKEQIFEASKAHLNLVPGTEAIAGVADGLANLNPVTWVKTIGSTTIINLILILVCLFCLLLVCRCTQQLRRDSDHRERAMMTMVVLSKRKGGNVGKSKRDQIVTVSV
+>DECOY_sp|P61565|ENK21_HUMAN Endogenous retrovirus group K member 21 Env polyprotein OS=Homo sapiens OX=9606 GN=ERVK-21 PE=1 SV=1
+VSVTVIQDRKSKGVNGGKRKSLVVMTMMARERHDSDRRLQQTCRCVLLLCFLCVLILILNIITTSGITKVWTVPNLNALGDAVGAIAETGPVLNLHAKSAEFIQEKLKSIDLTLNDERGQLHRRVMDWHHESENYIQPTICFDSTNWDCQLQFRHELSMLRDGMWIVTQRLDNIQNALKQDISSQSNWLRTSNKQWDNVFNVSQVFSHLAVGAVAAMATVAILGMIVAILTFIFRKSRNLVGKLVETLIHISPSAEWPRDMSVPIWVGERARVLLIRHQWNFTSDICTLLRCNECTITQSDPKIVINGVVLMYPPKVCSQLPVTLSSNLDVTYFPKRDRTELTQNGSWIRIHHSAVTLRWLEPHEPGSVPSIIKPRPTSIGKEGWEWPYFSQLKKHKHKDLSETLDSDVAPSVQASPCSQTQGSCNHYFQGRPAWDIITGFENNQLIVVSNAVCEEWVLVETNKSEKPIEKPCPKGKPRFKLSRQYSFDQLYNVRPRLSMGSVMNYTFRSIPSVTPVEVLWNQVAPMLCGPARGLCIPPYHYGISINIMMGEEEPKAPCRDDIPGHVWVSDNVYVEIPNDMWTVARILPPFPVYAWNTYNAAAAGAPMPLSVVMSVIMLAALLMSEPTQTVKTNELYKTALQTLKKLQAWTPPEAKKTSPLKMQESTVMKNMKHTLPARNRHRRRRPPAKRQMESPHM
+>sp|Q9UKH3|ENK9_HUMAN Endogenous retrovirus group K member 9 Env polyprotein OS=Homo sapiens OX=9606 GN=ERVK-9 PE=1 SV=1
+MNPSEMQRKAPPRRRRHRNRAPLTHKMNKMVTSEEQMKLPSTKKAEPPTWAQLKKLTQLATKYLENTKVTQTPESMLLAALMIVSMVVSLPMPAGAAAANYTNWAYVPFPPLIRAVTWMDNPIEVYVNDSVWVPGPIDDRCPAKPEEEGMMINISIGYRYPICLGRAPGCLMPAVQNWLVEVPIVSPICRFTYHMVSGMSLRPRVNYLQDFSYQRSLKFRPKGKPCPKEIPKESKNTEVLVWEECVANSAVILQNNEFGTIIDWTPQGQFYHNCSGQTQSCPSAQVSPAVDSDLTESLDKHKHKKLQSFYPWEWGEKGISTPRPKIISPVSGPEHPELWRLTVASHHIRIWSGNQTLETRDRKPFYTVDLNSSLTLPLQSCVKPPYMLVVGNIVIKPDSQTITCENCRLLTCIDSTFNWQHRILLVRAREGVWIPVSMDRPWEASPSIHILTEVLKGVLNRSKRFIFTLIAVIMGLIAVTATAAVAGVALHSSVQSVNFVNDGQKNSTRLWNSQSSIDQKLANQINDLRQTVIWMGDRLMSLEHRFQLQCDWNTSDFCITPQIYNESEHHWDMVRRHLQGREDNLTLDISKLKEQIFEASKAHLNLVPGTEAIAGVADGLANLNPVTWVKTIGSTTIINLILILVCLFCLLLVCRCTQQLRRDSDHRERAMMTMAVLSKRKGGNVGKSKRDQIVTVSV
+>DECOY_sp|Q9UKH3|ENK9_HUMAN Endogenous retrovirus group K member 9 Env polyprotein OS=Homo sapiens OX=9606 GN=ERVK-9 PE=1 SV=1
+VSVTVIQDRKSKGVNGGKRKSLVAMTMMARERHDSDRRLQQTCRCVLLLCFLCVLILILNIITTSGITKVWTVPNLNALGDAVGAIAETGPVLNLHAKSAEFIQEKLKSIDLTLNDERGQLHRRVMDWHHESENYIQPTICFDSTNWDCQLQFRHELSMLRDGMWIVTQRLDNIQNALKQDISSQSNWLRTSNKQGDNVFNVSQVSSHLAVGAVAATATVAILGMIVAILTFIFRKSRNLVGKLVETLIHISPSAEWPRDMSVPIWVGERARVLLIRHQWNFTSDICTLLRCNECTITQSDPKIVINGVVLMYPPKVCSQLPLTLSSNLDVTYFPKRDRTELTQNGSWIRIHHSAVTLRWLEPHEPGSVPSIIKPRPTSIGKEGWEWPYFSQLKKHKHKDLSETLDSDVAPSVQASPCSQTQGSCNHYFQGQPTWDIITGFENNQLIVASNAVCEEWVLVETNKSEKPIEKPCPKGKPRFKLSRQYSFDQLYNVRPRLSMGSVMHYTFRCIPSVIPVEVLWNQVAPMLCGPARGLCIPYRYGISINIMMGEEEPKAPCRDDIPGPVWVSDNVYVEIPNDMWTVARILPPFPVYAWNTYNAAAAGAPMPLSVVMSVIMLAALLMSEPTQTVKTNELYKTALQTLKKLQAWTPPEAKKTSPLKMQEESTVMKNMKHTLPARNRHRRRRPPAKRQMESPNM
+>sp|Q9H0I2|ENKD1_HUMAN Enkurin domain-containing protein 1 OS=Homo sapiens OX=9606 GN=ENKD1 PE=1 SV=1
+MCEGPSRISGPIPPDPTLCPDNYRRPTSAQGRLEGNALKLDLLTSDRALDTTAPRGPCIGPGAGEILERGQRGVGDVLLQLEGISLGPGASLKRKDPKDHEKENLRRIREIQKRFREQERSREQGQPRPLKALWRSPKYDKVESRVKAQLQEPGPASGTESAHFLRAHSRCGPGLPPPHVSSPQPTPPGPEAKEPGLGVDFIRHNARAAKRAPRRHSCSLQVLAQVLEQQRQAQEHYNATQKGHVPHYLLERRDLWRREAEARKQSQPDPAMPPGHTRMPENQRLETLTKLLQSQSQLLRELVLLPAGADSLRAQSHRAELDRKLVQVEEAIKIFSRPKVFVKMDD
+>DECOY_sp|Q9H0I2|ENKD1_HUMAN Enkurin domain-containing protein 1 OS=Homo sapiens OX=9606 GN=ENKD1 PE=1 SV=1
+DDMKVFVKPRSFIKIAEEVQVLKRDLEARHSQARLSDAGAPLLVLERLLQSQSQLLKTLTELRQNEPMRTHGPPMAPDPQSQKRAEAERRWLDRRELLYHPVHGKQTANYHEQAQRQQELVQALVQLSCSHRRPARKAARANHRIFDVGLGPEKAEPGPPTPQPSSVHPPPLGPGCRSHARLFHASETGSAPGPEQLQAKVRSEVKDYKPSRWLAKLPRPQGQERSREQERFRKQIERIRRLNEKEHDKPDKRKLSAGPGLSIGELQLLVDGVGRQGRELIEGAGPGICPGRPATTDLARDSTLLDLKLANGELRGQASTPRRYNDPCLTPDPPIPGSIRSPGECM
+>sp|Q03111|ENL_HUMAN Protein ENL OS=Homo sapiens OX=9606 GN=MLLT1 PE=1 SV=2
+MDNQCTVQVRLELGHRAQLRKKPTTEGFTHDWMVFVRGPEQCDIQHFVEKVVFWLHDSFPKPRRVCKEPPYKVEESGYAGFIMPIEVHFKNKEEPRKVCFTYDLFLNLEGNPPVNHLRCEKLTFNNPTTEFRYKLLRAGGVMVMPEGADTVSRPSPDYPMLPTIPLSAFSDPKKTKPSHGSKDANKESSKTSKPHKVTKEHRERPRKDSESKSSSKELEREQAKSSKDTSRKLGEGRLPKEEKAPPPKAAFKEPKMALKETKLESTSPKGGPPPPPPPPPRASSKRPATADSPKPSAKKQKKSSSKGSRSAPGTSPRTSSSSSFSDKKPAKDKSSTRGEKVKAESEPREAKKALEVEESNSEDEASFKSESAQSSPSNSSSSSDSSSDSDFEPSQNHSQGPLRSMVEDLQSEESDEDDSSSGEEAAGKTNPGRDSRLSFSDSESDNSADSSLPSREPPPPQKPPPPNSKVSGRRSPESCSKPEKILKKGTYDKAYTDELVELHRRLMALRERNVLQQIVNLIEETGHFNVTNTTFDFDLFSLDETTVRKLQSCLEAVAT
+>DECOY_sp|Q03111|ENL_HUMAN Protein ENL OS=Homo sapiens OX=9606 GN=MLLT1 PE=1 SV=2
+TAVAELCSQLKRVTTEDLSFLDFDFTTNTVNFHGTEEILNVIQQLVNRERLAMLRRHLEVLEDTYAKDYTGKKLIKEPKSCSEPSRRGSVKSNPPPPKQPPPPERSPLSSDASNDSESDSFSLRSDRGPNTKGAAEEGSSSDDEDSEESQLDEVMSRLPGQSHNQSPEFDSDSSSDSSSSSNSPSSQASESKFSAEDESNSEEVELAKKAERPESEAKVKEGRTSSKDKAPKKDSFSSSSSTRPSTGPASRSGKSSSKKQKKASPKPSDATAPRKSSARPPPPPPPPPGGKPSTSELKTEKLAMKPEKFAAKPPPAKEEKPLRGEGLKRSTDKSSKAQERELEKSSSKSESDKRPRERHEKTVKHPKSTKSSEKNADKSGHSPKTKKPDSFASLPITPLMPYDPSPRSVTDAGEPMVMVGGARLLKYRFETTPNNFTLKECRLHNVPPNGELNLFLDYTFCVKRPEEKNKFHVEIPMIFGAYGSEEVKYPPEKCVRRPKPFSDHLWFVVKEVFHQIDCQEPGRVFVMWDHTFGETTPKKRLQARHGLELRVQVTCQNDM
+>sp|A6NNW6|ENO4_HUMAN Enolase 4 OS=Homo sapiens OX=9606 GN=ENO4 PE=2 SV=2
+MEEEGGGRSCGTTRELQKLKQQAMEYYRENDVPRRLEELLNSTFYLQPADVYGHLKANCFSKLAKPPTICKIVGKDVLDGLGLPTLQVDIFCTIQNFPKNVCSVVISTHFEVHENALPELAKAEEAERASAVSTAVQWVNSTITHELQGMAPSDQAEVDHLLRIFFASKVQEDKGRKELEKSLEYSTVPTPLPPVPPPPPPPPPTKKKGQKPGRKDTITEKPIAPAEPVEPVLSGSMAIGAVSLAVAKACAMLLNKPLYLNIALLKHNQEQPTTLSMPLLMVSLVSCGKSSSGKLNLMKEVICIPHPELTTKQGVEMLMEMQKHINKIIEMMPPSPPKAETKKGHDGSKRGQQQITGKMSHLGCLTINCDSIEQPLLLIQEICANLGLELGTNLHLAINCAGHELMDYNKGKYEVIMGTYKNAAEMVDLYVDLINKYPSIIALIDPFRKEDSEQWDSIYHALGSRCYIIAGTASKSISKLLEQGNISIPKSNGLIIKHTNQTTMSDLVEITNLIDSKKHITVFGSTEGESSDDSLVDLAVGLGVRFIKLGGLSRGERVTKYNRLLTIEEELVQNGTLGFKEEHTFFYFNEEAEKAAEALEAAAAREPLVPTFPTQGVEESAETGASSG
+>DECOY_sp|A6NNW6|ENO4_HUMAN Enolase 4 OS=Homo sapiens OX=9606 GN=ENO4 PE=2 SV=2
+GSSAGTEASEEVGQTPFTPVLPERAAAAELAEAAKEAEENFYFFTHEEKFGLTGNQVLEEEITLLRNYKTVREGRSLGGLKIFRVGLGVALDVLSDDSSEGETSGFVTIHKKSDILNTIEVLDSMTTQNTHKIILGNSKPISINGQELLKSISKSATGAIIYCRSGLAHYISDWQESDEKRFPDILAIISPYKNILDVYLDVMEAANKYTGMIVEYKGKNYDMLEHGACNIALHLNTGLELGLNACIEQILLLPQEISDCNITLCGLHSMKGTIQQQGRKSGDHGKKTEAKPPSPPMMEIIKNIHKQMEMLMEVGQKTTLEPHPICIVEKMLNLKGSSSKGCSVLSVMLLPMSLTTPQEQNHKLLAINLYLPKNLLMACAKAVALSVAGIAMSGSLVPEVPEAPAIPKETITDKRGPKQGKKKTPPPPPPPPPVPPLPTPVTSYELSKELEKRGKDEQVKSAFFIRLLHDVEAQDSPAMGQLEHTITSNVWQVATSVASAREAEEAKALEPLANEHVEFHTSIVVSCVNKPFNQITCFIDVQLTPLGLGDLVDKGVIKCITPPKALKSFCNAKLHGYVDAPQLYFTSNLLEELRRPVDNERYYEMAQQKLKQLERTTGCSRGGGEEEM
+>sp|P0DO92|ENOL_HUMAN Putative protein T-ENOL OS=Homo sapiens OX=9606 GN=T-ENOL PE=1 SV=1
+MASTPMGNEGEKKSSWPSQAAPSLRGGPASLSRSEEYLSQISAELMEEALCTACCHLNPVPIKKKQSQDQATQISKRAFFTKT
+>DECOY_sp|P0DO92|ENOL_HUMAN Putative protein T-ENOL OS=Homo sapiens OX=9606 GN=T-ENOL PE=1 SV=1
+TKTFFARKSIQTAQDQSQKKKIPVPNLHCCATCLAEEMLEASIQSLYEESRSLSAPGGRLSPAAQSPWSSKKEGENGMPTSAM
+>sp|P22413|ENPP1_HUMAN Ectonucleotide pyrophosphatase/phosphodiesterase family member 1 OS=Homo sapiens OX=9606 GN=ENPP1 PE=1 SV=2
+MERDGCAGGGSRGGEGGRAPREGPAGNGRDRGRSHAAEAPGDPQAAASLLAPMDVGEEPLEKAARARTAKDPNTYKVLSLVLSVCVLTTILGCIFGLKPSCAKEVKSCKGRCFERTFGNCRCDAACVELGNCCLDYQETCIEPEHIWTCNKFRCGEKRLTRSLCACSDDCKDKGDCCINYSSVCQGEKSWVEEPCESINEPQCPAGFETPPTLLFSLDGFRAEYLHTWGGLLPVISKLKKCGTYTKNMRPVYPTKTFPNHYSIVTGLYPESHGIIDNKMYDPKMNASFSLKSKEKFNPEWYKGEPIWVTAKYQGLKSGTFFWPGSDVEINGIFPDIYKMYNGSVPFEERILAVLQWLQLPKDERPHFYTLYLEEPDSSGHSYGPVSSEVIKALQRVDGMVGMLMDGLKELNLHRCLNLILISDHGMEQGSCKKYIYLNKYLGDVKNIKVIYGPAARLRPSDVPDKYYSFNYEGIARNLSCREPNQHFKPYLKHFLPKRLHFAKSDRIEPLTFYLDPQWQLALNPSERKYCGSGFHGSDNVFSNMQALFVGYGPGFKHGIEADTFENIEVYNLMCDLLNLTPAPNNGTHGSLNHLLKNPVYTPKHPKEVHPLVQCPFTRNPRDNLGCSCNPSILPIEDFQTQFNLTVAEEKIIKHETLPYGRPRVLQKENTICLLSQHQFMSGYSQDILMPLWTSYTVDRNDSFSTEDFSNCLYQDFRIPLSPVHKCSFYKNNTKVSYGFLSPPQLNKNSSGIYSEALLTTNIVPMYQSFQVIWRYFHDTLLRKYAEERNGVNVVSGPVFDFDYDGRCDSLENLRQKRRVIRNQEILIPTHFFIVLTSCKDTSQTPLHCENLDTLAFILPHRTDNSESCVHGKHDSSWVEELLMLHRARITDVEHITGLSFYQQRKEPVSDILKLKTHLPTFSQED
+>DECOY_sp|P22413|ENPP1_HUMAN Ectonucleotide pyrophosphatase/phosphodiesterase family member 1 OS=Homo sapiens OX=9606 GN=ENPP1 PE=1 SV=2
+DEQSFTPLHTKLKLIDSVPEKRQQYFSLGTIHEVDTIRARHLMLLEEVWSSDHKGHVCSESNDTRHPLIFALTDLNECHLPTQSTDKCSTLVIFFHTPILIEQNRIVRRKQRLNELSDCRGDYDFDFVPGSVVNVGNREEAYKRLLTDHFYRWIVQFSQYMPVINTTLLAESYIGSSNKNLQPPSLFGYSVKTNNKYFSCKHVPSLPIRFDQYLCNSFDETSFSDNRDVTYSTWLPMLIDQSYGSMFQHQSLLCITNEKQLVRPRGYPLTEHKIIKEEAVTLNFQTQFDEIPLISPNCSCGLNDRPNRTFPCQVLPHVEKPHKPTYVPNKLLHNLSGHTGNNPAPTLNLLDCMLNYVEINEFTDAEIGHKFGPGYGVFLAQMNSFVNDSGHFGSGCYKRESPNLALQWQPDLYFTLPEIRDSKAFHLRKPLFHKLYPKFHQNPERCSLNRAIGEYNFSYYKDPVDSPRLRAAPGYIVKINKVDGLYKNLYIYKKCSGQEMGHDSILILNLCRHLNLEKLGDMLMGVMGDVRQLAKIVESSVPGYSHGSSDPEELYLTYFHPREDKPLQLWQLVALIREEFPVSGNYMKYIDPFIGNIEVDSGPWFFTGSKLGQYKATVWIPEGKYWEPNFKEKSKLSFSANMKPDYMKNDIIGHSEPYLGTVISYHNPFTKTPYVPRMNKTYTGCKKLKSIVPLLGGWTHLYEARFGDLSFLLTPPTEFGAPCQPENISECPEEVWSKEGQCVSSYNICCDGKDKCDDSCACLSRTLRKEGCRFKNCTWIHEPEICTEQYDLCCNGLEVCAADCRCNGFTREFCRGKCSKVEKACSPKLGFICGLITTLVCVSLVLSLVKYTNPDKATRARAAKELPEEGVDMPALLSAAAQPDGPAEAAHSRGRDRGNGAPGERPARGGEGGRSGGGACGDREM
+>sp|Q9UJA9|ENPP5_HUMAN Ectonucleotide pyrophosphatase/phosphodiesterase family member 5 OS=Homo sapiens OX=9606 GN=ENPP5 PE=1 SV=1
+MTSKFLLVSFILAALSLSTTFSLQPDQQKVLLVSFDGFRWDYLYKVPTPHFHYIMKYGVHVKQVTNVFITKTYPNHYTLVTGLFAENHGIVANDMFDPIRNKSFSLDHMNIYDSKFWEEATPIWITNQRAGHTSGAAMWPGTDVKIHKRFPTHYMPYNESVSFEDRVAKIIEWFTSKEPINLGLLYWEDPDDMGHHLGPDSPLMGPVISDIDKKLGYLIQMLKKAKLWNTLNLIITSDHGMTQCSEERLIELDQYLDKDHYTLIDQSPVAAILPKEGKFDEVYEALTHAHPNLTVYKKEDVPERWHYKYNSRIQPIIAVADEGWHILQNKSDDFLLGNHGYDNALADMHPIFLAHGPAFRKNFSKEAMNSTDLYPLLCHLLNITAMPHNGSFWNVQDLLNSAMPRVVPYTQSTILLPGSVKPAEYDQEGSYPYFIGVSLGSIIVIVFFVIFIKHLIHSQIPALQDMHAEIAQPLLQA
+>DECOY_sp|Q9UJA9|ENPP5_HUMAN Ectonucleotide pyrophosphatase/phosphodiesterase family member 5 OS=Homo sapiens OX=9606 GN=ENPP5 PE=1 SV=1
+AQLLPQAIEAHMDQLAPIQSHILHKIFIVFFVIVIISGLSVGIFYPYSGEQDYEAPKVSGPLLITSQTYPVVRPMASNLLDQVNWFSGNHPMATINLLHCLLPYLDTSNMAEKSFNKRFAPGHALFIPHMDALANDYGHNGLLFDDSKNQLIHWGEDAVAIIPQIRSNYKYHWREPVDEKKYVTLNPHAHTLAEYVEDFKGEKPLIAAVPSQDILTYHDKDLYQDLEILREESCQTMGHDSTIILNLTNWLKAKKLMQILYGLKKDIDSIVPGMLPSDPGLHHGMDDPDEWYLLGLNIPEKSTFWEIIKAVRDEFSVSENYPMYHTPFRKHIKVDTGPWMAAGSTHGARQNTIWIPTAEEWFKSDYINMHDLSFSKNRIPDFMDNAVIGHNEAFLGTVLTYHNPYTKTIFVNTVQKVHVGYKMIYHFHPTPVKYLYDWRFGDFSVLLVKQQDPQLSFTTSLSLAALIFSVLLFKSTM
+>sp|P98073|ENTK_HUMAN Enteropeptidase OS=Homo sapiens OX=9606 GN=TMPRSS15 PE=1 SV=3
+MGSKRGISSRHHSLSSYEIMFAALFAILVVLCAGLIAVSCLTIKESQRGAALGQSHEARATFKITSGVTYNPNLQDKLSVDFKVLAFDLQQMIDEIFLSSNLKNEYKNSRVLQFENGSIIVVFDLFFAQWVSDENVKEELIQGLEANKSSQLVTFHIDLNSVDILDKLTTTSHLATPGNVSIECLPGSSPCTDALTCIKADLFCDGEVNCPDGSDEDNKMCATVCDGRFLLTGSSGSFQATHYPKPSETSVVCQWIIRVNQGLSIKLSFDDFNTYYTDILDIYEGVGSSKILRASIWETNPGTIRIFSNQVTATFLIESDESDYVGFNATYTAFNSSELNNYEKINCNFEDGFCFWVQDLNDDNEWERIQGSTFSPFTGPNFDHTFGNASGFYISTPTGPGGRQERVGLLSLPLDPTLEPACLSFWYHMYGENVHKLSINISNDQNMEKTVFQKEGNYGDNWNYGQVTLNETVKFKVAFNAFKNKILSDIALDDISLTYGICNGSLYPEPTLVPTPPPELPTDCGGPFELWEPNTTFSSTNFPNSYPNLAFCVWILNAQKGKNIQLHFQEFDLENINDVVEIRDGEEADSLLLAVYTGPGPVKDVFSTTNRMTVLLITNDVLARGGFKANFTTGYHLGIPEPCKADHFQCKNGECVPLVNLCDGHLHCEDGSDEADCVRFFNGTTNNNGLVRFRIQSIWHTACAENWTTQISNDVCQLLGLGSGNSSKPIFPTDGGPFVKLNTAPDGHLILTPSQQCLQDSLIRLQCNHKSCGKKLAAQDITPKIVGGSNAKEGAWPWVVGLYYGGRLLCGASLVSSDWLVSAAHCVYGRNLEPSKWTAILGLHMKSNLTSPQTVPRLIDEIVINPHYNRRRKDNDIAMMHLEFKVNYTDYIQPICLPEENQVFPPGRNCSIAGWGTVVYQGTTANILQEADVPLLSNERCQQQMPEYNITENMICAGYEEGGIDSCQGDSGGPLMCQENNRWFLAGVTSFGYKCALPNRPGVYARVSRFTEWIQSFLH
+>DECOY_sp|P98073|ENTK_HUMAN Enteropeptidase OS=Homo sapiens OX=9606 GN=TMPRSS15 PE=1 SV=3
+HLFSQIWETFRSVRAYVGPRNPLACKYGFSTVGALFWRNNEQCMLPGGSDGQCSDIGGEEYGACIMNETINYEPMQQQCRENSLLPVDAEQLINATTGQYVVTGWGAISCNRGPPFVQNEEPLCIPQIYDTYNVKFELHMMAIDNDKRRRNYHPNIVIEDILRPVTQPSTLNSKMHLGLIATWKSPELNRGYVCHAASVLWDSSVLSAGCLLRGGYYLGVVWPWAGEKANSGGVIKPTIDQAALKKGCSKHNCQLRILSDQLCQQSPTLILHGDPATNLKVFPGGDTPFIPKSSNGSGLGLLQCVDNSIQTTWNEACATHWISQIRFRVLGNNNTTGNFFRVCDAEDSGDECHLHGDCLNVLPVCEGNKCQFHDAKCPEPIGLHYGTTFNAKFGGRALVDNTILLVTMRNTTSFVDKVPGPGTYVALLLSDAEEGDRIEVVDNINELDFEQFHLQINKGKQANLIWVCFALNPYSNPFNTSSFTTNPEWLEFPGGCDTPLEPPPTPVLTPEPYLSGNCIGYTLSIDDLAIDSLIKNKFANFAVKFKVTENLTVQGYNWNDGYNGEKQFVTKEMNQDNSINISLKHVNEGYMHYWFSLCAPELTPDLPLSLLGVREQRGGPGTPTSIYFGSANGFTHDFNPGTFPSFTSGQIREWENDDNLDQVWFCFGDEFNCNIKEYNNLESSNFATYTANFGVYDSEDSEILFTATVQNSFIRITGPNTEWISARLIKSSGVGEYIDLIDTYYTNFDDFSLKISLGQNVRIIWQCVVSTESPKPYHTAQFSGSSGTLLFRGDCVTACMKNDEDSGDPCNVEGDCFLDAKICTLADTCPSSGPLCEISVNGPTALHSTTTLKDLIDVSNLDIHFTVLQSSKNAELGQILEEKVNEDSVWQAFFLDFVVIISGNEFQLVRSNKYENKLNSSLFIEDIMQQLDFALVKFDVSLKDQLNPNYTVGSTIKFTARAEHSQGLAAGRQSEKITLCSVAILGACLVVLIAFLAAFMIEYSSLSHHRSSIGRKSGM
+>sp|P49961|ENTP1_HUMAN Ectonucleoside triphosphate diphosphohydrolase 1 OS=Homo sapiens OX=9606 GN=ENTPD1 PE=1 SV=1
+MEDTKESNVKTFCSKNILAILGFSSIIAVIALLAVGLTQNKALPENVKYGIVLDAGSSHTSLYIYKWPAEKENDTGVVHQVEECRVKGPGISKFVQKVNEIGIYLTDCMERAREVIPRSQHQETPVYLGATAGMRLLRMESEELADRVLDVVERSLSNYPFDFQGARIITGQEEGAYGWITINYLLGKFSQKTRWFSIVPYETNNQETFGALDLGGASTQVTFVPQNQTIESPDNALQFRLYGKDYNVYTHSFLCYGKDQALWQKLAKDIQVASNEILRDPCFHPGYKKVVNVSDLYKTPCTKRFEMTLPFQQFEIQGIGNYQQCHQSILELFNTSYCPYSQCAFNGIFLPPLQGDFGAFSAFYFVMKFLNLTSEKVSQEKVTEMMKKFCAQPWEEIKTSYAGVKEKYLSEYCFSGTYILSLLLQGYHFTADSWEHIHFIGKIQGSDAGWTLGYMLNLTNMIPAEQPLSTPLSHSTYVFLMVLFSLVLFTVAIIGLLIFHKPSYFWKDMV
+>DECOY_sp|P49961|ENTP1_HUMAN Ectonucleoside triphosphate diphosphohydrolase 1 OS=Homo sapiens OX=9606 GN=ENTPD1 PE=1 SV=1
+VMDKWFYSPKHFILLGIIAVTFLVLSFLVMLFVYTSHSLPTSLPQEAPIMNTLNLMYGLTWGADSGQIKGIFHIHEWSDATFHYGQLLLSLIYTGSFCYESLYKEKVGAYSTKIEEWPQACFKKMMETVKEQSVKESTLNLFKMVFYFASFAGFDGQLPPLFIGNFACQSYPCYSTNFLELISQHCQQYNGIGQIEFQQFPLTMEFRKTCPTKYLDSVNVVKKYGPHFCPDRLIENSAVQIDKALKQWLAQDKGYCLFSHTYVNYDKGYLRFQLANDPSEITQNQPVFTVQTSAGGLDLAGFTEQNNTEYPVISFWRTKQSFKGLLYNITIWGYAGEEQGTIIRAGQFDFPYNSLSREVVDLVRDALEESEMRLLRMGATAGLYVPTEQHQSRPIVERAREMCDTLYIGIENVKQVFKSIGPGKVRCEEVQHVVGTDNEKEAPWKYIYLSTHSSGADLVIGYKVNEPLAKNQTLGVALLAIVAIISSFGLIALINKSCFTKVNSEKTDEM
+>sp|P61550|ENVT1_HUMAN Endogenous retrovirus group S71 member 1 Env polyprotein OS=Homo sapiens OX=9606 GN=ERVS71-1 PE=2 SV=1
+MGPEAWVRPLKTAPKPGEAIRLILFIYLSCFFLPVMSSEPSYSFLLTSFTTGRVFANTTWRAGTSKEVSFAVDLCVLFPEPARTHEEQHNLPVIGAGSVDLAAGFGHSGSQTGCGSSKGAEKGLQNVDFYLCPGNHPDASCRDTYQFFCPDWTCVTLATYSGGSTRSSTLSISRVPHPKLCTRKNCNPLTITVHDPNAAQWYYGMSWGLRLYIPGFDVGTMFTIQKKILVSWSSPKPIGPLTDLGDPIFQKHPDKVDLTVPLPFLVPRPQLQQQHLQPSLMSILGGVHHLLNLTQPKLAQDCWLCLKAKPPYYVGLGVEATLKRGPLSCHTRPRALTIGDVSGNASCLISTGYNLSASPFQATCNQSLLTSISTSVSYQAPNNTWLACTSGLTRCINGTEPGPLLCVLVHVLPQVYVYSGPEGRQLIAPPELHPRLHQAVPLLVPLLAGLSIAGSAAIGTAALVQGETGLISLSQQVDADFSNLQSAIDILHSQVESLAEVVLQNCRCLDLLFLSQGGLCAALGESCCFYANQSGVIKGTVKKVRENLDRHQQERENNIPWYQSMFNWNPWLTTLITGLAGPLLILLLSLIFGPCILNSFLNFIKQRIASVKLTYLKTQYDTLVNN
+>DECOY_sp|P61550|ENVT1_HUMAN Endogenous retrovirus group S71 member 1 Env polyprotein OS=Homo sapiens OX=9606 GN=ERVS71-1 PE=2 SV=1
+NNVLTDYQTKLYTLKVSAIRQKIFNLFSNLICPGFILSLLLILLPGALGTILTTLWPNWNFMSQYWPINNEREQQHRDLNERVKKVTGKIVGSQNAYFCCSEGLAACLGGQSLFLLDLCRCNQLVVEALSEVQSHLIDIASQLNSFDADVQQSLSILGTEGQVLAATGIAASGAISLGALLPVLLPVAQHLRPHLEPPAILQRGEPGSYVYVQPLVHVLVCLLPGPETGNICRTLGSTCALWTNNPAQYSVSTSISTLLSQNCTAQFPSASLNYGTSILCSANGSVDGITLARPRTHCSLPGRKLTAEVGLGVYYPPKAKLCLWCDQALKPQTLNLLHHVGGLISMLSPQLHQQQLQPRPVLFPLPVTLDVKDPHKQFIPDGLDTLPGIPKPSSWSVLIKKQITFMTGVDFGPIYLRLGWSMGYYWQAANPDHVTITLPNCNKRTCLKPHPVRSISLTSSRTSGGSYTALTVCTWDPCFFQYTDRCSADPHNGPCLYFDVNQLGKEAGKSSGCGTQSGSHGFGAALDVSGAGIVPLNHQEEHTRAPEPFLVCLDVAFSVEKSTGARWTTNAFVRGTTFSTLLFSYSPESSMVPLFFCSLYIFLILRIAEGPKPATKLPRVWAEPGM
+>sp|Q5NDL2|EOGT_HUMAN EGF domain-specific O-linked N-acetylglucosamine transferase OS=Homo sapiens OX=9606 GN=EOGT PE=1 SV=1
+MLMLFVFGVLLHEVSLSGQNEAPPNTHSIPGEPLYNYASIRLPEEHIPFFLHNNRHIATVCRKDSLCPYKKHLEKLKYCWGYEKSCKPEFRFGYPVCSYVDMGWTDTLESAEDIFWKQADFGYARERLEEMHVLCQPKETSDSSLVCSRYLQYCRATNLYLDLRNIKRNHDRFKEDFFQSGEIGGHCKLDIRTLTSEGQRKSPLQSWFAELQSYTQLNFRPIEDAKCDIVIEKPTYFMKLDAGVNMYHHFCDFINLYITQHVNNSFSTDVYIVMWDTSSYGYGDLFSDTWNAFTDYDVIHLKTYDSKRVCFKEAVFSLLPRMRYGLFYNTPLISGCQNTGLFRAFAQHVLHRLNITQEGPKDGKIRVTILARSTEYRKILNQNELVNALKTVSTFEVQIVDYKYRELGFLDQLRITHNTDIFIGMHGAGLTHLLFLPDWAAVFELYNCEDERCYLDLARLRGVHYITWRRQNKVFPQDKGHHPTLGEHPKFTNYSFDVEEFMYLVLQAADHVLQHPKWPFKKKHDEL
+>DECOY_sp|Q5NDL2|EOGT_HUMAN EGF domain-specific O-linked N-acetylglucosamine transferase OS=Homo sapiens OX=9606 GN=EOGT PE=1 SV=1
+LEDHKKKFPWKPHQLVHDAAQLVLYMFEEVDFSYNTFKPHEGLTPHHGKDQPFVKNQRRWTIYHVGRLRALDLYCREDECNYLEFVAAWDPLFLLHTLGAGHMGIFIDTNHTIRLQDLFGLERYKYDVIQVEFTSVTKLANVLENQNLIKRYETSRALITVRIKGDKPGEQTINLRHLVHQAFARFLGTNQCGSILPTNYFLGYRMRPLLSFVAEKFCVRKSDYTKLHIVDYDTFANWTDSFLDGYGYSSTDWMVIYVDTSFSNNVHQTIYLNIFDCFHHYMNVGADLKMFYTPKEIVIDCKADEIPRFNLQTYSQLEAFWSQLPSKRQGESTLTRIDLKCHGGIEGSQFFDEKFRDHNRKINRLDLYLNTARCYQLYRSCVLSSDSTEKPQCLVHMEELRERAYGFDAQKWFIDEASELTDTWGMDVYSCVPYGFRFEPKCSKEYGWCYKLKELHKKYPCLSDKRCVTAIHRNNHLFFPIHEEPLRISAYNYLPEGPISHTNPPAENQGSLSVEHLLVGFVFLMLM
+>sp|O95936|EOMES_HUMAN Eomesodermin homolog OS=Homo sapiens OX=9606 GN=EOMES PE=1 SV=3
+MQLGEQLLVSSVNLPGAHFYPLESARGGSGGSAGHLPSAAPSPQKLDLDKASKKFSGSLSCEAVSGEPAAASAGAPAAMLSDTDAGDAFASAAAVAKPGPPDGRKGSPCGEEELPSAAAAAAAAAAAAAATARYSMDSLSSERYYLQSPGPQGSELAAPCSLFPYQAAAGAPHGPVYPAPNGARYPYGSMLPPGGFPAAVCPPGRAQFGPGAGAGSGAGGSSGGGGGPGTYQYSQGAPLYGPYPGAAAAGSCGGLGGLGVPGSGFRAHVYLCNRPLWLKFHRHQTEMIITKQGRRMFPFLSFNINGLNPTAHYNVFVEVVLADPNHWRFQGGKWVTCGKADNNMQGNKMYVHPESPNTGSHWMRQEISFGKLKLTNNKGANNNNTQMIVLQSLHKYQPRLHIVEVTEDGVEDLNEPSKTQTFTFSETQFIAVTAYQNTDITQLKIDHNPFAKGFRDNYDSSHQIVPGGRYGVQSFFPEPFVNTLPQARYYNGERTVPQTNGLLSPQQSEEVANPPQRWLVTPVQQPGTNKLDISSYESEYTSSTLLPYGIKSLPLQTSHALGYYPDPTFPAMAGWGGRGSYQRKMAAGLPWTSRTSPTVFSEDQLSKEKVKEEIGSSWIETPPSIKSLDSNDSGVYTSACKRRRLSPSNSSNENSPSIKCEDINAEEYSKDTSKGMGGYYAFYTTP
+>DECOY_sp|O95936|EOMES_HUMAN Eomesodermin homolog OS=Homo sapiens OX=9606 GN=EOMES PE=1 SV=3
+PTTYFAYYGGMGKSTDKSYEEANIDECKISPSNENSSNSPSLRRRKCASTYVGSDNSDLSKISPPTEIWSSGIEEKVKEKSLQDESFVTPSTRSTWPLGAAMKRQYSGRGGWGAMAPFTPDPYYGLAHSTQLPLSKIGYPLLTSSTYESEYSSIDLKNTGPQQVPTVLWRQPPNAVEESQQPSLLGNTQPVTREGNYYRAQPLTNVFPEPFFSQVGYRGGPVIQHSSDYNDRFGKAFPNHDIKLQTIDTNQYATVAIFQTESFTFTQTKSPENLDEVGDETVEVIHLRPQYKHLSQLVIMQTNNNNAGKNNTLKLKGFSIEQRMWHSGTNPSEPHVYMKNGQMNNDAKGCTVWKGGQFRWHNPDALVVEVFVNYHATPNLGNINFSLFPFMRRGQKTIIMETQHRHFKLWLPRNCLYVHARFGSGPVGLGGLGGCSGAAAAGPYPGYLPAGQSYQYTGPGGGGGSSGGAGSGAGAGPGFQARGPPCVAAPFGGPPLMSGYPYRAGNPAPYVPGHPAGAAAQYPFLSCPAALESGQPGPSQLYYRESSLSDMSYRATAAAAAAAAAAAAAASPLEEEGCPSGKRGDPPGPKAVAAASAFADGADTDSLMAAPAGASAAAPEGSVAECSLSGSFKKSAKDLDLKQPSPAASPLHGASGGSGGRASELPYFHAGPLNVSSVLLQEGLQM
+>sp|Q14507|EP3A_HUMAN Epididymal secretory protein E3-alpha OS=Homo sapiens OX=9606 GN=EDDM3A PE=2 SV=2
+MTSSLKIWGILLALLCILCRLCVYSNNIYWREFIKLHYLSPSREFKEYKCDVLMREKEALKGKSFHMFIYSLWFKIQRACINEKGSDRYRNAYVWAPGALKVLECHWEKYNNRYTESRSFSYIEFHCGVDGYVDNIEDLRIIEPISN
+>DECOY_sp|Q14507|EP3A_HUMAN Epididymal secretory protein E3-alpha OS=Homo sapiens OX=9606 GN=EDDM3A PE=2 SV=2
+NSIPEIIRLDEINDVYGDVGCHFEIYSFSRSETYRNNYKEWHCELVKLAGPAWVYANRYRDSGKENICARQIKFWLSYIFMHFSKGKLAEKERMLVDCKYEKFERSPSLYHLKIFERWYINNSYVCLRCLICLLALLIGWIKLSSTM
+>sp|Q14236|EPAG_HUMAN Early lymphoid activation gene protein OS=Homo sapiens OX=9606 GN=DIAPH2-AS1 PE=2 SV=2
+MNLYLHPKLWPQLAGTKTLHVADAQRVRKITVHDGIWDAELPRAKRNHSYHLRYHGSSYSRCFLERYRCKTIGVFRRSNQPDCLETRSEKAKNRDGVVQEKSVRTLFSECVNQCDIRRRPTRFLRMFYHQKHFQLGLKGTETEKNERRL
+>DECOY_sp|Q14236|EPAG_HUMAN Early lymphoid activation gene protein OS=Homo sapiens OX=9606 GN=DIAPH2-AS1 PE=2 SV=2
+LRRENKETETGKLGLQFHKQHYFMRLFRTPRRRIDCQNVCESFLTRVSKEQVVGDRNKAKESRTELCDPQNSRRFVGITKCRYRELFCRSYSSGHYRLHYSHNRKARPLEADWIGDHVTIKRVRQADAVHLTKTGALQPWLKPHLYLNM
+>sp|P16422|EPCAM_HUMAN Epithelial cell adhesion molecule OS=Homo sapiens OX=9606 GN=EPCAM PE=1 SV=2
+MAPPQVLAFGLLLAAATATFAAAQEECVCENYKLAVNCFVNNNRQCQCTSVGAQNTVICSKLAAKCLVMKAEMNGSKLGRRAKPEGALQNNDGLYDPDCDESGLFKAKQCNGTSMCWCVNTAGVRRTDKDTEITCSERVRTYWIIIELKHKAREKPYDSKSLRTALQKEITTRYQLDPKFITSILYENNVITIDLVQNSSQKTQNDVDIADVAYYFEKDVKGESLFHSKKMDLTVNGEQLDLDPGQTLIYYVDEKAPEFSMQGLKAGVIAVIVVVVIAVVAGIVVLVISRKKRMAKYEKAEIKEMGEMHRELNA
+>DECOY_sp|P16422|EPCAM_HUMAN Epithelial cell adhesion molecule OS=Homo sapiens OX=9606 GN=EPCAM PE=1 SV=2
+ANLERHMEGMEKIEAKEYKAMRKKRSIVLVVIGAVVAIVVVVIVAIVGAKLGQMSFEPAKEDVYYILTQGPDLDLQEGNVTLDMKKSHFLSEGKVDKEFYYAVDAIDVDNQTKQSSNQVLDITIVNNEYLISTIFKPDLQYRTTIEKQLATRLSKSDYPKERAKHKLEIIIWYTRVRESCTIETDKDTRRVGATNVCWCMSTGNCQKAKFLGSEDCDPDYLGDNNQLAGEPKARRGLKSGNMEAKMVLCKAALKSCIVTNQAGVSTCQCQRNNNVFCNVALKYNECVCEEQAAAFTATAAALLLGFALVQPPAM
+>sp|Q6UW88|EPGN_HUMAN Epigen OS=Homo sapiens OX=9606 GN=EPGN PE=1 SV=2
+MALGVPISVYLLFNAMTALTEEAAVTVTPPITAQQGNWTVNKTEADNIEGPIALKFSHLCLEDHNSYCINGACAFHHELEKAICRCFTGYTGERCEHLTLTSYAVDSYEKYIAIGIGVGLLLSGFLVIFYCYIRKRCLKLKSPYNVCSGERRPL
+>DECOY_sp|Q6UW88|EPGN_HUMAN Epigen OS=Homo sapiens OX=9606 GN=EPGN PE=1 SV=2
+LPRREGSCVNYPSKLKLCRKRIYCYFIVLFGSLLLGVGIGIAIYKEYSDVAYSTLTLHECREGTYGTFCRCIAKELEHHFACAGNICYSNHDELCLHSFKLAIPGEINDAETKNVTWNGQQATIPPTVTVAAEETLATMANFLLYVSIPVGLAM
+>sp|P21709|EPHA1_HUMAN Ephrin type-A receptor 1 OS=Homo sapiens OX=9606 GN=EPHA1 PE=1 SV=4
+MERRWPLGLGLVLLLCAPLPPGARAKEVTLMDTSKAQGELGWLLDPPKDGWSEQQQILNGTPLYMYQDCPMQGRRDTDHWLRSNWIYRGEEASRVHVELQFTVRDCKSFPGGAGPLGCKETFNLLYMESDQDVGIQLRRPLFQKVTTVAADQSFTIRDLVSGSVKLNVERCSLGRLTRRGLYLAFHNPGACVALVSVRVFYQRCPETLNGLAQFPDTLPGPAGLVEVAGTCLPHARASPRPSGAPRMHCSPDGEWLVPVGRCHCEPGYEEGGSGEACVACPSGSYRMDMDTPHCLTCPQQSTAESEGATICTCESGHYRAPGEGPQVACTGPPSAPRNLSFSASGTQLSLRWEPPADTGGRQDVRYSVRCSQCQGTAQDGGPCQPCGVGVHFSPGARGLTTPAVHVNGLEPYANYTFNVEAQNGVSGLGSSGHASTSVSISMGHAESLSGLSLRLVKKEPRQLELTWAGSRPRSPGANLTYELHVLNQDEERYQMVLEPRVLLTELQPDTTYIVRVRMLTPLGPGPFSPDHEFRTSPPVSRGLTGGEIVAVIFGLLLGAALLLGILVFRSRRAQRQRQQRQRDRATDVDREDKLWLKPYVDLQAYEDPAQGALDFTRELDPAWLMVDTVIGEGEFGEVYRGTLRLPSQDCKTVAIKTLKDTSPGGQWWNFLREATIMGQFSHPHILHLEGVVTKRKPIMIITEFMENGALDAFLREREDQLVPGQLVAMLQGIASGMNYLSNHNYVHRDLAARNILVNQNLCCKVSDFGLTRLLDDFDGTYETQGGKIPIRWTAPEAIAHRIFTTASDVWSFGIVMWEVLSFGDKPYGEMSNQEVMKSIEDGYRLPPPVDCPAPLYELMKNCWAYDRARRPHFQKLQAHLEQLLANPHSLRTIANFDPRMTLRLPSLSGSDGIPYRTVSEWLESIRMKRYILHFHSAGLDTMECVLELTAEDLTQMGITLPGHQKRILCSIQGFKD
+>DECOY_sp|P21709|EPHA1_HUMAN Ephrin type-A receptor 1 OS=Homo sapiens OX=9606 GN=EPHA1 PE=1 SV=4
+DKFGQISCLIRKQHGPLTIGMQTLDEATLELVCEMTDLGASHFHLIYRKMRISELWESVTRYPIGDSGSLSPLRLTMRPDFNAITRLSHPNALLQELHAQLKQFHPRRARDYAWCNKMLEYLPAPCDVPPPLRYGDEISKMVEQNSMEGYPKDGFSLVEWMVIGFSWVDSATTFIRHAIAEPATWRIPIKGGQTEYTGDFDDLLRTLGFDSVKCCLNQNVLINRAALDRHVYNHNSLYNMGSAIGQLMAVLQGPVLQDERERLFADLAGNEMFETIIMIPKRKTVVGELHLIHPHSFQGMITAERLFNWWQGGPSTDKLTKIAVTKCDQSPLRLTGRYVEGFEGEGIVTDVMLWAPDLERTFDLAGQAPDEYAQLDVYPKLWLKDERDVDTARDRQRQQRQRQARRSRFVLIGLLLAAGLLLGFIVAVIEGGTLGRSVPPSTRFEHDPSFPGPGLPTLMRVRVIYTTDPQLETLLVRPELVMQYREEDQNLVHLEYTLNAGPSRPRSGAWTLELQRPEKKVLRLSLGSLSEAHGMSISVSTSAHGSSGLGSVGNQAEVNFTYNAYPELGNVHVAPTTLGRAGPSFHVGVGCPQCPGGDQATGQCQSCRVSYRVDQRGGTDAPPEWRLSLQTGSASFSLNRPASPPGTCAVQPGEGPARYHGSECTCITAGESEATSQQPCTLCHPTDMDMRYSGSPCAVCAEGSGGEEYGPECHCRGVPVLWEGDPSCHMRPAGSPRPSARAHPLCTGAVEVLGAPGPLTDPFQALGNLTEPCRQYFVRVSVLAVCAGPNHFALYLGRRTLRGLSCREVNLKVSGSVLDRITFSQDAAVTTVKQFLPRRLQIGVDQDSEMYLLNFTEKCGLPGAGGPFSKCDRVTFQLEVHVRSAEEGRYIWNSRLWHDTDRRGQMPCDQYMYLPTGNLIQQQESWGDKPPDLLWGLEGQAKSTDMLTVEKARAGPPLPACLLLVLGLGLPWRREM
+>sp|Q5JZY3|EPHAA_HUMAN Ephrin type-A receptor 10 OS=Homo sapiens OX=9606 GN=EPHA10 PE=1 SV=2
+METCAGPHPLRLFLCRMQLCLALLLGPWRPGTAEEVILLDSKASQAELGWTALPSNGWEEISGVDEHDRPIRTYQVCNVLEPNQDNWLQTGWISRGRGQRIFVELQFTLRDCSSIPGAAGTCKETFNVYYLETEADLGRGRPRLGGSRPRKIDTIAADESFTQGDLGERKMKLNTEVREIGPLSRRGFHLAFQDVGACVALVSVRVYYKQCRATVRGLATFPATAAESAFSTLVEVAGTCVAHSEGEPGSPPRMHCGADGEWLVPVGRCSCSAGFQERGDFCEACPPGFYKVSPRRPLCSPCPEHSRALENASTFCVCQDSYARSPTDPPSASCTRPPSAPRDLQYSLSRSPLVLRLRWLPPADSGGRSDVTYSLLCLRCGREGPAGACEPCGPRVAFLPRQAGLRERAATLLHLRPGARYTVRVAALNGVSGPAAAAGTTYAQVTVSTGPGAPWEEDEIRRDRVEPQSVSLSWREPIPAGAPGANDTEYEIRYYEKGQSEQTYSMVKTGAPTVTVTNLKPATRYVFQIRAASPGPSWEAQSFNPSIEVQTLGEAASGSRDQSPAIVVTVVTISALLVLGSVMSVLAIWRRPCSYGKGGGDAHDEEELYFHFKVPTRRTFLDPQSCGDLLQAVHLFAKELDAKSVTLERSLGGGRFGELCCGCLQLPGRQELLVAVHMLRDSASDSQRLGFLAEALTLGQFDHSHIVRLEGVVTRGSTLMIVTEYMSHGALDGFLRRHEGQLVAGQLMGLLPGLASAMKYLSEMGYVHRGLAARHVLVSSDLVCKISGFGRGPRDRSEAVYTTMSGRSPALWAAPETLQFGHFSSASDVWSFGIIMWEVMAFGERPYWDMSGQDVIKAVEDGFRLPPPRNCPNLLHRLMLDCWQKDPGERPRFSQIHSILSKMVQDPEPPKCALTTCPRPPTPLADRAFSTFPSFGSVGAWLEALDLCRYKDSFAAAGYGSLEAVAEMTAQDLVSLGISLAEHREALLSGISALQARVLQLQGQGVQV
+>DECOY_sp|Q5JZY3|EPHAA_HUMAN Ephrin type-A receptor 10 OS=Homo sapiens OX=9606 GN=EPHA10 PE=1 SV=2
+VQVGQGQLQLVRAQLASIGSLLAERHEALSIGLSVLDQATMEAVAELSGYGAAAFSDKYRCLDLAELWAGVSGFSPFTSFARDALPTPPRPCTTLACKPPEPDQVMKSLISHIQSFRPREGPDKQWCDLMLRHLLNPCNRPPPLRFGDEVAKIVDQGSMDWYPREGFAMVEWMIIGFSWVDSASSFHGFQLTEPAAWLAPSRGSMTTYVAESRDRPGRGFGSIKCVLDSSVLVHRAALGRHVYGMESLYKMASALGPLLGMLQGAVLQGEHRRLFGDLAGHSMYETVIMLTSGRTVVGELRVIHSHDFQGLTLAEALFGLRQSDSASDRLMHVAVLLEQRGPLQLCGCCLEGFRGGGLSRELTVSKADLEKAFLHVAQLLDGCSQPDLFTRRTPVKFHFYLEEEDHADGGGKGYSCPRRWIALVSMVSGLVLLASITVVTVVIAPSQDRSGSAAEGLTQVEISPNFSQAEWSPGPSAARIQFVYRTAPKLNTVTVTPAGTKVMSYTQESQGKEYYRIEYETDNAGPAGAPIPERWSLSVSQPEVRDRRIEDEEWPAGPGTSVTVQAYTTGAAAAPGSVGNLAAVRVTYRAGPRLHLLTAARERLGAQRPLFAVRPGCPECAGAPGERGCRLCLLSYTVDSRGGSDAPPLWRLRLVLPSRSLSYQLDRPASPPRTCSASPPDTPSRAYSDQCVCFTSANELARSHEPCPSCLPRRPSVKYFGPPCAECFDGREQFGASCSCRGVPVLWEGDAGCHMRPPSGPEGESHAVCTGAVEVLTSFASEAATAPFTALGRVTARCQKYYVRVSVLAVCAGVDQFALHFGRRSLPGIERVETNLKMKREGLDGQTFSEDAAITDIKRPRSGGLRPRGRGLDAETELYYVNFTEKCTGAAGPISSCDRLTFQLEVFIRQGRGRSIWGTQLWNDQNPELVNCVQYTRIPRDHEDVGSIEEWGNSPLATWGLEAQSAKSDLLIVEEATGPRWPGLLLALCLQMRCLFLRLPHPGACTEM
+>sp|P54762|EPHB1_HUMAN Ephrin type-B receptor 1 OS=Homo sapiens OX=9606 GN=EPHB1 PE=1 SV=1
+MALDYLLLLLLASAVAAMEETLMDTRTATAELGWTANPASGWEEVSGYDENLNTIRTYQVCNVFEPNQNNWLLTTFINRRGAHRIYTEMRFTVRDCSSLPNVPGSCKETFNLYYYETDSVIATKKSAFWSEAPYLKVDTIAADESFSQVDFGGRLMKVNTEVRSFGPLTRNGFYLAFQDYGACMSLLSVRVFFKKCPSIVQNFAVFPETMTGAESTSLVIARGTCIPNAEEVDVPIKLYCNGDGEWMVPIGRCTCKPGYEPENSVACKACPAGTFKASQEAEGCSHCPSNSRSPAEASPICTCRTGYYRADFDPPEVACTSVPSGPRNVISIVNETSIILEWHPPRETGGRDDVTYNIICKKCRADRRSCSRCDDNVEFVPRQLGLTECRVSISSLWAHTPYTFDIQAINGVSSKSPFPPQHVSVNITTNQAAPSTVPIMHQVSATMRSITLSWPQPEQPNGIILDYEIRYYEKEHNEFNSSMARSQTNTARIDGLRPGMVYVVQVRARTVAGYGKFSGKMCFQTLTDDDYKSELREQLPLIAGSAAAGVVFVVSLVAISIVCSRKRAYSKEAVYSDKLQHYSTGRGSPGMKIYIDPFTYEDPNEAVREFAKEIDVSFVKIEEVIGAGEFGEVYKGRLKLPGKREIYVAIKTLKAGYSEKQRRDFLSEASIMGQFDHPNIIRLEGVVTKSRPVMIITEFMENGALDSFLRQNDGQFTVIQLVGMLRGIAAGMKYLAEMNYVHRDLAARNILVNSNLVCKVSDFGLSRYLQDDTSDPTYTSSLGGKIPVRWTAPEAIAYRKFTSASDVWSYGIVMWEVMSFGERPYWDMSNQDVINAIEQDYRLPPPMDCPAALHQLMLDCWQKDRNSRPRFAEIVNTLDKMIRNPASLKTVATITAVPSQPLLDRSIPDFTAFTTVDDWLSAIKMVQYRDSFLTAGFTSLQLVTQMTSEDLLRIGITLAGHQKKILNSIHSMRVQISQSPTAMA
+>DECOY_sp|P54762|EPHB1_HUMAN Ephrin type-B receptor 1 OS=Homo sapiens OX=9606 GN=EPHB1 PE=1 SV=1
+AMATPSQSIQVRMSHISNLIKKQHGALTIGIRLLDESTMQTVLQLSTFGATLFSDRYQVMKIASLWDDVTTFATFDPISRDLLPQSPVATITAVTKLSAPNRIMKDLTNVIEAFRPRSNRDKQWCDLMLQHLAAPCDMPPPLRYDQEIANIVDQNSMDWYPREGFSMVEWMVIGYSWVDSASTFKRYAIAEPATWRVPIKGGLSSTYTPDSTDDQLYRSLGFDSVKCVLNSNVLINRAALDRHVYNMEALYKMGAAIGRLMGVLQIVTFQGDNQRLFSDLAGNEMFETIIMVPRSKTVVGELRIINPHDFQGMISAESLFDRRQKESYGAKLTKIAVYIERKGPLKLRGKYVEGFEGAGIVEEIKVFSVDIEKAFERVAENPDEYTFPDIYIKMGPSGRGTSYHQLKDSYVAEKSYARKRSCVISIAVLSVVFVVGAAASGAILPLQERLESKYDDDTLTQFCMKGSFKGYGAVTRARVQVVYVMGPRLGDIRATNTQSRAMSSNFENHEKEYYRIEYDLIIGNPQEPQPWSLTISRMTASVQHMIPVTSPAAQNTTINVSVHQPPFPSKSSVGNIAQIDFTYPTHAWLSSISVRCETLGLQRPVFEVNDDCRSCSRRDARCKKCIINYTVDDRGGTERPPHWELIISTENVISIVNRPGSPVSTCAVEPPDFDARYYGTRCTCIPSAEAPSRSNSPCHSCGEAEQSAKFTGAPCAKCAVSNEPEYGPKCTCRGIPVMWEGDGNCYLKIPVDVEEANPICTGRAIVLSTSEAGTMTEPFVAFNQVISPCKKFFVRVSLLSMCAGYDQFALYFGNRTLPGFSRVETNVKMLRGGFDVQSFSEDAAITDVKLYPAESWFASKKTAIVSDTEYYYLNFTEKCSGPVNPLSSCDRVTFRMETYIRHAGRRNIFTTLLWNNQNPEFVNCVQYTRITNLNEDYGSVEEWGSAPNATWGLEATATRTDMLTEEMAAVASALLLLLLYDLAM
+>sp|P29323|EPHB2_HUMAN Ephrin type-B receptor 2 OS=Homo sapiens OX=9606 GN=EPHB2 PE=1 SV=5
+MALRRLGAALLLLPLLAAVEETLMDSTTATAELGWMVHPPSGWEEVSGYDENMNTIRTYQVCNVFESSQNNWLRTKFIRRRGAHRIHVEMKFSVRDCSSIPSVPGSCKETFNLYYYEADFDSATKTFPNWMENPWVKVDTIAADESFSQVDLGGRVMKINTEVRSFGPVSRSGFYLAFQDYGGCMSLIAVRVFYRKCPRIIQNGAIFQETLSGAESTSLVAARGSCIANAEEVDVPIKLYCNGDGEWLVPIGRCMCKAGFEAVENGTVCRGCPSGTFKANQGDEACTHCPINSRTTSEGATNCVCRNGYYRADLDPLDMPCTTIPSAPQAVISSVNETSLMLEWTPPRDSGGREDLVYNIICKSCGSGRGACTRCGDNVQYAPRQLGLTEPRIYISDLLAHTQYTFEIQAVNGVTDQSPFSPQFASVNITTNQAAPSAVSIMHQVSRTVDSITLSWSQPDQPNGVILDYELQYYEKELSEYNATAIKSPTNTVTVQGLKAGAIYVFQVRARTVAGYGRYSGKMYFQTMTEAEYQTSIQEKLPLIIGSSAAGLVFLIAVVVIAIVCNRRGFERADSEYTDKLQHYTSGHMTPGMKIYIDPFTYEDPNEAVREFAKEIDISCVKIEQVIGAGEFGEVCSGHLKLPGKREIFVAIKTLKSGYTEKQRRDFLSEASIMGQFDHPNVIHLEGVVTKSTPVMIITEFMENGSLDSFLRQNDGQFTVIQLVGMLRGIAAGMKYLADMNYVHRDLAARNILVNSNLVCKVSDFGLSRFLEDDTSDPTYTSALGGKIPIRWTAPEAIQYRKFTSASDVWSYGIVMWEVMSYGERPYWDMTNQDVINAIEQDYRLPPPMDCPSALHQLMLDCWQKDRNHRPKFGQIVNTLDKMIRNPNSLKAMAPLSSGINLPLLDRTIPDYTSFNTVDEWLEAIKMGQYKESFANAGFTSFDVVSQMMMEDILRVGVTLAGHQKKILNSIQVMRAQMNQIQSVEGQPLARRPRATGRTKRCQPRDVTKKTCNSNDGKKKGMGKKKTDPGRGREIQGIFFKEDSHKESNDCSCGG
+>DECOY_sp|P29323|EPHB2_HUMAN Ephrin type-B receptor 2 OS=Homo sapiens OX=9606 GN=EPHB2 PE=1 SV=5
+GGCSCDNSEKHSDEKFFIGQIERGRGPDTKKKGMGKKKGDNSNCTKKTVDRPQCRKTRGTARPRRALPQGEVSQIQNMQARMVQISNLIKKQHGALTVGVRLIDEMMMQSVVDFSTFGANAFSEKYQGMKIAELWEDVTNFSTYDPITRDLLPLNIGSSLPAMAKLSNPNRIMKDLTNVIQGFKPRHNRDKQWCDLMLQHLASPCDMPPPLRYDQEIANIVDQNTMDWYPREGYSMVEWMVIGYSWVDSASTFKRYQIAEPATWRIPIKGGLASTYTPDSTDDELFRSLGFDSVKCVLNSNVLINRAALDRHVYNMDALYKMGAAIGRLMGVLQIVTFQGDNQRLFSDLSGNEMFETIIMVPTSKTVVGELHIVNPHDFQGMISAESLFDRRQKETYGSKLTKIAVFIERKGPLKLHGSCVEGFEGAGIVQEIKVCSIDIEKAFERVAENPDEYTFPDIYIKMGPTMHGSTYHQLKDTYESDAREFGRRNCVIAIVVVAILFVLGAASSGIILPLKEQISTQYEAETMTQFYMKGSYRGYGAVTRARVQFVYIAGAKLGQVTVTNTPSKIATANYESLEKEYYQLEYDLIVGNPQDPQSWSLTISDVTRSVQHMISVASPAAQNTTINVSAFQPSFPSQDTVGNVAQIEFTYQTHALLDSIYIRPETLGLQRPAYQVNDGCRTCAGRGSGCSKCIINYVLDERGGSDRPPTWELMLSTENVSSIVAQPASPITTCPMDLPDLDARYYGNRCVCNTAGESTTRSNIPCHTCAEDGQNAKFTGSPCGRCVTGNEVAEFGAKCMCRGIPVLWEGDGNCYLKIPVDVEEANAICSGRAAVLSTSEAGSLTEQFIAGNQIIRPCKRYFVRVAILSMCGGYDQFALYFGSRSVPGFSRVETNIKMVRGGLDVQSFSEDAAITDVKVWPNEMWNPFTKTASDFDAEYYYLNFTEKCSGPVSPISSCDRVSFKMEVHIRHAGRRRIFKTRLWNNQSSEFVNCVQYTRITNMNEDYGSVEEWGSPPHVMWGLEATATTSDMLTEEVAALLPLLLLAAGLRRLAM
+>sp|P54760|EPHB4_HUMAN Ephrin type-B receptor 4 OS=Homo sapiens OX=9606 GN=EPHB4 PE=1 SV=2
+MELRVLLCWASLAAALEETLLNTKLETADLKWVTFPQVDGQWEELSGLDEEQHSVRTYEVCDVQRAPGQAHWLRTGWVPRRGAVHVYATLRFTMLECLSLPRAGRSCKETFTVFYYESDADTATALTPAWMENPYIKVDTVAAEHLTRKRPGAEATGKVNVKTLRLGPLSKAGFYLAFQDQGACMALLSLHLFYKKCAQLTVNLTRFPETVPRELVVPVAGSCVVDAVPAPGPSPSLYCREDGQWAEQPVTGCSCAPGFEAAEGNTKCRACAQGTFKPLSGEGSCQPCPANSHSNTIGSAVCQCRVGYFRARTDPRGAPCTTPPSAPRSVVSRLNGSSLHLEWSAPLESGGREDLTYALRCRECRPGGSCAPCGGDLTFDPGPRDLVEPWVVVRGLRPDFTYTFEVTALNGVSSLATGPVPFEPVNVTTDREVPPAVSDIRVTRSSPSSLSLAWAVPRAPSGAVLDYEVKYHEKGAEGPSSVRFLKTSENRAELRGLKRGASYLVQVRARSEAGYGPFGQEHHSQTQLDESEGWREQLALIAGTAVVGVVLVLVVIVVAVLCLRKQSNGREAEYSDKHGQYLIGHGTKVYIDPFTYEDPNEAVREFAKEIDVSYVKIEEVIGAGEFGEVCRGRLKAPGKKESCVAIKTLKGGYTERQRREFLSEASIMGQFEHPNIIRLEGVVTNSMPVMILTEFMENGALDSFLRLNDGQFTVIQLVGMLRGIASGMRYLAEMSYVHRDLAARNILVNSNLVCKVSDFGLSRFLEENSSDPTYTSSLGGKIPIRWTAPEAIAFRKFTSASDAWSYGIVMWEVMSFGERPYWDMSNQDVINAIEQDYRLPPPPDCPTSLHQLMLDCWQKDRNARPRFPQVVSALDKMIRNPASLKIVARENGGASHPLLDQRQPHYSAFGSVGEWLRAIKMGRYEESFAAAGFGSFELVSQISAEDLLRIGVTLAGHQKKILASVQHMKSQAKPGTPGGTGGPAPQY
+>DECOY_sp|P54760|EPHB4_HUMAN Ephrin type-B receptor 4 OS=Homo sapiens OX=9606 GN=EPHB4 PE=1 SV=2
+YQPAPGGTGGPTGPKAQSKMHQVSALIKKQHGALTVGIRLLDEASIQSVLEFSGFGAAAFSEEYRGMKIARLWEGVSGFASYHPQRQDLLPHSAGGNERAVIKLSAPNRIMKDLASVVQPFRPRANRDKQWCDLMLQHLSTPCDPPPPLRYDQEIANIVDQNSMDWYPREGFSMVEWMVIGYSWADSASTFKRFAIAEPATWRIPIKGGLSSTYTPDSSNEELFRSLGFDSVKCVLNSNVLINRAALDRHVYSMEALYRMGSAIGRLMGVLQIVTFQGDNLRLFSDLAGNEMFETLIMVPMSNTVVGELRIINPHEFQGMISAESLFERRQRETYGGKLTKIAVCSEKKGPAKLRGRCVEGFEGAGIVEEIKVYSVDIEKAFERVAENPDEYTFPDIYVKTGHGILYQGHKDSYEAERGNSQKRLCLVAVVIVVLVLVVGVVATGAILALQERWGESEDLQTQSHHEQGFPGYGAESRARVQVLYSAGRKLGRLEARNESTKLFRVSSPGEAGKEHYKVEYDLVAGSPARPVAWALSLSSPSSRTVRIDSVAPPVERDTTVNVPEFPVPGTALSSVGNLATVEFTYTFDPRLGRVVVWPEVLDRPGPDFTLDGGCPACSGGPRCERCRLAYTLDERGGSELPASWELHLSSGNLRSVVSRPASPPTTCPAGRPDTRARFYGVRCQCVASGITNSHSNAPCPQCSGEGSLPKFTGQACARCKTNGEAAEFGPACSCGTVPQEAWQGDERCYLSPSPGPAPVADVVCSGAVPVVLERPVTEPFRTLNVTLQACKKYFLHLSLLAMCAGQDQFALYFGAKSLPGLRLTKVNVKGTAEAGPRKRTLHEAAVTDVKIYPNEMWAPTLATATDADSEYYFVTFTEKCSRGARPLSLCELMTFRLTAYVHVAGRRPVWGTRLWHAQGPARQVDCVEYTRVSHQEEDLGSLEEWQGDVQPFTVWKLDATELKTNLLTEELAAALSAWCLLVRLEM
+>sp|Q8IUS5|EPHX4_HUMAN Epoxide hydrolase 4 OS=Homo sapiens OX=9606 GN=EPHX4 PE=2 SV=2
+MARLRDCLPRLMLTLRSLLFWSLVYCYCGLCASIHLLKLLWSLGKGPAQTFRRPAREHPPACLSDPSLGTHCYVRIKDSGLRFHYVAAGERGKPLMLLLHGFPEFWYSWRYQLREFKSEYRVVALDLRGYGETDAPIHRQNYKLDCLITDIKDILDSLGYSKCVLIGHDWGGMIAWLIAICYPEMVMKLIVINFPHPNVFTEYILRHPAQLLKSSYYYFFQIPWFPEFMFSINDFKVLKHLFTSHSTGIGRKGCQLTTEDLEAYIYVFSQPGALSGPINHYRNIFSCLPLKHHMVTTPTLLLWGENDAFMEVEMAEVTKIYVKNYFRLTILSEASHWLQQDQPDIVNKLIWTFLKEETRKKD
+>DECOY_sp|Q8IUS5|EPHX4_HUMAN Epoxide hydrolase 4 OS=Homo sapiens OX=9606 GN=EPHX4 PE=2 SV=2
+DKKRTEEKLFTWILKNVIDPQDQQLWHSAESLITLRFYNKVYIKTVEAMEVEMFADNEGWLLLTPTTVMHHKLPLCSFINRYHNIPGSLAGPQSFVYIYAELDETTLQCGKRGIGTSHSTFLHKLVKFDNISFMFEPFWPIQFFYYYSSKLLQAPHRLIYETFVNPHPFNIVILKMVMEPYCIAILWAIMGGWDHGILVCKSYGLSDLIDKIDTILCDLKYNQRHIPADTEGYGRLDLAVVRYESKFERLQYRWSYWFEPFGHLLLMLPKGREGAAVYHFRLGSDKIRVYCHTGLSPDSLCAPPHERAPRRFTQAPGKGLSWLLKLLHISACLGCYCYVLSWFLLSRLTLMLRPLCDRLRAM
+>sp|P04626|ERBB2_HUMAN Receptor tyrosine-protein kinase erbB-2 OS=Homo sapiens OX=9606 GN=ERBB2 PE=1 SV=1
+MELAALCRWGLLLALLPPGAASTQVCTGTDMKLRLPASPETHLDMLRHLYQGCQVVQGNLELTYLPTNASLSFLQDIQEVQGYVLIAHNQVRQVPLQRLRIVRGTQLFEDNYALAVLDNGDPLNNTTPVTGASPGGLRELQLRSLTEILKGGVLIQRNPQLCYQDTILWKDIFHKNNQLALTLIDTNRSRACHPCSPMCKGSRCWGESSEDCQSLTRTVCAGGCARCKGPLPTDCCHEQCAAGCTGPKHSDCLACLHFNHSGICELHCPALVTYNTDTFESMPNPEGRYTFGASCVTACPYNYLSTDVGSCTLVCPLHNQEVTAEDGTQRCEKCSKPCARVCYGLGMEHLREVRAVTSANIQEFAGCKKIFGSLAFLPESFDGDPASNTAPLQPEQLQVFETLEEITGYLYISAWPDSLPDLSVFQNLQVIRGRILHNGAYSLTLQGLGISWLGLRSLRELGSGLALIHHNTHLCFVHTVPWDQLFRNPHQALLHTANRPEDECVGEGLACHQLCARGHCWGPGPTQCVNCSQFLRGQECVEECRVLQGLPREYVNARHCLPCHPECQPQNGSVTCFGPEADQCVACAHYKDPPFCVARCPSGVKPDLSYMPIWKFPDEEGACQPCPINCTHSCVDLDDKGCPAEQRASPLTSIISAVVGILLVVVLGVVFGILIKRRQQKIRKYTMRRLLQETELVEPLTPSGAMPNQAQMRILKETELRKVKVLGSGAFGTVYKGIWIPDGENVKIPVAIKVLRENTSPKANKEILDEAYVMAGVGSPYVSRLLGICLTSTVQLVTQLMPYGCLLDHVRENRGRLGSQDLLNWCMQIAKGMSYLEDVRLVHRDLAARNVLVKSPNHVKITDFGLARLLDIDETEYHADGGKVPIKWMALESILRRRFTHQSDVWSYGVTVWELMTFGAKPYDGIPAREIPDLLEKGERLPQPPICTIDVYMIMVKCWMIDSECRPRFRELVSEFSRMARDPQRFVVIQNEDLGPASPLDSTFYRSLLEDDDMGDLVDAEEYLVPQQGFFCPDPAPGAGGMVHHRHRSSSTRSGGGDLTLGLEPSEEEAPRSPLAPSEGAGSDVFDGDLGMGAAKGLQSLPTHDPSPLQRYSEDPTVPLPSETDGYVAPLTCSPQPEYVNQPDVRPQPPSPREGPLPAARPAGATLERPKTLSPGKNGVVKDVFAFGGAVENPEYLTPQGGAAPQPHPPPAFSPAFDNLYYWDQDPPERGAPPSTFKGTPTAENPEYLGLDVPV
+>DECOY_sp|P04626|ERBB2_HUMAN Receptor tyrosine-protein kinase erbB-2 OS=Homo sapiens OX=9606 GN=ERBB2 PE=1 SV=1
+VPVDLGLYEPNEATPTGKFTSPPAGREPPDQDWYYLNDFAPSFAPPPHPQPAAGGQPTLYEPNEVAGGFAFVDKVVGNKGPSLTKPRELTAGAPRAAPLPGERPSPPQPRVDPQNVYEPQPSCTLPAVYGDTESPLPVTPDESYRQLPSPDHTPLSQLGKAAGMGLDGDFVDSGAGESPALPSRPAEEESPELGLTLDGGGSRTSSSRHRHHVMGGAGPAPDPCFFGQQPVLYEEADVLDGMDDDELLSRYFTSDLPSAPGLDENQIVVFRQPDRAMRSFESVLERFRPRCESDIMWCKVMIMYVDITCIPPQPLREGKELLDPIERAPIGDYPKAGFTMLEWVTVGYSWVDSQHTFRRRLISELAMWKIPVKGGDAHYETEDIDLLRALGFDTIKVHNPSKVLVNRAALDRHVLRVDELYSMGKAIQMCWNLLDQSGLRGRNERVHDLLCGYPMLQTVLQVTSTLCIGLLRSVYPSGVGAMVYAEDLIEKNAKPSTNERLVKIAVPIKVNEGDPIWIGKYVTGFAGSGLVKVKRLETEKLIRMQAQNPMAGSPTLPEVLETEQLLRRMTYKRIKQQRRKILIGFVVGLVVVLLIGVVASIISTLPSARQEAPCGKDDLDVCSHTCNIPCPQCAGEEDPFKWIPMYSLDPKVGSPCRAVCFPPDKYHACAVCQDAEPGFCTVSGNQPQCEPHCPLCHRANVYERPLGQLVRCEEVCEQGRLFQSCNVCQTPGPGWCHGRACLQHCALGEGVCEDEPRNATHLLAQHPNRFLQDWPVTHVFCLHTNHHILALGSGLERLSRLGLWSIGLGQLTLSYAGNHLIRGRIVQLNQFVSLDPLSDPWASIYLYGTIEELTEFVQLQEPQLPATNSAPDGDFSEPLFALSGFIKKCGAFEQINASTVARVERLHEMGLGYCVRACPKSCKECRQTGDEATVEQNHLPCVLTCSGVDTSLYNYPCATVCSAGFTYRGEPNPMSEFTDTNYTVLAPCHLECIGSHNFHLCALCDSHKPGTCGAACQEHCCDTPLPGKCRACGGACVTRTLSQCDESSEGWCRSGKCMPSCPHCARSRNTDILTLALQNNKHFIDKWLITDQYCLQPNRQILVGGKLIETLSRLQLERLGGPSAGTVPTTNNLPDGNDLVALAYNDEFLQTGRVIRLRQLPVQRVQNHAILVYGQVEQIDQLFSLSANTPLYTLELNGQVVQCGQYLHRLMDLHTEPSAPLRLKMDTGTCVQTSAAGPPLLALLLGWRCLAALEM
+>sp|Q969X5|ERGI1_HUMAN Endoplasmic reticulum-Golgi intermediate compartment protein 1 OS=Homo sapiens OX=9606 GN=ERGIC1 PE=1 SV=1
+MPFDFRRFDIYRKVPKDLTQPTYTGAIISICCCLFILFLFLSELTGFITTEVVNELYVDDPDKDSGGKIDVSLNISLPNLHCELVGLDIQDEMGRHEVGHIDNSMKIPLNNGAGCRFEGQFSINKVPGNFHVSTHSATAQPQNPDMTHVIHKLSFGDTLQVQNIHGAFNALGGADRLTSNPLASHDYILKIVPTVYEDKSGKQRYSYQYTVANKEYVAYSHTGRIIPAIWFRYDLSPITVKYTERRQPLYRFITTICAIIGGTFTVAGILDSCIFTASEAWKKIQLGKMH
+>DECOY_sp|Q969X5|ERGI1_HUMAN Endoplasmic reticulum-Golgi intermediate compartment protein 1 OS=Homo sapiens OX=9606 GN=ERGIC1 PE=1 SV=1
+HMKGLQIKKWAESATFICSDLIGAVTFTGGIIACITTIFRYLPQRRETYKVTIPSLDYRFWIAPIIRGTHSYAVYEKNAVTYQYSYRQKGSKDEYVTPVIKLIYDHSALPNSTLRDAGGLANFAGHINQVQLTDGFSLKHIVHTMDPNQPQATASHTSVHFNGPVKNISFQGEFRCGAGNNLPIKMSNDIHGVEHRGMEDQIDLGVLECHLNPLSINLSVDIKGGSDKDPDDVYLENVVETTIFGTLESLFLFLIFLCCCISIIAGTYTPQTLDKPVKRYIDFRRFDFPM
+>sp|Q92731|ESR2_HUMAN Estrogen receptor beta OS=Homo sapiens OX=9606 GN=ESR2 PE=1 SV=2
+MDIKNSPSSLNSPSSYNCSQSILPLEHGSIYIPSSYVDSHHEYPAMTFYSPAVMNYSIPSNVTNLEGGPGRQTTSPNVLWPTPGHLSPLVVHRQLSHLYAEPQKSPWCEARSLEHTLPVNRETLKRKVSGNRCASPVTGPGSKRDAHFCAVCSDYASGYHYGVWSCEGCKAFFKRSIQGHNDYICPATNQCTIDKNRRKSCQACRLRKCYEVGMVKCGSRRERCGYRLVRRQRSADEQLHCAGKAKRSGGHAPRVRELLLDALSPEQLVLTLLEAEPPHVLISRPSAPFTEASMMMSLTKLADKELVHMISWAKKIPGFVELSLFDQVRLLESCWMEVLMMGLMWRSIDHPGKLIFAPDLVLDRDEGKCVEGILEIFDMLLATTSRFRELKLQHKEYLCVKAMILLNSSMYPLVTATQDADSSRKLAHLLNAVTDALVWVIAKSGISSQQQSMRLANLLMLLSHVRHASNKGMEHLLNMKCKNVVPVYDLLLEMLNAHVLRGCKSSITGSECSPAEDSKSKEGSQNPQSQ
+>DECOY_sp|Q92731|ESR2_HUMAN Estrogen receptor beta OS=Homo sapiens OX=9606 GN=ESR2 PE=1 SV=2
+QSQPNQSGEKSKSDEAPSCESGTISSKCGRLVHANLMELLLDYVPVVNKCKMNLLHEMGKNSAHRVHSLLMLLNALRMSQQQSSIGSKAIVWVLADTVANLLHALKRSSDADQTATVLPYMSSNLLIMAKVCLYEKHQLKLERFRSTTALLMDFIELIGEVCKGEDRDLVLDPAFILKGPHDISRWMLGMMLVEMWCSELLRVQDFLSLEVFGPIKKAWSIMHVLEKDALKTLSMMMSAETFPASPRSILVHPPEAELLTLVLQEPSLADLLLERVRPAHGGSRKAKGACHLQEDASRQRRVLRYGCRERRSGCKVMGVEYCKRLRCAQCSKRRNKDITCQNTAPCIYDNHGQISRKFFAKCGECSWVGYHYGSAYDSCVACFHADRKSGPGTVPSACRNGSVKRKLTERNVPLTHELSRAECWPSKQPEAYLHSLQRHVVLPSLHGPTPWLVNPSTTQRGPGGELNTVNSPISYNMVAPSYFTMAPYEHHSDVYSSPIYISGHELPLISQSCNYSSPSNLSSPSNKIDM
+>sp|Q5XG92|EST4A_HUMAN Carboxylesterase 4A OS=Homo sapiens OX=9606 GN=CES4A PE=2 SV=2
+MRWILCWSLTLCLMAQTALGALHTKRPQVVTKYGTLQGKQMHVGKTPIQVFLGVPFSRPPLGILRFAPPEPPEPWKGIRDATTYPPGCLQESWGQLASMYVSTRERYKWLRFSEDCLYLNVYAPARAPGDPQLPVMVWFPGGAFIVGAASSYEGSDLAAREKVVLVFLQHRLGIFGFLSTDDSHARGNWGLLDQMAALRWVQENIAAFGGDPGNVTLFGQSAGAMSISGLMMSPLASGLFHRAISQSGTALFRLFITSNPLKVAKKVAHLAGCNHNSTQILVNCLRALSGTKVMRVSNKMRFLQLNFQRDPEEIIWSMSPVVDGVVIPDDPLVLLTQGKVSSVPYLLGVNNLEFNWLLPYIMKFPLNRQAMRKETITKMLWSTRTLLNITKEQVPLVVEEYLDNVNEHDWKMLRNRMMDIVQDATFVYATLQTAHYHRDAGLPVYLYEFEHHARGIIVKPRTDGADHGDEMYFLFGGPFATGLSMGKEKALSLQMMKYWANFARTGNPNDGNLPCWPRYNKDEKYLQLDFTTRVGMKLKEKKMAFWMSLYQSQRPEKQRQF
+>DECOY_sp|Q5XG92|EST4A_HUMAN Carboxylesterase 4A OS=Homo sapiens OX=9606 GN=CES4A PE=2 SV=2
+FQRQKEPRQSQYLSMWFAMKKEKLKMGVRTTFDLQLYKEDKNYRPWCPLNGDNPNGTRAFNAWYKMMQLSLAKEKGMSLGTAFPGGFLFYMEDGHDAGDTRPKVIIGRAHHEFEYLYVPLGADRHYHATQLTAYVFTADQVIDMMRNRLMKWDHENVNDLYEEVVLPVQEKTINLLTRTSWLMKTITEKRMAQRNLPFKMIYPLLWNFELNNVGLLYPVSSVKGQTLLVLPDDPIVVGDVVPSMSWIIEEPDRQFNLQLFRMKNSVRMVKTGSLARLCNVLIQTSNHNCGALHAVKKAVKLPNSTIFLRFLATGSQSIARHFLGSALPSMMLGSISMAGASQGFLTVNGPDGGFAAINEQVWRLAAMQDLLGWNGRAHSDDTSLFGFIGLRHQLFVLVVKERAALDSGEYSSAAGVIFAGGPFWVMVPLQPDGPARAPAYVNLYLCDESFRLWKYRERTSVYMSALQGWSEQLCGPPYTTADRIGKWPEPPEPPAFRLIGLPPRSFPVGLFVQIPTKGVHMQKGQLTGYKTVVQPRKTHLAGLATQAMLCLTLSWCLIWRM
+>sp|A0FGR9|ESYT3_HUMAN Extended synaptotagmin-3 OS=Homo sapiens OX=9606 GN=ESYT3 PE=1 SV=1
+MRAEEPCAPGAPSALGAQRTPGPELRLSSQLLPELCTFVVRVLFYLGPVYLAGYLGLSITWLLLGALLWMWWRRNRRGKLGRLAAAFEFLDNEREFISRELRGQHLPAWIHFPDVERVEWANKIISQTWPYLSMIMESKFREKLEPKIREKSIHLRTFTFTKLYFGQKCPRVNGVKAHTNTCNRRRVTVDLQICYIGDCEISVELQKIQAGVNGIQLQGTLRVILEPLLVDKPFVGAVTVFFLQKPHLQINWTGLTNLLDAPGINDVSDSLLEDLIATHLVLPNRVTVPVKKGLDLTNLRFPLPCGVIRVHLLEAEQLAQKDNFLGLRGKSDPYAKVSIGLQHFRSRTIYRNLNPTWNEVFEFMVYEVPGQDLEVDLYDEDTDRDDFLGSLQICLGDVMTNRVVDEWFVLNDTTSGRLHLRLEWLSLLTDQEVLTEDHGGLSTAILVVFLESACNLPRNPFDYLNGEYRAKKLSRFARNKVSKDPSSYVKLSVGKKTHTSKTCPHNKDPVWSQVFSFFVHNVATERLHLKVLDDDQECALGMLEVPLCQILPYADLTLEQRFQLDHSGLDSLISMRLVLRFLQVEERELGSPYTGPEALKKGPLLIKKVATNQGPKAQPQEEGPTDLPCPPDPASDTKDVSRSTTTTTSATTVATEPTSQETGPEPKGKDSAKRFCEPIGEKKSPATIFLTVPGPHSPGPIKSPRPMKCPASPFAWPPKRLAPSMSSLNSLASSCFDLADISLNIEGGDLRRRQLGEIQLTVRYVCLRRCLSVLINGCRNLTPCTSSGADPYVRVYLLPERKWACRKKTSVKRKTLEPLFDETFEFFVPMEEVKKRSLDVAVKNSRPLGSHRRKELGKVLIDLSKEDLIKGFSQWYELTPNGQPRS
+>DECOY_sp|A0FGR9|ESYT3_HUMAN Extended synaptotagmin-3 OS=Homo sapiens OX=9606 GN=ESYT3 PE=1 SV=1
+SRPQGNPTLEYWQSFGKILDEKSLDILVKGLEKRRHSGLPRSNKVAVDLSRKKVEEMPVFFEFTEDFLPELTKRKVSTKKRCAWKREPLLYVRVYPDAGSSTCPTLNRCGNILVSLCRRLCVYRVTLQIEGLQRRRLDGGEINLSIDALDFCSSALSNLSSMSPALRKPPWAFPSAPCKMPRPSKIPGPSHPGPVTLFITAPSKKEGIPECFRKASDKGKPEPGTEQSTPETAVTTASTTTTTSRSVDKTDSAPDPPCPLDTPGEEQPQAKPGQNTAVKKILLPGKKLAEPGTYPSGLEREEVQLFRLVLRMSILSDLGSHDLQFRQELTLDAYPLIQCLPVELMGLACEQDDDLVKLHLRETAVNHVFFSFVQSWVPDKNHPCTKSTHTKKGVSLKVYSSPDKSVKNRAFRSLKKARYEGNLYDFPNRPLNCASELFVVLIATSLGGHDETLVEQDTLLSLWELRLHLRGSTTDNLVFWEDVVRNTMVDGLCIQLSGLFDDRDTDEDYLDVELDQGPVEYVMFEFVENWTPNLNRYITRSRFHQLGISVKAYPDSKGRLGLFNDKQALQEAELLHVRIVGCPLPFRLNTLDLGKKVPVTVRNPLVLHTAILDELLSDSVDNIGPADLLNTLGTWNIQLHPKQLFFVTVAGVFPKDVLLPELIVRLTGQLQIGNVGAQIKQLEVSIECDGIYCIQLDVTVRRRNCTNTHAKVGNVRPCKQGFYLKTFTFTRLHISKERIKPELKERFKSEMIMSLYPWTQSIIKNAWEVREVDPFHIWAPLHQGRLERSIFERENDLFEFAAALRGLKGRRNRRWWMWLLAGLLLWTISLGLYGALYVPGLYFLVRVVFTCLEPLLQSSLRLEPGPTRQAGLASPAGPACPEEARM
+>sp|Q6IPR1|ETFR1_HUMAN Electron transfer flavoprotein regulatory factor 1 OS=Homo sapiens OX=9606 GN=ETFRF1 PE=1 SV=2
+MKMANSLRGEVLKLYKNLLYLGRDYPKGADYFKKRLKNIFLKNKDVKNPEKIKELIAQGEFVMKELEALYFLRKYRAMKQRYYSDTNKTN
+>DECOY_sp|Q6IPR1|ETFR1_HUMAN Electron transfer flavoprotein regulatory factor 1 OS=Homo sapiens OX=9606 GN=ETFRF1 PE=1 SV=2
+NTKNTDSYYRQKMARYKRLFYLAELEKMVFEGQAILEKIKEPNKVDKNKLFINKLRKKFYDAGKPYDRGLYLLNKYLKLVEGRLSNAMKM
+>sp|Q9NYK6|EURL_HUMAN Protein EURL homolog OS=Homo sapiens OX=9606 GN=EURL PE=1 SV=3
+MNEEEQFVNIDLNDDNICSVCKLGTDKETLSFCHICFELNIEGVPKSDLLHTKSLRGHKDCFEKYHLIANQGCPRSKLSKSTYEEVKTILSKKINWIVQYAQNKDLDSDSECSKNPQHHLFNFRHKPEEKLLPQFDSQVPKYSAKWIDGSAGGISNCTQRILEQRENTDFGLSMLQDSGATLCRNSVLWPHSHNQAQKKEETISSPEANVQTQHPHYSREELNSMTLGEVEQLNAKLLQQIQEVFEELTHQVQEKDSLASQLHVRHVAIEQLLKNCSKLPCLQVGRTGMKSHLPINN
+>DECOY_sp|Q9NYK6|EURL_HUMAN Protein EURL homolog OS=Homo sapiens OX=9606 GN=EURL PE=1 SV=3
+NNIPLHSKMGTRGVQLCPLKSCNKLLQEIAVHRVHLQSALSDKEQVQHTLEEFVEQIQQLLKANLQEVEGLTMSNLEERSYHPHQTQVNAEPSSITEEKKQAQNHSHPWLVSNRCLTAGSDQLMSLGFDTNERQELIRQTCNSIGGASGDIWKASYKPVQSDFQPLLKEEPKHRFNFLHHQPNKSCESDSDLDKNQAYQVIWNIKKSLITKVEEYTSKSLKSRPCGQNAILHYKEFCDKHGRLSKTHLLDSKPVGEINLEFCIHCFSLTEKDTGLKCVSCINDDNLDINVFQEEENM
+>sp|P58658|EVA1C_HUMAN Protein eva-1 homolog C OS=Homo sapiens OX=9606 GN=EVA1C PE=2 SV=1
+MLLPGRARQPPTPQPVQHPGLRRQVEPPGQLLRLFYCTVLVCSKEISALTDFSGYLTKLLQNHTTYACDGDYLNLQCPRHSTISVQSAFYGQDYQMCSSQKPASQREDSLTCVAATTFQKVLDECQNQRACHLLVNSRVFGPDLCPGSSKYLLVSFKCQPNELKNKTVCEDQELKLHCHESKFLNIYSATYGRRTQERDICSSKAERLPPFDCLSYSALQVLSRRCYGKQRCKIIVNNHHFGSPCLPGVKKYLTVTYACVPKNILTAIDPAIANLKPSLKQKDGEYGINFDPSGSKVLRKDGILVSNSLAAFAYIRAHPERAALLFVSSVCIGLALTLCALVIRESCAKDFRDLQLGREQLVPGSDKVEEDSEDEEEEEDPSESDFPGELSGFCRTSYPIYSSIEAAELAERIERREQIIQEIWMNSGLDTSLPRNMGQFY
+>DECOY_sp|P58658|EVA1C_HUMAN Protein eva-1 homolog C OS=Homo sapiens OX=9606 GN=EVA1C PE=2 SV=1
+YFQGMNRPLSTDLGSNMWIEQIIQERREIREALEAAEISSYIPYSTRCFGSLEGPFDSESPDEEEEEDESDEEVKDSGPVLQERGLQLDRFDKACSERIVLACLTLALGICVSSVFLLAAREPHARIYAFAALSNSVLIGDKRLVKSGSPDFNIGYEGDKQKLSPKLNAIAPDIATLINKPVCAYTVTLYKKVGPLCPSGFHHNNVIIKCRQKGYCRRSLVQLASYSLCDFPPLREAKSSCIDREQTRRGYTASYINLFKSEHCHLKLEQDECVTKNKLENPQCKFSVLLYKSSGPCLDPGFVRSNVLLHCARQNQCEDLVKQFTTAAVCTLSDERQSAPKQSSCMQYDQGYFASQVSITSHRPCQLNLYDGDCAYTTHNQLLKTLYGSFDTLASIEKSCVLVTCYFLRLLQGPPEVQRRLGPHQVPQPTPPQRARGPLLM
+>sp|Q9UI08|EVL_HUMAN Ena/VASP-like protein OS=Homo sapiens OX=9606 GN=EVL PE=1 SV=2
+MSEQSICQARASVMVYDDTSKKWVPIKPGQQGFSRINIYHNTASNTFRVVGVKLQDQQVVINYSIVKGLKYNQATPTFHQWRDARQVYGLNFASKEEATTFSNAMLFALNIMNSQEGGPSSQRQVQNGPSPDEMDIQRRQVMEQHQQQRQESLERRTSATGPILPPGHPSSAASAPVSCSGPPPPPPPPVPPPPTGATPPPPPPLPAGGAQGSSHDESSMSGLAAAIAGAKLRRVQRPEDASGGSSPSGTSKSDANRASSGGGGGGLMEEMNKLLAKRRKAASQSDKPAEKKEDESQMEDPSTSPSPGTRAASQPPNSSEAGRKPWERSNSVEKPVSSILSRTPSVAKSPEAKSPLQSQPHSRMKPAGSVNDMALDAFDLDRMKQEILEEVVRELHKVKEEIIDAIRQELSGISTT
+>DECOY_sp|Q9UI08|EVL_HUMAN Ena/VASP-like protein OS=Homo sapiens OX=9606 GN=EVL PE=1 SV=2
+TTSIGSLEQRIADIIEEKVKHLERVVEELIEQKMRDLDFADLAMDNVSGAPKMRSHPQSQLPSKAEPSKAVSPTRSLISSVPKEVSNSREWPKRGAESSNPPQSAARTGPSPSTSPDEMQSEDEKKEAPKDSQSAAKRRKALLKNMEEMLGGGGGGSSARNADSKSTGSPSSGGSADEPRQVRRLKAGAIAAALGSMSSEDHSSGQAGGAPLPPPPPPTAGTPPPPVPPPPPPPPGSCSVPASAASSPHGPPLIPGTASTRRELSEQRQQQHQEMVQRRQIDMEDPSPGNQVQRQSSPGGEQSNMINLAFLMANSFTTAEEKSAFNLGYVQRADRWQHFTPTAQNYKLGKVISYNIVVQQDQLKVGVVRFTNSATNHYINIRSFGQQGPKIPVWKKSTDDYVMVSARAQCISQESM
+>sp|Q2M3D2|EX3L2_HUMAN Exocyst complex component 3-like protein 2 OS=Homo sapiens OX=9606 GN=EXOC3L2 PE=2 SV=1
+MAALENGELGPLLSPGTLRGLEDECVTDVKAQTRAALLRVLQEDEEHWGSLEDQPSSLAQDVCELLEEHTERAPRISQEFGERMAHCCLGGLAEFLQSFQQRVERFHENPAVREMLPDTYISKTIALVNCGPPLRALAERLARVGPPESEPAREASASALDHVTRLCHRVVANLLFQELQPHFNKLMRRKWLSSPEALDGIVGTLGAQALALRRMQDEPYQALVAELHRRALVEYVRPLLRGRLRCSSARTRSRVAGRLREDAAQLQRLFRRLESQASWLDAVVPHLAEVMQLEDTPSIQVEVGVLVRDYPDIRQKHVAALLDIRGLRNTAARQEILAVARDLELSEEGALSPPRDRAFFADIPVPRPSFCLSLPLFLGRLPLSRLARPSLACLPRPRPPSLARPRAQR
+>DECOY_sp|Q2M3D2|EX3L2_HUMAN Exocyst complex component 3-like protein 2 OS=Homo sapiens OX=9606 GN=EXOC3L2 PE=2 SV=1
+RQARPRALSPPRPRPLCALSPRALRSLPLRGLFLPLSLCFSPRPVPIDAFFARDRPPSLAGEESLELDRAVALIEQRAATNRLGRIDLLAAVHKQRIDPYDRVLVGVEVQISPTDELQMVEALHPVVADLWSAQSELRRFLRQLQAADERLRGAVRSRTRASSCRLRGRLLPRVYEVLARRHLEAVLAQYPEDQMRRLALAQAGLTGVIGDLAEPSSLWKRRMLKNFHPQLEQFLLNAVVRHCLRTVHDLASASAERAPESEPPGVRALREALARLPPGCNVLAITKSIYTDPLMERVAPNEHFREVRQQFSQLFEALGGLCCHAMREGFEQSIRPARETHEELLECVDQALSSPQDELSGWHEEDEQLVRLLAARTQAKVDTVCEDELGRLTGPSLLPGLEGNELAAM
+>sp|O60645|EXOC3_HUMAN Exocyst complex component 3 OS=Homo sapiens OX=9606 GN=EXOC3 PE=1 SV=2
+MQCEDSTSFFTMKETDREAVATAVQRVAGMLQRPDQLDKVEQYRRREARKKASVEARLKAAIQSQLDGVRTGLSQLHNALNDVKDIQQSLADVSKDWRQSINTIESLKDVKDAVVQHSQLAAAVENLKNIFSVPEIVRETQDLIEQGALLQAHRKLMDLECSRDGLMYEQYRMDSGNTRDMTLIHGYFGSTQGLSDELAKQLWMVLQRSLVTVRRDPTLLVSVVRIIEREEKIDRRILDRKKQTGFVPPGRPKNWKEKMFTILERTVTTRIEGTQADTRESDKMWLVRHLEIIRKYVLDDLIVAKNLMVQCFPPHYEIFKNLLNMYHQALSTRMQDLASEDLEANEIVSLLTWVLNTYTSTEMMRNVELAPEVDVGTLEPLLSPHVVSELLDTYMSTLTSNIIAWLRKALETDKKDWVKETEPEADQDGYYQTTLPAIVFQMFEQNLQVAAQISEDLKTKVLVLCLQQMNSFLSRYKDEAQLYKEEHLRNRQHPHCYVQYMIAIINNCQTFKESIVSLKRKYLKNEVEEGVSPSQPSMDGILDAIAKEGCSGLLEEVFLDLEQHLNELMTKKWLLGSNAVDIICVTVEDYFNDFAKIKKPYKKRMTAEAHRRVVVEYLRAVMQKRISFRSPEERKEGAEKMVREAEQLRFLFRKLASGFGEDVDGYCDTIVAVAEVIKLTDPSLLYLEVSTLVSKYPDIRDDHIGALLAVRGDASRDMKQTIMETLEQGPAQASPSYVPLFKDIVVPSLNVAKLLK
+>DECOY_sp|O60645|EXOC3_HUMAN Exocyst complex component 3 OS=Homo sapiens OX=9606 GN=EXOC3 PE=1 SV=2
+KLLKAVNLSPVVIDKFLPVYSPSAQAPGQELTEMITQKMDRSADGRVALLAGIHDDRIDPYKSVLTSVELYLLSPDTLKIVEAVAVITDCYGDVDEGFGSALKRFLFRLQEAERVMKEAGEKREEPSRFSIRKQMVARLYEVVVRRHAEATMRKKYPKKIKAFDNFYDEVTVCIIDVANSGLLWKKTMLENLHQELDLFVEELLGSCGEKAIADLIGDMSPQSPSVGEEVENKLYKRKLSVISEKFTQCNNIIAIMYQVYCHPHQRNRLHEEKYLQAEDKYRSLFSNMQQLCLVLVKTKLDESIQAAVQLNQEFMQFVIAPLTTQYYGDQDAEPETEKVWDKKDTELAKRLWAIINSTLTSMYTDLLESVVHPSLLPELTGVDVEPALEVNRMMETSTYTNLVWTLLSVIENAELDESALDQMRTSLAQHYMNLLNKFIEYHPPFCQVMLNKAVILDDLVYKRIIELHRVLWMKDSERTDAQTGEIRTTVTRELITFMKEKWNKPRGPPVFGTQKKRDLIRRDIKEEREIIRVVSVLLTPDRRVTVLSRQLVMWLQKALEDSLGQTSGFYGHILTMDRTNGSDMRYQEYMLGDRSCELDMLKRHAQLLAGQEILDQTERVIEPVSFINKLNEVAAALQSHQVVADKVDKLSEITNISQRWDKSVDALSQQIDKVDNLANHLQSLGTRVGDLQSQIAAKLRAEVSAKKRAERRRYQEVKDLQDPRQLMGAVRQVATAVAERDTEKMTFFSTSDECQM
+>sp|Q96A65|EXOC4_HUMAN Exocyst complex component 4 OS=Homo sapiens OX=9606 GN=EXOC4 PE=1 SV=1
+MAAEAAGGKYRSTVSKSKDPSGLLISVIRTLSTSDDVEDRENEKGRLEEAYEKCDRDLDELIVQHYTELTTAIRTYQSITERITNSRNKIKQVKENLLSCKMLLHCKRDELRKLWIEGIEHKHVLNLLDEIENIKQVPQKLEQCMASKHYLSATDMLVSAVESLEGPLLQVEGLSDLRLELHSKKMNLHLVLIDELHRHLYIKSTSRVVQRNKEKGKISSLVKDASVPLIDVTNLPTPRKFLDTSHYSTAGSSSVREINLQDIKEDLELDPEENSTLFMGILIKGLAKLKKIPETVKAIIERLEQELKQIVKRSTTQVADSGYQRGENVTVENQPRLLLELLELLFDKFNAVAAAHSVVLGYLQDTVVTPLTQQEDIKLYDMADVWVKIQDVLQMLLTEYLDMKNTRTASEPSAQLSYASTGREFAAFFAKKKPQRPKNSLFKFESSSHAISMSAYLREQRRELYSRSGELQGGPDDNLIEGGGTKFVCKPGARNITVIFHPLLRFIQEIEHALGLGPAKQCPLREFLTVYIKNIFLNQVLAEINKEIEGVTKTSDPLKILANADTMKVLGVQRPLLQSTIIVEKTVQDLLNLMHDLSAYSDQFLNMVCVKLQEYKDTCTAAYRGIVQSEEKLVISASWAKDDDISRLLKSLPNWMNMAQPKQLRPKREEEEDFIRAAFGKESEVLIGNLGDKLIPPQDILRDVSDLKALANMHESLEWLASRTKSAFSNLSTSQMLSPAQDSHTNTDLPPVSEQIMQTLSELAKSFQDMADRCLLVLHLEVRVHCFHYLIPLAKEGNYAIVANVESMDYDPLVVKLNKDISAIEEAMSASLQQHKFQYIFEGLGHLISCILINGAQYFRRISESGIKKMCRNIFVLQQNLTNITMSREADLDFARQYYEMLYNTADELLNLVVDQGVKYTELEYIHALTLLHRSQTGVGELTTQNTRLQRLKEIICEQAAIKQATKDKKITTV
+>DECOY_sp|Q96A65|EXOC4_HUMAN Exocyst complex component 4 OS=Homo sapiens OX=9606 GN=EXOC4 PE=1 SV=1
+VTTIKKDKTAQKIAAQECIIEKLRQLRTNQTTLEGVGTQSRHLLTLAHIYELETYKVGQDVVLNLLEDATNYLMEYYQRAFDLDAERSMTINTLNQQLVFINRCMKKIGSESIRRFYQAGNILICSILHGLGEFIYQFKHQQLSASMAEEIASIDKNLKVVLPDYDMSEVNAVIAYNGEKALPILYHFCHVRVELHLVLLCRDAMDQFSKALESLTQMIQESVPPLDTNTHSDQAPSLMQSTSLNSFASKTRSALWELSEHMNALAKLDSVDRLIDQPPILKDGLNGILVESEKGFAARIFDEEEERKPRLQKPQAMNMWNPLSKLLRSIDDDKAWSASIVLKEESQVIGRYAATCTDKYEQLKVCVMNLFQDSYASLDHMLNLLDQVTKEVIITSQLLPRQVGLVKMTDANALIKLPDSTKTVGEIEKNIEALVQNLFINKIYVTLFERLPCQKAPGLGLAHEIEQIFRLLPHFIVTINRAGPKCVFKTGGGEILNDDPGGQLEGSRSYLERRQERLYASMSIAHSSSEFKFLSNKPRQPKKKAFFAAFERGTSAYSLQASPESATRTNKMDLYETLLMQLVDQIKVWVDAMDYLKIDEQQTLPTVVTDQLYGLVVSHAAAVANFKDFLLELLELLLRPQNEVTVNEGRQYGSDAVQTTSRKVIQKLEQELREIIAKVTEPIKKLKALGKILIGMFLTSNEEPDLELDEKIDQLNIERVSSSGATSYHSTDLFKRPTPLNTVDILPVSADKVLSSIKGKEKNRQVVRSTSKIYLHRHLEDILVLHLNMKKSHLELRLDSLGEVQLLPGELSEVASVLMDTASLYHKSAMCQELKQPVQKINEIEDLLNLVHKHEIGEIWLKRLEDRKCHLLMKCSLLNEKVQKIKNRSNTIRETISQYTRIATTLETYHQVILEDLDRDCKEYAEELRGKENERDEVDDSTSLTRIVSILLGSPDKSKSVTSRYKGGAAEAAM
+>sp|Q8TAG9|EXOC6_HUMAN Exocyst complex component 6 OS=Homo sapiens OX=9606 GN=EXOC6 PE=1 SV=3
+MAENSESLGTVPEHERILQEIESTDTACVGPTLRSVYDDQPNAHKKFMEKLDACIRNHDKEIEKMCNFHHQGFVDAITELLKVRTDAEKLKVQVTDTNRRFQDAGKEVIVHTEDIIRCRIQQRNITTVVEKLQLCLPVLEMYSKLKEQMSAKRYYSALKTMEQLENVYFPWVSQYRFCQLMIENLPKLREDIKEISMSDLKDFLESIRKHSDKIGETAMKQAQHQKTFSVSLQKQNKMKFGKNMYINRDRIPEERNETVLKHSLEEEDENEEEILTVQDLVDFSPVYRCLHIYSVLGDEETFENYYRKQRKKQARLVLQPQSNMHETVDGYRRYFTQIVGFFVVEDHILHVTQGLVTRAYTDELWNMALSKIIAVLRAHSSYCTDPDLVLELKNLTVIFADTLQGYGFPVNRLFDLLFEIRDQYNETLLKKWAGVFRDIFEEDNYSPIPVVNEEEYKIVISKFPFQDPDLEKQSFPKKFPMSQSVPHIYIQVKEFIYASLKFSESLHRSSTEIDDMLRKSTNLLLTRTLSSCLLNLIRKPHIGLTELVQIIINTTHLEQACKYLEDFITNITNISQETVHTTRLYGLSTFKDARHAAEGEIYTKLNQKIDEFVQLADYDWTMSEPDGRASGYLMDLINFLRSIFQVFTHLPGKVAQTACMSACQHLSTSLMQMLLDSELKQISMGAVQQFNLDVIQCELFASSEPVPGFQGDTLQLAFIDLRQLLDLFMVWDWSTYLADYGQPASKYLRVNPNTALTLLEKMKDTSKKNNIFAQFRKNDRDKQKLIETVVKQLRSLVNGMSQHM
+>DECOY_sp|Q8TAG9|EXOC6_HUMAN Exocyst complex component 6 OS=Homo sapiens OX=9606 GN=EXOC6 PE=1 SV=3
+MHQSMGNVLSRLQKVVTEILKQKDRDNKRFQAFINNKKSTDKMKELLTLATNPNVRLYKSAPQGYDALYTSWDWVMFLDLLQRLDIFALQLTDGQFGPVPESSAFLECQIVDLNFQQVAGMSIQKLESDLLMQMLSTSLHQCASMCATQAVKGPLHTFVQFISRLFNILDMLYGSARGDPESMTWDYDALQVFEDIKQNLKTYIEGEAAHRADKFTSLGYLRTTHVTEQSINTINTIFDELYKCAQELHTTNIIIQVLETLGIHPKRILNLLCSSLTRTLLLNTSKRLMDDIETSSRHLSESFKLSAYIFEKVQIYIHPVSQSMPFKKPFSQKELDPDQFPFKSIVIKYEEENVVPIPSYNDEEFIDRFVGAWKKLLTENYQDRIEFLLDFLRNVPFGYGQLTDAFIVTLNKLELVLDPDTCYSSHARLVAIIKSLAMNWLEDTYARTVLGQTVHLIHDEVVFFGVIQTFYRRYGDVTEHMNSQPQLVLRAQKKRQKRYYNEFTEEDGLVSYIHLCRYVPSFDVLDQVTLIEEENEDEEELSHKLVTENREEPIRDRNIYMNKGFKMKNQKQLSVSFTKQHQAQKMATEGIKDSHKRISELFDKLDSMSIEKIDERLKPLNEIMLQCFRYQSVWPFYVNELQEMTKLASYYRKASMQEKLKSYMELVPLCLQLKEVVTTINRQQIRCRIIDETHVIVEKGADQFRRNTDTVQVKLKEADTRVKLLETIADVFGQHHFNCMKEIEKDHNRICADLKEMFKKHANPQDDYVSRLTPGVCATDTSEIEQLIREHEPVTGLSESNEAM
+>sp|Q9UPT5|EXOC7_HUMAN Exocyst complex component 7 OS=Homo sapiens OX=9606 GN=EXOC7 PE=1 SV=3
+MIPPQEASARRREIEDKLKQEEETLSFIRDSLEKSDQLTKNMVSILSSFESRLMKLENSIIPVHKQTENLQRLQENVEKTLSCLDHVISYYHVASDTEKIIREGPTGRLEEYLGSMAKIQKAVEYFQDNSPDSPELNKVKLLFERGKEALESEFRSLMTRHSKVVSPVLILDLISGDDDLEAQEDVTLEHLPESVLQDVIRISRWLVEYGRNQDFMNVYYQIRSSQLDRSIKGLKEHFHKSSSSSGVPYSPAIPNKRKDTPTKKPVKRPGTIRKAQNLLKQYSQHGLDGKKGGSNLIPLEGLLPCTPRGGLPGPWINAACVCAADISPGHEHDFRVKHLSEALNDKHGPLAGRDDMLDVETDAYIHCVSAFVKLAQSEYQLLADIIPEHHQKKTFDSLIQDALDGLMLEGENIVSAARKAIVRHDFSTVLTVFPILRHLKQTKPEFDQVLQGTAASTKNKLPGLITSMETIGAKALEDFADNIKNDPDKEYNMPKDGTVHELTSNAILFLQQLLDFQETAGAMLASQETSSSATSYSSEFSKRLLSTYICKVLGNLQLNLLSKSKVYEDPALSAIFLHNNYNYILKSLEKSELIQLVAVTQKTAERSYREHIEQQIQTYQRSWLKVTDYIAEKNLPVFQPGVKLRDKERQIIKERFKGFNDGLEELCKIQKAWAIPDTEQRDRIRQAQKTIVKETYGAFLQKFGSVPFTKNPEKYIKYGVEQVGDMIDRLFDTSA
+>DECOY_sp|Q9UPT5|EXOC7_HUMAN Exocyst complex component 7 OS=Homo sapiens OX=9606 GN=EXOC7 PE=1 SV=3
+ASTDFLRDIMDGVQEVGYKIYKEPNKTFPVSGFKQLFAGYTEKVITKQAQRIRDRQETDPIAWAKQIKCLEELGDNFGKFREKIIQREKDRLKVGPQFVPLNKEAIYDTVKLWSRQYTQIQQEIHERYSREATKQTVAVLQILESKELSKLIYNYNNHLFIASLAPDEYVKSKSLLNLQLNGLVKCIYTSLLRKSFESSYSTASSSTEQSALMAGATEQFDLLQQLFLIANSTLEHVTGDKPMNYEKDPDNKINDAFDELAKAGITEMSTILGPLKNKTSAATGQLVQDFEPKTQKLHRLIPFVTLVTSFDHRVIAKRAASVINEGELMLGDLADQILSDFTKKQHHEPIIDALLQYESQALKVFASVCHIYADTEVDLMDDRGALPGHKDNLAESLHKVRFDHEHGPSIDAACVCAANIWPGPLGGRPTCPLLGELPILNSGGKKGDLGHQSYQKLLNQAKRITGPRKVPKKTPTDKRKNPIAPSYPVGSSSSSKHFHEKLGKISRDLQSSRIQYYVNMFDQNRGYEVLWRSIRIVDQLVSEPLHELTVDEQAELDDDGSILDLILVPSVVKSHRTMLSRFESELAEKGREFLLKVKNLEPSDPSNDQFYEVAKQIKAMSGLYEELRGTPGERIIKETDSAVHYYSIVHDLCSLTKEVNEQLRQLNETQKHVPIISNELKMLRSEFSSLISVMNKTLQDSKELSDRIFSLTEEEQKLKDEIERRRASAEQPPIM
+>sp|Q96B26|EXOS8_HUMAN Exosome complex component RRP43 OS=Homo sapiens OX=9606 GN=EXOSC8 PE=1 SV=1
+MAAGFKTVEPLEYYRRFLKENCRPDGRELGEFRTTTVNIGSISTADGSALVKLGNTTVICGVKAEFAAPSTDAPDKGYVVPNVDLPPLCSSRFRSGPPGEEAQVASQFIADVIENSQIIQKEDLCISPGKLVWVLYCDLICLDYDGNILDACTFALLAALKNVQLPEVTINEETALAEVNLKKKSYLNIRTHPVATSFAVFDDTLLIVDPTGEEEHLATGTLTIVMDEEGKLCCLHKPGGSGLTGAKLQDCMSRAVTRHKEVKKLMDEVIKSMKPK
+>DECOY_sp|Q96B26|EXOS8_HUMAN Exosome complex component RRP43 OS=Homo sapiens OX=9606 GN=EXOSC8 PE=1 SV=1
+KPKMSKIVEDMLKKVEKHRTVARSMCDQLKAGTLGSGGPKHLCCLKGEEDMVITLTGTALHEEEGTPDVILLTDDFVAFSTAVPHTRINLYSKKKLNVEALATEENITVEPLQVNKLAALLAFTCADLINGDYDLCILDCYLVWVLKGPSICLDEKQIIQSNEIVDAIFQSAVQAEEGPPGSRFRSSCLPPLDVNPVVYGKDPADTSPAAFEAKVGCIVTTNGLKVLASGDATSISGINVTTTRFEGLERGDPRCNEKLFRRYYELPEVTKFGAAM
+>sp|Q01780|EXOSX_HUMAN Exosome component 10 OS=Homo sapiens OX=9606 GN=EXOSC10 PE=1 SV=2
+MAPPSTREPRVLSATSATKSDGEMVLPGFPDADSFVKFALGSVVAVTKASGGLPQFGDEYDFYRSFPGFQAFCETQGDRLLQCMSRVMQYHGCRSNIKDRSKVTELEDKFDLLVDANDVILERVGILLDEASGVNKNQQPVLPAGLQVPKTVVSSWNRKAAEYGKKAKSETFRLLHAKNIIRPQLKFREKIDNSNTPFLPKIFIKPNAQKPLPQALSKERRERPQDRPEDLDVPPALADFIHQQRTQQVEQDMFAHPYQYELNHFTPADAVLQKPQPQLYRPIEETPCHFISSLDELVELNEKLLNCQEFAVDLEHHSYRSFLGLTCLMQISTRTEDFIIDTLELRSDMYILNESLTDPAIVKVFHGADSDIEWLQKDFGLYVVNMFDTHQAARLLNLGRHSLDHLLKLYCNVDSNKQYQLADWRIRPLPEEMLSYARDDTHYLLYIYDKMRLEMWERGNGQPVQLQVVWQRSRDICLKKFIKPIFTDESYLELYRKQKKHLNTQQLTAFQLLFAWRDKTARREDESYGYVLPNHMMLKIAEELPKEPQGIIACCNPVPPLVRQQINEMHLLIQQAREMPLLKSEVAAGVKKSGPLPSAERLENVLFGPHDCSHAPPDGYPIIPTSGSVPVQKQASLFPDEKEDNLLGTTCLIATAVITLFNEPSAEDSKKGPLTVAQKKAQNIMESFENPFRMFLPSLGHRAPVSQAAKFDPSTKIYEISNRWKLAQVQVQKDSKEAVKKKAAEQTAAREQAKEACKAAAEQAISVRQQVVLENAAKKRERATSDPRTTEQKQEKKRLKISKKPKDPEPPEKEFTPYDYSQSDFKAFAGNSKSKVSSQFDPNKQTPSGKKCIAAKKIKQSVGNKSMSFPTGKSDRGFRYNWPQR
+>DECOY_sp|Q01780|EXOSX_HUMAN Exosome component 10 OS=Homo sapiens OX=9606 GN=EXOSC10 PE=1 SV=2
+RQPWNYRFGRDSKGTPFSMSKNGVSQKIKKAAICKKGSPTQKNPDFQSSVKSKSNGAFAKFDSQSYDYPTFEKEPPEPDKPKKSIKLRKKEQKQETTRPDSTARERKKAANELVVQQRVSIAQEAAAKCAEKAQERAATQEAAKKKVAEKSDKQVQVQALKWRNSIEYIKTSPDFKAAQSVPARHGLSPLFMRFPNEFSEMINQAKKQAVTLPGKKSDEASPENFLTIVATAILCTTGLLNDEKEDPFLSAQKQVPVSGSTPIIPYGDPPAHSCDHPGFLVNELREASPLPGSKKVGAAVESKLLPMERAQQILLHMENIQQRVLPPVPNCCAIIGQPEKPLEEAIKLMMHNPLVYGYSEDERRATKDRWAFLLQFATLQQTNLHKKQKRYLELYSEDTFIPKIFKKLCIDRSRQWVVQLQVPQGNGREWMELRMKDYIYLLYHTDDRAYSLMEEPLPRIRWDALQYQKNSDVNCYLKLLHDLSHRGLNLLRAAQHTDFMNVVYLGFDKQLWEIDSDAGHFVKVIAPDTLSENLIYMDSRLELTDIIFDETRTSIQMLCTLGLFSRYSHHELDVAFEQCNLLKENLEVLEDLSSIFHCPTEEIPRYLQPQPKQLVADAPTFHNLEYQYPHAFMDQEVQQTRQQHIFDALAPPVDLDEPRDQPRERREKSLAQPLPKQANPKIFIKPLFPTNSNDIKERFKLQPRIINKAHLLRFTESKAKKGYEAAKRNWSSVVTKPVQLGAPLVPQQNKNVGSAEDLLIGVRELIVDNADVLLDFKDELETVKSRDKINSRCGHYQMVRSMCQLLRDGQTECFAQFGPFSRYFDYEDGFQPLGGSAKTVAVVSGLAFKVFSDADPFGPLVMEGDSKTASTASLVRPERTSPPAM
+>sp|Q93063|EXT2_HUMAN Exostosin-2 OS=Homo sapiens OX=9606 GN=EXT2 PE=1 SV=1
+MCASVKYNIRGPALIPRMKTKHRIYYITLFSIVLLGLIATGMFQFWPHSIESSNDWNVEKRSIRDVPVVRLPADSPIPERGDLSCRMHTCFDVYRCGFNPKNKIKVYIYALKKYVDDFGVSVSNTISREYNELLMAISDSDYYTDDINRACLFVPSIDVLNQNTLRIKETAQAMAQLSRWDRGTNHLLFNMLPGGPPDYNTALDVPRDRALLAGGGFSTWTYRQGYDVSIPVYSPLSAEVDLPEKGPGPRQYFLLSSQVGLHPEYREDLEALQVKHGESVLVLDKCTNLSEGVLSVRKRCHKHQVFDYPQVLQEATFCVVLRGARLGQAVLSDVLQAGCVPVVIADSYILPFSEVLDWKRASVVVPEEKMSDVYSILQSIPQRQIEEMQRQARWFWEAYFQSIKAIALATLQIINDRIYPYAAISYEEWNDPPAVKWGSVSNPLFLPLIPPQSQGFTAIVLTYDRVESLFRVITEVSKVPSLSKLLVVWNNQNKNPPEDSLWPKIRVPLKVVRTAENKLSNRFFPYDEIETEAVLAIDDDIIMLTSDELQFGYEVWREFPDRLVGYPGRLHLWDHEMNKWKYESEWTNEVSMVLTGAAFYHKYFNYLYTYKMPGDIKNWVDAHMNCEDIAMNFLVANVTGKAVIKVTPRKKFKCPECTAIDGLSLDQTHMVERSECINKFASVFGTMPLKVVEHRADPVLYKDDFPEKLKSFPNIGSL
+>DECOY_sp|Q93063|EXT2_HUMAN Exostosin-2 OS=Homo sapiens OX=9606 GN=EXT2 PE=1 SV=1
+LSGINPFSKLKEPFDDKYLVPDARHEVVKLPMTGFVSAFKNICESREVMHTQDLSLGDIATCEPCKFKKRPTVKIVAKGTVNAVLFNMAIDECNMHADVWNKIDGPMKYTYLYNFYKHYFAAGTLVMSVENTWESEYKWKNMEHDWLHLRGPYGVLRDPFERWVEYGFQLEDSTLMIIDDDIALVAETEIEDYPFFRNSLKNEATRVVKLPVRIKPWLSDEPPNKNQNNWVVLLKSLSPVKSVETIVRFLSEVRDYTLVIATFGQSQPPILPLFLPNSVSGWKVAPPDNWEEYSIAAYPYIRDNIIQLTALAIAKISQFYAEWFWRAQRQMEEIQRQPISQLISYVDSMKEEPVVVSARKWDLVESFPLIYSDAIVVPVCGAQLVDSLVAQGLRAGRLVVCFTAEQLVQPYDFVQHKHCRKRVSLVGESLNTCKDLVLVSEGHKVQLAELDERYEPHLGVQSSLLFYQRPGPGKEPLDVEASLPSYVPISVDYGQRYTWTSFGGGALLARDRPVDLATNYDPPGGPLMNFLLHNTGRDWRSLQAMAQATEKIRLTNQNLVDISPVFLCARNIDDTYYDSDSIAMLLENYERSITNSVSVGFDDVYKKLAYIYVKIKNKPNFGCRYVDFCTHMRCSLDGREPIPSDAPLRVVPVDRISRKEVNWDNSSEISHPWFQFMGTAILGLLVISFLTIYYIRHKTKMRPILAPGRINYKVSACM
+>sp|Q52LR7|EPC2_HUMAN Enhancer of polycomb homolog 2 OS=Homo sapiens OX=9606 GN=EPC2 PE=1 SV=2
+MSKLSFRARALDAAKPLPIYRGKDMPDLNDCVSINRAVPQMPTGMEKEEESEHHLQRAISAQQVFREKKESMVIPVPEAESNVNYYNRLYKGEFKQPKQFIHIQPFNLDNEQPDYDMDSEDETLLNRLNRKMEIKPLQFEIMIDRLEKASSNQLVTLQEAKLLLNEDDYLIKAVYDYWVRKRKNCRGPSLIPQIKQEKRDGSTNNDPYVAFRRRTEKMQTRKNRKNDEASYEKMLKLRREFSRAITILEMIKRREKTKRELLHLTLEVVEKRYHLGDYGGEILNEVKISRSEKELYATPATLHNGNHHKVQECKTKHPHHLSLKEEASDVVRQKKKYPKKPKAEALITSQQPTPETLPVINKSDIKQYDFHSSDEDEFPQVLSPVSEPEEENDPDGPCAFRRRAGCQYYAPRLDQANHSCENSELADLDKLRYRHCLTTLTVPRRCIGFARRRIGRGGRVIMDRISTEHDPVLKQIDPEMLNSFSSSSQTIDFSSNFSRTNASSKHCENRLSLSEILSNIRSCRLQCFQPRLLNLQDSDSEECTSRKPGQTVNNKRVSAASVALLNTSKNGISVTGGITEEQFQTHQQQLVQMQRQQLAQLQQKQQSQHSSQQTHPKAQGSSTSDCMSKTLDSASAHFAASAVVSAPVPSRSEVAKEQNTGHNNINGVVQPSGTSKTLYSTNMALSSSPGISAVQLVRTVGHTTTNHLIPALCTSSPQTLPMNNSCLTNAVHLNNVSVVSPVNVHINTRTSAPSPTALKLATVAASMDRVPKVTPSSAISSIARENHEPERLGLNGIAETTVAMEVT
+>DECOY_sp|Q52LR7|EPC2_HUMAN Enhancer of polycomb homolog 2 OS=Homo sapiens OX=9606 GN=EPC2 PE=1 SV=2
+TVEMAVTTEAIGNLGLREPEHNERAISSIASSPTVKPVRDMSAAVTALKLATPSPASTRTNIHVNVPSVVSVNNLHVANTLCSNNMPLTQPSSTCLAPILHNTTTHGVTRVLQVASIGPSSSLAMNTSYLTKSTGSPQVVGNINNHGTNQEKAVESRSPVPASVVASAAFHASASDLTKSMCDSTSSGQAKPHTQQSSHQSQQKQQLQALQQRQMQVLQQQHTQFQEETIGGTVSIGNKSTNLLAVSAASVRKNNVTQGPKRSTCEESDSDQLNLLRPQFCQLRCSRINSLIESLSLRNECHKSSANTRSFNSSFDITQSSSSFSNLMEPDIQKLVPDHETSIRDMIVRGGRGIRRRAFGICRRPVTLTTLCHRYRLKDLDALESNECSHNAQDLRPAYYQCGARRRFACPGDPDNEEEPESVPSLVQPFEDEDSSHFDYQKIDSKNIVPLTEPTPQQSTILAEAKPKKPYKKKQRVVDSAEEKLSLHHPHKTKCEQVKHHNGNHLTAPTAYLEKESRSIKVENLIEGGYDGLHYRKEVVELTLHLLERKTKERRKIMELITIARSFERRLKLMKEYSAEDNKRNKRTQMKETRRRFAVYPDNNTSGDRKEQKIQPILSPGRCNKRKRVWYDYVAKILYDDENLLLKAEQLTVLQNSSAKELRDIMIEFQLPKIEMKRNLRNLLTEDESDMDYDPQENDLNFPQIHIFQKPQKFEGKYLRNYYNVNSEAEPVPIVMSEKKERFVQQASIARQLHHESEEEKEMGTPMQPVARNISVCDNLDPMDKGRYIPLPKAADLARARFSLKSM
+>sp|Q9H201|EPN3_HUMAN Epsin-3 OS=Homo sapiens OX=9606 GN=EPN3 PE=2 SV=1
+MTTSALRRQVKNIVHNYSEAEIKVREATSNDPWGPPSSLMSEIADLTFNTVAFTEVMGMLWRRLNDSGKNWRHVYKALTLLDYLLKTGSERVAHQCRENLYTIQTLKDFQYIDRDGKDQGVNVREKVKQVMALLKDEERLRQERTHALKTKERMALEGIGIGSGQLGFSRRYGEDYSRSRGSPSSYNSSSSSPRYTSDLEQARPQTSGEEELQLQLALAMSREEAEKPVPPASHRDEDLQLQLALRLSRQEHEKEVRSWQGDGSPMANGAGAVVHHQRDREPEREERKEEEKLKTSQSSILDLADIFVPALAPPSTHCSADPWDIPGFRPNTEASGSSWGPSADPWSPIPSGTVLSRSQPWDLTPMLSSSEPWGRTPVLPAGPPTTDPWALNSPHHKLPSTGADPWGASLETSDTPGGASTFDPFAKPPESTETKEGLEQALPSGKPSSPVELDLFGDPSPSSKQNGTKEPDALDLGILGEALTQPSKEARACRTPESFLGPSASSLVNLDSLVKAPQVAKTRNPFLTGLSAPSPTNPFGAGEPGRPTLNQMRTGSPALGLAGGPVGAPLGSMTYSASLPLPLSSVPAGLTLPASVSVFPQAGAFAPQPLLPTPSSAGPRPPPPQTGTNPFL
+>DECOY_sp|Q9H201|EPN3_HUMAN Epsin-3 OS=Homo sapiens OX=9606 GN=EPN3 PE=2 SV=1
+LFPNTGTQPPPPRPGASSPTPLLPQPAFAGAQPFVSVSAPLTLGAPVSSLPLPLSASYTMSGLPAGVPGGALGLAPSGTRMQNLTPRGPEGAGFPNTPSPASLGTLFPNRTKAVQPAKVLSDLNVLSSASPGLFSEPTRCARAEKSPQTLAEGLIGLDLADPEKTGNQKSSPSPDGFLDLEVPSSPKGSPLAQELGEKTETSEPPKAFPDFTSAGGPTDSTELSAGWPDAGTSPLKHHPSNLAWPDTTPPGAPLVPTRGWPESSSLMPTLDWPQSRSLVTGSPIPSWPDASPGWSSGSAETNPRFGPIDWPDASCHTSPPALAPVFIDALDLISSQSTKLKEEEKREEREPERDRQHHVVAGAGNAMPSGDGQWSRVEKEHEQRSLRLALQLQLDEDRHSAPPVPKEAEERSMALALQLQLEEEGSTQPRAQELDSTYRPSSSSSNYSSPSGRSRSYDEGYRRSFGLQGSGIGIGELAMREKTKLAHTREQRLREEDKLLAMVQKVKERVNVGQDKGDRDIYQFDKLTQITYLNERCQHAVRESGTKLLYDLLTLAKYVHRWNKGSDNLRRWLMGMVETFAVTNFTLDAIESMLSSPPGWPDNSTAERVKIEAESYNHVINKVQRRLASTTM
+>sp|Q14677|EPN4_HUMAN Clathrin interactor 1 OS=Homo sapiens OX=9606 GN=CLINT1 PE=1 SV=1
+MLNMWKVRELVDKATNVVMNYSEIESKVREATNDDPWGPSGQLMGEIAKATFMYEQFPELMNMLWSRMLKDNKKNWRRVYKSLLLLAYLIRNGSERVVTSAREHIYDLRSLENYHFVDEHGKDQGINIRQKVKELVEFAQDDDRLREERKKAKKNKDKYVGVSSDSVGGFRYSERYDPEPKSKWDEEWDKNKSAFPFSDKLGELSDKIGSTIDDTISKFRRKDREDSPERCSDSDEEKKARRGRSPKGEFKDEEETVTTKHIHITQATETTTTRHKRTANPSKTIDLGAAAHYTGDKASPDQNASTHTPQSSVKTSVPSSKSSGDLVDLFDGTSQSTGGSADLFGGFADFGSAAASGSFPSQVTATSGNGDFGDWSAFNQAPSGPVASSGEFFGSASQPAVELVSGSQSALGPPPAASNSSDLFDLMGSSQATMTSSQSMNFSMMSTNTVGLGLPMSRSQNTDMVQKSVSKTLPSTWSDPSVNISLDNLLPGMQPSKPQQPSLNTMIQQQNMQQPMNVMTQSFGAVNLSSPSNMLPVRPQTNALIGGPMPMSMPNVMTGTMGMAPLGNTPMMNQSMMGMNMNIGMSAAGMGLTGTMGMGMPNIAMTSGTVQPKQDAFANFANFSK
+>DECOY_sp|Q14677|EPN4_HUMAN Clathrin interactor 1 OS=Homo sapiens OX=9606 GN=CLINT1 PE=1 SV=1
+KSFNAFNAFADQKPQVTGSTMAINPMGMGMTGTLGMGAASMGINMNMGMMSQNMMPTNGLPAMGMTGTMVNPMSMPMPGGILANTQPRVPLMNSPSSLNVAGFSQTMVNMPQQMNQQQIMTNLSPQQPKSPQMGPLLNDLSINVSPDSWTSPLTKSVSKQVMDTNQSRSMPLGLGVTNTSMMSFNMSQSSTMTAQSSGMLDFLDSSNSAAPPPGLASQSGSVLEVAPQSASGFFEGSSAVPGSPAQNFASWDGFDGNGSTATVQSPFSGSAAASGFDAFGGFLDASGGTSQSTGDFLDVLDGSSKSSPVSTKVSSQPTHTSANQDPSAKDGTYHAAAGLDITKSPNATRKHRTTTTETAQTIHIHKTTVTEEEDKFEGKPSRGRRAKKEEDSDSCREPSDERDKRRFKSITDDITSGIKDSLEGLKDSFPFASKNKDWEEDWKSKPEPDYRESYRFGGVSDSSVGVYKDKNKKAKKREERLRDDDQAFEVLEKVKQRINIGQDKGHEDVFHYNELSRLDYIHERASTVVRESGNRILYALLLLSKYVRRWNKKNDKLMRSWLMNMLEPFQEYMFTAKAIEGMLQGSPGWPDDNTAERVKSEIESYNMVVNTAKDVLERVKWMNLM
+>sp|P19235|EPOR_HUMAN Erythropoietin receptor OS=Homo sapiens OX=9606 GN=EPOR PE=1 SV=1
+MDHLGASLWPQVGSLCLLLAGAAWAPPPNLPDPKFESKAALLAARGPEELLCFTERLEDLVCFWEEAASAGVGPGNYSFSYQLEDEPWKLCRLHQAPTARGAVRFWCSLPTADTSSFVPLELRVTAASGAPRYHRVIHINEVVLLDAPVGLVARLADESGHVVLRWLPPPETPMTSHIRYEVDVSAGNGAGSVQRVEILEGRTECVLSNLRGRTRYTFAVRARMAEPSFGGFWSAWSEPVSLLTPSDLDPLILTLSLILVVILVLLTVLALLSHRRALKQKIWPGIPSPESEFEGLFTTHKGNFQLWLYQNDGCLWWSPCTPFTEDPPASLEVLSERCWGTMQAVEPGTDDEGPLLEPVGSEHAQDTYLVLDKWLLPRNPPSEDLPGPGGSVDIVAMDEGSEASSCSSALASKPSPEGASAASFEYTILDPSSQLLRPWTLCPELPPTPPHLKYLYLVVSDSGISTDYSSGDSQGAQGGLSDGPYSNPYENSLIPAAEPLPPSYVACS
+>DECOY_sp|P19235|EPOR_HUMAN Erythropoietin receptor OS=Homo sapiens OX=9606 GN=EPOR PE=1 SV=1
+SCAVYSPPLPEAAPILSNEYPNSYPGDSLGGQAGQSDGSSYDTSIGSDSVVLYLYKLHPPTPPLEPCLTWPRLLQSSPDLITYEFSAASAGEPSPKSALASSCSSAESGEDMAVIDVSGGPGPLDESPPNRPLLWKDLVLYTDQAHESGVPELLPGEDDTGPEVAQMTGWCRESLVELSAPPDETFPTCPSWWLCGDNQYLWLQFNGKHTTFLGEFESEPSPIGPWIKQKLARRHSLLALVTLLVLIVVLILSLTLILPDLDSPTLLSVPESWASWFGGFSPEAMRARVAFTYRTRGRLNSLVCETRGELIEVRQVSGAGNGASVDVEYRIHSTMPTEPPPLWRLVVHGSEDALRAVLGVPADLLVVENIHIVRHYRPAGSAATVRLELPVFSSTDATPLSCWFRVAGRATPAQHLRCLKWPEDELQYSFSYNGPGVGASAAEEWFCVLDELRETFCLLEEPGRAALLAAKSEFKPDPLNPPPAWAAGALLLCLSGVQPWLSAGLHDM
+>sp|A1L162|ERIC2_HUMAN Glutamate-rich protein 2 OS=Homo sapiens OX=9606 GN=ERICH2 PE=1 SV=1
+METVNEPETGEVSKDAVIVKQEKNNEYCLQDIDDKLSESAEDDGEDDTNDEDDDEDSNPKKNTQAPLELMAEFLRAEMAREYQLAKKLCQMILIYEPENPEAKEFFTLIEEMLLMEKTQNHEQDGENSDEDSSGESKGESDEELSDESSDEGEDGS
+>DECOY_sp|A1L162|ERIC2_HUMAN Glutamate-rich protein 2 OS=Homo sapiens OX=9606 GN=ERICH2 PE=1 SV=1
+SGDEGEDSSEDSLEEDSEGKSEGSSDEDSNEGDQEHNQTKEMLLMEEILTFFEKAEPNEPEYILIMQCLKKALQYERAMEARLFEAMLELPAQTNKKPNSDEDDDEDNTDDEGDDEASESLKDDIDQLCYENNKEQKVIVADKSVEGTEPENVTEM
+>sp|Q8TE68|ES8L1_HUMAN Epidermal growth factor receptor kinase substrate 8-like protein 1 OS=Homo sapiens OX=9606 GN=EPS8L1 PE=1 SV=3
+MSTATGPEAAPKPSAKSIYEQRKRYSTVVMADVSQYPVNHLVTFCLGEDDGVHTVEDASRKLAVMDSQGRVWAQEMLLRVSPDHVTLLDPASKEELESYPLGAIVRCDAVMPPGRSRSLLLLVCQEPERAQPDVHFFQGLRLGAELIREDIQGALHNYRSGRGERRAAALRATQEELQRDRSPAAETPPLQRRPSVRAVISTVERGAGRGRPQAKPIPEAEEAQRPEPVGTSSNADSASPDLGPRGPDLAVLQAEREVDILNHVFDDVESFVSRLQKSAEAARVLEHRERGRRSRRRAAGEGLLTLRAKPPSEAEYTDVLQKIKYAFSLLARLRGNIADPSSPELLHFLFGPLQMIVNTSGGPEFASSVRRPHLTSDAVALLRDNVTPRENELWTSLGDSWTRPGLELSPEEGPPYRPEFFSGWEPPVTDPQSRAWEDPVEKQLQHERRRRQQSAPQVAVNGHRDLEPESEPQLESETAGKWVLCNYDFQARNSSELSVKQRDVLEVLDDSRKWWKVRDPAGQEGYVPYNILTPYPGPRLHHSQSPARSLNSTPPPPPAPAPAPPPALARPRWDRPRWDSCDSLNGLDPSEKEKFSQMLIVNEELQARLAQGRSGPSRAVPGPRAPEPQLSPGSDASEVRAWLQAKGFSSGTVDALGVLTGAQLFSLQKEELRAVSPEEGARVYSQVTVQRSLLEDKEKVSELEAVMEKQKKKVEGEVEMEVI
+>DECOY_sp|Q8TE68|ES8L1_HUMAN Epidermal growth factor receptor kinase substrate 8-like protein 1 OS=Homo sapiens OX=9606 GN=EPS8L1 PE=1 SV=3
+IVEMEVEGEVKKKQKEMVAELESVKEKDELLSRQVTVQSYVRAGEEPSVARLEEKQLSFLQAGTLVGLADVTGSSFGKAQLWARVESADSGPSLQPEPARPGPVARSPGSRGQALRAQLEENVILMQSFKEKESPDLGNLSDCSDWRPRDWRPRALAPPPAPAPAPPPPPTSNLSRAPSQSHHLRPGPYPTLINYPVYGEQGAPDRVKWWKRSDDLVELVDRQKVSLESSNRAQFDYNCLVWKGATESELQPESEPELDRHGNVAVQPASQQRRRREHQLQKEVPDEWARSQPDTVPPEWGSFFEPRYPPGEEPSLELGPRTWSDGLSTWLENERPTVNDRLLAVADSTLHPRRVSSAFEPGGSTNVIMQLPGFLFHLLEPSSPDAINGRLRALLSFAYKIKQLVDTYEAESPPKARLTLLGEGAARRRSRRGRERHELVRAAEASKQLRSVFSEVDDFVHNLIDVEREAQLVALDPGRPGLDPSASDANSSTGVPEPRQAEEAEPIPKAQPRGRGAGREVTSIVARVSPRRQLPPTEAAPSRDRQLEEQTARLAAARREGRGSRYNHLAGQIDERILEAGLRLGQFFHVDPQAREPEQCVLLLLSRSRGPPMVADCRVIAGLPYSELEEKSAPDLLTVHDPSVRLLMEQAWVRGQSDMVALKRSADEVTHVGDDEGLCFTVLHNVPYQSVDAMVVTSYRKRQEYISKASPKPAAEPGTATSM
+>sp|Q8TE67|ES8L3_HUMAN Epidermal growth factor receptor kinase substrate 8-like protein 3 OS=Homo sapiens OX=9606 GN=EPS8L3 PE=1 SV=2
+MSRPSSRAIYLHRKEYSQNLTSEPTLLQHRVEHLMTCKQGSQRVQGPEDALQKLFEMDAQGRVWSQDLILQVRDGWLQLLDIETKEELDSYRLDSIQAMNVALNTCSYNSILSITVQEPGLPGTSTLLFQCQEVGAERLKTSLQKALEEELEQRPRLGGLQPGQDRWRGPAMERPLPMEQARYLEPGIPPEQPHQRTLEHSLPPSPRPLPRHTSAREPSAFTLPPPRRSSSPEDPERDEEVLNHVLRDIELFMGKLEKAQAKTSRKKKFGKKNKDQGGLTQAQYIDCFQKIKHSFNLLGRLATWLKETSAPELVHILFKSLNFILARCPEAGLAAQVISPLLTPKAINLLQSCLSPPESNLWMGLGPAWTTSRADWTGDEPLPYQPTFSDDWQLPEPSSQAPLGYQDPVSLRRGSHRLGSTSHFPQEKTHNHDPQPGDPNSRPSSPKPAQPALKMQVLYEFEARNPRELTVVQGEKLEVLDHSKRWWLVKNEAGRSGYIPSNILEPLQPGTPGTQGQSPSRVPMLRLSSRPEEVTDWLQAENFSTATVRTLGSLTGSQLLRIRPGELQMLCPQEAPRILSRLEAVRRMLGISP
+>DECOY_sp|Q8TE67|ES8L3_HUMAN Epidermal growth factor receptor kinase substrate 8-like protein 3 OS=Homo sapiens OX=9606 GN=EPS8L3 PE=1 SV=2
+PSIGLMRRVAELRSLIRPAEQPCLMQLEGPRIRLLQSGTLSGLTRVTATSFNEAQLWDTVEEPRSSLRLMPVRSPSQGQTGPTGPQLPELINSPIYGSRGAENKVLWWRKSHDLVELKEGQVVTLERPNRAEFEYLVQMKLAPQAPKPSSPRSNPDGPQPDHNHTKEQPFHSTSGLRHSGRRLSVPDQYGLPAQSSPEPLQWDDSFTPQYPLPEDGTWDARSTTWAPGLGMWLNSEPPSLCSQLLNIAKPTLLPSIVQAALGAEPCRALIFNLSKFLIHVLEPASTEKLWTALRGLLNFSHKIKQFCDIYQAQTLGGQDKNKKGFKKKRSTKAQAKELKGMFLEIDRLVHNLVEEDREPDEPSSSRRPPPLTFASPERASTHRPLPRPSPPLSHELTRQHPQEPPIGPELYRAQEMPLPREMAPGRWRDQGPQLGGLRPRQELEEELAKQLSTKLREAGVEQCQFLLTSTGPLGPEQVTISLISNYSCTNLAVNMAQISDLRYSDLEEKTEIDLLQLWGDRVQLILDQSWVRGQADMEFLKQLADEPGQVRQSGQKCTMLHEVRHQLLTPESTLNQSYEKRHLYIARSSPRSM
+>sp|Q5FWF5|ESCO1_HUMAN N-acetyltransferase ESCO1 OS=Homo sapiens OX=9606 GN=ESCO1 PE=1 SV=3
+MMSIQEKSKENSSKVTKKSDDKNSETEIQDSQKNLAKKSGPKETIKSQAKSSSESKINQPELETRMSTRSSKAASNDKATKSINKNTVTVRGYSQESTKKKLSQKKLVHENPKANEQLNRRSQRLQQLTEVSRRSLRSREIQGQVQAVKQSLPPTKKEQCSSTQSKSNKTSQKHVKRKVLEVKSDSKEDENLVINEVINSPKGKKRKVEHQTACACSSQCTQGSEKCPQKTTRRDETKPVPVTSEVKRSKMATSVVPKKNEMKKSVHTQVNTNTTLPKSPQPSVPEQSDNELEQAGKSKRGSILQLCEEIAGEIESDNVEVKKESSQMESVKEEKPTEIKLEETSVERQILHQKETNQDVQCNRFFPSRKTKPVKCILNGINSSAKKNSNWTKIKLSKFNSVQHNKLDSQVSPKLGLLRTSFSPPALEMHHPVTQSTFLGTKLHDRNITCQQEKMKEINSEEVKINDITVEINKTTERAPENCHLANEIKPSDPPLDNQMKHSFDSASNKNFSQCLESKLENSPVENVTAASTLLSQAKIDTGENKFPGSAPQQHSILSNQTSKSSDNRETPRNHSLPKCNSHLEITIPKDLKLKEAEKTDEKQLIIDAGQKRFGAVSCNVCGMLYTASNPEDETQHLLFHNQFISAVKYVGWKKERILAEYPDGRIIMVLPEDPKYALKKVDEIREMVDNDLGFQQAPLMCYSRTKTLLFISNDKKVVGCLIAEHIQWGYRVIEEKLPVIRSEEEKVRFERQKAWCCSTLPEPAICGISRIWVFSMMRRKKIASRMIECLRSNFIYGSYLSKEEIAFSDPTPDGKLFATQYCGTGQFLVYNFINGQNST
+>DECOY_sp|Q5FWF5|ESCO1_HUMAN N-acetyltransferase ESCO1 OS=Homo sapiens OX=9606 GN=ESCO1 PE=1 SV=3
+TSNQGNIFNYVLFQGTGCYQTAFLKGDPTPDSFAIEEKSLYSGYIFNSRLCEIMRSAIKKRRMMSFVWIRSIGCIAPEPLTSCCWAKQREFRVKEEESRIVPLKEEIVRYGWQIHEAILCGVVKKDNSIFLLTKTRSYCMLPAQQFGLDNDVMERIEDVKKLAYKPDEPLVMIIRGDPYEALIREKKWGVYKVASIFQNHFLLHQTEDEPNSATYLMGCVNCSVAGFRKQGADIILQKEDTKEAEKLKLDKPITIELHSNCKPLSHNRPTERNDSSKSTQNSLISHQQPASGPFKNEGTDIKAQSLLTSAATVNEVPSNELKSELCQSFNKNSASDFSHKMQNDLPPDSPKIENALHCNEPARETTKNIEVTIDNIKVEESNIEKMKEQQCTINRDHLKTGLFTSQTVPHHMELAPPSFSTRLLGLKPSVQSDLKNHQVSNFKSLKIKTWNSNKKASSNIGNLICKVPKTKRSPFFRNCQVDQNTEKQHLIQREVSTEELKIETPKEEKVSEMQSSEKKVEVNDSEIEGAIEECLQLISGRKSKGAQELENDSQEPVSPQPSKPLTTNTNVQTHVSKKMENKKPVVSTAMKSRKVESTVPVPKTEDRRTTKQPCKESGQTCQSSCACATQHEVKRKKGKPSNIVENIVLNEDEKSDSKVELVKRKVHKQSTKNSKSQTSSCQEKKTPPLSQKVAQVQGQIERSRLSRRSVETLQQLRQSRRNLQENAKPNEHVLKKQSLKKKTSEQSYGRVTVTNKNISKTAKDNSAAKSSRTSMRTELEPQNIKSESSSKAQSKITEKPGSKKALNKQSDQIETESNKDDSKKTVKSSNEKSKEQISMM
+>sp|Q96BH3|ESPB1_HUMAN Epididymal sperm-binding protein 1 OS=Homo sapiens OX=9606 GN=ELSPBP1 PE=1 SV=2
+MTRWSSYLLGWTTFLLYSYESSGGMHEECVFPFTYKGSVYFTCTHIHSLSPWCATRAVYNGQWKYCQSEDYPRCIFPFIYRGKAYNSCISQGSFLGSLWCSVTSVFDEKQQWKFCETNEYGGNSLRKPCIFPSIYRNNVVSDCMEDESNKLWCPTTENMDKDGKWSFCADTRISALVPGFPCHFPFNYKNKNYFNCTNEGSKENLVWCATSYNYDQDHTWVYC
+>DECOY_sp|Q96BH3|ESPB1_HUMAN Epididymal sperm-binding protein 1 OS=Homo sapiens OX=9606 GN=ELSPBP1 PE=1 SV=2
+CYVWTHDQDYNYSTACWVLNEKSGENTCNFYNKNKYNFPFHCPFGPVLASIRTDACFSWKGDKDMNETTPCWLKNSEDEMCDSVVNNRYISPFICPKRLSNGGYENTECFKWQQKEDFVSTVSCWLSGLFSGQSICSNYAKGRYIFPFICRPYDESQCYKWQGNYVARTACWPSLSHIHTCTFYVSGKYTFPFVCEEHMGGSSEYSYLLFTTWGLLYSSWRTM
+>sp|Q6NXG1|ESRP1_HUMAN Epithelial splicing regulatory protein 1 OS=Homo sapiens OX=9606 GN=ESRP1 PE=1 SV=2
+MTASPDYLVVLFGITAGATGAKLGSDEKELILLFWKVVDLANKKVGQLHEVLVRPDQLELTEDCKEETKIDVESLSSASQLDQALRQFNQSVSNELNIGVGTSFCLCTDGQLHVRQILHPEASKKNVLLPECFYSFFDLRKEFKKCCPGSPDIDKLDVATMTEYLNFEKSSSVSRYGASQVEDMGNIILAMISEPYNHRFSDPERVNYKFESGTCSKMELIDDNTVVRARGLPWQSSDQDIARFFKGLNIAKGGAALCLNAQGRRNGEALVRFVSEEHRDLALQRHKHHMGTRYIEVYKATGEDFLKIAGGTSNEVAQFLSKENQVIVRMRGLPFTATAEEVVAFFGQHCPITGGKEGILFVTYPDGRPTGDAFVLFACEEYAQNALRKHKDLLGKRYIELFRSTAAEVQQVLNRFSSAPLIPLPTPPIIPVLPQQFVPPTNVRDCIRLRGLPYAATIEDILDFLGEFATDIRTHGVHMVLNHQGRPSGDAFIQMKSADRAFMAAQKCHKKNMKDRYVEVFQCSAEEMNFVLMGGTLNRNGLSPPPCKLPCLSPPSYTFPAPAAVIPTEAAIYQPSVILNPRALQPSTAYYPAGTQLFMNYTAYYPSPPGSPNSLGYFPTAANLSGVPPQPGTVVRMQGLAYNTGVKEILNFFQGYQYATEDGLIHTNDQARTLPKEWVCI
+>DECOY_sp|Q6NXG1|ESRP1_HUMAN Epithelial splicing regulatory protein 1 OS=Homo sapiens OX=9606 GN=ESRP1 PE=1 SV=2
+ICVWEKPLTRAQDNTHILGDETAYQYGQFFNLIEKVGTNYALGQMRVVTGPQPPVGSLNAATPFYGLSNPSGPPSPYYATYNMFLQTGAPYYATSPQLARPNLIVSPQYIAAETPIVAAPAPFTYSPPSLCPLKCPPPSLGNRNLTGGMLVFNMEEASCQFVEVYRDKMNKKHCKQAAMFARDASKMQIFADGSPRGQHNLVMHVGHTRIDTAFEGLFDLIDEITAAYPLGRLRICDRVNTPPVFQQPLVPIIPPTPLPILPASSFRNLVQQVEAATSRFLEIYRKGLLDKHKRLANQAYEECAFLVFADGTPRGDPYTVFLIGEKGGTIPCHQGFFAVVEEATATFPLGRMRVIVQNEKSLFQAVENSTGGAIKLFDEGTAKYVEIYRTGMHHKHRQLALDRHEESVFRVLAEGNRRGQANLCLAAGGKAINLGKFFRAIDQDSSQWPLGRARVVTNDDILEMKSCTGSEFKYNVREPDSFRHNYPESIMALIINGMDEVQSAGYRSVSSSKEFNLYETMTAVDLKDIDPSGPCCKKFEKRLDFFSYFCEPLLVNKKSAEPHLIQRVHLQGDTCLCFSTGVGINLENSVSQNFQRLAQDLQSASSLSEVDIKTEEKCDETLELQDPRVLVEHLQGVKKNALDVVKWFLLILEKEDSGLKAGTAGATIGFLVVLYDPSATM
+>sp|P23141|EST1_HUMAN Liver carboxylesterase 1 OS=Homo sapiens OX=9606 GN=CES1 PE=1 SV=2
+MWLRAFILATLSASAAWGHPSSPPVVDTVHGKVLGKFVSLEGFAQPVAIFLGIPFAKPPLGPLRFTPPQPAEPWSFVKNATSYPPMCTQDPKAGQLLSELFTNRKENIPLKLSEDCLYLNIYTPADLTKKNRLPVMVWIHGGGLMVGAASTYDGLALAAHENVVVVTIQYRLGIWGFFSTGDEHSRGNWGHLDQVAALRWVQDNIASFGGNPGSVTIFGESAGGESVSVLVLSPLAKNLFHRAISESGVALTSVLVKKGDVKPLAEQIAITAGCKTTTSAVMVHCLRQKTEEELLETTLKMKFLSLDLQGDPRESQPLLGTVIDGMLLLKTPEELQAERNFHTVPYMVGINKQEFGWLIPMQLMSYPLSEGQLDQKTAMSLLWKSYPLVCIAKELIPEATEKYLGGTDDTVKKKDLFLDLIADVMFGVPSVIVARNHRDAGAPTYMYEFQYRPSFSSDMKPKTVIGDHGDELFSVFGAPFLKEGASEEEIRLSKMVMKFWANFARNGNPNGEGLPHWPEYNQKEGYLQIGANTQAAQKLKDKEVAFWTNLFAKKAVEKPPQTEHIEL
+>DECOY_sp|P23141|EST1_HUMAN Liver carboxylesterase 1 OS=Homo sapiens OX=9606 GN=CES1 PE=1 SV=2
+LEIHETQPPKEVAKKAFLNTWFAVEKDKLKQAAQTNAGIQLYGEKQNYEPWHPLGEGNPNGNRAFNAWFKMVMKSLRIEEESAGEKLFPAGFVSFLEDGHDGIVTKPKMDSSFSPRYQFEYMYTPAGADRHNRAVIVSPVGFMVDAILDLFLDKKKVTDDTGGLYKETAEPILEKAICVLPYSKWLLSMATKQDLQGESLPYSMLQMPILWGFEQKNIGVMYPVTHFNREAQLEEPTKLLLMGDIVTGLLPQSERPDGQLDLSLFKMKLTTELLEEETKQRLCHVMVASTTTKCGATIAIQEALPKVDGKKVLVSTLAVGSESIARHFLNKALPSLVLVSVSEGGASEGFITVSGPNGGFSAINDQVWRLAAVQDLHGWNGRSHEDGTSFFGWIGLRYQITVVVVNEHAALALGDYTSAAGVMLGGGHIWVMVPLRNKKTLDAPTYINLYLCDESLKLPINEKRNTFLESLLQGAKPDQTCMPPYSTANKVFSWPEAPQPPTFRLPGLPPKAFPIGLFIAVPQAFGELSVFKGLVKGHVTDVVPPSSPHGWAASASLTALIFARLWM
+>sp|Q6NT32|EST5A_HUMAN Carboxylesterase 5A OS=Homo sapiens OX=9606 GN=CES5A PE=2 SV=1
+MSGNWVHPGQILIWAIWVLAAPTKGPSAEGPQRNTRLGWIQGKQVTVLGSPVPVNVFLGVPFAAPPLGSLRFTNPQPASPWDNLREATSYPNLCLQNSEWLLLDQHMLKVHYPKFGVSEDCLYLNIYAPAHADTGSKLPVLVWFPGGAFKTGSASIFDGSALAAYEDVLVVVVQYRLGIFGFFTTWDQHAPGNWAFKDQVAALSWVQKNIEFFGGDPSSVTIFGESAGAISVSSLILSPMAKGLFHKAIMESGVAIIPYLEAHDYEKSEDLQVVAHFCGNNASDSEALLRCLRTKPSKELLTLSQKTKSFTRVVDGAFFPNEPLDLLSQKAFKAIPSIIGVNNHECGFLLPMKEAPEILSGSNKSLALHLIQNILHIPPQYLHLVANEYFHDKHSLTEIRDSLLDLLGDVFFVVPALITARYHRDAGAPVYFYEFRHRPQCFEDTKPAFVKADHADEVRFVFGGAFLKGDIVMFEGATEEEKLLSRKMMKYWATFARTGNPNGNDLSLWPAYNLTEQYLQLDLNMSLGQRLKEPRVDFWTSTIPLILSASDMLHSPLSSLTFLSLLQPFFFFCAP
+>DECOY_sp|Q6NT32|EST5A_HUMAN Carboxylesterase 5A OS=Homo sapiens OX=9606 GN=CES5A PE=2 SV=1
+PACFFFFPQLLSLFTLSSLPSHLMDSASLILPITSTWFDVRPEKLRQGLSMNLDLQLYQETLNYAPWLSLDNGNPNGTRAFTAWYKMMKRSLLKEEETAGEFMVIDGKLFAGGFVFRVEDAHDAKVFAPKTDEFCQPRHRFEYFYVPAGADRHYRATILAPVVFFVDGLLDLLSDRIETLSHKDHFYENAVLHLYQPPIHLINQILHLALSKNSGSLIEPAEKMPLLFGCEHNNVGIISPIAKFAKQSLLDLPENPFFAGDVVRTFSKTKQSLTLLEKSPKTRLCRLLAESDSANNGCFHAVVQLDESKEYDHAELYPIIAVGSEMIAKHFLGKAMPSLILSSVSIAGASEGFITVSSPDGGFFEINKQVWSLAAVQDKFAWNGPAHQDWTTFFGFIGLRYQVVVVLVDEYAALASGDFISASGTKFAGGPFWVLVPLKSGTDAHAPAYINLYLCDESVGFKPYHVKLMHQDLLLWESNQLCLNPYSTAERLNDWPSAPQPNTFRLSGLPPAAFPVGLFVNVPVPSGLVTVQKGQIWGLRTNRQPGEASPGKTPAALVWIAWILIQGPHVWNGSM
+>sp|P10768|ESTD_HUMAN S-formylglutathione hydrolase OS=Homo sapiens OX=9606 GN=ESD PE=1 SV=2
+MALKQISSNKCFGGLQKVFEHDSVELNCKMKFAVYLPPKAETGKCPALYWLSGLTCTEQNFISKSGYHQSASEHGLVVIAPDTSPRGCNIKGEDESWDFGTGAGFYVDATEDPWKTNYRMYSYVTEELPQLINANFPVDPQRMSIFGHSMGGHGALICALKNPGKYKSVSAFAPICNPVLCPWGKKAFSGYLGTDQSKWKAYDATHLVKSYPGSQLDILIDQGKDDQFLLDGQLLPDNFIAACTEKKIPVVFRLQEGYDHSYYFIATFITDHIRHHAKYLNA
+>DECOY_sp|P10768|ESTD_HUMAN S-formylglutathione hydrolase OS=Homo sapiens OX=9606 GN=ESD PE=1 SV=2
+ANLYKAHHRIHDTIFTAIFYYSHDYGEQLRFVVPIKKETCAAIFNDPLLQGDLLFQDDKGQDILIDLQSGPYSKVLHTADYAKWKSQDTGLYGSFAKKGWPCLVPNCIPAFASVSKYKGPNKLACILAGHGGMSHGFISMRQPDVPFNANILQPLEETVYSYMRYNTKWPDETADVYFGAGTGFDWSEDEGKINCGRPSTDPAIVVLGHESASQHYGSKSIFNQETCTLGSLWYLAPCKGTEAKPPLYVAFKMKCNLEVSDHEFVKQLGGFCKNSSIQKLAM
+>sp|Q8N693|ESX1_HUMAN Homeobox protein ESX1 OS=Homo sapiens OX=9606 GN=ESX1 PE=1 SV=3
+MESLRGYTHSDIGYRSLAVGEDIEEVNDEKLTVTSLMARGGEDEENTRSKPEYGTEAENNVGTEGSVPSDDQDREGGGGHEPEQQQEEPPLTKPEQQQEEPPLLELKQEQEEPPQTTVEGPQPAEGPQTAEGPQPPERKRRRRTAFTQFQLQELENFFDESQYPDVVARERLAARLNLTEDRVQVWFQNRRAKWKRNQRVLMLRNTATADLAHPLDMFLGGAYYAAPALDPALCVHLVPQLPRPPVLPVPPMPPRPPMVPMPPRPPIAPMPPMAPVPPGSRMAPVPPGPRMAPVPPWPPMAPVPPWPPMAPVPTGPPMAPVPPGPPMARVPPGPPMARVPPGPPMAPLPPGPPMAPLPPGPPMAPLPPGPPMAPLPPRSHVPHTGLAPVHITWAPVINSYYACPFF
+>DECOY_sp|Q8N693|ESX1_HUMAN Homeobox protein ESX1 OS=Homo sapiens OX=9606 GN=ESX1 PE=1 SV=3
+FFPCAYYSNIVPAWTIHVPALGTHPVHSRPPLPAMPPGPPLPAMPPGPPLPAMPPGPPLPAMPPGPPVRAMPPGPPVRAMPPGPPVPAMPPGTPVPAMPPWPPVPAMPPWPPVPAMRPGPPVPAMRSGPPVPAMPPMPAIPPRPPMPVMPPRPPMPPVPLVPPRPLQPVLHVCLAPDLAPAAYYAGGLFMDLPHALDATATNRLMLVRQNRKWKARRNQFWVQVRDETLNLRAALRERAVVDPYQSEDFFNELEQLQFQTFATRRRRKREPPQPGEATQPGEAPQPGEVTTQPPEEQEQKLELLPPEEQQQEPKTLPPEEQQQEPEHGGGGERDQDDSPVSGETGVNNEAETGYEPKSRTNEEDEGGRAMLSTVTLKEDNVEEIDEGVALSRYGIDSHTYGRLSEM
+>sp|Q9NY74|ETAA1_HUMAN Ewing's tumor-associated antigen 1 OS=Homo sapiens OX=9606 GN=ETAA1 PE=1 SV=2
+MSRRRKHDDSPSPKKTPHKTVAAEECGSVVEPGRRRLRSARGSWPCGAREGPPGPVRQREQPPTAALCSKSNPEERYETPKRALKMDSLSSSFSSPNDPDGQNDIFWDQNSPLTKQLGKGRKKQIYTTDSDEISHIVNRIAPQDEKPTTNSMLDMWIGETAIPCTPSVAKGKSRAKISCTKLKTQSQEEELMKLAKQFDKNMEELDVIQEQNKRNYDFTQMISETEILSNYKDNIQMWSLHNIVPEIDNATKKPIKGNTKISVANNQNSSQKPFDQIAEAAFNAIFDGSTQKCSGQLSQELPEAFWSTSNTTFVKTNALKEEKIITNETLVIEKLSNKTPRSLSSQVDTPIMTKSCVTSCTKEPETSNKYIDAFTTSDFEDDWENLLGSEPFAMQNIDMPELFPSKTAHVTDQKEICTFNSKTVKNTSRANTSPDARLGDSKVLQDLSSKTYDRELIDAEYRFSPNSNKSNKLSTGNKMKFENSSNKIVIQDEIQNCIVTSNLTKIKEDILTNSTEASERKSALNTRYSNEQKNKCILNQSIKAPVNTDLFGSANLGSKTSVSNPNQTSASKVGSFFDDWNDPSFANEIIKACHQLDNTWEADDVDDDLLYQACDDIERLTQQQDIRKDSKTSESICEINNNSEHGAKLTQQQDIRKDSKTSESICEINNNSEHGAKNMFAISKQGSNLVQSKHLNPGSISVQTSLTNSSQIDKPMKMEKGEMYGNSPRFLGATNLTMYSKISNCQINNLHVSYTNTDVPIQVNSSKLVLPGSSSLNVTSDHMNTEITTYKKKLSTNQPCHKTVTDEAQSNLNTTVGFSKFTFTRMKNSQILSQFNQNCITGSMSDTKITQGVEKKKGVNPLLEEAVGQQSLVKLSESLKQSSKEEEEKNRKCSPEEIQRKRQEALVRRMAKARASSVNAAPTSFL
+>DECOY_sp|Q9NY74|ETAA1_HUMAN Ewing's tumor-associated antigen 1 OS=Homo sapiens OX=9606 GN=ETAA1 PE=1 SV=2
+LFSTPAANVSSARAKAMRRVLAEQRKRQIEEPSCKRNKEEEEKSSQKLSESLKVLSQQGVAEELLPNVGKKKEVGQTIKTDSMSGTICNQNFQSLIQSNKMRTFTFKSFGVTTNLNSQAEDTVTKHCPQNTSLKKKYTTIETNMHDSTVNLSSSGPLVLKSSNVQIPVDTNTYSVHLNNIQCNSIKSYMTLNTAGLFRPSNGYMEGKEMKMPKDIQSSNTLSTQVSISGPNLHKSQVLNSGQKSIAFMNKAGHESNNNIECISESTKSDKRIDQQQTLKAGHESNNNIECISESTKSDKRIDQQQTLREIDDCAQYLLDDDVDDAEWTNDLQHCAKIIENAFSPDNWDDFFSGVKSASTQNPNSVSTKSGLNASGFLDTNVPAKISQNLICKNKQENSYRTNLASKRESAETSNTLIDEKIKTLNSTVICNQIEDQIVIKNSSNEFKMKNGTSLKNSKNSNPSFRYEADILERDYTKSSLDQLVKSDGLRADPSTNARSTNKVTKSNFTCIEKQDTVHATKSPFLEPMDINQMAFPESGLLNEWDDEFDSTTFADIYKNSTEPEKTCSTVCSKTMIPTDVQSSLSRPTKNSLKEIVLTENTIIKEEKLANTKVFTTNSTSWFAEPLEQSLQGSCKQTSGDFIANFAAEAIQDFPKQSSNQNNAVSIKTNGKIPKKTANDIEPVINHLSWMQINDKYNSLIETESIMQTFDYNRKNQEQIVDLEEMNKDFQKALKMLEEEQSQTKLKTCSIKARSKGKAVSPTCPIATEGIWMDLMSNTTPKEDQPAIRNVIHSIEDSDTTYIQKKRGKGLQKTLPSNQDWFIDNQGDPDNPSSFSSSLSDMKLARKPTEYREEPNSKSCLAATPPQERQRVPGPPGERAGCPWSGRASRLRRRGPEVVSGCEEAAVTKHPTKKPSPSDDHKRRRSM
+>sp|O00321|ETV2_HUMAN ETS translocation variant 2 OS=Homo sapiens OX=9606 GN=ETV2 PE=2 SV=2
+MDLWNWDEASPQEVPPGNKLAGLEGAKLGFCFPDLALQGDTPTATAETCWKGTSSSLASFPQLDWGSALLHPEVPWGAEPDSQALPWSGDWTDMACTAWDSWSGASQTLGPAPLGPGPIPAAGSEGAAGQNCVPVAGEATSWSRAQAAGSNTSWDCSVGPDGDTYWGSGLGGEPRTDCTISWGGPAGPDCTTSWNPGLHAGGTTSLKRYQSSALTVCSEPSPQSDRASLARCPKTNHRGPIQLWQFLLELLHDGARSSCIRWTGNSREFQLCDPKEVARLWGERKRKPGMNYEKLSRGLRYYYRRDIVRKSGGRKYTYRFGGRVPSLAYPDCAGGGRGAETQ
+>DECOY_sp|O00321|ETV2_HUMAN ETS translocation variant 2 OS=Homo sapiens OX=9606 GN=ETV2 PE=2 SV=2
+QTEAGRGGGACDPYALSPVRGGFRYTYKRGGSKRVIDRRYYYRLGRSLKEYNMGPKRKREGWLRAVEKPDCLQFERSNGTWRICSSRAGDHLLELLFQWLQIPGRHNTKPCRALSARDSQPSPESCVTLASSQYRKLSTTGGAHLGPNWSTTCDPGAPGGWSITCDTRPEGGLGSGWYTDGDPGVSCDWSTNSGAAQARSWSTAEGAVPVCNQGAAGESGAAPIPGPGLPAPGLTQSAGSWSDWATCAMDTWDGSWPLAQSDPEAGWPVEPHLLASGWDLQPFSALSSSTGKWCTEATATPTDGQLALDPFCFGLKAGELGALKNGPPVEQPSAEDWNWLDM
+>sp|Q13868|EXOS2_HUMAN Exosome complex component RRP4 OS=Homo sapiens OX=9606 GN=EXOSC2 PE=1 SV=2
+MAMEMRLPVARKPLSERLGRDTKKHLVVPGDTITTDTGFMRGHGTYMGEEKLIASVAGSVERVNKLICVKALKTRYIGEVGDIVVGRITEVQQKRWKVETNSRLDSVLLLSSMNLPGGELRRRSAEDELAMRGFLQEGDLISAEVQAVFSDGAVSLHTRSLKYGKLGQGVLVQVSPSLVKRQKTHFHDLPCGASVILGNNGFIWIYPTPEHKEEEAGGFIANLEPVSLADREVISRLRNCIISLVTQRMMLYDTSILYCYEASLPHQIKDILKPEIMEEIVMETRQRLLEQEG
+>DECOY_sp|Q13868|EXOS2_HUMAN Exosome complex component RRP4 OS=Homo sapiens OX=9606 GN=EXOSC2 PE=1 SV=2
+GEQELLRQRTEMVIEEMIEPKLIDKIQHPLSAEYCYLISTDYLMMRQTVLSIICNRLRSIVERDALSVPELNAIFGGAEEEKHEPTPYIWIFGNNGLIVSAGCPLDHFHTKQRKVLSPSVQVLVGQGLKGYKLSRTHLSVAGDSFVAQVEASILDGEQLFGRMALEDEASRRRLEGGPLNMSSLLLVSDLRSNTEVKWRKQQVETIRGVVIDGVEGIYRTKLAKVCILKNVREVSGAVSAILKEEGMYTGHGRMFGTDTTITDGPVVLHKKTDRGLRESLPKRAVPLRMEMAM
+>sp|Q9NPD3|EXOS4_HUMAN Exosome complex component RRP41 OS=Homo sapiens OX=9606 GN=EXOSC4 PE=1 SV=3
+MAGLELLSDQGYRVDGRRAGELRKIQARMGVFAQADGSAYIEQGNTKALAVVYGPHEIRGSRARALPDRALVNCQYSSATFSTGERKRRPHGDRKSCEMGLQLRQTFEAAILTQLHPRSQIDIYVQVLQADGGTYAACVNAATLAVLDAGIPMRDFVCACSAGFVDGTALADLSHVEEAAGGPQLALALLPASGQIALLEMDARLHEDHLERVLEAAAQAARDVHTLLDRVVRQHVREASILLGD
+>DECOY_sp|Q9NPD3|EXOS4_HUMAN Exosome complex component RRP41 OS=Homo sapiens OX=9606 GN=EXOSC4 PE=1 SV=3
+DGLLISAERVHQRVVRDLLTHVDRAAQAAAELVRELHDEHLRADMELLAIQGSAPLLALALQPGGAAEEVHSLDALATGDVFGASCACVFDRMPIGADLVALTAANVCAAYTGGDAQLVQVYIDIQSRPHLQTLIAAEFTQRLQLGMECSKRDGHPRRKREGTSFTASSYQCNVLARDPLARARSGRIEHPGYVVALAKTNGQEIYASGDAQAFVGMRAQIKRLEGARRGDVRYGQDSLLELGAM
+>sp|Q5RKV6|EXOS6_HUMAN Exosome complex component MTR3 OS=Homo sapiens OX=9606 GN=EXOSC6 PE=1 SV=1
+MPGDHRRIRGPEESQPPQLYAADEEEAPGTRDPTRLRPVYARAGLLSQAKGSAYLEAGGTKVLCAVSGPRQAEGGERGGGPAGAGGEAPAALRGRLLCDFRRAPFAGRRRRAPPGGCEERELALALQEALEPAVRLGRYPRAQLEVSALLLEDGGSALAAALTAAALALADAGVEMYDLVVGCGLSLAPGPAPTWLLDPTRLEEERAAAGLTVALMPVLNQVAGLLGSGEGGLTESWAEAVRLGLEGCQRLYPVLQQSLVRAARRRGAAAQP
+>DECOY_sp|Q5RKV6|EXOS6_HUMAN Exosome complex component MTR3 OS=Homo sapiens OX=9606 GN=EXOSC6 PE=1 SV=1
+PQAAAGRRRAARVLSQQLVPYLRQCGELGLRVAEAWSETLGGEGSGLLGAVQNLVPMLAVTLGAAAREEELRTPDLLWTPAPGPALSLGCGVVLDYMEVGADALALAAATLAAALASGGDELLLASVELQARPYRGLRVAPELAEQLALALEREECGGPPARRRRGAFPARRFDCLLRGRLAAPAEGGAGAPGGGREGGEAQRPGSVACLVKTGGAELYASGKAQSLLGARAYVPRLRTPDRTGPAEEEDAAYLQPPQSEEPGRIRRHDGPM
+>sp|Q06265|EXOS9_HUMAN Exosome complex component RRP45 OS=Homo sapiens OX=9606 GN=EXOSC9 PE=1 SV=3
+MKETPLSNCERRFLLRAIEEKKRLDGRQTYDYRNIRISFGTDYGCCIVELGKTRVLGQVSCELVSPKLNRATEGILFFNLELSQMAAPAFEPGRQSDLLVKLNRLMERCLRNSKCIDTESLCVVAGEKVWQIRVDLHLLNHDGNIIDAASIAAIVALCHFRRPDVSVQGDEVTLYTPEERDPVPLSIHHMPICVSFAFFQQGTYLLVDPNEREERVMDGLLVIAMNKHREICTIQSSGGIMLLKDQVLRCSKIAGVKVAEITELILKALENDQKVRKEGGKFGFAESIANQRITAFKMEKAPIDTSDVEEKAEEIIAEAEPPSEVVSTPVLWTPGTAQIGEGVENSWGDLEDSEKEDDEGGGDQAIILDGIKMDTGVEVSDIGSQDAPIILSDSEEEEMIILEPDKNPKKIRTQTTSAKQEKAPSKKPVKRRKKKRAAN
+>DECOY_sp|Q06265|EXOS9_HUMAN Exosome complex component RRP45 OS=Homo sapiens OX=9606 GN=EXOSC9 PE=1 SV=3
+NAARKKKRRKVPKKSPAKEQKASTTQTRIKKPNKDPELIIMEEEESDSLIIPADQSGIDSVEVGTDMKIGDLIIAQDGGGEDDEKESDELDGWSNEVGEGIQATGPTWLVPTSVVESPPEAEAIIEEAKEEVDSTDIPAKEMKFATIRQNAISEAFGFKGGEKRVKQDNELAKLILETIEAVKVGAIKSCRLVQDKLLMIGGSSQITCIERHKNMAIVLLGDMVREERENPDVLLYTGQQFFAFSVCIPMHHISLPVPDREEPTYLTVEDGQVSVDPRRFHCLAVIAAISAADIINGDHNLLHLDVRIQWVKEGAVVCLSETDICKSNRLCREMLRNLKVLLDSQRGPEFAPAAMQSLELNFFLIGETARNLKPSVLECSVQGLVRTKGLEVICCGYDTGFSIRINRYDYTQRGDLRKKEEIARLLFRRECNSLPTEKM
+>sp|P0CG43|F157C_HUMAN Putative protein FAM157C OS=Homo sapiens OX=9606 GN=FAM157C PE=3 SV=1
+MGPLFTTIPGAHSGPMRPLPKKHVEPMAVRQLLLGNATMIRHTCPMSVPLSRQVKEVAAQKPSEDIYKNWRRQQQQQQQQQQQQQQQQLDLLFHQRIQISLWPRKQKRRKTEQHSHSFVKKAFRFSASPGCGRPSSNKMLRSMGGGQRPTGLGSEFFRLLHDLHLLAFPTKCIWIHRRGEATARPRAPEHPAPPATAVRGRDAASQNLKRRPGSGTDGLRLQGAEPSRLLRTYAGGAVIPTGTPERAQPPPPQDLLGRRRWLSRNTWGPWPGTTQPPSPQLLRNDWGSCGFMVPEAARGKVFQDSQEGAHIRRETVSKSVCAEPWRHQRARDPAPTNFPLKCQKQRGASTSSGQHGGRVNLVFFIDSPTVIAVPDLQCPTKYSGILY
+>DECOY_sp|P0CG43|F157C_HUMAN Putative protein FAM157C OS=Homo sapiens OX=9606 GN=FAM157C PE=3 SV=1
+YLIGSYKTPCQLDPVAIVTPSDIFFVLNVRGGHQGSSTSAGRQKQCKLPFNTPAPDRARQHRWPEACVSKSVTERRIHAGEQSDQFVKGRAAEPVMFGCSGWDNRLLQPSPPQTTGPWPGWTNRSLWRRRGLLDQPPPPQAREPTGTPIVAGGAYTRLLRSPEAGQLRLGDTGSGPRRKLNQSAADRGRVATAPPAPHEPARPRATAEGRRHIWICKTPFALLHLDHLLRFFESGLGTPRQGGGMSRLMKNSSPRGCGPSASFRFAKKVFSHSHQETKRRKQKRPWLSIQIRQHFLLDLQQQQQQQQQQQQQQQQRRWNKYIDESPKQAAVEKVQRSLPVSMPCTHRIMTANGLLLQRVAMPEVHKKPLPRMPGSHAGPITTFLPGM
+>sp|Q5T6X4|F162B_HUMAN Protein FAM162B OS=Homo sapiens OX=9606 GN=FAM162B PE=2 SV=1
+MLRAVGSLLRLGRGLTVRCGPGAPLEATRRPAPALPPRGLPCYSSGGAPSNSGPQGHGEIHRVPTQRRPSQFDKKILLWTGRFKSMEEIPPRIPPEMIDTARNKARVKACYIMIGLTIIACFAVIVSAKRAVERHESLTSWNLAKKAKWREEAALAAQAKAK
+>DECOY_sp|Q5T6X4|F162B_HUMAN Protein FAM162B OS=Homo sapiens OX=9606 GN=FAM162B PE=2 SV=1
+KAKAQAALAAEERWKAKKALNWSTLSEHREVARKASVIVAFCAIITLGIMIYCAKVRAKNRATDIMEPPIRPPIEEMSKFRGTWLLIKKDFQSPRRQTPVRHIEGHGQPGSNSPAGGSSYCPLGRPPLAPAPRRTAELPAGPGCRVTLGRGLRLLSGVARLM
+>sp|P0C2L3|F163B_HUMAN Protein FAM163B OS=Homo sapiens OX=9606 GN=FAM163B PE=2 SV=1
+MTAGTVVITGGILATVILLCIIAVLCYCRLQYYCCKKDESEEDEEEPDFAVHSHLPPLHSNRNLVLTNGPALYPTASTSFSQKSPQARALCRSCSHCEPPTFFLQEPPEEEEDVLNGGERVLYKSVSQEDVELPPGGFGGLQALNPNRLSAMREAFARSRSISTDV
+>DECOY_sp|P0C2L3|F163B_HUMAN Protein FAM163B OS=Homo sapiens OX=9606 GN=FAM163B PE=2 SV=1
+VDTSISRSRAFAERMASLRNPNLAQLGGFGGPPLEVDEQSVSKYLVREGGNLVDEEEEPPEQLFFTPPECHSCSRCLARAQPSKQSFSTSATPYLAPGNTLVLNRNSHLPPLHSHVAFDPEEEDEESEDKKCCYYQLRCYCLVAIICLLIVTALIGGTIVVTGATM
+>sp|A8MTA8|F166B_HUMAN Protein FAM166B OS=Homo sapiens OX=9606 GN=FAM166B PE=2 SV=1
+MAVASTFIPGLNPQNPHYIPGYTGHCPLLRFSVGQTYGQVTGQLLRGPPGLAWPPVHRTLLPPIRPPRSPEVPRESLPVRRGQERLSSSMIPGYTGFVPRAQFIFAKNCSQVWAEALSDFTHLHEKQGSEELPKEAKGRKDTEKDQVPEPEGQLEEPTLEVVEQASPYSMDDRDPRKFFMSGFTGYVPCARFLFGSSFPVLTNQALQEFGQKHSPGSAQDPKHLPPLPRTYPQNLGLLPNYGGYVPGYKFQFGHTFGHLTHDALGLSTFQKQLLA
+>DECOY_sp|A8MTA8|F166B_HUMAN Protein FAM166B OS=Homo sapiens OX=9606 GN=FAM166B PE=2 SV=1
+ALLQKQFTSLGLADHTLHGFTHGFQFKYGPVYGGYNPLLGLNQPYTRPLPPLHKPDQASGPSHKQGFEQLAQNTLVPFSSGFLFRACPVYGTFGSMFFKRPDRDDMSYPSAQEVVELTPEELQGEPEPVQDKETDKRGKAEKPLEESGQKEHLHTFDSLAEAWVQSCNKAFIFQARPVFGTYGPIMSSSLREQGRRVPLSERPVEPSRPPRIPPLLTRHVPPWALGPPGRLLQGTVQGYTQGVSFRLLPCHGTYGPIYHPNQPNLGPIFTSAVAM
+>sp|Q9BTA0|F167B_HUMAN Protein FAM167B OS=Homo sapiens OX=9606 GN=FAM167B PE=2 SV=2
+MSLGLLKFQAVGEEDEEDEEGESLDSVKALTAKLQLQTRRPSYLEWTAQVQSQAWRRAQAKPGPGGPGDICGFDSMDSALEWLRRELREMQAQDRQLAGQLLRLRAQLHRLKMDQACHLHQELLDEAELELELEPGAGLALAPLLRHLGLTRMNISARRFTLC
+>DECOY_sp|Q9BTA0|F167B_HUMAN Protein FAM167B OS=Homo sapiens OX=9606 GN=FAM167B PE=2 SV=2
+CLTFRRASINMRTLGLHRLLPALALGAGPELELELEAEDLLEQHLHCAQDMKLRHLQARLRLLQGALQRDQAQMERLERRLWELASDMSDFGCIDGPGGPGPKAQARRWAQSQVQATWELYSPRRTQLQLKATLAKVSDLSEGEEDEEDEEGVAQFKLLGLSM
+>sp|Q92567|F168A_HUMAN Protein FAM168A OS=Homo sapiens OX=9606 GN=FAM168A PE=1 SV=2
+MNPVYSPVQPGAPYGNPKNMAYTGYPTAYPAAAPAYNPSLYPTNSPSYAPEFQFLHSAYATLLMKQAWPQNSSSCGTEGTFHLPVDTGTENRTYQASSAAFRYTAGTPYKVPPTQSNTAPPPYSPSPNPYQTAMYPIRSAYPQQNLYAQGAYYTQPVYAAQPHVIHHTTVVQPNSIPSAIYPAPVAAPRTNGVAMGMVAGTTMAMSAGTLLTTPQHTAIGAHPVSMPTYRAQGTPAYSYVPPHW
+>DECOY_sp|Q92567|F168A_HUMAN Protein FAM168A OS=Homo sapiens OX=9606 GN=FAM168A PE=1 SV=2
+WHPPVYSYAPTGQARYTPMSVPHAGIATHQPTTLLTGASMAMTTGAVMGMAVGNTRPAAVPAPYIASPISNPQVVTTHHIVHPQAAYVPQTYYAGQAYLNQQPYASRIPYMATQYPNPSPSYPPPATNSQTPPVKYPTGATYRFAASSAQYTRNETGTDVPLHFTGETGCSSSNQPWAQKMLLTAYASHLFQFEPAYSPSNTPYLSPNYAPAAAPYATPYGTYAMNKPNGYPAGPQVPSYVPNM
+>sp|Q8TBP5|F174A_HUMAN Membrane protein FAM174A OS=Homo sapiens OX=9606 GN=FAM174A PE=2 SV=1
+MKASQCCCCLSHLLASVLLLLLLPELSGPLAVLLQAAEAAPGLGPPDPRPRTLPPLPPGPTPAQQPGRGLAEAAGPRGSEGGNGSNPVAGLETDDHGGKAGEGSVGGGLAVSPNPGDKPMTQRALTVLMVVSGAVLVYFVVRTVRMRRRNRKTRRYGVLDTNIENMELTPLEQDDEDDDNTLFDANHPRR
+>DECOY_sp|Q8TBP5|F174A_HUMAN Membrane protein FAM174A OS=Homo sapiens OX=9606 GN=FAM174A PE=2 SV=1
+RRPHNADFLTNDDDEDDQELPTLEMNEINTDLVGYRRTKRNRRRMRVTRVVFYVLVAGSVVMLVTLARQTMPKDGPNPSVALGGGVSGEGAKGGHDDTELGAVPNSGNGGESGRPGAAEALGRGPQQAPTPGPPLPPLTRPRPDPPGLGPAAEAAQLLVALPGSLEPLLLLLLVSALLHSLCCCCQSAKM
+>sp|Q8IXR5|F178B_HUMAN Protein FAM178B OS=Homo sapiens OX=9606 GN=FAM178B PE=2 SV=2
+MAHEREILKGMYEELKEKSHKPDVELIQHRAWRIDMVSTELESFRKASYIESGPEEFYQRFKKCFSATHIFISIKGKDSQNTAHGYLILNRTLHQQLKIKNPFLAPSSEAVRIPATLPHPGALPFPPYVAKASSDELLNLQKPLQSALGFVILRSSQNWNSKNRQRWSRTKAGQMSHGLQMAGPQETVLALPLREGVQAAATVPILLYNLEDGLSDHPLDQGPRCPARRPCSPASAPAPTSPKKPKIQAPGETFPTDWSPPPVEFLNPRVLQASREAPAQRWVGVVGPQGLRRLAGELPEELEQEHLDLDPKRGLALPEKLFWNTSGLSQQAAAPEFSWGGSGSYFNNLDYLLQEKREQALEQERERLLLQECLNLNSLDLDEEEVPLTPEHRMLVEKYSVSLQTIPPVHPGETVFLPRCHPLPCILDSSLLKPRSHLEGLFLSSPPAQQLSFLRSGLLNILYLHMPDCPVSLLQWLFQLLTWPPETSLGAFGLLWDLIVDGIFLQPDEDKHLWCPSLQEVREAFHSLGAHSPALYPLGPFWHGGRVLPGEAGLNENEEQDAPQEIALDISLGHIYKFLALCAQAQPGAYTDENLMGLIELLCRTSLDVGLRLLPKVDLQQLLLLLLENIREWPGKLQELCCTLSWVSDHHHNLLALVQFFPDMTSRSRRLRSQLSLVVIARMLGQQEMLPLWQEKTQLSSLSRLLGLMRPSSLRQYLDSVPLPPCQEQQPKASAELDHKACYLCHSLLMLAGVVVSCQDITPDQWGELQLLCMQLDRHISTQIRESPQAMHRTMLKDLATQTYIRWQELLTHCQPQAQYFSPWKDI
+>DECOY_sp|Q8IXR5|F178B_HUMAN Protein FAM178B OS=Homo sapiens OX=9606 GN=FAM178B PE=2 SV=2
+IDKWPSFYQAQPQCHTLLEQWRIYTQTALDKLMTRHMAQPSERIQTSIHRDLQMCLLQLEGWQDPTIDQCSVVVGALMLLSHCLYCAKHDLEASAKPQQEQCPPLPVSDLYQRLSSPRMLGLLRSLSSLQTKEQWLPLMEQQGLMRAIVVLSLQSRLRRSRSTMDPFFQVLALLNHHHDSVWSLTCCLEQLKGPWERINELLLLLLQQLDVKPLLRLGVDLSTRCLLEILGMLNEDTYAGPQAQACLALFKYIHGLSIDLAIEQPADQEENENLGAEGPLVRGGHWFPGLPYLAPSHAGLSHFAERVEQLSPCWLHKDEDPQLFIGDVILDWLLGFAGLSTEPPWTLLQFLWQLLSVPCDPMHLYLINLLGSRLFSLQQAPPSSLFLGELHSRPKLLSSDLICPLPHCRPLFVTEGPHVPPITQLSVSYKEVLMRHEPTLPVEEEDLDLSNLNLCEQLLLREREQELAQERKEQLLYDLNNFYSGSGGWSFEPAAAQQSLGSTNWFLKEPLALGRKPDLDLHEQELEEPLEGALRRLGQPGVVGVWRQAPAERSAQLVRPNLFEVPPPSWDTPFTEGPAQIKPKKPSTPAPASAPSCPRRAPCRPGQDLPHDSLGDELNYLLIPVTAAAQVGERLPLALVTEQPGAMQLGHSMQGAKTRSWRQRNKSNWNQSSRLIVFGLASQLPKQLNLLEDSSAKAVYPPFPLAGPHPLTAPIRVAESSPALFPNKIKLQQHLTRNLILYGHATNQSDKGKISIFIHTASFCKKFRQYFEEPGSEIYSAKRFSELETSVMDIRWARHQILEVDPKHSKEKLEEYMGKLIEREHAM
+>sp|Q6UWF9|F180A_HUMAN Protein FAM180A OS=Homo sapiens OX=9606 GN=FAM180A PE=2 SV=1
+MHWKMLLLLLLYYNAEASMCHRWSRAVLFPAAHRPKRSSSLPLNPVLQTSLEEVELLYEFLLAELEISPDLQISIKDEELASLRKASDFRTVCNNVIPKSIPDIRRLSASLSSHPGILKKEDFERTVLTLAYTAYRTALSHGHQKDIWAQSLVSLFQALRHDLMRSSQPGVPP
+>DECOY_sp|Q6UWF9|F180A_HUMAN Protein FAM180A OS=Homo sapiens OX=9606 GN=FAM180A PE=2 SV=1
+PPVGPQSSRMLDHRLAQFLSVLSQAWIDKQHGHSLATRYATYALTLVTREFDEKKLIGPHSSLSASLRRIDPISKPIVNNCVTRFDSAKRLSALEEDKISIQLDPSIELEALLFEYLLEVEELSTQLVPNLPLSSSRKPRHAAPFLVARSWRHCMSAEANYYLLLLLLMKWHM
+>sp|A6NMK8|F196B_HUMAN Protein FAM196B OS=Homo sapiens OX=9606 GN=FAM196B PE=2 SV=1
+MAQQNMKVRPVLLKRNSLESVEFVKQPHHRRSKSQQVRFKEDGTTKNPTGLAEVDVQTPEDPAVMGKTQATRHHLPPTYSLSFPRSQKAGGFRNIAIQTSPSLRKHFPVFKRKRLTASKSLVEMPTASQSAIQVNGNLSEQDIVSSDLAYLRLAQHLEDGPRRVKVSHAFLPRVPKVQSNGPVSICLEAGTWRSLEKATAAIQVPDDIYHSPSWEARESALSPDRSAEVSNSIHPLDDTRPGDGRRVTPLDSEKSTSCLNATSVASHTPGTEELKPELLLPKDNSDDKDLGSLSSQSKETCVPSSPRTHSSPSQGSHSQPAHPGRASDCPSSSNNHQNLVSLKTNSASKSAPGCQEQTANNPTESDTLEFPNCPGSNHLPSSLSRSETKLQSNREISDINQIHLARGELCDLQGRLQSVEESLHSNQEKIKVLLNVIQDLEKARALTEGRNFYRTGQDLNNCSTCQNTACIIYSVEYDFRQQEGRFHEVLQSLEEAEPVEEASPPPKSPAEPPAPEKQDLRRKTKKVKKKCFWWI
+>DECOY_sp|A6NMK8|F196B_HUMAN Protein FAM196B OS=Homo sapiens OX=9606 GN=FAM196B PE=2 SV=1
+IWWFCKKKVKKTKRRLDQKEPAPPEAPSKPPPSAEEVPEAEELSQLVEHFRGEQQRFDYEVSYIICATNQCTSCNNLDQGTRYFNRGETLARAKELDQIVNLLVKIKEQNSHLSEEVSQLRGQLDCLEGRALHIQNIDSIERNSQLKTESRSLSSPLHNSGPCNPFELTDSETPNNATQEQCGPASKSASNTKLSVLNQHNNSSSPCDSARGPHAPQSHSGQSPSSHTRPSSPVCTEKSQSSLSGLDKDDSNDKPLLLEPKLEETGPTHSAVSTANLCSTSKESDLPTVRRGDGPRTDDLPHISNSVEASRDPSLASERAEWSPSHYIDDPVQIAATAKELSRWTGAELCISVPGNSQVKPVRPLFAHSVKVRRPGDELHQALRLYALDSSVIDQESLNGNVQIASQSATPMEVLSKSATLRKRKFVPFHKRLSPSTQIAINRFGGAKQSRPFSLSYTPPLHHRTAQTKGMVAPDEPTQVDVEALGTPNKTTGDEKFRVQQSKSRRHHPQKVFEVSELSNRKLLVPRVKMNQQAM
+>sp|Q6UWH4|F198B_HUMAN Protein FAM198B OS=Homo sapiens OX=9606 GN=FAM198B PE=2 SV=1
+MTCPDKPGQLINWFICSLCVPRVRKLWSSRRPRTRRNLLLGTACAIYLGFLVSQVGRASLQHGQAAEKGPHRSRDTAEPSFPEIPLDGTLAPPESQGNGSTLQPNVVYITLRSKRSKPANIRGTVKPKRRKKHAVASAAPGQEALVGPSLQPQEAAREADAVAPGYAQGANLVKIGERPWRLVRGPGVRAGGPDFLQPSSRESNIRIYSESAPSWLSKDDIRRMRLLADSAVAGLRPVSSRSGARLLVLEGGAPGAVLRCGPSPCGLLKQPLDMSEVFAFHLDRILGLNRTLPSVSRKAEFIQDGRPCPIILWDASLSSASNDTHSSVKLTWGTYQQLLKQKCWQNGRVPKPESGCTEIHHHEWSKMALFDFLLQIYNRLDTNCCGFRPRKEDACVQNGLRPKCDDQGSAALAHIIQRKHDPRHLVFIDNKGFFDRSEDNLNFKLLEGIKEFPASAVSVLKSQHLRQKLLQSLFLDKVYWESQGGRQGIEKLIDVIEHRAKILITYINAHGVKVLPMNE
+>DECOY_sp|Q6UWH4|F198B_HUMAN Protein FAM198B OS=Homo sapiens OX=9606 GN=FAM198B PE=2 SV=1
+ENMPLVKVGHANIYTILIKARHEIVDILKEIGQRGGQSEWYVKDLFLSQLLKQRLHQSKLVSVASAPFEKIGELLKFNLNDESRDFFGKNDIFVLHRPDHKRQIIHALAASGQDDCKPRLGNQVCADEKRPRFGCCNTDLRNYIQLLFDFLAMKSWEHHHIETCGSEPKPVRGNQWCKQKLLQQYTGWTLKVSSHTDNSASSLSADWLIIPCPRGDQIFEAKRSVSPLTRNLGLIRDLHFAFVESMDLPQKLLGCPSPGCRLVAGPAGGELVLLRAGSRSSVPRLGAVASDALLRMRRIDDKSLWSPASESYIRINSERSSPQLFDPGGARVGPGRVLRWPREGIKVLNAGQAYGPAVADAERAAEQPQLSPGVLAEQGPAASAVAHKKRRKPKVTGRINAPKSRKSRLTIYVVNPQLTSGNGQSEPPALTGDLPIEPFSPEATDRSRHPGKEAAQGHQLSARGVQSVLFGLYIACATGLLLNRRTRPRRSSWLKRVRPVCLSCIFWNILQGPKDPCTM
+>sp|Q7Z5A8|F19A3_HUMAN Protein FAM19A3 OS=Homo sapiens OX=9606 GN=FAM19A3 PE=2 SV=1
+MSERVERNWSTGGWLLALCLAWLWTHLTLAALQPPTATVLVQQGTCEVIAAHRCCNRNRIEERSQTVKCSCFSGQVAGTTRAKPSCVDASIVLQRWWCQMEPCLPGEECKVLPDLSGWSCSSGHKVKTTKVTR
+>DECOY_sp|Q7Z5A8|F19A3_HUMAN Protein FAM19A3 OS=Homo sapiens OX=9606 GN=FAM19A3 PE=2 SV=1
+RTVKTTKVKHGSSCSWGSLDPLVKCEEGPLCPEMQCWWRQLVISADVCSPKARTTGAVQGSFCSCKVTQSREEIRNRNCCRHAAIVECTGQQVLVTATPPQLAALTLHTWLWALCLALLWGGTSWNREVRESM
+>sp|Q5SY85|F201A_HUMAN Protein FAM201A OS=Homo sapiens OX=9606 GN=FAM201A PE=4 SV=1
+MGLRAGSRCRADHLAQPQPQGHLAPVLRCGECWRARGLPGGCCLHTEGGCSLGAQAGWRTAGWEARGRRDLGLETTSAHSRSLLHLSSWRRPDVGEAAGAELLQRAPLQQPDPAQAAVEGGLLARLPRPQDQGCGQHRPHSPRLVDIALPGGGWT
+>DECOY_sp|Q5SY85|F201A_HUMAN Protein FAM201A OS=Homo sapiens OX=9606 GN=FAM201A PE=4 SV=1
+TWGGGPLAIDVLRPSHPRHQGCGQDQPRPLRALLGGEVAAQAPDPQQLPARQLLEAGAAEGVDPRRWSSLHLLSRSHASTTELGLDRRGRAEWGATRWGAQAGLSCGGETHLCCGGPLGRARWCEGCRLVPALHGQPQPQALHDARCRSGARLGM
+>sp|Q9H8W3|F204A_HUMAN Protein FAM204A OS=Homo sapiens OX=9606 GN=FAM204A PE=2 SV=1
+MWSGLLPPGLNESDAESNSEDEATLENSGLNLQEDKEDESIRKTEIIDFSTDEPKTETESNVNAYEECPSGIPIDMWNKFQELHKKHSEQKSTTSRFRGKRRKRSRKDKLKNEKELHSEPSSNETQWKELTQYFGVNDRFDPPVKRKKVEKSGLEKRIDQAVEEWNIEKAEELSNQLATRELGVKIAKAVACHNFVKAKKEVENSQAARKKKKLAWGFEAKKRWETKSNMGYM
+>DECOY_sp|Q9H8W3|F204A_HUMAN Protein FAM204A OS=Homo sapiens OX=9606 GN=FAM204A PE=2 SV=1
+MYGMNSKTEWRKKAEFGWALKKKKRAAQSNEVEKKAKVFNHCAVAKAIKVGLERTALQNSLEEAKEINWEEVAQDIRKELGSKEVKKRKVPPDFRDNVGFYQTLEKWQTENSSPESHLEKENKLKDKRSRKRRKGRFRSTTSKQESHKKHLEQFKNWMDIPIGSPCEEYANVNSETETKPEDTSFDIIETKRISEDEKDEQLNLGSNELTAEDESNSEADSENLGPPLLGSWM
+>sp|Q9NTX9|F217B_HUMAN Protein FAM217B OS=Homo sapiens OX=9606 GN=FAM217B PE=2 SV=1
+MNAGPSWNKVQHSKNSSGKRQSKSQVPHASSQPRSSLTAVTQPTEEKLKESISPEARRKRNPLGSRCQGASGNKLFLDFQSMKIIKENADEDSASDLSDSERIPIPPSPLTPPDLNLRAEEIDPVYFDLHPGQGHTKPEYYYPNFLPSPFSSWDLRDMALLLNAENKTEAVPRVGGLLGKYIDRLIQLEWLQVQTVQCEKAKGGKARPPTAPGTSGALKSPGRSKLIASALSKPLPHQEGASKSGPSRKKAFHHEEIHPSHYAFETSPRPIDVLGGTRFCSQRQTLEMRTEEKKKKSSKSTKLQRWDLSGSGSSSKVETSGHIRVPKQAAVILDSADSCKASKTQAHAHPRKKGKAESCGHATVSSEKKLKTNGVKQNTYKLK
+>DECOY_sp|Q9NTX9|F217B_HUMAN Protein FAM217B OS=Homo sapiens OX=9606 GN=FAM217B PE=2 SV=1
+KLKYTNQKVGNTKLKKESSVTAHGCSEAKGKKRPHAHAQTKSAKCSDASDLIVAAQKPVRIHGSTEVKSSSGSGSLDWRQLKTSKSSKKKKEETRMELTQRQSCFRTGGLVDIPRPSTEFAYHSPHIEEHHFAKKRSPGSKSAGEQHPLPKSLASAILKSRGPSKLAGSTGPATPPRAKGGKAKECQVTQVQLWELQILRDIYKGLLGGVRPVAETKNEANLLLAMDRLDWSSFPSPLFNPYYYEPKTHGQGPHLDFYVPDIEEARLNLDPPTLPSPPIPIRESDSLDSASDEDANEKIIKMSQFDLFLKNGSAGQCRSGLPNRKRRAEPSISEKLKEETPQTVATLSSRPQSSAHPVQSKSQRKGSSNKSHQVKNWSPGANM
+>sp|Q5XKK7|F219B_HUMAN Protein FAM219B OS=Homo sapiens OX=9606 GN=FAM219B PE=1 SV=1
+MATAEPSGRALRLSTPGPRPSGARDRAPGAAGPPSGQIGNRALRLGERTPAAVEKRGPYMVTRAPSIQAKLQKHRDLAKAVLRRKGMLGASPNRPDSSGKRSVKFNKGYTALSQSPDENLVSLDSDSDGELGSRYSSGYSSAEQVNQDVSRQLLQDGYHLDEIPDDEDLDLIPPKPMASSTCSCCWCCLGDSSSCTLQ
+>DECOY_sp|Q5XKK7|F219B_HUMAN Protein FAM219B OS=Homo sapiens OX=9606 GN=FAM219B PE=1 SV=1
+QLTCSSSDGLCCWCCSCTSSAMPKPPILDLDEDDPIEDLHYGDQLLQRSVDQNVQEASSYGSSYRSGLEGDSDSDLSVLNEDPSQSLATYGKNFKVSRKGSSDPRNPSAGLMGKRRLVAKALDRHKQLKAQISPARTVMYPGRKEVAAPTREGLRLARNGIQGSPPGAAGPARDRAGSPRPGPTSLRLARGSPEATAM
+>sp|A6H8Z2|F221B_HUMAN Protein FAM221B OS=Homo sapiens OX=9606 GN=FAM221B PE=1 SV=2
+MEAHEIIEEPHITMDAEKHPPSKDPSAEDLQENHISESFLKPSTSETPLEPHTSESPLVPSPSQIPLEAHSPETHQEPSISETPSETPTYEASLDSPISVVPEKHLTLPPQSRDYVCLSSSDTLKEDLSSESSSNEVPWTRRSTHLSESESLPEHCLSGPSSQVQVDTTEKQEEEAGEVEKGVDASDSTAHTAQPGHQLGNTARPVFPARQTELVEVAKAMHREEFGAQVNNLFQWEKDAALNAIQTGLYIGWRCPHYLWDCFRIGDESRCFCGHLLREHRIISDISVPCKVSQCRCFMFCFIPSRPEEVGEFWLKRRATFDPKAWRAQCRCKHSHEEHAATGPHPCRHHGCCCGCFESNFLCAACDRRWEEHETFFDTQKTRQRGGRPRGTDTVSNWHRPL
+>DECOY_sp|A6H8Z2|F221B_HUMAN Protein FAM221B OS=Homo sapiens OX=9606 GN=FAM221B PE=1 SV=2
+LPRHWNSVTDTGRPRGGRQRTKQTDFFTEHEEWRRDCAACLFNSEFCGCCCGHHRCPHPGTAAHEEHSHKCRCQARWAKPDFTARRKLWFEGVEEPRSPIFCFMFCRCQSVKCPVSIDSIIRHERLLHGCFCRSEDGIRFCDWLYHPCRWGIYLGTQIANLAADKEWQFLNNVQAGFEERHMAKAVEVLETQRAPFVPRATNGLQHGPQATHATSDSADVGKEVEGAEEEQKETTDVQVQSSPGSLCHEPLSESESLHTSRRTWPVENSSSESSLDEKLTDSSSLCVYDRSQPPLTLHKEPVVSIPSDLSAEYTPTESPTESISPEQHTEPSHAELPIQSPSPVLPSESTHPELPTESTSPKLFSESIHNEQLDEASPDKSPPHKEADMTIHPEEIIEHAEM
+>sp|Q8IWN6|F223A_HUMAN Protein FAM223A OS=Homo sapiens OX=9606 GN=FAM223A PE=2 SV=1
+MYVQEHRLWGLMDKPHSAPCLMSLSLSFLICNKGRNAIRVQQSTDERMDAMLLWQCPTQGTRKNHESNSSLHHVPNWIFHSTIIPPNKGSKRCLRKVDWLLPRAGGVGGKRGVTADGDRVSF
+>DECOY_sp|Q8IWN6|F223A_HUMAN Protein FAM223A OS=Homo sapiens OX=9606 GN=FAM223A PE=2 SV=1
+FSVRDGDATVGRKGGVGGARPLLWDVKRLCRKSGKNPPIITSHFIWNPVHHLSSNSEHNKRTGQTPCQWLLMADMREDTSQQVRIANRGKNCILFSLSLSMLCPASHPKDMLGWLRHEQVYM
+>sp|P0DMU3|F231L_HUMAN FAM231A/C-like protein LOC102723383 OS=Homo sapiens OX=9606 PE=3 SV=1
+MVSSKGLWKERPSAHTSECFSTTACPVAFILLVWNSQTPAGLQSLCTGRHPSLSARAQRAGPRASREEGTFWTERVGQERWLIRSGSSQNESQEDQGAGLISQAGLKADNRRESSTWANEVEDRRPQCTPALNLTPSHPHPPHSLTTFLRSVIGIQIPPGLVAAGGTVA
+>DECOY_sp|P0DMU3|F231L_HUMAN FAM231A/C-like protein LOC102723383 OS=Homo sapiens OX=9606 PE=3 SV=1
+AVTGGAAVLGPPIQIGIVSRLFTTLSHPPHPHSPTLNLAPTCQPRRDEVENAWTSSERRNDAKLGAQSILGAGQDEQSENQSSGSRILWREQGVRETWFTGEERSARPGARQARASLSPHRGTCLSQLGAPTQSNWVLLIFAVPCATTSFCESTHASPREKWLGKSSVM
+>sp|A2RU67|F234B_HUMAN Protein FAM234B OS=Homo sapiens OX=9606 GN=FAM234B PE=1 SV=1
+MATVLSRALKLPGKKSPDLGEYDPLTQADSDESEDDLVLNLQKNGGVKNGKSPLGEAPEPDSDAEVAEAAKPHLSEVTTEGYPSEPLGGLEQKAASSLVSYVRTSVFLLTLGISMILVLLCAFLIPCPPRDLHSTWSRHLGSQGGGDLSPLELADVNGDGLRDVLLSFVMSRNGSAVGVSRPAANLVCLSGMNGSTLWSSLLPEEARDITCLELMPGSLAETICLVTGTHKMLSAFNATSGKAIWTLNPNYLSNGTLAAPVVVLPDLDEDGVRDLVVLAIGELQPDLCFLLVSGRTGNPVGRPVKYNIVGVGNLIGPQVYITTNGAVYILFGFGNIQAVALRDIFVQAQNRDSSPPSLQIEEPEWEKRRSINLSELIDVYSDGVELLQMVKAPDSNCSNLLITTRQSLVLLRGQNLTPYWALRLQGLRSQPTPGYFTDDQTLDFLLQIQDGVGMKKMMVVDGDSGSIVWSYRAPCHMKETPATSAVTSDQKSVFLFWAEGLSAASPNSDIILGTEPPSLHHLYLLHPAFPSILLDLANTTGTVTASEVGINDLWKDAFYVTRTTGPSSEGHPAALVVSKLSLRWALMEGQMAQLQESTPKIGRGELRRFLSRIKFVEAPYEI
+>DECOY_sp|A2RU67|F234B_HUMAN Protein FAM234B OS=Homo sapiens OX=9606 GN=FAM234B PE=1 SV=1
+IEYPAEVFKIRSLFRRLEGRGIKPTSEQLQAMQGEMLAWRLSLKSVVLAAPHGESSPGTTRTVYFADKWLDNIGVESATVTGTTNALDLLISPFAPHLLYLHHLSPPETGLIIDSNPSAASLGEAWFLFVSKQDSTVASTAPTEKMHCPARYSWVISGSDGDVVMMKKMGVGDQIQLLFDLTQDDTFYGPTPQSRLGQLRLAWYPTLNQGRLLVLSQRTTILLNSCNSDPAKVMQLLEVGDSYVDILESLNISRRKEWEPEEIQLSPPSSDRNQAQVFIDRLAVAQINGFGFLIYVAGNTTIYVQPGILNGVGVINYKVPRGVPNGTRGSVLLFCLDPQLEGIALVVLDRVGDEDLDPLVVVPAALTGNSLYNPNLTWIAKGSTANFASLMKHTGTVLCITEALSGPMLELCTIDRAEEPLLSSWLTSGNMGSLCVLNAAPRSVGVASGNRSMVFSLLVDRLGDGNVDALELPSLDGGGQSGLHRSWTSHLDRPPCPILFACLLVLIMSIGLTLLFVSTRVYSVLSSAAKQELGGLPESPYGETTVESLHPKAAEAVEADSDPEPAEGLPSKGNKVGGNKQLNLVLDDESEDSDAQTLPDYEGLDPSKKGPLKLARSLVTAM
+>sp|A0A1B0GVZ2|F240B_HUMAN Protein FAM240B OS=Homo sapiens OX=9606 GN=FAM240B PE=4 SV=1
+MNNQYIRREVFCCGTCHELKSFWEKEISKQTFYRELEEDRQERSALKKLREEWRQRLERRLRMLDNPVEKEKPAHTAD
+>DECOY_sp|A0A1B0GVZ2|F240B_HUMAN Protein FAM240B OS=Homo sapiens OX=9606 GN=FAM240B PE=4 SV=1
+DATHAPKEKEVPNDLMRLRRELRQRWEERLKKLASREQRDEELERYFTQKSIEKEWFSKLEHCTGCCFVERRIYQNNM
+>sp|Q96D05|F241B_HUMAN Uncharacterized protein FAM241B OS=Homo sapiens OX=9606 GN=FAM241B PE=1 SV=1
+MVRILANGEIVQDDDPRVRTTTQPPRGSIPRQSFFNRGHGAPPGGPGPRQQQAGARLGAAQSPFNDLNRQLVNMGFPQWHLGNHAVEPVTSILLLFLLMMLGVRGLLLVGLVYLVSHLSQR
+>DECOY_sp|Q96D05|F241B_HUMAN Uncharacterized protein FAM241B OS=Homo sapiens OX=9606 GN=FAM241B PE=1 SV=1
+RQSLHSVLYVLGVLLLGRVGLMMLLFLLLISTVPEVAHNGLHWQPFGMNVLQRNLDNFPSQAAGLRAGAQQQRPGPGGPPAGHGRNFFSQRPISGRPPQTTTRVRPDDDQVIEGNALIRVM
+>sp|P16118|F261_HUMAN 6-phosphofructo-2-kinase/fructose-2,6-bisphosphatase 1 OS=Homo sapiens OX=9606 GN=PFKFB1 PE=1 SV=3
+MSPEMGELTQTRLQKIWIPHSSGSSRLQRRRGSSIPQFTNSPTMVIMVGLPARGKTYISTKLTRYLNWIGTPTKVFNLGQYRREAVSYKNYEFFLPDNMEALQIRKQCALAALKDVHNYLSHEEGHVAVFDATNTTRERRSLILQFAKEHGYKVFFIESICNDPGIIAENIRQVKLGSPDYIDCDREKVLEDFLKRIECYEVNYQPLDEELDSHLSYIKIFDVGTRYMVNRVQDHIQSRTVYYLMNIHVTPRSIYLCRHGESELNIRGRIGGDSGLSVRGKQYAYALANFIQSQGISSLKVWTSHMKRTIQTAEALGVPYEQWKALNEIDAGVCEEMTYEEIQEHYPEEFALRDQDKYRYRYPKGESYEDLVQRLEPVIMELERQENVLVICHQAVMRCLLAYFLDKSSDELPYLKCPLHTVLKLTPVAYGCKVESIYLNVEAVNTHREKPENVDITREPEEALDTVPAHY
+>DECOY_sp|P16118|F261_HUMAN 6-phosphofructo-2-kinase/fructose-2,6-bisphosphatase 1 OS=Homo sapiens OX=9606 GN=PFKFB1 PE=1 SV=3
+YHAPVTDLAEEPERTIDVNEPKERHTNVAEVNLYISEVKCGYAVPTLKLVTHLPCKLYPLEDSSKDLFYALLCRMVAQHCIVLVNEQRELEMIVPELRQVLDEYSEGKPYRYRYKDQDRLAFEEPYHEQIEEYTMEECVGADIENLAKWQEYPVGLAEATQITRKMHSTWVKLSSIGQSQIFNALAYAYQKGRVSLGSDGGIRGRINLESEGHRCLYISRPTVHINMLYYVTRSQIHDQVRNVMYRTGVDFIKIYSLHSDLEEDLPQYNVEYCEIRKLFDELVKERDCDIYDPSGLKVQRINEAIIGPDNCISEIFFVKYGHEKAFQLILSRRERTTNTADFVAVHGEEHSLYNHVDKLAALACQKRIQLAEMNDPLFFEYNKYSVAERRYQGLNFVKTPTGIWNLYRTLKTSIYTKGRAPLGVMIVMTPSNTFQPISSGRRRQLRSSGSSHPIWIKQLRTQTLEGMEPSM
+>sp|O60825|F262_HUMAN 6-phosphofructo-2-kinase/fructose-2,6-bisphosphatase 2 OS=Homo sapiens OX=9606 GN=PFKFB2 PE=1 SV=2
+MSGASSSEQNNNSYETKTPNLRMSEKKCSWASYMTNSPTLIVMIGLPARGKTYVSKKLTRYLNWIGVPTKVFNLGVYRREAVKSYKSYDFFRHDNEEAMKIRKQCALVALEDVKAYLTEENGQIAVFDATNTTRERRDMILNFAEQNSFKVFFVESVCDDPDVIAANILEVKVSSPDYPERNRENVMEDFLKRIECYKVTYRPLDPDNYDKDLSFIKVINVGQRFLVNRVQDYIQSKIVYYLMNIHVQPRTIYLCRHGESEFNLLGKIGGDSGLSVRGKQFAQALRKFLEEQEITDLKVWTSQLKRTIQTAESLGVPYEQWKILNEIDAGVCEEMTYAEIEKRYPEEFALRDQEKYLYRYPGGESYQDLVQRLEPVIMELERQGNVLVISHQAVMRCLLAYFLDKGADELPYLRCPLHTIFKLTPVAYGCKVETIKLNVEAVNTHRDKPTNNFPKNQTPVRMRRNSFTPLSSSNTIRRPRNYSVGSRPLKPLSPLRAQDMQEGAD
+>DECOY_sp|O60825|F262_HUMAN 6-phosphofructo-2-kinase/fructose-2,6-bisphosphatase 2 OS=Homo sapiens OX=9606 GN=PFKFB2 PE=1 SV=2
+DAGEQMDQARLPSLPKLPRSGVSYNRPRRITNSSSLPTFSNRRMRVPTQNKPFNNTPKDRHTNVAEVNLKITEVKCGYAVPTLKFITHLPCRLYPLEDAGKDLFYALLCRMVAQHSIVLVNGQRELEMIVPELRQVLDQYSEGGPYRYLYKEQDRLAFEEPYRKEIEAYTMEECVGADIENLIKWQEYPVGLSEATQITRKLQSTWVKLDTIEQEELFKRLAQAFQKGRVSLGSDGGIKGLLNFESEGHRCLYITRPQVHINMLYYVIKSQIYDQVRNVLFRQGVNIVKIFSLDKDYNDPDLPRYTVKYCEIRKLFDEMVNERNREPYDPSSVKVELINAAIVDPDDCVSEVFFVKFSNQEAFNLIMDRRERTTNTADFVAIQGNEETLYAKVDELAVLACQKRIKMAEENDHRFFDYSKYSKVAERRYVGLNFVKTPVGIWNLYRTLKKSVYTKGRAPLGIMVILTPSNTMYSAWSCKKESMRLNPTKTEYSNNNQESSSAGSM
+>sp|Q05BU3|F86JP_HUMAN Putative protein FAM86JP OS=Homo sapiens OX=9606 GN=FAM86JP PE=5 SV=1
+MPGAFSQNSSKRRAVLPRSHRVAGRGPAEAGCLPGAPAGS
+>DECOY_sp|Q05BU3|F86JP_HUMAN Putative protein FAM86JP OS=Homo sapiens OX=9606 GN=FAM86JP PE=5 SV=1
+SGAPAGPLCGAEAPGRGAVRHSRPLVARRKSSNQSFAGPM
+>sp|A8MXJ8|F90A5_HUMAN Putative protein FAM90A5P OS=Homo sapiens OX=9606 GN=FAM90A5P PE=5 SV=1
+MMARRDPTSWAKRLVRAQTLQKQRRAPVGPRAPPPDEEDPRLKCKNCGAFGHTARSTRCPMKCWKAALVPATLGKKEGKENLKPWKPRVEANPGPLNKDKGEKEERPRQQDPQRKALLHMFSGKPPEKPLPNGKGSTEPSDYLRVASGPMPVHTTSKRPRVDPVLADGSATEMSDRGSVLASLSPLRKASLSSSSSLGPKERQTGAAADMPQPAVRHQGREPLLVVKPTHSRPEGGCREVPQAASKTHGLLQAARPQAQDKRPAVTSQPCPPAATHSLGLGSNLSFGPGAKRPAQAPIQACLNFPKKPRLGPFQIPESAIQGGELGAPENLQPPPAATELGPSTSPQMGRRTPAQVPSVDRQPPHSRPCLPTAQACTMSHHPAASHDGAQPLRVLFRRLENGRWSSSLLAAPSFHSPEKPGAFLAQSPHVSEKSEAPCVRVPPSVLYEDLQVSSSSEDSDSDLE
+>DECOY_sp|A8MXJ8|F90A5_HUMAN Putative protein FAM90A5P OS=Homo sapiens OX=9606 GN=FAM90A5P PE=5 SV=1
+ELDSDSDESSSSVQLDEYLVSPPVRVCPAESKESVHPSQALFAGPKEPSHFSPAALLSSSWRGNELRRFLVRLPQAGDHSAAPHHSMTCAQATPLCPRSHPPQRDVSPVQAPTRRGMQPSTSPGLETAAPPPQLNEPAGLEGGQIASEPIQFPGLRPKKPFNLCAQIPAQAPRKAGPGFSLNSGLGLSHTAAPPCPQSTVAPRKDQAQPRAAQLLGHTKSAAQPVERCGGEPRSHTPKVVLLPERGQHRVAPQPMDAAAGTQREKPGLSSSSSLSAKRLPSLSALVSGRDSMETASGDALVPDVRPRKSTTHVPMPGSAVRLYDSPETSGKGNPLPKEPPKGSFMHLLAKRQPDQQRPREEKEGKDKNLPGPNAEVRPKWPKLNEKGEKKGLTAPVLAAKWCKMPCRTSRATHGFAGCNKCKLRPDEEDPPPARPGVPARRQKQLTQARVLRKAWSTPDRRAMM
+>sp|A8MX19|F90AC_HUMAN Putative protein FAM90A12P OS=Homo sapiens OX=9606 GN=FAM90A12P PE=5 SV=1
+MMARRDPKSWAKRLVRAQTLQKQRRAPVGPRAPPPDEEDPRLKCKNCGAFGHTARSTRCPMKCWKAALVPATLGKKEGKENLKPWKPRAEANPGPLNKDKGEKEERPRQQDPQRKALLHMFSGKPPEKPLPNGKGSTESSDYLRVASGPMPVHTSSKRPRLDPILADRSATAMSGRGSVLASLSPLRKASLSSSSSLGPKERQTGAAADMPQPAVRHEGREPLLVVKPTHSRPEGGCREVPQAASKTHGLPQAARPQAQDKRPAVTSLPCPPAATHSLGLGSNLSFGPGAKRPAQAPIQACLNFPKKPRLGPFQIPESAIQGGELGAPENLQPPPAATELGPSTSPQMGRRTPAQVPSVDRQPPHSRPCLPTAQACTMSHHSAASHDGAQPLRVLFRRLENGRWSSSLLAAPSFHSPEKPGAFLAQSPHVSEKSEAPCVRVPPSVLYEDLQVSSSSEDSDSDLE
+>DECOY_sp|A8MX19|F90AC_HUMAN Putative protein FAM90A12P OS=Homo sapiens OX=9606 GN=FAM90A12P PE=5 SV=1
+ELDSDSDESSSSVQLDEYLVSPPVRVCPAESKESVHPSQALFAGPKEPSHFSPAALLSSSWRGNELRRFLVRLPQAGDHSAASHHSMTCAQATPLCPRSHPPQRDVSPVQAPTRRGMQPSTSPGLETAAPPPQLNEPAGLEGGQIASEPIQFPGLRPKKPFNLCAQIPAQAPRKAGPGFSLNSGLGLSHTAAPPCPLSTVAPRKDQAQPRAAQPLGHTKSAAQPVERCGGEPRSHTPKVVLLPERGEHRVAPQPMDAAAGTQREKPGLSSSSSLSAKRLPSLSALVSGRGSMATASRDALIPDLRPRKSSTHVPMPGSAVRLYDSSETSGKGNPLPKEPPKGSFMHLLAKRQPDQQRPREEKEGKDKNLPGPNAEARPKWPKLNEKGEKKGLTAPVLAAKWCKMPCRTSRATHGFAGCNKCKLRPDEEDPPPARPGVPARRQKQLTQARVLRKAWSKPDRRAMM
+>sp|A6NEW6|F90AG_HUMAN Putative protein FAM90A16P/FAM90A17P OS=Homo sapiens OX=9606 GN=FAM90A16P PE=5 SV=1
+MMARRDPKSWAKRLVRAQTLQKQRRAPVGPRAPPPDEEDPRLKCKNCGAFGHTARSTRCPMKCWKAALVPATLGKKEGKENLKPWKPRVEANPGPLNKDKGEKEERPRQQDPQRKALLHMFSGKPPEKPLPNGKGSTESSDHLRVASGPMPVHTTSKRPRVDPVLADRSAAEMSGRGSVLASLSPLRKASLSSSSSLGPKERQTGAAADIPQPAVRHQGREPLLVVKPTHSRPEGGCREVPQAASKTHGLLQAARPQAQDKRPAVTSQPCPPAATHSLGLGSNLSFGPGAKRPAQAPIQACLNFPKKPRLGPFQIPESAIQGGELGAPENLQPPPAATELGPSTSPQMGRRTPAQVPSVDRQPPHSTPCLPTAQACTMSHHSAASHDGAQPLRVLFRRLENGRWSSSLLAAPSFHSPEKPGAFLAQSPHVSEKSEAPCVRVPPSVLYEDLQVSSSSEDSDSDLE
+>DECOY_sp|A6NEW6|F90AG_HUMAN Putative protein FAM90A16P/FAM90A17P OS=Homo sapiens OX=9606 GN=FAM90A16P PE=5 SV=1
+ELDSDSDESSSSVQLDEYLVSPPVRVCPAESKESVHPSQALFAGPKEPSHFSPAALLSSSWRGNELRRFLVRLPQAGDHSAASHHSMTCAQATPLCPTSHPPQRDVSPVQAPTRRGMQPSTSPGLETAAPPPQLNEPAGLEGGQIASEPIQFPGLRPKKPFNLCAQIPAQAPRKAGPGFSLNSGLGLSHTAAPPCPQSTVAPRKDQAQPRAAQLLGHTKSAAQPVERCGGEPRSHTPKVVLLPERGQHRVAPQPIDAAAGTQREKPGLSSSSSLSAKRLPSLSALVSGRGSMEAASRDALVPDVRPRKSTTHVPMPGSAVRLHDSSETSGKGNPLPKEPPKGSFMHLLAKRQPDQQRPREEKEGKDKNLPGPNAEVRPKWPKLNEKGEKKGLTAPVLAAKWCKMPCRTSRATHGFAGCNKCKLRPDEEDPPPARPGVPARRQKQLTQARVLRKAWSKPDRRAMM
+>sp|Q8N5W8|FA24B_HUMAN Protein FAM24B OS=Homo sapiens OX=9606 GN=FAM24B PE=3 SV=2
+MPVIAGGILAALLLLIVVVLCLYFKIHNALKAAKEPEAVAVKNHNPDKVWWAKNSQAKTIATESCPALQCCEGYRMCASFDSLPPCCCDINEGL
+>DECOY_sp|Q8N5W8|FA24B_HUMAN Protein FAM24B OS=Homo sapiens OX=9606 GN=FAM24B PE=3 SV=2
+LGENIDCCCPPLSDFSACMRYGECCQLAPCSETAITKAQSNKAWWVKDPNHNKVAVAEPEKAAKLANHIKFYLCLVVVILLLLAALIGGAIVPM
+>sp|Q9NZY2|FA30A_HUMAN Putative uncharacterized protein FAM30A OS=Homo sapiens OX=9606 GN=FAM30A PE=5 SV=2
+MGTLQGAALRSRERPSWPQETHGHRERTEEGCAVAAFSADALRTGGQELEQTGLRPKAGAPPMPDLLGHRICTDIGKGWRMDGGRTCSCSSFCRCPERGARRSSPDAPGLALDFPLLLDLLWHLCSWTSQPLEL
+>DECOY_sp|Q9NZY2|FA30A_HUMAN Putative uncharacterized protein FAM30A OS=Homo sapiens OX=9606 GN=FAM30A PE=5 SV=2
+LELPQSTWSCLHWLLDLLLPFDLALGPADPSSRRAGREPCRCFSSCSCTRGGDMRWGKGIDTCIRHGLLDPMPPAGAKPRLGTQELEQGGTRLADASFAAVACGEETRERHGHTEQPWSPRERSRLAAGQLTGM
+>sp|Q9Y421|FA32A_HUMAN Protein FAM32A OS=Homo sapiens OX=9606 GN=FAM32A PE=1 SV=2
+MEAYEQVQKGPLKLKGVAELGVTKRKKKKKDKDKAKLLEAMGTSKKNEEEKRRGLDKRTPAQAAFEKMQEKRQMERILKKASKTHKQRVEDFNRHLDTLTEHYDIPKVSWTK
+>DECOY_sp|Q9Y421|FA32A_HUMAN Protein FAM32A OS=Homo sapiens OX=9606 GN=FAM32A PE=1 SV=2
+KTWSVKPIDYHETLTDLHRNFDEVRQKHTKSAKKLIREMQRKEQMKEFAAQAPTRKDLGRRKEEENKKSTGMAELLKAKDKDKKKKKRKTVGLEAVGKLKLPGKQVQEYAEM
+>sp|Q8N2R8|FA43A_HUMAN Protein FAM43A OS=Homo sapiens OX=9606 GN=FAM43A PE=2 SV=2
+MLPWKKHKFELLAEAPPRQASKPKGYAVSLHYSALSSLARACPEGALSRVGSMFRSKRKKLHITSEDPTYTVLYLGNATTIQARGDGCTDLAVGKIWSKSEAGRQGTKMKLTVSAQGIRMVHAEERALRRPGHLYLLHRVTYCVADARLPKVFAWVYRHELKHKAVMLRCHAVLVSKPEKAQAMALLLYQTSANALAEFKRLKRRDDARHQQQELVGAHTIPLVPLRKLLLHGPCCYKPPVERSRSAPKLGSITEDLLGEQLEQELQEEEEEEQPEGCPEEEENRAAEGDPAEEEAEAQRALVVAMHFECGDLLDTLENGRGEALGGGGGSLGPGAGPPPLLLGSASDMKAELSQLISDLGELSFGNDVRTLQADLRVTRLLSGDSTGSESSIEGGGPDATSATAGDSSRQADGASADEPHSG
+>DECOY_sp|Q8N2R8|FA43A_HUMAN Protein FAM43A OS=Homo sapiens OX=9606 GN=FAM43A PE=2 SV=2
+GSHPEDASAGDAQRSSDGATASTADPGGGEISSESGTSDGSLLRTVRLDAQLTRVDNGFSLEGLDSILQSLEAKMDSASGLLLPPPGAGPGLSGGGGGLAEGRGNELTDLLDGCEFHMAVVLARQAEAEEEAPDGEAARNEEEEPCGEPQEEEEEEQLEQELQEGLLDETISGLKPASRSREVPPKYCCPGHLLLKRLPVLPITHAGVLEQQQHRADDRRKLRKFEALANASTQYLLLAMAQAKEPKSVLVAHCRLMVAKHKLEHRYVWAFVKPLRADAVCYTVRHLLYLHGPRRLAREEAHVMRIGQASVTLKMKTGQRGAESKSWIKGVALDTCGDGRAQITTANGLYLVTYTPDESTIHLKKRKSRFMSGVRSLAGEPCARALSSLASYHLSVAYGKPKSAQRPPAEALLEFKHKKWPLM
+>sp|Q8NA70|FA47B_HUMAN Protein FAM47B OS=Homo sapiens OX=9606 GN=FAM47B PE=2 SV=2
+MGDRRPQDRPRSQGMDSKPWYCDKPPSKYFAKRKHRRLRFPPVDTQNWVFVTEGMDDFRYACQSPEDTLVCRRDEFLLPKISLRGPQADRKSRKKKLLKKAALFSELSPVQPARKAFVEEVEAQLMTKHPLAMYPNLGKDMPPDLLLQVLKQLDPERKLEDAWARCEAREKTTEVPTESGKYPCGESCPRPPETPVSRLRPQLPKTPVSSRRPEPPKTRVSSLRPEPPKTRVSSLHPEPPETRASHLRVDPPETGVSHLCPEPPKTLVSSVHPEPPDTGASHLCPEPPETRVSHLHPEPPETGVSHLRPEPSKTQVSSLCPEPPEAGVSHLCLEPPNTHRVSSFLLQVLKLDSEKKLEDARARCEGQEMTTEELTKPGKYHFWESCPRPFESRMPHLRLVLPITRRMASLCLKPPKTRRVSSLCPEPTKTGASHLKELFQEDTPSTMECVSDSLQRRHTSRKLRDFKWAGDLGVNEESISSLFDFTPECRTTDQDQKIKKANECASRLMYGMELDDMDEVEFLRIKYWDRRRRAAPHSYSAQRGRIRYGPWYFEPKLGKKLRSDEPLIDPKPVLEKPDEPDILDGLYGPIAFKDFILSKGYRMPGVIEKLFAKKGWTYDSVKTPIQRAVQVYKYKEDVTDASKED
+>DECOY_sp|Q8NA70|FA47B_HUMAN Protein FAM47B OS=Homo sapiens OX=9606 GN=FAM47B PE=2 SV=2
+DEKSADTVDEKYKYVQVARQIPTKVSDYTWGKKAFLKEIVGPMRYGKSLIFDKFAIPGYLGDLIDPEDPKELVPKPDILPEDSRLKKGLKPEFYWPGYRIRGRQASYSHPAARRRRDWYKIRLFEVEDMDDLEMGYMLRSACENAKKIKQDQDTTRCEPTFDFLSSISEENVGLDGAWKFDRLKRSTHRRQLSDSVCEMTSPTDEQFLEKLHSAGTKTPEPCLSSVRRTKPPKLCLSAMRRTIPLVLRLHPMRSEFPRPCSEWFHYKGPKTLEETTMEQGECRARADELKKESDLKLVQLLFSSVRHTNPPELCLHSVGAEPPEPCLSSVQTKSPEPRLHSVGTEPPEPHLHSVRTEPPEPCLHSAGTDPPEPHVSSVLTKPPEPCLHSVGTEPPDVRLHSARTEPPEPHLSSVRTKPPEPRLSSVRTKPPEPRRSSVPTKPLQPRLRSVPTEPPRPCSEGCPYKGSETPVETTKERAECRAWADELKREPDLQKLVQLLLDPPMDKGLNPYMALPHKTMLQAEVEEVFAKRAPQVPSLESFLAAKKLLKKKRSKRDAQPGRLSIKPLLFEDRRCVLTDEPSQCAYRFDDMGETVFVWNQTDVPPFRLRRHKRKAFYKSPPKDCYWPKSDMGQSRPRDQPRRDGM
+>sp|P16930|FAAA_HUMAN Fumarylacetoacetase OS=Homo sapiens OX=9606 GN=FAH PE=1 SV=2
+MSFIPVAEDSDFPIHNLPYGVFSTRGDPRPRIGVAIGDQILDLSIIKHLFTGPVLSKHQDVFNQPTLNSFMGLGQAAWKEARVFLQNLLSVSQARLRDDTELRKCAFISQASATMHLPATIGDYTDFYSSRQHATNVGIMFRDKENALMPNWLHLPVGYHGRASSVVVSGTPIRRPMGQMKPDDSKPPVYGACKLLDMELEMAFFVGPGNRLGEPIPISKAHEHIFGMVLMNDWSARDIQKWEYVPLGPFLGKSFGTTVSPWVVPMDALMPFAVPNPKQDPRPLPYLCHDEPYTFDINLSVNLKGEGMSQAATICKSNFKYMYWTMLQQLTHHSVNGCNLRPGDLLASGTISGPEPENFGSMLELSWKGTKPIDLGNGQTRKFLLDGDEVIITGYCQGDGYRIGFGQCAGKVLPALLPS
+>DECOY_sp|P16930|FAAA_HUMAN Fumarylacetoacetase OS=Homo sapiens OX=9606 GN=FAH PE=1 SV=2
+SPLLAPLVKGACQGFGIRYGDGQCYGTIIVEDGDLLFKRTQGNGLDIPKTGKWSLELMSGFNEPEPGSITGSALLDGPRLNCGNVSHHTLQQLMTWYMYKFNSKCITAAQSMGEGKLNVSLNIDFTYPEDHCLYPLPRPDQKPNPVAFPMLADMPVVWPSVTTGFSKGLFPGLPVYEWKQIDRASWDNMLVMGFIHEHAKSIPIPEGLRNGPGVFFAMELEMDLLKCAGYVPPKSDDPKMQGMPRRIPTGSVVVSSARGHYGVPLHLWNPMLANEKDRFMIGVNTAHQRSSYFDTYDGITAPLHMTASAQSIFACKRLETDDRLRAQSVSLLNQLFVRAEKWAAQGLGMFSNLTPQNFVDQHKSLVPGTFLHKIISLDLIQDGIAVGIRPRPDGRTSFVGYPLNHIPFDSDEAVPIFSM
+>sp|P12104|FABPI_HUMAN Fatty acid-binding protein, intestinal OS=Homo sapiens OX=9606 GN=FABP2 PE=1 SV=2
+MAFDSTWKVDRSENYDKFMEKMGVNIVKRKLAAHDNLKLTITQEGNKFTVKESSAFRNIEVVFELGVTFNYNLADGTELRGTWSLEGNKLIGKFKRTDNGNELNTVREIIGDELVQTYVYEGVEAKRIFKKD
+>DECOY_sp|P12104|FABPI_HUMAN Fatty acid-binding protein, intestinal OS=Homo sapiens OX=9606 GN=FABP2 PE=1 SV=2
+DKKFIRKAEVGEYVYTQVLEDGIIERVTNLENGNDTRKFKGILKNGELSWTGRLETGDALNYNFTVGLEFVVEINRFASSEKVTFKNGEQTITLKLNDHAALKRKVINVGMKEMFKDYNESRDVKWTSDFAM
+>sp|Q8NFF5|FAD1_HUMAN FAD synthase OS=Homo sapiens OX=9606 GN=FLAD1 PE=1 SV=1
+MGWDLGTRLFQRQEQRSRLSRIWLEKTRVFLEGSTRTPALPHCLFWLLQVPSTQDPLFPGYGPQCPVDLAGPPCLRPLFGGLGGYWRALQRGREGRTMTSRASELSPGRSVTAGIIIVGDEILKGHTQDTNTFFLCRTLRSLGVQVCRVSVVPDEVATIAAEVTSFSNRFTHVLTAGGIGPTHDDVTFEAVAQAFGDELKPHPKLEAATKALGGEGWEKLSLVPSSARLHYGTDPCTGQPFRFPLVSVRNVYLFPGIPELLRRVLEGMKGLFQNPAVQFHSKELYVAADEASIAPILAEAQAHFGRRLGLGSYPDWGSNYYQVKLTLDSEEEGPLEECLAYLTARLPQGSLVPYMPNAVEQASEAVYKLAESGSSLGKKVAGALQTIETSLAQYSLTQLCVGFNGGKDCTALLHLFHAAVQRKLPDVPNPLQILYIRSISPFPELEQFLQDTIKRYNLQMLEAEGSMKQALGELQARHPQLEAVLMGTRRTDPYSCSLCPFSPTDPGWPAFMRINPLLDWTYRDIWDFLRQLFVPYCILYDRGYTSLGSRENTVRNPALKCLSPGGHPTYRPAYLLENEEEERNSRT
+>DECOY_sp|Q8NFF5|FAD1_HUMAN FAD synthase OS=Homo sapiens OX=9606 GN=FLAD1 PE=1 SV=1
+TRSNREEEENELLYAPRYTPHGGPSLCKLAPNRVTNERSGLSTYGRDYLICYPVFLQRLFDWIDRYTWDLLPNIRMFAPWGPDTPSFPCLSCSYPDTRRTGMLVAELQPHRAQLEGLAQKMSGEAELMQLNYRKITDQLFQELEPFPSISRIYLIQLPNPVDPLKRQVAAHFLHLLATCDKGGNFGVCLQTLSYQALSTEITQLAGAVKKGLSSGSEALKYVAESAQEVANPMYPVLSGQPLRATLYALCEELPGEEESDLTLKVQYYNSGWDPYSGLGLRRGFHAQAEALIPAISAEDAAVYLEKSHFQVAPNQFLGKMGELVRRLLEPIGPFLYVNRVSVLPFRFPQGTCPDTGYHLRASSPVLSLKEWGEGGLAKTAAELKPHPKLEDGFAQAVAEFTVDDHTPGIGGATLVHTFRNSFSTVEAAITAVEDPVVSVRCVQVGLSRLTRCLFFTNTDQTHGKLIEDGVIIIGATVSRGPSLESARSTMTRGERGRQLARWYGGLGGFLPRLCPPGALDVPCQPGYGPFLPDQTSPVQLLWFLCHPLAPTRTSGELFVRTKELWIRSLRSRQEQRQFLRTGLDWGM
+>sp|O95864|FADS2_HUMAN Fatty acid desaturase 2 OS=Homo sapiens OX=9606 GN=FADS2 PE=1 SV=1
+MGKGGNQGEGAAEREVSVPTFSWEEIQKHNLRTDRWLVIDRKVYNITKWSIQHPGGQRVIGHYAGEDATDAFRAFHPDLEFVGKFLKPLLIGELAPEEPSQDHGKNSKITEDFRALRKTAEDMNLFKTNHVFFLLLLAHIIALESIAWFTVFYFGNGWIPTLITAFVLATSQAQAGWLQHDYGHLSVYRKPKWNHLVHKFVIGHLKGASANWWNHRHFQHHAKPNIFHKDPDVNMLHVFVLGEWQPIEYGKKKLKYLPYNHQHEYFFLIGPPLLIPMYFQYQIIMTMIVHKNWVDLAWAVSYYIRFFITYIPFYGILGALLFLNFIRFLESHWFVWVTQMNHIVMEIDQEAYRDWFSSQLTATCNVEQSFFNDWFSGHLNFQIEHHLFPTMPRHNLHKIAPLVKSLCAKHGIEYQEKPLLRALLDIIRSLKKSGKLWLDAYLHK
+>DECOY_sp|O95864|FADS2_HUMAN Fatty acid desaturase 2 OS=Homo sapiens OX=9606 GN=FADS2 PE=1 SV=1
+KHLYADLWLKGSKKLSRIIDLLARLLPKEQYEIGHKACLSKVLPAIKHLNHRPMTPFLHHEIQFNLHGSFWDNFFSQEVNCTATLQSSFWDRYAEQDIEMVIHNMQTVWVFWHSELFRIFNLFLLAGLIGYFPIYTIFFRIYYSVAWALDVWNKHVIMTMIIQYQFYMPILLPPGILFFYEHQHNYPLYKLKKKGYEIPQWEGLVFVHLMNVDPDKHFINPKAHHQFHRHNWWNASAGKLHGIVFKHVLHNWKPKRYVSLHGYDHQLWGAQAQSTALVFATILTPIWGNGFYFVTFWAISELAIIHALLLLFFVHNTKFLNMDEATKRLARFDETIKSNKGHDQSPEEPALEGILLPKLFKGVFELDPHFARFADTADEGAYHGIVRQGGPHQISWKTINYVKRDIVLWRDTRLNHKQIEEWSFTPVSVEREAAGEGQNGGKGM
+>sp|Q96GK7|FAH2A_HUMAN Fumarylacetoacetate hydrolase domain-containing protein 2A OS=Homo sapiens OX=9606 GN=FAHD2A PE=1 SV=1
+MLVSGRRRLLTVLLQAQKWPFQPSRDMRLVQFRAPHLVGPHLGLETGNGGGVINLNAFDPTLPKTMTQFLEQGEATLSVARRALAAQLPVLPRSEVTFLAPVTRPDKVVCVGMNYVDHCKEQNVPVPKEPIIFSKFASSIVGPYDEVVLPPQSQEVDWEVELAVVIGKKGKHIKATDAMAHVAGFTVAHDVSARDWQMRRNGKQWLLGKTFDTFCPLGPALVTKDSVADPHNLKICCRVNGEVVQSGNTNQMVFKTEDLIAWVSQFVTFYPGDVILTGTPPGVGVFRKPPVFLKKGDEVQCEIEELGVIINKVV
+>DECOY_sp|Q96GK7|FAH2A_HUMAN Fumarylacetoacetate hydrolase domain-containing protein 2A OS=Homo sapiens OX=9606 GN=FAHD2A PE=1 SV=1
+VVKNIIVGLEEIECQVEDGKKLFVPPKRFVGVGPPTGTLIVDGPYFTVFQSVWAILDETKFVMQNTNGSQVVEGNVRCCIKLNHPDAVSDKTVLAPGLPCFTDFTKGLLWQKGNRRMQWDRASVDHAVTFGAVHAMADTAKIHKGKKGIVVALEVEWDVEQSQPPLVVEDYPGVISSAFKSFIIPEKPVPVNQEKCHDVYNMGVCVVKDPRTVPALFTVESRPLVPLQAALARRAVSLTAEGQELFQTMTKPLTPDFANLNIVGGGNGTELGLHPGVLHPARFQVLRMDRSPQFPWKQAQLLVTLLRRRGSVLM
+>sp|Q5TZK3|FAM74_HUMAN Protein FAM74A4/A6 OS=Homo sapiens OX=9606 GN=FAM74A4 PE=1 SV=1
+MWRELRGCPGGDVETAQRLSQRRRGKSSEAVPEKTWRAQRMSQRRRGESSEAVPEKTWKELRNSETVPEKTWKQLRGCLQEDVQRVQRLSRRRHGESSKAVHKKMWREFRGCRYCCTWLFFFG
+>DECOY_sp|Q5TZK3|FAM74_HUMAN Protein FAM74A4/A6 OS=Homo sapiens OX=9606 GN=FAM74A4 PE=1 SV=1
+GFFFLWTCCYRCGRFERWMKKHVAKSSEGHRRRSLRQVRQVDEQLCGRLQKWTKEPVTESNRLEKWTKEPVAESSEGRRRQSMRQARWTKEPVAESSKGRRRQSLRQATEVDGGPCGRLERWM
+>sp|Q8IZT9|FAM9C_HUMAN Protein FAM9C OS=Homo sapiens OX=9606 GN=FAM9C PE=1 SV=1
+MAAKDQLEVQVMAAQEMELAGKDPVSHEHEERKPVTETKEGDVTDEHGERGSFAETDEHTGVDTKELEDIAADIKEHLAAKRKRIEKIAKACSEIKNRIKNVLRTTQLKRQKRDYRISLKLPNVLEEFITDEQKDEEGDGEKEEQIKIFQEQQKRWQQDGKGTERD
+>DECOY_sp|Q8IZT9|FAM9C_HUMAN Protein FAM9C OS=Homo sapiens OX=9606 GN=FAM9C PE=1 SV=1
+DRETGKGDQQWRKQQEQFIKIQEEKEGDGEEDKQEDTIFEELVNPLKLSIRYDRKQRKLQTTRLVNKIRNKIESCAKAIKEIRKRKAALHEKIDAAIDELEKTDVGTHEDTEAFSGREGHEDTVDGEKTETVPKREEHEHSVPDKGALEMEQAAMVQVELQDKAAM
+>sp|Q9Y2M0|FAN1_HUMAN Fanconi-associated nuclease 1 OS=Homo sapiens OX=9606 GN=FAN1 PE=1 SV=4
+MMSEGKPPDKKRPRRSLSISKNKKKASNSIISCFNNAPPAKLACPVCSKMVPRYDLNRHLDEMCANNDFVQVDPGQVGLINSNVSMVDLTSVTLEDVTPKKSPPPKTNLTPGQSDSAKREVKQKISPYFKSNDVVCKNQDELRNRSVKVICLGSLASKLSRKYVKAKKSIDKDEEFAGSSPQSSKSTVVKSLIDNSSEIEDEDQILENSSQKENVFKCDSLKEECIPEHMVRGSKIMEAESQKATRECEKSALTPGFSDNAIMLFSPDFTLRNTLKSTSEDSLVKQECIKEVVEKREACHCEEVKMTVASEAKIQLSDSEAKSHSSADDASAWSNIQEAPLQDDSCLNNDIPHSIPLEQGSSCNGPGQTTGHPYYLRSFLVVLKTVLENEDDMLLFDEQEKGIVTKFYQLSATGQKLYVRLFQRKLSWIKMTKLEYEEIALDLTPVIEELTNAGFLQTESELQELSEVLELLSAPELKSLAKTFHLVNPNGQKQQLVDAFLKLAKQRSVCTWGKNKPGIGAVILKRAKALAGQSVRICKGPRAVFSRILLLFSLTDSMEDEDAACGGQGQLSTVLLVNLGRMEFPSYTINRKTHIFQDRDDLIRYAAATHMLSDISSAMANGNWEEAKELAQCAKRDWNRLKNHPSLRCHEDLPLFLRCFTVGWIYTRILSRFVEILQRLHMYEEAVRELESLLSQRIYCPDSRGRWWDRLALNLHQHLKRLEPTIKCITEGLADPEVRTGHRLSLYQRAVRLRESPSCKKFKHLFQQLPEMAVQDVKHVTITGRLCPQRGMCKSVFVMEAGEAADPTTVLCSVEELALAHYRRSGFDQGIHGEGSTFSTLYGLLLWDIIFMDGIPDVFRNACQAFPLDLCTDSFFTSRRPALEARLQLIHDAPEESLRAWVAATWHEQEGRVASLVSWDRFTSLQQAQDLVSCLGGPVLSGVCRHLAADFRHCRGGLPDLVVWNSQSRHFKLVEVKGPNDRLSHKQMIWLAELQKLGAEVEVCHVVAVGAKSQSLS
+>DECOY_sp|Q9Y2M0|FAN1_HUMAN Fanconi-associated nuclease 1 OS=Homo sapiens OX=9606 GN=FAN1 PE=1 SV=4
+SLSQSKAGVAVVHCVEVEAGLKQLEALWIMQKHSLRDNPGKVEVLKFHRSQSNWVVLDPLGGRCHRFDAALHRCVGSLVPGGLCSVLDQAQQLSTFRDWSVLSAVRGEQEHWTAAVWARLSEEPADHILQLRAELAPRRSTFFSDTCLDLPFAQCANRFVDPIGDMFIIDWLLLGYLTSFTSGEGHIGQDFGSRRYHALALEEVSCLVTTPDAAEGAEMVFVSKCMGRQPCLRGTITVHKVDQVAMEPLQQFLHKFKKCSPSERLRVARQYLSLRHGTRVEPDALGETICKITPELRKLHQHLNLALRDWWRGRSDPCYIRQSLLSELERVAEEYMHLRQLIEVFRSLIRTYIWGVTFCRLFLPLDEHCRLSPHNKLRNWDRKACQALEKAEEWNGNAMASSIDSLMHTAAAYRILDDRDQFIHTKRNITYSPFEMRGLNVLLVTSLQGQGGCAADEDEMSDTLSFLLLIRSFVARPGKCIRVSQGALAKARKLIVAGIGPKNKGWTCVSRQKALKLFADVLQQKQGNPNVLHFTKALSKLEPASLLELVESLEQLESETQLFGANTLEEIVPTLDLAIEEYELKTMKIWSLKRQFLRVYLKQGTASLQYFKTVIGKEQEDFLLMDDENELVTKLVVLFSRLYYPHGTTQGPGNCSSGQELPISHPIDNNLCSDDQLPAEQINSWASADDASSHSKAESDSLQIKAESAVTMKVEECHCAERKEVVEKICEQKVLSDESTSKLTNRLTFDPSFLMIANDSFGPTLASKECERTAKQSEAEMIKSGRVMHEPICEEKLSDCKFVNEKQSSNELIQDEDEIESSNDILSKVVTSKSSQPSSGAFEEDKDISKKAKVYKRSLKSALSGLCIVKVSRNRLEDQNKCVVDNSKFYPSIKQKVERKASDSQGPTLNTKPPPSKKPTVDELTVSTLDVMSVNSNILGVQGPDVQVFDNNACMEDLHRNLDYRPVMKSCVPCALKAPPANNFCSIISNSAKKKNKSISLSRRPRKKDPPKGESMM
+>sp|Q8NB91|FANCB_HUMAN Fanconi anemia group B protein OS=Homo sapiens OX=9606 GN=FANCB PE=1 SV=1
+MTSKQAMSSNEQERLLCYNGEVLVFQLSKGNFADKEPTKTPILHVRRMVFDRGTKVFVQKSTGFFTIKEENSHLKIMCCNCVSDFRTGINLPYIVIEKNKKNNVFEYFLLILHSTNKFEMRLSFKLGYEMKDGLRVLNGPLILWRHVKAFFFISSQTGKVVSVSGNFSSIQWAGEIENLGMVLLGLKECCLSEEECTQEPSKSDYAIWNTKFCVYSLESQEVLSDIYIIPPAYSSVVTYVHICATEIIKNQLRISLIALTRKNQLISFQNGTPKNVCQLPFGDPCAVQLMDSGGGNLFFVVSFISNNACAVWKESFQVAAKWEKLSLVLIDDFIGSGTEQVLLLFKDSLNSDCLTSFKITDLGKINYSSEPSDCNEDDLFEDKQENRYLVVPPLETGLKVCFSSFRELRQHLLLKEKIISKSYKALINLVQGKDDNTSSAEEKECLVPLCGEEENSVHILDEKLSDNFQDSEQLVEKIWYRVIDDSLVVGVKTTSSLKLSLNDVTLSLLMDQAHDSRFRLLKCQNRVIKLSTNPFPAPYLMPCEIGLEAKRVTLTPDSKKEESFVCEHPSKKECVQIITAVTSLSPLLTFSKFCCTVLLQIMERESGNCPKDRYVVCGRVFLSLEDLSTGKYLLTFPKKKPIEHMEDLFALLAAFHKSCFQITSPGYALNSMKVWLLEHMKCEIIKEFPEVYFCERPGSFYGTLFTWKQRTPFEGILIIYSRNQTVMFQCLHNLIRILPINCFLKNLKSGSENFLIDNMAFTLEKELVTLSSLSSAIAKHESNFMQRCEVSKGKSSVVAAALSDRRENIHPYRKELQREKKKMLQTNLKVSGALYREITLKVAEVQLKSDFAAQKLSNL
+>DECOY_sp|Q8NB91|FANCB_HUMAN Fanconi anemia group B protein OS=Homo sapiens OX=9606 GN=FANCB PE=1 SV=1
+LNSLKQAAFDSKLQVEAVKLTIERYLAGSVKLNTQLMKKKERQLEKRYPHINERRDSLAAAVVSSKGKSVECRQMFNSEHKAIASSLSSLTVLEKELTFAMNDILFNESGSKLNKLFCNIPLIRILNHLCQFMVTQNRSYIILIGEFPTRQKWTFLTGYFSGPRECFYVEPFEKIIECKMHELLWVKMSNLAYGPSTIQFCSKHFAALLAFLDEMHEIPKKKPFTLLYKGTSLDELSLFVRGCVVYRDKPCNGSEREMIQLLVTCCFKSFTLLPSLSTVATIIQVCEKKSPHECVFSEEKKSDPTLTVRKAELGIECPMLYPAPFPNTSLKIVRNQCKLLRFRSDHAQDMLLSLTVDNLSLKLSSTTKVGVVLSDDIVRYWIKEVLQESDQFNDSLKEDLIHVSNEEEGCLPVLCEKEEASSTNDDKGQVLNILAKYSKSIIKEKLLLHQRLERFSSFCVKLGTELPPVVLYRNEQKDEFLDDENCDSPESSYNIKGLDTIKFSTLCDSNLSDKFLLLVQETGSGIFDDILVLSLKEWKAAVQFSEKWVACANNSIFSVVFFLNGGGSDMLQVACPDGFPLQCVNKPTGNQFSILQNKRTLAILSIRLQNKIIETACIHVYTVVSSYAPPIIYIDSLVEQSELSYVCFKTNWIAYDSKSPEQTCEEESLCCEKLGLLVMGLNEIEGAWQISSFNGSVSVVKGTQSSIFFFAKVHRWLILPGNLVRLGDKMEYGLKFSLRMEFKNTSHLILLFYEFVNNKKNKEIVIYPLNIGTRFDSVCNCCMIKLHSNEEKITFFGTSKQVFVKTGRDFVMRRVHLIPTKTPEKDAFNGKSLQFVLVEGNYCLLREQENSSMAQKSTM
+>sp|Q00597|FANCC_HUMAN Fanconi anemia group C protein OS=Homo sapiens OX=9606 GN=FANCC PE=1 SV=1
+MAQDSVDLSCDYQFWMQKLSVWDQASTLETQQDTCLHVAQFQEFLRKMYEALKEMDSNTVIERFPTIGQLLAKACWNPFILAYDESQKILIWCLCCLINKEPQNSGQSKLNSWIQGVLSHILSALRFDKEVALFTQGLGYAPIDYYPGLLKNMVLSLASELRENHLNGFNTQRRMAPERVASLSRVCVPLITLTDVDPLVEALLICHGREPQEILQPEFFEAVNEAILLKKISLPMSAVVCLWLRHLPSLEKAMLHLFEKLISSERNCLRRIECFIKDSSLPQAACHPAIFRVVDEMFRCALLETDGALEIIATIQVFTQCFVEALEKASKQLRFALKTYFPYTSPSLAMVLLQDPQDIPRGHWLQTLKHISELLREAVEDQTHGSCGGPFESWFLFIHFGGWAEMVAEQLLMSAAEPPTALLWLLAFYYGPRDGRQQRAQTMVQVKAVLGHLLAMSRSSSLSAQDLQTVAGQGTDTDLRAPAQQLIRHLLLNFLLWAPGGHTIAWDVITLMAHTAEITHEIIGFLDQTLYRWNRLGIESPRSEKLARELLKELRTQV
+>DECOY_sp|Q00597|FANCC_HUMAN Fanconi anemia group C protein OS=Homo sapiens OX=9606 GN=FANCC PE=1 SV=1
+VQTRLEKLLERALKESRPSEIGLRNWRYLTQDLFGIIEHTIEATHAMLTIVDWAITHGGPAWLLFNLLLHRILQQAPARLDTDTGQGAVTQLDQASLSSSRSMALLHGLVAKVQVMTQARQQRGDRPGYYFALLWLLATPPEAASMLLQEAVMEAWGGFHIFLFWSEFPGGCSGHTQDEVAERLLESIHKLTQLWHGRPIDQPDQLLVMALSPSTYPFYTKLAFRLQKSAKELAEVFCQTFVQITAIIELAGDTELLACRFMEDVVRFIAPHCAAQPLSSDKIFCEIRRLCNRESSILKEFLHLMAKELSPLHRLWLCVVASMPLSIKKLLIAENVAEFFEPQLIEQPERGHCILLAEVLPDVDTLTILPVCVRSLSAVREPAMRRQTNFGNLHNERLESALSLVMNKLLGPYYDIPAYGLGQTFLAVEKDFRLASLIHSLVGQIWSNLKSQGSNQPEKNILCCLCWILIKQSEDYALIFPNWCAKALLQGITPFREIVTNSDMEKLAEYMKRLFEQFQAVHLCTDQQTELTSAQDWVSLKQMWFQYDCSLDVSDQAM
+>sp|Q9NPI8|FANCF_HUMAN Fanconi anemia group F protein OS=Homo sapiens OX=9606 GN=FANCF PE=1 SV=1
+MESLLQHLDRFSELLAVSSTTYVSTWDPATVRRALQWARYLRHIHRRFGRHGPIRTALERRLHNQWRQEGGFGRGPVPGLANFQALGHCDVLLSLRLLENRALGDAARYHLVQQLFPGPGVRDADEETLQESLARLARRRSAVHMLRFNGYRENPNLQEDSLMKTQAELLLERLQEVGKAEAERPARFLSSLWERLPQNNFLKVIAVALLQPPLSRRPQEELEPGIHKSPGEGSQVLVHWLLGNSEVFAAFCRALPAGLLTLVTSRHPALSPVYLGLLTDWGQRLHYDLQKGIWVGTESQDVPWEELHNRFQSLCQAPPPLKDKVLTALETCKAQDGDFEVPGLSIWTDLLLALRSGAFRKRQVLGLSAGLSSV
+>DECOY_sp|Q9NPI8|FANCF_HUMAN Fanconi anemia group F protein OS=Homo sapiens OX=9606 GN=FANCF PE=1 SV=1
+VSSLGASLGLVQRKRFAGSRLALLLDTWISLGPVEFDGDQAKCTELATLVKDKLPPPAQCLSQFRNHLEEWPVDQSETGVWIGKQLDYHLRQGWDTLLGLYVPSLAPHRSTVLTLLGAPLARCFAAFVESNGLLWHVLVQSGEGPSKHIGPELEEQPRRSLPPQLLAVAIVKLFNNQPLREWLSSLFRAPREAEAKGVEQLRELLLEAQTKMLSDEQLNPNERYGNFRLMHVASRRRALRALSEQLTEEDADRVGPGPFLQQVLHYRAADGLARNELLRLSLLVDCHGLAQFNALGPVPGRGFGGEQRWQNHLRRELATRIPGHRGFRRHIHRLYRAWQLARRVTAPDWTSVYTTSSVALLESFRDLHQLLSEM
+>sp|Q9NVI1|FANCI_HUMAN Fanconi anemia group I protein OS=Homo sapiens OX=9606 GN=FANCI PE=1 SV=4
+MDQKILSLAAEKTADKLQEFLQTLREGDLTNLLQNQAVKGKVAGALLRAIFKGSPCSEEAGTLRRRKIYTCCIQLVESGDLQKEIASEIIGLLMLEAHHFPGPLLVELANEFISAVREGSLVNGKSLELLPIILTALATKKENLAYGKGVLSGEECKKQLINTLCSGRWDQQYVIQLTSMFKDVPLTAEEVEFVVEKALSMFSKMNLQEIPPLVYQLLVLSSKGSRKSVLEGIIAFFSALDKQHNEEQSGDELLDVVTVPSGELRHVEGTIILHIVFAIKLDYELGRELVKHLKVGQQGDSNNNLSPFSIALLLSVTRIQRFQDQVLDLLKTSVVKSFKDLQLLQGSKFLQNLVPHRSYVSTMILEVVKNSVHSWDHVTQGLVELGFILMDSYGPKKVLDGKTIETSPSLSRMPNQHACKLGANILLETFKIHEMIRQEILEQVLNRVVTRASSPISHFLDLLSNIVMYAPLVLQSCSSKVTEAFDYLSFLPLQTVQRLLKAVQPLLKVSMSMRDCLILVLRKAMFANQLDARKSAVAGFLLLLKNFKVLGSLSSSQCSQSLSVSQVHVDVHSHYNSVANETFCLEIMDSLRRCLSQQADVRLMLYEGFYDVLRRNSQLANSVMQTLLSQLKQFYEPKPDLLPPLKLEACILTQGDKISLQEPLDYLLCCIQHCLAWYKNTVIPLQQGEEEEEEEEAFYEDLDDILESITNRMIKSELEDFELDKSADFSQSTSIGIKNNICAFLVMGVCEVLIEYNFSISSFSKNRFEDILSLFMCYKKLSDILNEKAGKAKTKMANKTSDSLLSMKFVSSLLTALFRDSIQSHQESLSVLRSSNEFMRYAVNVALQKVQQLKETGHVSGPDGQNPEKIFQNLCDITRVLLWRYTSIPTSVEESGKKEKGKSISLLCLEGLQKIFSAVQQFYQPKIQQFLRALDVTDKEGEEREDADVSVTQRTAFQIRQFQRSLLNLLSSQEEDFNSKEALLLVTVLTSLSKLLEPSSPQFVQMLSWTSKICKENSREDALFCKSLMNLLFSLHVSYKSPVILLRDLSQDIHGHLGDIDQDVEVEKTNHFAIVNLRTAAPTVCLLVLSQAEKVLEEVDWLITKLKGQVSQETLSEEASSQATLPNQPVEKAIIMQLGTLLTFFHELVQTALPSGSCVDTLLKDLCKMYTTLTALVRYYLQVCQSSGGIPKNMEKLVKLSGSHLTPLCYSFISYVQNKSKSLNYTGEKKEKPAAVATAMARVLRETKPIPNLIFAIEQYEKFLIHLSKKSKVNLMQHMKLSTSRDFKIKGNILDMVLREDGEDENEEGTASEHGGQNKEPAKKKRKK
+>DECOY_sp|Q9NVI1|FANCI_HUMAN Fanconi anemia group I protein OS=Homo sapiens OX=9606 GN=FANCI PE=1 SV=4
+KKRKKKAPEKNQGGHESATGEENEDEGDERLVMDLINGKIKFDRSTSLKMHQMLNVKSKKSLHILFKEYQEIAFILNPIPKTERLVRAMATAVAAPKEKKEGTYNLSKSKNQVYSIFSYCLPTLHSGSLKVLKEMNKPIGGSSQCVQLYYRVLATLTTYMKCLDKLLTDVCSGSPLATQVLEHFFTLLTGLQMIIAKEVPQNPLTAQSSAEESLTEQSVQGKLKTILWDVEELVKEAQSLVLLCVTPAATRLNVIAFHNTKEVEVDQDIDGLHGHIDQSLDRLLIVPSKYSVHLSFLLNMLSKCFLADERSNEKCIKSTWSLMQVFQPSSPELLKSLSTLVTVLLLAEKSNFDEEQSSLLNLLSRQFQRIQFATRQTVSVDADEREEGEKDTVDLARLFQQIKPQYFQQVASFIKQLGELCLLSISKGKEKKGSEEVSTPISTYRWLLVRTIDCLNQFIKEPNQGDPGSVHGTEKLQQVKQLAVNVAYRMFENSSRLVSLSEQHSQISDRFLATLLSSVFKMSLLSDSTKNAMKTKAKGAKENLIDSLKKYCMFLSLIDEFRNKSFSSISFNYEILVECVGMVLFACINNKIGISTSQSFDASKDLEFDELESKIMRNTISELIDDLDEYFAEEEEEEEEGQQLPIVTNKYWALCHQICCLLYDLPEQLSIKDGQTLICAELKLPPLLDPKPEYFQKLQSLLTQMVSNALQSNRRLVDYFGEYLMLRVDAQQSLCRRLSDMIELCFTENAVSNYHSHVDVHVQSVSLSQSCQSSSLSGLVKFNKLLLLFGAVASKRADLQNAFMAKRLVLILCDRMSMSVKLLPQVAKLLRQVTQLPLFSLYDFAETVKSSCSQLVLPAYMVINSLLDLFHSIPSSARTVVRNLVQELIEQRIMEHIKFTELLINAGLKCAHQNPMRSLSPSTEITKGDLVKKPGYSDMLIFGLEVLGQTVHDWSHVSNKVVELIMTSVYSRHPVLNQLFKSGQLLQLDKFSKVVSTKLLDLVQDQFRQIRTVSLLLAISFPSLNNNSDGQQGVKLHKVLERGLEYDLKIAFVIHLIITGEVHRLEGSPVTVVDLLEDGSQEENHQKDLASFFAIIGELVSKRSGKSSLVLLQYVLPPIEQLNMKSFMSLAKEVVFEVEEATLPVDKFMSTLQIVYQQDWRGSCLTNILQKKCEEGSLVGKGYALNEKKTALATLIIPLLELSKGNVLSGERVASIFENALEVLLPGPFHHAELMLLGIIESAIEKQLDGSEVLQICCTYIKRRRLTGAEESCPSGKFIARLLAGAVKGKVAQNQLLNTLDGERLTQLFEQLKDATKEAALSLIKQDM
+>sp|Q9NW38|FANCL_HUMAN E3 ubiquitin-protein ligase FANCL OS=Homo sapiens OX=9606 GN=FANCL PE=1 SV=2
+MAVTEASLLRQCPLLLPQNRSKTVYEGFISAQGRDFHLRIVLPEDLQLKNARLLCSWQLRTILSGYHRIVQQRMQHSPDLMSFMMELKMLLEVALKNRQELYALPPPPQFYSSLIEEIGTLGWDKLVYADTCFSTIKLKAEDASGREHLITLKLKAKYPAESPDYFVDFPVPFCASWTPQSSLISIYSQFLAAIESLKAFWDVMDEIDEKTWVLEPEKPPRSATARRIALGNNVSINIEVDPRHPTMLPECFFLGADHVVKPLGIKLSRNIHLWDPENSVLQNLKDVLEIDFPARAILEKSDFTMDCGICYAYQLDGTIPDQVCDNSQCGQPFHQICLYEWLRGLLTSRQSFNIIFGECPYCSKPITLKMSGRKH
+>DECOY_sp|Q9NW38|FANCL_HUMAN E3 ubiquitin-protein ligase FANCL OS=Homo sapiens OX=9606 GN=FANCL PE=1 SV=2
+HKRGSMKLTIPKSCYPCEGFIINFSQRSTLLGRLWEYLCIQHFPQGCQSNDCVQDPITGDLQYAYCIGCDMTFDSKELIARAPFDIELVDKLNQLVSNEPDWLHINRSLKIGLPKVVHDAGLFFCEPLMTPHRPDVEINISVNNGLAIRRATASRPPKEPELVWTKEDIEDMVDWFAKLSEIAALFQSYISILSSQPTWSACFPVPFDVFYDPSEAPYKAKLKLTILHERGSADEAKLKITSFCTDAYVLKDWGLTGIEEILSSYFQPPPPLAYLEQRNKLAVELLMKLEMMFSMLDPSHQMRQQVIRHYGSLITRLQWSCLLRANKLQLDEPLVIRLHFDRGQASIFGEYVTKSRNQPLLLPCQRLLSAETVAM
+>sp|Q969F0|FATE1_HUMAN Fetal and adult testis-expressed transcript protein OS=Homo sapiens OX=9606 GN=FATE1 PE=1 SV=1
+MAGGPPNTKAEMEMSLAEELNHGRQGENQEHLVIAEMMELGSRSRGASQKKQKLEQKAAGSASAKRVWNMTATRPKKMGSQLPKPRMLRESGHGDAHLQEYAGNFQGIRFHYDRNPGTDAVAQTSLEEFNVLEMEVMRRQLYAVNRRLRALEEQGATWRHRETLIIAVLVSASIANLWLWMNQ
+>DECOY_sp|Q969F0|FATE1_HUMAN Fetal and adult testis-expressed transcript protein OS=Homo sapiens OX=9606 GN=FATE1 PE=1 SV=1
+QNMWLWLNAISASVLVAIILTERHRWTAGQEELARLRRNVAYLQRRMVEMELVNFEELSTQAVADTGPNRDYHFRIGQFNGAYEQLHADGHGSERLMRPKPLQSGMKKPRTATMNWVRKASASGAAKQELKQKKQSAGRSRSGLEMMEAIVLHEQNEGQRGHNLEEALSMEMEAKTNPPGGAM
+>sp|A6NHQ2|FBLL1_HUMAN rRNA/tRNA 2'-O-methyltransferase fibrillarin-like protein 1 OS=Homo sapiens OX=9606 GN=FBLL1 PE=3 SV=2
+MKSAASSRGGGGGGRGGGGWGSWGGGRGGGGGAGKGGGGDGGGQGGKGGFGARARGFGGGGRGRGRGGGDGKDRGGGGQRRGGVAKSKSRRRKGAMVVSVEPHRHEGVFIYRGAEDALVTLNMVPGQSVYGERRVTVTEGGVKQEYRTWNPFRSKLAAAILGGVDQIHIKPKSKVLYLGAASGTTVSHVSDIIGPDGLVYAVEFSHRAGRDLVNVAKKRTNIIPVLEDARHPLKYRMLIGMVDVIFADVAQPDQSRIVALNAHTFLRNGGHFLISIKANCIDSTASAEAVFASEVRKLQQENLKPQEQLTLEPYERDHAVVVGVYRPLPKSSSK
+>DECOY_sp|A6NHQ2|FBLL1_HUMAN rRNA/tRNA 2'-O-methyltransferase fibrillarin-like protein 1 OS=Homo sapiens OX=9606 GN=FBLL1 PE=3 SV=2
+KSSSKPLPRYVGVVVAHDREYPELTLQEQPKLNEQQLKRVESAFVAEASATSDICNAKISILFHGGNRLFTHANLAVIRSQDPQAVDAFIVDVMGILMRYKLPHRADELVPIINTRKKAVNVLDRGARHSFEVAYVLGDPGIIDSVHSVTTGSAAGLYLVKSKPKIHIQDVGGLIAAALKSRFPNWTRYEQKVGGETVTVRREGYVSQGPVMNLTVLADEAGRYIFVGEHRHPEVSVVMAGKRRRSKSKAVGGRRQGGGGRDKGDGGGRGRGRGGGGFGRARAGFGGKGGQGGGDGGGGKGAGGGGGRGGGWSGWGGGGRGGGGGGRSSAASKM
+>sp|P98095|FBLN2_HUMAN Fibulin-2 OS=Homo sapiens OX=9606 GN=FBLN2 PE=1 SV=2
+MVLLWEPAGAWLALGLALALGPSVAAAAPRQDCTGVECPPLENCIEEALEPGACCATCVQQGCACEGYQYYDCLQGGFVRGRVPAGQSYFVDFGSTECSCPPGGGKISCQFMLCPELPPNCIEAVVVADSCPQCGQVGCVHAGHKYAAGHTVHLPPCRACHCPDAGGELICYQLPGCHGNFSDAEEGDPERHYEDPYSYDQEVAEVEAATALGGEVQAGAVQAGAGGPPAALGGGSQPLSTIQAPPWPAVLPRPTAAAALGPPAPVQAKARRVTEDSEEEEEEEEEREEMAVTEQLAAGGHRGLDGLPTTAPAGPSLPIQEERAEAGARAEAGARPEENLILDAQATSRSTGPEGVTHAPSLGKAALVPTQAVPGSPRDPVKPSPHNILSTSLPDAAWIPPTREVPRKPQVLPHSHVEEDTDPNSVHSIPRSSPEGSTKDLIETCCAAGQQWAIDNDECLEIPESGTEDNVCRTAQRHCCVSYLQEKSCMAGVLGAKEGETCGAEDNDSCGISLYKQCCDCCGLGLRVRAEGQSCESNPNLGYPCNHVMLSCCEGEEPLIVPEVRRPPEPAAAPRRVSEAEMAGREALSLGTEAELPNSLPGDDQDECLLLPGELCQHLCINTVGSYHCACFPGFSLQDDGRTCRPEGHPPQPEAPQEPALKSEFSQVASNTIPLPLPQPNTCKDNGPCKQVCSTVGGSAICSCFPGYAIMADGVSCEDINECVTDLHTCSRGEHCVNTLGSFHCYKALTCEPGYALKDGECEDVDECAMGTHTCQPGFLCQNTKGSFYCQARQRCMDGFLQDPEGNCVDINECTSLSEPCRPGFSCINTVGSYTCQRNPLICARGYHASDDGTKCVDVNECETGVHRCGEGQVCHNLPGSYRCDCKAGFQRDAFGRGCIDVNECWASPGRLCQHTCENTLGSYRCSCASGFLLAADGKRCEDVNECEAQRCSQECANIYGSYQCYCRQGYQLAEDGHTCTDIDECAQGAGILCTFRCLNVPGSYQCACPEQGYTMTANGRSCKDVDECALGTHNCSEAETCHNIQGSFRCLRFECPPNYVQVSKTKCERTTCHDFLECQNSPARITHYQLNFQTGLLVPAHIFRIGPAPAFTGDTIALNIIKGNEEGYFGTRRLNAYTGVVYLQRAVLEPRDFALDVEMKLWRQGSVTTFLAKMHIFFTTFAL
+>DECOY_sp|P98095|FBLN2_HUMAN Fibulin-2 OS=Homo sapiens OX=9606 GN=FBLN2 PE=1 SV=2
+LAFTTFFIHMKALFTTVSGQRWLKMEVDLAFDRPELVARQLYVVGTYANLRRTGFYGEENGKIINLAITDGTFAPAPGIRFIHAPVLLGTQFNLQYHTIRAPSNQCELFDHCTTRECKTKSVQVYNPPCEFRLCRFSGQINHCTEAESCNHTGLACEDVDKCSRGNATMTYGQEPCACQYSGPVNLCRFTCLIGAGQACEDIDTCTHGDEALQYGQRCYCQYSGYINACEQSCRQAECENVDECRKGDAALLFGSACSCRYSGLTNECTHQCLRGPSAWCENVDICGRGFADRQFGAKCDCRYSGPLNHCVQGEGCRHVGTECENVDVCKTGDDSAHYGRACILPNRQCTYSGVTNICSFGPRCPESLSTCENIDVCNGEPDQLFGDMCRQRAQCYFSGKTNQCLFGPQCTHTGMACEDVDECEGDKLAYGPECTLAKYCHFSGLTNVCHEGRSCTHLDTVCENIDECSVGDAMIAYGPFCSCIASGGVTSCVQKCPGNDKCTNPQPLPLPITNSAVQSFESKLAPEQPAEPQPPHGEPRCTRGDDQLSFGPFCACHYSGVTNICLHQCLEGPLLLCEDQDDGPLSNPLEAETGLSLAERGAMEAESVRRPAAAPEPPRRVEPVILPEEGECCSLMVHNCPYGLNPNSECSQGEARVRLGLGCCDCCQKYLSIGCSDNDEAGCTEGEKAGLVGAMCSKEQLYSVCCHRQATRCVNDETGSEPIELCEDNDIAWQQGAACCTEILDKTSGEPSSRPISHVSNPDTDEEVHSHPLVQPKRPVERTPPIWAADPLSTSLINHPSPKVPDRPSGPVAQTPVLAAKGLSPAHTVGEPGTSRSTAQADLILNEEPRAGAEARAGAEAREEQIPLSPGAPATTPLGDLGRHGGAALQETVAMEEREEEEEEEEESDETVRRAKAQVPAPPGLAAAATPRPLVAPWPPAQITSLPQSGGGLAAPPGGAGAQVAGAQVEGGLATAAEVEAVEQDYSYPDEYHREPDGEEADSFNGHCGPLQYCILEGGADPCHCARCPPLHVTHGAAYKHGAHVCGVQGCQPCSDAVVVAEICNPPLEPCLMFQCSIKGGGPPCSCETSGFDVFYSQGAPVRGRVFGGQLCDYYQYGECACGQQVCTACCAGPELAEEICNELPPCEVGTCDQRPAAAAVSPGLALALGLALWAGAPEWLLVM
+>sp|Q9UBX5|FBLN5_HUMAN Fibulin-5 OS=Homo sapiens OX=9606 GN=FBLN5 PE=1 SV=1
+MPGIKRILTVTILALCLPSPGNAQAQCTNGFDLDRQSGQCLDIDECRTIPEACRGDMMCVNQNGGYLCIPRTNPVYRGPYSNPYSTPYSGPYPAAAPPLSAPNYPTISRPLICRFGYQMDESNQCVDVDECATDSHQCNPTQICINTEGGYTCSCTDGYWLLEGQCLDIDECRYGYCQQLCANVPGSYSCTCNPGFTLNEDGRSCQDVNECATENPCVQTCVNTYGSFICRCDPGYELEEDGVHCSDMDECSFSEFLCQHECVNQPGTYFCSCPPGYILLDDNRSCQDINECEHRNHTCNLQQTCYNLQGGFKCIDPIRCEEPYLRISDNRCMCPAENPGCRDQPFTILYRDMDVVSGRSVPADIFQMQATTRYPGAYYIFQIKSGNEGREFYMRQTGPISATLVMTRPIKGPREIQLDLEMITVNTVINFRGSSVIRLRIYVSQYPF
+>DECOY_sp|Q9UBX5|FBLN5_HUMAN Fibulin-5 OS=Homo sapiens OX=9606 GN=FBLN5 PE=1 SV=1
+FPYQSVYIRLRIVSSGRFNIVTNVTIMELDLQIERPGKIPRTMVLTASIPGTQRMYFERGENGSKIQFIYYAGPYRTTAQMQFIDAPVSRGSVVDMDRYLITFPQDRCGPNEAPCMCRNDSIRLYPEECRIPDICKFGGQLNYCTQQLNCTHNRHECENIDQCSRNDDLLIYGPPCSCFYTGPQNVCEHQCLFESFSCEDMDSCHVGDEELEYGPDCRCIFSGYTNVCTQVCPNETACENVDQCSRGDENLTFGPNCTCSYSGPVNACLQQCYGYRCEDIDLCQGELLWYGDTCSCTYGGETNICIQTPNCQHSDTACEDVDVCQNSEDMQYGFRCILPRSITPYNPASLPPAAAPYPGSYPTSYPNSYPGRYVPNTRPICLYGGNQNVCMMDGRCAEPITRCEDIDLCQGSQRDLDFGNTCQAQANGPSPLCLALITVTLIRKIGPM
+>sp|P35555|FBN1_HUMAN Fibrillin-1 OS=Homo sapiens OX=9606 GN=FBN1 PE=1 SV=3
+MRRGRLLEIALGFTVLLASYTSHGADANLEAGNVKETRASRAKRRGGGGHDALKGPNVCGSRYNAYCCPGWKTLPGGNQCIVPICRHSCGDGFCSRPNMCTCPSGQIAPSCGSRSIQHCNIRCMNGGSCSDDHCLCQKGYIGTHCGQPVCESGCLNGGRCVAPNRCACTYGFTGPQCERDYRTGPCFTVISNQMCQGQLSGIVCTKTLCCATVGRAWGHPCEMCPAQPHPCRRGFIPNIRTGACQDVDECQAIPGLCQGGNCINTVGSFECKCPAGHKLNEVSQKCEDIDECSTIPGICEGGECTNTVSSYFCKCPPGFYTSPDGTRCIDVRPGYCYTALTNGRCSNQLPQSITKMQCCCDAGRCWSPGVTVAPEMCPIRATEDFNKLCSVPMVIPGRPEYPPPPLGPIPPVLPVPPGFPPGPQIPVPRPPVEYLYPSREPPRVLPVNVTDYCQLVRYLCQNGRCIPTPGSCRCECNKGFQLDLRGECIDVDECEKNPCAGGECINNQGSYTCQCRAGYQSTLTRTECRDIDECLQNGRICNNGRCINTDGSFHCVCNAGFHVTRDGKNCEDMDECSIRNMCLNGMCINEDGSFKCICKPGFQLASDGRYCKDINECETPGICMNGRCVNTDGSYRCECFPGLAVGLDGRVCVDTHMRSTCYGGYKRGQCIKPLFGAVTKSECCCASTEYAFGEPCQPCPAQNSAEYQALCSSGPGMTSAGSDINECALDPDICPNGICENLRGTYKCICNSGYEVDSTGKNCVDINECVLNSLLCDNGQCRNTPGSFVCTCPKGFIYKPDLKTCEDIDECESSPCINGVCKNSPGSFICECSSESTLDPTKTICIETIKGTCWQTVIDGRCEININGATLKSQCCSSLGAAWGSPCTLCQVDPICGKGYSRIKGTQCEDIDECEVFPGVCKNGLCVNTRGSFKCQCPSGMTLDATGRICLDIRLETCFLRYEDEECTLPIAGRHRMDACCCSVGAAWGTEECEECPMRNTPEYEELCPRGPGFATKEITNGKPFFKDINECKMIPSLCTHGKCRNTIGSFKCRCDSGFALDSEERNCTDIDECRISPDLCGRGQCVNTPGDFECKCDEGYESGFMMMKNCMDIDECQRDPLLCRGGVCHNTEGSYRCECPPGHQLSPNISACIDINECELSAHLCPNGRCVNLIGKYQCACNPGYHSTPDRLFCVDIDECSIMNGGCETFCTNSEGSYECSCQPGFALMPDQRSCTDIDECEDNPNICDGGQCTNIPGEYRCLCYDGFMASEDMKTCVDVNECDLNPNICLSGTCENTKGSFICHCDMGYSGKKGKTGCTDINECEIGAHNCGKHAVCTNTAGSFKCSCSPGWIGDGIKCTDLDECSNGTHMCSQHADCKNTMGSYRCLCKEGYTGDGFTCTDLDECSENLNLCGNGQCLNAPGGYRCECDMGFVPSADGKACEDIDECSLPNICVFGTCHNLPGLFRCECEIGYELDRSGGNCTDVNECLDPTTCISGNCVNTPGSYICDCPPDFELNPTRVGCVDTRSGNCYLDIRPRGDNGDTACSNEIGVGVSKASCCCSLGKAWGTPCEMCPAVNTSEYKILCPGGEGFRPNPITVILEDIDECQELPGLCQGGKCINTFGSFQCRCPTGYYLNEDTRVCDDVNECETPGICGPGTCYNTVGNYTCICPPDYMQVNGGNNCMDMRRSLCYRNYYADNQTCDGELLFNMTKKMCCCSYNIGRAWNKPCEQCPIPSTDEFATLCGSQRPGFVIDIYTGLPVDIDECREIPGVCENGVCINMVGSFRCECPVGFFYNDKLLVCEDIDECQNGPVCQRNAECINTAGSYRCDCKPGYRFTSTGQCNDRNECQEIPNICSHGQCIDTVGSFYCLCHTGFKTNDDQTMCLDINECERDACGNGTCRNTIGSFNCRCNHGFILSHNNDCIDVDECASGNGNLCRNGQCINTVGSFQCQCNEGYEVAPDGRTCVDINECLLEPRKCAPGTCQNLDGSYRCICPPGYSLQNEKCEDIDECVEEPEICALGTCSNTEGSFKCLCPEGFSLSSSGRRCQDLRMSYCYAKFEGGKCSSPKSRNHSKQECCCALKGEGWGDPCELCPTEPDEAFRQICPYGSGIIVGPDDSAVDMDECKEPDVCKHGQCINTDGSYRCECPFGYILAGNECVDTDECSVGNPCGNGTCKNVIGGFECTCEEGFEPGPMMTCEDINECAQNPLLCAFRCVNTYGSYECKCPVGYVLREDRRMCKDEDECEEGKHDCTEKQMECKNLIGTYMCICGPGYQRRPDGEGCVDENECQTKPGICENGRCLNTRGSYTCECNDGFTASPNQDECLDNREGYCFTEVLQNMCQIGSSNRNPVTKSECCCDGGRGWGPHCEICPFQGTVAFKKLCPHGRGFMTNGADIDECKVIHDVCRNGECVNDRGSYHCICKTGYTPDITGTSCVDLNECNQAPKPCNFICKNTEGSYQCSCPKGYILQEDGRSCKDLDECATKQHNCQFLCVNTIGGFTCKCPPGFTQHHTSCIDNNECTSDINLCGSKGICQNTPGSFTCECQRGFSLDQTGSSCEDVDECEGNHRCQHGCQNIIGGYRCSCPQGYLQHYQWNQCVDENECLSAHICGGASCHNTLGSYKCMCPAGFQYEQFSGGCQDINECGSAQAPCSYGCSNTEGGYLCGCPPGYFRIGQGHCVSGMGMGRGNPEPPVSGEMDDNSLSPEACYECKINGYPKRGRKRRSTNETDASNIEDQSETEANVSLASWDVEKTAIFAFNISHVSNKVRILELLPALTTLTNHNRYLIESGNEDGFFKINQKEGISYLHFTKKKPVAGTYSLQISSTPLYKKKELNQLEDKYDKDYLSGELGDNLKMKIQVLLH
+>DECOY_sp|P35555|FBN1_HUMAN Fibrillin-1 OS=Homo sapiens OX=9606 GN=FBN1 PE=1 SV=3
+HLLVQIKMKLNDGLEGSLYDKDYKDELQNLEKKKYLPTSSIQLSYTGAVPKKKTFHLYSIGEKQNIKFFGDENGSEILYRNHNTLTTLAPLLELIRVKNSVHSINFAFIATKEVDWSALSVNAETESQDEINSADTENTSRRKRGRKPYGNIKCEYCAEPSLSNDDMEGSVPPEPNGRGMGMGSVCHGQGIRFYGPPCGCLYGGETNSCGYSCPAQASGCENIDQCGGSFQEYQFGAPCMCKYSGLTNHCSAGGCIHASLCENEDVCQNWQYHQLYGQPCSCRYGGIINQCGHQCRHNGECEDVDECSSGTQDLSFGRQCECTFSGPTNQCIGKSGCLNIDSTCENNDICSTHHQTFGPPCKCTFGGITNVCLFQCNHQKTACEDLDKCSRGDEQLIYGKPCSCQYSGETNKCIFNCPKPAQNCENLDVCSTGTIDPTYGTKCICHYSGRDNVCEGNRCVDHIVKCEDIDAGNTMFGRGHPCLKKFAVTGQFPCIECHPGWGRGGDCCCESKTVPNRNSSGIQCMNQLVETFCYGERNDLCEDQNPSATFGDNCECTYSGRTNLCRGNECIGPKTQCENEDVCGEGDPRRQYGPGCICMYTGILNKCEMQKETCDHKGEECEDEDKCMRRDERLVYGVPCKCEYSGYTNVCRFACLLPNQACENIDECTMMPGPEFGEECTCEFGGIVNKCTGNGCPNGVSCEDTDVCENGALIYGFPCECRYSGDTNICQGHKCVDPEKCEDMDVASDDPGVIIGSGYPCIQRFAEDPETPCLECPDGWGEGKLACCCEQKSHNRSKPSSCKGGEFKAYCYSMRLDQCRRGSSSLSFGEPCLCKFSGETNSCTGLACIEPEEVCEDIDECKENQLSYGPPCICRYSGDLNQCTGPACKRPELLCENIDVCTRGDPAVEYGENCQCQFSGVTNICQGNRCLNGNGSACEDVDICDNNHSLIFGHNCRCNFSGITNRCTGNGCADRECENIDLCMTQDDNTKFGTHCLCYFSGVTDICQGHSCINPIEQCENRDNCQGTSTFRYGPKCDCRYSGATNICEANRQCVPGNQCEDIDECVLLKDNYFFGVPCECRFSGVMNICVGNECVGPIERCEDIDVPLGTYIDIVFGPRQSGCLTAFEDTSPIPCQECPKNWARGINYSCCCMKKTMNFLLEGDCTQNDAYYNRYCLSRRMDMCNNGGNVQMYDPPCICTYNGVTNYCTGPGCIGPTECENVDDCVRTDENLYYGTPCRCQFSGFTNICKGGQCLGPLEQCEDIDELIVTIPNPRFGEGGPCLIKYESTNVAPCMECPTGWAKGLSCCCSAKSVGVGIENSCATDGNDGRPRIDLYCNGSRTDVCGVRTPNLEFDPPCDCIYSGPTNVCNGSICTTPDLCENVDTCNGGSRDLEYGIECECRFLGPLNHCTGFVCINPLSCEDIDECAKGDASPVFGMDCECRYGGPANLCQGNGCLNLNESCEDLDTCTFGDGTYGEKCLCRYSGMTNKCDAHQSCMHTGNSCEDLDTCKIGDGIWGPSCSCKFSGATNTCVAHKGCNHAGIECENIDTCGTKGKKGSYGMDCHCIFSGKTNECTGSLCINPNLDCENVDVCTKMDESAMFGDYCLCRYEGPINTCQGGDCINPNDECEDIDTCSRQDPMLAFGPQCSCEYSGESNTCFTECGGNMISCEDIDVCFLRDPTSHYGPNCACQYKGILNVCRGNPCLHASLECENIDICASINPSLQHGPPCECRYSGETNHCVGGRCLLPDRQCEDIDMCNKMMMFGSEYGEDCKCEFDGPTNVCQGRGCLDPSIRCEDIDTCNREESDLAFGSDCRCKFSGITNRCKGHTCLSPIMKCENIDKFFPKGNTIEKTAFGPGRPCLEEYEPTNRMPCEECEETGWAAGVSCCCADMRHRGAIPLTCEEDEYRLFCTELRIDLCIRGTADLTMGSPCQCKFSGRTNVCLGNKCVGPFVECEDIDECQTGKIRSYGKGCIPDVQCLTCPSGWAAGLSSCCQSKLTAGNINIECRGDIVTQWCTGKITEICITKTPDLTSESSCECIFSGPSNKCVGNICPSSECEDIDECTKLDPKYIFGKPCTCVFSGPTNRCQGNDCLLSNLVCENIDVCNKGTSDVEYGSNCICKYTGRLNECIGNPCIDPDLACENIDSGASTMGPGSSCLAQYEASNQAPCPQCPEGFAYETSACCCESKTVAGFLPKICQGRKYGGYCTSRMHTDVCVRGDLGVALGPFCECRYSGDTNVCRGNMCIGPTECENIDKCYRGDSALQFGPKCICKFSGDENICMGNLCMNRISCEDMDECNKGDRTVHFGANCVCHFSGDTNICRGNNCIRGNQLCEDIDRCETRTLTSQYGARCQCTYSGQNNICEGGACPNKECEDVDICEGRLDLQFGKNCECRCSGPTPICRGNQCLYRVLQCYDTVNVPLVRPPERSPYLYEVPPRPVPIQPGPPFGPPVPLVPPIPGLPPPPYEPRGPIVMPVSCLKNFDETARIPCMEPAVTVGPSWCRGADCCCQMKTISQPLQNSCRGNTLATYCYGPRVDICRTGDPSTYFGPPCKCFYSSVTNTCEGGECIGPITSCEDIDECKQSVENLKHGAPCKCEFSGVTNICNGGQCLGPIAQCEDVDQCAGTRINPIFGRRCPHPQAPCMECPHGWARGVTACCLTKTCVIGSLQGQCMQNSIVTFCPGTRYDRECQPGTFGYTCACRNPAVCRGGNLCGSECVPQGCHTGIYGKQCLCHDDSCSGGNMCRINCHQISRSGCSPAIQGSPCTCMNPRSCFGDGCSHRCIPVICQNGGPLTKWGPCCYANYRSGCVNPGKLADHGGGGRRKARSARTEKVNGAELNADAGHSTYSALLVTFGLAIELLRGRRM
+>sp|A6NFH5|FBP12_HUMAN Fatty acid-binding protein 12 OS=Homo sapiens OX=9606 GN=FABP12 PE=2 SV=2
+MIDQLQGTWKSISCENSEDYMKELGIGRASRKLGRLAKPTVTISTDGDVITIKTKSIFKNNEISFKLGEEFEEITPGGHKTKSKVTLDKESLIQVQDWDGKETTITRKLVDGKMVVESTVNSVICTRTYEKVSSNSVSNS
+>DECOY_sp|A6NFH5|FBP12_HUMAN Fatty acid-binding protein 12 OS=Homo sapiens OX=9606 GN=FABP12 PE=2 SV=2
+SNSVSNSSVKEYTRTCIVSNVTSEVVMKGDVLKRTITTEKGDWDQVQILSEKDLTVKSKTKHGGPTIEEFEEGLKFSIENNKFISKTKITIVDGDTSITVTPKALRGLKRSARGIGLEKMYDESNECSISKWTGQLQDIM
+>sp|Q5T0N5|FBP1L_HUMAN Formin-binding protein 1-like OS=Homo sapiens OX=9606 GN=FNBP1L PE=1 SV=3
+MSWGTELWDQFDSLDKHTQWGIDFLERYAKFVKERIEIEQNYAKQLRNLVKKYCPKRSSKDEEPRFTSCVAFFNILNELNDYAGQREVVAEEMAHRVYGELMRYAHDLKTERKMHLQEGRKAQQYLDMCWKQMDNSKKKFERECREAEKAQQSYERLDNDTNATKADVEKAKQQLNLRTHMADENKNEYAAQLQNFNGEQHKHFYVVIPQIYKQLQEMDERRTIKLSECYRGFADSERKVIPIISKCLEGMILAAKSVDERRDSQMVVDSFKSGFEPPGDFPFEDYSQHIYRTISDGTISASKQESGKMDAKTTVGKAKGKLWLFGKKPKPQSPPLTPTSLFTSSTPNGSQFLTFSIEPVHYCMNEIKTGKPRIPSFRSLKRGWSVKMGPALEDFSHLPPEQRRKKLQQRIDELNRELQKESDQKDALNKMKDVYEKNPQMGDPGSLQPKLAETMNNIDRLRMEIHKNEAWLSEVEGKTGGRGDRRHSSDINHLVTQGRESPEGSYTDDANQEVRGPPQQHGHHNEFDDEFEDDDPLPAIGHCKAIYPFDGHNEGTLAMKEGEVLYIIEEDKGDGWTRARRQNGEEGYVPTSYIDVTLEKNSKGS
+>DECOY_sp|Q5T0N5|FBP1L_HUMAN Formin-binding protein 1-like OS=Homo sapiens OX=9606 GN=FNBP1L PE=1 SV=3
+SGKSNKELTVDIYSTPVYGEEGNQRRARTWGDGKDEEIIYLVEGEKMALTGENHGDFPYIAKCHGIAPLPDDDEFEDDFENHHGHQQPPGRVEQNADDTYSGEPSERGQTVLHNIDSSHRRDGRGGTKGEVESLWAENKHIEMRLRDINNMTEALKPQLSGPDGMQPNKEYVDKMKNLADKQDSEKQLERNLEDIRQQLKKRRQEPPLHSFDELAPGMKVSWGRKLSRFSPIRPKGTKIENMCYHVPEISFTLFQSGNPTSSTFLSTPTLPPSQPKPKKGFLWLKGKAKGVTTKADMKGSEQKSASITGDSITRYIHQSYDEFPFDGPPEFGSKFSDVVMQSDRREDVSKAALIMGELCKSIIPIVKRESDAFGRYCESLKITRREDMEQLQKYIQPIVVYFHKHQEGNFNQLQAAYENKNEDAMHTRLNLQQKAKEVDAKTANTDNDLREYSQQAKEAERCEREFKKKSNDMQKWCMDLYQQAKRGEQLHMKRETKLDHAYRMLEGYVRHAMEEAVVERQGAYDNLENLINFFAVCSTFRPEEDKSSRKPCYKKVLNRLQKAYNQEIEIREKVFKAYRELFDIGWQTHKDLSDFQDWLETGWSM
+>sp|Q6X9E4|FBW12_HUMAN F-box/WD repeat-containing protein 12 OS=Homo sapiens OX=9606 GN=FBXW12 PE=2 SV=2
+MEIRLPDLALKRIFSFLDLFGLLQVSQVNKHWNRIADSDYLWRSLSLQRWDCSNFTNQHLGTHTWKQFFLHQRRKELRLALAQPHNFIYKVTKNIAFETELAYLSGNRLTVDEQEKSIICSVSPKQELCAWDVQEGTMIWSSPVQEFHFSNLVTLPQMHLAITMDRKKTIKVWNCQDRDALAVLPMPQPCYCMEAYLTKDGPFLMVGDAAGDIYTFTLPGLRDVSKVTAFQYGIVLLHCSPDKKWVFACGTYSRTLPQVFLTESLLRPSEGSVPLSTFLPHKLCASACWTPKVKNRITLMSQSSTGKKTEFITFDLTTKKTGGQTVIQAYEIASFQVAAHLKCPIWMGASDGYMIVFTSGPYLLLFSITGFLLQRFEDHQAAINNFWVDPCYVLTTSENSVHVYMWEEGGRHPYLRSCCHLENTWHDHTTDSCISSVMCDNASIVLRVRKVSDSSILVMYSLNT
+>DECOY_sp|Q6X9E4|FBW12_HUMAN F-box/WD repeat-containing protein 12 OS=Homo sapiens OX=9606 GN=FBXW12 PE=2 SV=2
+TNLSYMVLISSDSVKRVRLVISANDCMVSSICSDTTHDHWTNELHCCSRLYPHRGGEEWMYVHVSNESTTLVYCPDVWFNNIAAQHDEFRQLLFGTISFLLLYPGSTFVIMYGDSAGMWIPCKLHAAVQFSAIEYAQIVTQGGTKKTTLDFTIFETKKGTSSQSMLTIRNKVKPTWCASACLKHPLFTSLPVSGESPRLLSETLFVQPLTRSYTGCAFVWKKDPSCHLLVIGYQFATVKSVDRLGPLTFTYIDGAADGVMLFPGDKTLYAEMCYCPQPMPLVALADRDQCNWVKITKKRDMTIALHMQPLTVLNSFHFEQVPSSWIMTGEQVDWACLEQKPSVSCIISKEQEDVTLRNGSLYALETEFAINKTVKYIFNHPQALALRLEKRRQHLFFQKWTHTGLHQNTFNSCDWRQLSLSRWLYDSDAIRNWHKNVQSVQLLGFLDLFSFIRKLALDPLRIEM
+>sp|Q8TCJ0|FBX25_HUMAN F-box only protein 25 OS=Homo sapiens OX=9606 GN=FBXO25 PE=1 SV=3
+MPFLGQDWRSPGWSWIKTEDGWKRCESCSQKLERENNRCNISHSIILNSEDGEIFNNEEHEYASKKRKKDHFRNDTNTQSFYREKWIYVHKESTKERHGYCTLGEAFNRLDFSSAIQDIRRFNYVVKLLQLIAKSQLTSLSGVAQKNYFNILDKIVQKVLDDHHNPRLIKDLLQDLSSTLCILIRGVGKSVLVGNINIWICRLETILAWQQQLQDLQMTKQVNNGLTLSDLPLHMLNNILYRFSDGWDIITLGQVTPTLYMLSEDRQLWKKLCQYHFAEKQFCRHLILSEKGHIEWKLMYFALQKHYPAKEQYGDTLHFCRHCSILFWKDYHLALLFKDSGHPCTAADPDSCFTPVSPQHFIDLFKF
+>DECOY_sp|Q8TCJ0|FBX25_HUMAN F-box only protein 25 OS=Homo sapiens OX=9606 GN=FBXO25 PE=1 SV=3
+FKFLDIFHQPSVPTFCSDPDAATCPHGSDKFLLALHYDKWFLISCHRCFHLTDGYQEKAPYHKQLAFYMLKWEIHGKESLILHRCFQKEAFHYQCLKKWLQRDESLMYLTPTVQGLTIIDWGDSFRYLINNLMHLPLDSLTLGNNVQKTMQLDQLQQQWALITELRCIWININGVLVSKGVGRILICLTSSLDQLLDKILRPNHHDDLVKQVIKDLINFYNKQAVGSLSTLQSKAILQLLKVVYNFRRIDQIASSFDLRNFAEGLTCYGHREKTSEKHVYIWKERYFSQTNTDNRFHDKKRKKSAYEHEENNFIEGDESNLIISHSINCRNNERELKQSCSECRKWGDETKIWSWGPSRWDQGLFPM
+>sp|Q8TF61|FBX41_HUMAN F-box only protein 41 OS=Homo sapiens OX=9606 GN=FBXO41 PE=2 SV=5
+MASLDLPYRCPRCGEHKRFRSLSSLRAHLEYSHTYETLYILSKTNSICDGAAAAAAAAAAASGFPLAPEPAALLAVPGARREVFESTSFQGKEQAAGPSPAAPHLLHHHHHHAPLAHFPGDLVPASLPCEELAEPGLVPAAAARYALREIEIPLGELFARKSVASSACSTPPPGPGPGPCPGPASASPASPSPADVAYEEGLARLKIRALEKLEVDRRLERLSEEVEQKIAGQVGRLQAELERKAAELETARQESARLGREKEELEERASELSRQVDVSVELLASLKQDLVHKEQELSRKQQEVVQIDQFLKETAAREASAKLRLQQFIEELLERADRAERQLQVISSSCGSTPSASLGRGGGGGGAGPNARGPGRMREHHVGPAVPNTYAVSRHGSSPSTGASSRVPAASQSSGCYDSDSLELPRPEEGAPEDSGPGGLGTRAQAANGGSERSQPPRSSGLRRQAIQNWQRRPRRHSTEGEEGDVSDVGSRTTESEAEGPLDAPRPGPAMAGPLSSCRLSARPEGGSGRGRRAERVSPSRSNEVISPEILKMRAALFCIFTYLDTRTLLHAAEVCRDWRFVARHPAVWTRVLLENARVCSKFLAMLAQWCTQAHSLTLQNLKPRQRGKKESKEEYARSTRGCLEAGLESLLKAAGGNLLILRISHCPNILTDRSLWLASCYCRALQAVTYRSATDPVGHEVIWALGAGCREIVSLQVAPLHPCQQPTRFSNRCLQMIGRCWPHLRALGVGGAGCGVQGLASLARNCMRLQVLELDHVSEITQEVAAEVCREGLKGLEMLVLTATPVTPKALLHFNSICRNLKSIVVQIGIADYFKEPSSPEAQKLFEDMVTKLQALRRRPGFSKILHIKVEGGC
+>DECOY_sp|Q8TF61|FBX41_HUMAN F-box only protein 41 OS=Homo sapiens OX=9606 GN=FBXO41 PE=2 SV=5
+CGGEVKIHLIKSFGPRRRLAQLKTVMDEFLKQAEPSSPEKFYDAIGIQVVISKLNRCISNFHLLAKPTVPTATLVLMELGKLGERCVEAAVEQTIESVHDLELVQLRMCNRALSALGQVGCGAGGVGLARLHPWCRGIMQLCRNSFRTPQQCPHLPAVQLSVIERCGAGLAWIVEHGVPDTASRYTVAQLARCYCSALWLSRDTLINPCHSIRLILLNGGAAKLLSELGAELCGRTSRAYEEKSEKKGRQRPKLNQLTLSHAQTCWQALMALFKSCVRANELLVRTWVAPHRAVFRWDRCVEAAHLLTRTDLYTFICFLAARMKLIEPSIVENSRSPSVREARRGRGSGGEPRASLRCSSLPGAMAPGPRPADLPGEAESETTRSGVDSVDGEEGETSHRRPRRQWNQIAQRRLGSSRPPQSRESGGNAAQARTGLGGPGSDEPAGEEPRPLELSDSDYCGSSQSAAPVRSSAGTSPSSGHRSVAYTNPVAPGVHHERMRGPGRANPGAGGGGGGRGLSASPTSGCSSSIVQLQREARDARELLEEIFQQLRLKASAERAATEKLFQDIQVVEQQKRSLEQEKHVLDQKLSALLEVSVDVQRSLESAREELEEKERGLRASEQRATELEAAKRELEAQLRGVQGAIKQEVEESLRELRRDVELKELARIKLRALGEEYAVDAPSPSAPSASAPGPCPGPGPGPPPTSCASSAVSKRAFLEGLPIEIERLAYRAAAAPVLGPEALEECPLSAPVLDGPFHALPAHHHHHHLLHPAAPSPGAAQEKGQFSTSEFVERRAGPVALLAAPEPALPFGSAAAAAAAAAAAGDCISNTKSLIYLTEYTHSYELHARLSSLSRFRKHEGCRPCRYPLDLSAM
+>sp|Q9H4M3|FBX44_HUMAN F-box only protein 44 OS=Homo sapiens OX=9606 GN=FBXO44 PE=1 SV=3
+MAVGNINELPENILLELFTHVPARQLLLNCRLVCSLWRDLIDLVTLWKRKCLREGFITEDWDQPVADWKIFYFLRSLHRNLLHNPCAEEGFEFWSLDVNGGDEWKVEDLSRDQRKEFPNDQVKKYFVTSYYTCLKSQVVDLKAEGYWEELMDTTRPDIEVKDWFAARPDCGSKYQLCVQLLSSAHAPLGTFQPDPATIQQKSDAKWREVSHTFSNYPPGVRYIWFQHGGVDTHYWAGWYGPRVTNSSITIGPPLP
+>DECOY_sp|Q9H4M3|FBX44_HUMAN F-box only protein 44 OS=Homo sapiens OX=9606 GN=FBXO44 PE=1 SV=3
+PLPPGITISSNTVRPGYWGAWYHTDVGGHQFWIYRVGPPYNSFTHSVERWKADSKQQITAPDPQFTGLPAHASSLLQVCLQYKSGCDPRAAFWDKVEIDPRTTDMLEEWYGEAKLDVVQSKLCTYYSTVFYKKVQDNPFEKRQDRSLDEVKWEDGGNVDLSWFEFGEEACPNHLLNRHLSRLFYFIKWDAVPQDWDETIFGERLCKRKWLTVLDILDRWLSCVLRCNLLLQRAPVHTFLELLINEPLENINGVAM
+>sp|Q9UKT5|FBX4_HUMAN F-box only protein 4 OS=Homo sapiens OX=9606 GN=FBXO4 PE=1 SV=2
+MAGSEPRSGTNSPPPPFSDWGRLEAAILSGWKTFWQSVSKERVARTTSREEVDEAASTLTRLPIDVQLYILSFLSPHDLCQLGSTNHYWNETVRDPILWRYFLLRDLPSWSSVDWKSLPDLEILKKPISEVTDGAFFDYMAVYRMCCPYTRRASKSSRPMYGAVTSFLHSLIIQNEPRFAMFGPGLEELNTSLVLSLMSSEELCPTAGLPQRQIDGIGSGVNFQLNNQHKFNILILYSTTRKERDRAREEHTSAVNKMFSRHNEGDDQQGSRYSVIPQIQKVCEVVDGFIYVANAEAHKRHEWQDEFSHIMAMTDPAFGSSGRPLLVLSCISQGDVKRMPCFYLAHELHLNLLNHPWLVQDTEAETLTGFLNGIEWILEEVESKRAR
+>DECOY_sp|Q9UKT5|FBX4_HUMAN F-box only protein 4 OS=Homo sapiens OX=9606 GN=FBXO4 PE=1 SV=2
+RARKSEVEELIWEIGNLFGTLTEAETDQVLWPHNLLNLHLEHALYFCPMRKVDGQSICSLVLLPRGSSGFAPDTMAMIHSFEDQWEHRKHAEANAVYIFGDVVECVKQIQPIVSYRSGQQDDGENHRSFMKNVASTHEERARDREKRTTSYLILINFKHQNNLQFNVGSGIGDIQRQPLGATPCLEESSMLSLVLSTNLEELGPGFMAFRPENQIILSHLFSTVAGYMPRSSKSARRTYPCCMRYVAMYDFFAGDTVESIPKKLIELDPLSKWDVSSWSPLDRLLFYRWLIPDRVTENWYHNTSGLQCLDHPSLFSLIYLQVDIPLRTLTSAAEDVEERSTTRAVREKSVSQWFTKWGSLIAAELRGWDSFPPPPSNTGSRPESGAM
+>sp|Q5XUX1|FBXW9_HUMAN F-box/WD repeat-containing protein 9 OS=Homo sapiens OX=9606 GN=FBXW9 PE=1 SV=2
+MELPLGRCDDSRTWDDDSDPESETDPDAQAKAYVARVLSPPKSGLAFSRPSQLSTPAASPSASEPRAASRVSAVSEPGLLSLPPELLLEICSYLDARLVLHVLSRVCHALRDLVSDHVTWRLRALRRVRAPYPVVEEKNFDWPAACIALEQHLSRWAEDGRWVEYFCLAEGHVASVDSVLLLQGGSLCLSGSRDRNVNLWDLRQLGTESNQVLIKTLGTKRNSTHEGWVWSLAAQDHRVCSGSWDSTVKLWDMAADGQQFGEIKASSAVLCLSYLPDILVTGTYDKKVTIYDPRDRMETRDALMGWGGPSRGSDIPPHRPITHEAGPALLKHQQLHSRPVLTLLADDRHIISGSEDHTLVVVDRRANSVLQRLQLDSYLLCMSYQEPQLWAGDNQGLLHVFANRNGCFQLIRSFDVGHSFPITGIQYSVGALYTTSTDKTIRVHVPTDPPRTICTRRHDNGLNRVCAEGNLVVAGSGDLSLEVWRLQA
+>DECOY_sp|Q5XUX1|FBXW9_HUMAN F-box/WD repeat-containing protein 9 OS=Homo sapiens OX=9606 GN=FBXW9 PE=1 SV=2
+AQLRWVELSLDGSGAVVLNGEACVRNLGNDHRRTCITRPPDTPVHVRITKDTSTTYLAGVSYQIGTIPFSHGVDFSRILQFCGNRNAFVHLLGQNDGAWLQPEQYSMCLLYSDLQLRQLVSNARRDVVVLTHDESGSIIHRDDALLTLVPRSHLQQHKLLAPGAEHTIPRHPPIDSGRSPGGWGMLADRTEMRDRPDYITVKKDYTGTVLIDPLYSLCLVASSAKIEGFQQGDAAMDWLKVTSDWSGSCVRHDQAALSWVWGEHTSNRKTGLTKILVQNSETGLQRLDWLNVNRDRSGSLCLSGGQLLLVSDVSAVHGEALCFYEVWRGDEAWRSLHQELAICAAPWDFNKEEVVPYPARVRRLARLRWTVHDSVLDRLAHCVRSLVHLVLRADLYSCIELLLEPPLSLLGPESVASVRSAARPESASPSAAPTSLQSPRSFALGSKPPSLVRAVYAKAQADPDTESEPDSDDDWTRSDDCRGLPLEM
+>sp|P12319|FCERA_HUMAN High affinity immunoglobulin epsilon receptor subunit alpha OS=Homo sapiens OX=9606 GN=FCER1A PE=1 SV=1
+MAPAMESPTLLCVALLFFAPDGVLAVPQKPKVSLNPPWNRIFKGENVTLTCNGNNFFEVSSTKWFHNGSLSEETNSSLNIVNAKFEDSGEYKCQHQQVNESEPVYLEVFSDWLLLQASAEVVMEGQPLFLRCHGWRNWDVYKVIYYKDGEALKYWYENHNISITNATVEDSGTYYCTGKVWQLDYESEPLNITVIKAPREKYWLQFFIPLLVVILFAVDTGLFISTQQQVTFLLKIKRTRKGFRLLNPHPKPNPKNN
+>DECOY_sp|P12319|FCERA_HUMAN High affinity immunoglobulin epsilon receptor subunit alpha OS=Homo sapiens OX=9606 GN=FCER1A PE=1 SV=1
+NNKPNPKPHPNLLRFGKRTRKIKLLFTVQQQTSIFLGTDVAFLIVVLLPIFFQLWYKERPAKIVTINLPESEYDLQWVKGTCYYTGSDEVTANTISINHNEYWYKLAEGDKYYIVKYVDWNRWGHCRLFLPQGEMVVEASAQLLLWDSFVELYVPESENVQQHQCKYEGSDEFKANVINLSSNTEESLSGNHFWKTSSVEFFNNGNCTLTVNEGKFIRNWPPNLSVKPKQPVALVGDPAFFLLAVCLLTPSEMAPAM
+>sp|O00602|FCN1_HUMAN Ficolin-1 OS=Homo sapiens OX=9606 GN=FCN1 PE=1 SV=2
+MELSGATMARGLAVLLVLFLHIKNLPAQAADTCPEVKVVGLEGSDKLTILRGCPGLPGAPGPKGEAGVIGERGERGLPGAPGKAGPVGPKGDRGEKGMRGEKGDAGQSQSCATGPRNCKDLLDRGYFLSGWHTIYLPDCRPLTVLCDMDTDGGGWTVFQRRMDGSVDFYRDWAAYKQGFGSQLGEFWLGNDNIHALTAQGSSELRVDLVDFEGNHQFAKYKSFKVADEAEKYKLVLGAFVGGSAGNSLTGHNNNFFSTKDQDNDVSSSNCAEKFQGAWWYADCHASNLNGLYLMGPHESYANGINWSAAKGYKYSYKVSEMKVRPA
+>DECOY_sp|O00602|FCN1_HUMAN Ficolin-1 OS=Homo sapiens OX=9606 GN=FCN1 PE=1 SV=2
+APRVKMESVKYSYKYGKAASWNIGNAYSEHPGMLYLGNLNSAHCDAYWWAGQFKEACNSSSVDNDQDKTSFFNNNHGTLSNGASGGVFAGLVLKYKEAEDAVKFSKYKAFQHNGEFDVLDVRLESSGQATLAHINDNGLWFEGLQSGFGQKYAAWDRYFDVSGDMRRQFVTWGGGDTDMDCLVTLPRCDPLYITHWGSLFYGRDLLDKCNRPGTACSQSQGADGKEGRMGKEGRDGKPGVPGAKGPAGPLGREGREGIVGAEGKPGPAGPLGPCGRLITLKDSGELGVVKVEPCTDAAQAPLNKIHLFLVLLVALGRAMTAGSLEM
+>sp|Q15485|FCN2_HUMAN Ficolin-2 OS=Homo sapiens OX=9606 GN=FCN2 PE=1 SV=2
+MELDRAVGVLGAATLLLSFLGMAWALQAADTCPEVKMVGLEGSDKLTILRGCPGLPGAPGPKGEAGTNGKRGERGPPGPPGKAGPPGPNGAPGEPQPCLTGPRTCKDLLDRGHFLSGWHTIYLPDCRPLTVLCDMDTDGGGWTVFQRRVDGSVDFYRDWATYKQGFGSRLGEFWLGNDNIHALTAQGTSELRVDLVDFEDNYQFAKYRSFKVADEAEKYNLVLGAFVEGSAGDSLTFHNNQSFSTKDQDNDLNTGNCAVMFQGAWWYKNCHVSNLNGRYLRGTHGSFANGINWKSGKGYNYSYKVSEMKVRPA
+>DECOY_sp|Q15485|FCN2_HUMAN Ficolin-2 OS=Homo sapiens OX=9606 GN=FCN2 PE=1 SV=2
+APRVKMESVKYSYNYGKGSKWNIGNAFSGHTGRLYRGNLNSVHCNKYWWAGQFMVACNGTNLDNDQDKTSFSQNNHFTLSDGASGEVFAGLVLNYKEAEDAVKFSRYKAFQYNDEFDVLDVRLESTGQATLAHINDNGLWFEGLRSGFGQKYTAWDRYFDVSGDVRRQFVTWGGGDTDMDCLVTLPRCDPLYITHWGSLFHGRDLLDKCTRPGTLCPQPEGPAGNPGPPGAKGPPGPPGREGRKGNTGAEGKPGPAGPLGPCGRLITLKDSGELGVMKVEPCTDAAQLAWAMGLFSLLLTAAGLVGVARDLEM
+>sp|Q6P4F2|FDX2_HUMAN Ferredoxin-2, mitochondrial OS=Homo sapiens OX=9606 GN=FDX2 PE=1 SV=1
+MAASMARGGVSARVLLQAARGTWWNRPGGTSGSGEGVALGTTRKFQATGSRPAGEEDAGGPERPGDVVNVVFVDRSGQRIPVSGRVGDNVLHLAQRHGVDLEGACEASLACSTCHVYVSEDHLDLLPPPEEREDDMLDMAPLLQENSRLGCQIVLTPELEGAEFTLPKITRNFYVDGHVPKPH
+>DECOY_sp|Q6P4F2|FDX2_HUMAN Ferredoxin-2, mitochondrial OS=Homo sapiens OX=9606 GN=FDX2 PE=1 SV=1
+HPKPVHGDVYFNRTIKPLTFEAGELEPTLVIQCGLRSNEQLLPAMDLMDDEREEPPPLLDLHDESVYVHCTSCALSAECAGELDVGHRQALHLVNDGVRGSVPIRQGSRDVFVVNVVDGPREPGGADEEGAPRSGTAQFKRTTGLAVGEGSGSTGGPRNWWTGRAAQLLVRASVGGRAMSAAM
+>sp|A4GXA9|EME2_HUMAN Probable crossover junction endonuclease EME2 OS=Homo sapiens OX=9606 GN=EME2 PE=1 SV=3
+MARVGPGRAGVSCQGRGRGRGGSGQRRPPTWEISDSDAEDSAGSEAAARARDPAGERRAAAEALRLLRPEQVLKRLAVCVDTAILEDAGADVLMEALEALGCECRIEPQRPARSLRWTRASPDPCPRSLPPEVWAAGEQELLLLLEPEEFLQGVATLTQISGPTHWVPWISPETTARPHLAVIGLDAYLWSRQHVSRGTQQPESPKVAGAEVAVSWPEVEEALVLLQLWANLDVLLVASWQELSRHVCAVTKALAQYPLKQYRESQAFSFCTAGRWAAGEPVARDGAGLQAAWRRQIRQFSRVSPAVADAVVTAFPSPRLLQQALEACSTERERMGLLADLPVPPSEGGRPRRVGPDLSRRICLFLTTANPDLLLDLGS
+>DECOY_sp|A4GXA9|EME2_HUMAN Probable crossover junction endonuclease EME2 OS=Homo sapiens OX=9606 GN=EME2 PE=1 SV=3
+SGLDLLLDPNATTLFLCIRRSLDPGVRRPRGGESPPVPLDALLGMRERETSCAELAQQLLRPSPFATVVADAVAPSVRSFQRIQRRWAAQLGAGDRAVPEGAAWRGATCFSFAQSERYQKLPYQALAKTVACVHRSLEQWSAVLLVDLNAWLQLLVLAEEVEPWSVAVEAGAVKPSEPQQTGRSVHQRSWLYADLGIVALHPRATTEPSIWPVWHTPGSIQTLTAVGQLFEEPELLLLLEQEGAAWVEPPLSRPCPDPSARTWRLSRAPRQPEIRCECGLAELAEMLVDAGADELIATDVCVALRKLVQEPRLLRLAEAAARREGAPDRARAAAESGASDEADSDSIEWTPPRRQGSGGRGRGRGQCSVGARGPGVRAM
+>sp|Q04743|EMX2_HUMAN Homeobox protein EMX2 OS=Homo sapiens OX=9606 GN=EMX2 PE=1 SV=2
+MFQPAPKRCFTIESLVAKDSPLPASRSEDPIRPAALSYANSSPINPFLNGFHSAAAAAAGRGVYSNPDLVFAEAVSHPPNPAVPVHPVPPPHALAAHPLPSSHSPHPLFASQQRDPSTFYPWLIHRYRYLGHRFQGNDTSPESFLLHNALARKPKRIRTAFSPSQLLRLEHAFEKNHYVVGAERKQLAHSLSLTETQVKVWFQNRRTKFKRQKLEEEGSDSQQKKKGTHHINRWRIATKQASPEEIDVTSDD
+>DECOY_sp|Q04743|EMX2_HUMAN Homeobox protein EMX2 OS=Homo sapiens OX=9606 GN=EMX2 PE=1 SV=2
+DDSTVDIEEPSAQKTAIRWRNIHHTGKKKQQSDSGEEELKQRKFKTRRNQFWVKVQTETLSLSHALQKREAGVVYHNKEFAHELRLLQSPSFATRIRKPKRALANHLLFSEPSTDNGQFRHGLYRYRHILWPYFTSPDRQQSAFLPHPSHSSPLPHAALAHPPPVPHVPVAPNPPHSVAEAFVLDPNSYVGRGAAAAAASHFGNLFPNIPSSNAYSLAAPRIPDESRSAPLPSDKAVLSEITFCRKPAPQFM
+>sp|P09104|ENOG_HUMAN Gamma-enolase OS=Homo sapiens OX=9606 GN=ENO2 PE=1 SV=3
+MSIEKIWAREILDSRGNPTVEVDLYTAKGLFRAAVPSGASTGIYEALELRDGDKQRYLGKGVLKAVDHINSTIAPALISSGLSVVEQEKLDNLMLELDGTENKSKFGANAILGVSLAVCKAGAAERELPLYRHIAQLAGNSDLILPVPAFNVINGGSHAGNKLAMQEFMILPVGAESFRDAMRLGAEVYHTLKGVIKDKYGKDATNVGDEGGFAPNILENSEALELVKEAIDKAGYTEKIVIGMDVAASEFYRDGKYDLDFKSPTDPSRYITGDQLGALYQDFVRDYPVVSIEDPFDQDDWAAWSKFTANVGIQIVGDDLTVTNPKRIERAVEEKACNCLLLKVNQIGSVTEAIQACKLAQENGWGVMVSHRSGETEDTFIADLVVGLCTGQIKTGAPCRSERLAKYNQLMRIEEELGDEARFAGHNFRNPSVL
+>DECOY_sp|P09104|ENOG_HUMAN Gamma-enolase OS=Homo sapiens OX=9606 GN=ENO2 PE=1 SV=3
+LVSPNRFNHGAFRAEDGLEEEIRMLQNYKALRESRCPAGTKIQGTCLGVVLDAIFTDETEGSRHSVMVGWGNEQALKCAQIAETVSGIQNVKLLLCNCAKEEVAREIRKPNTVTLDDGVIQIGVNATFKSWAAWDDQDFPDEISVVPYDRVFDQYLAGLQDGTIYRSPDTPSKFDLDYKGDRYFESAAVDMGIVIKETYGAKDIAEKVLELAESNELINPAFGGEDGVNTADKGYKDKIVGKLTHYVEAGLRMADRFSEAGVPLIMFEQMALKNGAHSGGNIVNFAPVPLILDSNGALQAIHRYLPLEREAAGAKCVALSVGLIANAGFKSKNETGDLELMLNDLKEQEVVSLGSSILAPAITSNIHDVAKLVGKGLYRQKDGDRLELAEYIGTSAGSPVAARFLGKATYLDVEVTPNGRSDLIERAWIKEISM
+>sp|P54756|EPHA5_HUMAN Ephrin type-A receptor 5 OS=Homo sapiens OX=9606 GN=EPHA5 PE=1 SV=3
+MRGSGPRGAGRRRPPSGGGDTPITPASLAGCYSAPRRAPLWTCLLLCAALRTLLASPSNEVNLLDSRTVMGDLGWIAFPKNGWEEIGEVDENYAPIHTYQVCKVMEQNQNNWLLTSWISNEGASRIFIELKFTLRDCNSLPGGLGTCKETFNMYYFESDDQNGRNIKENQYIKIDTIAADESFTELDLGDRVMKLNTEVRDVGPLSKKGFYLAFQDVGACIALVSVRVYYKKCPSVVRHLAVFPDTITGADSSQLLEVSGSCVNHSVTDEPPKMHCSAEGEWLVPIGKCMCKAGYEEKNGTCQVCRPGFFKASPHIQSCGKCPPHSYTHEEASTSCVCEKDYFRRESDPPTMACTRPPSAPRNAISNVNETSVFLEWIPPADTGGRKDVSYYIACKKCNSHAGVCEECGGHVRYLPRQSGLKNTSVMMVDLLAHTNYTFEIEAVNGVSDLSPGARQYVSVNVTTNQAAPSPVTNVKKGKIAKNSISLSWQEPDRPNGIILEYEIKYFEKDQETSYTIIKSKETTITAEGLKPASVYVFQIRARTAAGYGVFSRRFEFETTPVFAASSDQSQIPVIAVSVTVGVILLAVVIGVLLSGSCCECGCGRASSLCAVAHPSLIWRCGYSKAKQDPEEEKMHFHNGHIKLPGVRTYIDPHTYEDPNQAVHEFAKEIEASCITIERVIGAGEFGEVCSGRLKLPGKRELPVAIKTLKVGYTEKQRRDFLGEASIMGQFDHPNIIHLEGVVTKSKPVMIVTEYMENGSLDTFLKKNDGQFTVIQLVGMLRGISAGMKYLSDMGYVHRDLAARNILINSNLVCKVSDFGLSRVLEDDPEAAYTTRGGKIPIRWTAPEAIAFRKFTSASDVWSYGIVMWEVVSYGERPYWEMTNQDVIKAVEEGYRLPSPMDCPAALYQLMLDCWQKERNSRPKFDEIVNMLDKLIRNPSSLKTLVNASCRVSNLLAEHSPLGSGAYRSVGEWLEAIKMGRYTEIFMENGYSSMDAVAQVTLEDLRRLGVTLVGHQKKIMNSLQEMKVQLVNGMVPL
+>DECOY_sp|P54756|EPHA5_HUMAN Ephrin type-A receptor 5 OS=Homo sapiens OX=9606 GN=EPHA5 PE=1 SV=3
+LPVMGNVLQVKMEQLSNMIKKQHGVLTVGLRRLDELTVQAVADMSSYGNEMFIETYRGMKIAELWEGVSRYAGSGLPSHEALLNSVRCSANVLTKLSSPNRILKDLMNVIEDFKPRSNREKQWCDLMLQYLAAPCDMPSPLRYGEEVAKIVDQNTMEWYPREGYSVVEWMVIGYSWVDSASTFKRFAIAEPATWRIPIKGGRTTYAAEPDDELVRSLGFDSVKCVLNSNILINRAALDRHVYGMDSLYKMGASIGRLMGVLQIVTFQGDNKKLFTDLSGNEMYETVIMVPKSKTVVGELHIINPHDFQGMISAEGLFDRRQKETYGVKLTKIAVPLERKGPLKLRGSCVEGFEGAGIVREITICSAEIEKAFEHVAQNPDEYTHPDIYTRVGPLKIHGNHFHMKEEEPDQKAKSYGCRWILSPHAVACLSSARGCGCECCSGSLLVGIVVALLIVGVTVSVAIVPIQSQDSSAAFVPTTEFEFRRSFVGYGAATRARIQFVYVSAPKLGEATITTEKSKIITYSTEQDKEFYKIEYELIIGNPRDPEQWSLSISNKAIKGKKVNTVPSPAAQNTTVNVSVYQRAGPSLDSVGNVAEIEFTYNTHALLDVMMVSTNKLGSQRPLYRVHGGCEECVGAHSNCKKCAIYYSVDKRGGTDAPPIWELFVSTENVNSIANRPASPPRTCAMTPPDSERRFYDKECVCSTSAEEHTYSHPPCKGCSQIHPSAKFFGPRCVQCTGNKEEYGAKCMCKGIPVLWEGEASCHMKPPEDTVSHNVCSGSVELLQSSDAGTITDPFVALHRVVSPCKKYYVRVSVLAICAGVDQFALYFGKKSLPGVDRVETNLKMVRDGLDLETFSEDAAITDIKIYQNEKINRGNQDDSEFYYMNFTEKCTGLGGPLSNCDRLTFKLEIFIRSAGENSIWSTLLWNNQNQEMVKCVQYTHIPAYNEDVEGIEEWGNKPFAIWGLDGMVTRSDLLNVENSPSALLTRLAACLLLCTWLPARRPASYCGALSAPTIPTDGGGSPPRRRGAGRPGSGRM
+>sp|Q9UF33|EPHA6_HUMAN Ephrin type-A receptor 6 OS=Homo sapiens OX=9606 GN=EPHA6 PE=2 SV=3
+MGGCEVREFLLQFGFFLPLLTAWPGDCSHVSNNQVVLLDTTTVLGELGWKTYPLNGWDAITEMDEHNRPIHTYQVCNVMEPNQNNWLRTNWISRDAAQKIYVEMKFTLRDCNSIPWVLGTCKETFNLFYMESDESHGIKFKPNQYTKIDTIAADESFTQMDLGDRILKLNTEIREVGPIERKGFYLAFQDIGACIALVSVRVFYKKCPFTVRNLAMFPDTIPRVDSSSLVEVRGSCVKSAEERDTPKLYCGADGDWLVPLGRCICSTGYEEIEGSCHACRPGFYKAFAGNTKCSKCPPHSLTYMEATSVCQCEKGYFRAEKDPPSMACTRPPSAPRNVVFNINETALILEWSPPSDTGGRKDLTYSVICKKCGLDTSQCEDCGGGLRFIPRHTGLINNSVIVLDFVSHVNYTFEIEAMNGVSELSFSPKPFTAITVTTDQDAPSLIGVVRKDWASQNSIALSWQAPAFSNGAILDYEIKYYEKEHEQLTYSSTRSKAPSVIITGLKPATKYVFHIRVRTATGYSGYSQKFEFETGDETSDMAAEQGQILVIATAAVGGFTLLVILTLFFLITGRCQWYIKAKMKSEEKRRNHLQNGHLRFPGIKTYIDPDTYEDPSLAVHEFAKEIDPSRIRIERVIGAGEFGEVCSGRLKTPGKREIPVAIKTLKGGHMDRQRRDFLREASIMGQFDHPNIIRLEGVVTKRSFPAIGVEAFCPSFLRAGFLNSIQAPHPVPGGGSLPPRIPAGRPVMIVVEYMENGSLDSFLRKHDGHFTVIQLVGMLRGIASGMKYLSDMGYVHRDLAARNILVNSNLVCKVSDFGLSRVLEDDPEAAYTTTGGKIPIRWTAPEAIAYRKFSSASDAWSYGIVMWEVMSYGERPYWEMSNQDVILSIEEGYRLPAPMGCPASLHQLMLHCWQKERNHRPKFTDIVSFLDKLIRNPSALHTLVEDILVMPESPGEVPEYPLFVTVGDWLDSIKMGQYKNNFVAAGFTTFDLISRMSIDDIRRIGVILIGHQRRIVSSIQTLRLHMMHIQEKGFHV
+>DECOY_sp|Q9UF33|EPHA6_HUMAN Ephrin type-A receptor 6 OS=Homo sapiens OX=9606 GN=EPHA6 PE=2 SV=3
+VHFGKEQIHMMHLRLTQISSVIRRQHGILIVGIRRIDDISMRSILDFTTFGAAVFNNKYQGMKISDLWDGVTVFLPYEPVEGPSEPMVLIDEVLTHLASPNRILKDLFSVIDTFKPRHNREKQWCHLMLQHLSAPCGMPAPLRYGEEISLIVDQNSMEWYPREGYSMVEWMVIGYSWADSASSFKRYAIAEPATWRIPIKGGTTTYAAEPDDELVRSLGFDSVKCVLNSNVLINRAALDRHVYGMDSLYKMGSAIGRLMGVLQIVTFHGDHKRLFSDLSGNEMYEVVIMVPRGAPIRPPLSGGGPVPHPAQISNLFGARLFSPCFAEVGIAPFSRKTVVGELRIINPHDFQGMISAERLFDRRQRDMHGGKLTKIAVPIERKGPTKLRGSCVEGFEGAGIVREIRIRSPDIEKAFEHVALSPDEYTDPDIYTKIGPFRLHGNQLHNRRKEESKMKAKIYWQCRGTILFFLTLIVLLTFGGVAATAIVLIQGQEAAMDSTEDGTEFEFKQSYGSYGTATRVRIHFVYKTAPKLGTIIVSPAKSRTSSYTLQEHEKEYYKIEYDLIAGNSFAPAQWSLAISNQSAWDKRVVGILSPADQDTTVTIATFPKPSFSLESVGNMAEIEFTYNVHSVFDLVIVSNNILGTHRPIFRLGGGCDECQSTDLGCKKCIVSYTLDKRGGTDSPPSWELILATENINFVVNRPASPPRTCAMSPPDKEARFYGKECQCVSTAEMYTLSHPPCKSCKTNGAFAKYFGPRCAHCSGEIEEYGTSCICRGLPVLWDGDAGCYLKPTDREEASKVCSGRVEVLSSSDVRPITDPFMALNRVTFPCKKYFVRVSVLAICAGIDQFALYFGKREIPGVERIETNLKLIRDGLDMQTFSEDAAITDIKTYQNPKFKIGHSEDSEMYFLNFTEKCTGLVWPISNCDRLTFKMEVYIKQAADRSIWNTRLWNNQNPEMVNCVQYTHIPRNHEDMETIADWGNLPYTKWGLEGLVTTTDLLVVQNNSVHSCDGPWATLLPLFFGFQLLFERVECGGM
+>sp|P54753|EPHB3_HUMAN Ephrin type-B receptor 3 OS=Homo sapiens OX=9606 GN=EPHB3 PE=1 SV=2
+MARARPPPPPSPPPGLLPLLPPLLLLPLLLLPAGCRALEETLMDTKWVTSELAWTSHPESGWEEVSGYDEAMNPIRTYQVCNVRESSQNNWLRTGFIWRRDVQRVYVELKFTVRDCNSIPNIPGSCKETFNLFYYEADSDVASASSPFWMENPYVKVDTIAPDESFSRLDAGRVNTKVRSFGPLSKAGFYLAFQDQGACMSLISVRAFYKKCASTTAGFALFPETLTGAEPTSLVIAPGTCIPNAVEVSVPLKLYCNGDGEWMVPVGACTCATGHEPAAKESQCRPCPPGSYKAKQGEGPCLPCPPNSRTTSPAASICTCHNNFYRADSDSADSACTTVPSPPRGVISNVNETSLILEWSEPRDLGGRDDLLYNVICKKCHGAGGASACSRCDDNVEFVPRQLGLTERRVHISHLLAHTRYTFEVQAVNGVSGKSPLPPRYAAVNITTNQAAPSEVPTLRLHSSSGSSLTLSWAPPERPNGVILDYEMKYFEKSEGIASTVTSQMNSVQLDGLRPDARYVVQVRARTVAGYGQYSRPAEFETTSERGSGAQQLQEQLPLIVGSATAGLVFVVAVVVIAIVCLRKQRHGSDSEYTEKLQQYIAPGMKVYIDPFTYEDPNEAVREFAKEIDVSCVKIEEVIGAGEFGEVCRGRLKQPGRREVFVAIKTLKVGYTERQRRDFLSEASIMGQFDHPNIIRLEGVVTKSRPVMILTEFMENCALDSFLRLNDGQFTVIQLVGMLRGIAAGMKYLSEMNYVHRDLAARNILVNSNLVCKVSDFGLSRFLEDDPSDPTYTSSLGGKIPIRWTAPEAIAYRKFTSASDVWSYGIVMWEVMSYGERPYWDMSNQDVINAVEQDYRLPPPMDCPTALHQLMLDCWVRDRNLRPKFSQIVNTLDKLIRNAASLKVIASAQSGMSQPLLDRTVPDYTTFTTVGDWLDAIKMGRYKESFVSAGFASFDLVAQMTAEDLLRIGVTLAGHQKKILSSIQDMRLQMNQTLPVQV
+>DECOY_sp|P54753|EPHB3_HUMAN Ephrin type-B receptor 3 OS=Homo sapiens OX=9606 GN=EPHB3 PE=1 SV=2
+VQVPLTQNMQLRMDQISSLIKKQHGALTVGIRLLDEATMQAVLDFSAFGASVFSEKYRGMKIADLWDGVTTFTTYDPVTRDLLPQSMGSQASAIVKLSAANRILKDLTNVIQSFKPRLNRDRVWCDLMLQHLATPCDMPPPLRYDQEVANIVDQNSMDWYPREGYSMVEWMVIGYSWVDSASTFKRYAIAEPATWRIPIKGGLSSTYTPDSPDDELFRSLGFDSVKCVLNSNVLINRAALDRHVYNMESLYKMGAAIGRLMGVLQIVTFQGDNLRLFSDLACNEMFETLIMVPRSKTVVGELRIINPHDFQGMISAESLFDRRQRETYGVKLTKIAVFVERRGPQKLRGRCVEGFEGAGIVEEIKVCSVDIEKAFERVAENPDEYTFPDIYVKMGPAIYQQLKETYESDSGHRQKRLCVIAIVVVAVVFVLGATASGVILPLQEQLQQAGSGRESTTEFEAPRSYQGYGAVTRARVQVVYRADPRLGDLQVSNMQSTVTSAIGESKEFYKMEYDLIVGNPREPPAWSLTLSSGSSSHLRLTPVESPAAQNTTINVAAYRPPLPSKGSVGNVAQVEFTYRTHALLHSIHVRRETLGLQRPVFEVNDDCRSCASAGGAGHCKKCIVNYLLDDRGGLDRPESWELILSTENVNSIVGRPPSPVTTCASDASDSDARYFNNHCTCISAAPSTTRSNPPCPLCPGEGQKAKYSGPPCPRCQSEKAAPEHGTACTCAGVPVMWEGDGNCYLKLPVSVEVANPICTGPAIVLSTPEAGTLTEPFLAFGATTSACKKYFARVSILSMCAGQDQFALYFGAKSLPGFSRVKTNVRGADLRSFSEDPAITDVKVYPNEMWFPSSASAVDSDAEYYFLNFTEKCSGPINPISNCDRVTFKLEVYVRQVDRRWIFGTRLWNNQSSERVNCVQYTRIPNMAEDYGSVEEWGSEPHSTWALESTVWKTDMLTEELARCGAPLLLLPLLLLPPLLPLLGPPPSPPPPPRARAM
+>sp|O95278|EPM2A_HUMAN Laforin OS=Homo sapiens OX=9606 GN=EPM2A PE=1 SV=2
+MRFRFGVVVPPAVAGARPELLVVGSRPELGRWEPRGAVRLRPAGTAAGDGALALQEPGLWLGEVELAAEEAAQDGAEPGRVDTFWYKFLKREPGGELSWEGNGPHHDRCCTYNENNLVDGVYCLPIGHWIEATGHTNEMKHTTDFYFNIAGHQAMHYSRILPNIWLGSCPRQVEHVTIKLKHELGITAVMNFQTEWDIVQNSSGCNRYPEPMTPDTMIKLYREEGLAYIWMPTPDMSTEGRVQMLPQAVCLLHALLEKGHIVYVHCNAGVGRSTAAVCGWLQYVMGWNLRKVQYFLMAKRPAVYIDEEALARAQEDFFQKFGKVRSSVCSL
+>DECOY_sp|O95278|EPM2A_HUMAN Laforin OS=Homo sapiens OX=9606 GN=EPM2A PE=1 SV=2
+LSCVSSRVKGFKQFFDEQARALAEEDIYVAPRKAMLFYQVKRLNWGMVYQLWGCVAATSRGVGANCHVYVIHGKELLAHLLCVAQPLMQVRGETSMDPTPMWIYALGEERYLKIMTDPTMPEPYRNCGSSNQVIDWETQFNMVATIGLEHKLKITVHEVQRPCSGLWINPLIRSYHMAQHGAINFYFDTTHKMENTHGTAEIWHGIPLCYVGDVLNNENYTCCRDHHPGNGEWSLEGGPERKLFKYWFTDVRGPEAGDQAAEEAALEVEGLWLGPEQLALAGDGAATGAPRLRVAGRPEWRGLEPRSGVVLLEPRAGAVAPPVVVGFRFRM
+>sp|O95925|EPPI_HUMAN Eppin OS=Homo sapiens OX=9606 GN=EPPIN PE=1 SV=1
+MGSSGLLSLLVLFVLLANVQGPGLTDWLFPRRCPKIREECEFQERDVCTKDRQCQDNKKCCVFSCGKKCLDLKQDVCEMPKETGPCLAYFLHWWYDKKDNTCSMFVYGGCQGNNNNFQSKANCLNTCKNKRFP
+>DECOY_sp|O95925|EPPI_HUMAN Eppin OS=Homo sapiens OX=9606 GN=EPPIN PE=1 SV=1
+PFRKNKCTNLCNAKSQFNNNNGQCGGYVFMSCTNDKKDYWWHLFYALCPGTEKPMECVDQKLDLCKKGCSFVCCKKNDQCQRDKTCVDREQFECEERIKPCRRPFLWDTLGPGQVNALLVFLVLLSLLGSSGM
+>sp|Q14534|ERG1_HUMAN Squalene monooxygenase OS=Homo sapiens OX=9606 GN=SQLE PE=1 SV=3
+MWTFLGIATFTYFYKKFGDFITLANREVLLCVLVFLSLGLVLSYRCRHRNGGLLGRQQSGSQFALFSDILSGLPFIGFFWAKSPPESENKEQLEARRRRKGTNISETSLIGTAACTSTSSQNDPEVIIVGAGVLGSALAAVLSRDGRKVTVIERDLKEPDRIVGEFLQPGGYHVLKDLGLGDTVEGLDAQVVNGYMIHDQESKSEVQIPYPLSENNQVQSGRAFHHGRFIMSLRKAAMAEPNAKFIEGVVLQLLEEDDVVMGVQYKDKETGDIKELHAPLTVVADGLFSKFRKSLVSNKVSVSSHFVGFLMKNAPQFKANHAELILANPSPVLIYQISSSETRVLVDIRGEMPRNLREYMVEKIYPQIPDHLKEPFLEATDNSHLRSMPASFLPPSSVKKRGVLLLGDAYNMRHPLTGGGMTVAFKDIKLWRKLLKGIPDLYDDAAIFEAKKSFYWARKTSHSFVVNILAQALYELFSATDDSLHQLRKACFLYFKLGGECVAGPVGLLSVLSPNPLVLIGHFFAVAIYAVYFCFKSEPWITKPRALLSSGAVLYKACSVIFPLIYSEMKYMVH
+>DECOY_sp|Q14534|ERG1_HUMAN Squalene monooxygenase OS=Homo sapiens OX=9606 GN=SQLE PE=1 SV=3
+HVMYKMESYILPFIVSCAKYLVAGSSLLARPKTIWPESKFCFYVAYIAVAFFHGILVLPNPSLVSLLGVPGAVCEGGLKFYLFCAKRLQHLSDDTASFLEYLAQALINVVFSHSTKRAWYFSKKAEFIAADDYLDPIGKLLKRWLKIDKFAVTMGGGTLPHRMNYADGLLLVGRKKVSSPPLFSAPMSRLHSNDTAELFPEKLHDPIQPYIKEVMYERLNRPMEGRIDVLVRTESSSIQYILVPSPNALILEAHNAKFQPANKMLFGVFHSSVSVKNSVLSKRFKSFLGDAVVTLPAHLEKIDGTEKDKYQVGMVVDDEELLQLVVGEIFKANPEAMAAKRLSMIFRGHHFARGSQVQNNESLPYPIQVESKSEQDHIMYGNVVQADLGEVTDGLGLDKLVHYGGPQLFEGVIRDPEKLDREIVTVKRGDRSLVAALASGLVGAGVIIVEPDNQSSTSTCAATGILSTESINTGKRRRRAELQEKNESEPPSKAWFFGIFPLGSLIDSFLAFQSGSQQRGLLGGNRHRCRYSLVLGLSLFVLVCLLVERNALTIFDGFKKYFYTFTAIGLFTWM
+>sp|P48449|ERG7_HUMAN Lanosterol synthase OS=Homo sapiens OX=9606 GN=LSS PE=1 SV=1
+MTEGTCLRRRGGPYKTEPATDLGRWRLNCERGRQTWTYLQDERAGREQTGLEAYALGLDTKNYFKDLPKAHTAFEGALNGMTFYVGLQAEDGHWTGDYGGPLFLLPGLLITCHVARIPLPAGYREEIVRYLRSVQLPDGGWGLHIEDKSTVFGTALNYVSLRILGVGPDDPDLVRARNILHKKGGAVAIPSWGKFWLAVLNVYSWEGLNTLFPEMWLFPDWAPAHPSTLWCHCRQVYLPMSYCYAVRLSAAEDPLVQSLRQELYVEDFASIDWLAQRNNVAPDELYTPHSWLLRVVYALLNLYEHHHSAHLRQRAVQKLYEHIVADDRFTKSISIGPISKTINMLVRWYVDGPASTAFQEHVSRIPDYLWMGLDGMKMQGTNGSQIWDTAFAIQALLEAGGHHRPEFSSCLQKAHEFLRLSQVPDNPPDYQKYYRQMRKGGFSFSTLDCGWIVSDCTAEALKAVLLLQEKCPHVTEHIPRERLCDAVAVLLNMRNPDGGFATYETKRGGHLLELLNPSEVFGDIMIDYTYVECTSAVMQALKYFHKRFPEHRAAEIRETLTQGLEFCRRQQRADGSWEGSWGVCFTYGTWFGLEAFACMGQTYRDGTACAEVSRACDFLLSRQMADGGWGEDFESCEERRYLQSAQSQIHNTCWAMMGLMAVRHPDIEAQERGVRCLLEKQLPNGDWPQENIAGVFNKSCAISYTSYRNIFPIWALGRFSQLYPERALAGHP
+>DECOY_sp|P48449|ERG7_HUMAN Lanosterol synthase OS=Homo sapiens OX=9606 GN=LSS PE=1 SV=1
+PHGALAREPYLQSFRGLAWIPFINRYSTYSIACSKNFVGAINEQPWDGNPLQKELLCRVGREQAEIDPHRVAMLGMMAWCTNHIQSQASQLYRREECSEFDEGWGGDAMQRSLLFDCARSVEACATGDRYTQGMCAFAELGFWTGYTFCVGWSGEWSGDARQQRRCFELGQTLTERIEAARHEPFRKHFYKLAQMVASTCEVYTYDIMIDGFVESPNLLELLHGGRKTEYTAFGGDPNRMNLLVAVADCLRERPIHETVHPCKEQLLLVAKLAEATCDSVIWGCDLTSFSFGGKRMQRYYKQYDPPNDPVQSLRLFEHAKQLCSSFEPRHHGGAELLAQIAFATDWIQSGNTGQMKMGDLGMWLYDPIRSVHEQFATSAPGDVYWRVLMNITKSIPGISISKTFRDDAVIHEYLKQVARQRLHASHHHEYLNLLAYVVRLLWSHPTYLEDPAVNNRQALWDISAFDEVYLEQRLSQVLPDEAASLRVAYCYSMPLYVQRCHCWLTSPHAPAWDPFLWMEPFLTNLGEWSYVNLVALWFKGWSPIAVAGGKKHLINRARVLDPDDPGVGLIRLSVYNLATGFVTSKDEIHLGWGGDPLQVSRLYRVIEERYGAPLPIRAVHCTILLGPLLFLPGGYDGTWHGDEAQLGVYFTMGNLAGEFATHAKPLDKFYNKTDLGLAYAELGTQERGAREDQLYTWTQRGRECNLRWRGLDTAPETKYPGGRRRLCTGETM
+>sp|Q5RHP9|ERIC3_HUMAN Glutamate-rich protein 3 OS=Homo sapiens OX=9606 GN=ERICH3 PE=2 SV=1
+MSHSHPAGLLAAYNSLMDKHLAGYFNNTRIRRHLLRSGLITRSGRILSEKEYKLNMMKRDHQKYIRECLAQAIFHKVLDMERYHQLEIKKKLETLARKERIQRFKGEHTRRSVENNMPILSPHPPVGPKSNRGHSVLVDEGHSSPLALTAPRPYTAPGNMQPPIRLQPLPSNPAVETVPKVTSRSRSKTSLLENEALFPIGGKKAVMKFRNSIGNSQRMNSYQLPNINSYMMPIPPPLPPTGKITRENRSETWRRRRFRPTTAPNGLEPLLTKDSRRIHKTSLHSNAAITMIYLGKNVHLSSDNPDFRDEIKVYQQHCGGENLCVYKGKLLEKETFQFISKRHHGFPFSLTFFLNGMQVNRLSSCCEYKHRKGSRLGGKRGYFGFVCVERSSPCYKCIIAMGLDKKPSLPKSRKEKSTEKGEELKKAEGKVRKEREYVIPKRNEIKENKTSVSAKFSAQEIKTGLKEVVTAVEEMTSKGKPGQEVLEDDQENTLKYEYEEDFEVDEEKQGEKSNEEGQADVQMNGIPQSPLDDKKDNLDPEKESETSSQKAPDARDNVKDENDGCSESELEEDKQDMKTASSTSSRSHPYSSDSEDESAVGDREAHTDSSTDESARRSSSQELSENDKPRKSHLPIEESLEIEIEDQEITKADVETKPMPIDESFENVLKEGTEKGTQEIAEGLSEKSGKHVSAEEKEKDKSKLWEESTAQVKDKKAGLPGLEEGGKDSLPLAYVLALGAPTMNFMVDETAAINSNKESQQLVQKTYTLEKKEAMEEDEAPQHRDADIVQGKGEAALWGEAGAVHEAPLRAWKPTAEQPELAEEFTEKREIPPGIERGAEGAAEAEGVRRLGEGGSDPIGQAAAKDAVGLSKDEAPEKQALMLTVLETDKAASEGEQGLEKAVLANEAAALNLEHLHEVAALREAATSEEGEAEGGVAVSDVGESEEEASIDLEDTGPMEDTASKREDGSEEAILGGEEPAKERKEVMRTETRLSPFTGEAEASRMQVSEGSPEEGSLAKEAFLCKEDVEGEEMVTEAEANREDDRKEILPKELDLARERRKAERPKTSLRKTDSEREEVTRANALKDEDAFKEEQKLKAEEGETETEVRAEEETKAPPNEMGSDAENEAPVEASELSDNPGLLGEDSLKETVVPIFEATPGFEKSLENITALRKEGGGERLSEARDTEHKDREELSSRENRALKEGHRQDGEGALAAPEAEPAGKVQAPEGLIPATGQAEELAAKDHDSCAGLEGRAEGQGGVDVVLRTQEAVAEEDPIMAEKFREEAVDEDPEEEEDKECTLETEAMQDRNSEGDGDMEGEGNTQKNEGMGGGRVVAVEVLHGGGETAETAAEEREVLAGSETAEEKTIANKASSFSDVAEEETWHQQDELVGKTAAAGKVVVEELARSGEEVPAAEEMTVTYTTEAGVGTPGALERKTSGLGQEQEEGSEGQEAATGSGDGRQETGAAEKFRLGLSREGERELSPESLQAMATLPVKPDFTETREKQQHMVQGESETADVSPNNVQV
+>DECOY_sp|Q5RHP9|ERIC3_HUMAN Glutamate-rich protein 3 OS=Homo sapiens OX=9606 GN=ERICH3 PE=2 SV=1
+VQVNNPSVDATESEGQVMHQQKERTETFDPKVPLTAMAQLSEPSLEREGERSLGLRFKEAAGTEQRGDGSGTAAEQGESGEEQEQGLGSTKRELAGPTGVGAETTYTVTMEEAAPVEEGSRALEEVVVKGAAATKGVLEDQQHWTEEEAVDSFSSAKNAITKEEATESGALVEREEAATEATEGGGHLVEVAVVRGGGMGENKQTNGEGEMDGDGESNRDQMAETELTCEKDEEEEPDEDVAEERFKEAMIPDEEAVAEQTRLVVDVGGQGEARGELGACSDHDKAALEEAQGTAPILGEPAQVKGAPEAEPAALAGEGDQRHGEKLARNERSSLEERDKHETDRAESLREGGGEKRLATINELSKEFGPTAEFIPVVTEKLSDEGLLGPNDSLESAEVPAENEADSGMENPPAKTEEEARVETETEGEEAKLKQEEKFADEDKLANARTVEERESDTKRLSTKPREAKRRERALDLEKPLIEKRDDERNAEAETVMEEGEVDEKCLFAEKALSGEEPSGESVQMRSAEAEGTFPSLRTETRMVEKREKAPEEGGLIAEESGDERKSATDEMPGTDELDISAEEESEGVDSVAVGGEAEGEESTAAERLAAVEHLHELNLAAAENALVAKELGQEGESAAKDTELVTLMLAQKEPAEDKSLGVADKAAAQGIPDSGGEGLRRVGEAEAAGEAGREIGPPIERKETFEEALEPQEATPKWARLPAEHVAGAEGWLAAEGKGQVIDADRHQPAEDEEMAEKKELTYTKQVLQQSEKNSNIAATEDVMFNMTPAGLALVYALPLSDKGGEELGPLGAKKDKVQATSEEWLKSKDKEKEEASVHKGSKESLGEAIEQTGKETGEKLVNEFSEDIPMPKTEVDAKTIEQDEIEIELSEEIPLHSKRPKDNESLEQSSSRRASEDTSSDTHAERDGVASEDESDSSYPHSRSSTSSATKMDQKDEELESESCGDNEDKVNDRADPAKQSSTESEKEPDLNDKKDDLPSQPIGNMQVDAQGEENSKEGQKEEDVEFDEEYEYKLTNEQDDELVEQGPKGKSTMEEVATVVEKLGTKIEQASFKASVSTKNEKIENRKPIVYEREKRVKGEAKKLEEGKETSKEKRSKPLSPKKDLGMAIICKYCPSSREVCVFGFYGRKGGLRSGKRHKYECCSSLRNVQMGNLFFTLSFPFGHHRKSIFQFTEKELLKGKYVCLNEGGCHQQYVKIEDRFDPNDSSLHVNKGLYIMTIAANSHLSTKHIRRSDKTLLPELGNPATTPRFRRRRWTESRNERTIKGTPPLPPPIPMMYSNINPLQYSNMRQSNGISNRFKMVAKKGGIPFLAENELLSTKSRSRSTVKPVTEVAPNSPLPQLRIPPQMNGPATYPRPATLALPSSHGEDVLVSHGRNSKPGVPPHPSLIPMNNEVSRRTHEGKFRQIREKRALTELKKKIELQHYREMDLVKHFIAQALCERIYKQHDRKMMNLKYEKESLIRGSRTILGSRLLHRRIRTNNFYGALHKDMLSNYAALLGAPHSHSM
+>sp|O76042|ERIT1_HUMAN Putative uncharacterized protein encoded by ERC2-IT1 OS=Homo sapiens OX=9606 GN=ERC2-IT1 PE=5 SV=2
+MLACFPCFLRRKMPCLLKVADAGCSVGLGKVHCSCHLPNPRVLRHCDILTGVLTLGLDMSCHACLSAGTGLGEELVGLGPGSTCLVKHLWLLFPCHRLASQNYSDSLAQQWSFSLLIMWLCNREREIFMSKCAKCI
+>DECOY_sp|O76042|ERIT1_HUMAN Putative uncharacterized protein encoded by ERC2-IT1 OS=Homo sapiens OX=9606 GN=ERC2-IT1 PE=5 SV=2
+ICKACKSMFIERERNCLWMILLSFSWQQALSDSYNQSALRHCPFLLWLHKVLCTSGPGLGVLEEGLGTGASLCAHCSMDLGLTLVGTLIDCHRLVRPNPLHCSCHVKGLGVSCGADAVKLLCPMKRRLFCPFCALM
+>sp|Q96DZ1|ERLEC_HUMAN Endoplasmic reticulum lectin 1 OS=Homo sapiens OX=9606 GN=ERLEC1 PE=1 SV=1
+MEEGGGGVRSLVPGGPVLLVLCGLLEASGGGRALPQLSDDIPFRVNWPGTEFSLPTTGVLYKEDNYVIMTTAHKEKYKCILPLVTSGDEEEEKDYKGPNPRELLEPLFKQSSCSYRIESYWTYEVCHGKHIRQYHEEKETGQKINIHEYYLGNMLAKNLLFEKEREAEEKEKSNEIPTKNIEGQMTPYYPVGMGNGTPCSLKQNRPRSSTVMYICHPESKHEILSVAEVTTCEYEVVILTPLLCSHPKYRFRASPVNDIFCQSLPGSPFKPLTLRQLEQQEEILRVPFRRNKEEDLQSTKEERFPAIHKSIAIGSQPVLTVGTTHISKLTDDQLIKEFLSGSYCFRGGVGWWKYEFCYGKHVHQYHEDKDSGKTSVVVGTWNQEEHIEWAKKNTARAYHLQDDGTQTVRMVSHFYGNGDICDITDKPRQVTVKLKCKESDSPHAVTVYMLEPHSCQYILGVESPVICKILDTADENGLLSLPN
+>DECOY_sp|Q96DZ1|ERLEC_HUMAN Endoplasmic reticulum lectin 1 OS=Homo sapiens OX=9606 GN=ERLEC1 PE=1 SV=1
+NPLSLLGNEDATDLIKCIVPSEVGLIYQCSHPELMYVTVAHPSDSEKCKLKVTVQRPKDTIDCIDGNGYFHSVMRVTQTGDDQLHYARATNKKAWEIHEEQNWTGVVVSTKGSDKDEHYQHVHKGYCFEYKWWGVGGRFCYSGSLFEKILQDDTLKSIHTTGVTLVPQSGIAISKHIAPFREEKTSQLDEEKNRRFPVRLIEEQQELQRLTLPKFPSGPLSQCFIDNVPSARFRYKPHSCLLPTLIVVEYECTTVEAVSLIEHKSEPHCIYMVTSSRPRNQKLSCPTGNGMGVPYYPTMQGEINKTPIENSKEKEEAEREKEFLLNKALMNGLYYEHINIKQGTEKEEHYQRIHKGHCVEYTWYSEIRYSCSSQKFLPELLERPNPGKYDKEEEEDGSTVLPLICKYKEKHATTMIVYNDEKYLVGTTPLSFETGPWNVRFPIDDSLQPLARGGGSAELLGCLVLLVPGGPVLSRVGGGGEEM
+>sp|Q9UJM3|ERRFI_HUMAN ERBB receptor feedback inhibitor 1 OS=Homo sapiens OX=9606 GN=ERRFI1 PE=1 SV=1
+MSIAGVAAQEIRVPLKTGFLHNGRAMGNMRKTYWSSRSEFKNNFLNIDPITMAYSLNSSAQERLIPLGHASKSAPMNGHCFAENGPSQKSSLPPLLIPPSENLGPHEEDQVVCGFKKLTVNGVCASTPPLTPIKNSPSLFPCAPLCERGSRPLPPLPISEALSLDDTDCEVEFLTSSDTDFLLEDSTLSDFKYDVPGRRSFRGCGQINYAYFDTPAVSAADLSYVSDQNGGVPDPNPPPPQTHRRLRRSHSGPAGSFNKPAIRISNCCIHRASPNSDEDKPEVPPRVPIPPRPVKPDYRRWSAEVTSSTYSDEDRPPKVPPREPLSPSNSRTPSPKSLPSYLNGVMPPTQSFAPDPKYVSSKALQRQNSEGSASKVPCILPIIENGKKVSSTHYYLLPERPPYLDKYEKFFREAEETNGGAQIQPLPADCGISSATEKPDSKTKMDLGGHVKRKHLSYVVSP
+>DECOY_sp|Q9UJM3|ERRFI_HUMAN ERBB receptor feedback inhibitor 1 OS=Homo sapiens OX=9606 GN=ERRFI1 PE=1 SV=1
+PSVVYSLHKRKVHGGLDMKTKSDPKETASSIGCDAPLPQIQAGGNTEEAERFFKEYKDLYPPREPLLYYHTSSVKKGNEIIPLICPVKSASGESNQRQLAKSSVYKPDPAFSQTPPMVGNLYSPLSKPSPTRSNSPSLPERPPVKPPRDEDSYTSSTVEASWRRYDPKVPRPPIPVRPPVEPKDEDSNPSARHICCNSIRIAPKNFSGAPGSHSRRLRRHTQPPPPNPDPVGGNQDSVYSLDAASVAPTDFYAYNIQGCGRFSRRGPVDYKFDSLTSDELLFDTDSSTLFEVECDTDDLSLAESIPLPPLPRSGRECLPACPFLSPSNKIPTLPPTSACVGNVTLKKFGCVVQDEEHPGLNESPPILLPPLSSKQSPGNEAFCHGNMPASKSAHGLPILREQASSNLSYAMTIPDINLFNNKFESRSSWYTKRMNGMARGNHLFGTKLPVRIEQAAVGAISM
+>sp|B6SEH8|ERVV1_HUMAN Endogenous retrovirus group V member 1 Env polyprotein OS=Homo sapiens OX=9606 GN=ERVV-1 PE=2 SV=1
+MTEKFLFLYLSLLPMPLLSQAQWNENSLVSFSKIIASGNHLSNCWICHNFITRSSSYQYILVRNFSLNLTFGSGIPEGQHKSVPLQVSLANSAHQVPCLDLTPPFNQSSKTSFYFYNCSSLNQTCCPCPEGHCDRKNTSEEGFPSPTIHPMSFSPAGCHPNLTHWCPAKQMNDYRDKSPQNRCAAWEGKELITWRVLYLLPKAHTVPTWPKSTVPLGGPLSPACNQTIPAGWKSQLHKWFDSHIPRWACTPPGYVFLCGPQKNKLPFDGSPKITYSTPPVANLYTCINNIQHTGECAVGLLGPRGIGVTIYNTTQPRQKRALGLILAGMGAAIGMIAPWGGFTYHDVTLRNLSRQIDNIAKSTRDSISKLKASIDSLANVVMNNRLALDYLLAEQGGVCAVISKSCCIYVNNSGAIEEDIKKIYDEVTWLHNFGKGDSAGSIWEAVKSALPSLTWFVPLLGPAALNSLLSPLWPLSL
+>DECOY_sp|B6SEH8|ERVV1_HUMAN Endogenous retrovirus group V member 1 Env polyprotein OS=Homo sapiens OX=9606 GN=ERVV-1 PE=2 SV=1
+LSLPWLPSLLSNLAAPGLLPVFWTLSPLASKVAEWISGASDGKGFNHLWTVEDYIKKIDEEIAGSNNVYICCSKSIVACVGGQEALLYDLALRNNMVVNALSDISAKLKSISDRTSKAINDIQRSLNRLTVDHYTFGGWPAIMGIAAGMGALILGLARKQRPQTTNYITVGIGRPGLLGVACEGTHQINNICTYLNAVPPTSYTIKPSGDFPLKNKQPGCLFVYGPPTCAWRPIHSDFWKHLQSKWGAPITQNCAPSLPGGLPVTSKPWTPVTHAKPLLYLVRWTILEKGEWAACRNQPSKDRYDNMQKAPCWHTLNPHCGAPSFSMPHITPSPFGEESTNKRDCHGEPCPCCTQNLSSCNYFYFSTKSSQNFPPTLDLCPVQHASNALSVQLPVSKHQGEPIGSGFTLNLSFNRVLIYQYSSSRTIFNHCIWCNSLHNGSAIIKSFSVLSNENWQAQSLLPMPLLSLYLFLFKETM
+>sp|Q8N2X6|EXAS1_HUMAN Uncharacterized protein EXOC3-AS1 OS=Homo sapiens OX=9606 GN=EXOC3-AS1 PE=1 SV=1
+MPAVFMLASSSALQCGRGVPRFPRTEVGAGHSVNEETKAEKVGNQTSVIPATSRQAALGTSWTQRRTQPLQERSHWHPRGNNASGMGGHRMFPGPLRGPAAQVLENECGSLGRAAEGRS
+>DECOY_sp|Q8N2X6|EXAS1_HUMAN Uncharacterized protein EXOC3-AS1 OS=Homo sapiens OX=9606 GN=EXOC3-AS1 PE=1 SV=1
+SRGEAARGLSGCENELVQAAPGRLPGPFMRHGGMGSANNGRPHWHSREQLPQTRRQTWSTGLAAQRSTAPIVSTQNGVKEAKTEENVSHGAGVETRPFRPVGRGCQLASSSALMFVAPM
+>sp|A0A1B0GW35|EXC1L_HUMAN Exocyst complex component 1-like OS=Homo sapiens OX=9606 GN=EXOC1L PE=2 SV=1
+MSSLVKEDLEKKLFKPLSQNLYEFIEIEFSVQDRYYLCVSVTKKEEVKIVMVKHYRIGLDEKYEVTKKWSLNDLQMIDGKEADTDNPFFDLHFKKVYSLEAYSCASKYAFARTVNKLNHAYLKKDLQIVNFDSTYINDDSIWSSNNKDCLVLMRICFYAFNLVCLSLCPLPL
+>DECOY_sp|A0A1B0GW35|EXC1L_HUMAN Exocyst complex component 1-like OS=Homo sapiens OX=9606 GN=EXOC1L PE=2 SV=1
+LPLPCLSLCVLNFAYFCIRMLVLCDKNNSSWISDDNIYTSDFNVIQLDKKLYAHNLKNVTRAFAYKSACSYAELSYVKKFHLDFFPNDTDAEKGDIMQLDNLSWKKTVEYKEDLGIRYHKVMVIKVEEKKTVSVCLYYRDQVSFEIEIFEYLNQSLPKFLKKELDEKVLSSM
+>sp|Q8NHP7|EXD1_HUMAN piRNA biogenesis protein EXD1 OS=Homo sapiens OX=9606 GN=EXD1 PE=1 SV=4
+MEDSEFLAYVELLDEVEQGSVRAKASSVSLHAERTWMEKMKVEDLNVCEPASPAPEAPATSLLNDLKYSPSEEEEVTYTVINQFQQKFGAAILHIKKQNVLSVAAEGANVCRHGKLCWLQVATNCRVYLFDIFLLGSRAFHNGLQMILEDKRILKVIHDCRWLSDCLSHQYGILLNNVFDTQVADVLQFSMETGGYLPNCITTLQESLIKHLQVAPKYLSFLEKRQKLIQENPEVWFIRPVSPSLLKILALEATYLLPLRLALLDEMMSDLTTLVDGYLNTYREGSADRLGGTEPTCMELPEELLQLKDFQKQRREKAAREYRVNAQGLLIRTVLQPKKLVTETAGKEEKVKGFLFGKNFRIDKAPSFTSQDFHGDVNLLKEESLNKQATNPQHLPPTEEGETSEDSSNKLICTKSKGSEDQRITQKEHFMTPKHEFQASLSLKEETEQLLMVENKEDLKCTKQAVSMSSFPQETRVSPSDTFYPIRKTVVSTLPPCPALEKIDSWISPFLNLP
+>DECOY_sp|Q8NHP7|EXD1_HUMAN piRNA biogenesis protein EXD1 OS=Homo sapiens OX=9606 GN=EXD1 PE=1 SV=4
+PLNLFPSIWSDIKELAPCPPLTSVVTKRIPYFTDSPSVRTEQPFSSMSVAQKTCKLDEKNEVMLLQETEEKLSLSAQFEHKPTMFHEKQTIRQDESGKSKTCILKNSSDESTEGEETPPLHQPNTAQKNLSEEKLLNVDGHFDQSTFSPAKDIRFNKGFLFGKVKEEKGATETVLKKPQLVTRILLGQANVRYERAAKERRQKQFDKLQLLEEPLEMCTPETGGLRDASGERYTNLYGDVLTTLDSMMEDLLALRLPLLYTAELALIKLLSPSVPRIFWVEPNEQILKQRKELFSLYKPAVQLHKILSEQLTTICNPLYGGTEMSFQLVDAVQTDFVNNLLIGYQHSLCDSLWRCDHIVKLIRKDELIMQLGNHFARSGLLFIDFLYVRCNTAVQLWCLKGHRCVNAGEAAVSLVNQKKIHLIAAGFKQQFQNIVTYTVEEEESPSYKLDNLLSTAPAEPAPSAPECVNLDEVKMKEMWTREAHLSVSSAKARVSGQEVEDLLEVYALFESDEM
+>sp|Q9NVH0|EXD2_HUMAN Exonuclease 3'-5' domain-containing protein 2 OS=Homo sapiens OX=9606 GN=EXD2 PE=1 SV=2
+MSRQNLVALTVTTLLGVAVGGFVLWKGIQRRRRSKTSPVTQQPQQKVLGSRELPPPEDDQLHSSAPRSSWKERILKAKVVTVSQEAEWDQIEPLLRSELEDFPVLGIDCEWVNLEGKASPLSLLQMASPSGLCVLVRLPKLICGGKTLPRTLLDILADGTILKVGVGCSEDASKLLQDYGLVVRGCLDLRYLAMRQRNNLLCNGLSLKSLAETVLNFPLDKSLLLRCSNWDAETLTEDQVIYAARDAQISVALFLHLLGYPFSRNSPGEKNDDHSSWRKVLEKCQGVVDIPFRSKGMSRLGEEVNGEATESQQKPRNKKSKMDGMVPGNHQGRDPRKHKRKPLGVGYSARKSPLYDNCFLHAPDGQPLCTCDRRKAQWYLDKGIGELVSEEPFVVKLRFEPAGRPESPGDYYLMVKENLCVVCGKRDSYIRKNVIPHEYRKHFPIEMKDHNSHDVLLLCTSCHAISNYYDNHLKQQLAKEFQAPIGSEEGLRLLEDPERRQVRSGARALLNAESLPTQRKEELLQALREFYNTDVVTEEMLQEAASLETRISNENYVPHGLKVVQCHSQGGLRSLMQLESRWRQHFLDSMQPKHLPQQWSVDHNHQKLLRKFGEDLPIQLS
+>DECOY_sp|Q9NVH0|EXD2_HUMAN Exonuclease 3'-5' domain-containing protein 2 OS=Homo sapiens OX=9606 GN=EXD2 PE=1 SV=2
+SLQIPLDEGFKRLLKQHNHDVSWQQPLHKPQMSDLFHQRWRSELQMLSRLGGQSHCQVVKLGHPVYNENSIRTELSAAEQLMEETVVDTNYFERLAQLLEEKRQTPLSEANLLARAGSRVQRREPDELLRLGEESGIPAQFEKALQQKLHNDYYNSIAHCSTCLLLVDHSNHDKMEIPFHKRYEHPIVNKRIYSDRKGCVVCLNEKVMLYYDGPSEPRGAPEFRLKVVFPEESVLEGIGKDLYWQAKRRDCTCLPQGDPAHLFCNDYLPSKRASYGVGLPKRKHKRPDRGQHNGPVMGDMKSKKNRPKQQSETAEGNVEEGLRSMGKSRFPIDVVGQCKELVKRWSSHDDNKEGPSNRSFPYGLLHLFLAVSIQADRAAYIVQDETLTEADWNSCRLLLSKDLPFNLVTEALSKLSLGNCLLNNRQRMALYRLDLCGRVVLGYDQLLKSADESCGVGVKLITGDALIDLLTRPLTKGGCILKPLRVLVCLGSPSAMQLLSLPSAKGELNVWECDIGLVPFDELESRLLPEIQDWEAEQSVTVVKAKLIREKWSSRPASSHLQDDEPPPLERSGLVKQQPQQTVPSTKSRRRRQIGKWLVFGGVAVGLLTTVTLAVLNQRSM
+>sp|O00471|EXOC5_HUMAN Exocyst complex component 5 OS=Homo sapiens OX=9606 GN=EXOC5 PE=1 SV=1
+MATTAELFEEPFVADEYIERLVWRTPGGGSRGGPEAFDPKRLLEEFVNHIQELQIMDERIQRKVEKLEQQCQKEAKEFAKKVQELQKSNQVAFQHFQELDEHISYVATKVCHLGDQLEGVNTPRQRAVEAQKLMKYFNEFLDGELKSDVFTNSEKIKEAADIIQKLHLIAQELPFDRFSEVKSKIASKYHDLECQLIQEFTSAQRRGEISRMREVAAVLLHFKGYSHCVDVYIKQCQEGAYLRNDIFEDAGILCQRVNKQVGDIFSNPETVLAKLIQNVFEIKLQSFVKEQLEECRKSDAEQYLKNLYDLYTRTTNLSSKLMEFNLGTDKQTFLSKLIKSIFISYLENYIEVETGYLKSRSAMILQRYYDSKNHQKRSIGTGGIQDLKERIRQRTNLPLGPSIDTHGETFLSQEVVVNLLQETKQAFERCHRLSDPSDLPRNAFRIFTILVEFLCIEHIDYALETGLAGIPSSDSRNANLYFLDVVQQANTIFHLFDKQFNDHLMPLISSSPKLSECLQKKKEIIEQMEMKLDTGIDRTLNCMIGQMKHILAAEQKKTDFKPEDENNVLIQYTNACVKVCAYVRKQVEKIKNSMDGKNVDTVLMELGVRFHRLIYEHLQQYSYSCMGGMLAICDVAEYRKCAKDFKIPMVLHLFDTLHALCNLLVVAPDNLKQVCSGEQLANLDKNILHSFVQLRADYRSARLARHFS
+>DECOY_sp|O00471|EXOC5_HUMAN Exocyst complex component 5 OS=Homo sapiens OX=9606 GN=EXOC5 PE=1 SV=1
+SFHRALRASRYDARLQVFSHLINKDLNALQEGSCVQKLNDPAVVLLNCLAHLTDFLHLVMPIKFDKACKRYEAVDCIALMGGMCSYSYQQLHEYILRHFRVGLEMLVTDVNKGDMSNKIKEVQKRVYACVKVCANTYQILVNNEDEPKFDTKKQEAALIHKMQGIMCNLTRDIGTDLKMEMQEIIEKKKQLCESLKPSSSILPMLHDNFQKDFLHFITNAQQVVDLFYLNANRSDSSPIGALGTELAYDIHEICLFEVLITFIRFANRPLDSPDSLRHCREFAQKTEQLLNVVVEQSLFTEGHTDISPGLPLNTRQRIREKLDQIGGTGISRKQHNKSDYYRQLIMASRSKLYGTEVEIYNELYSIFISKILKSLFTQKDTGLNFEMLKSSLNTTRTYLDYLNKLYQEADSKRCEELQEKVFSQLKIEFVNQILKALVTEPNSFIDGVQKNVRQCLIGADEFIDNRLYAGEQCQKIYVDVCHSYGKFHLLVAAVERMRSIEGRRQASTFEQILQCELDHYKSAIKSKVESFRDFPLEQAILHLKQIIDAAEKIKESNTFVDSKLEGDLFENFYKMLKQAEVARQRPTNVGELQDGLHCVKTAVYSIHEDLEQFHQFAVQNSKQLEQVKKAFEKAEKQCQQELKEVKRQIREDMIQLEQIHNVFEELLRKPDFAEPGGRSGGGPTRWVLREIYEDAVFPEEFLEATTAM
+>sp|Q8IYI6|EXOC8_HUMAN Exocyst complex component 8 OS=Homo sapiens OX=9606 GN=EXOC8 PE=1 SV=2
+MAMAMSDSGASRLRRQLESGGFEARLYVKQLSQQSDGDRDLQEHRQRIQALAEETAQNLKRNVYQNYRQFIETAREISYLESEMYQLSHLLTEQKSSLESIPLTLLPAAAAAGAAAASGGEEGVGGAGGRDHLRGQAGFFSTPGGASRDGSGPGEEGKQRTLTTLLEKVEGCRHLLETPGQYLVYNGDLVEYDADHMAQLQRVHGFLMNDCLLVATWLPQRRGMYRYNALYSLDGLAVVNVKDNPPMKDMFKLLMFPESRIFQAENAKIKREWLEVLEDTKRALSEKRRREQEEAAAPRGPPQVTSKATNPFEDDEEEEPAVPEVEEEKVDLSMEWIQELPEDLDVCIAQRDFEGAVDLLDKLNHYLEDKPSPPPVKELRAKVEERVRQLTEVLVFELSPDRSLRGGPKATRRAVSQLIRLGQCTKACELFLRNRAAAVHTAIRQLRIEGATLLYIHKLCHVFFTSLLETAREFEIDFAGTDSGCYSAFVVWARSAMGMFVDAFSKQVFDSKESLSTAAECVKVAKEHCQQLGDIGLDLTFIIHALLVKDIQGALHSYKEIIIEATKHRNSEEMWRRMNLMTPEALGKLKEEMKSCGVSNFEQYTGDDCWVNLSYTVVAFTKQTMGFLEEALKLYFPELHMVLLESLVEIILVAVQHVDYSLRCEQDPEKKAFIRQNASFLYETVLPVVEKRFEEGVGKPAKQLQDLRNASRLIRVNPESTTSVV
+>DECOY_sp|Q8IYI6|EXOC8_HUMAN Exocyst complex component 8 OS=Homo sapiens OX=9606 GN=EXOC8 PE=1 SV=2
+VVSTTSEPNVRILRSANRLDQLQKAPKGVGEEFRKEVVPLVTEYLFSANQRIFAKKEPDQECRLSYDVHQVAVLIIEVLSELLVMHLEPFYLKLAEELFGMTQKTFAVVTYSLNVWCDDGTYQEFNSVGCSKMEEKLKGLAEPTMLNMRRWMEESNRHKTAEIIIEKYSHLAGQIDKVLLAHIIFTLDLGIDGLQQCHEKAVKVCEAATSLSEKSDFVQKSFADVFMGMASRAWVVFASYCGSDTGAFDIEFERATELLSTFFVHCLKHIYLLTAGEIRLQRIATHVAAARNRLFLECAKTCQGLRILQSVARRTAKPGGRLSRDPSLEFVLVETLQRVREEVKARLEKVPPPSPKDELYHNLKDLLDVAGEFDRQAICVDLDEPLEQIWEMSLDVKEEEVEPVAPEEEEDDEFPNTAKSTVQPPGRPAAAEEQERRRKESLARKTDELVELWERKIKANEAQFIRSEPFMLLKFMDKMPPNDKVNVVALGDLSYLANYRYMGRRQPLWTAVLLCDNMLFGHVRQLQAMHDADYEVLDGNYVLYQGPTELLHRCGEVKELLTTLTRQKGEEGPGSGDRSAGGPTSFFGAQGRLHDRGGAGGVGEEGGSAAAAGAAAAAPLLTLPISELSSKQETLLHSLQYMESELYSIERATEIFQRYNQYVNRKLNQATEEALAQIRQRHEQLDRDGDSQQSLQKVYLRAEFGGSELQRRLRSAGSDSMAMAM
+>sp|Q9Y3B2|EXOS1_HUMAN Exosome complex component CSL4 OS=Homo sapiens OX=9606 GN=EXOSC1 PE=1 SV=1
+MAPPVRYCIPGERLCNLEEGSPGSGTYTRHGYIFSSLAGCLMKSSENGALPVVSVVRETESQLLPDVGAIVTCKVSSINSRFAKVHILYVGSMPLKNSFRGTIRKEDVRATEKDKVEIYKSFRPGDIVLAKVISLGDAQSNYLLTTAENELGVVVAHSESGIQMVPISWCEMQCPKTHTKEFRKVARVQPEFLQT
+>DECOY_sp|Q9Y3B2|EXOS1_HUMAN Exosome complex component CSL4 OS=Homo sapiens OX=9606 GN=EXOSC1 PE=1 SV=1
+TQLFEPQVRAVKRFEKTHTKPCQMECWSIPVMQIGSESHAVVVGLENEATTLLYNSQADGLSIVKALVIDGPRFSKYIEVKDKETARVDEKRITGRFSNKLPMSGVYLIHVKAFRSNISSVKCTVIAGVDPLLQSETERVVSVVPLAGNESSKMLCGALSSFIYGHRTYTGSGPSGEELNCLREGPICYRVPPAM
+>sp|Q9NQT5|EXOS3_HUMAN Exosome complex component RRP40 OS=Homo sapiens OX=9606 GN=EXOSC3 PE=1 SV=3
+MAEPASVAAESLAGSRARAARTVLGQVVLPGEELLLPEQEDAEGPGGAVERPLSLNARACSRVRVVCGPGLRRCGDRLLVTKCGRLRHKEPGSGSGGGVYWVDSQQKRYVPVKGDHVIGIVTAKSGDIFKVDVGGSEPASLSYLSFEGATKRNRPNVQVGDLIYGQFVVANKDMEPEMVCIDSCGRANGMGVIGQDGLLFKVTLGLIRKLLAPDCEIIQEVGKLYPLEIVFGMNGRIWVKAKTIQQTLILANILEACEHMTSDQRKQIFSRLAES
+>DECOY_sp|Q9NQT5|EXOS3_HUMAN Exosome complex component RRP40 OS=Homo sapiens OX=9606 GN=EXOSC3 PE=1 SV=3
+SEALRSFIQKRQDSTMHECAELINALILTQQITKAKVWIRGNMGFVIELPYLKGVEQIIECDPALLKRILGLTVKFLLGDQGIVGMGNARGCSDICVMEPEMDKNAVVFQGYILDGVQVNPRNRKTAGEFSLYSLSAPESGGVDVKFIDGSKATVIGIVHDGKVPVYRKQQSDVWYVGGGSGSGPEKHRLRGCKTVLLRDGCRRLGPGCVVRVRSCARANLSLPREVAGGPGEADEQEPLLLEEGPLVVQGLVTRAARARSGALSEAAVSAPEAM
+>sp|Q9NQT4|EXOS5_HUMAN Exosome complex component RRP46 OS=Homo sapiens OX=9606 GN=EXOSC5 PE=1 SV=1
+MEEETHTDAKIRAENGTGSSPRGPGCSLRHFACEQNLLSRPDGSASFLQGDTSVLAGVYGPAEVKVSKEIFNKATLEVILRPKIGLPGVAEKSRERLIRNTCEAVVLGTLHPRTSITVVLQVVSDAGSLLACCLNAACMALVDAGVPMRALFCGVACALDSDGTLVLDPTSKQEKEARAVLTFALDSVERKLLMSSTKGLYSDTELQQCLAAAQAASQHVFRFYRESLQRRYSKS
+>DECOY_sp|Q9NQT4|EXOS5_HUMAN Exosome complex component RRP46 OS=Homo sapiens OX=9606 GN=EXOSC5 PE=1 SV=1
+SKSYRRQLSERYFRFVHQSAAQAAALCQQLETDSYLGKTSSMLLKREVSDLAFTLVARAEKEQKSTPDLVLTGDSDLACAVGCFLARMPVGADVLAMCAANLCCALLSGADSVVQLVVTISTRPHLTGLVVAECTNRILRERSKEAVGPLGIKPRLIVELTAKNFIEKSVKVEAPGYVGALVSTDGQLFSASGDPRSLLNQECAFHRLSCGPGRPSSGTGNEARIKADTHTEEEM
+>sp|Q15024|EXOS7_HUMAN Exosome complex component RRP42 OS=Homo sapiens OX=9606 GN=EXOSC7 PE=1 SV=3
+MASVTLSEAEKVYIVHGVQEDLRVDGRGCEDYRCVEVETDVVSNTSGSARVKLGHTDILVGVKAEMGTPKLEKPNEGYLEFFVDCSASATPEFEGRGGDDLGTEIANTLYRIFNNKSSVDLKTLCISPREHCWVLYVDVLLLECGGNLFDAISIAVKAALFNTRIPRVRVLEDEEGSKDIELSDDPYDCIRLSVENVPCIVTLCKIGYRHVVDATLQEEACSLASLLVSVTSKGVVTCMRKVGKGSLDPESIFEMMETGKRVGKVLHASLQSVVHKEESLGPKRQKVGFLG
+>DECOY_sp|Q15024|EXOS7_HUMAN Exosome complex component RRP42 OS=Homo sapiens OX=9606 GN=EXOSC7 PE=1 SV=3
+GLFGVKQRKPGLSEEKHVVSQLSAHLVKGVRKGTEMMEFISEPDLSGKGVKRMCTVVGKSTVSVLLSALSCAEEQLTADVVHRYGIKCLTVICPVNEVSLRICDYPDDSLEIDKSGEEDELVRVRPIRTNFLAAKVAISIADFLNGGCELLLVDVYLVWCHERPSICLTKLDVSSKNNFIRYLTNAIETGLDDGGRGEFEPTASASCDVFFELYGENPKELKPTGMEAKVGVLIDTHGLKVRASGSTNSVVDTEVEVCRYDECGRGDVRLDEQVGHVIYVKEAESLTVSAM
+>sp|Q8NEV8|EXPH5_HUMAN Exophilin-5 OS=Homo sapiens OX=9606 GN=EXPH5 PE=1 SV=3
+MTKVPPAFDFSFLNDEEARKILQVLERNEELQRAEKDRISKLQKTKRDIRWLQGVTGEWFEEIQRKKFCNETDVSQMLKQPLTYRLSKEMAKNDPIELPTSRSKNVTNQKKPTPFSSRMSFRSSFASLFSFRKSGKETSKLPSLGQKGCDGHAGPPMPVRGAAVQAKIYNSPLENHLVDSTFVPKPAVMREESGMPPPWDASLLENEFFQVLDDLDSKLAQEQSASSVNTRTPLNYGSRTQFGHFYSSGNRHGNITERHKKHYNETSNMSIYDILRPGTPREGFKTFSPRTSTIYDMYRTREPRVFKEDYVQKNTFGSTSLCFDSRQRSALPATGHFTARSLHFPATTQSKSGFIPPRHQQSPKRTPLSSIIWNRSDSSRDRENQEEFLRAPSPMEIDPADKYVYPRGFQENKRYESYHSQNVYQRVSLNAPMENAMSPDTFENSENMPFYHQSNTFTRSFFSNTFGRSGEQRRFGQGPFWGQEKGHSFWSDFHRSRKSFSSSDRDFEMISMEANSVSAIHGHNVSSEHWESFSSGYGTDVSRGQEEPHPWQFDFQRSTLDSMVVSHGNETQLTPHFGTPNVCSMTGSSYHVKSSELVSQQDSSPVEVHINKEASSFGIAQTLASSFKTSFSQISDDRRNPQSPNLQNPTVTLQKIFPNKPASHPMRSHTEVTVTSSNSVDSLPLAKSQPNILVTEVNNEKDLNESISEEDKQLSKMDQTNKAGEIPQPVSQTGISNSLPDFQNPLSQDSAKSNGFGFNASTIISSKKSPRVFSRKDTSKMYIPHTDKSNDIKQDKRFTENRKLGSTASLPFIQEHRTPPSFPRTDQGCHQELTVNNEDISRIITNNHWSSALTDTQNAQYSKCKLTPGHKTSCDSLDLSSAALPDSSPSKNSSLDAPVVPSTTVFSRRSPSDKDPSLGEREEKDNAGKNQKNQFIVSHSENQERNDSPVPTHDEVVDVKCHSHSPFRNERGKGKIRHHISCIEKLSKTESISVPTSDHRSLIEANQSNSKVSELDTIYCTLPRKSSSFLIHGRQSGSKIMAASLRNGPPPFQIKNNVEDAMGNYMLNKFSPSSPESANECSKVLSDSALEAPEATERMTNVKSSGSTSVRKGPLPFLINRAMSCPSGEPHASTGREGRKKPLTSGMDASELTPRAWERIISPVESDSSVRDCSLTKRQHQKENFQEYTEKEGKMAASRRSVFALSNEDPLPFCSDLSGKERGKTLHKVKTTSTFSVSGDEDNVKCLEVVSIYYTLPRKPSKKFCNLLQQYTQNTNLLIESPQVETETFPNALEKDKQNYSTREQSGTPSCENLKMSVNSDQTLTTENMTAFRLSNRGPLAPTLQEMASVEAAVSLPEEESKAREIFSDNLAKTPLGDSENKKERGKKLQSETLHTSLMLQRKNVSEEKSENCQQSINSSNSGPSSLPALSEVNIGNSQTRRSSWECTGSGRAIPFTGSGKCPQKDHTSTAVGDGSSGSQPREGRGDIGTNCQKMTNKTLSHSESQVFALTPALHKLQLGEETQSDEPNLESLQSEPRELPQRSQEANMTESRKAEDEMQKSAWDQPSLPEGNKNKTNLDDLVKGENRSSVKHRLAAMSKASRKFPAKDVSPRRHVATIFPQSGSRSGFDHLSLGTVECNPLFPEPTPKSAESIGESRLSENGKHVKKSENLLPITVLPNREPSTHVSNQKSNSISQRHQNEFKNVSESPSKHENSKDVTAAQNLVRESGAPSPITFTSLREAEFSDNQRRLSPPFPLEPAQKSRVSSPLASFLQQQRSASSLEWEPEPHLYRSKSLKSINVHGDLLRKSHPPKVRERHFSESTSIDNALSRLTLGNEFSVNNGYSRRFRSFSELPSCDGNESWAYRSGTKTGPRSAISIYRPIDYGIFGKEQQLAFLENVKRSLTQGRLWKPSFLKNPGFLKDDLRNPPNPSESLSSNSPSSQVPEDGLSPSEPLNIYEDDPVDSNCDTDTTTDDEYYLDENDKESEL
+>DECOY_sp|Q8NEV8|EXPH5_HUMAN Exophilin-5 OS=Homo sapiens OX=9606 GN=EXPH5 PE=1 SV=3
+LESEKDNEDLYYEDDTTTDTDCNSDVPDDEYINLPESPSLGDEPVQSSPSNSSLSESPNPPNRLDDKLFGPNKLFSPKWLRGQTLSRKVNELFALQQEKGFIGYDIPRYISIASRPGTKTGSRYAWSENGDCSPLESFSRFRRSYGNNVSFENGLTLRSLANDISTSESFHRERVKPPHSKRLLDGHVNISKLSKSRYLHPEPEWELSSASRQQQLFSALPSSVRSKQAPELPFPPSLRRQNDSFEAERLSTFTIPSPAGSERVLNQAATVDKSNEHKSPSESVNKFENQHRQSISNSKQNSVHTSPERNPLVTIPLLNESKKVHKGNESLRSEGISEASKPTPEPFLPNCEVTGLSLHDFGSRSGSQPFITAVHRRPSVDKAPFKRSAKSMAALRHKVSSRNEGKVLDDLNTKNKNGEPLSPQDWASKQMEDEAKRSETMNAEQSRQPLERPESQLSELNPEDSQTEEGLQLKHLAPTLAFVQSESHSLTKNTMKQCNTGIDGRGERPQSGSSGDGVATSTHDKQPCKGSGTFPIARGSGTCEWSSRRTQSNGINVESLAPLSSPGSNSSNISQQCNESKEESVNKRQLMLSTHLTESQLKKGREKKNESDGLPTKALNDSFIERAKSEEEPLSVAAEVSAMEQLTPALPGRNSLRFATMNETTLTQDSNVSMKLNECSPTGSQERTSYNQKDKELANPFTETEVQPSEILLNTNQTYQQLLNCFKKSPKRPLTYYISVVELCKVNDEDGSVSFTSTTKVKHLTKGREKGSLDSCFPLPDENSLAFVSRRSAAMKGEKETYEQFNEKQHQRKTLSCDRVSSDSEVPSIIREWARPTLESADMGSTLPKKRGERGTSAHPEGSPCSMARNILFPLPGKRVSTSGSSKVNTMRETAEPAELASDSLVKSCENASEPSSPSFKNLMYNGMADEVNNKIQFPPPGNRLSAAMIKSGSQRGHILFSSSKRPLTCYITDLESVKSNSQNAEILSRHDSTPVSISETKSLKEICSIHHRIKGKGRENRFPSHSHCKVDVVEDHTPVPSDNREQNESHSVIFQNKQNKGANDKEEREGLSPDKDSPSRRSFVTTSPVVPADLSSNKSPSSDPLAASSLDLSDCSTKHGPTLKCKSYQANQTDTLASSWHNNTIIRSIDENNVTLEQHCGQDTRPFSPPTRHEQIFPLSATSGLKRNETFRKDQKIDNSKDTHPIYMKSTDKRSFVRPSKKSSIITSANFGFGNSKASDQSLPNQFDPLSNSIGTQSVPQPIEGAKNTQDMKSLQKDEESISENLDKENNVETVLINPQSKALPLSDVSNSSTVTVETHSRMPHSAPKNPFIKQLTVTPNQLNPSQPNRRDDSIQSFSTKFSSALTQAIGFSSAEKNIHVEVPSSDQQSVLESSKVHYSSGTMSCVNPTGFHPTLQTENGHSVVMSDLTSRQFDFQWPHPEEQGRSVDTGYGSSFSEWHESSVNHGHIASVSNAEMSIMEFDRDSSSFSKRSRHFDSWFSHGKEQGWFPGQGFRRQEGSRGFTNSFFSRTFTNSQHYFPMNESNEFTDPSMANEMPANLSVRQYVNQSHYSEYRKNEQFGRPYVYKDAPDIEMPSPARLFEEQNERDRSSDSRNWIISSLPTRKPSQQHRPPIFGSKSQTTAPFHLSRATFHGTAPLASRQRSDFCLSTSGFTNKQVYDEKFVRPERTRYMDYITSTRPSFTKFGERPTGPRLIDYISMNSTENYHKKHRETINGHRNGSSYFHGFQTRSGYNLPTRTNVSSASQEQALKSDLDDLVQFFENELLSADWPPPMGSEERMVAPKPVFTSDVLHNELPSNYIKAQVAAGRVPMPPGAHGDCGKQGLSPLKSTEKGSKRFSFLSAFSSRFSMRSSFPTPKKQNTVNKSRSTPLEIPDNKAMEKSLRYTLPQKLMQSVDTENCFKKRQIEEFWEGTVGQLWRIDRKTKQLKSIRDKEARQLEENRELVQLIKRAEEDNLFSFDFAPPVKTM
+>sp|Q99504|EYA3_HUMAN Eyes absent homolog 3 OS=Homo sapiens OX=9606 GN=EYA3 PE=1 SV=3
+MEEEQDLPEQPVKKAKMQESGEQTISQVSNPDVSDQKPETSSLASNLPMSEEIMTCTDYIPRSSNDYTSQMYSAKPYAHILSVPVSETAYPGQTQYQTLQQTQPYAVYPQATQTYGLPPFGALWPGMKPESGLIQTPSPSQHSVLTCTTGLTTSQPSPAHYSYPIQASSTNASLISTSSTIANIPAAAVASISNQDYPTYTILGQNQYQACYPSSSFGVTGQTNSDAESTTLAATTYQSEKPSVMAPAPAAQRLSSGDPSTSPSLSQTTPSKDTDDQSRKNMTSKNRGKRKADATSSQDSELERVFLWDLDETIIIFHSLLTGSYAQKYGKDPTVVIGSGLTMEEMIFEVADTHLFFNDLEECDQVHVEDVASDDNGQDLSNYSFSTDGFSGSGGSGSHGSSVGVQGGVDWMRKLAFRYRKVREIYDKHKSNVGGLLSPQRKEALQRLRAEIEVLTDSWLGTALKSLLLIQSRKNCVNVLITTTQLVPALAKVLLYGLGEIFPIENIYSATKIGKESCFERIVSRFGKKVTYVVIGDGRDEEIAAKQHNMPFWRITNHGDLVSLHQALELDFL
+>DECOY_sp|Q99504|EYA3_HUMAN Eyes absent homolog 3 OS=Homo sapiens OX=9606 GN=EYA3 PE=1 SV=3
+LFDLELAQHLSVLDGHNTIRWFPMNHQKAAIEEDRGDGIVVYTVKKGFRSVIREFCSEKGIKTASYINEIPFIEGLGYLLVKALAPVLQTTTILVNVCNKRSQILLLSKLATGLWSDTLVEIEARLRQLAEKRQPSLLGGVNSKHKDYIERVKRYRFALKRMWDVGGQVGVSSGHSGSGGSGSFGDTSFSYNSLDQGNDDSAVDEVHVQDCEELDNFFLHTDAVEFIMEEMTLGSGIVVTPDKGYKQAYSGTLLSHFIIITEDLDWLFVRELESDQSSTADAKRKGRNKSTMNKRSQDDTDKSPTTQSLSPSTSPDGSSLRQAAPAPAMVSPKESQYTTAALTTSEADSNTQGTVGFSSSPYCAQYQNQGLITYTPYDQNSISAVAAAPINAITSSTSILSANTSSAQIPYSYHAPSPQSTTLGTTCTLVSHQSPSPTQILGSEPKMGPWLAGFPPLGYTQTAQPYVAYPQTQQLTQYQTQGPYATESVPVSLIHAYPKASYMQSTYDNSSRPIYDTCTMIEESMPLNSALSSTEPKQDSVDPNSVQSITQEGSEQMKAKKVPQEPLDQEEEM
+>sp|O95677|EYA4_HUMAN Eyes absent homolog 4 OS=Homo sapiens OX=9606 GN=EYA4 PE=1 SV=2
+MEDSQDLNEQSVKKTCTESDVSQSQNSRSMEMQDLASPHTLVGGGDTPGSSKLEKSNLSSTSVTTNGTGGENMTVLNTADWLLSCNTPSSATMSLLAVKTEPLNSSETTATTGDGALDTFTGSVITSSGYSPRSAHQYSPQLYPSKPYPHILSTPAAQTMSAYAGQTQYSGMQQPAVYTAYSQTGQPYSLPTYDLGVMLPAIKTESGLSQTQSPLQSGCLSYSPGFSTPQPGQTPYSYQMPGSSFAPSSTIYANNSVSNSTNFSGSQQDYPSYTAFGQNQYAQYYSASTYGAYMTSNNTADGTPSSTSTYQLQESLPGLTNQPGEFDTMQSPSTPIKDLDERTCRSSGSKSRGRGRKNNPSPPPDSDLERVFVWDLDETIIVFHSLLTGSYAQKYGKDPPMAVTLGLRMEEMIFNLADTHLFFNDLEECDQVHIDDVSSDDNGQDLSTYSFATDGFHAAASSANLCLPTGVRGGVDWMRKLAFRYRRVKELYNTYKNNVGGLLGPAKRDAWLQLRAEIEGLTDSWLTNALKSLSIISTRSNCINVLVTTTQLIPALAKVLLYSLGGAFPIENIYSATKIGKESCFERIMQRFGRKVVYVVIGDGVEEEQAAKKHNMPFWRISSHSDLLALHQALELEYL
+>DECOY_sp|O95677|EYA4_HUMAN Eyes absent homolog 4 OS=Homo sapiens OX=9606 GN=EYA4 PE=1 SV=2
+LYELELAQHLALLDSHSSIRWFPMNHKKAAQEEEVGDGIVVYVVKRGFRQMIREFCSEKGIKTASYINEIPFAGGLSYLLVKALAPILQTTTVLVNICNSRTSIISLSKLANTLWSDTLGEIEARLQLWADRKAPGLLGGVNNKYTNYLEKVRRYRFALKRMWDVGGRVGTPLCLNASSAAAHFGDTAFSYTSLDQGNDDSSVDDIHVQDCEELDNFFLHTDALNFIMEEMRLGLTVAMPPDKGYKQAYSGTLLSHFVIITEDLDWVFVRELDSDPPPSPNNKRGRGRSKSGSSRCTREDLDKIPTSPSQMTDFEGPQNTLGPLSEQLQYTSTSSPTGDATNNSTMYAGYTSASYYQAYQNQGFATYSPYDQQSGSFNTSNSVSNNAYITSSPAFSSGPMQYSYPTQGPQPTSFGPSYSLCGSQLPSQTQSLGSETKIAPLMVGLDYTPLSYPQGTQSYATYVAPQQMGSYQTQGAYASMTQAAPTSLIHPYPKSPYLQPSYQHASRPSYGSSTIVSGTFTDLAGDGTTATTESSNLPETKVALLSMTASSPTNCSLLWDATNLVTMNEGGTGNTTVSTSSLNSKELKSSGPTDGGGVLTHPSALDQMEMSRSNQSQSVDSETCTKKVSQENLDQSDEM
+>sp|Q92800|EZH1_HUMAN Histone-lysine N-methyltransferase EZH1 OS=Homo sapiens OX=9606 GN=EZH1 PE=1 SV=2
+MEIPNPPTSKCITYWKRKVKSEYMRLRQLKRLQANMGAKALYVANFAKVQEKTQILNEEWKKLRVQPVQSMKPVSGHPFLKKCTIESIFPGFASQHMLMRSLNTVALVPIMYSWSPLQQNFMVEDETVLCNIPYMGDEVKEEDETFIEELINNYDGKVHGEEEMIPGSVLISDAVFLELVDALNQYSDEEEEGHNDTSDGKQDDSKEDLPVTRKRKRHAIEGNKKSSKKQFPNDMIFSAIASMFPENGVPDDMKERYRELTEMSDPNALPPQCTPNIDGPNAKSVQREQSLHSFHTLFCRRCFKYDCFLHPFHATPNVYKRKNKEIKIEPEPCGTDCFLLLEGAKEYAMLHNPRSKCSGRRRRRHHIVSASCSNASASAVAETKEGDSDRDTGNDWASSSSEANSRCQTPTKQKASPAPPQLCVVEAPSEPVEWTGAEESLFRVFHGTYFNNFCSIARLLGTKTCKQVFQFAVKESLILKLPTDELMNPSQKKKRKHRLWAAHCRKIQLKKDNSSTQVYNYQPCDHPDRPCDSTCPCIMTQNFCEKFCQCNPDCQNRFPGCRCKTQCNTKQCPCYLAVRECDPDLCLTCGASEHWDCKVVSCKNCSIQRGLKKHLLLAPSDVAGWGTFIKESVQKNEFISEYCGELISQDEADRRGKVYDKYMSSFLFNLNNDFVVDATRKGNKIRFANHSVNPNCYAKVVMVNGDHRIGIFAKRAIQAGEELFFDYRYSQADALKYVGIERETDVL
+>DECOY_sp|Q92800|EZH1_HUMAN Histone-lysine N-methyltransferase EZH1 OS=Homo sapiens OX=9606 GN=EZH1 PE=1 SV=2
+LVDTEREIGVYKLADAQSYRYDFFLEEGAQIARKAFIGIRHDGNVMVVKAYCNPNVSHNAFRIKNGKRTADVVFDNNLNFLFSSMYKDYVKGRRDAEDQSILEGCYESIFENKQVSEKIFTGWGAVDSPALLLHKKLGRQISCNKCSVVKCDWHESAGCTLCLDPDCERVALYCPCQKTNCQTKCRCGPFRNQCDPNCQCFKECFNQTMICPCTSDCPRDPHDCPQYNYVQTSSNDKKLQIKRCHAAWLRHKRKKKQSPNMLEDTPLKLILSEKVAFQFVQKCTKTGLLRAISCFNNFYTGHFVRFLSEEAGTWEVPESPAEVVCLQPPAPSAKQKTPTQCRSNAESSSSAWDNGTDRDSDGEKTEAVASASANSCSASVIHHRRRRRGSCKSRPNHLMAYEKAGELLLFCDTGCPEPEIKIEKNKRKYVNPTAHFPHLFCDYKFCRRCFLTHFSHLSQERQVSKANPGDINPTCQPPLANPDSMETLERYREKMDDPVGNEPFMSAIASFIMDNPFQKKSSKKNGEIAHRKRKRTVPLDEKSDDQKGDSTDNHGEEEEDSYQNLADVLELFVADSILVSGPIMEEEGHVKGDYNNILEEIFTEDEEKVEDGMYPINCLVTEDEVMFNQQLPSWSYMIPVLAVTNLSRMLMHQSAFGPFISEITCKKLFPHGSVPKMSQVPQVRLKKWEENLIQTKEQVKAFNAVYLAKAGMNAQLRKLQRLRMYESKVKRKWYTICKSTPPNPIEM
+>sp|Q9NUU6|F105A_HUMAN Inactive ubiquitin thioesterase FAM105A OS=Homo sapiens OX=9606 GN=FAM105A PE=2 SV=1
+MAATRSPTRARERERSGAPAAGSDQVHSWMLATSQALDTVWRMAKGFVMLAVSFLVAAICYFRRLHLYSGHKLKWWIGYLQRKFKRNLSVEAEVDLLSYCAREWKGETPRNKLMRKAYEELFWRHHIKCVRQVRRDNYDALRSVLFQIFSQGISFPSWMKEKDIVKLPEKLLFSQGCNWIQQYSFGPEKYTGSNVFGKLRKYVELLKTQWTEFNGIRDYHKRGSMCNTLFSDAILEYKLYEALKFIMLYQVTEVYEQMKTKKVIPSLFRLLFSRETSSDPLSFMMNHLNSVGDTCGLEQIDMFILGYSLEVKIKVFRLFKFNSRDFEVCYPEEPLRDWPEISLLTENDRHYHIPVF
+>DECOY_sp|Q9NUU6|F105A_HUMAN Inactive ubiquitin thioesterase FAM105A OS=Homo sapiens OX=9606 GN=FAM105A PE=2 SV=1
+FVPIHYHRDNETLLSIEPWDRLPEEPYCVEFDRSNFKFLRFVKIKVELSYGLIFMDIQELGCTDGVSNLHNMMFSLPDSSTERSFLLRFLSPIVKKTKMQEYVETVQYLMIFKLAEYLKYELIADSFLTNCMSGRKHYDRIGNFETWQTKLLEVYKRLKGFVNSGTYKEPGFSYQQIWNCGQSFLLKEPLKVIDKEKMWSPFSIGQSFIQFLVSRLADYNDRRVQRVCKIHHRWFLEEYAKRMLKNRPTEGKWERACYSLLDVEAEVSLNRKFKRQLYGIWWKLKHGSYLHLRRFYCIAAVLFSVALMVFGKAMRWVTDLAQSTALMWSHVQDSGAAPAGSRERERARTPSRTAAM
+>sp|P0CH98|F106C_HUMAN Putative protein FAM106C OS=Homo sapiens OX=9606 GN=FAM106CP PE=5 SV=1
+MLPSTMFLVHLPLSTNRLHCLRNTSLESCLCSFVHLNHPLHISDPVILISLHEAVRFSFAFSFPRGTLSIAYCLMSSVSTSSEAIMSTELLANYCHSSLHVCICISSFPNETGNHDSFPGAVVSISDQPTDQCKLAAKELPLRNLLECRFFDCMGEEDLINLGVIGTER
+>DECOY_sp|P0CH98|F106C_HUMAN Putative protein FAM106C OS=Homo sapiens OX=9606 GN=FAM106CP PE=5 SV=1
+RETGIVGLNILDEEGMCDFFRCELLNRLPLEKAALKCQDTPQDSISVVAGPFSDHNGTENPFSSICICVHLSSHCYNALLETSMIAESSTSVSSMLCYAISLTGRPFSFAFSFRVAEHLSILIVPDSIHLPHNLHVFSCLCSELSTNRLCHLRNTSLPLHVLFMTSPLM
+>sp|Q8TC76|F110B_HUMAN Protein FAM110B OS=Homo sapiens OX=9606 GN=FAM110B PE=1 SV=1
+MPTETLQTGSMVKPVSPAGTFTSAVPLRILNKGPDYFRRQAEPNPKRLSAVERLEADKAKYVKSQEVINAKQEPVKPAVLAKPPVCPAAKRALGSPTLKVFGNHAKTESGVQRENLKLEILKNIINSSEGSSSGSGHKHSSRNWPPHRSEATDLHRHSFAESLKVYPTQGRRSPQEGGSHVGRRLLEQSAESFLHVSHSSSDIRKVTSVKPLKAIPCSSSAPPLPPKPKIAAIASMKSPEADPVEPACGVSRRPSLQRSKSDLSDRYFRVDADVERFFNYCGLDPEELENLGMENFARANSDIISLNFRSASMISSDCEQSQDSNSDLRNDDSANDRVPYGISAIERNARIIKWLYSIKQARESQKVSHV
+>DECOY_sp|Q8TC76|F110B_HUMAN Protein FAM110B OS=Homo sapiens OX=9606 GN=FAM110B PE=1 SV=1
+VHSVKQSERAQKISYLWKIIRANREIASIGYPVRDNASDDNRLDSNSDQSQECDSSIMSASRFNLSIIDSNARAFNEMGLNELEEPDLGCYNFFREVDADVRFYRDSLDSKSRQLSPRRSVGCAPEVPDAEPSKMSAIAAIKPKPPLPPASSSCPIAKLPKVSTVKRIDSSSHSVHLFSEASQELLRRGVHSGGEQPSRRGQTPYVKLSEAFSHRHLDTAESRHPPWNRSSHKHGSGSSSGESSNIINKLIELKLNERQVGSETKAHNGFVKLTPSGLARKAAPCVPPKALVAPKVPEQKANIVEQSKVYKAKDAELREVASLRKPNPEAQRRFYDPGKNLIRLPVASTFTGAPSVPKVMSGTQLTETPM
+>sp|Q8TAY7|F110D_HUMAN Protein FAM110D OS=Homo sapiens OX=9606 GN=FAM110D PE=2 SV=1
+MLLAPPSTPSRGRTPSAVERLEADKAKYVKTHQVIARRQEPALRGSPGPLTPHPCNELGPPASPRTPRPVRRGSGRRLPRPDSLIFYRQKRDCKASVNKENAKGQGLVRRLFLGAPRDAAPSSPASTERPAASGGWAAPQDAPEAAGKRALCPTCSLPLSEKERFFNYCGLERALVEVLGAERFSPQSWGADASPQAGTSPPPGSGDASDWTSSDRGVDSPGGAGGGGGSEAAGSARDRRPPVSVVERNARVIQWLYGCQRARGPPRESEV
+>DECOY_sp|Q8TAY7|F110D_HUMAN Protein FAM110D OS=Homo sapiens OX=9606 GN=FAM110D PE=2 SV=1
+VESERPPGRARQCGYLWQIVRANREVVSVPPRRDRASGAAESGGGGGAGGPSDVGRDSSTWDSADGSGPPPSTGAQPSADAGWSQPSFREAGLVEVLARELGCYNFFREKESLPLSCTPCLARKGAAEPADQPAAWGGSAAPRETSAPSSPAADRPAGLFLRRVLGQGKANEKNVSAKCDRKQRYFILSDPRPLRRGSGRRVPRPTRPSAPPGLENCPHPTLPGPSGRLAPEQRRAIVQHTKVYKAKDAELREVASPTRGRSPTSPPALLM
+>sp|Q96PZ2|F111A_HUMAN Protein FAM111A OS=Homo sapiens OX=9606 GN=FAM111A PE=1 SV=2
+MSCKKQRSRKHSVNEKCNMKIEHYFSPVSKEQQNNCSTSLMRMESRGDPRATTNTQAQRFHSPKKNPEDQTMPQNRTIYVTLKVNHRRNQDMKLKLTHSENSSLYMALNTLQAVRKEIETHQGQEMLVRGTEGIKEYINLGMPLSCFPEGGQVVITFSQSKSKQKEDNHIFGRQDKASTECVKFYIHAIGIGKCKRRIVKCGKLHKKGRKLCVYAFKGETIKDALCKDGRFLSFLENDDWKLIENNDTILESTQPVDELEGRYFQVEVEKRMVPSAAASQNPESEKRNTCVLREQIVAQYPSLKRESEKIIENFKKKMKVKNGETLFELHRTTFGKVTKNSSSIKVVKLLVRLSDSVGYLFWDSATTGYATCFVFKGLFILTCRHVIDSIVGDGIEPSKWATIIGQCVRVTFGYEELKDKETNYFFVEPWFEIHNEELDYAVLKLKENGQQVPMELYNGITPVPLSGLIHIIGHPYGEKKQIDACAVIPQGQRAKKCQERVQSKKAESPEYVHMYTQRSFQKIVHNPDVITYDTEFFFGASGSPVFDSKGSLVAMHAAGFAYTYQNETRSIIEFGSTMESILLDIKQRHKPWYEEVFVNQQDVEMMSDEDL
+>DECOY_sp|Q96PZ2|F111A_HUMAN Protein FAM111A OS=Homo sapiens OX=9606 GN=FAM111A PE=1 SV=2
+LDEDSMMEVDQQNVFVEEYWPKHRQKIDLLISEMTSGFEIISRTENQYTYAFGAAHMAVLSGKSDFVPSGSAGFFFETDYTIVDPNHVIKQFSRQTYMHVYEPSEAKKSQVREQCKKARQGQPIVACADIQKKEGYPHGIIHILGSLPVPTIGNYLEMPVQQGNEKLKLVAYDLEENHIEFWPEVFFYNTEKDKLEEYGFTVRVCQGIITAWKSPEIGDGVISDIVHRCTLIFLGKFVFCTAYGTTASDWFLYGVSDSLRVLLKVVKISSSNKTVKGFTTRHLEFLTEGNKVKMKKKFNEIIKESERKLSPYQAVIQERLVCTNRKESEPNQSAAASPVMRKEVEVQFYRGELEDVPQTSELITDNNEILKWDDNELFSLFRGDKCLADKITEGKFAYVCLKRGKKHLKGCKVIRRKCKGIGIAHIYFKVCETSAKDQRGFIHNDEKQKSKSQSFTIVVQGGEPFCSLPMGLNIYEKIGETGRVLMEQGQHTEIEKRVAQLTNLAMYLSSNESHTLKLKMDQNRRHNVKLTVYITRNQPMTQDEPNKKPSHFRQAQTNTTARPDGRSEMRMLSTSCNNQQEKSVPSFYHEIKMNCKENVSHKRSRQKKCSM
+>sp|Q49AJ0|F135B_HUMAN Protein FAM135B OS=Homo sapiens OX=9606 GN=FAM135B PE=2 SV=2
+MSEIQGTVEFSVELHKFYNVDLFQRGYYQIRVTLKVSSRIPHRLSASIAGQTESSSLHSACVHDSTVHSRVFQILYRNEEVPINDAVVFRVHLLLGGERMEDALSEVDFQLKVDLHFTDSEQQLRDVAGAPMVSSRTLGLHFHPRNGLHHQVPVMFDYFHLSVISVTVHAALVALQQPLISFTRPGRGSWLGKGGPDTGQEQSIISLENLVFGAGYCKPTSSEGSFYITSENCMQHAHKWHRDLCLLLLHAYRGLRLHFLVIMRDIPELPHTELEALAVEETLSQLCSELQMLNNPEKIAEQISKDLAWLTSHMMTLWTQFLDTVTLHSQVTTYLTQEHHTLRVRRFSEAFFYMEHQKLAVLTFQENLIQTHSQLSLDIRNSEYLTSMPPLPAECLDIDGDWNTLPVIFEDRYVDCPATGHNLSVYPNFDVPVTSPTIMNLKDKEDNCMVNSNLSFREDLVLSTIKPSQMDSDEEVIRCPEPGENVATQNHMDMCSESQVYISIGEFQNKAGVPEDECWTGQTSDAGTYPVADVDTSRRSPGPEDGQAPVLTYIDVKSSNKNPSRAEPLVAFNAQHESRSSRDKYGLDRTGLSKVVVGGSHQNAISSDKTTLHELSTLGKGIDQEGKMVLLSLKLTPSEPCDPLSSTLREPLDIRSSLKDSHTEEQEELSVLSGVIKRSSSIISDSGIESEPSSVAWSEARSRALELPSDREVLHPFVRRHALHRNSLEGGHTESNTSLPSGIQASLTSISSLPFEEDEREVALTKLTKSVSAPHISSPEEAAEDADTKQQDGGFAEPSDMHSKSQGSPGSCSQLCGDSGTDAGADHPLVEIVLDADNQQGPGYIDIPKGKGKQFDAQGHCLPDGRTENTPGVETKGLNLKIPRVIALENPRTRSLHRALEETPKGMPKDLNVGQQALSNSGISEVEGLSQHQVPELSCTSAADAINRNSTGQQSQSGSPCIMDDTAFNRGVNAFPEAKHKAGTVCPTVTHSVHSQVLKNQELKAGTSIMGSHLTSAETFTLDSLKAVEVVNLSVSCTATCLPFSSVPKETPARAGFSSKQTLFPITHQPLGSFGVVSTHSSTLDEEVSERMFSFYQAKEKFKKELKIEGFLYSDLTVLASDIPYFPPEEEEENLEDGIHLVVCVHGLDGNSADLRLVKTFIELGLPGGKLDFLMSEKNQMDTFADFDTMTDRLLDEIIQHIQLYNLSISRISFIGHSLGNIIIRSVLTRPRFRYYLNKLHTFLSLSGPHLGTLYNNSTLVSTGLWLMQKLKKSGSLLQLTFRDNADLRKCFLYQLSQKTGLQYFKNVVLVASPQDRYVPFHSARIEMCKTALKDRHTGPVYAEMINNLLGPLVEAKDCTLIRHNVFHALPNTANTLIGRAAHIAVLDSELFLEKFFLVAGLNYFK
+>DECOY_sp|Q49AJ0|F135B_HUMAN Protein FAM135B OS=Homo sapiens OX=9606 GN=FAM135B PE=2 SV=2
+KFYNLGAVLFFKELFLESDLVAIHAARGILTNATNPLAHFVNHRILTCDKAEVLPGLLNNIMEAYVPGTHRDKLATKCMEIRASHFPVYRDQPSAVLVVNKFYQLGTKQSLQYLFCKRLDANDRFTLQLLSGSKKLKQMLWLGTSVLTSNNYLTGLHPGSLSLFTHLKNLYYRFRPRTLVSRIIINGLSHGIFSIRSISLNYLQIHQIIEDLLRDTMTDFDAFTDMQNKESMLFDLKGGPLGLEIFTKVLRLDASNGDLGHVCVVLHIGDELNEEEEEPPFYPIDSALVTLDSYLFGEIKLEKKFKEKAQYFSFMRESVEEDLTSSHTSVVGFSGLPQHTIPFLTQKSSFGARAPTEKPVSSFPLCTATCSVSLNVVEVAKLSDLTFTEASTLHSGMISTGAKLEQNKLVQSHVSHTVTPCVTGAKHKAEPFANVGRNFATDDMICPSGSQSQQGTSNRNIADAASTCSLEPVQHQSLGEVESIGSNSLAQQGVNLDKPMGKPTEELARHLSRTRPNELAIVRPIKLNLGKTEVGPTNETRGDPLCHGQADFQKGKGKPIDIYGPGQQNDADLVIEVLPHDAGADTGSDGCLQSCSGPSGQSKSHMDSPEAFGGDQQKTDADEAAEEPSSIHPASVSKTLKTLAVEREDEEFPLSSISTLSAQIGSPLSTNSETHGGELSNRHLAHRRVFPHLVERDSPLELARSRAESWAVSSPESEIGSDSIISSSRKIVGSLVSLEEQEETHSDKLSSRIDLPERLTSSLPDCPESPTLKLSLLVMKGEQDIGKGLTSLEHLTTKDSSIANQHSGGVVVKSLGTRDLGYKDRSSRSEHQANFAVLPEARSPNKNSSKVDIYTLVPAQGDEPGPSRRSTDVDAVPYTGADSTQGTWCEDEPVGAKNQFEGISIYVQSESCMDMHNQTAVNEGPEPCRIVEEDSDMQSPKITSLVLDERFSLNSNVMCNDEKDKLNMITPSTVPVDFNPYVSLNHGTAPCDVYRDEFIVPLTNWDGDIDLCEAPLPPMSTLYESNRIDLSLQSHTQILNEQFTLVALKQHEMYFFAESFRRVRLTHHEQTLYTTVQSHLTVTDLFQTWLTMMHSTLWALDKSIQEAIKEPNNLMQLESCLQSLTEEVALAELETHPLEPIDRMIVLFHLRLGRYAHLLLLCLDRHWKHAHQMCNESTIYFSGESSTPKCYGAGFVLNELSIISQEQGTDPGGKGLWSGRGPRTFSILPQQLAVLAAHVTVSIVSLHFYDFMVPVQHHLGNRPHFHLGLTRSSVMPAGAVDRLQQESDTFHLDVKLQFDVESLADEMREGGLLLHVRFVVADNIPVEENRYLIQFVRSHVTSDHVCASHLSSSETQGAISASLRHPIRSSVKLTVRIQYYGRQFLDVNYFKHLEVSFEVTGQIESM
+>sp|P0C7A2|F153B_HUMAN Protein FAM153B OS=Homo sapiens OX=9606 GN=FAM153B PE=2 SV=1
+MGCAYSCCLEVCCGEDEIVYPRMPGESTVCHREREKPITYHWYHWHPGHIYPRVASMEDYDEDLVQEASSEDVLGVHMVDKDTERDIEMKRQLRRLRELHLYSTWKKYQEAMKTSLGVPQCERDEGSLGKPLCPPEILSETLPGSVKKRVCFPSEDHLEEFIAEHLPEASNQSLLTVAHADTGIQTNGDLEDLEEHGPGQTVSEEATEVHMMEGDPDTLAELLIRDVLQELSSYNGEEEDPEEVKTSLGVPQRGDLEDLEEHVPGQTVSEEATGVHMMQVDPATPAKSDLEDLEEHVPGQTVSEEATGVHMMQVDPATLAKQLEDSTITGSHQQMSASPSSAPAEEATEKTKVEEEVKTRKPKKKTRKPSKKSRWNVLKCWDIFNIF
+>DECOY_sp|P0C7A2|F153B_HUMAN Protein FAM153B OS=Homo sapiens OX=9606 GN=FAM153B PE=2 SV=1
+FINFIDWCKLVNWRSKKSPKRTKKKPKRTKVEEEVKTKETAEEAPASSPSASMQQHSGTITSDELQKALTAPDVQMMHVGTAEESVTQGPVHEELDELDSKAPTAPDVQMMHVGTAEESVTQGPVHEELDELDGRQPVGLSTKVEEPDEEEGNYSSLEQLVDRILLEALTDPDGEMMHVETAEESVTQGPGHEELDELDGNTQIGTDAHAVTLLSQNSAEPLHEAIFEELHDESPFCVRKKVSGPLTESLIEPPCLPKGLSGEDRECQPVGLSTKMAEQYKKWTSYLHLERLRRLQRKMEIDRETDKDVMHVGLVDESSAEQVLDEDYDEMSAVRPYIHGPHWHYWHYTIPKERERHCVTSEGPMRPYVIEDEGCCVELCCSYACGM
+>sp|B1AL88|F155A_HUMAN Transmembrane protein FAM155A OS=Homo sapiens OX=9606 GN=FAM155A PE=2 SV=1
+MTRGAWMCRQYDDGLKIWLAAPRENEKPFIDSERAQKWRLSLASLLFFTVLLSDHLWFCAEAKLTRARDKEHQQQQRQQQQQQQQQRQRQQQQQQRRQQEPSWPALLASMGESSPAAQAHRLLSASSSPTLPPSPGDGGGGGGKGNRGKDDRGKALFLGNSAKPVWRLETCYPQGASSGQCFTVENADAVCARNWSRGAAGGDGQEVRSKHPTPLWNLSDFYLSFCNSYTLWELFSGLSSPNTLNCSLDVVLKEGGEMTTCRQCVEAYQDYDHHAQEKYEEFESVLHKYLQSEEYSVKSCPEDCKIVYKAWLCSQYFEVTQFNCRKTIPCKQYCLEVQTRCPFILPDNDEVIYGGLSSFICTGLYETFLTNDEPECCDVRREEKSNNPSKGTVEKSGSCHRTSLTVSSATRLCNSRLKLCVLVLILLHTVLTASAAQNTAGLSFGGINTLEENSTNEE
+>DECOY_sp|B1AL88|F155A_HUMAN Transmembrane protein FAM155A OS=Homo sapiens OX=9606 GN=FAM155A PE=2 SV=1
+EENTSNEELTNIGGFSLGATNQAASATLVTHLLILVLVCLKLRSNCLRTASSVTLSTRHCSGSKEVTGKSPNNSKEERRVDCCEPEDNTLFTEYLGTCIFSSLGGYIVEDNDPLIFPCRTQVELCYQKCPITKRCNFQTVEFYQSCLWAKYVIKCDEPCSKVSYEESQLYKHLVSEFEEYKEQAHHDYDQYAEVCQRCTTMEGGEKLVVDLSCNLTNPSSLGSFLEWLTYSNCFSLYFDSLNWLPTPHKSRVEQGDGGAAGRSWNRACVADANEVTFCQGSSAGQPYCTELRWVPKASNGLFLAKGRDDKGRNGKGGGGGGDGPSPPLTPSSSASLLRHAQAAPSSEGMSALLAPWSPEQQRRQQQQQQRQRQQQQQQQQQRQQQQHEKDRARTLKAEACFWLHDSLLVTFFLLSALSLRWKQARESDIFPKENERPAALWIKLGDDYQRCMWAGRTM
+>sp|O75949|F155B_HUMAN Transmembrane protein FAM155B OS=Homo sapiens OX=9606 GN=FAM155B PE=2 SV=2
+MFRGAWMWPGKDAAALTICCCCCCWAPRPSDKPCADSERAQRWRLSLASLLFFTVLLADHLWLCAGARPRARELSSAMRPPWGAGRERQPVPPRAVLPLPPPPPGEPSAPPGTCGPRYSNLTKAAPAAGSRPVCGGVPEPTGLDAACTKLQSLQRLFEPTTPAPPLRPPDSLSRAPAEFPSAKKNLLKGHFRNFTLSFCDTYTVWDLLLGMDRPDSLDCSLDTLMGDLLAVVASPGSGAWEACSNCIEAYQRLDRHAQEKYDEFDLVLHKYLQAEEYSIRSCTKGCKAVYKAWLCSEYFSVTQQECQRWVPCKQYCLEVQTRCPFILPDNEEMVYGGLPGFICTGLLDTSPKRLETKCCDVQWVSCEAKKKKKFKESEAPKTHQQQFHHSYFHHYHQQYHHYHPHHDPPGRVSNKPALLPVSGGSRLSPSRIRLCVLVLMLLHTVVSFSSNQGGGGLGLETLPALEEGLTREE
+>DECOY_sp|O75949|F155B_HUMAN Transmembrane protein FAM155B OS=Homo sapiens OX=9606 GN=FAM155B PE=2 SV=2
+EERTLGEELAPLTELGLGGGGQNSSFSVVTHLLMLVLVCLRIRSPSLRSGGSVPLLAPKNSVRGPPDHHPHYHHYQQHYHHFYSHHFQQQHTKPAESEKFKKKKKAECSVWQVDCCKTELRKPSTDLLGTCIFGPLGGYVMEENDPLIFPCRTQVELCYQKCPVWRQCEQQTVSFYESCLWAKYVAKCGKTCSRISYEEAQLYKHLVLDFEDYKEQAHRDLRQYAEICNSCAEWAGSGPSAVVALLDGMLTDLSCDLSDPRDMGLLLDWVTYTDCFSLTFNRFHGKLLNKKASPFEAPARSLSDPPRLPPAPTTPEFLRQLSQLKTCAADLGTPEPVGGCVPRSGAAPAAKTLNSYRPGCTGPPASPEGPPPPPLPLVARPPVPQRERGAGWPPRMASSLERARPRAGACLWLHDALLVTFFLLSALSLRWRQARESDACPKDSPRPAWCCCCCCITLAAADKGPWMWAGRFM
+>sp|Q96KS9|F167A_HUMAN Protein FAM167A OS=Homo sapiens OX=9606 GN=FAM167A PE=1 SV=1
+MSVPQIHVEEVGAEEGAGAAAPPDDHLRSLKALTEKLRLETRRPSYLEWQARLEEHTWPFPRPAAEPQASLEEGERGGQEPLLPLREAGQHPPSARSASQGARPLSTGKLEGFQSIDEAIAWLRKELTEMRLQDQQLARQLMRLRGDINKLKIEHTCRLHRRMLNDATYELEERDELADLFCDSPLASSFSLSTPLKLIGVTKMNINSRRFSLC
+>DECOY_sp|Q96KS9|F167A_HUMAN Protein FAM167A OS=Homo sapiens OX=9606 GN=FAM167A PE=1 SV=1
+CLSFRRSNINMKTVGILKLPTSLSFSSALPSDCFLDALEDREELEYTADNLMRRHLRCTHEIKLKNIDGRLRMLQRALQQDQLRMETLEKRLWAIAEDISQFGELKGTSLPRAGQSASRASPPHQGAERLPLLPEQGGREGEELSAQPEAAPRPFPWTHEELRAQWELYSPRRTELRLKETLAKLSRLHDDPPAAAGAGEEAGVEEVHIQPVSM
+>sp|A1KXE4|F168B_HUMAN Myelin-associated neurite-outgrowth inhibitor OS=Homo sapiens OX=9606 GN=FAM168B PE=1 SV=1
+MNPVYSPGSSGVPYANAKGIGYPAGFPMGYAAAAPAYSPNMYPGANPTFQTGYTPGTPYKVSCSPTSGAVPPYSSSPNPYQTAVYPVRSAYPQQSPYAQQGTYYTQPLYAAPPHVIHHTTVVQPNGMPATVYPAPIPPPRGNGVTMGMVAGTTMAMSAGTLLTAHSPTPVAPHPVTVPTYRAPGTPTYSYVPPQW
+>DECOY_sp|A1KXE4|F168B_HUMAN Myelin-associated neurite-outgrowth inhibitor OS=Homo sapiens OX=9606 GN=FAM168B PE=1 SV=1
+WQPPVYSYTPTGPARYTPVTVPHPAVPTPSHATLLTGASMAMTTGAVMGMTVGNGRPPPIPAPYVTAPMGNPQVVTTHHIVHPPAAYLPQTYYTGQQAYPSQQPYASRVPYVATQYPNPSSSYPPVAGSTPSCSVKYPTGPTYGTQFTPNAGPYMNPSYAPAAAAYGMPFGAPYGIGKANAYPVGSSGPSYVPNM
+>sp|Q8N8A8|F169B_HUMAN Protein FAM169B OS=Homo sapiens OX=9606 GN=FAM169B PE=2 SV=3
+MKVQSFGERVVLFILNAIIFGRLERNLDDDDMFFLPHSVKEQAKILWRRGAAVGFYTTKMKGRLCGDGTGACYLLPVFDTVFIRRKHWHRGLGTAMLRDFCETFPEDEALGVSCSMSPAMYQAHPGNSEDVSRHARTSQNDRPRQPAPGDGSKERMCGEELEDTKDDPECGVEEEDAGLAGQPPGKLTRSSP
+>DECOY_sp|Q8N8A8|F169B_HUMAN Protein FAM169B OS=Homo sapiens OX=9606 GN=FAM169B PE=2 SV=3
+PSSRTLKGPPQGALGADEEEVGCEPDDKTDELEEGCMREKSGDGPAPQRPRDNQSTRAHRSVDESNGPHAQYMAPSMSCSVGLAEDEPFTECFDRLMATGLGRHWHKRRIFVTDFVPLLYCAGTGDGCLRGKMKTTYFGVAAGRRWLIKAQEKVSHPLFFMDDDDLNRELRGFIIANLIFLVVREGFSQVKM
+>sp|Q8N612|F16A2_HUMAN FTS and Hook-interacting protein OS=Homo sapiens OX=9606 GN=FAM160A2 PE=1 SV=3
+MERMNWLSRLASRGPGHRIPQGANLQTPVMADPETCLMVFKNHWSQVVRILERQGPRAAPGGADDLSAVRNHTYQMLTLLAEDRAVPSAPTGPGPLLEFALHEDLLTRVLTWQLQWDELGDGVEERRAEQLKLFEMLVSEARQPLLRHGPVREALLTLLDACGRPVPSSPALDEGLVLLLSQLCVCVAQEPSLLEFFLQPPPEPGAAPRLLLFSRLVPFVHREGTLGQQARDALLLLMALSAGSPTVGRYIADHSYFCPVLATGLSALYSSLPRKIEVPGDDWHCLRREDWLGVPALALFMSSLEFCNAVIQVAHPLVQKQLVDYIHNGFLVPVMGPALHKTSVEEMIASTAYLELFLRSISEPALLRTFLRFLLLHRHDTHTILDTLVARIGSNSRLCMVSLSLFRTLLNLSCEDVLLQLVLRYLVPCNHVMLSQKPAVRDVDLYGRAADKFLSLIPRCCRHHAPSPPRPEHASWARGPGSPSVDSSSVTTVPRPSTPSRLALFLRQQSLGGSESPGPAPCSPGLSASPASSPGRRPTPAEEPGELEDNYLEYLREARRGVDRCVRACRTWSAPYDGERPSPEPSPFGSRTKKRSLLPEEDRNNVGEGEEEELGRRGRAGGAGEGPGHLPPPQLNGVPGSWPEGAKKVRLVPKEGAGELLEGISEGMAGLEGFGQELRELEVALSNGGTGSESPLEPPLPLEEEEAYESFTCPPEPPGPFLSSPLRTLNQLPSQPFTGPFMAVLFAKLENMLQNSVYVNFLLTGLVAQLACHPQPLLRSFLLNTNMVFQPSVKSLLQVLGSVKNKIENFAASQEDFPALLSKAKKYLIARGKLDWAEGPAAGPAPRRSDPLVKSRRPSLGELLLRHAHSPTRARQAAQLVLQPGRDGAGLGLSGGSPGASTPVLLTRGGAPERQGEALRVKNAVYCAVIFPEFLKELAAISQAHAVTSPFLLETSEEGSGPLISGCGPLNP
+>DECOY_sp|Q8N612|F16A2_HUMAN FTS and Hook-interacting protein OS=Homo sapiens OX=9606 GN=FAM160A2 PE=1 SV=3
+PNLPGCGSILPGSGEESTELLFPSTVAHAQSIAALEKLFEPFIVACYVANKVRLAEGQREPAGGRTLLVPTSAGPSGGSLGLGAGDRGPQLVLQAAQRARTPSHAHRLLLEGLSPRRSKVLPDSRRPAPGAAPGEAWDLKGRAILYKKAKSLLAPFDEQSAAFNEIKNKVSGLVQLLSKVSPQFVMNTNLLFSRLLPQPHCALQAVLGTLLFNVYVSNQLMNELKAFLVAMFPGTFPQSPLQNLTRLPSSLFPGPPEPPCTFSEYAEEEELPLPPELPSESGTGGNSLAVELERLEQGFGELGAMGESIGELLEGAGEKPVLRVKKAGEPWSGPVGNLQPPPLHGPGEGAGGARGRRGLEEEEGEGVNNRDEEPLLSRKKTRSGFPSPEPSPREGDYPASWTRCARVCRDVGRRAERLYELYNDELEGPEEAPTPRRGPSSAPSASLGPSCPAPGPSESGGLSQQRLFLALRSPTSPRPVTTVSSSDVSPSGPGRAWSAHEPRPPSPAHHRCCRPILSLFKDAARGYLDVDRVAPKQSLMVHNCPVLYRLVLQLLVDECSLNLLTRFLSLSVMCLRSNSGIRAVLTDLITHTDHRHLLLFRLFTRLLAPESISRLFLELYATSAIMEEVSTKHLAPGMVPVLFGNHIYDVLQKQVLPHAVQIVANCFELSSMFLALAPVGLWDERRLCHWDDGPVEIKRPLSSYLASLGTALVPCFYSHDAIYRGVTPSGASLAMLLLLADRAQQGLTGERHVFPVLRSFLLLRPAAGPEPPPQLFFELLSPEQAVCVCLQSLLLVLGEDLAPSSPVPRGCADLLTLLAERVPGHRLLPQRAESVLMEFLKLQEARREEVGDGLEDWQLQWTLVRTLLDEHLAFELLPGPGTPASPVARDEALLTLMQYTHNRVASLDDAGGPAARPGQRELIRVVQSWHNKFVMLCTEPDAMVPTQLNAGQPIRHGPGRSALRSLWNMREM
+>sp|O00757|F16P2_HUMAN Fructose-1,6-bisphosphatase isozyme 2 OS=Homo sapiens OX=9606 GN=FBP2 PE=1 SV=2
+MTDRSPFETDMLTLTRYVMEKGRQAKGTGELTQLLNSMLTAIKAISSAVRKAGLAHLYGIAGSVNVTGDEVKKLDVLSNSLVINMVQSSYSTCVLVSEENKDAIITAKEKRGKYVVCFDPLDGSSNIDCLASIGTIFAIYRKTSEDEPSEKDALQCGRNIVAAGYALYGSATLVALSTGQGVDLFMLDPALGEFVLVEKDVKIKKKGKIYSLNEGYAKYFDAATTEYVQKKKFPEDGSAPYGARYVGSMVADVHRTLVYGGIFLYPANQKSPKGKLRLLYECNPVAYIIEQAGGLATTGTQPVLDVKPEAIHQRVPLILGSPEDVQEYLTCVQKNQAGS
+>DECOY_sp|O00757|F16P2_HUMAN Fructose-1,6-bisphosphatase isozyme 2 OS=Homo sapiens OX=9606 GN=FBP2 PE=1 SV=2
+SGAQNKQVCTLYEQVDEPSGLILPVRQHIAEPKVDLVPQTGTTALGGAQEIIYAVPNCEYLLRLKGKPSKQNAPYLFIGGYVLTRHVDAVMSGVYRAGYPASGDEPFKKKQVYETTAADFYKAYGENLSYIKGKKKIKVDKEVLVFEGLAPDLMFLDVGQGTSLAVLTASGYLAYGAAVINRGCQLADKESPEDESTKRYIAFITGISALCDINSSGDLPDFCVVYKGRKEKATIIADKNEESVLVCTSYSSQVMNIVLSNSLVDLKKVEDGTVNVSGAIGYLHALGAKRVASSIAKIATLMSNLLQTLEGTGKAQRGKEMVYRTLTLMDTEFPSRDTM
+>sp|A1A519|F170A_HUMAN Protein FAM170A OS=Homo sapiens OX=9606 GN=FAM170A PE=2 SV=1
+MKRRQKRKHLENEESQETAEKGGGMSKSQEDALQPGSTRVAKGWSQGVGEVTSTSEYCSCVSSSRKLIHSGIQRIHRDSPQPQSPLAQVQERGETPPRSQHVSLSSYSSYKTCVSSLCVNKEERGMKIYYMQVQMNKGVAVSWETEETLESLEKQPRMEEVTLSEVVRVGTPPSDVSTRNLLSDSEPSGEEKEHEERTESDSLPGSPTVEDTPRAKTPDWLVTMENGFRCMACCRVFTTMEALQEHVQFGIREGFSCHVFHLTMAQLTGNMESESTQDEQEEENGNEKEEEEKPEAKEEEGQPTEEDLGLRRSWSQCPGCVFHSPKDRNS
+>DECOY_sp|A1A519|F170A_HUMAN Protein FAM170A OS=Homo sapiens OX=9606 GN=FAM170A PE=2 SV=1
+SNRDKPSHFVCGPCQSWSRRLGLDEETPQGEEEKAEPKEEEEKENGNEEEQEDQTSESEMNGTLQAMTLHFVHCSFGERIGFQVHEQLAEMTTFVRCCAMCRFGNEMTVLWDPTKARPTDEVTPSGPLSDSETREEHEKEEGSPESDSLLNRTSVDSPPTGVRVVESLTVEEMRPQKELSELTEETEWSVAVGKNMQVQMYYIKMGREEKNVCLSSVCTKYSSYSSLSVHQSRPPTEGREQVQALPSQPQPSDRHIRQIGSHILKRSSSVCSCYESTSTVEGVGQSWGKAVRTSGPQLADEQSKSMGGGKEATEQSEENELHKRKQRRKM
+>sp|Q5T1J6|F182A_HUMAN Protein FAM182A OS=Homo sapiens OX=9606 GN=FAM182A PE=2 SV=1
+MQGIDRWIPSIPPLQNHSAVNRRHFSAPQKLHADCEKEMLDGGVPGTTVRVSFDFSCLEMVRELWMWNVEEEEHEVGISTWGGQHCGCPAKSLPGPHPGGVSAPQSASQLMVKLLVWQKSVHKLRKIAAQSEEWSCVLNKISTHPFVSKEHDGT
+>DECOY_sp|Q5T1J6|F182A_HUMAN Protein FAM182A OS=Homo sapiens OX=9606 GN=FAM182A PE=2 SV=1
+TGDHEKSVFPHTSIKNLVCSWEESQAAIKRLKHVSKQWVLLKVMLQSASQPASVGGPHPGPLSKAPCGCHQGGWTSIGVEHEEEEVNWMWLERVMELCSFDFSVRVTTGPVGGDLMEKECDAHLKQPASFHRRNVASHNQLPPISPIWRDIGQM
+>sp|Q9ULE4|F184B_HUMAN Protein FAM184B OS=Homo sapiens OX=9606 GN=FAM184B PE=2 SV=3
+MASALNSKINPPGTCQGSKADGGAGWRMDCDPQMHVKMCKKIAQLTKVIYALNTRQDEAEASMEALREAHQEELQNAVAETKARLLQEQGCAEEEALLQRIQALESALELQKRLTEEALAESASCRLETKERELRVEAEHAERVLTLSREMLELKADYERRLQHLTSHEATPQGRLPQESPETKSEPGQGPEMQEVLLEVQRLRVENQQLSKDYARKAEELQATYERENEAIRQAMQQSVSQALWQWQEKESDLRKNFQVQESALQAQVRKLEGDLEHRGRKISDLKKYAQKLKERIQDLDVQLKEARQENSELKGTAKKLGEKLAVAKDRMMLQECRGTQQTDAMKTELVSENKVLREENDLEAGNLHPQQDQSCLKECPCMKGGTDMQTKKEASAETEYMKQQYEEDLRKIKHQTEEEKKHLKDQLVKRLEDLVKKHTVEIKSVRSSVEAERKKLQREVEAQLEEVRKKSEKEIKQLEEEKAALNVKLQNSLLEVLRLEEFIQQNKTRPTGAEESPQELGRQHCSILETQDPCLKLDETSPRGEEYQDKLAAEEGTSSDEEERTKVLLKEGSDPQPPLGSLLKEKTSKIQRLEEDWQSQKAKLQAQVSQMQQALEQCTSNYREDLQALKQLSDLEREKLQRELQETTQQNHAMKAQLEASHQRALRMLEKARHQELKATEERLKKESSHSLQIQHQTHRLELQALEEKARQELQEERERMQAQQALLLESLRQELSEQQAACSGHQKDLEALQAELRALGRQQASSQCPGDSKDHIIATEERGGPGQAGSPPGAAGQGSGEGCGLWEENAQLQDAVRRLRAEVEQHQQEAQKLRDQRRFLEETQQAQRAREVETLRQEHRKEMQAMVADFSSAQAQLQARLAALEAELKDSGEKPGKGASRPEDLQLIGRLQTRLKEREDIIKQLTEERRFHYAAFPSAMSHRNRSFSFNPHPGYLTPSMKKKKVEDVPSRVVSVPNLASYAKNFLSGDLSSRINAPPITTSPSLDPSPSCGRTYKPNQSTDAKTATRTPDGETAQAKEVQQKQGSPHQEWFTKYFSF
+>DECOY_sp|Q9ULE4|F184B_HUMAN Protein FAM184B OS=Homo sapiens OX=9606 GN=FAM184B PE=2 SV=3
+FSFYKTFWEQHPSGQKQQVEKAQATEGDPTRTATKADTSQNPKYTRGCSPSPDLSPSTTIPPANIRSSLDGSLFNKAYSALNPVSVVRSPVDEVKKKKMSPTLYGPHPNFSFSRNRHSMASPFAAYHFRREETLQKIIDEREKLRTQLRGILQLDEPRSAGKGPKEGSDKLEAELAALRAQLQAQASSFDAVMAQMEKRHEQRLTEVERARQAQQTEELFRRQDRLKQAEQQHQEVEARLRRVADQLQANEEWLGCGEGSGQGAAGPPSGAQGPGGREETAIIHDKSDGPCQSSAQQRGLARLEAQLAELDKQHGSCAAQQESLEQRLSELLLAQQAQMREREEQLEQRAKEELAQLELRHTQHQIQLSHSSEKKLREETAKLEQHRAKELMRLARQHSAELQAKMAHNQQTTEQLERQLKERELDSLQKLAQLDERYNSTCQELAQQMQSVQAQLKAKQSQWDEELRQIKSTKEKLLSGLPPQPDSGEKLLVKTREEEDSSTGEEAALKDQYEEGRPSTEDLKLCPDQTELISCHQRGLEQPSEEAGTPRTKNQQIFEELRLVELLSNQLKVNLAAKEEELQKIEKESKKRVEELQAEVERQLKKREAEVSSRVSKIEVTHKKVLDELRKVLQDKLHKKEEETQHKIKRLDEEYQQKMYETEASAEKKTQMDTGGKMCPCEKLCSQDQQPHLNGAELDNEERLVKNESVLETKMADTQQTGRCEQLMMRDKAVALKEGLKKATGKLESNEQRAEKLQVDLDQIREKLKQAYKKLDSIKRGRHELDGELKRVQAQLASEQVQFNKRLDSEKEQWQWLAQSVSQQMAQRIAENEREYTAQLEEAKRAYDKSLQQNEVRLRQVELLVEQMEPGQGPESKTEPSEQPLRGQPTAEHSTLHQLRREYDAKLELMERSLTLVREAHEAEVRLEREKTELRCSASEALAEETLRKQLELASELAQIRQLLAEEEACGQEQLLRAKTEAVANQLEEQHAERLAEMSAEAEDQRTNLAYIVKTLQAIKKCMKVHMQPDCDMRWGAGGDAKSGQCTGPPNIKSNLASAM
+>sp|Q9GZU8|F192A_HUMAN Protein FAM192A OS=Homo sapiens OX=9606 GN=FAM192A PE=1 SV=1
+MDGGDDGNLIIKKRFVSEAELDERRKRRQEEWEKVRKPEDPEECPEEVYDPRSLYERLQEQKDRKQQEYEEQFKFKNMVRGLDEDETNFLDEVSRQQELIEKQRREEELKELKEYRNNLKKVGISQENKKEVEKKLTVKPIETKNKFSQAKLLAGAVKHKSSESGNSVKRLKPDPEPDDKNQEPSSCKSLGNTSLSGPSIHCPSAAVCIGILPGLGAYSGSSDSESSSDSEGTINATGKIVSSIFRTNTFLEAP
+>DECOY_sp|Q9GZU8|F192A_HUMAN Protein FAM192A OS=Homo sapiens OX=9606 GN=FAM192A PE=1 SV=1
+PAELFTNTRFISSVIKGTANITGESDSSSESDSSGSYAGLGPLIGICVAASPCHISPGSLSTNGLSKCSSPEQNKDDPEPDPKLRKVSNGSESSKHKVAGALLKAQSFKNKTEIPKVTLKKEVEKKNEQSIGVKKLNNRYEKLEKLEEERRQKEILEQQRSVEDLFNTEDEDLGRVMNKFKFQEEYEQQKRDKQEQLREYLSRPDYVEEPCEEPDEPKRVKEWEEQRRKRREDLEAESVFRKKIILNGDDGGDM
+>sp|Q6ZSG2|F196A_HUMAN Protein FAM196A OS=Homo sapiens OX=9606 GN=FAM196A PE=2 SV=1
+MVSKDTGKCILTTSESEVEPAACLALEMKYALDPNRQIKKRNKALQVRFKDICEAQNEQRDTQLSSGQLGEKREAKPVSCRAAYRKYMTVPARRSIPNVTKSTGVQTSPDLKKCYQTFPLDRKKGNLKSLPAADPFKSQNNGFLTDAKEKNEAGPMEEARPCGAGRVHKTTALVFHSNQHMNTVDQPLGVNCTEPCKSPEPLSYGEAALQNSTRPPSEEPDYQLLGRAKQDRGRPNSEEPAPPALRRVFKTEVATVYAPALSARAPEPGLSDSAAASQWSLCPADDERRRATHLNGLQAPSETALACSPPMQCLSPECSEQPSQTHTPPGLGNQPSPTAVAAGEECQRIVPHTEVVDLKAQLQMMENLISSSQETIKVLLGVIQELEKGEAHREGLSYRTGQDTANCDTCRNSACIIYSVELDFKQQEDKLQPVLRKLHPIEETQVIPSPYSQETYSSTPKQKSKTESKKHGRWKLWFL
+>DECOY_sp|Q6ZSG2|F196A_HUMAN Protein FAM196A OS=Homo sapiens OX=9606 GN=FAM196A PE=2 SV=1
+LFWLKWRGHKKSETKSKQKPTSSYTEQSYPSPIVQTEEIPHLKRLVPQLKDEQQKFDLEVSYIICASNRCTDCNATDQGTRYSLGERHAEGKELEQIVGLLVKITEQSSSILNEMMQLQAKLDVVETHPVIRQCEEGAAVATPSPQNGLGPPTHTQSPQESCEPSLCQMPPSCALATESPAQLGNLHTARRREDDAPCLSWQSAAASDSLGPEPARASLAPAYVTAVETKFVRRLAPPAPEESNPRGRDQKARGLLQYDPEESPPRTSNQLAAEGYSLPEPSKCPETCNVGLPQDVTNMHQNSHFVLATTKHVRGAGCPRAEEMPGAENKEKADTLFGNNQSKFPDAAPLSKLNGKKRDLPFTQYCKKLDPSTQVGTSKTVNPISRRAPVTMYKRYAARCSVPKAERKEGLQGSSLQTDRQENQAECIDKFRVQLAKNRKKIQRNPDLAYKMELALCAAPEVESESTTLICKGTDKSVM
+>sp|Q7Z5A9|F19A1_HUMAN Protein FAM19A1 OS=Homo sapiens OX=9606 GN=FAM19A1 PE=1 SV=1
+MAMVSAMSWVLYLWISACAMLLCHGSLQHTFQQHHLHRPEGGTCEVIAAHRCCNKNRIEERSQTVKCSCLPGKVAGTTRNRPSCVDASIVIGKWWCEMEPCLEGEECKTLPDNSGWMCATGNKIKTTRIHPRT
+>DECOY_sp|Q7Z5A9|F19A1_HUMAN Protein FAM19A1 OS=Homo sapiens OX=9606 GN=FAM19A1 PE=1 SV=1
+TRPHIRTTKIKNGTACMWGSNDPLTKCEEGELCPEMECWWKGIVISADVCSPRNRTTGAVKGPLCSCKVTQSREEIRNKNCCRHAAIVECTGGEPRHLHHQQFTHQLSGHCLLMACASIWLYLVWSMASVMAM
+>sp|A6NFA0|F205C_HUMAN Protein FAM205C OS=Homo sapiens OX=9606 GN=FAM205C PE=3 SV=2
+MLSPTFVLWDVGYPLYTYGSICIIALIIWQVKKSCQKLSLVPNRSCCRCHRRVQQKSGDRTSRARRTSQEEAEKLWKLLFLMKSQGWLPQEGSVRRILCADPCCQICNVMALEIKQLLAGENNQISLTSLGPSQGSSCLEALSTSSVSFKHSQDLGSPKSKELSLASVTPTLSQLMDQKSLTQSAARSAGADSVQDSWADHFQRGQRSQVPAVSQVMGSLSSNFEKPGIPLSQQERTKNNSKFVLENQEAPEVGLDNKMKLFLHWINPEMKDRRHEESILLSKAETVTQDRTKNIEKSPTVTKDHVWGATTQKTTEDPEAQPPSTEEEGLIFCDAPSA
+>DECOY_sp|A6NFA0|F205C_HUMAN Protein FAM205C OS=Homo sapiens OX=9606 GN=FAM205C PE=3 SV=2
+ASPADCFILGEEETSPPQAEPDETTKQTTAGWVHDKTVTPSKEINKTRDQTVTEAKSLLISEEHRRDKMEPNIWHLFLKMKNDLGVEPAEQNELVFKSNNKTREQQSLPIGPKEFNSSLSGMVQSVAPVQSRQGRQFHDAWSDQVSDAGASRAASQTLSKQDMLQSLTPTVSALSLEKSKPSGLDQSHKFSVSSTSLAELCSSGQSPGLSTLSIQNNEGALLQKIELAMVNCIQCCPDACLIRRVSGEQPLWGQSKMLFLLKWLKEAEEQSTRRARSTRDGSKQQVRRHCRCCSRNPVLSLKQCSKKVQWIILAIICISGYTYLPYGVDWLVFTPSLM
+>sp|Q9NX38|F206A_HUMAN Protein Simiate OS=Homo sapiens OX=9606 GN=FAM206A PE=1 SV=1
+MATEPEAAEPVVPSLVDRYFTRWYKPDVKGKFCEDHCILQHSNRICVITLAESHPVLQSGKTIKSISYQISTNCSRLQNKVSGKFKRGAQFLTELAPLCKIYCSDGEEYTVSSCVRGRLMEVNENILHKPSILQEKPSTEGYIAVVLPKFEESKSITEGLLTQKQYEEVMVKRINATTATS
+>DECOY_sp|Q9NX38|F206A_HUMAN Protein Simiate OS=Homo sapiens OX=9606 GN=FAM206A PE=1 SV=1
+STATTANIRKVMVEEYQKQTLLGETISKSEEFKPLVVAIYGETSPKEQLISPKHLINENVEMLRGRVCSSVTYEEGDSCYIKCLPALETLFQAGRKFKGSVKNQLRSCNTSIQYSISKITKGSQLVPHSEALTIVCIRNSHQLICHDECFKGKVDPKYWRTFYRDVLSPVVPEAAEPETAM
+>sp|Q9NSI2|F207A_HUMAN Protein FAM207A OS=Homo sapiens OX=9606 GN=FAM207A PE=1 SV=2
+MGKVRGLRARVHQAAVRPKGEAAPGPAPPAPEATPPPASAAGKDWAFINTNIFARTKIDPSALVQKLELDVRSVTSVRRGEAGSSARSVPSIRRGAEAKTVLPKKEKMKLRREQWLQKIEAIKLAEQKHREERRRRATVVVGDLHPLRDALPELLGLEAGSRRQARSRESNKPRPSELSRMSAAQRQQLLEEERTRFQELLASPAYRASPLVAIGQTLARQMQLEDGGQL
+>DECOY_sp|Q9NSI2|F207A_HUMAN Protein FAM207A OS=Homo sapiens OX=9606 GN=FAM207A PE=1 SV=2
+LQGGDELQMQRALTQGIAVLPSARYAPSALLEQFRTREEELLQQRQAASMRSLESPRPKNSERSRAQRRSGAELGLLEPLADRLPHLDGVVVTARRRREERHKQEALKIAEIKQLWQERRLKMKEKKPLVTKAEAGRRISPVSRASSGAEGRRVSTVSRVDLELKQVLASPDIKTRAFINTNIFAWDKGAASAPPPTAEPAPPAPGPAAEGKPRVAAQHVRARLGRVKGM
+>sp|Q5VWN6|F208B_HUMAN Protein FAM208B OS=Homo sapiens OX=9606 GN=FAM208B PE=1 SV=1
+MAPPAHKSILERSENVLMSPWKGKLIVQDRMLCDIALWSTYGAMIPTQLPQELDFKYVMKVSSLKKRLPEAAFRKQNYLEEKVCFQDLCFNLYEVELSNRQGENIDKLTECIKNKQLAIIKCLEDRGFFILLTSSALLSEPDFGGKQMGLHGLHLFRSPLSTGVKDLKVEDDISMKVIPILSTLNCALLETKKSLPEERIHPNTLVKRHFQELYKADRSPSLSVAPQDRMKDPTFLGKLPSGFDLIPPAEKCPSESLTQLNSYFSDPSAYILEVSTALDLLAEHPQSPCVSDGICDAGFSLVMTPDPEFLVSEAEVRKETETKKDSEEMLKAKKRVFPLSPASNLRVQPKRKASMPHMVQSKKVNLCRPFPKRTASRADNSSDSPTTLKLVKGQFPQKRKRGAEVLTAQFVQKTKLDRKNQEAPISKDVPVPTNAKRARKQEKSPVKTVPRAKPPVKKSPQKQRVNIVKGNENPRNRKQLQPVKGETASKLQSEISRGCQEDGISINSVQPENTTAAHNDLPENSIVNYDSQALNMLADLALSSATSSTPVSEARNLHCSSELPQNDVLLSKENSLRGTSDHEYHRGVKTQKGELLPNPSSDRKSNSGSDLTVSQDEESLVPCSQAPAKAQSALTEEMLESSDASQSSSVSVEHSYALLLTEHSKKHLQEREILSPLFPRNGTKSPEAATPVGKVMPFRHQPGLLLQQKPPDDPVVKPKDRPPSARVKKSSCSRIVLSCDDSVKITFKCETEYAFSLDSKYTNNPLEKTVVRALHGPWNTDLPDNVEEVKLLLHMWVALFYSNQNKIIRSSRKVVEHSNPAKYVSINSTLESCELREIEESLGLEKCSADSLLETNEISRAHAAEVSFRDPNCLLPFIKTPLTQGLELCVQNEQKKTFARECDPDTQEDQNFICSYNNEVTGEEAKQESLETSNLVLSGIGSTQTNGPSVPSEEEIVQPLDSTRVASYSGTVTQATFTRTYDGPGSQPVICQSSVYGTLENKVDILDAAVQTKTGTLQDLIQHGSPINNECHPSLERKDDNMGCAVINPEPITLTFEKNAHVPIQTEGVNTADERTTFKKELIKQVSPAASLRHPVSTSENARTQGLRDIPSLVVAGQKGTKYLCASSVGGETLDKAVCSLQKETPLPVSLPSDKTMVMEALSLAKSSSHLSPSEEVRCTQDFLSQTQSLLGLSSEGLLELTQVEVDSSSASTTLGRQCSLNCISSGCHTSGDSLELRKNHKNGPNTENMNLEAFDSVFIKQTSLSVSREVSLELSEEDSDIDLALTISPPTSPREEMPAGEIEQFEEAPFSNLELQDVAEEIGEPEEVALTESREVSSADNVSVYPSVSEEPVENKERKGDNLQPVTLILSKENCTLEIAEEINVTSDFPFDSVIEEVSPASSPEPPVPVKETRPYQAVTPCILKLHGTQCEKSNQISQCESEDLGITEKENVFVGPTHPVGQDNFTQVQQMQVSAEMPLILTDHPGRTGRPTLPGKVTEEIVSSEHDEGLSFSGKVQCYGRELNQPASAAKCTGDFSPSPEKLVKSGNPLQPVSIENRNLDLKHLVLESSEPPFGPRNVIENKSLSDTLVSTTAPSGIVNVSVKQQTSPKSSQNHLFPGDLKTDEGIYLQVKSLTAASVDGAYSTQGCMCSVVPTLCSSSDNATLTHYVRPINAEPVFQAQEIPAGRMASLLKNGEPEAELHKETTGPGTAGPQSNTTSSLKGERKAIHTLQDVSTCETKELLNVGVSSLCAGPYQNTADTKENLSKEPLASFVSESFDTSVCGIATEHVEIENSGEGLRAEAGSETLGRDGEVGVNSDMHYELSGDSDLDLLGDCRNPRLDLEDSYTLRGSYTRKKDVPTDGYESSLNFHNNNQEDWGCSSWVPGMETSLPPGHWTAAVKKEEKCVPPYVQIRDLHGILRTYANFSITKELKDTMRTSHGLRRHPSFSANCGLPSSWTSTWQVADDLTQNTLDLEYLRFAHKLKQTIKNGDSQHSASSANVFPKESPTQISIGAFPSTKISEAPFLHPAPRSRSPLLVTVVESDPRPQGQPRRGYTASSLDSSSSWRERCSHNRDLRNSQRNHTVSFHLNKLKYNSTVKESRNDISLILNEYAEFNKVMKNSNQFIFQDKELNDVSGEATAQEMYLPFPGRSASYEDIIIDVCTNLHVKLRSVVKEACKSTFLFYLVETEDKSFFVRTKNLLRKGGHTEIEPQHFCQAFHRENDTLIIIIRNEDISSHLHQIPSLLKLKHFPSVIFAGVDSPGDVLDHTYQELFRAGGFVISDDKILEAVTLVQLKEIIKILEKLNGNGRWKWLLHYRENKKLKEDERVDSTAHKKNIMLKSFQSANIIELLHYHQCDSRSSTKAEILKCLLNLQIQHIDARFAVLLTDKPTIPREVFENSGILVTDVNNFIENIEKIAAPFRSSYW
+>DECOY_sp|Q5VWN6|F208B_HUMAN Protein FAM208B OS=Homo sapiens OX=9606 GN=FAM208B PE=1 SV=1
+WYSSRFPAAIKEINEIFNNVDTVLIGSNEFVERPITPKDTLLVAFRADIHQIQLNLLCKLIEAKTSSRSDCQHYHLLEIINASQFSKLMINKKHATSDVREDEKLKKNERYHLLWKWRGNGNLKELIKIIEKLQVLTVAELIKDDSIVFGGARFLEQYTHDLVDGPSDVGAFIVSPFHKLKLLSPIQHLHSSIDENRIIIILTDNERHFAQCFHQPEIETHGGKRLLNKTRVFFSKDETEVLYFLFTSKCAEKVVSRLKVHLNTCVDIIIDEYSASRGPFPLYMEQATAEGSVDNLEKDQFIFQNSNKMVKNFEAYENLILSIDNRSEKVTSNYKLKNLHFSVTHNRQSNRLDRNHSCRERWSSSSDLSSATYGRRPQGQPRPDSEVVTVLLPSRSRPAPHLFPAESIKTSPFAGISIQTPSEKPFVNASSASHQSDGNKITQKLKHAFRLYELDLTNQTLDDAVQWTSTWSSPLGCNASFSPHRRLGHSTRMTDKLEKTISFNAYTRLIGHLDRIQVYPPVCKEEKKVAATWHGPPLSTEMGPVWSSCGWDEQNNNHFNLSSEYGDTPVDKKRTYSGRLTYSDELDLRPNRCDGLLDLDSDGSLEYHMDSNVGVEGDRGLTESGAEARLGEGSNEIEVHETAIGCVSTDFSESVFSALPEKSLNEKTDATNQYPGACLSSVGVNLLEKTECTSVDQLTHIAKREGKLSSTTNSQPGATGPGTTEKHLEAEPEGNKLLSAMRGAPIEQAQFVPEANIPRVYHTLTANDSSSCLTPVVSCMCGQTSYAGDVSAATLSKVQLYIGEDTKLDGPFLHNQSSKPSTQQKVSVNVIGSPATTSVLTDSLSKNEIVNRPGFPPESSELVLHKLDLNRNEISVPQLPNGSKVLKEPSPSFDGTCKAASAPQNLERGYCQVKGSFSLGEDHESSVIEETVKGPLTPRGTRGPHDTLILPMEASVQMQQVQTFNDQGVPHTPGVFVNEKETIGLDESECQSIQNSKECQTGHLKLICPTVAQYPRTEKVPVPPEPSSAPSVEEIVSDFPFDSTVNIEEAIELTCNEKSLILTVPQLNDGKREKNEVPEESVSPYVSVNDASSVERSETLAVEEPEGIEEAVDQLELNSFPAEEFQEIEGAPMEERPSTPPSITLALDIDSDEESLELSVERSVSLSTQKIFVSDFAELNMNETNPGNKHNKRLELSDGSTHCGSSICNLSCQRGLTTSASSSDVEVQTLELLGESSLGLLSQTQSLFDQTCRVEESPSLHSSSKALSLAEMVMTKDSPLSVPLPTEKQLSCVAKDLTEGGVSSACLYKTGKQGAVVLSPIDRLGQTRANESTSVPHRLSAAPSVQKILEKKFTTREDATNVGETQIPVHANKEFTLTIPEPNIVACGMNDDKRELSPHCENNIPSGHQILDQLTGTKTQVAADLIDVKNELTGYVSSQCIVPQSGPGDYTRTFTAQTVTGSYSAVRTSDLPQVIEEESPVSPGNTQTSGIGSLVLNSTELSEQKAEEGTVENNYSCIFNQDEQTDPDCERAFTKKQENQVCLELGQTLPTKIFPLLCNPDRFSVEAAHARSIENTELLSDASCKELGLSEEIERLECSELTSNISVYKAPNSHEVVKRSSRIIKNQNSYFLAVWMHLLLKVEEVNDPLDTNWPGHLARVVTKELPNNTYKSDLSFAYETECKFTIKVSDDCSLVIRSCSSKKVRASPPRDKPKVVPDDPPKQQLLLGPQHRFPMVKGVPTAAEPSKTGNRPFLPSLIEREQLHKKSHETLLLAYSHEVSVSSSQSADSSELMEETLASQAKAPAQSCPVLSEEDQSVTLDSGSNSKRDSSPNPLLEGKQTKVGRHYEHDSTGRLSNEKSLLVDNQPLESSCHLNRAESVPTSSTASSLALDALMNLAQSDYNVISNEPLDNHAATTNEPQVSNISIGDEQCGRSIESQLKSATEGKVPQLQKRNRPNENGKVINVRQKQPSKKVPPKARPVTKVPSKEQKRARKANTPVPVDKSIPAEQNKRDLKTKQVFQATLVEAGRKRKQPFQGKVLKLTTPSDSSNDARSATRKPFPRCLNVKKSQVMHPMSAKRKPQVRLNSAPSLPFVRKKAKLMEESDKKTETEKRVEAESVLFEPDPTMVLSFGADCIGDSVCPSQPHEALLDLATSVELIYASPDSFYSNLQTLSESPCKEAPPILDFGSPLKGLFTPDKMRDQPAVSLSPSRDAKYLEQFHRKVLTNPHIREEPLSKKTELLACNLTSLIPIVKMSIDDEVKLDKVGTSLPSRFLHLGHLGMQKGGFDPESLLASSTLLIFFGRDELCKIIALQKNKICETLKDINEGQRNSLEVEYLNFCLDQFCVKEELYNQKRFAAEPLRKKLSSVKMVYKFDLEQPLQTPIMAGYTSWLAIDCLMRDQVILKGKWPSMLVNESRELISKHAPPAM
+>sp|Q96MZ4|F218A_HUMAN Protein FAM218A OS=Homo sapiens OX=9606 GN=FAM218A PE=1 SV=1
+MEGCAVRRGSCPLLPGPSAWRASPAGWAGRAKLRSWCRASGLPNRPYTLTGGRHGSVSLLRHPGTTTFVQQRSLHQSWEKRIVFSACPVSRSWCPERNFSGSIPAVTPPKLPGHSKSEGPPGKVRKRTTIRSQPLFVTRTRGFGSAVGWLPLGSPVL
+>DECOY_sp|Q96MZ4|F218A_HUMAN Protein FAM218A OS=Homo sapiens OX=9606 GN=FAM218A PE=1 SV=1
+LVPSGLPLWGVASGFGRTRTVFLPQSRITTRKRVKGPPGESKSHGPLKPPTVAPISGSFNREPCWSRSVPCASFVIRKEWSQHLSRQQVFTTTGPHRLLSVSGHRGGTLTYPRNPLGSARCWSRLKARGAWGAPSARWASPGPLLPCSGRRVACGEM
+>sp|Q8IW50|F219A_HUMAN Protein FAM219A OS=Homo sapiens OX=9606 GN=FAM219A PE=1 SV=3
+MMEEIDRFQVPTAHSEMQPLDPAAASISDGDCDAREGESVAMNYKPSPLQVKLEKQRELARKGSLKNGSMGSPVNQQPKKNNVMARTRLVVPNKGYSSLDQSPDEKPLVALDTDSDDDFDMSRYSSSGYSSAEQINQDLNIQLLKDGYRLDEIPDDEDLDLIPPKSVNPTCMCCQATSSTACHIQ
+>DECOY_sp|Q8IW50|F219A_HUMAN Protein FAM219A OS=Homo sapiens OX=9606 GN=FAM219A PE=1 SV=3
+QIHCATSSTAQCCMCTPNVSKPPILDLDEDDPIEDLRYGDKLLQINLDQNIQEASSYGSSSYRSMDFDDDSDTDLAVLPKEDPSQDLSSYGKNPVVLRTRAMVNNKKPQQNVPSGMSGNKLSGKRALERQKELKVQLPSPKYNMAVSEGERADCDGDSISAAAPDLPQMESHATPVQFRDIEEMM
+>sp|B1ANY3|F220P_HUMAN Putative protein FAM220BP OS=Homo sapiens OX=9606 GN=FAM220BP PE=5 SV=1
+MRDRRGPLGTCLAQVQWAGGGDSDKLSYSLKKRMPTEGPWPADAPSWMNKPAVDGNSQSEALSLEMAGLSLPSGGPVLPYVKESARRNPASAATPSAAVGLFPAPTEYFARVSCSGVEALGRDWLGGGPRATHGHRGQCPKGEPRVSRLTRHQKLPEMGSFWDDPPSAFPSGLGSELEPSCLHSILSATLHACPEVLLKDETKRIFLDLLNPMFSKQTIEFKKMFKSTSDGLQITLGLLALQHFELANSLCHSLKYKQNNASRLILRVVLE
+>DECOY_sp|B1ANY3|F220P_HUMAN Putative protein FAM220BP OS=Homo sapiens OX=9606 GN=FAM220BP PE=5 SV=1
+ELVVRLILRSANNQKYKLSHCLSNALEFHQLALLGLTIQLGDSTSKFMKKFEITQKSFMPNLLDLFIRKTEDKLLVEPCAHLTASLISHLCSPELESGLGSPFASPPDDWFSGMEPLKQHRTLRSVRPEGKPCQGRHGHTARPGGGLWDRGLAEVGSCSVRAFYETPAPFLGVAASPTAASAPNRRASEKVYPLVPGGSPLSLGAMELSLAESQSNGDVAPKNMWSPADAPWPGETPMRKKLSYSLKDSDGGGAWQVQALCTGLPGRRDRM
+>sp|A6NCW3|F231B_HUMAN Putative protein FAM231BP OS=Homo sapiens OX=9606 GN=FAM231BP PE=5 SV=1
+MGCSKGLWKERPSAHTSECFSTTACPVAFILLVWNSQSPAGLQSFCTGRHPSLSVRAQRAGTGASREEGTFWTECVGQERRLIHSGSSENESQEDEGADLIPYTGLKADNRRKSSTWANEVEDRRPQSTPALNLTPSHPHPPRPLITFLRSVIGIQIPPGLVAAGGTVA
+>DECOY_sp|A6NCW3|F231B_HUMAN Putative protein FAM231BP OS=Homo sapiens OX=9606 GN=FAM231BP PE=5 SV=1
+AVTGGAAVLGPPIQIGIVSRLFTILPRPPHPHSPTLNLAPTSQPRRDEVENAWTSSKRRNDAKLGTYPILDAGEDEQSENESSGSHILRREQGVCETWFTGEERSAGTGARQARVSLSPHRGTCFSQLGAPSQSNWVLLIFAVPCATTSFCESTHASPREKWLGKSCGM
+>sp|Q8TCE6|FA45A_HUMAN Protein FAM45A OS=Homo sapiens OX=9606 GN=FAM45A PE=2 SV=1
+MAAAEVADTQLMLGVGLIEKDTNGEVLWVWCYPSTTATLRNLLLRKCCLTDENKLLHPFVFGQYRRTWFYITTIEVPDSSILKKVTHFSIVLTAKDFNPEKYAAFTRILCRMYLKHGSPVKMMESYIAVLTKGICQSEENGSFLSKDFDARKAYLAGSIKDIVSQFGMETVILHTALMLKKRIVVYHPKIEAVQEFTRTLPALVWHRQDWTILHSYVHLNADELEALQMCTGYVAGFVDLEVSNRPDLYDVFVNLAESEITIAPLAKEAMAMGKLHKEMGQLIVQSAEDPEKSESHVIQDIALKTREIFTNLAPFSEVSADGEKRVLNLEALKQKRFPPATENFLYHLAAAEQMLKI
+>DECOY_sp|Q8TCE6|FA45A_HUMAN Protein FAM45A OS=Homo sapiens OX=9606 GN=FAM45A PE=2 SV=1
+IKLMQEAAALHYLFNETAPPFRKQKLAELNLVRKEGDASVESFPALNTFIERTKLAIDQIVHSESKEPDEASQVILQGMEKHLKGMAMAEKALPAITIESEALNVFVDYLDPRNSVELDVFGAVYGTCMQLAELEDANLHVYSHLITWDQRHWVLAPLTRTFEQVAEIKPHYVVIRKKLMLATHLIVTEMGFQSVIDKISGALYAKRADFDKSLFSGNEESQCIGKTLVAIYSEMMKVPSGHKLYMRCLIRTFAAYKEPNFDKATLVISFHTVKKLISSDPVEITTIYFWTRRYQGFVFPHLLKNEDTLCCKRLLLNRLTATTSPYCWVWLVEGNTDKEILGVGLMLQTDAVEAAAM
+>sp|Q6NSI3|FA53A_HUMAN Protein FAM53A OS=Homo sapiens OX=9606 GN=FAM53A PE=1 SV=1
+MVTLITEKLQSQSLDDLTCKAEAGPLQYSAETLNKSGRLFPLELNDQSPWKVFSGGPPVRSQAATGPDFSFLPGLSAAAHTMGLQWQPQSPRPGAGLGAASTVDPSESTGSSTAPPTKRHCRSLSEPEELVRCRSPWRPGSSKVWTPVSKRRCDSGGSATRQGSPGAVLPRSAVWSTGPTSPATPRPSSASGGFVDSSEGSAGSGPLWCSAESCLPSTRRRPSLSQERLAGAGTPLPWASSSPTSTPALGGRRGLLRCRSQPCVLSGKRSRRKRRREEDARWTRPSLDFLKMTQTLKNSKSLCSLNYEDDDEDDTPVKTVLSSPCDSRGLPGITMPGCSQRGLRTSPVHPNLWASRESVTSDGSRRSSGDPRDGDSVGEEGVFPRARWELDLEQIENN
+>DECOY_sp|Q6NSI3|FA53A_HUMAN Protein FAM53A OS=Homo sapiens OX=9606 GN=FAM53A PE=1 SV=1
+NNEIQELDLEWRARPFVGEEGVSDGDRPDGSSRRSGDSTVSERSAWLNPHVPSTRLGRQSCGPMTIGPLGRSDCPSSLVTKVPTDDEDDDEYNLSCLSKSNKLTQTMKLFDLSPRTWRADEERRRKRRSRKGSLVCPQSRCRLLGRRGGLAPTSTPSSSAWPLPTGAGALREQSLSPRRRTSPLCSEASCWLPGSGASGESSDVFGGSASSPRPTAPSTPGTSWVASRPLVAGPSGQRTASGGSDCRRKSVPTWVKSSGPRWPSRCRVLEEPESLSRCHRKTPPATSSGTSESPDVTSAAGLGAGPRPSQPQWQLGMTHAAASLGPLFSFDPGTAAQSRVPPGGSFVKWPSQDNLELPFLRGSKNLTEASYQLPGAEAKCTLDDLSQSQLKETILTVM
+>sp|Q14153|FA53B_HUMAN Protein FAM53B OS=Homo sapiens OX=9606 GN=FAM53B PE=1 SV=2
+MVMVLSESLSTRGADSIACGTFSRELHTPKKMSQGPTLFSCGIMENDRWRDLDRKCPLQIDQPSTSIWECLPEKDSSLWHREAVTACAVTSLIKDLSISDHNGNPSAPPSKRQCRSLSFSDEMSSCRTSWRPLGSKVWTPVEKRRCYSGGSVQRYSNGFSTMQRSSSFSLPSRANVLSSPCDQAGLHHRFGGQPCQGVPGSAPCGQAGDTWSPDLHPVGGGRLDLQRSLSCSHEQFSFVEYCPPSANSTPASTPELARRSSGLSRSRSQPCVLNDKKVGVKRRRPEEVQEQRPSLDLAKMAQNCQTFSSLSCLSAGTEDCGPQSPFARHVSNTRAWTALLSASGPGGRTPAGTPVPEPLPPSFDDHLACQEDLSCEESDSCALDEDCGRRAEPAAAWRDRGAPGNSLCSLDGELDIEQIEKN
+>DECOY_sp|Q14153|FA53B_HUMAN Protein FAM53B OS=Homo sapiens OX=9606 GN=FAM53B PE=1 SV=2
+NKEIQEIDLEGDLSCLSNGPAGRDRWAAAPEARRGCDEDLACSDSEECSLDEQCALHDDFSPPLPEPVPTGAPTRGGPGSASLLATWARTNSVHRAFPSQPGCDETGASLCSLSSFTQCNQAMKALDLSPRQEQVEEPRRRKVGVKKDNLVCPQSRSRSLGSSRRALEPTSAPTSNASPPCYEVFSFQEHSCSLSRQLDLRGGGVPHLDPSWTDGAQGCPASGPVGQCPQGGFRHHLGAQDCPSSLVNARSPLSFSSSRQMTSFGNSYRQVSGGSYCRRKEVPTWVKSGLPRWSTRCSSMEDSFSLSRCQRKSPPASPNGNHDSISLDKILSTVACATVAERHWLSSDKEPLCEWISTSPQDIQLPCKRDLDRWRDNEMIGCSFLTPGQSMKKPTHLERSFTGCAISDAGRTSLSESLVMVM
+>sp|Q8TBR7|FA57A_HUMAN Protein FAM57A OS=Homo sapiens OX=9606 GN=FAM57A PE=1 SV=2
+MLLTLAGGALFFPGLFALCTWALRRSQPGWSRTDCVMISTRLVSSVHAVLATGSGIVIIRSCDDVITGRHWLAREYVWFLIPYMIYDSYAMYLCEWCRTRDQNRAPSLTLRNFLSRNRLMITHHAVILFVLVPVAQRLRGDLGDFFVGCIFTAELSTPFVSLGRVLIQLKQQHTLLYKVNGILTLATFLSCRILLFPFMYWSYGRQQGLSLLQVPFSIPFYCNVANAFLVAPQIYWFCLLCRKAVRLFDTPQAKKDG
+>DECOY_sp|Q8TBR7|FA57A_HUMAN Protein FAM57A OS=Homo sapiens OX=9606 GN=FAM57A PE=1 SV=2
+GDKKAQPTDFLRVAKRCLLCFWYIQPAVLFANAVNCYFPISFPVQLLSLGQQRGYSWYMFPFLLIRCSLFTALTLIGNVKYLLTHQQKLQILVRGLSVFPTSLEATFICGVFFDGLDGRLRQAVPVLVFLIVAHHTIMLRNRSLFNRLTLSPARNQDRTRCWECLYMAYSDYIMYPILFWVYERALWHRGTIVDDCSRIIVIGSGTALVAHVSSVLRTSIMVCDTRSWGPQSRRLAWTCLAFLGPFFLAGGALTLLM
+>sp|Q0P6D2|FA69C_HUMAN Protein FAM69C OS=Homo sapiens OX=9606 GN=FAM69C PE=2 SV=3
+MARAAGARGPAGWCRRRGRCGRGTLLAFAAWTAGWVLAAALLLRAHPGVLSERCTDEKSRRILAALCQDYQGGTLAGDLCEDLCVAGELLFQRCLHYNRGKKVLQADWRGRPVVLKSKEEAFSSFPPLSLLEEEAGEGGQDMPEAELLLMVAGEVKSALGLELSNSSLGPWWPGRRGPRWRGQLASLWALLQQEEYVYFSLLQDLSPHVLPVLGSCGHFYAVEFLAAGSPHHRALFPLDRAPGAPGGGQAKAISDIALSFLDMVNHFDSDFSHRLHLCDIKPENFAIRSDFTVVAIDVDMAFFEPKMREILEQNCTGDEDCNFFDCFSRCDLRVNKCGAQRVNNNLQVICDKIFRHWFSAPLKSSAVSFQLQLQLQEAVQECADPGVPSGNTRRAASSVFWKLRQLLQATLRELQEAEK
+>DECOY_sp|Q0P6D2|FA69C_HUMAN Protein FAM69C OS=Homo sapiens OX=9606 GN=FAM69C PE=2 SV=3
+KEAEQLERLTAQLLQRLKWFVSSAARRTNGSPVGPDACEQVAEQLQLQLQFSVASSKLPASFWHRFIKDCIVQLNNNVRQAGCKNVRLDCRSFCDFFNCDEDGTCNQELIERMKPEFFAMDVDIAVVTFDSRIAFNEPKIDCLHLRHSFDSDFHNVMDLFSLAIDSIAKAQGGGPAGPARDLPFLARHHPSGAALFEVAYFHGCSGLVPLVHPSLDQLLSFYVYEEQQLLAWLSALQGRWRPGRRGPWWPGLSSNSLELGLASKVEGAVMLLLEAEPMDQGGEGAEEELLSLPPFSSFAEEKSKLVVPRGRWDAQLVKKGRNYHLCRQFLLEGAVCLDECLDGALTGGQYDQCLAALIRRSKEDTCRESLVGPHARLLLAAALVWGATWAAFALLTGRGCRGRRRCWGAPGRAGAARAM
+>sp|Q8IYT1|FA71A_HUMAN Protein FAM71A OS=Homo sapiens OX=9606 GN=FAM71A PE=2 SV=2
+MNADFLLPYYTAQSGSSMSMFNTTMGKLQRQLYKGEYDIFKYAPIFESDFIQITKRGEVIDVHNRVRMVTMGIARTSPILPLPDVMLLARPATGCEEYAGHGQATKRKKRKAAKNLELTRLLPLRFVRISVQDHEKQQLRLKFATGRSCYLQLCPALDTRDDLFAYWEKLIYLLRPPMESNSSTCGIPAEDMMWMPVFQEDRRSLGAVNLQGKGDQDQVSIQSLHMVSEVCGATSAAYAGGEGLQNDFNKPTNVLNASIPKTSTELAEEPATGGIKEAAAAGAAAGAATGTVAGALSVAAANSAPGQVSAAIAGAATIGAGGNKGNMALAGTASMAPNSTKVAVAGAAGKSSEHVSSASMSLSREGSVSLAIAGVVLTSRTAAEADMDAAAGPPVSTRQSKSSLSGQHGRERTQASAEGCKEGRERREKDRALGRSSHRRRTGESRHKTRGDKIAQKSSSRSSFSHRANRDDKKEKGCGNPGSSRHRDSHKGVSHTPISKESRTSHKSGRSLWTTSSGSSKGLGRVSSFLRNVRANLTTKVVGTPHGRDVNVMAKMAERSTNVAIAETAEGGQGLETVGSMTPDIMETVTFEAH
+>DECOY_sp|Q8IYT1|FA71A_HUMAN Protein FAM71A OS=Homo sapiens OX=9606 GN=FAM71A PE=2 SV=2
+HAEFTVTEMIDPTMSGVTELGQGGEATEAIAVNTSREAMKAMVNVDRGHPTGVVKTTLNARVNRLFSSVRGLGKSSGSSTTWLSRGSKHSTRSEKSIPTHSVGKHSDRHRSSGPNGCGKEKKDDRNARHSFSSRSSSKQAIKDGRTKHRSEGTRRRHSSRGLARDKERRERGEKCGEASAQTRERGHQGSLSSKSQRTSVPPGAAADMDAEAATRSTLVVGAIALSVSGERSLSMSASSVHESSKGAAGAVAVKTSNPAMSATGALAMNGKNGGAGITAAGAIAASVQGPASNAAAVSLAGAVTGTAAGAAAGAAAAEKIGGTAPEEALETSTKPISANLVNTPKNFDNQLGEGGAYAASTAGCVESVMHLSQISVQDQDGKGQLNVAGLSRRDEQFVPMWMMDEAPIGCTSSNSEMPPRLLYILKEWYAFLDDRTDLAPCLQLYCSRGTAFKLRLQQKEHDQVSIRVFRLPLLRTLELNKAAKRKKRKTAQGHGAYEECGTAPRALLMVDPLPLIPSTRAIGMTVMRVRNHVDIVEGRKTIQIFDSEFIPAYKFIDYEGKYLQRQLKGMTTNFMSMSSGSQATYYPLLFDANM
+>sp|Q8N9W8|FA71D_HUMAN Protein FAM71D OS=Homo sapiens OX=9606 GN=FAM71D PE=2 SV=2
+MKKNTSKTTMRINKQDALCTPHSHDPRDLQNMLDGGEYAPFVSPPMLESNFIQVNRRGESIYLHNRANWVTVGICFSSSTHKIPNVMLLAHLTPGAQKDTETLFKSLLTSPPAEKLVLTRFLPLQFVTLSVHDAENMSLKVKLVSGRAYYLQLCTSAYKQDTLFSQWVALISLLNQEKAKVSKVSEVSSLSGITNSTDITGSMDVTDVTTFTAILTPYMYAGTGPEHVRDSIDFPEFTDITDITDVTDLPENEVPEVPDVRIVTEVIEVREATEVTDSSDITNCSGVTVVFENNDLIRAKQEEKEKLKNILKPGCLQDTKSKSELKESSKHVTISNITLTFEGKRYFQTTLTPVESEANTSKEMKDKTSEEKMPDFQSTALKAEESRSLRTESNTSVLSPHIKSPSNFLKLVPHLSAPFSRE
+>DECOY_sp|Q8N9W8|FA71D_HUMAN Protein FAM71D OS=Homo sapiens OX=9606 GN=FAM71D PE=2 SV=2
+ERSFPASLHPVLKLFNSPSKIHPSLVSTNSETRLSRSEEAKLATSQFDPMKEESTKDKMEKSTNAESEVPTLTTQFYRKGEFTLTINSITVHKSSEKLESKSKTDQLCGPKLINKLKEKEEQKARILDNNEFVVTVGSCNTIDSSDTVETAERVEIVETVIRVDPVEPVENEPLDTVDTIDTIDTFEPFDISDRVHEPGTGAYMYPTLIATFTTVDTVDMSGTIDTSNTIGSLSSVESVKSVKAKEQNLLSILAVWQSFLTDQKYASTCLQLYYARGSVLKVKLSMNEADHVSLTVFQLPLFRTLVLKEAPPSTLLSKFLTETDKQAGPTLHALLMVNPIKHTSSSFCIGVTVWNARNHLYISEGRRNVQIFNSELMPPSVFPAYEGGDLMNQLDRPDHSHPTCLADQKNIRMTTKSTNKKM
+>sp|Q5HYJ3|FA76B_HUMAN Protein FAM76B OS=Homo sapiens OX=9606 GN=FAM76B PE=1 SV=3
+MAASALYACTKCTQRYPFEELSQGQQLCKECRIAHPIVKCTYCRSEFQQESKTNTICKKCAQNVKQFGTPKPCQYCNIIAAFIGTKCQRCTNSEKKYGPPQTCEQCKQQCAFDRKEEGRRKVDGKLLCWLCTLSYKRVLQKTKEQRKSLGSSHSNSSSSSLTEKDQHHPKHHHHHHHHHHRHSSSHHKISNLSPEEEQGLWKQSHKSSATIQNETPKKKPKLESKPSNGDSSSINQSADSGGTDNFVLISQLKEEVMSLKRLLQQRDQTILEKDKKLTELKADFQYQESNLRTKMNSMEKAHKETVEQLQAKNRELLKQVAALSKGKKFDKSGSILTSP
+>DECOY_sp|Q5HYJ3|FA76B_HUMAN Protein FAM76B OS=Homo sapiens OX=9606 GN=FAM76B PE=1 SV=3
+PSTLISGSKDFKKGKSLAAVQKLLERNKAQLQEVTEKHAKEMSNMKTRLNSEQYQFDAKLETLKKDKELITQDRQQLLRKLSMVEEKLQSILVFNDTGGSDASQNISSSDGNSPKSELKPKKKPTENQITASSKHSQKWLGQEEEPSLNSIKHHSSSHRHHHHHHHHHHKPHHQDKETLSSSSSNSHSSGLSKRQEKTKQLVRKYSLTCLWCLLKGDVKRRGEEKRDFACQQKCQECTQPPGYKKESNTCRQCKTGIFAAIINCYQCPKPTGFQKVNQACKKCITNTKSEQQFESRCYTCKVIPHAIRCEKCLQQGQSLEEFPYRQTCKTCAYLASAAM
+>sp|Q96LP2|FA81B_HUMAN Protein FAM81B OS=Homo sapiens OX=9606 GN=FAM81B PE=1 SV=3
+MQLQFLGTLASSEKRKKSQRLFFKNIKSTKNKAGKASIMSSDTNVNKSASPTATAEEQPVEPDGPLPGSDNNQEKKVRLSPAKMSTKNSTDLVEYVDKSHAFLPIIPNTQRGQLEDRLNNQARTIAFLLEQAFRIKEDISACLQGTHGFRKEESLARKLLESHIQTITSIVKKLSQNIEILEDQIRARDQAATGTNFAVHEINIKHLQGVGDLRGRVARCDSSIVKLSGDIHLFRQEHRQIEKAIQEFVPALETLSKNLDMKVMQLLGKIETASPEQTSNLKMVQGDYRHEMNLLEFKFHSLSSNLYEEVENNKKWTENQFLKYRKDHLGHINECLKVLQEKLEKSENKMEEKLLQLSSKVENFINTQKQETQLSKVKHMENKLSKKMEQMEKQIWGELETMQNEYQSGFKSIHDSLSSLQQIQKTKMDLEKYKVQKDLKKLQRKIVELQEV
+>DECOY_sp|Q96LP2|FA81B_HUMAN Protein FAM81B OS=Homo sapiens OX=9606 GN=FAM81B PE=1 SV=3
+VEQLEVIKRQLKKLDKQVKYKELDMKTKQIQQLSSLSDHISKFGSQYENQMTELEGWIQKEMQEMKKSLKNEMHKVKSLQTEQKQTNIFNEVKSSLQLLKEEMKNESKELKEQLVKLCENIHGLHDKRYKLFQNETWKKNNEVEEYLNSSLSHFKFELLNMEHRYDGQVMKLNSTQEPSATEIKGLLQMVKMDLNKSLTELAPVFEQIAKEIQRHEQRFLHIDGSLKVISSDCRAVRGRLDGVGQLHKINIEHVAFNTGTAAQDRARIQDELIEINQSLKKVISTITQIHSELLKRALSEEKRFGHTGQLCASIDEKIRFAQELLFAITRAQNNLRDELQGRQTNPIIPLFAHSKDVYEVLDTSNKTSMKAPSLRVKKEQNNDSGPLPGDPEVPQEEATATPSASKNVNTDSSMISAKGAKNKTSKINKFFLRQSKKRKESSALTGLFQLQM
+>sp|Q8NEG4|FA83F_HUMAN Protein FAM83F OS=Homo sapiens OX=9606 GN=FAM83F PE=1 SV=1
+MAESQLNCLDEAHVNEKVTEAQAAFYYCERRRAALEALLGGGEQAYRERLKEEQLRDFLSSPERQALRAAWSPYEDAVPAANARGKSKAKAKAPAPAPAESGESLAYWPDRSDTEVPPLDLGWTDTGFYRGVSRVTLFTHPPKDEKAPHLKQVVRQMIQQAQKVIAVVMDLFTDGDIFQDIVDAACKRRVPVYIILDEAGVKYFLEMCQDLQLTDFRIRNIRVRSVTGVGFYMPMGRIKGTLSSRFLMVDGDKVATGSYRFTWSSSHVDRNLLLLLTGQNVEPFDTEFRELYAISEEVDLYRQLSLAGRVGLHYSSTVARKLINPKYALVSGCRHPPGEMMRWAARQQREAGGNPEGQEEGASGGESAWRLESFLKDLVTVEQVLPPVEPIPLGELSQKDGRMVSHMHRDLKPKSREAPSRNGMGEAARGEAAPARRFSSRLFSRRAKRPAAPNGMASSVSTETSEVEFLTGKRPNENSSADISGKTSPSSAKPSNCVIS
+>DECOY_sp|Q8NEG4|FA83F_HUMAN Protein FAM83F OS=Homo sapiens OX=9606 GN=FAM83F PE=1 SV=1
+SIVCNSPKASSPSTKGSIDASSNENPRKGTLFEVESTETSVSSAMGNPAAPRKARRSFLRSSFRRAPAAEGRAAEGMGNRSPAERSKPKLDRHMHSVMRGDKQSLEGLPIPEVPPLVQEVTVLDKLFSELRWASEGGSAGEEQGEPNGGAERQQRAAWRMMEGPPHRCGSVLAYKPNILKRAVTSSYHLGVRGALSLQRYLDVEESIAYLERFETDFPEVNQGTLLLLLNRDVHSSSWTFRYSGTAVKDGDVMLFRSSLTGKIRGMPMYFGVGTVSRVRINRIRFDTLQLDQCMELFYKVGAEDLIIYVPVRRKCAADVIDQFIDGDTFLDMVVAIVKQAQQIMQRVVQKLHPAKEDKPPHTFLTVRSVGRYFGTDTWGLDLPPVETDSRDPWYALSEGSEAPAPAPAKAKAKSKGRANAAPVADEYPSWAARLAQREPSSLFDRLQEEKLRERYAQEGGGLLAELAARRRECYYFAAQAETVKENVHAEDLCNLQSEAM
+>sp|Q96KN4|FA84A_HUMAN Protein FAM84A OS=Homo sapiens OX=9606 GN=FAM84A PE=2 SV=2
+MGNQLDRITHLNYSELPTGDPSGIEKDELRVGVAYFFSDDEEDLDERGQPDKFGVKAPPGCTPCPESPSRHHHHLLHQLVLNETQFSAFRGQECIFSKVSGGPQGADLSVYAVTALPALCEPGDLLELLWLQPAPEPPAPAPHWAVYVGGGQIIHLHQGEIRQDSLYEAGAANVGRVVNSWYRYRPLVAELVVQNACGHLGLKSEEICWTNSESFAAWCRFGKREFKAGGEVPAGTQPPQQQYYLKVHLGENKVHTARFHSLEDLIREKRRIDASGRLRVLQELADLVDDKE
+>DECOY_sp|Q96KN4|FA84A_HUMAN Protein FAM84A OS=Homo sapiens OX=9606 GN=FAM84A PE=2 SV=2
+EKDDVLDALEQLVRLRGSADIRRKERILDELSHFRATHVKNEGLHVKLYYQQQPPQTGAPVEGGAKFERKGFRCWAAFSESNTWCIEESKLGLHGCANQVVLEAVLPRYRYWSNVVRGVNAAGAEYLSDQRIEGQHLHIIQGGGVYVAWHPAPAPPEPAPQLWLLELLDGPECLAPLATVAYVSLDAGQPGGSVKSFICEQGRFASFQTENLVLQHLLHHHHRSPSEPCPTCGPPAKVGFKDPQGREDLDEEDDSFFYAVGVRLEDKEIGSPDGTPLESYNLHTIRDLQNGM
+>sp|P0C7U9|FA87A_HUMAN Protein FAM87A OS=Homo sapiens OX=9606 GN=FAM87A PE=2 SV=1
+MTGTLERENWISGGKSLVLRKQHPGPLRPWRKRAAQLGGGCGWRTAVAPAKFCLWYVVPSWLWEPPGYLHSSLFLSILFQVTLLETALQSRPNLSLPLVRCGWACTQAMSTRSNCGSRSFLWAQTQADAASGLPRSRLGFLGLGGCGLIVKHGMTLRNWASFFVVFQAWSLMILQVLGDMLNIYYAYIQATLTLKVDVAPRLFFPEGGALKEHFSSMDSFQLREAGGTRIPRPALIYGRAVVTRTVTKAQSLKSALAWAALGCKHPVLSTLCEESQQGAWSEFRRF
+>DECOY_sp|P0C7U9|FA87A_HUMAN Protein FAM87A OS=Homo sapiens OX=9606 GN=FAM87A PE=2 SV=1
+FRRFESWAGQQSEECLTSLVPHKCGLAAWALASKLSQAKTVTRTVVARGYILAPRPIRTGGAERLQFSDMSSFHEKLAGGEPFFLRPAVDVKLTLTAQIYAYYINLMDGLVQLIMLSWAQFVVFFSAWNRLTMGHKVILGCGGLGLFGLRSRPLGSAADAQTQAWLFSRSGCNSRTSMAQTCAWGCRVLPLSLNPRSQLATELLTVQFLISLFLSSHLYGPPEWLWSPVVYWLCFKAPAVATRWGCGGGLQAARKRWPRLPGPHQKRLVLSKGGSIWNERELTGTM
+>sp|Q9UBU6|FA8A1_HUMAN Protein FAM8A1 OS=Homo sapiens OX=9606 GN=FAM8A1 PE=1 SV=1
+MAEGPEEARGHPPGQDDGGGDHEPVPSLRGPPTTAVPCPRDDPQAEPQAPGRPTAPGLAAAAAADKLEPPRELRKRGEAASGSGAELQEQAGCEAPEAAAPRERPARLSAREYSRQVHEWLWQSYCGYLTWHSGLAAFPAYCSPQPSPQSFPSGGAAVPQAAAPPPPQLGYYNPFYFLSPGAAGPDPRTAAGISTPAPVAGLGPRAPHVQASVRATPVTRVGSAAPSRSPSETGRQAGREYVIPSLAHRFMAEMVDFFILFFIKATIVLSIMHLSGIKDISKFAMHYIIEEIDEDTSMEDLQKMMVVALIYRLLVCFYEIICIWGAGGATPGKFLLGLRVVTCDTSVLIAPSRVLVIPSSNVSITTSTIRALIKNFSIASFFPAFITLLFFQHNRTAYDIVAGTIVVKRNGVR
+>DECOY_sp|Q9UBU6|FA8A1_HUMAN Protein FAM8A1 OS=Homo sapiens OX=9606 GN=FAM8A1 PE=1 SV=1
+RVGNRKVVITGAVIDYATRNHQFFLLTIFAPFFSAISFNKILARITSTTISVNSSPIVLVRSPAILVSTDCTVVRLGLLFKGPTAGGAGWICIIEYFCVLLRYILAVVMMKQLDEMSTDEDIEEIIYHMAFKSIDKIGSLHMISLVITAKIFFLIFFDVMEAMFRHALSPIVYERGAQRGTESPSRSPAASGVRTVPTARVSAQVHPARPGLGAVPAPTSIGAATRPDPGAAGPSLFYFPNYYGLQPPPPAAAQPVAAGGSPFSQPSPQPSCYAPFAALGSHWTLYGCYSQWLWEHVQRSYERASLRAPRERPAAAEPAECGAQEQLEAGSGSAAEGRKRLERPPELKDAAAAAALGPATPRGPAQPEAQPDDRPCPVATTPPGRLSPVPEHDGGGDDQGPPHGRAEEPGEAM
+>sp|P00451|FA8_HUMAN Coagulation factor VIII OS=Homo sapiens OX=9606 GN=F8 PE=1 SV=1
+MQIELSTCFFLCLLRFCFSATRRYYLGAVELSWDYMQSDLGELPVDARFPPRVPKSFPFNTSVVYKKTLFVEFTDHLFNIAKPRPPWMGLLGPTIQAEVYDTVVITLKNMASHPVSLHAVGVSYWKASEGAEYDDQTSQREKEDDKVFPGGSHTYVWQVLKENGPMASDPLCLTYSYLSHVDLVKDLNSGLIGALLVCREGSLAKEKTQTLHKFILLFAVFDEGKSWHSETKNSLMQDRDAASARAWPKMHTVNGYVNRSLPGLIGCHRKSVYWHVIGMGTTPEVHSIFLEGHTFLVRNHRQASLEISPITFLTAQTLLMDLGQFLLFCHISSHQHDGMEAYVKVDSCPEEPQLRMKNNEEAEDYDDDLTDSEMDVVRFDDDNSPSFIQIRSVAKKHPKTWVHYIAAEEEDWDYAPLVLAPDDRSYKSQYLNNGPQRIGRKYKKVRFMAYTDETFKTREAIQHESGILGPLLYGEVGDTLLIIFKNQASRPYNIYPHGITDVRPLYSRRLPKGVKHLKDFPILPGEIFKYKWTVTVEDGPTKSDPRCLTRYYSSFVNMERDLASGLIGPLLICYKESVDQRGNQIMSDKRNVILFSVFDENRSWYLTENIQRFLPNPAGVQLEDPEFQASNIMHSINGYVFDSLQLSVCLHEVAYWYILSIGAQTDFLSVFFSGYTFKHKMVYEDTLTLFPFSGETVFMSMENPGLWILGCHNSDFRNRGMTALLKVSSCDKNTGDYYEDSYEDISAYLLSKNNAIEPRSFSQNSRHPSTRQKQFNATTIPENDIEKTDPWFAHRTPMPKIQNVSSSDLLMLLRQSPTPHGLSLSDLQEAKYETFSDDPSPGAIDSNNSLSEMTHFRPQLHHSGDMVFTPESGLQLRLNEKLGTTAATELKKLDFKVSSTSNNLISTIPSDNLAAGTDNTSSLGPPSMPVHYDSQLDTTLFGKKSSPLTESGGPLSLSEENNDSKLLESGLMNSQESSWGKNVSSTESGRLFKGKRAHGPALLTKDNALFKVSISLLKTNKTSNNSATNRKTHIDGPSLLIENSPSVWQNILESDTEFKKVTPLIHDRMLMDKNATALRLNHMSNKTTSSKNMEMVQQKKEGPIPPDAQNPDMSFFKMLFLPESARWIQRTHGKNSLNSGQGPSPKQLVSLGPEKSVEGQNFLSEKNKVVVGKGEFTKDVGLKEMVFPSSRNLFLTNLDNLHENNTHNQEKKIQEEIEKKETLIQENVVLPQIHTVTGTKNFMKNLFLLSTRQNVEGSYDGAYAPVLQDFRSLNDSTNRTKKHTAHFSKKGEEENLEGLGNQTKQIVEKYACTTRISPNTSQQNFVTQRSKRALKQFRLPLEETELEKRIIVDDTSTQWSKNMKHLTPSTLTQIDYNEKEKGAITQSPLSDCLTRSHSIPQANRSPLPIAKVSSFPSIRPIYLTRVLFQDNSSHLPAASYRKKDSGVQESSHFLQGAKKNNLSLAILTLEMTGDQREVGSLGTSATNSVTYKKVENTVLPKPDLPKTSGKVELLPKVHIYQKDLFPTETSNGSPGHLDLVEGSLLQGTEGAIKWNEANRPGKVPFLRVATESSAKTPSKLLDPLAWDNHYGTQIPKEEWKSQEKSPEKTAFKKKDTILSLNACESNHAIAAINEGQNKPEIEVTWAKQGRTERLCSQNPPVLKRHQREITRTTLQSDQEEIDYDDTISVEMKKEDFDIYDEDENQSPRSFQKKTRHYFIAAVERLWDYGMSSSPHVLRNRAQSGSVPQFKKVVFQEFTDGSFTQPLYRGELNEHLGLLGPYIRAEVEDNIMVTFRNQASRPYSFYSSLISYEEDQRQGAEPRKNFVKPNETKTYFWKVQHHMAPTKDEFDCKAWAYFSDVDLEKDVHSGLIGPLLVCHTNTLNPAHGRQVTVQEFALFFTIFDETKSWYFTENMERNCRAPCNIQMEDPTFKENYRFHAINGYIMDTLPGLVMAQDQRIRWYLLSMGSNENIHSIHFSGHVFTVRKKEEYKMALYNLYPGVFETVEMLPSKAGIWRVECLIGEHLHAGMSTLFLVYSNKCQTPLGMASGHIRDFQITASGQYGQWAPKLARLHYSGSINAWSTKEPFSWIKVDLLAPMIIHGIKTQGARQKFSSLYISQFIIMYSLDGKKWQTYRGNSTGTLMVFFGNVDSSGIKHNIFNPPIIARYIRLHPTHYSIRSTLRMELMGCDLNSCSMPLGMESKAISDAQITASSYFTNMFATWSPSKARLHLQGRSNAWRPQVNNPKEWLQVDFQKTMKVTGVTTQGVKSLLTSMYVKEFLISSSQDGHQWTLFFQNGKVKVFQGNQDSFTPVVNSLDPPLLTRYLRIHPQSWVHQIALRMEVLGCEAQDLY
+>DECOY_sp|P00451|FA8_HUMAN Coagulation factor VIII OS=Homo sapiens OX=9606 GN=F8 PE=1 SV=1
+YLDQAECGLVEMRLAIQHVWSQPHIRLYRTLLPPDLSNVVPTFSDQNGQFVKVKGNQFFLTWQHGDQSSSILFEKVYMSTLLSKVGQTTVGTVKMTKQFDVQLWEKPNNVQPRWANSRGQLHLRAKSPSWTAFMNTFYSSATIQADSIAKSEMGLPMSCSNLDCGMLEMRLTSRISYHTPHLRIYRAIIPPNFINHKIGSSDVNGFFVMLTGTSNGRYTQWKKGDLSYMIIFQSIYLSSFKQRAGQTKIGHIIMPALLDVKIWSFPEKTSWANISGSYHLRALKPAWQGYQGSATIQFDRIHGSAMGLPTQCKNSYVLFLTSMGAHLHEGILCEVRWIGAKSPLMEVTEFVGPYLNYLAMKYEEKKRVTFVHGSFHISHINENSGMSLLYWRIRQDQAMVLGPLTDMIYGNIAHFRYNEKFTPDEMQINCPARCNREMNETFYWSKTEDFITFFLAFEQVTVQRGHAPNLTNTHCVLLPGILGSHVDKELDVDSFYAWAKCDFEDKTPAMHHQVKWFYTKTENPKVFNKRPEAGQRQDEEYSILSSYFSYPRSAQNRFTVMINDEVEARIYPGLLGLHENLEGRYLPQTFSGDTFEQFVVKKFQPVSGSQARNRLVHPSSSMGYDWLREVAAIFYHRTKKQFSRPSQNEDEDYIDFDEKKMEVSITDDYDIEEQDSQLTTRTIERQHRKLVPPNQSCLRETRGQKAWTVEIEPKNQGENIAAIAHNSECANLSLITDKKKFATKEPSKEQSKWEEKPIQTGYHNDWALPDLLKSPTKASSETAVRLFPVKGPRNAENWKIAGETGQLLSGEVLDLHGPSGNSTETPFLDKQYIHVKPLLEVKGSTKPLDPKPLVTNEVKKYTVSNTASTGLSGVERQDGTMELTLIALSLNNKKAGQLFHSSEQVGSDKKRYSAAPLHSSNDQFLVRTLYIPRISPFSSVKAIPLPSRNAQPISHSRTLCDSLPSQTIAGKEKENYDIQTLTSPTLHKMNKSWQTSTDDVIIRKELETEELPLRFQKLARKSRQTVFNQQSTNPSIRTTCAYKEVIQKTQNGLGELNEEEGKKSFHATHKKTRNTSDNLSRFDQLVPAYAGDYSGEVNQRTSLLFLNKMFNKTGTVTHIQPLVVNEQILTEKKEIEEQIKKEQNHTNNEHLNDLNTLFLNRSSPFVMEKLGVDKTFEGKGVVVKNKESLFNQGEVSKEPGLSVLQKPSPGQGSNLSNKGHTRQIWRASEPLFLMKFFSMDPNQADPPIPGEKKQQVMEMNKSSTTKNSMHNLRLATANKDMLMRDHILPTVKKFETDSELINQWVSPSNEILLSPGDIHTKRNTASNNSTKNTKLLSISVKFLANDKTLLAPGHARKGKFLRGSETSSVNKGWSSEQSNMLGSELLKSDNNEESLSLPGGSETLPSSKKGFLTTDLQSDYHVPMSPPGLSSTNDTGAALNDSPITSILNNSTSSVKFDLKKLETAATTGLKENLRLQLGSEPTFVMDGSHHLQPRFHTMESLSNNSDIAGPSPDDSFTEYKAEQLDSLSLGHPTPSQRLLMLLDSSSVNQIKPMPTRHAFWPDTKEIDNEPITTANFQKQRTSPHRSNQSFSRPEIANNKSLLYASIDEYSDEYYDGTNKDCSSVKLLATMGRNRFDSNHCGLIWLGPNEMSMFVTEGSFPFLTLTDEYVMKHKFTYGSFFVSLFDTQAGISLIYWYAVEHLCVSLQLSDFVYGNISHMINSAQFEPDELQVGAPNPLFRQINETLYWSRNEDFVSFLIVNRKDSMIQNGRQDVSEKYCILLPGILGSALDREMNVFSSYYRTLCRPDSKTPGDEVTVTWKYKFIEGPLIPFDKLHKVGKPLRRSYLPRVDTIGHPYINYPRSAQNKFIILLTDGVEGYLLPGLIGSEHQIAERTKFTEDTYAMFRVKKYKRGIRQPGNNLYQSKYSRDDPALVLPAYDWDEEEAAIYHVWTKPHKKAVSRIQIFSPSNDDDFRVVDMESDTLDDDYDEAEENNKMRLQPEEPCSDVKVYAEMGDHQHSSIHCFLLFQGLDMLLTQATLFTIPSIELSAQRHNRVLFTHGELFISHVEPTTGMGIVHWYVSKRHCGILGPLSRNVYGNVTHMKPWARASAADRDQMLSNKTESHWSKGEDFVAFLLIFKHLTQTKEKALSGERCVLLAGILGSNLDKVLDVHSLYSYTLCLPDSAMPGNEKLVQWVYTHSGGPFVKDDEKERQSTQDDYEAGESAKWYSVGVAHLSVPHSAMNKLTIVVTDYVEAQITPGLLGMWPPRPKAINFLHDTFEVFLTKKYVVSTNFPFSKPVRPPFRADVPLEGLDSQMYDWSLEVAGLYYRRTASFCFRLLCLFFCTSLEIQM
+>sp|P19957|ELAF_HUMAN Elafin OS=Homo sapiens OX=9606 GN=PI3 PE=1 SV=3
+MRASSFLIVVVFLIAGTLVLEAAVTGVPVKGQDTVKGRVPFNGQDPVKGQVSVKGQDKVKAQEPVKGPVSTKPGSCPIILIRCAMLNPPNRCLKDTDCPGIKKCCEGSCGMACFVPQ
+>DECOY_sp|P19957|ELAF_HUMAN Elafin OS=Homo sapiens OX=9606 GN=PI3 PE=1 SV=3
+QPVFCAMGCSGECCKKIGPCDTDKLCRNPPNLMACRILIIPCSGPKTSVPGKVPEQAKVKDQGKVSVQGKVPDQGNFPVRGKVTDQGKVPVGTVAAELVLTGAILFVVVILFSSARM
+>sp|P26378|ELAV4_HUMAN ELAV-like protein 4 OS=Homo sapiens OX=9606 GN=ELAVL4 PE=1 SV=2
+MVMIISTMEPQVSNGPTSNTSNGPSSNNRNCPSPMQTGATTDDSKTNLIVNYLPQNMTQEEFRSLFGSIGEIESCKLVRDKITGQSLGYGFVNYIDPKDAEKAINTLNGLRLQTKTIKVSYARPSSASIRDANLYVSGLPKTMTQKELEQLFSQYGRIITSRILVDQVTGVSRGVGFIRFDKRIEAEEAIKGLNGQKPSGATEPITVKFANNPSQKSSQALLSQLYQSPNRRYPGPLHHQAQRFRLDNLLNMAYGVKRLMSGPVPPSACPPRFSPITIDGMTSLVGMNIPGHTGTGWCIFVYNLSPDSDESVLWQLFGPFGAVNNVKVIRDFNTNKCKGFGFVTMTNYDEAAMAIASLNGYRLGDRVLQVSFKTNKAHKS
+>DECOY_sp|P26378|ELAV4_HUMAN ELAV-like protein 4 OS=Homo sapiens OX=9606 GN=ELAVL4 PE=1 SV=2
+SKHAKNTKFSVQLVRDGLRYGNLSAIAMAAEDYNTMTVFGFGKCKNTNFDRIVKVNNVAGFPGFLQWLVSEDSDPSLNYVFICWGTGTHGPINMGVLSTMGDITIPSFRPPCASPPVPGSMLRKVGYAMNLLNDLRFRQAQHHLPGPYRRNPSQYLQSLLAQSSKQSPNNAFKVTIPETAGSPKQGNLGKIAEEAEIRKDFRIFGVGRSVGTVQDVLIRSTIIRGYQSFLQELEKQTMTKPLGSVYLNADRISASSPRAYSVKITKTQLRLGNLTNIAKEADKPDIYNVFGYGLSQGTIKDRVLKCSEIEGISGFLSRFEEQTMNQPLYNVILNTKSDDTTAGTQMPSPCNRNNSSPGNSTNSTPGNSVQPEMTSIIMVM
+>sp|A6NLF2|ELB3D_HUMAN Elongin-A3 member D OS=Homo sapiens OX=9606 GN=ELOA3D PE=3 SV=1
+MAAGSTTLRAVGKLQVRLATKTEPKKLEKYLQKLSALPMTADILAETGIRKTVKRLRKHQHVGDFARDLAARWKKLVLVDRNTGPDPQDPEESASRQRFGEALQEREKAWGFPENATAPRSPSHSPEHRRTARRTPPGQQRPHPRSPSREPRAERKRPRMAPADSGPHRDPPTRTAPLPMPEGPEPAVPGEQPGRGHAHAAQGGPLLGQGCQGQPQGEAVGSHSKGHKSSRGASAQKSPPVQESQSERLQAAVADSAGPKTVPSHVFSELWDPSEAWMQANYDLLSAFEAMTSQANPEALSAPTLQEEAAFPGRRVNAKMPVYSGSRPACQLQVPTLRQQCLRVPRNNPDALGDVEGVPYSALEPVLEGWTPDQPYRTEKDNAALARETDELWRIHCLQDFKEEKPQEHESWRELYLRLRDAREQRLRVVTTKIRSARENKPSGRQTKMICFNSVAKTPYDASRRQEKSAGAADPGNGEMEPAPKPAGSSQAPSGLGDGDGGSVSGGGSSNRHAAPADKTRKQAAKKVAPLMAKAIRDYKGRFSRR
+>DECOY_sp|A6NLF2|ELB3D_HUMAN Elongin-A3 member D OS=Homo sapiens OX=9606 GN=ELOA3D PE=3 SV=1
+RRSFRGKYDRIAKAMLPAVKKAAQKRTKDAPAAHRNSSGGGSVSGGDGDGLGSPAQSSGAPKPAPEMEGNGPDAAGASKEQRRSADYPTKAVSNFCIMKTQRGSPKNERASRIKTTVVRLRQERADRLRLYLERWSEHEQPKEEKFDQLCHIRWLEDTERALAANDKETRYPQDPTWGELVPELASYPVGEVDGLADPNNRPVRLCQQRLTPVQLQCAPRSGSYVPMKANVRRGPFAAEEQLTPASLAEPNAQSTMAEFASLLDYNAQMWAESPDWLESFVHSPVTKPGASDAVAAQLRESQSEQVPPSKQASAGRSSKHGKSHSGVAEGQPQGQCGQGLLPGGQAAHAHGRGPQEGPVAPEPGEPMPLPATRTPPDRHPGSDAPAMRPRKREARPERSPSRPHPRQQGPPTRRATRRHEPSHSPSRPATANEPFGWAKEREQLAEGFRQRSASEEPDQPDPGTNRDVLVLKKWRAALDRAFDGVHQHKRLRKVTKRIGTEALIDATMPLASLKQLYKELKKPETKTALRVQLKGVARLTTSGAAM
+>sp|P0C7U0|ELFN1_HUMAN Protein ELFN1 OS=Homo sapiens OX=9606 GN=ELFN1 PE=1 SV=2
+MAGRGWGALWVCVAAATLLHAGGLARADCWLIEGDKGFVWLAICSQNQPPYEAIPQQINSTIVDLRLNENRIRSVQYASLSRFGNLTYLNLTKNEIGYIEDGAFSGQFNLQVLQLGYNRLRNLTEGMLRGLGKLEYLYLQANLIEVVMASSFWECPNIVNIDLSMNRIQQLNSGTFAGLAKLSVCELYSNPFYCSCELLGFLRWLAAFTNATQTYDRMQCESPPVYSGYYLLGQGRRGHRSILSKLQSVCTEDSYAAEVVGPPRPASGRSQPGRSPPPPPPPEPSDMPCADDECFSGDGTTPLVALPTLATQAEARPLIKVKQLTQNSATITVQLPSPFHRMYTLEHFNNSKASTVSRLTKAQEEIRLTNLFTLTNYTYCVVSTSAGLRHNHTCLTICLPRLPSPPGPVPSPSTATHYIMTILGCLFGMVLVLGAVYYCLRRRRRQEEKHKKAASAAAAGSLKKTIIELKYGPELEAPGLAPLSQGPLLGPEAVTRIPYLPAAGEVEQYKLVESADTPKASKGSYMEVRTGDPPERRDCELGRPGPDSQSSVAEISTIAKEVDKVNQIINNCIDALKSESTSFQGVKSGPVSVAEPPLVLLSEPLAAKHGFLAPGYKDAFGHSLQRHHSVEAAGPPRASTSSSGSVRSPRAFRAEAVGVHKAAAAEAKYIEKGSPAADAILTVTPAAAVLRAEAEKGRQYGEHRHSYPGSHPAEPPAPPGPPPPPPHEGLGRKASILEPLTRPRPRDLAYSQLSPQYHSLSYSSSPEYTCRASQSIWERFRLSRRRHKEEEEFMAAGHALRKKVQFAKDEDLHDILDYWKGVSAQHKS
+>DECOY_sp|P0C7U0|ELFN1_HUMAN Protein ELFN1 OS=Homo sapiens OX=9606 GN=ELFN1 PE=1 SV=2
+SKHQASVGKWYDLIDHLDEDKAFQVKKRLAHGAAMFEEEEKHRRRSLRFREWISQSARCTYEPSSSYSLSHYQPSLQSYALDRPRPRTLPELISAKRGLGEHPPPPPPGPPAPPEAPHSGPYSHRHEGYQRGKEAEARLVAAAPTVTLIADAAPSGKEIYKAEAAAAKHVGVAEARFARPSRVSGSSSTSARPPGAAEVSHHRQLSHGFADKYGPALFGHKAALPESLLVLPPEAVSVPGSKVGQFSTSESKLADICNNIIQNVKDVEKAITSIEAVSSQSDPGPRGLECDRREPPDGTRVEMYSGKSAKPTDASEVLKYQEVEGAAPLYPIRTVAEPGLLPGQSLPALGPAELEPGYKLEIITKKLSGAAAASAAKKHKEEQRRRRRLCYYVAGLVLVMGFLCGLITMIYHTATSPSPVPGPPSPLRPLCITLCTHNHRLGASTSVVCYTYNTLTFLNTLRIEEQAKTLRSVTSAKSNNFHELTYMRHFPSPLQVTITASNQTLQKVKILPRAEAQTALTPLAVLPTTGDGSFCEDDACPMDSPEPPPPPPPSRGPQSRGSAPRPPGVVEAAYSDETCVSQLKSLISRHGRRGQGLLYYGSYVPPSECQMRDYTQTANTFAALWRLFGLLECSCYFPNSYLECVSLKALGAFTGSNLQQIRNMSLDINVINPCEWFSSAMVVEILNAQLYLYELKGLGRLMGETLNRLRNYGLQLVQLNFQGSFAGDEIYGIENKTLNLYTLNGFRSLSAYQVSRIRNENLRLDVITSNIQQPIAEYPPQNQSCIALWVFGKDGEILWCDARALGGAHLLTAAAVCVWLAGWGRGAM
+>sp|Q14241|ELOA1_HUMAN Elongin-A OS=Homo sapiens OX=9606 GN=ELOA PE=1 SV=2
+MHGGRSCGPRTRREPSSGEEAAPVTAMAAESALQVVEKLQARLAANPDPKKLLKYLKKLSTLPITVDILAETGVGKTVNSLRKHEHVGSFARDLVAQWKKLVPVERNAEPDEQDFEKSNSRKRPRDALQKEEEMEGDYQETWKATGSRSYSPDHRQKKHRKLSELERPHKVSHGHERRDERKRCHRMSPTYSSDPESSDYGHVQSPPSCTSPHQMYVDHYRSLEEDQEPIVSHQKPGKGHSNAFQDRLGASQERHLGEPHGKGVVSQNKEHKSSHKDKRPVDAKSDEKASVVSREKSHKALSKEENRRPPSGDNAREKPPSSGVKKEKDREGSSLKKKCLPPSEAASDNHLKKPKHRDPEKAKLDKSKQGLDSFDTGKGAGDLLPKVKEKGSNNLKTPEGKVKTNLDRKSLGSLPKVEETDMEDEFEQPTMSFESYLSYDQPRKKKKKIVKTSATALGDKGLKKNDSKSTGKNLDSVQKLPKVNKTKSEKPAGADLAKLRKVPDVLPVLPDLPLPAIQANYRPLPSLELISSFQPKRKAFSSPQEEEEAGFTGRRMNSKMQVYSGSKCAYLPKMMTLHQQCIRVLKNNIDSIFEVGGVPYSVLEPVLERCTPDQLYRIEEYNHVLIEETDQLWKVHCHRDFKEERPEEYESWREMYLRLQDAREQRLRVLTKNIQFAHANKPKGRQAKMAFVNSVAKPPRDVRRRQEKFGTGGAAVPEKIKIKPAPYPMGSSHASASSISFNPSPEEPAYDGPSTSSAHLAPVVSSTVSYDPRKPTVKKIAPMMAKTIKAFKNRFSRR
+>DECOY_sp|Q14241|ELOA1_HUMAN Elongin-A OS=Homo sapiens OX=9606 GN=ELOA PE=1 SV=2
+RRSFRNKFAKITKAMMPAIKKVTPKRPDYSVTSSVVPALHASSTSPGDYAPEEPSPNFSISSASAHSSGMPYPAPKIKIKEPVAAGGTGFKEQRRRVDRPPKAVSNVFAMKAQRGKPKNAHAFQINKTLVRLRQERADQLRLYMERWSEYEEPREEKFDRHCHVKWLQDTEEILVHNYEEIRYLQDPTCRELVPELVSYPVGGVEFISDINNKLVRICQQHLTMMKPLYACKSGSYVQMKSNMRRGTFGAEEEEQPSSFAKRKPQFSSILELSPLPRYNAQIAPLPLDPLVPLVDPVKRLKALDAGAPKESKTKNVKPLKQVSDLNKGTSKSDNKKLGKDGLATASTKVIKKKKKRPQDYSLYSEFSMTPQEFEDEMDTEEVKPLSGLSKRDLNTKVKGEPTKLNNSGKEKVKPLLDGAGKGTDFSDLGQKSKDLKAKEPDRHKPKKLHNDSAAESPPLCKKKLSSGERDKEKKVGSSPPKERANDGSPPRRNEEKSLAKHSKERSVVSAKEDSKADVPRKDKHSSKHEKNQSVVGKGHPEGLHREQSAGLRDQFANSHGKGPKQHSVIPEQDEELSRYHDVYMQHPSTCSPPSQVHGYDSSEPDSSYTPSMRHCRKREDRREHGHSVKHPRELESLKRHKKQRHDPSYSRSGTAKWTEQYDGEMEEEKQLADRPRKRSNSKEFDQEDPEANREVPVLKKWQAVLDRAFSGVHEHKRLSNVTKGVGTEALIDVTIPLTSLKKLYKLLKKPDPNAALRAQLKEVVQLASEAAMATVPAAEEGSSPERRTRPGCSRGGHM
+>sp|Q15370|ELOB_HUMAN Elongin-B OS=Homo sapiens OX=9606 GN=ELOB PE=1 SV=1
+MDVFLMIRRHKTTIFTDAKESSTVFELKRIVEGILKRPPDEQRLYKDDQLLDDGKTLGECGFTSQTARPQAPATVGLAFRADDTFEALCIEPFSSPPELPDVMKPQDSGSSANEQAVQ
+>DECOY_sp|Q15370|ELOB_HUMAN Elongin-B OS=Homo sapiens OX=9606 GN=ELOB PE=1 SV=1
+QVAQENASSGSDQPKMVDPLEPPSSFPEICLAEFTDDARFALGVTAPAQPRATQSTFGCEGLTKGDDLLQDDKYLRQEDPPRKLIGEVIRKLEFVTSSEKADTFITTKHRRIMLFVDM
+>sp|Q9HB03|ELOV3_HUMAN Elongation of very long chain fatty acids protein 3 OS=Homo sapiens OX=9606 GN=ELOVL3 PE=1 SV=2
+MVTAMNVSHEVNQLFQPYNFELSKDMRPFFEEYWATSFPIALIYLVLIAVGQNYMKERKGFNLQGPLILWSFCLAIFSILGAVRMWGIMGTVLLTGGLKQTVCFINFIDNSTVKFWSWVFLLSKVIELGDTAFIILRKRPLIFIHWYHHSTVLVYTSFGYKNKVPAGGWFVTMNFGVHAIMYTYYTLKAANVKPPKMLPMLITSLQILQMFVGAIVSILTYIWRQDQGCHTTMEHLFWSFILYMTYFILFAHFFCQTYIRPKVKAKTKSQ
+>DECOY_sp|Q9HB03|ELOV3_HUMAN Elongation of very long chain fatty acids protein 3 OS=Homo sapiens OX=9606 GN=ELOVL3 PE=1 SV=2
+QSKTKAKVKPRIYTQCFFHAFLIFYTMYLIFSWFLHEMTTHCGQDQRWIYTLISVIAGVFMQLIQLSTILMPLMKPPKVNAAKLTYYTYMIAHVGFNMTVFWGGAPVKNKYGFSTYVLVTSHHYWHIFILPRKRLIIFATDGLEIVKSLLFVWSWFKVTSNDIFNIFCVTQKLGGTLLVTGMIGWMRVAGLISFIALCFSWLILPGQLNFGKREKMYNQGVAILVLYILAIPFSTAWYEEFFPRMDKSLEFNYPQFLQNVEHSVNMATVM
+>sp|O95163|ELP1_HUMAN Elongator complex protein 1 OS=Homo sapiens OX=9606 GN=ELP1 PE=1 SV=3
+MRNLKLFRTLEFRDIQGPGNPQCFSLRTEQGTVLIGSEHGLIEVDPVSREVKNEVSLVAEGFLPEDGSGRIVGVQDLLDQESVCVATASGDVILCSLSTQQLECVGSVASGISVMSWSPDQELVLLATGQQTLIMMTKDFEPILEQQIHQDDFGESKFITVGWGRKETQFHGSEGRQAAFQMQMHESALPWDDHRPQVTWRGDGQFFAVSVVCPETGARKVRVWNREFALQSTSEPVAGLGPALAWKPSGSLIASTQDKPNQQDIVFFEKNGLLHGHFTLPFLKDEVKVNDLLWNADSSVLAVWLEDLQREESSIPKTCVQLWTVGNYHWYLKQSLSFSTCGKSKIVSLMWDPVTPYRLHVLCQGWHYLAYDWHWTTDRSVGDNSSDLSNVAVIDGNRVLVTVFRQTVVPPPMCTYQLLFPHPVNQVTFLAHPQKSNDLAVLDASNQISVYKCGDCPSADPTVKLGAVGGSGFKVCLRTPHLEKRYKIQFENNEDQDVNPLKLGLLTWIEEDVFLAVSHSEFSPRSVIHHLTAASSEMDEEHGQLNVSSSAAVDGVIISLCCNSKTKSVVLQLADGQIFKYLWESPSLAIKPWKNSGGFPVRFPYPCTQTELAMIGEEECVLGLTDRCRFFINDIEVASNITSFAVYDEFLLLTTHSHTCQCFCLRDASFKTLQAGLSSNHVSHGEVLRKVERGSRIVTVVPQDTKLVLQMPRGNLEVVHHRALVLAQIRKWLDKLMFKEAFECMRKLRINLNLIYDHNPKVFLGNVETFIKQIDSVNHINLFFTELKEEDVTKTMYPAPVTSSVYLSRDPDGNKIDLVCDAMRAVMESINPHKYCLSILTSHVKKTTPELEIVLQKVHELQGNAPSDPDAVSAEEALKYLLHLVDVNELYDHSLGTYDFDLVLMVAEKSQKDPKEYLPFLNTLKKMETNYQRFTIDKYLKRYEKAIGHLSKCGPEYFPECLNLIKDKNLYNEALKLYSPSSQQYQDISIAYGEHLMQEHMYEPAGLMFARCGAHEKALSAFLTCGNWKQALCVAAQLNFTKDQLVGLGRTLAGKLVEQRKHIDAAMVLEECAQDYEEAVLLLLEGAAWEEALRLVYKYNRLDIIETNVKPSILEAQKNYMAFLDSQTATFSRHKKRLLVVRELKEQAQQAGLDDEVPHGQESDLFSETSSVVSGSEMSGKYSHSNSRISARSSKNRRKAERKKHSLKEGSPLEDLALLEALSEVVQNTENLKDEVYHILKVLFLFEFDEQGRELQKAFEDTLQLMERSLPEIWTLTYQQNSATPVLGPNSTANSIMASYQQQKTSVPVLDAELFIPPKINRRTQWKLSLLD
+>DECOY_sp|O95163|ELP1_HUMAN Elongator complex protein 1 OS=Homo sapiens OX=9606 GN=ELP1 PE=1 SV=3
+DLLSLKWQTRRNIKPPIFLEADLVPVSTKQQQYSAMISNATSNPGLVPTASNQQYTLTWIEPLSREMLQLTDEFAKQLERGQEDFEFLFLVKLIHYVEDKLNETNQVVESLAELLALDELPSGEKLSHKKREAKRRNKSSRASIRSNSHSYKGSMESGSVVSSTESFLDSEQGHPVEDDLGAQQAQEKLERVVLLRKKHRSFTATQSDLFAMYNKQAELISPKVNTEIIDLRNYKYVLRLAEEWAAGELLLLVAEEYDQACEELVMAADIHKRQEVLKGALTRGLGVLQDKTFNLQAAVCLAQKWNGCTLFASLAKEHAGCRAFMLGAPEYMHEQMLHEGYAISIDQYQQSSPSYLKLAENYLNKDKILNLCEPFYEPGCKSLHGIAKEYRKLYKDITFRQYNTEMKKLTNLFPLYEKPDKQSKEAVMLVLDFDYTGLSHDYLENVDVLHLLYKLAEEASVADPDSPANGQLEHVKQLVIELEPTTKKVHSTLISLCYKHPNISEMVARMADCVLDIKNGDPDRSLYVSSTVPAPYMTKTVDEEKLETFFLNIHNVSDIQKIFTEVNGLFVKPNHDYILNLNIRLKRMCEFAEKFMLKDLWKRIQALVLARHHVVELNGRPMQLVLKTDQPVVTVIRSGREVKRLVEGHSVHNSSLGAQLTKFSADRLCFCQCTHSHTTLLLFEDYVAFSTINSAVEIDNIFFRCRDTLGLVCEEEGIMALETQTCPYPFRVPFGGSNKWPKIALSPSEWLYKFIQGDALQLVVSKTKSNCCLSIIVGDVAASSSVNLQGHEEDMESSAATLHHIVSRPSFESHSVALFVDEEIWTLLGLKLPNVDQDENNEFQIKYRKELHPTRLCVKFGSGGVAGLKVTPDASPCDGCKYVSIQNSADLVALDNSKQPHALFTVQNVPHPFLLQYTCMPPPVVTQRFVTVLVRNGDIVAVNSLDSSNDGVSRDTTWHWDYALYHWGQCLVHLRYPTVPDWMLSVIKSKGCTSFSLSQKLYWHYNGVTWLQVCTKPISSEERQLDELWVALVSSDANWLLDNVKVEDKLFPLTFHGHLLGNKEFFVIDQQNPKDQTSAILSGSPKWALAPGLGAVPESTSQLAFERNWVRVKRAGTEPCVVSVAFFQGDGRWTVQPRHDDWPLASEHMQMQFAAQRGESGHFQTEKRGWGVTIFKSEGFDDQHIQQELIPEFDKTMMILTQQGTALLVLEQDPSWSMVSIGSAVSGVCELQQTSLSCLIVDGSATAVCVSEQDLLDQVGVIRGSGDEPLFGEAVLSVENKVERSVPDVEILGHESGILVTGQETRLSFCQPNGPGQIDRFELTRFLKLNRM
+>sp|Q8TE02|ELP5_HUMAN Elongator complex protein 5 OS=Homo sapiens OX=9606 GN=ELP5 PE=1 SV=2
+MTPSEGARAGTGRELEMLDSLLALGGLVLLRDSVEWEGRSLLKALVKKSALCGEQVHILGCEVSEEEFREGFDSDINNRLVYHDFFRDPLNWSKTEEAFPGGPLGALRAMCKRTDPVPVTIALDSLSWLLLRLPCTTLCQVLHAVSHQDSCPGDSSSVGKVSVLGLLHEELHGPGPVGALSSLAQTEVTLGGTMGQASAHILCRRPRQRPTDQTQWFSILPDFSLDLQEGPSVESQPYSDPHIPPVDPTTHLTFNLHLSKKEREARDSLILPFQFSSEKQQALLRPRPGQATSHIFYEPDAYDDLDQEDPDDDLDI
+>DECOY_sp|Q8TE02|ELP5_HUMAN Elongator complex protein 5 OS=Homo sapiens OX=9606 GN=ELP5 PE=1 SV=2
+IDLDDDPDEQDLDDYADPEYFIHSTAQGPRPRLLAQQKESSFQFPLILSDRAEREKKSLHLNFTLHTTPDVPPIHPDSYPQSEVSPGEQLDLSFDPLISFWQTQDTPRQRPRRCLIHASAQGMTGGLTVETQALSSLAGVPGPGHLEEHLLGLVSVKGVSSSDGPCSDQHSVAHLVQCLTTCPLRLLLWSLSDLAITVPVPDTRKCMARLAGLPGGPFAEETKSWNLPDRFFDHYVLRNNIDSDFGERFEEESVECGLIHVQEGCLASKKVLAKLLSRGEWEVSDRLLVLGGLALLSDLMELERGTGARAGESPTM
+>sp|Q8WYP5|ELYS_HUMAN Protein ELYS OS=Homo sapiens OX=9606 GN=AHCTF1 PE=1 SV=3
+MRDLRAQVTSGLLPFPEVTLQALGEDEITLESVLRGKFAAGKNGLACLACGPQLEVVNSITGERLSAYRFSGVNEQPPVVLAVKEFSWQKRTGLLIGLEETEGSVLCLYDLGISKVVKAVVLPGRVTAIEPIINHGGASASTQHLHPSLRWLFGVAAVVTDVGQILLVDLCLDDLSCNQNEVEASDLEVLTGIPAEVPHIRESVMRQGRHLCFQLVSPTGTAVSTLSYISRTNQLAVGFSDGYLALWNMKSMKREYYIQLESGQVPVYAVTFQEPENDPRNCCYLWAVQSTQDSEGDVLSLHLLQLAFGNRKCLASGQILYEGLEYCEERYTLDLTGGMFPLRGQTSNTKLLGCQSIEKFRSHGDREEGVNEALSPDTSVSVFTWQVNIYGQGKPSVYLGLFDINRWYHAQMPDSLRSGEYLHNCSYFALWSLESVVSRTSPHGILDILVHERSLNRGVPPSYPPPEQFFNPSTYNFDATCLLNSGVVHLTCTGFQKETLTFLKKSGPSLNELIPDGYNRCLVAGLLSPRFVDVQPSSLSQEEQLEAILSAAIQTSSLGLLTGYIRRWITEEQPNSATNLRFVLEWTWNKVVLTKEEFDRLCVPLFDGSCHFMDPQTIQSIQQCYLLLSNLNIVLSCFASEAREITERGLIDLSNKFVVSHLICQYAQVVLWFSHSGLLPEGIDDSVQLSRLCYNYPVIQNYYTSRRQKFERLSRGKWNPDCLMIDGLVSQLGERIEKLWKRDEGGTGKYPPASLHAVLDMYLLDGVTEAAKHSITIYLLLDIMYSFPNKTDTPIESFPTVFAISWGQVKLIQGFWLIDHNDYESGLDLLFHPATAKPLSWQHSKIIQAFMSQGEHRQALRYIQTMKPTVSSGNDVILHLTVLLFNRCMVEAWNFLRQHCNRLNIEELLKHMYEVCQEMGLMEDLLKLPFTDTEQECLVKFLQSSASVQNHEFLLVHHLQRANYVPALKLNQTLKINVMNDRDPRLRERSLARNSILDQYGKILPRVHRKLAIERAKPYHLSTSSVFRLVSRPKPLSAVPKQVVTGTVLTRSVFINNVLSKIGEVWASKEPINSTTPFNSSKIEEPSPIVYSLPAPELPEAFFGTPISKASQKISRLLDLVVQPVPRPSQCSEFIQQSSMKSPLYLVSRSLPSSSQLKGSPQAISRASELHLLETPLVVKKAKSLAMSVTTSGFSEFTPQSILRSTLRSTPLASPSPSPGRSPQRLKETRISFVEEDVHPKWIPGAADDSKLEVFTTPKKCAVPVETEWLKSKDRTTSFFLNSPEKEHQEMDEGSQSLEKLDVSKGNSSVSITSDETTLEYQDAPSPEDLEETVFTASKPKSSSTALTTNVTEQTEKDGDKDVFASEVTPSDLQKQMGNLEDAETKDLLVAAEAFSELNHLSPVQGTEASLCAPSVYEGKIFTQKSKVPVLDEGLTSVETYTPAIRANDNKSMADVLGDGGNSSLTISEGPIVSERRLNQEVALNLKEDHEVEVGVLKESVDLPEEKLPISDSPPDTQEIHVIEQEKLEAQDSGEEARNLSFNELYPSGTLKLQYNFDTIDQQFCDLADNKDTAECDIAEVDGELFVAQSNFTLILEGEEGEVEPGDFASSDVLPKAANTATEEKLVCSGENDNHGQIANLPSAVTSDQKSQKVDTLPYVPEPIKVAIAENLLDVIKDTRSKEITSDTMEQSIHETIPLVSQNIMCPTKLVKSAFKTAQETSTMTMNVSQVDDVVSSKTRTRGQRIQNVNVKSAQQEASADVATPKMPGQSVRKKTRKAKEISEASENIYSDVRGLSQNQQIPQNSVTPRRGRRKKEVNQDILENTSSVEQELQITTGRESKRLKSSQLLEPAVEETTKKEVKVSSVTKRTPRRIKRSVENQESVEIINDLKVSTVTSPSRMIRKLRSTNLDASENTGNKQDDKSSDKQLRIKHVRRVRGREVSPSDVREDSNLESSQLTVQAEFDMSAIPRKRGRPRKINPSEDVGSKAVKEERSPKKKEAPSIRRRSTRNTPAKSENVDVGKPALGKSILVPNEELSMVMSSKKKLTKKTESQSQKRSLHSVSEERTDEMTHKETNEQEERLLATASFTKSSRSSRTRSSKAILLPDLSEPNNEPLFSPASEVPRKAKAKKIEVPAQLKELVSDLSSQFVISPPALRSRQKNTSNKNKLEDELKDDAQSVETLGKPKAKRIRTSKTKQASKNTEKESAWSPPPIEIRLISPLASPADGVKSKPRKTTEVTGTGLGRNRKKLSSYPKQILRRKML
+>DECOY_sp|Q8WYP5|ELYS_HUMAN Protein ELYS OS=Homo sapiens OX=9606 GN=AHCTF1 PE=1 SV=3
+LMKRRLIQKPYSSLKKRNRGLGTGTVETTKRPKSKVGDAPSALPSILRIEIPPPSWASEKETNKSAQKTKSTRIRKAKPKGLTEVSQADDKLEDELKNKNSTNKQRSRLAPPSIVFQSSLDSVLEKLQAPVEIKKAKAKRPVESAPSFLPENNPESLDPLLIAKSSRTRSSRSSKTFSATALLREEQENTEKHTMEDTREESVSHLSRKQSQSETKKTLKKKSSMVMSLEENPVLISKGLAPKGVDVNESKAPTNRTSRRRISPAEKKKPSREEKVAKSGVDESPNIKRPRGRKRPIASMDFEAQVTLQSSELNSDERVDSPSVERGRVRRVHKIRLQKDSSKDDQKNGTNESADLNTSRLKRIMRSPSTVTSVKLDNIIEVSEQNEVSRKIRRPTRKTVSSVKVEKKTTEEVAPELLQSSKLRKSERGTTIQLEQEVSSTNELIDQNVEKKRRGRRPTVSNQPIQQNQSLGRVDSYINESAESIEKAKRTKKRVSQGPMKPTAVDASAEQQASKVNVNQIRQGRTRTKSSVVDDVQSVNMTMTSTEQATKFASKVLKTPCMINQSVLPITEHISQEMTDSTIEKSRTDKIVDLLNEAIAVKIPEPVYPLTDVKQSKQDSTVASPLNAIQGHNDNEGSCVLKEETATNAAKPLVDSSAFDGPEVEGEEGELILTFNSQAVFLEGDVEAIDCEATDKNDALDCFQQDITDFNYQLKLTGSPYLENFSLNRAEEGSDQAELKEQEIVHIEQTDPPSDSIPLKEEPLDVSEKLVGVEVEHDEKLNLAVEQNLRRESVIPGESITLSSNGGDGLVDAMSKNDNARIAPTYTEVSTLGEDLVPVKSKQTFIKGEYVSPACLSAETGQVPSLHNLESFAEAAVLLDKTEADELNGMQKQLDSPTVESAFVDKDGDKETQETVNTTLATSSSKPKSATFVTEELDEPSPADQYELTTEDSTISVSSNGKSVDLKELSQSGEDMEQHEKEPSNLFFSTTRDKSKLWETEVPVACKKPTTFVELKSDDAAGPIWKPHVDEEVFSIRTEKLRQPSRGPSPSPSALPTSRLTSRLISQPTFESFGSTTVSMALSKAKKVVLPTELLHLESARSIAQPSGKLQSSSPLSRSVLYLPSKMSSQQIFESCQSPRPVPQVVLDLLRSIKQSAKSIPTGFFAEPLEPAPLSYVIPSPEEIKSSNFPTTSNIPEKSAWVEGIKSLVNNIFVSRTLVTGTVVQKPVASLPKPRSVLRFVSSTSLHYPKAREIALKRHVRPLIKGYQDLISNRALSRERLRPDRDNMVNIKLTQNLKLAPVYNARQLHHVLLFEHNQVSASSQLFKVLCEQETDTFPLKLLDEMLGMEQCVEYMHKLLEEINLRNCHQRLFNWAEVMCRNFLLVTLHLIVDNGSSVTPKMTQIYRLAQRHEGQSMFAQIIKSHQWSLPKATAPHFLLDLGSEYDNHDILWFGQILKVQGWSIAFVTPFSEIPTDTKNPFSYMIDLLLYITISHKAAETVGDLLYMDLVAHLSAPPYKGTGGEDRKWLKEIREGLQSVLGDIMLCDPNWKGRSLREFKQRRSTYYNQIVPYNYCLRSLQVSDDIGEPLLGSHSFWLVVQAYQCILHSVVFKNSLDILGRETIERAESAFCSLVINLNSLLLYCQQISQITQPDMFHCSGDFLPVCLRDFEEKTLVVKNWTWELVFRLNTASNPQEETIWRRIYGTLLGLSSTQIAASLIAELQEEQSLSSPQVDVFRPSLLGAVLCRNYGDPILENLSPGSKKLFTLTEKQFGTCTLHVVGSNLLCTADFNYTSPNFFQEPPPYSPPVGRNLSREHVLIDLIGHPSTRSVVSELSWLAFYSCNHLYEGSRLSDPMQAHYWRNIDFLGLYVSPKGQGYINVQWTFVSVSTDPSLAENVGEERDGHSRFKEISQCGLLKTNSTQGRLPFMGGTLDLTYREECYELGEYLIQGSALCKRNGFALQLLHLSLVDGESDQTSQVAWLYCCNRPDNEPEQFTVAYVPVQGSELQIYYERKMSKMNWLALYGDSFGVALQNTRSIYSLTSVATGTPSVLQFCLHRGQRMVSERIHPVEAPIGTLVELDSAEVENQNCSLDDLCLDVLLIQGVDTVVAAVGFLWRLSPHLHQTSASAGGHNIIPEIATVRGPLVVAKVVKSIGLDYLCLVSGETEELGILLGTRKQWSFEKVALVVPPQENVGSFRYASLREGTISNVVELQPGCALCALGNKGAAFKGRLVSELTIEDEGLAQLTVEPFPLLGSTVQARLDRM
+>sp|Q6ZMW3|EMAL6_HUMAN Echinoderm microtubule-associated protein-like 6 OS=Homo sapiens OX=9606 GN=EML6 PE=2 SV=2
+MADRTAPRCQLRLEWVYGYRGHQCRNNLYYTAGKEVVYFVAGVGVVYNTREHSQKFFLGHNDDIISLALHPDKTLVATGQVGKEPYICIWDSYNVQTVSLLKDVHTHGVACLAFDSDGQRLASVGLDAKNTVCIWDWRKGKLLASATGHSDRIFDISWDPYQPNRVVSCGVKHIKFWTLCGNALTAKRGIFGKTGDLQTILCLACAKEDITYSGALNGDIYVWKGLNLVRTIQGAHSAGIFSMYACEEGFATGGRDGCIRLWDTDFKPITKIDLRETEQGYKGLSIRSVCWKADRLLAGTQDSEIFEVIVRERDKPMLILQGHCEGELWALALHPKKPLAVTGSDDRSVRLWSLADHALIARCNMEEAVRSVAFSPDGSQLALGMKDGSFIVLRVRDMTEVVHIKDRKEVIHEMKFSPDGSYLAVGSNDGPVDVYAVAQRYKKIGECSKSLSFITHIDWSLDSKYLQTNDGAGERLFYRMPSGKPLTSKEEIKGIPWASWTCVKGPEVSGIWPKYTEVTDINSVDANYNSSVLVSGDDFGLVKLFKFPCLKRGAKFRKYVGHSAHVTNVRWSHDFQWVLSTGGADHSVFQWRFIPEGVSNGMLETAPQEGGADSYSEESDSDLSDVPELDSDIEQEAQINYDRQVYKEDLPQLKQQSKEKNHAVPFLKREKAPEDSLKLQFIHGYRGYDCRNNLFYTQAGEVVYHIAAVAVVYNRQQHSQRLYLGHDDDILSLTIHPVKDYVATGQVGRDAAIHVWDTQTLKCLSLLKGQHQRGVCALDFSADGKCLVSVGLDDFHSIVFWDWKKGEKIATTRGHKDKIFVVKCNPHHVDKLVTVGIKHIKFWQQAGGGFTSKRGTFGSVGKLETMMCVSYGRMEDLVFSGAATGDIFIWKDILLLKTVKAHDGPVFAMYALDKGFVTGGKDGIVELWDDMFERCLKTYAIKRSALSTSSKGLLLEDNPSIRAITLGHGHILVGTKNGEILEIDKSGPMTLLVQGHMEGEVWGLAAHPLLPICATVSDDKTLRIWELSAQHRMLAVRKLKKGGRCCAFSPDGKALAVGLNDGSFLVVNADTVEDMVSFHHRKEMISDIKFSKDTGKYLAVASHDNFVDIYNVLTSKRVGICKGASSYITHIDWDSRGKLLQVNSGAREQLFFEAPRGKRHIIRPSEIEKIEWDTWTCVLGPTCEGIWPAHSDITDVNAASLTKDCSLLATGDDFGFVKLFSYPVKGQHARFKKYVGHSAHVTNVRWLHNDSVLLTVGGADTALMIWTREFVGTQESKLVDSEESDTDVEEDGGYDSDVAREKAIDYTTKIYAVSIREMEGTKPHQQLKEVSVEERPPVSRAAPQPEKLQKNNITKKKKLVEELALDHVFGYRGFDCRNNLHYLNDGADIIFHTAAAGIVQNLSTGSQSFYLEHTDDILCLTVNQHPKYRNVVATSQIGTTPSIHIWDAMTKHTLSMLRCFHSKGVNYINFSATGKLLVSVGVDPEHTITVWRWQEGAKVASRGGHLERIFVVEFRPDSDTQFVSVGVKHMKFWTLAGSALLYKKGVIGSLGAAKMQTMLSVAFGANNLTFTGAINGDVYVWKDHFLIRLVAKAHTGPVFTMYTTLRDGLIVTGGKERPTKEGGAVKLWDQEMKRCRAFQLETGQLVECVRSVCRGKGKILVGTKDGEIIEVGEKNAASNILIDGHMEGEIWGLATHPSKDLFISASNDGTARIWDLADKKLLNKVSLGHAARCAAYSPDGEMVAIGMKNGEFVILLVNSLKVWGKKRDRKSAIQDIRISPDNRFLAVGSSEHTVDFYDLTQGTNLNRIGYCKDIPSFVIQMDFSADGKYIQVSTGAYKRQVHEVPLGKQVTEAVVIEKITWASWTSVLGDEVIGIWPRNADKADVNCACVTHAGLNIVTGDDFGLVKLFDFPCTEKFAKHKRYFGHSAHVTNIRFSYDDKYVVSTGGDDCSVFVWRCL
+>DECOY_sp|Q6ZMW3|EMAL6_HUMAN Echinoderm microtubule-associated protein-like 6 OS=Homo sapiens OX=9606 GN=EML6 PE=2 SV=2
+LCRWVFVSCDDGGTSVVYKDDYSFRINTVHASHGFYRKHKAFKETCPFDFLKVLGFDDGTVINLGAHTVCACNVDAKDANRPWIGIVEDGLVSTWSAWTIKEIVVAETVQKGLPVEHVQRKYAGTSVQIYKGDASFDMQIVFSPIDKCYGIRNLNTGQTLDYFDVTHESSGVALFRNDPSIRIDQIASKRDRKKGWVKLSNVLLIVFEGNKMGIAVMEGDPSYAACRAAHGLSVKNLLKKDALDWIRATGDNSASIFLDKSPHTALGWIEGEMHGDILINSAANKEGVEIIEGDKTGVLIKGKGRCVSRVCEVLQGTELQFARCRKMEQDWLKVAGGEKTPREKGGTVILGDRLTTYMTFVPGTHAKAVLRILFHDKWVYVDGNIAGTFTLNNAGFAVSLMTQMKAAGLSGIVGKKYLLASGALTWFKMHKVGVSVFQTDSDPRFEVVFIRELHGGRSAVKAGEQWRWVTITHEPDVGVSVLLKGTASFNIYNVGKSHFCRLMSLTHKTMADWIHISPTTGIQSTAVVNRYKPHQNVTLCLIDDTHELYFSQSGTSLNQVIGAAATHFIIDAGDNLYHLNNRCDFGRYGFVHDLALEEVLKKKKTINNKQLKEPQPAARSVPPREEVSVEKLQQHPKTGEMERISVAYIKTTYDIAKERAVDSDYGGDEEVDTDSEESDVLKSEQTGVFERTWIMLATDAGGVTLLVSDNHLWRVNTVHASHGVYKKFRAHQGKVPYSFLKVFGFDDGTALLSCDKTLSAANVDTIDSHAPWIGECTPGLVCTWTDWEIKEIESPRIIHRKGRPAEFFLQERAGSNVQLLKGRSDWDIHTIYSSAGKCIGVRKSTLVNYIDVFNDHSAVALYKGTDKSFKIDSIMEKRHHFSVMDEVTDANVVLFSGDNLGVALAKGDPSFACCRGGKKLKRVALMRHQASLEWIRLTKDDSVTACIPLLPHAALGWVEGEMHGQVLLTMPGSKDIELIEGNKTGVLIHGHGLTIARISPNDELLLGKSSTSLASRKIAYTKLCREFMDDWLEVIGDKGGTVFGKDLAYMAFVPGDHAKVTKLLLIDKWIFIDGTAAGSFVLDEMRGYSVCMMTELKGVSGFTGRKSTFGGGAQQWFKIHKIGVTVLKDVHHPNCKVVFIKDKHGRTTAIKEGKKWDWFVISHFDDLGVSVLCKGDASFDLACVGRQHQGKLLSLCKLTQTDWVHIAADRGVQGTAVYDKVPHITLSLIDDDHGLYLRQSHQQRNYVVAVAAIHYVVEGAQTYFLNNRCDYGRYGHIFQLKLSDEPAKERKLFPVAHNKEKSQQKLQPLDEKYVQRDYNIQAEQEIDSDLEPVDSLDSDSEESYSDAGGEQPATELMGNSVGEPIFRWQFVSHDAGGTSLVWQFDHSWRVNTVHASHGVYKRFKAGRKLCPFKFLKVLGFDDGSVLVSSNYNADVSNIDTVETYKPWIGSVEPGKVCTWSAWPIGKIEEKSTLPKGSPMRYFLREGAGDNTQLYKSDLSWDIHTIFSLSKSCEGIKKYRQAVAYVDVPGDNSGVALYSGDPSFKMEHIVEKRDKIHVVETMDRVRLVIFSGDKMGLALQSGDPSFAVSRVAEEMNCRAILAHDALSWLRVSRDDSGTVALPKKPHLALAWLEGECHGQLILMPKDRERVIVEFIESDQTGALLRDAKWCVSRISLGKYGQETERLDIKTIPKFDTDWLRICGDRGGTAFGEECAYMSFIGASHAGQITRVLNLGKWVYIDGNLAGSYTIDEKACALCLITQLDGTKGFIGRKATLANGCLTWFKIHKVGCSVVRNPQYPDWSIDFIRDSHGTASALLKGKRWDWICVTNKADLGVSALRQGDSDFALCAVGHTHVDKLLSVTQVNYSDWICIYPEKGVQGTAVLTKDPHLALSIIDDNHGLFFKQSHERTNYVVGVGAVFYVVEKGATYYLNNRCQHGRYGYVWELRLQCRPATRDAM
+>sp|Q5T6L9|EMARD_HUMAN Endoplasmic reticulum membrane-associated RNA degradation protein OS=Homo sapiens OX=9606 GN=ERMARD PE=1 SV=1
+MEVLIGDPITTCLSPSVYDIICNLGFQLRENCDINSIVTQNGEVCWKTITDCVSYTESEQGLDYWGSVRLLGPVCEAVHSHFLSLTKGQFEIRYAPWFQWTSFPELFPEIFDALESLQSPAISLSLMKLTSCLERALGDVFLLIGKECPFLLRDLLSSEELAQVFSQSVMNVLKVFVGSPCGLNLRNVLWHGFASPEEIPPKYCSMMILLTAGLGQLLKSYLQNTKLTLAHRSFISLTNLEDLIVFPDVTYEVLSVLEEVMMKSAFILKIMLPYWEVALVKFKSHRFADCAILLLTQLETGLRNVFATLNRCPKRLLTAESTALYTTFDQILAKHLNDGKINQLPLFLGEPAMEFLWDFLNHQEGPRIRDHLSHGEINLHEFSKETTNQLLAFSLVLLLRFVDDCLLSVFKEKSAVELLISLAEGYSSRCHPVFQLKKQVLSCEESIRVWALLPFPEELTRQAVRLEDNSETNACHSLITKMTDELYHHMPENRCVLKDLDRLPTETWPQLLRELCSTPVPTLFCPRIVLEVLVVLRSISEQCRRVSSQVTVASELRHRQWVERTLRSRQRQNYLRMWSSIRLLSPVLSLILLLIALELVNIHAVCGKNAHEYQQYLKFVKSILQYTENLVAYTSYEKNKWNETINLTHTALLKMWTFSEKKQMLIHLAKKSTSKVLL
+>DECOY_sp|Q5T6L9|EMARD_HUMAN Endoplasmic reticulum membrane-associated RNA degradation protein OS=Homo sapiens OX=9606 GN=ERMARD PE=1 SV=1
+LLVKSTSKKALHILMQKKESFTWMKLLATHTLNITENWKNKEYSTYAVLNETYQLISKVFKLYQQYEHANKGCVAHINVLELAILLLILSLVPSLLRISSWMRLYNQRQRSRLTREVWQRHRLESAVTVQSSVRRCQESISRLVVLVELVIRPCFLTPVPTSCLERLLQPWTETPLRDLDKLVCRNEPMHHYLEDTMKTILSHCANTESNDELRVAQRTLEEPFPLLAWVRISEECSLVQKKLQFVPHCRSSYGEALSILLEVASKEKFVSLLCDDVFRLLLVLSFALLQNTTEKSFEHLNIEGHSLHDRIRPGEQHNLFDWLFEMAPEGLFLPLQNIKGDNLHKALIQDFTTYLATSEATLLRKPCRNLTAFVNRLGTELQTLLLIACDAFRHSKFKVLAVEWYPLMIKLIFASKMMVEELVSLVEYTVDPFVILDELNTLSIFSRHALTLKTNQLYSKLLQGLGATLLIMMSCYKPPIEEPSAFGHWLVNRLNLGCPSGVFVKLVNMVSQSFVQALEESSLLDRLLFPCEKGILLFVDGLARELCSTLKMLSLSIAPSQLSELADFIEPFLEPFSTWQFWPAYRIEFQGKTLSLFHSHVAECVPGLLRVSGWYDLGQESETYSVCDTITKWCVEGNQTVISNIDCNERLQFGLNCIIDYVSPSLCTTIPDGILVEM
+>sp|Q96A84|EMID1_HUMAN EMI domain-containing protein 1 OS=Homo sapiens OX=9606 GN=EMID1 PE=2 SV=1
+MGGPRAWALLCLGLLLPGGGAAWSIGAAPFSGRRNWCSYVVTRTISCHVQNGTYLQRVLQNCPWPMSCPGSSYRTVVRPTYKVMYKIVTAREWRCCPGHSGVSCEEASSASLEPMWSGSTMRRMALRPTAFSGCLNCSKVSELTERLKVLEAKMTMLTVIEQPVPPTPATPEDPAPLWGPPPAQGSPGDGGLQDQVGAWGLPGPTGPKGDAGSRGPMGMRGPPGPQGPPGSPGRAGAVGTPGERGPPGPPGPPGPPGPPAPVGPPHARISQHGDPLLSNTFTETNNHWPQGPTGPPGPPGPMGPPGPPGPTGVPGSPGHIGPPGPTGPKGISGHPGEKGERGLRGEPGPQGSAGQRGEPGPKGDPGEKSHWGEGLHQLREALKILAERVLILETMIGLYEPELGSGAGPAGTGTPSLLRGKRGGHATNYRIVAPRSRDERG
+>DECOY_sp|Q96A84|EMID1_HUMAN EMI domain-containing protein 1 OS=Homo sapiens OX=9606 GN=EMID1 PE=2 SV=1
+GREDRSRPAVIRYNTAHGGRKGRLLSPTGTGAPGAGSGLEPEYLGIMTELILVREALIKLAERLQHLGEGWHSKEGPDGKPGPEGRQGASGQPGPEGRLGREGKEGPHGSIGKPGTPGPPGIHGPSGPVGTPGPPGPPGMPGPPGPPGTPGQPWHNNTETFTNSLLPDGHQSIRAHPPGVPAPPGPPGPPGPPGPPGREGPTGVAGARGPSGPPGQPGPPGRMGMPGRSGADGKPGTPGPLGWAGVQDQLGGDGPSGQAPPPGWLPAPDEPTAPTPPVPQEIVTLMTMKAELVKLRETLESVKSCNLCGSFATPRLAMRRMTSGSWMPELSASSAEECSVGSHGPCCRWERATVIKYMVKYTPRVVTRYSSGPCSMPWPCNQLVRQLYTGNQVHCSITRTVVYSCWNRRGSFPAAGISWAAGGGPLLLGLCLLAWARPGGM
+>sp|Q9Y6C2|EMIL1_HUMAN EMILIN-1 OS=Homo sapiens OX=9606 GN=EMILIN1 PE=1 SV=3
+MAPRTLWSCYLCCLLTAAAGAASYPPRGFSLYTGSSGALSPGGPQAQIAPRPASRHRNWCAYVVTRTVSCVLEDGVETYVKYQPCAWGQPQCPQSIMYRRFLRPRYRVAYKTVTDMEWRCCQGYGGDDCAESPAPALGPASSTPRPLARPARPNLSGSSAGSPLSGLGGEGPGESEKVQQLEEQVQSLTKELQGLRGVLQGLSGRLAEDVQRAVETAFNGRQQPADAAARPGVHETLNEIQHQLQLLDTRVSTHDQELGHLNNHHGGSSSSGGSRAPAPASAPPGPSEELLRQLEQRLQESCSVCLAGLDGFRRQQQEDRERLRAMEKLLASVEERQRHLAGLAVGRRPPQECCSPELGRRLAELERRLDVVAGSVTVLSGRRGTELGGAAGQGGHPPGYTSLASRLSRLEDRFNSTLGPSEEQEESWPGAPGGLSHWLPAARGRLEQLGGLLANVSGELGGRLDLLEEQVAGAMQACGQLCSGAPGEQDSQVSEILSALERRVLDSEGQLRLVGSGLHTVEAAGEARQATLEGLQEVVGRLQDRVDAQDETAAEFTLRLNLTAARLGQLEGLLQAHGDEGCGACGGVQEELGRLRDGVERCSCPLLPPRGPGAGPGVGGPSRGPLDGFSVFGGSSGSALQALQGELSEVILSFSSLNDSLNELQTTVEGQGADLADLGATKDRIISEINRLQQEATEHATESEERFRGLEEGQAQAGQCPSLEGRLGRLEGVCERLDTVAGGLQGLREGLSRHVAGLWAGLRETNTTSQMQAALLEKLVGGQAGLGRRLGALNSSLQLLEDRLHQLSLKDLTGPAGEAGPPGPPGLQGPPGPAGPPGSPGKDGQEGPIGPPGPQGEQGVEGAPAAPVPQVAFSAALSLPRSEPGTVPFDRVLLNDGGYYDPETGVFTAPLAGRYLLSAVLTGHRHEKVEAVLSRSNQGVARVDSGGYEPEGLENKPVAESQPSPGTLGVFSLILPLQAGDTVCVDLVMGQLAHSEEPLTIFSGALLYGDPELEHA
+>DECOY_sp|Q9Y6C2|EMIL1_HUMAN EMILIN-1 OS=Homo sapiens OX=9606 GN=EMILIN1 PE=1 SV=3
+AHELEPDGYLLAGSFITLPEESHALQGMVLDVCVTDGAQLPLILSFVGLTGPSPQSEAVPKNELGEPEYGGSDVRAVGQNSRSLVAEVKEHRHGTLVASLLYRGALPATFVGTEPDYYGGDNLLVRDFPVTGPESRPLSLAASFAVQPVPAAPAGEVGQEGQPGPPGIPGEQGDKGPSGPPGAPGPPGQLGPPGPPGAEGAPGTLDKLSLQHLRDELLQLSSNLAGLRRGLGAQGGVLKELLAAQMQSTTNTERLGAWLGAVHRSLGERLGQLGGAVTDLRECVGELRGLRGELSPCQGAQAQGEELGRFREESETAHETAEQQLRNIESIIRDKTAGLDALDAGQGEVTTQLENLSDNLSSFSLIVESLEGQLAQLASGSSGGFVSFGDLPGRSPGGVGPGAGPGRPPLLPCSCREVGDRLRGLEEQVGGCAGCGEDGHAQLLGELQGLRAATLNLRLTFEAATEDQADVRDQLRGVVEQLGELTAQRAEGAAEVTHLGSGVLRLQGESDLVRRELASLIESVQSDQEGPAGSCLQGCAQMAGAVQEELLDLRGGLEGSVNALLGGLQELRGRAAPLWHSLGGPAGPWSEEQEESPGLTSNFRDELRSLRSALSTYGPPHGGQGAAGGLETGRRGSLVTVSGAVVDLRRELEALRRGLEPSCCEQPPRRGVALGALHRQREEVSALLKEMARLRERDEQQQRRFGDLGALCVSCSEQLRQELQRLLEESPGPPASAPAPARSGGSSSSGGHHNNLHGLEQDHTSVRTDLLQLQHQIENLTEHVGPRAAADAPQQRGNFATEVARQVDEALRGSLGQLVGRLGQLEKTLSQVQEELQQVKESEGPGEGGLGSLPSGASSGSLNPRAPRALPRPTSSAPGLAPAPSEACDDGGYGQCCRWEMDTVTKYAVRYRPRLFRRYMISQPCQPQGWACPQYKVYTEVGDELVCSVTRTVVYACWNRHRSAPRPAIQAQPGGPSLAGSSGTYLSFGRPPYSAAGAAATLLCCLYCSWLTRPAM
+>sp|Q902F9|EN113_HUMAN Endogenous retrovirus group K member 113 Env polyprotein OS=Homo sapiens OX=9606 GN=HERVK_113 PE=1 SV=1
+MNPSEMQRKAPPRRRRHRNRAPLTHKMNKMVTSEEQMKLPSTKKAEPPTWAQLKKLTQLATKYLENTKVTQTPESMLLAALMIVSMVVSLPMPAGAAAANYTYWAYVPFPPLIRAVTWMDNPIEIYVNDSVWVPGPTDDCCPAKPEEEGMMINISIGYRYPPICLGRAPGCLMPAVQNWLVEVPTVSPISRFTYHMVSGMSLRPRVNYLQDFSYQRSLKFRPKGKPCPKEIPKESKNTEVLVWEECVANSAVILQNNEFGTLIDWAPRGQFYHNCSGQTQSCPSAQVSPAVDSDLTESLDKHKHKKLQSFYPWEWGEKGISTARPKIISPVSGPEHPELWRLTVASHHIRIWSGNQTLETRDRKPFYTIDLNSSLTVPLQSCVKPPYMLVVGNIVIKPDSQTITCENCRLLTCIDSTFNWQHRILLVRAREGVWIPVSMDRPWEASPSVHILTEVLKGVLNRSKRFIFTLIAVIMGLIAVTATAAVAGVALHSSVQSVNFVNDWQNNSTRLWNSQSSIDQKLANQINDLRQTVIWMGDRLMSLEHRFQLQCDWNTSDFCITPQIYNESEHHWDMVRCHLQGREDNLTLDISKLKEQIFEASKAHLNLVPGTEAIAGVADGLANLNTVTWVKTIGSTTIINLILILVCLFCLLLVYRCTQQLRRDSDHRERAMMTMVVLSKRKGGNVGKSKRDQIVTVSV
+>DECOY_sp|Q902F9|EN113_HUMAN Endogenous retrovirus group K member 113 Env polyprotein OS=Homo sapiens OX=9606 GN=HERVK_113 PE=1 SV=1
+VSVTVIQDRKSKGVNGGKRKSLVVMTMMARERHDSDRRLQQTCRYVLLLCFLCVLILILNIITTSGITKVWTVTNLNALGDAVGAIAETGPVLNLHAKSAEFIQEKLKSIDLTLNDERGQLHCRVMDWHHESENYIQPTICFDSTNWDCQLQFRHELSMLRDGMWIVTQRLDNIQNALKQDISSQSNWLRTSNNQWDNVFNVSQVSSHLAVGAVAATATVAILGMIVAILTFIFRKSRNLVGKLVETLIHVSPSAEWPRDMSVPIWVGERARVLLIRHQWNFTSDICTLLRCNECTITQSDPKIVINGVVLMYPPKVCSQLPVTLSSNLDITYFPKRDRTELTQNGSWIRIHHSAVTLRWLEPHEPGSVPSIIKPRATSIGKEGWEWPYFSQLKKHKHKDLSETLDSDVAPSVQASPCSQTQGSCNHYFQGRPAWDILTGFENNQLIVASNAVCEEWVLVETNKSEKPIEKPCPKGKPRFKLSRQYSFDQLYNVRPRLSMGSVMHYTFRSIPSVTPVEVLWNQVAPMLCGPARGLCIPPYRYGISINIMMGEEEPKAPCCDDTPGPVWVSDNVYIEIPNDMWTVARILPPFPVYAWYTYNAAAAGAPMPLSVVMSVIMLAALLMSEPTQTVKTNELYKTALQTLKKLQAWTPPEAKKTSPLKMQEESTVMKNMKHTLPARNRHRRRRPPAKRQMESPNM
+>sp|Q8N8S7|ENAH_HUMAN Protein enabled homolog OS=Homo sapiens OX=9606 GN=ENAH PE=1 SV=2
+MSEQSICQARAAVMVYDDANKKWVPAGGSTGFSRVHIYHHTGNNTFRVVGRKIQDHQVVINCAIPKGLKYNQATQTFHQWRDARQVYGLNFGSKEDANVFASAMMHALEVLNSQETGPTLPRQNSQLPAQVQNGPSQEELEIQRRQLQEQQRQKELERERLERERMERERLERERLERERLERERLEQEQLERERQERERQERLERQERLERQERLERQERLDRERQERQERERLERLERERQERERQEQLEREQLEWERERRISSAAAPASVETPLNSVLGDSSASEPGLQAASQPAETPSQQGIVLGPLAPPPPPPLPPGPAQASVALPPPPGPPPPPPLPSTGPPPPPPPPPLPNQVPPPPPPPPAPPLPASGFFLASMSEDNRPLTGLAAAIAGAKLRKVSRMEDTSFPSGGNAIGVNSASSKTDTGRGNGPLPLGGSGLMEEMSALLARRRRIAEKGSTIETEQKEDKGEDSEPVTSKASSTSTPEPTRKPWERTNTMNGSKSPVISRRDSPRKNQIVFDNRSYDSLHRPKSTPLSQPSANGVQTEGLDYDRLKQDILDEMRKELTKLKEELIDAIRQELSKSNTA
+>DECOY_sp|Q8N8S7|ENAH_HUMAN Protein enabled homolog OS=Homo sapiens OX=9606 GN=ENAH PE=1 SV=2
+ATNSKSLEQRIADILEEKLKTLEKRMEDLIDQKLRDYDLGETQVGNASPQSLPTSKPRHLSDYSRNDFVIQNKRPSDRRSIVPSKSGNMTNTREWPKRTPEPTSTSSAKSTVPESDEGKDEKQETEITSGKEAIRRRRALLASMEEMLGSGGLPLPGNGRGTDTKSSASNVGIANGGSPFSTDEMRSVKRLKAGAIAAALGTLPRNDESMSALFFGSAPLPPAPPPPPPPPVQNPLPPPPPPPPPGTSPLPPPPPPGPPPPLAVSAQAPGPPLPPPPPPALPGLVIGQQSPTEAPQSAAQLGPESASSDGLVSNLPTEVSAPAAASSIRREREWELQERELQEQREREQRERELRELREREQREQRERDLREQRELREQRELREQRELREQREREQRERELQEQELRERELRERELRERELREREMRERELRERELEKQRQQEQLQRRQIELEEQSPGNQVQAPLQSNQRPLTPGTEQSNLVELAHMMASAFVNADEKSGFNLGYVQRADRWQHFTQTAQNYKLGKPIACNIVVQHDQIKRGVVRFTNNGTHHYIHVRSFGTSGGAPVWKKNADDYVMVAARAQCISQESM
+>sp|O14682|ENC1_HUMAN Ectoderm-neural cortex protein 1 OS=Homo sapiens OX=9606 GN=ENC1 PE=1 SV=2
+MSVSVHENRKSRASSGSINIYLFHKSSYADSVLTHLNLLRQQRLFTDVLLHAGNRTFPCHRAVLAACSRYFEAMFSGGLKESQDSEVNFDNSIHPEVLELLLDYAYSSRVIINEENAESLLEAGDMLEFQDIRDACAEFLEKNLHPTNCLGMLLLSDAHQCTKLYELSWRMCLSNFQTIRKNEDFLQLPQDMVVQLLSSEELETEDERLVYESAINWISYDLKKRYCYLPELLQTVRLALLPAIYLMENVAMEELITKQRKSKEIVEEAIRCKLKILQNDGVVTSLCARPRKTGHALFLLGGQTFMCDKLYLVDQKAKEIIPKADIPSPRKEFSACAIGCKVYITGGRGSENGVSKDVWVYDTLHEEWSKAAPMLVARFGHGSAELKHCLYVVGGHTAATGCLPASPSVSLKQVEHYDPTINKWTMVAPLREGVSNAAVVSAKLKLFAFGGTSVSHDKLPKVQCYDQCENRWTVPATCPQPWRYTAAAVLGNQIFIMGGDTEFSACSAYKFNSETYQWTKVGDVTAKRMSCHAVASGNKLYVVGGYFGIQRCKTLDCYDPTLDVWNSITTVPYSLIPTAFVSTWKHLPS
+>DECOY_sp|O14682|ENC1_HUMAN Ectoderm-neural cortex protein 1 OS=Homo sapiens OX=9606 GN=ENC1 PE=1 SV=2
+SPLHKWTSVFATPILSYPVTTISNWVDLTPDYCDLTKCRQIGFYGGVVYLKNGSAVAHCSMRKATVDGVKTWQYTESNFKYASCASFETDGGMIFIQNGLVAAATYRWPQPCTAPVTWRNECQDYCQVKPLKDHSVSTGGFAFLKLKASVVAANSVGERLPAVMTWKNITPDYHEVQKLSVSPSAPLCGTAATHGGVVYLCHKLEASGHGFRAVLMPAAKSWEEHLTDYVWVDKSVGNESGRGGTIYVKCGIACASFEKRPSPIDAKPIIEKAKQDVLYLKDCMFTQGGLLFLAHGTKRPRACLSTVVGDNQLIKLKCRIAEEVIEKSKRQKTILEEMAVNEMLYIAPLLALRVTQLLEPLYCYRKKLDYSIWNIASEYVLREDETELEESSLLQVVMDQPLQLFDENKRITQFNSLCMRWSLEYLKTCQHADSLLLMGLCNTPHLNKELFEACADRIDQFELMDGAELLSEANEENIIVRSSYAYDLLLELVEPHISNDFNVESDQSEKLGGSFMAEFYRSCAALVARHCPFTRNGAHLLVDTFLRQQRLLNLHTLVSDAYSSKHFLYINISGSSARSKRNEHVSVSM
+>sp|Q9N2K0|ENH1_HUMAN HERV-H_2q24.3 provirus ancestral Env polyprotein OS=Homo sapiens OX=9606 PE=2 SV=1
+MIFAGKAPSNTSTLMKFYSLLLYSLLFSFPFLCHPLPLPSYLHHTINLTHSLLAASNPSLVNNCWLCISLSSSAYTAVPAVQTDWATSPISLHLRTSFNSPHLYPPEELIYFLDRSSKTSPDISHQQAAALLRTYLKNLSPYINSTPPIFGPLTTQTTIPVAAPLCISWQRPTGIPLGNLSPSRCSFTLHLRSPTTNINETIGAFQLHITDKPSINTDKLKNISSNYCLGRHLPCISLHPWLSSPCSSDSPPRPSSCLLIPSPENNSERLLVDTRRFLIHHENRTFPSTQLPHQSPLQPLTAAALAGSLGVWVQDTPFSTPSHLFTLHLQFCLAQGLFFLCGSSTYMCLPANWTGTCTLVFLTPKIQFANGTEELPVPLMTPTQQKRVIPLIPLMVGLGLSASTVALGTGIAGISTSVMTFRSLSNDFSASITDISQTLSVLQAQVDSLAAVVLQNRRGLDLLTAEKGGLCIFLNEECCFYLNQSGLVYDNIKKLKDRAQKLANQASNYAEPPWALSNWMSWVLPIVSPLIPIFLLLLFGPCIFRLVSQFIQNRIQAITNHSIRQMFLLTSPQYHPLPQDLPSA
+>DECOY_sp|Q9N2K0|ENH1_HUMAN HERV-H_2q24.3 provirus ancestral Env polyprotein OS=Homo sapiens OX=9606 PE=2 SV=1
+ASPLDQPLPHYQPSTLLFMQRISHNTIAQIRNQIFQSVLRFICPGFLLLLFIPILPSVIPLVWSMWNSLAWPPEAYNSAQNALKQARDKLKKINDYVLGSQNLYFCCEENLFICLGGKEATLLDLGRRNQLVVAALSDVQAQLVSLTQSIDTISASFDNSLSRFTMVSTSIGAIGTGLAVTSASLGLGVMLPILPIVRKQQTPTMLPVPLEETGNAFQIKPTLFVLTCTGTWNAPLCMYTSSGCLFFLGQALCFQLHLTFLHSPTSFPTDQVWVGLSGALAAATLPQLPSQHPLQTSPFTRNEHHILFRRTDVLLRESNNEPSPILLCSSPRPPSDSSCPSSLWPHLSICPLHRGLCYNSSINKLKDTNISPKDTIHLQFAGITENINTTPSRLHLTFSCRSPSLNGLPIGTPRQWSICLPAAVPITTQTTLPGFIPPTSNIYPSLNKLYTRLLAAAQQHSIDPSTKSSRDLFYILEEPPYLHPSNFSTRLHLSIPSTAWDTQVAPVATYASSSLSICLWCNNVLSPNSAALLSHTLNITHHLYSPLPLPHCLFPFSFLLSYLLLSYFKMLTSTNSPAKGAFIM
+>sp|Q9HDB8|ENK5_HUMAN Endogenous retrovirus group K member 5 Env polyprotein OS=Homo sapiens OX=9606 GN=ERVK-5 PE=2 SV=1
+MVTPVTWMDNPIEVYVNDSVWVPGPTDDRCPAKPEEEGMMINISIVYRYPPICLGRAPGCLMPAVQNWLVEVPTVSPNSRFTYHMVSGMSLRPRVNYLQDFSYQRSLKFRPKGKPCPKEIPKESKNTEVLVWEECVANSAVILQNNEFGTIIDWAPRGQFYHNCSGQTQSCPSAQVSPAVDSDLTESLDKHKHKKLQSFYPWEWGEKGISTPRPEIISPVSGPEHPELWRLWPDTTLEFGLEIKL
+>DECOY_sp|Q9HDB8|ENK5_HUMAN Endogenous retrovirus group K member 5 Env polyprotein OS=Homo sapiens OX=9606 GN=ERVK-5 PE=2 SV=1
+LKIELGFELTTDPWLRWLEPHEPGSVPSIIEPRPTSIGKEGWEWPYFSQLKKHKHKDLSETLDSDVAPSVQASPCSQTQGSCNHYFQGRPAWDIITGFENNQLIVASNAVCEEWVLVETNKSEKPIEKPCPKGKPRFKLSRQYSFDQLYNVRPRLSMGSVMHYTFRSNPSVTPVEVLWNQVAPMLCGPARGLCIPPYRYVISINIMMGEEEPKAPCRDDTPGPVWVSDNVYVEIPNDMWTVPTVM
+>sp|P61567|ENK7_HUMAN Endogenous retrovirus group K member 7 Env polyprotein OS=Homo sapiens OX=9606 GN=ERVK-7 PE=2 SV=1
+MVTPVTWMDNPIEIYVNDSVWVPGPIDDRCPAKPEEEGMMINISIGYRYPPICLGRAPGCLMPAVQNWLVEVPTVSPISRFTYHMVSGMSLRPRVNYLQDFSYQRSLKFRPKGKPCPKEIPKESKNTEVLVWEECVANSAVILQNNEFGTIIDWAPRGQFYHNCSGQTQSCPSAQVSPAVDSDLTESLDKHKHKKLQSFYPWEWGEKRISTPRPKIVSPVSGPEHPELWRLTVASHHIRIWSGNQTLETRDCKPFYTIDLNSSLTVPLQSCVKPPYMLVVGNIVIKPDSQTITCENCRLLSCIDSTFNWQHRILLVRAREGVWIPVSMDRPWEASPSVHILTEVLKGVLNRSKRFIFTLIAVIMGLIAVTATAAVAGVALHSSVQSVNFVNDWQKNSTRLWNSQSSIDQKLANQINDLRQTVIWMGDRLMSLEHRFQLQCDWNTSDFCITPQIYNESEHHWDMVRRHLQGREDNLTLDISKLKEQIFEASKAHLNLVPGTEAIAGVADGLANLNPVTWVKTIGSTTIINLILILVCLFCLLLVCRCTQQLRRDSDHRERAMMTMAVLSKRKGGNVGKSKRDQIVTVSV
+>DECOY_sp|P61567|ENK7_HUMAN Endogenous retrovirus group K member 7 Env polyprotein OS=Homo sapiens OX=9606 GN=ERVK-7 PE=2 SV=1
+VSVTVIQDRKSKGVNGGKRKSLVAMTMMARERHDSDRRLQQTCRCVLLLCFLCVLILILNIITTSGITKVWTVPNLNALGDAVGAIAETGPVLNLHAKSAEFIQEKLKSIDLTLNDERGQLHRRVMDWHHESENYIQPTICFDSTNWDCQLQFRHELSMLRDGMWIVTQRLDNIQNALKQDISSQSNWLRTSNKQWDNVFNVSQVSSHLAVGAVAATATVAILGMIVAILTFIFRKSRNLVGKLVETLIHVSPSAEWPRDMSVPIWVGERARVLLIRHQWNFTSDICSLLRCNECTITQSDPKIVINGVVLMYPPKVCSQLPVTLSSNLDITYFPKCDRTELTQNGSWIRIHHSAVTLRWLEPHEPGSVPSVIKPRPTSIRKEGWEWPYFSQLKKHKHKDLSETLDSDVAPSVQASPCSQTQGSCNHYFQGRPAWDIITGFENNQLIVASNAVCEEWVLVETNKSEKPIEKPCPKGKPRFKLSRQYSFDQLYNVRPRLSMGSVMHYTFRSIPSVTPVEVLWNQVAPMLCGPARGLCIPPYRYGISINIMMGEEEPKAPCRDDIPGPVWVSDNVYIEIPNDMWTVPTVM
+>sp|Q7L5Y1|ENOF1_HUMAN Mitochondrial enolase superfamily member 1 OS=Homo sapiens OX=9606 GN=ENOSF1 PE=1 SV=1
+MVRGRISRLSVRDVRFPTSLGGHGADAMHTDPDYSAAYVVIETDAEDGIKGCGITFTLGKGTEVVVCAVNALAHHVLNKDLKDIVGDFRGFYRQLTSDGQLRWIGPEKGVVHLATAAVLNAVWDLWAKQEGKPVWKLLVDMDPRMLVSCIDFRYITDVLTEEDALEILQKGQIGKKEREKQMLAQGYPAYTTSCAWLGYSDDTLKQLCAQALKDGWTRFKVKVGADLQDDMRRCQIIRDMIGPEKTLMMDANQRWDVPEAVEWMSKLAKFKPLWIEEPTSPDDILGHATISKALVPLGIGIATGEQCHNRVIFKQLLQAKALQFLQIDSCRLGSVNENLSVLLMAKKFEIPVCPHAGGVGLCELVQHLIIFDYISVSASLENRVCEYVDHLHEHFKYPVMIQRASYMPPKDPGYSTEMKEESVKKHQYPDGEVWKKLLPAQEN
+>DECOY_sp|Q7L5Y1|ENOF1_HUMAN Mitochondrial enolase superfamily member 1 OS=Homo sapiens OX=9606 GN=ENOSF1 PE=1 SV=1
+NEQAPLLKKWVEGDPYQHKKVSEEKMETSYGPDKPPMYSARQIMVPYKFHEHLHDVYECVRNELSASVSIYDFIILHQVLECLGVGGAHPCVPIEFKKAMLLVSLNENVSGLRCSDIQLFQLAKAQLLQKFIVRNHCQEGTAIGIGLPVLAKSITAHGLIDDPSTPEEIWLPKFKALKSMWEVAEPVDWRQNADMMLTKEPGIMDRIIQCRRMDDQLDAGVKVKFRTWGDKLAQACLQKLTDDSYGLWACSTTYAPYGQALMQKEREKKGIQGKQLIELADEETLVDTIYRFDICSVLMRPDMDVLLKWVPKGEQKAWLDWVANLVAATALHVVGKEPGIWRLQGDSTLQRYFGRFDGVIDKLDKNLVHHALANVACVVVETGKGLTFTIGCGKIGDEADTEIVVYAASYDPDTHMADAGHGGLSTPFRVDRVSLRSIRGRVM
+>sp|Q58FF3|ENPLL_HUMAN Putative endoplasmin-like protein OS=Homo sapiens OX=9606 GN=HSP90B2P PE=5 SV=1
+MAETIQEVEDEYKAFCKSFSKESDDPVACIHFTAEGEVTFKSILFVPTFVPRGLFDEYGSKKSDYIKLYVRCVFITDDFRDTMPKNLNFVKGVVDSGGLSLNVSCETLQQHKLLKVIRKKLVHKTLDMIKKIADEKYNDTFWKEFGTNIKLGVIEDHSNRTCLAKLLRFQSSHHPADITSLHQDVERMKEKQDKICLMAGGYEVIYLTEPVVEYCIQALPEFDGKRFQNVAKEGVKFDDSEKTKESHEAVEKEFEPLPNWVKDKAIKDKIEKAMVSQCLTESLCALVASQYGWSGNMERIMKAQAYQTGKGISTNYHASRKKTFEINPRHPLIRDMLRRIKEDEDDKTVLDLAVVEEPDEEPEETAEDKEQDKDKEMDVGTDEEKQETAKESTAEKDEL
+>DECOY_sp|Q58FF3|ENPLL_HUMAN Putative endoplasmin-like protein OS=Homo sapiens OX=9606 GN=HSP90B2P PE=5 SV=1
+LEDKEATSEKATEQKEEDTGVDMEKDKDQEKDEATEEPEEDPEEVVALDLVTKDDEDEKIRRLMDRILPHRPNIEFTKKRSAHYNTSIGKGTQYAQAKMIREMNGSWGYQSAVLACLSETLCQSVMAKEIKDKIAKDKVWNPLPEFEKEVAEHSEKTKESDDFKVGEKAVNQFRKGDFEPLAQICYEVVPETLYIVEYGGAMLCIKDQKEKMREVDQHLSTIDAPHHSSQFRLLKALCTRNSHDEIVGLKINTGFEKWFTDNYKEDAIKKIMDLTKHVLKKRIVKLLKHQQLTECSVNLSLGGSDVVGKVFNLNKPMTDRFDDTIFVCRVYLKIYDSKKSGYEDFLGRPVFTPVFLISKFTVEGEATFHICAVPDDSEKSFSKCFAKYEDEVEQITEAM
+>sp|P14625|ENPL_HUMAN Endoplasmin OS=Homo sapiens OX=9606 GN=HSP90B1 PE=1 SV=1
+MRALWVLGLCCVLLTFGSVRADDEVDVDGTVEEDLGKSREGSRTDDEVVQREEEAIQLDGLNASQIRELREKSEKFAFQAEVNRMMKLIINSLYKNKEIFLRELISNASDALDKIRLISLTDENALSGNEELTVKIKCDKEKNLLHVTDTGVGMTREELVKNLGTIAKSGTSEFLNKMTEAQEDGQSTSELIGQFGVGFYSAFLVADKVIVTSKHNNDTQHIWESDSNEFSVIADPRGNTLGRGTTITLVLKEEASDYLELDTIKNLVKKYSQFINFPIYVWSSKTETVEEPMEEEEAAKEEKEESDDEAAVEEEEEEKKPKTKKVEKTVWDWELMNDIKPIWQRPSKEVEEDEYKAFYKSFSKESDDPMAYIHFTAEGEVTFKSILFVPTSAPRGLFDEYGSKKSDYIKLYVRRVFITDDFHDMMPKYLNFVKGVVDSDDLPLNVSRETLQQHKLLKVIRKKLVRKTLDMIKKIADDKYNDTFWKEFGTNIKLGVIEDHSNRTRLAKLLRFQSSHHPTDITSLDQYVERMKEKQDKIYFMAGSSRKEAESSPFVERLLKKGYEVIYLTEPVDEYCIQALPEFDGKRFQNVAKEGVKFDESEKTKESREAVEKEFEPLLNWMKDKALKDKIEKAVVSQRLTESPCALVASQYGWSGNMERIMKAQAYQTGKDISTNYYASQKKTFEINPRHPLIRDMLRRIKEDEDDKTVLDLAVVLFETATLRSGYLLPDTKAYGDRIERMLRLSLNIDPDAKVEEEPEEEPEETAEDTTEDTEQDEDEEMDVGTDEEEETAKESTAEKDEL
+>DECOY_sp|P14625|ENPL_HUMAN Endoplasmin OS=Homo sapiens OX=9606 GN=HSP90B1 PE=1 SV=1
+LEDKEATSEKATEEEEDTGVDMEEDEDQETDETTDEATEEPEEEPEEEVKADPDINLSLRLMREIRDGYAKTDPLLYGSRLTATEFLVVALDLVTKDDEDEKIRRLMDRILPHRPNIEFTKKQSAYYNTSIDKGTQYAQAKMIREMNGSWGYQSAVLACPSETLRQSVVAKEIKDKLAKDKMWNLLPEFEKEVAERSEKTKESEDFKVGEKAVNQFRKGDFEPLAQICYEDVPETLYIVEYGKKLLREVFPSSEAEKRSSGAMFYIKDQKEKMREVYQDLSTIDTPHHSSQFRLLKALRTRNSHDEIVGLKINTGFEKWFTDNYKDDAIKKIMDLTKRVLKKRIVKLLKHQQLTERSVNLPLDDSDVVGKVFNLYKPMMDHFDDTIFVRRVYLKIYDSKKSGYEDFLGRPASTPVFLISKFTVEGEATFHIYAMPDDSEKSFSKYFAKYEDEEVEKSPRQWIPKIDNMLEWDWVTKEVKKTKPKKEEEEEEVAAEDDSEEKEEKAAEEEEMPEEVTETKSSWVYIPFNIFQSYKKVLNKITDLELYDSAEEKLVLTITTGRGLTNGRPDAIVSFENSDSEWIHQTDNNHKSTVIVKDAVLFASYFGVGFQGILESTSQGDEQAETMKNLFESTGSKAITGLNKVLEERTMGVGTDTVHLLNKEKDCKIKVTLEENGSLANEDTLSILRIKDLADSANSILERLFIEKNKYLSNIILKMMRNVEAQFAFKESKERLERIQSANLGDLQIAEEERQVVEDDTRSGERSKGLDEEVTGDVDVEDDARVSGFTLLVCCLGLVWLARM
+>sp|Q13822|ENPP2_HUMAN Ectonucleotide pyrophosphatase/phosphodiesterase family member 2 OS=Homo sapiens OX=9606 GN=ENPP2 PE=1 SV=3
+MARRSSFQSCQIISLFTFAVGVNICLGFTAHRIKRAEGWEEGPPTVLSDSPWTNISGSCKGRCFELQEAGPPDCRCDNLCKSYTSCCHDFDELCLKTARGWECTKDRCGEVRNEENACHCSEDCLARGDCCTNYQVVCKGESHWVDDDCEEIKAAECPAGFVRPPLIIFSVDGFRASYMKKGSKVMPNIEKLRSCGTHSPYMRPVYPTKTFPNLYTLATGLYPESHGIVGNSMYDPVFDATFHLRGREKFNHRWWGGQPLWITATKQGVKAGTFFWSVVIPHERRILTILQWLTLPDHERPSVYAFYSEQPDFSGHKYGPFGPEMTNPLREIDKIVGQLMDGLKQLKLHRCVNVIFVGDHGMEDVTCDRTEFLSNYLTNVDDITLVPGTLGRIRSKFSNNAKYDPKAIIANLTCKKPDQHFKPYLKQHLPKRLHYANNRRIEDIHLLVERRWHVARKPLDVYKKPSGKCFFQGDHGFDNKVNSMQTVFVGYGSTFKYKTKVPPFENIELYNVMCDLLGLKPAPNNGTHGSLNHLLRTNTFRPTMPEEVTRPNYPGIMYLQSDFDLGCTCDDKVEPKNKLDELNKRLHTKGSTEERHLLYGRPAVLYRTRYDILYHTDFESGYSEIFLMPLWTSYTVSKQAEVSSVPDHLTSCVRPDVRVSPSFSQNCLAYKNDKQMSYGFLFPPYLSSSPEAKYDAFLVTNMVPMYPAFKRVWNYFQRVLVKKYASERNGVNVISGPIFDYDYDGLHDTEDKIKQYVEGSSIPVPTHYYSIITSCLDFTQPADKCDGPLSVSSFILPHRPDNEESCNSSEDESKWVEELMKMHTARVRDIEHLTSLDFFRKTSRSYPEILTLKTYLHTYESEI
+>DECOY_sp|Q13822|ENPP2_HUMAN Ectonucleotide pyrophosphatase/phosphodiesterase family member 2 OS=Homo sapiens OX=9606 GN=ENPP2 PE=1 SV=3
+IESEYTHLYTKLTLIEPYSRSTKRFFDLSTLHEIDRVRATHMKMLEEVWKSEDESSNCSEENDPRHPLIFSSVSLPGDCKDAPQTFDLCSTIISYYHTPVPISSGEVYQKIKDETDHLGDYDYDFIPGSIVNVGNRESAYKKVLVRQFYNWVRKFAPYMPVMNTVLFADYKAEPSSSLYPPFLFGYSMQKDNKYALCNQSFSPSVRVDPRVCSTLHDPVSSVEAQKSVTYSTWLPMLFIESYGSEFDTHYLIDYRTRYLVAPRGYLLHREETSGKTHLRKNLEDLKNKPEVKDDCTCGLDFDSQLYMIGPYNPRTVEEPMTPRFTNTRLLHNLSGHTGNNPAPKLGLLDCMVNYLEINEFPPVKTKYKFTSGYGVFVTQMSNVKNDFGHDGQFFCKGSPKKYVDLPKRAVHWRREVLLHIDEIRRNNAYHLRKPLHQKLYPKFHQDPKKCTLNAIIAKPDYKANNSFKSRIRGLTGPVLTIDDVNTLYNSLFETRDCTVDEMGHDGVFIVNVCRHLKLQKLGDMLQGVIKDIERLPNTMEPGFPGYKHGSFDPQESYFAYVSPREHDPLTLWQLITLIRREHPIVVSWFFTGAKVGQKTATIWLPQGGWWRHNFKERGRLHFTADFVPDYMSNGVIGHSEPYLGTALTYLNPFTKTPYVPRMYPSHTGCSRLKEINPMVKSGKKMYSARFGDVSFIILPPRVFGAPCEAAKIEECDDDVWHSEGKCVVQYNTCCDGRALCDESCHCANEENRVEGCRDKTCEWGRATKLCLEDFDHCCSTYSKCLNDCRCDPPGAEQLEFCRGKCSGSINTWPSDSLVTPPGEEWGEARKIRHATFGLCINVGVAFTFLSIIQCSQFSSRRAM
+>sp|O14638|ENPP3_HUMAN Ectonucleotide pyrophosphatase/phosphodiesterase family member 3 OS=Homo sapiens OX=9606 GN=ENPP3 PE=1 SV=2
+MESTLTLATEQPVKKNTLKKYKIACIVLLALLVIMSLGLGLGLGLRKLEKQGSCRKKCFDASFRGLENCRCDVACKDRGDCCWDFEDTCVESTRIWMCNKFRCGETRLEASLCSCSDDCLQRKDCCADYKSVCQGETSWLEENCDTAQQSQCPEGFDLPPVILFSMDGFRAEYLYTWDTLMPNINKLKTCGIHSKYMRAMYPTKTFPNHYTIVTGLYPESHGIIDNNMYDVNLNKNFSLSSKEQNNPAWWHGQPMWLTAMYQGLKAATYFWPGSEVAINGSFPSIYMPYNGSVPFEERISTLLKWLDLPKAERPRFYTMYFEEPDSSGHAGGPVSARVIKALQVVDHAFGMLMEGLKQRNLHNCVNIILLADHGMDQTYCNKMEYMTDYFPRINFFYMYEGPAPRIRAHNIPHDFFSFNSEEIVRNLSCRKPDQHFKPYLTPDLPKRLHYAKNVRIDKVHLFVDQQWLAVRSKSNTNCGGGNHGYNNEFRSMEAIFLAHGPSFKEKTEVEPFENIEVYNLMCDLLRIQPAPNNGTHGSLNHLLKVPFYEPSHAEEVSKFSVCGFANPLPTESLDCFCPHLQNSTQLEQVNQMLNLTQEEITATVKVNLPFGRPRVLQKNVDHCLLYHREYVSGFGKAMRMPMWSSYTVPQLGDTSPLPPTVPDCLRADVRVPPSESQKCSFYLADKNITHGFLYPPASNRTSDSQYDALITSNLVPMYEEFRKMWDYFHSVLLIKHATERNGVNVVSGPIFDYNYDGHFDAPDEITKHLANTDVPIPTHYFVVLTSCKNKSHTPENCPGWLDVLPFIIPHRPTNVESCPEGKPEALWVEERFTAHIARVRDVELLTGLDFYQDKVQPVSEILQLKTYLPTFETTI
+>DECOY_sp|O14638|ENPP3_HUMAN Ectonucleotide pyrophosphatase/phosphodiesterase family member 3 OS=Homo sapiens OX=9606 GN=ENPP3 PE=1 SV=2
+ITTEFTPLYTKLQLIESVPQVKDQYFDLGTLLEVDRVRAIHATFREEVWLAEPKGEPCSEVNTPRHPIIFPLVDLWGPCNEPTHSKNKCSTLVVFYHTPIPVDTNALHKTIEDPADFHGDYNYDFIPGSVVNVGNRETAHKILLVSHFYDWMKRFEEYMPVLNSTILADYQSDSTRNSAPPYLFGHTINKDALYFSCKQSESPPVRVDARLCDPVTPPLPSTDGLQPVTYSSWMPMRMAKGFGSVYERHYLLCHDVNKQLVRPRGFPLNVKVTATIEEQTLNLMQNVQELQTSNQLHPCFCDLSETPLPNAFGCVSFKSVEEAHSPEYFPVKLLHNLSGHTGNNPAPQIRLLDCMLNYVEINEFPEVETKEKFSPGHALFIAEMSRFENNYGHNGGGCNTNSKSRVALWQQDVFLHVKDIRVNKAYHLRKPLDPTLYPKFHQDPKRCSLNRVIEESNFSFFDHPINHARIRPAPGEYMYFFNIRPFYDTMYEMKNCYTQDMGHDALLIINVCNHLNRQKLGEMLMGFAHDVVQLAKIVRASVPGGAHGSSDPEEFYMTYFRPREAKPLDLWKLLTSIREEFPVSGNYPMYISPFSGNIAVESGPWFYTAAKLGQYMATLWMPQGHWWAPNNQEKSSLSFNKNLNVDYMNNDIIGHSEPYLGTVITYHNPFTKTPYMARMYKSHIGCTKLKNINPMLTDWTYLYEARFGDMSFLIVPPLDFGEPCQSQQATDCNEELWSTEGQCVSKYDACCDKRQLCDDSCSCLSAELRTEGCRFKNCMWIRTSEVCTDEFDWCCDGRDKCAVDCRCNELGRFSADFCKKRCSGQKELKRLGLGLGLGLSMIVLLALLVICAIKYKKLTNKKVPQETALTLTSEM
+>sp|O75356|ENTP5_HUMAN Ectonucleoside triphosphate diphosphohydrolase 5 OS=Homo sapiens OX=9606 GN=ENTPD5 PE=1 SV=1
+MATSWGTVFFMLVVSCVCSAVSHRNQQTWFEGIFLSSMCPINVSASTLYGIMFDAGSTGTRIHVYTFVQKMPGQLPILEGEVFDSVKPGLSAFVDQPKQGAETVQGLLEVAKDSIPRSHWKKTPVVLKATAGLRLLPEHKAKALLFEVKEIFRKSPFLVPKGSVSIMDGSDEGILAWVTVNFLTGQLHGHRQETVGTLDLGGASTQITFLPQFEKTLEQTPRGYLTSFEMFNSTYKLYTHSYLGFGLKAARLATLGALETEGTDGHTFRSACLPRWLEAEWIFGGVKYQYGGNQEGEVGFEPCYAEVLRVVRGKLHQPEEVQRGSFYAFSYYYDRAVDTDMIDYEKGGILKVEDFERKAREVCDNLENFTSGSPFLCMDLSYITALLKDGFGFADSTVLQLTKKVNNIETGWALGATFHLLQSLGISH
+>DECOY_sp|O75356|ENTP5_HUMAN Ectonucleoside triphosphate diphosphohydrolase 5 OS=Homo sapiens OX=9606 GN=ENTPD5 PE=1 SV=1
+HSIGLSQLLHFTAGLAWGTEINNVKKTLQLVTSDAFGFGDKLLATIYSLDMCLFPSGSTFNELNDCVERAKREFDEVKLIGGKEYDIMDTDVARDYYYSFAYFSGRQVEEPQHLKGRVVRLVEAYCPEFGVEGEQNGGYQYKVGGFIWEAELWRPLCASRFTHGDTGETELAGLTALRAAKLGFGLYSHTYLKYTSNFMEFSTLYGRPTQELTKEFQPLFTIQTSAGGLDLTGVTEQRHGHLQGTLFNVTVWALIGEDSGDMISVSGKPVLFPSKRFIEKVEFLLAKAKHEPLLRLGATAKLVVPTKKWHSRPISDKAVELLGQVTEAGQKPQDVFASLGPKVSDFVEGELIPLQGPMKQVFTYVHIRTGTSGADFMIGYLTSASVNIPCMSSLFIGEFWTQQNRHSVASCVCSVVLMFFVTGWSTAM
+>sp|Q9NQZ7|ENTP7_HUMAN Ectonucleoside triphosphate diphosphohydrolase 7 OS=Homo sapiens OX=9606 GN=ENTPD7 PE=2 SV=1
+MARISFSYLCPASWYFTVPTVSPFLRQRVAFLGLFFISCLLLLMLIIDFRHWSASLPRDRQYERYLARVGELEATDTEDPNLNYGLVVDCGSSGSRIFVYFWPRHNGNPHDLLDIKQMRDRNSQPVVKKIKPGISAMADTPEHASDYLRPLLSFAAAHVPVKKHKETPLYILCTAGMRLLPERKQLAILADLVKDLPLEFDFLFSQSQAEVISGKQEGVYAWIGINFVLGRFDHEDESDAEATQELAAGRRRTVGILDMGGASLQIAYEVPTSTSVLPAKQEEAAKILLAEFNLGCDVQHTEHVYRVYVTTFLGFGGNFARQRYEDLVLNETLNKNRLLGQKTGLSPDNPFLDPCLPVGLTDVVERNSQVLHVRGRGDWVSCGAMLSPLLARSNTSQASLNGIYQSPIDFNNSEFYGFSEFFYCTEDVLRIGGRYHGPTFAKAAQDYCGMAWSVLTQRFKNGLFSSHADEHRLKYQCFKSAWMYQVLHEGFHFPYDYPNLRTAQLVYDREVQWTLGAILYKTRFLPLRDLRQEGVRQAHGSWFRLSFVYNHYLFFACILVVLLAIFLYLLRLRRIHHRQTRASAPLDLLWLEEVVPMMGVQVGP
+>DECOY_sp|Q9NQZ7|ENTP7_HUMAN Ectonucleoside triphosphate diphosphohydrolase 7 OS=Homo sapiens OX=9606 GN=ENTPD7 PE=2 SV=1
+PGVQVGMMPVVEELWLLDLPASARTQRHHIRRLRLLYLFIALLVVLICAFFLYHNYVFSLRFWSGHAQRVGEQRLDRLPLFRTKYLIAGLTWQVERDYVLQATRLNPYDYPFHFGEHLVQYMWASKFCQYKLRHEDAHSSFLGNKFRQTLVSWAMGCYDQAAKAFTPGHYRGGIRLVDETCYFFESFGYFESNNFDIPSQYIGNLSAQSTNSRALLPSLMAGCSVWDGRGRVHLVQSNREVVDTLGVPLCPDLFPNDPSLGTKQGLLRNKNLTENLVLDEYRQRAFNGGFGLFTTVYVRYVHETHQVDCGLNFEALLIKAAEEQKAPLVSTSTPVEYAIQLSAGGMDLIGVTRRRGAALEQTAEADSEDEHDFRGLVFNIGIWAYVGEQKGSIVEAQSQSFLFDFELPLDKVLDALIALQKREPLLRMGATCLIYLPTEKHKKVPVHAAAFSLLPRLYDSAHEPTDAMASIGPKIKKVVPQSNRDRMQKIDLLDHPNGNHRPWFYVFIRSGSSGCDVVLGYNLNPDETDTAELEGVRALYREYQRDRPLSASWHRFDIILMLLLLCSIFFLGLFAVRQRLFPSVTPVTFYWSAPCLYSFSIRAM
+>sp|Q9UBC2|EP15R_HUMAN Epidermal growth factor receptor substrate 15-like 1 OS=Homo sapiens OX=9606 GN=EPS15L1 PE=1 SV=1
+MAAPLIPLSQQIPTGNSLYESYYKQVDPAYTGRVGASEAALFLKKSGLSDIILGKIWDLADPEGKGFLDKQGFYVALRLVACAQSGHEVTLSNLNLSMPPPKFHDTSSPLMVTPPSAEAHWAVRVEEKAKFDGIFESLLPINGLLSGDKVKPVLMNSKLPLDVLGRVWDLSDIDKDGHLDRDEFAVAMHLVYRALEKEPVPSALPPSLIPPSKRKKTVFPGAVPVLPASPPPKDSLRSTPSHGSVSSLNSTGSLSPKHSLKQTQPTVNWVVPVADKMRFDEIFLKTDLDLDGYVSGQEVKEIFMHSGLTQNLLAHIWALADTRQTGKLSKDQFALAMYFIQQKVSKGIDPPQVLSPDMVPPSERGTPGPDSSGSLGSGEFTGVKELDDISQEIAQLQREKYSLEQDIREKEEAIRQKTSEVQELQNDLDRETSSLQELEAQKQDAQDRLDEMDQQKAKLRDMLSDVRQKCQDETQMISSLKTQIQSQESDLKSQEDDLNRAKSELNRLQQEETQLEQSIQAGRVQLETIIKSLKSTQDEINQARSKLSQLHESRQEAHRSLEQYDQVLDGAHGASLTDLANLSEGVSLAERGSFGAMDDPFKNKALLFSNNTQELHPDPFQTEDPFKSDPFKGADPFKGDPFQNDPFAEQQTTSTDPFGGDPFKESDPFRGSATDDFFKKQTKNDPFTSDPFTKNPSLPSKLDPFESSDPFSSSSVSSKGSDPFGTLDPFGSGSFNSAEGFADFSQMSKPPPSGPFTSSLGGAGFSDDPFKSKQDTPALPPKKPAPPRPKPPSGKSTPVSQLGSADFPEAPDPFQPLGADSGDPFQSKKGFGDPFSGKDPFVPSSAAKPSKASASGFADFTSVS
+>DECOY_sp|Q9UBC2|EP15R_HUMAN Epidermal growth factor receptor substrate 15-like 1 OS=Homo sapiens OX=9606 GN=EPS15L1 PE=1 SV=1
+SVSTFDAFGSASAKSPKAASSPVFPDKGSFPDGFGKKSQFPDGSDAGLPQFPDPAEPFDASGLQSVPTSKGSPPKPRPPAPKKPPLAPTDQKSKFPDDSFGAGGLSSTFPGSPPPKSMQSFDAFGEASNFSGSGFPDLTGFPDSGKSSVSSSSFPDSSEFPDLKSPLSPNKTFPDSTFPDNKTQKKFFDDTASGRFPDSEKFPDGGFPDTSTTQQEAFPDNQFPDGKFPDAGKFPDSKFPDETQFPDPHLEQTNNSFLLAKNKFPDDMAGFSGREALSVGESLNALDTLSAGHAGDLVQDYQELSRHAEQRSEHLQSLKSRAQNIEDQTSKLSKIITELQVRGAQISQELQTEEQQLRNLESKARNLDDEQSKLDSEQSQIQTKLSSIMQTEDQCKQRVDSLMDRLKAKQQDMEDLRDQADQKQAELEQLSSTERDLDNQLEQVESTKQRIAEEKERIDQELSYKERQLQAIEQSIDDLEKVGTFEGSGLSGSSDPGPTGRESPPVMDPSLVQPPDIGKSVKQQIFYMALAFQDKSLKGTQRTDALAWIHALLNQTLGSHMFIEKVEQGSVYGDLDLDTKLFIEDFRMKDAVPVVWNVTPQTQKLSHKPSLSGTSNLSSVSGHSPTSRLSDKPPPSAPLVPVAGPFVTKKRKSPPILSPPLASPVPEKELARYVLHMAVAFEDRDLHGDKDIDSLDWVRGLVDLPLKSNMLVPKVKDGSLLGNIPLLSEFIGDFKAKEEVRVAWHAEASPPTVMLPSSTDHFKPPPMSLNLNSLTVEHGSQACAVLRLAVYFGQKDLFGKGEPDALDWIKGLIIDSLGSKKLFLAAESAGVRGTYAPDVQKYYSEYLSNGTPIQQSLPILPAAM
+>sp|P56851|EP3B_HUMAN Epididymal secretory protein E3-beta OS=Homo sapiens OX=9606 GN=EDDM3B PE=1 SV=2
+MASSLKIWGTLLALLCILCTLLVQSKEVSWREFMKQHYLSPSREFREYKCDVLMRENEALKDKSSHMFIYISWYKIEHICTSDNWMDRFRNAYVWVQNPLKVLKCHQENSKNSYTESRSFNYIEFHCSMDGYVDSIEDLKMVEPIGN
+>DECOY_sp|P56851|EP3B_HUMAN Epididymal secretory protein E3-beta OS=Homo sapiens OX=9606 GN=EDDM3B PE=1 SV=2
+NGIPEVMKLDEISDVYGDMSCHFEIYNFSRSETYSNKSNEQHCKLVKLPNQVWVYANRFRDMWNDSTCIHEIKYWSIYIFMHSSKDKLAENERMLVDCKYERFERSPSLYHQKMFERWSVEKSQVLLTCLICLLALLTGWIKLSSAM
+>sp|Q96L91|EP400_HUMAN E1A-binding protein p400 OS=Homo sapiens OX=9606 GN=EP400 PE=1 SV=4
+MHHGTGPQNVQHQLQRSRACPGSEGEEQPAHPNPPPSPAAPFAPSASPSAPQSPSYQIQQLMNRSPATGQNVNITLQSVGPVVGGNQQITLAPLPLPSPTSPGFQFSAQPRRFEHGSPSYIQVTSPLSQQVQTQSPTQPSPGPGQALQNVRAGAPGPGLGLCSSSPTGGFVDASVLVRQISLSPSSGGHFVFQDGSGLTQIAQGAQVQLQHPGTPITVRERRPSQPHTQSGGTIHHLGPQSPAAAGGAGLQPLASPSHITTANLPPQISSIIQGQLVQQQQVLQGPPLPRPLGFERTPGVLLPGAGGAAGFGMTSPPPPTSPSRTAVPPGLSSLPLTSVGNTGMKKVPKKLEEIPPASPEMAQMRKQCLDYHYQEMQALKEVFKEYLIELFFLQHFQGNMMDFLAFKKKHYAPLQAYLRQNDLDIEEEEEEEEEEEEKSEVINDEVKVVTGKDGQTGTPVAIATQLPPKVSAAFSSQQQPFQQALAGSLVAGAGSTVETDLFKRQQAMPSTGMAEQSKRPRLEVGHQGVVFQHPGADAGVPLQQLMPTAQGGMPPTPQAAQLAGQRQSQQQYDPSTGPPVQNAASLHTPLPQLPGRLPPAGVPTAALSSALQFAQQPQVVEAQTQLQIPVKTQQPNVPIPAPPSSQLPIPPSQPAQLALHVPTPGKVQVQASQLSSLPQMVASTRLPVDPAPPCPRPLPTSSTSSLAPVSGSGPGPSPARSSPVNRPSSATNKALSPVTSRTPGVVASAPTKPQSPAQNATSSQDSSQDTLTEQITLENQVHQRIAELRKAGLWSQRRLPKLQEAPRPKSHWDYLLEEMQWMATDFAQERRWKVAAAKKLVRTVVRHHEEKQLREERGKKEEQSRLRRIAASTAREIECFWSNIEQVVEIKLRVELEEKRKKALNLQKVSRRGKELRPKGFDALQESSLDSGMSGRKRKASISLTDDEVDDEEETIEEEEANEGVVDHQTELSNLAKEAELPLLDLMKLYEGAFLPSSQWPRPKPDGEDTSGEEDADDCPGDRESRKDLVLIDSLFIMDQFKAAERMNIGKPNAKDIADVTAVAEAILPKGSARVTTSVKFNAPSLLYGALRDYQKIGLDWLAKLYRKNLNGILADEAGLGKTVQIIAFFAHLACNEGNWGPHLVVVRSCNILKWELELKRWCPGLKILSYIGSHRELKAKRQEWAEPNSFHVCITSYTQFFRGLTAFTRVRWKCLVIDEMQRVKGMTERHWEAVFTLQSQQRLLLIDSPLHNTFLELWTMVHFLVPGISRPYLSSPLRAPSEESQDYYHKVVIRLHRVTQPFILRRTKRDVEKQLTKKYEHVLKCRLSNRQKALYEDVILQPGTQEALKSGHFVNVLSILVRLQRICNHPGLVEPRHPGSSYVAGPLEYPSASLILKALERDFWKEADLSMFDLIGLENKITRHEAELLSKKKIPRKLMEEISTSAAPAARPAAAKLKASRLFQPVQYGQKPEGRTVAFPSTHPPRTAAPTTASAAPQGPLRGRPPIATFSANPEAKAAAAPFQTSQASASAPRHQPASASSTAASPAHPAKLRAQTTAQASTPGQPPPQPQAPSHAAGQSALPQRLVLPSQAQARLPSGEVVKIAQLASITGPQSRVAQPETPVTLQFQGSKFTLSHSQLRQLTAGQPLQLQGSVLQIVSAPGQPYLRAPGPVVMQTVSQAGAVHGALGSKPPAGGPSPAPLTPQVGVPGRVAVNALAVGEPGTASKPASPIGGPTQEEKTRLLKERLDQIYLVNERRCSQAPVYGRDLLRICALPSHGRVQWRGSLDGRRGKEAGPAHSYTSSSESPSELMLTLCRCGESLQDVIDRVAFVIPPVVAAPPSLRVPRPPPLYSHRMRILRQGLREHAAPYFQQLRQTTAPRLLQFPELRLVQFDSGKLEALAILLQKLKSEGRRVLILSQMILMLDILEMFLNFHYLTYVRIDENASSEQRQELMRSFNRDRRIFCAILSTHSRTTGINLVEADTVVFYDNDLNPVMDAKAQEWCDRIGRCKDIHIYRLVSGNSIEEKLLKNGTKDLIREVAAQGNDYSMAFLTQRTIQELFEVYSPMDDAGFPVKAEEFVVLSQEPSVTETIAPKIARPFIEALKSIEYLEEDAQKSAQEGVLGPHTDALSSDSENMPCDEEPSQLEELADFMEQLTPIEKYALNYLELFHTSIEQEKERNSEDAVMTAVRAWEFWNLKTLQEREARLRLEQEEAELLTYTREDAYSMEYVYEDVDGQTEVMPLWTPPTPPQDDSDIYLDSVMCLMYEATPIPEAKLPPVYVRKERKRHKTDPSAAGRKKKQRHGEAVVPPRSLFDRATPGLLKIRREGKEQKKNILLKQQVPFAKPLPTFAKPTAEPGQDNPEWLISEDWALLQAVKQLLELPLNLTIVSPAHTPNWDLVSDVVNSCSRIYRSSKQCRNRYENVIIPREEGKSKNNRPLRTSQIYAQDENATHTQLYTSHFDLMKMTAGKRSPPIKPLLGMNPFQKNPKHASVLAESGINYDKPLPPIQVASLRAERIAKEKKALADQQKAQQPAVAQPPPPQPQPPPPPQQPPPPLPQPQAAGSQPPAGPPAVQPQPQPQPQTQPQPVQAPAKAQPAITTGGSAAVLAGTIKTSVTGTSMPTGAVSGNVIVNTIAGVPAATFQSINKRLASPVAPGALTTPGGSAPAQVVHTQPPPRAVGSPATATPDLVSMATTQGVRAVTSVTASAVVTTNLTPVQTPARSLVPQVSQATGVQLPGKTITPAHFQLLRQQQQQQQQQQQQQQQQQQQQQQQQQQQQQTTTTSQVQVPQIQGQAQSPAQIKAVGKLTPEHLIKMQKQKLQMPPQPPPPQAQSAPPQPTAQVQVQTSQPPQQQSPQLTTVTAPRPGALLTGTTVANLQVARLTRVPTSQLQAQGQMQTQAPQPAQVALAKPPVVSVPAAVVSSPGVTTLPMNVAGISVAIGQPQKAAGQTVVAQPVHMQQLLKLKQQAVQQQKAIQPQAAQGPAAVQQKITAQQITTPGAQQKVAYAAQPALKTQFLTTPISQAQKLAGAQQVQTQIQVAKLPQVVQQQTPVASIQQVASASQQASPQTVALTQATAAGQQVQMIPAVTATAQVVQQKLIQQQVVTTASAPLQTPGAPNPAQVPASSDSPSQQPKLQMRVPAVRLKTPTKPPCQ
+>DECOY_sp|Q96L91|EP400_HUMAN E1A-binding protein p400 OS=Homo sapiens OX=9606 GN=EP400 PE=1 SV=4
+QCPPKTPTKLRVAPVRMQLKPQQSPSDSSAPVQAPNPAGPTQLPASATTVVQQQILKQQVVQATATVAPIMQVQQGAATAQTLAVTQPSAQQSASAVQQISAVPTQQQVVQPLKAVQIQTQVQQAGALKQAQSIPTTLFQTKLAPQAAYAVKQQAGPTTIQQATIKQQVAAPGQAAQPQIAKQQQVAQQKLKLLQQMHVPQAVVTQGAAKQPQGIAVSIGAVNMPLTTVGPSSVVAAPVSVVPPKALAVQAPQPAQTQMQGQAQLQSTPVRTLRAVQLNAVTTGTLLAGPRPATVTTLQPSQQQPPQSTQVQVQATPQPPASQAQPPPPQPPMQLKQKQMKILHEPTLKGVAKIQAPSQAQGQIQPVQVQSTTTTQQQQQQQQQQQQQQQQQQQQQQQQQQQQQRLLQFHAPTITKGPLQVGTAQSVQPVLSRAPTQVPTLNTTVVASATVSTVARVGQTTAMSVLDPTATAPSGVARPPPQTHVVQAPASGGPTTLAGPAVPSALRKNISQFTAAPVGAITNVIVNGSVAGTPMSTGTVSTKITGALVAASGGTTIAPQAKAPAQVPQPQTQPQPQPQPQVAPPGAPPQSGAAQPQPLPPPPQQPPPPPQPQPPPPQAVAPQQAKQQDALAKKEKAIREARLSAVQIPPLPKDYNIGSEALVSAHKPNKQFPNMGLLPKIPPSRKGATMKMLDFHSTYLQTHTANEDQAYIQSTRLPRNNKSKGEERPIIVNEYRNRCQKSSRYIRSCSNVVDSVLDWNPTHAPSVITLNLPLELLQKVAQLLAWDESILWEPNDQGPEATPKAFTPLPKAFPVQQKLLINKKQEKGERRIKLLGPTARDFLSRPPVVAEGHRQKKKRGAASPDTKHRKREKRVYVPPLKAEPIPTAEYMLCMVSDLYIDSDDQPPTPPTWLPMVETQGDVDEYVYEMSYADERTYTLLEAEEQELRLRAEREQLTKLNWFEWARVATMVADESNREKEQEISTHFLELYNLAYKEIPTLQEMFDALEELQSPEEDCPMNESDSSLADTHPGLVGEQASKQADEELYEISKLAEIFPRAIKPAITETVSPEQSLVVFEEAKVPFGADDMPSYVEFLEQITRQTLFAMSYDNGQAAVERILDKTGNKLLKEEISNGSVLRYIHIDKCRGIRDCWEQAKADMVPNLDNDYFVVTDAEVLNIGTTRSHTSLIACFIRRDRNFSRMLEQRQESSANEDIRVYTLYHFNLFMELIDLMLIMQSLILVRRGESKLKQLLIALAELKGSDFQVLRLEPFQLLRPATTQRLQQFYPAAHERLGQRLIRMRHSYLPPPRPVRLSPPAAVVPPIVFAVRDIVDQLSEGCRCLTLMLESPSESSSTYSHAPGAEKGRRGDLSGRWQVRGHSPLACIRLLDRGYVPAQSCRRENVLYIQDLREKLLRTKEEQTPGGIPSAPKSATGPEGVALANVAVRGPVGVQPTLPAPSPGGAPPKSGLAGHVAGAQSVTQMVVPGPARLYPQGPASVIQLVSGQLQLPQGATLQRLQSHSLTFKSGQFQLTVPTEPQAVRSQPGTISALQAIKVVEGSPLRAQAQSPLVLRQPLASQGAAHSPAQPQPPPQGPTSAQATTQARLKAPHAPSAATSSASAPQHRPASASAQSTQFPAAAAKAEPNASFTAIPPRGRLPGQPAASATTPAATRPPHTSPFAVTRGEPKQGYQVPQFLRSAKLKAAAPRAAPAASTSIEEMLKRPIKKKSLLEAEHRTIKNELGILDFMSLDAEKWFDRELAKLILSASPYELPGAVYSSGPHRPEVLGPHNCIRQLRVLISLVNVFHGSKLAEQTGPQLIVDEYLAKQRNSLRCKLVHEYKKTLQKEVDRKTRRLIFPQTVRHLRIVVKHYYDQSEESPARLPSSLYPRSIGPVLFHVMTWLELFTNHLPSDILLLRQQSQLTFVAEWHRETMGKVRQMEDIVLCKWRVRTFATLGRFFQTYSTICVHFSNPEAWEQRKAKLERHSGIYSLIKLGPCWRKLELEWKLINCSRVVVLHPGWNGENCALHAFFAIIQVTKGLGAEDALIGNLNKRYLKALWDLGIKQYDRLAGYLLSPANFKVSTTVRASGKPLIAEAVATVDAIDKANPKGINMREAAKFQDMIFLSDILVLDKRSERDGPCDDADEEGSTDEGDPKPRPWQSSPLFAGEYLKMLDLLPLEAEKALNSLETQHDVVGENAEEEEITEEEDDVEDDTLSISAKRKRGSMGSDLSSEQLADFGKPRLEKGRRSVKQLNLAKKRKEELEVRLKIEVVQEINSWFCEIERATSAAIRRLRSQEEKKGREERLQKEEHHRVVTRVLKKAAAVKWRREQAFDTAMWQMEELLYDWHSKPRPAEQLKPLRRQSWLGAKRLEAIRQHVQNELTIQETLTDQSSDQSSTANQAPSQPKTPASAVVGPTRSTVPSLAKNTASSPRNVPSSRAPSPGPGSGSVPALSSTSSTPLPRPCPPAPDVPLRTSAVMQPLSSLQSAQVQVKGPTPVHLALQAPQSPPIPLQSSPPAPIPVNPQQTKVPIQLQTQAEVVQPQQAFQLASSLAATPVGAPPLRGPLQPLPTHLSAANQVPPGTSPDYQQQSQRQGALQAAQPTPPMGGQATPMLQQLPVGADAGPHQFVVGQHGVELRPRKSQEAMGTSPMAQQRKFLDTEVTSGAGAVLSGALAQQFPQQQSSFAASVKPPLQTAIAVPTGTQGDKGTVVKVEDNIVESKEEEEEEEEEEEEIDLDNQRLYAQLPAYHKKKFALFDMMNGQFHQLFFLEILYEKFVEKLAQMEQYHYDLCQKRMQAMEPSAPPIEELKKPVKKMGTNGVSTLPLSSLGPPVATRSPSTPPPPSTMGFGAAGGAGPLLVGPTREFGLPRPLPPGQLVQQQQVLQGQIISSIQPPLNATTIHSPSALPQLGAGGAAAPSQPGLHHITGGSQTHPQSPRRERVTIPTGPHQLQVQAGQAIQTLGSGDQFVFHGGSSPSLSIQRVLVSADVFGGTPSSSCLGLGPGPAGARVNQLAQGPGPSPQTPSQTQVQQSLPSTVQIYSPSGHEFRRPQASFQFGPSTPSPLPLPALTIQQNGGVVPGVSQLTINVNQGTAPSRNMLQQIQYSPSQPASPSASPAFPAAPSPPPNPHAPQEEGESGPCARSRQLQHQVNQPGTGHHM
+>sp|Q7L775|EPMIP_HUMAN EPM2A-interacting protein 1 OS=Homo sapiens OX=9606 GN=EPM2AIP1 PE=1 SV=1
+MWMTPKRSKMEVDEALVFRPEWTQRYLVVEPPEGDGALCLVCRRLIVATRERDVRRHYEAEHEYYERYVADGERAALVERLRQGDLPVASFTPEERAARAGLGLCRLLALKGRGWGEGDFVYQCMEVLLREVLPEHVSVLQGVDLSPDITRQRILSIDRNLRNQLFNRARDFKAYSLALDDQAFVAYENYLLVFIRGVGPELEVQEDLLTIINLTHHFSVGALMSAILESLQTAGLSLQRMVGLTTTHTLRMIGENSGLVSYMREKAVSPNCWNVIHYSGFLHLELLSSYDVDVNQIINTISEWIVLIKTRGVRRPEFQTLLTESESEHGERVNGRCLNNWLRRGKTLKLIFSLRKEMEAFLVSVGATTVHFSDKQWLCDFGFLVDIMEHLRELSEELRVSKVFAAAAFDHICTFEVKLNLFQRHIEEKNLTDFPALREVVDELKQQNKEDEKIFDPDRYQMVICRLQKEFERHFKDLRFIKKDLELFSNPFNFKPEYAPISVRVELTKLQANTNLWNEYRIKDLGQFYAGLSAESYPIIKGVACKVASLFDSNQICEKAFSYLTRNQHTLSQPLTDEHLQALFRVATTEMEPGWDDLVRERNESNP
+>DECOY_sp|Q7L775|EPMIP_HUMAN EPM2A-interacting protein 1 OS=Homo sapiens OX=9606 GN=EPM2AIP1 PE=1 SV=1
+PNSENRERVLDDWGPEMETTAVRFLAQLHEDTLPQSLTHQNRTLYSFAKECIQNSDFLSAVKCAVGKIIPYSEASLGAYFQGLDKIRYENWLNTNAQLKTLEVRVSIPAYEPKFNFPNSFLELDKKIFRLDKFHREFEKQLRCIVMQYRDPDFIKEDEKNQQKLEDVVERLAPFDTLNKEEIHRQFLNLKVEFTCIHDFAAAAFVKSVRLEESLERLHEMIDVLFGFDCLWQKDSFHVTTAGVSVLFAEMEKRLSFILKLTKGRRLWNNLCRGNVREGHESESETLLTQFEPRRVGRTKILVIWESITNIIQNVDVDYSSLLELHLFGSYHIVNWCNPSVAKERMYSVLGSNEGIMRLTHTTTLGVMRQLSLGATQLSELIASMLAGVSFHHTLNIITLLDEQVELEPGVGRIFVLLYNEYAVFAQDDLALSYAKFDRARNFLQNRLNRDISLIRQRTIDPSLDVGQLVSVHEPLVERLLVEMCQYVFDGEGWGRGKLALLRCLGLGARAAREEPTFSAVPLDGQRLREVLAAREGDAVYREYYEHEAEYHRRVDRERTAVILRRCVLCLAGDGEPPEVVLYRQTWEPRFVLAEDVEMKSRKPTMWM
+>sp|Q9Y6I3|EPN1_HUMAN Epsin-1 OS=Homo sapiens OX=9606 GN=EPN1 PE=1 SV=2
+MSTSSLRRQMKNIVHNYSEAEIKVREATSNDPWGPSSSLMSEIADLTYNVVAFSEIMSMIWKRLNDHGKNWRHVYKAMTLMEYLIKTGSERVSQQCKENMYAVQTLKDFQYVDRDGKDQGVNVREKAKQLVALLRDEDRLREERAHALKTKEKLAQTATASSAAVGSGPPPEAEQAWPQSSGEEELQLQLALAMSKEEADQPPSCGPEDDAQLQLALSLSREEHDKEERIRRGDDLRLQMAIEESKRETGGKEESSLMDLADVFTAPAPAPTTDPWGGPAPMAAAVPTAAPTSDPWGGPPVPPAADPWGGPAPTPASGDPWRPAAPAGPSVDPWGGTPAPAAGEGPTPDPWGSSDGGVPVSGPSASDPWTPAPAFSDPWGGSPAKPSTNGTTAAGGFDTEPDEFSDFDRLRTALPTSGSSAGELELLAGEVPARSPGAFDMSGVRGSLAEAVGSPPPAATPTPTPPTRKTPESFLGPNAALVDLDSLVSRPGPTPPGAKASNPFLPGGGPATGPSVTNPFQPAPPATLTLNQLRLSPVPPVPGAPPTYISPLGGGPGLPPMMPPGPPAPNTNPFLL
+>DECOY_sp|Q9Y6I3|EPN1_HUMAN Epsin-1 OS=Homo sapiens OX=9606 GN=EPN1 PE=1 SV=2
+LLFPNTNPAPPGPPMMPPLGPGGGLPSIYTPPAGPVPPVPSLRLQNLTLTAPPAPQFPNTVSPGTAPGGGPLFPNSAKAGPPTPGPRSVLSDLDVLAANPGLFSEPTKRTPPTPTPTAAPPPSGVAEALSGRVGSMDFAGPSRAPVEGALLELEGASSGSTPLATRLRDFDSFEDPETDFGGAATTGNTSPKAPSGGWPDSFAPAPTWPDSASPGSVPVGGDSSGWPDPTPGEGAAPAPTGGWPDVSPGAPAAPRWPDGSAPTPAPGGWPDAAPPVPPGGWPDSTPAATPVAAAMPAPGGWPDTTPAPAPATFVDALDMLSSEEKGGTERKSEEIAMQLRLDDGRRIREEKDHEERSLSLALQLQADDEPGCSPPQDAEEKSMALALQLQLEEEGSSQPWAQEAEPPPGSGVAASSATATQALKEKTKLAHAREERLRDEDRLLAVLQKAKERVNVGQDKGDRDVYQFDKLTQVAYMNEKCQQSVRESGTKILYEMLTMAKYVHRWNKGHDNLRKWIMSMIESFAVVNYTLDAIESMLSSSPGWPDNSTAERVKIEAESYNHVINKMQRRLSSTSM
+>sp|Q9NQ60|EQTN_HUMAN Equatorin OS=Homo sapiens OX=9606 GN=EQTN PE=2 SV=2
+MNFILFIFIPGVFSLKSSTLKPTIEALPNVLPLNEDVNKQEEKNEDHTPNYAPANEKNGNYYKDIKQYVFTTQNPNGTESEISVRATTDLNFALKNDKTVNATTYEKSTIEEETTTSEPSHKNIQRSTPNVPAFWTMLAKAINGTAVVMDDKDQLFHPIPESDVNATQGENQPDLEDLKIKIMLGISLMTLLLFVVLLAFCSATLYKLRHLSYKSCESQYSVNPELATMSYFHPSEGVSDTSFSKSAESSTFLGTTSSDMRRSGTRTSESKIMTDIISIGSDNEMHENDESVTR
+>DECOY_sp|Q9NQ60|EQTN_HUMAN Equatorin OS=Homo sapiens OX=9606 GN=EQTN PE=2 SV=2
+RTVSEDNEHMENDSGISIIDTMIKSESTRTGSRRMDSSTTGLFTSSEASKSFSTDSVGESPHFYSMTALEPNVSYQSECSKYSLHRLKYLTASCFALLVVFLLLTMLSIGLMIKIKLDELDPQNEGQTANVDSEPIPHFLQDKDDMVVATGNIAKALMTWFAPVNPTSRQINKHSPESTTTEEEITSKEYTTANVTKDNKLAFNLDTTARVSIESETGNPNQTTFVYQKIDKYYNGNKENAPAYNPTHDENKEEQKNVDENLPLVNPLAEITPKLTSSKLSFVGPIFIFLIFNM
+>sp|O75616|ERAL1_HUMAN GTPase Era, mitochondrial OS=Homo sapiens OX=9606 GN=ERAL1 PE=1 SV=2
+MAAPSWRGARLVQSVLRVWQVGPHVARERVIPFSSLLGFQRRCVSCVAGSAFSGPRLASASRSNGQGSALDHFLGFSQPDSSVTPCVPAVSMNRDEQDVLLVHHPDMPENSRVLRVVLLGAPNAGKSTLSNQLLGRKVFPVSRKVHTTRCQALGVITEKETQVILLDTPGIISPGKQKRHHLELSLLEDPWKSMESADLVVVLVDVSDKWTRNQLSPQLLRCLTKYSQIPSVLVMNKVDCLKQKSVLLELTAALTEGVVNGKKLKMRQAFHSHPGTHCPSPAVKDPNTQSVGNPQRIGWPHFKEIFMLSALSQEDVKTLKQYLLTQAQPGPWEYHSAVLTSQTPEEICANIIREKLLEHLPQEVPYNVQQKTAVWEEGPGGELVIQQKLLVPKESYVKLLIGPKGHVISQIAQEAGHDLMDIFLCDVDIRLSVKLLK
+>DECOY_sp|O75616|ERAL1_HUMAN GTPase Era, mitochondrial OS=Homo sapiens OX=9606 GN=ERAL1 PE=1 SV=2
+KLLKVSLRIDVDCLFIDMLDHGAEQAIQSIVHGKPGILLKVYSEKPVLLKQQIVLEGGPGEEWVATKQQVNYPVEQPLHELLKERIINACIEEPTQSTLVASHYEWPGPQAQTLLYQKLTKVDEQSLASLMFIEKFHPWGIRQPNGVSQTNPDKVAPSPCHTGPHSHFAQRMKLKKGNVVGETLAATLELLVSKQKLCDVKNMVLVSPIQSYKTLCRLLQPSLQNRTWKDSVDVLVVVLDASEMSKWPDELLSLELHHRKQKGPSIIGPTDLLIVQTEKETIVGLAQCRTTHVKRSVPFVKRGLLQNSLTSKGANPAGLLVVRLVRSNEPMDPHHVLLVDQEDRNMSVAPVCPTVSSDPQSFGLFHDLASGQGNSRSASALRPGSFASGAVCSVCRRQFGLLSSFPIVRERAVHPGVQWVRLVSQVLRAGRWSPAAM
+>sp|Q9NZ08|ERAP1_HUMAN Endoplasmic reticulum aminopeptidase 1 OS=Homo sapiens OX=9606 GN=ERAP1 PE=1 SV=3
+MVFLPLKWSLATMSFLLSSLLALLTVSTPSWCQSTEASPKRSDGTPFPWNKIRLPEYVIPVHYDLLIHANLTTLTFWGTTKVEITASQPTSTIILHSHHLQISRATLRKGAGERLSEEPLQVLEHPRQEQIALLAPEPLLVGLPYTVVIHYAGNLSETFHGFYKSTYRTKEGELRILASTQFEPTAARMAFPCFDEPAFKASFSIKIRREPRHLAISNMPLVKSVTVAEGLIEDHFDVTVKMSTYLVAFIISDFESVSKITKSGVKVSVYAVPDKINQADYALDAAVTLLEFYEDYFSIPYPLPKQDLAAIPDFQSGAMENWGLTTYRESALLFDAEKSSASSKLGITMTVAHELAHQWFGNLVTMEWWNDLWLNEGFAKFMEFVSVSVTHPELKVGDYFFGKCFDAMEVDALNSSHPVSTPVENPAQIREMFDDVSYDKGACILNMLREYLSADAFKSGIVQYLQKHSYKNTKNEDLWDSMASICPTDGVKGMDGFCSRSQHSSSSSHWHQEGVDVKTMMNTWTLQKGFPLITITVRGRNVHMKQEHYMKGSDGAPDTGYLWHVPLTFITSKSDMVHRFLLKTKTDVLILPEEVEWIKFNVGMNGYYIVHYEDDGWDSLTGLLKGTHTAVSSNDRASLINNAFQLVSIGKLSIEKALDLSLYLKHETEIMPVFQGLNELIPMYKLMEKRDMNEVETQFKAFLIRLLRDLIDKQTWTDEGSVSERMLRSQLLLLACVHNYQPCVQRAEGYFRKWKESNGNLSLPVDVTLAVFAVGAQSTEGWDFLYSKYQFSLSSTEKSQIEFALCRTQNKEKLQWLLDESFKGDKIKTQEFPQILTLIGRNPVGYPLAWQFLRKNWNKLVQKFELGSSSIAHMVMGTTNQFSTRTRLEEVKGFFSSLKENGSQLRCVQQTIETIEENIGWMDKNFDKIRVWLQSEKLERM
+>DECOY_sp|Q9NZ08|ERAP1_HUMAN Endoplasmic reticulum aminopeptidase 1 OS=Homo sapiens OX=9606 GN=ERAP1 PE=1 SV=3
+MRELKESQLWVRIKDFNKDMWGINEEITEITQQVCRLQSGNEKLSSFFGKVEELRTRTSFQNTTGMVMHAISSSGLEFKQVLKNWNKRLFQWALPYGVPNRGILTLIQPFEQTKIKDGKFSEDLLWQLKEKNQTRCLAFEIQSKETSSLSFQYKSYLFDWGETSQAGVAFVALTVDVPLSLNGNSEKWKRFYGEARQVCPQYNHVCALLLLQSRLMRESVSGEDTWTQKDILDRLLRILFAKFQTEVENMDRKEMLKYMPILENLGQFVPMIETEHKLYLSLDLAKEISLKGISVLQFANNILSARDNSSVATHTGKLLGTLSDWGDDEYHVIYYGNMGVNFKIWEVEEPLILVDTKTKLLFRHVMDSKSTIFTLPVHWLYGTDPAGDSGKMYHEQKMHVNRGRVTITILPFGKQLTWTNMMTKVDVGEQHWHSSSSSHQSRSCFGDMGKVGDTPCISAMSDWLDENKTNKYSHKQLYQVIGSKFADASLYERLMNLICAGKDYSVDDFMERIQAPNEVPTSVPHSSNLADVEMADFCKGFFYDGVKLEPHTVSVSVFEMFKAFGENLWLDNWWEMTVLNGFWQHALEHAVTMTIGLKSSASSKEADFLLASERYTTLGWNEMAGSQFDPIAALDQKPLPYPISFYDEYFELLTVAADLAYDAQNIKDPVAYVSVKVGSKTIKSVSEFDSIIFAVLYTSMKVTVDFHDEILGEAVTVSKVLPMNSIALHRPERRIKISFSAKFAPEDFCPFAMRAATPEFQTSALIRLEGEKTRYTSKYFGHFTESLNGAYHIVVTYPLGVLLPEPALLAIQEQRPHELVQLPEESLREGAGKRLTARSIQLHHSHLIITSTPQSATIEVKTTGWFTLTTLNAHILLDYHVPIVYEPLRIKNWPFPTGDSRKPSAETSQCWSPTSVTLLALLSSLLFSMTALSWKLPLFVM
+>sp|Q96RT1|ERBIN_HUMAN Erbin OS=Homo sapiens OX=9606 GN=ERBIN PE=1 SV=2
+MTTKRSLFVRLVPCRCLRGEEETVTTLDYSHCSLEQVPKEIFTFEKTLEELYLDANQIEELPKQLFNCQSLHKLSLPDNDLTTLPASIANLINLRELDVSKNGIQEFPENIKNCKVLTIVEASVNPISKLPDGFSQLLNLTQLYLNDAFLEFLPANFGRLTKLQILELRENQLKMLPKTMNRLTQLERLDLGSNEFTEVPEVLEQLSGLKEFWMDANRLTFIPGFIGSLKQLTYLDVSKNNIEMVEEGISTCENLQDLLLSSNSLQQLPETIGSLKNITTLKIDENQLMYLPDSIGGLISVEELDCSFNEVEALPSSIGQLTNLRTFAADHNYLQQLPPEIGSWKNITVLFLHSNKLETLPEEMGDMQKLKVINLSDNRLKNLPFSFTKLQQLTAMWLSDNQSKPLIPLQKETDSETQKMVLTNYMFPQQPRTEDVMFISDNESFNPSLWEEQRKQRAQVAFECDEDKDEREAPPREGNLKRYPTPYPDELKNMVKTVQTIVHRLKDEETNEDSGRDLKPHEDQQDINKDVGVKTSESTTTVKSKVDEREKYMIGNSVQKISEPEAEISPGSLPVTANMKASENLKHIVNHDDVFEESEELSSDEEMKMAEMRPPLIETSINQPKVVALSNNKKDDTKETDSLSDEVTHNSNQNNSNCSSPSRMSDSVSLNTDSSQDTSLCSPVKQTHIDINSKIRQEDENFNSLLQNGDILNSSTEEKFKAHDKKDFNLPEYDLNVEERLVLIEKSVDSTATADDTHKLDHINMNLNKLITNDTFQPEIMERSKTQDIVLGTSFLSINSKEETEHLENGNKYPNLESVNKVNGHSEETSQSPNRTEPHDSDCSVDLGISKSTEDLSPQKSGPVGSVVKSHSITNMEIGGLKIYDILSDNGPQQPSTTVKITSAVDGKNIVRSKSATLLYDQPLQVFTGSSSSSDLISGTKAIFKFDSNHNPEEPNIIRGPTSGPQSAPQIYGPPQYNIQYSSSAAVKDTLWHSKQNPQIDHASFPPQLLPRSESTENQSYAKHSANMNFSNHNNVRANTAYHLHQRLGPARHGEMWAISPNDRLIPAVTRSTIQRQSSVSSTASVNLGDPGSTRRAQIPEGDYLSYREFHSAGRTPPMMPGSQRPLSARTYSIDGPNASRPQSARPSINEIPERTMSVSDFNYSRTSPSKRPNARVGSEHSLLDPPGKSKVPRDWREQVLRHIEAKKLEKKHPQTSSSGDPCQDGIFISGQQNYSSATLSHKDVPPDSLMKMPLSNGQMGQPLRPQANYSQIHHPPQASVARHPSREQLIDYLMLKVAHQPPYTQPHCSPRQGHELAKQEIRVRVEKDPELGFSISGGVGGRGNPFRPDDDGIFVTRVQPEGPASKLLQPGDKIIQANGYSFINIEHGQAVSLLKTFQNTVELIIVREVSS
+>DECOY_sp|Q96RT1|ERBIN_HUMAN Erbin OS=Homo sapiens OX=9606 GN=ERBIN PE=1 SV=2
+SSVERVIILEVTNQFTKLLSVAQGHEINIFSYGNAQIIKDGPQLLKSAPGEPQVRTVFIGDDDPRFPNGRGGVGGSISFGLEPDKEVRVRIEQKALEHGQRPSCHPQTYPPQHAVKLMLYDILQERSPHRAVSAQPPHHIQSYNAQPRLPQGMQGNSLPMKMLSDPPVDKHSLTASSYNQQGSIFIGDQCPDGSSSTQPHKKELKKAEIHRLVQERWDRPVKSKGPPDLLSHESGVRANPRKSPSTRSYNFDSVSMTREPIENISPRASQPRSANPGDISYTRASLPRQSGPMMPPTRGASHFERYSLYDGEPIQARRTSGPDGLNVSATSSVSSQRQITSRTVAPILRDNPSIAWMEGHRAPGLRQHLHYATNARVNNHNSFNMNASHKAYSQNETSESRPLLQPPFSAHDIQPNQKSHWLTDKVAASSSYQINYQPPGYIQPASQPGSTPGRIINPEEPNHNSDFKFIAKTGSILDSSSSSGTFVQLPQDYLLTASKSRVINKGDVASTIKVTTSPQQPGNDSLIDYIKLGGIEMNTISHSKVVSGVPGSKQPSLDETSKSIGLDVSCDSDHPETRNPSQSTEESHGNVKNVSELNPYKNGNELHETEEKSNISLFSTGLVIDQTKSREMIEPQFTDNTILKNLNMNIHDLKHTDDATATSDVSKEILVLREEVNLDYEPLNFDKKDHAKFKEETSSNLIDGNQLLSNFNEDEQRIKSNIDIHTQKVPSCLSTDQSSDTNLSVSDSMRSPSSCNSNNQNSNHTVEDSLSDTEKTDDKKNNSLAVVKPQNISTEILPPRMEAMKMEEDSSLEESEEFVDDHNVIHKLNESAKMNATVPLSGPSIEAEPESIKQVSNGIMYKEREDVKSKVTTTSESTKVGVDKNIDQQDEHPKLDRGSDENTEEDKLRHVITQVTKVMNKLEDPYPTPYRKLNGERPPAEREDKDEDCEFAVQARQKRQEEWLSPNFSENDSIFMVDETRPQQPFMYNTLVMKQTESDTEKQLPILPKSQNDSLWMATLQQLKTFSFPLNKLRNDSLNIVKLKQMDGMEEPLTELKNSHLFLVTINKWSGIEPPLQQLYNHDAAFTRLNTLQGISSPLAEVENFSCDLEEVSILGGISDPLYMLQNEDIKLTTINKLSGITEPLQQLSNSSLLLDQLNECTSIGEEVMEINNKSVDLYTLQKLSGIFGPIFTLRNADMWFEKLGSLQELVEPVETFENSGLDLRELQTLRNMTKPLMKLQNERLELIQLKTLRGFNAPLFELFADNLYLQTLNLLQSFGDPLKSIPNVSAEVITLVKCNKINEPFEQIGNKSVDLERLNILNAISAPLTTLDNDPLSLKHLSQCNFLQKPLEEIQNADLYLEELTKEFTFIEKPVQELSCHSYDLTTVTEEEGRLCRCPVLRVFLSRKTTM
+>sp|P19447|ERCC3_HUMAN General transcription and DNA repair factor IIH helicase subunit XPB OS=Homo sapiens OX=9606 GN=ERCC3 PE=1 SV=1
+MGKRDRADRDKKKSRKRHYEDEEDDEEDAPGNDPQEAVPSAAGKQVDESGTKVDEYGAKDYRLQMPLKDDHTSRPLWVAPDGHIFLEAFSPVYKYAQDFLVAIAEPVCRPTHVHEYKLTAYSLYAAVSVGLQTSDITEYLRKLSKTGVPDGIMQFIKLCTVSYGKVKLVLKHNRYFVESCHPDVIQHLLQDPVIRECRLRNSEGEATELITETFTSKSAISKTAESSGGPSTSRVTDPQGKSDIPMDLFDFYEQMDKDEEEEEETQTVSFEVKQEMIEELQKRCIHLEYPLLAEYDFRNDSVNPDINIDLKPTAVLRPYQEKSLRKMFGNGRARSGVIVLPCGAGKSLVGVTAACTVRKRCLVLGNSAVSVEQWKAQFKMWSTIDDSQICRFTSDAKDKPIGCSVAISTYSMLGHTTKRSWEAERVMEWLKTQEWGLMILDEVHTIPAKMFRRVLTIVQAHCKLGLTATLVREDDKIVDLNFLIGPKLYEANWMELQNNGYIAKVQCAEVWCPMSPEFYREYVAIKTKKRILLYTMNPNKFRACQFLIKFHERRNDKIIVFADNVFALKEYAIRLNKPYIYGPTSQGERMQILQNFKHNPKINTIFISKVGDTSFDLPEANVLIQISSHGGSRRQEAQRLGRVLRAKKGMVAEEYNAFFYSLVSQDTQEMAYSTKRQRFLVDQGYSFKVITKLAGMEEEDLAFSTKEEQQQLLQKVLAATDLDAEEEVVAGEFGSRSSQASRRFGTMSSMSGADDTVYMEYHSSRSKAPSKHVHPLFKRFRK
+>DECOY_sp|P19447|ERCC3_HUMAN General transcription and DNA repair factor IIH helicase subunit XPB OS=Homo sapiens OX=9606 GN=ERCC3 PE=1 SV=1
+KRFRKFLPHVHKSPAKSRSSHYEMYVTDDAGSMSSMTGFRRSAQSSRSGFEGAVVEEEADLDTAALVKQLLQQQEEKTSFALDEEEMGALKTIVKFSYGQDVLFRQRKTSYAMEQTDQSVLSYFFANYEEAVMGKKARLVRGLRQAEQRRSGGHSSIQILVNAEPLDFSTDGVKSIFITNIKPNHKFNQLIQMREGQSTPGYIYPKNLRIAYEKLAFVNDAFVIIKDNRREHFKILFQCARFKNPNMTYLLIRKKTKIAVYERYFEPSMPCWVEACQVKAIYGNNQLEMWNAEYLKPGILFNLDVIKDDERVLTATLGLKCHAQVITLVRRFMKAPITHVEDLIMLGWEQTKLWEMVREAEWSRKTTHGLMSYTSIAVSCGIPKDKADSTFRCIQSDDITSWMKFQAKWQEVSVASNGLVLCRKRVTCAATVGVLSKGAGCPLVIVGSRARGNGFMKRLSKEQYPRLVATPKLDINIDPNVSDNRFDYEALLPYELHICRKQLEEIMEQKVEFSVTQTEEEEEEDKDMQEYFDFLDMPIDSKGQPDTVRSTSPGGSSEATKSIASKSTFTETILETAEGESNRLRCERIVPDQLLHQIVDPHCSEVFYRNHKLVLKVKGYSVTCLKIFQMIGDPVGTKSLKRLYETIDSTQLGVSVAAYLSYATLKYEHVHTPRCVPEAIAVLFDQAYKYVPSFAELFIHGDPAVWLPRSTHDDKLPMQLRYDKAGYEDVKTGSEDVQKGAASPVAEQPDNGPADEEDDEEDEYHRKRSKKKDRDARDRKGM
+>sp|Q03468|ERCC6_HUMAN DNA excision repair protein ERCC-6 OS=Homo sapiens OX=9606 GN=ERCC6 PE=1 SV=1
+MPNEGIPHSSQTQEQDCLQSQPVSNNEEMAIKQESGGDGEVEEYLSFRSVGDGLSTSAVGCASAAPRRGPALLHIDRHQIQAVEPSAQALELQGLGVDVYDQDVLEQGVLQQVDNAIHEASRASQLVDVEKEYRSVLDDLTSCTTSLRQINKIIEQLSPQAATSRDINRKLDSVKRQKYNKEQQLKKITAKQKHLQAILGGAEVKIELDHASLEEDAEPGPSSLGSMLMPVQETAWEELIRTGQMTPFGTQIPQKQEKKPRKIMLNEASGFEKYLADQAKLSFERKKQGCNKRAARKAPAPVTPPAPVQNKNKPNKKARVLSKKEERLKKHIKKLQKRALQFQGKVGLPKARRPWESDMRPEAEGDSEGEESEYFPTEEEEEEEDDEVEGAEADLSGDGTDYELKPLPKGGKRQKKVPVQEIDDDFFPSSGEEAEAASVGEGGGGGRKVGRYRDDGDEDYYKQRLRRWNKLRLQDKEKRLKLEDDSEESDAEFDEGFKVPGFLFKKLFKYQQTGVRWLWELHCQQAGGILGDEMGLGKTIQIIAFLAGLSYSKIRTRGSNYRFEGLGPTVIVCPTTVMHQWVKEFHTWWPPFRVAILHETGSYTHKKEKLIRDVAHCHGILITSYSYIRLMQDDISRYDWHYVILDEGHKIRNPNAAVTLACKQFRTPHRIILSGSPMQNNLRELWSLFDFIFPGKLGTLPVFMEQFSVPITMGGYSNASPVQVKTAYKCACVLRDTINPYLLRRMKSDVKMSLSLPDKNEQVLFCRLTDEQHKVYQNFVDSKEVYRILNGEMQIFSGLIALRKICNHPDLFSGGPKNLKGLPDDELEEDQFGYWKRSGKMIVVESLLKIWHKQGQRVLLFSQSRQMLDILEVFLRAQKYTYLKMDGTTTIASRQPLITRYNEDTSIFVFLLTTRVGGLGVNLTGANRVVIYDPDWNPSTDTQARERAWRIGQKKQVTVYRLLTAGTIEEKIYHRQIFKQFLTNRVLKDPKQRRFFKSNDLYELFTLTSPDASQSTETSAIFAGTGSDVQTPKCHLKRRIQPAFGADHDVPKRKKFPASNISVNDATSSEEKSEAKGAEVNAVTSNRSDPLKDDPHMSSNVTSNDRLGEETNAVSGPEELSVISGNGECSNSSGTGKTSMPSGDESIDEKLGLSYKRERPSQAQTEAFWENKQMENNFYKHKSKTKHHSVAEEETLEKHLRPKQKPKNSKHCRDAKFEGTRIPHLVKKRRYQKQDSENKSEAKEQSNDDYVLEKLFKKSVGVHSVMKHDAIMDGASPDYVLVEAEANRVAQDALKALRLSRQRCLGAVSGVPTWTGHRGISGAPAGKKSRFGKKRNSNFSVQHPSSTSPTEKCQDGIMKKEGKDNVPEHFSGRAEDADSSSGPLASSSLLAKMRARNHLILPERLESESGHLQEASALLPTTEHDDLLVEMRNFIAFQAHTDGQASTREILQEFESKLSASQSCVFRELLRNLCTFHRTSGGEGIWKLKPEYC
+>DECOY_sp|Q03468|ERCC6_HUMAN DNA excision repair protein ERCC-6 OS=Homo sapiens OX=9606 GN=ERCC6 PE=1 SV=1
+CYEPKLKWIGEGGSTRHFTCLNRLLERFVCSQSASLKSEFEQLIERTSAQGDTHAQFAIFNRMEVLLDDHETTPLLASAEQLHGSESELREPLILHNRARMKALLSSSALPGSSSDADEARGSFHEPVNDKGEKKMIGDQCKETPSTSSPHQVSFNSNRKKGFRSKKGAPAGSIGRHGTWTPVGSVAGLCRQRSLRLAKLADQAVRNAEAEVLVYDPSAGDMIADHKMVSHVGVSKKFLKELVYDDNSQEKAESKNESDQKQYRRKKVLHPIRTGEFKADRCHKSNKPKQKPRLHKELTEEEAVSHHKTKSKHKYFNNEMQKNEWFAETQAQSPRERKYSLGLKEDISEDGSPMSTKGTGSSNSCEGNGSIVSLEEPGSVANTEEGLRDNSTVNSSMHPDDKLPDSRNSTVANVEAGKAESKEESSTADNVSINSAPFKKRKPVDHDAGFAPQIRRKLHCKPTQVDSGTGAFIASTETSQSADPSTLTFLEYLDNSKFFRRQKPDKLVRNTLFQKFIQRHYIKEEITGATLLRYVTVQKKQGIRWARERAQTDTSPNWDPDYIVVRNAGTLNVGLGGVRTTLLFVFISTDENYRTILPQRSAITTTGDMKLYTYKQARLFVELIDLMQRSQSFLLVRQGQKHWIKLLSEVVIMKGSRKWYGFQDEELEDDPLGKLNKPGGSFLDPHNCIKRLAILGSFIQMEGNLIRYVEKSDVFNQYVKHQEDTLRCFLVQENKDPLSLSMKVDSKMRRLLYPNITDRLVCACKYATKVQVPSANSYGGMTIPVSFQEMFVPLTGLKGPFIFDFLSWLERLNNQMPSGSLIIRHPTRFQKCALTVAANPNRIKHGEDLIVYHWDYRSIDDQMLRIYSYSTILIGHCHAVDRILKEKKHTYSGTEHLIAVRFPPWWTHFEKVWQHMVTTPCVIVTPGLGEFRYNSGRTRIKSYSLGALFAIIQITKGLGMEDGLIGGAQQCHLEWLWRVGTQQYKFLKKFLFGPVKFGEDFEADSEESDDELKLRKEKDQLRLKNWRRLRQKYYDEDGDDRYRGVKRGGGGGEGVSAAEAEEGSSPFFDDDIEQVPVKKQRKGGKPLPKLEYDTGDGSLDAEAGEVEDDEEEEEEETPFYESEEGESDGEAEPRMDSEWPRRAKPLGVKGQFQLARKQLKKIHKKLREEKKSLVRAKKNPKNKNQVPAPPTVPAPAKRAARKNCGQKKREFSLKAQDALYKEFGSAENLMIKRPKKEQKQPIQTGFPTMQGTRILEEWATEQVPMLMSGLSSPGPEADEELSAHDLEIKVEAGGLIAQLHKQKATIKKLQQEKNYKQRKVSDLKRNIDRSTAAQPSLQEIIKNIQRLSTTCSTLDDLVSRYEKEVDVLQSARSAEHIANDVQQLVGQELVDQDYVDVGLGQLELAQASPEVAQIQHRDIHLLAPGRRPAASACGVASTSLGDGVSRFSLYEEVEGDGGSEQKIAMEENNSVPQSQLCDQEQTQSSHPIGENPM
+>sp|P33947|ERD22_HUMAN ER lumen protein-retaining receptor 2 OS=Homo sapiens OX=9606 GN=KDELR2 PE=1 SV=1
+MNIFRLTGDLSHLAAIVILLLKIWKTRSCAGISGKSQLLFALVFTTRYLDLFTSFISLYNTSMKVIYLACSYATVYLIYLKFKATYDGNHDTFRVEFLVVPVGGLSFLVNHDFSPLEILWTFSIYLESVAILPQLFMISKTGEAETITTHYLFFLGLYRALYLVNWIWRFYFEGFFDLIAVVAGVVQTILYCDFFYLYITKVLKGKKLSLPA
+>DECOY_sp|P33947|ERD22_HUMAN ER lumen protein-retaining receptor 2 OS=Homo sapiens OX=9606 GN=KDELR2 PE=1 SV=1
+APLSLKKGKLVKTIYLYFFDCYLITQVVGAVVAILDFFGEFYFRWIWNVLYLARYLGLFFLYHTTITEAEGTKSIMFLQPLIAVSELYISFTWLIELPSFDHNVLFSLGGVPVVLFEVRFTDHNGDYTAKFKLYILYVTAYSCALYIVKMSTNYLSIFSTFLDLYRTTFVLAFLLQSKGSIGACSRTKWIKLLLIVIAALHSLDGTLRFINM
+>sp|Q56NI9|ESCO2_HUMAN N-acetyltransferase ESCO2 OS=Homo sapiens OX=9606 GN=ESCO2 PE=1 SV=1
+MAALTPRKRKQDSLKCDSLLHFTENLFPSPNKKHCFYQNSDKNEENLHCSQQEHFVLSALKTTEINRLPSANQGSPFKSALSTVSFYNQNKWYLNPLERKLIKESRSTCLKTNDEDKSFPIVTEKMQGKPVCSKKNNKKPQKSLTAKYQPKYRHIKPVSRNSRNSKQNRVIYKPIVEKENNCHSAENNSNAPRVLSQKIKPQVTLQGGAAFFVRKKSSLRKSSLENEPSLGRTQKSKSEVIEDSDVETVSEKKTFATRQVPKCLVLEEKLKIGLLSASSKNKEKLIKDSSDDRVSSKEHKVDKNEAFSSEDSLGENKTISPKSTVYPIFSASSVNSKRSLGEEQFSVGSVNFMKQTNIQKNTNTRDTSKKTKDQLIIDAGQKHFGATVCKSCGMIYTASNPEDEMQHVQHHHRFLEGIKYVGWKKERVVAEFWDGKIVLVLPHDPSFAIKKVEDVQELVDNELGFQQVVPKCPNKIKTFLFISDEKRVVGCLIAEPIKQAFRVLSEPIGPESPSSTECPRAWQCSDVPEPAVCGISRIWVFRLKRRKRIARRLVDTLRNCFMFGCFLSTDEIAFSDPTPDGKLFATKYCNTPNFLVYNFNS
+>DECOY_sp|Q56NI9|ESCO2_HUMAN N-acetyltransferase ESCO2 OS=Homo sapiens OX=9606 GN=ESCO2 PE=1 SV=1
+SNFNYVLFNPTNCYKTAFLKGDPTPDSFAIEDTSLFCGFMFCNRLTDVLRRAIRKRRKLRFVWIRSIGCVAPEPVDSCQWARPCETSSPSEPGIPESLVRFAQKIPEAILCGVVRKEDSIFLFTKIKNPCKPVVQQFGLENDVLEQVDEVKKIAFSPDHPLVLVIKGDWFEAVVREKKWGVYKIGELFRHHHQVHQMEDEPNSATYIMGCSKCVTAGFHKQGADIILQDKTKKSTDRTNTNKQINTQKMFNVSGVSFQEEGLSRKSNVSSASFIPYVTSKPSITKNEGLSDESSFAENKDVKHEKSSVRDDSSDKILKEKNKSSASLLGIKLKEELVLCKPVQRTAFTKKESVTEVDSDEIVESKSKQTRGLSPENELSSKRLSSKKRVFFAAGGQLTVQPKIKQSLVRPANSNNEASHCNNEKEVIPKYIVRNQKSNRSNRSVPKIHRYKPQYKATLSKQPKKNNKKSCVPKGQMKETVIPFSKDEDNTKLCTSRSEKILKRELPNLYWKNQNYFSVTSLASKFPSGQNASPLRNIETTKLASLVFHEQQSCHLNEENKDSNQYFCHKKNPSPFLNETFHLLSDCKLSDQKRKRPTLAAM
+>sp|Q9NQ30|ESM1_HUMAN Endothelial cell-specific molecule 1 OS=Homo sapiens OX=9606 GN=ESM1 PE=1 SV=2
+MKSVLLLTTLLVPAHLVAAWSNNYAVDCPQHCDSSECKSSPRCKRTVLDDCGCCRVCAAGRGETCYRTVSGMDGMKCGPGLRCQPSNGEDPFGEEFGICKDCPYGTFGMDCRETCNCQSGICDRGTGKCLKFPFFQYSVTKSSNRFVSLTEHDMASGDGNIVREEVVKENAAGSPVMRKWLNPR
+>DECOY_sp|Q9NQ30|ESM1_HUMAN Endothelial cell-specific molecule 1 OS=Homo sapiens OX=9606 GN=ESM1 PE=1 SV=2
+RPNLWKRMVPSGAANEKVVEERVINGDGSAMDHETLSVFRNSSKTVSYQFFPFKLCKGTGRDCIGSQCNCTERCDMGFTGYPCDKCIGFEEGFPDEGNSPQCRLGPGCKMGDMGSVTRYCTEGRGAACVRCCGCDDLVTRKCRPSSKCESSDCHQPCDVAYNNSWAAVLHAPVLLTTLLLVSKM
+>sp|Q6ZVH7|ESPNL_HUMAN Espin-like protein OS=Homo sapiens OX=9606 GN=ESPNL PE=2 SV=3
+MEKQRALVAAKDGDVATLERLLEAGALGPGITDALGAGLVHHATRAGHLDCVKFLVQRAQLPGNQRAHNGATPAHDAAATGSLAELCWLVREGGCGLQDQDASGVSPLHLAARFGHPVLVEWLLHEGHSATLETREGARPLHHAAVSGDLTCLKLLTAAHGSSVNRRTRSGASPLYLACQEGHLHLAQFLVKDCGADVHLRALDGMSALHAAAARGHYSLVVWLVTFTDIGLTARDNEGATALHFAARGGHTPILDRLLLMGTPILRDSWGGTPLHDAAENGQMECCQTLVSHHVDPSLRDEDGYTAADLAEYHGHRDCAQYLREVAQPVPLLMTPPPPPFPPPPLLATRRSLEDGRRGGPGPGNPSPMSLSPAWPGHPDQPLPREQMTSPAPPRIITSATADPEGTETALAGDTSDGLAALQLDGLPSGDIDGLVPTRDERGQPIPEWKRQVMVRKLQARLGAESSAEAQDNGGSSGPTEQAAWRYSQTHQAILGPFGELLTEDDLVYLEKQIADLQLRRRCQEYESELGRLAAELQALLPEPLVSITVNSHFLPRAPGLEVEEASIPAAEPAGSAEASEVAPGVQPLPFWCSHISRLVRSLSLLLKGVHGLVQGDEKPSTRPLQDTCREASASPPRSEAQRQIQEWGVSVRTLRGNFESASGPLCGFNPGPCEPGAQHRQCLSGCWPALPKPRSGLASGEPRPGDTEEASDSGISCEEVPSEAGAAAGPDLASLRKERIIMLFLSHWRRSAYTPALKTVACRTLGARHAGLRGQEAARSPGPPSPPSEGPRLGHLWQQRSTITHLLGNWKAIMAHVPARQLRRLSRQPRGALSPEQFLPHVDGAPVPYSSLSLDLFMLGYFQLLECDLPAEERKLRHLLCFEVFEHLGTHGWEAVRAFHKAVTDEVAAGRRAWTDGFEDIKARFFGSSQRPAWDTEPGRKSGLTLLGPLPHAAVPCSGPEPTAQRLGSRSQQGSFNGEDICGYINRSFAFWKEKEAEMFNFGE
+>DECOY_sp|Q6ZVH7|ESPNL_HUMAN Espin-like protein OS=Homo sapiens OX=9606 GN=ESPNL PE=2 SV=3
+EGFNFMEAEKEKWFAFSRNIYGCIDEGNFSGQQSRSGLRQATPEPGSCPVAAHPLPGLLTLGSKRGPETDWAPRQSSGFFRAKIDEFGDTWARRGAAVEDTVAKHFARVAEWGHTGLHEFVEFCLLHRLKREEAPLDCELLQFYGLMFLDLSLSSYPVPAGDVHPLFQEPSLAGRPQRSLRRLQRAPVHAMIAKWNGLLHTITSRQQWLHGLRPGESPPSPPGPSRAAEQGRLGAHRAGLTRCAVTKLAPTYASRRWHSLFLMIIREKRLSALDPGAAAGAESPVEECSIGSDSAEETDGPRPEGSALGSRPKPLAPWCGSLCQRHQAGPECPGPNFGCLPGSASEFNGRLTRVSVGWEQIQRQAESRPPSASAERCTDQLPRTSPKEDGQVLGHVGKLLLSLSRVLRSIHSCWFPLPQVGPAVESAEASGAPEAAPISAEEVELGPARPLFHSNVTISVLPEPLLAQLEAALRGLESEYEQCRRRLQLDAIQKELYVLDDETLLEGFPGLIAQHTQSYRWAAQETPGSSGGNDQAEASSEAGLRAQLKRVMVQRKWEPIPQGREDRTPVLGDIDGSPLGDLQLAALGDSTDGALATETGEPDATASTIIRPPAPSTMQERPLPQDPHGPWAPSLSMPSPNGPGPGGRRGDELSRRTALLPPPPFPPPPPTMLLPVPQAVERLYQACDRHGHYEALDAATYGDEDRLSPDVHHSVLTQCCEMQGNEAADHLPTGGWSDRLIPTGMLLLRDLIPTHGGRAAFHLATAGENDRATLGIDTFTVLWVVLSYHGRAAAAHLASMGDLARLHVDAGCDKVLFQALHLHGEQCALYLPSAGSRTRRNVSSGHAATLLKLCTLDGSVAAHHLPRAGERTELTASHGEHLLWEVLVPHGFRAALHLPSVGSADQDQLGCGGERVLWCLEALSGTAAADHAPTAGNHARQNGPLQARQVLFKVCDLHGARTAHHVLGAGLADTIGPGLAGAELLRELTAVDGDKAAVLARQKEM
+>sp|B1AK53|ESPN_HUMAN Espin OS=Homo sapiens OX=9606 GN=ESPN PE=1 SV=1
+MALEQALQAARQGELDVLRSLHAAGLLGPSLRDPLDALPVHHAARAGKLHCLRFLVEEAALPAAARARNGATPAHDASATGHLACLQWLLSQGGCRVQDKDNSGATVLHLAARFGHPEVVNWLLHHGGGDPTAATDMGALPIHYAAAKGDFPSLRLLVEHYPEGVNAQTKNGATPLYLACQEGHLEVTQYLVQECGADPHARAHDGMTPLHAAAQMGHSPVIVWLVSCTDVSLSEQDKDGATAMHFAASRGHTKVLSWLLLHGGEISADLWGGTPLHDAAENGELECCQILVVNGAELDVRDRDGYTAADLSDFNGHSHCTRYLRTVENLSVEHRVLSRDPSAELEAKQPDSGMSSPNTTVSVQPLNFDLSSPTSTLSNYDSCSSSHSSIKGQHPPCGLSSARAADIQSYMDMLNPELGLPRGTIGKPTPPPPPPSFPPPPPPPGTQLPPPPPGYPAPKPPVGPQAADIYMQTKNKLRHVETEALKKELSSCDGHDGLRRQDSSRKPRAFSKQPSTGDYYRQLGRCPGETLAARPGMAHSEEVRARQPARAGCPRLGPAARGSLEGPSAPPQAALLPGNHVPNGCAADPKASRELPPPPPPPPPPLPEAASSPPPAPPLPLESAGPGCGQRRSSSSTGSTKSFNMMSPTGDNSELLAEIKAGKSLKPTPQSKGLTTVFSGIGQPAFQPDSPLPSVSPALSPVRSPTPPAAGFQPLLNGSLVPVPPTTPAPGVQLDVEALIPTHDEQGRPIPEWKRQVMVRKMQLKMQEEEEQRRKEEEEEARLASMPAWRRDLLRKKLEEEREQKRKEEERQKQEELRREKEQSEKLRTLGYDESKLAPWQRQVILKKGDIAKY
+>DECOY_sp|B1AK53|ESPN_HUMAN Espin OS=Homo sapiens OX=9606 GN=ESPN PE=1 SV=1
+YKAIDGKKLIVQRQWPALKSEDYGLTRLKESQEKERRLEEQKQREEEKRKQEREEELKKRLLDRRWAPMSALRAEEEEEKRRQEEEEQMKLQMKRVMVQRKWEPIPRGQEDHTPILAEVDLQVGPAPTTPPVPVLSGNLLPQFGAAPPTPSRVPSLAPSVSPLPSDPQFAPQGIGSFVTTLGKSQPTPKLSKGAKIEALLESNDGTPSMMNFSKTSGTSSSSRRQGCGPGASELPLPPAPPPSSAAEPLPPPPPPPPPPLERSAKPDAACGNPVHNGPLLAAQPPASPGELSGRAAPGLRPCGARAPQRARVEESHAMGPRAALTEGPCRGLQRYYDGTSPQKSFARPKRSSDQRRLGDHGDCSSLEKKLAETEVHRLKNKTQMYIDAAQPGVPPKPAPYGPPPPPLQTGPPPPPPPFSPPPPPPTPKGITGRPLGLEPNLMDMYSQIDAARASSLGCPPHQGKISSHSSSCSDYNSLTSTPSSLDFNLPQVSVTTNPSSMGSDPQKAELEASPDRSLVRHEVSLNEVTRLYRTCHSHGNFDSLDAATYGDRDRVDLEAGNVVLIQCCELEGNEAADHLPTGGWLDASIEGGHLLLWSLVKTHGRSAAFHMATAGDKDQESLSVDTCSVLWVIVPSHGMQAAAHLPTMGDHARAHPDAGCEQVLYQTVELHGEQCALYLPTAGNKTQANVGEPYHEVLLRLSPFDGKAAAYHIPLAGMDTAATPDGGGHHLLWNVVEPHGFRAALHLVTAGSNDKDQVRCGGQSLLWQLCALHGTASADHAPTAGNRARAAAPLAAEEVLFRLCHLKGARAAHHVPLADLPDRLSPGLLGAAHLSRLVDLEGQRAAQLAQELAM
+>sp|P03372|ESR1_HUMAN Estrogen receptor OS=Homo sapiens OX=9606 GN=ESR1 PE=1 SV=2
+MTMTLHTKASGMALLHQIQGNELEPLNRPQLKIPLERPLGEVYLDSSKPAVYNYPEGAAYEFNAAAAANAQVYGQTGLPYGPGSEAAAFGSNGLGGFPPLNSVSPSPLMLLHPPPQLSPFLQPHGQQVPYYLENEPSGYTVREAGPPAFYRPNSDNRRQGGRERLASTNDKGSMAMESAKETRYCAVCNDYASGYHYGVWSCEGCKAFFKRSIQGHNDYMCPATNQCTIDKNRRKSCQACRLRKCYEVGMMKGGIRKDRRGGRMLKHKRQRDDGEGRGEVGSAGDMRAANLWPSPLMIKRSKKNSLALSLTADQMVSALLDAEPPILYSEYDPTRPFSEASMMGLLTNLADRELVHMINWAKRVPGFVDLTLHDQVHLLECAWLEILMIGLVWRSMEHPGKLLFAPNLLLDRNQGKCVEGMVEIFDMLLATSSRFRMMNLQGEEFVCLKSIILLNSGVYTFLSSTLKSLEEKDHIHRVLDKITDTLIHLMAKAGLTLQQQHQRLAQLLLILSHIRHMSNKGMEHLYSMKCKNVVPLYDLLLEMLDAHRLHAPTSRGGASVEETDQSHLATAGSTSSHSLQKYYITGEAEGFPATV
+>DECOY_sp|P03372|ESR1_HUMAN Estrogen receptor OS=Homo sapiens OX=9606 GN=ESR1 PE=1 SV=2
+VTAPFGEAEGTIYYKQLSHSSTSGATALHSQDTEEVSAGGRSTPAHLRHADLMELLLDYLPVVNKCKMSYLHEMGKNSMHRIHSLILLLQALRQHQQQLTLGAKAMLHILTDTIKDLVRHIHDKEELSKLTSSLFTYVGSNLLIISKLCVFEEGQLNMMRFRSSTALLMDFIEVMGEVCKGQNRDLLLNPAFLLKGPHEMSRWVLGIMLIELWACELLHVQDHLTLDVFGPVRKAWNIMHVLERDALNTLLGMMSAESFPRTPDYESYLIPPEADLLASVMQDATLSLALSNKKSRKIMLPSPWLNAARMDGASGVEGRGEGDDRQRKHKLMRGGRRDKRIGGKMMGVEYCKRLRCAQCSKRRNKDITCQNTAPCMYDNHGQISRKFFAKCGECSWVGYHYGSAYDNCVACYRTEKASEMAMSGKDNTSALRERGGQRRNDSNPRYFAPPGAERVTYGSPENELYYPVQQGHPQLFPSLQPPPHLLMLPSPSVSNLPPFGGLGNSGFAAAESGPGYPLGTQGYVQANAAAAANFEYAAGEPYNYVAPKSSDLYVEGLPRELPIKLQPRNLPELENGQIQHLLAMGSAKTHLTMTM
+>sp|Q9H6T0|ESRP2_HUMAN Epithelial splicing regulatory protein 2 OS=Homo sapiens OX=9606 GN=ESRP2 PE=1 SV=1
+MTPPPPPPPPPGPDPAADPAADPCPWPGSLVVLFGATAGALGRDLGSDETDLILLVWQVVEPRSRQVGTLHKSLVRAEAAALSTQCREASGLSADSLARAEPLDKVLQQFSQLVNGDVALLGGGPYMLCTDGQQLLRQVLHPEASRKNLVLPDMFFSFYDLRREFHMQHPSTCPARDLTVATMAQGLGLETDATEDDFGVWEVKTMVAVILHLLKEPSSQLFSKPEVIKQKYETGPCSDSTVPCPYSSKADVVDSETVVRARGLPWQSSDQDVARFFKGLNVARGGVALCLNAQGRRNGEALIRFVDSEQRDLALQRHKHHMGVRYIEVYKATGEEFVKIAGGTSLEVARFLSREDQVILRLRGLPFSAGPTDVLGFLGPECPVTGGTEGLLFVRHPDGRPTGDAFALFACEELAQAALRRHKGMLGKRYIELFRSTAAEVQQVLNRYASGPLLPTLTAPLLPIPFPLAPGTGRDCVRLRGLPYTATIEDILSFLGEAAADIRPHGVHMVLNQQGRPSGDAFIQMTSAERALAAAQRCHKKVMKERYVEVVPCSTEEMSRVLMGGTLGRSGMSPPPCKLPCLSPPTYTTFQATPTLIPTETAALYPSSALLPAARVPAAPTPVAYYPGPATQLYLNYTAYYPSPPVSPTTVGYLTTPTAALASAPTSVLSQSGALVRMQGVPYTAGMKDLLSVFQAYQLPADDYTSLMPVGDPPRTVLQAPKEWVCL
+>DECOY_sp|Q9H6T0|ESRP2_HUMAN Epithelial splicing regulatory protein 2 OS=Homo sapiens OX=9606 GN=ESRP2 PE=1 SV=1
+LCVWEKPAQLVTRPPDGVPMLSTYDDAPLQYAQFVSLLDKMGATYPVGQMRVLAGSQSLVSTPASALAATPTTLYGVTTPSVPPSPYYATYNLYLQTAPGPYYAVPTPAAPVRAAPLLASSPYLAATETPILTPTAQFTTYTPPSLCPLKCPPPSMGSRGLTGGMLVRSMEETSCPVVEVYREKMVKKHCRQAAALAREASTMQIFADGSPRGQQNLVMHVGHPRIDAAAEGLFSLIDEITATYPLGRLRVCDRGTGPALPFPIPLLPATLTPLLPGSAYRNLVQQVEAATSRFLEIYRKGLMGKHRRLAAQALEECAFLAFADGTPRGDPHRVFLLGETGGTVPCEPGLFGLVDTPGASFPLGRLRLIVQDERSLFRAVELSTGGAIKVFEEGTAKYVEIYRVGMHHKHRQLALDRQESDVFRILAEGNRRGQANLCLAVGGRAVNLGKFFRAVDQDSSQWPLGRARVVTESDVVDAKSSYPCPVTSDSCPGTEYKQKIVEPKSFLQSSPEKLLHLIVAVMTKVEWVGFDDETADTELGLGQAMTAVTLDRAPCTSPHQMHFERRLDYFSFFMDPLVLNKRSAEPHLVQRLLQQGDTCLMYPGGGLLAVDGNVLQSFQQLVKDLPEARALSDASLGSAERCQTSLAAAEARVLSKHLTGVQRSRPEVVQWVLLILDTEDSGLDRGLAGATAGFLVVLSGPWPCPDAAPDAAPDPGPPPPPPPPPTM
+>sp|Q9BSJ8|ESYT1_HUMAN Extended synaptotagmin-1 OS=Homo sapiens OX=9606 GN=ESYT1 PE=1 SV=1
+MERSPGEGPSPSPMDQPSAPSDPTDQPPAAHAKPDPGSGGQPAGPGAAGEALAVLTSFGRRLLVLIPVYLAGAVGLSVGFVLFGLALYLGWRRVRDEKERSLRAARQLLDDEEQLTAKTLYMSHRELPAWVSFPDVEKAEWLNKIVAQVWPFLGQYMEKLLAETVAPAVRGSNPHLQTFTFTRVELGEKPLRIIGVKVHPGQRKEQILLDLNISYVGDVQIDVEVKKYFCKAGVKGMQLHGVLRVILEPLIGDLPFVGAVSMFFIRRPTLDINWTGMTNLLDIPGLSSLSDTMIMDSIAAFLVLPNRLLVPLVPDLQDVAQLRSPLPRGIIRIHLLAARGLSSKDKYVKGLIEGKSDPYALVRLGTQTFCSRVIDEELNPQWGETYEVMVHEVPGQEIEVEVFDKDPDKDDFLGRMKLDVGKVLQASVLDDWFPLQGGQGQVHLRLEWLSLLSDAEKLEQVLQWNWGVSSRPDPPSAAILVVYLDRAQDLPLKKGNKEPNPMVQLSIQDVTQESKAVYSTNCPVWEEAFRFFLQDPQSQELDVQVKDDSRALTLGALTLPLARLLTAPELILDQWFQLSSSGPNSRLYMKLVMRILYLDSSEICFPTVPGCPGAWDVDSENPQRGSSVDAPPRPCHTTPDSQFGTEHVLRIHVLEAQDLIAKDRFLGGLVKGKSDPYVKLKLAGRSFRSHVVREDLNPRWNEVFEVIVTSVPGQELEVEVFDKDLDKDDFLGRCKVRLTTVLNSGFLDEWLTLEDVPSGRLHLRLERLTPRPTAAELEEVLQVNSLIQTQKSAELAAALLSIYMERAEDLPLRKGTKHLSPYATLTVGDSSHKTKTISQTSAPVWDESASFLIRKPHTESLELQVRGEGTGVLGSLSLPLSELLVADQLCLDRWFTLSSGQGQVLLRAQLGILVSQHSGVEAHSHSYSHSSSSLSEEPELSGGPPHITSSAPELRQRLTHVDSPLEAPAGPLGQVKLTLWYYSEERKLVSIVHGCRSLRQNGRDPPDPYVSLLLLPDKNRGTKRRTSQKKRTLSPEFNERFEWELPLDEAQRRKLDVSVKSNSSFMSRERELLGKVQLDLAETDLSQGVARWYDLMDNKDKGSS
+>DECOY_sp|Q9BSJ8|ESYT1_HUMAN Extended synaptotagmin-1 OS=Homo sapiens OX=9606 GN=ESYT1 PE=1 SV=1
+SSGKDKNDMLDYWRAVGQSLDTEALDLQVKGLLERERSMFSSNSKVSVDLKRRQAEDLPLEWEFRENFEPSLTRKKQSTRRKTGRNKDPLLLLSVYPDPPDRGNQRLSRCGHVISVLKREESYYWLTLKVQGLPGAPAELPSDVHTLRQRLEPASSTIHPPGGSLEPEESLSSSSHSYSHSHAEVGSHQSVLIGLQARLLVQGQGSSLTFWRDLCLQDAVLLESLPLSLSGLVGTGEGRVQLELSETHPKRILFSASEDWVPASTQSITKTKHSSDGVTLTAYPSLHKTGKRLPLDEAREMYISLLAAALEASKQTQILSNVQLVEELEAATPRPTLRELRLHLRGSPVDELTLWEDLFGSNLVTTLRVKCRGLFDDKDLDKDFVEVELEQGPVSTVIVEFVENWRPNLDERVVHSRFSRGALKLKVYPDSKGKVLGGLFRDKAILDQAELVHIRLVHETGFQSDPTTHCPRPPADVSSGRQPNESDVDWAGPCGPVTPFCIESSDLYLIRMVLKMYLRSNPGSSSLQFWQDLILEPATLLRALPLTLAGLTLARSDDKVQVDLEQSQPDQLFFRFAEEWVPCNTSYVAKSEQTVDQISLQVMPNPEKNGKKLPLDQARDLYVVLIAASPPDPRSSVGWNWQLVQELKEADSLLSLWELRLHVQGQGGQLPFWDDLVSAQLVKGVDLKMRGLFDDKDPDKDFVEVEIEQGPVEHVMVEYTEGWQPNLEEDIVRSCFTQTGLRVLAYPDSKGEILGKVYKDKSSLGRAALLHIRIIGRPLPSRLQAVDQLDPVLPVLLRNPLVLFAAISDMIMTDSLSSLGPIDLLNTMGTWNIDLTPRRIFFMSVAGVFPLDGILPELIVRLVGHLQMGKVGAKCFYKKVEVDIQVDGVYSINLDLLIQEKRQGPHVKVGIIRLPKEGLEVRTFTFTQLHPNSGRVAPAVTEALLKEMYQGLFPWVQAVIKNLWEAKEVDPFSVWAPLERHSMYLTKATLQEEDDLLQRAARLSREKEDRVRRWGLYLALGFLVFGVSLGVAGALYVPILVLLRRGFSTLVALAEGAAGPGAPQGGSGPDPKAHAAPPQDTPDSPASPQDMPSPSPGEGPSREM
+>sp|A0FGR8|ESYT2_HUMAN Extended synaptotagmin-2 OS=Homo sapiens OX=9606 GN=ESYT2 PE=1 SV=1
+MTANRDAALSSHRHPGCAQRPRTPTFASSSQRRSAFGFDDGNFPGLGERSHAPGSRLGARRRAKTARGLRGHRQRGAGAGLSRPGSARAPSPPRPGGPENPGGVLSVELPGLLAQLARSFALLLPVYALGYLGLSFSWVLLALALLAWCRRSRGLKALRLCRALALLEDEERVVRLGVRACDLPAWVHFPDTERAEWLNKTVKHMWPFICQFIEKLFRETIEPAVRGANTHLSTFSFTKVDVGQQPLRINGVKVYTENVDKRQIILDLQISFVGNCEIDLEIKRYFCRAGVKSIQIHGTMRVILEPLIGDMPLVGALSIFFLRKPLLEINWTGLTNLLDVPGLNGLSDTIILDIISNYLVLPNRITVPLVSEVQIAQLRFPVPKGVLRIHFIEAQDLQGKDTYLKGLVKGKSDPYGIIRVGNQIFQSRVIKENLSPKWNEVYEALVYEHPGQELEIELFDEDPDKDDFLGSLMIDLIEVEKERLLDEWFTLDEVPKGKLHLRLEWLTLMPNASNLDKVLTDIKADKDQANDGLSSALLILYLDSARNLPSGKKISSNPNPVVQMSVGHKAQESKIRYKTNEPVWEENFTFFIHNPKRQDLEVEVRDEQHQCSLGNLKVPLSQLLTSEDMTVSQRFQLSNSGPNSTIKMKIALRVLHLEKRERPPDHQHSAQVKRPSVSKEGRKTSIKSHMSGSPGPGGSNTAPSTPVIGGSDKPGMEEKAQPPEAGPQGLHDLGRSSSSLLASPGHISVKEPTPSIASDISLPIATQELRQRLRQLENGTTLGQSPLGQIQLTIRHSSQRNKLIVVVHACRNLIAFSEDGSDPYVRMYLLPDKRRSGRRKTHVSKKTLNPVFDQSFDFSVSLPEVQRRTLDVAVKNSGGFLSKDKGLLGKVLVALASEELAKGWTQWYDLTEDGTRPQAMT
+>DECOY_sp|A0FGR8|ESYT2_HUMAN Extended synaptotagmin-2 OS=Homo sapiens OX=9606 GN=ESYT2 PE=1 SV=1
+TMAQPRTGDETLDYWQTWGKALEESALAVLVKGLLGKDKSLFGGSNKVAVDLTRRQVEPLSVSFDFSQDFVPNLTKKSVHTKRRGSRRKDPLLYMRVYPDSGDESFAILNRCAHVVVILKNRQSSHRITLQIQGLPSQGLTTGNELQRLRQRLEQTAIPLSIDSAISPTPEKVSIHGPSALLSSSSRGLDHLGQPGAEPPQAKEEMGPKDSGGIVPTSPATNSGGPGPSGSMHSKISTKRGEKSVSPRKVQASHQHDPPRERKELHLVRLAIKMKITSNPGSNSLQFRQSVTMDESTLLQSLPVKLNGLSCQHQEDRVEVELDQRKPNHIFFTFNEEWVPENTKYRIKSEQAKHGVSMQVVPNPNSSIKKGSPLNRASDLYLILLASSLGDNAQDKDAKIDTLVKDLNSANPMLTLWELRLHLKGKPVEDLTFWEDLLREKEVEILDIMLSGLFDDKDPDEDFLEIELEQGPHEYVLAEYVENWKPSLNEKIVRSQFIQNGVRIIGYPDSKGKVLGKLYTDKGQLDQAEIFHIRLVGKPVPFRLQAIQVESVLPVTIRNPLVLYNSIIDLIITDSLGNLGPVDLLNTLGTWNIELLPKRLFFISLAGVLPMDGILPELIVRMTGHIQISKVGARCFYRKIELDIECNGVFSIQLDLIIQRKDVNETYVKVGNIRLPQQGVDVKTFSFTSLHTNAGRVAPEITERFLKEIFQCIFPWMHKVTKNLWEARETDPFHVWAPLDCARVGLRVVREEDELLALARCLRLAKLGRSRRCWALLALALLVWSFSLGLYGLAYVPLLLAFSRALQALLGPLEVSLVGGPNEPGGPRPPSPARASGPRSLGAGAGRQRHGRLGRATKARRRAGLRSGPAHSREGLGPFNGDDFGFASRRQSSSAFTPTRPRQACGPHRHSSLAADRNATM
+>sp|P13804|ETFA_HUMAN Electron transfer flavoprotein subunit alpha, mitochondrial OS=Homo sapiens OX=9606 GN=ETFA PE=1 SV=1
+MFRAAAPGQLRRAASLLRFQSTLVIAEHANDSLAPITLNTITAATRLGGEVSCLVAGTKCDKVAQDLCKVAGIAKVLVAQHDVYKGLLPEELTPLILATQKQFNYTHICAGASAFGKNLLPRVAAKLEVAPISDIIAIKSPDTFVRTIYAGNALCTVKCDEKVKVFSVRGTSFDAAATSGGSASSEKASSTSPVEISEWLDQKLTKSDRPELTGAKVVVSGGRGLKSGENFKLLYDLADQLHAAVGASRAAVDAGFVPNDMQVGQTGKIVAPELYIAVGISGAIQHLAGMKDSKTIVAINKDPEAPIFQVADYGIVADLFKVVPEMTEILKKK
+>DECOY_sp|P13804|ETFA_HUMAN Electron transfer flavoprotein subunit alpha, mitochondrial OS=Homo sapiens OX=9606 GN=ETFA PE=1 SV=1
+KKKLIETMEPVVKFLDAVIGYDAVQFIPAEPDKNIAVITKSDKMGALHQIAGSIGVAIYLEPAVIKGTQGVQMDNPVFGADVAARSAGVAAHLQDALDYLLKFNEGSKLGRGGSVVVKAGTLEPRDSKTLKQDLWESIEVPSTSSAKESSASGGSTAAADFSTGRVSFVKVKEDCKVTCLANGAYITRVFTDPSKIAIIDSIPAVELKAAVRPLLNKGFASAGACIHTYNFQKQTALILPTLEEPLLGKYVDHQAVLVKAIGAVKCLDQAVKDCKTGAVLCSVEGGLRTAATITNLTIPALSDNAHEAIVLTSQFRLLSAARRLQGPAAARFM
+>sp|P15036|ETS2_HUMAN Protein C-ets-2 OS=Homo sapiens OX=9606 GN=ETS2 PE=1 SV=1
+MNDFGIKNMDQVAPVANSYRGTLKRQPAFDTFDGSLFAVFPSLNEEQTLQEVPTGLDSISHDSANCELPLLTPCSKAVMSQALKATFSGFKKEQRRLGIPKNPWLWSEQQVCQWLLWATNEFSLVNVNLQRFGMNGQMLCNLGKERFLELAPDFVGDILWEHLEQMIKENQEKTEDQYEENSHLTSVPHWINSNTLGFGTEQAPYGMQTQNYPKGGLLDSMCPASTPSVLSSEQEFQMFPKSRLSSVSVTYCSVSQDFPGSNLNLLTNNSGTPKDHDSPENGADSFESSDSLLQSWNSQSSLLDVQRVPSFESFEDDCSQSLCLNKPTMSFKDYIQERSDPVEQGKPVIPAAVLAGFTGSGPIQLWQFLLELLSDKSCQSFISWTGDGWEFKLADPDEVARRWGKRKNKPKMNYEKLSRGLRYYYDKNIIHKTSGKRYVYRFVCDLQNLLGFTPEELHAILGVQPDTED
+>DECOY_sp|P15036|ETS2_HUMAN Protein C-ets-2 OS=Homo sapiens OX=9606 GN=ETS2 PE=1 SV=1
+DETDPQVGLIAHLEEPTFGLLNQLDCVFRYVYRKGSTKHIINKDYYYRLGRSLKEYNMKPKNKRKGWRRAVEDPDALKFEWGDGTWSIFSQCSKDSLLELLFQWLQIPGSGTFGALVAAPIVPKGQEVPDSREQIYDKFSMTPKNLCLSQSCDDEFSEFSPVRQVDLLSSQSNWSQLLSDSSEFSDAGNEPSDHDKPTGSNNTLLNLNSGPFDQSVSCYTVSVSSLRSKPFMQFEQESSLVSPTSAPCMSDLLGGKPYNQTQMGYPAQETGFGLTNSNIWHPVSTLHSNEEYQDETKEQNEKIMQELHEWLIDGVFDPALELFREKGLNCLMQGNMGFRQLNVNVLSFENTAWLLWQCVQQESWLWPNKPIGLRRQEKKFGSFTAKLAQSMVAKSCPTLLPLECNASDHSISDLGTPVEQLTQEENLSPFVAFLSGDFTDFAPQRKLTGRYSNAVPAVQDMNKIGFDNM
+>sp|P50549|ETV1_HUMAN ETS translocation variant 1 OS=Homo sapiens OX=9606 GN=ETV1 PE=1 SV=2
+MDGFYDQQVPYMVTNSQRGRNCNEKPTNVRKRKFINRDLAHDSEELFQDLSQLQETWLAEAQVPDNDEQFVPDYQAESLAFHGLPLKIKKEPHSPCSEISSACSQEQPFKFSYGEKCLYNVSAYDQKPQVGMRPSNPPTPSSTPVSPLHHASPNSTHTPKPDRAFPAHLPPSQSIPDSSYPMDHRFRRQLSEPCNSFPPLPTMPREGRPMYQRQMSEPNIPFPPQGFKQEYHDPVYEHNTMVGSAASQSFPPPLMIKQEPRDFAYDSEVPSCHSIYMRQEGFLAHPSRTEGCMFEKGPRQFYDDTCVVPEKFDGDIKQEPGMYREGPTYQRRGSLQLWQFLVALLDDPSNSHFIAWTGRGMEFKLIEPEEVARRWGIQKNRPAMNYDKLSRSLRYYYEKGIMQKVAGERYVYKFVCDPEALFSMAFPDNQRPLLKTDMERHINEEDTVPLSHFDESMAYMPEGGCCNPHPYNEGYVY
+>DECOY_sp|P50549|ETV1_HUMAN ETS translocation variant 1 OS=Homo sapiens OX=9606 GN=ETV1 PE=1 SV=2
+YVYGENYPHPNCCGGEPMYAMSEDFHSLPVTDEENIHREMDTKLLPRQNDPFAMSFLAEPDCVFKYVYREGAVKQMIGKEYYYRLSRSLKDYNMAPRNKQIGWRRAVEEPEILKFEMGRGTWAIFHSNSPDDLLAVLFQWLQLSGRRQYTPGERYMGPEQKIDGDFKEPVVCTDDYFQRPGKEFMCGETRSPHALFGEQRMYISHCSPVESDYAFDRPEQKIMLPPPFSQSAASGVMTNHEYVPDHYEQKFGQPPFPINPESMQRQYMPRGERPMTPLPPFSNCPESLQRRFRHDMPYSSDPISQSPPLHAPFARDPKPTHTSNPSAHHLPSVPTSSPTPPNSPRMGVQPKQDYASVNYLCKEGYSFKFPQEQSCASSIESCPSHPEKKIKLPLGHFALSEAQYDPVFQEDNDPVQAEALWTEQLQSLDQFLEESDHALDRNIFKRKRVNTPKENCNRGRQSNTVMYPVQQDYFGDM
+>sp|Q9Y603|ETV7_HUMAN Transcription factor ETV7 OS=Homo sapiens OX=9606 GN=ETV7 PE=1 SV=1
+MQEGELAISPISPVAAMPPLGTHVQARCEAQINLLGEGGICKLPGRLRIQPALWSREDVLHWLRWAEQEYSLPCTAEHGFEMNGRALCILTKDDFRHRAPSSGDVLYELLQYIKTQRRALVCGPFFGGIFRLKTPTQHSPVPPEEVTGPSQMDTRRGHLLQPPDPGLTSNFGHLDDPGLARWTPGKEESLNLCHCAELGCRTQGVCSFPAMPQAPIDGRIADCRLLWDYVYQLLLDTRYEPYIKWEDKDAKIFRVVDPNGLARLWGNHKNRVNMTYEKMSRALRHYYKLNIIKKEPGQKLLFRFLKTPGKMVQDKHSHLEPLESQEQDRIEFKDKRPEISP
+>DECOY_sp|Q9Y603|ETV7_HUMAN Transcription factor ETV7 OS=Homo sapiens OX=9606 GN=ETV7 PE=1 SV=1
+PSIEPRKDKFEIRDQEQSELPELHSHKDQVMKGPTKLFRFLLKQGPEKKIINLKYYHRLARSMKEYTMNVRNKHNGWLRALGNPDVVRFIKADKDEWKIYPEYRTDLLLQYVYDWLLRCDAIRGDIPAQPMAPFSCVGQTRCGLEACHCLNLSEEKGPTWRALGPDDLHGFNSTLGPDPPQLLHGRRTDMQSPGTVEEPPVPSHQTPTKLRFIGGFFPGCVLARRQTKIYQLLEYLVDGSSPARHRFDDKTLICLARGNMEFGHEATCPLSYEQEAWRLWHLVDERSWLAPQIRLRGPLKCIGGEGLLNIQAECRAQVHTGLPPMAAVPSIPSIALEGEQM
+>sp|Q9NVM1|EVA1B_HUMAN Protein eva-1 homolog B OS=Homo sapiens OX=9606 GN=EVA1B PE=1 SV=1
+MDAPRRDMELLSNSLAAYAHIRANPESFGLYFVLGVCFGLLLTLCLLVISISWAPRPRPRGPAQRRDPRSSTLEPEDDDEDEEDTVTRLGPDDTLPGPELSAEPDGPLNVNVFTSAEELERAQRLEERERILREIWRTGQPDLLGTGTLGPSPTATGTLGRMHYY
+>DECOY_sp|Q9NVM1|EVA1B_HUMAN Protein eva-1 homolog B OS=Homo sapiens OX=9606 GN=EVA1B PE=1 SV=1
+YYHMRGLTGTATPSPGLTGTGLLDPQGTRWIERLIREREELRQARELEEASTFVNVNLPGDPEASLEPGPLTDDPGLRTVTDEEDEDDDEPELTSSRPDRRQAPGRPRPRPAWSISIVLLCLTLLLGFCVGLVFYLGFSEPNARIHAYAALSNSLLEMDRRPADM
+>sp|P57679|EVC_HUMAN Ellis-van Creveld syndrome protein OS=Homo sapiens OX=9606 GN=EVC PE=1 SV=1
+MARGGAACKSDARLLLGRDALRPAPALLAPAVLLGAALGLGLGLWLGCRAGRQRTRHQKDDTQNLLKNLESNAQTPSETGSPSRRRKREVQMSKDKEAVDECEPPSNSNITAFALKAKVIYPINQKFRPLADGSSNPSLHENLKQAVLPHQPVEASPSSSLGSLSQGEKDDCSSSSSVHSATSDDRFLSRTFLRVNAFPEVLACESVDVDLCIYSLHLKDLLHLDTALRQEKHMMFIQIFKMCLLDLLPKKKSDDELYQKILSKQEKDLEELEKGLQVKLSNTEMSGAGDSEYITLADVEKKEREYSEQLIDNMEAFWKQMANIQHFLVDQFKCSSSKARQLMMTLTERMIAAEGLLCDSQELQALDALERTMGRAHMAKVIEFLKLQVQEETRCRLAAISHGLELLAGEGKLSGRQKEELLTQQHKAFWQEAERFSREFVQRGKDLVTASLAHQVEGTAKLTLAQEEEQRSFLAEAQPTADPEKFLEAFHEVLERQRLMQCDLEEEENVRATEAVVALCQELYFSTVDTFQKFVDALFLQTLPGMTGLPPEECDYLRQEVQENAAWQLGKSNRFRRQQWKLFQELLEQDQQVWMEECALSSVLQTHLREDHEGTIRGVLGRLGGLTEESTRCVLQGHDLLLRSALRRLALRGNALATLTQMRLSGKKHLLQELREQRALEQGSSQCLDEHQWQLLRALEARVLEEASRLEEEAQQTRLQLQQRLLAEAQEVGQLLQQHMECAIGQALLVHARNAATKSRAKDRDDFKRTLMEAAVESVYVTSAGVSRLVQAYYQQIGRIMEDHEERKLQHLKTLQGERMENYKLRKKQELSNPSSGSRTAGGAHETSQAVHQRMLSQQKRFLAQFPVHQQMRLHAQQQQAGVMDLLEAQLETQLQEAEQNFISELAALARVPLAESKLLPAKRGLLEKPLRTKRKKPLPQERGDLGVPNNEDLASGDQTSGSLSSKRLSQQESEAGDSGNSKKMLKRRSNL
+>DECOY_sp|P57679|EVC_HUMAN Ellis-van Creveld syndrome protein OS=Homo sapiens OX=9606 GN=EVC PE=1 SV=1
+LNSRRKLMKKSNGSDGAESEQQSLRKSSLSGSTQDGSALDENNPVGLDGREQPLPKKRKTRLPKELLGRKAPLLKSEALPVRALAALESIFNQEAEQLQTELQAELLDMVGAQQQQAHLRMQQHVPFQALFRKQQSLMRQHVAQSTEHAGGATRSGSSPNSLEQKKRLKYNEMREGQLTKLHQLKREEHDEMIRGIQQYYAQVLRSVGASTVYVSEVAAEMLTRKFDDRDKARSKTAANRAHVLLAQGIACEMHQQLLQGVEQAEALLRQQLQLRTQQAEEELRSAEELVRAELARLLQWQHEDLCQSSGQELARQERLEQLLHKKGSLRMQTLTALANGRLALRRLASRLLLDHGQLVCRTSEETLGGLRGLVGRITGEHDERLHTQLVSSLACEEMWVQQDQELLEQFLKWQQRRFRNSKGLQWAANEQVEQRLYDCEEPPLGTMGPLTQLFLADVFKQFTDVTSFYLEQCLAVVAETARVNEEEELDCQMLRQRELVEHFAELFKEPDATPQAEALFSRQEEEQALTLKATGEVQHALSATVLDKGRQVFERSFREAEQWFAKHQQTLLEEKQRGSLKGEGALLELGHSIAALRCRTEEQVQLKLFEIVKAMHARGMTRELADLAQLEQSDCLLGEAAIMRETLTMMLQRAKSSSCKFQDVLFHQINAMQKWFAEMNDILQESYEREKKEVDALTIYESDGAGSMETNSLKVQLGKELEELDKEQKSLIKQYLEDDSKKKPLLDLLCMKFIQIFMMHKEQRLATDLHLLDKLHLSYICLDVDVSECALVEPFANVRLFTRSLFRDDSTASHVSSSSSCDDKEGQSLSGLSSSPSAEVPQHPLVAQKLNEHLSPNSSGDALPRFKQNIPYIVKAKLAFATINSNSPPECEDVAEKDKSMQVERKRRRSPSGTESPTQANSELNKLLNQTDDKQHRTRQRGARCGLWLGLGLGLAAGLLVAPALLAPAPRLADRGLLLRADSKCAAGGRAM
+>sp|Q9BZE7|EVG1_HUMAN UPF0193 protein EVG1 OS=Homo sapiens OX=9606 GN=C22orf23 PE=1 SV=1
+MASQKQMEVVTKGTGFRRRPKTITYTPGTCELLRVMMKESKLTNIQQRHIMDIMKRGDALPLQCSPTSSQRVLPSKQIASPIYLPPILAARPHLRPANMCQANGAYSREQFKPQATRDLEKEKQRLQNIFATGKDMEERKRKAPPARQKAPAPELDRFEELVKEIQERKEFLADMEALGQGKQYRGIILAEISQKLREMEDIDHRRSEELRKGLATT
+>DECOY_sp|Q9BZE7|EVG1_HUMAN UPF0193 protein EVG1 OS=Homo sapiens OX=9606 GN=C22orf23 PE=1 SV=1
+TTALGKRLEESRRHDIDEMERLKQSIEALIIGRYQKGQGLAEMDALFEKREQIEKVLEEFRDLEPAPAKQRAPPAKRKREEMDKGTAFINQLRQKEKELDRTAQPKFQERSYAGNAQCMNAPRLHPRAALIPPLYIPSAIQKSPLVRQSSTPSCQLPLADGRKMIDMIHRQQINTLKSEKMMVRLLECTGPTYTITKPRRRFGTGKTVVEMQKQSAM
+>sp|O60447|EVI5_HUMAN Ecotropic viral integration site 5 protein homolog OS=Homo sapiens OX=9606 GN=EVI5 PE=1 SV=3
+MVTNKMTAAFRNPSGKQVATDKVAEKLSSTLSWVKNTVSHTVSQMASQVASPSTSLHTTSSSTTLSTPALSPSSPSQLSPDDLELLAKLEEQNRLLETDSKSLRSVNGSRRNSGSSLVSSSSASSNLSHLEEDSWILWGRIVNEWEDVRKKKEKQVKELVHKGIPHHFRAIVWQLLCSAQSMPIKDQYSELLKMTSPCEKLIRRDIARTYPEHNFFKEKDSLGQEVLFNVMKAYSLVDREVGYCQGSAFIVGLLLMQMPEEEAFCVFVKLMQDYRLRELFKPSMAELGLCMYQFECMIQEHLPELFVHFQSQSFHTSMYASSWFLTIFLTTFPLPIATRIFDIFMSEGLEIVFRVGLALLQMNQAELMQLDMEGMLQHFQKVIPHQFDGVPDKLIQAAYQVKYNSKKMKKLEKEYTTIKTKEMEEQVEIKRLRTENRLLKQRIETLEKHKCSSNYNEDFVLQLEKELVQARLSEAESQCALKEMQDKVLDIEKRNNSLPDENNIARLQEELIAVKLREAEAIMGLKELRQQVKDLEEHWQRHLARTTGRWKDPPKKNAMNELQDELMTIRLREAETQAEIREIKQRMMEMETQNQINSNHLRRAEQEVISLQEKVQYLSAQNKGLLTQLSEAKRKQAEIECKNKEEVMAVRLREADSIAAVAELRQHIAELEIQKEEGKLQGQLNKSDSNQYIGELKDQIAELNHELRCLKGQRGFSGQPPFDGIHIVNHLIGDDESFHSSDEDFIDNSLQETGVGFPLHGKSGSMSLDPAVADGSESETEDSVLETRESNQVVQKERPPRRRESYSTTV
+>DECOY_sp|O60447|EVI5_HUMAN Ecotropic viral integration site 5 protein homolog OS=Homo sapiens OX=9606 GN=EVI5 PE=1 SV=3
+VTTSYSERRRPPREKQVVQNSERTELVSDETESESGDAVAPDLSMSGSKGHLPFGVGTEQLSNDIFDEDSSHFSEDDGILHNVIHIGDFPPQGSFGRQGKLCRLEHNLEAIQDKLEGIYQNSDSKNLQGQLKGEEKQIELEAIHQRLEAVAAISDAERLRVAMVEEKNKCEIEAQKRKAESLQTLLGKNQASLYQVKEQLSIVEQEARRLHNSNIQNQTEMEMMRQKIERIEAQTEAERLRITMLEDQLENMANKKPPDKWRGTTRALHRQWHEELDKVQQRLEKLGMIAEAERLKVAILEEQLRAINNEDPLSNNRKEIDLVKDQMEKLACQSEAESLRAQVLEKELQLVFDENYNSSCKHKELTEIRQKLLRNETRLRKIEVQEEMEKTKITTYEKELKKMKKSNYKVQYAAQILKDPVGDFQHPIVKQFHQLMGEMDLQMLEAQNMQLLALGVRFVIELGESMFIDFIRTAIPLPFTTLFITLFWSSAYMSTHFSQSQFHVFLEPLHEQIMCEFQYMCLGLEAMSPKFLERLRYDQMLKVFVCFAEEEPMQMLLLGVIFASGQCYGVERDVLSYAKMVNFLVEQGLSDKEKFFNHEPYTRAIDRRILKECPSTMKLLESYQDKIPMSQASCLLQWVIARFHHPIGKHVLEKVQKEKKKRVDEWENVIRGWLIWSDEELHSLNSSASSSSVLSSGSNRRSGNVSRLSKSDTELLRNQEELKALLELDDPSLQSPSSPSLAPTSLTTSSSTTHLSTSPSAVQSAMQSVTHSVTNKVWSLTSSLKEAVKDTAVQKGSPNRFAATMKNTVM
+>sp|Q92817|EVPL_HUMAN Envoplakin OS=Homo sapiens OX=9606 GN=EVPL PE=1 SV=3
+MFKGLSKGSQGKGSPKGSPAKGSPKGSPSRHSRAATQELALLISRMQANADQVERDILETQKRLQQDRLNSEQSQALQHQQETGRSLKEAEVLLKDLFLDVDKARRLKHPQAEEIEKDIKQLHERVTQECAEYRALYEKMVLPPDVGPRVDWARVLEQKQKQVCAGQYGPGMAELEQQIAEHNILQKEIDAYGQQLRSLVGPDAATIRSQYRDLLKAASWRGQSLGSLYTHLQGCTRQLSALAEQQRRILQQDWSDLMADPAGVRREYEHFKQHELLSQEQSVNQLEDDGERMVELRHPAVGPIQAHQEALKMEWQNFLNLCICQETQLQHVEDYRRFQEEADSVSQTLAKLNSNLDAKYSPAPGGPPGAPTELLQQLEAEEKRLAVTERATGDLQRRSRDVAPLPQRRNPPQQPLHVDSICDWDSGEVQLLQGERYKLVDNTDPHAWVVQGPGGETKRAPAACFCIPAPDPDAVARASRLASELQALKQKLATVQSRLKASAVESLRPSQQAPSGSDLANPQAQKLLTQMTRLDGDLGQIERQVLAWARAPLSRPTPLEDLEGRIHSHEGTAQRLQSLGTEKETAQKECEAFLSTRPVGPAALQLPVALNSVKNKFSDVQVLCSLYGEKAKAALDLERQIQDADRVIRGFEATLVQEAPIPAEPGALQERVSELQRQRRELLEQQTCVLRLHRALKASEHACAALQNNFQEFCQDLPRQQRQVRALTDRYHAVGDQLDLREKVVQDAALTYQQFKNCKDNLSSWLEHLPRSQVRPSDGPSQIAYKLQAQKRLTQEIQSRERDRATASHLSQALQAALQDYELQADTYRCSLEPTLAVSAPKRPRVAPLQESIQAQEKNLAKAYTEVAAAQQQLLQQLEFARKMLEKKELSEDIRRTHDAKQGSESPAQAGRESEALKAQLEEERKRVARVQHELEAQRSQLLQLRTQRPLERLEEKEVVEFYRDPQLEGSLSRVKAQVEEEGKRRAGLQADLEVAAQKVVQLESKRKTMQPHLLTKEVTQVERDPGLDSQAAQLRIQIQQLRGEDAVISARLEGLKKELLALEKREVDVKEKVVVKEVVKVEKNLEMVKAAQALRLQMEEDAARRKQAEEAVAKLQARIEDLERAISSVEPKVIVKEVKKVEQDPGLLQESSRLRSLLEEERTKNATLARELSDLHSKYSVVEKQRPKVQLQERVHEIFQVDPETEQEITRLKAKLQEMAGKRSGVEKEVEKLLPDLEVLRAQKPTVEYKEVTQEVVRHERSPEVLREIDRLKAQLNELVNSHGRSQEQLIRLQGERDEWRRERAKVETKTVSKEVVRHEKDPVLEKEAERLRQEVREAAQKRRAAEDAVYELQSKRLLLERRKPEEKVVVQEVVVTQKDPKLREEHSRLSGSLDEEVGRRRQLELEVQQLRAGVEEQEGLLSFQEDRSKKLAVERELRQLTLRIQELEKRPPTVQEKIIMEEVVKLEKDPDLEKSTEALRWDLDQEKTQVTELNRECKNLQVQIDVLQKAKSQEKTIYKEVIRVQKDRVLEDERARVWEMLNRERTARQAREEEARRLRERIDRAETLGRTWSREESELQRARDQADQECGRLQQELRALERQKQQQTLQLQEESKLLSQKTESERQKAAQRGQELSRLEAAILREKDQIYEKERTLRDLHAKVSREELSQETQTRETNLSTKISILEPETGKDMSPYEAYKRGIIDRGQYLQLQELECDWEEVTTSGPCGEESVLLDRKSGKQYSIEAALRCRRISKEEYHLYKDGHLPISEFALLVAGETKPSSSLSIGSIISKSPLASPAPQSTSFFSPSFSLGLGDDSFPIAGIYDTTTDNKCSIKTAVAKNMLDPITGQKLLEAQAATGGIVDLLSRERYSVHKAMERGLIENTSTQRLLNAQKAFTGIEDPVTKKRLSVGEAVQKGWMPRESVLPHLQVQHLTGGLIDPKRTGRIPIQQALLSGMISEELAQLLQDESSYEKDLTDPISKERLSYKEAMGRCRKDPLSGLLLLPAALEGYRCYRSASPTVPRSLR
+>DECOY_sp|Q92817|EVPL_HUMAN Envoplakin OS=Homo sapiens OX=9606 GN=EVPL PE=1 SV=3
+RLSRPVTPSASRYCRYGELAAPLLLLGSLPDKRCRGMAEKYSLREKSIPDTLDKEYSSEDQLLQALEESIMGSLLAQQIPIRGTRKPDILGGTLHQVQLHPLVSERPMWGKQVAEGVSLRKKTVPDEIGTFAKQANLLRQTSTNEILGREMAKHVSYRERSLLDVIGGTAAQAELLKQGTIPDLMNKAVATKISCKNDTTTDYIGAIPFSDDGLGLSFSPSFFSTSQPAPSALPSKSIISGISLSSSPKTEGAVLLAFESIPLHGDKYLHYEEKSIRRCRLAAEISYQKGSKRDLLVSEEGCPGSTTVEEWDCELEQLQLYQGRDIIGRKYAEYPSMDKGTEPELISIKTSLNTERTQTEQSLEERSVKAHLDRLTREKEYIQDKERLIAAELRSLEQGRQAAKQRESETKQSLLKSEEQLQLTQQQKQRELARLEQQLRGCEQDAQDRARQLESEERSWTRGLTEARDIRERLRRAEEERAQRATRERNLMEWVRAREDELVRDKQVRIVEKYITKEQSKAKQLVDIQVQLNKCERNLETVQTKEQDLDWRLAETSKELDPDKELKVVEEMIIKEQVTPPRKELEQIRLTLQRLEREVALKKSRDEQFSLLGEQEEVGARLQQVELELQRRRGVEEDLSGSLRSHEERLKPDKQTVVVEQVVVKEEPKRRELLLRKSQLEYVADEAARRKQAAERVEQRLREAEKELVPDKEHRVVEKSVTKTEVKARERRWEDREGQLRILQEQSRGHSNVLENLQAKLRDIERLVEPSREHRVVEQTVEKYEVTPKQARLVELDPLLKEVEKEVGSRKGAMEQLKAKLRTIEQETEPDVQFIEHVREQLQVKPRQKEVVSYKSHLDSLERALTANKTREEELLSRLRSSEQLLGPDQEVKKVEKVIVKPEVSSIARELDEIRAQLKAVAEEAQKRRAADEEMQLRLAQAAKVMELNKEVKVVEKVVVKEKVDVERKELALLEKKLGELRASIVADEGRLQQIQIRLQAAQSDLGPDREVQTVEKTLLHPQMTKRKSELQVVKQAAVELDAQLGARRKGEEEVQAKVRSLSGELQPDRYFEVVEKEELRELPRQTRLQLLQSRQAELEHQVRAVRKREEELQAKLAESERGAQAPSESGQKADHTRRIDESLEKKELMKRAFELQQLLQQQAAAVETYAKALNKEQAQISEQLPAVRPRKPASVALTPELSCRYTDAQLEYDQLAAQLAQSLHSATARDRERSQIEQTLRKQAQLKYAIQSPGDSPRVQSRPLHELWSSLNDKCNKFQQYTLAADQVVKERLDLQDGVAHYRDTLARVQRQQRPLDQCFEQFNNQLAACAHESAKLARHLRLVCTQQELLERRQRQLESVREQLAGPEAPIPAEQVLTAEFGRIVRDADQIQRELDLAAKAKEGYLSCLVQVDSFKNKVSNLAVPLQLAAPGVPRTSLFAECEKQATEKETGLSQLRQATGEHSHIRGELDELPTPRSLPARAWALVQREIQGLDGDLRTMQTLLKQAQPNALDSGSPAQQSPRLSEVASAKLRSQVTALKQKLAQLESALRSARAVADPDPAPICFCAAPARKTEGGPGQVVWAHPDTNDVLKYREGQLLQVEGSDWDCISDVHLPQQPPNRRQPLPAVDRSRRQLDGTARETVALRKEEAELQQLLETPAGPPGGPAPSYKADLNSNLKALTQSVSDAEEQFRRYDEVHQLQTEQCICLNLFNQWEMKLAEQHAQIPGVAPHRLEVMREGDDELQNVSQEQSLLEHQKFHEYERRVGAPDAMLDSWDQQLIRRQQEALASLQRTCGQLHTYLSGLSQGRWSAAKLLDRYQSRITAADPGVLSRLQQGYADIEKQLINHEAIQQELEAMGPGYQGACVQKQKQELVRAWDVRPGVDPPLVMKEYLARYEACEQTVREHLQKIDKEIEEAQPHKLRRAKDVDLFLDKLLVEAEKLSRGTEQQHQLAQSQESNLRDQQLRKQTELIDREVQDANAQMRSILLALEQTAARSHRSPSGKPSGKAPSGKPSGKGQSGKSLGKFM
+>sp|P49640|EVX1_HUMAN Homeobox even-skipped homolog protein 1 OS=Homo sapiens OX=9606 GN=EVX1 PE=2 SV=1
+MESRKDMVVFLDGGQLGTLVGKRVSNLSEAVGSPLPEPPEKMVPRGCLSPRAVPPATRERGGGGPEEEPVDGLAGSAAGPGAEPQVAGAAMLGPGPPAPSVDSLSGQGQPSSSDTESDFYEEIEVSCTPDCATGNAEYQHSKGSGSEALVGSPNGGSETPKSNGGSGGGGSQGTLACSASDQMRRYRTAFTREQIARLEKEFYRENYVSRPRRCELAAALNLPETTIKVWFQNRRMKDKRQRLAMTWPHPADPAFYTYMMSHAAAAGGLPYPFPSHLPLPYYSPVGLGAASAASAAASPFSGSLRPLDTFRVLSQPYPRPELLCAFRHPPLYPGPAHGLGASAGGPCSCLACHSGPANGLAPRAAAASDFTCASTSRSDSFLTFAPSVLSKASSVALDQREEVPLTR
+>DECOY_sp|P49640|EVX1_HUMAN Homeobox even-skipped homolog protein 1 OS=Homo sapiens OX=9606 GN=EVX1 PE=2 SV=1
+RTLPVEERQDLAVSSAKSLVSPAFTLFSDSRSTSACTFDSAAAARPALGNAPGSHCALCSCPGGASAGLGHAPGPYLPPHRFACLLEPRPYPQSLVRFTDLPRLSGSFPSAAASAASAAGLGVPSYYPLPLHSPFPYPLGGAAAAHSMMYTYFAPDAPHPWTMALRQRKDKMRRNQFWVKITTEPLNLAAALECRRPRSVYNERYFEKELRAIQERTFATRYRRMQDSASCALTGQSGGGGSGGNSKPTESGGNPSGVLAESGSGKSHQYEANGTACDPTCSVEIEEYFDSETDSSSPQGQGSLSDVSPAPPGPGLMAAGAVQPEAGPGAASGALGDVPEEEPGGGGRERTAPPVARPSLCGRPVMKEPPEPLPSGVAESLNSVRKGVLTGLQGGDLFVVMDKRSEM
+>sp|Q01844|EWS_HUMAN RNA-binding protein EWS OS=Homo sapiens OX=9606 GN=EWSR1 PE=1 SV=1
+MASTDYSTYSQAAAQQGYSAYTAQPTQGYAQTTQAYGQQSYGTYGQPTDVSYTQAQTTATYGQTAYATSYGQPPTGYTTPTAPQAYSQPVQGYGTGAYDTTTATVTTTQASYAAQSAYGTQPAYPAYGQQPAATAPTRPQDGNKPTETSQPQSSTGGYNQPSLGYGQSNYSYPQVPGSYPMQPVTAPPSYPPTSYSSTQPTSYDQSSYSQQNTYGQPSSYGQQSSYGQQSSYGQQPPTSYPPQTGSYSQAPSQYSQQSSSYGQQSSFRQDHPSSMGVYGQESGGFSGPGENRSMSGPDNRGRGRGGFDRGGMSRGGRGGGRGGMGSAGERGGFNKPGGPMDEGPDLDLGPPVDPDEDSDNSAIYVQGLNDSVTLDDLADFFKQCGVVKMNKRTGQPMIHIYLDKETGKPKGDATVSYEDPPTAKAAVEWFDGKDFQGSKLKVSLARKKPPMNSMRGGLPPREGRGMPPPLRGGPGGPGGPGGPMGRMGGRGGDRGGFPPRGPRGSRGNPSGGGNVQHRAGDWQCPNPGCGNQNFAWRTECNQCKAPKPEGFLPPPFPPPGGDRGRGGPGGMRGGRGGLMDRGGPGGMFRGGRGGDRGGFRGGRGMDRGGFGGGRRGGPGGPPGPLMEQMGGRRGGRGGPGKMDKGEHRQERRDRPY
+>DECOY_sp|Q01844|EWS_HUMAN RNA-binding protein EWS OS=Homo sapiens OX=9606 GN=EWSR1 PE=1 SV=1
+YPRDRREQRHEGKDMKGPGGRGGRRGGMQEMLPGPPGGPGGRRGGGFGGRDMGRGGRFGGRDGGRGGRFMGGPGGRDMLGGRGGRMGGPGGRGRDGGPPPFPPPLFGEPKPAKCQNCETRWAFNQNGCGPNPCQWDGARHQVNGGGSPNGRSGRPGRPPFGGRDGGRGGMRGMPGGPGGPGGPGGRLPPPMGRGERPPLGGRMSNMPPKKRALSVKLKSGQFDKGDFWEVAAKATPPDEYSVTADGKPKGTEKDLYIHIMPQGTRKNMKVVGCQKFFDALDDLTVSDNLGQVYIASNDSDEDPDVPPGLDLDPGEDMPGGPKNFGGREGASGMGGRGGGRGGRSMGGRDFGGRGRGRNDPGSMSRNEGPGSFGGSEQGYVGMSSPHDQRFSSQQGYSSSQQSYQSPAQSYSGTQPPYSTPPQQGYSSQQGYSSQQGYSSPQGYTNQQSYSSQDYSTPQTSSYSTPPYSPPATVPQMPYSGPVQPYSYNSQGYGLSPQNYGGTSSQPQSTETPKNGDQPRTPATAAPQQGYAPYAPQTGYASQAAYSAQTTTVTATTTDYAGTGYGQVPQSYAQPATPTTYGTPPQGYSTAYATQGYTATTQAQTYSVDTPQGYTGYSQQGYAQTTQAYGQTPQATYASYGQQAAAQSYTSYDTSAM
+>sp|Q17RC7|EX3L4_HUMAN Exocyst complex component 3-like protein 4 OS=Homo sapiens OX=9606 GN=EXOC3L4 PE=1 SV=2
+MPSPQTDTPGPELQSPKEAEEPQTPAQGSRRTSSRKEPNAHRKDGTRLGLGSLRQAFSRASQRALTQVSKEDTGLFRRSSCSLFRSFRQALNDGPATGHSQATPEVPSGVMNGVSQQASTGAASEELKPEAEGKSVADLITERQLLAAFEQLLRLETLLVAEKASRTFEQDPTAFARRAMDVCLLYDGLAAEIGAIVRETLDSDGVDAAALAELARVVSAEEEAHPSPPDDGDFLRTPRRWRQHWEEAVRRSAQERVRRPGAGWAFGEAEGASGLAQLLAELGGLVRRDLQKVRQEVQPAYAAAGFPAWEVYLRAFHSAVAQRLQELARDARGCEQLYILLDWAANVYGSPDFLGAPGLALPAEPLPPLLAPDVWARLESDYTSFLEAKIASCFDSILQLEQSHWAAAEVPEVLQGLYQAPLSMDVHMLVAEHVKAAGAISAELEATTLRICTRALGLFVPRFEKAFLASEAVSEPHLGAYINACEELRTSLLSRFPGTQEELEKPLVTATCSFQKHLLQGLQRELQPLFRVVCTRDWLTQDWLHPLMDKVVTFAGHLQRVARPRAQETLQEVHRFVVREYLARALRPRERFRGMERMHGSQKMSLDAQAISDTFQGLGSEATWLDQAIQCVAEILGETYKDDIQRHLETLIRSYPDIRRDHILAILALRRLGRQRNQHLLQHTQDLLRAAAGAAGAEAPRGRVLFEEIKVPSAMAVLITCV
+>DECOY_sp|Q17RC7|EX3L4_HUMAN Exocyst complex component 3-like protein 4 OS=Homo sapiens OX=9606 GN=EXOC3L4 PE=1 SV=2
+VCTILVAMASPVKIEEFLVRGRPAEAGAAGAAARLLDQTHQLLHQNRQRGLRRLALIALIHDRRIDPYSRILTELHRQIDDKYTEGLIEAVCQIAQDLWTAESGLGQFTDSIAQADLSMKQSGHMREMGRFRERPRLARALYERVVFRHVEQLTEQARPRAVRQLHGAFTVVKDMLPHLWDQTLWDRTCVVRFLPQLERQLGQLLHKQFSCTATVLPKELEEQTGPFRSLLSTRLEECANIYAGLHPESVAESALFAKEFRPVFLGLARTCIRLTTAELEASIAGAAKVHEAVLMHVDMSLPAQYLGQLVEPVEAAAWHSQELQLISDFCSAIKAELFSTYDSELRAWVDPALLPPLPEAPLALGPAGLFDPSGYVNAAWDLLIYLQECGRADRALEQLRQAVASHFARLYVEWAPFGAAAYAPQVEQRVKQLDRRVLGGLEALLQALGSAGEAEGFAWGAGPRRVREQASRRVAEEWHQRWRRPTRLFDGDDPPSPHAEEEASVVRALEALAAADVGDSDLTERVIAGIEAALGDYLLCVDMARRAFATPDQEFTRSAKEAVLLTELRLLQEFAALLQRETILDAVSKGEAEPKLEESAAGTSAQQSVGNMVGSPVEPTAQSHGTAPGDNLAQRFSRFLSCSSRRFLGTDEKSVQTLARQSARSFAQRLSGLGLRTGDKRHANPEKRSSTRRSGQAPTQPEEAEKPSQLEPGPTDTQPSPM
+>sp|Q9Y2D4|EXC6B_HUMAN Exocyst complex component 6B OS=Homo sapiens OX=9606 GN=EXOC6B PE=1 SV=3
+MERGKMAEAESLETAAEHERILREIESTDTACIGPTLRSVYDGEEHGRFMEKLETRIRNHDREIEKMCNFHYQGFVDSITELLKVRGEAQKLKNQVTDTNRKLQHEGKELVIAMEELKQCRLQQRNISATVDKLMLCLPVLEMYSKLRDQMKTKRHYPALKTLEHLEHTYLPQVSHYRFCKVMVDNIPKLREEIKDVSMSDLKDFLESIRKHSDKIGETAMKQAQQQRNLDNIVLQQPRIGSKRKSKKDAYIIFDTEIESTSPKSEQDSGILDVEDEEDDEEVPGAQDLVDFSPVYRCLHIYSVLGARETFENYYRKQRRKQARLVLQPPSNMHETLDGYRKYFNQIVGFFVVEDHILHTTQGLVNRAYIDELWEMALSKTIAALRTHSSYCSDPNLVLDLKNLIVLFADTLQVYGFPVNQLFDMLLEIRDQYSETLLKKWAGIFRNILDSDNYSPIPVTSEEMYKKVVGQFPFQDIELEKQPFPKKFPFSEFVPKVYNQIKEFIYACLKFSEDLHLSSTEVDDMIRKSTNLLLTRTLSNSLQNVIKRKNIGLTELVQIIINTTHLEKSCKYLEEFITNITNVLPETVHTTKLYGTTTFKDARHAAEEEIYTNLNQKIDQFLQLADYDWMTGDLGNKASDYLVDLIAFLRSTFAVFTHLPGKVAQTACMSACKHLATSLMQLLLEAEVRQLTLGALQQFNLDVRECEQFARSGPVPGFQEDTLQLAFIDLRQLLDLFIQWDWSTYLADYGQPNCKYLRVNPVTALTLLEKMKDTSRKNNMFAQFRKNERDKQKLIDTVAKQLRGLISSHHS
+>DECOY_sp|Q9Y2D4|EXC6B_HUMAN Exocyst complex component 6B OS=Homo sapiens OX=9606 GN=EXOC6B PE=1 SV=3
+SHHSSILGRLQKAVTDILKQKDRENKRFQAFMNNKRSTDKMKELLTLATVPNVRLYKCNPQGYDALYTSWDWQIFLDLLQRLDIFALQLTDEQFGPVPGSRAFQECERVDLNFQQLAGLTLQRVEAELLLQMLSTALHKCASMCATQAVKGPLHTFVAFTSRLFAILDVLYDSAKNGLDGTMWDYDALQLFQDIKQNLNTYIEEEAAHRADKFTTTGYLKTTHVTEPLVNTINTIFEELYKCSKELHTTNIIIQVLETLGINKRKIVNQLSNSLTRTLLLNTSKRIMDDVETSSLHLDESFKLCAYIFEKIQNYVKPVFESFPFKKPFPQKELEIDQFPFQGVVKKYMEESTVPIPSYNDSDLINRFIGAWKKLLTESYQDRIELLMDFLQNVPFGYVQLTDAFLVILNKLDLVLNPDSCYSSHTRLAAITKSLAMEWLEDIYARNVLGQTTHLIHDEVVFFGVIQNFYKRYGDLTEHMNSPPQLVLRAQKRRQKRYYNEFTERAGLVSYIHLCRYVPSFDVLDQAGPVEEDDEEDEVDLIGSDQESKPSTSEIETDFIIYADKKSKRKSGIRPQQLVINDLNRQQQAQKMATEGIKDSHKRISELFDKLDSMSVDKIEERLKPINDVMVKCFRYHSVQPLYTHELHELTKLAPYHRKTKMQDRLKSYMELVPLCLMLKDVTASINRQQLRCQKLEEMAIVLEKGEHQLKRNTDTVQNKLKQAEGRVKLLETISDVFGQYHFNCMKEIERDHNRIRTELKEMFRGHEEGDYVSRLTPGICATDTSEIERLIREHEAATELSEAEAMKGREM
+>sp|Q9UQ84|EXO1_HUMAN Exonuclease 1 OS=Homo sapiens OX=9606 GN=EXO1 PE=1 SV=2
+MGIQGLLQFIKEASEPIHVRKYKGQVVAVDTYCWLHKGAIACAEKLAKGEPTDRYVGFCMKFVNMLLSHGIKPILVFDGCTLPSKKEVERSRRERRQANLLKGKQLLREGKVSEARECFTRSINITHAMAHKVIKAARSQGVDCLVAPYEADAQLAYLNKAGIVQAIITEDSDLLAFGCKKVILKMDQFGNGLEIDQARLGMCRQLGDVFTEEKFRYMCILSGCDYLSSLRGIGLAKACKVLRLANNPDIVKVIKKIGHYLKMNITVPEDYINGFIRANNTFLYQLVFDPIKRKLIPLNAYEDDVDPETLSYAGQYVDDSIALQIALGNKDINTFEQIDDYNPDTAMPAHSRSHSWDDKTCQKSANVSSIWHRNYSPRPESGTVSDAPQLKENPSTVGVERVISTKGLNLPRKSSIVKRPRSAELSEDDLLSQYSLSFTKKTKKNSSEGNKSLSFSEVFVPDLVNGPTNKKSVSTPPRTRNKFATFLQRKNEESGAVVVPGTRSRFFCSSDSTDCVSNKVSIQPLDETAVTDKENNLHESEYGDQEGKRLVDTDVARNSSDDIPNNHIPGDHIPDKATVFTDEESYSFESSKFTRTISPPTLGTLRSCFSWSGGLGDFSRTPSPSPSTALQQFRRKSDSPTSLPENNMSDVSQLKSEESSDDESHPLREEACSSQSQESGEFSLQSSNASKLSQCSSKDSDSEESDCNIKLLDSQSDQTSKLRLSHFSKKDTPLRNKVPGLYKSSSADSLSTTKIKPLGPARASGLSKKPASIQKRKHHNAENKPGLQIKLNELWKNFGFKKDSEKLPPCKKPLSPVRDNIQLTPEAEEDIFNKPECGRVQRAIFQ
+>DECOY_sp|Q9UQ84|EXO1_HUMAN Exonuclease 1 OS=Homo sapiens OX=9606 GN=EXO1 PE=1 SV=2
+QFIARQVRGCEPKNFIDEEAEPTLQINDRVPSLPKKCPPLKESDKKFGFNKWLENLKIQLGPKNEANHHKRKQISAPKKSLGSARAPGLPKIKTTSLSDASSSKYLGPVKNRLPTDKKSFHSLRLKSTQDSQSDLLKINCDSEESDSDKSSCQSLKSANSSQLSFEGSEQSQSSCAEERLPHSEDDSSEESKLQSVDSMNNEPLSTPSDSKRRFQQLATSPSPSPTRSFDGLGGSWSFCSRLTGLTPPSITRTFKSSEFSYSEEDTFVTAKDPIHDGPIHNNPIDDSSNRAVDTDVLRKGEQDGYESEHLNNEKDTVATEDLPQISVKNSVCDTSDSSCFFRSRTGPVVVAGSEENKRQLFTAFKNRTRPPTSVSKKNTPGNVLDPVFVESFSLSKNGESSNKKTKKTFSLSYQSLLDDESLEASRPRKVISSKRPLNLGKTSIVREVGVTSPNEKLQPADSVTGSEPRPSYNRHWISSVNASKQCTKDDWSHSRSHAPMATDPNYDDIQEFTNIDKNGLAIQLAISDDVYQGAYSLTEPDVDDEYANLPILKRKIPDFVLQYLFTNNARIFGNIYDEPVTINMKLYHGIKKIVKVIDPNNALRLVKCAKALGIGRLSSLYDCGSLICMYRFKEETFVDGLQRCMGLRAQDIELGNGFQDMKLIVKKCGFALLDSDETIIAQVIGAKNLYALQADAEYPAVLCDVGQSRAAKIVKHAMAHTINISRTFCERAESVKGERLLQKGKLLNAQRRERRSREVEKKSPLTCGDFVLIPKIGHSLLMNVFKMCFGVYRDTPEGKALKEACAIAGKHLWCYTDVAVVQGKYKRVHIPESAEKIFQLLGQIGM
+>sp|Q9NV70|EXOC1_HUMAN Exocyst complex component 1 OS=Homo sapiens OX=9606 GN=EXOC1 PE=1 SV=4
+MTAIKHALQRDIFTPNDERLLSIVNVCKAGKKKKNCFLCATVTTERPVQVKVVKVKKSDKGDFYKRQIAWALRDLAVVDAKDAIKENPEFDLHFEKIYKWVASSTAEKNAFISCIWKLNQRYLRKKIDFVNVSSQLLEESVPSGENQSVTGGDEEVVDEYQELNAREEQDIEIMMEGCEYAISNAEAFAEKLSRELQVLDGANIQSIMASEKQVNILMKLLDEALKEVDQIELKLSSYEEMLQSVKEQMDQISESNHLIHLSNTNNVKLLSEIEFLVNHMDLAKGHIKALQEGDLASSRGIEACTNAADALLQCMNVALRPGHDLLLAVKQQQQRFSDLRELFARRLASHLNNVFVQQGHDQSSTLAQHSVELTLPNHHPFHRDLLRYAKLMEWLKSTDYGKYEGLTKNYMDYLSRLYEREIKDFFEVAKIKMTGTTKESKKFATLPRKESAVKQETESLHGSSGKLTGSTSSLNKLSVQSSGNRRSQSSSLLDMGNMSASDLDVADRTKFDKIFEQVLSELEPLCLAEQDFISKFFKLQQHQSMPGTMAEAEDLDGGTLSRQHNCGTPLPVSSEKDMIRQMMIKIFRCIEPELNNLIALGDKIDSFNSLYMLVKMSHHVWTAQNVDPASFLSTTLGNVLVTVKRNFDKCISNQIRQMEEVKISKKSKVGILPFVAEFEEFAGLAESIFKNAERRGDLDKAYTKLIRGVFVNVEKVANESQKTPRDVVMMENFHHIFATLSRLKISCLEAEKKEAKQKYTDHLQSYVIYSLGQPLEKLNHFFEGVEARVAQGIREEEVSYQLAFNKQELRKVIKEYPGKEVKKGLDNLYKKVDKHLCEEENLLQVVWHSMQDEFIRQYKHFEGLIARCYPGSGVTMEFTIQDILDYCSSIAQSH
+>DECOY_sp|Q9NV70|EXOC1_HUMAN Exocyst complex component 1 OS=Homo sapiens OX=9606 GN=EXOC1 PE=1 SV=4
+HSQAISSCYDLIDQITFEMTVGSGPYCRAILGEFHKYQRIFEDQMSHWVVQLLNEEECLHKDVKKYLNDLGKKVEKGPYEKIVKRLEQKNFALQYSVEEERIGQAVRAEVGEFFHNLKELPQGLSYIVYSQLHDTYKQKAEKKEAELCSIKLRSLTAFIHHFNEMMVVDRPTKQSENAVKEVNVFVGRILKTYAKDLDGRREANKFISEALGAFEEFEAVFPLIGVKSKKSIKVEEMQRIQNSICKDFNRKVTVLVNGLTTSLFSAPDVNQATWVHHSMKVLMYLSNFSDIKDGLAILNNLEPEICRFIKIMMQRIMDKESSVPLPTGCNHQRSLTGGDLDEAEAMTGPMSQHQQLKFFKSIFDQEALCLPELESLVQEFIKDFKTRDAVDLDSASMNGMDLLSSSQSRRNGSSQVSLKNLSSTSGTLKGSSGHLSETEQKVASEKRPLTAFKKSEKTTGTMKIKAVEFFDKIEREYLRSLYDMYNKTLGEYKGYDTSKLWEMLKAYRLLDRHFPHHNPLTLEVSHQALTSSQDHGQQVFVNNLHSALRRAFLERLDSFRQQQQKVALLLDHGPRLAVNMCQLLADAANTCAEIGRSSALDGEQLAKIHGKALDMHNVLFEIESLLKVNNTNSLHILHNSESIQDMQEKVSQLMEEYSSLKLEIQDVEKLAEDLLKMLINVQKESAMISQINAGDLVQLERSLKEAFAEANSIAYECGEMMIEIDQEERANLEQYEDVVEEDGGTVSQNEGSPVSEELLQSSVNVFDIKKRLYRQNLKWICSIFANKEATSSAVWKYIKEFHLDFEPNEKIADKADVVALDRLAWAIQRKYFDGKDSKKVKVVKVQVPRETTVTACLFCNKKKKGAKCVNVISLLREDNPTFIDRQLAHKIATM
+>sp|Q5T8I3|F102B_HUMAN Protein FAM102B OS=Homo sapiens OX=9606 GN=FAM102B PE=1 SV=2
+MMKKKKFKFKVDFELEELSSVPFVNGVLFCKMRLLDGGSFTAESSREVVQANCVRWRKKFSFMCKMSASAATGILDPCIYRVSVRKELKGGKAYAKLGFADLNLAEFAGSGNTTRRCLLEGYDTKNTRQDNSILKVLISMQLMSGDPCFKTPPSTSMSIPIAGESESLQEDRKGGETLKVHLGIADLSAKSASVPDELGACGHSRTSSYASQQSKVSGYSTCHSRSSSFSELCHRRNTSVGSTSTGVESILEPCDEIEQKIAEPNLDTADKEDTASEKLSRCPVKQDSVESQLKRVDDTRVDADDIVEKILQSQDFSLDSSAEEEGLRLFVGPGGSTTFGSHHLPNRVGSGAYEQVVIKR
+>DECOY_sp|Q5T8I3|F102B_HUMAN Protein FAM102B OS=Homo sapiens OX=9606 GN=FAM102B PE=1 SV=2
+RKIVVQEYAGSGVRNPLHHSGFTTSGGPGVFLRLGEEEASSDLSFDQSQLIKEVIDDADVRTDDVRKLQSEVSDQKVPCRSLKESATDEKDATDLNPEAIKQEIEDCPELISEVGTSTSGVSTNRRHCLESFSSSRSHCTSYGSVKSQQSAYSSTRSHGCAGLEDPVSASKASLDAIGLHVKLTEGGKRDEQLSESEGAIPISMSTSPPTKFCPDGSMLQMSILVKLISNDQRTNKTDYGELLCRRTTNGSGAFEALNLDAFGLKAYAKGGKLEKRVSVRYICPDLIGTAASASMKCMFSFKKRWRVCNAQVVERSSEATFSGGDLLRMKCFLVGNVFPVSSLEELEFDVKFKFKKKKMM
+>sp|Q5XKR9|F104B_HUMAN Protein FAM104B OS=Homo sapiens OX=9606 GN=FAM104B PE=3 SV=1
+MGGCPVRKRRRNGSKEGNHHSTQPKRNKRNPIFQDSQDTEFSWSDNERSSSRINIPERASGPEGNLNQIVTEPDANFPQFLHEGLSKPVYVINWFMSFGPEIKLNTSQQGRNQAV
+>DECOY_sp|Q5XKR9|F104B_HUMAN Protein FAM104B OS=Homo sapiens OX=9606 GN=FAM104B PE=3 SV=1
+VAQNRGQQSTNLKIEPGFSMFWNIVYVPKSLGEHLFQPFNADPETVIQNLNGEPGSAREPINIRSSSRENDSWSFETDQSDQFIPNRKNRKPQTSHHNGEKSGNRRRKRVPCGGM
+>sp|P50502|F10A1_HUMAN Hsc70-interacting protein OS=Homo sapiens OX=9606 GN=ST13 PE=1 SV=2
+MDPRKVNELRAFVKMCKQDPSVLHTEEMRFLREWVESMGGKVPPATQKAKSEENTKEEKPDSKKVEEDLKADEPSSEESDLEIDKEGVIEPDTDAPQEMGDENAEITEEMMDQANDKKVAAIEALNDGELQKAIDLFTDAIKLNPRLAILYAKRASVFVKLQKPNAAIRDCDRAIEINPDSAQPYKWRGKAHRLLGHWEEAAHDLALACKLDYDEDASAMLKEVQPRAQKIAEHRRKYERKREEREIKERIERVKKAREEHERAQREEEARRQSGAQYGSFPGGFPGGMPGNFPGGMPGMGGGMPGMAGMPGLNEILSDPEVLAAMQDPEVMVAFQDVAQNPANMSKYQSNPKVMNLISKLSAKFGGQA
+>DECOY_sp|P50502|F10A1_HUMAN Hsc70-interacting protein OS=Homo sapiens OX=9606 GN=ST13 PE=1 SV=2
+AQGGFKASLKSILNMVKPNSQYKSMNAPNQAVDQFAVMVEPDQMAALVEPDSLIENLGPMGAMGPMGGGMGPMGGPFNGPMGGPFGGPFSGYQAGSQRRAEEERQAREHEERAKKVREIREKIEREERKREYKRRHEAIKQARPQVEKLMASADEDYDLKCALALDHAAEEWHGLLRHAKGRWKYPQASDPNIEIARDCDRIAANPKQLKVFVSARKAYLIALRPNLKIADTFLDIAKQLEGDNLAEIAAVKKDNAQDMMEETIEANEDGMEQPADTDPEIVGEKDIELDSEESSPEDAKLDEEVKKSDPKEEKTNEESKAKQTAPPVKGGMSEVWERLFRMEETHLVSPDQKCMKVFARLENVKRPDM
+>sp|Q1W6H9|F110C_HUMAN Protein FAM110C OS=Homo sapiens OX=9606 GN=FAM110C PE=1 SV=2
+MRALAALSAPPNERLLPRDPAATRDPDAARPARRSAVERLAADRAKYVRGRPGTGRGVASEGSGPGAIKCPGNDPGPPARAPAPVARRAIARKPLRPDSLIIYRQKCEFVRGSGADGPRASLVKKLFQGPGKDKAPVPRTGDEGKAGNPETVPTTPGPAADPAIPETPAPAARSAAPSSVPAAPPGPEPRVVRRRGLQRSQSDLSSRYSAALAESDTFFQYCGLDPEVVEALGRENFTAGSDCVTLKVRSVSVATSGSGFSRHSGGDDEGLQEEELIEQVPSTTSVIERNARIIKWLYTCKKAKETPSQEQSRTRGSKPSR
+>DECOY_sp|Q1W6H9|F110C_HUMAN Protein FAM110C OS=Homo sapiens OX=9606 GN=FAM110C PE=1 SV=2
+RSPKSGRTRSQEQSPTEKAKKCTYLWKIIRANREIVSTTSPVQEILEEEQLGEDDGGSHRSFGSGSTAVSVSRVKLTVCDSGATFNERGLAEVVEPDLGCYQFFTDSEALAASYRSSLDSQSRQLGRRRVVRPEPGPPAAPVSSPAASRAAPAPTEPIAPDAAPGPTTPVTEPNGAKGEDGTRPVPAKDKGPGQFLKKVLSARPGDAGSGRVFECKQRYIILSDPRLPKRAIARRAVPAPARAPPGPDNGPCKIAGPGSGESAVGRGTGPRGRVYKARDAALREVASRRAPRAADPDRTAAPDRPLLRENPPASLAALARM
+>sp|Q9C073|F117A_HUMAN Protein FAM117A OS=Homo sapiens OX=9606 GN=FAM117A PE=1 SV=1
+MAGAAAGGRGGGAWGPGRGGAGGLRRGCSPPAPAGSPRAGLQPLRATIPFQLQQPHQRRDGGGRAASVPCSVAPEKSVCRPQPLQVRRTFSLDTILSSYLLGQWPRDADGAFTCCTNDKATQTPLSWQELEGERASSCAHKRSASWGSTDHRKEISKLKQQLQRTKLSRSGKEKERGSPLLGDHAVRGALRASPPSFPSGSPVLRLSPCLHRSLEGLNQELEEVFVKEQGEEELLRILDIPDGHRAPAPPQSGSCDHPLLLLEPGNLASSPSMSLASPQPCGLASHEEHRGAAEELASTPNDKASSPGHPAFLEDGSPSPVLAFAASPRPNHSYIFKREPPEGCEKVRVFEEATSPGPDLAFLTSCPDKNKVHFNPTGSAFCPVNLMKPLFPGMGFIFRNCPSNPGSPLPPASPRPPPRKDPEASKASPLPFEPWQRTPPSEEPVLFQSSLMV
+>DECOY_sp|Q9C073|F117A_HUMAN Protein FAM117A OS=Homo sapiens OX=9606 GN=FAM117A PE=1 SV=1
+VMLSSQFLVPEESPPTRQWPEFPLPSAKSAEPDKRPPPRPSAPPLPSGPNSPCNRFIFGMGPFLPKMLNVPCFASGTPNFHVKNKDPCSTLFALDPGPSTAEEFVRVKECGEPPERKFIYSHNPRPSAAFALVPSPSGDELFAPHGPSSAKDNPTSALEEAAGRHEEHSALGCPQPSALSMSPSSALNGPELLLLPHDCSGSQPPAPARHGDPIDLIRLLEEEGQEKVFVEELEQNLGELSRHLCPSLRLVPSGSPFSPPSARLAGRVAHDGLLPSGREKEKGSRSLKTRQLQQKLKSIEKRHDTSGWSASRKHACSSAREGELEQWSLPTQTAKDNTCCTFAGDADRPWQGLLYSSLITDLSFTRRVQLPQPRCVSKEPAVSCPVSAARGGGDRRQHPQQLQFPITARLPQLGARPSGAPAPPSCGRRLGGAGGRGPGWAGGGRGGAAAGAM
+>sp|Q9NWS6|F118A_HUMAN Protein FAM118A OS=Homo sapiens OX=9606 GN=FAM118A PE=1 SV=2
+MDSVEKTTNRSEQKSRKFLKSLIRKQPQELLLVIGTGVSAAVAPGIPALCSWRSCIEAVIEAAEQLEVLHPGDVAEFRRKVTKDRDLLVVAHDLIRKMSPRTGDAKPSFFQDCLMEVFDDLEQHIRSPVVLQSILSLMDRGAMVLTTNYDNLLEAFGRRQNKPMESLDLKDKTKVLEWARGHMKYGVLHIHGLYTDPCGVVLDPSGYKDVTQDAEVMEVLQNLYRTKSFLFVGCGETLRDQIFQALFLYSVPNKVDLEHYMLVLKENEDHFFKHQADMLLHGIKVVSYGDCFDHFPGYVQDLATQICKQQSPDADRVDSTTLLGNACQDCAKRKLEENGIEVSKKRTQSDTDDAGGS
+>DECOY_sp|Q9NWS6|F118A_HUMAN Protein FAM118A OS=Homo sapiens OX=9606 GN=FAM118A PE=1 SV=2
+SGGADDTDSQTRKKSVEIGNEELKRKACDQCANGLLTTSDVRDADPSQQKCIQTALDQVYGPFHDFCDGYSVVKIGHLLMDAQHKFFHDENEKLVLMYHELDVKNPVSYLFLAQFIQDRLTEGCGVFLFSKTRYLNQLVEMVEADQTVDKYGSPDLVVGCPDTYLGHIHLVGYKMHGRAWELVKTKDKLDLSEMPKNQRRGFAELLNDYNTTLVMAGRDMLSLISQLVVPSRIHQELDDFVEMLCDQFFSPKADGTRPSMKRILDHAVVLLDRDKTVKRRFEAVDGPHLVELQEAAEIVAEICSRWSCLAPIGPAVAASVGTGIVLLLEQPQKRILSKLFKRSKQESRNTTKEVSDM
+>sp|Q96EK7|F120B_HUMAN Constitutive coactivator of peroxisome proliferator-activated receptor gamma OS=Homo sapiens OX=9606 GN=FAM120B PE=1 SV=1
+MGVRGLQGFVGSTCPHICTVVNFKELAEHHRSKYPGCTPTIVVDAMCCLRYWYTPESWICGGQWREYFSALRDFVKTFTAAGIKLIFFFDGMVEQDKRDEWVKRRLKNNREISRIFHYIKSHKEQPGRNMFFIPSGLAVFTRFALKTLGQETLCSLQEADYEVASYGLQHNCLGILGEDTDYLIYDTCPYFSISELCLESLDTVMLCREKLCESLGLCVADLPLLACLLGNDIIPEGMFESFRYKCLSSYTSVKENFDKKGNIILAVSDHISKVLYLYQGEKKLEEILPLGPNKALFYKGMASYLLPGQKSPWFFQKPKGVITLDKQVISTSSDAESREEVPMCSDAESRQEVPMCTGPESRREVPVYTDSEPRQEVPMCSDPEPRQEVPTCTGPESRREVPMCSDPEPRQEVPMCTGPEARQEVPMYTDSEPRQEVPMYTDSEPRQEVPMYTGSEPRQEVPMYTGPESRQEVPMYTGPESRQEVLIRTDPESRQEIMCTGHESKQEVPICTDPISKQEDSMCTHAEINQKLPVATDFEFKLEALMCTNPEIKQEDPTNVGPEVKQQVTMVSDTEILKVARTHHVQAESYLVYNIMSSGEIECSNTLEDELDQALPSQAFIYRPIRQRVYSLLLEDCQDVTSTCLAVKEWFVYPGNPLRHPDLVRPLQMTIPGGTPSLKILWLNQEPEIQVRRLDTLLACFNLSSSREELQAVESPFQALCCLLIYLFVQVDTLCLEDLHAFIAQALCLQGKSTSQLVNLQPDYINPRAVQLGSLLVRGLTTLVLVNSACGFPWKTSDFMPWNVFDGKLFHQKYLQSEKGYAVEVLLEQNRSRLTKFHNLKAVVCKACMKENRRITGRAHWGSHHAGRWGRQGSSYHRTGSGYSRSSQGQPWRDQGPGSRQYEHDQWRRY
+>DECOY_sp|Q96EK7|F120B_HUMAN Constitutive coactivator of peroxisome proliferator-activated receptor gamma OS=Homo sapiens OX=9606 GN=FAM120B PE=1 SV=1
+YRRWQDHEYQRSGPGQDRWPQGQSSRSYGSGTRHYSSGQRGWRGAHHSGWHARGTIRRNEKMCAKCVVAKLNHFKTLRSRNQELLVEVAYGKESQLYKQHFLKGDFVNWPMFDSTKWPFGCASNVLVLTTLGRVLLSGLQVARPNIYDPQLNVLQSTSKGQLCLAQAIFAHLDELCLTDVQVFLYILLCCLAQFPSEVAQLEERSSSLNFCALLTDLRRVQIEPEQNLWLIKLSPTGGPITMQLPRVLDPHRLPNGPYVFWEKVALCTSTVDQCDELLLSYVRQRIPRYIFAQSPLAQDLEDELTNSCEIEGSSMINYVLYSEAQVHHTRAVKLIETDSVMTVQQKVEPGVNTPDEQKIEPNTCMLAELKFEFDTAVPLKQNIEAHTCMSDEQKSIPDTCIPVEQKSEHGTCMIEQRSEPDTRILVEQRSEPGTYMPVEQRSEPGTYMPVEQRPESGTYMPVEQRPESDTYMPVEQRPESDTYMPVEQRAEPGTCMPVEQRPEPDSCMPVERRSEPGTCTPVEQRPEPDSCMPVEQRPESDTYVPVERRSEPGTCMPVEQRSEADSCMPVEERSEADSSTSIVQKDLTIVGKPKQFFWPSKQGPLLYSAMGKYFLAKNPGLPLIEELKKEGQYLYLVKSIHDSVALIINGKKDFNEKVSTYSSLCKYRFSEFMGEPIIDNGLLCALLPLDAVCLGLSECLKERCLMVTDLSELCLESISFYPCTDYILYDTDEGLIGLCNHQLGYSAVEYDAEQLSCLTEQGLTKLAFRTFVALGSPIFFMNRGPQEKHSKIYHFIRSIERNNKLRRKVWEDRKDQEVMGDFFFILKIGAATFTKVFDRLASFYERWQGGCIWSEPTYWYRLCCMADVVITPTCGPYKSRHHEALEKFNVVTCIHPCTSGVFGQLGRVGM
+>sp|Q96E09|F122A_HUMAN Protein FAM122A OS=Homo sapiens OX=9606 GN=FAM122A PE=1 SV=1
+MAQEKMELDLELPPGTGGSPAEGGGSGGGGGLRRSNSAPLIHGLSDTSPVFQAEAPSARRNSTTFPSRHGLLLPASPVRMHSSRLHQIKQEEGMDLINRETVHEREVQTAMQISHSWEESFSLSDNDVEKSASPKRIDFIPVSPAPSPTRGIGKQCFSPSLQSFVSSNGLPPSPIPSPTTRFTTRRSQSPINCIRPSVLGPLKRKCEMETEYQPKRFFQGITNMLSSDVAQLSDPGVCVSSDTLDGNSSSAGSSCNSPAKVSTTTDSPVSPAQAASPFIPLDELSSK
+>DECOY_sp|Q96E09|F122A_HUMAN Protein FAM122A OS=Homo sapiens OX=9606 GN=FAM122A PE=1 SV=1
+KSSLEDLPIFPSAAQAPSVPSDTTTSVKAPSNCSSGASSSNGDLTDSSVCVGPDSLQAVDSSLMNTIGQFFRKPQYETEMECKRKLPGLVSPRICNIPSQSRRTTFRTTPSPIPSPPLGNSSVFSQLSPSFCQKGIGRTPSPAPSVPIFDIRKPSASKEVDNDSLSFSEEWSHSIQMATQVEREHVTERNILDMGEEQKIQHLRSSHMRVPSAPLLLGHRSPFTTSNRRASPAEAQFVPSTDSLGHILPASNSRRLGGGGGSGGGEAPSGGTGPPLELDLEMKEQAM
+>sp|Q7Z309|F122B_HUMAN Protein FAM122B OS=Homo sapiens OX=9606 GN=FAM122B PE=1 SV=2
+MAQEKMELDLEPDTSYGGTLRRSSSAPLIHGLSDLSQVFQPYTLRTRRNSTTIMSRHSLEEGLDMVNRETAHEREMQTAMQISQSWDESLSLSDSDFDKPEKLYSPKRIDFTPVSPAPSPTRGFGKMFVSSSGLPPSPVPSPRRFSRRSQSPVKCIRPSVLGPLKRKGEMETESQPKRLFQGTTNMLSPDAAQLSDLSSCSDILDGSSSSSGLSSDPLAKGSATAESPVACSNSCSSFILMDDLSPK
+>DECOY_sp|Q7Z309|F122B_HUMAN Protein FAM122B OS=Homo sapiens OX=9606 GN=FAM122B PE=1 SV=2
+KPSLDDMLIFSSCSNSCAVPSEATASGKALPDSSLGSSSSSGDLIDSCSSLDSLQAADPSLMNTTGQFLRKPQSETEMEGKRKLPGLVSPRICKVPSQSRRSFRRPSPVPSPPLGSSSVFMKGFGRTPSPAPSVPTFDIRKPSYLKEPKDFDSDSLSLSEDWSQSIQMATQMEREHATERNVMDLGEELSHRSMITTSNRRTRLTYPQFVQSLDSLGHILPASSSRRLTGGYSTDPELDLEMKEQAM
+>sp|Q8IXS8|F126B_HUMAN Protein FAM126B OS=Homo sapiens OX=9606 GN=FAM126B PE=1 SV=1
+MLGTDRCVVEEWLSEFKALPDTQITSYAATLHRKKTLVPALYKVIQDSNNELLEPVCHQLFELYRSSEVRLKRFTLQFLPELMWVYLRLTVSRDRQSNGCIEALLLGIYNLEIADKDGNNKVLSFTIPSLSKPSIYHEPSTIGSMALTEGALCQHDLIRVVYSDLHPQRETFTAQNRFEVLSFLMLCYNSAIVYMPASSYQSLCRMGSRVCVSGFPRQHEKHWKELCGRIVLDPEFMVQLLTGVYYAMYNGQWDLGQEVLDDIIYRAQLELFSQPLLVANAMKNSLPFDAPDSTQEGQKVLKVEVTPTVPRISRTAITTASIRRHRWRREGAEGVNGGEESVNLNDADEGFSSGASLSSQPIGTKPSSSSQRGSLRKVATGRSAKDKETASAIKSSESPRDSVVRKQYVQQPTDLSVDSVELTPMKKHLSLPAGQVVPKINSLSLIRTASASSSKSFDYVNGSQASTSIGVGTEGGTNLAANNANRYSTVSLQEDRLGQAGEGKELLSPGAPLTKQSRSPSFNMQLISQV
+>DECOY_sp|Q8IXS8|F126B_HUMAN Protein FAM126B OS=Homo sapiens OX=9606 GN=FAM126B PE=1 SV=1
+VQSILQMNFSPSRSQKTLPAGPSLLEKGEGAQGLRDEQLSVTSYRNANNAALNTGGETGVGISTSAQSGNVYDFSKSSSASATRILSLSNIKPVVQGAPLSLHKKMPTLEVSDVSLDTPQQVYQKRVVSDRPSESSKIASATEKDKASRGTAVKRLSGRQSSSSPKTGIPQSSLSAGSSFGEDADNLNVSEEGGNVGEAGERRWRHRRISATTIATRSIRPVTPTVEVKLVKQGEQTSDPADFPLSNKMANAVLLPQSFLELQARYIIDDLVEQGLDWQGNYMAYYVGTLLQVMFEPDLVIRGCLEKWHKEHQRPFGSVCVRSGMRCLSQYSSAPMYVIASNYCLMLFSLVEFRNQATFTERQPHLDSYVVRILDHQCLAGETLAMSGITSPEHYISPKSLSPITFSLVKNNGDKDAIELNYIGLLLAEICGNSQRDRSVTLRLYVWMLEPLFQLTFRKLRVESSRYLEFLQHCVPELLENNSDQIVKYLAPVLTKKRHLTAAYSTIQTDPLAKFESLWEEVVCRDTGLM
+>sp|Q8N9E0|F133A_HUMAN Protein FAM133A OS=Homo sapiens OX=9606 GN=FAM133A PE=1 SV=1
+MGKRDNRVAYMNPIAMARWRGPTQSVGPTIQDYLNRPRPTWEEVKKQLENKKTGSKALAEFEEKMNENWKKELEKSREKLLSGNESSSKKRERKKKRKKKSCRSSSSSSSSDSSSSSSDSEDEEKKQGKRRKKKKNRSYKSSQSSTHESESESKESVKKKKKSKDETEKEKDVRSLSKKRKKSYPDDKPLSSESSSESDYEEDVQAKKKRRCEEREQAKEKVKKKKKKQHKKHSKKKKKKSGSSHKSR
+>DECOY_sp|Q8N9E0|F133A_HUMAN Protein FAM133A OS=Homo sapiens OX=9606 GN=FAM133A PE=1 SV=1
+RSKHSSGSKKKKKKSHKKHQKKKKKKVKEKAQEREECRRKKKAQVDEEYDSESSSESSLPKDDPYSKKRKKSLSRVDKEKETEDKSKKKKKVSEKSESESEHTSSQSSKYSRNKKKKRRKGQKKEEDESDSSSSSSDSSSSSSSSRCSKKKRKKKRERKKSSSENGSLLKERSKELEKKWNENMKEEFEALAKSGTKKNELQKKVEEWTPRPRNLYDQITPGVSQTPGRWRAMAIPNMYAVRNDRKGM
+>sp|P05160|F13B_HUMAN Coagulation factor XIII B chain OS=Homo sapiens OX=9606 GN=F13B PE=1 SV=3
+MRLKNLTFIIILIISGELYAEEKPCGFPHVENGRIAQYYYTFKSFYFPMSIDKKLSFFCLAGYTTESGRQEEQTTCTTEGWSPEPRCFKKCTKPDLSNGYISDVKLLYKIQENMRYGCASGYKTTGGKDEEVVQCLSDGWSSQPTCRKEHETCLAPELYNGNYSTTQKTFKVKDKVQYECATGYYTAGGKKTEEVECLTYGWSLTPKCTKLKCSSLRLIENGYFHPVKQTYEEGDVVQFFCHENYYLSGSDLIQCYNFGWYPESPVCEGRRNRCPPPPLPINSKIQTHSTTYRHGEIVHIECELNFEIHGSAEIRCEDGKWTEPPKCIEGQEKVACEEPPFIENGAANLHSKIYYNGDKVTYACKSGYLLHGSNEITCNRGKWTLPPECVENNENCKHPPVVMNGAVADGILASYATGSSVEYRCNEYYLLRGSKISRCEQGKWSSPPVCLEPCTVNVDYMNRNNIEMKWKYEGKVLHGDLIDFVCKQGYDLSPLTPLSELSVQCNRGEVKYPLCTRKESKGMCTSPPLIKHGVIISSTVDTYENGSSVEYRCFDHHFLEGSREAYCLDGMWTTPPLCLEPCTLSFTEMEKNNLLLKWDFDNRPHILHGEYIEFICRGDTYPAELYITGSILRMQCDRGQLKYPRCIPRQSTLSYQEPLRT
+>DECOY_sp|P05160|F13B_HUMAN Coagulation factor XIII B chain OS=Homo sapiens OX=9606 GN=F13B PE=1 SV=3
+TRLPEQYSLTSQRPICRPYKLQGRDCQMRLISGTIYLEAPYTDGRCIFEIYEGHLIHPRNDFDWKLLLNNKEMETFSLTCPELCLPPTTWMGDLCYAERSGELFHHDFCRYEVSSGNEYTDVTSSIIVGHKILPPSTCMGKSEKRTCLPYKVEGRNCQVSLESLPTLPSLDYGQKCVFDILDGHLVKGEYKWKMEINNRNMYDVNVTCPELCVPPSSWKGQECRSIKSGRLLYYENCRYEVSSGTAYSALIGDAVAGNMVVPPHKCNENNEVCEPPLTWKGRNCTIENSGHLLYGSKCAYTVKDGNYYIKSHLNAAGNEIFPPEECAVKEQGEICKPPETWKGDECRIEASGHIEFNLECEIHVIEGHRYTTSHTQIKSNIPLPPPPCRNRRGECVPSEPYWGFNYCQILDSGSLYYNEHCFFQVVDGEEYTQKVPHFYGNEILRLSSCKLKTCKPTLSWGYTLCEVEETKKGGATYYGTACEYQVKDKVKFTKQTTSYNGNYLEPALCTEHEKRCTPQSSWGDSLCQVVEEDKGGTTKYGSACGYRMNEQIKYLLKVDSIYGNSLDPKTCKKFCRPEPSWGETTCTTQEEQRGSETTYGALCFFSLKKDISMPFYFSKFTYYYQAIRGNEVHPFGCPKEEAYLEGSIILIIIFTLNKLRM
+>sp|A5PLN7|F149A_HUMAN Protein FAM149A OS=Homo sapiens OX=9606 GN=FAM149A PE=2 SV=2
+MKAAVLDLGSLLAKLFETSTAPPAGPSSRPSGGAAAAGSGGSRAGTPLGTAPTLLRALAPDSPSASRRSPAPLLSSPYSRGSAASRAAGAVGTLLSWPSSPRAGKAPPQPPTPSGGGCSPARLVVPARPPSGPGGVWAALPRNPLQPGPGERELGACVAPGAGPRTLFLTLPDIGEEGASDGDSGDGEARGLSEGRRRHGFTVRSKDSLPTHFTRNVQKAIDKYTCKSLSSFSSSGSHTPTGAHTSWSGSATQSSTTGSSTERGSVYSWRDDEFDEASSQSVQRLLWEVEEMLFEGKVNPQTQSLLAECGEWTRRSLHLRVLGRQLILPTDKGVQHFQGSTPASAVHRPPLSACGHSSNIRELCISGSQIVPAALSASALPGPDDTGVADLTARSSLEEEVYHVDGKIEEYFAFDRKEDDDECLEQKPAQPGRKWRKLGLPPVSPRDCVKDAVAAEVFDHVWTNMVELLEELIRKHWETTLTEGKKQRETLKVAGNRFPHVLVPHAHADGASGPPSGHAEAHGISLASRLNPPQIHHFSSSFYSDMNGVMTIQAKPLQRRPAYFADRTQNEKEDKASGGGAGALSSAPHRLGRASDTHGLSPSAKKTPVPWRLPSLASDSQRLKTPNIYSDEVLRGTKLPTGVDHMASPLVQTSRSRFPPLVTETRGQNTAVPGCRLVSYRGRHLQNRVLSAMPDGTERSRLRERTATLERLSRPSTTHTFRQSDTPRKSSLTQMEFAAHTWTGQSILTGSQYVPKSFQRTTLTFKRRFQVTS
+>DECOY_sp|A5PLN7|F149A_HUMAN Protein FAM149A OS=Homo sapiens OX=9606 GN=FAM149A PE=2 SV=2
+STVQFRRKFTLTTRQFSKPVYQSGTLISQGTWTHAAFEMQTLSSKRPTDSQRFTHTTSPRSLRELTATRERLRSRETGDPMASLVRNQLHRGRYSVLRCGPVATNQGRTETVLPPFRSRSTQVLPSAMHDVGTPLKTGRLVEDSYINPTKLRQSDSALSPLRWPVPTKKASPSLGHTDSARGLRHPASSLAGAGGGSAKDEKENQTRDAFYAPRRQLPKAQITMVGNMDSYFSSSFHHIQPPNLRSALSIGHAEAHGSPPGSAGDAHAHPVLVHPFRNGAVKLTERQKKGETLTTEWHKRILEELLEVMNTWVHDFVEAAVADKVCDRPSVPPLGLKRWKRGPQAPKQELCEDDDEKRDFAFYEEIKGDVHYVEEELSSRATLDAVGTDDPGPLASASLAAPVIQSGSICLERINSSHGCASLPPRHVASAPTSGQFHQVGKDTPLILQRGLVRLHLSRRTWEGCEALLSQTQPNVKGEFLMEEVEWLLRQVSQSSAEDFEDDRWSYVSGRETSSGTTSSQTASGSWSTHAGTPTHSGSSSFSSLSKCTYKDIAKQVNRTFHTPLSDKSRVTFGHRRRGESLGRAEGDGSDGDSAGEEGIDPLTLFLTRPGAGPAVCAGLEREGPGPQLPNRPLAAWVGGPGSPPRAPVVLRAPSCGGGSPTPPQPPAKGARPSSPWSLLTGVAGAARSAASGRSYPSSLLPAPSRRSASPSDPALARLLTPATGLPTGARSGGSGAAAAGGSPRSSPGAPPATSTEFLKALLSGLDLVAAKM
+>sp|Q8IYB7|DI3L2_HUMAN DIS3-like exonuclease 2 OS=Homo sapiens OX=9606 GN=DIS3L2 PE=1 SV=4
+MSHPDYRMNLRPLGTPRGVSAVAGPHDIGASPGDKKSKNRSTRGKKKSIFETYMSKEDVSEGLKRGTLIQGVLRINPKKFHEAFIPSPDGDRDIFIDGVVARNRALNGDLVVVKLLPEEHWKVVKPESNDKETEAAYESDIPEELCGHHLPQQSLKSYNDSPDVIVEAQFDGSDSEDGHGITQNVLVDGVKKLSVCVSEKGREDGDAPVTKDETTCISQDTRALSEKSLQRSAKVVYILEKKHSRAATGFLKLLADKNSELFRKYALFSPSDHRVPRIYVPLKDCPQDFVARPKDYANTLFICRIVDWKEDCNFALGQLAKSLGQAGEIEPETEGILTEYGVDFSDFSSEVLECLPQGLPWTIPPEEFSKRRDLRKDCIFTIDPSTARDLDDALSCKPLADGNFKVGVHIADVSYFVPEGSDLDKVAAERATSVYLVQKVVPMLPRLLCEELCSLNPMSDKLTFSVIWTLTPEGKILDEWFGRTIIRSCTKLSYEHAQSMIESPTEKIPAKELPPISPEHSSEEVHQAVLNLHGIAKQLRQQRFVDGALRLDQLKLAFTLDHETGLPQGCHIYEYRESNKLVEEFMLLANMAVAHKIHRAFPEQALLRRHPPPQTRMLSDLVEFCDQMGLPVDFSSAGALNKSLTQTFGDDKYSLARKEVLTNMCSRPMQMALYFCSGLLQDPAQFRHYALNVPLYTHFTSPIRRFADVLVHRLLAAALGYRERLDMAPDTLQKQADHCNDRRMASKRVQELSTSLFFAVLVKESGPLESEAMVMGILKQAFDVLVLRYGVQKRIYCNALALRSHHFQKVGKKPELTLVWEPEDMEQEPAQQVITIFSLVEVVLQAESTALKYSAILKRPGTQGHLGPEKEEEESDGEPEDSSTS
+>DECOY_sp|Q8IYB7|DI3L2_HUMAN DIS3-like exonuclease 2 OS=Homo sapiens OX=9606 GN=DIS3L2 PE=1 SV=4
+STSSDEPEGDSEEEEKEPGLHGQTGPRKLIASYKLATSEAQLVVEVLSFITIVQQAPEQEMDEPEWVLTLEPKKGVKQFHHSRLALANCYIRKQVGYRLVLVDFAQKLIGMVMAESELPGSEKVLVAFFLSTSLEQVRKSAMRRDNCHDAQKQLTDPAMDLRERYGLAAALLRHVLVDAFRRIPSTFHTYLPVNLAYHRFQAPDQLLGSCFYLAMQMPRSCMNTLVEKRALSYKDDGFTQTLSKNLAGASSFDVPLGMQDCFEVLDSLMRTQPPPHRRLLAQEPFARHIKHAVAMNALLMFEEVLKNSERYEYIHCGQPLGTEHDLTFALKLQDLRLAGDVFRQQRLQKAIGHLNLVAQHVEESSHEPSIPPLEKAPIKETPSEIMSQAHEYSLKTCSRIITRGFWEDLIKGEPTLTWIVSFTLKDSMPNLSCLEECLLRPLMPVVKQVLYVSTAREAAVKDLDSGEPVFYSVDAIHVGVKFNGDALPKCSLADDLDRATSPDITFICDKRLDRRKSFEEPPITWPLGQPLCELVESSFDSFDVGYETLIGETEPEIEGAQGLSKALQGLAFNCDEKWDVIRCIFLTNAYDKPRAVFDQPCDKLPVYIRPVRHDSPSFLAYKRFLESNKDALLKLFGTAARSHKKELIYVVKASRQLSKESLARTDQSICTTEDKTVPADGDERGKESVCVSLKKVGDVLVNQTIGHGDESDSGDFQAEVIVDPSDNYSKLSQQPLHHGCLEEPIDSEYAAETEKDNSEPKVVKWHEEPLLKVVVLDGNLARNRAVVGDIFIDRDGDPSPIFAEHFKKPNIRLVGQILTGRKLGESVDEKSMYTEFISKKKGRTSRNKSKKDGPSAGIDHPGAVASVGRPTGLPRLNMRYDPHSM
+>sp|Q9UPY3|DICER_HUMAN Endoribonuclease Dicer OS=Homo sapiens OX=9606 GN=DICER1 PE=1 SV=3
+MKSPALQPLSMAGLQLMTPASSPMGPFFGLPWQQEAIHDNIYTPRKYQVELLEAALDHNTIVCLNTGSGKTFIAVLLTKELSYQIRGDFSRNGKRTVFLVNSANQVAQQVSAVRTHSDLKVGEYSNLEVNASWTKERWNQEFTKHQVLIMTCYVALNVLKNGYLSLSDINLLVFDECHLAILDHPYREIMKLCENCPSCPRILGLTASILNGKCDPEELEEKIQKLEKILKSNAETATDLVVLDRYTSQPCEIVVDCGPFTDRSGLYERLLMELEEALNFINDCNISVHSKERDSTLISKQILSDCRAVLVVLGPWCADKVAGMMVRELQKYIKHEQEELHRKFLLFTDTFLRKIHALCEEHFSPASLDLKFVTPKVIKLLEILRKYKPYERQQFESVEWYNNRNQDNYVSWSDSEDDDEDEEIEEKEKPETNFPSPFTNILCGIIFVERRYTAVVLNRLIKEAGKQDPELAYISSNFITGHGIGKNQPRNKQMEAEFRKQEEVLRKFRAHETNLLIATSIVEEGVDIPKCNLVVRFDLPTEYRSYVQSKGRARAPISNYIMLADTDKIKSFEEDLKTYKAIEKILRNKCSKSVDTGETDIDPVMDDDDVFPPYVLRPDDGGPRVTINTAIGHINRYCARLPSDPFTHLAPKCRTRELPDGTFYSTLYLPINSPLRASIVGPPMSCVRLAERVVALICCEKLHKIGELDDHLMPVGKETVKYEEELDLHDEEETSVPGRPGSTKRRQCYPKAIPECLRDSYPRPDQPCYLYVIGMVLTTPLPDELNFRRRKLYPPEDTTRCFGILTAKPIPQIPHFPVYTRSGEVTISIELKKSGFMLSLQMLELITRLHQYIFSHILRLEKPALEFKPTDADSAYCVLPLNVVNDSSTLDIDFKFMEDIEKSEARIGIPSTKYTKETPFVFKLEDYQDAVIIPRYRNFDQPHRFYVADVYTDLTPLSKFPSPEYETFAEYYKTKYNLDLTNLNQPLLDVDHTSSRLNLLTPRHLNQKGKALPLSSAEKRKAKWESLQNKQILVPELCAIHPIPASLWRKAVCLPSILYRLHCLLTAEELRAQTASDAGVGVRSLPADFRYPNLDFGWKKSIDSKSFISISNSSSAENDNYCKHSTIVPENAAHQGANRTSSLENHDQMSVNCRTLLSESPGKLHVEVSADLTAINGLSYNQNLANGSYDLANRDFCQGNQLNYYKQEIPVQPTTSYSIQNLYSYENQPQPSDECTLLSNKYLDGNANKSTSDGSPVMAVMPGTTDTIQVLKGRMDSEQSPSIGYSSRTLGPNPGLILQALTLSNASDGFNLERLEMLGDSFLKHAITTYLFCTYPDAHEGRLSYMRSKKVSNCNLYRLGKKKGLPSRMVVSIFDPPVNWLPPGYVVNQDKSNTDKWEKDEMTKDCMLANGKLDEDYEEEDEEEESLMWRAPKEEADYEDDFLEYDQEHIRFIDNMLMGSGAFVKKISLSPFSTTDSAYEWKMPKKSSLGSMPFSSDFEDFDYSSWDAMCYLDPSKAVEEDDFVVGFWNPSEENCGVDTGKQSISYDLHTEQCIADKSIADCVEALLGCYLTSCGERAAQLFLCSLGLKVLPVIKRTDREKALCPTRENFNSQQKNLSVSCAAASVASSRSSVLKDSEYGCLKIPPRCMFDHPDADKTLNHLISGFENFEKKINYRFKNKAYLLQAFTHASYHYNTITDCYQRLEFLGDAILDYLITKHLYEDPRQHSPGVLTDLRSALVNNTIFASLAVKYDYHKYFKAVSPELFHVIDDFVQFQLEKNEMQGMDSELRRSEEDEEKEEDIEVPKAMGDIFESLAGAIYMDSGMSLETVWQVYYPMMRPLIEKFSANVPRSPVRELLEMEPETAKFSPAERTYDGKVRVTVEVVGKGKFKGVGRSYRIAKSAAARRALRSLKANQPQVPNS
+>DECOY_sp|Q9UPY3|DICER_HUMAN Endoribonuclease Dicer OS=Homo sapiens OX=9606 GN=DICER1 PE=1 SV=3
+SNPVQPQNAKLSRLARRAAASKAIRYSRGVGKFKGKGVVEVTVRVKGDYTREAPSFKATEPEMELLERVPSRPVNASFKEILPRMMPYYVQWVTELSMGSDMYIAGALSEFIDGMAKPVEIDEEKEEDEESRRLESDMGQMENKELQFQVFDDIVHFLEPSVAKFYKHYDYKVALSAFITNNVLASRLDTLVGPSHQRPDEYLHKTILYDLIADGLFELRQYCDTITNYHYSAHTFAQLLYAKNKFRYNIKKEFNEFGSILHNLTKDADPHDFMCRPPIKLCGYESDKLVSSRSSAVSAAACSVSLNKQQSNFNERTPCLAKERDTRKIVPLVKLGLSCLFLQAAREGCSTLYCGLLAEVCDAISKDAICQETHLDYSISQKGTDVGCNEESPNWFGVVFDDEEVAKSPDLYCMADWSSYDFDEFDSSFPMSGLSSKKPMKWEYASDTTSFPSLSIKKVFAGSGMLMNDIFRIHEQDYELFDDEYDAEEKPARWMLSEEEEDEEEYDEDLKGNALMCDKTMEDKEWKDTNSKDQNVVYGPPLWNVPPDFISVVMRSPLGKKKGLRYLNCNSVKKSRMYSLRGEHADPYTCFLYTTIAHKLFSDGLMELRELNFGDSANSLTLAQLILGPNPGLTRSSYGISPSQESDMRGKLVQITDTTGPMVAMVPSGDSTSKNANGDLYKNSLLTCEDSPQPQNEYSYLNQISYSTTPQVPIEQKYYNLQNGQCFDRNALDYSGNALNQNYSLGNIATLDASVEVHLKGPSESLLTRCNVSMQDHNELSSTRNAGQHAANEPVITSHKCYNDNEASSSNSISIFSKSDISKKWGFDLNPYRFDAPLSRVGVGADSATQARLEEATLLCHLRYLISPLCVAKRWLSAPIPHIACLEPVLIQKNQLSEWKAKRKEASSLPLAKGKQNLHRPTLLNLRSSTHDVDLLPQNLNTLDLNYKTKYYEAFTEYEPSPFKSLPTLDTYVDAVYFRHPQDFNRYRPIIVADQYDELKFVFPTEKTYKTSPIGIRAESKEIDEMFKFDIDLTSSDNVVNLPLVCYASDADTPKFELAPKELRLIHSFIYQHLRTILELMQLSLMFGSKKLEISITVEGSRTYVPFHPIQPIPKATLIGFCRTTDEPPYLKRRRFNLEDPLPTTLVMGIVYLYCPQDPRPYSDRLCEPIAKPYCQRRKTSGPRGPVSTEEEDHLDLEEEYKVTEKGVPMLHDDLEGIKHLKECCILAVVREALRVCSMPPGVISARLPSNIPLYLTSYFTGDPLERTRCKPALHTFPDSPLRACYRNIHGIATNITVRPGGDDPRLVYPPFVDDDDMVPDIDTEGTDVSKSCKNRLIKEIAKYTKLDEEFSKIKDTDALMIYNSIPARARGKSQVYSRYETPLDFRVVLNCKPIDVGEEVISTAILLNTEHARFKRLVEEQKRFEAEMQKNRPQNKGIGHGTIFNSSIYALEPDQKGAEKILRNLVVATYRREVFIIGCLINTFPSPFNTEPKEKEEIEEDEDDDESDSWSVYNDQNRNNYWEVSEFQQREYPKYKRLIELLKIVKPTVFKLDLSAPSFHEECLAHIKRLFTDTFLLFKRHLEEQEHKIYKQLERVMMGAVKDACWPGLVVLVARCDSLIQKSILTSDREKSHVSINCDNIFNLAEELEMLLREYLGSRDTFPGCDVVIECPQSTYRDLVVLDTATEANSKLIKELKQIKEELEEPDCKGNLISATLGLIRPCSPCNECLKMIERYPHDLIALHCEDFVLLNIDSLSLYGNKLVNLAVYCTMILVQHKTFEQNWREKTWSANVELNSYEGVKLDSHTRVASVQQAVQNASNVLFVTRKGNRSFDGRIQYSLEKTLLVAIFTKGSGTNLCVITNHDLAAELLEVQYKRPTYINDHIAEQQWPLGFFPGMPSSAPTMLQLGAMSLPQLAPSKM
+>sp|Q9P265|DIP2B_HUMAN Disco-interacting protein 2 homolog B OS=Homo sapiens OX=9606 GN=DIP2B PE=1 SV=3
+MAERGLEPSPAAVAALPPEVRAQLAELELELSEGDITQKGYEKKRSKLLSPYSPQTQETDSAVQKELRNQTPAPSAAQTSAPSKYHRTRSGGARDERYRSDIHTEAVQAALAKHKEQKMALPMPTKRRSTFVQSPADACTPPDTSSASEDEGSLRRQAALSAALQQSLQNAESWINRSIQGSSTSSSASSTLSHGEVKGTSGSLADVFANTRIENFSAPPDVTTTTSSSSSSSSIRPANIDLPPSGIVKGMHKGSNRSSLMDTADGVPVSSRVSTKIQQLLNTLKRPKRPPLKEFFVDDSEEIVEVPQPDPNQPKPEGRQMTPVKGEPLGVICNWPPALESALQRWGTTQAKCSCLTALDMTGKPVYTLTYGKLWSRSLKLAYTLLNKLGTKNEPVLKPGDRVALVYPNNDPVMFMVAFYGCLLAEVIPVPIEVPLTRKDAGGQQIGFLLGSCGIALALTSEVCLKGLPKTQNGEIVQFKGWPRLKWVVTDSKYLSKPPKDWQPHISPAGTEPAYIEYKTSKEGSVMGVTVSRLAMLSHCQALSQACNYSEGETIVNVLDFKKDAGLWHGMFANVMNKMHTISVPYSVMKTCPLSWVQRVHAHKAKVALVKCRDLHWAMMAHRDQRDVSLSSLRMLIVTDGANPWSVSSCDAFLSLFQSHGLKPEAICPCATSAEAMTVAIRRPGVPGAPLPGRAILSMNGLSYGVIRVNTEDKNSALTVQDVGHVMPGGMMCIVKPDGPPQLCKTDEIGEICVSSRTGGMMYFGLAGVTKNTFEVIPVNSAGSPVGDVPFIRSGLLGFVGPGSLVFVVGKMDGLLMVSGRRHNADDIVATGLAVESIKTVYRGRIAVFSVSVFYDERIVVVAEQRPDASEEDSFQWMSRVLQAIDSIHQVGVYCLALVPANTLPKTPLGGIHISQTKQLFLEGSLHPCNILMCPHTCVTNLPKPRQKQPGVGPASVMVGNLVAGKRIAQAAGRDLGQIEENDLVRKHQFLAEILQWRAQATPDHVLFMLLNAKGTTVCTASCLQLHKRAERIASVLGDKGHLNAGDNVVLLYPPGIELIAAFYGCLYAGCIPVTVRPPHAQNLTATLPTVRMIVDVSKAACILTSQTLMRLLRSREAAAAVDVKTWPTIIDTDDLPRKRLPQLYKPPTPEMLAYLDFSVSTTGMLTGVKMSHSAVNALCRAIKLQCELYSSRQIAICLDPYCGLGFALWCLCSVYSGHQSVLIPPMELENNLFLWLSTVNQYKIRDTFCSYSVMELCTKGLGNQVEVLKTRGINLSCVRTCVVVAEERPRVALQQSFSKLFKDIGLSPRAVSTTFGSRVNVAICLQGTSGPDPTTVYVDLKSLRHDRVRLVERGAPQSLLLSESGKILPGVKVVIVNPETKGPVGDSHLGEIWVNSPHTASGYYTIYDSETLQADHFNTRLSFGDAAQTLWARTGYLGFVRRTELTAATGERHDALYVVGALDETLELRGLRYHPIDIETSVSRIHRSIAECAVFTWTNLLVVVVELCGSEQEALDLVPLVTNVVLEEHYLIVGVVVVVDPGVIPINSRGEKQRMHLRDSFLADQLDPIYVAYNM
+>DECOY_sp|Q9P265|DIP2B_HUMAN Disco-interacting protein 2 homolog B OS=Homo sapiens OX=9606 GN=DIP2B PE=1 SV=3
+MNYAVYIPDLQDALFSDRLHMRQKEGRSNIPIVGPDVVVVVGVILYHEELVVNTVLPVLDLAEQESGCLEVVVVLLNTWTFVACEAISRHIRSVSTEIDIPHYRLGRLELTEDLAGVVYLADHREGTAATLETRRVFGLYGTRAWLTQAADGFSLRTNFHDAQLTESDYITYYGSATHPSNVWIEGLHSDGVPGKTEPNVIVVKVGPLIKGSESLLLSQPAGREVLRVRDHRLSKLDVYVTTPDPGSTGQLCIAVNVRSGFTTSVARPSLGIDKFLKSFSQQLAVRPREEAVVVCTRVCSLNIGRTKLVEVQNGLGKTCLEMVSYSCFTDRIKYQNVTSLWLFLNNELEMPPILVSQHGSYVSCLCWLAFGLGCYPDLCIAIQRSSYLECQLKIARCLANVASHSMKVGTLMGTTSVSFDLYALMEPTPPKYLQPLRKRPLDDTDIITPWTKVDVAAAAERSRLLRMLTQSTLICAAKSVDVIMRVTPLTATLNQAHPPRVTVPICGAYLCGYFAAILEIGPPYLLVVNDGANLHGKDGLVSAIREARKHLQLCSATCVTTGKANLLMFLVHDPTAQARWQLIEALFQHKRVLDNEEIQGLDRGAAQAIRKGAVLNGVMVSAPGVGPQKQRPKPLNTVCTHPCMLINCPHLSGELFLQKTQSIHIGGLPTKPLTNAPVLALCYVGVQHISDIAQLVRSMWQFSDEESADPRQEAVVVIREDYFVSVSFVAIRGRYVTKISEVALGTAVIDDANHRRGSVMLLGDMKGVVFVLSGPGVFGLLGSRIFPVDGVPSGASNVPIVEFTNKTVGALGFYMMGGTRSSVCIEGIEDTKCLQPPGDPKVICMMGGPMVHGVDQVTLASNKDETNVRIVGYSLGNMSLIARGPLPAGPVGPRRIAVTMAEASTACPCIAEPKLGHSQFLSLFADCSSVSWPNAGDTVILMRLSSLSVDRQDRHAMMAWHLDRCKVLAVKAKHAHVRQVWSLPCTKMVSYPVSITHMKNMVNAFMGHWLGADKKFDLVNVITEGESYNCAQSLAQCHSLMALRSVTVGMVSGEKSTKYEIYAPETGAPSIHPQWDKPPKSLYKSDTVVWKLRPWGKFQVIEGNQTKPLGKLCVESTLALAIGCSGLLFGIQQGGADKRTLPVEIPVPIVEALLCGYFAVMFMVPDNNPYVLAVRDGPKLVPENKTGLKNLLTYALKLSRSWLKGYTLTYVPKGTMDLATLCSCKAQTTGWRQLASELAPPWNCIVGLPEGKVPTMQRGEPKPQNPDPQPVEVIEESDDVFFEKLPPRKPRKLTNLLQQIKTSVRSSVPVGDATDMLSSRNSGKHMGKVIGSPPLDINAPRISSSSSSSSTTTTVDPPASFNEIRTNAFVDALSGSTGKVEGHSLTSSASSSTSSGQISRNIWSEANQLSQQLAASLAAQRRLSGEDESASSTDPPTCADAPSQVFTSRRKTPMPLAMKQEKHKALAAQVAETHIDSRYREDRAGGSRTRHYKSPASTQAASPAPTQNRLEKQVASDTEQTQPSYPSLLKSRKKEYGKQTIDGESLELELEALQARVEPPLAAVAAPSPELGREAM
+>sp|Q9P2K9|DISP3_HUMAN Protein dispatched homolog 3 OS=Homo sapiens OX=9606 GN=DISP3 PE=2 SV=2
+MDTEDDPLLQDVWLEEEQEEEEATGETFLGAQKPGPQPGAGGQCCWRHWPLASRPPASGFWSTLGWAFTNPCCAGLVLFLGCSIPMALSAFMFLYYPPLDIDISYNAFEIRNHEASQRFDALTLALKSQFGSWGRNRRDLADFTSETLQRLISEQLQQLHLGNRSRQASRAPRVIPAASLGGPGPYRDTSAAQKPTANRSGRLRRETPPLEDLAANQSEDPRNQRLSKNGRYQPSIPPHAAVAANQSRARRGASRWDYSRAYVSANTQTHAHWRIELIFLARGDAERNIFTSERLVTIHEIERKIMDHPGFREFCWKPHEVLKDLPLGSYSYCSPPSSLMTYFFPTERGGKIYYDGMGQDLADIRGSLELAMTHPEFYWYVDEGLSADNLKSSLLRSEILFGAPLPNYYSVDDRWEEQRAKFQSFVVTYVAMLAKQSTSKVQVLYGGTDLFDYEVRRTFNNDMLLAFISSSCIAALVYILTSCSVFLSFFGIASIGLSCLVALFLYHVVFGIQYLGILNGVAAFVIVGIGVDDVFVFINTYRQATHLEDPQLRMIHTVQTAGKATFFTSLTTAAAYAANVFSQIPAVHDFGLFMSLIVSCCWLAVLVTMPAALGLWSLYLAPLESSCQTSCHQNCSRKTSLHFPGDVFAAPEQVGGSPAQGPIPYLDDDIPLLEVEEEPVSLELGDVSLVSVSPEGLQPASNTGSRGHLIVQLQELLHHWVLWSAVKSRWVIVGLFVSILILSLVFASRLRPASRAPLLFRPDTNIQVLLDLKYNLSAEGISCITCSGLFQEKPHSLQNNIRTSLEKKRRGSGVPWASRPEATLQDFPGTVYISKVKSQGHPAVYRLSLNASLPAPWQAVSPGDGEVPSFQVYRAPFGNFTKKLTACMSTVGLLQAASPSRKWMLTTLACDAKRGWKFDFSFYVATKEQQHTRKLYFAQSHKPPFHGRVCMAPPGCLLSSSPDGPTKGFFFVPSEKVPKARLSATFGFNPCVNTGCGKPAVRPLVDTGAMVFVVFGIIGVNRTRQVDNHVIGDPGSVVYDSSFDLFKEIGHLCHLCKAIAANSELVKPGGAQCLPSGYSISSFLQMLHPECKELPEPNLLPGQLSHGAVGVREGRVQWISMAFESTTYKGKSSFQTYSDYLRWESFLQQQLQALPEGSVLRRGFQTCEHWKQIFMEIVGVQSALCGLVLSLLICVAAVAVFTTHILLLLPVLLSILGIVCLVVTIMYWSGWEMGAVEAISLSILVGSSVDYCVHLVEGYLLAGENLPPHQAEDARTQRQWRTLEAVRHVGVAIVSSALTTVIATVPLFFCIIAPFAKFGKIVALNTGVSILYTLTVSTALLGIMAPSSFTRTRTSFLKALGAVLLAGALGLGACLVLLQSGYKIPLPAGASL
+>DECOY_sp|Q9P2K9|DISP3_HUMAN Protein dispatched homolog 3 OS=Homo sapiens OX=9606 GN=DISP3 PE=2 SV=2
+LSAGAPLPIKYGSQLLVLCAGLGLAGALLVAGLAKLFSTRTRTFSSPAMIGLLATSVTLTYLISVGTNLAVIKGFKAFPAIICFFLPVTAIVTTLASSVIAVGVHRVAELTRWQRQTRADEAQHPPLNEGALLYGEVLHVCYDVSSGVLISLSIAEVAGMEWGSWYMITVVLCVIGLISLLVPLLLLIHTTFVAVAAVCILLSLVLGCLASQVGVIEMFIQKWHECTQFGRRLVSGEPLAQLQQQLFSEWRLYDSYTQFSSKGKYTTSEFAMSIWQVRGERVGVAGHSLQGPLLNPEPLEKCEPHLMQLFSSISYGSPLCQAGGPKVLESNAAIAKCLHCLHGIEKFLDFSSDYVVSGPDGIVHNDVQRTRNVGIIGFVVFVMAGTDVLPRVAPKGCGTNVCPNFGFTASLRAKPVKESPVFFFGKTPGDPSSSLLCGPPAMCVRGHFPPKHSQAFYLKRTHQQEKTAVYFSFDFKWGRKADCALTTLMWKRSPSAAQLLGVTSMCATLKKTFNGFPARYVQFSPVEGDGPSVAQWPAPLSANLSLRYVAPHGQSKVKSIYVTGPFDQLTAEPRSAWPVGSGRRKKELSTRINNQLSHPKEQFLGSCTICSIGEASLNYKLDLLVQINTDPRFLLPARSAPRLRSAFVLSLILISVFLGVIVWRSKVASWLVWHHLLEQLQVILHGRSGTNSAPQLGEPSVSVLSVDGLELSVPEEEVELLPIDDDLYPIPGQAPSGGVQEPAAFVDGPFHLSTKRSCNQHCSTQCSSELPALYLSWLGLAAPMTVLVALWCCSVILSMFLGFDHVAPIQSFVNAAYAAATTLSTFFTAKGATQVTHIMRLQPDELHTAQRYTNIFVFVDDVGIGVIVFAAVGNLIGLYQIGFVVHYLFLAVLCSLGISAIGFFSLFVSCSTLIYVLAAICSSSIFALLMDNNFTRRVEYDFLDTGGYLVQVKSTSQKALMAVYTVVFSQFKARQEEWRDDVSYYNPLPAGFLIESRLLSSKLNDASLGEDVYWYFEPHTMALELSGRIDALDQGMGDYYIKGGRETPFFYTMLSSPPSCYSYSGLPLDKLVEHPKWCFERFGPHDMIKREIEHITVLRESTFINREADGRALFILEIRWHAHTQTNASVYARSYDWRSAGRRARSQNAAVAAHPPISPQYRGNKSLRQNRPDESQNAALDELPPTERRLRGSRNATPKQAASTDRYPGPGGLSAAPIVRPARSAQRSRNGLHLQQLQESILRQLTESTFDALDRRNRGWSGFQSKLALTLADFRQSAEHNRIEFANYSIDIDLPPYYLFMFASLAMPISCGLFLVLGACCPNTFAWGLTSWFGSAPPRSALPWHRWCCQGGAGPQPGPKQAGLFTEGTAEEEEQEEELWVDQLLPDDETDM
+>sp|Q9NXW2|DJB12_HUMAN DnaJ homolog subfamily B member 12 OS=Homo sapiens OX=9606 GN=DNAJB12 PE=1 SV=4
+MESNKDEAERCISIALKAIQSNQPDRALRFLEKAQRLYPTPRVRALIESLNQKPQTAGDQPPPTDTTHATHRKAGGTDAPSANGEAGGESTKGYTAEQVAAVKRVKQCKDYYEILGVSRGASDEDLKKAYRRLALKFHPDKNHAPGATEAFKAIGTAYAVLSNPEKRKQYDQFGDDKSQAARHGHGHGDFHRGFEADISPEDLFNMFFGGGFPSSNVHVYSNGRMRYTYQQRQDRRDNQGDGGLGVFVQLMPILILILVSALSQLMVSSPPYSLSPRPSVGHIHRRVTDHLGVVYYVGDTFSEEYTGSSLKTVERNVEDDYIANLRNNCWKEKQQSEGLLYRARYFGDTDMYHRAQKMGTPSCSRLSEVQASLHG
+>DECOY_sp|Q9NXW2|DJB12_HUMAN DnaJ homolog subfamily B member 12 OS=Homo sapiens OX=9606 GN=DNAJB12 PE=1 SV=4
+GHLSAQVESLRSCSPTGMKQARHYMDTDGFYRARYLLGESQQKEKWCNNRLNAIYDDEVNREVTKLSSGTYEESFTDGVYYVVGLHDTVRRHIHGVSPRPSLSYPPSSVMLQSLASVLILILIPMLQVFVGLGGDGQNDRRDQRQQYTYRMRGNSYVHVNSSPFGGGFFMNFLDEPSIDAEFGRHFDGHGHGHRAAQSKDDGFQDYQKRKEPNSLVAYATGIAKFAETAGPAHNKDPHFKLALRRYAKKLDEDSAGRSVGLIEYYDKCQKVRKVAAVQEATYGKTSEGGAEGNASPADTGGAKRHTAHTTDTPPPQDGATQPKQNLSEILARVRPTPYLRQAKELFRLARDPQNSQIAKLAISICREAEDKNSEM
+>sp|Q8N4W6|DJC22_HUMAN DnaJ homolog subfamily C member 22 OS=Homo sapiens OX=9606 GN=DNAJC22 PE=2 SV=1
+MAKGLLVTYALWAVGGPAGLHHLYLGRDSHALLWMLTLGGGGLGWLWEFWKLPSFVAQANRAQGQRQSPRGVTPPLSPIRFAAQVIVGIYFGLVALISLSSMVNFYIVALPLAVGLGVLLVAAVGNQTSDFKNTLGSAFLTSPIFYGRPIAILPISVAASITAQRHRRYKALVASEPLSVRLYRLGLAYLAFTGPLAYSALCNTAATLSYVAETFGSFLNWFSFFPLLGRLMEFVLLLPYRIWRLLMGETGFNSSCFQEWAKLYEFVHSFQDEKRQLAYQVLGLSEGATNEEIHRSYQELVKVWHPDHNLDQTEEAQRHFLEIQAAYEVLSQPRKPWGSRR
+>DECOY_sp|Q8N4W6|DJC22_HUMAN DnaJ homolog subfamily C member 22 OS=Homo sapiens OX=9606 GN=DNAJC22 PE=2 SV=1
+RRSGWPKRPQSLVEYAAQIELFHRQAEETQDLNHDPHWVKVLEQYSRHIEENTAGESLGLVQYALQRKEDQFSHVFEYLKAWEQFCSSNFGTEGMLLRWIRYPLLLVFEMLRGLLPFFSFWNLFSGFTEAVYSLTAATNCLASYALPGTFALYALGLRYLRVSLPESAVLAKYRRHRQATISAAVSIPLIAIPRGYFIPSTLFASGLTNKFDSTQNGVAAVLLVGLGVALPLAVIYFNVMSSLSILAVLGFYIGVIVQAAFRIPSLPPTVGRPSQRQGQARNAQAVFSPLKWFEWLWGLGGGGLTLMWLLAHSDRGLYLHHLGAPGGVAWLAYTVLLGKAM
+>sp|Q9NX36|DJC28_HUMAN DnaJ homolog subfamily C member 28 OS=Homo sapiens OX=9606 GN=DNAJC28 PE=1 SV=2
+MNTMYVMMAQILRSHLIKATVIPNRVKMLPYFGIIRNRMMSTHKSKKKIREYYRLLNVEEGCSADEVRESFHKLAKQYHPDSGSNTADSATFIRIEKAYRKVLSHVIEQTNASQSKGEEEEDVEKFKYKTPQHRHYLSFEGIGFGTPTQREKHYRQFRADRAAEQVMEYQKQKLQSQYFPDSVIVKNIRQSKQQKITQAIERLVEDLIQESMAKGDFDNLSGKGKPLKKFSDCSYIDPMTHNLNRILIDNGYQPEWILKQKEISDTIEQLREAILVSRKKLGNPMTPTEKKQWNHVCEQFQENIRKLNKRINDFNLIVPILTRQKVHFDAQKEIVRAQKIYETLIKTKEVTDRNPNNLDQGEGEKTPEIKKGFLNWMNLWKFIKIRSF
+>DECOY_sp|Q9NX36|DJC28_HUMAN DnaJ homolog subfamily C member 28 OS=Homo sapiens OX=9606 GN=DNAJC28 PE=1 SV=2
+FSRIKIFKWLNMWNLFGKKIEPTKEGEGQDLNNPNRDTVEKTKILTEYIKQARVIEKQADFHVKQRTLIPVILNFDNIRKNLKRINEQFQECVHNWQKKETPTMPNGLKKRSVLIAERLQEITDSIEKQKLIWEPQYGNDILIRNLNHTMPDIYSCDSFKKLPKGKGSLNDFDGKAMSEQILDEVLREIAQTIKQQKSQRINKVIVSDPFYQSQLKQKQYEMVQEAARDARFQRYHKERQTPTGFGIGEFSLYHRHQPTKYKFKEVDEEEEGKSQSANTQEIVHSLVKRYAKEIRIFTASDATNSGSDPHYQKALKHFSERVEDASCGEEVNLLRYYERIKKKSKHTSMMRNRIIGFYPLMKVRNPIVTAKILHSRLIQAMMVYMTNM
+>sp|Q9NW81|DMAC2_HUMAN Distal membrane-arm assembly complex protein 2 OS=Homo sapiens OX=9606 GN=DMAC2 PE=1 SV=3
+MAAPWASLRLVAPMWNGRIRGIHRLGAAVAPEGNQKKKRTILQFLTNYFYDVEALRDYLLQREMYKVHEKNRSYTWLEKQHGPYGAGAFFILKQGGAVKFRDKEWIRPDKYGHFSQEFWNFCEVPVEAVDAGDCDINYEGLDNLLRLKELQSLSLQRCCHVDDWCLSRLYPLADSLQELSLAGCPRISERGLACLHHLQNLRRLDISDLPAVSNPGLTQILVEEMLPNCEVVGVDWAEGLKSGPEEQPRDTASPVPA
+>DECOY_sp|Q9NW81|DMAC2_HUMAN Distal membrane-arm assembly complex protein 2 OS=Homo sapiens OX=9606 GN=DMAC2 PE=1 SV=3
+APVPSATDRPQEEPGSKLGEAWDVGVVECNPLMEEVLIQTLGPNSVAPLDSIDLRRLNQLHHLCALGRESIRPCGALSLEQLSDALPYLRSLCWDDVHCCRQLSLSQLEKLRLLNDLGEYNIDCDGADVAEVPVECFNWFEQSFHGYKDPRIWEKDRFKVAGGQKLIFFAGAGYPGHQKELWTYSRNKEHVKYMERQLLYDRLAEVDYFYNTLFQLITRKKKQNGEPAVAAGLRHIGRIRGNWMPAVLRLSAWPAAM
+>sp|Q9NPF5|DMAP1_HUMAN DNA methyltransferase 1-associated protein 1 OS=Homo sapiens OX=9606 GN=DMAP1 PE=1 SV=1
+MATGADVRDILELGGPEGDAASGTISKKDIINPDKKKSKKSSETLTFKRPEGMHREVYALLYSDKKDAPPLLPSDTGQGYRTVKAKLGSKKVRPWKWMPFTNPARKDGAMFFHWRRAAEEGKDYPFARFNKTVQVPVYSEQEYQLYLHDDAWTKAETDHLFDLSRRFDLRFVVIHDRYDHQQFKKRSVEDLKERYYHICAKLANVRAVPGTDLKIPVFDAGHERRRKEQLERLYNRTPEQVAEEEYLLQELRKIEARKKEREKRSQDLQKLITAADTTAEQRRTERKAPKKKLPQKKEAEKPAVPETAGIKFPDFKSAGVTLRSQRMKLPSSVGQKKIKALEQMLLELGVELSPTPTEELVHMFNELRSDLVLLYELKQACANCEYELQMLRHRHEALARAGVLGGPATPASGPGPASAEPAVTEPGLGPDPKDTIIDVVGAPLTPNSRKRRESASSSSSVKKAKKP
+>DECOY_sp|Q9NPF5|DMAP1_HUMAN DNA methyltransferase 1-associated protein 1 OS=Homo sapiens OX=9606 GN=DMAP1 PE=1 SV=1
+PKKAKKVSSSSSASERRKRSNPTLPAGVVDIITDKPDPGLGPETVAPEASAPGPGSAPTAPGGLVGARALAEHRHRLMQLEYECNACAQKLEYLLVLDSRLENFMHVLEETPTPSLEVGLELLMQELAKIKKQGVSSPLKMRQSRLTVGASKFDPFKIGATEPVAPKEAEKKQPLKKKPAKRETRRQEATTDAATILKQLDQSRKEREKKRAEIKRLEQLLYEEEAVQEPTRNYLRELQEKRRREHGADFVPIKLDTGPVARVNALKACIHYYREKLDEVSRKKFQQHDYRDHIVVFRLDFRRSLDFLHDTEAKTWADDHLYLQYEQESYVPVQVTKNFRAFPYDKGEEAARRWHFFMAGDKRAPNTFPMWKWPRVKKSGLKAKVTRYGQGTDSPLLPPADKKDSYLLAYVERHMGEPRKFTLTESSKKSKKKDPNIIDKKSITGSAADGEPGGLELIDRVDAGTAM
+>sp|Q6E0U4|DMKN_HUMAN Dermokine OS=Homo sapiens OX=9606 GN=DMKN PE=1 SV=3
+MKFQGPLACLLLALCLGSGEAGPLQSGEESTGTNIGEALGHGLGDALSEGVGKAIGKEAGGAAGSKVSEALGQGTREAVGTGVRQVPGFGVADALGNRVGEAAHALGNTGHEIGRQAEDVIRHGADAVRGSWQGVPGHNGAWETSGGHGIFGSQGGLGGQGQGNPGGLGTPWVHGYPGNSAGSFGMNPQGAPWGQGGNGGPPNFGTNTQGAVAQPGYGSVRASNQNEGCTNPPPSGSGGGSSNSGGGSGSQSGSSGSGSNGDNNNGSSSGGSSSGSSSGGSSGGSSGGSSGNSGGSRGDSGSESSWGSSTGSSSGNHGGSGGGNGHKPGCEKPGNEARGSGESGIQNSETSPGMFNFDTFWKNFKSKLGFINWDAINKNQVPPPSTRALLYFSRLWEDFKQNTPFLNWKAIIEGADASSLQKRAGRDDQNYNYNQHAYPTAYGGKYSVKTPAKGGVSPSSSASRVQPGLLQWVKFW
+>DECOY_sp|Q6E0U4|DMKN_HUMAN Dermokine OS=Homo sapiens OX=9606 GN=DMKN PE=1 SV=3
+WFKVWQLLGPQVRSASSSPSVGGKAPTKVSYKGGYATPYAHQNYNYNQDDRGARKQLSSADAGEIIAKWNLFPTNQKFDEWLRSFYLLARTSPPPVQNKNIADWNIFGLKSKFNKWFTDFNFMGPSTESNQIGSEGSGRAENGPKECGPKHGNGGGSGGHNGSSSGTSSGWSSESGSDGRSGGSNGSSGGSSGGSSGGSSSGSSSGGSSSGNNNDGNSGSGSSGSQSGSGGGSNSSGGGSGSPPPNTCGENQNSARVSGYGPQAVAGQTNTGFNPPGGNGGQGWPAGQPNMGFSGASNGPYGHVWPTGLGGPNGQGQGGLGGQSGFIGHGGSTEWAGNHGPVGQWSGRVADAGHRIVDEAQRGIEHGTNGLAHAAEGVRNGLADAVGFGPVQRVGTGVAERTGQGLAESVKSGAAGGAEKGIAKGVGESLADGLGHGLAEGINTGTSEEGSQLPGAEGSGLCLALLLCALPGQFKM
+>sp|Q6PK57|DMP34_HUMAN Putative GED domain-containing protein DNM1P34 OS=Homo sapiens OX=9606 GN=DNM1P34 PE=5 SV=1
+MPSCSPQQASKAEENGSNSFMHSMVPQLEWQMETTQSLVDSYVAIVNKTVWDLMVGLTPKTIMHLMINNNKEFIFSELLANLYLHGDKNMLMEESAEQAQRS
+>DECOY_sp|Q6PK57|DMP34_HUMAN Putative GED domain-containing protein DNM1P34 OS=Homo sapiens OX=9606 GN=DNM1P34 PE=5 SV=1
+SRQAQEASEEMLMNKDGHLYLNALLESFIFEKNNNIMLHMITKPTLGVMLDWVTKNVIAVYSDVLSQTTEMQWELQPVMSHMFSNSGNEEAKSAQQPSCSPM
+>sp|Q9Y485|DMXL1_HUMAN DmX-like protein 1 OS=Homo sapiens OX=9606 GN=DMXL1 PE=1 SV=3
+MNLHQVLTGAVNPGDHCFSVGSIGDQRFTAYASGCDIVILGSDFERLQIIPGAKHGNIQVGCVDCSMQQGKIAASYGNVISIFEPVNLPKQKKNLELYSQWQKSGQFFLESIAHNITWDPTGSRLLTGSSYLQLWSNTNLEKPTEDENLNKTDLNFGDWKCIWHCKTASQVHLMKFSPDGEFFATAGKDDCLLKVWYNVENWRTAVTSPDGSSEKQSQGEIDFSFVYLAHPRAVNGFSWRKTSKYMPRASVCNVLLTCCKDNVCRLWVETFLPNDCLLYGGDCSHWTESINLTNNFKRNASSKERVQNALEVNLRHFRRGRRRSLALVAHTGYLPHQQDPHHVHRNTPLHANALCHFHIAASINPATDIPLLPSITSLSLNENEEKTGPFVVHWLNNKELHFTLSMEVFLQQLRKSFEQPSSEASVEDSNQADVKSDEETDDGVDDLKINPEKKELGCDKMVPNSSFTSLSSAAIDHQIEVLLSEWSKNADMLFSIHPMDGSLLVWHVDWLDEYQPGMFRQVQVSFVSRIPVAFPTGDANSLCKSIMMYACTKNVDLAIQQGKQKPSGLTRSTSMLISSGHNKSSNSLKLSIFTPNVMMISKHADGSLNQWLVSFAEESAFSTVLSISHKSRYCGHRFHLNDLACHSVLPLLLTTSHHNALRTPDVDNPEQPFDALNIEECSLTQQNKSTVDVAFQDPSAVYSELILWRVDPVGPLSFSGGVSELARINSLHVSAFSNVAWLPTLIPSYCLGAYCNSPSACFVASDGQYLRLYEAVIDAKKLLSELSNPEISKYVGEVFNIVSQQSTARPGCIIALDPITKLHGRKTQLLHVFEEDFILNNLEKKSLGKDSILSNAGSSPNGFSEKFYLIVIECTQDNRSLLHMWNLHLKSIPVSLDEKVDTKLSEAVWQPEEHYSSSPEKILSPFSQKYQACRANLQSTSRLTLFSEMVYSQELHLPEGVEIISIKPSAGHLSSSSIYPACSAPYLLATSCSDEKVRFWRCRVTDGESATSKNGKIDLAYIWEEWPLLIEDGLQSNSSITVPGRPVEVSCAHTNRLAVAYKQPASNSRSSQDFVMHVSIFECESTGGSCWVLEQTIHLDELSTVLDSGISVDSNLVAYNKQDMYLSSKENITSNTKHLVHLDWMSREDGSHILTVGIGSKLFMYGPLAGKVQDQTGKETLAFPLWESTKVVPLSKFVLLRSVDLVSSVDGSPPFPVSLSWVRDGILVVGMDCEMHVYCQWQPSSKQEPVITDSYSGSTPSITSLIKQSNSSSGLHPPKKTLTRSMTSLAQKICGKKTAFDPSVDMEDSGLFEAAHVLSPTLPQYHPLQLLELMDLGKVRRAKAILSHLVKCIAGEVVALNEAESNHERRLRSLTISASGSTTRDPQAFNKAENTDYTEIDSVPPLPLYALLAADDDSCYSSLEKSSNESTLSKSNQLSKESYDELFQTQLLMTDTHMLETDEENTKPRVIDLSQYSPTYFGPEHAQVLSGHLLHSSLPGLSRMEQMSLMALADTIATTSTDIGESRDRSQGGETLDECGLKFLLAVRLHTFLTTSLPAYRAQLLHQGLSTSHFAWAFHSVAEEELLNMLPAMQKDDPTWSELRAMGVGWWVRNTRILRKCIEKVAKAAFYRKNDPLDAAIFYLAMKKKAVIWGLYRAEKNTRMTQFFGHNFEDERWRKAALKNAFSLLGKQRFEHSAAFFLLAGCLRDAIEVCLEKLNDIQLALVIARLYESEFDTSAAYKSILRKKVLGIDSPVSELCSLNINMHHDPFLRSMAYWILEDYSGALETLIKQPIRENDDQVLSASNPTVFNFYNYLRTHPLLLRRHFGSSDTFSTHMSLTGKSGLAGTINLSERRLFFTTASAHLKAGCPMLALEVLSKMPKVIKKTRPFYRASSFLDTSKDCSPSSPLKLDAREDKSSAVDWSQSLINGFGSSSEGSSEKQSNSTLSFDWSQPSVVFQDDSLELKWDSDNDEENEDVPISMKELKPLQRKTDKKLDDISSNYTESFSTLDENDLLNPSEDIIAVQLKFRACLKILTVELRTLSTGYEIDGGKLRYQLYHWLEKEVIALQRTCDFCSDAEELQSAFGRNEDEFGLNEDAEDLPHQTKVKQLRENFQEKRQWLLKYQSLLRMFLSYCILHGSHGGGLASVRMELILLLQESQQETSEPLFSSPLSEQTSVPLLFACTANAKTVVANPLLHLSNLTHDILHAIINFDSPPHPDIQSNKVYVMHTLAASLSACIYQCLCGSHNYSSFQTNQFTGMVYQTVLLPHRPSLKTGSLDEALTPNTSPAQWPGITCLIRLLNSSGEEAQSGLTVLLCEILTAVYLSLFIHGLATHSSNELFRIVAHPLNEKMWSAVFGGGAHVPSKEQTHSKTLPVSSLVEEGEKQNKRFRPSKMSCRESAPLTPSSAPVSQESLAVKEKFIPPELSIWDYFIAKPFLPSSQSRAEYDSEESLGSDDDDNDDDDDVLASDFHLQEHSNSNSYSWSLMRLAMVQLVLNNLKTFYPFAGHDLAELPVSSPLCHAVLKTLQCWEQVLLRRLEIHGGPPQNYIASHTAEESLSAGPAILRHKALLEPTNTPFKSKHHLALSVKRLWQYLVKQEEIQETFIKNIFTKKRCLNEIEADLGYPGGKARIIHKESDIITAFAVNKANRNCIAIASSHDVQELDVSGILATQVYTWVDDDIEVETKGSEDFLVIHARDDLTAVQGTTPYTHSNPGTPINMPWLGSTQTGRGASVMIKKAINNVRRMTSHPTLPYYLTGAQDGSVRMFEWGHSQQITCFRSGGNSRVTRMRFNYQGNKFGIVDADGYLSLYQTNWKCCPVTGSMPKPYLTWQCHNKTANDFVFVSSSSLIATAGLSTDNRNVCLWDTLVAPANSLVHAFTCHDSGATVLAYAPKHQLLISGGRKGFTYVFDLCQRQQRQLFQSHDSPVKAVAVDPTEEYFVTGSAEGNIKIWSLSTFGLLHTFVSEHARQSIFRNIGTGVMQIETGPANHIFSCGADGTMKMRILPDQFSPLNEVLKNDVKFML
+>DECOY_sp|Q9Y485|DMXL1_HUMAN DmX-like protein 1 OS=Homo sapiens OX=9606 GN=DMXL1 PE=1 SV=3
+LMFKVDNKLVENLPSFQDPLIRMKMTGDAGCSFIHNAPGTEIQMVGTGINRFISQRAHESVFTHLLGFTSLSWIKINGEASGTVFYEETPDVAVAKVPSDHSQFLQRQQRQCLDFVYTFGKRGGSILLQHKPAYALVTAGSDHCTFAHVLSNAPAVLTDWLCVNRNDTSLGATAILSSSSVFVFDNATKNHCQWTLYPKPMSGTVPCCKWNTQYLSLYGDADVIGFKNGQYNFRMRTVRSNGGSRFCTIQQSHGWEFMRVSGDQAGTLYYPLTPHSTMRRVNNIAKKIMVSAGRGTQTSGLWPMNIPTGPNSHTYPTTGQVATLDDRAHIVLFDESGKTEVEIDDDVWTYVQTALIGSVDLEQVDHSSAIAICNRNAKNVAFATIIDSEKHIIRAKGGPYGLDAEIENLCRKKTFINKIFTEQIEEQKVLYQWLRKVSLALHHKSKFPTNTPELLAKHRLIAPGASLSEEATHSAIYNQPPGGHIELRRLLVQEWCQLTKLVAHCLPSSVPLEALDHGAFPYFTKLNNLVLQVMALRMLSWSYSNSNSHEQLHFDSALVDDDDDNDDDDSGLSEESDYEARSQSSPLFPKAIFYDWISLEPPIFKEKVALSEQSVPASSPTLPASERCSMKSPRFRKNQKEGEEVLSSVPLTKSHTQEKSPVHAGGGFVASWMKENLPHAVIRFLENSSHTALGHIFLSLYVATLIECLLVTLGSQAEEGSSNLLRILCTIGPWQAPSTNPTLAEDLSGTKLSPRHPLLVTQYVMGTFQNTQFSSYNHSGCLCQYICASLSAALTHMVYVKNSQIDPHPPSDFNIIAHLIDHTLNSLHLLPNAVVTKANATCAFLLPVSTQESLPSSFLPESTEQQSEQLLLILEMRVSALGGGHSGHLICYSLFMRLLSQYKLLWQRKEQFNERLQKVKTQHPLDEADENLGFEDENRGFASQLEEADSCFDCTRQLAIVEKELWHYLQYRLKGGDIEYGTSLTRLEVTLIKLCARFKLQVAIIDESPNLLDNEDLTSFSETYNSSIDDLKKDTKRQLPKLEKMSIPVDENEEDNDSDWKLELSDDQFVVSPQSWDFSLTSNSQKESSGESSSGFGNILSQSWDVASSKDERADLKLPSSPSCDKSTDLFSSARYFPRTKKIVKPMKSLVELALMPCGAKLHASATTFFLRRESLNITGALGSKGTLSMHTSFTDSSGFHRRLLLPHTRLYNYFNFVTPNSASLVQDDNERIPQKILTELAGSYDELIWYAMSRLFPDHHMNINLSCLESVPSDIGLVKKRLISKYAASTDFESEYLRAIVLALQIDNLKELCVEIADRLCGALLFFAASHEFRQKGLLSFANKLAAKRWREDEFNHGFFQTMRTNKEARYLGWIVAKKKMALYFIAADLPDNKRYFAAKAVKEICKRLIRTNRVWWGVGMARLESWTPDDKQMAPLMNLLEEEAVSHFAWAFHSTSLGQHLLQARYAPLSTTLFTHLRVALLFKLGCEDLTEGGQSRDRSEGIDTSTTAITDALAMLSMQEMRSLGPLSSHLLHGSLVQAHEPGFYTPSYQSLDIVRPKTNEEDTELMHTDTMLLQTQFLEDYSEKSLQNSKSLTSENSSKELSSYCSDDDAALLAYLPLPPVSDIETYDTNEAKNFAQPDRTTSGSASITLSRLRREHNSEAENLAVVEGAICKVLHSLIAKARRVKGLDMLELLQLPHYQPLTPSLVHAAEFLGSDEMDVSPDFATKKGCIKQALSTMSRTLTKKPPHLGSSSNSQKILSTISPTSGSYSDTIVPEQKSSPQWQCYVHMECDMGVVLIGDRVWSLSVPFPPSGDVSSVLDVSRLLVFKSLPVVKTSEWLPFALTEKGTQDQVKGALPGYMFLKSGIGVTLIHSGDERSMWDLHVLHKTNSTINEKSSLYMDQKNYAVLNSDVSIGSDLVTSLEDLHITQELVWCSGGTSECEFISVHMVFDQSSRSNSAPQKYAVALRNTHACSVEVPRGPVTISSNSQLGDEILLPWEEWIYALDIKGNKSTASEGDTVRCRWFRVKEDSCSTALLYPASCAPYISSSSLHGASPKISIIEVGEPLHLEQSYVMESFLTLRSTSQLNARCAQYKQSFPSLIKEPSSSYHEEPQWVAESLKTDVKEDLSVPISKLHLNWMHLLSRNDQTCEIVILYFKESFGNPSSGANSLISDKGLSKKELNNLIFDEEFVHLLQTKRGHLKTIPDLAIICGPRATSQQSVINFVEGVYKSIEPNSLESLLKKADIVAEYLRLYQGDSAVFCASPSNCYAGLCYSPILTPLWAVNSFASVHLSNIRALESVGGSFSLPGVPDVRWLILESYVASPDQFAVDVTSKNQQTLSCEEINLADFPQEPNDVDPTRLANHHSTTLLLPLVSHCALDNLHFRHGCYRSKHSISLVTSFASEEAFSVLWQNLSGDAHKSIMMVNPTFISLKLSNSSKNHGSSILMSTSRTLGSPKQKGQQIALDVNKTCAYMMISKCLSNADGTPFAVPIRSVFSVQVQRFMGPQYEDLWDVHWVLLSGDMPHISFLMDANKSWESLLVEIQHDIAASSLSTFSSNPVMKDCGLEKKEPNIKLDDVGDDTEEDSKVDAQNSDEVSAESSPQEFSKRLQQLFVEMSLTFHLEKNNLWHVVFPGTKEENENLSLSTISPLLPIDTAPNISAAIHFHCLANAHLPTNRHVHHPDQQHPLYGTHAVLALSRRRGRRFHRLNVELANQVREKSSANRKFNNTLNISETWHSCDGGYLLCDNPLFTEVWLRCVNDKCCTLLVNCVSARPMYKSTKRWSFGNVARPHALYVFSFDIEGQSQKESSGDPSTVATRWNEVNYWVKLLCDDKGATAFFEGDPSFKMLHVQSATKCHWICKWDGFNLDTKNLNEDETPKELNTNSWLQLYSSGTLLRSGTPDWTINHAISELFFQGSKQWQSYLELNKKQKPLNVPEFISIVNGYSAAIKGQQMSCDVCGVQINGHKAGPIIQLREFDSGLIVIDCGSAYATFRQDGISGVSFCHDGPNVAGTLVQHLNM
+>sp|Q9UI46|DNAI1_HUMAN Dynein intermediate chain 1, axonemal OS=Homo sapiens OX=9606 GN=DNAI1 PE=1 SV=1
+MIPASAKAPHKQPHKQSISIGRGTRKRDEDSGTEVGEGTDEWAQSKATVRPPDQLELTDAELKEEFTRILTANNPHAPQNIVRYSFKEGTYKPIGFVNQLAVHYTQVGNLIPKDSDEGRRQHYRDELVAGSQESVKVISETGNLEEDEEPKELETEPGSQTDVPAAGAAEKVTEEELMTPKQPKERKLTNQFNFSERASQTYNNPVRDRECQTEPPPRTNFSATANQWEIYDAYVEELEKQEKTKEKEKAKTPVAKKSGKMAMRKLTSMESQTDDLIKLSQAAKIMERMVNQNTYDDIAQDFKYYDDAADEYRDQVGTLLPLWKFQNDKAKRLSVTALCWNPKYRDLFAVGYGSYDFMKQSRGMLLLYSLKNPSFPEYMFSSNSGVMCLDIHVDHPYLVAVGHYDGNVAIYNLKKPHSQPSFCSSAKSGKHSDPVWQVKWQKDDMDQNLNFFSVSSDGRIVSWTLVKRKLVHIDVIKLKVEGSTTEVPEGLQLHPVGCGTAFDFHKEIDYMFLVGTEEGKIYKCSKSYSSQFLDTYDAHNMSVDTVSWNPYHTKVFMSCSSDWTVKIWDHTIKTPMFIYDLNSAVGDVAWAPYSSTVFAAVTTDGKAHIFDLAINKYEAICNQPVAAKKNRLTHVQFNLIHPIIIVGDDRGHIISLKLSPNLRKMPKEKKGQEVQKGPAVEIAKLDKLLNLVREVKIKT
+>DECOY_sp|Q9UI46|DNAI1_HUMAN Dynein intermediate chain 1, axonemal OS=Homo sapiens OX=9606 GN=DNAI1 PE=1 SV=1
+TKIKVERVLNLLKDLKAIEVAPGKQVEQGKKEKPMKRLNPSLKLSIIHGRDDGVIIIPHILNFQVHTLRNKKAAVPQNCIAEYKNIALDFIHAKGDTTVAAFVTSSYPAWAVDGVASNLDYIFMPTKITHDWIKVTWDSSCSMFVKTHYPNWSVTDVSMNHADYTDLFQSSYSKSCKYIKGEETGVLFMYDIEKHFDFATGCGVPHLQLGEPVETTSGEVKLKIVDIHVLKRKVLTWSVIRGDSSVSFFNLNQDMDDKQWKVQWVPDSHKGSKASSCFSPQSHPKKLNYIAVNGDYHGVAVLYPHDVHIDLCMVGSNSSFMYEPFSPNKLSYLLLMGRSQKMFDYSGYGVAFLDRYKPNWCLATVSLRKAKDNQFKWLPLLTGVQDRYEDAADDYYKFDQAIDDYTNQNVMREMIKAAQSLKILDDTQSEMSTLKRMAMKGSKKAVPTKAKEKEKTKEQKELEEVYADYIEWQNATASFNTRPPPETQCERDRVPNNYTQSARESFNFQNTLKREKPQKPTMLEEETVKEAAGAAPVDTQSGPETELEKPEEDEELNGTESIVKVSEQSGAVLEDRYHQRRGEDSDKPILNGVQTYHVALQNVFGIPKYTGEKFSYRVINQPAHPNNATLIRTFEEKLEADTLELQDPPRVTAKSQAWEDTGEGVETGSDEDRKRTGRGISISQKHPQKHPAKASAPIM
+>sp|O96015|DNAL4_HUMAN Dynein light chain 4, axonemal OS=Homo sapiens OX=9606 GN=DNAL4 PE=1 SV=1
+MGETEGKKDEADYKRLQTFPLVRHSDMPEEMRVETMELCVTACEKFSNNNESAAKMIKETMDKKFGSSWHVVIGEGFGFEITHEVKNLLYLYFGGTLAVCVWKCS
+>DECOY_sp|O96015|DNAL4_HUMAN Dynein light chain 4, axonemal OS=Homo sapiens OX=9606 GN=DNAL4 PE=1 SV=1
+SCKWVCVALTGGFYLYLLNKVEHTIEFGFGEGIVVHWSSGFKKDMTEKIMKAASENNNSFKECATVCLEMTEVRMEEPMDSHRVLPFTQLRKYDAEDKKGETEGM
+>sp|Q8NHS0|DNJB8_HUMAN DnaJ homolog subfamily B member 8 OS=Homo sapiens OX=9606 GN=DNAJB8 PE=1 SV=1
+MANYYEVLGVQASASPEDIKKAYRKLALRWHPDKNPDNKEEAEKKFKLVSEAYEVLSDSKKRSLYDRAGCDSWRAGGGASTPYHSPFDTGYTFRNPEDIFREFFGGLDPFSFEFWDSPFNSDRGGRGHGLRGAFSAGFGEFPAFMEAFSSFNMLGCSGGSHTTFSSTSFGGSSSGSSGFKSVMSSTEMINGHKVTTKRIVENGQERVEVEEDGQLKSVTVNGKEQLKWMDSK
+>DECOY_sp|Q8NHS0|DNJB8_HUMAN DnaJ homolog subfamily B member 8 OS=Homo sapiens OX=9606 GN=DNAJB8 PE=1 SV=1
+KSDMWKLQEKGNVTVSKLQGDEEVEVREQGNEVIRKTTVKHGNIMETSSMVSKFGSSGSSSGGFSTSSFTTHSGGSCGLMNFSSFAEMFAPFEGFGASFAGRLGHGRGGRDSNFPSDWFEFSFPDLGGFFERFIDEPNRFTYGTDFPSHYPTSAGGGARWSDCGARDYLSRKKSDSLVEYAESVLKFKKEAEEKNDPNKDPHWRLALKRYAKKIDEPSASAQVGLVEYYNAM
+>sp|Q9UBS3|DNJB9_HUMAN DnaJ homolog subfamily B member 9 OS=Homo sapiens OX=9606 GN=DNAJB9 PE=1 SV=1
+MATPQSIFIFAICILMITELILASKSYYDILGVPKSASERQIKKAFHKLAMKYHPDKNKSPDAEAKFREIAEAYETLSDANRRKEYDTLGHSAFTSGKGQRGSGSSFEQSFNFNFDDLFKDFGFFGQNQNTGSKKRFENHFQTRQDGGSSRQRHHFQEFSFGGGLFDDMFEDMEKMFSFSGFDSTNQHTVQTENRFHGSSKHCRTVTQRRGNMVTTYTDCSGQ
+>DECOY_sp|Q9UBS3|DNJB9_HUMAN DnaJ homolog subfamily B member 9 OS=Homo sapiens OX=9606 GN=DNAJB9 PE=1 SV=1
+QGSCDTYTTVMNGRRQTVTRCHKSSGHFRNETQVTHQNTSDFGSFSFMKEMDEFMDDFLGGGFSFEQFHHRQRSSGGDQRTQFHNEFRKKSGTNQNQGFFGFDKFLDDFNFNFSQEFSSGSGRQGKGSTFASHGLTDYEKRRNADSLTEYAEAIERFKAEADPSKNKDPHYKMALKHFAKKIQRESASKPVGLIDYYSKSALILETIMLICIAFIFISQPTAM
+>sp|Q9H3Z4|DNJC5_HUMAN DnaJ homolog subfamily C member 5 OS=Homo sapiens OX=9606 GN=DNAJC5 PE=1 SV=1
+MADQRQRSLSTSGESLYHVLGLDKNATSDDIKKSYRKLALKYHPDKNPDNPEAADKFKEINNAHAILTDATKRNIYDKYGSLGLYVAEQFGEENVNTYFVLSSWWAKALFVFCGLLTCCYCCCCLCCCFNCCCGKCKPKAPEGEETEFYVSPEDLEAQLQSDEREATDTPIVIQPASATETTQLTADSHPSYHTDGFN
+>DECOY_sp|Q9H3Z4|DNJC5_HUMAN DnaJ homolog subfamily C member 5 OS=Homo sapiens OX=9606 GN=DNAJC5 PE=1 SV=1
+NFGDTHYSPHSDATLQTTETASAPQIVIPTDTAEREDSQLQAELDEPSVYFETEEGEPAKPKCKGCCCNFCCCLCCCCYCCTLLGCFVFLAKAWWSSLVFYTNVNEEGFQEAVYLGLSGYKDYINRKTADTLIAHANNIEKFKDAAEPNDPNKDPHYKLALKRYSKKIDDSTANKDLGLVHYLSEGSTSLSRQRQDAM
+>sp|O75937|DNJC8_HUMAN DnaJ homolog subfamily C member 8 OS=Homo sapiens OX=9606 GN=DNAJC8 PE=1 SV=2
+MAASGESGTSGGGGSTEEAFMTFYSEVKQIEKRDSVLTSKNQIERLTRPGSSYFNLNPFEVLQIDPEVTDEEIKKRFRQLSILVHPDKNQDDADRAQKAFEAVDKAYKLLLDQEQKKRALDVIQAGKEYVEHTVKERKKQLKKEGKPTIVEEDDPELFKQAVYKQTMKLFAELEIKRKEREAKEMHERKRQREEEIEAQEKAKREREWQKNFEESRDGRVDSWRNFQANTKGKKEKKNRTFLRPPKVKMEQRE
+>DECOY_sp|O75937|DNJC8_HUMAN DnaJ homolog subfamily C member 8 OS=Homo sapiens OX=9606 GN=DNAJC8 PE=1 SV=2
+ERQEMKVKPPRLFTRNKKEKKGKTNAQFNRWSDVRGDRSEEFNKQWERERKAKEQAEIEEERQRKREHMEKAEREKRKIELEAFLKMTQKYVAQKFLEPDDEEVITPKGEKKLQKKREKVTHEVYEKGAQIVDLARKKQEQDLLLKYAKDVAEFAKQARDADDQNKDPHVLISLQRFRKKIEEDTVEPDIQLVEFPNLNFYSSGPRTLREIQNKSTLVSDRKEIQKVESYFTMFAEETSGGGGSTGSEGSAAM
+>sp|Q9Y6K1|DNM3A_HUMAN DNA (cytosine-5)-methyltransferase 3A OS=Homo sapiens OX=9606 GN=DNMT3A PE=1 SV=4
+MPAMPSSGPGDTSSSAAEREEDRKDGEEQEEPRGKEERQEPSTTARKVGRPGRKRKHPPVESGDTPKDPAVISKSPSMAQDSGASELLPNGDLEKRSEPQPEEGSPAGGQKGGAPAEGEGAAETLPEASRAVENGCCTPKEGRGAPAEAGKEQKETNIESMKMEGSRGRLRGGLGWESSLRQRPMPRLTFQAGDPYYISKRKRDEWLARWKREAEKKAKVIAGMNAVEENQGPGESQKVEEASPPAVQQPTDPASPTVATTPEPVGSDAGDKNATKAGDDEPEYEDGRGFGIGELVWGKLRGFSWWPGRIVSWWMTGRSRAAEGTRWVMWFGDGKFSVVCVEKLMPLSSFCSAFHQATYNKQPMYRKAIYEVLQVASSRAGKLFPVCHDSDESDTAKAVEVQNKPMIEWALGGFQPSGPKGLEPPEEEKNPYKEVYTDMWVEPEAAAYAPPPPAKKPRKSTAEKPKVKEIIDERTRERLVYEVRQKCRNIEDICISCGSLNVTLEHPLFVGGMCQNCKNCFLECAYQYDDDGYQSYCTICCGGREVLMCGNNNCCRCFCVECVDLLVGPGAAQAAIKEDPWNCYMCGHKGTYGLLRRREDWPSRLQMFFANNHDQEFDPPKVYPPVPAEKRKPIRVLSLFDGIATGLLVLKDLGIQVDRYIASEVCEDSITVGMVRHQGKIMYVGDVRSVTQKHIQEWGPFDLVIGGSPCNDLSIVNPARKGLYEGTGRLFFEFYRLLHDARPKEGDDRPFFWLFENVVAMGVSDKRDISRFLESNPVMIDAKEVSAAHRARYFWGNLPGMNRPLASTVNDKLELQECLEHGRIAKFSKVRTITTRSNSIKQGKDQHFPVFMNEKEDILWCTEMERVFGFPVHYTDVSNMSRLARQRLLGRSWSVPVIRHLFAPLKEYFACV
+>DECOY_sp|Q9Y6K1|DNM3A_HUMAN DNA (cytosine-5)-methyltransferase 3A OS=Homo sapiens OX=9606 GN=DNMT3A PE=1 SV=4
+VCAFYEKLPAFLHRIVPVSWSRGLLRQRALRSMNSVDTYHVPFGFVREMETCWLIDEKENMFVPFHQDKGQKISNSRTTITRVKSFKAIRGHELCEQLELKDNVTSALPRNMGPLNGWFYRARHAASVEKADIMVPNSELFRSIDRKDSVGMAVVNEFLWFFPRDDGEKPRADHLLRYFEFFLRGTGEYLGKRAPNVISLDNCPSGGIVLDFPGWEQIHKQTVSRVDGVYMIKGQHRVMGVTISDECVESAIYRDVQIGLDKLVLLGTAIGDFLSLVRIPKRKEAPVPPYVKPPDFEQDHNNAFFMQLRSPWDERRRLLGYTGKHGCMYCNWPDEKIAAQAAGPGVLLDVCEVCFCRCCNNNGCMLVERGGCCITCYSQYGDDDYQYACELFCNKCNQCMGGVFLPHELTVNLSGCSICIDEINRCKQRVEYVLRERTREDIIEKVKPKEATSKRPKKAPPPPAYAAAEPEVWMDTYVEKYPNKEEEPPELGKPGSPQFGGLAWEIMPKNQVEVAKATDSEDSDHCVPFLKGARSSAVQLVEYIAKRYMPQKNYTAQHFASCFSSLPMLKEVCVVSFKGDGFWMVWRTGEAARSRGTMWWSVIRGPWWSFGRLKGWVLEGIGFGRGDEYEPEDDGAKTANKDGADSGVPEPTTAVTPSAPDTPQQVAPPSAEEVKQSEGPGQNEEVANMGAIVKAKKEAERKWRALWEDRKRKSIYYPDGAQFTLRPMPRQRLSSEWGLGGRLRGRSGEMKMSEINTEKQEKGAEAPAGRGEKPTCCGNEVARSAEPLTEAAGEGEAPAGGKQGGAPSGEEPQPESRKELDGNPLLESAGSDQAMSPSKSIVAPDKPTDGSEVPPHKRKRGPRGVKRATTSPEQREEKGRPEEQEEGDKRDEEREAASSSTDGPGSSPMAPM
+>sp|O43598|DNPH1_HUMAN 2'-deoxynucleoside 5'-phosphate N-hydrolase 1 OS=Homo sapiens OX=9606 GN=DNPH1 PE=1 SV=1
+MAAAMVPGRSESWERGEPGRPALYFCGSIRGGREDRTLYERIVSRLRRFGTVLTEHVAAAELGARGEEAAGGDRLIHEQDLEWLQQADVVVAEVTQPSLGVGYELGRAVAFNKRILCLFRPQSGRVLSAMIRGAADGSRFQVWDYEEGEVEALLDRYFEADPPGQVAASPDPTT
+>DECOY_sp|O43598|DNPH1_HUMAN 2'-deoxynucleoside 5'-phosphate N-hydrolase 1 OS=Homo sapiens OX=9606 GN=DNPH1 PE=1 SV=1
+TTPDPSAAVQGPPDAEFYRDLLAEVEGEEYDWVQFRSGDAAGRIMASLVRGSQPRFLCLIRKNFAVARGLEYGVGLSPQTVEAVVVDAQQLWELDQEHILRDGGAAEEGRAGLEAAAVHETLVTGFRRLRSVIREYLTRDERGGRISGCFYLAPRGPEGREWSESRGPVMAAAM
+>sp|Q8WZ79|DNS2B_HUMAN Deoxyribonuclease-2-beta OS=Homo sapiens OX=9606 GN=DNASE2B PE=2 SV=1
+MKQKMMARLLRTSFALLFLGLFGVLGAATISCRNEEGKAVDWFTFYKLPKRQNKESGETGLEYLYLDSTTRSWRKSEQLMNDTKSVLGRTLQQLYEAYASKSNNTAYLIYNDGVPKPVNYSRKYGHTKGLLLWNRVQGFWLIHSIPQFPPIPEEGYDYPPTGRRNGQSGICITFKYNQYEAIDSQLLVCNPNVYSCSIPATFHQELIHMPQLCTRASSSEIPGRLLTTLQSAQGQKFLHFAKSDSFLDDIFAAWMAQRLKTHLLTETWQRKRQELPSNCSLPYHVYNIKAIKLSRHSYFSSYQDHAKWCISQKGTKNRWTCIGDLNRSPHQAFRSGGFICTQNWQIYQAFQGLVLYYESCK
+>DECOY_sp|Q8WZ79|DNS2B_HUMAN Deoxyribonuclease-2-beta OS=Homo sapiens OX=9606 GN=DNASE2B PE=2 SV=1
+KCSEYYLVLGQFAQYIQWNQTCIFGGSRFAQHPSRNLDGICTWRNKTGKQSICWKAHDQYSSFYSHRSLKIAKINYVHYPLSCNSPLEQRKRQWTETLLHTKLRQAMWAAFIDDLFSDSKAFHLFKQGQASQLTTLLRGPIESSSARTCLQPMHILEQHFTAPISCSYVNPNCVLLQSDIAEYQNYKFTICIGSQGNRRGTPPYDYGEEPIPPFQPISHILWFGQVRNWLLLGKTHGYKRSYNVPKPVGDNYILYATNNSKSAYAEYLQQLTRGLVSKTDNMLQESKRWSRTTSDLYLYELGTEGSEKNQRKPLKYFTFWDVAKGEENRCSITAAGLVGFLGLFLLAFSTRLLRAMMKQKM
+>sp|Q96BY6|DOC10_HUMAN Dedicator of cytokinesis protein 10 OS=Homo sapiens OX=9606 GN=DOCK10 PE=1 SV=3
+MAGERTRRFTRSLLRPGQAAELRHSAASAAAVAVSSRQQQRQEKPRLLEPLDYETVIEELEKTYRNDPLQDLLFFPSDDFSAATVSWDIRTLYSTVPEDAEHKAENLLVKEACKFYSSQWHVVNYKYEQYSGDIRQLPRAEYKPEKLPSHSFEIDHEDADKDEDTTSHSSSKGGGGAGGTGVFKSGWLYKGNFNSTVNNTVTVRSFKKRYFQLTQLPDNSYIMNFYKDEKISKEPKGCIFLDSCTGVVQNNRLRKYAFELKMNDLTYFVLAAETESDMDEWIHTLNRILQISPEGPLQGRRSTELTDLGLDSLDNSVTCECTPEETDSSENNLHADFAKYLTETEDTVKTTRNMERLNLFSLDPDIDTLKLQKKDLLEPESVIKPFEEKAAKRIMIICKALNSNLQGCVTENENDPITNIEPFFVSVALYDLRDSRKISADFHVDLNHAAVRQMLLGASVALENGNIDTITPRQSEEPHIKGLPEEWLKFPKQAVFSVSNPHSEIVLVAKIEKVLMGNIASGAEPYIKNPDSNKYAQKILKSNRQFCSKLGKYRMPFAWAVRSVFKDNQGNVDRDSRFSPLFRQESSKISTEDLVKLVSDYRRADRISKMQTIPGSLDIAVDNVPLEHPNCVTSSFIPVKPFNMMAQTEPTVEVEEFVYDSTKYCRPYRVYKNQIYIYPKHLKYDSQKCFNKARNITVCIEFKNSDEESAKPLKCIYGKPGGPLFTSAAYTAVLHHSQNPDFSDEVKIELPTQLHEKHHILFSFYHVTCDINAKANAKKKEALETSVGYAWLPLMKHDQIASQEYNIPIATSLPPNYLSFQDSASGKHGGSDIKWVDGGKPLFKVSTFVVSTVNTQDPHVNAFFQECQKREKDMSQSPTSNFIRSCKNLLNVEKIHAIMSFLPIILNQLFKVLVQNEEDEITTTVTRVLTDIVAKCHEEQLDHSVQSYIKFVFKTRACKERTVHEELAKNVTGLLKSNDSTTVKHVLKHSWFFFAIILKSMAQHLIDTNKIQLPRPQRFPESYQNELDNLVMVLSDHVIWKYKDALEETRRANHSVARFLKRCFTFMDRGYVFKMVNNYISMFSSGDLKTLCQYKFDFLQEVCQHEHFIPLCLPIRSANIPDPLTPSESTQELHASDMPEYSVTNEFCRKHFLIGILLREVGFALQEDQDVRHLALAVLKNLMAKHSFDDRYREPRKQAQIASLYMPLYGMLLDNMPRIYLKDLYPFTVNTSNQGSRDDLSTNGGFQSQTAIKHANSVDTSFSKDVLNSIAAFSSIAISTVNHADSRASLASLDSNPSTNEKSSEKTDNCEKIPRPLSLIGSTLRFDKLDQAETRSLLMCFLHIMKTISYETLIAYWQRAPSPEVSDFFSILDVCLQNFRYLGKRNIIRKIAAAFKFVQSTQNNGTLKGSNPSCQTSGLLSQWMHSTSSHEGHKQHRSQTLPIIRGKNALSNPKLLQMLDNTMTSNSNEIDIVHHVDTEANIATEVCLTILDLLSLFTQTHQRQLQQCDCQNSLMKRVFDTYMLFFQVNQSATALKHVFASLRLFVCKFPSAFFQGPADLCGSFCYEVLKCCNHRSRSTQTEASALLYFFMRKNFEFNKQKSIVRSHLQLIKAVSQLIADAGIGGSRFQHSLAITNNFANGDKQMKNSNFPAEVKDLTKRIRTVLMATAQMKEHEKDPEMLVDLQYSLANSYASTPELRRTWLESMAKIHARNGDLSEAAMCYIHIAALIAEYLKRKGYWKVEKICTASLLSEDTHPCDSNSLLTTPSGGSMFSMGWPAFLSITPNIKEEGAMKEDSGMQDTPYNENILVEQLYMCVEFLWKSERYELIADVNKPIIAVFEKQRDFKKLSDLYYDIHRSYLKVAEVVNSEKRLFGRYYRVAFYGQGFFEEEEGKEYIYKEPKLTGLSEISQRLLKLYADKFGADNVKIIQDSNKVNPKDLDPKYAYIQVTYVTPFFEEKEIEDRKTDFEMHHNINRFVFETPFTLSGKKHGGVAEQCKRRTILTTSHLFPYVKKRIQVISQSSTELNPIEVAIDEMSKKVSELNQLCTMEEVDMIRLQLKLQGSVSVKVNAGPMAYARAFLEETNAKKYPDNQVKLLKEIFRQFADACGQALDVNERLIKEDQLEYQEELRSHYKDMLSELSTVMNEQITGRDDLSKRGVDQTCTRVISKATPALPTVSISSSAEV
+>DECOY_sp|Q96BY6|DOC10_HUMAN Dedicator of cytokinesis protein 10 OS=Homo sapiens OX=9606 GN=DOCK10 PE=1 SV=3
+VEASSSISVTPLAPTAKSIVRTCTQDVGRKSLDDRGTIQENMVTSLESLMDKYHSRLEEQYELQDEKILRENVDLAQGCADAFQRFIEKLLKVQNDPYKKANTEELFARAYAMPGANVKVSVSGQLKLQLRIMDVEEMTCLQNLESVKKSMEDIAVEIPNLETSSQSIVQIRKKVYPFLHSTTLITRRKCQEAVGGHKKGSLTFPTEFVFRNINHHMEFDTKRDEIEKEEFFPTVYTVQIYAYKPDLDKPNVKNSDQIIKVNDAGFKDAYLKLLRQSIESLGTLKPEKYIYEKGEEEEFFGQGYFAVRYYRGFLRKESNVVEAVKLYSRHIDYYLDSLKKFDRQKEFVAIIPKNVDAILEYRESKWLFEVCMYLQEVLINENYPTDQMGSDEKMAGEEKINPTISLFAPWGMSFMSGGSPTTLLSNSDCPHTDESLLSATCIKEVKWYGKRKLYEAILAAIHIYCMAAESLDGNRAHIKAMSELWTRRLEPTSAYSNALSYQLDVLMEPDKEHEKMQATAMLVTRIRKTLDKVEAPFNSNKMQKDGNAFNNTIALSHQFRSGGIGADAILQSVAKILQLHSRVISKQKNFEFNKRMFFYLLASAETQTSRSRHNCCKLVEYCFSGCLDAPGQFFASPFKCVFLRLSAFVHKLATASQNVQFFLMYTDFVRKMLSNQCDCQQLQRQHTQTFLSLLDLITLCVETAINAETDVHHVIDIENSNSTMTNDLMQLLKPNSLANKGRIIPLTQSRHQKHGEHSSTSHMWQSLLGSTQCSPNSGKLTGNNQTSQVFKFAAAIKRIINRKGLYRFNQLCVDLISFFDSVEPSPARQWYAILTEYSITKMIHLFCMLLSRTEAQDLKDFRLTSGILSLPRPIKECNDTKESSKENTSPNSDLSALSARSDAHNVTSIAISSFAAISNLVDKSFSTDVSNAHKIATQSQFGGNTSLDDRSGQNSTNVTFPYLDKLYIRPMNDLLMGYLPMYLSAIQAQKRPERYRDDFSHKAMLNKLVALALHRVDQDEQLAFGVERLLIGILFHKRCFENTVSYEPMDSAHLEQTSESPTLPDPINASRIPLCLPIFHEHQCVEQLFDFKYQCLTKLDGSSFMSIYNNVMKFVYGRDMFTFCRKLFRAVSHNARRTEELADKYKWIVHDSLVMVLNDLENQYSEPFRQPRPLQIKNTDILHQAMSKLIIAFFFWSHKLVHKVTTSDNSKLLGTVNKALEEHVTREKCARTKFVFKIYSQVSHDLQEEHCKAVIDTLVRTVTTTIEDEENQVLVKFLQNLIIPLFSMIAHIKEVNLLNKCSRIFNSTPSQSMDKERKQCEQFFANVHPDQTNVTSVVFTSVKFLPKGGDVWKIDSGGHKGSASDQFSLYNPPLSTAIPINYEQSAIQDHKMLPLWAYGVSTELAEKKKANAKANIDCTVHYFSFLIHHKEHLQTPLEIKVEDSFDPNQSHHLVATYAASTFLPGGPKGYICKLPKASEEDSNKFEICVTINRAKNFCKQSDYKLHKPYIYIQNKYVRYPRCYKTSDYVFEEVEVTPETQAMMNFPKVPIFSSTVCNPHELPVNDVAIDLSGPITQMKSIRDARRYDSVLKVLDETSIKSSEQRFLPSFRSDRDVNGQNDKFVSRVAWAFPMRYKGLKSCFQRNSKLIKQAYKNSDPNKIYPEAGSAINGMLVKEIKAVLVIESHPNSVSFVAQKPFKLWEEPLGKIHPEESQRPTITDINGNELAVSAGLLMQRVAAHNLDVHFDASIKRSDRLDYLAVSVFFPEINTIPDNENETVCGQLNSNLAKCIIMIRKAAKEEFPKIVSEPELLDKKQLKLTDIDPDLSFLNLREMNRTTKVTDETETLYKAFDAHLNNESSDTEEPTCECTVSNDLSDLGLDTLETSRRGQLPGEPSIQLIRNLTHIWEDMDSETEAALVFYTLDNMKLEFAYKRLRNNQVVGTCSDLFICGKPEKSIKEDKYFNMIYSNDPLQTLQFYRKKFSRVTVTNNVTSNFNGKYLWGSKFVGTGGAGGGGKSSSHSTTDEDKDADEHDIEFSHSPLKEPKYEARPLQRIDGSYQEYKYNVVHWQSSYFKCAEKVLLNEAKHEADEPVTSYLTRIDWSVTAASFDDSPFFLLDQLPDNRYTKELEEIVTEYDLPELLRPKEQRQQQRSSVAVAAASAASHRLEAAQGPRLLSRTFRRTREGAM
+>sp|Q8N1I0|DOCK4_HUMAN Dedicator of cytokinesis protein 4 OS=Homo sapiens OX=9606 GN=DOCK4 PE=1 SV=3
+MWIPTEHEKYGVVIASFRGTVPYGLSLEIGDTVQILEKCDGWYRGFALKNPNIKGIFPSSYVHLKNACVKNKGQFEMVIPTEDSVITEMTSTLRDWGTMWKQLYVRNEGDLFHRLWHIMNEILDLRRQVLVGHLTHDRMKDVKRHITARLDWGNEQLGLDLVPRKEYAMVDPEDISITELYRLMEHRHRKKDTPVQASSHHLFVQMKSLMCSNLGEELEVIFSLFDSKENRPISERFFLRLNRNGLPKAPDKPERHCSLFVDLGSSELRKDIYITVHIIRIGRMGAGEKKNACSVQYRRPFGCAVLSIADLLTGETKDDLILKVYMCNTESEWYQIHENIIKKLNARYNLTGSNAGLAVSLQLLHGDIEQIRREYSSVFSHGVSITRKLGFSNIIMPGEMRNDLYITIERGEFEKGGKSVARNVEVTMFIVDSSGQTLKDFISFGSGEPPASEYHSFVLYHNNSPRWSELLKLPIPVDKFRGAHIRFEFRHCSTKEKGEKKLFGFSFVPLMQEDGRTLPDGTHELIVHKCEENTNLQDTTRYLKLPFSKGIFLGNNNQAMKATKESFCITSFLCSTKLTQNGDMLDLLKWRTHPDKITGCLSKLKEIDGSEIVKFLQDTLDTLFGILDENSQKYGSKVFDSLVHIINLLQDSKFHHFKPVMDTYIESHFAGALAYRDLIKVLKWYVDRITEAERQEHIQEVLKAQEYIFKYIVQSRRLFSLATGGQNEEEFRCCIQELLMSVRFFLSQESKGSGALSQSQAVFLSSFPAVYSELLKLFDVREVANLVQDTLGSLPTILHVDDSLQAIKLQCIGKTVESQLYTNPDSRYILLPVVLHHLHIHLQEQKDLIMCARILSNVFCLIKKNSSEKSVLEEIDVIVASLLDILLRTILEITSRPQPSSSAMRFQFQDVTGEFVACLLSLLRQMTDRHYQQLLDSFNTKEELRDFLLQIFTVFRILIRPEMFPKDWTVMRLVANNVIITTVLYLSDALRKNFLNENFDYKIWDSYFYLAVIFINQLCLQLEMFTPSKKKKVLEKYGDMRVTMGCEIFSMWQNLGEHKLHFIPALIGPFLEVTLIPQPDLRNVMIPIFHDMMDWEQRRSGNFKQVEAKLIDKLDSLMSEGKGDETYRELFNSILLKKIERETWRESGVSLIATVTRLMERLLDYRDCMKMGEVDGKKIGCTVSLLNFYKTELNKEEMYIRYIHKLYDLHLKAQNFTEAAYTLLLYDELLEWSDRPLREFLTYPMQTEWQRKEHLHLTIIQNFDRGKCWENGIILCRKIAEQYESYYDYRNLSKMRMMEASLYDKIMDQQRLEPEFFRVGFYGKKFPFFLRNKEFVCRGHDYERLEAFQQRMLNEFPHAIAMQHANQPDETIFQAEAQYLQIYAVTPIPESQEVLQREGVPDNIKSFYKVNHIWKFRYDRPFHKGTKDKENEFKSLWVERTSLYLVQSLPGISRWFEVEKREVVEMSPLENAIEVLENKNQQLKTLISQCQTRQMQNINPLTMCLNGVIDAAVNGGVSRYQEAFFVKEYILSHPEDGEKIARLRELMLEQAQILEFGLAVHEKFVPQDMRPLHKKLVDQFFVMKSSLGIQEFSACMQASPVHFPNGSPRVCRNSAPASVSPDGTRVIPRRSPLSYPAVNRYSSSSLSSQASAEVSNITGQSESSDEVFNMQPSPSTSSLSSTHSASPNVTSSAPSSARASPLLSDKHKHSRENSCLSPRERPCSAIYPTPVEPSQRMLFNHIGDGALPRSDPNLSAPEKAVNPTPSSWSLDSGKEAKNMSDSGKLISPPVPPRPTQTASPARHTTSVSPSPAGRSPLKGSVQSFTPSPVEYHSPGLISNSPVLSGSYSSGISSLSRCSTSETSGFENQVNEQSAPLPVPVPVPVPSYGGEEPVRKESKTPPPYSVYERTLRRPVPLPHSLSIPVTSEPPALPPKPLAARSSHLENGARRTDPGPRPRPLPRKVSQL
+>DECOY_sp|Q8N1I0|DOCK4_HUMAN Dedicator of cytokinesis protein 4 OS=Homo sapiens OX=9606 GN=DOCK4 PE=1 SV=3
+LQSVKRPLPRPRPGPDTRRAGNELHSSRAALPKPPLAPPESTVPISLSHPLPVPRRLTREYVSYPPPTKSEKRVPEEGGYSPVPVPVPVPLPASQENVQNEFGSTESTSCRSLSSIGSSYSGSLVPSNSILGPSHYEVPSPTFSQVSGKLPSRGAPSPSVSTTHRAPSATQTPRPPVPPSILKGSDSMNKAEKGSDLSWSSPTPNVAKEPASLNPDSRPLAGDGIHNFLMRQSPEVPTPYIASCPRERPSLCSNERSHKHKDSLLPSARASSPASSTVNPSASHTSSLSSTSPSPQMNFVEDSSESQGTINSVEASAQSSLSSSSYRNVAPYSLPSRRPIVRTGDPSVSAPASNRCVRPSGNPFHVPSAQMCASFEQIGLSSKMVFFQDVLKKHLPRMDQPVFKEHVALGFELIQAQELMLERLRAIKEGDEPHSLIYEKVFFAEQYRSVGGNVAADIVGNLCMTLPNINQMQRTQCQSILTKLQQNKNELVEIANELPSMEVVERKEVEFWRSIGPLSQVLYLSTREVWLSKFENEKDKTGKHFPRDYRFKWIHNVKYFSKINDPVGERQLVEQSEPIPTVAYIQLYQAEAQFITEDPQNAHQMAIAHPFENLMRQQFAELREYDHGRCVFEKNRLFFPFKKGYFGVRFFEPELRQQDMIKDYLSAEMMRMKSLNRYDYYSEYQEAIKRCLIIGNEWCKGRDFNQIITLHLHEKRQWETQMPYTLFERLPRDSWELLEDYLLLTYAAETFNQAKLHLDYLKHIYRIYMEEKNLETKYFNLLSVTCGIKKGDVEGMKMCDRYDLLREMLRTVTAILSVGSERWTEREIKKLLISNFLERYTEDGKGESMLSDLKDILKAEVQKFNGSRRQEWDMMDHFIPIMVNRLDPQPILTVELFPGILAPIFHLKHEGLNQWMSFIECGMTVRMDGYKELVKKKKSPTFMELQLCLQNIFIVALYFYSDWIKYDFNENLFNKRLADSLYLVTTIIVNNAVLRMVTWDKPFMEPRILIRFVTFIQLLFDRLEEKTNFSDLLQQYHRDTMQRLLSLLCAVFEGTVDQFQFRMASSSPQPRSTIELITRLLIDLLSAVIVDIEELVSKESSNKKILCFVNSLIRACMILDKQEQLHIHLHHLVVPLLIYRSDPNTYLQSEVTKGICQLKIAQLSDDVHLITPLSGLTDQVLNAVERVDFLKLLESYVAPFSSLFVAQSQSLAGSGKSEQSLFFRVSMLLEQICCRFEEENQGGTALSFLRRSQVIYKFIYEQAKLVEQIHEQREAETIRDVYWKLVKILDRYALAGAFHSEIYTDMVPKFHHFKSDQLLNIIHVLSDFVKSGYKQSNEDLIGFLTDLTDQLFKVIESGDIEKLKSLCGTIKDPHTRWKLLDLMDGNQTLKTSCLFSTICFSEKTAKMAQNNNGLFIGKSFPLKLYRTTDQLNTNEECKHVILEHTGDPLTRGDEQMLPVFSFGFLKKEGKEKTSCHRFEFRIHAGRFKDVPIPLKLLESWRPSNNHYLVFSHYESAPPEGSGFSIFDKLTQGSSDVIFMTVEVNRAVSKGGKEFEGREITIYLDNRMEGPMIINSFGLKRTISVGHSFVSSYERRIQEIDGHLLQLSVALGANSGTLNYRANLKKIINEHIQYWESETNCMYVKLILDDKTEGTLLDAISLVACGFPRRYQVSCANKKEGAGMRGIRIIHVTIYIDKRLESSGLDVFLSCHREPKDPAKPLGNRNLRLFFRESIPRNEKSDFLSFIVELEEGLNSCMLSKMQVFLHHSSAQVPTDKKRHRHEMLRYLETISIDEPDVMAYEKRPVLDLGLQENGWDLRATIHRKVDKMRDHTLHGVLVQRRLDLIENMIHWLRHFLDGENRVYLQKWMTGWDRLTSTMETIVSDETPIVMEFQGKNKVCANKLHVYSSPFIGKINPNKLAFGRYWGDCKELIQVTDGIELSLGYPVTGRFSAIVVGYKEHETPIWM
+>sp|Q9H7D0|DOCK5_HUMAN Dedicator of cytokinesis protein 5 OS=Homo sapiens OX=9606 GN=DOCK5 PE=1 SV=3
+MARWIPTKRQKYGVAIYNYNASQDVELSLQIGDTVHILEMYEGWYRGYTLQNKSKKGIFPETYIHLKEATVEDLGQHETVIPGELPLVQELTSTLREWAVIWRKLYVNNKLTLFRQLQQMTYSLIEWRSQILSGTLPKDELAELKKKVTAKIDHGNRMLGLDLVVRDDNGNILDPDETSTIALFKAHEVASKRIEEKIQEEKSILQNLDLRGQSIFSTIHTYGLYVNFKNFVCNIGEDAELFMALYDPDQSTFISENYLIRWGSNGMPKEIEKLNNLQAVFTDLSSMDLIRPRVSLVCQIVRVGHMELKEGKKHTCGLRRPFGVAVMDITDIIHGKVDDEEKQHFIPFQQIAMETYIRQRQLIMSPLITSHVIGENEPLTSVLNKVIAAKEVNHKGQGLWVSLKLLPGDLTQVQKNFSHLVDRSTAIARKMGFPEIILPGDVRNDIYVTLIHGEFDKGKKKTPKNVEVTMSVHDEEGKLLEKAIHPGAGYEGISEYKSVVYYQVKQPCWYETVKVSIAIEEVTRCHIRFTFRHRSSQETRDKSERAFGVAFVKLMNPDGTTLQDGRHDLVVYKGDNKKMEDAKFYLTLPGTKMEMEEKELQASKNLVTFTPSKDSTKDSFQIATLICSTKLTQNVDLLGLLNWRSNSQNIKHNLKKLMEVDGGEIVKFLQDTLDALFNIMMEMSDSETYDFLVFDALVFIISLIGDIKFQHFNPVLETYIYKHFSATLAYVKLSKVLNFYVANADDSSKTELLFAALKALKYLFRFIIQSRVLYLRFYGQSKDGDEFNNSIRQLFLAFNMLMDRPLEEAVKIKGAALKYLPSIINDVKLVFDPVELSVLFCKFIQSIPDNQLVRQKLNCMTKIVESTLFRQSECREVLLPLLTDQLSGQLDDNSNKPDHEASSQLLSNILEVLDRKDVGATAVHIQLIMERLLRRINRTVIGMNRQSPHIGSFVACMIALLQQMDDSHYSHYISTFKTRQDIIDFLMETFIMFKDLIGKNVYAKDWMVMNMTQNRVFLRAINQFAEVLTRFFMDQASFELQLWNNYFHLAVAFLTHESLQLETFSQAKRNKIVKKYGDMRKEIGFRIRDMWYNLGPHKIKFIPSMVGPILEVTLTPEVELRKATIPIFFDMMQCEFNFSGNGNFHMFENELITKLDQEVEGGRGDEQYKVLLEKLLLEHCRKHKYLSSSGEVFALLVSSLLENLLDYRTIIMQDESKENRMSCTVNVLNFYKEKKREDIYIRYLYKLRDLHRDCENYTEAAYTLLLHAELLQWSDKPCVPHLLQKDSYYVYTQQELKEKLYQEIISYFDKGKMWEKAIKLSKELAETYESKVFDYEGLGNLLKKRASFYENIIKAMRPQPEYFAVGYYGQGFPSFLRNKIFIYRGKEYERREDFSLRLLTQFPNAEKMTSTTPPGEDIKSSPKQYMQCFTVKPVMSLPPSYKDKPVPEQILNYYRANEVQQFRYSRPFRKGEKDPDNEFATMWIERTTYTTAYTFPGILKWFEVKQISTEEISPLENAIETMELTNERISNCVQQHAWDRSLSVHPLSMLLSGIVDPAVMGGFSNYEKAFFTEKYLQEHPEDQEKVELLKRLIALQMPLLTEGIRIHGEKLTEQLKPLHERLSSCFRELKEKVEKHYGVITLPPNLTERKQSRTGSIVLPYIMSSTLRRLSITSVTSSVVSTSSNSSDNAPSRPGSDGSILEPLLERRASSGARVEDLSLREENSENRISKFKRKDWSLSKSQVIAEKAPEPDLMSPTRKAQRPKSLQLMDNRLSPFHGSSPPQSTPLSPPPLTPKATRTLSSPSLQTDGIAATPVPPPPPPKSKPYEGSQRNSTELAPPLPVRREAKAPPPPPPKARKSGIPTSEPGSQ
+>DECOY_sp|Q9H7D0|DOCK5_HUMAN Dedicator of cytokinesis protein 5 OS=Homo sapiens OX=9606 GN=DOCK5 PE=1 SV=3
+QSGPESTPIGSKRAKPPPPPPAKAERRVPLPPALETSNRQSGEYPKSKPPPPPPVPTAAIGDTQLSPSSLTRTAKPTLPPPSLPTSQPPSSGHFPSLRNDMLQLSKPRQAKRTPSMLDPEPAKEAIVQSKSLSWDKRKFKSIRNESNEERLSLDEVRAGSSARRELLPELISGDSGPRSPANDSSNSSTSVVSSTVSTISLRRLTSSMIYPLVISGTRSQKRETLNPPLTIVGYHKEVKEKLERFCSSLREHLPKLQETLKEGHIRIGETLLPMQLAILRKLLEVKEQDEPHEQLYKETFFAKEYNSFGGMVAPDVIGSLLMSLPHVSLSRDWAHQQVCNSIRENTLEMTEIANELPSIEETSIQKVEFWKLIGPFTYATTYTTREIWMTAFENDPDKEGKRFPRSYRFQQVENARYYNLIQEPVPKDKYSPPLSMVPKVTFCQMYQKPSSKIDEGPPTTSTMKEANPFQTLLRLSFDERREYEKGRYIFIKNRLFSPFGQGYYGVAFYEPQPRMAKIINEYFSARKKLLNGLGEYDFVKSEYTEALEKSLKIAKEWMKGKDFYSIIEQYLKEKLEQQTYVYYSDKQLLHPVCPKDSWQLLEAHLLLTYAAETYNECDRHLDRLKYLYRIYIDERKKEKYFNLVNVTCSMRNEKSEDQMIITRYDLLNELLSSVLLAFVEGSSSLYKHKRCHELLLKELLVKYQEDGRGGEVEQDLKTILENEFMHFNGNGSFNFECQMMDFFIPITAKRLEVEPTLTVELIPGVMSPIFKIKHPGLNYWMDRIRFGIEKRMDGYKKVIKNRKAQSFTELQLSEHTLFAVALHFYNNWLQLEFSAQDMFFRTLVEAFQNIARLFVRNQTMNMVMWDKAYVNKGILDKFMIFTEMLFDIIDQRTKFTSIYHSYHSDDMQQLLAIMCAVFSGIHPSQRNMGIVTRNIRRLLREMILQIHVATAGVDKRDLVELINSLLQSSAEHDPKNSNDDLQGSLQDTLLPLLVERCESQRFLTSEVIKTMCNLKQRVLQNDPISQIFKCFLVSLEVPDFVLKVDNIISPLYKLAAGKIKVAEELPRDMLMNFALFLQRISNNFEDGDKSQGYFRLYLVRSQIIFRFLYKLAKLAAFLLETKSSDDANAVYFNLVKSLKVYALTASFHKYIYTELVPNFHQFKIDGILSIIFVLADFVLFDYTESDSMEMMINFLADLTDQLFKVIEGGDVEMLKKLNHKINQSNSRWNLLGLLDVNQTLKTSCILTAIQFSDKTSDKSPTFTVLNKSAQLEKEEMEMKTGPLTLYFKADEMKKNDGKYVVLDHRGDQLTTGDPNMLKVFAVGFARESKDRTEQSSRHRFTFRIHCRTVEEIAISVKVTEYWCPQKVQYYVVSKYESIGEYGAGPHIAKELLKGEEDHVSMTVEVNKPTKKKGKDFEGHILTVYIDNRVDGPLIIEPFGMKRAIATSRDVLHSFNKQVQTLDGPLLKLSVWLGQGKHNVEKAAIVKNLVSTLPENEGIVHSTILPSMILQRQRIYTEMAIQQFPIFHQKEEDDVKGHIIDTIDMVAVGFPRRLGCTHKKGEKLEMHGVRVIQCVLSVRPRILDMSSLDTFVAQLNNLKEIEKPMGNSGWRILYNESIFTSQDPDYLAMFLEADEGINCVFNKFNVYLGYTHITSFISQGRLDLNQLISKEEQIKEEIRKSAVEHAKFLAITSTEDPDLINGNDDRVVLDLGLMRNGHDIKATVKKKLEALEDKPLTGSLIQSRWEILSYTMQQLQRFLTLKNNVYLKRWIVAWERLTSTLEQVLPLEGPIVTEHQGLDEVTAEKLHIYTEPFIGKKSKNQLTYGRYWGEYMELIHVTDGIQLSLEVDQSANYNYIAVGYKQRKTPIWRAM
+>sp|Q8NF50|DOCK8_HUMAN Dedicator of cytokinesis protein 8 OS=Homo sapiens OX=9606 GN=DOCK8 PE=1 SV=3
+MATLPSAERRAFALKINRYSSAEIRKQFTLPPNLGQYHRQSISTSGFPSLQLPQFYDPVEPVDFEGLLMTHLNSLDVQLAQELGDFTDDDLDVVFTPKECRTLQPSLPEEGVELDPHVRDCVQTYIREWLIVNRKNQGSPEICGFKKTGSRKDFHKTLPKQTFESETLECSEPAAQAGPRHLNVLCDVSGKGPVTACDFDLRSLQPDKRLENLLQQVSAEDFEKQNEEARRTNRQAELFALYPSVDEEDAVEIRPVPECPKEHLGNRILVKLLTLKFEIEIEPLFASIALYDVKERKKISENFHCDLNSDQFKGFLRAHTPSVAASSQARSAVFSVTYPSSDIYLVVKIEKVLQQGEIGDCAEPYTVIKESDGGKSKEKIEKLKLQAESFCQRLGKYRMPFAWAPISLSSFFNVSTLEREVTDVDSVVGRSSVGERRTLAQSRRLSERALSLEENGVGSNFKTSTLSVSSFFKQEGDRLSDEDLFKFLADYKRSSSLQRRVKSIPGLLRLEISTAPEIINCCLTPEMLPVKPFPENRTRPHKEILEFPTREVYVPHTVYRNLLYVYPQRLNFVNKLASARNITIKIQFMCGEDASNAMPVIFGKSSGPEFLQEVYTAVTYHNKSPDFYEEVKIKLPAKLTVNHHLLFTFYHISCQQKQGASVETLLGYSWLPILLNERLQTGSYCLPVALEKLPPNYSMHSAEKVPLQNPPIKWAEGHKGVFNIEVQAVSSVHTQDNHLEKFFTLCHSLESQVTFPIRVLDQKISEMALEHELKLSIICLNSSRLEPLVLFLHLVLDKLFQLSVQPMVIAGQTANFSQFAFESVVAIANSLHNSKDLSKDQHGRNCLLASYVHYVFRLPEVQRDVPKSGAPTALLDPRSYHTYGRTSAAAVSSKLLQARVMSSSNPDLAGTHSAADEEVKNIMSSKIADRNCSRMSYYCSGSSDAPSSPAAPRPASKKHFHEELALQMVVSTGMVRETVFKYAWFFFELLVKSMAQHVHNMDKRDSFRRTRFSDRFMDDITTIVNVVTSEIAALLVKPQKENEQAEKMNISLAFFLYDLLSLMDRGFVFNLIRHYCSQLSAKLSNLPTLISMRLEFLRILCSHEHYLNLNLFFMNADTAPTSPCPSISSQNSSSCSSFQDQKIASMFDLTSEYRQQHFLTGLLFTELAAALDAEGEGISKVQRKAVSAIHSLLSSHDLDPRCVKPEVKVKIAALYLPLVGIILDALPQLCDFTVADTRRYRTSGSDEEQEGAGAINQNVALAIAGNNFNLKTSGIVLSSLPYKQYNMLNADTTRNLMICFLWIMKNADQSLIRKWIADLPSTQLNRILDLLFICVLCFEYKGKQSSDKVSTQVLQKSRDVKARLEEALLRGEGARGEMMRRRAPGNDRFPGLNENLRWKKEQTHWRQANEKLDKTKAELDQEALISGNLATEAHLIILDMQENIIQASSALDCKDSLLGGVLRVLVNSLNCDQSTTYLTHCFATLRALIAKFGDLLFEEEVEQCFDLCHQVLHHCSSSMDVTRSQACATLYLLMRFSFGATSNFARVKMQVTMSLASLVGRAPDFNEEHLRRSLRTILAYSEEDTAMQMTPFPTQVEELLCNLNSILYDTVKMREFQEDPEMLMDLMYRIAKSYQASPDLRLTWLQNMAEKHTKKKCYTEAAMCLVHAAALVAEYLSMLEDHSYLPVGSVSFQNISSNVLEESVVSEDTLSPDEDGVCAGQYFTESGLVGLLEQAAELFSTGGLYETVNEVYKLVIPILEAHREFRKLTLTHSKLQRAFDSIVNKDHKRMFGTYFRVGFFGSKFGDLDEQEFVYKEPAITKLPEISHRLEAFYGQCFGAEFVEVIKDSTPVDKTKLDPNKAYIQITFVEPYFDEYEMKDRVTYFEKNFNLRRFMYTTPFTLEGRPRGELHEQYRRNTVLTTMHAFPYIKTRISVIQKEEFVLTPIEVAIEDMKKKTLQLAVAINQEPPDAKMLQMVLQGSVGATVNQGPLEVAQVFLAEIPADPKLYRHHNKLRLCFKEFIMRCGEAVEKNKRLITADQREYQQELKKNYNKLKENLRPMIERKIPELYKPIFRVESQKRDSFHRSSFRKCETQLSQGS
+>DECOY_sp|Q8NF50|DOCK8_HUMAN Dedicator of cytokinesis protein 8 OS=Homo sapiens OX=9606 GN=DOCK8 PE=1 SV=3
+SGQSLQTECKRFSSRHFSDRKQSEVRFIPKYLEPIKREIMPRLNEKLKNYNKKLEQQYERQDATILRKNKEVAEGCRMIFEKFCLRLKNHHRYLKPDAPIEALFVQAVELPGQNVTAGVSGQLVMQLMKADPPEQNIAVALQLTKKKMDEIAVEIPTLVFEEKQIVSIRTKIYPFAHMTTLVTNRRYQEHLEGRPRGELTFPTTYMFRRLNFNKEFYTVRDKMEYEDFYPEVFTIQIYAKNPDLKTKDVPTSDKIVEVFEAGFCQGYFAELRHSIEPLKTIAPEKYVFEQEDLDGFKSGFFGVRFYTGFMRKHDKNVISDFARQLKSHTLTLKRFERHAELIPIVLKYVENVTEYLGGTSFLEAAQELLGVLGSETFYQGACVGDEDPSLTDESVVSEELVNSSINQFSVSGVPLYSHDELMSLYEAVLAAAHVLCMAAETYCKKKTHKEAMNQLWTLRLDPSAQYSKAIRYMLDMLMEPDEQFERMKVTDYLISNLNCLLEEVQTPFPTMQMATDEESYALITRLSRRLHEENFDPARGVLSALSMTVQMKVRAFNSTAGFSFRMLLYLTACAQSRTVDMSSSCHHLVQHCLDFCQEVEEEFLLDGFKAILARLTAFCHTLYTTSQDCNLSNVLVRLVGGLLSDKCDLASSAQIINEQMDLIILHAETALNGSILAEQDLEAKTKDLKENAQRWHTQEKKWRLNENLGPFRDNGPARRRMMEGRAGEGRLLAEELRAKVDRSKQLVQTSVKDSSQKGKYEFCLVCIFLLDLIRNLQTSPLDAIWKRILSQDANKMIWLFCIMLNRTTDANLMNYQKYPLSSLVIGSTKLNFNNGAIALAVNQNIAGAGEQEEDSGSTRYRRTDAVTFDCLQPLADLIIGVLPLYLAAIKVKVEPKVCRPDLDHSSLLSHIASVAKRQVKSIGEGEADLAAALETFLLGTLFHQQRYESTLDFMSAIKQDQFSSCSSSNQSSISPCPSTPATDANMFFLNLNLYHEHSCLIRLFELRMSILTPLNSLKASLQSCYHRILNFVFGRDMLSLLDYLFFALSINMKEAQENEKQPKVLLAAIESTVVNVITTIDDMFRDSFRTRRFSDRKDMNHVHQAMSKVLLEFFFWAYKFVTERVMGTSVVMQLALEEHFHKKSAPRPAAPSSPADSSGSCYYSMRSCNRDAIKSSMINKVEEDAASHTGALDPNSSSMVRAQLLKSSVAAASTRGYTHYSRPDLLATPAGSKPVDRQVEPLRFVYHVYSALLCNRGHQDKSLDKSNHLSNAIAVVSEFAFQSFNATQGAIVMPQVSLQFLKDLVLHLFLVLPELRSSNLCIISLKLEHELAMESIKQDLVRIPFTVQSELSHCLTFFKELHNDQTHVSSVAQVEINFVGKHGEAWKIPPNQLPVKEASHMSYNPPLKELAVPLCYSGTQLRENLLIPLWSYGLLTEVSAGQKQQCSIHYFTFLLHHNVTLKAPLKIKVEEYFDPSKNHYTVATYVEQLFEPGSSKGFIVPMANSADEGCMFQIKITINRASALKNVFNLRQPYVYLLNRYVTHPVYVERTPFELIEKHPRTRNEPFPKVPLMEPTLCCNIIEPATSIELRLLGPISKVRRQLSSSRKYDALFKFLDEDSLRDGEQKFFSSVSLTSTKFNSGVGNEELSLARESLRRSQALTRREGVSSRGVVSDVDTVERELTSVNFFSSLSIPAWAFPMRYKGLRQCFSEAQLKLKEIKEKSKGGDSEKIVTYPEACDGIEGQQLVKEIKVVLYIDSSPYTVSFVASRAQSSAAVSPTHARLFGKFQDSNLDCHFNESIKKREKVDYLAISAFLPEIEIEFKLTLLKVLIRNGLHEKPCEPVPRIEVADEEDVSPYLAFLEAQRNTRRAEENQKEFDEASVQQLLNELRKDPQLSRLDFDCATVPGKGSVDCLVNLHRPGAQAAPESCELTESEFTQKPLTKHFDKRSGTKKFGCIEPSGQNKRNVILWERIYTQVCDRVHPDLEVGEEPLSPQLTRCEKPTFVVDLDDDTFDGLEQALQVDLSNLHTMLLGEFDVPEVPDYFQPLQLSPFGSTSISQRHYQGLNPPLTFQKRIEASSYRNIKLAFARREASPLTAM
+>sp|Q9P104|DOK5_HUMAN Docking protein 5 OS=Homo sapiens OX=9606 GN=DOK5 PE=1 SV=2
+MASNFNDIVKQGYVRIRSRRLGIYQRCWLVFKKASSKGPKRLEKFSDERAAYFRCYHKVTELNNVKNVARLPKSTKKHAIGIYFNDDTSKTFACESDLEADEWCKVLQMECVGTRINDISLGEPDLLATGVEREQSERFNVYLMPSPNLDVHGECALQITYEYICLWDVQNPRVKLISWPLSALRRYGRDTTWFTFEAGRMCETGEGLFIFQTRDGEAIYQKVHSAALAIAEQHERLLQSVKNSMLQMKMSERAASLSTMVPLPRSAYWQHITRQHSTGQLYRLQDVSSPLKLHRTETFPAYRSEH
+>DECOY_sp|Q9P104|DOK5_HUMAN Docking protein 5 OS=Homo sapiens OX=9606 GN=DOK5 PE=1 SV=2
+HESRYAPFTETRHLKLPSSVDQLRYLQGTSHQRTIHQWYASRPLPVMTSLSAARESMKMQLMSNKVSQLLREHQEAIALAASHVKQYIAEGDRTQFIFLGEGTECMRGAEFTFWTTDRGYRRLASLPWSILKVRPNQVDWLCIYEYTIQLACEGHVDLNPSPMLYVNFRESQEREVGTALLDPEGLSIDNIRTGVCEMQLVKCWEDAELDSECAFTKSTDDNFYIGIAHKKTSKPLRAVNKVNNLETVKHYCRFYAAREDSFKELRKPGKSSAKKFVLWCRQYIGLRRSRIRVYGQKVIDNFNSAM
+>sp|Q9NYP3|DONS_HUMAN Protein downstream neighbor of Son OS=Homo sapiens OX=9606 GN=DONSON PE=1 SV=2
+MALSVPGYSPGFRKPPEVVRLRRKRARSRGAAASPPRELTEPAARRAALVAGLPLRPFPAAGGRGGGSGGGPAAARRNPFARLDNRPRVAAEPPDGPAREQPEAPVPFLDSNQENDLLWEEKFPERTTVTELPQTSHVSFSEPDIPSSKSTELPVDWSIKTRLLFTSSQPFTWADHLKAQEEAQGLVQHCRATEVTLPKSIQDPKLSSELRCTFQQSLIYWLHPALSWLPLFPRIGADRKMAGKTSPWSNDATLQHVLMSDWSVSFTSLYNLLKTKLCPYFYVCTYQFTVLFRAAGLAGSDLITALISPTTRGLREAMRNEGIEFSLPLIKESGHKKETASGTSLGYGEEQAISDEDEEESFSWLEEMGVQDKIKKPDILSIKLRKEKHEVQMDHRPESVVLVKGINTFTLLNFLINSKSLVATSGPQAGLPPTLLSPVAFRGATMQMLKARSVNVKTQALSGYRDQFSLEITGPIMPHSLHSLTMLLKSSQSGSFSAVLYPHEPTAVFNICLQMDKVLDMEVVHKELTNCGLHPNTLEQLSQIPLLGKSSLRNVVLRDYIYNWRS
+>DECOY_sp|Q9NYP3|DONS_HUMAN Protein downstream neighbor of Son OS=Homo sapiens OX=9606 GN=DONSON PE=1 SV=2
+SRWNYIYDRLVVNRLSSKGLLPIQSLQELTNPHLGCNTLEKHVVEMDLVKDMQLCINFVATPEHPYLVASFSGSQSSKLLMTLSHLSHPMIPGTIELSFQDRYGSLAQTKVNVSRAKLMQMTAGRFAVPSLLTPPLGAQPGSTAVLSKSNILFNLLTFTNIGKVLVVSEPRHDMQVEHKEKRLKISLIDPKKIKDQVGMEELWSFSEEEDEDSIAQEEGYGLSTGSATEKKHGSEKILPLSFEIGENRMAERLGRTTPSILATILDSGALGAARFLVTFQYTCVYFYPCLKTKLLNYLSTFSVSWDSMLVHQLTADNSWPSTKGAMKRDAGIRPFLPLWSLAPHLWYILSQQFTCRLESSLKPDQISKPLTVETARCHQVLGQAEEQAKLHDAWTFPQSSTFLLRTKISWDVPLETSKSSPIDPESFSVHSTQPLETVTTREPFKEEWLLDNEQNSDLFPVPAEPQERAPGDPPEAAVRPRNDLRAFPNRRAAAPGGGSGGGRGGAAPFPRLPLGAVLAARRAAPETLERPPSAAAGRSRARKRRLRVVEPPKRFGPSYGPVSLAM
+>sp|Q9H4G8|DPH3B_HUMAN Putative DPH3 homolog B OS=Homo sapiens OX=9606 GN=DPH3P1 PE=5 SV=1
+MAVFHDEVEIEDFQYDEDSETYFCPCPCGDNFSITKEELENGEGVAMCPGCSLIIKVIYDKDQFACGETVPVPSVNKE
+>DECOY_sp|Q9H4G8|DPH3B_HUMAN Putative DPH3 homolog B OS=Homo sapiens OX=9606 GN=DPH3P1 PE=5 SV=1
+EKNVSPVPVTEGCAFQDKDYIVKIILSCGPCMAVGEGNELEEKTISFNDGCPCPCFYTESDEDYQFDEIEVEDHFVAM
+>sp|Q96FX2|DPH3_HUMAN DPH3 homolog OS=Homo sapiens OX=9606 GN=DPH3 PE=1 SV=1
+MAVFHDEVEIEDFQYDEDSETYFYPCPCGDNFSITKEDLENGEDVATCPSCSLIIKVIYDKDQFVCGETVPAPSANKELVKC
+>DECOY_sp|Q96FX2|DPH3_HUMAN DPH3 homolog OS=Homo sapiens OX=9606 GN=DPH3 PE=1 SV=1
+CKVLEKNASPAPVTEGCVFQDKDYIVKIILSCSPCTAVDEGNELDEKTISFNDGCPCPYFYTESDEDYQFDEIEVEDHFVAM
+>sp|O94777|DPM2_HUMAN Dolichol phosphate-mannose biosynthesis regulatory protein OS=Homo sapiens OX=9606 GN=DPM2 PE=1 SV=3
+MATGTDQVVGLGLVAVSLIIFTYYTAWVILLPFIDSQHVIHKYFLPRAYAVAIPLAAGLLLLLFVGLFISYVMLKTKRVTKKAQ
+>DECOY_sp|O94777|DPM2_HUMAN Dolichol phosphate-mannose biosynthesis regulatory protein OS=Homo sapiens OX=9606 GN=DPM2 PE=1 SV=3
+QAKKTVRKTKLMVYSIFLGVFLLLLLGAALPIAVAYARPLFYKHIVHQSDIFPLLIVWATYYTFIILSVAVLGLGVVQDTGTAM
+>sp|Q14181|DPOA2_HUMAN DNA polymerase alpha subunit B OS=Homo sapiens OX=9606 GN=POLA2 PE=1 SV=2
+MSASAQQLAEELQIFGLDCEEALIEKLVELCVQYGQNEEGMVGELIAFCTSTHKVGLTSEILNSFEHEFLSKRLSKARHSTCKDSGHAGARDIVSIQELIEVEEEEEILLNSYTTPSKGSQKRAISTPETPLTKRSVSTRSPHQLLSPSSFSPSATPSQKYNSRSNRGEVVTSFGLAQGVSWSGRGGAGNISLKVLGCPEALTGSYKSMFQKLPDIREVLTCKIEELGSELKEHYKIEAFTPLLAPAQEPVTLLGQIGCDSNGKLNNKSVILEGDREHSSGAQIPVDLSELKEYSLFPGQVVIMEGINTTGRKLVATKLYEGVPLPFYQPTEEDADFEQSMVLVACGPYTTSDSITYDPLLDLIAVINHDRPDVCILFGPFLDAKHEQVENCLLTSPFEDIFKQCLRTIIEGTRSSGSHLVFVPSLRDVHHEPVYPQPPFSYSDLSREDKKQVQFVSEPCSLSINGVIFGLTSTDLLFHLGAEEISSSSGTSDRFSRILKHILTQRSYYPLYPPQEDMAIDYESFYVYAQLPVTPDVLIIPSELRYFVKDVLGCVCVNPGRLTKGQVGGTFARLYLRRPAADGAERQSPCIAVQVVRI
+>DECOY_sp|Q14181|DPOA2_HUMAN DNA polymerase alpha subunit B OS=Homo sapiens OX=9606 GN=POLA2 PE=1 SV=2
+IRVVQVAICPSQREAGDAAPRRLYLRAFTGGVQGKTLRGPNVCVCGLVDKVFYRLESPIILVDPTVPLQAYVYFSEYDIAMDEQPPYLPYYSRQTLIHKLIRSFRDSTGSSSSIEEAGLHFLLDTSTLGFIVGNISLSCPESVFQVQKKDERSLDSYSFPPQPYVPEHHVDRLSPVFVLHSGSSRTGEIITRLCQKFIDEFPSTLLCNEVQEHKADLFPGFLICVDPRDHNIVAILDLLPDYTISDSTTYPGCAVLVMSQEFDADEETPQYFPLPVGEYLKTAVLKRGTTNIGEMIVVQGPFLSYEKLESLDVPIQAGSSHERDGELIVSKNNLKGNSDCGIQGLLTVPEQAPALLPTFAEIKYHEKLESGLEEIKCTLVERIDPLKQFMSKYSGTLAEPCGLVKLSINGAGGRGSWSVGQALGFSTVVEGRNSRSNYKQSPTASPSFSSPSLLQHPSRTSVSRKTLPTEPTSIARKQSGKSPTTYSNLLIEEEEEVEILEQISVIDRAGAHGSDKCTSHRAKSLRKSLFEHEFSNLIESTLGVKHTSTCFAILEGVMGEENQGYQVCLEVLKEILAEECDLGFIQLEEALQQASASM
+>sp|Q8N608|DPP10_HUMAN Inactive dipeptidyl peptidase 10 OS=Homo sapiens OX=9606 GN=DPP10 PE=1 SV=2
+MNQTASVSHHIKCQPSKTIKELGSNSPPQRNWKGIAIALLVILVVCSLITMSVILLTPDELTNSSETRLSLEDLFRKDFVLHDPEARWINDTDVVYKSENGHVIKLNIETNATTLLLENTTFVTFKASRHSVSPDLKYVLLAYDVKQIFHYSYTASYVIYNIHTREVWELNPPEVEDSVLQYAAWGVQGQQLIYIFENNIYYQPDIKSSSLRLTSSGKEEIIFNGIADWLYEEELLHSHIAHWWSPDGERLAFLMINDSLVPTMVIPRFTGALYPKGKQYPYPKAGQVNPTIKLYVVNLYGPTHTLELMPPDSFKSREYYITMVKWVSNTKTVVRWLNRAQNISILTVCETTTGACSKKYEMTSDTWLSQQNEEPVFSRDGSKFFMTVPVKQGGRGEFHHVAMFLIQSKSEQITVRHLTSGNWEVIKILAYDETTQKIYFLSTESSPRGRQLYSASTEGLLNRQCISCNFMKEQCTYFDASFSPMNQHFLLFCEGPRVPVVSLHSTDNPAKYFILESNSMLKEAILKKKIGKPEIKILHIDDYELPLQLSLPKDFMDRNQYALLLIMDEEPGGQLVTDKFHIDWDSVLIDMDNVIVARFDGRGSGFQGLKILQEIHRRLGSVEVKDQITAVKFLLKLPYIDSKRLSIFGKGYGGYIASMILKSDEKLFKCGSVVAPITDLKLYASAFSERYLGMPSKEESTYQAASVLHNVHGLKEENILIIHGTADTKVHFQHSAELIKHLIKAGVNYTMQVYPDEGHNVSEKSKYHLYSTILKFFSDCLKEEISVLPQEPEEDE
+>DECOY_sp|Q8N608|DPP10_HUMAN Inactive dipeptidyl peptidase 10 OS=Homo sapiens OX=9606 GN=DPP10 PE=1 SV=2
+EDEEPEQPLVSIEEKLCDSFFKLITSYLHYKSKESVNHGEDPYVQMTYNVGAKILHKILEASHQFHVKTDATGHIILINEEKLGHVNHLVSAAQYTSEEKSPMGLYRESFASAYLKLDTIPAVVSGCKFLKEDSKLIMSAIYGGYGKGFISLRKSDIYPLKLLFKVATIQDKVEVSGLRRHIEQLIKLGQFGSGRGDFRAVIVNDMDILVSDWDIHFKDTVLQGGPEEDMILLLAYQNRDMFDKPLSLQLPLEYDDIHLIKIEPKGIKKKLIAEKLMSNSELIFYKAPNDTSHLSVVPVRPGECFLLFHQNMPSFSADFYTCQEKMFNCSICQRNLLGETSASYLQRGRPSSETSLFYIKQTTEDYALIKIVEWNGSTLHRVTIQESKSQILFMAVHHFEGRGGQKVPVTMFFKSGDRSFVPEENQQSLWTDSTMEYKKSCAGTTTECVTLISINQARNLWRVVTKTNSVWKVMTIYYERSKFSDPPMLELTHTPGYLNVVYLKITPNVQGAKPYPYQKGKPYLAGTFRPIVMTPVLSDNIMLFALREGDPSWWHAIHSHLLEEEYLWDAIGNFIIEEKGSSTLRLSSSKIDPQYYINNEFIYILQQGQVGWAAYQLVSDEVEPPNLEWVERTHINYIVYSATYSYHFIQKVDYALLVYKLDPSVSHRSAKFTVFTTNELLLTTANTEINLKIVHGNESKYVVDTDNIWRAEPDHLVFDKRFLDELSLRTESSNTLEDPTLLIVSMTILSCVVLIVLLAIAIGKWNRQPPSNSGLEKITKSPQCKIHHSVSATQNM
+>sp|Q7Z7J5|DPPA2_HUMAN Developmental pluripotency-associated protein 2 OS=Homo sapiens OX=9606 GN=DPPA2 PE=1 SV=2
+MSDANLDSSKKNFLEGEVDDEESVILTLVPVKDDANMEQMEPSVSSTSDVKLEKPKKYNPGHLLQTNEQFTAPQKARCKIPALPLPTILPPINKVCRDTLRDWCQQLGLSTNGKKIEVYLRLHRHAYPEQRQDMPEMSQETRLQRCSRKRKAVTKRARLQRSYEMNERAEETNTVEVITSAPGAMLASWARIAARAVQPKALNSCSIPVSVEAFLMQASGVRWCVVHGRLLSADTKGWVRLQFHAGQAWVPTTHRRMISLFLLPACIFPSPGIEDNMLCPDCAKRNKKMMKRLMTVEK
+>DECOY_sp|Q7Z7J5|DPPA2_HUMAN Developmental pluripotency-associated protein 2 OS=Homo sapiens OX=9606 GN=DPPA2 PE=1 SV=2
+KEVTMLRKMMKKNRKACDPCLMNDEIGPSPFICAPLLFLSIMRRHTTPVWAQGAHFQLRVWGKTDASLLRGHVVCWRVGSAQMLFAEVSVPISCSNLAKPQVARAAIRAWSALMAGPASTIVEVTNTEEARENMEYSRQLRARKTVAKRKRSCRQLRTEQSMEPMDQRQEPYAHRHLRLYVEIKKGNTSLGLQQCWDRLTDRCVKNIPPLITPLPLAPIKCRAKQPATFQENTQLLHGPNYKKPKELKVDSTSSVSPEMQEMNADDKVPVLTLIVSEEDDVEGELFNKKSSDLNADSM
+>sp|Q7L190|DPPA4_HUMAN Developmental pluripotency-associated protein 4 OS=Homo sapiens OX=9606 GN=DPPA4 PE=1 SV=2
+MLRGSASSTSMEKAKGKEWTSTEKSREEDQQASNQPNSIALPGTSAKRTKEKMSIKGSKVLCPKKKAEHTDNPRPQKKIPIPPLPSKLPPVNLIHRDILRAWCQQLKLSSKGQKLDAYKRLCAFAYPNQKDFPSTAKEAKIRKSLQKKLKVEKGETSLQSSETHPPEVALPPVGEPPALENSTALLEGVNTVVVTTSAPEALLASWARISARARTPEAVESPQEASGVRWCVVHGKSLPADTDGWVHLQFHAGQAWVPEKQEGRVSALFLLPASNFPPPHLEDNMLCPKCVHRNKVLIKSLQWE
+>DECOY_sp|Q7L190|DPPA4_HUMAN Developmental pluripotency-associated protein 4 OS=Homo sapiens OX=9606 GN=DPPA4 PE=1 SV=2
+EWQLSKILVKNRHVCKPCLMNDELHPPPFNSAPLLFLASVRGEQKEPVWAQGAHFQLHVWGDTDAPLSKGHVVCWRVGSAEQPSEVAEPTRARASIRAWSALLAEPASTTVVVTNVGELLATSNELAPPEGVPPLAVEPPHTESSQLSTEGKEVKLKKQLSKRIKAEKATSPFDKQNPYAFACLRKYADLKQGKSSLKLQQCWARLIDRHILNVPPLKSPLPPIPIKKQPRPNDTHEAKKKPCLVKSGKISMKEKTRKASTGPLAISNPQNSAQQDEERSKETSTWEKGKAKEMSTSSASGRLM
+>sp|Q16555|DPYL2_HUMAN Dihydropyrimidinase-related protein 2 OS=Homo sapiens OX=9606 GN=DPYSL2 PE=1 SV=1
+MSYQGKKNIPRITSDRLLIKGGKIVNDDQSFYADIYMEDGLIKQIGENLIVPGGVKTIEAHSRMVIPGGIDVHTRFQMPDQGMTSADDFFQGTKAALAGGTTMIIDHVVPEPGTSLLAAFDQWREWADSKSCCDYSLHVDISEWHKGIQEEMEALVKDHGVNSFLVYMAFKDRFQLTDCQIYEVLSVIRDIGAIAQVHAENGDIIAEEQQRILDLGITGPEGHVLSRPEEVEAEAVNRAITIANQTNCPLYITKVMSKSSAEVIAQARKKGTVVYGEPITASLGTDGSHYWSKNWAKAAAFVTSPPLSPDPTTPDFLNSLLSCGDLQVTGSAHCTFNTAQKAVGKDNFTLIPEGTNGTEERMSVIWDKAVVTGKMDENQFVAVTSTNAAKVFNLYPRKGRIAVGSDADLVIWDPDSVKTISAKTHNSSLEYNIFEGMECRGSPLVVISQGKIVLEDGTLHVTEGSGRYIPRKPFPDFVYKRIKARSRLAELRGVPRGLYDGPVCEVSVTPKTVTPASSAKTSPAKQQAPPVRNLHQSGFSLSGAQIDDNIPRRTTQRIVAPPGGRANITSLG
+>DECOY_sp|Q16555|DPYL2_HUMAN Dihydropyrimidinase-related protein 2 OS=Homo sapiens OX=9606 GN=DPYSL2 PE=1 SV=1
+GLSTINARGGPPAVIRQTTRRPINDDIQAGSLSFGSQHLNRVPPAQQKAPSTKASSAPTVTKPTVSVECVPGDYLGRPVGRLEALRSRAKIRKYVFDPFPKRPIYRGSGETVHLTGDELVIKGQSIVVLPSGRCEMGEFINYELSSNHTKASITKVSDPDWIVLDADSGVAIRGKRPYLNFVKAANTSTVAVFQNEDMKGTVVAKDWIVSMREETGNTGEPILTFNDKGVAKQATNFTCHASGTVQLDGCSLLSNLFDPTTPDPSLPPSTVFAAAKAWNKSWYHSGDTGLSATIPEGYVVTGKKRAQAIVEASSKSMVKTIYLPCNTQNAITIARNVAEAEVEEPRSLVHGEPGTIGLDLIRQQEEAIIDGNEAHVQAIAGIDRIVSLVEYIQCDTLQFRDKFAMYVLFSNVGHDKVLAEMEEQIGKHWESIDVHLSYDCCSKSDAWERWQDFAALLSTGPEPVVHDIIMTTGGALAAKTGQFFDDASTMGQDPMQFRTHVDIGGPIVMRSHAEITKVGGPVILNEGIQKILGDEMYIDAYFSQDDNVIKGGKILLRDSTIRPINKKGQYSM
+>sp|P05538|DQB2_HUMAN HLA class II histocompatibility antigen, DQ beta 2 chain OS=Homo sapiens OX=9606 GN=HLA-DQB2 PE=1 SV=2
+MSWKMALQIPGGFWAAAVTVMLVMLSTPVAEARDFPKDFLVQFKGMCYFTNGTERVRGVARYIYNREEYGRFDSDVGEFQAVTELGRSIEDWNNYKDFLEQERAAVDKVCRHNYEAELRTTLQRQVEPTVTISPSRTEALNHHNLLVCSVTDFYPAQIKVRWFRNDQEETAGVVSTSLIRNGDWTFQILVMLEITPQRGDIYTCQVEHPSLQSPITVEWRAQSESAQSKMLSGIGGFVLGLIFLGLGLIIRHRGQKGPRGPPPAGLLH
+>DECOY_sp|P05538|DQB2_HUMAN HLA class II histocompatibility antigen, DQ beta 2 chain OS=Homo sapiens OX=9606 GN=HLA-DQB2 PE=1 SV=2
+HLLGAPPPGRPGKQGRHRIILGLGLFILGLVFGGIGSLMKSQASESQARWEVTIPSQLSPHEVQCTYIDGRQPTIELMVLIQFTWDGNRILSTSVVGATEEQDNRFWRVKIQAPYFDTVSCVLLNHHNLAETRSPSITVTPEVQRQLTTRLEAEYNHRCVKDVAAREQELFDKYNNWDEISRGLETVAQFEGVDSDFRGYEERNYIYRAVGRVRETGNTFYCMGKFQVLFDKPFDRAEAVPTSLMVLMVTVAAAWFGGPIQLAMKWSM
+>sp|Q8N682|DRAM1_HUMAN DNA damage-regulated autophagy modulator protein 1 OS=Homo sapiens OX=9606 GN=DRAM1 PE=1 SV=1
+MLCFLRGMAFVPFLLVTWSSAAFIISYVVAVLSGHVNPFLPYISDTGTTPPESGIFGFMINFSAFLGAATMYTRYKIVQKQNQTCYFSTPVFNLVSLVLGLVGCFGMGIVANFQELAVPVVHDGGALLAFVCGVVYTLLQSIISYKSCPQWNSLSTCHIRMVISAVSCAAVIPMIVCASLISITKLEWNPREKDYVYHVVSAICEWTVAFGFIFYFLTFIQDFQSVTLRISTEINGDI
+>DECOY_sp|Q8N682|DRAM1_HUMAN DNA damage-regulated autophagy modulator protein 1 OS=Homo sapiens OX=9606 GN=DRAM1 PE=1 SV=1
+IDGNIETSIRLTVSQFDQIFTLFYFIFGFAVTWECIASVVHYVYDKERPNWELKTISILSACVIMPIVAACSVASIVMRIHCTSLSNWQPCSKYSIISQLLTYVVGCVFALLAGGDHVVPVALEQFNAVIGMGFCGVLGLVLSVLNFVPTSFYCTQNQKQVIKYRTYMTAAGLFASFNIMFGFIGSEPPTTGTDSIYPLFPNVHGSLVAVVYSIIFAASSWTVLLFPVFAMGRLFCLM
+>sp|P79483|DRB3_HUMAN HLA class II histocompatibility antigen, DR beta 3 chain OS=Homo sapiens OX=9606 GN=HLA-DRB3 PE=1 SV=1
+MVCLKLPGGSSLAALTVTLMVLSSRLAFAGDTRPRFLELRKSECHFFNGTERVRYLDRYFHNQEEFLRFDSDVGEYRAVTELGRPVAESWNSQKDLLEQKRGRVDNYCRHNYGVGESFTVQRRVHPQVTVYPAKTQPLQHHNLLVCSVSGFYPGSIEVRWFRNGQEEKAGVVSTGLIQNGDWTFQTLVMLETVPRSGEVYTCQVEHPSVTSALTVEWRARSESAQSKMLSGVGGFVLGLLFLGAGLFIYFRNQKGHSGLQPTGFLS
+>DECOY_sp|P79483|DRB3_HUMAN HLA class II histocompatibility antigen, DR beta 3 chain OS=Homo sapiens OX=9606 GN=HLA-DRB3 PE=1 SV=1
+SLFGTPQLGSHGKQNRFYIFLGAGLFLLGLVFGGVGSLMKSQASESRARWEVTLASTVSPHEVQCTYVEGSRPVTELMVLTQFTWDGNQILGTSVVGAKEEQGNRFWRVEISGPYFGSVSCVLLNHHQLPQTKAPYVTVQPHVRRQVTFSEGVGYNHRCYNDVRGRKQELLDKQSNWSEAVPRGLETVARYEGVDSDFRLFEEQNHFYRDLYRVRETGNFFHCESKRLELFRPRTDGAFALRSSLVMLTVTLAALSSGGPLKLCVM
+>sp|Q8IY82|DRC7_HUMAN Dynein regulatory complex subunit 7 OS=Homo sapiens OX=9606 GN=DRC7 PE=1 SV=3
+MEVLREKVEEEEEAEREEAAEWAEWARMEKMMRPVEVRKEEITLKQETLRDLEKKLSEIQITVSAELPAFTKDTIDISKLPISYKTNTPKEEHLLQVADNFSRQYSHLCPDRVPLFLHPLNECEVPKFVSTTLRPTLMPYPELYNWDSCAQFVSDFLTMVPLPDPLKPPSHLYSSTTVLKYQKGNCFDFSTLLCSMLIGSGYDAYCVNGYGSLDLCHMDLTREVCPLTVKPKETIKKEEKVLPKKYTIKPPRDLCSRFEQEQEVKKQQEIRAQEKKRLREEEERLMEAEKAKPDALHGLRVHSWVLVLSGKREVPENFFIDPFTGHSYSTQDEHFLGIESLWNHKNYWINMQDCWNCCKDLIFDLGDPVRWEYMLLGTDKSQLSLTEEDDSGINDEDDVENLGKEDEDKSFDMPHSWVEQIEISPEAFETRCPNGKKVIQYKRAKLEKWAPYLNSNGLVSRLTTYEDLQCTNILEIKEWYQNREDMLELKHINKTTDLKTDYFKPGHPQALRVHSYKSMQPEMDRVIEFYETARVDGLMKREETPRTMTEYYQGRPDFLSYRHASFGPRVKKLTLSSAESNPRPIVKITERFFRNPAKPAEEDVAERVFLVAEERIQLRYHCREDHITASKREFLRRTEVDSKGNKIIMTPDMCISFEVEPMEHTKKLLYQYEAMMHLKREEKLSRHQVWESELEVLEILKLREEEEAAHTLTISIYDTKRNEKSKEYREAMERMMHEEHLRQVETQLDYLAPFLAQLPPGEKLTCWQAVRLKDECLSDFKQRLINKANLIQARFEKETQELQKKQQWYQENQVTLTPEDEDLYLSYCSQAMFRIRILEQRLNRHKELAPLKYLALEEKLYKDPRLGELQKIFA
+>DECOY_sp|Q8IY82|DRC7_HUMAN Dynein regulatory complex subunit 7 OS=Homo sapiens OX=9606 GN=DRC7 PE=1 SV=3
+AFIKQLEGLRPDKYLKEELALYKLPALEKHRNLRQELIRIRFMAQSCYSLYLDEDEPTLTVQNEQYWQQKKQLEQTEKEFRAQILNAKNILRQKFDSLCEDKLRVAQWCTLKEGPPLQALFPALYDLQTEVQRLHEEHMMREMAERYEKSKENRKTDYISITLTHAAEEEERLKLIELVELESEWVQHRSLKEERKLHMMAEYQYLLKKTHEMPEVEFSICMDPTMIIKNGKSDVETRRLFERKSATIHDERCHYRLQIREEAVLFVREAVDEEAPKAPNRFFRETIKVIPRPNSEASSLTLKKVRPGFSAHRYSLFDPRGQYYETMTRPTEERKMLGDVRATEYFEIVRDMEPQMSKYSHVRLAQPHGPKFYDTKLDTTKNIHKLELMDERNQYWEKIELINTCQLDEYTTLRSVLGNSNLYPAWKELKARKYQIVKKGNPCRTEFAEPSIEIQEVWSHPMDFSKDEDEKGLNEVDDEDNIGSDDEETLSLQSKDTGLLMYEWRVPDGLDFILDKCCNWCDQMNIWYNKHNWLSEIGLFHEDQTSYSHGTFPDIFFNEPVERKGSLVLVWSHVRLGHLADPKAKEAEMLREEEERLRKKEQARIEQQKKVEQEQEFRSCLDRPPKITYKKPLVKEEKKITEKPKVTLPCVERTLDMHCLDLSGYGNVCYADYGSGILMSCLLTSFDFCNGKQYKLVTTSSYLHSPPKLPDPLPVMTLFDSVFQACSDWNYLEPYPMLTPRLTTSVFKPVECENLPHLFLPVRDPCLHSYQRSFNDAVQLLHEEKPTNTKYSIPLKSIDITDKTFAPLEASVTIQIESLKKELDRLTEQKLTIEEKRVEVPRMMKEMRAWEAWEAAEEREAEEEEEVKERLVEM
+>sp|Q9H095|DRC9_HUMAN Dynein regulatory complex protein 9 OS=Homo sapiens OX=9606 GN=IQCG PE=1 SV=1
+MEEDSLEDSNLPPKVWHSEMTVSVTGEPPSTVEEEGIPKETDIEIIPEIPETLEPLSLPDVLRISAVLEDTTDQLSILNYIMPVQYEGRQSICVKSREMNLEGTNLDKLPMASTITKIPSPLITEEGPNLPEIRHRGRFAVEFNKMQDLVFKKPTRQTIMTTETLKKIQIDRQFFSDVIADTIKELQDSATYNSLLQALSKERENKMHFYDIIAREEKGRKQIISLQKQLINVKKEWQFEVQSQNEYIANLKDQLQEMKAKSNLENRYMKTNTELQIAQTQKKCNRTEELLVEEIEKLRMKTEEEARTHTEIEMFLRKEQQKLEERLEFWMEKYDKDTEMKQNELNALKATKASDLAHLQDLAKMIREYEQVIIEDRIEKERSKKKVKQDLLELKSVIKLQAWWRGTMIRREIGGFKMPKDKVDSKDSKGKGKGKDKRRGKKK
+>DECOY_sp|Q9H095|DRC9_HUMAN Dynein regulatory complex protein 9 OS=Homo sapiens OX=9606 GN=IQCG PE=1 SV=1
+KKKGRRKDKGKGKGKSDKSDVKDKPMKFGGIERRIMTGRWWAQLKIVSKLELLDQKVKKKSREKEIRDEIIVQEYERIMKALDQLHALDSAKTAKLANLENQKMETDKDYKEMWFELREELKQQEKRLFMEIETHTRAEEETKMRLKEIEEVLLEETRNCKKQTQAIQLETNTKMYRNELNSKAKMEQLQDKLNAIYENQSQVEFQWEKKVNILQKQLSIIQKRGKEERAIIDYFHMKNEREKSLAQLLSNYTASDQLEKITDAIVDSFFQRDIQIKKLTETTMITQRTPKKFVLDQMKNFEVAFRGRHRIEPLNPGEETILPSPIKTITSAMPLKDLNTGELNMERSKVCISQRGEYQVPMIYNLISLQDTTDELVASIRLVDPLSLPELTEPIEPIIEIDTEKPIGEEEVTSPPEGTVSVTMESHWVKPPLNSDELSDEEM
+>sp|P21728|DRD1_HUMAN D(1A) dopamine receptor OS=Homo sapiens OX=9606 GN=DRD1 PE=1 SV=1
+MRTLNTSAMDGTGLVVERDFSVRILTACFLSLLILSTLLGNTLVCAAVIRFRHLRSKVTNFFVISLAVSDLLVAVLVMPWKAVAEIAGFWPFGSFCNIWVAFDIMCSTASILNLCVISVDRYWAISSPFRYERKMTPKAAFILISVAWTLSVLISFIPVQLSWHKAKPTSPSDGNATSLAETIDNCDSSLSRTYAISSSVISFYIPVAIMIVTYTRIYRIAQKQIRRIAALERAAVHAKNCQTTTGNGKPVECSQPESSFKMSFKRETKVLKTLSVIMGVFVCCWLPFFILNCILPFCGSGETQPFCIDSNTFDVFVWFGWANSSLNPIIYAFNADFRKAFSTLLGCYRLCPATNNAIETVSINNNGAAMFSSHHEPRGSISKECNLVYLIPHAVGSSEDLKKEEAAGIARPLEKLSPALSVILDYDTDVSLEKIQPITQNGQHPT
+>DECOY_sp|P21728|DRD1_HUMAN D(1A) dopamine receptor OS=Homo sapiens OX=9606 GN=DRD1 PE=1 SV=1
+TPHQGNQTIPQIKELSVDTDYDLIVSLAPSLKELPRAIGAAEEKKLDESSGVAHPILYVLNCEKSISGRPEHHSSFMAAGNNNISVTEIANNTAPCLRYCGLLTSFAKRFDANFAYIIPNLSSNAWGFWVFVDFTNSDICFPQTEGSGCFPLICNLIFFPLWCCVFVGMIVSLTKLVKTERKFSMKFSSEPQSCEVPKGNGTTTQCNKAHVAARELAAIRRIQKQAIRYIRTYTVIMIAVPIYFSIVSSSIAYTRSLSSDCNDITEALSTANGDSPSTPKAKHWSLQVPIFSILVSLTWAVSILIFAAKPTMKREYRFPSSIAWYRDVSIVCLNLISATSCMIDFAVWINCFSGFPWFGAIEAVAKWPMVLVAVLLDSVALSIVFFNTVKSRLHRFRIVAACVLTNGLLTSLILLSLFCATLIRVSFDREVVLGTGDMASTNLTRM
+>sp|Q6PGQ1|DRIC1_HUMAN Aspartate-rich protein 1 OS=Homo sapiens OX=9606 GN=DRICH1 PE=1 SV=1
+MGNILTCCINSHCGWPRGKDAPCYESDTDIYETVAAATSESTTVEPGKLDVGATEGQDLQHISNQKMPTGPPEDRLSLKFLPSSEEDNDDAKILPSPVQGSSEDNLSLVCLPRSEDDDCDDDDDDDAQILPSRVQGGCYRFDSSSCSSEDNLSLVCLPRSEDDDCDDDDDDAQILPSPVQACSEDSLFLRCSLRHKDEEEEDDDDIHITARIESDLTLESLSDEEIHPG
+>DECOY_sp|Q6PGQ1|DRIC1_HUMAN Aspartate-rich protein 1 OS=Homo sapiens OX=9606 GN=DRICH1 PE=1 SV=1
+GPHIEEDSLSELTLDSEIRATIHIDDDDEEEEDKHRLSCRLFLSDESCAQVPSPLIQADDDDDDCDDDESRPLCVLSLNDESSCSSSDFRYCGGQVRSPLIQADDDDDDDCDDDESRPLCVLSLNDESSGQVPSPLIKADDNDEESSPLFKLSLRDEPPGTPMKQNSIHQLDQGETAGVDLKGPEVTTSESTAAAVTEYIDTDSEYCPADKGRPWGCHSNICCTLINGM
+>sp|P56555|DSCR4_HUMAN Down syndrome critical region protein 4 OS=Homo sapiens OX=9606 GN=DSCR4 PE=2 SV=1
+MSLIILTRDDEPRIFTPDSDAASPALHSTSPLPDPASASPLHREEKILPKVCNIVSCLSFSLPASPTDSGLASPTIITREGQQFWAKCLIWKYQLYLHGLHKKSDGRRDKQISASPST
+>DECOY_sp|P56555|DSCR4_HUMAN Down syndrome critical region protein 4 OS=Homo sapiens OX=9606 GN=DSCR4 PE=2 SV=1
+TSPSASIQKDRRGDSKKHLGHLYLQYKWILCKAWFQQGERTIITPSALGSDTPSAPLSFSLCSVINCVKPLIKEERHLPSASAPDPLPSTSHLAPSAADSDPTFIRPEDDRTLIILSM
+>sp|Q02413|DSG1_HUMAN Desmoglein-1 OS=Homo sapiens OX=9606 GN=DSG1 PE=1 SV=2
+MDWSFFRVVAMLFIFLVVVEVNSEFRIQVRDYNTKNGTIKWHSIRRQKREWIKFAAACREGEDNSKRNPIAKIHSDCAANQQVTYRISGVGIDQPPYGIFVINQKTGEINITSIVDREVTPFFIIYCRALNSMGQDLERPLELRVRVLDINDNPPVFSMATFAGQIEENSNANTLVMILNATDADEPNNLNSKIAFKIIRQEPSDSPMFIINRNTGEIRTMNNFLDREQYGQYALAVRGSDRDGGADGMSAECECNIKILDVNDNIPYMEQSSYTIEIQENTLNSNLLEIRVIDLDEEFSANWMAVIFFISGNEGNWFEIEMNERTNVGILKVVKPLDYEAMQSLQLSIGVRNKAEFHHSIMSQYKLKASAISVTVLNVIEGPVFRPGSKTYVVTGNMGSNDKVGDFVATDLDTGRPSTTVRYVMGNNPADLLAVDSRTGKLTLKNKVTKEQYNMLGGKYQGTILSIDDNLQRTCTGTININIQSFGNDDRTNTEPNTKITTNTGRQESTSSTNYDTSTTSTDSSQVYSSEPGNGAKDLLSDNVHFGPAGIGLLIMGFLVLGLVPFLMICCDCGGAPRSAAGFEPVPECSDGAIHSWAVEGPQPEPRDITTVIPQIPPDNANIIECIDNSGVYTNEYGGREMQDLGGGERMTGFELTEGVKTSGMPEICQEYSGTLRRNSMRECREGGLNMNFMESYFCQKAYAYADEDEGRPSNDCLLIYDIEGVGSPAGSVGCCSFIGEDLDDSFLDTLGPKFKKLADISLGKESYPDLDPSWPPQSTEPVCLPQETEPVVSGHPPISPHFGTTTVISESTYPSGPGVLHPKPILDPLGYGNVTVTESYTTSDTLKPSVHVHDNRPASNVVVTERVVGPISGADLHGMLEMPDLRDGSNVIVTERVIAPSSSLPTSLTIHHPRESSNVVVTERVIQPTSGMIGSLSMHPELANAHNVIVTERVVSGAGVTGISGTTGISGGIGSSGLVGTSMGAGSGALSGAGISGGGIGLSSLGGTASIGHMRSSSDHHFNQTIGSASPSTARSRITKYSTVQYSK
+>DECOY_sp|Q02413|DSG1_HUMAN Desmoglein-1 OS=Homo sapiens OX=9606 GN=DSG1 PE=1 SV=2
+KSYQVTSYKTIRSRATSPSASGITQNFHHDSSSRMHGISATGGLSSLGIGGGSIGAGSLAGSGAGMSTGVLGSSGIGGSIGTTGSIGTVGAGSVVRETVIVNHANALEPHMSLSGIMGSTPQIVRETVVVNSSERPHHITLSTPLSSSPAIVRETVIVNSGDRLDPMELMGHLDAGSIPGVVRETVVVNSAPRNDHVHVSPKLTDSTTYSETVTVNGYGLPDLIPKPHLVGPGSPYTSESIVTTTGFHPSIPPHGSVVPETEQPLCVPETSQPPWSPDLDPYSEKGLSIDALKKFKPGLTDLFSDDLDEGIFSCCGVSGAPSGVGEIDYILLCDNSPRGEDEDAYAYAKQCFYSEMFNMNLGGERCERMSNRRLTGSYEQCIEPMGSTKVGETLEFGTMREGGGLDQMERGGYENTYVGSNDICEIINANDPPIQPIVTTIDRPEPQPGEVAWSHIAGDSCEPVPEFGAASRPAGGCDCCIMLFPVLGLVLFGMILLGIGAPGFHVNDSLLDKAGNGPESSYVQSSDTSTTSTDYNTSSTSEQRGTNTTIKTNPETNTRDDNGFSQININITGTCTRQLNDDISLITGQYKGGLMNYQEKTVKNKLTLKGTRSDVALLDAPNNGMVYRVTTSPRGTDLDTAVFDGVKDNSGMNGTVVYTKSGPRFVPGEIVNLVTVSIASAKLKYQSMISHHFEAKNRVGISLQLSQMAEYDLPKVVKLIGVNTRENMEIEFWNGENGSIFFIVAMWNASFEEDLDIVRIELLNSNLTNEQIEITYSSQEMYPINDNVDLIKINCECEASMGDAGGDRDSGRVALAYQGYQERDLFNNMTRIEGTNRNIIFMPSDSPEQRIIKFAIKSNLNNPEDADTANLIMVLTNANSNEEIQGAFTAMSFVPPNDNIDLVRVRLELPRELDQGMSNLARCYIIFFPTVERDVISTINIEGTKQNIVFIGYPPQDIGVGSIRYTVQQNAACDSHIKAIPNRKSNDEGERCAAAFKIWERKQRRISHWKITGNKTNYDRVQIRFESNVEVVVLFIFLMAVVRFFSWDM
+>sp|P32926|DSG3_HUMAN Desmoglein-3 OS=Homo sapiens OX=9606 GN=DSG3 PE=1 SV=2
+MMGLFPRTTGALAIFVVVILVHGELRIETKGQYDEEEMTMQQAKRRQKREWVKFAKPCREGEDNSKRNPIAKITSDYQATQKITYRISGVGIDQPPFGIFVVDKNTGDINITAIVDREETPSFLITCRALNAQGLDVEKPLILTVKILDINDNPPVFSQQIFMGEIEENSASNSLVMILNATDADEPNHLNSKIAFKIVSQEPAGTPMFLLSRNTGEVRTLTNSLDREQASSYRLVVSGADKDGEGLSTQCECNIKVKDVNDNFPMFRDSQYSARIEENILSSELLRFQVTDLDEEYTDNWLAVYFFTSGNEGNWFEIQTDPRTNEGILKVVKALDYEQLQSVKLSIAVKNKAEFHQSVISRYRVQSTPVTIQVINVREGIAFRPASKTFTVQKGISSKKLVDYILGTYQAIDEDTNKAASNVKYVMGRNDGGYLMIDSKTAEIKFVKNMNRDSTFIVNKTITAEVLAIDEYTGKTSTGTVYVRVPDFNDNCPTAVLEKDAVCSSSPSVVVSARTLNNRYTGPYTFALEDQPVKLPAVWSITTLNATSALLRAQEQIPPGVYHISLVLTDSQNNRCEMPRSLTLEVCQCDNRGICGTSYPTTSPGTRYGRPHSGRLGPAAIGLLLLGLLLLLLAPLLLLTCDCGAGSTGGVTGGFIPVPDGSEGTIHQWGIEGAHPEDKEITNICVPPVTANGADFMESSEVCTNTYARGTAVEGTSGMEMTTKLGAATESGGAAGFATGTVSGAASGFGAATGVGICSSGQSGTMRTRHSTGGTNKDYADGAISMNFLDSYFSQKAFACAEEDDGQEANDCLLIYDNEGADATGSPVGSVGCCSFIADDLDDSFLDSLGPKFKKLAEISLGVDGEGKEVQPPSKDSGYGIESCGHPIEVQQTGFVKCQTLSGSQGASALSTSGSVQPAVSIPDPLQHGNYLVTETYSASGSLVQPSTAGFDPLLTQNVIVTERVICPISSVPGNLAGPTQLRGSHTMLCTEDPCSRLI
+>DECOY_sp|P32926|DSG3_HUMAN Desmoglein-3 OS=Homo sapiens OX=9606 GN=DSG3 PE=1 SV=2
+ILRSCPDETCLMTHSGRLQTPGALNGPVSSIPCIVRETVIVNQTLLPDFGATSPQVLSGSASYTETVLYNGHQLPDPISVAPQVSGSTSLASAGQSGSLTQCKVFGTQQVEIPHGCSEIGYGSDKSPPQVEKGEGDVGLSIEALKKFKPGLSDLFSDDLDDAIFSCCGVSGVPSGTADAGENDYILLCDNAEQGDDEEACAFAKQSFYSDLFNMSIAGDAYDKNTGGTSHRTRMTGSQGSSCIGVGTAAGFGSAAGSVTGTAFGAAGGSETAAGLKTTMEMGSTGEVATGRAYTNTCVESSEMFDAGNATVPPVCINTIEKDEPHAGEIGWQHITGESGDPVPIFGGTVGGTSGAGCDCTLLLLPALLLLLLGLLLLGIAAPGLRGSHPRGYRTGPSTTPYSTGCIGRNDCQCVELTLSRPMECRNNQSDTLVLSIHYVGPPIQEQARLLASTANLTTISWVAPLKVPQDELAFTYPGTYRNNLTRASVVVSPSSSCVADKELVATPCNDNFDPVRVYVTGTSTKGTYEDIALVEATITKNVIFTSDRNMNKVFKIEATKSDIMLYGGDNRGMVYKVNSAAKNTDEDIAQYTGLIYDVLKKSSIGKQVTFTKSAPRFAIGERVNIVQITVPTSQVRYRSIVSQHFEAKNKVAISLKVSQLQEYDLAKVVKLIGENTRPDTQIEFWNGENGSTFFYVALWNDTYEEDLDTVQFRLLESSLINEEIRASYQSDRFMPFNDNVDKVKINCECQTSLGEGDKDAGSVVLRYSSAQERDLSNTLTRVEGTNRSLLFMPTGAPEQSVIKFAIKSNLHNPEDADTANLIMVLSNSASNEEIEGMFIQQSFVPPNDNIDLIKVTLILPKEVDLGQANLARCTILFSPTEERDVIATINIDGTNKDVVFIGFPPQDIGVGSIRYTIKQTAQYDSTIKAIPNRKSNDEGERCPKAFKVWERKQRRKAQQMTMEEEDYQGKTEIRLEGHVLIVVVFIALAGTTRPFLGMM
+>sp|P55265|DSRAD_HUMAN Double-stranded RNA-specific adenosine deaminase OS=Homo sapiens OX=9606 GN=ADAR PE=1 SV=4
+MNPRQGYSLSGYYTHPFQGYEHRQLRYQQPGPGSSPSSFLLKQIEFLKGQLPEAPVIGKQTPSLPPSLPGLRPRFPVLLASSTRGRQVDIRGVPRGVHLRSQGLQRGFQHPSPRGRSLPQRGVDCLSSHFQELSIYQDQEQRILKFLEELGEGKATTAHDLSGKLGTPKKEINRVLYSLAKKGKLQKEAGTPPLWKIAVSTQAWNQHSGVVRPDGHSQGAPNSDPSLEPEDRNSTSVSEDLLEPFIAVSAQAWNQHSGVVRPDSHSQGSPNSDPGLEPEDSNSTSALEDPLEFLDMAEIKEKICDYLFNVSDSSALNLAKNIGLTKARDINAVLIDMERQGDVYRQGTTPPIWHLTDKKRERMQIKRNTNSVPETAPAAIPETKRNAEFLTCNIPTSNASNNMVTTEKVENGQEPVIKLENRQEARPEPARLKPPVHYNGPSKAGYVDFENGQWATDDIPDDLNSIRAAPGEFRAIMEMPSFYSHGLPRCSPYKKLTECQLKNPISGLLEYAQFASQTCEFNMIEQSGPPHEPRFKFQVVINGREFPPAEAGSKKVAKQDAAMKAMTILLEEAKAKDSGKSEESSHYSTEKESEKTAESQTPTPSATSFFSGKSPVTTLLECMHKLGNSCEFRLLSKEGPAHEPKFQYCVAVGAQTFPSVSAPSKKVAKQMAAEEAMKALHGEATNSMASDNQPEGMISESLDNLESMMPNKVRKIGELVRYLNTNPVGGLLEYARSHGFAAEFKLVDQSGPPHEPKFVYQAKVGGRWFPAVCAHSKKQGKQEAADAALRVLIGENEKAERMGFTEVTPVTGASLRRTMLLLSRSPEAQPKTLPLTGSTFHDQIAMLSHRCFNTLTNSFQPSLLGRKILAAIIMKKDSEDMGVVVSLGTGNRCVKGDSLSLKGETVNDCHAEIISRRGFIRFLYSELMKYNSQTAKDSIFEPAKGGEKLQIKKTVSFHLYISTAPCGDGALFDKSCSDRAMESTESRHYPVFENPKQGKLRTKVENGEGTIPVESSDIVPTWDGIRLGERLRTMSCSDKILRWNVLGLQGALLTHFLQPIYLKSVTLGYLFSQGHLTRAICCRVTRDGSAFEDGLRHPFIVNHPKVGRVSIYDSKRQSGKTKETSVNWCLADGYDLEILDGTRGTVDGPRNELSRVSKKNIFLLFKKLCSFRYRRDLLRLSYGEAKKAARDYETAKNYFKKGLKDMGYGNWISKPQEEKNFYLCPV
+>DECOY_sp|P55265|DSRAD_HUMAN Double-stranded RNA-specific adenosine deaminase OS=Homo sapiens OX=9606 GN=ADAR PE=1 SV=4
+VPCLYFNKEEQPKSIWNGYGMDKLGKKFYNKATEYDRAAKKAEGYSLRLLDRRYRFSCLKKFLLFINKKSVRSLENRPGDVTGRTGDLIELDYGDALCWNVSTEKTKGSQRKSDYISVRGVKPHNVIFPHRLGDEFASGDRTVRCCIARTLHGQSFLYGLTVSKLYIPQLFHTLLAGQLGLVNWRLIKDSCSMTRLREGLRIGDWTPVIDSSEVPITGEGNEVKTRLKGQKPNEFVPYHRSETSEMARDSCSKDFLAGDGCPATSIYLHFSVTKKIQLKEGGKAPEFISDKATQSNYKMLESYLFRIFGRRSIIEAHCDNVTEGKLSLSDGKVCRNGTGLSVVVGMDESDKKMIIAALIKRGLLSPQFSNTLTNFCRHSLMAIQDHFTSGTLPLTKPQAEPSRSLLLMTRRLSAGTVPTVETFGMREAKENEGILVRLAADAAEQKGQKKSHACVAPFWRGGVKAQYVFKPEHPPGSQDVLKFEAAFGHSRAYELLGGVPNTNLYRVLEGIKRVKNPMMSELNDLSESIMGEPQNDSAMSNTAEGHLAKMAEEAAMQKAVKKSPASVSPFTQAGVAVCYQFKPEHAPGEKSLLRFECSNGLKHMCELLTTVPSKGSFFSTASPTPTQSEATKESEKETSYHSSEESKGSDKAKAEELLITMAKMAADQKAVKKSGAEAPPFERGNIVVQFKFRPEHPPGSQEIMNFECTQSAFQAYELLGSIPNKLQCETLKKYPSCRPLGHSYFSPMEMIARFEGPAARISNLDDPIDDTAWQGNEFDVYGAKSPGNYHVPPKLRAPEPRAEQRNELKIVPEQGNEVKETTVMNNSANSTPINCTLFEANRKTEPIAAPATEPVSNTNRKIQMRERKKDTLHWIPPTTGQRYVDGQREMDILVANIDRAKTLGINKALNLASSDSVNFLYDCIKEKIEAMDLFELPDELASTSNSDEPELGPDSNPSGQSHSDPRVVGSHQNWAQASVAIFPELLDESVSTSNRDEPELSPDSNPAGQSHGDPRVVGSHQNWAQTSVAIKWLPPTGAEKQLKGKKALSYLVRNIEKKPTGLKGSLDHATTAKGEGLEELFKLIRQEQDQYISLEQFHSSLCDVGRQPLSRGRPSPHQFGRQLGQSRLHVGRPVGRIDVQRGRTSSALLVPFRPRLGPLSPPLSPTQKGIVPAEPLQGKLFEIQKLLFSSPSSGPGPQQYRLQRHEYGQFPHTYYGSLSYGQRPNM
+>sp|Q96EV8|DTBP1_HUMAN Dysbindin OS=Homo sapiens OX=9606 GN=DTNBP1 PE=1 SV=1
+MLETLRERLLSVQQDFTSGLKTLSDKSREAKVKSKPRTVPFLPKYSAGLELLSRYEDTWAALHRRAKDCASAGELVDSEVVMLSAHWEKKKTSLVELQEQLQQLPALIADLESMTANLTHLEASFEEVENNLLHLEDLCGQCELERCKHMQSQQLENYKKNKRKELETFKAELDAEHAQKVLEMEHTQQMKLKERQKFFEEAFQQDMEQYLSTGYLQIAERREPIGSMSSMEVNVDMLEQMDLMDISDQEALDVFLNSGGEENTVLSPALGPESSTCQNEITLQVPNPSELRAKPPSSSSTCTDSATRDISEGGESPVVQSDEEEVQVDTALATSHTDREATPDGGEDSDS
+>DECOY_sp|Q96EV8|DTBP1_HUMAN Dysbindin OS=Homo sapiens OX=9606 GN=DTNBP1 PE=1 SV=1
+SDSDEGGDPTAERDTHSTALATDVQVEEEDSQVVPSEGGESIDRTASDTCTSSSSPPKARLESPNPVQLTIENQCTSSEPGLAPSLVTNEEGGSNLFVDLAEQDSIDMLDMQELMDVNVEMSSMSGIPERREAIQLYGTSLYQEMDQQFAEEFFKQREKLKMQQTHEMELVKQAHEADLEAKFTELEKRKNKKYNELQQSQMHKCRELECQGCLDELHLLNNEVEEFSAELHTLNATMSELDAILAPLQQLQEQLEVLSTKKKEWHASLMVVESDVLEGASACDKARRHLAAWTDEYRSLLELGASYKPLFPVTRPKSKVKAERSKDSLTKLGSTFDQQVSLLRERLTELM
+>sp|B6SEH9|ERVV2_HUMAN Endogenous retrovirus group V member 2 Env polyprotein OS=Homo sapiens OX=9606 GN=ERVV-2 PE=2 SV=1
+MTEKFLFLYLSLLPMPLLSQAQWNENSLVSFSKIIASGNHLSNCWICHNFITRSSSYQYILVRNFSLNLTFGSGIPEGQHKSVPLQVSLANSAHQVPCLDLTPPFNQSSKTSFYFYNCSSLNQTCCPCPEGHCDRKNTSEEGFPSPTIHPMSFSPAGCHPNLTHWCPAKQMNDYRDKSPQNRCAAWEGKELITWRVLYSLPKAHTVPTWPKSTVPLGGPLSPACNQTIPAGWKSQLHKWFDSHIPRWACTPPGYVFLCGPQKNKLPFDGSPKITYSTPPVANLYTCINNIQHTGECAVGLLGPRGIGVTIYNTTQPRQKRALGLILAGMGAAIGMIAPWGGFTYHDVTLRNLSRQIDNIAKSTRDSISKLKASIDSLANVVMDNRLALDYLLAEQGGVCAVINKSCCVYVNNSGAIEEDIKKIYDEATWLHDFGKGGASARAIWEAVKSALPSLNWFVPLLGPATVILLLFLFGPCFFNLLIKCVSSRIKQFHMKSPQMERYQLSVIGGPSTYKHISPLDASGQRFRETMEEFSL
+>DECOY_sp|B6SEH9|ERVV2_HUMAN Endogenous retrovirus group V member 2 Env polyprotein OS=Homo sapiens OX=9606 GN=ERVV-2 PE=2 SV=1
+LSFEEMTERFRQGSADLPSIHKYTSPGGIVSLQYREMQPSKMHFQKIRSSVCKILLNFFCPGFLFLLLIVTAPGLLPVFWNLSPLASKVAEWIARASAGGKGFDHLWTAEDYIKKIDEEIAGSNNVYVCCSKNIVACVGGQEALLYDLALRNDMVVNALSDISAKLKSISDRTSKAINDIQRSLNRLTVDHYTFGGWPAIMGIAAGMGALILGLARKQRPQTTNYITVGIGRPGLLGVACEGTHQINNICTYLNAVPPTSYTIKPSGDFPLKNKQPGCLFVYGPPTCAWRPIHSDFWKHLQSKWGAPITQNCAPSLPGGLPVTSKPWTPVTHAKPLSYLVRWTILEKGEWAACRNQPSKDRYDNMQKAPCWHTLNPHCGAPSFSMPHITPSPFGEESTNKRDCHGEPCPCCTQNLSSCNYFYFSTKSSQNFPPTLDLCPVQHASNALSVQLPVSKHQGEPIGSGFTLNLSFNRVLIYQYSSSRTIFNHCIWCNSLHNGSAIIKSFSVLSNENWQAQSLLPMPLLSLYLFLFKETM
+>sp|Q92935|EXTL1_HUMAN Exostosin-like 1 OS=Homo sapiens OX=9606 GN=EXTL1 PE=2 SV=2
+MQSWRRRKSLWLALSASWLLLVLLGGFSLLRLALPPRPRPGASQGWPRWLDAELLQSFSQPGELPEDAVSPPQAPHGGSCNWESCFDTSKCRGDGLKVFVYPAVGTISETHRRILASIEGSRFYTFSPAGACLLLLLSLDAQTGECSSMPLQWNRGRNHLVLRLHPAPCPRTFQLGQAMVAEASPTVDSFRPGFDVALPFLPEAHPLRGGAPGQLRQHSPQPGVALLALEEERGGWRTADTGSSACPWDGRCEQDPGPGQTQRQETLPNATFCLISGHRPEAASRFLQALQAGCIPVLLSPRWELPFSEVIDWTKAAIVADERLPLQVLAALQEMSPARVLALRQQTQFLWDAYFSSVEKVIHTTLEVIQDRIFGTSAHPSLLWNSPPGALLALSTFSTSPQDFPFYYLQQGSRPEGRFSALIWVGPPGQPPLKLIQAVAGSQHCAQILVLWSNERPLPSRWPETAVPLTVIDGHRKVSDRFYPYSTIRTDAILSLDARSSLSTSEVDFAFLVWQSFPERMVGFLTSSHFWDEAHGGWGYTAERTNEFSMVLTTAAFYHRYYHTLFTHSLPKALRTLADEAPTCVDVLMNFIVAAVTKLPPIKVPYGKQRQEAAPLAPGGPGPRPKPPAPAPDCINQIAAAFGHMPLLSSRLRLDPVLFKDPVSVQRKKYRSLEKP
+>DECOY_sp|Q92935|EXTL1_HUMAN Exostosin-like 1 OS=Homo sapiens OX=9606 GN=EXTL1 PE=2 SV=2
+PKELSRYKKRQVSVPDKFLVPDLRLRSSLLPMHGFAAAIQNICDPAPAPPKPRPGPGGPALPAAEQRQKGYPVKIPPLKTVAAVIFNMLVDVCTPAEDALTRLAKPLSHTFLTHYYRHYFAATTLVMSFENTREATYGWGGHAEDWFHSSTLFGVMREPFSQWVLFAFDVESTSLSSRADLSLIADTRITSYPYFRDSVKRHGDIVTLPVATEPWRSPLPRENSWLVLIQACHQSGAVAQILKLPPQGPPGVWILASFRGEPRSGQQLYYFPFDQPSTSFTSLALLAGPPSNWLLSPHASTGFIRDQIVELTTHIVKEVSSFYADWLFQTQQRLALVRAPSMEQLAALVQLPLREDAVIAAKTWDIVESFPLEWRPSLLVPICGAQLAQLFRSAAEPRHGSILCFTANPLTEQRQTQGPGPDQECRGDWPCASSGTDATRWGGREEELALLAVGPQPSHQRLQGPAGGRLPHAEPLFPLAVDFGPRFSDVTPSAEAVMAQGLQFTRPCPAPHLRLVLHNRGRNWQLPMSSCEGTQADLSLLLLLCAGAPSFTYFRSGEISALIRRHTESITGVAPYVFVKLGDGRCKSTDFCSEWNCSGGHPAQPPSVADEPLEGPQSFSQLLEADLWRPWGQSAGPRPRPPLALRLLSFGGLLVLLLWSASLALWLSKRRRWSQM
+>sp|Q99502|EYA1_HUMAN Eyes absent homolog 1 OS=Homo sapiens OX=9606 GN=EYA1 PE=1 SV=2
+MEMQDLTSPHSRLSGSSESPSGPKLGNSHINSNSMTPNGTEVKTEPMSSSETASTTADGSLNNFSGSAIGSSSFSPRPTHQFSPPQIYPSNRPYPHILPTPSSQTMAAYGQTQFTTGMQQATAYATYPQPGQPYGISSYGALWAGIKTEGGLSQSQSPGQTGFLSYGTSFSTPQPGQAPYSYQMQGSSFTTSSGIYTGNNSLTNSSGFNSSQQDYPSYPSFGQGQYAQYYNSSPYPAHYMTSSNTSPTTPSTNATYQLQEPPSGITSQAVTDPTAEYSTIHSPSTPIKDSDSDRLRRGSDGKSRGRGRRNNNPSPPPDSDLERVFIWDLDETIIVFHSLLTGSYANRYGRDPPTSVSLGLRMEEMIFNLADTHLFFNDLEECDQVHIDDVSSDDNGQDLSTYNFGTDGFPAAATSANLCLATGVRGGVDWMRKLAFRYRRVKEIYNTYKNNVGGLLGPAKREAWLQLRAEIEALTDSWLTLALKALSLIHSRTNCVNILVTTTQLIPALAKVLLYGLGIVFPIENIYSATKIGKESCFERIIQRFGRKVVYVVIGDGVEEEQGAKKHAMPFWRISSHSDLMALHHALELEYL
+>DECOY_sp|Q99502|EYA1_HUMAN Eyes absent homolog 1 OS=Homo sapiens OX=9606 GN=EYA1 PE=1 SV=2
+LYELELAHHLAMLDSHSSIRWFPMAHKKAGQEEEVGDGIVVYVVKRGFRQIIREFCSEKGIKTASYINEIPFVIGLGYLLVKALAPILQTTTVLINVCNTRSHILSLAKLALTLWSDTLAEIEARLQLWAERKAPGLLGGVNNKYTNYIEKVRRYRFALKRMWDVGGRVGTALCLNASTAAAPFGDTGFNYTSLDQGNDDSSVDDIHVQDCEELDNFFLHTDALNFIMEEMRLGLSVSTPPDRGYRNAYSGTLLSHFVIITEDLDWIFVRELDSDPPPSPNNNRRGRGRSKGDSGRRLRDSDSDKIPTSPSHITSYEATPDTVAQSTIGSPPEQLQYTANTSPTTPSTNSSTMYHAPYPSSNYYQAYQGQGFSPYSPYDQQSSNFGSSNTLSNNGTYIGSSTTFSSGQMQYSYPAQGPQPTSFSTGYSLFGTQGPSQSQSLGGETKIGAWLAGYSSIGYPQGPQPYTAYATAQQMGTTFQTQGYAAMTQSSPTPLIHPYPRNSPYIQPPSFQHTPRPSFSSSGIASGSFNNLSGDATTSATESSSMPETKVETGNPTMSNSNIHSNGLKPGSPSESSGSLRSHPSTLDQMEM
+>sp|O00167|EYA2_HUMAN Eyes absent homolog 2 OS=Homo sapiens OX=9606 GN=EYA2 PE=1 SV=2
+MVELVISPSLTVNSDCLDKLKFNRADAAVWTLSDRQGITKSAPLRVSQLFSRSCPRVLPRQPSTAMAAYGQTQYSAGIQQATPYTAYPPPAQAYGIPSYSIKTEDSLNHSPGQSGFLSYGSSFSTSPTGQSPYTYQMHGTTGFYQGGNGLGNAAGFGSVHQDYPSYPGFPQSQYPQYYGSSYNPPYVPASSICPSPLSTSTYVLQEASHNVPNQSSESLAGEYNTHNGPSTPAKEGDTDRPHRASDGKLRGRSKRSSDPSPAGDNEIERVFVWDLDETIIIFHSLLTGTFASRYGKDTTTSVRIGLMMEEMIFNLADTHLFFNDLEDCDQIHVDDVSSDDNGQDLSTYNFSADGFHSSAPGANLCLGSGVHGGVDWMRKLAFRYRRVKEMYNTYKNNVGGLIGTPKRETWLQLRAELEALTDLWLTHSLKALNLINSRPNCVNVLVTTTQLIPALAKVLLYGLGSVFPIENIYSATKTGKESCFERIMQRFGRKAVYVVIGDGVEEEQGAKKHNMPFWRISCHADLEALRHALELEYL
+>DECOY_sp|O00167|EYA2_HUMAN Eyes absent homolog 2 OS=Homo sapiens OX=9606 GN=EYA2 PE=1 SV=2
+LYELELAHRLAELDAHCSIRWFPMNHKKAGQEEEVGDGIVVYVAKRGFRQMIREFCSEKGTKTASYINEIPFVSGLGYLLVKALAPILQTTTVLVNVCNPRSNILNLAKLSHTLWLDTLAELEARLQLWTERKPTGILGGVNNKYTNYMEKVRRYRFALKRMWDVGGHVGSGLCLNAGPASSHFGDASFNYTSLDQGNDDSSVDDVHIQDCDELDNFFLHTDALNFIMEEMMLGIRVSTTTDKGYRSAFTGTLLSHFIIITEDLDWVFVREIENDGAPSPDSSRKSRGRLKGDSARHPRDTDGEKAPTSPGNHTNYEGALSESSQNPVNHSAEQLVYTSTSLPSPCISSAPVYPPNYSSGYYQPYQSQPFGPYSPYDQHVSGFGAANGLGNGGQYFGTTGHMQYTYPSQGTPSTSFSSGYSLFGSQGPSHNLSDETKISYSPIGYAQAPPPYATYPTAQQIGASYQTQGYAAMATSPQRPLVRPCSRSFLQSVRLPASKTIGQRDSLTWVAADARNFKLKDLCDSNVTLSPSIVLEVM
+>sp|P15311|EZRI_HUMAN Ezrin OS=Homo sapiens OX=9606 GN=EZR PE=1 SV=4
+MPKPINVRVTTMDAELEFAIQPNTTGKQLFDQVVKTIGLREVWYFGLHYVDNKGFPTWLKLDKKVSAQEVRKENPLQFKFRAKFYPEDVAEELIQDITQKLFFLQVKEGILSDEIYCPPETAVLLGSYAVQAKFGDYNKEVHKSGYLSSERLIPQRVMDQHKLTRDQWEDRIQVWHAEHRGMLKDNAMLEYLKIAQDLEMYGINYFEIKNKKGTDLWLGVDALGLNIYEKDDKLTPKIGFPWSEIRNISFNDKKFVIKPIDKKAPDFVFYAPRLRINKRILQLCMGNHELYMRRRKPDTIEVQQMKAQAREEKHQKQLERQQLETEKKRRETVEREKEQMMREKEELMLRLQDYEEKTKKAERELSEQIQRALQLEEERKRAQEEAERLEADRMAALRAKEELERQAVDQIKSQEQLAAELAEYTAKIALLEEARRRKEDEVEEWQHRAKEAQDDLVKTKEELHLVMTAPPPPPPPVYEPVSYHVQESLQDEGAEPTGYSAELSSEGIRDDRNEEKRITEAEKNERVQRQLLTLSSELSQARDENKRTHNDIIHNENMRQGRDKYKTLRQIRQGNTKQRIDEFEAL
+>DECOY_sp|P15311|EZRI_HUMAN Ezrin OS=Homo sapiens OX=9606 GN=EZR PE=1 SV=4
+LAEFEDIRQKTNGQRIQRLTKYKDRGQRMNENHIIDNHTRKNEDRAQSLESSLTLLQRQVRENKEAETIRKEENRDDRIGESSLEASYGTPEAGEDQLSEQVHYSVPEYVPPPPPPPATMVLHLEEKTKVLDDQAEKARHQWEEVEDEKRRRAEELLAIKATYEALEAALQEQSKIQDVAQRELEEKARLAAMRDAELREAEEQARKREEELQLARQIQESLEREAKKTKEEYDQLRLMLEEKERMMQEKEREVTERRKKETELQQRELQKQHKEERAQAKMQQVEITDPKRRRMYLEHNGMCLQLIRKNIRLRPAYFVFDPAKKDIPKIVFKKDNFSINRIESWPFGIKPTLKDDKEYINLGLADVGLWLDTGKKNKIEFYNIGYMELDQAIKLYELMANDKLMGRHEAHWVQIRDEWQDRTLKHQDMVRQPILRESSLYGSKHVEKNYDGFKAQVAYSGLLVATEPPCYIEDSLIGEKVQLFFLKQTIDQILEEAVDEPYFKARFKFQLPNEKRVEQASVKKDLKLWTPFGKNDVYHLGFYWVERLGITKVVQDFLQKGTTNPQIAFELEADMTTVRVNIPKPM
+>sp|Q5T036|F120S_HUMAN Uncharacterized protein FAM120AOS OS=Homo sapiens OX=9606 GN=FAM120AOS PE=2 SV=1
+MGKTKDIGDDDTVASEFWSGALSQPSSVPTRPRTPNRDSWRRAWAARGLHPRPSILQPGPARLSRARAGGTRCPQRRHGRATFCALGRGIGVRRGPGPRPARIPGLTLTWKRMSARRMQWAMQTGGRNQTFGGGVPLFWTWLTICCAVWRSLPCRLTHSCSRAFSSAPLKKTKSSMLPPKQALASAARNLCRGAGCNRQAVAGQLLPSTWSLHAHGLAKEAPILPVKKISRSCSVNNKVSKKTTKPPTLRSFLSPI
+>DECOY_sp|Q5T036|F120S_HUMAN Uncharacterized protein FAM120AOS OS=Homo sapiens OX=9606 GN=FAM120AOS PE=2 SV=1
+IPSLFSRLTPPKTTKKSVKNNVSCSRSIKKVPLIPAEKALGHAHLSWTSPLLQGAVAQRNCGAGRCLNRAASALAQKPPLMSSKTKKLPASSFARSCSHTLRCPLSRWVACCITLWTWFLPVGGGFTQNRGGTQMAWQMRRASMRKWTLTLGPIRAPRPGPGRRVGIGRGLACFTARGHRRQPCRTGGARARSLRAPGPQLISPRPHLGRAAWARRWSDRNPTRPRTPVSSPQSLAGSWFESAVTDDDGIDKTKGM
+>sp|Q96C01|F136A_HUMAN Protein FAM136A OS=Homo sapiens OX=9606 GN=FAM136A PE=1 SV=1
+MAELQQLRVQEAVESMVKSLERENIRKMQGLMFRCSASCCEDSQASMKQVHQCIERCHVPLAQAQALVTSELEKFQDRLARCTMHCNDKAKDSIDAGSKELQVKQQLDSCVTKCVDDHMHLIPTMTKKMKEALLSIGK
+>DECOY_sp|Q96C01|F136A_HUMAN Protein FAM136A OS=Homo sapiens OX=9606 GN=FAM136A PE=1 SV=1
+KGISLLAEKMKKTMTPILHMHDDVCKTVCSDLQQKVQLEKSGADISDKAKDNCHMTCRALRDQFKELESTVLAQAQALPVHCREICQHVQKMSAQSDECCSASCRFMLGQMKRINERELSKVMSEVAEQVRLQQLEAM
+>sp|P00488|F13A_HUMAN Coagulation factor XIII A chain OS=Homo sapiens OX=9606 GN=F13A1 PE=1 SV=4
+MSETSRTAFGGRRAVPPNNSNAAEDDLPTVELQGVVPRGVNLQEFLNVTSVHLFKERWDTNKVDHHTDKYENNKLIVRRGQSFYVQIDFSRPYDPRRDLFRVEYVIGRYPQENKGTYIPVPIVSELQSGKWGAKIVMREDRSVRLSIQSSPKCIVGKFRMYVAVWTPYGVLRTSRNPETDTYILFNPWCEDDAVYLDNEKEREEYVLNDIGVIFYGEVNDIKTRSWSYGQFEDGILDTCLYVMDRAQMDLSGRGNPIKVSRVGSAMVNAKDDEGVLVGSWDNIYAYGVPPSAWTGSVDILLEYRSSENPVRYGQCWVFAGVFNTFLRCLGIPARIVTNYFSAHDNDANLQMDIFLEEDGNVNSKLTKDSVWNYHCWNEAWMTRPDLPVGFGGWQAVDSTPQENSDGMYRCGPASVQAIKHGHVCFQFDAPFVFAEVNSDLIYITAKKDGTHVVENVDATHIGKLIVTKQIGGDGMMDITDTYKFQEGQEEERLALETALMYGAKKPLNTEGVMKSRSNVDMDFEVENAVLGKDFKLSITFRNNSHNRYTITAYLSANITFYTGVPKAEFKKETFDVTLEPLSFKKEAVLIQAGEYMGQLLEQASLHFFVTARINETRDVLAKQKSTVLTIPEIIIKVRGTQVVGSDMTVTVQFTNPLKETLRNVWVHLDGPGVTRPMKKMFREIRPNSTVQWEEVCRPWVSGHRKLIASMSSDSLRHVYGELDVQIQRRPSM
+>DECOY_sp|P00488|F13A_HUMAN Coagulation factor XIII A chain OS=Homo sapiens OX=9606 GN=F13A1 PE=1 SV=4
+MSPRRQIQVDLEGYVHRLSDSSMSAILKRHGSVWPRCVEEWQVTSNPRIERFMKKMPRTVGPGDLHVWVNRLTEKLPNTFQVTVTMDSGVVQTGRVKIIIEPITLVTSKQKALVDRTENIRATVFFHLSAQELLQGMYEGAQILVAEKKFSLPELTVDFTEKKFEAKPVGTYFTINASLYATITYRNHSNNRFTISLKFDKGLVANEVEFDMDVNSRSKMVGETNLPKKAGYMLATELALREEEQGEQFKYTDTIDMMGDGGIQKTVILKGIHTADVNEVVHTGDKKATIYILDSNVEAFVFPADFQFCVHGHKIAQVSAPGCRYMGDSNEQPTSDVAQWGGFGVPLDPRTMWAENWCHYNWVSDKTLKSNVNGDEELFIDMQLNADNDHASFYNTVIRAPIGLCRLFTNFVGAFVWCQGYRVPNESSRYELLIDVSGTWASPPVGYAYINDWSGVLVGEDDKANVMASGVRSVKIPNGRGSLDMQARDMVYLCTDLIGDEFQGYSWSRTKIDNVEGYFIVGIDNLVYEEREKENDLYVADDECWPNFLIYTDTEPNRSTRLVGYPTWVAVYMRFKGVICKPSSQISLRVSRDERMVIKAGWKGSQLESVIPVPIYTGKNEQPYRGIVYEVRFLDRRPDYPRSFDIQVYFSQGRRVILKNNEYKDTHHDVKNTDWREKFLHVSTVNLFEQLNVGRPVVGQLEVTPLDDEAANSNNPPVARRGGFATRSTESM
+>sp|Q96BN6|F149B_HUMAN Protein FAM149B1 OS=Homo sapiens OX=9606 GN=FAM149B1 PE=2 SV=2
+MISRYTRKAVPQSLELKGITKHALNHHPPPEKLEEISPTSDSHEKDTSSQSKSDITRESSFTSADTGNSLSAFPSYTGAGISTEGSSDFSWGYGELDQNATEKVQTMFTAIDELLYEQKLSVHTKSLQEECQQWTASFPHLRILGRQIITPSEGYRLYPRSPSAVSASYETTLSQERDSTIFGIRGKKLHFSSSYAHKASSIAKSSSFCSMERDEEDSIIVSEGIIEEYLAFDHIDIEEGFHGKKSEAATEKQKLGYPPIAPFYCMKEDVLAYVFDSVWCKVVSCMEQLTRSHWEGFASDDESNVAVTRPDSESSCVLSELHPLVLPRVPQSKVLYITSNPMSLCQASRHQPNVNDLLVHGMPLQPRNLSLMDKLLDLDDKLLMRPGSSTILSTRNWPNRAVEFSTSSLSYTVQSTRRRNPPPRTLHPISTSHSCAETPRSVEEILRGARVPVAPDSLSSPSPTPLSRNNLLPPIGTAEVEHVSTVGPQRQMKPHGDSSRAQSAVVDEPNYQQPQERLLLPDFFPRPNTTQSFLLDTQYRRSCAVEYPHQARPGRGSAGPQLHGSTKSQSGGRPVSRTRQGP
+>DECOY_sp|Q96BN6|F149B_HUMAN Protein FAM149B1 OS=Homo sapiens OX=9606 GN=FAM149B1 PE=2 SV=2
+PGQRTRSVPRGGSQSKTSGHLQPGASGRGPRAQHPYEVACSRRYQTDLLFSQTTNPRPFFDPLLLREQPQQYNPEDVVASQARSSDGHPKMQRQPGVTSVHEVEATGIPPLLNNRSLPTPSPSSLSDPAVPVRAGRLIEEVSRPTEACSHSTSIPHLTRPPPNRRRTSQVTYSLSSTSFEVARNPWNRTSLITSSGPRMLLKDDLDLLKDMLSLNRPQLPMGHVLLDNVNPQHRSAQCLSMPNSTIYLVKSQPVRPLVLPHLESLVCSSESDPRTVAVNSEDDSAFGEWHSRTLQEMCSVVKCWVSDFVYALVDEKMCYFPAIPPYGLKQKETAAESKKGHFGEEIDIHDFALYEEIIGESVIISDEEDREMSCFSSSKAISSAKHAYSSSFHLKKGRIGFITSDREQSLTTEYSASVASPSRPYLRYGESPTIIQRGLIRLHPFSATWQQCEEQLSKTHVSLKQEYLLEDIATFMTQVKETANQDLEGYGWSFDSSGETSIGAGTYSPFASLSNGTDASTFSSERTIDSKSQSSTDKEHSDSTPSIEELKEPPPHHNLAHKTIGKLELSQPVAKRTYRSIM
+>sp|Q6UXP7|F151B_HUMAN Protein FAM151B OS=Homo sapiens OX=9606 GN=FAM151B PE=1 SV=2
+MAASAGGPGSWSENILEYFLRNSQITAEDGAEITWYHAANHKAQTNEALKSTAHMIEADVLLPSDGSEHSQPIMAHPPETNSDNTLQEWLTEVMKSNKGIKLDFKSLAVVEPSMMLLENVKRHLKRPVWINADILPGPNGNSKVIDAKPFLDTVISFFPDVTFSLGWTTGWHPEKVNEGYSWTMVKEMEYICNELSQPVTFPVRAALVRQSCSQLLWLLKKSNRYSLTIWTGKNDNYSVEDLLYIRDHFDKKQVFYDILEPQNHEFKQAIGIKVNL
+>DECOY_sp|Q6UXP7|F151B_HUMAN Protein FAM151B OS=Homo sapiens OX=9606 GN=FAM151B PE=1 SV=2
+LNVKIGIAQKFEHNQPELIDYFVQKKDFHDRIYLLDEVSYNDNKGTWITLSYRNSKKLLWLLQSCSQRVLAARVPFTVPQSLENCIYEMEKVMTWSYGENVKEPHWGTTWGLSFTVDPFFSIVTDLFPKADIVKSNGNPGPLIDANIWVPRKLHRKVNELLMMSPEVVALSKFDLKIGKNSKMVETLWEQLTNDSNTEPPHAMIPQSHESGDSPLLVDAEIMHATSKLAENTQAKHNAAHYWTIEAGDEATIQSNRLFYELINESWSGPGGASAAM
+>sp|Q494X1|F153C_HUMAN Protein FAM153C OS=Homo sapiens OX=9606 GN=FAM153C PE=1 SV=1
+MVDKDTERDIEMKRQLRRLRELHLYSTWKKYQEAMKTSLGVPQRGDLEDLEEHLPGQTVSEEATGVHMMQVDPATPAKKLEDSTITGSHQQMSASPSSAPAEEATEKTKVEEEVKTRKPKKKTRKPSKKSRWNVLKCWDIFNIF
+>DECOY_sp|Q494X1|F153C_HUMAN Protein FAM153C OS=Homo sapiens OX=9606 GN=FAM153C PE=1 SV=1
+FINFIDWCKLVNWRSKKSPKRTKKKPKRTKVEEEVKTKETAEEAPASSPSASMQQHSGTITSDELKKAPTAPDVQMMHVGTAEESVTQGPLHEELDELDGRQPVGLSTKMAEQYKKWTSYLHLERLRRLQRKMEIDRETDKDVM
+>sp|A6NC97|F172B_HUMAN Putative protein FAM172B OS=Homo sapiens OX=9606 GN=FAM172BP PE=5 SV=3
+MTQELSFQKFIEQSDLLGELKYDFNEKDEFRHTETQRPFVFNYYENVLEKNSKRYQALGHLLEQYIYELLEKVCKLEKVYIPPEADKEEPRSFFFMSEKALTNHHSALLILLQDHGVFRAGQWSQQAIIHHGLQHGSQIPCIQMALQAHYDVIVLNPNDNFVEPKVEKEWKGLLTQNIESSSLKMVQGGSFFSLQHPPKCIPKRCSNTPEEHTAYIWDYFISKTEGKDIAFIVHGYGGLVFMDLLVRRRWEVMSKVYAVALIDSEHHVGHQLGSDVQLLAWIKHHCREWVTSPKPLDKPAATVFKKEFPMVSAGTEKYILAPSSSLQSIFKYFKKALKARTTINFSRMPIVTRSSTKRKQSA
+>DECOY_sp|A6NC97|F172B_HUMAN Putative protein FAM172B OS=Homo sapiens OX=9606 GN=FAM172BP PE=5 SV=3
+ASQKRKTSSRTVIPMRSFNITTRAKLAKKFYKFISQLSSSPALIYKETGASVMPFEKKFVTAAPKDLPKPSTVWERCHHKIWALLQVDSGLQHGVHHESDILAVAYVKSMVEWRRRVLLDMFVLGGYGHVIFAIDKGETKSIFYDWIYATHEEPTNSCRKPICKPPHQLSFFSGGQVMKLSSSEINQTLLGKWEKEVKPEVFNDNPNLVIVDYHAQLAMQICPIQSGHQLGHHIIAQQSWQGARFVGHDQLLILLASHHNTLAKESMFFFSRPEEKDAEPPIYVKELKCVKELLEYIYQELLHGLAQYRKSNKELVNEYYNFVFPRQTETHRFEDKENFDYKLEGLLDSQEIFKQFSLEQTM
+>sp|Q6P4H8|F173B_HUMAN Protein N-lysine methyltransferase FAM173B OS=Homo sapiens OX=9606 GN=FAM173B PE=1 SV=2
+MEGGGGIPLETLKEESQSRHVLPASFEVNSLQKSNWGFLLTGLVGGTLVAVYAVATPFVTPALRKVCLPFVPATTKQIENVVKMLRCRRGSLVDIGSGDGRIVIAAAKKGFTAVGYELNPWLVWYSRYRAWREGVHGSAKFYISDLWKVTFSQYSNVVIFGVPQMMLQLEKKLERELEDDARVIACRFPFPHWTPDHVTGEGIDTVWAYDASTFRGREKRPCTSMHFQLPIQA
+>DECOY_sp|Q6P4H8|F173B_HUMAN Protein N-lysine methyltransferase FAM173B OS=Homo sapiens OX=9606 GN=FAM173B PE=1 SV=2
+AQIPLQFHMSTCPRKERGRFTSADYAWVTDIGEGTVHDPTWHPFPFRCAIVRADDELERELKKELQLMMQPVGFIVVNSYQSFTVKWLDSIYFKASGHVGERWARYRSYWVLWPNLEYGVATFGKKAAAIVIRGDGSGIDVLSGRRCRLMKVVNEIQKTTAPVFPLCVKRLAPTVFPTAVAYVAVLTGGVLGTLLFGWNSKQLSNVEFSAPLVHRSQSEEKLTELPIGGGGEM
+>sp|A6PVY3|F177B_HUMAN Protein FAM177B OS=Homo sapiens OX=9606 GN=FAM177B PE=2 SV=1
+MEIDGFQQLDLEKSVPSKKTTPKRIIHFVDGDIMEEYSTEEEEEEEKEEQSTNSTLDPSKLSWGPYLRFWAGRIASTSFSTCEFLGGRFAVFFGLTQPKYQYVLNEFYRIQNKKSDNKSERRGSKAQAAEVPNEKCHLEAGVQEYGTIQQDVTEAIPQ
+>DECOY_sp|A6PVY3|F177B_HUMAN Protein FAM177B OS=Homo sapiens OX=9606 GN=FAM177B PE=2 SV=1
+QPIAETVDQQITGYEQVGAELHCKENPVEAAQAKSGRRESKNDSKKNQIRYFENLVYQYKPQTLGFFVAFRGGLFECTSFSTSAIRGAWFRLYPGWSLKSPDLTSNTSQEEKEEEEEEETSYEEMIDGDVFHIIRKPTTKKSPVSKELDLQQFGDIEM
+>sp|A6NEQ2|F181B_HUMAN Protein FAM181B OS=Homo sapiens OX=9606 GN=FAM181B PE=2 SV=1
+MAVQAALLSTHPFVPFGFGGSPDGLGGAFGALDKGCCFEDDETGAPAGALLSGAEGGDVREATRDLLSFIDSASSNIKLALDKPGKSKRKVNHRKYLQKQIKRCSGLMGAAPPGPPSPSAADTPAKRPLAAPSAPTVAAPAHGKAAPRREASQAAAAASLQSRSLAALFDSLRHVPGGAEPAGGEVAAPAAGLGGAGTGGAGGDVAGPAGATAIPGARKVPLRARNLPPSFFTEPSRAGGGGCGPSGPDVSLGDLEKGAEAVEFFELLGPDYGAGTEAAVLLAAEPLDVFPAGASVLRGPPELEPGLFEPPPAVVGNLLYPEPWSVPGCSPTKKSPLTAPRGGLTLNEPLSPLYPAAADSPGGEDGRGHLASFAPFFPDCALPPPPPPHQVSYDYSAGYSRTAYSSLWRSDGVWEGAPGEEGAHRD
+>DECOY_sp|A6NEQ2|F181B_HUMAN Protein FAM181B OS=Homo sapiens OX=9606 GN=FAM181B PE=2 SV=1
+DRHAGEEGPAGEWVGDSRWLSSYATRSYGASYDYSVQHPPPPPPLACDPFFPAFSALHGRGDEGGPSDAAAPYLPSLPENLTLGGRPATLPSKKTPSCGPVSWPEPYLLNGVVAPPPEFLGPELEPPGRLVSAGAPFVDLPEAALLVAAETGAGYDPGLLEFFEVAEAGKELDGLSVDPGSPGCGGGGARSPETFFSPPLNRARLPVKRAGPIATAGAPGAVDGGAGGTGAGGLGAAPAAVEGGAPEAGGPVHRLSDFLAALSRSQLSAAAAAQSAERRPAAKGHAPAAVTPASPAALPRKAPTDAASPSPPGPPAAGMLGSCRKIQKQLYKRHNVKRKSKGPKDLALKINSSASDIFSLLDRTAERVDGGEAGSLLAGAPAGTEDDEFCCGKDLAGFAGGLGDPSGGFGFPVFPHTSLLAAQVAM
+>sp|Q6ZVS7|F183B_HUMAN Protein FAM183BP OS=Homo sapiens OX=9606 GN=FAM183BP PE=5 SV=2
+MAGHPKERVVTDEVHQNQILRELYLKELRTQKLHTQYHVNPLRKVHRITRKPMSWHDNLEEPADARFLNLIHHAAQGPTKKYPEAQTENQEIGWDSEALVDPERRDHRMNHFRVYSDITLYKAKMWSLGEDDRHK
+>DECOY_sp|Q6ZVS7|F183B_HUMAN Protein FAM183BP OS=Homo sapiens OX=9606 GN=FAM183BP PE=5 SV=2
+KHRDDEGLSWMKAKYLTIDSYVRFHNMRHDRREPDVLAESDWGIEQNETQAEPYKKTPGQAAHHILNLFRADAPEELNDHWSMPKRTIRHVKRLPNVHYQTHLKQTRLEKLYLERLIQNQHVEDTVVREKPHGAM
+>sp|Q8NB25|F184A_HUMAN Protein FAM184A OS=Homo sapiens OX=9606 GN=FAM184A PE=2 SV=3
+MATPGMSWQQHYYGGSAAKFAPSPATAQLAGHSMDYSQEMHLKMSKKIAQLTKVIYALNTKNDEHESAIQALKDAHEEEIQQILAETREKILQYKSKVTEELDLRRKIQVLESSLEDHIKMKQQALTEFEAYKHRVEDMQLCAEAQHVQRIVTMSREVEEIRRKFEEKLRSFGQLQVQFEKDKRLALEDLQAAHRREIQELLKSQQDHSASVNKGQEKAEELHRMEVESLNKMLEELRLERKKLIEDYEGKLNKAQSFYERELDTLKRSQLFTAESLQASKEKEADLRKEFQGQEAILRKTIGKLKTELQMVQDEAGSLLDKCQKLQTALAIAENNVQVLQKQLDDAKEGEMALLSKHKEVESELAAARERLQQQASDLVLKASHIGMLQATQMTQEVTIKDLESEKSRVNERLSQLEEERAFLRSKTQSLDEEQKQQILELEKKVNEAKRTQQEYYERELKNLQSRLEEEVTQLNEAHSKTLEELAWKHHMAIEAVHSNAIRDKKKLQMDLEEQHNKDKLNLEEDKNQLQQELENLKEVLEDKLNTANQEIGHLQDMVRKSEQGLGSAEGLIASLQDSQERLQNELDLTKDSLKETKDALLNVEGELEQERQQHEETIAAMKEEEKLKVDKMAHDLEIKWTENLRQECSKLREELRLQHEEDKKSAMSQLLQLKDREKNAARDSWQKKVEDLLNQISLLKQNLEIQLSQSQTSLQQLQAQFTQERQRLTQELEELEEQHQQRHKSLKEAHVLAFQTMEEEKEKEQRALENHLQQKHSAELQSLKDAHRESMEGFRIEMEQELQTLRFELEDEGKAMLASLRSELNHQHAAAIDLLRHNHHQELAAAKMELERSIDISRRQSKEHICRITDLQEELRHREHHISELDKEVQHLHENISALTKELEFKGKEILRIRSESNQQIRLHEQDLNKRLEKELDVMTADHLREKNIMRADFNKTNELLKEINAALQVSLEEMEEKYLMRESKPEDIQMITELKAMLTERDQIIKKLIEDNKFYQLELVNRETNFNKVFNSSPTVGVINPLAKQKKKNDKSPTNRFVSVPNLSALESGGVGNGHPNRLDPIPNSPVHDIEFNSSKPLPQPVPPKGPKTFLSPAQSEASPVASPDPQRQEWFARYFTF
+>DECOY_sp|Q8NB25|F184A_HUMAN Protein FAM184A OS=Homo sapiens OX=9606 GN=FAM184A PE=2 SV=3
+FTFYRAFWEQRQPDPSAVPSAESQAPSLFTKPGKPPVPQPLPKSSNFEIDHVPSNPIPDLRNPHGNGVGGSELASLNPVSVFRNTPSKDNKKKQKALPNIVGVTPSSNFVKNFNTERNVLELQYFKNDEILKKIIQDRETLMAKLETIMQIDEPKSERMLYKEEMEELSVQLAANIEKLLENTKNFDARMINKERLHDATMVDLEKELRKNLDQEHLRIQQNSESRIRLIEKGKFELEKTLASINEHLHQVEKDLESIHHERHRLEEQLDTIRCIHEKSQRRSIDISRELEMKAAALEQHHNHRLLDIAAAHQHNLESRLSALMAKGEDELEFRLTQLEQEMEIRFGEMSERHADKLSQLEASHKQQLHNELARQEKEKEEEMTQFALVHAEKLSKHRQQHQEELEELEQTLRQREQTFQAQLQQLSTQSQSLQIELNQKLLSIQNLLDEVKKQWSDRAANKERDKLQLLQSMASKKDEEHQLRLEERLKSCEQRLNETWKIELDHAMKDVKLKEEEKMAAITEEHQQREQELEGEVNLLADKTEKLSDKTLDLENQLREQSDQLSAILGEASGLGQESKRVMDQLHGIEQNATNLKDELVEKLNELEQQLQNKDEELNLKDKNHQEELDMQLKKKDRIANSHVAEIAMHHKWALEELTKSHAENLQTVEEELRSQLNKLEREYYEQQTRKAENVKKELELIQQKQEEDLSQTKSRLFAREEELQSLRENVRSKESELDKITVEQTMQTAQLMGIHSAKLVLDSAQQQLRERAAALESEVEKHKSLLAMEGEKADDLQKQLVQVNNEAIALATQLKQCKDLLSGAEDQVMQLETKLKGITKRLIAEQGQFEKRLDAEKEKSAQLSEATFLQSRKLTDLEREYFSQAKNLKGEYDEILKKRELRLEELMKNLSEVEMRHLEEAKEQGKNVSASHDQQSKLLEQIERRHAAQLDELALRKDKEFQVQLQGFSRLKEEFKRRIEEVERSMTVIRQVHQAEACLQMDEVRHKYAEFETLAQQKMKIHDELSSELVQIKRRLDLEETVKSKYQLIKERTEALIQQIEEEHADKLAQIASEHEDNKTNLAYIVKTLQAIKKSMKLHMEQSYDMSHGALQATAPSPAFKAASGGYYHQQWSMGPTAM
+>sp|A6NE01|F186A_HUMAN Protein FAM186A OS=Homo sapiens OX=9606 GN=FAM186A PE=2 SV=3
+MFFKMKNEIDNDPESEKCIKDSTIMRREPQNILSPLMLPNLEIPFSVKDIISRIERAQLHRAREDIDMQLSEIMNNVHRIMTRYTLVFNSSSERNVSLTEHKKKQRTNFLEKMATYAKTIEIREKTLANILAWLEEWNDVLSEMTLMDVDEHHHWIAQMELLPDTLKAIENNVKILSRFSTSFLDEKKKQKKKILSRGTLWKSWKERVIKRPSTARALRPDQMISDQLATNTKVSEIQGMLQELIGTTMFSTLENNAIKYISSTIVNLSTALSMLNDELKCVNFQSSTVYAHETSEAEKELSLKIIRDLSNENEMLQQKLQDAEEKCEQLIRSKIVIEQLYAKLSTSSTLKVLPGPSPQSSRAIIKVGDTEDNMDNILDKELENIVDEVQRKETKDSGIKWDSTISYTAQAERTPDLTELRQQPVASEDISEDSTKDNVSLKKGDFYQEDETDEYQSWKRSHKKATYVYETSGPNLSDNKSGQKVSEAKPSQYYELQVLKKKRKEMKSFSEDKSKSPTEAKRKHLSLTETKSQGGKSGTSMMMLEQFRKVKRESPFDKRPTAAEIKVEPTTESLDKEGKGEIRSLVEPLSMIQFDDTAEPQKGKIKGKKHHISSGTITSKEEKTEEKEELTKQVKSHQLVKSLSRVAKETSESTRVLESPDGKSEQSNLEEFQEAIMAFLKQKIDNIGKAFDKKTVPKEEELLKRAEAEKLGIIKAKMEEYFQKVAETVTKILRKYKDTKKEEQVGEKPIKQKKVVSFMPGLHFQKSPISAKSESSTLLSYESTDPVINNLIQMILAEIESERDIPTVSTVQKDHKEKEKQRQEQYLQEGQEQMSGMSLKQQLLGERNLLKEHYEKISENWEEKKAWLQMKEGKQEQQSQKQWQEEEMWKEEQKQATPKQAEQEEKQKQRGQEEEELPKSSLQRLEEGTQKMKTQGLLLEKENGQMRQIQKEAKHLGPHRRREKGKEKQKPERGLEDLERQIKTKDQMQMKETQPKELEKMVIQTPMTLSPRWKSVLKDVQRSYEGKEFQRNLKTLENLPDEKEPISITPPPSLQYSLPGALPISGQPLTKCIHLTPQQAQEVGITLTPQQAQAQGITLTLQQAQELGIPLTPQQAQALEILFTPQQAQALGIPLTPQQTQVQGITLTPQQDQAPGISLTTQQAQKLGIPLTPQQAQALGIPLTPQQAQELGIPLTPQQAQALRVSLTPQQAQELGIPLTPQQAQALGITLTLQQAQQLGIPLTPQQAQALGITLTPKQVQELGIPLTPQQAQALGITLTPKQAQELGIPLNPQQAQTLGIPLTPKQAQALGIPFTPQQAQALGIPLTPQQAQTQEITLTPQQAQALGMPLTTQQAQELGIPLTPQHAQALGMPLTTQQAQELGIPLTPQQAQALGMPLTTQQAQELGIPLTPQQAQELGIPFTPQQAQAQEITLTPQQAQALGMPLTAQQAQELGITLTPQQAQELGIPLTPQQAQALGIPLIPPQAQELGIPLTPQQAQALGILLIPPQAQELGIPLTPQQAQALGIPLIPPQAQELGIPLTPQQVQALGIPLIPPQAQELEIPLTPQQAQALGIPLTPQQAQELGIPLTPQQAQELGIPLTPQQAQAQGIPLTPQQAQALGISLTPQQAQAQGITLTPQQAQALGVPITPVNAWVSAVTLTSEQTHALESPMNLEQAQEQLLKLGVPLTLDKAHTLGSPLTLKQVQWSHRPFQKSKASLPTGQSIISRLSPSLRLSLASSAPTAEKSSIFGVSSTPLQISRVPLNQGPFAPGKPLEMGILSEPGKLGAPQTLRSSGQTLVYGGQSTSAQFPAPQAPPSPGQLPISRAPPTPGQPFIAGVPPTSGQIPSLWAPLSPGQPLVPEASSIPGDLLESGPLTFSEQLQEFQPPATAEQSPYLQAPSTPGQHLATWTLPGRASSLWIPPTSRHPPTLWPSPAPGKPQKSWSPSVAKKRLAIISSLKSKSVLIHPSAPDFKVAQVPFTTKKFQMSEVSDTSEETQILRDTFAIESFRTFQSHFTKYRTPVYQTPYTDERALLTLMKPTTSPSSLTTLLRTSQISPLEWYQKSRFPPIDKPWILSSVSDTKKPKVMVPPSSPQELEEKRYFVDVEAQKKNLILLNQAIKTCGLPSQLHTMARTLIIEILHMDTVQLGYLFRKYIAYRLIQHARNNIMKRLKAIQNTGKGYEARNLHMMLSRLDDYGKKVMQVWTEKQKSLGQKRNQCLKKMIHVFNQLKKIHELNLSQPIPLIIEEKQIPASTTFVQKPFLKLLMEEDRTSDICKKFRQQEDQTEAIWNVDLSTSSYPIAEKTSMHSLWAQLGGYPDIPRLLQLEVQSTFRKSLASLQSRVKKIPK
+>DECOY_sp|A6NE01|F186A_HUMAN Protein FAM186A OS=Homo sapiens OX=9606 GN=FAM186A PE=2 SV=3
+KPIKKVRSQLSALSKRFTSQVELQLLRPIDPYGGLQAWLSHMSTKEAIPYSSTSLDVNWIAETQDEQQRFKKCIDSTRDEEMLLKLFPKQVFTTSAPIQKEEIILPIPQSLNLEHIKKLQNFVHIMKKLCQNRKQGLSKQKETWVQMVKKGYDDLRSLMMHLNRAEYGKGTNQIAKLRKMINNRAHQILRYAIYKRFLYGLQVTDMHLIEIILTRAMTHLQSPLGCTKIAQNLLILNKKQAEVDVFYRKEELEQPSSPPVMVKPKKTDSVSSLIWPKDIPPFRSKQYWELPSIQSTRLLTTLSSPSTTPKMLTLLAREDTYPTQYVPTRYKTFHSQFTRFSEIAFTDRLIQTEESTDSVESMQFKKTTFPVQAVKFDPASPHILVSKSKLSSIIALRKKAVSPSWSKQPKGPAPSPWLTPPHRSTPPIWLSSARGPLTWTALHQGPTSPAQLYPSQEATAPPQFEQLQESFTLPGSELLDGPISSAEPVLPQGPSLPAWLSPIQGSTPPVGAIFPQGPTPPARSIPLQGPSPPAQPAPFQASTSQGGYVLTQGSSRLTQPAGLKGPESLIGMELPKGPAFPGQNLPVRSIQLPTSSVGFISSKEATPASSALSLRLSPSLRSIISQGTPLSAKSKQFPRHSWQVQKLTLPSGLTHAKDLTLPVGLKLLQEQAQELNMPSELAHTQESTLTVASVWANVPTIPVGLAQAQQPTLTIGQAQAQQPTLSIGLAQAQQPTLPIGQAQAQQPTLPIGLEQAQQPTLPIGLEQAQQPTLPIGLAQAQQPTLPIELEQAQPPILPIGLAQVQQPTLPIGLEQAQPPILPIGLAQAQQPTLPIGLEQAQPPILLIGLAQAQQPTLPIGLEQAQPPILPIGLAQAQQPTLPIGLEQAQQPTLTIGLEQAQQATLPMGLAQAQQPTLTIEQAQAQQPTFPIGLEQAQQPTLPIGLEQAQQTTLPMGLAQAQQPTLPIGLEQAQQTTLPMGLAQAHQPTLPIGLEQAQQTTLPMGLAQAQQPTLTIEQTQAQQPTLPIGLAQAQQPTFPIGLAQAQKPTLPIGLTQAQQPNLPIGLEQAQKPTLTIGLAQAQQPTLPIGLEQVQKPTLTIGLAQAQQPTLPIGLQQAQQLTLTIGLAQAQQPTLPIGLEQAQQPTLSVRLAQAQQPTLPIGLEQAQQPTLPIGLAQAQQPTLPIGLKQAQQTTLSIGPAQDQQPTLTIGQVQTQQPTLPIGLAQAQQPTFLIELAQAQQPTLPIGLEQAQQLTLTIGQAQAQQPTLTIGVEQAQQPTLHICKTLPQGSIPLAGPLSYQLSPPPTISIPEKEDPLNELTKLNRQFEKGEYSRQVDKLVSKWRPSLTMPTQIVMKELEKPQTEKMQMQDKTKIQRELDELGREPKQKEKGKERRRHPGLHKAEKQIQRMQGNEKELLLGQTKMKQTGEELRQLSSKPLEEEEQGRQKQKEEQEAQKPTAQKQEEKWMEEEQWQKQSQQEQKGEKMQLWAKKEEWNESIKEYHEKLLNREGLLQQKLSMGSMQEQGEQLYQEQRQKEKEKHDKQVTSVTPIDRESEIEALIMQILNNIVPDTSEYSLLTSSESKASIPSKQFHLGPMFSVVKKQKIPKEGVQEEKKTDKYKRLIKTVTEAVKQFYEEMKAKIIGLKEAEARKLLEEEKPVTKKDFAKGINDIKQKLFAMIAEQFEELNSQESKGDPSELVRTSESTEKAVRSLSKVLQHSKVQKTLEEKEETKEEKSTITGSSIHHKKGKIKGKQPEATDDFQIMSLPEVLSRIEGKGEKDLSETTPEVKIEAATPRKDFPSERKVKRFQELMMMSTGSKGGQSKTETLSLHKRKAETPSKSKDESFSKMEKRKKKLVQLEYYQSPKAESVKQGSKNDSLNPGSTEYVYTAKKHSRKWSQYEDTEDEQYFDGKKLSVNDKTSDESIDESAVPQQRLETLDPTREAQATYSITSDWKIGSDKTEKRQVEDVINELEKDLINDMNDETDGVKIIARSSQPSPGPLVKLTSSTSLKAYLQEIVIKSRILQECKEEADQLKQQLMENENSLDRIIKLSLEKEAESTEHAYVTSSQFNVCKLEDNLMSLATSLNVITSSIYKIANNELTSFMTTGILEQLMGQIESVKTNTALQDSIMQDPRLARATSPRKIVREKWSKWLTGRSLIKKKQKKKEDLFSTSFRSLIKVNNEIAKLTDPLLEMQAIWHHHEDVDMLTMESLVDNWEELWALINALTKERIEITKAYTAMKELFNTRQKKKHETLSVNRESSSNFVLTYRTMIRHVNNMIESLQMDIDERARHLQAREIRSIIDKVSFPIELNPLMLPSLINQPERRMITSDKICKESEPDNDIENKMKFFM
+>sp|Q8IYM0|F186B_HUMAN Protein FAM186B OS=Homo sapiens OX=9606 GN=FAM186B PE=2 SV=2
+MEKDDPPQLVTPTSVKAIILRIEAAQLTRAQEDISTQLSDILDNVNCVINRFQEELGYDLKENAKSQQRDPKGKKRFILLEKIASFSKDAMMKEKHLYDILRWLGDWGDTLTYEIGPRKSEEEAAALDEWIEVTEKVLPLSLIATKRGIESLTALCSTLIEGQKKRSQVSKRTFWQGWQGRSPQTSPSHPQPLSPEQMLQDQHTMNTKASEVTSMLQELLDSTMFSKGEVRAIRYMATVVENLNKALILQHKENRSLETKYRHLQMQATKELSSQRLHFQQFMEVLESRRDALLKQVEILGGRYHDLLLMKQALEFQLKKAQNATGQAEDLAEVSVDSPGPSERETLPRKETVMEESQQEPMKEEQLFSPLPPSPMAMIRDSGAIAAGHQPLSTMTVRSRVADVFGSKDTESLEPVLLPLVDRRFPKKWERPVAESLGHKDKDQEDYFQKGGLQIKFHCSKQLSLESSRQVTSESQEEPWEEEFGREMRRQLWLEEEEMWQQRQKKWALLEQEHQEKLRQWNLEDLAREQQRRWVQLEKEQESPRREPEQLGEDVERRIFTPTSRWRDLEKAELSLVPAPSRTQSAHQSRRPHLPMSPSTQQPALGKQRPMSSVEFTYRPRTRRVPTKPKKSASFPVTGTSIRRLTWPSLQISPANIKKKVYHMDMEAQRKNLQLLSEESELRLPHYLRSKALELTTTTMELGALRLQYLCHKYIFYRRLQSLRQEAINHVQIMKETEASYKAQNLYIFLENIDRLQSLRLQAWTDKQKGLEEKHRECLSSMVTMFPKLQLEWNVHLNIPEVTSPKPKKCKLPAASPRHIRPSGPTYKQPFLSRHRACVPLQMARQQGKQMEAVWKTEVASSSYAIEKKTPASLPRDQLRGHPDIPRLLTLDV
+>DECOY_sp|Q8IYM0|F186B_HUMAN Protein FAM186B OS=Homo sapiens OX=9606 GN=FAM186B PE=2 SV=2
+VDLTLLRPIDPHGRLQDRPLSAPTKKEIAYSSSAVETKWVAEMQKGQQRAMQLPVCARHRSLFPQKYTPGSPRIHRPSAAPLKCKKPKPSTVEPINLHVNWELQLKPFMTVMSSLCERHKEELGKQKDTWAQLRLSQLRDINELFIYLNQAKYSAETEKMIQVHNIAEQRLSQLRRYFIYKHCLYQLRLAGLEMTTTTLELAKSRLYHPLRLESEESLLQLNKRQAEMDMHYVKKKINAPSIQLSPWTLRRISTGTVPFSASKKPKTPVRRTRPRYTFEVSSMPRQKGLAPQQTSPSMPLHPRRSQHASQTRSPAPVLSLEAKELDRWRSTPTFIRREVDEGLQEPERRPSEQEKELQVWRRQQERALDELNWQRLKEQHEQELLAWKKQRQQWMEEEELWLQRRMERGFEEEWPEEQSESTVQRSSELSLQKSCHFKIQLGGKQFYDEQDKDKHGLSEAVPREWKKPFRRDVLPLLVPELSETDKSGFVDAVRSRVTMTSLPQHGAAIAGSDRIMAMPSPPLPSFLQEEKMPEQQSEEMVTEKRPLTERESPGPSDVSVEALDEAQGTANQAKKLQFELAQKMLLLDHYRGGLIEVQKLLADRRSELVEMFQQFHLRQSSLEKTAQMQLHRYKTELSRNEKHQLILAKNLNEVVTAMYRIARVEGKSFMTSDLLEQLMSTVESAKTNMTHQDQLMQEPSLPQPHSPSTQPSRGQWGQWFTRKSVQSRKKQGEILTSCLATLSEIGRKTAILSLPLVKETVEIWEDLAAAEEESKRPGIEYTLTDGWDGLWRLIDYLHKEKMMADKSFSAIKELLIFRKKGKPDRQQSKANEKLDYGLEEQFRNIVCNVNDLIDSLQTSIDEQARTLQAAEIRLIIAKVSTPTVLQPPDDKEM
+>sp|Q15884|F1892_HUMAN Protein FAM189A2 OS=Homo sapiens OX=9606 GN=FAM189A2 PE=1 SV=3
+MILLVNLFVLLSVVCVLLNLAGFILGCQGAQFVSSVPRCDLVDLGEGKICFCCEEFQPAKCTDKENALKLFPVQPCSAVHLLLKKVLFALCALNALTTTVCLVAAALRYLQIFATRRSCIDESQISAEEAEDHGRIPDPDDFVPPVPPPSYFATFYSCTPRMNRRMVGPDVIPLPHIYGARIKGVEVFCPLDPPPPYEAVVSQMDQEQGSSFQMSEGSEAAVIPLDLGCTQVTQDGDIPNIPAEENASTSTPSSTLVRPIRSRRALPPLRTRSKSDPVLHPSEERAAPVLSCEAATQTERRLDLAAVTLRRGLRSRASRCRPRSLIDYKSYMDTKLLVARFLEQSSCTMTPDIHELVENIKSVLKSDEEHMEEAITSASFLEQIMAPLQPSTSRAHKLPSRRQPGLLHLQSCGDLHTFTPAGRPRAERRPRRVEAERPHSLIGVIRETVL
+>DECOY_sp|Q15884|F1892_HUMAN Protein FAM189A2 OS=Homo sapiens OX=9606 GN=FAM189A2 PE=1 SV=3
+LVTERIVGILSHPREAEVRRPRREARPRGAPTFTHLDGCSQLHLLGPQRRSPLKHARSTSPQLPAMIQELFSASTIAEEMHEEDSKLVSKINEVLEHIDPTMTCSSQELFRAVLLKTDMYSKYDILSRPRCRSARSRLGRRLTVAALDLRRETQTAAECSLVPAAREESPHLVPDSKSRTRLPPLARRSRIPRVLTSSPTSTSANEEAPINPIDGDQTVQTCGLDLPIVAAESGESMQFSSGQEQDMQSVVAEYPPPPDLPCFVEVGKIRAGYIHPLPIVDPGVMRRNMRPTCSYFTAFYSPPPVPPVFDDPDPIRGHDEAEEASIQSEDICSRRTAFIQLYRLAAAVLCVTTTLANLACLAFLVKKLLLHVASCPQVPFLKLANEKDTCKAPQFEECCFCIKGEGLDVLDCRPVSSVFQAGQCGLIFGALNLLVCVVSLLVFLNVLLIM
+>sp|Q5U5X8|F222A_HUMAN Protein FAM222A OS=Homo sapiens OX=9606 GN=FAM222A PE=2 SV=1
+MLACLQRTQNAPGQHLACPSKSLELRKCEAVASAMHSSRYPSPAELDAYAEKVANSPLSIKIFPTNIRVPQHKHLSRTVNGYDTSGQRYSPYPQHTAGYQGLLAIVKAAVSSSSTAAPAGPAKSVLKSAEGKRTKLSPAAVQVGIAPYPVPSTLGPLAYPKPPEAPAPPPGLPAAATAASVIPLPGRGLPLPPSNLPSIHSLLYQLNQQCQAPGAAPPACQGMAIPHPSPAKHGPVPSFPSMAYSAAAGLPDCRKGTELGQGATQALTLAGAAKPAGYADSGLDYLLWPQKPPPPPPQPLRAYSGSTVASKSPEACGGRAYERASGSPLNCGVGLPTSFTVGQYFAAPWNSVLVTPTSDCYNPAAAVVVTELGPGAARELAGPPADALSGLPSKSVCNTSVLSSSLQSLEYLINDIRPPCIKEQMLGKGYETVAVPRLLDHQHAHIRLPVYR
+>DECOY_sp|Q5U5X8|F222A_HUMAN Protein FAM222A OS=Homo sapiens OX=9606 GN=FAM222A PE=2 SV=1
+RYVPLRIHAHQHDLLRPVAVTEYGKGLMQEKICPPRIDNILYELSQLSSSLVSTNCVSKSPLGSLADAPPGALERAAGPGLETVVVAAAPNYCDSTPTVLVSNWPAAFYQGVTFSTPLGVGCNLPSGSAREYARGGCAEPSKSAVTSGSYARLPQPPPPPPKQPWLLYDLGSDAYGAPKAAGALTLAQTAGQGLETGKRCDPLGAAASYAMSPFSPVPGHKAPSPHPIAMGQCAPPAAGPAQCQQNLQYLLSHISPLNSPPLPLGRGPLPIVSAATAAAPLGPPPAPAEPPKPYALPGLTSPVPYPAIGVQVAAPSLKTRKGEASKLVSKAPGAPAATSSSSVAAKVIALLGQYGATHQPYPSYRQGSTDYGNVTRSLHKHQPVRINTPFIKISLPSNAVKEAYADLEAPSPYRSSHMASAVAECKRLELSKSPCALHQGPANQTRQLCALM
+>sp|Q6P4D5|F222C_HUMAN Protein FAM122C OS=Homo sapiens OX=9606 GN=FAM122C PE=2 SV=1
+MAQEKMKLGFKSLPSSTTADGNILRRVNSAPLINGLGFNSQVLQADMLRIRTNRTTFRNRRSLLLPPPPFHGSISRLHQIKQEEAMDLINRETMSEWKLQSEIQISHSWEEGLKLVKWHFNINQKRFSKAQPTCFLLILPNCQKIMCIYFQLLLMETTAMLDLLVIRQLKSALSQTLLCHLLILVLICSSRQTFN
+>DECOY_sp|Q6P4D5|F222C_HUMAN Protein FAM122C OS=Homo sapiens OX=9606 GN=FAM122C PE=2 SV=1
+NFTQRSSCILVLILLHCLLTQSLASKLQRIVLLDLMATTEMLLLQFYICMIKQCNPLILLFCTPQAKSFRKQNINFHWKVLKLGEEWSHSIQIESQLKWESMTERNILDMAEEQKIQHLRSISGHFPPPPLLLSRRNRFTTRNTRIRLMDAQLVQSNFGLGNILPASNVRRLINGDATTSSPLSKFGLKMKEQAM
+>sp|H3BQW9|F229A_HUMAN Protein FAM229A OS=Homo sapiens OX=9606 GN=FAM229A PE=3 SV=1
+MLPSSTPGPGHATETCPAPPGPERSPAARAPAAASSLGPVSTAGRAPRGLDMSAQEPPQGRRFPIEAGDSRGLAAAPESQDSPEAVATEHNPVRPLRRCPGCHCLTLLHVPIDVYLAMGGSPRARAT
+>DECOY_sp|H3BQW9|F229A_HUMAN Protein FAM229A OS=Homo sapiens OX=9606 GN=FAM229A PE=3 SV=1
+TARARPSGGMALYVDIPVHLLTLCHCGPCRRLPRVPNHETAVAEPSDQSEPAAALGRSDGAEIPFRRGQPPEQASMDLGRPARGATSVPGLSSAAAPARAAPSREPGPPAPCTETAHGPGPTSSPLM
+>sp|P0DP71|F236C_HUMAN Protein FAM236C OS=Homo sapiens OX=9606 GN=FAM236C PE=3 SV=1
+MIFTPFLPPADLSVFQNVKGPQKDPEELVAVSDTAEDPSSGTGLPREPALLRGSWRSRFQRALACFIKCFRGGYRALGI
+>DECOY_sp|P0DP71|F236C_HUMAN Protein FAM236C OS=Homo sapiens OX=9606 GN=FAM236C PE=3 SV=1
+IGLARYGGRFCKIFCALARQFRSRWSGRLLAPERPLGTGSSPDEATDSVAVLEEPDKQPGKVNQFVSLDAPPLFPTFIM
+>sp|Q6NXP2|F71F2_HUMAN Protein FAM71F2 OS=Homo sapiens OX=9606 GN=FAM71F2 PE=2 SV=3
+MSKIRGLPPEVREPGPGVELGVENGLLCQLIHSPEFNLFSNSVVFESNFIQTHVPEADFQVTKPGNWRDVCEGSATVILGVTSSVPSLPLPNVLLMANVTWPQGPFTTWSTPGDAPVINLSRLLPLKYVELRIYDRLQRILRVRTVTEKIYYLKLHEKHPEIVFQFWVRLVKILQKGLSITTKDPRIKFTHCLVPKMPTNSTETTPENSLLSSPQPSEPLVLLAAEQTSGSFSQLSGKPQLTADRNNDTAIEIDNCSSYKIPSPVASPINLNIPMRAALSHSLWEQEDWNEHLLQVHIASYLGEHFLGA
+>DECOY_sp|Q6NXP2|F71F2_HUMAN Protein FAM71F2 OS=Homo sapiens OX=9606 GN=FAM71F2 PE=2 SV=3
+AGLFHEGLYSAIHVQLLHENWDEQEWLSHSLAARMPINLNIPSAVPSPIKYSSCNDIEIATDNNRDATLQPKGSLQSFSGSTQEAALLVLPESPQPSSLLSNEPTTETSNTPMKPVLCHTFKIRPDKTTISLGKQLIKVLRVWFQFVIEPHKEHLKLYYIKETVTRVRLIRQLRDYIRLEVYKLPLLRSLNIVPADGPTSWTTFPGQPWTVNAMLLVNPLPLSPVSSTVGLIVTASGECVDRWNGPKTVQFDAEPVHTQIFNSEFVVSNSFLNFEPSHILQCLLGNEVGLEVGPGPERVEPPLGRIKSM
+>sp|Q8N7N1|F86B1_HUMAN Putative protein N-methyltransferase FAM86B1 OS=Homo sapiens OX=9606 GN=FAM86B1 PE=2 SV=2
+MAPEENAGTELLLQGFERRFLAVRTLRSFPWQSLEAKLRDSSDSELLRDILQKTVRHPVCVKHPPSVKYAWCFLSELIKKSSGGSVTLSKSTAIISHGTTGLVTWDAALYLAEWAIENPAAFINRTVLELGSGAGLTGLAICKMCRPRAYIFSDPHSRVLEQLRGNVLLNGLSLEADITGNLDSPRVTVAQLDWDVAMVHQLSAFQPDVVIAADVLYCPEAIVSLVGVLQRLAACREHKRAPEVYVAFTVRNPETCQLFTTELGRDGIRWEAEAHHDQKLFPYGEHLEMAMLNLTL
+>DECOY_sp|Q8N7N1|F86B1_HUMAN Putative protein N-methyltransferase FAM86B1 OS=Homo sapiens OX=9606 GN=FAM86B1 PE=2 SV=2
+LTLNLMAMELHEGYPFLKQDHHAEAEWRIGDRGLETTFLQCTEPNRVTFAVYVEPARKHERCAALRQLVGVLSVIAEPCYLVDAAIVVDPQFASLQHVMAVDWDLQAVTVRPSDLNGTIDAELSLGNLLVNGRLQELVRSHPDSFIYARPRCMKCIALGTLGAGSGLELVTRNIFAAPNEIAWEALYLAADWTVLGTTGHSIIATSKSLTVSGGSSKKILESLFCWAYKVSPPHKVCVPHRVTKQLIDRLLESDSSDRLKAELSQWPFSRLTRVALFRREFGQLLLETGANEEPAM
+>sp|Q658T7|F90A2_HUMAN Putative protein FAM90A2P OS=Homo sapiens OX=9606 GN=FAM90A2P PE=5 SV=2
+MTARRDPKPGAKRLVRAQTLQKQRRAPVGPRAPPPDEEDPRLKCKNCRALGHTVRSTRCPMKCWKAALVPPTLGKKEGKENLKPWKPQVEANPGPLNKDKGEKEERPRQQDPQRKALLHIFSGKPPEKLLPNRKGSTESSVFLRVASRPMPVHTTSKRPCVDPELADRSATEMSGRGSVLASLSPLRKASLRSSSSLGPKERQTGAAADIPQPAVRHQGPEPLLVVKPTHSSREGGCREVPQAASKTHGLLQAVRPQAQDKRPAVTQPGPPAATHSLGLGSNLSFRPGAKRPAQAPIQGCLNFPKKPRLGPFQIPESAIQGGELGALENLQPPPAATELGPSTSPQMGRRTPAQVPGVDRQPPHSRPCLPTAQACTMSHHPAASHDGAQPLRVLFRRLENRRWSSSLLAAPSFHSPEKLGVFLAQSPHVSEKSEGPCVRVPPNVLYEDLQVSSSSEDSDSDLQ
+>DECOY_sp|Q658T7|F90A2_HUMAN Putative protein FAM90A2P OS=Homo sapiens OX=9606 GN=FAM90A2P PE=5 SV=2
+QLDSDSDESSSSVQLDEYLVNPPVRVCPGESKESVHPSQALFVGLKEPSHFSPAALLSSSWRRNELRRFLVRLPQAGDHSAAPHHSMTCAQATPLCPRSHPPQRDVGPVQAPTRRGMQPSTSPGLETAAPPPQLNELAGLEGGQIASEPIQFPGLRPKKPFNLCGQIPAQAPRKAGPRFSLNSGLGLSHTAAPPGPQTVAPRKDQAQPRVAQLLGHTKSAAQPVERCGGERSSHTPKVVLLPEPGQHRVAPQPIDAAAGTQREKPGLSSSSRLSAKRLPSLSALVSGRGSMETASRDALEPDVCPRKSTTHVPMPRSAVRLFVSSETSGKRNPLLKEPPKGSFIHLLAKRQPDQQRPREEKEGKDKNLPGPNAEVQPKWPKLNEKGEKKGLTPPVLAAKWCKMPCRTSRVTHGLARCNKCKLRPDEEDPPPARPGVPARRQKQLTQARVLRKAGPKPDRRATM
+>sp|A6NNJ1|F90A9_HUMAN Putative protein FAM90A9P OS=Homo sapiens OX=9606 GN=FAM90A9P PE=5 SV=1
+MMARRDPKSWAKRLVRAQTLQKQRRAPVGPRAPPPDEEDPRLKCKNCGAFGHTARSTRCPMKCWKAALVPATLGKKEGKENLKPWKPRVEANPGPLNKDKGEKEERPRQQDPQRKALLHMFSGKPPEKPLPNGKGSTESSDHLRVASGPMPVHTTSKRPRVDPVLADRSAAEMSGRGSVLASLSPLRKASLSSSSSLGPKERQTGAAADMPQPAVRHQGREPLLVVKPTHSSPEGGCREVPQAASKTHGLLQAARPQAQDKRPAVTSQPCPPAATHSLGLGSNLSFGPGAKRPAQAPIQACLNFPKKPRLGPFQIPESAIQGGELGAPENLQPPPAATELGPSTSPQMGRRTPAQVPSVDRQPPHSTPCLPTAQACTMSHHSAASHDGAQPLRVLFRRLENGRWSSSLLAAPSFHSPEKPGTFLAQSPHVSEKSEAPCVRVPPSVLYEDLQVSSSSEDSDSDLE
+>DECOY_sp|A6NNJ1|F90A9_HUMAN Putative protein FAM90A9P OS=Homo sapiens OX=9606 GN=FAM90A9P PE=5 SV=1
+ELDSDSDESSSSVQLDEYLVSPPVRVCPAESKESVHPSQALFTGPKEPSHFSPAALLSSSWRGNELRRFLVRLPQAGDHSAASHHSMTCAQATPLCPTSHPPQRDVSPVQAPTRRGMQPSTSPGLETAAPPPQLNEPAGLEGGQIASEPIQFPGLRPKKPFNLCAQIPAQAPRKAGPGFSLNSGLGLSHTAAPPCPQSTVAPRKDQAQPRAAQLLGHTKSAAQPVERCGGEPSSHTPKVVLLPERGQHRVAPQPMDAAAGTQREKPGLSSSSSLSAKRLPSLSALVSGRGSMEAASRDALVPDVRPRKSTTHVPMPGSAVRLHDSSETSGKGNPLPKEPPKGSFMHLLAKRQPDQQRPREEKEGKDKNLPGPNAEVRPKWPKLNEKGEKKGLTAPVLAAKWCKMPCRTSRATHGFAGCNKCKLRPDEEDPPPARPGVPARRQKQLTQARVLRKAWSKPDRRAMM
+>sp|A8MWA6|F90AM_HUMAN Putative protein FAM90A22P OS=Homo sapiens OX=9606 GN=FAM90A22P PE=5 SV=1
+MMARRDPKSWAKRLVRAQTLQKQRRARVGPRAPPPDEEDPRLKCKNCGAFGHTARSTRCPMKCWKAALVPATLGKKEGKENLKPWKPRAEANPGPLNKDKGEKEERPRQQDPQRKALLHMFSGKPPEKPLPNGKGSTESSDYLRVASGPMPVHTTSKRPRLDPVLADRSATEMSGRGSVLASLSPLRKTSLSSSSSLGPKERQTGAAADMPQPAVRHQGPEPLLEVKPTHSRPEGGCQEVPQAASKTHGLLQASRPQAQDKRPAVTPQPCPPAATHSLGLGSNLSFGPGAKKPAQAPIQACLNFPKKPRLGPFQIPESAIQGGELGAPENLQPPPAATELGPSTSPQMGRRTPAQVPSVDRQPPHSRPCLPTAQACTMSHHSAAGHDGAQPLRVLFRRLENGRWSSSLLAAPSFHSPEKPGAFLAQSPHVSEKSEAPCVRVPPSVLYEDLQVSSSSEDSDSDLE
+>DECOY_sp|A8MWA6|F90AM_HUMAN Putative protein FAM90A22P OS=Homo sapiens OX=9606 GN=FAM90A22P PE=5 SV=1
+ELDSDSDESSSSVQLDEYLVSPPVRVCPAESKESVHPSQALFAGPKEPSHFSPAALLSSSWRGNELRRFLVRLPQAGDHGAASHHSMTCAQATPLCPRSHPPQRDVSPVQAPTRRGMQPSTSPGLETAAPPPQLNEPAGLEGGQIASEPIQFPGLRPKKPFNLCAQIPAQAPKKAGPGFSLNSGLGLSHTAAPPCPQPTVAPRKDQAQPRSAQLLGHTKSAAQPVEQCGGEPRSHTPKVELLPEPGQHRVAPQPMDAAAGTQREKPGLSSSSSLSTKRLPSLSALVSGRGSMETASRDALVPDLRPRKSTTHVPMPGSAVRLYDSSETSGKGNPLPKEPPKGSFMHLLAKRQPDQQRPREEKEGKDKNLPGPNAEARPKWPKLNEKGEKKGLTAPVLAAKWCKMPCRTSRATHGFAGCNKCKLRPDEEDPPPARPGVRARRQKQLTQARVLRKAWSKPDRRAMM
+>sp|P00742|FA10_HUMAN Coagulation factor X OS=Homo sapiens OX=9606 GN=F10 PE=1 SV=2
+MGRPLHLVLLSASLAGLLLLGESLFIRREQANNILARVTRANSFLEEMKKGHLERECMEETCSYEEAREVFEDSDKTNEFWNKYKDGDQCETSPCQNQGKCKDGLGEYTCTCLEGFEGKNCELFTRKLCSLDNGDCDQFCHEEQNSVVCSCARGYTLADNGKACIPTGPYPCGKQTLERRKRSVAQATSSSGEAPDSITWKPYDAADLDPTENPFDLLDFNQTQPERGDNNLTRIVGGQECKDGECPWQALLINEENEGFCGGTILSEFYILTAAHCLYQAKRFKVRVGDRNTEQEEGGEAVHEVEVVIKHNRFTKETYDFDIAVLRLKTPITFRMNVAPACLPERDWAESTLMTQKTGIVSGFGRTHEKGRQSTRLKMLEVPYVDRNSCKLSSSFIITQNMFCAGYDTKQEDACQGDSGGPHVTRFKDTYFVTGIVSWGEGCARKGKYGIYTKVTAFLKWIDRSMKTRGLPKAKSHAPEVITSSPLK
+>DECOY_sp|P00742|FA10_HUMAN Coagulation factor X OS=Homo sapiens OX=9606 GN=F10 PE=1 SV=2
+KLPSSTIVEPAHSKAKPLGRTKMSRDIWKLFATVKTYIGYKGKRACGEGWSVIGTVFYTDKFRTVHPGGSDGQCADEQKTDYGACFMNQTIIFSSSLKCSNRDVYPVELMKLRTSQRGKEHTRGFGSVIGTKQTMLTSEAWDREPLCAPAVNMRFTIPTKLRLVAIDFDYTEKTFRNHKIVVEVEHVAEGGEEQETNRDGVRVKFRKAQYLCHAATLIYFESLITGGCFGENEENILLAQWPCEGDKCEQGGVIRTLNNDGREPQTQNFDLLDFPNETPDLDAADYPKWTISDPAEGSSSTAQAVSRKRRELTQKGCPYPGTPICAKGNDALTYGRACSCVVSNQEEHCFQDCDGNDLSCLKRTFLECNKGEFGELCTCTYEGLGDKCKGQNQCPSTECQDGDKYKNWFENTKDSDEFVERAEEYSCTEEMCERELHGKKMEELFSNARTVRALINNAQERRIFLSEGLLLLGALSASLLVLHLPRGM
+>sp|P03951|FA11_HUMAN Coagulation factor XI OS=Homo sapiens OX=9606 GN=F11 PE=1 SV=1
+MIFLYQVVHFILFTSVSGECVTQLLKDTCFEGGDITTVFTPSAKYCQVVCTYHPRCLLFTFTAESPSEDPTRWFTCVLKDSVTETLPRVNRTAAISGYSFKQCSHQISACNKDIYVDLDMKGINYNSSVAKSAQECQERCTDDVHCHFFTYATRQFPSLEHRNICLLKHTQTGTPTRITKLDKVVSGFSLKSCALSNLACIRDIFPNTVFADSNIDSVMAPDAFVCGRICTHHPGCLFFTFFSQEWPKESQRNLCLLKTSESGLPSTRIKKSKALSGFSLQSCRHSIPVFCHSSFYHDTDFLGEELDIVAAKSHEACQKLCTNAVRCQFFTYTPAQASCNEGKGKCYLKLSSNGSPTKILHGRGGISGYTLRLCKMDNECTTKIKPRIVGGTASVRGEWPWQVTLHTTSPTQRHLCGGSIIGNQWILTAAHCFYGVESPKILRVYSGILNQSEIKEDTSFFGVQEIIIHDQYKMAESGYDIALLKLETTVNYTDSQRPICLPSKGDRNVIYTDCWVTGWGYRKLRDKIQNTLQKAKIPLVTNEECQKRYRGHKITHKMICAGYREGGKDACKGDSGGPLSCKHNEVWHLVGITSWGEGCAQRERPGVYTNVVEYVDWILEKTQAV
+>DECOY_sp|P03951|FA11_HUMAN Coagulation factor XI OS=Homo sapiens OX=9606 GN=F11 PE=1 SV=1
+VAQTKELIWDVYEVVNTYVGPRERQACGEGWSTIGVLHWVENHKCSLPGGSDGKCADKGGERYGACIMKHTIKHGRYRKQCEENTVLPIKAKQLTNQIKDRLKRYGWGTVWCDTYIVNRDGKSPLCIPRQSDTYNVTTELKLLAIDYGSEAMKYQDHIIIEQVGFFSTDEKIESQNLIGSYVRLIKPSEVGYFCHAATLIWQNGIISGGCLHRQTPSTTHLTVQWPWEGRVSATGGVIRPKIKTTCENDMKCLRLTYGSIGGRGHLIKTPSGNSSLKLYCKGKGENCSAQAPTYTFFQCRVANTCLKQCAEHSKAAVIDLEEGLFDTDHYFSSHCFVPISHRCSQLSFGSLAKSKKIRTSPLGSESTKLLCLNRQSEKPWEQSFFTFFLCGPHHTCIRGCVFADPAMVSDINSDAFVTNPFIDRICALNSLACSKLSFGSVVKDLKTIRTPTGTQTHKLLCINRHELSPFQRTAYTFFHCHVDDTCREQCEQASKAVSSNYNIGKMDLDVYIDKNCASIQHSCQKFSYGSIAATRNVRPLTETVSDKLVCTFWRTPDESPSEATFTFLLCRPHYTCVVQCYKASPTFVTTIDGGEFCTDKLLQTVCEGSVSTFLIFHVVQYLFIM
+>sp|A6NFZ4|FA24A_HUMAN Protein FAM24A OS=Homo sapiens OX=9606 GN=FAM24A PE=3 SV=1
+MAKMFDLRTKIMIGIGSSLLVAAMVLLSVVFCLYFKVAKALKAAKDPDAVAVKNHNPDKVCWATNSQAKATTMESCPSLQCCEGCRMHASSDSLPPCCCDINEGL
+>DECOY_sp|A6NFZ4|FA24A_HUMAN Protein FAM24A OS=Homo sapiens OX=9606 GN=FAM24A PE=3 SV=1
+LGENIDCCCPPLSDSSAHMRCGECCQLSPCSEMTTAKAQSNTAWCVKDPNHNKVAVADPDKAAKLAKAVKFYLCFVVSLLVMAAVLLSSGIGIMIKTRLDFMKAM
+>sp|Q7L5A8|FA2H_HUMAN Fatty acid 2-hydroxylase OS=Homo sapiens OX=9606 GN=FA2H PE=1 SV=1
+MAPAPPPAASFSPSEVQRRLAAGACWVRRGARLYDLSSFVRHHPGGEQLLRARAGQDISADLDGPPHRHSANARRWLEQYYVGELRGEQQGSMENEPVALEETQKTDPAMEPRFKVVDWDKDLVDWRKPLLWQVGHLGEKYDEWVHQPVTRPIRLFHSDLIEGLSKTVWYSVPIIWVPLVLYLSWSYYRTFAQGNVRLFTSFTTEYTVAVPKSMFPGLFMLGTFLWSLIEYLIHRFLFHMKPPSDSYYLIMLHFVMHGQHHKAPFDGSRLVFPPVPASLVIGVFYLCMQLILPEAVGGTVFAGGLLGYVLYDMTHYYLHFGSPHKGSYLYSLKAHHVKHHFAHQKSGFGISTKLWDYCFHTLTPEKPHLKTQ
+>DECOY_sp|Q7L5A8|FA2H_HUMAN Fatty acid 2-hydroxylase OS=Homo sapiens OX=9606 GN=FA2H PE=1 SV=1
+QTKLHPKEPTLTHFCYDWLKTSIGFGSKQHAFHHKVHHAKLSYLYSGKHPSGFHLYYHTMDYLVYGLLGGAFVTGGVAEPLILQMCLYFVGIVLSAPVPPFVLRSGDFPAKHHQGHMVFHLMILYYSDSPPKMHFLFRHILYEILSWLFTGLMFLGPFMSKPVAVTYETTFSTFLRVNGQAFTRYYSWSLYLVLPVWIIPVSYWVTKSLGEILDSHFLRIPRTVPQHVWEDYKEGLHGVQWLLPKRWDVLDKDWDVVKFRPEMAPDTKQTEELAVPENEMSGQQEGRLEGVYYQELWRRANASHRHPPGDLDASIDQGARARLLQEGGPHHRVFSSLDYLRAGRRVWCAGAALRRQVESPSFSAAPPPAPAM
+>sp|Q6ZT52|FA43B_HUMAN Protein FAM43B OS=Homo sapiens OX=9606 GN=FAM43B PE=2 SV=1
+MLPWRRNKFVLVEDEAKCKAKSLSPGLAYTSLLSSFLRSCPDLLPDWPLERLGRVFRSRRQKVELNKEDPTYTVWYLGNAVTLHAKGDGCTDDAVGKIWARCGPGGGTKMKLTLGPHGIRMQPCERSAAGGSGGRRPAHAYLLPRITYCTADGRHPRVFAWVYRHQARHKAVVLRCHAVLLARAHKARALARLLRQTALAAFSDFKRLQRQSDARHVRQQHLRAGGAAASVPRAPLRRLLNAKCAYRPPPSERSRGAPRLSSIQEEDEEEEEDDAEEQEGGVPQRERPEVLSLARELRTCSLRGAPAPPPPAQPRRWKAGPRERAGQAR
+>DECOY_sp|Q6ZT52|FA43B_HUMAN Protein FAM43B OS=Homo sapiens OX=9606 GN=FAM43B PE=2 SV=1
+RAQGARERPGAKWRRPQAPPPPAPAGRLSCTRLERALSLVEPRERQPVGGEQEEADDEEEEEDEEQISSLRPAGRSRESPPPRYACKANLLRRLPARPVSAAAGGARLHQQRVHRADSQRQLRKFDSFAALATQRLLRALARAKHARALLVAHCRLVVAKHRAQHRYVWAFVRPHRGDATCYTIRPLLYAHAPRRGGSGGAASRECPQMRIGHPGLTLKMKTGGGPGCRAWIKGVADDTCGDGKAHLTVANGLYWVTYTPDEKNLEVKQRRSRFVRGLRELPWDPLLDPCSRLFSSLLSTYALGPSLSKAKCKAEDEVLVFKNRRWPLM
+>sp|Q5JRC9|FA47A_HUMAN Protein FAM47A OS=Homo sapiens OX=9606 GN=FAM47A PE=2 SV=3
+MGDQRLQDWLRSPGMDSKPWYCNKRPSKCFAKCKHRRLRFPPMDTQNWVFVKEGMDDFRYGCPSPEDTLVCRRDEFLLPKISLRGPQADPKSGQKKLLKKAALFSKLSPAQLARKAFVEQVEAQLMAKHPLAMYPNLGEDMPPDLLLQVLKHLDPERELEDAWACCETQEKTTEVPTEPGKHPCGEFCLKPPETPVSHLLPEPPETGVSHLSPEPPKTPVSSLRPEPPETGVSHLRPEPPETGVSHIRPGPPITRRRSSLLRQLLKLDSERKLEDARAPCEGREKTTDEPTEPGKYPCGKFCPRPFETPLSHLRQEPPKTPVSSLRPEPPETGESHLRLEHSKTRRGSSLRSEPSETGVSRLRLAPPKTRRGSSLHAEPSKTGVSHLSPEPPKTEVSHLHPVPPKTGVCHLRLEPPDTSQVSNLLLYILKVLDSGRTLKDVWDRCEARVKKTKEPTEPHKSPCGEPCLQPPETQVSHPHPEHPKTRRRSSLHSQPPKTRRTSSLRSEPPKTRRTSSLRSEPPKTRRTSSLGPEPPKTRRVSSLRPELPKSRRVSSLHPEPPKAPESHQFSEPPKIRASYIKELLQEDTPSTKECVSDSLQYRYTSEKLREFFKWAGDLGADEESIRNLFDFTPKYRATHEDQKFKKVKECSSELKYSMELDEKDEDKFFSQEKYWGRKFHTPSNSYTAQRVKMKYGAWYLKPKLWKKLRSDEPLIDPKLLLKKPDEPDVLDDLYGPIAFKDFILSKGYEMPGIIQRLFARRGWTYDSVKTPIQRAMIFYKYKEIVEASEED
+>DECOY_sp|Q5JRC9|FA47A_HUMAN Protein FAM47A OS=Homo sapiens OX=9606 GN=FAM47A PE=2 SV=3
+DEESAEVIEKYKYFIMARQIPTKVSDYTWGRRAFLRQIIGPMEYGKSLIFDKFAIPGYLDDLVDPEDPKKLLLKPDILPEDSRLKKWLKPKLYWAGYKMKVRQATYSNSPTHFKRGWYKEQSFFKDEDKEDLEMSYKLESSCEKVKKFKQDEHTARYKPTFDFLNRISEEDAGLDGAWKFFERLKESTYRYQLSDSVCEKTSPTDEQLLEKIYSARIKPPESFQHSEPAKPPEPHLSSVRRSKPLEPRLSSVRRTKPPEPGLSSTRRTKPPESRLSSTRRTKPPESRLSSTRRTKPPQSHLSSRRRTKPHEPHPHSVQTEPPQLCPEGCPSKHPETPEKTKKVRAECRDWVDKLTRGSDLVKLIYLLLNSVQSTDPPELRLHCVGTKPPVPHLHSVETKPPEPSLHSVGTKSPEAHLSSGRRTKPPALRLRSVGTESPESRLSSGRRTKSHELRLHSEGTEPPEPRLSSVPTKPPEQRLHSLPTEFPRPCFKGCPYKGPETPEDTTKERGECPARADELKRESDLKLLQRLLSSRRRTIPPGPRIHSVGTEPPEPRLHSVGTEPPEPRLSSVPTKPPEPSLHSVGTEPPEPLLHSVPTEPPKLCFEGCPHKGPETPVETTKEQTECCAWADELEREPDLHKLVQLLLDPPMDEGLNPYMALPHKAMLQAEVQEVFAKRALQAPSLKSFLAAKKLLKKQGSKPDAQPGRLSIKPLLFEDRRCVLTDEPSPCGYRFDDMGEKVFVWNQTDMPPFRLRRHKCKAFCKSPRKNCYWPKSDMGPSRLWDQLRQDGM
+>sp|Q9Y247|FA50B_HUMAN Protein FAM50B OS=Homo sapiens OX=9606 GN=FAM50B PE=1 SV=1
+MAQYKGTMREAGRAMHLLKKRERQREQMEVLKQRIAEETILKSQVDKRFSAHYDAVEAELKSSTVGLVTLNDMKARQEALVRERERQLAKRQHLEEQRLQQERQREQEQRRERKRKISCLSFALDDLDDQADAAEARRAGNLGKNPDVDTSFLPDRDREEEENRLREELRQEWEAQREKVKDEEMEVTFSYWDGSGHRRTVRVRKGNTVQQFLKKALQGLRKDFLELRSAGVEQLMFIKEDLILPHYHTFYDFIIARARGKSGPLFSFDVHDDVRLLSDATMEKDESHAGKVVLRSWYEKNKHIFPASRWEAYDPEKKWDKYTIR
+>DECOY_sp|Q9Y247|FA50B_HUMAN Protein FAM50B OS=Homo sapiens OX=9606 GN=FAM50B PE=1 SV=1
+RITYKDWKKEPDYAEWRSAPFIHKNKEYWSRLVVKGAHSEDKEMTADSLLRVDDHVDFSFLPGSKGRARAIIFDYFTHYHPLILDEKIFMLQEVGASRLELFDKRLGQLAKKLFQQVTNGKRVRVTRRHGSGDWYSFTVEMEEDKVKERQAEWEQRLEERLRNEEEERDRDPLFSTDVDPNKGLNGARRAEAADAQDDLDDLAFSLCSIKRKRERRQEQERQREQQLRQEELHQRKALQRERERVLAEQRAKMDNLTVLGVTSSKLEAEVADYHASFRKDVQSKLITEEAIRQKLVEMQERQRERKKLLHMARGAERMTGKYQAM
+>sp|Q8TC56|FA71B_HUMAN Protein FAM71B OS=Homo sapiens OX=9606 GN=FAM71B PE=1 SV=2
+MSNESCLPYYTAHSYSSMSAFKTSMGDLQRQLYNRGEYNIFKYAPMFESNFIQINKKGEVIDVHNRVRMVTVGIVCTSPILPLPDVMVLAQPTKICEQHVRWGRFAKGRGRRPVKTLELTRLLPLKFVKISIHDHEKQQLRLKLATGRTFYLQLCPSSDTREDLFCYWEKLVYLLRPPVESYCSTPTLLSGDAPPEDNKSLVAAELHREGDQSETGLYKPCDVSAATSSAYAGGEGIQHASHGTASAASPSTSTPGAAEGGAARTAGGMAVAGTATGPRTDVAIAGAAMSPATGAMSIATTKSAGPGQVTTALAGAAIKNPGENESSKSMAGAANISSEGISLALVGAASTSLEGTSTSMAGAASLSQDSSLSAAFAGSITTSKCAAERTEGPAVGPLISTLQSEGYMSERDGSQKVSQPSAEVWNENKERREKKDRHPSRKSSHHRKAGESHRRRAGDKNQKASSHRSASGHKNTRDDKKEKGYSNVRGKRHGSSRKSSTHSSTKKESRTTQELGKNQSASSTGALQKKASKISSFLRSLRATPGSKTRVTSHDREVDIVAKMVEKQNIEAKVEKAQGGQELEMISGTMTSEKTEMIVFETKSI
+>DECOY_sp|Q8TC56|FA71B_HUMAN Protein FAM71B OS=Homo sapiens OX=9606 GN=FAM71B PE=1 SV=2
+ISKTEFVIMETKESTMTGSIMELEQGGQAKEVKAEINQKEVMKAVIDVERDHSTVRTKSGPTARLSRLFSSIKSAKKQLAGTSSASQNKGLEQTTRSEKKTSSHTSSKRSSGHRKGRVNSYGKEKKDDRTNKHGSASRHSSAKQNKDGARRRHSEGAKRHHSSKRSPHRDKKERREKNENWVEASPQSVKQSGDRESMYGESQLTSILPGVAPGETREAACKSTTISGAFAASLSSDQSLSAAGAMSTSTGELSTSAAGVLALSIGESSINAAGAMSKSSENEGPNKIAAGALATTVQGPGASKTTAISMAGTAPSMAAGAIAVDTRPGTATGAVAMGGATRAAGGEAAGPTSTSPSAASATGHSAHQIGEGGAYASSTAASVDCPKYLGTESQDGERHLEAAVLSKNDEPPADGSLLTPTSCYSEVPPRLLYVLKEWYCFLDERTDSSPCLQLYFTRGTALKLRLQQKEHDHISIKVFKLPLLRTLELTKVPRRGRGKAFRGWRVHQECIKTPQALVMVDPLPLIPSTCVIGVTVMRVRNHVDIVEGKKNIQIFNSEFMPAYKFINYEGRNYLQRQLDGMSTKFASMSSYSHATYYPLCSENSM
+>sp|Q5TYM5|FA72A_HUMAN Protein FAM72A OS=Homo sapiens OX=9606 GN=FAM72A PE=1 SV=1
+MSTNICSFKDRCVSILCCKFCKQVLSSRGMKAVLLADTEIDLFSTDIPPTNAVDFTGRCYFTKICKCKLKDIACLKCGNIVGYHVIVPCSSCLLSCNNGHFWMFHSQAVYDINRLDSTGVNVLLWGNLPEIEESTDEDVLNISAEECIR
+>DECOY_sp|Q5TYM5|FA72A_HUMAN Protein FAM72A OS=Homo sapiens OX=9606 GN=FAM72A PE=1 SV=1
+RICEEASINLVDEDTSEEIEPLNGWLLVNVGTSDLRNIDYVAQSHFMWFHGNNCSLLCSSCPVIVHYGVINGCKLCAIDKLKCKCIKTFYCRGTFDVANTPPIDTSFLDIETDALLVAKMGRSSLVQKCFKCCLISVCRDKFSCINTSM
+>sp|Q6ZRV2|FA83H_HUMAN Protein FAM83H OS=Homo sapiens OX=9606 GN=FAM83H PE=1 SV=3
+MARRSQSSSQGDNPLAPGYLPPHYKEYYRLAVDALAEGGSEAYSRFLATEGAPDFLCPEELEHVSRHLRPPQYVTREPPEGSLLDVDMDGSSGTYWPVNSDQAVPELDLGWPLTFGFQGTEVTTLVQPPPPDSPSIKDEARRMIRSAQQVVAVVMDMFTDVDLLSEVLEAAARRVPVYILLDEMNAQHFLDMADKCRVNLQHVDFLRVRTVAGPTYYCRTGKSFKGHVKEKFLLVDCAVVMSGSYSFMWSFEKIHRSLAHVFQGELVSSFDEEFRILFAQSEPLVPSAAALARMDAYALAPYAGAGPLVGVPGVGAPTPFSFPKRAHLLFPPPREEGLGFPSFLDPDRHFLSAFRREEPPRMPGGALEPHAGLRPLSRRLEAEAGPAGELAGARGFFQARHLEMDAFKRHSFATEGAGAVENFAAARQVSRQTFLSHGDDFRFQTSHFHRDQLYQQQYQWDPQLTPARPQGLFEKLRGGRAGFADPDDFTLGAGPRFPELGPDGHQRLDYVPSSASREVRHGSDPAFAPGPRGLEPSGAPRPNLTQRFPCQAAARPGPDPAPEAEPERRGGPEGRAGLRRWRLASYLSGCHGEDGGDDGLPAPMEAEAYEDDVLAPGGRAPAGDLLPSAFRVPAAFPTKVPVPGPGSGGNGPEREGPEEPGLAKQDSFRSRLNPLVQRSSRLRSSLIFSTSQAEGAAGAAAATEKVQLLHKEQTVSETLGPGGEAVRSAASTKVAELLEKYKGPARDPGGGAGAITVASHSKAVVSQAWREEVAAPGAVGGERRSLESCLLDLRDSFAQQLHQEAERQPGAASLTAAQLLDTLGRSGSDRLPSRFLSAQSHSTSPQGLDSPLPLEGSGAHQVLHNESKGSPTSAYPERKGSPTPGFSTRRGSPTTGFIEQKGSPTSAYPERRGSPVPPVPERRSSPVPPVPERRGSLTLTISGESPKAGPAEEGPSGPMEVLRKGSLRLRQLLSPKGERRMEDEGGFPVPQENGQPESPRRLSLGQGDSTEAATEERGPRARLSSATANALYSSNLRDDTKAILEQISAHGQKHRAVPAPSPGPTHNSPELGRPPAAGVLAPDMSDKDKCSAIFRSDSLGTQGRLSRTLPASAEERDRLLRRMESMRKEKRVYSRFEVFCKKEEASSPGAGEGPAEEGTRDSKVGKFVPKILGTFKSKK
+>DECOY_sp|Q6ZRV2|FA83H_HUMAN Protein FAM83H OS=Homo sapiens OX=9606 GN=FAM83H PE=1 SV=3
+KKSKFTGLIKPVFKGVKSDRTGEEAPGEGAGPSSAEEKKCFVEFRSYVRKEKRMSEMRRLLRDREEASAPLTRSLRGQTGLSDSRFIASCKDKDSMDPALVGAAPPRGLEPSNHTPGPSPAPVARHKQGHASIQELIAKTDDRLNSSYLANATASSLRARPGREETAAETSDGQGLSLRRPSEPQGNEQPVPFGGEDEMRREGKPSLLQRLRLSGKRLVEMPGSPGEEAPGAKPSEGSITLTLSGRREPVPPVPSSRREPVPPVPSGRREPYASTPSGKQEIFGTTPSGRRTSFGPTPSGKREPYASTPSGKSENHLVQHAGSGELPLPSDLGQPSTSHSQASLFRSPLRDSGSRGLTDLLQAATLSAAGPQREAEQHLQQAFSDRLDLLCSELSRREGGVAGPAAVEERWAQSVVAKSHSAVTIAGAGGGPDRAPGKYKELLEAVKTSAASRVAEGGPGLTESVTQEKHLLQVKETAAAAGAAGEAQSTSFILSSRLRSSRQVLPNLRSRFSDQKALGPEEPGEREPGNGGSGPGPVPVKTPFAAPVRFASPLLDGAPARGGPALVDDEYAEAEMPAPLGDDGGDEGHCGSLYSALRWRRLGARGEPGGRREPEAEPAPDPGPRAAAQCPFRQTLNPRPAGSPELGRPGPAFAPDSGHRVERSASSPVYDLRQHGDPGLEPFRPGAGLTFDDPDAFGARGGRLKEFLGQPRAPTLQPDWQYQQQYLQDRHFHSTQFRFDDGHSLFTQRSVQRAAAFNEVAGAGETAFSHRKFADMELHRAQFFGRAGALEGAPGAEAELRRSLPRLGAHPELAGGPMRPPEERRFASLFHRDPDLFSPFGLGEERPPPFLLHARKPFSFPTPAGVGPVGVLPGAGAYPALAYADMRALAAASPVLPESQAFLIRFEEDFSSVLEGQFVHALSRHIKEFSWMFSYSGSMVVACDVLLFKEKVHGKFSKGTRCYYTPGAVTRVRLFDVHQLNVRCKDAMDLFHQANMEDLLIYVPVRRAAAELVESLLDVDTFMDMVVAVVQQASRIMRRAEDKISPSDPPPPQVLTTVETGQFGFTLPWGLDLEPVAQDSNVPWYTGSSGDMDVDLLSGEPPERTVYQPPRLHRSVHELEEPCLFDPAGETALFRSYAESGGEALADVALRYYEKYHPPLYGPALPNDGQSSSQSRRAM
+>sp|Q9NVL1|FA86C_HUMAN Protein FAM86C1 OS=Homo sapiens OX=9606 GN=FAM86C1 PE=1 SV=3
+MAPEENAGSELLLQSFKRRFLAARALRSFRWQSLEAKLRDSSDSELLRDILQKHEAVHTEPLDELYEVLVETLMAKESTQGHRSYLLTCCIAQKPSCRWSGSCGGWLPAGSTSGLLNSTWPLPSATQRCASCSPPSYAGLGSDGKRKLIMTRNCFPTESTWRWQS
+>DECOY_sp|Q9NVL1|FA86C_HUMAN Protein FAM86C1 OS=Homo sapiens OX=9606 GN=FAM86C1 PE=1 SV=3
+SQWRWTSETPFCNRTMILKRKGDSGLGAYSPPSCSACRQTASPLPWTSNLLGSTSGAPLWGGCSGSWRCSPKQAICCTLLYSRHGQTSEKAMLTEVLVEYLEDLPETHVAEHKQLIDRLLESDSSDRLKAELSQWRFSRLARAALFRRKFSQLLLESGANEEPAM
+>sp|Q6ZTR7|FA92B_HUMAN Protein FAM92B OS=Homo sapiens OX=9606 GN=FAM92B PE=1 SV=2
+MNIVFSRDSQVRVMENTVANTEKYFGQFCSLLAAYTRKTARLRDKADQLVKQLIDFANSENPELRATMRGFAEDLAKVQDYRQAQVERLETKVVNPLKLYGAQIKQTRAEIKKFKHVQNHEIKQLEKLEKLRQKSPSDQQMIGQAETRVQRAAVDSSRTTLQLEETVDGFQRQKLKDLQKFFCDFVTIEMVFHAKAVEVYSSAFQTLEKYDLERDLLDFRAKMQGVYGHYDTRLLANTSPPPSVLQSLASQGTLQVQLSRANEDPEHPHANHGRFSLCEWVVKGQPAHCVCGQGGHLMLPGHSL
+>DECOY_sp|Q6ZTR7|FA92B_HUMAN Protein FAM92B OS=Homo sapiens OX=9606 GN=FAM92B PE=1 SV=2
+LSHGPLMLHGGQGCVCHAPQGKVVWECLSFRGHNAHPHEPDENARSLQVQLTGQSALSQLVSPPPSTNALLRTDYHGYVGQMKARFDLLDRELDYKELTQFASSYVEVAKAHFVMEITVFDCFFKQLDKLKQRQFGDVTEELQLTTRSSDVAARQVRTEAQGIMQQDSPSKQRLKELKELQKIEHNQVHKFKKIEARTQKIQAGYLKLPNVVKTELREVQAQRYDQVKALDEAFGRMTARLEPNESNAFDILQKVLQDAKDRLRATKRTYAALLSCFQGFYKETNAVTNEMVRVQSDRSFVINM
+>sp|Q8NCA5|FA98A_HUMAN Protein FAM98A OS=Homo sapiens OX=9606 GN=FAM98A PE=1 SV=1
+MECDLMETDILESLEDLGYKGPLLEDGALSQAVSAGASSPEFTKLCAWLVSELRVLCKLEENVQATNSPSEAEEFQLEVSGLLGEMNCPYLSLTSGDVTKRLLIQKNCLLLLTYLISELEAARMLCVNAPPKKAQEGGGSEVFQELKGICIALGMSKPPANITMFQFFSGIEKKKLKETLAKVPPNHVGKPLLKKPMGPAHWEKIEAINQAIANEYEVRRKLLIKRLDVTVQSFGWSDRAKSQTEKLAKVYQPKRSVLSPKTTISVAHLLAARQDLSKILRTSSGSIREKTACAINKVLMGRVPDRGGRPNEIEPPPPEMPPWQKRQDGPQQQTGGRGGGRGGYEHSSYGGRGGHEQGGGRGGRGGYDHGGRGGGRGNKHQGGWTDGGSGGGGGYQDGGYRDSGFQPGGYHGGHSSGGYQGGGYGGFQTSSSYTGSGYQGGGYQQDNRYQDGGHHGDRGGGRGGRGGRGGRGGRAGQGGGWGGRGSQNYHQGGQFEQHFQHGGYQYNHSGFGQGRHYTS
+>DECOY_sp|Q8NCA5|FA98A_HUMAN Protein FAM98A OS=Homo sapiens OX=9606 GN=FAM98A PE=1 SV=1
+STYHRGQGFGSHNYQYGGHQFHQEFQGGQHYNQSGRGGWGGGQGARGGRGGRGGRGGRGGGRDGHHGGDQYRNDQQYGGGQYGSGTYSSSTQFGGYGGGQYGGSSHGGHYGGPQFGSDRYGGDQYGGGGGSGGDTWGGQHKNGRGGGRGGHDYGGRGGRGGGQEHGGRGGYSSHEYGGRGGGRGGTQQQPGDQRKQWPPMEPPPPEIENPRGGRDPVRGMLVKNIACATKERISGSSTRLIKSLDQRAALLHAVSITTKPSLVSRKPQYVKALKETQSKARDSWGFSQVTVDLRKILLKRRVEYENAIAQNIAEIKEWHAPGMPKKLLPKGVHNPPVKALTEKLKKKEIGSFFQFMTINAPPKSMGLAICIGKLEQFVESGGGEQAKKPPANVCLMRAAELESILYTLLLLCNKQILLRKTVDGSTLSLYPCNMEGLLGSVELQFEEAESPSNTAQVNEELKCLVRLESVLWACLKTFEPSSAGASVAQSLAGDELLPGKYGLDELSELIDTEMLDCEM
+>sp|P00740|FA9_HUMAN Coagulation factor IX OS=Homo sapiens OX=9606 GN=F9 PE=1 SV=2
+MQRVNMIMAESPGLITICLLGYLLSAECTVFLDHENANKILNRPKRYNSGKLEEFVQGNLERECMEEKCSFEEAREVFENTERTTEFWKQYVDGDQCESNPCLNGGSCKDDINSYECWCPFGFEGKNCELDVTCNIKNGRCEQFCKNSADNKVVCSCTEGYRLAENQKSCEPAVPFPCGRVSVSQTSKLTRAETVFPDVDYVNSTEAETILDNITQSTQSFNDFTRVVGGEDAKPGQFPWQVVLNGKVDAFCGGSIVNEKWIVTAAHCVETGVKITVVAGEHNIEETEHTEQKRNVIRIIPHHNYNAAINKYNHDIALLELDEPLVLNSYVTPICIADKEYTNIFLKFGSGYVSGWGRVFHKGRSALVLQYLRVPLVDRATCLRSTKFTIYNNMFCAGFHEGGRDSCQGDSGGPHVTEVEGTSFLTGIISWGEECAMKGKYGIYTKVSRYVNWIKEKTKLT
+>DECOY_sp|P00740|FA9_HUMAN Coagulation factor IX OS=Homo sapiens OX=9606 GN=F9 PE=1 SV=2
+TLKTKEKIWNVYRSVKTYIGYKGKMACEEGWSIIGTLFSTGEVETVHPGGSDGQCSDRGGEHFGACFMNNYITFKTSRLCTARDVLPVRLYQLVLASRGKHFVRGWGSVYGSGFKLFINTYEKDAICIPTVYSNLVLPEDLELLAIDHNYKNIAANYNHHPIIRIVNRKQETHETEEINHEGAVVTIKVGTEVCHAATVIWKENVISGGCFADVKGNLVVQWPFQGPKADEGGVVRTFDNFSQTSQTINDLITEAETSNVYDVDPFVTEARTLKSTQSVSVRGCPFPVAPECSKQNEALRYGETCSCVVKNDASNKCFQECRGNKINCTVDLECNKGEFGFPCWCEYSNIDDKCSGGNLCPNSECQDGDVYQKWFETTRETNEFVERAEEFSCKEEMCERELNGQVFEELKGSNYRKPRNLIKNANEHDLFVTCEASLLYGLLCITILGPSEAMIMNVRQM
+>sp|Q96KT0|FAAS1_HUMAN Uncharacterized protein FAM167A-AS1 OS=Homo sapiens OX=9606 GN=FAM167A-AS1 PE=4 SV=1
+MGRSPCFFKSFKGKLHKDRSPDPSTLASPHPSTEARRPVEAGSAEWTWGWMKRLPPASLSPGIAMSCYSGDSMLQKYKVKNAYRLHWQGREEPGASTFASLVFQ
+>DECOY_sp|Q96KT0|FAAS1_HUMAN Uncharacterized protein FAM167A-AS1 OS=Homo sapiens OX=9606 GN=FAM167A-AS1 PE=4 SV=1
+QFVLSAFTSAGPEERGQWHLRYANKVKYKQLMSDGSYCSMAIGPSLSAPPLRKMWGWTWEASGAEVPRRAETSPHPSALTSPDPSRDKHLKGKFSKFFCPSRGM
+>sp|Q8IVS2|FABD_HUMAN Malonyl-CoA-acyl carrier protein transacylase, mitochondrial OS=Homo sapiens OX=9606 GN=MCAT PE=1 SV=2
+MSVRVARVAWVRGLGASYRRGASSFPVPPPGAQGVAELLRDATGAEEEAPWAATERRMPGQCSVLLFPGQGSQVVGMGRGLLNYPRVRELYAAARRVLGYDLLELSLHGPQETLDRTVHCQPAIFVASLAAVEKLHHLQPSVIENCVAAAGFSVGEFAALVFAGAMEFAEGLYAVKIRAEAMQEASEAVPSGMLSVLGQPQSKFNFACLEAREHCKSLGIENPVCEVSNYLFPDCRVISGHQEALRFLQKNSSKFHFRRTRMLPVSGAFHTRLMEPAVEPLTQALKAVDIKKPLVSVYSNVHAHRYRHPGHIHKLLAQQLVSPVKWEQTMHAIYERKKGRGFPQTFEVGPGRQLGAILKSCNMQAWKSYSAVDVLQTLEHVDLDPQEPPR
+>DECOY_sp|Q8IVS2|FABD_HUMAN Malonyl-CoA-acyl carrier protein transacylase, mitochondrial OS=Homo sapiens OX=9606 GN=MCAT PE=1 SV=2
+RPPEQPDLDVHELTQLVDVASYSKWAQMNCSKLIAGLQRGPGVEFTQPFGRGKKREYIAHMTQEWKVPSVLQQALLKHIHGPHRYRHAHVNSYVSVLPKKIDVAKLAQTLPEVAPEMLRTHFAGSVPLMRTRRFHFKSSNKQLFRLAEQHGSIVRCDPFLYNSVECVPNEIGLSKCHERAELCAFNFKSQPQGLVSLMGSPVAESAEQMAEARIKVAYLGEAFEMAGAFVLAAFEGVSFGAAAVCNEIVSPQLHHLKEVAALSAVFIAPQCHVTRDLTEQPGHLSLELLDYGLVRRAAAYLERVRPYNLLGRGMGVVQSGQGPFLLVSCQGPMRRETAAWPAEEEAGTADRLLEAVGQAGPPPVPFSSAGRRYSAGLGRVWAVRAVRVSM
+>sp|P51161|FABP6_HUMAN Gastrotropin OS=Homo sapiens OX=9606 GN=FABP6 PE=1 SV=2
+MAFTGKFEMESEKNYDEFMKLLGISSDVIEKARNFKIVTEVQQDGQDFTWSQHYSGGHTMTNKFTVGKESNIQTMGGKTFKATVQMEGGKLVVNFPNYHQTSEIVGDKLVEVSTIGGVTYERVSKRLA
+>DECOY_sp|P51161|FABP6_HUMAN Gastrotropin OS=Homo sapiens OX=9606 GN=FABP6 PE=1 SV=2
+ALRKSVREYTVGGITSVEVLKDGVIESTQHYNPFNVVLKGGEMQVTAKFTKGGMTQINSEKGVTFKNTMTHGGSYHQSWTFDQGDQQVETVIKFNRAKEIVDSSIGLLKMFEDYNKESEMEFKGTFAM
+>sp|O75844|FACE1_HUMAN CAAX prenyl protease 1 homolog OS=Homo sapiens OX=9606 GN=ZMPSTE24 PE=1 SV=2
+MGMWASLDALWEMPAEKRIFGAVLLFSWTVYLWETFLAQRQRRIYKTTTHVPPELGQIMDSETFEKSRLYQLDKSTFSFWSGLYSETEGTLILLFGGIPYLWRLSGRFCGYAGFGPEYEITQSLVFLLLATLFSALTGLPWSLYNTFVIEEKHGFNQQTLGFFMKDAIKKFVVTQCILLPVSSLLLYIIKIGGDYFFIYAWLFTLVVSLVLVTIYADYIAPLFDKFTPLPEGKLKEEIEVMAKSIDFPLTKVYVVEGSKRSSHSNAYFYGFFKNKRIVLFDTLLEEYSVLNKDIQEDSGMEPRNEEEGNSEEIKAKVKNKKQGCKNEEVLAVLGHELGHWKLGHTVKNIIISQMNSFLCFFLFAVLIGRKELFAAFGFYDSQPTLIGLLIIFQFIFSPYNEVLSFCLTVLSRRFEFQADAFAKKLGKAKDLYSALIKLNKDNLGFPVSDWLFSMWHYSHPPLLERLQALKTMKQH
+>DECOY_sp|O75844|FACE1_HUMAN CAAX prenyl protease 1 homolog OS=Homo sapiens OX=9606 GN=ZMPSTE24 PE=1 SV=2
+HQKMTKLAQLRELLPPHSYHWMSFLWDSVPFGLNDKNLKILASYLDKAKGLKKAFADAQFEFRRSLVTLCFSLVENYPSFIFQFIILLGILTPQSDYFGFAAFLEKRGILVAFLFFCLFSNMQSIIINKVTHGLKWHGLEHGLVALVEENKCGQKKNKVKAKIEESNGEEENRPEMGSDEQIDKNLVSYEELLTDFLVIRKNKFFGYFYANSHSSRKSGEVVYVKTLPFDISKAMVEIEEKLKGEPLPTFKDFLPAIYDAYITVLVLSVVLTFLWAYIFFYDGGIKIIYLLLSSVPLLICQTVVFKKIADKMFFGLTQQNFGHKEEIVFTNYLSWPLGTLASFLTALLLFVLSQTIEYEPGFGAYGCFRGSLRWLYPIGGFLLILTGETESYLGSWFSFTSKDLQYLRSKEFTESDMIQGLEPPVHTTTKYIRRQRQALFTEWLYVTWSFLLVAGFIRKEAPMEWLADLSAWMGM
+>sp|Q96K12|FACR2_HUMAN Fatty acyl-CoA reductase 2 OS=Homo sapiens OX=9606 GN=FAR2 PE=1 SV=1
+MSTIAAFYGGKSILITGATGFLGKVLMEKLFRTSPDLKVIYILVRPKAGQTLQQRVFQILDSKLFEKVKEVCPNVHEKIRAIYADLNQNDFAISKEDMQELLSCTNIIFHCAATVRFDDTLRHAVQLNVTATRQLLLMASQMPKLEAFIHISTAYSNCNLKHIDEVIYPCPVEPKKIIDSLEWLDDAIIDEITPKLIRDWPNIYTYTKALGEMVVQQESRNLNIAIIRPSIVGATWQEPFPGWVDNINGPNGIIIATGKGFLRAIKATPMAVADVIPVDTVVNLMLAVGWYTAVHRPKSTLVYHITSGNMNPCNWHKMGVQVLATFEKIPFERPFRRPNANFTSNSFTSQYWNAVSHRAPAIIYDCYLRLTGRKPRMTKLMNRLLRTVSMLEYFINRSWEWSTYNTEMLMSELSPEDQRVFNFDVRQLNWLEYIENYVLGVKKYLLKEDMAGIPKAKQRLKRLRNIHYLFNTALFLIAWRLLIARSQMARNVWFFIVSFCYKFLSYFRASSTLKV
+>DECOY_sp|Q96K12|FACR2_HUMAN Fatty acyl-CoA reductase 2 OS=Homo sapiens OX=9606 GN=FAR2 PE=1 SV=1
+VKLTSSARFYSLFKYCFSVIFFWVNRAMQSRAILLRWAILFLATNFLYHINRLRKLRQKAKPIGAMDEKLLYKKVGLVYNEIYELWNLQRVDFNFVRQDEPSLESMLMETNYTSWEWSRNIFYELMSVTRLLRNMLKTMRPKRGTLRLYCDYIIAPARHSVANWYQSTFSNSTFNANPRRFPREFPIKEFTALVQVGMKHWNCPNMNGSTIHYVLTSKPRHVATYWGVALMLNVVTDVPIVDAVAMPTAKIARLFGKGTAIIIGNPGNINDVWGPFPEQWTAGVISPRIIAINLNRSEQQVVMEGLAKTYTYINPWDRILKPTIEDIIADDLWELSDIIKKPEVPCPYIVEDIHKLNCNSYATSIHIFAELKPMQSAMLLLQRTATVNLQVAHRLTDDFRVTAACHFIINTCSLLEQMDEKSIAFDNQNLDAYIARIKEHVNPCVEKVKEFLKSDLIQFVRQQLTQGAKPRVLIYIVKLDPSTRFLKEMLVKGLFGTAGTILISKGGYFAAITSM
+>sp|Q9NYY8|FAKD2_HUMAN FAST kinase domain-containing protein 2, mitochondrial OS=Homo sapiens OX=9606 GN=FASTKD2 PE=1 SV=1
+MLTTLKPFGSVSVESKMNNKAGSFFWNLRQFSTLVSTSRTMRLCCLGLCKPKIVHSNWNILNNFHNRMQSTDIIRYLFQDAFIFKSDVGFQTKGISTLTALRIERLLYAKRLFFDSKQSLVPVDKSDDELKKVNLNHEVSNEDVLTKETKPNRISSRKLSEECNSLSDVLDAFSKAPTFPSSNYFTAMWTIAKRLSDDQKRFEKRLMFSHPAFNQLCEHMMREAKIMQYKYLLFSLHAIVKLGIPQNTILVQTLLRVTQERINECDEICLSVLSTVLEAMEPCKNVHVLRTGFRILVDQQVWKIEDVFTLQVVMKCIGKDAPIALKRKLEMKALRELDRFSVLNSQHMFEVLAAMNHRSLILLDECSKVVLDNIHGCPLRIMINILQSCKDLQYHNLDLFKGLADYVAATFDIWKFRKVLFILILFENLGFRPVGLMDLFMKRIVEDPESLNMKNILSILHTYSSLNHVYKCQNKEQFVEVMASALTGYLHTISSENLLDAVYSFCLMNYFPLAPFNQLLQKDIISELLTSDDMKNAYKLHTLDTCLKLDDTVYLRDIALSLPQLPRELPSSHTNAKVAEVLSSLLGGEGHFSKDVHLPHNYHIDFEIRMDTNRNQVLPLSDVDTTSATDIQRVAVLCVSRSAYCLGSSHPRGFLAMKMRHLNAMGFHVILVNNWEMDKLEMEDAVTFLKTKIYSVEALPVAAVNVQSTQ
+>DECOY_sp|Q9NYY8|FAKD2_HUMAN FAST kinase domain-containing protein 2, mitochondrial OS=Homo sapiens OX=9606 GN=FASTKD2 PE=1 SV=1
+QTSQVNVAAVPLAEVSYIKTKLFTVADEMELKDMEWNNVLIVHFGMANLHRMKMALFGRPHSSGLCYASRSVCLVAVRQIDTASTTDVDSLPLVQNRNTDMRIEFDIHYNHPLHVDKSFHGEGGLLSSLVEAVKANTHSSPLERPLQPLSLAIDRLYVTDDLKLCTDLTHLKYANKMDDSTLLESIIDKQLLQNFPALPFYNMLCFSYVADLLNESSITHLYGTLASAMVEVFQEKNQCKYVHNLSSYTHLISLINKMNLSEPDEVIRKMFLDMLGVPRFGLNEFLILIFLVKRFKWIDFTAAVYDALGKFLDLNHYQLDKCSQLINIMIRLPCGHINDLVVKSCEDLLILSRHNMAALVEFMHQSNLVSFRDLERLAKMELKRKLAIPADKGICKMVVQLTFVDEIKWVQQDVLIRFGTRLVHVNKCPEMAELVTSLVSLCIEDCENIREQTVRLLTQVLITNQPIGLKVIAHLSFLLYKYQMIKAERMMHECLQNFAPHSFMLRKEFRKQDDSLRKAITWMATFYNSSPFTPAKSFADLVDSLSNCEESLKRSSIRNPKTEKTLVDENSVEHNLNVKKLEDDSKDVPVLSQKSDFFLRKAYLLREIRLATLTSIGKTQFGVDSKFIFADQFLYRIIDTSQMRNHFNNLINWNSHVIKPKCLGLCCLRMTRSTSVLTSFQRLNWFFSGAKNNMKSEVSVSGFPKLTTLM
+>sp|P58499|FAM3B_HUMAN Protein FAM3B OS=Homo sapiens OX=9606 GN=FAM3B PE=1 SV=2
+MRPLAGGLLKVVFVVFASLCAWYSGYLLAELIPDAPLSSAAYSIRSIGERPVLKAPVPKRQKCDHWTPCPSDTYAYRLLSGGGRSKYAKICFEDNLLMGEQLGNVARGINIAIVNYVTGNVTATRCFDMYEGDNSGPMTKFIQSAAPKSLLFMVTYDDGSTRLNNDAKNAIEALGSKEIRNMKFRSSWVFIAAKGLELPSEIQREKINHSDAKNNRYSGWPAEIQIEGCIPKERS
+>DECOY_sp|P58499|FAM3B_HUMAN Protein FAM3B OS=Homo sapiens OX=9606 GN=FAM3B PE=1 SV=2
+SREKPICGEIQIEAPWGSYRNNKADSHNIKERQIESPLELGKAAIFVWSSRFKMNRIEKSGLAEIANKADNNLRTSGDDYTVMFLLSKPAASQIFKTMPGSNDGEYMDFCRTATVNGTVYNVIAINIGRAVNGLQEGMLLNDEFCIKAYKSRGGGSLLRYAYTDSPCPTWHDCKQRKPVPAKLVPREGISRISYAASSLPADPILEALLYGSYWACLSAFVVFVVKLLGGALPRM
+>sp|Q96BQ1|FAM3D_HUMAN Protein FAM3D OS=Homo sapiens OX=9606 GN=FAM3D PE=1 SV=1
+MRVSGVLRLLALIFAIVTTWMFIRSYMSFSMKTIRLPRWLAASPTKEIQVKKYKCGLIKPCPANYFAFKICSGAANVVGPTMCFEDRMIMSPVKNNVGRGLNIALVNGTTGAVLGQKAFDMYSGDVMHLVKFLKEIPGGALVLVASYDDPGTKMNDESRKLFSDLGSSYAKQLGFRDSWVFIGAKDLRGKSPFEQFLKNSPDTNKYEGWPELLEMEGCMPPKPF
+>DECOY_sp|Q96BQ1|FAM3D_HUMAN Protein FAM3D OS=Homo sapiens OX=9606 GN=FAM3D PE=1 SV=1
+FPKPPMCGEMELLEPWGEYKNTDPSNKLFQEFPSKGRLDKAGIFVWSDRFGLQKAYSSGLDSFLKRSEDNMKTGPDDYSAVLVLAGGPIEKLFKVLHMVDGSYMDFAKQGLVAGTTGNVLAINLGRGVNNKVPSMIMRDEFCMTPGVVNAAGSCIKFAFYNAPCPKILGCKYKKVQIEKTPSAALWRPLRITKMSFSMYSRIFMWTTVIAFILALLRLVGSVRM
+>sp|Q8IZU1|FAM9A_HUMAN Protein FAM9A OS=Homo sapiens OX=9606 GN=FAM9A PE=1 SV=1
+MEPVGRKRSRKAAKAQLEAQVTAAQGATKEGSGIASNFPGQPTMEPVGRKRSRKAAKAQLEAQVRAAPAKKHTGKDPVRDECEERNPFTETREEDVTDEHGEREPFAEKDEHTGIHTMKLEHIAADIKKGLAAKREMIKIDKAAYRKTKNTIERALKKKQLKRQKRDYRHTRKLLNVLKEYIAEKQKDDEAEEAEAAAAAAEAAAAAEAAAAAAEVIVVEDEEEEEKEEEEEKEEEEEEGEEEGGGEEGEEGGGGGEGEETEEEEEEEEEEEEEEQIKAFQEKQKRWQQPTGVRSWRLREMKPLLEQLLKAAKDTKDNYCIISSSEESELDN
+>DECOY_sp|Q8IZU1|FAM9A_HUMAN Protein FAM9A OS=Homo sapiens OX=9606 GN=FAM9A PE=1 SV=1
+NDLESEESSSIICYNDKTDKAAKLLQELLPKMERLRWSRVGTPQQWRKQKEQFAKIQEEEEEEEEEEEEEETEEGEGGGGGEEGEEGGGEEEGEEEEEEKEEEEEKEEEEEDEVVIVEAAAAAAEAAAAAEAAAAAAEAEEAEDDKQKEAIYEKLVNLLKRTHRYDRKQRKLQKKKLAREITNKTKRYAAKDIKIMERKAALGKKIDAAIHELKMTHIGTHEDKEAFPEREGHEDTVDEERTETFPNREECEDRVPDKGTHKKAPAARVQAELQAKAAKRSRKRGVPEMTPQGPFNSAIGSGEKTAGQAATVQAELQAKAAKRSRKRGVPEM
+>sp|Q8IZU0|FAM9B_HUMAN Protein FAM9B OS=Homo sapiens OX=9606 GN=FAM9B PE=1 SV=1
+MAAWGKKHAGKDPVRDECEERNRFTETREEDVTDEHGEREPFAETDEHTGANTKKPEDTAEDLTAKRKRMKMDKTCSKTKNKSKHALRKKQLKRQKRDYIHSLKLLNVLEEYITDEQKEEEEEEGEEEELIRIFQEQQKKWQQYRSVRRERLKEMKLLRDQFVKALEDFEDLCDRVFSDEDSELDN
+>DECOY_sp|Q8IZU0|FAM9B_HUMAN Protein FAM9B OS=Homo sapiens OX=9606 GN=FAM9B PE=1 SV=1
+NDLESDEDSFVRDCLDEFDELAKVFQDRLLKMEKLRERRVSRYQQWKKQQEQFIRILEEEEGEEEEEEKQEDTIYEELVNLLKLSHIYDRKQRKLQKKRLAHKSKNKTKSCTKDMKMRKRKATLDEATDEPKKTNAGTHEDTEAFPEREGHEDTVDEERTETFRNREECEDRVPDKGAHKKGWAAM
+>sp|Q8TC84|FANK1_HUMAN Fibronectin type 3 and ankyrin repeat domains protein 1 OS=Homo sapiens OX=9606 GN=FANK1 PE=1 SV=3
+MEPQKIMPPSKPHPPVVGKVTHHSIELYWDLEKKAKRQGPQEQWFRFSIEEEDPKMHTYGIIYTGYATKHVVEGLEPRTLYRFRLKVTSPSGECEYSPLVSVSTTREPISSEHLHRAVSVNDEDLLVRILQGGRVKVDVPNKFGFTALMVAAQKGYTRLVKILVSNGTDVNLKNGSGKDSLMLACYAGHLDVVKYLRRHGASWQARDLGGCTALHWAADGGHCSVIEWMIKDGCEVDVVDTGSGWTPLMRVSAVSGNQRVASLLIDAGANVNVKDRNGKTPLMVAVLNNHEELVQLLLDKGADASVKNEFGKGVLEMARVFDRQSVVSLLEERKKKQRPKKSCVC
+>DECOY_sp|Q8TC84|FANK1_HUMAN Fibronectin type 3 and ankyrin repeat domains protein 1 OS=Homo sapiens OX=9606 GN=FANK1 PE=1 SV=3
+CVCSKKPRQKKKREELLSVVSQRDFVRAMELVGKGFENKVSADAGKDLLLQVLEEHNNLVAVMLPTKGNRDKVNVNAGADILLSAVRQNGSVASVRMLPTWGSGTDVVDVECGDKIMWEIVSCHGGDAAWHLATCGGLDRAQWSAGHRRLYKVVDLHGAYCALMLSDKGSGNKLNVDTGNSVLIKVLRTYGKQAAVMLATFGFKNPVDVKVRGGQLIRVLLDEDNVSVARHLHESSIPERTTSVSVLPSYECEGSPSTVKLRFRYLTRPELGEVVHKTAYGTYIIGYTHMKPDEEEISFRFWQEQPGQRKAKKELDWYLEISHHTVKGVVPPHPKSPPMIKQPEM
+>sp|Q6NZ36|FAP20_HUMAN Fanconi anemia core complex-associated protein 20 OS=Homo sapiens OX=9606 GN=FAAP20 PE=1 SV=2
+MEAARRPRLGLSRRRPRPAGGPSGGRPWFLLGGDERERLWAELLRTVSPELILDHEVPSLPAFPGQEPRCGPEPTEVFTVGPKTFSWTPFPPDLWGPGRSYRLLHGAGGHLESPARSLPQRPAPDPCRAPRVEQQPSVEGAAALRSCPMCQKEFAPRLTQLDVDSHLAQCLAESTEDVTW
+>DECOY_sp|Q6NZ36|FAP20_HUMAN Fanconi anemia core complex-associated protein 20 OS=Homo sapiens OX=9606 GN=FAAP20 PE=1 SV=2
+WTVDETSEALCQALHSDVDLQTLRPAFEKQCMPCSRLAAAGEVSPQQEVRPARCPDPAPRQPLSRAPSELHGGAGHLLRYSRGPGWLDPPFPTWSFTKPGVTFVETPEPGCRPEQGPFAPLSPVEHDLILEPSVTRLLEAWLREREDGGLLFWPRGGSPGGAPRPRRRSLGLRPRRAAEM
+>sp|Q14517|FAT1_HUMAN Protocadherin Fat 1 OS=Homo sapiens OX=9606 GN=FAT1 PE=1 SV=2
+MGRHLALLLLLLLLFQHFGDSDGSQRLEQTPLQFTHLEYNVTVQENSAAKTYVGHPVKMGVYITHPAWEVRYKIVSGDSENLFKAEEYILGDFCFLRIRTKGGNTAILNREVKDHYTLIVKALEKNTNVEARTKVRVQVLDTNDLRPLFSPTSYSVSLPENTAIRTSIARVSATDADIGTNGEFYYSFKDRTDMFAIHPTSGVIVLTGRLDYLETKLYEMEILAADRGMKLYGSSGISSMAKLTVHIEQANECAPVITAVTLSPSELDRDPAYAIVTVDDCDQGANGDIASLSIVAGDLLQQFRTVRSFPGSKEYKVKAIGGIDWDSHPFGYNLTLQAKDKGTPPQFSSVKVIHVTSPQFKAGPVKFEKDVYRAEISEFAPPNTPVVMVKAIPAYSHLRYVFKSTPGKAKFSLNYNTGLISILEPVKRQQAAHFELEVTTSDRKASTKVLVKVLGANSNPPEFTQTAYKAAFDENVPIGTTVMSLSAVDPDEGENGYVTYSIANLNHVPFAIDHFTGAVSTSENLDYELMPRVYTLRIRASDWGLPYRREVEVLATITLNNLNDNTPLFEKINCEGTIPRDLGVGEQITTVSAIDADELQLVQYQIEAGNELDFFSLNPNSGVLSLKRSLMDGLGAKVSFHSLRITATDGENFATPLYINITVAASHKLVNLQCEETGVAKMLAEKLLQANKLHNQGEVEDIFFDSHSVNAHIPQFRSTLPTGIQVKENQPVGSSVIFMNSTDLDTGFNGKLVYAVSGGNEDSCFMIDMETGMLKILSPLDRETTDKYTLNITVYDLGIPQKAAWRLLHVVVVDANDNPPEFLQESYFVEVSEDKEVHSEIIQVEATDKDLGPNGHVTYSIVTDTDTFSIDSVTGVVNIARPLDRELQHEHSLKIEARDQAREEPQLFSTVVVKVSLEDVNDNPPTFIPPNYRVKVREDLPEGTVIMWLEAHDPDLGQSGQVRYSLLDHGEGNFDVDKLSGAVRIVQQLDFEKKQVYNLTVRAKDKGKPVSLSSTCYVEVEVVDVNENLHPPVFSSFVEKGTVKEDAPVGSLVMTVSAHDEDARRDGEIRYSIRDGSGVGVFKIGEETGVIETSDRLDRESTSHYWLTVFATDQGVVPLSSFIEIYIEVEDVNDNAPQTSEPVYYPEIMENSPKDVSVVQIEAFDPDSSSNDKLMYKITSGNPQGFFSIHPKTGLITTTSRKLDREQQDEHILEVTVTDNGSPPKSTIARVIVKILDENDNKPQFLQKFYKIRLPEREKPDRERNARREPLYHVIATDKDEGPNAEISYSIEDGNEHGKFFIEPKTGVVSSKRFSAAGEYDILSIKAVDNGRPQKSSTTRLHIEWISKPKPSLEPISFEESFFTFTVMESDPVAHMIGVISVEPPGIPLWFDITGGNYDSHFDVDKGTGTIIVAKPLDAEQKSNYNLTVEATDGTTTILTQVFIKVIDTNDHRPQFSTSKYEVVIPEDTAPETEILQISAVDQDEKNKLIYTLQSSRDPLSLKKFRLDPATGSLYTSEKLDHEAVHQHTLTVMVRDQDVPVKRNFARIVVNVSDTNDHAPWFTASSYKGRVYESAAVGSVVLQVTALDKDKGKNAEVLYSIESGNIGNSFMIDPVLGSIKTAKELDRSNQAEYDLMVKATDKGSPPMSEITSVRIFVTIADNASPKFTSKEYSVELSETVSIGSFVGMVTAHSQSSVVYEIKDGNTGDAFDINPHSGTIITQKALDFETLPIYTLIIQGTNMAGLSTNTTVLVHLQDENDNAPVFMQAEYTGLISESASINSVVLTDRNVPLVIRAADADKDSNALLVYHIVEPSVHTYFAIDSSTGAIHTVLSLDYEETSIFHFTVQVHDMGTPRLFAEYAANVTVHVIDINDCPPVFAKPLYEASLLLPTYKGVKVITVNATDADSSAFSQLIYSITEGNIGEKFSMDYKTGALTVQNTTQLRSRYELTVRASDGRFAGLTSVKINVKESKESHLKFTQDVYSAVVKENSTEAETLAVITAIGNPINEPLFYHILNPDRRFKISRTSGVLSTTGTPFDREQQEAFDVVVEVTEEHKPSAVAHVVVKVIVEDQNDNAPVFVNLPYYAVVKVDTEVGHVIRYVTAVDRDSGRNGEVHYYLKEHHEHFQIGPLGEISLKKQFELDTLNKEYLVTVVAKDGGNPAFSAEVIVPITVMNKAMPVFEKPFYSAEIAESIQVHSPVVHVQANSPEGLKVFYSITDGDPFSQFTINFNTGVINVIAPLDFEAHPAYKLSIRATDSLTGAHAEVFVDIIVDDINDNPPVFAQQSYAVTLSEASVIGTSVVQVRATDSDSEPNRGISYQMFGNHSKSHDHFHVDSSTGLISLLRTLDYEQSRQHTIFVRAVDGGMPTLSSDVIVTVDVTDLNDNPPLFEQQIYEARISEHAPHGHFVTCVKAYDADSSDIDKLQYSILSGNDHKHFVIDSATGIITLSNLHRHALKPFYSLNLSVSDGVFRSSTQVHVTVIGGNLHSPAFLQNEYEVELAENAPLHTLVMEVKTTDGDSGIYGHVTYHIVNDFAKDRFYINERGQIFTLEKLDRETPAEKVISVRLMAKDAGGKVAFCTVNVILTDDNDNAPQFRATKYEVNIGSSAAKGTSVVKVLASDADEGSNADITYAIEADSESVKENLEINKLSGVITTKESLIGLENEFFTFFVRAVDNGSPSKESVVLVYVKILPPEMQLPKFSEPFYTFTVSEDVPIGTEIDLIRAEHSGTVLYSLVKGNTPESNRDESFVIDRQSGRLKLEKSLDHETTKWYQFSILARCTQDDHEMVASVDVSIQVKDANDNSPVFESSPYEAFIVENLPGGSRVIQIRASDADSGTNGQVMYSLDQSQSVEVIESFAINMETGWITTLKELDHEKRDNYQIKVVASDHGEKIQLSSTAIVDVTVTDVNDSPPRFTAEIYKGTVSEDDPQGGVIAILSTTDADSEEINRQVTYFITGGDPLGQFAVETIQNEWKVYVKKPLDREKRDNYLLTITATDGTFSSKAIVEVKVLDANDNSPVCEKTLYSDTIPEDVLPGKLIMQISATDADIRSNAEITYTLLGSGAEKFKLNPDTGELKTSTPLDREEQAVYHLLVRATDGGGRFCQASIVLTLEDVNDNAPEFSADPYAITVFENTEPGTLLTRVQATDADAGLNRKILYSLIDSADGQFSINELSGIIQLEKPLDRELQAVYTLSLKAVDQGLPRRLTATGTVIVSVLDINDNPPVFEYREYGATVSEDILVGTEVLQVYAASRDIEANAEITYSIISGNEHGKFSIDSKTGAVFIIENLDYESSHEYYLTVEATDGGTPSLSDVATVNVNVTDINDNTPVFSQDTYTTVISEDAVLEQSVITVMADDADGPSNSHIHYSIIDGNQGSSFTIDPVRGEVKVTKLLDRETISGYTLTVQASDNGSPPRVNTTTVNIDVSDVNDNAPVFSRGNYSVIIQENKPVGFSVLQLVVTDEDSSHNGPPFFFTIVTGNDEKAFEVNPQGVLLTSSAIKRKEKDHYLLQVKVADNGKPQLSSLTYIDIRVIEESIYPPAILPLEIFITSSGEEYSGGVIGKIHATDQDVYDTLTYSLDPQMDNLFSVSSTGGKLIAHKKLDIGQYLLNVSVTDGKFTTVADITVHIRQVTQEMLNHTIAIRFANLTPEEFVGDYWRNFQRALRNILGVRRNDIQIVSLQSSEPHPHLDVLLFVEKPGSAQISTKQLLHKINSSVTDIEEIIGVRILNVFQKLCAGLDCPWKFCDEKVSVDESVMSTHSTARLSFVTPRHHRAAVCLCKEGRCPPVHHGCEDDPCPEGSECVSDPWEEKHTCVCPSGRFGQCPGSSSMTLTGNSYVKYRLTENENKLEMKLTMRLRTYSTHAVVMYARGTDYSILEIHHGRLQYKFDCGSGPGIVSVQSIQVNDGQWHAVALEVNGNYARLVLDQVHTASGTAPGTLKTLNLDNYVFFGGHIRQQGTRHGRSPQVGNGFRGCMDSIYLNGQELPLNSKPRSYAHIEESVDVSPGCFLTATEDCASNPCQNGGVCNPSPAGGYYCKCSALYIGTHCEISVNPCSSKPCLYGGTCVVDNGGFVCQCRGLYTGQRCQLSPYCKDEPCKNGGTCFDSLDGAVCQCDSGFRGERCQSDIDECSGNPCLHGALCENTHGSYHCNCSHEYRGRHCEDAAPNQYVSTPWNIGLAEGIGIVVFVAGIFLLVVVFVLCRKMISRKKKHQAEPKDKHLGPATAFLQRPYFDSKLNKNIYSDIPPQVPVRPISYTPSIPSDSRNNLDRNSFEGSAIPEHPEFSTFNPESVHGHRKAVAVCSVAPNLPPPPPSNSPSDSDSIQKPSWDFDYDTKVVDLDPCLSKKPLEEKPSQPYSARESLSEVQSLSSFQSESCDDNGYHWDTSDWMPSVPLPDIQEFPNYEVIDEQTPLYSADPNAIDTDYYPGGYDIESDFPPPPEDFPAADELPPLPPEFSNQFESIHPPRDMPAAGSLGSSSRNRQRFNLNQYLPNFYPLDMSEPQTKGTGENSTCREPHAPYPPGYQRHFEAPAVESMPMSVYASTASCSDVSACCEVESEVMMSDYESGDDGHFEEVTIPPLDSQQHTEV
+>DECOY_sp|Q14517|FAT1_HUMAN Protocadherin Fat 1 OS=Homo sapiens OX=9606 GN=FAT1 PE=1 SV=2
+VETHQQSDLPPITVEEFHGDDGSEYDSMMVESEVECCASVDSCSATSAYVSMPMSEVAPAEFHRQYGPPYPAHPERCTSNEGTGKTQPESMDLPYFNPLYQNLNFRQRNRSSSGLSGAAPMDRPPHISEFQNSFEPPLPPLEDAAPFDEPPPPFDSEIDYGGPYYDTDIANPDASYLPTQEDIVEYNPFEQIDPLPVSPMWDSTDWHYGNDDCSESQFSSLSQVESLSERASYPQSPKEELPKKSLCPDLDVVKTDYDFDWSPKQISDSDSPSNSPPPPPLNPAVSCVAVAKRHGHVSEPNFTSFEPHEPIASGEFSNRDLNNRSDSPISPTYSIPRVPVQPPIDSYINKNLKSDFYPRQLFATAPGLHKDKPEAQHKKKRSIMKRCLVFVVVLLFIGAVFVVIGIGEALGINWPTSVYQNPAADECHRGRYEHSCNCHYSGHTNECLAGHLCPNGSCEDIDSQCREGRFGSDCQCVAGDLSDFCTGGNKCPEDKCYPSLQCRQGTYLGRCQCVFGGNDVVCTGGYLCPKSSCPNVSIECHTGIYLASCKCYYGGAPSPNCVGGNQCPNSACDETATLFCGPSVDVSEEIHAYSRPKSNLPLEQGNLYISDMCGRFGNGVQPSRGHRTGQQRIHGGFFVYNDLNLTKLTGPATGSATHVQDLVLRAYNGNVELAVAHWQGDNVQISQVSVIGPGSGCDFKYQLRGHHIELISYDTGRAYMVVAHTSYTRLRMTLKMELKNENETLRYKVYSNGTLTMSSSGPCQGFRGSPCVCTHKEEWPDSVCESGEPCPDDECGHHVPPCRGEKCLCVAARHHRPTVFSLRATSHTSMVSEDVSVKEDCFKWPCDLGACLKQFVNLIRVGIIEEIDTVSSNIKHLLQKTSIQASGPKEVFLLVDLHPHPESSQLSVIQIDNRRVGLINRLARQFNRWYDGVFEEPTLNAFRIAITHNLMEQTVQRIHVTIDAVTTFKGDTVSVNLLYQGIDLKKHAILKGGTSSVSFLNDMQPDLSYTLTDYVDQDTAHIKGIVGGSYEEGSSTIFIELPLIAPPYISEEIVRIDIYTLSSLQPKGNDAVKVQLLYHDKEKRKIASSTLLVGQPNVEFAKEDNGTVITFFFPPGNHSSDEDTVVLQLVSFGVPKNEQIIVSYNGRSFVPANDNVDSVDINVTTTNVRPPSGNDSAQVTLTYGSITERDLLKTVKVEGRVPDITFSSGQNGDIISYHIHSNSPGDADDAMVTIVSQELVADESIVTTYTDQSFVPTNDNIDTVNVNVTAVDSLSPTGGDTAEVTLYYEHSSEYDLNEIIFVAGTKSDISFKGHENGSIISYTIEANAEIDRSAAYVQLVETGVLIDESVTAGYERYEFVPPNDNIDLVSVIVTGTATLRRPLGQDVAKLSLTYVAQLERDLPKELQIIGSLENISFQGDASDILSYLIKRNLGADADTAQVRTLLTGPETNEFVTIAYPDASFEPANDNVDELTLVISAQCFRGGGDTARVLLHYVAQEERDLPTSTKLEGTDPNLKFKEAGSGLLTYTIEANSRIDADTASIQMILKGPLVDEPITDSYLTKECVPSNDNADLVKVEVIAKSSFTGDTATITLLYNDRKERDLPKKVYVKWENQITEVAFQGLPDGGTIFYTVQRNIEESDADTTSLIAIVGGQPDDESVTGKYIEATFRPPSDNVDTVTVDVIATSSLQIKEGHDSAVVKIQYNDRKEHDLEKLTTIWGTEMNIAFSEIVEVSQSQDLSYMVQGNTGSDADSARIQIVRSGGPLNEVIFAEYPSSEFVPSNDNADKVQISVDVSAVMEHDDQTCRALISFQYWKTTEHDLSKELKLRGSQRDIVFSEDRNSEPTNGKVLSYLVTGSHEARILDIETGIPVDESVTFTYFPESFKPLQMEPPLIKVYVLVVSEKSPSGNDVARVFFTFFENELGILSEKTTIVGSLKNIELNEKVSESDAEIAYTIDANSGEDADSALVKVVSTGKAASSGINVEYKTARFQPANDNDDTLIVNVTCFAVKGGADKAMLRVSIVKEAPTERDLKELTFIQGRENIYFRDKAFDNVIHYTVHGYIGSDGDTTKVEMVLTHLPANEALEVEYENQLFAPSHLNGGIVTVHVQTSSRFVGDSVSLNLSYFPKLAHRHLNSLTIIGTASDIVFHKHDNGSLISYQLKDIDSSDADYAKVCTVFHGHPAHESIRAEYIQQEFLPPNDNLDTVDVTVIVDSSLTPMGGDVARVFITHQRSQEYDLTRLLSILGTSSDVHFHDHSKSHNGFMQYSIGRNPESDSDTARVQVVSTGIVSAESLTVAYSQQAFVPPNDNIDDVIIDVFVEAHAGTLSDTARISLKYAPHAEFDLPAIVNIVGTNFNITFQSFPDGDTISYFVKLGEPSNAQVHVVPSHVQISEAIEASYFPKEFVPMAKNMVTIPVIVEASFAPNGGDKAVVTVLYEKNLTDLEFQKKLSIEGLPGIQFHEHHEKLYYHVEGNRGSDRDVATVYRIVHGVETDVKVVAYYPLNVFVPANDNQDEVIVKVVVHAVASPKHEETVEVVVDFAEQQERDFPTGTTSLVGSTRSIKFRRDPNLIHYFLPENIPNGIATIVALTEAETSNEKVVASYVDQTFKLHSEKSEKVNIKVSTLGAFRGDSARVTLEYRSRLQTTNQVTLAGTKYDMSFKEGINGETISYILQSFASSDADTANVTIVKVGKYTPLLLSAEYLPKAFVPPCDNIDIVHVTVNAAYEAFLRPTGMDHVQVTFHFISTEEYDLSLVTHIAGTSSDIAFYTHVSPEVIHYVLLANSDKDADAARIVLPVNRDTLVVSNISASESILGTYEAQMFVPANDNEDQLHVLVTTNTSLGAMNTGQIILTYIPLTEFDLAKQTIITGSHPNIDFADGTNGDKIEYVVSSQSHATVMGVFSGISVTESLEVSYEKSTFKPSANDAITVFIRVSTIESMPPSGKDTAKVMLDYEAQNSRDLEKATKISGLVPDIMFSNGINGSEISYLVEANKGKDKDLATVQLVVSGVAASEYVRGKYSSATFWPAHDNTDSVNVVIRAFNRKVPVDQDRVMVTLTHQHVAEHDLKESTYLSGTAPDLRFKKLSLPDRSSQLTYILKNKEDQDVASIQLIETEPATDEPIVVEYKSTSFQPRHDNTDIVKIFVQTLITTTGDTAEVTLNYNSKQEADLPKAVIITGTGKDVDFHSDYNGGTIDFWLPIGPPEVSIVGIMHAVPDSEMVTFTFFSEEFSIPELSPKPKSIWEIHLRTTSSKQPRGNDVAKISLIDYEGAASFRKSSVVGTKPEIFFKGHENGDEISYSIEANPGEDKDTAIVHYLPERRANRERDPKEREPLRIKYFKQLFQPKNDNEDLIKVIVRAITSKPPSGNDTVTVELIHEDQQERDLKRSTTTILGTKPHISFFGQPNGSTIKYMLKDNSSSDPDFAEIQVVSVDKPSNEMIEPYYVPESTQPANDNVDEVEIYIEIFSSLPVVGQDTAFVTLWYHSTSERDLRDSTEIVGTEEGIKFVGVGSGDRISYRIEGDRRADEDHASVTMVLSGVPADEKVTGKEVFSSFVPPHLNENVDVVEVEVYCTSSLSVPKGKDKARVTLNYVQKKEFDLQQVIRVAGSLKDVDFNGEGHDLLSYRVQGSQGLDPDHAELWMIVTGEPLDERVKVRYNPPIFTPPNDNVDELSVKVVVTSFLQPEERAQDRAEIKLSHEHQLERDLPRAINVVGTVSDISFTDTDTVISYTVHGNPGLDKDTAEVQIIESHVEKDESVEVFYSEQLFEPPNDNADVVVVHLLRWAAKQPIGLDYVTINLTYKDTTERDLPSLIKLMGTEMDIMFCSDENGGSVAYVLKGNFGTDLDTSNMFIVSSGVPQNEKVQIGTPLTSRFQPIHANVSHSDFFIDEVEGQNHLKNAQLLKEALMKAVGTEECQLNVLKHSAAVTINIYLPTAFNEGDTATIRLSHFSVKAGLGDMLSRKLSLVGSNPNLSFFDLENGAEIQYQVLQLEDADIASVTTIQEGVGLDRPITGECNIKEFLPTNDNLNNLTITALVEVERRYPLGWDSARIRLTYVRPMLEYDLNESTSVAGTFHDIAFPVHNLNAISYTVYGNEGEDPDVASLSMVTTGIPVNEDFAAKYATQTFEPPNSNAGLVKVLVKTSAKRDSTTVELEFHAAQQRKVPELISILGTNYNLSFKAKGPTSKFVYRLHSYAPIAKVMVVPTNPPAFESIEARYVDKEFKVPGAKFQPSTVHIVKVSSFQPPTGKDKAQLTLNYGFPHSDWDIGGIAKVKYEKSGPFSRVTRFQQLLDGAVISLSAIDGNAGQDCDDVTVIAYAPDRDLESPSLTVATIVPACENAQEIHVTLKAMSSIGSSGYLKMGRDAALIEMEYLKTELYDLRGTLVIVGSTPHIAFMDTRDKFSYYFEGNTGIDADTASVRAISTRIATNEPLSVSYSTPSFLPRLDNTDLVQVRVKTRAEVNTNKELAKVILTYHDKVERNLIATNGGKTRIRLFCFDGLIYEEAKFLNESDGSVIKYRVEWAPHTIYVGMKVPHGVYTKAASNEQVTVNYELHTFQLPTQELRQSGDSDGFHQFLLLLLLLLALHRGM
+>sp|Q9NYQ8|FAT2_HUMAN Protocadherin Fat 2 OS=Homo sapiens OX=9606 GN=FAT2 PE=1 SV=2
+MTIALLGFAIFLLHCATCEKPLEGILSSSAWHFTHSHYNATIYENSSPKTYVESFEKMGIYLAEPQWAVRYRIISGDVANVFKTEEYVVGNFCFLRIRTKSSNTALLNREVRDSYTLIIQATEKTLELEALTRVVVHILDQNDLKPLFSPPSYRVTISEDMPLKSPICKVTATDADLGQNAEFYYAFNTRSEMFAIHPTSGVVTVAGKLNVTWRGKHELQVLAVDRMRKISEGNGFGSLAALVVHVEPALRKPPAIASVVVTPPDSNDGTTYATVLVDANSSGAEVESVEVVGGDPGKHFKAIKSYARSNEFSLVSVKDINWMEYLHGFNLSLQARSGSGPYFYSQIRGFHLPPSKLSSLKFEKAVYRVQLSEFSPPGSRVVMVRVTPAFPNLQYVLKPSSENVGFKLNARTGLITTTKLMDFHDRAHYQLHIRTSPGQASTVVVIDIVDCNNHAPLFNRSSYDGTLDENIPPGTSVLAVTATDRDHGENGYVTYSIAGPKALPFSIDPYLGIISTSKPMDYELMKRIYTFRVRASDWGSPFRREKEVSIFLQLRNLNDNQPMFEEVNCTGSIRQDWPVGKSIMTMSAIDVDELQNLKYEIVSGNELEYFDLNHFSGVISLKRPFINLTAGQPTSYSLKITASDGKNYASPTTLNITVVKDPHFEVPVTCDKTGVLTQFTKTILHFIGLQNQESSDEEFTSLSTYQINHYTPQFEDHFPQSIDVLESVPINTPLARLAATDPDAGFNGKLVYVIADGNEEGCFDIELETGLLTVAAPLDYEATNFYILNVTVYDLGTPQKSSWKLLTVNVKDWNDNAPRFPPGGYQLTISEDTEVGTTIAELTTKDADSEDNGRVRYTLLSPTEKFSLHPLTGELVVTGHLDRESEPRYILKVEARDQPSKGHQLFSVTDLIITLEDVNDNSPQCITEHNRLKVPEDLPPGTVLTFLDASDPDLGPAGEVRYVLMDGAHGTFRVDLMTGALILERELDFERRAGYNLSLWASDGGRPLARRTLCHVEVIVLDVNENLHPPHFASFVHQGQVQENSPSGTQVIVVAAQDDDSGLDGELQYFLRAGTGLAAFSINQDTGMIQTLAPLDREFASYYWLTVLAVDRGSVPLSSVTEVYIEVTDANDNPPQMSQAVFYPSIQEDAPVGTSVLQLDAWDPDSSSKGKLTFNITSGNYMGFFMIHPVTGLLSTAQQLDRENKDEHILEVTVLDNGEPSLKSTSRVVVGILDVNDNPPIFSHKLFNVRLPERLSPVSPGPVYRLVASDLDEGLNGRVTYSIEDSDEEAFSIDLVTGVVSSSSTFTAGEYNILTIKATDSGQPPLSASVRLHIEWIPWPRPSSIPLAFDETYYSFTVMETDPVNHMVGVISVEGRPGLFWFNISGGDKDMDFDIEKTTGSIVIARPLDTRRRSNYNLTVEVTDGSRTIATQVHIFMIANINHHRPQFLETRYEVRVPQDTVPGVELLRVQAIDQDKGKSLIYTIHGSQDPGSASLFQLDPSSGVLVTVGKLDLGSGPSQHTLTVMVRDQEIPIKRNFVWVTIHVEDGNLHPPRFTQLHYEASVPDTIAPGTELLQVRAMDADRGVNAEVHYSLLKGNSEGFFNINALLGIITLAQKLDQANHAPHTLTVKAEDQGSPQWHDLATVIIHVYPSDRSAPIFSKSEYFVEIPESIPVGSPILLVSAMSPSEVTYELREGNKDGVFSMNSYSGLISTQKKLDHEKISSYQLKIRGSNMAGAFTDVMVVVDIIDENDNAPMFLKSTFVGQISEAAPLYSMIMDKNNNPFVIHASDSDKEANSLLVYKILEPEALKFFKIDPSMGTLTIVSEMDYESMPSFQFCVYVHDQGSPVLFAPRPAQVIIHVRDVNDSPPRFSEQIYEVAIVGPIHPGMELLMVRASDEDSEVNYSIKTGNADEAVTIHPVTGSISVLNPAFLGLSRKLTIRASDGLYQDTALVKISLTQVLDKSLQFDQDVYWAAVKENLQDRKALVILGAQGNHLNDTLSYFLLNGTDMFHMVQSAGVLQTRGVAFDREQQDTHELAVEVRDNRTPQRVAQGLVRVSIEDVNDNPPKFKHLPYYTIIQDGTEPGDVLFQVSATDEDLGTNGAVTYEFAEDYTYFRIDPYLGDISLKKPFDYQALNKYHLKVIARDGGTPSLQSEEEVLVTVRNKSNPLFQSPYYKVRVPENITLYTPILHTQARSPEGLRLIYNIVEEEPLMLFTTDFKTGVLTVTGPLDYESKTKHVFTVRATDTALGSFSEATVEVLVEDVNDNPPTFSQLVYTTSISEGLPAQTPVIQLLASDQDSGRNRDVSYQIVEDGSDVSKFFQINGSTGEMSTVQELDYEAQQHFHVKVRAMDKGDPPLTGETLVVVNVSDINDNPPEFRQPQYEANVSELATCGHLVLKVQAIDPDSRDTSRLEYLILSGNQDRHFFINSSSGIISMFNLCKKHLDSSYNLRVGASDGVFRATVPVYINTTNANKYSPEFQQHLYEAELAENAMVGTKVIDLLAIDKDSGPYGTIDYTIINKLASEKFSINPNGQIATLQKLDRENSTERVIAIKVMARDGGGRVAFCTVKIILTDENDNPPQFKASEYTVSIQSNVSKDSPVIQVLAYDADEGQNADVTYSVNPEDLVKDVIEINPVTGVVKVKDSLVGLENQTLDFFIKAQDGGPPHWNSLVPVRLQVVPKKVSLPKFSEPLYTFSAPEDLPEGSEIGIVKAVAAQDPVIYSLVRGTTPESNKDGVFSLDPDTGVIKVRKPMDHESTKLYQIDVMAHCLQNTDVVSLVSVNIQVGDVNDNRPVFEADPYKAVLTENMPVGTSVIQVTAIDKDTGRDGQVSYRLSADPGSNVHELFAIDSESGWITTLQELDCETCQTYHFHVVAYDHGQTIQLSSQALVQVSITDENDNAPRFASEEYRGSVVENSEPGELVATLKTLDADISEQNRQVTCYITEGDPLGQFGISQVGDEWRISSRKTLDREHTAKYLLRVTASDGKFQASVTVEIFVLDVNDNSPQCSQLLYTGKVHEDVFPGHFILKVSATDLDTDTNAQITYSLHGPGAHEFKLDPHTGELTTLTALDRERKDVFNLVAKATDGGGRSCQADITLHVEDVNDNAPRFFPSHCAVAVFDNTTVKTPVAVVFARDPDQGANAQVVYSLPDSAEGHFSIDATTGVIRLEKPLQVRPQAPLELTVRASDLGTPIPLSTLGTVTVSVVGLEDYLPVFLNTEHSVQVPEDAPPGTEVLQLATLTRPGAEKTGYRVVSGNEQGRFRLDARTGILYVNASLDFETSPKYFLSIECSRKSSSSLSDVTTVMVNITDVNEHRPQFPQDPYSTRVLENALVGDVILTVSATDEDGPLNSDITYSLIGGNQLGHFTIHPKKGELQVAKALDREQASSYSLKLRATDSGQPPLHEDTDIAIQVADVNDNPPRFFQLNYSTTVQENSPIGSKVLQLILSDPDSPENGPPYSFRITKGNNGSAFRVTPDGWLVTAEGLSRRAQEWYQLQIQASDSGIPPLSSLTSVRVHVTEQSHYAPSALPLEIFITVGEDEFQGGMVGKIHATDRDPQDTLTYSLAEEETLGRHFSVGAPDGKIIAAQGLPRGHYSFNVTVSDGTFTTTAGVHVYVWHVGQEALQQAMWMGFYQLTPEELVSDHWRNLQRFLSHKLDIKRANIHLASLQPAEAVAGVDVLLVFEGHSGTFYEFQELASIITHSAKEMEHSVGVQMRSAMPMVPCQGPTCQGQICHNTVHLDPKVGPTYSTARLSILTPRHHLQRSCSCNGTATRFSGQSYVRYRAPAARNWHIHFYLKTLQPQAILLFTNETASVSLKLASGVPQLEYHCLGGFYGNLSSQRHVNDHEWHSILVEEMDASIRLMVDSMGNTSLVVPENCRGLRPERHLLLGGLILLHSSSNVSQGFEGCLDAVVVNEEALDLLAPGKTVAGLLETQALTQCCLHSDYCSQNTCLNGGKCSWTHGAGYVCKCPPQFSGKHCEQGRENCTFAPCLEGGTCILSPKGASCNCPHPYTGDRCEMEARGCSEGHCLVTPEIQRGDWGQQELLIITVAVAFIIISTVGLLFYCRRCKSHKPVAMEDPDLLARSVGVDTQAMPAIELNPLSASSCNNLNQPEPSKASVPNELVTFGPNSKQRPVVCSVPPRLPPAAVPSHSDNEPVIKRTWSSEEMVYPGGAMVWPPTYSRNERWEYPHSEVTQGPLPPSAHRHSTPVVMPEPNGLYGGFPFPLEMENKRAPLPPRYSNQNLEDLMPSRPPSPRERLVAPCLNEYTAISYYHSQFRQGGGGPCLADGGYKGVGMRLSRAGPSYAVCEVEGAPLAGQGQPRVPPNYEGSDMVESDYGSCEEVMF
+>DECOY_sp|Q9NYQ8|FAT2_HUMAN Protocadherin Fat 2 OS=Homo sapiens OX=9606 GN=FAT2 PE=1 SV=2
+FMVEECSGYDSEVMDSGEYNPPVRPQGQGALPAGEVECVAYSPGARSLRMGVGKYGGDALCPGGGGQRFQSHYYSIATYENLCPAVLRERPSPPRSPMLDELNQNSYRPPLPARKNEMELPFPFGGYLGNPEPMVVPTSHRHASPPLPGQTVESHPYEWRENRSYTPPWVMAGGPYVMEESSWTRKIVPENDSHSPVAAPPLRPPVSCVVPRQKSNPGFTVLENPVSAKSPEPQNLNNCSSASLPNLEIAPMAQTDVGVSRALLDPDEMAVPKHSKCRRCYFLLGVTSIIIFAVAVTIILLEQQGWDGRQIEPTVLCHGESCGRAEMECRDGTYPHPCNCSAGKPSLICTGGELCPAFTCNERGQECHKGSFQPPCKCVYGAGHTWSCKGGNLCTNQSCYDSHLCCQTLAQTELLGAVTKGPALLDLAEENVVVADLCGEFGQSVNSSSHLLILGGLLLHREPRLGRCNEPVVLSTNGMSDVMLRISADMEEVLISHWEHDNVHRQSSLNGYFGGLCHYELQPVGSALKLSVSATENTFLLIAQPQLTKLYFHIHWNRAAPARYRVYSQGSFRTATGNCSCSRQLHHRPTLISLRATSYTPGVKPDLHVTNHCIQGQCTPGQCPVMPMASRMQVGVSHEMEKASHTIISALEQFEYFTGSHGEFVLLVDVGAVAEAPQLSALHINARKIDLKHSLFRQLNRWHDSVLEEPTLQYFGMWMAQQLAEQGVHWVYVHVGATTTFTGDSVTVNFSYHGRPLGQAAIIKGDPAGVSFHRGLTEEEALSYTLTDQPDRDTAHIKGVMGGQFEDEGVTIFIELPLASPAYHSQETVHVRVSTLSSLPPIGSDSAQIQLQYWEQARRSLGEATVLWGDPTVRFASGNNGKTIRFSYPPGNEPSDPDSLILQLVKSGIPSNEQVTTSYNLQFFRPPNDNVDAVQIAIDTDEHLPPQGSDTARLKLSYSSAQERDLAKAVQLEGKKPHITFHGLQNGGILSYTIDSNLPGDEDTASVTLIVDGVLANELVRTSYPDQPFQPRHENVDTINVMVTTVDSLSSSSKRSCEISLFYKPSTEFDLSANVYLIGTRADLRFRGQENGSVVRYGTKEAGPRTLTALQLVETGPPADEPVQVSHETNLFVPLYDELGVVSVTVTGLTSLPIPTGLDSARVTLELPAQPRVQLPKELRIVGTTADISFHGEASDPLSYVVQANAGQDPDRAFVVAVPTKVTTNDFVAVACHSPFFRPANDNVDEVHLTIDAQCSRGGGDTAKAVLNFVDKRERDLATLTTLEGTHPDLKFEHAGPGHLSYTIQANTDTDLDTASVKLIFHGPFVDEHVKGTYLLQSCQPSNDNVDLVFIEVTVSAQFKGDSATVRLLYKATHERDLTKRSSIRWEDGVQSIGFQGLPDGETIYCTVQRNQESIDADLTKLTAVLEGPESNEVVSGRYEESAFRPANDNEDTISVQVLAQSSLQITQGHDYAVVHFHYTQCTECDLEQLTTIWGSESDIAFLEHVNSGPDASLRYSVQGDRGTDKDIATVQIVSTGVPMNETLVAKYPDAEFVPRNDNVDGVQINVSVLSVVDTNQLCHAMVDIQYLKTSEHDMPKRVKIVGTDPDLSFVGDKNSEPTTGRVLSYIVPDQAAVAKVIGIESGEPLDEPASFTYLPESFKPLSVKKPVVQLRVPVLSNWHPPGGDQAKIFFDLTQNELGVLSDKVKVVGTVPNIEIVDKVLDEPNVSYTVDANQGEDADYALVQIVPSDKSVNSQISVTYESAKFQPPNDNEDTLIIKVTCFAVRGGGDRAMVKIAIVRETSNERDLKQLTAIQGNPNISFKESALKNIITYDITGYPGSDKDIALLDIVKTGVMANEALEAEYLHQQFEPSYKNANTTNIYVPVTARFVGDSAGVRLNYSSDLHKKCLNFMSIIGSSSNIFFHRDQNGSLILYELRSTDRSDPDIAQVKLVLHGCTALESVNAEYQPQRFEPPNDNIDSVNVVVLTEGTLPPDGKDMARVKVHFHQQAEYDLEQVTSMEGTSGNIQFFKSVDSGDEVIQYSVDRNRGSDQDSALLQIVPTQAPLGESISTTYVLQSFTPPNDNVDEVLVEVTAESFSGLATDTARVTFVHKTKSEYDLPGTVTLVGTKFDTTFLMLPEEEVINYILRLGEPSRAQTHLIPTYLTINEPVRVKYYPSQFLPNSKNRVTVLVEEESQLSPTGGDRAIVKLHYKNLAQYDFPKKLSIDGLYPDIRFYTYDEAFEYTVAGNTGLDEDTASVQFLVDGPETGDQIITYYPLHKFKPPNDNVDEISVRVLGQAVRQPTRNDRVEVALEHTDQQERDFAVGRTQLVGASQVMHFMDTGNLLFYSLTDNLHNGQAGLIVLAKRDQLNEKVAAWYVDQDFQLSKDLVQTLSIKVLATDQYLGDSARITLKRSLGLFAPNLVSISGTVPHITVAEDANGTKISYNVESDEDSARVMLLEMGPHIPGVIAVEYIQESFRPPSDNVDRVHIIVQAPRPAFLVPSGQDHVYVCFQFSPMSEYDMESVITLTGMSPDIKFFKLAEPELIKYVLLSNAEKDSDSAHIVFPNNNKDMIMSYLPAAESIQGVFTSKLFMPANDNEDIIDVVVMVDTFAGAMNSGRIKLQYSSIKEHDLKKQTSILGSYSNMSFVGDKNGERLEYTVESPSMASVLLIPSGVPISEPIEVFYESKSFIPASRDSPYVHIIVTALDHWQPSGQDEAKVTLTHPAHNAQDLKQALTIIGLLANINFFGESNGKLLSYHVEANVGRDADMARVQLLETGPAITDPVSAEYHLQTFRPPHLNGDEVHITVWVFNRKIPIEQDRVMVTLTHQSPGSGLDLKGVTVLVGSSPDLQFLSASGPDQSGHITYILSKGKDQDIAQVRLLEVGPVTDQPVRVEYRTELFQPRHHNINAIMFIHVQTAITRSGDTVEVTLNYNSRRRTDLPRAIVISGTTKEIDFDMDKDGGSINFWFLGPRGEVSIVGVMHNVPDTEMVTFSYYTEDFALPISSPRPWPIWEIHLRVSASLPPQGSDTAKITLINYEGATFTSSSSVVGTVLDISFAEEDSDEISYTVRGNLGEDLDSAVLRYVPGPSVPSLREPLRVNFLKHSFIPPNDNVDLIGVVVRSTSKLSPEGNDLVTVELIHEDKNERDLQQATSLLGTVPHIMFFGMYNGSTINFTLKGKSSSDPDWADLQLVSTGVPADEQISPYFVAQSMQPPNDNADTVEIYVETVSSLPVSGRDVALVTLWYYSAFERDLPALTQIMGTDQNISFAALGTGARLFYQLEGDLGSDDDQAAVVIVQTGSPSNEQVQGQHVFSAFHPPHLNENVDLVIVEVHCLTRRALPRGGDSAWLSLNYGARREFDLERELILAGTMLDVRFTGHAGDMLVYRVEGAPGLDPDSADLFTLVTGPPLDEPVKLRNHETICQPSNDNVDELTIILDTVSFLQHGKSPQDRAEVKLIYRPESERDLHGTVVLEGTLPHLSFKETPSLLTYRVRGNDESDADKTTLEAITTGVETDESITLQYGGPPFRPANDNWDKVNVTLLKWSSKQPTGLDYVTVNLIYFNTAEYDLPAAVTLLGTELEIDFCGEENGDAIVYVLKGNFGADPDTAALRALPTNIPVSELVDISQPFHDEFQPTYHNIQYTSLSTFEEDSSEQNQLGIFHLITKTFQTLVGTKDCTVPVEFHPDKVVTINLTTPSAYNKGDSATIKLSYSTPQGATLNIFPRKLSIVGSFHNLDFYELENGSVIEYKLNQLEDVDIASMTMISKGVPWDQRISGTCNVEEFMPQNDNLNRLQLFISVEKERRFPSGWDSARVRFTYIRKMLEYDMPKSTSIIGLYPDISFPLAKPGAISYTVYGNEGHDRDTATVALVSTGPPINEDLTGDYSSRNFLPAHNNCDVIDIVVVTSAQGPSTRIHLQYHARDHFDMLKTTTILGTRANLKFGVNESSPKLVYQLNPFAPTVRVMVVRSGPPSFESLQVRYVAKEFKLSSLKSPPLHFGRIQSYFYPGSGSRAQLSLNFGHLYEMWNIDKVSVLSFENSRAYSKIAKFHKGPDGGVVEVSEVEAGSSNADVLVTAYTTGDNSDPPTVVVSAIAPPKRLAPEVHVVLAALSGFGNGESIKRMRDVALVQLEHKGRWTVNLKGAVTVVGSTPHIAFMESRTNFAYYFEANQGLDADTATVKCIPSKLPMDESITVRYSPPSFLPKLDNQDLIHVVVRTLAELELTKETAQIILTYSDRVERNLLATNSSKTRIRLFCFNGVVYEETKFVNAVDGSIIRYRVAWQPEALYIGMKEFSEVYTKPSSNEYITANYHSHTFHWASSSLIGELPKECTACHLLFIAFGLLAITM
+>sp|Q8TDW7|FAT3_HUMAN Protocadherin Fat 3 OS=Homo sapiens OX=9606 GN=FAT3 PE=2 SV=2
+MDIIMGHCVGTRPPACCLILLLFKLLATVSQGLPGTGPLGFHFTHSIYNATVYENSAARTYVNSQSRMGITLIDLSWDIKYRIVSGDEEGFFKAEEVIIADFCFLRIRTKGGNSAILNREIQDNYLLIVKGSVRGEDLEAWTKVNIQVLDMNDLRPLFSPTTYSVTIAESTPLRTSVAQVTATDADIGSNGEFYYYFKNKVDLFSVHPTSGVISLSGRLNYDEKNRYDLEILAVDRGMKLYGNNGVSSTAKLYVHIERINEHAPTIHVVTHVPFSLEKEPTYAVVTVDDLDDGANGEIESVSIVAGDPLDQFFLAKEGKWLNEYKIKERKQIDWESFPYGYNLTLQAKDKGSPQKCSALKAVYIGNPTRDTVPIRFEKEVYDVSISEFSPPGVVVAIVKLSPEPIDVEYKLSPGEDAVYFKINPRSGLIVTARPLNTVKKEVYKLEVTNKEGDLKAQVTISIEDANDHTPEFQQPLYDAYVNESVPVGTSVLTVSASDKDKGENGYITYSIASLNLLPFVINQFTGVISTTEELDFESSPEIYRFIVRASDWGSPYRHESEVNVTIRIGNVNDNSPLFEKVACQGVISYDFPVGGHITAVSAIDIDELELVKYKIISGNELGFFYLNPDSGVLQLKKSLTNSGIKNGNFALRITATDGENLADPMSINISVLHGKVSSKSFSCRETRVAQKLAEKLLIKAKANGKLNLEDGFLDFYSINRQGPYFDKSFPSDVAVKEDLPVGANILKIKAYDADSGFNGKVLFTISDGNTDSCFNIDMETGQLKVLMPMDREHTDLYLLNITIYDLGNPQKSSWRLLTINVEDANDNSPVFIQDSYSVNILESSGIGTEIIQVEARDKDLGSNGEVTYSVLTDTQQFAINSSTGIVYVADQLDRESKANYSLKIEARDKAESGQQLFSVVTLKVFLDDVNDCSPAFIPSSYSVKVLEDLPVGTVIAWLETHDPDLGLGGQVRYSLVNDYNGRFEIDKASGAIRLSKELDYEKQQFYNLTVRAKDKGRPVSLSSVSFVEVEVVDVNENLHTPYFPDFAVVGSVKENSRIGTSVLQVTARDEDSGRDGEIQYSIRDGSGLGRFSIDDESGVITAADILDRETMGSYWLTVYATDRGVVPLYSTIEVYIEVEDVNDNAPLTSEPIYYPVVMENSPKDVSVIQIQAEDPDSSSNEKLTYRITSGNPQNFFAINIKTGLITTTSRKLDREQQAEHFLEVTVTDGGPSPKQSTIWVVVQVLDENDNKPQFPEKVYQIKLPERDRKKRGEPIYRAFAFDRDEGPNAEISYSIVDGNDDGKFFIDPKTGMVSSRKQFTAGSYDILTIKAVDNGRPQKSSTARLHIEWIKKPPPSPIPLTFDEPFYNFTVMESDRVTEIVGVVSVQPANTPLWFDIVGGNFDSAFDAEKGVGTIVIAKPLDAEQRSIYNMSVEVTDGTNVAVTQVFIKVLDNNDNGPEFSQPNYDVTISEDVLPDTEILQIEATDRDEKHKLSYTVHSSIDSISMRKFRIDPSTGVLYTAERLDHEAQDKHILNIMVRDQEFPYRRNLARVIVNVEDANDHSPYFTNPLYEASVFESAALGSAVLQVTALDKDKGENAELIYTIEAGNTGNMFKIEPVLGIITICKEPDMTTMGQFVLSIKVTDQGSPPMSATAIVRISVTMSDNSHPKFIHKDYQAEVNENVDIGTSVILISAISQSTLIYEVKDGDINGIFTINPYSGVITTQKALDYERTSSYQLIIQATNMAGMASNATVNIQIVDENDNAPVFLFSQYSGSLSEAAPINSIVRSLDNSPLVIRATDADSNRNALLVYQIVESTAKKFFTVDSSTGAIRTIANLDHETIAHFHFHVHVRDSGSPQLTAESPVEVNIEVTDVNDNPPVFTQAVFETILLLPTYVGVEVLKVSATDPDSEVPPELTYSLMEGSLDHFLIDSNSGVLTIKNNNLSKDHYMLIVKVSDGKFYSTSMVTIMVKEAMDSGLHFTQSFYSTSISENNTNITKVAIVNAVGNRLNEPLKYSILNPGNKFKIKSTSGVIQTTGVPFDREEQELYELVVEASRELDHLRVARVVVRVNIEDINDNSPVFVGLPYYAAVQVDAEPGTLIYQVTAIDKDKGPNGEVTYVLQDDYGHFEINPNSGNVILKEAFNSDLSNIEYGVTILAKDGGKPSLSTSVELPITIVNKAMPVFDKPFYTASVNEDIRMNTPILSINATSPEGQGIIYIIIDGDPFKQFNIDFDTGVLKVVSPLDYEVTSAYKLTIRASDALTGARAEVTVDLLVNDVNDNPPIFDQPTYNTTLSEASLIGTPVLQVVSIDADSENNKMVHYQIVQDTYNSTDYFHIDSSSGLILTARMLDHELVQHCTLKVRSIDSGFPSLSSEVLVHIYISDVNDNPPVFNQLIYESYVSELAPRGHFVTCVQASDADSSDFDRLEYSILSGNDRTSFLMDSKSGVITLSNHRKQRMEPLYSLNVSVSDGLFTSTAQVHIRVLGANLYSPAFSQSTYVAEVRENVAAGTKVIHVRATDGDPGTYGQISYAIINDFAKDRFLIDSNGQVITTERLDRENPLEGDVSIFVRALDGGGRTTFCTVRVIVVDENDNAPQFMTVEYRASVRADVGRGHLVTQVQAIDPDDGANSRITYSLYSEASVSVADLLEIDPDNGWMVTKGNFNQLKNTVLSFFVKAVDGGIPVKHSLIPVYIHVLPPETFLPSFTQSQYSFTIAEDTAIGSTVDTLRILPSQNVWFSTVNGERPENNKGGIFVIEQETGTIKLDKRLDRETSPAFHFKVAATIPLDKVDIVFTVDVDIKVLDLNDNKPVFETSSYDTIIMEGMPVGTKLTQVRAIDMDWGANGQVTYSLHSDSQPEKVMEAFNIDSNTGWISTLKDLDHETDPTFTFSVVASDLGEAFSLSSTALVSVRVTDINDNAPVFAQEVYRGNVKESDPPGEVVAVLSTWDRDTSDVNRQVSYHITGGNPRGRFALGLVQSEWKVYVKRPLDREEQDIYFLNITATDGLFVTQAMVEVSVSDVNDNSPVCDQVAYTALLPEDIPSNKIILKVSAKDADIGSNGYIRYSLYGSGNSEFFLDPESGELKTLALLDRERIPVYSLMAKATDGGGRFCQSNIHLILEDVNDNPPVFSSDHYNTCVYENTATKALLTRVQAVDPDIGINRKVVYSLADSAGGVFSIDSSSGIIILEQPLDREQQSSYNISVRATDQSPGQSLSSLTTVTITVLDINDNPPVFERRDYLVTVPEDTSPGTQVLAVFATSKDIGTNAEITYLIRSGNEQGKFKINPKTGGISVSEVLDYELCKRFYLVVEAKDGGTPALSAVATVNINLTDVNDNPPKFSQDVYSAVISEDALVGDSVILLIAEDVDSQPNGQIHFSIVNGDRDNEFTVDPVLGLVKVKKKLDRERVSGYSLLVQAVDSGIPAMSSTATVNIDISDVNDNSPVFTPANYTAVIQENKPVGTSILQLVVTDRDSFHNGPPFSFSILSGNEEEEFVLDPHGILRSAVVFQHTESLEYVLCVQAKDSGKPQQVSHTYIRVRVIEESTHKPTAIPLEIFIVTMEDDFPGGVIGKIHATDQDMYDVLTFALKSEQKSLFKVNSHDGKIIALGGLDSGKYVLNVSVSDGRFQVPIDVVVHVEQLVHEMLQNTVTIRFENVSPEDFVGLHMHGFRRTLRNAVLTQKQDSLRIISIQPVAGTNQLDMLFAVEMHSSEFYKPAYLIQKLSNARRHLENIMRISAILEKNCSGLDCQEQHCEQGLSLDSHALMTYSTARISFVCPRFYRNVRCTCNGGLCPGSNDPCVEKPCPGDMQCVSYEASRRPFLCQCPPGKLGECSGHTSLSFAGNSYIKYRLSENSKEEDFKLALRLRTLQSNGIIMYTRANPCIILKIVDGKLWFQLDCGSGPGILGISGRAVNDGSWHSVFLELNRNFTSLSLDDSYVERRRAPLYFQTLSTESSIYFGALVQADNIRSLTDTRVTQVLSGFQGCLDSVILNNNELPLQNKRSSFAEVVGLTELKLGCVLYPDACKRSPCQHGGSCTGLPSGGYQCTCLSQFTGRNCESEITACFPNPCRNGGSCDPIGNTFICNCKAGLTGVTCEEDINECEREECENGGSCVNVFGSFLCNCTPGYVGQYCGLRPVVVPNIQAGHSYVGKEELIGIAVVLFVIFILVVLFIVFRKKVFRKNYSRNNITLVQDPATAALLNKSNGIPFRNLRGSGDGRNVYQEVGPPQVPVRPMAYTPCFQSDSRSNLDKIVDGLGGEHQEMTTFHPESPRILTARRGVVVCSVAPNLPAVSPCRSDCDSIRKNGWDAGTENKGVDDPGEVTCFAGSNKGSNSEVQSLSSFQSDSGDDNASIVTVIQLVNNVVDTIENEVSVMDQGQNYNRAYHWDTSDWMPGARLSDIEEVPNYENQDGGSAHQGSTRELESDYYLGGYDIDSEYPPPHEEEFLSQDQLPPPLPEDFPDQYEALPPSQPVSLASTLSPDCRRRPQFHPSQYLPPHPFPNETDLVGPPASCEFSTFAVSMNQGTEPTGPADSVSLSLHNSRGTSSSDVSANCGFDDSEVAMSDYESVGELSLASLHIPFVETQHQTQV
+>DECOY_sp|Q8TDW7|FAT3_HUMAN Protocadherin Fat 3 OS=Homo sapiens OX=9606 GN=FAT3 PE=2 SV=2
+VQTQHQTEVFPIHLSALSLEGVSEYDSMAVESDDFGCNASVDSSSTGRSNHLSLSVSDAPGTPETGQNMSVAFTSFECSAPPGVLDTENPFPHPPLYQSPHFQPRRRCDPSLTSALSVPQSPPLAEYQDPFDEPLPPPLQDQSLFEEEHPPPYESDIDYGGLYYDSELERTSGQHASGGDQNEYNPVEEIDSLRAGPMWDSTDWHYARNYNQGQDMVSVENEITDVVNNVLQIVTVISANDDGSDSQFSSLSQVESNSGKNSGAFCTVEGPDDVGKNETGADWGNKRISDCDSRCPSVAPLNPAVSCVVVGRRATLIRPSEPHFTTMEQHEGGLGDVIKDLNSRSDSQFCPTYAMPRVPVQPPGVEQYVNRGDGSGRLNRFPIGNSKNLLAATAPDQVLTINNRSYNKRFVKKRFVIFLVVLIFIVFLVVAIGILEEKGVYSHGAQINPVVVPRLGCYQGVYGPTCNCLFSGFVNVCSGGNECEERECENIDEECTVGTLGAKCNCIFTNGIPDCSGGNRCPNPFCATIESECNRGTFQSLCTCQYGGSPLGTCSGGHQCPSRKCADPYLVCGLKLETLGVVEAFSSRKNQLPLENNNLIVSDLCGQFGSLVQTVRTDTLSRINDAQVLAGFYISSETSLTQFYLPARRREVYSDDLSLSTFNRNLELFVSHWSGDNVARGSIGLIGPGSGCDLQFWLKGDVIKLIICPNARTYMIIGNSQLTRLRLALKFDEEKSNESLRYKIYSNGAFSLSTHGSCEGLKGPPCQCLFPRRSAEYSVCQMDGPCPKEVCPDNSGPCLGGNCTCRVNRYFRPCVFSIRATSYTMLAHSDLSLGQECHQEQCDLGSCNKELIASIRMINELHRRANSLKQILYAPKYFESSHMEVAFLMDLQNTGAVPQISIIRLSDQKQTLVANRLTRRFGHMHLGVFDEPSVNEFRITVTNQLMEHVLQEVHVVVDIPVQFRGDSVSVNLVYKGSDLGGLAIIKGDHSNVKFLSKQESKLAFTLVDYMDQDTAHIKGIVGGPFDDEMTVIFIELPIATPKHTSEEIVRVRIYTHSVQQPKGSDKAQVCLVYELSETHQFVVASRLIGHPDLVFEEEENGSLISFSFPPGNHFSDRDTVVLQLISTGVPKNEQIVATYNAPTFVPSNDNVDSIDINVTATSSMAPIGSDVAQVLLSYGSVRERDLKKKVKVLGLVPDVTFENDRDGNVISFHIQGNPQSDVDEAILLIVSDGVLADESIVASYVDQSFKPPNDNVDTLNINVTAVASLAPTGGDKAEVVLYFRKCLEYDLVESVSIGGTKPNIKFKGQENGSRILYTIEANTGIDKSTAFVALVQTGPSTDEPVTVLYDRREFVPPNDNIDLVTITVTTLSSLSQGPSQDTARVSINYSSQQERDLPQELIIIGSSSDISFVGGASDALSYVVKRNIGIDPDVAQVRTLLAKTATNEYVCTNYHDSSFVPPNDNVDELILHINSQCFRGGGDTAKAMLSYVPIRERDLLALTKLEGSEPDLFFESNGSGYLSYRIYGNSGIDADKASVKLIIKNSPIDEPLLATYAVQDCVPSNDNVDSVSVEVMAQTVFLGDTATINLFYIDQEERDLPRKVYVKWESQVLGLAFRGRPNGGTIHYSVQRNVDSTDRDWTSLVAVVEGPPDSEKVNGRYVEQAFVPANDNIDTVRVSVLATSSLSFAEGLDSAVVSFTFTPDTEHDLDKLTSIWGTNSDINFAEMVKEPQSDSHLSYTVQGNAGWDMDIARVQTLKTGVPMGEMIITDYSSTEFVPKNDNLDLVKIDVDVTFVIDVKDLPITAAVKFHFAPSTERDLRKDLKITGTEQEIVFIGGKNNEPREGNVTSFWVNQSPLIRLTDVTSGIATDEAITFSYQSQTFSPLFTEPPLVHIYVPILSHKVPIGGDVAKVFFSLVTNKLQNFNGKTVMWGNDPDIELLDAVSVSAESYLSYTIRSNAGDDPDIAQVQTVLHGRGVDARVSARYEVTMFQPANDNEDVVIVRVTCFTTRGGGDLARVFISVDGELPNERDLRETTIVQGNSDILFRDKAFDNIIAYSIQGYTGPDGDTARVHIVKTGAAVNERVEAVYTSQSFAPSYLNAGLVRIHVQATSTFLGDSVSVNLSYLPEMRQKRHNSLTIVGSKSDMLFSTRDNGSLISYELRDFDSSDADSAQVCTVFHGRPALESVYSEYILQNFVPPNDNVDSIYIHVLVESSLSPFGSDISRVKLTCHQVLEHDLMRATLILGSSSDIHFYDTSNYTDQVIQYHVMKNNESDADISVVQLVPTGILSAESLTTNYTPQDFIPPNDNVDNVLLDVTVEARAGTLADSARITLKYASTVEYDLPSVVKLVGTDFDINFQKFPDGDIIIYIIGQGEPSTANISLIPTNMRIDENVSATYFPKDFVPMAKNVITIPLEVSTSLSPKGGDKALITVGYEINSLDSNFAEKLIVNGSNPNIEFHGYDDQLVYTVEGNPGKDKDIATVQYILTGPEADVQVAAYYPLGVFVPSNDNIDEINVRVVVRAVRLHDLERSAEVVLEYLEQEERDFPVGTTQIVGSTSKIKFKNGPNLISYKLPENLRNGVANVIAVKTINTNNESISTSYFSQTFHLGSDMAEKVMITVMSTSYFKGDSVKVILMYHDKSLNNNKITLVGSNSDILFHDLSGEMLSYTLEPPVESDPDTASVKLVEVGVYTPLLLITEFVAQTFVPPNDNVDTVEINVEVPSEATLQPSGSDRVHVHFHFHAITEHDLNAITRIAGTSSDVTFFKKATSEVIQYVLLANRNSDADTARIVLPSNDLSRVISNIPAAESLSGSYQSFLFVPANDNEDVIQINVTANSAMGAMNTAQIILQYSSTREYDLAKQTTIVGSYPNITFIGNIDGDKVEYILTSQSIASILIVSTGIDVNENVEAQYDKHIFKPHSNDSMTVSIRVIATASMPPSGQDTVKISLVFQGMTTMDPEKCITIIGLVPEIKFMNGTNGAEITYILEANEGKDKDLATVQLVASGLAASEFVSAEYLPNTFYPSHDNADEVNVIVRALNRRYPFEQDRVMINLIHKDQAEHDLREATYLVGTSPDIRFKRMSISDISSHVTYSLKHKEDRDTAEIQLIETDPLVDESITVDYNPQSFEPGNDNNDLVKIFVQTVAVNTGDTVEVSMNYISRQEADLPKAIVITGVGKEADFASDFNGGVIDFWLPTNAPQVSVVGVIETVRDSEMVTFNYFPEDFTLPIPSPPPKKIWEIHLRATSSKQPRGNDVAKITLIDYSGATFQKRSSVMGTKPDIFFKGDDNGDVISYSIEANPGEDRDFAFARYIPEGRKKRDREPLKIQYVKEPFQPKNDNEDLVQVVVWITSQKPSPGGDTVTVELFHEAQQERDLKRSTTTILGTKINIAFFNQPNGSTIRYTLKENSSSDPDEAQIQIVSVDKPSNEMVVPYYIPESTLPANDNVDEVEIYVEITSYLPVVGRDTAYVTLWYSGMTERDLIDAATIVGSEDDISFRGLGSGDRISYQIEGDRGSDEDRATVQLVSTGIRSNEKVSGVVAFDPFYPTHLNENVDVVEVEVFSVSSLSVPRGKDKARVTLNYFQQKEYDLEKSLRIAGSAKDIEFRGNYDNVLSYRVQGGLGLDPDHTELWAIVTGVPLDELVKVSYSSPIFAPSCDNVDDLFVKLTVVSFLQQGSEAKDRAEIKLSYNAKSERDLQDAVYVIGTSSNIAFQQTDTLVSYTVEGNSGLDKDRAEVQIIETGIGSSELINVSYSDQIFVPSNDNADEVNITLLRWSSKQPNGLDYITINLLYLDTHERDMPMLVKLQGTEMDINFCSDTNGDSITFLVKGNFGSDADYAKIKLINAGVPLDEKVAVDSPFSKDFYPGQRNISYFDLFGDELNLKGNAKAKILLKEALKQAVRTERCSFSKSSVKGHLVSINISMPDALNEGDTATIRLAFNGNKIGSNTLSKKLQLVGSDPNLYFFGLENGSIIKYKVLELEDIDIASVATIHGGVPFDYSIVGQCAVKEFLPSNDNVNGIRITVNVESEHRYPSGWDSARVIFRYIEPSSEFDLEETTSIVGTFQNIVFPLLNLSAISYTIYGNEGKDKDSASVTLVSTGVPVSENVYADYLPQQFEPTHDNADEISITVQAKLDGEKNTVELKYVEKKVTNLPRATVILGSRPNIKFYVADEGPSLKYEVDIPEPSLKVIAVVVGPPSFESISVDYVEKEFRIPVTDRTPNGIYVAKLASCKQPSGKDKAQLTLNYGYPFSEWDIQKREKIKYENLWKGEKALFFQDLPDGAVISVSEIEGNAGDDLDDVTVVAYTPEKELSFPVHTVVHITPAHENIREIHVYLKATSSVGNNGYLKMGRDVALIELDYRNKEDYNLRGSLSIVGSTPHVSFLDVKNKFYYYFEGNSGIDADTATVQAVSTRLPTSEAITVSYTTPSFLPRLDNMDLVQINVKTWAELDEGRVSGKVILLYNDQIERNLIASNGGKTRIRLFCFDAIIVEEAKFFGEEDGSVIRYKIDWSLDILTIGMRSQSNVYTRAASNEYVTANYISHTFHFGLPGTGPLGQSVTALLKFLLLILCCAPPRTGVCHGMIIDM
+>sp|Q8NFZ0|FBH1_HUMAN F-box DNA helicase 1 OS=Homo sapiens OX=9606 GN=FBH1 PE=1 SV=2
+MRRFKRKHLTAIDCQHLARSHLAVTQPFGQRWTNRDPNHGLYPKPRTKRGSRGQGSQRCIPEFFLAGKQPCTNDMAKSNSVGQDSCQDSEGDMIFPAESSCALPQEGSAGPGSPGSAPPSRKRSWSSEEESNQATGTSRWDGVSKKAPRHHLSVPCTRPREARQEAEDSTSRLSAESGETDQDAGDVGPDPIPDSYYGLLGTLPCQEALSHICSLPSEVLRHVFAFLPVEDLYWNLSLVCHLWREIISDPLFIPWKKLYHRYLMNEEQAVSKVDGILSNCGIEKESDLCVLNLIRYTATTKCSPSVDPERVLWSLRDHPLLPEAEACVRQHLPDLYAAAGGVNIWALVAAVVLLSSSVNDIQRLLFCLRRPSSTVTMPDVTETLYCIAVLLYAMREKGINISNRIHYNIFYCLYLQENSCTQATKVKEEPSVWPGKKTIQLTHEQQLILNHKMEPLQVVKIMAFAGTGKTSTLVKYAEKWSQSRFLYVTFNKSIAKQAERVFPSNVICKTFHSMAYGHIGRKYQSKKKLNLFKLTPFMVNSVLAEGKGGFIRAKLVCKTLENFFASADEELTIDHVPIWCKNSQGQRVMVEQSEKLNGVLEASRLWDNMRKLGECTEEAHQMTHDGYLKLWQLSKPSLASFDAIFVDEAQDCTPAIMNIVLSQPCGKIFVGDPHQQIYTFRGAVNALFTVPHTHVFYLTQSFRFGVEIAYVGATILDVCKRVRKKTLVGGNHQSGIRGDAKGQVALLSRTNANVFDEAVRVTEGEFPSRIHLIGGIKSFGLDRIIDIWILLQPEEERRKQNLVIKDKFIRRWVHKEGFSGFKRYVTAAEDKELEAKIAVVEKYNIRIPELVQRIEKCHIEDLDFAEYILGTVHKAKGLEFDTVHVLDDFVKVPCARHNLPQLPHFRVESFSEDEWNLLYVAVTRAKKRLIMTKSLENILTLAGEYFLQAELTSNVLKTGVVRCCVGQCNNAIPVDTVLTMKKLPITYSNRKENKGGYLCHSCAEQRIGPLAFLTASPEQVRAMERTVENIVLPRHEALLFLVF
+>DECOY_sp|Q8NFZ0|FBH1_HUMAN F-box DNA helicase 1 OS=Homo sapiens OX=9606 GN=FBH1 PE=1 SV=2
+FVLFLLAEHRPLVINEVTREMARVQEPSATLFALPGIRQEACSHCLYGGKNEKRNSYTIPLKKMTLVTDVPIANNCQGVCCRVVGTKLVNSTLEAQLFYEGALTLINELSKTMILRKKARTVAVYLLNWEDESFSEVRFHPLQPLNHRACPVKVFDDLVHVTDFELGKAKHVTGLIYEAFDLDEIHCKEIRQVLEPIRINYKEVVAIKAELEKDEAATVYRKFGSFGEKHVWRRIFKDKIVLNQKRREEEPQLLIWIDIIRDLGFSKIGGILHIRSPFEGETVRVAEDFVNANTRSLLAVQGKADGRIGSQHNGGVLTKKRVRKCVDLITAGVYAIEVGFRFSQTLYFVHTHPVTFLANVAGRFTYIQQHPDGVFIKGCPQSLVINMIAPTCDQAEDVFIADFSALSPKSLQWLKLYGDHTMQHAEETCEGLKRMNDWLRSAELVGNLKESQEVMVRQGQSNKCWIPVHDITLEEDASAFFNELTKCVLKARIFGGKGEALVSNVMFPTLKFLNLKKKSQYKRGIHGYAMSHFTKCIVNSPFVREAQKAISKNFTVYLFRSQSWKEAYKVLTSTKGTGAFAMIKVVQLPEMKHNLILQQEHTLQITKKGPWVSPEEKVKTAQTCSNEQLYLCYFINYHIRNSINIGKERMAYLLVAICYLTETVDPMTVTSSPRRLCFLLRQIDNVSSSLLVVAAVLAWINVGGAAAYLDPLHQRVCAEAEPLLPHDRLSWLVREPDVSPSCKTTATYRILNLVCLDSEKEIGCNSLIGDVKSVAQEENMLYRHYLKKWPIFLPDSIIERWLHCVLSLNWYLDEVPLFAFVHRLVESPLSCIHSLAEQCPLTGLLGYYSDPIPDPGVDGADQDTEGSEASLRSTSDEAEQRAERPRTCPVSLHHRPAKKSVGDWRSTGTAQNSEEESSWSRKRSPPASGPSGPGASGEQPLACSSEAPFIMDGESDQCSDQGVSNSKAMDNTCPQKGALFFEPICRQSGQGRSGRKTRPKPYLGHNPDRNTWRQGFPQTVALHSRALHQCDIATLHKRKFRRM
+>sp|Q8WUP2|FBLI1_HUMAN Filamin-binding LIM protein 1 OS=Homo sapiens OX=9606 GN=FBLIM1 PE=1 SV=2
+MASKPEKRVASSVFITLAPPRRDVAVAEEVRQAVCEARRGRPWEAPAPMKTPEAGLAGRPSPWTTPGRAAATVPAAPMQLFNGGCPPPPPVLDGEDVLPDLDLLPPPPPPPPVLLPSEEEAPAPMGASLIADLEQLHLSPPPPPPQAPAEGPSVQPGPLRPMEEELPPPPAEPVEKGASTDICAFCHKTVSPRELAVEAMKRQYHAQCFTCRTCRRQLAGQSFYQKDGRPLCEPCYQDTLERCGKCGEVVRDHIIRALGQAFHPSCFTCVTCARCIGDESFALGSQNEVYCLDDFYRKFAPVCSICENPIIPRDGKDAFKIECMGRNFHENCYRCEDCRILLSVEPTDQGCYPLNNHLFCKPCHVKRSAAGCC
+>DECOY_sp|Q8WUP2|FBLI1_HUMAN Filamin-binding LIM protein 1 OS=Homo sapiens OX=9606 GN=FBLIM1 PE=1 SV=2
+CCGAASRKVHCPKCFLHNNLPYCGQDTPEVSLLIRCDECRYCNEHFNRGMCEIKFADKGDRPIIPNECISCVPAFKRYFDDLCYVENQSGLAFSEDGICRACTVCTFCSPHFAQGLARIIHDRVVEGCKGCRELTDQYCPECLPRGDKQYFSQGALQRRCTRCTFCQAHYQRKMAEVALERPSVTKHCFACIDTSAGKEVPEAPPPPLEEEMPRLPGPQVSPGEAPAQPPPPPPSLHLQELDAILSAGMPAPAEEESPLLVPPPPPPPPLLDLDPLVDEGDLVPPPPPCGGNFLQMPAAPVTAAARGPTTWPSPRGALGAEPTKMPAPAEWPRGRRAECVAQRVEEAVAVDRRPPALTIFVSSAVRKEPKSAM
+>sp|P23142|FBLN1_HUMAN Fibulin-1 OS=Homo sapiens OX=9606 GN=FBLN1 PE=1 SV=4
+MERAAPSRRVPLPLLLLGGLALLAAGVDADVLLEACCADGHRMATHQKDCSLPYATESKECRMVQEQCCHSQLEELHCATGISLANEQDRCATPHGDNASLEATFVKRCCHCCLLGRAAQAQGQSCEYSLMVGYQCGQVFQACCVKSQETGDLDVGGLQETDKIIEVEEEQEDPYLNDRCRGGGPCKQQCRDTGDEVVCSCFVGYQLLSDGVSCEDVNECITGSHSCRLGESCINTVGSFRCQRDSSCGTGYELTEDNSCKDIDECESGIHNCLPDFICQNTLGSFRCRPKLQCKSGFIQDALGNCIDINECLSISAPCPIGHTCINTEGSYTCQKNVPNCGRGYHLNEEGTRCVDVDECAPPAEPCGKGHRCVNSPGSFRCECKTGYYFDGISRMCVDVNECQRYPGRLCGHKCENTLGSYLCSCSVGFRLSVDGRSCEDINECSSSPCSQECANVYGSYQCYCRRGYQLSDVDGVTCEDIDECALPTGGHICSYRCINIPGSFQCSCPSSGYRLAPNGRNCQDIDECVTGIHNCSINETCFNIQGGFRCLAFECPENYRRSAATLQQEKTDTVRCIKSCRPNDVTCVFDPVHTISHTVISLPTFREFTRPEEIIFLRAITPPHPASQANIIFDITEGNLRDSFDIIKRYMDGMTVGVVRQVRPIVGPFHAVLKLEMNYVVGGVVSHRNVVNVHIFVSEYWF
+>DECOY_sp|P23142|FBLN1_HUMAN Fibulin-1 OS=Homo sapiens OX=9606 GN=FBLN1 PE=1 SV=4
+FWYESVFIHVNVVNRHSVVGGVVYNMELKLVAHFPGVIPRVQRVVGVTMGDMYRKIIDFSDRLNGETIDFIINAQSAPHPPTIARLFIIEEPRTFERFTPLSIVTHSITHVPDFVCTVDNPRCSKICRVTDTKEQQLTAASRRYNEPCEFALCRFGGQINFCTENISCNHIGTVCEDIDQCNRGNPALRYGSSPCSCQFSGPINICRYSCIHGGTPLACEDIDECTVGDVDSLQYGRRCYCQYSGYVNACEQSCPSSSCENIDECSRGDVSLRFGVSCSCLYSGLTNECKHGCLRGPYRQCENVDVCMRSIGDFYYGTKCECRFSGPSNVCRHGKGCPEAPPACEDVDVCRTGEENLHYGRGCNPVNKQCTYSGETNICTHGIPCPASISLCENIDICNGLADQIFGSKCQLKPRCRFSGLTNQCIFDPLCNHIGSECEDIDKCSNDETLEYGTGCSSDRQCRFSGVTNICSEGLRCSHSGTICENVDECSVGDSLLQYGVFCSCVVEDGTDRCQQKCPGGGRCRDNLYPDEQEEEVEIIKDTEQLGGVDLDGTEQSKVCCAQFVQGCQYGVMLSYECSQGQAQAARGLLCCHCCRKVFTAELSANDGHPTACRDQENALSIGTACHLEELQSHCCQEQVMRCEKSETAYPLSCDKQHTAMRHGDACCAELLVDADVGAALLALGGLLLLPLPVRRSPAAREM
+>sp|P22087|FBRL_HUMAN rRNA 2'-O-methyltransferase fibrillarin OS=Homo sapiens OX=9606 GN=FBL PE=1 SV=2
+MKPGFSPRGGGFGGRGGFGDRGGRGGRGGFGGGRGRGGGFRGRGRGGGGGGGGGGGGGRGGGGFHSGGNRGRGRGGKRGNQSGKNVMVEPHRHEGVFICRGKEDALVTKNLVPGESVYGEKRVSISEGDDKIEYRAWNPFRSKLAAAILGGVDQIHIKPGAKVLYLGAASGTTVSHVSDIVGPDGLVYAVEFSHRSGRDLINLAKKRTNIIPVIEDARHPHKYRMLIAMVDVIFADVAQPDQTRIVALNAHTFLRNGGHFVISIKANCIDSTASAEAVFASEVKKMQQENMKPQEQLTLEPYERDHAVVVGVYRPPPKVKN
+>DECOY_sp|P22087|FBRL_HUMAN rRNA 2'-O-methyltransferase fibrillarin OS=Homo sapiens OX=9606 GN=FBL PE=1 SV=2
+NKVKPPPRYVGVVVAHDREYPELTLQEQPKMNEQQMKKVESAFVAEASATSDICNAKISIVFHGGNRLFTHANLAVIRTQDPQAVDAFIVDVMAILMRYKHPHRADEIVPIINTRKKALNILDRGSRHSFEVAYVLGDPGVIDSVHSVTTGSAAGLYLVKAGPKIHIQDVGGLIAAALKSRFPNWARYEIKDDGESISVRKEGYVSEGPVLNKTVLADEKGRCIFVGEHRHPEVMVNKGSQNGRKGGRGRGRNGGSHFGGGGRGGGGGGGGGGGGGRGRGRFGGGRGRGGGFGGRGGRGGRDGFGGRGGFGGGRPSFGPKM
+>sp|Q5XX13|FBW10_HUMAN F-box/WD repeat-containing protein 10 OS=Homo sapiens OX=9606 GN=FBXW10 PE=2 SV=2
+MENLESRLKNAPYFRCEKGTDSIPLCRKCETCVLAWKIFSTKEWFCRINDISQRRFLVGILKQLNSLYLLHYFQNILQTTQGKDFIYNRSRINLSKKEGKVVKSSLNQMLDKTVEQKMKEILYWFANSTQWTKANYTLLLLQMCNPKLLLTAANVIRVLFLREENNISGLNQDITDVCFSPEKDHSSKSATSQVYWTAKTQHTSLPLSKAPENEHLLGAASNPEEPWRNSLRCISEMNRLFSGKGDITKPGYDPCNLLVDLDDIRDLSSGFSKYRDFIRYLPIHLSKYILRMLDRHTLNKCASVSQHWAAMAQQVKMDLSAHGFIQNQITFLQGSYTRGIDPNYANKVSIPVPKMVDDGKSMRVKHPKWKLRTKNEYNLWTAYQNEETQQVLIEERNVFCGTYNVRILSDTWDQNRVIHYSGGDLIAVSSNRKIHLLDIIQVKAIPVEFRGHAGSVRALFLCEEENFLLSGSYDLSIRYWDLKSGVCTRIFGGHQGTITCMDLCKNRLVSGGRDCQVKVWDVDTGKCLKTFRHKDPILATRINDTYIVSSCERGLVKVWHIAMAQLVKTLSGHEGAVKCLFFDQWHLLSGSTDGLVMAWSMVGKYERCLMAFKHPKEVLDVSLLFLRVISACADGKIRIYNFLNGNCMKVLKANGRGDPVLSFFIQGNRMVVNTESNVLMFQFEHIKWQYAVEKTKQKKNKEKEEEKEENSLMEILSKCNIQVHSPRESVSSKQTVIQELLPGKPPKSRVLLKPAKFSSAVLIEELQSQGKSKSPRRDADDVEKAQKQGQLETPGKLPSHPKKKSWKIPMSPDQFLLTVSALQHAHNSGEFAYPCRPQTEITDVWGPSISYPRKVLNFKGKSIQRAVDRLRLSNPPIDVKRTSIPLEIQKLQPNLKISLHSPRVQSTIPQPMIIRSRFSGSLKGGDQVTSSIERAVCSTGPLTSMQVIKPNRMLAPQVGTATLSLKKERPRIYTALDPFRVNTEFVLLTVKEEKEHQEAKMKEYQARESTGVVDPGKVSKAAWIRKIKGLPIDNFTKQGKTAAPELGQNVFI
+>DECOY_sp|Q5XX13|FBW10_HUMAN F-box/WD repeat-containing protein 10 OS=Homo sapiens OX=9606 GN=FBXW10 PE=2 SV=2
+IFVNQGLEPAATKGQKTFNDIPLGKIKRIWAAKSVKGPDVVGTSERAQYEKMKAEQHEKEEKVTLLVFETNVRFPDLATYIRPREKKLSLTATGVQPALMRNPKIVQMSTLPGTSCVAREISSTVQDGGKLSGSFRSRIIMPQPITSQVRPSHLSIKLNPQLKQIELPISTRKVDIPPNSLRLRDVARQISKGKFNLVKRPYSISPGWVDTIETQPRCPYAFEGSNHAHQLASVTLLFQDPSMPIKWSKKKPHSPLKGPTELQGQKQAKEVDDADRRPSKSKGQSQLEEILVASSFKAPKLLVRSKPPKGPLLEQIVTQKSSVSERPSHVQINCKSLIEMLSNEEKEEEKEKNKKQKTKEVAYQWKIHEFQFMLVNSETNVVMRNGQIFFSLVPDGRGNAKLVKMCNGNLFNYIRIKGDACASIVRLFLLSVDLVEKPHKFAMLCREYKGVMSWAMVLGDTSGSLLHWQDFFLCKVAGEHGSLTKVLQAMAIHWVKVLGRECSSVIYTDNIRTALIPDKHRFTKLCKGTDVDWVKVQCDRGGSVLRNKCLDMCTITGQHGGFIRTCVGSKLDWYRISLDYSGSLLFNEEECLFLARVSGAHGRFEVPIAKVQIIDLLHIKRNSSVAILDGGSYHIVRNQDWTDSLIRVNYTGCFVNREEILVQQTEENQYATWLNYENKTRLKWKPHKVRMSKGDDVMKPVPISVKNAYNPDIGRTYSGQLFTIQNQIFGHASLDMKVQQAMAAWHQSVSACKNLTHRDLMRLIYKSLHIPLYRIFDRYKSFGSSLDRIDDLDVLLNCPDYGPKTIDGKGSFLRNMESICRLSNRWPEEPNSAAGLLHENEPAKSLPLSTHQTKATWYVQSTASKSSHDKEPSFCVDTIDQNLGSINNEERLFLVRIVNAATLLLKPNCMQLLLLTYNAKTWQTSNAFWYLIEKMKQEVTKDLMQNLSSKVVKGEKKSLNIRSRNYIFDKGQTTQLINQFYHLLYLSNLQKLIGVLFRRQSIDNIRCFWEKTSFIKWALVCTECKRCLPISDTGKECRFYPANKLRSELNEM
+>sp|Q9UKB1|FBW1B_HUMAN F-box/WD repeat-containing protein 11 OS=Homo sapiens OX=9606 GN=FBXW11 PE=1 SV=1
+MEPDSVIEDKTIELMCSVPRSLWLGCANLVESMCALSCLQSMPSVRCLQISNGTSSVIVSRKRPSEGNYQKEKDLCIKYFDQWSESDQVEFVEHLISRMCHYQHGHINSYLKPMLQRDFITALPEQGLDHIAENILSYLDARSLCAAELVCKEWQRVISEGMLWKKLIERMVRTDPLWKGLSERRGWDQYLFKNRPTDGPPNSFYRSLYPKIIQDIETIESNWRCGRHNLQRIQCRSENSKGVYCLQYDDEKIISGLRDNSIKIWDKTSLECLKVLTGHTGSVLCLQYDERVIVTGSSDSTVRVWDVNTGEVLNTLIHHNEAVLHLRFSNGLMVTCSKDRSIAVWDMASATDITLRRVLVGHRAAVNVVDFDDKYIVSASGDRTIKVWSTSTCEFVRTLNGHKRGIACLQYRDRLVVSGSSDNTIRLWDIECGACLRVLEGHEELVRCIRFDNKRIVSGAYDGKIKVWDLQAALDPRAPASTLCLRTLVEHSGRVFRLQFDEFQIISSSHDDTILIWDFLNVPPSAQNETRSPSRTYTYISR
+>DECOY_sp|Q9UKB1|FBW1B_HUMAN F-box/WD repeat-containing protein 11 OS=Homo sapiens OX=9606 GN=FBXW11 PE=1 SV=1
+RSIYTYTRSPSRTENQASPPVNLFDWILITDDHSSSIIQFEDFQLRFVRGSHEVLTRLCLTSAPARPDLAAQLDWVKIKGDYAGSVIRKNDFRICRVLEEHGELVRLCAGCEIDWLRITNDSSGSVVLRDRYQLCAIGRKHGNLTRVFECTSTSWVKITRDGSASVIYKDDFDVVNVAARHGVLVRRLTIDTASAMDWVAISRDKSCTVMLGNSFRLHLVAENHHILTNLVEGTNVDWVRVTSDSSGTVIVREDYQLCLVSGTHGTLVKLCELSTKDWIKISNDRLGSIIKEDDYQLCYVGKSNESRCQIRQLNHRGCRWNSEITEIDQIIKPYLSRYFSNPPGDTPRNKFLYQDWGRRESLGKWLPDTRVMREILKKWLMGESIVRQWEKCVLEAACLSRADLYSLINEAIHDLGQEPLATIFDRQLMPKLYSNIHGHQYHCMRSILHEVFEVQDSESWQDFYKICLDKEKQYNGESPRKRSVIVSSTGNSIQLCRVSPMSQLCSLACMSEVLNACGLWLSRPVSCMLEITKDEIVSDPEM
+>sp|Q9UKA2|FBXL4_HUMAN F-box/LRR-repeat protein 4 OS=Homo sapiens OX=9606 GN=FBXL4 PE=1 SV=2
+MSPVFPMLTVLTMFYYICLRRRARTATRGEMMNTHRAIESNSQTSPLNAEVVQYAKEVVDFSSHYGSENSMSYTMWNLAGVPNVFPSSGDFTQTAVFRTYGTWWDQCPSASLPFKRTPPNFQSQDYVELTFEQQVYPTAVHVLETYHPGAVIRILACSANPYSPNPPAEVRWEILWSERPTKVNASQARQFKPCIKQINFPTNLIRLEVNSSLLEYYTELDAVVLHGVKDKPVLSLKTSLIDMNDIEDDAYAEKDGCGMDSLNKKFSSAVLGEGPNNGYFDKLPYELIQLILNHLTLPDLCRLAQTCKLLSQHCCDPLQYIHLNLQPYWAKLDDTSLEFLQSRCTLVQWLNLSWTGNRGFISVAGFSRFLKVCGSELVRLELSCSHFLNETCLEVISEMCPNLQALNLSSCDKLPPQAFNHIAKLCSLKRLVLYRTKVEQTALLSILNFCSELQHLSLGSCVMIEDYDVIASMIGAKCKKLRTLDLWRCKNITENGIAELASGCPLLEELDLGWCPTLQSSTGCFTRLAHQLPNLQKLFLTANRSVCDTDIDELACNCTRLQQLDILGTRMVSPASLRKLLESCKDLSLLDVSFCSQIDNRAVLELNASFPKVFIKKSFTQ
+>DECOY_sp|Q9UKA2|FBXL4_HUMAN F-box/LRR-repeat protein 4 OS=Homo sapiens OX=9606 GN=FBXL4 PE=1 SV=2
+QTFSKKIFVKPFSANLELVARNDIQSCFSVDLLSLDKCSELLKRLSAPSVMRTGLIDLQQLRTCNCALEDIDTDCVSRNATLFLKQLNPLQHALRTFCGTSSQLTPCWGLDLEELLPCGSALEAIGNETINKCRWLDLTRLKKCKAGIMSAIVDYDEIMVCSGLSLHQLESCFNLISLLATQEVKTRYLVLRKLSCLKAIHNFAQPPLKDCSSLNLAQLNPCMESIVELCTENLFHSCSLELRVLESGCVKLFRSFGAVSIFGRNGTWSLNLWQVLTCRSQLFELSTDDLKAWYPQLNLHIYQLPDCCHQSLLKCTQALRCLDPLTLHNLILQILEYPLKDFYGNNPGEGLVASSFKKNLSDMGCGDKEAYADDEIDNMDILSTKLSLVPKDKVGHLVVADLETYYELLSSNVELRILNTPFNIQKICPKFQRAQSANVKTPRESWLIEWRVEAPPNPSYPNASCALIRIVAGPHYTELVHVATPYVQQEFTLEVYDQSQFNPPTRKFPLSASPCQDWWTGYTRFVATQTFDGSSPFVNPVGALNWMTYSMSNESGYHSSFDVVEKAYQVVEANLPSTQSNSEIARHTNMMEGRTATRARRRLCIYYFMTLVTLMPFVPSM
+>sp|Q9UKA1|FBXL5_HUMAN F-box/LRR-repeat protein 5 OS=Homo sapiens OX=9606 GN=FBXL5 PE=1 SV=2
+MAPFPEEVDVFTAPHWRMKQLVGLYCDKLSKTNFSNNNDFRALLQSLYATFKEFKMHEQIENEYIIGLLQQRSQTIYNVHSDNKLSEMLSLFEKGLKNVKNEYEQLNYAKQLKERLEAFTRDFLPHMKEEEEVFQPMLMEYFTYEELKDIKKKVIAQHCSQKDTAELLRGLSLWNHAEERQKFFKYSVDEKSDKEAEVSEHSTGITHLPPEVMLSIFSYLNPQELCRCSQVSMKWSQLTKTGSLWKHLYPVHWARGDWYSGPATELDTEPDDEWVKNRKDESRAFHEWDEDADIDESEESAEESIAISIAQMEKRLLHGLIHNVLPYVGTSVKTLVLAYSSAVSSKMVRQILELCPNLEHLDLTQTDISDSAFDSWSWLGCCQSLRHLDLSGCEKITDVALEKISRALGILTSHQSGFLKTSTSKITSTAWKNKDITMQSTKQYACLHDLTNKGIGEEIDNEHPWTKPVSSENFTSPYVWMLDAEDLADIEDTVEWRHRNVESLCVMETASNFSCSTSGCFSKDIVGLRTSVCWQQHCASPAFAYCGHSFCCTGTALRTMSSLPESSAMCRKAARTRLPRGKDLIYFGSEKSDQETGRVLLFLSLSGCYQITDHGLRVLTLGGGLPYLEHLNLSGCLTITGAGLQDLVSACPSLNDEYFYYCDNINGPHADTASGCQNLQCGFRACCRSGE
+>DECOY_sp|Q9UKA1|FBXL5_HUMAN F-box/LRR-repeat protein 5 OS=Homo sapiens OX=9606 GN=FBXL5 PE=1 SV=2
+EGSRCCARFGCQLNQCGSATDAHPGNINDCYYFYEDNLSPCASVLDQLGAGTITLCGSLNLHELYPLGGGLTLVRLGHDTIQYCGSLSLFLLVRGTEQDSKESGFYILDKGRPLRTRAAKRCMASSEPLSSMTRLATGTCCFSHGCYAFAPSACHQQWCVSTRLGVIDKSFCGSTSCSFNSATEMVCLSEVNRHRWEVTDEIDALDEADLMWVYPSTFNESSVPKTWPHENDIEEGIGKNTLDHLCAYQKTSQMTIDKNKWATSTIKSTSTKLFGSQHSTLIGLARSIKELAVDTIKECGSLDLHRLSQCCGLWSWSDFASDSIDTQTLDLHELNPCLELIQRVMKSSVASSYALVLTKVSTGVYPLVNHILGHLLRKEMQAISIAISEEASEESEDIDADEDWEHFARSEDKRNKVWEDDPETDLETAPGSYWDGRAWHVPYLHKWLSGTKTLQSWKMSVQSCRCLEQPNLYSFISLMVEPPLHTIGTSHESVEAEKDSKEDVSYKFFKQREEAHNWLSLGRLLEATDKQSCHQAIVKKKIDKLEEYTFYEMLMPQFVEEEEKMHPLFDRTFAELREKLQKAYNLQEYENKVNKLGKEFLSLMESLKNDSHVNYITQSRQQLLGIIYENEIQEHMKFEKFTAYLSQLLARFDNNNSFNTKSLKDCYLGVLQKMRWHPATFVDVEEPFPAM
+>sp|Q9UJT9|FBXL7_HUMAN F-box/LRR-repeat protein 7 OS=Homo sapiens OX=9606 GN=FBXL7 PE=2 SV=1
+MGANNGKQYGSEGKGSSSISSDVSSSTDHTPTKAQKNVATSEDSDLSMRTLSTPSPALICPPNLPGFQNGRGSSTSSSSITGETVAMVHSPPPTRLTHPLIRLASRPQKEQASIDRLPDHSMVQIFSFLPTNQLCRCARVCRRWYNLAWDPRLWRTIRLTGETINVDRALKVLTRRLCQDTPNVCLMLETVTVSGCRRLTDRGLYTIAQCCPELRRLEVSGCYNISNEAVFDVVSLCPNLEHLDVSGCSKVTCISLTREASIKLSPLHGKQISIRYLDMTDCFVLEDEGLHTIAAHCTQLTHLYLRRCVRLTDEGLRYLVIYCASIKELSVSDCRFVSDFGLREIAKLESRLRYLSIAHCGRVTDVGIRYVAKYCSKLRYLNARGCEGITDHGVEYLAKNCTKLKSLDIGKCPLVSDTGLECLALNCFNLKRLSLKSCESITGQGLQIVAANCFDLQTLNVQDCEVSVEALRFVKRHCKRCVIEHTNPAFF
+>DECOY_sp|Q9UJT9|FBXL7_HUMAN F-box/LRR-repeat protein 7 OS=Homo sapiens OX=9606 GN=FBXL7 PE=2 SV=1
+FFAPNTHEIVCRKCHRKVFRLAEVSVECDQVNLTQLDFCNAAVIQLGQGTISECSKLSLRKLNFCNLALCELGTDSVLPCKGIDLSKLKTCNKALYEVGHDTIGECGRANLYRLKSCYKAVYRIGVDTVRGCHAISLYRLRSELKAIERLGFDSVFRCDSVSLEKISACYIVLYRLGEDTLRVCRRLYLHTLQTCHAAITHLGEDELVFCDTMDLYRISIQKGHLPSLKISAERTLSICTVKSCGSVDLHELNPCLSVVDFVAENSINYCGSVELRRLEPCCQAITYLGRDTLRRCGSVTVTELMLCVNPTDQCLRRTLVKLARDVNITEGTLRITRWLRPDWALNYWRRCVRACRCLQNTPLFSFIQVMSHDPLRDISAQEKQPRSALRILPHTLRTPPPSHVMAVTEGTISSSSTSSGRGNQFGPLNPPCILAPSPTSLTRMSLDSDESTAVNKQAKTPTHDTSSSVDSSISSSGKGESGYQKGNNAGM
+>sp|Q9Y324|FCF1_HUMAN rRNA-processing protein FCF1 homolog OS=Homo sapiens OX=9606 GN=FCF1 PE=2 SV=1
+MGKQKKTRKYATMKRMLSLRDQRLKEKDRLKPKKKEKKDPSALKEREVPQHPSCLFFQYNTQLGPPYHILVDTNFINFSIKAKLDLVQSMMDCLYAKCIPCITDCVMAEIEKLGQKYRVALRIAKDPRFERLPCTHKGTYADDCLVQRVTQHKCYIVATVDRDLKRRIRKIPGVPIMYISNHRYNIERMPDDYGAPRF
+>DECOY_sp|Q9Y324|FCF1_HUMAN rRNA-processing protein FCF1 homolog OS=Homo sapiens OX=9606 GN=FCF1 PE=2 SV=1
+FRPAGYDDPMREINYRHNSIYMIPVGPIKRIRRKLDRDVTAVIYCKHQTVRQVLCDDAYTGKHTCPLREFRPDKAIRLAVRYKQGLKEIEAMVCDTICPICKAYLCDMMSQVLDLKAKISFNIFNTDVLIHYPPGLQTNYQFFLCSPHQPVEREKLASPDKKEKKKPKLRDKEKLRQDRLSLMRKMTAYKRTKKQKGM
+>sp|P31994|FCG2B_HUMAN Low affinity immunoglobulin gamma Fc region receptor II-b OS=Homo sapiens OX=9606 GN=FCGR2B PE=1 SV=2
+MGILSFLPVLATESDWADCKSPQPWGHMLLWTAVLFLAPVAGTPAAPPKAVLKLEPQWINVLQEDSVTLTCRGTHSPESDSIQWFHNGNLIPTHTQPSYRFKANNNDSGEYTCQTGQTSLSDPVHLTVLSEWLVLQTPHLEFQEGETIVLRCHSWKDKPLVKVTFFQNGKSKKFSRSDPNFSIPQANHSHSGDYHCTGNIGYTLYSSKPVTITVQAPSSSPMGIIVAVVTGIAVAAIVAAVVALIYCRKKRISALPGYPECREMGETLPEKPANPTNPDEADKVGAENTITYSLLMHPDALEEPDDQNRI
+>DECOY_sp|P31994|FCG2B_HUMAN Low affinity immunoglobulin gamma Fc region receptor II-b OS=Homo sapiens OX=9606 GN=FCGR2B PE=1 SV=2
+IRNQDDPEELADPHMLLSYTITNEAGVKDAEDPNTPNAPKEPLTEGMERCEPYGPLASIRKKRCYILAVVAAVIAAVAIGTVVAVIIGMPSSSPAQVTITVPKSSYLTYGINGTCHYDGSHSHNAQPISFNPDSRSFKKSKGNQFFTVKVLPKDKWSHCRLVITEGEQFELHPTQLVLWESLVTLHVPDSLSTQGTQCTYEGSDNNNAKFRYSPQTHTPILNGNHFWQISDSEPSHTGRCTLTVSDEQLVNIWQPELKLVAKPPAAPTGAVPALFLVATWLLMHGWPQPSKCDAWDSETALVPLFSLIGM
+>sp|Q96PJ5|FCRL4_HUMAN Fc receptor-like protein 4 OS=Homo sapiens OX=9606 GN=FCRL4 PE=1 SV=1
+MLLWASLLAFAPVCGQSAAAHKPVISVHPPWTTFFKGERVTLTCNGFQFYATEKTTWYHRHYWGEKLTLTPGNTLEVRESGLYRCQARGSPRSNPVRLLFSSDSLILQAPYSVFEGDTLVLRCHRRRKEKLTAVKYTWNGNILSISNKSWDLLIPQASSNNNGNYRCIGYGDENDVFRSNFKIIKIQELFPHPELKATDSQPTEGNSVNLSCETQLPPERSDTPLHFNFFRDGEVILSDWSTYPELQLPTVWRENSGSYWCGAETVRGNIHKHSPSLQIHVQRIPVSGVLLETQPSGGQAVEGEMLVLVCSVAEGTGDTTFSWHREDMQESLGRKTQRSLRAELELPAIRQSHAGGYYCTADNSYGPVQSMVLNVTVRETPGNRDGLVAAGATGGLLSALLLAVALLFHCWRRRKSGVGFLGDETRLPPAPGPGESSHSICPAQVELQSLYVDVHPKKGDLVYSEIQTTQLGEEEEANTSRTLLEDKDVSVVYSEVKTQHPDNSAGKISSKDEES
+>DECOY_sp|Q96PJ5|FCRL4_HUMAN Fc receptor-like protein 4 OS=Homo sapiens OX=9606 GN=FCRL4 PE=1 SV=1
+SEEDKSSIKGASNDPHQTKVESYVVSVDKDELLTRSTNAEEEEGLQTTQIESYVLDGKKPHVDVYLSQLEVQAPCISHSSEGPGPAPPLRTEDGLFGVGSKRRRWCHFLLAVALLLASLLGGTAGAAVLGDRNGPTERVTVNLVMSQVPGYSNDATCYYGGAHSQRIAPLELEARLSRQTKRGLSEQMDERHWSFTTDGTGEAVSCVLVLMEGEVAQGGSPQTELLVGSVPIRQVHIQLSPSHKHINGRVTEAGCWYSGSNERWVTPLQLEPYTSWDSLIVEGDRFFNFHLPTDSREPPLQTECSLNVSNGETPQSDTAKLEPHPFLEQIKIIKFNSRFVDNEDGYGICRYNGNNNSSAQPILLDWSKNSISLINGNWTYKVATLKEKRRRHCRLVLTDGEFVSYPAQLILSDSSFLLRVPNSRPSGRAQCRYLGSERVELTNGPTLTLKEGWYHRHYWTTKETAYFQFGNCTLTVREGKFFTTWPPHVSIVPKHAAASQGCVPAFALLSAWLLM
+>sp|Q7L513|FCRLA_HUMAN Fc receptor-like A OS=Homo sapiens OX=9606 GN=FCRLA PE=1 SV=2
+MKLGCVLMAWALYLSLGVLWVAQMLLAASFETLQCEGPVCTEESSCHTEDDLTDAREAGFQVKAYTFSEPFHLIVSYDWLILQGPAKPVFEGDLLVLRCQAWQDWPLTQVTFYRDGSALGPPGPNREFSITVVQKADSGHYHCSGIFQSPGPGIPETASVVAITVQELFPAPILRAVPSAEPQAGSPMTLSCQTKLPLQRSAARLLFSFYKDGRIVQSRGLSSEFQIPTASEDHSGSYWCEAATEDNQVWKQSPQLEIRVQGASSSAAPPTLNPAPQKSAAPGTAPEEAPGPLPPPPTPSSEDPGFSSPLGMPDPHLYHQMGLLLKHMQDVRVLLGHLLMELRELSGHRKPGTTKATAE
+>DECOY_sp|Q7L513|FCRLA_HUMAN Fc receptor-like A OS=Homo sapiens OX=9606 GN=FCRLA PE=1 SV=2
+EATAKTTGPKRHGSLERLEMLLHGLLVRVDQMHKLLLGMQHYLHPDPMGLPSSFGPDESSPTPPPPLPGPAEEPATGPAASKQPAPNLTPPAASSSAGQVRIELQPSQKWVQNDETAAECWYSGSHDESATPIQFESSLGRSQVIRGDKYFSFLLRAASRQLPLKTQCSLTMPSGAQPEASPVARLIPAPFLEQVTIAVVSATEPIGPGPSQFIGSCHYHGSDAKQVVTISFERNPGPPGLASGDRYFTVQTLPWDQWAQCRLVLLDGEFVPKAPGQLILWDYSVILHFPESFTYAKVQFGAERADTLDDETHCSSEETCVPGECQLTEFSAALLMQAVWLVGLSLYLAWAMLVCGLKM
+>sp|Q96RJ6|FER3L_HUMAN Fer3-like protein OS=Homo sapiens OX=9606 GN=FERD3L PE=1 SV=1
+MAAYPESCVDTTVLDFVADLSLASPRRPLLCDFAPGVSLGDPALALREGRPRRMARFEEGDPEEEECEVDQGDGEEEEEEERGRGVSLLGRPKRKRVITYAQRQAANIRERKRMFNLNEAFDQLRRKVPTFAYEKRLSRIETLRLAIVYISFMTELLESCEKKESG
+>DECOY_sp|Q96RJ6|FER3L_HUMAN Fer3-like protein OS=Homo sapiens OX=9606 GN=FERD3L PE=1 SV=1
+GSEKKECSELLETMFSIYVIALRLTEIRSLRKEYAFTPVKRRLQDFAENLNFMRKRERINAAQRQAYTIVRKRKPRGLLSVGRGREEEEEEEGDGQDVECEEEEPDGEEFRAMRRPRGERLALAPDGLSVGPAFDCLLPRRPSALSLDAVFDLVTTDVCSEPYAAM
+>sp|P22455|FGFR4_HUMAN Fibroblast growth factor receptor 4 OS=Homo sapiens OX=9606 GN=FGFR4 PE=1 SV=2
+MRLLLALLGVLLSVPGPPVLSLEASEEVELEPCLAPSLEQQEQELTVALGQPVRLCCGRAERGGHWYKEGSRLAPAGRVRGWRGRLEIASFLPEDAGRYLCLARGSMIVLQNLTLITGDSLTSSNDDEDPKSHRDPSNRHSYPQQAPYWTHPQRMEKKLHAVPAGNTVKFRCPAAGNPTPTIRWLKDGQAFHGENRIGGIRLRHQHWSLVMESVVPSDRGTYTCLVENAVGSIRYNYLLDVLERSPHRPILQAGLPANTTAVVGSDVELLCKVYSDAQPHIQWLKHIVINGSSFGADGFPYVQVLKTADINSSEVEVLYLRNVSAEDAGEYTCLAGNSIGLSYQSAWLTVLPEEDPTWTAAAPEARYTDIILYASGSLALAVLLLLAGLYRGQALHGRHPRPPATVQKLSRFPLARQFSLESGSSGKSSSSLVRGVRLSSSGPALLAGLVSLDLPLDPLWEFPRDRLVLGKPLGEGCFGQVVRAEAFGMDPARPDQASTVAVKMLKDNASDKDLADLVSEMEVMKLIGRHKNIINLLGVCTQEGPLYVIVECAAKGNLREFLRARRPPGPDLSPDGPRSSEGPLSFPVLVSCAYQVARGMQYLESRKCIHRDLAARNVLVTEDNVMKIADFGLARGVHHIDYYKKTSNGRLPVKWMAPEALFDRVYTHQSDVWSFGILLWEIFTLGGSPYPGIPVEELFSLLREGHRMDRPPHCPPELYGLMRECWHAAPSQRPTFKQLVEALDKVLLAVSEEYLDLRLTFGPYSPSGGDASSTCSSSDSVFSHDPLPLGSSSFPFGSGVQT
+>DECOY_sp|P22455|FGFR4_HUMAN Fibroblast growth factor receptor 4 OS=Homo sapiens OX=9606 GN=FGFR4 PE=1 SV=2
+TQVGSGFPFSSSGLPLPDHSFVSDSSSCTSSADGGSPSYPGFTLRLDLYEESVALLVKDLAEVLQKFTPRQSPAAHWCERMLGYLEPPCHPPRDMRHGERLLSFLEEVPIGPYPSGGLTFIEWLLIGFSWVDSQHTYVRDFLAEPAMWKVPLRGNSTKKYYDIHHVGRALGFDAIKMVNDETVLVNRAALDRHICKRSELYQMGRAVQYACSVLVPFSLPGESSRPGDPSLDPGPPRRARLFERLNGKAACEVIVYLPGEQTCVGLLNIINKHRGILKMVEMESVLDALDKDSANDKLMKVAVTSAQDPRAPDMGFAEARVVQGFCGEGLPKGLVLRDRPFEWLPDLPLDLSVLGALLAPGSSSLRVGRVLSSSSKGSSGSELSFQRALPFRSLKQVTAPPRPHRGHLAQGRYLGALLLLVALALSGSAYLIIDTYRAEPAAATWTPDEEPLVTLWASQYSLGISNGALCTYEGADEASVNRLYLVEVESSNIDATKLVQVYPFGDAGFSSGNIVIHKLWQIHPQADSYVKCLLEVDSGVVATTNAPLGAQLIPRHPSRELVDLLYNYRISGVANEVLCTYTGRDSPVVSEMVLSWHQHRLRIGGIRNEGHFAQGDKLWRITPTPNGAAPCRFKVTNGAPVAHLKKEMRQPHTWYPAQQPYSHRNSPDRHSKPDEDDNSSTLSDGTILTLNQLVIMSGRALCLYRGADEPLFSAIELRGRWGRVRGAPALRSGEKYWHGGREARGCCLRVPQGLAVTLEQEQQELSPALCPELEVEESAELSLVPPGPVSLLVGLLALLLRM
+>sp|Q99645|EPYC_HUMAN Epiphycan OS=Homo sapiens OX=9606 GN=EPYC PE=2 SV=3
+MKTLAGLVLGLVIFDAAVTAPTLESINYDSETYDATLEDLDNLYNYENIPVDKVEIEIATVMPSGNRELLTPPPQPEKAQEEEEEEESTPRLIDGSSPQEPEFTGVLGPHTNEDFPTCLLCTCISTTVYCDDHELDAIPPLPKNTAYFYSRFNRIKKINKNDFASLSDLKRIDLTSNLISEIDEDAFRKLPQLRELVLRDNKIRQLPELPTTLTFIDISNNRLGRKGIKQEAFKDMYDLHHLYLTDNNLDHIPLPLPENLRALHLQNNNILEMHEDTFCNVKNLTYIRKALEDIRLDGNPINLSKTPQAYMCLPRLPVGSLV
+>DECOY_sp|Q99645|EPYC_HUMAN Epiphycan OS=Homo sapiens OX=9606 GN=EPYC PE=2 SV=3
+VLSGVPLRPLCMYAQPTKSLNIPNGDLRIDELAKRIYTLNKVNCFTDEHMELINNNQLHLARLNEPLPLPIHDLNNDTLYLHHLDYMDKFAEQKIGKRGLRNNSIDIFTLTTPLEPLQRIKNDRLVLERLQPLKRFADEDIESILNSTLDIRKLDSLSAFDNKNIKKIRNFRSYFYATNKPLPPIADLEHDDCYVTTSICTCLLCTPFDENTHPGLVGTFEPEQPSSGDILRPTSEEEEEEEQAKEPQPPPTLLERNGSPMVTAIEIEVKDVPINEYNYLNDLDELTADYTESDYNISELTPATVAADFIVLGLVLGALTKM
+>sp|Q6P179|ERAP2_HUMAN Endoplasmic reticulum aminopeptidase 2 OS=Homo sapiens OX=9606 GN=ERAP2 PE=1 SV=2
+MFHSSAMVNSHRKPMFNIHRGFYCLTAILPQICICSQFSVPSSYHFTEDPGAFPVATNGERFPWQELRLPSVVIPLHYDLFVHPNLTSLDFVASEKIEVLVSNATQFIILHSKDLEITNATLQSEEDSRYMKPGKELKVLSYPAHEQIALLVPEKLTPHLKYYVAMDFQAKLGDGFEGFYKSTYRTLGGETRILAVTDFEPTQARMAFPCFDEPLFKANFSIKIRRESRHIALSNMPKVKTIELEGGLLEDHFETTVKMSTYLVAYIVCDFHSLSGFTSSGVKVSIYASPDKRNQTHYALQASLKLLDFYEKYFDIYYPLSKLDLIAIPDFAPGAMENWGLITYRETSLLFDPKTSSASDKLWVTRVIAHELAHQWFGNLVTMEWWNDIWLKEGFAKYMELIAVNATYPELQFDDYFLNVCFEVITKDSLNSSRPISKPAETPTQIQEMFDEVSYNKGACILNMLKDFLGEEKFQKGIIQYLKKFSYRNAKNDDLWSSLSNSCLESDFTSGGVCHSDPKMTSNMLAFLGENAEVKEMMTTWTLQKGIPLLVVKQDGCSLRLQQERFLQGVFQEDPEWRALQERYLWHIPLTYSTSSSNVIHRHILKSKTDTLDLPEKTSWVKFNVDSNGYYIVHYEGHGWDQLITQLNQNHTLLRPKDRVGLIHDVFQLVGAGRLTLDKALDMTYYLQHETSSPALLEGLSYLESFYHMMDRRNISDISENLKRYLLQYFKPVIDRQSWSDKGSVWDRMLRSALLKLACDLNHAPCIQKAAELFSQWMESSGKLNIPTDVLKIVYSVGAQTTAGWNYLLEQYELSMSSAEQNKILYALSTSKHQEKLLKLIELGMEGKVIKTQNLAALLHAIARRPKGQQLAWDFVRENWTHLLKKFDLGSYDIRMIISGTTAHFSSKDKLQEVKLFFESLEAQGSHLDIFQTVLETITKNIKWLEKNLPTLRTWLMVNT
+>DECOY_sp|Q6P179|ERAP2_HUMAN Endoplasmic reticulum aminopeptidase 2 OS=Homo sapiens OX=9606 GN=ERAP2 PE=1 SV=2
+TNVMLWTRLTPLNKELWKINKTITELVTQFIDLHSGQAELSEFFLKVEQLKDKSSFHATTGSIIMRIDYSGLDFKKLLHTWNERVFDWALQQGKPRRAIAHLLAALNQTKIVKGEMGLEILKLLKEQHKSTSLAYLIKNQEASSMSLEYQELLYNWGATTQAGVSYVIKLVDTPINLKGSSEMWQSFLEAAKQICPAHNLDCALKLLASRLMRDWVSGKDSWSQRDIVPKFYQLLYRKLNESIDSINRRDMMHYFSELYSLGELLAPSSTEHQLYYTMDLAKDLTLRGAGVLQFVDHILGVRDKPRLLTHNQNLQTILQDWGHGEYHVIYYGNSDVNFKVWSTKEPLDLTDTKSKLIHRHIVNSSSTSYTLPIHWLYREQLARWEPDEQFVGQLFREQQLRLSCGDQKVVLLPIGKQLTWTTMMEKVEANEGLFALMNSTMKPDSHCVGGSTFDSELCSNSLSSWLDDNKANRYSFKKLYQIIGKQFKEEGLFDKLMNLICAGKNYSVEDFMEQIQTPTEAPKSIPRSSNLSDKTIVEFCVNLFYDDFQLEPYTANVAILEMYKAFGEKLWIDNWWEMTVLNGFWQHALEHAIVRTVWLKDSASSTKPDFLLSTERYTILGWNEMAGPAFDPIAILDLKSLPYYIDFYKEYFDLLKLSAQLAYHTQNRKDPSAYISVKVGSSTFGSLSHFDCVIYAVLYTSMKVTTEFHDELLGGELEITKVKPMNSLAIHRSERRIKISFNAKFLPEDFCPFAMRAQTPEFDTVALIRTEGGLTRYTSKYFGEFGDGLKAQFDMAVYYKLHPTLKEPVLLAIQEHAPYSLVKLEKGPKMYRSDEESQLTANTIELDKSHLIIFQTANSVLVEIKESAVFDLSTLNPHVFLDYHLPIVVSPLRLEQWPFREGNTAVPFAGPDETFHYSSPVSFQSCICIQPLIATLCYFGRHINFMPKRHSNVMASSHFM
+>sp|P28715|ERCC5_HUMAN DNA repair protein complementing XP-G cells OS=Homo sapiens OX=9606 GN=ERCC5 PE=1 SV=3
+MGVQGLWKLLECSGRQVSPEALEGKILAVDISIWLNQALKGVRDRHGNSIENPHLLTLFHRLCKLLFFRIRPIFVFDGDAPLLKKQTLVKRRQRKDLASSDSRKTTEKLLKTFLKRQAIKTAFRSKRDEALPSLTQVRRENDLYVLPPLQEEEKHSSEEEDEKEWQERMNQKQALQEEFFHNPQAIDIESEDFSSLPPEVKHEILTDMKEFTKRRRTLFEAMPEESDDFSQYQLKGLLKKNYLNQHIEHVQKEMNQQHSGHIRRQYEDEGGFLKEVESRRVVSEDTSHYILIKGIQAKTVAEVDSESLPSSSKMHGMSFDVKSSPCEKLKTEKEPDATPPSPRTLLAMQAALLGSSSEEELESENRRQARGRNAPAAVDEGSISPRTLSAIKRALDDDEDVKVCAGDDVQTGGPGAEEMRINSSTENSDEGLKVRDGKGIPFTATLASSSVNSAEEHVASTNEGREPTDSVPKEQMSLVHVGTEAFPISDESMIKDRKDRLPLESAVVRHSDAPGLPNGRELTPASPTCTNSVSKNETHAEVLEQQNELCPYESKFDSSLLSSDDETKCKPNSASEVIGPVSLQETSSIVSVPSEAVDNVENVVSFNAKEHENFLETIQEQQTTESAGQDLISIPKAVEPMEIDSEESESDGSFIEVQSVISDEELQAEFPETSKPPSEQGEEELVGTREGEAPAESESLLRDNSERDDVDGEPQEAEKDAEDSLHEWQDINLEELETLESNLLAQQNSLKAQKQQQERIAATVTGQMFLESQELLRLFGIPYIQAPMEAEAQCAILDLTDQTSGTITDDSDIWLFGARHVYRNFFNKNKFVEYYQYVDFHNQLGLDRNKLINLAYLLGSDYTEGIPTVGCVTAMEILNEFPGHGLEPLLKFSEWWHEAQKNPKIRPNPHDTKVKKKLRTLQLTPGFPNPAVAEAYLKPVVDDSKGSFLWGKPDLDKIREFCQRYFGWNRTKTDESLFPVLKQLDAQQTQLRIDSFFRLAQQEKEDAKRIKSQRLNRAVTCMLRKEKEAAASEIEAVSVAMEKEFELLDKAKGKTQKRGITNTLEESSSLKRKRLSDSKGKNTCGGFLGETCLSESSDGSSSEDAESSSLMNVQRRTAAKEPKTSASDSQNSVKEAPVKNGGATTSSSSDSDDDGGKEKMVLVTARSVFGKKRRKLRRARGRKRKT
+>DECOY_sp|P28715|ERCC5_HUMAN DNA repair protein complementing XP-G cells OS=Homo sapiens OX=9606 GN=ERCC5 PE=1 SV=3
+TKRKRGRARRLKRRKKGFVSRATVLVMKEKGGDDDSDSSSSTTAGGNKVPAEKVSNQSDSASTKPEKAATRRQVNMLSSSEADESSSGDSSESLCTEGLFGGCTNKGKSDSLRKRKLSSSEELTNTIGRKQTKGKAKDLLEFEKEMAVSVAEIESAAAEKEKRLMCTVARNLRQSKIRKADEKEQQALRFFSDIRLQTQQADLQKLVPFLSEDTKTRNWGFYRQCFERIKDLDPKGWLFSGKSDDVVPKLYAEAVAPNPFGPTLQLTRLKKKVKTDHPNPRIKPNKQAEHWWESFKLLPELGHGPFENLIEMATVCGVTPIGETYDSGLLYALNILKNRDLGLQNHFDVYQYYEVFKNKNFFNRYVHRAGFLWIDSDDTITGSTQDTLDLIACQAEAEMPAQIYPIGFLRLLEQSELFMQGTVTAAIREQQQKQAKLSNQQALLNSELTELEELNIDQWEHLSDEADKEAEQPEGDVDDRESNDRLLSESEAPAEGERTGVLEEEGQESPPKSTEPFEAQLEEDSIVSQVEIFSGDSESEESDIEMPEVAKPISILDQGASETTQQEQITELFNEHEKANFSVVNEVNDVAESPVSVISSTEQLSVPGIVESASNPKCKTEDDSSLLSSDFKSEYPCLENQQELVEAHTENKSVSNTCTPSAPTLERGNPLGPADSHRVVASELPLRDKRDKIMSEDSIPFAETGVHVLSMQEKPVSDTPERGENTSAVHEEASNVSSSALTATFPIGKGDRVKLGEDSNETSSNIRMEEAGPGGTQVDDGACVKVDEDDDLARKIASLTRPSISGEDVAAPANRGRAQRRNESELEEESSSGLLAAQMALLTRPSPPTADPEKETKLKECPSSKVDFSMGHMKSSSPLSESDVEAVTKAQIGKILIYHSTDESVVRRSEVEKLFGGEDEYQRRIHGSHQQNMEKQVHEIHQNLYNKKLLGKLQYQSFDDSEEPMAEFLTRRRKTFEKMDTLIEHKVEPPLSSFDESEIDIAQPNHFFEEQLAQKQNMREQWEKEDEEESSHKEEEQLPPLVYLDNERRVQTLSPLAEDRKSRFATKIAQRKLFTKLLKETTKRSDSSALDKRQRRKVLTQKKLLPADGDFVFIPRIRFFLLKCLRHFLTLLHPNEISNGHRDRVGKLAQNLWISIDVALIKGELAEPSVQRGSCELLKWLGQVGM
+>sp|Q13216|ERCC8_HUMAN DNA excision repair protein ERCC-8 OS=Homo sapiens OX=9606 GN=ERCC8 PE=1 SV=1
+MLGFLSARQTGLEDPLRLRRAESTRRVLGLELNKDRDVERIHGGGINTLDIEPVEGRYMLSGGSDGVIVLYDLENSSRQSYYTCKAVCSIGRDHPDVHRYSVETVQWYPHDTGMFTSSSFDKTLKVWDTNTLQTADVFNFEETVYSHHMSPVSTKHCLVAVGTRGPKVQLCDLKSGSCSHILQGHRQEILAVSWSPRYDYILATASADSRVKLWDVRRASGCLITLDQHNGKKSQAVESANTAHNGKVNGLCFTSDGLHLLTVGTDNRMRLWNSSNGENTLVNYGKVCNNSKKGLKFTVSCGCSSEFVFVPYGSTIAVYTVYSGEQITMLKGHYKTVDCCVFQSNFQELYSGSRDCNILAWVPSLYEPVPDDDETTTKSQLNPAFEDAWSSSDEEG
+>DECOY_sp|Q13216|ERCC8_HUMAN DNA excision repair protein ERCC-8 OS=Homo sapiens OX=9606 GN=ERCC8 PE=1 SV=1
+GEEDSSSWADEFAPNLQSKTTTEDDDPVPEYLSPVWALINCDRSGSYLEQFNSQFVCCDVTKYHGKLMTIQEGSYVTYVAITSGYPVFVFESSCGCSVTFKLGKKSNNCVKGYNVLTNEGNSSNWLRMRNDTGVTLLHLGDSTFCLGNVKGNHATNASEVAQSKKGNHQDLTILCGSARRVDWLKVRSDASATALIYDYRPSWSVALIEQRHGQLIHSCSGSKLDCLQVKPGRTGVAVLCHKTSVPSMHHSYVTEEFNFVDATQLTNTDWVKLTKDFSSSTFMGTDHPYWQVTEVSYRHVDPHDRGISCVAKCTYYSQRSSNELDYLVIVGDSGGSLMYRGEVPEIDLTNIGGGHIREVDRDKNLELGLVRRTSEARRLRLPDELGTQRASLFGLM
+>sp|P24390|ERD21_HUMAN ER lumen protein-retaining receptor 1 OS=Homo sapiens OX=9606 GN=KDELR1 PE=1 SV=1
+MNLFRFLGDLSHLLAIILLLLKIWKSRSCAGISGKSQVLFAVVFTARYLDLFTNYISLYNTCMKVVYIACSFTTVWLIYSKFKATYDGNHDTFRVEFLVVPTAILAFLVNHDFTPLEILWTFSIYLESVAILPQLFMVSKTGEAETITSHYLFALGVYRTLYLFNWIWRYHFEGFFDLIAIVAGLVQTVLYCDFFYLYITKVLKGKKLSLPA
+>DECOY_sp|P24390|ERD21_HUMAN ER lumen protein-retaining receptor 1 OS=Homo sapiens OX=9606 GN=KDELR1 PE=1 SV=1
+APLSLKKGKLVKTIYLYFFDCYLVTQVLGAVIAILDFFGEFHYRWIWNFLYLTRYVGLAFLYHSTITEAEGTKSVMFLQPLIAVSELYISFTWLIELPTFDHNVLFALIATPVVLFEVRFTDHNGDYTAKFKSYILWVTTFSCAIYVVKMCTNYLSIYNTFLDLYRATFVVAFLVQSKGSIGACSRSKWIKLLLLIIALLHSLDGLFRFLNM
+>sp|O76062|ERG24_HUMAN Delta(14)-sterol reductase OS=Homo sapiens OX=9606 GN=TM7SF2 PE=2 SV=3
+MAPTQGPRAPLEFGGPLGAAALLLLLPATMFHLLLAARSGPARLLGPPASLPGLEVLWSPRALLLWLAWLGLQAALYLLPARKVAEGQELKDKSRLRYPINGFQALVLTALLVGLGMSAGLPLGALPEMLLPLAFVATLTAFIFSLFLYMKAQVAPVSALAPGGNSGNPIYDFFLGRELNPRICFFDFKYFCELRPGLIGWVLINLALLMKEAELRGSPSLAMWLVNGFQLLYVGDALWHEEAVLTTMDITHDGFGFMLAFGDMAWVPFTYSLQAQFLLHHPQPLGLPMASVICLINATGYYIFRGANSQKNTFRKNPSDPRVAGLETISTATGRKLLVSGWWGMVRHPNYLGDLIMALAWSLPCGVSHLLPYFYLLYFTALLVHREARDERQCLQKYGLAWQEYCRRVPYRIMPYIY
+>DECOY_sp|O76062|ERG24_HUMAN Delta(14)-sterol reductase OS=Homo sapiens OX=9606 GN=TM7SF2 PE=2 SV=3
+YIYPMIRYPVRRCYEQWALGYKQLCQREDRAERHVLLATFYLLYFYPLLHSVGCPLSWALAMILDGLYNPHRVMGWWGSVLLKRGTATSITELGAVRPDSPNKRFTNKQSNAGRFIYYGTANILCIVSAMPLGLPQPHHLLFQAQLSYTFPVWAMDGFALMFGFGDHTIDMTTLVAEEHWLADGVYLLQFGNVLWMALSPSGRLEAEKMLLALNILVWGILGPRLECFYKFDFFCIRPNLERGLFFDYIPNGSNGGPALASVPAVQAKMYLFLSFIFATLTAVFALPLLMEPLAGLPLGASMGLGVLLATLVLAQFGNIPYRLRSKDKLEQGEAVKRAPLLYLAAQLGLWALWLLLARPSWLVELGPLSAPPGLLRAPGSRAALLLHFMTAPLLLLLAAAGLPGGFELPARPGQTPAM
+>sp|Q9UKR5|ERG28_HUMAN Probable ergosterol biosynthetic protein 28 OS=Homo sapiens OX=9606 GN=ERG28 PE=1 SV=1
+MSRFLNVLRSWLVMVSIIAMGNTLQSFRDHTFLYEKLYTGKPNLVNGLQARTFGIWTLLSSVIRCLCAIDIHNKTLYHITLWTFLLALGHFLSELFVYGTAAPTIGVLAPLMVASFSILGMLVGLRYLEVEPVSRQKKRN
+>DECOY_sp|Q9UKR5|ERG28_HUMAN Probable ergosterol biosynthetic protein 28 OS=Homo sapiens OX=9606 GN=ERG28 PE=1 SV=1
+NRKKQRSVPEVELYRLGVLMGLISFSAVMLPALVGITPAATGYVFLESLFHGLALLFTWLTIHYLTKNHIDIACLCRIVSSLLTWIGFTRAQLGNVLNPKGTYLKEYLFTHDRFSQLTNGMAIISVMVLWSRLVNLFRSM
+>sp|Q96RQ1|ERGI2_HUMAN Endoplasmic reticulum-Golgi intermediate compartment protein 2 OS=Homo sapiens OX=9606 GN=ERGIC2 PE=1 SV=2
+MRRLNRKKTLSLVKELDAFPKVPESYVETSASGGTVSLIAFTTMALLTIMEFSVYQDTWMKYEYEVDKDFSSKLRINIDITVAMKCQYVGADVLDLAETMVASADGLVYEPTVFDLSPQQKEWQRMLQLIQSRLQEEHSLQDVIFKSAFKSTSTALPPREDDSSQSPNACRIHGHLYVNKVAGNFHITVGKAIPHPRGHAHLAALVNHESYNFSHRIDHLSFGELVPAIINPLDGTEKIAIDHNQMFQYFITVVPTKLHTYKISADTHQFSVTERERIINHAAGSHGVSGIFMKYDLSSLMVTVTEEHMPFWQFFVRLCGIVGGIFSTTGMLHGIGKFIVEIICCRFRLGSYKPVNSVPFEDGHTDNHLPLLENNTH
+>DECOY_sp|Q96RQ1|ERGI2_HUMAN Endoplasmic reticulum-Golgi intermediate compartment protein 2 OS=Homo sapiens OX=9606 GN=ERGIC2 PE=1 SV=2
+HTNNELLPLHNDTHGDEFPVSNVPKYSGLRFRCCIIEVIFKGIGHLMGTTSFIGGVIGCLRVFFQWFPMHEETVTVMLSSLDYKMFIGSVGHSGAAHNIIRERETVSFQHTDASIKYTHLKTPVVTIFYQFMQNHDIAIKETGDLPNIIAPVLEGFSLHDIRHSFNYSEHNVLAALHAHGRPHPIAKGVTIHFNGAVKNVYLHGHIRCANPSQSSDDERPPLATSTSKFASKFIVDQLSHEEQLRSQILQLMRQWEKQQPSLDFVTPEYVLGDASAVMTEALDLVDAGVYQCKMAVTIDINIRLKSSFDKDVEYEYKMWTDQYVSFEMITLLAMTTFAILSVTGGSASTEVYSEPVKPFADLEKVLSLTKKRNLRRM
+>sp|Q86X53|ERIC1_HUMAN Glutamate-rich protein 1 OS=Homo sapiens OX=9606 GN=ERICH1 PE=1 SV=1
+MAAHRKHVFVEKVLQRLFPPVPSGQGKREPQTLAVQNPPKKVTSEKVSQKHAEPLTDTGSETPTARRLYTASGPPEGYVPCWPEPSSCGSPENASSGDDTEDQDPHDQPKRRRIRKHKSKKKFKNPNNVLIEQAELEKQQSLLQEKSQRQHTDGTTISKNKKRKLKKKQQIKRKKAAGLAAKAAGVSFMYQPEDSSNEGEGVGEACEEDGVDTSEEDPTLAGEEDVKDTREEDGADASEEDLTRARQEEGADASEEDPTPAGEEDVKDAREEDGVDTIEEDLTRAGEEDGKDTREEDGADASEEDPTWAGEEEGADSGEEDGADASEEDDTITNEKAHSILNFLKSTQEMYFYDGVSRDAASAALADAAEELLDRLASHSMLPSDVSILYHMKTLLLLQDTERLKHALEMFPEHCTMPPDHARVISAFFSYWITHILPEKSSD
+>DECOY_sp|Q86X53|ERIC1_HUMAN Glutamate-rich protein 1 OS=Homo sapiens OX=9606 GN=ERICH1 PE=1 SV=1
+DSSKEPLIHTIWYSFFASIVRAHDPPMTCHEPFMELAHKLRETDQLLLLTKMHYLISVDSPLMSHSALRDLLEEAADALAASAADRSVGDYFYMEQTSKLFNLISHAKENTITDDEESADAGDEEGSDAGEEEGAWTPDEESADAGDEERTDKGDEEGARTLDEEITDVGDEERADKVDEEGAPTPDEESADAGEEQRARTLDEESADAGDEERTDKVDEEGALTPDEESTDVGDEECAEGVGEGENSSDEPQYMFSVGAAKAALGAAKKRKIQQKKKLKRKKNKSITTGDTHQRQSKEQLLSQQKELEAQEILVNNPNKFKKKSKHKRIRRRKPQDHPDQDETDDGSSANEPSGCSSPEPWCPVYGEPPGSATYLRRATPTESGTDTLPEAHKQSVKESTVKKPPNQVALTQPERKGQGSPVPPFLRQLVKEVFVHKRHAAM
+>sp|Q9BS26|ERP44_HUMAN Endoplasmic reticulum resident protein 44 OS=Homo sapiens OX=9606 GN=ERP44 PE=1 SV=1
+MHPAVFLSLPDLRCSLLLLVTWVFTPVTTEITSLDTENIDEILNNADVALVNFYADWCRFSQMLHPIFEEASDVIKEEFPNENQVVFARVDCDQHSDIAQRYRISKYPTLKLFRNGMMMKREYRGQRSVKALADYIRQQKSDPIQEIRDLAEITTLDRSKRNIIGYFEQKDSDNYRVFERVANILHDDCAFLSAFGDVSKPERYSGDNIIYKPPGHSAPDMVYLGAMTNFDVTYNWIQDKCVPLVREITFENGEELTEEGLPFLILFHMKEDTESLEIFQNEVARQLISEKGTINFLHADCDKFRHPLLHIQKTPADCPVIAIDSFRHMYVFGDFKDVLIPGKLKQFVFDLHSGKLHREFHHGPDPTDTAPGEQAQDVASSPPESSFQKLAPSEYRYTLLRDRDEL
+>DECOY_sp|Q9BS26|ERP44_HUMAN Endoplasmic reticulum resident protein 44 OS=Homo sapiens OX=9606 GN=ERP44 PE=1 SV=1
+LEDRDRLLTYRYESPALKQFSSEPPSSAVDQAQEGPATDTPDPGHHFERHLKGSHLDFVFQKLKGPILVDKFDGFVYMHRFSDIAIVPCDAPTKQIHLLPHRFKDCDAHLFNITGKESILQRAVENQFIELSETDEKMHFLILFPLGEETLEEGNEFTIERVLPVCKDQIWNYTVDFNTMAGLYVMDPASHGPPKYIINDGSYREPKSVDGFASLFACDDHLINAVREFVRYNDSDKQEFYGIINRKSRDLTTIEALDRIEQIPDSKQQRIYDALAKVSRQGRYERKMMMGNRFLKLTPYKSIRYRQAIDSHQDCDVRAFVVQNENPFEEKIVDSAEEFIPHLMQSFRCWDAYFNVLAVDANNLIEDINETDLSTIETTVPTFVWTVLLLLSCRLDPLSLFVAPHM
+>sp|P0DP91|ERPG3_HUMAN Chimeric ERCC6-PGBD3 protein OS=Homo sapiens OX=9606 GN=CSB-PGBD3 PE=1 SV=1
+MPNEGIPHSSQTQEQDCLQSQPVSNNEEMAIKQESGGDGEVEEYLSFRSVGDGLSTSAVGCASAAPRRGPALLHIDRHQIQAVEPSAQALELQGLGVDVYDQDVLEQGVLQQVDNAIHEASRASQLVDVEKEYRSVLDDLTSCTTSLRQINKIIEQLSPQAATSRDINRKLDSVKRQKYNKEQQLKKITAKQKHLQAILGGAEVKIELDHASLEEDAEPGPSSLGSMLMPVQETAWEELIRTGQMTPFGTQIPQKQEKKPRKIMLNEASGFEKYLADQAKLSFERKKQGCNKRAARKAPAPVTPPAPVQNKNKPNKKARVLSKKEERLKKHIKKLQKRALQFQGKVGLPKARRPWESDMRPEAEGDSEGEESEYFPTEEEEEEEDDEVEGAEADLSGDGTDYELKPLPKGGKRQKKVPVQEIDDDFFPSSGEEAEAASVGEGGGGGRKVGRYRDDGDEDYYKQRLSPKMPRTLSLHEITDLLETDDSIEASAIVIQPPENATAPVSDEESGDEEGGTINNLPGSLLHTAAYLIQDGSDAESDSDDPSYAPKDDSPDEVPSTFTVQQPPPSRRRKMTKILCKWKKADLTVQPVAGRVTAPPNDFFTVMRTPTEILELFLDDEVIELIVKYSNLYACSKGVHLGLTSSEFKCFLGIIFLSGYVSVPRRRMFWEQRTDVHNVLVSAAMRRDRFETIFSNLHVADNANLDPVDKFSKLRPLISKLNERCMKFVPNETYFSFDEFMVPYFGRHGCKQFIRGKPIRFGYKFWCGATCLGYICWFQPYQGKNPNTKHEEYGVGASLVLQFSEALTEAHPGQYHFVFNNFFTSIALLDKLSSMGHQATGTVRKDHIDRVPLESDVALKKKERGTFDYRIDGKGNIVCRWNDNSVVTVASSGAGIHPLCLVSRYSQKLKKKIQVQQPNMIKVYNQFMGGVDRADENIDKYRASIRGKKWYSSPLLFCFELVLQNAWQLHKTYDEKPVDFLEFRRRVVCHYLETHGHPPEPGQKGRPQKRNIDSRYDGINHVIVKQGKQTRCAECHKNTTFRCEKCDVALHVKCSVEYHTE
+>DECOY_sp|P0DP91|ERPG3_HUMAN Chimeric ERCC6-PGBD3 protein OS=Homo sapiens OX=9606 GN=CSB-PGBD3 PE=1 SV=1
+ETHYEVSCKVHLAVDCKECRFTTNKHCEACRTQKGQKVIVHNIGDYRSDINRKQPRGKQGPEPPHGHTELYHCVVRRRFELFDVPKEDYTKHLQWANQLVLEFCFLLPSSYWKKGRISARYKDINEDARDVGGMFQNYVKIMNPQQVQIKKKLKQSYRSVLCLPHIGAGSSAVTVVSNDNWRCVINGKGDIRYDFTGREKKKLAVDSELPVRDIHDKRVTGTAQHGMSSLKDLLAISTFFNNFVFHYQGPHAETLAESFQLVLSAGVGYEEHKTNPNKGQYPQFWCIYGLCTAGCWFKYGFRIPKGRIFQKCGHRGFYPVMFEDFSFYTENPVFKMCRENLKSILPRLKSFKDVPDLNANDAVHLNSFITEFRDRRMAASVLVNHVDTRQEWFMRRRPVSVYGSLFIIGLFCKFESSTLGLHVGKSCAYLNSYKVILEIVEDDLFLELIETPTRMVTFFDNPPATVRGAVPQVTLDAKKWKCLIKTMKRRRSPPPQQVTFTSPVEDPSDDKPAYSPDDSDSEADSGDQILYAATHLLSGPLNNITGGEEDGSEEDSVPATANEPPQIVIASAEISDDTELLDTIEHLSLTRPMKPSLRQKYYDEDGDDRYRGVKRGGGGGEGVSAAEAEEGSSPFFDDDIEQVPVKKQRKGGKPLPKLEYDTGDGSLDAEAGEVEDDEEEEEEETPFYESEEGESDGEAEPRMDSEWPRRAKPLGVKGQFQLARKQLKKIHKKLREEKKSLVRAKKNPKNKNQVPAPPTVPAPAKRAARKNCGQKKREFSLKAQDALYKEFGSAENLMIKRPKKEQKQPIQTGFPTMQGTRILEEWATEQVPMLMSGLSSPGPEADEELSAHDLEIKVEAGGLIAQLHKQKATIKKLQQEKNYKQRKVSDLKRNIDRSTAAQPSLQEIIKNIQRLSTTCSTLDDLVSRYEKEVDVLQSARSAEHIANDVQQLVGQELVDQDYVDVGLGQLELAQASPEVAQIQHRDIHLLAPGRRPAASACGVASTSLGDGVSRFSLYEEVEGDGGSEQKIAMEENNSVPQSQLCDQEQTQSSHPIGENPM
+>sp|Q9H501|ESF1_HUMAN ESF1 homolog OS=Homo sapiens OX=9606 GN=ESF1 PE=1 SV=1
+MSSKQEIMSDQRFRRVAKDPRFWEMPEKDRKVKIDKRFRAMFHDKKFKLNYAVDKRGRPISHSTTEDLKRFYDLSDSDSNLSGEDSKALSQKKIKKKKTQTKKEIDSKNLVEKKKETKKANHKGSENKTDLDNSIGIKKMKTSCKFKIDSNISPKKDSKEFTQKNKKEKKNIVQHTTDSSLEEKQRTLDSGTSEIVKSPRIECSKTRREMQSVVQLIMTRDSDGYENSTDGEMCDKDALEEDSESVSEIGSDEESENEITSVGRASGDDDGSEDDEEEDEDEEEDEDEDSEDDDKSDSGPDLARGKGNIETSSEDEDDTADLFPEESGFEHAWRELDKDAPRADEITRRLAVCNMDWDRLKAKDLLALFNSFKPKGGVIFSVKIYPSEFGKERMKEEQVQGPVELLSIPEDAPEKDWTSREKLRDYQFKRLKYYYAVVDCDSPETASKIYEDCDGLEFESSCSFIDLRFIPDDITFDDEPKDVASEVNLTAYKPKYFTSAAMGTSTVEITWDETDHERITMLNRKFKKEELLDMDFQAYLASSSEDEEEIEEELQGDDGVNVEEDGKTKKSQKDDEEQIAKYRQLLQVIQEKEKKGKENDMEMEIKWVPGLKESAEEMVKNKLEGKDKLTPWEQFLEKKKEKKRLKRKQKALAEEASEEELPSDVDLNDPYFAEEVKQIGINKKSVKSAKDGTSPEEEIEIERQKAEMALLMMDEDEDSKKHFNYNKIVEHQNLSKKKKKQLMKKKELIEDDFEVNVNDARFQAMYTSHLFNLDPSDPNFKKTKAMEKILEEKARQRERKEQELTQAIKKKESEIEKESQRKSIDPALSMLIKSIKTKTEQFQARKKQKVK
+>DECOY_sp|Q9H501|ESF1_HUMAN ESF1 homolog OS=Homo sapiens OX=9606 GN=ESF1 PE=1 SV=1
+KVKQKKRAQFQETKTKISKILMSLAPDISKRQSEKEIESEKKKIAQTLEQEKRERQRAKEELIKEMAKTKKFNPDSPDLNFLHSTYMAQFRADNVNVEFDDEILEKKKMLQKKKKKSLNQHEVIKNYNFHKKSDEDEDMMLLAMEAKQREIEIEEEPSTGDKASKVSKKNIGIQKVEEAFYPDNLDVDSPLEEESAEEALAKQKRKLRKKEKKKELFQEWPTLKDKGELKNKVMEEASEKLGPVWKIEMEMDNEKGKKEKEQIVQLLQRYKAIQEEDDKQSKKTKGDEEVNVGDDGQLEEEIEEEDESSSALYAQFDMDLLEEKKFKRNLMTIREHDTEDWTIEVTSTGMAASTFYKPKYATLNVESAVDKPEDDFTIDDPIFRLDIFSCSSEFELGDCDEYIKSATEPSDCDVVAYYYKLRKFQYDRLKERSTWDKEPADEPISLLEVPGQVQEEKMREKGFESPYIKVSFIVGGKPKFSNFLALLDKAKLRDWDMNCVALRRTIEDARPADKDLERWAHEFGSEEPFLDATDDEDESSTEINGKGRALDPGSDSKDDDESDEDEDEEEDEDEEEDDESGDDDGSARGVSTIENESEEDSGIESVSESDEELADKDCMEGDTSNEYGDSDRTMILQVVSQMERRTKSCEIRPSKVIESTGSDLTRQKEELSSDTTHQVINKKEKKNKQTFEKSDKKPSINSDIKFKCSTKMKKIGISNDLDTKNESGKHNAKKTEKKKEVLNKSDIEKKTQTKKKKIKKQSLAKSDEGSLNSDSDSLDYFRKLDETTSHSIPRGRKDVAYNLKFKKDHFMARFRKDIKVKRDKEPMEWFRPDKAVRRFRQDSMIEQKSSM
+>sp|Q96J88|ESIP1_HUMAN Epithelial-stromal interaction protein 1 OS=Homo sapiens OX=9606 GN=EPSTI1 PE=2 SV=2
+MNTRNRVVNSGLGASPASRPTRDPQDPSGRQGELSPVEDQREGLEAAPKGPSRESVVHAGQRRTSAYTLIAPNINRRNEIQRIAEQELANLEKWKEQNRAKPVHLVPRRLGGSQSETEVRQKQQLQLMQSKYKQKLKREESVRIKKEAEEAELQKMKAIQREKSNKLEEKKRLQENLRREAFREHQQYKTAEFLSKLNTESPDRSACQSAVCGPQSSTWKLPILPRDHSWARSWAYRDSLKAEENRKLQKMKDEQHQKSELLELKRQQQEQERAKIHQTEHRRVNNAFLDRLQGKSQPGGLEQSGGCWNMNSGNSWGI
+>DECOY_sp|Q96J88|ESIP1_HUMAN Epithelial-stromal interaction protein 1 OS=Homo sapiens OX=9606 GN=EPSTI1 PE=2 SV=2
+IGWSNGSNMNWCGGSQELGGPQSKGQLRDLFANNVRRHETQHIKAREQEQQQRKLELLESKQHQEDKMKQLKRNEEAKLSDRYAWSRAWSHDRPLIPLKWTSSQPGCVASQCASRDPSETNLKSLFEATKYQQHERFAERRLNEQLRKKEELKNSKERQIAKMKQLEAEEAEKKIRVSEERKLKQKYKSQMLQLQQKQRVETESQSGGLRRPVLHVPKARNQEKWKELNALEQEAIRQIENRRNINPAILTYASTRRQGAHVVSERSPGKPAAELGERQDEVPSLEGQRGSPDQPDRTPRSAPSAGLGSNVVRNRTNM
+>sp|Q96DF8|ESS2_HUMAN Splicing factor ESS-2 homolog OS=Homo sapiens OX=9606 GN=ESS2 PE=1 SV=1
+METPGASASSLLLPAASRPPRKREAGEAGAATSKQRVLDEEEYIEGLQTVIQRDFFPDVEKLQAQKEYLEAEENGDLERMRQIAIKFGSALGKMSREPPPPYVTPATFETPEVHAGTGVVGNKPRPRGRGLEDGEAGEEEEKEPLPSLDVFLSRYTSEDNASFQEIMEVAKERSRARHAWLYQAEEEFEKRQKDNLELPSAEHQAIESSQASVETWKYKAKNSLMYYPEGVPDEEQLFKKPRQVVHKNTRFLRDPFSQALSRCQLQQAAALNAQHKQGKVGPDGKELIPQESPRVGGFGFVATPSPAPGVNESPMMTWGEVENTPLRVEGSETPYVDRTPGPAFKILEPGRRERLGLKMANEAAAKNRAKKQEALRRVTENLASLTPKGLSPAMSPALQRLVSRTASKYTDRALRASYTPSPARSTHLKTPASGLQTPTSTPAPGSATRTPLTQDPASITDNLLQLPARRKASDFF
+>DECOY_sp|Q96DF8|ESS2_HUMAN Splicing factor ESS-2 homolog OS=Homo sapiens OX=9606 GN=ESS2 PE=1 SV=1
+FFDSAKRRAPLQLLNDTISAPDQTLPTRTASGPAPTSTPTQLGSAPTKLHTSRAPSPTYSARLARDTYKSATRSVLRQLAPSMAPSLGKPTLSALNETVRRLAEQKKARNKAAAENAMKLGLRERRGPELIKFAPGPTRDVYPTESGEVRLPTNEVEGWTMMPSENVGPAPSPTAVFGFGGVRPSEQPILEKGDPGVKGQKHQANLAAAQQLQCRSLAQSFPDRLFRTNKHVVQRPKKFLQEEDPVGEPYYMLSNKAKYKWTEVSAQSSEIAQHEASPLELNDKQRKEFEEEAQYLWAHRARSREKAVEMIEQFSANDESTYRSLFVDLSPLPEKEEEEGAEGDELGRGRPRPKNGVVGTGAHVEPTEFTAPTVYPPPPERSMKGLASGFKIAIQRMRELDGNEEAELYEKQAQLKEVDPFFDRQIVTQLGEIYEEEDLVRQKSTAAGAEGAERKRPPRSAAPLLLSSASAGPTEM
+>sp|O00748|EST2_HUMAN Cocaine esterase OS=Homo sapiens OX=9606 GN=CES2 PE=1 SV=1
+MRLHRLRARLSAVACGLLLLLVRGQGQDSASPIRTTHTGQVLGSLVHVKGANAGVQTFLGIPFAKPPLGPLRFAPPEPPESWSGVRDGTTHPAMCLQDLTAVESEFLSQFNMTFPSDSMSEDCLYLSIYTPAHSHEGSNLPVMVWIHGGALVFGMASLYDGSMLAALENVVVVIIQYRLGVLGFFSTGDKHATGNWGYLDQVAALRWVQQNIAHFGGNPDRVTIFGESAGGTSVSSLVVSPISQGLFHGAIMESGVALLPGLIASSADVISTVVANLSACDQVDSEALVGCLRGKSKEEILAINKPFKMIPGVVDGVFLPRHPQELLASADFQPVPSIVGVNNNEFGWLIPKVMRIYDTQKEMDREASQAALQKMLTLLMLPPTFGDLLREEYIGDNGDPQTLQAQFQEMMADSMFVIPALQVAHFQCSRAPVYFYEFQHQPSWLKNIRPPHMKADHGDELPFVFRSFFGGNYIKFTEEEEQLSRKMMKYWANFARNGNPNGEGLPHWPLFDQEEQYLQLNLQPAVGRALKAHRLQFWKKALPQKIQELEEPEERHTEL
+>DECOY_sp|O00748|EST2_HUMAN Cocaine esterase OS=Homo sapiens OX=9606 GN=CES2 PE=1 SV=1
+LETHREEPEELEQIKQPLAKKWFQLRHAKLARGVAPQLNLQLYQEEQDFLPWHPLGEGNPNGNRAFNAWYKMMKRSLQEEEETFKIYNGGFFSRFVFPLEDGHDAKMHPPRINKLWSPQHQFEYFYVPARSCQFHAVQLAPIVFMSDAMMEQFQAQLTQPDGNDGIYEERLLDGFTPPLMLLTLMKQLAAQSAERDMEKQTDYIRMVKPILWGFENNNVGVISPVPQFDASALLEQPHRPLFVGDVVGPIMKFPKNIALIEEKSKGRLCGVLAESDVQDCASLNAVVTSIVDASSAILGPLLAVGSEMIAGHFLGQSIPSVVLSSVSTGGASEGFITVRDPNGGFHAINQQVWRLAAVQDLYGWNGTAHKDGTSFFGLVGLRYQIIVVVVNELAALMSGDYLSAMGFVLAGGHIWVMVPLNSGEHSHAPTYISLYLCDESMSDSPFTMNFQSLFESEVATLDQLCMAPHTTGDRVGSWSEPPEPPAFRLPGLPPKAFPIGLFTQVGANAGKVHVLSGLVQGTHTTRIPSASDQGQGRVLLLLLGCAVASLRARLRHLRM
+>sp|Q6UWW8|EST3_HUMAN Carboxylesterase 3 OS=Homo sapiens OX=9606 GN=CES3 PE=1 SV=1
+MERAVRVESGVLVGVVCLLLACPATATGPEVAQPEVDTTLGRVRGRQVGVKGTDRLVNVFLGIPFAQPPLGPDRFSAPHPAQPWEGVRDASTAPPMCLQDVESMNSSRFVLNGKQQIFSVSEDCLVLNVYSPAEVPAGSGRPVMVWVHGGALITGAATSYDGSALAAYGDVVVVTVQYRLGVLGFFSTGDEHAPGNQGFLDVVAALRWVQENIAPFGGDLNCVTVFGGSAGGSIISGLVLSPVAAGLFHRAITQSGVITTPGIIDSHPWPLAQKIANTLACSSSSPAEMVQCLQQKEGEELVLSKKLKNTIYPLTVDGTVFPKSPKELLKEKPFHSVPFLMGVNNHEFSWLIPRGWGLLDTMEQMSREDMLAISTPVLTSLDVPPEMMPTVIDEYLGSNSDAQAKCQAFQEFMGDVFINVPTVSFSRYLRDSGSPVFFYEFQHRPSSFAKIKPAWVKADHGAEGAFVFGGPFLMDESSRLAFPEATEEEKQLSLTMMAQWTHFARTGDPNSKALPPWPQFNQAEQYLEINPVPRAGQKFREAWMQFWSETLPSKIQQWHQKQKNRKAQEDL
+>DECOY_sp|Q6UWW8|EST3_HUMAN Carboxylesterase 3 OS=Homo sapiens OX=9606 GN=CES3 PE=1 SV=1
+LDEQAKRNKQKQHWQQIKSPLTESWFQMWAERFKQGARPVPNIELYQEAQNFQPWPPLAKSNPDGTRAFHTWQAMMTLSLQKEEETAEPFALRSSEDMLFPGGFVFAGEAGHDAKVWAPKIKAFSSPRHQFEYFFVPSGSDRLYRSFSVTPVNIFVDGMFEQFAQCKAQADSNSGLYEDIVTPMMEPPVDLSTLVPTSIALMDERSMQEMTDLLGWGRPILWSFEHNNVGMLFPVSHFPKEKLLEKPSKPFVTGDVTLPYITNKLKKSLVLEEGEKQQLCQVMEAPSSSSCALTNAIKQALPWPHSDIIGPTTIVGSQTIARHFLGAAVPSLVLGSIISGGASGGFVTVCNLDGGFPAINEQVWRLAAVVDLFGQNGPAHEDGTSFFGLVGLRYQVTVVVVDGYAALASGDYSTAAGTILAGGHVWVMVPRGSGAPVEAPSYVNLVLCDESVSFIQQKGNLVFRSSNMSEVDQLCMPPATSADRVGEWPQAPHPASFRDPGLPPQAFPIGLFVNVLRDTGKVGVQRGRVRGLTTDVEPQAVEPGTATAPCALLLCVVGVLVGSEVRVAREM
+>sp|O60883|ETBR2_HUMAN Prosaposin receptor GPR37L1 OS=Homo sapiens OX=9606 GN=GPR37L1 PE=1 SV=2
+MRWLWPLAVSLAVILAVGLSRVSGGAPLHLGRHRAETQEQQSRSKRGTEDEEAKGVQQYVPEEWAEYPRPIHPAGLQPTKPLVATSPNPGKDGGTPDSGQELRGNLTGAPGQRLQIQNPLYPVTESSYSAYAIMLLALVVFAVGIVGNLSVMCIVWHSYYLKSAWNSILASLALWDFLVLFFCLPIVIFNEITKQRLLGDVSCRAVPFMEVSSLGVTTFSLCALGIDRFHVATSTLPKVRPIERCQSILAKLAVIWVGSMTLAVPELLLWQLAQEPAPTMGTLDSCIMKPSASLPESLYSLVMTYQNARMWWYFGCYFCLPILFTVTCQLVTWRVRGPPGRKSECRASKHEQCESQLNSTVVGLTVVYAFCTLPENVCNIVVAYLSTELTRQTLDLLGLINQFSTFFKGAITPVLLLCICRPLGQAFLDCCCCCCCEECGGASEASAANGSDNKLKTEVSSSIYFHKPRESPPLLPLGTPC
+>DECOY_sp|O60883|ETBR2_HUMAN Prosaposin receptor GPR37L1 OS=Homo sapiens OX=9606 GN=GPR37L1 PE=1 SV=2
+CPTGLPLLPPSERPKHFYISSSVETKLKNDSGNAASAESAGGCEECCCCCCCDLFAQGLPRCICLLLVPTIAGKFFTSFQNILGLLDLTQRTLETSLYAVVINCVNEPLTCFAYVVTLGVVTSNLQSECQEHKSARCESKRGPPGRVRWTVLQCTVTFLIPLCFYCGFYWWMRANQYTMVLSYLSEPLSASPKMICSDLTGMTPAPEQALQWLLLEPVALTMSGVWIVALKALISQCREIPRVKPLTSTAVHFRDIGLACLSFTTVGLSSVEMFPVARCSVDGLLRQKTIENFIVIPLCFFLVLFDWLALSALISNWASKLYYSHWVICMVSLNGVIGVAFVVLALLMIAYASYSSETVPYLPNQIQLRQGPAGTLNGRLEQGSDPTGGDKGPNPSTAVLPKTPQLGAPHIPRPYEAWEEPVYQQVGKAEEDETGRKSRSQQEQTEARHRGLHLPAGGSVRSLGVALIVALSVALPWLWRM
+>sp|Q16134|ETFD_HUMAN Electron transfer flavoprotein-ubiquinone oxidoreductase, mitochondrial OS=Homo sapiens OX=9606 GN=ETFDH PE=1 SV=2
+MLVPLAKLSCLAYQCFHALKIKKNYLPLCATRWSSTSTVPRITTHYTIYPRDKDKRWEGVNMERFAEEADVVIVGAGPAGLSAAVRLKQLAVAHEKDIRVCLVEKAAQIGAHTLSGACLDPGAFKELFPDWKEKGAPLNTPVTEDRFGILTEKYRIPVPILPGLPMNNHGNYIVRLGHLVSWMGEQAEALGVEVYPGYAAAEVLFHDDGSVKGIATNDVGIQKDGAPKATFERGLELHAKVTIFAEGCHGHLAKQLYKKFDLRANCEPQTYGIGLKELWVIDEKNWKPGRVDHTVGWPLDRHTYGGSFLYHLNEGEPLVALGLVVGLDYQNPYLSPFREFQRWKHHPSIRPTLEGGKRIAYGARALNEGGFQSIPKLTFPGGLLIGCSPGFMNVPKIKGTHTAMKSGILAAESIFNQLTSENLQSKTIGLHVTEYEDNLKNSWVWKELYSVRNIRPSCHGVLGVYGGMIYTGIFYWILRGMEPWTLKHKGSDFERLKPAKDCTPIEYPKPDGQISFDLLSSVALSGTNHEHDQPAHLTLRDDSIPVNRNLSIYDGPEQRFCPAGVYEFVPVEQGDGFRLQINAQNCVHCKTCDIKDPSQNINWVVPEGGGGPAYNGM
+>DECOY_sp|Q16134|ETFD_HUMAN Electron transfer flavoprotein-ubiquinone oxidoreductase, mitochondrial OS=Homo sapiens OX=9606 GN=ETFDH PE=1 SV=2
+MGNYAPGGGGEPVVWNINQSPDKIDCTKCHVCNQANIQLRFGDGQEVPVFEYVGAPCFRQEPGDYISLNRNVPISDDRLTLHAPQDHEHNTGSLAVSSLLDFSIQGDPKPYEIPTCDKAPKLREFDSGKHKLTWPEMGRLIWYFIGTYIMGGYVGLVGHCSPRINRVSYLEKWVWSNKLNDEYETVHLGITKSQLNESTLQNFISEAALIGSKMATHTGKIKPVNMFGPSCGILLGGPFTLKPISQFGGENLARAGYAIRKGGELTPRISPHHKWRQFERFPSLYPNQYDLGVVLGLAVLPEGENLHYLFSGGYTHRDLPWGVTHDVRGPKWNKEDIVWLEKLGIGYTQPECNARLDFKKYLQKALHGHCGEAFITVKAHLELGREFTAKPAGDKQIGVDNTAIGKVSGDDHFLVEAAAYGPYVEVGLAEAQEGMWSVLHGLRVIYNGHNNMPLGPLIPVPIRYKETLIGFRDETVPTNLPAGKEKWDPFLEKFAGPDLCAGSLTHAGIQAAKEVLCVRIDKEHAVALQKLRVAASLGAPGAGVIVVDAEEAFREMNVGEWRKDKDRPYITYHTTIRPVTSTSSWRTACLPLYNKKIKLAHFCQYALCSLKALPVLM
+>sp|O95571|ETHE1_HUMAN Persulfide dioxygenase ETHE1, mitochondrial OS=Homo sapiens OX=9606 GN=ETHE1 PE=1 SV=2
+MAEAVLRVARRQLSQRGGSGAPILLRQMFEPVSCTFTYLLGDRESREAVLIDPVLETAPRDAQLIKELGLRLLYAVNTHCHADHITGSGLLRSLLPGCQSVISRLSGAQADLHIEDGDSIRFGRFALETRASPGHTPGCVTFVLNDHSMAFTGDALLIRGCGRTDFQQGCAKTLYHSVHEKIFTLPGDCLIYPAHDYHGFTVSTVEEERTLNPRLTLSCEEFVKIMGNLNLPKPQQIDFAVPANMRCGVQTPTA
+>DECOY_sp|O95571|ETHE1_HUMAN Persulfide dioxygenase ETHE1, mitochondrial OS=Homo sapiens OX=9606 GN=ETHE1 PE=1 SV=2
+ATPTQVGCRMNAPVAFDIQQPKPLNLNGMIKVFEECSLTLRPNLTREEEVTSVTFGHYDHAPYILCDGPLTFIKEHVSHYLTKACGQQFDTRGCGRILLADGTFAMSHDNLVFTVCGPTHGPSARTELAFRGFRISDGDEIHLDAQAGSLRSIVSQCGPLLSRLLGSGTIHDAHCHTNVAYLLRLGLEKILQADRPATELVPDILVAERSERDGLLYTFTCSVPEFMQRLLIPAGSGGRQSLQRRAVRLVAEAM
+>sp|P41212|ETV6_HUMAN Transcription factor ETV6 OS=Homo sapiens OX=9606 GN=ETV6 PE=1 SV=1
+MSETPAQCSIKQERISYTPPESPVPSYASSTPLHVPVPRALRMEEDSIRLPAHLRLQPIYWSRDDVAQWLKWAENEFSLRPIDSNTFEMNGKALLLLTKEDFRYRSPHSGDVLYELLQHILKQRKPRILFSPFFHPGNSIHTQPEVILHQNHEEDNCVQRTPRPSVDNVHHNPPTIELLHRSRSPITTNHRPSPDPEQRPLRSPLDNMIRRLSPAERAQGPRPHQENNHQESYPLSVSPMENNHCPASSESHPKPSSPRQESTRVIQLMPSPIMHPLILNPRHSVDFKQSRLSEDGLHREGKPINLSHREDLAYMNHIMVSVSPPEEHAMPIGRIADCRLLWDYVYQLLSDSRYENFIRWEDKESKIFRIVDPNGLARLWGNHKNRTNMTYEKMSRALRHYYKLNIIRKEPGQRLLFRFMKTPDEIMSGRTDRLEHLESQELDEQIYQEDEC
+>DECOY_sp|P41212|ETV6_HUMAN Transcription factor ETV6 OS=Homo sapiens OX=9606 GN=ETV6 PE=1 SV=1
+CEDEQYIQEDLEQSELHELRDTRGSMIEDPTKMFRFLLRQGPEKRIINLKYYHRLARSMKEYTMNTRNKHNGWLRALGNPDVIRFIKSEKDEWRIFNEYRSDSLLQYVYDWLLRCDAIRGIPMAHEEPPSVSVMIHNMYALDERHSLNIPKGERHLGDESLRSQKFDVSHRPNLILPHMIPSPMLQIVRTSEQRPSSPKPHSESSAPCHNNEMPSVSLPYSEQHNNEQHPRPGQAREAPSLRRIMNDLPSRLPRQEPDPSPRHNTTIPSRSRHLLEITPPNHHVNDVSPRPTRQVCNDEEHNQHLIVEPQTHISNGPHFFPSFLIRPKRQKLIHQLLEYLVDGSHPSRYRFDEKTLLLLAKGNMEFTNSDIPRLSFENEAWKLWQAVDDRSWYIPQLRLHAPLRISDEEMRLARPVPVHLPTSSAYSPVPSEPPTYSIREQKISCQAPTESM
+>sp|Q9H8M9|EVA1A_HUMAN Protein eva-1 homolog A OS=Homo sapiens OX=9606 GN=EVA1A PE=1 SV=1
+MRLPLSHSPEHVEMALLSNILAAYSFVSENPERAALYFVSGVCIGLVLTLAALVIRISCHTDCRRRPGKKFLQDRESSSDSSDSEDGSEDTVSDLSVRRHRRFERTLNKNVFTSAEELERAQRLEERERIIREIWMNGQPEVPGTRSLNRYY
+>DECOY_sp|Q9H8M9|EVA1A_HUMAN Protein eva-1 homolog A OS=Homo sapiens OX=9606 GN=EVA1A PE=1 SV=1
+YYRNLSRTGPVEPQGNMWIERIIREREELRQARELEEASTFVNKNLTREFRRHRRVSLDSVTDESGDESDSSDSSSERDQLFKKGPRRRCDTHCSIRIVLAALTLVLGICVGSVFYLAAREPNESVFSYAALINSLLAMEVHEPSHSLPLRM
+>sp|P22794|EVI2A_HUMAN Protein EVI2A OS=Homo sapiens OX=9606 GN=EVI2A PE=2 SV=2
+MPTDMEHTGHYLHLAFLMTTVFSLSPGTKANYTRLWANSTSSWDSVIQNKTGRNQNENINTNPITPEVDYKGNSTNMPETSHIVALTSKSEQELYIPSVVSNSPSTVQSIENTSKSHGEIFKKDVCAENNNNMAMLICLIIIAVLFLICTFLFLSTVVLANKVSSLRRSKQVGKRQPRSNGDFLASGLWPAESDTWKRTKQLTGPNLVMQSTGVLTATRERKDEEGTEKLTNKQIG
+>DECOY_sp|P22794|EVI2A_HUMAN Protein EVI2A OS=Homo sapiens OX=9606 GN=EVI2A PE=2 SV=2
+GIQKNTLKETGEEDKRERTATLVGTSQMVLNPGTLQKTRKWTDSEAPWLGSALFDGNSRPQRKGVQKSRRLSSVKNALVVTSLFLFTCILFLVAIIILCILMAMNNNNEACVDKKFIEGHSKSTNEISQVTSPSNSVVSPIYLEQESKSTLAVIHSTEPMNTSNGKYDVEPTIPNTNINENQNRGTKNQIVSDWSSTSNAWLRTYNAKTGPSLSFVTTMLFALHLYHGTHEMDTPM
+>sp|P34910|EVI2B_HUMAN Protein EVI2B OS=Homo sapiens OX=9606 GN=EVI2B PE=1 SV=2
+MDPKYFILILFCGHLNNTFFSKTETITTEKQSQPTLFTSSMSQVLANSQNTTGNPLGQPTQFSDTFSGQSISPAKVTAGQPTPAVYTSSEKPEAHTSAGQPLAYNTKQPTPIANTSSQQAVFTSARQLPSARTSTTQPPKSFVYTFTQQSSSVQIPSRKQITVHNPSTQPTSTVKNSPRSTPGFILDTTSNKQTPQKNNYNSIAAILIGVLLTSMLVAIIIIVLWKCLRKPVLNDQNWAGRSPFADGETPDICMDNIRENEISTKRTSIISLTPWKPSKSTLLADDLEIKLFESSENIEDSNNPKTEKIKDQVNGTSEDSADGSTVGTAVSSSDDADLPPPPPLLDLEGQESNQSDKPTMTIVSPLPNDSTSLPPSLDCLNQDCGDHKSEIIQSFPPLDSLNLPLPPVDFMKNQEDSNLEIQCQEFSIPPNSDQDLNESLPPPPAELL
+>DECOY_sp|P34910|EVI2B_HUMAN Protein EVI2B OS=Homo sapiens OX=9606 GN=EVI2B PE=1 SV=2
+LLEAPPPPLSENLDQDSNPPISFEQCQIELNSDEQNKMFDVPPLPLNLSDLPPFSQIIESKHDGCDQNLCDLSPPLSTSDNPLPSVITMTPKDSQNSEQGELDLLPPPPPLDADDSSSVATGVTSGDASDESTGNVQDKIKETKPNNSDEINESSEFLKIELDDALLTSKSPKWPTLSIISTRKTSIENERINDMCIDPTEGDAFPSRGAWNQDNLVPKRLCKWLVIIIIAVLMSTLLVGILIAAISNYNNKQPTQKNSTTDLIFGPTSRPSNKVTSTPQTSPNHVTIQKRSPIQVSSSQQTFTYVFSKPPQTTSTRASPLQRASTFVAQQSSTNAIPTPQKTNYALPQGASTHAEPKESSTYVAPTPQGATVKAPSISQGSFTDSFQTPQGLPNGTTNQSNALVQSMSSTFLTPQSQKETTITETKSFFTNNLHGCFLILIFYKPDM
+>sp|Q96CN4|EVI5L_HUMAN EVI5-like protein OS=Homo sapiens OX=9606 GN=EVI5L PE=1 SV=1
+MASPTLSPDSSSQEALSAPTCSPTSDSENLSPDELELLAKLEEQNRLLEADSKSMRSMNGSRRNSGSSLVSSSSASSNLSHLEEDTWILWGRIANEWEEWRRRKEKLLKELIRKGIPHHFRAIVWQLLCSATDMPVKNQYSELLKMSSPCEKLIRRDIARTYPEHEFFKGQDSLGQEVLFNVMKAYSLVDREVGYCQGSAFIVGLLLMQMPEEEAFCVFVRLMQEYRLRELFKPSMAELGLCIYQFEYMLQEQLPDLNTHFRSQSFHTSMYASSWFLTLFLTTFPLPVATRVFDIFMYEGLEIVFRVGLALLQVNQAELMQLDMEGMSQYFQRVIPHQFDSCPDKLVLKAYQVKYNPKKMKRLEKEYAAMKSKEMEEQIEIKRLRTENRLLKQRIETLEKGQVTRAQEAEENYVIKRELAVVRQQCSSAAEDLQKAQSTIRQLQEQQENPRLTEDFVSHLETELEQSRLRETETLGALREMQDKVLDMEKRNSSLPDENNVAQLQEELKALKVREGQAVASTRELKLQLQELSDTWQAHLARGGRWKESPRKLVVGELQDELMSVRLREAQALAEGRELRQRVVELETQDHIHRNLLNRVEAERAALQEKLQYLAAQNKGLQTQLSESRRKQAEAECKSKEEVMAVRLREADSMAAVAEMRQRIAELEIQREEGRIQGQLNHSDSSQYIRELKDQIEELKAEVRLLKGPPPFEDPLAFDGLSLARHLDEDSLPSSDEELLGVGVGAALQDALYPLSPRDARFFRRLERPAKDSEGSSDSDADELAAPYSQGLDN
+>DECOY_sp|Q96CN4|EVI5L_HUMAN EVI5-like protein OS=Homo sapiens OX=9606 GN=EVI5L PE=1 SV=1
+NDLGQSYPAALEDADSDSSGESDKAPRELRRFFRADRPSLPYLADQLAAGVGVGLLEEDSSPLSDEDLHRALSLGDFALPDEFPPPGKLLRVEAKLEEIQDKLERIYQSSDSHNLQGQIRGEERQIELEAIRQRMEAVAAMSDAERLRVAMVEEKSKCEAEAQKRRSESLQTQLGKNQAALYQLKEQLAAREAEVRNLLNRHIHDQTELEVVRQRLERGEALAQAERLRVSMLEDQLEGVVLKRPSEKWRGGRALHAQWTDSLEQLQLKLERTSAVAQGERVKLAKLEEQLQAVNNEDPLSSNRKEMDLVKDQMERLAGLTETERLRSQELETELHSVFDETLRPNEQQEQLQRITSQAKQLDEAASSCQQRVVALERKIVYNEEAEQARTVQGKELTEIRQKLLRNETRLRKIEIQEEMEKSKMAAYEKELRKMKKPNYKVQYAKLVLKDPCSDFQHPIVRQFYQSMGEMDLQMLEAQNVQLLALGVRFVIELGEYMFIDFVRTAVPLPFTTLFLTLFWSSAYMSTHFSQSRFHTNLDPLQEQLMYEFQYICLGLEAMSPKFLERLRYEQMLRVFVCFAEEEPMQMLLLGVIFASGQCYGVERDVLSYAKMVNFLVEQGLSDQGKFFEHEPYTRAIDRRILKECPSSMKLLESYQNKVPMDTASCLLQWVIARFHHPIGKRILEKLLKEKRRRWEEWENAIRGWLIWTDEELHSLNSSASSSSVLSSGSNRRSGNMSRMSKSDAELLRNQEELKALLELEDPSLNESDSTPSCTPASLAEQSSSDPSLTPSAM
+>sp|A8MZ36|EVPLL_HUMAN Envoplakin-like protein OS=Homo sapiens OX=9606 GN=EVPLL PE=2 SV=1
+MQASADQVERDILETQKRLQQDRLNSEQSQALQHQQETGSSLKEAEVLLKDLFLDVDKARRLKHPQAEETEKDIEQLHERVTQECAEYCALYEKMVLPPRRGIQGRLGTRAGAETEAGLRRPVWAGHGGAGGTDRGAQHRAEGDQRPRRAAAEPGGAGCRHHPEPIPRPTEGGVVARAEPGQPVHALQGCTWQLSALAEQQRRILQQDWSDLMADPAGVRREYEHFKQHELLSQEQSVNQLEEDGKRMVELRHPAVGPIQAHQEALKMEWQNFLNLCICQETQLQHVEDYSRILCPSSSPH
+>DECOY_sp|A8MZ36|EVPLL_HUMAN Envoplakin-like protein OS=Homo sapiens OX=9606 GN=EVPLL PE=2 SV=1
+HPSSSPCLIRSYDEVHQLQTEQCICLNLFNQWEMKLAEQHAQIPGVAPHRLEVMRKGDEELQNVSQEQSLLEHQKFHEYERRVGAPDAMLDSWDQQLIRRQQEALASLQWTCGQLAHVPQGPEARAVVGGETPRPIPEPHHRCGAGGPEAAARRPRQDGEARHQAGRDTGGAGGHGAWVPRRLGAETEAGARTGLRGQIGRRPPLVMKEYLACYEACEQTVREHLQEIDKETEEAQPHKLRRAKDVDLFLDKLLVEAEKLSSGTEQQHQLAQSQESNLRDQQLRKQTELIDREVQDASAQM
+>sp|Q16394|EXT1_HUMAN Exostosin-1 OS=Homo sapiens OX=9606 GN=EXT1 PE=1 SV=2
+MQAKKRYFILLSAGSCLALLFYFGGLQFRASRSHSRREEHSGRNGLHHPSPDHFWPRFPDALRPFVPWDQLENEDSSVHISPRQKRDANSSIYKGKKCRMESCFDFTLCKKNGFKVYVYPQQKGEKIAESYQNILAAIEGSRFYTSDPSQACLFVLSLDTLDRDQLSPQYVHNLRSKVQSLHLWNNGRNHLIFNLYSGTWPDYTEDVGFDIGQAMLAKASISTENFRPNFDVSIPLFSKDHPRTGGERGFLKFNTIPPLRKYMLVFKGKRYLTGIGSDTRNALYHVHNGEDVVLLTTCKHGKDWQKHKDSRCDRDNTEYEKYDYREMLHNATFCLVPRGRRLGSFRFLEALQAACVPVMLSNGWELPFSEVINWNQAAVIGDERLLLQIPSTIRSIHQDKILALRQQTQFLWEAYFSSVEKIVLTTLEIIQDRIFKHISRNSLIWNKHPGGLFVLPQYSSYLGDFPYYYANLGLKPPSKFTAVIHAVTPLVSQSQPVLKLLVAAAKSQYCAQIIVLWNCDKPLPAKHRWPATAVPVVVIEGESKVMSSRFLPYDNIITDAVLSLDEDTVLSTTEVDFAFTVWQSFPERIVGYPARSHFWDNSKERWGYTSKWTNDYSMVLTGAAIYHKYYHYLYSHYLPASLKNMVDQLANCEDILMNFLVSAVTKLPPIKVTQKKQYKETMMGQTSRASRWADPDHFAQRQSCMNTFASWFGYMPLIHSQMRLDPVLFKDQVSILRKKYRDIERL
+>DECOY_sp|Q16394|EXT1_HUMAN Exostosin-1 OS=Homo sapiens OX=9606 GN=EXT1 PE=1 SV=2
+LREIDRYKKRLISVQDKFLVPDLRMQSHILPMYGFWSAFTNMCSQRQAFHDPDAWRSARSTQGMMTEKYQKKQTVKIPPLKTVASVLFNMLIDECNALQDVMNKLSAPLYHSYLYHYYKHYIAAGTLVMSYDNTWKSTYGWREKSNDWFHSRAPYGVIREPFSQWVTFAFDVETTSLVTDEDLSLVADTIINDYPLFRSSMVKSEGEIVVVPVATAPWRHKAPLPKDCNWLVIIQACYQSKAAAVLLKLVPQSQSVLPTVAHIVATFKSPPKLGLNAYYYPFDGLYSSYQPLVFLGGPHKNWILSNRSIHKFIRDQIIELTTLVIKEVSSFYAEWLFQTQQRLALIKDQHISRITSPIQLLLREDGIVAAQNWNIVESFPLEWGNSLMVPVCAAQLAELFRFSGLRRGRPVLCFTANHLMERYDYKEYETNDRDCRSDKHKQWDKGHKCTTLLVVDEGNHVHYLANRTDSGIGTLYRKGKFVLMYKRLPPITNFKLFGREGGTRPHDKSFLPISVDFNPRFNETSISAKALMAQGIDFGVDETYDPWTGSYLNFILHNRGNNWLHLSQVKSRLNHVYQPSLQDRDLTDLSLVFLCAQSPDSTYFRSGEIAALINQYSEAIKEGKQQPYVYVKFGNKKCLTFDFCSEMRCKKGKYISSNADRKQRPSIHVSSDENELQDWPVFPRLADPFRPWFHDPSPHHLGNRGSHEERRSHSRSARFQLGGFYFLLALCSGASLLIFYRKKAQM
+>sp|Q9UBQ6|EXTL2_HUMAN Exostosin-like 2 OS=Homo sapiens OX=9606 GN=EXTL2 PE=1 SV=1
+MRCCHICKLPGRVMGIRVLRLSLVVILVLLLVAGALTALLPSVKEDKMLMLRREIKSQGKSTMDSFTLIMQTYNRTDLLLKLLNHYQAVPNLHKVIVVWNNIGEKAPDELWNSLGPHPIPVIFKQQTANRMRNRLQVFPELETNAVLMVDDDTLISTPDLVFAFSVWQQFPDQIVGFVPRKHVSTSSGIYSYGSFEMQAPGSGNGDQYSMVLIGASFFNSKYLELFQRQPAAVHALIDDTQNCDDIAMNFIIAKHIGKTSGIFVKPVNMDNLEKETNSGYSGMWHRAEHALQRSYCINKLVNIYDSMPLRYSNIMISQFGFPYANYKRKI
+>DECOY_sp|Q9UBQ6|EXTL2_HUMAN Exostosin-like 2 OS=Homo sapiens OX=9606 GN=EXTL2 PE=1 SV=1
+IKRKYNAYPFGFQSIMINSYRLPMSDYINVLKNICYSRQLAHEARHWMGSYGSNTEKELNDMNVPKVFIGSTKGIHKAIIFNMAIDDCNQTDDILAHVAAPQRQFLELYKSNFFSAGILVMSYQDGNGSGPAQMEFSGYSYIGSSTSVHKRPVFGVIQDPFQQWVSFAFVLDPTSILTDDDVMLVANTELEPFVQLRNRMRNATQQKFIVPIPHPGLSNWLEDPAKEGINNWVVIVKHLNPVAQYHNLLKLLLDTRNYTQMILTFSDMTSKGQSKIERRLMLMKDEKVSPLLATLAGAVLLLVLIVVLSLRLVRIGMVRGPLKCIHCCRM
+>sp|O43909|EXTL3_HUMAN Exostosin-like 3 OS=Homo sapiens OX=9606 GN=EXTL3 PE=1 SV=1
+MTGYTMLRNGGAGNGGQTCMLRWSNRIRLTWLSFTLFVILVFFPLIAHYYLTTLDEADEAGKRIFGPRVGNELCEVKHVLDLCRIRESVSEELLQLEAKRQELNSEIAKLNLKIEACKKSIENAKQDLLQLKNVISQTEHSYKELMAQNQPKLSLPIRLLPEKDDAGLPPPKATRGCRLHNCFDYSRCPLTSGFPVYVYDSDQFVFGSYLDPLVKQAFQATARANVYVTENADIACLYVILVGEMQEPVVLRPAELEKQLYSLPHWRTDGHNHVIINLSRKSDTQNLLYNVSTGRAMVAQSTFYTVQYRPGFDLVVSPLVHAMSEPNFMEIPPQVPVKRKYLFTFQGEKIESLRSSLQEARSFEEEMEGDPPADYDDRIIATLKAVQDSKLDQVLVEFTCKNQPKPSLPTEWALCGEREDRLELLKLSTFALIITPGDPRLVISSGCATRLFEALEVGAVPVVLGEQVQLPYQDMLQWNEAALVVPKPRVTEVHFLLRSLSDSDLLAMRRQGRFLWETYFSTADSIFNTVLAMIRTRIQIPAAPIREEAAAEIPHRSGKAAGTDPNMADNGDLDLGPVETEPPYASPRYLRNFTLTVTDFYRSWNCAPGPFHLFPHTPFDPVLPSEAKFLGSGTGFRPIGGGAGGSGKEFQAALGGNVPREQFTVVMLTYEREEVLMNSLERLNGLPYLNKVVVVWNSPKLPSEDLLWPDIGVPIMVVRTEKNSLNNRFLPWNEIETEAILSIDDDAHLRHDEIMFGFRVWREARDRIVGFPGRYHAWDIPHQSWLYNSNYSCELSMVLTGAAFFHKYYAYLYSYVMPQAIRDMVDEYINCEDIAMNFLVSHITRKPPIKVTSRWTFRCPGCPQALSHDDSHFHERHKCINFFVKVYGYMPLLYTQFRVDSVLFKTRLPHDKTKCFKFI
+>DECOY_sp|O43909|EXTL3_HUMAN Exostosin-like 3 OS=Homo sapiens OX=9606 GN=EXTL3 PE=1 SV=1
+IFKFCKTKDHPLRTKFLVSDVRFQTYLLPMYGYVKVFFNICKHREHFHSDDHSLAQPCGPCRFTWRSTVKIPPKRTIHSVLFNMAIDECNIYEDVMDRIAQPMVYSYLYAYYKHFFAAGTLVMSLECSYNSNYLWSQHPIDWAHYRGPFGVIRDRAERWVRFGFMIEDHRLHADDDISLIAETEIENWPLFRNNLSNKETRVVMIPVGIDPWLLDESPLKPSNWVVVVKNLYPLGNLRELSNMLVEEREYTLMVVTFQERPVNGGLAAQFEKGSGGAGGGIPRFGTGSGLFKAESPLVPDFPTHPFLHFPGPACNWSRYFDTVTLTFNRLYRPSAYPPETEVPGLDLDGNDAMNPDTGAAKGSRHPIEAAAEERIPAAPIQIRTRIMALVTNFISDATSFYTEWLFRGQRRMALLDSDSLSRLLFHVETVRPKPVVLAAENWQLMDQYPLQVQEGLVVPVAGVELAEFLRTACGSSIVLRPDGPTIILAFTSLKLLELRDEREGCLAWETPLSPKPQNKCTFEVLVQDLKSDQVAKLTAIIRDDYDAPPDGEMEEEFSRAEQLSSRLSEIKEGQFTFLYKRKVPVQPPIEMFNPESMAHVLPSVVLDFGPRYQVTYFTSQAVMARGTSVNYLLNQTDSKRSLNIIVHNHGDTRWHPLSYLQKELEAPRLVVPEQMEGVLIVYLCAIDANETVYVNARATAQFAQKVLPDLYSGFVFQDSDYVYVPFGSTLPCRSYDFCNHLRCGRTAKPPPLGADDKEPLLRIPLSLKPQNQAMLEKYSHETQSIVNKLQLLDQKANEISKKCAEIKLNLKAIESNLEQRKAELQLLEESVSERIRCLDLVHKVECLENGVRPGFIRKGAEDAEDLTTLYYHAILPFFVLIVFLTFSLWTLRIRNSWRLMCTQGGNGAGGNRLMTYGTM
+>sp|Q5T1H1|EYS_HUMAN Protein eyes shut homolog OS=Homo sapiens OX=9606 GN=EYS PE=1 SV=5
+MTDKSIVILSLMVFHSSFINGKTCRRQLVEEWHPQPSSYVVNWTLTENICLDFYRDCWFLGVNTKIDTSGNQAVPQICPLQIQLGDILVISSEPSLQFPEINLMNVSETSFVGCVQNTTTEDQLLFGCRLKGMHTVNSKWLSVGTHYFITVMASGPSPCPLGLRLNVTVKQQFCQESLSSEFCSGHGKCLSEAWSKTYSCHCQPPFSGKYCQELDACSFKPCKNNGSCINKRENWDEQAYECVCHPPFTGKNCSEIIGQCQPHVCFHGNCSNITSNSFICECDEQFSGPFCEVSAKPCVSLLFWKRGICPNSSSAYTYECPKGSSSQNGETDVSEFSLVPCQNGTDCIKISNDVMCICSPIFTDLLCKSIQTSCESFPLRNNATCKKCEKDYPCSCISGFTEKNCEKAIDHCKLLSINCLNEEWCFNIIGRFKYVCIPGCTKNPCWFLKNVYLIHQHLCYCGVTFHGICQDKGPAQFEYVWQLGFAGSEGEKCQGVIDAYFFLAANCTEDATYVNDPEDNNSSCWFPHEGTKEICANGCSCLSEEDSQEYRYLCFLRWAGNMYLENTTDDQENECQHEAVCKDEINRPRCSCSLSYIGRLCVVNVDYCLGNHSISVHGLCLALSHNCNCSGLQRYERNICEIDTEDCKSASCKNGTTSTHLRGYFFRKCVPGFKGTQCEIDIDECASHPCKNGATCIDQPGNYFCQCVPPFKVVDGFSCLCNPGYVGIRCEQDIDDCILNACEHNSTCKDLHLSYQCVCLSDWEGNFCEQESNECKMNPCKNNSTCTDLYKSYRCECTSGWTGQNCSEEINECDSDPCMNGGLCHESTIPGQFVCLCPPLYTGQFCHQRYNLCDLLHNPCRNNSTCLALVDANQHCICREEFEGKNCEIDVKDCLFLSCQDYGDCEDMVNNFRCICRPGFSGSLCEIEINECSSEPCKNNGTCVDLTNRFFCNCEPEYHGPFCELDVNKCKISPCLDEENCVYRTDGYNCLCAPGYTGINCEINLDECLSEPCLHDGVCIDGINHYTCDCKSGFFGTHCETNANDCLSNPCLHGRCTELINEYPCSCDADGTSTQCKIKINDCTSIPCMNEGFCQKSAHGFTCICPRGYTGAYCEKSIDNCAEPELNSVICLNGGICVDGPGHTFDCRCLPGFSGQFCEININECSSSPCLHGADCEDHINGYVCKCQPGWSGHHCENELECIPNSCVHELCMENEPGSTCLCTPGFMTCSIGLLCGDEIRRITCLTPIFQRTDPISTQTYTIPPSETLVSSFPSIKATRIPAIMDTYPVDQGPKQTGIVKHDILPTTGLATLRISTPLESYLLQELIVTRELSAKHSLLSSADVSSSRFLNFGIRDPAQIVQDKTSVSHMPIRTSAATLGFFFPDRRARTPFIMSSLMSDFIFPTQSLLFENCQTVALSATPTTSVIRSIPGADIELNRQSLLSRGFLLIAASISATPVVSRGAQEDIEEYSADSLISRREHWRLLSPSMSPIFPAKVIISKQVTILNSSALHRFSTKAFNPSEYQAITEASSNQRLTNIKSQAADSLRELSQTCATCSMTEIKSSREFSDQVLHSKQSHFYETFWMNSAILASWYALMGAQTITSGHSFSSATEITPSVAFTEVPSLFPSKKSAKRTILSSSLEESITLSSNLDVNLCLDKTCLSIVPSQTISSDLMNSDLTSKMTTDELSVSENILKLLKIRQYGITMGPTEVLNQESLLDMEKSKGSHTLFKLHPSDSSLDFELNLQIYPDVTLKTYSEITHANDFKNNLPPLTGSVPDFSEVTTNVAFYTVSATPALSIQTSSSMSVIRPDWPYFTDYMTSLKKEVKTSSEWSKWELQPSVQYQEFPTASRHLPFTRSLTLSSLESILAPQRLMISDFSCVRYYGDSYLEFQNVALNPQNNISLEFQTFSSYGLLLYVKQDSNLVDGFFIQLFIENGTLKYHFYCPGEAKFKSINTTVRVDNGQKYTLLIRQELDPCNAELTILGRNTQICESINHVLGKPLPKSGSVFIGGFPDLHGKIQMPVPVKNFTGCIEVIEINNWRSFIPSKAVKNYHINNCRSQGFMLSPTASFVDASDVTQGVDTMWTSVSPSVAAPSVCQQDVCHNGGTCHAIFLSSGIVSFQCDCPLHFTGRFCEKDAGLFFPSFNGNSYLELPFLKFVLEKEHNRTVTIYLTIKTNSLNGTILYSNGNNCGKQFLHLFLVEGRPSVKYGCGNSQNILTVSANYSINTNAFTPITIRYTTPVGSPGVVCMIEMTADGKPPVQKKDTEISHASQAYFESMFLGHIPANVQIHKKAGPVYGFRGCILDLQVNNKEFFIIDEARHGKNIENCHVPWCAHHLCRNNGTCISDNENLFCECPRLYSGKLCQFASCENNPCGNGATCVPKSGTDIVCLCPYGRSGPLCTDAINITQPRFSGTDAFGYTSFLAYSRISDISFHYEFHLKFQLANNHSALQNNLIFFTGQKGHGLNGDDFLAVGLLNGSVVYSYNLGSGIASIRSEPLNLSLGVHTVHLGKFFQEGWLKVDDHKNKSIIAPGRLVGLNVFSQFYVGGYSEYTPDLLPNGADFKNGFQGCIFTLQVRTEKDGHFRGLGNPEGHPNAGRSVGQCHASPCSLMKCGNGGTCIESGTSVYCNCTTGWKGSFCTETVSTCDPEHDPPHHCSRGATCISLPHGYTCFCPLGTTGIYCEQALILIVILEKPKPAERKVKKEALSISDPSFRSNELSWMSFASFHVRKKTHIQLQFQPLAADGILFYAAQHLKAQSGDFLCISLVNSSVQLRYNLGDRTIILETLQKVTINGSTWHIIKAGRVGAEGYLDLDGINVTEKASTKMSSLDTNTDFYIGGVSSLNLVNPMAIENEPVGFQGCIRQVIINNQELQLTEFGAKGGSNVGDCDGTACGYNTCRNGGECTVNGTTFSCRCLPDWAGNTCNQSVSCLNNLCLHQSLCIPDQSFSYSCLCTLGWVGRYCENKTSFSTAKFMGNSYIKYIDPNYRMRNLQFTTISLNFSTTKTEGLIVWMGIAQNEENDFLAIGLHNQTLKIAVNLGERISVPMSYNNGTFCCNKWHHVVVIQNQTLIKAYINNSLILSEDIDPHKNFVALNYDGICYLGGFEYGRKVNIVTQEIFKTNFVGKIKDVVFFQEPKNIELIKLEGYNVYDGDEQNEVT
+>DECOY_sp|Q5T1H1|EYS_HUMAN Protein eyes shut homolog OS=Homo sapiens OX=9606 GN=EYS PE=1 SV=5
+TVENQEDGDYVNYGELKILEINKPEQFFVVDKIKGVFNTKFIEQTVINVKRGYEFGGLYCIGDYNLAVFNKHPDIDESLILSNNIYAKILTQNQIVVVHHWKNCCFTGNNYSMPVSIREGLNVAIKLTQNHLGIALFDNEENQAIGMWVILGETKTTSFNLSITTFQLNRMRYNPDIYKIYSNGMFKATSFSTKNECYRGVWGLTCLCSYSFSQDPICLSQHLCLNNLCSVSQNCTNGAWDPLCRCSFTTGNVTCEGGNRCTNYGCATGDCDGVNSGGKAGFETLQLEQNNIIVQRICGQFGVPENEIAMPNVLNLSSVGGIYFDTNTDLSSMKTSAKETVNIGDLDLYGEAGVRGAKIIHWTSGNITVKQLTELIITRDGLNYRLQVSSNVLSICLFDGSQAKLHQAAYFLIGDAALPQFQLQIHTKKRVHFSAFSMWSLENSRFSPDSISLAEKKVKREAPKPKELIVILILAQECYIGTTGLPCFCTYGHPLSICTAGRSCHHPPDHEPDCTSVTETCFSGKWGTTCNCYVSTGSEICTGGNGCKMLSCPSAHCQGVSRGANPHGEPNGLGRFHGDKETRVQLTFICGQFGNKFDAGNPLLDPTYESYGGVYFQSFVNLGVLRGPAIISKNKHDDVKLWGEQFFKGLHVTHVGLSLNLPESRISAIGSGLNYSYVVSGNLLGVALFDDGNLGHGKQGTFFILNNQLASHNNALQFKLHFEYHFSIDSIRSYALFSTYGFADTGSFRPQTINIADTCLPGSRGYPCLCVIDTGSKPVCTAGNGCPNNECSAFQCLKGSYLRPCECFLNENDSICTGNNRCLHHACWPVHCNEINKGHRAEDIIFFEKNNVQLDLICGRFGYVPGAKKHIQVNAPIHGLFMSEFYAQSAHSIETDKKQVPPKGDATMEIMCVVGPSGVPTTYRITIPTFANTNISYNASVTLINQSNGCGYKVSPRGEVLFLHLFQKGCNNGNSYLITGNLSNTKITLYITVTRNHEKELVFKLFPLELYSNGNFSPFFLGADKECFRGTFHLPCDCQFSVIGSSLFIAHCTGGNHCVDQQCVSPAAVSPSVSTWMTDVGQTVDSADVFSATPSLMFGQSRCNNIHYNKVAKSPIFSRWNNIEIVEICGTFNKVPVPMQIKGHLDPFGGIFVSGSKPLPKGLVHNISECIQTNRGLITLEANCPDLEQRILLTYKQGNDVRVTTNISKFKAEGPCYFHYKLTGNEIFLQIFFGDVLNSDQKVYLLLGYSSFTQFELSINNQPNLAVNQFELYSDGYYRVCSFDSIMLRQPALISELSSLTLSRTFPLHRSATPFEQYQVSPQLEWKSWESSTKVEKKLSTMYDTFYPWDPRIVSMSSSTQISLAPTASVTYFAVNTTVESFDPVSGTLPPLNNKFDNAHTIESYTKLTVDPYIQLNLEFDLSSDSPHLKFLTHSGKSKEMDLLSEQNLVETPGMTIGYQRIKLLKLINESVSLEDTTMKSTLDSNMLDSSITQSPVISLCTKDLCLNVDLNSSLTISEELSSSLITRKASKKSPFLSPVETFAVSPTIETASSFSHGSTITQAGMLAYWSALIASNMWFTEYFHSQKSHLVQDSFERSSKIETMSCTACTQSLERLSDAAQSKINTLRQNSSAETIAQYESPNFAKTSFRHLASSNLITVQKSIIVKAPFIPSMSPSLLRWHERRSILSDASYEEIDEQAGRSVVPTASISAAILLFGRSLLSQRNLEIDAGPISRIVSTTPTASLAVTQCNEFLLSQTPFIFDSMLSSMIFPTRARRDPFFFGLTAASTRIPMHSVSTKDQVIQAPDRIGFNLFRSSSVDASSLLSHKASLERTVILEQLLYSELPTSIRLTALGTTPLIDHKVIGTQKPGQDVPYTDMIAPIRTAKISPFSSVLTESPPITYTQTSIPDTRQFIPTLCTIRRIEDGCLLGISCTMFGPTCLCTSGPENEMCLEHVCSNPICELENECHHGSWGPQCKCVYGNIHDECDAGHLCPSSSCENINIECFQGSFGPLCRCDFTHGPGDVCIGGNLCIVSNLEPEACNDISKECYAGTYGRPCICTFGHASKQCFGENMCPISTCDNIKIKCQTSTGDADCSCPYENILETCRGHLCPNSLCDNANTECHTGFFGSKCDCTYHNIGDICVGDHLCPESLCEDLNIECNIGTYGPACLCNYGDTRYVCNEEDLCPSIKCKNVDLECFPGHYEPECNCFFRNTLDVCTGNNKCPESSCENIEIECLSGSFGPRCICRFNNVMDECDGYDQCSLFLCDKVDIECNKGEFEERCICHQNADVLALCTSNNRCPNHLLDCLNYRQHCFQGTYLPPCLCVFQGPITSEHCLGGNMCPDSDCENIEESCNQGTWGSTCECRYSKYLDTCTSNNKCPNMKCENSEQECFNGEWDSLCVCQYSLHLDKCTSNHECANLICDDIDQECRIGVYGPNCLCSFGDVVKFPPVCQCFYNGPQDICTAGNKCPHSACEDIDIECQTGKFGPVCKRFFYGRLHTSTTGNKCSASKCDETDIECINREYRQLGSCNCNHSLALCLGHVSISHNGLCYDVNVVCLRGIYSLSCSCRPRNIEDKCVAEHQCENEQDDTTNELYMNGAWRLFCLYRYEQSDEESLCSCGNACIEKTGEHPFWCSSNNDEPDNVYTADETCNAALFFYADIVGQCKEGESGAFGLQWVYEFQAPGKDQCIGHFTVGCYCLHQHILYVNKLFWCPNKTCGPICVYKFRGIINFCWEENLCNISLLKCHDIAKECNKETFGSICSCPYDKECKKCTANNRLPFSECSTQISKCLLDTFIPSCICMVDNSIKICDTGNQCPVLSFESVDTEGNQSSSGKPCEYTYASSSNPCIGRKWFLLSVCPKASVECFPGSFQEDCECIFSNSTINSCNGHFCVHPQCQGIIESCNKGTFPPHCVCEYAQEDWNERKNICSGNNKCPKFSCADLEQCYKGSFPPQCHCSYTKSWAESLCKGHGSCFESSLSEQCFQQKVTVNLRLGLPCPSPGSAMVTIFYHTGVSLWKSNVTHMGKLRCGFLLQDETTTNQVCGVFSTESVNMLNIEPFQLSPESSIVLIDGLQIQLPCIQPVAQNGSTDIKTNVGLFWCDRYFDLCINETLTWNVVYSSPQPHWEEVLQRRCTKGNIFSSHFVMLSLIVISKDTM
+>sp|Q15910|EZH2_HUMAN Histone-lysine N-methyltransferase EZH2 OS=Homo sapiens OX=9606 GN=EZH2 PE=1 SV=2
+MGQTGKKSEKGPVCWRKRVKSEYMRLRQLKRFRRADEVKSMFSSNRQKILERTEILNQEWKQRRIQPVHILTSVSSLRGTRECSVTSDLDFPTQVIPLKTLNAVASVPIMYSWSPLQQNFMVEDETVLHNIPYMGDEVLDQDGTFIEELIKNYDGKVHGDRECGFINDEIFVELVNALGQYNDDDDDDDGDDPEEREEKQKDLEDHRDDKESRPPRKFPSDKIFEAISSMFPDKGTAEELKEKYKELTEQQLPGALPPECTPNIDGPNAKSVQREQSLHSFHTLFCRRCFKYDCFLHPFHATPNTYKRKNTETALDNKPCGPQCYQHLEGAKEFAAALTAERIKTPPKRPGGRRRGRLPNNSSRPSTPTINVLESKDTDSDREAGTETGGENNDKEEEEKKDETSSSSEANSRCQTPIKMKPNIEPPENVEWSGAEASMFRVLIGTYYDNFCAIARLIGTKTCRQVYEFRVKESSIIAPAPAEDVDTPPRKKKRKHRLWAAHCRKIQLKKDGSSNHVYNYQPCDHPRQPCDSSCPCVIAQNFCEKFCQCSSECQNRFPGCRCKAQCNTKQCPCYLAVRECDPDLCLTCGAADHWDSKNVSCKNCSIQRGSKKHLLLAPSDVAGWGIFIKDPVQKNEFISEYCGEIISQDEADRRGKVYDKYMCSFLFNLNNDFVVDATRKGNKIRFANHSVNPNCYAKVMMVNGDHRIGIFAKRAIQTGEELFFDYRYSQADALKYVGIEREMEIP
+>DECOY_sp|Q15910|EZH2_HUMAN Histone-lysine N-methyltransferase EZH2 OS=Homo sapiens OX=9606 GN=EZH2 PE=1 SV=2
+PIEMEREIGVYKLADAQSYRYDFFLEEGTQIARKAFIGIRHDGNVMMVKAYCNPNVSHNAFRIKNGKRTADVVFDNNLNFLFSCMYKDYVKGRRDAEDQSIIEGCYESIFENKQVPDKIFIGWGAVDSPALLLHKKSGRQISCNKCSVNKSDWHDAAGCTLCLDPDCERVALYCPCQKTNCQAKCRCGPFRNQCESSCQCFKECFNQAIVCPCSSDCPQRPHDCPQYNYVHNSSGDKKLQIKRCHAAWLRHKRKKKRPPTDVDEAPAPAIISSEKVRFEYVQRCTKTGILRAIACFNDYYTGILVRFMSAEAGSWEVNEPPEINPKMKIPTQCRSNAESSSSTEDKKEEEEKDNNEGGTETGAERDSDTDKSELVNITPTSPRSSNNPLRGRRRGGPRKPPTKIREATLAAAFEKAGELHQYCQPGCPKNDLATETNKRKYTNPTAHFPHLFCDYKFCRRCFLTHFSHLSQERQVSKANPGDINPTCEPPLAGPLQQETLEKYKEKLEEATGKDPFMSSIAEFIKDSPFKRPPRSEKDDRHDELDKQKEEREEPDDGDDDDDDDNYQGLANVLEVFIEDNIFGCERDGHVKGDYNKILEEIFTGDQDLVEDGMYPINHLVTEDEVMFNQQLPSWSYMIPVSAVANLTKLPIVQTPFDLDSTVSCERTGRLSSVSTLIHVPQIRRQKWEQNLIETRELIKQRNSSFMSKVEDARRFRKLQRLRMYESKVRKRWCVPGKESKKGTQGM
+>sp|Q8NFI4|F10A5_HUMAN Putative protein FAM10A5 OS=Homo sapiens OX=9606 GN=ST13P5 PE=5 SV=1
+MDPCKVNELRAFVKMCKQDPSVLHTEEMRFLREWVESMGGKVPPATQKAKSEENTKEEKPDSKKVEEDLKADEPSTEESDLEIDKEGVIEPDTDAPQEMGDENVEITEEMMDQANDKKVAAIEVLNDGELQKAIDLFTDAIKLNPRLAILYAKRASVFVKLQKPNAAIQDCDRAIEINPDSAQPYKWRGKAHRLLGHWEEAAHDLAFACKLDYDEDASAMLKEVQPRAQKIAEHWRKYERKHEEREIKERIERVKKAQEEQERAQREEEARRQSGAHYGPFPGGFPGGMPGNFPGGMPGMGGDMPGMAGMPGLNEILSDPEALAAMQDPEVMVAFQDVAQNPANMSKYQSNPKVMNLISKLSAKFGGQA
+>DECOY_sp|Q8NFI4|F10A5_HUMAN Putative protein FAM10A5 OS=Homo sapiens OX=9606 GN=ST13P5 PE=5 SV=1
+AQGGFKASLKSILNMVKPNSQYKSMNAPNQAVDQFAVMVEPDQMAALAEPDSLIENLGPMGAMGPMDGGMGPMGGPFNGPMGGPFGGPFPGYHAGSQRRAEEERQAREQEEQAKKVREIREKIEREEHKREYKRWHEAIKQARPQVEKLMASADEDYDLKCAFALDHAAEEWHGLLRHAKGRWKYPQASDPNIEIARDCDQIAANPKQLKVFVSARKAYLIALRPNLKIADTFLDIAKQLEGDNLVEIAAVKKDNAQDMMEETIEVNEDGMEQPADTDPEIVGEKDIELDSEETSPEDAKLDEEVKKSDPKEEKTNEESKAKQTAPPVKGGMSEVWERLFRMEETHLVSPDQKCMKVFARLENVKCPDM
+>sp|Q9BPY3|F118B_HUMAN Protein FAM118B OS=Homo sapiens OX=9606 GN=FAM118B PE=1 SV=1
+MASTGSQASDIDEIFGFFNDGEPPTKKPRKLLPSLKTKKPRELVLVIGTGISAAVAPQVPALKSWKGLIQALLDAAIDFDLLEDEESKKFQKCLHEDKNLVHVAHDLIQKLSPRTSNVRSTFFKDCLYEVFDDLESKMEDSGKQLLQSVLHLMENGALVLTTNFDNLLELYAADQGKQLESLDLTDEKKVLEWAQEKRKLSVLHIHGVYTNPSGIVLHPAGYQNVLRNTEVMREIQKLYENKSFLFLGCGWTVDDTTFQALFLEAVKHKSDLEHFMLVRRGDVDEFKKLRENMLDKGIKVISYGDDYADLPEYFKRLTCEISTRGTSAGMVREGQLNGSSAAHSEIRGCST
+>DECOY_sp|Q9BPY3|F118B_HUMAN Protein FAM118B OS=Homo sapiens OX=9606 GN=FAM118B PE=1 SV=1
+TSCGRIESHAASSGNLQGERVMGASTGRTSIECTLRKFYEPLDAYDDGYSIVKIGKDLMNERLKKFEDVDGRRVLMFHELDSKHKVAELFLAQFTTDDVTWGCGLFLFSKNEYLKQIERMVETNRLVNQYGAPHLVIGSPNTYVGHIHLVSLKRKEQAWELVKKEDTLDLSELQKGQDAAYLELLNDFNTTLVLAGNEMLHLVSQLLQKGSDEMKSELDDFVEYLCDKFFTSRVNSTRPSLKQILDHAVHVLNKDEHLCKQFKKSEEDELLDFDIAADLLAQILGKWSKLAPVQPAVAASIGTGIVLVLERPKKTKLSPLLKRPKKTPPEGDNFFGFIEDIDSAQSGTSAM
+>sp|Q9NX05|F120C_HUMAN Constitutive coactivator of PPAR-gamma-like protein 2 OS=Homo sapiens OX=9606 GN=FAM120C PE=1 SV=3
+MGVQGFQEFLEKRCPGAVVPVDLLKLARTVSRQQQQQHLHRQLPPTAALAPGAPRAARGSVPLQPPLPPAALGAYSGGAGPIRHHHPAHHFHHHGQAQPGLHPPLPPPPPPQLPGARVLVDAGSALPRLYGGYQTDWVCGGQWNAMLGYLSALCQACAYPGGDGLELVVMFPGGLGKDRLAEWGRRCQAERQTAQLIVGHVGNKGTPPPRAWFLPPACLSHCVRLALIRFRVKVFQSLEDHHLEVVAFFRENGFHGLLAHDSEYALYNIPSYYSSHALKLSWNGKNLTTNQFLMQEVAKQLGLKRMNFPIFAALLGNHILPDEDLAAFHWSLLGPEHPLASLKVRAHQLVLPPCDVVIKAVSEYVSSIKDPSNLDVVGKDVFKQSQSRTEDKIERFKKAVEYYSVTTKLSSLPVGPSFLGFRNNRLGNPPLPRNQVGTISAGKPMFSHQVPQKVKYPPPFPVGPNSSLLFSSHALGESHAFSEDPMLQNSPFANWAVSYDSSASQFPNYLPSKASPPLGPDSSHSSSSDGDEPNGASSDHITEAFHHQPEWGNPNRDRGSWAQPVDTGVSEASLGDGEPHIPSLLSMSTRNHMDITIPPLPPVAPEVLRVAEHRHRRGLMYPYIYHVLTKGEIKIPVCIEDECNMELPPAALLFRSARQYVYGVLFSLAETQRKMERLAMRRRLPVEVPSVILKEWSAYKGKSPQTPELVSALTFREWTCPNLKKLWLGKAVEDKNRRMRAFLACMKSDTPSMLNPANVPTHLLLMCCVLRYMVQWPGGRILHRHELDTFLAQAVSTQLYEPDRLQELKIEKLDARGIQLAALFMSGVDTALFANDACGQPVPWEHCCPWIYFDGKLFQSKLIKAGRERVSLVELCDGQADLATKVEKMRQSILEGVNMNHPPPSALLPSPTFVPPMVPSLYPVSLYSRAMGSMPLPPQGRSRGFAGLHPIPPQGGKLEIAGMVVGQWAGSRSSRGRGSFGMQVVSVGGPGKGHGKEQTGRGSKGHKKGNKQGSSDGVSKSLELHQGRSRSQVNGNSGALIKEEKSDHRLPAPSQCALSRDSNECNNGNRYLPMNNREKNHLQEQKLETVAQRKED
+>DECOY_sp|Q9NX05|F120C_HUMAN Constitutive coactivator of PPAR-gamma-like protein 2 OS=Homo sapiens OX=9606 GN=FAM120C PE=1 SV=3
+DEKRQAVTELKQEQLHNKERNNMPLYRNGNNCENSDRSLACQSPAPLRHDSKEEKILAGSNGNVQSRSRGQHLELSKSVGDSSGQKNGKKHGKSGRGTQEKGHGKGPGGVSVVQMGFSGRGRSSRSGAWQGVVMGAIELKGGQPPIPHLGAFGRSRGQPPLPMSGMARSYLSVPYLSPVMPPVFTPSPLLASPPPHNMNVGELISQRMKEVKTALDAQGDCLEVLSVRERGAKILKSQFLKGDFYIWPCCHEWPVPQGCADNAFLATDVGSMFLAALQIGRADLKEIKLEQLRDPEYLQTSVAQALFTDLEHRHLIRGGPWQVMYRLVCCMLLLHTPVNAPNLMSPTDSKMCALFARMRRNKDEVAKGLWLKKLNPCTWERFTLASVLEPTQPSKGKYASWEKLIVSPVEVPLRRRMALREMKRQTEALSFLVGYVYQRASRFLLAAPPLEMNCEDEICVPIKIEGKTLVHYIYPYMLGRRHRHEAVRLVEPAVPPLPPITIDMHNRTSMSLLSPIHPEGDGLSAESVGTDVPQAWSGRDRNPNGWEPQHHFAETIHDSSAGNPEDGDSSSSHSSDPGLPPSAKSPLYNPFQSASSDYSVAWNAFPSNQLMPDESFAHSEGLAHSSFLLSSNPGVPFPPPYKVKQPVQHSFMPKGASITGVQNRPLPPNGLRNNRFGLFSPGVPLSSLKTTVSYYEVAKKFREIKDETRSQSQKFVDKGVVDLNSPDKISSVYESVAKIVVDCPPLVLQHARVKLSALPHEPGLLSWHFAALDEDPLIHNGLLAAFIPFNMRKLGLQKAVEQMLFQNTTLNKGNWSLKLAHSSYYSPINYLAYESDHALLGHFGNERFFAVVELHHDELSQFVKVRFRILALRVCHSLCAPPLFWARPPPTGKNGVHGVILQATQREAQCRRGWEALRDKGLGGPFMVVLELGDGGPYACAQCLASLYGLMANWQGGCVWDTQYGGYLRPLASGADVLVRAGPLQPPPPPPLPPHLGPQAQGHHHFHHAPHHHRIPGAGGSYAGLAAPPLPPQLPVSGRAARPAGPALAATPPLQRHLHQQQQQRSVTRALKLLDVPVVAGPCRKELFEQFGQVGM
+>sp|P0CG42|F157B_HUMAN Putative protein FAM157B OS=Homo sapiens OX=9606 GN=FAM157B PE=3 SV=1
+MGPLFTTIPGAHSGPMRPLPKKHVEPMAVRQLLLGNSTMIRHTCPMSVPLSRQVKEVAAQKPSEDIYKNRQRRQQQQQQQQQQQQLDLLFHQRIQISLWPRKQKRRKTEQHSHPFVKKAFRFSAGPGCRRPSSNKMLRSMGGGQRPMGLGSEFFRLLHDLHLLAFATKRIWIHRRGEATARPRAPEHPAPPATAVRGRDAASQNLKRRPGSGTDGLRLQGAEPSRLLRTYAGGAVIPTGTPERAQPPPPQDPLGRRRWLSRNTWGPWPGTTQPPSPQLLRNDWGSCGFMVPEAARGKVFQDSQEGAHIRRETVSKSVCAEPWRHQRARDPAPTNFPLKCQKQRGASTSSGQHGGRVNLVFFIDSPTVIAVPDLQCPTKYSGILY
+>DECOY_sp|P0CG42|F157B_HUMAN Putative protein FAM157B OS=Homo sapiens OX=9606 GN=FAM157B PE=3 SV=1
+YLIGSYKTPCQLDPVAIVTPSDIFFVLNVRGGHQGSSTSAGRQKQCKLPFNTPAPDRARQHRWPEACVSKSVTERRIHAGEQSDQFVKGRAAEPVMFGCSGWDNRLLQPSPPQTTGPWPGWTNRSLWRRRGLPDQPPPPQAREPTGTPIVAGGAYTRLLRSPEAGQLRLGDTGSGPRRKLNQSAADRGRVATAPPAPHEPARPRATAEGRRHIWIRKTAFALLHLDHLLRFFESGLGMPRQGGGMSRLMKNSSPRRCGPGASFRFAKKVFPHSHQETKRRKQKRPWLSIQIRQHFLLDLQQQQQQQQQQQQRRQRNKYIDESPKQAAVEKVQRSLPVSMPCTHRIMTSNGLLLQRVAMPEVHKKPLPRMPGSHAGPITTFLPGM
+>sp|Q96GL9|F163A_HUMAN Protein FAM163A OS=Homo sapiens OX=9606 GN=FAM163A PE=2 SV=1
+MTAGTVVITGGILATVILLCIIAVLCYCRLQYYCCKKSGTEVADEEEEREHDLPTHPRGPTCNACSSQALDGRGSLAPLTSEPCSQPCGVAASHCTTCSPYSSPFYIRTADMVPNGGGGERLSFAPTYYKEGGPPSLKLAAPQSYPVTWPGSGREAFTNPRAISTDV
+>DECOY_sp|Q96GL9|F163A_HUMAN Protein FAM163A OS=Homo sapiens OX=9606 GN=FAM163A PE=2 SV=1
+VDTSIARPNTFAERGSGPWTVPYSQPAALKLSPPGGEKYYTPAFSLREGGGGNPVMDATRIYFPSSYPSCTTCHSAAVGCPQSCPESTLPALSGRGDLAQSSCANCTPGRPHTPLDHEREEEEDAVETGSKKCCYYQLRCYCLVAIICLLIVTALIGGTIVVTGATM
+>sp|Q6J272|F166A_HUMAN Protein FAM166A OS=Homo sapiens OX=9606 GN=FAM166A PE=2 SV=1
+MTTTQKHDLFTPEPHYVPGYAGFFPQLRYQVGNTYGRTTGQLLTDPSVQKSPCSVLSPMSKPKFIEDFSQSKPPRVPCQDLTEPYIPHYTSLKPSKNFEILGQLPPLEVDAQEPPGVENIPRQILLPAGFTPDTPHPPCPPGRKGDSRDLGHPVYGEEAWKSATPVCEAPRQHQLYHCQRDEYPPPARRQQETLDVGSFQRLPQLDHPNLIQRKAISGYAGFIPRFTWVMGLNYRDGVMQAMDEFDKSQFLFRNPHCDLGEKLPGTHWPSNHIYSSQGLIPFYMGFIPAMQDNYALTFGNSTRRAYWKEWAKRNHTL
+>DECOY_sp|Q6J272|F166A_HUMAN Protein FAM166A OS=Homo sapiens OX=9606 GN=FAM166A PE=2 SV=1
+LTHNRKAWEKWYARRTSNGFTLAYNDQMAPIFGMYFPILGQSSYIHNSPWHTGPLKEGLDCHPNRFLFQSKDFEDMAQMVGDRYNLGMVWTFRPIFGAYGSIAKRQILNPHDLQPLRQFSGVDLTEQQRRAPPPYEDRQCHYLQHQRPAECVPTASKWAEEGYVPHGLDRSDGKRGPPCPPHPTDPTFGAPLLIQRPINEVGPPEQADVELPPLQGLIEFNKSPKLSTYHPIYPETLDQCPVRPPKSQSFDEIFKPKSMPSLVSCPSKQVSPDTLLQGTTRGYTNGVQYRLQPFFGAYGPVYHPEPTFLDHKQTTTM
+>sp|Q9Y6X4|F169A_HUMAN Soluble lamin-associated protein of 75 kDa OS=Homo sapiens OX=9606 GN=FAM169A PE=1 SV=2
+MAFPVDMLENCSHEELENSAEDYMSDLRCGDPENPECFSLLNITIPISLSNVGFVPLYGGDQTQKILALFAPEDSLTAVALYLADQWWAIDDIVKTSVPSREGLKQVSTLGERVVLYVLNRIIYRKQEMERNEIPFLCHSSTDYAKILWKKGEAIGFYSVKPTGSICASFLTQSYQLPVLDTMFLRKKYRGKDFGLHMLEDFVDSFTEDALGLRYPLSSLMYTACKQYFEKYPGDHELLWEVEGVGHWYQRIPVTRALQREALKILALSQNEPKRPMSGEYGPASVPEYEARTEDNQSSEMQLTIDSLKDAFASTSEGHDKTSVSTHTRSGNLKRPKIGKRFQDSEFSSSQGEDEKTSQTSLTASINKLESTARPSESSEEFLEEEPEQRGIEFEDESSDRDARPALETQPQQEKQDGEKESELEPMNGEIMDDSLKTSLITEEEDSTSEVLDEELKLQPFNSSEDSTNLVPLVVESSKPPEVDAPDKTPRIPDSEMLMDEGTSDEKGHMEEKLSLLPRKKAHLGSSDNVATMSNEERSDGGFPNSVIAEFSEEPVSENLSPNTTSSLEDQGEEGVSEPQETSTALPQSSLIEVELEDVPFSQNAGQKNQSEEQSEASSEQLDQFTQSAEKAVDSSSEEIEVEVPVVDRRNLRRKAKGHKGPAKKKAKLT
+>DECOY_sp|Q9Y6X4|F169A_HUMAN Soluble lamin-associated protein of 75 kDa OS=Homo sapiens OX=9606 GN=FAM169A PE=1 SV=2
+TLKAKKKAPGKHGKAKRRLNRRDVVPVEVEIEESSSDVAKEASQTFQDLQESSAESQEESQNKQGANQSFPVDELEVEILSSQPLATSTEQPESVGEEGQDELSSTTNPSLNESVPEESFEAIVSNPFGGDSREENSMTAVNDSSGLHAKKRPLLSLKEEMHGKEDSTGEDMLMESDPIRPTKDPADVEPPKSSEVVLPVLNTSDESSNFPQLKLEEDLVESTSDEEETILSTKLSDDMIEGNMPELESEKEGDQKEQQPQTELAPRADRDSSEDEFEIGRQEPEEELFEESSESPRATSELKNISATLSTQSTKEDEGQSSSFESDQFRKGIKPRKLNGSRTHTSVSTKDHGESTSAFADKLSDITLQMESSQNDETRAEYEPVSAPGYEGSMPRKPENQSLALIKLAERQLARTVPIRQYWHGVGEVEWLLEHDGPYKEFYQKCATYMLSSLPYRLGLADETFSDVFDELMHLGFDKGRYKKRLFMTDLVPLQYSQTLFSACISGTPKVSYFGIAEGKKWLIKAYDTSSHCLFPIENREMEQKRYIIRNLVYLVVREGLTSVQKLGERSPVSTKVIDDIAWWQDALYLAVATLSDEPAFLALIKQTQDGGYLPVFGVNSLSIPITINLLSFCEPNEPDGCRLDSMYDEASNELEEHSCNELMDVPFAM
+>sp|Q05DH4|F16A1_HUMAN Protein FAM160A1 OS=Homo sapiens OX=9606 GN=FAM160A1 PE=2 SV=2
+MMSSVSTESKLQQAVSLQGVDPETCMIVFKNHWAQVVKILEKHDPLKNTQAKYGSIPPDEASAVQNYVEHMLFLLIEEQAKDAAMGPILEFVVSENIMEKLFLWSLRREFTDETKIEQLKMYEMLVTQSHQPLLHHKPILKPLMMLLSSCSGTTTPTVEEKLVVLLNQLCSILAKDPSILELFFHTSEDQGAANFLIFSLLIPFIHREGSVGQQARDALLFIMSLSAENTMVAHHIVENTYFCPVLATGLSGLYSSLPTKLEEKGEEWHCLLKDDWLLLPSLVQFMNSLEFCNAVIQVAHPLIRNQLVNYIYNGFLVPVLAPALHKVTVEEVMTTTAYLDLFLRSISEPALLEIFLRFILLHQHENVHILDTLTSRINTPFRLCVVSLALFRTLIGLHCEDVMLQLVLRYLIPCNHMMLSQRWAVKERDCYSVSAAKLLALTPVCCSSGITLTLGNQERDYILWSKCMHDTSGPVERPFPEAFSESACIVEYGKALDISYLQYLWEAHTNILRCMRDCRVWSALYDGDSPDPEMFLQSLTEEGSVSSACPVFGLPQQLPRKTGPQLAPRKDKSQTELEWDDSYDTGISSGADVGSPGPYDDLEVSGPPAPIDPPKHIQEMKKNALLLFKGSYIEESDFQDDVMVYRLCAEKDSEDMKDSQEEAARPPAEAQAEVQSVPINNGPLLSTQPETDSEEEWNRDNSDPFHSEPKEPKQEREPEAAPESNSELASPAPEAEHSSNLTAAHPESEELIAQYDQIIKELDSGAEGLMEQNYPTPDPLLLTKEEEGKEESKGEKEKEGKKELEDEEDDFDSFIAEMPAVETVPSPFVGRDEAAFASRHPVRTQSTPFTGPFISVVLSKLENMLENSLHVNLLLIGIITQLASYPQPLLRSFLLNTNMVFQPSVRSLYQVLASVKNKIEQFASVERDFPGLLIQAQQYLLFRVDMSDMTPAALTKDPIQEASRTGSGKNLLDGPPRVLQPFLTHRTKVAEAPPNLPLPVRNPMLAAALFPEFLKELAALAQEHSILCYKILGDFEDSCC
+>DECOY_sp|Q05DH4|F16A1_HUMAN Protein FAM160A1 OS=Homo sapiens OX=9606 GN=FAM160A1 PE=2 SV=2
+CCSDEFDGLIKYCLISHEQALAALEKLFEPFLAAALMPNRVPLPLNPPAEAVKTRHTLFPQLVRPPGDLLNKGSGTRSAEQIPDKTLAAPTMDSMDVRFLLYQQAQILLGPFDREVSAFQEIKNKVSALVQYLSRVSPQFVMNTNLLFSRLLPQPYSALQTIIGILLLNVHLSNELMNELKSLVVSIFPGTFPTSQTRVPHRSAFAAEDRGVFPSPVTEVAPMEAIFSDFDDEEDELEKKGEKEKEGKSEEKGEEEKTLLLPDPTPYNQEMLGEAGSDLEKIIQDYQAILEESEPHAATLNSSHEAEPAPSALESNSEPAAEPEREQKPEKPESHFPDSNDRNWEEESDTEPQTSLLPGNNIPVSQVEAQAEAPPRAAEEQSDKMDESDKEACLRYVMVDDQFDSEEIYSGKFLLLANKKMEQIHKPPDIPAPPGSVELDDYPGPSGVDAGSSIGTDYSDDWELETQSKDKRPALQPGTKRPLQQPLGFVPCASSVSGEETLSQLFMEPDPSDGDYLASWVRCDRMCRLINTHAEWLYQLYSIDLAKGYEVICASESFAEPFPREVPGSTDHMCKSWLIYDREQNGLTLTIGSSCCVPTLALLKAASVSYCDREKVAWRQSLMMHNCPILYRLVLQLMVDECHLGILTRFLALSVVCLRFPTNIRSTLTDLIHVNEHQHLLIFRLFIELLAPESISRLFLDLYATTTMVEEVTVKHLAPALVPVLFGNYIYNVLQNRILPHAVQIVANCFELSNMFQVLSPLLLWDDKLLCHWEEGKEELKTPLSSYLGSLGTALVPCFYTNEVIHHAVMTNEASLSMIFLLADRAQQGVSGERHIFPILLSFILFNAAGQDESTHFFLELISPDKALISCLQNLLVVLKEEVTPTTTGSCSSLLMMLPKLIPKHHLLPQHSQTVLMEYMKLQEIKTEDTFERRLSWLFLKEMINESVVFELIPGMAADKAQEEILLFLMHEVYNQVASAEDPPISGYKAQTNKLPDHKELIKVVQAWHNKFVIMCTEPDVGQLSVAQQLKSETSVSSMM
+>sp|A6NMN3|F170B_HUMAN Protein FAM170B OS=Homo sapiens OX=9606 GN=FAM170B PE=1 SV=1
+MKCYFTDHRGEQSPTDGTTLSLTSPESTEESVEVFWPGTIQREGSSPRPGPAIPREEGLYFAARDRGMRDWSSSPSSESSEYQSYSQYQSCCSCMCDEDNAAPQSVCAFYTHVQTVRGVAVAWETEAGFEPVTRKPRIHEAQFIKRQRWNGSSFEMASNTDMRWDLEACKSNCSPEPEDIDLLECCLQELREPPDWLVTTNYGVRCVACCRVLPSLDALLEHAQHGIREGFSCQIFFEEMLERRRAQGQAHDQQLEEEQSPSDNSECSRPQGEVLSAQQQEKQ
+>DECOY_sp|A6NMN3|F170B_HUMAN Protein FAM170B OS=Homo sapiens OX=9606 GN=FAM170B PE=1 SV=1
+QKEQQQASLVEGQPRSCESNDSPSQEEELQQDHAQGQARRRELMEEFFIQCSFGERIGHQAHELLADLSPLVRCCAVCRVGYNTTVLWDPPERLEQLCCELLDIDEPEPSCNSKCAELDWRMDTNSAMEFSSGNWRQRKIFQAEHIRPKRTVPEFGAETEWAVAVGRVTQVHTYFACVSQPAANDEDCMCSCCSQYQSYSQYESSESSPSSSWDRMGRDRAAFYLGEERPIAPGPRPSSGERQITGPWFVEVSEETSEPSTLSLTTGDTPSQEGRHDTFYCKM
+>sp|Q5VUB5|F1711_HUMAN Protein FAM171A1 OS=Homo sapiens OX=9606 GN=FAM171A1 PE=1 SV=1
+MSRSATLLLCLLGCHVWKAVTKTLREPGAGAQEVTLKVHISDASTHQPVADALIEIFTNQASIASGTSGTDGVAFIKFQYKLGSQLIVTASKHAYVPNSAPWKPIRLPVFSSLSLGLLPERSATLMVYEDVVQIVSGFQGARPQPRVHFQRRALRLPENTSYSDLTAFLTAASSPSEVDSFPYLRGLDGNGTGNSTRHDLTPVTAVSVHLLSSNGTPVLVDGPIYVTVPLATQSSLRHNAYVAAWRFDQKLGTWLKSGLGLVHQEGSQLTWTYIAPQLGYWVAAMSPPIPGPVVTQDITTYHTVFLLAILGGMAFILLVLLCLLLYYCRRKCLKPRQHHRKLQLPAGLESSKRDQSTSMSHINLLFSRRASEFPGPLSVTSHGRPEAPGTKELMSGVHLEMMSPGGEGDLHTPMLKLSYSTSQEFSSREELLSCKEEDKSQISFDNLTPSGTLGKDYHKSVEVFPLKARKSMEREGYESSGNDDYRGSYNTVLSQPLFEKQDREGPASTGSKLTIQEHLYPAPSSPEKEQLLDRRPTECMMSRSVDHLERPTSFPRPGQLICCSSVDQVNDSVYRKVLPALVIPAHYMKLPGDHSYVSQPLVVPADQQLEIERLQAELSNPHAGIFPHPSSQIQPQPLSSQAISQQHLQDAGTREWSPQNASMSESLSIPASLNDAALAQMNSEVQLLTEKALMELGGGKPLPHPRAWFVSLDGRSNAHVRHSYIDLQRAGRNGSNDASLDSGVDMNEPKSARKGRGDALSLQQNYPPVQEHQQKEPRAPDSTAYTQLVYLDDVEQSGSECGTTVCTPEDSALRCLLEGSSRRSGGQLPSLQEETTRRTADAPSEPAASPHQRRSAHEEEEDDDDDDQGEDKKSPWQKREERPLMAFNIK
+>DECOY_sp|Q5VUB5|F1711_HUMAN Protein FAM171A1 OS=Homo sapiens OX=9606 GN=FAM171A1 PE=1 SV=1
+KINFAMLPREERKQWPSKKDEGQDDDDDDEEEEHASRRQHPSAAPESPADATRRTTEEQLSPLQGGSRRSSGELLCRLASDEPTCVTTGCESGSQEVDDLYVLQTYATSDPARPEKQQHEQVPPYNQQLSLADGRGKRASKPENMDVGSDLSADNSGNRGARQLDIYSHRVHANSRGDLSVFWARPHPLPKGGGLEMLAKETLLQVESNMQALAADNLSAPISLSESMSANQPSWERTGADQLHQQSIAQSSLPQPQIQSSPHPFIGAHPNSLEAQLREIELQQDAPVVLPQSVYSHDGPLKMYHAPIVLAPLVKRYVSDNVQDVSSCCILQGPRPFSTPRELHDVSRSMMCETPRRDLLQEKEPSSPAPYLHEQITLKSGTSAPGERDQKEFLPQSLVTNYSGRYDDNGSSEYGEREMSKRAKLPFVEVSKHYDKGLTGSPTLNDFSIQSKDEEKCSLLEERSSFEQSTSYSLKLMPTHLDGEGGPSMMELHVGSMLEKTGPAEPRGHSTVSLPGPFESARRSFLLNIHSMSTSQDRKSSELGAPLQLKRHHQRPKLCKRRCYYLLLCLLVLLIFAMGGLIALLFVTHYTTIDQTVVPGPIPPSMAAVWYGLQPAIYTWTLQSGEQHVLGLGSKLWTGLKQDFRWAAVYANHRLSSQTALPVTVYIPGDVLVPTGNSSLLHVSVATVPTLDHRTSNGTGNGDLGRLYPFSDVESPSSAATLFATLDSYSTNEPLRLARRQFHVRPQPRAGQFGSVIQVVDEYVMLTASREPLLGLSLSSFVPLRIPKWPASNPVYAHKSATVILQSGLKYQFKIFAVGDTGSTGSAISAQNTFIEILADAVPQHTSADSIHVKLTVEQAGAGPERLTKTVAKWVHCGLLCLLLTASRSM
+>sp|A8MVW0|F1712_HUMAN Protein FAM171A2 OS=Homo sapiens OX=9606 GN=FAM171A2 PE=1 SV=1
+MPPASGPSVLARLLPLLGLLLGSASRAPGKSPPEPPSPQEILIKVQVYVSGELVPLARASVDVFGNRTLLAAGTTDSEGVATLPLSYRLGTWVLVTAARPGFLTNSVPWRVDKLPLYASVSLYLLPERPATLILYEDLVHILLGSPGARSQPLVQFQRRAARLPVSSTYSQLWASLTPASTQQEMRAFPAFLGTEASSSGNGSWLELMPLTAVSVHLLTGNGTEVPLSGPIHLSLPVPSETRALTVGTSIPAWRFDPKSGLWVRNGTGVIRKEGRQLYWTFVSPQLGYWVAAMASPTAGLVTITSGIQDIGTYHTIFLLTILAALALLVLILLCLLIYYCRRRCLKPRQQHRKLQLSGPSDGNKRDQATSMSQLHLICGGPLEPAPSGDPEAPPPGPLHSAFSSSRDLASSRDDFFRTKPRSASRPAAEPSGARGGESAGLKGARSAEGPGGLEPGLEEHRRGPSGAAAFLHEPPSPPPPFDHYLGHKGAAEGKTPDFLLSQSVDQLARPPSLGQAGQLIFCGSIDHLKDNVYRNVMPTLVIPAHYVRLGGEAGAAGVGDEPAPPEGTAPGPARAFPQPDPQRPQMPGHSGPGGEGGGGGGEGWGAGRAAPVSGSVTIPVLFNESTMAQLNGELQALTEKKLLELGVKPHPRAWFVSLDGRSNSQVRHSYIDLQAGGGARSTDASLDSGVDVHEARPARRRPAREERERAPPAAPPPPPAPPRLALSEDTEPSSSESRTGLCSPEDNSLTPLLDEVAAPEGRAATVPRGRGRSRGDSSRSSASELRRDSLTSPEDELGAEVGDEAGDKKSPWQRREERPLMVFNVK
+>DECOY_sp|A8MVW0|F1712_HUMAN Protein FAM171A2 OS=Homo sapiens OX=9606 GN=FAM171A2 PE=1 SV=1
+KVNFVMLPREERRQWPSKKDGAEDGVEAGLEDEPSTLSDRRLESASSRSSDGRSRGRGRPVTAARGEPAAVEDLLPTLSNDEPSCLGTRSESSSPETDESLALRPPAPPPPPAAPPAREREERAPRRRAPRAEHVDVGSDLSADTSRAGGGAQLDIYSHRVQSNSRGDLSVFWARPHPKVGLELLKKETLAQLEGNLQAMTSENFLVPITVSGSVPAARGAGWGEGGGGGGEGGPGSHGPMQPRQPDPQPFARAPGPATGEPPAPEDGVGAAGAEGGLRVYHAPIVLTPMVNRYVNDKLHDISGCFILQGAQGLSPPRALQDVSQSLLFDPTKGEAAGKHGLYHDFPPPPSPPEHLFAAAGSPGRRHEELGPELGGPGEASRAGKLGASEGGRAGSPEAAPRSASRPKTRFFDDRSSALDRSSSFASHLPGPPPAEPDGSPAPELPGGCILHLQSMSTAQDRKNGDSPGSLQLKRHQQRPKLCRRRCYYILLCLLILVLLALAALITLLFITHYTGIDQIGSTITVLGATPSAMAAVWYGLQPSVFTWYLQRGEKRIVGTGNRVWLGSKPDFRWAPISTGVTLARTESPVPLSLHIPGSLPVETGNGTLLHVSVATLPMLELWSGNGSSSAETGLFAPFARMEQQTSAPTLSAWLQSYTSSVPLRAARRQFQVLPQSRAGPSGLLIHVLDEYLILTAPREPLLYLSVSAYLPLKDVRWPVSNTLFGPRAATVLVWTGLRYSLPLTAVGESDTTGAALLTRNGFVDVSARALPVLEGSVYVQVKILIEQPSPPEPPSKGPARSASGLLLGLLPLLRALVSPGSAPPM
+>sp|Q6P995|F171B_HUMAN Protein FAM171B OS=Homo sapiens OX=9606 GN=FAM171B PE=2 SV=3
+MARLCRRVPCTLLLGLAVVLLKARLVPAAARAELSRSDLSLIQQQQQQQQQQQQQQKQLEEAEEERTEVPGATSTLTVPVSVFMLKVQVNDIISRQYLSQAVVEVFVNYTKTNSTVTKSNGAVLIKVPYKLGLSLTIIAYKDGYVLTPLPWKTRRMPIYSSVTLSLFPQSQANIWLFEDTVLITGKLADAKSQPSVQFSKALIKLPDNHHISNVTGYLTVLQQFLKVDNFLHTTGITLNKPGFENIELTPLAAICVKIYSGGKELKVNGSIQVSLPLLRLNDISAGDRIPAWTFDMNTGAWVNHGRGMVKEHNNHLIWTYDAPHLGYWIAAPLPGTRGSGINEDSKDITAYHTVFLTAILGGTIVIVIGFFAVLLCYCRDKCGTPQKRERNITKLEVLKRDQTTSTTHINHISTVKVALKAEDKSQLFNAKNSSYSPQKKEPSKAETEERVSMVKTRDDFKIYNEDVSFLSVNQNNYSRNPTQSLEPNVGSKQPKHINNNLSSSLGDAQDEKRYLTGNEEAYGRSHIPEQLMHIYSQPIAILQTSDLFSTPEQLHTAKSATLPRKGQLVYGQLMEPVNRENFTQTLPKMPIHSHAQPPDAREEDIILEGQQSLPSQASDWSRYSSSLLESVSVPGTLNEAVVMTPFSSELQGISEQTLLELSKGKPSPHPRAWFVSLDGKPVAQVRHSFIDLKKGKRTQSNDTSLDSGVDMNELHSSRKLEREKTFIKSMHQPKILYLEDLDLSSSESGTTVCSPEDPALRHILDGGSGVIMEHPGEESPGRKSTVEDFEANTSPTKRRGRPPLAKRDSKTNIWKKREERPLIPIN
+>DECOY_sp|Q6P995|F171B_HUMAN Protein FAM171B OS=Homo sapiens OX=9606 GN=FAM171B PE=2 SV=3
+NIPILPREERKKWINTKSDRKALPPRGRRKTPSTNAEFDEVTSKRGPSEEGPHEMIVGSGGDLIHRLAPDEPSCVTTGSESSSLDLDELYLIKPQHMSKIFTKERELKRSSHLENMDVGSDLSTDNSQTRKGKKLDIFSHRVQAVPKGDLSVFWARPHPSPKGKSLELLTQESIGQLESSFPTMVVAENLTGPVSVSELLSSSYRSWDSAQSPLSQQGELIIDEERADPPQAHSHIPMKPLTQTFNERNVPEMLQGYVLQGKRPLTASKATHLQEPTSFLDSTQLIAIPQSYIHMLQEPIHSRGYAEENGTLYRKEDQADGLSSSLNNNIHKPQKSGVNPELSQTPNRSYNNQNVSLFSVDENYIKFDDRTKVMSVREETEAKSPEKKQPSYSSNKANFLQSKDEAKLAVKVTSIHNIHTTSTTQDRKLVELKTINRERKQPTGCKDRCYCLLVAFFGIVIVITGGLIATLFVTHYATIDKSDENIGSGRTGPLPAAIWYGLHPADYTWILHNNHEKVMGRGHNVWAGTNMDFTWAPIRDGASIDNLRLLPLSVQISGNVKLEKGGSYIKVCIAALPTLEINEFGPKNLTIGTTHLFNDVKLFQQLVTLYGTVNSIHHNDPLKILAKSFQVSPQSKADALKGTILVTDEFLWINAQSQPFLSLTVSSYIPMRRTKWPLPTLVYGDKYAIITLSLGLKYPVKILVAGNSKTVTSNTKTYNVFVEVVAQSLYQRSIIDNVQVKLMFVSVPVTLTSTAGPVETREEEAEELQKQQQQQQQQQQQQQQILSLDSRSLEARAAAPVLRAKLLVVALGLLLTCPVRRCLRAM
+>sp|Q8WUF8|F172A_HUMAN Protein FAM172A OS=Homo sapiens OX=9606 GN=FAM172A PE=2 SV=1
+MSISLSSLILLPIWINMAQIQQGGPDEKEKTTALKDLLSRIDLDELMKKDEPPLDFPDTLEGFEYAFNEKGQLRHIKTGEPFVFNYREDLHRWNQKRYEALGEIITKYVYELLEKDCNLKKVSIPVDATESEPKSFIFMSEDALTNPQKLMVLIHGSGVVRAGQWARRLIINEDLDSGTQIPFIKRAVAEGYGVIVLNPNENYIEVEKPKIHVQSSSDSSDEPAEKRERKDKVSKETKKRRDFYEKYRNPQREKEMMQLYIRENGSPEEHAIYVWDHFIAQAAAENVFFVAHSYGGLAFVELMIQREADVKNKVTAVALTDSVHNVWHQEAGKTIREWMRENCCNWVSSSEPLDTSVESMLPDCPRVSAGTDRHELTSWKSFPSIFKFFTEASEAKTSSLKPAVTRRSHRIKHEEL
+>DECOY_sp|Q8WUF8|F172A_HUMAN Protein FAM172A OS=Homo sapiens OX=9606 GN=FAM172A PE=2 SV=1
+LEEHKIRHSRRTVAPKLSSTKAESAETFFKFISPFSKWSTLEHRDTGASVRPCDPLMSEVSTDLPESSSVWNCCNERMWERITKGAEQHWVNHVSDTLAVATVKNKVDAERQIMLEVFALGGYSHAVFFVNEAAAQAIFHDWVYIAHEEPSGNERIYLQMMEKERQPNRYKEYFDRRKKTEKSVKDKRERKEAPEDSSDSSSQVHIKPKEVEIYNENPNLVIVGYGEAVARKIFPIQTGSDLDENIILRRAWQGARVVGSGHILVMLKQPNTLADESMFIFSKPESETADVPISVKKLNCDKELLEYVYKTIIEGLAEYRKQNWRHLDERYNFVFPEGTKIHRLQGKENFAYEFGELTDPFDLPPEDKKMLEDLDIRSLLDKLATTKEKEDPGGQQIQAMNIWIPLLILSSLSISM
+>sp|Q9BQD7|F173A_HUMAN Protein N-lysine methyltransferase FAM173A OS=Homo sapiens OX=9606 GN=FAM173A PE=2 SV=1
+MEQDDPVEALTELRERRLGALELLQAAAGSGLAAYAVWALLLQPGFRRVPLRLQVPYVGASARQVEHVLSLLRGRPGKTVDLGSGDGRIVLAAHRCGLRPAVGYELNPWLVALARLHAWRAGCAGSVCYRRKDLWKVSLRDCRNVSVFLAPSVLPLLEDKLRTELPAGARVVSGRFPLPTWQPVTAVGEGLDRVWAYDVPEGGQAGEAASSRIPIQAAPGPSSAPIPGGLISQAS
+>DECOY_sp|Q9BQD7|F173A_HUMAN Protein N-lysine methyltransferase FAM173A OS=Homo sapiens OX=9606 GN=FAM173A PE=2 SV=1
+SAQSILGGPIPASSPGPAAQIPIRSSAAEGAQGGEPVDYAWVRDLGEGVATVPQWTPLPFRGSVVRAGAPLETRLKDELLPLVSPALFVSVNRCDRLSVKWLDKRRYCVSGACGARWAHLRALAVLWPNLEYGVAPRLGCRHAALVIRGDGSGLDVTKGPRGRLLSLVHEVQRASAGVYPVQLRLPVRRFGPQLLLAWVAYAALGSGAAAQLLELAGLRRERLETLAEVPDDQEM
+>sp|Q3ZCQ3|F174B_HUMAN Membrane protein FAM174B OS=Homo sapiens OX=9606 GN=FAM174B PE=2 SV=2
+MRAVPLPAPLLPLLLLALLAAPAARASRAESVSAPWPEPERESRPPPGPGPGNTTRFGSGAAGGSGSSSSNSSGDALVTRISILLRDLPTLKAAVIVAFAFTTLLIACLLLRVFRSGKRLKKTRKYDIITTPAERVEMAPLNEEDDEDEDSTVFDIKYR
+>DECOY_sp|Q3ZCQ3|F174B_HUMAN Membrane protein FAM174B OS=Homo sapiens OX=9606 GN=FAM174B PE=2 SV=2
+RYKIDFVTSDEDEDDEENLPAMEVREAPTTIIDYKRTKKLRKGSRFVRLLLCAILLTTFAFAVIVAAKLTPLDRLLISIRTVLADGSSNSSSSGSGGAAGSGFRTTNGPGPGPPPRSEREPEPWPASVSEARSARAAPAALLALLLLPLLPAPLPVARM
+>sp|A6NL82|F183A_HUMAN Protein FAM183A OS=Homo sapiens OX=9606 GN=FAM183A PE=3 SV=2
+MAGHPKEKVIPDEVHQNQILRELYLKELRTQKLYTQYHVNPLRKIHTVTRKPMSWHDNLEEPADARFLNLIHHAAQGPRKKYPETQTENQEVGWDLEPLINPERHDRRLNHFRVCSDITLYKAKTWGLGDDHHK
+>DECOY_sp|A6NL82|F183A_HUMAN Protein FAM183A OS=Homo sapiens OX=9606 GN=FAM183A PE=3 SV=2
+KHHDDGLGWTKAKYLTIDSCVRFHNLRRDHREPNILPELDWGVEQNETQTEPYKKRPGQAAHHILNLFRADAPEELNDHWSMPKRTVTHIKRLPNVHYQTYLKQTRLEKLYLERLIQNQHVEDPIVKEKPHGAM
+>sp|A6NFU0|F187A_HUMAN Ig-like V-type domain-containing protein FAM187A OS=Homo sapiens OX=9606 GN=FAM187A PE=3 SV=1
+MHLALTTVLLWAWGLQAFEIVEKENIFQRTPCPAFLMFENAAYLADMSFELPCHCKPEEVPAVVWFYQKHLGSSHTKVLTDFDGRVLTEAAQVRVGSDMLTRFSIRMFSLLVFRAQSEDSGLYFCGTRKGDYFYAYDVDIQNSEGMVATFQDKGQEPFADEYYGHLHVFTTFWEWTPCDRCGVRGEQWRIGLCYLQSPDLSPRYLKAVPDVVSCGSRAVPRKLRTKARDHTPEVLVRSCLVPCEKTKTIREGVLAIINYVSKVGSRPWVPQVPIQFHQQRLGHGLIISCPGARPEHAVAWDKDRQHLYRTQYLKGVNRSMRVFIDHGNQLHIRFTQLDDRGIYYCWRQGVLVAGFRLGVTSHGHYPASFSDPETRSAVELTLIGYLLITAVFVTIHFCRCCCYLFHCCPSFSP
+>DECOY_sp|A6NFU0|F187A_HUMAN Ig-like V-type domain-containing protein FAM187A OS=Homo sapiens OX=9606 GN=FAM187A PE=3 SV=1
+PSFSPCCHFLYCCCRCFHITVFVATILLYGILTLEVASRTEPDSFSAPYHGHSTVGLRFGAVLVGQRWCYYIGRDDLQTFRIHLQNGHDIFVRMSRNVGKLYQTRYLHQRDKDWAVAHEPRAGPCSIILGHGLRQQHFQIPVQPVWPRSGVKSVYNIIALVGERITKTKECPVLCSRVLVEPTHDRAKTRLKRPVARSGCSVVDPVAKLYRPSLDPSQLYCLGIRWQEGRVGCRDCPTWEWFTTFVHLHGYYEDAFPEQGKDQFTAVMGESNQIDVDYAYFYDGKRTGCFYLGSDESQARFVLLSFMRISFRTLMDSGVRVQAAETLVRGDFDTLVKTHSSGLHKQYFWVVAPVEEPKCHCPLEFSMDALYAANEFMLFAPCPTRQFINEKEVIEFAQLGWAWLLVTTLALHM
+>sp|Q17R55|F187B_HUMAN Protein FAM187B OS=Homo sapiens OX=9606 GN=FAM187B PE=2 SV=2
+MPPMLWLLLHFAAPALGFYFSISCPSGKQCQQALLSGNDILLYCNSSGAHWYYLFTQGKKGRLTSLTNISNMEIMPEGSLLIKDPLPSQTGLYHCWNKNGRQVVQYEIDFQDVTTLHITHKDLGQRPLQNETLHLGSKQLIFTWWEPWQDCNRCEEPGECKRLGYRYIEEPLEEAMPCWLYLGEVLVWSSRLRPELQVEACHVQCTNNTQLRVDYVIFDNFRLDEKTEFVWLDCPLGSMYRPVNWRANDTPLTWESQLSGQDFTTFLDPSTGGRQLQVFQPAVYKCFVQQELVAQFKPAASLETLEAQWRENDAQWREARKALRGRADSVLKGLKLVLLVVTVLALLGALLKCIHPSPGRRSTQVLVVK
+>DECOY_sp|Q17R55|F187B_HUMAN Protein FAM187B OS=Homo sapiens OX=9606 GN=FAM187B PE=2 SV=2
+KVVLVQTSRRGPSPHICKLLAGLLALVTVVLLVLKLGKLVSDARGRLAKRAERWQADNERWQAELTELSAAPKFQAVLEQQVFCKYVAPQFVQLQRGGTSPDLFTTFDQGSLQSEWTLPTDNARWNVPRYMSGLPCDLWVFETKEDLRFNDFIVYDVRLQTNNTCQVHCAEVQLEPRLRSSWVLVEGLYLWCPMAEELPEEIYRYGLRKCEGPEECRNCDQWPEWWTFILQKSGLHLTENQLPRQGLDKHTIHLTTVDQFDIEYQVVQRGNKNWCHYLGTQSPLPDKILLSGEPMIEMNSINTLSTLRGKKGQTFLYYWHAGSSNCYLLIDNGSLLAQQCQKGSPCSISFYFGLAPAAFHLLLWLMPPM
+>sp|O60320|F1891_HUMAN Protein FAM189A1 OS=Homo sapiens OX=9606 GN=FAM189A1 PE=2 SV=4
+MPPAGGPRAPRPAALPRSLSRLRECPGRSRIVLALGATQMALGCLIVAVSFAALALTTSARVRHSCPFWAGFSVLLSGLIGVVSWKRPLSLVITFFMLLSAVCVMLNLAGSILSCQNAQLVNSLEGCQLIKFDSVEVCVCCELQHQSSGCSNLGETLKLNPLQENCNAVRLTLKDLLFSVCALNVLSTIVCALATAMCCMQMVSSDVLQMFLPQRSHPANPTCVTPHGTVLHQTLDFDEFIPPLPPPPYYPPEYTCTPSTEAQRGLHLDFAPSPFGTLYDVAINSPGLLYPAELPPPYEAVVGQPPASQVTSIGQQVAESSSGDPNTSAGFSTPVPADSTSLLVSEGTATPGSSPSPDGPVGAPAPSEPALPPGHVSPEDPGMGSQVQPGPGRVSRSTSDPTLCTSSMAGDASSHRPSCSQDLEAGLSEAVPGSASMSRSATAACRAQLSPAGDPDTWKTDQRPTPEPFPATSKERPRSLVDSKAYADARVLVAKFLEHSHCALPTEAQHMVGAMRLAVTNEERLEEEAVFGADVLDQV
+>DECOY_sp|O60320|F1891_HUMAN Protein FAM189A1 OS=Homo sapiens OX=9606 GN=FAM189A1 PE=2 SV=4
+VQDLVDAGFVAEEELREENTVALRMAGVMHQAETPLACHSHELFKAVLVRADAYAKSDVLSRPREKSTAPFPEPTPRQDTKWTDPDGAPSLQARCAATASRSMSASGPVAESLGAELDQSCSPRHSSADGAMSSTCLTPDSTSRSVRGPGPQVQSGMGPDEPSVHGPPLAPESPAPAGVPGDPSPSSGPTATGESVLLSTSDAPVPTSFGASTNPDGSSSEAVQQGISTVQSAPPQGVVAEYPPPLEAPYLLGPSNIAVDYLTGFPSPAFDLHLGRQAETSPTCTYEPPYYPPPPLPPIFEDFDLTQHLVTGHPTVCTPNAPHSRQPLFMQLVDSSVMQMCCMATALACVITSLVNLACVSFLLDKLTLRVANCNEQLPNLKLTEGLNSCGSSQHQLECCVCVEVSDFKILQCGELSNVLQANQCSLISGALNLMVCVASLLMFFTIVLSLPRKWSVVGILGSLLVSFGAWFPCSHRVRASTTLALAAFSVAVILCGLAMQTAGLALVIRSRGPCERLRSLSRPLAAPRPARPGGAPPM
+>sp|P78312|F193A_HUMAN Protein FAM193A OS=Homo sapiens OX=9606 GN=FAM193A PE=1 SV=2
+MKVRLLRQLSAAAKVKAPSGLQGPPQAHQFISLLLEEYGALCQAARSISTFLGTLENEHLKKFQVTWELHNKHLFENLVFSEPLLQSNLPALVSQIRLGTTTHDTCSEDTYSTLLQRYQRSEEELRRVAEEWLECQKRIDAYVDEQMTMKTKQRMLTEDWELFKQRRFIEEQLTNKKAVTGENNFTDTMRHMLSSRLSMPDCPNCNYRRRCACDDCSLSHILTCGIMDPPVTDDIHIHQLPLQVDPAPDYLAERSPPSVSSASSGSGSSSPITIQQHPRLILTDSGSAPTFCSDDEDVAPLSAKFADIYPLSNYDDTEVVANMNGIHSELNGGGENMALKDESPQISSTSSSSSEADDEEADGESSGEPPGAPKEDGVLGSRSPRTEESKADSPPPSYPTQQAEQAPNTCECHVCKQEASGLTPSAMTAGALPPGHQFLSPEKPTHPALHLYPHIHGHVPLHTVPHLPRPLIHPTLYATPPFTHSKALPPAPVQNHTNKHQVFNASLQDHIYPSCFGNTPEWNSSKFISLWGSEVMNDKNWNPGTFLPDTISGSEILGPTLSETRPEALPPPSSNETPAVSDSKEKKNAAKKKCLYNFQDAFMEANKVVMATSSATSSVSCTATTVQSSNSQFRVSSKRPPSVGDVFHGISKEDHRHSAPAAPRNSPTGLAPLPALSPAALSPAALSPASTPHLANLAAPSFPKTATTTPGFVDTRKSFCPAPLPPATDGSISAPPSVCSDPDCEGHRCENGVYDPQQDDGDESADEDSCSEHSSSTSTSTNQKEGKYCDCCYCEFFGHGGPPAAPTSRNYAEMREKLRLRLTKRKEEQPKKMDQISERESVVDHRRVEDLLQFINSSETKPVSSTRAAKRARHKQRKLEEKARLEAEARAREHLHLQEEQRRREEEEDEEEEEDRFKEEFQRLQELQKLRAVKKKKKERPSKDCPKLDMLTRNFQAATESVPNSGNIHNGSLEQTEEPETSSHSPSRHMNHSEPRPGLGADGDAADPVDTRDSKFLLPKEVNGKQHEPLSFFFDIMQHHKEGNGKQKLRQTSKASSEPARRPTEPPKATEGQSKPRAQTESKAKVVDLMSITEQKREERKVNSNNNNKKQLNHIKDEKSNPTPMEPTSPGEHQQNSKLVLAESPQPKGKNKKNKKKKGDRVNNSIDGVSLLLPSLGYNGAILAHCNLRLPGSSDCAASASQVVGITDDVFLPKDIDLDSVDMDETEREVEYFKRFCLDSARQTRQRLSINWSNFSLKKATFAAH
+>DECOY_sp|P78312|F193A_HUMAN Protein FAM193A OS=Homo sapiens OX=9606 GN=FAM193A PE=1 SV=2
+HAAFTAKKLSFNSWNISLRQRTQRASDLCFRKFYEVERETEDMDVSDLDIDKPLFVDDTIGVVQSASAACDSSGPLRLNCHALIAGNYGLSPLLLSVGDISNNVRDGKKKKNKKNKGKPQPSEALVLKSNQQHEGPSTPEMPTPNSKEDKIHNLQKKNNNNSNVKREERKQETISMLDVVKAKSETQARPKSQGETAKPPETPRRAPESSAKSTQRLKQKGNGEKHHQMIDFFFSLPEHQKGNVEKPLLFKSDRTDVPDAADGDAGLGPRPESHNMHRSPSHSSTEPEETQELSGNHINGSNPVSETAAQFNRTLMDLKPCDKSPREKKKKKVARLKQLEQLRQFEEKFRDEEEEEDEEEERRRQEEQLHLHERARAEAELRAKEELKRQKHRARKAARTSSVPKTESSNIFQLLDEVRRHDVVSERESIQDMKKPQEEKRKTLRLRLKERMEAYNRSTPAAPPGGHGFFECYCCDCYKGEKQNTSTSTSSSHESCSDEDASEDGDDQQPDYVGNECRHGECDPDSCVSPPASISGDTAPPLPAPCFSKRTDVFGPTTTATKPFSPAALNALHPTSAPSLAAPSLAAPSLAPLPALGTPSNRPAAPASHRHDEKSIGHFVDGVSPPRKSSVRFQSNSSQVTTATCSVSSTASSTAMVVKNAEMFADQFNYLCKKKAANKKEKSDSVAPTENSSPPPLAEPRTESLTPGLIESGSITDPLFTGPNWNKDNMVESGWLSIFKSSNWEPTNGFCSPYIHDQLSANFVQHKNTHNQVPAPPLAKSHTFPPTAYLTPHILPRPLHPVTHLPVHGHIHPYLHLAPHTPKEPSLFQHGPPLAGATMASPTLGSAEQKCVHCECTNPAQEAQQTPYSPPPSDAKSEETRPSRSGLVGDEKPAGPPEGSSEGDAEEDDAESSSSSTSSIQPSEDKLAMNEGGGNLESHIGNMNAVVETDDYNSLPYIDAFKASLPAVDEDDSCFTPASGSDTLILRPHQQITIPSSSGSGSSASSVSPPSREALYDPAPDVQLPLQHIHIDDTVPPDMIGCTLIHSLSCDDCACRRRYNCNPCDPMSLRSSLMHRMTDTFNNEGTVAKKNTLQEEIFRRQKFLEWDETLMRQKTKMTMQEDVYADIRKQCELWEEAVRRLEEESRQYRQLLTSYTDESCTDHTTTGLRIQSVLAPLNSQLLPESFVLNEFLHKNHLEWTVQFKKLHENELTGLFTSISRAAQCLAGYEELLLSIFQHAQPPGQLGSPAKVKAAASLQRLLRVKM
+>sp|Q8TCP9|F200A_HUMAN Protein FAM200A OS=Homo sapiens OX=9606 GN=FAM200A PE=1 SV=1
+MTPESRDTTDLSPGGTQEMEGIVIVKVEEEDEEDHFQKERNKVESSPQVLSRSTTMNERALLSSYLVAYRVAKEKMAHTAAEKIILPACMDMVRTIFDDKSADKLRTIPLSDNTISRRICTIAKHLEAMLITRLQSGIDFAIQLDESTDIASCPTLLVYVRYVWQDDFVEDLLCCLNLNSHITGLDLFTELENCLLGQYKLNWKHCKGISSDGTANMTGKHSRLTEKLLEATHNNAVWNHCFIHREALVSKEISPSLMDVLKNAVKTVNFIKGSSLNSRLLEIFCSEIGVNHTHLLFHTEVRWLSQGKVLSRVYELRNEIYIFLVEKQSHLANIFEDDIWVTKLAYLSDIFGILNELSLKMQGKNNDIFQYLEHILGFQKTLLLWQARLKSNRPSYYMFPTLLQHIEENIINEDCLKEIKLEILLHLTSLSQTFNYYFPEEKFESLKENIWMKDPFAFQNPESIIELNLEPEEENELLQLSSSFTLKNYYKILSLSAFWIKIKDDFPLLSRKSILLLLPFTTTYLCELGFSILTRLKTKKRNRLNSAPDMRVALSSCVPDWKELMNRQAHPSH
+>DECOY_sp|Q8TCP9|F200A_HUMAN Protein FAM200A OS=Homo sapiens OX=9606 GN=FAM200A PE=1 SV=1
+HSPHAQRNMLEKWDPVCSSLAVRMDPASNLRNRKKTKLRTLISFGLECLYTTTFPLLLLISKRSLLPFDDKIKIWFASLSLIKYYNKLTFSSSLQLLENEEEPELNLEIISEPNQFAFPDKMWINEKLSEFKEEPFYYNFTQSLSTLHLLIELKIEKLCDENIINEEIHQLLTPFMYYSPRNSKLRAQWLLLTKQFGLIHELYQFIDNNKGQMKLSLENLIGFIDSLYALKTVWIDDEFINALHSQKEVLFIYIENRLEYVRSLVKGQSLWRVETHFLLHTHNVGIESCFIELLRSNLSSGKIFNVTKVANKLVDMLSPSIEKSVLAERHIFCHNWVANNHTAELLKETLRSHKGTMNATGDSSIGKCHKWNLKYQGLLCNELETFLDLGTIHSNLNLCCLLDEVFDDQWVYRVYVLLTPCSAIDTSEDLQIAFDIGSQLRTILMAELHKAITCIRRSITNDSLPITRLKDASKDDFITRVMDMCAPLIIKEAATHAMKEKAVRYAVLYSSLLARENMTTSRSLVQPSSEVKNREKQFHDEEDEEEVKVIVIGEMEQTGGPSLDTTDRSEPTM
+>sp|Q5JX69|F209B_HUMAN Protein FAM209B OS=Homo sapiens OX=9606 GN=FAM209B PE=2 SV=1
+MWTLKSSLVLLLCLTCSYAFMFSSLRQKTSEPQGKVPCGEHFRIRQNLPEHTQGWLGSKWLWLLFAVVPFVILQCQRDSEKNKEQSPPGLRGFPFRTPLKKNQNASLYKDCVFNTLNELEVELLKFVSEVQNLKGAMATGSGSNLKLRRSEMPADPYHVTICKIWGEESSS
+>DECOY_sp|Q5JX69|F209B_HUMAN Protein FAM209B OS=Homo sapiens OX=9606 GN=FAM209B PE=2 SV=1
+SSSEEGWIKCITVHYPDAPMESRRLKLNSGSGTAMAGKLNQVESVFKLLEVELENLTNFVCDKYLSANQNKKLPTRFPFGRLGPPSQEKNKESDRQCQLIVFPVVAFLLWLWKSGLWGQTHEPLNQRIRFHEGCPVKGQPESTKQRLSSFMFAYSCTLCLLLVLSSKLTWM
+>sp|Q96ND0|F210A_HUMAN Protein FAM210A OS=Homo sapiens OX=9606 GN=FAM210A PE=1 SV=2
+MQWNVPRTVSRLARRTCLEPHNAGLFGHCQNVKGPLLLYNAESKVVLVQGPQKQWLHLSAAQCVAKERRPLDAHPPQPGVLRHKQGKQHVSFRRVFSSSATAQGTPEKKEEPDPLQDKSISLYQRFKKTFRQYGKVLIPVHLITSGVWFGTFYYAALKGVNVVPFLELIGLPDSVVSILKNSQSGNALTAYALFKIATPARYTVTLGGTSVTVKYLRSHGYMSTPPPVKEYLQDRMEETKELITEKMEETKDRLTEKLQETKEKVSFKKKVE
+>DECOY_sp|Q96ND0|F210A_HUMAN Protein FAM210A OS=Homo sapiens OX=9606 GN=FAM210A PE=1 SV=2
+EVKKKFSVKEKTEQLKETLRDKTEEMKETILEKTEEMRDQLYEKVPPPTSMYGHSRLYKVTVSTGGLTVTYRAPTAIKFLAYATLANGSQSNKLISVVSDPLGILELFPVVNVGKLAAYYFTGFWVGSTILHVPILVKGYQRFTKKFRQYLSISKDQLPDPEEKKEPTGQATASSSFVRRFSVHQKGQKHRLVGPQPPHADLPRREKAVCQAASLHLWQKQPGQVLVVKSEANYLLLPGKVNQCHGFLGANHPELCTRRALRSVTRPVNWQM
+>sp|Q8WUB2|F216A_HUMAN Protein FAM216A OS=Homo sapiens OX=9606 GN=FAM216A PE=2 SV=1
+MLGQLLPHTARGLGAAEMPGQGPGSDWTERSSSAEPPAVAGTEGGGGGSAGYSCYQNSKGSDRIKDGYKVNSHIAKLQELWKTPQNQTIHLSKSMMEASFFKHPDLTTGQKRYLCSIAKIYNANYLKMLMKRQYMHVLQHSSQKPGVLTHHRSRLSSRYSQKQHYPCTTWRHQLEREDSGSSDIAAASAPEMLIQHSLWRPVRNKEGIKTGYASKTRCKSLKIFRRPRKLFMQTVSSDDSESHMSEEKKEEDLLNNFMQSMSIEEQGEHLMLT
+>DECOY_sp|Q8WUB2|F216A_HUMAN Protein FAM216A OS=Homo sapiens OX=9606 GN=FAM216A PE=2 SV=1
+TLMLHEGQEEISMSQMFNNLLDEEKKEESMHSESDDSSVTQMFLKRPRRFIKLSKCRTKSAYGTKIGEKNRVPRWLSHQILMEPASAAAIDSSGSDERELQHRWTTCPYHQKQSYRSSLRSRHHTLVGPKQSSHQLVHMYQRKMLMKLYNANYIKAISCLYRKQGTTLDPHKFFSAEMMSKSLHITQNQPTKWLEQLKAIHSNVKYGDKIRDSGKSNQYCSYGASGGGGGETGAVAPPEASSSRETWDSGPGQGPMEAAGLGRATHPLLQGLM
+>sp|Q8IXS0|F217A_HUMAN Protein FAM217A OS=Homo sapiens OX=9606 GN=FAM217A PE=2 SV=2
+MGRRNENCANSLRVSNISQENLSHWNLDSEVPVSENKNLPAGRDGAAGGKINKNYLEIPVEQLMLEPNLSVHSQKSTQNSKQGIFQLWNCPLNEGSTIEKREFKKSSVETGFNVINHPIRVFTLNHPLTIASVDKQVGPYPGLPMPLGLCWPYADGDFFKNRNEIHVSSCSTIENNDGETLPAPNWNLKHGNSSVEENFTDESDLSENEKTNDTLLSYFKKVDLNLKPETIKNVEEPFTEEPNEVFPYPDFLPPPFSALDLHNLALSKSDNWKVTVDPAETSVEHLITRLLELERLQHMTIQKERPRLQTTFCTPAVTERPSSSKATPKVRQPKLCDSLSLQIPCVDKSQEKSKNNSGSCKLEQNALKRNWSNAGKYRWNSRPLSLKSSSTPKQLIETYDKNPKSSILSPCQELSFKPTIGHTNQSMVKMVSTRCLPWRSPMPVSPIPLTFPENQKEEIKAPKRNFGTKKKLYRQNIVLNRPFSIQKLNCLSPSLIAKDKCCSPIEQK
+>DECOY_sp|Q8IXS0|F217A_HUMAN Protein FAM217A OS=Homo sapiens OX=9606 GN=FAM217A PE=2 SV=2
+KQEIPSCCKDKAILSPSLCNLKQISFPRNLVINQRYLKKKTGFNRKPAKIEEKQNEPFTLPIPSVPMPSRWPLCRTSVMKVMSQNTHGITPKFSLEQCPSLISSKPNKDYTEILQKPTSSSKLSLPRSNWRYKGANSWNRKLANQELKCSGSNNKSKEQSKDVCPIQLSLSDCLKPQRVKPTAKSSSPRETVAPTCFTTQLRPREKQITMHQLRELELLRTILHEVSTEAPDVTVKWNDSKSLALNHLDLASFPPPLFDPYPFVENPEETFPEEVNKITEPKLNLDVKKFYSLLTDNTKENESLDSEDTFNEEVSSNGHKLNWNPAPLTEGDNNEITSCSSVHIENRNKFFDGDAYPWCLGLPMPLGPYPGVQKDVSAITLPHNLTFVRIPHNIVNFGTEVSSKKFERKEITSGENLPCNWLQFIGQKSNQTSKQSHVSLNPELMLQEVPIELYNKNIKGGAAGDRGAPLNKNESVPVESDLNWHSLNEQSINSVRLSNACNENRRGM
+>sp|Q7Z4H9|F220A_HUMAN Protein FAM220A OS=Homo sapiens OX=9606 GN=FAM220A PE=2 SV=1
+MRDRRGPLGTCLAQVQQAGGGDSDKLSCSLKKRMPEGPWPADAPSWMNKPVVDGNSQSEALSLEMRKDPSGAGLWLHSGGPVLPYVRESVRRNPASAATPSTAVGLFPAPTECFARVSCSGVEALGRRDWLGGGPRATDGHRGQCPKGEPRVSRLPRHQKVPEMGSFQDDPPSAFPKGLGSELEPACLHSILSATLHVYPEVLLSEETKRIFLDRLKPMFSKQTIEFKKMLKSTSDGLQITLGLLALQPFELANTLCHS
+>DECOY_sp|Q7Z4H9|F220A_HUMAN Protein FAM220A OS=Homo sapiens OX=9606 GN=FAM220A PE=2 SV=1
+SHCLTNALEFPQLALLGLTIQLGDSTSKLMKKFEITQKSFMPKLRDLFIRKTEESLLVEPYVHLTASLISHLCAPELESGLGKPFASPPDDQFSGMEPVKQHRPLRSVRPEGKPCQGRHGDTARPGGGLWDRRGLAEVGSCSVRAFCETPAPFLGVATSPTAASAPNRRVSERVYPLVPGGSHLWLGAGSPDKRMELSLAESQSNGDVVPKNMWSPADAPWPGEPMRKKLSCSLKDSDGGGAQQVQALCTGLPGRRDRM
+>sp|F5H4B4|F227A_HUMAN Protein FAM227A OS=Homo sapiens OX=9606 GN=FAM227A PE=1 SV=1
+MNHFRKMEVINLTTLPMIPVDEHLAVSLVARNTMVKTVRKELENNPPSCLIGSMHQVNQKIADINLRTEPSANSLAIERFELEKKALREKTRSSPEDKVKRQRKSQYSCKGSELRHARSSVIKRKTADKNLLAELYQYSNFNSSKPNKLPNGVDFCDMVGNVVRAERDCLSGKHFCSGRELEKFLSSSSPRAIWLDSFWWIFHERYQPNKELQNNLFDRIAQHYALLLFRVPKSHSEEALLKRLPSLLSKAVYTSFCCCFPQSWFDTHEFKSDICNTMSLWISGTYPSPQSYDSWDYSELDPERFRREELMLYRRRLTKGREFSLFAGKRAFSQKPAQSRKFYHPQSSSANSPSEKTSSAKQNSEKSLRMQNTAKEHHCQTLVLKKPTQEVKRISEARECENMFPKKSCAACKSPELTSNLFNIYGKSPLIVYFLQNYASLQQHGKNVLIVRREKTTSTPDCTPTYTDVISETLCSMKKRKDNLNQLYQHHWTEWNYFDKHLKELQDNFSREMKNIDPKAADTKKANHMFIPPSAVNEESPDKKTKEGKGGEGKRRETEVEHFFPLTSKP
+>DECOY_sp|F5H4B4|F227A_HUMAN Protein FAM227A OS=Homo sapiens OX=9606 GN=FAM227A PE=1 SV=1
+PKSTLPFFHEVETERRKGEGGKGEKTKKDPSEENVASPPIFMHNAKKTDAAKPDINKMERSFNDQLEKLHKDFYNWETWHHQYLQNLNDKRKKMSCLTESIVDTYTPTCDPTSTTKERRVILVNKGHQQLSAYNQLFYVILPSKGYINFLNSTLEPSKCAACSKKPFMNECERAESIRKVEQTPKKLVLTQCHHEKATNQMRLSKESNQKASSTKESPSNASSSQPHYFKRSQAPKQSFARKGAFLSFERGKTLRRRYLMLEERRFREPDLESYDWSDYSQPSPYTGSIWLSMTNCIDSKFEHTDFWSQPFCCCFSTYVAKSLLSPLRKLLAEESHSKPVRFLLLAYHQAIRDFLNNQLEKNPQYREHFIWWFSDLWIARPSSSSLFKELERGSCFHKGSLCDREARVVNGVMDCFDVGNPLKNPKSSNFNSYQYLEALLNKDATKRKIVSSRAHRLESGKCSYQSKRQRKVKDEPSSRTKERLAKKELEFREIALSNASPETRLNIDAIKQNVQHMSGILCSPPNNELEKRVTKVMTNRAVLSVALHEDVPIMPLTTLNIVEMKRFHNM
+>sp|Q6ZW35|F231D_HUMAN Protein FAM231D OS=Homo sapiens OX=9606 GN=FAM231D PE=2 SV=2
+MGSSKGLWKEKPSAHTSECFSTTACPVACILLVWNSQTPAGLQSLCTGRHPSLSARAQWAGPRASREEGTFWTEPVGQERRLIRSGSSQNESQEDQGADLISHEGLKADNQRESSTWANEVEDRRPQCTSALNLTPSHLHPPHPLTTFFRNVIGIKIPPGLVAMGGTVA
+>DECOY_sp|Q6ZW35|F231D_HUMAN Protein FAM231D OS=Homo sapiens OX=9606 GN=FAM231D PE=2 SV=2
+AVTGGMAVLGPPIKIGIVNRFFTTLPHPPHLHSPTLNLASTCQPRRDEVENAWTSSERQNDAKLGEHSILDAGQDEQSENQSSGSRILRREQGVPETWFTGEERSARPGAWQARASLSPHRGTCLSQLGAPTQSNWVLLICAVPCATTSFCESTHASPKEKWLGKSSGM
+>sp|Q9H0X4|F234A_HUMAN Protein FAM234A OS=Homo sapiens OX=9606 GN=FAM234A PE=1 SV=1
+MLDHKDLEAEIHPLKNEERKSQENLGNPSKNEDNVKSAPPQSRLSRCRAAAFFLSLFLCLFVVFVVSFVIPCPDRPASQRMWRIDYSAAVIYDFLAVDDINGDRIQDVLFLYKNTNSSNNFSRSCVDEGFSSPCTFAAAVSGANGSTLWERPVAQDVALVECAVPQPRGSEAPSACILVGRPSSFIAVNLFTGETLWNHSSSFSGNASILSPLLQVPDVDGDGAPDLLVLTQEREEVSGHLYSGSTGHQIGLRGSLGVDGESGFLLHVTRTGAHYILFPCASSLCGCSVKGLYEKVTGSGGPFKSDPHWESMLNATTRRMLSHSSGAVRYLMHVPGNAGADVLLVGSEAFVLLDGQELTPRWTPKAAHVLRKPIFGRYKPDTLAVAVENGTGTDRQILFLDLGTGAVLCSLALPSLPGGPLSASLPTADHRSAFFFWGLHELGSTSETETGEARHSLYMFHPTLPRVLLELANVSTHIVAFDAVLFEPSRHAAYILLTGPADSEAPGLVSVIKHKVRDLVPSSRVVRLGEGGPDSDQAIRDRFSRLRYQSEA
+>DECOY_sp|Q9H0X4|F234A_HUMAN Protein FAM234A OS=Homo sapiens OX=9606 GN=FAM234A PE=1 SV=1
+AESQYRLRSFRDRIAQDSDPGGEGLRVVRSSPVLDRVKHKIVSVLGPAESDAPGTLLIYAAHRSPEFLVADFAVIHTSVNALELLVRPLTPHFMYLSHRAEGTETESTSGLEHLGWFFFASRHDATPLSASLPGGPLSPLALSCLVAGTGLDLFLIQRDTGTGNEVAVALTDPKYRGFIPKRLVHAAKPTWRPTLEQGDLLVFAESGVLLVDAGANGPVHMLYRVAGSSHSLMRRTTANLMSEWHPDSKFPGGSGTVKEYLGKVSCGCLSSACPFLIYHAGTRTVHLLFGSEGDVGLSGRLGIQHGTSGSYLHGSVEEREQTLVLLDPAGDGDVDPVQLLPSLISANGSFSSSHNWLTEGTFLNVAIFSSPRGVLICASPAESGRPQPVACEVLAVDQAVPREWLTSGNAGSVAAAFTCPSSFGEDVCSRSFNNSSNTNKYLFLVDQIRDGNIDDVALFDYIVAASYDIRWMRQSAPRDPCPIVFSVVFVVFLCLFLSLFFAAARCRSLRSQPPASKVNDENKSPNGLNEQSKREENKLPHIEAELDKHDLM
+>sp|A0A1B0GUQ0|F236A_HUMAN Protein FAM236A OS=Homo sapiens OX=9606 GN=FAM236A PE=3 SV=2
+MIFTPFLPPADLSVFQNVKGLQNDPEEWVAVSDATEDPSGGTGLPREPALLRGSWRSRFQRALACFTKCFRGGYRALGI
+>DECOY_sp|A0A1B0GUQ0|F236A_HUMAN Protein FAM236A OS=Homo sapiens OX=9606 GN=FAM236A PE=3 SV=2
+IGLARYGGRFCKTFCALARQFRSRWSGRLLAPERPLGTGGSPDETADSVAVWEEPDNQLGKVNQFVSLDAPPLFPTFIM
+>sp|A0A1B0GTK4|F237A_HUMAN Protein FAM237A OS=Homo sapiens OX=9606 GN=FAM237A PE=3 SV=1
+MADPGNRGGIHRPLSFTCSLLIVGMCCVSPFFCHSQTDLLALSQADPQCWESSSVLLLEMWKPRVSNTVSGFWDFMIYLKSSENLKHGALFWDLAQLFWDIYVDCVLSRNHGLGRRQLVGEEEKISAAQPQHTRSKQGTYSQLLRTSFLKKKELIEDLISMHVRRSGSSVIGKVNLEIKRK
+>DECOY_sp|A0A1B0GTK4|F237A_HUMAN Protein FAM237A OS=Homo sapiens OX=9606 GN=FAM237A PE=3 SV=1
+KRKIELNVKGIVSSGSRRVHMSILDEILEKKKLFSTRLLQSYTGQKSRTHQPQAASIKEEEGVLQRRGLGHNRSLVCDVYIDWFLQALDWFLAGHKLNESSKLYIMFDWFGSVTNSVRPKWMELLLVSSSEWCQPDAQSLALLDTQSHCFFPSVCCMGVILLSCTFSLPRHIGGRNGPDAM
+>sp|Q5T7N8|F27D1_HUMAN Protein FAM27D1 OS=Homo sapiens OX=9606 GN=FAM27D1 PE=3 SV=2
+MLEKRLLRMGMRLQLLRDRRISSRGPGLHRAKADPQQQKRLTTGLMTQAETQKEAQQRQAAMRKTALWHTGHLQPKTHTHTGMHTQTHRERERNTQRLRDRERRENGRHTHRHTHTLTHTHTHRDTHTASYRRGIETHTTRQPLRLRGSAHDENDPRVREQPRGTQADLSSRSRMAARLLGRLTPTNTVRAGLRLGSRAASPDPAWGFLIVVGPL
+>DECOY_sp|Q5T7N8|F27D1_HUMAN Protein FAM27D1 OS=Homo sapiens OX=9606 GN=FAM27D1 PE=3 SV=2
+LPGVVILFGWAPDPSAARSGLRLGARVTNTPTLRGLLRAAMRSRSSLDAQTGRPQERVRPDNEDHASGRLRLPQRTTHTEIGRRYSATHTDRHTHTHTLTHTHRHTHRGNERRERDRLRQTNRERERHTQTHMGTHTHTKPQLHGTHWLATKRMAAQRQQAEKQTEAQTMLGTTLRKQQQPDAKARHLGPGRSSIRRDRLLQLRMGMRLLRKELM
+>sp|Q6IPT2|F71E1_HUMAN Protein FAM71E1 OS=Homo sapiens OX=9606 GN=FAM71E1 PE=2 SV=2
+MGPPLWPDLQEPPPPGTSSQIRSPLLCDVIKPAPHHDVTVRVVPPPRFLPLLLRPLPSDGDIAMRRDRGPKPALGGAGEVEPGGMAASPTGRPRRLQRYLQSGEFDQFRDFPIFESNFVQFCPDIYPAPTSDLWPQVTRLGEVANEVTMGVAASSPALELPDLLLLAGPAKENGHLQLFGLFPLKFVQLFVHDKSRCQLEVKLNTSRTFYLQLRAPLKTRDREFGQWVRLLYRLRFLSASAVPFTQE
+>DECOY_sp|Q6IPT2|F71E1_HUMAN Protein FAM71E1 OS=Homo sapiens OX=9606 GN=FAM71E1 PE=2 SV=2
+EQTFPVASASLFRLRYLLRVWQGFERDRTKLPARLQLYFTRSTNLKVELQCRSKDHVFLQVFKLPFLGFLQLHGNEKAPGALLLLDPLELAPSSAAVGMTVENAVEGLRTVQPWLDSTPAPYIDPCFQVFNSEFIPFDRFQDFEGSQLYRQLRRPRGTPSAAMGGPEVEGAGGLAPKPGRDRRMAIDGDSPLPRLLLPLFRPPPVVRVTVDHHPAPKIVDCLLPSRIQSSTGPPPPEQLDPWLPPGM
+>sp|Q8N5Q1|F71E2_HUMAN Protein FAM71E2 OS=Homo sapiens OX=9606 GN=FAM71E2 PE=2 SV=3
+MIWLRNRRCLEPLQGTPKWVPVLGELQKTLQKGEYLPLRPLPMFESNFVQVTHQGGPVFVNHRTNRLAMGVAASLPGLVLPDILLIGQPAEDRDCSGLVLTRMIPLDLVHLCVHDLSAWRLKLRLVSGRQYYLALDAPDNEVGFLFHCWVRLINLLQEPAPTWTPRTTRTAPLDMPLAEAPASTWHLQDQPISRHAVRVAERNFPHKTVAAQRQRKAKALKRSFKSQAVGDSVPLIWSQLEHADVRKKPAEKKSHSDPRPDRTHTQIRLPEKTSITTWTIFSIISSTANQTQSSPKACTSASDEATGQGHVVESPSHCVSADSPDGFFLGSCSSLDPCLWHQDTEDLMDSGGSTLSSAASGLAPYPPAACLSTPYSSIPRGREKAGPMGSHQGPGPPPCQKAPSGLVTSCKAPFLVDQSQKLPAVPASSWKPPPGLAPPQKAPAASAPPRKAPAVPAPSQKAPAVPAPSQKAPAIPAPSRKASAASASPRKASAVPAPPQKTPPPSQKAPSVPTIPQKAVSPTAPKKKSLLLPAPSQKALPTSPTEYQMALSPPASRGKLPGDFDVLPTGIPGRAVLERSQSGGKPEPVVTVRTQETDVVEMTTQAKSPESPFTVTKKESKDILISQTKEVTLEAFRGQGKLEDWAHWAKLEERSPDLPGVRSKELEQRKRWVKAKELAVEGPSQEHSRPFSVEALTLTKLMITANSKEQPSKSALVSLPSWLLATPQASATSMMASVPSRPGQLSLLEGKPVVVREQPESHTWVKEGKRPWGEMKEQPWGEMKEPPWDPKGPPKVPFRSKPTSASLKREGISQAPIPLTASPWEDLRPSPLSETLISKMEATARASQQPKRVSQEPMRMPAQHPLATVGSSSEILLPMLLELETVRNTATKAEEIQEESGVLNLLPSLQHSQHSEWPDAGA
+>DECOY_sp|Q8N5Q1|F71E2_HUMAN Protein FAM71E2 OS=Homo sapiens OX=9606 GN=FAM71E2 PE=2 SV=3
+AGADPWESHQSHQLSPLLNLVGSEEQIEEAKTATNRVTELELLMPLLIESSSGVTALPHQAPMRMPEQSVRKPQQSARATAEMKSILTESLPSPRLDEWPSATLPIPAQSIGERKLSASTPKSRFPVKPPGKPDWPPEKMEGWPQEKMEGWPRKGEKVWTHSEPQERVVVPKGELLSLQGPRSPVSAMMSTASAQPTALLWSPLSVLASKSPQEKSNATIMLKTLTLAEVSFPRSHEQSPGEVALEKAKVWRKRQELEKSRVGPLDPSREELKAWHAWDELKGQGRFAELTVEKTQSILIDKSEKKTVTFPSEPSKAQTTMEVVDTEQTRVTVVPEPKGGSQSRELVARGPIGTPLVDFDGPLKGRSAPPSLAMQYETPSTPLAKQSPAPLLLSKKKPATPSVAKQPITPVSPAKQSPPPTKQPPAPVASAKRPSASAASAKRSPAPIAPAKQSPAPVAPAKQSPAPVAPAKRPPASAAPAKQPPALGPPPKWSSAPVAPLKQSQDVLFPAKCSTVLGSPAKQCPPPGPGQHSGMPGAKERGRPISSYPTSLCAAPPYPALGSAASSLTSGGSDMLDETDQHWLCPDLSSCSGLFFGDPSDASVCHSPSEVVHGQGTAEDSASTCAKPSSQTQNATSSIISFITWTTISTKEPLRIQTHTRDPRPDSHSKKEAPKKRVDAHELQSWILPVSDGVAQSKFSRKLAKAKRQRQAAVTKHPFNREAVRVAHRSIPQDQLHWTSAPAEALPMDLPATRTTRPTWTPAPEQLLNILRVWCHFLFGVENDPADLALYYQRGSVLRLKLRWASLDHVCLHVLDLPIMRTLVLGSCDRDEAPQGILLIDPLVLGPLSAAVGMALRNTRHNVFVPGGQHTVQVFNSEFMPLPRLPLYEGKQLTKQLEGLVPVWKPTGQLPELCRRNRLWIM
+>sp|A6NL05|F74A7_HUMAN Protein FAM74A7 OS=Homo sapiens OX=9606 GN=FAM74A7 PE=3 SV=3
+MWRELRGCPGGDVETVQRLSRRRRGKSSEAVPEKTWRAQRMSQTRESSEAVPEKTWREFRGCPGEDVERAQRLRDCPGEDMETAQRLSARRRAESSEAVPEKTWRELKGCPQEDVERVQRLSLLLHLAVFLWIIIAINFSNSGVKSQSSTYLPSGKILK
+>DECOY_sp|A6NL05|F74A7_HUMAN Protein FAM74A7 OS=Homo sapiens OX=9606 GN=FAM74A7 PE=3 SV=3
+KLIKGSPLYTSSQSKVGSNSFNIAIIIWLFVALHLLLSLRQVREVDEQPCGKLERWTKEPVAESSEARRRASLRQATEMDEGPCDRLRQAREVDEGPCGRFERWTKEPVAESSERTQSMRQARWTKEPVAESSKGRRRRSLRQVTEVDGGPCGRLERWM
+>sp|A6NEL3|F86C2_HUMAN Putative protein FAM86C2P OS=Homo sapiens OX=9606 GN=FAM86C2P PE=5 SV=1
+MAPEENAGTELLLQSLERRFLAARALRSFPWQSLEAKLRDSSDSELLRDILQKHEAVHTEPLDELYEVLAETLMAKESTQGHRSYLLTCCIAQKPSCRWSGSCGGWLPAGSTSGLLKSMWPLPSATQRRASCSPLSYAGLGSDGKWNLVMTRNCFPTKSTWRWQC
+>DECOY_sp|A6NEL3|F86C2_HUMAN Putative protein FAM86C2P OS=Homo sapiens OX=9606 GN=FAM86C2P PE=5 SV=1
+CQWRWTSKTPFCNRTMVLNWKGDSGLGAYSLPSCSARRQTASPLPWMSKLLGSTSGAPLWGGCSGSWRCSPKQAICCTLLYSRHGQTSEKAMLTEALVEYLEDLPETHVAEHKQLIDRLLESDSSDRLKAELSQWPFSRLARAALFRRELSQLLLETGANEEPAM
+>sp|A6NKC0|F90A7_HUMAN Putative protein FAM90A7P OS=Homo sapiens OX=9606 GN=FAM90A7P PE=5 SV=1
+MMARRDPKSWAKRLVRAQTLQKQRRAPVGPRSPPPDEEDPRLKCKNCGAFGHTARSTRCPMKCWKAALVPATLGKKEGKENLKPWKPRAEANPGPLNKDKGEKEERPRQQDPQRNALLHMFSGKPPEKPLPNGKGSTESSEHLRVASGPMPVHTTSKRPRVDPVLADRSATEMSGRGSVLASLSPLRKASLSSSSSLGPKERQTGAAADIPQPAFRHQGPEPLLVVKPTHSSPEGGCREVPQAASKTHGLLQAVRPQAQDKRPAVTSQPCPPAATHSLGLGSNLSFGPGAKRPAQAPIQACLNFPKKPRLGPFQIPESAIQGGELRAPENLQPPPAATELGPSTSPQMGRRTPAQVPSVDRQPPHSTPCLPTAQACTMSHHPAAGHDGAQPLRVLFRRLENGRWSSSLLAAPSFHSPEKPGAFLAQSPHVSEKSEAPCVRVPPSVLYEDLQVSSSSEDSDSDLE
+>DECOY_sp|A6NKC0|F90A7_HUMAN Putative protein FAM90A7P OS=Homo sapiens OX=9606 GN=FAM90A7P PE=5 SV=1
+ELDSDSDESSSSVQLDEYLVSPPVRVCPAESKESVHPSQALFAGPKEPSHFSPAALLSSSWRGNELRRFLVRLPQAGDHGAAPHHSMTCAQATPLCPTSHPPQRDVSPVQAPTRRGMQPSTSPGLETAAPPPQLNEPARLEGGQIASEPIQFPGLRPKKPFNLCAQIPAQAPRKAGPGFSLNSGLGLSHTAAPPCPQSTVAPRKDQAQPRVAQLLGHTKSAAQPVERCGGEPSSHTPKVVLLPEPGQHRFAPQPIDAAAGTQREKPGLSSSSSLSAKRLPSLSALVSGRGSMETASRDALVPDVRPRKSTTHVPMPGSAVRLHESSETSGKGNPLPKEPPKGSFMHLLANRQPDQQRPREEKEGKDKNLPGPNAEARPKWPKLNEKGEKKGLTAPVLAAKWCKMPCRTSRATHGFAGCNKCKLRPDEEDPPPSRPGVPARRQKQLTQARVLRKAWSKPDRRAMM
+>sp|A6NDY2|F90AA_HUMAN Putative protein FAM90A10P OS=Homo sapiens OX=9606 GN=FAM90A10P PE=5 SV=1
+MMARRDPKSWAKRLVRAQTLQKQRRAPVGPRAPPPDEEDPRLKCKNCGAFGHTARSTTCPMKCWKAALVPATLGKKEGKENLKPWKPRGEANPGPLNKDKGEKEERPRQQDPQRKALLHMFSGKPPEKPLPNGKGSTESSEHLRVASGPMPVHTTSKRPRVDPVLADRSATEMSGRGSVLASLSPLRKASLSSSSSLGPKERQTGAAADIPQPAFRHQGPEPLLVVKPTHSSPEGGCREVPQAASKTHGLLQAARPQAQDKRPAVTSQPCPPAATHSLGLGSNLSFGPGAKRPAQAPIQACLNFPKKPRLGPFQIPESAIQGGELGAPENLQPPPAATELGPSTSPQMGRRTPAQVPSVDWQPPHSTPCLPTAQACTMSHHPAAGHDGAQPLRVLFRRLENGRWSSSLLAAPSFHSPEKPGAFLAQSPHVSEKSEAPCVRVPPSVLYEDLQVSSSSEDSDSDLE
+>DECOY_sp|A6NDY2|F90AA_HUMAN Putative protein FAM90A10P OS=Homo sapiens OX=9606 GN=FAM90A10P PE=5 SV=1
+ELDSDSDESSSSVQLDEYLVSPPVRVCPAESKESVHPSQALFAGPKEPSHFSPAALLSSSWRGNELRRFLVRLPQAGDHGAAPHHSMTCAQATPLCPTSHPPQWDVSPVQAPTRRGMQPSTSPGLETAAPPPQLNEPAGLEGGQIASEPIQFPGLRPKKPFNLCAQIPAQAPRKAGPGFSLNSGLGLSHTAAPPCPQSTVAPRKDQAQPRAAQLLGHTKSAAQPVERCGGEPSSHTPKVVLLPEPGQHRFAPQPIDAAAGTQREKPGLSSSSSLSAKRLPSLSALVSGRGSMETASRDALVPDVRPRKSTTHVPMPGSAVRLHESSETSGKGNPLPKEPPKGSFMHLLAKRQPDQQRPREEKEGKDKNLPGPNAEGRPKWPKLNEKGEKKGLTAPVLAAKWCKMPCTTSRATHGFAGCNKCKLRPDEEDPPPARPGVPARRQKQLTQARVLRKAWSKPDRRAMM
+>sp|A6NIJ5|F90AK_HUMAN Putative protein FAM90A20P OS=Homo sapiens OX=9606 GN=FAM90A20P PE=5 SV=1
+MMARRDPTSWAKRLVRAQTLQKQRRAPVGPRAPPPDEEDPRLKCKNCGAFGHTARSTRCPMKCWKAALVPATLGKKEGKENLKPWKPGVEANPGPLNKDKGEKEERPRQQDPQRKALLHMFSGKPPEKPLPNGKGSTESSDYLRVARGPMPVHTTCKRPRMDPVLSGRSATEMSGRGSVLASLSPLRKASLSSSSSLGPKERQTGAAADIPRPAVRHQVHETLLVVEPTHSSPEGSCREVPQAASKTHGLLQAVRTQAQDKRPAVTSQPCPSAATHSLGLGSNLSFGSGAKRPAQAPIQACLNFPKKPRLGPFQIPESTIQGGELGAPENLQPPPAATELGPSRSPQMGRRTPAQVPSVERQPPHRRPCLPTAQACTMSHHPAASHDGAQPLRVLFRRLENGRWSSSLLAAPSFHSPEKPGAFLAQSPHVSEKSEAPCVRVPPSVLYEDLQVSSSSEDSDSDLE
+>DECOY_sp|A6NIJ5|F90AK_HUMAN Putative protein FAM90A20P OS=Homo sapiens OX=9606 GN=FAM90A20P PE=5 SV=1
+ELDSDSDESSSSVQLDEYLVSPPVRVCPAESKESVHPSQALFAGPKEPSHFSPAALLSSSWRGNELRRFLVRLPQAGDHSAAPHHSMTCAQATPLCPRRHPPQREVSPVQAPTRRGMQPSRSPGLETAAPPPQLNEPAGLEGGQITSEPIQFPGLRPKKPFNLCAQIPAQAPRKAGSGFSLNSGLGLSHTAASPCPQSTVAPRKDQAQTRVAQLLGHTKSAAQPVERCSGEPSSHTPEVVLLTEHVQHRVAPRPIDAAAGTQREKPGLSSSSSLSAKRLPSLSALVSGRGSMETASRGSLVPDMRPRKCTTHVPMPGRAVRLYDSSETSGKGNPLPKEPPKGSFMHLLAKRQPDQQRPREEKEGKDKNLPGPNAEVGPKWPKLNEKGEKKGLTAPVLAAKWCKMPCRTSRATHGFAGCNKCKLRPDEEDPPPARPGVPARRQKQLTQARVLRKAWSTPDRRAMM
+>sp|P00748|FA12_HUMAN Coagulation factor XII OS=Homo sapiens OX=9606 GN=F12 PE=1 SV=3
+MRALLLLGFLLVSLESTLSIPPWEAPKEHKYKAEEHTVVLTVTGEPCHFPFQYHRQLYHKCTHKGRPGPQPWCATTPNFDQDQRWGYCLEPKKVKDHCSKHSPCQKGGTCVNMPSGPHCLCPQHLTGNHCQKEKCFEPQLLRFFHKNEIWYRTEQAAVARCQCKGPDAHCQRLASQACRTNPCLHGGRCLEVEGHRLCHCPVGYTGAFCDVDTKASCYDGRGLSYRGLARTTLSGAPCQPWASEATYRNVTAEQARNWGLGGHAFCRNPDNDIRPWCFVLNRDRLSWEYCDLAQCQTPTQAAPPTPVSPRLHVPLMPAQPAPPKPQPTTRTPPQSQTPGALPAKREQPPSLTRNGPLSCGQRLRKSLSSMTRVVGGLVALRGAHPYIAALYWGHSFCAGSLIAPCWVLTAAHCLQDRPAPEDLTVVLGQERRNHSCEPCQTLAVRSYRLHEAFSPVSYQHDLALLRLQEDADGSCALLSPYVQPVCLPSGAARPSETTLCQVAGWGHQFEGAEEYASFLQEAQVPFLSLERCSAPDVHGSSILPGMLCAGFLEGGTDACQGDSGGPLVCEDQAAERRLTLQGIISWGSGCGDRNKPGVYTDVAYYLAWIREHTVS
+>DECOY_sp|P00748|FA12_HUMAN Coagulation factor XII OS=Homo sapiens OX=9606 GN=F12 PE=1 SV=3
+SVTHERIWALYYAVDTYVGPKNRDGCGSGWSIIGQLTLRREAAQDECVLPGGSDGQCADTGGELFGACLMGPLISSGHVDPASCRELSLFPVQAEQLFSAYEEAGEFQHGWGAVQCLTTESPRAAGSPLCVPQVYPSLLACSGDADEQLRLLALDHQYSVPSFAEHLRYSRVALTQCPECSHNRREQGLVVTLDEPAPRDQLCHAATLVWCPAILSGACFSHGWYLAAIYPHAGRLAVLGGVVRTMSSLSKRLRQGCSLPGNRTLSPPQERKAPLAGPTQSQPPTRTTPQPKPPAPQAPMLPVHLRPSVPTPPAAQTPTQCQALDCYEWSLRDRNLVFCWPRIDNDPNRCFAHGGLGWNRAQEATVNRYTAESAWPQCPAGSLTTRALGRYSLGRGDYCSAKTDVDCFAGTYGVPCHCLRHGEVELCRGGHLCPNTRCAQSALRQCHADPGKCQCRAVAAQETRYWIENKHFFRLLQPEFCKEKQCHNGTLHQPCLCHPGSPMNVCTGGKQCPSHKSCHDKVKKPELCYGWRQDQDFNPTTACWPQPGPRGKHTCKHYLQRHYQFPFHCPEGTVTLVVTHEEAKYKHEKPAEWPPISLTSELSVLLFGLLLLARM
+>sp|O94988|FA13A_HUMAN Protein FAM13A OS=Homo sapiens OX=9606 GN=FAM13A PE=1 SV=2
+MGAGALAICQSKAAVRLKEDMKKIVAVPLNEQKDFTYQKLFGVSLQELERQGLTENGIPAVVWNIVEYLTQHGLTQEGLFRVNGNVKVVEQLRLKFESGVPVELGKDGDVCSAASLLKLFLRELPDSLITSALQPRFIQLFQDGRNDVQESSLRDLIKELPDTHYCLLKYLCQFLTKVAKHHVQNRMNVHNLATVFGPNCFHVPPGLEGMKEQDLCNKIMAKILENYNTLFEVEYTENDHLRCENLARLIIVKEVYYKNSLPILLTRGLERDMPKPPPKTKIPKSRSEGSIQAHRVLQPELSDGIPQLSLRLSYRKACLEDMNSAEGAISAKLVPSSQEDERPLSPFYLSAHVPQVSNVSATGELLERTIRSAVEQHLFDVNNSGGQSSEDSESGTLSASSATSARQRRRQSKEQDEVRHGRDKGLINKENTPSGFNHLDDCILNTQEVEKVHKNTFGCAGERSKPKRQKSSTKLSELHDNQDGLVNMESLNSTRSHERTGPDDFEWMSDERKGNEKDGGHTQHFESPTMKIQEHPSLSDTKQQRNQDAGDQEESFVSEVPQSDLTALCDEKNWEEPIPAFSSWQRENSDSDEAHLSPQAGRLIRQLLDEDSDPMLSPRFYAYGQSRQYLDDTEVPPSPPNSHSFMRRRSSSLGSYDDEQEDLTPAQLTRRIQSLKKKIRKFEDRFEEEKKYRPSHSDKAANPEVLKWTNDLAKFRRQLKESKLKISEEDLTPRMRQRSNTLPKSFGSQLEKEDEKKQELVDKAIKPSVEATLESIQRKLQEKRAESSRPEDIKDMTKDQIANEKVALQKALLYYESIHGRPVTKNERQVMKPLYDRYRLVKQILSRANTIPIIGSPSSKRRSPLLQPIIEGETASFFKEIKEEEEGSEDDSNVKPDFMVTLKTDFSARCFLDQFEDDADGFISPMDDKIPSKCSQDTGLSNLHAASIPELLEHLQEMREEKKRIRKKLRDFEDNFFRQNGRNVQKEDRTPMAEEYSEYKHIKAKLRLLEVLISKRDTDSKSM
+>DECOY_sp|O94988|FA13A_HUMAN Protein FAM13A OS=Homo sapiens OX=9606 GN=FAM13A PE=1 SV=2
+MSKSDTDRKSILVELLRLKAKIHKYESYEEAMPTRDEKQVNRGNQRFFNDEFDRLKKRIRKKEERMEQLHELLEPISAAHLNSLGTDQSCKSPIKDDMPSIFGDADDEFQDLFCRASFDTKLTVMFDPKVNSDDESGEEEEKIEKFFSATEGEIIPQLLPSRRKSSPSGIIPITNARSLIQKVLRYRDYLPKMVQRENKTVPRGHISEYYLLAKQLAVKENAIQDKTMDKIDEPRSSEARKEQLKRQISELTAEVSPKIAKDVLEQKKEDEKELQSGFSKPLTNSRQRMRPTLDEESIKLKSEKLQRRFKALDNTWKLVEPNAAKDSHSPRYKKEEEFRDEFKRIKKKLSQIRRTLQAPTLDEQEDDYSGLSSSRRRMFSHSNPPSPPVETDDLYQRSQGYAYFRPSLMPDSDEDLLQRILRGAQPSLHAEDSDSNERQWSSFAPIPEEWNKEDCLATLDSQPVESVFSEEQDGADQNRQQKTDSLSPHEQIKMTPSEFHQTHGGDKENGKREDSMWEFDDPGTREHSRTSNLSEMNVLGDQNDHLESLKTSSKQRKPKSREGACGFTNKHVKEVEQTNLICDDLHNFGSPTNEKNILGKDRGHRVEDQEKSQRRRQRASTASSASLTGSESDESSQGGSNNVDFLHQEVASRITRELLEGTASVNSVQPVHASLYFPSLPREDEQSSPVLKASIAGEASNMDELCAKRYSLRLSLQPIGDSLEPQLVRHAQISGESRSKPIKTKPPPKPMDRELGRTLLIPLSNKYYVEKVIILRALNECRLHDNETYEVEFLTNYNELIKAMIKNCLDQEKMGELGPPVHFCNPGFVTALNHVNMRNQVHHKAVKTLFQCLYKLLCYHTDPLEKILDRLSSEQVDNRGDQFLQIFRPQLASTILSDPLERLFLKLLSAASCVDGDKGLEVPVGSEFKLRLQEVVKVNGNVRFLGEQTLGHQTLYEVINWVVAPIGNETLGQRELEQLSVGFLKQYTFDKQENLPVAVIKKMDEKLRVAAKSQCIALAGAGM
+>sp|Q8NDB6|FA156_HUMAN Protein FAM156A/FAM156B OS=Homo sapiens OX=9606 GN=FAM156A PE=1 SV=1
+MDPLQKRNPASPSKSSPMTAAETSQEGPAPSQPSYSEQPMMGLSNLSPGPGPSQAVPLPEGLLRQRYREEKTLEERRWERLEFLQRKKAFLRHVRRRHRDHMAPYAVGREARISPLGDRSQNRFRCECRYCQSHRPNLSGIPGESNRAPHPSSWETLVQGLSGLTLSLGTNQPGPLPEAALQPQETEEKRQRERQQESKIMFQRLLKQWLEEN
+>DECOY_sp|Q8NDB6|FA156_HUMAN Protein FAM156A/FAM156B OS=Homo sapiens OX=9606 GN=FAM156A PE=1 SV=1
+NEELWQKLLRQFMIKSEQQRERQRKEETEQPQLAAEPLPGPQNTGLSLTLGSLGQVLTEWSSPHPARNSEGPIGSLNPRHSQCYRCECRFRNQSRDGLPSIRAERGVAYPAMHDRHRRRVHRLFAKKRQLFELREWRREELTKEERYRQRLLGEPLPVAQSPGPGPSLNSLGMMPQESYSPQSPAPGEQSTEAATMPSSKSPSAPNRKQLPDM
+>sp|Q6ZV65|FA47E_HUMAN Protein FAM47E OS=Homo sapiens OX=9606 GN=FAM47E PE=2 SV=3
+MADRRRRLRPGTLAPVREGVNCRSRCFTKHKNGLKFPTSLHSRQLVFPRKGLDDFRKGCPPCTGLVTQVPVEGFLPQIYHRAPQLAPKKRQIKLLKEADVLSKLSPAQQARKAFLEDVEAHLTPHPLALYLNLEEAMPIELLSKVLEVLDPDRKLEDTWAYCQDTRKGMKEPTKLLKKHSTQVYLGPSKKTSVSNAGQWLYEEKPHKMDLLHENGPRPGLHENGISDIDEEFILKQFDIDYETKPSHDALHTMKLNQVPLELKRSVGLSKLQETEFFQKLGYERKLQKPQNPYKPKWVKMRYGAWYLNPKLWKKQRVDEPLVDPEVSHKAQEENFKKELQEQEELLADLHGTVAFKDFILSRGYRTPRFLENMYIGKECKRACNKTPIKRTQA
+>DECOY_sp|Q6ZV65|FA47E_HUMAN Protein FAM47E OS=Homo sapiens OX=9606 GN=FAM47E PE=2 SV=3
+AQTRKIPTKNCARKCEKGIYMNELFRPTRYGRSLIFDKFAVTGHLDALLEEQEQLEKKFNEEQAKHSVEPDVLPEDVRQKKWLKPNLYWAGYRMKVWKPKYPNQPKQLKREYGLKQFFETEQLKSLGVSRKLELPVQNLKMTHLADHSPKTEYDIDFQKLIFEEDIDSIGNEHLGPRPGNEHLLDMKHPKEEYLWQGANSVSTKKSPGLYVQTSHKKLLKTPEKMGKRTDQCYAWTDELKRDPDLVELVKSLLEIPMAEELNLYLALPHPTLHAEVDELFAKRAQQAPSLKSLVDAEKLLKIQRKKPALQPARHYIQPLFGEVPVQTVLGTCPPCGKRFDDLGKRPFVLQRSHLSTPFKLGNKHKTFCRSRCNVGERVPALTGPRLRRRRDAM
+>sp|Q9NUQ9|FA49B_HUMAN Protein FAM49B OS=Homo sapiens OX=9606 GN=FAM49B PE=1 SV=1
+MGNLLKVLTCTDLEQGPNFFLDFENAQPTESEKEIYNQVNVVLKDAEGILEDLQSYRGAGHEIREAIQHPADEKLQEKAWGAVVPLVGKLKKFYEFSQRLEAALRGLLGALTSTPYSPTQHLEREQALAKQFAEILHFTLRFDELKMTNPAIQNDFSYYRRTLSRMRINNVPAEGENEVNNELANRMSLFYAEATPMLKTLSDATTKFVSENKNLPIENTTDCLSTMASVCRVMLETPEYRSRFTNEETVSFCLRVMVGVIILYDHVHPVGAFAKTSKIDMKGCIKVLKDQPPNSVEGLLNALRYTTKHLNDETTSKQIKSMLQ
+>DECOY_sp|Q9NUQ9|FA49B_HUMAN Protein FAM49B OS=Homo sapiens OX=9606 GN=FAM49B PE=1 SV=1
+QLMSKIQKSTTEDNLHKTTYRLANLLGEVSNPPQDKLVKICGKMDIKSTKAFAGVPHVHDYLIIVGVMVRLCFSVTEENTFRSRYEPTELMVRCVSAMTSLCDTTNEIPLNKNESVFKTTADSLTKLMPTAEAYFLSMRNALENNVENEGEAPVNNIRMRSLTRRYYSFDNQIAPNTMKLEDFRLTFHLIEAFQKALAQERELHQTPSYPTSTLAGLLGRLAAELRQSFEYFKKLKGVLPVVAGWAKEQLKEDAPHQIAERIEHGAGRYSQLDELIGEADKLVVNVQNYIEKESETPQANEFDLFFNPGQELDTCTLVKLLNGM
+>sp|P0C841|FA66E_HUMAN Putative protein FAM66E OS=Homo sapiens OX=9606 GN=FAM66E PE=5 SV=1
+MLASGAESQDRYRNTPSSRIFTPGFRPTPATTPEPGIYMFNMEPSQP
+>DECOY_sp|P0C841|FA66E_HUMAN Putative protein FAM66E OS=Homo sapiens OX=9606 GN=FAM66E PE=5 SV=1
+PQSPEMNFMYIGPEPTTAPTPRFGPTFIRSSPTNRYRDQSEAGSALM
+>sp|Q5T7M9|FA69A_HUMAN Protein FAM69A OS=Homo sapiens OX=9606 GN=FAM69A PE=2 SV=1
+MARSLCPGAWLRKPYYLQARFSYVRMKYLFFSWLVVFVGSWIIYVQYSTYTELCRGKDCKKIICDKYKTGVIDGPACNSLCVTETLYFGKCLSTKPNNQMYLGIWDNLPGVVKCQMEQALHLDFGTELEPRKEIVLFDKPTRGTTVQKFKEMVYSLFKAKLGDQGNLSELVNLILTVADGDKDGQVSLGEAKSAWALLQLNEFLLMVILQDKEHTPKLMGFCGDLYVMESVEYTSLYGISLPWVIELFIPSGFRRSMDQLFTPSWPRKAKIAIGLLEFVEDVFHGPYGNFLMCDTSAKNLGYNDKYDLKMVDMRKIVPETNLKELIKDRHCESDLDCVYGTDCRTSCDQSTMKCTSEVIQPNLAKACQLLKDYLLRGAPSEIREELEKQLYSCIALKVTANQMEMEHSLILNNLKTLLWKKISYTNDS
+>DECOY_sp|Q5T7M9|FA69A_HUMAN Protein FAM69A OS=Homo sapiens OX=9606 GN=FAM69A PE=2 SV=1
+SDNTYSIKKWLLTKLNNLILSHEMEMQNATVKLAICSYLQKELEERIESPAGRLLYDKLLQCAKALNPQIVESTCKMTSQDCSTRCDTGYVCDLDSECHRDKILEKLNTEPVIKRMDVMKLDYKDNYGLNKASTDCMLFNGYPGHFVDEVFELLGIAIKAKRPWSPTFLQDMSRRFGSPIFLEIVWPLSIGYLSTYEVSEMVYLDGCFGMLKPTHEKDQLIVMLLFENLQLLAWASKAEGLSVQGDKDGDAVTLILNVLESLNGQDGLKAKFLSYVMEKFKQVTTGRTPKDFLVIEKRPELETGFDLHLAQEMQCKVVGPLNDWIGLYMQNNPKTSLCKGFYLTETVCLSNCAPGDIVGTKYKDCIIKKCDKGRCLETYTSYQVYIIWSGVFVVLWSFFLYKMRVYSFRAQLYYPKRLWAGPCLSRAM
+>sp|Q6L9T8|FA72D_HUMAN Protein FAM72D OS=Homo sapiens OX=9606 GN=FAM72D PE=2 SV=1
+MSTNICSFKDRCVSILCCKFCKQVLSSRGMKAVLLADTEIDLFSTDIPPTNAVDFTGRCYFTKICKCKLKDIACLKCGNIVGYHVIVPCSSCLLSCNNRHFWMFHSQAVYDINRLDSTGVNVLLRGNLPEIEESTDEDVLNISAEECIR
+>DECOY_sp|Q6L9T8|FA72D_HUMAN Protein FAM72D OS=Homo sapiens OX=9606 GN=FAM72D PE=2 SV=1
+RICEEASINLVDEDTSEEIEPLNGRLLVNVGTSDLRNIDYVAQSHFMWFHRNNCSLLCSSCPVIVHYGVINGCKLCAIDKLKCKCIKTFYCRGTFDVANTPPIDTSFLDIETDALLVAKMGRSSLVQKCFKCCLISVCRDKFSCINTSM
+>sp|Q5JUQ0|FA78A_HUMAN Protein FAM78A OS=Homo sapiens OX=9606 GN=FAM78A PE=2 SV=1
+MPGFFCDCWPSLEIRALLYAMGCIQSIGGKARVFREGITVIDVKASIDPVPTSIDESSSVVLRYRTPHFRASAQVVMPPIPKKETWVVGWIQACSHMEFYNQYGEQGMSSWELPDLQEGKIQAISDSDGVNYPWYGNTTETCTIVGPTKRDSKFIISMNDNFYPSVTWAVPVSESNVAKLTNIYRDQSFTTWLVATNTSTNDMIILQTLHWRMQLSIEVNPNRPLGQRARLREPIAQDQPKILSKNEPIPPSALVKPNANDAQVLMWRPKYGQPLVVIPPKHR
+>DECOY_sp|Q5JUQ0|FA78A_HUMAN Protein FAM78A OS=Homo sapiens OX=9606 GN=FAM78A PE=2 SV=1
+RHKPPIVVLPQGYKPRWMLVQADNANPKVLASPPIPENKSLIKPQDQAIPERLRARQGLPRNPNVEISLQMRWHLTQLIIMDNTSTNTAVLWTTFSQDRYINTLKAVNSESVPVAWTVSPYFNDNMSIIFKSDRKTPGVITCTETTNGYWPYNVGDSDSIAQIKGEQLDPLEWSSMGQEGYQNYFEMHSCAQIWGVVWTEKKPIPPMVVQASARFHPTRYRLVVSSSEDISTPVPDISAKVDIVTIGERFVRAKGGISQICGMAYLLARIELSPWCDCFFGPM
+>sp|Q8TBF8|FA81A_HUMAN Protein FAM81A OS=Homo sapiens OX=9606 GN=FAM81A PE=2 SV=3
+MENMHLRRVRTMPRHSQSLTMAPYSSVSLVEQLEDRILCHEKTTAALVEHAFRIKDDIVNSLQKMQNKGGGDRLARLFLEEHIRNITAIVKQLNRDIEVLQEQIRARDNISYGTNSALKTLEMRQLSGLGDLRGRVARCDASIARLSAEHKTTYEGLQHLNKEQQAAKLILETKIKDAEGQISQLLNRVDLSISEQSTKLKMSHRDSNHQLQLLDTKFKGTVEELSNQILSARSWLQQEQERIEKELLQKIDQLSLIVKENSGASERDMEKKLSQMSARLDKIEEGQKKTFDGQRTRQEEEKMHGRITKLELQMNQNIKEMKAEVNAGFTAVYESIGSLRQVLEAKMKLDRDQLQKQIQLMQKPETPM
+>DECOY_sp|Q8TBF8|FA81A_HUMAN Protein FAM81A OS=Homo sapiens OX=9606 GN=FAM81A PE=2 SV=3
+MPTEPKQMLQIQKQLQDRDLKMKAELVQRLSGISEYVATFGANVEAKMEKINQNMQLELKTIRGHMKEEEQRTRQGDFTKKQGEEIKDLRASMQSLKKEMDRESAGSNEKVILSLQDIKQLLEKEIREQEQQLWSRASLIQNSLEEVTGKFKTDLLQLQHNSDRHSMKLKTSQESISLDVRNLLQSIQGEADKIKTELILKAAQQEKNLHQLGEYTTKHEASLRAISADCRAVRGRLDGLGSLQRMELTKLASNTGYSINDRARIQEQLVEIDRNLQKVIATINRIHEELFLRALRDGGGKNQMKQLSNVIDDKIRFAHEVLAATTKEHCLIRDELQEVLSVSSYPAMTLSQSHRPMTRVRRLHMNEM
+>sp|Q5T0W9|FA83B_HUMAN Protein FAM83B OS=Homo sapiens OX=9606 GN=FAM83B PE=1 SV=1
+METSSMLSSLNDECKSDNYIEPHYKEWYRVAIDILIEHGLEAYQEFLVQERVSDFLAEEEINYILKNVQKVAQSTAHGTDDSCDDTLSSGTYWPVESDVEAPNLDLGWPYVMPGLLGGTHIDLLFHPPRAHLLTIKETIRKMIKEARKVIALVMDIFTDVDIFKEIVEASTRGVSVYILLDESNFNHFLNMTEKQGCSVQRLRNIRVRTVKGQDYLSKTGAKFHGKMEQKFLLVDCQKVMYGSYSYMWSFEKAHLSMVQIITGQLVESFDEEFRTLYARSCVPSSFAQEESARVKHGKALWENGTYQHSVSSLASVSSQRNLFGRQDKIHKLDSSYFKNRGIYTLNEHDKYNIRSHGYKPHFVPNFNGPNAIRQFQPNQINENWKRHSYAGEQPETVPYLLLNRALNRTNNPPGNWKKPSDSLSVASSSREGYVSHHNTPAQSFANRLAQRKTTNLADRNSNVRRSFNGTDNHIRFLQQRMPTLEHTTKSFLRNWRIESYLNDHSEATPDSNGSALGDRFEGYDNPENLKANALYTHSRLRSSLVFKPTLPEQKEVNSCTTGSSNSTIIGSQGSETPKEVPDTPTNVQHLTDKPLPESIPKLPLQSEAPKMHTLQVPENHSVALNQTTNGHTESNNYIYKTLGVNKQTENLKNQQTENLLKRRSFPLFDNSKANLDPGNSKHYVYSTLTRNRVRQPEKPKEDLLKSSKSMHNVTHNLEEDEEEVTKRNSPSGTTTKSVSIAALLDVNKEESNKELASKKEVKGSPSFLKKGSQKLRSLLSLTPDKKENLSKNKAPAFYRLCSSSDTLVSEGEENQKPKKSDTKVDSSPRRKHSSSSNSQGSIHKSKEDVTVSPSQEINAPPDENKRTPSPGPVESKFLERAGDASAPRFNTEQIQYRDSREINAVVTPERRPTSSPRPTSSELLRSHSTDRRVYSRFEPFCKIESSIQPTSNMPNTSINRPEIKSATMGNSYGRSSPLLNYNTGVYRSYQPNENKFRGFMQKFGNFIHKNK
+>DECOY_sp|Q5T0W9|FA83B_HUMAN Protein FAM83B OS=Homo sapiens OX=9606 GN=FAM83B PE=1 SV=1
+KNKHIFNGFKQMFGRFKNENPQYSRYVGTNYNLLPSSRGYSNGMTASKIEPRNISTNPMNSTPQISSEIKCFPEFRSYVRRDTSHSRLLESSTPRPSSTPRREPTVVANIERSDRYQIQETNFRPASADGARELFKSEVPGPSPTRKNEDPPANIEQSPSVTVDEKSKHISGQSNSSSSHKRRPSSDVKTDSKKPKQNEEGESVLTDSSSCLRYFAPAKNKSLNEKKDPTLSLLSRLKQSGKKLFSPSGKVEKKSALEKNSEEKNVDLLAAISVSKTTTGSPSNRKTVEEEDEELNHTVNHMSKSSKLLDEKPKEPQRVRNRTLTSYVYHKSNGPDLNAKSNDFLPFSRRKLLNETQQNKLNETQKNVGLTKYIYNNSETHGNTTQNLAVSHNEPVQLTHMKPAESQLPLKPISEPLPKDTLHQVNTPTDPVEKPTESGQSGIITSNSSGTTCSNVEKQEPLTPKFVLSSRLRSHTYLANAKLNEPNDYGEFRDGLASGNSDPTAESHDNLYSEIRWNRLFSKTTHELTPMRQQLFRIHNDTGNFSRRVNSNRDALNTTKRQALRNAFSQAPTNHHSVYGERSSSAVSLSDSPKKWNGPPNNTRNLARNLLLYPVTEPQEGAYSHRKWNENIQNPQFQRIANPGNFNPVFHPKYGHSRINYKDHENLTYIGRNKFYSSDLKHIKDQRGFLNRQSSVSALSSVSHQYTGNEWLAKGHKVRASEEQAFSSPVCSRAYLTRFEEDFSEVLQGTIIQVMSLHAKEFSWMYSYSGYMVKQCDVLLFKQEMKGHFKAGTKSLYDQGKVTRVRINRLRQVSCGQKETMNLFHNFNSEDLLIYVSVGRTSAEVIEKFIDVDTFIDMVLAIVKRAEKIMKRITEKITLLHARPPHFLLDIHTGGLLGPMVYPWGLDLNPAEVDSEVPWYTGSSLTDDCSDDTGHATSQAVKQVNKLIYNIEEEALFDSVREQVLFEQYAELGHEILIDIAVRYWEKYHPEIYNDSKCEDNLSSLMSSTEM
+>sp|Q9H4H8|FA83D_HUMAN Protein FAM83D OS=Homo sapiens OX=9606 GN=FAM83D PE=1 SV=3
+MALLSEGLDEVPAACLSPCGPPNPTELFSESRRLALEELVAGGPEAFAAFLRRERLARFLNPDEVHAILRAAERPGEEGAAAAAAAEDSFGSSHDCSSGTYFPEQSDLEPPLLELGWPAFYQGAYRGATRVETHFQPRGAGEGGPYGCKDALRQQLRSAREVIAVVMDVFTDIDIFRDLQEICRKQGVAVYILLDQALLSQFLDMCMDLKVHPEQEKLMTVRTITGNIYYARSGTKIIGKVHEKFTLIDGIRVATGSYSFTWTDGKLNSSNLVILSGQVVEHFDLEFRILYAQSKPISPKLLSHFQSSNKFDHLTNRKPQSKELTLGNLLRMRLARLSSTPRKADLDPEMPAEGKAERKPHDCESSTVSEEDYFSSHRDELQSRKAIDAATQTEPGEEMPGLSVSEVGTQTSITTACAGTQTAVITRIASSQTTIWSRSTTTQTDMDENILFPRGTQSTEGSPVSKMSVSRSSSLKSSSSVSSQGSVASSTGSPASIRTTDFHNPGYPKYLGTPHLELYLSDSLRNLNKERQFHFAGIRSRLNHMLAMLSRRTLFTENHLGLHSGNFSRVNLLAVRDVALYPSYQ
+>DECOY_sp|Q9H4H8|FA83D_HUMAN Protein FAM83D OS=Homo sapiens OX=9606 GN=FAM83D PE=1 SV=3
+QYSPYLAVDRVALLNVRSFNGSHLGLHNETFLTRRSLMALMHNLRSRIGAFHFQREKNLNRLSDSLYLELHPTGLYKPYGPNHFDTTRISAPSGTSSAVSGQSSVSSSSKLSSSRSVSMKSVPSGETSQTGRPFLINEDMDTQTTTSRSWITTQSSAIRTIVATQTGACATTISTQTGVESVSLGPMEEGPETQTAADIAKRSQLEDRHSSFYDEESVTSSECDHPKREAKGEAPMEPDLDAKRPTSSLRALRMRLLNGLTLEKSQPKRNTLHDFKNSSQFHSLLKPSIPKSQAYLIRFELDFHEVVQGSLIVLNSSNLKGDTWTFSYSGTAVRIGDILTFKEHVKGIIKTGSRAYYINGTITRVTMLKEQEPHVKLDMCMDLFQSLLAQDLLIYVAVGQKRCIEQLDRFIDIDTFVDMVVAIVERASRLQQRLADKCGYPGGEGAGRPQFHTEVRTAGRYAGQYFAPWGLELLPPELDSQEPFYTGSSCDHSSGFSDEAAAAAAAGEEGPREAARLIAHVEDPNLFRALRERRLFAAFAEPGGAVLEELALRRSESFLETPNPPGCPSLCAAPVEDLGESLLAM
+>sp|Q96GI7|FA89A_HUMAN Protein FAM89A OS=Homo sapiens OX=9606 GN=FAM89A PE=1 SV=1
+MSGARAAPGAAGNGAVRGLRVDGLPPLPKSLSGLLHSASGGGASGGWRHLERLYAQKSRIQDELSRGGPGGGGARAAALPAKPPNLDAALALLRKEMVGLRQLDMSLLCQLYSLYESIQEYKGACQAASSPDCTYALENGFFDEEEEYFQEQNSLHDRRDRGPPRDLSLPVSSLSSSDWILESI
+>DECOY_sp|Q96GI7|FA89A_HUMAN Protein FAM89A OS=Homo sapiens OX=9606 GN=FAM89A PE=1 SV=1
+ISELIWDSSSLSSVPLSLDRPPGRDRRDHLSNQEQFYEEEEDFFGNELAYTCDPSSAAQCAGKYEQISEYLSYLQCLLSMDLQRLGVMEKRLLALAADLNPPKAPLAAARAGGGGPGGRSLEDQIRSKQAYLRELHRWGGSAGGGSASHLLGSLSKPLPPLGDVRLGRVAGNGAAGPAARAGSM
+>sp|A1XBS5|FA92A_HUMAN Protein FAM92A OS=Homo sapiens OX=9606 GN=FAM92A PE=1 SV=2
+MMRRTLENRNAQTKQLQTAVSNVEKHFGELCQIFAAYVRKTARLRDKADLLVNEINAYAATETPHLKLGLMNFADEFAKLQDYRQAEVERLEAKVVEPLKTYGTIVKMKRDDLKATLTARNREAKQLTQLERTRQRNPSDRHVISQAETELQRAAMDASRTSRHLEETINNFERQKMKDIKTIFSEFITIEMLFHGKALEVYTAAYQNIQNIDEDEDLEVFRNSLYAPDYSSRLDIVRANSKSPLQRSLSAKCVSGTGQVSTCRLRKDQQAEDDEDDELDVTEEENFLK
+>DECOY_sp|A1XBS5|FA92A_HUMAN Protein FAM92A OS=Homo sapiens OX=9606 GN=FAM92A PE=1 SV=2
+KLFNEEETVDLEDDEDDEAQQDKRLRCTSVQGTGSVCKASLSRQLPSKSNARVIDLRSSYDPAYLSNRFVELDEDEDINQINQYAATYVELAKGHFLMEITIFESFITKIDKMKQREFNNITEELHRSTRSADMAARQLETEAQSIVHRDSPNRQRTRELQTLQKAERNRATLTAKLDDRKMKVITGYTKLPEVVKAELREVEAQRYDQLKAFEDAFNMLGLKLHPTETAAYANIENVLLDAKDRLRATKRVYAAFIQCLEGFHKEVNSVATQLQKTQANRNELTRRMM
+>sp|Q01469|FABP5_HUMAN Fatty acid-binding protein 5 OS=Homo sapiens OX=9606 GN=FABP5 PE=1 SV=3
+MATVQQLEGRWRLVDSKGFDEYMKELGVGIALRKMGAMAKPDCIITCDGKNLTIKTESTLKTTQFSCTLGEKFEETTADGRKTQTVCNFTDGALVQHQEWDGKESTITRKLKDGKLVVECVMNNVTCTRIYEKVE
+>DECOY_sp|Q01469|FABP5_HUMAN Fatty acid-binding protein 5 OS=Homo sapiens OX=9606 GN=FABP5 PE=1 SV=3
+EVKEYIRTCTVNNMVCEVVLKGDKLKRTITSEKGDWEQHQVLAGDTFNCVTQTKRGDATTEEFKEGLTCSFQTTKLTSETKITLNKGDCTIICDPKAMAGMKRLAIGVGLEKMYEDFGKSDVLRWRGELQQVTAM
+>sp|P07148|FABPL_HUMAN Fatty acid-binding protein, liver OS=Homo sapiens OX=9606 GN=FABP1 PE=1 SV=1
+MSFSGKYQLQSQENFEAFMKAIGLPEELIQKGKDIKGVSEIVQNGKHFKFTITAGSKVIQNEFTVGEECELETMTGEKVKTVVQLEGDNKLVTTFKNIKSVTELNGDIITNTMTLGDIVFKRISKRI
+>DECOY_sp|P07148|FABPL_HUMAN Fatty acid-binding protein, liver OS=Homo sapiens OX=9606 GN=FABP1 PE=1 SV=1
+IRKSIRKFVIDGLTMTNTIIDGNLETVSKINKFTTVLKNDGELQVVTKVKEGTMTELECEEGVTFENQIVKSGATITFKFHKGNQVIESVGKIDKGKQILEEPLGIAKMFAEFNEQSQLQYKGSFSM
+>sp|Q13158|FADD_HUMAN FAS-associated death domain protein OS=Homo sapiens OX=9606 GN=FADD PE=1 SV=1
+MDPFLVLLHSVSSSLSSSELTELKFLCLGRVGKRKLERVQSGLDLFSMLLEQNDLEPGHTELLRELLASLRRHDLLRRVDDFEAGAAAGAAPGEEDLCAAFNVICDNVGKDWRRLARQLKVSDTKIDSIEDRYPRNLTERVRESLRIWKNTEKENATVAHLVGALRSCQMNLVADLVQEVQQARDLQNRSGAMSPMSWNSDASTSEAS
+>DECOY_sp|Q13158|FADD_HUMAN FAS-associated death domain protein OS=Homo sapiens OX=9606 GN=FADD PE=1 SV=1
+SAESTSADSNWSMPSMAGSRNQLDRAQQVEQVLDAVLNMQCSRLAGVLHAVTANEKETNKWIRLSERVRETLNRPYRDEISDIKTDSVKLQRALRRWDKGVNDCIVNFAACLDEEGPAAGAAAGAEFDDVRRLLDHRRLSALLERLLETHGPELDNQELLMSFLDLGSQVRELKRKGVRGLCLFKLETLESSSLSSSVSHLLVLFPDM
+>sp|O60427|FADS1_HUMAN Fatty acid desaturase 1 OS=Homo sapiens OX=9606 GN=FADS1 PE=1 SV=3
+MAPDPVAAETAAQGPTPRYFTWDEVAQRSGCEERWLVIDRKVYNISEFTRRHPGGSRVISHYAGQDATDPFVAFHINKGLVKKYMNSLLIGELSPEQPSFEPTKNKELTDEFRELRATVERMGLMKANHVFFLLYLLHILLLDGAAWLTLWVFGTSFLPFLLCAVLLSAVQAQAGWLQHDFGHLSVFSTSKWNHLLHHFVIGHLKGAPASWWNHMHFQHHAKPNCFRKDPDINMHPFFFALGKILSVELGKQKKKYMPYNHQHKYFFLIGPPALLPLYFQWYIFYFVIQRKKWVDLAWMITFYVRFFLTYVPLLGLKAFLGLFFIVRFLESNWFVWVTQMNHIPMHIDHDRNMDWVSTQLQATCNVHKSAFNDWFSGHLNFQIEHHLFPTMPRHNYHKVAPLVQSLCAKHGIEYQSKPLLSAFADIIHSLKESGQLWLDAYLHQ
+>DECOY_sp|O60427|FADS1_HUMAN Fatty acid desaturase 1 OS=Homo sapiens OX=9606 GN=FADS1 PE=1 SV=3
+QHLYADLWLQGSEKLSHIIDAFASLLPKSQYEIGHKACLSQVLPAVKHYNHRPMTPFLHHEIQFNLHGSFWDNFASKHVNCTAQLQTSVWDMNRDHDIHMPIHNMQTVWVFWNSELFRVIFFLGLFAKLGLLPVYTLFFRVYFTIMWALDVWKKRQIVFYFIYWQFYLPLLAPPGILFFYKHQHNYPMYKKKQKGLEVSLIKGLAFFFPHMNIDPDKRFCNPKAHHQFHMHNWWSAPAGKLHGIVFHHLLHNWKSTSFVSLHGFDHQLWGAQAQVASLLVACLLFPLFSTGFVWLTLWAAGDLLLIHLLYLLFFVHNAKMLGMREVTARLERFEDTLEKNKTPEFSPQEPSLEGILLSNMYKKVLGKNIHFAVFPDTADQGAYHSIVRSGGPHRRTFESINYVKRDIVLWREECGSRQAVEDWTFYRPTPGQAATEAAVPDPAM
+>sp|Q8N9I5|FADS6_HUMAN Fatty acid desaturase 6 OS=Homo sapiens OX=9606 GN=FADS6 PE=1 SV=2
+MEPTEPMEPTEPMEPTEPMEPARSAHRGGEALLRELEVLVQDVVRTSSWWERHGVDCAILALSLFALPAGFLCLRWENALVFASGITILGVCHYTLTVKGSHLATHGALTESKRWSKIWLLFFVEVCTAFTAEHATHGHVKMHHAYTNVVGLGDSSTWRLPCLNRYVYMFLAPFLLPIATPLVAVERLRKVELGTALRTLALISLGLYSHYWLLLNVSGFKNPSSALGCMFLTRSLLAHPYLHVNIFQHIGLPMFSRDNKPRRIHMMSLGVLNLARLPVLDWAFGHSIISCHVEHHLFPRLSDNMCLKVKPVVSQFLREKQLPYNEDSYLARFQLFLRRYEEFMVQAPPITELVGL
+>DECOY_sp|Q8N9I5|FADS6_HUMAN Fatty acid desaturase 6 OS=Homo sapiens OX=9606 GN=FADS6 PE=1 SV=2
+LGVLETIPPAQVMFEEYRRLFLQFRALYSDENYPLQKERLFQSVVPKVKLCMNDSLRPFLHHEVHCSIISHGFAWDLVPLRALNLVGLSMMHIRRPKNDRSFMPLGIHQFINVHLYPHALLSRTLFMCGLASSPNKFGSVNLLLWYHSYLGLSILALTRLATGLEVKRLREVAVLPTAIPLLFPALFMYVYRNLCPLRWTSSDGLGVVNTYAHHMKVHGHTAHEATFATCVEVFFLLWIKSWRKSETLAGHTALHSGKVTLTYHCVGLITIGSAFVLANEWRLCLFGAPLAFLSLALIACDVGHREWWSSTRVVDQVLVELERLLAEGGRHASRAPEMPETPEMPETPEMPETPEM
+>sp|Q9NVQ4|FAIM1_HUMAN Fas apoptotic inhibitory molecule 1 OS=Homo sapiens OX=9606 GN=FAIM PE=1 SV=1
+MTDLVAVWDVALSDGVHKIEFEHGTTSGKRVVYVDGKEEIRKEWMFKLVGKETFYVGAAKTKATINIDAISGFAYEYTLEINGKSLKKYMEDRSKTTNTWVLHMDGENFRIVLEKDAMDVWCNGKKLETAGEFVDDGTETHFSIGNHDCYIKAVSSGKRKEGIIHTLIVDNREIPEIAS
+>DECOY_sp|Q9NVQ4|FAIM1_HUMAN Fas apoptotic inhibitory molecule 1 OS=Homo sapiens OX=9606 GN=FAIM PE=1 SV=1
+SAIEPIERNDVILTHIIGEKRKGSSVAKIYCDHNGISFHTETGDDVFEGATELKKGNCWVDMADKELVIRFNEGDMHLVWTNTTKSRDEMYKKLSKGNIELTYEYAFGSIADINITAKTKAAGVYFTEKGVLKFMWEKRIEEKGDVYVVRKGSTTGHEFEIKHVGDSLAVDWVAVLDTM
+>sp|Q53R41|FAKD1_HUMAN FAST kinase domain-containing protein 1, mitochondrial OS=Homo sapiens OX=9606 GN=FASTKD1 PE=1 SV=1
+MKKTPVFLESLVTNMLRLRAICPFSWRVFQFRPISCEPLIIQMNKCTDEEQMFGFIERNKAILSEKQVGCAFDMLWKLQKQKTSLLKNAEYVRDHPQFLTLHNLATNKFKLMNDDTLVNVLYVTQQFAGEAHDPLVEALVTEAWRRLERFDIKLLSEFSSCLADQHLYFSPLMGKIADIVHRNLETTQDLSSLSVLMVNISSLISRHFQQQLVNKTELLFDTIDSSEVNVAKSIAKFLRNVRYRYQPLLERCNNVFLSNVDHLDLDSISKILSVYKFLQFNSFEFIIMAKKKLTEMIPLCNHPASFVKLFVALGPIAGPEEKKQLKSTMLLMSEDLTGEQALAVLGAMGDMESRNSCLIKRVTSVLHKHLDGYKPLELLKITQELTFLHFQRKEFFAKLRELLLSYLKNSFIPTEVSVLVRAISLLPSPHLDEVGISRIEAVLPQCDLNNLSSFATSVLRWIQHDHMYLDNMTAKQLKLLQKLDHYGRQRLQHSNSLDLLRKELKSLKGNTFPESLLEEMIATLQHFMDDINYINVGEIASFISSTDYLSTLLLDRIASVAVQQIEKIHPFTIPAIIRPFSVLNYDPPQRDEFLGTCVQHLNSYLGILDPFILVFLGFSLATLEYFPEDLLKAIFNIKFLARLDSQLEILSPSRSARVQFHLMELNRSVCLECPEFQIPWFHDRFCQQYNKGIGGMDGTQQQIFKMLAEVLGGINCVKASVLTPYYHKVDFECILDKRKKPLPYGSHNIALGQLPEMPWESNIEIVGSRLPPGAERIALEFLDSKALCRNIPHMKGKSAMKKRHLEILGYRVIQISQFEWNSMALSTKDARMDYLRECIFGEVKSCL
+>DECOY_sp|Q53R41|FAKD1_HUMAN FAST kinase domain-containing protein 1, mitochondrial OS=Homo sapiens OX=9606 GN=FASTKD1 PE=1 SV=1
+LCSKVEGFICERLYDMRADKTSLAMSNWEFQSIQIVRYGLIELHRKKMASKGKMHPINRCLAKSDLFELAIREAGPPLRSGVIEINSEWPMEPLQGLAINHSGYPLPKKRKDLICEFDVKHYYPTLVSAKVCNIGGLVEALMKFIQQQTGDMGGIGKNYQQCFRDHFWPIQFEPCELCVSRNLEMLHFQVRASRSPSLIELQSDLRALFKINFIAKLLDEPFYELTALSFGLFVLIFPDLIGLYSNLHQVCTGLFEDRQPPDYNLVSFPRIIAPITFPHIKEIQQVAVSAIRDLLLTSLYDTSSIFSAIEGVNIYNIDDMFHQLTAIMEELLSEPFTNGKLSKLEKRLLDLSNSHQLRQRGYHDLKQLLKLQKATMNDLYMHDHQIWRLVSTAFSSLNNLDCQPLVAEIRSIGVEDLHPSPLLSIARVLVSVETPIFSNKLYSLLLERLKAFFEKRQFHLFTLEQTIKLLELPKYGDLHKHLVSTVRKILCSNRSEMDGMAGLVALAQEGTLDESMLLMTSKLQKKEEPGAIPGLAVFLKVFSAPHNCLPIMETLKKKAMIIFEFSNFQLFKYVSLIKSISDLDLHDVNSLFVNNCRELLPQYRYRVNRLFKAISKAVNVESSDITDFLLETKNVLQQQFHRSILSSINVMLVSLSSLDQTTELNRHVIDAIKGMLPSFYLHQDALCSSFESLLKIDFRELRRWAETVLAEVLPDHAEGAFQQTVYLVNVLTDDNMLKFKNTALNHLTLFQPHDRVYEANKLLSTKQKQLKWLMDFACGVQKESLIAKNREIFGFMQEEDTCKNMQIILPECSIPRFQFVRWSFPCIARLRLMNTVLSELFVPTKKM
+>sp|A8MUU1|FB5L3_HUMAN Putative fatty acid-binding protein 5-like protein 3 OS=Homo sapiens OX=9606 GN=FABP5P3 PE=5 SV=1
+MGAMAKPDCIITCDSKNLTIKTESTLKTTQFSGTLGEKFEENTADGRRTQTVCNFTDGALVQHQEWDGKESTITRKLKDGKLVVERVMNHVACTRIYEKAQ
+>DECOY_sp|A8MUU1|FB5L3_HUMAN Putative fatty acid-binding protein 5-like protein 3 OS=Homo sapiens OX=9606 GN=FABP5P3 PE=5 SV=1
+QAKEYIRTCAVHNMVREVVLKGDKLKRTITSEKGDWEQHQVLAGDTFNCVTQTRRGDATNEEFKEGLTGSFQTTKLTSETKITLNKSDCTIICDPKAMAGM
+>sp|O15083|ERC2_HUMAN ERC protein 2 OS=Homo sapiens OX=9606 GN=ERC2 PE=1 SV=3
+MYGSARTITNLEGSPSRSPRLPRSPRLGHRRTSSGGGGGTGKTLSMENIQSLNAAYATSGPMYLSDHEGVASTTYPKGTMTLGRATNRAVYGGRVTAMGSSPNIASAGLSHTDVLSYTDQHGGLTGSSHHHHHQVPSMLRQVRDSTMLDLQAQLKELQRENDLLRKELDIKDSKLGSSMNSIKTFWSPELKKERVLRKEEAARMSVLKEQMRVSHEENQHLQLTIQALQDELRTQRDLNHLLQQESGNRGAEHFTIELTEENFRRLQAEHDRQAKELFLLRKTLEEMELRIETQKQTLNARDESIKKLLEMLQSKGLPSKSLEDDNERTRRMAEAESQVSHLEVILDQKEKENIHLREELHRRSQLQPEPAKTKALQTVIEMKDTKIASLERNIRDLEDEIQMLKANGVLNTEDREEEIKQIEVYKSHSKFMKTKIDQLKQELSKKESELLALQTKLETLSNQNSDCKQHIEVLKESLTAKEQRAAILQTEVDALRLRLEEKESFLNKKTKQLQDLTEEKGTLAGEIRDMKDMLEVKERKINVLQKKIENLQEQLRDKDKQLTNLKDRVKSLQTDSSNTDTALATLEEALSEKERIIERLKEQRERDDRERLEEIESFRKENKDLKEKVNALQAELTEKESSLIDLKEHASSLASAGLKRDSKLKSLEIAIEQKKEECSKLEAQLKKAHNIEDDSRMNPEFADQIKQLDKEASYYRDECGKAQAEVDRLLEILKEVENEKNDKDKKIAELESLTLRHMKDQNKKVANLKHNQQLEKKKNAQLLEEVRRREDSMADNSQHLQIEELMNALEKTRQELDATKARLASTQQSLAEKEAHLANLRIERRKQLEEILEMKQEALLAAISEKDANIALLELSASKKKKTQEEVMALKREKDRLVHQLKQQTQNRMKLMADNYDDDHHHYHHHHHHHHHRSPGRSQHSNHRPSPDQDDEEGIWA
+>DECOY_sp|O15083|ERC2_HUMAN ERC protein 2 OS=Homo sapiens OX=9606 GN=ERC2 PE=1 SV=3
+AWIGEEDDQDPSPRHNSHQSRGPSRHHHHHHHHHYHHHDDDYNDAMLKMRNQTQQKLQHVLRDKERKLAMVEEQTKKKKSASLELLAINADKESIAALLAEQKMELIEELQKRREIRLNALHAEKEALSQQTSALRAKTADLEQRTKELANMLEEIQLHQSNDAMSDERRRVEELLQANKKKELQQNHKLNAVKKNQDKMHRLTLSELEAIKKDKDNKENEVEKLIELLRDVEAQAKGCEDRYYSAEKDLQKIQDAFEPNMRSDDEINHAKKLQAELKSCEEKKQEIAIELSKLKSDRKLGASALSSAHEKLDILSSEKETLEAQLANVKEKLDKNEKRFSEIEELRERDDRERQEKLREIIREKESLAEELTALATDTNSSDTQLSKVRDKLNTLQKDKDRLQEQLNEIKKQLVNIKREKVELMDKMDRIEGALTGKEETLDQLQKTKKNLFSEKEELRLRLADVETQLIAARQEKATLSEKLVEIHQKCDSNQNSLTELKTQLALLESEKKSLEQKLQDIKTKMFKSHSKYVEIQKIEEERDETNLVGNAKLMQIEDELDRINRELSAIKTDKMEIVTQLAKTKAPEPQLQSRRHLEERLHINEKEKQDLIVELHSVQSEAEAMRRTRENDDELSKSPLGKSQLMELLKKISEDRANLTQKQTEIRLEMEELTKRLLFLEKAQRDHEAQLRRFNEETLEITFHEAGRNGSEQQLLHNLDRQTRLEDQLAQITLQLHQNEEHSVRMQEKLVSMRAAEEKRLVREKKLEPSWFTKISNMSSGLKSDKIDLEKRLLDNERQLEKLQAQLDLMTSDRVQRLMSPVQHHHHHSSGTLGGHQDTYSLVDTHSLGASAINPSSGMATVRGGYVARNTARGLTMTGKPYTTSAVGEHDSLYMPGSTAYAANLSQINEMSLTKGTGGGGGSSTRRHGLRPSRPLRPSRSPSGELNTITRASGYM
+>sp|O43731|ERD23_HUMAN ER lumen protein-retaining receptor 3 OS=Homo sapiens OX=9606 GN=KDELR3 PE=2 SV=1
+MNVFRILGDLSHLLAMILLLGKIWRSKCCKGISGKSQILFALVFTTRYLDLFTNFISIYNTVMKVVFLLCAYVTVYMIYGKFRKTFDSENDTFRLEFLLVPVIGLSFLENYSFTLLEILWTFSIYLESVAILPQLFMISKTGEAETITTHYLFFLGLYRALYLANWIRRYQTENFYDQIAVVSGVVQTIFYCDFFYLYVTKVLKGKKLSLPMPI
+>DECOY_sp|O43731|ERD23_HUMAN ER lumen protein-retaining receptor 3 OS=Homo sapiens OX=9606 GN=KDELR3 PE=2 SV=1
+IPMPLSLKKGKLVKTVYLYFFDCYFITQVVGSVVAIQDYFNETQYRRIWNALYLARYLGLFFLYHTTITEAEGTKSIMFLQPLIAVSELYISFTWLIELLTFSYNELFSLGIVPVLLFELRFTDNESDFTKRFKGYIMYVTVYACLLFVVKMVTNYISIFNTFLDLYRTTFVLAFLIQSKGSIGKCCKSRWIKGLLLIMALLHSLDGLIRFVNM
+>sp|O14944|EREG_HUMAN Proepiregulin OS=Homo sapiens OX=9606 GN=EREG PE=1 SV=1
+MTAGRRMEMLCAGRVPALLLCLGFHLLQAVLSTTVIPSCIPGESSDNCTALVQTEDNPRVAQVSITKCSSDMNGYCLHGQCIYLVDMSQNYCRCEVGYTGVRCEHFFLTVHQPLSKEYVALTVILIILFLITVVGSTYYFCRWYRNRKSKEPKKEYERVTSGDPELPQV
+>DECOY_sp|O14944|EREG_HUMAN Proepiregulin OS=Homo sapiens OX=9606 GN=EREG PE=1 SV=1
+VQPLEPDGSTVREYEKKPEKSKRNRYWRCFYYTSGVVTILFLIILIVTLAVYEKSLPQHVTLFFHECRVGTYGVECRCYNQSMDVLYICQGHLCYGNMDSSCKTISVQAVRPNDETQVLATCNDSSEGPICSPIVTTSLVAQLLHFGLCLLLAPVRGACLMEMRRGATM
+>sp|P62495|ERF1_HUMAN Eukaryotic peptide chain release factor subunit 1 OS=Homo sapiens OX=9606 GN=ETF1 PE=1 SV=3
+MADDPSAADRNVEIWKIKKLIKSLEAARGNGTSMISLIIPPKDQISRVAKMLADEFGTASNIKSRVNRLSVLGAITSVQQRLKLYNKVPPNGLVVYCGTIVTEEGKEKKVNIDFEPFKPINTSLYLCDNKFHTEALTALLSDDSKFGFIVIDGSGALFGTLQGNTREVLHKFTVDLPKKHGRGGQSALRFARLRMEKRHNYVRKVAETAVQLFISGDKVNVAGLVLAGSADFKTELSQSDMFDQRLQSKVLKLVDISYGGENGFNQAIELSTEVLSNVKFIQEKKLIGRYFDEISQDTGKYCFGVEDTLKALEMGAVEILIVYENLDIMRYVLHCQGTEEEKILYLTPEQEKDKSHFTDKETGQEHELIESMPLLEWFANNYKKFGATLEIVTDKSQEGSQFVKGFGGIGGILRYRVDFQGMEYQGGDDEFFDLDDY
+>DECOY_sp|P62495|ERF1_HUMAN Eukaryotic peptide chain release factor subunit 1 OS=Homo sapiens OX=9606 GN=ETF1 PE=1 SV=3
+YDDLDFFEDDGGQYEMGQFDVRYRLIGGIGGFGKVFQSGEQSKDTVIELTAGFKKYNNAFWELLPMSEILEHEQGTEKDTFHSKDKEQEPTLYLIKEEETGQCHLVYRMIDLNEYVILIEVAGMELAKLTDEVGFCYKGTDQSIEDFYRGILKKEQIFKVNSLVETSLEIAQNFGNEGGYSIDVLKLVKSQLRQDFMDSQSLETKFDASGALVLGAVNVKDGSIFLQVATEAVKRVYNHRKEMRLRAFRLASQGGRGHKKPLDVTFKHLVERTNGQLTGFLAGSGDIVIFGFKSDDSLLATLAETHFKNDCLYLSTNIPKFPEFDINVKKEKGEETVITGCYVVLGNPPVKNYLKLRQQVSTIAGLVSLRNVRSKINSATGFEDALMKAVRSIQDKPPIILSIMSTGNGRAAELSKILKKIKWIEVNRDAASPDDAM
+>sp|P50548|ERF_HUMAN ETS domain-containing transcription factor ERF OS=Homo sapiens OX=9606 GN=ERF PE=1 SV=2
+MKTPADTGFAFPDWAYKPESSPGSRQIQLWHFILELLRKEEYQGVIAWQGDYGEFVIKDPDEVARLWGVRKCKPQMNYDKLSRALRYYYNKRILHKTKGKRFTYKFNFNKLVLVNYPFIDVGLAGGAVPQSAPPVPSGGSHFRFPPSTPSEVLSPTEDPRSPPACSSSSSSLFSAVVARRLGRGSVSDCSDGTSELEEPLGEDPRARPPGPPDLGAFRGPPLARLPHDPGVFRVYPRPRGGPEPLSPFPVSPLAGPGSLLPPQLSPALPMTPTHLAYTPSPTLSPMYPSGGGGPSGSGGGSHFSFSPEDMKRYLQAHTQSVYNYHLSPRAFLHYPGLVVPQPQRPDKCPLPPMAPETPPVPSSASSSSSSSSSPFKFKLQPPPLGRRQRAAGEKAVAGADKSGGSAGGLAEGAGALAPPPPPPQIKVEPISEGESEEVEVTDISDEDEEDGEVFKTPRAPPAPPKPEPGEAPGASQCMPLKLRFKRRWSEDCRLEGGGGPAGGFEDEGEDKKVRGEGPGEAGGPLTPRRVSSDLQHATAQLSLEHRDS
+>DECOY_sp|P50548|ERF_HUMAN ETS domain-containing transcription factor ERF OS=Homo sapiens OX=9606 GN=ERF PE=1 SV=2
+SDRHELSLQATAHQLDSSVRRPTLPGGAEGPGEGRVKKDEGEDEFGGAPGGGGELRCDESWRRKFRLKLPMCQSAGPAEGPEPKPPAPPARPTKFVEGDEEDEDSIDTVEVEESEGESIPEVKIQPPPPPPALAGAGEALGGASGGSKDAGAVAKEGAARQRRGLPPPQLKFKFPSSSSSSSSSASSPVPPTEPAMPPLPCKDPRQPQPVVLGPYHLFARPSLHYNYVSQTHAQLYRKMDEPSFSFHSGGGSGSPGGGGSPYMPSLTPSPTYALHTPTMPLAPSLQPPLLSGPGALPSVPFPSLPEPGGRPRPYVRFVGPDHPLRALPPGRFAGLDPPGPPRARPDEGLPEELESTGDSCDSVSGRGLRRAVVASFLSSSSSSCAPPSRPDETPSLVESPTSPPFRFHSGGSPVPPASQPVAGGALGVDIFPYNVLVLKNFNFKYTFRKGKTKHLIRKNYYYRLARSLKDYNMQPKCKRVGWLRAVEDPDKIVFEGYDGQWAIVGQYEEKRLLELIFHWLQIQRSGPSSEPKYAWDPFAFGTDAPTKM
+>sp|P11308|ERG_HUMAN Transcriptional regulator ERG OS=Homo sapiens OX=9606 GN=ERG PE=1 SV=2
+MIQTVPDPAAHIKEALSVVSEDQSLFECAYGTPHLAKTEMTASSSSDYGQTSKMSPRVPQQDWLSQPPARVTIKMECNPSQVNGSRNSPDECSVAKGGKMVGSPDTVGMNYGSYMEEKHMPPPNMTTNERRVIVPADPTLWSTDHVRQWLEWAVKEYGLPDVNILLFQNIDGKELCKMTKDDFQRLTPSYNADILLSHLHYLRETPLPHLTSDDVDKALQNSPRLMHARNTGGAAFIFPNTSVYPEATQRITTRPDLPYEPPRRSAWTGHGHPTPQSKAAQPSPSTVPKTEDQRPQLDPYQILGPTSSRLANPGSGQIQLWQFLLELLSDSSNSSCITWEGTNGEFKMTDPDEVARRWGERKSKPNMNYDKLSRALRYYYDKNIMTKVHGKRYAYKFDFHGIAQALQPHPPESSLYKYPSDLPYMGSYHAHPQKMNFVAPHPPALPVTSSSFFAAPNPYWNSPTGGIYPNTRLPTSHMPSHLGTYY
+>DECOY_sp|P11308|ERG_HUMAN Transcriptional regulator ERG OS=Homo sapiens OX=9606 GN=ERG PE=1 SV=2
+YYTGLHSPMHSTPLRTNPYIGGTPSNWYPNPAAFFSSSTVPLAPPHPAVFNMKQPHAHYSGMYPLDSPYKYLSSEPPHPQLAQAIGHFDFKYAYRKGHVKTMINKDYYYRLARSLKDYNMNPKSKREGWRRAVEDPDTMKFEGNTGEWTICSSNSSDSLLELLFQWLQIQGSGPNALRSSTPGLIQYPDLQPRQDETKPVTSPSPQAAKSQPTPHGHGTWASRRPPEYPLDPRTTIRQTAEPYVSTNPFIFAAGGTNRAHMLRPSNQLAKDVDDSTLHPLPTERLYHLHSLLIDANYSPTLRQFDDKTMKCLEKGDINQFLLINVDPLGYEKVAWELWQRVHDTSWLTPDAPVIVRRENTTMNPPPMHKEEMYSGYNMGVTDPSGVMKGGKAVSCEDPSNRSGNVQSPNCEMKITVRAPPQSLWDQQPVRPSMKSTQGYDSSSSATMETKALHPTGYACEFLSQDESVVSLAEKIHAAPDPVTQIM
+>sp|A6NGS2|ERIC4_HUMAN Glutamate-rich protein 4 OS=Homo sapiens OX=9606 GN=ERICH4 PE=4 SV=2
+MELWRQLNQAGLVPPGLGPPPQALREVSPVEIPGQTLRTAGADTGGACDSLLWIREELGNLRRVDVQLLGQLCSLGLEMGALREELVTILEEEEESSKEEEEDQEPQRKQEEEHLEACPAPHPPDFEMMI
+>DECOY_sp|A6NGS2|ERIC4_HUMAN Glutamate-rich protein 4 OS=Homo sapiens OX=9606 GN=ERICH4 PE=4 SV=2
+IMMEFDPPHPAPCAELHEEEQKRQPEQDEEEEKSSEEEEELITVLEERLAGMELGLSCLQGLLQVDVRRLNGLEERIWLLSDCAGGTDAGATRLTQGPIEVPSVERLAQPPPGLGPPVLGAQNLQRWLEM
+>sp|Q96PL5|ERMAP_HUMAN Erythroid membrane-associated protein OS=Homo sapiens OX=9606 GN=ERMAP PE=1 SV=1
+MEMASSAGSWLSGCLIPLVFLRLSVHVSGHAGDAGKFHVALLGGTAELLCPLSLWPGTVPKEVRWLRSPFPQRSQAVHIFRDGKDQDEDLMPEYKGRTVLVRDAQEGSVTLQILDVRLEDQGSYRCLIQVGNLSKEDTVILQVAAPSVGSLSPSAVALAVILPVLVLLIMVCLCLIWKQRRAKEKLLYEHVTEVDNLLSDHAKEKGKLHKAVKKLRSELKLKRAAANSGWRRARLHFVAVTLDPDTAHPKLILSEDQRCVRLGDRRQPVPDNPQRFDFVVSILGSEYFTTGCHYWEVYVGDKTKWILGVCSESVSRKGKVTASPANGHWLLRQSRGNEYEALTSPQTSFRLKEPPRCVGIFLDYEAGVISFYNVTNKSHIFTFTHNFSGPLRPFFEPCLHDGGKNTAPLVICSELHKSEESIVPRPEGKGHANGDVSLKVNSSLLPPKAPELKDIILSLPPDLGPALQELKAPSF
+>DECOY_sp|Q96PL5|ERMAP_HUMAN Erythroid membrane-associated protein OS=Homo sapiens OX=9606 GN=ERMAP PE=1 SV=1
+FSPAKLEQLAPGLDPPLSLIIDKLEPAKPPLLSSNVKLSVDGNAHGKGEPRPVISEESKHLESCIVLPATNKGGDHLCPEFFPRLPGSFNHTFTFIHSKNTVNYFSIVGAEYDLFIGVCRPPEKLRFSTQPSTLAEYENGRSQRLLWHGNAPSATVKGKRSVSESCVGLIWKTKDGVYVEWYHCGTTFYESGLISVVFDFRQPNDPVPQRRDGLRVCRQDESLILKPHATDPDLTVAVFHLRARRWGSNAAARKLKLESRLKKVAKHLKGKEKAHDSLLNDVETVHEYLLKEKARRQKWILCLCVMILLVLVPLIVALAVASPSLSGVSPAAVQLIVTDEKSLNGVQILCRYSGQDELRVDLIQLTVSGEQADRVLVTRGKYEPMLDEDQDKGDRFIHVAQSRQPFPSRLWRVEKPVTGPWLSLPCLLEATGGLLAVHFKGADGAHGSVHVSLRLFVLPILCGSLWSGASSAMEM
+>sp|O75460|ERN1_HUMAN Serine/threonine-protein kinase/endoribonuclease IRE1 OS=Homo sapiens OX=9606 GN=ERN1 PE=1 SV=2
+MPARRLLLLLTLLLPGLGIFGSTSTVTLPETLLFVSTLDGSLHAVSKRTGSIKWTLKEDPVLQVPTHVEEPAFLPDPNDGSLYTLGSKNNEGLTKLPFTIPELVQASPCRSSDGILYMGKKQDIWYVIDLLTGEKQQTLSSAFADSLCPSTSLLYLGRTEYTITMYDTKTRELRWNATYFDYAASLPEDDVDYKMSHFVSNGDGLVVTVDSESGDVLWIQNYASPVVAFYVWQREGLRKVMHINVAVETLRYLTFMSGEVGRITKWKYPFPKETEAKSKLTPTLYVGKYSTSLYASPSMVHEGVAVVPRGSTLPLLEGPQTDGVTIGDKGECVITPSTDVKFDPGLKSKNKLNYLRNYWLLIGHHETPLSASTKMLERFPNNLPKHRENVIPADSEKKSFEEVINLVDQTSENAPTTVSRDVEEKPAHAPARPEAPVDSMLKDMATIILSTFLLIGWVAFIITYPLSMHQQQQLQHQQFQKELEKIQLLQQQQQQLPFHPPGDTAQDGELLDTSGPYSESSGTSSPSTSPRASNHSLCSGSSASKAGSSPSLEQDDGDEETSVVIVGKISFCPKDVLGHGAEGTIVYRGMFDNRDVAVKRILPECFSFADREVQLLRESDEHPNVIRYFCTEKDRQFQYIAIELCAATLQEYVEQKDFAHLGLEPITLLQQTTSGLAHLHSLNIVHRDLKPHNILISMPNAHGKIKAMISDFGLCKKLAVGRHSFSRRSGVPGTEGWIAPEMLSEDCKENPTYTVDIFSAGCVFYYVISEGSHPFGKSLQRQANILLGACSLDCLHPEKHEDVIARELIEKMIAMDPQKRPSAKHVLKHPFFWSLEKQLQFFQDVSDRIEKESLDGPIVKQLERGGRAVVKMDWRENITVPLQTDLRKFRTYKGGSVRDLLRAMRNKKHHYRELPAEVRETLGSLPDDFVCYFTSRFPHLLAHTYRAMELCSHERLFQPYYFHEPPEPQPPVTPDAL
+>DECOY_sp|O75460|ERN1_HUMAN Serine/threonine-protein kinase/endoribonuclease IRE1 OS=Homo sapiens OX=9606 GN=ERN1 PE=1 SV=2
+LADPTVPPQPEPPEHFYYPQFLREHSCLEMARYTHALLHPFRSTFYCVFDDPLSGLTERVEAPLERYHHKKNRMARLLDRVSGGKYTRFKRLDTQLPVTINERWDMKVVARGGRELQKVIPGDLSEKEIRDSVDQFFQLQKELSWFFPHKLVHKASPRKQPDMAIMKEILERAIVDEHKEPHLCDLSCAGLLINAQRQLSKGFPHSGESIVYYFVCGASFIDVTYTPNEKCDESLMEPAIWGETGPVGSRRSFSHRGVALKKCLGFDSIMAKIKGHANPMSILINHPKLDRHVINLSHLHALGSTTQQLLTIPELGLHAFDKQEVYEQLTAACLEIAIYQFQRDKETCFYRIVNPHEDSERLLQVERDAFSFCEPLIRKVAVDRNDFMGRYVITGEAGHGLVDKPCFSIKGVIVVSTEEDGDDQELSPSSGAKSASSGSCLSHNSARPSTSPSSTGSSESYPGSTDLLEGDQATDGPPHFPLQQQQQQLLQIKELEKQFQQHQLQQQQHMSLPYTIIFAVWGILLFTSLIITAMDKLMSDVPAEPRAPAHAPKEEVDRSVTTPANESTQDVLNIVEEFSKKESDAPIVNERHKPLNNPFRELMKTSASLPTEHHGILLWYNRLYNLKNKSKLGPDFKVDTSPTIVCEGKDGITVGDTQPGELLPLTSGRPVVAVGEHVMSPSAYLSTSYKGVYLTPTLKSKAETEKPFPYKWKTIRGVEGSMFTLYRLTEVAVNIHMVKRLGERQWVYFAVVPSAYNQIWLVDGSESDVTVVLGDGNSVFHSMKYDVDDEPLSAAYDFYTANWRLERTKTDYMTITYETRGLYLLSTSPCLSDAFASSLTQQKEGTLLDIVYWIDQKKGMYLIGDSSRCPSAQVLEPITFPLKTLGENNKSGLTYLSGDNPDPLFAPEEVHTPVQLVPDEKLTWKISGTRKSVAHLSGDLTSVFLLTEPLTVTSTSGFIGLGPLLLTLLLLLRRAPM
+>sp|P11474|ERR1_HUMAN Steroid hormone receptor ERR1 OS=Homo sapiens OX=9606 GN=ESRRA PE=1 SV=3
+MSSQVVGIEPLYIKAEPASPDSPKGSSETETEPPVALAPGPAPTRCLPGHKEEEDGEGAGPGEQGGGKLVLSSLPKRLCLVCGDVASGYHYGVASCEACKAFFKRTIQGSIEYSCPASNECEITKRRRKACQACRFTKCLRVGMLKEGVRLDRVRGGRQKYKRRPEVDPLPFPGPFPAGPLAVAGGPRKTAAPVNALVSHLLVVEPEKLYAMPDPAGPDGHLPAVATLCDLFDREIVVTISWAKSIPGFSSLSLSDQMSVLQSVWMEVLVLGVAQRSLPLQDELAFAEDLVLDEEGARAAGLGELGAALLQLVRRLQALRLEREEYVLLKALALANSDSVHIEDAEAVEQLREALHEALLEYEAGRAGPGGGAERRRAGRLLLTLPLLRQTAGKVLAHFYGVKLEGKVPMHKLFLEMLEAMMD
+>DECOY_sp|P11474|ERR1_HUMAN Steroid hormone receptor ERR1 OS=Homo sapiens OX=9606 GN=ESRRA PE=1 SV=3
+DMMAELMELFLKHMPVKGELKVGYFHALVKGATQRLLPLTLLLRGARRREAGGGPGARGAEYELLAEHLAERLQEVAEADEIHVSDSNALALAKLLVYEERELRLAQLRRVLQLLAAGLEGLGAARAGEEDLVLDEAFALEDQLPLSRQAVGLVLVEMWVSQLVSMQDSLSLSSFGPISKAWSITVVIERDFLDCLTAVAPLHGDPGAPDPMAYLKEPEVVLLHSVLANVPAATKRPGGAVALPGAPFPGPFPLPDVEPRRKYKQRGGRVRDLRVGEKLMGVRLCKTFRCAQCAKRRRKTIECENSAPCSYEISGQITRKFFAKCAECSAVGYHYGSAVDGCVLCLRKPLSSLVLKGGGQEGPGAGEGDEEEKHGPLCRTPAPGPALAVPPETETESSGKPSDPSAPEAKIYLPEIGVVQSSM
+>sp|P62508|ERR3_HUMAN Estrogen-related receptor gamma OS=Homo sapiens OX=9606 GN=ESRRG PE=1 SV=1
+MDSVELCLPESFSLHYEEELLCRMSNKDRHIDSSCSSFIKTEPSSPASLTDSVNHHSPGGSSDASGSYSSTMNGHQNGLDSPPLYPSAPILGGSGPVRKLYDDCSSTIVEDPQTKCEYMLNSMPKRLCLVCGDIASGYHYGVASCEACKAFFKRTIQGNIEYSCPATNECEITKRRRKSCQACRFMKCLKVGMLKEGVRLDRVRGGRQKYKRRIDAENSPYLNPQLVQPAKKPYNKIVSHLLVAEPEKIYAMPDPTVPDSDIKALTTLCDLADRELVVIIGWAKHIPGFSTLSLADQMSLLQSAWMEILILGVVYRSLSFEDELVYADDYIMDEDQSKLAGLLDLNNAILQLVKKYKSMKLEKEEFVTLKAIALANSDSMHIEDVEAVQKLQDVLHEALQDYEAGQHMEDPRRAGKMLMTLPLLRQTSTKAVQHFYNIKLEGKVPMHKLFLEMLEAKV
+>DECOY_sp|P62508|ERR3_HUMAN Estrogen-related receptor gamma OS=Homo sapiens OX=9606 GN=ESRRG PE=1 SV=1
+VKAELMELFLKHMPVKGELKINYFHQVAKTSTQRLLPLTMLMKGARRPDEMHQGAEYDQLAEHLVDQLKQVAEVDEIHMSDSNALAIAKLTVFEEKELKMSKYKKVLQLIANNLDLLGALKSQDEDMIYDDAYVLEDEFSLSRYVVGLILIEMWASQLLSMQDALSLTSFGPIHKAWGIIVVLERDALDCLTTLAKIDSDPVTPDPMAYIKEPEAVLLHSVIKNYPKKAPQVLQPNLYPSNEADIRRKYKQRGGRVRDLRVGEKLMGVKLCKMFRCAQCSKRRRKTIECENTAPCSYEINGQITRKFFAKCAECSAVGYHYGSAIDGCVLCLRKPMSNLMYECKTQPDEVITSSCDDYLKRVPGSGGLIPASPYLPPSDLGNQHGNMTSSYSGSADSSGGPSHHNVSDTLSAPSSPETKIFSSCSSDIHRDKNSMRCLLEEEYHLSFSEPLCLEVSDM
+>sp|Q1W209|ESRG_HUMAN Embryonic stem cell-related gene protein OS=Homo sapiens OX=9606 GN=ESRG PE=2 SV=2
+MTLFSDSARLHPGEINSLVAHTKPVWWSLHTDAHEIWCRDSDRGTSLGRSIPCPPALCSVRKIHLRPQVLRPTSPRNISPISNPVSGLFLLCSPTSLTIPQPLSPFNLGATLQSLPSLNFNSFHSLVETKETCFIREPKTPAPVTDWEGSLPLVFNHCRDASLISRFRPRRDACLGPSPLAASPAFLGQGQVPLNPFSFTLSGKSRFSGAGASTPQPLLLHP
+>DECOY_sp|Q1W209|ESRG_HUMAN Embryonic stem cell-related gene protein OS=Homo sapiens OX=9606 GN=ESRG PE=2 SV=2
+PHLLLPQPTSAGAGSFRSKGSLTFSFPNLPVQGQGLFAPSAALPSPGLCADRRPRFRSILSADRCHNFVLPLSGEWDTVPAPTKPERIFCTEKTEVLSHFSNFNLSPLSQLTAGLNFPSLPQPITLSTPSCLLFLGSVPNSIPSINRPSTPRLVQPRLHIKRVSCLAPPCPISRGLSTGRDSDRCWIEHADTHLSWWVPKTHAVLSNIEGPHLRASDSFLTM
+>sp|P38117|ETFB_HUMAN Electron transfer flavoprotein subunit beta OS=Homo sapiens OX=9606 GN=ETFB PE=1 SV=3
+MAELRVLVAVKRVIDYAVKIRVKPDRTGVVTDGVKHSMNPFCEIAVEEAVRLKEKKLVKEVIAVSCGPAQCQETIRTALAMGADRGIHVEVPPAEAERLGPLQVARVLAKLAEKEKVDLVLLGKQAIDDDCNQTGQMTAGFLDWPQGTFASQVTLEGDKLKVEREIDGGLETLRLKLPAVVTADLRLNEPRYATLPNIMKAKKKKIEVIKPGDLGVDLTSKLSVISVEDPPQRTAGVKVETTEDLVAKLKEIGRI
+>DECOY_sp|P38117|ETFB_HUMAN Electron transfer flavoprotein subunit beta OS=Homo sapiens OX=9606 GN=ETFB PE=1 SV=3
+IRGIEKLKAVLDETTEVKVGATRQPPDEVSIVSLKSTLDVGLDGPKIVEIKKKKAKMINPLTAYRPENLRLDATVVAPLKLRLTELGGDIEREVKLKDGELTVQSAFTGQPWDLFGATMQGTQNCDDDIAQKGLLVLDVKEKEALKALVRAVQLPGLREAEAPPVEVHIGRDAGMALATRITEQCQAPGCSVAIVEKVLKKEKLRVAEEVAIECFPNMSHKVGDTVVGTRDPKVRIKVAYDIVRKVAVLVRLEAM
+>sp|Q8IXQ9|ETKMT_HUMAN Electron transfer flavoprotein beta subunit lysine methyltransferase OS=Homo sapiens OX=9606 GN=ETFBKMT PE=1 SV=1
+MALSLGWKAHRNHCGLLLQALRSSGLLLFPCGQCPWRGAGSFLDPEIKAFLEENTEVTSSGSLTPEIQLRLLTPRCKFWWERADLWPHSDPYWAIYWPGGQALSRYLLDNPDVVRGKSVLDLGSGCGATAIAAKMSGASRILANDIDPIAGMAITLNCELNRLNPFPILIQNILNLEQDKWDLVVLGDMFYDEDLADSLHQWLKKCFWTYRTRVLIGDPGRPQFSGHSIQHHLHKVVEYSLLESTRQENSGLTTSTVWGFQP
+>DECOY_sp|Q8IXQ9|ETKMT_HUMAN Electron transfer flavoprotein beta subunit lysine methyltransferase OS=Homo sapiens OX=9606 GN=ETFBKMT PE=1 SV=1
+PQFGWVTSTTLGSNEQRTSELLSYEVVKHLHHQISHGSFQPRGPDGILVRTRYTWFCKKLWQHLSDALDEDYFMDGLVVLDWKDQELNLINQILIPFPNLRNLECNLTIAMGAIPDIDNALIRSAGSMKAAIATAGCGSGLDLVSKGRVVDPNDLLYRSLAQGGPWYIAWYPDSHPWLDAREWWFKCRPTLLRLQIEPTLSGSSTVETNEELFAKIEPDLFSGAGRWPCQGCPFLLLGSSRLAQLLLGCHNRHAKWGLSLAM
+>sp|Q6ZN32|ETV3L_HUMAN ETS translocation variant 3-like protein OS=Homo sapiens OX=9606 GN=ETV3L PE=2 SV=1
+MHCSCLAEGIPANPGNWISGLAFPDWAYKAESSPGSRQIQLWHFILELLQKEEFRHVIAWQQGEYGEFVIKDPDEVARLWGRRKCKPQMNYDKLSRALRYYYNKRILHKTKGKRFTYKFNFSKLIVVNYPLWEVRAPPSPHLLLGAPALCRPALVPVGVQSELLHSMLFAHQAMVEQLTGQQTPRGPPETSGDKKGSSSSVYRLGSAPGPCRLGLCCHLGSVQGELPGVASFTPPLPPPLPSNWTCLSGPFLPPLPSEQQLPGAFKPDILLPGPRSLPGAWHFPGLPLLAGLGQGAGERLWLLSLRPEGLEVKPAPMMEAKGGLDPREVFCPETRRLKTGEESLTSPNLENLKAVWPLDPP
+>DECOY_sp|Q6ZN32|ETV3L_HUMAN ETS translocation variant 3-like protein OS=Homo sapiens OX=9606 GN=ETV3L PE=2 SV=1
+PPDLPWVAKLNELNPSTLSEEGTKLRRTEPCFVERPDLGGKAEMMPAPKVELGEPRLSLLWLREGAGQGLGALLPLGPFHWAGPLSRPGPLLIDPKFAGPLQQESPLPPLFPGSLCTWNSPLPPPLPPTFSAVGPLEGQVSGLHCCLGLRCPGPASGLRYVSSSSGKKDGSTEPPGRPTQQGTLQEVMAQHAFLMSHLLESQVGVPVLAPRCLAPAGLLLHPSPPARVEWLPYNVVILKSFNFKYTFRKGKTKHLIRKNYYYRLARSLKDYNMQPKCKRRGWLRAVEDPDKIVFEGYEGQQWAIVHRFEEKQLLELIFHWLQIQRSGPSSEAKYAWDPFALGSIWNGPNAPIGEALCSCHM
+>sp|P41162|ETV3_HUMAN ETS translocation variant 3 OS=Homo sapiens OX=9606 GN=ETV3 PE=1 SV=2
+MKAGCSIVEKPEGGGGYQFPDWAYKTESSPGSRQIQLWHFILELLQKEEFRHVIAWQQGEYGEFVIKDPDEVARLWGRRKCKPQMNYDKLSRALRYYYNKRILHKTKGKRFTYKFNFNKLVMPNYPFINIRSSGVVPQSAPPVPTASSRFHFPPLDTHSPTNDVQPGRFSASSLTASGQESSNGTDRKTELSELEDGSAADWRRGVDPVSSRNAIGGGGIGHQKRKPDIMLPLFARPGMYPDPHSPFAVSPIPGRGGVLNVPISPALSLTPTIFSYSPSPGLSPFTSSSCFSFNPEEMKHYLHSQACSVFNYHLSPRTFPRYPGLMVPPLQCQMHPEESTQFSIKLQPPPVGRKNRERVESSEESAPVTTPTMASIPPRIKVEPASEKDPESLRQSAREKEEHTQEEGTVPSRTIEEEKGTIFARPAAPPIWPSVPISTPSGEPLEVTEDSEDRPGKEPSAPEKKEDALMPPKLRLKRRWNDDPEARELSKSGKFLWNGSGPQGLATAAADA
+>DECOY_sp|P41162|ETV3_HUMAN ETS translocation variant 3 OS=Homo sapiens OX=9606 GN=ETV3 PE=1 SV=2
+ADAAATALGQPGSGNWLFKGSKSLERAEPDDNWRRKLRLKPPMLADEKKEPASPEKGPRDESDETVELPEGSPTSIPVSPWIPPAAPRAFITGKEEEITRSPVTGEEQTHEEKERASQRLSEPDKESAPEVKIRPPISAMTPTTVPASEESSEVRERNKRGVPPPQLKISFQTSEEPHMQCQLPPVMLGPYRPFTRPSLHYNFVSCAQSHLYHKMEEPNFSFCSSSTFPSLGPSPSYSFITPTLSLAPSIPVNLVGGRGPIPSVAFPSHPDPYMGPRAFLPLMIDPKRKQHGIGGGGIANRSSVPDVGRRWDAASGDELESLETKRDTGNSSEQGSATLSSASFRGPQVDNTPSHTDLPPFHFRSSATPVPPASQPVVGSSRINIFPYNPMVLKNFNFKYTFRKGKTKHLIRKNYYYRLARSLKDYNMQPKCKRRGWLRAVEDPDKIVFEGYEGQQWAIVHRFEEKQLLELIFHWLQIQRSGPSSETKYAWDPFQYGGGGEPKEVISCGAKM
+>sp|P43268|ETV4_HUMAN ETS translocation variant 4 OS=Homo sapiens OX=9606 GN=ETV4 PE=1 SV=3
+MERRMKAGYLDQQVPYTFSSKSPGNGSLREALIGPLGKLMDPGSLPPLDSEDLFQDLSHFQETWLAEAQVPDSDEQFVPDFHSENLAFHSPTTRIKKEPQSPRTDPALSCSRKPPLPYHHGEQCLYSSAYDPPRQIAIKSPAPGALGQSPLQPFPRAEQRNFLRSSGTSQPHPGHGYLGEHSSVFQQPLDICHSFTSQGGGREPLPAPYQHQLSEPCPPYPQQSFKQEYHDPLYEQAGQPAVDQGGVNGHRYPGAGVVIKQEQTDFAYDSDVTGCASMYLHTEGFSGPSPGDGAMGYGYEKPLRPFPDDVCVVPEKFEGDIKQEGVGAFREGPPYQRRGALQLWQFLVALLDDPTNAHFIAWTGRGMEFKLIEPEEVARLWGIQKNRPAMNYDKLSRSLRYYYEKGIMQKVAGERYVYKFVCEPEALFSLAFPDNQRPALKAEFDRPVSEEDTVPLSHLDESPAYLPELAGPAQPFGPKGGYSY
+>DECOY_sp|P43268|ETV4_HUMAN ETS translocation variant 4 OS=Homo sapiens OX=9606 GN=ETV4 PE=1 SV=3
+YSYGGKPGFPQAPGALEPLYAPSEDLHSLPVTDEESVPRDFEAKLAPRQNDPFALSFLAEPECVFKYVYREGAVKQMIGKEYYYRLSRSLKDYNMAPRNKQIGWLRAVEEPEILKFEMGRGTWAIFHANTPDDLLAVLFQWLQLAGRRQYPPGERFAGVGEQKIDGEFKEPVVCVDDPFPRLPKEYGYGMAGDGPSPGSFGETHLYMSACGTVDSDYAFDTQEQKIVVGAGPYRHGNVGGQDVAPQGAQEYLPDHYEQKFSQQPYPPCPESLQHQYPAPLPERGGGQSTFSHCIDLPQQFVSSHEGLYGHGPHPQSTGSSRLFNRQEARPFPQLPSQGLAGPAPSKIAIQRPPDYASSYLCQEGHHYPLPPKRSCSLAPDTRPSQPEKKIRTTPSHFALNESHFDPVFQEDSDPVQAEALWTEQFHSLDQFLDESDLPPLSGPDMLKGLPGILAERLSGNGPSKSSFTYPVQQDLYGAKMRREM
+>sp|Q03828|EVX2_HUMAN Homeobox even-skipped homolog protein 2 OS=Homo sapiens OX=9606 GN=EVX2 PE=2 SV=2
+MMERIRKEMILMERGLHSPTAGKRFSNLSNSAGNAVLEALENSQHPARLSPRLPSAPLHSALGELPAKGKFEIDTLFNLQHTGSESTVSSEISSAAESRKKPGHYSEAAAEADMSSDVEVGCSALRSPGGLGAAQLKENNGKGYAESGSAAGTTTSASGSGLGSLHGGSGGSGGSAALGGSGSGADQVRRYRTAFTREQIARLEKEFYRENYVSRPRRCELAAALNLPETTIKVWFQNRRMKDKRQRLAMSWPHPADPSFYTYMMTHAAATGSLPYPFHSHVPLHYYPHVGVTAAAAAAAASGAAAAASSPFATSIRPLDTFRALSHPYSRPELLCSFRHPGLYQAPAAAAGLNSAASAAAAAAAAAAAASSAAAAGAPPSGGSAPCSCLSCHSSQSAAAAAAAAAAALGSRGGGGGGGGGGGGGGGGAGAGGGSDFGCSAAAPRSESGFLPYSAAVLSKTAVSPPDQRDEAPLTR
+>DECOY_sp|Q03828|EVX2_HUMAN Homeobox even-skipped homolog protein 2 OS=Homo sapiens OX=9606 GN=EVX2 PE=2 SV=2
+RTLPAEDRQDPPSVATKSLVAASYPLFGSESRPAAASCGFDSGGGAGAGGGGGGGGGGGGGGGGRSGLAAAAAAAAAAASQSSHCSLCSCPASGGSPPAGAAAASSAAAAAAAAAAAASAASNLGAAAAPAQYLGPHRFSCLLEPRSYPHSLARFTDLPRISTAFPSSAAAAAGSAAAAAAAATVGVHPYYHLPVHSHFPYPLSGTAAAHTMMYTYFSPDAPHPWSMALRQRKDKMRRNQFWVKITTEPLNLAAALECRRPRSVYNERYFEKELRAIQERTFATRYRRVQDAGSGSGGLAASGGSGGSGGHLSGLGSGSASTTTGAASGSEAYGKGNNEKLQAAGLGGPSRLASCGVEVDSSMDAEAAAESYHGPKKRSEAASSIESSVTSESGTHQLNFLTDIEFKGKAPLEGLASHLPASPLRPSLRAPHQSNELAELVANGASNSLNSFRKGATPSHLGREMLIMEKRIREMM
+>sp|Q86VI1|EX3L1_HUMAN Exocyst complex component 3-like protein OS=Homo sapiens OX=9606 GN=EXOC3L1 PE=2 SV=2
+MDSAAKDEMQPALSPGPEWPEQERAEQLARGAALKWASGIFYRPEQLARLGQYRSREVQRTCSLESRLKSVMQSYLEGVQTGVWQLAQAIEVVQGTREALSQARGLLQGMSQALQTLEPLRERVAQHKQLQALSHLLPRLRAVPAAVSHTQTLIDGQQFLEAYVSLRELEQLREDTWAPLGGLELPVFQGLDLLFEALGQAVEAAAGAAGKLAREDPALLVAAVRVAEVETGRTTPLGQVPRDWRQRCLRALQEGLEQAHFGSPLLPAPGALPGWLEALRVALPVELATAEALVAPCCPPQYNVVQLWAHTLHSGLRRSLQNLLAGPELEAADAFALLHWALHVYLGQEMMGSLELGPEADVSQLEPLLTLENIEQLEATFVANIQASVSQWLQNALDGEVAEWGREHGPNTDPSGSYYSPMPAIVLQILEENIRVASLVSESLQQRVHGMALSELGTFLRSFSDALIRFSRDHFRGKSMAPHYVPYLLAALNHKSALSSSVSVLQLDGAPSGALAPVEAALDELQRRIYRLVLEALQAELQPLFADLPSRQWLSSPELLQSVCERTGRFCRDFWRVRNPTVQLLLAEAERAVVLQYLSALMQGRLVCRGADERTQAAERLRHDAAQLQQLFLSLGLEENAHCAPVLLALRELLNLRDPALLGLEVAGLRQQFPDVSEDHVSALLGLRGDLSREQHLAALSSLQAALPPSPRASRRVLFSLVPAPALAPASCLPSGSCARALLLAE
+>DECOY_sp|Q86VI1|EX3L1_HUMAN Exocyst complex component 3-like protein OS=Homo sapiens OX=9606 GN=EXOC3L1 PE=2 SV=2
+EALLLARACSGSPLCSAPALAPAPVLSFLVRRSARPSPPLAAQLSSLAALHQERSLDGRLGLLASVHDESVDPFQQRLGAVELGLLAPDRLNLLERLALLVPACHANEELGLSLFLQQLQAADHRLREAAQTREDAGRCVLRGQMLASLYQLVVAREAEALLLQVTPNRVRWFDRCFRGTRECVSQLLEPSSLWQRSPLDAFLPQLEAQLAELVLRYIRRQLEDLAAEVPALAGSPAGDLQLVSVSSSLASKHNLAALLYPVYHPAMSKGRFHDRSFRILADSFSRLFTGLESLAMGHVRQQLSESVLSAVRINEELIQLVIAPMPSYYSGSPDTNPGHERGWEAVEGDLANQLWQSVSAQINAVFTAELQEINELTLLPELQSVDAEPGLELSGMMEQGLYVHLAWHLLAFADAAELEPGALLNQLSRRLGSHLTHAWLQVVNYQPPCCPAVLAEATALEVPLAVRLAELWGPLAGPAPLLPSGFHAQELGEQLARLCRQRWDRPVQGLPTTRGTEVEAVRVAAVLLAPDERALKGAAGAAAEVAQGLAEFLLDLGQFVPLELGGLPAWTDERLQELERLSVYAELFQQGDILTQTHSVAAPVARLRPLLHSLAQLQKHQAVRERLPELTQLAQSMGQLLGRAQSLAERTGQVVEIAQALQWVGTQVGELYSQMVSKLRSELSCTRQVERSRYQGLRALQEPRYFIGSAWKLAAGRALQEAREQEPWEPGPSLAPQMEDKAASDM
+>sp|Q9H790|EXO5_HUMAN Exonuclease V OS=Homo sapiens OX=9606 GN=EXO5 PE=1 SV=1
+MAETREEETVSAEASGFSDLSDSEFLEFLDLEDAQESKALVNMPGPSSESLGKDDKPISLQNWKRGLDILSPMERFHLKYLYVTDLATQNWCELQTAYGKELPGFLAPEKAAVLDTGASIHLARELELHDLVTVPVTTKEDAWAIKFLNILLLIPTLQSEGHIREFPVFGEGEGVLLVGVIDELHYTAKGELELAELKTRRRPMLPLEAQKKKDCFQVSLYKYIFDAMVQGKVTPASLIHHTKLCLEKPLGPSVLRHAQQGGFSVKSLGDLMELVFLSLTLSDLPVIDILKIEYIHQETATVLGTEIVAFKEKEVRAKVQHYMAYWMGHREPQGVDVEEAWKCRTCTYADICEWRKGSGVLSSTLAPQVKKAK
+>DECOY_sp|Q9H790|EXO5_HUMAN Exonuclease V OS=Homo sapiens OX=9606 GN=EXO5 PE=1 SV=1
+KAKKVQPALTSSLVGSGKRWECIDAYTCTRCKWAEEVDVGQPERHGMWYAMYHQVKARVEKEKFAVIETGLVTATEQHIYEIKLIDIVPLDSLTLSLFVLEMLDGLSKVSFGGQQAHRLVSPGLPKELCLKTHHILSAPTVKGQVMADFIYKYLSVQFCDKKKQAELPLMPRRRTKLEALELEGKATYHLEDIVGVLLVGEGEGFVPFERIHGESQLTPILLLINLFKIAWADEKTTVPVTVLDHLELERALHISAGTDLVAAKEPALFGPLEKGYATQLECWNQTALDTVYLYKLHFREMPSLIDLGRKWNQLSIPKDDKGLSESSPGPMNVLAKSEQADELDLFELFESDSLDSFGSAEASVTEEERTEAM
+>sp|Q96KP1|EXOC2_HUMAN Exocyst complex component 2 OS=Homo sapiens OX=9606 GN=EXOC2 PE=1 SV=1
+MSRSRQPPLVTGISPNEGIPWTKVTIRGENLGTGPTDLIGLTICGHNCLLTAEWMSASKIVCRVGQAKNDKGDIIVTTKSGGRGTSTVSFKLLKPEKIGILDQSAVWVDEMNYYDMRTDRNKGIPPLSLRPANPLGIEIEKSKFSQKDLEMLFHGMSADFTSENFSAAWYLIENHSNTSFEQLKMAVTNLKRQANKKSEGSLAYVKGGLSTFFEAQDALSAIHQKLEADGTEKVEGSMTQKLENVLNRASNTADTLFQEVLGRKDKADSTRNALNVLQRFKFLFNLPLNIERNIQKGDYDVVINDYEKAKSLFGKTEVQVFKKYYAEVETRIEALRELLLDKLLETPSTLHDQKRYIRYLSDLHASGDPAWQCIGAQHKWILQLMHSCKEGYVKDLKGNPGLHSPMLDLDNDTRPSVLGHLSQTASLKRGSSFQSGRDDTWRYKTPHRVAFVEKLTKLVLSQLPNFWKLWISYVNGSLFSETAEKSGQIERSKNVRQRQNDFKKMIQEVMHSLVKLTRGALLPLSIRDGEAKQYGGWEVKCELSGQWLAHAIQTVRLTHESLTALEIPNDLLQTIQDLILDLRVRCVMATLQHTAEEIKRLAEKEDWIVDNEGLTSLPCQFEQCIVCSLQSLKGVLECKPGEASVFQQPKTQEEVCQLSINIMQVFIYCLEQLSTKPDADIDTTHLSVDVSSPDLFGSIHEDFSLTSEQRLLIVLSNCCYLERHTFLNIAEHFEKHNFQGIEKITQVSMASLKELDQRLFENYIELKADPIVGSLEPGIYAGYFDWKDCLPPTGVRNYLKEALVNIIAVHAEVFTISKELVPRVLSKVIEAVSEELSRLMQCVSSFSKNGALQARLEICALRDTVAVYLTPESKSSFKQALEALPQLSSGADKKLLEELLNKFKSSMHLQLTCFQAASSTMMKT
+>DECOY_sp|Q96KP1|EXOC2_HUMAN Exocyst complex component 2 OS=Homo sapiens OX=9606 GN=EXOC2 PE=1 SV=1
+TKMMTSSAAQFCTLQLHMSSKFKNLLEELLKKDAGSSLQPLAELAQKFSSKSEPTLYVAVTDRLACIELRAQLAGNKSFSSVCQMLRSLEESVAEIVKSLVRPVLEKSITFVEAHVAIINVLAEKLYNRVGTPPLCDKWDFYGAYIGPELSGVIPDAKLEIYNEFLRQDLEKLSAMSVQTIKEIGQFNHKEFHEAINLFTHRELYCCNSLVILLRQESTLSFDEHISGFLDPSSVDVSLHTTDIDADPKTSLQELCYIFVQMINISLQCVEEQTKPQQFVSAEGPKCELVGKLSQLSCVICQEFQCPLSTLGENDVIWDEKEALRKIEEATHQLTAMVCRVRLDLILDQITQLLDNPIELATLSEHTLRVTQIAHALWQGSLECKVEWGGYQKAEGDRISLPLLAGRTLKVLSHMVEQIMKKFDNQRQRVNKSREIQGSKEATESFLSGNVYSIWLKWFNPLQSLVLKTLKEVFAVRHPTKYRWTDDRGSQFSSGRKLSATQSLHGLVSPRTDNDLDLMPSHLGPNGKLDKVYGEKCSHMLQLIWKHQAGICQWAPDGSAHLDSLYRIYRKQDHLTSPTELLKDLLLERLAEIRTEVEAYYKKFVQVETKGFLSKAKEYDNIVVDYDGKQINREINLPLNFLFKFRQLVNLANRTSDAKDKRGLVEQFLTDATNSARNLVNELKQTMSGEVKETGDAELKQHIASLADQAEFFTSLGGKVYALSGESKKNAQRKLNTVAMKLQEFSTNSHNEILYWAASFNESTFDASMGHFLMELDKQSFKSKEIEIGLPNAPRLSLPPIGKNRDTRMDYYNMEDVWVASQDLIGIKEPKLLKFSVTSTGRGGSKTTVIIDGKDNKAQGVRCVIKSASMWEATLLCNHGCITLGILDTPGTGLNEGRITVKTWPIGENPSIGTVLPPQRSRSM
+>sp|Q9Y2C4|EXOG_HUMAN Nuclease EXOG, mitochondrial OS=Homo sapiens OX=9606 GN=EXOG PE=1 SV=2
+MAIKSIASRLRGSRRFLSGFVAGAVVGAAGAGLAALQFFRSQGAEGALTGKQPDGSAEKAVLEQFGFPLTGTEARCYTNHALSYDQAKRVPRWVLEHISKSKIMGDADRKHCKFKPDPNIPPTFSAFNEDYVGSGWSRGHMAPAGNNKFSSKAMAETFYLSNIVPQDFDNNSGYWNRIEMYCRELTERFEDVWVVSGPLTLPQTRGDGKKIVSYQVIGEDNVAVPSHLYKVILARRSSVSTEPLALGAFVVPNEAIGFQPQLTEFQVSLQDLEKLSGLVFFPHLDRTSDIRNICSVDTCKLLDFQEFTLYLSTRKIEGARSVLRLEKIMENLKNAEIEPDDYFMSRYEKKLEELKAKEQSGTQIRKPS
+>DECOY_sp|Q9Y2C4|EXOG_HUMAN Nuclease EXOG, mitochondrial OS=Homo sapiens OX=9606 GN=EXOG PE=1 SV=2
+SPKRIQTGSQEKAKLEELKKEYRSMFYDDPEIEANKLNEMIKELRLVSRAGEIKRTSLYLTFEQFDLLKCTDVSCINRIDSTRDLHPFFVLGSLKELDQLSVQFETLQPQFGIAENPVVFAGLALPETSVSSRRALIVKYLHSPVAVNDEGIVQYSVIKKGDGRTQPLTLPGSVVWVDEFRETLERCYMEIRNWYGSNNDFDQPVINSLYFTEAMAKSSFKNNGAPAMHGRSWGSGVYDENFASFTPPINPDPKFKCHKRDADGMIKSKSIHELVWRPVRKAQDYSLAHNTYCRAETGTLPFGFQELVAKEASGDPQKGTLAGEAGQSRFFQLAALGAGAAGVVAGAVFGSLFRRSGRLRSAISKIAM
+>sp|Q5T9C2|F102A_HUMAN Protein FAM102A OS=Homo sapiens OX=9606 GN=FAM102A PE=1 SV=2
+MAFLMKKKKFKFQTTFTLEELTAVPFVNGVLFCKVRLLDGGDFVSLSSREEVQENCVRWRKRFTFVCKMSANPATGLLDPCVFRVSVRKELKGGKAYSKLGFADLNLAEFAGSGSTVRCCLLEGYDTKNTRQDNSILKVTIGMFLLSGDPCFKTPPSTAKSISIPGQDSSLQLTCKGGGTSSGGSSTNSLTGSRPPKARPTILSSGLPEEPDQNLSSPEEVFHSGHSRNSSYASQQSKISGYSTEHSRSSSLSDLTHRRNTSTSSSASGGLGMTVEGPEGSEREHRPPEKPPRPPRPLHLSDRSFRRKKDSVESHPTWVDDTRIDADAIVEKIVQSQDFTDGSNTEDSNLRLFVSRDGSATLSGIQLATRVSSGVYEPVVIESH
+>DECOY_sp|Q5T9C2|F102A_HUMAN Protein FAM102A OS=Homo sapiens OX=9606 GN=FAM102A PE=1 SV=2
+HSEIVVPEYVGSSVRTALQIGSLTASGDRSVFLRLNSDETNSGDTFDQSQVIKEVIADADIRTDDVWTPHSEVSDKKRRFSRDSLHLPRPPRPPKEPPRHERESGEPGEVTMGLGGSASSSTSTNRRHTLDSLSSSRSHETSYGSIKSQQSAYSSNRSHGSHFVEEPSSLNQDPEEPLGSSLITPRAKPPRSGTLSNTSSGGSSTGGGKCTLQLSSDQGPISISKATSPPTKFCPDGSLLFMGITVKLISNDQRTNKTDYGELLCCRVTSGSGAFEALNLDAFGLKSYAKGGKLEKRVSVRFVCPDLLGTAPNASMKCVFTFRKRWRVCNEQVEERSSLSVFDGGDLLRVKCFLVGNVFPVATLEELTFTTQFKFKKKKMLFAM
+>sp|Q4KMX7|F106A_HUMAN Protein FAM106A OS=Homo sapiens OX=9606 GN=FAM106A PE=2 SV=2
+MLPSTMFLVHLPLSTNRLHCLRNTSLESYLCSFVHLNHPLHISDRVILISLHEAVRFSFAFSFPRGTLSIAYCLMSSVSTSSEAIMSTELLANYCHSSLHVCICISSFPNETGNHDSFPGAVVSISDQPTDQCKLAAKELPLRNLLECRFFDCMGEEDLINLGVIGTER
+>DECOY_sp|Q4KMX7|F106A_HUMAN Protein FAM106A OS=Homo sapiens OX=9606 GN=FAM106A PE=2 SV=2
+RETGIVGLNILDEEGMCDFFRCELLNRLPLEKAALKCQDTPQDSISVVAGPFSDHNGTENPFSSICICVHLSSHCYNALLETSMIAESSTSVSSMLCYAISLTGRPFSFAFSFRVAEHLSILIVRDSIHLPHNLHVFSCLYSELSTNRLCHLRNTSLPLHVLFMTSPLM
+>sp|O95990|F107A_HUMAN Protein FAM107A OS=Homo sapiens OX=9606 GN=FAM107A PE=1 SV=1
+MYSEIQRERADIGGLMARPEYREWNPELIKPKKLLNPVKASRSHQELHRELLMNHRRGLGVDSKPELQRVLEHRRRNQLIKKKKEELEAKRLQCPFEQELLRRQQRLNQLEKPPEKEEDHAPEFIKVRENLRRIATLTSEEREL
+>DECOY_sp|O95990|F107A_HUMAN Protein FAM107A OS=Homo sapiens OX=9606 GN=FAM107A PE=1 SV=1
+LEREESTLTAIRRLNERVKIFEPAHDEEKEPPKELQNLRQQRRLLEQEFPCQLRKAELEEKKKKILQNRRRHELVRQLEPKSDVGLGRRHNMLLERHLEQHSRSAKVPNLLKKPKILEPNWERYEPRAMLGGIDARERQIESYM
+>sp|Q9BQ89|F110A_HUMAN Protein FAM110A OS=Homo sapiens OX=9606 GN=FAM110A PE=1 SV=1
+MPVHTLSPGAPSAPALPCRLRTRVPGYLLRGPADGGARKPSAVERLEADKAKYVKSLHVANTRQEPVQPLLSKQPLFSPETRRTVLTPSRRALPGPCRRPQLDLDILSSLIDLCDSPVSPAEASRTPGRAEGAGRPPPATPPRPPPSTSAVRRVDVRPLPASPARPCPSPGPAAASSPARPPGLQRSKSDLSERFSRAAADLERFFNFCGLDPEEARGLGVAHLARASSDIVSLAGPSAGPGSSEGGCSRRSSVTVEERARERVPYGVSVVERNARVIKWLYGLRQARESPAAEG
+>DECOY_sp|Q9BQ89|F110A_HUMAN Protein FAM110A OS=Homo sapiens OX=9606 GN=FAM110A PE=1 SV=1
+GEAAPSERAQRLGYLWKIVRANREVVSVGYPVRERAREEVTVSSRRSCGGESSGPGASPGALSVIDSSARALHAVGLGRAEEPDLGCFNFFRELDAAARSFRESLDSKSRQLGPPRAPSSAAAPGPSPCPRAPSAPLPRVDVRRVASTSPPPRPPTAPPPRGAGEARGPTRSAEAPSVPSDCLDILSSLIDLDLQPRRCPGPLARRSPTLVTRRTEPSFLPQKSLLPQVPEQRTNAVHLSKVYKAKDAELREVASPKRAGGDAPGRLLYGPVRTRLRCPLAPASPAGPSLTHVPM
+>sp|Q6SJ93|F111B_HUMAN Protein FAM111B OS=Homo sapiens OX=9606 GN=FAM111B PE=1 SV=1
+MNSMKTEENKSFSAMEDDQRTRPEVSKDTVMKQTHADTPVDHCLSGIRKCSSTFKLKSEVNKHETALEMQNPNLNNKECCFTFTLNGNSRKLDRSVFTAYGKPSESIYSALSANDYFSERIKNQFNKNIIVYEEKTIDGHINLGMPLKCLPSDSHFKITFGQRKSSKEDGHILRQCENPNMECILFHVVAIGRTRKKIVKINELHEKGSKLCIYALKGETIEGALCKDGRFRSDIGEFEWKLKEGHKKIYGKQSMVDEVSGKVLEMDISKKKALQQKDIHKKIKQNESATDEINHQSLIQSKKKVHKPKKDGETKDVEHSREQILPPQDLSHYIKDKTRQTIPRIRNYYFCSLPRKYRQINSQVRRRPHLGRRYAINLDVQKEAINLLKNYQTLNEAIMHQYPNFKEEAQWVRKYFREEQKRMNLSPAKQFNIYKKDFGKMTANSVSVATCEQLTYYSKSVGFMQWDNNGNTGNATCFVFNGGYIFTCRHVVHLMVGKNTHPSLWPDIISKCAKVTFTYTEFCPTPDNWFSIEPWLKVSNENLDYAILKLKENGNAFPPGLWRQISPQPSTGLIYLIGHPEGQIKKIDGCTVIPLNERLKKYPNDCQDGLVDLYDTTSNVYCMFTQRSFLSEVWNTHTLSYDTCFSDGSSGSPVFNASGKLVALHTFGLFYQRGFNVHALIEFGYSMDSILCDIKKTNESLYKSLNDEKLETYDEEKGKQESSLQDHQIEPMEC
+>DECOY_sp|Q6SJ93|F111B_HUMAN Protein FAM111B OS=Homo sapiens OX=9606 GN=FAM111B PE=1 SV=1
+CEMPEIQHDQLSSEQKGKEEDYTELKEDNLSKYLSENTKKIDCLISDMSYGFEILAHVNFGRQYFLGFTHLAVLKGSANFVPSGSSGDSFCTDYSLTHTNWVESLFSRQTFMCYVNSTTDYLDVLGDQCDNPYKKLRENLPIVTCGDIKKIQGEPHGILYILGTSPQPSIQRWLGPPFANGNEKLKLIAYDLNENSVKLWPEISFWNDPTPCFETYTFTVKACKSIIDPWLSPHTNKGVMLHVVHRCTFIYGGNFVFCTANGTNGNNDWQMFGVSKSYYTLQECTAVSVSNATMKGFDKKYINFQKAPSLNMRKQEERFYKRVWQAEEKFNPYQHMIAENLTQYNKLLNIAEKQVDLNIAYRRGLHPRRRVQSNIQRYKRPLSCFYYNRIRPITQRTKDKIYHSLDQPPLIQERSHEVDKTEGDKKPKHVKKKSQILSQHNIEDTASENQKIKKHIDKQQLAKKKSIDMELVKGSVEDVMSQKGYIKKHGEKLKWEFEGIDSRFRGDKCLAGEITEGKLAYICLKSGKEHLENIKVIKKRTRGIAVVHFLICEMNPNECQRLIHGDEKSSKRQGFTIKFHSDSPLCKLPMGLNIHGDITKEEYVIINKNFQNKIRESFYDNASLASYISESPKGYATFVSRDLKRSNGNLTFTFCCEKNNLNPNQMELATEHKNVESKLKFTSSCKRIGSLCHDVPTDAHTQKMVTDKSVEPRTRQDDEMASFSKNEETKMSNM
+>sp|Q6P1L5|F117B_HUMAN Protein FAM117B OS=Homo sapiens OX=9606 GN=FAM117B PE=1 SV=2
+MSQRVRRNGSPTPAGSLGGGAVATAGGPGSRLQPMRATVPFQLKQQQQQQHGSPTRSGGGGGGNNNGGCCGGASGPAGGGGGGGPRTASRSTSPTRGGGNAAARTSPTVATQTGASATSTRGTSPTRSAAPGARGSPPRPPPPPPLLGTVSSPSSSPTHLWTGEVSAAPPPARVRHRRRSPEQSRSSPEKRSPSAPVCKAGDKTRQPSSSPSSIIRRTSSLDTLAAPYLAGHWPRDSHGQAAPCMRDKATQTESAWAEEYSEKKKGSHKRSASWGSTDQLKEIAKLRQQLQRSKHSSRHHRDKERQSPFHGNHAAINQCQAPVPKSALIPVIPITKSTGSRFRNSVEGLNQEIEIIIKETGEKEEQLIPQDIPDGHRAPPPLVQRSSSTRSIDTQTPGGADRGSNNSSRSQSVSPTSFLTISNEGSEESPCSADDLLVDPRDKENGNNSPLPKYATSPKPNNSYMFKREPPEGCERVKVFEECSPKQLHEIPAFYCPDKNKVNFIPKSGSAFCLVSILKPLLPTPDLTLKGSGHSLTVTTGMTTTLLQPIAVASLSTNTEQDRVSRGTSTVMPSASLLPPPEPIEEAEG
+>DECOY_sp|Q6P1L5|F117B_HUMAN Protein FAM117B OS=Homo sapiens OX=9606 GN=FAM117B PE=1 SV=2
+GEAEEIPEPPPLLSASPMVTSTGRSVRDQETNTSLSAVAIPQLLTTTMGTTVTLSHGSGKLTLDPTPLLPKLISVLCFASGSKPIFNVKNKDPCYFAPIEHLQKPSCEEFVKVRECGEPPERKFMYSNNPKPSTAYKPLPSNNGNEKDRPDVLLDDASCPSEESGENSITLFSTPSVSQSRSSNNSGRDAGGPTQTDISRTSSSRQVLPPPARHGDPIDQPILQEEKEGTEKIIIEIEQNLGEVSNRFRSGTSKTIPIVPILASKPVPAQCQNIAAHNGHFPSQREKDRHHRSSHKSRQLQQRLKAIEKLQDTSGWSASRKHSGKKKESYEEAWASETQTAKDRMCPAAQGHSDRPWHGALYPAALTDLSSTRRIISSPSSSPQRTKDGAKCVPASPSRKEPSSRSQEPSRRRHRVRAPPPAASVEGTWLHTPSSSPSSVTGLLPPPPPPRPPSGRAGPAASRTPSTGRTSTASAGTQTAVTPSTRAAANGGGRTPSTSRSATRPGGGGGGGAPGSAGGCCGGNNNGGGGGGSRTPSGHQQQQQQKLQFPVTARMPQLRSGPGGATAVAGGGLSGAPTPSGNRRVRQSM
+>sp|Q9NZB2|F120A_HUMAN Constitutive coactivator of PPAR-gamma-like protein 1 OS=Homo sapiens OX=9606 GN=FAM120A PE=1 SV=2
+MGVQGFQDYIEKHCPSAVVPVELQKLARGSLVGGGRQRPPQTPLRLLVDADNCLHRLYGGFYTDWVSGGQWNHMLGYLAALAKACFGGNIELFVFFNGALEKARLHEWVKRQGNERQTAQQIVSHVQNKGTPPPKVWFLPPVCMAHCIRLALIRFHVKVAQSIEDHHQEVIGFCRENGFHGLVAYDSDYALCNIPYYFSAHALKLSRNGKSLTTSQYLMHEVAKQLDLNPNRFPIFAALLGNHILPDEDLASFHWSLLGPEHPLASLKVRAHQLVLPPCDVVIKAVADYVRNIQDTSDLDAIAKDVFQHSQSRTDDKVIRFKRAIGYYSATSKPMSFHPPHYLAARPGPFGMPGMVPPHVPPQMLNIPQTSLQAKPVAPQVPSPGGAPGQGPYPYSLSEPAPLTLDTSGKNLTEQNSYSNIPHEGKHTPLYERSSPINPAQSGSPNHVDSAYFPGSSTSSSSDNDEGSGGATNHISGNKIGWEKTGSHSEPQARGDPGDQTKAEGSSTASSGSQLAEGKGSQMGTVQPIPCLLSMPTRNHMDITTPPLPPVAPEVLRVAEHRHKKGLMYPYIFHVLTKGEIKIAVSIEDEANKDLPPAALLYRPVRQYVYGVLFSLAESRKKTERLAFRKNRLPPEFSPVIIKEWAAYKGKSPQTPELVEALAFREWTCPNLKRLWLGKAVEDKNRRMRAFLACMRSDTPAMLNPANVPTHLMVLCCVLRYMVQWPGARILRRQELDAFLAQALSPKLYEPDQLQELKIENLDPRGIQLSALFMSGVDMALFANDACGQPIPWEHCCPWMYFDGKLFQSKLLKASREKTPLIDLCDGQADQAAKVEKMRQSVLEGLSFSRQSHTLPFPPPPALPFYPASAYPRHFGPVPPSQGRGRGFAGVCGFGGPYGETVATGPYRAFRVAAASGHCGAFSGSDSSRTSKSQGGVQPIPSQGGKLEIAGTVVGHWAGSRRGRGGRGPFPLQVVSVGGPARGRPRGVISTPVIRTFGRGGRYYGRGYKNQAAIQGRPPYAASAEEVAKELKSKSGESKSSAMSSDGSLAENGVMAEEKPAPQMNGSTGDARAPSHSESALNNDSKTCNTNPHLNALSTDSACRREAALEAAVLNKEE
+>DECOY_sp|Q9NZB2|F120A_HUMAN Constitutive coactivator of PPAR-gamma-like protein 1 OS=Homo sapiens OX=9606 GN=FAM120A PE=1 SV=2
+EEKNLVAAELAAERRCASDTSLANLHPNTNCTKSDNNLASESHSPARADGTSGNMQPAPKEEAMVGNEALSGDSSMASSKSEGSKSKLEKAVEEASAAYPPRGQIAAQNKYGRGYYRGGRGFTRIVPTSIVGRPRGRAPGGVSVVQLPFPGRGGRGRRSGAWHGVVTGAIELKGGQSPIPQVGGQSKSTRSSDSGSFAGCHGSAAAVRFARYPGTAVTEGYPGGFGCVGAFGRGRGQSPPVPGFHRPYASAPYFPLAPPPPFPLTHSQRSFSLGELVSQRMKEVKAAQDAQGDCLDILPTKERSAKLLKSQFLKGDFYMWPCCHEWPIPQGCADNAFLAMDVGSMFLASLQIGRPDLNEIKLEQLQDPEYLKPSLAQALFADLEQRRLIRAGPWQVMYRLVCCLVMLHTPVNAPNLMAPTDSRMCALFARMRRNKDEVAKGLWLRKLNPCTWERFALAEVLEPTQPSKGKYAAWEKIIVPSFEPPLRNKRFALRETKKRSEALSFLVGYVYQRVPRYLLAAPPLDKNAEDEISVAIKIEGKTLVHFIYPYMLGKKHRHEAVRLVEPAVPPLPPTTIDMHNRTPMSLLCPIPQVTGMQSGKGEALQSGSSATSSGEAKTQDGPDGRAQPESHSGTKEWGIKNGSIHNTAGGSGEDNDSSSSTSSGPFYASDVHNPSGSQAPNIPSSREYLPTHKGEHPINSYSNQETLNKGSTDLTLPAPESLSYPYPGQGPAGGPSPVQPAVPKAQLSTQPINLMQPPVHPPVMGPMGFPGPRAALYHPPHFSMPKSTASYYGIARKFRIVKDDTRSQSHQFVDKAIADLDSTDQINRVYDAVAKIVVDCPPLVLQHARVKLSALPHEPGLLSWHFSALDEDPLIHNGLLAAFIPFRNPNLDLQKAVEHMLYQSTTLSKGNRSLKLAHASFYYPINCLAYDSDYAVLGHFGNERCFGIVEQHHDEISQAVKVHFRILALRICHAMCVPPLFWVKPPPTGKNQVHSVIQQATQRENGQRKVWEHLRAKELAGNFFVFLEINGGFCAKALAALYGLMHNWQGGSVWDTYFGGYLRHLCNDADVLLRLPTQPPRQRGGGVLSGRALKQLEVPVVASPCHKEIYDQFGQVGM
+>sp|Q9H5Z6|F124B_HUMAN Protein FAM124B OS=Homo sapiens OX=9606 GN=FAM124B PE=1 SV=3
+MDETQGPLAMTVHLLANSGHGSLLQRTLDQLLDCICPEVRLFQVSERASPVKYCEKSHSKRSRFPGMSVLLFLHESPGEDRLFRVLDSLQHSPWQCYPTQDTRGRLCPYFFANQEFYSLDSQLPIWGVRQVHCGSEILRVTLYCSFDNYEDAIRLYEMILQREATLQKSNFCFFVLYASKSFALQLSLKQLPPGMSVDPKESSVLQFKVQEIGQLVPLLPNPCMPISSTRWQTQDYDGNKILLQVQLNPELGVKNGILGAGMLPLGSRLTSVSAKRTSEPRSQRNQGKRSQGHSLELPEPSGSPTSDRCAGTSWKSPGRSFQVSSPAMGAHLHLSSHHLESGARMKVLNRENSFQKLEAETNVDTGLTIINSEPRQTYFGGFPRDLQTSQPPFCLPASSLGVATSKNNSVLKERVSPLPLAGQRDLGTRKTISECLLHLQVQGEEKEEDEEEFFI
+>DECOY_sp|Q9H5Z6|F124B_HUMAN Protein FAM124B OS=Homo sapiens OX=9606 GN=FAM124B PE=1 SV=3
+IFFEEEDEEKEEGQVQLHLLCESITKRTGLDRQGALPLPSVREKLVSNNKSTAVGLSSAPLCFPPQSTQLDRPFGGFYTQRPESNIITLGTDVNTEAELKQFSNERNLVKMRAGSELHHSSLHLHAGMAPSSVQFSRGPSKWSTGACRDSTPSGSPEPLELSHGQSRKGQNRQSRPESTRKASVSTLRSGLPLMGAGLIGNKVGLEPNLQVQLLIKNGDYDQTQWRTSSIPMCPNPLLPVLQGIEQVKFQLVSSEKPDVSMGPPLQKLSLQLAFSKSAYLVFFCFNSKQLTAERQLIMEYLRIADEYNDFSCYLTVRLIESGCHVQRVGWIPLQSDLSYFEQNAFFYPCLRGRTDQTPYCQWPSHQLSDLVRFLRDEGPSEHLFLLVSMGPFRSRKSHSKECYKVPSARESVQFLRVEPCICDLLQDLTRQLLSGHGSNALLHVTMALPGQTEDM
+>sp|Q6UXB0|F131A_HUMAN Protein FAM131A OS=Homo sapiens OX=9606 GN=FAM131A PE=2 SV=1
+MFLATLSFLLPFAHPFGTVSCEYMLGSPLSSLAQVNLSPFSHPKVHMDPNYCHPSTSLHLCSLAWSFTRLLHPPLSPGISQVVKDHVTKPTAMAQGRVAHLIEWKGWSKPSDSPAALESAFSSYSDLSEGEQEARFAAGVAEQFAIAEAKLRAWSSVDGEDSTDDSYDEDFAGGMDTDMAGQLPLGPHLQDLFTGHRFSRPVRQGSVEPESDCSQTVSPDTLCSSLCSLEDGLLGSPARLASQLLGDELLLAKLPPSRESAFRSLGPLEAQDSLYNSPLTESCLSPAEEEPAPCKDCQPLCPPLTGSWERQRQASDLASSGVVSLDEDEAEPEEQ
+>DECOY_sp|Q6UXB0|F131A_HUMAN Protein FAM131A OS=Homo sapiens OX=9606 GN=FAM131A PE=2 SV=1
+QEEPEAEDEDLSVVGSSALDSAQRQREWSGTLPPCLPQCDKCPAPEEEAPSLCSETLPSNYLSDQAELPGLSRFASERSPPLKALLLEDGLLQSALRAPSGLLGDELSCLSSCLTDPSVTQSCDSEPEVSGQRVPRSFRHGTFLDQLHPGLPLQGAMDTDMGGAFDEDYSDDTSDEGDVSSWARLKAEAIAFQEAVGAAFRAEQEGESLDSYSSFASELAAPSDSPKSWGKWEILHAVRGQAMATPKTVHDKVVQSIGPSLPPHLLRTFSWALSCLHLSTSPHCYNPDMHVKPHSFPSLNVQALSSLPSGLMYECSVTGFPHAFPLLFSLTALFM
+>sp|Q86XD5|F131B_HUMAN Protein FAM131B OS=Homo sapiens OX=9606 GN=FAM131B PE=1 SV=3
+MDSTSSLHGSSLHRPSTEQTRTDFSWDGINLSMEDTTSILPKLKRNSNAYGIGALAKSSFSGISRSMKDHVTKPTAMGQGRVAHMIEWQGWGKTPAVQPQHSHESVRRDTDAYSDLSDGEKEARFLAGVMEQFAISEATLMAWSSMDGEDMSVNSTQEPLGCNYSDNYQELMDSQDALAQAPMDGWPHSYVSQGMYCLGSSDAWEASDQSLIASPATGSYLGPAFDDSQPSLHEMGPSQPASGYSALEPPPLLGGDTDWAPGVGAVDLARGPAEEEKRPLAPEEEEDAGCRDLESLSPREDPEMSTALSRKVSDVTSSGVQSFDEEEGEANN
+>DECOY_sp|Q86XD5|F131B_HUMAN Protein FAM131B OS=Homo sapiens OX=9606 GN=FAM131B PE=1 SV=3
+NNAEGEEEDFSQVGSSTVDSVKRSLATSMEPDERPSLSELDRCGADEEEEPALPRKEEEAPGRALDVAGVGPAWDTDGGLLPPPELASYGSAPQSPGMEHLSPQSDDFAPGLYSGTAPSAILSQDSAEWADSSGLCYMGQSVYSHPWGDMPAQALADQSDMLEQYNDSYNCGLPEQTSNVSMDEGDMSSWAMLTAESIAFQEMVGALFRAEKEGDSLDSYADTDRRVSEHSHQPQVAPTKGWGQWEIMHAVRGQGMATPKTVHDKMSRSIGSFSSKALAGIGYANSNRKLKPLISTTDEMSLNIGDWSFDTRTQETSPRHLSSGHLSSTSDM
+>sp|Q5BKY9|F133B_HUMAN Protein FAM133B OS=Homo sapiens OX=9606 GN=FAM133B PE=1 SV=1
+MGKRDNRVAYMNPIAMARSRGPIQSSGPTIQDYLNRPRPTWEEVKEQLEKKKKGSKALAEFEEKMNENWKKELEKHREKLLSGSESSSKKRQRKKKEKKKSGRYSSSSSSSSDSSSSSSDSEDEDKKQGKRRKKKKNRSHKSSESSMSETESDSKDSLKKKKKSKDGTEKEKDIKGLSKKRKMYSEDKPLSSESLSESEYIEEVRAKKKKSSEEREKATEKTKKKKKHKKHSKKKKKKAASSSPDSP
+>DECOY_sp|Q5BKY9|F133B_HUMAN Protein FAM133B OS=Homo sapiens OX=9606 GN=FAM133B PE=1 SV=1
+PSDPSSSAAKKKKKKSHKKHKKKKKTKETAKEREESSKKKKARVEEIYESESLSESSLPKDESYMKRKKSLGKIDKEKETGDKSKKKKKLSDKSDSETESMSSESSKHSRNKKKKRRKGQKKDEDESDSSSSSSDSSSSSSSSYRGSKKKEKKKRQRKKSSSESGSLLKERHKELEKKWNENMKEEFEALAKSGKKKKELQEKVEEWTPRPRNLYDQITPGSSQIPGRSRAMAIPNMYAVRNDRKGM
+>sp|Q9P2D6|F135A_HUMAN Protein FAM135A OS=Homo sapiens OX=9606 GN=FAM135A PE=1 SV=2
+MTEVQAMVEFSVELNKFYNVDLFQRGFYQIRASMKIPSRIPHRVEASLLHATGMTLAFPASVHDSLICSKTFQILYKNEEVVLNDVMIFKVKMLLDERKIEETLEEMNFLLSLDLHFTDGDYSADDLNALQLISSRTLKLHFSPHRGLHHHVNVMFDYFHLSVVSVTVHASLVALHQPLISFPRPVKTTWLNRNAPAQNKDSVIPTLESVVFGINYTKQLSPDGCSFIIADSFLHHAYRFHYTLCATLLLAFKGLHSYFITVTEEIPSCQKLELEEMDVEARLTELCEEVKKIENPDELAELINMNLAQLCSLLMALWGQFLEVITLHEELRILLAQEHHTLRVRRFSEAFFCFEHPREAAIAYQELHAQSHLQMCTAIKNTSFCSSLPPLPIECSELDGDLNSLPIIFEDRYLDSVTEDLDAPWMGIQNLQRSESSKMDKYETEESSVAGLSSPELKVRPAGASSIWYTEGEKQLTKSLKGKNEESNKSKVKVTKLMKTMKSENTKKLIKQNSKDSVVLVGYKCLKSTASNDLIKCFEGNPSHSQKEGLDPTICGYNFDPKTYMRQTSQKEASCLPTNTERTEQKSPDIENVQPDQFDPLNSGNLNLCANLSISGKLDISQDDSEITQMEHNLASRRSSDDCHDHQTTPSLGVRTIEIKPSNKDPFSGENITVKLGPWTELRQEEILVDNLLPNFESLESNGKSKSIEITFEKEALQEAKCLSIGESLTKLRSNLPAPSTKEYHVVVSGDTIKLPDISATYASSRFSDSGVESEPSSFATHPNTDLVFETVQGQGPCNSERLFPQLLMKPDYNVKFSLGNHCTESTSAISEIQSSLTSINSLPSDDELSPDENSKKSVVPECHLNDSKTVLNLGTTDLPKCDDTKKSSITLQQQSVVFSGNLDNETVAIHSLNSSIKDPLQFVFSDEETSSDVKSSCSSKPNLDTMCKGFQSPDKSNNSTGTAITLNSKLICLGTPCVISGSISSNTDVSEDRTMKKNSDVLNLTQMYSEIPTVESETHLGTSDPFSASTDIVKQGLVENYFGSQSSTDISDTCAVSYSNALSPQKETSEKEISNLQQEQDKEDEEEEQDQQMVQNGYYEETDYSALDGTINAHYTSRDELMEERLTKSEKINSDYLRDGINMPTVCTSGCLSFPSAPRESPCNVKYSSKSKFDAITKQPSSTSYNFTSSISWYESSPKPQIQAFLQAKEELKLLKLPGFMYSEVPLLASSVPYFSVEEEDGSEDGVHLIVCVHGLDGNSADLRLVKTYIELGLPGGRIDFLMSERNQNDTFADFDSMTDRLLDEIIQYIQIYSLTVSKISFIGHSLGNLIIRSVLTRPRFKYYLNKLHTFLSLSGPHLGTLYNSSALVNTGLWFMQKWKKSGSLLQLTCRDHSDPRQTFLYKLSNKAGLHYFKNVVLVGSLQDRYVPYHSARIEMCKTALKDKQSGQIYSEMIHNLLRPVLQSKDCNLVRYNVINALPNTADSLIGRAAHIAVLDSEIFLEKFFLVAALKYFQ
+>DECOY_sp|Q9P2D6|F135A_HUMAN Protein FAM135A OS=Homo sapiens OX=9606 GN=FAM135A PE=1 SV=2
+QFYKLAAVLFFKELFIESDLVAIHAARGILSDATNPLANIVNYRVLNCDKSQLVPRLLNHIMESYIQGSQKDKLATKCMEIRASHYPVYRDQLSGVLVVNKFYHLGAKNSLKYLFTQRPDSHDRCTLQLLSGSKKWKQMFWLGTNVLASSNYLTGLHPGSLSLFTHLKNLYYKFRPRTLVSRIILNGLSHGIFSIKSVTLSYIQIYQIIEDLLRDTMSDFDAFTDNQNRESMLFDIRGGPLGLEIYTKVLRLDASNGDLGHVCVILHVGDESGDEEEVSFYPVSSALLPVESYMFGPLKLLKLEEKAQLFAQIQPKPSSEYWSISSTFNYSTSSPQKTIADFKSKSSYKVNCPSERPASPFSLCGSTCVTPMNIGDRLYDSNIKESKTLREEMLEDRSTYHANITGDLASYDTEEYYGNQVMQQDQEEEEDEKDQEQQLNSIEKESTEKQPSLANSYSVACTDSIDTSSQSGFYNEVLGQKVIDTSASFPDSTGLHTESEVTPIESYMQTLNLVDSNKKMTRDESVDTNSSISGSIVCPTGLCILKSNLTIATGTSNNSKDPSQFGKCMTDLNPKSSCSSKVDSSTEEDSFVFQLPDKISSNLSHIAVTENDLNGSFVVSQQQLTISSKKTDDCKPLDTTGLNLVTKSDNLHCEPVVSKKSNEDPSLEDDSPLSNISTLSSQIESIASTSETCHNGLSFKVNYDPKMLLQPFLRESNCPGQGQVTEFVLDTNPHTAFSSPESEVGSDSFRSSAYTASIDPLKITDGSVVVHYEKTSPAPLNSRLKTLSEGISLCKAEQLAEKEFTIEISKSKGNSELSEFNPLLNDVLIEEQRLETWPGLKVTINEGSFPDKNSPKIEITRVGLSPTTQHDHCDDSSRRSALNHEMQTIESDDQSIDLKGSISLNACLNLNGSNLPDFQDPQVNEIDPSKQETRETNTPLCSAEKQSTQRMYTKPDFNYGCITPDLGEKQSHSPNGEFCKILDNSATSKLCKYGVLVVSDKSNQKILKKTNESKMTKMLKTVKVKSKNSEENKGKLSKTLQKEGETYWISSAGAPRVKLEPSSLGAVSSEETEYKDMKSSESRQLNQIGMWPADLDETVSDLYRDEFIIPLSNLDGDLESCEIPLPPLSSCFSTNKIATCMQLHSQAHLEQYAIAAERPHEFCFFAESFRRVRLTHHEQALLIRLEEHLTIVELFQGWLAMLLSCLQALNMNILEALEDPNEIKKVEECLETLRAEVDMEELELKQCSPIEETVTIFYSHLGKFALLLTACLTYHFRYAHHLFSDAIIFSCGDPSLQKTYNIGFVVSELTPIVSDKNQAPANRNLWTTKVPRPFSILPQHLAVLSAHVTVSVVSLHFYDFMVNVHHHLGRHPSFHLKLTRSSILQLANLDDASYDGDTFHLDLSLLFNMEELTEEIKREDLLMKVKFIMVDNLVVEENKYLIQFTKSCILSDHVSAPFALTMGTAHLLSAEVRHPIRSPIKMSARIQYFGRQFLDVNYFKNLEVSFEVMAQVETM
+>sp|C9JC47|F157A_HUMAN Putative protein FAM157A OS=Homo sapiens OX=9606 GN=FAM157A PE=3 SV=1
+MGPLFTTIPGAHSGPMRPLPKKHVEPMAVRQLLLGNSTMIRHTCPMSVPLSRQVKEVAAQKPSEDIYKNWQQQQQQQQQQQQQQLDLLFHQRIQISLWPRKQKRRKTEQHSHPFVKKAFRFSAGSGCGRPSSNKMLRSMGGGQRPTGLGSEFFRLLHDLHLLAFAMKRIWIHRRGEATARPRAPEHPAPPATAVRGRDAASQNLKRRPGSGTDGLRLQGAEPSRLLRTYAGGAVIPTGTPERAQPPPPQDPLGRRRWLSRNTWGPWPGTTQPPSPQLLRNDWGSCGFMVPEAARGKVFQDSQEGAHIRRETVSKSVCAEPWRHQRARDPAPTNFPLRCQKQRGASASSGQHEGRVNLVFFIGSPTVIAVPDLQCPTKYSGMLY
+>DECOY_sp|C9JC47|F157A_HUMAN Putative protein FAM157A OS=Homo sapiens OX=9606 GN=FAM157A PE=3 SV=1
+YLMGSYKTPCQLDPVAIVTPSGIFFVLNVRGEHQGSSASAGRQKQCRLPFNTPAPDRARQHRWPEACVSKSVTERRIHAGEQSDQFVKGRAAEPVMFGCSGWDNRLLQPSPPQTTGPWPGWTNRSLWRRRGLPDQPPPPQAREPTGTPIVAGGAYTRLLRSPEAGQLRLGDTGSGPRRKLNQSAADRGRVATAPPAPHEPARPRATAEGRRHIWIRKMAFALLHLDHLLRFFESGLGTPRQGGGMSRLMKNSSPRGCGSGASFRFAKKVFPHSHQETKRRKQKRPWLSIQIRQHFLLDLQQQQQQQQQQQQQQWNKYIDESPKQAAVEKVQRSLPVSMPCTHRIMTSNGLLLQRVAMPEVHKKPLPRMPGSHAGPITTFLPGM
+>sp|Q3B820|F161A_HUMAN Protein FAM161A OS=Homo sapiens OX=9606 GN=FAM161A PE=1 SV=2
+MATSHRVAKLVASSLQTPVNPITGARVAQYEREDPLKALAAAEAILEDEEEEKVAQPAGASADLNTSFSGVDEHAPISYEDFVNFPDIHHSNEEYFKKVEELKAAHIETMAKLEKMYQDKLHLKEVQPVVIREDSLSDSSRSVSEKNSYHPVSLMTSFSEPDLGQSSSLYVSSSEEELPNLEKEYPRKNRMMTYAKELINNMWTDFCVEDYIRCKDTGFHAAEKRRKKRKEWVPTITVPEPFQMMIREQKKKEESMKSKSDIEMVHKALKKQEEDPEYKKKFRANPVPASVFLPLYHDLVKQKEERRRSLKEKSKEALLASQKPFKFIAREEQKRAAREKQLRDFLKYKKKTNRFKARPIPRSTYGSTTNDKLKEEELYRNLRTQLRAQEHLQNSSPLPCRSACGCRNPRCPEQAVKLKCKHKVRCPTPDFEDLPERYQKHLSEHKSPKLLTVCKPFDLHASPHASIKREKILADIEADEENLKETRWPYLSPRRKSPVRCAGVNPVPCNCNPPVPTVSSRGREQAVRKSEKERMREYQRELEEREEKLKKRPLLFERVAQKNARMAAEKHYSNTLKALGISDEFVSKKGQSGKVLEYFNNQETKSVTEDKESFNEEEKIEERENGEENYFIDTNSQDSYKEKDEANEESEEEKSVEESH
+>DECOY_sp|Q3B820|F161A_HUMAN Protein FAM161A OS=Homo sapiens OX=9606 GN=FAM161A PE=1 SV=2
+HSEEVSKEEESEENAEDKEKYSDQSNTDIFYNEEGNEREEIKEEENFSEKDETVSKTEQNNFYELVKGSQGKKSVFEDSIGLAKLTNSYHKEAAMRANKQAVREFLLPRKKLKEEREELERQYERMREKESKRVAQERGRSSVTPVPPNCNCPVPNVGACRVPSKRRPSLYPWRTEKLNEEDAEIDALIKERKISAHPSAHLDFPKCVTLLKPSKHESLHKQYREPLDEFDPTPCRVKHKCKLKVAQEPCRPNRCGCASRCPLPSSNQLHEQARLQTRLNRYLEEEKLKDNTTSGYTSRPIPRAKFRNTKKKYKLFDRLQKERAARKQEERAIFKFPKQSALLAEKSKEKLSRRREEKQKVLDHYLPLFVSAPVPNARFKKKYEPDEEQKKLAKHVMEIDSKSKMSEEKKKQERIMMQFPEPVTITPVWEKRKKRRKEAAHFGTDKCRIYDEVCFDTWMNNILEKAYTMMRNKRPYEKELNPLEEESSSVYLSSSQGLDPESFSTMLSVPHYSNKESVSRSSDSLSDERIVVPQVEKLHLKDQYMKELKAMTEIHAAKLEEVKKFYEENSHHIDPFNVFDEYSIPAHEDVGSFSTNLDASAGAPQAVKEEEEDELIAEAAALAKLPDEREYQAVRAGTIPNVPTQLSSAVLKAVRHSTAM
+>sp|Q5W0V3|F16B1_HUMAN Protein FAM160B1 OS=Homo sapiens OX=9606 GN=FAM160B1 PE=1 SV=1
+MFSKFTSILQHAVEALAPSLPLQEDFVYHWKAITHYYIETSDDKAPVTDTNIPSHLEQMLDILVQEENERESGETGPCMEYLLHHKILETLYTLGKADCPPGMKQQVLVFYTKLLGRIRQPLLPHINVHRPVQKLIRLCGEVLATPTENEEIQFLCIVCAKLKQDPYLVNFFLENKMKSLASKGVPNVISEDTLKGQDSLSTDTGQSRQPEELSGATGMEQTELEDEPPHQMDHLSTSLDNLSVTSLPEASVVCPNQDYNLVNSLLNLTRSPDGRIAVKACEGLMLLVSLPEPAAAKCLTQSTCLCELLTDRLASLYKALPQSVDPLDIETVEAINWGLDSYSHKEDASAFPGKRALISFLSWFDYCDQLIKEAQKTAAVALAKAVHERFFIGVMEPQLMQTSEMGILTSTALLHRIVRQVTSDVLLQEMVFFILGEQREPETLAEISRHPLRHRLIEHCDHISDEISIMTLRMFEHLLQKPNEHILYNLVLRNLEERNYTEYKPLCPEDKDVVENGLIAGAVDLEEDPLFTDISPENTLPNQEWLSSSPPATPDHPKNDGKTEVHKIVNSFLCLVPDDAKSSYHVEGTGYDTYLRDAHRQFRDYCAICLRWEWPGSPKALEKCNLEAAFFEGHFLKVLFDRMGRILDQPYDVNLQVTSVLSRLSLFPHPHIHEYLLDPYVNLAPGCRSLFSVIVRVVGDLMLRIQRIQDFTPKLLLVRKRLLGLEPEGPIIDHITLLEGVIVLEEFCKELAAIAFVKYHASSTP
+>DECOY_sp|Q5W0V3|F16B1_HUMAN Protein FAM160B1 OS=Homo sapiens OX=9606 GN=FAM160B1 PE=1 SV=1
+PTSSAHYKVFAIAALEKCFEELVIVGELLTIHDIIPGEPELGLLRKRVLLLKPTFDQIRQIRLMLDGVVRVIVSFLSRCGPALNVYPDLLYEHIHPHPFLSLRSLVSTVQLNVDYPQDLIRGMRDFLVKLFHGEFFAAELNCKELAKPSGPWEWRLCIACYDRFQRHADRLYTDYGTGEVHYSSKADDPVLCLFSNVIKHVETKGDNKPHDPTAPPSSSLWEQNPLTNEPSIDTFLPDEELDVAGAILGNEVVDKDEPCLPKYETYNREELNRLVLNYLIHENPKQLLHEFMRLTMISIEDSIHDCHEILRHRLPHRSIEALTEPERQEGLIFFVMEQLLVDSTVQRVIRHLLATSTLIGMESTQMLQPEMVGIFFREHVAKALAVAATKQAEKILQDCYDFWSLFSILARKGPFASADEKHSYSDLGWNIAEVTEIDLPDVSQPLAKYLSALRDTLLECLCTSQTLCKAAAPEPLSVLLMLGECAKVAIRGDPSRTLNLLSNVLNYDQNPCVVSAEPLSTVSLNDLSTSLHDMQHPPEDELETQEMGTAGSLEEPQRSQGTDTSLSDQGKLTDESIVNPVGKSALSKMKNELFFNVLYPDQKLKACVICLFQIEENETPTALVEGCLRILKQVPRHVNIHPLLPQRIRGLLKTYFVLVQQKMGPPCDAKGLTYLTELIKHHLLYEMCPGTEGSERENEEQVLIDLMQELHSPINTDTVPAKDDSTEIYYHTIAKWHYVFDEQLPLSPALAEVAHQLISTFKSFM
+>sp|Q86V87|F16B2_HUMAN Protein FAM160B2 OS=Homo sapiens OX=9606 GN=FAM160B2 PE=2 SV=2
+MLSRLGALLQEAVGAREPSIDLLQAFVEHWKGITHYYIESTDESTPAKKTDIPWRLKQMLDILVYEEQQQAAAGEAGPCLEYLLQHKILETLCTLGKAEYPPGMRQQVFQFFSKVLAQVQHPLLHYLSVHRPVQKLLRLGGTASGSVTEKEEVQFTTVLCSKIQQDPELLAYILEGKKIVGRKKACGEPTALPKDTTSHGDKDCSHDGAPARPQLDGESCGAQALNSHMPAETEELDGGTTESNLITSLLGLCQSKKSRVALKAQENLLLLVSMASPAAATYLVQSSACCPAIVRHLCQLYRSMPVFLDPADIATLEGISWRLPSAPSDEASFPGKEALAAFLGWFDYCDHLITEAHTVVADALAKAVAENFFVETLQPQLLHVSEQSILTSTALLTAMLRQLRSPALLREAVAFLLGTDRQPEAPGDNPHTLYAHLIGHCDHLSDEISITTLRLFEELLQKPHEGIIHSLVLRNLEGRPYVAWGSPEPESYEDTLDLEEDPYFTDSFLDSGFQTPAKPRLAPATSYDGKTAVTEIVNSFLCLVPEEAKTSAFLEETGYDTYVHDAYGLFQECSSRVASWGWPLTPTPLDPHEPERPFFEGHFLRVLFDRMSRILDQPYSLNLQVTSVLSRLALFPHPHIHEYLLDPYISLAPGCRSLFSVLVRVIGDLMQRIQRVPQFPGKLLLVRKQLTGQAPGEQLDHQTLLQGVVVLEEFCKELAAIAFVKFPPHDPRQNVSPAPEGQV
+>DECOY_sp|Q86V87|F16B2_HUMAN Protein FAM160B2 OS=Homo sapiens OX=9606 GN=FAM160B2 PE=2 SV=2
+VQGEPAPSVNQRPDHPPFKVFAIAALEKCFEELVVVGQLLTQHDLQEGPAQGTLQKRVLLLKGPFQPVRQIRQMLDGIVRVLVSFLSRCGPALSIYPDLLYEHIHPHPFLALRSLVSTVQLNLSYPQDLIRSMRDFLVRLFHGEFFPREPEHPDLPTPTLPWGWSAVRSSCEQFLGYADHVYTDYGTEELFASTKAEEPVLCLFSNVIETVATKGDYSTAPALRPKAPTQFGSDLFSDTFYPDEELDLTDEYSEPEPSGWAVYPRGELNRLVLSHIIGEHPKQLLEEFLRLTTISIEDSLHDCHGILHAYLTHPNDGPAEPQRDTGLLFAVAERLLAPSRLQRLMATLLATSTLISQESVHLLQPQLTEVFFNEAVAKALADAVVTHAETILHDCYDFWGLFAALAEKGPFSAEDSPASPLRWSIGELTAIDAPDLFVPMSRYLQCLHRVIAPCCASSQVLYTAAAPSAMSVLLLLNEQAKLAVRSKKSQCLGLLSTILNSETTGGDLEETEAPMHSNLAQAGCSEGDLQPRAPAGDHSCDKDGHSTTDKPLATPEGCAKKRGVIKKGELIYALLEPDQQIKSCLVTTFQVEEKETVSGSATGGLRLLKQVPRHVSLYHLLPHQVQALVKSFFQFVQQRMGPPYEAKGLTCLTELIKHQLLYELCPGAEGAAAQQQEEYVLIDLMQKLRWPIDTKKAPTSEDTSEIYYHTIGKWHEVFAQLLDISPERAGVAEQLLAGLRSLM
+>sp|P09467|F16P1_HUMAN Fructose-1,6-bisphosphatase 1 OS=Homo sapiens OX=9606 GN=FBP1 PE=1 SV=5
+MADQAPFDTDVNTLTRFVMEEGRKARGTGELTQLLNSLCTAVKAISSAVRKAGIAHLYGIAGSTNVTGDQVKKLDVLSNDLVMNMLKSSFATCVLVSEEDKHAIIVEPEKRGKYVVCFDPLDGSSNIDCLVSVGTIFGIYRKKSTDEPSEKDALQPGRNLVAAGYALYGSATMLVLAMDCGVNCFMLDPAIGEFILVDKDVKIKKKGKIYSLNEGYARDFDPAVTEYIQRKKFPPDNSAPYGARYVGSMVADVHRTLVYGGIFLYPANKKSPNGKLRLLYECNPMAYVMEKAGGMATTGKEAVLDVIPTDIHQRAPVILGSPDDVLEFLKVYEKHSAQ
+>DECOY_sp|P09467|F16P1_HUMAN Fructose-1,6-bisphosphatase 1 OS=Homo sapiens OX=9606 GN=FBP1 PE=1 SV=5
+QASHKEYVKLFELVDDPSGLIVPARQHIDTPIVDLVAEKGTTAMGGAKEMVYAMPNCEYLLRLKGNPSKKNAPYLFIGGYVLTRHVDAVMSGVYRAGYPASNDPPFKKRQIYETVAPDFDRAYGENLSYIKGKKKIKVDKDVLIFEGIAPDLMFCNVGCDMALVLMTASGYLAYGAAVLNRGPQLADKESPEDTSKKRYIGFITGVSVLCDINSSGDLPDFCVVYKGRKEPEVIIAHKDEESVLVCTAFSSKLMNMVLDNSLVDLKKVQDGTVNTSGAIGYLHAIGAKRVASSIAKVATCLSNLLQTLEGTGRAKRGEEMVFRTLTNVDTDFPAQDAM
+>sp|Q8N128|F177A_HUMAN Protein FAM177A1 OS=Homo sapiens OX=9606 GN=FAM177A1 PE=1 SV=1
+MDQEPVGGVERGEAVAASGAAAAAAFGESAGQMSNERGFENVELGVIGKKKKVPRRVIHFVSGETMEEYSTDEDEVDGLEKKDVLPTVDPTKLTWGPYLWFYMLRAATSTLSVCDFLGEKIASVLGISTPKYQYAIDEYYRMKKEEEEEEEENRMSEEAEKQYQQNKLQTDSIVQTDQPETVISSSFVNVNFEMEGDSEVIMESKQNPVSVPP
+>DECOY_sp|Q8N128|F177A_HUMAN Protein FAM177A1 OS=Homo sapiens OX=9606 GN=FAM177A1 PE=1 SV=1
+PPVSVPNQKSEMIVESDGEMEFNVNVFSSSIVTEPQDTQVISDTQLKNQQYQKEAEESMRNEEEEEEEEKKMRYYEDIAYQYKPTSIGLVSAIKEGLFDCVSLTSTAARLMYFWLYPGWTLKTPDVTPLVDKKELGDVEDEDTSYEEMTEGSVFHIVRRPVKKKKGIVGLEVNEFGRENSMQGASEGFAAAAAAGSAAVAEGREVGGVPEQDM
+>sp|Q6P0A1|F180B_HUMAN Protein FAM180B OS=Homo sapiens OX=9606 GN=FAM180B PE=2 SV=2
+MTRITELWSSPQWKVGAEVCGRQMREQRTAEQSETQRTWLSMAATLQFLVCLVVAICLLSGVTTTQPHAGQPMDSTSVGGGLQEPEAPEVMFELLWAGLELDVMGQLHIQDEELASTHPGRRLRLLLQHHVPSDLEGTEQWLQQLQDLRKGPPLSTWDFEHLLLTGLSCVYRLHAASEAEERGRWAQVFALLAQETLWDLCKGFCPQDRPPSLGSWASILDPFP
+>DECOY_sp|Q6P0A1|F180B_HUMAN Protein FAM180B OS=Homo sapiens OX=9606 GN=FAM180B PE=2 SV=2
+PFPDLISAWSGLSPPRDQPCFGKCLDWLTEQALLAFVQAWRGREEAESAAHLRYVCSLGTLLLHEFDWTSLPPGKRLDQLQQLWQETGELDSPVHHQLLLRLRRGPHTSALEEDQIHLQGMVDLELGAWLLEFMVEPAEPEQLGGGVSTSDMPQGAHPQTTTVGSLLCIAVVLCVLFQLTAAMSLWTRQTESQEATRQERMQRGCVEAGVKWQPSSWLETIRTM
+>sp|Q8N9Y4|F181A_HUMAN Protein FAM181A OS=Homo sapiens OX=9606 GN=FAM181A PE=2 SV=3
+MPLEERRSSGERNDAAPTNHRRPGEKRASTAKQVSSVPFLGAAGHQQSLPSSWKASCSGPLVMASDSDVKMLLNFVNLASSDIKAALDKSAPCRRSVDHRKYLQKQLKRFSQKYSRLPRGLPGRAAEPYLKRGSEDRPRRLLLDLGPDSSPGGGGGCKEKVLRNPYREECLAKEQLPQRQHPEAAQPGQVPMRKRQLPASFWEEPRPTHSYHVGLEGGLGPREGPPYEGKKNCKGLEPLGPETTLVSMSPRALAEKEPLKMPGVSLVGRVNAWSCCPFQYHGQPIYPGPLGALPQSPVPSLGLWRKSPAFPGELAHLCKDVDGLGQKVCRPVVLKPIPTKPAVPPPIFNVFGYL
+>DECOY_sp|Q8N9Y4|F181A_HUMAN Protein FAM181A OS=Homo sapiens OX=9606 GN=FAM181A PE=2 SV=3
+LYGFVNFIPPPVAPKTPIPKLVVPRCVKQGLGDVDKCLHALEGPFAPSKRWLGLSPVPSQPLAGLPGPYIPQGHYQFPCCSWANVRGVLSVGPMKLPEKEALARPSMSVLTTEPGLPELGKCNKKGEYPPGERPGLGGELGVHYSHTPRPEEWFSAPLQRKRMPVQGPQAAEPHQRQPLQEKALCEERYPNRLVKEKCGGGGGPSSDPGLDLLLRRPRDESGRKLYPEAARGPLGRPLRSYKQSFRKLQKQLYKRHDVSRRCPASKDLAAKIDSSALNVFNLLMKVDSDSAMVLPGSCSAKWSSPLSQQHGAAGLFPVSSVQKATSARKEGPRRHNTPAADNREGSSRREELPM
+>sp|Q5T319|F182B_HUMAN Protein FAM182B OS=Homo sapiens OX=9606 GN=FAM182B PE=2 SV=1
+MQEMVRELWMWNVEEEEHEVGICTWGGQHCGCPAKSLPGPHPGGVSAPQSASQLMVKLLVWQKSVHKLRKVSATSSIAVYPCPGQSSGGAESPAPGPGLAGWSHLCGAALAEVQAAPVSQAAVSDASLGPEWSQEGCRPGLTSGQHGGRDGR
+>DECOY_sp|Q5T319|F182B_HUMAN Protein FAM182B OS=Homo sapiens OX=9606 GN=FAM182B PE=2 SV=1
+RGDRGGHQGSTLGPRCGEQSWEPGLSADSVAAQSVPAAQVEALAAGCLHSWGALGPGPAPSEAGGSSQGPCPYVAISSTASVKRLKHVSKQWVLLKVMLQSASQPASVGGPHPGPLSKAPCGCHQGGWTCIGVEHEEEEVNWMWLERVMEQM
+>sp|Q8N0U4|F185A_HUMAN Protein FAM185A OS=Homo sapiens OX=9606 GN=FAM185A PE=2 SV=3
+MLAPCSGWELGCFRLCLRQVRLWAGAGRWACWACQARPYSSGGSERWPGSETEVPPPGPGRRTLKEWTLQVSPFGRLRARLPCHLAVRPLDPLTYPDGDRVLVAVCGVEGGVRGLDGLQVKYDEDLEEMAIVSDTIHPQASVEVNAPLKFGLDIKSSGSGCVKVQSIEGDNCKIETEHGTSILQSVKGQKLHVQTKGGKVICLGTVYGNIDIHASDKSAVTIDKLQGSSVTVSTEDGLLKAKYLYTESSFLSSAAGDITLGSVHGNITLQSKMGNITVDSSSGCLKASTNQGAIDVYVSQLGKVELKSHKGSIIVKVPSSLQAHLQLSGKEVDVNSEVHVQEMAEVRKDDVVTVTGLMNQASKREKWIKADAPKGTVSFRRQSWFQSLKLQD
+>DECOY_sp|Q8N0U4|F185A_HUMAN Protein FAM185A OS=Homo sapiens OX=9606 GN=FAM185A PE=2 SV=3
+DQLKLSQFWSQRRFSVTGKPADAKIWKERKSAQNMLGTVTVVDDKRVEAMEQVHVESNVDVEKGSLQLHAQLSSPVKVIISGKHSKLEVKGLQSVYVDIAGQNTSAKLCGSSSDVTINGMKSQLTINGHVSGLTIDGAASSLFSSETYLYKAKLLGDETSVTVSSGQLKDITVASKDSAHIDINGYVTGLCIVKGGKTQVHLKQGKVSQLISTGHETEIKCNDGEISQVKVCGSGSSKIDLGFKLPANVEVSAQPHITDSVIAMEELDEDYKVQLGDLGRVGGEVGCVAVLVRDGDPYTLPDLPRVALHCPLRARLRGFPSVQLTWEKLTRRGPGPPPVETESGPWRESGGSSYPRAQCAWCAWRGAGAWLRVQRLCLRFCGLEWGSCPALM
+>sp|P81408|F189B_HUMAN Protein FAM189B OS=Homo sapiens OX=9606 GN=FAM189B PE=1 SV=2
+MMPSPSDSSRSLTSRPSTRGLTHLRLHRPWLQALLTLGLVQVLLGILVVTFSMVASSVTTTESIKRSCPSWAGFSLAFSGVVGIVSWKRPFTLVISFFSLLSVLCVMLSMAGSVLSCKNAQLARDFQQCSLEGKVCVCCPSVPLLRPCPESGQELKVAPNSTCDEARGALKNLLFSVCGLTICAAIICTLSAIVCCIQIFSLDLVHTLAPERSVSGPLGPLGCTSPPPAPLLHTMLDLEEFVPPVPPPPYYPPEYTCSSETDAQSITYNGSMDSPVPLYPTDCPPSYEAVMGLRGDSQATLFDPQLHDGSCICERVASIVDVSMDSGSLVLSAIGDLPGGSSPSEDSCLLELQGSVRSVDYVLFRSIQRSRAGYCLSLDCGLRGPFEESPLPRRPPRAARSYSCSAPEAPPPLGAPTAARSCHRLEGWPPWVGPCFPELRRRVPRGGGRPAAAPPTRAPTRRFSDSSGSLTPPGHRPPHPASPPPLLLPRSHSDPGITTSSDTADFRDLYTKVLEEEAASVSSADTGLCSEACLFRLARCPSPKLLRARSAEKRRPVPTFQKVPLPSGPAPAHSLGDLKGSWPGRGLVTRFLQISRKAPDPSGTGAHGHKQVPRSLWGRPGRESLHLRSCGDLSSSSSLRRLLSGRRLERGTRPHSLSLNGGSRETGL
+>DECOY_sp|P81408|F189B_HUMAN Protein FAM189B OS=Homo sapiens OX=9606 GN=FAM189B PE=1 SV=2
+LGTERSGGNLSLSHPRTGRELRRGSLLRRLSSSSSLDGCSRLHLSERGPRGWLSRPVQKHGHAGTGSPDPAKRSIQLFRTVLGRGPWSGKLDGLSHAPAPGSPLPVKQFTPVPRRKEASRARLLKPSPCRALRFLCAESCLGTDASSVSAAEEELVKTYLDRFDATDSSTTIGPDSHSRPLLLPPPSAPHPPRHGPPTLSGSSDSFRRTPARTPPAAAPRGGGRPVRRRLEPFCPGVWPPWGELRHCSRAATPAGLPPPAEPASCSYSRAARPPRRPLPSEEFPGRLGCDLSLCYGARSRQISRFLVYDVSRVSGQLELLCSDESPSSGGPLDGIASLVLSGSDMSVDVISAVRECICSGDHLQPDFLTAQSDGRLGMVAEYSPPCDTPYLPVPSDMSGNYTISQADTESSCTYEPPYYPPPPVPPVFEELDLMTHLLPAPPPSTCGLPGLPGSVSREPALTHVLDLSFIQICCVIASLTCIIAACITLGCVSFLLNKLAGRAEDCTSNPAVKLEQGSEPCPRLLPVSPCCVCVKGELSCQQFDRALQANKCSLVSGAMSLMVCLVSLLSFFSIVLTFPRKWSVIGVVGSFALSFGAWSPCSRKISETTTVSSAVMSFTVVLIGLLVQVLGLTLLAQLWPRHLRLHTLGRTSPRSTLSRSSDSPSPMM
+>sp|Q96PV7|F193B_HUMAN Protein FAM193B OS=Homo sapiens OX=9606 GN=FAM193B PE=1 SV=3
+MTRRRSRPSGGAGRRERARAAGPQKPQAPEPPPPPSLEAGAGAGPPEAPAEPDHDGPREDDEPNLVPGPQVPPASSQPVQTCCLLCHRERKGWEEGPSQNGLVLQGEKLPPDFMPKLVKNLLGEMPLWVCQSCRKSMEEDERQTGREHAVAISLSHTSCKSQSCGDDSHSSSSSSSSSSSSSSSSCPGNSGDWDPSSFLSAHKLSGLWNSPHSSGAMPGSSLGSPPTIPGEAFPVSEHHQHSDLTAPPNSPTGHHPQPASLIPSHPSSFGSPPHPHLLPTTPAAPFPAQASECPVAAATAPHTPGPCQSSHLPSTSMPLLKMPPPFSGCSHPCSGHCGGHCSGPLLPPPSSQPLPSTHRDPGCKGHKFAHSGLACQLPQPCEADEGLGEEEDSSSERSSCTSSSTHQRDGKFCDCCYCEFFGHNAPPAAPTSRNYTEIREKLRSRLTRRKEELPMKGGTLGGIPGEPAVDHRDVDELLEFINSTEPKVPNSARAAKRARHKLKKKEKEKAQLAAEALKQANRVSGSREPRPARERLLEWPDRELDRVNSFLSSRLQEIKNTVKDSIRASFSVCELSMDSNGFSKEGAAEPEPQSLPPSNLSGSSEQQPDINLDLSPLTLGSPQNHTLQAPGEPAPPWAEMRGPHPPWTEVRGPPPGIVPENGLVRRLNTVPNLSRVIWVKTPKPGYPSSEEPSSKEVPSCKQELPEPVSSGGKPQKGKRQGSQAKKSEASPAPRPPASLEVPSAKGQVAGPKQPGRVLELPKVGSCAEAGEGSRGSRPGPGWAGSPKTEKEKGSSWRNWPGEAKARPQEQESVQPSGPARPQSLPQGKGRSRRSRNKQEKPASSLDDVFLPKDMDGVEMDETDREVEYFKRFCLDSAKQTRQKVAVNWTNFSLKKTTPSTAQ
+>DECOY_sp|Q96PV7|F193B_HUMAN Protein FAM193B OS=Homo sapiens OX=9606 GN=FAM193B PE=1 SV=3
+QATSPTTKKLSFNTWNVAVKQRTQKASDLCFRKFYEVERDTEDMEVGDMDKPLFVDDLSSAPKEQKNRSRRSRGKGQPLSQPRAPGSPQVSEQEQPRAKAEGPWNRWSSGKEKETKPSGAWGPGPRSGRSGEGAEACSGVKPLELVRGPQKPGAVQGKASPVELSAPPRPAPSAESKKAQSGQRKGKQPKGGSSVPEPLEQKCSPVEKSSPEESSPYGPKPTKVWIVRSLNPVTNLRRVLGNEPVIGPPPGRVETWPPHPGRMEAWPPAPEGPAQLTHNQPSGLTLPSLDLNIDPQQESSGSLNSPPLSQPEPEAAGEKSFGNSDMSLECVSFSARISDKVTNKIEQLRSSLFSNVRDLERDPWELLRERAPRPERSGSVRNAQKLAEAALQAKEKEKKKLKHRARKAARASNPVKPETSNIFELLEDVDRHDVAPEGPIGGLTGGKMPLEEKRRTLRSRLKERIETYNRSTPAAPPANHGFFECYCCDCFKGDRQHTSSSTCSSRESSSDEEEGLGEDAECPQPLQCALGSHAFKHGKCGPDRHTSPLPQSSPPPLLPGSCHGGCHGSCPHSCGSFPPPMKLLPMSTSPLHSSQCPGPTHPATAAAVPCESAQAPFPAAPTTPLLHPHPPSGFSSPHSPILSAPQPHHGTPSNPPATLDSHQHHESVPFAEGPITPPSGLSSGPMAGSSHPSNWLGSLKHASLFSSPDWDGSNGPCSSSSSSSSSSSSSSSSHSDDGCSQSKCSTHSLSIAVAHERGTQREDEEMSKRCSQCVWLPMEGLLNKVLKPMFDPPLKEGQLVLGNQSPGEEWGKRERHCLLCCTQVPQSSAPPVQPGPVLNPEDDERPGDHDPEAPAEPPGAGAGAELSPPPPPEPAQPKQPGAARARERRGAGGSPRSRRRTM
+>sp|Q8N3H0|F19A2_HUMAN Protein FAM19A2 OS=Homo sapiens OX=9606 GN=FAM19A2 PE=2 SV=1
+MSKRYLQKATKGKLLIIIFIVTLWGKVVSSANHHKAHHVKTGTCEVVALHRCCNKNKIEERSQTVKCSCFPGQVAGTTRAAPSCVDASIVEQKWWCHMQPCLEGEECKVLPDRKGWSCSSGNKVKTTRVTH
+>DECOY_sp|Q8N3H0|F19A2_HUMAN Protein FAM19A2 OS=Homo sapiens OX=9606 GN=FAM19A2 PE=2 SV=1
+HTVRTTKVKNGSSCSWGKRDPLVKCEEGELCPQMHCWWKQEVISADVCSPAARTTGAVQGPFCSCKVTQSREEIKNKNCCRHLAVVECTGTKVHHAKHHNASSVVKGWLTVIFIIILLKGKTAKQLYRKSM
+>sp|Q96LR4|F19A4_HUMAN Protein FAM19A4 OS=Homo sapiens OX=9606 GN=FAM19A4 PE=1 SV=1
+MRSPRMRVCAKSVLLSHWLFLAYVLMVCCKLMSASSQHLRGHAGHHQIKQGTCEVVAVHRCCNKNRIEERSQTVKCSCFPGQVAGTTRAQPSCVEASIVIQKWWCHMNPCLEGEDCKVLPDYSGWSCSSGNKVKTTKVTR
+>DECOY_sp|Q96LR4|F19A4_HUMAN Protein FAM19A4 OS=Homo sapiens OX=9606 GN=FAM19A4 PE=1 SV=1
+RTVKTTKVKNGSSCSWGSYDPLVKCDEGELCPNMHCWWKQIVISAEVCSPQARTTGAVQGPFCSCKVTQSREEIRNKNCCRHVAVVECTGQKIQHHGAHGRLHQSSASMLKCCVMLVYALFLWHSLLVSKACVRMRPSRM
+>sp|Q7Z5A7|F19A5_HUMAN Protein FAM19A5 OS=Homo sapiens OX=9606 GN=FAM19A5 PE=2 SV=2
+MAPSPRTGSRQDATALPSMSSTFWAFMILASLLIAYCSQLAAGTCEIVTLDRDSSQPRRTIARQTARCACRKGQIAGTTRARPACVDARIIKTKQWCDMLPCLEGEGCDLLINRSGWTCTQPGGRIKTTTVS
+>DECOY_sp|Q7Z5A7|F19A5_HUMAN Protein FAM19A5 OS=Homo sapiens OX=9606 GN=FAM19A5 PE=2 SV=2
+SVTTTKIRGGPQTCTWGSRNILLDCGEGELCPLMDCWQKTKIIRADVCAPRARTTGAIQGKRCACRATQRAITRRPQSSDRDLTVIECTGAALQSCYAILLSALIMFAWFTSSMSPLATADQRSGTRPSPAM
+>sp|P0CF97|F200B_HUMAN Protein FAM200B OS=Homo sapiens OX=9606 GN=FAM200B PE=3 SV=1
+MDHFFIKRKRNSEVKYTEACSSSSVESGIVNSDNIEKNTDSNLQTSTSFEPHFKKKKVSARRYNEDYLKYGFIKCEKPFENDRPQCVICNNILANESLKPSKLKRHLETQHAELIDKPLEYFQRKKKDIKLSTQFLSCSTAVSEKALLSSYLVAYRVAKEKIANTAAEKIILPACLDMVRTIFDDKSADKLKTIPNDNTVSLRICTIAEHLETMLITRLQSGIDFAIQLDESTDIGSCTTLLVYVRYAWQDDFLEDFLCFLNLTSHLSGLDIFTELERRIVGQYKLNWKNCKGITSDGTATMTGKHSRVIKKLLEVTNNGAVWNHCFIHREGLASREIPQNLMEVLKNAVKVVNFIKGSSLNSRLLETFCSEIGTNHTHLLYHTKIRWLSQGKILSRVYELRNEIHFFLIEKKSHLASIFEDDTWVTKLAYLTDIFSILNELSLKLQGKNSDVFQHVERIQGFRKTLLLWQVRLKSNRPSYYMFPRFLQHIEENIINENILKEIKLEILLHLTSLSQTFNHFFPEEKFETLRENSWVKDPFAFRHPESIIELNLVPEEENELLQLSSSYTLKNDYETLSLSAFWMKVKEDFPLLSRKSVLLLLPFTTTSLCELGFSILTQLKTKERNGLNCAAVMRVALSSCVPDWNELMNRQAHPS
+>DECOY_sp|P0CF97|F200B_HUMAN Protein FAM200B OS=Homo sapiens OX=9606 GN=FAM200B PE=3 SV=1
+SPHAQRNMLENWDPVCSSLAVRMVAACNLGNREKTKLQTLISFGLECLSTTTFPLLLLVSKRSLLPFDEKVKMWFASLSLTEYDNKLTYSSSLQLLENEEEPVLNLEIISEPHRFAFPDKVWSNERLTEFKEEPFFHNFTQSLSTLHLLIELKIEKLINENIINEEIHQLFRPFMYYSPRNSKLRVQWLLLTKRFGQIREVHQFVDSNKGQLKLSLENLISFIDTLYALKTVWTDDEFISALHSKKEILFFHIENRLEYVRSLIKGQSLWRIKTHYLLHTHNTGIESCFTELLRSNLSSGKIFNVVKVANKLVEMLNQPIERSALGERHIFCHNWVAGNNTVELLKKIVRSHKGTMTATGDSTIGKCNKWNLKYQGVIRRELETFIDLGSLHSTLNLFCLFDELFDDQWAYRVYVLLTTCSGIDTSEDLQIAFDIGSQLRTILMTELHEAITCIRLSVTNDNPITKLKDASKDDFITRVMDLCAPLIIKEAATNAIKEKAVRYAVLYSSLLAKESVATSCSLFQTSLKIDKKKRQFYELPKDILEAHQTELHRKLKSPKLSENALINNCIVCQPRDNEFPKECKIFGYKLYDENYRRASVKKKKFHPEFSTSTQLNSDTNKEINDSNVIGSEVSSSSCAETYKVESNRKRKIFFHDM
+>sp|Q6ZU69|F205A_HUMAN Protein FAM205A OS=Homo sapiens OX=9606 GN=FAM205A PE=2 SV=4
+MLSPTFVLWEVGYPLYIYGSIFIVIVIIWQVKRSHHELSSEPKRSCCRCHQKVRQRARDAASTARRRSREEAEKPQKLLSIIKSQGWLPLERSVRRILCADPCCQICNSVALEIQQLLVGENNQISLTLSGPLQGSSCLEMLSTSSMSLDQSLEFHSWHTRELSLSSVTPTLSQLTDQKSLTQSAAQSTYADGIQDYWADHLQLGQEFQVPDVLRGPNTIASSRIEKPRAPLNQEEMTQSNPSLVQGNQGQHHLNSQVSLLSLNPETLNRMHPMALHMVLPAHLPFLSPEVLRLLEVHVKKWMHFQRWGLPRRVEESLRQLMPNPPLYYQPGNDQPVSFNLKNTPQVSLHRFETISLQTWCSCVAGQPIQTFWVSEWSTMNPEQRHHCQQTPNPMALALPSPALKALSGPHPQSGGQDNDSGSDLQQKYSQLFCGLPSLHSESLVATFMGSQGLPKIENVPKPPLKDPFLFNELSFPQLLPKTSPQSAPPSSPLSPNWMSPSDHQRAQINVPFLTLAEYEALEWHLLQRQLQLQWGWPAALQRSQHTQCLMQHEPCGKAQSPETTTASQTGKSISVLTRELLFFPEHARKLLEFHIQKQLIRHRWGLPQKIQQSIQLLLTSTDQQTVSSSSTALANVSIPQPVALEANGACDVLSPIAAPVSIPRPHLLTQVKAILQSHIDSKCGQIHQGKIPACVHRSWDCRISGVLAVAPFPCIPESQFLELQTASDPDLHHKVMPWMPTALDQQQQALPGTVTEHPKLLRVLSVEAIEKLETTLRHKHLAFLSGLPALYYVALPRALAPAVTSQSVITEMEPSPVEIPAEPLIQMVSFEEQCISLGPCPQGNNESCTDVAKEFQPAVPVKGTMETLPLESQTHPTSPHSLQTHILTKLNFHLRKKVLEIQWGIPIRARKSREQTVAAPENISTQKSLESLNHQGETLLQELPIPPDTLPAPNPEGVHLKEQLANDLKAVQQNQKQSNSKAVPQGSAHSVSKISQPSGDMTEAHMPCVQVEANVNKPSLEEPCGPEPQSPSKSKDPAHVPMLAGNREDPEETKAARDHREGDAGFGRSSTREERRPAEDQRPAGMLPNKTPRGSWRWSRSFHLADPCQHSPQHHPQLKLPQLPPRVPGEKESEKDLQDSQTKLTVILEPATIPENAQTVLPQASQGQPFLSQPTQAKPLQGQTLQGQVLHGLVMPVHAQKKPSLTESSFRNKIKCFLQHINPKTKGKGHEDSMFSAAAKVAKTRKENVAKSLAPAKSPVGRSKTEKPTGCSKAQSRPAQKLVGPAFLDGPQSLDDKLRLHSRQPGSASALGYPRHCPRHCPREACANKPGHPT
+>DECOY_sp|Q6ZU69|F205A_HUMAN Protein FAM205A OS=Homo sapiens OX=9606 GN=FAM205A PE=2 SV=4
+TPHGPKNACAERPCHRPCHRPYGLASASGPQRSHLRLKDDLSQPGDLFAPGVLKQAPRSQAKSCGTPKETKSRGVPSKAPALSKAVNEKRTKAVKAAASFMSDEHGKGKTKPNIHQLFCKIKNRFSSETLSPKKQAHVPMVLGHLVQGQLTQGQLPKAQTPQSLFPQGQSAQPLVTQANEPITAPELIVTLKTQSDQLDKESEKEGPVRPPLQPLKLQPHHQPSHQCPDALHFSRSWRWSGRPTKNPLMGAPRQDEAPRREERTSSRGFGADGERHDRAAKTEEPDERNGALMPVHAPDKSKSPSQPEPGCPEELSPKNVNAEVQVCPMHAETMDGSPQSIKSVSHASGQPVAKSNSQKQNQQVAKLDNALQEKLHVGEPNPAPLTDPPIPLEQLLTEGQHNLSELSKQTSINEPAAVTQERSKRARIPIGWQIELVKKRLHFNLKTLIHTQLSHPSTPHTQSELPLTEMTGKVPVAPQFEKAVDTCSENNGQPCPGLSICQEEFSVMQILPEAPIEVPSPEMETIVSQSTVAPALARPLAVYYLAPLGSLFALHKHRLTTELKEIAEVSLVRLLKPHETVTGPLAQQQQDLATPMWPMVKHHLDPDSATQLELFQSEPICPFPAVALVGSIRCDWSRHVCAPIKGQHIQGCKSDIHSQLIAKVQTLLHPRPISVPAAIPSLVDCAGNAELAVPQPISVNALATSSSSVTQQDTSTLLLQISQQIKQPLGWRHRILQKQIHFELLKRAHEPFFLLERTLVSISKGTQSATTTEPSQAKGCPEHQMLCQTHQSRQLAAPWGWQLQLQRQLLHWELAEYEALTLFPVNIQARQHDSPSMWNPSLPSSPPASQPSTKPLLQPFSLENFLFPDKLPPKPVNEIKPLGQSGMFTAVLSESHLSPLGCFLQSYKQQLDSGSDNDQGGSQPHPGSLAKLAPSPLALAMPNPTQQCHHRQEPNMTSWESVWFTQIPQGAVCSCWTQLSITEFRHLSVQPTNKLNFSVPQDNGPQYYLPPNPMLQRLSEEVRRPLGWRQFHMWKKVHVELLRLVEPSLFPLHAPLVMHLAMPHMRNLTEPNLSLLSVQSNLHHQGQNGQVLSPNSQTMEEQNLPARPKEIRSSAITNPGRLVDPVQFEQGLQLHDAWYDQIGDAYTSQAASQTLSKQDTLQSLTPTVSSLSLERTHWSHFELSQDLSMSSTSLMELCSSGQLPGSLTLSIQNNEGVLLQQIELAVSNCIQCCPDACLIRRVSRELPLWGQSKIISLLKQPKEAEERSRRRATSAADRARQRVKQHCRCCSRKPESSLEHHSRKVQWIIVIVIFISGYIYLPYGVEWLVFTPSLM
+>sp|Q32MH5|F214A_HUMAN Protein FAM214A OS=Homo sapiens OX=9606 GN=FAM214A PE=1 SV=2
+MKPDRDTLDEYFEYDAEEFLVSLALLITEGRTPECSVKGRTESFHCPPAQSCYPVTTKHECSDKLAQCRQARRTRSEVTLLWKNNLPIMVEMMLLPDCCYSDDGPTTEGIDLNDPAIKQDALLLERWILEPVPRQNGDRFIEEKTLLLAVRSFVFFSQLSAWLSVSHGAIPRNILYRISAADVDLQWNFSQTPIEHVFPVPNVSHNVALKVSVQSLPRQSNYPVLTCSIHTNIGLYEKRIQQHKLKTHQHHNPNEAEQCGTNSSQRLCSKQTWTMAPESVLHAKSGPSPEYTAAVKNIKLYPGTGSKSDHGTSQANILGFSGIGDIKSQETSVRTLKSFSMVDSSISNRQSFWQSAGETNPLIGSLIQERQEIIARIAQHLIHCDPSTSHVSGRPFNTQESSSLHSKLFRVSQENENVGKGKEAFSMTFGSPEFSSPEDTNEGKIRLKPETPRSETCISNDFYSHMPVGETNPLIGSLLQERQDVIARIAQHLEHIDPTASHIPRQSFNMHDSSSVASKVFRSSYEDKNLLKKNKDESSVSISHTKCSLLGDISDGKNLVPNKCFTSFKNNSKEKCSLKHQTRNQCQNNPSEIIQSTYQETQNKSSSLSTSSILSQHKENNLDLTSRFKEQEMSNGIDKQYSNCTTIDKQICTNKYKEKIINENYNPKFFGNLQSDDSKKNDSKIKVTVLEMSEYLNKYESMSSNKDSKRPKTCEQNTQLNSIENYLNKDNEGFKCKKSDQLKNEQDKQEDPTNEKSQNYSQRRSIKDCLSTCEQPKNTEVLRTTLKHSNVWRKHNFHSLDGTSTRAFHPQTGLPLLSSPVPQRKTQSGCFDLDSSLLHLKSFSSRSPRPCLNIEDDPDIHEKPFLSSSAPPITSLSLLGNFEESVLNYRFDPLGIVDGFTAEVGASGAFCPTHLTLPVEVSFYSVSDDNAPSPYMGVITLESLGKRGYRVPPSGTIQVTLFNPNKTVVKMFVVIYDLRDMPANHQTFLRQRTFSVPVKQEVKRSVNKENIRHTEERLLRYLIHLRFQSSKSGKIYLHRDVRLLFSRKSMEVDSGAAYELKSYTESPTNPQFSPRC
+>DECOY_sp|Q32MH5|F214A_HUMAN Protein FAM214A OS=Homo sapiens OX=9606 GN=FAM214A PE=1 SV=2
+CRPSFQPNTPSETYSKLEYAAGSDVEMSKRSFLLRVDRHLYIKGSKSSQFRLHILYRLLREETHRINEKNVSRKVEQKVPVSFTRQRLFTQHNAPMDRLDYIVVFMKVVTKNPNFLTVQITGSPPVRYGRKGLSELTIVGMYPSPANDDSVSYFSVEVPLTLHTPCFAGSAGVEATFGDVIGLPDFRYNLVSEEFNGLLSLSTIPPASSSLFPKEHIDPDDEINLCPRPSRSSFSKLHLLSSDLDFCGSQTKRQPVPSSLLPLGTQPHFARTSTGDLSHFNHKRWVNSHKLTTRLVETNKPQECTSLCDKISRRQSYNQSKENTPDEQKDQENKLQDSKKCKFGENDKNLYNEISNLQTNQECTKPRKSDKNSSMSEYKNLYESMELVTVKIKSDNKKSDDSQLNGFFKPNYNENIIKEKYKNTCIQKDITTCNSYQKDIGNSMEQEKFRSTLDLNNEKHQSLISSTSLSSSKNQTEQYTSQIIESPNNQCQNRTQHKLSCKEKSNNKFSTFCKNPVLNKGDSIDGLLSCKTHSISVSSEDKNKKLLNKDEYSSRFVKSAVSSSDHMNFSQRPIHSATPDIHELHQAIRAIVDQREQLLSGILPNTEGVPMHSYFDNSICTESRPTEPKLRIKGENTDEPSSFEPSGFTMSFAEKGKGVNENEQSVRFLKSHLSSSEQTNFPRGSVHSTSPDCHILHQAIRAIIEQREQILSGILPNTEGASQWFSQRNSISSDVMSFSKLTRVSTEQSKIDGIGSFGLINAQSTGHDSKSGTGPYLKINKVAATYEPSPGSKAHLVSEPAMTWTQKSCLRQSSNTGCQEAENPNHHQHTKLKHQQIRKEYLGINTHISCTLVPYNSQRPLSQVSVKLAVNHSVNPVPFVHEIPTQSFNWQLDVDAASIRYLINRPIAGHSVSLWASLQSFFVFSRVALLLTKEEIFRDGNQRPVPELIWRELLLADQKIAPDNLDIGETTPGDDSYCCDPLLMMEVMIPLNNKWLLTVESRTRRAQRCQALKDSCEHKTTVPYCSQAPPCHFSETRGKVSCEPTRGETILLALSVLFEEADYEFYEDLTDRDPKM
+>sp|Q9Y5M1|F215A_HUMAN Uncharacterized protein FAM215A OS=Homo sapiens OX=9606 GN=FAM215A PE=4 SV=2
+MVSLWVEGTFPPPGFGLAHVACSGHGMKQKRKPASSEPTPEDALGGSAVPVRFHLHPEGLLWCSRCFFSHGPKGSEPPGRSAGLQGATERSGRPSVQAQAQACENLVPATVWDG
+>DECOY_sp|Q9Y5M1|F215A_HUMAN Uncharacterized protein FAM215A OS=Homo sapiens OX=9606 GN=FAM215A PE=4 SV=2
+GDWVTAPVLNECAQAQAQVSPRGSRETAGQLGASRGPPESGKPGHSFFCRSCWLLGEPHLHFRVPVASGGLADEPTPESSAPKRKQKMGHGSCAVHALGFGPPPFTGEVWLSVM
+>sp|Q96M60|F227B_HUMAN Protein FAM227B OS=Homo sapiens OX=9606 GN=FAM227B PE=2 SV=2
+MAGQRTCQRRSSRAGPGKMQEPPKSIEEFLKFQNWDYWPREIHFRDDDKWSCTLKKIKEDSSFVSIYTHLWENVPRIFEALLIMESKLKEYSLILQNHTSEIFKWKSMISETSSYRKLERYGEFLKKYHKKKKIMLSDEMETEKNIEGCSFTGFKANELTQLPRHLDAEQIYLFILKAHNFDERVFKIWKTHFLSEASIALLHDSFWWWFLHKFRPDRENQDCLFDRISESYVTLFMSIPLSRKDAFFQIYPDCLAQAIYATFHEAFPESSYLFNDEFKEDLGNNIFLWCSGLKPQKGFWIHWKLKELSTTTIHGSKKAPAKSVKERIADSQEHISTSIDFNIIKILNNPRAYTLPISKEESRLSRLATKSHYSSTGPEFNRVLFNFGGQSPLILYYLKMHELAGISKAPKKTKIKLTKIFQEPLPAPTYRDVIKEAKRQFARNQKDFRILQAKATKKPHEVKQDFEKFLHKLRSEAEIERECVASLSSSSSSSPSSTDNYNFEEEEY
+>DECOY_sp|Q96M60|F227B_HUMAN Protein FAM227B OS=Homo sapiens OX=9606 GN=FAM227B PE=2 SV=2
+YEEEEFNYNDTSSPSSSSSSSLSAVCEREIEAESRLKHLFKEFDQKVEHPKKTAKAQLIRFDKQNRAFQRKAEKIVDRYTPAPLPEQFIKTLKIKTKKPAKSIGALEHMKLYYLILPSQGGFNFLVRNFEPGTSSYHSKTALRSLRSEEKSIPLTYARPNNLIKIINFDISTSIHEQSDAIREKVSKAPAKKSGHITTTSLEKLKWHIWFGKQPKLGSCWLFINNGLDEKFEDNFLYSSEPFAEHFTAYIAQALCDPYIQFFADKRSLPISMFLTVYSESIRDFLCDQNERDPRFKHLFWWWFSDHLLAISAESLFHTKWIKFVREDFNHAKLIFLYIQEADLHRPLQTLENAKFGTFSCGEINKETEMEDSLMIKKKKHYKKLFEGYRELKRYSSTESIMSKWKFIESTHNQLILSYEKLKSEMILLAEFIRPVNEWLHTYISVFSSDEKIKKLTCSWKDDDRFHIERPWYDWNQFKLFEEISKPPEQMKGPGARSSRRQCTRQGAM
+>sp|Q4G0N7|F229B_HUMAN Protein FAM229B OS=Homo sapiens OX=9606 GN=FAM229B PE=3 SV=1
+MPFQFGTQPRRFPVEGGDSSIELEPGLSSSAACNGKEMSPTRQLRRCPGSHCLTITDVPVTVYATTRKPPAQSSKEMHPK
+>DECOY_sp|Q4G0N7|F229B_HUMAN Protein FAM229B OS=Homo sapiens OX=9606 GN=FAM229B PE=3 SV=1
+KPHMEKSSQAPPKRTTAYVTVPVDTITLCHSGPCRRLQRTPSMEKGNCAASSSLGPELEISSDGGEVPFRRPQTGFQFPM
+>sp|Q8N8J7|F241A_HUMAN Uncharacterized protein FAM241A OS=Homo sapiens OX=9606 GN=FAM241A PE=2 SV=2
+MCSAGELLRGGDGGERDEDGDALAEREAAGTGWDPGASPRRRGQRPKESEQDVEDSQNHTGEPVGDDYKKMGTLFGELNKNLINMGFTRMYFGERIVEPVIVIFFWVMLWFLGLQALGLVAVLCLVIIYVQQ
+>DECOY_sp|Q8N8J7|F241A_HUMAN Uncharacterized protein FAM241A OS=Homo sapiens OX=9606 GN=FAM241A PE=2 SV=2
+QQVYIIVLCLVAVLGLAQLGLFWLMVWFFIVIVPEVIREGFYMRTFGMNILNKNLEGFLTGMKKYDDGVPEGTHNQSDEVDQESEKPRQGRRRPSAGPDWGTGAAEREALADGDEDREGGDGGRLLEGASCM
+>sp|Q16875|F263_HUMAN 6-phosphofructo-2-kinase/fructose-2,6-bisphosphatase 3 OS=Homo sapiens OX=9606 GN=PFKFB3 PE=1 SV=1
+MPLELTQSRVQKIWVPVDHRPSLPRSCGPKLTNSPTVIVMVGLPARGKTYISKKLTRYLNWIGVPTKVFNVGEYRREAVKQYSSYNFFRPDNEEAMKVRKQCALAALRDVKSYLAKEGGQIAVFDATNTTRERRHMILHFAKENDFKAFFIESVCDDPTVVASNIMEVKISSPDYKDCNSAEAMDDFMKRISCYEASYQPLDPDKCDRDLSLIKVIDVGRRFLVNRVQDHIQSRIVYYLMNIHVQPRTIYLCRHGENEHNLQGRIGGDSGLSSRGKKFASALSKFVEEQNLKDLRVWTSQLKSTIQTAEALRLPYEQWKALNEIDAGVCEELTYEEIRDTYPEEYALREQDKYYYRYPTGESYQDLVQRLEPVIMELERQENVLVICHQAVLRCLLAYFLDKSAEEMPYLKCPLHTVLKLTPVAYGCRVESIYLNVESVCTHRERSEDAKKGPNPLMRRNSVTPLASPEPTKKPRINSFEEHVASTSAALPSCLPPEVPTQLPGQNMKGSRSSADSSRKH
+>DECOY_sp|Q16875|F263_HUMAN 6-phosphofructo-2-kinase/fructose-2,6-bisphosphatase 3 OS=Homo sapiens OX=9606 GN=PFKFB3 PE=1 SV=1
+HKRSSDASSRSGKMNQGPLQTPVEPPLCSPLAASTSAVHEEFSNIRPKKTPEPSALPTVSNRRMLPNPGKKADESRERHTCVSEVNLYISEVRCGYAVPTLKLVTHLPCKLYPMEEASKDLFYALLCRLVAQHCIVLVNEQRELEMIVPELRQVLDQYSEGTPYRYYYKDQERLAYEEPYTDRIEEYTLEECVGADIENLAKWQEYPLRLAEATQITSKLQSTWVRLDKLNQEEVFKSLASAFKKGRSSLGSDGGIRGQLNHENEGHRCLYITRPQVHINMLYYVIRSQIHDQVRNVLFRRGVDIVKILSLDRDCKDPDLPQYSAEYCSIRKMFDDMAEASNCDKYDPSSIKVEMINSAVVTPDDCVSEIFFAKFDNEKAFHLIMHRRERTTNTADFVAIQGGEKALYSKVDRLAALACQKRVKMAEENDPRFFNYSSYQKVAERRYEGVNFVKTPVGIWNLYRTLKKSIYTKGRAPLGVMVIVTPSNTLKPGCSRPLSPRHDVPVWIKQVRSQTLELPM
+>sp|Q4VXF1|F74A3_HUMAN Putative protein FAM74A3 OS=Homo sapiens OX=9606 GN=FAM74A3 PE=5 SV=1
+MWRELRGCPGGDVETAQRLSRRRRGKSSEAVPEKTWRAQRMSQTRESSEAVPEKTWREFRGCPGEDVERAQKLRDCPGEDMETAQTLSARRRAESSEAVPEKTWRELKGCPQEDVERVQRLSLLLHLAVFLWIIIAINFSNSGVKSQSSTYLPSGKILK
+>DECOY_sp|Q4VXF1|F74A3_HUMAN Putative protein FAM74A3 OS=Homo sapiens OX=9606 GN=FAM74A3 PE=5 SV=1
+KLIKGSPLYTSSQSKVGSNSFNIAIIIWLFVALHLLLSLRQVREVDEQPCGKLERWTKEPVAESSEARRRASLTQATEMDEGPCDRLKQAREVDEGPCGRFERWTKEPVAESSERTQSMRQARWTKEPVAESSKGRRRRSLRQATEVDGGPCGRLERWM
+>sp|Q86YD7|F90A1_HUMAN Protein FAM90A1 OS=Homo sapiens OX=9606 GN=FAM90A1 PE=1 SV=3
+MMARRDPKPGAKRLVRAQTLQKQRRAPVGPRAPPPDEEDPRLKCKNCEAFGHTARSTRCPMKCWKAALVPPNFGEKEGKENLKPWKPQVEANPGPLNKDKGEKEERPRPQDPQRKALLHIFSRKPPEKPLPNQKGSTESSDYLRVASGPMPVHTTSKRPRVDPVLSDRSATEMSDRGSVLASLSPLRKASLSSSSSLGPKERQTGAAADIPQTAVRHQGPEPLLVVKPTHSSPAGGCREVPQAASKTHGLLQAVSPQAQDKRPAVTSQPCPPAATHSLGLGSNLSFGPGAKRSAPAPIQACLNFPKKPRLGPFQIPESAIQGGELGAPENLQPPPAATELGPRTSPQTGTRTPAQVLSGDRQPPHSRPCLPTAQACTMSHHPAASHDGAQPLRVLFRRLENGRWSSSLLTAPSFHSPEKPGAFLAQSPHVSEKSEGPCVRVPPSVLYEDLQVPSSSEDSDSDLE
+>DECOY_sp|Q86YD7|F90A1_HUMAN Protein FAM90A1 OS=Homo sapiens OX=9606 GN=FAM90A1 PE=1 SV=3
+ELDSDSDESSSPVQLDEYLVSPPVRVCPGESKESVHPSQALFAGPKEPSHFSPATLLSSSWRGNELRRFLVRLPQAGDHSAAPHHSMTCAQATPLCPRSHPPQRDGSLVQAPTRTGTQPSTRPGLETAAPPPQLNEPAGLEGGQIASEPIQFPGLRPKKPFNLCAQIPAPASRKAGPGFSLNSGLGLSHTAAPPCPQSTVAPRKDQAQPSVAQLLGHTKSAAQPVERCGGAPSSHTPKVVLLPEPGQHRVATQPIDAAAGTQREKPGLSSSSSLSAKRLPSLSALVSGRDSMETASRDSLVPDVRPRKSTTHVPMPGSAVRLYDSSETSGKQNPLPKEPPKRSFIHLLAKRQPDQPRPREEKEGKDKNLPGPNAEVQPKWPKLNEKGEKEGFNPPVLAAKWCKMPCRTSRATHGFAECNKCKLRPDEEDPPPARPGVPARRQKQLTQARVLRKAGPKPDRRAMM
+>sp|A6NNH2|F90AR_HUMAN Protein FAM90A27P OS=Homo sapiens OX=9606 GN=FAM90A27P PE=3 SV=2
+MARHSVHHQAQRPPRAKNPQEQQRRPMGQTTLPAEQEESRVKCKNCGAFGHSARSKTCPIKRWSGALPLQALGSHKEKENLKPAKAQLPFTTPGPFTTNDREKERSPSPQQQQSEAPTQTFPRTPQEKMQEAWKEPAEDCLFLRHPTMPLPVHTTKKRSVLGPVSTGPPPVNKPEMRLLCPSGHNDSPQLSTCGPTKGHGRDVTASLLPVLKSSHQTPTLSARLPANRPDMSSHGALQPAMQALALGPGLKSQAEIKHPDADAKPRPQQVRKQCGQDSRTQAPDKEPAPVPTQTFQNPAKKARFSSFQTPALRTQLPDVGAVQTLQPPRTATGLGSKEAPKATAETAATKTATLQPRVNLQPAPSSPFLGPAQGCPVLQPGPPIHVPGRPGSVTFMRGDKGQKSPRFRMPPTSRPPENSASAQSPRFSRQPEGQGPQVSTSVLYEDLLVTSSSEDSDSD
+>DECOY_sp|A6NNH2|F90AR_HUMAN Protein FAM90A27P OS=Homo sapiens OX=9606 GN=FAM90A27P PE=3 SV=2
+DSDSDESSSTVLLDEYLVSTSVQPGQGEPQRSFRPSQASASNEPPRSTPPMRFRPSKQGKDGRMFTVSGPRGPVHIPPGPQLVPCGQAPGLFPSSPAPQLNVRPQLTATKTAATEATAKPAEKSGLGTATRPPQLTQVAGVDPLQTRLAPTQFSSFRAKKAPNQFTQTPVPAPEKDPAQTRSDQGCQKRVQQPRPKADADPHKIEAQSKLGPGLALAQMAPQLAGHSSMDPRNAPLRASLTPTQHSSKLVPLLSATVDRGHGKTPGCTSLQPSDNHGSPCLLRMEPKNVPPPGTSVPGLVSRKKTTHVPLPMTPHRLFLCDEAPEKWAEQMKEQPTRPFTQTPAESQQQQPSPSREKERDNTTFPGPTTFPLQAKAPKLNEKEKHSGLAQLPLAGSWRKIPCTKSRASHGFAGCNKCKVRSEEQEAPLTTQGMPRRQQEQPNKARPPRQAQHHVSHRAM
+>sp|P0C866|F91A2_HUMAN Putative uncharacterized protein encoded by LINC00869 OS=Homo sapiens OX=9606 GN=LINC00869 PE=5 SV=1
+MLLSWGGGESRRPVQEASSATDTDTNSQEDPADTASVRSLSLSAGHTKHIAFLFDSTLTAFLMMGNLSPVQSTGEREAQRYFEHALTLRNTTLFLRHNKDLVVQTAQPDQPNYGFPLDLLRCESLLGLDPATGSRVLNKNYTLLVSMAPLTNEIRPVSSCTPQHIGPAIPEVSSVWFKQYIYVYHITGQGPPSLLLSKGTRPRKLPDIFQSYDRLLITSWGHDPGVVPTSNVLTMLNDALTHSAVLIQEHGLHGIGETVHVPFPFDETELQEDSCQYGCS
+>DECOY_sp|P0C866|F91A2_HUMAN Putative uncharacterized protein encoded by LINC00869 OS=Homo sapiens OX=9606 GN=LINC00869 PE=5 SV=1
+SCGYQCSDEQLETEDFPFPVHVTEGIGHLGHEQILVASHTLADNLMTLVNSTPVVGPDHGWSTILLRDYSQFIDPLKRPRTGKSLLLSPPGQGTIHYVYIYQKFWVSSVEPIAPGIHQPTCSSVPRIENTLPAMSVLLTYNKNLVRSGTAPDLGLLSECRLLDLPFGYNPQDPQATQVVLDKNHRLFLTTNRLTLAHEFYRQAEREGTSQVPSLNGMMLFATLTSDFLFAIHKTHGASLSLSRVSATDAPDEQSNTDTDTASSAEQVPRRSEGGGWSLLM
+>sp|Q8NE31|FA13C_HUMAN Protein FAM13C OS=Homo sapiens OX=9606 GN=FAM13C PE=1 SV=2
+MFSCFCFSLQDNSFSSTTVTECDEDPVSLHEDQTDCSSLRDENNKENYPDAGALVEEHAPPSWEPQQQNVEATVLVDSVLRPSMGNFKSRKPKSIFKAESGRSHGESQETEHVVSSQSECQVRAGTPAHESPQNNAFKCQETVRLQPRIDQRTAISPKDAFETRQDLNEEEAAQVHGVKDPAPASTQSVLADGTDSADPSPVHKDGQNEADSAPEDLHSVGTSRLLYHITDGDNPLLSPRCSIFSQSQRFNLDPESAPSPPSTQQFMMPRSSSRCSCGDGKEPQTITQLTKHIQSLKRKIRKFEEKFEQEKKYRPSHGDKTSNPEVLKWMNDLAKGRKQLKELKLKLSEEQGSAPKGPPRNLLCEQPTVPRENGKPEAAGPEPSSSGEETPDAALTCLKERREQLPPQEDSKVTKQDKNLIKPLYDRYRIIKQILSTPSLIPTIQEEEDSDEDRPQGSQQPSLADPASHLPVGDHLTYSNETEPVRALLPDEKKEVKPPALSMSNLHEATMPVLLDHLRETRADKKRLRKALREFEEQFFKQTGRSPQKEDRIPMADEYYEYKHIKAKLRLLEVLISKQDVAKTI
+>DECOY_sp|Q8NE31|FA13C_HUMAN Protein FAM13C OS=Homo sapiens OX=9606 GN=FAM13C PE=1 SV=2
+ITKAVDQKSILVELLRLKAKIHKYEYYEDAMPIRDEKQPSRGTQKFFQEEFERLAKRLRKKDARTERLHDLLVPMTAEHLNSMSLAPPKVEKKEDPLLARVPETENSYTLHDGVPLHSAPDALSPQQSGQPRDEDSDEEEQITPILSPTSLIQKIIRYRDYLPKILNKDQKTVKSDEQPPLQERREKLCTLAADPTEEGSSSPEPGAAEPKGNERPVTPQECLLNRPPGKPASGQEESLKLKLEKLQKRGKALDNMWKLVEPNSTKDGHSPRYKKEQEFKEEFKRIKRKLSQIHKTLQTITQPEKGDGCSCRSSSRPMMFQQTSPPSPASEPDLNFRQSQSFISCRPSLLPNDGDTIHYLLRSTGVSHLDEPASDAENQGDKHVPSPDASDTGDALVSQTSAPAPDKVGHVQAAEEENLDQRTEFADKPSIATRQDIRPQLRVTEQCKFANNQPSEHAPTGARVQCESQSSVVHETEQSEGHSRGSEAKFISKPKRSKFNGMSPRLVSDVLVTAEVNQQQPEWSPPAHEEVLAGADPYNEKNNEDRLSSCDTQDEHLSVPDEDCETVTTSSFSNDQLSFCFCSFM
+>sp|Q96MK3|FA20A_HUMAN Pseudokinase FAM20A OS=Homo sapiens OX=9606 GN=FAM20A PE=1 SV=4
+MPGLRRDRLLTLLLLGALLSADLYFHLWPQVQRQLRPRERPRGCPCTGRASSLARDSAAAASDPGTIVHNFSRTEPRTEPAGGSHSGSSSKLQALFAHPLYNVPEEPPLLGAEDSLLASQEALRYYRRKVARWNRRHKMYREQMNLTSLDPPLQLRLEASWVQFHLGINRHGLYSRSSPVVSKLLQDMRHFPTISADYSQDEKALLGACDCTQIVKPSGVHLKLVLRFSDFGKAMFKPMRQQRDEETPVDFFYFIDFQRHNAEIAAFHLDRILDFRRVPPTVGRIVNVTKEILEVTKNEILQSVFFVSPASNVCFFAKCPYMCKTEYAVCGNPHLLEGSLSAFLPSLNLAPRLSVPNPWIRSYTLAGKEEWEVNPLYCDTVKQIYPYNNSQRLLNVIDMAIFDFLIGNMDRHHYEMFTKFGDDGFLIHLDNARGFGRHSHDEISILSPLSQCCMIKKKTLLHLQLLAQADYRLSDVMRESLLEDQLSPVLTEPHLLALDRRLQTILRTVEGCIVAHGQQSVIVDGPVEQLAPDSGQANLTS
+>DECOY_sp|Q96MK3|FA20A_HUMAN Pseudokinase FAM20A OS=Homo sapiens OX=9606 GN=FAM20A PE=1 SV=4
+STLNAQGSDPALQEVPGDVIVSQQGHAVICGEVTRLITQLRRDLALLHPETLVPSLQDELLSERMVDSLRYDAQALLQLHLLTKKKIMCCQSLPSLISIEDHSHRGFGRANDLHILFGDDGFKTFMEYHHRDMNGILFDFIAMDIVNLLRQSNNYPYIQKVTDCYLPNVEWEEKGALTYSRIWPNPVSLRPALNLSPLFASLSGELLHPNGCVAYETKCMYPCKAFFCVNSAPSVFFVSQLIENKTVELIEKTVNVIRGVTPPVRRFDLIRDLHFAAIEANHRQFDIFYFFDVPTEEDRQQRMPKFMAKGFDSFRLVLKLHVGSPKVIQTCDCAGLLAKEDQSYDASITPFHRMDQLLKSVVPSSRSYLGHRNIGLHFQVWSAELRLQLPPDLSTLNMQERYMKHRRNWRAVKRRYYRLAEQSALLSDEAGLLPPEEPVNYLPHAFLAQLKSSSGSHSGGAPETRPETRSFNHVITGPDSAAAASDRALSSARGTCPCGRPRERPRLQRQVQPWLHFYLDASLLAGLLLLTLLRDRRLGPM
+>sp|Q8IXL6|FA20C_HUMAN Extracellular serine/threonine protein kinase FAM20C OS=Homo sapiens OX=9606 GN=FAM20C PE=1 SV=2
+MKMMLVRRFRVLILMVFLVACALHIALDLLPRLERRGARPSGEPGCSCAQPAAEVAAPGWAQVRGRPGEPPAASSAAGDAGWPNKHTLRILQDFSSDPSSNLSSHSLEKLPPAAEPAERALRGRDPGALRPHDPAHRPLLRDPGPRRSESPPGPGGDASLLARLFEHPLYRVAVPPLTEEDVLFNVNSDTRLSPKAAENPDWPHAGAEGAEFLSPGEAAVDSYPNWLKFHIGINRYELYSRHNPAIEALLHDLSSQRITSVAMKSGGTQLKLIMTFQNYGQALFKPMKQTREQETPPDFFYFSDYERHNAEIAAFHLDRILDFRRVPPVAGRMVNMTKEIRDVTRDKKLWRTFFISPANNICFYGECSYYCSTEHALCGKPDQIEGSLAAFLPDLSLAKRKTWRNPWRRSYHKRKKAEWEVDPDYCEEVKQTPPYDSSHRILDVMDMTIFDFLMGNMDRHHYETFEKFGNETFIIHLDNGRGFGKYSHDELSILVPLQQCCRIRKSTYLRLQLLAKEEYKLSLLMAESLRGDQVAPVLYQPHLEALDRRLRVVLKAVRDCVERNGLHSVVDDDLDTEHRAASAR
+>DECOY_sp|Q8IXL6|FA20C_HUMAN Extracellular serine/threonine protein kinase FAM20C OS=Homo sapiens OX=9606 GN=FAM20C PE=1 SV=2
+RASAARHETDLDDDVVSHLGNREVCDRVAKLVVRLRRDLAELHPQYLVPAVQDGRLSEAMLLSLKYEEKALLQLRLYTSKRIRCCQQLPVLISLEDHSYKGFGRGNDLHIIFTENGFKEFTEYHHRDMNGMLFDFITMDMVDLIRHSSDYPPTQKVEECYDPDVEWEAKKRKHYSRRWPNRWTKRKALSLDPLFAALSGEIQDPKGCLAHETSCYYSCEGYFCINNAPSIFFTRWLKKDRTVDRIEKTMNVMRGAVPPVRRFDLIRDLHFAAIEANHREYDSFYFFDPPTEQERTQKMPKFLAQGYNQFTMILKLQTGGSKMAVSTIRQSSLDHLLAEIAPNHRSYLEYRNIGIHFKLWNPYSDVAAEGPSLFEAGEAGAHPWDPNEAAKPSLRTDSNVNFLVDEETLPPVAVRYLPHEFLRALLSADGGPGPPSESRRPGPDRLLPRHAPDHPRLAGPDRGRLAREAPEAAPPLKELSHSSLNSSPDSSFDQLIRLTHKNPWGADGAASSAAPPEGPRGRVQAWGPAAVEAAPQACSCGPEGSPRAGRRELRPLLDLAIHLACAVLFVMLILVRFRRVLMMKM
+>sp|A6NHR8|FA47D_HUMAN Putative protein FAM47D OS=Homo sapiens OX=9606 GN=FAM47DP PE=5 SV=3
+MGDQRPRDRPRSPGMDCKPWYCDKPPSKYIAKRKHRRLRFPPMDTQNWVFVKESMDSFHYGCPSPEDMLICRLNEFLLPKISHRGPQADPKSRQKKLLKKVALFSKLLPAQPAWKAFVEEAQLMAKHPLAMYPNLGEDMPPDLLLQMLKLLDPERKLEKAWAYCEGREKTIKEPTKPEPPKAPVSHHFLEPPKIRASCLKELLQEDTPSTTECVSDSLQHRYTSRKMHDFKWARDMGVDEESIRNLFDFTPKWRATYEDQQIKKIKEWVSELQYRIKLDEMDEVESSQEKDWDRKLQMAPNSYTAQCVKMRYGVWYLKPKLGKKLRSDQPLIDPKLLLEKPDEPDILDDLYGPIAFKDFILSKGYEMPGIIERLCARKGWTYDSVKTPVQRAMRLYK
+>DECOY_sp|A6NHR8|FA47D_HUMAN Putative protein FAM47D OS=Homo sapiens OX=9606 GN=FAM47DP PE=5 SV=3
+KYLRMARQVPTKVSDYTWGKRACLREIIGPMEYGKSLIFDKFAIPGYLDDLIDPEDPKELLLKPDILPQDSRLKKGLKPKLYWVGYRMKVCQATYSNPAMQLKRDWDKEQSSEVEDMEDLKIRYQLESVWEKIKKIQQDEYTARWKPTFDFLNRISEEDVGMDRAWKFDHMKRSTYRHQLSDSVCETTSPTDEQLLEKLCSARIKPPELFHHSVPAKPPEPKTPEKITKERGECYAWAKELKREPDLLKLMQLLLDPPMDEGLNPYMALPHKAMLQAEEVFAKWAPQAPLLKSFLAVKKLLKKQRSKPDAQPGRHSIKPLLFENLRCILMDEPSPCGYHFSDMSEKVFVWNQTDMPPFRLRRHKRKAIYKSPPKDCYWPKCDMGPSRPRDRPRQDGM
+>sp|Q5VUD6|FA69B_HUMAN Protein FAM69B OS=Homo sapiens OX=9606 GN=FAM69B PE=1 SV=3
+MRRLRRLAHLVLFCPFSKRLQGRLPGLRVRCIFLAWLGVFAGSWLVYVHYSSYSERCRGHVCQVVICDQYRKGIISGSVCQDLCELHMVEWRTCLSVAPGQQVYSGLWRDKDVTIKCGIEETLDSKARSDAAPRRELVLFDKPTRGTSIKEFREMTLSFLKANLGDLPSLPALVGQVLLMADFNKDNRVSLAEAKSVWALLQRNEFLLLLSLQEKEHASRLLGYCGDLYLTEGVPHGAWHAAALPPLLRPLLPPALQGALQQWLGPAWPWRAKIAIGLLEFVEELFHGSYGTFYMCETTLANVGYTATYDFKMADLQQVAPEATVRRFLQGRRCEHSTDCTYGRDCRAPCDRLMRQCKGDLIQPNLAKVCALLRGYLLPGAPADLREELGTQLRTCTTLSGLASQVEAHHSLVLSHLKTLLWKKISNTKYS
+>DECOY_sp|Q5VUD6|FA69B_HUMAN Protein FAM69B OS=Homo sapiens OX=9606 GN=FAM69B PE=1 SV=3
+SYKTNSIKKWLLTKLHSLVLSHHAEVQSALGSLTTCTRLQTGLEERLDAPAGPLLYGRLLACVKALNPQILDGKCQRMLRDCPARCDRGYTCDTSHECRRGQLFRRVTAEPAVQQLDAMKFDYTATYGVNALTTECMYFTGYSGHFLEEVFELLGIAIKARWPWAPGLWQQLAGQLAPPLLPRLLPPLAAAHWAGHPVGETLYLDGCYGLLRSAHEKEQLSLLLLFENRQLLAWVSKAEALSVRNDKNFDAMLLVQGVLAPLSPLDGLNAKLFSLTMERFEKISTGRTPKDFLVLERRPAADSRAKSDLTEEIGCKITVDKDRWLGSYVQQGPAVSLCTRWEVMHLECLDQCVSGSIIGKRYQDCIVVQCVHGRCRESYSSYHVYVLWSGAFVGLWALFICRVRLGPLRGQLRKSFPCFLVLHALRRLRRM
+>sp|Q8NEG0|FA71C_HUMAN Protein FAM71C OS=Homo sapiens OX=9606 GN=FAM71C PE=1 SV=1
+MEDCCMLPYYTAQSSPAMGMFNTSMGKLQRQLYKGEYTIFRYAPMFESDFIQISKRGEVIDVHNRARMVTMGIVRTSPCLTLPDVMLLARPAAVCDNARCGPATQKRESPPAEILELTRLLPLMFVKITIHNSVKKQLHLKLATGRSFYLQLCPPSDASEDLFVHWENLVYILRPPVEAYSDTRAILAGNTLDSSVLEEVQRSPVGYAMKFCEEKEQFRISRLHMNAEMFGSTYCDYTIEI
+>DECOY_sp|Q8NEG0|FA71C_HUMAN Protein FAM71C OS=Homo sapiens OX=9606 GN=FAM71C PE=1 SV=1
+IEITYDCYTSGFMEANMHLRSIRFQEKEECFKMAYGVPSRQVEELVSSDLTNGALIARTDSYAEVPPRLIYVLNEWHVFLDESADSPPCLQLYFSRGTALKLHLQKKVSNHITIKVFMLPLLRTLELIEAPPSERKQTAPGCRANDCVAAPRALLMVDPLTLCPSTRVIGMTVMRARNHVDIVEGRKSIQIFDSEFMPAYRFITYEGKYLQRQLKGMSTNFMGMAPSSQATYYPLMCCDEM
+>sp|H0Y354|FA72C_HUMAN Protein FAM72C OS=Homo sapiens OX=9606 GN=FAM72C PE=3 SV=2
+MSTNICSFKDRCVSILCCKFCKQVLSSRGMKAVLLADTEIDLFSTDIPPTNAVDFTGRCYFTKICKCKLKDIACLKCGNIVVYHVIVPCSSCLLSCNNRHFWMFHSQAVYDINRLDSTGVNVLLRGNLPEIEESTDEDVLNISAEECIR
+>DECOY_sp|H0Y354|FA72C_HUMAN Protein FAM72C OS=Homo sapiens OX=9606 GN=FAM72C PE=3 SV=2
+RICEEASINLVDEDTSEEIEPLNGRLLVNVGTSDLRNIDYVAQSHFMWFHRNNCSLLCSSCPVIVHYVVINGCKLCAIDKLKCKCIKTFYCRGTFDVANTPPIDTSFLDIETDALLVAKMGRSSLVQKCFKCCLISVCRDKFSCINTSM
+>sp|P08709|FA7_HUMAN Coagulation factor VII OS=Homo sapiens OX=9606 GN=F7 PE=1 SV=1
+MVSQALRLLCLLLGLQGCLAAGGVAKASGGETRDMPWKPGPHRVFVTQEEAHGVLHRRRRANAFLEELRPGSLERECKEEQCSFEEAREIFKDAERTKLFWISYSDGDQCASSPCQNGGSCKDQLQSYICFCLPAFEGRNCETHKDDQLICVNENGGCEQYCSDHTGTKRSCRCHEGYSLLADGVSCTPTVEYPCGKIPILEKRNASKPQGRIVGGKVCPKGECPWQVLLLVNGAQLCGGTLINTIWVVSAAHCFDKIKNWRNLIAVLGEHDLSEHDGDEQSRRVAQVIIPSTYVPGTTNHDIALLRLHQPVVLTDHVVPLCLPERTFSERTLAFVRFSLVSGWGQLLDRGATALELMVLNVPRLMTQDCLQQSRKVGDSPNITEYMFCAGYSDGSKDSCKGDSGGPHATHYRGTWYLTGIVSWGQGCATVGHFGVYTRVSQYIEWLQKLMRSEPRPGVLLRAPFP
+>DECOY_sp|P08709|FA7_HUMAN Coagulation factor VII OS=Homo sapiens OX=9606 GN=F7 PE=1 SV=1
+PFPARLLVGPRPESRMLKQLWEIYQSVRTYVGFHGVTACGQGWSVIGTLYWTGRYHTAHPGGSDGKCSDKSGDSYGACFMYETINPSDGVKRSQQLCDQTMLRPVNLVMLELATAGRDLLQGWGSVLSFRVFALTRESFTREPLCLPVVHDTLVVPQHLRLLAIDHNTTGPVYTSPIIVQAVRRSQEDGDHESLDHEGLVAILNRWNKIKDFCHAASVVWITNILTGGCLQAGNVLLLVQWPCEGKPCVKGGVIRGQPKSANRKELIPIKGCPYEVTPTCSVGDALLSYGEHCRCSRKTGTHDSCYQECGGNENVCILQDDKHTECNRGEFAPLCFCIYSQLQDKCSGGNQCPSSACQDGDSYSIWFLKTREADKFIERAEEFSCQEEKCERELSGPRLEELFANARRRRHLVGHAEEQTVFVRHPGPKWPMDRTEGGSAKAVGGAALCGQLGLLLCLLRLAQSVM
+>sp|Q9BQN1|FA83C_HUMAN Protein FAM83C OS=Homo sapiens OX=9606 GN=FAM83C PE=1 SV=3
+MFGGPGPGVLGAQGMAGPLRGRVEELKLPWWRESSPLVLRHSEAARLAADALLERGEAAYLRVISEERELPFLSALDVDYMTSHVRGGPELSEAQGQEASGPDRLSLLSEVTSGTYFPMASDIDPPDLDLGWPEVPQATGFSPTQAVVHFQRDKAKNIKDLLRFLFSQAHTVVAVVMDIFTDMELLCDLMEASSRRGVPVYLLLAQEHLRHFLEMCYKMDLNGEHLPNMRVRSTCGDTYCSKAGRRFTGQALEKFVLIDCEQVVAGSYSFTWLCSQAHTSMVLQLRGRIVEDFDREFRCLYAESQPVEGFCGGEDPLSPRALRPPPVALAFRPDVPSPTSSLPSSTSLSSIKQSPLMGRSSYLALPGGGDCSDTGVVSSSLGPARREASGQPSLHRQLSDPNHGSPPGLYRANLGKLGAYPWSQSSPALNHNSTSPLTLAVGSPLLPRSRPLLQFHRGAPALSRFPENGLPGSQEPSPLRGRWVPGTTLETVEEKEKKASPSQSRGQLDLLVPFPRAREVGDPDSGVTPNSGPLRPGEQAPEDRRLSPSQADSQLDLLSRALGTGGAPELGSLRPGDRALEDRRLSLNQSRGQSDLLMQYPKAQGSRVPLETNSSARPARRAPDERRQTLGHSQLDLITKFGPFRGEGPGPNGLPISSPARTAGAGSGDEKRLTLGHSKLDLITKYHQLHGARQGTEPGGPKGGHLNGGNSDLVRDEKRLTLGHSKLDLITKYNKSKFKQLRSRFES
+>DECOY_sp|Q9BQN1|FA83C_HUMAN Protein FAM83C OS=Homo sapiens OX=9606 GN=FAM83C PE=1 SV=3
+SEFRSRLQKFKSKNYKTILDLKSHGLTLRKEDRVLDSNGGNLHGGKPGGPETGQRAGHLQHYKTILDLKSHGLTLRKEDGSGAGATRAPSSIPLGNPGPGEGRFPGFKTILDLQSHGLTQRREDPARRAPRASSNTELPVRSGQAKPYQMLLDSQGRSQNLSLRRDELARDGPRLSGLEPAGGTGLARSLLDLQSDAQSPSLRRDEPAQEGPRLPGSNPTVGSDPDGVERARPFPVLLDLQGRSQSPSAKKEKEEVTELTTGPVWRGRLPSPEQSGPLGNEPFRSLAPAGRHFQLLPRSRPLLPSGVALTLPSTSNHNLAPSSQSWPYAGLKGLNARYLGPPSGHNPDSLQRHLSPQGSAERRAPGLSSSVVGTDSCDGGGPLALYSSRGMLPSQKISSLSTSSPLSSTPSPVDPRFALAVPPPRLARPSLPDEGGCFGEVPQSEAYLCRFERDFDEVIRGRLQLVMSTHAQSCLWTFSYSGAVVQECDILVFKELAQGTFRRGAKSCYTDGCTSRVRMNPLHEGNLDMKYCMELFHRLHEQALLLYVPVGRRSSAEMLDCLLEMDTFIDMVVAVVTHAQSFLFRLLDKINKAKDRQFHVVAQTPSFGTAQPVEPWGLDLDPPDIDSAMPFYTGSTVESLLSLRDPGSAEQGQAESLEPGGRVHSTMYDVDLASLFPLEREESIVRLYAAEGRELLADAALRAAESHRLVLPSSERWWPLKLEEVRGRLPGAMGQAGLVGPGPGGFM
+>sp|Q2M2I3|FA83E_HUMAN Protein FAM83E OS=Homo sapiens OX=9606 GN=FAM83E PE=1 SV=2
+MAASQLAALEGVDSGPRVPGASPGFLYSEGQRLALEALLSKGAEAFQTCVQREELWPFLSADEVQGLAAAAEDWTVAKQEPSGMAEGATTTDVDAGSLSYWPGQSEQPAPVLRLGWPVDSAWKGITRAQLYTQPPGEGQPPLKELVRLEIQAAHKLVAVVMDVFTDPDLLLDLVDAATRRWVPVYLLLDRQQLPAFLELAQQLGVNPWNTENVDVRVVRGCSFQSRWRRQVSGTVREKFVLLDGERVISGSYSFTWSDARLHRGLVTLLTGEIVDAFSLEFRTLYAASCPLPPAPPQKPSVIGGLQRGRSPHRVSRRRSVAPASPPPPDGPLAHRLAACRVSPATPGPALSDILRSVQRARTPSGPPARPSRSMWDLSRLSQLSGSSDGDNELKKSWGSKDTPAKALMRQRGTGGGPWGEVDSRPPWGGALPLPPAHRLRYLSPARRRFGGDATFKLQEPRGVRPSDWAPRAGLGGQP
+>DECOY_sp|Q2M2I3|FA83E_HUMAN Protein FAM83E OS=Homo sapiens OX=9606 GN=FAM83E PE=1 SV=2
+PQGGLGARPAWDSPRVGRPEQLKFTADGGFRRRAPSLYRLRHAPPLPLAGGWPPRSDVEGWPGGGTGRQRMLAKAPTDKSGWSKKLENDGDSSGSLQSLRSLDWMSRSPRAPPGSPTRARQVSRLIDSLAPGPTAPSVRCAALRHALPGDPPPPSAPAVSRRRSVRHPSRGRQLGGIVSPKQPPAPPLPCSAAYLTRFELSFADVIEGTLLTVLGRHLRADSWTFSYSGSIVREGDLLVFKERVTGSVQRRWRSQFSCGRVVRVDVNETNWPNVGLQQALELFAPLQQRDLLLYVPVWRRTAADVLDLLLDPDTFVDMVVAVLKHAAQIELRVLEKLPPQGEGPPQTYLQARTIGKWASDVPWGLRLVPAPQESQGPWYSLSGADVDTTTAGEAMGSPEQKAVTWDEAAAALGQVEDASLFPWLEERQVCTQFAEAGKSLLAELALRQGESYLFGPSAGPVRPGSDVGELAALQSAAM
+>sp|A6ND36|FA83G_HUMAN Protein FAM83G OS=Homo sapiens OX=9606 GN=FAM83G PE=1 SV=2
+MAFSQVQCLDDNHVNWRSSESKPEFFYSEEQRLALEALVARGRDAFYEVLKRENIRDFLSELELKRILETIEVYDPGSEDPRGTGPSQGPEDNGVGDGEEASGADGVPIEAEPLPSLEYWPQKSDRSIPQLDLGWPDTIAYRGVTRASVYMQPPIDGQAHIKEVVRKMISQAQKVIAVVMDMFTDVDIFKDLLDAGFKRKVAVYIIVDESNVKYFLHMCERACMHLGHLKNLRVRSSGGTEFFTRSATKFKGALAQKFMFVDGDRAVCGSYSFTWSAARTDRNVISVLSGQVVEMFDRQFQELYLMSHSVSLKGIPMEKEPEPEPIVLPSVVPLVPAGTVAKKLVNPKYALVKAKSVDEIAKISSEKQEAKKPLGLKGPALAEHPGELPELLPPIHPGLLHLERANMFEYLPTWVEPDPEPGSDILGYINIIDPNIWNPQPSQMNRIKIRDTSQASAQHQLWKQSQDSRPRPEPCPPPEPSAPQDGVPAENGLPQGDPEPLPPVPKPRTVPVADVLARDSSDIGWVLELPKEEAPQNGTDHRLPRMAGPGHAPLQRQLSVTQDDPESLGVGLPNGLDGVEEEDDDDYVTLSDQDSHSGSSGRGPGPRRPSVASSVSEEYFEVREHSVPLRRRHSEQVANGPTPPPRRQLSAPHITRGTFVGPQGGSPWAQSRGREEADALKRMQAQRSTDKEAQGQQFHHHRVPASGTRDKDGFPGPPRYRSAADSVQSSTRNAGPAMAGPHHWQAKGGQVPRLLPDPGSPRLAQNARPMTDGRATEEHPSPFGIPYSKLSQSKHLKARTGGSQWASSDSKRRAQAPRDRKDP
+>DECOY_sp|A6ND36|FA83G_HUMAN Protein FAM83G OS=Homo sapiens OX=9606 GN=FAM83G PE=1 SV=2
+PDKRDRPAQARRKSDSSAWQSGGTRAKLHKSQSLKSYPIGFPSPHEETARGDTMPRANQALRPSGPDPLLRPVQGGKAQWHHPGAMAPGANRTSSQVSDAASRYRPPGPFGDKDRTGSAPVRHHHFQQGQAEKDTSRQAQMRKLADAEERGRSQAWPSGGQPGVFTGRTIHPASLQRRPPPTPGNAVQESHRRRLPVSHERVEFYEESVSSAVSPRRPGPGRGSSGSHSDQDSLTVYDDDDEEEVGDLGNPLGVGLSEPDDQTVSLQRQLPAHGPGAMRPLRHDTGNQPAEEKPLELVWGIDSSDRALVDAVPVTRPKPVPPLPEPDGQPLGNEAPVGDQPASPEPPPCPEPRPRSDQSQKWLQHQASAQSTDRIKIRNMQSPQPNWINPDIINIYGLIDSGPEPDPEVWTPLYEFMNARELHLLGPHIPPLLEPLEGPHEALAPGKLGLPKKAEQKESSIKAIEDVSKAKVLAYKPNVLKKAVTGAPVLPVVSPLVIPEPEPEKEMPIGKLSVSHSMLYLEQFQRDFMEVVQGSLVSIVNRDTRAASWTFSYSGCVARDGDVFMFKQALAGKFKTASRTFFETGGSSRVRLNKLHGLHMCARECMHLFYKVNSEDVIIYVAVKRKFGADLLDKFIDVDTFMDMVVAIVKQAQSIMKRVVEKIHAQGDIPPQMYVSARTVGRYAITDPWGLDLQPISRDSKQPWYELSPLPEAEIPVGDAGSAEEGDGVGNDEPGQSPGTGRPDESGPDYVEITELIRKLELESLFDRINERKLVEYFADRGRAVLAELALRQEESYFFEPKSESSRWNVHNDDLCQVQSFAM
+>sp|Q96KN1|FA84B_HUMAN Protein FAM84B OS=Homo sapiens OX=9606 GN=FAM84B PE=1 SV=1
+MGNQVEKLTHLSYKEVPTADPTGVDRDDGPRIGVSYIFSNDDEDVEPQPPPQGPDGGGLPDGGDGPPPPQPQPYDPRLHEVECSVFYRDECIYQKSFAPGSAALSTYTPENLLNKCKPGDLVEFVSQAQYPHWAVYVGNFQVVHLHRLEVINSFLTDASQGRRGRVVNDLYRYKPLSSSAVVRNALAHVGAKERELSWRNSESFAAWCRYGKREFKIGGELRIGKQPYRLQIQLSAQRSHTLEFQSLEDLIMEKRRNDQIGRAAVLQELATHLHPAEPEEGDSNVARTTPPPGRPPAPSSEEEDGEAVAH
+>DECOY_sp|Q96KN1|FA84B_HUMAN Protein FAM84B OS=Homo sapiens OX=9606 GN=FAM84B PE=1 SV=1
+HAVAEGDEEESSPAPPRGPPPTTRAVNSDGEEPEAPHLHTALEQLVAARGIQDNRRKEMILDELSQFELTHSRQASLQIQLRYPQKGIRLEGGIKFERKGYRCWAAFSESNRWSLEREKAGVHALANRVVASSSLPKYRYLDNVVRGRRGQSADTLFSNIVELRHLHVVQFNGVYVAWHPYQAQSVFEVLDGPKCKNLLNEPTYTSLAASGPAFSKQYICEDRYFVSCEVEHLRPDYPQPQPPPPGDGGDPLGGGDPGQPPPQPEVDEDDNSFIYSVGIRPGDDRDVGTPDATPVEKYSLHTLKEVQNGM
+>sp|Q17RN3|FA98C_HUMAN Protein FAM98C OS=Homo sapiens OX=9606 GN=FAM98C PE=2 SV=1
+MEAVKAEAWEGAAVAQDLLALGYGGVPGAASRGASCPDFRGLCVRLAAELATLGALEQQREAGAEVLSAGDGPGAEEDFLRQLGSLLRELHCPDRALCGGDGAAALREPGAGLRLLRFLCSELQATRLLCLRSLLDPSPRPPLGEGVVEGAGMVQELDLTLQALGLPRPAPGTPASQLLQELHAKISELQPSLPPGSLQPLLSCSLDAPRWEALESLSQSLRDQYRCRRCLLLKRLDLTTSAFHWSDRAEAQGEAMRAVLIPIREVLTPESDISIAHVLAARADLSCLVPATSVAVRRGTCCAINKVLMGNVPDRGGRPNELEPPMPTWRSRREDGGPQCWGRKKKKKK
+>DECOY_sp|Q17RN3|FA98C_HUMAN Protein FAM98C OS=Homo sapiens OX=9606 GN=FAM98C PE=2 SV=1
+KKKKKKRGWCQPGGDERRSRWTPMPPELENPRGGRDPVNGMLVKNIACCTGRRVAVSTAPVLCSLDARAALVHAISIDSEPTLVERIPILVARMAEGQAEARDSWHFASTTLDLRKLLLCRRCRYQDRLSQSLSELAEWRPADLSCSLLPQLSGPPLSPQLESIKAHLEQLLQSAPTGPAPRPLGLAQLTLDLEQVMGAGEVVGEGLPPRPSPDLLSRLCLLRTAQLESCLFRLLRLGAGPERLAAAGDGGCLARDPCHLERLLSGLQRLFDEEAGPGDGASLVEAGAERQQELAGLTALEAALRVCLGRFDPCSAGRSAAGPVGGYGLALLDQAVAAGEWAEAKVAEM
+>sp|O00519|FAAH1_HUMAN Fatty-acid amide hydrolase 1 OS=Homo sapiens OX=9606 GN=FAAH PE=1 SV=2
+MVQYELWAALPGASGVALACCFVAAAVALRWSGRRTARGAVVRARQRQRAGLENMDRAAQRFRLQNPDLDSEALLALPLPQLVQKLHSRELAPEAVLFTYVGKAWEVNKGTNCVTSYLADCETQLSQAPRQGLLYGVPVSLKECFTYKGQDSTLGLSLNEGVPAECDSVVVHVLKLQGAVPFVHTNVPQSMFSYDCSNPLFGQTVNPWKSSKSPGGSSGGEGALIGSGGSPLGLGTDIGGSIRFPSSFCGICGLKPTGNRLSKSGLKGCVYGQEAVRLSVGPMARDVESLALCLRALLCEDMFRLDPTVPPLPFREEVYTSSQPLRVGYYETDNYTMPSPAMRRAVLETKQSLEAAGHTLVPFLPSNIPHALETLSTGGLFSDGGHTFLQNFKGDFVDPCLGDLVSILKLPQWLKGLLAFLVKPLLPRLSAFLSNMKSRSAGKLWELQHEIEVYRKTVIAQWRALDLDVVLTPMLAPALDLNAPGRATGAVSYTMLYNCLDFPAGVVPVTTVTAEDEAQMEHYRGYFGDIWDKMLQKGMKKSVGLPVAVQCVALPWQEELCLRFMREVERLMTPEKQSS
+>DECOY_sp|O00519|FAAH1_HUMAN Fatty-acid amide hydrolase 1 OS=Homo sapiens OX=9606 GN=FAAH PE=1 SV=2
+SSQKEPTMLREVERMFRLCLEEQWPLAVCQVAVPLGVSKKMGKQLMKDWIDGFYGRYHEMQAEDEATVTTVPVVGAPFDLCNYLMTYSVAGTARGPANLDLAPALMPTLVVDLDLARWQAIVTKRYVEIEHQLEWLKGASRSKMNSLFASLRPLLPKVLFALLGKLWQPLKLISVLDGLCPDVFDGKFNQLFTHGGDSFLGGTSLTELAHPINSPLFPVLTHGAAELSQKTELVARRMAPSPMTYNDTEYYGVRLPQSSTYVEERFPLPPVTPDLRFMDECLLARLCLALSEVDRAMPGVSLRVAEQGYVCGKLGSKSLRNGTPKLGCIGCFSSPFRISGGIDTGLGLPSGGSGILAGEGGSSGGPSKSSKWPNVTQGFLPNSCDYSFMSQPVNTHVFPVAGQLKLVHVVVSDCEAPVGENLSLGLTSDQGKYTFCEKLSVPVGYLLGQRPAQSLQTECDALYSTVCNTGKNVEWAKGVYTFLVAEPALERSHLKQVLQPLPLALLAESDLDPNQLRFRQAARDMNELGARQRQRARVVAGRATRRGSWRLAVAAAVFCCALAVGSAGPLAAWLEYQVM
+>sp|Q9BXW9|FACD2_HUMAN Fanconi anemia group D2 protein OS=Homo sapiens OX=9606 GN=FANCD2 PE=1 SV=2
+MVSKRRLSKSEDKESLTEDASKTRKQPLSKKTKKSHIANEVEENDSIFVKLLKISGIILKTGESQNQLAVDQIAFQKKLFQTLRRHPSYPKIIEEFVSGLESYIEDEDSFRNCLLSCERLQDEEASMGASYSKSLIKLLLGIDILQPAIIKTLFEKLPEYFFENKNSDEINIPRLIVSQLKWLDRVVDGKDLTTKIMQLISIAPENLQHDIITSLPEILGDSQHADVGKELSDLLIENTSLTVPILDVLSSLRLDPNFLLKVRQLVMDKLSSIRLEDLPVIIKFILHSVTAMDTLEVISELREKLDLQHCVLPSRLQASQVKLKSKGRASSSGNQESSGQSCIILLFDVIKSAIRYEKTISEAWIKAIENTASVSEHKVFDLVMLFIIYSTNTQTKKYIDRVLRNKIRSGCIQEQLLQSTFSVHYLVLKDMCSSILSLAQSLLHSLDQSIISFGSLLYKYAFKFFDTYCQQEVVGALVTHICSGNEAEVDTALDVLLELVVLNPSAMMMNAVFVKGILDYLDNISPQQIRKLFYVLSTLAFSKQNEASSHIQDDMHLVIRKQLSSTVFKYKLIGIIGAVTMAGIMAADRSESPSLTQERANLSDEQCTQVTSLLQLVHSCSEQSPQASALYYDEFANLIQHEKLDPKALEWVGHTICNDFQDAFVVDSCVVPEGDFPFPVKALYGLEEYDTQDGIAINLLPLLFSQDFAKDGGPVTSQESGQKLVSPLCLAPYFRLLRLCVERQHNGNLEEIDGLLDCPIFLTDLEPGEKLESMSAKERSFMCSLIFLTLNWFREIVNAFCQETSPEMKGKVLTRLKHIVELQIILEKYLAVTPDYVPPLGNFDVETLDITPHTVTAISAKIRKKGKIERKQKTDGSKTSSSDTLSEEKNSECDPTPSHRGQLNKEFTGKEEKTSLLLHNSHAFFRELDIEVFSILHCGLVTKFILDTEMHTEATEVVQLGPPELLFLLEDLSQKLESMLTPPIARRVPFLKNKGSRNIGFSHLQQRSAQEIVHCVFQLLTPMCNHLENIHNYFQCLAAENHGVVDGPGVKVQEYHIMSSCYQRLLQIFHGLFAWSGFSQPENQNLLYSALHVLSSRLKQGEHSQPLEELLSQSVHYLQNFHQSIPSFQCALYLIRLLMVILEKSTASAQNKEKIASLARQFLCRVWPSGDKEKSNISNDQLHALLCIYLEHTESILKAIEEIAGVGVPELINSPKDASSSTFPTLTRHTFVVFFRVMMAELEKTVKKIEPGTAADSQQIHEEKLLYWNMAVRDFSILINLIKVFDSHPVLHVCLKYGRLFVEAFLKQCMPLLDFSFRKHREDVLSLLETFQLDTRLLHHLCGHSKIHQDTRLTQHVPLLKKTLELLVCRVKAMLTLNNCREAFWLGNLKNRDLQGEEIKSQNSQESTADESEDDMSSQASKSKATEDGEEDEVSAGEKEQDSDESYDDSD
+>DECOY_sp|Q9BXW9|FACD2_HUMAN Fanconi anemia group D2 protein OS=Homo sapiens OX=9606 GN=FANCD2 PE=1 SV=2
+DSDDYSEDSDQEKEGASVEDEEGDETAKSKSAQSSMDDESEDATSEQSNQSKIEEGQLDRNKLNGLWFAERCNNLTLMAKVRCVLLELTKKLLPVHQTLRTDQHIKSHGCLHHLLRTDLQFTELLSLVDERHKRFSFDLLPMCQKLFAEVFLRGYKLCVHLVPHSDFVKILNILISFDRVAMNWYLLKEEHIQQSDAATGPEIKKVTKELEAMMVRFFVVFTHRTLTPFTSSSADKPSNILEPVGVGAIEEIAKLISETHELYICLLAHLQDNSINSKEKDGSPWVRCLFQRALSAIKEKNQASATSKELIVMLLRILYLACQFSPISQHFNQLYHVSQSLLEELPQSHEGQKLRSSLVHLASYLLNQNEPQSFGSWAFLGHFIQLLRQYCSSMIHYEQVKVGPGDVVGHNEAALCQFYNHINELHNCMPTLLQFVCHVIEQASRQQLHSFGINRSGKNKLFPVRRAIPPTLMSELKQSLDELLFLLEPPGLQVVETAETHMETDLIFKTVLGCHLISFVEIDLERFFAHSNHLLLSTKEEKGTFEKNLQGRHSPTPDCESNKEESLTDSSSTKSGDTKQKREIKGKKRIKASIATVTHPTIDLTEVDFNGLPPVYDPTVALYKELIIQLEVIHKLRTLVKGKMEPSTEQCFANVIERFWNLTLFILSCMFSREKASMSELKEGPELDTLFIPCDLLGDIEELNGNHQREVCLRLLRFYPALCLPSVLKQGSEQSTVPGGDKAFDQSFLLPLLNIAIGDQTDYEELGYLAKVPFPFDGEPVVCSDVVFADQFDNCITHGVWELAKPDLKEHQILNAFEDYYLASAQPSQESCSHVLQLLSTVQTCQEDSLNAREQTLSPSESRDAAMIGAMTVAGIIGILKYKFVTSSLQKRIVLHMDDQIHSSAENQKSFALTSLVYFLKRIQQPSINDLYDLIGKVFVANMMMASPNLVVLELLVDLATDVEAENGSCIHTVLAGVVEQQCYTDFFKFAYKYLLSGFSIISQDLSHLLSQALSLISSCMDKLVLYHVSFTSQLLQEQICGSRIKNRLVRDIYKKTQTNTSYIIFLMVLDFVKHESVSATNEIAKIWAESITKEYRIASKIVDFLLIICSQGSSEQNGSSSARGKSKLKVQSAQLRSPLVCHQLDLKERLESIVELTDMATVSHLIFKIIVPLDELRISSLKDMVLQRVKLLFNPDLRLSSLVDLIPVTLSTNEILLDSLEKGVDAHQSDGLIEPLSTIIDHQLNEPAISILQMIKTTLDKGDVVRDLWKLQSVILRPINIEDSNKNEFFYEPLKEFLTKIIAPQLIDIGLLLKILSKSYSAGMSAEEDQLRECSLLCNRFSDEDEIYSELGSVFEEIIKPYSPHRRLTQFLKKQFAIQDVALQNQSEGTKLIIGSIKLLKVFISDNEEVENAIHSKKTKKSLPQKRTKSADETLSEKDESKSLRRKSVM
+>sp|Q8WVX9|FACR1_HUMAN Fatty acyl-CoA reductase 1 OS=Homo sapiens OX=9606 GN=FAR1 PE=1 SV=1
+MVSIPEYYEGKNVLLTGATGFLGKVLLEKLLRSCPKVNSVYVLVRQKAGQTPQERVEEVLSGKLFDRLRDENPDFREKIIAINSELTQPKLALSEEDKEVIIDSTNIIFHCAATVRFNENLRDAVQLNVIATRQLILLAQQMKNLEVFMHVSTAYAYCNRKHIDEVVYPPPVDPKKLIDSLEWMDDGLVNDITPKLIGDRPNTYIYTKALAEYVVQQEGAKLNVAIVRPSIVGASWKEPFPGWIDNFNGPSGLFIAAGKGILRTIRASNNALADLVPVDVVVNMSLAAAWYSGVNRPRNIMVYNCTTGSTNPFHWGEVEYHVISTFKRNPLEQAFRRPNVNLTSNHLLYHYWIAVSHKAPAFLYDIYLRMTGRSPRMMKTITRLHKAMVFLEYFTSNSWVWNTENVNMLMNQLNPEDKKTFNIDVRQLHWAEYIENYCLGTKKYVLNEEMSGLPAARKHLNKLRNIRYGFNTILVILIWRIFIARSQMARNIWYFVVSLCYKFLSYFRASSTMRY
+>DECOY_sp|Q8WVX9|FACR1_HUMAN Fatty acyl-CoA reductase 1 OS=Homo sapiens OX=9606 GN=FAR1 PE=1 SV=1
+YRMTSSARFYSLFKYCLSVVFYWINRAMQSRAIFIRWILIVLITNFGYRINRLKNLHKRAAPLGSMEENLVYKKTGLCYNEIYEAWHLQRVDINFTKKDEPNLQNMLMNVNETNWVWSNSTFYELFVMAKHLRTITKMMRPSRGTMRLYIDYLFAPAKHSVAIWYHYLLHNSTLNVNPRRFAQELPNRKFTSIVHYEVEGWHFPNTSGTTCNYVMINRPRNVGSYWAAALSMNVVVDVPVLDALANNSARITRLIGKGAAIFLGSPGNFNDIWGPFPEKWSAGVISPRVIAVNLKAGEQQVVYEALAKTYIYTNPRDGILKPTIDNVLGDDMWELSDILKKPDVPPPYVVEDIHKRNCYAYATSVHMFVELNKMQQALLILQRTAIVNLQVADRLNENFRVTAACHFIINTSDIIVEKDEESLALKPQTLESNIAIIKERFDPNEDRLRDFLKGSLVEEVREQPTQGAKQRVLVYVSNVKPCSRLLKELLVKGLFGTAGTLLVNKGEYYEPISVM
+>sp|Q9UNN5|FAF1_HUMAN FAS-associated factor 1 OS=Homo sapiens OX=9606 GN=FAF1 PE=1 SV=2
+MASNMDREMILADFQACTGIENIDEAITLLEQNNWDLVAAINGVIPQENGILQSEYGGETIPGPAFNPASHPASAPTSSSSSAFRPVMPSRQIVERQPRMLDFRVEYRDRNVDVVLEDTCTVGEIKQILENELQIPVSKMLLKGWKTGDVEDSTVLKSLHLPKNNSLYVLTPDLPPPSSSSHAGALQESLNQNFMLIITHREVQREYNLNFSGSSTIQEVKRNVYDLTSIPVRHQLWEGWPTSATDDSMCLAESGLSYPCHRLTVGRRSSPAQTREQSEEQITDVHMVSDSDGDDFEDATEFGVDDGEVFGMASSALRKSPMMPENAENEGDALLQFTAEFSSRYGDCHPVFFIGSLEAAFQEAFYVKARDRKLLAIYLHHDESVLTNVFCSQMLCAESIVSYLSQNFITWAWDLTKDSNRARFLTMCNRHFGSVVAQTIRTQKTDQFPLFLIIMGKRSSNEVLNVIQGNTTVDELMMRLMAAMEIFTAQQQEDIKDEDEREARENVKREQDEAYRLSLEADRAKREAHEREMAEQFRLEQIRKEQEEEREAIRLSLEQALPPEPKEENAEPVSKLRIRTPSGEFLERRFLASNKLQIVFDFVASKGFPWDEYKLLSTFPRRDVTQLDPNKSLLEVKLFPQETLFLEAKE
+>DECOY_sp|Q9UNN5|FAF1_HUMAN FAS-associated factor 1 OS=Homo sapiens OX=9606 GN=FAF1 PE=1 SV=2
+EKAELFLTEQPFLKVELLSKNPDLQTVDRRPFTSLLKYEDWPFGKSAVFDFVIQLKNSALFRRELFEGSPTRIRLKSVPEANEEKPEPPLAQELSLRIAEREEEQEKRIQELRFQEAMEREHAERKARDAELSLRYAEDQERKVNERAEREDEDKIDEQQQATFIEMAAMLRMMLEDVTTNGQIVNLVENSSRKGMIILFLPFQDTKQTRITQAVVSGFHRNCMTLFRARNSDKTLDWAWTIFNQSLYSVISEACLMQSCFVNTLVSEDHHLYIALLKRDRAKVYFAEQFAAELSGIFFVPHCDGYRSSFEATFQLLADGENEANEPMMPSKRLASSAMGFVEGDDVGFETADEFDDGDSDSVMHVDTIQEESQERTQAPSSRRGVTLRHCPYSLGSEALCMSDDTASTPWGEWLQHRVPISTLDYVNRKVEQITSSGSFNLNYERQVERHTIILMFNQNLSEQLAGAHSSSSPPPLDPTLVYLSNNKPLHLSKLVTSDEVDGTKWGKLLMKSVPIQLENELIQKIEGVTCTDELVVDVNRDRYEVRFDLMRPQREVIQRSPMVPRFASSSSSTPASAPHSAPNFAPGPITEGGYESQLIGNEQPIVGNIAAVLDWNNQELLTIAEDINEIGTCAQFDALIMERDMNSAM
+>sp|Q96F86|EDC3_HUMAN Enhancer of mRNA-decapping protein 3 OS=Homo sapiens OX=9606 GN=EDC3 PE=1 SV=1
+MATDWLGSIVSINCGDSLGVYQGRVSAVDQVSQTISLTRPFHNGVKCLVPEVTFRAGDITELKILEIPGPGDNQHFGDLHQTELGPSGAGCQVGINQNGTGKFVKKPASSSSAPQNIPKRTDVKSQDVAVSPQQQQCSKSYVDRHMESLSQSKSFRRRHNSWSSSSRHPNQATPKKSGLKNGQMKNKDDECFGDDIEEIPDTDFDFEGNLALFDKAAVFEEIDTYERRSGTRSRGIPNERPTRYRHDENILESEPIVYRRIIVPHNVSKEFCTDSGLVVPSISYELHKKLLSVAEKHGLTLERRLEMTGVCASQMALTLLGGPNRLNPKNVHQRPTVALLCGPHVKGAQGISCGRHLANHDVQVILFLPNFVKMLESITNELSLFSKTQGQQVSSLKDLPTSPVDLVINCLDCPENVFLRDQPWYKAAVAWANQNRAPVLSIDPPVHEVEQGIDAKWSLALGLPLPLGEHAGRIYLCDIGIPQQVFQEVGINYHSPFGCKFVIPLHSA
+>DECOY_sp|Q96F86|EDC3_HUMAN Enhancer of mRNA-decapping protein 3 OS=Homo sapiens OX=9606 GN=EDC3 PE=1 SV=1
+ASHLPIVFKCGFPSHYNIGVEQFVQQPIGIDCLYIRGAHEGLPLPLGLALSWKADIGQEVEHVPPDISLVPARNQNAWAVAAKYWPQDRLFVNEPCDLCNIVLDVPSTPLDKLSSVQQGQTKSFLSLENTISELMKVFNPLFLIVQVDHNALHRGCSIGQAGKVHPGCLLAVTPRQHVNKPNLRNPGGLLTLAMQSACVGTMELRRELTLGHKEAVSLLKKHLEYSISPVVLGSDTCFEKSVNHPVIIRRYVIPESELINEDHRYRTPRENPIGRSRTGSRREYTDIEEFVAAKDFLALNGEFDFDTDPIEEIDDGFCEDDKNKMQGNKLGSKKPTAQNPHRSSSSWSNHRRRFSKSQSLSEMHRDVYSKSCQQQQPSVAVDQSKVDTRKPINQPASSSSAPKKVFKGTGNQNIGVQCGAGSPGLETQHLDGFHQNDGPGPIELIKLETIDGARFTVEPVLCKVGNHFPRTLSITQSVQDVASVRGQYVGLSDGCNISVISGLWDTAM
+>sp|Q6P2E9|EDC4_HUMAN Enhancer of mRNA-decapping protein 4 OS=Homo sapiens OX=9606 GN=EDC4 PE=1 SV=1
+MASCASIDIEDATQHLRDILKLDRPAGGPSAESPRPSSAYNGDLNGLLVPDPLCSGDSTSANKTGLRTMPPINLQEKQVICLSGDDSSTCIGILAKEVEIVASSDSSISSKARGSNKVKIQPVAKYDWEQKYYYGNLIAVSNSFLAYAIRAANNGSAMVRVISVSTSERTLLKGFTGSVADLAFAHLNSPQLACLDEAGNLFVWRLALVNGKIQEEILVHIRQPEGTPLNHFRRIIWCPFIPEESEDCCEESSPTVALLHEDRAEVWDLDMLRSSHSTWPVDVSQIKQGFIVVKGHSTCLSEGALSPDGTVLATASHDGYVKFWQIYIEGQDEPRCLHEWKPHDGRPLSCLLFCDNHKKQDPDVPFWRFLITGADQNRELKMWCTVSWTCLQTIRFSPDIFSSVSVPPSLKVCLDLSAEYLILSDVQRKVLYVMELLQNQEEGHACFSSISEFLLTHPVLSFGIQVVSRCRLRHTEVLPAEEENDSLGADGTHGAGAMESAAGVLIKLFCVHTKALQDVQIRFQPQLNPDVVAPLPTHTAHEDFTFGESRPELGSEGLGSAAHGSQPDLRRIVELPAPADFLSLSSETKPKLMTPDAFMTPSASLQQITASPSSSSSGSSSSSSSSSSSLTAVSAMSSTSAVDPSLTRPPEELTLSPKLQLDGSLTMSSSGSLQASPRGLLPGLLPAPADKLTPKGPGQVPTATSALSLELQEVEPLGLPQASPSRTRSPDVISSASTALSQDIPEIASEALSRGFGSSAPEGLEPDSMASAASALHLLSPRPRPGPELGPQLGLDGGPGDGDRHNTPSLLEAALTQEASTPDSQVWPTAPDITRETCSTLAESPRNGLQEKHKSLAFHRPPYHLLQQRDSQDASAEQSDHDDEVASLASASGGFGTKVPAPRLPAKDWKTKGSPRTSPKLKRKSKKDDGDAAMGSRLTEHQVAEPPEDWPALIWQQQRELAELRHSQEELLQRLCTQLEGLQSTVTGHVERALETRHEQEQRRLERALAEGQQRGGQLQEQLTQQLSQALSSAVAGRLERSIRDEIKKTVPPCVSRSLEPMAGQLSNSVATKLTAVEGSMKENISKLLKSKNLTDAIARAAADTLQGPMQAAYREAFQSVVLPAFEKSCQAMFQQINDSFRLGTQEYLQQLESHMKSRKAREQEAREPVLAQLRGLVSTLQSATEQMAATVAGSVRAEVQHQLHVAVGSLQESILAQVQRIVKGEVSVALKEQQAAVTSSIMQAMRSAAGTPVPSAHLDCQAQQAHILQLLQQGHLNQAFQQALTAADLNLVLYVCETVDPAQVFGQPPCPLSQPVLLSLIQQLASDLGTRTDLKLSYLEEAVMHLDHSDPITRDHMGSVMAQVRQKLFQFLQAEPHNSLGKAARRLSLMLHGLVTPSLP
+>DECOY_sp|Q6P2E9|EDC4_HUMAN Enhancer of mRNA-decapping protein 4 OS=Homo sapiens OX=9606 GN=EDC4 PE=1 SV=1
+PLSPTVLGHLMLSLRRAAKGLSNHPEAQLFQFLKQRVQAMVSGMHDRTIPDSHDLHMVAEELYSLKLDTRTGLDSALQQILSLLVPQSLPCPPQGFVQAPDVTECVYLVLNLDAATLAQQFAQNLHGQQLLQLIHAQQAQCDLHASPVPTGAASRMAQMISSTVAAQQEKLAVSVEGKVIRQVQALISEQLSGVAVHLQHQVEARVSGAVTAAMQETASQLTSVLGRLQALVPERAEQERAKRSKMHSELQQLYEQTGLRFSDNIQQFMAQCSKEFAPLVVSQFAERYAAQMPGQLTDAAARAIADTLNKSKLLKSINEKMSGEVATLKTAVSNSLQGAMPELSRSVCPPVTKKIEDRISRELRGAVASSLAQSLQQTLQEQLQGGRQQGEALARELRRQEQEHRTELAREVHGTVTSQLGELQTCLRQLLEEQSHRLEALERQQQWILAPWDEPPEAVQHETLRSGMAADGDDKKSKRKLKPSTRPSGKTKWDKAPLRPAPVKTGFGGSASALSAVEDDHDSQEASADQSDRQQLLHYPPRHFALSKHKEQLGNRPSEALTSCTERTIDPATPWVQSDPTSAEQTLAAELLSPTNHRDGDGPGGDLGLQPGLEPGPRPRPSLLHLASAASAMSDPELGEPASSGFGRSLAESAIEPIDQSLATSASSIVDPSRTRSPSAQPLGLPEVEQLELSLASTATPVQGPGKPTLKDAPAPLLGPLLGRPSAQLSGSSSMTLSGDLQLKPSLTLEEPPRTLSPDVASTSSMASVATLSSSSSSSSSSSGSSSSSPSATIQQLSASPTMFADPTMLKPKTESSLSLFDAPAPLEVIRRLDPQSGHAASGLGESGLEPRSEGFTFDEHATHTPLPAVVDPNLQPQFRIQVDQLAKTHVCFLKILVGAASEMAGAGHTGDAGLSDNEEEAPLVETHRLRCRSVVQIGFSLVPHTLLFESISSFCAHGEEQNQLLEMVYLVKRQVDSLILYEASLDLCVKLSPPVSVSSFIDPSFRITQLCTWSVTCWMKLERNQDAGTILFRWFPVDPDQKKHNDCFLLCSLPRGDHPKWEHLCRPEDQGEIYIQWFKVYGDHSATALVTGDPSLAGESLCTSHGKVVIFGQKIQSVDVPWTSHSSRLMDLDWVEARDEHLLAVTPSSEECCDESEEPIFPCWIIRRFHNLPTGEPQRIHVLIEEQIKGNVLALRWVFLNGAEDLCALQPSNLHAFALDAVSGTFGKLLTRESTSVSIVRVMASGNNAARIAYALFSNSVAILNGYYYKQEWDYKAVPQIKVKNSGRAKSSISSDSSAVIEVEKALIGICTSSDDGSLCIVQKEQLNIPPMTRLGTKNASTSDGSCLPDPVLLGNLDGNYASSPRPSEASPGGAPRDLKLIDRLHQTADEIDISACSAM
+>sp|Q9BZQ6|EDEM3_HUMAN ER degradation-enhancing alpha-mannosidase-like protein 3 OS=Homo sapiens OX=9606 GN=EDEM3 PE=1 SV=2
+MSEAGGRGCGSPVPQRARWRLVAATAAFCLVSATSVWTAGAEPMSREEKQKLGNQVLEMFDHAYGNYMEHAYPADELMPLTCRGRVRGQEPSRGDVDDALGKFSLTLIDSLDTLVVLNKTKEFEDAVRKVLRDVNLDNDVVVSVFETNIRVLGGLLGGHSLAIMLKEKGEYMQWYNDELLQMAKQLGYKLLPAFNTTSGLPYPRINLKFGIRKPEARTGTETDTCTACAGTLILEFAALSRFTGATIFEEYARKALDFLWEKRQRSSNLVGVTINIHTGDWVRKDSGVGAGIDSYYEYLLKAYVLLGDDSFLERFNTHYDAIMRYISQPPLLLDVHIHKPMLNARTWMDALLAFFPGLQVLKGDIRPAIETHEMLYQVIKKHNFLPEAFTTDFRVHWAQHPLRPEFAESTYFLYKATGDPYYLEVGKTLIENLNKYARVPCGFAAMKDVRTGSHEDRMDSFFLAEMFKYLYLLFADKEDIIFDIEDYIFTTEAHLLPLWLSTTNQSISKKNTTSEYTELDDSNFDWTCPNTQILFPNDPLYAQSIREPLKNVVDKSCPRGIIRVEESFRSGAKPPLRARDFMATNPEHLEILKKMGVSLIHLKDGRVQLVQHAIQAASSIDAEDGLRFMQEMIELSSQQQKEQQLPPRAVQIVSHPFFGRVVLTAGPAQFGLDLSKHKETRGFVASSKPSNGCSELTNPEAVMGKIALIQRGQCMFAEKARNIQNAGAIGGIVIDDNEGSSSDTAPLFQMAGDGKDTDDIKIPMLFLFSKEGSIILDAIREYEEVEVLLSDKAKDRDPEMENEEQPSSENDSQNQSGEQISSSSQEVDLVDQESSEENSLNSHPESLSLADMDNAASISPSEQTSNPTENHETTNLNGECTDLDNQLQEQSETEEDSNPNVSWGKKVQPIDSILADWNEDIEAFEMMEKDEL
+>DECOY_sp|Q9BZQ6|EDEM3_HUMAN ER degradation-enhancing alpha-mannosidase-like protein 3 OS=Homo sapiens OX=9606 GN=EDEM3 PE=1 SV=2
+LEDKEMMEFAEIDENWDALISDIPQVKKGWSVNPNSDEETESQEQLQNDLDTCEGNLNTTEHNETPNSTQESPSISAANDMDALSLSEPHSNLSNEESSEQDVLDVEQSSSSIQEGSQNQSDNESSPQEENEMEPDRDKAKDSLLVEVEEYERIADLIISGEKSFLFLMPIKIDDTDKGDGAMQFLPATDSSSGENDDIVIGGIAGANQINRAKEAFMCQGRQILAIKGMVAEPNTLESCGNSPKSSAVFGRTEKHKSLDLGFQAPGATLVVRGFFPHSVIQVARPPLQQEKQQQSSLEIMEQMFRLGDEADISSAAQIAHQVLQVRGDKLHILSVGMKKLIELHEPNTAMFDRARLPPKAGSRFSEEVRIIGRPCSKDVVNKLPERISQAYLPDNPFLIQTNPCTWDFNSDDLETYESTTNKKSISQNTTSLWLPLLHAETTFIYDEIDFIIDEKDAFLLYLYKFMEALFFSDMRDEHSGTRVDKMAAFGCPVRAYKNLNEILTKGVELYYPDGTAKYLFYTSEAFEPRLPHQAWHVRFDTTFAEPLFNHKKIVQYLMEHTEIAPRIDGKLVQLGPFFALLADMWTRANLMPKHIHVDLLLPPQSIYRMIADYHTNFRELFSDDGLLVYAKLLYEYYSDIGAGVGSDKRVWDGTHINITVGVLNSSRQRKEWLFDLAKRAYEEFITAGTFRSLAAFELILTGACATCTDTETGTRAEPKRIGFKLNIRPYPLGSTTNFAPLLKYGLQKAMQLLEDNYWQMYEGKEKLMIALSHGGLLGGLVRINTEFVSVVVDNDLNVDRLVKRVADEFEKTKNLVVLTDLSDILTLSFKGLADDVDGRSPEQGRVRGRCTLPMLEDAPYAHEMYNGYAHDFMELVQNGLKQKEERSMPEAGATWVSTASVLCFAATAAVLRWRARQPVPSGCGRGGAESM
+>sp|P29692|EF1D_HUMAN Elongation factor 1-delta OS=Homo sapiens OX=9606 GN=EEF1D PE=1 SV=5
+MATNFLAHEKIWFDKFKYDDAERRFYEQMNGPVAGASRQENGASVILRDIARARENIQKSLAGSSGPGASSGTSGDHGELVVRIASLEVENQSLRGVVQELQQAISKLEARLNVLEKSSPGHRATAPQTQHVSPMRQVEPPAKKPATPAEDDEDDDIDLFGSDNEEEDKEAAQLREERLRQYAEKKAKKPALVAKSSILLDVKPWDDETDMAQLEACVRSIQLDGLVWGASKLVPVGYGIRKLQIQCVVEDDKVGTDLLEEEITKFEEHVQSVDIAAFNKI
+>DECOY_sp|P29692|EF1D_HUMAN Elongation factor 1-delta OS=Homo sapiens OX=9606 GN=EEF1D PE=1 SV=5
+IKNFAAIDVSQVHEEFKTIEEELLDTGVKDDEVVCQIQLKRIGYGVPVLKSAGWVLGDLQISRVCAELQAMDTEDDWPKVDLLISSKAVLAPKKAKKEAYQRLREERLQAAEKDEEENDSGFLDIDDDEDDEAPTAPKKAPPEVQRMPSVHQTQPATARHGPSSKELVNLRAELKSIAQQLEQVVGRLSQNEVELSAIRVVLEGHDGSTGSSAGPGSSGALSKQINERARAIDRLIVSAGNEQRSAGAVPGNMQEYFRREADDYKFKDFWIKEHALFNTAM
+>sp|Q9HA90|EFCC1_HUMAN EF-hand and coiled-coil domain-containing protein 1 OS=Homo sapiens OX=9606 GN=EFCC1 PE=2 SV=2
+MEPVSTGAEAGMEGAGGDPYRRPARRTQWLLSALAHHYGLDRGVENEIVVLATGLDQYLQEVFHHLDCRGAGRLPRADFRALCAVLGLRAEGATTAGQAAGDGNSRDVTPGDAAAELATDGDSDTDEEARLALRAEPPELTFRQFHARLCGYFGTRAGPRLPRGALSEHIETQIRLRRPRRRRRPPCAPGPDSGPDCERVARLEEENSSLRELVEDLRAALQSSDARCLALQVGLWKSQASTHEMGHGGPEAAVRELRQAQGALAAAEARAGRLRRGQAEVRRRAEEARQVVLRSLHRVRELEALAQQVPGLQRWVRRLEAELQRYRSEDSQLPTPQLANPEPGDKSNEPEDAGTRDPDPTPEGAWQSDSSSGSRALDEVDEQLFRSVEGQAASDEEEVEEERWQEEKKTPAAEAKTLLARLSSCRGRCDDQTAEKLMTYFGHFGGANHAHTLGELEACIAMLVEQLRTQGCGGRTLGTSEEEAELQQKVEENEHLRLELQMVETERVRLSLLEEKLVDVLQLLQRLRDLNISKRALGKILLSTLDAFRDPTHEGRPSPAAILDALHQALAACQLLRRQPSAPASAAAALTNPLLVSC
+>DECOY_sp|Q9HA90|EFCC1_HUMAN EF-hand and coiled-coil domain-containing protein 1 OS=Homo sapiens OX=9606 GN=EFCC1 PE=2 SV=2
+CSVLLPNTLAAAASAPASPQRRLLQCAALAQHLADLIAAPSPRGEHTPDRFADLTSLLIKGLARKSINLDRLRQLLQLVDVLKEELLSLRVRETEVMQLELRLHENEEVKQQLEAEEESTGLTRGGCGQTRLQEVLMAICAELEGLTHAHNAGGFHGFYTMLKEATQDDCRGRCSSLRALLTKAEAAPTKKEEQWREEEVEEEDSAAQGEVSRFLQEDVEDLARSGSSSDSQWAGEPTPDPDRTGADEPENSKDGPEPNALQPTPLQSDESRYRQLEAELRRVWRQLGPVQQALAELERVRHLSRLVVQRAEEARRRVEAQGRRLRGARAEAAALAGQAQRLERVAAEPGGHGMEHTSAQSKWLGVQLALCRADSSQLAARLDEVLERLSSNEEELRAVRECDPGSDPGPACPPRRRRRPRRLRIQTEIHESLAGRPLRPGARTGFYGCLRAHFQRFTLEPPEARLALRAEEDTDSDGDTALEAAADGPTVDRSNGDGAAQGATTAGEARLGLVACLARFDARPLRGAGRCDLHHFVEQLYQDLGTALVVIENEVGRDLGYHHALASLLWQTRRAPRRYPDGGAGEMGAEAGTSVPEM
+>sp|Q5JPI9|EFMT2_HUMAN EEF1A lysine methyltransferase 2 OS=Homo sapiens OX=9606 GN=EEF1AKMT2 PE=1 SV=2
+MSSGADGGGGAAVAARSDKGSPGEDGFVPSALGTREHWDAVYERELQTFREYGDTGEIWFGEESMNRLIRWMQKHKIPLDASVLDIGTGNGVFLVELAKFGFSNITGIDYSPSAIQLSGSIIEKEGLSNIKLKVEDFLNLSTQLSGFHICIDKGTFDAISLNPDNAIEKRKQYVKSLSRVLKVKGFFLITSCNWTKEELLNEFSEGWSTVAGFWLTAALTSWAQAIFSTSASRVGGTTGTHHHAWIIFVFLAETRFCHVVQAGLELLGSSDSPTWPPKVLGLYHARPSLAF
+>DECOY_sp|Q5JPI9|EFMT2_HUMAN EEF1A lysine methyltransferase 2 OS=Homo sapiens OX=9606 GN=EEF1AKMT2 PE=1 SV=2
+FALSPRAHYLGLVKPPWTPSDSSGLLELGAQVVHCFRTEALFVFIIWAHHHTGTTGGVRSASTSFIAQAWSTLAATLWFGAVTSWGESFENLLEEKTWNCSTILFFGKVKLVRSLSKVYQKRKEIANDPNLSIADFTGKDICIHFGSLQTSLNLFDEVKLKINSLGEKEIISGSLQIASPSYDIGTINSFGFKALEVLFVGNGTGIDLVSADLPIKHKQMWRILRNMSEEGFWIEGTDGYERFTQLEREYVADWHERTGLASPVFGDEGPSGKDSRAAVAAGGGGDAGSSM
+>sp|O43921|EFNA2_HUMAN Ephrin-A2 OS=Homo sapiens OX=9606 GN=EFNA2 PE=1 SV=1
+MAPAQRPLLPLLLLLLPLPPPPFARAEDAARANSDRYAVYWNRSNPRFHAGAGDDGGGYTVEVSINDYLDIYCPHYGAPLPPAERMEHYVLYMVNGEGHASCDHRQRGFKRWECNRPAAPGGPLKFSEKFQLFTPFSLGFEFRPGHEYYYISATPPNAVDRPCLRLKVYVRPTNETLYEAPEPIFTSNNSCSSPGGCRLFLSTIPVLWTLLGS
+>DECOY_sp|O43921|EFNA2_HUMAN Ephrin-A2 OS=Homo sapiens OX=9606 GN=EFNA2 PE=1 SV=1
+SGLLTWLVPITSLFLRCGGPSSCSNNSTFIPEPAEYLTENTPRVYVKLRLCPRDVANPPTASIYYYEHGPRFEFGLSFPTFLQFKESFKLPGGPAAPRNCEWRKFGRQRHDCSAHGEGNVMYLVYHEMREAPPLPAGYHPCYIDLYDNISVEVTYGGGDDGAGAHFRPNSRNWYVAYRDSNARAADEARAFPPPPLPLLLLLLPLLPRQAPAM
+>sp|P52798|EFNA4_HUMAN Ephrin-A4 OS=Homo sapiens OX=9606 GN=EFNA4 PE=1 SV=1
+MRLLPLLRTVLWAAFLGSPLRGGSSLRHVVYWNSSNPRLLRGDAVVELGLNDYLDIVCPHYEGPGPPEGPETFALYMVDWPGYESCQAEGPRAYKRWVCSLPFGHVQFSEKIQRFTPFSLGFEFLPGETYYYISVPTPESSGQCLRLQVSVCCKERKSESAHPVGSPGESGTSGWRGGDTPSPLCLLLLLLLLILRLLRIL
+>DECOY_sp|P52798|EFNA4_HUMAN Ephrin-A4 OS=Homo sapiens OX=9606 GN=EFNA4 PE=1 SV=1
+LIRLLRLILLLLLLLLCLPSPTDGGRWGSTGSEGPSGVPHASESKREKCCVSVQLRLCQGSSEPTPVSIYYYTEGPLFEFGLSFPTFRQIKESFQVHGFPLSCVWRKYARPGEAQCSEYGPWDVMYLAFTEPGEPPGPGEYHPCVIDLYDNLGLEVVADGRLLRPNSSNWYVVHRLSSGGRLPSGLFAAWLVTRLLPLLRM
+>sp|O43281|EFS_HUMAN Embryonal Fyn-associated substrate OS=Homo sapiens OX=9606 GN=EFS PE=1 SV=1
+MAIATSTQLARALYDNTAESPQELSFRRGDVLRVLQREGAGGLDGWCLCSLHGQQGIVPANRVKLLPAGPAPKPSLSPASPAQPGSPYPAPDHSNEDQEVYVVPPPARPCPTSGPPAGPCPPSPDLIYKIPRASGTQLAAPRDALEVYDVPPTALRVPSSGPYDCPASFSHPLTRVAPQPPGEDDAPYDVPLTPKPPAELEPDLEWEGGREPGPPIYAAPSNLKRASALLNLYEAPEELLADGEGGGTDEGIYDVPLLGPEAPPSPEPPGALASHDQDTLAQLLARSPPPPHRPRLPSAESLSRRPLPALPVPEAPSPSPVPSPAPGRKGSIQDRPLPPPPPRLPGYGGPKVEGDPEGREMEDDPAGHHNEYEGIPMAEEYDYVHLKGMDKAQGSRPPDQACTGDPELPERGMPAPQEALSPGEPLVVSTGDLQLLYFYAGQCQSHYSALQAAVAALMSSTQANQPPRLFVPHSKRVVVAAHRLVFVGDTLGRLAASAPLRAQVRAAGTALGQALRATVLAVKGAALGYPSSPAIQEMVQCVTELAGQALQFTTLLTSLAP
+>DECOY_sp|O43281|EFS_HUMAN Embryonal Fyn-associated substrate OS=Homo sapiens OX=9606 GN=EFS PE=1 SV=1
+PALSTLLTTFQLAQGALETVCQVMEQIAPSSPYGLAAGKVALVTARLAQGLATGAARVQARLPASAALRGLTDGVFVLRHAAVVVRKSHPVFLRPPQNAQTSSMLAAVAAQLASYHSQCQGAYFYLLQLDGTSVVLPEGPSLAEQPAPMGREPLEPDGTCAQDPPRSGQAKDMGKLHVYDYEEAMPIGEYENHHGAPDDEMERGEPDGEVKPGGYGPLRPPPPPLPRDQISGKRGPAPSPVPSPSPAEPVPLAPLPRRSLSEASPLRPRHPPPPSRALLQALTDQDHSALAGPPEPSPPAEPGLLPVDYIGEDTGGGEGDALLEEPAEYLNLLASARKLNSPAAYIPPGPERGGEWELDPELEAPPKPTLPVDYPADDEGPPQPAVRTLPHSFSAPCDYPGSSPVRLATPPVDYVELADRPAALQTGSARPIKYILDPSPPCPGAPPGSTPCPRAPPPVVYVEQDENSHDPAPYPSGPQAPSAPSLSPKPAPGAPLLKVRNAPVIGQQGHLSCLCWGDLGGAGERQLVRLVDGRRFSLEQPSEATNDYLARALQTSTAIAM
+>sp|Q0D2K5|EGFEM_HUMAN Putative EGF-like and EMI domain-containing protein 1 OS=Homo sapiens OX=9606 GN=EGFEM1P PE=5 SV=1
+MDELRWYHITVCLDHIFGHNCSLSCKDCMNGGKCQEGKSECSCPAGCRVILCNENCLEGAYGAGCTSECQCVEENTLECSAKNGSCTCKSGYQGNRCQKDGLWGPEGWFSSAPCENGGQCNKKTGNCDCTPDYTRKSCTILRCISLTNLALSRRSSPMKYQQNVSSHREVRQRQQCSSDRPFKKLLCKFSFKIGM
+>DECOY_sp|Q0D2K5|EGFEM_HUMAN Putative EGF-like and EMI domain-containing protein 1 OS=Homo sapiens OX=9606 GN=EGFEM1P PE=5 SV=1
+MGIKFSFKCLLKKFPRDSSCQQRQRVERHSSVNQQYKMPSSRRSLALNTLSICRLITCSKRTYDPTCDCNGTKKNCQGGNECPASSFWGEPGWLGDKQCRNGQYGSKCTCSGNKASCELTNEEVCQCESTCGAGYAGELCNENCLIVRCGAPCSCESKGEQCKGGNMCDKCSLSCNHGFIHDLCVTIHYWRLEDM
+>sp|Q9UHF1|EGFL7_HUMAN Epidermal growth factor-like protein 7 OS=Homo sapiens OX=9606 GN=EGFL7 PE=1 SV=3
+MRGSQEVLLMWLLVLAVGGTEHAYRPGRRVCAVRAHGDPVSESFVQRVYQPFLTTCDGHRACSTYRTIYRTAYRRSPGLAPARPRYACCPGWKRTSGLPGACGAAICQPPCRNGGSCVQPGRCRCPAGWRGDTCQSDVDECSARRGGCPQRCVNTAGSYWCQCWEGHSLSADGTLCVPKGGPPRVAPNPTGVDSAMKEEVQRLQSRVDLLEEKLQLVLAPLHSLASQALEHGLPDPGSLLVHSFQQLGRIDSLSEQISFLEEQLGSCSCKKDS
+>DECOY_sp|Q9UHF1|EGFL7_HUMAN Epidermal growth factor-like protein 7 OS=Homo sapiens OX=9606 GN=EGFL7 PE=1 SV=3
+SDKKCSCSGLQEELFSIQESLSDIRGLQQFSHVLLSGPDPLGHELAQSALSHLPALVLQLKEELLDVRSQLRQVEEKMASDVGTPNPAVRPPGGKPVCLTGDASLSHGEWCQCWYSGATNVCRQPCGGRRASCEDVDSQCTDGRWGAPCRCRGPQVCSGGNRCPPQCIAAGCAGPLGSTRKWGPCCAYRPRAPALGPSRRYATRYITRYTSCARHGDCTTLFPQYVRQVFSESVPDGHARVACVRRGPRYAHETGGVALVLLWMLLVEQSGRM
+>sp|O14681|EI24_HUMAN Etoposide-induced protein 2.4 homolog OS=Homo sapiens OX=9606 GN=EI24 PE=1 SV=4
+MADSVKTFLQDLARGIKDSIWGICTISKLDARIQQKREEQRRRRASSVLAQRRAQSIERKQESEPRIVSRIFQCCAWNGGVFWFSLLLFYRVFIPVLQSVTARIIGDPSLHGDVWSWLEFFLTSIFSALWVLPLFVLSKVVNAIWFQDIADLAFEVSGRKPHPFPSVSKIIADMLFNLLLQALFLIQGMFVSLFPIHLVGQLVSLLHMSLLYSLYCFEYRWFNKGIEMHQRLSNIERNWPYYFGFGLPLAFLTAMQSSYIISGCLFSILFPLFIISANEAKTPGKAYLFQLRLFSLVVFLSNRLFHKTVYLQSALSSSTSAEKFPSPHPSPAKLKATAGH
+>DECOY_sp|O14681|EI24_HUMAN Etoposide-induced protein 2.4 homolog OS=Homo sapiens OX=9606 GN=EI24 PE=1 SV=4
+HGATAKLKAPSPHPSPFKEASTSSSLASQLYVTKHFLRNSLFVVLSFLRLQFLYAKGPTKAENASIIFLPFLISFLCGSIIYSSQMATLFALPLGFGFYYPWNREINSLRQHMEIGKNFWRYEFCYLSYLLSMHLLSVLQGVLHIPFLSVFMGQILFLAQLLLNFLMDAIIKSVSPFPHPKRGSVEFALDAIDQFWIANVVKSLVFLPLVWLASFISTLFFELWSWVDGHLSPDGIIRATVSQLVPIFVRYFLLLSFWFVGGNWACCQFIRSVIRPESEQKREISQARRQALVSSARRRRQEERKQQIRADLKSITCIGWISDKIGRALDQLFTKVSDAM
+>sp|Q9NR50|EI2BG_HUMAN Translation initiation factor eIF-2B subunit gamma OS=Homo sapiens OX=9606 GN=EIF2B3 PE=1 SV=1
+MEFQAVVMAVGGGSRMTDLTSSIPKPLLPVGNKPLIWYPLNLLERVGFEEVIVVTTRDVQKALCAEFKMKMKPDIVCIPDDADMGTADSLRYIYPKLKTDVLVLSCDLITDVALHEVVDLFRAYDASLAMLMRKGQDSIEPVPGQKGKKKAVEQRDFIGVDSTGKRLLFMANEADLDEELVIKGSILQKHPRIRFHTGLVDAHLYCLKKYIVDFLMENGSITSIRSELIPYLVRKQFSSASSQQGQEEKEEDLKKKELKSLDIYSFIKEANTLNLAPYDACWNACRGDRWEDLSRSQVRCYVHIMKEGLCSRVSTLGLYMEANRQVPKLLSALCPEEPPVHSSAQIVSKHLVGVDSLIGPETQIGEKSSIKRSVIGSSCLIKDRVTITNCLLMNSVTVEEGSNIQGSVICNNAVIEKGADIKDCLIGSGQRIEAKAKRVNEVIVGNDQLMEI
+>DECOY_sp|Q9NR50|EI2BG_HUMAN Translation initiation factor eIF-2B subunit gamma OS=Homo sapiens OX=9606 GN=EIF2B3 PE=1 SV=1
+IEMLQDNGVIVENVRKAKAEIRQGSGILCDKIDAGKEIVANNCIVSGQINSGEEVTVSNMLLCNTITVRDKILCSSGIVSRKISSKEGIQTEPGILSDVGVLHKSVIQASSHVPPEEPCLASLLKPVQRNAEMYLGLTSVRSCLGEKMIHVYCRVQSRSLDEWRDGRCANWCADYPALNLTNAEKIFSYIDLSKLEKKKLDEEKEEQGQQSSASSFQKRVLYPILESRISTISGNEMLFDVIYKKLCYLHADVLGTHFRIRPHKQLISGKIVLEEDLDAENAMFLLRKGTSDVGIFDRQEVAKKKGKQGPVPEISDQGKRMLMALSADYARFLDVVEHLAVDTILDCSLVLVDTKLKPYIYRLSDATGMDADDPICVIDPKMKMKFEACLAKQVDRTTVVIVEEFGVRELLNLPYWILPKNGVPLLPKPISSTLDTMRSGGGVAMVVAQFEM
+>sp|Q96D98|EID2B_HUMAN EP300-interacting inhibitor of differentiation 2B OS=Homo sapiens OX=9606 GN=EID2B PE=1 SV=2
+MAEPTGLLEMSELPGDSSVPQVGTASGVSDVLRGAVGGGVRVQEAREGPVAEAARSMARMPGPVPGPIPSSVPGLASAPDPHQQLAFLEINRQLLFREYLDGSSMIPVRLLRDFEERRRLFVEGCKAREAAFDADPPQMDFAAVAFTVALTASEALSPLAD
+>DECOY_sp|Q96D98|EID2B_HUMAN EP300-interacting inhibitor of differentiation 2B OS=Homo sapiens OX=9606 GN=EID2B PE=1 SV=2
+DALPSLAESATLAVTFAVAAFDMQPPDADFAAERAKCGEVFLRRREEFDRLLRVPIMSSGDLYERFLLQRNIELFALQQHPDPASALGPVSSPIPGPVPGPMRAMSRAAEAVPGERAEQVRVGGGVAGRLVDSVGSATGVQPVSSDGPLESMELLGTPEAM
+>sp|Q8N6I1|EID2_HUMAN EP300-interacting inhibitor of differentiation 2 OS=Homo sapiens OX=9606 GN=EID2 PE=1 SV=3
+MSKLPADSSVPQTGAANGDRDVPQAEVGRGRREPAPAQPEEAGEGAMAAARGGPVPAAREGRMAAARAAPAAAARGAPVAAAALARAAAAGRESPAAAAAREARMAEVARLLGEPVDEEGPEGRPRSRHGNGGLAALPYLRLRHPLSVLGINYQQFLRHYLENYPIAPGRIQELEERRRRFVEACRAREAAFDAEYQRNPHRVDLDILTFTIALTASEVINPLIEELGCDKFINRE
+>DECOY_sp|Q8N6I1|EID2_HUMAN EP300-interacting inhibitor of differentiation 2 OS=Homo sapiens OX=9606 GN=EID2 PE=1 SV=3
+ERNIFKDCGLEEILPNIVESATLAITFTLIDLDVRHPNRQYEADFAAERARCAEVFRRRREELEQIRGPAIPYNELYHRLFQQYNIGLVSLPHRLRLYPLAALGGNGHRSRPRGEPGEEDVPEGLLRAVEAMRAERAAAAAPSERGAAAARALAAAAVPAGRAAAAPAARAAAMRGERAAPVPGGRAAAMAGEGAEEPQAPAPERRGRGVEAQPVDRDGNAAGTQPVSSDAPLKSM
+>sp|O00303|EIF3F_HUMAN Eukaryotic translation initiation factor 3 subunit F OS=Homo sapiens OX=9606 GN=EIF3F PE=1 SV=1
+MATPAVPVSAPPATPTPVPAAAPASVPAPTPAPAAAPVPAAAPASSSDPAAAAAATAAPGQTPASAQAPAQTPAPALPGPALPGPFPGGRVVRLHPVILASIVDSYERRNEGAARVIGTLLGTVDKHSVEVTNCFSVPHNESEDEVAVDMEFAKNMYELHKKVSPNELILGWYATGHDITEHSVLIHEYYSREAPNPIHLTVDTSLQNGRMSIKAYVSTLMGVPGRTMGVMFTPLTVKYAYYDTERIGVDLIMKTCFSPNRVIGLSSDLQQVGGASARIQDALSTVLQYAEDVLSGKVSADNTVGRFLMSLVNQVPKIVPDDFETMLNSNINDLLMVTYLANLTQSQIALNEKLVNL
+>DECOY_sp|O00303|EIF3F_HUMAN Eukaryotic translation initiation factor 3 subunit F OS=Homo sapiens OX=9606 GN=EIF3F PE=1 SV=1
+LNVLKENLAIQSQTLNALYTVMLLDNINSNLMTEFDDPVIKPVQNVLSMLFRGVTNDASVKGSLVDEAYQLVTSLADQIRASAGGVQQLDSSLGIVRNPSFCTKMILDVGIRETDYYAYKVTLPTFMVGMTRGPVGMLTSVYAKISMRGNQLSTDVTLHIPNPAERSYYEHILVSHETIDHGTAYWGLILENPSVKKHLEYMNKAFEMDVAVEDESENHPVSFCNTVEVSHKDVTGLLTGIVRAAGENRREYSDVISALIVPHLRVVRGGPFPGPLAPGPLAPAPTQAPAQASAPTQGPAATAAAAAAPDSSSAPAAAPVPAAAPAPTPAPVSAPAAAPVPTPTAPPASVPVAPTAM
+>sp|Q9Y262|EIF3L_HUMAN Eukaryotic translation initiation factor 3 subunit L OS=Homo sapiens OX=9606 GN=EIF3L PE=1 SV=1
+MSYPADDYESEAAYDPYAYPSDYDMHTGDPKQDLAYERQYEQQTYQVIPEVIKNFIQYFHKTVSDLIDQKVYELQASRVSSDVIDQKVYEIQDIYENSWTKLTERFFKNTPWPEAEAIAPQVGNDAVFLILYKELYYRHIYAKVSGGPSLEQRFESYYNYCNLFNYILNADGPAPLELPNQWLWDIIDEFIYQFQSFSQYRCKTAKKSEEEIDFLRSNPKIWNVHSVLNVLHSLVDKSNINRQLEVYTSGGDPESVAGEYGRHSLYKMLGYFSLVGLLRLHSLLGDYYQAIKVLENIELNKKSMYSRVPECQVTTYYYVGFAYLMMRRYQDAIRVFANILLYIQRTKSMFQRTTYKYEMINKQNEQMHALLAIALTMYPMRIDESIHLQLREKYGDKMLRMQKGDPQVYEELFSYSCPKFLSPVVPNYDNVHPNYHKEPFLQQLKVFSDEVQQQAQLSTIRSFLKLYTTMPVAKLAGFLDLTEQEFRIQLLVFKHKMKNLVWTSGISALDGEFQSASEVDFYIDKDMIHIADTKVARRYGDFFIRQIHKFEELNRTLKKMGQRP
+>DECOY_sp|Q9Y262|EIF3L_HUMAN Eukaryotic translation initiation factor 3 subunit L OS=Homo sapiens OX=9606 GN=EIF3L PE=1 SV=1
+PRQGMKKLTRNLEEFKHIQRIFFDGYRRAVKTDAIHIMDKDIYFDVESASQFEGDLASIGSTWVLNKMKHKFVLLQIRFEQETLDLFGALKAVPMTTYLKLFSRITSLQAQQQVEDSFVKLQQLFPEKHYNPHVNDYNPVVPSLFKPCSYSFLEEYVQPDGKQMRLMKDGYKERLQLHISEDIRMPYMTLAIALLAHMQENQKNIMEYKYTTRQFMSKTRQIYLLINAFVRIADQYRRMMLYAFGVYYYTTVQCEPVRSYMSKKNLEINELVKIAQYYDGLLSHLRLLGVLSFYGLMKYLSHRGYEGAVSEPDGGSTYVELQRNINSKDVLSHLVNLVSHVNWIKPNSRLFDIEEESKKATKCRYQSFSQFQYIFEDIIDWLWQNPLELPAPGDANLIYNFLNCYNYYSEFRQELSPGGSVKAYIHRYYLEKYLILFVADNGVQPAIAEAEPWPTNKFFRETLKTWSNEYIDQIEYVKQDIVDSSVRSAQLEYVKQDILDSVTKHFYQIFNKIVEPIVQYTQQEYQREYALDQKPDGTHMDYDSPYAYPDYAAESEYDDAPYSM
+>sp|Q7L2H7|EIF3M_HUMAN Eukaryotic translation initiation factor 3 subunit M OS=Homo sapiens OX=9606 GN=EIF3M PE=1 SV=1
+MSVPAFIDISEEDQAAELRAYLKSKGAEISEENSEGGLHVDLAQIIEACDVCLKEDDKDVESVMNSVVSLLLILEPDKQEALIESLCEKLVKFREGERPSLRLQLLSNLFHGMDKNTPVRYTVYCSLIKVAASCGAIQYIPTELDQVRKWISDWNLTTEKKHTLLRLLYEALVDCKKSDAASKVMVELLGSYTEDNASQARVDAHRCIVRALKDPNAFLFDHLLTLKPVKFLEGELIHDLLTIFVSAKLASYVKFYQNNKDFIDSLGLLHEQNMAKMRLLTFMGMAVENKEISFDTMQQELQIGADDVEAFVIDAVRTKMVYCKIDQTQRKVVVSHSTHRTFGKQQWQQLYDTLNAWKQNLNKVKNSLLSLSDT
+>DECOY_sp|Q7L2H7|EIF3M_HUMAN Eukaryotic translation initiation factor 3 subunit M OS=Homo sapiens OX=9606 GN=EIF3M PE=1 SV=1
+TDSLSLLSNKVKNLNQKWANLTDYLQQWQQKGFTRHTSHSVVVKRQTQDIKCYVMKTRVADIVFAEVDDAGIQLEQQMTDFSIEKNEVAMGMFTLLRMKAMNQEHLLGLSDIFDKNNQYFKVYSALKASVFITLLDHILEGELFKVPKLTLLHDFLFANPDKLARVICRHADVRAQSANDETYSGLLEVMVKSAADSKKCDVLAEYLLRLLTHKKETTLNWDSIWKRVQDLETPIYQIAGCSAAVKILSCYVTYRVPTNKDMGHFLNSLLQLRLSPREGERFKVLKECLSEILAEQKDPELILLLSVVSNMVSEVDKDDEKLCVDCAEIIQALDVHLGGESNEESIEAGKSKLYARLEAAQDEESIDIFAPVSM
+>sp|Q14576|ELAV3_HUMAN ELAV-like protein 3 OS=Homo sapiens OX=9606 GN=ELAVL3 PE=2 SV=3
+MVTQILGAMESQVGGGPAGPALPNGPLLGTNGATDDSKTNLIVNYLPQNMTQDEFKSLFGSIGDIESCKLVRDKITGQSLGYGFVNYSDPNDADKAINTLNGLKLQTKTIKVSYARPSSASIRDANLYVSGLPKTMSQKEMEQLFSQYGRIITSRILVDQVTGVSRGVGFIRFDKRIEAEEAIKGLNGQKPLGAAEPITVKFANNPSQKTGQALLTHLYQSSARRYAGPLHHQTQRFRLDNLLNMAYGVKSPLSLIARFSPIAIDGMSGLAGVGLSGGAAGAGWCIFVYNLSPEADESVLWQLFGPFGAVTNVKVIRDFTTNKCKGFGFVTMTNYDEAAMAIASLNGYRLGERVLQVSFKTSKQHKA
+>DECOY_sp|Q14576|ELAV3_HUMAN ELAV-like protein 3 OS=Homo sapiens OX=9606 GN=ELAVL3 PE=2 SV=3
+AKHQKSTKFSVQLVREGLRYGNLSAIAMAAEDYNTMTVFGFGKCKNTTFDRIVKVNTVAGFPGFLQWLVSEDAEPSLNYVFICWGAGAAGGSLGVGALGSMGDIAIPSFRAILSLPSKVGYAMNLLNDLRFRQTQHHLPGAYRRASSQYLHTLLAQGTKQSPNNAFKVTIPEAAGLPKQGNLGKIAEEAEIRKDFRIFGVGRSVGTVQDVLIRSTIIRGYQSFLQEMEKQSMTKPLGSVYLNADRISASSPRAYSVKITKTQLKLGNLTNIAKDADNPDSYNVFGYGLSQGTIKDRVLKCSEIDGISGFLSKFEDQTMNQPLYNVILNTKSDDTAGNTGLLPGNPLAPGAPGGGVQSEMAGLIQTVM
+>sp|A0A087WX78|ELB3C_HUMAN Putative elongin-A3 member C OS=Homo sapiens OX=9606 GN=ELOA3C PE=5 SV=3
+MAPADSGPHRDPPTRTAPLPMPEGPEPAVPGEQPGRGHAHAAQGGPLLGQGCQGQPQGEAVGSHSKGHKSSRGASAQKSPPVQESQSERLQAAGADSAGPKTVPSHVFSELWDPSEAWMQANYDLLSAFEAMTSQANPEALSAPTLQEEAAFPGRRVNAKMPVYSGSRPACQLQVPTLRQQCLRVPRNNPDALGDVEGVPYSVLEPVLEGWTPDQPYRTEKDNAALARETDELWRIHCLQDFKEEKPQEHESWRELYLRLRDAREQRLRVVTTKIRSARENKPSGRQTKMICFNSVAKTPYDASRRQEKSAGAADPGNGEMEPAPKPAGSSQAPSGLGDGDGGSVSGGGSSNRHAAPADKTRKQAAKKVAPLMAKAIRDYKGRFSRR
+>DECOY_sp|A0A087WX78|ELB3C_HUMAN Putative elongin-A3 member C OS=Homo sapiens OX=9606 GN=ELOA3C PE=5 SV=3
+RRSFRGKYDRIAKAMLPAVKKAAQKRTKDAPAAHRNSSGGGSVSGGDGDGLGSPAQSSGAPKPAPEMEGNGPDAAGASKEQRRSADYPTKAVSNFCIMKTQRGSPKNERASRIKTTVVRLRQERADRLRLYLERWSEHEQPKEEKFDQLCHIRWLEDTERALAANDKETRYPQDPTWGELVPELVSYPVGEVDGLADPNNRPVRLCQQRLTPVQLQCAPRSGSYVPMKANVRRGPFAAEEQLTPASLAEPNAQSTMAEFASLLDYNAQMWAESPDWLESFVHSPVTKPGASDAGAAQLRESQSEQVPPSKQASAGRSSKHGKSHSGVAEGQPQGQCGQGLLPGGQAAHAHGRGPQEGPVAPEPGEPMPLPATRTPPDRHPGSDAPAM
+>sp|Q15723|ELF2_HUMAN ETS-related transcription factor Elf-2 OS=Homo sapiens OX=9606 GN=ELF2 PE=1 SV=2
+MTSAVVDSGGTILELSSNGVENQEESEKVSEYPAVIVEPVPSARLEQGYAAQVLVYDDETYMMQDVAEEQEVETENVETVEASVHSSNAHCTDKTIEAAEALLHMESPTCLRDSRSPVEVFVPPCVSTPEFIHAAMRPDVITETVVEVSTEESEPMDTSPIPTSPDSHEPMKKKKVGRKPKTQQSPISNGSPELGIKKKPREGKGNTTYLWEFLLDLLQDKNTCPRYIKWTQREKGIFKLVDSKAVSKLWGKHKNKPDMNYETMGRALRYYYQRGILAKVEGQRLVYQFKDMPKNIVVIDDDKSETCNEDLAGTTDEKSLERVSLSAESLLKAASSVRSGKNSSPINCSRAEKGVARVVNITSPGHDASSRSPTTTASVSATAAPRTVRVAMQVPVVMTSLGQKISTVAVQSVNAGAPLITSTSPTTATSPKVVIQTIPTVMPASTENGDKITMQPAKIITIPATQLAQCQLQTKSNLTGSGSINIVGTPLAVRALTPVSIAHGTPVMRLSMPTQQASGQTPPRVISAVIKGPEVKSEAVAKKQEHDVKTLQLVEEKPADGNKTVTHVVVVSAPSAIALPVTMKTEGLVTCEK
+>DECOY_sp|Q15723|ELF2_HUMAN ETS-related transcription factor Elf-2 OS=Homo sapiens OX=9606 GN=ELF2 PE=1 SV=2
+KECTVLGETKMTVPLAIASPASVVVVHTVTKNGDAPKEEVLQLTKVDHEQKKAVAESKVEPGKIVASIVRPPTQGSAQQTPMSLRMVPTGHAISVPTLARVALPTGVINISGSGTLNSKTQLQCQALQTAPITIIKAPQMTIKDGNETSAPMVTPITQIVVKPSTATTPSTSTILPAGANVSQVAVTSIKQGLSTMVVPVQMAVRVTRPAATASVSATTTPSRSSADHGPSTINVVRAVGKEARSCNIPSSNKGSRVSSAAKLLSEASLSVRELSKEDTTGALDENCTESKDDDIVVINKPMDKFQYVLRQGEVKALIGRQYYYRLARGMTEYNMDPKNKHKGWLKSVAKSDVLKFIGKERQTWKIYRPCTNKDQLLDLLFEWLYTTNGKGERPKKKIGLEPSGNSIPSQQTKPKRGVKKKKMPEHSDPSTPIPSTDMPESEETSVEVVTETIVDPRMAAHIFEPTSVCPPVFVEVPSRSDRLCTPSEMHLLAEAAEITKDTCHANSSHVSAEVTEVNETEVEQEEAVDQMMYTEDDYVLVQAAYGQELRASPVPEVIVAPYESVKESEEQNEVGNSSLELITGGSDVVASTM
+>sp|Q99607|ELF4_HUMAN ETS-related transcription factor Elf-4 OS=Homo sapiens OX=9606 GN=ELF4 PE=1 SV=1
+MAITLQPSDLIFEFASNGMDDDIHQLEDPSVFPAVIVEQVPYPDLLHLYSGLELDDVHNGIITDGTLCMTQDQILEGSFLLTDDNEATSHTMSTAEVLLNMESPSDILDEKQIFSTSEMLPDSDPAPAVTLPNYLFPASEPDALNRAGDTSDQEGHSLEEKASREESAKKTGKSKKRIRKTKGNRSTSPVTDPSIPIRKKSKDGKGSTIYLWEFLLALLQDRNTCPKYIKWTQREKGIFKLVDSKAVSKLWGKQKNKPDMNYETMGRALRYYYQRGILAKVEGQRLVYQFKEMPKDLVVIEDEDESSEATAAPPQASTASVASASTTRRTSSRVSSRSAPQGKGSSSWEKPKIQHVGLQPSASLELGPSLDEEIPTTSTMLVSPAEGQVKLTKAVSASSVPSNIHLGVAPVGSGSALTLQTIPLTTVLTNGPPASTTAPTQLVLQSVPAASTFKDTFTLQASFPLNASFQDSQVAAPGAPLILSGLPQLLAGANRPTNPAPPTVTGAGPAGPSSQPPGTVIAAFIRTSGTTAAPRVKEGPLRSSSYVQGMVTGAPMEGLLVPEETLRELLRDQAHLQPLPTQVVSRGSHNPSLLGNQTLSPPSRPTVGLTPVAELELSSGSGSLLMAEPSVTTSGSLLTRSPTPAPFSPFNPTSLIKMEPHDI
+>DECOY_sp|Q99607|ELF4_HUMAN ETS-related transcription factor Elf-4 OS=Homo sapiens OX=9606 GN=ELF4 PE=1 SV=1
+IDHPEMKILSTPNFPSFPAPTPSRTLLSGSTTVSPEAMLLSGSGSSLELEAVPTLGVTPRSPPSLTQNGLLSPNHSGRSVVQTPLPQLHAQDRLLERLTEEPVLLGEMPAGTVMGQVYSSSRLPGEKVRPAATTGSTRIFAAIVTGPPQSSPGAPGAGTVTPPAPNTPRNAGALLQPLGSLILPAGPAAVQSDQFSANLPFSAQLTFTDKFTSAAPVSQLVLQTPATTSAPPGNTLVTTLPITQLTLASGSGVPAVGLHINSPVSSASVAKTLKVQGEAPSVLMTSTTPIEEDLSPGLELSASPQLGVHQIKPKEWSSSGKGQPASRSSVRSSTRRTTSASAVSATSAQPPAATAESSEDEDEIVVLDKPMEKFQYVLRQGEVKALIGRQYYYRLARGMTEYNMDPKNKQKGWLKSVAKSDVLKFIGKERQTWKIYKPCTNRDQLLALLFEWLYITSGKGDKSKKRIPISPDTVPSTSRNGKTKRIRKKSKGTKKASEERSAKEELSHGEQDSTDGARNLADPESAPFLYNPLTVAPAPDSDPLMESTSFIQKEDLIDSPSEMNLLVEATSMTHSTAENDDTLLFSGELIQDQTMCLTGDTIIGNHVDDLELGSYLHLLDPYPVQEVIVAPFVSPDELQHIDDDMGNSAFEFILDSPQLTIAM
+>sp|Q8IZ81|ELMD2_HUMAN ELMO domain-containing protein 2 OS=Homo sapiens OX=9606 GN=ELMOD2 PE=1 SV=1
+MFISLWEFFYGHFFRFWMKWLLRQMTGKCELQRIFDTYVGAQRTHRIENSLTYSKNKVLQKATHVVQSEVDKYVDDIMKEKNINPEKDASFKICMKMCLLQITGYKQLYLDVESVRKRPYDSDNLQHEELLMKLWNLLMPTKKLNARISKQWAEIGFQGDDPKTDFRGMGILGLINLVYFSENYTSEAHQILSRSNHPKLGYSYAIVGINLTEMAYSLLKSEALKFHLYNLVPGIPTMEHFHQFYCYLVYEFDKFWFEEEPESIMYFNLYREKFHEKIKGLLLDCNVALTLKV
+>DECOY_sp|Q8IZ81|ELMD2_HUMAN ELMO domain-containing protein 2 OS=Homo sapiens OX=9606 GN=ELMOD2 PE=1 SV=1
+VKLTLAVNCDLLLGKIKEHFKERYLNFYMISEPEEEFWFKDFEYVLYCYFQHFHEMTPIGPVLNYLHFKLAESKLLSYAMETLNIGVIAYSYGLKPHNSRSLIQHAESTYNESFYVLNILGLIGMGRFDTKPDDGQFGIEAWQKSIRANLKKTPMLLNWLKMLLEEHQLNDSDYPRKRVSEVDLYLQKYGTIQLLCMKMCIKFSADKEPNINKEKMIDDVYKDVESQVVHTAKQLVKNKSYTLSNEIRHTRQAGVYTDFIRQLECKGTMQRLLWKMWFRFFHGYFFEWLSIFM
+>sp|P08246|ELNE_HUMAN Neutrophil elastase OS=Homo sapiens OX=9606 GN=ELANE PE=1 SV=1
+MTLGRRLACLFLACVLPALLLGGTALASEIVGGRRARPHAWPFMVSLQLRGGHFCGATLIAPNFVMSAAHCVANVNVRAVRVVLGAHNLSRREPTRQVFAVQRIFENGYDPVNLLNDIVILQLNGSATINANVQVAQLPAQGRRLGNGVQCLAMGWGLLGRNRGIASVLQELNVTVVTSLCRRSNVCTLVRGRQAGVCFGDSGSPLVCNGLIHGIASFVRGGCASGLYPDAFAPVAQFVNWIDSIIQRSEDNPCPHPRDPDPASRTH
+>DECOY_sp|P08246|ELNE_HUMAN Neutrophil elastase OS=Homo sapiens OX=9606 GN=ELANE PE=1 SV=1
+HTRSAPDPDRPHPCPNDESRQIISDIWNVFQAVPAFADPYLGSACGGRVFSAIGHILGNCVLPSGSDGFCVGAQRGRVLTCVNSRRCLSTVVTVNLEQLVSAIGRNRGLLGWGMALCQVGNGLRRGQAPLQAVQVNANITASGNLQLIVIDNLLNVPDYGNEFIRQVAFVQRTPERRSLNHAGLVVRVARVNVNAVCHAASMVFNPAILTAGCFHGGRLQLSVMFPWAHPRARRGGVIESALATGGLLLAPLVCALFLCALRRGLTM
+>sp|P15502|ELN_HUMAN Elastin OS=Homo sapiens OX=9606 GN=ELN PE=1 SV=3
+MAGLTAAAPRPGVLLLLLSILHPSRPGGVPGAIPGGVPGGVFYPGAGLGALGGGALGPGGKPLKPVPGGLAGAGLGAGLGAFPAVTFPGALVPGGVADAAAAYKAAKAGAGLGGVPGVGGLGVSAGAVVPQPGAGVKPGKVPGVGLPGVYPGGVLPGARFPGVGVLPGVPTGAGVKPKAPGVGGAFAGIPGVGPFGGPQPGVPLGYPIKAPKLPGGYGLPYTTGKLPYGYGPGGVAGAAGKAGYPTGTGVGPQAAAAAAAKAAAKFGAGAAGVLPGVGGAGVPGVPGAIPGIGGIAGVGTPAAAAAAAAAAKAAKYGAAAGLVPGGPGFGPGVVGVPGAGVPGVGVPGAGIPVVPGAGIPGAAVPGVVSPEAAAKAAAKAAKYGARPGVGVGGIPTYGVGAGGFPGFGVGVGGIPGVAGVPGVGGVPGVGGVPGVGISPEAQAAAAAKAAKYGAAGAGVLGGLVPGPQAAVPGVPGTGGVPGVGTPAAAAAKAAAKAAQFGLVPGVGVAPGVGVAPGVGVAPGVGLAPGVGVAPGVGVAPGVGVAPGIGPGGVAAAAKSAAKVAAKAQLRAAAGLGAGIPGLGVGVGVPGLGVGAGVPGLGVGAGVPGFGAGADEGVRRSLSPELREGDPSSSQHLPSTPSSPRVPGALAAAKAAKYGAAVPGVLGGLGALGGVGIPGGVVGAGPAAAAAAAKAAAKAAQFGLVGAAGLGGLGVGGLGVPGVGGLGGIPPAAAAKAAKYGAAGLGGVLGGAGQFPLGGVAARPGFGLSPIFPGGACLGKACGRKRK
+>DECOY_sp|P15502|ELN_HUMAN Elastin OS=Homo sapiens OX=9606 GN=ELN PE=1 SV=3
+KRKRGCAKGLCAGGPFIPSLGFGPRAAVGGLPFQGAGGLVGGLGAAGYKAAKAAAAPPIGGLGGVGPVGLGGVGLGGLGAAGVLGFQAAKAAAKAAAAAAAPGAGVVGGPIGVGGLAGLGGLVGPVAAGYKAAKAAALAGPVRPSSPTSPLHQSSSPDGERLEPSLSRRVGEDAGAGFGPVGAGVGLGPVGAGVGLGPVGVGVGLGPIGAGLGAAARLQAKAAVKAASKAAAAVGGPGIGPAVGVGPAVGVGPAVGVGPALGVGPAVGVGPAVGVGPAVGVGPVLGFQAAKAAAKAAAAAPTGVGPVGGTGPVGPVAAQPGPVLGGLVGAGAAGYKAAKAAAAAQAEPSIGVGPVGGVGPVGGVGPVGAVGPIGGVGVGFGPFGGAGVGYTPIGGVGVGPRAGYKAAKAAAKAAAEPSVVGPVAAGPIGAGPVVPIGAGPVGVGPVGAGPVGVVGPGFGPGGPVLGAAAGYKAAKAAAAAAAAAAPTGVGAIGGIGPIAGPVGPVGAGGVGPLVGAAGAGFKAAAKAAAAAAAQPGVGTGTPYGAKGAAGAVGGPGYGYPLKGTTYPLGYGGPLKPAKIPYGLPVGPQPGGFPGVGPIGAFAGGVGPAKPKVGAGTPVGPLVGVGPFRAGPLVGGPYVGPLGVGPVKGPKVGAGPQPVVAGASVGLGGVGPVGGLGAGAKAAKYAAAADAVGGPVLAGPFTVAPFAGLGAGLGAGALGGPVPKLPKGGPGLAGGGLAGLGAGPYFVGGPVGGPIAGPVGGPRSPHLISLLLLLVGPRPAAATLGAM
+>sp|Q9H9T3|ELP3_HUMAN Elongator complex protein 3 OS=Homo sapiens OX=9606 GN=ELP3 PE=1 SV=2
+MRQKRKGDLSPAELMMLTIGDVIKQLIEAHEQGKDIDLNKVKTKTAAKYGLSAQPRLVDIIAAVPPQYRKVLMPKLKAKPIRTASGIAVVAVMCKPHRCPHISFTGNICVYCPGGPDSDFEYSTQSYTGYEPTSMRAIRARYDPFLQTRHRIEQLKQLGHSVDKVEFIVMGGTFMALPEEYRDYFIRNLHDALSGHTSNNIYEAVKYSERSLTKCIGITIETRPDYCMKRHLSDMLTYGCTRLEIGVQSVYEDVARDTNRGHTVKAVCESFHLAKDSGFKVVAHMMPDLPNVGLERDIEQFTEFFENPAFRPDGLKLYPTLVIRGTGLYELWKSGRYKSYSPSDLVELVARILALVPPWTRVYRVQRDIPMPLVSSGVEHGNLRELALARMKDLGIQCRDVRTREVGIQEIHHKVRPYQVELVRRDYVANGGWETFLSYEDPDQDILIGLLRLRKCSEETFRFELGGGVSIVRELHVYGSVVPVSSRDPTKFQHQGFGMLLMEEAERIAREEHGSGKIAVISGVGTRNYYRKIGYRLQGPYMVKMLK
+>DECOY_sp|Q9H9T3|ELP3_HUMAN Elongator complex protein 3 OS=Homo sapiens OX=9606 GN=ELP3 PE=1 SV=2
+KLMKVMYPGQLRYGIKRYYNRTGVGSIVAIKGSGHEERAIREAEEMLLMGFGQHQFKTPDRSSVPVVSGYVHLERVISVGGGLEFRFTEESCKRLRLLGILIDQDPDEYSLFTEWGGNAVYDRRVLEVQYPRVKHHIEQIGVERTRVDRCQIGLDKMRALALERLNGHEVGSSVLPMPIDRQVRYVRTWPPVLALIRAVLEVLDSPSYSKYRGSKWLEYLGTGRIVLTPYLKLGDPRFAPNEFFETFQEIDRELGVNPLDPMMHAVVKFGSDKALHFSECVAKVTHGRNTDRAVDEYVSQVGIELRTCGYTLMDSLHRKMCYDPRTEITIGICKTLSRESYKVAEYINNSTHGSLADHLNRIFYDRYEEPLAMFTGGMVIFEVKDVSHGLQKLQEIRHRTQLFPDYRARIARMSTPEYGTYSQTSYEFDSDPGGPCYVCINGTFSIHPCRHPKCMVAVVAIGSATRIPKAKLKPMLVKRYQPPVAAIIDVLRPQASLGYKAATKTKVKNLDIDKGQEHAEILQKIVDGITLMMLEAPSLDGKRKQRM
+>sp|Q96EB1|ELP4_HUMAN Elongator complex protein 4 OS=Homo sapiens OX=9606 GN=ELP4 PE=1 SV=2
+MAAVATCGSVAASTGSAVATASKSNVTSFQRRGPRASVTNDSGPRLVSIAGTRPSVRNGQLLVSTGLPALDQLLGGGLAVGTVLLIEEDKYNIYSPLLFKYFLAEGIVNGHTLLVASAKEDPANILQELPAPLLDDKCKKEFDEDVYNHKTPESNIKMKIAWRYQLLPKMEIGPVSSSRFGHYYDASKRMPQELIEASNWHGFFLPEKISSTLKVEPCSLTPGYTKLLQFIQNIIYEEGFDGSNPQKKQRNILRIGIQNLGSPLWGDDICCAENGGNSHSLTKFLYVLRGLLRTSLSACIITMPTHLIQNKAIIARVTTLSDVVVGLESFIGSERETNPLYKDYHGLIHIRQIPRLNNLICDESDVKDLAFKLKRKLFTIERLHLPPDLSDTVSRSSKMDLAESAKRLGPGCGMMAGGKKHLDF
+>DECOY_sp|Q96EB1|ELP4_HUMAN Elongator complex protein 4 OS=Homo sapiens OX=9606 GN=ELP4 PE=1 SV=2
+FDLHKKGGAMMGCGPGLRKASEALDMKSSRSVTDSLDPPLHLREITFLKRKLKFALDKVDSEDCILNNLRPIQRIHILGHYDKYLPNTERESGIFSELGVVVDSLTTVRAIIAKNQILHTPMTIICASLSTRLLGRLVYLFKTLSHSNGGNEACCIDDGWLPSGLNQIGIRLINRQKKQPNSGDFGEEYIINQIFQLLKTYGPTLSCPEVKLTSSIKEPLFFGHWNSAEILEQPMRKSADYYHGFRSSSVPGIEMKPLLQYRWAIKMKINSEPTKHNYVDEDFEKKCKDDLLPAPLEQLINAPDEKASAVLLTHGNVIGEALFYKFLLPSYINYKDEEILLVTGVALGGGLLQDLAPLGTSVLLQGNRVSPRTGAISVLRPGSDNTVSARPGRRQFSTVNSKSATAVASGTSAAVSGCTAVAAM
+>sp|O00423|EMAL1_HUMAN Echinoderm microtubule-associated protein-like 1 OS=Homo sapiens OX=9606 GN=EML1 PE=1 SV=3
+MEDGFSSYSSLYDTSSLLQFCNDDSASAASSMEVTDRIASLEQRVQMQEDDIQLLKSALADVVRRLNITEEQQAVLNRKGPTKARPLMQTLPLRTTVNNGTVLPKKPTGSLPSPSGVRKETAVPATKSNIKRTSSSERVSPGGRRESNGDSRGNRNRTGSTSSSSSGKKNSESKPKEPVFSAEEGYVKMFLRGRPVTMYMPKDQVDSYSLEAKVELPTKRLKLEWVYGYRGRDCRNNLYLLPTGETVYFIASVVVLYNVEEQLQRHYAGHNDDVKCLAVHPDRITIATGQVAGTSKDGKQLPPHVRIWDSVTLNTLHVIGIGFFDRAVTCIAFSKSNGGTNLCAVDDSNDHVLSVWDWQKEEKLADVKCSNEAVFAADFHPTDTNIIVTCGKSHLYFWTLEGSSLNKKQGLFEKQEKPKFVLCVTFSENGDTITGDSSGNILVWGKGTNRISYAVQGAHEGGIFALCMLRDGTLVSGGGKDRKLISWSGNYQKLRKTEIPEQFGPIRTVAEGKGDVILIGTTRNFVLQGTLSGDFTPITQGHTDELWGLAIHASKSQFLTCGHDKHATLWDAVGHRPVWDKIIEDPAQSSGFHPSGSVVAVGTLTGRWFVFDTETKDLVTVHTDGNEQLSVMRYSPDGNFLAIGSHDNCIYIYGVSDNGRKYTRVGKCSGHSSFITHLDWSVNSQFLVSNSGDYEILYWVPSACKQVVSVETTRDIEWATYTCTLGFHVFGVWPEGSDGTDINAVCRAHEKKLLSTGDDFGKVHLFSYPCSQFRAPSHIYGGHSSHVTNVDFLCEDSHLISTGGKDTSIMQWRVI
+>DECOY_sp|O00423|EMAL1_HUMAN Echinoderm microtubule-associated protein-like 1 OS=Homo sapiens OX=9606 GN=EML1 PE=1 SV=3
+IVRWQMISTDKGGTSILHSDECLFDVNTVHSSHGGYIHSPARFQSCPYSFLHVKGFDDGTSLLKKEHARCVANIDTGDSGEPWVGFVHFGLTCTYTAWEIDRTTEVSVVQKCASPVWYLIEYDGSNSVLFQSNVSWDLHTIFSSHGSCKGVRTYKRGNDSVGYIYICNDHSGIALFNGDPSYRMVSLQENGDTHVTVLDKTETDFVFWRGTLTGVAVVSGSPHFGSSQAPDEIIKDWVPRHGVADWLTAHKDHGCTLFQSKSAHIALGWLEDTHGQTIPTFDGSLTGQLVFNRTTGILIVDGKGEAVTRIPGFQEPIETKRLKQYNGSWSILKRDKGGGSVLTGDRLMCLAFIGGEHAGQVAYSIRNTGKGWVLINGSSDGTITDGNESFTVCLVFKPKEQKEFLGQKKNLSSGELTWFYLHSKGCTVIINTDTPHFDAAFVAENSCKVDALKEEKQWDWVSLVHDNSDDVACLNTGGNSKSFAICTVARDFFGIGIVHLTNLTVSDWIRVHPPLQKGDKSTGAVQGTAITIRDPHVALCKVDDNHGAYHRQLQEEVNYLVVVSAIFYVTEGTPLLYLNNRCDRGRYGYVWELKLRKTPLEVKAELSYSDVQDKPMYMTVPRGRLFMKVYGEEASFVPEKPKSESNKKGSSSSSTSGTRNRNGRSDGNSERRGGPSVRESSSTRKINSKTAPVATEKRVGSPSPLSGTPKKPLVTGNNVTTRLPLTQMLPRAKTPGKRNLVAQQEETINLRRVVDALASKLLQIDDEQMQVRQELSAIRDTVEMSSAASASDDNCFQLLSSTDYLSSYSSFGDEM
+>sp|Q32P44|EMAL3_HUMAN Echinoderm microtubule-associated protein-like 3 OS=Homo sapiens OX=9606 GN=EML3 PE=1 SV=1
+MDGAAGPGDGPAREALQSLSQRLRVQEQEMELVKAALAEALRLLRLQVPPSSLQGSGTPAPPGDSLAAPPGLPPTCTPSLVSRGTQTETEVELKSSPGPPGLSNGPPAPQGASEEPSGTQSEGGGSSSSGAGSPGPPGILRPLQPPQRADTPRRNSSSSSSPSERPRQKLSRKAISSANLLVRSGSTESRGGKDPLSSPGGPGSRRSNYNLEGISVKMFLRGRPITMYIPSGIRSLEELPSGPPPETLSLDWVYGYRGRDSRSNLFVLRSGEVVYFIACVVVLYRPGGGPGGPGGGGQRHYRGHTDCVRCLAVHPDGVRVASGQTAGVDKDGKPLQPVVHIWDSETLLKLQEIGLGAFERGVGALAFSAADQGAFLCVVDDSNEHMLSVWDCSRGMKLAEIKSTNDSVLAVGFNPRDSSCIVTSGKSHVHFWNWSGGVGVPGNGTLTRKQGVFGKYKKPKFIPCFVFLPDGDILTGDSEGNILTWGRSPSDSKTPGRGGAKETYGIVAQAHAHEGSIFALCLRRDGTVLSGGGRDRRLVQWGPGLVALQEAEIPEHFGAVRAIAEGLGSELLVGTTKNALLRGDLAQGFSPVIQGHTDELWGLCTHPSQNRFLTCGHDRQLCLWDGESHALAWSIDLKETGLCADFHPSGAVVAVGLNTGRWLVLDTETREIVSDVIDGNEQLSVVRYSPDGLYLAIGSHDNVIYIYSVSSDGAKSSRFGRCMGHSSFITHLDWSKDGNFIMSNSGDYEILYWDVAGGCKQLKNRYESRDREWATYTCVLGFHVYGVWPDGSDGTDINSLCRSHNERVVAVADDFCKVHLFQYPCARAKAPSRMYGGHGSHVTSVRFTHDDSHLVSLGGKDASIFQWRVLGAGGAGPAPATPSRTPSLSPASSLDV
+>DECOY_sp|Q32P44|EMAL3_HUMAN Echinoderm microtubule-associated protein-like 3 OS=Homo sapiens OX=9606 GN=EML3 PE=1 SV=1
+VDLSSAPSLSPTRSPTAPAPGAGGAGLVRWQFISADKGGLSVLHSDDHTFRVSTVHSGHGGYMRSPAKARACPYQFLHVKCFDDAVAVVRENHSRCLSNIDTGDSGDPWVGYVHFGLVCTYTAWERDRSEYRNKLQKCGGAVDWYLIEYDGSNSMIFNGDKSWDLHTIFSSHGMCRGFRSSKAGDSSVSYIYIVNDHSGIALYLGDPSYRVVSLQENGDIVDSVIERTETDLVLWRGTNLGVAVVAGSPHFDACLGTEKLDISWALAHSEGDWLCLQRDHGCTLFRNQSPHTCLGWLEDTHGQIVPSFGQALDGRLLANKTTGVLLESGLGEAIARVAGFHEPIEAEQLAVLGPGWQVLRRDRGGGSLVTGDRRLCLAFISGEHAHAQAVIGYTEKAGGRGPTKSDSPSRGWTLINGESDGTLIDGDPLFVFCPIFKPKKYKGFVGQKRTLTGNGPVGVGGSWNWFHVHSKGSTVICSSDRPNFGVALVSDNTSKIEALKMGRSCDWVSLMHENSDDVVCLFAGQDAASFALAGVGREFAGLGIEQLKLLTESDWIHVVPQLPKGDKDVGATQGSAVRVGDPHVALCRVCDTHGRYHRQGGGGPGGPGGGPRYLVVVCAIFYVVEGSRLVFLNSRSDRGRYGYVWDLSLTEPPPGSPLEELSRIGSPIYMTIPRGRLFMKVSIGELNYNSRRSGPGGPSSLPDKGGRSETSGSRVLLNASSIAKRSLKQRPRESPSSSSSSNRRPTDARQPPQLPRLIGPPGPSGAGSSSSGGGESQTGSPEESAGQPAPPGNSLGPPGPSSKLEVETETQTGRSVLSPTCTPPLGPPAALSDGPPAPTGSGQLSSPPVQLRLLRLAEALAAKVLEMEQEQVRLRQSLSQLAERAPGDGPGAAGDM
+>sp|Q5UCC4|EMC10_HUMAN ER membrane protein complex subunit 10 OS=Homo sapiens OX=9606 GN=EMC10 PE=1 SV=1
+MAAASAGATRLLLLLLMAVAAPSRARGSGCRAGTGARGAGAEGREGEACGTVGLLLEHSFEIDDSANFRKRGSLLWNQQDGTLSLSQRQLSEEERGRLRDVAALNGLYRVRIPRRPGALDGLEAGGYVSSFVPACSLVESHLSDQLTLHVDVAGNVVGVSVVTHPGGCRGHEVEDVDLELFNTSVQLQPPTTAPGPETAAFIERLEMEQAQKAKNPQEQKSFFAKYWMYIIPVVLFLMMSGAPDTGGQGGGGGGGGGGGSGR
+>DECOY_sp|Q5UCC4|EMC10_HUMAN ER membrane protein complex subunit 10 OS=Homo sapiens OX=9606 GN=EMC10 PE=1 SV=1
+RGSGGGGGGGGGGGQGGTDPAGSMMLFLVVPIIYMWYKAFFSKQEQPNKAKQAQEMELREIFAATEPGPATTPPQLQVSTNFLELDVDEVEHGRCGGPHTVVSVGVVNGAVDVHLTLQDSLHSEVLSCAPVFSSVYGGAELGDLAGPRRPIRVRYLGNLAAVDRLRGREEESLQRQSLSLTGDQQNWLLSGRKRFNASDDIEFSHELLLGVTGCAEGERGEAGAGRAGTGARCGSGRARSPAAVAMLLLLLLRTAGASAAAM
+>sp|Q8N766|EMC1_HUMAN ER membrane protein complex subunit 1 OS=Homo sapiens OX=9606 GN=EMC1 PE=1 SV=1
+MAAEWASRFWLWATLLIPAAAVYEDQVGKFDWRQQYVGKVKFASLEFSPGSKKLVVATEKNVIAALNSRTGEILWRHVDKGTAEGAVDAMLLHGQDVITVSNGGRIMRSWETNIGGLNWEITLDSGSFQALGLVGLQESVRYIAVLKKTTLALHHLSSGHLKWVEHLPESDSIHYQMVYSYGSGVVWALGVVPFSHVNIVKFNVEDGEIVQQVRVSTPWLQHLSGACGVVDEAVLVCPDPSSRSLQTLALETEWELRQIPLQSLDLEFGSGFQPRVLPTQPNPVDASRAQFFLHLSPSHYALLQYHYGTLSLLKNFPQTALVSFATTGEKTVAAVMACRNEVQKSSSSEDGSMGSFSEKSSSKDSLACFNQTYTINLYLVETGRRLLDTTITFSLEQSGTRPERLYIQVFLKKDDSVGYRALVQTEDHLLLFLQQLAGKVVLWSREESLAEVVCLEMVDLPLTGAQAELEGEFGKKADGLLGMFLKRLSSQLILLQAWTSHLWKMFYDARKPRSQIKNEINIDTLARDEFNLQKMMVMVTASGKLFGIESSSGTILWKQYLPNVKPDSSFKLMVQRTTAHFPHPPQCTLLVKDKESGMSSLYVFNPIFGKWSQVAPPVLKRPILQSLLLPVMDQDYAKVLLLIDDEYKVTAFPATRNVLRQLHELAPSIFFYLVDAEQGRLCGYRLRKDLTTELSWELTIPPEVQRIVKVKGKRSSEHVHSQGRVMGDRSVLYKSLNPNLLAVVTESTDAHHERTFIGIFLIDGVTGRIIHSSVQKKAKGPVHIVHSENWVVYQYWNTKARRNEFTVLELYEGTEQYNATAFSSLDRPQLPQVLQQSYIFPSSISAMEATITERGITSRHLLIGLPSGAILSLPKALLDPRRPEIPTEQSREENLIPYSPDVQIHAERFINYNQTVSRMRGIYTAPSGLESTCLVVAYGLDIYQTRVYPSKQFDVLKDDYDYVLISSVLFGLVFATMITKRLAQVKLLNRAWR
+>DECOY_sp|Q8N766|EMC1_HUMAN ER membrane protein complex subunit 1 OS=Homo sapiens OX=9606 GN=EMC1 PE=1 SV=1
+RWARNLLKVQALRKTIMTAFVLGFLVSSILVYDYDDKLVDFQKSPYVRTQYIDLGYAVVLCTSELGSPATYIGRMRSVTQNYNIFREAHIQVDPSYPILNEERSQETPIEPRRPDLLAKPLSLIAGSPLGILLHRSTIGRETITAEMASISSPFIYSQQLVQPLQPRDLSSFATANYQETGEYLELVTFENRRAKTNWYQYVVWNESHVIHVPGKAKKQVSSHIIRGTVGDILFIGIFTREHHADTSETVVALLNPNLSKYLVSRDGMVRGQSHVHESSRKGKVKVIRQVEPPITLEWSLETTLDKRLRYGCLRGQEADVLYFFISPALEHLQRLVNRTAPFATVKYEDDILLLVKAYDQDMVPLLLSQLIPRKLVPPAVQSWKGFIPNFVYLSSMGSEKDKVLLTCQPPHPFHATTRQVMLKFSSDPKVNPLYQKWLITGSSSEIGFLKGSATVMVMMKQLNFEDRALTDINIENKIQSRPKRADYFMKWLHSTWAQLLILQSSLRKLFMGLLGDAKKGFEGELEAQAGTLPLDVMELCVVEALSEERSWLVVKGALQQLFLLLHDETQVLARYGVSDDKKLFVQIYLREPRTGSQELSFTITTDLLRRGTEVLYLNITYTQNFCALSDKSSSKESFSGMSGDESSSSKQVENRCAMVAAVTKEGTTAFSVLATQPFNKLLSLTGYHYQLLAYHSPSLHLFFQARSADVPNPQTPLVRPQFGSGFELDLSQLPIQRLEWETELALTQLSRSSPDPCVLVAEDVVGCAGSLHQLWPTSVRVQQVIEGDEVNFKVINVHSFPVVGLAWVVGSGYSYVMQYHISDSEPLHEVWKLHGSSLHHLALTTKKLVAIYRVSEQLGVLGLAQFSGSDLTIEWNLGGINTEWSRMIRGGNSVTIVDQGHLLMADVAGEATGKDVHRWLIEGTRSNLAAIVNKETAVVLKKSGPSFELSAFKVKGVYQQRWDFKGVQDEYVAAAPILLTAWLWFRSAWEAAM
+>sp|O43402|EMC8_HUMAN ER membrane protein complex subunit 8 OS=Homo sapiens OX=9606 GN=EMC8 PE=1 SV=1
+MPGVKLTTQAYCKMVLHGAKYPHCAVNGLLVAEKQKPRKEHLPLGGPGAHHTLFVDCIPLFHGTLALAPMLEVALTLIDSWCKDHSYVIAGYYQANERVKDASPNQVAEKVASRIAEGFSDTALIMVDNTKFTMDCVAPTIHVYEHHENRWRCRDPHHDYCEDWPEAQRISASLLDSRSYETLVDFDNHLDDIRNDWTNPEINKAVLHLC
+>DECOY_sp|O43402|EMC8_HUMAN ER membrane protein complex subunit 8 OS=Homo sapiens OX=9606 GN=EMC8 PE=1 SV=1
+CLHLVAKNIEPNTWDNRIDDLHNDFDVLTEYSRSDLLSASIRQAEPWDECYDHHPDRCRWRNEHHEYVHITPAVCDMTFKTNDVMILATDSFGEAIRSAVKEAVQNPSADKVRENAQYYGAIVYSHDKCWSDILTLAVELMPALALTGHFLPICDVFLTHHAGPGGLPLHEKRPKQKEAVLLGNVACHPYKAGHLVMKCYAQTTLKVGPM
+>sp|Q96AY2|EME1_HUMAN Crossover junction endonuclease EME1 OS=Homo sapiens OX=9606 GN=EME1 PE=1 SV=2
+MALKKSSPSLDSGDSDSEELPTFAFLKKEPSSTKRRQPEREEKIVVVDISDCEASCPPAPELFSPPVPEIAETVTQTQPVRLLSSESEDEEEFIPLAQRLTCKFLTHKQLSPEDSSSPVKSVLDHQNNEGASCDWKKPFPKIPEVPLHDTPERSAADNKDLILDPCCQLPAYLSTCPGQSSSLAVTKTNSDILPPQKKTKPSQKVQGRGSHGCRQQRQARQKESTLRRQERKNAALVTRMKAQRPEECLKHIIVVLDPVLLQMEGGGQLLGALQTMECRCVIEAQAVPCSVTWRRRAGPSEDREDWVEEPTVLVLLRAEAFVSMIDNGKQGSLDSTMKGKETLQGFVTDITAKTAGKALSLVIVDQEKCFSAQNPPRRGKQGANKQTKKQQQRQPEASIGSMVSRVDAEEALVDLQLHTEAQAQIVQSWKELADFTCAFTKAVAEAPFKKLRDETTFSFCLESDWAGGVKVDLAGRGLALVWRRQIQQLNRVSLEMASAVVNAYPSPQLLVQAYQQCFSDKERQNLLADIQVRRGEGVTSTSRRIGPELSRRIYLQMTTLQPHLSLDSAD
+>DECOY_sp|Q96AY2|EME1_HUMAN Crossover junction endonuclease EME1 OS=Homo sapiens OX=9606 GN=EME1 PE=1 SV=2
+DASDLSLHPQLTTMQLYIRRSLEPGIRRSTSTVGEGRRVQIDALLNQREKDSFCQQYAQVLLQPSPYANVVASAMELSVRNLQQIQRRWVLALGRGALDVKVGGAWDSELCFSFTTEDRLKKFPAEAVAKTFACTFDALEKWSQVIQAQAETHLQLDVLAEEADVRSVMSGISAEPQRQQQKKTQKNAGQKGRRPPNQASFCKEQDVIVLSLAKGATKATIDTVFGQLTEKGKMTSDLSGQKGNDIMSVFAEARLLVLVTPEEVWDERDESPGARRRWTVSCPVAQAEIVCRCEMTQLAGLLQGGGEMQLLVPDLVVIIHKLCEEPRQAKMRTVLAANKREQRRLTSEKQRAQRQQRCGHSGRGQVKQSPKTKKQPPLIDSNTKTVALSSSQGPCTSLYAPLQCCPDLILDKNDAASREPTDHLPVEPIKPFPKKWDCSAGENNQHDLVSKVPSSSDEPSLQKHTLFKCTLRQALPIFEEEDESESSLLRVPQTQTVTEAIEPVPPSFLEPAPPCSAECDSIDVVVIKEEREPQRRKTSSPEKKLFAFTPLEESDSDGSDLSPSSKKLAM
+>sp|P54852|EMP3_HUMAN Epithelial membrane protein 3 OS=Homo sapiens OX=9606 GN=EMP3 PE=1 SV=1
+MSLLLLVVSALHILILILLFVATLDKSWWTLPGKESLNLWYDCTWNNDTKTWACSNVSENGWLKAVQVLMVLSLILCCLSFILFMFQLYTMRRGGLFYATGLCQLCTSVAVFTGALIYAIHAEEILEKHPRGGSFGYCFALAWVAFPLALVSGIIYIHLRKRE
+>DECOY_sp|P54852|EMP3_HUMAN Epithelial membrane protein 3 OS=Homo sapiens OX=9606 GN=EMP3 PE=1 SV=1
+ERKRLHIYIIGSVLALPFAVWALAFCYGFSGGRPHKELIEEAHIAYILAGTFVAVSTCLQCLGTAYFLGGRRMTYLQFMFLIFSLCCLILSLVMLVQVAKLWGNESVNSCAWTKTDNNWTCDYWLNLSEKGPLTWWSKDLTAVFLLILILIHLASVVLLLLSM
+>sp|Q6PJG2|EMSA1_HUMAN ELM2 and SANT domain-containing protein 1 OS=Homo sapiens OX=9606 GN=ELMSAN1 PE=1 SV=2
+MNLQAQPKAQNKRKRCLFGGQEPAPKEQPPPLQPPQQSIRVKEEQYLGHEGPGGAVSTSQPVELPPPSSLALLNSVVYGPERTSAAMLSQQVASVKWPNSVMAPGRGPERGGGGGVSDSSWQQQPGQPPPHSTWNCHSLSLYSATKGSPHPGVGVPTYYNHPEALKREKAGGPQLDRYVRPMMPQKVQLEVGRPQAPLNSFHAAKKPPNQSLPLQPFQLAFGHQVNRQVFRQGPPPPNPVAAFPPQKQQQQQQPQQQQQQQQAALPQMPLFENFYSMPQQPSQQPQDFGLQPAGPLGQSHLAHHSMAPYPFPPNPDMNPELRKALLQDSAPQPALPQVQIPFPRRSRRLSKEGILPPSALDGAGTQPGQEATGNLFLHHWPLQQPPPGSLGQPHPEALGFPLELRESQLLPDGERLAPNGREREAPAMGSEEGMRAVSTGDCGQVLRGGVIQSTRRRRRASQEANLLTLAQKAVELASLQNAKDGSGSEEKRKSVLASTTKCGVEFSEPSLATKRAREDSGMVPLIIPVSVPVRTVDPTEAAQAGGLDEDGKGPEQNPAEHKPSVIVTRRRSTRIPGTDAQAQAEDMNVKLEGEPSVRKPKQRPRPEPLIIPTKAGTFIAPPVYSNITPYQSHLRSPVRLADHPSERSFELPPYTPPPILSPVREGSGLYFNAIISTSTIPAPPPITPKSAHRTLLRTNSAEVTPPVLSVMGEATPVSIEPRINVGSRFQAEIPLMRDRALAAADPHKADLVWQPWEDLESSREKQRQVEDLLTAACSSIFPGAGTNQELALHCLHESRGDILETLNKLLLKKPLRPHNHPLATYHYTGSDQWKMAERKLFNKGIAIYKKDFFLVQKLIQTKTVAQCVEFYYTYKKQVKIGRNGTLTFGDVDTSDEKSAQEEVEVDIKTSQKFPRVPLPRRESPSEERLEPKREVKEPRKEGEEEVPEIQEKEEQEEGRERSRRAAAVKATQTLQANESASDILILRSHESNAPGSAGGQASEKPREGTGKSRRALPFSEKKKKTETFSKTQNQENTFPCKKCGR
+>DECOY_sp|Q6PJG2|EMSA1_HUMAN ELM2 and SANT domain-containing protein 1 OS=Homo sapiens OX=9606 GN=ELMSAN1 PE=1 SV=2
+RGCKKCPFTNEQNQTKSFTETKKKKESFPLARRSKGTGERPKESAQGGASGPANSEHSRLILIDSASENAQLTQTAKVAAARRSRERGEEQEEKEQIEPVEEEGEKRPEKVERKPELREESPSERRPLPVRPFKQSTKIDVEVEEQASKEDSTDVDGFTLTGNRGIKVQKKYTYYFEVCQAVTKTQILKQVLFFDKKYIAIGKNFLKREAMKWQDSGTYHYTALPHNHPRLPKKLLLKNLTELIDGRSEHLCHLALEQNTGAGPFISSCAATLLDEVQRQKERSSELDEWPQWVLDAKHPDAAALARDRMLPIEAQFRSGVNIRPEISVPTAEGMVSLVPPTVEASNTRLLTRHASKPTIPPPAPITSTSIIANFYLGSGERVPSLIPPPTYPPLEFSRESPHDALRVPSRLHSQYPTINSYVPPAIFTGAKTPIILPEPRPRQKPKRVSPEGELKVNMDEAQAQADTGPIRTSRRRTVIVSPKHEAPNQEPGKGDEDLGGAQAAETPDVTRVPVSVPIILPVMGSDERARKTALSPESFEVGCKTTSALVSKRKEESGSGDKANQLSALEVAKQALTLLNAEQSARRRRRTSQIVGGRLVQGCDGTSVARMGEESGMAPAERERGNPALREGDPLLQSERLELPFGLAEPHPQGLSGPPPQQLPWHHLFLNGTAEQGPQTGAGDLASPPLIGEKSLRRSRRPFPIQVQPLAPQPASDQLLAKRLEPNMDPNPPFPYPAMSHHALHSQGLPGAPQLGFDQPQQSPQQPMSYFNEFLPMQPLAAQQQQQQQQPQQQQQQKQPPFAAVPNPPPPGQRFVQRNVQHGFALQFPQLPLSQNPPKKAAHFSNLPAQPRGVELQVKQPMMPRVYRDLQPGGAKERKLAEPHNYYTPVGVGPHPSGKTASYLSLSHCNWTSHPPPQGPQQQWSSDSVGGGGGREPGRGPAMVSNPWKVSAVQQSLMAASTREPGYVVSNLLALSSPPPLEVPQSTSVAGGPGEHGLYQEEKVRISQQPPQLPPPQEKPAPEQGGFLCRKRKNQAKPQAQLNM
+>sp|Q7Z589|EMSY_HUMAN BRCA2-interacting transcriptional repressor EMSY OS=Homo sapiens OX=9606 GN=EMSY PE=1 SV=2
+MPVVWPTLLDLSRDECKRILRKLELEAYAGVISALRAQGDLTKEKKDLLGELSKVLSISTERHRAEVRRAVNDERLTTIAHNMSGPNSSSEWSIEGRRLVPLMPRLVPQTAFTVTANAVANAAIQHNASLPVPAETGSKEVVCYSYTSTTSTPTSTPVPSGSIATVKSPRPASPASNVVVLPSGSTVYVKSVSCSDEDEKPRKRRRTNSSSSSPVVLKEVPKAVVPVSKTITVPVSGSPKMSNIMQSIANSLPPHMSPVKITFTKPSTQTTNTTTQKVIIVTTSPSSTFVPNILSKSHNYAAVTKLVPTSVIASTTQKPPVVITASQSSLVSNSSSGSSSSTPSPIPNTVAVTAVVSSTPSVVMSTVAQGVSTSAIKMASTRLPSPKSLVSAPTQILAQFPKQHQQSPKQQLYQVQQQTQQQVAQPSPVSHQQQPQQSPLPPGIKPTIQIKQESGVKIITQQVQPSKILPKPVTATLPTSSNSPIMVVSSNGAIMTTKLVTTPTGTQATYTRPTVSPSIGRMAATPGAATYVKTTSGSIITVVPKSLATLGGKIISSNIVSGTTTKITTIPMTSKPNVIVVQKTTGKGTTIQGLPGKNVVTTLLNAGGEKTIQTVPTGAKPAILTATRPITKMIVTQPKGIGSTVQPAAKIIPTKIVYGQQGKTQVLIKPKPVTFQATVVSEQTRQLVTETLQQASRVAEAGNSSIQEGKEEPQNYTDSSSSSTESSQSSQDSQPVVHVIASRRQDWSEHEIAMETSPTIIYQDVSSESQSATSTIKALLELQQTTVKEKLESKPRQPTIDLSQMAVPIQMTQEKRHSPESPSIAVVESELVAEYITTERTDEGTEVAFPLLVSHRSQPQQPSQPQRTLLQHVAQSQTATQTSVVVKSIPASSPGAITHIMQQALSSHTAFTKHSEELGTEEGEVEEMDTLDPQTGLFYRSALTQSQSAKQQKLSQPPLEQTQLQVKTLQCFQTKQKQTIHLQADQLQHKLPQMPQLSIRHQKLTPLQQEQAQPKPDVQHTQHPMVAKDRQLPTLMAQPPQTVVQVLAVKTTQQLPKLQQAPNQPKIYVQPQTPQSQMSLPASSEKQTASQVEQPIITQGSSVTKITFEGRQPPTVTKITGGSSVPKLTSPVTSISPIQASEKTAVSDILKMSLMEAQIDTNVEHMIVDPPKKALATSMLTGEAGSLPSTHMVVAGMANSTPQQQKCRESCSSPSTVGSSLTTRKIDPPAVPATGQFMRIQNVGQKKAEESPAEIIIQAIPQYAIPCHSSSNVVVEPSGLLELNNFTSQQLDDEETAMEQDIDSSTEDGTEPSPSQSSAERS
+>DECOY_sp|Q7Z589|EMSY_HUMAN BRCA2-interacting transcriptional repressor EMSY OS=Homo sapiens OX=9606 GN=EMSY PE=1 SV=2
+SREASSQSPSPETGDETSSDIDQEMATEEDDLQQSTFNNLELLGSPEVVVNSSSHCPIAYQPIAQIIIEAPSEEAKKQGVNQIRMFQGTAPVAPPDIKRTTLSSGVTSPSSCSERCKQQQPTSNAMGAVVMHTSPLSGAEGTLMSTALAKKPPDVIMHEVNTDIQAEMLSMKLIDSVATKESAQIPSISTVPSTLKPVSSGGTIKTVTPPQRGEFTIKTVSSGQTIIPQEVQSATQKESSAPLSMQSQPTQPQVYIKPQNPAQQLKPLQQTTKVALVQVVTQPPQAMLTPLQRDKAVMPHQTHQVDPKPQAQEQQLPTLKQHRISLQPMQPLKHQLQDAQLHITQKQKTQFCQLTKVQLQTQELPPQSLKQQKASQSQTLASRYFLGTQPDLTDMEEVEGEETGLEESHKTFATHSSLAQQMIHTIAGPSSAPISKVVVSTQTATQSQAVHQLLTRQPQSPQQPQSRHSVLLPFAVETGEDTRETTIYEAVLESEVVAISPSEPSHRKEQTMQIPVAMQSLDITPQRPKSELKEKVTTQQLELLAKITSTASQSESSVDQYIITPSTEMAIEHESWDQRRSAIVHVVPQSDQSSQSSETSSSSSDTYNQPEEKGEQISSNGAEAVRSAQQLTETVLQRTQESVVTAQFTVPKPKILVQTKGQQGYVIKTPIIKAAPQVTSGIGKPQTVIMKTIPRTATLIAPKAGTPVTQITKEGGANLLTTVVNKGPLGQITTGKGTTKQVVIVNPKSTMPITTIKTTTGSVINSSIIKGGLTALSKPVVTIISGSTTKVYTAAGPTAAMRGISPSVTPRTYTAQTGTPTTVLKTTMIAGNSSVVMIPSNSSTPLTATVPKPLIKSPQVQQTIIKVGSEQKIQITPKIGPPLPSQQPQQQHSVPSPQAVQQQTQQQVQYLQQKPSQQHQKPFQALIQTPASVLSKPSPLRTSAMKIASTSVGQAVTSMVVSPTSSVVATVAVTNPIPSPTSSSSGSSSNSVLSSQSATIVVPPKQTTSAIVSTPVLKTVAAYNHSKSLINPVFTSSPSTTVIIVKQTTTNTTQTSPKTFTIKVPSMHPPLSNAISQMINSMKPSGSVPVTITKSVPVVAKPVEKLVVPSSSSSNTRRRKRPKEDEDSCSVSKVYVTSGSPLVVVNSAPSAPRPSKVTAISGSPVPTSTPTSTTSTYSYCVVEKSGTEAPVPLSANHQIAANAVANATVTFATQPVLRPMLPVLRRGEISWESSSNPGSMNHAITTLREDNVARRVEARHRETSISLVKSLEGLLDKKEKTLDGQARLASIVGAYAELELKRLIRKCEDRSLDLLTPWVVPM
+>sp|Q9NX77|ENK13_HUMAN Endogenous retrovirus group K member 13-1 Env polyprotein OS=Homo sapiens OX=9606 GN=ERVK13-1 PE=2 SV=2
+MWTVPSFTNDSYQVYNVFSTNSFQLLTVKRTPHEAWRVPLTTKTNKTKGLPDCPKKPTNGPFIVTSILWDNCNAPKAVVLQTLAMGIVIDWAPKGHYWQDCSSKNTLCSEFIYSLDYIEHGWQSYTMRQRVSPYPFKWMDTGIAPPRPKIIHPFFTPEHPELWKLAAALSGIKIWNTTYQLLRTKTKTPTFNITLISEWVIPIRSCVKPPYMLLVGNIIMMPDAQTIECHNCKLFTCIDATFNPTTSILLVRAREGVWIPVSLHRPWESSPSIHIVNEVLKDILKRTKRFIFTLIAVLAGLLAVTATAATAGVAIRSSVQTAHYVEACQKNSSRLWNSQAQIDQKLANQINDLRQSVTWLGDRVMNLQHRMQLQCDWNTSDYCITPYAYNQDQHSWENVSRHLKAWDDNLTLDISQLKEQIFEASQAHLSTVPGSHIFEGITKQLPDFNPFKWLKPVRGSLLLLALLILVCLCCLLLVCRCL
+>DECOY_sp|Q9NX77|ENK13_HUMAN Endogenous retrovirus group K member 13-1 Env polyprotein OS=Homo sapiens OX=9606 GN=ERVK13-1 PE=2 SV=2
+LCRCVLLLCCLCVLILLALLLLSGRVPKLWKFPNFDPLQKTIGEFIHSGPVTSLHAQSAEFIQEKLQSIDLTLNDDWAKLHRSVNEWSHQDQNYAYPTICYDSTNWDCQLQMRHQLNMVRDGLWTVSQRLDNIQNALKQDIQAQSNWLRSSNKQCAEVYHATQVSSRIAVGATAATATVALLGALVAILTFIFRKTRKLIDKLVENVIHISPSSEWPRHLSVPIWVGERARVLLISTTPNFTADICTFLKCNHCEITQADPMMIINGVLLMYPPKVCSRIPIVWESILTINFTPTKTKTRLLQYTTNWIKIGSLAAALKWLEPHEPTFFPHIIKPRPPAIGTDMWKFPYPSVRQRMTYSQWGHEIYDLSYIFESCLTNKSSCDQWYHGKPAWDIVIGMALTQLVVAKPANCNDWLISTVIFPGNTPKKPCDPLGKTKNTKTTLPVRWAEHPTRKVTLLQFSNTSFVNYVQYSDNTFSPVTWM
+>sp|O71037|ENK19_HUMAN Endogenous retrovirus group K member 19 Env polyprotein OS=Homo sapiens OX=9606 GN=ERVK-19 PE=1 SV=2
+MNPSEMQRKAPPRRRRHRNRAPLTHKMNKMVTSEEQMKLPSTKKAEPPTWAQLKKLTQLATKYLENTKVTQTPESMLLAALMIVSMVVSLPMPAGAAAANYTYWAYVPFPPLIRAVTWMDNPIEVYVNDSVWVPGPTDDHCPAKPEEEGMMINISIGYRYPPICLGRAPGCLMPAVQNWLVEVPTVSPISRFTYHMVSGMSLRPRVNYLQDFSYQRSFKFRPKGKPCPKEIPKESKNTEVLVWEECVANSAVILQNNEFGTIIDWAPRGQFYHNCSGQTQSCPSAQVSPAVDSDLTESLDKHKHKKLQSFYPWEWGEKGISTPRPKIISPVSGPEHPELWRLTVASHHIRIWSGNQTLETRDRKPFYTVDLNSSVTVPLQSCIKPPYMLVVGNIVIKPDSQTITCENCRLLTCIDSTFNWQHRILLVRAREGVWIPVSMDRPWETSPSIHTLTEVLKGVLNRSKRFIFTLIAVIMGLIAVTATAAVAGVALHSSVQSVNFVNDWQKNSTRLWNSQSSIDQKLANQINDLRQTVIWMGDRLMSLEHRFQLQCDWNTSDFSITPQIYNESEHHWDMVRRHLQGREDNLTLDISKLKEQIFEASKAHLNLVPGTEAIAGVADGLANLNPVTWVKTIGSTTIINLILILVCLFCLLLVCRCTQQLRRDSDHRERAMMTMAVLSKRKGGNVGKSKRDQIVTVSV
+>DECOY_sp|O71037|ENK19_HUMAN Endogenous retrovirus group K member 19 Env polyprotein OS=Homo sapiens OX=9606 GN=ERVK-19 PE=1 SV=2
+VSVTVIQDRKSKGVNGGKRKSLVAMTMMARERHDSDRRLQQTCRCVLLLCFLCVLILILNIITTSGITKVWTVPNLNALGDAVGAIAETGPVLNLHAKSAEFIQEKLKSIDLTLNDERGQLHRRVMDWHHESENYIQPTISFDSTNWDCQLQFRHELSMLRDGMWIVTQRLDNIQNALKQDISSQSNWLRTSNKQWDNVFNVSQVSSHLAVGAVAATATVAILGMIVAILTFIFRKSRNLVGKLVETLTHISPSTEWPRDMSVPIWVGERARVLLIRHQWNFTSDICTLLRCNECTITQSDPKIVINGVVLMYPPKICSQLPVTVSSNLDVTYFPKRDRTELTQNGSWIRIHHSAVTLRWLEPHEPGSVPSIIKPRPTSIGKEGWEWPYFSQLKKHKHKDLSETLDSDVAPSVQASPCSQTQGSCNHYFQGRPAWDIITGFENNQLIVASNAVCEEWVLVETNKSEKPIEKPCPKGKPRFKFSRQYSFDQLYNVRPRLSMGSVMHYTFRSIPSVTPVEVLWNQVAPMLCGPARGLCIPPYRYGISINIMMGEEEPKAPCHDDTPGPVWVSDNVYVEIPNDMWTVARILPPFPVYAWYTYNAAAAGAPMPLSVVMSVIMLAALLMSEPTQTVKTNELYKTALQTLKKLQAWTPPEAKKTSPLKMQEESTVMKNMKHTLPARNRHRRRRPPAKRQMESPNM
+>sp|P61570|ENK25_HUMAN Endogenous retrovirus group K member 25 Env polyprotein OS=Homo sapiens OX=9606 GN=ERVK-25 PE=3 SV=1
+MNPSEMQRKAPPRRRRHRNRAPLTHKMNKMVTSEEQMKLPSTKKAEPPTWAQLKKLTQLATKYLENTKVTQTPESMLLAALMIVSMVVSLPMPAGAAAANYTYWAYVPFPPLIRAVTWMDNPIEVYVNDSVWVPGPIDDRCPAKPEEEGMMINISIGYRYPPICLGTAPGCLMPAVQNWLVEVPIVSPISRFTYHMVSGMSLRPRVNYLQDFSYQRSLKFRPKGKPCPKEIPKESKNTEVLVWEECVANSAVILQNNEFGTIIDWAPRGQFYHNCSGQTQSCPSAQVSPAVDSDLTESLDKHKHKKLQSFYPWEWGEKGISTPRPKIVSPVSGPEHPELWRLTVASHHIRIWSGNQTLETRDRKPFYTVDLNSSLTVPLQSCVKPPYMLVVGNIVIKPDSQTITCENCRLLTCIDSTFNWQHRILLVRAREGVWIPVSMDRPWEASPSIHILTEVLKGVLNRSKRFIFTLIAVIMGLIAVTATGAVAGVALHSSVQSVNFVNDWQKNSTRLWNSQSSIDQKLANQINDLRQTVIWMGDRLMSLEHRFQLQCDWNTSDFCITPQIYNESEHHWDMVRRHLQGREDNLTLDISKLKEQIFKASKAHLNLVPGTEAIAGVADGLANLNPVTWVKTIGSTTIINLILILVCLFCLLLVCRCTQQL
+>DECOY_sp|P61570|ENK25_HUMAN Endogenous retrovirus group K member 25 Env polyprotein OS=Homo sapiens OX=9606 GN=ERVK-25 PE=3 SV=1
+LQQTCRCVLLLCFLCVLILILNIITTSGITKVWTVPNLNALGDAVGAIAETGPVLNLHAKSAKFIQEKLKSIDLTLNDERGQLHRRVMDWHHESENYIQPTICFDSTNWDCQLQFRHELSMLRDGMWIVTQRLDNIQNALKQDISSQSNWLRTSNKQWDNVFNVSQVSSHLAVGAVAGTATVAILGMIVAILTFIFRKSRNLVGKLVETLIHISPSAEWPRDMSVPIWVGERARVLLIRHQWNFTSDICTLLRCNECTITQSDPKIVINGVVLMYPPKVCSQLPVTLSSNLDVTYFPKRDRTELTQNGSWIRIHHSAVTLRWLEPHEPGSVPSVIKPRPTSIGKEGWEWPYFSQLKKHKHKDLSETLDSDVAPSVQASPCSQTQGSCNHYFQGRPAWDIITGFENNQLIVASNAVCEEWVLVETNKSEKPIEKPCPKGKPRFKLSRQYSFDQLYNVRPRLSMGSVMHYTFRSIPSVIPVEVLWNQVAPMLCGPATGLCIPPYRYGISINIMMGEEEPKAPCRDDIPGPVWVSDNVYVEIPNDMWTVARILPPFPVYAWYTYNAAAAGAPMPLSVVMSVIMLAALLMSEPTQTVKTNELYKTALQTLKKLQAWTPPEAKKTSPLKMQEESTVMKNMKHTLPARNRHRRRRPPAKRQMESPNM
+>sp|Q69384|ENK6_HUMAN Endogenous retrovirus group K member 6 Env polyprotein OS=Homo sapiens OX=9606 GN=ERVK-6 PE=1 SV=1
+MNPSEMQRKAPPRRRRHRNRAPLTHKMNKMVTSEEQMKLPSTKKAEPPTWAQLKKLTQLATKYLENTKVTQTPESMLLAALMIVSMVVSLPMPAGAAAANYTYWAYVPFPPLIRAVTWMDNPTEVYVNDSVWVPGPIDDRCPAKPEEEGMMINISIGYHYPPICLGRAPGCLMPAVQNWLVEVPTVSPICRFTYHMVSGMSLRPRVNYLQDFSYQRSLKFRPKGKPCPKEIPKESKNTEVLVWEECVANSAVILQNNEFGTIIDWAPRGQFYHNCSGQTQSCPSAQVSPAVDSDLTESLDKHKHKKLQSFYPWEWGEKGISTPRPKIVSPVSGPEHPELWRLTVASHHIRIWSGNQTLETRDRKPFYTIDLNSSLTVPLQSCVKPPYMLVVGNIVIKPDSQTITCENCRLLTCIDSTFNWQHRILLVRAREGVWIPVSMDRPWEASPSVHILTEVLKGVLNRSKRFIFTLIAVIMGLIAVTATAAVAGVALHSSVQSVNFVNDWQKNSTRLWNSQSSIDQKLANQINDLRQTVIWMGDRLMSLEHRFQLQCDWNTSDFCITPQIYNESEHHWDMVRRHLQGREDNLTLDISKLKEQIFEASKAHLNLVPGTEAIAGVADGLANLNPVTWVKTIGSTTIINLILILVCLFCLLLVCRCTQQLRRDSDHRERAMMTMAVLSKRKGGNVGKSKRDQIVTVSV
+>DECOY_sp|Q69384|ENK6_HUMAN Endogenous retrovirus group K member 6 Env polyprotein OS=Homo sapiens OX=9606 GN=ERVK-6 PE=1 SV=1
+VSVTVIQDRKSKGVNGGKRKSLVAMTMMARERHDSDRRLQQTCRCVLLLCFLCVLILILNIITTSGITKVWTVPNLNALGDAVGAIAETGPVLNLHAKSAEFIQEKLKSIDLTLNDERGQLHRRVMDWHHESENYIQPTICFDSTNWDCQLQFRHELSMLRDGMWIVTQRLDNIQNALKQDISSQSNWLRTSNKQWDNVFNVSQVSSHLAVGAVAATATVAILGMIVAILTFIFRKSRNLVGKLVETLIHVSPSAEWPRDMSVPIWVGERARVLLIRHQWNFTSDICTLLRCNECTITQSDPKIVINGVVLMYPPKVCSQLPVTLSSNLDITYFPKRDRTELTQNGSWIRIHHSAVTLRWLEPHEPGSVPSVIKPRPTSIGKEGWEWPYFSQLKKHKHKDLSETLDSDVAPSVQASPCSQTQGSCNHYFQGRPAWDIITGFENNQLIVASNAVCEEWVLVETNKSEKPIEKPCPKGKPRFKLSRQYSFDQLYNVRPRLSMGSVMHYTFRCIPSVTPVEVLWNQVAPMLCGPARGLCIPPYHYGISINIMMGEEEPKAPCRDDIPGPVWVSDNVYVETPNDMWTVARILPPFPVYAWYTYNAAAAGAPMPLSVVMSVIMLAALLMSEPTQTVKTNELYKTALQTLKKLQAWTPPEAKKTSPLKMQEESTVMKNMKHTLPARNRHRRRRPPAKRQMESPNM
+>sp|P06733|ENOA_HUMAN Alpha-enolase OS=Homo sapiens OX=9606 GN=ENO1 PE=1 SV=2
+MSILKIHAREIFDSRGNPTVEVDLFTSKGLFRAAVPSGASTGIYEALELRDNDKTRYMGKGVSKAVEHINKTIAPALVSKKLNVTEQEKIDKLMIEMDGTENKSKFGANAILGVSLAVCKAGAVEKGVPLYRHIADLAGNSEVILPVPAFNVINGGSHAGNKLAMQEFMILPVGAANFREAMRIGAEVYHNLKNVIKEKYGKDATNVGDEGGFAPNILENKEGLELLKTAIGKAGYTDKVVIGMDVAASEFFRSGKYDLDFKSPDDPSRYISPDQLADLYKSFIKDYPVVSIEDPFDQDDWGAWQKFTASAGIQVVGDDLTVTNPKRIAKAVNEKSCNCLLLKVNQIGSVTESLQACKLAQANGWGVMVSHRSGETEDTFIADLVVGLCTGQIKTGAPCRSERLAKYNQLLRIEEELGSKAKFAGRNFRNPLAK
+>DECOY_sp|P06733|ENOA_HUMAN Alpha-enolase OS=Homo sapiens OX=9606 GN=ENO1 PE=1 SV=2
+KALPNRFNRGAFKAKSGLEEEIRLLQNYKALRESRCPAGTKIQGTCLGVVLDAIFTDETEGSRHSVMVGWGNAQALKCAQLSETVSGIQNVKLLLCNCSKENVAKAIRKPNTVTLDDGVVQIGASATFKQWAGWDDQDFPDEISVVPYDKIFSKYLDALQDPSIYRSPDDPSKFDLDYKGSRFFESAAVDMGIVVKDTYGAKGIATKLLELGEKNELINPAFGGEDGVNTADKGYKEKIVNKLNHYVEAGIRMAERFNAAGVPLIMFEQMALKNGAHSGGNIVNFAPVPLIVESNGALDAIHRYLPVGKEVAGAKCVALSVGLIANAGFKSKNETGDMEIMLKDIKEQETVNLKKSVLAPAITKNIHEVAKSVGKGMYRTKDNDRLELAEYIGTSAGSPVAARFLGKSTFLDVEVTPNGRSDFIERAHIKLISM
+>sp|P13929|ENOB_HUMAN Beta-enolase OS=Homo sapiens OX=9606 GN=ENO3 PE=1 SV=5
+MAMQKIFAREILDSRGNPTVEVDLHTAKGRFRAAVPSGASTGIYEALELRDGDKGRYLGKGVLKAVENINNTLGPALLQKKLSVVDQEKVDKFMIELDGTENKSKFGANAILGVSLAVCKAGAAEKGVPLYRHIADLAGNPDLILPVPAFNVINGGSHAGNKLAMQEFMILPVGASSFKEAMRIGAEVYHHLKGVIKAKYGKDATNVGDEGGFAPNILENNEALELLKTAIQAAGYPDKVVIGMDVAASEFYRNGKYDLDFKSPDDPARHITGEKLGELYKSFIKNYPVVSIEDPFDQDDWATWTSFLSGVNIQIVGDDLTVTNPKRIAQAVEKKACNCLLLKVNQIGSVTESIQACKLAQSNGWGVMVSHRSGETEDTFIADLVVGLCTGQIKTGAPCRSERLAKYNQLMRIEEALGDKAIFAGRKFRNPKAK
+>DECOY_sp|P13929|ENOB_HUMAN Beta-enolase OS=Homo sapiens OX=9606 GN=ENO3 PE=1 SV=5
+KAKPNRFKRGAFIAKDGLAEEIRMLQNYKALRESRCPAGTKIQGTCLGVVLDAIFTDETEGSRHSVMVGWGNSQALKCAQISETVSGIQNVKLLLCNCAKKEVAQAIRKPNTVTLDDGVIQINVGSLFSTWTAWDDQDFPDEISVVPYNKIFSKYLEGLKEGTIHRAPDDPSKFDLDYKGNRYFESAAVDMGIVVKDPYGAAQIATKLLELAENNELINPAFGGEDGVNTADKGYKAKIVGKLHHYVEAGIRMAEKFSSAGVPLIMFEQMALKNGAHSGGNIVNFAPVPLILDPNGALDAIHRYLPVGKEAAGAKCVALSVGLIANAGFKSKNETGDLEIMFKDVKEQDVVSLKKQLLAPGLTNNINEVAKLVGKGLYRGKDGDRLELAEYIGTSAGSPVAARFRGKATHLDVEVTPNGRSDLIERAFIKQMAM
+>sp|Q99814|EPAS1_HUMAN Endothelial PAS domain-containing protein 1 OS=Homo sapiens OX=9606 GN=EPAS1 PE=1 SV=3
+MTADKEKKRSSSERRKEKSRDAARCRRSKETEVFYELAHELPLPHSVSSHLDKASIMRLAISFLRTHKLLSSVCSENESEAEADQQMDNLYLKALEGFIAVVTQDGDMIFLSENISKFMGLTQVELTGHSIFDFTHPCDHEEIRENLSLKNGSGFGKKSKDMSTERDFFMRMKCTVTNRGRTVNLKSATWKVLHCTGQVKVYNNCPPHNSLCGYKEPLLSCLIIMCEPIQHPSHMDIPLDSKTFLSRHSMDMKFTYCDDRITELIGYHPEELLGRSAYEFYHALDSENMTKSHQNLCTKGQVVSGQYRMLAKHGGYVWLETQGTVIYNPRNLQPQCIMCVNYVLSEIEKNDVVFSMDQTESLFKPHLMAMNSIFDSSGKGAVSEKSNFLFTKLKEEPEELAQLAPTPGDAIISLDFGNQNFEESSAYGKAILPPSQPWATELRSHSTQSEAGSLPAFTVPQAAAPGSTTPSATSSSSSCSTPNSPEDYYTSLDNDLKIEVIEKLFAMDTEAKDQCSTQTDFNELDLETLAPYIPMDGEDFQLSPICPEERLLAENPQSTPQHCFSAMTNIFQPLAPVAPHSPFLLDKFQQQLESKKTEPEHRPMSSIFFDAGSKASLPPCCGQASTPLSSMGGRSNTQWPPDPPLHFGPTKWAVGDQRTEFLGAAPLGPPVSPPHVSTFKTRSAKGFGARGPDVLSPAMVALSNKLKLKRQLEYEEQAFQDLSGGDPPGGSTSHLMWKRMKNLRGGSCPLMPDKPLSANVPNDKFTQNPMRGLGHPLRHLPLPQPPSAISPGENSKSRFPPQCYATQYQDYSLSSAHKVSGMASRLLGPSFESYLLPELTRYDCEVNVPVLGSSTLLQGGDLLRALDQAT
+>DECOY_sp|Q99814|EPAS1_HUMAN Endothelial PAS domain-containing protein 1 OS=Homo sapiens OX=9606 GN=EPAS1 PE=1 SV=3
+TAQDLARLLDGGQLLTSSGLVPVNVECDYRTLEPLLYSEFSPGLLRSAMGSVKHASSLSYDQYQTAYCQPPFRSKSNEGPSIASPPQPLPLHRLPHGLGRMPNQTFKDNPVNASLPKDPMLPCSGGRLNKMRKWMLHSTSGGPPDGGSLDQFAQEEYELQRKLKLKNSLAVMAPSLVDPGRAGFGKASRTKFTSVHPPSVPPGLPAAGLFETRQDGVAWKTPGFHLPPDPPWQTNSRGGMSSLPTSAQGCCPPLSAKSGADFFISSMPRHEPETKKSELQQQFKDLLFPSHPAVPALPQFINTMASFCHQPTSQPNEALLREEPCIPSLQFDEGDMPIYPALTELDLENFDTQTSCQDKAETDMAFLKEIVEIKLDNDLSTYYDEPSNPTSCSSSSSTASPTTSGPAAAQPVTFAPLSGAESQTSHSRLETAWPQSPPLIAKGYASSEEFNQNGFDLSIIADGPTPALQALEEPEEKLKTFLFNSKESVAGKGSSDFISNMAMLHPKFLSETQDMSFVVDNKEIESLVYNVCMICQPQLNRPNYIVTGQTELWVYGGHKALMRYQGSVVQGKTCLNQHSKTMNESDLAHYFEYASRGLLEEPHYGILETIRDDCYTFKMDMSHRSLFTKSDLPIDMHSPHQIPECMIILCSLLPEKYGCLSNHPPCNNYVKVQGTCHLVKWTASKLNVTRGRNTVTCKMRMFFDRETSMDKSKKGFGSGNKLSLNERIEEHDCPHTFDFISHGTLEVQTLGMFKSINESLFIMDGDQTVVAIFGELAKLYLNDMQQDAEAESENESCVSSLLKHTRLFSIALRMISAKDLHSSVSHPLPLEHALEYFVETEKSRRCRAADRSKEKRRESSSRKKEKDATM
+>sp|Q9UM22|EPDR1_HUMAN Mammalian ependymin-related protein 1 OS=Homo sapiens OX=9606 GN=EPDR1 PE=1 SV=2
+MPGRAPLRTVPGALGAWLLGGLWAWTLCGLCSLGAVGAPRPCQAPQQWEGRQVMYQQSSGRNSRALLSYDGLNQRVRVLDERKALIPCKRLFEYILLYKDGVMFQIDQATKQCSKMTLTQPWDPLDIPQNSTFEDQYSIGGPQEQITVQEWSDRKSARSYETWIGIYTVKDCYPVQETFTINYSVILSTRFFDIQLGIKDPSVFTPPSTCQMAQLEKMSEDCSW
+>DECOY_sp|Q9UM22|EPDR1_HUMAN Mammalian ependymin-related protein 1 OS=Homo sapiens OX=9606 GN=EPDR1 PE=1 SV=2
+WSCDESMKELQAMQCTSPPTFVSPDKIGLQIDFFRTSLIVSYNITFTEQVPYCDKVTYIGIWTEYSRASKRDSWEQVTIQEQPGGISYQDEFTSNQPIDLPDWPQTLTMKSCQKTAQDIQFMVGDKYLLIYEFLRKCPILAKREDLVRVRQNLGDYSLLARSNRGSSQQYMVQRGEWQQPAQCPRPAGVAGLSCLGCLTWAWLGGLLWAGLAGPVTRLPARGPM
+>sp|P29317|EPHA2_HUMAN Ephrin type-A receptor 2 OS=Homo sapiens OX=9606 GN=EPHA2 PE=1 SV=2
+MELQAARACFALLWGCALAAAAAAQGKEVVLLDFAAAGGELGWLTHPYGKGWDLMQNIMNDMPIYMYSVCNVMSGDQDNWLRTNWVYRGEAERIFIELKFTVRDCNSFPGGASSCKETFNLYYAESDLDYGTNFQKRLFTKIDTIAPDEITVSSDFEARHVKLNVEERSVGPLTRKGFYLAFQDIGACVALLSVRVYYKKCPELLQGLAHFPETIAGSDAPSLATVAGTCVDHAVVPPGGEEPRMHCAVDGEWLVPIGQCLCQAGYEKVEDACQACSPGFFKFEASESPCLECPEHTLPSPEGATSCECEEGFFRAPQDPASMPCTRPPSAPHYLTAVGMGAKVELRWTPPQDSGGREDIVYSVTCEQCWPESGECGPCEASVRYSEPPHGLTRTSVTVSDLEPHMNYTFTVEARNGVSGLVTSRSFRTASVSINQTEPPKVRLEGRSTTSLSVSWSIPPPQQSRVWKYEVTYRKKGDSNSYNVRRTEGFSVTLDDLAPDTTYLVQVQALTQEGQGAGSKVHEFQTLSPEGSGNLAVIGGVAVGVVLLLVLAGVGFFIHRRRKNQRARQSPEDVYFSKSEQLKPLKTYVDPHTYEDPNQAVLKFTTEIHPSCVTRQKVIGAGEFGEVYKGMLKTSSGKKEVPVAIKTLKAGYTEKQRVDFLGEAGIMGQFSHHNIIRLEGVISKYKPMMIITEYMENGALDKFLREKDGEFSVLQLVGMLRGIAAGMKYLANMNYVHRDLAARNILVNSNLVCKVSDFGLSRVLEDDPEATYTTSGGKIPIRWTAPEAISYRKFTSASDVWSFGIVMWEVMTYGERPYWELSNHEVMKAINDGFRLPTPMDCPSAIYQLMMQCWQQERARRPKFADIVSILDKLIRAPDSLKTLADFDPRVSIRLPSTSGSEGVPFRTVSEWLESIKMQQYTEHFMAAGYTAIEKVVQMTNDDIKRIGVRLPGHQKRIAYSLLGLKDQVNTVGIPI
+>DECOY_sp|P29317|EPHA2_HUMAN Ephrin type-A receptor 2 OS=Homo sapiens OX=9606 GN=EPHA2 PE=1 SV=2
+IPIGVTNVQDKLGLLSYAIRKQHGPLRVGIRKIDDNTMQVVKEIATYGAAMFHETYQQMKISELWESVTRFPVGESGSTSPLRISVRPDFDALTKLSDPARILKDLISVIDAFKPRRAREQQWCQMMLQYIASPCDMPTPLRFGDNIAKMVEHNSLEWYPREGYTMVEWMVIGFSWVDSASTFKRYSIAEPATWRIPIKGGSTTYTAEPDDELVRSLGFDSVKCVLNSNVLINRAALDRHVYNMNALYKMGAAIGRLMGVLQLVSFEGDKERLFKDLAGNEMYETIIMMPKYKSIVGELRIINHHSFQGMIGAEGLFDVRQKETYGAKLTKIAVPVEKKGSSTKLMGKYVEGFEGAGIVKQRTVCSPHIETTFKLVAQNPDEYTHPDVYTKLPKLQESKSFYVDEPSQRARQNKRRRHIFFGVGALVLLLVVGVAVGGIVALNGSGEPSLTQFEHVKSGAGQGEQTLAQVQVLYTTDPALDDLTVSFGETRRVNYSNSDGKKRYTVEYKWVRSQQPPPISWSVSLSTTSRGELRVKPPETQNISVSATRFSRSTVLGSVGNRAEVTFTYNMHPELDSVTVSTRTLGHPPESYRVSAECPGCEGSEPWCQECTVSYVIDERGGSDQPPTWRLEVKAGMGVATLYHPASPPRTCPMSAPDQPARFFGEECECSTAGEPSPLTHEPCELCPSESAEFKFFGPSCAQCADEVKEYGAQCLCQGIPVLWEGDVACHMRPEEGGPPVVAHDVCTGAVTALSPADSGAITEPFHALGQLLEPCKKYYVRVSLLAVCAGIDQFALYFGKRTLPGVSREEVNLKVHRAEFDSSVTIEDPAITDIKTFLRKQFNTGYDLDSEAYYLNFTEKCSSAGGPFSNCDRVTFKLEIFIREAEGRYVWNTRLWNDQDGSMVNCVSYMYIPMDNMINQMLDWGKGYPHTLWGLEGGAAAFDLLVVEKGQAAAAAALACGWLLAFCARAAQLEM
+>sp|Q15375|EPHA7_HUMAN Ephrin type-A receptor 7 OS=Homo sapiens OX=9606 GN=EPHA7 PE=1 SV=3
+MVFQTRYPSWIILCYIWLLRFAHTGEAQAAKEVLLLDSKAQQTELEWISSPPNGWEEISGLDENYTPIRTYQVCQVMEPNQNNWLRTNWISKGNAQRIFVELKFTLRDCNSLPGVLGTCKETFNLYYYETDYDTGRNIRENLYVKIDTIAADESFTQGDLGERKMKLNTEVREIGPLSKKGFYLAFQDVGACIALVSVKVYYKKCWSIIENLAIFPDTVTGSEFSSLVEVRGTCVSSAEEEAENAPRMHCSAEGEWLVPIGKCICKAGYQQKGDTCEPCGRGFYKSSSQDLQCSRCPTHSFSDKEGSSRCECEDGYYRAPSDPPYVACTRPPSAPQNLIFNINQTTVSLEWSPPADNGGRNDVTYRILCKRCSWEQGECVPCGSNIGYMPQQTGLEDNYVTVMDLLAHANYTFEVEAVNGVSDLSRSQRLFAAVSITTGQAAPSQVSGVMKERVLQRSVELSWQEPEHPNGVITEYEIKYYEKDQRERTYSTVKTKSTSASINNLKPGTVYVFQIRAFTAAGYGNYSPRLDVATLEEATGKMFEATAVSSEQNPVIIIAVVAVAGTIILVFMVFGFIIGRRHCGYSKADQEGDEELYFHFKFPGTKTYIDPETYEDPNRAVHQFAKELDASCIKIERVIGAGEFGEVCSGRLKLPGKRDVAVAIKTLKVGYTEKQRRDFLCEASIMGQFDHPNVVHLEGVVTRGKPVMIVIEFMENGALDAFLRKHDGQFTVIQLVGMLRGIAAGMRYLADMGYVHRDLAARNILVNSNLVCKVSDFGLSRVIEDDPEAVYTTTGGKIPVRWTAPEAIQYRKFTSASDVWSYGIVMWEVMSYGERPYWDMSNQDVIKAIEEGYRLPAPMDCPAGLHQLMLDCWQKERAERPKFEQIVGILDKMIRNPNSLKTPLGTCSRPISPLLDQNTPDFTTFCSVGEWLQAIKMERYKDNFTAAGYNSLESVARMTIEDVMSLGITLVGHQKKIMSSIQTMRAQMLHLHGTGIQV
+>DECOY_sp|Q15375|EPHA7_HUMAN Ephrin type-A receptor 7 OS=Homo sapiens OX=9606 GN=EPHA7 PE=1 SV=3
+VQIGTGHLHLMQARMTQISSMIKKQHGVLTIGLSMVDEITMRAVSELSNYGAATFNDKYREMKIAQLWEGVSCFTTFDPTNQDLLPSIPRSCTGLPTKLSNPNRIMKDLIGVIQEFKPREAREKQWCDLMLQHLGAPCDMPAPLRYGEEIAKIVDQNSMDWYPREGYSMVEWMVIGYSWVDSASTFKRYQIAEPATWRVPIKGGTTTYVAEPDDEIVRSLGFDSVKCVLNSNVLINRAALDRHVYGMDALYRMGAAIGRLMGVLQIVTFQGDHKRLFADLAGNEMFEIVIMVPKGRTVVGELHVVNPHDFQGMISAECLFDRRQKETYGVKLTKIAVAVDRKGPLKLRGSCVEGFEGAGIVREIKICSADLEKAFQHVARNPDEYTEPDIYTKTGPFKFHFYLEEDGEQDAKSYGCHRRGIIFGFVMFVLIITGAVAVVAIIIVPNQESSVATAEFMKGTAEELTAVDLRPSYNGYGAATFARIQFVYVTGPKLNNISASTSKTKVTSYTRERQDKEYYKIEYETIVGNPHEPEQWSLEVSRQLVREKMVGSVQSPAAQGTTISVAAFLRQSRSLDSVGNVAEVEFTYNAHALLDMVTVYNDELGTQQPMYGINSGCPVCEGQEWSCRKCLIRYTVDNRGGNDAPPSWELSVTTQNINFILNQPASPPRTCAVYPPDSPARYYGDECECRSSGEKDSFSHTPCRSCQLDQSSSKYFGRGCPECTDGKQQYGAKCICKGIPVLWEGEASCHMRPANEAEEEASSVCTGRVEVLSSFESGTVTDPFIALNEIISWCKKYYVKVSVLAICAGVDQFALYFGKKSLPGIERVETNLKMKREGLDGQTFSEDAAITDIKVYLNERINRGTDYDTEYYYLNFTEKCTGLVGPLSNCDRLTFKLEVFIRQANGKSIWNTRLWNNQNPEMVQCVQYTRIPTYNEDLGSIEEWGNPPSSIWELETQQAKSDLLLVEKAAQAEGTHAFRLLWIYCLIIWSPYRTQFVM
+>sp|O15197|EPHB6_HUMAN Ephrin type-B receptor 6 OS=Homo sapiens OX=9606 GN=EPHB6 PE=1 SV=4
+MATEGAAQLGNRVAGMVCSLWVLLLVSSVLALEEVLLDTTGETSEIGWLTYPPGGWDEVSVLDDQRRLTRTFEACHVAGAPPGTGQDNWLQTHFVERRGAQRAHIRLHFSVRACSSLGVSGGTCRETFTLYYRQAEEPDSPDSVSSWHLKRWTKVDTIAADESFPSSSSSSSSSSSAAWAVGPHGAGQRAGLQLNVKERSFGPLTQRGFYVAFQDTGACLALVAVRLFSYTCPAVLRSFASFPETQASGAGGASLVAAVGTCVAHAEPEEDGVGGQAGGSPPRLHCNGEGKWMVAVGGCRCQPGYQPARGDKACQACPRGLYKSSAGNAPCSPCPARSHAPNPAAPVCPCLEGFYRASSDPPEAPCTGPPSAPQELWFEVQGSALMLHWRLPRELGGRGDLLFNVVCKECEGRQEPASGGGGTCHRCRDEVHFDPRQRGLTESRVLVGGLRAHVPYILEVQAVNGVSELSPDPPQAAAINVSTSHEVPSAVPVVHQVSRASNSITVSWPQPDQTNGNILDYQLRYYDQAEDESHSFTLTSETNTATVTQLSPGHIYGFQVRARTAAGHGPYGGKVYFQTLPQGELSSQLPERLSLVIGSILGALAFLLLAAITVLAVVFQRKRRGTGYTEQLQQYSSPGLGVKYYIDPSTYEDPCQAIRELAREVDPAYIKIEEVIGTGSFGEVRQGRLQPRGRREQTVAIQALWAGGAESLQMTFLGRAAVLGQFQHPNILRLEGVVTKSRPLMVLTEFMELGPLDSFLRQREGQFSSLQLVAMQRGVAAAMQYLSSFAFVHRSLSAHSVLVNSHLVCKVARLGHSPQGPSCLLRWAAPEVIAHGKHTTSSDVWSFGILMWEVMSYGERPYWDMSEQEVLNAIEQEFRLPPPPGCPPGLHLLMLDTWQKDRARRPHFDQLVAAFDKMIRKPDTLQAGGDPGERPSQALLTPVALDFPCLDSPQAWLSAIGLECYQDNFSKFGLCTFSDVAQLSLEDLPALGITLAGHQKKLLHHIQLLQQHLRQQGSVEV
+>DECOY_sp|O15197|EPHB6_HUMAN Ephrin type-B receptor 6 OS=Homo sapiens OX=9606 GN=EPHB6 PE=1 SV=4
+VEVSGQQRLHQQLLQIHHLLKKQHGALTIGLAPLDELSLQAVDSFTCLGFKSFNDQYCELGIASLWAQPSDLCPFDLAVPTLLAQSPREGPDGGAQLTDPKRIMKDFAAVLQDFHPRRARDKQWTDLMLLHLGPPCGPPPPLRFEQEIANLVEQESMDWYPREGYSMVEWMLIGFSWVDSSTTHKGHAIVEPAAWRLLCSPGQPSHGLRAVKCVLHSNVLVSHASLSRHVFAFSSLYQMAAAVGRQMAVLQLSSFQGERQRLFSDLPGLEMFETLVMLPRSKTVVGELRLINPHQFQGLVAARGLFTMQLSEAGGAWLAQIAVTQERRGRPQLRGQRVEGFSGTGIVEEIKIYAPDVERALERIAQCPDEYTSPDIYYKVGLGPSSYQQLQETYGTGRRKRQFVVALVTIAALLLFALAGLISGIVLSLREPLQSSLEGQPLTQFYVKGGYPGHGAATRARVQFGYIHGPSLQTVTATNTESTLTFSHSEDEAQDYYRLQYDLINGNTQDPQPWSVTISNSARSVQHVVPVASPVEHSTSVNIAAAQPPDPSLESVGNVAQVELIYPVHARLGGVLVRSETLGRQRPDFHVEDRCRHCTGGGGSAPEQRGECEKCVVNFLLDGRGGLERPLRWHLMLASGQVEFWLEQPASPPGTCPAEPPDSSARYFGELCPCVPAAPNPAHSRAPCPSCPANGASSKYLGRPCAQCAKDGRAPQYGPQCRCGGVAVMWKGEGNCHLRPPSGGAQGGVGDEEPEAHAVCTGVAAVLSAGGAGSAQTEPFSAFSRLVAPCTYSFLRVAVLALCAGTDQFAVYFGRQTLPGFSREKVNLQLGARQGAGHPGVAWAASSSSSSSSSSSPFSEDAAITDVKTWRKLHWSSVSDPSDPEEAQRYYLTFTERCTGGSVGLSSCARVSFHLRIHARQAGRREVFHTQLWNDQGTGPPAGAVHCAEFTRTLRRQDDLVSVEDWGGPPYTLWGIESTEGTTDLLVEELALVSSVLLLVWLSCVMGAVRNGLQAAGETAM
+>sp|Q9H6B9|EPHX3_HUMAN Epoxide hydrolase 3 OS=Homo sapiens OX=9606 GN=EPHX3 PE=1 SV=1
+MPELVVTALLAPSRLSLKLLRAFMWSLVFSVALVAAAVYGCIALTHVLCRPRRGCCGRRRSASPACLSDPSLGEHGFLNLKSSGLRLHYVSAGRGNGPLMLFLHGFPENWFSWRYQLREFQSRFHVVAVDLRGYGPSDAPRDVDCYTIDLLLVDIKDVILGLGYSKCILVAHDWGALLAWHFSIYYPSLVERMVVVSGAPMSVYQDYSLHHISQFFRSHYMFLFQLPWLPEKLLSMSDFQILKTTLTHRKTGIPCLTPSELEAFLYNFSQPGGLTGPLNYYRNLFRNFPLEPQELTTPTLLLWGEKDTYLELGLVEAIGSRFVPGRLEAHILPGIGHWIPQSNPQEMHQYMWAFLQDLLD
+>DECOY_sp|Q9H6B9|EPHX3_HUMAN Epoxide hydrolase 3 OS=Homo sapiens OX=9606 GN=EPHX3 PE=1 SV=1
+DLLDQLFAWMYQHMEQPNSQPIWHGIGPLIHAELRGPVFRSGIAEVLGLELYTDKEGWLLLTPTTLEQPELPFNRFLNRYYNLPGTLGGPQSFNYLFAELESPTLCPIGTKRHTLTTKLIQFDSMSLLKEPLWPLQFLFMYHSRFFQSIHHLSYDQYVSMPAGSVVVMREVLSPYYISFHWALLAGWDHAVLICKSYGLGLIVDKIDVLLLDITYCDVDRPADSPGYGRLDVAVVHFRSQFERLQYRWSFWNEPFGHLFLMLPGNGRGASVYHLRLGSSKLNLFGHEGLSPDSLCAPSASRRRGCCGRRPRCLVHTLAICGYVAAAVLAVSFVLSWMFARLLKLSLRSPALLATVVLEPM
+>sp|A6NHQ4|EPOP_HUMAN Elongin BC and Polycomb repressive complex 2-associated protein OS=Homo sapiens OX=9606 GN=EPOP PE=1 SV=1
+METLCPAPRLAVPASPRGSPCSPTPRKPCRGTQEFSPLCLRALAFCALAKPRASSLGPGPGELAARSPVLRGPQAPLRPGGWAPDGLKHLWAPTGRPGVPNTAAGEDADVAACPRRGEEEEGGGGFPHFGVRSCAPPGRCPAPPHPRESTTSFASAPPRPAPGLEPQRGPAASPPQEPSSRPPSPPAGLSTEPAGPGTAPRPFLPGQPAEVDGNPPPAAPEAPAASPSTASPAPAAPGDLRQEHFDRLIRRSKLWCYAKGFALDTPSLRRGPERPPAKGPARGAAKKRRLPAPPPRTAQPRRPAPTLPTTSTFSLLNCFPCPPALVVGEDGDLKPASSLRLQGDSKPPPAHPLWRWQMGGPAVPEPPGLKFWGINMDES
+>DECOY_sp|A6NHQ4|EPOP_HUMAN Elongin BC and Polycomb repressive complex 2-associated protein OS=Homo sapiens OX=9606 GN=EPOP PE=1 SV=1
+SEDMNIGWFKLGPPEPVAPGGMQWRWLPHAPPPKSDGQLRLSSAPKLDGDEGVVLAPPCPFCNLLSFTSTTPLTPAPRRPQATRPPPAPLRRKKAAGRAPGKAPPREPGRRLSPTDLAFGKAYCWLKSRRILRDFHEQRLDGPAAPAPSATSPSAAPAEPAAPPPNGDVEAPQGPLFPRPATGPGAPETSLGAPPSPPRSSPEQPPSAAPGRQPELGPAPRPPASAFSTTSERPHPPAPCRGPPACSRVGFHPFGGGGEEEEGRRPCAAVDADEGAATNPVGPRGTPAWLHKLGDPAWGGPRLPAQPGRLVPSRAALEGPGPGLSSARPKALACFALARLCLPSFEQTGRCPKRPTPSCPSGRPSAPVALRPAPCLTEM
+>sp|P42566|EPS15_HUMAN Epidermal growth factor receptor substrate 15 OS=Homo sapiens OX=9606 GN=EPS15 PE=1 SV=2
+MAAAAQLSLTQLSSGNPVYEKYYRQVDTGNTGRVLASDAAAFLKKSGLPDLILGKIWDLADTDGKGILNKQEFFVALRLVACAQNGLEVSLSSLNLAVPPPRFHDTSSPLLISGTSAAELPWAVKPEDKAKYDAIFDSLSPVNGFLSGDKVKPVLLNSKLPVDILGRVWELSDIDHDGMLDRDEFAVAMFLVYCALEKEPVPMSLPPALVPPSKRKTWVVSPAEKAKYDEIFLKTDKDMDGFVSGLEVREIFLKTGLPSTLLAHIWSLCDTKDCGKLSKDQFALAFHLISQKLIKGIDPPHVLTPEMIPPSDRASLQKNIIGSSPVADFSAIKELDTLNNEIVDLQREKNNVEQDLKEKEDTIKQRTSEVQDLQDEVQRENTNLQKLQAQKQQVQELLDELDEQKAQLEEQLKEVRKKCAEEAQLISSLKAELTSQESQISTYEEELAKAREELSRLQQETAELEESVESGKAQLEPLQQHLQDSQQEISSMQMKLMEMKDLENHNSQLNWCSSPHSILVNGATDYCSLSTSSSETANLNEHVEGQSNLESEPIHQESPARSSPELLPSGVTDENEVTTAVTEKVCSELDNNRHSKEEDPFNVDSSSLTGPVADTNLDFFQSDPFVGSDPFKDDPFGKIDPFGGDPFKGSDPFASDCFFRQSTDPFATSSTDPFSAANNSSITSVETLKHNDPFAPGGTVVAASDSATDPFASVFGNESFGGGFADFSTLSKVNNEDPFRSATSSSVSNVVITKNVFEETSVKSEDEPPALPPKIGTPTRPCPLPPGKRSINKLDSPDPFKLNDPFQPFPGNDSPKEKDPEIFCDPFTSATTTTNKEADPSNFANFSAYPSEEDMIEWAKRESEREEEQRLARLNQQEQEDLELAIALSKSEISEA
+>DECOY_sp|P42566|EPS15_HUMAN Epidermal growth factor receptor substrate 15 OS=Homo sapiens OX=9606 GN=EPS15 PE=1 SV=2
+AESIESKSLAIALELDEQEQQNLRALRQEEERESERKAWEIMDEESPYASFNAFNSPDAEKNTTTTASTFPDCFIEPDKEKPSDNGPFPQFPDNLKFPDPSDLKNISRKGPPLPCPRTPTGIKPPLAPPEDESKVSTEEFVNKTIVVNSVSSSTASRFPDENNVKSLTSFDAFGGGFSENGFVSAFPDTASDSAAVVTGGPAFPDNHKLTEVSTISSNNAASFPDTSSTAFPDTSQRFFCDSAFPDSGKFPDGGFPDIKGFPDDKFPDSGVFPDSQFFDLNTDAVPGTLSSSDVNFPDEEKSHRNNDLESCVKETVATTVENEDTVGSPLLEPSSRAPSEQHIPESELNSQGEVHENLNATESSSTSLSCYDTAGNVLISHPSSCWNLQSNHNELDKMEMLKMQMSSIEQQSDQLHQQLPELQAKGSEVSEELEATEQQLRSLEERAKALEEEYTSIQSEQSTLEAKLSSILQAEEACKKRVEKLQEELQAKQEDLEDLLEQVQQKQAQLKQLNTNERQVEDQLDQVESTRQKITDEKEKLDQEVNNKERQLDVIENNLTDLEKIASFDAVPSSGIINKQLSARDSPPIMEPTLVHPPDIGKILKQSILHFALAFQDKSLKGCDKTDCLSWIHALLTSPLGTKLFIERVELGSVFGDMDKDTKLFIEDYKAKEAPSVVWTKRKSPPVLAPPLSMPVPEKELACYVLFMAVAFEDRDLMGDHDIDSLEWVRGLIDVPLKSNLLVPKVKDGSLFGNVPSLSDFIADYKAKDEPKVAWPLEAASTGSILLPSSTDHFRPPPVALNLSSLSVELGNQACAVLRLAVFFEQKNLIGKGDTDALDWIKGLILDPLGSKKLFAAADSALVRGTNGTDVQRYYKEYVPNGSSLQTLSLQAAAAM
+>sp|Q9C0D9|EPT1_HUMAN Ethanolaminephosphotransferase 1 OS=Homo sapiens OX=9606 GN=SELENOI PE=1 SV=3
+MAGYEYVSPEQLAGFDKYKYSAVDTNPLSLYVMHPFWNTIVKVFPTWLAPNLITFSGFLLVVFNFLLMAYFDPDFYASAPGHKHVPDWVWIVVGILNFVAYTLDGVDGKQARRTNSSTPLGELFDHGLDSWSCVYFVVTVYSIFGRGSTGVSVFVLYLLLWVVLFSFILSHWEKYNTGILFLPWGYDISQVTISFVYIVTAVVGVEAWYEPFLFNFLYRDLFTAMIIGCALCVTLPMSLLNFFRSYKNNTLKLNSVYEAMVPLFSPCLLFILSTAWILWSPSDILELHPRVFYFMVGTAFANSTCQLIVCQMSSTRCPTLNWLLVPLFLVVLVVNLGVASYVESILLYTLTTAFTLAHIHYGVRVVKQLSSHFQIYPFSLRKPNSDULGMEEKNIGL
+>DECOY_sp|Q9C0D9|EPT1_HUMAN Ethanolaminephosphotransferase 1 OS=Homo sapiens OX=9606 GN=SELENOI PE=1 SV=3
+LGINKEEMGLUDSNPKRLSFPYIQFHSSLQKVVRVGYHIHALTFATTLTYLLISEVYSAVGLNVVLVVLFLPVLLWNLTPCRTSSMQCVILQCTSNAFATGVMFYFVRPHLELIDSPSWLIWATSLIFLLCPSFLPVMAEYVSNLKLTNNKYSRFFNLLSMPLTVCLACGIIMATFLDRYLFNFLFPEYWAEVGVVATVIYVFSITVQSIDYGWPLFLIGTNYKEWHSLIFSFLVVWLLLYLVFVSVGTSGRGFISYVTVVFYVCSWSDLGHDFLEGLPTSSNTRRAQKGDVGDLTYAVFNLIGVVIWVWDPVHKHGPASAYFDPDFYAMLLFNFVVLLFGSFTILNPALWTPFVKVITNWFPHMVYLSLPNTDVASYKYKDFGALQEPSVYEYGAM
+>sp|Q9NQX3|GEPH_HUMAN Gephyrin OS=Homo sapiens OX=9606 GN=GPHN PE=1 SV=1
+MATEGMILTNHDHQIRVGVLTVSDSCFRNLAEDRSGINLKDLVQDPSLLGGTISAYKIVPDEIEEIKETLIDWCDEKELNLILTTGGTGFAPRDVTPEATKEVIEREAPGMALAMLMGSLNVTPLGMLSRPVCGIRGKTLIINLPGSKKGSQECFQFILPALPHAIDLLRDAIVKVKEVHDELEDLPSPPPPLSPPPTTSPHKQTEDKGVQCEEEEEEKKDSGVASTEDSSSSHITAAAIAAKIPDSIISRGVQVLPRDTASLSTTPSESPRAQATSRLSTASCPTPKVQSRCSSKENILRASHSAVDITKVARRHRMSPFPLTSMDKAFITVLEMTPVLGTEIINYRDGMGRVLAQDVYAKDNLPPFPASVKDGYAVRAADGPGDRFIIGESQAGEQPTQTVMPGQVMRVTTGAPIPCGADAVVQVEDTELIRESDDGTEELEVRILVQARPGQDIRPIGHDIKRGECVLAKGTHMGPSEIGLLATVGVTEVEVNKFPVVAVMSTGNELLNPEDDLLPGKIRDSNRSTLLATIQEHGYPTINLGIVGDNPDDLLNALNEGISRADVIITSGGVSMGEKDYLKQVLDIDLHAQIHFGRVFMKPGLPTTFATLDIDGVRKIIFALPGNPVSAVVTCNLFVVPALRKMQGILDPRPTIIKARLSCDVKLDPRPEYHRCILTWHHQEPLPWAQSTGNQMSSRLMSMRSANGLLMLPPKTEQYVELHKGEVVDVMVIGRL
+>DECOY_sp|Q9NQX3|GEPH_HUMAN Gephyrin OS=Homo sapiens OX=9606 GN=GPHN PE=1 SV=1
+LRGIVMVDVVEGKHLEVYQETKPPLMLLGNASRMSMLRSSMQNGTSQAWPLPEQHHWTLICRHYEPRPDLKVDCSLRAKIITPRPDLIGQMKRLAPVVFLNCTVVASVPNGPLAFIIKRVGDIDLTAFTTPLGPKMFVRGFHIQAHLDIDLVQKLYDKEGMSVGGSTIIVDARSIGENLANLLDDPNDGVIGLNITPYGHEQITALLTSRNSDRIKGPLLDDEPNLLENGTSMVAVVPFKNVEVETVGVTALLGIESPGMHTGKALVCEGRKIDHGIPRIDQGPRAQVLIRVELEETGDDSERILETDEVQVVADAGCPIPAGTTVRMVQGPMVTQTPQEGAQSEGIIFRDGPGDAARVAYGDKVSAPFPPLNDKAYVDQALVRGMGDRYNIIETGLVPTMELVTIFAKDMSTLPFPSMRHRRAVKTIDVASHSARLINEKSSCRSQVKPTPCSATSLRSTAQARPSESPTTSLSATDRPLVQVGRSIISDPIKAAIAAATIHSSSSDETSAVGSDKKEEEEEECQVGKDETQKHPSTTPPPSLPPPPSPLDELEDHVEKVKVIADRLLDIAHPLAPLIFQFCEQSGKKSGPLNIILTKGRIGCVPRSLMGLPTVNLSGMLMALAMGPAEREIVEKTAEPTVDRPAFGTGGTTLILNLEKEDCWDILTEKIEEIEDPVIKYASITGGLLSPDQVLDKLNIGSRDEALNRFCSDSVTLVGVRIQHDHNTLIMGETAM
+>sp|Q7L5D6|GET4_HUMAN Golgi to ER traffic protein 4 homolog OS=Homo sapiens OX=9606 GN=GET4 PE=1 SV=1
+MAAAAAMAEQESARNGGRNRGGVQRVEGKLRASVEKGDYYEAHQMYRTLFFRYMSQSKHTEARELMYSGALLFFSHGQQNSAADLSMLVLESLEKAEVEVADELLENLAKVFSLMDPNSPERVTFVSRALKWSSGGSGKLGHPRLHQLLALTLWKEQNYCESRYHFLHSADGEGCANMLVEYSTSRGFRSEVDMFVAQAVLQFLCLKNKSSASVVFTTYTQKHPSIEDGPPFVEPLLNFIWFLLLAVDGGKLTVFTVLCEQYQPSLRRDPMYNEYLDRIGQLFFGVPPKQTSSYGGLLGNLLTSLMGSSEQEDGEESPSDGSPIELD
+>DECOY_sp|Q7L5D6|GET4_HUMAN Golgi to ER traffic protein 4 homolog OS=Homo sapiens OX=9606 GN=GET4 PE=1 SV=1
+DLEIPSGDSPSEEGDEQESSGMLSTLLNGLLGGYSSTQKPPVGFFLQGIRDLYENYMPDRRLSPQYQECLVTFVTLKGGDVALLLFWIFNLLPEVFPPGDEISPHKQTYTTFVVSASSKNKLCLFQLVAQAVFMDVESRFGRSTSYEVLMNACGEGDASHLFHYRSECYNQEKWLTLALLQHLRPHGLKGSGGSSWKLARSVFTVREPSNPDMLSFVKALNELLEDAVEVEAKELSELVLMSLDAASNQQGHSFFLLAGSYMLERAETHKSQSMYRFFLTRYMQHAEYYDGKEVSARLKGEVRQVGGRNRGGNRASEQEAMAAAAAM
+>sp|P14136|GFAP_HUMAN Glial fibrillary acidic protein OS=Homo sapiens OX=9606 GN=GFAP PE=1 SV=1
+MERRRITSAARRSYVSSGEMMVGGLAPGRRLGPGTRLSLARMPPPLPTRVDFSLAGALNAGFKETRASERAEMMELNDRFASYIEKVRFLEQQNKALAAELNQLRAKEPTKLADVYQAELRELRLRLDQLTANSARLEVERDNLAQDLATVRQKLQDETNLRLEAENNLAAYRQEADEATLARLDLERKIESLEEEIRFLRKIHEEEVRELQEQLARQQVHVELDVAKPDLTAALKEIRTQYEAMASSNMHEAEEWYRSKFADLTDAAARNAELLRQAKHEANDYRRQLQSLTCDLESLRGTNESLERQMREQEERHVREAASYQEALARLEEEGQSLKDEMARHLQEYQDLLNVKLALDIEIATYRKLLEGEENRITIPVQTFSNLQIRETSLDTKSVSEGHLKRNIVVKTVEMRDGEVIKESKQEHKDVM
+>DECOY_sp|P14136|GFAP_HUMAN Glial fibrillary acidic protein OS=Homo sapiens OX=9606 GN=GFAP PE=1 SV=1
+MVDKHEQKSEKIVEGDRMEVTKVVINRKLHGESVSKTDLSTERIQLNSFTQVPITIRNEEGELLKRYTAIEIDLALKVNLLDQYEQLHRAMEDKLSQGEEELRALAEQYSAAERVHREEQERMQRELSENTGRLSELDCTLSQLQRRYDNAEHKAQRLLEANRAAADTLDAFKSRYWEEAEHMNSSAMAEYQTRIEKLAATLDPKAVDLEVHVQQRALQEQLERVEEEHIKRLFRIEEELSEIKRELDLRALTAEDAEQRYAALNNEAELRLNTEDQLKQRVTALDQALNDREVELRASNATLQDLRLRLERLEAQYVDALKTPEKARLQNLEAALAKNQQELFRVKEIYSAFRDNLEMMEARESARTEKFGANLAGALSFDVRTPLPPPMRALSLRTGPGLRRGPALGGVMMEGSSVYSRRAASTIRRREM
+>sp|Q5YKI7|GGNB1_HUMAN Putative gametogenetin-binding protein 1 OS=Homo sapiens OX=9606 GN=GGNBP1 PE=5 SV=1
+MKEEDSSFKLCVPGIVALQSPPNKAFRSTDTVGFLESELKKLLGMQQESRLWKLGSQEGRELLTRPEITVVEGEGYEVQRRLRHLPSPISVAQCLLLEEKGEMGNWPPE
+>DECOY_sp|Q5YKI7|GGNB1_HUMAN Putative gametogenetin-binding protein 1 OS=Homo sapiens OX=9606 GN=GGNBP1 PE=5 SV=1
+EPPWNGMEGKEELLLCQAVSIPSPLHRLRRQVEYGEGEVVTIEPRTLLERGEQSGLKWLRSEQQMGLLKKLESELFGVTDTSRFAKNPPSQLAVIGPVCLKFSSDEEKM
+>sp|Q86UU5|GGN_HUMAN Gametogenetin OS=Homo sapiens OX=9606 GN=GGN PE=1 SV=2
+MGNLQSEPSAGGGSRKVQPSDRAPDSRRTSLVEPEMTSQAMRLTRGLGVWFPGSATPPGLMVPREPQASPSTLPLTLERPSPVMPPPEEAAAVSAPPPAPAGTLLPGPSKWQKPAGTPVPRIRRLLEASHRGQGDPPSLRPLKPPPPPRQLSVKDTVPRAPSQFPPPLETWKPPPPLPSERQPADRRITPALATPASPPTESQAGPRNQGQTAGRARGGAPPHAGEGEMAQPADSESGLSLLCKITFKSRPSLAPPAASSSLAAKASLGGGGGGGLFAASGAISYAEVLKQGPLPPGAARPLGEVSRGAQEAEGGDGDGEGCSGPPSAPASQARALPPPPYTTFPGSKPKFDWVSAPDGPERHFRFNGAGGGIGAPRRRAAALSGPWGSPPPPPEQIHSAPGPRRPAPALLAPPTFIFPAPTNGEPMRPGPPGLQELPPLPPPTPPPTLQPPALQPTPLPVAPPLTPGLGHKESALAPTAAPALPPALAADQAPAPSPAPAPTVAEPSPPVSAPAPAAAPIKTRTRRNKGSRAARGATRKDGLHGDGPRERATATVPDSSGGGGGGSGASQTGAANTRAARHWLPFQVLNSCPCKCYCHHQPRHRRLPRNVSAWLSTSTNHLGEPPWVATIKLSGSLVAKLEHYDLQATHSN
+>DECOY_sp|Q86UU5|GGN_HUMAN Gametogenetin OS=Homo sapiens OX=9606 GN=GGN PE=1 SV=2
+NSHTAQLDYHELKAVLSGSLKITAVWPPEGLHNTSTSLWASVNRPLRRHRPQHHCYCKCPCSNLVQFPLWHRAARTNAAGTQSAGSGGGGGGSSDPVTATARERPGDGHLGDKRTAGRAARSGKNRRTRTKIPAAAPAPASVPPSPEAVTPAPAPSPAPAQDAALAPPLAPAATPALASEKHGLGPTLPPAVPLPTPQLAPPQLTPPPTPPPLPPLEQLGPPGPRMPEGNTPAPFIFTPPALLAPAPRRPGPASHIQEPPPPPSGWPGSLAAARRRPAGIGGGAGNFRFHREPGDPASVWDFKPKSGPFTTYPPPPLARAQSAPASPPGSCGEGDGDGGEAEQAGRSVEGLPRAAGPPLPGQKLVEAYSIAGSAAFLGGGGGGGLSAKAALSSSAAPPALSPRSKFTIKCLLSLGSESDAPQAMEGEGAHPPAGGRARGATQGQNRPGAQSETPPSAPTALAPTIRRDAPQRESPLPPPPKWTELPPPFQSPARPVTDKVSLQRPPPPPKLPRLSPPDGQGRHSAELLRRIRPVPTGAPKQWKSPGPLLTGAPAPPPASVAAAEEPPPMVPSPRELTLPLTSPSAQPERPVMLGPPTASGPFWVGLGRTLRMAQSTMEPEVLSTRRSDPARDSPQVKRSGGGASPESQLNGM
+>sp|P19440|GGT1_HUMAN Glutathione hydrolase 1 proenzyme OS=Homo sapiens OX=9606 GN=GGT1 PE=1 SV=2
+MKKKLVVLGLLAVVLVLVIVGLCLWLPSASKEPDNHVYTRAAVAADAKQCSKIGRDALRDGGSAVDAAIAALLCVGLMNAHSMGIGGGLFLTIYNSTTRKAEVINAREVAPRLAFATMFNSSEQSQKGGLSVAVPGEIRGYELAHQRHGRLPWARLFQPSIQLARQGFPVGKGLAAALENKRTVIEQQPVLCEVFCRDRKVLREGERLTLPQLADTYETLAIEGAQAFYNGSLTAQIVKDIQAAGGIVTAEDLNNYRAELIEHPLNISLGDVVLYMPSAPLSGPVLALILNILKGYNFSRESVESPEQKGLTYHRIVEAFRFAYAKRTLLGDPKFVDVTEVVRNMTSEFFAAQLRAQISDDTTHPISYYKPEFYTPDDGGTAHLSVVAEDGSAVSATSTINLYFGSKVRSPVSGILFNNEMDDFSSPSITNEFGVPPSPANFIQPGKQPLSSMCPTIMVGQDGQVRMVVGAAGGTQITTATALAIIYNLWFGYDVKRAVEEPRLHNQLLPNVTTVERNIDQAVTAALETRHHHTQIASTFIAVVQAIVRTAGGWAAASDSRKGGEPAGY
+>DECOY_sp|P19440|GGT1_HUMAN Glutathione hydrolase 1 proenzyme OS=Homo sapiens OX=9606 GN=GGT1 PE=1 SV=2
+YGAPEGGKRSDSAAAWGGATRVIAQVVAIFTSAIQTHHHRTELAATVAQDINREVTTVNPLLQNHLRPEEVARKVDYGFWLNYIIALATATTIQTGGAAGVVMRVQGDQGVMITPCMSSLPQKGPQIFNAPSPPVGFENTISPSSFDDMENNFLIGSVPSRVKSGFYLNITSTASVASGDEAVVSLHATGGDDPTYFEPKYYSIPHTTDDSIQARLQAAFFESTMNRVVETVDVFKPDGLLTRKAYAFRFAEVIRHYTLGKQEPSEVSERSFNYGKLINLILALVPGSLPASPMYLVVDGLSINLPHEILEARYNNLDEATVIGGAAQIDKVIQATLSGNYFAQAGEIALTEYTDALQPLTLREGERLVKRDRCFVECLVPQQEIVTRKNELAAALGKGVPFGQRALQISPQFLRAWPLRGHRQHALEYGRIEGPVAVSLGGKQSQESSNFMTAFALRPAVERANIVEAKRTTSNYITLFLGGGIGMSHANMLGVCLLAAIAADVASGGDRLADRGIKSCQKADAAVAARTYVHNDPEKSASPLWLCLGVIVLVLVVALLGLVVLKKKM
+>sp|Q6P531|GGT6_HUMAN Glutathione hydrolase 6 OS=Homo sapiens OX=9606 GN=GGT6 PE=2 SV=2
+MERAEEPVVYQKLLPWEPSLESEEEVEEEETSEALVLNPRRHQDSSRNKAGGLPGTWARVVAALLLLAVGCSLAVRQLQNQGRSTGSLGSVAPPPGGHSHGPGVYHHGAIISPAGRELLVAGGNVVDAGVGAALCLAVVHPHATGLGAMFWGLFHDSSSGNSTALTSGPAQTLAPGLGLPAALPTLHLLHARFGRLPWPRLLVGPTTLAQEGFLVDTPLARALVARGTEGLCPLLCHADGTPLGAGARATNPQLAAVLRSAALAPTSDLAGDALLSLLAGDLGVEVPSAVPRPTLEPAEQLPVPQGILFTTPSPSAGPELLALLEAALRSGAPIPDPCPPFLQTAVSPESSALAAVDSSGSVLLLTSSLNCSFGSAHLSPSTGVLLSNLVAKSTTSAWACPLILRGSLDDTEADVLGLVASGTPDVARAMTHTLLRHLAARPPTQAQHQHQGQQEPTEHPSTCGQGTLLQVAAHTEHAHVSSVPHACCPFQGF
+>DECOY_sp|Q6P531|GGT6_HUMAN Glutathione hydrolase 6 OS=Homo sapiens OX=9606 GN=GGT6 PE=2 SV=2
+FGQFPCCAHPVSSVHAHETHAAVQLLTGQGCTSPHETPEQQGQHQHQAQTPPRAALHRLLTHTMARAVDPTGSAVLGLVDAETDDLSGRLILPCAWASTTSKAVLNSLLVGTSPSLHASGFSCNLSSTLLLVSGSSDVAALASSEPSVATQLFPPCPDPIPAGSRLAAELLALLEPGASPSPTTFLIGQPVPLQEAPELTPRPVASPVEVGLDGALLSLLADGALDSTPALAASRLVAALQPNTARAGAGLPTGDAHCLLPCLGETGRAVLARALPTDVLFGEQALTTPGVLLRPWPLRGFRAHLLHLTPLAAPLGLGPALTQAPGSTLATSNGSSSDHFLGWFMAGLGTAHPHVVALCLAAGVGADVVNGGAVLLERGAPSIIAGHHYVGPGHSHGGPPPAVSGLSGTSRGQNQLQRVALSCGVALLLLAAVVRAWTGPLGGAKNRSSDQHRRPNLVLAESTEEEEVEEESELSPEWPLLKQYVVPEEAREM
+>sp|B5MD39|GGTL3_HUMAN Putative glutathione hydrolase light chain 3 OS=Homo sapiens OX=9606 GN=GGTLC3 PE=5 SV=1
+MTSEFFAAQLRSQISDHTTHPISYYKPEFYTPDDGGTAHLSVVAEDGSAVSATSTINLYFGSKVCSPVSGILFNNEWTTSALPAFTNEFGAPPSPANFIQPGKQPLLSMCPTIMVGQDGQVRMVVGAAGGTQITTDTALAIIYNLWFGYDVKRAVEEPRLHNKLLPNVTTVERNIDQAVTAALETRHHHTQIASTFIAVVQAIVRTAGGWAAASDSRKGGEPAGY
+>DECOY_sp|B5MD39|GGTL3_HUMAN Putative glutathione hydrolase light chain 3 OS=Homo sapiens OX=9606 GN=GGTLC3 PE=5 SV=1
+YGAPEGGKRSDSAAAWGGATRVIAQVVAIFTSAIQTHHHRTELAATVAQDINREVTTVNPLLKNHLRPEEVARKVDYGFWLNYIIALATDTTIQTGGAAGVVMRVQGDQGVMITPCMSLLPQKGPQIFNAPSPPAGFENTFAPLASTTWENNFLIGSVPSCVKSGFYLNITSTASVASGDEAVVSLHATGGDDPTYFEPKYYSIPHTTHDSIQSRLQAAFFESTM
+>sp|O75420|GGYF1_HUMAN GRB10-interacting GYF protein 1 OS=Homo sapiens OX=9606 GN=GIGYF1 PE=1 SV=2
+MAAETLNFGPEWLRALSGGGSVASPPPSPAMPKYKLADYRYGREEMLALYVKENKVPEELQDKEFAAVLQDEPLQPLALEPLTEEEQRNFSLSVNSVAVLRLMGKGAGPPLAGTSRGRGSTRSRGRGRGDSCFYQRSIEEGDGAFGRSPREIQRSQSWDDRGERRFEKSARRDGARCGFEEGGAGPRKEHARSDSENWRSLREEQEEEEEGSWRLGAGPRRDGDRWRSASPDGGPRSAGWREHGERRRKFEFDLRGDRGGCGEEEGRGGGGSSHLRRCRAPEGFEEDKDGLPEWCLDDEDEEMGTFDASGAFLPLKKGPKEPIPEEQELDFQGLEEEEEPSEGLEEEGPEAGGKELTPLPPQEEKSSSPSPLPTLGPLWGTNGDGDETAEKEPPAAEDDIRGIQLSPGVGSSAGPPGDLEDDEGLKHLQQEAEKLVASLQDSSLEEEQFTAAMQTQGLRHSAAATALPLSHGAARKWFYKDPQGEIQGPFTTQEMAEWFQAGYFSMSLLVKRGCDEGFQPLGEVIKMWGRVPFAPGPSPPPLLGNMDQERLKKQQELAAAALYQQLQHQQFLQLVSSRQLPQCALREKAALGDLTPPPPPPPQQQQQQLTAFLQQLQALKPPRGGDQNLLPTMSRSLSVPDSGRLWDVHTSASSQSGGEASLWDIPINSSTQGPILEQLQLQHKFQERREVELRAKREEEERKRREEKRRQQQQEEQKRRQEEEELFRRKHVRQQELLLKLLQQQQAVPVPPAPSSPPPLWAGLAKQGLSMKTLLELQLEGERQLHKQPPPREPARAQAPNHRVQLGGLGTAPLNQWVSEAGPLWGGPDKSGGGSSGLGLWEDTPKSGGSLVRGLGLKNSRSSPSLSDSYSHLSGRPIRKKTEEEEKLLKLLQGIPRPQDGFTQWCEQMLHTLSATGSLDVPMAVAILKEVESPYDVHDYIRSCLGDTLEAKEFAKQFLERRAKQKASQQRQQQQEAWLSSASLQTAFQANHSTKLGPGEGSKAKRRALMLHSDPSILGYSLHGSSGEIESVDDY
+>DECOY_sp|O75420|GGYF1_HUMAN GRB10-interacting GYF protein 1 OS=Homo sapiens OX=9606 GN=GIGYF1 PE=1 SV=2
+YDDVSEIEGSSGHLSYGLISPDSHLMLARRKAKSGEGPGLKTSHNAQFATQLSASSLWAEQQQQRQQSAKQKARRELFQKAFEKAELTDGLCSRIYDHVDYPSEVEKLIAVAMPVDLSGTASLTHLMQECWQTFGDQPRPIGQLLKLLKEEEETKKRIPRGSLHSYSDSLSPSSRSNKLGLGRVLSGGSKPTDEWLGLGSSGGGSKDPGGWLPGAESVWQNLPATGLGGLQVRHNPAQARAPERPPPQKHLQREGELQLELLTKMSLGQKALGAWLPPPSSPAPPVPVAQQQQLLKLLLEQQRVHKRRFLEEEEQRRKQEEQQQQRRKEERRKREEEERKARLEVERREQFKHQLQLQELIPGQTSSNIPIDWLSAEGGSQSSASTHVDWLRGSDPVSLSRSMTPLLNQDGGRPPKLAQLQQLFATLQQQQQQPPPPPPPTLDGLAAKERLACQPLQRSSVLQLFQQHQLQQYLAAAALEQQKKLREQDMNGLLPPPSPGPAFPVRGWMKIVEGLPQFGEDCGRKVLLSMSFYGAQFWEAMEQTTFPGQIEGQPDKYFWKRAAGHSLPLATAAASHRLGQTQMAATFQEEELSSDQLSAVLKEAEQQLHKLGEDDELDGPPGASSGVGPSLQIGRIDDEAAPPEKEATEDGDGNTGWLPGLTPLPSPSSSKEEQPPLPTLEKGGAEPGEEELGESPEEEEELGQFDLEQEEPIPEKPGKKLPLFAGSADFTGMEEDEDDLCWEPLGDKDEEFGEPARCRRLHSSGGGGRGEEEGCGGRDGRLDFEFKRRREGHERWGASRPGGDPSASRWRDGDRRPGAGLRWSGEEEEEQEERLSRWNESDSRAHEKRPGAGGEEFGCRAGDRRASKEFRREGRDDWSQSRQIERPSRGFAGDGEEISRQYFCSDGRGRGRSRTSGRGRSTGALPPGAGKGMLRLVAVSNVSLSFNRQEEETLPELALPQLPEDQLVAAFEKDQLEEPVKNEKVYLALMEERGYRYDALKYKPMAPSPPPSAVSGGGSLARLWEPGFNLTEAAM
+>sp|P10070|GLI2_HUMAN Zinc finger protein GLI2 OS=Homo sapiens OX=9606 GN=GLI2 PE=1 SV=4
+METSASATASEKQEAKSGILEAAGFPDPGKKASPLVVAAAAAAAVAAQGVPQHLLPPFHAPLPIDMRHQEGRYHYEPHSVHGVHGPPALSGSPVISDISLIRLSPHPAGPGESPFNAPHPYVNPHMEHYLRSVHSSPTLSMISAARGLSPADVAQEHLKERGLFGLPAPGTTPSDYYHQMTLVAGHPAPYGDLLMQSGGAASAPHLHDYLNPVDVSRFSSPRVTPRLSRKRALSISPLSDASLDLQRMIRTSPNSLVAYINNSRSSSAASGSYGHLSAGALSPAFTFPHPINPVAYQQILSQQRGLGSAFGHTPPLIQPSPTFLAQQPMALTSINATPTQLSSSSNCLSDTNQNKQSSESAVSSTVNPVAIHKRSKVKTEPEGLRPASPLALTQGQVSGHGSCGCALPLSQEQLADLKEDLDRDDCKQEAEVVIYETNCHWEDCTKEYDTQEQLVHHINNEHIHGEKKEFVCRWQACTREQKPFKAQYMLVVHMRRHTGEKPHKCTFEGCSKAYSRLENLKTHLRSHTGEKPYVCEHEGCNKAFSNASDRAKHQNRTHSNEKPYICKIPGCTKRYTDPSSLRKHVKTVHGPDAHVTKKQRNDVHLRTPLLKENGDSEAGTEPGGPESTEASSTSQAVEDCLHVRAIKTESSGLCQSSPGAQSSCSSEPSPLGSAPNNDSGVEMPGTGPGSLGDLTALDDTPPGADTSALAAPSAGGLQLRKHMTTMHRFEQLKKEKLKSLKDSCSWAGPTPHTRNTKLPPLPGSGSILENFSGSGGGGPAGLLPNPRLSELSASEVTMLSQLQERRDSSTSTVSSAYTVSRRSSGISPYFSSRRSSEASPLGAGRPHNASSADSYDPISTDASRRSSEASQCSGGSGLLNLTPAQQYSLRAKYAAATGGPPPTPLPGLERMSLRTRLALLDAPERTLPAGCPRPLGPRRGSDGPTYGHGHAGAAPAFPHEAPGGGARRASDPVRRPDALSLPRVQRFHSTHNVNPGPLPPCADRRGLRLQSHPSTDGGLARGAYSPRPPSISENVAMEAVAAGVDGAGPEADLGLPEDDLVLPDDVVQYIKAHASGALDEGTGQVYPTESTGFSDNPRLPSPGLHGQRRMVAADSNVGPSAPMLGGCQLGFGAPSSLNKNNMPVQWNEVSSGTVDALASQVKPPPFPQGNLAVVQQKPAFGQYPGYSPQGLQASPGGLDSTQPHLQPRSGAPSQGIPRVNYMQQLRQPVAGSQCPGMTTTMSPHACYGQVHPQLSPSTISGALNQFPQSCSNMPAKPGHLGHPQQTEVAPDPTTMGNRHRELGVPDSALAGVPPPHPVQSYPQQSHHLAASMSQEGYHQVPSLLPARQPGFMEPQTGPMGVATAGFGLVQPRPPLEPSPTGRHRGVRAVQQQLAYARATGHAMAAMPSSQETAEAVPKGAMGNMGSVPPQPPPQDAGGAPDHSMLYYYGQIHMYEQDGGLENLGSCQVMRSQPPQPQACQDSIQPQPLPSPGVNQVSSTVDSQLLEAPQIDFDAIMDDGDHSSLFSGALSPSLLHSLSQNSSRLTTPRNSLTLPSIPAGISNMAVGDMSSMLTSLAEESKFLNMMT
+>DECOY_sp|P10070|GLI2_HUMAN Zinc finger protein GLI2 OS=Homo sapiens OX=9606 GN=GLI2 PE=1 SV=4
+TMMNLFKSEEALSTLMSSMDGVAMNSIGAPISPLTLSNRPTTLRSSNQSLSHLLSPSLAGSFLSSHDGDDMIADFDIQPAELLQSDVTSSVQNVGPSPLPQPQISDQCAQPQPPQSRMVQCSGLNELGGDQEYMHIQGYYYLMSHDPAGGADQPPPQPPVSGMNGMAGKPVAEATEQSSPMAAMAHGTARAYALQQQVARVGRHRGTPSPELPPRPQVLGFGATAVGMPGTQPEMFGPQRAPLLSPVQHYGEQSMSAALHHSQQPYSQVPHPPPVGALASDPVGLERHRNGMTTPDPAVETQQPHGLHGPKAPMNSCSQPFQNLAGSITSPSLQPHVQGYCAHPSMTTTMGPCQSGAVPQRLQQMYNVRPIGQSPAGSRPQLHPQTSDLGGPSAQLGQPSYGPYQGFAPKQQVVALNGQPFPPPKVQSALADVTGSSVENWQVPMNNKNLSSPAGFGLQCGGLMPASPGVNSDAAVMRRQGHLGPSPLRPNDSFGTSETPYVQGTGEDLAGSAHAKIYQVVDDPLVLDDEPLGLDAEPGAGDVGAAVAEMAVNESISPPRPSYAGRALGGDTSPHSQLRLGRRDACPPLPGPNVNHTSHFRQVRPLSLADPRRVPDSARRAGGGPAEHPFAPAAGAHGHGYTPGDSGRRPGLPRPCGAPLTREPADLLALRTRLSMRELGPLPTPPPGGTAAAYKARLSYQQAPTLNLLGSGGSCQSAESSRRSADTSIPDYSDASSANHPRGAGLPSAESSRRSSFYPSIGSSRRSVTYASSVTSTSSDRREQLQSLMTVESASLESLRPNPLLGAPGGGGSGSFNELISGSGPLPPLKTNRTHPTPGAWSCSDKLSKLKEKKLQEFRHMTTMHKRLQLGGASPAALASTDAGPPTDDLATLDGLSGPGTGPMEVGSDNNPASGLPSPESSCSSQAGPSSQCLGSSETKIARVHLCDEVAQSTSSAETSEPGGPETGAESDGNEKLLPTRLHVDNRQKKTVHADPGHVTKVHKRLSSPDTYRKTCGPIKCIYPKENSHTRNQHKARDSANSFAKNCGEHECVYPKEGTHSRLHTKLNELRSYAKSCGEFTCKHPKEGTHRRMHVVLMYQAKFPKQERTCAQWRCVFEKKEGHIHENNIHHVLQEQTDYEKTCDEWHCNTEYIVVEAEQKCDDRDLDEKLDALQEQSLPLACGCSGHGSVQGQTLALPSAPRLGEPETKVKSRKHIAVPNVTSSVASESSQKNQNTDSLCNSSSSLQTPTANISTLAMPQQALFTPSPQILPPTHGFASGLGRQQSLIQQYAVPNIPHPFTFAPSLAGASLHGYSGSAASSSRSNNIYAVLSNPSTRIMRQLDLSADSLPSISLARKRSLRPTVRPSSFRSVDVPNLYDHLHPASAAGGSQMLLDGYPAPHGAVLTMQHYYDSPTTGPAPLGFLGREKLHEQAVDAPSLGRAASIMSLTPSSHVSRLYHEMHPNVYPHPANFPSEGPGAPHPSLRILSIDSIVPSGSLAPPGHVGHVSHPEYHYRGEQHRMDIPLPAHFPPLLHQPVGQAAVAAAAAAAVVLPSAKKGPDPFGAAELIGSKAEQKESATASASTEM
+>sp|P32189|GLPK_HUMAN Glycerol kinase OS=Homo sapiens OX=9606 GN=GK PE=1 SV=3
+MAASKKAVLGPLVGAVDQGTSSTRFLVFNSKTAELLSHHQVEIKQEFPREGWVEQDPKEILHSVYECIEKTCEKLGQLNIDISNIKAIGVSNQRETTVVWDKITGEPLYNAVVWLDLRTQSTVESLSKRIPGNNNFVKSKTGLPLSTYFSAVKLRWLLDNVRKVQKAVEEKRALFGTIDSWLIWSLTGGVNGGVHCTDVTNASRTMLFNIHSLEWDKQLCEFFGIPMEILPNVRSSSEIYGLMKISHSVKAGALEGVPISGCLGDQSAALVGQMCFQIGQAKNTYGTGCFLLCNTGHKCVFSDHGLLTTVAYKLGRDKPVYYALEGSVAIAGAVIRWLRDNLGIIKTSEEIEKLAKEVGTSYGCYFVPAFSGLYAPYWEPSARGIICGLTQFTNKCHIAFAALEAVCFQTREILDAMNRDCGIPLSHLQVDGGMTSNKILMQLQADILYIPVVKPSMPETTALGAAMAAGAAEGVGVWSLEPEDLSAVTMERFEPQINAEESEIRYSTWKKAVMKSMGWVTTQSPESGDPSIFCSLPLGFFIVSSMVMLIGARYISGIP
+>DECOY_sp|P32189|GLPK_HUMAN Glycerol kinase OS=Homo sapiens OX=9606 GN=GK PE=1 SV=3
+PIGSIYRAGILMVMSSVIFFGLPLSCFISPDGSEPSQTTVWGMSKMVAKKWTSYRIESEEANIQPEFREMTVASLDEPELSWVGVGEAAGAAMAAGLATTEPMSPKVVPIYLIDAQLQMLIKNSTMGGDVQLHSLPIGCDRNMADLIERTQFCVAELAAFAIHCKNTFQTLGCIIGRASPEWYPAYLGSFAPVFYCGYSTGVEKALKEIEESTKIIGLNDRLWRIVAGAIAVSGELAYYVPKDRGLKYAVTTLLGHDSFVCKHGTNCLLFCGTGYTNKAQGIQFCMQGVLAASQDGLCGSIPVGELAGAKVSHSIKMLGYIESSSRVNPLIEMPIGFFECLQKDWELSHINFLMTRSANTVDTCHVGGNVGGTLSWILWSDITGFLARKEEVAKQVKRVNDLLWRLKVASFYTSLPLGTKSKVFNNNGPIRKSLSEVTSQTRLDLWVVANYLPEGTIKDWVVTTERQNSVGIAKINSIDINLQGLKECTKEICEYVSHLIEKPDQEVWGERPFEQKIEVQHHSLLEATKSNFVLFRTSSTGQDVAGVLPGLVAKKSAAM
+>sp|O75311|GLRA3_HUMAN Glycine receptor subunit alpha-3 OS=Homo sapiens OX=9606 GN=GLRA3 PE=1 SV=2
+MAHVRHFRTLVSGFYFWEAALLLSLVATKETDSARSRSAPMSPSDFLDKLMGRTSGYDARIRPNFKGPPVNVTCNIFINSFGSIAETTMDYRVNIFLRQKWNDPRLAYSEYPDDSLDLDPSMLDSIWKPDLFFANEKGANFHEVTTDNKLLRIFKNGNVLYSIRLTLTLSCPMDLKNFPMDVQTCIMQLESFGYTMNDLIFEWQDEAPVQVAEGLTLPQFLLKEEKDLRYCTKHYNTGKFTCIEVRFHLERQMGYYLIQMYIPSLLIVILSWVSFWINMDAAPARVALGITTVLTMTTQSSGSRASLPKVSYVKAIDIWMAVCLLFVFSALLEYAAVNFVSRQHKELLRFRRKRKNKTEAFALEKFYRFSDMDDEVRESRFSFTAYGMGPCLQAKDGMTPKGPNHPVQVMPKSPDEMRKVFIDRAKKIDTISRACFPLAFLIFNIFYWVIYKILRHEDIHQQQD
+>DECOY_sp|O75311|GLRA3_HUMAN Glycine receptor subunit alpha-3 OS=Homo sapiens OX=9606 GN=GLRA3 PE=1 SV=2
+DQQQHIDEHRLIKYIVWYFINFILFALPFCARSITDIKKARDIFVKRMEDPSKPMVQVPHNPGKPTMGDKAQLCPGMGYATFSFRSERVEDDMDSFRYFKELAFAETKNKRKRRFRLLEKHQRSVFNVAAYELLASFVFLLCVAMWIDIAKVYSVKPLSARSGSSQTTMTLVTTIGLAVRAPAADMNIWFSVWSLIVILLSPIYMQILYYGMQRELHFRVEICTFKGTNYHKTCYRLDKEEKLLFQPLTLGEAVQVPAEDQWEFILDNMTYGFSELQMICTQVDMPFNKLDMPCSLTLTLRISYLVNGNKFIRLLKNDTTVEHFNAGKENAFFLDPKWISDLMSPDLDLSDDPYESYALRPDNWKQRLFINVRYDMTTEAISGFSNIFINCTVNVPPGKFNPRIRADYGSTRGMLKDLFDSPSMPASRSRASDTEKTAVLSLLLAAEWFYFGSVLTRFHRVHAM
+>sp|Q5JXX5|GLRA4_HUMAN Glycine receptor subunit alpha-4 OS=Homo sapiens OX=9606 GN=GLRA4 PE=2 SV=3
+MTTLVPATLSFLLLWTLPGQVLLRVALAKEEVKSGTKGSQPMSPSDFLDKLMGRTSGYDARIRPNFKGPPVNVTCNIFINSFSSITKTTMDYRVNVFLRQQWNDPRLSYREYPDDSLDLDPSMLDSIWKPDLFFANEKGANFHEVTTDNKLLRIFKNGNVLYSIRLTLILSCLMDLKNFPMDIQTCTMQLESFGYTMKDLVFEWLEDAPAVQVAEGLTLPQFILRDEKDLGCCTKHYNTGKFTCIEVKFHLERQMGYYLIQMYIPSLLIVILSWVSFWINMDAAPARVGLGITTVLTMTTQSSGSRASLPKVSYVKAIDIWMAVCLLFVFAALLEYAAINFVSRQHKEFIRLRRRQRRQRLEEDIIQESRFYFRGYGLGHCLQARDGGPMEGSGIYSPQPPAPLLREGETTRKLYVD
+>DECOY_sp|Q5JXX5|GLRA4_HUMAN Glycine receptor subunit alpha-4 OS=Homo sapiens OX=9606 GN=GLRA4 PE=2 SV=3
+DVYLKRTTEGERLLPAPPQPSYIGSGEMPGGDRAQLCHGLGYGRFYFRSEQIIDEELRQRRQRRRLRIFEKHQRSVFNIAAYELLAAFVFLLCVAMWIDIAKVYSVKPLSARSGSSQTTMTLVTTIGLGVRAPAADMNIWFSVWSLIVILLSPIYMQILYYGMQRELHFKVEICTFKGTNYHKTCCGLDKEDRLIFQPLTLGEAVQVAPADELWEFVLDKMTYGFSELQMTCTQIDMPFNKLDMLCSLILTLRISYLVNGNKFIRLLKNDTTVEHFNAGKENAFFLDPKWISDLMSPDLDLSDDPYERYSLRPDNWQQRLFVNVRYDMTTKTISSFSNIFINCTVNVPPGKFNPRIRADYGSTRGMLKDLFDSPSMPQSGKTGSKVEEKALAVRLLVQGPLTWLLLFSLTAPVLTTM
+>sp|Q8NCW6|GLT11_HUMAN Polypeptide N-acetylgalactosaminyltransferase 11 OS=Homo sapiens OX=9606 GN=GALNT11 PE=1 SV=2
+MGSVTVRYFCYGCLFTSATWTVLLFVYFNFSEVTQPLKNVPVKGSGPHGPSPKKFYPRFTRGPSRVLEPQFKANKIDDVIDSRVEDPEEGHLKFSSELGMIFNERDQELRDLGYQKHAFNMLISDRLGYHRDVPDTRNAACKEKFYPPDLPAASVVICFYNEAFSALLRTVHSVIDRTPAHLLHEIILVDDDSDFDDLKGELDEYVQKYLPGKIKVIRNTKREGLIRGRMIGAAHATGEVLVFLDSHCEVNVMWLQPLLAAIREDRHTVVCPVIDIISADTLAYSSSPVVRGGFNWGLHFKWDLVPLSELGRAEGATAPIKSPTMAGGLFAMNRQYFHELGQYDSGMDIWGGENLEISFRIWMCGGKLFIIPCSRVGHIFRKRRPYGSPEGQDTMTHNSLRLAHVWLDEYKEQYFSLRPDLKTKSYGNISERVELRKKLGCKSFKWYLDNVYPEMQISGSHAKPQQPIFVNRGPKRPKVLQRGRLYHLQTNKCLVAQGRPSQKGGLVVLKACDYSDPNQIWIYNEEHELVLNSLLCLDMSETRSSDPPRLMKCHGSGGSQQWTFGKNNRLYQVSVGQCLRAVDPLGQKGSVAMAICDGSSSQQWHLEG
+>DECOY_sp|Q8NCW6|GLT11_HUMAN Polypeptide N-acetylgalactosaminyltransferase 11 OS=Homo sapiens OX=9606 GN=GALNT11 PE=1 SV=2
+GELHWQQSSSGDCIAMAVSGKQGLPDVARLCQGVSVQYLRNNKGFTWQQSGGSGHCKMLRPPDSSRTESMDLCLLSNLVLEHEENYIWIQNPDSYDCAKLVVLGGKQSPRGQAVLCKNTQLHYLRGRQLVKPRKPGRNVFIPQQPKAHSGSIQMEPYVNDLYWKFSKCGLKKRLEVRESINGYSKTKLDPRLSFYQEKYEDLWVHALRLSNHTMTDQGEPSGYPRRKRFIHGVRSCPIIFLKGGCMWIRFSIELNEGGWIDMGSDYQGLEHFYQRNMAFLGGAMTPSKIPATAGEARGLESLPVLDWKFHLGWNFGGRVVPSSSYALTDASIIDIVPCVVTHRDERIAALLPQLWMVNVECHSDLFVLVEGTAHAAGIMRGRILGERKTNRIVKIKGPLYKQVYEDLEGKLDDFDSDDDVLIIEHLLHAPTRDIVSHVTRLLASFAENYFCIVVSAAPLDPPYFKEKCAANRTDPVDRHYGLRDSILMNFAHKQYGLDRLEQDRENFIMGLESSFKLHGEEPDEVRSDIVDDIKNAKFQPELVRSPGRTFRPYFKKPSPGHPGSGKVPVNKLPQTVESFNFYVFLLVTWTASTFLCGYCFYRVTVSGM
+>sp|Q8IXK2|GLT12_HUMAN Polypeptide N-acetylgalactosaminyltransferase 12 OS=Homo sapiens OX=9606 GN=GALNT12 PE=1 SV=3
+MWGRTARRRCPRELRRGREALLVLLALLALAGLGSVLRAQRGAGAGAAEPGPPRTPRPGRREPVMPRPPVPANALGARGEAVRLQLQGEELRLQEESVRLHQINIYLSDRISLHRRLPERWNPLCKEKKYDYDNLPRTSVIIAFYNEAWSTLLRTVYSVLETSPDILLEEVILVDDYSDREHLKERLANELSGLPKVRLIRANKREGLVRARLLGASAARGDVLTFLDCHCECHEGWLEPLLQRIHEEESAVVCPVIDVIDWNTFEYLGNSGEPQIGGFDWRLVFTWHTVPERERIRMQSPVDVIRSPTMAGGLFAVSKKYFEYLGSYDTGMEVWGGENLEFSFRIWQCGGVLETHPCSHVGHVFPKQAPYSRNKALANSVRAAEVWMDEFKELYYHRNPRARLEPFGDVTERKQLRDKLQCKDFKWFLETVYPELHVPEDRPGFFGMLQNKGLTDYCFDYNPPDENQIVGHQVILYLCHGMGQNQFFEYTSQKEIRYNTHQPEGCIAVEAGMDTLIMHLCEETAPENQKFILQEDGSLFHEQSKKCVQAARKESSDSFVPLLRDCTNSDHQKWFFKERML
+>DECOY_sp|Q8IXK2|GLT12_HUMAN Polypeptide N-acetylgalactosaminyltransferase 12 OS=Homo sapiens OX=9606 GN=GALNT12 PE=1 SV=3
+LMREKFFWKQHDSNTCDRLLPVFSDSSEKRAAQVCKKSQEHFLSGDEQLIFKQNEPATEECLHMILTDMGAEVAICGEPQHTNYRIEKQSTYEFFQNQGMGHCLYLIVQHGVIQNEDPPNYDFCYDTLGKNQLMGFFGPRDEPVHLEPYVTELFWKFDKCQLKDRLQKRETVDGFPELRARPNRHYYLEKFEDMWVEAARVSNALAKNRSYPAQKPFVHGVHSCPHTELVGGCQWIRFSFELNEGGWVEMGTDYSGLYEFYKKSVAFLGGAMTPSRIVDVPSQMRIREREPVTHWTFVLRWDFGGIQPEGSNGLYEFTNWDIVDIVPCVVASEEEHIRQLLPELWGEHCECHCDLFTLVDGRAASAGLLRARVLGERKNARILRVKPLGSLENALREKLHERDSYDDVLIVEELLIDPSTELVSYVTRLLTSWAENYFAIIVSTRPLNDYDYKKEKCLPNWREPLRRHLSIRDSLYINIQHLRVSEEQLRLEEGQLQLRVAEGRAGLANAPVPPRPMVPERRGPRPTRPPGPEAAGAGAGRQARLVSGLGALALLALLVLLAERGRRLERPCRRRATRGWM
+>sp|Q6IS24|GLT17_HUMAN Polypeptide N-acetylgalactosaminyltransferase 17 OS=Homo sapiens OX=9606 GN=GALNT17 PE=2 SV=2
+MASLRRVKVLLVLNLIAVAGFVLFLAKCRPIAVRSGDAFHEIRPRAEVANLSAHSASPIQDAVLKRLSLLEDIVYRQLNGLSKSLGLIEGYGGRGKGGLPATLSPAEEEKAKGPHEKYGYNSYLSEKISLDRSIPDYRPTKCKELKYSKDLPQISIIFIFVNEALSVILRSVHSAVNHTPTHLLKEIILVDDNSDEEELKVPLEEYVHKRYPGLVKVVRNQKREGLIRARIEGWKVATGQVTGFFDAHVEFTAGWAEPVLSRIQENRKRVILPSIDNIKQDNFEVQRYENSAHGYSWELWCMYISPPKDWWDAGDPSLPIRTPAMIGCSFVVNRKFFGEIGLLDPGMDVYGGENIELGIKVWLCGGSMEVLPCSRVAHIERKKKPYNSNIGFYTKRNALRVAEVWMDDYKSHVYIAWNLPLENPGIDIGDVSERRALRKSLKCKNFQWYLDHVYPEMRRYNNTVAYGELRNNKAKDVCLDQGPLENHTAILYPCHGWGPQLARYTKEGFLHLGALGTTTLLPDTRCLVDNSKSRLPQLLDCDKVKSSLYKRWNFIQNGAIMNKGTGRCLEVENRGLAGIDLILRSCTGQRWTIKNSIK
+>DECOY_sp|Q6IS24|GLT17_HUMAN Polypeptide N-acetylgalactosaminyltransferase 17 OS=Homo sapiens OX=9606 GN=GALNT17 PE=2 SV=2
+KISNKITWRQGTCSRLILDIGALGRNEVELCRGTGKNMIAGNQIFNWRKYLSSKVKDCDLLQPLRSKSNDVLCRTDPLLTTTGLAGLHLFGEKTYRALQPGWGHCPYLIATHNELPGQDLCVDKAKNNRLEGYAVTNNYRRMEPYVHDLYWQFNKCKLSKRLARRESVDGIDIGPNELPLNWAIYVHSKYDDMWVEAVRLANRKTYFGINSNYPKKKREIHAVRSCPLVEMSGGCLWVKIGLEINEGGYVDMGPDLLGIEGFFKRNVVFSCGIMAPTRIPLSPDGADWWDKPPSIYMCWLEWSYGHASNEYRQVEFNDQKINDISPLIVRKRNEQIRSLVPEAWGATFEVHADFFGTVQGTAVKWGEIRARILGERKQNRVVKVLGPYRKHVYEELPVKLEEEDSNDDVLIIEKLLHTPTHNVASHVSRLIVSLAENVFIFIISIQPLDKSYKLEKCKTPRYDPISRDLSIKESLYSNYGYKEHPGKAKEEEAPSLTAPLGGKGRGGYGEILGLSKSLGNLQRYVIDELLSLRKLVADQIPSASHASLNAVEARPRIEHFADGSRVAIPRCKALFLVFGAVAILNLVLLVKVRRLSAM
+>sp|Q6P9A2|GLT18_HUMAN Polypeptide N-acetylgalactosaminyltransferase 18 OS=Homo sapiens OX=9606 GN=GALNT18 PE=2 SV=2
+MVCTRKTKTLVSTCVILSGMTNIICLLYVGWVTNYIASVYVRGQEPAPDKKLEEDKGDTLKIIERLDHLENVIKQHIQEAPAKPEEAEAEPFTDSSLFAHWGQELSPEGRRVALKQFQYYGYNAYLSDRLPLDRPLPDLRPSGCRNLSFPDSLPEVSIVFIFVNEALSVLLRSIHSAMERTPPHLLKEIILVDDNSSNEELKEKLTEYVDKVNSQKPGFIKVVRHSKQEGLIRSRVSGWRAATAPVVALFDAHVEFNVGWAEPVLTRIKENRKRIISPSFDNIKYDNFEIEEYPLAAQGFDWELWCRYLNPPKAWWKLENSTAPIRSPALIGCFIVDRQYFQEIGLLDEGMEVYGGENVELGIRVWQCGGSVEVLPCSRIAHIERAHKPYTEDLTAHVRRNALRVAEVWMDEFKSHVYMAWNIPQEDSGIDIGDITARKALRKQLQCKTFRWYLVSVYPEMRMYSDIIAYGVLQNSLKTDLCLDQGPDTENVPIMYICHGMTPQNVYYTSSQQIHVGILSPTVDDDDNRCLVDVNSRPRLIECSYAKAKRMKLHWQFSQGGPIQNRKSKRCLELQENSDLEFGFQLVLQKCSGQHWSITNVLRSLAS
+>DECOY_sp|Q6P9A2|GLT18_HUMAN Polypeptide N-acetylgalactosaminyltransferase 18 OS=Homo sapiens OX=9606 GN=GALNT18 PE=2 SV=2
+SALSRLVNTISWHQGSCKQLVLQFGFELDSNEQLELCRKSKRNQIPGGQSFQWHLKMRKAKAYSCEILRPRSNVDVLCRNDDDDVTPSLIGVHIQQSSTYYVNQPTMGHCIYMIPVNETDPGQDLCLDTKLSNQLVGYAIIDSYMRMEPYVSVLYWRFTKCQLQKRLAKRATIDGIDIGSDEQPINWAMYVHSKFEDMWVEAVRLANRRVHATLDETYPKHAREIHAIRSCPLVEVSGGCQWVRIGLEVNEGGYVEMGEDLLGIEQFYQRDVIFCGILAPSRIPATSNELKWWAKPPNLYRCWLEWDFGQAALPYEEIEFNDYKINDFSPSIIRKRNEKIRTLVPEAWGVNFEVHADFLAVVPATAARWGSVRSRILGEQKSHRVVKIFGPKQSNVKDVYETLKEKLEENSSNDDVLIIEKLLHPPTREMASHISRLLVSLAENVFIFVISVEPLSDPFSLNRCGSPRLDPLPRDLPLRDSLYANYGYYQFQKLAVRRGEPSLEQGWHAFLSSDTFPEAEAEEPKAPAEQIHQKIVNELHDLREIIKLTDGKDEELKKDPAPEQGRVYVSAIYNTVWGVYLLCIINTMGSLIVCTSVLTKTKRTCVM
+>sp|A6NH11|GLTD2_HUMAN Glycolipid transfer protein domain-containing protein 2 OS=Homo sapiens OX=9606 GN=GLTPD2 PE=1 SV=2
+MGVAARPPALRHWFSHSIPLAIFALLLLYLSVRSLGARSGCGPRAQPCVPGETAPFQVRQESGTLEAPERKQPPCLGPRGMLGRMMRRFHASLKPEGDVGLSPYLAGWRALVEFLTPLGSVFAFATREAFTKVTDLEARVHGPDAEHYWSLVAMAAWERRAGLLEQPGAAPRDPTRSSGSRTLLLLHRALRWSQLCLHRVATGALGGPDAGVQCSDAYRAALGPHHPWLVRQTARLAFLAFPGRRRLLELACPGATEAEARAALVRAAGTLEDVYNRTQSLLAERGLLQLA
+>DECOY_sp|A6NH11|GLTD2_HUMAN Glycolipid transfer protein domain-containing protein 2 OS=Homo sapiens OX=9606 GN=GLTPD2 PE=1 SV=2
+ALQLLGREALLSQTRNYVDELTGAARVLAARAEAETAGPCALELLRRRGPFALFALRATQRVLWPHHPGLAARYADSCQVGADPGGLAGTAVRHLCLQSWRLARHLLLLTRSGSSRTPDRPAAGPQELLGARREWAAMAVLSWYHEADPGHVRAELDTVKTFAERTAFAFVSGLPTLFEVLARWGALYPSLGVDGEPKLSAHFRRMMRGLMGRPGLCPPQKREPAELTGSEQRVQFPATEGPVCPQARPGCGSRAGLSRVSLYLLLLAFIALPISHSFWHRLAPPRAAVGM
+>sp|P01275|GLUC_HUMAN Glucagon OS=Homo sapiens OX=9606 GN=GCG PE=1 SV=3
+MKSIYFVAGLFVMLVQGSWQRSLQDTEEKSRSFSASQADPLSDPDQMNEDKRHSQGTFTSDYSKYLDSRRAQDFVQWLMNTKRNRNNIAKRHDEFERHAEGTFTSDVSSYLEGQAAKEFIAWLVKGRGRRDFPEEVAIVEELGRRHADGSFSDEMNTILDNLAARDFINWLIQTKITDRK
+>DECOY_sp|P01275|GLUC_HUMAN Glucagon OS=Homo sapiens OX=9606 GN=GCG PE=1 SV=3
+KRDTIKTQILWNIFDRAALNDLITNMEDSFSGDAHRRGLEEVIAVEEPFDRRGRGKVLWAIFEKAAQGELYSSVDSTFTGEAHREFEDHRKAINNRNRKTNMLWQVFDQARRSDLYKSYDSTFTGQSHRKDENMQDPDSLPDAQSASFSRSKEETDQLSRQWSGQVLMVFLGAVFYISKM
+>sp|P34897|GLYM_HUMAN Serine hydroxymethyltransferase, mitochondrial OS=Homo sapiens OX=9606 GN=SHMT2 PE=1 SV=3
+MLYFSLFWAARPLQRCGQLVRMAIRAQHSNAAQTQTGEANRGWTGQESLSDSDPEMWELLQREKDRQCRGLELIASENFCSRAALEALGSCLNNKYSEGYPGKRYYGGAEVVDEIELLCQRRALEAFDLDPAQWGVNVQPYSGSPANLAVYTALLQPHDRIMGLDLPDGGHLTHGYMSDVKRISATSIFFESMPYKLNPKTGLIDYNQLALTARLFRPRLIIAGTSAYARLIDYARMREVCDEVKAHLLADMAHISGLVAAKVIPSPFKHADIVTTTTHKTLRGARSGLIFYRKGVKAVDPKTGREIPYTFEDRINFAVFPSLQGGPHNHAIAAVAVALKQACTPMFREYSLQVLKNARAMADALLERGYSLVSGGTDNHLVLVDLRPKGLDGARAERVLELVSITANKNTCPGDRSAITPGGLRLGAPALTSRQFREDDFRRVVDFIDEGVNIGLEVKSKTAKLQDFKSFLLKDSETSQRLANLRQRVEQFARAFPMPGFDEH
+>DECOY_sp|P34897|GLYM_HUMAN Serine hydroxymethyltransferase, mitochondrial OS=Homo sapiens OX=9606 GN=SHMT2 PE=1 SV=3
+HEDFGPMPFARAFQEVRQRLNALRQSTESDKLLFSKFDQLKATKSKVELGINVGEDIFDVVRRFDDERFQRSTLAPAGLRLGGPTIASRDGPCTNKNATISVLELVREARAGDLGKPRLDVLVLHNDTGGSVLSYGRELLADAMARANKLVQLSYERFMPTCAQKLAVAVAAIAHNHPGGQLSPFVAFNIRDEFTYPIERGTKPDVAKVGKRYFILGSRAGRLTKHTTTTVIDAHKFPSPIVKAAVLGSIHAMDALLHAKVEDCVERMRAYDILRAYASTGAIILRPRFLRATLALQNYDILGTKPNLKYPMSEFFISTASIRKVDSMYGHTLHGGDPLDLGMIRDHPQLLATYVALNAPSGSYPQVNVGWQAPDLDFAELARRQCLLEIEDVVEAGGYYRKGPYGESYKNNLCSGLAELAARSCFNESAILELGRCQRDKERQLLEWMEPDSDSLSEQGTWGRNAEGTQTQAANSHQARIAMRVLQGCRQLPRAAWFLSFYLM
+>sp|Q49A26|GLYR1_HUMAN Putative oxidoreductase GLYR1 OS=Homo sapiens OX=9606 GN=GLYR1 PE=1 SV=3
+MAAVSLRLGDLVWGKLGRYPPWPGKIVNPPKDLKKPRGKKCFFVKFFGTEDHAWIKVEQLKPYHAHKEEMIKINKGKRFQQAVDAVEEFLRRAKGKDQTSSHNSSDDKNRRNSSEERSRPNSGDEKRKLSLSEGKVKKNMGEGKKRVSSGSSERGSKSPLKRAQEQSPRKRGRPPKDEKDLTIPESSTVKGMMAGPMAAFKWQPTASEPVKDADPHFHHFLLSQTEKPAVCYQAITKKLKICEEETGSTSIQAADSTAVNGSITPTDKKIGFLGLGLMGSGIVSNLLKMGHTVTVWNRTAEKCDLFIQEGARLGRTPAEVVSTCDITFACVSDPKAAKDLVLGPSGVLQGIRPGKCYVDMSTVDADTVTELAQVIVSRGGRFLEAPVSGNQQLSNDGMLVILAAGDRGLYEDCSSCFQAMGKTSFFLGEVGNAAKMMLIVNMVQGSFMATIAEGLTLAHVTGQSQQTLLDILNQGQLASIFLDQKCQNILQGNFKPDFYLKYIQKDLRLAIALGDAVNHPTPMAAAANEVYKRAKALDQSDNDMSAVYRAYIH
+>DECOY_sp|Q49A26|GLYR1_HUMAN Putative oxidoreductase GLYR1 OS=Homo sapiens OX=9606 GN=GLYR1 PE=1 SV=3
+HIYARYVASMDNDSQDLAKARKYVENAAAAMPTPHNVADGLAIALRLDKQIYKLYFDPKFNGQLINQCKQDLFISALQGQNLIDLLTQQSQGTVHALTLGEAITAMFSGQVMNVILMMKAANGVEGLFFSTKGMAQFCSSCDEYLGRDGAALIVLMGDNSLQQNGSVPAELFRGGRSVIVQALETVTDADVTSMDVYCKGPRIGQLVGSPGLVLDKAAKPDSVCAFTIDCTSVVEAPTRGLRAGEQIFLDCKEATRNWVTVTHGMKLLNSVIGSGMLGLGLFGIKKDTPTISGNVATSDAAQISTSGTEEECIKLKKTIAQYCVAPKETQSLLFHHFHPDADKVPESATPQWKFAAMPGAMMGKVTSSEPITLDKEDKPPRGRKRPSQEQARKLPSKSGRESSGSSVRKKGEGMNKKVKGESLSLKRKEDGSNPRSREESSNRRNKDDSSNHSSTQDKGKARRLFEEVADVAQQFRKGKNIKIMEEKHAHYPKLQEVKIWAHDETGFFKVFFCKKGRPKKLDKPPNVIKGPWPPYRGLKGWVLDGLRLSVAAM
+>sp|Q96IK5|GMCL1_HUMAN Germ cell-less protein-like 1 OS=Homo sapiens OX=9606 GN=GMCL1 PE=1 SV=1
+MGSLSSRVLRQPRPALAQQAQGARAGGSARRPDTGDDAAGHGFCYCAGSHKRKRSSGSFCYCHPDSETDEDEEEGDEQQRLLNTPRRKKLKSTSKYIYQTLFLNGENSDIKICALGEEWSLHKIYLCQSGYFSSMFSGSWKESSMNIIELEIPDQNIDVEALQVAFGSLYRDDVLIKPSRVVAILAAACLLQLDGLIQQCGETMKETVNVKTVCGYYTSAGTYGLDSVKKKCLEWLLNNLMTHQNVELFKELSINVMKQLIGSSNLFVMQVEMDIYTALKKWMFLQLVPSWNGSLKQLLTETDVWFSKQRKDFEGMAFLETEQGKPFVSVFRHLRLQYIISDLASARIIEQDAVVPSEWLSSVYKQQWFAMLRAEQDSEVGPQEINKEELEGNSMRCGRKLAKDGEYCWRWTGFNFGFDLLVTYTNRYIIFKRNTLNQPCSGSVSLQPRRSIAFRLRLASFDSSGKLICSRTTGYQILTLEKDQEQVVMNLDSRLLIFPLYICCNFLYISPEKKN
+>DECOY_sp|Q96IK5|GMCL1_HUMAN Germ cell-less protein-like 1 OS=Homo sapiens OX=9606 GN=GMCL1 PE=1 SV=1
+NKKEPSIYLFNCCIYLPFILLRSDLNMVVQEQDKELTLIQYGTTRSCILKGSSDFSALRLRFAISRRPQLSVSGSCPQNLTNRKFIIYRNTYTVLLDFGFNFGTWRWCYEGDKALKRGCRMSNGELEEKNIEQPGVESDQEARLMAFWQQKYVSSLWESPVVADQEIIRASALDSIIYQLRLHRFVSVFPKGQETELFAMGEFDKRQKSFWVDTETLLQKLSGNWSPVLQLFMWKKLATYIDMEVQMVFLNSSGILQKMVNISLEKFLEVNQHTMLNNLLWELCKKKVSDLGYTGASTYYGCVTKVNVTEKMTEGCQQILGDLQLLCAAALIAVVRSPKILVDDRYLSGFAVQLAEVDINQDPIELEIINMSSEKWSGSFMSSFYGSQCLYIKHLSWEEGLACIKIDSNEGNLFLTQYIYKSTSKLKKRRPTNLLRQQEDGEEEDEDTESDPHCYCFSGSSRKRKHSGACYCFGHGAADDGTDPRRASGGARAGQAQQALAPRPQRLVRSSLSGM
+>sp|Q9Y692|GMEB1_HUMAN Glucocorticoid modulatory element-binding protein 1 OS=Homo sapiens OX=9606 GN=GMEB1 PE=1 SV=2
+MANAEVSVPVGDVVVVPTEGNEGENPEDTKTQVILQLQPVQQGLFIDGHFYNRIYEAGSENNTAVVAVETHTIHKIEEGIDTGTIEANEDMEIAYPITCGESKAILLWKKFVCPGINVKCVKFNDQLISPKHFVHLAGKSTLKDWKRAIRLGGIMLRKMMDSGQIDFYQHDKVCSNTCRSTKFDLLISSARAPVPGQQTSVVQTPTSADGSITQIAISEESMEEAGLEWNSALTAAVTMATEEGVKKDSEEISEDTLMFWKGIADVGLMEEVVCNIQKEIEELLRGVQQRLIQAPFQVTDAAVLNNVAHTFGLMDTVKKVLDNRRNQVEQGEEQFLYTLTDLERQLEEQKKQGQDHRLKSQTVQNVVLMPVSTPKPPKRPRLQRPASTTVLSPSPPVQQPQFTVISPITITPVGQSFSMGNIPVATLSQGSSPVTVHTLPSGPQLFRYATVVSSAKSSSPDTVTIHPSSSLALLSSTAMQDGSTLGNMTTMVSPVELVAMESGLTSAIQAVESTSEDGQTIIEIDPAPDPEAEDTEGKAVILETELRTEEKVVAEMEEHQHQVHNVEIVVLED
+>DECOY_sp|Q9Y692|GMEB1_HUMAN Glucocorticoid modulatory element-binding protein 1 OS=Homo sapiens OX=9606 GN=GMEB1 PE=1 SV=2
+DELVVIEVNHVQHQHEEMEAVVKEETRLETELIVAKGETDEAEPDPAPDIEIITQGDESTSEVAQIASTLGSEMAVLEVPSVMTTMNGLTSGDQMATSSLLALSSSPHITVTDPSSSKASSVVTAYRFLQPGSPLTHVTVPSSGQSLTAVPINGMSFSQGVPTITIPSIVTFQPQQVPPSPSLVTTSAPRQLRPRKPPKPTSVPMLVVNQVTQSKLRHDQGQKKQEELQRELDTLTYLFQEEGQEVQNRRNDLVKKVTDMLGFTHAVNNLVAADTVQFPAQILRQQVGRLLEEIEKQINCVVEEMLGVDAIGKWFMLTDESIEESDKKVGEETAMTVAATLASNWELGAEEMSEESIAIQTISGDASTPTQVVSTQQGPVPARASSILLDFKTSRCTNSCVKDHQYFDIQGSDMMKRLMIGGLRIARKWDKLTSKGALHVFHKPSILQDNFKVCKVNIGPCVFKKWLLIAKSEGCTIPYAIEMDENAEITGTDIGEEIKHITHTEVAVVATNNESGAEYIRNYFHGDIFLGQQVPQLQLIVQTKTDEPNEGENGETPVVVVDGVPVSVEANAM
+>sp|Q99445|GML_HUMAN Glycosyl-phosphatidylinositol-anchored molecule-like protein OS=Homo sapiens OX=9606 GN=GML PE=2 SV=1
+MLLFALLLAMELPLVAASATMRAQWTYSLRCHDCAVINDFNCPNIRVCPYHIRRCMTISIRINSRELLVYKNCTNNCTFVYAAEQPPEAPGKIFKTNSFYWVCCCNSMVCNAGGPTNLERDMLPDEVTEEELPEGTVRLGVSKLLLSFASIIVSNILP
+>DECOY_sp|Q99445|GML_HUMAN Glycosyl-phosphatidylinositol-anchored molecule-like protein OS=Homo sapiens OX=9606 GN=GML PE=2 SV=1
+PLINSVIISAFSLLLKSVGLRVTGEPLEEETVEDPLMDRELNTPGGANCVMSNCCCVWYFSNTKFIKGPAEPPQEAAYVFTCNNTCNKYVLLERSNIRISITMCRRIHYPCVRINPCNFDNIVACDHCRLSYTWQARMTASAAVLPLEMALLLAFLLM
+>sp|P38405|GNAL_HUMAN Guanine nucleotide-binding protein G(olf) subunit alpha OS=Homo sapiens OX=9606 GN=GNAL PE=1 SV=1
+MGCLGGNSKTTEDQGVDEKERREANKKIEKQLQKERLAYKATHRLLLLGAGESGKSTIVKQMRILHVNGFNPEEKKQKILDIRKNVKDAIVTIVSAMSTIIPPVPLANPENQFRSDYIKSIAPITDFEYSQEFFDHVKKLWDDEGVKACFERSNEYQLIDCAQYFLERIDSVSLVDYTPTDQDLLRCRVLTSGIFETRFQVDKVNFHMFDVGGQRDERRKWIQCFNDVTAIIYVAACSSYNMVIREDNNTNRLRESLDLFESIWNNRWLRTISIILFLNKQDMLAEKVLAGKSKIEDYFPEYANYTVPEDATPDAGEDPKVTRAKFFIRDLFLRISTATGDGKHYCYPHFTCAVDTENIRRVFNDCRDIIQRMHLKQYELL
+>DECOY_sp|P38405|GNAL_HUMAN Guanine nucleotide-binding protein G(olf) subunit alpha OS=Homo sapiens OX=9606 GN=GNAL PE=1 SV=1
+LLEYQKLHMRQIIDRCDNFVRRINETDVACTFHPYCYHKGDGTATSIRLFLDRIFFKARTVKPDEGADPTADEPVTYNAYEPFYDEIKSKGALVKEALMDQKNLFLIISITRLWRNNWISEFLDLSERLRNTNNDERIVMNYSSCAAVYIIATVDNFCQIWKRREDRQGGVDFMHFNVKDVQFRTEFIGSTLVRCRLLDQDTPTYDVLSVSDIRELFYQACDILQYENSREFCAKVGEDDWLKKVHDFFEQSYEFDTIPAISKIYDSRFQNEPNALPVPPIITSMASVITVIADKVNKRIDLIKQKKEEPNFGNVHLIRMQKVITSKGSEGAGLLLLRHTAKYALREKQLQKEIKKNAERREKEDVGQDETTKSNGGLCGM
+>sp|Q5JWF2|GNAS1_HUMAN Guanine nucleotide-binding protein G(s) subunit alpha isoforms XLas OS=Homo sapiens OX=9606 GN=GNAS PE=1 SV=2
+MGVRNCLYGNNMSGQRDIPPEIGEQPEQPPLEAPGAAAPGAGPSPAEEMETEPPHNEPIPVENDGEACGPPEVSRPNFQVLNPAFREAGAHGSYSPPPEEAMPFEAEQPSLGGFWPTLEQPGFPSGVHAGLEAFGPALMEPGAFSGARPGLGGYSPPPEEAMPFEFDQPAQRGCSQLLLQVPDLAPGGPGAAGVPGAPPEEPQALRPAKAGSRGGYSPPPEETMPFELDGEGFGDDSPPPGLSRVIAQVDGSSQFAAVAASSAVRLTPAANAPPLWVPGAIGSPSQEAVRPPSNFTGSSPWMEISGPPFEIGSAPAGVDDTPVNMDSPPIALDGPPIKVSGAPDKRERAERPPVEEEAAEMEGAADAAEGGKVPSPGYGSPAAGAASADTAARAAPAAPADPDSGATPEDPDSGTAPADPDSGAFAADPDSGAAPAAPADPDSGAAPDAPADPDSGAAPDAPADPDAGAAPEAPAAPAAAETRAAHVAPAAPDAGAPTAPAASATRAAQVRRAASAAPASGARRKIHLRPPSPEIQAADPPTPRPTRASAWRGKSESSRGRRVYYDEGVASSDDDSSGDESDDGTSGCLRWFQHRRNRRRRKPQRNLLRNFLVQAFGGCFGRSESPQPKASRSLKVKKVPLAEKRRQMRKEALEKRAQKRAEKKRSKLIDKQLQDEKMGYMCTHRLLLLGAGESGKSTIVKQMRILHVNGFNGEGGEEDPQAARSNSDGEKATKVQDIKNNLKEAIETIVAAMSNLVPPVELANPENQFRVDYILSVMNVPDFDFPPEFYEHAKALWEDEGVRACYERSNEYQLIDCAQYFLDKIDVIKQADYVPSDQDLLRCRVLTSGIFETKFQVDKVNFHMFDVGGQRDERRKWIQCFNDVTAIIFVVASSSYNMVIREDNQTNRLQEALNLFKSIWNNRWLRTISVILFLNKQDLLAEKVLAGKSKIEDYFPEFARYTTPEDATPEPGEDPRVTRAKYFIRDEFLRISTASGDGRHYCYPHFTCAVDTENIRRVFNDCRDIIQRMHLRQYELL
+>DECOY_sp|Q5JWF2|GNAS1_HUMAN Guanine nucleotide-binding protein G(s) subunit alpha isoforms XLas OS=Homo sapiens OX=9606 GN=GNAS PE=1 SV=2
+LLEYQRLHMRQIIDRCDNFVRRINETDVACTFHPYCYHRGDGSATSIRLFEDRIFYKARTVRPDEGPEPTADEPTTYRAFEPFYDEIKSKGALVKEALLDQKNLFLIVSITRLWRNNWISKFLNLAEQLRNTQNDERIVMNYSSSAVVFIIATVDNFCQIWKRREDRQGGVDFMHFNVKDVQFKTEFIGSTLVRCRLLDQDSPVYDAQKIVDIKDLFYQACDILQYENSREYCARVGEDEWLAKAHEYFEPPFDFDPVNMVSLIYDVRFQNEPNALEVPPVLNSMAAVITEIAEKLNNKIDQVKTAKEGDSNSRAAQPDEEGGEGNFGNVHLIRMQKVITSKGSEGAGLLLLRHTCMYGMKEDQLQKDILKSRKKEARKQARKELAEKRMQRRKEALPVKKVKLSRSAKPQPSESRGFCGGFAQVLFNRLLNRQPKRRRRNRRHQFWRLCGSTGDDSEDGSSDDDSSAVGEDYYVRRGRSSESKGRWASARTPRPTPPDAAQIEPSPPRLHIKRRAGSAPAASAARRVQAARTASAAPATPAGADPAAPAVHAARTEAAAPAAPAEPAAGADPDAPADPAAGSDPDAPADPAAGSDPDAPAAPAAGSDPDAAFAGSDPDAPATGSDPDEPTAGSDPDAPAAPAARAATDASAAGAAPSGYGPSPVKGGEAADAAGEMEAAEEEVPPREARERKDPAGSVKIPPGDLAIPPSDMNVPTDDVGAPASGIEFPPGSIEMWPSSGTFNSPPRVAEQSPSGIAGPVWLPPANAAPTLRVASSAAVAAFQSSGDVQAIVRSLGPPPSDDGFGEGDLEFPMTEEPPPSYGGRSGAKAPRLAQPEEPPAGPVGAAGPGGPALDPVQLLLQSCGRQAPQDFEFPMAEEPPPSYGGLGPRAGSFAGPEMLAPGFAELGAHVGSPFGPQELTPWFGGLSPQEAEFPMAEEPPPSYSGHAGAERFAPNLVQFNPRSVEPPGCAEGDNEVPIPENHPPETEMEEAPSPGAGPAAAGPAELPPQEPQEGIEPPIDRQGSMNNGYLCNRVGM
+>sp|O95467|GNAS3_HUMAN Neuroendocrine secretory protein 55 OS=Homo sapiens OX=9606 GN=GNAS PE=2 SV=1
+MDRRSRAQQWRRARHNYNDLCPPIGRRAATALLWLSCSIALLRALATSNARAQQRAAAQQRRSFLNAHHRSGAQVFPESPESESDHEHEEADLELSLPECLEYEEEFDYETESETESEIESETDFETEPETAPTTEPETEPEDDRGPVVPKHSTFGQSLTQRLHALKLRSPDASPSRAPPSTQEPQSPREGEELKPEDKDPRDPEESKEPKEEKQRRRCKPKKPTRRDASPESPSKKGPIPIRRH
+>DECOY_sp|O95467|GNAS3_HUMAN Neuroendocrine secretory protein 55 OS=Homo sapiens OX=9606 GN=GNAS PE=2 SV=1
+HRRIPIPGKKSPSEPSADRRTPKKPKCRRRQKEEKPEKSEEPDRPDKDEPKLEEGERPSQPEQTSPPARSPSADPSRLKLAHLRQTLSQGFTSHKPVVPGRDDEPETEPETTPATEPETEFDTESEIESETESETEYDFEEEYELCEPLSLELDAEEHEHDSESEPSEPFVQAGSRHHANLFSRRQQAAARQQARANSTALARLLAISCSLWLLATAARRGIPPCLDNYNHRARRWQQARSRRDM
+>sp|Q8N0V5|GNT2A_HUMAN N-acetyllactosaminide beta-1,6-N-acetylglucosaminyl-transferase OS=Homo sapiens OX=9606 GN=GCNT2 PE=1 SV=1
+MMGSWKHCLFSASLISALIFVFVYNTELWENKRFLRAALSNASLLAEACHQIFEGKVFYPTENALKTTLDEATCYEYMVRSHYVTETLSEEEAGFPLAYTVTIHKDFGTFERLFRAIYMPQNVYCVHLDQKATDAFKGAVKQLLSCFPNAFLASKKESVVYGGISRLQADLNCLEDLVASEVPWKYVINTCGQDFPLKTNREIVQYLKGFKGKNITPGVLPPDHAVGRTKYVHQELLNHKNSYVIKTTKLKTPPPHDMVIYFGTAYVALTRDFANFVLQDQLALDLLSWSKDTYSPDEHFWVTLNRIPGVPGSMPNASWTGNLRAIKWSDMEDRHGGCHGHYVHGICIYGNGDLKWLVNSPSLFANKFELNTYPLTVECLELRHRERTLNQSETAIQPSWYF
+>DECOY_sp|Q8N0V5|GNT2A_HUMAN N-acetyllactosaminide beta-1,6-N-acetylglucosaminyl-transferase OS=Homo sapiens OX=9606 GN=GCNT2 PE=1 SV=1
+FYWSPQIATESQNLTRERHRLELCEVTLPYTNLEFKNAFLSPSNVLWKLDGNGYICIGHVYHGHCGGHRDEMDSWKIARLNGTWSANPMSGPVGPIRNLTVWFHEDPSYTDKSWSLLDLALQDQLVFNAFDRTLAVYATGFYIVMDHPPPTKLKTTKIVYSNKHNLLEQHVYKTRGVAHDPPLVGPTINKGKFGKLYQVIERNTKLPFDQGCTNIVYKWPVESAVLDELCNLDAQLRSIGGYVVSEKKSALFANPFCSLLQKVAGKFADTAKQDLHVCYVNQPMYIARFLREFTGFDKHITVTYALPFGAEEESLTETVYHSRVMYEYCTAEDLTTKLANETPYFVKGEFIQHCAEALLSANSLAARLFRKNEWLETNYVFVFILASILSASFLCHKWSGMM
+>sp|Q9H2G9|GO45_HUMAN Golgin-45 OS=Homo sapiens OX=9606 GN=BLZF1 PE=1 SV=2
+MTTKNLETKVTVTSSPIRGAGDGMETEEPPKSVEVTSGVQSRKHHSLQSPWKKAVPSESPGVLQLGKMLTEKAMEVKAVRILVPKAAITHDIPNKNTKVKSLGHHKGEFLGQSEGVIEPNKELSEVKNVLEKLKNSERRLLQDKEGLSNQLRVQTEVNRELKKLLVASVGDDLQYHFERLAREKNQLILENEALGRNTAQLSEQLERMSIQCDVWRSKFLASRVMADELTNSRAALQRQNRDAHGAIQDLLSEREQFRQEMIATQKLLEELLVSLQWGREQTYSPSVQPHSTAELALTNHKLAKAVNSHLLGNVGINNQKKIPSTVEFCSTPAEKMAETVLRILDPVTCKESSPDNPFFESSPTTLLATKKNIGRFHPYTRYENITFNCCNHCRGELIAL
+>DECOY_sp|Q9H2G9|GO45_HUMAN Golgin-45 OS=Homo sapiens OX=9606 GN=BLZF1 PE=1 SV=2
+LAILEGRCHNCCNFTINEYRTYPHFRGINKKTALLTTPSSEFFPNDPSSEKCTVPDLIRLVTEAMKEAPTSCFEVTSPIKKQNNIGVNGLLHSNVAKALKHNTLALEATSHPQVSPSYTQERGWQLSVLLEELLKQTAIMEQRFQERESLLDQIAGHADRNQRQLAARSNTLEDAMVRSALFKSRWVDCQISMRELQESLQATNRGLAENELILQNKERALREFHYQLDDGVSAVLLKKLERNVETQVRLQNSLGEKDQLLRRESNKLKELVNKVESLEKNPEIVGESQGLFEGKHHGLSKVKTNKNPIDHTIAAKPVLIRVAKVEMAKETLMKGLQLVGPSESPVAKKWPSQLSHHKRSQVGSTVEVSKPPEETEMGDGAGRIPSSTVTVKTELNKTTM
+>sp|A7E2F4|GOG8A_HUMAN Golgin subfamily A member 8A OS=Homo sapiens OX=9606 GN=GOLGA8A PE=2 SV=3
+MLPVDGEERKSEGSDTEGDRTSPCAVSSATLKDLEVGGSGRRCSDPAGQPSNLLPQRGLGAPLPAETAHTQPSPNDRSLYLSPKSSSASSSLHARQSPCQEQAAVLNSRSIKISRLNDTIKSLKQQKKQVEHQLEEEKKANNEKQKAERELEGQIQRLNTEKKKLNTDLYHMKHSLRYFEEESKDLAGRLQRSSQRIGELEWSLCAVAATQKKKPDGFSSRSKALLKRQLEQSIREQILLKGHVTQLKESLKEVQLERDQYAEQIKGERAQWQQRMRKMSQEVCTLKEEKKHDTHRVEELERSLSRLKNQMAEPLPPDAPAVSSEVELQDLRKELERVAGELQAQVENNQCISLLNRGQKERLREQEERLQEQQERLREREKRLQQLAEPQSDLEELKHENKSALQLEQQVKELQEKLGQVMETLTSAEKEPEAAVPASGTGGESSGLMDLLEEKADLREHVEKLELGFIQYRRERCHQKVHRLLTEPGDSAKDASPGGGHHQAGPGQGGEEGEAAGAAGDGVAACGSYSEGHGKFLAAARNPAAEPSPGAPAPQELGAADKHGDLCEASLTNSVEPAQGEAREGSSQDNPTAQPVVQLLGEMQDHQEHPGLGSNCCVPCFCWAWLPRRRR
+>DECOY_sp|A7E2F4|GOG8A_HUMAN Golgin subfamily A member 8A OS=Homo sapiens OX=9606 GN=GOLGA8A PE=2 SV=3
+RRRRPLWAWCFCPVCCNSGLGPHEQHDQMEGLLQVVPQATPNDQSSGERAEGQAPEVSNTLSAECLDGHKDAAGLEQPAPAGPSPEAAPNRAAALFKGHGESYSGCAAVGDGAAGAAEGEEGGQGPGAQHHGGGPSADKASDGPETLLRHVKQHCRERRYQIFGLELKEVHERLDAKEELLDMLGSSEGGTGSAPVAAEPEKEASTLTEMVQGLKEQLEKVQQELQLASKNEHKLEELDSQPEALQQLRKERERLREQQEQLREEQERLREKQGRNLLSICQNNEVQAQLEGAVRELEKRLDQLEVESSVAPADPPLPEAMQNKLRSLSRELEEVRHTDHKKEEKLTCVEQSMKRMRQQWQAREGKIQEAYQDRELQVEKLSEKLQTVHGKLLIQERISQELQRKLLAKSRSSFGDPKKKQTAAVACLSWELEGIRQSSRQLRGALDKSEEEFYRLSHKMHYLDTNLKKKETNLRQIQGELEREAKQKENNAKKEEELQHEVQKKQQKLSKITDNLRSIKISRSNLVAAQEQCPSQRAHLSSSASSSKPSLYLSRDNPSPQTHATEAPLPAGLGRQPLLNSPQGAPDSCRRGSGGVELDKLTASSVACPSTRDGETDSGESKREEGDVPLM
+>sp|A6NMD2|GOG8J_HUMAN Golgin subfamily A member 8J OS=Homo sapiens OX=9606 GN=GOLGA8J PE=3 SV=3
+MAEETQHNKLAAAKKKLKEYWQKNSPRVPAGANRNRKTNGSIPEKATSGGCQPPRDSATGFHREGPTSSATLKDLESPCQERAVVLDSRSVEISQLKNTIKSLKQQKKQVEHQLEEEKKANNKKQKAKRVLEVQIQTLNIQKEELNTDLYHMKRSLRYFEEKSKDLAVRLQHSLQRKGELESVLSNVMATQKKKANQLSSRSKARTEWKLEQSMREEALLKVQLTQFKESFQQVQLERDEYSEHLKGERARWQQRMRKMSQEICTLKKEKQQDMRRVEKLERSLSKLKNQMAEPLPPEPPAVPSEVELQHLRKELERVAGELQAQVKNNQRISLLNQRQEERIREQEERLRKQEERIQEQHKSLQQLAKPQSVFKEPNNENKNALQLEQQVKELQEKLGEEHLEAASQQNQQLTAQLSLMALPGEGHGGEHLDSEGEEAPRPMPSVPEDPESREAMSSFMDHLEEKADLSELVKKKELCFIHHWRERCHQKTHHLLSEPGGRAKDAALGGGHHQAGAQGGDEGEAAGAAADGIAAYSNYNNGHRKFLAAAHNSADEPGPGAPAPQELGAADKHGHLCEVSLTSSAQGEAREDPLLDKPTAQPIVQDHQEHPGLGSNCCVPFLCWAWLPRRRR
+>DECOY_sp|A6NMD2|GOG8J_HUMAN Golgin subfamily A member 8J OS=Homo sapiens OX=9606 GN=GOLGA8J PE=3 SV=3
+RRRRPLWAWCLFPVCCNSGLGPHEQHDQVIPQATPKDLLPDERAEGQASSTLSVECLHGHKDAAGLEQPAPAGPGPEDASNHAAALFKRHGNNYNSYAAIGDAAAGAAEGEDGGQAGAQHHGGGLAADKARGGPESLLHHTKQHCRERWHHIFCLEKKKVLESLDAKEELHDMFSSMAERSEPDEPVSPMPRPAEEGESDLHEGGHGEGPLAMLSLQATLQQNQQSAAELHEEGLKEQLEKVQQELQLANKNENNPEKFVSQPKALQQLSKHQEQIREEQKRLREEQERIREEQRQNLLSIRQNNKVQAQLEGAVRELEKRLHQLEVESPVAPPEPPLPEAMQNKLKSLSRELKEVRRMDQQKEKKLTCIEQSMKRMRQQWRAREGKLHESYEDRELQVQQFSEKFQTLQVKLLAEERMSQELKWETRAKSRSSLQNAKKKQTAMVNSLVSELEGKRQLSHQLRVALDKSKEEFYRLSRKMHYLDTNLEEKQINLTQIQVELVRKAKQKKNNAKKEEELQHEVQKKQQKLSKITNKLQSIEVSRSDLVVAREQCPSELDKLTASSTPGERHFGTASDRPPQCGGSTAKEPISGNTKRNRNAGAPVRPSNKQWYEKLKKKAAALKNHQTEEAM
+>sp|Q08378|GOGA3_HUMAN Golgin subfamily A member 3 OS=Homo sapiens OX=9606 GN=GOLGA3 PE=1 SV=2
+MDGASAEQDGLQEDRSHSGPSSLPEAPLKPPGPLVPPDQQDKVQCAEVNRASTEGESPDGPGQGGLCQNGPTPPFPDPPSSLDPTTSPVGPDASPGVAGFHDNLRKSQGTSAEGSVRKEALQSLRLSLPMQETQLCSTDSPLPLEKEEQVRLQARKWLEEQLKQYRVKRQQERSSQPATKTRLFSTLDPELMLNPENLPRASTLAMTKEYSFLRTSVPRGPKVGSLGLPAHPREKKTSKSSKIRSLADYRTEDSNAGNSGGNVPAPDSTKGSLKQNRSSAASVVSEISLSPDTDDRLENTSLAGDSVSEVDGNDSDSSSYSSASTRGTYGILSKTVGTQDTPYMVNGQEIPADTLGQFPSIKDVLQAAAAEHQDQGQEVNGEVRSRRDSICSSVSLESSAAETQEEMLQVLKEKMRLEGQLEALSLEASQALKEKAELQAQLAALSTKLQAQVECSHSSQQRQDSLSSEVDTLKQSCWDLERAMTDLQNMLEAKNASLASSNNDLQVAEEQYQRLMAKVEDMQRSMLSKDNTVHDLRQQMTALQSQLQQVQLERTTLTSKLKASQAEISSLQSVRQWYQQQLALAQEARVRLQGEMAHIQVGQMTQAGLLEHLKLENVSLSQQLTETQHRSMKEKGRIAAQLQGIEADMLDQEAAFMQIQEAKTMVEEDLQRRLEEFEGERERLQRMADSAASLEQQLEQVKLTLLQRDQQLEALQQEHLDLMKQLTLTQEALQSREQSLDALQTHYDELQARLGELQGEAASREDTICLLQNEKIILEAALQAAKSGKEELDRGARRLEEGTEETSETLEKLREELAIKSGQVEHLQQETAALKKQMQKIKEQFLQQKVMVEAYRRDATSKDQLISELKATRKRLDSELKELRQELMQVHGEKRTAEAELSRLHREVAQVRQHMADLEGHLQSAQKERDEMETHLQSLQFDKEQMVAVTEANEALKKQIEELQQEARKAITEQKQKMRRLGSDLTSAQKEMKTKHKAYENAVGILSRRLQEALAAKEAADAELGQLRAQGGSSDSSLALHERIQALEAELQAVSHSKTLLEKELQEVIALTSQELEESREKVLELEDELQESRGFRKKIKRLEESNKKLALELEHEKGKLTGLGQSNAALREHNSILETALAKREADLVQLNLQVQAVLQRKEEEDRQMKHLVQALQASLEKEKEKVNSLKEQVAAAKVEAGHNRRHFKAASLELSEVKKELQAKEHLVQKLQAEADDLQIREGKHSQEIAQFQAELAEARAQLQLLQKQLDEQLSKQPVGNQEMENLKWEVDQKEREIQSLKQQLDLTEQQGRKELEGLQQLLQNVKSELEMAQEDLSMTQKDKFMLQAKVSELKNNMKTLLQQNQQLKLDLRRGAAKTRKEPKGEASSSNPATPIKIPDCPVPASLLEELLRPPPAVSKEPLKNLNSCLQQLKQEMDSLQRQMEEHALTVHESLSSWTPLEPATASPVPPGGHAGPRGDPQRHSQSRASKEGPGE
+>DECOY_sp|Q08378|GOGA3_HUMAN Golgin subfamily A member 3 OS=Homo sapiens OX=9606 GN=GOLGA3 PE=1 SV=2
+EGPGEKSARSQSHRQPDGRPGAHGGPPVPSATAPELPTWSSLSEHVTLAHEEMQRQLSDMEQKLQQLCSNLNKLPEKSVAPPPRLLEELLSAPVPCDPIKIPTAPNSSSAEGKPEKRTKAAGRRLDLKLQQNQQLLTKMNNKLESVKAQLMFKDKQTMSLDEQAMELESKVNQLLQQLGELEKRGQQETLDLQQKLSQIEREKQDVEWKLNEMEQNGVPQKSLQEDLQKQLLQLQARAEALEAQFQAIEQSHKGERIQLDDAEAQLKQVLHEKAQLEKKVESLELSAAKFHRRNHGAEVKAAAVQEKLSNVKEKEKELSAQLAQVLHKMQRDEEEKRQLVAQVQLNLQVLDAERKALATELISNHERLAANSQGLGTLKGKEHELELALKKNSEELRKIKKRFGRSEQLEDELELVKERSEELEQSTLAIVEQLEKELLTKSHSVAQLEAELAQIREHLALSSDSSGGQARLQGLEADAAEKAALAEQLRRSLIGVANEYAKHKTKMEKQASTLDSGLRRMKQKQETIAKRAEQQLEEIQKKLAENAETVAVMQEKDFQLSQLHTEMEDREKQASQLHGELDAMHQRVQAVERHLRSLEAEATRKEGHVQMLEQRLEKLESDLRKRTAKLESILQDKSTADRRYAEVMVKQQLFQEKIKQMQKKLAATEQQLHEVQGSKIALEERLKELTESTEETGEELRRAGRDLEEKGSKAAQLAAELIIKENQLLCITDERSAAEGQLEGLRAQLEDYHTQLADLSQERSQLAEQTLTLQKMLDLHEQQLAELQQDRQLLTLKVQELQQELSAASDAMRQLREREGEFEELRRQLDEEVMTKAEQIQMFAAEQDLMDAEIGQLQAAIRGKEKMSRHQTETLQQSLSVNELKLHELLGAQTMQGVQIHAMEGQLRVRAEQALALQQQYWQRVSQLSSIEAQSAKLKSTLTTRELQVQQLQSQLATMQQRLDHVTNDKSLMSRQMDEVKAMLRQYQEEAVQLDNNSSALSANKAELMNQLDTMARELDWCSQKLTDVESSLSDQRQQSSHSCEVQAQLKTSLAALQAQLEAKEKLAQSAELSLAELQGELRMKEKLVQLMEEQTEAASSELSVSSCISDRRSRVEGNVEQGQDQHEAAAAQLVDKISPFQGLTDAPIEQGNVMYPTDQTGVTKSLIGYTGRTSASSYSSSDSDNGDVESVSDGALSTNELRDDTDPSLSIESVVSAASSRNQKLSGKTSDPAPVNGGSNGANSDETRYDALSRIKSSKSTKKERPHAPLGLSGVKPGRPVSTRLFSYEKTMALTSARPLNEPNLMLEPDLTSFLRTKTAPQSSREQQRKVRYQKLQEELWKRAQLRVQEEKELPLPSDTSCLQTEQMPLSLRLSQLAEKRVSGEASTGQSKRLNDHFGAVGPSADPGVPSTTPDLSSPPDPFPPTPGNQCLGGQGPGDPSEGETSARNVEACQVKDQQDPPVLPGPPKLPAEPLSSPGSHSRDEQLGDQEASAGDM
+>sp|Q13439|GOGA4_HUMAN Golgin subfamily A member 4 OS=Homo sapiens OX=9606 GN=GOLGA4 PE=1 SV=1
+MFKKLKQKISEEQQQLQQALAPAQASSNSSTPTRMRSRTSSFTEQLDEGTPNRESGDTQSFAQKLQLRVPSVESLFRSPIKESLFRSSSKESLVRTSSRESLNRLDLDSSTASFDPPSDMDSEAEDLVGNSDSLNKEQLIQRLRRMERSLSSYRGKYSELVTAYQMLQREKKKLQGILSQSQDKSLRRIAELREELQMDQQAKKHLQEEFDASLEEKDQYISVLQTQVSLLKQRLRNGPMNVDVLKPLPQLEPQAEVFTKEENPESDGEPVVEDGTSVKTLETLQQRVKRQENLLKRCKETIQSHKEQCTLLTSEKEALQEQLDERLQELEKIKDLHMAEKTKLITQLRDAKNLIEQLEQDKGMVIAETKRQMHETLEMKEEEIAQLRSRIKQMTTQGEELREQKEKSERAAFEELEKALSTAQKTEEARRKLKAEMDEQIKTIEKTSEEERISLQQELSRVKQEVVDVMKKSSEEQIAKLQKLHEKELARKEQELTKKLQTREREFQEQMKVALEKSQSEYLKISQEKEQQESLALEELELQKKAILTESENKLRDLQQEAETYRTRILELESSLEKSLQENKNQSKDLAVHLEAEKNKHNKEITVMVEKHKTELESLKHQQDALWTEKLQVLKQQYQTEMEKLREKCEQEKETLLKDKEIIFQAHIEEMNEKTLEKLDVKQTELESLSSELSEVLKARHKLEEELSVLKDQTDKMKQELEAKMDEQKNHHQQQVDSIIKEHEVSIQRTEKALKDQINQLELLLKERDKHLKEHQAHVENLEADIKRSEGELQQASAKLDVFQSYQSATHEQTKAYEEQLAQLQQKLLDLETERILLTKQVAEVEAQKKDVCTELDAHKIQVQDLMQQLEKQNSEMEQKVKSLTQVYESKLEDGNKEQEQTKQILVEKENMILQMREGQKKEIEILTQKLSAKEDSIHILNEEYETKFKNQEKKMEKVKQKAKEMQETLKKKLLDQEAKLKKELENTALELSQKEKQFNAKMLEMAQANSAGISDAVSRLETNQKEQIESLTEVHRRELNDVISIWEKKLNQQAEELQEIHEIQLQEKEQEVAELKQKILLFGCEKEEMNKEITWLKEEGVKQDTTLNELQEQLKQKSAHVNSLAQDETKLKAHLEKLEVDLNKSLKENTFLQEQLVELKMLAEEDKRKVSELTSKLKTTDEEFQSLKSSHEKSNKSLEDKSLEFKKLSEELAIQLDICCKKTEALLEAKTNELINISSSKTNAILSRISHCQHRTTKVKEALLIKTCTVSELEAQLRQLTEEQNTLNISFQQATHQLEEKENQIKSMKADIESLVTEKEALQKEGGNQQQAASEKESCITQLKKELSENINAVTLMKEELKEKKVEISSLSKQLTDLNVQLQNSISLSEKEAAISSLRKQYDEEKCELLDQVQDLSFKVDTLSKEKISALEQVDDWSNKFSEWKKKAQSRFTQHQNTVKELQIQLELKSKEAYEKDEQINLLKEELDQQNKRFDCLKGEMEDDKSKMEKKESNLETELKSQTARIMELEDHITQKTIEIESLNEVLKNYNQQKDIEHKELVQKLQHFQELGEEKDNRVKEAEEKILTLENQVYSMKAELETKKKELEHVNLSVKSKEEELKALEDRLESESAAKLAELKRKAEQKIAAIKKQLLSQMEEKEEQYKKGTESHLSELNTKLQEREREVHILEEKLKSVESSQSETLIVPRSAKNVAAYTEQEEADSQGCVQKTYEEKISVLQRNLTEKEKLLQRVGQEKEETVSSHFEMRCQYQERLIKLEHAEAKQHEDQSMIGHLQEELEEKNKKYSLIVAQHVEKEGGKNNIQAKQNLENVFDDVQKTLQEKELTCQILEQKIKELDSCLVRQKEVHRVEMEELTSKYEKLQALQQMDGRNKPTELLEENTEEKSKSHLVQPKLLSNMEAQHNDLEFKLAGAEREKQKLGKEIVRLQKDLRMLRKEHQQELEILKKEYDQEREEKIKQEQEDLELKHNSTLKQLMREFNTQLAQKEQELEMTIKETINKAQEVEAELLESHQEETNQLLKKIAEKDDDLKRTAKRYEEILDAREEEMTAKVRDLQTQLEELQKKYQQKLEQEENPGNDNVTIMELQTQLAQKTTLISDSKLKEQEFREQIHNLEDRLKKYEKNVYATTVGTPYKGGNLYHTDVSLFGEPTEFEYLRKVLFEYMMGRETKTMAKVITTVLKFPDDQTQKILEREDARLMFTSPRSGIF
+>DECOY_sp|Q13439|GOGA4_HUMAN Golgin subfamily A member 4 OS=Homo sapiens OX=9606 GN=GOLGA4 PE=1 SV=1
+FIGSRPSTFMLRADERELIKQTQDDPFKLVTTIVKAMTKTERGMMYEFLVKRLYEFETPEGFLSVDTHYLNGGKYPTGVTTAYVNKEYKKLRDELNHIQERFEQEKLKSDSILTTKQALQTQLEMITVNDNGPNEEQELKQQYKKQLEELQTQLDRVKATMEEERADLIEEYRKATRKLDDDKEAIKKLLQNTEEQHSELLEAEVEQAKNITEKITMELEQEKQALQTNFERMLQKLTSNHKLELDEQEQKIKEEREQDYEKKLIELEQQHEKRLMRLDKQLRVIEKGLKQKEREAGALKFELDNHQAEMNSLLKPQVLHSKSKEETNEELLETPKNRGDMQQLAQLKEYKSTLEEMEVRHVEKQRVLCSDLEKIKQELIQCTLEKEQLTKQVDDFVNELNQKAQINNKGGEKEVHQAVILSYKKNKEELEEQLHGIMSQDEHQKAEAHELKILREQYQCRMEFHSSVTEEKEQGVRQLLKEKETLNRQLVSIKEEYTKQVCGQSDAEEQETYAAVNKASRPVILTESQSSEVSKLKEELIHVEREREQLKTNLESLHSETGKKYQEEKEEMQSLLQKKIAAIKQEAKRKLEALKAASESELRDELAKLEEEKSKVSLNVHELEKKKTELEAKMSYVQNELTLIKEEAEKVRNDKEEGLEQFHQLKQVLEKHEIDKQQNYNKLVENLSEIEITKQTIHDELEMIRATQSKLETELNSEKKEMKSKDDEMEGKLCDFRKNQQDLEEKLLNIQEDKEYAEKSKLELQIQLEKVTNQHQTFRSQAKKKWESFKNSWDDVQELASIKEKSLTDVKFSLDQVQDLLECKEEDYQKRLSSIAAEKESLSISNQLQVNLDTLQKSLSSIEVKKEKLEEKMLTVANINESLEKKLQTICSEKESAAQQQNGGEKQLAEKETVLSEIDAKMSKIQNEKEELQHTAQQFSINLTNQEETLQRLQAELESVTCTKILLAEKVKTTRHQCHSIRSLIANTKSSSINILENTKAELLAETKKCCIDLQIALEESLKKFELSKDELSKNSKEHSSKLSQFEEDTTKLKSTLESVKRKDEEALMKLEVLQEQLFTNEKLSKNLDVELKELHAKLKTEDQALSNVHASKQKLQEQLENLTTDQKVGEEKLWTIEKNMEEKECGFLLIKQKLEAVEQEKEQLQIEHIEQLEEAQQNLKKEWISIVDNLERRHVETLSEIQEKQNTELRSVADSIGASNAQAMELMKANFQKEKQSLELATNELEKKLKAEQDLLKKKLTEQMEKAKQKVKEMKKEQNKFKTEYEENLIHISDEKASLKQTLIEIEKKQGERMQLIMNEKEVLIQKTQEQEKNGDELKSEYVQTLSKVKQEMESNQKELQQMLDQVQIKHADLETCVDKKQAEVEAVQKTLLIRETELDLLKQQLQALQEEYAKTQEHTASQYSQFVDLKASAQQLEGESRKIDAELNEVHAQHEKLHKDREKLLLELQNIQDKLAKETRQISVEHEKIISDVQQQHHNKQEDMKAELEQKMKDTQDKLVSLEEELKHRAKLVESLESSLSELETQKVDLKELTKENMEEIHAQFIIEKDKLLTEKEQECKERLKEMETQYQQKLVQLKETWLADQQHKLSELETKHKEVMVTIEKNHKNKEAELHVALDKSQNKNEQLSKELSSELELIRTRYTEAEQQLDRLKNESETLIAKKQLELEELALSEQQEKEQSIKLYESQSKELAVKMQEQFERERTQLKKTLEQEKRALEKEHLKQLKAIQEESSKKMVDVVEQKVRSLEQQLSIREEESTKEITKIQEDMEAKLKRRAEETKQATSLAKELEEFAARESKEKQERLEEGQTTMQKIRSRLQAIEEEKMELTEHMQRKTEAIVMGKDQELQEILNKADRLQTILKTKEAMHLDKIKELEQLREDLQEQLAEKESTLLTCQEKHSQITEKCRKLLNEQRKVRQQLTELTKVSTGDEVVPEGDSEPNEEKTFVEAQPELQPLPKLVDVNMPGNRLRQKLLSVQTQLVSIYQDKEELSADFEEQLHKKAQQDMQLEERLEAIRRLSKDQSQSLIGQLKKKERQLMQYATVLESYKGRYSSLSREMRRLRQILQEKNLSDSNGVLDEAESDMDSPPDFSATSSDLDLRNLSERSSTRVLSEKSSSRFLSEKIPSRFLSEVSPVRLQLKQAFSQTDGSERNPTGEDLQETFSSTRSRMRTPTSSNSSAQAPALAQQLQQQEESIKQKLKKFM
+>sp|Q8TBA6|GOGA5_HUMAN Golgin subfamily A member 5 OS=Homo sapiens OX=9606 GN=GOLGA5 PE=1 SV=3
+MSWFVDLAGKAEDLLNRVDQGAATALSRKDNASNIYSKNTDYTELHQQNTDLIYQTGPKSTYISSAADNIRNQKATILAGTANVKVGSRTPVEASHPVENASVPRPSSHFVRRKKSEPDDELLFDFLNSSQKEPTGRVEIRKEKGKTPVFQSSQTSSVSSVNPSVTTIKTIEENSFGSQTHEAASNSDSSHEGQEESSKENVSSNAACPDHTPTPNDDGKSHELSNLRLENQLLRNEVQSLNQEMASLLQRSKETQEELNKARARVEKWNADHSKSDRMTRGLRAQVDDLTEAVAAKDSQLAVLKVRLQEADQLLSTRTEALEALQSEKSRIMQDQSEGNSLQNQALQTFQERLHEADATLKREQESYKQMQSEFAARLNKVEMERQNLAEAITLAERKYSDEKKRVDELQQQVKLYKLNLESSKQELIDYKQKATRILQSKEKLINSLKEGSGFEGLDSSTASSMELEELRHEKEMQREEIQKLMGQIHQLRSELQDMEAQQVNEAESAREQLQDLHDQIAGQKASKQELETELERLKQEFHYIEEDLYRTKNTLQSRIKDRDEEIQKLRNQLTNKTLSNSSQSELENRLHQLTETLIQKQTMLESLSTEKNSLVFQLERLEQQMNSASGSSSNGSSINMSGIDNGEGTRLRNVPVLFNDTETNLAGMYGKVRKAASSIDQFSIRLGIFLRRYPIARVFVIIYMALLHLWVMIVLLTYTPEMHHDQPYGK
+>DECOY_sp|Q8TBA6|GOGA5_HUMAN Golgin subfamily A member 5 OS=Homo sapiens OX=9606 GN=GOLGA5 PE=1 SV=3
+KGYPQDHHMEPTYTLLVIMVWLHLLAMYIIVFVRAIPYRRLFIGLRISFQDISSAAKRVKGYMGALNTETDNFLVPVNRLRTGEGNDIGSMNISSGNSSSGSASNMQQELRELQFVLSNKETSLSELMTQKQILTETLQHLRNELESQSSNSLTKNTLQNRLKQIEEDRDKIRSQLTNKTRYLDEEIYHFEQKLRELETELEQKSAKQGAIQDHLDQLQERASEAENVQQAEMDQLESRLQHIQGMLKQIEERQMEKEHRLEELEMSSATSSDLGEFGSGEKLSNILKEKSQLIRTAKQKYDILEQKSSELNLKYLKVQQQLEDVRKKEDSYKREALTIAEALNQREMEVKNLRAAFESQMQKYSEQERKLTADAEHLREQFTQLAQNQLSNGESQDQMIRSKESQLAELAETRTSLLQDAEQLRVKLVALQSDKAAVAETLDDVQARLGRTMRDSKSHDANWKEVRARAKNLEEQTEKSRQLLSAMEQNLSQVENRLLQNELRLNSLEHSKGDDNPTPTHDPCAANSSVNEKSSEEQGEHSSDSNSAAEHTQSGFSNEEITKITTVSPNVSSVSSTQSSQFVPTKGKEKRIEVRGTPEKQSSNLFDFLLEDDPESKKRRVFHSSPRPVSANEVPHSAEVPTRSGVKVNATGALITAKQNRINDAASSIYTSKPGTQYILDTNQQHLETYDTNKSYINSANDKRSLATAAGQDVRNLLDEAKGALDVFWSM
+>sp|Q7Z5G4|GOGA7_HUMAN Golgin subfamily A member 7 OS=Homo sapiens OX=9606 GN=GOLGA7 PE=1 SV=2
+MRPQQAPVSGKVFIQRDYSSGTRCQFQTKFPAELENRIDRQQFEETVRTLNNLYAEAEKLGGQSYLEGCLACLTAYTIFLCMETHYEKVLKKVSKYIQEQNEKIYAPQGLLLTDPIERGLRVIEITIYEDRGMSSGR
+>DECOY_sp|Q7Z5G4|GOGA7_HUMAN Golgin subfamily A member 7 OS=Homo sapiens OX=9606 GN=GOLGA7 PE=1 SV=2
+RGSSMGRDEYITIEIVRLGREIPDTLLLGQPAYIKENQEQIYKSVKKLVKEYHTEMCLFITYATLCALCGELYSQGGLKEAEAYLNNLTRVTEEFQQRDIRNELEAPFKTQFQCRTGSSYDRQIFVKGSVPAQQPRM
+>sp|Q14789|GOGB1_HUMAN Golgin subfamily B member 1 OS=Homo sapiens OX=9606 GN=GOLGB1 PE=1 SV=2
+MLSRLSGLANVVLHELSGDDDTDQNMRAPLDPELHQESDMEFNNTTQEDVQERLAYAEQLVVELKDIIRQKDVQLQQKDEALQEERKAADNKIKKLKLHAKAKLTSLNKYIEEMKAQGGTVLPTEPQSEEQLSKHDKSSTEEEMEIEKIKHKLQEKEELISTLQAQLTQAQAEQPAQSSTEMEEFVMMKQQLQEKEEFISTLQAQLSQTQAEQAAQQVVREKDARFETQVRLHEDELLQLVTQADVETEMQQKLRVLQRKLEEHEESLVGRAQVVDLLQQELTAAEQRNQILSQQLQQMEAEHNTLRNTVETEREESKILLEKMELEVAERKLSFHNLQEEMHHLLEQFEQAGQAQAELESRYSALEQKHKAEMEEKTSHILSLQKTGQELQSACDALKDQNSKLLQDKNEQAVQSAQTIQQLEDQLQQKSKEISQFLNRLPLQQHETASQTSFPDVYNEGTQAVTEENIASLQKRVVELENEKGALLLSSIELEELKAENEKLSSQITLLEAQNRTGEADREVSEISIVDIANKRSSSAEESGQDVLENTFSQKHKELSVLLLEMKEAQEEIAFLKLQLQGKRAEEADHEVLDQKEMKQMEGEGIAPIKMKVFLEDTGQDFPLMPNEESSLPAVEKEQASTEHQSRTSEEISLNDAGVELKSTKQDGDKSLSAVPDIGQCHQDELERLKSQILELELNFHKAQEIYEKNLDEKAKEISNLNQLIEEFKKNADNNSSAFTALSEERDQLLSQVKELSMVTELRAQVKQLEMNLAEAERQRRLDYESQTAHDNLLTEQIHSLSIEAKSKDVKIEVLQNELDDVQLQFSEQSTLIRSLQSQLQNKESEVLEGAERVRHISSKVEELSQALSQKELEITKMDQLLLEKKRDVETLQQTIEEKDQQVTEISFSMTEKMVQLNEEKFSLGVEIKTLKEQLNLLSRAEEAKKEQVEEDNEVSSGLKQNYDEMSPAGQISKEELQHEFDLLKKENEQRKRKLQAALINRKELLQRVSRLEEELANLKDESKKEIPLSETERGEVEEDKENKEYSEKCVTSKCQEIEIYLKQTISEKEVELQHIRKDLEEKLAAEEQFQALVKQMNQTLQDKTNQIDLLQAEISENQAIIQKLITSNTDASDGDSVALVKETVVISPPCTGSSEHWKPELEEKILALEKEKEQLQKKLQEALTSRKAILKKAQEKERHLREELKQQKDDYNRLQEQFDEQSKENENIGDQLRQLQIQVRESIDGKLPSTDQQESCSSTPGLEEPLFKATEQHHTQPVLESNLCPDWPSHSEDASALQGGTSVAQIKAQLKEIEAEKVELELKVSSTTSELTKKSEEVFQLQEQINKQGLEIESLKTVSHEAEVHAESLQQKLESSQLQIAGLEHLRELQPKLDELQKLISKKEEDVSYLSGQLSEKEAALTKIQTEIIEQEDLIKALHTQLEMQAKEHDERIKQLQVELCEMKQKPEEIGEESRAKQQIQRKLQAALISRKEALKENKSLQEELSLARGTIERLTKSLADVESQVSAQNKEKDTVLGRLALLQEERDKLITEMDRSLLENQSLSSSCESLKLALEGLTEDKEKLVKEIESLKSSKIAESTEWQEKHKELQKEYEILLQSYENVSNEAERIQHVVEAVRQEKQELYGKLRSTEANKKETEKQLQEAEQEMEEMKEKMRKFAKSKQQKILELEEENDRLRAEVHPAGDTAKECMETLLSSNASMKEELERVKMEYETLSKKFQSLMSEKDSLSEEVQDLKHQIEGNVSKQANLEATEKHDNQTNVTEEGTQSIPGETEEQDSLSMSTRPTCSESVPSAKSANPAVSKDFSSHDEINNYLQQIDQLKERIAGLEEEKQKNKEFSQTLENEKNTLLSQISTKDGELKMLQEEVTKMNLLNQQIQEELSRVTKLKETAEEEKDDLEERLMNQLAELNGSIGNYCQDVTDAQIKNELLESEMKNLKKCVSELEEEKQQLVKEKTKVESEIRKEYLEKIQGAQKEPGNKSHAKELQELLKEKQQEVKQLQKDCIRYQEKISALERTVKALEFVQTESQKDLEITKENLAQAVEHRKKAQAELASFKVLLDDTQSEAARVLADNLKLKKELQSNKESVKSQMKQKDEDLERRLEQAEEKHLKEKKNMQEKLDALRREKVHLEETIGEIQVTLNKKDKEVQQLQENLDSTVTQLAAFTKSMSSLQDDRDRVIDEAKKWERKFSDAIQSKEEEIRLKEDNCSVLKDQLRQMSIHMEELKINISRLEHDKQIWESKAQTEVQLQQKVCDTLQGENKELLSQLEETRHLYHSSQNELAKLESELKSLKDQLTDLSNSLEKCKEQKGNLEGIIRQQEADIQNSKFSYEQLETDLQASRELTSRLHEEINMKEQKIISLLSGKEEAIQVAIAELRQQHDKEIKELENLLSQEEEENIVLEEENKKAVDKTNQLMETLKTIKKENIQQKAQLDSFVKSMSSLQNDRDRIVGDYQQLEERHLSIILEKDQLIQEAAAENNKLKEEIRGLRSHMDDLNSENAKLDAELIQYREDLNQVITIKDSQQKQLLEVQLQQNKELENKYAKLEEKLKESEEANEDLRRSFNALQEEKQDLSKEIESLKVSISQLTRQVTALQEEGTLGLYHAQLKVKEEEVHRLSALFSSSQKRIAELEEELVCVQKEAAKKVGEIEDKLKKELKHLHHDAGIMRNETETAEERVAELARDLVEMEQKLLMVTKENKGLTAQIQSFGRSMSSLQNSRDHANEELDELKRKYDASLKELAQLKEQGLLNRERDALLSETAFSMNSTEENSLSHLEKLNQQLLSKDEQLLHLSSQLEDSYNQVQSFSKAMASLQNERDHLWNELEKFRKSEEGKQRSAAQPSTSPAEVQSLKKAMSSLQNDRDRLLKELKNLQQQYLQINQEITELHPLKAQLQEYQDKTKAFQIMQEELRQENLSWQHELHQLRMEKSSWEIHERRMKEQYLMAISDKDQQLSHLQNLIRELRSSSSQTQPLKVQYQRQASPETSASPDGSQNLVYETELLRTQLNDSLKEIHQKELRIQQLNSNFSQLLEEKNTLSIQLCDTSQSLRENQQHYGDLLNHCAVLEKQVQELQAGPLNIDVAPGAPQEKNGVHRKSDPEELREPQQSFSEAQQQLCNTRQEVNELRKLLEEERDQRVAAENALSVAEEQIRRLEHSEWDSSRTPIIGSCGTQEQALLIDLTSNSCRRTRSGVGWKRVLRSLCHSRTRVPLLAAIYFLMIHVLLILCFTGHL
+>DECOY_sp|Q14789|GOGB1_HUMAN Golgin subfamily B member 1 OS=Homo sapiens OX=9606 GN=GOLGB1 PE=1 SV=2
+LHGTFCLILLVHIMLFYIAALLPVRTRSHCLSRLVRKWGVGSRTRRCSNSTLDILLAQEQTGCSGIIPTRSSDWESHELRRIQEEAVSLANEAAVRQDREEELLKRLENVEQRTNCLQQQAESFSQQPERLEEPDSKRHVGNKEQPAGPAVDINLPGAQLEQVQKELVACHNLLDGYHQQNERLSQSTDCLQISLTNKEELLQSFNSNLQQIRLEKQHIEKLSDNLQTRLLETEYVLNQSGDPSASTEPSAQRQYQVKLPQTQSSSSRLERILNQLHSLQQDKDSIAMLYQEKMRREHIEWSSKEMRLQHLEHQWSLNEQRLEEQMIQFAKTKDQYEQLQAKLPHLETIEQNIQLYQQQLNKLEKLLRDRDNQLSSMAKKLSQVEAPSTSPQAASRQKGEESKRFKELENWLHDRENQLSAMAKSFSQVQNYSDELQSSLHLLQEDKSLLQQNLKELHSLSNEETSNMSFATESLLADRERNLLGQEKLQALEKLSADYKRKLEDLEENAHDRSNQLSSMSRGFSQIQATLGKNEKTVMLLKQEMEVLDRALEAVREEATETENRMIGADHHLHKLEKKLKDEIEGVKKAAEKQVCVLEEELEAIRKQSSSFLASLRHVEEEKVKLQAHYLGLTGEEQLATVQRTLQSISVKLSEIEKSLDQKEEQLANFSRRLDENAEESEKLKEELKAYKNELEKNQQLQVELLQKQQSDKITIVQNLDERYQILEADLKANESNLDDMHSRLGRIEEKLKNNEAAAEQILQDKELIISLHREELQQYDGVIRDRDNQLSSMSKVFSDLQAKQQINEKKITKLTEMLQNTKDVAKKNEEELVINEEEEQSLLNELEKIEKDHQQRLEAIAVQIAEEKGSLLSIIKQEKMNIEEHLRSTLERSAQLDTELQEYSFKSNQIDAEQQRIIGELNGKQEKCKELSNSLDTLQDKLSKLESELKALENQSSHYLHRTEELQSLLEKNEGQLTDCVKQQLQVETQAKSEWIQKDHELRSINIKLEEMHISMQRLQDKLVSCNDEKLRIEEEKSQIADSFKREWKKAEDIVRDRDDQLSSMSKTFAALQTVTSDLNEQLQQVEKDKKNLTVQIEGITEELHVKERRLADLKEQMNKKEKLHKEEAQELRRELDEDKQKMQSKVSEKNSQLEKKLKLNDALVRAAESQTDDLLVKFSALEAQAKKRHEVAQALNEKTIELDKQSETQVFELAKVTRELASIKEQYRICDKQLQKVEQQKEKLLEQLEKAHSKNGPEKQAGQIKELYEKRIESEVKTKEKVLQQKEEELESVCKKLNKMESELLENKIQADTVDQCYNGISGNLEALQNMLREELDDKEEEATEKLKTVRSLEEQIQQNLLNMKTVEEQLMKLEGDKTSIQSLLTNKENELTQSFEKNKQKEEELGAIREKLQDIQQLYNNIEDHSSFDKSVAPNASKASPVSESCTPRTSMSLSDQEETEGPISQTGEETVNTQNDHKETAELNAQKSVNGEIQHKLDQVEESLSDKESMLSQFKKSLTEYEMKVRELEEKMSANSSLLTEMCEKATDGAPHVEARLRDNEEELELIKQQKSKAFKRMKEKMEEMEQEAEQLQKETEKKNAETSRLKGYLEQKEQRVAEVVHQIREAENSVNEYSQLLIEYEKQLEKHKEQWETSEAIKSSKLSEIEKVLKEKDETLGELALKLSECSSSLSQNELLSRDMETILKDREEQLLALRGLVTDKEKNQASVQSEVDALSKTLREITGRALSLEEQLSKNEKLAEKRSILAAQLKRQIQQKARSEEGIEEPKQKMECLEVQLQKIREDHEKAQMELQTHLAKILDEQEIIETQIKTLAAEKESLQGSLYSVDEEKKSILKQLEDLKPQLERLHELGAIQLQSSELKQQLSEAHVEAEHSVTKLSEIELGQKNIQEQLQFVEESKKTLESTTSSVKLELEVKEAEIEKLQAKIQAVSTGGQLASADESHSPWDPCLNSELVPQTHHQETAKFLPEELGPTSSCSEQQDTSPLKGDISERVQIQLQRLQDGINENEKSQEDFQEQLRNYDDKQQKLEERLHREKEQAKKLIAKRSTLAEQLKKQLQEKEKELALIKEELEPKWHESSGTCPPSIVVTEKVLAVSDGDSADTNSTILKQIIAQNESIEAQLLDIQNTKDQLTQNMQKVLAQFQEEAALKEELDKRIHQLEVEKESITQKLYIEIEQCKSTVCKESYEKNEKDEEVEGRETESLPIEKKSEDKLNALEEELRSVRQLLEKRNILAAQLKRKRQENEKKLLDFEHQLEEKSIQGAPSMEDYNQKLGSSVENDEEVQEKKAEEARSLLNLQEKLTKIEVGLSFKEENLQVMKETMSFSIETVQQDKEEITQQLTEVDRKKELLLQDMKTIELEKQSLAQSLEEVKSSIHRVREAGELVESEKNQLQSQLSRILTSQESFQLQVDDLENQLVEIKVDKSKAEISLSHIQETLLNDHATQSEYDLRRQREAEALNMELQKVQARLETVMSLEKVQSLLQDREESLATFASSNNDANKKFEEILQNLNSIEKAKEDLNKEYIEQAKHFNLELELIQSKLRELEDQHCQGIDPVASLSKDGDQKTSKLEVGADNLSIEESTRSQHETSAQEKEVAPLSSEENPMLPFDQGTDELFVKMKIPAIGEGEMQKMEKQDLVEHDAEEARKGQLQLKLFAIEEQAEKMELLLVSLEKHKQSFTNELVDQGSEEASSSRKNAIDVISIESVERDAEGTRNQAELLTIQSSLKENEAKLEELEISSLLLAGKENELEVVRKQLSAINEETVAQTGENYVDPFSTQSATEHQQLPLRNLFQSIEKSKQQLQDELQQITQASQVAQENKDQLLKSNQDKLADCASQLEQGTKQLSLIHSTKEEMEAKHKQELASYRSELEAQAQGAQEFQELLHHMEEQLNHFSLKREAVELEMKELLIKSEERETEVTNRLTNHEAEMQQLQQSLIQNRQEAATLEQQLLDVVQARGVLSEEHEELKRQLVRLKQQMETEVDAQTVLQLLEDEHLRVQTEFRADKERVVQQAAQEAQTQSLQAQLTSIFEEKEQLQQKMMVFEEMETSSQAPQEAQAQTLQAQLTSILEEKEQLKHKIKEIEMEEETSSKDHKSLQEESQPETPLVTGGQAKMEEIYKNLSTLKAKAHLKLKKIKNDAAKREEQLAEDKQQLQVDKQRIIDKLEVVLQEAYALREQVDEQTTNNFEMDSEQHLEPDLPARMNQDTDDDGSLEHLVVNALGSLRSLM
+>sp|Q8N6U8|GP161_HUMAN G-protein coupled receptor 161 OS=Homo sapiens OX=9606 GN=GPR161 PE=2 SV=1
+MSLNSSLSCRKELSNLTEEEGGEGGVIITQFIAIIVITIFVCLGNLVIVVTLYKKSYLLTLSNKFVFSLTLSNFLLSVLVLPFVVTSSIRREWIFGVVWCNFSALLYLLISSASMLTLGVIAIDRYYAVLYPMVYPMKITGNRAVMALVYIWLHSLIGCLPPLFGWSSVEFDEFKWMCVAAWHREPGYTAFWQIWCALFPFLVMLVCYGFIFRVARVKARKVHCGTVVIVEEDAQRTGRKNSSTSTSSSGSRRNAFQGVVYSANQCKALITILVVLGAFMVTWGPYMVVIASEALWGKSSVSPSLETWATWLSFASAVCHPLIYGLWNKTVRKELLGMCFGDRYYREPFVQRQRTSRLFSISNRITDLGLSPHLTALMAGGQPLGHSSSTGDTGFSCSQDSGTDMMLLEDYTSDDNPPSHCTCPPKRRSSVTFEDEVEQIKEAAKNSILHVKAEVHKSLDSYAASLAKAIEAEAKINLFGEEALPGVLVTARTVPGGGFGGRRGSRTLVSQRLQLQSIEEGDVLAAEQR
+>DECOY_sp|Q8N6U8|GP161_HUMAN G-protein coupled receptor 161 OS=Homo sapiens OX=9606 GN=GPR161 PE=2 SV=1
+RQEAALVDGEEISQLQLRQSVLTRSGRRGGFGGGPVTRATVLVGPLAEEGFLNIKAEAEIAKALSAAYSDLSKHVEAKVHLISNKAAEKIQEVEDEFTVSSRRKPPCTCHSPPNDDSTYDELLMMDTGSDQSCSFGTDGTSSSHGLPQGGAMLATLHPSLGLDTIRNSISFLRSTRQRQVFPERYYRDGFCMGLLEKRVTKNWLGYILPHCVASAFSLWTAWTELSPSVSSKGWLAESAIVVMYPGWTVMFAGLVVLITILAKCQNASYVVGQFANRRSGSSSTSTSSNKRGTRQADEEVIVVTGCHVKRAKVRAVRFIFGYCVLMVLFPFLACWIQWFATYGPERHWAAVCMWKFEDFEVSSWGFLPPLCGILSHLWIYVLAMVARNGTIKMPYVMPYLVAYYRDIAIVGLTLMSASSILLYLLASFNCWVVGFIWERRISSTVVFPLVLVSLLFNSLTLSFVFKNSLTLLYSKKYLTVVIVLNGLCVFITIVIIAIFQTIIVGGEGGEEETLNSLEKRCSLSSNLSM
+>sp|Q86V85|GP180_HUMAN Integral membrane protein GPR180 OS=Homo sapiens OX=9606 GN=GPR180 PE=2 SV=1
+MGGLRLLAVALTCCWWPQGSQGKTLRGSFSSTAAQDAQGQRIGHFEFHGDHALLCVRINNIAVAVGKEAKLYLFQAQEWLKLQQSSHGYSCSEKLSKAQLTMTMNQTEHNLTVSQIPSPQTWHVFYADKYTCQDDKENSQVEDIPFEMVLLNPDAEGNPFDHFSAGESGLHEFFFLLVLVYFVIACIYAQSLWQAIKKGGPMHMILKVLTTALLLQAGSALANYIHFSSYSKDGIGVPFMGSLAEFFDIASQIQMLYLLLSLCMGWTIVRMKKSQSRPLQWDSTPASTGIAVFIVMTQSVLLLWEQFEDISHHSYHSHHNLAGILLIVLRICLALSLGCGLYQIITVERSTLKREFYITFAKGCILWFLCHPVLACISVIFSDYQRDKVITIGVILCQSVSMVILYRLFLSHSLYWEVSSLSSVTLPLTISSGHKSRPHF
+>DECOY_sp|Q86V85|GP180_HUMAN Integral membrane protein GPR180 OS=Homo sapiens OX=9606 GN=GPR180 PE=2 SV=1
+FHPRSKHGSSITLPLTVSSLSSVEWYLSHSLFLRYLIVMSVSQCLIVGITIVKDRQYDSFIVSICALVPHCLFWLICGKAFTIYFERKLTSREVTIIQYLGCGLSLALCIRLVILLIGALNHHSHYSHHSIDEFQEWLLLVSQTMVIFVAIGTSAPTSDWQLPRSQSKKMRVITWGMCLSLLLYLMQIQSAIDFFEALSGMFPVGIGDKSYSSFHIYNALASGAQLLLATTLVKLIMHMPGGKKIAQWLSQAYICAIVFYVLVLLFFFEHLGSEGASFHDFPNGEADPNLLVMEFPIDEVQSNEKDDQCTYKDAYFVHWTQPSPIQSVTLNHETQNMTMTLQAKSLKESCSYGHSSQQLKLWEQAQFLYLKAEKGVAVAINNIRVCLLAHDGHFEFHGIRQGQADQAATSSFSGRLTKGQSGQPWWCCTLAVALLRLGGM
+>sp|P32249|GP183_HUMAN G-protein coupled receptor 183 OS=Homo sapiens OX=9606 GN=GPR183 PE=1 SV=3
+MDIQMANNFTPPSATPQGNDCDLYAHHSTARIVMPLHYSLVFIIGLVGNLLALVVIVQNRKKINSTTLYSTNLVISDILFTTALPTRIAYYAMGFDWRIGDALCRITALVFYINTYAGVNFMTCLSIDRFIAVVHPLRYNKIKRIEHAKGVCIFVWILVFAQTLPLLINPMSKQEAERITCMEYPNFEETKSLPWILLGACFIGYVLPLIIILICYSQICCKLFRTAKQNPLTEKSGVNKKALNTIILIIVVFVLCFTPYHVAIIQHMIKKLRFSNFLECSQRHSFQISLHFTVCLMNFNCCMDPFIYFFACKGYKRKVMRMLKRQVSVSISSAVKSAPEENSREMTETQMMIHSKSSNGK
+>DECOY_sp|P32249|GP183_HUMAN G-protein coupled receptor 183 OS=Homo sapiens OX=9606 GN=GPR183 PE=1 SV=3
+KGNSSKSHIMMQTETMERSNEEPASKVASSISVSVQRKLMRMVKRKYGKCAFFYIFPDMCCNFNMLCVTFHLSIQFSHRQSCELFNSFRLKKIMHQIIAVHYPTFCLVFVVIILIITNLAKKNVGSKETLPNQKATRFLKCCIQSYCILIIILPLVYGIFCAGLLIWPLSKTEEFNPYEMCTIREAEQKSMPNILLPLTQAFVLIWVFICVGKAHEIRKIKNYRLPHVVAIFRDISLCTMFNVGAYTNIYFVLATIRCLADGIRWDFGMAYYAIRTPLATTFLIDSIVLNTSYLTTSNIKKRNQVIVVLALLNGVLGIIFVLSYHLPMVIRATSHHAYLDCDNGQPTASPPTFNNAMQIDM
+>sp|P13224|GP1BB_HUMAN Platelet glycoprotein Ib beta chain OS=Homo sapiens OX=9606 GN=GP1BB PE=1 SV=1
+MGSGPRGALSLLLLLLAPPSRPAAGCPAPCSCAGTLVDCGRRGLTWASLPTAFPVDTTELVLTGNNLTALPPGLLDALPALRTAHLGANPWRCDCRLVPLRAWLAGRPERAPYRDLRCVAPPALRGRLLPYLAEDELRAACAPGPLCWGALAAQLALLGLGLLHALLLVLLLCRLRRLRARARARAAARLSLTDPLVAERAGTDES
+>DECOY_sp|P13224|GP1BB_HUMAN Platelet glycoprotein Ib beta chain OS=Homo sapiens OX=9606 GN=GP1BB PE=1 SV=1
+SEDTGAREAVLPDTLSLRAAARARARARLRRLRCLLLVLLLAHLLGLGLLALQAALAGWCLPGPACAARLEDEALYPLLRGRLAPPAVCRLDRYPAREPRGALWARLPVLRCDCRWPNAGLHATRLAPLADLLGPPLATLNNGTLVLETTDVPFATPLSAWTLGRRGCDVLTGACSCPAPCGAAPRSPPALLLLLLSLAGRPGSGM
+>sp|Q86YW7|GPHB5_HUMAN Glycoprotein hormone beta-5 OS=Homo sapiens OX=9606 GN=GPHB5 PE=1 SV=1
+MKLAFLFLGPMALLLLAGYGCVLGASSGNLRTFVGCAVREFTFLAKKPGCRGLRITTDACWGRCETWEKPILEPPYIEAHHRVCTYNETKQVTVKLPNCAPGVDPFYTYPVAIRCDCGACSTATTECETI
+>DECOY_sp|Q86YW7|GPHB5_HUMAN Glycoprotein hormone beta-5 OS=Homo sapiens OX=9606 GN=GPHB5 PE=1 SV=1
+ITECETTATSCAGCDCRIAVPYTYFPDVGPACNPLKVTVQKTENYTCVRHHAEIYPPELIPKEWTECRGWCADTTIRLGRCGPKKALFTFERVACGVFTRLNGSSAGLVCGYGALLLLAMPGLFLFALKM
+>sp|P0CG08|GPHRB_HUMAN Golgi pH regulator B OS=Homo sapiens OX=9606 GN=GPR89B PE=1 SV=1
+MSFLIDSSIMITSQILFFGFGWLFFMRQLFKDYEIRQYVVQVIFSVTFAFSCTMFELIIFEILGVLNSSSRYFHWKMNLCVILLILVFMVPFYIGYFIVSNIRLLHKQRLLFSCLLWLTFMYFFWKLGDPFPILSPKHGILSIEQLISRVGVIGVTLMALLSGFGAVNCPYTYMSYFLRNVTDTDILALERRLLQTMDMIISKKKRMAMARRTMFQKGEVHNKPSGFWGMIKSVTTSASGSENLTLIQQEVDALEELSRQLFLETADLYATKERIEYSKTFKGKYFNFLGYFFSIYCVWKIFMATINIVFDRVGKTDPVTRGIEITVNYLGIQFDVKFWSQHISFILVGIIIVTSIRGLLITLTKFFYAISSSKSSNVIVLLLAQIMGMYFVSSVLLIRMSMPLEYRTIITEVLGELQFNFYHRWFDVIFLVSALSSILFLYLAHKQAPEKQMAP
+>DECOY_sp|P0CG08|GPHRB_HUMAN Golgi pH regulator B OS=Homo sapiens OX=9606 GN=GPR89B PE=1 SV=1
+PAMQKEPAQKHALYLFLISSLASVLFIVDFWRHYFNFQLEGLVETIITRYELPMSMRILLVSSVFYMGMIQALLLVIVNSSKSSSIAYFFKTLTILLGRISTVIIIGVLIFSIHQSWFKVDFQIGLYNVTIEIGRTVPDTKGVRDFVINITAMFIKWVCYISFFYGLFNFYKGKFTKSYEIREKTAYLDATELFLQRSLEELADVEQQILTLNESGSASTTVSKIMGWFGSPKNHVEGKQFMTRRAMAMRKKKSIIMDMTQLLRRELALIDTDTVNRLFYSMYTYPCNVAGFGSLLAMLTVGIVGVRSILQEISLIGHKPSLIPFPDGLKWFFYMFTLWLLCSFLLRQKHLLRINSVIFYGIYFPVMFVLILLIVCLNMKWHFYRSSSNLVGLIEFIILEFMTCSFAFTVSFIVQVVYQRIEYDKFLQRMFFLWGFGFFLIQSTIMISSDILFSM
+>sp|P14770|GPIX_HUMAN Platelet glycoprotein IX OS=Homo sapiens OX=9606 GN=GP9 PE=1 SV=3
+MPAWGALFLLWATAEATKDCPSPCTCRALETMGLWVDCRGHGLTALPALPARTRHLLLANNSLQSVPPGAFDHLPQLQTLDVTQNPWHCDCSLTYLRLWLEDRTPEALLQVRCASPSLAAHGPLGRLTGYQLGSCGWQLQASWVRPGVLWDVALVAVAALGLALLAGLLCATTEALD
+>DECOY_sp|P14770|GPIX_HUMAN Platelet glycoprotein IX OS=Homo sapiens OX=9606 GN=GP9 PE=1 SV=3
+DLAETTACLLGALLALGLAAVAVLAVDWLVGPRVWSAQLQWGCSGLQYGTLRGLPGHAALSPSACRVQLLAEPTRDELWLRLYTLSCDCHWPNQTVDLTQLQPLHDFAGPPVSQLSNNALLLHRTRAPLAPLATLGHGRCDVWLGMTELARCTCPSPCDKTAEATAWLLFLAGWAPM
+>sp|Q92917|GPKOW_HUMAN G-patch domain and KOW motifs-containing protein OS=Homo sapiens OX=9606 GN=GPKOW PE=1 SV=2
+MADSKEGVLPLTAASTAPISFGFTRTSARRRLADSGDGAGPSPEEKDFLKTVEGRELQSVKPQEAPKELVIPLIQNGHRRQPPARPPGPSTDTGALADGVVSQAVKELIAESKKSLEERENAGVDPTLAIPMIQKGCTPSGEGADSEPRAETVPEEANYEAVPVEAYGLAMLRGMGWKPGEGIGRTFNQVVKPRVNSLRPKGLGLGANLTEAQALTPTGPSRMPRPDEEQEKDKEDQPQGLVPGGAVVVLSGPHRGLYGKVEGLDPDNVRAMVRLAVGSRVVTVSEYYLRPVSQQEFDKNTLDLRQQNGTASSRKTLWNQELYIQQDNSERKRKHLPDRQDGPAAKSEKAAPRSQHWLHRDLRVRFVDNMYKGGQYYNTKMIIEDVLSPDTCVCRTDEGRVLEGLREDMLETLVPKAEGDRVMVVLGPQTGRVGHLLSRDRARSRALVQLPRENQVVELHYDAICQYMGPSDTDDD
+>DECOY_sp|Q92917|GPKOW_HUMAN G-patch domain and KOW motifs-containing protein OS=Homo sapiens OX=9606 GN=GPKOW PE=1 SV=2
+DDDTDSPGMYQCIADYHLEVVQNERPLQVLARSRARDRSLLHGVRGTQPGLVVMVRDGEAKPVLTELMDERLGELVRGEDTRCVCTDPSLVDEIIMKTNYYQGGKYMNDVFRVRLDRHLWHQSRPAAKESKAAPGDQRDPLHKRKRESNDQQIYLEQNWLTKRSSATGNQQRLDLTNKDFEQQSVPRLYYESVTVVRSGVALRVMARVNDPDLGEVKGYLGRHPGSLVVVAGGPVLGQPQDEKDKEQEEDPRPMRSPGTPTLAQAETLNAGLGLGKPRLSNVRPKVVQNFTRGIGEGPKWGMGRLMALGYAEVPVAEYNAEEPVTEARPESDAGEGSPTCGKQIMPIALTPDVGANEREELSKKSEAILEKVAQSVVGDALAGTDTSPGPPRAPPQRRHGNQILPIVLEKPAEQPKVSQLERGEVTKLFDKEEPSPGAGDGSDALRRRASTRTFGFSIPATSAATLPLVGEKSDAM
+>sp|B1ANH7|IBAS1_HUMAN Putative uncharacterized protein IBA57-AS1 OS=Homo sapiens OX=9606 GN=IBA57-AS1 PE=5 SV=1
+MTYPPHRAFSRSDVPYPLLSSPVQWPLSLVVGDTSTRWPQQPSALESDCPGPSHPCLAGLLGPMHPVDIPLSTALHSKHQRRLTQCVLMVQSPSKQRSLYLLNKKIPHDA
+>DECOY_sp|B1ANH7|IBAS1_HUMAN Putative uncharacterized protein IBA57-AS1 OS=Homo sapiens OX=9606 GN=IBA57-AS1 PE=5 SV=1
+ADHPIKKNLLYLSRQKSPSQVMLVCQTLRRQHKSHLATSLPIDVPHMPGLLGALCPHSPGPCDSELASPQQPWRTSTDGVVLSLPWQVPSSLLPYPVDSRSFARHPPYTM
+>sp|O75144|ICOSL_HUMAN ICOS ligand OS=Homo sapiens OX=9606 GN=ICOSLG PE=1 SV=2
+MRLGSPGLLFLLFSSLRADTQEKEVRAMVGSDVELSCACPEGSRFDLNDVYVYWQTSESKTVVTYHIPQNSSLENVDSRYRNRALMSPAGMLRGDFSLRLFNVTPQDEQKFHCLVLSQSLGFQEVLSVEVTLHVAANFSVPVVSAPHSPSQDELTFTCTSINGYPRPNVYWINKTDNSLLDQALQNDTVFLNMRGLYDVVSVLRIARTPSVNIGCCIENVLLQQNLTVGSQTGNDIGERDKITENPVSTGEKNAATWSILAVLCLLVVVAVAIGWVCRDRCLQHSYAGAWAVSPETELTGHV
+>DECOY_sp|O75144|ICOSL_HUMAN ICOS ligand OS=Homo sapiens OX=9606 GN=ICOSLG PE=1 SV=2
+VHGTLETEPSVAWAGAYSHQLCRDRCVWGIAVAVVVLLCLVALISWTAANKEGTSVPNETIKDREGIDNGTQSGVTLNQQLLVNEICCGINVSPTRAIRLVSVVDYLGRMNLFVTDNQLAQDLLSNDTKNIWYVNPRPYGNISTCTFTLEDQSPSHPASVVPVSFNAAVHLTVEVSLVEQFGLSQSLVLCHFKQEDQPTVNFLRLSFDGRLMGAPSMLARNRYRSDVNELSSNQPIHYTVVTKSESTQWYVYVDNLDFRSGEPCACSLEVDSGVMARVEKEQTDARLSSFLLFLLGPSGLRM
+>sp|Q9Y6W8|ICOS_HUMAN Inducible T-cell costimulator OS=Homo sapiens OX=9606 GN=ICOS PE=1 SV=1
+MKSGLWYFFLFCLRIKVLTGEINGSANYEMFIFHNGGVQILCKYPDIVQQFKMQLLKGGQILCDLTKTKGSGNTVSIKSLKFCHSQLSNNSVSFFLYNLDHSHANYYFCNLSIFDPPPFKVTLTGGYLHIYESQLCCQLKFWLPIGCAAFVVVCILGCILICWLTKKKYSSSVHDPNGEYMFMRAVNTAKKSRLTDVTL
+>DECOY_sp|Q9Y6W8|ICOS_HUMAN Inducible T-cell costimulator OS=Homo sapiens OX=9606 GN=ICOS PE=1 SV=1
+LTVDTLRSKKATNVARMFMYEGNPDHVSSSYKKKTLWCILICGLICVVVFAACGIPLWFKLQCCLQSEYIHLYGGTLTVKFPPPDFISLNCFYYNAHSHDLNYLFFSVSNNSLQSHCFKLSKISVTNGSGKTKTLDCLIQGGKLLQMKFQQVIDPYKCLIQVGGNHFIFMEYNASGNIEGTLVKIRLCFLFFYWLGSKM
+>sp|O60841|IF2P_HUMAN Eukaryotic translation initiation factor 5B OS=Homo sapiens OX=9606 GN=EIF5B PE=1 SV=4
+MGKKQKNKSEDSTKDDIDLDALAAEIEGAGAAKEQEPQKSKGKKKKEKKKQDFDEDDILKELEELSLEAQGIKADRETVAVKPTENNEEEFTSKDKKKKGQKGKKQSFDDNDSEELEDKDSKSKKTAKPKVEMYSGSDDDDDFNKLPKKAKGKAQKSNKKWDGSEEDEDNSKKIKERSRINSSGESGDESDEFLQSRKGQKKNQKNKPGPNIESGNEDDDASFKIKTVAQKKAEKKERERKKRDEEKAKLRKLKEKEELETGKKDQSKQKESQRKFEEETVKSKVTVDTGVIPASEEKAETPTAAEDDNEGDKKKKDKKKKKGEKEEKEKEKKKGPSKATVKAMQEALAKLKEEEERQKREEEERIKRLEELEAKRKEEERLEQEKRERKKQKEKERKERLKKEGKLLTKSQREARARAEATLKLLQAQGVEVPSKDSLPKKRPIYEDKKRKKIPQQLESKEVSESMELCAAVEVMEQGVPEKEETPPPVEPEEEEDTEDAGLDDWEAMASDEETEKVEGNKVHIEVKENPEEEEEEEEEEEEDEESEEEEEEEGESEGSEGDEEDEKVSDEKDSGKTLDKKPSKEMSSDSEYDSDDDRTKEERAYDKAKRRIEKRRLEHSKNVNTEKLRAPIICVLGHVDTGKTKILDKLRHTHVQDGEAGGITQQIGATNVPLEAINEQTKMIKNFDRENVRIPGMLIIDTPGHESFSNLRNRGSSLCDIAILVVDIMHGLEPQTIESINLLKSKKCPFIVALNKIDRLYDWKKSPDSDVAATLKKQKKNTKDEFEERAKAIIVEFAQQGLNAALFYENKDPRTFVSLVPTSAHTGDGMGSLIYLLVELTQTMLSKRLAHCEELRAQVMEVKALPGMGTTIDVILINGRLKEGDTIIVPGVEGPIVTQIRGLLLPPPMKELRVKNQYEKHKEVEAAQGVKILGKDLEKTLAGLPLLVAYKEDEIPVLKDELIHELKQTLNAIKLEEKGVYVQASTLGSLEALLEFLKTSEVPYAGINIGPVHKKDVMKASVMLEHDPQYAVILAFDVRIERDAQEMADSLGVRIFSAEIIYHLFDAFTKYRQDYKKQKQEEFKHIAVFPCKIKILPQYIFNSRDPIVMGVTVEAGQVKQGTPMCVPSKNFVDIGIVTSIEINHKQVDVAKKGQEVCVKIEPIPGESPKMFGRHFEATDILVSKISRQSIDALKDWFRDEMQKSDWQLIVELKKVFEII
+>DECOY_sp|O60841|IF2P_HUMAN Eukaryotic translation initiation factor 5B OS=Homo sapiens OX=9606 GN=EIF5B PE=1 SV=4
+IIEFVKKLEVILQWDSKQMEDRFWDKLADISQRSIKSVLIDTAEFHRGFMKPSEGPIPEIKVCVEQGKKAVDVQKHNIEISTVIGIDVFNKSPVCMPTGQKVQGAEVTVGMVIPDRSNFIYQPLIKIKCPFVAIHKFEEQKQKKYDQRYKTFADFLHYIIEASFIRVGLSDAMEQADREIRVDFALIVAYQPDHELMVSAKMVDKKHVPGINIGAYPVESTKLFELLAELSGLTSAQVYVGKEELKIANLTQKLEHILEDKLVPIEDEKYAVLLPLGALTKELDKGLIKVGQAAEVEKHKEYQNKVRLEKMPPPLLLGRIQTVIPGEVGPVIITDGEKLRGNILIVDITTGMGPLAKVEMVQARLEECHALRKSLMTQTLEVLLYILSGMGDGTHASTPVLSVFTRPDKNEYFLAANLGQQAFEVIIAKAREEFEDKTNKKQKKLTAAVDSDPSKKWDYLRDIKNLAVIFPCKKSKLLNISEITQPELGHMIDVVLIAIDCLSSGRNRLNSFSEHGPTDIILMGPIRVNERDFNKIMKTQENIAELPVNTAGIQQTIGGAEGDQVHTHRLKDLIKTKGTDVHGLVCIIPARLKETNVNKSHELRRKEIRRKAKDYAREEKTRDDDSDYESDSSMEKSPKKDLTKGSDKEDSVKEDEEDGESGESEGEEEEEEESEEDEEEEEEEEEEEEPNEKVEIHVKNGEVKETEEDSAMAEWDDLGADETDEEEEPEVPPPTEEKEPVGQEMVEVAACLEMSESVEKSELQQPIKKRKKDEYIPRKKPLSDKSPVEVGQAQLLKLTAEARARAERQSKTLLKGEKKLREKREKEKQKKRERKEQELREEEKRKAELEELRKIREEEERKQREEEEKLKALAEQMAKVTAKSPGKKKEKEKEEKEGKKKKKDKKKKDGENDDEAATPTEAKEESAPIVGTDVTVKSKVTEEEFKRQSEKQKSQDKKGTELEEKEKLKRLKAKEEDRKKREREKKEAKKQAVTKIKFSADDDENGSEINPGPKNKQNKKQGKRSQLFEDSEDGSEGSSNIRSREKIKKSNDEDEESGDWKKNSKQAKGKAKKPLKNFDDDDDSGSYMEVKPKATKKSKSDKDELEESDNDDFSQKKGKQGKKKKDKSTFEEENNETPKVAVTERDAKIGQAELSLEELEKLIDDEDFDQKKKEKKKKGKSKQPEQEKAAGAGEIEAALADLDIDDKTSDESKNKQKKGM
+>sp|Q6IS14|IF5AL_HUMAN Eukaryotic translation initiation factor 5A-1-like OS=Homo sapiens OX=9606 GN=EIF5AL1 PE=2 SV=2
+MADDLDFETGDAGASATFPMQCSALRKNGFVVLKGWPCKIVEMSASKTGKHGHAKVHLVGIDIFTGKKYEDICPSTHNMDVPNIKRNDFQLIGIQDGYLSLLQDSGEVPEDLRLPEGDLGKEIEQKYDCGEEILITVLSAMTEEAAVAIKAMAK
+>DECOY_sp|Q6IS14|IF5AL_HUMAN Eukaryotic translation initiation factor 5A-1-like OS=Homo sapiens OX=9606 GN=EIF5AL1 PE=2 SV=2
+KAMAKIAVAAEETMASLVTILIEEGCDYKQEIEKGLDGEPLRLDEPVEGSDQLLSLYGDQIGILQFDNRKINPVDMNHTSPCIDEYKKGTFIDIGVLHVKAHGHKGTKSASMEVIKCPWGKLVVFGNKRLASCQMPFTASAGADGTEFDLDDAM
+>sp|P56537|IF6_HUMAN Eukaryotic translation initiation factor 6 OS=Homo sapiens OX=9606 GN=EIF6 PE=1 SV=1
+MAVRASFENNCEIGCFAKLTNTYCLVAIGGSENFYSVFEGELSDTIPVVHASIAGCRIIGRMCVGNRHGLLVPNNTTDQELQHIRNSLPDTVQIRRVEERLSALGNVTTCNDYVALVHPDLDRETEEILADVLKVEVFRQTVADQVLVGSYCVFSNQGGLVHPKTSIEDQDELSSLLQVPLVAGTVNRGSEVIAAGMVVNDWCAFCGLDTTSTELSVVESVFKLNEAQPSTIATSMRDSLIDSLT
+>DECOY_sp|P56537|IF6_HUMAN Eukaryotic translation initiation factor 6 OS=Homo sapiens OX=9606 GN=EIF6 PE=1 SV=1
+TLSDILSDRMSTAITSPQAENLKFVSEVVSLETSTTDLGCFACWDNVVMGAAIVESGRNVTGAVLPVQLLSSLEDQDEISTKPHVLGGQNSFVCYSGVLVQDAVTQRFVEVKLVDALIEETERDLDPHVLAVYDNCTTVNGLASLREEVRRIQVTDPLSNRIHQLEQDTTNNPVLLGHRNGVCMRGIIRCGAISAHVVPITDSLEGEFVSYFNESGGIAVLCYTNTLKAFCGIECNNEFSARVAM
+>sp|Q96M15|IFAS1_HUMAN Putative uncharacterized protein IGF2BP2-AS1 OS=Homo sapiens OX=9606 GN=IGF2BP2-AS1 PE=2 SV=1
+MATPLDNCLRPFPDPMVKVTAETKKISEVSSYVSANNKKNSFWGSGLLYPVALLRCQDCWAGHQTHVLSKEFTSYFSSGAGREAQHQPSAVLGSLIWLRRVYLLRAMVVHGGSQPCQRWLVIRMEVGGHPSFPGHAWLTFHLN
+>DECOY_sp|Q96M15|IFAS1_HUMAN Putative uncharacterized protein IGF2BP2-AS1 OS=Homo sapiens OX=9606 GN=IGF2BP2-AS1 PE=2 SV=1
+NLHFTLWAHGPFSPHGGVEMRIVLWRQCPQSGGHVVMARLLYVRRLWILSGLVASPQHQAERGAGSSFYSTFEKSLVHTQHGAWCDQCRLLAVPYLLGSGWFSNKKNNASVYSSVESIKKTEATVKVMPDPFPRLCNDLPTAM
+>sp|Q5TF58|IFFO2_HUMAN Intermediate filament family orphan 2 OS=Homo sapiens OX=9606 GN=IFFO2 PE=2 SV=3
+MVNSLLFGEMALAFGCPPGGGGGGCPGGGGGGGGAGPGPSPVTAALRDDLGSNIHLLKGLNVRFRCFLAKVHELERRNRLLEKQLEQQQSERERRLRYKTFSREQAVQTGPELLRPPAPGGGHGLSSGAAAGANANAVALGGLPPGGGSHPQHYGRLPGTIWSYTQVRRTGGGGVETVQGPGVSWVHPDGVGVQIDTITPEIRALYNVLAKVKRERDEYKRRWEEELAKRMNLQTMVDTLQEAAQEADAIQEEMNEKIERLKAELVVFKGLMSDPMTDLDTKIQEKAMKVDMDICRRIDITAKLCDVAQQRNSEDVSKIFQVVPKKKERKVASDDDISEQDGEVNRFSDDEVGSMNITDEMKRMFNQLRETFDFDDDCDSLTWEENEDTLLLWEDFTNCNPTIDLQGEQEENLGNLIHETESFFKTRDKEYQETIGQIELELATAKSDMNRHLHEYMEMCSMKRGLDVQMETCRRLIKGSADRNSPSPSSVASSDSGSTDEIQDEFEREADVEPMVS
+>DECOY_sp|Q5TF58|IFFO2_HUMAN Intermediate filament family orphan 2 OS=Homo sapiens OX=9606 GN=IFFO2 PE=2 SV=3
+SVMPEVDAEREFEDQIEDTSGSDSSAVSSPSPSNRDASGKILRRCTEMQVDLGRKMSCMEMYEHLHRNMDSKATALELEIQGITEQYEKDRTKFFSETEHILNGLNEEQEGQLDITPNCNTFDEWLLLTDENEEWTLSDCDDDFDFTERLQNFMRKMEDTINMSGVEDDSFRNVEGDQESIDDDSAVKREKKKPVVQFIKSVDESNRQQAVDCLKATIDIRRCIDMDVKMAKEQIKTDLDTMPDSMLGKFVVLEAKLREIKENMEEQIADAEQAAEQLTDVMTQLNMRKALEEEWRRKYEDRERKVKALVNYLARIEPTITDIQVGVGDPHVWSVGPGQVTEVGGGGTRRVQTYSWITGPLRGYHQPHSGGGPPLGGLAVANANAGAAAGSSLGHGGGPAPPRLLEPGTQVAQERSFTKYRLRRERESQQQELQKELLRNRRELEHVKALFCRFRVNLGKLLHINSGLDDRLAATVPSPGPGAGGGGGGGGPCGGGGGGPPCGFALAMEGFLLSNVM
+>sp|Q9H496|IFG15_HUMAN Torsin-1A-interacting protein 2, isoform IFRG15 OS=Homo sapiens OX=9606 GN=TOR1AIP2 PE=1 SV=1
+MFSDNSHCPDCGQQWFPSLELGHWLYQTELVENECYQVFLDRINRADYCPECYPDNPANRSLVLPWSFPLEWAPQNLTRWTFEKACHPFLLGPPLVRKRIHDSRVAGFNPALQLILTRTDKTLNKKLGQNK
+>DECOY_sp|Q9H496|IFG15_HUMAN Torsin-1A-interacting protein 2, isoform IFRG15 OS=Homo sapiens OX=9606 GN=TOR1AIP2 PE=1 SV=1
+KNQGLKKNLTKDTRTLILQLAPNFGAVRSDHIRKRVLPPGLLFPHCAKEFTWRTLNQPAWELPFSWPLVLSRNAPNDPYCEPCYDARNIRDLFVQYCENEVLETQYLWHGLELSPFWQQGCDPCHSNDSFM
+>sp|Q8TCB0|IFI44_HUMAN Interferon-induced protein 44 OS=Homo sapiens OX=9606 GN=IFI44 PE=2 SV=2
+MAVTTRLTWLHEKILQNHFGGKRLSLLYKGSVHGFRNGVLLDRCCNQGPTLTVIYSEDHIIGAYAEESYQEGKYASIILFALQDTKISEWKLGLCTPETLFCCDVTKYNSPTNFQIDGRNRKVIMDLKTMENLGLAQNCTISIQDYEVFRCEDSLDERKIKGVIELRKSLLSALRTYEPYGSLVQQIRILLLGPIGAGKSSFFNSVRSVFQGHVTHQALVGTNTTGISEKYRTYSIRDGKDGKYLPFILCDSLGLSEKEGGLCRDDIFYILNGNIRDRYQFNPMESIKLNHHDYIDSPSLKDRIHCVAFVFDASSIQYFSSQMIVKIKRIRRELVNAGVVHVALLTHVDSMDLITKGDLIEIERCEPVRSKLEEVQRKLGFALSDISVVSNYSSEWELDPVKDVLILSALRRMLWAADDFLEDLPFEQIGNLREEIINCAQGKK
+>DECOY_sp|Q8TCB0|IFI44_HUMAN Interferon-induced protein 44 OS=Homo sapiens OX=9606 GN=IFI44 PE=2 SV=2
+KKGQACNIIEERLNGIQEFPLDELFDDAAWLMRRLASLILVDKVPDLEWESSYNSVVSIDSLAFGLKRQVEELKSRVPECREIEILDGKTILDMSDVHTLLAVHVVGANVLERRIRKIKVIMQSSFYQISSADFVFAVCHIRDKLSPSDIYDHHNLKISEMPNFQYRDRINGNLIYFIDDRCLGGEKESLGLSDCLIFPLYKGDKGDRISYTRYKESIGTTNTGVLAQHTVHGQFVSRVSNFFSSKGAGIPGLLLIRIQQVLSGYPEYTRLASLLSKRLEIVGKIKREDLSDECRFVEYDQISITCNQALGLNEMTKLDMIVKRNRGDIQFNTPSNYKTVDCCFLTEPTCLGLKWESIKTDQLAFLIISAYKGEQYSEEAYAGIIHDESYIVTLTPGQNCCRDLLVGNRFGHVSGKYLLSLRKGGFHNQLIKEHLWTLRTTVAM
+>sp|O14879|IFIT3_HUMAN Interferon-induced protein with tetratricopeptide repeats 3 OS=Homo sapiens OX=9606 GN=IFIT3 PE=1 SV=1
+MSEVTKNSLEKILPQLKCHFTWNLFKEDSVSRDLEDRVCNQIEFLNTEFKATMYNLLAYIKHLDGNNEAALECLRQAEELIQQEHADQAEIRSLVTWGNYAWVYYHLGRLSDAQIYVDKVKQTCKKFSNPYSIEYSELDCEEGWTQLKCGRNERAKVCFEKALEEKPNNPEFSSGLAIAMYHLDNHPEKQFSTDVLKQAIELSPDNQYVKVLLGLKLQKMNKEAEGEQFVEEALEKSPCQTDVLRSAAKFYRRKGDLDKAIELFQRVLESTPNNGYLYHQIGCCYKAKVRQMQNTGESEASGNKEMIEALKQYAMDYSNKALEKGLNPLNAYSDLAEFLETECYQTPFNKEVPDAEKQQSHQRYCNLQKYNGKSEDTAVQHGLEGLSISKKSTDKEEIKDQPQNVSENLLPQNAPNYWYLQGLIHKQNGDLLQAAKCYEKELGRLLRDAPSGIGSIFLSASELEDGSEEMGQGAVSSSPRELLSNSEQLN
+>DECOY_sp|O14879|IFIT3_HUMAN Interferon-induced protein with tetratricopeptide repeats 3 OS=Homo sapiens OX=9606 GN=IFIT3 PE=1 SV=1
+NLQESNSLLERPSSSVAGQGMEESGDELESASLFISGIGSPADRLLRGLEKEYCKAAQLLDGNQKHILGQLYWYNPANQPLLNESVNQPQDKIEEKDTSKKSISLGELGHQVATDESKGNYKQLNCYRQHSQQKEADPVEKNFPTQYCETELFEALDSYANLPNLGKELAKNSYDMAYQKLAEIMEKNGSAESEGTNQMQRVKAKYCCGIQHYLYGNNPTSELVRQFLEIAKDLDGKRRYFKAASRLVDTQCPSKELAEEVFQEGEAEKNMKQLKLGLLVKVYQNDPSLEIAQKLVDTSFQKEPHNDLHYMAIALGSSFEPNNPKEELAKEFCVKARENRGCKLQTWGEECDLESYEISYPNSFKKCTQKVKDVYIQADSLRGLHYYVWAYNGWTVLSRIEAQDAHEQQILEEAQRLCELAAENNGDLHKIYALLNYMTAKFETNLFEIQNCVRDELDRSVSDEKFLNWTFHCKLQPLIKELSNKTVESM
+>sp|Q13325|IFIT5_HUMAN Interferon-induced protein with tetratricopeptide repeats 5 OS=Homo sapiens OX=9606 GN=IFIT5 PE=1 SV=1
+MSEIRKDTLKAILLELECHFTWNLLKEDIDLFEVEDTIGQQLEFLTTKSRLALYNLLAYVKHLKGQNKDALECLEQAEEIIQQEHSDKEEVRSLVTWGNYAWVYYHMDQLEEAQKYTGKIGNVCKKLSSPSNYKLECPETDCEKGWALLKFGGKYYQKAKAAFEKALEVEPDNPEFNIGYAITVYRLDDSDREGSVKSFSLGPLRKAVTLNPDNSYIKVFLALKLQDVHAEAEGEKYIEEILDQISSQPYVLRYAAKFYRRKNSWNKALELLKKALEVTPTSSFLHHQMGLCYRAQMIQIKKATHNRPKGKDKLKVDELISSAIFHFKAAMERDSMFAFAYTDLANMYAEGGQYSNAEDIFRKALRLENITDDHKHQIHYHYGRFQEFHRKSENTAIHHYLEALKVKDRSPLRTKLTSALKKLSTKRLCHNALDVQSLSALGFVYKLEGEKRQAAEYYEKAQKIDPENAEFLTALCELRLSI
+>DECOY_sp|Q13325|IFIT5_HUMAN Interferon-induced protein with tetratricopeptide repeats 5 OS=Homo sapiens OX=9606 GN=IFIT5 PE=1 SV=1
+ISLRLECLATLFEANEPDIKQAKEYYEAAQRKEGELKYVFGLASLSQVDLANHCLRKTSLKKLASTLKTRLPSRDKVKLAELYHHIATNESKRHFEQFRGYHYHIQHKHDDTINELRLAKRFIDEANSYQGGEAYMNALDTYAFAFMSDREMAAKFHFIASSILEDVKLKDKGKPRNHTAKKIQIMQARYCLGMQHHLFSSTPTVELAKKLLELAKNWSNKRRYFKAAYRLVYPQSSIQDLIEEIYKEGEAEAHVDQLKLALFVKIYSNDPNLTVAKRLPGLSFSKVSGERDSDDLRYVTIAYGINFEPNDPEVELAKEFAAKAKQYYKGGFKLLAWGKECDTEPCELKYNSPSSLKKCVNGIKGTYKQAEELQDMHYYVWAYNGWTVLSRVEEKDSHEQQIIEEAQELCELADKNQGKLHKVYALLNYLALRSKTTLFELQQGITDEVEFLDIDEKLLNWTFHCELELLIAKLTDKRIESM
+>sp|Q01629|IFM2_HUMAN Interferon-induced transmembrane protein 2 OS=Homo sapiens OX=9606 GN=IFITM2 PE=1 SV=2
+MNHIVQTFSPVNSGQPPNYEMLKEEQEVAMLGVPHNPAPPMSTVIHIRSETSVPDHVVWSLFNTLFMNTCCLGFIAFAYSVKSRDRKMVGDVTGAQAYASTAKCLNIWALILGIFMTILLIIIPVLVVQAQR
+>DECOY_sp|Q01629|IFM2_HUMAN Interferon-induced transmembrane protein 2 OS=Homo sapiens OX=9606 GN=IFITM2 PE=1 SV=2
+RQAQVVLVPIIILLITMFIGLILAWINLCKATSAYAQAGTVDGVMKRDRSKVSYAFAIFGLCCTNMFLTNFLSWVVHDPVSTESRIHIVTSMPPAPNHPVGLMAVEQEEKLMEYNPPQGSNVPSFTQVIHNM
+>sp|Q01628|IFM3_HUMAN Interferon-induced transmembrane protein 3 OS=Homo sapiens OX=9606 GN=IFITM3 PE=1 SV=2
+MNHTVQTFFSPVNSGQPPNYEMLKEEHEVAVLGAPHNPAPPTSTVIHIRSETSVPDHVVWSLFNTLFMNPCCLGFIAFAYSVKSRDRKMVGDVTGAQAYASTAKCLNIWALILGILMTILLIVIPVLIFQAYG
+>DECOY_sp|Q01628|IFM3_HUMAN Interferon-induced transmembrane protein 3 OS=Homo sapiens OX=9606 GN=IFITM3 PE=1 SV=2
+GYAQFILVPIVILLITMLIGLILAWINLCKATSAYAQAGTVDGVMKRDRSKVSYAFAIFGLCCPNMFLTNFLSWVVHDPVSTESRIHIVTSTPPAPNHPAGLVAVEHEEKLMEYNPPQGSNVPSFFTQVTHNM
+>sp|P01570|IFN14_HUMAN Interferon alpha-14 OS=Homo sapiens OX=9606 GN=IFNA14 PE=1 SV=3
+MALPFALMMALVVLSCKSSCSLGCNLSQTHSLNNRRTLMLMAQMRRISPFSCLKDRHDFEFPQEEFDGNQFQKAQAISVLHEMMQQTFNLFSTKNSSAAWDETLLEKFYIELFQQMNDLEACVIQEVGVEETPLMNEDSILAVKKYFQRITLYLMEKKYSPCAWEVVRAEIMRSLSFSTNLQKRLRRKD
+>DECOY_sp|P01570|IFN14_HUMAN Interferon alpha-14 OS=Homo sapiens OX=9606 GN=IFNA14 PE=1 SV=3
+DKRRLRKQLNTSFSLSRMIEARVVEWACPSYKKEMLYLTIRQFYKKVALISDENMLPTEEVGVEQIVCAELDNMQQFLEIYFKELLTEDWAASSNKTSFLNFTQQMMEHLVSIAQAKQFQNGDFEEQPFEFDHRDKLCSFPSIRRMQAMLMLTRRNNLSHTQSLNCGLSCSSKCSLVVLAMMLAFPLAM
+>sp|P01568|IFN21_HUMAN Interferon alpha-21 OS=Homo sapiens OX=9606 GN=IFNA21 PE=1 SV=2
+MALSFSLLMAVLVLSYKSICSLGCDLPQTHSLGNRRALILLAQMGRISPFSCLKDRHDFGFPQEEFDGNQFQKAQAISVLHEMIQQTFNLFSTKDSSATWEQSLLEKFSTELNQQLNDLEACVIQEVGVEETPLMNVDSILAVKKYFQRITLYLTEKKYSPCAWEVVRAEIMRSFSLSKIFQERLRRKE
+>DECOY_sp|P01568|IFN21_HUMAN Interferon alpha-21 OS=Homo sapiens OX=9606 GN=IFNA21 PE=1 SV=2
+EKRRLREQFIKSLSFSRMIEARVVEWACPSYKKETLYLTIRQFYKKVALISDVNMLPTEEVGVEQIVCAELDNLQQNLETSFKELLSQEWTASSDKTSFLNFTQQIMEHLVSIAQAKQFQNGDFEEQPFGFDHRDKLCSFPSIRGMQALLILARRNGLSHTQPLDCGLSCISKYSLVLVAMLLSFSLAM
+>sp|P01567|IFNA7_HUMAN Interferon alpha-7 OS=Homo sapiens OX=9606 GN=IFNA7 PE=1 SV=1
+MARSFSLLMVVLVLSYKSICSLGCDLPQTHSLRNRRALILLAQMGRISPFSCLKDRHEFRFPEEEFDGHQFQKTQAISVLHEMIQQTFNLFSTEDSSAAWEQSLLEKFSTELYQQLNDLEACVIQEVGVEETPLMNEDFILAVRKYFQRITLYLMEKKYSPCAWEVVRAEIMRSFSFSTNLKKGLRRKD
+>DECOY_sp|P01567|IFNA7_HUMAN Interferon alpha-7 OS=Homo sapiens OX=9606 GN=IFNA7 PE=1 SV=1
+DKRRLGKKLNTSFSFSRMIEARVVEWACPSYKKEMLYLTIRQFYKRVALIFDENMLPTEEVGVEQIVCAELDNLQQYLETSFKELLSQEWAASSDETSFLNFTQQIMEHLVSIAQTKQFQHGDFEEEPFRFEHRDKLCSFPSIRGMQALLILARRNRLSHTQPLDCGLSCISKYSLVLVVMLLSFSRAM
+>sp|P05019|IGF1_HUMAN Insulin-like growth factor I OS=Homo sapiens OX=9606 GN=IGF1 PE=1 SV=1
+MGKISSLPTQLFKCCFCDFLKVKMHTMSSSHLFYLALCLLTFTSSATAGPETLCGAELVDALQFVCGDRGFYFNKPTGYGSSSRRAPQTGIVDECCFRSCDLRRLEMYCAPLKPAKSARSVRAQRHTDMPKTQKYQPPSTNKNTKSQRRKGWPKTHPGGEQKEGTEASLQIRGKKKEQRREIGSRNAECRGKKGK
+>DECOY_sp|P05019|IGF1_HUMAN Insulin-like growth factor I OS=Homo sapiens OX=9606 GN=IGF1 PE=1 SV=1
+KGKKGRCEANRSGIERRQEKKKGRIQLSAETGEKQEGGPHTKPWGKRRQSKTNKNTSPPQYKQTKPMDTHRQARVSRASKAPKLPACYMELRRLDCSRFCCEDVIGTQPARRSSSGYGTPKNFYFGRDGCVFQLADVLEAGCLTEPGATASSTFTLLCLALYFLHSSSMTHMKVKLFDCFCCKFLQTPLSSIKGM
+>sp|Q9H665|IGFR1_HUMAN IGF-like family receptor 1 OS=Homo sapiens OX=9606 GN=IGFLR1 PE=1 SV=1
+MGPGRCLLTALLLLALAPPPEASQYCGRLEYWNPDNKCCSSCLQRFGPPPCPDYEFRENCGLNDHGDFVTPPFRKCSSGQCNPDGAELCSPCGGGAVTPTPAAGGGRTPWRCRERPVPAKGHCPLTPGNPGAPSSQERSSPASSIAWRTPEPVPQQAWPNFLPLVVLVLLLTLAVIAILLFILLWHLCWPKEKADPYPYPGLVCGVPNTHTPSSSHLSSPGALETGDTWKEASLLPLLSRELSSLASQPLSRLLDELEVLEELIVLLDPEPGPGGGMAHGTTRHLAARYGLPAAWSTFAYSLRPSRSPLRALIEMVVAREPSASLGQLGTHLAQLGRADALRVLSKLGSSGVCWA
+>DECOY_sp|Q9H665|IGFR1_HUMAN IGF-like family receptor 1 OS=Homo sapiens OX=9606 GN=IGFLR1 PE=1 SV=1
+AWCVGSSGLKSLVRLADARGLQALHTGLQGLSASPERAVVMEILARLPSRSPRLSYAFTSWAAPLGYRAALHRTTGHAMGGGPGPEPDLLVILEELVELEDLLRSLPQSALSSLERSLLPLLSAEKWTDGTELAGPSSLHSSSPTHTNPVGCVLGPYPYPDAKEKPWCLHWLLIFLLIAIVALTLLLVLVVLPLFNPWAQQPVPEPTRWAISSAPSSREQSSPAGPNGPTLPCHGKAPVPRERCRWPTRGGGAAPTPTVAGGGCPSCLEAGDPNCQGSSCKRFPPTVFDGHDNLGCNERFEYDPCPPPGFRQLCSSCCKNDPNWYELRGCYQSAEPPPALALLLLATLLCRGPGM
+>sp|P01876|IGHA1_HUMAN Immunoglobulin heavy constant alpha 1 OS=Homo sapiens OX=9606 GN=IGHA1 PE=1 SV=2
+ASPTSPKVFPLSLCSTQPDGNVVIACLVQGFFPQEPLSVTWSESGQGVTARNFPPSQDASGDLYTTSSQLTLPATQCLAGKSVTCHVKHYTNPSQDVTVPCPVPSTPPTPSPSTPPTPSPSCCHPRLSLHRPALEDLLLGSEANLTCTLTGLRDASGVTFTWTPSSGKSAVQGPPERDLCGCYSVSSVLPGCAEPWNHGKTFTCTAAYPESKTPLTATLSKSGNTFRPEVHLLPPPSEELALNELVTLTCLARGFSPKDVLVRWLQGSQELPREKYLTWASRQEPSQGTTTFAVTSILRVAAEDWKKGDTFSCMVGHEALPLAFTQKTIDRLAGKPTHVNVSVVMAEVDGTCY
+>DECOY_sp|P01876|IGHA1_HUMAN Immunoglobulin heavy constant alpha 1 OS=Homo sapiens OX=9606 GN=IGHA1 PE=1 SV=2
+YCTGDVEAMVVSVNVHTPKGALRDITKQTFALPLAEHGVMCSFTDGKKWDEAAVRLISTVAFTTTGQSPEQRSAWTLYKERPLEQSGQLWRVLVDKPSFGRALCTLTVLENLALEESPPPLLHVEPRFTNGSKSLTATLPTKSEPYAATCTFTKGHNWPEACGPLVSSVSYCGCLDREPPGQVASKGSSPTWTFTVGSADRLGTLTCTLNAESGLLLDELAPRHLSLRPHCCSPSPTPPTSPSPTPPTSPVPCPVTVDQSPNTYHKVHCTVSKGALCQTAPLTLQSSTTYLDGSADQSPPFNRATVGQGSESWTVSLPEQPFFGQVLCAIVVNGDPQTSCLSLPFVKPSTPSA
+>sp|P01860|IGHG3_HUMAN Immunoglobulin heavy constant gamma 3 OS=Homo sapiens OX=9606 GN=IGHG3 PE=1 SV=2
+ASTKGPSVFPLAPCSRSTSGGTAALGCLVKDYFPEPVTVSWNSGALTSGVHTFPAVLQSSGLYSLSSVVTVPSSSLGTQTYTCNVNHKPSNTKVDKRVELKTPLGDTTHTCPRCPEPKSCDTPPPCPRCPEPKSCDTPPPCPRCPEPKSCDTPPPCPRCPAPELLGGPSVFLFPPKPKDTLMISRTPEVTCVVVDVSHEDPEVQFKWYVDGVEVHNAKTKPREEQYNSTFRVVSVLTVLHQDWLNGKEYKCKVSNKALPAPIEKTISKTKGQPREPQVYTLPPSREEMTKNQVSLTCLVKGFYPSDIAVEWESSGQPENNYNTTPPMLDSDGSFFLYSKLTVDKSRWQQGNIFSCSVMHEALHNRFTQKSLSLSPGK
+>DECOY_sp|P01860|IGHG3_HUMAN Immunoglobulin heavy constant gamma 3 OS=Homo sapiens OX=9606 GN=IGHG3 PE=1 SV=2
+KGPSLSLSKQTFRNHLAEHMVSCSFINGQQWRSKDVTLKSYLFFSGDSDLMPPTTNYNNEPQGSSEWEVAIDSPYFGKVLCTLSVQNKTMEERSPPLTYVQPERPQGKTKSITKEIPAPLAKNSVKCKYEKGNLWDQHLVTLVSVVRFTSNYQEERPKTKANHVEVGDVYWKFQVEPDEHSVDVVVCTVEPTRSIMLTDKPKPPFLFVSPGGLLEPAPCRPCPPPTDCSKPEPCRPCPPPTDCSKPEPCRPCPPPTDCSKPEPCRPCTHTTDGLPTKLEVRKDVKTNSPKHNVNCTYTQTGLSSSPVTVVSSLSYLGSSQLVAPFTHVGSTLAGSNWSVTVPEPFYDKVLCGLAATGGSTSRSCPALPFVSPGKTSA
+>sp|P15814|IGLL1_HUMAN Immunoglobulin lambda-like polypeptide 1 OS=Homo sapiens OX=9606 GN=IGLL1 PE=1 SV=1
+MRPGTGQGGLEAPGEPGPNLRQRWPLLLLGLAVVTHGLLRPTAASQSRALGPGAPGGSSRSSLRSRWGRFLLQRGSWTGPRCWPRGFQSKHNSVTHVFGSGTQLTVLSQPKATPSVTLFPPSSEELQANKATLVCLMNDFYPGILTVTWKADGTPITQGVEMTTPSKQSNNKYAASSYLSLTPEQWRSRRSYSCQVMHEGSTVEKTVAPAECS
+>DECOY_sp|P15814|IGLL1_HUMAN Immunoglobulin lambda-like polypeptide 1 OS=Homo sapiens OX=9606 GN=IGLL1 PE=1 SV=1
+SCEAPAVTKEVTSGEHMVQCSYSRRSRWQEPTLSLYSSAAYKNNSQKSPTTMEVGQTIPTGDAKWTVTLIGPYFDNMLCVLTAKNAQLEESSPPFLTVSPTAKPQSLVTLQTGSGFVHTVSNHKSQFGRPWCRPGTWSGRQLLFRGWRSRLSSRSSGGPAGPGLARSQSAATPRLLGHTVVALGLLLLPWRQRLNPGPEGPAELGGQGTGPRM
+>sp|Q96ID5|IGS21_HUMAN Immunoglobulin superfamily member 21 OS=Homo sapiens OX=9606 GN=IGSF21 PE=2 SV=1
+MRTAPSLRRCVCLLLAAILDLARGYLTVNIEPLPPVVAGDAVTLKCNFKTDGRMREIVWYRVTDGGTIKQKIFTFDAMFSTNYSHMENYRKREDLVYQSTVRLPEVRISDNGPYECHVGIYDRATREKVVLASGNIFLNVMAPPTSIEVVAADTPAPFSRYQAQNFTLVCIVSGGKPAPMVYFKRDGEPIDAVPLSEPPAASSGPLQDSRPFRSLLHRDLDDTKMQKSLSLLDAENRGGRPYTERPSRGLTPDPNILLQPTTENIPETVVSREFPRWVHSAEPTYFLRHSRTPSSDGTVEVRALLTWTLNPQIDNEALFSCEVKHPALSMPMQAEVTLVAPKGPKIVMTPSRARVGDTVRILVHGFQNEVFPEPMFTWTRVGSRLLDGSAEFDGKELVLERVPAELNGSMYRCTAQNPLGSTDTHTRLIVFENPNIPRGTEDSNGSIGPTGARLTLVLALTVILELT
+>DECOY_sp|Q96ID5|IGS21_HUMAN Immunoglobulin superfamily member 21 OS=Homo sapiens OX=9606 GN=IGSF21 PE=2 SV=1
+TLELIVTLALVLTLRAGTPGISGNSDETGRPINPNEFVILRTHTDTSGLPNQATCRYMSGNLEAPVRELVLEKGDFEASGDLLRSGVRTWTFMPEPFVENQFGHVLIRVTDGVRARSPTMVIKPGKPAVLTVEAQMPMSLAPHKVECSFLAENDIQPNLTWTLLARVEVTGDSSPTRSHRLFYTPEASHVWRPFERSVVTEPINETTPQLLINPDPTLGRSPRETYPRGGRNEADLLSLSKQMKTDDLDRHLLSRFPRSDQLPGSSAAPPESLPVADIPEGDRKFYVMPAPKGGSVICVLTFNQAQYRSFPAPTDAAVVEISTPPAMVNLFINGSALVVKERTARDYIGVHCEYPGNDSIRVEPLRVTSQYVLDERKRYNEMHSYNTSFMADFTFIKQKITGGDTVRYWVIERMRGDTKFNCKLTVADGAVVPPLPEINVTLYGRALDLIAALLLCVCRRLSPATRM
+>sp|P25963|IKBA_HUMAN NF-kappa-B inhibitor alpha OS=Homo sapiens OX=9606 GN=NFKBIA PE=1 SV=1
+MFQAAERPQEWAMEGPRDGLKKERLLDDRHDSGLDSMKDEEYEQMVKELQEIRLEPQEVPRGSEPWKQQLTEDGDSFLHLAIIHEEKALTMEVIRQVKGDLAFLNFQNNLQQTPLHLAVITNQPEIAEALLGAGCDPELRDFRGNTPLHLACEQGCLASVGVLTQSCTTPHLHSILKATNYNGHTCLHLASIHGYLGIVELLVSLGADVNAQEPCNGRTALHLAVDLQNPDLVSLLLKCGADVNRVTYQGYSPYQLTWGRPSTRIQQQLGQLTLENLQMLPESEDEESYDTESEFTEFTEDELPYDDCVFGGQRLTL
+>DECOY_sp|P25963|IKBA_HUMAN NF-kappa-B inhibitor alpha OS=Homo sapiens OX=9606 GN=NFKBIA PE=1 SV=1
+LTLRQGGFVCDDYPLEDETFETFESETDYSEEDESEPLMQLNELTLQGLQQQIRTSPRGWTLQYPSYGQYTVRNVDAGCKLLLSVLDPNQLDVALHLATRGNCPEQANVDAGLSVLLEVIGLYGHISALHLCTHGNYNTAKLISHLHPTTCSQTLVGVSALCGQECALHLPTNGRFDRLEPDCGAGLLAEAIEPQNTIVALHLPTQQLNNQFNLFALDGKVQRIVEMTLAKEEHIIALHLFSDGDETLQQKWPESGRPVEQPELRIEQLEKVMQEYEEDKMSDLGSDHRDDLLREKKLGDRPGEMAWEQPREAAQFM
+>sp|O00221|IKBE_HUMAN NF-kappa-B inhibitor epsilon OS=Homo sapiens OX=9606 GN=NFKBIE PE=1 SV=3
+MNQRRSESRPGNHRLQAYAEPGKGDSGGAGPLSGSARRGRGGGGAIRVRRPCWSGGAGRGGGPAWAVRLPTVTAGWTWPALRTLSSLRAGPSEPHSPGRRPPRAGRPLCQADPQPGKAARRSLEPDPAQTGPRPARAAGMSEARKGPDEAEESQYDSGIESLRSLRSLPESTSAPASGPSDGSPQPCTHPPGPVKEPQEKEDADGERADSTYGSSSLTYTLSLLGGPEAEDPAPRLPLPHVGALSPQQLEALTYISEDGDTLVHLAVIHEAPAVLLCCLALLPQEVLDIQNNLYQTALHLAVHLDQPGAVRALVLKGASRALQDRHGDTALHVACQRQHLACARCLLEGRPEPGRGTSHSLDLQLQNWQGLACLHIATLQKNQPLMELLLRNGADIDVQEGTSGKTALHLAVETQERGLVQFLLQAGAQVDARMLNGCTPLHLAAGRGLMGISSTLCKAGADSLLRNVEDETPQDLTEESLVLLPFDDLKISGKLLLCTD
+>DECOY_sp|O00221|IKBE_HUMAN NF-kappa-B inhibitor epsilon OS=Homo sapiens OX=9606 GN=NFKBIE PE=1 SV=3
+DTCLLLKGSIKLDDFPLLVLSEETLDQPTEDEVNRLLSDAGAKCLTSSIGMLGRGAALHLPTCGNLMRADVQAGAQLLFQVLGREQTEVALHLATKGSTGEQVDIDAGNRLLLEMLPQNKQLTAIHLCALGQWNQLQLDLSHSTGRGPEPRGELLCRACALHQRQCAVHLATDGHRDQLARSAGKLVLARVAGPQDLHVALHLATQYLNNQIDLVEQPLLALCCLLVAPAEHIVALHVLTDGDESIYTLAELQQPSLAGVHPLPLRPAPDEAEPGGLLSLTYTLSSSGYTSDAREGDADEKEQPEKVPGPPHTCPQPSGDSPGSAPASTSEPLSRLSRLSEIGSDYQSEEAEDPGKRAESMGAARAPRPGTQAPDPELSRRAAKGPQPDAQCLPRGARPPRRGPSHPESPGARLSSLTRLAPWTWGATVTPLRVAWAPGGGRGAGGSWCPRRVRIAGGGGRGRRASGSLPGAGGSDGKGPEAYAQLRHNGPRSESRRQNM
+>sp|O15111|IKKA_HUMAN Inhibitor of nuclear factor kappa-B kinase subunit alpha OS=Homo sapiens OX=9606 GN=CHUK PE=1 SV=2
+MERPPGLRPGAGGPWEMRERLGTGGFGNVCLYQHRELDLKIAIKSCRLELSTKNRERWCHEIQIMKKLNHANVVKACDVPEELNILIHDVPLLAMEYCSGGDLRKLLNKPENCCGLKESQILSLLSDIGSGIRYLHENKIIHRDLKPENIVLQDVGGKIIHKIIDLGYAKDVDQGSLCTSFVGTLQYLAPELFENKPYTATVDYWSFGTMVFECIAGYRPFLHHLQPFTWHEKIKKKDPKCIFACEEMSGEVRFSSHLPQPNSLCSLVVEPMENWLQLMLNWDPQQRGGPVDLTLKQPRCFVLMDHILNLKIVHILNMTSAKIISFLLPPDESLHSLQSRIERETGINTGSQELLSETGISLDPRKPASQCVLDGVRGCDSYMVYLFDKSKTVYEGPFASRSLSDCVNYIVQDSKIQLPIIQLRKVWAEAVHYVSGLKEDYSRLFQGQRAAMLSLLRYNANLTKMKNTLISASQQLKAKLEFFHKSIQLDLERYSEQMTYGISSEKMLKAWKEMEEKAIHYAEVGVIGYLEDQIMSLHAEIMELQKSPYGRRQGDLMESLEQRAIDLYKQLKHRPSDHSYSDSTEMVKIIVHTVQSQDRVLKELFGHLSKLLGCKQKIIDLLPKVEVALSNIKEADNTVMFMQGKRQKEIWHLLKIACTQSSARSLVGSSLEGAVTPQTSAWLPPTSAEHDHSLSCVVTPQDGETSAQMIEENLNCLGHLSTIIHEANEEQGNSMMNLDWSWLTE
+>DECOY_sp|O15111|IKKA_HUMAN Inhibitor of nuclear factor kappa-B kinase subunit alpha OS=Homo sapiens OX=9606 GN=CHUK PE=1 SV=2
+ETLWSWDLNMMSNGQEENAEHIITSLHGLCNLNEEIMQASTEGDQPTVVCSLSHDHEASTPPLWASTQPTVAGELSSGVLSRASSQTCAIKLLHWIEKQRKGQMFMVTNDAEKINSLAVEVKPLLDIIKQKCGLLKSLHGFLEKLVRDQSQVTHVIIKVMETSDSYSHDSPRHKLQKYLDIARQELSEMLDGQRRGYPSKQLEMIEAHLSMIQDELYGIVGVEAYHIAKEEMEKWAKLMKESSIGYTMQESYRELDLQISKHFFELKAKLQQSASILTNKMKTLNANYRLLSLMAARQGQFLRSYDEKLGSVYHVAEAWVKRLQIIPLQIKSDQVIYNVCDSLSRSAFPGEYVTKSKDFLYVMYSDCGRVGDLVCQSAPKRPDLSIGTESLLEQSGTNIGTEREIRSQLSHLSEDPPLLFSIIKASTMNLIHVIKLNLIHDMLVFCRPQKLTLDVPGGRQQPDWNLMLQLWNEMPEVVLSCLSNPQPLHSSFRVEGSMEECAFICKPDKKKIKEHWTFPQLHHLFPRYGAICEFVMTGFSWYDVTATYPKNEFLEPALYQLTGVFSTCLSGQDVDKAYGLDIIKHIIKGGVDQLVINEPKLDRHIIKNEHLYRIGSGIDSLLSLIQSEKLGCCNEPKNLLKRLDGGSCYEMALLPVDHILINLEEPVDCAKVVNAHNLKKMIQIEHCWRERNKTSLELRCSKIAIKLDLERHQYLCVNGFGGTGLRERMEWPGGAGPRLGPPREM
+>sp|O14920|IKKB_HUMAN Inhibitor of nuclear factor kappa-B kinase subunit beta OS=Homo sapiens OX=9606 GN=IKBKB PE=1 SV=1
+MSWSPSLTTQTCGAWEMKERLGTGGFGNVIRWHNQETGEQIAIKQCRQELSPRNRERWCLEIQIMRRLTHPNVVAARDVPEGMQNLAPNDLPLLAMEYCQGGDLRKYLNQFENCCGLREGAILTLLSDIASALRYLHENRIIHRDLKPENIVLQQGEQRLIHKIIDLGYAKELDQGSLCTSFVGTLQYLAPELLEQQKYTVTVDYWSFGTLAFECITGFRPFLPNWQPVQWHSKVRQKSEVDIVVSEDLNGTVKFSSSLPYPNNLNSVLAERLEKWLQLMLMWHPRQRGTDPTYGPNGCFKALDDILNLKLVHILNMVTGTIHTYPVTEDESLQSLKARIQQDTGIPEEDQELLQEAGLALIPDKPATQCISDGKLNEGHTLDMDLVFLFDNSKITYETQISPRPQPESVSCILQEPKRNLAFFQLRKVWGQVWHSIQTLKEDCNRLQQGQRAAMMNLLRNNSCLSKMKNSMASMSQQLKAKLDFFKTSIQIDLEKYSEQTEFGITSDKLLLAWREMEQAVELCGRENEVKLLVERMMALQTDIVDLQRSPMGRKQGGTLDDLEEQARELYRRLREKPRDQRTEGDSQEMVRLLLQAIQSFEKKVRVIYTQLSKTVVCKQKALELLPKVEEVVSLMNEDEKTVVRLQEKRQKELWNLLKIACSKVRGPVSGSPDSMNASRLSQPGQLMSQPSTASNSLPEPAKKSEELVAEAHNLCTLLENAIQDTVREQDQSFTALDWSWLQTEEEEHSCLEQAS
+>DECOY_sp|O14920|IKKB_HUMAN Inhibitor of nuclear factor kappa-B kinase subunit beta OS=Homo sapiens OX=9606 GN=IKBKB PE=1 SV=1
+SAQELCSHEEEETQLWSWDLATFSQDQERVTDQIANELLTCLNHAEAVLEESKKAPEPLSNSATSPQSMLQGPQSLRSANMSDPSGSVPGRVKSCAIKLLNWLEKQRKEQLRVVTKEDENMLSVVEEVKPLLELAKQKCVVTKSLQTYIVRVKKEFSQIAQLLLRVMEQSDGETRQDRPKERLRRYLERAQEELDDLTGGQKRGMPSRQLDVIDTQLAMMREVLLKVENERGCLEVAQEMERWALLLKDSTIGFETQESYKELDIQISTKFFDLKAKLQQSMSAMSNKMKSLCSNNRLLNMMAARQGQQLRNCDEKLTQISHWVQGWVKRLQFFALNRKPEQLICSVSEPQPRPSIQTEYTIKSNDFLFVLDMDLTHGENLKGDSICQTAPKDPILALGAEQLLEQDEEPIGTDQQIRAKLSQLSEDETVPYTHITGTVMNLIHVLKLNLIDDLAKFCGNPGYTPDTGRQRPHWMLMLQLWKELREALVSNLNNPYPLSSSFKVTGNLDESVVIDVESKQRVKSHWQVPQWNPLFPRFGTICEFALTGFSWYDVTVTYKQQELLEPALYQLTGVFSTCLSGQDLEKAYGLDIIKHILRQEGQQLVINEPKLDRHIIRNEHLYRLASAIDSLLTLIAGERLGCCNEFQNLYKRLDGGQCYEMALLPLDNPALNQMGEPVDRAAVVNPHTLRRMIQIELCWRERNRPSLEQRCQKIAIQEGTEQNHWRIVNGFGGTGLREKMEWAGCTQTTLSPSWSM
+>sp|Q9UKS7|IKZF2_HUMAN Zinc finger protein Helios OS=Homo sapiens OX=9606 GN=IKZF2 PE=1 SV=2
+METEAIDGYITCDNELSPEREHSNMAIDLTSSTPNGQHASPSHMTSTNSVKLEMQSDEECDRKPLSREDEIRGHDEGSSLEEPLIESSEVADNRKVQELQGEGGIRLPNGKLKCDVCGMVCIGPNVLMVHKRSHTGERPFHCNQCGASFTQKGNLLRHIKLHSGEKPFKCPFCSYACRRRDALTGHLRTHSVGKPHKCNYCGRSYKQRSSLEEHKERCHNYLQNVSMEAAGQVMSHHVPPMEDCKEQEPIMDNNISLVPFERPAVIEKLTGNMGKRKSSTPQKFVGEKLMRFSYPDIHFDMNLTYEKEAELMQSHMMDQAINNAITYLGAEALHPLMQHPPSTIAEVAPVISSAYSQVYHPNRIERPISRETADSHENNMDGPISLIRPKSRPQEREASPSNSCLDSTDSESSHDDHQSYQGHPALNPKRKQSPAYMKEDVKALDTTKAPKGSLKDIYKVFNGEGEQIRAFKCEHCRVLFLDHVMYTIHMGCHGYRDPLECNICGYRSQDRYEFSSHIVRGEHTFH
+>DECOY_sp|Q9UKS7|IKZF2_HUMAN Zinc finger protein Helios OS=Homo sapiens OX=9606 GN=IKZF2 PE=1 SV=2
+HFTHEGRVIHSSFEYRDQSRYGCINCELPDRYGHCGMHITYMVHDLFLVRCHECKFARIQEGEGNFVKYIDKLSGKPAKTTDLAKVDEKMYAPSQKRKPNLAPHGQYSQHDDHSSESDTSDLCSNSPSAEREQPRSKPRILSIPGDMNNEHSDATERSIPREIRNPHYVQSYASSIVPAVEAITSPPHQMLPHLAEAGLYTIANNIAQDMMHSQMLEAEKEYTLNMDFHIDPYSFRMLKEGVFKQPTSSKRKGMNGTLKEIVAPREFPVLSINNDMIPEQEKCDEMPPVHHSMVQGAAEMSVNQLYNHCREKHEELSSRQKYSRGCYNCKHPKGVSHTRLHGTLADRRRCAYSCFPCKFPKEGSHLKIHRLLNGKQTFSAGCQNCHFPREGTHSRKHVMLVNPGICVMGCVDCKLKGNPLRIGGEGQLEQVKRNDAVESSEILPEELSSGEDHGRIEDERSLPKRDCEEDSQMELKVSNTSTMHSPSAHQGNPTSSTLDIAMNSHEREPSLENDCTIYGDIAETEM
+>sp|Q9H5V7|IKZF5_HUMAN Zinc finger protein Pegasus OS=Homo sapiens OX=9606 GN=IKZF5 PE=1 SV=1
+MGEKKPEPLDFVKDFQEYLTQQTHHVNMISGSVSGDKEAEALQGAGTDGDQNGLDHPSVEVSLDENSGMLVDGFERTFDGKLKCRYCNYASKGTARLIEHIRIHTGEKPHRCHLCPFASAYERHLEAHMRSHTGEKPYKCELCSFRCSDRSNLSHHRRRKHKMVPIKGTRSSLSSKKMWGVLQKKTSNLGYSRRALINLSPPSMVVQKPDYLNDFTHEIPNIQTDSYESMAKTTPTGGLPRDPQELMVDNPLNQLSTLAGQLSSLPPENQNPASPDVVPCPDEKPFMIQQPSTQAVVSAVSASIPQSSSPTSPEPRPSHSQRNYSPVAGPSSEPSAHTSTPSIGNSQPSTPAPALPVQDPQLLHHCQHCDMYFADNILYTIHMGCHGYENPFQCNICGCKCKNKYDFACHFARGQHNQH
+>DECOY_sp|Q9H5V7|IKZF5_HUMAN Zinc finger protein Pegasus OS=Homo sapiens OX=9606 GN=IKZF5 PE=1 SV=1
+HQNHQGRAFHCAFDYKNKCKCGCINCQFPNEYGHCGMHITYLINDAFYMDCHQCHHLLQPDQVPLAPAPTSPQSNGISPTSTHASPESSPGAVPSYNRQSHSPRPEPSTPSSSQPISASVASVVAQTSPQQIMFPKEDPCPVVDPSAPNQNEPPLSSLQGALTSLQNLPNDVMLEQPDRPLGGTPTTKAMSEYSDTQINPIEHTFDNLYDPKQVVMSPPSLNILARRSYGLNSTKKQLVGWMKKSSLSSRTGKIPVMKHKRRRHHSLNSRDSCRFSCLECKYPKEGTHSRMHAELHREYASAFPCLHCRHPKEGTHIRIHEILRATGKSAYNCYRCKLKGDFTREFGDVLMGSNEDLSVEVSPHDLGNQDGDTGAGQLAEAEKDGSVSGSIMNVHHTQQTLYEQFDKVFDLPEPKKEGM
+>sp|P29459|IL12A_HUMAN Interleukin-12 subunit alpha OS=Homo sapiens OX=9606 GN=IL12A PE=1 SV=2
+MCPARSLLLVATLVLLDHLSLARNLPVATPDPGMFPCLHHSQNLLRAVSNMLQKARQTLEFYPCTSEEIDHEDITKDKTSTVEACLPLELTKNESCLNSRETSFITNGSCLASRKTSFMMALCLSSIYEDLKMYQVEFKTMNAKLLMDPKRQIFLDQNMLAVIDELMQALNFNSETVPQKSSLEEPDFYKTKIKLCILLHAFRIRAVTIDRVMSYLNAS
+>DECOY_sp|P29459|IL12A_HUMAN Interleukin-12 subunit alpha OS=Homo sapiens OX=9606 GN=IL12A PE=1 SV=2
+SANLYSMVRDITVARIRFAHLLICLKIKTKYFDPEELSSKQPVTESNFNLAQMLEDIVALMNQDLFIQRKPDMLLKANMTKFEVQYMKLDEYISSLCLAMMFSTKRSALCSGNTIFSTERSNLCSENKTLELPLCAEVTSTKDKTIDEHDIEESTCPYFELTQRAKQLMNSVARLLNQSHHLCPFMGPDPTAVPLNRALSLHDLLVLTAVLLLSRAPCM
+>sp|P14778|IL1R1_HUMAN Interleukin-1 receptor type 1 OS=Homo sapiens OX=9606 GN=IL1R1 PE=1 SV=1
+MKVLLRLICFIALLISSLEADKCKEREEKIILVSSANEIDVRPCPLNPNEHKGTITWYKDDSKTPVSTEQASRIHQHKEKLWFVPAKVEDSGHYYCVVRNSSYCLRIKISAKFVENEPNLCYNAQAIFKQKLPVAGDGGLVCPYMEFFKNENNELPKLQWYKDCKPLLLDNIHFSGVKDRLIVMNVAEKHRGNYTCHASYTYLGKQYPITRVIEFITLEENKPTRPVIVSPANETMEVDLGSQIQLICNVTGQLSDIAYWKWNGSVIDEDDPVLGEDYYSVENPANKRRSTLITVLNISEIESRFYKHPFTCFAKNTHGIDAAYIQLIYPVTNFQKHMIGICVTLTVIIVCSVFIYKIFKIDIVLWYRDSCYDFLPIKASDGKTYDAYILYPKTVGEGSTSDCDIFVFKVLPEVLEKQCGYKLFIYGRDDYVGEDIVEVINENVKKSRRLIIILVRETSGFSWLGGSSEEQIAMYNALVQDGIKVVLLELEKIQDYEKMPESIKFIKQKHGAIRWSGDFTQGPQSAKTRFWKNVRYHMPVQRRSPSSKHQLLSPATKEKLQREAHVPLG
+>DECOY_sp|P14778|IL1R1_HUMAN Interleukin-1 receptor type 1 OS=Homo sapiens OX=9606 GN=IL1R1 PE=1 SV=1
+GLPVHAERQLKEKTAPSLLQHKSSPSRRQVPMHYRVNKWFRTKASQPGQTFDGSWRIAGHKQKIFKISEPMKEYDQIKELELLVVKIGDQVLANYMAIQEESSGGLWSFGSTERVLIIILRRSKKVNENIVEVIDEGVYDDRGYIFLKYGCQKELVEPLVKFVFIDCDSTSGEGVTKPYLIYADYTKGDSAKIPLFDYCSDRYWLVIDIKFIKYIFVSCVIIVTLTVCIGIMHKQFNTVPYILQIYAADIGHTNKAFCTFPHKYFRSEIESINLVTILTSRRKNAPNEVSYYDEGLVPDDEDIVSGNWKWYAIDSLQGTVNCILQIQSGLDVEMTENAPSVIVPRTPKNEELTIFEIVRTIPYQKGLYTYSAHCTYNGRHKEAVNMVILRDKVGSFHINDLLLPKCDKYWQLKPLENNENKFFEMYPCVLGGDGAVPLKQKFIAQANYCLNPENEVFKASIKIRLCYSSNRVVCYYHGSDEVKAPVFWLKEKHQHIRSAQETSVPTKSDDKYWTITGKHENPNLPCPRVDIENASSVLIIKEEREKCKDAELSSILLAIFCILRLLVKM
+>sp|P27930|IL1R2_HUMAN Interleukin-1 receptor type 2 OS=Homo sapiens OX=9606 GN=IL1R2 PE=1 SV=1
+MLRLYVLVMGVSAFTLQPAAHTGAARSCRFRGRHYKREFRLEGEPVALRCPQVPYWLWASVSPRINLTWHKNDSARTVPGEEETRMWAQDGALWLLPALQEDSGTYVCTTRNASYCDKMSIELRVFENTDAFLPFISYPQILTLSTSGVLVCPDLSEFTRDKTDVKIQWYKDSLLLDKDNEKFLSVRGTTHLLVHDVALEDAGYYRCVLTFAHEGQQYNITRSIELRIKKKKEETIPVIISPLKTISASLGSRLTIPCKVFLGTGTPLTTMLWWTANDTHIESAYPGGRVTEGPRQEYSENNENYIEVPLIFDPVTREDLHMDFKCVVHNTLSFQTLRTTVKEASSTFSWGIVLAPLSLAFLVLGGIWMHRRCKHRTGKADGLTVLWPHHQDFQSYPK
+>DECOY_sp|P27930|IL1R2_HUMAN Interleukin-1 receptor type 2 OS=Homo sapiens OX=9606 GN=IL1R2 PE=1 SV=1
+KPYSQFDQHHPWLVTLGDAKGTRHKCRRHMWIGGLVLFALSLPALVIGWSFTSSAEKVTTRLTQFSLTNHVVCKFDMHLDERTVPDFILPVEIYNENNESYEQRPGETVRGGPYASEIHTDNATWWLMTTLPTGTGLFVKCPITLRSGLSASITKLPSIIVPITEEKKKKIRLEISRTINYQQGEHAFTLVCRYYGADELAVDHVLLHTTGRVSLFKENDKDLLLSDKYWQIKVDTKDRTFESLDPCVLVGSTSLTLIQPYSIFPLFADTNEFVRLEISMKDCYSANRTTCVYTGSDEQLAPLLWLAGDQAWMRTEEEGPVTRASDNKHWTLNIRPSVSAWLWYPVQPCRLAVPEGELRFERKYHRGRFRCSRAAGTHAAPQLTFASVGMVLVYLRLM
+>sp|Q8NEV9|IL27A_HUMAN Interleukin-27 subunit alpha OS=Homo sapiens OX=9606 GN=IL27 PE=1 SV=2
+MGQTAGDLGWRLSLLLLPLLLVQAGVWGFPRPPGRPQLSLQELRREFTVSLHLARKLLSEVRGQAHRFAESHLPGVNLYLLPLGEQLPDVSLTFQAWRRLSDPERLCFISTTLQPFHALLGGLGTQGRWTNMERMQLWAMRLDLRDLQRHLRFQVLAAGFNLPEEEEEEEEEEEEERKGLLPGALGSALQGPAQVSWPQLLSTYRLLHSLELVLSRAVRELLLLSKAGHSVWPLGFPTLSPQP
+>DECOY_sp|Q8NEV9|IL27A_HUMAN Interleukin-27 subunit alpha OS=Homo sapiens OX=9606 GN=IL27 PE=1 SV=2
+PQPSLTPFGLPWVSHGAKSLLLLERVARSLVLELSHLLRYTSLLQPWSVQAPGQLASGLAGPLLGKREEEEEEEEEEEEEPLNFGAALVQFRLHRQLDRLDLRMAWLQMREMNTWRGQTGLGGLLAHFPQLTTSIFCLREPDSLRRWAQFTLSVDPLQEGLPLLYLNVGPLHSEAFRHAQGRVESLLKRALHLSVTFERRLEQLSLQPRGPPRPFGWVGAQVLLLPLLLLSLRWGLDGATQGM
+>sp|P10145|IL8_HUMAN Interleukin-8 OS=Homo sapiens OX=9606 GN=CXCL8 PE=1 SV=1
+MTSKLAVALLAAFLISAALCEGAVLPRSAKELRCQCIKTYSKPFHPKFIKELRVIESGPHCANTEIIVKLSDGRELCLDPKENWVQRVVEKFLKRAENS
+>DECOY_sp|P10145|IL8_HUMAN Interleukin-8 OS=Homo sapiens OX=9606 GN=CXCL8 PE=1 SV=1
+SNEARKLFKEVVRQVWNEKPDLCLERGDSLKVIIETNACHPGSEIVRLEKIFKPHFPKSYTKICQCRLEKASRPLVAGECLAASILFAALLAVALKSTM
+>sp|A9QM74|IMA8_HUMAN Importin subunit alpha-8 OS=Homo sapiens OX=9606 GN=KPNA7 PE=1 SV=1
+MPTLDAPEERRRKFKYRGKDVSLRRQQRMAVSLELRKAKKDEQTLKRRNITSFCPDTPSEKTAKGVAVSLTLGEIIKGVNSSDPVLCFQATQTARKMLSQEKNPPLKLVIEAGLIPRMVEFLKSSLYPCLQFEAAWALTNIASGTSEQTRAVVEGGAIQPLIELLSSSNVAVCEQAVWALGNIAGDGPEFRDNVITSNAIPHLLALISPTLPITFLRNITWTLSNLCRNKNPYPCDTAVKQILPALLHLLQHQDSEVLSDACWALSYLTDGSNKRIGQVVNTGVLPRLVVLMTSSELNVLTPSLRTVGNIVTGTDEQTQMAIDAGMLNVLPQLLQHNKPSIQKEAAWALSNVAAGPCHHIQQLLAYDVLPPLVALLKNGEFKVQKEAVWMVANFATGATMDQLIQLVHSGVLEPLVNLLTAPDVKIVLIILDVISCILQAAEKRSEKENLCLLIEELGGIDRIEALQLHENRQIGQSALNIIEKHFGEEEDESQTLLSQVIDQDYEFIDYECLAKK
+>DECOY_sp|A9QM74|IMA8_HUMAN Importin subunit alpha-8 OS=Homo sapiens OX=9606 GN=KPNA7 PE=1 SV=1
+KKALCEYDIFEYDQDIVQSLLTQSEDEEEGFHKEIINLASQGIQRNEHLQLAEIRDIGGLEEILLCLNEKESRKEAAQLICSIVDLIILVIKVDPATLLNVLPELVGSHVLQILQDMTAGTAFNAVMWVAEKQVKFEGNKLLAVLPPLVDYALLQQIHHCPGAAVNSLAWAAEKQISPKNHQLLQPLVNLMGADIAMQTQEDTGTVINGVTRLSPTLVNLESSTMLVVLRPLVGTNVVQGIRKNSGDTLYSLAWCADSLVESDQHQLLHLLAPLIQKVATDCPYPNKNRCLNSLTWTINRLFTIPLTPSILALLHPIANSTIVNDRFEPGDGAINGLAWVAQECVAVNSSSLLEILPQIAGGEVVARTQESTGSAINTLAWAAEFQLCPYLSSKLFEVMRPILGAEIVLKLPPNKEQSLMKRATQTAQFCLVPDSSNVGKIIEGLTLSVAVGKATKESPTDPCFSTINRRKLTQEDKKAKRLELSVAMRQQRRLSVDKGRYKFKRRREEPADLTPM
+>sp|Q14974|IMB1_HUMAN Importin subunit beta-1 OS=Homo sapiens OX=9606 GN=KPNB1 PE=1 SV=2
+MELITILEKTVSPDRLELEAAQKFLERAAVENLPTFLVELSRVLANPGNSQVARVAAGLQIKNSLTSKDPDIKAQYQQRWLAIDANARREVKNYVLQTLGTETYRPSSASQCVAGIACAEIPVNQWPELIPQLVANVTNPNSTEHMKESTLEAIGYICQDIDPEQLQDKSNEILTAIIQGMRKEEPSNNVKLAATNALLNSLEFTKANFDKESERHFIMQVVCEATQCPDTRVRVAALQNLVKIMSLYYQYMETYMGPALFAITIEAMKSDIDEVALQGIEFWSNVCDEEMDLAIEASEAAEQGRPPEHTSKFYAKGALQYLVPILTQTLTKQDENDDDDDWNPCKAAGVCLMLLATCCEDDIVPHVLPFIKEHIKNPDWRYRDAAVMAFGCILEGPEPSQLKPLVIQAMPTLIELMKDPSVVVRDTAAWTVGRICELLPEAAINDVYLAPLLQCLIEGLSAEPRVASNVCWAFSSLAEAAYEAADVADDQEEPATYCLSSSFELIVQKLLETTDRPDGHQNNLRSSAYESLMEIVKNSAKDCYPAVQKTTLVIMERLQQVLQMESHIQSTSDRIQFNDLQSLLCATLQNVLRKVQHQDALQISDVVMASLLRMFQSTAGSGGVQEDALMAVSTLVEVLGGEFLKYMEAFKPFLGIGLKNYAEYQVCLAAVGLVGDLCRALQSNIIPFCDEVMQLLLENLGNENVHRSVKPQILSVFGDIALAIGGEFKKYLEVVLNTLQQASQAQVDKSDYDMVDYLNELRESCLEAYTGIVQGLKGDQENVHPDVMLVQPRVEFILSFIDHIAGDEDHTDGVVACAAGLIGDLCTAFGKDVLKLVEARPMIHELLTEGRRSKTNKAKTLATWATKELRKLKNQA
+>DECOY_sp|Q14974|IMB1_HUMAN Importin subunit beta-1 OS=Homo sapiens OX=9606 GN=KPNB1 PE=1 SV=2
+AQNKLKRLEKTAWTALTKAKNTKSRRGETLLEHIMPRAEVLKLVDKGFATCLDGILGAACAVVGDTHDEDGAIHDIFSLIFEVRPQVLMVDPHVNEQDGKLGQVIGTYAELCSERLENLYDVMDYDSKDVQAQSAQQLTNLVVELYKKFEGGIALAIDGFVSLIQPKVSRHVNENGLNELLLQMVEDCFPIINSQLARCLDGVLGVAALCVQYEAYNKLGIGLFPKFAEMYKLFEGGLVEVLTSVAMLADEQVGGSGATSQFMRLLSAMVVDSIQLADQHQVKRLVNQLTACLLSQLDNFQIRDSTSQIHSEMQLVQQLREMIVLTTKQVAPYCDKASNKVIEMLSEYASSRLNNQHGDPRDTTELLKQVILEFSSSLCYTAPEEQDDAVDAAEYAAEALSSFAWCVNSAVRPEASLGEILCQLLPALYVDNIAAEPLLECIRGVTWAATDRVVVSPDKMLEILTPMAQIVLPKLQSPEPGELICGFAMVAADRYRWDPNKIHEKIFPLVHPVIDDECCTALLMLCVGAAKCPNWDDDDDNEDQKTLTQTLIPVLYQLAGKAYFKSTHEPPRGQEAAESAEIALDMEEDCVNSWFEIGQLAVEDIDSKMAEITIAFLAPGMYTEMYQYYLSMIKVLNQLAAVRVRTDPCQTAECVVQMIFHRESEKDFNAKTFELSNLLANTAALKVNNSPEEKRMGQIIATLIENSKDQLQEPDIDQCIYGIAELTSEKMHETSNPNTVNAVLQPILEPWQNVPIEACAIGAVCQSASSPRYTETGLTQLVYNKVERRANADIALWRQQYQAKIDPDKSTLSNKIQLGAAVRAVQSNGPNALVRSLEVLFTPLNEVAARELFKQAAELELRDPSVTKELITILEM
+>sp|P20839|IMDH1_HUMAN Inosine-5'-monophosphate dehydrogenase 1 OS=Homo sapiens OX=9606 GN=IMPDH1 PE=1 SV=2
+MADYLISGGTGYVPEDGLTAQQLFASADGLTYNDFLILPGFIDFIADEVDLTSALTRKITLKTPLISSPMDTVTEADMAIAMALMGGIGFIHHNCTPEFQANEVRKVKKFEQGFITDPVVLSPSHTVGDVLEAKMRHGFSGIPITETGTMGSKLVGIVTSRDIDFLAEKDHTTLLSEVMTPRIELVVAPAGVTLKEANEILQRSKKGKLPIVNDCDELVAIIARTDLKKNRDYPLASKDSQKQLLCGAAVGTREDDKYRLDLLTQAGVDVIVLDSSQGNSVYQIAMVHYIKQKYPHLQVIGGNVVTAAQAKNLIDAGVDGLRVGMGCGSICITQEVMACGRPQGTAVYKVAEYARRFGVPIIADGGIQTVGHVVKALALGASTVMMGSLLAATTEAPGEYFFSDGVRLKKYRGMGSLDAMEKSSSSQKRYFSEGDKVKIAQGVSGSIQDKGSIQKFVPYLIAGIQHGCQDIGARSLSVLRSMMYSGELKFEKRTMSAQIEGGVHGLHSYEKRLY
+>DECOY_sp|P20839|IMDH1_HUMAN Inosine-5'-monophosphate dehydrogenase 1 OS=Homo sapiens OX=9606 GN=IMPDH1 PE=1 SV=2
+YLRKEYSHLGHVGGEIQASMTRKEFKLEGSYMMSRLVSLSRAGIDQCGHQIGAILYPVFKQISGKDQISGSVGQAIKVKDGESFYRKQSSSSKEMADLSGMGRYKKLRVGDSFFYEGPAETTAALLSGMMVTSAGLALAKVVHGVTQIGGDAIIPVGFRRAYEAVKYVATGQPRGCAMVEQTICISGCGMGVRLGDVGADILNKAQAATVVNGGIVQLHPYKQKIYHVMAIQYVSNGQSSDLVIVDVGAQTLLDLRYKDDERTGVAAGCLLQKQSDKSALPYDRNKKLDTRAIIAVLEDCDNVIPLKGKKSRQLIENAEKLTVGAPAVVLEIRPTMVESLLTTHDKEALFDIDRSTVIGVLKSGMTGTETIPIGSFGHRMKAELVDGVTHSPSLVVPDTIFGQEFKKVKRVENAQFEPTCNHHIFGIGGMLAMAIAMDAETVTDMPSSILPTKLTIKRTLASTLDVEDAIFDIFGPLILFDNYTLGDASAFLQQATLGDEPVYGTGGSILYDAM
+>sp|Q96LU5|IMP1L_HUMAN Mitochondrial inner membrane protease subunit 1 OS=Homo sapiens OX=9606 GN=IMMP1L PE=2 SV=1
+MLRGVLGKTFRLVGYTIQYGCIAHCAFEYVGGVVMCSGPSMEPTIQNSDIVFAENLSRHFYGIQRGDIVIAKSPSDPKSNICKRVIGLEGDKILTTSPSDFFKSHSYVPMGHVWLEGDNLQNSTDSRCYGPIPYGLIRGRIFFKIWPLSDFGFLRASPNGHRFSDD
+>DECOY_sp|Q96LU5|IMP1L_HUMAN Mitochondrial inner membrane protease subunit 1 OS=Homo sapiens OX=9606 GN=IMMP1L PE=2 SV=1
+DDSFRHGNPSARLFGFDSLPWIKFFIRGRILGYPIPGYCRSDTSNQLNDGELWVHGMPVYSHSKFFDSPSTTLIKDGELGIVRKCINSKPDSPSKAIVIDGRQIGYFHRSLNEAFVIDSNQITPEMSPGSCMVVGGVYEFACHAICGYQITYGVLRFTKGLVGRLM
+>sp|Q9NV31|IMP3_HUMAN U3 small nucleolar ribonucleoprotein protein IMP3 OS=Homo sapiens OX=9606 GN=IMP3 PE=1 SV=1
+MVRKLKFHEQKLLKQVDFLNWEVTDHNLHELRVLRRYRLQRREDYTRYNQLSRAVRELARRLRDLPERDQFRVRASAALLDKLYALGLVPTRGSLELCDFVTASSFCRRRLPTVLLKLRMAQHLQAAVAFVEQGHVRVGPDVVTDPAFLVTRSMEDFVTWVDSSKIKRHVLEYNEERDDFDLEA
+>DECOY_sp|Q9NV31|IMP3_HUMAN U3 small nucleolar ribonucleoprotein protein IMP3 OS=Homo sapiens OX=9606 GN=IMP3 PE=1 SV=1
+AELDFDDREENYELVHRKIKSSDVWTVFDEMSRTVLFAPDTVVDPGVRVHGQEVFAVAAQLHQAMRLKLLVTPLRRRCFSSATVFDCLELSGRTPVLGLAYLKDLLAASARVRFQDREPLDRLRRALERVARSLQNYRTYDERRQLRYRRLVRLEHLNHDTVEWNLFDVQKLLKQEHFKLKRVM
+>sp|O14732|IMPA2_HUMAN Inositol monophosphatase 2 OS=Homo sapiens OX=9606 GN=IMPA2 PE=1 SV=1
+MKPSGEDQAALAAGPWEECFQAAVQLALRAGQIIRKALTEEKRVSTKTSAADLVTETDHLVEDLIISELRERFPSHRFIAEEAAASGAKCVLTHSPTWIIDPIDGTCNFVHRFPTVAVSIGFAVRQELEFGVIYHCTEERLYTGRRGRGAFCNGQRLRVSGETDLSKALVLTEIGPKRDPATLKLFLSNMERLLHAKAHGVRVIGSSTLALCHLASGAADAYYQFGLHCWDLAAATVIIREAGGIVIDTSGGPLDLMACRVVAASTREMAMLIAQALQTINYGRDDEK
+>DECOY_sp|O14732|IMPA2_HUMAN Inositol monophosphatase 2 OS=Homo sapiens OX=9606 GN=IMPA2 PE=1 SV=1
+KEDDRGYNITQLAQAILMAMERTSAAVVRCAMLDLPGGSTDIVIGGAERIIVTAAALDWCHLGFQYYADAAGSALHCLALTSSGIVRVGHAKAHLLREMNSLFLKLTAPDRKPGIETLVLAKSLDTEGSVRLRQGNCFAGRGRRGTYLREETCHYIVGFELEQRVAFGISVAVTPFRHVFNCTGDIPDIIWTPSHTLVCKAGSAAAEEAIFRHSPFRERLESIILDEVLHDTETVLDAASTKTSVRKEETLAKRIIQGARLALQVAAQFCEEWPGAALAAQDEGSPKM
+>sp|Q9NX62|IMPA3_HUMAN Inositol monophosphatase 3 OS=Homo sapiens OX=9606 GN=IMPAD1 PE=1 SV=1
+MAPMGIRLSPLGVAVFCLLGLGVLYHLYSGFLAGRFSLFGLGGEPGGGAAGPAAAADGGTVDLREMLAVSVLAAVRGGDEVRRVRESNVLHEKSKGKTREGAEDKMTSGDVLSNRKMFYLLKTAFPSVQINTEEHVDAADQEVILWDHKIPEDILKEVTTPKEVPAESVTVWIDPLDATQEYTEDLRKYVTTMVCVAVNGKPMLGVIHKPFSEYTAWAMVDGGSNVKARSSYNEKTPRIVVSRSHSGMVKQVALQTFGNQTTIIPAGGAGYKVLALLDVPDKSQEKADLYIHVTYIKKWDICAGNAILKALGGHMTTLSGEEISYTGSDGIEGGLLASIRMNHQALVRKLPDLEKTGHK
+>DECOY_sp|Q9NX62|IMPA3_HUMAN Inositol monophosphatase 3 OS=Homo sapiens OX=9606 GN=IMPAD1 PE=1 SV=1
+KHGTKELDPLKRVLAQHNMRISALLGGEIGDSGTYSIEEGSLTTMHGGLAKLIANGACIDWKKIYTVHIYLDAKEQSKDPVDLLALVKYGAGGAPIITTQNGFTQLAVQKVMGSHSRSVVIRPTKENYSSRAKVNSGGDVMAWATYESFPKHIVGLMPKGNVAVCVMTTVYKRLDETYEQTADLPDIWVTVSEAPVEKPTTVEKLIDEPIKHDWLIVEQDAADVHEETNIQVSPFATKLLYFMKRNSLVDGSTMKDEAGERTKGKSKEHLVNSERVRRVEDGGRVAALVSVALMERLDVTGGDAAAAPGAAGGGPEGGLGFLSFRGALFGSYLHYLVGLGLLCFVAVGLPSLRIGMPAM
+>sp|Q3KP66|INAVA_HUMAN Innate immunity activator protein OS=Homo sapiens OX=9606 GN=INAVA PE=1 SV=2
+MLQMPKLNEIPPGRAGRREARGEGRWPGQTGPEAARLEWRAQGQAGGARAPWDSWGSSRLPTQPGPGWSRCPPSLLCALSFQKSTMESKDEVSDTDSGIILQSGPDSPVSPMKELTHAVHKQQRALEARLEACLEELRRLCLREAELTGTLPAEYPLKPGEKAPKVRRRIGAAYKLDDWALHREDPLSSLERQLALQLQITEAARRLCLEENLSRQARRQRKHSMLQEEKKLQELQRCLVERRRNSEPPPAAALPLGRELSASDDSSLSDGLLLEEEESQVPKPPPESPAPPSRPLPPQTLEGLQPTGPEAGSPERAPVQNSPWKETSLDHPYEKPRKSSEPWSESSSPATTPQDGPSASSLWLLEPASYHVVPIRGVPGQWQGRTSAPATPEIQGRRGQSQSLRVDSFRAGPEGRGRSAFPRRRPTHYTVTVPDSCFPATKPPLPHAACHSCSEDSGSDVSSISHPTSPGSSSPDISFLQPLSPPKTHRHRGAWVPAGSRELVAHHPKLLLPPGYFPAGRYVVVAESPLPPGEWELRRAAPGPAYEEEGTPLRYQRLVPSRSRIVRTPSLKDSPAGRGLSKAAVSEELKWWHERARLRSTRPHSLDRQGAFRVRSLPLGREGFGRALGPRAQVPTVCVLRRSPDGAPVQVFVPEKGEIISQV
+>DECOY_sp|Q3KP66|INAVA_HUMAN Innate immunity activator protein OS=Homo sapiens OX=9606 GN=INAVA PE=1 SV=2
+VQSIIEGKEPVFVQVPAGDPSRRLVCVTPVQARPGLARGFGERGLPLSRVRFAGQRDLSHPRTSRLRAREHWWKLEESVAAKSLGRGAPSDKLSPTRVIRSRSPVLRQYRLPTGEEEYAPGPAARRLEWEGPPLPSEAVVVYRGAPFYGPPLLLKPHHAVLERSGAPVWAGRHRHTKPPSLPQLFSIDPSSSGPSTPHSISSVDSGSDESCSHCAAHPLPPKTAPFCSDPVTVTYHTPRRRPFASRGRGEPGARFSDVRLSQSQGRRGQIEPTAPASTRGQWQGPVGRIPVVHYSAPELLWLSSASPGDQPTTAPSSSESWPESSKRPKEYPHDLSTEKWPSNQVPAREPSGAEPGTPQLGELTQPPLPRSPPAPSEPPPKPVQSEEEELLLGDSLSSDDSASLERGLPLAAAPPPESNRRREVLCRQLEQLKKEEQLMSHKRQRRAQRSLNEELCLRRAAETIQLQLALQRELSSLPDERHLAWDDLKYAAGIRRRVKPAKEGPKLPYEAPLTGTLEAERLCLRRLEELCAELRAELARQQKHVAHTLEKMPSVPSDPGSQLIIGSDTDSVEDKSEMTSKQFSLACLLSPPCRSWGPGPQTPLRSSGWSDWPARAGGAQGQARWELRAAEPGTQGPWRGEGRAERRGARGPPIENLKPMQLM
+>sp|Q9NQS7|INCE_HUMAN Inner centromere protein OS=Homo sapiens OX=9606 GN=INCENP PE=1 SV=3
+MGTTAPGPIHLLELCDQKLMEFLCNMDNKDLVWLEEIQEEAERMFTREFSKEPELMPKTPSQKNRRKKRRISYVQDENRDPIRRRLSRRKSRSSQLSSRRLRSKDSVEKLATVVGENGSVLRRVTRAAAAAAAATMALAAPSSPTPESPTMLTKKPEDNHTQCQLVPVVEIGISERQNAEQHVTQLMSTEPLPRTLSPTPASATAPTSQGIPTSDEESTPKKSKARILESITVSSLMATPQDPKGQGVGTGRSASKLRIAQVSPGPRDSPAFPDSPWRERVLAPILPDNFSTPTGSRTDSQSVRHSPIAPSSPSPQVLAQKYSLVAKQESVVRRASRRLAKKTAEEPAASGRIICHSYLERLLNVEVPQKVGSEQKEPPEEAEPVAAAEPEVPENNGNNSWPHNDTEIANSTPNPKPAASSPETPSAGQQEAKTDQADGPREPPQSARRKRSYKQAVSELDEEQHLEDEELQPPRSKTPSSPCPASKVVRPLRTFLHTVQRNQMLMTPTSAPRSVMKSFIKRNTPLRMDPKCSFVEKERQRLENLRRKEEAEQLRRQKVEEDKRRRLEEVKLKREERLRKVLQARERVEQMKEEKKKQIEQKFAQIDEKTEKAKEERLAEEKAKKKAAAKKMEEVEARRKQEEEARRLRWLQQEEEERRHQELLQKKKEEEQERLRKAAEAKRLAEQREQERREQERREQERREQERREQERREQERQLAEQERRREQERLQAERELQEREKALRLQKEQLQRELEEKKKKEEQQRLAERQLQEEQEKKAKEAAGASKALNVTVDVQSPACTSYQMTPQGHRAPPKINPDNYGMDLNSDDSTDDEAHPRKPIPTWARGTPLSQAIIHQYYHPPNLLELFGTILPLDLEDIFKKSKPRYHKRTSSAVWNSPPLQGARVPSSLAYSLKKH
+>DECOY_sp|Q9NQS7|INCE_HUMAN Inner centromere protein OS=Homo sapiens OX=9606 GN=INCENP PE=1 SV=3
+HKKLSYALSSPVRAGQLPPSNWVASSTRKHYRPKSKKFIDELDLPLITGFLELLNPPHYYQHIIAQSLPTGRAWTPIPKRPHAEDDTSDDSNLDMGYNDPNIKPPARHGQPTMQYSTCAPSQVDVTVNLAKSAGAAEKAKKEQEEQLQREALRQQEEKKKKEELERQLQEKQLRLAKEREQLEREAQLREQERRREQEALQREQERREQERREQERREQERREQERREQERQEALRKAEAAKRLREQEEEKKKQLLEQHRREEEEQQLWRLRRAEEEQKRRAEVEEMKKAAAKKKAKEEALREEKAKETKEDIQAFKQEIQKKKEEKMQEVRERAQLVKRLREERKLKVEELRRRKDEEVKQRRLQEAEEKRRLNELRQREKEVFSCKPDMRLPTNRKIFSKMVSRPASTPTMLMQNRQVTHLFTRLPRVVKSAPCPSSPTKSRPPQLEEDELHQEEDLESVAQKYSRKRRASQPPERPGDAQDTKAEQQGASPTEPSSAAPKPNPTSNAIETDNHPWSNNGNNEPVEPEAAAVPEAEEPPEKQESGVKQPVEVNLLRELYSHCIIRGSAAPEEATKKALRRSARRVVSEQKAVLSYKQALVQPSPSSPAIPSHRVSQSDTRSGTPTSFNDPLIPALVRERWPSDPFAPSDRPGPSVQAIRLKSASRGTGVGQGKPDQPTAMLSSVTISELIRAKSKKPTSEEDSTPIGQSTPATASAPTPSLTRPLPETSMLQTVHQEANQRESIGIEVVPVLQCQTHNDEPKKTLMTPSEPTPSSPAALAMTAAAAAAAARTVRRLVSGNEGVVTALKEVSDKSRLRRSSLQSSRSKRRSLRRRIPDRNEDQVYSIRRKKRRNKQSPTKPMLEPEKSFERTFMREAEEQIEELWVLDKNDMNCLFEMLKQDCLELLHIPGPATTGM
+>sp|P08476|INHBA_HUMAN Inhibin beta A chain OS=Homo sapiens OX=9606 GN=INHBA PE=1 SV=2
+MPLLWLRGFLLASCWIIVRSSPTPGSEGHSAAPDCPSCALAALPKDVPNSQPEMVEAVKKHILNMLHLKKRPDVTQPVPKAALLNAIRKLHVGKVGENGYVEIEDDIGRRAEMNELMEQTSEIITFAESGTARKTLHFEISKEGSDLSVVERAEVWLFLKVPKANRTRTKVTIRLFQQQKHPQGSLDTGEEAEEVGLKGERSELLLSEKVVDARKSTWHVFPVSSSIQRLLDQGKSSLDVRIACEQCQESGASLVLLGKKKKKEEEGEGKKKGGGEGGAGADEEKEQSHRPFLMLQARQSEDHPHRRRRRGLECDGKVNICCKKQFFVSFKDIGWNDWIIAPSGYHANYCEGECPSHIAGTSGSSLSFHSTVINHYRMRGHSPFANLKSCCVPTKLRPMSMLYYDDGQNIIKKDIQNMIVEECGCS
+>DECOY_sp|P08476|INHBA_HUMAN Inhibin beta A chain OS=Homo sapiens OX=9606 GN=INHBA PE=1 SV=2
+SCGCEEVIMNQIDKKIINQGDDYYLMSMPRLKTPVCCSKLNAFPSHGRMRYHNIVTSHFSLSSGSTGAIHSPCEGECYNAHYGSPAIIWDNWGIDKFSVFFQKKCCINVKGDCELGRRRRRHPHDESQRAQLMLFPRHSQEKEEDAGAGGEGGGKKKGEGEEEKKKKKGLLVLSAGSEQCQECAIRVDLSSKGQDLLRQISSSVPFVHWTSKRADVVKESLLLESREGKLGVEEAEEGTDLSGQPHKQQQFLRITVKTRTRNAKPVKLFLWVEAREVVSLDSGEKSIEFHLTKRATGSEAFTIIESTQEMLENMEARRGIDDEIEVYGNEGVKGVHLKRIANLLAAKPVPQTVDPRKKLHLMNLIHKKVAEVMEPQSNPVDKPLAALACSPCDPAASHGESGPTPSSRVIIWCSALLFGRLWLLPM
+>sp|Q9NPH2|INO1_HUMAN Inositol-3-phosphate synthase 1 OS=Homo sapiens OX=9606 GN=ISYNA1 PE=1 SV=1
+MEAAAQFFVESPDVVYGPEAIEAQYEYRTTRVSREGGVLKVHPTSTRFTFRTARQVPRLGVMLVGWGGNNGSTLTAAVLANRLRLSWPTRSGRKEANYYGSLTQAGTVSLGLDAEGQEVFVPFSAVLPMVAPNDLVFDGWDISSLNLAEAMRRAKVLDWGLQEQLWPHMEALRPRPSVYIPEFIAANQSARADNLIPGSRAQQLEQIRRDIRDFRSSAGLDKVIVLWTANTERFCEVIPGLNDTAENLLRTIELGLEVSPSTLFAVASILEGCAFLNGSPQNTLVPGALELAWQHRVFVGGDDFKSGQTKVKSVLVDFLIGSGLKTMSIVSYNHLGNNDGENLSAPLQFRSKEVSKSNVVDDMVQSNPVLYTPGEEPDHCVVIKYVPYVGDSKRALDEYTSELMLGGTNTLVLHNTCEDSLLAAPIMLDLALLTELCQRVSFCTDMDPEPQTFHPVLSLLSFLFKAPLVPPGSPVVNALFRQRSCIENILRACVGLPPQNHMLLEHKMERPGPSLKRVGPVAATYPMLNKKGPVPAATNGCTGDANGHLQEEPPMPTT
+>DECOY_sp|Q9NPH2|INO1_HUMAN Inositol-3-phosphate synthase 1 OS=Homo sapiens OX=9606 GN=ISYNA1 PE=1 SV=1
+TTPMPPEEQLHGNADGTCGNTAAPVPGKKNLMPYTAAVPGVRKLSPGPREMKHELLMHNQPPLGVCARLINEICSRQRFLANVVPSGPPVLPAKFLFSLLSLVPHFTQPEPDMDTCFSVRQCLETLLALDLMIPAALLSDECTNHLVLTNTGGLMLESTYEDLARKSDGVYPVYKIVVCHDPEEGPTYLVPNSQVMDDVVNSKSVEKSRFQLPASLNEGDNNGLHNYSVISMTKLGSGILFDVLVSKVKTQGSKFDDGGVFVRHQWALELAGPVLTNQPSGNLFACGELISAVAFLTSPSVELGLEITRLLNEATDNLGPIVECFRETNATWLVIVKDLGASSRFDRIDRRIQELQQARSGPILNDARASQNAAIFEPIYVSPRPRLAEMHPWLQEQLGWDLVKARRMAEALNLSSIDWGDFVLDNPAVMPLVASFPVFVEQGEADLGLSVTGAQTLSGYYNAEKRGSRTPWSLRLRNALVAATLTSGNNGGWGVLMVGLRPVQRATRFTFRTSTPHVKLVGGERSVRTTRYEYQAEIAEPGYVVDPSEVFFQAAAEM
+>sp|P49895|IOD1_HUMAN Type I iodothyronine deiodinase OS=Homo sapiens OX=9606 GN=DIO1 PE=2 SV=3
+MGLPQPGLWLKRLWVLLEVAVHVVVGKVLLILFPDRVKRNILAMGEKTGMTRNPHFSHDNWIPTFFSTQYFWFVLKVRWQRLEDTTELGGLAPNCPVVRLSGQRCNIWEFMQGNRPLVLNFGSCTUPSFMFKFDQFKRLIEDFSSIADFLVIYIEEAHASDGWAFKNNMDIRNHQNLQDRLQAAHLLLARSPQCPVVVDTMQNQSSQLYAALPERLYIIQEGRILYKGKSGPWNYNPEEVRAVLEKLHS
+>DECOY_sp|P49895|IOD1_HUMAN Type I iodothyronine deiodinase OS=Homo sapiens OX=9606 GN=DIO1 PE=2 SV=3
+SHLKELVARVEEPNYNWPGSKGKYLIRGEQIIYLREPLAAYLQSSQNQMTDVVVPCQPSRALLLHAAQLRDQLNQHNRIDMNNKFAWGDSAHAEEIYIVLFDAISSFDEILRKFQDFKFMFSPUTCSGFNLVLPRNGQMFEWINCRQGSLRVVPCNPALGGLETTDELRQWRVKLVFWFYQTSFFTPIWNDHSFHPNRTMGTKEGMALINRKVRDPFLILLVKGVVVHVAVELLVWLRKLWLGPQPLGM
+>sp|Q9UHH9|IP6K2_HUMAN Inositol hexakisphosphate kinase 2 OS=Homo sapiens OX=9606 GN=IP6K2 PE=1 SV=2
+MSPAFRAMDVEPRAKGVLLEPFVHQVGGHSCVLRFNETTLCKPLVPREHQFYETLPAEMRKFTPQYKGVVSVRFEEDEDRNLCLIAYPLKGDHGIVDIVDNSDCEPKSKLLRWTTNKKHHVLETEKTPKDWVRQHRKEEKMKSHKLEEEFEWLKKSEVLYYTVEKKGNISSQLKHYNPWSMKCHQQQLQRMKENAKHRNQYKFILLENLTSRYEVPCVLDLKMGTRQHGDDASEEKAANQIRKCQQSTSAVIGVRVCGMQVYQAGSGQLMFMNKYHGRKLSVQGFKEALFQFFHNGRYLRRELLGPVLKKLTELKAVLERQESYRFYSSSLLVIYDGKERPEVVLDSDAEDLEDLSEESADESAGAYAYKPIGASSVDVRMIDFAHTTCRLYGEDTVVHEGQDAGYIFGLQSLIDIVTEISEESGE
+>DECOY_sp|Q9UHH9|IP6K2_HUMAN Inositol hexakisphosphate kinase 2 OS=Homo sapiens OX=9606 GN=IP6K2 PE=1 SV=2
+EGSEESIETVIDILSQLGFIYGADQGEHVVTDEGYLRCTTHAFDIMRVDVSSAGIPKYAYAGASEDASEESLDELDEADSDLVVEPREKGDYIVLLSSSYFRYSEQRELVAKLETLKKLVPGLLERRLYRGNHFFQFLAEKFGQVSLKRGHYKNMFMLQGSGAQYVQMGCVRVGIVASTSQQCKRIQNAAKEESADDGHQRTGMKLDLVCPVEYRSTLNELLIFKYQNRHKANEKMRQLQQQHCKMSWPNYHKLQSSINGKKEVTYYLVESKKLWEFEEELKHSKMKEEKRHQRVWDKPTKETELVHHKKNTTWRLLKSKPECDSNDVIDVIGHDGKLPYAILCLNRDEDEEFRVSVVGKYQPTFKRMEAPLTEYFQHERPVLPKCLTTENFRLVCSHGGVQHVFPELLVGKARPEVDMARFAPSM
+>sp|Q9Y2B9|IPKG_HUMAN cAMP-dependent protein kinase inhibitor gamma OS=Homo sapiens OX=9606 GN=PKIG PE=2 SV=1
+MMEVESSYSDFISCDRTGRRNAVPDIQGDSEAVSVRKLAGDMGELALEGAEGQVEGSAPDKEAGNQPQSSDGTTSS
+>DECOY_sp|Q9Y2B9|IPKG_HUMAN cAMP-dependent protein kinase inhibitor gamma OS=Homo sapiens OX=9606 GN=PKIG PE=2 SV=1
+SSTTGDSSQPQNGAEKDPASGEVQGEAGELALEGMDGALKRVSVAESDGQIDPVANRRGTRDCSIFDSYSSEVEMM
+>sp|Q8TEX9|IPO4_HUMAN Importin-4 OS=Homo sapiens OX=9606 GN=IPO4 PE=1 SV=2
+MESAGLEQLLRELLLPDTERIRRATEQLQIVLRAPAALPALCDLLASAADPQIRQFAAVLTRRRLNTRWRRLAAEQRESLKSLILTALQRETEHCVSLSLAQLSATIFRKEGLEAWPQLLQLLQHSTHSPHSPEREMGLLLLSVVVTSRPEAFQPHHRELLRLLNETLGEVGSPGLLFYSLRTLTTMAPYLSTEDVPLARMLVPKLIMAMQTLIPIDEAKACEALEALDELLESEVPVITPYLSEVLTFCLEVARNVALGNAIRIRILCCLTFLVKVKSKALLKNRLLPPLLHTLFPIVAAEPPPGQLDPEDQDSEEEELEIELMGETPKHFAVQVVDMLALHLPPEKLCPQLMPMLEEALRSESPYQRKAGLLVLAVLSDGAGDHIRQRLLPPLLQIVCKGLEDPSQVVRNAALFALGQFSENLQPHISSYSREVMPLLLAYLKSVPLGHTHHLAKACYALENFVENLGPKVQPYLPELMECMLQLLRNPSSPRAKELAVSALGAIATAAQASLLPYFPAIMEHLREFLLTGREDLQPVQIQSLETLGVLARAVGEPMRPLAEECCQLGLGLCDQVDDPDLRRCTYSLFAALSGLMGEGLAPHLEQITTLMLLSLRSTEGIVPQYDGSSSFLLFDDESDGEEEEELMDEDVEEEDDSEISGYSVENAFFDEKEDTCAAVGEISVNTSVAFLPYMESVFEEVFKLLECPHLNVRKAAHEALGQFCCALHKACQSCPSEPNTAALQAALARVVPSYMQAVNRERERQVVMAVLEALTGVLRSCGTLTLKPPGRLAELCGVLKAVLQRKTACQDTDEEEEEEDDDQAEYDAMLLEHAGEAIPALAAAAGGDSFAPFFAGFLPLLVCKTKQGCTVAEKSFAVGTLAETIQGLGAASAQFVSRLLPVLLSTAQEADPEVRSNAIFGMGVLAEHGGHPAQEHFPKLLGLLFPLLARERHDRVRDNICGALARLLMASPTRKPEPQVLAALLHALPLKEDLEEWVTIGRLFSFLYQSSPDQVIDVAPELLRICSLILADNKIPPDTKAALLLLLTFLAKQHTDSFQAALGSLPVDKAQELQAVLGLS
+>DECOY_sp|Q8TEX9|IPO4_HUMAN Importin-4 OS=Homo sapiens OX=9606 GN=IPO4 PE=1 SV=2
+SLGLVAQLEQAKDVPLSGLAAQFSDTHQKALFTLLLLLAAKTDPPIKNDALILSCIRLLEPAVDIVQDPSSQYLFSFLRGITVWEELDEKLPLAHLLAALVQPEPKRTPSAMLLRALAGCINDRVRDHRERALLPFLLGLLKPFHEQAPHGGHEALVGMGFIANSRVEPDAEQATSLLVPLLRSVFQASAAGLGQITEALTGVAFSKEAVTCGQKTKCVLLPLFGAFFPAFSDGGAAAALAPIAEGAHELLMADYEAQDDDEEEEEEDTDQCATKRQLVAKLVGCLEALRGPPKLTLTGCSRLVGTLAELVAMVVQRERERNVAQMYSPVVRALAAQLAATNPESPCSQCAKHLACCFQGLAEHAAKRVNLHPCELLKFVEEFVSEMYPLFAVSTNVSIEGVAACTDEKEDFFANEVSYGSIESDDEEEVDEDMLEEEEEGDSEDDFLLFSSSGDYQPVIGETSRLSLLMLTTIQELHPALGEGMLGSLAAFLSYTCRRLDPDDVQDCLGLGLQCCEEALPRMPEGVARALVGLTELSQIQVPQLDERGTLLFERLHEMIAPFYPLLSAQAATAIAGLASVALEKARPSSPNRLLQLMCEMLEPLYPQVKPGLNEVFNELAYCAKALHHTHGLPVSKLYALLLPMVERSYSSIHPQLNESFQGLAFLAANRVVQSPDELGKCVIQLLPPLLRQRIHDGAGDSLVALVLLGAKRQYPSESRLAEELMPMLQPCLKEPPLHLALMDVVQVAFHKPTEGMLEIELEEEESDQDEPDLQGPPPEAAVIPFLTHLLPPLLRNKLLAKSKVKVLFTLCCLIRIRIANGLAVNRAVELCFTLVESLYPTIVPVESELLEDLAELAECAKAEDIPILTQMAMILKPVLMRALPVDETSLYPAMTTLTRLSYFLLGPSGVEGLTENLLRLLERHHPQFAEPRSTVVVSLLLLGMEREPSHPSHTSHQLLQLLQPWAELGEKRFITASLQALSLSVCHETERQLATLILSKLSERQEAALRRWRTNLRRRTLVAAFQRIQPDAASALLDCLAPLAAPARLVIQLQETARRIRETDPLLLERLLQELGASEM
+>sp|O15397|IPO8_HUMAN Importin-8 OS=Homo sapiens OX=9606 GN=IPO8 PE=1 SV=2
+MDLNRIIQALKGTIDPKLRIAAENELNQSYKIINFAPSLLRIIVSDHVEFPVRQAAAIYLKNMVTQYWPDREPPPGEAIFPFNIHENDRQQIRDNIVEGIIRSPDLVRVQLTMCLRAIIKHDFPGHWPGVVDKIDYYLQSQSSASWLGSLLCLYQLVKTYEYKKAEEREPLIIAMQIFLPRIQQQIVQLLPDSSYYSVLLQKQILKIFYALVQYALPLQLVNNQTMTTWMEIFRTIIDRTVPPETLHIDEDDRPELVWWKCKKWALHIVARLFERYGSPGNVTKEYFEFSEFFLKTYAVGIQQVLLKILDQYRQKEYVAPRVLQQAFNYLNQGVVHSITWKQMKPHIQNISEDVIFSVMCYKDEDEELWQEDPYEYIRMKFDIFEDYASPTTAAQTLLYTAAKKRKEVLPKMMAFCYQILTDPNFDPRKKDGALHVIGSLAEILLKKSLFKDQMELFLQNHVFPLLLSNLGYLRARSCWVLHAFSSLKFHNELNLRNAVELAKKSLIEDKEMPVKVEAALALQSLISNQIQAKEYMKPHVRPIMQELLHIVRETENDDVTNVIQKMICEYSQEVASIAVDMTQHLAEIFGKVLQSDEYEEVEDKTVMAMGILHTIDTILTVVEDHKEITQQLENICLRIIDLVLQKHVIEFYEEILSLAYSLTCHSISPQMWQLLGILYEVFQQDCFEYFTDMMPLLHNYVTIDTDTLLSNAKHLEILFTMCRKVLCGDAGEDAECHAAKLLEVIILQCKGRGIDQCIPLFVQLVLERLTRGVKTSELRTMCLQVAIAALYYNPDLLLHTLERIQLPHNPGPITVQFINQWMNDTDCFLGHHDRKMCIIGLSILLELQNRPPAVDAVVGQIVPSILFLFLGLKQVCATRQLVNREDRSKAEKADMEENEEISSDEEETNVTAQAMQSNNGRGEDEEEEDDDWDEEVLEETALEGFSTPLDLDNSVDEYQFFTQALITVQSRDAAWYQLLMAPLSEDQRTALQEVYTLAEHRRTVAEAKKKIEQQGGFTFENKGVLSAFNFGTVPSNN
+>DECOY_sp|O15397|IPO8_HUMAN Importin-8 OS=Homo sapiens OX=9606 GN=IPO8 PE=1 SV=2
+NNSPVTGFNFASLVGKNEFTFGGQQEIKKKAEAVTRRHEALTYVEQLATRQDESLPAMLLQYWAADRSQVTILAQTFFQYEDVSNDLDLPTSFGELATEELVEEDWDDDEEEEDEGRGNNSQMAQATVNTEEEDSSIEENEEMDAKEAKSRDERNVLQRTACVQKLGLFLFLISPVIQGVVADVAPPRNQLELLISLGIICMKRDHHGLFCDTDNMWQNIFQVTIPGPNHPLQIRELTHLLLDPNYYLAAIAVQLCMTRLESTKVGRTLRELVLQVFLPICQDIGRGKCQLIIVELLKAAHCEADEGADGCLVKRCMTFLIELHKANSLLTDTDITVYNHLLPMMDTFYEFCDQQFVEYLIGLLQWMQPSISHCTLSYALSLIEEYFEIVHKQLVLDIIRLCINELQQTIEKHDEVVTLITDITHLIGMAMVTKDEVEEYEDSQLVKGFIEALHQTMDVAISAVEQSYECIMKQIVNTVDDNETERVIHLLEQMIPRVHPKMYEKAQIQNSILSQLALAAEVKVPMEKDEILSKKALEVANRLNLENHFKLSSFAHLVWCSRARLYGLNSLLLPFVHNQLFLEMQDKFLSKKLLIEALSGIVHLAGDKKRPDFNPDTLIQYCFAMMKPLVEKRKKAATYLLTQAATTPSAYDEFIDFKMRIYEYPDEQWLEEDEDKYCMVSFIVDESINQIHPKMQKWTISHVVGQNLYNFAQQLVRPAVYEKQRYQDLIKLLVQQIGVAYTKLFFESFEFYEKTVNGPSGYREFLRAVIHLAWKKCKWWVLEPRDDEDIHLTEPPVTRDIITRFIEMWTTMTQNNVLQLPLAYQVLAYFIKLIQKQLLVSYYSSDPLLQVIQQQIRPLFIQMAIILPEREEAKKYEYTKVLQYLCLLSGLWSASSQSQLYYDIKDVVGPWHGPFDHKIIARLCMTLQVRVLDPSRIIGEVINDRIQQRDNEHINFPFIAEGPPPERDPWYQTVMNKLYIAAAQRVPFEVHDSVIIRLLSPAFNIIKYSQNLENEAAIRLKPDITGKLAQIIRNLDM
+>sp|O14990|IPP2C_HUMAN Protein phosphatase inhibitor 2 family member C OS=Homo sapiens OX=9606 GN=PPP1R2C PE=1 SV=1
+MSASTSSHRPIKGILKNKSSSGSSVATSGQQSGGTIQDVKRKKSQKWDESSILAAHRATYRDYDLMKANEPGTSYMSVQDNGEDSVRDVEGEDSVRGVEGKEATDASDHSCEVDEQESSEAYMRKILLHKQEKKRQFEMRRRLHYNEELNIKLARQLMWKELQSEDNENEETPQGTNEEKTAAEESEEAPLTGGLQTQSCDP
+>DECOY_sp|O14990|IPP2C_HUMAN Protein phosphatase inhibitor 2 family member C OS=Homo sapiens OX=9606 GN=PPP1R2C PE=1 SV=1
+PDCSQTQLGGTLPAEESEEAATKEENTGQPTEENENDESQLEKWMLQRALKINLEENYHLRRRMEFQRKKEQKHLLIKRMYAESSEQEDVECSHDSADTAEKGEVGRVSDEGEVDRVSDEGNDQVSMYSTGPENAKMLDYDRYTARHAALISSEDWKQSKKRKVDQITGGSQQGSTAVSSGSSSKNKLIGKIPRHSSTSASM
+>sp|Q9H8X2|IPPK_HUMAN Inositol-pentakisphosphate 2-kinase OS=Homo sapiens OX=9606 GN=IPPK PE=1 SV=1
+MEEGKMDENEWGYHGEGNKSLVVAHAQRCVVLRFLKFPPNRKKTSEEIFQHLQNIVDFGKNVMKEFLGENYVHYGEVVQLPLEFVKQLCLKIQSERPESRCDKDLDTLSGYAMCLPNLTRLQTYRFAEHRPILCVEIKPKCGFIPFSSDVTHEMKHKVCRYCMHQHLKVATGKWKQISKYCPLDLYSGNKQRMHFALKSLLQEAQNNLKIFKNGELIYGCKDARSPVADWSELAHHLKPFFFPSNGLASGPHCTRAVIRELVHVITRVLLSGSDKGRAGTLSPGLGPQGPRVCEASPFSRSLRCQGKNTPERSGLPKGCLLYKTLQVQMLDLLDIEGLYPLYNRVERYLEEFPEERKTLQIDGPYDEAFYQKLLDLSTEDDGTVAFALTKVQQYRVAMTAKDCSIMIALSPCLQDASSDQRPVVPSSRSRFAFSVSVLDLDLKPYESIPHQYKLDGKIVNYYSKTVRAKDNAVMSTRFKESEDCTLVLHKV
+>DECOY_sp|Q9H8X2|IPPK_HUMAN Inositol-pentakisphosphate 2-kinase OS=Homo sapiens OX=9606 GN=IPPK PE=1 SV=1
+VKHLVLTCDESEKFRTSMVANDKARVTKSYYNVIKGDLKYQHPISEYPKLDLDLVSVSFAFRSRSSPVVPRQDSSADQLCPSLAIMISCDKATMAVRYQQVKTLAFAVTGDDETSLDLLKQYFAEDYPGDIQLTKREEPFEELYREVRNYLPYLGEIDLLDLMQVQLTKYLLCGKPLGSREPTNKGQCRLSRSFPSAECVRPGQPGLGPSLTGARGKDSGSLLVRTIVHVLERIVARTCHPGSALGNSPFFFPKLHHALESWDAVPSRADKCGYILEGNKFIKLNNQAEQLLSKLAFHMRQKNGSYLDLPCYKSIQKWKGTAVKLHQHMCYRCVKHKMEHTVDSSFPIFGCKPKIEVCLIPRHEAFRYTQLRTLNPLCMAYGSLTDLDKDCRSEPRESQIKLCLQKVFELPLQVVEGYHVYNEGLFEKMVNKGFDVINQLHQFIEESTKKRNPPFKLFRLVVCRQAHAVVLSKNGEGHYGWENEDMKGEEM
+>sp|A8MTL0|IQCF5_HUMAN IQ domain-containing protein F5 OS=Homo sapiens OX=9606 GN=IQCF5 PE=2 SV=2
+MGPEEKTIMTERSAAVFIQAWWRGMLVRRTLLHAALRAWIIQCWWRQVLEKLLAKRRRMVLEFYVQQEWAAVRLQSWVRMWCVRQRYCRLLNAVRIIQVYWRWHSCHSRVFIEGHYELKENQLNIQLEISLGLQACKVQQCIPLPLKE
+>DECOY_sp|A8MTL0|IQCF5_HUMAN IQ domain-containing protein F5 OS=Homo sapiens OX=9606 GN=IQCF5 PE=2 SV=2
+EKLPLPICQQVKCAQLGLSIELQINLQNEKLEYHGEIFVRSHCSHWRWYVQIIRVANLLRCYRQRVCWMRVWSQLRVAAWEQQVYFELVMRRRKALLKELVQRWWCQIIWARLAAHLLTRRVLMGRWWAQIFVAASRETMITKEEPGM
+>sp|A0A1B0GVH7|IQCM_HUMAN IQ domain-containing protein M OS=Homo sapiens OX=9606 GN=IQCM PE=4 SV=1
+MTTEEAMPEKAKCPTLEITKQDFFQEAKTLIAQHYEKINENKVQGTSINVFRKKHQKPKSGKYIPLEIDKKVTRDVVQEHRAALRRICFPKELSKSEHLQEPPQRISFKEPHIFSRRERCRPIDLITKGQVKLDKIMTIIEPVSKKMETAKQQHFEESRNRMLELLYPFPVHLYLQPGTSNLELLKEPDKAFYDWRGFVLTRSFRLACDSRRVSFSQSSSIFRDYYSKTFKTLIKKERQPIKPEPKSQPRIKGTPNKTDKLDSKVKRIGPHIEIFQVFRERKKFMITPKLIRMVTVMQAHVRGWLERKRLQRVMTKALDHGPDMKAVINMYGRLIHRVRYRRGLWRTRQILNLAELEEWMDRKKFYEIMFAKREDWPKIERNELPNFFSDCGHFPTQKQVDDTWDLVHQDGKEKYSELIKKSKAIEMLFTLYPPEGAHVPDSTLLKSTWLRPIVNGEEGYRYIVNGHPALKRANIRVVGKLVARSIRERKMRQHYKSCKVE
+>DECOY_sp|A0A1B0GVH7|IQCM_HUMAN IQ domain-containing protein M OS=Homo sapiens OX=9606 GN=IQCM PE=4 SV=1
+EVKCSKYHQRMKRERISRAVLKGVVRINARKLAPHGNVIYRYGEEGNVIPRLWTSKLLTSDPVHAGEPPYLTFLMEIAKSKKILESYKEKGDQHVLDWTDDVQKQTPFHGCDSFFNPLENREIKPWDERKAFMIEYFKKRDMWEELEALNLIQRTRWLGRRYRVRHILRGYMNIVAKMDPGHDLAKTMVRQLRKRELWGRVHAQMVTVMRILKPTIMFKKRERFVQFIEIHPGIRKVKSDLKDTKNPTGKIRPQSKPEPKIPQREKKILTKFTKSYYDRFISSSQSFSVRRSDCALRFSRTLVFGRWDYFAKDPEKLLELNSTGPQLYLHVPFPYLLELMRNRSEEFHQQKATEMKKSVPEIITMIKDLKVQGKTILDIPRCRERRSFIHPEKFSIRQPPEQLHESKSLEKPFCIRRLAARHEQVVDRTVKKDIELPIYKGSKPKQHKKRFVNISTGQVKNENIKEYHQAILTKAEQFFDQKTIELTPCKAKEPMAEETTM
+>sp|Q9UPP2|IQEC3_HUMAN IQ motif and SEC7 domain-containing protein 3 OS=Homo sapiens OX=9606 GN=IQSEC3 PE=2 SV=3
+MESLLENPVRAVLYLKELTAIVQNQQSLIHTQRERIDELERRLDELSAENRSLWEHQQLLQAQPPPGLVPPSSAPLPAAPATAPAAAARAQEPLQDQGQRSAAAPHPAPDRPPRQHHGQLLEQPQRGPGSRAHTPQSPHKHLGTQGAVTDKEKERPPSCCAAAGALLQHKSPSALGKGVLSRRPENETVLHQFCCPAADACSDLASQSDGSCTQAGGGMEDSVVAAAAVAAGRPSAHAPKAQAQELQEEEERPGAGAASPRAGPQHKASPGRQQPALATALCPHAPAASDYELSLDLKNKQIEMLEHKYGGHLVSRRAACTIQTAFRQYQLSKNFEKIRNSLLESRLPRRISLRKVRSPTAESLAAEKALMEGYGLVGLPLVRSPSLPPTFAGTLTELEDSFTEQVQSLAKSIDDALSTWSLKTMCSLRESGAYQLHQALQAAAGPPGLEAEGRAPESAGPGPGDDAAETPGLPPAHSGTLMMAFRDVTVQIANQNISVSSSTALSVANCLGAQTVQAPAEPAAGKAEQGETSGREAPEAPAVGREDASAEDSCAEAAASGAADGATAPKTEEEEEEEETAEVGRGAEAEAGDLEQLSSSSTSTKSAKSGSEASASASKDALQAMILSLPRYHCENPASCKSPTLSTDTLRKRLYRIGLNLFNINPDKGIQFLISRGFIPDTPIGVAHFLLQRKGLSRQMIGEFLGNSKKQFNRDVLDCVVDEMDFSSMELDEALRKFQAHIRVQGEAQKVERLIEAFSQRYCMCNPEVVQQFHNPDTIFILAFAIILLNTDMYSPNIKPDRKMMLEDFIRNLRGVDDGADIPRELVVGIYERIQQKELKSNEDHVTYVTKVEKSIVGMKTVLSVPHRRLVCCSRLFEVTDVNKLQKQAAHQREVFLFNDLLVILKLCPKKKSSSTYTFCKSVGLLGMQFQLFENEYYSHGITLVTPLSGSEKKQVLHFCALGSDEMQKFVEDLKESIAEVTELEQIRIEWELEKQQGTKTLSFKPCGAQGDPQSKQGSPTAKREAALRERPAESTVEVSIHNRLQTSQHNSGLGAERGAPVPPPDLQPSPPRQQTPPLPPPPPTPPGTLVQCQQIVKVIVLDKPCLARMEPLLSQALSCYTSSSSDSCGSTPLGGPGSPVKVTHQPPLPPPPPPYNHPHQFCPPGSLLHGHRYSSGSRSLV
+>DECOY_sp|Q9UPP2|IQEC3_HUMAN IQ motif and SEC7 domain-containing protein 3 OS=Homo sapiens OX=9606 GN=IQSEC3 PE=2 SV=3
+VLSRSGSSYRHGHLLSGPPCFQHPHNYPPPPPPLPPQHTVKVPSGPGGLPTSGCSDSSSSTYCSLAQSLLPEMRALCPKDLVIVKVIQQCQVLTGPPTPPPPPLPPTQQRPPSPQLDPPPVPAGREAGLGSNHQSTQLRNHISVEVTSEAPRERLAAERKATPSGQKSQPDGQAGCPKFSLTKTGQQKELEWEIRIQELETVEAISEKLDEVFKQMEDSGLACFHLVQKKESGSLPTVLTIGHSYYENEFLQFQMGLLGVSKCFTYTSSSKKKPCLKLIVLLDNFLFVERQHAAQKQLKNVDTVEFLRSCCVLRRHPVSLVTKMGVISKEVKTVYTVHDENSKLEKQQIREYIGVVLERPIDAGDDVGRLNRIFDELMMKRDPKINPSYMDTNLLIIAFALIFITDPNHFQQVVEPNCMCYRQSFAEILREVKQAEGQVRIHAQFKRLAEDLEMSSFDMEDVVCDLVDRNFQKKSNGLFEGIMQRSLGKRQLLFHAVGIPTDPIFGRSILFQIGKDPNINFLNLGIRYLRKRLTDTSLTPSKCSAPNECHYRPLSLIMAQLADKSASASAESGSKASKTSTSSSSLQELDGAEAEAGRGVEATEEEEEEEETKPATAGDAAGSAAAEACSDEASADERGVAPAEPAERGSTEGQEAKGAAPEAPAQVTQAGLCNAVSLATSSSVSINQNAIQVTVDRFAMMLTGSHAPPLGPTEAADDGPGPGASEPARGEAELGPPGAAAQLAQHLQYAGSERLSCMTKLSWTSLADDISKALSQVQETFSDELETLTGAFTPPLSPSRVLPLGVLGYGEMLAKEAALSEATPSRVKRLSIRRPLRSELLSNRIKEFNKSLQYQRFATQITCAARRSVLHGGYKHELMEIQKNKLDLSLEYDSAAPAHPCLATALAPQQRGPSAKHQPGARPSAAGAGPREEEEQLEQAQAKPAHASPRGAAVAAAAVVSDEMGGGAQTCSGDSQSALDSCADAAPCCFQHLVTENEPRRSLVGKGLASPSKHQLLAGAAACCSPPREKEKDTVAGQTGLHKHPSQPTHARSGPGRQPQELLQGHHQRPPRDPAPHPAAASRQGQDQLPEQARAAAAPATAPAAPLPASSPPVLGPPPQAQLLQQHEWLSRNEASLEDLRRELEDIRERQTHILSQQNQVIATLEKLYLVARVPNELLSEM
+>sp|Q13576|IQGA2_HUMAN Ras GTPase-activating-like protein IQGAP2 OS=Homo sapiens OX=9606 GN=IQGAP2 PE=1 SV=4
+MPHEELPSLQRPRYGSIVDDERLSAEEMDERRRQNIAYEYLCHLEEAKRWMEVCLVEELPPTTELEEGLRNGVYLAKLAKFFAPKMVSEKKIYDVEQTRYKKSGLHFRHTDNTVQWLRAMESIGLPKIFYPETTDVYDRKNIPRMIYCIHALSLYLFKLGIAPQIQDLLGKVDFTEEEISNMRKELEKYGIQMPSFSKIGGILANELSVDEAALHAAVIAINEAVEKGIAEQTVVTLRNPNAVLTLVDDNLAPEYQKELWDAKKKKEENARLKNSCISEEERDAYEELLTQAEIQGNINKVNRQAAVDHINAVIPEGDPENTLLALKKPEAQLPAVYPFAAAMYQNELFNLQKQNTMNYLAHEELLIAVEMLSAVALLNQALESNDLVSVQNQLRSPAIGLNNLDKAYVERYANTLLSVKLEVLSQGQDNLSWNEIQNCIDMVNAQIQEENDRVVAVGYINEAIDEGNPLRTLETLLLPTANISDVDPAHAQHYQDVLYHAKSQKLGDSESVSKVLWLDEIQQAVDDANVDKDRAKQWVTLVVDVNQCLEGKKSSDILSVLKSSTSNANDIIPECADKYYDALVKAKELKSERVSSDGSWLKLNLHKKYDYYYNTDSKESSWVTPESCLYKESWLTGKEIEDIIEEVTVGYIRENIWSASEELLLRFQATSSGPILREEFEARKSFLHEQEENVVKIQAFWKGYKQRKEYMHRRQTFIDNTDSIVKIQSWFRMATARKSYLSRLQYFRDHNNEIVKIQSLLRANKARDDYKTLVGSENPPLTVIRKFVYLLDQSDLDFQEELEVARLREEVVTKIRANQQLEKDLNLMDIKIGLLVKNRITLEDVISHSKKLNKKKGGEMEILNNTDNQGIKSLSKERRKTLETYQQLFYLLQTNPLYLAKLIFQMPQNKSTKFMDTVIFTLYNYASNQREEYLLLKLFKTALEEEIKSKVDQVQDIVTGNPTVIKMVVSFNRGARGQNTLRQLLAPVVKEIIDDKSLIINTNPVEVYKAWVNQLETQTGEASKLPYDVTTEQALTYPEVKNKLEASIENLRRVTDKVLNSIISSLDLLPYGLRYIAKVLKNSIHEKFPDATEDELLKIVGNLLYYRYMNPAIVAPDGFDIIDMTAGGQINSDQRRNLGSVAKVLQHAASNKLFEGENEHLSSMNNYLSETYQEFRKYFKEACNVPEPEEKFNMDKYTDLVTVSKPVIYISIEEIISTHSLLLEHQDAIAPEKNDLLSELLGSLGEVPTVESFLGEGAVDPNDPNKANTLSQLSKTEISLVLTSKYDIEDGEAIDSRSLMIKTKKLIIDVIRNQPGNTLTEILETPATAQQEVDHATDMVSRAMIDSRTPEEMKHSQSMIEDAQLPLEQKKRKIQRNLRTLEQTGHVSSENKYQDILNEIAKDIRNQRIYRKLRKAELAKLQQTLNALNKKAAFYEEQINYYDTYIKTCLDNLKRKNTRRSIKLDGKGEPKGAKRAKPVKYTAAKLHEKGVLLDIDDLQTNQFKNVTFDIIATEDVGIFDVRSKFLGVEMEKVQLNIQDLLQMQYEGVAVMKMFDKVKVNVNLLIYLLNKKFYGK
+>DECOY_sp|Q13576|IQGA2_HUMAN Ras GTPase-activating-like protein IQGAP2 OS=Homo sapiens OX=9606 GN=IQGAP2 PE=1 SV=4
+KGYFKKNLLYILLNVNVKVKDFMKMVAVGEYQMQLLDQINLQVKEMEVGLFKSRVDFIGVDETAIIDFTVNKFQNTQLDDIDLLVGKEHLKAATYKVPKARKAGKPEGKGDLKISRRTNKRKLNDLCTKIYTDYYNIQEEYFAAKKNLANLTQQLKALEAKRLKRYIRQNRIDKAIENLIDQYKNESSVHGTQELTRLNRQIKRKKQELPLQADEIMSQSHKMEEPTRSDIMARSVMDTAHDVEQQATAPTELIETLTNGPQNRIVDIILKKTKIMLSRSDIAEGDEIDYKSTLVLSIETKSLQSLTNAKNPDNPDVAGEGLFSEVTPVEGLSGLLESLLDNKEPAIADQHELLLSHTSIIEEISIYIVPKSVTVLDTYKDMNFKEEPEPVNCAEKFYKRFEQYTESLYNNMSSLHENEGEFLKNSAAHQLVKAVSGLNRRQDSNIQGGATMDIIDFGDPAVIAPNMYRYYLLNGVIKLLEDETADPFKEHISNKLVKAIYRLGYPLLDLSSIISNLVKDTVRRLNEISAELKNKVEPYTLAQETTVDYPLKSAEGTQTELQNVWAKYVEVPNTNIILSKDDIIEKVVPALLQRLTNQGRAGRNFSVVMKIVTPNGTVIDQVQDVKSKIEEELATKFLKLLLYEERQNSAYNYLTFIVTDMFKTSKNQPMQFILKALYLPNTQLLYFLQQYTELTKRREKSLSKIGQNDTNNLIEMEGGKKKNLKKSHSIVDELTIRNKVLLGIKIDMLNLDKELQQNARIKTVVEERLRAVELEEQFDLDSQDLLYVFKRIVTLPPNESGVLTKYDDRAKNARLLSQIKVIENNHDRFYQLRSLYSKRATAMRFWSQIKVISDTNDIFTQRRHMYEKRQKYGKWFAQIKVVNEEQEHLFSKRAEFEERLIPGSSTAQFRLLLEESASWINERIYGVTVEEIIDEIEKGTLWSEKYLCSEPTVWSSEKSDTNYYYDYKKHLNLKLWSGDSSVRESKLEKAKVLADYYKDACEPIIDNANSTSSKLVSLIDSSKKGELCQNVDVVLTVWQKARDKDVNADDVAQQIEDLWLVKSVSESDGLKQSKAHYLVDQYHQAHAPDVDSINATPLLLTELTRLPNGEDIAENIYGVAVVRDNEEQIQANVMDICNQIENWSLNDQGQSLVELKVSLLTNAYREVYAKDLNNLGIAPSRLQNQVSVLDNSELAQNLLAVASLMEVAILLEEHALYNMTNQKQLNFLENQYMAAAFPYVAPLQAEPKKLALLTNEPDGEPIVANIHDVAAQRNVKNINGQIEAQTLLEEYADREEESICSNKLRANEEKKKKADWLEKQYEPALNDDVLTLVANPNRLTVVTQEAIGKEVAENIAIVAAHLAAEDVSLENALIGGIKSFSPMQIGYKELEKRMNSIEEETFDVKGLLDQIQPAIGLKFLYLSLAHICYIMRPINKRDYVDTTEPYFIKPLGISEMARLWQVTNDTHRFHLGSKKYRTQEVDYIKKESVMKPAFFKALKALYVGNRLGEELETTPPLEEVLCVEMWRKAEELHCLYEYAINQRRREDMEEASLREDDVISGYRPRQLSPLEEHPM
+>sp|Q9Y5U9|IR3IP_HUMAN Immediate early response 3-interacting protein 1 OS=Homo sapiens OX=9606 GN=IER3IP1 PE=1 SV=1
+MAFTLYSLLQAALLCVNAIAVLHEERFLKNIGWGTDQGIGGFGEEPGIKSQLMNLIRSVRTVMRVPLIIVNSIAIVLLLLFG
+>DECOY_sp|Q9Y5U9|IR3IP_HUMAN Immediate early response 3-interacting protein 1 OS=Homo sapiens OX=9606 GN=IER3IP1 PE=1 SV=1
+GFLLLLVIAISNVIILPVRMVTRVSRILNMLQSKIGPEEGFGGIGQDTGWGINKLFREEHLVAIANVCLLAAQLLSYLTFAM
+>sp|Q14240|IF4A2_HUMAN Eukaryotic initiation factor 4A-II OS=Homo sapiens OX=9606 GN=EIF4A2 PE=1 SV=2
+MSGGSADYNREHGGPEGMDPDGVIESNWNEIVDNFDDMNLKESLLRGIYAYGFEKPSAIQQRAIIPCIKGYDVIAQAQSGTGKTATFAISILQQLEIEFKETQALVLAPTRELAQQIQKVILALGDYMGATCHACIGGTNVRNEMQKLQAEAPHIVVGTPGRVFDMLNRRYLSPKWIKMFVLDEADEMLSRGFKDQIYEIFQKLNTSIQVVLLSATMPTDVLEVTKKFMRDPIRILVKKEELTLEGIKQFYINVEREEWKLDTLCDLYETLTITQAVIFLNTRRKVDWLTEKMHARDFTVSALHGDMDQKERDVIMREFRSGSSRVLITTDLLARGIDVQQVSLVINYDLPTNRENYIHRIGRGGRFGRKGVAINFVTEEDKRILRDIETFYNTTVEEMPMNVADLI
+>DECOY_sp|Q14240|IF4A2_HUMAN Eukaryotic initiation factor 4A-II OS=Homo sapiens OX=9606 GN=EIF4A2 PE=1 SV=2
+ILDAVNMPMEEVTTNYFTEIDRLIRKDEETVFNIAVGKRGFRGGRGIRHIYNERNTPLDYNIVLSVQQVDIGRALLDTTILVRSSGSRFERMIVDREKQDMDGHLASVTFDRAHMKETLWDVKRRTNLFIVAQTITLTEYLDCLTDLKWEEREVNIYFQKIGELTLEEKKVLIRIPDRMFKKTVELVDTPMTASLLVVQISTNLKQFIEYIQDKFGRSLMEDAEDLVFMKIWKPSLYRRNLMDFVRGPTGVVIHPAEAQLKQMENRVNTGGICAHCTAGMYDGLALIVKQIQQALERTPALVLAQTEKFEIELQQLISIAFTATKGTGSQAQAIVDYGKICPIIARQQIASPKEFGYAYIGRLLSEKLNMDDFNDVIENWNSEIVGDPDMGEPGGHERNYDASGGSM
+>sp|P38919|IF4A3_HUMAN Eukaryotic initiation factor 4A-III OS=Homo sapiens OX=9606 GN=EIF4A3 PE=1 SV=4
+MATTATMATSGSARKRLLKEEDMTKVEFETSEEVDVTPTFDTMGLREDLLRGIYAYGFEKPSAIQQRAIKQIIKGRDVIAQSQSGTGKTATFSISVLQCLDIQVRETQALILAPTRELAVQIQKGLLALGDYMNVQCHACIGGTNVGEDIRKLDYGQHVVAGTPGRVFDMIRRRSLRTRAIKMLVLDEADEMLNKGFKEQIYDVYRYLPPATQVVLISATLPHEILEMTNKFMTDPIRILVKRDELTLEGIKQFFVAVEREEWKFDTLCDLYDTLTITQAVIFCNTKRKVDWLTEKMREANFTVSSMHGDMPQKERESIMKEFRSGASRVLISTDVWARGLDVPQVSLIINYDLPNNRELYIHRIGRSGRYGRKGVAINFVKNDDIRILRDIEQYYSTQIDEMPMNVADLI
+>DECOY_sp|P38919|IF4A3_HUMAN Eukaryotic initiation factor 4A-III OS=Homo sapiens OX=9606 GN=EIF4A3 PE=1 SV=4
+ILDAVNMPMEDIQTSYYQEIDRLIRIDDNKVFNIAVGKRGYRGSRGIRHIYLERNNPLDYNIILSVQPVDLGRAWVDTSILVRSAGSRFEKMISEREKQPMDGHMSSVTFNAERMKETLWDVKRKTNCFIVAQTITLTDYLDCLTDFKWEEREVAVFFQKIGELTLEDRKVLIRIPDTMFKNTMELIEHPLTASILVVQTAPPLYRYVDYIQEKFGKNLMEDAEDLVLMKIARTRLSRRRIMDFVRGPTGAVVHQGYDLKRIDEGVNTGGICAHCQVNMYDGLALLGKQIQVALERTPALILAQTERVQIDLCQLVSISFTATKGTGSQSQAIVDRGKIIQKIARQQIASPKEFGYAYIGRLLDERLGMTDFTPTVDVEESTEFEVKTMDEEKLLRKRASGSTAMTATTAM
+>sp|P63241|IF5A1_HUMAN Eukaryotic translation initiation factor 5A-1 OS=Homo sapiens OX=9606 GN=EIF5A PE=1 SV=2
+MADDLDFETGDAGASATFPMQCSALRKNGFVVLKGRPCKIVEMSTSKTGKHGHAKVHLVGIDIFTGKKYEDICPSTHNMDVPNIKRNDFQLIGIQDGYLSLLQDSGEVREDLRLPEGDLGKEIEQKYDCGEEILITVLSAMTEEAAVAIKAMAK
+>DECOY_sp|P63241|IF5A1_HUMAN Eukaryotic translation initiation factor 5A-1 OS=Homo sapiens OX=9606 GN=EIF5A PE=1 SV=2
+KAMAKIAVAAEETMASLVTILIEEGCDYKQEIEKGLDGEPLRLDERVEGSDQLLSLYGDQIGILQFDNRKINPVDMNHTSPCIDEYKKGTFIDIGVLHVKAHGHKGTKSTSMEVIKCPRGKLVVFGNKRLASCQMPFTASAGADGTEFDLDDAM
+>sp|P55010|IF5_HUMAN Eukaryotic translation initiation factor 5 OS=Homo sapiens OX=9606 GN=EIF5 PE=1 SV=2
+MSVNVNRSVSDQFYRYKMPRLIAKVEGKGNGIKTVIVNMVDVAKALNRPPTYPTKYFGCELGAQTQFDVKNDRYIVNGSHEANKLQDMLDGFIKKFVLCPECENPETDLHVNPKKQTIGNSCKACGYRGMLDTHHKLCTFILKNPPENSDSGTGKKEKEKKNRKGKDKENGSVSSSETPPPPPPPNEINPPPHTMEEEEDDDWGEDTTEEAQRRRMDEISDHAKVLTLSDDLERTIEERVNILFDFVKKKKEEGVIDSSDKEIVAEAERLDVKAMGPLVLTEVLFNEKIREQIKKYRRHFLRFCHNNKKAQRYLLHGLECVVAMHQAQLISKIPHILKEMYDADLLEEEVIISWSEKASKKYVSKELAKEIRVKAEPFIKWLKEAEEESSGGEEEDEDENIEVVYSKAASVPKVETVKSDNKDDDIDIDAI
+>DECOY_sp|P55010|IF5_HUMAN Eukaryotic translation initiation factor 5 OS=Homo sapiens OX=9606 GN=EIF5 PE=1 SV=2
+IADIDIDDDKNDSKVTEVKPVSAAKSYVVEINEDEDEEEGGSSEEEAEKLWKIFPEAKVRIEKALEKSVYKKSAKESWSIIVEEELLDADYMEKLIHPIKSILQAQHMAVVCELGHLLYRQAKKNNHCFRLFHRRYKKIQERIKENFLVETLVLPGMAKVDLREAEAVIEKDSSDIVGEEKKKKVFDFLINVREEITRELDDSLTLVKAHDSIEDMRRRQAEETTDEGWDDDEEEEMTHPPPNIENPPPPPPPTESSSVSGNEKDKGKRNKKEKEKKGTGSDSNEPPNKLIFTCLKHHTDLMGRYGCAKCSNGITQKKPNVHLDTEPNECEPCLVFKKIFGDLMDQLKNAEHSGNVIYRDNKVDFQTQAGLECGFYKTPYTPPRNLAKAVDVMNVIVTKIGNGKGEVKAILRPMKYRYFQDSVSRNVNVSM
+>sp|P09912|IFI6_HUMAN Interferon alpha-inducible protein 6 OS=Homo sapiens OX=9606 GN=IFI6 PE=2 SV=2
+MRQKAVSLFLCYLLLFTCSGVEAGKKKCSESSDSGSGFWKALTFMAVGGGLAVAGLPALGFTGAGIAANSVAASLMSWSAILNGGGVPAGGLVATLQSLGAGGSSVVIGNIGALMGYATHKYLDSEEDEE
+>DECOY_sp|P09912|IFI6_HUMAN Interferon alpha-inducible protein 6 OS=Homo sapiens OX=9606 GN=IFI6 PE=2 SV=2
+EEDEESDLYKHTAYGMLAGINGIVVSSGGAGLSQLTAVLGGAPVGGGNLIASWSMLSAAVSNAAIGAGTFGLAPLGAVALGGGVAMFTLAKWFGSGSDSSESCKKKGAEVGSCTFLLLYCLFLSVAKQRM
+>sp|Q9BYX4|IFIH1_HUMAN Interferon-induced helicase C domain-containing protein 1 OS=Homo sapiens OX=9606 GN=IFIH1 PE=1 SV=3
+MSNGYSTDENFRYLISCFRARVKMYIQVEPVLDYLTFLPAEVKEQIQRTVATSGNMQAVELLLSTLEKGVWHLGWTREFVEALRRTGSPLAARYMNPELTDLPSPSFENAHDEYLQLLNLLQPTLVDKLLVRDVLDKCMEEELLTIEDRNRIAAAENNGNESGVRELLKRIVQKENWFSAFLNVLRQTGNNELVQELTGSDCSESNAEIENLSQVDGPQVEEQLLSTTVQPNLEKEVWGMENNSSESSFADSSVVSESDTSLAEGSVSCLDESLGHNSNMGSDSGTMGSDSDEENVAARASPEPELQLRPYQMEVAQPALEGKNIIICLPTGSGKTRVAVYIAKDHLDKKKKASEPGKVIVLVNKVLLVEQLFRKEFQPFLKKWYRVIGLSGDTQLKISFPEVVKSCDIIISTAQILENSLLNLENGEDAGVQLSDFSLIIIDECHHTNKEAVYNNIMRHYLMQKLKNNRLKKENKPVIPLPQILGLTASPGVGGATKQAKAEEHILKLCANLDAFTIKTVKENLDQLKNQIQEPCKKFAIADATREDPFKEKLLEIMTRIQTYCQMSPMSDFGTQPYEQWAIQMEKKAAKEGNRKERVCAEHLRKYNEALQINDTIRMIDAYTHLETFYNEEKDKKFAVIEDDSDEGGDDEYCDGDEDEDDLKKPLKLDETDRFLMTLFFENNKMLKRLAENPEYENEKLTKLRNTIMEQYTRTEESARGIIFTKTRQSAYALSQWITENEKFAEVGVKAHHLIGAGHSSEFKPMTQNEQKEVISKFRTGKINLLIATTVAEEGLDIKECNIVIRYGLVTNEIAMVQARGRARADESTYVLVAHSGSGVIEHETVNDFREKMMYKAIHCVQNMKPEEYAHKILELQMQSIMEKKMKTKRNIAKHYKNNPSLITFLCKNCSVLACSGEDIHVIEKMHHVNMTPEFKELYIVRENKALQKKCADYQINGEIICKCGQAWGTMMVHKGLDLPCLKIRNFVVVFKNNSTKKQYKKWVELPITFPNLDYSECCLFSDED
+>DECOY_sp|Q9BYX4|IFIH1_HUMAN Interferon-induced helicase C domain-containing protein 1 OS=Homo sapiens OX=9606 GN=IFIH1 PE=1 SV=3
+DEDSFLCCESYDLNPFTIPLEVWKKYQKKTSNNKFVVVFNRIKLCPLDLGKHVMMTGWAQGCKCIIEGNIQYDACKKQLAKNERVIYLEKFEPTMNVHHMKEIVHIDEGSCALVSCNKCLFTILSPNNKYHKAINRKTKMKKEMISQMQLELIKHAYEEPKMNQVCHIAKYMMKERFDNVTEHEIVGSGSHAVLVYTSEDARARGRAQVMAIENTVLGYRIVINCEKIDLGEEAVTTAILLNIKGTRFKSIVEKQENQTMPKFESSHGAGILHHAKVGVEAFKENETIWQSLAYASQRTKTFIIGRASEETRTYQEMITNRLKTLKENEYEPNEALRKLMKNNEFFLTMLFRDTEDLKLPKKLDDEDEDGDCYEDDGGEDSDDEIVAFKKDKEENYFTELHTYADIMRITDNIQLAENYKRLHEACVREKRNGEKAAKKEMQIAWQEYPQTGFDSMPSMQCYTQIRTMIELLKEKFPDERTADAIAFKKCPEQIQNKLQDLNEKVTKITFADLNACLKLIHEEAKAQKTAGGVGPSATLGLIQPLPIVPKNEKKLRNNKLKQMLYHRMINNYVAEKNTHHCEDIIILSFDSLQVGADEGNELNLLSNELIQATSIIIDCSKVVEPFSIKLQTDGSLGIVRYWKKLFPQFEKRFLQEVLLVKNVLVIVKGPESAKKKKDLHDKAIYVAVRTKGSGTPLCIIINKGELAPQAVEMQYPRLQLEPEPSARAAVNEEDSDSGMTGSDSGMNSNHGLSEDLCSVSGEALSTDSESVVSSDAFSSESSNNEMGWVEKELNPQVTTSLLQEEVQPGDVQSLNEIEANSESCDSGTLEQVLENNGTQRLVNLFASFWNEKQVIRKLLERVGSENGNNEAAAIRNRDEITLLEEEMCKDLVDRVLLKDVLTPQLLNLLQLYEDHANEFSPSPLDTLEPNMYRAALPSGTRRLAEVFERTWGLHWVGKELTSLLLEVAQMNGSTAVTRQIQEKVEAPLFTLYDLVPEVQIYMKVRARFCSILYRFNEDTSYGNSM
+>sp|A6NNB3|IFM5_HUMAN Interferon-induced transmembrane protein 5 OS=Homo sapiens OX=9606 GN=IFITM5 PE=1 SV=1
+MDTAYPREDTRAPTPSKAGAHTALTLGAPHPPPRDHLIWSVFSTLYLNLCCLGFLALAYSIKARDQKVVGDLEAARRFGSKAKCYNILAAMWTLVPPLLLLGLVVTGALHLARLAKDSAAFFSTKFDDADYD
+>DECOY_sp|A6NNB3|IFM5_HUMAN Interferon-induced transmembrane protein 5 OS=Homo sapiens OX=9606 GN=IFITM5 PE=1 SV=1
+DYDADDFKTSFFAASDKALRALHLAGTVVLGLLLLPPVLTWMAALINYCKAKSGFRRAAELDGVVKQDRAKISYALALFGLCCLNLYLTSFVSWILHDRPPPHPAGLTLATHAGAKSPTPARTDERPYATDM
+>sp|P05015|IFN16_HUMAN Interferon alpha-16 OS=Homo sapiens OX=9606 GN=IFNA16 PE=2 SV=1
+MALSFSLLMAVLVLSYKSICSLGCDLPQTHSLGNRRALILLAQMGRISHFSCLKDRYDFGFPQEVFDGNQFQKAQAISAFHEMIQQTFNLFSTKDSSAAWDETLLDKFYIELFQQLNDLEACVTQEVGVEEIALMNEDSILAVRKYFQRITLYLMGKKYSPCAWEVVRAEIMRSFSFSTNLQKGLRRKD
+>DECOY_sp|P05015|IFN16_HUMAN Interferon alpha-16 OS=Homo sapiens OX=9606 GN=IFNA16 PE=2 SV=1
+DKRRLGKQLNTSFSFSRMIEARVVEWACPSYKKGMLYLTIRQFYKRVALISDENMLAIEEVGVEQTVCAELDNLQQFLEIYFKDLLTEDWAASSDKTSFLNFTQQIMEHFASIAQAKQFQNGDFVEQPFGFDYRDKLCSFHSIRGMQALLILARRNGLSHTQPLDCGLSCISKYSLVLVAMLLSFSLAM
+>sp|P01562|IFNA1_HUMAN Interferon alpha-1/13 OS=Homo sapiens OX=9606 GN=IFNA1 PE=1 SV=1
+MASPFALLMVLVVLSCKSSCSLGCDLPETHSLDNRRTLMLLAQMSRISPSSCLMDRHDFGFPQEEFDGNQFQKAPAISVLHELIQQIFNLFTTKDSSAAWDEDLLDKFCTELYQQLNDLEACVMQEERVGETPLMNADSILAVKKYFRRITLYLTEKKYSPCAWEVVRAEIMRSLSLSTNLQERLRRKE
+>DECOY_sp|P01562|IFNA1_HUMAN Interferon alpha-1/13 OS=Homo sapiens OX=9606 GN=IFNA1 PE=1 SV=1
+EKRRLREQLNTSLSLSRMIEARVVEWACPSYKKETLYLTIRRFYKKVALISDANMLPTEGVREEQMVCAELDNLQQYLETCFKDLLDEDWAASSDKTTFLNFIQQILEHLVSIAPAKQFQNGDFEEQPFGFDHRDMLCSSPSIRSMQALLMLTRRNDLSHTEPLDCGLSCSSKCSLVVLVMLLAFPSAM
+>sp|Q6UXB1|IGFL3_HUMAN Insulin growth factor-like family member 3 OS=Homo sapiens OX=9606 GN=IGFL3 PE=1 SV=1
+MRPRCCILALVCWITVFLLQCSKGTTDAPVGSGLWLCQPTPRCGNKIYNPSEQCCYDDAILSLKETRRCGSTCTFWPCFELCCPESFGPQQKFLVKLRVLGMKSQCHLSPISRSCTRNRRHVLYP
+>DECOY_sp|Q6UXB1|IGFL3_HUMAN Insulin growth factor-like family member 3 OS=Homo sapiens OX=9606 GN=IGFL3 PE=1 SV=1
+PYLVHRRNRTCSRSIPSLHCQSKMGLVRLKVLFKQQPGFSEPCCLEFCPWFTCTSGCRRTEKLSLIADDYCCQESPNYIKNGCRPTPQCLWLGSGVPADTTGKSCQLLFVTIWCVLALICCRPRM
+>sp|Q86VF2|IGFN1_HUMAN Immunoglobulin-like and fibronectin type III domain-containing protein 1 OS=Homo sapiens OX=9606 GN=IGFN1 PE=1 SV=2
+MAGKLRKSHIPGVSIWQLVEEIPEGCSTPDFEQKPVTSALPEGKNAVFRAVVCGEPRPEVRWQNSKGDLSDSSKYKISSSPGSKEHVLQINKLTGEDTDLYRCTAVNAYGEAACSVRLTVIEVGFRKNRKRHREPQEDLRKELMDFRKLLKKRAPPAPKKKMDLEQIWQLLMTADRKDYEKICLKYGIVDYRGMLRRLQEMKKEQEDKMAQYINTISSLRHIRVTKDGNAKFDLELDLKDSQSKIYLYKDGEMIPYGFNNQTKHCLRRLGKRYEFQIQDLRPEDSGIYQVKVEDAVVFSTELEASAIPPRVVVPLAETHCEEQGDAVFECTLSSPCPSAAWHFRHRLLHPSDKYEVYVSPDGLTHRLVVRGARFSDMGPYSLGTGLYTSSAWLVVEAGKDKDLQSTSADHKLQSRRSGKDGRLDIYGERRDATRSSTSRYKPGTGSFSKDAQGPMGHFSQGLADMEVQPGEAATLSCTLTSDLGPGTWFKDGVKLTTQDGVIFKQDGLVHSLFITHVQGTQAGRYTFVAGDQQSEATLTVQDSPTIAPDVTEKLREPLVVKAGKPVIVKIPFQSHLPIQAAWRKDGAEVVGSSDREAQVDLGDGYTRLCLPSAGRKDCGQYSVTLRSEGGSVQAELTLQVIDKPDPPQGPMEVQDCHRAGVCLRWRPPRDNGGRTVECYVVERRQAGRSTWLKVGEAPADSTTFTDAHVEPGRKYTFRVRAVTSEGAGEALESEEILVAPEALPKAPSAPAILSASSQGITLTWTAPRGPGSAHILGYLIERRKKGSNTWTAVNDQPVPERRWTVADVRQGCQYEFRVTAVAPSGPGEPGPPSDAVFARDPMRPPGLVRNLQVTDRSNTSITLSWAGPDTQEGDEAQGYVVELCSSDSLQWLPCHVGTVPVTTYTAKGLRPGEGYFVRVTAVNEGGQSQPSALDTLVQAMPVTVCPKFLVDSSTKDLLTVKVGDTVRVPVSFEAMPMPEVTWLKDGLPLPKRSVTVTKDGLTQLLIPVAGLSDSGLYTVVLRTLQGKEVAHSFRIRVAACPQAPGPIHLQENVPGTVTAEWEPSPDEAQDVPLHYAVFTRSSAHGPWHEAADRIHTNRFTLLGILPGHEYHFRVVAKNELGASKPSDTSQPWCIPRQRDRFTVKAPCYREPDLSQKPRFLVGLRSHLLPQGCECCMSCAVQGSPRPHVTWFKNDRSLEGNPAVYSTDLLGVCSLTIPSVSPKDSGEYKAVAENTLGQAVSTATLIVIEPST
+>DECOY_sp|Q86VF2|IGFN1_HUMAN Immunoglobulin-like and fibronectin type III domain-containing protein 1 OS=Homo sapiens OX=9606 GN=IGFN1 PE=1 SV=2
+TSPEIVILTATSVAQGLTNEAVAKYEGSDKPSVSPITLSCVGLLDTSYVAPNGELSRDNKFWTVHPRPSGQVACSMCCECGQPLLHSRLGVLFRPKQSLDPERYCPAKVTFRDRQRPICWPQSTDSPKSAGLENKAVVRFHYEHGPLIGLLTFRNTHIRDAAEHWPGHASSRTFVAYHLPVDQAEDPSPEWEATVTGPVNEQLHIPGPAQPCAAVRIRFSHAVEKGQLTRLVVTYLGSDSLGAVPILLQTLGDKTVTVSRKPLPLGDKLWTVEPMPMAEFSVPVRVTDGVKVTLLDKTSSDVLFKPCVTVPMAQVLTDLASPQSQGGENVATVRVFYGEGPRLGKATYTTVPVTGVHCPLWQLSDSSCLEVVYGQAEDGEQTDPGAWSLTISTNSRDTVQLNRVLGPPRMPDRAFVADSPPGPEGPGSPAVATVRFEYQCGQRVDAVTWRREPVPQDNVATWTNSGKKRREILYGLIHASGPGRPATWTLTIGQSSASLIAPASPAKPLAEPAVLIEESELAEGAGESTVARVRFTYKRGPEVHADTFTTSDAPAEGVKLWTSRGAQRREVVYCEVTRGGNDRPPRWRLCVGARHCDQVEMPGQPPDPKDIVQLTLEAQVSGGESRLTVSYQGCDKRGASPLCLRTYGDGLDVQAERDSSGVVEAGDKRWAAQIPLHSQFPIKVIVPKGAKVVLPERLKETVDPAITPSDQVTLTAESQQDGAVFTYRGAQTGQVHTIFLSHVLGDQKFIVGDQTTLKVGDKFWTGPGLDSTLTCSLTAAEGPQVEMDALGQSFHGMPGQADKSFSGTGPKYRSTSSRTADRREGYIDLRGDKGSRRSQLKHDASTSQLDKDKGAEVVLWASSTYLGTGLSYPGMDSFRAGRVVLRHTLGDPSVYVEYKDSPHLLRHRFHWAASPCPSSLTCEFVADGQEECHTEALPVVVRPPIASAELETSFVVADEVKVQYIGSDEPRLDQIQFEYRKGLRRLCHKTQNNFGYPIMEGDKYLYIKSQSDKLDLELDFKANGDKTVRIHRLSSITNIYQAMKDEQEKKMEQLRRLMGRYDVIGYKLCIKEYDKRDATMLLQWIQELDMKKKPAPPARKKLLKRFDMLEKRLDEQPERHRKRNKRFGVEIVTLRVSCAAEGYANVATCRYLDTDEGTLKNIQLVHEKSGPSSSIKYKSSDSLDGKSNQWRVEPRPEGCVVARFVANKGEPLASTVPKQEFDPTSCGEPIEEVLQWISVGPIHSKRLKGAM
+>sp|P01877|IGHA2_HUMAN Immunoglobulin heavy constant alpha 2 OS=Homo sapiens OX=9606 GN=IGHA2 PE=1 SV=4
+ASPTSPKVFPLSLDSTPQDGNVVVACLVQGFFPQEPLSVTWSESGQNVTARNFPPSQDASGDLYTTSSQLTLPATQCPDGKSVTCHVKHYTNSSQDVTVPCRVPPPPPCCHPRLSLHRPALEDLLLGSEANLTCTLTGLRDASGATFTWTPSSGKSAVQGPPERDLCGCYSVSSVLPGCAQPWNHGETFTCTAAHPELKTPLTANITKSGNTFRPEVHLLPPPSEELALNELVTLTCLARGFSPKDVLVRWLQGSQELPREKYLTWASRQEPSQGTTTYAVTSILRVAAEDWKKGETFSCMVGHEALPLAFTQKTIDRMAGKPTHINVSVVMAEADGTCY
+>DECOY_sp|P01877|IGHA2_HUMAN Immunoglobulin heavy constant alpha 2 OS=Homo sapiens OX=9606 GN=IGHA2 PE=1 SV=4
+YCTGDAEAMVVSVNIHTPKGAMRDITKQTFALPLAEHGVMCSFTEGKKWDEAAVRLISTVAYTTTGQSPEQRSAWTLYKERPLEQSGQLWRVLVDKPSFGRALCTLTVLENLALEESPPPLLHVEPRFTNGSKTINATLPTKLEPHAATCTFTEGHNWPQACGPLVSSVSYCGCLDREPPGQVASKGSSPTWTFTAGSADRLGTLTCTLNAESGLLLDELAPRHLSLRPHCCPPPPPVRCPVTVDQSSNTYHKVHCTVSKGDPCQTAPLTLQSSTTYLDGSADQSPPFNRATVNQGSESWTVSLPEQPFFGQVLCAVVVNGDQPTSDLSLPFVKPSTPSA
+>sp|P01854|IGHE_HUMAN Immunoglobulin heavy constant epsilon OS=Homo sapiens OX=9606 GN=IGHE PE=1 SV=1
+ASTQSPSVFPLTRCCKNIPSNATSVTLGCLATGYFPEPVMVTWDTGSLNGTTMTLPATTLTLSGHYATISLLTVSGAWAKQMFTCRVAHTPSSTDWVDNKTFSVCSRDFTPPTVKILQSSCDGGGHFPPTIQLLCLVSGYTPGTINITWLEDGQVMDVDLSTASTTQEGELASTQSELTLSQKHWLSDRTYTCQVTYQGHTFEDSTKKCADSNPRGVSAYLSRPSPFDLFIRKSPTITCLVVDLAPSKGTVNLTWSRASGKPVNHSTRKEEKQRNGTLTVTSTLPVGTRDWIEGETYQCRVTHPHLPRALMRSTTKTSGPRAAPEVYAFATPEWPGSRDKRTLACLIQNFMPEDISVQWLHNEVQLPDARHSTTQPRKTKGSGFFVFSRLEVTRAEWEQKDEFICRAVHEAASPSQTVQRAVSVNPGK
+>DECOY_sp|P01854|IGHE_HUMAN Immunoglobulin heavy constant epsilon OS=Homo sapiens OX=9606 GN=IGHE PE=1 SV=1
+KGPNVSVARQVTQSPSAAEHVARCIFEDKQEWEARTVELRSFVFFGSGKTKRPQTTSHRADPLQVENHLWQVSIDEPMFNQILCALTRKDRSGPWEPTAFAYVEPAARPGSTKTTSRMLARPLHPHTVRCQYTEGEIWDRTGVPLTSTVTLTGNRQKEEKRTSHNVPKGSARSWTLNVTGKSPALDVVLCTITPSKRIFLDFPSPRSLYASVGRPNSDACKKTSDEFTHGQYTVQCTYTRDSLWHKQSLTLESQTSALEGEQTTSATSLDVDMVQGDELWTINITGPTYGSVLCLLQITPPFHGGGDCSSQLIKVTPPTFDRSCVSFTKNDVWDTSSPTHAVRCTFMQKAWAGSVTLLSITAYHGSLTLTTAPLTMTTGNLSGTDWTVMVPEPFYGTALCGLTVSTANSPINKCCRTLPFVSPSQTSA
+>sp|P01857|IGHG1_HUMAN Immunoglobulin heavy constant gamma 1 OS=Homo sapiens OX=9606 GN=IGHG1 PE=1 SV=1
+ASTKGPSVFPLAPSSKSTSGGTAALGCLVKDYFPEPVTVSWNSGALTSGVHTFPAVLQSSGLYSLSSVVTVPSSSLGTQTYICNVNHKPSNTKVDKKVEPKSCDKTHTCPPCPAPELLGGPSVFLFPPKPKDTLMISRTPEVTCVVVDVSHEDPEVKFNWYVDGVEVHNAKTKPREEQYNSTYRVVSVLTVLHQDWLNGKEYKCKVSNKALPAPIEKTISKAKGQPREPQVYTLPPSRDELTKNQVSLTCLVKGFYPSDIAVEWESNGQPENNYKTTPPVLDSDGSFFLYSKLTVDKSRWQQGNVFSCSVMHEALHNHYTQKSLSLSPGK
+>DECOY_sp|P01857|IGHG1_HUMAN Immunoglobulin heavy constant gamma 1 OS=Homo sapiens OX=9606 GN=IGHG1 PE=1 SV=1
+KGPSLSLSKQTYHNHLAEHMVSCSFVNGQQWRSKDVTLKSYLFFSGDSDLVPPTTKYNNEPQGNSEWEVAIDSPYFGKVLCTLSVQNKTLEDRSPPLTYVQPERPQGKAKSITKEIPAPLAKNSVKCKYEKGNLWDQHLVTLVSVVRYTSNYQEERPKTKANHVEVGDVYWNFKVEPDEHSVDVVVCTVEPTRSIMLTDKPKPPFLFVSPGGLLEPAPCPPCTHTKDCSKPEVKKDVKTNSPKHNVNCIYTQTGLSSSPVTVVSSLSYLGSSQLVAPFTHVGSTLAGSNWSVTVPEPFYDKVLCGLAATGGSTSKSSPALPFVSPGKTSA
+>sp|P01834|IGKC_HUMAN Immunoglobulin kappa constant OS=Homo sapiens OX=9606 GN=IGKC PE=1 SV=2
+RTVAAPSVFIFPPSDEQLKSGTASVVCLLNNFYPREAKVQWKVDNALQSGNSQESVTEQDSKDSTYSLSSTLTLSKADYEKHKVYACEVTHQGLSSPVTKSFNRGEC
+>DECOY_sp|P01834|IGKC_HUMAN Immunoglobulin kappa constant OS=Homo sapiens OX=9606 GN=IGKC PE=1 SV=2
+CEGRNFSKTVPSSLGQHTVECAYVKHKEYDAKSLTLTSSLSYTSDKSDQETVSEQSNGSQLANDVKWQVKAERPYFNNLLCVVSATGSKLQEDSPPFIFVSPAAVTR
+>sp|P0DOY3|IGLC3_HUMAN Immunoglobulin lambda constant 3 OS=Homo sapiens OX=9606 GN=IGLC3 PE=1 SV=1
+GQPKAAPSVTLFPPSSEELQANKATLVCLISDFYPGAVTVAWKADSSPVKAGVETTTPSKQSNNKYAASSYLSLTPEQWKSHKSYSCQVTHEGSTVEKTVAPTECS
+>DECOY_sp|P0DOY3|IGLC3_HUMAN Immunoglobulin lambda constant 3 OS=Homo sapiens OX=9606 GN=IGLC3 PE=1 SV=1
+SCETPAVTKEVTSGEHTVQCSYSKHSKWQEPTLSLYSSAAYKNNSQKSPTTTEVGAKVPSSDAKWAVTVAGPYFDSILCVLTAKNAQLEESSPPFLTVSPAAKPQG
+>sp|B9A064|IGLL5_HUMAN Immunoglobulin lambda-like polypeptide 5 OS=Homo sapiens OX=9606 GN=IGLL5 PE=2 SV=2
+MRPKTGQVGCETPEELGPGPRQRWPLLLLGLAMVAHGLLRPMVAPQSGDPDPGASVGSSRSSLRSLWGRLLLQPSPQRADPRCWPRGFWSEPQSLCYVFGTGTKVTVLGQPKANPTVTLFPPSSEELQANKATLVCLISDFYPGAVTVAWKADGSPVKAGVETTKPSKQSNNKYAASSYLSLTPEQWKSHRSYSCQVTHEGSTVEKTVAPTECS
+>DECOY_sp|B9A064|IGLL5_HUMAN Immunoglobulin lambda-like polypeptide 5 OS=Homo sapiens OX=9606 GN=IGLL5 PE=2 SV=2
+SCETPAVTKEVTSGEHTVQCSYSRHSKWQEPTLSLYSSAAYKNNSQKSPKTTEVGAKVPSGDAKWAVTVAGPYFDSILCVLTAKNAQLEESSPPFLTVTPNAKPQGLVTVKTGTGFVYCLSQPESWFGRPWCRPDARQPSPQLLLRGWLSRLSSRSSGVSAGPDPDGSQPAVMPRLLGHAVMALGLLLLPWRQRPGPGLEEPTECGVQGTKPRM
+>sp|Q5DX21|IGS11_HUMAN Immunoglobulin superfamily member 11 OS=Homo sapiens OX=9606 GN=IGSF11 PE=2 SV=3
+MTSQRSPLAPLLLLSLHGVAASLEVSESPGSIQVARGQPAVLPCTFTTSAALINLNVIWMVTPLSNANQPEQVILYQGGQMFDGAPRFHGRVGFTGTMPATNVSIFINNTQLSDTGTYQCLVNNLPDIGGRNIGVTGLTVLVPPSAPHCQIQGSQDIGSDVILLCSSEEGIPRPTYLWEKLDNTLKLPPTATQDQVQGTVTIRNISALSSGLYQCVASNAIGTSTCLLDLQVISPQPRNIGLIAGAIGTGAVIIIFCIALILGAFFYWRSKNKEEEEEEIPNEIREDDLPPKCSSAKAFHTEISSSDNNTLTSSNAYNSRYWSNNPKVHRNTESVSHFSDLGQSFSFHSGNANIPSIYANGTHLVPGQHKTLVVTANRGSSPQVMSRSNGSVSRKPRPPHTHSYTISHATLERIGAVPVMVPAQSRAGSLV
+>DECOY_sp|Q5DX21|IGS11_HUMAN Immunoglobulin superfamily member 11 OS=Homo sapiens OX=9606 GN=IGSF11 PE=2 SV=3
+VLSGARSQAPVMVPVAGIRELTAHSITYSHTHPPRPKRSVSGNSRSMVQPSSGRNATVVLTKHQGPVLHTGNAYISPINANGSHFSFSQGLDSFHSVSETNRHVKPNNSWYRSNYANSSTLTNNDSSSIETHFAKASSCKPPLDDERIENPIEEEEEEKNKSRWYFFAGLILAICFIIIVAGTGIAGAILGINRPQPSIVQLDLLCTSTGIANSAVCQYLGSSLASINRITVTGQVQDQTATPPLKLTNDLKEWLYTPRPIGEESSCLLIVDSGIDQSGQIQCHPASPPVLVTLGTVGINRGGIDPLNNVLCQYTGTDSLQTNNIFISVNTAPMTGTFGVRGHFRPAGDFMQGGQYLIVQEPQNANSLPTVMWIVNLNILAASTTFTCPLVAPQGRAVQISGPSESVELSAAVGHLSLLLLPALPSRQSTM
+>sp|A1L1A6|IGS23_HUMAN Immunoglobulin superfamily member 23 OS=Homo sapiens OX=9606 GN=IGSF23 PE=2 SV=2
+MRAKPQSPLPRNPVPAWSPPTTTTDPMLEKDAAGGDFPANLVLQLMPLKTFPAAIRGVIQSELNYSVILQWVVTMDPEPVLSWTFSGVPCGMGEKLFIRRLSCEQLGTYMCIATNSKKQLVSEPVTISLPKPIMQPTEAEPMEPDPTLSLSGGSAIGLLAAGILGAGALIAGMCFIIIQSLRTDRQRIGICS
+>DECOY_sp|A1L1A6|IGS23_HUMAN Immunoglobulin superfamily member 23 OS=Homo sapiens OX=9606 GN=IGSF23 PE=2 SV=2
+SCIGIRQRDTRLSQIIIFCMGAILAGAGLIGAALLGIASGGSLSLTPDPEMPEAETPQMIPKPLSITVPESVLQKKSNTAICMYTGLQECSLRRIFLKEGMGCPVGSFTWSLVPEPDMTVVWQLIVSYNLESQIVGRIAAPFTKLPMLQLVLNAPFDGGAADKELMPDTTTTPPSWAPVPNRPLPSQPKARM
+>sp|Q93033|IGSF2_HUMAN Immunoglobulin superfamily member 2 OS=Homo sapiens OX=9606 GN=CD101 PE=1 SV=2
+MAGISYVASFFLLLTKLSIGQREVTVQKGPLFRAEGYPVSIGCNVTGHQGPSEQHFQWSVYLPTNPTQEVQIISTKDAAFSYAVYTQRVRSGDVYVERVQGNSVLLHISKLQMKDAGEYECHTPNTDEKYYGSYSAKTNLIVIPDTLSATMSSQTLGKEEGEPLALTCEASKATAQHTHLSVTWYLTQDGGGSQATEIISLSKDFILVPGPLYTERFAASDVQLNKLGPTTFRLSIERLQSSDQGQLFCEATEWIQDPDETWMFITKKQTDQTTLRIQPAVKDFQVNITADSLFAEGKPLELVCLVVSSGRDPQLQGIWFFNGTEIAHIDAGGVLGLKNDYKERASQGELQVSKLGPKAFSLKIFSLGPEDEGAYRCVVAEVMKTRTGSWQVLQRKQSPDSHVHLRKPAARSVVMSTKNKQQVVWEGETLAFLCKAGGAESPLSVSWWHIPRDQTQPEFVAGMGQDGIVQLGASYGVPSYHGNTRLEKMDWATFQLEITFTAITDSGTYECRVSEKSRNQARDLSWTQKISVTVKSLESSLQVSLMSRQPQVMLTNTFDLSCVVRAGYSDLKVPLTVTWQFQPASSHIFHQLIRITHNGTIEWGNFLSRFQKKTKVSQSLFRSQLLVHDATEEETGVYQCEVEVYDRNSLYNNRPPRASAISHPLRIAVTLPESKLKVNSRSQVQELSINSNTDIECSILSRSNGNLQLAIIWYFSPVSTNASWLKILEMDQTNVIKTGDEFHTPQRKQKFHTEKVSQDLFQLHILNVEDSDRGKYHCAVEEWLLSTNGTWHKLGEKKSGLTELKLKPTGSKVRVSKVYWTENVTEHREVAIRCSLESVGSSATLYSVMWYWNRENSGSKLLVHLQHDGLLEYGEEGLRRHLHCYRSSSTDFVLKLHQVEMEDAGMYWCRVAEWQLHGHPSKWINQASDESQRMVLTVLPSEPTLPSRICSSAPLLYFLFICPFVLLLLLLISLLCLYWKARKLSTLRSNTRKEKALWVDLKEAGGVTTNRREDEEEDEGN
+>DECOY_sp|Q93033|IGSF2_HUMAN Immunoglobulin superfamily member 2 OS=Homo sapiens OX=9606 GN=CD101 PE=1 SV=2
+NGEDEEEDERRNTTVGGAEKLDVWLAKEKRTNSRLTSLKRAKWYLCLLSILLLLLLVFPCIFLFYLLPASSCIRSPLTPESPLVTLVMRQSEDSAQNIWKSPHGHLQWEAVRCWYMGADEMEVQHLKLVFDTSSSRYCHLHRRLGEEGYELLGDHQLHVLLKSGSNERNWYWMVSYLTASSGVSELSCRIAVERHETVNETWYVKSVRVKSGTPKLKLETLGSKKEGLKHWTGNTSLLWEEVACHYKGRDSDEVNLIHLQFLDQSVKETHFKQKRQPTHFEDGTKIVNTQDMELIKLWSANTSVPSFYWIIALQLNGNSRSLISCEIDTNSNISLEQVQSRSNVKLKSEPLTVAIRLPHSIASARPPRNNYLSNRDYVEVECQYVGTEEETADHVLLQSRFLSQSVKTKKQFRSLFNGWEITGNHTIRILQHFIHSSAPQFQWTVTLPVKLDSYGARVVCSLDFTNTLMVQPQRSMLSVQLSSELSKVTVSIKQTWSLDRAQNRSKESVRCEYTGSDTIATFTIELQFTAWDMKELRTNGHYSPVGYSAGLQVIGDQGMGAVFEPQTQDRPIHWWSVSLPSEAGGAKCLFALTEGEWVVQQKNKTSMVVSRAAPKRLHVHSDPSQKRQLVQWSGTRTKMVEAVVCRYAGEDEPGLSFIKLSFAKPGLKSVQLEGQSAREKYDNKLGLVGGADIHAIETGNFFWIGQLQPDRGSSVVLCVLELPKGEAFLSDATINVQFDKVAPQIRLTTQDTQKKTIFMWTEDPDQIWETAECFLQGQDSSQLREISLRFTTPGLKNLQVDSAAFRETYLPGPVLIFDKSLSIIETAQSGGGDQTLYWTVSLHTHQATAKSAECTLALPEGEEKGLTQSSMTASLTDPIVILNTKASYSGYYKEDTNPTHCEYEGADKMQLKSIHLLVSNGQVREVYVDGSRVRQTYVAYSFAADKTSIIQVEQTPNTPLYVSWQFHQESPGQHGTVNCGISVPYGEARFLPGKQVTVERQGISLKTLLLFFSAVYSIGAM
+>sp|Q15653|IKBB_HUMAN NF-kappa-B inhibitor beta OS=Homo sapiens OX=9606 GN=NFKBIB PE=1 SV=2
+MAGVACLGKAADADEWCDSGLGSLGPDAAAPGGPGLGAELGPGLSWAPLVFGYVTEDGDTALHLAVIHQHEPFLDFLLGFSAGTEYMDLQNDLGQTALHLAAILGETSTVEKLYAAGAGLCVAERRGHTALHLACRVGAHACARALLQPRPRRPREAPDTYLAQGPDRTPDTNHTPVALYPDSDLEKEEEESEEDWKLQLEAENYEGHTPLHVAVIHKDVEMVRLLRDAGADLDKPEPTCGRSPLHLAVEAQAADVLELLLRAGANPAARMYGGRTPLGSAMLRPNPILARLLRAHGAPEPEGEDEKSGPCSSSSDSDSGDEGDEYDDIVVHSSRSQTRLPPTPASKPLPDDPRPV
+>DECOY_sp|Q15653|IKBB_HUMAN NF-kappa-B inhibitor beta OS=Homo sapiens OX=9606 GN=NFKBIB PE=1 SV=2
+VPRPDDPLPKSAPTPPLRTQSRSSHVVIDDYEDGEDGSDSDSSSSCPGSKEDEGEPEPAGHARLLRALIPNPRLMASGLPTRGGYMRAAPNAGARLLLELVDAAQAEVALHLPSRGCTPEPKDLDAGADRLLRVMEVDKHIVAVHLPTHGEYNEAELQLKWDEESEEEEKELDSDPYLAVPTHNTDPTRDPGQALYTDPAERPRRPRPQLLARACAHAGVRCALHLATHGRREAVCLGAGAAYLKEVTSTEGLIAALHLATQGLDNQLDMYETGASFGLLFDLFPEHQHIVALHLATDGDETVYGFVLPAWSLGPGLEAGLGPGGPAAADPGLSGLGSDCWEDADAAKGLCAVGAM
+>sp|Q8NI38|IKBD_HUMAN NF-kappa-B inhibitor delta OS=Homo sapiens OX=9606 GN=NFKBID PE=1 SV=1
+MEAGPWRVSAPPSGPPQFPAVVPGPSLEVARAHMLALGPQQLLAQDEEGDTLLHLFAARGLRWAAYAAAEVLQVYRRLDIREHKGKTPLLVAAAANQPLIVEDLLNLGAEPNAADHQGRSVLHVAATYGLPGVLLAVLNSGVQVDLEARDFEGLTPLHTAILALNVAMRPSDLCPRVLSTQARDRLDCVHMLLQMGANHTSQEIKSNKTVLHLAVQAANPTLVQLLLELPRGDLRTFVNMKAHGNTALHMAAALPPGPAQEAIVRHLLAAGADPTLRNLENEQPVHLLRPGPGPEGLRQLLKRSRVAPPGLSS
+>DECOY_sp|Q8NI38|IKBD_HUMAN NF-kappa-B inhibitor delta OS=Homo sapiens OX=9606 GN=NFKBID PE=1 SV=1
+SSLGPPAVRSRKLLQRLGEPGPGPRLLHVPQENELNRLTPDAGAALLHRVIAEQAPGPPLAAAMHLATNGHAKMNVFTRLDGRPLELLLQVLTPNAAQVALHLVTKNSKIEQSTHNAGMQLLMHVCDLRDRAQTSLVRPCLDSPRMAVNLALIATHLPTLGEFDRAELDVQVGSNLVALLVGPLGYTAAVHLVSRGQHDAANPEAGLNLLDEVILPQNAAAAVLLPTKGKHERIDLRRYVQLVEAAAYAAWRLGRAAFLHLLTDGEEDQALLQQPGLALMHARAVELSPGPVVAPFQPPGSPPASVRWPGAEM
+>sp|Q13007|IL24_HUMAN Interleukin-24 OS=Homo sapiens OX=9606 GN=IL24 PE=1 SV=1
+MNFQQRLQSLWTLARPFCPPLLATASQMQMVVLPCLGFTLLLWSQVSGAQGQEFHFGPCQVKGVVPQKLWEAFWAVKDTMQAQDNITSARLLQQEVLQNVSDAESCYLVHTLLEFYLKTVFKNYHNRTVEVRTLKSFSTLANNFVLIVSQLQPSQENEMFSIRDSAHRRFLLFRRAFKQLDVEAALTKALGEVDILLTWMQKFYKL
+>DECOY_sp|Q13007|IL24_HUMAN Interleukin-24 OS=Homo sapiens OX=9606 GN=IL24 PE=1 SV=1
+LKYFKQMWTLLIDVEGLAKTLAAEVDLQKFARRFLLFRRHASDRISFMENEQSPQLQSVILVFNNALTSFSKLTRVEVTRNHYNKFVTKLYFELLTHVLYCSEADSVNQLVEQQLLRASTINDQAQMTDKVAWFAEWLKQPVVGKVQCPGFHFEQGQAGSVQSWLLLTFGLCPLVVMQMQSATALLPPCFPRALTWLSQLRQQFNM
+>sp|P01589|IL2RA_HUMAN Interleukin-2 receptor subunit alpha OS=Homo sapiens OX=9606 GN=IL2RA PE=1 SV=1
+MDSYLLMWGLLTFIMVPGCQAELCDDDPPEIPHATFKAMAYKEGTMLNCECKRGFRRIKSGSLYMLCTGNSSHSSWDNQCQCTSSATRNTTKQVTPQPEEQKERKTTEMQSPMQPVDQASLPGHCREPPPWENEATERIYHFVVGQMVYYQCVQGYRALHRGPAESVCKMTHGKTRWTQPQLICTGEMETSQFPGEEKPQASPEGRPESETSCLVTTTDFQIQTEMAATMETSIFTTEYQVAVAGCVFLLISVLLLSGLTWQRRQRKSRRTI
+>DECOY_sp|P01589|IL2RA_HUMAN Interleukin-2 receptor subunit alpha OS=Homo sapiens OX=9606 GN=IL2RA PE=1 SV=1
+ITRRSKRQRRQWTLGSLLLVSILLFVCGAVAVQYETTFISTEMTAAMETQIQFDTTTVLCSTESEPRGEPSAQPKEEGPFQSTEMEGTCILQPQTWRTKGHTMKCVSEAPGRHLARYGQVCQYYVMQGVVFHYIRETAENEWPPPERCHGPLSAQDVPQMPSQMETTKREKQEEPQPTVQKTTNRTASSTCQCQNDWSSHSSNGTCLMYLSGSKIRRFGRKCECNLMTGEKYAMAKFTAHPIEPPDDDCLEAQCGPVMIFTLLGWMLLYSDM
+>sp|P30740|ILEU_HUMAN Leukocyte elastase inhibitor OS=Homo sapiens OX=9606 GN=SERPINB1 PE=1 SV=1
+MEQLSSANTRFALDLFLALSENNPAGNIFISPFSISSAMAMVFLGTRGNTAAQLSKTFHFNTVEEVHSRFQSLNADINKRGASYILKLANRLYGEKTYNFLPEFLVSTQKTYGADLASVDFQHASEDARKTINQWVKGQTEGKIPELLASGMVDNMTKLVLVNAIYFKGNWKDKFMKEATTNAPFRLNKKDRKTVKMMYQKKKFAYGYIEDLKCRVLELPYQGEELSMVILLPDDIEDESTGLKKIEEQLTLEKLHEWTKPENLDFIEVNVSLPRFKLEESYTLNSDLARLGVQDLFNSSKADLSGMSGARDIFISKIVHKSFVEVNEEGTEAAAATAGIATFCMLMPEENFTADHPFLFFIRHNSSGSILFLGRFSSP
+>DECOY_sp|P30740|ILEU_HUMAN Leukocyte elastase inhibitor OS=Homo sapiens OX=9606 GN=SERPINB1 PE=1 SV=1
+PSSFRGLFLISGSSNHRIFFLFPHDATFNEEPMLMCFTAIGATAAAAETGEENVEVFSKHVIKSIFIDRAGSMGSLDAKSSNFLDQVGLRALDSNLTYSEELKFRPLSVNVEIFDLNEPKTWEHLKELTLQEEIKKLGTSEDEIDDPLLIVMSLEEGQYPLELVRCKLDEIYGYAFKKKQYMMKVTKRDKKNLRFPANTTAEKMFKDKWNGKFYIANVLVLKTMNDVMGSALLEPIKGETQGKVWQNITKRADESAHQFDVSALDAGYTKQTSVLFEPLFNYTKEGYLRNALKLIYSAGRKNIDANLSQFRSHVEEVTNFHFTKSLQAATNGRTGLFVMAMASSISFPSIFINGAPNNESLALFLDLAFRTNASSLQEM
+>sp|O00629|IMA3_HUMAN Importin subunit alpha-3 OS=Homo sapiens OX=9606 GN=KPNA4 PE=1 SV=1
+MADNEKLDNQRLKNFKNKGRDLETMRRQRNEVVVELRKNKRDEHLLKRRNVPHEDICEDSDIDGDYRVQNTSLEAIVQNASSDNQGIQLSAVQAARKLLSSDRNPPIDDLIKSGILPILVHCLERDDNPSLQFEAAWALTNIASGTSEQTQAVVQSNAVPLFLRLLHSPHQNVCEQAVWALGNIIGDGPQCRDYVISLGVVKPLLSFISPSIPITFLRNVTWVMVNLCRHKDPPPPMETIQEILPALCVLIHHTDVNILVDTVWALSYLTDAGNEQIQMVIDSGIVPHLVPLLSHQEVKVQTAALRAVGNIVTGTDEQTQVVLNCDALSHFPALLTHPKEKINKEAVWFLSNITAGNQQQVQAVIDANLVPMIIHLLDKGDFGTQKEAAWAISNLTISGRKDQVAYLIQQNVIPPFCNLLTVKDAQVVQVVLDGLSNILKMAEDEAETIGNLIEECGGLEKIEQLQNHENEDIYKLAYEIIDQFFSSDDIDEDPSLVPEAIQGGTFGFNSSANVPTEGFQF
+>DECOY_sp|O00629|IMA3_HUMAN Importin subunit alpha-3 OS=Homo sapiens OX=9606 GN=KPNA4 PE=1 SV=1
+FQFGETPVNASSNFGFTGGQIAEPVLSPDEDIDDSSFFQDIIEYALKYIDENEHNQLQEIKELGGCEEILNGITEAEDEAMKLINSLGDLVVQVVQADKVTLLNCFPPIVNQQILYAVQDKRGSITLNSIAWAAEKQTGFDGKDLLHIIMPVLNADIVAQVQQQNGATINSLFWVAEKNIKEKPHTLLAPFHSLADCNLVVQTQEDTGTVINGVARLAATQVKVEQHSLLPVLHPVIGSDIVMQIQENGADTLYSLAWVTDVLINVDTHHILVCLAPLIEQITEMPPPPDKHRCLNVMVWTVNRLFTIPISPSIFSLLPKVVGLSIVYDRCQPGDGIINGLAWVAQECVNQHPSHLLRLFLPVANSQVVAQTQESTGSAINTLAWAAEFQLSPNDDRELCHVLIPLIGSKILDDIPPNRDSSLLKRAAQVASLQIGQNDSSANQVIAELSTNQVRYDGDIDSDECIDEHPVNRRKLLHEDRKNKRLEVVVENRQRRMTELDRGKNKFNKLRQNDLKENDAM
+>sp|O00505|IMA4_HUMAN Importin subunit alpha-4 OS=Homo sapiens OX=9606 GN=KPNA3 PE=1 SV=2
+MAENPSLENHRIKSFKNKGRDVETMRRHRNEVTVELRKNKRDEHLLKKRNVPQEESLEDSDVDADFKAQNVTLEAILQNATSDNPVVQLSAVQAARKLLSSDRNPPIDDLIKSGILPILVKCLERDDNPSLQFEAAWALTNIASGTSAQTQAVVQSNAVPLFLRLLRSPHQNVCEQAVWALGNIIGDGPQCRDYVISLGVVKPLLSFISPSIPITFLRNVTWVIVNLCRNKDPPPPMETVQEILPALCVLIYHTDINILVDTVWALSYLTDGGNEQIQMVIDSGVVPFLVPLLSHQEVKVQTAALRAVGNIVTGTDEQTQVVLNCDVLSHFPNLLSHPKEKINKEAVWFLSNITAGNQQQVQAVIDAGLIPMIIHQLAKGDFGTQKEAAWAISNLTISGRKDQVEYLVQQNVIPPFCNLLSVKDSQVVQVVLDGLKNILIMAGDEASTIAEIIEECGGLEKIEVLQQHENEDIYKLAFEIIDQYFSGDDIDEDPCLIPEATQGGTYNFDPTANLQTKEFNF
+>DECOY_sp|O00505|IMA4_HUMAN Importin subunit alpha-4 OS=Homo sapiens OX=9606 GN=KPNA3 PE=1 SV=2
+FNFEKTQLNATPDFNYTGGQTAEPILCPDEDIDDGSFYQDIIEFALKYIDENEHQQLVEIKELGGCEEIIEAITSAEDGAMILINKLGDLVVQVVQSDKVSLLNCFPPIVNQQVLYEVQDKRGSITLNSIAWAAEKQTGFDGKALQHIIMPILGADIVAQVQQQNGATINSLFWVAEKNIKEKPHSLLNPFHSLVDCNLVVQTQEDTGTVINGVARLAATQVKVEQHSLLPVLFPVVGSDIVMQIQENGGDTLYSLAWVTDVLINIDTHYILVCLAPLIEQVTEMPPPPDKNRCLNVIVWTVNRLFTIPISPSIFSLLPKVVGLSIVYDRCQPGDGIINGLAWVAQECVNQHPSRLLRLFLPVANSQVVAQTQASTGSAINTLAWAAEFQLSPNDDRELCKVLIPLIGSKILDDIPPNRDSSLLKRAAQVASLQVVPNDSTANQLIAELTVNQAKFDADVDSDELSEEQPVNRKKLLHEDRKNKRLEVTVENRHRRMTEVDRGKNKFSKIRHNELSPNEAM
+>sp|Q17R60|IMPG1_HUMAN Interphotoreceptor matrix proteoglycan 1 OS=Homo sapiens OX=9606 GN=IMPG1 PE=1 SV=2
+MYLETRRAIFVFWIFLQVQGTKDISINIYHSETKDIDNPPRNETTESTEKMYKMSTMRRIFDLAKHRTKRSAFFPTGVKVCPQESMKQILDSLQAYYRLRVCQEAVWEAYRIFLDRIPDTGEYQDWVSICQQETFCLFDIGKNFSNSQEHLDLLQQRIKQRSFPDRKDEISAEKTLGEPGETIVISTDVANVSLGPFPLTPDDTLLNEILDNTLNDTKMPTTERETEFAVLEEQRVELSVSLVNQKFKAELADSQSPYYQELAGKSQLQMQKIFKKLPGFKKIHVLGFRPKKEKDGSSSTEMQLTAIFKRHSAEAKSPASDLLSFDSNKIESEEVYHGTMEEDKQPEIYLTATDLKRLISKALEEEQSLDVGTIQFTDEIAGSLPAFGPDTQSELPTSFAVITEDATLSPELPPVEPQLETVDGAEHGLPDTSWSPPAMASTSLSEAPPFFMASSIFSLTDQGTTDTMATDQTMLVPGLTIPTSDYSAISQLALGISHPPASSDDSRSSAGGEDMVRHLDEMDLSDTPAPSEVPELSEYVSVPDHFLEDTTPVSALQYITTSSMTIAPKGRELVVFFSLRVANMAFSNDLFNKSSLEYRALEQQFTQLLVPYLRSNLTGFKQLEILNFRNGSVIVNSKMKFAKSVPYNLTKAVHGVLEDFRSAAAQQLHLEIDSYSLNIEPADQADPCKFLACGEFAQCVKNERTEEAECRCKPGYDSQGSLDGLEPGLCGPGTKECEVLQGKGAPCRLPDHSENQAYKTSVKKFQNQQNNKVISKRNSELLTVEYEEFNHQDWEGN
+>DECOY_sp|Q17R60|IMPG1_HUMAN Interphotoreceptor matrix proteoglycan 1 OS=Homo sapiens OX=9606 GN=IMPG1 PE=1 SV=2
+NGEWDQHNFEEYEVTLLESNRKSIVKNNQQNQFKKVSTKYAQNESHDPLRCPAGKGQLVECEKTGPGCLGPELGDLSGQSDYGPKCRCEAEETRENKVCQAFEGCALFKCPDAQDAPEINLSYSDIELHLQQAAASRFDELVGHVAKTLNYPVSKAFKMKSNVIVSGNRFNLIELQKFGTLNSRLYPVLLQTFQQELARYELSSKNFLDNSFAMNAVRLSFFVVLERGKPAITMSSTTIYQLASVPTTDELFHDPVSVYESLEPVESPAPTDSLDMEDLHRVMDEGGASSRSDDSSAPPHSIGLALQSIASYDSTPITLGPVLMTQDTAMTDTTGQDTLSFISSAMFFPPAESLSTSAMAPPSWSTDPLGHEAGDVTELQPEVPPLEPSLTADETIVAFSTPLESQTDPGFAPLSGAIEDTFQITGVDLSQEEELAKSILRKLDTATLYIEPQKDEEMTGHYVEESEIKNSDFSLLDSAPSKAEASHRKFIATLQMETSSSGDKEKKPRFGLVHIKKFGPLKKFIKQMQLQSKGALEQYYPSQSDALEAKFKQNVLSVSLEVRQEELVAFETERETTPMKTDNLTNDLIENLLTDDPTLPFPGLSVNAVDTSIVITEGPEGLTKEASIEDKRDPFSRQKIRQQLLDLHEQSNSFNKGIDFLCFTEQQCISVWDQYEGTDPIRDLFIRYAEWVAEQCVRLRYYAQLSDLIQKMSEQPCVKVGTPFFASRKTRHKALDFIRRMTSMKYMKETSETTENRPPNDIDKTESHYINISIDKTGQVQLFIWFVFIARRTELYM
+>sp|Q9BZV3|IMPG2_HUMAN Interphotoreceptor matrix proteoglycan 2 OS=Homo sapiens OX=9606 GN=IMPG2 PE=1 SV=3
+MIMFPLFGKISLGILIFVLIEGDFPSLTAQTYLSIEEIQEPKSAVSFLLPEESTDLSLATKKKQPLDRRETERQWLIRRRRSILFPNGVKICPDESVAEAVANHVKYFKVRVCQEAVWEAFRTFWDRLPGREEYHYWMNLCEDGVTSIFEMGTNFSESVEHRSLIMKKLTYAKETVSSSELSSPVPVGDTSTLGDTTLSVPHPEVDAYEGASESSLERPEESISNEIENVIEEATKPAGEQIAEFSIHLLGKQYREELQDSSSFHHQHLEEEFISEVENAFTGLPGYKEIRVLEFRSPKENDSGVDVYYAVTFNGEAISNTTWDLISLHSNKVENHGLVELDDKPTVVYTISNFRDYIAETLQQNFLLGNSSLNPDPDSLQLINVRGVLRHQTEDLVWNTQSSSLQATPSSILDNTFQAAWPSADESITSSIPPLDFSSGPPSATGRELWSESPLGDLVSTHKLAFPSKMGLSSSPEVLEVSSLTLHSVTPAVLQTGLPVASEERTSGSHLVEDGLANVEESEDFLSIDSLPSSSFTQPVPKETIPSMEDSDVSLTSSPYLTSSIPFGLDSLTSKVKDQLKVSPFLPDASMEKELIFDGGLGSGSGQKVDLITWPWSETSSEKSAEPLSKPWLEDDDSLLPAEIEDKKLVLVDKMDSTDQISKHSKYEHDDRSTHFPEEEPLSGPAVPIFADTAAESASLTLPKHISEVPGVDDYSVTKAPLILTSVAISASTDKSDQADAILREDMEQITESSNYEWFDSEVSMVKPDMQTLWTILPESERVWTRTSSLEKLSRDILASTPQSADRLWLSVTQSTKLPPTTISTLLEDEVIMGVQDISLELDRIGTDYYQPEQVQEQNGKVGSYVEMSTSVHSTEMVSVAWPTEGGDDLSYTQTSGALVVFFSLRVTNMMFSEDLFNKNSLEYKALEQRFLELLVPYLQSNLTGFQNLEILNFRNGSIVVNSRMKFANSVPPNVNNAVYMILEDFCTTAYNTMNLAIDKYSLDVESGDEANPCKFQACNEFSECLVNPWSGEAKCRCFPGYLSVEERPCQSLCDLQPDFCLNDGKCDIMPGHGAICRCRVGENWWYRGKHCEEFVSEPVIIGITIASVVGLLVIFSAIIYFFIRTLQAHHDRSERESPFSGSSRQPDSLSSIENAVKYNPVYESHRAGCEKYEGPYPQHPFYSSASGDVIGGLSREEIRQMYESSELSREEIQERMRVLELYANDPEFAAFVREQQVEEV
+>DECOY_sp|Q9BZV3|IMPG2_HUMAN Interphotoreceptor matrix proteoglycan 2 OS=Homo sapiens OX=9606 GN=IMPG2 PE=1 SV=3
+VEEVQQERVFAAFEPDNAYLELVRMREQIEERSLESSEYMQRIEERSLGGIVDGSASSYFPHQPYPGEYKECGARHSEYVPNYKVANEISSLSDPQRSSGSFPSERESRDHHAQLTRIFFYIIASFIVLLGVVSAITIGIIVPESVFEECHKGRYWWNEGVRCRCIAGHGPMIDCKGDNLCFDPQLDCLSQCPREEVSLYGPFCRCKAEGSWPNVLCESFENCAQFKCPNAEDGSEVDLSYKDIALNMTNYATTCFDELIMYVANNVNPPVSNAFKMRSNVVISGNRFNLIELNQFGTLNSQLYPVLLELFRQELAKYELSNKNFLDESFMMNTVRLSFFVVLAGSTQTYSLDDGGETPWAVSVMETSHVSTSMEVYSGVKGNQEQVQEPQYYDTGIRDLELSIDQVGMIVEDELLTSITTPPLKTSQTVSLWLRDASQPTSALIDRSLKELSSTRTWVRESEPLITWLTQMDPKVMSVESDFWEYNSSETIQEMDERLIADAQDSKDTSASIAVSTLILPAKTVSYDDVGPVESIHKPLTLSASEAATDAFIPVAPGSLPEEEPFHTSRDDHEYKSHKSIQDTSDMKDVLVLKKDEIEAPLLSDDDELWPKSLPEASKESSTESWPWTILDVKQGSGSGLGGDFILEKEMSADPLFPSVKLQDKVKSTLSDLGFPISSTLYPSSTLSVDSDEMSPITEKPVPQTFSSSPLSDISLFDESEEVNALGDEVLHSGSTREESAVPLGTQLVAPTVSHLTLSSVELVEPSSSLGMKSPFALKHTSVLDGLPSESWLERGTASPPGSSFDLPPISSTISEDASPWAAQFTNDLISSPTAQLSSSQTNWVLDETQHRLVGRVNILQLSDPDPNLSSNGLLFNQQLTEAIYDRFNSITYVVTPKDDLEVLGHNEVKNSHLSILDWTTNSIAEGNFTVAYYVDVGSDNEKPSRFELVRIEKYGPLGTFANEVESIFEEELHQHHFSSSDQLEERYQKGLLHISFEAIQEGAPKTAEEIVNEIENSISEEPRELSSESAGEYADVEPHPVSLTTDGLTSTDGVPVPSSLESSSVTEKAYTLKKMILSRHEVSESFNTGMEFISTVGDECLNMWYHYEERGPLRDWFTRFAEWVAEQCVRVKFYKVHNAVAEAVSEDPCIKVGNPFLISRRRRILWQRETERRDLPQKKKTALSLDTSEEPLLFSVASKPEQIEEISLYTQATLSPFDGEILVFILIGLSIKGFLPFMIM
+>sp|Q8NBZ0|IN80E_HUMAN INO80 complex subunit E OS=Homo sapiens OX=9606 GN=INO80E PE=1 SV=1
+MNGPADGEVDYKKKYRNLKRKLKFLIYEHECFQEELRKAQRKLLKVSRDKSFLLDRLLQYENVDEDSSDSDATASSDNSETEGTPKLSDTPAPKRKRSPPLGGAPSPSSLSLPPSTGFPLQASGVPSPYLSSLASSRYPPFPSDYLALQLPEPSPLRPKREKRPRLPRKLKMAVGPPDCPVGGPLTFPGRGSGAGVGTTLTPLPPPKMPPPTILSTVPRQMFSDAGSGDDALDGDDDLVIDIPE
+>DECOY_sp|Q8NBZ0|IN80E_HUMAN INO80 complex subunit E OS=Homo sapiens OX=9606 GN=INO80E PE=1 SV=1
+EPIDIVLDDDGDLADDGSGADSFMQRPVTSLITPPPMKPPPLPTLTTGVGAGSGRGPFTLPGGVPCDPPGVAMKLKRPLRPRKERKPRLPSPEPLQLALYDSPFPPYRSSALSSLYPSPVGSAQLPFGTSPPLSLSSPSPAGGLPPSRKRKPAPTDSLKPTGETESNDSSATADSDSSDEDVNEYQLLRDLLFSKDRSVKLLKRQAKRLEEQFCEHEYILFKLKRKLNRYKKKYDVEGDAPGNM
+>sp|P58166|INHBE_HUMAN Inhibin beta E chain OS=Homo sapiens OX=9606 GN=INHBE PE=1 SV=1
+MRLPDVQLWLVLLWALVRAQGTGSVCPSCGGSKLAPQAERALVLELAKQQILDGLHLTSRPRITHPPPQAALTRALRRLQPGSVAPGNGEEVISFATVTDSTSAYSSLLTFHLSTPRSHHLYHARLWLHVLPTLPGTLCLRIFRWGPRRRRQGSRTLLAEHHITNLGWHTLTLPSSGLRGEKSGVLKLQLDCRPLEGNSTVTGQPRRLLDTAGHQQPFLELKIRANEPGAGRARRRTPTCEPATPLCCRRDHYVDFQELGWRDWILQPEGYQLNYCSGQCPPHLAGSPGIAASFHSAVFSLLKANNPWPASTSCCVPTARRPLSLLYLDHNGNVVKTDVPDMVVEACGCS
+>DECOY_sp|P58166|INHBE_HUMAN Inhibin beta E chain OS=Homo sapiens OX=9606 GN=INHBE PE=1 SV=1
+SCGCAEVVMDPVDTKVVNGNHDLYLLSLPRRATPVCCSTSAPWPNNAKLLSFVASHFSAAIGPSGALHPPCQGSCYNLQYGEPQLIWDRWGLEQFDVYHDRRCCLPTAPECTPTRRRARGAGPENARIKLELFPQQHGATDLLRRPQGTVTSNGELPRCDLQLKLVGSKEGRLGSSPLTLTHWGLNTIHHEALLTRSGQRRRRPGWRFIRLCLTGPLTPLVHLWLRAHYLHHSRPTSLHFTLLSSYASTSDTVTAFSIVEEGNGPAVSGPQLRRLARTLAAQPPPHTIRPRSTLHLGDLIQQKALELVLAREAQPALKSGGCSPCVSGTGQARVLAWLLVLWLQVDPLRM
+>sp|P23677|IP3KA_HUMAN Inositol-trisphosphate 3-kinase A OS=Homo sapiens OX=9606 GN=ITPKA PE=1 SV=1
+MTLPGGPTGMARPGGARPCSPGLERAPRRSVGELRLLFEARCAAVAAAAAAGEPRARGAKRRGGQVPNGLPRAPPAPVIPQLTVTAEEPDVPPTSPGPPERERDCLPAAGSSHLQQPRRLSTSSVSSTGSSSLLEDSEDDLLSDSESRSRGNVQLEAGEDVGQKNHWQKIRTMVNLPVISPFKKRYAWVQLAGHTGSFKAAGTSGLILKRCSEPERYCLARLMADALRGCVPAFHGVVERDGESYLQLQDLLDGFDGPCVLDCKMGVRTYLEEELTKARERPKLRKDMYKKMLAVDPEAPTEEEHAQRAVTKPRYMQWREGISSSTTLGFRIEGIKKADGSCSTDFKTTRSREQVLRVFEEFVQGDEEVLRRYLNRLQQIRDTLEVSEFFRRHEVIGSSLLFVHDHCHRAGVWLIDFGKTTPLPDGQILDHRRPWEEGNREDGYLLGLDNLIGILASLAER
+>DECOY_sp|P23677|IP3KA_HUMAN Inositol-trisphosphate 3-kinase A OS=Homo sapiens OX=9606 GN=ITPKA PE=1 SV=1
+REALSALIGILNDLGLLYGDERNGEEWPRRHDLIQGDPLPTTKGFDILWVGARHCHDHVFLLSSGIVEHRRFFESVELTDRIQQLRNLYRRLVEEDGQVFEEFVRLVQERSRTTKFDTSCSGDAKKIGEIRFGLTTSSSIGERWQMYRPKTVARQAHEEETPAEPDVALMKKYMDKRLKPRERAKTLEEELYTRVGMKCDLVCPGDFGDLLDQLQLYSEGDREVVGHFAPVCGRLADAMLRALCYREPESCRKLILGSTGAAKFSGTHGALQVWAYRKKFPSIVPLNVMTRIKQWHNKQGVDEGAELQVNGRSRSESDSLLDDESDELLSSSGTSSVSSTSLRRPQQLHSSGAAPLCDREREPPGPSTPPVDPEEATVTLQPIVPAPPARPLGNPVQGGRRKAGRARPEGAAAAAAVAACRAEFLLRLEGVSRRPARELGPSCPRAGGPRAMGTPGGPLTM
+>sp|Q96DU7|IP3KC_HUMAN Inositol-trisphosphate 3-kinase C OS=Homo sapiens OX=9606 GN=ITPKC PE=1 SV=1
+MRRCPCRGSLNEAEAGALPAAARMGLEAPRGGRRRQPGQQRPGPGAGAPAGRPEGGGPWARTEGSSLHSEPERAGLGPAPGTESPQAEFWTDGQTEPAAAGLGVETERPKQKTEPDRSSLRTHLEWSWSELETTCLWTETGTDGLWTDPHRSDLQFQPEEASPWTQPGVHGPWTELETHGSQTQPERVKSWADNLWTHQNSSSLQTHPEGACPSKEPSADGSWKELYTDGSRTQQDIEGPWTEPYTDGSQKKQDTEAARKQPGTGGFQIQQDTDGSWTQPSTDGSQTAPGTDCLLGEPEDGPLEEPEPGELLTHLYSHLKCSPLCPVPRLIITPETPEPEAQPVGPPSRVEGGSGGFSSASSFDESEDDVVAGGGGASDPEDRSGSKPWKKLKTVLKYSPFVVSFRKHYPWVQLSGHAGNFQAGEDGRILKRFCQCEQRSLEQLMKDPLRPFVPAYYGMVLQDGQTFNQMEDLLADFEGPSIMDCKMGSRTYLEEELVKARERPRPRKDMYEKMVAVDPGAPTPEEHAQGAVTKPRYMQWRETMSSTSTLGFRIEGIKKADGTCNTNFKKTQALEQVTKVLEDFVDGDHVILQKYVACLEELREALEISPFFKTHEVVGSSLLFVHDHTGLAKVWMIDFGKTVALPDHQTLSHRLPWAEGNREDGYLWGLDNMICLLQGLAQS
+>DECOY_sp|Q96DU7|IP3KC_HUMAN Inositol-trisphosphate 3-kinase C OS=Homo sapiens OX=9606 GN=ITPKC PE=1 SV=1
+SQALGQLLCIMNDLGWLYGDERNGEAWPLRHSLTQHDPLAVTKGFDIMWVKALGTHDHVFLLSSGVVEHTKFFPSIELAERLEELCAVYKQLIVHDGDVFDELVKTVQELAQTKKFNTNCTGDAKKIGEIRFGLTSTSSMTERWQMYRPKTVAGQAHEEPTPAGPDVAVMKEYMDKRPRPRERAKVLEEELYTRSGMKCDMISPGEFDALLDEMQNFTQGDQLVMGYYAPVFPRLPDKMLQELSRQECQCFRKLIRGDEGAQFNGAHGSLQVWPYHKRFSVVFPSYKLVTKLKKWPKSGSRDEPDSAGGGGAVVDDESEDFSSASSFGGSGGEVRSPPGVPQAEPEPTEPTIILRPVPCLPSCKLHSYLHTLLEGPEPEELPGDEPEGLLCDTGPATQSGDTSPQTWSGDTDQQIQFGGTGPQKRAAETDQKKQSGDTYPETWPGEIDQQTRSGDTYLEKWSGDASPEKSPCAGEPHTQLSSSNQHTWLNDAWSKVREPQTQSGHTELETWPGHVGPQTWPSAEEPQFQLDSRHPDTWLGDTGTETWLCTTELESWSWELHTRLSSRDPETKQKPRETEVGLGAAAPETQGDTWFEAQPSETGPAPGLGAREPESHLSSGETRAWPGGGEPRGAPAGAGPGPRQQGPQRRRGGRPAELGMRAAAPLAGAEAENLSGRCPCRRM
+>sp|Q92551|IP6K1_HUMAN Inositol hexakisphosphate kinase 1 OS=Homo sapiens OX=9606 GN=IP6K1 PE=1 SV=3
+MCVCQTMEVGQYGKNASRAGDRGVLLEPFIHQVGGHSSMMRYDDHTVCKPLISREQRFYESLPPEMKEFTPEYKGVVSVCFEGDSDGYINLVAYPYVESETVEQDDTTEREQPRRKHSRRSLHRSGSGSDHKEEKASLSLETSESSQEAKSPKVELHSHSEVPFQMLDGNSGLSSEKISHNPWSLRCHKQQLSRMRSESKDRKLYKFLLLENVVHHFKYPCVLDLKMGTRQHGDDASAEKAARQMRKCEQSTSATLGVRVCGMQVYQLDTGHYLCRNKYYGRGLSIEGFRNALYQYLHNGLDLRRDLFEPILSKLRGLKAVLERQASYRFYSSSLLVIYDGKECRAESCLDRRSEMRLKHLDMVLPEVASSCGPSTSPSNTSPEAGPSSQPKVDVRMIDFAHSTFKGFRDDPTVHDGPDRGYVFGLENLISIMEQMRDENQ
+>DECOY_sp|Q92551|IP6K1_HUMAN Inositol hexakisphosphate kinase 1 OS=Homo sapiens OX=9606 GN=IP6K1 PE=1 SV=3
+QNEDRMQEMISILNELGFVYGRDPGDHVTPDDRFGKFTSHAFDIMRVDVKPQSSPGAEPSTNSPSTSPGCSSAVEPLVMDLHKLRMESRRDLCSEARCEKGDYIVLLSSSYFRYSAQRELVAKLGRLKSLIPEFLDRRLDLGNHLYQYLANRFGEISLGRGYYKNRCLYHGTDLQYVQMGCVRVGLTASTSQECKRMQRAAKEASADDGHQRTGMKLDLVCPYKFHHVVNELLLFKYLKRDKSESRMRSLQQKHCRLSWPNHSIKESSLGSNGDLMQFPVESHSHLEVKPSKAEQSSESTELSLSAKEEKHDSGSGSRHLSRRSHKRRPQERETTDDQEVTESEVYPYAVLNIYGDSDGEFCVSVVGKYEPTFEKMEPPLSEYFRQERSILPKCVTHDDYRMMSSHGGVQHIFPELLVGRDGARSANKGYQGVEMTQCVCM
+>sp|P05154|IPSP_HUMAN Plasma serine protease inhibitor OS=Homo sapiens OX=9606 GN=SERPINA5 PE=1 SV=3
+MQLFLLLCLVLLSPQGASLHRHHPREMKKRVEDLHVGATVAPSSRRDFTFDLYRALASAAPSQSIFFSPVSISMSLAMLSLGAGSSTKMQILEGLGLNLQKSSEKELHRGFQQLLQELNQPRDGFQLSLGNALFTDLVVDLQDTFVSAMKTLYLADTFPTNFRDSAGAMKQINDYVAKQTKGKIVDLLKNLDSNAVVIMVNYIFFKAKWETSFNHKGTQEQDFYVTSETVVRVPMMSREDQYHYLLDRNLSCRVVGVPYQGNATALFILPSEGKMQQVENGLSEKTLRKWLKMFKKRQLELYLPKFSIEGSYQLEKVLPSLGISNVFTSHADLSGISNHSNIQVSEMVHKAVVEVDESGTRAAAATGTIFTFRSARLNSQRLVFNRPFLMFIVDNNILFLGKVNRP
+>DECOY_sp|P05154|IPSP_HUMAN Plasma serine protease inhibitor OS=Homo sapiens OX=9606 GN=SERPINA5 PE=1 SV=3
+PRNVKGLFLINNDVIFMLFPRNFVLRQSNLRASRFTFITGTAAAARTGSEDVEVVAKHVMESVQINSHNSIGSLDAHSTFVNSIGLSPLVKELQYSGEISFKPLYLELQRKKFMKLWKRLTKESLGNEVQQMKGESPLIFLATANGQYPVGVVRCSLNRDLLYHYQDERSMMPVRVVTESTVYFDQEQTGKHNFSTEWKAKFFIYNVMIVVANSDLNKLLDVIKGKTQKAVYDNIQKMAGASDRFNTPFTDALYLTKMASVFTDQLDVVLDTFLANGLSLQFGDRPQNLEQLLQQFGRHLEKESSKQLNLGLGELIQMKTSSGAGLSLMALSMSISVPSFFISQSPAASALARYLDFTFDRRSSPAVTAGVHLDEVRKKMERPHHRHLSAGQPSLLVLCLLLFLQM
+>sp|Q9H2U2|IPYR2_HUMAN Inorganic pyrophosphatase 2, mitochondrial OS=Homo sapiens OX=9606 GN=PPA2 PE=1 SV=2
+MSALLRLLRTGAPAAACLRLGTSAGTGSRRAMALYHTEERGQPCSQNYRLFFKNVTGHYISPFHDIPLKVNSKEENGIPMKKARNDEYENLFNMIVEIPRWTNAKMEIATKEPMNPIKQYVKDGKLRYVANIFPYKGYIWNYGTLPQTWEDPHEKDKSTNCFGDNDPIDVCEIGSKILSCGEVIHVKILGILALIDEGETDWKLIAINANDPEASKFHDIDDVKKFKPGYLEATLNWFRLYKVPDGKPENQFAFNGEFKNKAFALEVIKSTHQCWKALLMKKCNGGAINCTNVQISDSPFRCTQEEARSLVESVSSSPNKESNEEEQVWHFLGK
+>DECOY_sp|Q9H2U2|IPYR2_HUMAN Inorganic pyrophosphatase 2, mitochondrial OS=Homo sapiens OX=9606 GN=PPA2 PE=1 SV=2
+KGLFHWVQEEENSEKNPSSSVSEVLSRAEEQTCRFPSDSIQVNTCNIAGGNCKKMLLAKWCQHTSKIVELAFAKNKFEGNFAFQNEPKGDPVKYLRFWNLTAELYGPKFKKVDDIDHFKSAEPDNANIAILKWDTEGEDILALIGLIKVHIVEGCSLIKSGIECVDIPDNDGFCNTSKDKEHPDEWTQPLTGYNWIYGKYPFINAVYRLKGDKVYQKIPNMPEKTAIEMKANTWRPIEVIMNFLNEYEDNRAKKMPIGNEEKSNVKLPIDHFPSIYHGTVNKFFLRYNQSCPQGREETHYLAMARRSGTGASTGLRLCAAAPAGTRLLRLLASM
+>sp|Q15181|IPYR_HUMAN Inorganic pyrophosphatase OS=Homo sapiens OX=9606 GN=PPA1 PE=1 SV=2
+MSGFSTEERAAPFSLEYRVFLKNEKGQYISPFHDIPIYADKDVFHMVVEVPRWSNAKMEIATKDPLNPIKQDVKKGKLRYVANLFPYKGYIWNYGAIPQTWEDPGHNDKHTGCCGDNDPIDVCEIGSKVCARGEIIGVKVLGILAMIDEGETDWKVIAINVDDPDAANYNDINDVKRLKPGYLEATVDWFRRYKVPDGKPENEFAFNAEFKDKDFAIDIIKSTHDHWKALVTKKTNGKGISCMNTTLSESPFKCDPDAARAIVDALPPPCESACTVPTDVDKWFHHQKN
+>DECOY_sp|Q15181|IPYR_HUMAN Inorganic pyrophosphatase OS=Homo sapiens OX=9606 GN=PPA1 PE=1 SV=2
+NKQHHFWKDVDTPVTCASECPPPLADVIARAADPDCKFPSESLTTNMCSIGKGNTKKTVLAKWHDHTSKIIDIAFDKDKFEANFAFENEPKGDPVKYRRFWDVTAELYGPKLRKVDNIDNYNAADPDDVNIAIVKWDTEGEDIMALIGLVKVGIIEGRACVKSGIECVDIPDNDGCCGTHKDNHGPDEWTQPIAGYNWIYGKYPFLNAVYRLKGKKVDQKIPNLPDKTAIEMKANSWRPVEVVMHFVDKDAYIPIDHFPSIYQGKENKLFVRYELSFPAAREETSFGSM
+>sp|P0C7M6|IQCF3_HUMAN IQ domain-containing protein F3 OS=Homo sapiens OX=9606 GN=IQCF3 PE=2 SV=1
+MGSKCCKGGPDEDAVERQRRQKLLLAQLHHRKRVKAAGQIQAWWRGVLVRRTLLVAALRAWMIQCWWRTLVQRRIRQRRQALLRVYVIQEQATVKLQSCIRMWQCRQCYRQMCNALCLFQVPESSLAFQTDGFLQVQYAIPSKQPEFHIEILSI
+>DECOY_sp|P0C7M6|IQCF3_HUMAN IQ domain-containing protein F3 OS=Homo sapiens OX=9606 GN=IQCF3 PE=2 SV=1
+ISLIEIHFEPQKSPIAYQVQLFGDTQFALSSEPVQFLCLANCMQRYCQRCQWMRICSQLKVTAQEQIVYVRLLAQRRQRIRRQVLTRWWCQIMWARLAAVLLTRRVLVGRWWAQIQGAAKVRKRHHLQALLLKQRRQREVADEDPGGKCCKSGM
+>sp|Q8N0W5|IQCK_HUMAN IQ domain-containing protein K OS=Homo sapiens OX=9606 GN=IQCK PE=2 SV=1
+MAAPRQIPSHIVRLKPSCSTDSSFTRTPVPTVSLASRELPVSSWQVTEPSSKNLWEQICKEYEAEQPPFPEGYKVKQEPVITVAPVEEMLFHGFSAEHYFPVSHFTMISRTPCPQDKSETINPKTCSPKEYLETFIFPVLLPGMASLLHQAKKEKCFERKRTKFIACDFLTEWLYNQNPKRAGEPFTEFFSIPFVEERLKQHPRPPIPLSLLLTEEEAALYIQSFWRACVVRCDPEIQELRQWQKKLREAKHIHQQVKIFWAKQEQKVKCKMEDDAVPAAKMKIPSS
+>DECOY_sp|Q8N0W5|IQCK_HUMAN IQ domain-containing protein K OS=Homo sapiens OX=9606 GN=IQCK PE=2 SV=1
+SSPIKMKAAPVADDEMKCKVKQEQKAWFIKVQQHIHKAERLKKQWQRLEQIEPDCRVVCARWFSQIYLAAEEETLLLSLPIPPRPHQKLREEVFPISFFETFPEGARKPNQNYLWETLFDCAIFKTRKREFCKEKKAQHLLSAMGPLLVPFIFTELYEKPSCTKPNITESKDQPCPTRSIMTFHSVPFYHEASFGHFLMEEVPAVTIVPEQKVKYGEPFPPQEAEYEKCIQEWLNKSSPETVQWSSVPLERSALSVTPVPTRTFSSDTSCSPKLRVIHSPIQRPAAM
+>sp|Q9NWZ3|IRAK4_HUMAN Interleukin-1 receptor-associated kinase 4 OS=Homo sapiens OX=9606 GN=IRAK4 PE=1 SV=1
+MNKPITPSTYVRCLNVGLIRKLSDFIDPQEGWKKLAVAIKKPSGDDRYNQFHIRRFEALLQTGKSPTSELLFDWGTTNCTVGDLVDLLIQNEFFAPASLLLPDAVPKTANTLPSKEAITVQQKQMPFCDKDRTLMTPVQNLEQSYMPPDSSSPENKSLEVSDTRFHSFSFYELKNVTNNFDERPISVGGNKMGEGGFGVVYKGYVNNTTVAVKKLAAMVDITTEELKQQFDQEIKVMAKCQHENLVELLGFSSDGDDLCLVYVYMPNGSLLDRLSCLDGTPPLSWHMRCKIAQGAANGINFLHENHHIHRDIKSANILLDEAFTAKISDFGLARASEKFAQTVMTSRIVGTTAYMAPEALRGEITPKSDIYSFGVVLLEIITGLPAVDEHREPQLLLDIKEEIEDEEKTIEDYIDKKMNDADSTSVEAMYSVASQCLHEKKNKRPDIKKVQQLLQEMTAS
+>DECOY_sp|Q9NWZ3|IRAK4_HUMAN Interleukin-1 receptor-associated kinase 4 OS=Homo sapiens OX=9606 GN=IRAK4 PE=1 SV=1
+SATMEQLLQQVKKIDPRKNKKEHLCQSAVSYMAEVSTSDADNMKKDIYDEITKEEDEIEEKIDLLLQPERHEDVAPLGTIIELLVVGFSYIDSKPTIEGRLAEPAMYATTGVIRSTMVTQAFKESARALGFDSIKATFAEDLLINASKIDRHIHHNEHLFNIGNAAGQAIKCRMHWSLPPTGDLCSLRDLLSGNPMYVYVLCLDDGDSSFGLLEVLNEHQCKAMVKIEQDFQQKLEETTIDVMAALKKVAVTTNNVYGKYVVGFGGEGMKNGGVSIPREDFNNTVNKLEYFSFSHFRTDSVELSKNEPSSSDPPMYSQELNQVPTMLTRDKDCFPMQKQQVTIAEKSPLTNATKPVADPLLLSAPAFFENQILLDVLDGVTCNTTGWDFLLESTPSKGTQLLAEFRRIHFQNYRDDGSPKKIAVALKKWGEQPDIFDSLKRILGVNLCRVYTSPTIPKNM
+>sp|Q15306|IRF4_HUMAN Interferon regulatory factor 4 OS=Homo sapiens OX=9606 GN=IRF4 PE=1 SV=1
+MNLEGGGRGGEFGMSAVSCGNGKLRQWLIDQIDSGKYPGLVWENEEKSIFRIPWKHAGKQDYNREEDAALFKAWALFKGKFREGIDKPDPPTWKTRLRCALNKSNDFEELVERSQLDISDPYKVYRIVPEGAKKGAKQLTLEDPQMSMSHPYTMTTPYPSLPAQQVHNYMMPPLDRSWRDYVPDQPHPEIPYQCPMTFGPRGHHWQGPACENGCQVTGTFYACAPPESQAPGVPTEPSIRSAEALAFSDCRLHICLYYREILVKELTTSSPEGCRISHGHTYDASNLDQVLFPYPEDNGQRKNIEKLLSHLERGVVLWMAPDGLYAKRLCQSRIYWDGPLALCNDRPNKLERDQTCKLFDTQQFLSELQAFAHHGRSLPRFQVTLCFGEEFPDPQRQRKLITAHVEPLLARQLYYFAQQNSGHFLRGYDLPEHISNPEDYHRSIRHSSIQE
+>DECOY_sp|Q15306|IRF4_HUMAN Interferon regulatory factor 4 OS=Homo sapiens OX=9606 GN=IRF4 PE=1 SV=1
+EQISSHRISRHYDEPNSIHEPLDYGRLFHGSNQQAFYYLQRALLPEVHATILKRQRQPDPFEEGFCLTVQFRPLSRGHHAFAQLESLFQQTDFLKCTQDRELKNPRDNCLALPGDWYIRSQCLRKAYLGDPAMWLVVGRELHSLLKEINKRQGNDEPYPFLVQDLNSADYTHGHSIRCGEPSSTTLEKVLIERYYLCIHLRCDSFALAEASRISPETPVGPAQSEPPACAYFTGTVQCGNECAPGQWHHGRPGFTMPCQYPIEPHPQDPVYDRWSRDLPPMMYNHVQQAPLSPYPTTMTYPHSMSMQPDELTLQKAGKKAGEPVIRYVKYPDSIDLQSREVLEEFDNSKNLACRLRTKWTPPDPKDIGERFKGKFLAWAKFLAADEERNYDQKGAHKWPIRFISKEENEWVLGPYKGSDIQDILWQRLKGNGCSVASMGFEGGRGGGELNM
+>sp|Q13568|IRF5_HUMAN Interferon regulatory factor 5 OS=Homo sapiens OX=9606 GN=IRF5 PE=1 SV=2
+MNQSIPVAPTPPRRVRLKPWLVAQVNSCQYPGLQWVNGEKKLFCIPWRHATRHGPSQDGDNTIFKAWAKETGKYTEGVDEADPAKWKANLRCALNKSRDFRLIYDGPRDMPPQPYKIYEVCSNGPAPTDSQPPEDYSFGAGEEEEEEEELQRMLPSLSLTEDVKWPPTLQPPTLRPPTLQPPTLQPPVVLGPPAPDPSPLAPPPGNPAGFRELLSEVLEPGPLPASLPPAGEQLLPDLLISPHMLPLTDLEIKFQYRGRPPRALTISNPHGCRLFYSQLEATQEQVELFGPISLEQVRFPSPEDIPSDKQRFYTNQLLDVLDRGLILQLQGQDLYAIRLCQCKVFWSGPCASAHDSCPNPIQREVKTKLFSLEHFLNELILFQKGQTNTPPPFEIFFCFGEEWPDRKPREKKLITVQVVPVAARLLLEMFSGELSWSADSIRLQISNPDLKDRMVEQFKELHHIWQSQQRLQPVAQAPPGAGLGVGQGPWPMHPAGMQ
+>DECOY_sp|Q13568|IRF5_HUMAN Interferon regulatory factor 5 OS=Homo sapiens OX=9606 GN=IRF5 PE=1 SV=2
+QMGAPHMPWPGQGVGLGAGPPAQAVPQLRQQSQWIHHLEKFQEVMRDKLDPNSIQLRISDASWSLEGSFMELLLRAAVPVVQVTILKKERPKRDPWEEGFCFFIEFPPPTNTQGKQFLILENLFHELSFLKTKVERQIPNPCSDHASACPGSWFVKCQCLRIAYLDQGQLQLILGRDLVDLLQNTYFRQKDSPIDEPSPFRVQELSIPGFLEVQEQTAELQSYFLRCGHPNSITLARPPRGRYQFKIELDTLPLMHPSILLDPLLQEGAPPLSAPLPGPELVESLLERFGAPNGPPPALPSPDPAPPGLVVPPQLTPPQLTPPRLTPPQLTPPWKVDETLSLSPLMRQLEEEEEEEEGAGFSYDEPPQSDTPAPGNSCVEYIKYPQPPMDRPGDYILRFDRSKNLACRLNAKWKAPDAEDVGETYKGTEKAWAKFITNDGDQSPGHRTAHRWPICFLKKEGNVWQLGPYQCSNVQAVLWPKLRVRRPPTPAVPISQNM
+>sp|Q92985|IRF7_HUMAN Interferon regulatory factor 7 OS=Homo sapiens OX=9606 GN=IRF7 PE=1 SV=2
+MALAPERAAPRVLFGEWLLGEISSGCYEGLQWLDEARTCFRVPWKHFARKDLSEADARIFKAWAVARGRWPPSSRGGGPPPEAETAERAGWKTNFRCALRSTRRFVMLRDNSGDPADPHKVYALSRELCWREGPGTDQTEAEAPAAVPPPQGGPPGPFLAHTHAGLQAPGPLPAPAGDKGDLLLQAVQQSCLADHLLTASWGADPVPTKAPGEGQEGLPLTGACAGGPGLPAGELYGWAVETTPSPGPQPAALTTGEAAAPESPHQAEPYLSPSPSACTAVQEPSPGALDVTIMYKGRTVLQKVVGHPSCTFLYGPPDPAVRATDPQQVAFPSPAELPDQKQLRYTEELLRHVAPGLHLELRGPQLWARRMGKCKVYWEVGGPPGSASPSTPACLLPRNCDTPIFDFRVFFQELVEFRARQRRGSPRYTIYLGFGQDLSAGRPKEKSLVLVKLEPWLCRVHLEGTQREGVSSLDSSSLSLCLSSANSLYDDIECFLMELEQPA
+>DECOY_sp|Q92985|IRF7_HUMAN Interferon regulatory factor 7 OS=Homo sapiens OX=9606 GN=IRF7 PE=1 SV=2
+APQELEMLFCEIDDYLSNASSLCLSLSSSDLSSVGERQTGELHVRCLWPELKVLVLSKEKPRGASLDQGFGLYITYRPSGRRQRARFEVLEQFFVRFDFIPTDCNRPLLCAPTSPSASGPPGGVEWYVKCKGMRRAWLQPGRLELHLGPAVHRLLEETYRLQKQDPLEAPSPFAVQQPDTARVAPDPPGYLFTCSPHGVVKQLVTRGKYMITVDLAGPSPEQVATCASPSPSLYPEAQHPSEPAAAEGTTLAAPQPGPSPTTEVAWGYLEGAPLGPGGACAGTLPLGEQGEGPAKTPVPDAGWSATLLHDALCSQQVAQLLLDGKDGAPAPLPGPAQLGAHTHALFPGPPGGQPPPVAAPAEAETQDTGPGERWCLERSLAYVKHPDAPDGSNDRLMVFRRTSRLACRFNTKWGAREATEAEPPPGGGRSSPPWRGRAVAWAKFIRADAESLDKRAFHKWPVRFCTRAEDLWQLGEYCGSSIEGLLWEGFLVRPAAREPALAM
+>sp|P78414|IRX1_HUMAN Iroquois-class homeodomain protein IRX-1 OS=Homo sapiens OX=9606 GN=IRX1 PE=2 SV=3
+MSFPQLGYPQYLSAAGPGAYGGERPGVLAAAAAAAAAASSGRPGAAELGGGAGAAAVTSVLGMYAAAGPYAGAPNYSAFLPYAADLSLFSQMGSQYELKDNPGVHPATFAAHTAPAYYPYGQFQYGDPGRPKNATRESTSTLKAWLNEHRKNPYPTKGEKIMLAIITKMTLTQVSTWFANARRRLKKENKVTWGARSKDQEDGALFGSDTEGDPEKAEDDEEIDLESIDIDKIDEHDGDQSNEDDEDKAEAPHAPAAPSALARDQGSPLAAADVLKPQDSPLGLAKEAPEPGSTRLLSPGAAAGGLQGAPHGKPKIWSLAETATSPDGAPKASPPPPAGHPGAHGPSAGAPLQHPAFLPSHGLYTCHIGKFSNWTNSAFLAQGSLLNMRSFLGVGAPHAAPHGPHLPAPPPPQPPVAIAPGALNGDKASVRSSPTLPERDLVPRPDSPAQQLKSPFQPVRDNSLAPQEGTPRILAALPSA
+>DECOY_sp|P78414|IRX1_HUMAN Iroquois-class homeodomain protein IRX-1 OS=Homo sapiens OX=9606 GN=IRX1 PE=2 SV=3
+ASPLAALIRPTGEQPALSNDRVPQFPSKLQQAPSDPRPVLDREPLTPSSRVSAKDGNLAGPAIAVPPQPPPPAPLHPGHPAAHPAGVGLFSRMNLLSGQALFASNTWNSFKGIHCTYLGHSPLFAPHQLPAGASPGHAGPHGAPPPPSAKPAGDPSTATEALSWIKPKGHPAGQLGGAAAGPSLLRTSGPEPAEKALGLPSDQPKLVDAAALPSGQDRALASPAAPAHPAEAKDEDDENSQDGDHEDIKDIDISELDIEEDDEAKEPDGETDSGFLAGDEQDKSRAGWTVKNEKKLRRRANAFWTSVQTLTMKTIIALMIKEGKTPYPNKRHENLWAKLTSTSERTANKPRGPDGYQFQGYPYYAPATHAAFTAPHVGPNDKLEYQSGMQSFLSLDAAYPLFASYNPAGAYPGAAAYMGLVSTVAAAGAGGGLEAAGPRGSSAAAAAAAAAALVGPREGGYAGPGAASLYQPYGLQPFSM
+>sp|Q9BZI1|IRX2_HUMAN Iroquois-class homeodomain protein IRX-2 OS=Homo sapiens OX=9606 GN=IRX2 PE=1 SV=2
+MSYPQGYLYQAPGSLALYSCPAYGASALAAPRSEELARSASGSAFSPYPGSAAFTAQAATGFGSPLQYSADAAAAAAGFPSYMGAPYDAHTTGMTGAISYHPYGSAAYPYQLNDPAYRKNATRDATATLKAWLNEHRKNPYPTKGEKIMLAIITKMTLTQVSTWFANARRRLKKENKMTWAPRNKSEDEDEDEGDATRSKDESPDKAQEGTETSAEDEGISLHVDSLTDHSCSAESDGEKLPCRAGDPLCESGSECKDKYDDLEDDEDDDEEGERGLAPPKPVTSSPLTGLEAPLLSPPPEAAPRGGRKTPQGSRTSPGAPPPASKPKLWSLAEIATSDLKQPSLGPGCGPPGLPAAAAPASTGAPPGGSPYPASPLLGRPLYYTSPFYGNYTNYGNLNAALQGQGLLRYNSAAAAPGEALHTAPKAASDAGKAGAHPLESHYRSPGGGYEPKKDASEGCTVVGGGVQPYL
+>DECOY_sp|Q9BZI1|IRX2_HUMAN Iroquois-class homeodomain protein IRX-2 OS=Homo sapiens OX=9606 GN=IRX2 PE=1 SV=2
+LYPQVGGGVVTCGESADKKPEYGGGPSRYHSELPHAGAKGADSAAKPATHLAEGPAAAASNYRLLGQGQLAANLNGYNTYNGYFPSTYYLPRGLLPSAPYPSGGPPAGTSAPAAAAPLGPPGCGPGLSPQKLDSTAIEALSWLKPKSAPPPAGPSTRSGQPTKRGGRPAAEPPPSLLPAELGTLPSSTVPKPPALGREGEEDDDEDDELDDYKDKCESGSECLPDGARCPLKEGDSEASCSHDTLSDVHLSIGEDEASTETGEQAKDPSEDKSRTADGEDEDEDESKNRPAWTMKNEKKLRRRANAFWTSVQTLTMKTIIALMIKEGKTPYPNKRHENLWAKLTATADRTANKRYAPDNLQYPYAASGYPHYSIAGTMGTTHADYPAGMYSPFGAAAAAADASYQLPSGFGTAAQATFAASGPYPSFASGSASRALEESRPAALASAGYAPCSYLALSGPAQYLYGQPYSM
+>sp|P78413|IRX4_HUMAN Iroquois-class homeodomain protein IRX-4 OS=Homo sapiens OX=9606 GN=IRX4 PE=1 SV=2
+MSYPQFGYPYSSAPQFLMATNSLSTCCESGGRTLADSGPAASAQAPVYCPVYESRLLATARHELNSAAALGVYGGPYGGSQGYGNYVTYGSEASAFYSLNSFDSKDGSGSAHGGLAPAAAAYYPYEPALGQYPYDRYGTMDSGTRRKNATRETTSTLKAWLQEHRKNPYPTKGEKIMLAIITKMTLTQVSTWFANARRRLKKENKMTWPPRNKCADEKRPYAEGEEEEGGEEEAREEPLKSSKNAEPVGKEEKELELSDLDDFDPLEAEPPACELKPPFHSLDGGLERVPAAPDGPVKEASGALRMSLAAGGGAALDEDLERARSCLRSAAAGPEPLPGAEGGPQVCEAKLGFVPAGASAGLEAKPRIWSLAHTATAAAAAATSLSQTEFPSCMLKRQGPAAPAAVSSAPATSPSVALPHSGALDRHQDSPVTSLRNWVDGVFHDPILRHSTLNQAWATAKGALLDPGPLGRSLGAGANVLTAPLARAFPPAVPQDAPAAGAARELLALPKAGGKPFCA
+>DECOY_sp|P78413|IRX4_HUMAN Iroquois-class homeodomain protein IRX-4 OS=Homo sapiens OX=9606 GN=IRX4 PE=1 SV=2
+ACFPKGGAKPLALLERAAGAAPADQPVAPPFARALPATLVNAGAGLSRGLPGPDLLAGKATAWAQNLTSHRLIPDHFVGDVWNRLSTVPSDQHRDLAGSHPLAVSPSTAPASSVAAPAAPGQRKLMCSPFETQSLSTAAAAAATATHALSWIRPKAELGASAGAPVFGLKAECVQPGGEAGPLPEPGAAASRLCSRARELDEDLAAGGGAALSMRLAGSAEKVPGDPAAPVRELGGDLSHFPPKLECAPPEAELPDFDDLDSLELEKEEKGVPEANKSSKLPEERAEEEGGEEEEGEAYPRKEDACKNRPPWTMKNEKKLRRRANAFWTSVQTLTMKTIIALMIKEGKTPYPNKRHEQLWAKLTSTTERTANKRRTGSDMTGYRDYPYQGLAPEYPYYAAAAPALGGHASGSGDKSDFSNLSYFASAESGYTVYNGYGQSGGYPGGYVGLAAASNLEHRATALLRSEYVPCYVPAQASAAPGSDALTRGGSECCTSLSNTAMLFQPASSYPYGFQPYSM
+>sp|P78411|IRX5_HUMAN Iroquois-class homeodomain protein IRX-5 OS=Homo sapiens OX=9606 GN=IRX5 PE=1 SV=3
+MSYPQGYLYQPSASLALYSCPAYSTSVISGPRTDELGRSSSGSAFSPYAGSTAFTAPSPGYNSHLQYGADPAAAAAAAFSSYVGSPYDHTPGMAGSLGYHPYAAPLGSYPYGDPAYRKNATRDATATLKAWLNEHRKNPYPTKGEKIMLAIITKMTLTQVSTWFANARRRLKKENKMTWTPRNRSEDEEEEENIDLEKNDEDEPQKPEDKGDPEGPEAGGAEQKAASGCERLQGPPTPAGKETEGSLSDSDFKEPPSEGRLDALQGPPRTGGPSPAGPAAARLAEDPAPHYPAGAPAPGPHPAAGEVPPGPGGPSVIHSPPPPPPPAVLAKPKLWSLAEIATSSDKVKDGGGGNEGSPCPPCPGPIAGQALGGSRASPAPAPSRSPSAQCPFPGGTVLSRPLYYTAPFYPGYTNYGSFGHLHGHPGPGPGPTTGPGSHFNGLNQTVLNRADALAKDPKMLRSQSQLDLCKDSPYELKKGMSDI
+>DECOY_sp|P78411|IRX5_HUMAN Iroquois-class homeodomain protein IRX-5 OS=Homo sapiens OX=9606 GN=IRX5 PE=1 SV=3
+IDSMGKKLEYPSDKCLDLQSQSRLMKPDKALADARNLVTQNLGNFHSGPGTTPGPGPGPHGHLHGFSGYNTYGPYFPATYYLPRSLVTGGPFPCQASPSRSPAPAPSARSGGLAQGAIPGPCPPCPSGENGGGGDKVKDSSTAIEALSWLKPKALVAPPPPPPPSHIVSPGGPGPPVEGAAPHPGPAPAGAPYHPAPDEALRAAAPGAPSPGGTRPPGQLADLRGESPPEKFDSDSLSGETEKGAPTPPGQLRECGSAAKQEAGGAEPGEPDGKDEPKQPEDEDNKELDINEEEEEDESRNRPTWTMKNEKKLRRRANAFWTSVQTLTMKTIIALMIKEGKTPYPNKRHENLWAKLTATADRTANKRYAPDGYPYSGLPAAYPHYGLSGAMGPTHDYPSGVYSSFAAAAAAAPDAGYQLHSNYGPSPATFATSGAYPSFASGSSSRGLEDTRPGSIVSTSYAPCSYLALSASPQYLYGQPYSM
+>sp|P05161|ISG15_HUMAN Ubiquitin-like protein ISG15 OS=Homo sapiens OX=9606 GN=ISG15 PE=1 SV=5
+MGWDLTVKMLAGNEFQVSLSSSMSVSELKAQITQKIGVHAFQQRLAVHPSGVALQDRVPLASQGLGPGSTVLLVVDKCDEPLSILVRNNKGRSSTYEVRLTQTVAHLKQQVSGLEGVQDDLFWLTFEGKPLEDQLPLGEYGLKPLSTVFMNLRLRGGGTEPGGRS
+>DECOY_sp|P05161|ISG15_HUMAN Ubiquitin-like protein ISG15 OS=Homo sapiens OX=9606 GN=ISG15 PE=1 SV=5
+SRGGPETGGGRLRLNMFVTSLPKLGYEGLPLQDELPKGEFTLWFLDDQVGELGSVQQKLHAVTQTLRVEYTSSRGKNNRVLISLPEDCKDVVLLVTSGPGLGQSALPVRDQLAVGSPHVALRQQFAHVGIKQTIQAKLESVSMSSSLSVQFENGALMKVTLDWGM
+>sp|Q6UXK2|ISLR2_HUMAN Immunoglobulin superfamily containing leucine-rich repeat protein 2 OS=Homo sapiens OX=9606 GN=ISLR2 PE=2 SV=1
+MFPLRALWLVWALLGVAGSCPEPCACVDKYAHQFADCAYKELREVPEGLPANVTTLSLSANKITVLRRGAFADVTQVTSLWLAHNEVRTVEPGALAVLSQLKNLDLSHNFISSFPWSDLRNLSALQLLKMNHNRLGSLPRDALGALPDLRSLRINNNRLRTLAPGTFDALSALSHLQLYHNPFHCGCGLVWLQAWAASTRVSLPEPDSIACASPPALQGVPVYRLPALPCAPPSVHLSAEPPLEAPGTPLRAGLAFVLHCIADGHPTPRLQWQLQIPGGTVVLEPPVLSGEDDGVGAEEGEGEGDGDLLTQTQAQTPTPAPAWPAPPATPRFLALANGSLLVPLLSAKEAGVYTCRAHNELGANSTSIRVAVAATGPPKHAPGAGGEPDGQAPTSERKSTAKGRGNSVLPSKPEGKIKGQGLAKVSILGETETEPEEDTSEGEEAEDQILADPAEEQRCGNGDPSRYVSNHAFNQSAELKPHVFELGVIALDVAEREARVQLTPLAARWGPGPGGAGGAPRPGRRPLRLLYLCPAGGGAAVQWSRVEEGVNAYWFRGLRPGTNYSVCLALAGEACHVQVVFSTKKELPSLLVIVAVSVFLLVLATVPLLGAACCHLLAKHPGKPYRLILRPQAPDPMEKRIAADFDPRASYLESEKSYPAGGEAGGEEPEDVQGEGLDEDAEQGDPSGDLQREESLAACSLVESQSKANQEEFEAGSEYSDRLPLGAEAVNIAQEINGNYRQTAG
+>DECOY_sp|Q6UXK2|ISLR2_HUMAN Immunoglobulin superfamily containing leucine-rich repeat protein 2 OS=Homo sapiens OX=9606 GN=ISLR2 PE=2 SV=1
+GATQRYNGNIEQAINVAEAGLPLRDSYESGAEFEEQNAKSQSEVLSCAALSEERQLDGSPDGQEADEDLGEGQVDEPEEGGAEGGAPYSKESELYSARPDFDAAIRKEMPDPAQPRLILRYPKGPHKALLHCCAAGLLPVTALVLLFVSVAVIVLLSPLEKKTSFVVQVHCAEGALALCVSYNTGPRLGRFWYANVGEEVRSWQVAAGGGAPCLYLLRLPRRGPRPAGGAGGPGPGWRAALPTLQVRAEREAVDLAIVGLEFVHPKLEASQNFAHNSVYRSPDGNGCRQEEAPDALIQDEAEEGESTDEEPETETEGLISVKALGQGKIKGEPKSPLVSNGRGKATSKRESTPAQGDPEGGAGPAHKPPGTAAVAVRISTSNAGLENHARCTYVGAEKASLLPVLLSGNALALFRPTAPPAPWAPAPTPTQAQTQTLLDGDGEGEGEEAGVGDDEGSLVPPELVVTGGPIQLQWQLRPTPHGDAICHLVFALGARLPTGPAELPPEASLHVSPPACPLAPLRYVPVGQLAPPSACAISDPEPLSVRTSAAWAQLWVLGCGCHFPNHYLQLHSLASLADFTGPALTRLRNNNIRLSRLDPLAGLADRPLSGLRNHNMKLLQLASLNRLDSWPFSSIFNHSLDLNKLQSLVALAGPEVTRVENHALWLSTVQTVDAFAGRRLVTIKNASLSLTTVNAPLGEPVERLEKYACDAFQHAYKDVCACPEPCSGAVGLLAWVLWLARLPFM
+>sp|Q2M1V0|ISX_HUMAN Intestine-specific homeobox OS=Homo sapiens OX=9606 GN=ISX PE=1 SV=2
+MCAEVGPALCRGMERNSLGCCEAPKKLSLSFSIEAILKRPARRSDMDRPEGPGEEGPGEAAASGSGLEKPPKDQPQEGRKSKRRVRTTFTTEQLHELEKIFHFTHYPDVHIRSQLAARINLPEARVQIWFQNQRAKWRKQEKIGNLGAPQQLSEASVALPTNLDVAGPTWTSTALRRLAPPTSCCPSAQDQLASAWFPAWITLLPAHPWETQPVPGLPIHQTCIPVLCILPPPHPKWGSICATST
+>DECOY_sp|Q2M1V0|ISX_HUMAN Intestine-specific homeobox OS=Homo sapiens OX=9606 GN=ISX PE=1 SV=2
+TSTACISGWKPHPPPLICLVPICTQHIPLGPVPQTEWPHAPLLTIWAPFWASALQDQASPCCSTPPALRRLATSTWTPGAVDLNTPLAVSAESLQQPAGLNGIKEQKRWKARQNQFWIQVRAEPLNIRAALQSRIHVDPYHTFHFIKELEHLQETTFTTRVRRKSKRGEQPQDKPPKELGSGSAAAEGPGEEGPGEPRDMDSRRAPRKLIAEISFSLSLKKPAECCGLSNREMGRCLAPGVEACM
+>sp|Q14602|ID2B_HUMAN Putative DNA-binding protein inhibitor ID-2B OS=Homo sapiens OX=9606 GN=ID2B PE=5 SV=1
+MKAFSPVRSIRKNSLLDHRLGISQSKTPVDDLMSLL
+>DECOY_sp|Q14602|ID2B_HUMAN Putative DNA-binding protein inhibitor ID-2B OS=Homo sapiens OX=9606 GN=ID2B PE=5 SV=1
+LLSMLDDVPTKSQSIGLRHDLLSNKRISRVPSFAKM
+>sp|Q9NZ38|IDAS1_HUMAN Uncharacterized protein IDI2-AS1 OS=Homo sapiens OX=9606 GN=IDI2-AS1 PE=2 SV=1
+MAFPGQSDTKMQWPEVPALPLLSSLCMAMVRKSSALGKEVGRRSEGNGDAGGPFPAVKFPIKDIQFSESGEGTRFLHGARLSPLSRNIKARLLLYVRASPLFYESRITLKKPSNRHEVKRNRCNRKTAKQMLMTTLFNELEPTRKYGITEDCTSLNRVLFSANRKCLHKSLYKKDCFKAAPFSMFSFR
+>DECOY_sp|Q9NZ38|IDAS1_HUMAN Uncharacterized protein IDI2-AS1 OS=Homo sapiens OX=9606 GN=IDI2-AS1 PE=2 SV=1
+RFSFMSFPAAKFCDKKYLSKHLCKRNASFLVRNLSTCDETIGYKRTPELENFLTTMLMQKATKRNCRNRKVEHRNSPKKLTIRSEYFLPSARVYLLLRAKINRSLPSLRAGHLFRTGEGSESFQIDKIPFKVAPFPGGADGNGESRRGVEKGLASSKRVMAMCLSSLLPLAPVEPWQMKTDSQGPFAM
+>sp|O75874|IDHC_HUMAN Isocitrate dehydrogenase [NADP] cytoplasmic OS=Homo sapiens OX=9606 GN=IDH1 PE=1 SV=2
+MSKKISGGSVVEMQGDEMTRIIWELIKEKLIFPYVELDLHSYDLGIENRDATNDQVTKDAAEAIKKHNVGVKCATITPDEKRVEEFKLKQMWKSPNGTIRNILGGTVFREAIICKNIPRLVSGWVKPIIIGRHAYGDQYRATDFVVPGPGKVEITYTPSDGTQKVTYLVHNFEEGGGVAMGMYNQDKSIEDFAHSSFQMALSKGWPLYLSTKNTILKKYDGRFKDIFQEIYDKQYKSQFEAQKIWYEHRLIDDMVAQAMKSEGGFIWACKNYDGDVQSDSVAQGYGSLGMMTSVLVCPDGKTVEAEAAHGTVTRHYRMYQKGQETSTNPIASIFAWTRGLAHRAKLDNNKELAFFANALEEVSIETIEAGFMTKDLAACIKGLPNVQRSDYLNTFEFMDKLGENLKIKLAQAKL
+>DECOY_sp|O75874|IDHC_HUMAN Isocitrate dehydrogenase [NADP] cytoplasmic OS=Homo sapiens OX=9606 GN=IDH1 PE=1 SV=2
+LKAQALKIKLNEGLKDMFEFTNLYDSRQVNPLGKICAALDKTMFGAEITEISVEELANAFFALEKNNDLKARHALGRTWAFISAIPNTSTEQGKQYMRYHRTVTGHAAEAEVTKGDPCVLVSTMMGLSGYGQAVSDSQVDGDYNKCAWIFGGESKMAQAVMDDILRHEYWIKQAEFQSKYQKDYIEQFIDKFRGDYKKLITNKTSLYLPWGKSLAMQFSSHAFDEISKDQNYMGMAVGGGEEFNHVLYTVKQTGDSPTYTIEVKGPGPVVFDTARYQDGYAHRGIIIPKVWGSVLRPINKCIIAERFVTGGLINRITGNPSKWMQKLKFEEVRKEDPTITACKVGVNHKKIAEAADKTVQDNTADRNEIGLDYSHLDLEVYPFILKEKILEWIIRTMEDGQMEVVSGGSIKKSM
+>sp|P48735|IDHP_HUMAN Isocitrate dehydrogenase [NADP], mitochondrial OS=Homo sapiens OX=9606 GN=IDH2 PE=1 SV=2
+MAGYLRVVRSLCRASGSRPAWAPAALTAPTSQEQPRRHYADKRIKVAKPVVEMDGDEMTRIIWQFIKEKLILPHVDIQLKYFDLGLPNRDQTDDQVTIDSALATQKYSVAVKCATITPDEARVEEFKLKKMWKSPNGTIRNILGGTVFREPIICKNIPRLVPGWTKPITIGRHAHGDQYKATDFVADRAGTFKMVFTPKDGSGVKEWEVYNFPAGGVGMGMYNTDESISGFAHSCFQYAIQKKWPLYMSTKNTILKAYDGRFKDIFQEIFDKHYKTDFDKNKIWYEHRLIDDMVAQVLKSSGGFVWACKNYDGDVQSDILAQGFGSLGLMTSVLVCPDGKTIEAEAAHGTVTRHYREHQKGRPTSTNPIASIFAWTRGLEHRGKLDGNQDLIRFAQMLEKVCVETVESGAMTKDLAGCIHGLSNVKLNEHFLNTTDFLDTIKSNLDRALGRQ
+>DECOY_sp|P48735|IDHP_HUMAN Isocitrate dehydrogenase [NADP], mitochondrial OS=Homo sapiens OX=9606 GN=IDH2 PE=1 SV=2
+QRGLARDLNSKITDLFDTTNLFHENLKVNSLGHICGALDKTMAGSEVTEVCVKELMQAFRILDQNGDLKGRHELGRTWAFISAIPNTSTPRGKQHERYHRTVTGHAAEAEITKGDPCVLVSTMLGLSGFGQALIDSQVDGDYNKCAWVFGGSSKLVQAVMDDILRHEYWIKNKDFDTKYHKDFIEQFIDKFRGDYAKLITNKTSMYLPWKKQIAYQFCSHAFGSISEDTNYMGMGVGGAPFNYVEWEKVGSGDKPTFVMKFTGARDAVFDTAKYQDGHAHRGITIPKTWGPVLRPINKCIIPERFVTGGLINRITGNPSKWMKKLKFEEVRAEDPTITACKVAVSYKQTALASDITVQDDTQDRNPLGLDFYKLQIDVHPLILKEKIFQWIIRTMEDGDMEVVPKAVKIRKDAYHRRPQEQSTPATLAAPAWAPRSGSARCLSRVVRLYGAM
+>sp|Q13907|IDI1_HUMAN Isopentenyl-diphosphate Delta-isomerase 1 OS=Homo sapiens OX=9606 GN=IDI1 PE=1 SV=2
+MPEINTNHLDKQQVQLLAEMCILIDENDNKIGAETKKNCHLNENIEKGLLHRAFSVFLFNTENKLLLQQRSDAKITFPGCFTNTCCSHPLSNPAELEESDALGVRRAAQRRLKAELGIPLEEVPPEEINYLTRIHYKAQSDGIWGEHEIDYILLVRKNVTLNPDPNEIKSYCYVSKEELKELLKKAASGEIKITPWFKIIAATFLFKWWDNLNHLNQFVDHEKIYRM
+>DECOY_sp|Q13907|IDI1_HUMAN Isopentenyl-diphosphate Delta-isomerase 1 OS=Homo sapiens OX=9606 GN=IDI1 PE=1 SV=2
+MRYIKEHDVFQNLHNLNDWWKFLFTAAIIKFWPTIKIEGSAAKKLLEKLEEKSVYCYSKIENPDPNLTVNKRVLLIYDIEHEGWIGDSQAKYHIRTLYNIEEPPVEELPIGLEAKLRRQAARRVGLADSEELEAPNSLPHSCCTNTFCGPFTIKADSRQQLLLKNETNFLFVSFARHLLGKEINENLHCNKKTEAGIKNDNEDILICMEALLQVQQKDLHNTNIEPM
+>sp|Q9BXS1|IDI2_HUMAN Isopentenyl-diphosphate delta-isomerase 2 OS=Homo sapiens OX=9606 GN=IDI2 PE=1 SV=1
+MSDINLDWVDRRQLQRLEEMLIVVDENDKVIGADTKRNCHLNENIEKGLLHRAFSVVLFNTKNRILIQQRSDTKVTFPGYFTDSCSSHPLYNPAELEEKDAIGVRRAAQRRLQAELGIPGEQISPEDIVFMTIYHHKAKSDRIWGEHEICYLLLVRKNVTLNPDPSETKSILYLSQEELWELLEREARGEVKVTPWLRTIAERFLYRWWPHLDDVTPFVELHKIHRV
+>DECOY_sp|Q9BXS1|IDI2_HUMAN Isopentenyl-diphosphate delta-isomerase 2 OS=Homo sapiens OX=9606 GN=IDI2 PE=1 SV=1
+VRHIKHLEVFPTVDDLHPWWRYLFREAITRLWPTVKVEGRAERELLEWLEEQSLYLISKTESPDPNLTVNKRVLLLYCIEHEGWIRDSKAKHHYITMFVIDEPSIQEGPIGLEAQLRRQAARRVGIADKEELEAPNYLPHSSCSDTFYGPFTVKTDSRQQILIRNKTNFLVVSFARHLLGKEINENLHCNRKTDAGIVKDNEDVVILMEELRQLQRRDVWDLNIDSM
+>sp|Q5VY09|IER5_HUMAN Immediate early response gene 5 protein OS=Homo sapiens OX=9606 GN=IER5 PE=1 SV=3
+MEFKLEAHRIVSISLGKIYNSRVQRGGIKLHKNLLVSLVLRSARQVYLSDPCPGLYLAGPAGTPAPPPQQQPGEPAAGPPAGWGEPPPPAARASWPETEPQPERSSVSDAPRVGDEVPVATVTGVGDVFQGGEADATEAAWSRVEGPRQAAAREAEGTAGGWGVFPEVSRAARRPCGCPLGGEDPPGTPAATPRAACCCAPQPAEDEPPAPPAVCPRKRCAAGVGGGPAGCPAPGSTPLKKPRRNLEQPPSGGEDDDAEEMETGNVANLISIFGSSFSGLLRKSPGGGREEEEGEESGPEAAEPGQICCDKPVLRDMNPWSTAIVAF
+>DECOY_sp|Q5VY09|IER5_HUMAN Immediate early response gene 5 protein OS=Homo sapiens OX=9606 GN=IER5 PE=1 SV=3
+FAVIATSWPNMDRLVPKDCCIQGPEAAEPGSEEGEEEERGGGPSKRLLGSFSSGFISILNAVNGTEMEEADDDEGGSPPQELNRRPKKLPTSGPAPCGAPGGGVGAACRKRPCVAPPAPPEDEAPQPACCCAARPTAAPTGPPDEGGLPCGCPRRAARSVEPFVGWGGATGEAERAAAQRPGEVRSWAAETADAEGGQFVDGVGTVTAVPVEDGVRPADSVSSREPQPETEPWSARAAPPPPEGWGAPPGAAPEGPQQQPPPAPTGAPGALYLGPCPDSLYVQRASRLVLSVLLNKHLKIGGRQVRSNYIKGLSISVIRHAELKFEM
+>sp|O00425|IF2B3_HUMAN Insulin-like growth factor 2 mRNA-binding protein 3 OS=Homo sapiens OX=9606 GN=IGF2BP3 PE=1 SV=2
+MNKLYIGNLSENAAPSDLESIFKDAKIPVSGPFLVKTGYAFVDCPDESWALKAIEALSGKIELHGKPIEVEHSVPKRQRIRKLQIRNIPPHLQWEVLDSLLVQYGVVESCEQVNTDSETAVVNVTYSSKDQARQALDKLNGFQLENFTLKVAYIPDEMAAQQNPLQQPRGRRGLGQRGSSRQGSPGSVSKQKPCDLPLRLLVPTQFVGAIIGKEGATIRNITKQTQSKIDVHRKENAGAAEKSITILSTPEGTSAACKSILEIMHKEAQDIKFTEEIPLKILAHNNFVGRLIGKEGRNLKKIEQDTDTKITISPLQELTLYNPERTITVKGNVETCAKAEEEIMKKIRESYENDIASMNLQAHLIPGLNLNALGLFPPTSGMPPPTSGPPSAMTPPYPQFEQSETETVHLFIPALSVGAIIGKQGQHIKQLSRFAGASIKIAPAEAPDAKVRMVIITGPPEAQFKAQGRIYGKIKEENFVSPKEEVKLEAHIRVPSFAAGRVIGKGGKTVNELQNLSSAEVVVPRDQTPDENDQVVVKITGHFYACQVAQRKIQEILTQVKQHQQQKALQSGPPQSRRK
+>DECOY_sp|O00425|IF2B3_HUMAN Insulin-like growth factor 2 mRNA-binding protein 3 OS=Homo sapiens OX=9606 GN=IGF2BP3 PE=1 SV=2
+KRRSQPPGSQLAKQQQHQKVQTLIEQIKRQAVQCAYFHGTIKVVVQDNEDPTQDRPVVVEASSLNQLENVTKGGKGIVRGAAFSPVRIHAELKVEEKPSVFNEEKIKGYIRGQAKFQAEPPGTIIVMRVKADPAEAPAIKISAGAFRSLQKIHQGQKGIIAGVSLAPIFLHVTETESQEFQPYPPTMASPPGSTPPPMGSTPPFLGLANLNLGPILHAQLNMSAIDNEYSERIKKMIEEEAKACTEVNGKVTITREPNYLTLEQLPSITIKTDTDQEIKKLNRGEKGILRGVFNNHALIKLPIEETFKIDQAEKHMIELISKCAASTGEPTSLITISKEAAGANEKRHVDIKSQTQKTINRITAGEKGIIAGVFQTPVLLRLPLDCPKQKSVSGPSGQRSSGRQGLGRRGRPQQLPNQQAAMEDPIYAVKLTFNELQFGNLKDLAQRAQDKSSYTVNVVATESDTNVQECSEVVGYQVLLSDLVEWQLHPPINRIQLKRIRQRKPVSHEVEIPKGHLEIKGSLAEIAKLAWSEDPCDVFAYGTKVLFPGSVPIKADKFISELDSPAANESLNGIYLKNM
+>sp|P20042|IF2B_HUMAN Eukaryotic translation initiation factor 2 subunit 2 OS=Homo sapiens OX=9606 GN=EIF2S2 PE=1 SV=2
+MSGDEMIFDPTMSKKKKKKKKPFMLDEEGDTQTEETQPSETKEVEPEPTEDKDLEADEEDTRKKDASDDLDDLNFFNQKKKKKKTKKIFDIDEAEEGVKDLKIESDVQEPTEPEDDLDIMLGNKKKKKKNVKFPDEDEILEKDEALEDEDNKKDDGISFSNQTGPAWAGSERDYTYEELLNRVFNIMREKNPDMVAGEKRKFVMKPPQVVRVGTKKTSFVNFTDICKLLHRQPKHLLAFLLAELGTSGSIDGNNQLVIKGRFQQKQIENVLRRYIKEYVTCHTCRSPDTILQKDTRLYFLQCETCHSRCSVASIKTGFQAVTGKRAQLRAKAN
+>DECOY_sp|P20042|IF2B_HUMAN Eukaryotic translation initiation factor 2 subunit 2 OS=Homo sapiens OX=9606 GN=EIF2S2 PE=1 SV=2
+NAKARLQARKGTVAQFGTKISAVSCRSHCTECQLFYLRTDKQLITDPSRCTHCTVYEKIYRRLVNEIQKQQFRGKIVLQNNGDISGSTGLEALLFALLHKPQRHLLKCIDTFNVFSTKKTGVRVVQPPKMVFKRKEGAVMDPNKERMINFVRNLLEEYTYDRESGAWAPGTQNSFSIGDDKKNDEDELAEDKELIEDEDPFKVNKKKKKKNGLMIDLDDEPETPEQVDSEIKLDKVGEEAEDIDFIKKTKKKKKKQNFFNLDDLDDSADKKRTDEEDAELDKDETPEPEVEKTESPQTEETQTDGEEDLMFPKKKKKKKKSMTPDFIMEDGSM
+>sp|P41091|IF2G_HUMAN Eukaryotic translation initiation factor 2 subunit 3 OS=Homo sapiens OX=9606 GN=EIF2S3 PE=1 SV=3
+MAGGEAGVTLGQPHLSRQDLTTLDVTKLTPLSHEVISRQATINIGTIGHVAHGKSTVVKAISGVHTVRFKNELERNITIKLGYANAKIYKLDDPSCPRPECYRSCGSSTPDEFPTDIPGTKGNFKLVRHVSFVDCPGHDILMATMLNGAAVMDAALLLIAGNESCPQPQTSEHLAAIEIMKLKHILILQNKIDLVKESQAKEQYEQILAFVQGTVAEGAPIIPISAQLKYNIEVVCEYIVKKIPVPPRDFTSEPRLIVIRSFDVNKPGCEVDDLKGGVAGGSILKGVLKVGQEIEVRPGIVSKDSEGKLMCKPIFSKIVSLFAEHNDLQYAAPGGLIGVGTKIDPTLCRADRMVGQVLGAVGALPEIFTELEISYFLLRRLLGVRTEGDKKAAKVQKLSKNEVLMVNIGSLSTGGRVSAVKADLGKIVLTNPVCTEVGEKIALSRRVEKHWRLIGWGQIRRGVTIKPTVDDD
+>DECOY_sp|P41091|IF2G_HUMAN Eukaryotic translation initiation factor 2 subunit 3 OS=Homo sapiens OX=9606 GN=EIF2S3 PE=1 SV=3
+DDDVTPKITVGRRIQGWGILRWHKEVRRSLAIKEGVETCVPNTLVIKGLDAKVASVRGGTSLSGINVMLVENKSLKQVKAAKKDGETRVGLLRRLLFYSIELETFIEPLAGVAGLVQGVMRDARCLTPDIKTGVGILGGPAAYQLDNHEAFLSVIKSFIPKCMLKGESDKSVIGPRVEIEQGVKLVGKLISGGAVGGKLDDVECGPKNVDFSRIVILRPESTFDRPPVPIKKVIYECVVEINYKLQASIPIIPAGEAVTGQVFALIQEYQEKAQSEKVLDIKNQLILIHKLKMIEIAALHESTQPQPCSENGAILLLAADMVAAGNLMTAMLIDHGPCDVFSVHRVLKFNGKTGPIDTPFEDPTSSGCSRYCEPRPCSPDDLKYIKANAYGLKITINRELENKFRVTHVGSIAKVVTSKGHAVHGITGINITAQRSIVEHSLPTLKTVDLTTLDQRSLHPQGLTVGAEGGAM
+>sp|P46199|IF2M_HUMAN Translation initiation factor IF-2, mitochondrial OS=Homo sapiens OX=9606 GN=MTIF2 PE=1 SV=2
+MNQKLLKLENLLRFHTIYRQLHSLCQRRALRQWRHGFSSAYPVWTAQLCAWPWPTDVLTGAALSQYRLLVTKKEEGPWKSQLSSTKSKKVVEVWIGMTIEELARAMEKNTDYVYEALLNTDIDIDSLEADSHLDEVWIKEVITKAGMKLKWSKLKQDKVRKNKDAVRRPQADPALLTPRSPVVTIMGHVDHGKTTLLDKFRKTQVAAVETGGITQHIGAFLVSLPSGEKITFLDTPGHAAFSAMRARGAQVTDIVVLVVAADDGVMKQTVESIQHAKDAQVPIILAVNKCDKAEADPEKVKKELLAYDVVCEDYGGDVQAVPVSALTGDNLMALAEATVALAEMLELKADPNGPVEGTVIESFTDKGRGLVTTAIIQRGTLRKGSVLVAGKCWAKVRLMFDENGKTIDEAYPSMPVGITGWRDLPSAGEEILEVESEPRAREVVDWRKYEQEQEKGQEDLKIIEEKRKEHKEAHQKAREKYGHLLWKKRSILRFLERKEQIPLKPKEKRERDSNVLSVIIKGDVDGSVEAILNIIDTYDASHECELELVHFGVGDVSANDVNLAETFDGVIYGFNVNAGNVIQQSAAKKGVKIKLHKIIYRLVEDLQEELSSRLPCAVEEHPVGEASILATFSVTEGKKKVPVAGCRVQKGQLEKQKKFKLTRNGHVIWKGSLTSLKHHKDDISIVKTGMDCGLSLDEDNMEFQVGDRIVCYEEKQIQAKTSWDPGF
+>DECOY_sp|P46199|IF2M_HUMAN Translation initiation factor IF-2, mitochondrial OS=Homo sapiens OX=9606 GN=MTIF2 PE=1 SV=2
+FGPDWSTKAQIQKEEYCVIRDGVQFEMNDEDLSLGCDMGTKVISIDDKHHKLSTLSGKWIVHGNRTLKFKKQKELQGKQVRCGAVPVKKKGETVSFTALISAEGVPHEEVACPLRSSLEEQLDEVLRYIIKHLKIKVGKKAASQQIVNGANVNFGYIVGDFTEALNVDNASVDGVGFHVLELECEHSADYTDIINLIAEVSGDVDGKIIVSLVNSDRERKEKPKLPIQEKRELFRLISRKKWLLHGYKERAKQHAEKHEKRKEEIIKLDEQGKEQEQEYKRWDVVERARPESEVELIEEGASPLDRWGTIGVPMSPYAEDITKGNEDFMLRVKAWCKGAVLVSGKRLTGRQIIATTVLGRGKDTFSEIVTGEVPGNPDAKLELMEALAVTAEALAMLNDGTLASVPVAQVDGGYDECVVDYALLEKKVKEPDAEAKDCKNVALIIPVQADKAHQISEVTQKMVGDDAAVVLVVIDTVQAGRARMASFAAHGPTDLFTIKEGSPLSVLFAGIHQTIGGTEVAAVQTKRFKDLLTTKGHDVHGMITVVPSRPTLLAPDAQPRRVADKNKRVKDQKLKSWKLKMGAKTIVEKIWVEDLHSDAELSDIDIDTNLLAEYVYDTNKEMARALEEITMGIWVEVVKKSKTSSLQSKWPGEEKKTVLLRYQSLAAGTLVDTPWPWACLQATWVPYASSFGHRWQRLARRQCLSHLQRYITHFRLLNELKLLKQNM
+>sp|Q9H2K0|IF3M_HUMAN Translation initiation factor IF-3, mitochondrial OS=Homo sapiens OX=9606 GN=MTIF3 PE=1 SV=4
+MAALFLKRLTLQTVKSENSCIRCFGKHILQKTAPAQLSPIASAPRLSFLIHAKAFSTAEDTQNEGKKTKKNKTAFSNVGRKISQRVIHLFDEKGNDLGNMHRANVIRLMDERDLRLVQRNTSTEPAEYQLMTGLQILQERQRLREMEKANPKTGPTLRKELILSSNIGQHDLDTKTKQIQQWIKKKHLVQITIKKGKNVDVSENEMEEIFHQILQTMPGIATFSSRPQAVQGGKALMCVLRAFSKNEEKAYKETQETQERDTLNKDHGNDKESNVLHQ
+>DECOY_sp|Q9H2K0|IF3M_HUMAN Translation initiation factor IF-3, mitochondrial OS=Homo sapiens OX=9606 GN=MTIF3 PE=1 SV=4
+QHLVNSEKDNGHDKNLTDREQTEQTEKYAKEENKSFARLVCMLAKGGQVAQPRSSFTAIGPMTQLIQHFIEEMENESVDVNKGKKITIQVLHKKKIWQQIQKTKTDLDHQGINSSLILEKRLTPGTKPNAKEMERLRQREQLIQLGTMLQYEAPETSTNRQVLRLDREDMLRIVNARHMNGLDNGKEDFLHIVRQSIKRGVNSFATKNKKTKKGENQTDEATSFAKAHILFSLRPASAIPSLQAPATKQLIHKGFCRICSNESKVTQLTLRKLFLAAM
+>sp|Q53G44|IF44L_HUMAN Interferon-induced protein 44-like OS=Homo sapiens OX=9606 GN=IFI44L PE=2 SV=3
+MEVTTRLTWNDENHLRKLLGNVSLSLLYKSSVHGGSIEDMVERCSRQGCTITMAYIDYNMIVAFMLGNYINLHESSTEPNDSLWFSLQKKNDTTEIETLLLNTAPKIIDEQLVCRLSKTDIFIICRDNKIYLDKMITRNLKLRFYGHRQYLECEVFRVEGIKDNLDDIKRIIKAREHRNRLLADIRDYRPYADLVSEIRILLVGPVGSGKSSFFNSVKSIFHGHVTGQAVVGSDITSITERYRIYSVKDGKNGKSLPFMLCDTMGLDGAEGAGLCMDDIPHILKGCMPDRYQFNSRKPITPEHSTFITSPSLKDRIHCVAYVLDINSIDNLYSKMLAKVKQVHKEVLNCGIAYVALLTKVDDCSEVLQDNFLNMSRSMTSQSRVMNVHKMLGIPISNILMVGNYASDLELDPMKDILILSALRQMLRAADDFLEDLPLEETGAIERALQPCI
+>DECOY_sp|Q53G44|IF44L_HUMAN Interferon-induced protein 44-like OS=Homo sapiens OX=9606 GN=IFI44L PE=2 SV=3
+ICPQLAREIAGTEELPLDELFDDAARLMQRLASLILIDKMPDLELDSAYNGVMLINSIPIGLMKHVNMVRSQSTMSRSMNLFNDQLVESCDDVKTLLAVYAIGCNLVEKHVQKVKALMKSYLNDISNIDLVYAVCHIRDKLSPSTIFTSHEPTIPKRSNFQYRDPMCGKLIHPIDDMCLGAGEAGDLGMTDCLMFPLSKGNKGDKVSYIRYRETISTIDSGVVAQGTVHGHFISKVSNFFSSKGSGVPGVLLIRIESVLDAYPRYDRIDALLRNRHERAKIIRKIDDLNDKIGEVRFVECELYQRHGYFRLKLNRTIMKDLYIKNDRCIIFIDTKSLRCVLQEDIIKPATNLLLTEIETTDNKKQLSFWLSDNPETSSEHLNIYNGLMFAVIMNYDIYAMTITCGQRSCREVMDEISGGHVSSKYLLSLSVNGLLKRLHNEDNWTLRTTVEM
+>sp|O43432|IF4G3_HUMAN Eukaryotic translation initiation factor 4 gamma 3 OS=Homo sapiens OX=9606 GN=EIF4G3 PE=1 SV=2
+MNSQPQTRSPFFQRPQIQPPRATIPNSSPSIRPGAQTPTAVYQANQHIMMVNHLPMPYPVPQGPQYCIPQYRHSGPPYVGPPQQYPVQPPGPGPFYPGPGPGDFPNAYGTPFYPSQPVYQSAPIIVPTQQQPPPAKREKKTIRIRDPNQGGKDITEEIMSGGGSRNPTPPIGRPTSTPTPPQQLPSQVPEHSPVVYGTVESAHLAASTPVTAASDQKQEEKPKPDPVLKSPSPVLRLVLSGEKKEQEGQTSETTAIVSIAELPLPPSPTTVSSVARSTIAAPTSSALSSQPIFTTAIDDRCELSSPREDTIPIPSLTSCTETSDPLPTNENDDDICKKPCSVAPNDIPLVSSTNLINEINGVSEKLSATESIVEIVKQEVLPLTLELEILENPPEEMKLECIPAPITPSTVPSFPPTPPTPPASPPHTPVIVPAAATTVSSPSAAITVQRVLEEDESIRTCLSEDAKEIQNKIEVEADGQTEEILDSQNLNSRRSPVPAQIAITVPKTWKKPKDRTRTTEEMLEAELELKAEEELSIDKVLESEQDKMSQGFHPERDPSDLKKVKAVEENGEEAEPVRNGAESVSEGEGIDANSGSTDSSGDGVTFPFKPESWKPTDTEGKKQYDREFLLDFQFMPACIQKPEGLPPISDVVLDKINQPKLPMRTLDPRILPRGPDFTPAFADFGRQTPGGRGVPLLNVGSRRSQPGQRREPRKIITVSVKEDVHLKKAENAWKPSQKRDSQADDPENIKTQELFRKVRSILNKLTPQMFNQLMKQVSGLTVDTEERLKGVIDLVFEKAIDEPSFSVAYANMCRCLVTLKVPMADKPGNTVNFRKLLLNRCQKEFEKDKADDDVFEKKQKELEAASAPEERTRLHDELEEAKDKARRRSIGNIKFIGELFKLKMLTEAIMHDCVVKLLKNHDEESLECLCRLLTTIGKDLDFEKAKPRMDQYFNQMEKIVKERKTSSRIRFMLQDVIDLRLCNWVSRRADQGPKTIEQIHKEAKIEEQEEQRKVQQLMTKEKRRPGVQRVDEGGWNTVQGAKNSRVLDPSKFLKITKPTIDEKIQLVPKAQLGSWGKGSSGGAKASETDALRSSASSLNRFSALQPPAPSGSTPSTPVEFDSRRTLTSRGSMGREKNDKPLPSATARPNTFMRGGSSKDLLDNQSQEEQRREMLETVKQLTGGVDVERNSTEAERNKTRESAKPEISAMSAHDKAALSEEELERKSKSIIDEFLHINDFKEAMQCVEELNAQGLLHVFVRVGVESTLERSQITRDHMGQLLYQLVQSEKLSKQDFFKGFSETLELADDMAIDIPHIWLYLAELVTPMLKEGGISMRELTIEFSKPLLPVGRAGVLLSEILHLLCKQMSHKKVGALWREADLSWKDFLPEGEDVHNFLLEQKLDFIESDSPCSSEALSKKELSAEELYKRLEKLIIEDKANDEQIFDWVEANLDEIQMSSPTFLRALMTAVCKAAIIADSSTFRVDTAVIKQRVPILLKYLDSDTEKELQALYALQASIVKLDQPANLLRMFFDCLYDEEVISEDAFYKWESSKDPAEQNGKGVALKSVTAFFTWLREAEEESEDN
+>DECOY_sp|O43432|IF4G3_HUMAN Eukaryotic translation initiation factor 4 gamma 3 OS=Homo sapiens OX=9606 GN=EIF4G3 PE=1 SV=2
+NDESEEEAERLWTFFATVSKLAVGKGNQEAPDKSSEWKYFADESIVEEDYLCDFFMRLLNAPQDLKVISAQLAYLAQLEKETDSDLYKLLIPVRQKIVATDVRFTSSDAIIAAKCVATMLARLFTPSSMQIEDLNAEVWDFIQEDNAKDEIILKELRKYLEEASLEKKSLAESSCPSDSEIFDLKQELLFNHVDEGEPLFDKWSLDAERWLAGVKKHSMQKCLLHLIESLLVGARGVPLLPKSFEITLERMSIGGEKLMPTVLEALYLWIHPIDIAMDDALELTESFGKFFDQKSLKESQVLQYLLQGMHDRTIQSRELTSEVGVRVFVHLLGQANLEEVCQMAEKFDNIHLFEDIISKSKRELEEESLAAKDHASMASIEPKASERTKNREAETSNREVDVGGTLQKVTELMERRQEEQSQNDLLDKSSGGRMFTNPRATASPLPKDNKERGMSGRSTLTRRSDFEVPTSPTSGSPAPPQLASFRNLSSASSRLADTESAKAGGSSGKGWSGLQAKPVLQIKEDITPKTIKLFKSPDLVRSNKAGQVTNWGGEDVRQVGPRRKEKTMLQQVKRQEEQEEIKAEKHIQEITKPGQDARRSVWNCLRLDIVDQLMFRIRSSTKREKVIKEMQNFYQDMRPKAKEFDLDKGITTLLRCLCELSEEDHNKLLKVVCDHMIAETLMKLKFLEGIFKINGISRRRAKDKAEELEDHLRTREEPASAAELEKQKKEFVDDDAKDKEFEKQCRNLLLKRFNVTNGPKDAMPVKLTVLCRCMNAYAVSFSPEDIAKEFVLDIVGKLREETDVTLGSVQKMLQNFMQPTLKNLISRVKRFLEQTKINEPDDAQSDRKQSPKWANEAKKLHVDEKVSVTIIKRPERRQGPQSRRSGVNLLPVGRGGPTQRGFDAFAPTFDPGRPLIRPDLTRMPLKPQNIKDLVVDSIPPLGEPKQICAPMFQFDLLFERDYQKKGETDTPKWSEPKFPFTVGDGSSDTSGSNADIGEGESVSEAGNRVPEAEEGNEEVAKVKKLDSPDREPHFGQSMKDQESELVKDISLEEEAKLELEAELMEETTRTRDKPKKWTKPVTIAIQAPVPSRRSNLNQSDLIEETQGDAEVEIKNQIEKADESLCTRISEDEELVRQVTIAASPSSVTTAAAPVIVPTHPPSAPPTPPTPPFSPVTSPTIPAPICELKMEEPPNELIELELTLPLVEQKVIEVISETASLKESVGNIENILNTSSVLPIDNPAVSCPKKCIDDDNENTPLPDSTETCSTLSPIPITDERPSSLECRDDIATTFIPQSSLASSTPAAITSRAVSSVTTPSPPLPLEAISVIATTESTQGEQEKKEGSLVLRLVPSPSKLVPDPKPKEEQKQDSAATVPTSAALHASEVTGYVVPSHEPVQSPLQQPPTPTSTPRGIPPTPNRSGGGSMIEETIDKGGQNPDRIRITKKERKAPPPQQQTPVIIPASQYVPQSPYFPTGYANPFDGPGPGPYFPGPGPPQVPYQQPPGVYPPGSHRYQPICYQPGQPVPYPMPLHNVMMIHQNAQYVATPTQAGPRISPSSNPITARPPQIQPRQFFPSRTQPQSNM
+>sp|Q9GZV4|IF5A2_HUMAN Eukaryotic translation initiation factor 5A-2 OS=Homo sapiens OX=9606 GN=EIF5A2 PE=1 SV=3
+MADEIDFTTGDAGASSTYPMQCSALRKNGFVVLKGRPCKIVEMSTSKTGKHGHAKVHLVGIDIFTGKKYEDICPSTHNMDVPNIKRNDYQLICIQDGYLSLLTETGEVREDLKLPEGELGKEIEGKYNAGEDVQVSVMCAMSEEYAVAIKPCK
+>DECOY_sp|Q9GZV4|IF5A2_HUMAN Eukaryotic translation initiation factor 5A-2 OS=Homo sapiens OX=9606 GN=EIF5A2 PE=1 SV=3
+KCPKIAVAYEESMACMVSVQVDEGANYKGEIEKGLEGEPLKLDERVEGTETLLSLYGDQICILQYDNRKINPVDMNHTSPCIDEYKKGTFIDIGVLHVKAHGHKGTKSTSMEVIKCPRGKLVVFGNKRLASCQMPYTSSAGADGTTFDIEDAM
+>sp|Q0D2I5|IFFO1_HUMAN Intermediate filament family orphan 1 OS=Homo sapiens OX=9606 GN=IFFO1 PE=2 SV=2
+MNPLFGPNLFLLQQEQQGLAGPLGDSLGGDHFAGGGDLPPAPLSPAGPAAYSPPGPGPAPPAAMALRNDLGSNINVLKTLNLRFRCFLAKVHELERRNRLLEKQLQQALEEGKQGRRGLGRRDQAVQTGFVSPIRPLGLQLGARPAAVCSPSARVLGSPARSPAGPLAPSAASLSSSSTSTSTTYSSSARFMPGTIWSFSHARRLGPGLEPTLVQGPGLSWVHPDGVGVQIDTITPEIRALYNVLAKVKRERDEYKRRWEEEYTVRIQLQDRVNELQEEAQEADACQEELALKVEQLKAELVVFKGLMSNNLSELDTKIQEKAMKVDMDICRRIDITAKLCDVAQQRNCEDMIQMFQVPSMGGRKRERKAAVEEDTSLSESEGPRQPDGDEEESTALSINEEMQRMLNQLREYDFEDDCDSLTWEETEETLLLWEDFSGYAMAAAEAQGEQEDSLEKVIKDTESLFKTREKEYQETIDQIELELATAKNDMNRHLHEYMEMCSMKRGLDVQMETCRRLITQSGDRKSPAFTAVPLSDPPPPPSEAEDSDRDVSSDSSMR
+>DECOY_sp|Q0D2I5|IFFO1_HUMAN Intermediate filament family orphan 1 OS=Homo sapiens OX=9606 GN=IFFO1 PE=2 SV=2
+RMSSDSSVDRDSDEAESPPPPPDSLPVATFAPSKRDGSQTILRRCTEMQVDLGRKMSCMEMYEHLHRNMDNKATALELEIQDITEQYEKERTKFLSETDKIVKELSDEQEGQAEAAAMAYGSFDEWLLLTEETEEWTLSDCDDEFDYERLQNLMRQMEENISLATSEEEDGDPQRPGESESLSTDEEVAAKRERKRGGMSPVQFMQIMDECNRQQAVDCLKATIDIRRCIDMDVKMAKEQIKTDLESLNNSMLGKFVVLEAKLQEVKLALEEQCADAEQAEEQLENVRDQLQIRVTYEEEWRRKYEDRERKVKALVNYLARIEPTITDIQVGVGDPHVWSLGPGQVLTPELGPGLRRAHSFSWITGPMFRASSSYTTSTSTSSSSLSAASPALPGAPSRAPSGLVRASPSCVAAPRAGLQLGLPRIPSVFGTQVAQDRRGLGRRGQKGEELAQQLQKELLRNRRELEHVKALFCRFRLNLTKLVNINSGLDNRLAMAAPPAPGPGPPSYAAPGAPSLPAPPLDGGGAFHDGGLSDGLPGALGQQEQQLLFLNPGFLPNM
+>sp|Q6K0P9|IFIX_HUMAN Pyrin and HIN domain-containing protein 1 OS=Homo sapiens OX=9606 GN=PYHIN1 PE=1 SV=1
+MANNYKKIVLLKGLEVINDYHFRIVKSLLSNDLKLNPKMKEEYDKIQIADLMEEKFPGDAGLGKLIEFFKEIPTLGDLAETLKREKLKVANKIESIPVKGIIPSKKTKQKEVYPATPACTPSNRLTAKGAEETLGPQKRKKPSEEETGTKRSKMSKEQTRPSCSAGASTSTAMGRSPPPQTSSSAPPNTSSTESLKPLANRHATASKNIFREDPIIAMVLNATKVFKYESSENEQRRMFHATVATQTQFFHVKVLNINLKRKFIKKRIIIISNYSKRNSLLEVNEASSVSEAGPDQTFEVPKDIIRRAKKIPKINILHKQTSGYIVYGLFMLHTKIVNRKTTIYEIQDKTGSMAVVGKGECHNIPCEKGDKLRLFCFRLRKRENMSKLMSEMHSFIQIQKNTNQRSHDSRSMALPQEQSQHPKPSEASTTLPESHLKTPQMPPTTPSSSSFTKKDETHPGAQSSPANFRITSPTVAPPLSSDTSTNRHPAVP
+>DECOY_sp|Q6K0P9|IFIX_HUMAN Pyrin and HIN domain-containing protein 1 OS=Homo sapiens OX=9606 GN=PYHIN1 PE=1 SV=1
+PVAPHRNTSTDSSLPPAVTPSTIRFNAPSSQAGPHTEDKKTFSSSSPTTPPMQPTKLHSEPLTTSAESPKPHQSQEQPLAMSRSDHSRQNTNKQIQIFSHMESMLKSMNERKRLRFCFLRLKDGKECPINHCEGKGVVAMSGTKDQIEYITTKRNVIKTHLMFLGYVIYGSTQKHLINIKPIKKARRIIDKPVEFTQDPGAESVSSAENVELLSNRKSYNSIIIIRKKIFKRKLNINLVKVHFFQTQTAVTAHFMRRQENESSEYKFVKTANLVMAIIPDERFINKSATAHRNALPKLSETSSTNPPASSSTQPPPSRGMATSTSAGASCSPRTQEKSMKSRKTGTEEESPKKRKQPGLTEEAGKATLRNSPTCAPTAPYVEKQKTKKSPIIGKVPISEIKNAVKLKERKLTEALDGLTPIEKFFEILKGLGADGPFKEEMLDAIQIKDYEEKMKPNLKLDNSLLSKVIRFHYDNIVELGKLLVIKKYNNAM
+>sp|A6NMD0|IFM10_HUMAN Interferon-induced transmembrane protein 10 OS=Homo sapiens OX=9606 GN=IFITM10 PE=2 SV=1
+MREGKRGPPCILSFRGTLERVEAQWELEAQGPGQCPAPLGDPASTTDGAQEARVPLDGAFWIPRPPAGSPKGCFACVSKPPALQAPAAPAPEPSASPPMAPTLFPMESKSSKTDSVRAAGAPPACKHLAEKKTMTNPTTVIEVYPDTTEVNDYYLWSIFNFVYLNFCCLGFIALAYSLKVRDKKLLNDLNGAVEDAKTARLFNITSSALAASCIILVFIFLRYPLTDY
+>DECOY_sp|A6NMD0|IFM10_HUMAN Interferon-induced transmembrane protein 10 OS=Homo sapiens OX=9606 GN=IFITM10 PE=2 SV=1
+YDTLPYRLFIFVLIICSAALASSTINFLRATKADEVAGNLDNLLKKDRVKLSYALAIFGLCCFNLYVFNFISWLYYDNVETTDPYVEIVTTPNTMTKKEALHKCAPPAGAARVSDTKSSKSEMPFLTPAMPPSASPEPAPAAPAQLAPPKSVCAFCGKPSGAPPRPIWFAGDLPVRAEQAGDTTSAPDGLPAPCQGPGQAELEWQAEVRELTGRFSLICPPGRKGERM
+>sp|P13164|IFM1_HUMAN Interferon-induced transmembrane protein 1 OS=Homo sapiens OX=9606 GN=IFITM1 PE=1 SV=3
+MHKEEHEVAVLGPPPSTILPRSTVINIHSETSVPDHVVWSLFNTLFLNWCCLGFIAFAYSVKSRDRKMVGDVTGAQAYASTAKCLNIWALILGILMTIGFILLLVFGSVTVYHIMLQIIQEKRGY
+>DECOY_sp|P13164|IFM1_HUMAN Interferon-induced transmembrane protein 1 OS=Homo sapiens OX=9606 GN=IFITM1 PE=1 SV=3
+YGRKEQIIQLMIHYVTVSGFVLLLIFGITMLIGLILAWINLCKATSAYAQAGTVDGVMKRDRSKVSYAFAIFGLCCWNLFLTNFLSWVVHDPVSTESHINIVTSRPLITSPPPGLVAVEHEEKHM
+>sp|P01569|IFNA5_HUMAN Interferon alpha-5 OS=Homo sapiens OX=9606 GN=IFNA5 PE=1 SV=1
+MALPFVLLMALVVLNCKSICSLGCDLPQTHSLSNRRTLMIMAQMGRISPFSCLKDRHDFGFPQEEFDGNQFQKAQAISVLHEMIQQTFNLFSTKDSSATWDETLLDKFYTELYQQLNDLEACMMQEVGVEDTPLMNVDSILTVRKYFQRITLYLTEKKYSPCAWEVVRAEIMRSFSLSANLQERLRRKE
+>DECOY_sp|P01569|IFNA5_HUMAN Interferon alpha-5 OS=Homo sapiens OX=9606 GN=IFNA5 PE=1 SV=1
+EKRRLREQLNASLSFSRMIEARVVEWACPSYKKETLYLTIRQFYKRVTLISDVNMLPTDEVGVEQMMCAELDNLQQYLETYFKDLLTEDWTASSDKTSFLNFTQQIMEHLVSIAQAKQFQNGDFEEQPFGFDHRDKLCSFPSIRGMQAMIMLTRRNSLSHTQPLDCGLSCISKCNLVVLAMLLVFPLAM
+>sp|Q86WN2|IFNE_HUMAN Interferon epsilon OS=Homo sapiens OX=9606 GN=IFNE PE=2 SV=1
+MIIKHFFGTVLVLLASTTIFSLDLKLIIFQQRQVNQESLKLLNKLQTLSIQQCLPHRKNFLLPQKSLSPQQYQKGHTLAILHEMLQQIFSLFRANISLDGWEENHTEKFLIQLHQQLEYLEALMGLEAEKLSGTLGSDNLRLQVKMYFRRIHDYLENQDYSTCAWAIVQVEISRCLFFVFSLTEKLSKQGRPLNDMKQELTTEFRSPR
+>DECOY_sp|Q86WN2|IFNE_HUMAN Interferon epsilon OS=Homo sapiens OX=9606 GN=IFNE PE=2 SV=1
+RPSRFETTLEQKMDNLPRGQKSLKETLSFVFFLCRSIEVQVIAWACTSYDQNELYDHIRRFYMKVQLRLNDSGLTGSLKEAELGMLAELYELQQHLQILFKETHNEEWGDLSINARFLSFIQQLMEHLIALTHGKQYQQPSLSKQPLLFNKRHPLCQQISLTQLKNLLKLSEQNVQRQQFIILKLDLSFITTSALLVLVTGFFHKIIM
+>sp|K9M1U5|IFNL4_HUMAN Interferon lambda-4 OS=Homo sapiens OX=9606 GN=IFNL4 PE=2 SV=1
+MRPSVWAAVAAGLWVLCTVIAAAPRRCLLSHYRSLEPRTLAAAKALRDRYEEEALSWGQRNCSFRPRRDPPRPSSCARLRHVARGIADAQAVLSGLHRSELLPGAGPILELLAAAGRDVAACLELARPGSSRKVPGAQKRRHKPRRADSPRCRKASVVFNLLRLLTWELRLAAHSGPCL
+>DECOY_sp|K9M1U5|IFNL4_HUMAN Interferon lambda-4 OS=Homo sapiens OX=9606 GN=IFNL4 PE=2 SV=1
+LCPGSHAALRLEWTLLRLLNFVVSAKRCRPSDARRPKHRRKQAGPVKRSSGPRALELCAAVDRGAAALLELIPGAGPLLESRHLGSLVAQADAIGRAVHRLRACSSPRPPDRRPRFSCNRQGWSLAEEEYRDRLAKAAALTRPELSRYHSLLCRRPAAAIVTCLVWLGAAVAAWVSPRM
+>sp|Q5T764|IFT1B_HUMAN Interferon-induced protein with tetratricopeptide repeats 1B OS=Homo sapiens OX=9606 GN=IFIT1B PE=1 SV=1
+MSEESDGKLIEDSLIQLRCHFTWKLLIEAPEIPDLENRIWEEIQFLDTKYNVGIHNLLAYVKHLKGQNEEALVSLKKAEDLIQKEHANQADIRSLVTWGNFAWVYYHMGRLAEAQTYLDKVENTCKKFANPSRYRMECPEVDCEEGWALAKCGGKNYERAKTCFEKALEGNPENPEFNTGYAITVYRLDKFNTASGRNKAFSLHVLKRAVRLNPDDVYIRVLLALKLQDEGQEAEGEKYIEEALTSISSQAYVFQYAAKFYRRKGSVDKALELLKMALETTPTSAFLHHQMGLCYRAQMIQIKEATNWQPRGQDRETVDRLVQLAICKFEKTIMLKRTFEMAYVDLAETYAEIGHHRKAEEHFQKGLRMKIFEDQLKQEIHYHYGRFQEHHGKSQDKAITHYLKGLKIEKMSHSREKLLNALEKLAKRCIHQNVRVVESVSLLGLIHKLKGEVSDALLCYERALRLAADLNPIF
+>DECOY_sp|Q5T764|IFT1B_HUMAN Interferon-induced protein with tetratricopeptide repeats 1B OS=Homo sapiens OX=9606 GN=IFIT1B PE=1 SV=1
+FIPNLDAALRLAREYCLLADSVEGKLKHILGLLSVSEVVRVNQHICRKALKELANLLKERSHSMKEIKLGKLYHTIAKDQSKGHHEQFRGYHYHIEQKLQDEFIKMRLGKQFHEEAKRHHGIEAYTEALDVYAMEFTRKLMITKEFKCIALQVLRDVTERDQGRPQWNTAEKIQIMQARYCLGMQHHLFASTPTTELAMKLLELAKDVSGKRRYFKAAYQFVYAQSSISTLAEEIYKEGEAEQGEDQLKLALLVRIYVDDPNLRVARKLVHLSFAKNRGSATNFKDLRYVTIAYGTNFEPNEPNGELAKEFCTKAREYNKGGCKALAWGEECDVEPCEMRYRSPNAFKKCTNEVKDLYTQAEALRGMHYYVWAFNGWTVLSRIDAQNAHEKQILDEAKKLSVLAEENQGKLHKVYALLNHIGVNYKTDLFQIEEWIRNELDPIEPAEILLKWTFHCRLQILSDEILKGDSEESM
+>sp|Q8IY31|IFT20_HUMAN Intraflagellar transport protein 20 homolog OS=Homo sapiens OX=9606 GN=IFT20 PE=1 SV=1
+MAKDILGEAGLHFDELNKLRVLDPEVTQQTIELKEECKDFVDKIGQFQKIVGGLIELVDQLAKEAENEKMKAIGARNLLKSIAKQREAQQQQLQALIAEKKMQLERYRVEYEALCKVEAEQNEFIDQFIFQK
+>DECOY_sp|Q8IY31|IFT20_HUMAN Intraflagellar transport protein 20 homolog OS=Homo sapiens OX=9606 GN=IFT20 PE=1 SV=1
+KQFIFQDIFENQEAEVKCLAEYEVRYRELQMKKEAILAQLQQQQAERQKAISKLLNRAGIAKMKENEAEKALQDVLEILGGVIKQFQGIKDVFDKCEEKLEITQQTVEPDLVRLKNLEDFHLGAEGLIDKAM
+>sp|P09565|IG2R_HUMAN Putative insulin-like growth factor 2-associated protein OS=Homo sapiens OX=9606 GN=GIG44 PE=1 SV=2
+MTPGVVHASPPQSQRVPRQAPCEWAIRNIGQKPKEPNCHNCGTHIGLRSKTLRGTPNYLPIRQDTHPPSVIFCLAGVGVPGGTCRPAPCVPRFAALPWATNHPGPGCLSDLRA
+>DECOY_sp|P09565|IG2R_HUMAN Putative insulin-like growth factor 2-associated protein OS=Homo sapiens OX=9606 GN=GIG44 PE=1 SV=2
+ARLDSLCGPGPHNTAWPLAAFRPVCPAPRCTGGPVGVGALCFIVSPPHTDQRIPLYNPTGRLTKSRLGIHTGCNHCNPEKPKQGINRIAWECPAQRPVRQSQPPSAHVVGPTM
+>sp|P78318|IGBP1_HUMAN Immunoglobulin-binding protein 1 OS=Homo sapiens OX=9606 GN=IGBP1 PE=1 SV=1
+MAAEDELQLPRLPELFETGRQLLDEVEVATEPAGSRIVQEKVFKGLDLLEKAAEMLSQLDLFSRNEDLEEIASTDLKYLLVPAFQGALTMKQVNPSKRLDHLQRAREHFINYLTQCHCYHVAEFELPKTMNNSAENHTANSSMAYPSLVAMASQRQAKIQRYKQKKELEHRLSAMKSAVESGQADDERVREYYLLHLQRWIDISLEEIESIDQEIKILRERDSSREASTSNSSRQERPPVKPFILTRNMAQAKVFGAGYPSLPTMTVSDWYEQHRKYGALPDQGIAKAAPEEFRKAAQQQEEQEEKEEEDDEQTLHRAREWDDWKDTHPRGYGNRQNMG
+>DECOY_sp|P78318|IGBP1_HUMAN Immunoglobulin-binding protein 1 OS=Homo sapiens OX=9606 GN=IGBP1 PE=1 SV=1
+GMNQRNGYGRPHTDKWDDWERARHLTQEDDEEEKEEQEEQQQAAKRFEEPAAKAIGQDPLAGYKRHQEYWDSVTMTPLSPYGAGFVKAQAMNRTLIFPKVPPREQRSSNSTSAERSSDRERLIKIEQDISEIEELSIDIWRQLHLLYYERVREDDAQGSEVASKMASLRHELEKKQKYRQIKAQRQSAMAVLSPYAMSSNATHNEASNNMTKPLEFEAVHYCHCQTLYNIFHERARQLHDLRKSPNVQKMTLAGQFAPVLLYKLDTSAIEELDENRSFLDLQSLMEAAKELLDLGKFVKEQVIRSGAPETAVEVEDLLQRGTEFLEPLRPLQLEDEAAM
+>sp|Q8TDY8|IGDC4_HUMAN Immunoglobulin superfamily DCC subclass member 4 OS=Homo sapiens OX=9606 GN=IGDCC4 PE=1 SV=1
+MARGDAGRGRGLLALTFCLLAARGELLLPQETTVELSCGVGPLQVILGPEQAAVLNCSLGAAAAGPPTRVTWSKDGDTLLEHDHLHLLPNGSLWLSQPLAPNGSDESVPEAVGVIEGNYSCLAHGPLGVLASQTAVVKLATLADFSLHPESQTVEENGTARFECHIEGLPAPIITWEKDQVTLPEEPRLIVLPNGVLQILDVQESDAGPYRCVATNSARQHFSQEALLSVAHRGSLASTRGQDVVIVAAPENTTVVSGQSVVMECVASADPTPFVSWVRQDGKPISTDVIVLGRTNLLIANAQPWHSGVYVCRANKPRTRDFATAAAELRVLAAPAITQAPEALSRTRASTARFVCRASGEPRPALRWLHNGAPLRPNGRVKVQGGGGSLVITQIGLQDAGYYQCVAENSAGMACAAASLAVVVREGLPSAPTRVTATPLSSSAVLVAWERPEMHSEQIIGFSLHYQKARGMDNVEYQFAVNNDTTELQVRDLEPNTDYEFYVVAYSQLGASRTSTPALVHTLDDVPSAAPQLSLSSPNPSDIRVAWLPLPPSLSNGQVVKYKIEYGLGKEDQIFSTEVRGNETQLMLNSLQPNKVYRVRISAGTAAGFGAPSQWMHHRTPSMHNQSHVPFAPAELKVQAKMESLVVSWQPPPHPTQISGYKLYWREVGAEEEANGDRLPGGRGDQAWDVGPVRLKKKVKQYELTQLVPGRLYEVKLVAFNKHEDGYAAVWKGKTEKAPAPDMPIQRGPPLPPAHVHAESNSSTSIWLRWKKPDFTTVKIVNYTVRFSPWGLRNASLVTYYTSSGEDILIGGLKPFTKYEFAVQSHGVDMDGPFGSVVERSTLPDRPSTPPSDLRLSPLTPSTVRLHWCPPTEPNGEIVEYLILYSSNHTQPEHQWTLLTTQGNIFSAEVHGLESDTRYFFKMGARTEVGPGPFSRLQDVITLQEKLSDSLDMHSVTGIIVGVCLGLLCLLACMCAGLRRSPHRESLPGLSSTATPGNPALYSRARLGPPSPPAAHELESLVHPHPQDWSPPPSDVEDRAEVHSLMGGGVSEGRSHSKRKISWAQPSGLSWAGSWAGCELPQAGPRPALTRALLPPAGTGQTLLLQALVYDAIKGNGRKKSPPACRNQVEAEVIVHSDFSASNGNPDLHLQDLEPEDPLPPEAPDLISGVGDPGQGAAWLDRELGGCELAAPGPDRLTCLPEAASASCSYPDLQPGEVLEETPGDSCQLKSPCPLGASPGLPRSPVSSSA
+>DECOY_sp|Q8TDY8|IGDC4_HUMAN Immunoglobulin superfamily DCC subclass member 4 OS=Homo sapiens OX=9606 GN=IGDCC4 PE=1 SV=1
+ASSSVPSRPLGPSAGLPCPSKLQCSDGPTEELVEGPQLDPYSCSASAAEPLCTLRDPGPAALECGGLERDLWAAGQGPDGVGSILDPAEPPLPDEPELDQLHLDPNGNSASFDSHVIVEAEVQNRCAPPSKKRGNGKIADYVLAQLLLTQGTGAPPLLARTLAPRPGAQPLECGAWSGAWSLGSPQAWSIKRKSHSRGESVGGGMLSHVEARDEVDSPPPSWDQPHPHVLSELEHAAPPSPPGLRARSYLAPNGPTATSSLGPLSERHPSRRLGACMCALLCLLGLCVGVIIGTVSHMDLSDSLKEQLTIVDQLRSFPGPGVETRAGMKFFYRTDSELGHVEASFINGQTTLLTWQHEPQTHNSSYLILYEVIEGNPETPPCWHLRVTSPTLPSLRLDSPPTSPRDPLTSREVVSGFPGDMDVGHSQVAFEYKTFPKLGGILIDEGSSTYYTVLSANRLGWPSFRVTYNVIKVTTFDPKKWRLWISTSSNSEAHVHAPPLPPGRQIPMDPAPAKETKGKWVAAYGDEHKNFAVLKVEYLRGPVLQTLEYQKVKKKLRVPGVDWAQDGRGGPLRDGNAEEEAGVERWYLKYGSIQTPHPPPQWSVVLSEMKAQVKLEAPAFPVHSQNHMSPTRHHMWQSPAGFGAATGASIRVRYVKNPQLSNLMLQTENGRVETSFIQDEKGLGYEIKYKVVQGNSLSPPLPLWAVRIDSPNPSSLSLQPAASPVDDLTHVLAPTSTRSAGLQSYAVVYFEYDTNPELDRVQLETTDNNVAFQYEVNDMGRAKQYHLSFGIIQESHMEPREWAVLVASSSLPTATVRTPASPLGERVVVALSAAACAMGASNEAVCQYYGADQLGIQTIVLSGGGGQVKVRGNPRLPAGNHLWRLAPRPEGSARCVFRATSARTRSLAEPAQTIAPAALVRLEAAATAFDRTRPKNARCVYVGSHWPQANAILLNTRGLVIVDTSIPKGDQRVWSVFPTPDASAVCEMVVSQGSVVTTNEPAAVIVVDQGRTSALSGRHAVSLLAEQSFHQRASNTAVCRYPGADSEQVDLIQLVGNPLVILRPEEPLTVQDKEWTIIPAPLGEIHCEFRATGNEEVTQSEPHLSFDALTALKVVATQSALVGLPGHALCSYNGEIVGVAEPVSEDSGNPALPQSLWLSGNPLLHLHDHELLTDGDKSWTVRTPPGAAAAGLSCNLVAAQEPGLIVQLPGVGCSLEVTTEQPLLLEGRAALLCFTLALLGRGRGADGRAM
+>sp|Q6UW32|IGFL1_HUMAN Insulin growth factor-like family member 1 OS=Homo sapiens OX=9606 GN=IGFL1 PE=1 SV=1
+MAPRGCIVAVFAIFCISRLLCSHGAPVAPMTPYLMLCQPHKRCGDKFYDPLQHCCYDDAVVPLARTQTCGNCTFRVCFEQCCPWTFMVKLINQNCDSARTSDDRLCRSVS
+>DECOY_sp|Q6UW32|IGFL1_HUMAN Insulin growth factor-like family member 1 OS=Homo sapiens OX=9606 GN=IGFL1 PE=1 SV=1
+SVSRCLRDDSTRASDCNQNILKVMFTWPCCQEFCVRFTCNGCTQTRALPVVADDYCCHQLPDYFKDGCRKHPQCLMLYPTMPAVPAGHSCLLRSICFIAFVAVICGRPAM
+>sp|Q6B9Z1|IGFL4_HUMAN Insulin growth factor-like family member 4 OS=Homo sapiens OX=9606 GN=IGFL4 PE=2 SV=1
+MVPRISAAIFIFELLGSNSEGVTDLRLWLCQPAPRCGEWTYNPLEQCCDDGVILDLNQTRLCGSSCTFWPCFQHCCLESLGSQNQTVVRFKVPGMKPDCKSSPITRICAQEYHPKSPVSRSDLI
+>DECOY_sp|Q6B9Z1|IGFL4_HUMAN Insulin growth factor-like family member 4 OS=Homo sapiens OX=9606 GN=IGFL4 PE=2 SV=1
+ILDSRSVPSKPHYEQACIRTIPSSKCDPKMGPVKFRVVTQNQSGLSELCCHQFCPWFTCSSGCLRTQNLDLIVGDDCCQELPNYTWEGCRPAPQCLWLRLDTVGESNSGLLEFIFIAASIRPVM
+>sp|P01871|IGHM_HUMAN Immunoglobulin heavy constant mu OS=Homo sapiens OX=9606 GN=IGHM PE=1 SV=4
+GSASAPTLFPLVSCENSPSDTSSVAVGCLAQDFLPDSITFSWKYKNNSDISSTRGFPSVLRGGKYAATSQVLLPSKDVMQGTDEHVVCKVQHPNGNKEKNVPLPVIAELPPKVSVFVPPRDGFFGNPRKSKLICQATGFSPRQIQVSWLREGKQVGSGVTTDQVQAEAKESGPTTYKVTSTLTIKESDWLGQSMFTCRVDHRGLTFQQNASSMCVPDQDTAIRVFAIPPSFASIFLTKSTKLTCLVTDLTTYDSVTISWTRQNGEAVKTHTNISESHPNATFSAVGEASICEDDWNSGERFTCTVTHTDLPSPLKQTISRPKGVALHRPDVYLLPPAREQLNLRESATITCLVTGFSPADVFVQWMQRGQPLSPEKYVTSAPMPEPQAPGRYFAHSILTVSEEEWNTGETYTCVVAHEALPNRVTERTVDKSTGKPTLYNVSLVMSDTAGTCY
+>DECOY_sp|P01871|IGHM_HUMAN Immunoglobulin heavy constant mu OS=Homo sapiens OX=9606 GN=IGHM PE=1 SV=4
+YCTGATDSMVLSVNYLTPKGTSKDVTRETVRNPLAEHAVVCTYTEGTNWEEESVTLISHAFYRGPAQPEPMPASTVYKEPSLPQGRQMWQVFVDAPSFGTVLCTITASERLNLQERAPPLLYVDPRHLAVGKPRSITQKLPSPLDTHTVTCTFREGSNWDDECISAEGVASFTANPHSESINTHTKVAEGNQRTWSITVSDYTTLDTVLCTLKTSKTLFISAFSPPIAFVRIATDQDPVCMSSANQQFTLGRHDVRCTFMSQGLWDSEKITLTSTVKYTTPGSEKAEAQVQDTTVGSGVQKGERLWSVQIQRPSFGTAQCILKSKRPNGFFGDRPPVFVSVKPPLEAIVPLPVNKEKNGNPHQVKCVVHEDTGQMVDKSPLLVQSTAAYKGGRLVSPFGRTSSIDSNNKYKWSFTISDPLFDQALCGVAVSSTDSPSNECSVLPFLTPASASG
+>sp|P01591|IGJ_HUMAN Immunoglobulin J chain OS=Homo sapiens OX=9606 GN=JCHAIN PE=1 SV=4
+MKNHLLFWGVLAVFIKAVHVKAQEDERIVLVDNKCKCARITSRIIRSSEDPNEDIVERNIRIIVPLNNRENISDPTSPLRTRFVYHLSDLCKKCDPTEVELDNQIVTATQSNICDEDSATETCYTYDRNKCYTAVVPLVYGGETKMVETALTPDACYPD
+>DECOY_sp|P01591|IGJ_HUMAN Immunoglobulin J chain OS=Homo sapiens OX=9606 GN=JCHAIN PE=1 SV=4
+DPYCADPTLATEVMKTEGGYVLPVVATYCKNRDYTYCTETASDEDCINSQTATVIQNDLEVETPDCKKCLDSLHYVFRTRLPSTPDSINERNNLPVIIRINREVIDENPDESSRIIRSTIRACKCKNDVLVIREDEQAKVHVAKIFVALVGWFLLHNKM
+>sp|P0DOY2|IGLC2_HUMAN Immunoglobulin lambda constant 2 OS=Homo sapiens OX=9606 GN=IGLC2 PE=1 SV=1
+GQPKAAPSVTLFPPSSEELQANKATLVCLISDFYPGAVTVAWKADSSPVKAGVETTTPSKQSNNKYAASSYLSLTPEQWKSHRSYSCQVTHEGSTVEKTVAPTECS
+>DECOY_sp|P0DOY2|IGLC2_HUMAN Immunoglobulin lambda constant 2 OS=Homo sapiens OX=9606 GN=IGLC2 PE=1 SV=1
+SCETPAVTKEVTSGEHTVQCSYSRHSKWQEPTLSLYSSAAYKNNSQKSPTTTEVGAKVPSSDAKWAVTVAGPYFDSILCVLTAKNAQLEESSPPFLTVSPAAKPQG
+>sp|A6NGN9|IGLO5_HUMAN IgLON family member 5 OS=Homo sapiens OX=9606 GN=IGLON5 PE=2 SV=4
+MPPPAPGARLRLLAAAALAGLAVISRGLLSQSLEFNSPADNYTVCEGDNATLSCFIDEHVTRVAWLNRSNILYAGNDRWTSDPRVRLLINTPEEFSILITEVGLGDEGLYTCSFQTRHQPYTTQVYLIVHVPARIVNISSPVTVNEGGNVNLLCLAVGRPEPTVTWRQLRDGFTSEGEILEISDIQRGQAGEYECVTHNGVNSAPDSRRVLVTVNYPPTITDVTSARTALGRAALLRCEAMAVPPADFQWYKDDRLLSSGTAEGLKVQTERTRSMLLFANVSARHYGNYTCRAANRLGASSASMRLLRPGSLENSAPRPPGLLALLSALGWLWWRM
+>DECOY_sp|A6NGN9|IGLO5_HUMAN IgLON family member 5 OS=Homo sapiens OX=9606 GN=IGLON5 PE=2 SV=4
+MRWWLWGLASLLALLGPPRPASNELSGPRLLRMSASSAGLRNAARCTYNGYHRASVNAFLLMSRTRETQVKLGEATGSSLLRDDKYWQFDAPPVAMAECRLLAARGLATRASTVDTITPPYNVTVLVRRSDPASNVGNHTVCEYEGAQGRQIDSIELIEGESTFGDRLQRWTVTPEPRGVALCLLNVNGGENVTVPSSINVIRAPVHVILYVQTTYPQHRTQFSCTYLGEDGLGVETILISFEEPTNILLRVRPDSTWRDNGAYLINSRNLWAVRTVHEDIFCSLTANDGECVTYNDAPSNFELSQSLLGRSIVALGALAAAALLRLRAGPAPPPM
+>sp|Q8N9C0|IGS22_HUMAN Immunoglobulin superfamily member 22 OS=Homo sapiens OX=9606 GN=IGSF22 PE=2 SV=2
+MTTIHSRQMLQEHVSMEFSSSTTHVQTFSQTTKIVGEEVVRRKSSSIVEFFSLVTRSSNIPAGDSVPEFVEKPQPVTAPEGDKAVFRARVQGNAKPHISWKRESGIPIKESAKIFYDSINKEHVLKLEPLTSDDSDNYKCIASNDHADAIYTVSLLVTEGQEKMDFKKMLKKRAPPAPKKKQKKVANEKEMLEILSKVPKKDFEKVCMEYGFTDFRGLLRKLKEMKKKVEVEAIRILKPLEDKETKVDTTVVFDCIMELKDPNVKMIWIKGTEPLRIQYSLGKYDVKQMGTKYMLVISNVNMNDAGIYSLSVGDKRMSAELTVLDEPLKFLGEMKPVKVTERQTAVFEIRLSKKEPNFVWKFNGKELKRDDKYEITVSEDGLTHTLKIKDARLSDSGEFSAEAGNLVQKAQLTVDRIPIKFVSNLKNVRVKERSRACLECELTSKDVTLRWKKDGQLLMHGTKYSMNHEGKRAELIIEDAQLSDGGEYTVVAMQDGDPTEYYSTAIVTVEERLATVKSGMSDVHAATGSPAELCVVLNDEKVEGVWLKDGKEITDLPGMQIVKQGAVHKLIFPSMGPEHEGKYTFRAKGTESEASVFIADPPTIDPSVLEALAAHAITVKVGHTAHIKVPFRGKPLPKVTWYKDGMEVTEEERVSMERGEDQALLTISNCVREDSGLILLKLKNDHGSATATLHLSVLEPPGFASQPQVTDVTKEAVTITWNAPTQDGGAPVLGYIVERRKKGSNLWVPVNKDPIQGTKCTVDGLLEDTEYEFRVIAVNKAGPGQPSVPSSSVVAKDPVKPPGLVQDLHVSDSSNSSISLAWREPAEGDPPSGYILEMRAEDTKEWSKCTKIPISGTCYTVGGLIERQKYFFRIRAVNEAGVGEPVELDKGVRAMPPPGLTTT
+>DECOY_sp|Q8N9C0|IGS22_HUMAN Immunoglobulin superfamily member 22 OS=Homo sapiens OX=9606 GN=IGSF22 PE=2 SV=2
+TTTLGPPPMARVGKDLEVPEGVGAENVARIRFFYKQREILGGVTYCTGSIPIKTCKSWEKTDEARMELIYGSPPDGEAPERWALSISSNSSDSVHLDQVLGPPKVPDKAVVSSSPVSPQGPGAKNVAIVRFEYETDELLGDVTCKTGQIPDKNVPVWLNSGKKRREVIYGLVPAGGDQTPANWTITVAEKTVDTVQPQSAFGPPELVSLHLTATASGHDNKLKLLILGSDERVCNSITLLAQDEGREMSVREEETVEMGDKYWTVKPLPKGRFPVKIHATHGVKVTIAHAALAELVSPDITPPDAIFVSAESETGKARFTYKGEHEPGMSPFILKHVAGQKVIQMGPLDTIEKGDKLWVGEVKEDNLVVCLEAPSGTAAHVDSMGSKVTALREEVTVIATSYYETPDGDQMAVVTYEGGDSLQADEIILEARKGEHNMSYKTGHMLLQGDKKWRLTVDKSTLECELCARSREKVRVNKLNSVFKIPIRDVTLQAKQVLNGAEASFEGSDSLRADKIKLTHTLGDESVTIEYKDDRKLEKGNFKWVFNPEKKSLRIEFVATQRETVKVPKMEGLFKLPEDLVTLEASMRKDGVSLSYIGADNMNVNSIVLMYKTGMQKVDYKGLSYQIRLPETGKIWIMKVNPDKLEMICDFVVTTDVKTEKDELPKLIRIAEVEVKKKMEKLKRLLGRFDTFGYEMCVKEFDKKPVKSLIELMEKENAVKKQKKKPAPPARKKLMKKFDMKEQGETVLLSVTYIADAHDNSAICKYNDSDDSTLPELKLVHEKNISDYFIKASEKIPIGSERKWSIHPKANGQVRARFVAKDGEPATVPQPKEVFEPVSDGAPINSSRTVLSFFEVISSSKRRVVEEGVIKTTQSFTQVHTTSSSFEMSVHEQLMQRSHITTM
+>sp|Q8N6C5|IGSF1_HUMAN Immunoglobulin superfamily member 1 OS=Homo sapiens OX=9606 GN=IGSF1 PE=1 SV=3
+MTLDRPGEGATMLKTFTVLLFCIRMSLGMTSIVMDPQPELWIESNYPQAPWENITLWCRSPSRISSKFLLLKDKTQMTWIRPSHKTFQVSFLIGALTESNAGLYRCCYWKETGWSKPSKVLELEAPGQLPKPIFWIQAETPALPGCNVNILCHGWLQDLVFMLFKEGYAEPVDYQVPTGTMAIFSIDNLTPEDEGVYICRTHIQMLPTLWSEPSNPLKLVVAGLYPKPTLTAHPGPIMAPGESLNLRCQGPIYGMTFALMRVEDLEKSFYHKKTIKNEANFFFQSLKIQDTGHYLCFYYDASYRGSLLSDVLKIWVTDTFPKTWLLARPSAVVQMGQNVSLRCRGPVDGVGLALYKKGEDKPLQFLDATSIDDNTSFFLNNVTYSDTGIYSCHYLLTWKTSIRMPSHNTVELMVVDKPPKPSLSAWPSTVFKLGKAITLQCRVSHPVLEFSLEWEERETFQKFSVNGDFIISNVDGKGTGTYSCSYRVETHPNIWSHRSEPLKLMGPAGYLTWNYVLNEAIRLSLIMQLVALLLVVLWIRWKCRRLRIREAWLLGTAQGVTMLFIVTALLCCGLCNGVLIEETEIVMPTPKPELWAETNFPLAPWKNLTLWCRSPSGSTKEFVLLKDGTGWIATRPASEQVRAAFPLGALTQSHTGSYHCHSWEEMAVSEPSEALELVGTDILPKPVISASPTIRGQELQLRCKGWLAGMGFALYKEGEQEPVQQLGAVGREAFFTIQRMEDKDEGNYSCRTHTEKRPFKWSEPSEPLELVIKEMYPKPFFKTWASPVVTPGARVTFNCSTPHQHMSFILYKDGSEIASSDRSWASPGASAAHFLIISVGIGDGGNYSCRYYDFSIWSEPSDPVELVVTEFYPKPTLLAQPGPVVFPGKSVILRCQGTFQGMRFALLQEGAHVPLQFRSVSGNSADFLLHTVGAEDSGNYSCIYYETTMSNRGSYLSMPLMIWVTDTFPKPWLFAEPSSVVPMGQNVTLWCRGPVHGVGYILHKEGEATSMQLWGSTSNDGAFPITNISGTSMGRYSCCYHPDWTSSIKIQPSNTLELLVTGLLPKPSLLAQPGPMVAPGENMTLQCQGELPDSTFVLLKEGAQEPLEQQRPSGYRADFWMPAVRGEDSGIYSCVYYLDSTPFAASNHSDSLEIWVTDKPPKPSLSAWPSTMFKLGKDITLQCRGPLPGVEFVLEHDGEEAPQQFSEDGDFVINNVEGKGIGNYSCSYRLQAYPDIWSEPSDPLELVGAAGPVAQECTVGNIVRSSLIVVVVVALGVVLAIEWKKWPRLRTRGSETDGRDQTIALEECNQEGEPGTPANSPSSTSQRISVELPVPI
+>DECOY_sp|Q8N6C5|IGSF1_HUMAN Immunoglobulin superfamily member 1 OS=Homo sapiens OX=9606 GN=IGSF1 PE=1 SV=3
+IPVPLEVSIRQSTSSPSNAPTGPEGEQNCEELAITQDRGDTESGRTRLRPWKKWEIALVVGLAVVVVVILSSRVINGVTCEQAVPGAAGVLELPDSPESWIDPYAQLRYSCSYNGIGKGEVNNIVFDGDESFQQPAEEGDHELVFEVGPLPGRCQLTIDKGLKFMTSPWASLSPKPPKDTVWIELSDSHNSAAFPTSDLYYVCSYIGSDEGRVAPMWFDARYGSPRQQELPEQAGEKLLVFTSDPLEGQCQLTMNEGPAVMPGPQALLSPKPLLGTVLLELTNSPQIKISSTWDPHYCCSYRGMSTGSINTIPFAGDNSTSGWLQMSTAEGEKHLIYGVGHVPGRCWLTVNQGMPVVSSPEAFLWPKPFTDTVWIMLPMSLYSGRNSMTTEYYICSYNGSDEAGVTHLLFDASNGSVSRFQLPVHAGEQLLAFRMGQFTGQCRLIVSKGPFVVPGPQALLTPKPYFETVVLEVPDSPESWISFDYYRCSYNGGDGIGVSIILFHAASAGPSAWSRDSSAIESGDKYLIFSMHQHPTSCNFTVRAGPTVVPSAWTKFFPKPYMEKIVLELPESPESWKFPRKETHTRCSYNGEDKDEMRQITFFAERGVAGLQQVPEQEGEKYLAFGMGALWGKCRLQLEQGRITPSASIVPKPLIDTGVLELAESPESVAMEEWSHCHYSGTHSQTLAGLPFAARVQESAPRTAIWGTGDKLLVFEKTSGSPSRCWLTLNKWPALPFNTEAWLEPKPTPMVIETEEILVGNCLGCCLLATVIFLMTVGQATGLLWAERIRLRRCKWRIWLVVLLLAVLQMILSLRIAENLVYNWTLYGAPGMLKLPESRHSWINPHTEVRYSCSYTGTGKGDVNSIIFDGNVSFKQFTEREEWELSFELVPHSVRCQLTIAKGLKFVTSPWASLSPKPPKDVVMLEVTNHSPMRISTKWTLLYHCSYIGTDSYTVNNLFFSTNDDISTADLFQLPKDEGKKYLALGVGDVPGRCRLSVNQGMQVVASPRALLWTKPFTDTVWIKLVDSLLSGRYSADYYFCLYHGTDQIKLSQFFFNAENKITKKHYFSKELDEVRMLAFTMGYIPGQCRLNLSEGPAMIPGPHATLTPKPYLGAVVLKLPNSPESWLTPLMQIHTRCIYVGEDEPTLNDISFIAMTGTPVQYDVPEAYGEKFLMFVLDQLWGHCLINVNCGPLAPTEAQIWFIPKPLQGPAELELVKSPKSWGTEKWYCCRYLGANSETLAGILFSVQFTKHSPRIWTMQTKDKLLLFKSSIRSPSRCWLTINEWPAQPYNSEIWLEPQPDMVISTMGLSMRICFLLVTFTKLMTAGEGPRDLTM
+>sp|O75054|IGSF3_HUMAN Immunoglobulin superfamily member 3 OS=Homo sapiens OX=9606 GN=IGSF3 PE=2 SV=3
+MKCFFPVLSCLAVLGVVSAQRQVTVQEGPLYRTEGSHITIWCNVSGYQGPSEQNFQWSIYLPSSPEREVQIVSTMDSSFPYAIYTQRVRGGKIFIERVQGNSTLLHITDLQARDAGEYECHTPSTDKQYFGSYSAKMNLVVIPDSLQTTAMPQTLHRVEQDPLELTCEVASETIQHSHLSVAWLRQKVGEKPVEVISLSRDFMLHSSSEYAQRQSLGEVRLDKLGRTTFRLTIFHLQPSDQGEFYCEAAEWIQDPDGSWYAMTRKRSEGAVVNVQPTDKEFTVRLETEKRLHTVGEPVEFRCILEAQNVPDRYFAVSWAFNSSLIATMGPNAVPVLNSEFAHREARGQLKVAKESDSVFVLKIYHLRQEDSGKYNCRVTEREKTVTGEFIDKESKRPKNIPIIVLPLKSSISVEVASNASVILEGEDLRFSCSVRTAGRPQGRFSVIWQLVDRQNRRSNIMWLDRDGTVQPGSSYWERSSFGGVQMEQVQPNSFSLGIFNSRKEDEGQYECHVTEWVRAVDGEWQIVGERRASTPISITALEMGFAVTAISRTPGVTYSDSFDLQCIIKPHYPAWVPVSVTWRFQPVGTVEFHDLVTFTRDGGVQWGDRSSSFRTRTAIEKAESSNNVRLSISRASDTEAGKYQCVAELWRKNYNNTWTRLAERTSNLLEIRVLQPVTKLQVSKSKRTLTLVENKPIQLNCSVKSQTSQNSHFAVLWYVHKPSDADGKLILKTTHNSAFEYGTYAEEEGLRARLQFERHVSGGLFSLTVQRAEVSDSGSYYCHVEEWLLSPNYAWYKLAEEVSGRTEVTVKQPDSRLRLSQAQGNLSVLETRQVQLECVVLNRTSITSQLMVEWFVWKPNHPERETVARLSRDATFHYGEQAAKNNLKGRLHLESPSPGVYRLFIQNVAVQDSGTYSCHVEEWLPSPSGMWYKRAEDTAGQTALTVMRPDASLQVDTVVPNATVSEKAAFQLDCSIVSRSSQDSRFAVAWYSLRTKAGGKRSSPGLEEQEEEREEEEEEDDDDDDDPTERTALLSVGPDAVFGPEGSPWEGRLRFQRLSPVLYRLTVLQASPQDTGNYSCHVEEWLPSPQKEWYRLTEEESAPIGIRVLDTSPTLQSIICSNDALFYFVFFYPFPIFGILIITILLVRFKSRNSSKNSDGKNGVPLLWIKEPHLNYSPTCLEPPVLSIHPGAID
+>DECOY_sp|O75054|IGSF3_HUMAN Immunoglobulin superfamily member 3 OS=Homo sapiens OX=9606 GN=IGSF3 PE=2 SV=3
+DIAGPHISLVPPELCTPSYNLHPEKIWLLPVGNKGDSNKSSNRSKFRVLLITIILIGFIPFPYFFVFYFLADNSCIISQLTPSTDLVRIGIPASEEETLRYWEKQPSPLWEEVHCSYNGTDQPSAQLVTLRYLVPSLRQFRLRGEWPSGEPGFVADPGVSLLATRETPDDDDDDDEEEEEEREEEQEELGPSSRKGGAKTRLSYWAVAFRSDQSSRSVISCDLQFAAKESVTANPVVTDVQLSADPRMVTLATQGATDEARKYWMGSPSPLWEEVHCSYTGSDQVAVNQIFLRYVGPSPSELHLRGKLNNKAAQEGYHFTADRSLRAVTEREPHNPKWVFWEVMLQSTISTRNLVVCELQVQRTELVSLNGQAQSLRLRSDPQKVTVETRGSVEEALKYWAYNPSLLWEEVHCYYSGSDSVEARQVTLSFLGGSVHREFQLRARLGEEEAYTGYEFASNHTTKLILKGDADSPKHVYWLVAFHSNQSTQSKVSCNLQIPKNEVLTLTRKSKSVQLKTVPQLVRIELLNSTREALRTWTNNYNKRWLEAVCQYKGAETDSARSISLRVNNSSEAKEIATRTRFSSSRDGWQVGGDRTFTVLDHFEVTGVPQFRWTVSVPVWAPYHPKIICQLDFSDSYTVGPTRSIATVAFGMELATISIPTSARREGVIQWEGDVARVWETVHCEYQGEDEKRSNFIGLSFSNPQVQEMQVGGFSSREWYSSGPQVTGDRDLWMINSRRNQRDVLQWIVSFRGQPRGATRVSCSFRLDEGELIVSANSAVEVSISSKLPLVIIPINKPRKSEKDIFEGTVTKERETVRCNYKGSDEQRLHYIKLVFVSDSEKAVKLQGRAERHAFESNLVPVANPGMTAILSSNFAWSVAFYRDPVNQAELICRFEVPEGVTHLRKETELRVTFEKDTPQVNVVAGESRKRTMAYWSGDPDQIWEAAECYFEGQDSPQLHFITLRFTTRGLKDLRVEGLSQRQAYESSSHLMFDRSLSIVEVPKEGVKQRLWAVSLHSHQITESAVECTLELPDQEVRHLTQPMATTQLSDPIVVLNMKASYSGFYQKDTSPTHCEYEGADRAQLDTIHLLTSNGQVREIFIKGGRVRQTYIAYPFSSDMTSVIQVEREPSSPLYISWQFNQESPGQYGSVNCWITIHSGETRYLPGEQVTVQRQASVVGLVALCSLVPFFCKM
+>sp|P22301|IL10_HUMAN Interleukin-10 OS=Homo sapiens OX=9606 GN=IL10 PE=1 SV=1
+MHSSALLCCLVLLTGVRASPGQGTQSENSCTHFPGNLPNMLRDLRDAFSRVKTFFQMKDQLDNLLLKESLLEDFKGYLGCQALSEMIQFYLEEVMPQAENQDPDIKAHVNSLGENLKTLRLRLRRCHRFLPCENKSKAVEQVKNAFNKLQEKGIYKAMSEFDIFINYIEAYMTMKIRN
+>DECOY_sp|P22301|IL10_HUMAN Interleukin-10 OS=Homo sapiens OX=9606 GN=IL10 PE=1 SV=1
+NRIKMTMYAEIYNIFIDFESMAKYIGKEQLKNFANKVQEVAKSKNECPLFRHCRRLRLRLTKLNEGLSNVHAKIDPDQNEAQPMVEELYFQIMESLAQCGLYGKFDELLSEKLLLNDLQDKMQFFTKVRSFADRLDRLMNPLNGPFHTCSNESQTGQGPSARVGTLLVLCCLLASSHM
+>sp|P35225|IL13_HUMAN Interleukin-13 OS=Homo sapiens OX=9606 GN=IL13 PE=1 SV=2
+MHPLLNPLLLALGLMALLLTTVIALTCLGGFASPGPVPPSTALRELIEELVNITQNQKAPLCNGSMVWSINLTAGMYCAALESLINVSGCSAIEKTQRMLSGFCPHKVSAGQFSSLHVRDTKIEVAQFVKDLLLHLKKLFREGRFN
+>DECOY_sp|P35225|IL13_HUMAN Interleukin-13 OS=Homo sapiens OX=9606 GN=IL13 PE=1 SV=2
+NFRGERFLKKLHLLLDKVFQAVEIKTDRVHLSSFQGASVKHPCFGSLMRQTKEIASCGSVNILSELAACYMGATLNISWVMSGNCLPAKQNQTINVLEEILERLATSPPVPGPSAFGGLCTLAIVTTLLLAMLGLALLLPNLLPHM
+>sp|Q14005|IL16_HUMAN Pro-interleukin-16 OS=Homo sapiens OX=9606 GN=IL16 PE=1 SV=4
+MESHSRAGKSRKSAKFRSISRSLMLCNAKTSDDGSSPDEKYPDPFEISLAQGKEGIFHSSVQLADTSEAGPSSVPDLALASEAAQLQAAGNDRGKTCRRIFFMKESSTASSREKPGKLEAQSSNFLFPKACHQRARSNSTSVNPYCTREIDFPMTKKSAAPTDRQPYSLCSNRKSLSQQLDCPAGKAAGTSRPTRSLSTAQLVQPSGGLQASVISNIVLMKGQAKGLGFSIVGGKDSIYGPIGIYVKTIFAGGAAAADGRLQEGDEILELNGESMAGLTHQDALQKFKQAKKGLLTLTVRTRLTAPPSLCSHLSPPLCRSLSSSTCITKDSSSFALESPSAPISTAKPNYRIMVEVSLQKEAGVGLGIGLCSVPYFQCISGIFVHTLSPGSVAHLDGRLRCGDEIVEISDSPVHCLTLNEVYTILSHCDPGPVPIIVSRHPDPQVSEQQLKEAVAQAVENTKFGKERHQWSLEGVKRLESSWHGRPTLEKEREKNSAPPHRRAQKVMIRSSSDSSYMSGSPGGSPGSGSAEKPSSDVDISTHSPSLPLAREPVVLSIASSRLPQESPPLPESRDSHPPLRLKKSFEILVRKPMSSKPKPPPRKYFKSDSDPQKSLEERENSSCSSGHTPPTCGQEARELLPLLLPQEDTAGRSPSASAGCPGPGIGPQTKSSTEGEPGWRRASPVTQTSPIKHPLLKRQARMDYSFDTTAEDPWVRISDCIKNLFSPIMSENHGHMPLQPNASLNEEEGTQGHPDGTPPKLDTANGTPKVYKSADSSTVKKGPPVAPKPAWFRQSLKGLRNRASDPRGLPDPALSTQPAPASREHLGSHIRASSSSSSIRQRISSFETFGSSQLPDKGAQRLSLQPSSGEAAKPLGKHEEGRFSGLLGRGAAPTLVPQQPEQVLSSGSPAASEARDPGVSESPPPGRQPNQKTLPPGPDPLLRLLSTQAEESQGPVLKMPSQRARSFPLTRSQSCETKLLDEKTSKLYSISSQVSSAVMKSLLCLPSSISCAQTPCIPKEGASPTSSSNEDSAANGSAETSALDTGFSLNLSELREYTEGLTEAKEDDDGDHSSLQSGQSVISLLSSEELKKLIEEVKVLDEATLKQLDGIHVTILHKEEGAGLGFSLAGGADLENKVITVHRVFPNGLASQEGTIQKGNEVLSINGKSLKGTTHHDALAILRQAREPRQAVIVTRKLTPEAMPDLNSSTDSAASASAASDVSVESTAEATVCTVTLEKMSAGLGFSLEGGKGSLHGDKPLTINRIFKGAASEQSETVQPGDEILQLGGTAMQGLTRFEAWNIIKALPDGPVTIVIRRKSLQSKETTAAGDS
+>DECOY_sp|Q14005|IL16_HUMAN Pro-interleukin-16 OS=Homo sapiens OX=9606 GN=IL16 PE=1 SV=4
+SDGAATTEKSQLSKRRIVITVPGDPLAKIINWAEFRTLGQMATGGLQLIEDGPQVTESQESAAGKFIRNITLPKDGHLSGKGGELSFGLGASMKELTVTCVTAEATSEVSVDSAASASAASDTSSNLDPMAEPTLKRTVIVAQRPERAQRLIALADHHTTGKLSKGNISLVENGKQITGEQSALGNPFVRHVTIVKNELDAGGALSFGLGAGEEKHLITVHIGDLQKLTAEDLVKVEEILKKLEESSLLSIVSQGSQLSSHDGDDDEKAETLGETYERLESLNLSFGTDLASTEASGNAASDENSSSTPSAGEKPICPTQACSISSPLCLLSKMVASSVQSSISYLKSTKEDLLKTECSQSRTLPFSRARQSPMKLVPGQSEEAQTSLLRLLPDPGPPLTKQNPQRGPPPSESVGPDRAESAAPSGSSLVQEPQQPVLTPAAGRGLLGSFRGEEHKGLPKAAEGSSPQLSLRQAGKDPLQSSGFTEFSSIRQRISSSSSSARIHSGLHERSAPAPQTSLAPDPLGRPDSARNRLGKLSQRFWAPKPAVPPGKKVTSSDASKYVKPTGNATDLKPPTGDPHGQTGEEENLSANPQLPMHGHNESMIPSFLNKICDSIRVWPDEATTDFSYDMRAQRKLLPHKIPSTQTVPSARRWGPEGETSSKTQPGIGPGPCGASASPSRGATDEQPLLLPLLERAEQGCTPPTHGSSCSSNEREELSKQPDSDSKFYKRPPPKPKSSMPKRVLIEFSKKLRLPPHSDRSEPLPPSEQPLRSSAISLVVPERALPLSPSHTSIDVDSSPKEASGSGPSGGPSGSMYSSDSSSRIMVKQARRHPPASNKEREKELTPRGHWSSELRKVGELSWQHREKGFKTNEVAQAVAEKLQQESVQPDPHRSVIIPVPGPDCHSLITYVENLTLCHVPSDSIEVIEDGCRLRGDLHAVSGPSLTHVFIGSICQFYPVSCLGIGLGVGAEKQLSVEVMIRYNPKATSIPASPSELAFSSSDKTICTSSSLSRCLPPSLHSCLSPPATLRTRVTLTLLGKKAQKFKQLADQHTLGAMSEGNLELIEDGEQLRGDAAAAGGAFITKVYIGIPGYISDKGGVISFGLGKAQGKMLVINSIVSAQLGGSPQVLQATSLSRTPRSTGAAKGAPCDLQQSLSKRNSCLSYPQRDTPAASKKTMPFDIERTCYPNVSTSNSRARQHCAKPFLFNSSQAELKGPKERSSATSSEKMFFIRRCTKGRDNGAAQLQAAESALALDPVSSPGAESTDALQVSSHFIGEKGQALSIEFPDPYKEDPSSGDDSTKANCLMLSRSISRFKASKRSKGARSHSEM
+>sp|Q8TAD2|IL17D_HUMAN Interleukin-17D OS=Homo sapiens OX=9606 GN=IL17D PE=2 SV=1
+MLVAGFLLALPPSWAAGAPRAGRRPARPRGCADRPEELLEQLYGRLAAGVLSAFHHTLQLGPREQARNASCPAGGRPADRRFRPPTNLRSVSPWAYRISYDPARYPRYLPEAYCLCRGCLTGLFGEEDVRFRSAPVYMPTVVLRRTPACAGGRSVYTEAYVTIPVGCTCVPEPEKDADSINSSIDKQGAKLLLGPNDAPAGP
+>DECOY_sp|Q8TAD2|IL17D_HUMAN Interleukin-17D OS=Homo sapiens OX=9606 GN=IL17D PE=2 SV=1
+PGAPADNPGLLLKAGQKDISSNISDADKEPEPVCTCGVPITVYAETYVSRGGACAPTRRLVVTPMYVPASRFRVDEEGFLGTLCGRCLCYAEPLYRPYRAPDYSIRYAWPSVSRLNTPPRFRRDAPRGGAPCSANRAQERPGLQLTHHFASLVGAALRGYLQELLEEPRDACGRPRAPRRGARPAGAAWSPPLALLFGAVLM
+>sp|Q96PD4|IL17F_HUMAN Interleukin-17F OS=Homo sapiens OX=9606 GN=IL17F PE=1 SV=3
+MTVKTLHGPAMVKYLLLSILGLAFLSEAAARKIPKVGHTFFQKPESCPPVPGGSMKLDIGIINENQRVSMSRNIESRSTSPWNYTVTWDPNRYPSEVVQAQCRNLGCINAQGKEDISMNSVPIQQETLVVRRKHQGCSVSFQLEKVLVTVGCTCVTPVIHHVQ
+>DECOY_sp|Q96PD4|IL17F_HUMAN Interleukin-17F OS=Homo sapiens OX=9606 GN=IL17F PE=1 SV=3
+QVHHIVPTVCTCGVTVLVKELQFSVSCGQHKRRVVLTEQQIPVSNMSIDEKGQANICGLNRCQAQVVESPYRNPDWTVTYNWPSTSRSEINRSMSVRQNENIIGIDLKMSGGPVPPCSEPKQFFTHGVKPIKRAAAESLFALGLISLLLYKVMAPGHLTKVTM
+>sp|Q16552|IL17_HUMAN Interleukin-17A OS=Homo sapiens OX=9606 GN=IL17A PE=1 SV=1
+MTPGKTSLVSLLLLLSLEAIVKAGITIPRNPGCPNSEDKNFPRTVMVNLNIHNRNTNTNPKRSSDYYNRSTSPWNLHRNEDPERYPSVIWEAKCRHLGCINADGNVDYHMNSVPIQQEILVLRREPPHCPNSFRLEKILVSVGCTCVTPIVHHVA
+>DECOY_sp|Q16552|IL17_HUMAN Interleukin-17A OS=Homo sapiens OX=9606 GN=IL17A PE=1 SV=1
+AVHHVIPTVCTCGVSVLIKELRFSNPCHPPERRLVLIEQQIPVSNMHYDVNGDANICGLHRCKAEWIVSPYREPDENRHLNWPSTSRNYYDSSRKPNTNTNRNHINLNVMVTRPFNKDESNPCGPNRPITIGAKVIAELSLLLLLSVLSTKGPTM
+>sp|Q13478|IL18R_HUMAN Interleukin-18 receptor 1 OS=Homo sapiens OX=9606 GN=IL18R1 PE=1 SV=1
+MNCRELPLTLWVLISVSTAESCTSRPHITVVEGEPFYLKHCSCSLAHEIETTTKSWYKSSGSQEHVELNPRSSSRIALHDCVLEFWPVELNDTGSYFFQMKNYTQKWKLNVIRRNKHSCFTERQVTSKIVEVKKFFQITCENSYYQTLVNSTSLYKNCKKLLLENNKNPTIKKNAEFEDQGYYSCVHFLHHNGKLFNITKTFNITIVEDRSNIVPVLLGPKLNHVAVELGKNVRLNCSALLNEEDVIYWMFGEENGSDPNIHEEKEMRIMTPEGKWHASKVLRIENIGESNLNVLYNCTVASTGGTDTKSFILVRKADMADIPGHVFTRGMIIAVLILVAVVCLVTVCVIYRVDLVLFYRHLTRRDETLTDGKTYDAFVSYLKECRPENGEEHTFAVEILPRVLEKHFGYKLCIFERDVVPGGAVVDEIHSLIEKSRRLIIVLSKSYMSNEVRYELESGLHEALVERKIKIILIEFTPVTDFTFLPQSLKLLKSHRVLKWKADKSLSYNSRFWKNLLYLMPAKTVKPGRDEPEVLPVLSES
+>DECOY_sp|Q13478|IL18R_HUMAN Interleukin-18 receptor 1 OS=Homo sapiens OX=9606 GN=IL18R1 PE=1 SV=1
+SESLVPLVEPEDRGPKVTKAPMLYLLNKWFRSNYSLSKDAKWKLVRHSKLLKLSQPLFTFDTVPTFEILIIKIKREVLAEHLGSELEYRVENSMYSKSLVIILRRSKEILSHIEDVVAGGPVVDREFICLKYGFHKELVRPLIEVAFTHEEGNEPRCEKLYSVFADYTKGDTLTEDRRTLHRYFLVLDVRYIVCVTVLCVVAVLILVAIIMGRTFVHGPIDAMDAKRVLIFSKTDTGGTSAVTCNYLVNLNSEGINEIRLVKSAHWKGEPTMIRMEKEEHINPDSGNEEGFMWYIVDEENLLASCNLRVNKGLEVAVHNLKPGLLVPVINSRDEVITINFTKTINFLKGNHHLFHVCSYYGQDEFEANKKITPNKNNELLLKKCNKYLSTSNVLTQYYSNECTIQFFKKVEVIKSTVQRETFCSHKNRRIVNLKWKQTYNKMQFFYSGTDNLEVPWFELVCDHLAIRSSSRPNLEVHEQSGSSKYWSKTTTEIEHALSCSCHKLYFPEGEVVTIHPRSTCSEATSVSILVWLTLPLERCNM
+>sp|Q8WWZ1|IL1FA_HUMAN Interleukin-1 family member 10 OS=Homo sapiens OX=9606 GN=IL1F10 PE=1 SV=1
+MCSLPMARYYIIKYADQKALYTRDGQLLVGDPVADNCCAEKICILPNRGLARTKVPIFLGIQGGSRCLACVETEEGPSLQLEDVNIEELYKGGEEATRFTFFQSSSGSAFRLEAAAWPGWFLCGPAEPQQPVQLTKESEPSARTKFYFEQSW
+>DECOY_sp|Q8WWZ1|IL1FA_HUMAN Interleukin-1 family member 10 OS=Homo sapiens OX=9606 GN=IL1F10 PE=1 SV=1
+WSQEFYFKTRASPESEKTLQVPQQPEAPGCLFWGPWAAAELRFASGSSSQFFTFRTAEEGGKYLEEINVDELQLSPGEETEVCALCRSGGQIGLFIPVKTRALGRNPLICIKEACCNDAVPDGVLLQGDRTYLAKQDAYKIIYYRAMPLSCM
+>sp|Q9NYY1|IL20_HUMAN Interleukin-20 OS=Homo sapiens OX=9606 GN=IL20 PE=1 SV=2
+MKASSLAFSLLSAAFYLLWTPSTGLKTLNLGSCVIATNLQEIRNGFSEIRGSVQAKDGNIDIRILRRTESLQDTKPANRCCLLRHLLRLYLDRVFKNYQTPDHYTLRKISSLANSFLTIKKDLRLCHAHMTCHCGEEAMKKYSQILSHFEKLEPQAAVVKALGELDILLQWMEETE
+>DECOY_sp|Q9NYY1|IL20_HUMAN Interleukin-20 OS=Homo sapiens OX=9606 GN=IL20 PE=1 SV=2
+ETEEMWQLLIDLEGLAKVVAAQPELKEFHSLIQSYKKMAEEGCHCTMHAHCLRLDKKITLFSNALSSIKRLTYHDPTQYNKFVRDLYLRLLHRLLCCRNAPKTDQLSETRRLIRIDINGDKAQVSGRIESFGNRIEQLNTAIVCSGLNLTKLGTSPTWLLYFAASLLSFALSSAKM
+>sp|Q9GZX6|IL22_HUMAN Interleukin-22 OS=Homo sapiens OX=9606 GN=IL22 PE=1 SV=1
+MAALQKSVSSFLMGTLATSCLLLLALLVQGGAAAPISSHCRLDKSNFQQPYITNRTFMLAKEASLADNNTDVRLIGEKLFHGVSMSERCYLMKQVLNFTLEEVLFPQSDRFQPYMQEVVPFLARLSNRLSTCHIEGDDLHIQRNVQKLKDTVKKLGESGEIKAIGELDLLFMSLRNACI
+>DECOY_sp|Q9GZX6|IL22_HUMAN Interleukin-22 OS=Homo sapiens OX=9606 GN=IL22 PE=1 SV=1
+ICANRLSMFLLDLEGIAKIEGSEGLKKVTDKLKQVNRQIHLDDGEIHCTSLRNSLRALFPVVEQMYPQFRDSQPFLVEELTFNLVQKMLYCRESMSVGHFLKEGILRVDTNNDALSAEKALMFTRNTIYPQQFNSKDLRCHSSIPAAAGGQVLLALLLLCSTALTGMLFSSVSKQLAAM
+>sp|Q9NPF7|IL23A_HUMAN Interleukin-23 subunit alpha OS=Homo sapiens OX=9606 GN=IL23A PE=1 SV=1
+MLGSRAVMLLLLLPWTAQGRAVPGGSSPAWTQCQQLSQKLCTLAWSAHPLVGHMDLREEGDEETTNDVPHIQCGDGCDPQGLRDNSQFCLQRIHQGLIFYEKLLGSDIFTGEPSLLPDSPVGQLHASLLGLSQLLQPEGHHWETQQIPSLSPSQPWQRLLLRFKILRSLQAFVAVAARVFAHGAATLSP
+>DECOY_sp|Q9NPF7|IL23A_HUMAN Interleukin-23 subunit alpha OS=Homo sapiens OX=9606 GN=IL23A PE=1 SV=1
+PSLTAAGHAFVRAAVAVFAQLSRLIKFRLLLRQWPQSPSLSPIQQTEWHHGEPQLLQSLGLLSAHLQGVPSDPLLSPEGTFIDSGLLKEYFILGQHIRQLCFQSNDRLGQPDCGDGCQIHPVDNTTEEDGEERLDMHGVLPHASWALTCLKQSLQQCQTWAPSSGGPVARGQATWPLLLLLMVARSGLM
+>sp|Q9H293|IL25_HUMAN Interleukin-25 OS=Homo sapiens OX=9606 GN=IL25 PE=1 SV=1
+MRERPRLGEDSSLISLFLQVVAFLAMVMGTHTYSHWPSCCPSKGQDTSEELLRWSTVPVPPLEPARPNRHPESCRASEDGPLNSRAISPWRYELDRDLNRLPQDLYHARCLCPHCVSLQTGSHMDPRGNSELLYHNQTVFYRRPCHGEKGTHKGYCLERRLYRVSLACVCVRPRVMG
+>DECOY_sp|Q9H293|IL25_HUMAN Interleukin-25 OS=Homo sapiens OX=9606 GN=IL25 PE=1 SV=1
+GMVRPRVCVCALSVRYLRRELCYGKHTGKEGHCPRRYFVTQNHYLLESNGRPDMHSGTQLSVCHPCLCRAHYLDQPLRNLDRDLEYRWPSIARSNLPGDESARCSEPHRNPRAPELPPVPVTSWRLLEESTDQGKSPCCSPWHSYTHTGMVMALFAVVQLFLSILSSDEGLRPRERM
+>sp|Q9NZH8|IL36G_HUMAN Interleukin-36 gamma OS=Homo sapiens OX=9606 GN=IL36G PE=1 SV=1
+MRGTPGDADGGGRAVYQSMCKPITGTINDLNQQVWTLQGQNLVAVPRSDSVTPVTVAVITCKYPEALEQGRGDPIYLGIQNPEMCLYCEKVGEQPTLQLKEQKIMDLYGQPEPVKPFLFYRAKTGRTSTLESVAFPDWFIASSKRDQPIILTSELGKSYNTAFELNIND
+>DECOY_sp|Q9NZH8|IL36G_HUMAN Interleukin-36 gamma OS=Homo sapiens OX=9606 GN=IL36G PE=1 SV=1
+DNINLEFATNYSKGLESTLIIPQDRKSSAIFWDPFAVSELTSTRGTKARYFLFPKVPEPQGYLDMIKQEKLQLTPQEGVKECYLCMEPNQIGLYIPDGRGQELAEPYKCTIVAVTVPTVSDSRPVAVLNQGQLTWVQQNLDNITGTIPKCMSQYVARGGGDADGPTGRM
+>sp|P26951|IL3RA_HUMAN Interleukin-3 receptor subunit alpha OS=Homo sapiens OX=9606 GN=IL3RA PE=1 SV=1
+MVLLWLTLLLIALPCLLQTKEDPNPPITNLRMKAKAQQLTWDLNRNVTDIECVKDADYSMPAVNNSYCQFGAISLCEVTNYTVRVANPPFSTWILFPENSGKPWAGAENLTCWIHDVDFLSCSWAVGPGAPADVQYDLYLNVANRRQQYECLHYKTDAQGTRIGCRFDDISRLSSGSQSSHILVRGRSAAFGIPCTDKFVVFSQIEILTPPNMTAKCNKTHSFMHWKMRSHFNRKFRYELQIQKRMQPVITEQVRDRTSFQLLNPGTYTVQIRARERVYEFLSAWSTPQRFECDQEEGANTRAWRTSLLIALGTLLALVCVFVICRRYLVMQRLFPRIPHMKDPIGDSFQNDKLVVWEAGKAGLEECLVTEVQVVQKT
+>DECOY_sp|P26951|IL3RA_HUMAN Interleukin-3 receptor subunit alpha OS=Homo sapiens OX=9606 GN=IL3RA PE=1 SV=1
+TKQVVQVETVLCEELGAKGAEWVVLKDNQFSDGIPDKMHPIRPFLRQMVLYRRCIVFVCVLALLTGLAILLSTRWARTNAGEEQDCEFRQPTSWASLFEYVRERARIQVTYTGPNLLQFSTRDRVQETIVPQMRKQIQLEYRFKRNFHSRMKWHMFSHTKNCKATMNPPTLIEIQSFVVFKDTCPIGFAASRGRVLIHSSQSGSSLRSIDDFRCGIRTGQADTKYHLCEYQQRRNAVNLYLDYQVDAPAGPGVAWSCSLFDVDHIWCTLNEAGAWPKGSNEPFLIWTSFPPNAVRVTYNTVECLSIAGFQCYSNNVAPMSYDADKVCEIDTVNRNLDWTLQQAKAKMRLNTIPPNPDEKTQLLCPLAILLLTLWLLVM
+>sp|P32927|IL3RB_HUMAN Cytokine receptor common subunit beta OS=Homo sapiens OX=9606 GN=CSF2RB PE=1 SV=2
+MVLAQGLLSMALLALCWERSLAGAEETIPLQTLRCYNDYTSHITCRWADTQDAQRLVNVTLIRRVNEDLLEPVSCDLSDDMPWSACPHPRCVPRRCVIPCQSFVVTDVDYFSFQPDRPLGTRLTVTLTQHVQPPEPRDLQISTDQDHFLLTWSVALGSPQSHWLSPGDLEFEVVYKRLQDSWEDAAILLSNTSQATLGPEHLMPSSTYVARVRTRLAPGSRLSGRPSKWSPEVCWDSQPGDEAQPQNLECFFDGAAVLSCSWEVRKEVASSVSFGLFYKPSPDAGEEECSPVLREGLGSLHTRHHCQIPVPDPATHGQYIVSVQPRRAEKHIKSSVNIQMAPPSLNVTKDGDSYSLRWETMKMRYEHIDHTFEIQYRKDTATWKDSKTETLQNAHSMALPALEPSTRYWARVRVRTSRTGYNGIWSEWSEARSWDTESVLPMWVLALIVIFLTIAVLLALRFCGIYGYRLRRKWEEKIPNPSKSHLFQNGSAELWPPGSMSAFTSGSPPHQGPWGSRFPELEGVFPVGFGDSEVSPLTIEDPKHVCDPPSGPDTTPAASDLPTEQPPSPQPGPPAASHTPEKQASSFDFNGPYLGPPHSRSLPDILGQPEPPQEGGSQKSPPPGSLEYLCLPAGGQVQLVPLAQAMGPGQAVEVERRPSQGAAGSPSLESGGGPAPPALGPRVGGQDQKDSPVAIPMSSGDTEDPGVASGYVSSADLVFTPNSGASSVSLVPSLGLPSDQTPSLCPGLASGPPGAPGPVKSGFEGYVELPPIEGRSPRSPRNNPVPPEAKSPVLNPGERPADVSPTSPQPEGLLVLQQVGDYCFLPGLGPGPLSLRSKPSSPGPGPEIKNLDQAFQVKKPPGQAVPQVPVIQLFKALKQQDYLSLPPWEVNKPGEVC
+>DECOY_sp|P32927|IL3RB_HUMAN Cytokine receptor common subunit beta OS=Homo sapiens OX=9606 GN=CSF2RB PE=1 SV=2
+CVEGPKNVEWPPLSLYDQQKLAKFLQIVPVQPVAQGPPKKVQFAQDLNKIEPGPGPSSPKSRLSLPGPGLGPLFCYDGVQQLVLLGEPQPSTPSVDAPREGPNLVPSKAEPPVPNNRPSRPSRGEIPPLEVYGEFGSKVPGPAGPPGSALGPCLSPTQDSPLGLSPVLSVSSAGSNPTFVLDASSVYGSAVGPDETDGSSMPIAVPSDKQDQGGVRPGLAPPAPGGGSELSPSGAAGQSPRREVEVAQGPGMAQALPVLQVQGGAPLCLYELSGPPPSKQSGGEQPPEPQGLIDPLSRSHPPGLYPGNFDFSSAQKEPTHSAAPPGPQPSPPQETPLDSAAPTTDPGSPPDCVHKPDEITLPSVESDGFGVPFVGELEPFRSGWPGQHPPSGSTFASMSGPPWLEASGNQFLHSKSPNPIKEEWKRRLRYGYIGCFRLALLVAITLFIVILALVWMPLVSETDWSRAESWESWIGNYGTRSTRVRVRAWYRTSPELAPLAMSHANQLTETKSDKWTATDKRYQIEFTHDIHEYRMKMTEWRLSYSDGDKTVNLSPPAMQINVSSKIHKEARRPQVSVIYQGHTAPDPVPIQCHHRTHLSGLGERLVPSCEEEGADPSPKYFLGFSVSSAVEKRVEWSCSLVAAGDFFCELNQPQAEDGPQSDWCVEPSWKSPRGSLRSGPALRTRVRAVYTSSPMLHEPGLTAQSTNSLLIAADEWSDQLRKYVVEFELDGPSLWHSQPSGLAVSWTLLFHDQDTSIQLDRPEPPQVHQTLTVTLRTGLPRDPQFSFYDVDTVVFSQCPIVCRRPVCRPHPCASWPMDDSLDCSVPELLDENVRRILTVNVLRQADQTDAWRCTIHSTYDNYCRLTQLPITEEAGALSREWCLALLAMSLLGQALVM
+>sp|Q01344|IL5RA_HUMAN Interleukin-5 receptor subunit alpha OS=Homo sapiens OX=9606 GN=IL5RA PE=1 SV=2
+MIIVAHVLLILLGATEILQADLLPDEKISLLPPVNFTIKVTGLAQVLLQWKPNPDQEQRNVNLEYQVKINAPKEDDYETRITESKCVTILHKGFSASVRTILQNDHSLLASSWASAELHAPPGSPGTSIVNLTCTTNTTEDNYSRLRSYQVSLHCTWLVGTDAPEDTQYFLYYRYGSWTEECQEYSKDTLGRNIACWFPRTFILSKGRDWLAVLVNGSSKHSAIRPFDQLFALHAIDQINPPLNVTAEIEGTRLSIQWEKPVSAFPIHCFDYEVKIHNTRNGYLQIEKLMTNAFISIIDDLSKYDVQVRAAVSSMCREAGLWSEWSQPIYVGNDEHKPLREWFVIVIMATICFILLILSLICKICHLWIKLFPPIPAPKSNIKDLFVTTNYEKAGSSETEIEVICYIEKPGVETLEDSVF
+>DECOY_sp|Q01344|IL5RA_HUMAN Interleukin-5 receptor subunit alpha OS=Homo sapiens OX=9606 GN=IL5RA PE=1 SV=2
+FVSDELTEVGPKEIYCIVEIETESSGAKEYNTTVFLDKINSKPAPIPPFLKIWLHCIKCILSLILLIFCITAMIVIVFWERLPKHEDNGVYIPQSWESWLGAERCMSSVAARVQVDYKSLDDIISIFANTMLKEIQLYGNRTNHIKVEYDFCHIPFASVPKEWQISLRTGEIEATVNLPPNIQDIAHLAFLQDFPRIASHKSSGNVLVALWDRGKSLIFTRPFWCAINRGLTDKSYEQCEETWSGYRYYLFYQTDEPADTGVLWTCHLSVQYSRLRSYNDETTNTTCTLNVISTGPSGPPAHLEASAWSSALLSHDNQLITRVSASFGKHLITVCKSETIRTEYDDEKPANIKVQYELNVNRQEQDPNPKWQLLVQALGTVKITFNVPPLLSIKEDPLLDAQLIETAGLLILLVHAVIIM
+>sp|P05113|IL5_HUMAN Interleukin-5 OS=Homo sapiens OX=9606 GN=IL5 PE=1 SV=1
+MRMLLHLSLLALGAAYVYAIPTEIPTSALVKETLALLSTHRTLLIANETLRIPVPVHKNHQLCTEEIFQGIGTLESQTVQGGTVERLFKNLSLIKKYIDGQKKKCGEERRRVNQFLDYLQEFLGVMNTEWIIES
+>DECOY_sp|P05113|IL5_HUMAN Interleukin-5 OS=Homo sapiens OX=9606 GN=IL5 PE=1 SV=1
+SEIIWETNMVGLFEQLYDLFQNVRRREEGCKKKQGDIYKKILSLNKFLREVTGGQVTQSELTGIGQFIEETCLQHNKHVPVPIRLTENAILLTRHTSLLALTEKVLASTPIETPIAYVYAAGLALLSLHLLMRM
+>sp|P15248|IL9_HUMAN Interleukin-9 OS=Homo sapiens OX=9606 GN=IL9 PE=2 SV=1
+MLLAMVLTSALLLCSVAGQGCPTLAGILDINFLINKMQEDPASKCHCSANVTSCLCLGIPSDNCTRPCFSERLSQMTNTTMQTRYPLIFSRVKKSVEVLKNNKCPYFSCEQPCNQTTAGNALTFLKSLLEIFQKEKMRGMRGKI
+>DECOY_sp|P15248|IL9_HUMAN Interleukin-9 OS=Homo sapiens OX=9606 GN=IL9 PE=2 SV=1
+IKGRMGRMKEKQFIELLSKLFTLANGATTQNCPQECSFYPCKNNKLVEVSKKVRSFILPYRTQMTTNTMQSLRESFCPRTCNDSPIGLCLCSTVNASCHCKSAPDEQMKNILFNIDLIGALTPCGQGAVSCLLLASTLVMALLM
+>sp|Q86SU0|ILDR1_HUMAN Immunoglobulin-like domain-containing receptor 1 OS=Homo sapiens OX=9606 GN=ILDR1 PE=1 SV=2
+MAWPKLPAPWLLLCTWLPAGCLSLLVTVQHTERYVTLFASIILKCDYTTSAQLQDVVVTWRFKSFCKDPIFDYYSASYQAALSLGQDPSNDCNDNQREVRIVAQRRGQNEPVLGVDYRQRKITIQNRADLVINEVMWWDHGVYYCTIEAPGDTSGDPDKEVKLIVLHWLTVIFIILGALLLLLLIGVCWCQCCPQYCCCYIRCPCCPAHCCCPEEALARHRYMKQAQALGPQMMGKPLYWGADRSSQVSSYPMHPLLQRDLSLPSSLPQMPMTQTTNQPPIANGVLEYLEKELRNLNLAQPLPPDLKGRFGHPCSMLSSLGSEVVERRIIHLPPLIRDLSSSRRTSDSLHQQWLTPIPSRPWDLREGRSHHHYPDFHQELQDRGPKSWALERRELDPSWSGRHRSSRLNGSPIHWSDRDSLSDVPSSSEARWRPSHPPFRSRCQERPRRPSPRESTQRHGRRRRHRSYSPPLPSGLSSWSSEEDKERQPQSWRAHRRGSHSPHWPEEKPPSYRSLDITPGKNSRKKGSVERRSEKDSSHSGRSVVI
+>DECOY_sp|Q86SU0|ILDR1_HUMAN Immunoglobulin-like domain-containing receptor 1 OS=Homo sapiens OX=9606 GN=ILDR1 PE=1 SV=2
+IVVSRGSHSSDKESRREVSGKKRSNKGPTIDLSRYSPPKEEPWHPSHSGRRHARWSQPQREKDEESSWSSLGSPLPPSYSRHRRRRGHRQTSERPSPRRPREQCRSRFPPHSPRWRAESSSPVDSLSDRDSWHIPSGNLRSSRHRGSWSPDLERRELAWSKPGRDQLEQHFDPYHHHSRGERLDWPRSPIPTLWQQHLSDSTRRSSSLDRILPPLHIIRREVVESGLSSLMSCPHGFRGKLDPPLPQALNLNRLEKELYELVGNAIPPQNTTQTMPMQPLSSPLSLDRQLLPHMPYSSVQSSRDAGWYLPKGMMQPGLAQAQKMYRHRALAEEPCCCHAPCCPCRIYCCCYQPCCQCWCVGILLLLLLAGLIIFIVTLWHLVILKVEKDPDGSTDGPAEITCYYVGHDWWMVENIVLDARNQITIKRQRYDVGLVPENQGRRQAVIRVERQNDNCDNSPDQGLSLAAQYSASYYDFIPDKCFSKFRWTVVVDQLQASTTYDCKLIISAFLTVYRETHQVTVLLSLCGAPLWTCLLLWPAPLKPWAM
+>sp|Q12905|ILF2_HUMAN Interleukin enhancer-binding factor 2 OS=Homo sapiens OX=9606 GN=ILF2 PE=1 SV=2
+MRGDRGRGRGGRFGSRGGPGGGFRPFVPHIPFDFYLCEMAFPRVKPAPDETSFSEALLKRNQDLAPNSAEQASILSLVTKINNVIDNLIVAPGTFEVQIEEVRQVGSYKKGTMTTGHNVADLVVILKILPTLEAVAALGNKVVESLRAQDPSEVLTMLTNETGFEISSSDATVKILITTVPPNLRKLDPELHLDIKVLQSALAAIRHARWFEENASQSTVKVLIRLLKDLRIRFPGFEPLTPWILDLLGHYAVMNNPTRQPLALNVAYRRCLQILAAGLFLPGSVGITDPCESGNFRVHTVMTLEQQDMVCYTAQTLVRILSHGGFRKILGQEGDASYLASEISTWDGVIVTPSEKAYEKPPEKKEGEEEEENTEEPPQGEEEESMETQE
+>DECOY_sp|Q12905|ILF2_HUMAN Interleukin enhancer-binding factor 2 OS=Homo sapiens OX=9606 GN=ILF2 PE=1 SV=2
+EQTEMSEEEEGQPPEETNEEEEEGEKKEPPKEYAKESPTVIVGDWTSIESALYSADGEQGLIKRFGGHSLIRVLTQATYCVMDQQELTMVTHVRFNGSECPDTIGVSGPLFLGAALIQLCRRYAVNLALPQRTPNNMVAYHGLLDLIWPTLPEFGPFRIRLDKLLRILVKVTSQSANEEFWRAHRIAALASQLVKIDLHLEPDLKRLNPPVTTILIKVTADSSSIEFGTENTLMTLVESPDQARLSEVVKNGLAAVAELTPLIKLIVVLDAVNHGTTMTGKKYSGVQRVEEIQVEFTGPAVILNDIVNNIKTVLSLISAQEASNPALDQNRKLLAESFSTEDPAPKVRPFAMECLYFDFPIHPVFPRFGGGPGGRSGFRGGRGRGRDGRM
+>sp|Q12906|ILF3_HUMAN Interleukin enhancer-binding factor 3 OS=Homo sapiens OX=9606 GN=ILF3 PE=1 SV=3
+MRPMRIFVNDDRHVMAKHSSVYPTQEELEAVQNMVSHTERALKAVSDWIDEQEKGSSEQAESDNMDVPPEDDSKEGAGEQKTEHMTRTLRGVMRVGLVAKGLLLKGDLDLELVLLCKEKPTTALLDKVADNLAIQLAAVTEDKYEILQSVDDAAIVIKNTKEPPLSLTIHLTSPVVREEMEKVLAGETLSVNDPPDVLDRQKCLAALASLRHAKWFQARANGLKSCVIVIRVLRDLCTRVPTWGPLRGWPLELLCEKSIGTANRPMGAGEALRRVLECLASGIVMPDGSGIYDPCEKEATDAIGHLDRQQREDITQSAQHALRLAAFGQLHKVLGMDPLPSKMPKKPKNENPVDYTVQIPPSTTYAITPMKRPMEEDGEEKSPSKKKKKIQKKEEKAEPPQAMNALMRLNQLKPGLQYKLVSQTGPVHAPIFTMSVEVDGNSFEASGPSKKTAKLHVAVKVLQDMGLPTGAEGRDSSKGEDSAEETEAKPAVVAPAPVVEAVSTPSAAFPSDATAEQGPILTKHGKNPVMELNEKRRGLKYELISETGGSHDKRFVMEVEVDGQKFQGAGSNKKVAKAYAALAALEKLFPDTPLALDANKKKRAPVPVRGGPKFAAKPHNPGFGMGGPMHNEVPPPPNLRGRGRGGSIRGRGRGRGFGGANHGGYMNAGAGYGSYGYGGNSATAGYSQFYSNGGHSGNASGGGGGGGGGSSGYGSYYQGDNYNSPVPPKHAGKKQPHGGQQKPSYGSGYQSHQGQQQSYNQSPYSNYGPPQGKQKGYNHGQGSYSYSNSYNSPGGGGGSDYNYESKFNYSGSGGRSGGNSYGSGGASYNPGSHGGYGGGSGGGSSYQGKQGGYSQSNYNSPGSGQNYSGPPSSYQSSQGGYGRNADHSMNYQYR
+>DECOY_sp|Q12906|ILF3_HUMAN Interleukin enhancer-binding factor 3 OS=Homo sapiens OX=9606 GN=ILF3 PE=1 SV=3
+RYQYNMSHDANRGYGGQSSQYSSPPGSYNQGSGPSNYNSQSYGGQKGQYSSGGGSGGGYGGHSGPNYSAGGSGYSNGGSRGGSGSYNFKSEYNYDSGGGGGPSNYSNSYSYSGQGHNYGKQKGQPPGYNSYPSQNYSQQQGQHSQYGSGYSPKQQGGHPQKKGAHKPPVPSNYNDGQYYSGYGSSGGGGGGGGGSANGSHGGNSYFQSYGATASNGGYGYSGYGAGANMYGGHNAGGFGRGRGRGRISGGRGRGRLNPPPPVENHMPGGMGFGPNHPKAAFKPGGRVPVPARKKKNADLALPTDPFLKELAALAAYAKAVKKNSGAGQFKQGDVEVEMVFRKDHSGGTESILEYKLGRRKENLEMVPNKGHKTLIPGQEATADSPFAASPTSVAEVVPAPAVVAPKAETEEASDEGKSSDRGEAGTPLGMDQLVKVAVHLKATKKSPGSAEFSNGDVEVSMTFIPAHVPGTQSVLKYQLGPKLQNLRMLANMAQPPEAKEEKKQIKKKKKSPSKEEGDEEMPRKMPTIAYTTSPPIQVTYDVPNENKPKKPMKSPLPDMGLVKHLQGFAALRLAHQASQTIDERQQRDLHGIADTAEKECPDYIGSGDPMVIGSALCELVRRLAEGAGMPRNATGISKECLLELPWGRLPGWTPVRTCLDRLVRIVIVCSKLGNARAQFWKAHRLSALAALCKQRDLVDPPDNVSLTEGALVKEMEERVVPSTLHITLSLPPEKTNKIVIAADDVSQLIEYKDETVAALQIALNDAVKDLLATTPKEKCLLVLELDLDGKLLLGKAVLGVRMVGRLTRTMHETKQEGAGEKSDDEPPVDMNDSEAQESSGKEQEDIWDSVAKLARETHSVMNQVAELEEQTPYVSSHKAMVHRDDNVFIRMPRM
+>sp|Q9H0C8|ILKAP_HUMAN Integrin-linked kinase-associated serine/threonine phosphatase 2C OS=Homo sapiens OX=9606 GN=ILKAP PE=1 SV=1
+MDLFGDLPEPERSPRPAAGKEAQKGPLLFDDLPPASSTDSGSGGPLLFDDLPPASSGDSGSLATSISQMVKTEGKGAKRKTSEEEKNGSEELVEKKVCKASSVIFGLKGYVAERKGEREEMQDAHVILNDITEECRPPSSLITRVSYFAVFDGHGGIRASKFAAQNLHQNLIRKFPKGDVISVEKTVKRCLLDTFKHTDEEFLKQASSQKPAWKDGSTATCVLAVDNILYIANLGDSRAILCRYNEESQKHAALSLSKEHNPTQYEERMRIQKAGGNVRDGRVLGVLEVSRSIGDGQYKRCGVTSVPDIRRCQLTPNDRFILLACDGLFKVFTPEEAVNFILSCLEDEKIQTREGKSAADARYEAACNRLANKAVQRGSADNVTVMVVRIGH
+>DECOY_sp|Q9H0C8|ILKAP_HUMAN Integrin-linked kinase-associated serine/threonine phosphatase 2C OS=Homo sapiens OX=9606 GN=ILKAP PE=1 SV=1
+HGIRVVMVTVNDASGRQVAKNALRNCAAEYRADAASKGERTQIKEDELCSLIFNVAEEPTFVKFLGDCALLIFRDNPTLQCRRIDPVSTVGCRKYQGDGISRSVELVGLVRGDRVNGGAKQIRMREEYQTPNHEKSLSLAAHKQSEENYRCLIARSDGLNAIYLINDVALVCTATSGDKWAPKQSSAQKLFEEDTHKFTDLLCRKVTKEVSIVDGKPFKRILNQHLNQAAFKSARIGGHGDFVAFYSVRTILSSPPRCEETIDNLIVHADQMEEREGKREAVYGKLGFIVSSAKCVKKEVLEESGNKEEESTKRKAGKGETKVMQSISTALSGSDGSSAPPLDDFLLPGGSGSDTSSAPPLDDFLLPGKQAEKGAAPRPSREPEPLDGFLDM
+>sp|Q96T52|IMP2L_HUMAN Mitochondrial inner membrane protease subunit 2 OS=Homo sapiens OX=9606 GN=IMMP2L PE=2 SV=1
+MAQSQGWVKRYIKAFCKGFFVAVPVAVTFLDRVACVARVEGASMQPSLNPGGSQSSDVVLLNHWKVRNFEVHRGDIVSLVSPKNPEQKIIKRVIALEGDIVRTIGHKNRYVKVPRGHIWVEGDHHGHSFDSNSFGPVSLGLLHAHATHILWPPERWQKLESVLPPERLPVQREEE
+>DECOY_sp|Q96T52|IMP2L_HUMAN Mitochondrial inner membrane protease subunit 2 OS=Homo sapiens OX=9606 GN=IMMP2L PE=2 SV=1
+EEERQVPLREPPLVSELKQWREPPWLIHTAHAHLLGLSVPGFSNSDFSHGHHDGEVWIHGRPVKVYRNKHGITRVIDGELAIVRKIIKQEPNKPSVLSVIDGRHVEFNRVKWHNLLVVDSSQSGGPNLSPQMSAGEVRAVCAVRDLFTVAVPVAVFFGKCFAKIYRKVWGQSQAM
+>sp|Q96G21|IMP4_HUMAN U3 small nucleolar ribonucleoprotein protein IMP4 OS=Homo sapiens OX=9606 GN=IMP4 PE=1 SV=1
+MLRREARLRREYLYRKAREEAQRSAQERKERLRRALEENRLIPTELRREALALQGSLEFDDAGGEGVTSHVDDEYRWAGVEDPKVMITTSRDPSSRLKMFAKELKLVFPGAQRMNRGRHEVGALVRACKANGVTDLLVVHEHRGTPVGLIVSHLPFGPTAYFTLCNVVMRHDIPDLGTMSEAKPHLITHGFSSRLGKRVSDILRYLFPVPKDDSHRVITFANQDDYISFRHHVYKKTDHRNVELTEVGPRFELKLYMIRLGTLEQEATADVEWRWHPYTNTARKRVFLSTE
+>DECOY_sp|Q96G21|IMP4_HUMAN U3 small nucleolar ribonucleoprotein protein IMP4 OS=Homo sapiens OX=9606 GN=IMP4 PE=1 SV=1
+ETSLFVRKRATNTYPHWRWEVDATAEQELTGLRIMYLKLEFRPGVETLEVNRHDTKKYVHHRFSIYDDQNAFTIVRHSDDKPVPFLYRLIDSVRKGLRSSFGHTILHPKAESMTGLDPIDHRMVVNCLTFYATPGFPLHSVILGVPTGRHEHVVLLDTVGNAKCARVLAGVEHRGRNMRQAGPFVLKLEKAFMKLRSSPDRSTTIMVKPDEVGAWRYEDDVHSTVGEGGADDFELSGQLALAERRLETPILRNEELARRLREKREQASRQAEERAKRYLYERRLRAERRLM
+>sp|P29218|IMPA1_HUMAN Inositol monophosphatase 1 OS=Homo sapiens OX=9606 GN=IMPA1 PE=1 SV=1
+MADPWQECMDYAVTLARQAGEVVCEAIKNEMNVMLKSSPVDLVTATDQKVEKMLISSIKEKYPSHSFIGEESVAAGEKSILTDNPTWIIDPIDGTTNFVHRFPFVAVSIGFAVNKKIEFGVVYSCVEGKMYTARKGKGAFCNGQKLQVSQQEDITKSLLVTELGSSRTPETVRMVLSNMEKLFCIPVHGIRSVGTAAVNMCLVATGGADAYYEMGIHCWDVAGAGIIVTEAGGVLMDVTGGPFDLMSRRVIAANNRILAERIAKEIQVIPLQRDDED
+>DECOY_sp|P29218|IMPA1_HUMAN Inositol monophosphatase 1 OS=Homo sapiens OX=9606 GN=IMPA1 PE=1 SV=1
+DEDDRQLPIVQIEKAIREALIRNNAAIVRRSMLDFPGGTVDMLVGGAETVIIGAGAVDWCHIGMEYYADAGGTAVLCMNVAATGVSRIGHVPICFLKEMNSLVMRVTEPTRSSGLETVLLSKTIDEQQSVQLKQGNCFAGKGKRATYMKGEVCSYVVGFEIKKNVAFGISVAVFPFRHVFNTTGDIPDIIWTPNDTLISKEGAAVSEEGIFSHSPYKEKISSILMKEVKQDTATVLDVPSSKLMVNMENKIAECVVEGAQRALTVAYDMCEQWPDAM
+>sp|Q8NI35|INADL_HUMAN InaD-like protein OS=Homo sapiens OX=9606 GN=PATJ PE=1 SV=3
+MPENPATDKLQVLQVLDRLKMKLQEKGDTSQNEKLSMFYETLKSPLFNQILTLQQSIKQLKGQLNHIPSDCSANFDFSRKGLLVFTDGSITNGNVHRPSNNSTVSGLFPWTPKLGNEDFNSVIQQMAQGRQIEYIDIERPSTGGLGFSVVALRSQNLGKVDIFVKDVQPGSVADRDQRLKENDQILAINHTPLDQNISHQQAIALLQQTTGSLRLIVAREPVHTKSSTSSSLNDTTLPETVCWGHVEEVELINDGSGLGFGIVGGKTSGVVVRTIVPGGLADRDGRLQTGDHILKIGGTNVQGMTSEQVAQVLRNCGNSVRMLVARDPAGDISVTPPAPAALPVALPTVASKGPGSDSSLFETYNVELVRKDGQSLGIRIVGYVGTSHTGEASGIYVKSIIPGSAAYHNGHIQVNDKIVAVDGVNIQGFANHDVVEVLRNAGQVVHLTLVRRKTSSSTSPLEPPSDRGTVVEPLKPPALFLTGAVETETNVDGEDEEIKERIDTLKNDNIQALEKLEKVPDSPENELKSRWENLLGPDYEVMVATLDTQIADDAELQKYSKLLPIHTLRLGVEVDSFDGHHYISSIVSGGPVDTLGLLQPEDELLEVNGMQLYGKSRREAVSFLKEVPPPFTLVCCRRLFDDEASVDEPRRTETSLPETEVDHNMDVNTEEDDDGELALWSPEVKIVELVKDCKGLGFSILDYQDPLDPTRSVIVIRSLVADGVAERSGGLLPGDRLVSVNEYCLDNTSLAEAVEILKAVPPGLVHLGICKPLVEDNEEESCYILHSSSNEDKTEFSGTIHDINSSLILEAPKGFRDEPYFKEELVDEPFLDLGKSFHSQQKEIEQSKEAWEMHEFLTPRLQEMDEEREILVDEEYELYQDPSPSMELYPLSHIQEATPVPSVNELHFGTQWLHDNEPSESQEARTGRTVYSQEAQPYGYCPENVMKENFVMESLPSVPSTEGNSQQGRFDDLENLNSLAKTSLDLGMIPNDVQGPSLLIDLPVVAQRREQEDLPLYQHQATRVISKASAYTGMLSSRYATDTCELPEREEGEGEETPNFSHWGPPRIVEIFREPNVSLGISIVGGQTVIKRLKNGEELKGIFIKQVLEDSPAGKTNALKTGDKILEVSGVDLQNASHSEAVEAIKNAGNPVVFIVQSLSSTPRVIPNVHNKANKITGNQNQDTQEKKEKRQGTAPPPMKLPPPYKALTDDSDENEEEDAFTDQKIRQRYADLPGELHIIELEKDKNGLGLSLAGNKDRSRMSIFVVGINPEGPAAADGRMRIGDELLEINNQILYGRSHQNASAIIKTAPSKVKLVFIRNEDAVNQMAVTPFPVPSSSPSSIEDQSGTEPISSEEDGSVEVGIKQLPESESFKLAVSQMKQQKYPTKVSFSSQEIPLAPASSYHSTDADFTGYGGFQAPLSVDPATCPIVPGQEMIIEISKGRSGLGLSIVGGKDTPLNAIVIHEVYEEGAAARDGRLWAGDQILEVNGVDLRNSSHEEAITALRQTPQKVRLVVYRDEAHYRDEENLEIFPVDLQKKAGRGLGLSIVGKRNGSGVFISDIVKGGAADLDGRLIQGDQILSVNGEDMRNASQETVATILKCAQGLVQLEIGRLRAGSWTSARTTSQNSQGSQQSAHSSCHPSFAPVITGLQNLVGTKRVSDPSQKNSGTDMEPRTVEINRELSDALGISIAGGRGSPLGDIPVFIAMIQASGVAARTQKLKVGDRIVSINGQPLDGLSHADVVNLLKNAYGRIILQVVADTNISAIAAQLENMSTGYHLGSPTAEHHPEDTEEQLQMTAD
+>DECOY_sp|Q8NI35|INADL_HUMAN InaD-like protein OS=Homo sapiens OX=9606 GN=PATJ PE=1 SV=3
+DATMQLQEETDEPHHEATPSGLHYGTSMNELQAAIASINTDAVVQLIIRGYANKLLNVVDAHSLGDLPQGNISVIRDGVKLKQTRAAVGSAQIMAIFVPIDGLPSGRGGAISIGLADSLERNIEVTRPEMDTGSNKQSPDSVRKTGVLNQLGTIVPAFSPHCSSHASQQSGQSNQSTTRASTWSGARLRGIELQVLGQACKLITAVTEQSANRMDEGNVSLIQDGQILRGDLDAAGGKVIDSIFVGSGNRKGVISLGLGRGAKKQLDVPFIELNEEDRYHAEDRYVVLRVKQPTQRLATIAEEHSSNRLDVGNVELIQDGAWLRGDRAAAGEEYVEHIVIANLPTDKGGVISLGLGSRGKSIEIIMEQGPVIPCTAPDVSLPAQFGGYGTFDADTSHYSSAPALPIEQSSFSVKTPYKQQKMQSVALKFSESEPLQKIGVEVSGDEESSIPETGSQDEISSPSSSPVPFPTVAMQNVADENRIFVLKVKSPATKIIASANQHSRGYLIQNNIELLEDGIRMRGDAAAPGEPNIGVVFISMRSRDKNGALSLGLGNKDKELEIIHLEGPLDAYRQRIKQDTFADEEENEDSDDTLAKYPPPLKMPPPATGQRKEKKEQTDQNQNGTIKNAKNHVNPIVRPTSSLSQVIFVVPNGANKIAEVAESHSANQLDVGSVELIKDGTKLANTKGAPSDELVQKIFIGKLEEGNKLRKIVTQGGVISIGLSVNPERFIEVIRPPGWHSFNPTEEGEGEEREPLECTDTAYRSSLMGTYASAKSIVRTAQHQYLPLDEQERRQAVVPLDILLSPGQVDNPIMGLDLSTKALSNLNELDDFRGQQSNGETSPVSPLSEMVFNEKMVNEPCYGYPQAEQSYVTRGTRAEQSESPENDHLWQTGFHLENVSPVPTAEQIHSLPYLEMSPSPDQYLEYEEDVLIEREEDMEQLRPTLFEHMEWAEKSQEIEKQQSHFSKGLDLFPEDVLEEKFYPEDRFGKPAELILSSNIDHITGSFETKDENSSSHLIYCSEEENDEVLPKCIGLHVLGPPVAKLIEVAEALSTNDLCYENVSVLRDGPLLGGSREAVGDAVLSRIVIVSRTPDLPDQYDLISFGLGKCDKVLEVIKVEPSWLALEGDDDEETNVDMNHDVETEPLSTETRRPEDVSAEDDFLRRCCVLTFPPPVEKLFSVAERRSKGYLQMGNVELLEDEPQLLGLTDVPGGSVISSIYHHGDFSDVEVGLRLTHIPLLKSYKQLEADDAIQTDLTAVMVEYDPGLLNEWRSKLENEPSDPVKELKELAQINDNKLTDIREKIEEDEGDVNTETEVAGTLFLAPPKLPEVVTGRDSPPELPSTSSSTKRRVLTLHVVQGANRLVEVVDHNAFGQINVGDVAVIKDNVQIHGNHYAASGPIISKVYIGSAEGTHSTGVYGVIRIGLSQGDKRVLEVNYTEFLSSDSGPGKSAVTPLAVPLAAPAPPTVSIDGAPDRAVLMRVSNGCNRLVQAVQESTMGQVNTGGIKLIHDGTQLRGDRDALGGPVITRVVVGSTKGGVIGFGLGSGDNILEVEEVHGWCVTEPLTTDNLSSSTSSKTHVPERAVILRLSGTTQQLLAIAQQHSINQDLPTHNIALIQDNEKLRQDRDAVSGPQVDKVFIDVKGLNQSRLAVVSFGLGGTSPREIDIYEIQRGQAMQQIVSNFDENGLKPTWPFLGSVTSNNSPRHVNGNTISGDTFVLLGKRSFDFNASCDSPIHNLQGKLQKISQQLTLIQNFLPSKLTEYFMSLKENQSTDGKEQLKMKLRDLVQLVQLKDTAPNEPM
+>sp|C9JVW0|INAM1_HUMAN Putative transmembrane protein INAFM1 OS=Homo sapiens OX=9606 GN=INAFM1 PE=4 SV=1
+MRGTSCVGGGAESPGGAGLSEGPRGRWLRLAPVCAYFLCVSLAAVLLAVYYGLIWVPTRSPAAPAGPQPSAPSPPCAARPGVPPVPAPAAASLSCLLGVPGGPRPQLQLPLSRRRRYSDPDRRPSRQTPRETPEAAEGRRPG
+>DECOY_sp|C9JVW0|INAM1_HUMAN Putative transmembrane protein INAFM1 OS=Homo sapiens OX=9606 GN=INAFM1 PE=4 SV=1
+GPRRGEAAEPTERPTQRSPRRDPDSYRRRRSLPLQLQPRPGGPVGLLCSLSAAAPAPVPPVGPRAACPPSPASPQPGAPAAPSRTPVWILGYYVALLVAALSVCLFYACVPALRLWRGRPGESLGAGGPSEAGGGVCSTGRM
+>sp|P48551|INAR2_HUMAN Interferon alpha/beta receptor 2 OS=Homo sapiens OX=9606 GN=IFNAR2 PE=1 SV=1
+MLLSQNAFIFRSLNLVLMVYISLVFGISYDSPDYTDESCTFKISLRNFRSILSWELKNHSIVPTHYTLLYTIMSKPEDLKVVKNCANTTRSFCDLTDEWRSTHEAYVTVLEGFSGNTTLFSCSHNFWLAIDMSFEPPEFEIVGFTNHINVMVKFPSIVEEELQFDLSLVIEEQSEGIVKKHKPEIKGNMSGNFTYIIDKLIPNTNYCVSVYLEHSDEQAVIKSPLKCTLLPPGQESESAESAKIGGIITVFLIALVLTSTIVTLKWIGYICLRNSLPKVLNFHNFLAWPFPNLPPLEAMDMVEVIYINRKKKVWDYNYDDESDSDTEAAPRTSGGGYTMHGLTVRPLGQASATSTESQLIDPESEEEPDLPEVDVELPTMPKDSPQQLELLSGPCERRKSPLQDPFPEEDYSSTEGSGGRITFNVDLNSVFLRVLDDEDSDDLEAPLMLSSHLEEMVDPEDPDNVQSNHLLASGEGTQPTFPSPSSEGLWSEDAPSDQSDTSESDVDLGDGYIMR
+>DECOY_sp|P48551|INAR2_HUMAN Interferon alpha/beta receptor 2 OS=Homo sapiens OX=9606 GN=IFNAR2 PE=1 SV=1
+RMIYGDGLDVDSESTDSQDSPADESWLGESSPSPFTPQTGEGSALLHNSQVNDPDEPDVMEELHSSLMLPAELDDSDEDDLVRLFVSNLDVNFTIRGGSGETSSYDEEPFPDQLPSKRRECPGSLLELQQPSDKPMTPLEVDVEPLDPEEESEPDILQSETSTASAQGLPRVTLGHMTYGGGSTRPAAETDSDSEDDYNYDWVKKKRNIYIVEVMDMAELPPLNPFPWALFNHFNLVKPLSNRLCIYGIWKLTVITSTLVLAILFVTIIGGIKASEASESEQGPPLLTCKLPSKIVAQEDSHELYVSVCYNTNPILKDIIYTFNGSMNGKIEPKHKKVIGESQEEIVLSLDFQLEEEVISPFKVMVNIHNTFGVIEFEPPEFSMDIALWFNHSCSFLTTNGSFGELVTVYAEHTSRWEDTLDCFSRTTNACNKVVKLDEPKSMITYLLTYHTPVISHNKLEWSLISRFNRLSIKFTCSEDTYDPSDYSIGFVLSIYVMLVLNLSRFIFANQSLLM
+>sp|P40305|IFI27_HUMAN Interferon alpha-inducible protein 27, mitochondrial OS=Homo sapiens OX=9606 GN=IFI27 PE=2 SV=3
+MEASALTSSAVTSVAKVVRVASGSAVVLPLARIATVVIGGVVAVPMVLSAMGFTAAGIASSSIAAKMMSAAAIANGGGVASGSLVATLQSLGATGLSGLTKFILGSIGSAIAAVIARFY
+>DECOY_sp|P40305|IFI27_HUMAN Interferon alpha-inducible protein 27, mitochondrial OS=Homo sapiens OX=9606 GN=IFI27 PE=2 SV=3
+YFRAIVAAIASGISGLIFKTLGSLGTAGLSQLTAVLSGSAVGGGNAIAAASMMKAAISSSAIGAATFGMASLVMPVAVVGGIVVTAIRALPLVVASGSAVRVVKAVSTVASSTLASAEM
+>sp|P09913|IFIT2_HUMAN Interferon-induced protein with tetratricopeptide repeats 2 OS=Homo sapiens OX=9606 GN=IFIT2 PE=1 SV=1
+MSENNKNSLESSLRQLKCHFTWNLMEGENSLDDFEDKVFYRTEFQNREFKATMCNLLAYLKHLKGQNEAALECLRKAEELIQQEHADQAEIRSLVTWGNYAWVYYHMGRLSDVQIYVDKVKHVCEKFSSPYRIESPELDCEEGWTRLKCGGNQNERAKVCFEKALEKKPKNPEFTSGLAIASYRLDNWPPSQNAIDPLRQAIRLNPDNQYLKVLLALKLHKMREEGEEEGEGEKLVEEALEKAPGVTDVLRSAAKFYRRKDEPDKAIELLKKALEYIPNNAYLHCQIGCCYRAKVFQVMNLRENGMYGKRKLLELIGHAVAHLKKADEANDNLFRVCSILASLHALADQYEDAEYYFQKEFSKELTPVAKQLLHLRYGNFQLYQMKCEDKAIHHFIEGVKINQKSREKEKMKDKLQKIAKMRLSKNGADSEALHVLAFLQELNEKMQQADEDSERGLESGSLIPSASSWNGE
+>DECOY_sp|P09913|IFIT2_HUMAN Interferon-induced protein with tetratricopeptide repeats 2 OS=Homo sapiens OX=9606 GN=IFIT2 PE=1 SV=1
+EGNWSSASPILSGSELGRESDEDAQQMKENLEQLFALVHLAESDAGNKSLRMKAIKQLKDKMKEKERSKQNIKVGEIFHHIAKDECKMQYLQFNGYRLHLLQKAVPTLEKSFEKQFYYEADEYQDALAHLSALISCVRFLNDNAEDAKKLHAVAHGILELLKRKGYMGNERLNMVQFVKARYCCGIQCHLYANNPIYELAKKLLEIAKDPEDKRRYFKAASRLVDTVGPAKELAEEVLKEGEGEEEGEERMKHLKLALLVKLYQNDPNLRIAQRLPDIANQSPPWNDLRYSAIALGSTFEPNKPKKELAKEFCVKARENQNGGCKLRTWGEECDLEPSEIRYPSSFKECVHKVKDVYIQVDSLRGMHYYVWAYNGWTVLSRIEAQDAHEQQILEEAKRLCELAAENQGKLHKLYALLNCMTAKFERNQFETRYFVKDEFDDLSNEGEMLNWTFHCKLQRLSSELSNKNNESM
+>sp|P01566|IFN10_HUMAN Interferon alpha-10 OS=Homo sapiens OX=9606 GN=IFNA10 PE=1 SV=1
+MALSFSLLMAVLVLSYKSICSLGCDLPQTHSLGNRRALILLGQMGRISPFSCLKDRHDFRIPQEEFDGNQFQKAQAISVLHEMIQQTFNLFSTEDSSAAWEQSLLEKFSTELYQQLNDLEACVIQEVGVEETPLMNEDSILAVRKYFQRITLYLIERKYSPCAWEVVRAEIMRSLSFSTNLQKRLRRKD
+>DECOY_sp|P01566|IFN10_HUMAN Interferon alpha-10 OS=Homo sapiens OX=9606 GN=IFNA10 PE=1 SV=1
+DKRRLRKQLNTSFSLSRMIEARVVEWACPSYKREILYLTIRQFYKRVALISDENMLPTEEVGVEQIVCAELDNLQQYLETSFKELLSQEWAASSDETSFLNFTQQIMEHLVSIAQAKQFQNGDFEEQPIRFDHRDKLCSFPSIRGMQGLLILARRNGLSHTQPLDCGLSCISKYSLVLVAMLLSFSLAM
+>sp|P01571|IFN17_HUMAN Interferon alpha-17 OS=Homo sapiens OX=9606 GN=IFNA17 PE=1 SV=2
+MALSFSLLMAVLVLSYKSICSLGCDLPQTHSLGNRRALILLAQMGRISPFSCLKDRHDFGLPQEEFDGNQFQKTQAISVLHEMIQQTFNLFSTEDSSAAWEQSLLEKFSTELYQQLNNLEACVIQEVGMEETPLMNEDSILAVRKYFQRITLYLTEKKYSPCAWEVVRAEIMRSLSFSTNLQKILRRKD
+>DECOY_sp|P01571|IFN17_HUMAN Interferon alpha-17 OS=Homo sapiens OX=9606 GN=IFNA17 PE=1 SV=2
+DKRRLIKQLNTSFSLSRMIEARVVEWACPSYKKETLYLTIRQFYKRVALISDENMLPTEEMGVEQIVCAELNNLQQYLETSFKELLSQEWAASSDETSFLNFTQQIMEHLVSIAQTKQFQNGDFEEQPLGFDHRDKLCSFPSIRGMQALLILARRNGLSHTQPLDCGLSCISKYSLVLVAMLLSFSLAM
+>sp|P05014|IFNA4_HUMAN Interferon alpha-4 OS=Homo sapiens OX=9606 GN=IFNA4 PE=1 SV=2
+MALSFSLLMAVLVLSYKSICSLGCDLPQTHSLGNRRALILLAQMGRISHFSCLKDRHDFGFPEEEFDGHQFQKAQAISVLHEMIQQTFNLFSTEDSSAAWEQSLLEKFSTELYQQLNDLEACVIQEVGVEETPLMNEDSILAVRKYFQRITLYLTEKKYSPCAWEVVRAEIMRSLSFSTNLQKRLRRKD
+>DECOY_sp|P05014|IFNA4_HUMAN Interferon alpha-4 OS=Homo sapiens OX=9606 GN=IFNA4 PE=1 SV=2
+DKRRLRKQLNTSFSLSRMIEARVVEWACPSYKKETLYLTIRQFYKRVALISDENMLPTEEVGVEQIVCAELDNLQQYLETSFKELLSQEWAASSDETSFLNFTQQIMEHLVSIAQAKQFQHGDFEEEPFGFDHRDKLCSFHSIRGMQALLILARRNGLSHTQPLDCGLSCISKYSLVLVAMLLSFSLAM
+>sp|P05013|IFNA6_HUMAN Interferon alpha-6 OS=Homo sapiens OX=9606 GN=IFNA6 PE=1 SV=1
+MALPFALLMALVVLSCKSSCSLDCDLPQTHSLGHRRTMMLLAQMRRISLFSCLKDRHDFRFPQEEFDGNQFQKAEAISVLHEVIQQTFNLFSTKDSSVAWDERLLDKLYTELYQQLNDLEACVMQEVWVGGTPLMNEDSILAVRKYFQRITLYLTEKKYSPCAWEVVRAEIMRSFSSSRNLQERLRRKE
+>DECOY_sp|P05013|IFNA6_HUMAN Interferon alpha-6 OS=Homo sapiens OX=9606 GN=IFNA6 PE=1 SV=1
+EKRRLREQLNRSSSFSRMIEARVVEWACPSYKKETLYLTIRQFYKRVALISDENMLPTGGVWVEQMVCAELDNLQQYLETYLKDLLREDWAVSSDKTSFLNFTQQIVEHLVSIAEAKQFQNGDFEEQPFRFDHRDKLCSFLSIRRMQALLMMTRRHGLSHTQPLDCDLSCSSKCSLVVLAMLLAFPLAM
+>sp|P32881|IFNA8_HUMAN Interferon alpha-8 OS=Homo sapiens OX=9606 GN=IFNA8 PE=1 SV=1
+MALTFYLLVALVVLSYKSFSSLGCDLPQTHSLGNRRALILLAQMRRISPFSCLKDRHDFEFPQEEFDDKQFQKAQAISVLHEMIQQTFNLFSTKDSSAALDETLLDEFYIELDQQLNDLESCVMQEVGVIESPLMYEDSILAVRKYFQRITLYLTEKKYSSCAWEVVRAEIMRSFSLSINLQKRLKSKE
+>DECOY_sp|P32881|IFNA8_HUMAN Interferon alpha-8 OS=Homo sapiens OX=9606 GN=IFNA8 PE=1 SV=1
+EKSKLRKQLNISLSFSRMIEARVVEWACSSYKKETLYLTIRQFYKRVALISDEYMLPSEIVGVEQMVCSELDNLQQDLEIYFEDLLTEDLAASSDKTSFLNFTQQIMEHLVSIAQAKQFQKDDFEEQPFEFDHRDKLCSFPSIRRMQALLILARRNGLSHTQPLDCGLSSFSKYSLVVLAVLLYFTLAM
+>sp|P01574|IFNB_HUMAN Interferon beta OS=Homo sapiens OX=9606 GN=IFNB1 PE=1 SV=1
+MTNKCLLQIALLLCFSTTALSMSYNLLGFLQRSSNFQCQKLLWQLNGRLEYCLKDRMNFDIPEEIKQLQQFQKEDAALTIYEMLQNIFAIFRQDSSSTGWNETIVENLLANVYHQINHLKTVLEEKLEKEDFTRGKLMSSLHLKRYYGRILHYLKAKEYSHCAWTIVRVEILRNFYFINRLTGYLRN
+>DECOY_sp|P01574|IFNB_HUMAN Interferon beta OS=Homo sapiens OX=9606 GN=IFNB1 PE=1 SV=1
+NRLYGTLRNIFYFNRLIEVRVITWACHSYEKAKLYHLIRGYYRKLHLSSMLKGRTFDEKELKEELVTKLHNIQHYVNALLNEVITENWGTSSSDQRFIAFINQLMEYITLAADEKQFQQLQKIEEPIDFNMRDKLCYELRGNLQWLLKQCQFNSSRQLFGLLNYSMSLATTSFCLLLAIQLLCKNTM
+>sp|P01579|IFNG_HUMAN Interferon gamma OS=Homo sapiens OX=9606 GN=IFNG PE=1 SV=1
+MKYTSYILAFQLCIVLGSLGCYCQDPYVKEAENLKKYFNAGHSDVADNGTLFLGILKNWKEESDRKIMQSQIVSFYFKLFKNFKDDQSIQKSVETIKEDMNVKFFNSNKKKRDDFEKLTNYSVTDLNVQRKAIHELIQVMAELSPAAKTGKRKRSQMLFRGRRASQ
+>DECOY_sp|P01579|IFNG_HUMAN Interferon gamma OS=Homo sapiens OX=9606 GN=IFNG PE=1 SV=1
+QSARRGRFLMQSRKRKGTKAAPSLEAMVQILEHIAKRQVNLDTVSYNTLKEFDDRKKKNSNFFKVNMDEKITEVSKQISQDDKFNKFLKFYFSVIQSQMIKRDSEEKWNKLIGLFLTGNDAVDSHGANFYKKLNEAEKVYPDQCYCGLSGLVICLQFALIYSTYKM
+>sp|P05000|IFNW1_HUMAN Interferon omega-1 OS=Homo sapiens OX=9606 GN=IFNW1 PE=1 SV=2
+MALLFPLLAALVMTSYSPVGSLGCDLPQNHGLLSRNTLVLLHQMRRISPFLCLKDRRDFRFPQEMVKGSQLQKAHVMSVLHEMLQQIFSLFHTERSSAAWNMTLLDQLHTGLHQQLQHLETCLLQVVGEGESAGAISSPALTLRRYFQGIRVYLKEKKYSDCAWEVVRMEIMKSLFLSTNMQERLRSKDRDLGSS
+>DECOY_sp|P05000|IFNW1_HUMAN Interferon omega-1 OS=Homo sapiens OX=9606 GN=IFNW1 PE=1 SV=2
+SSGLDRDKSRLREQMNTSLFLSKMIEMRVVEWACDSYKKEKLYVRIGQFYRRLTLAPSSIAGASEGEGVVQLLCTELHQLQQHLGTHLQDLLTMNWAASSRETHFLSFIQQLMEHLVSMVHAKQLQSGKVMEQPFRFDRRDKLCLFPSIRRMQHLLVLTNRSLLGHNQPLDCGLSGVPSYSTMVLAALLPFLLAM
+>sp|O00458|IFRD1_HUMAN Interferon-related developmental regulator 1 OS=Homo sapiens OX=9606 GN=IFRD1 PE=1 SV=4
+MPKNKKRNTPHRGSSAGGGGSGAAAATAATAGGQHRNVQPFSDEDASIETMSHCSGYSDPSSFAEDGPEVLDEEGTQEDLEYKLKGLIDLTLDKSAKTRQAALEGIKNALASKMLYEFILERRMTLTDSIERCLKKGKSDEQRAAAALASVLCIQLGPGIESEEILKTLGPILKKIICDGSASMQARQTCATCFGVCCFIATDDITELYSTLECLENIFTKSYLKEKDTTVICSTPNTVLHISSLLAWTLLLTICPINEVKKKLEMHFHKLPSLLSCDDVNMRIAAGESLALLFELARGIESDFFYEDMESLTQMLRALATDGNKHRAKVDKRKQRSVFRDVLRAVEERDFPTETIKFGPERMYIDCWVKKHTYDTFKEVLGSGMQYHLQSNEFLRNVFELGPPVMLDAATLKTMKISRFERHLYNSAAFKARTKARSKCRDKRADVGEFF
+>DECOY_sp|O00458|IFRD1_HUMAN Interferon-related developmental regulator 1 OS=Homo sapiens OX=9606 GN=IFRD1 PE=1 SV=4
+FFEGVDARKDRCKSRAKTRAKFAASNYLHREFRSIKMTKLTAADLMVPPGLEFVNRLFENSQLHYQMGSGLVEKFTDYTHKKVWCDIYMREPGFKITETPFDREEVARLVDRFVSRQKRKDVKARHKNGDTALARLMQTLSEMDEYFFDSEIGRALEFLLALSEGAAIRMNVDDCSLLSPLKHFHMELKKKVENIPCITLLLTWALLSSIHLVTNPTSCIVTTDKEKLYSKTFINELCELTSYLETIDDTAIFCCVGFCTACTQRAQMSASGDCIIKKLIPGLTKLIEESEIGPGLQICLVSALAAAARQEDSKGKKLCREISDTLTMRRELIFEYLMKSALANKIGELAAQRTKASKDLTLDILGKLKYELDEQTGEEDLVEPGDEAFSSPDSYGSCHSMTEISADEDSFPQVNRHQGGATAATAAAAGSGGGGASSGRHPTNRKKNKPM
+>sp|Q9Y547|IFT25_HUMAN Intraflagellar transport protein 25 homolog OS=Homo sapiens OX=9606 GN=HSPB11 PE=1 SV=1
+MRKIDLCLSSEGSEVILATSSDEKHPPENIIDGNPETFWTTTGMFPQEFIICFHKHVRIERLVIQSYFVQTLKIEKSTSKEPVDFEQWIEKDLVHTEGQLQNEEIVAHDGSATYLRFIIVSAFDHFASVHSVSAEGTVVSNLSS
+>DECOY_sp|Q9Y547|IFT25_HUMAN Intraflagellar transport protein 25 homolog OS=Homo sapiens OX=9606 GN=HSPB11 PE=1 SV=1
+SSLNSVVTGEASVSHVSAFHDFASVIIFRLYTASGDHAVIEENQLQGETHVLDKEIWQEFDVPEKSTSKEIKLTQVFYSQIVLREIRVHKHFCIIFEQPFMGTTTWFTEPNGDIINEPPHKEDSSTALIVESGESSLCLDIKRM
+>sp|A0AVF1|IFT56_HUMAN Intraflagellar transport protein 56 OS=Homo sapiens OX=9606 GN=TTC26 PE=2 SV=1
+MMLSRAKPAVGRGVQHTDKRKKKGRKIPKLEELLSKRDFTGAITLLEFKRHVGEEEEDTNLWIGYCAFHLGDYKRALEEYENATKEENCNSEVWVNLACTYFFLGMYKQAEAAGFKASKSRLQNRLLFHLAHKFNDEKKLMSFHQNLQDVTEDQLSLASIHYMRSHYQEAIDIYKRILLDNREYLALNVYVALCYYKLDYYDVSQEVLAVYLQQIPDSTIALNLKACNHFRLYNGRAAEAELKSLMDNASSSFEFAKELIRHNLVVFRGGEGALQVLPPLVDVIPEARLNLVIYYLRQDDVQEAYNLIKDLEPTTPQEYILKGVVNAALGQEMGSRDHMKIAQQFFQLVGGSASECDTIPGRQCMASCFFLLKQFDDVLIYLNSFKSYFYNDDIFNFNYAQAKAATGNTSEGEEAFLLIQSEKMKNDYIYLSWLARCYIMNKKPRLAWELYLKMETSGESFSLLQLIANDCYKMGQFYYSAKAFDVLERLDPNPEYWEGKRGACVGIFQMIIAGREPKETLREVLHLLRSTGNTQVEYMIRIMKKWAKENRVSI
+>DECOY_sp|A0AVF1|IFT56_HUMAN Intraflagellar transport protein 56 OS=Homo sapiens OX=9606 GN=TTC26 PE=2 SV=1
+ISVRNEKAWKKMIRIMYEVQTNGTSRLLHLVERLTEKPERGAIIMQFIGVCAGRKGEWYEPNPDLRELVDFAKASYYFQGMKYCDNAILQLLSFSEGSTEMKLYLEWALRPKKNMIYCRALWSLYIYDNKMKESQILLFAEEGESTNGTAAKAQAYNFNFIDDNYFYSKFSNLYILVDDFQKLLFFCSAMCQRGPITDCESASGGVLQFFQQAIKMHDRSGMEQGLAANVVGKLIYEQPTTPELDKILNYAEQVDDQRLYYIVLNLRAEPIVDVLPPLVQLAGEGGRFVVLNHRILEKAFEFSSSANDMLSKLEAEAARGNYLRFHNCAKLNLAITSDPIQQLYVALVEQSVDYYDLKYYCLAVYVNLALYERNDLLIRKYIDIAEQYHSRMYHISALSLQDETVDQLNQHFSMLKKEDNFKHALHFLLRNQLRSKSAKFGAAEAQKYMGLFFYTCALNVWVESNCNEEKTANEYEELARKYDGLHFACYGIWLNTDEEEEGVHRKFELLTIAGTFDRKSLLEELKPIKRGKKKRKDTHQVGRGVAPKARSLMM
+>sp|Q96LB3|IFT74_HUMAN Intraflagellar transport protein 74 homolog OS=Homo sapiens OX=9606 GN=IFT74 PE=1 SV=1
+MASNHKSSAARPVSRGGVGLTGRPPSGIRPLSGNIRVATAMPPGTARPGSRGCPIGTGGVLSSQIKVAHRPVTQQGLTGMKTGTKGPQRQILDKSYYLGLLRSKISELTTEVNKLQKGIEMYNQENSVYLSYEKRAETLAVEIKELQGQLADYNMLVDKLNTNTEMEEVMNDYNMLKAQNDRETQSLDVIFTERQAKEKQIRSVEEEIEQEKQATDDIIKNMSFENQVKYLEMKTTNEKLLQELDTLQQQLDSQNMKKESLEAEIAHSQVKQEAVLLHEKLYELESHRDQMIAEDKSIGSPMEEREKLLKQIKDDNQEIASMERQLTDTKEKINQFIEEIRQLDMDLEEHQGEMNQKYKELKKREEHMDTFIETFEETKNQELKRKAQIEANIVALLEHCSRNINRIEQISSITNQELKMMQDDLNFKSTEVQKSQSTAQNLTSDIQRLQLDLQKMELLESKMTEEQHSLKSKIKQMTTDLEIYNDLPALKSSGEEKIKKLHQERMILSTHRNAFKKIMEKQNIEYEALKTQLQENETHSQLTNLERKWQHLEQNNFAMKEFIATKSQESDYQPIKKNVTKQIAEYNKTIVDALHSTSGN
+>DECOY_sp|Q96LB3|IFT74_HUMAN Intraflagellar transport protein 74 homolog OS=Homo sapiens OX=9606 GN=IFT74 PE=1 SV=1
+NGSTSHLADVITKNYEAIQKTVNKKIPQYDSEQSKTAIFEKMAFNNQELHQWKRELNTLQSHTENEQLQTKLAEYEINQKEMIKKFANRHTSLIMREQHLKKIKEEGSSKLAPLDNYIELDTTMQKIKSKLSHQEETMKSELLEMKQLDLQLRQIDSTLNQATSQSKQVETSKFNLDDQMMKLEQNTISSIQEIRNINRSCHELLAVINAEIQAKRKLEQNKTEEFTEIFTDMHEERKKLEKYKQNMEGQHEELDMDLQRIEEIFQNIKEKTDTLQREMSAIEQNDDKIQKLLKEREEMPSGISKDEAIMQDRHSELEYLKEHLLVAEQKVQSHAIEAELSEKKMNQSDLQQQLTDLEQLLKENTTKMELYKVQNEFSMNKIIDDTAQKEQEIEEEVSRIQKEKAQRETFIVDLSQTERDNQAKLMNYDNMVEEMETNTNLKDVLMNYDALQGQLEKIEVALTEARKEYSLYVSNEQNYMEIGKQLKNVETTLESIKSRLLGLYYSKDLIQRQPGKTGTKMGTLGQQTVPRHAVKIQSSLVGGTGIPCGRSGPRATGPPMATAVRINGSLPRIGSPPRGTLGVGGRSVPRAASSKHNSAM
+>sp|Q6U949|IG2AS_HUMAN Putative insulin-like growth factor 2 antisense gene protein OS=Homo sapiens OX=9606 GN=IGF2-AS PE=2 SV=2
+MSKRKWRGFRGAQQERAQPPAASPQPCPAPHAGLPGGSRRRAPAPAGQQQMRAESRSGAQRRRGSARRGAHREAGGCVRGRTRSSGSERSNALWQAVDAAEALALSSPLRRPWDQAQHFTNPAPFSKGPQSAPPSPPAGRRRRGADLALTPLAGEGHTRWRQPGRPGK
+>DECOY_sp|Q6U949|IG2AS_HUMAN Putative insulin-like growth factor 2 antisense gene protein OS=Homo sapiens OX=9606 GN=IGF2-AS PE=2 SV=2
+KGPRGPQRWRTHGEGALPTLALDAGRRRRGAPPSPPASQPGKSFPAPNTFHQAQDWPRRLPSSLALAEAADVAQWLANSRESGSSRTRGRVCGGAERHAGRRASGRRRQAGSRSEARMQQQGAPAPARRRSGGPLGAHPAPCPQPSAAPPQAREQQAGRFGRWKRKSM
+>sp|P01344|IGF2_HUMAN Insulin-like growth factor II OS=Homo sapiens OX=9606 GN=IGF2 PE=1 SV=1
+MGIPMGKSMLVLLTFLAFASCCIAAYRPSETLCGGELVDTLQFVCGDRGFYFSRPASRVSRRSRGIVEECCFRSCDLALLETYCATPAKSERDVSTPPTVLPDNFPRYPVGKFFQYDTWKQSTQRLRRGLPALLRARRGHVLAKELEAFREAKRHRPLIALPTQDPAHGGAPPEMASNRK
+>DECOY_sp|P01344|IGF2_HUMAN Insulin-like growth factor II OS=Homo sapiens OX=9606 GN=IGF2 PE=1 SV=1
+KRNSAMEPPAGGHAPDQTPLAILPRHRKAERFAELEKALVHGRRARLLAPLGRRLRQTSQKWTDYQFFKGVPYRPFNDPLVTPPTSVDRESKAPTACYTELLALDCSRFCCEEVIGRSRRSVRSAPRSFYFGRDGCVFQLTDVLEGGCLTESPRYAAICCSAFALFTLLVLMSKGMPIGM
+>sp|P01880|IGHD_HUMAN Immunoglobulin heavy constant delta OS=Homo sapiens OX=9606 GN=IGHD PE=1 SV=3
+APTKAPDVFPIISGCRHPKDNSPVVLACLITGYHPTSVTVTWYMGTQSQPQRTFPEIQRRDSYYMTSSQLSTPLQQWRQGEYKCVVQHTASKSKKEIFRWPESPKAQASSVPTAQPQAEGSLAKATTAPATTRNTGRGGEEKKKEKEKEEQEERETKTPECPSHTQPLGVYLLTPAVQDLWLRDKATFTCFVVGSDLKDAHLTWEVAGKVPTGGVEEGLLERHSNGSQSQHSRLTLPRSLWNAGTSVTCTLNHPSLPPQRLMALREPAAQAPVKLSLNLLASSDPPEAASWLLCEVSGFSPPNILLMWLEDQREVNTSGFAPARPPPQPRSTTFWAWSVLRVPAPPSPQPATYTCVVSHEDSRTLLNASRSLEVSYVTDHGPMK
+>DECOY_sp|P01880|IGHD_HUMAN Immunoglobulin heavy constant delta OS=Homo sapiens OX=9606 GN=IGHD PE=1 SV=3
+KMPGHDTVYSVELSRSANLLTRSDEHSVVCTYTAPQPSPPAPVRLVSWAWFTTSRPQPPPRAPAFGSTNVERQDELWMLLINPPSFGSVECLLWSAAEPPDSSALLNLSLKVPAQAAPERLAMLRQPPLSPHNLTCTVSTGANWLSRPLTLRSHQSQSGNSHRELLGEEVGGTPVKGAVEWTLHADKLDSGVVFCTFTAKDRLWLDQVAPTLLYVGLPQTHSPCEPTKTEREEQEEKEKEKKKEEGGRGTNRTTAPATTAKALSGEAQPQATPVSSAQAKPSEPWRFIEKKSKSATHQVVCKYEGQRWQQLPTSLQSSTMYYSDRRQIEPFTRQPQSQTGMYWTVTVSTPHYGTILCALVVPSNDKPHRCGSIIPFVDPAKTPA
+>sp|P01859|IGHG2_HUMAN Immunoglobulin heavy constant gamma 2 OS=Homo sapiens OX=9606 GN=IGHG2 PE=1 SV=2
+ASTKGPSVFPLAPCSRSTSESTAALGCLVKDYFPEPVTVSWNSGALTSGVHTFPAVLQSSGLYSLSSVVTVPSSNFGTQTYTCNVDHKPSNTKVDKTVERKCCVECPPCPAPPVAGPSVFLFPPKPKDTLMISRTPEVTCVVVDVSHEDPEVQFNWYVDGVEVHNAKTKPREEQFNSTFRVVSVLTVVHQDWLNGKEYKCKVSNKGLPAPIEKTISKTKGQPREPQVYTLPPSREEMTKNQVSLTCLVKGFYPSDISVEWESNGQPENNYKTTPPMLDSDGSFFLYSKLTVDKSRWQQGNVFSCSVMHEALHNHYTQKSLSLSPGK
+>DECOY_sp|P01859|IGHG2_HUMAN Immunoglobulin heavy constant gamma 2 OS=Homo sapiens OX=9606 GN=IGHG2 PE=1 SV=2
+KGPSLSLSKQTYHNHLAEHMVSCSFVNGQQWRSKDVTLKSYLFFSGDSDLMPPTTKYNNEPQGNSEWEVSIDSPYFGKVLCTLSVQNKTMEERSPPLTYVQPERPQGKTKSITKEIPAPLGKNSVKCKYEKGNLWDQHVVTLVSVVRFTSNFQEERPKTKANHVEVGDVYWNFQVEPDEHSVDVVVCTVEPTRSIMLTDKPKPPFLFVSPGAVPPAPCPPCEVCCKREVTKDVKTNSPKHDVNCTYTQTGFNSSPVTVVSSLSYLGSSQLVAPFTHVGSTLAGSNWSVTVPEPFYDKVLCGLAATSESTSRSCPALPFVSPGKTSA
+>sp|P01861|IGHG4_HUMAN Immunoglobulin heavy constant gamma 4 OS=Homo sapiens OX=9606 GN=IGHG4 PE=1 SV=1
+ASTKGPSVFPLAPCSRSTSESTAALGCLVKDYFPEPVTVSWNSGALTSGVHTFPAVLQSSGLYSLSSVVTVPSSSLGTKTYTCNVDHKPSNTKVDKRVESKYGPPCPSCPAPEFLGGPSVFLFPPKPKDTLMISRTPEVTCVVVDVSQEDPEVQFNWYVDGVEVHNAKTKPREEQFNSTYRVVSVLTVLHQDWLNGKEYKCKVSNKGLPSSIEKTISKAKGQPREPQVYTLPPSQEEMTKNQVSLTCLVKGFYPSDIAVEWESNGQPENNYKTTPPVLDSDGSFFLYSRLTVDKSRWQEGNVFSCSVMHEALHNHYTQKSLSLSLGK
+>DECOY_sp|P01861|IGHG4_HUMAN Immunoglobulin heavy constant gamma 4 OS=Homo sapiens OX=9606 GN=IGHG4 PE=1 SV=1
+KGLSLSLSKQTYHNHLAEHMVSCSFVNGEQWRSKDVTLRSYLFFSGDSDLVPPTTKYNNEPQGNSEWEVAIDSPYFGKVLCTLSVQNKTMEEQSPPLTYVQPERPQGKAKSITKEISSPLGKNSVKCKYEKGNLWDQHLVTLVSVVRYTSNFQEERPKTKANHVEVGDVYWNFQVEPDEQSVDVVVCTVEPTRSIMLTDKPKPPFLFVSPGGLFEPAPCSPCPPGYKSEVRKDVKTNSPKHDVNCTYTKTGLSSSPVTVVSSLSYLGSSQLVAPFTHVGSTLAGSNWSVTVPEPFYDKVLCGLAATSESTSRSCPALPFVSPGKTSA
+>sp|A6NJ69|IGIP_HUMAN IgA-inducing protein homolog OS=Homo sapiens OX=9606 GN=IGIP PE=3 SV=1
+MCSYYHMKKRSVSGCNITIFAVMFSHLSAGKSPCGNQANVLCISRLEFVQYQS
+>DECOY_sp|A6NJ69|IGIP_HUMAN IgA-inducing protein homolog OS=Homo sapiens OX=9606 GN=IGIP PE=3 SV=1
+SQYQVFELRSICLVNAQNGCPSKGASLHSFMVAFITINCGSVSRKKMHYYSCM
+>sp|P0CF74|IGLC6_HUMAN Immunoglobulin lambda constant 6 OS=Homo sapiens OX=9606 GN=IGLC6 PE=1 SV=1
+GQPKAAPSVTLFPPSSEELQANKATLVCLISDFYPGAVKVAWKADGSPVNTGVETTTPSKQSNNKYAASSYLSLTPEQWKSHRSYSCQVTHEGSTVEKTVAPAECS
+>DECOY_sp|P0CF74|IGLC6_HUMAN Immunoglobulin lambda constant 6 OS=Homo sapiens OX=9606 GN=IGLC6 PE=1 SV=1
+SCEAPAVTKEVTSGEHTVQCSYSRHSKWQEPTLSLYSSAAYKNNSQKSPTTTEVGTNVPSGDAKWAVKVAGPYFDSILCVLTAKNAQLEESSPPFLTVSPAAKPQG
+>sp|Q6WRI0|IGS10_HUMAN Immunoglobulin superfamily member 10 OS=Homo sapiens OX=9606 GN=IGSF10 PE=1 SV=1
+MKVKGRGITCLLVSFAVICLVATPGGKACPRRCACYMPTEVHCTFRYLTSIPDSIPPNVERINLGYNSLVRLMETDFSGLTKLELLMLHSNGIHTIPDKTFSDLQALQVLKMSYNKVRKLQKDTFYGLRSLTRLHMDHNNIEFINPEVFYGLNFLRLVHLEGNQLTKLHPDTFVSLSYLQIFKISFIKFLYLSDNFLTSLPQEMVSYMPDLDSLYLHGNPWTCDCHLKWLSDWIQEKPDVIKCKKDRSPSSAQQCPLCMNPRTSKGKPLAMVSAAAFQCAKPTIDSSLKSKSLTILEDSSSAFISPQGFMAPFGSLTLNMTDQSGNEANMVCSIQKPSRTSPIAFTEENDYIVLNTSFSTFLVCNIDYGHIQPVWQILALYSDSPLILERSHLLSETPQLYYKYKQVAPKPEDIFTNIEADLRADPSWLMQDQISLQLNRTATTFSTLQIQYSSDAQITLPRAEMRPVKHKWTMISRDNNTKLEHTVLVGGTVGLNCPGQGDPTPHVDWLLADGSKVRAPYVSEDGRILIDKSGKLELQMADSFDTGVYHCISSNYDDADILTYRITVVEPLVEAYQENGIHHTVFIGETLDLPCHSTGIPDASISWVIPGNNVLYQSSRDKKVLNNGTLRILQVTPKDQGYYRCVAANPSGVDFLIFQVSVKMKGQRPLEHDGETEGSGLDESNPIAHLKEPPGAQLRTSALMEAEVGKHTSSTSKRHNYRELTLQRRGDSTHRRFRENRRHFPPSARRIDPQHWAALLEKAKKNAMPDKRENTTVSPPPVVTQLPNIPGEEDDSSGMLALHEEFMVPATKALNLPARTVTADSRTISDSPMTNINYGTEFSPVVNSQILPPEEPTDFKLSTAIKTTAMSKNINPTMSSQIQGTTNQHSSTVFPLLLGATEFQDSDQMGRGREHFQSRPPITVRTMIKDVNVKMLSSTTNKLLLESVNTTNSHQTSVREVSEPRHNHFYSHTTQILSTSTFPSDPHTAAHSQFPIPRNSTVNIPLFRRFGRQRKIGGRGRIISPYRTPVLRRHRYSIFRSTTRGSSEKSTTAFSATVLNVTCLSCLPRERLTTATAALSFPSAAPITFPKADIARVPSEESTTLVQNPLLLLENKPSVEKTTPTIKYFRTEISQVTPTGAVMTYAPTSIPMEKTHKVNASYPRVSSTNEAKRDSVITSSLSGAITKPPMTIIAITRFSRRKIPWQQNFVNNHNPKGRLRNQHKVSLQKSTAVMLPKTSPALPRDKVSPFHFTTLSTSVMQIPSNTLTTAHHTTTKTHNPGSLPTKKELPFPPLNPMLPSIISKDSSTKSIISTQTAIPATTPTFPASVITYETQTERSRAQTIQREQEPQKKNRTDPNISPDQSSGFTTPTAMTPPVLTTAETSVKPSVSAFTHSPPENTTGISSTISFHSRTLNLTDVIEELAQASTQTLKSTIASETTLSSKSHQSTTTRKAIIRHSTIPPFLSSSATLMPVPISPPFTQRAVTDNVATPISGLMTNTVVKLHESSRHNAKPQQLVAEVATSPKVHPNAKFTIGTTHFIYSNLLHSTPMPALTTVKSQNSKLTPSPWAENQFWHKPYSEIAEKGKKPEVSMLATTGLSEATTLVSDWDGQKNTKKSDFDKKPVQEATTSKLLPFDSLSRYIFEKPRIVGGKAASFTIPANSDAFLPCEAVGNPLPTIHWTRVPSGLDLSKRKQNSRVQVLPNGTLSIQRVEIQDRGQYLCSASNLFGTDHLHVTLSVVSYPPRILERRTKEITVHSGSTVELKCRAEGRPSPTVTWILANQTVVSESSQGSRQAVVTVDGTLVLHNLSIYDRGFYKCVASNPGGQDSLLVKIQVIAAPPVILEQRRQVIVGTWGESLKLPCTAKGTPQPSVYWVLSDGTEVKPLQFTNSKLFLFSNGTLYIRNLASSDRGTYECIATSSTGSERRVVMLTMEERVTSPRIEAASQKRTEVNFGDKLLLNCSATGEPKPQIMWRLPSKAVVDQQHRVGSWIHVYPNGSLFIGSVTEKDSGVYLCVARNKMGDDLILMHVSLRLKPAKIDHKQYFRKQVLHGKDFQVDCKASGSPVPEISWSLPDGTMINNAMQADDSGHRTRRYTLFNNGTLYFNKVGVAEEGDYTCYAQNTLGKDEMKVHLTVITAAPRIRQSNKTNKRIKAGDTAVLDCEVTGDPKPKIFWLLPSNDMISFSIDRYTFHANGSLTINKVKLLDSGEYVCVARNPSGDDTKMYKLDVVSKPPLINGLYTNRTVIKATAVRHSKKHFDCRAEGTPSPEVMWIMPDNIFLTAPYYGSRITVHKNGTLEIRNVRLSDSADFICVARNEGGESVLVVQLEVLEMLRRPTFRNPFNEKIVAQLGKSTALNCSVDGNPPPEIIWILPNGTRFSNGPQSYQYLIASNGSFIISKTTREDAGKYRCAARNKVGYIEKLVILEIGQKPVILTYAPGTVKGISGESLSLHCVSDGIPKPNIKWTMPSGYVVDRPQINGKYILHDNGTLVIKEATAYDRGNYICKAQNSVGHTLITVPVMIVAYPPRITNRPPRSIVTRTGAAFQLHCVALGVPKPEITWEMPDHSLLSTASKERTHGSEQLHLQGTLVIQNPQTSDSGIYKCTAKNPLGSDYAATYIQVI
+>DECOY_sp|Q6WRI0|IGS10_HUMAN Immunoglobulin superfamily member 10 OS=Homo sapiens OX=9606 GN=IGSF10 PE=1 SV=1
+IVQIYTAAYDSGLPNKATCKYIGSDSTQPNQIVLTGQLHLQESGHTREKSATSLLSHDPMEWTIEPKPVGLAVCHLQFAAGTRTVISRPPRNTIRPPYAVIMVPVTILTHGVSNQAKCIYNGRDYATAEKIVLTGNDHLIYKGNIQPRDVVYGSPMTWKINPKPIGDSVCHLSLSEGSIGKVTGPAYTLIVPKQGIELIVLKEIYGVKNRAACRYKGADERTTKSIIFSGNSAILYQYSQPGNSFRTGNPLIWIIEPPPNGDVSCNLATSKGLQAVIKENFPNRFTPRRLMELVELQVVLVSEGGENRAVCIFDASDSLRVNRIELTGNKHVTIRSGYYPATLFINDPMIWMVEPSPTGEARCDFHKKSHRVATAKIVTRNTYLGNILPPKSVVDLKYMKTDDGSPNRAVCVYEGSDLLKVKNITLSGNAHFTYRDISFSIMDNSPLLWFIKPKPDGTVECDLVATDGAKIRKNTKNSQRIRPAATIVTLHVKMEDKGLTNQAYCTYDGEEAVGVKNFYLTGNNFLTYRRTRHGSDDAQMANNIMTGDPLSWSIEPVPSGSAKCDVQFDKGHLVQKRFYQKHDIKAPKLRLSVHMLILDDGMKNRAVCLYVGSDKETVSGIFLSGNPYVHIWSGVRHQQDVVAKSPLRWMIQPKPEGTASCNLLLKDGFNVETRKQSAAEIRPSTVREEMTLMVVRRESGTSSTAICEYTGRDSSALNRIYLTGNSFLFLKSNTFQLPKVETGDSLVWYVSPQPTGKATCPLKLSEGWTGVIVQRRQELIVPPAAIVQIKVLLSDQGGPNSAVCKYFGRDYISLNHLVLTGDVTVVAQRSGQSSESVVTQNALIWTVTPSPRGEARCKLEVTSGSHVTIEKTRRELIRPPYSVVSLTVHLHDTGFLNSASCLYQGRDQIEVRQISLTGNPLVQVRSNQKRKSLDLGSPVRTWHITPLPNGVAECPLFADSNAPITFSAAKGGVIRPKEFIYRSLSDFPLLKSTTAEQVPKKDFDSKKTNKQGDWDSVLTTAESLGTTALMSVEPKKGKEAIESYPKHWFQNEAWPSPTLKSNQSKVTTLAPMPTSHLLNSYIFHTTGITFKANPHVKPSTAVEAVLQQPKANHRSSEHLKVVTNTMLGSIPTAVNDTVARQTFPPSIPVPMLTASSSLFPPITSHRIIAKRTTTSQHSKSSLTTESAITSKLTQTSAQALEEIVDTLNLTRSHFSITSSIGTTNEPPSHTFASVSPKVSTEATTLVPPTMATPTTFGSSQDPSINPDTRNKKQPEQERQITQARSRETQTEYTIVSAPFTPTTAPIATQTSIISKTSSDKSIISPLMPNLPPFPLEKKTPLSGPNHTKTTTHHATTLTNSPIQMVSTSLTTFHFPSVKDRPLAPSTKPLMVATSKQLSVKHQNRLRGKPNHNNVFNQQWPIKRRSFRTIAIITMPPKTIAGSLSSTIVSDRKAENTSSVRPYSANVKHTKEMPISTPAYTMVAGTPTVQSIETRFYKITPTTKEVSPKNELLLLPNQVLTTSEESPVRAIDAKPFTIPAASPFSLAATATTLRERPLCSLCTVNLVTASFATTSKESSGRTTSRFISYRHRRLVPTRYPSIIRGRGGIKRQRGFRRFLPINVTSNRPIPFQSHAATHPDSPFTSTSLIQTTHSYFHNHRPESVERVSTQHSNTTNVSELLLKNTTSSLMKVNVDKIMTRVTIPPRSQFHERGRGMQDSDQFETAGLLLPFVTSSHQNTTGQIQSSMTPNINKSMATTKIATSLKFDTPEEPPLIQSNVVPSFETGYNINTMPSDSITRSDATVTRAPLNLAKTAPVMFEEHLALMGSSDDEEGPINPLQTVVPPPSVTTNERKDPMANKKAKELLAAWHQPDIRRASPPFHRRNERFRRHTSDGRRQLTLERYNHRKSTSSTHKGVEAEMLASTRLQAGPPEKLHAIPNSEDLGSGETEGDHELPRQGKMKVSVQFILFDVGSPNAAVCRYYGQDKPTVQLIRLTGNNLVKKDRSSQYLVNNGPIVWSISADPIGTSHCPLDLTEGIFVTHHIGNEQYAEVLPEVVTIRYTLIDADDYNSSICHYVGTDFSDAMQLELKGSKDILIRGDESVYPARVKSGDALLWDVHPTPDGQGPCNLGVTGGVLVTHELKTNNDRSIMTWKHKVPRMEARPLTIQADSSYQIQLTSFTTATRNLQLSIQDQMLWSPDARLDAEINTFIDEPKPAVQKYKYYLQPTESLLHSRELILPSDSYLALIQWVPQIHGYDINCVLFTSFSTNLVIYDNEETFAIPSTRSPKQISCVMNAENGSQDTMNLTLSGFPAMFGQPSIFASSSDELITLSKSKLSSDITPKACQFAAASVMALPKGKSTRPNMCLPCQQASSPSRDKKCKIVDPKEQIWDSLWKLHCDCTWPNGHLYLSDLDPMYSVMEQPLSTLFNDSLYLFKIFSIKFIQLYSLSVFTDPHLKTLQNGELHVLRLFNLGYFVEPNIFEINNHDMHLRTLSRLGYFTDKQLKRVKNYSMKLVQLAQLDSFTKDPITHIGNSHLMLLELKTLGSFDTEMLRVLSNYGLNIREVNPPISDPISTLYRFTCHVETPMYCACRRPCAKGGPTAVLCIVAFSVLLCTIGRGKVKM
+>sp|Q9NSI5|IGSF5_HUMAN Immunoglobulin superfamily member 5 OS=Homo sapiens OX=9606 GN=IGSF5 PE=2 SV=2
+MGQKERSTADTLPDLEEWKSAAGLRWWQTAVVDGSGSGNEVIEGPQNARVLKGSQARFNCTVSQGWKLIMWALSDMVVLSVRPMEPIITNDRFTSQRYDQGGNFTSEMIIHNVEPSDSGNIRCSLQNSRLHGSAYLTVQVMGELFIPSVNLVVAENEPCEVTCLPSHWTRLPDISWELGLLVSHSSYYFVPEPSDLQSAVSILALTPQSNGTLTCVATWKSLKARKSATVNLTVIRCPQDTGGGINIPGVLSSLPSLGFSLPTWGKVGLGLAGTMLLTPTCTLTIRCCCCRRRCCGCNCCCRCCFCCRRKRGFRIQFQKKSEKEKTNKETETESGNENSGYNSDEQKTTDTASLPPKSCESSDPEQRNSSCGPPHQRADQRPPRPASHPQASFNLASPEKVSNTTVV
+>DECOY_sp|Q9NSI5|IGSF5_HUMAN Immunoglobulin superfamily member 5 OS=Homo sapiens OX=9606 GN=IGSF5 PE=2 SV=2
+VVTTNSVKEPSALNFSAQPHSAPRPPRQDARQHPPGCSSNRQEPDSSECSKPPLSATDTTKQEDSNYGSNENGSETETEKNTKEKESKKQFQIRFGRKRRCCFCCRCCCNCGCCRRRCCCCRITLTCTPTLLMTGALGLGVKGWTPLSFGLSPLSSLVGPINIGGGTDQPCRIVTLNVTASKRAKLSKWTAVCTLTGNSQPTLALISVASQLDSPEPVFYYSSHSVLLGLEWSIDPLRTWHSPLCTVECPENEAVVLNVSPIFLEGMVQVTLYASGHLRSNQLSCRINGSDSPEVNHIIMESTFNGGQDYRQSTFRDNTIIPEMPRVSLVVMDSLAWMILKWGQSVTCNFRAQSGKLVRANQPGEIVENGSGSGDVVATQWWRLGAASKWEELDPLTDATSREKQGM
+>sp|O95976|IGSF6_HUMAN Immunoglobulin superfamily member 6 OS=Homo sapiens OX=9606 GN=IGSF6 PE=2 SV=2
+MGTASRSNIARHLQTNLILFCVGAVGACTLSVTQPWYLEVDYTHEAVTIKCTFSATGCPSEQPTCLWFRYGAHQPENLCLDGCKSEADKFTVREALKENQVSLTVNRVTSNDSAIYICGIAFPSVPEARAKQTGGGTTLVVREIKLLSKELRSFLTALVSLLSVYVTGVCVAFILLSKSKSNPLRNKEIKEDSQKKKSARRIFQEIAQELYHKRHVETNQQSEKDNNTYENRRVLSNYERP
+>DECOY_sp|O95976|IGSF6_HUMAN Immunoglobulin superfamily member 6 OS=Homo sapiens OX=9606 GN=IGSF6 PE=2 SV=2
+PREYNSLVRRNEYTNNDKESQQNTEVHRKHYLEQAIEQFIRRASKKKQSDEKIEKNRLPNSKSKSLLIFAVCVGTVYVSLLSVLATLFSRLEKSLLKIERVVLTTGGGTQKARAEPVSPFAIGCIYIASDNSTVRNVTLSVQNEKLAERVTFKDAESKCGDLCLNEPQHAGYRFWLCTPQESPCGTASFTCKITVAEHTYDVELYWPQTVSLTCAGVAGVCFLILNTQLHRAINSRSATGM
+>sp|Q969P0|IGSF8_HUMAN Immunoglobulin superfamily member 8 OS=Homo sapiens OX=9606 GN=IGSF8 PE=1 SV=1
+MGALRPTLLPPSLPLLLLLMLGMGCWAREVLVPEGPLYRVAGTAVSISCNVTGYEGPAQQNFEWFLYRPEAPDTALGIVSTKDTQFSYAVFKSRVVAGEVQVQRLQGDAVVLKIARLQAQDAGIYECHTPSTDTRYLGSYSGKVELRVLPDVLQVSAAPPGPRGRQAPTSPPRMTVHEGQELALGCLARTSTQKHTHLAVSFGRSVPEAPVGRSTLQEVVGIRSDLAVEAGAPYAERLAAGELRLGKEGTDRYRMVVGGAQAGDAGTYHCTAAEWIQDPDGSWAQIAEKRAVLAHVDVQTLSSQLAVTVGPGERRIGPGEPLELLCNVSGALPPAGRHAAYSVGWEMAPAGAPGPGRLVAQLDTEGVGSLGPGYEGRHIAMEKVASRTYRLRLEAARPGDAGTYRCLAKAYVRGSGTRLREAASARSRPLPVHVREEGVVLEAVAWLAGGTVYRGETASLLCNISVRGGPPGLRLAASWWVERPEDGELSSVPAQLVGGVGQDGVAELGVRPGGGPVSVELVGPRSHRLRLHSLGPEDEGVYHCAPSAWVQHADYSWYQAGSARSGPVTVYPYMHALDTLFVPLLVGTGVALVTGATVLGTITCCFMKRLRKR
+>DECOY_sp|Q969P0|IGSF8_HUMAN Immunoglobulin superfamily member 8 OS=Homo sapiens OX=9606 GN=IGSF8 PE=1 SV=1
+RKRLRKMFCCTITGLVTAGTVLAVGTGVLLPVFLTDLAHMYPYVTVPGSRASGAQYWSYDAHQVWASPACHYVGEDEPGLSHLRLRHSRPGVLEVSVPGGGPRVGLEAVGDQGVGGVLQAPVSSLEGDEPREVWWSAALRLGPPGGRVSINCLLSATEGRYVTGGALWAVAELVVGEERVHVPLPRSRASAAERLRTGSGRVYAKALCRYTGADGPRAAELRLRYTRSAVKEMAIHRGEYGPGLSGVGETDLQAVLRGPGPAGAPAMEWGVSYAAHRGAPPLAGSVNCLLELPEGPGIRREGPGVTVALQSSLTQVDVHALVARKEAIQAWSGDPDQIWEAATCHYTGADGAQAGGVVMRYRDTGEKGLRLEGAALREAYPAGAEVALDSRIGVVEQLTSRGVPAEPVSRGFSVALHTHKQTSTRALCGLALEQGEHVTMRPPSTPAQRGRPGPPAASVQLVDPLVRLEVKGSYSGLYRTDTSPTHCEYIGADQAQLRAIKLVVADGQLRQVQVEGAVVRSKFVAYSFQTDKTSVIGLATDPAEPRYLFWEFNQQAPGEYGTVNCSISVATGAVRYLPGEPVLVERAWCGMGLMLLLLLPLSPPLLTPRLAGM
+>sp|Q9BYH8|IKBZ_HUMAN NF-kappa-B inhibitor zeta OS=Homo sapiens OX=9606 GN=NFKBIZ PE=1 SV=1
+MIVDKLLDDSRGGEGLRDAAGGCGLMTSPLNLSYFYGASPPAAAPGACDASCSVLGPSAPGSPGSDSSDFSSASSVSSCGAVESRSRGGARAERQPVEPHMGVGRQQRGPFQGVRVKNSVKELLLHIRSHKQKASGQAVDDFKTQGVNIEQFRELKNTVSYSGKRKGPDSLSDGPACKRPALLHSQFLTPPQTPTPGESMEDVHLNEPKQESSADLLQNIINIKNECSPVSLNTVQVSWLNPVVVPQSSPAEQCQDFHGGQVFSPPQKCQPFQVRGSQQMIDQASLYQYSPQNQHVEQQPHYTHKPTLEYSPFPIPPQSPAYEPNLFDGPESQFCPNQSLVSLLGDQRESENIANPMQTSSSVQQQNDAHLHSFSMMPSSACEAMVGHEMASDSSNTSLPFSNMGNPMNTTQLGKSLFQWQVEQEESKLANISQDQFLSKDADGDTFLHIAVAQGRRALSYVLARKMNALHMLDIKEHNGQSAFQVAVAANQHLIVQDLVNIGAQVNTTDCWGRTPLHVCAEKGHSQVLQAIQKGAVGSNQFVDLEATNYDGLTPLHCAVIAHNAVVHELQRNQQPHSPEVQELLLKNKSLVDTIKCLIQMGAAVEAKDRKSGRTALHLAAEEANLELIRLFLELPSCLSFVNAKAYNGNTALHVAASLQYRLTQLDAVRLLMRKGADPSTRNLENEQPVHLVPDGPVGEQIRRILKGKSIQQRAPPY
+>DECOY_sp|Q9BYH8|IKBZ_HUMAN NF-kappa-B inhibitor zeta OS=Homo sapiens OX=9606 GN=NFKBIZ PE=1 SV=1
+YPPARQQISKGKLIRRIQEGVPGDPVLHVPQENELNRTSPDAGKRMLLRVADLQTLRYQLSAAVHLATNGNYAKANVFSLCSPLELFLRILELNAEEAALHLATRGSKRDKAEVAAGMQILCKITDVLSKNKLLLEQVEPSHPQQNRQLEHVVANHAIVACHLPTLGDYNTAELDVFQNSGVAGKQIAQLVQSHGKEACVHLPTRGWCDTTNVQAGINVLDQVILHQNAAVAVQFASQGNHEKIDLMHLANMKRALVYSLARRGQAVAIHLFTDGDADKSLFQDQSINALKSEEQEVQWQFLSKGLQTTNMPNGMNSFPLSTNSSDSAMEHGVMAECASSPMMSFSHLHADNQQQVSSSTQMPNAINESERQDGLLSVLSQNPCFQSEPGDFLNPEYAPSQPPIPFPSYELTPKHTYHPQQEVHQNQPSYQYLSAQDIMQQSGRVQFPQCKQPPSFVQGGHFDQCQEAPSSQPVVVPNLWSVQVTNLSVPSCENKINIINQLLDASSEQKPENLHVDEMSEGPTPTQPPTLFQSHLLAPRKCAPGDSLSDPGKRKGSYSVTNKLERFQEINVGQTKFDDVAQGSAKQKHSRIHLLLEKVSNKVRVGQFPGRQQRGVGMHPEVPQREARAGGRSRSEVAGCSSVSSASSFDSSDSGPSGPASPGLVSCSADCAGPAAAPPSAGYFYSLNLPSTMLGCGGAADRLGEGGRSDDLLKDVIM
+>sp|Q13422|IKZF1_HUMAN DNA-binding protein Ikaros OS=Homo sapiens OX=9606 GN=IKZF1 PE=1 SV=1
+MDADEGQDMSQVSGKESPPVSDTPDEGDEPMPIPEDLSTTSGGQQSSKSDRVVASNVKVETQSDEENGRACEMNGEECAEDLRMLDASGEKMNGSHRDQGSSALSGVGGIRLPNGKLKCDICGIICIGPNVLMVHKRSHTGERPFQCNQCGASFTQKGNLLRHIKLHSGEKPFKCHLCNYACRRRDALTGHLRTHSVGKPHKCGYCGRSYKQRSSLEEHKERCHNYLESMGLPGTLYPVIKEETNHSEMAEDLCKIGSERSLVLDRLASNVAKRKSSMPQKFLGDKGLSDTPYDSSASYEKENEMMKSHVMDQAINNAINYLGAESLRPLVQTPPGGSEVVPVISPMYQLHKPLAEGTPRSNHSAQDSAVENLLLLSKAKLVPSEREASPSNSCQDSTDTESNNEEQRSGLIYLTNHIAPHARNGLSLKEEHRAYDLLRAASENSQDALRVVSTSGEQMKVYKCEHCRVLFLDHVMYTIHMGCHGFRDPFECNMCGYHSQDRYEFSSHITRGEHRFHMS
+>DECOY_sp|Q13422|IKZF1_HUMAN DNA-binding protein Ikaros OS=Homo sapiens OX=9606 GN=IKZF1 PE=1 SV=1
+SMHFRHEGRTIHSSFEYRDQSHYGCMNCEFPDRFGHCGMHITYMVHDLFLVRCHECKYVKMQEGSTSVVRLADQSNESAARLLDYARHEEKLSLGNRAHPAIHNTLYILGSRQEENNSETDTSDQCSNSPSAERESPVLKAKSLLLLNEVASDQASHNSRPTGEALPKHLQYMPSIVPVVESGGPPTQVLPRLSEAGLYNIANNIAQDMVHSKMMENEKEYSASSDYPTDSLGKDGLFKQPMSSKRKAVNSALRDLVLSRESGIKCLDEAMESHNTEEKIVPYLTGPLGMSELYNHCREKHEELSSRQKYSRGCYGCKHPKGVSHTRLHGTLADRRRCAYNCLHCKFPKEGSHLKIHRLLNGKQTFSAGCQNCQFPREGTHSRKHVMLVNPGICIIGCIDCKLKGNPLRIGGVGSLASSGQDRHSGNMKEGSADLMRLDEACEEGNMECARGNEEDSQTEVKVNSAVVRDSKSSQQGGSTTSLDEPIPMPEDGEDPTDSVPPSEKGSVQSMDQGEDADM
+>sp|Q9UHF5|IL17B_HUMAN Interleukin-17B OS=Homo sapiens OX=9606 GN=IL17B PE=2 SV=1
+MDWPHNLLFLLTISIFLGLGQPRSPKSKRKGQGRPGPLAPGPHQVPLDLVSRMKPYARMEEYERNIEEMVAQLRNSSELAQRKCEVNLQLWMSNKRSLSPWGYSINHDPSRIPVDLPEARCLCLGCVNPFTMQEDRSMVSVPVFSQVPVRRRLCPPPPRTGPCRQRAVMETIAVGCTCIF
+>DECOY_sp|Q9UHF5|IL17B_HUMAN Interleukin-17B OS=Homo sapiens OX=9606 GN=IL17B PE=2 SV=1
+FICTCGVAITEMVARQRCPGTRPPPPCLRRRVPVQSFVPVSVMSRDEQMTFPNVCGLCLCRAEPLDVPIRSPDHNISYGWPSLSRKNSMWLQLNVECKRQALESSNRLQAVMEEINREYEEMRAYPKMRSVLDLPVQHPGPALPGPRGQGKRKSKPSRPQGLGLFISITLLFLLNHPWDM
+>sp|Q9P0M4|IL17C_HUMAN Interleukin-17C OS=Homo sapiens OX=9606 GN=IL17C PE=1 SV=1
+MTLLPGLLFLTWLHTCLAHHDPSLRGHPHSHGTPHCYSAEELPLGQAPPHLLARGAKWGQALPVALVSSLEAASHRGRHERPSATTQCPVLRPEEVLEADTHQRSISPWRYRVDTDEDRYPQKLAFAECLCRGCIDARTGRETAALNSVRLLQSLLVLRRRPCSRDGSGLPTPGAFAFHTEFIHVPVGCTCVLPRSV
+>DECOY_sp|Q9P0M4|IL17C_HUMAN Interleukin-17C OS=Homo sapiens OX=9606 GN=IL17C PE=1 SV=1
+VSRPLVCTCGVPVHIFETHFAFAGPTPLGSGDRSCPRRRLVLLSQLLRVSNLAATERGTRADICGRCLCEAFALKQPYRDEDTDVRYRWPSISRQHTDAELVEEPRLVPCQTTASPREHRGRHSAAELSSVLAVPLAQGWKAGRALLHPPAQGLPLEEASYCHPTGHSHPHGRLSPDHHALCTHLWTLFLLGPLLTM
+>sp|Q14116|IL18_HUMAN Interleukin-18 OS=Homo sapiens OX=9606 GN=IL18 PE=1 SV=1
+MAAEPVEDNCINFVAMKFIDNTLYFIAEDDENLESDYFGKLESKLSVIRNLNDQVLFIDQGNRPLFEDMTDSDCRDNAPRTIFIISMYKDSQPRGMAVTISVKCEKISTLSCENKIISFKEMNPPDNIKDTKSDIIFFQRSVPGHDNKMQFESSSYEGYFLACEKERDLFKLILKKEDELGDRSIMFTVQNED
+>DECOY_sp|Q14116|IL18_HUMAN Interleukin-18 OS=Homo sapiens OX=9606 GN=IL18 PE=1 SV=1
+DENQVTFMISRDGLEDEKKLILKFLDREKECALFYGEYSSSEFQMKNDHGPVSRQFFIIDSKTDKINDPPNMEKFSIIKNECSLTSIKECKVSITVAMGRPQSDKYMSIIFITRPANDRCDSDTMDEFLPRNGQDIFLVQDNLNRIVSLKSELKGFYDSELNEDDEAIFYLTNDIFKMAVFNICNDEVPEAAM
+>sp|Q5VWK5|IL23R_HUMAN Interleukin-23 receptor OS=Homo sapiens OX=9606 GN=IL23R PE=1 SV=3
+MNQVTIQWDAVIALYILFSWCHGGITNINCSGHIWVEPATIFKMGMNISIYCQAAIKNCQPRKLHFYKNGIKERFQITRINKTTARLWYKNFLEPHASMYCTAECPKHFQETLICGKDISSGYPPDIPDEVTCVIYEYSGNMTCTWNAGKLTYIDTKYVVHVKSLETEEEQQYLTSSYINISTDSLQGGKKYLVWVQAANALGMEESKQLQIHLDDIVIPSAAVISRAETINATVPKTIIYWDSQTTIEKVSCEMRYKATTNQTWNVKEFDTNFTYVQQSEFYLEPNIKYVFQVRCQETGKRYWQPWSSLFFHKTPETVPQVTSKAFQHDTWNSGLTVASISTGHLTSDNRGDIGLLLGMIVFAVMLSILSLIGIFNRSFRTGIKRRILLLIPKWLYEDIPNMKNSNVVKMLQENSELMNNNSSEQVLYVDPMITEIKEIFIPEHKPTDYKKENTGPLETRDYPQNSLFDNTTVVYIPDLNTGYKPQISNFLPEGSHLSNNNEITSLTLKPPVDSLDSGNNPRLQKHPNFAFSVSSVNSLSNTIFLGELSLILNQGECSSPDIQNSVEEETTMLLENDSPSETIPEQTLLPDEFVSCLGIVNEELPSINTYFPQNILESHFNRISLLEK
+>DECOY_sp|Q5VWK5|IL23R_HUMAN Interleukin-23 receptor OS=Homo sapiens OX=9606 GN=IL23R PE=1 SV=3
+KELLSIRNFHSELINQPFYTNISPLEENVIGLCSVFEDPLLTQEPITESPSDNELLMTTEEEVSNQIDPSSCEGQNLILSLEGLFITNSLSNVSSVSFAFNPHKQLRPNNGSDLSDVPPKLTLSTIENNNSLHSGEPLFNSIQPKYGTNLDPIYVVTTNDFLSNQPYDRTELPGTNEKKYDTPKHEPIFIEKIETIMPDVYLVQESSNNNMLESNEQLMKVVNSNKMNPIDEYLWKPILLLIRRKIGTRFSRNFIGILSLISLMVAFVIMGLLLGIDGRNDSTLHGTSISAVTLGSNWTDHQFAKSTVQPVTEPTKHFFLSSWPQWYRKGTEQCRVQFVYKINPELYFESQQVYTFNTDFEKVNWTQNTTAKYRMECSVKEITTQSDWYIITKPVTANITEARSIVAASPIVIDDLHIQLQKSEEMGLANAAQVWVLYKKGGQLSDTSINIYSSTLYQQEEETELSKVHVVYKTDIYTLKGANWTCTMNGSYEYIVCTVEDPIDPPYGSSIDKGCILTEQFHKPCEATCYMSAHPELFNKYWLRATTKNIRTIQFREKIGNKYFHLKRPQCNKIAAQCYISINMGMKFITAPEVWIHGSCNINTIGGHCWSFLIYLAIVADWQITVQNM
+>sp|P14784|IL2RB_HUMAN Interleukin-2 receptor subunit beta OS=Homo sapiens OX=9606 GN=IL2RB PE=1 SV=1
+MAAPALSWRLPLLILLLPLATSWASAAVNGTSQFTCFYNSRANISCVWSQDGALQDTSCQVHAWPDRRRWNQTCELLPVSQASWACNLILGAPDSQKLTTVDIVTLRVLCREGVRWRVMAIQDFKPFENLRLMAPISLQVVHVETHRCNISWEISQASHYFERHLEFEARTLSPGHTWEEAPLLTLKQKQEWICLETLTPDTQYEFQVRVKPLQGEFTTWSPWSQPLAFRTKPAALGKDTIPWLGHLLVGLSGAFGFIILVYLLINCRNTGPWLKKVLKCNTPDPSKFFSQLSSEHGGDVQKWLSSPFPSSSFSPGGLAPEISPLEVLERDKVTQLLLQQDKVPEPASLSSNHSLTSCFTNQGYFFFHLPDALEIEACQVYFTYDPYSEEDPDEGVAGAPTGSSPQPLQPLSGEDDAYCTFPSRDDLLLFSPSLLGGPSPPSTAPGGSGAGEERMPPSLQERVPRDWDPQPLGPPTPGVPDLVDFQPPPELVLREAGEEVPDAGPREGVSFPWSRPPGQGEFRALNARLPLNTDAYLSLQELQGQDPTHLV
+>DECOY_sp|P14784|IL2RB_HUMAN Interleukin-2 receptor subunit beta OS=Homo sapiens OX=9606 GN=IL2RB PE=1 SV=1
+VLHTPDQGQLEQLSLYADTNLPLRANLARFEGQGPPRSWPFSVGERPGADPVEEGAERLVLEPPPQFDVLDPVGPTPPGLPQPDWDRPVREQLSPPMREEGAGSGGPATSPPSPGGLLSPSFLLLDDRSPFTCYADDEGSLPQLPQPSSGTPAGAVGEDPDEESYPDYTFYVQCAEIELADPLHFFFYGQNTFCSTLSHNSSLSAPEPVKDQQLLLQTVKDRELVELPSIEPALGGPSFSSSPFPSSLWKQVDGGHESSLQSFFKSPDPTNCKLVKKLWPGTNRCNILLYVLIIFGFAGSLGVLLHGLWPITDKGLAAPKTRFALPQSWPSWTTFEGQLPKVRVQFEYQTDPTLTELCIWEQKQKLTLLPAEEWTHGPSLTRAEFELHREFYHSAQSIEWSINCRHTEVHVVQLSIPAMLRLNEFPKFDQIAMVRWRVGERCLVRLTVIDVTTLKQSDPAGLILNCAWSAQSVPLLECTQNWRRRDPWAHVQCSTDQLAGDQSWVCSINARSNYFCTFQSTGNVAASAWSTALPLLLILLPLRWSLAPAAM
+>sp|Q9NZH6|IL37_HUMAN Interleukin-37 OS=Homo sapiens OX=9606 GN=IL37 PE=1 SV=1
+MSFVGENSGVKMGSEDWEKDEPQCCLEDPAGSPLEPGPSLPTMNFVHTSPKVKNLNPKKFSIHDQDHKVLVLDSGNLIAVPDKNYIRPEIFFALASSLSSASAEKGSPILLGVSKGEFCLYCDKDKGQSHPSLQLKKEKLMKLAAQKESARRPFIFYRAQVGSWNMLESAAHPGWFICTSCNCNEPVGVTDKFENRKHIEFSFQPVCKAEMSPSEVSD
+>DECOY_sp|Q9NZH6|IL37_HUMAN Interleukin-37 OS=Homo sapiens OX=9606 GN=IL37 PE=1 SV=1
+DSVESPSMEAKCVPQFSFEIHKRNEFKDTVGVPENCNCSTCIFWGPHAASELMNWSGVQARYFIFPRRASEKQAALKMLKEKKLQLSPHSQGKDKDCYLCFEGKSVGLLIPSGKEASASSLSSALAFFIEPRIYNKDPVAILNGSDLVLVKHDQDHISFKKPNLNKVKPSTHVFNMTPLSPGPELPSGAPDELCCQPEDKEWDESGMKVGSNEGVFSM
+>sp|Q6UX52|IL40_HUMAN Protein IL-40 OS=Homo sapiens OX=9606 GN=C17orf99 PE=1 SV=2
+MGLPGLFCLAVLAASSFSKAREEEITPVVSIAYKVLEVFPKGRWVLITCCAPQPPPPITYSLCGTKNIKVAKKVVKTHEPASFNLNVTLKSSPDLLTYFCWASSTSGAHVDSARLQMHWELWSKPVSELRANFTLQDRGAGPRVEMICQASSGSPPITNSLIGKDGQVHLQQRPCHRQPANFSFLPSQTSDWFWCQAANNANVQHSALTVVPPGGDQKMEDWQGPLESPILALPLYRSTRRLSEEEFGGFRIGNGEVRGRKAAAM
+>DECOY_sp|Q6UX52|IL40_HUMAN Protein IL-40 OS=Homo sapiens OX=9606 GN=C17orf99 PE=1 SV=2
+MAAAKRGRVEGNGIRFGGFEEESLRRTSRYLPLALIPSELPGQWDEMKQDGGPPVVTLASHQVNANNAAQCWFWDSTQSPLFSFNAPQRHCPRQQLHVQGDKGILSNTIPPSGSSAQCIMEVRPGAGRDQLTFNARLESVPKSWLEWHMQLRASDVHAGSTSSAWCFYTLLDPSSKLTVNLNFSAPEHTKVVKKAVKINKTGCLSYTIPPPPQPACCTILVWRGKPFVELVKYAISVVPTIEEERAKSFSSAALVALCFLGPLGM
+>sp|P05231|IL6_HUMAN Interleukin-6 OS=Homo sapiens OX=9606 GN=IL6 PE=1 SV=1
+MNSFSTSAFGPVAFSLGLLLVLPAAFPAPVPPGEDSKDVAAPHRQPLTSSERIDKQIRYILDGISALRKETCNKSNMCESSKEALAENNLNLPKMAEKDGCFQSGFNEETCLVKIITGLLEFEVYLEYLQNRFESSEEQARAVQMSTKVLIQFLQKKAKNLDAITTPDPTTNASLLTKLQAQNQWLQDMTTHLILRSFKEFLQSSLRALRQM
+>DECOY_sp|P05231|IL6_HUMAN Interleukin-6 OS=Homo sapiens OX=9606 GN=IL6 PE=1 SV=1
+MQRLARLSSQLFEKFSRLILHTTMDQLWQNQAQLKTLLSANTTPDPTTIADLNKAKKQLFQILVKTSMQVARAQEESSEFRNQLYELYVEFELLGTIIKVLCTEENFGSQFCGDKEAMKPLNLNNEALAEKSSECMNSKNCTEKRLASIGDLIYRIQKDIRESSTLPQRHPAAVDKSDEGPPVPAPFAAPLVLLLGLSFAVPGFASTSFSNM
+>sp|Q01638|ILRL1_HUMAN Interleukin-1 receptor-like 1 OS=Homo sapiens OX=9606 GN=IL1RL1 PE=1 SV=4
+MGFWILAILTILMYSTAAKFSKQSWGLENEALIVRCPRQGKPSYTVDWYYSQTNKSIPTQERNRVFASGQLLKFLPAAVADSGIYTCIVRSPTFNRTGYANVTIYKKQSDCNVPDYLMYSTVSGSEKNSKIYCPTIDLYNWTAPLEWFKNCQALQGSRYRAHKSFLVIDNVMTEDAGDYTCKFIHNENGANYSVTATRSFTVKDEQGFSLFPVIGAPAQNEIKEVEIGKNANLTCSACFGKGTQFLAAVLWQLNGTKITDFGEPRIQQEEGQNQSFSNGLACLDMVLRIADVKEEDLLLQYDCLALNLHGLRRHTVRLSRKNPIDHHSIYCIIAVCSVFLMLINVLVIILKMFWIEATLLWRDIAKPYKTRNDGKLYDAYVVYPRNYKSSTDGASRVEHFVHQILPDVLENKCGYTLCIYGRDMLPGEDVVTAVETNIRKSRRHIFILTPQITHNKEFAYEQEVALHCALIQNDAKVILIEMEALSELDMLQAEALQDSLQHLMKVQGTIKWREDHIANKRSLNSKFWKHVRYQMPVPSKIPRKASSLTPLAAQKQ
+>DECOY_sp|Q01638|ILRL1_HUMAN Interleukin-1 receptor-like 1 OS=Homo sapiens OX=9606 GN=IL1RL1 PE=1 SV=4
+QKQAALPTLSSAKRPIKSPVPMQYRVHKWFKSNLSRKNAIHDERWKITGQVKMLHQLSDQLAEAQLMDLESLAEMEILIVKADNQILACHLAVEQEYAFEKNHTIQPTLIFIHRRSKRINTEVATVVDEGPLMDRGYICLTYGCKNELVDPLIQHVFHEVRSAGDTSSKYNRPYVVYADYLKGDNRTKYPKAIDRWLLTAEIWFMKLIIVLVNILMLFVSCVAIICYISHHDIPNKRSLRVTHRRLGHLNLALCDYQLLLDEEKVDAIRLVMDLCALGNSFSQNQGEEQQIRPEGFDTIKTGNLQWLVAALFQTGKGFCASCTLNANKGIEVEKIENQAPAGIVPFLSFGQEDKVTFSRTATVSYNAGNENHIFKCTYDGADETMVNDIVLFSKHARYRSGQLAQCNKFWELPATWNYLDITPCYIKSNKESGSVTSYMLYDPVNCDSQKKYITVNAYGTRNFTPSRVICTYIGSDAVAAPLFKLLQGSAFVRNREQTPISKNTQSYYWDVTYSPKGQRPCRVILAENELGWSQKSFKAATSYMLITLIALIWFGM
+>sp|Q9P2X3|IMPCT_HUMAN Protein IMPACT OS=Homo sapiens OX=9606 GN=IMPACT PE=1 SV=2
+MAEGDAGSDQRQNEEIEAMAAIYGEEWCVIDDCAKIFCIRISDDIDDPKWTLCLQVMLPNEYPGTAPPIYQLNAPWLKGQERADLSNSLEEIYIQNIGESILYLWVEKIRDVLIQKSQMTEPGPDVKKKTEEEDVECEDDLILACQPESSLKALDFDISETRTEVEVEELPPIDHGIPITDRRSTFQAHLAPVVCPKQVKMVLSKLYENKKIASATHNIYAYRIYCEDKQTFLQDCEDDGETAAGGRLLHLMEILNVKNVMVVVSRWYGGILLGPDRFKHINNCARNILVEKNYTNSPEESSKALGKNKKVRKDKKRNEH
+>DECOY_sp|Q9P2X3|IMPCT_HUMAN Protein IMPACT OS=Homo sapiens OX=9606 GN=IMPACT PE=1 SV=2
+HENRKKDKRVKKNKGLAKSSEEPSNTYNKEVLINRACNNIHKFRDPGLLIGGYWRSVVVMVNKVNLIEMLHLLRGGAATEGDDECDQLFTQKDECYIRYAYINHTASAIKKNEYLKSLVMKVQKPCVVPALHAQFTSRRDTIPIGHDIPPLEEVEVETRTESIDFDLAKLSSEPQCALILDDECEVDEEETKKKVDPGPETMQSKQILVDRIKEVWLYLISEGINQIYIEELSNSLDAREQGKLWPANLQYIPPATGPYENPLMVQLCLTWKPDDIDDSIRICFIKACDDIVCWEEGYIAAMAEIEENQRQDSGADGEAM
+>sp|Q9UK53|ING1_HUMAN Inhibitor of growth protein 1 OS=Homo sapiens OX=9606 GN=ING1 PE=1 SV=2
+MSFVECPYHSPAERLVAEADEGGPSAITGMGLCFRCLLFSFSGRSGVEGGRVDLNVFGSLGLQPWIGSSRCWGGPCSSALRCGWFSSWPPPSKSAIPIGGGSRGAGRVSRWPPPHWLEAWRVSPLPLSPLSPATFGRGFIAVAVIPGLWARGRGCSSDRLPRPAGPARRQFQAASLLTRGWGRAWPWKQILKELDECYERFSRETDGAQKRRMLHCVQRALIRSQELGDEKIQIVSQMVELVENRTRQVDSHVELFEAQQELGDTAGNSGKAGADRPKGEAAAQADKPNSKRSRRQRNNENRENASSNHDHDDGASGTPKEKKAKTSKKKKRSKAKAEREASPADLPIDPNEPTYCLCNQVSYGEMIGCDNDECPIEWFHFSCVGLNHKPKGKWYCPKCRGENEKTMDKALEKSKKERAYNR
+>DECOY_sp|Q9UK53|ING1_HUMAN Inhibitor of growth protein 1 OS=Homo sapiens OX=9606 GN=ING1 PE=1 SV=2
+RNYAREKKSKELAKDMTKENEGRCKPCYWKGKPKHNLGVCSFHFWEIPCEDNDCGIMEGYSVQNCLCYTPENPDIPLDAPSAEREAKAKSRKKKKSTKAKKEKPTGSAGDDHDHNSSANERNENNRQRRSRKSNPKDAQAAAEGKPRDAGAKGSNGATDGLEQQAEFLEVHSDVQRTRNEVLEVMQSVIQIKEDGLEQSRILARQVCHLMRRKQAGDTERSFREYCEDLEKLIQKWPWARGWGRTLLSAAQFQRRAPGAPRPLRDSSCGRGRAWLGPIVAVAIFGRGFTAPSLPSLPLPSVRWAELWHPPPWRSVRGAGRSGGGIPIASKSPPPWSSFWGCRLASSCPGGWCRSSGIWPQLGLSGFVNLDVRGGEVGSRGSFSFLLCRFCLGMGTIASPGGEDAEAVLREAPSHYPCEVFSM
+>sp|Q9NXR8|ING3_HUMAN Inhibitor of growth protein 3 OS=Homo sapiens OX=9606 GN=ING3 PE=1 SV=2
+MLYLEDYLEMIEQLPMDLRDRFTEMREMDLQVQNAMDQLEQRVSEFFMNAKKNKPEWREEQMASIKKDYYKALEDADEKVQLANQIYDLVDRHLRKLDQELAKFKMELEADNAGITEILERRSLELDTPSQPVNNHHAHSHTPVEKRKYNPTSHHTTTDHIPEKKFKSEALLSTLTSDASKENTLGCRNNNSTASSNNAYNVNSSQPLGSYNIGSLSSGTGAGAITMAAAQAVQATAQMKEGRRTSSLKASYEAFKNNDFQLGKEFSMARETVGYSSSSALMTTLTQNASSSAADSRSGRKSKNNNKSSSQQSSSSSSSSSLSSCSSSSTVVQEISQQTTVVPESDSNSQVDWTYDPNEPRYCICNQVSYGEMVGCDNQDCPIEWFHYGCVGLTEAPKGKWYCPQCTAAMKRRGSRHK
+>DECOY_sp|Q9NXR8|ING3_HUMAN Inhibitor of growth protein 3 OS=Homo sapiens OX=9606 GN=ING3 PE=1 SV=2
+KHRSGRRKMAATCQPCYWKGKPAETLGVCGYHFWEIPCDQNDCGVMEGYSVQNCICYRPENPDYTWDVQSNSDSEPVVTTQQSIEQVVTSSSSCSSLSSSSSSSSSQQSSSKNNNKSKRGSRSDAASSSANQTLTTMLASSSSYGVTERAMSFEKGLQFDNNKFAEYSAKLSSTRRGEKMQATAQVAQAAAMTIAGAGTGSSLSGINYSGLPQSSNVNYANNSSATSNNNRCGLTNEKSADSTLTSLLAESKFKKEPIHDTTTHHSTPNYKRKEVPTHSHAHHNNVPQSPTDLELSRRELIETIGANDAELEMKFKALEQDLKRLHRDVLDYIQNALQVKEDADELAKYYDKKISAMQEERWEPKNKKANMFFESVRQELQDMANQVQLDMERMETFRDRLDMPLQEIMELYDELYLM
+>sp|Q9UNL4|ING4_HUMAN Inhibitor of growth protein 4 OS=Homo sapiens OX=9606 GN=ING4 PE=1 SV=1
+MAAGMYLEHYLDSIENLPFELQRNFQLMRDLDQRTEDLKAEIDKLATEYMSSARSLSSEEKLALLKQIQEAYGKCKEFGDDKVQLAMQTYEMVDKHIRRLDTDLARFEADLKEKQIESSDYDSSSSKGKKKGRTQKEKKAARARSKGKNSDEEAPKTAQKKLKLVRTSPEYGMPSVTFGSVHPSDVLDMPVDPNEPTYCLCHQVSYGEMIGCDNPDCSIEWFHFACVGLTTKPRGKWFCPRCSQERKKK
+>DECOY_sp|Q9UNL4|ING4_HUMAN Inhibitor of growth protein 4 OS=Homo sapiens OX=9606 GN=ING4 PE=1 SV=1
+KKKREQSCRPCFWKGRPKTTLGVCAFHFWEISCDPNDCGIMEGYSVQHCLCYTPENPDVPMDLVDSPHVSGFTVSPMGYEPSTRVLKLKKQATKPAEEDSNKGKSRARAAKKEKQTRGKKKGKSSSSDYDSSEIQKEKLDAEFRALDTDLRRIHKDVMEYTQMALQVKDDGFEKCKGYAEQIQKLLALKEESSLSRASSMYETALKDIEAKLDETRQDLDRMLQFNRQLEFPLNEISDLYHELYMGAAM
+>sp|P15260|INGR1_HUMAN Interferon gamma receptor 1 OS=Homo sapiens OX=9606 GN=IFNGR1 PE=1 SV=1
+MALLFLLPLVMQGVSRAEMGTADLGPSSVPTPTNVTIESYNMNPIVYWEYQIMPQVPVFTVEVKNYGVKNSEWIDACINISHHYCNISDHVGDPSNSLWVRVKARVGQKESAYAKSEEFAVCRDGKIGPPKLDIRKEEKQIMIDIFHPSVFVNGDEQEVDYDPETTCYIRVYNVYVRMNGSEIQYKILTQKEDDCDEIQCQLAIPVSSLNSQYCVSAEGVLHVWGVTTEKSKEVCITIFNSSIKGSLWIPVVAALLLFLVLSLVFICFYIKKINPLKEKSIILPKSLISVVRSATLETKPESKYVSLITSYQPFSLEKEVVCEEPLSPATVPGMHTEDNPGKVEHTEELSSITEVVTTEENIPDVVPGSHLTPIERESSSPLSSNQSEPGSIALNSYHSRNCSESDHSRNGFDTDSSCLESHSSLSDSEFPPNNKGEIKTEGQELITVIKAPTSFGYDKPHVLVDLLVDDSGKESLIGYRPTEDSKEFS
+>DECOY_sp|P15260|INGR1_HUMAN Interferon gamma receptor 1 OS=Homo sapiens OX=9606 GN=IFNGR1 PE=1 SV=1
+SFEKSDETPRYGILSEKGSDDVLLDVLVHPKDYGFSTPAKIVTILEQGETKIEGKNNPPFESDSLSSHSELCSSDTDFGNRSHDSESCNRSHYSNLAISGPESQNSSLPSSSEREIPTLHSGPVVDPINEETTVVETISSLEETHEVKGPNDETHMGPVTAPSLPEECVVEKELSFPQYSTILSVYKSEPKTELTASRVVSILSKPLIISKEKLPNIKKIYFCIFVLSLVLFLLLAAVVPIWLSGKISSNFITICVEKSKETTVGWVHLVGEASVCYQSNLSSVPIALQCQIEDCDDEKQTLIKYQIESGNMRVYVNYVRIYCTTEPDYDVEQEDGNVFVSPHFIDIMIQKEEKRIDLKPPGIKGDRCVAFEESKAYASEKQGVRAKVRVWLSNSPDGVHDSINCYHHSINICADIWESNKVGYNKVEVTFVPVQPMIQYEWYVIPNMNYSEITVNTPTPVSSPGLDATGMEARSVGQMVLPLLFLLAM
+>sp|P09529|INHBB_HUMAN Inhibin beta B chain OS=Homo sapiens OX=9606 GN=INHBB PE=1 SV=2
+MDGLPGRALGAACLLLLAAGWLGPEAWGSPTPPPTPAAPPPPPPPGSPGGSQDTCTSCGGFRRPEELGRVDGDFLEAVKRHILSRLQMRGRPNITHAVPKAAMVTALRKLHAGKVREDGRVEIPHLDGHASPGADGQERVSEIISFAETDGLASSRVRLYFFISNEGNQNLFVVQASLWLYLKLLPYVLEKGSRRKVRVKVYFQEQGHGDRWNMVEKRVDLKRSGWHTFPLTEAIQALFERGERRLNLDVQCDSCQELAVVPVFVDPGEESHRPFVVVQARLGDSRHRIRKRGLECDGRTNLCCRQQFFIDFRLIGWNDWIIAPTGYYGNYCEGSCPAYLAGVPGSASSFHTAVVNQYRMRGLNPGTVNSCCIPTKLSTMSMLYFDDEYNIVKRDVPNMIVEECGCA
+>DECOY_sp|P09529|INHBB_HUMAN Inhibin beta B chain OS=Homo sapiens OX=9606 GN=INHBB PE=1 SV=2
+ACGCEEVIMNPVDRKVINYEDDFYLMSMTSLKTPICCSNVTGPNLGRMRYQNVVATHFSSASGPVGALYAPCSGECYNGYYGTPAIIWDNWGILRFDIFFQQRCCLNTRGDCELGRKRIRHRSDGLRAQVVVFPRHSEEGPDVFVPVVALEQCSDCQVDLNLRREGREFLAQIAETLPFTHWGSRKLDVRKEVMNWRDGHGQEQFYVKVRVKRRSGKELVYPLLKLYLWLSAQVVFLNQNGENSIFFYLRVRSSALGDTEAFSIIESVREQGDAGPSAHGDLHPIEVRGDERVKGAHLKRLATVMAAKPVAHTINPRGRMQLRSLIHRKVAELFDGDVRGLEEPRRFGGCSTCTDQSGGPSGPPPPPPPAAPTPPPTPSGWAEPGLWGAALLLLCAAGLARGPLGDM
+>sp|Q9NTI7|INKA2_HUMAN PAK4-inhibitor INKA2 OS=Homo sapiens OX=9606 GN=FAM212B PE=1 SV=1
+MTMESREMDCYLRRLKQELMSMKEVGDGLQDQMNCMMGALQELKLLQVQTALEQLEISGGGPVPGSPEGPRTQCEHPCWEGGRGPARPTVCSPSSQPSLGSSTKFPSHRSVCGRDLAPLPRTQPHQSCAQQGPERVEPDDWTSTLMSRGRNRQPLVLGDNVFADLVGNWLDLPELEKGGEKGETGGAREPKGEKGQPQELGRRFALTANIFKKFLRSVRPDRDRLLKEKPGWVTPMVPESRTGRSQKVKKRSLSKGSGHFPFPGTGEHRRGENPPTSCPKALEHSPSGFDINTAVWV
+>DECOY_sp|Q9NTI7|INKA2_HUMAN PAK4-inhibitor INKA2 OS=Homo sapiens OX=9606 GN=FAM212B PE=1 SV=1
+VWVATNIDFGSPSHELAKPCSTPPNEGRRHEGTGPFPFHGSGKSLSRKKVKQSRGTRSEPVMPTVWGPKEKLLRDRDPRVSRLFKKFINATLAFRRGLEQPQGKEGKPERAGGTEGKEGGKELEPLDLWNGVLDAFVNDGLVLPQRNRGRSMLTSTWDDPEVREPGQQACSQHPQTRPLPALDRGCVSRHSPFKTSSGLSPQSSPSCVTPRAPGRGGEWCPHECQTRPGEPSGPVPGGGSIELQELATQVQLLKLEQLAGMMCNMQDQLGDGVEKMSMLEQKLRRLYCDMERSEMTM
+>sp|Q8IU57|INLR1_HUMAN Interferon lambda receptor 1 OS=Homo sapiens OX=9606 GN=IFNLR1 PE=1 SV=1
+MAGPERWGPLLLCLLQAAPGRPRLAPPQNVTLLSQNFSVYLTWLPGLGNPQDVTYFVAYQSSPTRRRWREVEECAGTKELLCSMMCLKKQDLYNKFKGRVRTVSPSSKSPWVESEYLDYLFEVEPAPPVLVLTQTEEILSANATYQLPPCMPPLDLKYEVAFWKEGAGNKTLFPVTPHGQPVQITLQPAASEHHCLSARTIYTFSVPKYSKFSKPTCFLLEVPEANWAFLVLPSLLILLLVIAAGGVIWKTLMGNPWFQRAKMPRALDFSGHTHPVATFQPSRPESVNDLFLCPQKELTRGVRPTPRVRAPATQQTRWKKDLAEDEEEEDEEDTEDGVSFQPYIEPPSFLGQEHQAPGHSEAGGVDSGRPRAPLVPSEGSSAWDSSDRSWASTVDSSWDRAGSSGYLAEKGPGQGPGGDGHQESLPPPEFSKDSGFLEELPEDNLSSWATWGTLPPEPNLVPGGPPVSLQTLTFCWESSPEEEEEARESEIEDSDAGSWGAESTQRTEDRGRTLGHYMAR
+>DECOY_sp|Q8IU57|INLR1_HUMAN Interferon lambda receptor 1 OS=Homo sapiens OX=9606 GN=IFNLR1 PE=1 SV=1
+RAMYHGLTRGRDETRQTSEAGWSGADSDEIESERAEEEEEPSSEWCFTLTQLSVPPGGPVLNPEPPLTGWTAWSSLNDEPLEELFGSDKSFEPPPLSEQHGDGGPGQGPGKEALYGSSGARDWSSDVTSAWSRDSSDWASSGESPVLPARPRGSDVGGAESHGPAQHEQGLFSPPEIYPQFSVGDETDEEDEEEEDEALDKKWRTQQTAPARVRPTPRVGRTLEKQPCLFLDNVSEPRSPQFTAVPHTHGSFDLARPMKARQFWPNGMLTKWIVGGAAIVLLLILLSPLVLFAWNAEPVELLFCTPKSFKSYKPVSFTYITRASLCHHESAAPQLTIQVPQGHPTVPFLTKNGAGEKWFAVEYKLDLPPMCPPLQYTANASLIEETQTLVLVPPAPEVEFLYDLYESEVWPSKSSPSVTRVRGKFKNYLDQKKLCMMSCLLEKTGACEEVERWRRRTPSSQYAVFYTVDQPNGLGPLWTLYVSFNQSLLTVNQPPALRPRGPAAQLLCLLLPGWREPGAM
+>sp|O95050|INMT_HUMAN Indolethylamine N-methyltransferase OS=Homo sapiens OX=9606 GN=INMT PE=1 SV=3
+MKGGFTGGDEYQKHFLPRDYLATYYSFDGSPSPEAEMLKFNLECLHKTFGPGGLQGDTLIDIGSGPTIYQVLAACDSFQDITLSDFTDRNREELEKWLKKEPGAYDWTPAVKFACELEGNSGRWEEKEEKLRAAVKRVLKCDVHLGNPLAPAVLPLADCVLTLLAMECACCSLDAYRAALCNLASLLKPGGHLVTTVTLRLPSYMVGKREFSCVALEKEEVEQAVLDAGFDIEQLLHSPQSYSVTNAANNGVCFIVARKKPGP
+>DECOY_sp|O95050|INMT_HUMAN Indolethylamine N-methyltransferase OS=Homo sapiens OX=9606 GN=INMT PE=1 SV=3
+PGPKKRAVIFCVGNNAANTVSYSQPSHLLQEIDFGADLVAQEVEEKELAVCSFERKGVMYSPLRLTVTTVLHGGPKLLSALNCLAARYADLSCCACEMALLTLVCDALPLVAPALPNGLHVDCKLVRKVAARLKEEKEEWRGSNGELECAFKVAPTWDYAGPEKKLWKELEERNRDTFDSLTIDQFSDCAALVQYITPGSGIDILTDGQLGGPGFTKHLCELNFKLMEAEPSPSGDFSYYTALYDRPLFHKQYEDGGTFGGKM
+>sp|Q9ULG1|INO80_HUMAN Chromatin-remodeling ATPase INO80 OS=Homo sapiens OX=9606 GN=INO80 PE=1 SV=2
+MASELGARDDGGCTELAKPLYLQYLERALRLDHFLRQTSAIFNRNISSDDSEDGLDDSNPLLPQSGDPLIQVKEEPPNSLLGETSGAGSSGMLNTYSLNGVLQSESKCDKGNLYNFSKLKKSRKWLKSILLSDESSEADSQSEDDDEEELNLSREELHNMLRLHKYKKLHQNKYSKDKELQQYQYYSAGLLSTYDPFYEQQRHLLGPKKKKFKEEKKLKAKLKKVKKKRRRDEELSSEESPRRHHHQTKVFAKFSHDAPPPGTKKKHLSIEQLNARRRKVWLSIVKKELPKANKQKASARNLFLTNSRKLAHQCMKEVRRAALQAQKNCKETLPRARRLTKEMLLYWKKYEKVEKEHRKRAEKEALEQRKLDEEMREAKRQQRKLNFLITQTELYAHFMSRKRDMGHDGIQEEILRKLEDSSTQRQIDIGGGVVVNITQEDYDSNHFKAQALKNAENAYHIHQARTRSFDEDAKESRAAALRAANKSGTGFGESYSLANPSIRAGEDIPQPTIFNGKLKGYQLKGMNWLANLYEQGINGILADEMGLGKTVQSIALLAHLAERENIWGPFLIISPASTLNNWHQEFTRFVPKFKVLPYWGNPHDRKVIRRFWSQKTLYTQDAPFHVVITSYQLVVQDVKYFQRVKWQYMVLDEAQALKSSSSVRWKILLQFQCRNRLLLTGTPIQNTMAELWALLHFIMPTLFDSHEEFNEWFSKDIESHAENKSAIDENQLSRLHMILKPFMLRRIKKDVENELSDKIEILMYCQLTSRQKLLYQALKNKISIEDLLQSSMGSTQQAQNTTSSLMNLVMQFRKVCNHPELFERQETWSPFHISLKPYHISKFIYRHGQIRVFNHSRDRWLRVLSPFAPDYIQRSLFHRKGINEESCFSFLRFIDISPAEMANLMLQGLLARWLALFLSLKASYRLHQLRSWGAPEGESHQRYLRNKDFLLGVNFPLSFPNLCSCPLLKSLVFSSHCKAVSGYSDQVVHQRRSATSSLRRCLLTELPSFLCVASPRVTAVPLDSYCNDRSAEYERRVLKEGGSLAAKQCLLNGAPELAADWLNRRSQFFPEPAGGLWSIRPQNGWSFIRIPGKESLITDSGKLYALDVLLTRLKSQGHRVLIYSQMTRMIDLLEEYMVYRKHTYMRLDGSSKISERRDMVADFQNRNDIFVFLLSTRAGGLGINLTAADTVIFYDSDWNPTVDQQAMDRAHRLGQTKQVTVYRLICKGTIEERILQRAKEKSEIQRMVISGGNFKPDTLKPKEVVSLLLDDEELEKKLRLRQEEKRQQEETNRVKERKRKREKYAEKKKKEDELDGKRRKEGVNLVIPFVPSADNSNLSADGDDSFISVDSAMPSPFSEISISSELHTGSIPLDESSSDMLVIVDDPASSAPQSRATNSPASITGSVSDTVNGISIQEMPAAGRGHSARSRGRPKGSGSTAKGAGKGRSRKSTAGSAAAMAGAKAGAAAASAAAYAAYGYNVSKGISASSPLQTSLVRPAGLADFGPSSASSPLSSPLSKGNNVPGNPKNLHMTSSLAPDSLVRKQGKGTNPSGGR
+>DECOY_sp|Q9ULG1|INO80_HUMAN Chromatin-remodeling ATPase INO80 OS=Homo sapiens OX=9606 GN=INO80 PE=1 SV=2
+RGGSPNTGKGQKRVLSDPALSSTMHLNKPNGPVNNGKSLPSSLPSSASSPGFDALGAPRVLSTQLPSSASIGKSVNYGYAAYAAASAAAAGAKAGAMAAASGATSKRSRGKGAGKATSGSGKPRGRSRASHGRGAAPMEQISIGNVTDSVSGTISAPSNTARSQPASSAPDDVIVLMDSSSEDLPISGTHLESSISIESFPSPMASDVSIFSDDGDASLNSNDASPVFPIVLNVGEKRRKGDLEDEKKKKEAYKERKRKREKVRNTEEQQRKEEQRLRLKKELEEDDLLLSVVEKPKLTDPKFNGGSIVMRQIESKEKARQLIREEITGKCILRYVTVQKTQGLRHARDMAQQDVTPNWDSDYFIVTDAATLNIGLGGARTSLLFVFIDNRNQFDAVMDRRESIKSSGDLRMYTHKRYVMYEELLDIMRTMQSYILVRHGQSKLRTLLVDLAYLKGSDTILSEKGPIRIFSWGNQPRISWLGGAPEPFFQSRRNLWDAALEPAGNLLCQKAALSGGEKLVRREYEASRDNCYSDLPVATVRPSAVCLFSPLETLLCRRLSSTASRRQHVVQDSYGSVAKCHSSFVLSKLLPCSCLNPFSLPFNVGLLFDKNRLYRQHSEGEPAGWSRLQHLRYSAKLSLFLALWRALLGQLMLNAMEAPSIDIFRLFSFCSEENIGKRHFLSRQIYDPAFPSLVRLWRDRSHNFVRIQGHRYIFKSIHYPKLSIHFPSWTEQREFLEPHNCVKRFQMVLNMLSSTTNQAQQTSGMSSQLLDEISIKNKLAQYLLKQRSTLQCYMLIEIKDSLENEVDKKIRRLMFPKLIMHLRSLQNEDIASKNEAHSEIDKSFWENFEEHSDFLTPMIFHLLAWLEAMTNQIPTGTLLLRNRCQFQLLIKWRVSSSSKLAQAEDLVMYQWKVRQFYKVDQVVLQYSTIVVHFPADQTYLTKQSWFRRIVKRDHPNGWYPLVKFKPVFRTFEQHWNNLTSAPSIILFPGWINEREALHALLAISQVTKGLGMEDALIGNIGQEYLNALWNMGKLQYGKLKGNFITPQPIDEGARISPNALSYSEGFGTGSKNAARLAAARSEKADEDFSRTRAQHIHYANEANKLAQAKFHNSDYDEQTINVVVGGGIDIQRQTSSDELKRLIEEQIGDHGMDRKRSMFHAYLETQTILFNLKRQQRKAERMEEDLKRQELAEKEARKRHEKEVKEYKKWYLLMEKTLRRARPLTEKCNKQAQLAARRVEKMCQHALKRSNTLFLNRASAKQKNAKPLEKKVISLWVKRRRANLQEISLHKKKTGPPPADHSFKAFVKTQHHHRRPSEESSLEEDRRRKKKVKKLKAKLKKEEKFKKKKPGLLHRQQEYFPDYTSLLGASYYQYQQLEKDKSYKNQHLKKYKHLRLMNHLEERSLNLEEEDDDESQSDAESSEDSLLISKLWKRSKKLKSFNYLNGKDCKSESQLVGNLSYTNLMGSSGAGSTEGLLSNPPEEKVQILPDGSQPLLPNSDDLGDESDDSSINRNFIASTQRLFHDLRLARELYQLYLPKALETCGGDDRAGLESAM
+>sp|P01308|INS_HUMAN Insulin OS=Homo sapiens OX=9606 GN=INS PE=1 SV=1
+MALWMRLLPLLALLALWGPDPAAAFVNQHLCGSHLVEALYLVCGERGFFYTPKTRREAEDLQVGQVELGGGPGAGSLQPLALEGSLQKRGIVEQCCTSICSLYQLENYCN
+>DECOY_sp|P01308|INS_HUMAN Insulin OS=Homo sapiens OX=9606 GN=INS PE=1 SV=1
+NCYNELQYLSCISTCCQEVIGRKQLSGELALPQLSGAGPGGGLEVQGVQLDEAERRTKPTYFFGREGCVLYLAEVLHSGCLHQNVFAAAPDPGWLALLALLPLLRMWLAM
+>sp|Q6P9B9|INT5_HUMAN Integrator complex subunit 5 OS=Homo sapiens OX=9606 GN=INTS5 PE=1 SV=1
+MSALCDPPGAPGPPGPAPATHGPAPLSAQELSQEIKAFLTGVDPILGHQLSAREHARCGLLLLRSLPPARAAVLDHLRGVFDESVRAHLAALDETPVAGPPHLRPPPPSHVPAGGPGLEDVVQEVQQVLSEFIRANPKAWAPVISAWSIDLMGQLSSTYSGQHQRVPHATGALNELLQLWMGCRATRTLMDIYVQCLSALIGSCPDACVDALLDTSVQHSPHFDWVVAHIGSSFPGTIISRVLSCGLKDFCVHGGAGGGAGSSGGSSSQTPSTDPFPGSPAIPAEKRVPKIASVVGILGHLASRHGDSIRRELLRMFHDSLAGGSGGRSGDPSLQATVPFLLQLAVMSPALLGTVSGELVDCLKPPAVLSQLQQHLQGFPREELDNMLNLAVHLVSQASGAGAYRLLQFLVDTAMPASVITTQGLAVPDTVREACDRLIQLLLLHLQKLVHHRGGSPGEGVLGPPPPPRLVPFLDALKNHVGELCGETLRLERKRFLWQHQLLGLLSVYTRPSCGPEALGHLLSRARSPEELSLATQLYAGLVVSLSGLLPLAFRSCLARVHAGTLQPPFTARFLRNLALLVGWEQQGGEGPAALGAHFGESASAHLSDLAPLLLHPEEEVAEAAASLLAICPFPSEALSPSQLLGLVRAGVHRFFASLRLHGPPGVASACQLLTRLSQTSPAGLKAVLQLLVEGALHRGNTELFGGQVDGDNETLSVVSASLASASLLDTNRRHTAAVPGPGGIWSVFHAGVIGRGLKPPKFVQSRNQQEVIYNTQSLLSLLVHCCSAPGGTECGECWGAPILSPEAAKAVAVTLVESVCPDAAGAELAWPPEEHARATVERDLRIGRRFREQPLLFELLKLVAAAPPALCYCSVLLRGLLAALLGHWEASRHPDTTHSPWHLEASCTLVAVMAEGSLLPPALGNMHEVFSQLAPFEVRLLLLSVWGFLREHGPLPQKFIFQSERGRFIRDFSREGGGEGGPHLAVLHSVLHRNIDRLGLFSGRFQAPSPSTLLRQGT
+>DECOY_sp|Q6P9B9|INT5_HUMAN Integrator complex subunit 5 OS=Homo sapiens OX=9606 GN=INTS5 PE=1 SV=1
+TGQRLLTSPSPAQFRGSFLGLRDINRHLVSHLVALHPGGEGGGERSFDRIFRGRESQFIFKQPLPGHERLFGWVSLLLLRVEFPALQSFVEHMNGLAPPLLSGEAMVAVLTCSAELHWPSHTTDPHRSAEWHGLLAALLGRLLVSCYCLAPPAAAVLKLLEFLLPQERFRRGIRLDREVTARAHEEPPWALEAGAADPCVSEVLTVAVAKAAEPSLIPAGWCEGCETGGPASCCHVLLSLLSQTNYIVEQQNRSQVFKPPKLGRGIVGAHFVSWIGGPGPVAATHRRNTDLLSASALSASVVSLTENDGDVQGGFLETNGRHLAGEVLLQLVAKLGAPSTQSLRTLLQCASAVGPPGHLRLSAFFRHVGARVLGLLQSPSLAESPFPCIALLSAAAEAVEEEPHLLLPALDSLHASASEGFHAGLAAPGEGGQQEWGVLLALNRLFRATFPPQLTGAHVRALCSRFALPLLGSLSVVLGAYLQTALSLEEPSRARSLLHGLAEPGCSPRTYVSLLGLLQHQWLFRKRELRLTEGCLEGVHNKLADLFPVLRPPPPPGLVGEGPSGGRHHVLKQLHLLLLQILRDCAERVTDPVALGQTTIVSAPMATDVLFQLLRYAGAGSAQSVLHVALNLMNDLEERPFGQLHQQLQSLVAPPKLCDVLEGSVTGLLAPSMVALQLLFPVTAQLSPDGSRGGSGGALSDHFMRLLERRISDGHRSALHGLIGVVSAIKPVRKEAPIAPSGPFPDTSPTQSSSGGSSGAGGGAGGHVCFDKLGCSLVRSIITGPFSSGIHAVVWDFHPSHQVSTDLLADVCADPCSGILASLCQVYIDMLTRTARCGMWLQLLENLAGTAHPVRQHQGSYTSSLQGMLDISWASIVPAWAKPNARIFESLVQQVEQVVDELGPGGAPVHSPPPPRLHPPGAVPTEDLAALHARVSEDFVGRLHDLVAARAPPLSRLLLLGCRAHERASLQHGLIPDVGTLFAKIEQSLEQASLPAPGHTAPAPGPPGPAGPPDCLASM
+>sp|Q5JSJ4|INT6L_HUMAN Integrator complex subunit 6-like OS=Homo sapiens OX=9606 GN=INTS6L PE=1 SV=1
+MPILLFLIDTSASMNQRTDLGTSYLDIAKGAVELFLKLRARDPASRGDRYMLVTYDEPPYCIKAGWKENHATFMSELKNLQASGLTTLGQALRSSFDLLNLNRLISGIDNYGQGRNPFFLEPSILITITDGNKLTSTAGVQEELHLPLNSPLPGSELTKEPFRWDQRLFALVLRLPGVASTEPEQLGSVPTDESAITQMCEVTGGRSYCVRTQRMLNQCLESLVQKVQSGVVINFEKTGPDPLPIGEDGLMDSSRPSNSFAAQPWHSCHKLIYVRPNSKTGVPVGHWPIPESFWPDQNLPSLPPRTSHPVVRFSCVDCEPMVIDKLPFDKYELEPSPLTQYILERKSPHTCWQVFVTSSGKYNELGYPFGYLKASTTLTCVNLFVMPYNYPVLLPLLDDLFKVHKLKPNLKWRQAFDSYLKTLPPYYLLTKLESERILASVGKKPPQEIGIKVKNHSGGGMSLTHNKNFRKLLKEITGETALRLTELNTKEFAGFQIGLLNKDLKPQTYRNAYDIPRRGLLDQLTRMRSNLLKTHKFIVGQDEDSLHSVPVAQMGNYQEYLKTLASPLREIDPDQPKRLHTFGNPFKQDKKGMMIDEADEFVAGPQNKVKRPGEPNSPMSSKRRRSMSLLLRKPQTPPTVTNHVGGKGPPSASWFPSYPNLIKPTLVHTDATIIHDGHEEKMENGQITPDGFLSKSAPSELINMTGDLMPPNQVDSLSDDFTSLSKDGLIQKPGSNAFVGGAKNCSLSVDDQKDPVASTLGAMPNTLQITPAMAQGINADIKHQLMKEVRKFGRKYERIFILLEEVQGPLEMKKQFVEFTIKEAARFKRRVLIQYLEKVLEKINSHHLHNNISHINSRSSC
+>DECOY_sp|Q5JSJ4|INT6L_HUMAN Integrator complex subunit 6-like OS=Homo sapiens OX=9606 GN=INTS6L PE=1 SV=1
+CSSRSNIHSINNHLHHSNIKELVKELYQILVRRKFRAAEKITFEVFQKKMELPGQVEELLIFIREYKRGFKRVEKMLQHKIDANIGQAMAPTIQLTNPMAGLTSAVPDKQDDVSLSCNKAGGVFANSGPKQILGDKSLSTFDDSLSDVQNPPMLDGTMNILESPASKSLFGDPTIQGNEMKEEHGDHIITADTHVLTPKILNPYSPFWSASPPGKGGVHNTVTPPTQPKRLLLSMSRRRKSSMPSNPEGPRKVKNQPGAVFEDAEDIMMGKKDQKFPNGFTHLRKPQDPDIERLPSALTKLYEQYNGMQAVPVSHLSDEDQGVIFKHTKLLNSRMRTLQDLLGRRPIDYANRYTQPKLDKNLLGIQFGAFEKTNLETLRLATEGTIEKLLKRFNKNHTLSMGGGSHNKVKIGIEQPPKKGVSALIRESELKTLLYYPPLTKLYSDFAQRWKLNPKLKHVKFLDDLLPLLVPYNYPMVFLNVCTLTTSAKLYGFPYGLENYKGSSTVFVQWCTHPSKRELIYQTLPSPELEYKDFPLKDIVMPECDVCSFRVVPHSTRPPLSPLNQDPWFSEPIPWHGVPVGTKSNPRVYILKHCSHWPQAAFSNSPRSSDMLGDEGIPLPDPGTKEFNIVVGSQVKQVLSELCQNLMRQTRVCYSRGGTVECMQTIASEDTPVSGLQEPETSAVGPLRLVLAFLRQDWRFPEKTLESGPLPSNLPLHLEEQVGATSTLKNGDTITILISPELFFPNRGQGYNDIGSILRNLNLLDFSSRLAQGLTTLGSAQLNKLESMFTAHNEKWGAKICYPPEDYTVLMYRDGRSAPDRARLKLFLEVAGKAIDLYSTGLDTRQNMSASTDILFLLIPM
+>sp|Q9UL03|INT6_HUMAN Integrator complex subunit 6 OS=Homo sapiens OX=9606 GN=INTS6 PE=1 SV=1
+MPILLFLIDTSASMNQRSHLGTTYLDTAKGAVETFMKLRARDPASRGDRYMLVTFEEPPYAIKAGWKENHATFMNELKNLQAEGLTTLGQSLRTAFDLLNLNRLVTGIDNYGQGRNPFFLEPAIIITITDGSKLTTTSGVQDELHLPLNSPLPGSELTKEPFRWDQRLFALVLRLPGTMSVESEQLTGVPLDDSAITPMCEVTGGRSYSVCSPRMLNQCLESLVQKVQSGVVINFEKAGPDPSPVEDGQPDISRPFGSQPWHSCHKLIYVRPNPKTGVPIGHWPVPESFWPDQNSPTLPPRTSHPVVKFSCTDCEPMVIDKLPFDKYELEPSPLTQFILERKSPQTCWQVYVSNSAKYSELGHPFGYLKASTALNCVNLFVMPYNYPVLLPLLDDLFKVHKAKPTLKWRQSFESYLKTMPPYYLGPLKKAVRMMGAPNLIADSMEYGLSYSVISYLKKLSQQAKIESDRVIGSVGKKVVQETGIKVRSRSHGLSMAYRKDFQQLLQGISEDVPHRLLDLNMKEYTGFQVALLNKDLKPQTFRNAYDIPRRNLLDHLTRMRSNLLKSTRRFLKGQDEDQVHSVPIAQMGNYQEYLKQVPSPLRELDPDQPRRLHTFGNPFKLDKKGMMIDEADEFVAGPQNKHKRPGEPNMQGIPKRRRCMSPLLRGRQQNPVVNNHIGGKGPPAPTTQAQPDLIKPLPLHKISETTNDSIIHDVVENHVADQLSSDITPNAMDTEFSASSPASLLERPTNHMEALGHDHLGTNDLTVGGFLENHEEPRDKEQCAEENIPASSLNKGKKLMHCRSHEEVNTELKAQIMKEIRKPGRKYERIFTLLKHVQGSLQTRLIFLQNVIKEASRFKKRMLIEQLENFLDEIHRRANQINHINSN
+>DECOY_sp|Q9UL03|INT6_HUMAN Integrator complex subunit 6 OS=Homo sapiens OX=9606 GN=INTS6 PE=1 SV=1
+NSNIHNIQNARRHIEDLFNELQEILMRKKFRSAEKIVNQLFILRTQLSGQVHKLLTFIREYKRGPKRIEKMIQAKLETNVEEHSRCHMLKKGKNLSSAPINEEACQEKDRPEEHNELFGGVTLDNTGLHDHGLAEMHNTPRELLSAPSSASFETDMANPTIDSSLQDAVHNEVVDHIISDNTTESIKHLPLPKILDPQAQTTPAPPGKGGIHNNVVPNQQRGRLLPSMCRRRKPIGQMNPEGPRKHKNQPGAVFEDAEDIMMGKKDLKFPNGFTHLRRPQDPDLERLPSPVQKLYEQYNGMQAIPVSHVQDEDQGKLFRRTSKLLNSRMRTLHDLLNRRPIDYANRFTQPKLDKNLLAVQFGTYEKMNLDLLRHPVDESIGQLLQQFDKRYAMSLGHSRSRVKIGTEQVVKKGVSGIVRDSEIKAQQSLKKLYSIVSYSLGYEMSDAILNPAGMMRVAKKLPGLYYPPMTKLYSEFSQRWKLTPKAKHVKFLDDLLPLLVPYNYPMVFLNVCNLATSAKLYGFPHGLESYKASNSVYVQWCTQPSKRELIFQTLPSPELEYKDFPLKDIVMPECDTCSFKVVPHSTRPPLTPSNQDPWFSEPVPWHGIPVGTKPNPRVYILKHCSHWPQSGFPRSIDPQGDEVPSPDPGAKEFNIVVGSQVKQVLSELCQNLMRPSCVSYSRGGTVECMPTIASDDLPVGTLQESEVSMTGPLRLVLAFLRQDWRFPEKTLESGPLPSNLPLHLEDQVGSTTTLKSGDTITIIIAPELFFPNRGQGYNDIGTVLRNLNLLDFATRLSQGLTTLGEAQLNKLENMFTAHNEKWGAKIAYPPEEFTVLMYRDGRSAPDRARLKMFTEVAGKATDLYTTGLHSRQNMSASTDILFLLIPM
+>sp|Q75QN2|INT8_HUMAN Integrator complex subunit 8 OS=Homo sapiens OX=9606 GN=INTS8 PE=1 SV=1
+MSAEAADREAATSSRPCTPPQTCWFEFLLEESLLEKHLRKPCPDPAPVQLIVQFLEQASKPSVNEQNQVQPPPDNKRNRILKLLALKVAAHLKWDLDILEKSLSVPVLNMLLNELLCISKVPPGTKHVDMDLATLPPTTAMAVLLYNRWAIRTIVQSSFPVKQAKPGPPQLSVMNQMQQEKELTENILKVLKEQAADSILVLEAALKLNKDLYVHTMRTLDLLAMEPGMVNGETESSTAGLKVKTEEMQCQVCYDLGAAYFQQGSTNSAVYENAREKFFRTKELIAEIGSLSLHCTIDEKRLAGYCQACDVLVPSSDSTSQQLTPYSQVHICLRSGNYQEVIQIFIEDNLTLSLPVQFRQSVLRELFKKAQQGNEALDEICFKVCACNTVRDILEGRTISVQFNQLFLRPNKEKIDFLLEVCSRSVNLEKASESLKGNMAAFLKNVCLGLEDLQYVFMISSHELFITLLKDEERKLLVDQMRKRSPRVNLCIKPVTSFYDIPASASVNIGQLEHQLILSVDPWRIRQILIELHGMTSERQFWTVSNKWEVPSVYSGVILGIKDNLTRDLVYILMAKGLHCSTVKDFSHAKQLFAACLELVTEFSPKLRQVMLNEMLLLDIHTHEAGTGQAGERPPSDLISRVRGYLEMRLPDIPLRQVIAEECVAFMLNWRENEYLTLQVPAFLLQSNPYVKLGQLLAATCKELPGPKESRRTAKDLWEVVVQICSVSSQHKRGNDGRVSLIKQRESTLGIMYRSELLSFIKKLREPLVLTIILSLFVKLHNVREDIVNDITAEHISIWPSSIPNLQSVDFEAVAITVKELVRYTLSINPNNHSWLIIQADIYFATNQYSAALHYYLQAGAVCSDFFNKAVPPDVYTDQVIKRMIKCCSLLNCHTQVAILCQFLREIDYKTAFKSLQEQNSHDAMDSYYDYIWDVTILEYLTYLHHKRGETDKRQIAIKAIGQTELNASNPEEVLQLAAQRRKKKFLQAMAKLYF
+>DECOY_sp|Q75QN2|INT8_HUMAN Integrator complex subunit 8 OS=Homo sapiens OX=9606 GN=INTS8 PE=1 SV=1
+FYLKAMAQLFKKKRRQAALQLVEEPNSANLETQGIAKIAIQRKDTEGRKHHLYTLYELITVDWIYDYYSDMADHSNQEQLSKFATKYDIERLFQCLIAVQTHCNLLSCCKIMRKIVQDTYVDPPVAKNFFDSCVAGAQLYYHLAASYQNTAFYIDAQIILWSHNNPNISLTYRVLEKVTIAVAEFDVSQLNPISSPWISIHEATIDNVIDERVNHLKVFLSLIITLVLPERLKKIFSLLESRYMIGLTSERQKILSVRGDNGRKHQSSVSCIQVVVEWLDKATRRSEKPGPLEKCTAALLQGLKVYPNSQLLFAPVQLTLYENERWNLMFAVCEEAIVQRLPIDPLRMELYGRVRSILDSPPREGAQGTGAEHTHIDLLLMENLMVQRLKPSFETVLELCAAFLQKAHSFDKVTSCHLGKAMLIYVLDRTLNDKIGLIVGSYVSPVEWKNSVTWFQRESTMGHLEILIQRIRWPDVSLILQHELQGINVSASAPIDYFSTVPKICLNVRPSRKRMQDVLLKREEDKLLTIFLEHSSIMFVYQLDELGLCVNKLFAAMNGKLSESAKELNVSRSCVELLFDIKEKNPRLFLQNFQVSITRGELIDRVTNCACVKFCIEDLAENGQQAKKFLERLVSQRFQVPLSLTLNDEIFIQIVEQYNGSRLCIHVQSYPTLQQSTSDSSPVLVDCAQCYGALRKEDITCHLSLSGIEAILEKTRFFKERANEYVASNTSGQQFYAAGLDYCVQCQMEETKVKLGATSSETEGNVMGPEMALLDLTRMTHVYLDKNLKLAAELVLISDAAQEKLVKLINETLEKEQQMQNMVSLQPPGPKAQKVPFSSQVITRIAWRNYLLVAMATTPPLTALDMDVHKTGPPVKSICLLENLLMNLVPVSLSKELIDLDWKLHAAVKLALLKLIRNRKNDPPPQVQNQENVSPKSAQELFQVILQVPAPDPCPKRLHKELLSEELLFEFWCTQPPTCPRSSTAAERDAAEASM
+>sp|Q9ULD6|INTU_HUMAN Protein inturned OS=Homo sapiens OX=9606 GN=INTU PE=1 SV=2
+MASVASCDSRPSSDELPGDPSSQEEDEDYDFEDRVSDSGSYSSASSDYDDLEPEWLDSVQKNGELFYLELSEDEEESLLPETPTVNHVRFSENEIIIEDDYKERKKYEPKLKQFTKILRRKRLLPKRCNKKNSNDNGPVSILKHQSNQKTGVIVQQRYKDVNVYVNPKKLTVIKAKEQLKLLEVLVGIIHQTKWSWRRTGKQGDGERLVVHGLLPGGSAMKSGQVLIGDVLVAVNDVDVTTENIERVLSCIPGPMQVKLTFENAYDVKRETSHPRQKKTQSNTSDLVKLLWGEEVEGIQQSGLNTPHIIMYLTLQLDSETSKEEQEILYHYPMSEASQKLKSVRGIFLTLCDMLENVTGTQVTSSSLLLNGKQIHVAYWKESDKLLLIGLPAEEVPLPRLRNMIENVIQTLKFMYGSLDSAFCQIENVPRLDHFFNLFFQRALQPAKLHSSASPSAQQYDASSAVLLDNLPGVRWLTLPLEIKMELDMALSDLEAADFAELSEDYYDMRRLYTILGSSLFYKGYLICSHLPKDDLIDIAVYCRHYCLLPLAAKQRIGQLIIWREVFPQHHLRPLADSSTEVFPEPEGRYFLLVVGLKHYMLCVLLEAGGCASKAIGSPGPDCVYVDQVKTTLHQLDGVDSRIDERLASSPVPCLSCADWFLTGSREKTDSLTTSPILSRLQGTSKVATSPTCRRTLFGDYSLKTRKPSPSCSSGGSDNGCEGGEDDGFSPHTTPDAVRKQRESQGSDGLEESGTLLKVTKKKSTLPNPFHLGNLKKDLPEKELEIYNTVKLTSGPENTLFHYVALETVQGIFITPTLEEVAQLSGSIHPQLIKNFHQCCLSIRAVFQQTLVEEKKKGLNSGDHSDSAKSVSSLNPVKEHGVLFECSPGNWTDQKKAPPVMAYWVVGRLFLHPKPQELYVCFHDSVTEIAIEIAFKLFFGLTL
+>DECOY_sp|Q9ULD6|INTU_HUMAN Protein inturned OS=Homo sapiens OX=9606 GN=INTU PE=1 SV=2
+LTLGFFLKFAIEIAIETVSDHFCVYLEQPKPHLFLRGVVWYAMVPPAKKQDTWNGPSCEFLVGHEKVPNLSSVSKASDSHDGSNLGKKKEEVLTQQFVARISLCCQHFNKILQPHISGSLQAVEELTPTIFIGQVTELAVYHFLTNEPGSTLKVTNYIELEKEPLDKKLNGLHFPNPLTSKKKTVKLLTGSEELGDSGQSERQKRVADPTTHPSFGDDEGGECGNDSGGSSCSPSPKRTKLSYDGFLTRRCTPSTAVKSTGQLRSLIPSTTLSDTKERSGTLFWDACSLCPVPSSALREDIRSDVGDLQHLTTKVQDVYVCDPGPSGIAKSACGGAELLVCLMYHKLGVVLLFYRGEPEPFVETSSDALPRLHHQPFVERWIILQGIRQKAALPLLCYHRCYVAIDILDDKPLHSCILYGKYFLSSGLITYLRRMDYYDESLEAFDAAELDSLAMDLEMKIELPLTLWRVGPLNDLLVASSADYQQASPSASSHLKAPQLARQFFLNFFHDLRPVNEIQCFASDLSGYMFKLTQIVNEIMNRLRPLPVEEAPLGILLLKDSEKWYAVHIQKGNLLLSSSTVQTGTVNELMDCLTLFIGRVSKLKQSAESMPYHYLIEQEEKSTESDLQLTLYMIIHPTNLGSQQIGEVEEGWLLKVLDSTNSQTKKQRPHSTERKVDYANEFTLKVQMPGPICSLVREINETTVDVDNVAVLVDGILVQGSKMASGGPLLGHVVLREGDGQKGTRRWSWKTQHIIGVLVELLKLQEKAKIVTLKKPNVYVNVDKYRQQVIVGTKQNSQHKLISVPGNDNSNKKNCRKPLLRKRRLIKTFQKLKPEYKKREKYDDEIIIENESFRVHNVTPTEPLLSEEEDESLELYFLEGNKQVSDLWEPELDDYDSSASSYSGSDSVRDEFDYDEDEEQSSPDGPLEDSSPRSDCSAVSAM
+>sp|Q96PC2|IP6K3_HUMAN Inositol hexakisphosphate kinase 3 OS=Homo sapiens OX=9606 GN=IP6K3 PE=1 SV=2
+MVVQNSADAGDMRAGVQLEPFLHQVGGHMSVMKYDEHTVCKPLVSREQRFYESLPLAMKRFTPQYKGTVTVHLWKDSTGHLSLVANPVKESQEPFKVSTESAAVAIWQTLQQTTGSNGSDCTLAQWPHAQLARSPKESPAKALLRSEPHLNTPAFSLVEDTNGNQVERKSFNPWGLQCHQAHLTRLCSEYPENKRHRFLLLENVVSQYTHPCVLDLKMGTRQHGDDASEEKKARHMRKCAQSTSACLGVRICGMQVYQTDKKYFLCKDKYYGRKLSVEGFRQALYQFLHNGSHLRRELLEPILHQLRALLSVIRSQSSYRFYSSSLLVIYDGQEPPERAPGSPHPHEAPQAAHGSSPGGLTKVDIRMIDFAHTTYKGYWNEHTTYDGPDPGYIFGLENLIRILQDIQEGE
+>DECOY_sp|Q96PC2|IP6K3_HUMAN Inositol hexakisphosphate kinase 3 OS=Homo sapiens OX=9606 GN=IP6K3 PE=1 SV=2
+EGEQIDQLIRILNELGFIYGPDPGDYTTHENWYGKYTTHAFDIMRIDVKTLGGPSSGHAAQPAEHPHPSGPAREPPEQGDYIVLLSSSYFRYSSQSRIVSLLARLQHLIPELLERRLHSGNHLFQYLAQRFGEVSLKRGYYKDKCLFYKKDTQYVQMGCIRVGLCASTSQACKRMHRAKKEESADDGHQRTGMKLDLVCPHTYQSVVNELLLFRHRKNEPYESCLRTLHAQHCQLGWPNFSKREVQNGNTDEVLSFAPTNLHPESRLLAKAPSEKPSRALQAHPWQALTCDSGNSGTTQQLTQWIAVAASETSVKFPEQSEKVPNAVLSLHGTSDKWLHVTVTGKYQPTFRKMALPLSEYFRQERSVLPKCVTHEDYKMVSMHGGVQHLFPELQVGARMDGADASNQVVM
+>sp|Q9UI26|IPO11_HUMAN Importin-11 OS=Homo sapiens OX=9606 GN=IPO11 PE=1 SV=1
+MDLNSASTVVLQVLTQATSQDTAVLKPAEEQLKQWETQPGFYSVLLNIFTNHTLDINVRWLAVLYFKHGIDRYWRRVAPHALSEEEKTTLRAGLITNFNEPINQIATQIAVLIAKVARLDCPRQWPELIPTLIESVKVQDDLRQHRALLTFYHVTKTLASKRLAADRKLFYDLASGIYNFACSLWNHHTDTFLQEVSSGNEAAILSSLERTLLSLKVLRKLTVNGFVEPHKNMEVMGFLHGIFERLKQFLECSRSIGTDNVCRDRLEKTIILFTKVLLDFLDQHPFSFTPLIQRSLEFSVSYVFTEVGEGVTFERFIVQCMNLIKMIVKNYAYKPSKNFEDSSPETLEAHKIKMAFFTYPTLTEICRRLVSHYFLLTEEELTMWEEDPEGFTVEETGGDSWKYSLRPCTEVLFIDIFHEYNQTLTPVLLEMMQTLQGPTNVEDMNALLIKDAVYNAVGLAAYELFDSVDFDQWFKNQLLPELQVIHNRYKPLRRRVIWLIGQWISVKFKSDLRPMLYEAICNLLQDQDLVVRIETATTLKLTVDDFEFRTDQFLPYLETMFTLLFQLLQQVTECDTKMHVLHVLSCVIERVNMQIRPYVGCLVQYLPLLWKQSEEHNMLRCAILTTLIHLVQGLGADSKNLYPFLLPVIQLSTDVSQPPHVYLLEDGLELWLVTLENSPCITPELLRIFQNMSPLLELSSENLRTCFKIINGYIFLSSTEFLQTYAVGLCQSFCELLKEITTEGQVQVLKVVENALKVNPILGPQMFQPILPYVFKGIIEGERYPVVMSTYLGVMGRVLLQNTSFFSSLLNEMAHKFNQEMDQLLGNMIEMWVDRMDNITQPERRKLSALALLSLLPSDNSVIQDKFCGIINISVEGLHDVMTEDPETGTYKDCMLMSHLEEPKVTEDEEPPTEQDKRKKMLALKDPVHTVSLQQFIYEKLKAQQEMLGEQGFQSLMETVDTEIVTQLQEFLQGF
+>DECOY_sp|Q9UI26|IPO11_HUMAN Importin-11 OS=Homo sapiens OX=9606 GN=IPO11 PE=1 SV=1
+FGQLFEQLQTVIETDVTEMLSQFGQEGLMEQQAKLKEYIFQQLSVTHVPDKLALMKKRKDQETPPEEDETVKPEELHSMLMCDKYTGTEPDETMVDHLGEVSINIIGCFKDQIVSNDSPLLSLLALASLKRREPQTINDMRDVWMEIMNGLLQDMEQNFKHAMENLLSSFFSTNQLLVRGMVGLYTSMVVPYREGEIIGKFVYPLIPQFMQPGLIPNVKLANEVVKLVQVQGETTIEKLLECFSQCLGVAYTQLFETSSLFIYGNIIKFCTRLNESSLELLPSMNQFIRLLEPTICPSNELTVLWLELGDELLYVHPPQSVDTSLQIVPLLFPYLNKSDAGLGQVLHILTTLIACRLMNHEESQKWLLPLYQVLCGVYPRIQMNVREIVCSLVHLVHMKTDCETVQQLLQFLLTFMTELYPLFQDTRFEFDDVTLKLTTATEIRVVLDQDQLLNCIAEYLMPRLDSKFKVSIWQGILWIVRRRLPKYRNHIVQLEPLLQNKFWQDFDVSDFLEYAALGVANYVADKILLANMDEVNTPGQLTQMMELLVPTLTQNYEHFIDIFLVETCPRLSYKWSDGGTEEVTFGEPDEEWMTLEEETLLFYHSVLRRCIETLTPYTFFAMKIKHAELTEPSSDEFNKSPKYAYNKVIMKILNMCQVIFREFTVGEGVETFVYSVSFELSRQILPTFSFPHQDLFDLLVKTFLIITKELRDRCVNDTGISRSCELFQKLREFIGHLFGMVEMNKHPEVFGNVTLKRLVKLSLLTRELSSLIAAENGSSVEQLFTDTHHNWLSCAFNYIGSALDYFLKRDAALRKSALTKTVHYFTLLARHQRLDDQVKVSEILTPILEPWQRPCDLRAVKAILVAIQTAIQNIPENFNTILGARLTTKEEESLAHPAVRRWYRDIGHKFYLVALWRVNIDLTHNTFINLLVSYFGPQTEWQKLQEEAPKLVATDQSTAQTLVQLVVTSASNLDM
+>sp|O95373|IPO7_HUMAN Importin-7 OS=Homo sapiens OX=9606 GN=IPO7 PE=1 SV=1
+MDPNTIIEALRGTMDPALREAAERQLNEAHKSLNFVSTLLQITMSEQLDLPVRQAGVIYLKNMITQYWPDRETAPGDISPYTIPEEDRHCIRENIVEAIIHSPELIRVQLTTCIHHIIKHDYPSRWTAIVDKIGFYLQSDNSACWLGILLCLYQLVKNYEYKKPEERSPLVAAMQHFLPVLKDRFIQLLSDQSDQSVLIQKQIFKIFYALVQYTLPLELINQQNLTEWIEILKTVVNRDVPNETLQVEEDDRPELPWWKCKKWALHILARLFERYGSPGNVSKEYNEFAEVFLKAFAVGVQQVLLKVLYQYKEKQYMAPRVLQQTLNYINQGVSHALTWKNLKPHIQGIIQDVIFPLMCYTDADEELWQEDPYEYIRMKFDVFEDFISPTTAAQTLLFTACSKRKEVLQKTMGFCYQILTEPNADPRKKDGALHMIGSLAEILLKKKIYKDQMEYMLQNHVFPLFSSELGYMRARACWVLHYFCEVKFKSDQNLQTALELTRRCLIDDREMPVKVEAAIALQVLISNQEKAKEYITPFIRPVMQALLHIIRETENDDLTNVIQKMICEYSEEVTPIAVEMTQHLAMTFNQVIQTGPDEEGSDDKAVTAMGILNTIDTLLSVVEDHKEITQQLEGICLQVIGTVLQQHVLEFYEEIFSLAHSLTCQQVSPQMWQLLPLVFEVFQQDGFDYFTDMMPLLHNYVTVDTDTLLSDTKYLEMIYSMCKKVLTGVAGEDAECHAAKLLEVIILQCKGRGIDQCIPLFVEAALERLTREVKTSELRTMCLQVAIAALYYNPHLLLNTLENLRFPNNVEPVTNHFITQWLNDVDCFLGLHDRKMCVLGLCALIDMEQIPQVLNQVSGQILPAFILLFNGLKRAYACHAEHENDSDDDDEAEDDDETEELGSDEDDIDEDGQEYLEILAKQAGEDGDDEDWEEDDAEETALEGYSTIIDDEDNPVDEYQIFKAIFQTIQNRNPVWYQALTHGLNEEQRKQLQDIATLADQRRAAHESKMIEKHGGYKFSAPVVPSSFNFGGPAPGMN
+>DECOY_sp|O95373|IPO7_HUMAN Importin-7 OS=Homo sapiens OX=9606 GN=IPO7 PE=1 SV=1
+NMGPAPGGFNFSSPVVPASFKYGGHKEIMKSEHAARRQDALTAIDQLQKRQEENLGHTLAQYWVPNRNQITQFIAKFIQYEDVPNDEDDIITSYGELATEEADDEEWDEDDGDEGAQKALIELYEQGDEDIDDEDSGLEETEDDDEAEDDDDSDNEHEAHCAYARKLGNFLLIFAPLIQGSVQNLVQPIQEMDILACLGLVCMKRDHLGLFCDVDNLWQTIFHNTVPEVNNPFRLNELTNLLLHPNYYLAAIAVQLCMTRLESTKVERTLRELAAEVFLPICQDIGRGKCQLIIVELLKAAHCEADEGAVGTLVKKCMSYIMELYKTDSLLTDTDVTVYNHLLPMMDTFYDFGDQQFVEFVLPLLQWMQPSVQQCTLSHALSFIEEYFELVHQQLVTGIVQLCIGELQQTIEKHDEVVSLLTDITNLIGMATVAKDDSGEEDPGTQIVQNFTMALHQTMEVAIPTVEESYECIMKQIVNTLDDNETERIIHLLAQMVPRIFPTIYEKAKEQNSILVQLAIAAEVKVPMERDDILCRRTLELATQLNQDSKFKVECFYHLVWCARARMYGLESSFLPFVHNQLMYEMQDKYIKKKLLIEALSGIMHLAGDKKRPDANPETLIQYCFGMTKQLVEKRKSCATFLLTQAATTPSIFDEFVDFKMRIYEYPDEQWLEEDADTYCMLPFIVDQIIGQIHPKLNKWTLAHSVGQNIYNLTQQLVRPAMYQKEKYQYLVKLLVQQVGVAFAKLFVEAFENYEKSVNGPSGYREFLRALIHLAWKKCKWWPLEPRDDEEVQLTENPVDRNVVTKLIEIWETLNQQNILELPLTYQVLAYFIKFIQKQILVSQDSQDSLLQIFRDKLVPLFHQMAAVLPSREEPKKYEYNKVLQYLCLLIGLWCASNDSQLYFGIKDVIATWRSPYDHKIIHHICTTLQVRILEPSHIIAEVINERICHRDEEPITYPSIDGPATERDPWYQTIMNKLYIVGAQRVPLDLQESMTIQLLTSVFNLSKHAENLQREAAERLAPDMTGRLAEIITNPDM
+>sp|Q96PQ5|IPP2L_HUMAN Putative protein phosphatase inhibitor 2-like protein 1 OS=Homo sapiens OX=9606 GN=PPP1R2P1 PE=5 SV=1
+MAASTASQRPLKGILKDNTSTTSSMVASAEHPRGSVHEQLSKKSQKWDEMNILATYRPADKDYGLMKIDEPSTPYHSTMGDDEDACSDTETTEAMATDSLAKNLAAAEGLEPKYQVQEQESSGEEDSDLSPEEREKKRQFEMRRTLHYNEGLNIKLARQLISKDLHDDDKVEEMLETAHGESMNTEESNQGSTASDQQQNKSRSS
+>DECOY_sp|Q96PQ5|IPP2L_HUMAN Putative protein phosphatase inhibitor 2-like protein 1 OS=Homo sapiens OX=9606 GN=PPP1R2P1 PE=5 SV=1
+SSRSKNQQQDSATSGQNSEETNMSEGHATELMEEVKDDDHLDKSILQRALKINLGENYHLTRRMEFQRKKEREEPSLDSDEEGSSEQEQVQYKPELGEAAALNKALSDTAMAETTETDSCADEDDGMTSHYPTSPEDIKMLGYDKDAPRYTALINMEDWKQSKKSLQEHVSGRPHEASAVMSSTTSTNDKLIGKLPRQSATSAAM
+>sp|P41236|IPP2_HUMAN Protein phosphatase inhibitor 2 OS=Homo sapiens OX=9606 GN=PPP1R2 PE=1 SV=2
+MAASTASHRPIKGILKNKTSTTSSMVASAEQPRGNVDEELSKKSQKWDEMNILATYHPADKDYGLMKIDEPSTPYHSMMGDDEDACSDTEATEAMAPDILARKLAAAEGLEPKYRIQEQESSGEEDSDLSPEEREKKRQFEMKRKLHYNEGLNIKLARQLISKDLHDDDEDEEMLETADGESMNTEESNQGSTPSDQQQNKLRSS
+>DECOY_sp|P41236|IPP2_HUMAN Protein phosphatase inhibitor 2 OS=Homo sapiens OX=9606 GN=PPP1R2 PE=1 SV=2
+SSRLKNQQQDSPTSGQNSEETNMSEGDATELMEEDEDDDHLDKSILQRALKINLGENYHLKRKMEFQRKKEREEPSLDSDEEGSSEQEQIRYKPELGEAAALKRALIDPAMAETAETDSCADEDDGMMSHYPTSPEDIKMLGYDKDAPHYTALINMEDWKQSKKSLEEDVNGRPQEASAVMSSTTSTKNKLIGKIPRHSATSAAM
+>sp|Q15051|IQCB1_HUMAN IQ calmodulin-binding motif-containing protein 1 OS=Homo sapiens OX=9606 GN=IQCB1 PE=1 SV=1
+MKPTGTDPRILSIAAEVAKSPEQNVPVILLKLKEIINITPLGSSELKKIKQDIYCYDLIQYCLLVLSQDYSRIQGGWTTISQLTQILSHCCVGLEPGEDAEEFYNELLPSAAENFLVLGRQLQTCFINAAKAEEKDELLHFFQIVTDSLFWLLGGHVELIQNVLQSDHFLHLLQADNVQIGSAVMMMLQNILQINSGDLLRIGRKALYSILDEVIFKLFSTPSPVIRSTATKLLLLMAESHQEILILLRQSTCYKGLRRLLSKQETGTEFSQELRQLVGLLSPMVYQEVEEQKLHQAACLIQAYWKGFQTRKRLKKLPSAVIALQRSFRSKRSKMLLEINRQKEEEDLKLQLQLQRQRAMRLSRELQLSMLEIVHPGQVEKHYREMEEKSALIIQKHWRGYRERKNFHQQRQSLIEYKAAVTLQRAALKFLAKCRKKKKLFAPWRGLQELTDARRVELKKRVDDYVRRHLGSPMSDVVSRELHAQAQERLQHYFMGRALEERAQQHREALIAQISTNVEQLMKAPSLKEAEGKEPELFLSRSRPVAAKAKQAHLTTLKHIQAPWWKKLGEESGDEIDVPKDELSIELENLFIGGTKPP
+>DECOY_sp|Q15051|IQCB1_HUMAN IQ calmodulin-binding motif-containing protein 1 OS=Homo sapiens OX=9606 GN=IQCB1 PE=1 SV=1
+PPKTGGIFLNELEISLEDKPVDIEDGSEEGLKKWWPAQIHKLTTLHAQKAKAAVPRSRSLFLEPEKGEAEKLSPAKMLQEVNTSIQAILAERHQQAREELARGMFYHQLREQAQAHLERSVVDSMPSGLHRRVYDDVRKKLEVRRADTLEQLGRWPAFLKKKKRCKALFKLAARQLTVAAKYEILSQRQQHFNKRERYGRWHKQIILASKEEMERYHKEVQGPHVIELMSLQLERSLRMARQRQLQLQLKLDEEEKQRNIELLMKSRKSRFSRQLAIVASPLKKLRKRTQFGKWYAQILCAAQHLKQEEVEQYVMPSLLGVLQRLEQSFETGTEQKSLLRRLGKYCTSQRLLILIEQHSEAMLLLLKTATSRIVPSPTSFLKFIVEDLISYLAKRGIRLLDGSNIQLINQLMMMVASGIQVNDAQLLHLFHDSQLVNQILEVHGGLLWFLSDTVIQFFHLLEDKEEAKAANIFCTQLQRGLVLFNEAASPLLENYFEEADEGPELGVCCHSLIQTLQSITTWGGQIRSYDQSLVLLCYQILDYCYIDQKIKKLESSGLPTINIIEKLKLLIVPVNQEPSKAVEAAISLIRPDTGTPKM
+>sp|Q6IPM2|IQCE_HUMAN IQ domain-containing protein E OS=Homo sapiens OX=9606 GN=IQCE PE=1 SV=2
+MFLGTGEPALDTGDDSLSAVTFDSDVETKAKRKAFHKPPPTSPKSPYLSKPRKVASWRSLRTAGSMPLGGRASLTPQKLWLGTAKPGSLTQALNSPLTWEHAWTGVPGGTPDCLTDTFRVKRPHLRRSASNGHVPGTPVYREKEDMYDEIIELKKSLHVQKSDVDLMRTKLRRLEEENSRKDRQIEQLLDPSRGTDFVRTLAEKRPDASWVINGLKQRILKLEQQCKEKDGTISKLQTDMKTTNLEEMRIAMETYYEEVHRLQTLLASSETTGKKPLGEKKTGAKRQKKMGSALLSLSRSVQELTEENQSLKEDLDRVLSTSPTISKTQGYVEWSKPRLLRRIVELEKKLSVMESSKSHAAEPVRSHPPACLASSSALHRQPRGDRNKDHERLRGAVRDLKEERTALQEQLLQRDLEVKQLLQAKADLEKELECAREGEEERREREEVLREEIQTLTSKLQELQEMKKEEKEDCPEVPHKAQELPAPTPSSRHCEQDWPPDSSEEGLPRPRSPCSDGRRDAAARVLQAQWKVYKHKKKKAVLDEAAVVLQAAFRGHLTRTKLLASKAHGSEPPSVPGLPDQSSPVPRVPSPIAQATGSPVQEEAIVIIQSALRAHLARARHSATGKRTTTAASTRRRSASATHGDASSPPFLAALPDPSPSGPQALAPLPGDDVNSDDSDDIVIAPSLPTKNFPV
+>DECOY_sp|Q6IPM2|IQCE_HUMAN IQ domain-containing protein E OS=Homo sapiens OX=9606 GN=IQCE PE=1 SV=2
+VPFNKTPLSPAIVIDDSDDSNVDDGPLPALAQPGSPSPDPLAALFPPSSADGHTASASRRRTSAATTTRKGTASHRARALHARLASQIIVIAEEQVPSGTAQAIPSPVRPVPSSQDPLGPVSPPESGHAKSALLKTRTLHGRFAAQLVVAAEDLVAKKKKHKYVKWQAQLVRAAADRRGDSCPSRPRPLGEESSDPPWDQECHRSSPTPAPLEQAKHPVEPCDEKEEKKMEQLEQLKSTLTQIEERLVEERERREEEGERACELEKELDAKAQLLQKVELDRQLLQEQLATREEKLDRVAGRLREHDKNRDGRPQRHLASSSALCAPPHSRVPEAAHSKSSEMVSLKKELEVIRRLLRPKSWEVYGQTKSITPSTSLVRDLDEKLSQNEETLEQVSRSLSLLASGMKKQRKAGTKKEGLPKKGTTESSALLTQLRHVEEYYTEMAIRMEELNTTKMDTQLKSITGDKEKCQQELKLIRQKLGNIVWSADPRKEALTRVFDTGRSPDLLQEIQRDKRSNEEELRRLKTRMLDVDSKQVHLSKKLEIIEDYMDEKERYVPTGPVHGNSASRRLHPRKVRFTDTLCDPTGGPVGTWAHEWTLPSNLAQTLSGPKATGLWLKQPTLSARGGLPMSGATRLSRWSAVKRPKSLYPSKPSTPPPKHFAKRKAKTEVDSDFTVASLSDDGTDLAPEGTGLFM
+>sp|Q86VS3|IQCH_HUMAN IQ domain-containing protein H OS=Homo sapiens OX=9606 GN=IQCH PE=2 SV=2
+MAQNTENHDPVGSILIQIHEDLYQLKEKLTKFSPEEKGETLDIQSLETAIKRTEVGLRIHIEKYLNVVNQNVLTTSVNDESLYTPQASKWLLPTVIDQKSFIFPQESEGTFWQPQRQHSSSLPVFPRAKIKVSKLIKGSNISSLTVLPSSHCTDPYFTPIPVLQADAHKGILSMIERGLIPPTARITFQNPPITPRAAPLHSFDEARKIPTVATFTIPREPPPSPAEVKFFPKKQRSKGKSRRSRGHHDRKAMKVKTPLRALKSLWDYDFLIYDGVIDNTAPDFLAFKEHFSLAWGGIFSLLEHVEKFLRNYAIPEVKIKGNNLVALLPEFELTNKLTRYDLLSVLEDPAHVQMLINLPGQRYKGQDGNSEAAMKIQATWKCYKARKFFLFYRQQKWASGVIAIAWLLYCHKTRLKKILKESRQRHLENFRIRAKHLAANWNRIRTSRRTIIHIPSLGYSQPVREHIADFNTQQNMQLGRLCDILDANVNVIYICSHHMNDELVLYYKKILSLHAAVKSGNLEDRSDLQDRFKIITPEAVNIFPKHHMCLATHLMYSPKAIKRIKNLIRGTEAYIVSGLLHRDDLAVADMLDIPILGSEPELAHLYSTKSGGKRVFDSANVAVPPGIYDIYSQQQMIEQLSQLITDHLQIQRWLFKMDSEFRGNGTAFCDIPSYLKCYKWVLKESSRYGLEDWRKKWAQEPALVKISEELAGILAQHAQPVNEKRFPTWRKFLQTFLSQGGVIEAFPPADNVTNLTVDMLIEPNGKISVLSTGDQLHAESPFISSGTTVPQTSVDPQVLTYLCLQIGKACRMRDVVGYFSIDLVTFIDPSTLEQQVWATGLNLAYSDQLALTQLTLYLTNGHLDCSLSTLEVPRFVPKERKKTKCMSALSMPMLATSRYAVMTTQLRHSNLSLVFHYVFLQICRAHGIGYDVEERQGTVFILYEHLKRHKLGMLTIGEDLQGVLMTFARHLFIIHQEISAPNMQGETNFKTTIADIETILRVTKENKMRFEEEQQSKDDKNLSKPKK
+>DECOY_sp|Q86VS3|IQCH_HUMAN IQ domain-containing protein H OS=Homo sapiens OX=9606 GN=IQCH PE=2 SV=2
+KKPKSLNKDDKSQQEEEFRMKNEKTVRLITEIDAITTKFNTEGQMNPASIEQHIIFLHRAFTMLVGQLDEGITLMGLKHRKLHEYLIFVTGQREEVDYGIGHARCIQLFVYHFVLSLNSHRLQTTMVAYRSTALMPMSLASMCKTKKREKPVFRPVELTSLSCDLHGNTLYLTLQTLALQDSYALNLGTAWVQQELTSPDIFTVLDISFYGVVDRMRCAKGIQLCLYTLVQPDVSTQPVTTGSSIFPSEAHLQDGTSLVSIKGNPEILMDVTLNTVNDAPPFAEIVGGQSLFTQLFKRWTPFRKENVPQAHQALIGALEESIKVLAPEQAWKKRWDELGYRSSEKLVWKYCKLYSPIDCFATGNGRFESDMKFLWRQIQLHDTILQSLQEIMQQQSYIDYIGPPVAVNASDFVRKGGSKTSYLHALEPESGLIPIDLMDAVALDDRHLLGSVIYAETGRILNKIRKIAKPSYMLHTALCMHHKPFINVAEPTIIKFRDQLDSRDELNGSKVAAHLSLIKKYYLVLEDNMHHSCIYIVNVNADLIDCLRGLQMNQQTNFDAIHERVPQSYGLSPIHIITRRSTRIRNWNAALHKARIRFNELHRQRSEKLIKKLRTKHCYLLWAIAIVGSAWKQQRYFLFFKRAKYCKWTAQIKMAAESNGDQGKYRQGPLNILMQVHAPDELVSLLDYRTLKNTLEFEPLLAVLNNGKIKVEPIAYNRLFKEVHELLSFIGGWALSFHEKFALFDPATNDIVGDYILFDYDWLSKLARLPTKVKMAKRDHHGRSRRSKGKSRQKKPFFKVEAPSPPPERPITFTAVTPIKRAEDFSHLPAARPTIPPNQFTIRATPPILGREIMSLIGKHADAQLVPIPTFYPDTCHSSPLVTLSSINSGKILKSVKIKARPFVPLSSSHQRQPQWFTGESEQPFIFSKQDIVTPLLWKSAQPTYLSEDNVSTTLVNQNVVNLYKEIHIRLGVETRKIATELSQIDLTEGKEEPSFKTLKEKLQYLDEHIQILISGVPDHNETNQAM
+>sp|P46940|IQGA1_HUMAN Ras GTPase-activating-like protein IQGAP1 OS=Homo sapiens OX=9606 GN=IQGAP1 PE=1 SV=1
+MSAADEVDGLGVARPHYGSVLDNERLTAEEMDERRRQNVAYEYLCHLEEAKRWMEACLGEDLPPTTELEEGLRNGVYLAKLGNFFSPKVVSLKKIYDREQTRYKATGLHFRHTDNVIQWLNAMDEIGLPKIFYPETTDIYDRKNMPRCIYCIHALSLYLFKLGLAPQIQDLYGKVDFTEEEINNMKTELEKYGIQMPAFSKIGGILANELSVDEAALHAAVIAINEAIDRRIPADTFAALKNPNAMLVNLEEPLASTYQDILYQAKQDKMTNAKNRTENSERERDVYEELLTQAEIQGNINKVNTFSALANIDLALEQGDALALFRALQSPALGLRGLQQQNSDWYLKQLLSDKQQKRQSGQTDPLQKEELQSGVDAANSAAQQYQRRLAAVALINAAIQKGVAEKTVLELMNPEAQLPQVYPFAADLYQKELATLQRQSPEHNLTHPELSVAVEMLSSVALINRALESGDVNTVWKQLSSSVTGLTNIEEENCQRYLDELMKLKAQAHAENNEFITWNDIQACVDHVNLVVQEEHERILAIGLINEALDEGDAQKTLQALQIPAAKLEGVLAEVAQHYQDTLIRAKREKAQEIQDESAVLWLDEIQGGIWQSNKDTQEAQKFALGIFAINEAVESGDVGKTLSALRSPDVGLYGVIPECGETYHSDLAEAKKKKLAVGDNNSKWVKHWVKGGYYYYHNLETQEGGWDEPPNFVQNSMQLSREEIQSSISGVTAAYNREQLWLANEGLITRLQARCRGYLVRQEFRSRMNFLKKQIPAITCIQSQWRGYKQKKAYQDRLAYLRSHKDEVVKIQSLARMHQARKRYRDRLQYFRDHINDIIKIQAFIRANKARDDYKTLINAEDPPMVVVRKFVHLLDQSDQDFQEELDLMKMREEVITLIRSNQQLENDLNLMDIKIGLLVKNKITLQDVVSHSKKLTKKNKEQLSDMMMINKQKGGLKALSKEKREKLEAYQHLFYLLQTNPTYLAKLIFQMPQNKSTKFMDSVIFTLYNYASNQREEYLLLRLFKTALQEEIKSKVDQIQEIVTGNPTVIKMVVSFNRGARGQNALRQILAPVVKEIMDDKSLNIKTDPVDIYKSWVNQMESQTGEASKLPYDVTPEQALAHEEVKTRLDSSIRNMRAVTDKFLSAIVSSVDKIPYGMRFIAKVLKDSLHEKFPDAGEDELLKIIGNLLYYRYMNPAIVAPDAFDIIDLSAGGQLTTDQRRNLGSIAKMLQHAASNKMFLGDNAHLSIINEYLSQSYQKFRRFFQTACDVPELQDKFNVDEYSDLVTLTKPVIYISIGEIINTHTLLLDHQDAIAPEHNDPIHELLDDLGEVPTIESLIGESSGNLNDPNKEALAKTEVSLTLTNKFDVPGDENAEMDARTILLNTKRLIVDVIRFQPGETLTEILETPATSEQEAEHQRAMQRRAIRDAKTPDKMKKSKSVKEDSNLTLQEKKEKIQTGLKKLTELGTVDPKNKYQELINDIARDIRNQRRYRQRRKAELVKLQQTYAALNSKATFYGEQVDYYKSYIKTCLDNLASKGKVSKKPREMKGKKSKKISLKYTAARLHEKGVLLEIEDLQVNQFKNVIFEISPTEEVGDFEVKAKFMGVQMETFMLHYQDLLQLQYEGVAVMKLFDRAKVNVNLLIFLLNKKFYGK
+>DECOY_sp|P46940|IQGA1_HUMAN Ras GTPase-activating-like protein IQGAP1 OS=Homo sapiens OX=9606 GN=IQGAP1 PE=1 SV=1
+KGYFKKNLLFILLNVNVKARDFLKMVAVGEYQLQLLDQYHLMFTEMQVGMFKAKVEFDGVEETPSIEFIVNKFQNVQLDEIELLVGKEHLRAATYKLSIKKSKKGKMERPKKSVKGKSALNDLCTKIYSKYYDVQEGYFTAKSNLAAYTQQLKVLEAKRRQRYRRQNRIDRAIDNILEQYKNKPDVTGLETLKKLGTQIKEKKEQLTLNSDEKVSKSKKMKDPTKADRIARRQMARQHEAEQESTAPTELIETLTEGPQFRIVDVILRKTNLLITRADMEANEDGPVDFKNTLTLSVETKALAEKNPDNLNGSSEGILSEITPVEGLDDLLEHIPDNHEPAIADQHDLLLTHTNIIEGISIYIVPKTLTVLDSYEDVNFKDQLEPVDCATQFFRRFKQYSQSLYENIISLHANDGLFMKNSAAHQLMKAISGLNRRQDTTLQGGASLDIIDFADPAVIAPNMYRYYLLNGIIKLLEDEGADPFKEHLSDKLVKAIFRMGYPIKDVSSVIASLFKDTVARMNRISSDLRTKVEEHALAQEPTVDYPLKSAEGTQSEMQNVWSKYIDVPDTKINLSKDDMIEKVVPALIQRLANQGRAGRNFSVVMKIVTPNGTVIEQIQDVKSKIEEQLATKFLRLLLYEERQNSAYNYLTFIVSDMFKTSKNQPMQFILKALYTPNTQLLYFLHQYAELKERKEKSLAKLGGKQKNIMMMDSLQEKNKKTLKKSHSVVDQLTIKNKVLLGIKIDMLNLDNELQQNSRILTIVEERMKMLDLEEQFDQDSQDLLHVFKRVVVMPPDEANILTKYDDRAKNARIFAQIKIIDNIHDRFYQLRDRYRKRAQHMRALSQIKVVEDKHSRLYALRDQYAKKQKYGRWQSQICTIAPIQKKLFNMRSRFEQRVLYGRCRAQLRTILGENALWLQERNYAATVGSISSQIEERSLQMSNQVFNPPEDWGGEQTELNHYYYYGGKVWHKVWKSNNDGVALKKKKAEALDSHYTEGCEPIVGYLGVDPSRLASLTKGVDGSEVAENIAFIGLAFKQAEQTDKNSQWIGGQIEDLWLVASEDQIEQAKERKARILTDQYHQAVEALVGELKAAPIQLAQLTKQADGEDLAENILGIALIREHEEQVVLNVHDVCAQIDNWTIFENNEAHAQAKLKMLEDLYRQCNEEEINTLGTVSSSLQKWVTNVDGSELARNILAVSSLMEVAVSLEPHTLNHEPSQRQLTALEKQYLDAAFPYVQPLQAEPNMLELVTKEAVGKQIAANILAVAALRRQYQQAASNAADVGSQLEEKQLPDTQGSQRKQQKDSLLQKLYWDSNQQQLGRLGLAPSQLARFLALADGQELALDINALASFTNVKNINGQIEAQTLLEEYVDRERESNETRNKANTMKDQKAQYLIDQYTSALPEELNVLMANPNKLAAFTDAPIRRDIAENIAIVAAHLAAEDVSLENALIGGIKSFAPMQIGYKELETKMNNIEEETFDVKGYLDQIQPALGLKFLYLSLAHICYICRPMNKRDYIDTTEPYFIKPLGIEDMANLWQIVNDTHRFHLGTAKYRTQERDYIKKLSVVKPSFFNGLKALYVGNRLGEELETTPPLDEGLCAEMWRKAEELHCLYEYAVNQRRREDMEEATLRENDLVSGYHPRAVGLGDVEDAASM
+>sp|B3KU38|IQIP1_HUMAN IQCJ-SCHIP1 readthrough transcript protein OS=Homo sapiens OX=9606 GN=IQCJ-SCHIP1 PE=1 SV=2
+MRLEELKRLQNPLEQVNDGKYSFENHQLAMDAENNIEKYPLNLQPLESKVKIIQRAWREYLQRQEPLGKRSPSPPSVSSEKLSSSVSMNTFSDSSTPDYREDGMDLGSDAGSSSSSSRASSQSNSTKVTPCSECKSSSSPGGSLDLVSALEDYEEPFPVYQKKVIDEWAPEEDGEEEEEEDERDQRGYRDDRSPAREPGDVSARTRSGGGGGRSATTAMPPPVPNGNLHQHDPQDLRHNGNVVVAGRPSCSRGPRRAIQKPQPAGGRRSGRGPAAGGLCLQPPDGGTCVPEEPPVPPMDWEALEKHLAGLQFREQEVRNQGQARTNSTSAQKNERESIRQKLALGSFFDDGPGIYTSCSKSGKPSLSSRLQSGMNLQICFVNDSGSDKDSDADDSKTETSLDTPLSPMSKQSSSYSDRDTTEEESESLDDMDFLTRQKKLQAEAKMALAMAKPMAKMQVEVEKQNRKKSPVADLLPHMPHISECLMKRSLKPTDLRDMTIGQLQVIVNDLHSQIESLNEELVQLLLIRDELHTEQDAMLVDIEDLTRHAESQQKHMAEKMPAK
+>DECOY_sp|B3KU38|IQIP1_HUMAN IQCJ-SCHIP1 readthrough transcript protein OS=Homo sapiens OX=9606 GN=IQCJ-SCHIP1 PE=1 SV=2
+KAPMKEAMHKQQSEAHRTLDEIDVLMADQETHLEDRILLLQVLEENLSEIQSHLDNVIVQLQGITMDRLDTPKLSRKMLCESIHPMHPLLDAVPSKKRNQKEVEVQMKAMPKAMALAMKAEAQLKKQRTLFDMDDLSESEEETTDRDSYSSSQKSMPSLPTDLSTETKSDDADSDKDSGSDNVFCIQLNMGSQLRSSLSPKGSKSCSTYIGPGDDFFSGLALKQRISERENKQASTSNTRAQGQNRVEQERFQLGALHKELAEWDMPPVPPEEPVCTGGDPPQLCLGGAAPGRGSRRGGAPQPKQIARRPGRSCSPRGAVVVNGNHRLDQPDHQHLNGNPVPPPMATTASRGGGGGSRTRASVDGPERAPSRDDRYGRQDREDEEEEEEGDEEPAWEDIVKKQYVPFPEEYDELASVLDLSGGPSSSSKCESCPTVKTSNSQSSARSSSSSSGADSGLDMGDERYDPTSSDSFTNMSVSSSLKESSVSPPSPSRKGLPEQRQLYERWARQIIKVKSELPQLNLPYKEINNEADMALQHNEFSYKGDNVQELPNQLRKLEELRM
+>sp|P10914|IRF1_HUMAN Interferon regulatory factor 1 OS=Homo sapiens OX=9606 GN=IRF1 PE=1 SV=2
+MPITRMRMRPWLEMQINSNQIPGLIWINKEEMIFQIPWKHAAKHGWDINKDACLFRSWAIHTGRYKAGEKEPDPKTWKANFRCAMNSLPDIEEVKDQSRNKGSSAVRVYRMLPPLTKNQRKERKSKSSRDAKSKAKRKSCGDSSPDTFSDGLSSSTLPDDHSSYTVPGYMQDLEVEQALTPALSPCAVSSTLPDWHIPVEVVPDSTSDLYNFQVSPMPSTSEATTDEDEEGKLPEDIMKLLEQSEWQPTNVDGKGYLLNEPGVQPTSVYGDFSCKEEPEIDSPGGDIGLSLQRVFTDLKNMDATWLDSLLTPVRLPSIQAIPCAP
+>DECOY_sp|P10914|IRF1_HUMAN Interferon regulatory factor 1 OS=Homo sapiens OX=9606 GN=IRF1 PE=1 SV=2
+PACPIAQISPLRVPTLLSDLWTADMNKLDTFVRQLSLGIDGGPSDIEPEEKCSFDGYVSTPQVGPENLLYGKGDVNTPQWESQELLKMIDEPLKGEEDEDTTAESTSPMPSVQFNYLDSTSDPVVEVPIHWDPLTSSVACPSLAPTLAQEVELDQMYGPVTYSSHDDPLTSSSLGDSFTDPSSDGCSKRKAKSKADRSSKSKREKRQNKTLPPLMRYVRVASSGKNRSQDKVEEIDPLSNMACRFNAKWTKPDPEKEGAKYRGTHIAWSRFLCADKNIDWGHKAAHKWPIQFIMEEKNIWILGPIQNSNIQMELWPRMRMRTIPM
+>sp|O14896|IRF6_HUMAN Interferon regulatory factor 6 OS=Homo sapiens OX=9606 GN=IRF6 PE=1 SV=1
+MALHPRRVRLKPWLVAQVDSGLYPGLIWLHRDSKRFQIPWKHATRHSPQQEEENTIFKAWAVETGKYQEGVDDPDPAKWKAQLRCALNKSREFNLMYDGTKEVPMNPVKIYQVCDIPQPQGSIINPGSTGSAPWDEKDNDVDEEDEEDELDQSQHHVPIQDTFPFLNINGSPMAPASVGNCSVGNCSPEAVWPKTEPLEMEVPQAPIQPFYSSPELWISSLPMTDLDIKFQYRGKEYGQTMTVSNPQGCRLFYGDLGPMPDQEELFGPVSLEQVKFPGPEHITNEKQKLFTSKLLDVMDRGLILEVSGHAIYAIRLCQCKVYWSGPCAPSLVAPNLIERQKKVKLFCLETFLSDLIAHQKGQIEKQPPFEIYLCFGEEWPDGKPLERKLILVQVIPVVARMIYEMFSGDFTRSFDSGSVRLQISTPDIKDNIVAQLKQLYRILQTQESWQPMQPTPSMQLPPALPPQ
+>DECOY_sp|O14896|IRF6_HUMAN Interferon regulatory factor 6 OS=Homo sapiens OX=9606 GN=IRF6 PE=1 SV=1
+QPPLAPPLQMSPTPQMPQWSEQTQLIRYLQKLQAVINDKIDPTSIQLRVSGSDFSRTFDGSFMEYIMRAVVPIVQVLILKRELPKGDPWEEGFCLYIEFPPQKEIQGKQHAILDSLFTELCFLKVKKQREILNPAVLSPACPGSWYVKCQCLRIAYIAHGSVELILGRDMVDLLKSTFLKQKENTIHEPGPFKVQELSVPGFLEEQDPMPGLDGYFLRCGQPNSVTMTQGYEKGRYQFKIDLDTMPLSSIWLEPSSYFPQIPAQPVEMELPETKPWVAEPSCNGVSCNGVSAPAMPSGNINLFPFTDQIPVHHQSQDLEDEEDEEDVDNDKEDWPASGTSGPNIISGQPQPIDCVQYIKVPNMPVEKTGDYMLNFERSKNLACRLQAKWKAPDPDDVGEQYKGTEVAWAKFITNEEEQQPSHRTAHKWPIQFRKSDRHLWILGPYLGSDVQAVLWPKLRVRRPHLAM
+>sp|Q9BUE6|ISCA1_HUMAN Iron-sulfur cluster assembly 1 homolog, mitochondrial OS=Homo sapiens OX=9606 GN=ISCA1 PE=1 SV=1
+MSASLVRATVRAVSKRKLQPTRAALTLTPSAVNKIKQLLKDKPEHVGVKVGVRTRGCNGLSYTLEYTKTKGDSDEEVIQDGVRVFIEKKAQLTLLGTEMDYVEDKLSSEFVFNNPNIKGTCGCGESFNI
+>DECOY_sp|Q9BUE6|ISCA1_HUMAN Iron-sulfur cluster assembly 1 homolog, mitochondrial OS=Homo sapiens OX=9606 GN=ISCA1 PE=1 SV=1
+INFSEGCGCTGKINPNNFVFESSLKDEVYDMETGLLTLQAKKEIFVRVGDQIVEEDSDGKTKTYELTYSLGNCGRTRVGVKVGVHEPKDKLLQKIKNVASPTLTLAARTPQLKRKSVARVTARVLSASM
+>sp|Q96AZ6|ISG20_HUMAN Interferon-stimulated gene 20 kDa protein OS=Homo sapiens OX=9606 GN=ISG20 PE=1 SV=2
+MAGSREVVAMDCEMVGLGPHRESGLARCSLVNVHGAVLYDKFIRPEGEITDYRTRVSGVTPQHMVGATPFAVARLEILQLLKGKLVVGHDLKHDFQALKEDMSGYTIYDTSTDRLLWREAKLDHCRRVSLRVLSERLLHKSIQNSLLGHSSVEDARATMELYQISQRIRARRGLPRLAVSD
+>DECOY_sp|Q96AZ6|ISG20_HUMAN Interferon-stimulated gene 20 kDa protein OS=Homo sapiens OX=9606 GN=ISG20 PE=1 SV=2
+DSVALRPLGRRARIRQSIQYLEMTARADEVSSHGLLSNQISKHLLRESLVRLSVRRCHDLKAERWLLRDTSTDYITYGSMDEKLAQFDHKLDHGVVLKGKLLQLIELRAVAFPTAGVMHQPTVGSVRTRYDTIEGEPRIFKDYLVAGHVNVLSCRALGSERHPGLGVMECDMAVVERSGAM
+>sp|P20155|ISK2_HUMAN Serine protease inhibitor Kazal-type 2 OS=Homo sapiens OX=9606 GN=SPINK2 PE=1 SV=2
+MALSVLRLALLLLAVTFAASLIPQFGLFSKYRTPNCSQYRLPGCPRHFNPVCGSDMSTYANECTLCMKIREGGHNIKIIRNGPC
+>DECOY_sp|P20155|ISK2_HUMAN Serine protease inhibitor Kazal-type 2 OS=Homo sapiens OX=9606 GN=SPINK2 PE=1 SV=2
+CPGNRIIKINHGGERIKMCLTCENAYTSMDSGCVPNFHRPCGPLRYQSCNPTRYKSFLGFQPILSAAFTVALLLLALRLVSLAM
+>sp|Q5DT21|ISK9_HUMAN Serine protease inhibitor Kazal-type 9 OS=Homo sapiens OX=9606 GN=SPINK9 PE=1 SV=1
+MRATAIVLLLALTLATMFSIECAKQTKQMVDCSHYKKLPPGQQRFCHHMYDPICGSDGKTYKNDCFFCSKVKKTDGTLKFVHFGKC
+>DECOY_sp|Q5DT21|ISK9_HUMAN Serine protease inhibitor Kazal-type 9 OS=Homo sapiens OX=9606 GN=SPINK9 PE=1 SV=1
+CKGFHVFKLTGDTKKVKSCFFCDNKYTKGDSGCIPDYMHHCFRQQGPPLKKYHSCDVMQKTQKACEISFMTALTLALLLVIATARM
+>sp|P61371|ISL1_HUMAN Insulin gene enhancer protein ISL-1 OS=Homo sapiens OX=9606 GN=ISL1 PE=1 SV=1
+MGDMGDPPKKKRLISLCVGCGNQIHDQYILRVSPDLEWHAACLKCAECNQYLDESCTCFVRDGKTYCKRDYIRLYGIKCAKCSIGFSKNDFVMRARSKVYHIECFRCVACSRQLIPGDEFALREDGLFCRADHDVVERASLGAGDPLSPLHPARPLQMAAEPISARQPALRPHVHKQPEKTTRVRTVLNEKQLHTLRTCYAANPRPDALMKEQLVEMTGLSPRVIRVWFQNKRCKDKKRSIMMKQLQQQQPNDKTNIQGMTGTPMVAASPERHDGGLQANPVEVQSYQPPWKVLSDFALQSDIDQPAFQQLVNFSEGGPGSNSTGSEVASMSSQLPDTPNSMVASPIEA
+>DECOY_sp|P61371|ISL1_HUMAN Insulin gene enhancer protein ISL-1 OS=Homo sapiens OX=9606 GN=ISL1 PE=1 SV=1
+AEIPSAVMSNPTDPLQSSMSAVESGTSNSGPGGESFNVLQQFAPQDIDSQLAFDSLVKWPPQYSQVEVPNAQLGGDHREPSAAVMPTGTMGQINTKDNPQQQQLQKMMISRKKDKCRKNQFWVRIVRPSLGTMEVLQEKMLADPRPNAAYCTRLTHLQKENLVTRVRTTKEPQKHVHPRLAPQRASIPEAAMQLPRAPHLPSLPDGAGLSAREVVDHDARCFLGDERLAFEDGPILQRSCAVCRFCEIHYVKSRARMVFDNKSFGISCKACKIGYLRIYDRKCYTKGDRVFCTCSEDLYQNCEACKLCAAHWELDPSVRLIYQDHIQNGCGVCLSILRKKKPPDGMDGM
+>sp|B1AKI9|ISM1_HUMAN Isthmin-1 OS=Homo sapiens OX=9606 GN=ISM1 PE=2 SV=2
+MVRLAAELLLLLGLLLLTLHITVLRGSGAADGPDAAAGNASQAQLQNNLNVGSDTTSETSFSLSKEAPREHLDHQAAHQPFPRPRFRQETGHPSLQRDFPRSFLLDLPNFPDLSKADINGQNPNIQVTIEVVDGPDSEADKDQHPENKPSWSVPSPDWRAWWQRSLSLARANSGDQDYKYDSTSDDSNFLNPPRGWDHTAPGHRTFETKDQPEYDSTDGEGDWSLWSVCSVTCGNGNQKRTRSCGYACTATESRTCDRPNCPGIEDTFRTAATEVSLLAGSEEFNATKLFEVDTDSCERWMSCKSEFLKKYMHKVMNDLPSCPCSYPTEVAYSTADIFDRIKRKDFRWKDASGPKEKLEIYKPTARYCIRSMLSLESTTLAAQHCCYGDNMQLITRGKGAGTPNLISTEFSAELHYKVDVLPWIICKGDWSRYNEARPPNNGQKCTESPSDEDYIKQFQEAREY
+>DECOY_sp|B1AKI9|ISM1_HUMAN Isthmin-1 OS=Homo sapiens OX=9606 GN=ISM1 PE=2 SV=2
+YERAEQFQKIYDEDSPSETCKQGNNPPRAENYRSWDGKCIIWPLVDVKYHLEASFETSILNPTGAGKGRTILQMNDGYCCHQAALTTSELSLMSRICYRATPKYIELKEKPGSADKWRFDKRKIRDFIDATSYAVETPYSCPCSPLDNMVKHMYKKLFESKCSMWRECSDTDVEFLKTANFEESGALLSVETAATRFTDEIGPCNPRDCTRSETATCAYGCSRTRKQNGNGCTVSCVSWLSWDGEGDTSDYEPQDKTEFTRHGPATHDWGRPPNLFNSDDSTSDYKYDQDGSNARALSLSRQWWARWDPSPVSWSPKNEPHQDKDAESDPGDVVEITVQINPNQGNIDAKSLDPFNPLDLLFSRPFDRQLSPHGTEQRFRPRPFPQHAAQHDLHERPAEKSLSFSTESTTDSGVNLNNQLQAQSANGAAADPGDAAGSGRLVTIHLTLLLLGLLLLLEAALRVM
+>sp|Q6H9L7|ISM2_HUMAN Isthmin-2 OS=Homo sapiens OX=9606 GN=ISM2 PE=2 SV=1
+MRALRDRAGLLLCVLLLAALLEAALGLPVKKPRLRGPRPGSLTRLAEVSASPDPRPLKEEEEAPLLPRTHLQAEPHQHGCWTVTEPAAMTPGNATPPRTPEVTPLRLELQKLPGLANTTLSTPNPDTQASASPDPRPLREEEEARLLPRTHLQAELHQHGCWTVTEPAALTPGNATPPRTQEVTPLLLELQKLPELVHATLSTPNPDNQVTIKVVEDPQAEVSIDLLAEPSNPPPQDTLSWLPALWSFLWGDYKGEEKDRAPGEKGEEKEEDEDYPSEDIEGEDQEDKEEDEEEQALWFNGTTDNWDQGWLAPGDWVFKDSVSYDYEPQKEWSPWSPCSGNCSTGKQQRTRPCGYGCTATETRTCDLPSCPGTEDKDTLGLPSEEWKLLARNATDMHDQDVDSCEKWLNCKSDFLIKYLSQMLRDLPSCPCAYPLEAMDSPVSLQDEHQGRSFRWRDASGPRERLDIYQPTARFCLRSMLSGESSTLAAQHCCYDEDSRLLTRGKGAGMPNLISTDFSPKLHFKFDTTPWILCKGDWSRLHAVLPPNNGRACTDNPLEEEYLAQLQEAKEY
+>DECOY_sp|Q6H9L7|ISM2_HUMAN Isthmin-2 OS=Homo sapiens OX=9606 GN=ISM2 PE=2 SV=1
+YEKAEQLQALYEEELPNDTCARGNNPPLVAHLRSWDGKCLIWPTTDFKFHLKPSFDTSILNPMGAGKGRTLLRSDEDYCCHQAALTSSEGSLMSRLCFRATPQYIDLRERPGSADRWRFSRGQHEDQLSVPSDMAELPYACPCSPLDRLMQSLYKILFDSKCNLWKECSDVDQDHMDTANRALLKWEESPLGLTDKDETGPCSPLDCTRTETATCGYGCPRTRQQKGTSCNGSCPSWPSWEKQPEYDYSVSDKFVWDGPALWGQDWNDTTGNFWLAQEEEDEEKDEQDEGEIDESPYDEDEEKEEGKEGPARDKEEGKYDGWLFSWLAPLWSLTDQPPPNSPEALLDISVEAQPDEVVKITVQNDPNPTSLTAHVLEPLKQLELLLPTVEQTRPPTANGPTLAAPETVTWCGHQHLEAQLHTRPLLRAEEEERLPRPDPSASAQTDPNPTSLTTNALGPLKQLELRLPTVEPTRPPTANGPTMAAPETVTWCGHQHPEAQLHTRPLLPAEEEEKLPRPDPSASVEALRTLSGPRPGRLRPKKVPLGLAAELLAALLLVCLLLGARDRLARM
+>sp|Q96CN7|ISOC1_HUMAN Isochorismatase domain-containing protein 1 OS=Homo sapiens OX=9606 GN=ISOC1 PE=1 SV=3
+MAAAEPAVLALPNSGAGGAGAPSGTVPVLFCFSVFARPSSVPHGAGYELLIQKFLSLYGDQIDMHRKFVVQLFAEEWGQYVDLPKGFAVSERCKVRLVPLQIQLTTLGNLTPSSTVFFCCDMQERFRPAIKYFGDIISVGQRLLQGARILGIPVIVTEQYPKGLGSTVQEIDLTGVKLVLPKTKFSMVLPEVEAALAEIPGVRSVVLFGVETHVCIQQTALELVGRGVEVHIVADATSSRSMMDRMFALERLARTGIIVTTSEAVLLQLVADKDHPKFKEIQNLIKASAPESGLLSKV
+>DECOY_sp|Q96CN7|ISOC1_HUMAN Isochorismatase domain-containing protein 1 OS=Homo sapiens OX=9606 GN=ISOC1 PE=1 SV=3
+VKSLLGSEPASAKILNQIEKFKPHDKDAVLQLLVAESTTVIIGTRALRELAFMRDMMSRSSTADAVIHVEVGRGVLELATQQICVHTEVGFLVVSRVGPIEALAAEVEPLVMSFKTKPLVLKVGTLDIEQVTSGLGKPYQETVIVPIGLIRAGQLLRQGVSIIDGFYKIAPRFREQMDCCFFVTSSPTLNGLTTLQIQLPVLRVKCRESVAFGKPLDVYQGWEEAFLQVVFKRHMDIQDGYLSLFKQILLEYGAGHPVSSPRAFVSFCFLVPVTGSPAGAGGAGSNPLALVAPEAAAM
+>sp|A4D126|ISPD_HUMAN D-ribitol-5-phosphate cytidylyltransferase OS=Homo sapiens OX=9606 GN=ISPD PE=1 SV=2
+MEAGPPGSARPAEPGPCLSGQRGADHTASASLQSVAGTEPGRHPQAVAAVLPAGGCGERMGVPTPKQFCPILERPLISYTLQALERVCWIKDIVVAVTGENMEVMKSIIQKYQHKRISLVEAGVTRHRSIFNGLKALAEDQINSKLSKPEVVIIHDAVRPFVEEGVLLKVVTAAKEHGAAGAIRPLVSTVVSPSADGCLDYSLERARHRASEMPQAFLFDVIYEAYQQCSDYDLEFGTECLQLALKYCCTKAKLVEGSPDLWKVTYKRDLYAAESIIKERISQEICVVMDTEEDNKHVGHLLEEVLKSELNHVKVTSEALGHAGRHLQQIILDQCYNFVCVNVTTSDFQETQKLLSMLEESSLCILYPVVVVSVHFLDFKLVPPSQKMENLMQIREFAKEVKERNILLYGLLISYPQDDQKLQESLRQGAIIIASLIKERNSGLIGQLLIA
+>DECOY_sp|A4D126|ISPD_HUMAN D-ribitol-5-phosphate cytidylyltransferase OS=Homo sapiens OX=9606 GN=ISPD PE=1 SV=2
+AILLQGILGSNREKILSAIIIAGQRLSEQLKQDDQPYSILLGYLLINREKVEKAFERIQMLNEMKQSPPVLKFDLFHVSVVVVPYLICLSSEELMSLLKQTEQFDSTTVNVCVFNYCQDLIIQQLHRGAHGLAESTVKVHNLESKLVEELLHGVHKNDEETDMVVCIEQSIREKIISEAAYLDRKYTVKWLDPSGEVLKAKTCCYKLALQLCETGFELDYDSCQQYAEYIVDFLFAQPMESARHRARELSYDLCGDASPSVVTSVLPRIAGAAGHEKAATVVKLLVGEEVFPRVADHIIVVEPKSLKSNIQDEALAKLGNFISRHRTVGAEVLSIRKHQYKQIISKMVEMNEGTVAVVIDKIWCVRELAQLTYSILPRELIPCFQKPTPVGMREGCGGAPLVAAVAQPHRGPETGAVSQLSASATHDAGRQGSLCPGPEAPRASGPPGAEM
+>sp|Q9Y616|IRAK3_HUMAN Interleukin-1 receptor-associated kinase 3 OS=Homo sapiens OX=9606 GN=IRAK3 PE=1 SV=2
+MAGNCGARGALSAHTLLFDLPPALLGELCAVLDSCDGALGWRGLAERLSSSWLDVRHIEKYVDQGKSGTRELLWSWAQKNKTIGDLLQVLQEMGHRRAIHLITNYGAVLSPSEKSYQEGGFPNILFKETANVTVDNVLIPEHNEKGILLKSSISFQNIIEGTRNFHKDFLIGEGEIFEVYRVEIQNLTYAVKLFKQEKKMQCKKHWKRFLSELEVLLLFHHPNILELAAYFTETEKFCLIYPYMRNGTLFDRLQCVGDTAPLPWHIRIGILIGISKAIHYLHNVQPCSVICGSISSANILLDDQFQPKLTDFAMAHFRSHLEHQSCTINMTSSSSKHLWYMPEEYIRQGKLSIKTDVYSFGIVIMEVLTGCRVVLDDPKHIQLRDLLRELMEKRGLDSCLSFLDKKVPPCPRNFSAKLFCLAGRCAATRAKLRPSMDEVLNTLESTQASLYFAEDPPTSLKSFRCPSPLFLENVPSIPVEDDESQNNNLLPSDEGLRIDRMTQKTPFECSQSEVMFLSLDKKPESKRNEEACNMPSSSCEESWFPKYIVPSQDLRPYKVNIDPSSEAPGHSCRSRPVESSCSSKFSWDEYEQYKKE
+>DECOY_sp|Q9Y616|IRAK3_HUMAN Interleukin-1 receptor-associated kinase 3 OS=Homo sapiens OX=9606 GN=IRAK3 PE=1 SV=2
+EKKYQEYEDWSFKSSCSSEVPRSRCSHGPAESSPDINVKYPRLDQSPVIYKPFWSEECSSSPMNCAEENRKSEPKKDLSLFMVESQSCEFPTKQTMRDIRLGEDSPLLNNNQSEDDEVPISPVNELFLPSPCRFSKLSTPPDEAFYLSAQTSELTNLVEDMSPRLKARTAACRGALCFLKASFNRPCPPVKKDLFSLCSDLGRKEMLERLLDRLQIHKPDDLVVRCGTLVEMIVIGFSYVDTKISLKGQRIYEEPMYWLHKSSSSTMNITCSQHELHSRFHAMAFDTLKPQFQDDLLINASSISGCIVSCPQVNHLYHIAKSIGILIGIRIHWPLPATDGVCQLRDFLTGNRMYPYILCFKETETFYAALELINPHHFLLLVELESLFRKWHKKCQMKKEQKFLKVAYTLNQIEVRYVEFIEGEGILFDKHFNRTGEIINQFSISSKLLIGKENHEPILVNDVTVNATEKFLINPFGGEQYSKESPSLVAGYNTILHIARRHGMEQLVQLLDGITKNKQAWSWLLERTGSKGQDVYKEIHRVDLWSSSLREALGRWGLAGDCSDLVACLEGLLAPPLDFLLTHASLAGRAGCNGAM
+>sp|Q9H1K1|ISCU_HUMAN Iron-sulfur cluster assembly enzyme ISCU, mitochondrial OS=Homo sapiens OX=9606 GN=ISCU PE=1 SV=2
+MAAAGAFRLRRAASALLLRSPRLPARELSAPARLYHKKVVDHYENPRNVGSLDKTSKNVGTGLVGAPACGDVMKLQIQVDEKGKIVDARFKTFGCGSAIASSSLATEWVKGKTVEEALTIKNTDIAKELCLPPVKLHCSMLAEDAIKAALADYKLKQEPKKGEAEKK
+>DECOY_sp|Q9H1K1|ISCU_HUMAN Iron-sulfur cluster assembly enzyme ISCU, mitochondrial OS=Homo sapiens OX=9606 GN=ISCU PE=1 SV=2
+KKEAEGKKPEQKLKYDALAAKIADEALMSCHLKVPPLCLEKAIDTNKITLAEEVTKGKVWETALSSSAIASGCGFTKFRADVIKGKEDVQIQLKMVDGCAPAGVLGTGVNKSTKDLSGVNRPNEYHDVVKKHYLRAPASLERAPLRPSRLLLASAARRLRFAGAAAM
+>sp|Q1W4C9|ISK13_HUMAN Serine protease inhibitor Kazal-type 13 OS=Homo sapiens OX=9606 GN=SPINK13 PE=3 SV=1
+MAAFPHKIIFFLVCSTLTHVAFSGIFNKRDFTRWPKPRCKMYIPLDPDYNADCPNVTAPVCASNGHTFQNECFFCVEQREFHYRIKFEKYGKCD
+>DECOY_sp|Q1W4C9|ISK13_HUMAN Serine protease inhibitor Kazal-type 13 OS=Homo sapiens OX=9606 GN=SPINK13 PE=3 SV=1
+DCKGYKEFKIRYHFERQEVCFFCENQFTHGNSACVPATVNPCDANYDPDLPIYMKCRPKPWRTFDRKNFIGSFAVHTLTSCVLFFIIKHPFAAM
+>sp|Q9NQ38|ISK5_HUMAN Serine protease inhibitor Kazal-type 5 OS=Homo sapiens OX=9606 GN=SPINK5 PE=1 SV=2
+MKIATVSVLLPLALCLIQDAASKNEDQEMCHEFQAFMKNGKLFCPQDKKFFQSLDGIMFINKCATCKMILEKEAKSQKRARHLARAPKATAPTELNCDDFKKGERDGDFICPDYYEAVCGTDGKTYDNRCALCAENAKTGSQIGVKSEGECKSSNPEQDVCSAFRPFVRDGRLGCTRENDPVLGPDGKTHGNKCAMCAELFLKEAENAKREGETRIRRNAEKDFCKEYEKQVRNGRLFCTRESDPVRGPDGRMHGNKCALCAEIFKQRFSEENSKTDQNLGKAEEKTKVKREIVKLCSQYQNQAKNGILFCTRENDPIRGPDGKMHGNLCSMCQAYFQAENEEKKKAEARARNKRESGKATSYAELCSEYRKLVRNGKLACTRENDPIQGPDGKVHGNTCSMCEVFFQAEEEEKKKKEGKSRNKRQSKSTASFEELCSEYRKSRKNGRLFCTRENDPIQGPDGKMHGNTCSMCEAFFQQEERARAKAKREAAKEICSEFRDQVRNGTLICTREHNPVRGPDGKMHGNKCAMCASVFKLEEEEKKNDKEEKGKVEAEKVKREAVQELCSEYRHYVRNGRLPCTRENDPIEGLDGKIHGNTCSMCEAFFQQEAKEKERAEPRAKVKREAEKETCDEFRRLLQNGKLFCTRENDPVRGPDGKTHGNKCAMCKAVFQKENEERKRKEEEDQRNAAGHGSSGGGGGNTQDECAEYREQMKNGRLSCTRESDPVRDADGKSYNNQCTMCKAKLEREAERKNEYSRSRSNGTGSESGKDTCDEFRSQMKNGKLICTRESDPVRGPDGKTHGNKCTMCKEKLEREAAEKKKKEDEDRSNTGERSNTGERSNDKEDLCREFRSMQRNGKLICTRENNPVRGPYGKMHINKCAMCQSIFDREANERKKKDEEKSSSKPSNNAKDECSEFRNYIRNNELICPRENDPVHGADGKFYTNKCYMCRAVFLTEALERAKLQEKPSHVRASQEEDSPDSFSSLDSEMCKDYRVLPRIGYLCPKDLKPVCGDDGQTYNNPCMLCHENLIRQTNTHIRSTGKCEESSTPGTTAASMPPSDE
+>DECOY_sp|Q9NQ38|ISK5_HUMAN Serine protease inhibitor Kazal-type 5 OS=Homo sapiens OX=9606 GN=SPINK5 PE=1 SV=2
+EDSPPMSAATTGPTSSEECKGTSRIHTNTQRILNEHCLMCPNNYTQGDDGCVPKLDKPCLYGIRPLVRYDKCMESDLSSFSDPSDEEQSARVHSPKEQLKARELAETLFVARCMYCKNTYFKGDAGHVPDNERPCILENNRIYNRFESCEDKANNSPKSSSKEEDKKKRENAERDFISQCMACKNIHMKGYPGRVPNNERTCILKGNRQMSRFERCLDEKDNSREGTNSREGTNSRDEDEKKKKEAAERELKEKCMTCKNGHTKGDPGRVPDSERTCILKGNKMQSRFEDCTDKGSESGTGNSRSRSYENKREAERELKAKCMTCQNNYSKGDADRVPDSERTCSLRGNKMQERYEACEDQTNGGGGGSSGHGAANRQDEEEKRKREENEKQFVAKCMACKNGHTKGDPGRVPDNERTCFLKGNQLLRRFEDCTEKEAERKVKARPEAREKEKAEQQFFAECMSCTNGHIKGDLGEIPDNERTCPLRGNRVYHRYESCLEQVAERKVKEAEVKGKEEKDNKKEEEELKFVSACMACKNGHMKGDPGRVPNHERTCILTGNRVQDRFESCIEKAAERKAKARAREEQQFFAECMSCTNGHMKGDPGQIPDNERTCFLRGNKRSKRYESCLEEFSATSKSQRKNRSKGEKKKKEEEEAQFFVECMSCTNGHVKGDPGQIPDNERTCALKGNRVLKRYESCLEAYSTAKGSERKNRARAEAKKKEENEAQFYAQCMSCLNGHMKGDPGRIPDNERTCFLIGNKAQNQYQSCLKVIERKVKTKEEAKGLNQDTKSNEESFRQKFIEACLACKNGHMRGDPGRVPDSERTCFLRGNRVQKEYEKCFDKEANRRIRTEGERKANEAEKLFLEACMACKNGHTKGDPGLVPDNERTCGLRGDRVFPRFASCVDQEPNSSKCEGESKVGIQSGTKANEACLACRNDYTKGDTGCVAEYYDPCIFDGDREGKKFDDCNLETPATAKPARALHRARKQSKAEKELIMKCTACKNIFMIGDLSQFFKKDQPCFLKGNKMFAQFEHCMEQDENKSAADQILCLALPLLVSVTAIKM
+>sp|P08514|ITA2B_HUMAN Integrin alpha-IIb OS=Homo sapiens OX=9606 GN=ITGA2B PE=1 SV=3
+MARALCPLQALWLLEWVLLLLGPCAAPPAWALNLDPVQLTFYAGPNGSQFGFSLDFHKDSHGRVAIVVGAPRTLGPSQEETGGVFLCPWRAEGGQCPSLLFDLRDETRNVGSQTLQTFKARQGLGASVVSWSDVIVACAPWQHWNVLEKTEEAEKTPVGSCFLAQPESGRRAEYSPCRGNTLSRIYVENDFSWDKRYCEAGFSSVVTQAGELVLGAPGGYYFLGLLAQAPVADIFSSYRPGILLWHVSSQSLSFDSSNPEYFDGYWGYSVAVGEFDGDLNTTEYVVGAPTWSWTLGAVEILDSYYQRLHRLRGEQMASYFGHSVAVTDVNGDGRHDLLVGAPLYMESRADRKLAEVGRVYLFLQPRGPHALGAPSLLLTGTQLYGRFGSAIAPLGDLDRDGYNDIAVAAPYGGPSGRGQVLVFLGQSEGLRSRPSQVLDSPFPTGSAFGFSLRGAVDIDDNGYPDLIVGAYGANQVAVYRAQPVVKASVQLLVQDSLNPAVKSCVLPQTKTPVSCFNIQMCVGATGHNIPQKLSLNAELQLDRQKPRQGRRVLLLGSQQAGTTLNLDLGGKHSPICHTTMAFLRDEADFRDKLSPIVLSLNVSLPPTEAGMAPAVVLHGDTHVQEQTRIVLDCGEDDVCVPQLQLTASVTGSPLLVGADNVLELQMDAANEGEGAYEAELAVHLPQGAHYMRALSNVEGFERLICNQKKENETRVVLCELGNPMKKNAQIGIAMLVSVGNLEEAGESVSFQLQIRSKNSQNPNSKIVLLDVPVRAEAQVELRGNSFPASLVVAAEEGEREQNSLDSWGPKVEHTYELHNNGPGTVNGLHLSIHLPGQSQPSDLLYILDIQPQGGLQCFPQPPVNPLKVDWGLPIPSPSPIHPAHHKRDRRQIFLPEPEQPSRLQDPVLVSCDSAPCTVVQCDLQEMARGQRAMVTVLAFLWLPSLYQRPLDQFVLQSHAWFNVSSLPYAVPPLSLPRGEAQVWTQLLRALEERAIPIWWVLVGVLGGLLLLTILVLAMWKVGFFKRNRPPLEEDDEEGE
+>DECOY_sp|P08514|ITA2B_HUMAN Integrin alpha-IIb OS=Homo sapiens OX=9606 GN=ITGA2B PE=1 SV=3
+EGEEDDEELPPRNRKFFGVKWMALVLITLLLLGGLVGVLVWWIPIAREELARLLQTWVQAEGRPLSLPPVAYPLSSVNFWAHSQLVFQDLPRQYLSPLWLFALVTVMARQGRAMEQLDCQVVTCPASDCSVLVPDQLRSPQEPEPLFIQRRDRKHHAPHIPSPSPIPLGWDVKLPNVPPQPFCQLGGQPQIDLIYLLDSPQSQGPLHISLHLGNVTGPGNNHLEYTHEVKPGWSDLSNQEREGEEAAVVLSAPFSNGRLEVQAEARVPVDLLVIKSNPNQSNKSRIQLQFSVSEGAEELNGVSVLMAIGIQANKKMPNGLECLVVRTENEKKQNCILREFGEVNSLARMYHAGQPLHVALEAEYAGEGENAADMQLELVNDAGVLLPSGTVSATLQLQPVCVDDEGCDLVIRTQEQVHTDGHLVVAPAMGAETPPLSVNLSLVIPSLKDRFDAEDRLFAMTTHCIPSHKGGLDLNLTTGAQQSGLLLVRRGQRPKQRDLQLEANLSLKQPINHGTAGVCMQINFCSVPTKTQPLVCSKVAPNLSDQVLLQVSAKVVPQARYVAVQNAGYAGVILDPYGNDDIDVAGRLSFGFASGTPFPSDLVQSPRSRLGESQGLFVLVQGRGSPGGYPAAVAIDNYGDRDLDGLPAIASGFRGYLQTGTLLLSPAGLAHPGRPQLFLYVRGVEALKRDARSEMYLPAGVLLDHRGDGNVDTVAVSHGFYSAMQEGRLRHLRQYYSDLIEVAGLTWSWTPAGVVYETTNLDGDFEGVAVSYGWYGDFYEPNSSDFSLSQSSVHWLLIGPRYSSFIDAVPAQALLGLFYYGGPAGLVLEGAQTVVSSFGAECYRKDWSFDNEVYIRSLTNGRCPSYEARRGSEPQALFCSGVPTKEAEETKELVNWHQWPACAVIVDSWSVVSAGLGQRAKFTQLTQSGVNRTEDRLDFLLSPCQGGEARWPCLFVGGTEEQSPGLTRPAGVVIAVRGHSDKHFDLSFGFQSGNPGAYFTLQVPDLNLAWAPPAACPGLLLLVWELLWLAQLPCLARAM
+>sp|P08648|ITA5_HUMAN Integrin alpha-5 OS=Homo sapiens OX=9606 GN=ITGA5 PE=1 SV=2
+MGSRTPESPLHAVQLRWGPRRRPPLLPLLLLLLPPPPRVGGFNLDAEAPAVLSGPPGSFFGFSVEFYRPGTDGVSVLVGAPKANTSQPGVLQGGAVYLCPWGASPTQCTPIEFDSKGSRLLESSLSSSEGEEPVEYKSLQWFGATVRAHGSSILACAPLYSWRTEKEPLSDPVGTCYLSTDNFTRILEYAPCRSDFSWAAGQGYCQGGFSAEFTKTGRVVLGGPGSYFWQGQILSATQEQIAESYYPEYLINLVQGQLQTRQASSIYDDSYLGYSVAVGEFSGDDTEDFVAGVPKGNLTYGYVTILNGSDIRSLYNFSGEQMASYFGYAVAATDVNGDGLDDLLVGAPLLMDRTPDGRPQEVGRVYVYLQHPAGIEPTPTLTLTGHDEFGRFGSSLTPLGDLDQDGYNDVAIGAPFGGETQQGVVFVFPGGPGGLGSKPSQVLQPLWAASHTPDFFGSALRGGRDLDGNGYPDLIVGSFGVDKAVVYRGRPIVSASASLTIFPAMFNPEERSCSLEGNPVACINLSFCLNASGKHVADSIGFTVELQLDWQKQKGGVRRALFLASRQATLTQTLLIQNGAREDCREMKIYLRNESEFRDKLSPIHIALNFSLDPQAPVDSHGLRPALHYQSKSRIEDKAQILLDCGEDNICVPDLQLEVFGEQNHVYLGDKNALNLTFHAQNVGEGGAYEAELRVTAPPEAEYSGLVRHPGNFSSLSCDYFAVNQSRLLVCDLGNPMKAGASLWGGLRFTVPHLRDTKKTIQFDFQILSKNLNNSQSDVVSFRLSVEAQAQVTLNGVSKPEAVLFPVSDWHPRDQPQKEEDLGPAVHHVYELINQGPSSISQGVLELSCPQALEGQQLLYVTRVTGLNCTTNHPINPKGLELDPEGSLHHQQKREAPSRSSASSGPQILKCPEAECFRLRCELGPLHQQESQSLQLHFRVWAKTFLQREHQPFSLQCEAVYKALKMPYRILPRQLPQKERQVATAVQWTKAEGSYGVPLWIIILAILFGLLLLGLLIYILYKLGFFKRSLPYGTAMEKAQLKPPATSDA
+>DECOY_sp|P08648|ITA5_HUMAN Integrin alpha-5 OS=Homo sapiens OX=9606 GN=ITGA5 PE=1 SV=2
+ADSTAPPKLQAKEMATGYPLSRKFFGLKYLIYILLGLLLLGFLIALIIIWLPVGYSGEAKTWQVATAVQREKQPLQRPLIRYPMKLAKYVAECQLSFPQHERQLFTKAWVRFHLQLSQSEQQHLPGLECRLRFCEAEPCKLIQPGSSASSRSPAERKQQHHLSGEPDLELGKPNIPHNTTCNLGTVRTVYLLQQGELAQPCSLELVGQSISSPGQNILEYVHHVAPGLDEEKQPQDRPHWDSVPFLVAEPKSVGNLTVQAQAEVSLRFSVVDSQSNNLNKSLIQFDFQITKKTDRLHPVTFRLGGWLSAGAKMPNGLDCVLLRSQNVAFYDCSLSSFNGPHRVLGSYEAEPPATVRLEAEYAGGEGVNQAHFTLNLANKDGLYVHNQEGFVELQLDPVCINDEGCDLLIQAKDEIRSKSQYHLAPRLGHSDVPAQPDLSFNLAIHIPSLKDRFESENRLYIKMERCDERAGNQILLTQTLTAQRSALFLARRVGGKQKQWDLQLEVTFGISDAVHKGSANLCFSLNICAVPNGELSCSREEPNFMAPFITLSASASVIPRGRYVVAKDVGFSGVILDPYGNGDLDRGGRLASGFFDPTHSAAWLPQLVQSPKSGLGGPGGPFVFVVGQQTEGGFPAGIAVDNYGDQDLDGLPTLSSGFRGFEDHGTLTLTPTPEIGAPHQLYVYVRGVEQPRGDPTRDMLLPAGVLLDDLGDGNVDTAAVAYGFYSAMQEGSFNYLSRIDSGNLITVYGYTLNGKPVGAVFDETDDGSFEGVAVSYGLYSDDYISSAQRTQLQGQVLNILYEPYYSEAIQEQTASLIQGQWFYSGPGGLVVRGTKTFEASFGGQCYGQGAAWSFDSRCPAYELIRTFNDTSLYCTGVPDSLPEKETRWSYLPACALISSGHARVTAGFWQLSKYEVPEEGESSSLSSELLRSGKSDFEIPTCQTPSAGWPCLYVAGGQLVGPQSTNAKPAGVLVSVGDTGPRYFEVSFGFFSGPPGSLVAPAEADLNFGGVRPPPPLLLLLLPLLPPRRRPGWRLQVAHLPSEPTRSGM
+>sp|Q13683|ITA7_HUMAN Integrin alpha-7 OS=Homo sapiens OX=9606 GN=ITGA7 PE=1 SV=3
+MAGARSRDPWGASGICYLFGSLLVELLFSRAVAFNLDVMGALRKEGEPGSLFGFSVALHRQLQPRPQSWLLVGAPQALALPGQQANRTGGLFACPLSLEETDCYRVDIDQGADMQKESKENQWLGVSVRSQGPGGKIVTCAHRYEARQRVDQILETRDMIGRCFVLSQDLAIRDELDGGEWKFCEGRPQGHEQFGFCQQGTAAAFSPDSHYLLFGAPGTYNWKGTARVELCAQGSADLAHLDDGPYEAGGEKEQDPRLIPVPANSYFGLLFVTNIDSSDPDQLVYKTLDPADRLPGPAGDLALNSYLGFSIDSGKGLVRAEELSFVAGAPRANHKGAVVILRKDSASRLVPEVMLSGERLTSGFGYSLAVADLNSDGWPDLIVGAPYFFERQEELGGAVYVYLNQGGHWAGISPLRLCGSPDSMFGISLAVLGDLNQDGFPDIAVGAPFDGDGKVFIYHGSSLGVVAKPSQVLEGEAVGIKSFGYSLSGSLDMDGNQYPDLLVGSLADTAVLFRARPILHVSHEVSIAPRSIDLEQPNCAGGHSVCVDLRVCFSYIAVPSSYSPTVALDYVLDADTDRRLRGQVPRVTFLSRNLEEPKHQASGTVWLKHQHDRVCGDAMFQLQENVKDKLRAIVVTLSYSLQTPRLRRQAPGQGLPPVAPILNAHQPSTQRAEIHFLKQGCGEDKICQSNLQLVRARFCTRVSDTEFQPLPMDVDGTTALFALSGQPVIGLELMVTNLPSDPAQPQADGDDAHEAQLLVMLPDSLHYSGVRALDPAEKPLCLSNENASHVECELGNPMKRGAQVTFYLILSTSGISIETTELEVELLLATISEQELHPVSARARVFIELPLSIAGMAIPQQLFFSGVVRGERAMQSERDVGSKVKYEVTVSNQGQSLRTLGSAFLNIMWPHEIANGKWLLYPMQVELEGGQGPGQKGLCSPRPNILHLDVDSRDRRRRELEPPEQQEPGERQEPSMSWWPVSSAEKKKNITLDCARGTANCVVFSCPLYSFDRAAVLHVWGRLWNSTFLEEYSAVKSLEVIVRANITVKSSIKNLMLRDASTVIPVMVYLDPMAVVAEGVPWWVILLAVLAGLLVLALLVLLLWKMGFFKRAKHPEATVPQYHAVKIPREDRQQFKEEKTGTILRNNWGSPRREGPDAHPILAADGHPELGPDGHPGPGTA
+>DECOY_sp|Q13683|ITA7_HUMAN Integrin alpha-7 OS=Homo sapiens OX=9606 GN=ITGA7 PE=1 SV=3
+ATGPGPHGDPGLEPHGDAALIPHADPGERRPSGWNNRLITGTKEEKFQQRDERPIKVAHYQPVTAEPHKARKFFGMKWLLLVLLALVLLGALVALLIVWWPVGEAVVAMPDLYVMVPIVTSADRLMLNKISSKVTINARVIVELSKVASYEELFTSNWLRGWVHLVAARDFSYLPCSFVVCNATGRACDLTINKKKEASSVPWWSMSPEQREGPEQQEPPELERRRRDRSDVDLHLINPRPSCLGKQGPGQGGELEVQMPYLLWKGNAIEHPWMINLFASGLTRLSQGQNSVTVEYKVKSGVDRESQMAREGRVVGSFFLQQPIAMGAISLPLEIFVRARASVPHLEQESITALLLEVELETTEISIGSTSLILYFTVQAGRKMPNGLECEVHSANENSLCLPKEAPDLARVGSYHLSDPLMVLLQAEHADDGDAQPQAPDSPLNTVMLELGIVPQGSLAFLATTGDVDMPLPQFETDSVRTCFRARVLQLNSQCIKDEGCGQKLFHIEARQTSPQHANLIPAVPPLGQGPAQRRLRPTQLSYSLTVVIARLKDKVNEQLQFMADGCVRDHQHKLWVTGSAQHKPEELNRSLFTVRPVQGRLRRDTDADLVYDLAVTPSYSSPVAIYSFCVRLDVCVSHGGACNPQELDISRPAISVEHSVHLIPRARFLVATDALSGVLLDPYQNGDMDLSGSLSYGFSKIGVAEGELVQSPKAVVGLSSGHYIFVKGDGDFPAGVAIDPFGDQNLDGLVALSIGFMSDPSGCLRLPSIGAWHGGQNLYVYVAGGLEEQREFFYPAGVILDPWGDSNLDAVALSYGFGSTLREGSLMVEPVLRSASDKRLIVVAGKHNARPAGAVFSLEEARVLGKGSDISFGLYSNLALDGAPGPLRDAPDLTKYVLQDPDSSDINTVFLLGFYSNAPVPILRPDQEKEGGAEYPGDDLHALDASGQACLEVRATGKWNYTGPAGFLLYHSDPSFAAATGQQCFGFQEHGQPRGECFKWEGGDLEDRIALDQSLVFCRGIMDRTELIQDVRQRAEYRHACTVIKGGPGQSRVSVGLWQNEKSEKQMDAGQDIDVRYCDTEELSLPCAFLGGTRNAQQGPLALAQPAGVLLWSQPRPQLQRHLAVSFGFLSGPEGEKRLAGMVDLNFAVARSFLLEVLLSGFLYCIGSAGWPDRSRAGAM
+>sp|Q13349|ITAD_HUMAN Integrin alpha-D OS=Homo sapiens OX=9606 GN=ITGAD PE=1 SV=2
+MTFGTVLLLSVLASYHGFNLDVEEPTIFQEDAGGFGQSVVQFGGSRLVVGAPLEVVAANQTGRLYDCAAATGMCQPIPLHIRPEAVNMSLGLTLAASTNGSRLLACGPTLHRVCGENSYSKGSCLLLGSRWEIIQTVPDATPECPHQEMDIVFLIDGSGSIDQNDFNQMKGFVQAVMGQFEGTDTLFALMQYSNLLKIHFTFTQFRTSPSQQSLVDPIVQLKGLTFTATGILTVVTQLFHHKNGARKSAKKILIVITDGQKYKDPLEYSDVIPQAEKAGIIRYAIGVGHAFQGPTARQELNTISSAPPQDHVFKVDNFAALGSIQKQLQEKIYAVEGTQSRASSSFQHEMSQEGFSTALTMDGLFLGAVGSFSWSGGAFLYPPNMSPTFINMSQENVDMRDSYLGYSTELALWKGVQNLVLGAPRYQHTGKAVIFTQVSRQWRKKAEVTGTQIGSYFGASLCSVDVDSDGSTDLILIGAPHYYEQTRGGQVSVCPLPRGRVQWQCDAVLRGEQGHPWGRFGAALTVLGDVNEDKLIDVAIGAPGEQENRGAVYLFHGASESGISPSHSQRIASSQLSPRLQYFGQALSGGQDLTQDGLMDLAVGARGQVLLLRSLPVLKVGVAMRFSPVEVAKAVYRCWEEKPSALEAGDATVCLTIQKSSLDQLGDIQSSVRFDLALDPGRLTSRAIFNETKNPTLTRRKTLGLGIHCETLKLLLPDCVEDVVSPIILHLNFSLVREPIPSPQNLRPVLAVGSQDLFTASLPFEKNCGQDGLCEGDLGVTLSFSGLQTLTVGSSLELNVIVTVWNAGEDSYGTVVSLYYPAGLSHRRVSGAQKQPHQSALRLACETVPTEDEGLRSSRCSVNHPIFHEGSNGTFIVTFDVSYKATLGDRMLMRASASSENNKASSSKATFQLELPVKYAVYTMISRQEESTKYFNFATSDEKKMKEAEHRYRVNNLSQRDLAISINFWVPVLLNGVAVWDVVMEAPSQSLPCVSERKPPQHSDFLTQISRSPMLDCSIADCLQFRCDVPSFSVQEELDFTLKGNLSFGWVRETLQKKVLVVSVAEITFDTSVYSQLPGQEAFMRAQMEMVLEEDEVYNAIPIIMGSSVGALLLLALITATLYKLGFFKRHYKEMLEDKPEDTATFSGDDFSCVAPNVPLS
+>DECOY_sp|Q13349|ITAD_HUMAN Integrin alpha-D OS=Homo sapiens OX=9606 GN=ITGAD PE=1 SV=2
+SLPVNPAVCSFDDGSFTATDEPKDELMEKYHRKFFGLKYLTATILALLLLAGVSSGMIIPIANYVEDEELVMEMQARMFAEQGPLQSYVSTDFTIEAVSVVLVKKQLTERVWGFSLNGKLTFDLEEQVSFSPVDCRFQLCDAISCDLMPSRSIQTLFDSHQPPKRESVCPLSQSPAEMVVDWVAVGNLLVPVWFNISIALDRQSLNNVRYRHEAEKMKKEDSTAFNFYKTSEEQRSIMTYVAYKVPLELQFTAKSSSAKNNESSASARMLMRDGLTAKYSVDFTVIFTGNSGEHFIPHNVSCRSSRLGEDETPVTECALRLASQHPQKQAGSVRRHSLGAPYYLSVVTGYSDEGANWVTVIVNLELSSGVTLTQLGSFSLTVGLDGECLGDQGCNKEFPLSATFLDQSGVALVPRLNQPSPIPERVLSFNLHLIIPSVVDEVCDPLLLKLTECHIGLGLTKRRTLTPNKTENFIARSTLRGPDLALDFRVSSQIDGLQDLSSKQITLCVTADGAELASPKEEWCRYVAKAVEVPSFRMAVGVKLVPLSRLLLVQGRAGVALDMLGDQTLDQGGSLAQGFYQLRPSLQSSAIRQSHSPSIGSESAGHFLYVAGRNEQEGPAGIAVDILKDENVDGLVTLAAGFRGWPHGQEGRLVADCQWQVRGRPLPCVSVQGGRTQEYYHPAGILILDTSGDSDVDVSCLSAGFYSGIQTGTVEAKKRWQRSVQTFIVAKGTHQYRPAGLVLNQVGKWLALETSYGLYSDRMDVNEQSMNIFTPSMNPPYLFAGGSWSFSGVAGLFLGDMTLATSFGEQSMEHQFSSSARSQTGEVAYIKEQLQKQISGLAAFNDVKFVHDQPPASSITNLEQRATPGQFAHGVGIAYRIIGAKEAQPIVDSYELPDKYKQGDTIVILIKKASKRAGNKHHFLQTVVTLIGTATFTLGKLQVIPDVLSQQSPSTRFQTFTFHIKLLNSYQMLAFLTDTGEFQGMVAQVFGKMQNFDNQDISGSGDILFVIDMEQHPCEPTADPVTQIIEWRSGLLLCSGKSYSNEGCVRHLTPGCALLRSGNTSAALTLGLSMNVAEPRIHLPIPQCMGTAAACDYLRGTQNAAVVELPAGVVLRSGGFQVVSQGFGGADEQFITPEEVDLNFGHYSALVSLLLVTGFTM
+>sp|P20702|ITAX_HUMAN Integrin alpha-X OS=Homo sapiens OX=9606 GN=ITGAX PE=1 SV=3
+MTRTRAALLLFTALATSLGFNLDTEELTAFRVDSAGFGDSVVQYANSWVVVGAPQKITAANQTGGLYQCGYSTGACEPIGLQVPPEAVNMSLGLSLASTTSPSQLLACGPTVHHECGRNMYLTGLCFLLGPTQLTQRLPVSRQECPRQEQDIVFLIDGSGSISSRNFATMMNFVRAVISQFQRPSTQFSLMQFSNKFQTHFTFEEFRRSSNPLSLLASVHQLQGFTYTATAIQNVVHRLFHASYGARRDAAKILIVITDGKKEGDSLDYKDVIPMADAAGIIRYAIGVGLAFQNRNSWKELNDIASKPSQEHIFKVEDFDALKDIQNQLKEKIFAIEGTETTSSSSFELEMAQEGFSAVFTPDGPVLGAVGSFTWSGGAFLYPPNMSPTFINMSQENVDMRDSYLGYSTELALWKGVQSLVLGAPRYQHTGKAVIFTQVSRQWRMKAEVTGTQIGSYFGASLCSVDVDSDGSTDLVLIGAPHYYEQTRGGQVSVCPLPRGWRRWWCDAVLYGEQGHPWGRFGAALTVLGDVNGDKLTDVVIGAPGEEENRGAVYLFHGVLGPSISPSHSQRIAGSQLSSRLQYFGQALSGGQDLTQDGLVDLAVGARGQVLLLRTRPVLWVGVSMQFIPAEIPRSAFECREQVVSEQTLVQSNICLYIDKRSKNLLGSRDLQSSVTLDLALDPGRLSPRATFQETKNRSLSRVRVLGLKAHCENFNLLLPSCVEDSVTPITLRLNFTLVGKPLLAFRNLRPMLAADAQRYFTASLPFEKNCGADHICQDNLGISFSFPGLKSLLVGSNLELNAEVMVWNDGEDSYGTTITFSHPAGLSYRYVAEGQKQGQLRSLHLTCDSAPVGSQGTWSTSCRINHLIFRGGAQITFLATFDVSPKAVLGDRLLLTANVSSENNTPRTSKTTFQLELPVKYAVYTVVSSHEQFTKYLNFSESEEKESHVAMHRYQVNNLGQRDLPVSINFWVPVELNQEAVWMDVEVSHPQNPSLRCSSEKIAPPASDFLAHIQKNPVLDCSIAGCLRFRCDVPSFSVQEELDFTLKGNLSFGWVRQILQKKVSVVSVAEITFDTSVYSQLPGQEAFMRAQTTTVLEKYKVHNPTPLIVGSSIGGLLLLALITAVLYKVGFFKRQYKEMMEEANGQIAPENGTQTPSPPSEK
+>DECOY_sp|P20702|ITAX_HUMAN Integrin alpha-X OS=Homo sapiens OX=9606 GN=ITGAX PE=1 SV=3
+KESPPSPTQTGNEPAIQGNAEEMMEKYQRKFFGVKYLVATILALLLLGGISSGVILPTPNHVKYKELVTTTQARMFAEQGPLQSYVSTDFTIEAVSVVSVKKQLIQRVWGFSLNGKLTFDLEEQVSFSPVDCRFRLCGAISCDLVPNKQIHALFDSAPPAIKESSCRLSPNQPHSVEVDMWVAEQNLEVPVWFNISVPLDRQGLNNVQYRHMAVHSEKEESESFNLYKTFQEHSSVVTYVAYKVPLELQFTTKSTRPTNNESSVNATLLLRDGLVAKPSVDFTALFTIQAGGRFILHNIRCSTSWTGQSGVPASDCTLHLSRLQGQKQGEAVYRYSLGAPHSFTITTGYSDEGDNWVMVEANLELNSGVLLSKLGPFSFSIGLNDQCIHDAGCNKEFPLSATFYRQADAALMPRLNRFALLPKGVLTFNLRLTIPTVSDEVCSPLLLNFNECHAKLGLVRVRSLSRNKTEQFTARPSLRGPDLALDLTVSSQLDRSGLLNKSRKDIYLCINSQVLTQESVVQERCEFASRPIEAPIFQMSVGVWLVPRTRLLLVQGRAGVALDVLGDQTLDQGGSLAQGFYQLRSSLQSGAIRQSHSPSISPGLVGHFLYVAGRNEEEGPAGIVVDTLKDGNVDGLVTLAAGFRGWPHGQEGYLVADCWWRRWGRPLPCVSVQGGRTQEYYHPAGILVLDTSGDSDVDVSCLSAGFYSGIQTGTVEAKMRWQRSVQTFIVAKGTHQYRPAGLVLSQVGKWLALETSYGLYSDRMDVNEQSMNIFTPSMNPPYLFAGGSWTFSGVAGLVPGDPTFVASFGEQAMELEFSSSSTTETGEIAFIKEKLQNQIDKLADFDEVKFIHEQSPKSAIDNLEKWSNRNQFALGVGIAYRIIGAADAMPIVDKYDLSDGEKKGDTIVILIKAADRRAGYSAHFLRHVVNQIATATYTFGQLQHVSALLSLPNSSRRFEEFTFHTQFKNSFQMLSFQTSPRQFQSIVARVFNMMTAFNRSSISGSGDILFVIDQEQRPCEQRSVPLRQTLQTPGLLFCLGTLYMNRGCEHHVTPGCALLQSPSTTSALSLGLSMNVAEPPVQLGIPECAGTSYGCQYLGGTQNAATIKQPAGVVVWSNAYQVVSDGFGASDVRFATLEETDLNFGLSTALATFLLLAARTRTM
+>sp|P18084|ITB5_HUMAN Integrin beta-5 OS=Homo sapiens OX=9606 GN=ITGB5 PE=1 SV=1
+MPRAPAPLYACLLGLCALLPRLAGLNICTSGSATSCEECLLIHPKCAWCSKEDFGSPRSITSRCDLRANLVKNGCGGEIESPASSFHVLRSLPLSSKGSGSAGWDVIQMTPQEIAVNLRPGDKTTFQLQVRQVEDYPVDLYYLMDLSLSMKDDLDNIRSLGTKLAEEMRKLTSNFRLGFGSFVDKDISPFSYTAPRYQTNPCIGYKLFPNCVPSFGFRHLLPLTDRVDSFNEEVRKQRVSRNRDAPEGGFDAVLQAAVCKEKIGWRKDALHLLVFTTDDVPHIALDGKLGGLVQPHDGQCHLNEANEYTASNQMDYPSLALLGEKLAENNINLIFAVTKNHYMLYKNFTALIPGTTVEILDGDSKNIIQLIINAYNSIRSKVELSVWDQPEDLNLFFTATCQDGVSYPGQRKCEGLKIGDTASFEVSLEARSCPSRHTEHVFALRPVGFRDSLEVGVTYNCTCGCSVGLEPNSARCNGSGTYVCGLCECSPGYLGTRCECQDGENQSVYQNLCREAEGKPLCSGRGDCSCNQCSCFESEFGKIYGPFCECDNFSCARNKGVLCSGHGECHCGECKCHAGYIGDNCNCSTDISTCRGRDGQICSERGHCLCGQCQCTEPGAFGEMCEKCPTCPDACSTKRDCVECLLLHSGKPDNQTCHSLCRDEVITWVDTIVKDDQEAVLCFYKTAKDCVMMFTYVELPSGKSNLTVLREPECGNTPNAMTILLAVVGSILLVGLALLAIWKLLVTIHDRREFAKFQSERSRARYEMASNPLYRKPISTHTVDFTFNKFNKSYNGTVD
+>DECOY_sp|P18084|ITB5_HUMAN Integrin beta-5 OS=Homo sapiens OX=9606 GN=ITGB5 PE=1 SV=1
+DVTGNYSKNFKNFTFDVTHTSIPKRYLPNSAMEYRARSRESQFKAFERRDHITVLLKWIALLALGVLLISGVVALLITMANPTNGCEPERLVTLNSKGSPLEVYTFMMVCDKATKYFCLVAEQDDKVITDVWTIVEDRCLSHCTQNDPKGSHLLLCEVCDRKTSCADPCTPCKECMEGFAGPETCQCQGCLCHGRESCIQGDRGRCTSIDTSCNCNDGIYGAHCKCEGCHCEGHGSCLVGKNRACSFNDCECFPGYIKGFESEFCSCQNCSCDGRGSCLPKGEAERCLNQYVSQNEGDQCECRTGLYGPSCECLGCVYTGSGNCRASNPELGVSCGCTCNYTVGVELSDRFGVPRLAFVHETHRSPCSRAELSVEFSATDGIKLGECKRQGPYSVGDQCTATFFLNLDEPQDWVSLEVKSRISNYANIILQIINKSDGDLIEVTTGPILATFNKYLMYHNKTVAFILNINNEALKEGLLALSPYDMQNSATYENAENLHCQGDHPQVLGGLKGDLAIHPVDDTTFVLLHLADKRWGIKEKCVAAQLVADFGGEPADRNRSVRQKRVEENFSDVRDTLPLLHRFGFSPVCNPFLKYGICPNTQYRPATYSFPSIDKDVFSGFGLRFNSTLKRMEEALKTGLSRINDLDDKMSLSLDMLYYLDVPYDEVQRVQLQFTTKDGPRLNVAIEQPTMQIVDWGASGSGKSSLPLSRLVHFSSAPSEIEGGCGNKVLNARLDCRSTISRPSGFDEKSCWACKPHILLCEECSTASGSTCINLGALRPLLACLGLLCAYLPAPARPM
+>sp|P19823|ITIH2_HUMAN Inter-alpha-trypsin inhibitor heavy chain H2 OS=Homo sapiens OX=9606 GN=ITIH2 PE=1 SV=2
+MKRLTCFFICFFLSEVSGFEIPINGLSEFVDYEDLVELAPGKFQLVAENRRYQRSLPGESEEMMEEVDQVTLYSYKVQSTITSRMATTMIQSKVVNNSPQPQNVVFDVQIPKGAFISNFSMTVDGKTFRSSIKEKTVGRALYAQARAKGKTAGLVRSSALDMENFRTEVNVLPGAKVQFELHYQEVKWRKLGSYEHRIYLQPGRLAKHLEVDVWVIEPQGLRFLHVPDTFEGHFDGVPVISKGQQKAHVSFKPTVAQQRICPNCRETAVDGELVVLYDVKREEKAGELEVFNGYFVHFFAPDNLDPIPKNILFVIDVSGSMWGVKMKQTVEAMKTILDDLRAEDHFSVIDFNQNIRTWRNDLISATKTQVADAKRYIEKIQPSGGTNINEALLRAIFILNEANNLGLLDPNSVSLIILVSDGDPTVGELKLSKIQKNVKENIQDNISLFSLGMGFDVDYDFLKRLSNENHGIAQRIYGNQDTSSQLKKFYNQVSTPLLRNVQFNYPHTSVTDVTQNNFHNYFGGSEIVVAGKFDPAKLDQIESVITATSANTQLVLETLAQMDDLQDFLSKDKHADPDFTRKLWAYLTINQLLAERSLAPTAAAKRRITRSILQMSLDHHIVTPLTSLVIENEAGDERMLADAPPQDPSCCSGALYYGSKVVPDSTPSWANPSPTPVISMLAQGSQVLESTPPPHVMRVENDPHFIIYLPKSQKNICFNIDSEPGKILNLVSDPESGIVVNGQLVGAKKPNNGKLSTYFGKLGFYFQSEDIKIEISTETITLSHGSSTFSLSWSDTAQVTNQRVQISVKKEKVVTITLDKEMSFSVLLHRVWKKHPVNVDFLGIYIPPTNKFSPKAHGLIGQFMQEPKIHIFNERPGKDPEKPEASMEVKGQKLIITRGLQKDYRTDLVFGTDVTCWFVHNSGKGFIDGHYKDYFVPQLYSFLKRP
+>DECOY_sp|P19823|ITIH2_HUMAN Inter-alpha-trypsin inhibitor heavy chain H2 OS=Homo sapiens OX=9606 GN=ITIH2 PE=1 SV=2
+PRKLFSYLQPVFYDKYHGDIFGKGSNHVFWCTVDTGFVLDTRYDKQLGRTIILKQGKVEMSAEPKEPDKGPRENFIHIKPEQMFQGILGHAKPSFKNTPPIYIGLFDVNVPHKKWVRHLLVSFSMEKDLTITVVKEKKVSIQVRQNTVQATDSWSLSFTSSGHSLTITETSIEIKIDESQFYFGLKGFYTSLKGNNPKKAGVLQGNVVIGSEPDSVLNLIKGPESDINFCINKQSKPLYIIFHPDNEVRMVHPPPTSELVQSGQALMSIVPTPSPNAWSPTSDPVVKSGYYLAGSCCSPDQPPADALMREDGAENEIVLSTLPTVIHHDLSMQLISRTIRRKAAATPALSREALLQNITLYAWLKRTFDPDAHKDKSLFDQLDDMQALTELVLQTNASTATIVSEIQDLKAPDFKGAVVIESGGFYNHFNNQTVDTVSTHPYNFQVNRLLPTSVQNYFKKLQSSTDQNGYIRQAIGHNENSLRKLFDYDVDFGMGLSFLSINDQINEKVNKQIKSLKLEGVTPDGDSVLIILSVSNPDLLGLNNAENLIFIARLLAENINTGGSPQIKEIYRKADAVQTKTASILDNRWTRINQNFDIVSFHDEARLDDLITKMAEVTQKMKVGWMSGSVDIVFLINKPIPDLNDPAFFHVFYGNFVELEGAKEERKVDYLVVLEGDVATERCNPCIRQQAVTPKFSVHAKQQGKSIVPVGDFHGEFTDPVHLFRLGQPEIVWVDVELHKALRGPQLYIRHEYSGLKRWKVEQYHLEFQVKAGPLVNVETRFNEMDLASSRVLGATKGKARAQAYLARGVTKEKISSRFTKGDVTMSFNSIFAGKPIQVDFVVNQPQPSNNVVKSQIMTTAMRSTITSQVKYSYLTVQDVEEMMEESEGPLSRQYRRNEAVLQFKGPALEVLDEYDVFESLGNIPIEFGSVESLFFCIFFCTLRKM
+>sp|Q9BY32|ITPA_HUMAN Inosine triphosphate pyrophosphatase OS=Homo sapiens OX=9606 GN=ITPA PE=1 SV=2
+MAASLVGKKIVFVTGNAKKLEEVVQILGDKFPCTLVAQKIDLPEYQGEPDEISIQKCQEAVRQVQGPVLVEDTCLCFNALGGLPGPYIKWFLEKLKPEGLHQLLAGFEDKSAYALCTFALSTGDPSQPVRLFRGRTSGRIVAPRGCQDFGWDPCFQPDGYEQTYAEMPKAEKNAVSHRFRALLELQEYFGSLAA
+>DECOY_sp|Q9BY32|ITPA_HUMAN Inosine triphosphate pyrophosphatase OS=Homo sapiens OX=9606 GN=ITPA PE=1 SV=2
+AALSGFYEQLELLARFRHSVANKEAKPMEAYTQEYGDPQFCPDWGFDQCGRPAVIRGSTRGRFLRVPQSPDGTSLAFTCLAYASKDEFGALLQHLGEPKLKELFWKIYPGPLGGLANFCLCTDEVLVPGQVQRVAEQCKQISIEDPEGQYEPLDIKQAVLTCPFKDGLIQVVEELKKANGTVFVIKKGVLSAAM
+>sp|Q13572|ITPK1_HUMAN Inositol-tetrakisphosphate 1-kinase OS=Homo sapiens OX=9606 GN=ITPK1 PE=1 SV=2
+MQTFLKGKRVGYWLSEKKIKKLNFQAFAELCRKRGMEVVQLNLSRPIEEQGPLDVIIHKLTDVILEADQNDSQSLELVHRFQEYIDAHPETIVLDPLPAIRTLLDRSKSYELIRKIEAYMEDDRICSPPFMELTSLCGDDTMRLLEKNGLTFPFICKTRVAHGTNSHEMAIVFNQEGLNAIQPPCVVQNFINHNAVLYKVFVVGESYTVVQRPSLKNFSAGTSDRESIFFNSHNVSKPESSSVLTELDKIEGVFERPSDEVIRELSRALRQALGVSLFGIDIIINNQTGQHAVIDINAFPGYEGVSEFFTDLLNHIATVLQGQSTAMAATGDVALLRHSKLLAEPAGGLVGERTCSASPGCCGSMMGQDAPWKAEADAGGTAKLPHQRLGCNAGVSPSFQQHCVASLATKASSQ
+>DECOY_sp|Q13572|ITPK1_HUMAN Inositol-tetrakisphosphate 1-kinase OS=Homo sapiens OX=9606 GN=ITPK1 PE=1 SV=2
+QSSAKTALSAVCHQQFSPSVGANCGLRQHPLKATGGADAEAKWPADQGMMSGCCGPSASCTREGVLGGAPEALLKSHRLLAVDGTAAMATSQGQLVTAIHNLLDTFFESVGEYGPFANIDIVAHQGTQNNIIIDIGFLSVGLAQRLARSLERIVEDSPREFVGEIKDLETLVSSSEPKSVNHSNFFISERDSTGASFNKLSPRQVVTYSEGVVFVKYLVANHNIFNQVVCPPQIANLGEQNFVIAMEHSNTGHAVRTKCIFPFTLGNKELLRMTDDGCLSTLEMFPPSCIRDDEMYAEIKRILEYSKSRDLLTRIAPLPDLVITEPHADIYEQFRHVLELSQSDNQDAELIVDTLKHIIVDLPGQEEIPRSLNLQVVEMGRKRCLEAFAQFNLKKIKKESLWYGVRKGKLFTQM
+>sp|Q14571|ITPR2_HUMAN Inositol 1,4,5-trisphosphate receptor type 2 OS=Homo sapiens OX=9606 GN=ITPR2 PE=1 SV=2
+MTEKMSSFLYIGDIVSLYAEGSVNGFISTLGLVDDRCVVHPEAGDLANPPKKFRDCLFKVCPMNRYSAQKQYWKAKQAKQGNHTEAALLKKLQHAAELEQKQNESENKKLLGEIVKYSNVIQLLHIKSNKYLTVNKRLPALLEKNAMRVSLDAAGNEGSWFYIHPFWKLRSEGDNIVVGDKVVLMPVNAGQPLHASNIELLDNPGCKEVNAVNCNTSWKITLFMKYSSYREDVLKGGDVVRLFHAEQEKFLTCDEYEKKQHIFLRTTLRQSATSATSSKALWEIEVVHHDPCRGGAGQWNSLFRFKHLATGNYLAAELNPDYRDAQNEGKNVRDGVPPTSKKKRQAGEKIMYTLVSVPHGNDIASLFELDATTLQRADCLVPRNSYVRLRHLCTNTWVTSTSIPIDTDEERPVMLKIGTCQTKEDKEAFAIVSVPLSEVRDLDFANDANKVLATTVKKLENGTITQNERRFVTKLLEDLIFFVADVPNNGQEVLDVVITKPNRERQKLMREQNILAQVFGILKAPFKEKAGEGSMLRLEDLGDQRYAPYKYMLRLCYRVLRHSQQDYRKNQEYIAKNFCVMQSQIGYDILAEDTITALLHNNRKLLEKHITAKEIETFVSLLRRNREPRFLDYLSDLCVSNTTAIPVTQELICKFMLSPGNADILIQTKVVSMQADNPMESSILSDDIDDEEVWLYWIDSNKEPHGKAIRHLAQEAKEGTKADLEVLTYYRYQLNLFARMCLDRQYLAINQISTQLSVDLILRCVSDESLPFDLRASFCRLMLHMHVDRDPQESVVPVRYARLWTEIPTKITIHEYDSITDSSRNDMKRKFALTMEFVEEYLKEVVNQPFPFGDKEKNKLTFEVVHLARNLIYFGFYSFSELLRLTRTLLAILDIVQAPMSSYFERLSKFQDGGNNVMRTIHGVGEMMTQMVLSRGSIFPMSVPDVPPSIHPSKQGSPTEHEDVTVMDTKLKIIEILQFILSVRLDYRISYMLSIYKKEFGEDNDNAETSASGSPDTLLPSAIVPDIDEIAAQAETMFAGRKEKNPVQLDDEGGRTFLRVLIHLIMHDYPPLLSGALQLLFKHFSQRAEVLQAFKQVQLLVSNQDVDNYKQIKADLDQLRLTVEKSELWVEKSSNYENGEIGESQVKGGEEPIEESNILSPVQDGTKKPQIDSNKSNNYRIVKEILIRLSKLCVQNKKCRNQHQRLLKNMGAHSVVLDLLQIPYEKNDEKMNEVMNLAHTFLQNFCRGNPQNQVLLHKHLNLFLTPGLLEAETMRHIFMNNYHLCNEISERVVQHFVHCIETHGRHVEYLRFLQTIVKADGKYVKKCQDMVMTELINGGEDVLIFYNDRASFPILLHMMCSERDRGDESGPLAYHITLVELLAACTEGKNVYTEIKCNSLLPLDDIVRVVTHDDCIPEVKIAYVNFVNHCYVDTEVEMKEIYTSNHIWKLFENFLVDMARVCNTTTDRKHADIFLEKCVTESIMNIVSGFFNSPFSDNSTSLQTHQPVFIQLLQSAFRIYNCTWPNPAQKASVESCIRTLAEVAKNRGIAIPVDLDSQVNTLFMKSHSNMVQRAAMGWRLSARSGPRFKEALGGPAWDYRNIIEKLQDVVASLEHQFSPMMQAEFSVLVDVLYSPELLFPEGSDARIRCGAFMSKLINHTKKLMEKEEKLCIKILQTLREMLEKKDSFVEEGNTLRKILLNRYFKGDYSIGVNGHLSGAYSKTAQVGGSFSGQDSDKMGISMSDIQCLLDKEGASELVIDVIVNTKNDRIFSEGIFLGIALLEGGNTQTQYSFYQQLHEQKKSEKFFKVLYDRMKAAQKEIRSTVTVNTIDLGNKKRDDDNELMTSGPRMRVRDSTLHLKEGMKGQLTEASSATSKAYCVYRREMDPEIDIMCTGPEAGNTEEKSAEEVTMSPAIAIMQPILRFLQLLCENHNRELQNFLRNQNNKTNYNLVCETLQFLDCICGSTTGGLGLLGLYINEKNVALVNQNLESLTEYCQGPCHENQTCIATHESNGIDIIIALILNDINPLGKYRMDLVLQLKNNASKLLLAIMESRHDSENAERILFNMRPRELVDVMKNAYNQGLECDHGDDEGGDDGVSPKDVGHNIYILAHQLARHNKLLQQMLKPGSDPDEGDEALKYYANHTAQIEIVRHDRTMEQIVFPVPNICEYLTRESKCRVFNTTERDEQGSKVNDFFQQTEDLYNEMKWQKKIRNNPALFWFSRHISLWGSISFNLAVFINLAVALFYPFGDDGDEGTLSPLFSVLLWIAVAICTSMLFFFSKPVGIRPFLVSIMLRSIYTIGLGPTLILLGAANLCNKIVFLVSFVGNRGTFTRGYRAVILDMAFLYHVAYVLVCMLGLFVHEFFYSFLLFDLVYREETLLNVIKSVTRNGRSIILTAVLALILVYLFSIIGFLFLKDDFTMEVDRLKNRTPVTGSHQVPTMTLTTMMEACAKENCSPTIPASNTADEEYEDGIERTCDTLLMCIVTVLNQGLRNGGGVGDVLRRPSKDEPLFAARVVYDLLFYFIVIIIVLNLIFGVIIDTFADLRSEKQKKEEILKTTCFICGLERDKFDNKTVSFEEHIKSEHNMWHYLYFIVLVKVKDPTEYTGPESYVAQMIVEKNLDWFPRMRAMSLVSNEGDSEQNEIRSLQEKLESTMSLVKQLSGQLAELKEQMTEQRKNKQRLGFLGSNTPHVNHHMPPH
+>DECOY_sp|Q14571|ITPR2_HUMAN Inositol 1,4,5-trisphosphate receptor type 2 OS=Homo sapiens OX=9606 GN=ITPR2 PE=1 SV=2
+HPPMHHNVHPTNSGLFGLRQKNKRQETMQEKLEALQGSLQKVLSMTSELKEQLSRIENQESDGENSVLSMARMRPFWDLNKEVIMQAVYSEPGTYETPDKVKVLVIFYLYHWMNHESKIHEEFSVTKNDFKDRELGCIFCTTKLIEEKKQKESRLDAFTDIIVGFILNLVIIIVIFYFLLDYVVRAAFLPEDKSPRRLVDGVGGGNRLGQNLVTVICMLLTDCTREIGDEYEEDATNSAPITPSCNEKACAEMMTTLTMTPVQHSGTVPTRNKLRDVEMTFDDKLFLFGIISFLYVLILALVATLIISRGNRTVSKIVNLLTEERYVLDFLLFSYFFEHVFLGLMCVLVYAVHYLFAMDLIVARYGRTFTGRNGVFSVLFVIKNCLNAAGLLILTPGLGITYISRLMISVLFPRIGVPKSFFFLMSTCIAVAIWLLVSFLPSLTGEDGDDGFPYFLAVALNIFVALNFSISGWLSIHRSFWFLAPNNRIKKQWKMENYLDETQQFFDNVKSGQEDRETTNFVRCKSERTLYECINPVPFVIQEMTRDHRVIEIQATHNAYYKLAEDGEDPDSGPKLMQQLLKNHRALQHALIYINHGVDKPSVGDDGGEDDGHDCELGQNYANKMVDVLERPRMNFLIREANESDHRSEMIALLLKSANNKLQLVLDMRYKGLPNIDNLILAIIIDIGNSEHTAICTQNEHCPGQCYETLSELNQNVLAVNKENIYLGLLGLGGTTSGCICDLFQLTECVLNYNTKNNQNRLFNQLERNHNECLLQLFRLIPQMIAIAPSMTVEEASKEETNGAEPGTCMIDIEPDMERRYVCYAKSTASSAETLQGKMGEKLHLTSDRVRMRPGSTMLENDDDRKKNGLDITNVTVTSRIEKQAAKMRDYLVKFFKESKKQEHLQQYFSYQTQTNGGELLAIGLFIGESFIRDNKTNVIVDIVLESAGEKDLLCQIDSMSIGMKDSDQGSFSGGVQATKSYAGSLHGNVGISYDGKFYRNLLIKRLTNGEEVFSDKKELMERLTQLIKICLKEEKEMLKKTHNILKSMFAGCRIRADSGEPFLLEPSYLVDVLVSFEAQMMPSFQHELSAVVDQLKEIINRYDWAPGGLAEKFRPGSRASLRWGMAARQVMNSHSKMFLTNVQSDLDVPIAIGRNKAVEALTRICSEVSAKQAPNPWTCNYIRFASQLLQIFVPQHTQLSTSNDSFPSNFFGSVINMISETVCKELFIDAHKRDTTTNCVRAMDVLFNEFLKWIHNSTYIEKMEVETDVYCHNVFNVYAIKVEPICDDHTVVRVIDDLPLLSNCKIETYVNKGETCAALLEVLTIHYALPGSEDGRDRESCMMHLLIPFSARDNYFILVDEGGNILETMVMDQCKKVYKGDAKVITQLFRLYEVHRGHTEICHVFHQVVRESIENCLHYNNMFIHRMTEAELLGPTLFLNLHKHLLVQNQPNGRCFNQLFTHALNMVENMKEDNKEYPIQLLDLVVSHAGMNKLLRQHQNRCKKNQVCLKSLRILIEKVIRYNNSKNSDIQPKKTGDQVPSLINSEEIPEEGGKVQSEGIEGNEYNSSKEVWLESKEVTLRLQDLDAKIQKYNDVDQNSVLLQVQKFAQLVEARQSFHKFLLQLAGSLLPPYDHMILHILVRLFTRGGEDDLQVPNKEKRGAFMTEAQAAIEDIDPVIASPLLTDPSGSASTEANDNDEGFEKKYISLMYSIRYDLRVSLIFQLIEIIKLKTDMVTVDEHETPSGQKSPHISPPVDPVSMPFISGRSLVMQTMMEGVGHITRMVNNGGDQFKSLREFYSSMPAQVIDLIALLTRTLRLLESFSYFGFYILNRALHVVEFTLKNKEKDGFPFPQNVVEKLYEEVFEMTLAFKRKMDNRSSDTISDYEHITIKTPIETWLRAYRVPVVSEQPDRDVHMHLMLRCFSARLDFPLSEDSVCRLILDVSLQTSIQNIALYQRDLCMRAFLNLQYRYYTLVELDAKTGEKAEQALHRIAKGHPEKNSDIWYLWVEEDDIDDSLISSEMPNDAQMSVVKTQILIDANGPSLMFKCILEQTVPIATTNSVCLDSLYDLFRPERNRRLLSVFTEIEKATIHKELLKRNNHLLATITDEALIDYGIQSQMVCFNKAIYEQNKRYDQQSHRLVRYCLRLMYKYPAYRQDGLDELRLMSGEGAKEKFPAKLIGFVQALINQERMLKQRERNPKTIVVDLVEQGNNPVDAVFFILDELLKTVFRRENQTITGNELKKVTTALVKNADNAFDLDRVESLPVSVIAFAEKDEKTQCTGIKLMVPREEDTDIPISTSTVWTNTCLHRLRVYSNRPVLCDARQLTTADLEFLSAIDNGHPVSVLTYMIKEGAQRKKKSTPPVGDRVNKGENQADRYDPNLEAALYNGTALHKFRFLSNWQGAGGRCPDHHVVEIEWLAKSSTASTASQRLTTRLFIHQKKEYEDCTLFKEQEAHFLRVVDGGKLVDERYSSYKMFLTIKWSTNCNVANVEKCGPNDLLEINSAHLPQGANVPMLVVKDGVVINDGESRLKWFPHIYFWSGENGAADLSVRMANKELLAPLRKNVTLYKNSKIHLLQIVNSYKVIEGLLKKNESENQKQELEAAHQLKKLLAAETHNGQKAQKAKWYQKQASYRNMPCVKFLCDRFKKPPNALDGAEPHVVCRDDVLGLTSIFGNVSGEAYLSVIDGIYLFSSMKETM
+>sp|O15063|K0355_HUMAN Uncharacterized protein KIAA0355 OS=Homo sapiens OX=9606 GN=KIAA0355 PE=1 SV=2
+MYCCSAQDSKMDYKRRFLLGGSKQKVQQHQQYPMPELGRALSAPLASTATTAPLGSLTAAGSCHHAMPHTTPIADIQQGISKYLDALNVFCRASTFLTDLFSTVFRNSHYSKAATQLKDVQEHVMEAASRLTSAIKPEIAKMLMELSAGAANFTDQKEFSLQDIEVLGRCFLTVVQVHFQFLTHALQKVQPVAHSCFAEVIVPEKKNSGSGGGLSGMGHTPEVEEAVRSWRGAAEATSRLRERGCDGCLAGIEVQQLFCSQSAAIPEHQLKELNIKIDSALQAYKIALESLGHCEYAMKAGFHLNPKAIEASLQGCCSEAEAQQTGRRQTPPQPMQCELPTVPVQIGSHFLKGVSFNESAADNLKLKTHTMLQLMKEAGCYNGITSRDDFPVTEVLNQVCPSTWRGACKTAVQLLFGQAGLVVVDTAQIENKEAYAPQISLEGSRIVVQVPSTWCLKEDPATMSLLQRSLDPEKTLGLVDVLYTAVLDLNRWRAGREQALPCIQIQLQREICDFGNQADLPSGNGNKSSGGLQKTFSKLTSRFTKKASCTSSSSSTNYSIQNTPSKNIFIAGCSEEKAKMPGNIDTRLQSILNIGNFPRTTDPSQSAQNSSNTVANGFLMERRENFLHGDDGKDEKGMNLPTDQEMQEVIDFLSGFNMGQSHQGSPLVTRHNSAATAMVTEQKAGAMQPQQPSLPVPPPPRAPQAGAHTPLTPQPGLAPQQQSPKQQQPQVQYYQHLLQPIGPQQPPPQPRAPGKWVHGSSQQPAQAVGAGLSPLGQWPGISDLSSDLYSLGLVSSYMDNVMSEVLGQKPQGPRNNTWPNRDQSDGVFGMLGEILPFDPAVGSDPEFARYVAGVSQAMQQKRQAQHGRRPGNPRGNWPPMDDAHRTWPFPEFFTEGDGLHGGWSGAQGDSASSSDETSSANGDSLFSMFSGPDLVAAVKQRRKHSSGEQDTSTLPSPPLLTTVEDVNQDNKTKTWPPKAPWQHPSPLPSTLPSPSAPLYAVTSPGSQWNDTMQMLQSPVWAATNDCSAAAFSYVQTPPQPPPPPAHKAAPKGFKAFPGKGERRPAYLPQY
+>DECOY_sp|O15063|K0355_HUMAN Uncharacterized protein KIAA0355 OS=Homo sapiens OX=9606 GN=KIAA0355 PE=1 SV=2
+YQPLYAPRREGKGPFAKFGKPAAKHAPPPPPQPPTQVYSFAAASCDNTAAWVPSQLMQMTDNWQSGPSTVAYLPASPSPLTSPLPSPHQWPAKPPWTKTKNDQNVDEVTTLLPPSPLTSTDQEGSSHKRRQKVAAVLDPGSFMSFLSDGNASSTEDSSSASDGQAGSWGGHLGDGETFFEPFPWTRHADDMPPWNGRPNGPRRGHQAQRKQQMAQSVGAVYRAFEPDSGVAPDFPLIEGLMGFVGDSQDRNPWTNNRPGQPKQGLVESMVNDMYSSVLGLSYLDSSLDSIGPWQGLPSLGAGVAQAPQQSSGHVWKGPARPQPPPQQPGIPQLLHQYYQVQPQQQKPSQQQPALGPQPTLPTHAGAQPARPPPPVPLSPQQPQMAGAKQETVMATAASNHRTVLPSGQHSQGMNFGSLFDIVEQMEQDTPLNMGKEDKGDDGHLFNERREMLFGNAVTNSSNQASQSPDTTRPFNGINLISQLRTDINGPMKAKEESCGAIFINKSPTNQISYNTSSSSSTCSAKKTFRSTLKSFTKQLGGSSKNGNGSPLDAQNGFDCIERQLQIQICPLAQERGARWRNLDLVATYLVDVLGLTKEPDLSRQLLSMTAPDEKLCWTSPVQVVIRSGELSIQPAYAEKNEIQATDVVVLGAQGFLLQVATKCAGRWTSPCVQNLVETVPFDDRSTIGNYCGAEKMLQLMTHTKLKLNDAASENFSVGKLFHSGIQVPVTPLECQMPQPPTQRRGTQQAEAESCCGQLSAEIAKPNLHFGAKMAYECHGLSELAIKYAQLASDIKINLEKLQHEPIAASQSCFLQQVEIGALCGDCGRERLRSTAEAAGRWSRVAEEVEPTHGMGSLGGGSGSNKKEPVIVEAFCSHAVPQVKQLAHTLFQFHVQVVTLFCRGLVEIDQLSFEKQDTFNAAGASLEMLMKAIEPKIASTLRSAAEMVHEQVDKLQTAAKSYHSNRFVTSFLDTLFTSARCFVNLADLYKSIGQQIDAIPTTHPMAHHCSGAATLSGLPATTATSALPASLARGLEPMPYQQHQQVKQKSGGLLFRRKYDMKSDQASCCYM
+>sp|Q6ZU52|K0408_HUMAN Uncharacterized protein KIAA0408 OS=Homo sapiens OX=9606 GN=KIAA0408 PE=1 SV=1
+MDLHKQWENTETNWHKEKMELLDQFDNERKEWESQWKIMQKKIEELCREVKLWRKININESAKIIDLYHEKTIPEKVIESSPNYPDLGQSEFIRTNHKDGLRKENKREQSLVSGGNQMCKEQKATKKSKVGFLDPLATDNQKECEAWPDLRTSEEDSKSCSGALSTALEELAKVSEELCSFQEEIRKRSNHRRMKSDSFLQEMPNVTNIPHGDPMINNDQCILPISLEKEKQKNRKNLSCTNVLQSNSTKKCGIDTIDLKRNETPPVPPPRSTSRNFPSSDSEQAYERWKERLDHNSWVPHEGRSKRNYNPHFPLRQQEMSMLYPNEGKTSKDGIIFSSLVPEVKIDSKPPSNEDVGLSMWSCDIGIGAKRSPSTSWFQKTCSTPSNPKYEMVIPDHPAKSHPDLHVSNDCSSSVAESSSPLRNFSCGFERTTRNEKLAAKTDEFNRTVFRTDRNCQAIQQNHSCSKSSEDLKPCDTSSTHTGSISQSNDVSGIWKTNAHMPVPMENVPDNPTKKSTTGLVRQMQGHLSPRSYRNMLHEHDWRPSNLSGRPRSADPRSNYGVVEKLLKTYETATESALQNSKCFQDNWTKCNSDVSGGATLSQHLEMLQMEQQFQQKTAVWGGQEVKQGIDPKKITEESMSVNASHGKGFSRPARPANRRLPSRWASRSPSAPPALRRTTHNYTISLRSEALMV
+>DECOY_sp|Q6ZU52|K0408_HUMAN Uncharacterized protein KIAA0408 OS=Homo sapiens OX=9606 GN=KIAA0408 PE=1 SV=1
+VMLAESRLSITYNHTTRRLAPPASPSRSAWRSPLRRNAPRAPRSFGKGHSANVSMSEETIKKPDIGQKVEQGGWVATKQQFQQEMQLMELHQSLTAGGSVDSNCKTWNDQFCKSNQLASETATEYTKLLKEVVGYNSRPDASRPRGSLNSPRWDHEHLMNRYSRPSLHGQMQRVLGTTSKKTPNDPVNEMPVPMHANTKWIGSVDNSQSISGTHTSSTDCPKLDESSKSCSHNQQIAQCNRDTRFVTRNFEDTKAALKENRTTREFGCSFNRLPSSSEAVSSSCDNSVHLDPHSKAPHDPIVMEYKPNSPTSCTKQFWSTSPSRKAGIGIDCSWMSLGVDENSPPKSDIKVEPVLSSFIIGDKSTKGENPYLMSMEQQRLPFHPNYNRKSRGEHPVWSNHDLREKWREYAQESDSSPFNRSTSRPPPVPPTENRKLDITDIGCKKTSNSQLVNTCSLNKRNKQKEKELSIPLICQDNNIMPDGHPINTVNPMEQLFSDSKMRRHNSRKRIEEQFSCLEESVKALEELATSLAGSCSKSDEESTRLDPWAECEKQNDTALPDLFGVKSKKTAKQEKCMQNGGSVLSQERKNEKRLGDKHNTRIFESQGLDPYNPSSEIVKEPITKEHYLDIIKASENINIKRWLKVERCLEEIKKQMIKWQSEWEKRENDFQDLLEMKEKHWNTETNEWQKHLDM
+>sp|Q6ICG6|K0930_HUMAN Uncharacterized protein KIAA0930 OS=Homo sapiens OX=9606 GN=KIAA0930 PE=1 SV=1
+MLRAIAEERGRLSLRREVCGLGCFKDDRIVFWTWMFSTYFMEKWAPRQDDMLFYVRRKLAYSGSESGADGRKAAEPEVEVEVYRRDSKKLPGLGDPDIDWEESVCLNLILQKLDYMVTCAVCTRADGGDIHIHKKKSQQVFASPSKHPMDSKGEESKISYPNIFFMIDSFEEVFSDMTVGEGEMVCVELVASDKTNTFQGVIFQGSIRYEALKKVYDNRVSVAARMAQKMSFGFYKYSNMEFVRMKGPQGKGHAEMAVSRVSTGDTSPCGTEEDSSPASPMHERVTSFSTPPTPERNNRPAFFSPSLKRKVPRNRIAEMKKSHSANDSEEFFREDDGGADLHNATNLRSRSLSGTGRSLVGSWLKLNRADGNFLLYAHLTYVTLPLHRILTDILEVRQKPILMT
+>DECOY_sp|Q6ICG6|K0930_HUMAN Uncharacterized protein KIAA0930 OS=Homo sapiens OX=9606 GN=KIAA0930 PE=1 SV=1
+TMLIPKQRVELIDTLIRHLPLTVYTLHAYLLFNGDARNLKLWSGVLSRGTGSLSRSRLNTANHLDAGGDDERFFEESDNASHSKKMEAIRNRPVKRKLSPSFFAPRNNREPTPPTSFSTVREHMPSAPSSDEETGCPSTDGTSVRSVAMEAHGKGQPGKMRVFEMNSYKYFGFSMKQAMRAAVSVRNDYVKKLAEYRISGQFIVGQFTNTKDSAVLEVCVMEGEGVTMDSFVEEFSDIMFFINPYSIKSEEGKSDMPHKSPSAFVQQSKKKHIHIDGGDARTCVACTVMYDLKQLILNLCVSEEWDIDPDGLGPLKKSDRRYVEVEVEPEAAKRGDAGSESGSYALKRRVYFLMDDQRPAWKEMFYTSFMWTWFVIRDDKFCGLGCVERRLSLRGREEAIARLM
+>sp|Q96AT1|K1143_HUMAN Uncharacterized protein KIAA1143 OS=Homo sapiens OX=9606 GN=KIAA1143 PE=1 SV=2
+MSKRNQVSYVRPAEPAFLARFKERVGYREGPTVETKRIQPQPPDEDGDHSDKEDEQPQVVVLKKGDLSVEEVMKIKAEIKAAKADEEPTPADGRIIYRKPVKHPSDEKYSGLTASSKKKKPNEDEVNQDSVKKNSQKQIKNSSLLSFDNEDENE
+>DECOY_sp|Q96AT1|K1143_HUMAN Uncharacterized protein KIAA1143 OS=Homo sapiens OX=9606 GN=KIAA1143 PE=1 SV=2
+ENEDENDFSLLSSNKIQKQSNKKVSDQNVEDENPKKKKSSATLGSYKEDSPHKVPKRYIIRGDAPTPEEDAKAAKIEAKIKMVEEVSLDGKKLVVVQPQEDEKDSHDGDEDPPQPQIRKTEVTPGERYGVREKFRALFAPEAPRVYSVQNRKSM
+>sp|Q9ULL0|K1210_HUMAN Acrosomal protein KIAA1210 OS=Homo sapiens OX=9606 GN=KIAA1210 PE=2 SV=3
+MRAGWTPRGFSAFHASLLPGRHPYLAHLGPRDRGARIGSRAYSQGCCSCLWLTYKGKKEGSTKGELGPAAVTDLEIPSYSRGFLPCTPRFPTTWCRGPGCFCGTAVIAGNLGDLARIVGPSHHASQLLLLQEQDSGNHPTMAESLSEISDSLDVLEAGDEGKKKCKFKALKSFFVKKKEKEAEDTQEEEMLELSLSSSNINISSLQPVRENQPTKARAKSSMGSKALSHDSIFMLGPEPERSASKMFPSMDPQRGRPQQRSHISRTLPKPRSKVPGVVSGAMSGAVLQNVPTSAVWVAGPKITENPPSRRRRLSIIPPVIQPEIISKNLVEISLDDESPKNPQKKALPHKSLTATQSFSELSSGPDCSQSLTAFATLASTSSTQLPIGFSTPATTQGCLDSSAARHKMTLNPRKQKKNLQVIIRGLPVWFSHFQGILEGSLQCVTQTLETPNLDEPLPVEPKEEEPNLPLVSEEEKSITKPKEINEKKLGMDSADSSSQKQNNKTEMYDKKTTDQAPNTDASRSQGYPMSAAYGRRWRRKGASVSGLSGCEFKGRSLKQSSEGYGLGDRAGSSPTNKTARNVPFSHLSLEKDNMEQPTTSQPETTTPQGLLSDKDDMGRRNAGIDFGSRKASAAQPIPENMDNSMVSDPQPYHEDAASGAEKTEARASLSLMVESLSTTQEEAILSVAAEAQVFMNPSHIQLEDQEAFSFDLQKAQSKMESAQDVQTICKEKPSGNVHQTFTASVLGMTSTTAKGDVYAKTLPPRSLFQSSRKPDAEEVSSDSENIPEEGDGSEELAHGHSSQSLGKFEDEQEVFSESKSFVEDLSSSEEELDLRCLSQALEEPEDAEVFTESSSYVEKYNTSDDCSSSEEDLPLRHPAQALGKPKNQQEVSSASNNTPEEQNDFMQQLPSRCPSQPIMNPTVQQQVPTSSVGTSIKQSDSVEPIPPRHPFQPWVNPKVEQEVSSSPKSMAVEESISMKPLPPKLLCQPLMNPKVQQNMFSGSEDIAVERVISVEPLLPRYSPQSLTDPQIRQISESTAVEEGTYVEPLPPRCLSQPSERPKFLDSMSTSAEWSSPVAPTPSKYTSPPWVTPKFEELYQLSAHPESTTVEEDISKEQLLPRHLSQLTVGNKVQQLSSNFERAAIEADISGSPLPPQYATQFLKRSKVQEMTSRLEKMAVEGTSNKSPIPRRPTQSFVKFMAQQIFSESSALKRGSDVAPLPPNLPSKSLSKPEVKHQVFSDSGSANPKGGISSKMLPMKHPLQSLGRPEDPQKVFSYSERAPGKCSSFKEQLSPRQLSQALRKPEYEQKVSPVSASSPKEWRNSKKQLPPKHSSQASDRSKFQPQMSSKGPVNVPVKQSSGEKHLPSSSPFQQQVHSSSVNAAARRSVFESNSDNWFLGRDEAFAIKTKKFSQGSKNPIKSIPAPATKPGKFTIAPVRQTSTSGGIYSKKEDLESGDGNNNQHANLSNQDDVEKLFGVRLKRAPPSQKYKSEKQDNFTQLASVPSGPISSSVGRGHKIRSTSQGLLDAAGNLTKISYVADKQQSRPKSESMAKKQPACKTPGKPAGQQSDYAVSEPVWITMAKQKQKSFKAHISVKELKTKSNAGADAETKEPKYEGAGSANENQPKKMFTSSVHKQEKTAQMKPPKPTKSVGFEAQKILQVPAMEKETKRSSTLPAKFQNPVEPIEPVWFSLARKKAKAWSHMAEITQ
+>DECOY_sp|Q9ULL0|K1210_HUMAN Acrosomal protein KIAA1210 OS=Homo sapiens OX=9606 GN=KIAA1210 PE=2 SV=3
+QTIEAMHSWAKAKKRALSFWVPEIPEVPNQFKAPLTSSRKTEKEMAPVQLIKQAEFGVSKTPKPPKMQATKEQKHVSSTFMKKPQNENASGAGEYKPEKTEADAGANSKTKLEKVSIHAKFSKQKQKAMTIWVPESVAYDSQQGAPKGPTKCAPQKKAMSESKPRSQQKDAVYSIKTLNGAADLLGQSTSRIKHGRGVSSSIPGSPVSALQTFNDQKESKYKQSPPARKLRVGFLKEVDDQNSLNAHQNNNGDGSELDEKKSYIGGSTSTQRVPAITFKGPKTAPAPISKIPNKSGQSFKKTKIAFAEDRGLFWNDSNSEFVSRRAAANVSSSHVQQQFPSSSPLHKEGSSQKVPVNVPGKSSMQPQFKSRDSAQSSHKPPLQKKSNRWEKPSSASVPSVKQEYEPKRLAQSLQRPSLQEKFSSCKGPARESYSFVKQPDEPRGLSQLPHKMPLMKSSIGGKPNASGSDSFVQHKVEPKSLSKSPLNPPLPAVDSGRKLASSESFIQQAMFKVFSQTPRRPIPSKNSTGEVAMKELRSTMEQVKSRKLFQTAYQPPLPSGSIDAEIAAREFNSSLQQVKNGVTLQSLHRPLLQEKSIDEEVTTSEPHASLQYLEEFKPTVWPPSTYKSPTPAVPSSWEASTSMSDLFKPRESPQSLCRPPLPEVYTGEEVATSESIQRIQPDTLSQPSYRPLLPEVSIVREVAIDESGSFMNQQVKPNMLPQCLLKPPLPKMSISEEVAMSKPSSSVEQEVKPNVWPQFPHRPPIPEVSDSQKISTGVSSTPVQQQVTPNMIPQSPCRSPLQQMFDNQEEPTNNSASSVEQQNKPKGLAQAPHRLPLDEESSSCDDSTNYKEVYSSSETFVEADEPEELAQSLCRLDLEEESSSLDEVFSKSESFVEQEDEFKGLSQSSHGHALEESGDGEEPINESDSSVEEADPKRSSQFLSRPPLTKAYVDGKATTSTMGLVSATFTQHVNGSPKEKCITQVDQASEMKSQAKQLDFSFAEQDELQIHSPNMFVQAEAAVSLIAEEQTTSLSEVMLSLSARAETKEAGSAADEHYPQPDSVMSNDMNEPIPQAASAKRSGFDIGANRRGMDDKDSLLGQPTTTEPQSTTPQEMNDKELSLHSFPVNRATKNTPSSGARDGLGYGESSQKLSRGKFECGSLGSVSAGKRRWRRGYAASMPYGQSRSADTNPAQDTTKKDYMETKNNQKQSSSDASDMGLKKENIEKPKTISKEEESVLPLNPEEEKPEVPLPEDLNPTELTQTVCQLSGELIGQFHSFWVPLGRIIVQLNKKQKRPNLTMKHRAASSDLCGQTTAPTSFGIPLQTSSTSALTAFATLSQSCDPGSSLESFSQTATLSKHPLAKKQPNKPSEDDLSIEVLNKSIIEPQIVPPIISLRRRRSPPNETIKPGAVWVASTPVNQLVAGSMAGSVVGPVKSRPKPLTRSIHSRQQPRGRQPDMSPFMKSASREPEPGLMFISDHSLAKSGMSSKARAKTPQNERVPQLSSININSSSLSLELMEEEQTDEAEKEKKKVFFSKLAKFKCKKKGEDGAELVDLSDSIESLSEAMTPHNGSDQEQLLLLQSAHHSPGVIRALDGLNGAIVATGCFCGPGRCWTTPFRPTCPLFGRSYSPIELDTVAAPGLEGKTSGEKKGKYTLWLCSCCGQSYARSGIRAGRDRPGLHALYPHRGPLLSAHFASFGRPTWGARM
+>sp|Q6A163|K1C39_HUMAN Keratin, type I cytoskeletal 39 OS=Homo sapiens OX=9606 GN=KRT39 PE=1 SV=2
+MDTKGCTTTNSPSTPCQNCSRITNVSTISSNNGCHPGGLTVNNCQPAGHVLRIPWDQGCQPTPRFCRKPIYLMNNFNARFSLDDCSWYGEGINSNEKETMQILNERLANYLQKVRMLERENAELESKIQEESNKELPVLCPDYLSYYTTIEELQQKILCTKAENSRLVSQIDNTKLTADDLRAKYEAEVSLRQLVESDANGLKQILNVLTLGKADLEAQVQSLKEELLCLKNNHKEEINSLQCQLGERLDIEVTAAPSADLNQVLQEMRCQYEPIMETNRKDVEQWFNTQIEELNQQVVTSSQQQQCCQKEIIELRRSVNTLEVELQAQHRMRDSQECILTETEARYTALLTQIQSLIDNLEAQLAEIRCALERQNQEYEILLDVKSRLECEITTYRSLLESSDGKRPCYPRATKCEPSPWTSCKSGAIESTAPACTSSSPCSLKEHCSACGPLSRILVKICTITKEIKDGKVISSYEHVQPCFIIRPAKV
+>DECOY_sp|Q6A163|K1C39_HUMAN Keratin, type I cytoskeletal 39 OS=Homo sapiens OX=9606 GN=KRT39 PE=1 SV=2
+VKAPRIIFCPQVHEYSSIVKGDKIEKTITCIKVLIRSLPGCASCHEKLSCPSSSTCAPATSEIAGSKCSTWPSPECKTARPYCPRKGDSSELLSRYTTIECELRSKVDLLIEYEQNQRELACRIEALQAELNDILSQIQTLLATYRAETETLICEQSDRMRHQAQLEVELTNVSRRLEIIEKQCCQQQQSSTVVQQNLEEIQTNFWQEVDKRNTEMIPEYQCRMEQLVQNLDASPAATVEIDLREGLQCQLSNIEEKHNNKLCLLEEKLSQVQAELDAKGLTLVNLIQKLGNADSEVLQRLSVEAEYKARLDDATLKTNDIQSVLRSNEAKTCLIKQQLEEITTYYSLYDPCLVPLEKNSEEQIKSELEANERELMRVKQLYNALRENLIQMTEKENSNIGEGYWSCDDLSFRANFNNMLYIPKRCFRPTPQCGQDWPIRLVHGAPQCNNVTLGGPHCGNNSSITSVNTIRSCNQCPTSPSNTTTCGKTDM
+>sp|Q14532|K1H2_HUMAN Keratin, type I cuticular Ha2 OS=Homo sapiens OX=9606 GN=KRT32 PE=2 SV=3
+MTSSCCVTNNLQASLKSCPRPASVCSSGVNCRPELCLGYVCQPMACLPSVCLPTTFRPASCLSKTYLSSSCQAASGISGSMGPGSWYSEGAFNGNEKETMQFLNDRLASYLTRVRQLEQENAELESRIQEASHSQVLTMTPDYQSHFRTIEELQQKILCTKAENARMVVNIDNAKLAADDFRAKYEAELAMRQLVEADINGLRRILDDLTLCKADLEAQVESLKEELMCLKKNHEEEVGSLRCQLGDRLNIEVDAAPPVDLTRVLEEMRCQYEAMVEANRRDVEEWFNMQMEELNQQVATSSEQLQNYQSDIIDLRRTVNTLEIELQAQHSLRDSLENTLTESEARYSSQLAQMQCMITNVEAQLAEIRADLERQNQEYQVLLDVRARLEGEINTYRSLLENEDCKLPCNPCSTPSCTTCVPSPCVPRTVCVPRTVGMPCSPCPQGRY
+>DECOY_sp|Q14532|K1H2_HUMAN Keratin, type I cuticular Ha2 OS=Homo sapiens OX=9606 GN=KRT32 PE=2 SV=3
+YRGQPCPSCPMGVTRPVCVTRPVCPSPVCTTCSPTSCPNCPLKCDENELLSRYTNIEGELRARVDLLVQYEQNQRELDARIEALQAEVNTIMCQMQALQSSYRAESETLTNELSDRLSHQAQLEIELTNVTRRLDIIDSQYNQLQESSTAVQQNLEEMQMNFWEEVDRRNAEVMAEYQCRMEELVRTLDVPPAADVEINLRDGLQCRLSGVEEEHNKKLCMLEEKLSEVQAELDAKCLTLDDLIRRLGNIDAEVLQRMALEAEYKARFDDAALKANDINVVMRANEAKTCLIKQQLEEITRFHSQYDPTMTLVQSHSAEQIRSELEANEQELQRVRTLYSALRDNLFQMTEKENGNFAGESYWSGPGMSGSIGSAAQCSSSLYTKSLCSAPRFTTPLCVSPLCAMPQCVYGLCLEPRCNVGSSCVSAPRPCSKLSAQLNNTVCCSSTM
+>sp|Q01546|K22O_HUMAN Keratin, type II cytoskeletal 2 oral OS=Homo sapiens OX=9606 GN=KRT76 PE=1 SV=2
+MNRQVCKKSFSGRSQGFSGRSAVVSGSSRMSCVARSGGAGGGACGFRSGAGSFGSRSLYNLGSNKSISISVAAGSSRAGGFGGGRSSCGFAGGYGGGFGGSYGGGFGGGRGVGSGFGGAGGFGGAGGFGGPGVFGGPGSFGGPGGFGPGGFPGGIQEVIVNQSLLQPLNVEIDPQIGQVKAQEREQIKTLNNKFASFIDKVRFLEQQNKVLETKWELLQQQTTGSGPSSLEPCFESYISFLCKQLDSLLGERGNLEGELKSMQDLVEDFKKKYEDEINKRTAAENEFVGLKKDVDAAFMNKVELQAKVDSLTDEVSFLRTLYEMELSQMQSHASDTSVVLSMDNNRCLDLGSIIAEVRAQYEEIAQRSKSEAEALYQTKLGELQTTAGRHGDDLRNTKSEIMELNRMIQRLRAEIENVKKQNANLQTAIAEAEQRGEMALKDANAKLQDLQTALQKAKDDLARLLRDYQELMNVKLALDVEIATYRKLLEGEECRMSGECQSAVCISVVSNVTSTSGSSGSSRGVFGGVSGSGSGGYKGGSSSSSSSGYGVSGGSGSGYGGVSSGSTGGRGSSGSYQSSSSGSRLGGAGSISVSHSGMGSSSGSIQTSGGSGYKSGGGGSTSIRFSQTTSSSQHSSTK
+>DECOY_sp|Q01546|K22O_HUMAN Keratin, type II cytoskeletal 2 oral OS=Homo sapiens OX=9606 GN=KRT76 PE=1 SV=2
+KTSSHQSSSTTQSFRISTSGGGGSKYGSGGSTQISGSSSGMGSHSVSISGAGGLRSGSSSSQYSGSSGRGGTSGSSVGGYGSGSGGSVGYGSSSSSSSGGKYGGSGSGSVGGFVGRSSGSSGSTSTVNSVVSICVASQCEGSMRCEEGELLKRYTAIEVDLALKVNMLEQYDRLLRALDDKAKQLATQLDQLKANADKLAMEGRQEAEAIATQLNANQKKVNEIEARLRQIMRNLEMIESKTNRLDDGHRGATTQLEGLKTQYLAEAESKSRQAIEEYQARVEAIISGLDLCRNNDMSLVVSTDSAHSQMQSLEMEYLTRLFSVEDTLSDVKAQLEVKNMFAADVDKKLGVFENEAATRKNIEDEYKKKFDEVLDQMSKLEGELNGREGLLSDLQKCLFSIYSEFCPELSSPGSGTTQQQLLEWKTELVKNQQELFRVKDIFSAFKNNLTKIQEREQAKVQGIQPDIEVNLPQLLSQNVIVEQIGGPFGGPGFGGPGGFSGPGGFVGPGGFGGAGGFGGAGGFGSGVGRGGGFGGGYSGGFGGGYGGAFGCSSRGGGFGGARSSGAAVSISISKNSGLNYLSRSGFSGAGSRFGCAGGGAGGSRAVCSMRSSGSVVASRGSFGQSRGSFSKKCVQRNM
+>sp|P04264|K2C1_HUMAN Keratin, type II cytoskeletal 1 OS=Homo sapiens OX=9606 GN=KRT1 PE=1 SV=6
+MSRQFSSRSGYRSGGGFSSGSAGIINYQRRTTSSSTRRSGGGGGRFSSCGGGGGSFGAGGGFGSRSLVNLGGSKSISISVARGGGRGSGFGGGYGGGGFGGGGFGGGGFGGGGIGGGGFGGFGSGGGGFGGGGFGGGGYGGGYGPVCPPGGIQEVTINQSLLQPLNVEIDPEIQKVKSREREQIKSLNNQFASFIDKVRFLEQQNQVLQTKWELLQQVDTSTRTHNLEPYFESFINNLRRRVDQLKSDQSRLDSELKNMQDMVEDYRNKYEDEINKRTNAENEFVTIKKDVDGAYMTKVDLQAKLDNLQQEIDFLTALYQAELSQMQTQISETNVILSMDNNRSLDLDSIIAEVKAQYEDIAQKSKAEAESLYQSKYEELQITAGRHGDSVRNSKIEISELNRVIQRLRSEIDNVKKQISNLQQSISDAEQRGENALKDAKNKLNDLEDALQQAKEDLARLLRDYQELMNTKLALDLEIATYRTLLEGEESRMSGECAPNVSVSVSTSHTTISGGGSRGGGGGGYGSGGSSYGSGGGSYGSGGGGGGGRGSYGSGGSSYGSGGGSYGSGGGGGGHGSYGSGSSSGGYRGGSGGGGGGSSGGRGSGGGSSGGSIGGRGSSSGGVKSSGGSSSVKFVSTTYSGVTR
+>DECOY_sp|P04264|K2C1_HUMAN Keratin, type II cytoskeletal 1 OS=Homo sapiens OX=9606 GN=KRT1 PE=1 SV=6
+RTVGSYTTSVFKVSSSGGSSKVGGSSSGRGGISGGSSGGGSGRGGSSGGGGGGSGGRYGGSSSGSGYSGHGGGGGGSGYSGGGSGYSSGGSGYSGRGGGGGGGSGYSGGGSGYSSGGSGYGGGGGGRSGGGSITTHSTSVSVSVNPACEGSMRSEEGELLTRYTAIELDLALKTNMLEQYDRLLRALDEKAQQLADELDNLKNKADKLANEGRQEADSISQQLNSIQKKVNDIESRLRQIVRNLESIEIKSNRVSDGHRGATIQLEEYKSQYLSEAEAKSKQAIDEYQAKVEAIISDLDLSRNNDMSLIVNTESIQTQMQSLEAQYLATLFDIEQQLNDLKAQLDVKTMYAGDVDKKITVFENEANTRKNIEDEYKNRYDEVMDQMNKLESDLRSQDSKLQDVRRRLNNIFSEFYPELNHTRTSTDVQQLLEWKTQLVQNQQELFRVKDIFSAFQNNLSKIQERERSKVKQIEPDIEVNLPQLLSQNITVEQIGGPPCVPGYGGGYGGGGFGGGGFGGGGSGFGGFGGGGIGGGGFGGGGFGGGGFGGGGYGGGFGSGRGGGRAVSISISKSGGLNVLSRSGFGGGAGFSGGGGGCSSFRGGGGGSRRTSSSTTRRQYNIIGASGSSFGGGSRYGSRSSFQRSM
+>sp|Q8N1N4|K2C78_HUMAN Keratin, type II cytoskeletal 78 OS=Homo sapiens OX=9606 GN=KRT78 PE=1 SV=2
+MSLSPCRAQRGFSARSACSARSRGRSRGGFSSRGGFSSRSLNSFGGCLEGSRGSTWGSGGRLGVRFGEWSGGPGLSLCPPGGIQEVTINQNLLTPLKIEIDPQFQVVRTQETQEIRTLNNQFASFIDKVRFLEQQNKVLETKWHLLQQQGLSGSQQGLEPVFEACLDQLRKQLEQLQGERGALDAELKACRDQEEEYKSKYEEEAHRRATLENDFVVLKKDVDGVFLSKMELEGKLEALREYLYFLKHLNEEELGQLQTQASDTSVVLSMDNNRYLDFSSIITEVRARYEEIARSSKAEAEALYQTKYQELQVSAQLHGDRMQETKVQISQLHQEIQRLQSQTENLKKQNASLQAAITDAEQRGELALKDAQAKVDELEAALRMAKQNLARLLCEYQELTSTKLSLDVEIATYRRLLEGEECRMSGECTSQVTISSVGGSAVMSGGVGGGLGSTCGLGSGKGSPGSCCTSIVTGGSNIILGSGKDPVLDSCSVSGSSAGSSCHTILKKTVESSLKTSITY
+>DECOY_sp|Q8N1N4|K2C78_HUMAN Keratin, type II cytoskeletal 78 OS=Homo sapiens OX=9606 GN=KRT78 PE=1 SV=2
+YTISTKLSSEVTKKLITHCSSGASSGSVSCSDLVPDKGSGLIINSGGTVISTCCSGPSGKGSGLGCTSGLGGGVGGSMVASGGVSSITVQSTCEGSMRCEEGELLRRYTAIEVDLSLKTSTLEQYECLLRALNQKAMRLAAELEDVKAQADKLALEGRQEADTIAAQLSANQKKLNETQSQLRQIEQHLQSIQVKTEQMRDGHLQASVQLEQYKTQYLAEAEAKSSRAIEEYRARVETIISSFDLYRNNDMSLVVSTDSAQTQLQGLEEENLHKLFYLYERLAELKGELEMKSLFVGDVDKKLVVFDNELTARRHAEEEYKSKYEEEQDRCAKLEADLAGREGQLQELQKRLQDLCAEFVPELGQQSGSLGQQQLLHWKTELVKNQQELFRVKDIFSAFQNNLTRIEQTEQTRVVQFQPDIEIKLPTLLNQNITVEQIGGPPCLSLGPGGSWEGFRVGLRGGSGWTSGRSGELCGGFSNLSRSSFGGRSSFGGRSRGRSRASCASRASFGRQARCPSLSM
+>sp|P31323|KAP3_HUMAN cAMP-dependent protein kinase type II-beta regulatory subunit OS=Homo sapiens OX=9606 GN=PRKAR2B PE=1 SV=3
+MSIEIPAGLTELLQGFTVEVLRHQPADLLEFALQHFTRLQQENERKGTARFGHEGRTWGDLGAAAGGGTPSKGVNFAEEPMQSDSEDGEEEEAAPADAGAFNAPVINRFTRRASVCAEAYNPDEEEDDAESRIIHPKTDDQRNRLQEACKDILLFKNLDPEQMSQVLDAMFEKLVKDGEHVIDQGDDGDNFYVIDRGTFDIYVKCDGVGRCVGNYDNRGSFGELALMYNTPRAATITATSPGALWGLDRVTFRRIIVKNNAKKRKMYESFIESLPFLKSLEFSERLKVVDVIGTKVYNDGEQIIAQGDSADSFFIVESGEVKITMKRKGKSEVEENGAVEIARCSRGQYFGELALVTNKPRAASAHAIGTVKCLAMDVQAFERLLGPCMEIMKRNIATYEEQLVALFGTNMDIVEPTA
+>DECOY_sp|P31323|KAP3_HUMAN cAMP-dependent protein kinase type II-beta regulatory subunit OS=Homo sapiens OX=9606 GN=PRKAR2B PE=1 SV=3
+ATPEVIDMNTGFLAVLQEEYTAINRKMIEMCPGLLREFAQVDMALCKVTGIAHASAARPKNTVLALEGFYQGRSCRAIEVAGNEEVESKGKRKMTIKVEGSEVIFFSDASDGQAIIQEGDNYVKTGIVDVVKLRESFELSKLFPLSEIFSEYMKRKKANNKVIIRRFTVRDLGWLAGPSTATITAARPTNYMLALEGFSGRNDYNGVCRGVGDCKVYIDFTGRDIVYFNDGDDGQDIVHEGDKVLKEFMADLVQSMQEPDLNKFLLIDKCAEQLRNRQDDTKPHIIRSEADDEEEDPNYAEACVSARRTFRNIVPANFAGADAPAAEEEEGDESDSQMPEEAFNVGKSPTGGGAAAGLDGWTRGEHGFRATGKRENEQQLRTFHQLAFELLDAPQHRLVEVTFGQLLETLGAPIEISM
+>sp|Q16773|KAT1_HUMAN Kynurenine--oxoglutarate transaminase 1 OS=Homo sapiens OX=9606 GN=KYAT1 PE=1 SV=1
+MAKQLQARRLDGIDYNPWVEFVKLASEHDVVNLGQGFPDFPPPDFAVEAFQHAVSGDFMLNQYTKTFGYPPLTKILASFFGELLGQEIDPLRNVLVTVGGYGALFTAFQALVDEGDEVIIIEPFFDCYEPMTMMAGGRPVFVSLKPGPIQNGELGSSSNWQLDPMELAGKFTSRTKALVLNTPNNPLGKVFSREELELVASLCQQHDVVCITDEVYQWMVYDGHQHISIASLPGMWERTLTIGSAGKTFSATGWKVGWVLGPDHIMKHLRTVHQNSVFHCPTQSQAAVAESFEREQLLFRQPSSYFVQFPQAMQRCRDHMIRSLQSVGLKPIIPQGSYFLITDISDFKRKMPDLPGAVDEPYDRRFVKWMIKNKGLVAIPVSIFYSVPHQKHFDHYIRFCFVKDEATLQAMDEKLRKWKVEL
+>DECOY_sp|Q16773|KAT1_HUMAN Kynurenine--oxoglutarate transaminase 1 OS=Homo sapiens OX=9606 GN=KYAT1 PE=1 SV=1
+LEVKWKRLKEDMAQLTAEDKVFCFRIYHDFHKQHPVSYFISVPIAVLGKNKIMWKVFRRDYPEDVAGPLDPMKRKFDSIDTILFYSGQPIIPKLGVSQLSRIMHDRCRQMAQPFQVFYSSPQRFLLQEREFSEAVAAQSQTPCHFVSNQHVTRLHKMIHDPGLVWGVKWGTASFTKGASGITLTREWMGPLSAISIHQHGDYVMWQYVEDTICVVDHQQCLSAVLELEERSFVKGLPNNPTNLVLAKTRSTFKGALEMPDLQWNSSSGLEGNQIPGPKLSVFVPRGGAMMTMPEYCDFFPEIIIVEDGEDVLAQFATFLAGYGGVTVLVNRLPDIEQGLLEGFFSALIKTLPPYGFTKTYQNLMFDGSVAHQFAEVAFDPPPFDPFGQGLNVVDHESALKVFEVWPNYDIGDLRRAQLQKAM
+>sp|Q92794|KAT6A_HUMAN Histone acetyltransferase KAT6A OS=Homo sapiens OX=9606 GN=KAT6A PE=1 SV=2
+MVKLANPLYTEWILEAIKKVKKQKQRPSEERICNAVSSSHGLDRKTVLEQLELSVKDGTILKVSNKGLNSYKDPDNPGRIALPKPRNHGKLDNKQNVDWNKLIKRAVEGLAESGGSTLKSIERFLKGQKDVSALFGGSAASGFHQQLRLAIKRAIGHGRLLKDGPLYRLNTKATNVDGKESCESLSCLPPVSLLPHEKDKPVAEPIPICSFCLGTKEQNREKKPEELISCADCGNSGHPSCLKFSPELTVRVKALRWQCIECKTCSSCRDQGKNADNMLFCDSCDRGFHMECCDPPLTRMPKGMWICQICRPRKKGRKLLQKKAAQIKRRYTNPIGRPKNRLKKQNTVSKGPFSKVRTGPGRGRKRKITLSSQSASSSSEEGYLERIDGLDFCRDSNVSLKFNKKTKGLIDGLTKFFTPSPDGRKARGEVVDYSEQYRIRKRGNRKSSTSDWPTDNQDGWDGKQENEERLFGSQEIMTEKDMELFRDIQEQALQKVGVTGPPDPQVRCPSVIEFGKYEIHTWYSSPYPQEYSRLPKLYLCEFCLKYMKSRTILQQHMKKCGWFHPPANEIYRKNNISVFEVDGNVSTIYCQNLCLLAKLFLDHKTLYYDVEPFLFYVLTQNDVKGCHLVGYFSKEKHCQQKYNVSCIMILPQYQRKGYGRFLIDFSYLLSKREGQAGSPEKPLSDLGRLSYMAYWKSVILECLYHQNDKQISIKKLSKLTGICPQDITSTLHHLRMLDFRSDQFVIIRREKLIQDHMAKLQLNLRPVDVDPECLRWTPVIVSNSVVSEEEEEEAEEGENEEPQCQERELEISVGKSVSHENKEQDSYSVESEKKPEVMAPVSSTRLSKQVLPHDSLPANSQPSRRGRWGRKNRKTQERFGDKDSKLLLEETSSAPQEQYGECGEKSEATQEQYTESEEQLVASEEQPSQDGKPDLPKRRLSEGVEPWRGQLKKSPEALKCRLTEGSERLPRRYSEGDRAVLRGFSESSEEEEEPESPRSSSPPILTKPTLKRKKPFLHRRRRVRKRKHHNSSVVTETISETTEVLDEPFEDSDSERPMPRLEPTFEIDEEEEEEDENELFPREYFRRLSSQDVLRCQSSSKRKSKDEEEDEESDDADDTPILKPVSLLRKRDVKNSPLEPDTSTPLKKKKGWPKGKSRKPIHWKKRPGRKPGFKLSREIMPVSTQACVIEPIVSIPKAGRKPKIQESEETVEPKEDMPLPEERKEEEEMQAEAEEAEEGEEEDAASSEVPAASPADSSNSPETETKEPEVEEEEEKPRVSEEQRQSEEEQQELEEPEPEEEEDAAAETAQNDDHDADDEDDGHLESTKKKELEEQPTREDVKEEPGVQESFLDANMQKSREKIKDKEETELDSEEEQPSHDTSVVSEQMAGSEDDHEEDSHTKEELIELKEEEEIPHSELDLETVQAVQSLTQEESSEHEGAYQDCEETLAACQTLQSYTQADEDPQMSMVEDCHASEHNSPISSVQSHPSQSVRSVSSPNVPALESGYTQISPEQGSLSAPSMQNMETSPMMDVPSVSDHSQQVVDSGFSDLGSIESTTENYENPSSYDSTMGGSICGNSSSQSSCSYGGLSSSSSLTQSSCVVTQQMASMGSSCSMMQQSSVQPAANCSIKSPQSCVVERPPSNQQQQPPPPPPQQPQPPPPQPQPAPQPPPPQQQPQQQPQPQPQQPPPPPPPQQQPPLSQCSMNNSFTPAPMIMEIPESGSTGNISIYERIPGDFGAGSYSQPSATFSLAKLQQLTNTIMDPHAMPYSHSPAVTSYATSVSLSNTGLAQLAPSHPLAGTPQAQATMTPPPNLASTTMNLTSPLLQCNMSATNIGIPHTQRLQGQMPVKGHISIRSKSAPLPSAAAHQQQLYGRSPSAVAMQAGPRALAVQRGMNMGVNLMPTPAYNVNSMNMNTLNAMNSYRMTQPMMNSSYHSNPAYMNQTAQYPMQMQMGMMGSQAYTQQPMQPNPHGNMMYTGPSHHSYMNAAGVPKQSLNGPYMRR
+>DECOY_sp|Q92794|KAT6A_HUMAN Histone acetyltransferase KAT6A OS=Homo sapiens OX=9606 GN=KAT6A PE=1 SV=2
+RRMYPGNLSQKPVGAANMYSHHSPGTYMMNGHPNPQMPQQTYAQSGMMGMQMQMPYQATQNMYAPNSHYSSNMMPQTMRYSNMANLTNMNMSNVNYAPTPMLNVGMNMGRQVALARPGAQMAVASPSRGYLQQQHAAASPLPASKSRISIHGKVPMQGQLRQTHPIGINTASMNCQLLPSTLNMTTSALNPPPTMTAQAQPTGALPHSPALQALGTNSLSVSTAYSTVAPSHSYPMAHPDMITNTLQQLKALSFTASPQSYSGAGFDGPIREYISINGTSGSEPIEMIMPAPTFSNNMSCQSLPPQQQPPPPPPPQQPQPQPQQQPQQQPPPPQPAPQPQPPPPQPQQPPPPPPQQQQNSPPREVVCSQPSKISCNAAPQVSSQQMMSCSSGMSAMQQTVVCSSQTLSSSSSLGGYSCSSQSSSNGCISGGMTSDYSSPNEYNETTSEISGLDSFGSDVVQQSHDSVSPVDMMPSTEMNQMSPASLSGQEPSIQTYGSELAPVNPSSVSRVSQSPHSQVSSIPSNHESAHCDEVMSMQPDEDAQTYSQLTQCAALTEECDQYAGEHESSEEQTLSQVAQVTELDLESHPIEEEEKLEILEEKTHSDEEHDDESGAMQESVVSTDHSPQEEESDLETEEKDKIKERSKQMNADLFSEQVGPEEKVDERTPQEELEKKKTSELHGDDEDDADHDDNQATEAAADEEEEPEPEELEQQEEESQRQEESVRPKEEEEEVEPEKTETEPSNSSDAPSAAPVESSAADEEEGEEAEEAEAQMEEEEKREEPLPMDEKPEVTEESEQIKPKRGAKPISVIPEIVCAQTSVPMIERSLKFGPKRGPRKKWHIPKRSKGKPWGKKKKLPTSTDPELPSNKVDRKRLLSVPKLIPTDDADDSEEDEEEDKSKRKSSSQCRLVDQSSLRRFYERPFLENEDEEEEEEDIEFTPELRPMPRESDSDEFPEDLVETTESITETVVSSNHHKRKRVRRRRHLFPKKRKLTPKTLIPPSSSRPSEPEEEEESSESFGRLVARDGESYRRPLRESGETLRCKLAEPSKKLQGRWPEVGESLRRKPLDPKGDQSPQEESAVLQEESETYQEQTAESKEGCEGYQEQPASSTEELLLKSDKDGFREQTKRNKRGWRGRRSPQSNAPLSDHPLVQKSLRTSSVPAMVEPKKESEVSYSDQEKNEHSVSKGVSIELEREQCQPEENEGEEAEEEEEESVVSNSVIVPTWRLCEPDVDVPRLNLQLKAMHDQILKERRIIVFQDSRFDLMRLHHLTSTIDQPCIGTLKSLKKISIQKDNQHYLCELIVSKWYAMYSLRGLDSLPKEPSGAQGERKSLLYSFDILFRGYGKRQYQPLIMICSVNYKQQCHKEKSFYGVLHCGKVDNQTLVYFLFPEVDYYLTKHDLFLKALLCLNQCYITSVNGDVEFVSINNKRYIENAPPHFWGCKKMHQQLITRSKMYKLCFECLYLKPLRSYEQPYPSSYWTHIEYKGFEIVSPCRVQPDPPGTVGVKQLAQEQIDRFLEMDKETMIEQSGFLREENEQKGDWGDQNDTPWDSTSSKRNGRKRIRYQESYDVVEGRAKRGDPSPTFFKTLGDILGKTKKNFKLSVNSDRCFDLGDIRELYGEESSSSASQSSLTIKRKRGRGPGTRVKSFPGKSVTNQKKLRNKPRGIPNTYRRKIQAAKKQLLKRGKKRPRCIQCIWMGKPMRTLPPDCCEMHFGRDCSDCFLMNDANKGQDRCSSCTKCEICQWRLAKVRVTLEPSFKLCSPHGSNGCDACSILEEPKKERNQEKTGLCFSCIPIPEAVPKDKEHPLLSVPPLCSLSECSEKGDVNTAKTNLRYLPGDKLLRGHGIARKIALRLQQHFGSAASGGFLASVDKQGKLFREISKLTSGGSEALGEVARKILKNWDVNQKNDLKGHNRPKPLAIRGPNDPDKYSNLGKNSVKLITGDKVSLELQELVTKRDLGHSSSVANCIREESPRQKQKKVKKIAELIWETYLPNALKVM
+>sp|Q9NYR9|KBRS2_HUMAN NF-kappa-B inhibitor-interacting Ras-like protein 2 OS=Homo sapiens OX=9606 GN=NKIRAS2 PE=1 SV=1
+MGKSCKVVVCGQASVGKTSILEQLLYGNHVVGSEMIETQEDIYVGSIETDRGVREQVRFYDTRGLRDGAELPRHCFSCTDGYVLVYSTDSRESFQRVELLKKEIDKSKDKKEVTIVVLGNKCDLQEQRRVDPDVAQHWAKSEKVKLWEVSVADRRSLLEPFVYLASKMTQPQSKSAFPLSRKNKGSGSLDG
+>DECOY_sp|Q9NYR9|KBRS2_HUMAN NF-kappa-B inhibitor-interacting Ras-like protein 2 OS=Homo sapiens OX=9606 GN=NKIRAS2 PE=1 SV=1
+GDLSGSGKNKRSLPFASKSQPQTMKSALYVFPELLSRRDAVSVEWLKVKESKAWHQAVDPDVRRQEQLDCKNGLVVITVEKKDKSKDIEKKLLEVRQFSERSDTSYVLVYGDTCSFCHRPLEAGDRLGRTDYFRVQERVGRDTEISGVYIDEQTEIMESGVVHNGYLLQELISTKGVSAQGCVVVKCSKGM
+>sp|Q8NAB2|KBTB3_HUMAN Kelch repeat and BTB domain-containing protein 3 OS=Homo sapiens OX=9606 GN=KBTBD3 PE=2 SV=2
+MDNSYAFNQRSTCNGIPSEKKNNFLVSEDHGQKILSVLQNFREQNVFYDFKIIMKDEIIPCHRCVLAACSDFFRAMFEVNMKERDDGSVTITNLSSKAVKAFLDYAYTGKTKITDDNVEMFFQLSSFLQVSFLSKACSDFLIKSINLVNCLQLLSISDSYGSTSLFDHALHFVQHHFSLLFKSSDFLEMNFGVLQKCLESDELNVPEEEMVLKVVLSWTKHNLESRQKYLPHLIEKVRLHQLSEETLQDCLFNEESLLKSTNCFDIIMDAIKCVQGSGGLFPDARPSTTEKYIFIHKTEENGENQYTFCYNIKSDSWKILPQSHLIDLPGSSLSSYGEKIFLTGGCKGKCCRTVRLHIAESYHDATDQTWCYCPVKNDFFLVSTMKTPRTMHTSVMALDRLFVIGGKTRGSRDIKSLLDVESYNPLSKEWISVSPLPRGIYYPEASTCQNVIYVLGSEVEITDAFNPSLDCFFKYNATTDQWSELVAEFGQFFHATLIKAVPVNCTLYICDLSTYKVYSFCPDTCVWKGEGSFECAGFNAGAIGIEDKIYILGGDYAPDEITDEVQVYHSNRSEWEEVSPMPRALTEFYCQVIQFNKYRDPWFSNLCA
+>DECOY_sp|Q8NAB2|KBTB3_HUMAN Kelch repeat and BTB domain-containing protein 3 OS=Homo sapiens OX=9606 GN=KBTBD3 PE=2 SV=2
+ACLNSFWPDRYKNFQIVQCYFETLARPMPSVEEWESRNSHYVQVEDTIEDPAYDGGLIYIKDEIGIAGANFGACEFSGEGKWVCTDPCFSYVKYTSLDCIYLTCNVPVAKILTAHFFQGFEAVLESWQDTTANYKFFCDLSPNFADTIEVESGLVYIVNQCTSAEPYYIGRPLPSVSIWEKSLPNYSEVDLLSKIDRSGRTKGGIVFLRDLAMVSTHMTRPTKMTSVLFFDNKVPCYCWTQDTADHYSEAIHLRVTRCCKGKCGGTLFIKEGYSSLSSGPLDILHSQPLIKWSDSKINYCFTYQNEGNEETKHIFIYKETTSPRADPFLGGSGQVCKIADMIIDFCNTSKLLSEENFLCDQLTEESLQHLRVKEILHPLYKQRSELNHKTWSLVVKLVMEEEPVNLEDSELCKQLVGFNMELFDSSKFLLSFHHQVFHLAHDFLSTSGYSDSISLLQLCNVLNISKILFDSCAKSLFSVQLFSSLQFFMEVNDDTIKTKGTYAYDLFAKVAKSSLNTITVSGDDREKMNVEFMARFFDSCAALVCRHCPIIEDKMIIKFDYFVNQERFNQLVSLIKQGHDESVLFNNKKESPIGNCTSRQNFAYSNDM
+>sp|Q86V97|KBTB6_HUMAN Kelch repeat and BTB domain-containing protein 6 OS=Homo sapiens OX=9606 GN=KBTBD6 PE=1 SV=1
+MQSREDAPRSRRLASPRGGKRPKKIHKPTVSAFFTGPEELKDTAHSAALLAQLKSFYDARLLCDVTIEVVTPGSGPGTGRLFPCNRNVLAAACPYFKSMFTGGMYESQQASVTMHDVDAESFEVLVDYCYTGRVSLSEANVERLYAASDMLQLEYVREACASFLARRLDLTNCTAILKFADAFGHRKLRSQAQSYIAQNFKQLSHMGSIREETLADLTLAQLLAVLRLDSLDVESEQTVCHVAVQWLEAAPKERGPSAAEVFKCVRWMHFTEEDQDYLEGLLTKPIVKKYCLDVIEGALQMRYGDLLYKSLVPVPNSSSSSSSSNSLVSAAENPPQRLGMCAKEMVIFFGHPRDPFLCCDPYSGDLYKVPSPLTCLAHTRTVTTLAVCISPDHDIYLAAQPRTDLWVYKPAQNSWQQLADRLLCREGMDVAYLNGYIYILGGRDPITGVKLKEVECYNVKRNQWALVAPLPHSFLSFDLMVIRDYLYALNSKRMFCYDPSHNMWLKCVSLKRNDFQEACVFNEEIYCICDIPVMKVYNPVRAEWRQMNNIPLVSETNNYRIIKHGQKLLLITSRTPQWKKNRVTVYEYDIRGDQWINIGTTLGLLQFDSNFFCLSARVYPSCLEPGQSFLTEEEEIPSESSTEWDLGGFSEPDSESGSSSSLSDDDFWVRVAPQ
+>DECOY_sp|Q86V97|KBTB6_HUMAN Kelch repeat and BTB domain-containing protein 6 OS=Homo sapiens OX=9606 GN=KBTBD6 PE=1 SV=1
+QPAVRVWFDDDSLSSSSGSESDPESFGGLDWETSSESPIEEEETLFSQGPELCSPYVRASLCFFNSDFQLLGLTTGINIWQDGRIDYEYVTVRNKKWQPTRSTILLLKQGHKIIRYNNTESVLPINNMQRWEARVPNYVKMVPIDCICYIEENFVCAEQFDNRKLSVCKLWMNHSPDYCFMRKSNLAYLYDRIVMLDFSLFSHPLPAVLAWQNRKVNYCEVEKLKVGTIPDRGGLIYIYGNLYAVDMGERCLLRDALQQWSNQAPKYVWLDTRPQAALYIDHDPSICVALTTVTRTHALCTLPSPVKYLDGSYPDCCLFPDRPHGFFIVMEKACMGLRQPPNEAASVLSNSSSSSSSSNPVPVLSKYLLDGYRMQLAGEIVDLCYKKVIPKTLLGELYDQDEETFHMWRVCKFVEAASPGREKPAAELWQVAVHCVTQESEVDLSDLRLVALLQALTLDALTEERISGMHSLQKFNQAIYSQAQSRLKRHGFADAFKLIATCNTLDLRRALFSACAERVYELQLMDSAAYLREVNAESLSVRGTYCYDVLVEFSEADVDHMTVSAQQSEYMGGTFMSKFYPCAAALVNRNCPFLRGTGPGSGPTVVEITVDCLLRADYFSKLQALLAASHATDKLEEPGTFFASVTPKHIKKPRKGGRPSALRRSRPADERSQM
+>sp|Q14722|KCAB1_HUMAN Voltage-gated potassium channel subunit beta-1 OS=Homo sapiens OX=9606 GN=KCNAB1 PE=1 SV=1
+MLAARTGAAGSQISEENTKLRRQSGFSVAGKDKSPKKASENAKDSSLSPSGESQLRARQLALLREVEMNWYLKLCDLSSEHTTVCTTGMPHRNLGKSGLRVSCLGLGTWVTFGGQISDEVAERLMTIAYESGVNLFDTAEVYAAGKAEVILGSIIKKKGWRRSSLVITTKLYWGGKAETERGLSRKHIIEGLKGSLQRLQLEYVDVVFANRPDSNTPMEEIVRAMTHVINQGMAMYWGTSRWSAMEIMEAYSVARQFNMIPPVCEQAEYHLFQREKVEVQLPELYHKIGVGAMTWSPLACGIISGKYGNGVPESSRASLKCYQWLKERIVSEEGRKQQNKLKDLSPIAERLGCTLPQLAVAWCLRNEGVSSVLLGSSTPEQLIENLGAIQVLPKMTSHVVNEIDNILRNKPYSKKDYRS
+>DECOY_sp|Q14722|KCAB1_HUMAN Voltage-gated potassium channel subunit beta-1 OS=Homo sapiens OX=9606 GN=KCNAB1 PE=1 SV=1
+SRYDKKSYPKNRLINDIENVVHSTMKPLVQIAGLNEILQEPTSSGLLVSSVGENRLCWAVALQPLTCGLREAIPSLDKLKNQQKRGEESVIREKLWQYCKLSARSSEPVGNGYKGSIIGCALPSWTMAGVGIKHYLEPLQVEVKERQFLHYEAQECVPPIMNFQRAVSYAEMIEMASWRSTGWYMAMGQNIVHTMARVIEEMPTNSDPRNAFVVDVYELQLRQLSGKLGEIIHKRSLGRETEAKGGWYLKTTIVLSSRRWGKKKIISGLIVEAKGAAYVEATDFLNVGSEYAITMLREAVEDSIQGGFTVWTGLGLCSVRLGSKGLNRHPMGTTCVTTHESSLDCLKLYWNMEVERLLALQRARLQSEGSPSLSSDKANESAKKPSKDKGAVSFGSQRRLKTNEESIQSGAAGTRAALM
+>sp|Q6P2M8|KCC1B_HUMAN Calcium/calmodulin-dependent protein kinase type 1B OS=Homo sapiens OX=9606 GN=PNCK PE=2 SV=2
+MLLLKKHTEDISSVYEIRERLGSGAFSEVVLAQERGSAHLVALKCIPKKALRGKEALVENEIAVLRRISHPNIVALEDVHESPSHLYLAMELVTGGELFDRIMERGSYTEKDASHLVGQVLGAVSYLHSLGIVHRDLKPENLLYATPFEDSKIMVSDFGLSKIQAGNMLGTACGTPGYVAPELLEQKPYGKAVDVWALGVISYILLCGYPPFYDESDPELFSQILRASYEFDSPFWDDISESAKDFIRHLLERDPQKRFTCQQALRHLWISGDTAFDRDILGSVSEQIRKNFARTHWKRAFNATSFLRHIRKLGQIPEGEGASEQGMARHSHSGLRAGQPPKW
+>DECOY_sp|Q6P2M8|KCC1B_HUMAN Calcium/calmodulin-dependent protein kinase type 1B OS=Homo sapiens OX=9606 GN=PNCK PE=2 SV=2
+WKPPQGARLGSHSHRAMGQESAGEGEPIQGLKRIHRLFSTANFARKWHTRAFNKRIQESVSGLIDRDFATDGSIWLHRLAQQCTFRKQPDRELLHRIFDKASESIDDWFPSDFEYSARLIQSFLEPDSEDYFPPYGCLLIYSIVGLAWVDVAKGYPKQELLEPAVYGPTGCATGLMNGAQIKSLGFDSVMIKSDEFPTAYLLNEPKLDRHVIGLSHLYSVAGLVQGVLHSADKETYSGREMIRDFLEGGTVLEMALYLHSPSEHVDELAVINPHSIRRLVAIENEVLAEKGRLAKKPICKLAVLHASGREQALVVESFAGSGLRERIEYVSSIDETHKKLLLM
+>sp|Q96NX5|KCC1G_HUMAN Calcium/calmodulin-dependent protein kinase type 1G OS=Homo sapiens OX=9606 GN=CAMK1G PE=1 SV=3
+MGRKEEDDCSSWKKQTTNIRKTFIFMEVLGSGAFSEVFLVKQRLTGKLFALKCIKKSPAFRDSSLENEIAVLKKIKHENIVTLEDIYESTTHYYLVMQLVSGGELFDRILERGVYTEKDASLVIQQVLSAVKYLHENGIVHRDLKPENLLYLTPEENSKIMITDFGLSKMEQNGIMSTACGTPGYVAPEVLAQKPYSKAVDCWSIGVITYILLCGYPPFYEETESKLFEKIKEGYYEFESPFWDDISESAKDFICHLLEKDPNERYTCEKALSHPWIDGNTALHRDIYPSVSLQIQKNFAKSKWRQAFNAAAVVHHMRKLHMNLHSPGVRPEVENRPPETQASETSRPSSPEITITEAPVLDHSVALPALTQLPCQHGRRPTAPGGRSLNCLVNGSLHISSSLVPMHQGSLAAGPCGCCSSCLNIGSKGKSSYCSEPTLLKKANKKQNFKSEVMVPVKASGSSHCRAGQTGVCLIM
+>DECOY_sp|Q96NX5|KCC1G_HUMAN Calcium/calmodulin-dependent protein kinase type 1G OS=Homo sapiens OX=9606 GN=CAMK1G PE=1 SV=3
+MILCVGTQGARCHSSGSAKVPVMVESKFNQKKNAKKLLTPESCYSSKGKSGINLCSSCCGCPGAALSGQHMPVLSSSIHLSGNVLCNLSRGGPATPRRGHQCPLQTLAPLAVSHDLVPAETITIEPSSPRSTESAQTEPPRNEVEPRVGPSHLNMHLKRMHHVVAAANFAQRWKSKAFNKQIQLSVSPYIDRHLATNGDIWPHSLAKECTYRENPDKELLHCIFDKASESIDDWFPSEFEYYGEKIKEFLKSETEEYFPPYGCLLIYTIVGISWCDVAKSYPKQALVEPAVYGPTGCATSMIGNQEMKSLGFDTIMIKSNEEPTLYLLNEPKLDRHVIGNEHLYKVASLVQQIVLSADKETYVGRELIRDFLEGGSVLQMVLYYHTTSEYIDELTVINEHKIKKLVAIENELSSDRFAPSKKICKLAFLKGTLRQKVLFVESFAGSGLVEMFIFTKRINTTQKKWSSCDDEEKRGM
+>sp|Q96CX2|KCD12_HUMAN BTB/POZ domain-containing protein KCTD12 OS=Homo sapiens OX=9606 GN=KCTD12 PE=1 SV=1
+MALADSTRGLPNGGGGGGGSGSSSSSAEPPLFPDIVELNVGGQVYVTRRCTVVSVPDSLLWRMFTQQQPQELARDSKGRFFLDRDGFLFRYILDYLRDLQLVLPDYFPERSRLQREAEYFELPELVRRLGAPQQPGPGPPPSRRGVHKEGSLGDELLPLGYSEPEQQEGASAGAPSPTLELASRSPSGGAAGPLLTPSQSLDGSRRSGYITIGYRGSYTIGRDAQADAKFRRVARITVCGKTSLAKEVFGDTLNESRDPDRPPERYTSRYYLKFNFLEQAFDKLSESGFHMVACSSTGTCAFASSTDQSEDKIWTSYTEYVFCRE
+>DECOY_sp|Q96CX2|KCD12_HUMAN BTB/POZ domain-containing protein KCTD12 OS=Homo sapiens OX=9606 GN=KCTD12 PE=1 SV=1
+ERCFVYETYSTWIKDESQDTSSAFACTGTSSCAVMHFGSESLKDFAQELFNFKLYYRSTYREPPRDPDRSENLTDGFVEKALSTKGCVTIRAVRRFKADAQADRGITYSGRYGITIYGSRRSGDLSQSPTLLPGAAGGSPSRSALELTPSPAGASAGEQQEPESYGLPLLEDGLSGEKHVGRRSPPPGPGPQQPAGLRRVLEPLEFYEAERQLRSREPFYDPLVLQLDRLYDLIYRFLFGDRDLFFRGKSDRALEQPQQQTFMRWLLSDPVSVVTCRRTVYVQGGVNLEVIDPFLPPEASSSSSGSGGGGGGGNPLGRTSDALAM
+>sp|Q14500|KCJ12_HUMAN ATP-sensitive inward rectifier potassium channel 12 OS=Homo sapiens OX=9606 GN=KCNJ12 PE=1 SV=2
+MTAASRANPYSIVSSEEDGLHLVTMSGANGFGNGKVHTRRRCRNRFVKKNGQCNIEFANMDEKSQRYLADMFTTCVDIRWRYMLLIFSLAFLASWLLFGIIFWVIAVAHGDLEPAEGRGRTPCVMQVHGFMAAFLFSIETQTTIGYGLRCVTEECPVAVFMVVAQSIVGCIIDSFMIGAIMAKMARPKKRAQTLLFSHNAVVALRDGKLCLMWRVGNLRKSHIVEAHVRAQLIKPRVTEEGEYIPLDQIDIDVGFDKGLDRIFLVSPITILHEIDEASPLFGISRQDLETDDFEIVVILEGMVEATAMTTQARSSYLANEILWGHRFEPVLFEEKNQYKIDYSHFHKTYEVPSTPRCSAKDLVENKFLLPSANSFCYENELAFLSRDEEDEADGDQDGRSRDGLSPQARHDFDRLQAGGGVLEQRPYRRESEI
+>DECOY_sp|Q14500|KCJ12_HUMAN ATP-sensitive inward rectifier potassium channel 12 OS=Homo sapiens OX=9606 GN=KCNJ12 PE=1 SV=2
+IESERRYPRQELVGGGAQLRDFDHRAQPSLGDRSRGDQDGDAEDEEDRSLFALENEYCFSNASPLLFKNEVLDKASCRPTSPVEYTKHFHSYDIKYQNKEEFLVPEFRHGWLIENALYSSRAQTTMATAEVMGELIVVIEFDDTELDQRSIGFLPSAEDIEHLITIPSVLFIRDLGKDFGVDIDIQDLPIYEGEETVRPKILQARVHAEVIHSKRLNGVRWMLCLKGDRLAVVANHSFLLTQARKKPRAMKAMIAGIMFSDIICGVISQAVVMFVAVPCEETVCRLGYGITTQTEISFLFAAMFGHVQMVCPTRGRGEAPELDGHAVAIVWFIIGFLLWSALFALSFILLMYRWRIDVCTTFMDALYRQSKEDMNAFEINCQGNKKVFRNRCRRRTHVKGNGFGNAGSMTVLHLGDEESSVISYPNARSAATM
+>sp|O60928|KCJ13_HUMAN Inward rectifier potassium channel 13 OS=Homo sapiens OX=9606 GN=KCNJ13 PE=1 SV=1
+MDSSNCKVIAPLLSQRYRRMVTKDGHSTLQMDGAQRGLAYLRDAWGILMDMRWRWMMLVFSASFVVHWLVFAVLWYVLAEMNGDLELDHDAPPENHTICVKYITSFTAAFSFSLETQLTIGYGTMFPSGDCPSAIALLAIQMLLGLMLEAFITGAFVAKIARPKNRAFSIRFTDTAVVAHMDGKPNLIFQVANTRPSPLTSVRVSAVLYQERENGKLYQTSVDFHLDGISSDECPFFIFPLTYYHSITPSSPLATLLQHENPSHFELVVFLSAMQEGTGEICQRRTSYLPSEIMLHHCFASLLTRGSKGEYQIKMENFDKTVPEFPTPLVSKSPNRTDLDIHINGQSIDNFQISETGLTE
+>DECOY_sp|O60928|KCJ13_HUMAN Inward rectifier potassium channel 13 OS=Homo sapiens OX=9606 GN=KCNJ13 PE=1 SV=1
+ETLGTESIQFNDISQGNIHIDLDTRNPSKSVLPTPFEPVTKDFNEMKIQYEGKSGRTLLSAFCHHLMIESPLYSTRRQCIEGTGEQMASLFVVLEFHSPNEHQLLTALPSSPTISHYYTLPFIFFPCEDSSIGDLHFDVSTQYLKGNEREQYLVASVRVSTLPSPRTNAVQFILNPKGDMHAVVATDTFRISFARNKPRAIKAVFAGTIFAELMLGLLMQIALLAIASPCDGSPFMTGYGITLQTELSFSFAATFSTIYKVCITHNEPPADHDLELDGNMEALVYWLVAFVLWHVVFSASFVLMMWRWRMDMLIGWADRLYALGRQAGDMQLTSHGDKTVMRRYRQSLLPAIVKCNSSDM
+>sp|Q12791|KCMA1_HUMAN Calcium-activated potassium channel subunit alpha-1 OS=Homo sapiens OX=9606 GN=KCNMA1 PE=1 SV=2
+MANGGGGGGGSSGGGGGGGGSSLRMSSNIHANHLSLDASSSSSSSSSSSSSSSSSSSSSSVHEPKMDALIIPVTMEVPCDSRGQRMWWAFLASSMVTFFGGLFIILLWRTLKYLWTVCCHCGGKTKEAQKINNGSSQADGTLKPVDEKEEAVAAEVGWMTSVKDWAGVMISAQTLTGRVLVVLVFALSIGALVIYFIDSSNPIESCQNFYKDFTLQIDMAFNVFFLLYFGLRFIAANDKLWFWLEVNSVVDFFTVPPVFVSVYLNRSWLGLRFLRALRLIQFSEILQFLNILKTSNSIKLVNLLSIFISTWLTAAGFIHLVENSGDPWENFQNNQALTYWECVYLLMVTMSTVGYGDVYAKTTLGRLFMVFFILGGLAMFASYVPEIIELIGNRKKYGGSYSAVSGRKHIVVCGHITLESVSNFLKDFLHKDRDDVNVEIVFLHNISPNLELEALFKRHFTQVEFYQGSVLNPHDLARVKIESADACLILANKYCADPDAEDASNIMRVISIKNYHPKIRIITQMLQYHNKAHLLNIPSWNWKEGDDAICLAELKLGFIAQSCLAQGLSTMLANLFSMRSFIKIEEDTWQKYYLEGVSNEMYTEYLSSAFVGLSFPTVCELCFVKLKLLMIAIEYKSANRESRILINPGNHLKIQEGTLGFFIASDAKEVKRAFFYCKACHDDITDPKRIKKCGCKRPKMSIYKRMRRACCFDCGRSERDCSCMSGRVRGNVDTLERAFPLSSVSVNDCSTSFRAFEDEQPSTLSPKKKQRNGGMRNSPNTSPKLMRHDPLLIPGNDQIDNMDSNVKKYDSTGMFHWCAPKEIEKVILTRSEAAMTVLSGHVVVCIFGDVSSALIGLRNLVMPLRASNFHYHELKHIVFVGSIEYLKREWETLHNFPKVSILPGTPLSRADLRAVNINLCDMCVILSANQNNIDDTSLQDKECILASLNIKSMQFDDSIGVLQANSQGFTPPGMDRSSPDNSPVHGMLRQPSITTGVNIPIITELVNDTNVQFLDQDDDDDPDTELYLTQPFACGTAFAVSVLDSLMSATYFNDNILTLIRTLVTGGATPELEALIAEENALRGGYSTPQTLANRDRCRVAQLALLDGPFADLGDGGCYGDLFCKALKTYNMLCFGIYRLRDAHLSTPSQCTKRYVITNPPYEFELVPTDLIFCLMQFDHNAGQSRASLSHSSHSSQSSSKKSSSVHSIPSTANRQNRPKSRESRDKQKYVQEERL
+>DECOY_sp|Q12791|KCMA1_HUMAN Calcium-activated potassium channel subunit alpha-1 OS=Homo sapiens OX=9606 GN=KCNMA1 PE=1 SV=2
+LREEQVYKQKDRSERSKPRNQRNATSPISHVSSSKKSSSQSSHSSHSLSARSQGANHDFQMLCFILDTPVLEFEYPPNTIVYRKTCQSPTSLHADRLRYIGFCLMNYTKLAKCFLDGYCGGDGLDAFPGDLLALQAVRCRDRNALTQPTSYGGRLANEEAILAELEPTAGGTVLTRILTLINDNFYTASMLSDLVSVAFATGCAFPQTLYLETDPDDDDDQDLFQVNTDNVLETIIPINVGTTISPQRLMGHVPSNDPSSRDMGPPTFGQSNAQLVGISDDFQMSKINLSALICEKDQLSTDDINNQNASLIVCMDCLNINVARLDARSLPTGPLISVKPFNHLTEWERKLYEISGVFVIHKLEHYHFNSARLPMVLNRLGILASSVDGFICVVVHGSLVTMAAESRTLIVKEIEKPACWHFMGTSDYKKVNSDMNDIQDNGPILLPDHRMLKPSTNPSNRMGGNRQKKKPSLTSPQEDEFARFSTSCDNVSVSSLPFARELTDVNGRVRGSMCSCDRESRGCDFCCARRMRKYISMKPRKCGCKKIRKPDTIDDHCAKCYFFARKVEKADSAIFFGLTGEQIKLHNGPNILIRSERNASKYEIAIMLLKLKVFCLECVTPFSLGVFASSLYETYMENSVGELYYKQWTDEEIKIFSRMSFLNALMTSLGQALCSQAIFGLKLEALCIADDGEKWNWSPINLLHAKNHYQLMQTIIRIKPHYNKISIVRMINSADEADPDACYKNALILCADASEIKVRALDHPNLVSGQYFEVQTFHRKFLAELELNPSINHLFVIEVNVDDRDKHLFDKLFNSVSELTIHGCVVIHKRGSVASYSGGYKKRNGILEIIEPVYSAFMALGGLIFFVMFLRGLTTKAYVDGYGVTSMTVMLLYVCEWYTLAQNNQFNEWPDGSNEVLHIFGAATLWTSIFISLLNVLKISNSTKLINLFQLIESFQILRLARLFRLGLWSRNLYVSVFVPPVTFFDVVSNVELWFWLKDNAAIFRLGFYLLFFVNFAMDIQLTFDKYFNQCSEIPNSSDIFYIVLAGISLAFVLVVLVRGTLTQASIMVGAWDKVSTMWGVEAAVAEEKEDVPKLTGDAQSSGNNIKQAEKTKGGCHCCVTWLYKLTRWLLIIFLGGFFTVMSSALFAWWMRQGRSDCPVEMTVPIILADMKPEHVSSSSSSSSSSSSSSSSSSSSSSADLSLHNAHINSSMRLSSGGGGGGGGSSGGGGGGGNAM
+>sp|Q96RP8|KCNA7_HUMAN Potassium voltage-gated channel subfamily A member 7 OS=Homo sapiens OX=9606 GN=KCNA7 PE=2 SV=1
+MEPRCPPPCGCCERLVLNVAGLRFETRARTLGRFPDTLLGDPARRGRFYDDARREYFFDRHRPSFDAVLYYYQSGGRLRRPAHVPLDVFLEEVAFYGLGAAALARLREDEGCPVPPERPLPRRAFARQLWLLFEFPESSQAARVLAVVSVLVILVSIVVFCLETLPDFRDDRDGTGLAAAAAAGPFPAPLNGSSQMPGNPPRLPFNDPFFVVETLCICWFSFELLVRLLVCPSKAIFFKNVMNLIDFVAILPYFVALGTELARQRGVGQQAMSLAILRVIRLVRVFRIFKLSRHSKGLQILGQTLRASMRELGLLIFFLFIGVVLFSSAVYFAEVDRVDSHFTSIPESFWWAVVTMTTVGYGDMAPVTVGGKIVGSLCAIAGVLTISLPVPVIVSNFSYFYHRETEGEEAGMFSHVDMQPCGPLEGKANGGLVDGEVPELPPPLWAPPGKHLVTEV
+>DECOY_sp|Q96RP8|KCNA7_HUMAN Potassium voltage-gated channel subfamily A member 7 OS=Homo sapiens OX=9606 GN=KCNA7 PE=2 SV=1
+VETVLHKGPPAWLPPPLEPVEGDVLGGNAKGELPGCPQMDVHSFMGAEEGETERHYFYSFNSVIVPVPLSITLVGAIACLSGVIKGGVTVPAMDGYGVTTMTVVAWWFSEPISTFHSDVRDVEAFYVASSFLVVGIFLFFILLGLERMSARLTQGLIQLGKSHRSLKFIRFVRVLRIVRLIALSMAQQGVGRQRALETGLAVFYPLIAVFDILNMVNKFFIAKSPCVLLRVLLEFSFWCICLTEVVFFPDNFPLRPPNGPMQSSGNLPAPFPGAAAAAALGTGDRDDRFDPLTELCFVVISVLIVLVSVVALVRAAQSSEPFEFLLWLQRAFARRPLPREPPVPCGEDERLRALAAAGLGYFAVEELFVDLPVHAPRRLRGGSQYYYLVADFSPRHRDFFYERRADDYFRGRRAPDGLLTDPFRGLTRARTEFRLGAVNLVLRECCGCPPPCRPEM
+>sp|Q03721|KCNC4_HUMAN Potassium voltage-gated channel subfamily C member 4 OS=Homo sapiens OX=9606 GN=KCNC4 PE=1 SV=2
+MISSVCVSSYRGRKSGNKPPSKTCLKEEMAKGEASEKIIINVGGTRHETYRSTLRTLPGTRLAWLADPDGGGRPETDGGGVGSSGSSGGGGCEFFFDRHPGVFAYVLNYYRTGKLHCPADVCGPLFEEELTFWGIDETDVEPCCWMTYRQHRDAEEALDIFESPDGGGSGAGPSDEAGDDERELALQRLGPHEGGAGHGAGSGGCRGWQPRMWALFEDPYSSRAARVVAFASLFFILVSITTFCLETHEAFNIDRNVTEILRVGNITSVHFRREVETEPILTYIEGVCVLWFTLEFLVRIVCCPDTLDFVKNLLNIIDFVAILPFYLEVGLSGLSSKAARDVLGFLRVVRFVRILRIFKLTRHFVGLRVLGHTLRASTNEFLLLIIFLALGVLIFATMIYYAERIGARPSDPRGNDHTDFKNIPIGFWWAVVTMTTLGYGDMYPKTWSGMLVGALCALAGVLTIAMPVPVIVNNFGMYYSLAMAKQKLPKKRKKHVPRPAQLESPMYCKSEETSPRDSTCSDTSPPAREEGMIERKRADSKQNGDANAVLSDEEGAGLTQPLASSPTPEERRALRRSTTRDRNKKAAACFLLSTGDYACADGSVRKGTFVLRDLPLQHSPEAACPPTAGTLFLPH
+>DECOY_sp|Q03721|KCNC4_HUMAN Potassium voltage-gated channel subfamily C member 4 OS=Homo sapiens OX=9606 GN=KCNC4 PE=1 SV=2
+HPLFLTGATPPCAAEPSHQLPLDRLVFTGKRVSGDACAYDGTSLLFCAAAKKNRDRTTSRRLARREEPTPSSALPQTLGAGEEDSLVANADGNQKSDARKREIMGEERAPPSTDSCTSDRPSTEESKCYMPSELQAPRPVHKKRKKPLKQKAMALSYYMGFNNVIVPVPMAITLVGALACLAGVLMGSWTKPYMDGYGLTTMTVVAWWFGIPINKFDTHDNGRPDSPRAGIREAYYIMTAFILVGLALFIILLLFENTSARLTHGLVRLGVFHRTLKFIRLIRVFRVVRLFGLVDRAAKSSLGSLGVELYFPLIAVFDIINLLNKVFDLTDPCCVIRVLFELTFWLVCVGEIYTLIPETEVERRFHVSTINGVRLIETVNRDINFAEHTELCFTTISVLIFFLSAFAVVRAARSSYPDEFLAWMRPQWGRCGGSGAGHGAGGEHPGLRQLALEREDDGAEDSPGAGSGGGDPSEFIDLAEEADRHQRYTMWCCPEVDTEDIGWFTLEEEFLPGCVDAPCHLKGTRYYNLVYAFVGPHRDFFFECGGGGSSGSSGVGGGDTEPRGGGDPDALWALRTGPLTRLTSRYTEHRTGGVNIIIKESAEGKAMEEKLCTKSPPKNGSKRGRYSSVCVSSIM
+>sp|Q9UK17|KCND3_HUMAN Potassium voltage-gated channel subfamily D member 3 OS=Homo sapiens OX=9606 GN=KCND3 PE=1 SV=3
+MAAGVAAWLPFARAAAIGWMPVANCPMPLAPADKNKRQDELIVLNVSGRRFQTWRTTLERYPDTLLGSTEKEFFFNEDTKEYFFDRDPEVFRCVLNFYRTGKLHYPRYECISAYDDELAFYGILPEIIGDCCYEEYKDRKRENAERLMDDNDSENNQESMPSLSFRQTMWRAFENPHTSTLALVFYYVTGFFIAVSVITNVVETVPCGTVPGSKELPCGERYSVAFFCLDTACVMIFTVEYLLRLFAAPSRYRFIRSVMSIIDVVAIMPYYIGLVMTNNEDVSGAFVTLRVFRVFRIFKFSRHSQGLRILGYTLKSCASELGFLLFSLTMAIIIFATVMFYAEKGSSASKFTSIPASFWYTIVTMTTLGYGDMVPKTIAGKIFGSICSLSGVLVIALPVPVIVSNFSRIYHQNQRADKRRAQKKARLARIRVAKTGSSNAYLHSKRNGLLNEALELTGTPEEEHMGKTTSLIESQHHHLLHCLEKTTGLSYLVDDPLLSVRTSTIKNHEFIDEQMFEQNCMESSMQNYPSTRSPSLSSHPGLTTTCCSRRSKKTTHLPNSNLPATRLRSMQELSTIHIQGSEQPSLTTSRSSLNLKADDGLRPNCKTSQITTAIISIPTPPALTPEGESRPPPASPGPNTNIPSIASNVVKVSAL
+>DECOY_sp|Q9UK17|KCND3_HUMAN Potassium voltage-gated channel subfamily D member 3 OS=Homo sapiens OX=9606 GN=KCND3 PE=1 SV=3
+LASVKVVNSAISPINTNPGPSAPPPRSEGEPTLAPPTPISIIATTIQSTKCNPRLGDDAKLNLSSRSTTLSPQESGQIHITSLEQMSRLRTAPLNSNPLHTTKKSRRSCCTTTLGPHSSLSPSRTSPYNQMSSEMCNQEFMQEDIFEHNKITSTRVSLLPDDVLYSLGTTKELCHLLHHHQSEILSTTKGMHEEEPTGTLELAENLLGNRKSHLYANSSGTKAVRIRALRAKKQARRKDARQNQHYIRSFNSVIVPVPLAIVLVGSLSCISGFIKGAITKPVMDGYGLTTMTVITYWFSAPISTFKSASSGKEAYFMVTAFIIIAMTLSFLLFGLESACSKLTYGLIRLGQSHRSFKFIRFVRFVRLTVFAGSVDENNTMVLGIYYPMIAVVDIISMVSRIFRYRSPAAFLRLLYEVTFIMVCATDLCFFAVSYREGCPLEKSGPVTGCPVTEVVNTIVSVAIFFGTVYYFVLALTSTHPNEFARWMTQRFSLSPMSEQNNESDNDDMLREANERKRDKYEEYCCDGIIEPLIGYFALEDDYASICEYRPYHLKGTRYFNLVCRFVEPDRDFFYEKTDENFFFEKETSGLLTDPYRELTTRWTQFRRGSVNLVILEDQRKNKDAPALPMPCNAVPMWGIAAARAFPLWAAVGAAM
+>sp|P15382|KCNE1_HUMAN Potassium voltage-gated channel subfamily E member 1 OS=Homo sapiens OX=9606 GN=KCNE1 PE=1 SV=1
+MILSNTTAVTPFLTKLWQETVQQGGNMSGLARRSPRSSDGKLEALYVLMVLGFFGFFTLGIMLSYIRSKKLEHSNDPFNVYIESDAWQEKDKAYVQARVLESYRSCYVVENHLAIEQPNTHLPETKPSP
+>DECOY_sp|P15382|KCNE1_HUMAN Potassium voltage-gated channel subfamily E member 1 OS=Homo sapiens OX=9606 GN=KCNE1 PE=1 SV=1
+PSPKTEPLHTNPQEIALHNEVVYCSRYSELVRAQVYAKDKEQWADSEIYVNFPDNSHELKKSRIYSLMIGLTFFGFFGLVMLVYLAELKGDSSRPSRRALGSMNGGQQVTEQWLKTLFPTVATTNSLIM
+>sp|Q9Y6H6|KCNE3_HUMAN Potassium voltage-gated channel subfamily E member 3 OS=Homo sapiens OX=9606 GN=KCNE3 PE=1 SV=1
+METTNGTETWYESLHAVLKALNATLHSNLLCRPGPGLGPDNQTEERRASLPGRDDNSYMYILFVMFLFAVTVGSLILGYTRSRKVDKRSDPYHVYIKNRVSMI
+>DECOY_sp|Q9Y6H6|KCNE3_HUMAN Potassium voltage-gated channel subfamily E member 3 OS=Homo sapiens OX=9606 GN=KCNE3 PE=1 SV=1
+IMSVRNKIYVHYPDSRKDVKRSRTYGLILSGVTVAFLFMVFLIYMYSNDDRGPLSARREETQNDPGLGPGPRCLLNSHLTANLAKLVAHLSEYWTETGNTTEM
+>sp|Q96HW7|INT4_HUMAN Integrator complex subunit 4 OS=Homo sapiens OX=9606 GN=INTS4 PE=1 SV=2
+MAAHLKKRVYEEFTKVVQPQEEIATKKLRLTKPSKSAALHIDLCKATSPADALQYLLQFARKPVEAESVEGVVRILLEHYYKENDPSVRLKIASLLGLLSKTAGFSPDCIMDDAINILQNEKSHQVLAQLLDTLLAIGTKLPENQAIQMRLVDVACKHLTDTSHGVRNKCLQLLGNLGSLEKSVTKDAEGLAARDVQKIIGDYFSDQDPRVRTAAIKAMLQLHERGLKLHQTIYNQACKLLSDDYEQVRSAAVQLIWVVSQLYPESIVPIPSSNEEIRLVDDAFGKICHMVSDGSWVVRVQAAKLLGSMEQVSSHFLEQTLDKKLMSDLRRKRTAHERAKELYSSGEFSSGRKWGDDAPKEEVDTGAVNLIESGACGAFVHGLEDEMYEVRIAAVEALCMLAQSSPSFAEKCLDFLVDMFNDEIEEVRLQSIHTMRKISNNITLREDQLDTVLAVLEDSSRDIREALHELLCCTNVSTKEGIHLALVELLKNLTKYPTDRDSIWKCLKFLGSRHPTLVLPLVPELLSTHPFFDTAEPDMDDPAYIAVLVLIFNAAKTCPTMPALFSDHTFRHYAYLRDSLSHLVPALRLPGRKLVSSAVSPSIIPQEDPSQQFLQQSLERVYSLQHLDPQGAQELLEFTIRDLQRLGELQSELAGVADFSATYLRCQLLLIKALQEKLWNVAAPLYLKQSDLASAAAKQIMEETYKMEFMYSGVENKQVVIIHHMRLQAKALQLIVTARTTRGLDPLFGMCEKFLQEVDFFQRYFIADLPHLQDSFVDKLLDLMPRLMTSKPAEVVKILQTMLRQSAFLHLPLPEQIHKASATIIEPAGESDNPLRFTSGLVVALDVDATLEHVQDPQNTVKVQVLYPDGQAQMIHPKPADFRNPGPGRHRLITQVYLSHTAWTEACQVEVRLLLAYNSSARIPKCPWMEGGEMSPQVETSIEGTIPFSKPVKVYIMPKPARR
+>DECOY_sp|Q96HW7|INT4_HUMAN Integrator complex subunit 4 OS=Homo sapiens OX=9606 GN=INTS4 PE=1 SV=2
+RRAPKPMIYVKVPKSFPITGEISTEVQPSMEGGEMWPCKPIRASSNYALLLRVEVQCAETWATHSLYVQTILRHRGPGPNRFDAPKPHIMQAQGDPYLVQVKVTNQPDQVHELTADVDLAVVLGSTFRLPNDSEGAPEIITASAKHIQEPLPLHLFASQRLMTQLIKVVEAPKSTMLRPMLDLLKDVFSDQLHPLDAIFYRQFFDVEQLFKECMGFLPDLGRTTRATVILQLAKAQLRMHHIIVVQKNEVGSYMFEMKYTEEMIQKAAASALDSQKLYLPAAVNWLKEQLAKILLLQCRLYTASFDAVGALESQLEGLRQLDRITFELLEQAGQPDLHQLSYVRELSQQLFQQSPDEQPIISPSVASSVLKRGPLRLAPVLHSLSDRLYAYHRFTHDSFLAPMTPCTKAANFILVLVAIYAPDDMDPEATDFFPHTSLLEPVLPLVLTPHRSGLFKLCKWISDRDTPYKTLNKLLEVLALHIGEKTSVNTCCLLEHLAERIDRSSDELVALVTDLQDERLTINNSIKRMTHISQLRVEEIEDNFMDVLFDLCKEAFSPSSQALMCLAEVAAIRVEYMEDELGHVFAGCAGSEILNVAGTDVEEKPADDGWKRGSSFEGSSYLEKAREHATRKRRLDSMLKKDLTQELFHSSVQEMSGLLKAAQVRVVWSGDSVMHCIKGFADDVLRIEENSSPIPVISEPYLQSVVWILQVAASRVQEYDDSLLKCAQNYITQHLKLGREHLQLMAKIAATRVRPDQDSFYDGIIKQVDRAALGEADKTVSKELSGLNGLLQLCKNRVGHSTDTLHKCAVDVLRMQIAQNEPLKTGIALLTDLLQALVQHSKENQLINIADDMICDPSFGATKSLLGLLSAIKLRVSPDNEKYYHELLIRVVGEVSEAEVPKRAFQLLYQLADAPSTAKCLDIHLAASKSPKTLRLKKTAIEEQPQVVKTFEEYVRKKLHAAM
+>sp|Q9NVH2|INT7_HUMAN Integrator complex subunit 7 OS=Homo sapiens OX=9606 GN=INTS7 PE=1 SV=1
+MASNSTKSFLADAGYGEQELDANSALMELDKGLRSGKLGEQCEAVVRFPRLFQKYPFPILINSAFLKLADVFRVGNNFLRLCVLKVTQQSEKHLEKILNVDEFVKRIFSVIHSNDPVARAITLRMLGSLASIIPERKNAHHSIRQSLDSHDNVEVEAAVFAAANFSAQSKDFAVGICNKISEMIQGLATPVDLKLKLIPILQHMHHDAILASSARQLLQQLVTSYPSTKMVIVSLHTFTLLAASSLVDTPKQIQLLLQYLKNDPRKAVKRLAIQDLKLLANKTPHTWSRENIQALCECALQTPYDSLKLGMLSVLSTLSGTIAIKHYFSIVPGNVSSSPRSSDLVKLAQECCYHNNRGIAAHGVRVLTNITVSCQEKDLLALEQDAVFGLESLLVLCSQDDSPGAQATLKIALNCMVKLAKGRPHLSQSVVETLLTQLHSAQDAARILMCHCLAAIAMQLPVLGDGMLGDLMELYKVIGRSATDKQQELLVSLATVIFVASQKALSVESKAVIKQQLESVSNGWTVYRIARQASRMGNHDMAKELYQSLLTQVASEHFYFWLNSLKEFSHAEQCLTGLQEENYSSALSCIAESLKFYHKGIASLTAASTPLNPLSFQCEFVKLRIDLLQAFSQLICTCNSLKTSPPPAIATTIAMTLGNDLQRCGRISNQMKQSMEEFRSLASRYGDLYQASFDADSATLRNVELQQQSCLLISHAIEALILDPESASFQEYGSTGTAHADSEYERRMMSVYNHVLEEVESLNRKYTPVSYMHTACLCNAIIALLKVPLSFQRYFFQKLQSTSIKLALSPSPRNPAEPIAVQNNQQLALKVEGVVQHGSKPGLFRKIQSVCLNVSSTLQSKSGQDYKIPIDNMTNEMEQRVEPHNDYFSTQFLLNFAILGTHNITVESSVKDANGIVWKTGPRTTIFVKSLEDPYSQQIRLQQQQAQQPLQQQQQRNAYTRF
+>DECOY_sp|Q9NVH2|INT7_HUMAN Integrator complex subunit 7 OS=Homo sapiens OX=9606 GN=INTS7 PE=1 SV=1
+FRTYANRQQQQQLPQQAQQQQLRIQQSYPDELSKVFITTRPGTKWVIGNADKVSSEVTINHTGLIAFNLLFQTSFYDNHPEVRQEMENTMNDIPIKYDQGSKSQLTSSVNLCVSQIKRFLGPKSGHQVVGEVKLALQQNNQVAIPEAPNRPSPSLALKISTSQLKQFFYRQFSLPVKLLAIIANCLCATHMYSVPTYKRNLSEVEELVHNYVSMMRREYESDAHATGTSGYEQFSASEPDLILAEIAHSILLCSQQQLEVNRLTASDADFSAQYLDGYRSALSRFEEMSQKMQNSIRGCRQLDNGLTMAITTAIAPPPSTKLSNCTCILQSFAQLLDIRLKVFECQFSLPNLPTSAATLSAIGKHYFKLSEAICSLASSYNEEQLGTLCQEAHSFEKLSNLWFYFHESAVQTLLSQYLEKAMDHNGMRSAQRAIRYVTWGNSVSELQQKIVAKSEVSLAKQSAVFIVTALSVLLEQQKDTASRGIVKYLEMLDGLMGDGLVPLQMAIAALCHCMLIRAADQASHLQTLLTEVVSQSLHPRGKALKVMCNLAIKLTAQAGPSDDQSCLVLLSELGFVADQELALLDKEQCSVTINTLVRVGHAAIGRNNHYCCEQALKVLDSSRPSSSVNGPVISFYHKIAITGSLTSLVSLMGLKLSDYPTQLACECLAQINERSWTHPTKNALLKLDQIALRKVAKRPDNKLYQLLLQIQKPTDVLSSAALLTFTHLSVIVMKTSPYSTVLQQLLQRASSALIADHHMHQLIPILKLKLDVPTALGQIMESIKNCIGVAFDKSQASFNAAAFVAAEVEVNDHSDLSQRISHHANKREPIISALSGLMRLTIARAVPDNSHIVSFIRKVFEDVNLIKELHKESQQTVKLVCLRLFNNGVRFVDALKLFASNILIPFPYKQFLRPFRVVAECQEGLKGSRLGKDLEMLASNADLEQEGYGADALFSKTSNSAM
+>sp|Q9NV88|INT9_HUMAN Integrator complex subunit 9 OS=Homo sapiens OX=9606 GN=INTS9 PE=1 SV=2
+MKLYCLSGHPTLPCNVLKFKSTTIMLDCGLDMTSTLNFLPLPLVQSPRLSNLPGWSLKDGNAFLDKELKECSGHVFVDSVPEFCLPETELIDLSTVDVILISNYHCMMALPYITEHTGFTGTVYATEPTVQIGRLLMEELVNFIERVPKAQSASLWKNKDIQRLLPSPLKDAVEVSTWRRCYTMQEVNSALSKIQLVGYSQKIELFGAVQVTPLSSGYALGSSNWIIQSHYEKVSYVSGSSLLTTHPQPMDQASLKNSDVLVLTGLTQIPTANPDGMVGEFCSNLALTVRNGGNVLVPCYPSGVIYDLLECLYQYIDSAGLSSVPLYFISPVANSSLEFSQIFAEWLCHNKQSKVYLPEPPFPHAELIQTNKLKHYPSIHGDFSNDFRQPCVVFTGHPSLRFGDVVHFMELWGKSSLNTVIFTEPDFSYLEALAPYQPLAMKCIYCPIDTRLNFIQVSKLLKEVQPLHVVCPEQYTQPPPAQSHRMDLMIDCQPPAMSYRRAEVLALPFKRRYEKIEIMPELADSLVPMEIKPGISLATVSAVLHTKDNKHLLQPPPRPAQPTSGKKRKRVSDDVPDCKVLKPLLSGSIPVEQFVQTLEKHGFSDIKVEDTAKGHIVLLQEAETLIQIEEDSTHIICDNDEMLRVRLRDLVLKFLQKF
+>DECOY_sp|Q9NV88|INT9_HUMAN Integrator complex subunit 9 OS=Homo sapiens OX=9606 GN=INTS9 PE=1 SV=2
+FKQLFKLVLDRLRVRLMEDNDCIIHTSDEEIQILTEAEQLLVIHGKATDEVKIDSFGHKELTQVFQEVPISGSLLPKLVKCDPVDDSVRKRKKGSTPQAPRPPPQLLHKNDKTHLVASVTALSIGPKIEMPVLSDALEPMIEIKEYRRKFPLALVEARRYSMAPPQCDIMLDMRHSQAPPPQTYQEPCVVHLPQVEKLLKSVQIFNLRTDIPCYICKMALPQYPALAELYSFDPETFIVTNLSSKGWLEMFHVVDGFRLSPHGTFVVCPQRFDNSFDGHISPYHKLKNTQILEAHPFPPEPLYVKSQKNHCLWEAFIQSFELSSNAVPSIFYLPVSSLGASDIYQYLCELLDYIVGSPYCPVLVNGGNRVTLALNSCFEGVMGDPNATPIQTLGTLVLVDSNKLSAQDMPQPHTTLLSSGSVYSVKEYHSQIIWNSSGLAYGSSLPTVQVAGFLEIKQSYGVLQIKSLASNVEQMTYCRRWTSVEVADKLPSPLLRQIDKNKWLSASQAKPVREIFNVLEEMLLRGIQVTPETAYVTGTFGTHETIYPLAMMCHYNSILIVDVTSLDILETEPLCFEPVSDVFVHGSCEKLEKDLFANGDKLSWGPLNSLRPSQVLPLPLFNLTSTMDLGCDLMITTSKFKLVNCPLTPHGSLCYLKM
+>sp|Q1A5X6|IQCJ_HUMAN IQ domain-containing protein J OS=Homo sapiens OX=9606 GN=IQCJ PE=2 SV=1
+MRLEELKRLQNPLEQVNDGKYSFENHQLAMDAENNIEKYPLNLQPLESKVKIIQRAWREYLQRQEPLGKRSPSPPSVSSEKLSSSVSMNTFSDSSTPFARAPVGKIHPYISWRLQSPGDKLPGGRKVILLYLDQLARPTGFIHTLKEPQIERLGFLTLQ
+>DECOY_sp|Q1A5X6|IQCJ_HUMAN IQ domain-containing protein J OS=Homo sapiens OX=9606 GN=IQCJ PE=2 SV=1
+QLTLFGLREIQPEKLTHIFGTPRALQDLYLLIVKRGGPLKDGPSQLRWSIYPHIKGVPARAFPTSSDSFTNMSVSSSLKESSVSPPSPSRKGLPEQRQLYERWARQIIKVKSELPQLNLPYKEINNEADMALQHNEFSYKGDNVQELPNQLRKLEELRM
+>sp|Q9H0B3|IQCN_HUMAN IQ domain-containing protein N OS=Homo sapiens OX=9606 GN=IQCN PE=1 SV=1
+MTLQGRADLSGNQGNAAGRLATVHEPVVTQWAVHPPAPAHPSLLDKMEKAPPQPQHEGLKSKEHLPQQPAEGKTASRRVPRLRAVVESQAFKNILVDEMDMMHARAATLIQANWRGYWLRQKLISQMMAAKAIQEAWRRFNKRHILHSSKSLVKKTRAEEGDIPYHAPQQVRFQHPEENRLLSPPIMVNKETQFPSCDNLVLCRPQSSPLLQPPAAQGTPEPCVQGPHAARVRGLAFLPHQTVTIRFPCPVSLDAKCQPCLLTRTIRSTCLVHIEGDSVKTKRVSARTNKARAPETPLSRRYDQAVTRPSRAQTQGPVKAETPKAPFQICPGPMITKTLLQTYPVVSVTLPQTYPASTMTTTPPKTSPVPKVTIIKTPAQMYPGPTVTKTAPHTCPMPTMTKIQVHPTASRTGTPRQTCPATITAKNRPQVSLLASIMKSLPQVCPGPAMAKTPPQMHPVTTPAKNPLQTCLSATMSKTSSQRSPVGVTKPSPQTRLPAMITKTPAQLRSVATILKTLCLASPTVANVKAPPQVAVAAGTPNTSGSIHENPPKAKATVNVKQAAKVVKASSPSYLAEGKIRCLAQPHPGTGVPRAAAELPLEAEKIKTGTQKQAKTDMAFKTSVAVEMAGAPSWTKVAEEGDKPPHVYVPVDMAVTLPRGQLAAPLTNASSQRHPPCLSQRPLAAPLTKASSQGHLPTELTKTPSLAHLDTCLSKMHSQTHLATGAVKVQSQAPLATCLTKTQSRGQPITDITTCLIPAHQAADLSSNTHSQVLLTGSKVSNHACQRLGGLSAPPWAKPEDRQTQPQPHGHVPGKTTQGGPCPAACEVQGMLVPPMAPTGHSTCNVESWGDNGATRAQPSMPGQAVPCQEDTGPADAGVVGGQSWNRAWEPARGAASWDTWRNKAVVPPRRSGEPMVSMQAAEEIRILAVITIQAGVRGYLARRRIRLWHRGAMVIQATWRGYRVRRNLAHLCRATTTIQSAWRGYSTRRDQARHWQMLHPVTWVELGSRAGVMSDRSWFQDGRARTVSDHRCFQSCQAHACSVCHSLSSRIGSPPSVVMLVGSSPRTCHTCGRTQPTRVVQGMGQGTEGPGAVSWASAYQLAALSPRQPHRQDKAATAIQSAWRGFKIRQQMRQQQMAAKIVQATWRGHHTRSCLKNTEALLGPADPSASSRHMHWPGI
+>DECOY_sp|Q9H0B3|IQCN_HUMAN IQ domain-containing protein N OS=Homo sapiens OX=9606 GN=IQCN PE=1 SV=1
+IGPWHMHRSSASPDAPGLLAETNKLCSRTHHGRWTAQVIKAAMQQQRMQQRIKFGRWASQIATAAKDQRHPQRPSLAALQYASAWSVAGPGETGQGMGQVVRTPQTRGCTHCTRPSSGVLMVVSPPSGIRSSLSHCVSCAHAQCSQFCRHDSVTRARGDQFWSRDSMVGARSGLEVWTVPHLMQWHRAQDRRTSYGRWASQITTTARCLHALNRRVRYGRWTAQIVMAGRHWLRIRRRALYGRVGAQITIVALIRIEEAAQMSVMPEGSRRPPVVAKNRWTDWSAAGRAPEWARNWSQGGVVGADAPGTDEQCPVAQGPMSPQARTAGNDGWSEVNCTSHGTPAMPPVLMGQVECAAPCPGGQTTKGPVHGHPQPQTQRDEPKAWPPASLGGLRQCAHNSVKSGTLLVQSHTNSSLDAAQHAPILCTTIDTIPQGRSQTKTLCTALPAQSQVKVAGTALHTQSHMKSLCTDLHALSPTKTLETPLHGQSSAKTLPAALPRQSLCPPHRQSSANTLPAALQGRPLTVAMDVPVYVHPPKDGEEAVKTWSPAGAMEVAVSTKFAMDTKAQKQTGTKIKEAELPLEAAARPVGTGPHPQALCRIKGEALYSPSSAKVVKAAQKVNVTAKAKPPNEHISGSTNPTGAAVAVQPPAKVNAVTPSALCLTKLITAVSRLQAPTKTIMAPLRTQPSPKTVGVPSRQSSTKSMTASLCTQLPNKAPTTVPHMQPPTKAMAPGPCVQPLSKMISALLSVQPRNKATITAPCTQRPTGTRSATPHVQIKTMTPMPCTHPATKTVTPGPYMQAPTKIITVKPVPSTKPPTTTMTSAPYTQPLTVSVVPYTQLLTKTIMPGPCIQFPAKPTEAKVPGQTQARSPRTVAQDYRRSLPTEPARAKNTRASVRKTKVSDGEIHVLCTSRITRTLLCPQCKADLSVPCPFRITVTQHPLFALGRVRAAHPGQVCPEPTGQAAPPQLLPSSQPRCLVLNDCSPFQTEKNVMIPPSLLRNEEPHQFRVQQPAHYPIDGEEARTKKVLSKSSHLIHRKNFRRWAEQIAKAAMMQSILKQRLWYGRWNAQILTAARAHMMDMEDVLINKFAQSEVVARLRPVRRSATKGEAPQQPLHEKSKLGEHQPQPPAKEMKDLLSPHAPAPPHVAWQTVVPEHVTALRGAANGQNGSLDARGQLTM
+>sp|Q6DN90|IQEC1_HUMAN IQ motif and SEC7 domain-containing protein 1 OS=Homo sapiens OX=9606 GN=IQSEC1 PE=1 SV=1
+MWCLHCNSERTQSLLELELDSGVEGEAPSSETGTSLDSPSAYPQGPLVPGSSLSPDHYEHTSVGAYGLYSGPPGQQQRTRRPKLQHSTSILRKQAEEEAIKRSRSLSESYELSSDLQDKQVEMLERKYGGRLVTRHAARTIQTAFRQYQMNKNFERLRSSMSENRMSRRIVLSNMRMQFSFEGPEKVHSSYFEGKQVSVTNDGSQLGALVSPECGDLSEPTTLKSPAPSSDFADAITELEDAFSRQVKSLAESIDDALNCRSLHTEEAPALDAARARDTEPQTALHGMDHRKLDEMTASYSDVTLYIDEEELSPPLPLSQAGDRPSSTESDLRLRAGGAAPDYWALAHKEDKADTDTSCRSTPSLERQEQRLRVEHLPLLTIEPPSDSSVDLSDRSERGSLKRQSAYERSLGGQQGSPKHGPHSGAPKSLPREEPELRPRPPRPLDSHLAINGSANRQSKSESDYSDGDNDSINSTSNSNDTINCSSESSSRDSLREQTLSKQTYHKEARNSWDSPAFSNDVIRKRHYRIGLNLFNKKPEKGVQYLIERGFVPDTPVGVAHFLLQRKGLSRQMIGEFLGNRQKQFNRDVLDCVVDEMDFSTMELDEALRKFQAHIRVQGEAQKVERLIEAFSQRYCICNPGVVRQFRNPDTIFILAFAIILLNTDMYSPNVKPERKMKLEDFIKNLRGVDDGEDIPREMLMGIYERIRKRELKTNEDHVSQVQKVEKLIVGKKPIGSLHPGLGCVLSLPHRRLVCYCRLFEVPDPNKPQKLGLHQREIFLFNDLLVVTKIFQKKKNSVTYSFRQSFSLYGMQVLLFENQYYPNGIRLTSSVPGADIKVLINFNAPNPQDRKKFTDDLRESIAEVQEMEKHRIESELEKQKGVVRPSMSQCSSLKKESGNGTLSRACLDDSYASGEGLKRSALSSSLRDLSEAGKRGRRSSAGSLESNVEFQPFEPLQPSVLCS
+>DECOY_sp|Q6DN90|IQEC1_HUMAN IQ motif and SEC7 domain-containing protein 1 OS=Homo sapiens OX=9606 GN=IQSEC1 PE=1 SV=1
+SCLVSPQLPEFPQFEVNSELSGASSRRGRKGAESLDRLSSSLASRKLGEGSAYSDDLCARSLTGNGSEKKLSSCQSMSPRVVGKQKELESEIRHKEMEQVEAISERLDDTFKKRDQPNPANFNILVKIDAGPVSSTLRIGNPYYQNEFLLVQMGYLSFSQRFSYTVSNKKKQFIKTVVLLDNFLFIERQHLGLKQPKNPDPVEFLRCYCVLRRHPLSLVCGLGPHLSGIPKKGVILKEVKQVQSVHDENTKLERKRIREYIGMLMERPIDEGDDVGRLNKIFDELKMKREPKVNPSYMDTNLLIIAFALIFITDPNRFQRVVGPNCICYRQSFAEILREVKQAEGQVRIHAQFKRLAEDLEMTSFDMEDVVCDLVDRNFQKQRNGLFEGIMQRSLGKRQLLFHAVGVPTDPVFGREILYQVGKEPKKNFLNLGIRYHRKRIVDNSFAPSDWSNRAEKHYTQKSLTQERLSDRSSSESSCNITDNSNSTSNISDNDGDSYDSESKSQRNASGNIALHSDLPRPPRPRLEPEERPLSKPAGSHPGHKPSGQQGGLSREYASQRKLSGRESRDSLDVSSDSPPEITLLPLHEVRLRQEQRELSPTSRCSTDTDAKDEKHALAWYDPAAGGARLRLDSETSSPRDGAQSLPLPPSLEEEDIYLTVDSYSATMEDLKRHDMGHLATQPETDRARAADLAPAEETHLSRCNLADDISEALSKVQRSFADELETIADAFDSSPAPSKLTTPESLDGCEPSVLAGLQSGDNTVSVQKGEFYSSHVKEPGEFSFQMRMNSLVIRRSMRNESMSSRLREFNKNMQYQRFATQITRAAHRTVLRGGYKRELMEVQKDQLDSSLEYSESLSRSRKIAEEEAQKRLISTSHQLKPRRTRQQQGPPGSYLGYAGVSTHEYHDPSLSSGPVLPGQPYASPSDLSTGTESSPAEGEVGSDLELELLSQTRESNCHLCWM
+>sp|Q5JU85|IQEC2_HUMAN IQ motif and SEC7 domain-containing protein 2 OS=Homo sapiens OX=9606 GN=IQSEC2 PE=1 SV=2
+MEAGSGPPGGPGSESPNRAVEYLLELNNIIESQQQLLETQRRRIEELEGQLDQLTQENRDLREESQLHRGELHRDPHGARDSPGRESQYQNLRETQFHHRELRESQFHQAARDVGYPNREGAYQNREAVYRDKERDASYPLQDTTGYTARERDVAQCHLHHENPALGRERGGREAGPAHPGREKEAGYSAAVGVGPRPPRERGQLSRGASRSSSPGAGGGHSTSTSTSPATTLQRKSDGENSRTVSVEGDAPGSDLSTAVDSPGSQPPYRLSQLPPSSSHMGGPPAGVGLPWAQRARLQPASVALRKQEEEEIKRSKALSDSYELSTDLQDKKVEMLERKYGGSFLSRRAARTIQTAFRQYRMNKNFERLRSSASESRMSRRIILSNMRMQFSFEEYEKAQNPAYFEGKPASLDEGAMAGARSHRLERGLPYGGSCGGGIDGGGSSVTTSGEFSNDITELEDSFSKQVKSLAESIDEALNCHPSGPMSEEPGSAQLEKRESKEQQEDSSATSFSDLPLYLDDTVPQQSPERLPSTEPPPQGRPEFWAPAPLPPVPPPVPSGTREDGSREEGTRRGPGCLECRDFRLRAAHLPLLTIEPPSDSSVDLSDRSDRGSVHRQLVYEADGCSPHGTLKHKGPPGRAPIPHRHYPAPEGPAPAPPGPLPPAPNSGTGPSGVAGGRRLGKCEAAGENSDGGDNESLESSSNSNETINCSSGSSSRDSLREPPATGLCKQTYQRETRHSWDSPAFNNDVVQRRHYRIGLNLFNKKPEKGIQYLIERGFLSDTPVGVAHFILERKGLSRQMIGEFLGNRQKQFNRDVLDCVVDEMDFSSMDLDDALRKFQSHIRVQGEAQKVERLIEAFSQRYCVCNPALVRQFRNPDTIFILAFAIILLNTDMYSPSVKAERKMKLDDFIKNLRGVDNGEDIPRDLLVGIYQRIQGRELRTNDDHVSQVQAVERMIVGKKPVLSLPHRRLVCCCQLYEVPDPNRPQRLGLHQREVFLFNDLLVVTKIFQKKKILVTYSFRQSFPLVEMHMQLFQNSYYQFGIKLLSAVPGGERKVLIIFNAPSLQDRLRFTSDLRESIAEVQEMEKYRVESELEKQKGMMRPNASQPGGAKDSVNGTMARSSLEDTYGAGDGLKRGALSSSLRDLSDAGKRGRRNSVGSLDSTIEGSVISSPRPHQRMPPPPPPPPPEEYKSQRPVSNSSSFLGSLFGSKRGKGPFQMPPPPTGQASASSSSASSTHHHHHHHHHGHSHGGLGVLPDGQSKLQALHAQYCQGPGPAPPPYLPPQQPSLPPPPQQPPPLPQLGSIPPPPASAPPVGPHRHFHAHGPVPGPQHYTLGRPGRAPRRGAGGHPQFAPHGRHPLHQPTSPLPLYSPAPQHPPAHKQGPKHFIFSHHPQMMPAAGAAGGPGSRPPGGSYSHPHHPQSPLSPHSPIPPHPSYPPLPPPSPHTPHSPLPPTSPHGPLHASGPPGTANPPSANPKAKPSRISTVV
+>DECOY_sp|Q5JU85|IQEC2_HUMAN IQ motif and SEC7 domain-containing protein 2 OS=Homo sapiens OX=9606 GN=IQSEC2 PE=1 SV=2
+VVTSIRSPKAKPNASPPNATGPPGSAHLPGHPSTPPLPSHPTHPSPPPLPPYSPHPPIPSHPSLPSQPHHPHSYSGGPPRSGPGGAAGAAPMMQPHHSFIFHKPGQKHAPPHQPAPSYLPLPSTPQHLPHRGHPAFQPHGGAGRRPARGPRGLTYHQPGPVPGHAHFHRHPGVPPASAPPPPISGLQPLPPPQQPPPPLSPQQPPLYPPPAPGPGQCYQAHLAQLKSQGDPLVGLGGHSHGHHHHHHHHHTSSASSSSASAQGTPPPPMQFPGKGRKSGFLSGLFSSSNSVPRQSKYEEPPPPPPPPPMRQHPRPSSIVSGEITSDLSGVSNRRGRKGADSLDRLSSSLAGRKLGDGAGYTDELSSRAMTGNVSDKAGGPQSANPRMMGKQKELESEVRYKEMEQVEAISERLDSTFRLRDQLSPANFIILVKREGGPVASLLKIGFQYYSNQFLQMHMEVLPFSQRFSYTVLIKKKQFIKTVVLLDNFLFVERQHLGLRQPRNPDPVEYLQCCCVLRRHPLSLVPKKGVIMREVAQVQSVHDDNTRLERGQIRQYIGVLLDRPIDEGNDVGRLNKIFDDLKMKREAKVSPSYMDTNLLIIAFALIFITDPNRFQRVLAPNCVCYRQSFAEILREVKQAEGQVRIHSQFKRLADDLDMSSFDMEDVVCDLVDRNFQKQRNGLFEGIMQRSLGKRELIFHAVGVPTDSLFGREILYQIGKEPKKNFLNLGIRYHRRQVVDNNFAPSDWSHRTERQYTQKCLGTAPPERLSDRSSSGSSCNITENSNSSSELSENDGGDSNEGAAECKGLRRGGAVGSPGTGSNPAPPLPGPPAPAPGEPAPYHRHPIPARGPPGKHKLTGHPSCGDAEYVLQRHVSGRDSRDSLDVSSDSPPEITLLPLHAARLRFDRCELCGPGRRTGEERSGDERTGSPVPPPVPPLPAPAWFEPRGQPPPETSPLREPSQQPVTDDLYLPLDSFSTASSDEQQEKSERKELQASGPEESMPGSPHCNLAEDISEALSKVQKSFSDELETIDNSFEGSTTVSSGGGDIGGGCSGGYPLGRELRHSRAGAMAGEDLSAPKGEFYAPNQAKEYEEFSFQMRMNSLIIRRSMRSESASSRLREFNKNMRYQRFATQITRAARRSLFSGGYKRELMEVKKDQLDTSLEYSDSLAKSRKIEEEEQKRLAVSAPQLRARQAWPLGVGAPPGGMHSSSPPLQSLRYPPQSGPSDVATSLDSGPADGEVSVTRSNEGDSKRQLTTAPSTSTSTSHGGGAGPSSSRSAGRSLQGRERPPRPGVGVAASYGAEKERGPHAPGAERGGRERGLAPNEHHLHCQAVDRERATYGTTDQLPYSADREKDRYVAERNQYAGERNPYGVDRAAQHFQSERLERHHFQTERLNQYQSERGPSDRAGHPDRHLEGRHLQSEERLDRNEQTLQDLQGELEEIRRRQTELLQQQSEIINNLELLYEVARNPSESGPGGPPGSGAEM
+>sp|Q00978|IRF9_HUMAN Interferon regulatory factor 9 OS=Homo sapiens OX=9606 GN=IRF9 PE=1 SV=1
+MASGRARCTRKLRNWVVEQVESGQFPGVCWDDTAKTMFRIPWKHAGKQDFREDQDAAFFKAWAIFKGKYKEGDTGGPAVWKTRLRCALNKSSEFKEVPERGRMDVAEPYKVYQLLPPGIVSGQPGTQKVPSKRQHSSVSSERKEEEDAMQNCTLSPSVLQDSLNNEEEGASGGAVHSDIGSSSSSSSPEPQEVTDTTEAPFQGDQRSLEFLLPPEPDYSLLLTFIYNGRVVGEAQVQSLDCRLVAEPSGSESSMEQVLFPKPGPLEPTQRLLSQLERGILVASNPRGLFVQRLCPIPISWNAPQAPPGPGPHLLPSNECVELFRTAYFCRDLVRYFQGLGPPPKFQVTLNFWEESHGSSHTPQNLITVKMEQAFARYLLEQTPEQQAAILSLV
+>DECOY_sp|Q00978|IRF9_HUMAN Interferon regulatory factor 9 OS=Homo sapiens OX=9606 GN=IRF9 PE=1 SV=1
+VLSLIAAQQEPTQELLYRAFAQEMKVTILNQPTHSSGHSEEWFNLTVQFKPPPGLGQFYRVLDRCFYATRFLEVCENSPLLHPGPGPPAQPANWSIPIPCLRQVFLGRPNSAVLIGRELQSLLRQTPELPGPKPFLVQEMSSESGSPEAVLRCDLSQVQAEGVVRGNYIFTLLLSYDPEPPLLFELSRQDGQFPAETTDTVEQPEPSSSSSSSGIDSHVAGGSAGEEENNLSDQLVSPSLTCNQMADEEEKRESSVSSHQRKSPVKQTGPQGSVIGPPLLQYVKYPEAVDMRGREPVEKFESSKNLACRLRTKWVAPGGTDGEKYKGKFIAWAKFFAADQDERFDQKGAHKWPIRFMTKATDDWCVGPFQGSEVQEVVWNRLKRTCRARGSAM
+>sp|A6NK06|IRG1_HUMAN Cis-aconitate decarboxylase OS=Homo sapiens OX=9606 GN=ACOD1 PE=1 SV=1
+MMLKSITESFATAIHGLKVGHLTDRVIQRSKRMILDTLGAGFLGTTTEVFHIASQYSKIYSSNISSTVWGQPDIRLPPTYAAFVNGVAIHSMDFDDTWHPATHPSGAVLPVLTALAEALPRSPKFSGLDLLLAFNVGIEVQGRLLHFAKEANDMPKRFHPPSVVGTLGSAAAASKFLGLSSTKCREALAIAVSHAGAPMANAATQTKPLHIGNAAKHGIEAAFLAMLGLQGNKQVLDLEAGFGAFYANYSPKVLPSIASYSWLLDQQDVAFKRFPAHLSTHWVADAAASVRKHLVAERALLPTDYIKRIVLRIPNVQYVNRPFPVSEHEARHSFQYVACAMLLDGGITVPSFHECQINRPQVRELLSKVELEYPPDNLPSFNILYCEISVTLKDGATFTDRSDTFYGHWRKPLSQEDLEEKFRANASKMLSWDTVESLIKIVKNLEDLEDCSVLTTLLKGPSPPEVASNSPACNNSITNLS
+>DECOY_sp|A6NK06|IRG1_HUMAN Cis-aconitate decarboxylase OS=Homo sapiens OX=9606 GN=ACOD1 PE=1 SV=1
+SLNTISNNCAPSNSAVEPPSPGKLLTTLVSCDELDELNKVIKILSEVTDWSLMKSANARFKEELDEQSLPKRWHGYFTDSRDTFTAGDKLTVSIECYLINFSPLNDPPYELEVKSLLERVQPRNIQCEHFSPVTIGGDLLMACAVYQFSHRAEHESVPFPRNVYQVNPIRLVIRKIYDTPLLAREAVLHKRVSAAADAVWHTSLHAPFRKFAVDQQDLLWSYSAISPLVKPSYNAYFAGFGAELDLVQKNGQLGLMALFAAEIGHKAANGIHLPKTQTAANAMPAGAHSVAIALAERCKTSSLGLFKSAAAASGLTGVVSPPHFRKPMDNAEKAFHLLRGQVEIGVNFALLLDLGSFKPSRPLAEALATLVPLVAGSPHTAPHWTDDFDMSHIAVGNVFAAYTPPLRIDPQGWVTSSINSSYIKSYQSAIHFVETTTGLFGAGLTDLIMRKSRQIVRDTLHGVKLGHIATAFSETISKLMM
+>sp|A1A4Y4|IRGM_HUMAN Immunity-related GTPase family M protein OS=Homo sapiens OX=9606 GN=IRGM PE=1 SV=2
+MEAMNVEKASADGNLPEVISNIKETLKIVSRTPVNITMAGDSGNGMSTFISALRNTGHEGKASPPTELVKATQRCASYFSSHFSNVVLWDLPGTGSATTTLENYLMEMQFNRYDFIMVASAQFSMNHVMLAKTAEDMGKKFYIVWTKLDMDLSTGALPEVQLLQIRENVLENLQKERVCEY
+>DECOY_sp|A1A4Y4|IRGM_HUMAN Immunity-related GTPase family M protein OS=Homo sapiens OX=9606 GN=IRGM PE=1 SV=2
+YECVREKQLNELVNERIQLLQVEPLAGTSLDMDLKTWVIYFKKGMDEATKALMVHNMSFQASAVMIFDYRNFQMEMLYNELTTTASGTGPLDWLVVNSFHSSFYSACRQTAKVLETPPSAKGEHGTNRLASIFTSMGNGSDGAMTINVPTRSVIKLTEKINSIVEPLNGDASAKEVNMAEM
+>sp|P78415|IRX3_HUMAN Iroquois-class homeodomain protein IRX-3 OS=Homo sapiens OX=9606 GN=IRX3 PE=2 SV=3
+MSFPQLGYQYIRPLYPSERPGAAGGSGGSAGARGGLGAGASELNASGSLSNVLSSVYGAPYAAAAAAAAAQGYGAFLPYAAELPIFPQLGAQYELKDSPGVQHPAAAAAFPHPHPAFYPYGQYQFGDPSRPKNATRESTSTLKAWLNEHRKNPYPTKGEKIMLAIITKMTLTQVSTWFANARRRLKKENKMTWAPRSRTDEEGNAYGSEREEEDEEEDEEDGKRELELEEEELGGEEEDTGGEGLADDDEDEEIDLENLDGAATEPELSLAGAARRDGDLGLGPISDSKNSDSEDSSEGLEDRPLPVLSLAPAPPPVAVASPSLPSPPVSLDPCAPAPAPASALQKPKIWSLAETATSPDNPRRSPPGAGGSPPGAAVAPSALQLSPAAAAAAAHRLVSAPLGKFPAWTNRPFPGPPPGPRLHPLSLLGSAPPHLLGLPGAAGHPAAAAAFARPAEPEGGTDRCSALEVEKKLLKTAFQPVPRRPQNHLDAALVLSALSSS
+>DECOY_sp|P78415|IRX3_HUMAN Iroquois-class homeodomain protein IRX-3 OS=Homo sapiens OX=9606 GN=IRX3 PE=2 SV=3
+SSSLASLVLAADLHNQPRRPVPQFATKLLKKEVELASCRDTGGEPEAPRAFAAAAAPHGAAGPLGLLHPPASGLLSLPHLRPGPPPGPFPRNTWAPFKGLPASVLRHAAAAAAAPSLQLASPAVAAGPPSGGAGPPSRRPNDPSTATEALSWIKPKQLASAPAPAPACPDLSVPPSPLSPSAVAVPPPAPALSLVPLPRDELGESSDESDSNKSDSIPGLGLDGDRRAAGALSLEPETAAGDLNELDIEEDEDDDALGEGGTDEEEGGLEEEELELERKGDEEDEEEDEEERESGYANGEEDTRSRPAWTMKNEKKLRRRANAFWTSVQTLTMKTIIALMIKEGKTPYPNKRHENLWAKLTSTSERTANKPRSPDGFQYQGYPYFAPHPHPFAAAAAPHQVGPSDKLEYQAGLQPFIPLEAAYPLFAGYGQAAAAAAAAAYPAGYVSSLVNSLSGSANLESAGAGLGGRAGASGGSGGAAGPRESPYLPRIYQYGLQPFSM
+>sp|P78412|IRX6_HUMAN Iroquois-class homeodomain protein IRX-6 OS=Homo sapiens OX=9606 GN=IRX6 PE=2 SV=3
+MSFPHFGHPYRGASQFLASASSSTTCCESTQRSVSDVASGSTPAPALCCAPYDSRLLGSARPELGAALGIYGAPYAAAAAAQSYPGYLPYSPEPPSLYGALNPQYEFKEAAGSFTSSLAQPGAYYPYERTLGQYQYERYGAVELSGAGRRKNATRETTSTLKAWLNEHRKNPYPTKGEKIMLAIITKMTLTQVSTWFANARRRLKKENKMTWAPKNKGGEERKAEGGEEDSLGCLTADTKEVTASQEARGLRLSDLEDLEEEEEEEEEAEDEEVVATAGDRLTEFRKGAQSLPGPCAAAREGRLERRECGLAAPRFSFNDPSGSEEADFLSAETGSPRLTMHYPCLEKPRIWSLAHTATASAVEGAPPARPRPRSPECRMIPGQPPASARRLSVPRDSACDESSCIPKAFGNPKFALQGLPLNCAPCPRRSEPVVQCQYPSGAEAG
+>DECOY_sp|P78412|IRX6_HUMAN Iroquois-class homeodomain protein IRX-6 OS=Homo sapiens OX=9606 GN=IRX6 PE=2 SV=3
+GAEAGSPYQCQVVPESRRPCPACNLPLGQLAFKPNGFAKPICSSEDCASDRPVSLRRASAPPQGPIMRCEPSRPRPRAPPAGEVASATATHALSWIRPKELCPYHMTLRPSGTEASLFDAEESGSPDNFSFRPAALGCERRELRGERAAACPGPLSQAGKRFETLRDGATAVVEEDEAEEEEEEEEELDELDSLRLGRAEQSATVEKTDATLCGLSDEEGGEAKREEGGKNKPAWTMKNEKKLRRRANAFWTSVQTLTMKTIIALMIKEGKTPYPNKRHENLWAKLTSTTERTANKRRGAGSLEVAGYREYQYQGLTREYPYYAGPQALSSTFSGAAEKFEYQPNLAGYLSPPEPSYPLYGPYSQAAAAAAYPAGYIGLAAGLEPRASGLLRSDYPACCLAPAPTSGSAVDSVSRQTSECCTTSSSASALFQSAGRYPHGFHPFSM
+>sp|Q9UKX5|ITA11_HUMAN Integrin alpha-11 OS=Homo sapiens OX=9606 GN=ITGA11 PE=1 SV=2
+MDLPRGLVVAWALSLWPGFTDTFNMDTRKPRVIPGSRTAFFGYTVQQHDISGNKWLVVGAPLETNGYQKTGDVYKCPVIHGNCTKLNLGRVTLSNVSERKDNMRLGLSLATNPKDNSFLACSPLWSHECGSSYYTTGMCSRVNSNFRFSKTVAPALQRCQTYMDIVIVLDGSNSIYPWVEVQHFLINILKKFYIGPGQIQVGVVQYGEDVVHEFHLNDYRSVKDVVEAASHIEQRGGTETRTAFGIEFARSEAFQKGGRKGAKKVMIVITDGESHDSPDLEKVIQQSERDNVTRYAVAVLGYYNRRGINPETFLNEIKYIASDPDDKHFFNVTDEAALKDIVDALGDRIFSLEGTNKNETSFGLEMSQTGFSSHVVEDGVLLGAVGAYDWNGAVLKETSAGKVIPLRESYLKEFPEELKNHGAYLGYTVTSVVSSRQGRVYVAGAPRFNHTGKVILFTMHNNRSLTIHQAMRGQQIGSYFGSEITSVDIDGDGVTDVLLVGAPMYFNEGRERGKVYVYELRQNLFVYNGTLKDSHSYQNARFGSSIASVRDLNQDSYNDVVVGAPLEDNHAGAIYIFHGFRGSILKTPKQRITASELATGLQYFGCSIHGQLDLNEDGLIDLAVGALGNAVILWSRPVVQINASLHFEPSKINIFHRDCKRSGRDATCLAAFLCFTPIFLAPHFQTTTVGIRYNATMDERRYTPRAHLDEGGDRFTNRAVLLSSGQELCERINFHVLDTADYVKPVTFSVEYSLEDPDHGPMLDDGWPTTLRVSVPFWNGCNEDEHCVPDLVLDARSDLPTAMEYCQRVLRKPAQDCSAYTLSFDTTVFIIESTRQRVAVEATLENRGENAYSTVLNISQSANLQFASLIQKEDSDGSIECVNEERRLQKQVCNVSYPFFRAKAKVAFRLDFEFSKSIFLHHLEIELAAGSDSNERDSTKEDNVAPLRFHLKYEADVLFTRSSSLSHYEVKPNSSLERYDGIGPPFSCIFRIQNLGLFPIHGMMMKITIPIATRSGNRLLKLRDFLTDEANTSCNIWGNSTEYRPTPVEEDLRRAPQLNHSNSDVVSINCNIRLVPNQEINFHLLGNLWLRSLKALKYKSMKIMVNAALQRQFHSPFIFREEDPSRQIVFEISKQEDWQVPIWIIVGSTLGGLLLLALLVLALWKLGFFRSARRRREPGLDPTPKVLE
+>DECOY_sp|Q9UKX5|ITA11_HUMAN Integrin alpha-11 OS=Homo sapiens OX=9606 GN=ITGA11 PE=1 SV=2
+ELVKPTPDLGPERRRRASRFFGLKWLALVLLALLLLGGLTSGVIIWIPVQWDEQKSIEFVIQRSPDEERFIFPSHFQRQLAANVMIKMSKYKLAKLSRLWLNGLLHFNIEQNPVLRINCNISVVDSNSHNLQPARRLDEEVPTPRYETSNGWINCSTNAEDTLFDRLKLLRNGSRTAIPITIKMMMGHIPFLGLNQIRFICSFPPGIGDYRELSSNPKVEYHSLSSSRTFLVDAEYKLHFRLPAVNDEKTSDRENSDSGAALEIELHHLFISKSFEFDLRFAVKAKARFFPYSVNCVQKQLRREENVCEISGDSDEKQILSAFQLNASQSINLVTSYANEGRNELTAEVAVRQRTSEIIFVTTDFSLTYASCDQAPKRLVRQCYEMATPLDSRADLVLDPVCHEDENCGNWFPVSVRLTTPWGDDLMPGHDPDELSYEVSFTVPKVYDATDLVHFNIRECLEQGSSLLVARNTFRDGGEDLHARPTYRREDMTANYRIGVTTTQFHPALFIPTFCLFAALCTADRGSRKCDRHFINIKSPEFHLSANIQVVPRSWLIVANGLAGVALDILGDENLDLQGHISCGFYQLGTALESATIRQKPTKLISGRFGHFIYIAGAHNDELPAGVVVDNYSDQNLDRVSAISSGFRANQYSHSDKLTGNYVFLNQRLEYVYVKGRERGENFYMPAGVLLVDTVGDGDIDVSTIESGFYSGIQQGRMAQHITLSRNNHMTFLIVKGTHNFRPAGAVYVRGQRSSVVSTVTYGLYAGHNKLEEPFEKLYSERLPIVKGASTEKLVAGNWDYAGVAGLLVGDEVVHSSFGTQSMELGFSTENKNTGELSFIRDGLADVIDKLAAEDTVNFFHKDDPDSAIYKIENLFTEPNIGRRNYYGLVAVAYRTVNDRESQQIVKELDPSDHSEGDTIVIMVKKAGKRGGKQFAESRAFEIGFATRTETGGRQEIHSAAEVVDKVSRYDNLHFEHVVDEGYQVVGVQIQGPGIYFKKLINILFHQVEVWPYISNSGDLVIVIDMYTQCRQLAPAVTKSFRFNSNVRSCMGTTYYSSGCEHSWLPSCALFSNDKPNTALSLGLRMNDKRESVNSLTVRGLNLKTCNGHIVPCKYVDGTKQYGNTELPAGVVLWKNGSIDHQQVTYGFFATRSGPIVRPKRTDMNFTDTFGPWLSLAWAVVLGRPLDM
+>sp|P56199|ITA1_HUMAN Integrin alpha-1 OS=Homo sapiens OX=9606 GN=ITGA1 PE=1 SV=2
+MAPRPRARPGVAVACCWLLTVVLRCCVSFNVDVKNSMTFSGPVEDMFGYTVQQYENEEGKWVLIGSPLVGQPKNRTGDVYKCPVGRGESLPCVKLDLPVNTSIPNVTEVKENMTFGSTLVTNPNGGFLACGPLYAYRCGHLHYTTGICSDVSPTFQVVNSIAPVQECSTQLDIVIVLDGSNSIYPWDSVTAFLNDLLERMDIGPKQTQVGIVQYGENVTHEFNLNKYSSTEEVLVAAKKIVQRGGRQTMTALGIDTARKEAFTEARGARRGVKKVMVIVTDGESHDNHRLKKVIQDCEDENIQRFSIAILGSYNRGNLSTEKFVEEIKSIASEPTEKHFFNVSDELALVTIVKTLGERIFALEATADQSAASFEMEMSQTGFSAHYSQDWVMLGAVGAYDWNGTVVMQKASQIIIPRNTTFNVESTKKNEPLASYLGYTVNSATASSGDVLYIAGQPRYNHTGQVIIYRMEDGNIKILQTLSGEQIGSYFGSILTTTDIDKDSNTDILLVGAPMYMGTEKEEQGKVYVYALNQTRFEYQMSLEPIKQTCCSSRQHNSCTTENKNEPCGARFGTAIAAVKDLNLDGFNDIVIGAPLEDDHGGAVYIYHGSGKTIRKEYAQRIPSGGDGKTLKFFGQSIHGEMDLNGDGLTDVTIGGLGGAALFWSRDVAVVKVTMNFEPNKVNIQKKNCHMEGKETVCINATVCFDVKLKSKEDTIYEADLQYRVTLDSLRQISRSFFSGTQERKVQRNITVRKSECTKHSFYMLDKHDFQDSVRITLDFNLTDPENGPVLDDSLPNSVHEYIPFAKDCGNKEKCISDLSLHVATTEKDLLIVRSQNDKFNVSLTVKNTKDSAYNTRTIVHYSPNLVFSGIEAIQKDSCESNHNITCKVGYPFLRRGEMVTFKILFQFNTSYLMENVTIYLSATSDSEEPPETLSDNVVNISIPVKYEVGLQFYSSASEYHISIAANETVPEVINSTEDIGNEINIFYLIRKSGSFPMPELKLSISFPNMTSNGYPVLYPTGLSSSENANCRPHIFEDPFSINSGKKMTTSTDHLKRGTILDCNTCKFATITCNLTSSDISQVNVSLILWKPTFIKSYFSSLNLTIRGELRSENASLVLSSSNQKRELAIQISKDGLPGRVPLWVILLSAFAGLLLLMLLILALWKIGFFKRPLKKKMEK
+>DECOY_sp|P56199|ITA1_HUMAN Integrin alpha-1 OS=Homo sapiens OX=9606 GN=ITGA1 PE=1 SV=2
+KEMKKKLPRKFFGIKWLALILLMLLLLGAFASLLIVWLPVRGPLGDKSIQIALERKQNSSSLVLSANESRLEGRITLNLSSFYSKIFTPKWLILSVNVQSIDSSTLNCTITAFKCTNCDLITGRKLHDTSTTMKKGSNISFPDEFIHPRCNANESSSLGTPYLVPYGNSTMNPFSISLKLEPMPFSGSKRILYFINIENGIDETSNIVEPVTENAAISIHYESASSYFQLGVEYKVPISINVVNDSLTEPPEESDSTASLYITVNEMLYSTNFQFLIKFTVMEGRRLFPYGVKCTINHNSECSDKQIAEIGSFVLNPSYHVITRTNYASDKTNKVTLSVNFKDNQSRVILLDKETTAVHLSLDSICKEKNGCDKAFPIYEHVSNPLSDDLVPGNEPDTLNFDLTIRVSDQFDHKDLMYFSHKTCESKRVTINRQVKREQTGSFFSRSIQRLSDLTVRYQLDAEYITDEKSKLKVDFCVTANICVTEKGEMHCNKKQINVKNPEFNMTVKVVAVDRSWFLAAGGLGGITVDTLGDGNLDMEGHISQGFFKLTKGDGGSPIRQAYEKRITKGSGHYIYVAGGHDDELPAGIVIDNFGDLNLDKVAAIATGFRAGCPENKNETTCSNHQRSSCCTQKIPELSMQYEFRTQNLAYVYVKGQEEKETGMYMPAGVLLIDTNSDKDIDTTTLISGFYSGIQEGSLTQLIKINGDEMRYIIVQGTHNYRPQGAIYLVDGSSATASNVTYGLYSALPENKKTSEVNFTTNRPIIIQSAKQMVVTGNWDYAGVAGLMVWDQSYHASFGTQSMEMEFSAASQDATAELAFIREGLTKVITVLALEDSVNFFHKETPESAISKIEEVFKETSLNGRNYSGLIAISFRQINEDECDQIVKKLRHNDHSEGDTVIVMVKKVGRRAGRAETFAEKRATDIGLATMTQRGGRQVIKKAAVLVEETSSYKNLNFEHTVNEGYQVIGVQTQKPGIDMRELLDNLFATVSDWPYISNSGDLVIVIDLQTSCEQVPAISNVVQFTPSVDSCIGTTYHLHGCRYAYLPGCALFGGNPNTVLTSGFTMNEKVETVNPISTNVPLDLKVCPLSEGRGVPCKYVDGTRNKPQGVLPSGILVWKGEENEYQQVTYGFMDEVPGSFTMSNKVDVNFSVCCRLVVTLLWCCAVAVGPRARPRPAM
+>sp|O14713|ITBP1_HUMAN Integrin beta-1-binding protein 1 OS=Homo sapiens OX=9606 GN=ITGB1BP1 PE=1 SV=1
+MFRKGKKRHSSSSSQSSEISTKSKSVDSSLGGLSRSSTVASLDTDSTKSSGQSNNNSDTCAEFRIKYVGAIEKLKLSEGKGLEGPLDLINYIDVAQQDGKLPFVPPEEEFIMGVSKYGIKVSTSDQYDVLHRHALYLIIRMVCYDDGLGAGKSLLALKTTDASNEEYSLWVYQCNSLEQAQAICKVLSTAFDSVLTSEKP
+>DECOY_sp|O14713|ITBP1_HUMAN Integrin beta-1-binding protein 1 OS=Homo sapiens OX=9606 GN=ITGB1BP1 PE=1 SV=1
+PKESTLVSDFATSLVKCIAQAQELSNCQYVWLSYEENSADTTKLALLSKGAGLGDDYCVMRIILYLAHRHLVDYQDSTSVKIGYKSVGMIFEEEPPVFPLKGDQQAVDIYNILDLPGELGKGESLKLKEIAGVYKIRFEACTDSNNNSQGSSKTSDTDLSAVTSSRSLGGLSSDVSKSKTSIESSQSSSSSHRKKGKRFM
+>sp|Q969R8|ITFG2_HUMAN KICSTOR complex protein ITFG2 OS=Homo sapiens OX=9606 GN=ITFG2 PE=1 SV=1
+MRSVSYVQRVALEFSGSLFPHAICLGDVDNDTLNELVVGDTSGKVSVYKNDDSRPWLTCSCQGMLTCVGVGDVCNKGKNLLVAVSAEGWFHLFDLTPAKVLDASGHHETLIGEEQRPVFKQHIPANTKVMLISDIDGDGCRELVVGYTDRVVRAFRWEELGEGPEHLTGQLVSLKKWMLEGQVDSLSVTLGPLGLPELMVSQPGCAYAILLCTWKKDTGSPPASEGPTDGSRETPAARDVVLHQTSGRIHNKNVSTHLIGNIKQGHGTESSGSGLFALCTLDGTLKLMEEMEEADKLLWSVQVDHQLFALEKLDVTGNGHEEVVACAWDGQTYIIDHNRTVVRFQVDENIRAFCAGLYACKEGRNSPCLVYVTFNQKIYVYWEVQLERMESTNLVKLLETKPEYHSLLQELGVDPDDLPVTRALLHQTLYHPDQPPQCAPSSLQDPT
+>DECOY_sp|Q969R8|ITFG2_HUMAN KICSTOR complex protein ITFG2 OS=Homo sapiens OX=9606 GN=ITFG2 PE=1 SV=1
+TPDQLSSPACQPPQDPHYLTQHLLARTVPLDDPDVGLEQLLSHYEPKTELLKVLNTSEMRELQVEWYVYIKQNFTVYVLCPSNRGEKCAYLGACFARINEDVQFRVVTRNHDIIYTQGDWACAVVEEHGNGTVDLKELAFLQHDVQVSWLLKDAEEMEEMLKLTGDLTCLAFLGSGSSETGHGQKINGILHTSVNKNHIRGSTQHLVVDRAAPTERSGDTPGESAPPSGTDKKWTCLLIAYACGPQSVMLEPLGLPGLTVSLSDVQGELMWKKLSVLQGTLHEPGEGLEEWRFARVVRDTYGVVLERCGDGDIDSILMVKTNAPIHQKFVPRQEEGILTEHHGSADLVKAPTLDFLHFWGEASVAVLLNKGKNCVDGVGVCTLMGQCSCTLWPRSDDNKYVSVKGSTDGVVLENLTDNDVDGLCIAHPFLSGSFELAVRQVYSVSRM
+>sp|Q86UX2|ITIH5_HUMAN Inter-alpha-trypsin inhibitor heavy chain H5 OS=Homo sapiens OX=9606 GN=ITIH5 PE=2 SV=2
+MLLLLGLCLGLSLCVGSQEEAQSWGHSSEQDGLRVPRQVRLLQRLKTKPLMTEFSVKSTIISRYAFTTVSCRMLNRASEDQDIEFQMQIPAAAFITNFTMLIGDKVYQGEITEREKKSGDRVKEKRNKTTEENGEKGTEIFRASAVIPSKDKAAFFLSYEELLQRRLGKYEHSISVRPQQLSGRLSVDVNILESAGIASLEVLPLHNSRQRGSGRGEDDSGPPPSTVINQNETFANIIFKPTVVQQARIAQNGILGDFIIRYDVNREQSIGDIQVLNGYFVHYFAPKDLPPLPKNVVFVLDSSASMVGTKLRQTKDALFTILHDLRPQDRFSIIGFSNRIKVWKDHLISVTPDSIRDGKVYIHHMSPTGGTDINGALQRAIRLLNKYVAHSGIGDRSVSLIVFLTDGKPTVGETHTLKILNNTREAARGQVCIFTIGIGNDVDFRLLEKLSLENCGLTRRVHEEEDAGSQLIGFYDEIRTPLLSDIRIDYPPSSVVQATKTLFPNYFNGSEIIIAGKLVDRKLDHLHVEVTASNSKKFIILKTDVPVRPQKAGKDVTGSPRPGGDGEGDTNHIERLWSYLTTKELLSSWLQSDDEPEKERLRQRAQALAVSYRFLTPFTSMKLRGPVPRMDGLEEAHGMSAAMGPEPVVQSVRGAGTQPGPLLKKPYQPRIKISKTSVDGDPHFVVDFPLSRLTVCFNIDGQPGDILRLVSDHRDSGVTVNGELIGAPAPPNGHKKQRTYLRTITILINKPERSYLEITPSRVILDGGDRLVLPCNQSVVVGSWGLEVSVSANANVTVTIQGSIAFVILIHLYKKPAPFQRHHLGFYIANSEGLSSNCHGLLGQFLNQDARLTEDPAGPSQNLTHPLLLQVGEGPEAVLTVKGHQVPVVWKQRKIYNGEEQIDCWFARNNAAKLIDGEYKDYLAFHPFDTGMTLGQGMSREL
+>DECOY_sp|Q86UX2|ITIH5_HUMAN Inter-alpha-trypsin inhibitor heavy chain H5 OS=Homo sapiens OX=9606 GN=ITIH5 PE=2 SV=2
+LERSMGQGLTMGTDFPHFALYDKYEGDILKAANNRAFWCDIQEEGNYIKRQKWVVPVQHGKVTLVAEPGEGVQLLLPHTLNQSPGAPDETLRADQNLFQGLLGHCNSSLGESNAIYFGLHHRQFPAPKKYLHILIVFAISGQITVTVNANASVSVELGWSGVVVSQNCPLVLRDGGDLIVRSPTIELYSREPKNILITITRLYTRQKKHGNPPAPAGILEGNVTVGSDRHDSVLRLIDGPQGDINFCVTLRSLPFDVVFHPDGDVSTKSIKIRPQYPKKLLPGPQTGAGRVSQVVPEPGMAASMGHAEELGDMRPVPGRLKMSTFPTLFRYSVALAQARQRLREKEPEDDSQLWSSLLEKTTLYSWLREIHNTDGEGDGGPRPSGTVDKGAKQPRVPVDTKLIIFKKSNSATVEVHLHDLKRDVLKGAIIIESGNFYNPFLTKTAQVVSSPPYDIRIDSLLPTRIEDYFGILQSGADEEEHVRRTLGCNELSLKELLRFDVDNGIGITFICVQGRAAERTNNLIKLTHTEGVTPKGDTLFVILSVSRDGIGSHAVYKNLLRIARQLAGNIDTGGTPSMHHIYVKGDRISDPTVSILHDKWVKIRNSFGIISFRDQPRLDHLITFLADKTQRLKTGVMSASSDLVFVVNKPLPPLDKPAFYHVFYGNLVQIDGISQERNVDYRIIFDGLIGNQAIRAQQVVTPKFIINAFTENQNIVTSPPPGSDDEGRGSGRQRSNHLPLVELSAIGASELINVDVSLRGSLQQPRVSISHEYKGLRRQLLEEYSLFFAAKDKSPIVASARFIETGKEGNEETTKNRKEKVRDGSKKERETIEGQYVKDGILMTFNTIFAAAPIQMQFEIDQDESARNLMRCSVTTFAYRSIITSKVSFETMLPKTKLRQLLRVQRPVRLGDQESSHGWSQAEEQSGVCLSLGLCLGLLLLM
+>sp|Q8WWA0|ITLN1_HUMAN Intelectin-1 OS=Homo sapiens OX=9606 GN=ITLN1 PE=1 SV=1
+MNQLSFLLFLIATTRGWSTDEANTYFKEWTCSSSPSLPRSCKEIKDECPSAFDGLYFLRTENGVIYQTFCDMTSGGGGWTLVASVHENDMRGKCTVGDRWSSQQGSKAVYPEGDGNWANYNTFGSAEAATSDDYKNPGYYDIQAKDLGIWHVPNKSPMQHWRNSSLLRYRTDTGFLQTLGHNLFGIYQKYPVKYGEGKCWTDNGPVIPVVYDFGDAQKTASYYSPYGQREFTAGFVQFRVFNNERAANALCAGMRVTGCNTEHHCIGGGGYFPEASPQQCGDFSGFDWSGYGTHVGYSSSREITEAAVLLFYR
+>DECOY_sp|Q8WWA0|ITLN1_HUMAN Intelectin-1 OS=Homo sapiens OX=9606 GN=ITLN1 PE=1 SV=1
+RYFLLVAAETIERSSSYGVHTGYGSWDFGSFDGCQQPSAEPFYGGGGICHHETNCGTVRMGACLANAARENNFVRFQVFGATFERQGYPSYYSATKQADGFDYVVPIVPGNDTWCKGEGYKVPYKQYIGFLNHGLTQLFGTDTRYRLLSSNRWHQMPSKNPVHWIGLDKAQIDYYGPNKYDDSTAAEASGFTNYNAWNGDGEPYVAKSGQQSSWRDGVTCKGRMDNEHVSAVLTWGGGGSTMDCFTQYIVGNETRLFYLGDFASPCEDKIEKCSRPLSPSSSCTWEKFYTNAEDTSWGRTTAILFLLFSLQNM
+>sp|Q9Y287|ITM2B_HUMAN Integral membrane protein 2B OS=Homo sapiens OX=9606 GN=ITM2B PE=1 SV=1
+MVKVTFNSALAQKEAKKDEPKSGEEALIIPPDAVAVDCKDPDDVVPVGQRRAWCWCMCFGLAFMLAGVILGGAYLYKYFALQPDDVYYCGIKYIKDDVILNEPSADAPAALYQTIEENIKIFEEEEVEFISVPVPEFADSDPANIVHDFNKKLTAYLDLNLDKCYVIPLNTSIVMPPRNLLELLINIKAGTYLPQSYLIHEHMVITDRIENIDHLGFFIYRLCHDKETYKLQRRETIKGIQKREASNCFAIRHFENKFAVETLICS
+>DECOY_sp|Q9Y287|ITM2B_HUMAN Integral membrane protein 2B OS=Homo sapiens OX=9606 GN=ITM2B PE=1 SV=1
+SCILTEVAFKNEFHRIAFCNSAERKQIGKITERRQLKYTEKDHCLRYIFFGLHDINEIRDTIVMHEHILYSQPLYTGAKINILLELLNRPPMVISTNLPIVYCKDLNLDLYATLKKNFDHVINAPDSDAFEPVPVSIFEVEEEEFIKINEEITQYLAAPADASPENLIVDDKIYKIGCYYVDDPQLAFYKYLYAGGLIVGALMFALGFCMCWCWARRQGVPVVDDPDKCDVAVADPPIILAEEGSKPEDKKAEKQALASNFTVKVM
+>sp|Q9NZM3|ITSN2_HUMAN Intersectin-2 OS=Homo sapiens OX=9606 GN=ITSN2 PE=1 SV=3
+MMAQFPTAMNGGPNMWAITSEERTKHDRQFDNLKPSGGYITGDQARNFFLQSGLPAPVLAEIWALSDLNKDGKMDQQEFSIAMKLIKLKLQGQQLPVVLPPIMKQPPMFSPLISARFGMGSMPNLSIPQPLPPAAPITSLSSATSGTNLPPLMMPTPLVPSVSTSSLPNGTASLIQPLPIPYSSSTLPHGSSYSLMMGGFGGASIQKAQSLIDLGSSSSTSSTASLSGNSPKTGTSEWAVPQPTRLKYRQKFNTLDKSMSGYLSGFQARNALLQSNLSQTQLATIWTLADVDGDGQLKAEEFILAMHLTDMAKAGQPLPLTLPPELVPPSFRGGKQIDSINGTLPSYQKMQEEEPQKKLPVTFEDKRKANYERGNMELEKRRQALMEQQQREAERKAQKEKEEWERKQRELQEQEWKKQLELEKRLEKQRELERQREEERRKDIERREAAKQELERQRRLEWERIRRQELLNQKNREQEEIVRLNSKKKNLHLELEALNGKHQQISGRLQDVRLKKQTQKTELEVLDKQCDLEIMEIKQLQQELQEYQNKLIYLVPEKQLLNERIKNMQFSNTPDSGVSLLHKKSLEKEELCQRLKEQLDALEKETASKLSEMDSFNNQLKCGNMDDSVLQCLLSLLSCLNNLFLLLKELRETYNTQQLALEQLYKIKRDKLKEIERKRLELMQKKKLEDEAARKAKQGKENLWKENLRKEEEEKQKRLQEEKTQEKIQEEERKAEEKQRKDKDTLKAEEKKRETASVLVNYRALYPFEARNHDEMSFNSGDIIQVDEKTVGEPGWLYGSFQGNFGWFPCNYVEKMPSSENEKAVSPKKALLPPTVSLSATSTSSEPLSSNQPASVTDYQNVSFSNLTVNTSWQKKSAFTRTVSPGSVSPIHGQGQVVENLKAQALCSWTAKKDNHLNFSKHDIITVLEQQENWWFGEVHGGRGWFPKSYVKIIPGSEVKREEPEALYAAVNKKPTSAAYSVGEEYIALYPYSSVEPGDLTFTEGEEILVTQKDGEWWTGSIGDRSGIFPSNYVKPKDQESFGSASKSGASNKKPEIAQVTSAYVASGSEQLSLAPGQLILILKKNTSGWWQGELQARGKKRQKGWFPASHVKLLGPSSERATPAFHPVCQVIAMYDYAANNEDELSFSKGQLINVMNKDDPDWWQGEINGVTGLFPSNYVKMTTDSDPSQQWCADLQTLDTMQPIERKRQGYIHELIQTEERYMADLQLVVEVFQKRMAESGFLTEGEMALIFVNWKELIMSNTKLLKALRVRKKTGGEKMPVQMIGDILAAELSHMQAYIRFCSCQLNGAALLQQKTDEDTDFKEFLKKLASDPRCKGMPLSSFLLKPMQRITRYPLLIRSILENTPESHADHSSLKLALERAEELCSQVNEGVREKENSDRLEWIQAHVQCEGLAEQLIFNSLTNCLGPRKLLHSGKLYKTKSNKELHGFLFNDFLLLTYMVKQFAVSSGSEKLFSSKSNAQFKMYKTPIFLNEVLVKLPTDPSSDEPVFHISHIDRVYTLRTDNINERTAWVQKIKAASEQYIDTEKKKREKAYQARSQKTSGIGRLMVHVIEATELKACKPNGKSNPYCEISMGSQSYTTRTIQDTLNPKWNFNCQFFIKDLYQDVLCLTLFDRDQFSPDDFLGRTEIPVAKIRTEQESKGPMTRRLLLHEVPTGEVWVRFDLQLFEQKTLL
+>DECOY_sp|Q9NZM3|ITSN2_HUMAN Intersectin-2 OS=Homo sapiens OX=9606 GN=ITSN2 PE=1 SV=3
+LLTKQEFLQLDFRVWVEGTPVEHLLLRRTMPGKSEQETRIKAVPIETRGLFDDPSFQDRDFLTLCLVDQYLDKIFFQCNFNWKPNLTDQITRTTYSQSGMSIECYPNSKGNPKCAKLETAEIVHVMLRGIGSTKQSRAQYAKERKKKETDIYQESAAKIKQVWATRENINDTRLTYVRDIHSIHFVPEDSSPDTPLKVLVENLFIPTKYMKFQANSKSSFLKESGSSVAFQKVMYTLLLFDNFLFGHLEKNSKTKYLKGSHLLKRPGLCNTLSNFILQEALGECQVHAQIWELRDSNEKERVGENVQSCLEEARELALKLSSHDAHSEPTNELISRILLPYRTIRQMPKLLFSSLPMGKCRPDSALKKLFEKFDTDEDTKQQLLAAGNLQCSCFRIYAQMHSLEAALIDGIMQVPMKEGGTKKRVRLAKLLKTNSMILEKWNVFILAMEGETLFGSEAMRKQFVEVVLQLDAMYREETQILEHIYGQRKREIPQMTDLTQLDACWQQSPDSDTTMKVYNSPFLGTVGNIEGQWWDPDDKNMVNILQGKSFSLEDENNAAYDYMAIVQCVPHFAPTARESSPGLLKVHSAPFWGKQRKKGRAQLEGQWWGSTNKKLILILQGPALSLQESGSAVYASTVQAIEPKKNSAGSKSASGFSEQDKPKVYNSPFIGSRDGISGTWWEGDKQTVLIEEGETFTLDGPEVSSYPYLAIYEEGVSYAASTPKKNVAAYLAEPEERKVESGPIIKVYSKPFWGRGGHVEGFWWNEQQELVTIIDHKSFNLHNDKKATWSCLAQAKLNEVVQGQGHIPSVSGPSVTRTFASKKQWSTNVTLNSFSVNQYDTVSAPQNSSLPESSTSTASLSVTPPLLAKKPSVAKENESSPMKEVYNCPFWGFNGQFSGYLWGPEGVTKEDVQIIDGSNFSMEDHNRAEFPYLARYNVLVSATERKKEEAKLTDKDKRQKEEAKREEEQIKEQTKEEQLRKQKEEEEKRLNEKWLNEKGQKAKRAAEDELKKKQMLELRKREIEKLKDRKIKYLQELALQQTNYTERLEKLLLFLNNLCSLLSLLCQLVSDDMNGCKLQNNFSDMESLKSATEKELADLQEKLRQCLEEKELSKKHLLSVGSDPTNSFQMNKIRENLLQKEPVLYILKNQYEQLEQQLQKIEMIELDCQKDLVELETKQTQKKLRVDQLRGSIQQHKGNLAELELHLNKKKSNLRVIEEQERNKQNLLEQRRIREWELRRQRELEQKAAERREIDKRREEERQRELERQKELRKELELQKKWEQEQLERQKREWEEKEKQAKREAERQQQEMLAQRRKELEMNGREYNAKRKDEFTVPLKKQPEEEQMKQYSPLTGNISDIQKGGRFSPPVLEPPLTLPLPQGAKAMDTLHMALIFEEAKLQGDGDVDALTWITALQTQSLNSQLLANRAQFGSLYGSMSKDLTNFKQRYKLRTPQPVAWESTGTKPSNGSLSATSSTSSSSGLDILSQAKQISAGGFGGMMLSYSSGHPLTSSSYPIPLPQILSATGNPLSSTSVSPVLPTPMMLPPLNTGSTASSLSTIPAAPPLPQPISLNPMSGMGFRASILPSFMPPQKMIPPLVVPLQQGQLKLKILKMAISFEQQDMKGDKNLDSLAWIEALVPAPLGSQLFFNRAQDGTIYGGSPKLNDFQRDHKTREESTIAWMNPGGNMATPFQAMM
+>sp|Q5VZ72|IZUM3_HUMAN Izumo sperm-egg fusion protein 3 OS=Homo sapiens OX=9606 GN=IZUMO3 PE=3 SV=4
+MGDLWLFLLLPLSAFHGVKGCLECDPKFIEDVGSLLGNLIPSEVPGRTQLLERQIKEMIHLSFKVSHSDKRLRVLAVQQVVKLRTWLKNEFYKLGNETWKGVFIYQGKLLDVCQNLESKLKELLKNFSEIACSEDCIVVEGPILDCWTCLRMTNRCFKGEYCGDEDPRKAENREIALFLILLATAVILGSAVLLFHFCIFHRRKMKAIRRSLKEYVEKKLEELMGKIDEKEEKDFRLRK
+>DECOY_sp|Q5VZ72|IZUM3_HUMAN Izumo sperm-egg fusion protein 3 OS=Homo sapiens OX=9606 GN=IZUMO3 PE=3 SV=4
+KRLRFDKEEKEDIKGMLEELKKEVYEKLSRRIAKMKRRHFICFHFLLVASGLIVATALLILFLAIERNEAKRPDEDGCYEGKFCRNTMRLCTWCDLIPGEVVICDESCAIESFNKLLEKLKSELNQCVDLLKGQYIFVGKWTENGLKYFENKLWTRLKVVQQVALVRLRKDSHSVKFSLHIMEKIQRELLQTRGPVESPILNGLLSGVDEIFKPDCELCGKVGHFASLPLLLFLWLDGM
+>sp|Q6IE81|JADE1_HUMAN Protein Jade-1 OS=Homo sapiens OX=9606 GN=JADE1 PE=1 SV=1
+MKRGRLPSSSEDSDDNGSLSTTWSQNSRSQHRRSSCSRHEDRKPSEVFRTDLITAMKLHDSYQLNPDEYYVLADPWRQEWEKGVQVPVSPGTIPQPVARVVSEEKSLMFIRPKKYIVSSGSEPPELGYVDIRTLADSVCRYDLNDMDAAWLELTNEEFKEMGMPELDEYTMERVLEEFEQRCYDNMNHAIETEEGLGIEYDEDVVCDVCQSPDGEDGNEMVFCDKCNICVHQACYGILKVPEGSWLCRTCALGVQPKCLLCPKKGGAMKPTRSGTKWVHVSCALWIPEVSIGSPEKMEPITKVSHIPSSRWALVCSLCNEKFGASIQCSVKNCRTAFHVTCAFDRGLEMKTILAENDEVKFKSYCPKHSSHRKPEESLGKGAAQENGAPECSPRNPLEPFASLEQNREEAHRVSVRKQKLQQLEDEFYTFVNLLDVARALRLPEEVVDFLYQYWKLKRKVNFNKPLITPKKDEEDNLAKREQDVLFRRLQLFTHLRQDLERVRNLTYMVTRREKIKRSVCKVQEQIFNLYTKLLEQERVSGVPSSCSSSSLENMLLFNSPSVGPDAPKIEDLKWHSAFFRKQMGTSLVHSLKKPHKRDPLQNSPGSEGKTLLKQPDLCGRREGMVVPESFLGLEKTFAEARLISAQQKNGVVMPDHGKRRDNRFHCDLIKGDLKDKSFKQSHKPLRSTDVSQRHLDNTRAATSPGVGQSAPGTRKEIVPKCNGSLIKVNYNQTAVKVPTTPASPVKNWGGFRIPKKGERQQQGEAHDGACHQHSDYPYLGLGRVPAKERAKSKLKSDNENDGYVPDVEMSDSESEASEKKCIHTSSTISRRTDIIRRSILAS
+>DECOY_sp|Q6IE81|JADE1_HUMAN Protein Jade-1 OS=Homo sapiens OX=9606 GN=JADE1 PE=1 SV=1
+SALISRRIIDTRRSITSSTHICKKESAESESDSMEVDPVYGDNENDSKLKSKAREKAPVRGLGLYPYDSHQHCAGDHAEGQQQREGKKPIRFGGWNKVPSAPTTPVKVATQNYNVKILSGNCKPVIEKRTGPASQGVGPSTAARTNDLHRQSVDTSRLPKHSQKFSKDKLDGKILDCHFRNDRRKGHDPMVVGNKQQASILRAEAFTKELGLFSEPVVMGERRGCLDPQKLLTKGESGPSNQLPDRKHPKKLSHVLSTGMQKRFFASHWKLDEIKPADPGVSPSNFLLMNELSSSSCSSPVGSVREQELLKTYLNFIQEQVKCVSRKIKERRTVMYTLNRVRELDQRLHTFLQLRRFLVDQERKALNDEEDKKPTILPKNFNVKRKLKWYQYLFDVVEEPLRLARAVDLLNVFTYFEDELQQLKQKRVSVRHAEERNQELSAFPELPNRPSCEPAGNEQAAGKGLSEEPKRHSSHKPCYSKFKVEDNEALITKMELGRDFACTVHFATRCNKVSCQISAGFKENCLSCVLAWRSSPIHSVKTIPEMKEPSGISVEPIWLACSVHVWKTGSRTPKMAGGKKPCLLCKPQVGLACTRCLWSGEPVKLIGYCAQHVCINCKDCFVMENGDEGDPSQCVDCVVDEDYEIGLGEETEIAHNMNDYCRQEFEELVREMTYEDLEPMGMEKFEENTLELWAADMDNLDYRCVSDALTRIDVYGLEPPESGSSVIYKKPRIFMLSKEESVVRAVPQPITGPSVPVQVGKEWEQRWPDALVYYEDPNLQYSDHLKMATILDTRFVESPKRDEHRSCSSRRHQSRSNQSWTTSLSGNDDSDESSSPLRGRKM
+>sp|Q9Y219|JAG2_HUMAN Protein jagged-2 OS=Homo sapiens OX=9606 GN=JAG2 PE=1 SV=3
+MRAQGRGRLPRRLLLLLALWVQAARPMGYFELQLSALRNVNGELLSGACCDGDGRTTRAGGCGHDECDTYVRVCLKEYQAKVTPTGPCSYGHGATPVLGGNSFYLPPAGAAGDRARARARAGGDQDPGLVVIPFQFAWPRSFTLIVEAWDWDNDTTPNEELLIERVSHAGMINPEDRWKSLHFSGHVAHLELQIRVRCDENYYSATCNKFCRPRNDFFGHYTCDQYGNKACMDGWMGKECKEAVCKQGCNLLHGGCTVPGECRCSYGWQGRFCDECVPYPGCVHGSCVEPWQCNCETNWGGLLCDKDLNYCGSHHPCTNGGTCINAEPDQYRCTCPDGYSGRNCEKAEHACTSNPCANGGSCHEVPSGFECHCPSGWSGPTCALDIDECASNPCAAGGTCVDQVDGFECICPEQWVGATCQLDANECEGKPCLNAFSCKNLIGGYYCDCIPGWKGINCHINVNDCRGQCQHGGTCKDLVNGYQCVCPRGFGGRHCELERDECASSPCHSGGLCEDLADGFHCHCPQGFSGPLCEVDVDLCEPSPCRNGARCYNLEGDYYCACPDDFGGKNCSVPREPCPGGACRVIDGCGSDAGPGMPGTAASGVCGPHGRCVSQPGGNFSCICDSGFTGTYCHENIDDCLGQPCRNGGTCIDEVDAFRCFCPSGWEGELCDTNPNDCLPDPCHSRGRCYDLVNDFYCACDDGWKGKTCHSREFQCDAYTCSNGGTCYDSGDTFRCACPPGWKGSTCAVAKNSSCLPNPCVNGGTCVGSGASFSCICRDGWEGRTCTHNTNDCNPLPCYNGGICVDGVNWFRCECAPGFAGPDCRINIDECQSSPCAYGATCVDEINGYRCSCPPGRAGPRCQEVIGFGRSCWSRGTPFPHGSSWVEDCNSCRCLDGRRDCSKVWCGWKPCLLAGQPEALSAQCPLGQRCLEKAPGQCLRPPCEAWGECGAEEPPSTPCLPRSGHLDNNCARLTLHFNRDHVPQGTTVGAICSGIRSLPATRAVARDRLLVLLCDRASSGASAVEVAVSFSPARDLPDSSLIQGAAHAIVAAITQRGNSSLLLAVTEVKVETVVTGGSSTGLLVPVLCGAFSVLWLACVVLCVWWTRKRRKERERSRLPREESANNQWAPLNPIRNPIERPGGHKDVLYQCKNFTPPPRRADEALPGPAGHAAVREDEEDEDLGRGEEDSLEAEKFLSHKFTKDPGRSPGRPAHWASGPKVDNRAVRSINEARYAGKE
+>DECOY_sp|Q9Y219|JAG2_HUMAN Protein jagged-2 OS=Homo sapiens OX=9606 GN=JAG2 PE=1 SV=3
+EKGAYRAENISRVARNDVKPGSAWHAPRGPSRGPDKTFKHSLFKEAELSDEEGRGLDEDEEDERVAAHGAPGPLAEDARRPPPTFNKCQYLVDKHGGPREIPNRIPNLPAWQNNASEERPLRSREREKRRKRTWWVCLVVCALWLVSFAGCLVPVLLGTSSGGTVVTEVKVETVALLLSSNGRQTIAAVIAHAAGQILSSDPLDRAPSFSVAVEVASAGSSARDCLLVLLRDRAVARTAPLSRIGSCIAGVTTGQPVHDRNFHLTLRACNNDLHGSRPLCPTSPPEEAGCEGWAECPPRLCQGPAKELCRQGLPCQASLAEPQGALLCPKWGCWVKSCDRRGDLCRCSNCDEVWSSGHPFPTGRSWCSRGFGIVEQCRPGARGPPCSCRYGNIEDVCTAGYACPSSQCEDINIRCDPGAFGPACECRFWNVGDVCIGGNYCPLPNCDNTNHTCTRGEWGDRCICSFSAGSGVCTGGNVCPNPLCSSNKAVACTSGKWGPPCACRFTDGSDYCTGGNSCTYADCQFERSHCTKGKWGDDCACYFDNVLDYCRGRSHCPDPLCDNPNTDCLEGEWGSPCFCRFADVEDICTGGNRCPQGLCDDINEHCYTGTFGSDCICSFNGGPQSVCRGHPGCVGSAATGPMGPGADSGCGDIVRCAGGPCPERPVSCNKGGFDDPCACYYDGELNYCRAGNRCPSPECLDVDVECLPGSFGQPCHCHFGDALDECLGGSHCPSSACEDRELECHRGGFGRPCVCQYGNVLDKCTGGHQCQGRCDNVNIHCNIGKWGPICDCYYGGILNKCSFANLCPKGECENADLQCTAGVWQEPCICEFGDVQDVCTGGAACPNSACEDIDLACTPGSWGSPCHCEFGSPVEHCSGGNACPNSTCAHEAKECNRGSYGDPCTCRYQDPEANICTGGNTCPHHSGCYNLDKDCLLGGWNTECNCQWPEVCSGHVCGPYPVCEDCFRGQWGYSCRCEGPVTCGGHLLNCGQKCVAEKCEKGMWGDMCAKNGYQDCTYHGFFDNRPRCFKNCTASYYNEDCRVRIQLELHAVHGSFHLSKWRDEPNIMGAHSVREILLEENPTTDNDWDWAEVILTFSRPWAFQFPIVVLGPDQDGGARARARARDGAAGAPPLYFSNGGLVPTAGHGYSCPGTPTVKAQYEKLCVRVYTDCEDHGCGGARTTRGDGDCCAGSLLEGNVNRLASLQLEFYGMPRAAQVWLALLLLLRRPLRGRGQARM
+>sp|Q9BX67|JAM3_HUMAN Junctional adhesion molecule C OS=Homo sapiens OX=9606 GN=JAM3 PE=1 SV=1
+MALRRPPRLRLCARLPDFFLLLLFRGCLIGAVNLKSSNRTPVVQEFESVELSCIITDSQTSDPRIEWKKIQDEQTTYVFFDNKIQGDLAGRAEILGKTSLKIWNVTRRDSALYRCEVVARNDRKEIDEIVIELTVQVKPVTPVCRVPKAVPVGKMATLHCQESEGHPRPHYSWYRNDVPLPTDSRANPRFRNSSFHLNSETGTLVFTAVHKDDSGQYYCIASNDAGSARCEEQEMEVYDLNIGGIIGGVLVVLAVLALITLGICCAYRRGYFINNKQDGESYKNPGKPDGVNYIRTDEEGDFRHKSSFVI
+>DECOY_sp|Q9BX67|JAM3_HUMAN Junctional adhesion molecule C OS=Homo sapiens OX=9606 GN=JAM3 PE=1 SV=1
+IVFSSKHRFDGEEDTRIYNVGDPKGPNKYSEGDQKNNIFYGRRYACCIGLTILALVALVVLVGGIIGGINLDYVEMEQEECRASGADNSAICYYQGSDDKHVATFVLTGTESNLHFSSNRFRPNARSDTPLPVDNRYWSYHPRPHGESEQCHLTAMKGVPVAKPVRCVPTVPKVQVTLEIVIEDIEKRDNRAVVECRYLASDRRTVNWIKLSTKGLIEARGALDGQIKNDFFVYTTQEDQIKKWEIRPDSTQSDTIICSLEVSEFEQVVPTRNSSKLNVAGILCGRFLLLLFFDPLRACLRLRPPRRLAM
+>sp|Q9UPT6|JIP3_HUMAN C-Jun-amino-terminal kinase-interacting protein 3 OS=Homo sapiens OX=9606 GN=MAPK8IP3 PE=1 SV=3
+MMEIQMDEGGGVVVYQDDYCSGSVMSERVSGLAGSIYREFERLIHCYDEEVVKELMPLVVNVLENLDSVLSENQEHEVELELLREDNEQLLTQYEREKALRRQAEEKFIEFEDALEQEKKELQIQVEHYEFQTRQLELKAKNYADQISRLEERESEMKKEYNALHQRHTEMIQTYVEHIERSKMQQVGGNSQTESSLPGRRKERPTSLNVFPLADGTVRAQIGGKLVPAGDHWHLSDLGQLQSSSSYQCPQDEMSESGQSSAAATPSTTGTKSNTPTSSVPSAAVTPLNESLQPLGDYGVGSKNSKRAREKRDSRNMEVQVTQEMRNVSIGMGSSDEWSDVQDIIDSTPELDMCPETRLDRTGSSPTQGIVNKAFGINTDSLYHELSTAGSEVIGDVDEGADLLGEFSVRDDFFGMGKEVGNLLLENSQLLETKNALNVVKNDLIAKVDQLSGEQEVLRGELEAAKQAKVKLENRIKELEEELKRVKSEAIIARREPKEEAEDVSSYLCTESDKIPMAQRRRFTRVEMARVLMERNQYKERLMELQEAVRWTEMIRASREHPSVQEKKKSTIWQFFSRLFSSSSSPPPAKRPYPSVNIHYKSPTTAGFSQRRNHAMCPISAGSRPLEFFPDDDCTSSARREQKREQYRQVREHVRNDDGRLQACGWSLPAKYKQLSPNGGQEDTRMKNVPVPVYCRPLVEKDPTMKLWCAAGVNLSGWRPNEDDAGNGVKPAPGRDPLTCDREGDGEPKSAHTSPEKKKAKELPEMDATSSRVWILTSTLTTSKVVIIDANQPGTVVDQFTVCNAHVLCISSIPAASDSDYPPGEMFLDSDVNPEDPGADGVLAGITLVGCATRCNVPRSNCSSRGDTPVLDKGQGEVATIANGKVNPSQSTEEATEATEVPDPGPSEPETATLRPGPLTEHVFTDPAPTPSSGPQPGSENGPEPDSSSTRPEPEPSGDPTGAGSSAAPTMWLGAQNGWLYVHSAVANWKKCLHSIKLKDSVLSLVHVKGRVLVALADGTLAIFHRGEDGQWDLSNYHLMDLGHPHHSIRCMAVVYDRVWCGYKNKVHVIQPKTMQIEKSFDAHPRRESQVRQLAWIGDGVWVSIRLDSTLRLYHAHTHQHLQDVDIEPYVSKMLGTGKLGFSFVRITALLVAGSRLWVGTGNGVVISIPLTETVVLHRGQLLGLRANKTSPTSGEGARPGGIIHVYGDDSSDRAASSFIPYCSMAQAQLCFHGHRDAVKFFVSVPGNVLATLNGSVLDSPAEGPGPAAPASEVEGQKLRNVLVLSGGEGYIDFRIGDGEDDETEEGAGDMSQVKPVLSKAERSHIIVWQVSYTPE
+>DECOY_sp|Q9UPT6|JIP3_HUMAN C-Jun-amino-terminal kinase-interacting protein 3 OS=Homo sapiens OX=9606 GN=MAPK8IP3 PE=1 SV=3
+EPTYSVQWVIIHSREAKSLVPKVQSMDGAGEETEDDEGDGIRFDIYGEGGSLVLVNRLKQGEVESAPAAPGPGEAPSDLVSGNLTALVNGPVSVFFKVADRHGHFCLQAQAMSCYPIFSSAARDSSDDGYVHIIGGPRAGEGSTPSTKNARLGLLQGRHLVVTETLPISIVVGNGTGVWLRSGAVLLATIRVFSFGLKGTGLMKSVYPEIDVDQLHQHTHAHYLRLTSDLRISVWVGDGIWALQRVQSERRPHADFSKEIQMTKPQIVHVKNKYGCWVRDYVVAMCRISHHPHGLDMLHYNSLDWQGDEGRHFIALTGDALAVLVRGKVHVLSLVSDKLKISHLCKKWNAVASHVYLWGNQAGLWMTPAASSGAGTPDGSPEPEPRTSSSDPEPGNESGPQPGSSPTPAPDTFVHETLPGPRLTATEPESPGPDPVETAETAEETSQSPNVKGNAITAVEGQGKDLVPTDGRSSCNSRPVNCRTACGVLTIGALVGDAGPDEPNVDSDLFMEGPPYDSDSAAPISSICLVHANCVTFQDVVTGPQNADIIVVKSTTLTSTLIWVRSSTADMEPLEKAKKKEPSTHASKPEGDGERDCTLPDRGPAPKVGNGADDENPRWGSLNVGAACWLKMTPDKEVLPRCYVPVPVNKMRTDEQGGNPSLQKYKAPLSWGCAQLRGDDNRVHERVQRYQERKQERRASSTCDDDPFFELPRSGASIPCMAHNRRQSFGATTPSKYHINVSPYPRKAPPPSSSSSFLRSFFQWITSKKKEQVSPHERSARIMETWRVAEQLEMLREKYQNREMLVRAMEVRTFRRRQAMPIKDSETCLYSSVDEAEEKPERRAIIAESKVRKLEEELEKIRNELKVKAQKAAELEGRLVEQEGSLQDVKAILDNKVVNLANKTELLQSNELLLNGVEKGMGFFDDRVSFEGLLDAGEDVDGIVESGATSLEHYLSDTNIGFAKNVIGQTPSSGTRDLRTEPCMDLEPTSDIIDQVDSWEDSSGMGISVNRMEQTVQVEMNRSDRKERARKSNKSGVGYDGLPQLSENLPTVAASPVSSTPTNSKTGTTSPTAAASSQGSESMEDQPCQYSSSSQLQGLDSLHWHDGAPVLKGGIQARVTGDALPFVNLSTPREKRRGPLSSETQSNGGVQQMKSREIHEVYTQIMETHRQHLANYEKKMESEREELRSIQDAYNKAKLELQRTQFEYHEVQIQLEKKEQELADEFEIFKEEAQRRLAKEREYQTLLQENDERLLELEVEHEQNESLVSDLNELVNVVLPMLEKVVEEDYCHILREFERYISGALGSVRESMVSGSCYDDQYVVVGGGEDMQIEMM
+>sp|O60271|JIP4_HUMAN C-Jun-amino-terminal kinase-interacting protein 4 OS=Homo sapiens OX=9606 GN=SPAG9 PE=1 SV=4
+MELEDGVVYQEEPGGSGAVMSERVSGLAGSIYREFERLIGRYDEEVVKELMPLVVAVLENLDSVFAQDQEHQVELELLRDDNEQLITQYEREKALRKHAEEKFIEFEDSQEQEKKDLQTRVESLESQTRQLELKAKNYADQISRLEEREAELKKEYNALHQRHTEMIHNYMEHLERTKLHQLSGSDQLESTAHSRIRKERPISLGIFPLPAGDGLLTPDAQKGGETPGSEQWKFQELSQPRSHTSLKVSNSPEPQKAVEQEDELSDVSQGGSKATTPASTANSDVATIPTDTPLKEENEGFVKVTDAPNKSEISKHIEVQVAQETRNVSTGSAENEEKSEVQAIIESTPELDMDKDLSGYKGSSTPTKGIENKAFDRNTESLFEELSSAGSGLIGDVDEGADLLGMGREVENLILENTQLLETKNALNIVKNDLIAKVDELTCEKDVLQGELEAVKQAKLKLEEKNRELEEELRKARAEAEDARQKAKDDDDSDIPTAQRKRFTRVEMARVLMERNQYKERLMELQEAVRWTEMIRASRENPAMQEKKRSSIWQFFSRLFSSSSNTTKKPEPPVNLKYNAPTSHVTPSVKKRSSTLSQLPGDKSKAFDFLSEETEASLASRREQKREQYRQVKAHVQKEDGRVQAFGWSLPQKYKQVTNGQGENKMKNLPVPVYLRPLDEKDTSMKLWCAVGVNLSGGKTRDGGSVVGASVFYKDVAGLDTEGSKQRSASQSSLDKLDQELKEQQKELKNQEELSSLVWICTSTHSATKVLIIDAVQPGNILDSFTVCNSHVLCIASVPGARETDYPAGEDLSESGQVDKASLCGSMTSNSSAETDSLLGGITVVGCSAEGVTGAATSPSTNGASPVMDKPPEMEAENSEVDENVPTAEEATEATEGNAGSAEDTVDISQTGVYTEHVFTDPLGVQIPEDLSPVYQSSNDSDAYKDQISVLPNEQDLVREEAQKMSSLLPTMWLGAQNGCLYVHSSVAQWRKCLHSIKLKDSILSIVHVKGIVLVALADGTLAIFHRGVDGQWDLSNYHLLDLGRPHHSIRCMTVVHDKVWCGYRNKIYVVQPKAMKIEKSFDAHPRKESQVRQLAWVGDGVWVSIRLDSTLRLYHAHTYQHLQDVDIEPYVSKMLGTGKLGFSFVRITALMVSCNRLWVGTGNGVIISIPLTETNKTSGVPGNRPGSVIRVYGDENSDKVTPGTFIPYCSMAHAQLCFHGHRDAVKFFVAVPGQVISPQSSSSGTDLTGDKAGPSAQEPGSQTPLKSMLVISGGEGYIDFRMGDEGGESELLGEDLPLEPSVTKAERSHLIVWQVMYGNE
+>DECOY_sp|O60271|JIP4_HUMAN C-Jun-amino-terminal kinase-interacting protein 4 OS=Homo sapiens OX=9606 GN=SPAG9 PE=1 SV=4
+ENGYMVQWVILHSREAKTVSPELPLDEGLLESEGGEDGMRFDIYGEGGSIVLMSKLPTQSGPEQASPGAKDGTLDTGSSSSQPSIVQGPVAVFFKVADRHGHFCLQAHAMSCYPIFTGPTVKDSNEDGYVRIVSGPRNGPVGSTKNTETLPISIIVGNGTGVWLRNCSVMLATIRVFSFGLKGTGLMKSVYPEIDVDQLHQYTHAHYLRLTSDLRISVWVGDGVWALQRVQSEKRPHADFSKEIKMAKPQVVYIKNRYGCWVKDHVVTMCRISHHPRGLDLLHYNSLDWQGDVGRHFIALTGDALAVLVIGKVHVISLISDKLKISHLCKRWQAVSSHVYLCGNQAGLWMTPLLSSMKQAEERVLDQENPLVSIQDKYADSDNSSQYVPSLDEPIQVGLPDTFVHETYVGTQSIDVTDEASGANGETAETAEEATPVNEDVESNEAEMEPPKDMVPSAGNTSPSTAAGTVGEASCGVVTIGGLLSDTEASSNSTMSGCLSAKDVQGSESLDEGAPYDTERAGPVSAICLVHSNCVTFSDLINGPQVADIILVKTASHTSTCIWVLSSLEEQNKLEKQQEKLEQDLKDLSSQSASRQKSGETDLGAVDKYFVSAGVVSGGDRTKGGSLNVGVACWLKMSTDKEDLPRLYVPVPLNKMKNEGQGNTVQKYKQPLSWGFAQVRGDEKQVHAKVQRYQERKQERRSALSAETEESLFDFAKSKDGPLQSLTSSRKKVSPTVHSTPANYKLNVPPEPKKTTNSSSSFLRSFFQWISSRKKEQMAPNERSARIMETWRVAEQLEMLREKYQNREMLVRAMEVRTFRKRQATPIDSDDDDKAKQRADEAEARAKRLEEELERNKEELKLKAQKVAELEGQLVDKECTLEDVKAILDNKVINLANKTELLQTNELILNEVERGMGLLDAGEDVDGILGSGASSLEEFLSETNRDFAKNEIGKTPTSSGKYGSLDKDMDLEPTSEIIAQVESKEENEASGTSVNRTEQAVQVEIHKSIESKNPADTVKVFGENEEKLPTDTPITAVDSNATSAPTTAKSGGQSVDSLEDEQEVAKQPEPSNSVKLSTHSRPQSLEQFKWQESGPTEGGKQADPTLLGDGAPLPFIGLSIPREKRIRSHATSELQDSGSLQHLKTRELHEMYNHIMETHRQHLANYEKKLEAEREELRSIQDAYNKAKLELQRTQSELSEVRTQLDKKEQEQSDEFEIFKEEAHKRLAKEREYQTILQENDDRLLELEVQHEQDQAFVSDLNELVAVVLPMLEKVVEEDYRGILREFERYISGALGSVRESMVAGSGGPEEQYVVGDELEM
+>sp|Q9P055|JKAMP_HUMAN JNK1/MAPK8-associated membrane protein OS=Homo sapiens OX=9606 GN=JKAMP PE=2 SV=2
+MFGAAARSADLALLEKNLQAAHGCLGLYCGKTLLFKNGSTEIYGECGVCPRGQRTNAQKYCQPCTESPELYDWLYLGFMAMLPLVLHWFFIEWYSGKKSSSALFQHITALFECSMAAIITLLVSDPVGVLYIRSCRVLMLSDWYTMLYNPSPDYVTTVHCTHEAVYPLYTIVFIYYAFCLVLMMLLRPLLVKKIACGLGKSDRFKSIYAALYFFPILTVLQAVGGGLLYYAFPYIILVLSLVTLAVYMSASEIENCYDLLVRKKRLIVLFSHWLLHAYGIISISRVDKLEQDLPLLALVPTPALFYLFTAKFTEPSRILSEGANGH
+>DECOY_sp|Q9P055|JKAMP_HUMAN JNK1/MAPK8-associated membrane protein OS=Homo sapiens OX=9606 GN=JKAMP PE=2 SV=2
+HGNAGESLIRSPETFKATFLYFLAPTPVLALLPLDQELKDVRSISIIGYAHLLWHSFLVILRKKRVLLDYCNEIESASMYVALTVLSLVLIIYPFAYYLLGGGVAQLVTLIPFFYLAAYISKFRDSKGLGCAIKKVLLPRLLMMLVLCFAYYIFVITYLPYVAEHTCHVTTVYDPSPNYLMTYWDSLMLVRCSRIYLVGVPDSVLLTIIAAMSCEFLATIHQFLASSSKKGSYWEIFFWHLVLPLMAMFGLYLWDYLEPSETCPQCYKQANTRQGRPCVGCEGYIETSGNKFLLTKGCYLGLCGHAAQLNKELLALDASRAAAGFM
+>sp|Q96AA8|JKIP2_HUMAN Janus kinase and microtubule-interacting protein 2 OS=Homo sapiens OX=9606 GN=JAKMIP2 PE=1 SV=1
+MSKKGRNKGEKPEALIVALQAANEDLRTKLTDIQIELHQEKSKVSKLEREKTQEAKRIRELEQRKHTVLVTELKAKLHEEKMKELQAVRENLIKQHEQEMSRTVKVRDGEIQRLKSALCALRDGSSDKVRTALTIEAREEARKLFDTERLKLLQEIADLKTAKKQVDEALSNMIQADKIKAGDLRSEHQSHQEAISKIKWESERDIRRLMDEIKAKDRIIFSLEKELETQTGYVQKLQLQKEALDEQLFLVKEAECNMSSPKREIPGRAGDGSEHCSSPDLRRNQKRIAELNATIRKLEDRNTLLGDERNELLKRVRETEKQCKPLLERNKCLAKRNDELMVSLQRMEEKLKAVTKENSEMREKITSHPPLKKLKSLNDLDQANEEQETEFLKLQVIEQQNIIDELTRDREKLIRRRKHRRSSKPIKRPVLDPFIGYDEDSMDSETSSMASFRTDRTPATPDDDLDESLAAEESELRFRQLTKEYQALQRAYALLQEQTGGIIDAEREAKAQEQLQAEVLRYKAKIEDLEATLAQKGQDSHWVEDKQLFIKRNQELLEKIEKQEAENHRLQQELQDARDQNELLEFRNLELEERERRSPPFNLQIHPFSDGVSALQIYCMKEGVKDVNIPDLIKQLDILGDNGNLRNEEQVAIIQASTVLSLAEKWIQQIEGAEAALHQKMMELESDMEQFCKIKGYLEEELDYRKQALDQAYMRIQELEATLYNALQQETVIKFGELLSEKQQEELRTAVEKLRRQMLRKSREYDCQILQERMELLQQAHQRIRDLEDKTDIQKRQIKDLEEKSNRKHG
+>DECOY_sp|Q96AA8|JKIP2_HUMAN Janus kinase and microtubule-interacting protein 2 OS=Homo sapiens OX=9606 GN=JAKMIP2 PE=1 SV=1
+GHKRNSKEELDKIQRKQIDTKDELDRIRQHAQQLLEMREQLIQCDYERSKRLMQRRLKEVATRLEEQQKESLLEGFKIVTEQQLANYLTAELEQIRMYAQDLAQKRYDLEEELYGKIKCFQEMDSELEMMKQHLAAEAGEIQQIWKEALSLVTSAQIIAVQEENRLNGNDGLIDLQKILDPINVDKVGEKMCYIQLASVGDSFPHIQLNFPPSRREREELELNRFELLENQDRADQLEQQLRHNEAEQKEIKELLEQNRKIFLQKDEVWHSDQGKQALTAELDEIKAKYRLVEAQLQEQAKAEREADIIGGTQEQLLAYARQLAQYEKTLQRFRLESEEAALSEDLDDDPTAPTRDTRFSAMSSTESDMSDEDYGIFPDLVPRKIPKSSRRHKRRRILKERDRTLEDIINQQEIVQLKLFETEQEENAQDLDNLSKLKKLPPHSTIKERMESNEKTVAKLKEEMRQLSVMLEDNRKALCKNRELLPKCQKETERVRKLLENREDGLLTNRDELKRITANLEAIRKQNRRLDPSSCHESGDGARGPIERKPSSMNCEAEKVLFLQEDLAEKQLQLKQVYGTQTELEKELSFIIRDKAKIEDMLRRIDRESEWKIKSIAEQHSQHESRLDGAKIKDAQIMNSLAEDVQKKATKLDAIEQLLKLRETDFLKRAEERAEITLATRVKDSSGDRLACLASKLRQIEGDRVKVTRSMEQEHQKILNERVAQLEKMKEEHLKAKLETVLVTHKRQELERIRKAEQTKERELKSVKSKEQHLEIQIDTLKTRLDENAAQLAVILAEPKEGKNRGKKSM
+>sp|Q6NYC1|JMJD6_HUMAN Bifunctional arginine demethylase and lysyl-hydroxylase JMJD6 OS=Homo sapiens OX=9606 GN=JMJD6 PE=1 SV=1
+MNHKSKKRIREAKRSARPELKDSLDWTRHNYYESFSLSPAAVADNVERADALQLSVEEFVERYERPYKPVVLLNAQEGWSAQEKWTLERLKRKYRNQKFKCGEDNDGYSVKMKMKYYIEYMESTRDDSPLYIFDSSYGEHPKRRKLLEDYKVPKFFTDDLFQYAGEKRRPPYRWFVMGPPRSGTGIHIDPLGTSAWNALVQGHKRWCLFPTSTPRELIKVTRDEGGNQQDEAITWFNVIYPRTQLPTWPPEFKPLEILQKPGETVFVPGGWWHVVLNLDTTIAITQNFASSTNFPVVWHKTVRGRPKLSRKWYRILKQEHPELAVLADSVDLQESTGIASDSSSDSSSSSSSSSSDSDSECESGSEGDGTVHRRKKRRTCSMVGNGDTTSQDDCVSKERSSSR
+>DECOY_sp|Q6NYC1|JMJD6_HUMAN Bifunctional arginine demethylase and lysyl-hydroxylase JMJD6 OS=Homo sapiens OX=9606 GN=JMJD6 PE=1 SV=1
+RSSSREKSVCDDQSTTDGNGVMSCTRRKKRRHVTGDGESGSECESDSDSSSSSSSSSSDSSSDSAIGTSEQLDVSDALVALEPHEQKLIRYWKRSLKPRGRVTKHWVVPFNTSSAFNQTIAITTDLNLVVHWWGGPVFVTEGPKQLIELPKFEPPWTPLQTRPYIVNFWTIAEDQQNGGEDRTVKILERPTSTPFLCWRKHGQVLANWASTGLPDIHIGTGSRPPGMVFWRYPPRRKEGAYQFLDDTFFKPVKYDELLKRRKPHEGYSSDFIYLPSDDRTSEMYEIYYKMKMKVSYGDNDEGCKFKQNRYKRKLRELTWKEQASWGEQANLLVVPKYPREYREVFEEVSLQLADAREVNDAVAAPSLSFSEYYNHRTWDLSDKLEPRASRKAERIRKKSKHNM
+>sp|Q96S16|JMJD8_HUMAN JmjC domain-containing protein 8 OS=Homo sapiens OX=9606 GN=JMJD8 PE=2 SV=1
+MVTGGAHSRSRGGLPSASRRGLFRGGPKEVTSGVRPGSRPLPRRRSCRPMAGGWAQGSRRAARLPEGGRLMAPASRLLALWALAAVALPGSGAEGDGGWRPGGPGAVAEEERCTVERRADLTYAEFVQQYAFVRPVILQGLTDNSRFRALCSRDRLLASFGDRVVRLSTANTYSYHKVDLPFQEYVEQLLHPQDPTSLGNDTLYFFGDNNFTEWASLFRHYSPPPFGLLGTAPAYSFGIAGAGSGVPFHWHGPGYSEVIYGRKRWFLYPPEKTPEFHPNKTTLAWLRDTYPALPPSARPLECTIRAGEVLYFPDRWWHATLNLDTSVFISTFLG
+>DECOY_sp|Q96S16|JMJD8_HUMAN JmjC domain-containing protein 8 OS=Homo sapiens OX=9606 GN=JMJD8 PE=2 SV=1
+GLFTSIFVSTDLNLTAHWWRDPFYLVEGARITCELPRASPPLAPYTDRLWALTTKNPHFEPTKEPPYLFWRKRGYIVESYGPGHWHFPVGSGAGAIGFSYAPATGLLGFPPPSYHRFLSAWETFNNDGFFYLTDNGLSTPDQPHLLQEVYEQFPLDVKHYSYTNATSLRVVRDGFSALLRDRSCLARFRSNDTLGQLIVPRVFAYQQVFEAYTLDARREVTCREEEAVAGPGGPRWGGDGEAGSGPLAVAALAWLALLRSAPAMLRGGEPLRAARRSGQAWGGAMPRCSRRRPLPRSGPRVGSTVEKPGGRFLGRRSASPLGGRSRSHAGGTVM
+>sp|Q9BR39|JPH2_HUMAN Junctophilin-2 OS=Homo sapiens OX=9606 GN=JPH2 PE=1 SV=2
+MSGGRFDFDDGGAYCGGWEGGKAHGHGLCTGPKGQGEYSGSWNFGFEVAGVYTWPSGNTFEGYWSQGKRHGLGIETKGRWLYKGEWTHGFKGRYGIRQSSSSGAKYEGTWNNGLQDGYGTETYADGGTYQGQFTNGMRHGYGVRQSVPYGMAVVVRSPLRTSLSSLRSEHSNGTVAPDSPASPASDGPALPSPAIPRGGFALSLLANAEAAARAPKGGGLFQRGALLGKLRRAESRTSVGSQRSRVSFLKSDLSSGASDAASTASLGEAAEGADEAAPFEADIDATTTETYMGEWKNDKRSGFGVSERSSGLRYEGEWLDNLRHGYGCTTLPDGHREEGKYRHNVLVKDTKRRMLQLKSNKVRQKVEHSVEGAQRAAAIARQKAEIAASRTSHAKAKAEAAEQAALAANQESNIARTLARELAPDFYQPGPEYQKRRLLQEILENSESLLEPPDRGAGAAGLPQPPRESPQLHERETPRPEGGSPSPAGTPPQPKRPRPGVSKDGLLSPGAWNGEPSGEGSRSVTPSEGAGRRSPARPATERMAIEALQAPPAPSREPEVALYQGYHSYAVRTTPPEPPPFEDQPEPEVSGSESAPSSPATAPLQAPTLRGPEPARETPAKLEPKPIIPKAEPRAKARKTEARGLTKAGAKKKARKEAALAAEAEVEVEEVPNTILICMVILLNIGLAILFVHLLT
+>DECOY_sp|Q9BR39|JPH2_HUMAN Junctophilin-2 OS=Homo sapiens OX=9606 GN=JPH2 PE=1 SV=2
+TLLHVFLIALGINLLIVMCILITNPVEEVEVEAEAALAAEKRAKKKAGAKTLGRAETKRAKARPEAKPIIPKPELKAPTERAPEPGRLTPAQLPATAPSSPASESGSVEPEPQDEFPPPEPPTTRVAYSHYGQYLAVEPERSPAPPAQLAEIAMRETAPRAPSRRGAGESPTVSRSGEGSPEGNWAGPSLLGDKSVGPRPRKPQPPTGAPSPSGGEPRPTEREHLQPSERPPQPLGAAGAGRDPPELLSESNELIEQLLRRKQYEPGPQYFDPALERALTRAINSEQNAALAAQEAAEAKAKAHSTRSAAIEAKQRAIAAARQAGEVSHEVKQRVKNSKLQLMRRKTDKVLVNHRYKGEERHGDPLTTCGYGHRLNDLWEGEYRLGSSRESVGFGSRKDNKWEGMYTETTTADIDAEFPAAEDAGEAAEGLSATSAADSAGSSLDSKLFSVRSRQSGVSTRSEARRLKGLLAGRQFLGGGKPARAAAEANALLSLAFGGRPIAPSPLAPGDSAPSAPSDPAVTGNSHESRLSSLSTRLPSRVVVAMGYPVSQRVGYGHRMGNTFQGQYTGGDAYTETGYGDQLGNNWTGEYKAGSSSSQRIGYRGKFGHTWEGKYLWRGKTEIGLGHRKGQSWYGEFTNGSPWTYVGAVEFGFNWSGSYEGQGKPGTCLGHGHAKGGEWGGCYAGGDDFDFRGGSM
+>sp|O60268|K0513_HUMAN Uncharacterized protein KIAA0513 OS=Homo sapiens OX=9606 GN=KIAA0513 PE=1 SV=1
+METPEVPVGSLIDFGPEAPTSSPLEAPPPVLQDGDGSLGDGASESETTESADSENDMGESPSHPSWDQDRRSSSNESFSSNQSTESTQDEETLALRDFMRGYVEKIFSGGEDLDQEEKAKFGEYCSSENGKGREWFARYVSAQRCNSKCVSEATFYRLVQSFAVVLFECHQMDDFGPAKNLMTMCFTYYHIGKPQLLPPESREKPAGSIDSYLKSANSWLAEKKDIAERLLKNTSARTENVKGFFGGLETKLKGPLARRNEEDENKPQEKRPRAVTAYSPEDEKKGEKIYLYTHLKQQPIWHTLRFWNAAFFDAVHCERTKRSPTTRGDAGEEEEKREKWCHMTQEERDDSLRFNENITFGQLGTFTHNMLAFGLNKKLCNDFLKKQAVIGNLDEEQYKLLSDHIEQMATE
+>DECOY_sp|O60268|K0513_HUMAN Uncharacterized protein KIAA0513 OS=Homo sapiens OX=9606 GN=KIAA0513 PE=1 SV=1
+ETAMQEIHDSLLKYQEEDLNGIVAQKKLFDNCLKKNLGFALMNHTFTGLQGFTINENFRLSDDREEQTMHCWKERKEEEEGADGRTTPSRKTRECHVADFFAANWFRLTHWIPQQKLHTYLYIKEGKKEDEPSYATVARPRKEQPKNEDEENRRALPGKLKTELGGFFGKVNETRASTNKLLREAIDKKEALWSNASKLYSDISGAPKERSEPPLLQPKGIHYYTFCMTMLNKAPGFDDMQHCEFLVVAFSQVLRYFTAESVCKSNCRQASVYRAFWERGKGNESSCYEGFKAKEEQDLDEGGSFIKEVYGRMFDRLALTEEDQTSETSQNSSFSENSSSRRDQDWSPHSPSEGMDNESDASETTESESAGDGLSGDGDQLVPPPAELPSSTPAEPGFDILSGVPVEPTEM
+>sp|Q8NCT3|K0895_HUMAN Uncharacterized protein KIAA0895 OS=Homo sapiens OX=9606 GN=KIAA0895 PE=2 SV=4
+MAGCTRKLTHLRKRIHRPRRRTTRRWKRWFKFRKRKGEKRPRPNHKAVARRAKLKFSTSEKLHWPEQELAKKSILNAEDSLIIDNKRSISHLSSGVLKDIFTTGTSSYNVLLQSKEEKKYHSQKQSSSTYSKRCRKPSKSPNTSRSKDPRRMKALVPVTSSGTWYCLERRPAVFVTSSVSSPVKFTHDISVTGNGIVLPPKPKSKVKWCHFSTLPKPKPQLSRSFEKGDDFSGKKFCILTAIKPTNLEKEKLRFFKSDYTYNPQFEYANPALPSVLAKHSHASDRFLKQIVVHLTEDLLSRASMTVVNGCPTLTINVSTAREHWLEGMLRHEIGTHYFRGINNLQQPWNSWTGRKKHELKPNNPTEEGLASIHSVLFRKDPFLWRAALLYYTVYQASQMSFCELFKDIGRFVKDPNTRWDYCVRAKRGWTDTSQPGCFSKDQVYLDGILQILRYRDTIDFHLLTALGKVSYEDVDRLKGLAVTENMRVPHFLQDHGRYMEHLEKIMEVNELTDRELKDLI
+>DECOY_sp|Q8NCT3|K0895_HUMAN Uncharacterized protein KIAA0895 OS=Homo sapiens OX=9606 GN=KIAA0895 PE=2 SV=4
+ILDKLERDTLENVEMIKELHEMYRGHDQLFHPVRMNETVALGKLRDVDEYSVKGLATLLHFDITDRYRLIQLIGDLYVQDKSFCGPQSTDTWGRKARVCYDWRTNPDKVFRGIDKFLECFSMQSAQYVTYYLLAARWLFPDKRFLVSHISALGEETPNNPKLEHKKRGTWSNWPQQLNNIGRFYHTGIEHRLMGELWHERATSVNITLTPCGNVVTMSARSLLDETLHVVIQKLFRDSAHSHKALVSPLAPNAYEFQPNYTYDSKFFRLKEKELNTPKIATLICFKKGSFDDGKEFSRSLQPKPKPLTSFHCWKVKSKPKPPLVIGNGTVSIDHTFKVPSSVSSTVFVAPRRELCYWTGSSTVPVLAKMRRPDKSRSTNPSKSPKRCRKSYTSSSQKQSHYKKEEKSQLLVNYSSTGTTFIDKLVGSSLHSISRKNDIILSDEANLISKKALEQEPWHLKESTSFKLKARRAVAKHNPRPRKEGKRKRFKFWRKWRRTTRRRPRHIRKRLHTLKRTCGAM
+>sp|Q9HCM1|K1551_HUMAN Uncharacterized protein KIAA1551 OS=Homo sapiens OX=9606 GN=KIAA1551 PE=1 SV=3
+MNWNEKPKSATLPPLYPKSQPPFLHQSLINQITTTSQSSFSYPGSNQEACMYPGNSNPISQPLLNIQNYPQQISVSDMHNGTVVASHTSVERITYANVNGPKQLTHNLQMSSGVTQNVWLNSPMRNPVHSHIGATVSHQTDFGANVPNMPALQSQLITSDTYSMQMQMIPSNSTRLPVAYQGNQGLNQSFSEQQVDWTQQCISKGLTYPDYRPPPKLYRYSPQSFLPDSTIQKQNFIPHTSLQVKNSQLLNSVLTLPSRQTSAVPSQQYATQTDKRPPPPPYNCRYGSQPLQSTQHITKHLSMEVPQSREMLSSEIRTSFQQQWQNPNENVSTIGNFTNLKVNTNSKQPFNSPIRSSVDGVQTLAQTNEEKIMDSCNPTSNQVLDTSVAKEKLVRDIKTLVEIKQKFSELARKIKINKDLLMAAGCIKMTNTSYSEPAQNSKLSLKQTAKIQSGPQITPVMPENAERQTPTVVESAETNKTQCMLNSDIQEVNCRRFNQVDSVLPNPVYSEKRPMPDSSHDVKVLTSKTSAVEMTQAVLNTQLSSENVTKVEQNSPAVCETISVPKSMSTEEYKSKIQNENMLLLALLSQARKTQKTVLKDANQTIQDSKPDSCEMNPNTQMTGNQLNLKNMETPSTSNVSGRVLDNSFCSGQESSTKGMPAKSDSSCSMEVLATCLSLWKKQPSDTAKEKECDKLRTNTTAVGISKPANIHVKSPCSVVGNSNSQNKISNPSQQTALSMVMHNYESSGINITKGTELQIAVVSPLVLSEVKTLSVKGITPAVLPETVYPVIKEGSVCSLQNQLAENAKATAALKVDVSGPVASTATSTKIFPLTQKEKQNESTNGNSEVTPNVNQGKHNKLESAIHSPMNDQQISQESRNSTVVSSDTLQIDNICSLVEGDTSYNSQIAKIFSSLPLKMVEPQKPSLPNQQGIGSREPEKQLDNTTENKDFGFQKDKPVQCTDVSHKICDQSKSEPPLESSFNNLETNRVILEKSSLEHATEKSTANDTCSSAAIQEDIYPQEIDASSNYTPQDPARNEIHSDKAPVLYLHDQLSELLKEFPYGIEAVNTREGSVGQQTTYQTSEDQTADKTSSDSKDPADQIQITILSSEQMKEIFPEQDDQPYVVDKLAEPQKEEPITEVVSQCDLQAPAAGQSRDSVILDSEKDDIHCCALGWLSMVYEGVPQCQCNSIKNSSSEEEKQKEQCSPLDTNSCKQGERTSDRDVTVVQFKSLVNNPKTPPDGKSHFPELQDDSRKDTPKTKHKSLPRTEQELVAGQFSSKCDKLNPLQNHKRKKLRFHEVTFHSSNKMTASYEQASQETRQKKHVTQNSRPLKTKTAFLPNKDVYKKHSSLGQSLSPEKIKLKLKSVSFKQKRKLDQGNVLDMEVKKKKHDKQEQKGSVGATFKLGDSLSNPNERAIVKEKMVSNTKSVDTKASSSKFSRILTPKEYLQRQKHKEALSNKASKKICVKNVPCDSEHMRPSKLAVQVESCGKSNEKHSSGVQTSKESLNGLTSHGKNLKIHHSQESKTYNILRNVKEKVGGKQPDKIWIDKTKLDKLTNISNEAQFSQMPPQVKDQKKLYLNRVGFKCTERESISLTKLESSPRKLHKDKRQENKHKTFLPVKGNTEKSNMLEFKLCPDILLKNTNSVEERKDVKPHPRKEQAPLQVSGIKSTKEDWLKFVATKKRTQKDSQERDNVNSRLSKRSFSADGFEMLQNPVKDSKEMFQTYKQMYLEKRSRSLGSSPVK
+>DECOY_sp|Q9HCM1|K1551_HUMAN Uncharacterized protein KIAA1551 OS=Homo sapiens OX=9606 GN=KIAA1551 PE=1 SV=3
+KVPSSGLSRSRKELYMQKYTQFMEKSDKVPNQLMEFGDASFSRKSLRSNVNDREQSDKQTRKKTAVFKLWDEKTSKIGSVQLPAQEKRPHPKVDKREEVSNTNKLLIDPCLKFELMNSKETNGKVPLFTKHKNEQRKDKHLKRPSSELKTLSISERETCKFGVRNLYLKKQDKVQPPMQSFQAENSINTLKDLKTKDIWIKDPQKGGVKEKVNRLINYTKSEQSHHIKLNKGHSTLGNLSEKSTQVGSSHKENSKGCSEVQVALKSPRMHESDCPVNKVCIKKSAKNSLAEKHKQRQLYEKPTLIRSFKSSSAKTDVSKTNSVMKEKVIARENPNSLSDGLKFTAGVSGKQEQKDHKKKKVEMDLVNGQDLKRKQKFSVSKLKLKIKEPSLSQGLSSHKKYVDKNPLFATKTKLPRSNQTVHKKQRTEQSAQEYSATMKNSSHFTVEHFRLKKRKHNQLPNLKDCKSSFQGAVLEQETRPLSKHKTKPTDKRSDDQLEPFHSKGDPPTKPNNVLSKFQVVTVDRDSTREGQKCSNTDLPSCQEKQKEEESSSNKISNCQCQPVGEYVMSLWGLACCHIDDKESDLIVSDRSQGAAPAQLDCQSVVETIPEEKQPEALKDVVYPQDDQEPFIEKMQESSLITIQIQDAPDKSDSSTKDATQDESTQYTTQQGVSGERTNVAEIGYPFEKLLESLQDHLYLVPAKDSHIENRAPDQPTYNSSADIEQPYIDEQIAASSCTDNATSKETAHELSSKELIVRNTELNNFSSELPPESKSQDCIKHSVDTCQVPKDKQFGFDKNETTNDLQKEPERSGIGQQNPLSPKQPEVMKLPLSSFIKAIQSNYSTDGEVLSCINDIQLTDSSVVTSNRSEQSIQQDNMPSHIASELKNHKGQNVNPTVESNGNTSENQKEKQTLPFIKTSTATSAVPGSVDVKLAATAKANEALQNQLSCVSGEKIVPYVTEPLVAPTIGKVSLTKVESLVLPSVVAIQLETGKTINIGSSEYNHMVMSLATQQSPNSIKNQSNSNGVVSCPSKVHINAPKSIGVATTNTRLKDCEKEKATDSPQKKWLSLCTALVEMSCSSDSKAPMGKTSSEQGSCFSNDLVRGSVNSTSPTEMNKLNLQNGTMQTNPNMECSDPKSDQITQNADKLVTKQTKRAQSLLALLLMNENQIKSKYEETSMSKPVSITECVAPSNQEVKTVNESSLQTNLVAQTMEVASTKSTLVKVDHSSDPMPRKESYVPNPLVSDVQNFRRCNVEQIDSNLMCQTKNTEASEVVTPTQREANEPMVPTIQPGSQIKATQKLSLKSNQAPESYSTNTMKICGAAMLLDKNIKIKRALESFKQKIEVLTKIDRVLKEKAVSTDLVQNSTPNCSDMIKEENTQALTQVGDVSSRIPSNFPQKSNTNVKLNTFNGITSVNENPNQWQQQFSTRIESSLMERSQPVEMSLHKTIHQTSQLPQSGYRCNYPPPPPRKDTQTAYQQSPVASTQRSPLTLVSNLLQSNKVQLSTHPIFNQKQITSDPLFSQPSYRYLKPPPRYDPYTLGKSICQQTWDVQQESFSQNLGQNGQYAVPLRTSNSPIMQMQMSYTDSTILQSQLAPMNPVNAGFDTQHSVTAGIHSHVPNRMPSNLWVNQTVGSSMQLNHTLQKPGNVNAYTIREVSTHSAVVTGNHMDSVSIQQPYNQINLLPQSIPNSNGPYMCAEQNSGPYSFSSQSTTTIQNILSQHLFPPQSKPYLPPLTASKPKENWNM
+>sp|Q9HCI6|K1586_HUMAN E3 SUMO-protein ligase KIAA1586 OS=Homo sapiens OX=9606 GN=KIAA1586 PE=1 SV=2
+MGDPGSEIIESVPPAGPEASESTTDENEDDIQFVSEGPSRPVLEYIDLVCGDDENPSAYYSDILFPKMPKRQGDFLHFLNVKKVKTDTENNEVSKNHCRLSKAKEPHFEYIEQPIIEEKPSLSSKKEIDNLVLPDCWNEKQAFMFTEQYKWLEIKEGKLGCKDCSAVRHLGSKAEKHVHVSKEWIAYLVTPNGSNKTTRQASLRKKIREHDVSKAHGKIQDLLKESTNDSICNLVHKQNNKNIDATVKVFNTVYSLVKHNRPLSDIEGARELQEKNGEVNCLNTRYSATRIAEHIAKEMKMKIFKNIIEENAKICIIIDEASTVSKKTTLVIYLQCTIQSAPAPVMLFVALKELVSTIAECIVNTLLTTLNDCGFTNEYLKANLIAFCSDGANTILGRKSGVATKLLENFPEIIIWNCLNHRLQLSLDDSISEIKQINHLKIFIDKIYSIYHQPNKNQTKLLGTVAKELETEIIKIGRVMGPRWAACSLQAATAVWHAYPILYMHFSHSYSGLAKRLANINFLQDLALMIDILEEFSVLSTALQSRSTNIKKAQKLIKRTIRALENLKIGTGKYESQIEDLIKSDKFKDIPFNKNNKFNALPRSILLDNIIQHMNLRLLSDRNHEDIFNYFDLLEPSTWPYEEITSPWIAGEKTLFHLCKILKYEVDLNDFREFVNNNIKSNNVSIPTTIYKAKKIVSTIAINSAEAERGFNLMNIICTRVRNSLTIDHVSDLMTINLLGKELADWDATPFVKSWSNCNHRLATDTRVRQKSTKVFHENQLAIWNLK
+>DECOY_sp|Q9HCI6|K1586_HUMAN E3 SUMO-protein ligase KIAA1586 OS=Homo sapiens OX=9606 GN=KIAA1586 PE=1 SV=2
+KLNWIALQNEHFVKTSKQRVRTDTALRHNCNSWSKVFPTADWDALEKGLLNITMLDSVHDITLSNRVRTCIINMLNFGREAEASNIAITSVIKKAKYITTPISVNNSKINNNVFERFDNLDVEYKLIKCLHFLTKEGAIWPSTIEEYPWTSPELLDFYNFIDEHNRDSLLRLNMHQIINDLLISRPLANFKNNKNFPIDKFKDSKILDEIQSEYKGTGIKLNELARITRKILKQAKKINTSRSQLATSLVSFEELIDIMLALDQLFNINALRKALGSYSHSFHMYLIPYAHWVATAAQLSCAAWRPGMVRGIKIIETELEKAVTGLLKTQNKNPQHYISYIKDIFIKLHNIQKIESISDDLSLQLRHNLCNWIIIEPFNELLKTAVGSKRGLITNAGDSCFAILNAKLYENTFGCDNLTTLLTNVICEAITSVLEKLAVFLMVPAPASQITCQLYIVLTTKKSVTSAEDIIICIKANEEIINKFIKMKMEKAIHEAIRTASYRTNLCNVEGNKEQLERAGEIDSLPRNHKVLSYVTNFVKVTADINKNNQKHVLNCISDNTSEKLLDQIKGHAKSVDHERIKKRLSAQRTTKNSGNPTVLYAIWEKSVHVHKEAKSGLHRVASCDKCGLKGEKIELWKYQETFMFAQKENWCDPLVLNDIEKKSSLSPKEEIIPQEIYEFHPEKAKSLRCHNKSVENNETDTKVKKVNLFHLFDGQRKPMKPFLIDSYYASPNEDDGCVLDIYELVPRSPGESVFQIDDENEDTTSESAEPGAPPVSEIIESGPDGM
+>sp|Q96PY0|K1908_HUMAN Putative uncharacterized protein PSMG3-AS1 OS=Homo sapiens OX=9606 GN=PSMG3-AS1 PE=5 SV=2
+MMDCTWTLPGMRATWQPAPFLPWDQTPWRVSFSWSPVLLAWGGVWSGEAHPCAHVLRPPASPCPPRPRRGCGDSGSSGMAQRAQAGSNQSRGKCGRDGRCPPRSSPGAPEAAERVESAETRGPGKSWILSPSSMSEPRRGKARRSPGRRRHPHSSFPQASSPSSPSRRETIPQVQSSGVPGAMSPEQTLFSRSPRGLSHLGQSLCRTVKESEAQRGKTMPPGSHSPSGAGQGRTARKGPAREEIPSSDSSAKPSVYPHPHLTAT
+>DECOY_sp|Q96PY0|K1908_HUMAN Putative uncharacterized protein PSMG3-AS1 OS=Homo sapiens OX=9606 GN=PSMG3-AS1 PE=5 SV=2
+TATLHPHPYVSPKASSDSSPIEERAPGKRATRGQGAGSPSHSGPPMTKGRQAESEKVTRCLSQGLHSLGRPSRSFLTQEPSMAGPVGSSQVQPITERRSPSSPSSAQPFSSHPHRRRGPSRRAKGRRPESMSSPSLIWSKGPGRTEASEVREAAEPAGPSSRPPCRGDRGCKGRSQNSGAQARQAMGSSGSDGCGRRPRPPCPSAPPRLVHACPHAEGSWVGGWALLVPSWSFSVRWPTQDWPLFPAPQWTARMGPLTWTCDMM
+>sp|Q8N8K9|K1958_HUMAN Uncharacterized protein KIAA1958 OS=Homo sapiens OX=9606 GN=KIAA1958 PE=1 SV=1
+MEDCLHTSSENLSKLVSWAHSHGTICSLIPNLKHLLSEGSHGNLTAMWGCSAGHAYHWPLTATCRAGSQERVCFQDNRSFNSDSPSIIGVPSETQTSPVERYPGRPVKAKLDCNRTRDSCDFSYCSEPSELDETVEEYEDENTLFDMVCESSVTDEDSDFEPQTQRPQSIARKRPGVVPSSLHSSSQTQMVDECSNDVIIKKIKQEIPEDYYIVANAELTGGVDGPALSLTQMAKPKPQTHAGPSCVGSAKLIPHVTSAISTELDPHGMSASPSVISRPIVQKTARVSLASPNRGPPGTHGTNQQVAMQMPVSTSHPNKQISIPLSALQLPGQDEQVASEEFLSHLPSQVSSCEVALSPSVNTEPEVSSSQQQPPVAPAITTEATAQCIPAYSTKLNKFPVFNINDDLNDLCTSAVSPNTTKATRYALNVWRYWCMTNGLKDHTDITKIPAVKLNELLENFYVTVKKSDGSDFLATSLHAIRRGLDRILKNAGVGFSITSSTFSSSTKKLKEKLWVLSKAGMSGARSRNIVYFSLSDEEEMWQAGCLGDDSPITLLSTVVKYNSQYLNMRTLQEHADLMYGDIELLKDPQNQPYFARTDSVKRESRSGSTRVCHGKIYHEHSRGHKQCPYCLLYKYMYIHRPPTQMEAKSPFYLTARKEATDMGSVWYEEQRMGLRSLRGIVPNLAKKVKLENCENFTFVSFTQVSRRLGSHSCCQ
+>DECOY_sp|Q8N8K9|K1958_HUMAN Uncharacterized protein KIAA1958 OS=Homo sapiens OX=9606 GN=KIAA1958 PE=1 SV=1
+QCCSHSGLRRSVQTFSVFTFNECNELKVKKALNPVIGRLSRLGMRQEEYWVSGMDTAEKRATLYFPSKAEMQTPPRHIYMYKYLLCYPCQKHGRSHEHYIKGHCVRTSGSRSERKVSDTRAFYPQNQPDKLLEIDGYMLDAHEQLTRMNLYQSNYKVVTSLLTIPSDDGLCGAQWMEEEDSLSFYVINRSRAGSMGAKSLVWLKEKLKKTSSSFTSSTISFGVGANKLIRDLGRRIAHLSTALFDSGDSKKVTVYFNELLENLKVAPIKTIDTHDKLGNTMCWYRWVNLAYRTAKTTNPSVASTCLDNLDDNINFVPFKNLKTSYAPICQATAETTIAPAVPPQQQSSSVEPETNVSPSLAVECSSVQSPLHSLFEESAVQEDQGPLQLASLPISIQKNPHSTSVPMQMAVQQNTGHTGPPGRNPSALSVRATKQVIPRSIVSPSASMGHPDLETSIASTVHPILKASGVCSPGAHTQPKPKAMQTLSLAPGDVGGTLEANAVIYYDEPIEQKIKKIIVDNSCEDVMQTQSSSHLSSPVVGPRKRAISQPRQTQPEFDSDEDTVSSECVMDFLTNEDEYEEVTEDLESPESCYSFDCSDRTRNCDLKAKVPRGPYREVPSTQTESPVGIISPSDSNFSRNDQFCVREQSGARCTATLPWHYAHGASCGWMATLNGHSGESLLHKLNPILSCITGHSHAWSVLKSLNESSTHLCDEM
+>sp|P13646|K1C13_HUMAN Keratin, type I cytoskeletal 13 OS=Homo sapiens OX=9606 GN=KRT13 PE=1 SV=4
+MSLRLQSSSASYGGGFGGGSCQLGGGRGVSTCSTRFVSGGSAGGYGGGVSCGFGGGAGSGFGGGYGGGLGGGYGGGLGGGFGGGFAGGFVDFGACDGGLLTGNEKITMQNLNDRLASYLEKVRALEEANADLEVKIRDWHLKQSPASPERDYSPYYKTIEELRDKILTATIENNRVILEIDNARLAADDFRLKYENELALRQSVEADINGLRRVLDELTLSKTDLEMQIESLNEELAYMKKNHEEEMKEFSNQVVGQVNVEMDATPGIDLTRVLAEMREQYEAMAERNRRDAEEWFHTKSAELNKEVSTNTAMIQTSKTEITELRRTLQGLEIELQSQLSMKAGLENTVAETECRYALQLQQIQGLISSIEAQLSELRSEMECQNQEYKMLLDIKTRLEQEIATYRSLLEGQDAKMIGFPSSAGSVSPRSTSVTTTSSASVTTTSNASGRRTSDVRRP
+>DECOY_sp|P13646|K1C13_HUMAN Keratin, type I cytoskeletal 13 OS=Homo sapiens OX=9606 GN=KRT13 PE=1 SV=4
+PRRVDSTRRGSANSTTTVSASSTTTVSTSRPSVSGASSPFGIMKADQGELLSRYTAIEQELRTKIDLLMKYEQNQCEMESRLESLQAEISSILGQIQQLQLAYRCETEAVTNELGAKMSLQSQLEIELGQLTRRLETIETKSTQIMATNTSVEKNLEASKTHFWEEADRRNREAMAEYQERMEALVRTLDIGPTADMEVNVQGVVQNSFEKMEEEHNKKMYALEENLSEIQMELDTKSLTLEDLVRRLGNIDAEVSQRLALENEYKLRFDDAALRANDIELIVRNNEITATLIKDRLEEITKYYPSYDREPSAPSQKLHWDRIKVELDANAEELARVKELYSALRDNLNQMTIKENGTLLGGDCAGFDVFGGAFGGGFGGGLGGGYGGGLGGGYGGGFGSGAGGGFGCSVGGGYGGASGGSVFRTSCTSVGRGGGLQCSGGGFGGGYSASSSQLRLSM
+>sp|P02533|K1C14_HUMAN Keratin, type I cytoskeletal 14 OS=Homo sapiens OX=9606 GN=KRT14 PE=1 SV=4
+MTTCSRQFTSSSSMKGSCGIGGGIGGGSSRISSVLAGGSCRAPSTYGGGLSVSSSRFSSGGACGLGGGYGGGFSSSSSSFGSGFGGGYGGGLGAGLGGGFGGGFAGGDGLLVGSEKVTMQNLNDRLASYLDKVRALEEANADLEVKIRDWYQRQRPAEIKDYSPYFKTIEDLRNKILTATVDNANVLLQIDNARLAADDFRTKYETELNLRMSVEADINGLRRVLDELTLARADLEMQIESLKEELAYLKKNHEEEMNALRGQVGGDVNVEMDAAPGVDLSRILNEMRDQYEKMAEKNRKDAEEWFFTKTEELNREVATNSELVQSGKSEISELRRTMQNLEIELQSQLSMKASLENSLEETKGRYCMQLAQIQEMIGSVEEQLAQLRCEMEQQNQEYKILLDVKTRLEQEIATYRRLLEGEDAHLSSSQFSSGSQSSRDVTSSSRQIRTKVMDVHDGKVVSTHEQVLRTKN
+>DECOY_sp|P02533|K1C14_HUMAN Keratin, type I cytoskeletal 14 OS=Homo sapiens OX=9606 GN=KRT14 PE=1 SV=4
+NKTRLVQEHTSVVKGDHVDMVKTRIQRSSSTVDRSSQSGSSFQSSSLHADEGELLRRYTAIEQELRTKVDLLIKYEQNQQEMECRLQALQEEVSGIMEQIQALQMCYRGKTEELSNELSAKMSLQSQLEIELNQMTRRLESIESKGSQVLESNTAVERNLEETKTFFWEEADKRNKEAMKEYQDRMENLIRSLDVGPAADMEVNVDGGVQGRLANMEEEHNKKLYALEEKLSEIQMELDARALTLEDLVRRLGNIDAEVSMRLNLETEYKTRFDDAALRANDIQLLVNANDVTATLIKNRLDEITKFYPSYDKIEAPRQRQYWDRIKVELDANAEELARVKDLYSALRDNLNQMTVKESGVLLGDGGAFGGGFGGGLGAGLGGGYGGGFGSGFSSSSSSFGGGYGGGLGCAGGSSFRSSSVSLGGGYTSPARCSGGALVSSIRSSGGGIGGGIGCSGKMSSSSTFQRSCTTM
+>sp|Q7Z3Y9|K1C26_HUMAN Keratin, type I cytoskeletal 26 OS=Homo sapiens OX=9606 GN=KRT26 PE=1 SV=2
+MSFRLSGGSRRICSRTGSGRLSGGGTGFVAGNVCVGSGARSSFSCTLEGISSGGSFCNSGGGLGSGACAGFLGNEHSLLSGNEKVTMQNLNDRLASYLDHVHALEEANADLEQKIKGWYEKCEPGSSREHDHDYSRYFSVIEDLKRQIISATICNASIVLQNDNARLTADDFRLKYENELALHHSVEADTSGLRRVLDELTLCTTDLEIQCETLSEELTYLKKSHEEEMEVLQYTAGGNVNVEMNATPGVDLTVLLNNMRAEYEDLAEQNRKDAEAWFNERSATLQQQISDHEGAATAARNELTELKRNLQTLEIELQSLMAVKHSYECSLAETEGNYCNQLQQIQDQIGVMEEQLQQIRTETEGQKLEYEQLLDVKIFLEKEIDIYCNLLDGEERKSKSTCYKSKGYRPVNSGNQAKDSTEETIVKTVVEELDQIGNLLSLRVHSVEEKSSKISNITVEQRVPSKAP
+>DECOY_sp|Q7Z3Y9|K1C26_HUMAN Keratin, type I cytoskeletal 26 OS=Homo sapiens OX=9606 GN=KRT26 PE=1 SV=2
+PAKSPVRQEVTINSIKSSKEEVSHVRLSLLNGIQDLEEVVTKVITEETSDKAQNGSNVPRYGKSKYCTSKSKREEGDLLNCYIDIEKELFIKVDLLQEYELKQGETETRIQQLQEEMVGIQDQIQQLQNCYNGETEALSCEYSHKVAMLSQLEIELTQLNRKLETLENRAATAAGEHDSIQQQLTASRENFWAEADKRNQEALDEYEARMNNLLVTLDVGPTANMEVNVNGGATYQLVEMEEEHSKKLYTLEESLTECQIELDTTCLTLEDLVRRLGSTDAEVSHHLALENEYKLRFDDATLRANDNQLVISANCITASIIQRKLDEIVSFYRSYDHDHERSSGPECKEYWGKIKQELDANAEELAHVHDLYSALRDNLNQMTVKENGSLLSHENGLFGACAGSGLGGGSNCFSGGSSIGELTCSFSSRAGSGVCVNGAVFGTGGGSLRGSGTRSCIRRSGGSLRFSM
+>sp|Q7Z3Y7|K1C28_HUMAN Keratin, type I cytoskeletal 28 OS=Homo sapiens OX=9606 GN=KRT28 PE=1 SV=2
+MSLQFSNGSRHVCLRSGAGSVRPLNGGAGFAGSSACGGSVAGSEFSCALGGGLGSVPGGSHAGGALGNAACIGFAGSEGGLLSGNEKVTMQNLNDRLASYLDNVRALEEANAELERKIKGWYEKYGPGSCRGLDHDYSRYHLTIEDLKNKIISSTTTNANVILQIDNARLAADDFRLKYENELTLHQNVEADINGLRRVLDELTLCRTDQELQYESLSEEMTYLKKNHEEEMKALQCAAGGNVNVEMNAAPGVDLAVLLNNMRAEYEALAEQNRKDAEAWFNEKSASLQQQISHDSGAATFARSQLTEMRRTLQTLEIQLQSLMATKHSLECSLTETESNYCTQLAQIQAQIGALEEQLHQVRTETEGQKLEYEHLLDVKVHLEKEIETYCRLIDGDGNSCSKSKGFGSGSPGNSSKDLSKTTLVKTVVEELDQRGKVLSSRIHSIEEKTSKMTNGKTEQRVPF
+>DECOY_sp|Q7Z3Y7|K1C28_HUMAN Keratin, type I cytoskeletal 28 OS=Homo sapiens OX=9606 GN=KRT28 PE=1 SV=2
+FPVRQETKGNTMKSTKEEISHIRSSLVKGRQDLEEVVTKVLTTKSLDKSSNGPSGSGFGKSKSCSNGDGDILRCYTEIEKELHVKVDLLHEYELKQGETETRVQHLQEELAGIQAQIQALQTCYNSETETLSCELSHKTAMLSQLQIELTQLTRRMETLQSRAFTAAGSDHSIQQQLSASKENFWAEADKRNQEALAEYEARMNNLLVALDVGPAANMEVNVNGGAACQLAKMEEEHNKKLYTMEESLSEYQLEQDTRCLTLEDLVRRLGNIDAEVNQHLTLENEYKLRFDDAALRANDIQLIVNANTTTSSIIKNKLDEITLHYRSYDHDLGRCSGPGYKEYWGKIKRELEANAEELARVNDLYSALRDNLNQMTVKENGSLLGGESGAFGICAANGLAGGAHSGGPVSGLGGGLACSFESGAVSGGCASSGAFGAGGNLPRVSGAGSRLCVHRSGNSFQLSM
+>sp|Q7Z794|K2C1B_HUMAN Keratin, type II cytoskeletal 1b OS=Homo sapiens OX=9606 GN=KRT77 PE=1 SV=3
+MSHQFSSQSAFSSMSRRVYSTSSSAGSGGGSPAVGSVCYARGRCGGGGYGIHGRGFGSRSLYNLGGSRSISINLMGRSTSGFCQGGGVGGFGGGRGFGVGSTGAGGFGGGGFGGAGFGTSNFGLGGFGPYCPPGGIQEVTINQSLLEPLHLEVDPEIQRIKTQEREQIMVLNNKFASFIDKVRFLEQQNQVLQTKWELLQQVNTSTGTNNLEPLLENYIGDLRRQVDLLSAEQMRQNAEVRSMQDVVEDYKSKYEDEINKRTGSENDFVVLKKDVDAAYVSKVDLESRVDTLTGEVNFLKYLFLTELSQVQTHISDTNVILSMDNNRSLDLDSIIDAVRTQYELIAQRSKDEAEALYQTKYQELQITAGRHGDDLKNSKMEIAELNRTVQRLQAEISNVKKQIEQMQSLISDAEERGEQALQDAWQKLQDLEEALQQSKEELARLLRDYQAMLGVKLSLDVEIATYRQLLEGEESRMSGELQSHVSISVQNSQVSVNGGAGGGGSYGSGGYGGGSGGGYGGGRSYRGGGARGRSGGGYGSGCGGGGGSYGGSGRSGRGSSRVQIIQTSTNTSHRRILE
+>DECOY_sp|Q7Z794|K2C1B_HUMAN Keratin, type II cytoskeletal 1b OS=Homo sapiens OX=9606 GN=KRT77 PE=1 SV=3
+ELIRRHSTNTSTQIIQVRSSGRGSRGSGGYSGGGGGCGSGYGGGSRGRAGGGRYSRGGGYGGGSGGGYGGSGYSGGGGAGGNVSVQSNQVSISVHSQLEGSMRSEEGELLQRYTAIEVDLSLKVGLMAQYDRLLRALEEKSQQLAEELDQLKQWADQLAQEGREEADSILSQMQEIQKKVNSIEAQLRQVTRNLEAIEMKSNKLDDGHRGATIQLEQYKTQYLAEAEDKSRQAILEYQTRVADIISDLDLSRNNDMSLIVNTDSIHTQVQSLETLFLYKLFNVEGTLTDVRSELDVKSVYAADVDKKLVVFDNESGTRKNIEDEYKSKYDEVVDQMSRVEANQRMQEASLLDVQRRLDGIYNELLPELNNTGTSTNVQQLLEWKTQLVQNQQELFRVKDIFSAFKNNLVMIQEREQTKIRQIEPDVELHLPELLSQNITVEQIGGPPCYPGFGGLGFNSTGFGAGGFGGGGFGGAGTSGVGFGRGGGFGGVGGGQCFGSTSRGMLNISISRSGGLNYLSRSGFGRGHIGYGGGGCRGRAYCVSGVAPSGGGSGASSSTSYVRRSMSSFASQSSFQHSM
+>sp|P12035|K2C3_HUMAN Keratin, type II cytoskeletal 3 OS=Homo sapiens OX=9606 GN=KRT3 PE=1 SV=3
+MSRQASKTSGGGSQGFSGRSAVVSGSSRMSCVAHSGGAGGGAYGFRSGAGGFGSRSLYNLGGNKSISISVAAGGSRAGGFGGGRSSCAFAGGYGGGFGSGYGGGFGGGFGGGRGMGGGFGGAGGFGGAGGFGGAGGFGGPGGFGGSGGFGGPGSLGSPGGFGPGGFPGGIQEVTINQSLLQPLNVEIDPQIGQVKAQEREQIKTLNNKFASFIDKVRFLEQQNKVLETKWNLLQQQGTSSISGTNNLEPLFENHINYLRSYLDNILGERGRLDSELKNMEDLVEDFKKKYEDEINKRTAAENEFVTLKKDVDSAYMNKVELQAKVDALIDEIDFLRTLYDAELSQMQSHISDTSVVLSMDNNRSLDLDSIIAEVRAQYEDIAQRSKAEAEALYQTKLGELQTTAGRHGDDLRNTKSEIIELNRMIQRLRAEIEGVKKQNANLQTAIAEAEQHGEMALKDANAKLQELQAALQQAKDDLARLLRDYQELMNVKLALDVEIATYRKLLEGEEYRMSGECPSAVSISVVSSSTTSASAGGYGGGYGGGMGGGLGGGFSAGGGSGSGFGRGGGGGIGGGFGGGSSGFSGGSGFGSISGARYGVSGGGFSSASNRGGSIKFSQSSQSSQRYSR
+>DECOY_sp|P12035|K2C3_HUMAN Keratin, type II cytoskeletal 3 OS=Homo sapiens OX=9606 GN=KRT3 PE=1 SV=3
+RSYRQSSQSSQSFKISGGRNSASSFGGGSVGYRAGSISGFGSGGSFGSSGGGFGGGIGGGGGRGFGSGSGGGASFGGGLGGGMGGGYGGGYGGASASTTSSSVVSISVASPCEGSMRYEEGELLKRYTAIEVDLALKVNMLEQYDRLLRALDDKAQQLAAQLEQLKANADKLAMEGHQEAEAIATQLNANQKKVGEIEARLRQIMRNLEIIESKTNRLDDGHRGATTQLEGLKTQYLAEAEAKSRQAIDEYQARVEAIISDLDLSRNNDMSLVVSTDSIHSQMQSLEADYLTRLFDIEDILADVKAQLEVKNMYASDVDKKLTVFENEAATRKNIEDEYKKKFDEVLDEMNKLESDLRGREGLINDLYSRLYNIHNEFLPELNNTGSISSTGQQQLLNWKTELVKNQQELFRVKDIFSAFKNNLTKIQEREQAKVQGIQPDIEVNLPQLLSQNITVEQIGGPFGGPGFGGPSGLSGPGGFGGSGGFGGPGGFGGAGGFGGAGGFGGAGGFGGGMGRGGGFGGGFGGGYGSGFGGGYGGAFACSSRGGGFGGARSGGAAVSISISKNGGLNYLSRSGFGGAGSRFGYAGGGAGGSHAVCSMRSSGSVVASRGSFGQSGGGSTKSAQRSM
+>sp|Q3SY84|K2C71_HUMAN Keratin, type II cytoskeletal 71 OS=Homo sapiens OX=9606 GN=KRT71 PE=1 SV=3
+MSRQFTCKSGAAAKGGFSGCSAVLSGGSSSSFRAGSKGLSGGFGSRSLYSLGGVRSLNVASGSGKSGGYGFGRGRASGFAGSMFGSVALGPVCPTVCPPGGIHQVTVNESLLAPLNVELDPEIQKVRAQEREQIKALNNKFASFIDKVRFLEQQNQVLETKWELLQQLDLNNCKNNLEPILEGYISNLRKQLETLSGDRVRLDSELRNVRDVVEDYKKRYEEEINKRTAAENEFVLLKKDVDAAYANKVELQAKVESMDQEIKFFRCLFEAEITQIQSHISDMSVILSMDNNRNLDLDSIIDEVRTQYEEIALKSKAEAEALYQTKFQELQLAAGRHGDDLKNTKNEISELTRLIQRIRSEIENVKKQASNLETAIADAEQRGDNALKDARAKLDELEGALHQAKEELARMLREYQELMSLKLALDMEIATYRKLLESEECRMSGEFPSPVSISIISSTSGGSVYGFRPSMVSGGYVANSSNCISGVCSVRGGEGRSRGSANDYKDTLGKGSSLSAPSKKTSR
+>DECOY_sp|Q3SY84|K2C71_HUMAN Keratin, type II cytoskeletal 71 OS=Homo sapiens OX=9606 GN=KRT71 PE=1 SV=3
+RSTKKSPASLSSGKGLTDKYDNASGRSRGEGGRVSCVGSICNSSNAVYGGSVMSPRFGYVSGGSTSSIISISVPSPFEGSMRCEESELLKRYTAIEMDLALKLSMLEQYERLMRALEEKAQHLAGELEDLKARADKLANDGRQEADAIATELNSAQKKVNEIESRIRQILRTLESIENKTNKLDDGHRGAALQLEQFKTQYLAEAEAKSKLAIEEYQTRVEDIISDLDLNRNNDMSLIVSMDSIHSQIQTIEAEFLCRFFKIEQDMSEVKAQLEVKNAYAADVDKKLLVFENEAATRKNIEEEYRKKYDEVVDRVNRLESDLRVRDGSLTELQKRLNSIYGELIPELNNKCNNLDLQQLLEWKTELVQNQQELFRVKDIFSAFKNNLAKIQEREQARVKQIEPDLEVNLPALLSENVTVQHIGGPPCVTPCVPGLAVSGFMSGAFGSARGRGFGYGGSKGSGSAVNLSRVGGLSYLSRSGFGGSLGKSGARFSSSSGGSLVASCGSFGGKAAAGSKCTFQRSM
+>sp|Q9UBP8|KAAG1_HUMAN Kidney-associated antigen 1 OS=Homo sapiens OX=9606 GN=KAAG1 PE=2 SV=1
+MDDDAAPRVEGVPVAVHKHALHDGLRQVAGPGAAAAHLPRWPPPQLAASRREAPPLSQRPHRTQGAGSPPETNEKLTNPQVKEK
+>DECOY_sp|Q9UBP8|KAAG1_HUMAN Kidney-associated antigen 1 OS=Homo sapiens OX=9606 GN=KAAG1 PE=2 SV=1
+KEKVQPNTLKENTEPPSGAGQTRHPRQSLPPAERRSAALQPPPWRPLHAAAAGPGAVQRLGDHLAHKHVAVPVGEVRPAADDDM
+>sp|P00568|KAD1_HUMAN Adenylate kinase isoenzyme 1 OS=Homo sapiens OX=9606 GN=AK1 PE=1 SV=3
+MEEKLKKTKIIFVVGGPGSGKGTQCEKIVQKYGYTHLSTGDLLRSEVSSGSARGKKLSEIMEKGQLVPLETVLDMLRDAMVAKVNTSKGFLIDGYPREVQQGEEFERRIGQPTLLLYVDAGPETMTQRLLKRGETSGRVDDNEETIKKRLETYYKATEPVIAFYEKRGIVRKVNAEGSVDSVFSQVCTHLDALK
+>DECOY_sp|P00568|KAD1_HUMAN Adenylate kinase isoenzyme 1 OS=Homo sapiens OX=9606 GN=AK1 PE=1 SV=3
+KLADLHTCVQSFVSDVSGEANVKRVIGRKEYFAIVPETAKYYTELRKKITEENDDVRGSTEGRKLLRQTMTEPGADVYLLLTPQGIRREFEEGQQVERPYGDILFGKSTNVKAVMADRLMDLVTELPVLQGKEMIESLKKGRASGSSVESRLLDGTSLHTYGYKQVIKECQTGKGSGPGGVVFIIKTKKLKEEM
+>sp|Q9UIJ7|KAD3_HUMAN GTP:AMP phosphotransferase AK3, mitochondrial OS=Homo sapiens OX=9606 GN=AK3 PE=1 SV=4
+MGASARLLRAVIMGAPGSGKGTVSSRITTHFELKHLSSGDLLRDNMLRGTEIGVLAKAFIDQGKLIPDDVMTRLALHELKNLTQYSWLLDGFPRTLPQAEALDRAYQIDTVINLNVPFEVIKQRLTARWIHPASGRVYNIEFNPPKTVGIDDLTGEPLIQREDDKPETVIKRLKAYEDQTKPVLEYYQKKGVLETFSGTETNKIWPYVYAFLQTKVPQRSQKASVTP
+>DECOY_sp|Q9UIJ7|KAD3_HUMAN GTP:AMP phosphotransferase AK3, mitochondrial OS=Homo sapiens OX=9606 GN=AK3 PE=1 SV=4
+PTVSAKQSRQPVKTQLFAYVYPWIKNTETGSFTELVGKKQYYELVPKTQDEYAKLRKIVTEPKDDERQILPEGTLDDIGVTKPPNFEINYVRGSAPHIWRATLRQKIVEFPVNLNIVTDIQYARDLAEAQPLTRPFGDLLWSYQTLNKLEHLALRTMVDDPILKGQDIFAKALVGIETGRLMNDRLLDGSSLHKLEFHTTIRSSVTGKGSGPAGMIVARLLRASAGM
+>sp|Q9Y6K8|KAD5_HUMAN Adenylate kinase isoenzyme 5 OS=Homo sapiens OX=9606 GN=AK5 PE=1 SV=2
+MNTNDAKEYLARREIPQLFESLLNGLMCSKPEDPVEYLESCLQKVKELGGCDKVKWDTFVSQEKKTLPPLNGGQSRRSFLRNVMPENSNFPYRRYDRLPPIHQFSIESDTDLSETAELIEEYEVFDPTRPRPKIILVIGGPGSGKGTQSLKIAERYGFQYISVGELLRKKIHSTSSNRKWSLIAKIITTGELAPQETTITEIKQKLMQIPDEEGIVIDGFPRDVAQALSFEDQICTPDLVVFLACANQRLKERLLKRAEQQGRPDDNVKATQRRLMNFKQNAAPLVKYFQEKGLIMTFDADRDEDEVFYDISMAVDNKLFPNKEAAAGSSDLDPSMILDTGEIIDTGSDYEDQGDDQLNVFGEDTMGGFMEDLRKCKIIFIIGGPGSGKGTQCEKLVEKYGFTHLSTGELLREELASESERSKLIRDIMERGDLVPSGIVLELLKEAMVASLGDTRGFLIDGYPREVKQGEEFGRRIGDPQLVICMDCSADTMTNRLLQRSRSSLPVDDTTKTIAKRLEAYYRASIPVIAYYETKTQLHKINAEGTPEDVFLQLCTAIDSIF
+>DECOY_sp|Q9Y6K8|KAD5_HUMAN Adenylate kinase isoenzyme 5 OS=Homo sapiens OX=9606 GN=AK5 PE=1 SV=2
+FISDIATCLQLFVDEPTGEANIKHLQTKTEYYAIVPISARYYAELRKAITKTTDDVPLSSRSRQLLRNTMTDASCDMCIVLQPDGIRRGFEEGQKVERPYGDILFGRTDGLSAVMAEKLLELVIGSPVLDGREMIDRILKSRESESALEERLLEGTSLHTFGYKEVLKECQTGKGSGPGGIIFIIKCKRLDEMFGGMTDEGFVNLQDDGQDEYDSGTDIIEGTDLIMSPDLDSSGAAAEKNPFLKNDVAMSIDYFVEDEDRDADFTMILGKEQFYKVLPAANQKFNMLRRQTAKVNDDPRGQQEARKLLREKLRQNACALFVVLDPTCIQDEFSLAQAVDRPFGDIVIGEEDPIQMLKQKIETITTEQPALEGTTIIKAILSWKRNSSTSHIKKRLLEGVSIYQFGYREAIKLSQTGKGSGPGGIVLIIKPRPRTPDFVEYEEILEATESLDTDSEISFQHIPPLRDYRRYPFNSNEPMVNRLFSRRSQGGNLPPLTKKEQSVFTDWKVKDCGGLEKVKQLCSELYEVPDEPKSCMLGNLLSEFLQPIERRALYEKADNTNM
+>sp|Q9UHD0|IL19_HUMAN Interleukin-19 OS=Homo sapiens OX=9606 GN=IL19 PE=1 SV=2
+MKLQCVSLWLLGTILILCSVDNHGLRRCLISTDMHHIEESFQEIKRAIQAKDTFPNVTILSTLETLQIIKPLDVCCVTKNLLAFYVDRVFKDHQEPNPKILRKISSIANSFLYMQKTLRQCQEQRQCHCRQEATNATRVIHDNYDQLEVHAAAIKSLGELDVFLAWINKNHEVMFSA
+>DECOY_sp|Q9UHD0|IL19_HUMAN Interleukin-19 OS=Homo sapiens OX=9606 GN=IL19 PE=1 SV=2
+ASFMVEHNKNIWALFVDLEGLSKIAAAHVELQDYNDHIVRTANTAEQRCHCQRQEQCQRLTKQMYLFSNAISSIKRLIKPNPEQHDKFVRDVYFALLNKTVCCVDLPKIIQLTELTSLITVNPFTDKAQIARKIEQFSEEIHHMDTSILCRRLGHNDVSCLILITGLLWLSVCQLKM
+>sp|Q9NPH3|IL1AP_HUMAN Interleukin-1 receptor accessory protein OS=Homo sapiens OX=9606 GN=IL1RAP PE=1 SV=2
+MTLLWCVVSLYFYGILQSDASERCDDWGLDTMRQIQVFEDEPARIKCPLFEHFLKFNYSTAHSAGLTLIWYWTRQDRDLEEPINFRLPENRISKEKDVLWFRPTLLNDTGNYTCMLRNTTYCSKVAFPLEVVQKDSCFNSPMKLPVHKLYIEYGIQRITCPNVDGYFPSSVKPTITWYMGCYKIQNFNNVIPEGMNLSFLIALISNNGNYTCVVTYPENGRTFHLTRTLTVKVVGSPKNAVPPVIHSPNDHVVYEKEPGEELLIPCTVYFSFLMDSRNEVWWTIDGKKPDDITIDVTINESISHSRTEDETRTQILSIKKVTSEDLKRSYVCHARSAKGEVAKAAKVKQKVPAPRYTVELACGFGATVLLVVILIVVYHVYWLEMVLFYRAHFGTDETILDGKEYDIYVSYARNAEEEEFVLLTLRGVLENEFGYKLCIFDRDSLPGGIVTDETLSFIQKSRRLLVVLSPNYVLQGTQALLELKAGLENMASRGNINVILVQYKAVKETKVKELKRAKTVLTVIKWKGEKSKYPQGRFWKQLQVAMPVKKSPRRSSSDEQGLSYSSLKNV
+>DECOY_sp|Q9NPH3|IL1AP_HUMAN Interleukin-1 receptor accessory protein OS=Homo sapiens OX=9606 GN=IL1RAP PE=1 SV=2
+VNKLSSYSLGQEDSSSRRPSKKVPMAVQLQKWFRGQPYKSKEGKWKIVTLVTKARKLEKVKTEKVAKYQVLIVNINGRSAMNELGAKLELLAQTGQLVYNPSLVVLLRRSKQIFSLTEDTVIGGPLSDRDFICLKYGFENELVGRLTLLVFEEEEANRAYSVYIDYEKGDLITEDTGFHARYFLVMELWYVHYVVILIVVLLVTAGFGCALEVTYRPAPVKQKVKAAKAVEGKASRAHCVYSRKLDESTVKKISLIQTRTEDETRSHSISENITVDITIDDPKKGDITWWVENRSDMLFSFYVTCPILLEEGPEKEYVVHDNPSHIVPPVANKPSGVVKVTLTRTLHFTRGNEPYTVVCTYNGNNSILAILFSLNMGEPIVNNFNQIKYCGMYWTITPKVSSPFYGDVNPCTIRQIGYEIYLKHVPLKMPSNFCSDKQVVELPFAVKSCYTTNRLMCTYNGTDNLLTPRFWLVDKEKSIRNEPLRFNIPEELDRDQRTWYWILTLGASHATSYNFKLFHEFLPCKIRAPEDEFVQIQRMTDLGWDDCRESADSQLIGYFYLSVVCWLLTM
+>sp|Q9HBE5|IL21R_HUMAN Interleukin-21 receptor OS=Homo sapiens OX=9606 GN=IL21R PE=1 SV=1
+MPRGWAAPLLLLLLQGGWGCPDLVCYTDYLQTVICILEMWNLHPSTLTLTWQDQYEELKDEATSCSLHRSAHNATHATYTCHMDVFHFMADDIFSVNITDQSGNYSQECGSFLLAESIKPAPPFNVTVTFSGQYNISWRSDYEDPAFYMLKGKLQYELQYRNRGDPWAVSPRRKLISVDSRSVSLLPLEFRKDSSYELQVRAGPMPGSSYQGTWSEWSDPVIFQTQSEELKEGWNPHLLLLLLLVIVFIPAFWSLKTHPLWRLWKKIWAVPSPERFFMPLYKGCSGDFKKWVGAPFTGSSLELGPWSPEVPSTLEVYSCHPPRSPAKRLQLTELQEPAELVESDGVPKPSFWPTAQNSGGSAYSEERDRPYGLVSIDTVTVLDAEGPCTWPCSCEDDGYPALDLDAGLEPSPGLEDPLLDAGTTVLSCGCVSAGSPGLGGPLGSLLDRLKPPLADGEDWAGGLPWGGRSPGGVSESEAGSPLAGLDMDTFDSGFVGSDCSSPVECDFTSPGDEGPPRSYLRQWVVIPPPLSSPGPQAS
+>DECOY_sp|Q9HBE5|IL21R_HUMAN Interleukin-21 receptor OS=Homo sapiens OX=9606 GN=IL21R PE=1 SV=1
+SAQPGPSSLPPPIVVWQRLYSRPPGEDGPSTFDCEVPSSCDSGVFGSDFTDMDLGALPSGAESESVGGPSRGGWPLGGAWDEGDALPPKLRDLLSGLPGGLGPSGASVCGCSLVTTGADLLPDELGPSPELGADLDLAPYGDDECSCPWTCPGEADLVTVTDISVLGYPRDREESYASGGSNQATPWFSPKPVGDSEVLEAPEQLETLQLRKAPSRPPHCSYVELTSPVEPSWPGLELSSGTFPAGVWKKFDGSCGKYLPMFFREPSPVAWIKKWLRWLPHTKLSWFAPIFVIVLLLLLLLHPNWGEKLEESQTQFIVPDSWESWTGQYSSGPMPGARVQLEYSSDKRFELPLLSVSRSDVSILKRRPSVAWPDGRNRYQLEYQLKGKLMYFAPDEYDSRWSINYQGSFTVTVNFPPAPKISEALLFSGCEQSYNGSQDTINVSFIDDAMFHFVDMHCTYTAHTANHASRHLSCSTAEDKLEEYQDQWTLTLTSPHLNWMELICIVTQLYDTYCVLDPCGWGGQLLLLLLPAAWGRPM
+>sp|Q9NPH9|IL26_HUMAN Interleukin-26 OS=Homo sapiens OX=9606 GN=IL26 PE=1 SV=1
+MLVNFILRCGLLLVTLSLAIAKHKQSSFTKSCYPRGTLSQAVDALYIKAAWLKATIPEDRIKNIRLLKKKTKKQFMKNCQFQEQLLSFFMEDVFGQLQLQGCKKIRFVEDFHSLRQKLSHCISCASSAREMKSITRMKRIFYRIGNKGIYKAISELDILLSWIKKLLESSQ
+>DECOY_sp|Q9NPH9|IL26_HUMAN Interleukin-26 OS=Homo sapiens OX=9606 GN=IL26 PE=1 SV=1
+QSSELLKKIWSLLIDLESIAKYIGKNGIRYFIRKMRTISKMERASSACSICHSLKQRLSHFDEVFRIKKCGQLQLQGFVDEMFFSLLQEQFQCNKMFQKKTKKKLLRINKIRDEPITAKLWAAKIYLADVAQSLTGRPYCSKTFSSQKHKAIALSLTVLLLGCRLIFNVLM
+>sp|Q14213|IL27B_HUMAN Interleukin-27 subunit beta OS=Homo sapiens OX=9606 GN=EBI3 PE=1 SV=2
+MTPQLLLALVLWASCPPCSGRKGPPAALTLPRVQCRASRYPIAVDCSWTLPPAPNSTSPVSFIATYRLGMAARGHSWPCLQQTPTSTSCTITDVQLFSMAPYVLNVTAVHPWGSSSSFVPFITEHIIKPDPPEGVRLSPLAERQLQVQWEPPGSWPFPEIFSLKYWIRYKRQGAARFHRVGPIEATSFILRAVRPRARYYVQVAAQDLTDYGELSDWSLPATATMSLGK
+>DECOY_sp|Q14213|IL27B_HUMAN Interleukin-27 subunit beta OS=Homo sapiens OX=9606 GN=EBI3 PE=1 SV=2
+KGLSMTATAPLSWDSLEGYDTLDQAAVQVYYRARPRVARLIFSTAEIPGVRHFRAAGQRKYRIWYKLSFIEPFPWSGPPEWQVQLQREALPSLRVGEPPDPKIIHETIFPVFSSSSGWPHVATVNLVYPAMSFLQVDTITCSTSTPTQQLCPWSHGRAAMGLRYTAIFSVPSTSNPAPPLTWSCDVAIPYRSARCQVRPLTLAAPPGKRGSCPPCSAWLVLALLLQPTM
+>sp|P31785|IL2RG_HUMAN Cytokine receptor common subunit gamma OS=Homo sapiens OX=9606 GN=IL2RG PE=1 SV=1
+MLKPSLPFTSLLFLQLPLLGVGLNTTILTPNGNEDTTADFFLTTMPTDSLSVSTLPLPEVQCFVFNVEYMNCTWNSSSEPQPTNLTLHYWYKNSDNDKVQKCSHYLFSEEITSGCQLQKKEIHLYQTFVVQLQDPREPRRQATQMLKLQNLVIPWAPENLTLHKLSESQLELNWNNRFLNHCLEHLVQYRTDWDHSWTEQSVDYRHKFSLPSVDGQKRYTFRVRSRFNPLCGSAQHWSEWSHPIHWGSNTSKENPFLFALEAVVISVGSMGLIISLLCVYFWLERTMPRIPTLKNLEDLVTEYHGNFSAWSGVSKGLAESLQPDYSERLCLVSEIPPKGGALGEGPGASPCNQHSPYWAPPCYTLKPET
+>DECOY_sp|P31785|IL2RG_HUMAN Cytokine receptor common subunit gamma OS=Homo sapiens OX=9606 GN=IL2RG PE=1 SV=1
+TEPKLTYCPPAWYPSHQNCPSAGPGEGLAGGKPPIESVLCLRESYDPQLSEALGKSVGSWASFNGHYETVLDELNKLTPIRPMTRELWFYVCLLSIILGMSGVSIVVAELAFLFPNEKSTNSGWHIPHSWESWHQASGCLPNFRSRVRFTYRKQGDVSPLSFKHRYDVSQETWSHDWDTRYQVLHELCHNLFRNNWNLELQSESLKHLTLNEPAWPIVLNQLKLMQTAQRRPERPDQLQVVFTQYLHIEKKQLQCGSTIEESFLYHSCKQVKDNDSNKYWYHLTLNTPQPESSSNWTCNMYEVNFVFCQVEPLPLTSVSLSDTPMTTLFFDATTDENGNPTLITTNLGVGLLPLQLFLLSTFPLSPKLM
+>sp|P60568|IL2_HUMAN Interleukin-2 OS=Homo sapiens OX=9606 GN=IL2 PE=1 SV=1
+MYRMQLLSCIALSLALVTNSAPTSSSTKKTQLQLEHLLLDLQMILNGINNYKNPKLTRMLTFKFYMPKKATELKHLQCLEEELKPLEEVLNLAQSKNFHLRPRDLISNINVIVLELKGSETTFMCEYADETATIVEFLNRWITFCQSIISTLT
+>DECOY_sp|P60568|IL2_HUMAN Interleukin-2 OS=Homo sapiens OX=9606 GN=IL2 PE=1 SV=1
+TLTSIISQCFTIWRNLFEVITATEDAYECMFTTESGKLELVIVNINSILDRPRLHFNKSQALNLVEELPKLEEELCQLHKLETAKKPMYFKFTLMRTLKPNKYNNIGNLIMQLDLLLHELQLQTKKTSSSTPASNTVLALSLAICSLLQMRYM
+>sp|Q6EBC2|IL31_HUMAN Interleukin-31 OS=Homo sapiens OX=9606 GN=IL31 PE=2 SV=1
+MASHSGPSTSVLFLFCCLGGWLASHTLPVRLLRPSDDVQKIVEELQSLSKMLLKDVEEEKGVLVSQNYTLPCLSPDAQPPNNIHSPAIRAYLKTIRQLDNKSVIDEIIEHLDKLIFQDAPETNISVPTDTHECKRFILTISQQFSECMDLALKSLTSGAQQATT
+>DECOY_sp|Q6EBC2|IL31_HUMAN Interleukin-31 OS=Homo sapiens OX=9606 GN=IL31 PE=2 SV=1
+TTAQQAGSTLSKLALDMCESFQQSITLIFRKCEHTDTPVSINTEPADQFILKDLHEIIEDIVSKNDLQRITKLYARIAPSHINNPPQADPSLCPLTYNQSVLVGKEEEVDKLLMKSLSQLEEVIKQVDDSPRLLRVPLTHSALWGGLCCFLFLVSTSPGSHSAM
+>sp|Q9UHA7|IL36A_HUMAN Interleukin-36 alpha OS=Homo sapiens OX=9606 GN=IL36A PE=1 SV=1
+MEKALKIDTPQQGSIQDINHRVWVLQDQTLIAVPRKDRMSPVTIALISCRHVETLEKDRGNPIYLGLNGLNLCLMCAKVGDQPTLQLKEKDIMDLYNQPEPVKSFLFYHSQSGRNSTFESVAFPGWFIAVSSEGGCPLILTQELGKANTTDFGLTMLF
+>DECOY_sp|Q9UHA7|IL36A_HUMAN Interleukin-36 alpha OS=Homo sapiens OX=9606 GN=IL36A PE=1 SV=1
+FLMTLGFDTTNAKGLEQTLILPCGGESSVAIFWGPFAVSEFTSNRGSQSHYFLFSKVPEPQNYLDMIDKEKLQLTPQDGVKACMLCLNLGNLGLYIPNGRDKELTEVHRCSILAITVPSMRDKRPVAILTQDQLVWVRHNIDQISGQQPTDIKLAKEM
+>sp|P24394|IL4RA_HUMAN Interleukin-4 receptor subunit alpha OS=Homo sapiens OX=9606 GN=IL4R PE=1 SV=1
+MGWLCSGLLFPVSCLVLLQVASSGNMKVLQEPTCVSDYMSISTCEWKMNGPTNCSTELRLLYQLVFLLSEAHTCIPENNGGAGCVCHLLMDDVVSADNYTLDLWAGQQLLWKGSFKPSEHVKPRAPGNLTVHTNVSDTLLLTWSNPYPPDNYLYNHLTYAVNIWSENDPADFRIYNVTYLEPSLRIAASTLKSGISYRARVRAWAQCYNTTWSEWSPSTKWHNSYREPFEQHLLLGVSVSCIVILAVCLLCYVSITKIKKEWWDQIPNPARSRLVAIIIQDAQGSQWEKRSRGQEPAKCPHWKNCLTKLLPCFLEHNMKRDEDPHKAAKEMPFQGSGKSAWCPVEISKTVLWPESISVVRCVELFEAPVECEEEEEVEEEKGSFCASPESSRDDFQEGREGIVARLTESLFLDLLGEENGGFCQQDMGESCLLPPSGSTSAHMPWDEFPSAGPKEAPPWGKEQPLHLEPSPPASPTQSPDNLTCTETPLVIAGNPAYRSFSNSLSQSPCPRELGPDPLLARHLEEVEPEMPCVPQLSEPTTVPQPEPETWEQILRRNVLQHGAAAAPVSAPTSGYQEFVHAVEQGGTQASAVVGLGPPGEAGYKAFSSLLASSAVSPEKCGFGASSGEEGYKPFQDLIPGCPGDPAPVPVPLFTFGLDREPPRSPQSSHLPSSSPEHLGLEPGEKVEDMPKPPLPQEQATDPLVDSLGSGIVYSALTCHLCGHLKQCHGQEDGGQTPVMASPCCGCCCGDRSSPPTTPLRAPDPSPGGVPLEASLCPASLAPSGISEKSKSSSSFHPAPGNAQSSSQTPKIVNFVSVGPTYMRVS
+>DECOY_sp|P24394|IL4RA_HUMAN Interleukin-4 receptor subunit alpha OS=Homo sapiens OX=9606 GN=IL4R PE=1 SV=1
+SVRMYTPGVSVFNVIKPTQSSSQANGPAPHFSSSSKSKESIGSPALSAPCLSAELPVGGPSPDPARLPTTPPSSRDGCCCGCCPSAMVPTQGGDEQGHCQKLHGCLHCTLASYVIGSGLSDVLPDTAQEQPLPPKPMDEVKEGPELGLHEPSSSPLHSSQPSRPPERDLGFTFLPVPVPAPDGPCGPILDQFPKYGEEGSSAGFGCKEPSVASSALLSSFAKYGAEGPPGLGVVASAQTGGQEVAHVFEQYGSTPASVPAAAAGHQLVNRRLIQEWTEPEPQPVTTPESLQPVCPMEPEVEELHRALLPDPGLERPCPSQSLSNSFSRYAPNGAIVLPTETCTLNDPSQTPSAPPSPELHLPQEKGWPPAEKPGASPFEDWPMHASTSGSPPLLCSEGMDQQCFGGNEEGLLDLFLSETLRAVIGERGEQFDDRSSEPSACFSGKEEEVEEEEECEVPAEFLEVCRVVSISEPWLVTKSIEVPCWASKGSGQFPMEKAAKHPDEDRKMNHELFCPLLKTLCNKWHPCKAPEQGRSRKEWQSGQADQIIIAVLRSRAPNPIQDWWEKKIKTISVYCLLCVALIVICSVSVGLLLHQEFPERYSNHWKTSPSWESWTTNYCQAWARVRARYSIGSKLTSAAIRLSPELYTVNYIRFDAPDNESWINVAYTLHNYLYNDPPYPNSWTLLLTDSVNTHVTLNGPARPKVHESPKFSGKWLLQQGAWLDLTYNDASVVDDMLLHCVCGAGGNNEPICTHAESLLFVLQYLLRLETSCNTPGNMKWECTSISMYDSVCTPEQLVKMNGSSAVQLLVLCSVPFLLGSCLWGM
+>sp|P05112|IL4_HUMAN Interleukin-4 OS=Homo sapiens OX=9606 GN=IL4 PE=1 SV=1
+MGLTSQLLPPLFFLLACAGNFVHGHKCDITLQEIIKTLNSLTEQKTLCTELTVTDIFAASKNTTEKETFCRAATVLRQFYSHHEKDTRCLGATAQQFHRHKQLIRFLKRLDRNLWGLAGLNSCPVKEANQSTLENFLERLKTIMREKYSKCSS
+>DECOY_sp|P05112|IL4_HUMAN Interleukin-4 OS=Homo sapiens OX=9606 GN=IL4 PE=1 SV=1
+SSCKSYKERMITKLRELFNELTSQNAEKVPCSNLGALGWLNRDLRKLFRILQKHRHFQQATAGLCRTDKEHHSYFQRLVTAARCFTEKETTNKSAAFIDTVTLETCLTKQETLSNLTKIIEQLTIDCKHGHVFNGACALLFFLPPLLQSTLGM
+>sp|Q9HB29|ILRL2_HUMAN Interleukin-1 receptor-like 2 OS=Homo sapiens OX=9606 GN=IL1RL2 PE=1 SV=2
+MWSLLLCGLSIALPLSVTADGCKDIFMKNEILSASQPFAFNCTFPPITSGEVSVTWYKNSSKIPVSKIIQSRIHQDETWILFLPMEWGDSGVYQCVIKGRDSCHRIHVNLTVFEKHWCDTSIGGLPNLSDEYKQILHLGKDDSLTCHLHFPKSCVLGPIKWYKDCNEIKGERFTVLETRLLVSNVSAEDRGNYACQAILTHSGKQYEVLNGITVSITERAGYGGSVPKIIYPKNHSIEVQLGTTLIVDCNVTDTKDNTNLRCWRVNNTLVDDYYDESKRIREGVETHVSFREHNLYTVNITFLEVKMEDYGLPFMCHAGVSTAYIILQLPAPDFRAYLIGGLIALVAVAVSVVYIYNIFKIDIVLWYRSAFHSTETIVDGKLYDAYVLYPKPHKESQRHAVDALVLNILPEVLERQCGYKLFIFGRDEFPGQAVANVIDENVKLCRRLIVIVVPESLGFGLLKNLSEEQIAVYSALIQDGMKVILIELEKIEDYTVMPESIQYIKQKHGAIRWHGDFTEQSQCMKTKFWKTVRYHMPPRRCRPFPPVQLLQHTPCYRTAGPELGSRRKKCTLTTG
+>DECOY_sp|Q9HB29|ILRL2_HUMAN Interleukin-1 receptor-like 2 OS=Homo sapiens OX=9606 GN=IL1RL2 PE=1 SV=2
+GTTLTCKKRRSGLEPGATRYCPTHQLLQVPPFPRCRRPPMHYRVTKWFKTKMCQSQETFDGHWRIAGHKQKIYQISEPMVTYDEIKELEILIVKMGDQILASYVAIQEESLNKLLGFGLSEPVVIVILRRCLKVNEDIVNAVAQGPFEDRGFIFLKYGCQRELVEPLINLVLADVAHRQSEKHPKPYLVYADYLKGDVITETSHFASRYWLVIDIKFINYIYVVSVAVAVLAILGGILYARFDPAPLQLIIYATSVGAHCMFPLGYDEMKVELFTINVTYLNHERFSVHTEVGERIRKSEDYYDDVLTNNVRWCRLNTNDKTDTVNCDVILTTGLQVEISHNKPYIIKPVSGGYGARETISVTIGNLVEYQKGSHTLIAQCAYNGRDEASVNSVLLRTELVTFREGKIENCDKYWKIPGLVCSKPFHLHCTLSDDKGLHLIQKYEDSLNPLGGISTDCWHKEFVTLNVHIRHCSDRGKIVCQYVGSDGWEMPLFLIWTEDQHIRSQIIKSVPIKSSNKYWTVSVEGSTIPPFTCNFAFPQSASLIENKMFIDKCGDATVSLPLAISLGCLLLSWM
+>sp|P12268|IMDH2_HUMAN Inosine-5'-monophosphate dehydrogenase 2 OS=Homo sapiens OX=9606 GN=IMPDH2 PE=1 SV=2
+MADYLISGGTSYVPDDGLTAQQLFNCGDGLTYNDFLILPGYIDFTADQVDLTSALTKKITLKTPLVSSPMDTVTEAGMAIAMALTGGIGFIHHNCTPEFQANEVRKVKKYEQGFITDPVVLSPKDRVRDVFEAKARHGFCGIPITDTGRMGSRLVGIISSRDIDFLKEEEHDCFLEEIMTKREDLVVAPAGITLKEANEILQRSKKGKLPIVNEDDELVAIIARTDLKKNRDYPLASKDAKKQLLCGAAIGTHEDDKYRLDLLAQAGVDVVVLDSSQGNSIFQINMIKYIKDKYPNLQVIGGNVVTAAQAKNLIDAGVDALRVGMGSGSICITQEVLACGRPQATAVYKVSEYARRFGVPVIADGGIQNVGHIAKALALGASTVMMGSLLAATTEAPGEYFFSDGIRLKKYRGMGSLDAMDKHLSSQNRYFSEADKIKVAQGVSGAVQDKGSIHKFVPYLIAGIQHSCQDIGAKSLTQVRAMMYSGELKFEKRTSSAQVEGGVHSLHSYEKRLF
+>DECOY_sp|P12268|IMDH2_HUMAN Inosine-5'-monophosphate dehydrogenase 2 OS=Homo sapiens OX=9606 GN=IMPDH2 PE=1 SV=2
+FLRKEYSHLSHVGGEVQASSTRKEFKLEGSYMMARVQTLSKAGIDQCSHQIGAILYPVFKHISGKDQVAGSVGQAVKIKDAESFYRNQSSLHKDMADLSGMGRYKKLRIGDSFFYEGPAETTAALLSGMMVTSAGLALAKAIHGVNQIGGDAIVPVGFRRAYESVKYVATAQPRGCALVEQTICISGSGMGVRLADVGADILNKAQAATVVNGGIVQLNPYKDKIYKIMNIQFISNGQSSDLVVVDVGAQALLDLRYKDDEHTGIAAGCLLQKKADKSALPYDRNKKLDTRAIIAVLEDDENVIPLKGKKSRQLIENAEKLTIGAPAVVLDERKTMIEELFCDHEEEKLFDIDRSSIIGVLRSGMRGTDTIPIGCFGHRAKAEFVDRVRDKPSLVVPDTIFGQEYKKVKRVENAQFEPTCNHHIFGIGGTLAMAIAMGAETVTDMPSSVLPTKLTIKKTLASTLDVQDATFDIYGPLILFDNYTLGDGCNFLQQATLGDDPVYSTGGSILYDAM
+>sp|Q9GZP8|IMUP_HUMAN Immortalization up-regulated protein OS=Homo sapiens OX=9606 GN=IMUP PE=1 SV=1
+MEFDLGAALEPTSQKPGVGAGHGGDPKLSPHKVQGRSEAGAGPGPKQGHHSSSDSSSSSSDSDTDVKSHAAGSKQHESIPGKAKKPKVKKKEKGKKEKGKKKEAPH
+>DECOY_sp|Q9GZP8|IMUP_HUMAN Immortalization up-regulated protein OS=Homo sapiens OX=9606 GN=IMUP PE=1 SV=1
+HPAEKKKGKEKKGKEKKKVKPKKAKGPISEHQKSGAAHSKVDTDSDSSSSSSDSSSHHGQKPGPGAGAESRGQVKHPSLKPDGGHGAGVGPKQSTPELAAGLDFEM
+>sp|P80217|IN35_HUMAN Interferon-induced 35 kDa protein OS=Homo sapiens OX=9606 GN=IFI35 PE=1 SV=5
+MSAPLDAALHALQEEQARLKMRLWDLQQLRKELGDSPKDKVPFSVPKIPLVFRGHTQQDPEVPKSLVSNLRIHCPLLAGSALITFDDPKVAEQVLQQKEHTINMEECRLRVQVQPLELPMVTTIQMSSQLSGRRVLVTGFPASLRLSEEELLDKLEIFFGKTRNGGGDVDVRELLPGSVMLGFARDGVAQRLCQIGQFTVPLGGQQVPLRVSPYVNGEIQKAEIRSQPVPRSVLVLNIPDILDGPELHDVLEIHFQKPTRGGGEVEALTVVPQGQQGLAVFTSESG
+>DECOY_sp|P80217|IN35_HUMAN Interferon-induced 35 kDa protein OS=Homo sapiens OX=9606 GN=IFI35 PE=1 SV=5
+GSESTFVALGQQGQPVVTLAEVEGGGRTPKQFHIELVDHLEPGDLIDPINLVLVSRPVPQSRIEAKQIEGNVYPSVRLPVQQGGLPVTFQGIQCLRQAVGDRAFGLMVSGPLLERVDVDGGGNRTKGFFIELKDLLEEESLRLSAPFGTVLVRRGSLQSSMQITTVMPLELPQVQVRLRCEEMNITHEKQQLVQEAVKPDDFTILASGALLPCHIRLNSVLSKPVEPDQQTHGRFVLPIKPVSFPVKDKPSDGLEKRLQQLDWLRMKLRAQEEQLAHLAADLPASM
+>sp|Q6PI98|IN80C_HUMAN INO80 complex subunit C OS=Homo sapiens OX=9606 GN=INO80C PE=1 SV=1
+MAAQIPIVATTSTPGIVRNSKKRPASPSHNGSSGGGYGASKKKKASASSFAQGISMEAMSENKMVPSEFSTGPVEKAAKPLPFKDPNFVHSGHGGAVAGKKNRTWKNLKQILASERALPWQLNDPNYFSIDAPPSFKPAKKYSDVSGLLANYTDPQSKLRFSTIEEFSYIRRLPSDVVTGYLALRKATSIVP
+>DECOY_sp|Q6PI98|IN80C_HUMAN INO80 complex subunit C OS=Homo sapiens OX=9606 GN=INO80C PE=1 SV=1
+PVISTAKRLALYGTVVDSPLRRIYSFEEITSFRLKSQPDTYNALLGSVDSYKKAPKFSPPADISFYNPDNLQWPLARESALIQKLNKWTRNKKGAVAGGHGSHVFNPDKFPLPKAAKEVPGTSFESPVMKNESMAEMSIGQAFSSASAKKKKSAGYGGGSSGNHSPSAPRKKSNRVIGPTSTTAVIPIQAAM
+>sp|Q0VD86|INCA1_HUMAN Protein INCA1 OS=Homo sapiens OX=9606 GN=INCA1 PE=1 SV=1
+MQVQDDGVNLIPFAKCSRVVSRSPPPRLPSQSLRPMPQRYGDVFWKNLNQRPTPTWLEEQHIPPMLRATGCSQLGLYPPEQLPPPEMLWRRKKRRPCLEGMQQQGLGGVPARVRAVTYHLEDLRRRQSIINELKKAQWGSSGAASEPVVLGEEGCGFPSTNEYPDLEEERATYPQEEDRFLTPGRAQLLWSPWSPLDQEEACASRQLHSLASFSTVTARRNPLHNPWGMELAASEE
+>DECOY_sp|Q0VD86|INCA1_HUMAN Protein INCA1 OS=Homo sapiens OX=9606 GN=INCA1 PE=1 SV=1
+EESAALEMGWPNHLPNRRATVTSFSALSHLQRSACAEEQDLPSWPSWLLQARGPTLFRDEEQPYTAREEELDPYENTSPFGCGEEGLVVPESAAGSSGWQAKKLENIISQRRRLDELHYTVARVRAPVGGLGQQQMGELCPRRKKRRWLMEPPPLQEPPYLGLQSCGTARLMPPIHQEELWTPTPRQNLNKWFVDGYRQPMPRLSQSPLRPPPSRSVVRSCKAFPILNVGDDQVQM
+>sp|Q8WYH8|ING5_HUMAN Inhibitor of growth protein 5 OS=Homo sapiens OX=9606 GN=ING5 PE=1 SV=1
+MATAMYLEHYLDSIENLPCELQRNFQLMRELDQRTEDKKAEIDILAAEYISTVKTLSPDQRVERLQKIQNAYSKCKEYSDDKVQLAMQTYEMVDKHIRRLDADLARFEADLKDKMEGSDFESSGGRGLKKGRGQKEKRGSRGRGRRTSEEDTPKKKKHKGGSEFTDTILSVHPSDVLDMPVDPNEPTYCLCHQVSYGEMIGCDNPDCPIEWFHFACVDLTTKPKGKWFCPRCVQEKRKKK
+>DECOY_sp|Q8WYH8|ING5_HUMAN Inhibitor of growth protein 5 OS=Homo sapiens OX=9606 GN=ING5 PE=1 SV=1
+KKKRKEQVCRPCFWKGKPKTTLDVCAFHFWEIPCDPNDCGIMEGYSVQHCLCYTPENPDVPMDLVDSPHVSLITDTFESGGKHKKKKPTDEESTRRGRGRSGRKEKQGRGKKLGRGGSSEFDSGEMKDKLDAEFRALDADLRRIHKDVMEYTQMALQVKDDSYEKCKSYANQIKQLREVRQDPSLTKVTSIYEAALIDIEAKKDETRQDLERMLQFNRQLECPLNEISDLYHELYMATAM
+>sp|P38484|INGR2_HUMAN Interferon gamma receptor 2 OS=Homo sapiens OX=9606 GN=IFNGR2 PE=1 SV=2
+MRPTLLWSLLLLLGVFAAAAAAPPDPLSQLPAPQHPKIRLYNAEQVLSWEPVALSNSTRPVVYQVQFKYTDSKWFTADIMSIGVNCTQITATECDFTAASPSAGFPMDFNVTLRLRAELGALHSAWVTMPWFQHYRNVTVGPPENIEVTPGEGSLIIRFSSPFDIADTSTAFFCYYVHYWEKGGIQQVKGPFRSNSISLDNLKPSRVYCLQVQAQLLWNKSNIFRVGHLSNISCYETMADASTELQQVILISVGTFSLLSVLAGACFFLVLKYRGLIKYWFHTPPSIPLQIEEYLKDPTQPILEALDKDSSPKDDVWDSVSIISFPEKEQEDVLQTL
+>DECOY_sp|P38484|INGR2_HUMAN Interferon gamma receptor 2 OS=Homo sapiens OX=9606 GN=IFNGR2 PE=1 SV=2
+LTQLVDEQEKEPFSIISVSDWVDDKPSSDKDLAELIPQTPDKLYEEIQLPISPPTHFWYKILGRYKLVLFFCAGALVSLLSFTGVSILIVQQLETSADAMTEYCSINSLHGVRFINSKNWLLQAQVQLCYVRSPKLNDLSISNSRFPGKVQQIGGKEWYHVYYCFFATSTDAIDFPSSFRIILSGEGPTVEINEPPGVTVNRYHQFWPMTVWASHLAGLEARLRLTVNFDMPFGASPSAATFDCETATIQTCNVGISMIDATFWKSDTYKFQVQYVVPRTSNSLAVPEWSLVQEANYLRIKPHQPAPLQSLPDPPAAAAAAFVGLLLLLSWLLTPRM
+>sp|P05111|INHA_HUMAN Inhibin alpha chain OS=Homo sapiens OX=9606 GN=INHA PE=1 SV=1
+MVLHLLLFLLLTPQGGHSCQGLELARELVLAKVRALFLDALGPPAVTREGGDPGVRRLPRRHALGGFTHRGSEPEEEEDVSQAILFPATDASCEDKSAARGLAQEAEEGLFRYMFRPSQHTRSRQVTSAQLWFHTGLDRQGTAASNSSEPLLGLLALSPGGPVAVPMSLGHAPPHWAVLHLATSALSLLTHPVLVLLLRCPLCTCSARPEATPFLVAHTRTRPPSGGERARRSTPLMSWPWSPSALRLLQRPPEEPAAHANCHRVALNISFQELGWERWIVYPPSFIFHYCHGGCGLHIPPNLSLPVPGAPPTPAQPYSLLPGAQPCCAALPGTMRPLHVRTTSDGGYSFKYETVPNLLTQHCACI
+>DECOY_sp|P05111|INHA_HUMAN Inhibin alpha chain OS=Homo sapiens OX=9606 GN=INHA PE=1 SV=1
+ICACHQTLLNPVTEYKFSYGGDSTTRVHLPRMTGPLAACCPQAGPLLSYPQAPTPPAGPVPLSLNPPIHLGCGGHCYHFIFSPPYVIWREWGLEQFSINLAVRHCNAHAAPEEPPRQLLRLASPSWPWSMLPTSRRAREGGSPPRTRTHAVLFPTAEPRASCTCLPCRLLLVLVPHTLLSLASTALHLVAWHPPAHGLSMPVAVPGGPSLALLGLLPESSNSAATGQRDLGTHFWLQASTVQRSRTHQSPRFMYRFLGEEAEQALGRAASKDECSADTAPFLIAQSVDEEEEPESGRHTFGGLAHRRPLRRVGPDGGERTVAPPGLADLFLARVKALVLERALELGQCSHGGQPTLLLFLLLHLVM
+>sp|P55103|INHBC_HUMAN Inhibin beta C chain OS=Homo sapiens OX=9606 GN=INHBC PE=2 SV=1
+MTSSLLLAFLLLAPTTVATPRAGGQCPACGGPTLELESQRELLLDLAKRSILDKLHLTQRPTLNRPVSRAALRTALQHLHGVPQGALLEDNREQECEIISFAETGLSTINQTRLDFHFSSDRTAGDREVQQASLMFFVQLPSNTTWTLKVRVLVLGPHNTNLTLATQYLLEVDASGWHQLPLGPEAQAACSQGHLTLELVLEGQVAQSSVILGGAAHRPFVAARVRVGGKHQIHRRGIDCQGGSRMCCRQEFFVDFREIGWHDWIIQPEGYAMNFCIGQCPLHIAGMPGIAASFHTAVLNLLKANTAAGTTGGGSCCVPTARRPLSLLYYDRDSNIVKTDIPDMVVEACGCS
+>DECOY_sp|P55103|INHBC_HUMAN Inhibin beta C chain OS=Homo sapiens OX=9606 GN=INHBC PE=2 SV=1
+SCGCAEVVMDPIDTKVINSDRDYYLLSLPRRATPVCCSGGGTTGAATNAKLLNLVATHFSAAIGPMGAIHLPCQGICFNMAYGEPQIIWDHWGIERFDVFFEQRCCMRSGGQCDIGRRHIQHKGGVRVRAAVFPRHAAGGLIVSSQAVQGELVLELTLHGQSCAAQAEPGLPLQHWGSADVELLYQTALTLNTNHPGLVLVRVKLTWTTNSPLQVFFMLSAQQVERDGATRDSSFHFDLRTQNITSLGTEAFSIIECEQERNDELLAGQPVGHLHQLATRLAARSVPRNLTPRQTLHLKDLISRKALDLLLERQSELELTPGGCAPCQGGARPTAVTTPALLLFALLLSSTM
+>sp|Q96PE3|INP4A_HUMAN Type I inositol 3,4-bisphosphate 4-phosphatase OS=Homo sapiens OX=9606 GN=INPP4A PE=1 SV=1
+MTAREHSPRHGARARAMQRASTIDVAADMLGLSLAGNIQDPDEPILEFSLACSELHTPSLDRKPNSFVAVSVTTPPQAFWTKHAQTEIIEGTNNPIFLSSIAFFQDSLINQMTQVKLSVYDVKDRSQGTMYLLGSGTFIVKDLLQDRHHRLHLTLRSAESDRVGNITVIGWQMEEKSDQRPPVTRSVDTVNGRMVLPVDESLTEALGIRSKYASLRKDTLLKSVFGGAICRMYRFPTTDGNHLRILEQMAESVLSLHVPRQFVKLLLEEDAARVCELEELGELSPCWESLRRQIVTQYQTIILTYQENLTDLHQYRGPSFKASSLKADKKLEFVPTNLHIQRMRVQDDGGSDQNYDIVTIGAPAAHCQGFKSGGLRKKLHKFEETKKHFEECCTSSGCQSIIYIPQDVVRAKEIIAQINTLKTQVSYYAERLSRAAKDRSATGLERTLAILADKTRQLVTVCDCKLLANSIHGLNAARPDYIASKASPTSTEEEQVMLRNDQDTLMARWTGRNSRSSLQVDWHEEEWEKVWLNVDKSLECIIQRVDKLLQKERLHGEGCEDVFPCAGSCTSKKGNPDSHAYWIRPEDPFCDVPSSPCPSTMPSTACHPHLTTHCSPPPEESSPGEWSEALYPLLTTLTDCVAMMSDKAKKAMVFLLMQDSAPTIATYLSLQYRRDVVFCQTLTALICGFIIKLRNCLHDDGFLRQLYTIGLLAQFESLLSTYGEELAMLEDMSLGIMDLRNVTFKVTQATSSASADMLPVITGNRDGFNVRVPLPGPLFDALPREIQSGMLLRVQPVLFNVGINEQQTLAERFGDTSLQEVINVESLVRLNSYFEQFKEVLPEDCLPRSRSQTCLPELLRFLGQNVHARKNKNVDILWQAAEICRRLNGVRFTSCKSAKDRTAMSVTLEQCLILQHEHGMAPQVFTQALECMRSEGCRRENTMKNVGSRKYAFNSLQLKAFPKHYRPPEGTYGKVET
+>DECOY_sp|Q96PE3|INP4A_HUMAN Type I inositol 3,4-bisphosphate 4-phosphatase OS=Homo sapiens OX=9606 GN=INPP4A PE=1 SV=1
+TEVKGYTGEPPRYHKPFAKLQLSNFAYKRSGVNKMTNERRCGESRMCELAQTFVQPAMGHEHQLILCQELTVSMATRDKASKCSTFRVGNLRRCIEAAQWLIDVNKNKRAHVNQGLFRLLEPLCTQSRSRPLCDEPLVEKFQEFYSNLRVLSEVNIVEQLSTDGFREALTQQENIGVNFLVPQVRLLMGSQIERPLADFLPGPLPVRVNFGDRNGTIVPLMDASASSTAQTVKFTVNRLDMIGLSMDELMALEEGYTSLLSEFQALLGITYLQRLFGDDHLCNRLKIIFGCILATLTQCFVVDRRYQLSLYTAITPASDQMLLFVMAKKAKDSMMAVCDTLTTLLPYLAESWEGPSSEEPPPSCHTTLHPHCATSPMTSPCPSSPVDCFPDEPRIWYAHSDPNGKKSTCSGACPFVDECGEGHLREKQLLKDVRQIICELSKDVNLWVKEWEEEHWDVQLSSRSNRGTWRAMLTDQDNRLMVQEEETSTPSAKSAIYDPRAANLGHISNALLKCDCVTVLQRTKDALIALTRELGTASRDKAARSLREAYYSVQTKLTNIQAIIEKARVVDQPIYIISQCGSSTCCEEFHKKTEEFKHLKKRLGGSKFGQCHAAPAGITVIDYNQDSGGDDQVRMRQIHLNTPVFELKKDAKLSSAKFSPGRYQHLDTLNEQYTLIITQYQTVIQRRLSEWCPSLEGLEELECVRAADEELLLKVFQRPVHLSLVSEAMQELIRLHNGDTTPFRYMRCIAGGFVSKLLTDKRLSAYKSRIGLAETLSEDVPLVMRGNVTDVSRTVPPRQDSKEEMQWGIVTINGVRDSEASRLTLHLRHHRDQLLDKVIFTGSGLLYMTGQSRDKVDYVSLKVQTMQNILSDQFFAISSLFIPNNTGEIIETQAHKTWFAQPPTTVSVAVFSNPKRDLSPTHLESCALSFELIPEDPDQINGALSLGLMDAAVDITSARQMARARAGHRPSHERATM
+>sp|Q9NRR6|INP5E_HUMAN 72 kDa inositol polyphosphate 5-phosphatase OS=Homo sapiens OX=9606 GN=INPP5E PE=1 SV=2
+MPSKAENLRPSEPAPQPPEGRTLQGQLPGAPPAQRAGSPPDAPGSESPALACSTPATPSGEDPPARAAPIAPRPPARPRLERALSLDDKGWRRRRFRGSQEDLEARNGTSPSRGSVQSEGPGAPAHSCSPPCLSTSLQEIPKSRGVLSSERGSPSSGGNPLSGVASSSPNLPHRDAAVAGSSPRLPSLLPPRPPPALSLDIASDSLRTANKVDSDLADYKLRAQPLLVRAHSSLGPGRPRSPLACDDCSLRSAKSSFSLLAPIRSKDVRSRSYLEGSLLASGALLGADELARYFPDRNVALFVATWNMQGQKELPPSLDEFLLPAEADYAQDLYVIGVQEGCSDRREWETRLQETLGPHYVLLSSAAHGVLYMSLFIRRDLIWFCSEVECSTVTTRIVSQIKTKGALGISFTFFGTSFLFITSHFTSGDGKVAERLLDYTRTVQALVLPRNVPDTNPYRSSAADVTTRFDEVFWFGDFNFRLSGGRTVVDALLCQGLVVDVPALLQHDQLIREMRKGSIFKGFQEPDIHFLPSYKFDIGKDTYDSTSKQRTPSYTDRVLYRSRHKGDICPVSYSSCPGIKTSDHRPVYGLFRVKVRPGRDNIPLAAGKFDRELYLLGIKRRISKEIQRQQALQSQNSSTICSVS
+>DECOY_sp|Q9NRR6|INP5E_HUMAN 72 kDa inositol polyphosphate 5-phosphatase OS=Homo sapiens OX=9606 GN=INPP5E PE=1 SV=2
+SVSCITSSNQSQLAQQRQIEKSIRRKIGLLYLERDFKGAALPINDRGPRVKVRFLGYVPRHDSTKIGPCSSYSVPCIDGKHRSRYLVRDTYSPTRQKSTSDYTDKGIDFKYSPLFHIDPEQFGKFISGKRMERILQDHQLLAPVDVVLGQCLLADVVTRGGSLRFNFDGFWFVEDFRTTVDAASSRYPNTDPVNRPLVLAQVTRTYDLLREAVKGDGSTFHSTIFLFSTGFFTFSIGLAGKTKIQSVIRTTVTSCEVESCFWILDRRIFLSMYLVGHAASSLLVYHPGLTEQLRTEWERRDSCGEQVGIVYLDQAYDAEAPLLFEDLSPPLEKQGQMNWTAVFLAVNRDPFYRALEDAGLLAGSALLSGELYSRSRVDKSRIPALLSFSSKASRLSCDDCALPSRPRGPGLSSHARVLLPQARLKYDALDSDVKNATRLSDSAIDLSLAPPPRPPLLSPLRPSSGAVAADRHPLNPSSSAVGSLPNGGSSPSGRESSLVGRSKPIEQLSTSLCPPSCSHAPAGPGESQVSGRSPSTGNRAELDEQSGRFRRRRWGKDDLSLARELRPRAPPRPAIPAARAPPDEGSPTAPTSCALAPSESGPADPPSGARQAPPAGPLQGQLTRGEPPQPAPESPRLNEAKSPM
+>sp|Q9BT40|INP5K_HUMAN Inositol polyphosphate 5-phosphatase K OS=Homo sapiens OX=9606 GN=INPP5K PE=1 SV=3
+MSSRKLSGPKGRRLSIHVVTWNVASAAPPLDLSDLLQLNNRNLNLDIYVIGLQELNSGIISLLSDAAFNDSWSSFLMDVLSPLSFIKVSHVRMQGILLLVFAKYQHLPYIQILSTKSTPTGLFGYWGNKGGVNICLKLYGYYVSIINCHLPPHISNNYQRLEHFDRILEMQNCEGRDIPNILDHDLIIWFGDMNFRIEDFGLHFVRESIKNRCYGGLWEKDQLSIAKKHDPLLREFQEGRLLFPPTYKFDRNSNDYDTSEKKRKPAWTDRILWRLKRQPCAGPDTPIPPASHFSLSLRGYSSHMTYGISDHKPVSGTFDLELKPLVSAPLIVLMPEDLWTVENDMMVSYSSTSDFPSSPWDWIGLYKVGLRDVNDYVSYAWVGDSKVSCSDNLNQVYIDISNIPTTEDEFLLCYYSNSLRSVVGISRPFQIPPGSLREDPLGEAQPQI
+>DECOY_sp|Q9BT40|INP5K_HUMAN Inositol polyphosphate 5-phosphatase K OS=Homo sapiens OX=9606 GN=INPP5K PE=1 SV=3
+IQPQAEGLPDERLSGPPIQFPRSIGVVSRLSNSYYCLLFEDETTPINSIDIYVQNLNDSCSVKSDGVWAYSVYDNVDRLGVKYLGIWDWPSSPFDSTSSYSVMMDNEVTWLDEPMLVILPASVLPKLELDFTGSVPKHDSIGYTMHSSYGRLSLSFHSAPPIPTDPGACPQRKLRWLIRDTWAPKRKKESTDYDNSNRDFKYTPPFLLRGEQFERLLPDHKKAISLQDKEWLGGYCRNKISERVFHLGFDEIRFNMDGFWIILDHDLINPIDRGECNQMELIRDFHELRQYNNSIHPPLHCNIISVYYGYLKLCINVGGKNGWYGFLGTPTSKTSLIQIYPLHQYKAFVLLLIGQMRVHSVKIFSLPSLVDMLFSSWSDNFAADSLLSIIGSNLEQLGIVYIDLNLNRNNLQLLDSLDLPPAASAVNWTVVHISLRRGKPGSLKRSSM
+>sp|P49441|INPP_HUMAN Inositol polyphosphate 1-phosphatase OS=Homo sapiens OX=9606 GN=INPP1 PE=1 SV=1
+MSDILRELLCVSEKAANIARACRQQEALFQLLIEEKKEGEKNKKFAVDFKTLADVLVQEVIKQNMENKFPGLEKNIFGEESNEFTNDWGEKITLRLCSTEEETAELLSKVLNGNKVASEALARVVHQDVAFTDPTLDSTEINVPQDILGIWVDPIDSTYQYIKGSADIKSNQGIFPCGLQCVTILIGVYDIQTGVPLMGVINQPFVSRDPNTLRWKGQCYWGLSYMGTNMHSLQLTISRRNGSETHTGNTGSEAAFSPSFSAVISTSEKETIKAALSRVCGDRIFGAAGAGYKSLCVVQGLVDIYIFSEDTTFKWDSCAAHAILRAMGGGIVDLKECLERNPETGLDLPQLVYHVENEGAAGVDRWANKGGLIAYRSRKRLETFLSLLVQNLAPAETHT
+>DECOY_sp|P49441|INPP_HUMAN Inositol polyphosphate 1-phosphatase OS=Homo sapiens OX=9606 GN=INPP1 PE=1 SV=1
+THTEAPALNQVLLSLFTELRKRSRYAILGGKNAWRDVGAAGENEVHYVLQPLDLGTEPNRELCEKLDVIGGGMARLIAHAACSDWKFTTDESFIYIDVLGQVVCLSKYGAGAAGFIRDGCVRSLAAKITEKESTSIVASFSPSFAAESGTNGTHTESGNRRSITLQLSHMNTGMYSLGWYCQGKWRLTNPDRSVFPQNIVGMLPVGTQIDYVGILITVCQLGCPFIGQNSKIDASGKIYQYTSDIPDVWIGLIDQPVNIETSDLTPDTFAVDQHVVRALAESAVKNGNLVKSLLEATEEETSCLRLTIKEGWDNTFENSEEGFINKELGPFKNEMNQKIVEQVLVDALTKFDVAFKKNKEGEKKEEILLQFLAEQQRCARAINAAKESVCLLERLIDSM
+>sp|Q9Y5U4|INSI2_HUMAN Insulin-induced gene 2 protein OS=Homo sapiens OX=9606 GN=INSIG2 PE=1 SV=2
+MAEGETESPGPKKCGPYISSVTSQSVNLMIRGVVLFFIGVFLALVLNLLQIQRNVTLFPPDVIASIFSSAWWVPPCCGTASAVIGLLYPCIDRHLGEPHKFKREWSSVMRCVAVFVGINHASAKVDFDNNIQLSLTLAALSIGLWWTFDRSRSGFGLGVGIAFLATVVTQLLVYNGVYQYTSPDFLYVRSWLPCIFFAGGITMGNIGRQLAMYECKVIAEKSHQE
+>DECOY_sp|Q9Y5U4|INSI2_HUMAN Insulin-induced gene 2 protein OS=Homo sapiens OX=9606 GN=INSIG2 PE=1 SV=2
+EQHSKEAIVKCEYMALQRGINGMTIGGAFFICPLWSRVYLFDPSTYQYVGNYVLLQTVVTALFAIGVGLGFGSRSRDFTWWLGISLAALTLSLQINNDFDVKASAHNIGVFVAVCRMVSSWERKFKHPEGLHRDICPYLLGIVASATGCCPPVWWASSFISAIVDPPFLTVNRQIQLLNLVLALFVGIFFLVVGRIMLNVSQSTVSSIYPGCKKPGPSETEGEAM
+>sp|A8MYZ5|IQCF6_HUMAN IQ domain-containing protein F6 OS=Homo sapiens OX=9606 GN=IQCF6 PE=4 SV=3
+MVRRTLLQAALRAWVIQCWWRSMQAKMLEQRRRLALRLYTCQEWAVVKVQAQVRMWQARRRFLQARQAACIIQSHWRWHASQTRGLIRGHYEVRASRLELDIEILMT
+>DECOY_sp|A8MYZ5|IQCF6_HUMAN IQ domain-containing protein F6 OS=Homo sapiens OX=9606 GN=IQCF6 PE=4 SV=3
+TMLIEIDLELRSARVEYHGRILGRTQSAHWRWHSQIICAAQRAQLFRRRAQWMRVQAQVKVVAWEQCTYLRLALRRRQELMKAQMSRWWCQIVWARLAAQLLTRRVM
+>sp|Q86VI3|IQGA3_HUMAN Ras GTPase-activating-like protein IQGAP3 OS=Homo sapiens OX=9606 GN=IQGAP3 PE=1 SV=2
+MERRAAGPGWAAYERLTAEEMDEQRRQNVAYQYLCRLEEAKRWMEACLKEELPSPVELEESLRNGVLLAKLGHCFAPSVVPLKKIYDVEQLRYQATGLHFRHTDNINFWLSAIAHIGLPSTFFPETTDIYDKKNMPRVVYCIHALSLFLFRLGLAPQIHDLYGKVKFTAEELSNMASELAKYGLQLPAFSKIGGILANELSVDEAAVHAAVLAINEAVERGVVEDTLAALQNPSALLENLREPLAAVYQEMLAQAKMEKAANARNHDDRESQDIYDHYLTQAEIQGNINHVNVHGALEVVDDALERQSPEALLKALQDPALALRGVRRDFADWYLEQLNSDREQKAQELGLVELLEKEEVQAGVAAANTKGDQEQAMLHAVQRINKAIRRRVAADTVKELMCPEAQLPPVYPVASSMYQLELAVLQQQQGELGQEELFVAVEMLSAVVLINRALEARDASGFWSSLVNPATGLAEVEGENAQRYFDALLKLRQERGMGEDFLSWNDLQATVSQVNAQTQEETDRVLAVSLINEALDKGSPEKTLSALLLPAAGLDDVSLPVAPRYHLLLVAAKRQKAQVTGDPGAVLWLEEIRQGVVRANQDTNTAQRMALGVAAINQAIKEGKAAQTERVLRNPAVALRGVVPDCANGYQRALESAMAKKQRPADTAFWVQHDMKDGTAYYFHLQTFQGIWEQPPGCPLNTSHLTREEIQSAVTKVTAAYDRQQLWKANVGFVIQLQARLRGFLVRQKFAEHSHFLRTWLPAVIKIQAHWRGYRQRKIYLEWLQYFKANLDAIIKIQAWARMWAARRQYLRRLHYFQKNVNSIVKIQAFFRARKAQDDYRILVHAPHPPLSVVRRFAHLLNQSQQDFLAEAELLKLQEEVVRKIRSNQQLEQDLNIMDIKIGLLVKNRITLQEVVSHCKKLTKRNKEQLSDMMVLDKQKGLKSLSKEKRQKLEAYQHLFYLLQTQPIYLAKLIFQMPQNKTTKFMEAVIFSLYNYASSRREAYLLLQLFKTALQEEIKSKVEQPQDVVTGNPTVVRLVVRFYRNGRGQSALQEILGKVIQDVLEDKVLSVHTDPVHLYKNWINQTEAQTGQRSHLPYDVTPEQALSHPEVQRRLDIALRNLLAMTDKFLLAITSSVDQIPYGMRYVAKVLKATLAEKFPDATDSEVYKVVGNLLYYRFLNPAVVAPDAFDIVAMAAGGALAAPQRHALGAVAQLLQHAAAGKAFSGQSQHLRVLNDYLEETHLKFRKFIHRACQVPEPEERFAVDEYSDMVAVAKPMVYITVGELVNTHRLLLEHQDCIAPDHQDPLHELLEDLGELPTIPDLIGESIAADGHTDLSKLEVSLTLTNKFEGLEADADDSNTRSLLLSTKQLLADIIQFHPGDTLKEILSLSASREQEAAHKQLMSRRQACTAQTPEPLRRHRSLTAHSLLPLAEKQRRVLRNLRRLEALGLVSARNGYQGLVDELAKDIRNQHRHRHRRKAELVKLQATLQGLSTKTTFYEEQGDYYSQYIRACLDHLAPDSKSSGKGKKQPSLHYTAAQLLEKGVLVEIEDLPASHFRNVIFDITPGDEAGKFEVNAKFLGVDMERFQLHYQDLLQLQYEGVAVMKLFNKAKVNVNLLIFLLNKKFLRK
+>DECOY_sp|Q86VI3|IQGA3_HUMAN Ras GTPase-activating-like protein IQGAP3 OS=Homo sapiens OX=9606 GN=IQGAP3 PE=1 SV=2
+KRLFKKNLLFILLNVNVKAKNFLKMVAVGEYQLQLLDQYHLQFREMDVGLFKANVEFKGAEDGPTIDFIVNRFHSAPLDEIEVLVGKELLQAATYHLSPQKKGKGSSKSDPALHDLCARIYQSYYDGQEEYFTTKTSLGQLTAQLKVLEAKRRHRHRHQNRIDKALEDVLGQYGNRASVLGLAELRRLNRLVRRQKEALPLLSHATLSRHRRLPEPTQATCAQRRSMLQKHAAEQERSASLSLIEKLTDGPHFQIIDALLQKTSLLLSRTNSDDADAELGEFKNTLTLSVELKSLDTHGDAAISEGILDPITPLEGLDELLEHLPDQHDPAICDQHELLLRHTNVLEGVTIYVMPKAVAVMDSYEDVAFREEPEPVQCARHIFKRFKLHTEELYDNLVRLHQSQGSFAKGAAAHQLLQAVAGLAHRQPAALAGGAAMAVIDFADPAVVAPNLFRYYLLNGVVKYVESDTADPFKEALTAKLVKAVYRMGYPIQDVSSTIALLFKDTMALLNRLAIDLRRQVEPHSLAQEPTVDYPLHSRQGTQAETQNIWNKYLHVPDTHVSLVKDELVDQIVKGLIEQLASQGRGNRYFRVVLRVVTPNGTVVDQPQEVKSKIEEQLATKFLQLLLYAERRSSAYNYLSFIVAEMFKTTKNQPMQFILKALYIPQTQLLYFLHQYAELKQRKEKSLSKLGKQKDLVMMDSLQEKNRKTLKKCHSVVEQLTIRNKVLLGIKIDMINLDQELQQNSRIKRVVEEQLKLLEAEALFDQQSQNLLHAFRRVVSLPPHPAHVLIRYDDQAKRARFFAQIKVISNVNKQFYHLRRLYQRRAAWMRAWAQIKIIADLNAKFYQLWELYIKRQRYGRWHAQIKIVAPLWTRLFHSHEAFKQRVLFGRLRAQLQIVFGVNAKWLQQRDYAATVKTVASQIEERTLHSTNLPCGPPQEWIGQFTQLHFYYATGDKMDHQVWFATDAPRQKKAMASELARQYGNACDPVVGRLAVAPNRLVRETQAAKGEKIAQNIAAVGLAMRQATNTDQNARVVGQRIEELWLVAGPDGTVQAKQRKAAVLLLHYRPAVPLSVDDLGAAPLLLASLTKEPSGKDLAENILSVALVRDTEEQTQANVQSVTAQLDNWSLFDEGMGREQRLKLLADFYRQANEGEVEALGTAPNVLSSWFGSADRAELARNILVVASLMEVAVFLEEQGLEGQQQQLVALELQYMSSAVPYVPPLQAEPCMLEKVTDAAVRRRIAKNIRQVAHLMAQEQDGKTNAAAVGAQVEEKELLEVLGLEQAKQERDSNLQELYWDAFDRRVGRLALAPDQLAKLLAEPSQRELADDVVELAGHVNVHNINGQIEAQTLYHDYIDQSERDDHNRANAAKEMKAQALMEQYVAALPERLNELLASPNQLAALTDEVVGREVAENIALVAAHVAAEDVSLENALIGGIKSFAPLQLGYKALESAMNSLEEATFKVKGYLDHIQPALGLRFLFLSLAHICYVVRPMNKKDYIDTTEPFFTSPLGIHAIASLWFNINDTHRFHLGTAQYRLQEVDYIKKLPVVSPAFCHGLKALLVGNRLSEELEVPSPLEEKLCAEMWRKAEELRCLYQYAVNQRRQEDMEEATLREYAAWGPGAARREM
+>sp|Q86U28|ISCA2_HUMAN Iron-sulfur cluster assembly 2 homolog, mitochondrial OS=Homo sapiens OX=9606 GN=ISCA2 PE=1 SV=2
+MAAAWGSSLTAATQRAVTPWPRGRLLTASLGPQARREASSSSPEAGEGQIRLTDSCVQRLLEITEGSEFLRLQVEGGGCSGFQYKFSLDTVINPDDRVFEQGGARVVVDSDSLAFVKGAQVDFSQELIRSSFQVLNNPQAQQGCSCGSSFSIKL
+>DECOY_sp|Q86U28|ISCA2_HUMAN Iron-sulfur cluster assembly 2 homolog, mitochondrial OS=Homo sapiens OX=9606 GN=ISCA2 PE=1 SV=2
+LKISFSSGCSCGQQAQPNNLVQFSSRILEQSFDVQAGKVFALSDSDVVVRAGGQEFVRDDPNIVTDLSFKYQFGSCGGGEVQLRLFESGETIELLRQVCSDTLRIQGEGAEPSSSSAERRAQPGLSATLLRGRPWPTVARQTAATLSSGWAAAM
+>sp|Q6UWN8|ISK6_HUMAN Serine protease inhibitor Kazal-type 6 OS=Homo sapiens OX=9606 GN=SPINK6 PE=1 SV=1
+MKLSGMFLLLSLALFCFLTGVFSQGGQVDCGEFQDPKVYCTRESNPHCGSDGQTYGNKCAFCKAIVKSGGKISLKHPGKC
+>DECOY_sp|Q6UWN8|ISK6_HUMAN Serine protease inhibitor Kazal-type 6 OS=Homo sapiens OX=9606 GN=SPINK6 PE=1 SV=1
+CKGPHKLSIKGGSKVIAKCFACKNGYTQGDSGCHPNSERTCYVKPDQFEGCDVQGGQSFVGTLFCFLALSLLLFMGSLKM
+>sp|P58062|ISK7_HUMAN Serine protease inhibitor Kazal-type 7 OS=Homo sapiens OX=9606 GN=SPINK7 PE=1 SV=1
+MKITGGLLLLCTVVYFCSSSEAASLSPKKVDCSIYKKYPVVAIPCPITYLPVCGSDYITYGNECHLCTESLKSNGRVQFLHDGSC
+>DECOY_sp|P58062|ISK7_HUMAN Serine protease inhibitor Kazal-type 7 OS=Homo sapiens OX=9606 GN=SPINK7 PE=1 SV=1
+CSGDHLFQVRGNSKLSETCLHCENGYTIYDSGCVPLYTIPCPIAVVPYKKYISCDVKKPSLSAAESSSCFYVVTCLLLLGGTIKM
+>sp|P0C7L1|ISK8_HUMAN Serine protease inhibitor Kazal-type 8 OS=Homo sapiens OX=9606 GN=SPINK8 PE=3 SV=1
+MKGICSDAILVLATSMWMAFAIDFPLPMASERGQLDKTIVECLKNVNKCWFLSYIKPSEPICGSDQVTYSSDCHLCSKILFEGLNITKLYDGQCENS
+>DECOY_sp|P0C7L1|ISK8_HUMAN Serine protease inhibitor Kazal-type 8 OS=Homo sapiens OX=9606 GN=SPINK8 PE=3 SV=1
+SNECQGDYLKTINLGEFLIKSCLHCDSSYTVQDSGCIPESPKIYSLFWCKNVNKLCEVITKDLQGRESAMPLPFDIAFAMWMSTALVLIADSCIGKM
+>sp|Q96A47|ISL2_HUMAN Insulin gene enhancer protein ISL-2 OS=Homo sapiens OX=9606 GN=ISL2 PE=1 SV=1
+MVDIIFHYPFLGAMGDHSKKKPGTAMCVGCGSQIHDQFILRVSPDLEWHAACLKCAECSQYLDETCTCFVRDGKTYCKRDYVRLFGIKCAKCQVGFSSSDLVMRARDSVYHIECFRCSVCSRQLLPGDEFSLREHELLCRADHGLLLERAAAGSPRSPGPLPGARGLHLPDAGSGRQPALRPHVHKQTEKTTRVRTVLNEKQLHTLRTCYAANPRPDALMKEQLVEMTGLSPRVIRVWFQNKRCKDKKKSILMKQLQQQQHSDKTSLQGLTGTPLVAGSPIRHENAVQGSAVEVQTYQPPWKALSEFALQSDLDQPAFQQLVSFSESGSLGNSSGSDVTSLSSQLPDTPNSMVPSPVET
+>DECOY_sp|Q96A47|ISL2_HUMAN Insulin gene enhancer protein ISL-2 OS=Homo sapiens OX=9606 GN=ISL2 PE=1 SV=1
+TEVPSPVMSNPTDPLQSSLSTVDSGSSNGLSGSESFSVLQQFAPQDLDSQLAFESLAKWPPQYTQVEVASGQVANEHRIPSGAVLPTGTLGQLSTKDSHQQQQLQKMLISKKKDKCRKNQFWVRIVRPSLGTMEVLQEKMLADPRPNAAYCTRLTHLQKENLVTRVRTTKETQKHVHPRLAPQRGSGADPLHLGRAGPLPGPSRPSGAAARELLLGHDARCLLEHERLSFEDGPLLQRSCVSCRFCEIHYVSDRARMVLDSSSFGVQCKACKIGFLRVYDRKCYTKGDRVFCTCTEDLYQSCEACKLCAAHWELDPSVRLIFQDHIQSGCGVCMATGPKKKSHDGMAGLFPYHFIIDVM
+>sp|O14498|ISLR_HUMAN Immunoglobulin superfamily containing leucine-rich repeat protein OS=Homo sapiens OX=9606 GN=ISLR PE=2 SV=1
+MQELHLLWWALLLGLAQACPEPCDCGEKYGFQIADCAYRDLESVPPGFPANVTTLSLSANRLPGLPEGAFREVPLLQSLWLAHNEIRTVAAGALASLSHLKSLDLSHNLISDFAWSDLHNLSALQLLKMDSNELTFIPRDAFRSLRALRSLQLNHNRLHTLAEGTFTPLTALSHLQINENPFDCTCGIVWLKTWALTTAVSIPEQDNIACTSPHVLKGTPLSRLPPLPCSAPSVQLSYQPSQDGAELRPGFVLALHCDVDGQPAPQLHWHIQIPSGIVEITSPNVGTDGRALPGTPVASSQPRFQAFANGSLLIPDFGKLEEGTYSCLATNELGSAESSVDVALATPGEGGEDTLGRRFHGKAVEGKGCYTVDNEVQPSGPEDNVVIIYLSRAGNPEAAVAEGVPGQLPPGLLLLGQSLLLFFFLTSF
+>DECOY_sp|O14498|ISLR_HUMAN Immunoglobulin superfamily containing leucine-rich repeat protein OS=Homo sapiens OX=9606 GN=ISLR PE=2 SV=1
+FSTLFFFLLLSQGLLLLGPPLQGPVGEAVAAEPNGARSLYIIVVNDEPGSPQVENDVTYCGKGEVAKGHFRRGLTDEGGEGPTALAVDVSSEASGLENTALCSYTGEELKGFDPILLSGNAFAQFRPQSSAVPTGPLARGDTGVNPSTIEVIGSPIQIHWHLQPAPQGDVDCHLALVFGPRLEAGDQSPQYSLQVSPASCPLPPLRSLPTGKLVHPSTCAINDQEPISVATTLAWTKLWVIGCTCDFPNENIQLHSLATLPTFTGEALTHLRNHNLQLSRLARLSRFADRPIFTLENSDMKLLQLASLNHLDSWAFDSILNHSLDLSKLHSLSALAGAAVTRIENHALWLSQLLPVERFAGEPLGPLRNASLSLTTVNAPFGPPVSELDRYACDAIQFGYKEGCDCPEPCAQALGLLLAWWLLHLEQM
+>sp|P53990|IST1_HUMAN IST1 homolog OS=Homo sapiens OX=9606 GN=IST1 PE=1 SV=1
+MLGSGFKAERLRVNLRLVINRLKLLEKKKTELAQKARKEIADYLAAGKDERARIRVEHIIREDYLVEAMEILELYCDLLLARFGLIQSMKELDSGLAESVSTLIWAAPRLQSEVAELKIVADQLCAKYSKEYGKLCRTNQIGTVNDRLMHKLSVEAPPKILVERYLIEIAKNYNVPYEPDSVVMAEAPPGVETDLIDVGFTDDVKKGGPGRGGSGGFTAPVGGPDGTVPMPMPMPMPSANTPFSYPLPKGPSDFNGLPMGTYQAFPNIHPPQIPATPPSYESVDDINADKNISSAQIVGPGPKPEASAKLPSRPADNYDNFVLPELPSVPDTLPTASAGASTSASEDIDFDDLSRRFEELKKKT
+>DECOY_sp|P53990|IST1_HUMAN IST1 homolog OS=Homo sapiens OX=9606 GN=IST1 PE=1 SV=1
+TKKKLEEFRRSLDDFDIDESASTSAGASATPLTDPVSPLEPLVFNDYNDAPRSPLKASAEPKPGPGVIQASSINKDANIDDVSEYSPPTAPIQPPHINPFAQYTGMPLGNFDSPGKPLPYSFPTNASPMPMPMPMPVTGDPGGVPATFGGSGGRGPGGKKVDDTFGVDILDTEVGPPAEAMVVSDPEYPVNYNKAIEILYREVLIKPPAEVSLKHMLRDNVTGIQNTRCLKGYEKSYKACLQDAVIKLEAVESQLRPAAWILTSVSEALGSDLEKMSQILGFRALLLDCYLELIEMAEVLYDERIIHEVRIRAREDKGAALYDAIEKRAKQALETKKKELLKLRNIVLRLNVRLREAKFGSGLM
+>sp|O95965|ITGBL_HUMAN Integrin beta-like protein 1 OS=Homo sapiens OX=9606 GN=ITGBL1 PE=2 SV=1
+MRPPGFRNFLLLASSLLFAGLSAVPQSFSPSLRSWPGAACRLSRAESERRCRAPGQPPGAALCHGRGRCDCGVCICHVTEPGMFFGPLCECHEWVCETYDGSTCAGHGKCDCGKCKCDQGWYGDACQYPTNCDLTKKKSNQMCKNSQDIICSNAGTCHCGRCKCDNSDGSGLVYGKFCECDDRECIDDETEEICGGHGKCYCGNCYCKAGWHGDKCEFQCDITPWESKRRCTSPDGKICSNRGTCVCGECTCHDVDPTGDWGDIHGDTCECDERDCRAVYDRYSDDFCSGHGQCNCGRCDCKAGWYGKKCEHPQSCTLSAEESIRKCQGSSDLPCSGRGKCECGKCTCYPPGDRRVYGKTCECDDRRCEDLDGVVCGGHGTCSCGRCVCERGWFGKLCQHPRKCNMTEEQSKNLCESADGILCSGKGSCHCGKCICSAEEWYISGEFCDCDDRDCDKHDGLICTGNGICSCGNCECWDGWNGNACEIWLGSEYP
+>DECOY_sp|O95965|ITGBL_HUMAN Integrin beta-like protein 1 OS=Homo sapiens OX=9606 GN=ITGBL1 PE=2 SV=1
+PYESGLWIECANGNWGDWCECNGCSCIGNGTCILGDHKDCDRDDCDCFEGSIYWEEASCICKGCHCSGKGSCLIGDASECLNKSQEETMNCKRPHQCLKGFWGRECVCRGCSCTGHGGCVVGDLDECRRDDCECTKGYVRRDGPPYCTCKGCECKGRGSCPLDSSGQCKRISEEASLTCSQPHECKKGYWGAKCDCRGCNCQGHGSCFDDSYRDYVARCDREDCECTDGHIDGWDGTPDVDHCTCEGCVCTGRNSCIKGDPSTCRRKSEWPTIDCQFECKDGHWGAKCYCNGCYCKGHGGCIEETEDDICERDDCECFKGYVLGSGDSNDCKCRGCHCTGANSCIIDQSNKCMQNSKKKTLDCNTPYQCADGYWGQDCKCKGCDCKGHGACTSGDYTECVWEHCECLPGFFMGPETVHCICVGCDCRGRGHCLAAGPPQGPARCRRESEARSLRCAAGPWSRLSPSFSQPVASLGAFLLSSALLLFNRFGPPRM
+>sp|P19827|ITIH1_HUMAN Inter-alpha-trypsin inhibitor heavy chain H1 OS=Homo sapiens OX=9606 GN=ITIH1 PE=1 SV=3
+MDGAMGPRGLLLCMYLVSLLILQAMPALGSATGRSKSSEKRQAVDTAVDGVFIRSLKVNCKVTSRFAHYVVTSQVVNTANEAREVAFDLEIPKTAFISDFAVTADGNAFIGDIKDKVTAWKQYRKAAISGENAGLVRASGRTMEQFTIHLTVNPQSKVTFQLTYEEVLKRNHMQYEIVIKVKPKQLVHHFEIDVDIFEPQGISKLDAQASFLPKELAAQTIKKSFSGKKGHVLFRPTVSQQQSCPTCSTSLLNGHFKVTYDVSRDKICDLLVANNHFAHFFAPQNLTNMNKNVVFVIDISGSMRGQKVKQTKEALLKILGDMQPGDYFDLVLFGTRVQSWKGSLVQASEANLQAAQDFVRGFSLDEATNLNGGLLRGIEILNQVQESLPELSNHASILIMLTDGDPTEGVTDRSQILKNVRNAIRGRFPLYNLGFGHNVDFNFLEVMSMENNGRAQRIYEDHDATQQLQGFYSQVAKPLLVDVDLQYPQDAVLALTQNHHKQYYEGSEIVVAGRIADNKQSSFKADVQAHGEGQEFSITCLVDEEEMKKLLRERGHMLENHVERLWAYLTIQELLAKRMKVDREERANLSSQALQMSLDYGFVTPLTSMSIRGMADQDGLKPTIDKPSEDSPPLEMLGPRRTFVLSALQPSPTHSSSNTQRLPDRVTGVDTDPHFIIHVPQKEDTLCFNINEEPGVILSLVQDPNTGFSVNGQLIGNKARSPGQHDGTYFGRLGIANPATDFQLEVTPQNITLNPGFGGPVFSWRDQAVLRQDGVVVTINKKRNLVVSVDDGGTFEVVLHRVWKGSSVHQDFLGFYVLDSHRMSARTHGLLGQFFHPIGFEVSDIHPGSDPTKPDATMVVRNRRLTVTRGLQKDYSKDPWHGAEVSCWFIHNNGAGLIDGAYTDYIVPDIF
+>DECOY_sp|P19827|ITIH1_HUMAN Inter-alpha-trypsin inhibitor heavy chain H1 OS=Homo sapiens OX=9606 GN=ITIH1 PE=1 SV=3
+FIDPVIYDTYAGDILGAGNNHIFWCSVEAGHWPDKSYDKQLGRTVTLRRNRVVMTADPKTPDSGPHIDSVEFGIPHFFQGLLGHTRASMRHSDLVYFGLFDQHVSSGKWVRHLVVEFTGGDDVSVVLNRKKNITVVVGDQRLVAQDRWSFVPGGFGPNLTINQPTVELQFDTAPNAIGLRGFYTGDHQGPSRAKNGILQGNVSFGTNPDQVLSLIVGPEENINFCLTDEKQPVHIIFHPDTDVGTVRDPLRQTNSSSHTPSPQLASLVFTRRPGLMELPPSDESPKDITPKLGDQDAMGRISMSTLPTVFGYDLSMQLAQSSLNAREERDVKMRKALLEQITLYAWLREVHNELMHGRERLLKKMEEEDVLCTISFEQGEGHAQVDAKFSSQKNDAIRGAVVIESGEYYQKHHNQTLALVADQPYQLDVDVLLPKAVQSYFGQLQQTADHDEYIRQARGNNEMSMVELFNFDVNHGFGLNYLPFRGRIANRVNKLIQSRDTVGETPDGDTLMILISAHNSLEPLSEQVQNLIEIGRLLGGNLNTAEDLSFGRVFDQAAQLNAESAQVLSGKWSQVRTGFLVLDFYDGPQMDGLIKLLAEKTQKVKQGRMSGSIDIVFVVNKNMNTLNQPAFFHAFHNNAVLLDCIKDRSVDYTVKFHGNLLSTSCTPCSQQQSVTPRFLVHGKKGSFSKKITQAALEKPLFSAQADLKSIGQPEFIDVDIEFHHVLQKPKVKIVIEYQMHNRKLVEEYTLQFTVKSQPNVTLHITFQEMTRGSARVLGANEGSIAAKRYQKWATVKDKIDGIFANGDATVAFDSIFATKPIELDFAVERAENATNVVQSTVVYHAFRSTVKCNVKLSRIFVGDVATDVAQRKESSKSRGTASGLAPMAQLILLSVLYMCLLLGRPGMAGDM
+>sp|Q06033|ITIH3_HUMAN Inter-alpha-trypsin inhibitor heavy chain H3 OS=Homo sapiens OX=9606 GN=ITIH3 PE=1 SV=2
+MAFAWWPCLILALLSSLAASGFPRSPFRLLGKRSLPEGVANGIEVYSTKINSKVTSRFAHNVVTMRAVNRADTAKEVSFDVELPKTAFITNFTLTIDGVTYPGNVKEKEVAKKQYEKAVSQGKTAGLVKASGRKLEKFTVSVNVAAGSKVTFELTYEELLKRHKGKYEMYLKVQPKQLVKHFEIEVDIFEPQGISMLDAEASFITNDLLGSALTKSFSGKKGHVSFKPSLDQQRSCPTCTDSLLNGDFTITYDVNRESPGNVQIVNGYFVHFFAPQGLPVVPKNVAFVIDISGSMAGRKLEQTKEALLRILEDMQEEDYLNFILFSGDVSTWKEHLVQATPENLQEARTFVKSMEDKGMTNINDGLLRGISMLNKAREEHRIPERSTSIVIMLTDGDANVGESRPEKIQENVRNAIGGKFPLYNLGFGNNLNYNFLENMALENHGFARRIYEDSDADLQLQGFYEEVANPLLTGVEMEYPENAILDLTQNTYQHFYDGSEIVVAGRLVDEDMNSFKADVKGHGATNDLTFTEEVDMKEMEKALQERDYIFGNYIERLWAYLTIEQLLEKRKNAHGEEKENLTARALDLSLKYHFVTPLTSMVVTKPEDNEDERAIADKPGEDAEATPVSPAMSYLTSYQPPQNPYYYVDGDPHFIIQIPEKDDALCFNIDEAPGTVLRLIQDAVTGLTVNGQITGDKRGSPDSKTRKTYFGKLGIANAQMDFQVEVTTEKITLWNRAVPSTFSWLDTVTVTQDGLSMMINRKNMVVSFGDGVTFVVVLHQVWKKHPVHRDFLGFYVVDSHRMSAQTHGLLGQFFQPFDFKVSDIRPGSDPTKPDATLVVKNHQLIVTRGSQKDYRKDASIGTKVVCWFVHNNGEGLIDGVHTDYIVPNLF
+>DECOY_sp|Q06033|ITIH3_HUMAN Inter-alpha-trypsin inhibitor heavy chain H3 OS=Homo sapiens OX=9606 GN=ITIH3 PE=1 SV=2
+FLNPVIYDTHVGDILGEGNNHVFWCVVKTGISADKRYDKQSGRTVILQHNKVVLTADPKTPDSGPRIDSVKFDFPQFFQGLLGHTQASMRHSDVVYFGLFDRHVPHKKWVQHLVVVFTVGDGFSVVMNKRNIMMSLGDQTVTVTDLWSFTSPVARNWLTIKETTVEVQFDMQANAIGLKGFYTKRTKSDPSGRKDGTIQGNVTLGTVADQILRLVTGPAEDINFCLADDKEPIQIIFHPDGDVYYYPNQPPQYSTLYSMAPSVPTAEADEGPKDAIAREDENDEPKTVVMSTLPTVFHYKLSLDLARATLNEKEEGHANKRKELLQEITLYAWLREIYNGFIYDREQLAKEMEKMDVEETFTLDNTAGHGKVDAKFSNMDEDVLRGAVVIESGDYFHQYTNQTLDLIANEPYEMEVGTLLPNAVEEYFGQLQLDADSDEYIRRAFGHNELAMNELFNYNLNNGFGLNYLPFKGGIANRVNEQIKEPRSEGVNADGDTLMIVISTSREPIRHEERAKNLMSIGRLLGDNINTMGKDEMSKVFTRAEQLNEPTAQVLHEKWTSVDGSFLIFNLYDEEQMDELIRLLAEKTQELKRGAMSGSIDIVFAVNKPVVPLGQPAFFHVFYGNVIQVNGPSERNVDYTITFDGNLLSDTCTPCSRQQDLSPKFSVHGKKGSFSKTLASGLLDNTIFSAEADLMSIGQPEFIDVEIEFHKVLQKPQVKLYMEYKGKHRKLLEEYTLEFTVKSGAAVNVSVTFKELKRGSAKVLGATKGQSVAKEYQKKAVEKEKVNGPYTVGDITLTFNTIFATKPLEVDFSVEKATDARNVARMTVVNHAFRSTVKSNIKTSYVEIGNAVGEPLSRKGLLRFPSRPFGSAALSSLLALILCPWWAFAM
+>sp|Q6UXX5|ITIH6_HUMAN Inter-alpha-trypsin inhibitor heavy chain H6 OS=Homo sapiens OX=9606 GN=ITIH6 PE=1 SV=1
+MSGWRYLICVSFLLTILLELTYQGPPVPASSSTKLLMTSYSMRSTVVSRYAHTLVTSVLFNPHAEAHEAIFDLDLPHLAFISNFTMTINNKVYIAEVKEKHQAKKIYEEAHQQGKTAAHVGIRDRESEKFRISTSLAAGTEVTFSLAYEELLQRHQGQYQLVVSLRPGQLVKRLSIEVTVSERTGISYVHIPPLRTGRLRTNAHASEVDSPPSTRIERGETCVRITYCPTLQDQSSISGSGIMADFLVQYDVVMEDIIGDVQIYDDYFIHYFAPRGLPPMEKNVVFVIDVSSSMFGTKMEQTKTAMNVILSDLQANDYFNIISFSDTVNVWKAGGSIQATIQNVHSAKDYLHCMEADGWTDVNSALLAAASVLNHSNQEPGRGPSVGRIPLIIFLTDGEPTAGVTTPSVILSNVRQALGHRVSLFSLAFGDDADFTLLRRLSLENRGIARRIYEDTDAALQLKGLYEEISMPLLADVRLNYLGGLVGASPWAVFPNYFGGSELVVAGQVQPGKQELGIHLAARGPKDQLLVAHHSEGATNNSQKAFGCPGEPAPNVAHFIRRLWAYVTIGELLDAHFQARDTTTRHLLAAKVLNLSLEYNFVTPLTSLVMVQPKQASEETRRQTSTSAGPDTIMPSSSSRHGLGVSTAQPALVPKVISPKSRPVKPKFYLSSTTTASTKKMLSSKELEPLGESPHTLSMPTYPKAKIPAQQDSGTLAQPTLRTKPTILVPSNSGTLLPLKPGSLSHQNPDILPTNSRTQVPPVKPGIPASPKADTVKCVTPLHSKPGAPSHPQLGALTSQAPKGLPQSRPGVSTLQVPKYPLHTRPRVPAPKTRNNMPHLGPGILLSKTPKILLSLKPSAPPHQISTSISLSKPETPNPHMPQTPLPPRPDRPRPPLPESLSTFPNTISSSTGPSSTTTTSVLGEPLPMPFTPTLPPGRFWHQYDLLPGPQRTRQVLGPSRPGVPTMSLLNSSRPTPEGSPPNLPILLPSSILPEAISLLLLPEELELLSESMVESKFVESLNPPAFYTFLTPDEDGSPNWDGNSEEILGGAGGSMESQGSSVGLAKGTLPSIFTFSSSVDGDPHFVIQIPHSEEKICFTLNGHPGDLLQLIEDPKAGLHVSGKLLGAPPRPGHKDQTRTYFQIITVTTDKPRAYTITISRSSISLRGEGTLRLSWDQPALLKRPQLELYVAAAARLTLRLGPYLEFLVLRHRYRHPSTLQLPHLGFYVANGSGLSPSARGLIGQFQHADIRLVTGPMGPCLRRHHGPDVPVILGKRLLKDSPRLLPRWASCWLVKRSHVELLLGHPYLSYVL
+>DECOY_sp|Q6UXX5|ITIH6_HUMAN Inter-alpha-trypsin inhibitor heavy chain H6 OS=Homo sapiens OX=9606 GN=ITIH6 PE=1 SV=1
+LVYSLYPHGLLLEVHSRKVLWCSAWRPLLRPSDKLLRKGLIVPVDPGHHRRLCPGMPGTVLRIDAHQFQGILGRASPSLGSGNAVYFGLHPLQLTSPHRYRHRLVLFELYPGLRLTLRAAAAVYLELQPRKLLAPQDWSLRLTGEGRLSISSRSITITYARPKDTTVTIIQFYTRTQDKHGPRPPAGLLKGSVHLGAKPDEILQLLDGPHGNLTFCIKEESHPIQIVFHPDGDVSSSFTFISPLTGKALGVSSGQSEMSGGAGGLIEESNGDWNPSGDEDPTLFTYFAPPNLSEVFKSEVMSESLLELEEPLLLLSIAEPLISSPLLIPLNPPSGEPTPRSSNLLSMTPVGPRSPGLVQRTRQPGPLLDYQHWFRGPPLTPTFPMPLPEGLVSTTTTSSPGTSSSITNPFTSLSEPLPPRPRDPRPPLPTQPMHPNPTEPKSLSISTSIQHPPASPKLSLLIKPTKSLLIGPGLHPMNNRTKPAPVRPRTHLPYKPVQLTSVGPRSQPLGKPAQSTLAGLQPHSPAGPKSHLPTVCKVTDAKPSAPIGPKVPPVQTRSNTPLIDPNQHSLSGPKLPLLTGSNSPVLITPKTRLTPQALTGSDQQAPIKAKPYTPMSLTHPSEGLPELEKSSLMKKTSATTTSSLYFKPKVPRSKPSIVKPVLAPQATSVGLGHRSSSSPMITDPGASTSTQRRTEESAQKPQVMVLSTLPTVFNYELSLNLVKAALLHRTTTDRAQFHADLLEGITVYAWLRRIFHAVNPAPEGPCGFAKQSNNTAGESHHAVLLQDKPGRAALHIGLEQKGPQVQGAVVLESGGFYNPFVAWPSAGVLGGLYNLRVDALLPMSIEEYLGKLQLAADTDEYIRRAIGRNELSLRRLLTFDADDGFALSFLSVRHGLAQRVNSLIVSPTTVGATPEGDTLFIILPIRGVSPGRGPEQNSHNLVSAAALLASNVDTWGDAEMCHLYDKASHVNQITAQISGGAKWVNVTDSFSIINFYDNAQLDSLIVNMATKTQEMKTGFMSSSVDIVFVVNKEMPPLGRPAFYHIFYDDYIQVDGIIDEMVVDYQVLFDAMIGSGSISSQDQLTPCYTIRVCTEGREIRTSPPSDVESAHANTRLRGTRLPPIHVYSIGTRESVTVEISLRKVLQGPRLSVVLQYQGQHRQLLEEYALSFTVETGAALSTSIRFKESERDRIGVHAATKGQQHAEEYIKKAQHKEKVEAIYVKNNITMTFNSIFALHPLDLDFIAEHAEAHPNFLVSTVLTHAYRSVVTSRMSYSTMLLKTSSSAPVPPGQYTLELLITLLFSVCILYRWGSM
+>sp|Q08881|ITK_HUMAN Tyrosine-protein kinase ITK/TSK OS=Homo sapiens OX=9606 GN=ITK PE=1 SV=1
+MNNFILLEEQLIKKSQQKRRTSPSNFKVRFFVLTKASLAYFEDRHGKKRTLKGSIELSRIKCVEIVKSDISIPCHYKYPFQVVHDNYLLYVFAPDRESRQRWVLALKEETRNNNSLVPKYHPNFWMDGKWRCCSQLEKLATGCAQYDPTKNASKKPLPPTPEDNRRPLWEPEETVVIALYDYQTNDPQELALRRNEEYCLLDSSEIHWWRVQDRNGHEGYVPSSYLVEKSPNNLETYEWYNKSISRDKAEKLLLDTGKEGAFMVRDSRTAGTYTVSVFTKAVVSENNPCIKHYHIKETNDNPKRYYVAEKYVFDSIPLLINYHQHNGGGLVTRLRYPVCFGRQKAPVTAGLRYGKWVIDPSELTFVQEIGSGQFGLVHLGYWLNKDKVAIKTIREGAMSEEDFIEEAEVMMKLSHPKLVQLYGVCLEQAPICLVFEFMEHGCLSDYLRTQRGLFAAETLLGMCLDVCEGMAYLEEACVIHRDLAARNCLVGENQVIKVSDFGMTRFVLDDQYTSSTGTKFPVKWASPEVFSFSRYSSKSDVWSFGVLMWEVFSEGKIPYENRSNSEVVEDISTGFRLYKPRLASTHVYQIMNHCWKERPEDRPAFSRLLRQLAEIAESGL
+>DECOY_sp|Q08881|ITK_HUMAN Tyrosine-protein kinase ITK/TSK OS=Homo sapiens OX=9606 GN=ITK PE=1 SV=1
+LGSEAIEALQRLLRSFAPRDEPREKWCHNMIQYVHTSALRPKYLRFGTSIDEVVESNSRNEYPIKGESFVEWMLVGFSWVDSKSSYRSFSFVEPSAWKVPFKTGTSSTYQDDLVFRTMGFDSVKIVQNEGVLCNRAALDRHIVCAEELYAMGECVDLCMGLLTEAAFLGRQTRLYDSLCGHEMFEFVLCIPAQELCVGYLQVLKPHSLKMMVEAEEIFDEESMAGERITKIAVKDKNLWYGLHVLGFQGSGIEQVFTLESPDIVWKGYRLGATVPAKQRGFCVPYRLRTVLGGGNHQHYNILLPISDFVYKEAVYYRKPNDNTEKIHYHKICPNNESVVAKTFVSVTYTGATRSDRVMFAGEKGTDLLLKEAKDRSISKNYWEYTELNNPSKEVLYSSPVYGEHGNRDQVRWWHIESSDLLCYEENRRLALEQPDNTQYDYLAIVVTEEPEWLPRRNDEPTPPLPKKSANKTPDYQACGTALKELQSCCRWKGDMWFNPHYKPVLSNNNRTEEKLALVWRQRSERDPAFVYLLYNDHVVQFPYKYHCPISIDSKVIEVCKIRSLEISGKLTRKKGHRDEFYALSAKTLVFFRVKFNSPSTRRKQQSKKILQEELLIFNNM
+>sp|O43736|ITM2A_HUMAN Integral membrane protein 2A OS=Homo sapiens OX=9606 GN=ITM2A PE=1 SV=2
+MVKIAFNTPTAVQKEEARQDVEALLSRTVRTQILTGKELRVATQEKEGSSGRCMLTLLGLSFILAGLIVGGACIYKYFMPKSTIYRGEMCFFDSEDPANSLRGGEPNFLPVTEEADIREDDNIAIIDVPVPSFSDSDPAAIIHDFEKGMTAYLDLLLGNCYLMPLNTSIVMPPKNLVELFGKLASGRYLPQTYVVREDLVAVEEIRDVSNLGIFIYQLCNNRKSFRLRRRDLLLGFNKRAIDKCWKIRHFPNEFIVETKICQE
+>DECOY_sp|O43736|ITM2A_HUMAN Integral membrane protein 2A OS=Homo sapiens OX=9606 GN=ITM2A PE=1 SV=2
+EQCIKTEVIFENPFHRIKWCKDIARKNFGLLLDRRRLRFSKRNNCLQYIFIGLNSVDRIEEVAVLDERVVYTQPLYRGSALKGFLEVLNKPPMVISTNLPMLYCNGLLLDLYATMGKEFDHIIAAPDSDSFSPVPVDIIAINDDERIDAEETVPLFNPEGGRLSNAPDESDFFCMEGRYITSKPMFYKYICAGGVILGALIFSLGLLTLMCRGSSGEKEQTAVRLEKGTLIQTRVTRSLLAEVDQRAEEKQVATPTNFAIKVM
+>sp|Q14573|ITPR3_HUMAN Inositol 1,4,5-trisphosphate receptor type 3 OS=Homo sapiens OX=9606 GN=ITPR3 PE=1 SV=2
+MSEMSSFLHIGDIVSLYAEGSVNGFISTLGLVDDRCVVEPAAGDLDNPPKKFRDCLFKVCPMNRYSAQKQYWKAKQTKQDKEKIADVVLLQKLQHAAQMEQKQNDTENKKVHGDVVKYGSVIQLLHMKSNKYLTVNKRLPALLEKNAMRVTLDATGNEGSWLFIQPFWKLRSNGDNVVVGDKVILNPVNAGQPLHASNYELSDNAGCKEVNSVNCNTSWKINLFMQFRDHLEEVLKGGDVVRLFHAEQEKFLTCDEYKGKLQVFLRTTLRQSATSATSSNALWEVEVVHHDPCRGGAGHWNGLYRFKHLATGNYLAAEENPSYKGDASDPKAAGMGAQGRTGRRNAGEKIKYCLVAVPHGNDIASLFELDPTTLQKTDSFVPRNSYVRLRHLCTNTWIQSTNVPIDIEEERPIRLMLGTCPTKEDKEAFAIVSVPVSEIRDLDFANDASSMLASAVEKLNEGFISQNDRRFVIQLLEDLVFFVSDVPNNGQNVLDIMVTKPNRERQKLMREQNILKQVFGILKAPFREKGGEGPLVRLEELSDQKNAPYQHMFRLCYRVLRHSQEDYRKNQEHIAKQFGMMQSQIGYDILAEDTITALLHNNRKLLEKHITKTEVETFVSLVRKNREPRFLDYLSDLCVSNHIAIPVTQELICKCVLDPKNSDILIRTELRPVKEMAQSHEYLSIEYSEEEVWLTWTDKNNEHHEKSVRQLAQEARAGNAHDENVLSYYRYQLKLFARMCLDRQYLAIDEISQQLGVDLIFLCMADEMLPFDLRASFCHLMLHVHVDRDPQELVTPVKFARLWTEIPTAITIKDYDSNLNASRDDKKNKFANTMEFVEDYLNNVVSEAVPFANEEKNKLTFEVVSLAHNLIYFGFYSFSELLRLTRTLLGIIDCVQGPPAMLQAYEDPGGKNVRRSIQGVGHMMSTMVLSRKQSVFSAPSLSAGASAAEPLDRSKFEENEDIVVMETKLKILEILQFILNVRLDYRISYLLSVFKKEFVEVFPMQDSGADGTAPAFDSTTANMNLDRIGEQAEAMFGVGKTSSMLEVDDEGGRMFLRVLIHLTMHDYAPLVSGALQLLFKHFSQRQEAMHTFKQVQLLISAQDVENYKVIKSELDRLRTMVEKSELWVDKKGSGKGEEVEAGAAKDKKERPTDEEGFLHPPGEKSSENYQIVKGILERLNKMCGVGEQMRKKQQRLLKNMDAHKVMLDLLQIPYDKGDAKMMEILRYTHQFLQKFCAGNPGNQALLHKHLHLFLTPGLLEAETMQHIFLNNYQLCSEISEPVLQHFVHLLATHGRHVQYLDFLHTVIKAEGKYVKKCQDMIMTELTNAGDDVVVFYNDKASLAHLLDMMKAARDGVEDHSPLMYHISLVDLLAACAEGKNVYTEIKCTSLLPLEDVVSVVTHEDCITEVKMAYVNFVNHCYVDTEVEMKEIYTSNHIWTLFENFTLDMARVCSKREKRVADPTLEKYVLSVVLDTINAFFSSPFSENSTSLQTHQTIVVQLLQSTTRLLECPWLQQQHKGSVEACIRTLAMVAKGRAILLPMDLDAHISSMLSSGASCAAAAQRNASSYKATTRAFPRVTPTANQWDYKNIIEKLQDIITALEERLKPLVQAELSVLVDVLHWPELLFLEGSEAYQRCESGGFLSKLIQHTKDLMESEEKLCIKVLRTLQQMLLKKTKYGDRGNQLRKMLLQNYLQNRKSTSRGDLPDPIGTGLDPDWSAIAATQCRLDKEGATKLVCDLITSTKNEKIFQESIGLAIHLLDGGNTEIQKSFHNLMMSDKKSERFFKVLHDRMKRAQQETKSTVAVNMNDLGSQPHEDREPVDPTTKGRVASFSIPGSSSRYSLGPSLRRGHEVSERVQSSEMGTSVLIMQPILRFLQLLCENHNRDLQNFLRCQNNKTNYNLVCETLQFLDIMCGSTTGGLGLLGLYINEDNVGLVIQTLETLTEYCQGPCHENQTCIVTHESNGIDIITALILNDISPLCKYRMDLVLQLKDNASKLLLALMESRHDSENAERILISLRPQELVDVIKKAYLQEEERENSEVSPREVGHNIYILALQLSRHNKQLQHLLKPVKRIQEEEAEGISSMLSLNNKQLSQMLKSSAPAQEEEEDPLAYYENHTSQIEIVRQDRSMEQIVFPVPGICQFLTEETKHRLFTTTEQDEQGSKVSDFFDQSSFLHNEMEWQRKLRSMPLIYWFSRRMTLWGSISFNLAVFINIIIAFFYPYMEGASTGVLDSPLISLLFWILICFSIAALFTKRYSIRPLIVALILRSIYYLGIGPTLNILGALNLTNKIVFVVSFVGNRGTFIRGYKAMVMDMEFLYHVGYILTSVLGLFAHELFYSILLFDLIYREETLFNVIKSVTRNGRSILLTALLALILVYLFSIVGFLFLKDDFILEVDRLPNNHSTASPLGMPHGAAAFVDTCSGDKMDCVSGLSVPEVLEEDRELDSTERACDTLLMCIVTVMNHGLRNGGGVGDILRKPSKDESLFPARVVYDLLFFFIVIIIVLNLIFGVIIDTFADLRSEKQKKEEILKTTCFICGLERDKFDNKTVSFEEHIKLEHNMWNYLYFIVLVRVKNKTDYTGPESYVAQMIKNKNLDWFPRMRAMSLVSNEGEGEQNEIRILQDKLNSTMKLVSHLTAQLNELKEQMTEQRKRRQRLGFVDVQNCISR
+>DECOY_sp|Q14573|ITPR3_HUMAN Inositol 1,4,5-trisphosphate receptor type 3 OS=Homo sapiens OX=9606 GN=ITPR3 PE=1 SV=2
+RSICNQVDVFGLRQRRKRQETMQEKLENLQATLHSVLKMTSNLKDQLIRIENQEGEGENSVLSMARMRPFWDLNKNKIMQAVYSEPGTYDTKNKVRVLVIFYLYNWMNHELKIHEEFSVTKNDFKDRELGCIFCTTKLIEEKKQKESRLDAFTDIIVGFILNLVIIIVIFFFLLDYVVRAPFLSEDKSPKRLIDGVGGGNRLGHNMVTVICMLLTDCARETSDLERDEELVEPVSLGSVCDMKDGSCTDVFAAAGHPMGLPSATSHNNPLRDVELIFDDKLFLFGVISFLYVLILALLATLLISRGNRTVSKIVNFLTEERYILDFLLISYFLEHAFLGLVSTLIYGVHYLFEMDMVMAKYGRIFTGRNGVFSVVFVIKNTLNLAGLINLTPGIGLYYISRLILAVILPRISYRKTFLAAISFCILIWFLLSILPSDLVGTSAGEMYPYFFAIIINIFVALNFSISGWLTMRRSFWYILPMSRLKRQWEMENHLFSSQDFFDSVKSGQEDQETTTFLRHKTEETLFQCIGPVPFVIQEMSRDQRVIEIQSTHNEYYALPDEEEEQAPASSKLMQSLQKNNLSLMSSIGEAEEEQIRKVPKLLHQLQKNHRSLQLALIYINHGVERPSVESNEREEEQLYAKKIVDVLEQPRLSILIREANESDHRSEMLALLLKSANDKLQLVLDMRYKCLPSIDNLILATIIDIGNSEHTVICTQNEHCPGQCYETLTELTQIVLGVNDENIYLGLLGLGGTTSGCMIDLFQLTECVLNYNTKNNQCRLFNQLDRNHNECLLQLFRLIPQMILVSTGMESSQVRESVEHGRRLSPGLSYRSSSGPISFSAVRGKTTPDVPERDEHPQSGLDNMNVAVTSKTEQQARKMRDHLVKFFRESKKDSMMLNHFSKQIETNGGDLLHIALGISEQFIKENKTSTILDCVLKTAGEKDLRCQTAAIASWDPDLGTGIPDPLDGRSTSKRNQLYNQLLMKRLQNGRDGYKTKKLLMQQLTRLVKICLKEESEMLDKTHQILKSLFGGSECRQYAESGELFLLEPWHLVDVLVSLEAQVLPKLREELATIIDQLKEIINKYDWQNATPTVRPFARTTAKYSSANRQAAAACSAGSSLMSSIHADLDMPLLIARGKAVMALTRICAEVSGKHQQQLWPCELLRTTSQLLQVVITQHTQLSTSNESFPSSFFANITDLVVSLVYKELTPDAVRKERKSCVRAMDLTFNEFLTWIHNSTYIEKMEVETDVYCHNVFNVYAMKVETICDEHTVVSVVDELPLLSTCKIETYVNKGEACAALLDVLSIHYMLPSHDEVGDRAAKMMDLLHALSAKDNYFVVVDDGANTLETMIMDQCKKVYKGEAKIVTHLFDLYQVHRGHTALLHVFHQLVPESIESCLQYNNLFIHQMTEAELLGPTLFLHLHKHLLAQNGPNGACFKQLFQHTYRLIEMMKADGKDYPIQLLDLMVKHADMNKLLRQQKKRMQEGVGCMKNLRELIGKVIQYNESSKEGPPHLFGEEDTPREKKDKAAGAEVEEGKGSGKKDVWLESKEVMTRLRDLESKIVKYNEVDQASILLQVQKFTHMAEQRQSFHKFLLQLAGSVLPAYDHMTLHILVRLFMRGGEDDVELMSSTKGVGFMAEAQEGIRDLNMNATTSDFAPATGDAGSDQMPFVEVFEKKFVSLLYSIRYDLRVNLIFQLIELIKLKTEMVVIDENEEFKSRDLPEAASAGASLSPASFVSQKRSLVMTSMMHGVGQISRRVNKGGPDEYAQLMAPPGQVCDIIGLLTRTLRLLESFSYFGFYILNHALSVVEFTLKNKEENAFPVAESVVNNLYDEVFEMTNAFKNKKDDRSANLNSDYDKITIATPIETWLRAFKVPTVLEQPDRDVHVHLMLHCFSARLDFPLMEDAMCLFILDVGLQQSIEDIALYQRDLCMRAFLKLQYRYYSLVNEDHANGARAEQALQRVSKEHHENNKDTWTLWVEEESYEISLYEHSQAMEKVPRLETRILIDSNKPDLVCKCILEQTVPIAIHNSVCLDSLYDLFRPERNKRVLSVFTEVETKTIHKELLKRNNHLLATITDEALIDYGIQSQMMGFQKAIHEQNKRYDEQSHRLVRYCLRFMHQYPANKQDSLEELRVLPGEGGKERFPAKLIGFVQKLINQERMLKQRERNPKTVMIDLVNQGNNPVDSVFFVLDELLQIVFRRDNQSIFGENLKEVASALMSSADNAFDLDRIESVPVSVIAFAEKDEKTPCTGLMLRIPREEEIDIPVNTSQIWTNTCLHRLRVYSNRPVFSDTKQLTTPDLEFLSAIDNGHPVAVLCYKIKEGANRRGTRGQAGMGAAKPDSADGKYSPNEEAALYNGTALHKFRYLGNWHGAGGRCPDHHVVEVEWLANSSTASTASQRLTTRLFVQLKGKYEDCTLFKEQEAHFLRVVDGGKLVEELHDRFQMFLNIKWSTNCNVSNVEKCGANDSLEYNSAHLPQGANVPNLIVKDGVVVNDGNSRLKWFPQIFLWSGENGTADLTVRMANKELLAPLRKNVTLYKNSKMHLLQIVSGYKVVDGHVKKNETDNQKQEMQAAHQLKQLLVVDAIKEKDQKTQKAKWYQKQASYRNMPCVKFLCDRFKKPPNDLDGAAPEVVCRDDVLGLTSIFGNVSGEAYLSVIDGIHLFSSMESM
+>sp|Q9Y624|JAM1_HUMAN Junctional adhesion molecule A OS=Homo sapiens OX=9606 GN=F11R PE=1 SV=1
+MGTKAQVERKLLCLFILAILLCSLALGSVTVHSSEPEVRIPENNPVKLSCAYSGFSSPRVEWKFDQGDTTRLVCYNNKITASYEDRVTFLPTGITFKSVTREDTGTYTCMVSEEGGNSYGEVKVKLIVLVPPSKPTVNIPSSATIGNRAVLTCSEQDGSPPSEYTWFKDGIVMPTNPKSTRAFSNSSYVLNPTTGELVFDPLSASDTGEYSCEARNGYGTPMTSNAVRMEAVERNVGVIVAAVLVTLILLGILVFGIWFAYSRGHFDRTKKGTSSKKVIYSQPSARSEGEFKQTSSFLV
+>DECOY_sp|Q9Y624|JAM1_HUMAN Junctional adhesion molecule A OS=Homo sapiens OX=9606 GN=F11R PE=1 SV=1
+VLFSSTQKFEGESRASPQSYIVKKSSTGKKTRDFHGRSYAFWIGFVLIGLLILTVLVAAVIVGVNREVAEMRVANSTMPTGYGNRAECSYEGTDSASLPDFVLEGTTPNLVYSSNSFARTSKPNTPMVIGDKFWTYESPPSGDQESCTLVARNGITASSPINVTPKSPPVLVILKVKVEGYSNGGEESVMCTYTGTDERTVSKFTIGTPLFTVRDEYSATIKNNYCVLRTTDGQDFKWEVRPSSFGSYACSLKVPNNEPIRVEPESSHVTVSGLALSCLLIALIFLCLLKREVQAKTGM
+>sp|Q86YT9|JAML_HUMAN Junctional adhesion molecule-like OS=Homo sapiens OX=9606 GN=JAML PE=1 SV=1
+MFCPLKLILLPVLLDYSLGLNDLNVSPPELTVHVGDSALMGCVFQSTEDKCIFKIDWTLSPGEHAKDEYVLYYYSNLSVPIGRFQNRVHLMGDILCNDGSLLLQDVQEADQGTYICEIRLKGESQVFKKAVVLHVLPEEPKELMVHVGGLIQMGCVFQSTEVKHVTKVEWIFSGRRAKEEIVFRYYHKLRMSVEYSQSWGHFQNRVNLVGDIFRNDGSIMLQGVRESDGGNYTCSIHLGNLVFKKTIVLHVSPEEPRTLVTPAALRPLVLGGNQLVIIVGIVCATILLLPVLILIVKKTCGNKSSVNSTVLVKNTKKTNPEIKEKPCHFERCEGEKHIYSPIIVREVIEEEEPSEKSEATYMTMHPVWPSLRSDRNNSLEKKSGGGMPKTQQAF
+>DECOY_sp|Q86YT9|JAML_HUMAN Junctional adhesion molecule-like OS=Homo sapiens OX=9606 GN=JAML PE=1 SV=1
+FAQQTKPMGGGSKKELSNNRDSRLSPWVPHMTMYTAESKESPEEEEIVERVIIPSYIHKEGECREFHCPKEKIEPNTKKTNKVLVTSNVSSKNGCTKKVILILVPLLLITACVIGVIIVLQNGGLVLPRLAAPTVLTRPEEPSVHLVITKKFVLNGLHISCTYNGGDSERVGQLMISGDNRFIDGVLNVRNQFHGWSQSYEVSMRLKHYYRFVIEEKARRGSFIWEVKTVHKVETSQFVCGMQILGGVHVMLEKPEEPLVHLVVAKKFVQSEGKLRIECIYTGQDAEQVDQLLLSGDNCLIDGMLHVRNQFRGIPVSLNSYYYLVYEDKAHEGPSLTWDIKFICKDETSQFVCGMLASDGVHVTLEPPSVNLDNLGLSYDLLVPLLILKLPCFM
+>sp|Q92833|JARD2_HUMAN Protein Jumonji OS=Homo sapiens OX=9606 GN=JARID2 PE=1 SV=2
+MSKERPKRNIIQKKYDDSDGIPWSEERVVRKVLYLSLKEFKNSQKRQHAEGIAGSLKTVNGLLGNDQSKGLGPASEQSENEKDDASQVSSTSNDVSSSDFEEGPSRKRPRLQAQRKFAQSQPNSPSTTPVKIVEPLLPPPATQISDLSKRKPKTEDFLTFLCLRGSPALPNSMVYFGSSQDEEEVEEEDDETEDVKTATNNASSSCQSTPRKGKTHKHVHNGHVFNGSSRSTREKEPVQKHKSKEATPAKEKHSDHRADSRREQASANHPAAAPSTGSSAKGLAATHHHPPLHRSAQDLRKQVSKVNGVTRMSSLGAGVTSAKKMREVRPSPSKTVKYTATVTKGAVTYTKAKRELVKDTKPNHHKPSSAVNHTISGKTESSNAKTRKQVLSLGGASKSTGPAVNGLKVSGRLNPKSCTKEVGGRQLREGLQLREGLRNSKRRLEEAHQAEKPQSPPKKMKGAAGPAEGPGKKAPAERGLLNGHVKKEVPERSLERNRPKRATAGKSTPGRQAHGKADSASCENRSTSQPESVHKPQDSGKAEKGGGKAGWAAMDEIPVLRPSAKEFHDPLIYIESVRAQVEKFGMCRVIPPPDWRPECKLNDEMRFVTQIQHIHKLGRRWGPNVQRLACIKKHLKSQGITMDELPLIGGCELDLACFFRLINEMGGMQQVTDLKKWNKLADMLRIPRTAQDRLAKLQEAYCQYLLSYDSLSPEEHRRLEKEVLMEKEILEKRKGPLEGHTENDHHKFHPLPRFEPKNGLIHGVAPRNGFRSKLKEVGQAQLKTGRRRLFAQEKEVVKEEEEDKGVLNDFHKCIYKGRSVSLTTFYRTARNIMSMCFSKEPAPAEIEQEYWRLVEEKDCHVAVHCGKVDTNTHGSGFPVGKSEPFSRHGWNLTVLPNNTGSILRHLGAVPGVTIPWLNIGMVFSTSCWSRDQNHLPYIDYLHTGADCIWYCIPAEEENKLEDVVHTLLQANGTPGLQMLESNVMISPEVLCKEGIKVHRTVQQSGQFVVCFPGSFVSKVCCGYSVSETVHFATTQWTSMGFETAKEMKRRHIAKPFSMEKLLYQIAQAEAKKENGPTLSTISALLDELRDTELRQRRQLFEAGLHSSARYGSHDGSSTVADGKKKPRKWLQLETSERRCQICQHLCYLSMVVQENENVVFCLECALRHVEKQKSCRGLKLMYRYDEEQIISLVNQICGKVSGKNGSIENCLSKPTPKRGPRKRATVDVPPSRLSASSSSKSASSSS
+>DECOY_sp|Q92833|JARD2_HUMAN Protein Jumonji OS=Homo sapiens OX=9606 GN=JARID2 PE=1 SV=2
+SSSSASKSSSSASLRSPPVDVTARKRPGRKPTPKSLCNEISGNKGSVKGCIQNVLSIIQEEDYRYMLKLGRCSKQKEVHRLACELCFVVNENEQVVMSLYCLHQCIQCRRESTELQLWKRPKKKGDAVTSSGDHSGYRASSHLGAEFLQRRQRLETDRLEDLLASITSLTPGNEKKAEAQAIQYLLKEMSFPKAIHRRKMEKATEFGMSTWQTTAFHVTESVSYGCCVKSVFSGPFCVVFQGSQQVTRHVKIGEKCLVEPSIMVNSELMQLGPTGNAQLLTHVVDELKNEEEAPICYWICDAGTHLYDIYPLHNQDRSWCSTSFVMGINLWPITVGPVAGLHRLISGTNNPLVTLNWGHRSFPESKGVPFGSGHTNTDVKGCHVAVHCDKEEVLRWYEQEIEAPAPEKSFCMSMINRATRYFTTLSVSRGKYICKHFDNLVGKDEEEEKVVEKEQAFLRRRGTKLQAQGVEKLKSRFGNRPAVGHILGNKPEFRPLPHFKHHDNETHGELPGKRKELIEKEMLVEKELRRHEEPSLSDYSLLYQCYAEQLKALRDQATRPIRLMDALKNWKKLDTVQQMGGMENILRFFCALDLECGGILPLEDMTIGQSKLHKKICALRQVNPGWRRGLKHIHQIQTVFRMEDNLKCEPRWDPPPIVRCMGFKEVQARVSEIYILPDHFEKASPRLVPIEDMAAWGAKGGGKEAKGSDQPKHVSEPQSTSRNECSASDAKGHAQRGPTSKGATARKPRNRELSREPVEKKVHGNLLGREAPAKKGPGEAPGAAGKMKKPPSQPKEAQHAEELRRKSNRLGERLQLGERLQRGGVEKTCSKPNLRGSVKLGNVAPGTSKSAGGLSLVQKRTKANSSETKGSITHNVASSPKHHNPKTDKVLERKAKTYTVAGKTVTATYKVTKSPSPRVERMKKASTVGAGLSSMRTVGNVKSVQKRLDQASRHLPPHHHTAALGKASSGTSPAAAPHNASAQERRSDARHDSHKEKAPTAEKSKHKQVPEKERTSRSSGNFVHGNHVHKHTKGKRPTSQCSSSANNTATKVDETEDDEEEVEEEDQSSGFYVMSNPLAPSGRLCLFTLFDETKPKRKSLDSIQTAPPPLLPEVIKVPTTSPSNPQSQAFKRQAQLRPRKRSPGEEFDSSSVDNSTSSVQSADDKENESQESAPGLGKSQDNGLLGNVTKLSGAIGEAHQRKQSNKFEKLSLYLVKRVVREESWPIGDSDDYKKQIINRKPREKSM
+>sp|O75564|JERKY_HUMAN Jerky protein homolog OS=Homo sapiens OX=9606 GN=JRK PE=1 SV=3
+MASKPAAGKSRGEKRKRVVLTLKEKIDICTRLEKGESRKALMQEYNVGMSTLYDIRAHKAQLLRFFASSDSNKALEQRRTLHTPKLEHLDRVLYEWFLGKRSEGVPVSGPMLIEKAKDFYEQMQLTEPCVFSGGWLWRFKARHGIKKLDASSEKQSADHQAAEQFCAFFRSLAAEHGLSAEQVYNADETGLFWRCLPNPTPEGGAVPGPKQGKDRLTVLMCANATGSHRLKPLAIGKCSGPRAFKGIQHLPVAYKAQGNAWVDKEIFSDWFHHIFVPSVREHFRTIGLPEDSKAVLLLDSSRAHPQEAELVSSNVFTIFLPASVASLVQPMEQGIRRDFMRNFINPPVPLQGPHARYNMNDAIFSVACAWNAVPSHVFRRAWRKLWPSVAFAEGSSSEEELEAECFPVKPHNKSFAHILELVKEGSSCPGQLRQRQAASWGVAGREAEGGRPPAATSPAEVVWSSEKTPKADQDGRGDPGEGEEVAWEQAAVAFDAVLRFAERQPCFSAQEVGQLRALRAVFRSQQQETVGLEDVVVTSPEELAIPKCCLEASTET
+>DECOY_sp|O75564|JERKY_HUMAN Jerky protein homolog OS=Homo sapiens OX=9606 GN=JRK PE=1 SV=3
+TETSAELCCKPIALEEPSTVVVDELGVTEQQQSRFVARLARLQGVEQASFCPQREAFRLVADFAVAAQEWAVEEGEGPDGRGDQDAKPTKESSWVVEAPSTAAPPRGGEAERGAVGWSAAQRQRLQGPCSSGEKVLELIHAFSKNHPKVPFCEAELEEESSSGEAFAVSPWLKRWARRFVHSPVANWACAVSFIADNMNYRAHPGQLPVPPNIFNRMFDRRIGQEMPQVLSAVSAPLFITFVNSSVLEAEQPHARSSDLLLVAKSDEPLGITRFHERVSPVFIHHFWDSFIEKDVWANGQAKYAVPLHQIGKFARPGSCKGIALPKLRHSGTANACMLVTLRDKGQKPGPVAGGEPTPNPLCRWFLGTEDANYVQEASLGHEAALSRFFACFQEAAQHDASQKESSADLKKIGHRAKFRWLWGGSFVCPETLQMQEYFDKAKEILMPGSVPVGESRKGLFWEYLVRDLHELKPTHLTRRQELAKNSDSSAFFRLLQAKHARIDYLTSMGVNYEQMLAKRSEGKELRTCIDIKEKLTLVVRKRKEGRSKGAAPKSAM
+>sp|Q9H9V9|JMJD4_HUMAN JmjC domain-containing protein 4 OS=Homo sapiens OX=9606 GN=JMJD4 PE=1 SV=2
+MRAGPEPQALAGQKRGALRLLVPRLVLTVSAPAEVRRRVLRPVLSWMDRETRALADSHFRGLGVDVPGVGQAPGRVAFVSEPGAFSYADFVRGFLLPNLPCVFSSAFTQGWGSRRRWVTPAGRPDFDHLLRTYGDVVVPVANCGVQEYNSNPKEHMTLRDYITYWKEYIQAGYSSPRGCLYLKDWHLCRDFPVEDVFTLPVYFSSDWLNEFWDALDVDDYRFVYAGPAGSWSPFHADIFRSFSWSVNVCGRKKWLLFPPGQEEALRDRHGNLPYDVTSPALCDTHLHPRNQLAGPPLEITQEAGEMVFVPSGWHHQVHNLDDTISINHNWVNGFNLANMWRFLQQELCAVQEEVSEWRDSMPDWHHHCQVIMRSCSGINFEEFYHFLKVIAEKRLLVLREAAAEDGAGLGFEQAAFDVGRITEVLASLVAHPDFQRVDTSAFSPQPKELLQQLREAVDAAAAP
+>DECOY_sp|Q9H9V9|JMJD4_HUMAN JmjC domain-containing protein 4 OS=Homo sapiens OX=9606 GN=JMJD4 PE=1 SV=2
+PAAAADVAERLQQLLEKPQPSFASTDVRQFDPHAVLSALVETIRGVDFAAQEFGLGAGDEAAAERLVLLRKEAIVKLFHYFEEFNIGSCSRMIVQCHHHWDPMSDRWESVEEQVACLEQQLFRWMNALNFGNVWNHNISITDDLNHVQHHWGSPVFVMEGAEQTIELPPGALQNRPHLHTDCLAPSTVDYPLNGHRDRLAEEQGPPFLLWKKRGCVNVSWSFSRFIDAHFPSWSGAPGAYVFRYDDVDLADWFENLWDSSFYVPLTFVDEVPFDRCLHWDKLYLCGRPSSYGAQIYEKWYTIYDRLTMHEKPNSNYEQVGCNAVPVVVDGYTRLLHDFDPRGAPTVWRRRSGWGQTFASSFVCPLNPLLFGRVFDAYSFAGPESVFAVRGPAQGVGPVDVGLGRFHSDALARTERDMWSLVPRLVRRRVEAPASVTLVLRPVLLRLAGRKQGALAQPEPGARM
+>sp|Q8WXH2|JPH3_HUMAN Junctophilin-3 OS=Homo sapiens OX=9606 GN=JPH3 PE=2 SV=2
+MSSGGRFNFDDGGSYCGGWEDGKAHGHGVCTGPKGQGEYTGSWSHGFEVLGVYTWPSGNTYQGTWAQGKRHGIGLESKGKWVYKGEWTHGFKGRYGVRECAGNGAKYEGTWSNGLQDGYGTETYSDGGTYQGQWVGGMRQGYGVRQSVPYGMAAVIRSPLRTSINSLRSEHTNGTALHPDASPAVAGSPAVSRGGFVLVAHSDSEILKSKKKGLFRRSLLSGLKLRKSESKSSLASQRSKQSSFRSEAGMSTVSSTASDIHSTISLGEAEAELAVIEDDIDATTTETYVGEWKNDKRSGFGVSQRSDGLKYEGEWASNRRHGYGCMTFPDGTKEEGKYKQNILVGGKRKNLIPLRASKIREKVDRAVEAAERAATIAKQKAEIAASRTSHSRAKAEAALTAAQKAQEEARIARITAKEFSPSFQHRENGLEYQRPKRQTSCDDIEVLSTGTPLQQESPELYRKGTTPSDLTPDDSPLQSFPTSPAATPPPAPAARNKVAHFSRQVSVDEERGGDIQMLLEGRAGDCARSSWGEEQAGGSRGVRSGALRGGLLVDDFRTRGSGRKQPGNPKPRERRTESPPVFTWTSHHRASNHSPGGSRLLELQEEKLSNYRMEMKPLLRMETHPQKRRYSKGGACRGLGDDHRPEDRGFGVQRLRSKAQNKENFRPASSAEPAVQKLASLRLGGAEPRLLRWDLTFSPPQKSLPVALESDEENGDELKSSTGSAPILVVMVILLNIGVAILFINFFI
+>DECOY_sp|Q8WXH2|JPH3_HUMAN Junctophilin-3 OS=Homo sapiens OX=9606 GN=JPH3 PE=2 SV=2
+IFFNIFLIAVGINLLIVMVVLIPASGTSSKLEDGNEEDSELAVPLSKQPPSFTLDWRLLRPEAGGLRLSALKQVAPEASSAPRFNEKNQAKSRLRQVGFGRDEPRHDDGLGRCAGGKSYRRKQPHTEMRLLPKMEMRYNSLKEEQLELLRSGGPSHNSARHHSTWTFVPPSETRRERPKPNGPQKRGSGRTRFDDVLLGGRLAGSRVGRSGGAQEEGWSSRACDGARGELLMQIDGGREEDVSVQRSFHAVKNRAAPAPPPTAAPSTPFSQLPSDDPTLDSPTTGKRYLEPSEQQLPTGTSLVEIDDCSTQRKPRQYELGNERHQFSPSFEKATIRAIRAEEQAKQAATLAAEAKARSHSTRSAAIEAKQKAITAAREAAEVARDVKERIKSARLPILNKRKGGVLINQKYKGEEKTGDPFTMCGYGHRRNSAWEGEYKLGDSRQSVGFGSRKDNKWEGVYTETTTADIDDEIVALEAEAEGLSITSHIDSATSSVTSMGAESRFSSQKSRQSALSSKSESKRLKLGSLLSRRFLGKKKSKLIESDSHAVLVFGGRSVAPSGAVAPSADPHLATGNTHESRLSNISTRLPSRIVAAMGYPVSQRVGYGQRMGGVWQGQYTGGDSYTETGYGDQLGNSWTGEYKAGNGACERVGYRGKFGHTWEGKYVWKGKSELGIGHRKGQAWTGQYTNGSPWTYVGLVEFGHSWSGTYEGQGKPGTCVGHGHAKGDEWGGCYSGGDDFNFRGGSSM
+>sp|Q96MG2|JSPR1_HUMAN Junctional sarcoplasmic reticulum protein 1 OS=Homo sapiens OX=9606 GN=JSRP1 PE=1 SV=1
+MSMTTRAWEELDGGLGSCQALEDHSALAETQEDRASATPRLADSGSVPHDSQVAEGPSVDTRPKKMEKEPAARGTPGTGKERLKAGASPRSVPARKKAQTAPPLQPPPPPPALSEELPWGDLSLNKCLVLASLVALLGSAFQLCRDAVPGEAALQARVPEPWVPPSSAPREPSSPLPKFEAQAPPSAPPAPRAEAEVRPKIPGSREAAENDEEEPGEATGEAVREDRVTLADRGPKERPRREGKPRKEKPRKEERPKKERPRKEERPRAAREPREALPQRWESREGGHRPWARDSRDAEPRKKQAWVSPRRPDEEQRPGSRQKLRAGKGRD
+>DECOY_sp|Q96MG2|JSPR1_HUMAN Junctional sarcoplasmic reticulum protein 1 OS=Homo sapiens OX=9606 GN=JSRP1 PE=1 SV=1
+DRGKGARLKQRSGPRQEEDPRRPSVWAQKKRPEADRSDRAWPRHGGERSEWRQPLAERPERAARPREEKRPREKKPREEKRPKEKRPKGERRPREKPGRDALTVRDERVAEGTAEGPEEEDNEAAERSGPIKPRVEAEARPAPPASPPAQAEFKPLPSSPERPASSPPVWPEPVRAQLAAEGPVADRCLQFASGLLAVLSALVLCKNLSLDGWPLEESLAPPPPPPQLPPATQAKKRAPVSRPSAGAKLREKGTGPTGRAAPEKEMKKPRTDVSPGEAVQSDHPVSGSDALRPTASARDEQTEALASHDELAQCSGLGGDLEEWARTTMSM
+>sp|P17275|JUNB_HUMAN Transcription factor jun-B OS=Homo sapiens OX=9606 GN=JUNB PE=1 SV=1
+MCTKMEQPFYHDDSYTATGYGRAPGGLSLHDYKLLKPSLAVNLADPYRSLKAPGARGPGPEGGGGGSYFSGQGSDTGASLKLASSELERLIVPNSNGVITTTPTPPGQYFYPRGGGSGGGAGGAGGGVTEEQEGFADGFVKALDDLHKMNHVTPPNVSLGATGGPPAGPGGVYAGPEPPPVYTNLSSYSPASASSGGAGAAVGTGSSYPTTTISYLPHAPPFAGGHPAQLGLGRGASTFKEEPQTVPEARSRDATPPVSPINMEDQERIKVERKRLRNRLAATKCRKRKLERIARLEDKVKTLKAENAGLSSTAGLLREQVAQLKQKVMTHVSNGCQLLLGVKGHAF
+>DECOY_sp|P17275|JUNB_HUMAN Transcription factor jun-B OS=Homo sapiens OX=9606 GN=JUNB PE=1 SV=1
+FAHGKVGLLLQCGNSVHTMVKQKLQAVQERLLGATSSLGANEAKLTKVKDELRAIRELKRKRCKTAALRNRLRKREVKIREQDEMNIPSVPPTADRSRAEPVTQPEEKFTSAGRGLGLQAPHGGAFPPAHPLYSITTTPYSSGTGVAAGAGGSSASAPSYSSLNTYVPPPEPGAYVGGPGAPPGGTAGLSVNPPTVHNMKHLDDLAKVFGDAFGEQEETVGGGAGGAGGGSGGGRPYFYQGPPTPTTTIVGNSNPVILRELESSALKLSAGTDSGQGSFYSGGGGGEPGPGRAGPAKLSRYPDALNVALSPKLLKYDHLSLGGPARGYGTATYSDDHYFPQEMKTCM
+>sp|Q9UK76|JUPI1_HUMAN Jupiter microtubule associated homolog 1 OS=Homo sapiens OX=9606 GN=JPT1 PE=1 SV=3
+MTTTTTFKGVDPNSRNSSRVLRPPGGGSNFSLGFDEPTEQPVRKNKMASNIFGTPEENQASWAKSAGAKSSGGREDLESSGLQRRNSSEASSGDFLDLKGEGDIHENVDTDLPGSLGQSEEKPVPAAPVPSPVAPAPVPSRRNPPGGKSSLVLG
+>DECOY_sp|Q9UK76|JUPI1_HUMAN Jupiter microtubule associated homolog 1 OS=Homo sapiens OX=9606 GN=JPT1 PE=1 SV=3
+GLVLSSKGGPPNRRSPVPAPAVPSPVPAAPVPKEESQGLSGPLDTDVNEHIDGEGKLDLFDGSSAESSNRRQLGSSELDERGGSSKAGASKAWSAQNEEPTGFINSAMKNKRVPQETPEDFGLSFNSGGGPPRLVRSSNRSNPDVGKFTTTTTM
+>sp|Q9UPX6|K1024_HUMAN UPF0258 protein KIAA1024 OS=Homo sapiens OX=9606 GN=KIAA1024 PE=2 SV=3
+METSQETSLFLVKILEELDSKQNTVSYQDLCKSLCARFDLSQLAKLRSVLFYTACLDPNFPATLFKDKMKCTVNNQQSKKIMVAADIVTIFNLIQMNGGAAKEKLPTGRQKVRKKEASFESCRSDTEICNAAECEPLNCELSERSFSRGYPIRQSSKCRKMDCKDCPQFVPASEPNFLLGVSKEVKNRAASLDRLQALAPYSVTSPQPCEMQRTYFPMNIENESISDQDSLPINQSIKETFISNEEPFVVQSCVQKRNIFKEDFHNLMAVSPSLVGPISKAENEHREPQSRKEPHKPPFFNHSFEMPYNSQYLNPVYSPVPDKRRAKHESLDDLQASTYFGPTPVMGTQEARRCLGKPNKQTPWPAKSWSLNTEEVPDFERSFFNRNPSEEKLHYPNASSQTPNFPAPERRPTYLVPKDQQPILPIAYAAKQNGLKSKEISSPVDLEKHEPVKKFKDKSINCTSGQLSSDTSSVGTQTEHVLEPKKCRDLCTSGQGKYSDRHTMKHSDDDSEIVSDDISDIFRFLDDMSISGSTGVIQSSCYNSTGSLSQLHKSDCDSSPEHNLTKIANGVPNSKGDKGNRPENTHHSEEELKTSVCKLVLRIGEIERKLESLSGVRDEISQVLGKLNKLDQKMQQPEKVSVQIDLNSLTSEGPSDDSASPRMFHAHSGSHGPKLENNPDWCCSDASGSNSESLRVKALKKSLFTRPSSRSLTEENSATESKIASISNSPRDWRTITYTNRVGLNEEEIKDTGPGDNKDWHRKSKEADRQYDIPPQHRLPKQPKDGFLVEQVFSPHPYPASLKAHMKSNPLYTDMRLTELAEVKRGQPSWTIEEYARNAGDKGKLTALDLQTQESLNPNNLEYWMEDIYTPGYDSLLKRKEAEFRRAKVCKIAALIAAAACTVILVIVVPICTMKS
+>DECOY_sp|Q9UPX6|K1024_HUMAN UPF0258 protein KIAA1024 OS=Homo sapiens OX=9606 GN=KIAA1024 PE=2 SV=3
+SKMTCIPVVIVLIVTCAAAAILAAIKCVKARRFEAEKRKLLSDYGPTYIDEMWYELNNPNLSEQTQLDLATLKGKDGANRAYEEITWSPQGRKVEALETLRMDTYLPNSKMHAKLSAPYPHPSFVQEVLFGDKPQKPLRHQPPIDYQRDAEKSKRHWDKNDGPGTDKIEEENLGVRNTYTITRWDRPSNSISAIKSETASNEETLSRSSPRTFLSKKLAKVRLSESNSGSADSCCWDPNNELKPGHSGSHAHFMRPSASDDSPGESTLSNLDIQVSVKEPQQMKQDLKNLKGLVQSIEDRVGSLSELKREIEGIRLVLKCVSTKLEEESHHTNEPRNGKDGKSNPVGNAIKTLNHEPSSDCDSKHLQSLSGTSNYCSSQIVGTSGSISMDDLFRFIDSIDDSVIESDDDSHKMTHRDSYKGQGSTCLDRCKKPELVHETQTGVSSTDSSLQGSTCNISKDKFKKVPEHKELDVPSSIEKSKLGNQKAAYAIPLIPQQDKPVLYTPRREPAPFNPTQSSANPYHLKEESPNRNFFSREFDPVEETNLSWSKAPWPTQKNPKGLCRRAEQTGMVPTPGFYTSAQLDDLSEHKARRKDPVPSYVPNLYQSNYPMEFSHNFFPPKHPEKRSQPERHENEAKSIPGVLSPSVAMLNHFDEKFINRKQVCSQVVFPEENSIFTEKISQNIPLSDQDSISENEINMPFYTRQMECPQPSTVSYPALAQLRDLSAARNKVEKSVGLLFNPESAPVFQPCDKCDMKRCKSSQRIPYGRSFSRESLECNLPECEAANCIETDSRCSEFSAEKKRVKQRGTPLKEKAAGGNMQILNFITVIDAAVMIKKSQQNNVTCKMKDKFLTAPFNPDLCATYFLVSRLKALQSLDFRACLSKCLDQYSVTNQKSDLEELIKVLFLSTEQSTEM
+>sp|Q9ULG3|K1257_HUMAN Uncharacterized protein KIAA1257 OS=Homo sapiens OX=9606 GN=KIAA1257 PE=2 SV=2
+MSLHAWEWEEDPASIEPISSITSFYQSTSECDVEEHLKAKARAQESDSDRPCSSIESSSEPASTFSSDVPHVVPCKFTISLAFPVNMGQKGKYASLIEKYKKHPKTDSSVTKMRRFYHIEYFLLPDDEEPKKVDILLFPMVAKVFLESGVKTVKPWHEGDKAWVSWEQTFNITVTKELLKKINFHKITLRLWNTKDKMSRKVRYYRLKTAGFTDDVGAFHKSEVRHLVLNQRKLSEQGIENTNIVREESNQEHPPGKQEKTEKHPKSLQGSHQAEPETSSKNSEEYEKSLKMDDSSTIQWSVSRTPTISLAGASMMEIKELIESESLSSLTNILDRQRSQIKGKDSEGRRKIQRRHKKPLAEEEADPTLTGPRKQSAFSIQLAVMPLLAGTHCLPCSQQLLLVLWPERP
+>DECOY_sp|Q9ULG3|K1257_HUMAN Uncharacterized protein KIAA1257 OS=Homo sapiens OX=9606 GN=KIAA1257 PE=2 SV=2
+PREPWLVLLLQQSCPLCHTGALLPMVALQISFASQKRPGTLTPDAEEEALPKKHRRQIKRRGESDKGKIQSRQRDLINTLSSLSESEILEKIEMMSAGALSITPTRSVSWQITSSDDMKLSKEYEESNKSSTEPEAQHSGQLSKPHKETKEQKGPPHEQNSEERVINTNEIGQESLKRQNLVLHRVESKHFAGVDDTFGATKLRYYRVKRSMKDKTNWLRLTIKHFNIKKLLEKTVTINFTQEWSVWAKDGEHWPKVTKVGSELFVKAVMPFLLIDVKKPEEDDPLLFYEIHYFRRMKTVSSDTKPHKKYKEILSAYKGKQGMNVPFALSITFKCPVVHPVDSSFTSAPESSSEISSCPRDSDSEQARAKAKLHEEVDCESTSQYFSTISSIPEISAPDEEWEWAHLSM
+>sp|Q6UXG2|K1324_HUMAN UPF0577 protein KIAA1324 OS=Homo sapiens OX=9606 GN=KIAA1324 PE=2 SV=2
+MAEPGHSHHLSARVRGRTERRIPRLWRLLLWAGTAFQVTQGTGPELHACKESEYHYEYTACDSTGSRWRVAVPHTPGLCTSLPDPIKGTECSFSCNAGEFLDMKDQSCKPCAEGRYSLGTGIRFDEWDELPHGFASLSANMELDDSAAESTGNCTSSKWVPRGDYIASNTDECTATLMYAVNLKQSGTVNFEYYYPDSSIIFEFFVQNDQCQPNADDSRWMKTTEKGWEFHSVELNRGNNVLYWRTTAFSVWTKVPKPVLVRNIAITGVAYTSECFPCKPGTYADKQGSSFCKLCPANSYSNKGETSCHQCDPDKYSEKGSSSCNVRPACTDKDYFYTHTACDANGETQLMYKWAKPKICSEDLEGAVKLPASGVKTHCPPCNPGFFKTNNSTCQPCPYGSYSNGSDCTRCPAGTEPAVGFEYKWWNTLPTNMETTVLSGINFEYKGMTGWEVAGDHIYTAAGASDNDFMILTLVVPGFRPPQSVMADTENKEVARITFVFETLCSVNCELYFMVGVNSRTNTPVETWKGSKGKQSYTYIIEENTTTSFTWAFQRTTFHEASRKYTNDVAKIYSINVTNVMNGVASYCRPCALEASDVGSSCTSCPAGYYIDRDSGTCHSCPTNTILKAHQPYGVQACVPCGPGTKNNKIHSLCYNDCTFSRNTPTRTFNYNFSALANTVTLAGGPSFTSKGLKYFHHFTLSLCGNQGRKMSVCTDNVTDLRIPEGESGFSKSITAYVCQAVIIPPEVTGYKAGVSSQPVSLADRLIGVTTDMTLDGITSPAELFHLESLGIPDVIFFYRSNDVTQSCSSGRSTTIRVRCSPQKTVPGSLLLPGTCSDGTCDGCNFHFLWESAAACPLCSVADYHAIVSSCVAGIQKTTYVWREPKLCSGGISLPEQRVTICKTIDFWLKVGISAGTCTAILLTVLTCYFWKKNQKLEYKYSKLVMNATLKDCDLPAADSCAIMEGEDVEDDLIFTSKKSLFGKIKSFTSKRTPDGFDSVPLKTSSGGLDMDL
+>DECOY_sp|Q6UXG2|K1324_HUMAN UPF0577 protein KIAA1324 OS=Homo sapiens OX=9606 GN=KIAA1324 PE=2 SV=2
+LDMDLGGSSTKLPVSDFGDPTRKSTFSKIKGFLSKKSTFILDDEVDEGEMIACSDAAPLDCDKLTANMVLKSYKYELKQNKKWFYCTLVTLLIATCTGASIGVKLWFDITKCITVRQEPLSIGGSCLKPERWVYTTKQIGAVCSSVIAHYDAVSCLPCAAASEWLFHFNCGDCTGDSCTGPLLLSGPVTKQPSCRVRITTSRGSSCSQTVDNSRYFFIVDPIGLSELHFLEAPSTIGDLTMDTTVGILRDALSVPQSSVGAKYGTVEPPIIVAQCVYATISKSFGSEGEPIRLDTVNDTCVSMKRGQNGCLSLTFHHFYKLGKSTFSPGGALTVTNALASFNYNFTRTPTNRSFTCDNYCLSHIKNNKTGPGCPVCAQVGYPQHAKLITNTPCSHCTGSDRDIYYGAPCSTCSSGVDSAELACPRCYSAVGNMVNTVNISYIKAVDNTYKRSAEHFTTRQFAWTFSTTTNEEIIYTYSQKGKSGKWTEVPTNTRSNVGVMFYLECNVSCLTEFVFTIRAVEKNETDAMVSQPPRFGPVVLTLIMFDNDSAGAATYIHDGAVEWGTMGKYEFNIGSLVTTEMNTPLTNWWKYEFGVAPETGAPCRTCDSGNSYSGYPCPQCTSNNTKFFGPNCPPCHTKVGSAPLKVAGELDESCIKPKAWKYMLQTEGNADCATHTYFYDKDTCAPRVNCSSSGKESYKDPDCQHCSTEGKNSYSNAPCLKCFSSGQKDAYTGPKCPFCESTYAVGTIAINRVLVPKPVKTWVSFATTRWYLVNNGRNLEVSHFEWGKETTKMWRSDDANPQCQDNQVFFEFIISSDPYYYEFNVTGSQKLNVAYMLTATCEDTNSAIYDGRPVWKSSTCNGTSEAASDDLEMNASLSAFGHPLEDWEDFRIGTGLSYRGEACPKCSQDKMDLFEGANCSFSCETGKIPDPLSTCLGPTHPVAVRWRSGTSDCATYEYHYESEKCAHLEPGTGQTVQFATGAWLLLRWLRPIRRETRGRVRASLHHSHGPEAM
+>sp|A8MWY0|K132L_HUMAN UPF0577 protein KIAA1324-like OS=Homo sapiens OX=9606 GN=KIAA1324L PE=1 SV=2
+MLFRARGPVRGRGWGRPAEAPRRGRSPPWSPAWICCWALAGCQAAWAGDLPSSSSRPLPPCQEKDYHFEYTECDSSGSRWRVAIPNSAVDCSGLPDPVRGKECTFSCASGEYLEMKNQVCSKCGEGTYSLGSGIKFDEWDELPAGFSNIATFMDTVVGPSDSRPDGCNNSSWIPRGNYIESNRDDCTVSLIYAVHLKKSGYVFFEYQYVDNNIFFEFFIQNDQCQEMDTTTDKWVKLTDNGEWGSHSVMLKSGTNILYWRTTGILMGSKAVKPVLVKNITIEGVAYTSECFPCKPGTFSNKPGSFNCQVCPRNTYSEKGAKECIRCKDDSQFSEEGSSECTERPPCTTKDYFQIHTPCDEEGKTQIMYKWIEPKICREDLTDAIRLPPSGEKKDCPPCNPGFYNNGSSSCHPCPPGTFSDGTKECRPCPAGTEPALGFEYKWWNVLPGNMKTSCFNVGNSKCDGMNGWEVAGDHIQSGAGGSDNDYLILNLHIPGFKPPTSMTGATGSELGRITFVFETLCSADCVLYFMVDINRKSTNVVESWGGTKEKQAYTHIIFKNATFTFTWAFQRTNQGQDNRRFINDMVKIYSITATNAVDGVASSCRACALGSEQSGSSCVPCPPGHYIEKETNQCKECPPDTYLSIHQVYGKEACIPCGPGSKNNQDHSVCYSDCFFYHEKENQSLHYDFSNLSSVGSLMNGPSFTSKGTKYFHFFNISLCGHEGKKMALCTNNITDFTVKEIVAGSDDYTNLVGAFVCQSTIIPSESKGFRAALSSQSIILADTFIGVTVETTLKNINIKEDMFPVPTSQIPDVHFFYKSSTATTSCINGRSTAVKMRCNPTKSGAGVISVPSKCPAGTCDGCTFYFLWESAEACPLCTEHDFHEIEGACKRGFQETLYVWNEPKWCIKGISLPEKKLATCETVDFWLKVGAGVGAFTAVLLVALTCYFWKKNQKLEYKYSKLVMTTNSKECELPAADSCAIMEGEDNEEEVVYSNKQSLLGKLKSLATKEKEDHFESVQLKTSRSPNI
+>DECOY_sp|A8MWY0|K132L_HUMAN UPF0577 protein KIAA1324-like OS=Homo sapiens OX=9606 GN=KIAA1324L PE=1 SV=2
+INPSRSTKLQVSEFHDEKEKTALSKLKGLLSQKNSYVVEEENDEGEMIACSDAAPLECEKSNTTMVLKSYKYELKQNKKWFYCTLAVLLVATFAGVGAGVKLWFDVTECTALKKEPLSIGKICWKPENWVYLTEQFGRKCAGEIEHFDHETCLPCAEASEWLFYFTCGDCTGAPCKSPVSIVGAGSKTPNCRMKVATSRGNICSTTATSSKYFFHVDPIQSTPVPFMDEKININKLTTEVTVGIFTDALIISQSSLAARFGKSESPIITSQCVFAGVLNTYDDSGAVIEKVTFDTINNTCLAMKKGEHGCLSINFFHFYKTGKSTFSPGNMLSGVSSLNSFDYHLSQNEKEHYFFCDSYCVSHDQNNKSGPGCPICAEKGYVQHISLYTDPPCEKCQNTEKEIYHGPPCPVCSSGSQESGLACARCSSAVGDVANTATISYIKVMDNIFRRNDQGQNTRQFAWTFTFTANKFIIHTYAQKEKTGGWSEVVNTSKRNIDVMFYLVCDASCLTEFVFTIRGLESGTAGTMSTPPKFGPIHLNLILYDNDSGGAGSQIHDGAVEWGNMGDCKSNGVNFCSTKMNGPLVNWWKYEFGLAPETGAPCPRCEKTGDSFTGPPCPHCSSSGNNYFGPNCPPCDKKEGSPPLRIADTLDERCIKPEIWKYMIQTKGEEDCPTHIQFYDKTTCPPRETCESSGEESFQSDDKCRICEKAGKESYTNRPCVQCNFSGPKNSFTGPKCPFCESTYAVGEITINKVLVPKVAKSGMLIGTTRWYLINTGSKLMVSHSGWEGNDTLKVWKDTTTDMEQCQDNQIFFEFFINNDVYQYEFFVYGSKKLHVAYILSVTCDDRNSEIYNGRPIWSSNNCGDPRSDSPGVVTDMFTAINSFGAPLEDWEDFKIGSGLSYTGEGCKSCVQNKMELYEGSACSFTCEKGRVPDPLGSCDVASNPIAVRWRSGSSDCETYEFHYDKEQCPPLPRSSSSPLDGAWAAQCGALAWCCIWAPSWPPSRGRRPAEAPRGWGRGRVPGRARFLM
+>sp|P08887|IL6RA_HUMAN Interleukin-6 receptor subunit alpha OS=Homo sapiens OX=9606 GN=IL6R PE=1 SV=1
+MLAVGCALLAALLAAPGAALAPRRCPAQEVARGVLTSLPGDSVTLTCPGVEPEDNATVHWVLRKPAAGSHPSRWAGMGRRLLLRSVQLHDSGNYSCYRAGRPAGTVHLLVDVPPEEPQLSCFRKSPLSNVVCEWGPRSTPSLTTKAVLLVRKFQNSPAEDFQEPCQYSQESQKFSCQLAVPEGDSSFYIVSMCVASSVGSKFSKTQTFQGCGILQPDPPANITVTAVARNPRWLSVTWQDPHSWNSSFYRLRFELRYRAERSKTFTTWMVKDLQHHCVIHDAWSGLRHVVQLRAQEEFGQGEWSEWSPEAMGTPWTESRSPPAENEVSTPMQALTTNKDDDNILFRDSANATSLPVQDSSSVPLPTFLVAGGSLAFGTLLCIAIVLRFKKTWKLRALKEGKTSMHPPYSLGQLVPERPRPTPVLVPLISPPVSPSSLGSDNTSSHNRPDARDPRSPYDISNTDYFFPR
+>DECOY_sp|P08887|IL6RA_HUMAN Interleukin-6 receptor subunit alpha OS=Homo sapiens OX=9606 GN=IL6R PE=1 SV=1
+RPFFYDTNSIDYPSRPDRADPRNHSSTNDSGLSSPSVPPSILPVLVPTPRPREPVLQGLSYPPHMSTKGEKLARLKWTKKFRLVIAICLLTGFALSGGAVLFTPLPVSSSDQVPLSTANASDRFLINDDDKNTTLAQMPTSVENEAPPSRSETWPTGMAEPSWESWEGQGFEEQARLQVVHRLGSWADHIVCHHQLDKVMWTTFTKSREARYRLEFRLRYFSSNWSHPDQWTVSLWRPNRAVATVTINAPPDPQLIGCGQFTQTKSFKSGVSSAVCMSVIYFSSDGEPVALQCSFKQSEQSYQCPEQFDEAPSNQFKRVLLVAKTTLSPTSRPGWECVVNSLPSKRFCSLQPEEPPVDVLLHVTGAPRGARYCSYNGSDHLQVSRLLLRRGMGAWRSPHSGAAPKRLVWHVTANDEPEVGPCTLTVSDGPLSTLVGRAVEQAPCRRPALAAGPAALLAALLACGVALM
+>sp|P40189|IL6RB_HUMAN Interleukin-6 receptor subunit beta OS=Homo sapiens OX=9606 GN=IL6ST PE=1 SV=2
+MLTLQTWLVQALFIFLTTESTGELLDPCGYISPESPVVQLHSNFTAVCVLKEKCMDYFHVNANYIVWKTNHFTIPKEQYTIINRTASSVTFTDIASLNIQLTCNILTFGQLEQNVYGITIISGLPPEKPKNLSCIVNEGKKMRCEWDGGRETHLETNFTLKSEWATHKFADCKAKRDTPTSCTVDYSTVYFVNIEVWVEAENALGKVTSDHINFDPVYKVKPNPPHNLSVINSEELSSILKLTWTNPSIKSVIILKYNIQYRTKDASTWSQIPPEDTASTRSSFTVQDLKPFTEYVFRIRCMKEDGKGYWSDWSEEASGITYEDRPSKAPSFWYKIDPSHTQGYRTVQLVWKTLPPFEANGKILDYEVTLTRWKSHLQNYTVNATKLTVNLTNDRYLATLTVRNLVGKSDAAVLTIPACDFQATHPVMDLKAFPKDNMLWVEWTTPRESVKKYILEWCVLSDKAPCITDWQQEDGTVHRTYLRGNLAESKCYLITVTPVYADGPGSPESIKAYLKQAPPSKGPTVRTKKVGKNEAVLEWDQLPVDVQNGFIRNYTIFYRTIIGNETAVNVDSSHTEYTLSSLTSDTLYMVRMAAYTDEGGKDGPEFTFTTPKFAQGEIEAIVVPVCLAFLLTTLLGVLFCFNKRDLIKKHIWPNVPDPSKSHIAQWSPHTPPRHNFNSKDQMYSDGNFTDVSVVEIEANDKKPFPEDLKSLDLFKKEKINTEGHSSGIGGSSCMSSSRPSISSSDENESSQNTSSTVQYSTVVHSGYRHQVPSVQVFSRSESTQPLLDSEERPEDLQLVDHVDGGDGILPRQQYFKQNCSQHESSPDISHFERSKQVSSVNEEDFVRLKQQISDHISQSCGSGQMKMFQEVSAADAFGPGTEGQVERFETVGMEAATDEGMPKSYLPQTVRQGGYMPQ
+>DECOY_sp|P40189|IL6RB_HUMAN Interleukin-6 receptor subunit beta OS=Homo sapiens OX=9606 GN=IL6ST PE=1 SV=2
+QPMYGGQRVTQPLYSKPMGEDTAAEMGVTEFREVQGETGPGFADAASVEQFMKMQGSGCSQSIHDSIQQKLRVFDEENVSSVQKSREFHSIDPSSEHQSCNQKFYQQRPLIGDGGDVHDVLQLDEPREESDLLPQTSESRSFVQVSPVQHRYGSHVVTSYQVTSSTNQSSENEDSSSISPRSSSMCSSGGIGSSHGETNIKEKKFLDLSKLDEPFPKKDNAEIEVVSVDTFNGDSYMQDKSNFNHRPPTHPSWQAIHSKSPDPVNPWIHKKILDRKNFCFLVGLLTTLLFALCVPVVIAEIEGQAFKPTTFTFEPGDKGGEDTYAAMRVMYLTDSTLSSLTYETHSSDVNVATENGIITRYFITYNRIFGNQVDVPLQDWELVAENKGVKKTRVTPGKSPPAQKLYAKISEPSGPGDAYVPTVTILYCKSEALNGRLYTRHVTGDEQQWDTICPAKDSLVCWELIYKKVSERPTTWEVWLMNDKPFAKLDMVPHTAQFDCAPITLVAADSKGVLNRVTLTALYRDNTLNVTLKTANVTYNQLHSKWRTLTVEYDLIKGNAEFPPLTKWVLQVTRYGQTHSPDIKYWFSPAKSPRDEYTIGSAEESWDSWYGKGDEKMCRIRFVYETFPKLDQVTFSSRTSATDEPPIQSWTSADKTRYQINYKLIIVSKISPNTWTLKLISSLEESNIVSLNHPPNPKVKYVPDFNIHDSTVKGLANEAEVWVEINVFYVTSYDVTCSTPTDRKAKCDAFKHTAWESKLTFNTELHTERGGDWECRMKKGENVICSLNKPKEPPLGSIITIGYVNQELQGFTLINCTLQINLSAIDTFTVSSATRNIITYQEKPITFHNTKWVIYNANVHFYDMCKEKLVCVATFNSHLQVVPSEPSIYGCPDLLEGTSETTLFIFLAQVLWTQLTLM
+>sp|P16871|IL7RA_HUMAN Interleukin-7 receptor subunit alpha OS=Homo sapiens OX=9606 GN=IL7R PE=1 SV=2
+MTILGTTFGMVFSLLQVVSGESGYAQNGDLEDAELDDYSFSCYSQLEVNGSQHSLTCAFEDPDVNTTNLEFEICGALVEVKCLNFRKLQEIYFIETKKFLLIGKSNICVKVGEKSLTCKKIDLTTIVKPEAPFDLSVIYREGANDFVVTFNTSHLQKKYVKVLMHDVAYRQEKDENKWTHVNLSSTKLTLLQRKLQPAAMYEIKVRSIPDHYFKGFWSEWSPSYYFRTPEINNSSGEMDPILLTISILSFFSVALLVILACVLWKKRIKPIVWPSLPDHKKTLEHLCKKPRKNLNVSFNPESFLDCQIHRVDDIQARDEVEGFLQDTFPQQLEESEKQRLGGDVQSPNCPSEDVVITPESFGRDSSLTCLAGNVSACDAPILSSSRSLDCRESGKNGPHVYQDLLLSLGTTNSTLPPPFSLQSGILTLNPVAQGQPILTSLGSNQEEAYVTMSSFYQNQ
+>DECOY_sp|P16871|IL7RA_HUMAN Interleukin-7 receptor subunit alpha OS=Homo sapiens OX=9606 GN=IL7R PE=1 SV=2
+QNQYFSSMTVYAEEQNSGLSTLIPQGQAVPNLTLIGSQLSFPPPLTSNTTGLSLLLDQYVHPGNKGSERCDLSRSSSLIPADCASVNGALCTLSSDRGFSEPTIVVDESPCNPSQVDGGLRQKESEELQQPFTDQLFGEVEDRAQIDDVRHIQCDLFSEPNFSVNLNKRPKKCLHELTKKHDPLSPWVIPKIRKKWLVCALIVLLAVSFFSLISITLLIPDMEGSSNNIEPTRFYYSPSWESWFGKFYHDPISRVKIEYMAAPQLKRQLLTLKTSSLNVHTWKNEDKEQRYAVDHMLVKVYKKQLHSTNFTVVFDNAGERYIVSLDFPAEPKVITTLDIKKCTLSKEGVKVCINSKGILLFKKTEIFYIEQLKRFNLCKVEVLAGCIEFELNTTNVDPDEFACTLSHQSGNVELQSYCSFSYDDLEADELDGNQAYGSEGSVVQLLSFVMGFTTGLITM
+>sp|Q13418|ILK_HUMAN Integrin-linked protein kinase OS=Homo sapiens OX=9606 GN=ILK PE=1 SV=2
+MDDIFTQCREGNAVAVRLWLDNTENDLNQGDDHGFSPLHWACREGRSAVVEMLIMRGARINVMNRGDDTPLHLAASHGHRDIVQKLLQYKADINAVNEHGNVPLHYACFWGQDQVAEDLVANGALVSICNKYGEMPVDKAKAPLRELLRERAEKMGQNLNRIPYKDTFWKGTTRTRPRNGTLNKHSGIDFKQLNFLTKLNENHSGELWKGRWQGNDIVVKVLKVRDWSTRKSRDFNEECPRLRIFSHPNVLPVLGACQSPPAPHPTLITHWMPYGSLYNVLHEGTNFVVDQSQAVKFALDMARGMAFLHTLEPLIPRHALNSRSVMIDEDMTARISMADVKFSFQCPGRMYAPAWVAPEALQKKPEDTNRRSADMWSFAVLLWELVTREVPFADLSNMEIGMKVALEGLRPTIPPGISPHVCKLMKICMNEDPAKRPKFDMIVPILEKMQDK
+>DECOY_sp|Q13418|ILK_HUMAN Integrin-linked protein kinase OS=Homo sapiens OX=9606 GN=ILK PE=1 SV=2
+KDQMKELIPVIMDFKPRKAPDENMCIKMLKCVHPSIGPPITPRLGELAVKMGIEMNSLDAFPVERTVLEWLLVAFSWMDASRRNTDEPKKQLAEPAVWAPAYMRGPCQFSFKVDAMSIRATMDEDIMVSRSNLAHRPILPELTHLFAMGRAMDLAFKVAQSQDVVFNTGEHLVNYLSGYPMWHTILTPHPAPPSQCAGLVPLVNPHSFIRLRPCEENFDRSKRTSWDRVKLVKVVIDNGQWRGKWLEGSHNENLKTLFNLQKFDIGSHKNLTGNRPRTRTTGKWFTDKYPIRNLNQGMKEARERLLERLPAKAKDVPMEGYKNCISVLAGNAVLDEAVQDQGWFCAYHLPVNGHENVANIDAKYQLLKQVIDRHGHSAALHLPTDDGRNMVNIRAGRMILMEVVASRGERCAWHLPSFGHDDGQNLDNETNDLWLRVAVANGERCQTFIDDM
+>sp|P52292|IMA1_HUMAN Importin subunit alpha-1 OS=Homo sapiens OX=9606 GN=KPNA2 PE=1 SV=1
+MSTNENANTPAARLHRFKNKGKDSTEMRRRRIEVNVELRKAKKDDQMLKRRNVSSFPDDATSPLQENRNNQGTVNWSVDDIVKGINSSNVENQLQATQAARKLLSREKQPPIDNIIRAGLIPKFVSFLGRTDCSPIQFESAWALTNIASGTSEQTKAVVDGGAIPAFISLLASPHAHISEQAVWALGNIAGDGSVFRDLVIKYGAVDPLLALLAVPDMSSLACGYLRNLTWTLSNLCRNKNPAPPIDAVEQILPTLVRLLHHDDPEVLADTCWAISYLTDGPNERIGMVVKTGVVPQLVKLLGASELPIVTPALRAIGNIVTGTDEQTQVVIDAGALAVFPSLLTNPKTNIQKEATWTMSNITAGRQDQIQQVVNHGLVPFLVSVLSKADFKTQKEAVWAVTNYTSGGTVEQIVYLVHCGIIEPLMNLLTAKDTKIILVILDAISNIFQAAEKLGETEKLSIMIEECGGLDKIEALQNHENESVYKASLSLIEKYFSVEEEEDQNVVPETTSEGYTFQVQDGAPGTFNF
+>DECOY_sp|P52292|IMA1_HUMAN Importin subunit alpha-1 OS=Homo sapiens OX=9606 GN=KPNA2 PE=1 SV=1
+FNFTGPAGDQVQFTYGESTTEPVVNQDEEEEVSFYKEILSLSAKYVSENEHNQLAEIKDLGGCEEIMISLKETEGLKEAAQFINSIADLIVLIIKTDKATLLNMLPEIIGCHVLYVIQEVTGGSTYNTVAWVAEKQTKFDAKSLVSVLFPVLGHNVVQQIQDQRGATINSMTWTAEKQINTKPNTLLSPFVALAGADIVVQTQEDTGTVINGIARLAPTVIPLESAGLLKVLQPVVGTKVVMGIRENPGDTLYSIAWCTDALVEPDDHHLLRVLTPLIQEVADIPPAPNKNRCLNSLTWTLNRLYGCALSSMDPVALLALLPDVAGYKIVLDRFVSGDGAINGLAWVAQESIHAHPSALLSIFAPIAGGDVVAKTQESTGSAINTLAWASEFQIPSCDTRGLFSVFKPILGARIINDIPPQKERSLLKRAAQTAQLQNEVNSSNIGKVIDDVSWNVTGQNNRNEQLPSTADDPFSSVNRRKLMQDDKKAKRLEVNVEIRRRRMETSDKGKNKFRHLRAAPTNANENTSM
+>sp|Q53TQ3|IN80D_HUMAN INO80 complex subunit D OS=Homo sapiens OX=9606 GN=INO80D PE=1 SV=2
+MYEGKHIHFSEVDNKPLCSYSPKLCKQRRLNGYAFCIRHVLEDKTAPFKQCEYVAKYNSQRCTNPIPKSEDRRYCNSHLQVLGFIPKKERKKKNDPIDEVKVRHQMDTMAFSLTVPTLALKMPNGLDGMSLSPPGARVPLHYLETELEDPFAFNEEDDDLKKGATVRKKLQSKLAQNRQRQRETEILKVRQEHFSPPPAPSQQQPPQQHSHLSPLSTSLKPPAPPQGSVCKSPQPQNTSLPMQGVAPTTHTIAQARQLSHKRPLPLLPSSRAPTVDPPRTDRILMKATAFSPHFSCISRLQRLVKLCTQKHQLDTDLFPHLGLDWSEESGEEPEDSEQASPYQVAWSIRETLRYQRHASDDDDAESRSSRVTQLCTYFQQKYKHLCRLERAESRQKKCRHTFRKALLQAASKEPECTGQLIQELRRAACSRTSISRTKLREVEPAACSGTVKGEQCANKALPFTRHCFQHILLNHSQQLFSSCTAKFADGQQCSVPVFDITHQTPLCEEHAKKMDNFLRGDNSRKVQHQQQRKPRKKTKPPALTKKHKKKRRRGPRRPQKPIPPAVPQGNLSMPASVSLPVEASHIRSPSTPELSADELPDDIANEITDIPHDLELNQEDFSDVLPRLPDDLQDFDFFEGKNGDLLPTTEEAEELERALQAVTSLECLSTIGVLAQSDGVPVQELSDRGIGVFSTGTGASGIQSLSREVNTDLGELLNGRIVHDNFSSLELDENLLRSATLSNPPTPLAGQIQGQFSAPANVGLTSATLISQSALGERAFPGQFHGLHDGSHASQRPHPAQLLSKADDLITSRQQYSSDHSHSSPHGSHYDSEHVPSPYSDHITSPHTTSYSGDNMAAPVCFRGYHRPASVAWGLLLN
+>DECOY_sp|Q53TQ3|IN80D_HUMAN INO80 complex subunit D OS=Homo sapiens OX=9606 GN=INO80D PE=1 SV=2
+NLLLGWAVSAPRHYGRFCVPAAMNDGSYSTTHPSTIHDSYPSPVHESDYHSGHPSSHSHDSSYQQRSTILDDAKSLLQAPHPRQSAHSGDHLGHFQGPFAREGLASQSILTASTLGVNAPASFQGQIQGALPTPPNSLTASRLLNEDLELSSFNDHVIRGNLLEGLDTNVERSLSQIGSAGTGTSFVGIGRDSLEQVPVGDSQALVGITSLCELSTVAQLARELEEAEETTPLLDGNKGEFFDFDQLDDPLRPLVDSFDEQNLELDHPIDTIENAIDDPLEDASLEPTSPSRIHSAEVPLSVSAPMSLNGQPVAPPIPKQPRRPGRRRKKKHKKTLAPPKTKKRPKRQQQHQVKRSNDGRLFNDMKKAHEECLPTQHTIDFVPVSCQQGDAFKATCSSFLQQSHNLLIHQFCHRTFPLAKNACQEGKVTGSCAAPEVERLKTRSISTRSCAARRLEQILQGTCEPEKSAAQLLAKRFTHRCKKQRSEARELRCLHKYKQQFYTCLQTVRSSRSEADDDDSAHRQYRLTERISWAVQYPSAQESDEPEEGSEESWDLGLHPFLDTDLQHKQTCLKVLRQLRSICSFHPSFATAKMLIRDTRPPDVTPARSSPLLPLPRKHSLQRAQAITHTTPAVGQMPLSTNQPQPSKCVSGQPPAPPKLSTSLPSLHSHQQPPQQQSPAPPPSFHEQRVKLIETERQRQRNQALKSQLKKRVTAGKKLDDDEENFAFPDELETELYHLPVRAGPPSLSMGDLGNPMKLALTPVTLSFAMTDMQHRVKVEDIPDNKKKREKKPIFGLVQLHSNCYRRDESKPIPNTCRQSNYKAVYECQKFPATKDELVHRICFAYGNLRRQKCLKPSYSCLPKNDVESFHIHKGEYM
+>sp|Q27J81|INF2_HUMAN Inverted formin-2 OS=Homo sapiens OX=9606 GN=INF2 PE=1 SV=2
+MSVKEGAQRKWAALKEKLGPQDSDPTEANLESADPELCIRLLQMPSVVNYSGLRKRLEGSDGGWMVQFLEQSGLDLLLEALARLSGRGVARISDALLQLTCVSCVRAVMNSRQGIEYILSNQGYVRQLSQALDTSNVMVKKQVFELLAALCIYSPEGHVLTLDALDHYKTVCSQQYRFSIVMNELSGSDNVPYVVTLLSVINAVILGPEDLRARTQLRNEFIGLQLLDVLARLRDLEDADLLIQLEAFEEAKAEDEEELLRVSGGVDMSSHQEVFASLFHKVSCSPVSAQLLSVLQGLLHLEPTLRSSQLLWEALESLVNRAVLLASDAQECTLEEVVERLLSVKGRPRPSPLVKAHKSVQANLDQSQRGSSPQNTTTPKPSVEGQQPAAAAACEPVDHAQSESILKVSQPRALEQQASTPPPPPPPPLLPGSSAEPPPPPPPPPLPSVGAKALPTAPPPPPLPGLGAMAPPAPPLPPPLPGSCEFLPPPPPPLPGLGCPPPPPPLLPGMGWGPPPPPPPLLPCTCSPPVAGGMEEVIVAQVDHGLGSAWVPSHRRVNPPTLRMKKLNWQKLPSNVAREHNSMWASLSSPDAEAVEPDFSSIERLFSFPAAKPKEPTMVAPRARKEPKEITFLDAKKSLNLNIFLKQFKCSNEEVAAMIRAGDTTKFDVEVLKQLLKLLPEKHEIENLRAFTEERAKLASADHFYLLLLAIPCYQLRIECMLLCEGAAAVLDMVRPKAQLVLAACESLLTSRQLPIFCQLILRIGNFLNYGSHTGDADGFKISTLLKLTETKSQQNRVTLLHHVLEEAEKSHPDLLQLPRDLEQPSQAAGINLEIIRSEASSNLKKLLETERKVSASVAEVQEQYTERLQASISAFRALDELFEAIEQKQRELADYLCEDAQQLSLEDTFSTMKAFRDLFLRALKENKDRKEQAAKAERRKQQLAEEEARRPRGEDGKPVRKGPGKQEEVCVIDALLADIRKGFQLRKTARGRGDTDGGSKAASMDPPRATEPVATSNPAGDPVGSTRCPASEPGLDATTASESRGWDLVDAVTPGPQPTLEQLEEGGPRPLERRSSWYVDASDVLTTEDPQCPQPLEGAWPVTLGDAQALKPLKFSSNQPPAAGSSRQDAKDPTSLLGVLQAEADSTSEGLEDAVHSRGARPPAAGPGGDEDEDEEDTAPESALDTSLDKSFSEDAVTDSSGSGTLPRARGRASKGTGKRRKKRPSRSQEEVPPDSDDNKTKKLCVIQ
+>DECOY_sp|Q27J81|INF2_HUMAN Inverted formin-2 OS=Homo sapiens OX=9606 GN=INF2 PE=1 SV=2
+QIVCLKKTKNDDSDPPVEEQSRSPRKKRRKGTGKSARGRARPLTGSGSSDTVADESFSKDLSTDLASEPATDEEDEDEDGGPGAAPPRAGRSHVADELGESTSDAEAQLVGLLSTPDKADQRSSGAAPPQNSSFKLPKLAQADGLTVPWAGELPQPCQPDETTLVDSADVYWSSRRELPRPGGEELQELTPQPGPTVADVLDWGRSESATTADLGPESAPCRTSGVPDGAPNSTAVPETARPPDMSAAKSGGDTDGRGRATKRLQFGKRIDALLADIVCVEEQKGPGKRVPKGDEGRPRRAEEEALQQKRREAKAAQEKRDKNEKLARLFLDRFAKMTSFTDELSLQQADECLYDALERQKQEIAEFLEDLARFASISAQLRETYQEQVEAVSASVKRETELLKKLNSSAESRIIELNIGAAQSPQELDRPLQLLDPHSKEAEELVHHLLTVRNQQSKTETLKLLTSIKFGDADGTHSGYNLFNGIRLILQCFIPLQRSTLLSECAALVLQAKPRVMDLVAAAGECLLMCEIRLQYCPIALLLLYFHDASALKAREETFARLNEIEHKEPLLKLLQKLVEVDFKTTDGARIMAAVEENSCKFQKLFINLNLSKKADLFTIEKPEKRARPAVMTPEKPKAAPFSFLREISSFDPEVAEADPSSLSAWMSNHERAVNSPLKQWNLKKMRLTPPNVRRHSPVWASGLGHDVQAVIVEEMGGAVPPSCTCPLLPPPPPPPGWGMGPLLPPPPPPCGLGPLPPPPPPLFECSGPLPPPLPPAPPAMAGLGPLPPPPPATPLAKAGVSPLPPPPPPPPPEASSGPLLPPPPPPPPTSAQQELARPQSVKLISESQAHDVPECAAAAAPQQGEVSPKPTTTNQPSSGRQSQDLNAQVSKHAKVLPSPRPRGKVSLLREVVEELTCEQADSALLVARNVLSELAEWLLQSSRLTPELHLLGQLVSLLQASVPSCSVKHFLSAFVEQHSSMDVGGSVRLLEEEDEAKAEEFAELQILLDADELDRLRALVDLLQLGIFENRLQTRARLDEPGLIVANIVSLLTVVYPVNDSGSLENMVISFRYQQSCVTKYHDLADLTLVHGEPSYICLAALLEFVQKKVMVNSTDLAQSLQRVYGQNSLIYEIGQRSNMVARVCSVCTLQLLADSIRAVGRGSLRALAELLLDLGSQELFQVMWGGDSGELRKRLGSYNVVSPMQLLRICLEPDASELNAETPDSDQPGLKEKLAAWKRQAGEKVSM
+>sp|Q9H160|ING2_HUMAN Inhibitor of growth protein 2 OS=Homo sapiens OX=9606 GN=ING2 PE=1 SV=2
+MLGQQQQQLYSSAALLTGERSRLLTCYVQDYLECVESLPHDMQRNVSVLRELDNKYQETLKEIDDVYEKYKKEDDLNQKKRLQQLLQRALINSQELGDEKIQIVTQMLELVENRARQMELHSQCFQDPAESERASDKAKMDSSQPERSSRRPRRQRTSESRDLCHMANGIEDCDDQPPKEKKSKSAKKKKRSKAKQEREASPVEFAIDPNEPTYCLCNQVSYGEMIGCDNEQCPIEWFHFSCVSLTYKPKGKWYCPKCRGDNEKTMDKSTEKTKKDRRSR
+>DECOY_sp|Q9H160|ING2_HUMAN Inhibitor of growth protein 2 OS=Homo sapiens OX=9606 GN=ING2 PE=1 SV=2
+RSRRDKKTKETSKDMTKENDGRCKPCYWKGKPKYTLSVCSFHFWEIPCQENDCGIMEGYSVQNCLCYTPENPDIAFEVPSAEREQKAKSRKKKKASKSKKEKPPQDDCDEIGNAMHCLDRSESTRQRRPRRSSREPQSSDMKAKDSARESEAPDQFCQSHLEMQRARNEVLELMQTVIQIKEDGLEQSNILARQLLQQLRKKQNLDDEKKYKEYVDDIEKLTEQYKNDLERLVSVNRQMDHPLSEVCELYDQVYCTLLRSREGTLLAASSYLQQQQQGLM
+>sp|Q96EL1|INKA1_HUMAN PAK4-inhibitor INKA1 OS=Homo sapiens OX=9606 GN=FAM212A PE=1 SV=1
+MHSARLDSFLSQLRWELLCGRDTGSPSMPGPLQPTSQTGPDVQPSHQLRASGALEEDSVCCVEEEEEEEEEAVVTEDRDAALGGPREHALDWDSGFSEVSGSTWREEELPVSQRPAPSAQPLRRQCLSVSGLPMPSRAPVASVPPVHHPRPKSTPDACLEHWQGLEAEDWTAALLNRGRSRQPLVLGDNCFADLVHNWMELPETGSEGGDGGGHRARARPPQFLLGLSEQLRRRLARARRTAMAGKRLSCPPRPEPELPADVSRFAALMSCRSRQPIICNDVSYL
+>DECOY_sp|Q96EL1|INKA1_HUMAN PAK4-inhibitor INKA1 OS=Homo sapiens OX=9606 GN=FAM212A PE=1 SV=1
+LYSVDNCIIPQRSRCSMLAAFRSVDAPLEPEPRPPCSLRKGAMATRRARALRRRLQESLGLLFQPPRARARHGGGDGGESGTEPLEMWNHVLDAFCNDGLVLPQRSRGRNLLAATWDEAELGQWHELCADPTSKPRPHHVPPVSAVPARSPMPLGSVSLCQRRLPQASPAPRQSVPLEEERWTSGSVESFGSDWDLAHERPGGLAADRDETVVAEEEEEEEEEVCCVSDEELAGSARLQHSPQVDPGTQSTPQLPGPMSPSGTDRGCLLEWRLQSLFSDLRASHM
+>sp|O15327|INP4B_HUMAN Type II inositol 3,4-bisphosphate 4-phosphatase OS=Homo sapiens OX=9606 GN=INPP4B PE=2 SV=4
+MEIKEEGASEEGQHFLPTAQANDPGDCQFTSIQKTPNEPQLEFILACKDLVAPVRDRKLNTLVQISVIHPVEQSLTRYSSTEIVEGTRDPLFLTGVTFPSEYPIYEETKIKLTVYDVKDKSHDTVRTSVLPEHKDPPPEVGRSFLGYASFKVGELLKSKEQLLVLSLRTSDGGKVVGTIEVSVVKMGEIEDGEADHITTDVQGQKCALVCECTAPESVSGKDNLPFLNSVLKNPVCKLYRFPTSDNKWMRIREQMSESILSFHIPKELISLHIKEDLCRNQEIKELGELSPHWDNLRKNVLTHCDQMVNMYQDILTELSKETGSSFKSSSSKGEKTLEFVPINLHLQRMQVHSPHLKDALYDVITVGAPAAHFQGFKNGGLRKLLHRFETERRNTGYQFIYYSPENTAKAKEVLSNINQLQPLIATHADLLLNSASQHSPDSLKNSLKMLSEKTELFVHAFKDQLVRSALLALYTARPGGILKKPPSPKSSTEESSPQDQPPVMRGQDSIPHHSDYDEEEWDRVWANVGKSLNCIIAMVDKLIERDGGSEGSGGNNDGEKEPSLTDAIPSHPREDWYEQLYPLILTLKDCMGEVVNRAKQSLTFVLLQELAYSLPQCLMLTLRRDIVFSQALAGLVCGFIIKLQTSLYDPGFLQQLHTVGLIVQYEGLLSTYSDEIGMLEDMAVGISDLKKVAFKIIEAKSNDVLPVITGRREHYVVEVKLPARMFESLPLQIKEGQLLHVYPVLFNVGINEQQTLAERFGDVSLQESINQENFELLQEYYKIFMEKMPPDYISHFQEQNDLKALLENLLQNIQSKKRKNVEIMWLAATICRKLNGIRFTCCKSAKDRTSMSVTLEQCSILRDEHQLHKDFFIRALDCMRREGCRIENVLKNIKCRKYAFNMLQLMAFPKYYRPPEGTYGKADT
+>DECOY_sp|O15327|INP4B_HUMAN Type II inositol 3,4-bisphosphate 4-phosphatase OS=Homo sapiens OX=9606 GN=INPP4B PE=2 SV=4
+TDAKGYTGEPPRYYKPFAMLQLMNFAYKRCKINKLVNEIRCGERRMCDLARIFFDKHLQHEDRLISCQELTVSMSTRDKASKCCTFRIGNLKRCITAALWMIEVNKRKKSQINQLLNELLAKLDNQEQFHSIYDPPMKEMFIKYYEQLLEFNEQNISEQLSVDGFREALTQQENIGVNFLVPYVHLLQGEKIQLPLSEFMRAPLKVEVVYHERRGTIVPLVDNSKAEIIKFAVKKLDSIGVAMDELMGIEDSYTSLLGEYQVILGVTHLQQLFGPDYLSTQLKIIFGCVLGALAQSFVIDRRLTLMLCQPLSYALEQLLVFTLSQKARNVVEGMCDKLTLILPYLQEYWDERPHSPIADTLSPEKEGDNNGGSGESGGDREILKDVMAIICNLSKGVNAWVRDWEEEDYDSHHPISDQGRMVPPQDQPSSEETSSKPSPPKKLIGGPRATYLALLASRVLQDKFAHVFLETKESLMKLSNKLSDPSHQSASNLLLDAHTAILPQLQNINSLVEKAKATNEPSYYIFQYGTNRRETEFRHLLKRLGGNKFGQFHAAPAGVTIVDYLADKLHPSHVQMRQLHLNIPVFELTKEGKSSSSKFSSGTEKSLETLIDQYMNVMQDCHTLVNKRLNDWHPSLEGLEKIEQNRCLDEKIHLSILEKPIHFSLISESMQERIRMWKNDSTPFRYLKCVPNKLVSNLFPLNDKGSVSEPATCECVLACKQGQVDTTIHDAEGDEIEGMKVVSVEITGVVKGGDSTRLSLVLLQEKSKLLEGVKFSAYGLFSRGVEPPPDKHEPLVSTRVTDHSKDKVDYVTLKIKTEEYIPYESPFTVGTLFLPDRTGEVIETSSYRTLSQEVPHIVSIQVLTNLKRDRVPAVLDKCALIFELQPENPTKQISTFQCDGPDNAQATPLFHQGEESAGEEKIEM
+>sp|O15503|INSI1_HUMAN Insulin-induced gene 1 protein OS=Homo sapiens OX=9606 GN=INSIG1 PE=1 SV=3
+MPRLHDHFWSCSCAHSARRRGPPRASAAGLAAKVGEMINVSVSGPSLLAAHGAPDADPAPRGRSAAMSGPEPGSPYPNTWHHRLLQRSLVLFSVGVVLALVLNLLQIQRNVTLFPEEVIATIFSSAWWVPPCCGTAAAVVGLLYPCIDSHLGEPHKFKREWASVMRCIAVFVGINHASAKLDFANNVQLSLTLAALSLGLWWTFDRSRSGLGLGITIAFLATLITQFLVYNGVYQYTSPDFLYIRSWLPCIFFSGGVTVGNIGRQLAMGVPEKPHSD
+>DECOY_sp|O15503|INSI1_HUMAN Insulin-induced gene 1 protein OS=Homo sapiens OX=9606 GN=INSIG1 PE=1 SV=3
+DSHPKEPVGMALQRGINGVTVGGSFFICPLWSRIYLFDPSTYQYVGNYVLFQTILTALFAITIGLGLGSRSRDFTWWLGLSLAALTLSLQVNNAFDLKASAHNIGVFVAICRMVSAWERKFKHPEGLHSDICPYLLGVVAAATGCCPPVWWASSFITAIVEEPFLTVNRQIQLLNLVLALVVGVSFLVLSRQLLRHHWTNPYPSGPEPGSMAASRGRPAPDADPAGHAALLSPGSVSVNIMEGVKAALGAASARPPGRRRASHACSCSWFHDHLRPM
+>sp|P51460|INSL3_HUMAN Insulin-like 3 OS=Homo sapiens OX=9606 GN=INSL3 PE=1 SV=2
+MDPRLPAWALVLLGPALVFALGPAPTPEMREKLCGHHFVRALVRVCGGPRWSTEARRPATGGDRELLQWLERRHLLHGLVADSNLTLGPGLQPLPQTSHHHRHHRAAATNPARYCCLSGCTQQDLLTLCPY
+>DECOY_sp|P51460|INSL3_HUMAN Insulin-like 3 OS=Homo sapiens OX=9606 GN=INSL3 PE=1 SV=2
+YPCLTLLDQQTCGSLCCYRAPNTAAARHHRHHHSTQPLPQLGPGLTLNSDAVLGHLLHRRELWQLLERDGGTAPRRAETSWRPGGCVRVLARVFHHGCLKERMEPTPAPGLAFVLAPGLLVLAWAPLRPDM
+>sp|Q9Y5Q6|INSL5_HUMAN Insulin-like peptide INSL5 OS=Homo sapiens OX=9606 GN=INSL5 PE=1 SV=2
+MKGSIFTLFLFSVLFAISEVRSKESVRLCGLEYIRTVIYICASSRWRRHQEGIPQAQQAETGNSFQLPHKREFSEENPAQNLPKVDASGEDRLWGGQMPTEELWKSKKHSVMSRQDLQTLCCTDGCSMTDLSALC
+>DECOY_sp|Q9Y5Q6|INSL5_HUMAN Insulin-like peptide INSL5 OS=Homo sapiens OX=9606 GN=INSL5 PE=1 SV=2
+CLASLDTMSCGDTCCLTQLDQRSMVSHKKSKWLEETPMQGGWLRDEGSADVKPLNQAPNEESFERKHPLQFSNGTEAQQAQPIGEQHRRWRSSACIYIVTRIYELGCLRVSEKSRVESIAFLVSFLFLTFISGKM
+>sp|F8WCM5|INSR2_HUMAN Insulin, isoform 2 OS=Homo sapiens OX=9606 GN=INS-IGF2 PE=2 SV=1
+MALWMRLLPLLALLALWGPDPAAAFVNQHLCGSHLVEALYLVCGERGFFYTPKTRREAEDLQASALSLSSSTSTWPEGLDATARAPPALVVTANIGQAGGSSSRQFRQRALGTSDSPVLFIHCPGAAGTAQGLEYRGRRVTTELVWEEVDSSPQPQGSESLPAQPPAQPAPQPEPQQAREPSPEVSCCGLWPRRPQRSQN
+>DECOY_sp|F8WCM5|INSR2_HUMAN Insulin, isoform 2 OS=Homo sapiens OX=9606 GN=INS-IGF2 PE=2 SV=1
+NQSRQPRRPWLGCCSVEPSPERAQQPEPQPAPQAPPQAPLSESGQPQPSSDVEEWVLETTVRRGRYELGQATGAAGPCHIFLVPSDSTGLARQRFQRSSSGGAQGINATVVLAPPARATADLGEPWTSTSSSLSLASAQLDEAERRTKPTYFFGREGCVLYLAEVLHSGCLHQNVFAAAPDPGWLALLALLPLLRMWLAM
+>sp|Q9NVR2|INT10_HUMAN Integrator complex subunit 10 OS=Homo sapiens OX=9606 GN=INTS10 PE=1 SV=2
+MSAQGDCEFLVQRARELVPQDLWAAKAWLITARSLYPADFNIQYEMYTIERNAERTATAGRLLYDMFVNFPDQPVVWREISIITSALRNDSQDKQTQFLRSLFETLPGRVQCEMLLKVTEQCFNTLERSEMLLLLLRRFPETVVQHGVGLGEALLEAETIEEQESPVNCFRKLFVCDVLPLIINNHDVRLPANLLYKYLNKAAEFYINYVTRSTQIENQHQGAQDTSDLMSPSKRSSQKYIIEGLTEKSSQIVDPWERLFKILNVVGMRCEWQMDKGRRSYGDILHRMKDLCRYMNNFDSEAHAKYKNQVVYSTMLVFFKNAFQYVNSIQPSLFQGPNAPSQVPLVLLEDVSNVYGDVEIDRNKHIHKKRKLAEGREKTMSSDDEDCSAKGRNRHIVVNKAELANSTEVLESFKLARESWELLYSLEFLDKEFTRICLAWKTDTWLWLRIFLTDMIIYQGQYKKAIASLHHLAALQGSISQPQITGQGTLEHQRALIQLATCHFALGEYRMTCEKVLDLMCYMVLPIQDGGKSQEEPSKVKPKFRKGSDLKLLPCTSKAIMPYCLHLMLACFKLRAFTDNRDDMALGHVIVLLQQEWPRGENLFLKAVNKICQQGNFQYENFFNYVTNIDMLEEFAYLRTQEGGKIHLELLPNQGMLIKHHTVTRGITKGVKEDFRLAMERQVSRCGENLMVVLHRFCINEKILLLQTLT
+>DECOY_sp|Q9NVR2|INT10_HUMAN Integrator complex subunit 10 OS=Homo sapiens OX=9606 GN=INTS10 PE=1 SV=2
+TLTQLLLIKENICFRHLVVMLNEGCRSVQREMALRFDEKVGKTIGRTVTHHKILMGQNPLLELHIKGGEQTRLYAFEELMDINTVYNFFNEYQFNGQQCIKNVAKLFLNEGRPWEQQLLVIVHGLAMDDRNDTFARLKFCALMLHLCYPMIAKSTCPLLKLDSGKRFKPKVKSPEEQSKGGDQIPLVMYCMLDLVKECTMRYEGLAFHCTALQILARQHELTGQGTIQPQSISGQLAALHHLSAIAKKYQGQYIIMDTLFIRLWLWTDTKWALCIRTFEKDLFELSYLLEWSERALKFSELVETSNALEAKNVVIHRNRGKASCDEDDSSMTKERGEALKRKKHIHKNRDIEVDGYVNSVDELLVLPVQSPANPGQFLSPQISNVYQFANKFFVLMTSYVVQNKYKAHAESDFNNMYRCLDKMRHLIDGYSRRGKDMQWECRMGVVNLIKFLREWPDVIQSSKETLGEIIYKQSSRKSPSMLDSTDQAGQHQNEIQTSRTVYNIYFEAAKNLYKYLLNAPLRVDHNNIILPLVDCVFLKRFCNVPSEQEEITEAELLAEGLGVGHQVVTEPFRRLLLLLMESRELTNFCQETVKLLMECQVRGPLTEFLSRLFQTQKDQSDNRLASTIISIERWVVPQDPFNVFMDYLLRGATATREANREITYMEYQINFDAPYLSRATILWAKAAWLDQPVLERARQVLFECDGQASM
+>sp|Q96SY0|INT14_HUMAN Integrator complex subunit 14 OS=Homo sapiens OX=9606 GN=INTS14 PE=1 SV=2
+MPTVVVMDVSLSMTRPVSIEGSEEYQRKHLAAHGLTMLFEHMATNYKLEFTALVVFSSLWELMVPFTRDYNTLQEALSNMDDYDKTCLESALVGVCNIVQQEWGGAIPCQVVLVTDGCLGIGRGSLRHSLATQNQRSESNRFPLPFPFPSKLYIMCMANLEELQSTDSLECLERLIDLNNGEGQIFTIDGPLCLKNVQSMFGKLIDLAYTPFHAVLKCGHLTADVQVFPRPEPFVVDEEIDPIPKVINTDLEIVGFIDIADISSPPVLSRHLVLPIALNKEGDEVGTGITDDNEDENSANQIAGKIPNFCVLLHGSLKVEGMVAIVQLGPEWHGMLYSQADSKKKSNLMMSLFEPGPEPLPWLGKMAQLGPISDAKENPYGEDDNKSPFPLQPKNKRSYAQNVTVWIKPSGLQTDVQKILRNARKLPEKTQTFYKELNRLRKAALAFGFLDLLKGVADMLERECTLLPETAHPDAAFQLTHAAQQLKLASTGTSEYAAYDQNITPLHTDFSGSSTERI
+>DECOY_sp|Q96SY0|INT14_HUMAN Integrator complex subunit 14 OS=Homo sapiens OX=9606 GN=INTS14 PE=1 SV=2
+IRETSSGSFDTHLPTINQDYAAYESTGTSALKLQQAAHTLQFAADPHATEPLLTCERELMDAVGKLLDLFGFALAAKRLRNLEKYFTQTKEPLKRANRLIKQVDTQLGSPKIWVTVNQAYSRKNKPQLPFPSKNDDEGYPNEKADSIPGLQAMKGLWPLPEPGPEFLSMMLNSKKKSDAQSYLMGHWEPGLQVIAVMGEVKLSGHLLVCFNPIKGAIQNASNEDENDDTIGTGVEDGEKNLAIPLVLHRSLVPPSSIDAIDIFGVIELDTNIVKPIPDIEEDVVFPEPRPFVQVDATLHGCKLVAHFPTYALDILKGFMSQVNKLCLPGDITFIQGEGNNLDILRELCELSDTSQLEELNAMCMIYLKSPFPFPLPFRNSESRQNQTALSHRLSGRGIGLCGDTVLVVQCPIAGGWEQQVINCVGVLASELCTKDYDDMNSLAEQLTNYDRTFPVMLEWLSSFVVLATFELKYNTAMHEFLMTLGHAALHKRQYEESGEISVPRTMSLSVDMVVVTPM
+>sp|Q8N201|INT1_HUMAN Integrator complex subunit 1 OS=Homo sapiens OX=9606 GN=INTS1 PE=1 SV=2
+MNRAKPTTVRRPSAAAKPSGHPPPGDFIALGSKGQANESKTASTLLKPAPSGLPSERKRDAAAALSSASALTGLTKRPKLSSTPPLSALGRLAEAAVAEKRAISPSIKEPSVVPIEVLPTVLLDEIEAAELEGNDDRIEGVLCGAVKQLKVTRAKPDSTLYLSLMYLAKIKPNIFATEGVIEALCSLLRRDASINFKAKGNSLVSVLACNLLMAAYEEDENWPEIFVKVYIEDSLGERIWVDSPHCKTFVDNIQTAFNTRMPPRSVLLQGEAGRVAGDLGAGSSPHPSLTEEEDSQTELLIAEEKLSPEQEGQLMPRYEELAESVEEYVLDMLRDQLNRRQPIDNVSRNLLRLLTSTCGYKEVRLLAVQKLEMWLQNPKLTRPAQDLLMSVCMNCNTHGSEDMDVISHLIKIRLKPKVLLNHFMLCIRELLSAHKDNLGTTIKLVIFNELSSARNPNNMQVLYTALQHSSELAPKFLAMVFQDLLTNKDDYLRASRALLREIIKQTKHEINFQAFCLGLMQERKEPQYLEMEFKERFVVHITDVLAVSMMLGITAQVKEAGIAWDKGEKRNLEVLRSFQNQIAAIQRDAVWWLHTVVPSISKLAPKDYVHCLHKVLFTEQPETYYKWDNWPPESDRNFFLRLCSEVPILEDTLMRILVIGLSRELPLGPADAMELADHLVKRAAAVQADDVEVLKVGRTQLIDAVLNLCTYHHPENIQLPPGYQPPNLAISTLYWKAWPLLLVVAAFNPENIGLAAWEEYPTLKMLMEMVMTNNYSYPPCTLTDEETRTEMLNRELQTAQREKQEILAFEGHLAAASTKQTITESSSLLLSQLTSLDPQGPPRRPPPHILDQVKSLNQSLRLGHLLCRSRNPDFLLHIIQRQASSQSMPWLADLVQSSEGSLDVLPVQCLCEFLLHDAVDDAASGEEDDEGESKEQKAKKRQRQQKQRQLLGRLQDLLLGPKADEQTTCEVLDYFLRRLGSSQVASRVLAMKGLSLVLSEGSLRDGEEKEPPMEEDVGDTDVLQGYQWLLRDLPRLPLFDSVRSTTALALQQAIHMETDPQTISAYLIYLSQHTPVEEQAQHSDLALDVARLVVERSTIMSHLFSKLSPSAASDAVLSALLSIFSRYVRRMRQSKEGEEVYSWSESQDQVFLRWSSGETATMHILVVHAMVILLTLGPPRADDSEFQALLDIWFPEEKPLPTAFLVDTSEEALLLPDWLKLRMIRSEVLRLVDAALQDLEPQQLLLFVQSFGIPVSSMSKLLQFLDQAVAHDPQTLEQNIMDKNYMAHLVEVQHERGASGGQTFHSLLTASLPPRRDSTEAPKPKSSPEQPIGQGRIRVGTQLRVLGPEDDLAGMFLQIFPLSPDPRWQSSSPRPVALALQQALGQELARVVQGSPEVPGITVRVLQALATLLSSPHGGALVMSMHRSHFLACPLLRQLCQYQRCVPQDTGFSSLFLKVLLQMLQWLDSPGVEGGPLRAQLRMLASQASAGRRLSDVRGGLLRLAEALAFRQDLEVVSSTVRAVIATLRSGEQCSVEPDLISKVLQGLIEVRSPHLEELLTAFFSATADAASPFPACKPVVVVSSLLLQEEEPLAGGKPGADGGSLEAVRLGPSSGLLVDWLEMLDPEVVSSCPDLQLRLLFSRRKGKGQAQVPSFRPYLLTLFTHQSSWPTLHQCIRVLLGKSREQRFDPSASLDFLWACIHVPRIWQGRDQRTPQKRREELVLRVQGPELISLVELILAEAETRSQDGDTAACSLIQARLPLLLSCCCGDDESVRKVTEHLSGCIQQWGDSVLGRRCRDLLLQLYLQRPELRVPVPEVLLHSEGAASSSVCKLDGLIHRFITLLADTSDSRALENRGADASMACRKLAVAHPLLLLRHLPMIAALLHGRTHLNFQEFRQQNHLSCFLHVLGLLELLQPHVFRSEHQGALWDCLLSFIRLLLNYRKSSRHLAAFINKFVQFIHKYITYNAPAAISFLQKHADPLHDLSFDNSDLVMLKSLLAGLSLPSRDDRTDRGLDEEGEEESSAGSLPLVSVSLFTPLTAAEMAPYMKRLSRGQTVEDLLEVLSDIDEMSRRRPEILSFFSTNLQRLMSSAEECCRNLAFSLALRSMQNSPSIAAAFLPTFMYCLGSQDFEVVQTALRNLPEYALLCQEHAAVLLHRAFLVGMYGQMDPSAQISEALRILHMEAVM
+>DECOY_sp|Q8N201|INT1_HUMAN Integrator complex subunit 1 OS=Homo sapiens OX=9606 GN=INTS1 PE=1 SV=2
+MVAEMHLIRLAESIQASPDMQGYMGVLFARHLLVAAHEQCLLAYEPLNRLATQVVEFDQSGLCYMFTPLFAAAISPSNQMSRLALSFALNRCCEEASSMLRQLNTSFFSLIEPRRRSMEDIDSLVELLDEVTQGRSLRKMYPAMEAATLPTFLSVSVLPLSGASSEEEGEEDLGRDTRDDRSPLSLGALLSKLMVLDSNDFSLDHLPDAHKQLFSIAAPANYTIYKHIFQVFKNIFAALHRSSKRYNLLLRIFSLLCDWLAGQHESRFVHPQLLELLGLVHLFCSLHNQQRFEQFNLHTRGHLLAAIMPLHRLLLLPHAVALKRCAMSADAGRNELARSDSTDALLTIFRHILGDLKCVSSSAAGESHLLVEPVPVRLEPRQLYLQLLLDRCRRGLVSDGWQQICGSLHETVKRVSEDDGCCCSLLLPLRAQILSCAATDGDQSRTEAEALILEVLSILEPGQVRLVLEERRKQPTRQDRGQWIRPVHICAWLFDLSASPDFRQERSKGLLVRICQHLTPWSSQHTFLTLLYPRFSPVQAQGKGKRRSFLLRLQLDPCSSVVEPDLMELWDVLLGSSPGLRVAELSGGDAGPKGGALPEEEQLLLSSVVVVPKCAPFPSAADATASFFATLLEELHPSRVEILGQLVKSILDPEVSCQEGSRLTAIVARVTSSVVELDQRFALAEALRLLGGRVDSLRRGASAQSALMRLQARLPGGEVGPSDLWQLMQLLVKLFLSSFGTDQPVCRQYQCLQRLLPCALFHSRHMSMVLAGGHPSSLLTALAQLVRVTIGPVEPSGQVVRALEQGLAQQLALAVPRPSSSQWRPDPSLPFIQLFMGALDDEPGLVRLQTGVRIRGQGIPQEPSSKPKPAETSDRRPPLSATLLSHFTQGGSAGREHQVEVLHAMYNKDMINQELTQPDHAVAQDLFQLLKSMSSVPIGFSQVFLLLQQPELDQLAADVLRLVESRIMRLKLWDPLLLAEESTDVLFATPLPKEEPFWIDLLAQFESDDARPPGLTLLIVMAHVVLIHMTATEGSSWRLFVQDQSESWSYVEEGEKSQRMRRVYRSFISLLASLVADSAASPSLKSFLHSMITSREVVLRAVDLALDSHQAQEEVPTHQSLYILYASITQPDTEMHIAQQLALATTSRVSDFLPLRPLDRLLWQYGQLVDTDGVDEEMPPEKEEGDRLSGESLVLSLGKMALVRSAVQSSGLRRLFYDLVECTTQEDAKPGLLLDQLRGLLQRQKQQRQRKKAKQEKSEGEDDEEGSAADDVADHLLFECLCQVPLVDLSGESSQVLDALWPMSQSSAQRQIIHLLFDPNRSRCLLHGLRLSQNLSKVQDLIHPPPRRPPGQPDLSTLQSLLLSSSETITQKTSAAALHGEFALIEQKERQATQLERNLMETRTEEDTLTCPPYSYNNTMVMEMLMKLTPYEEWAALGINEPNFAAVVLLLPWAKWYLTSIALNPPQYGPPLQINEPHHYTCLNLVADILQTRGVKLVEVDDAQVAAARKVLHDALEMADAPGLPLERSLGIVLIRMLTDELIPVESCLRLFFNRDSEPPWNDWKYYTEPQETFLVKHLCHVYDKPALKSISPVVTHLWWVADRQIAAIQNQFSRLVELNRKEGKDWAIGAEKVQATIGLMMSVALVDTIHVVFREKFEMELYQPEKREQMLGLCFAQFNIEHKTQKIIERLLARSARLYDDKNTLLDQFVMALFKPALESSHQLATYLVQMNNPNRASSLENFIVLKITTGLNDKHASLLERICLMFHNLLVKPKLRIKILHSIVDMDESGHTNCNMCVSMLLDQAPRTLKPNQLWMELKQVALLRVEKYGCTSTLLRLLNRSVNDIPQRRNLQDRLMDLVYEEVSEALEEYRPMLQGEQEPSLKEEAILLETQSDEEETLSPHPSSGAGLDGAVRGAEGQLLVSRPPMRTNFATQINDVFTKCHPSDVWIREGLSDEIYVKVFIEPWNEDEEYAAMLLNCALVSVLSNGKAKFNISADRRLLSCLAEIVGETAFINPKIKALYMLSLYLTSDPKARTVKLQKVAGCLVGEIRDDNGELEAAEIEDLLVTPLVEIPVVSPEKISPSIARKEAVAAEALRGLASLPPTSSLKPRKTLGTLASASSLAAAADRKRESPLGSPAPKLLTSATKSENAQGKSGLAIFDGPPPHGSPKAAASPRRVTTPKARNM
+>sp|Q68E01|INT3_HUMAN Integrator complex subunit 3 OS=Homo sapiens OX=9606 GN=INTS3 PE=1 SV=1
+MELQKGKGAAAAAAASGAAGGGGGGAGAGAPGGGRLLLSTSLDAKDELEERLERCMSIVTSMTAGVSEREANDALNAYVCKGLPQHEEICLGLFTLILTEPAQAQKCYRDLALVSRDGMNIVLNKINQILMEKYLKLQDTCRTQLVWLVRELVKSGVLGADGVCMTFMKQIAGGGDVTAKNIWLAESVLDILTEQREWVLKSSILIAMAVYTYLRLIVDHHGTAQLQALRQKEVDFCISLLRERFMECLMIGRDLVRLLQNVARIPEFELLWKDIIHNPQALSPQFTGILQLLQSRTSRKFLACRLTPDMETKLLFMTSRVRFGQQKRYQDWFQRQYLSTPDSQSLRCDLIRYICGVVHPSNEVLSSDILPRWAIIGWLLTTCTSNVAASNAKLALFYDWLFFSPDKDSIMNIEPAILVMHHSMKPHPAITATLLDFMCRIIPNFYPPLEGHVRQGVFSSLNHIVEKRVLAHLAPLFDNPKLDKELRAMLREKFPEFCSSPSPPVEVKIEEPVSMEMDNHMSDKDESCYDNAEAAFSDDEEDLNSKGKKREFRFHPIKETVVEEPVDITPYLDQLDESLRDKVLQLQKGSDTEAQCEVMQEIVDQVLEEDFDSEQLSVLASCLQELFKAHFRGEVLPEEITEESLEESVGKPLYLIFRNLCQMQEDNSSFSLLLDLLSELYQKQPKIGYHLLYYLRASKAAAGKMNLYESFAQATQLGDLHTCLMMDMKACQEDDVRLLCHLTPSIYTEFPDETLRSGELLNMIVAVIDSAQLQELVCHVMMGNLVMFRKDSVLNILIQSLDWETFEQYCAWQLFLAHNIPLETIIPILQHLKYKEHPEALSCLLLQLRREKPSEEMVKMVLSRPCHPDDQFTTSILRHWCMKHDELLAEHIKSLLIKNNSLPRKRQSLRSSSSKLAQLTLEQILEHLDNLRLNLTNTKQNFFSQTPILQALQHVQASCDEAHKMKFSDLFSLAEEYEDSSTKPPKSRRKAALSSPRSRKNATQPPNAEEESGSSSASEEEDTKPKPTKRKRKGSSAVGSDSD
+>DECOY_sp|Q68E01|INT3_HUMAN Integrator complex subunit 3 OS=Homo sapiens OX=9606 GN=INTS3 PE=1 SV=1
+DSDSGVASSGKRKRKTPKPKTDEEESASSSGSEEEANPPQTANKRSRPSSLAAKRRSKPPKTSSDEYEEALSFLDSFKMKHAEDCSAQVHQLAQLIPTQSFFNQKTNTLNLRLNDLHELIQELTLQALKSSSSRLSQRKRPLSNNKILLSKIHEALLEDHKMCWHRLISTTFQDDPHCPRSLVMKVMEESPKERRLQLLLCSLAEPHEKYKLHQLIPIITELPINHALFLQWACYQEFTEWDLSQILINLVSDKRFMVLNGMMVHCVLEQLQASDIVAVIMNLLEGSRLTEDPFETYISPTLHCLLRVDDEQCAKMDMMLCTHLDGLQTAQAFSEYLNMKGAAAKSARLYYLLHYGIKPQKQYLESLLDLLLSFSSNDEQMQCLNRFILYLPKGVSEELSEETIEEPLVEGRFHAKFLEQLCSALVSLQESDFDEELVQDVIEQMVECQAETDSGKQLQLVKDRLSEDLQDLYPTIDVPEEVVTEKIPHFRFERKKGKSNLDEEDDSFAAEANDYCSEDKDSMHNDMEMSVPEEIKVEVPPSPSSCFEPFKERLMARLEKDLKPNDFLPALHALVRKEVIHNLSSFVGQRVHGELPPYFNPIIRCMFDLLTATIAPHPKMSHHMVLIAPEINMISDKDPSFFLWDYFLALKANSAAVNSTCTTLLWGIIAWRPLIDSSLVENSPHVVGCIYRILDCRLSQSDPTSLYQRQFWDQYRKQQGFRVRSTMFLLKTEMDPTLRCALFKRSTRSQLLQLIGTFQPSLAQPNHIIDKWLLEFEPIRAVNQLLRVLDRGIMLCEMFRERLLSICFDVEKQRLAQLQATGHHDVILRLYTYVAMAILISSKLVWERQETLIDLVSEALWINKATVDGGGAIQKMFTMCVGDAGLVGSKVLERVLWVLQTRCTDQLKLYKEMLIQNIKNLVINMGDRSVLALDRYCKQAQAPETLILTFLGLCIEEHQPLGKCVYANLADNAERESVGATMSTVISMCRELREELEDKADLSTSLLLRGGGPAGAGAGGGGGGAAGSAAAAAAAGKGKQLEM
+>sp|Q3MIP1|IPIL2_HUMAN Inositol 1,4,5-trisphosphate receptor-interacting protein-like 2 OS=Homo sapiens OX=9606 GN=ITPRIPL2 PE=1 SV=1
+MSVHYTLNLRVFWPLVTGLCTALVCLYHVLRGSGGARAEPADGVDGGFPLLKVAVLLLLSYVLLRCRHAVRQRFLPGSPRLEGHAAFSSRHFREPGLSILLESYYEHEVRLSPHVLGHSKAHVSRIVGELVRAGRARGSPGLIPGGALALAFRGDFIQVGSAYEQHKIRRPDSFDVLVPLRLPPLVALEPRSLGEEPALAPAFRGCFLCALKAPPSPSGASGGHWLRDCKPFADAFCVDVRGRRHLSATLVLRWFQSHLQRSLATVRYSLEGRCRVTLTPGGLEQPPTLHILPCRTDYGCCRLSMAVRLIPAVHLGDGVFLVAPPPPPLPSAPLLELPEGLRAEALWGVNTARQEQKLLSWLQERAAPGACYLKCLQLLKALRDLGARGLDSAAATQWGRILSSYVLKTVLLAVLLRKGAPGQGWDEEHLGRCLEELVQFLRDCLLRRHTLFHCVLGPGGAAAEVGPLPKALREAAPVDLLAAFDGHARELAAARLLSTWQRLPQLLRAYGGPRYLARCPPPRSQRTQGFLEGEP
+>DECOY_sp|Q3MIP1|IPIL2_HUMAN Inositol 1,4,5-trisphosphate receptor-interacting protein-like 2 OS=Homo sapiens OX=9606 GN=ITPRIPL2 PE=1 SV=1
+PEGELFGQTRQSRPPPCRALYRPGGYARLLQPLRQWTSLLRAAALERAHGDFAALLDVPAAERLAKPLPGVEAAAGGPGLVCHFLTHRRLLCDRLFQVLEELCRGLHEEDWGQGPAGKRLLVALLVTKLVYSSLIRGWQTAAASDLGRAGLDRLAKLLQLCKLYCAGPAAREQLWSLLKQEQRATNVGWLAEARLGEPLELLPASPLPPPPPAVLFVGDGLHVAPILRVAMSLRCCGYDTRCPLIHLTPPQELGGPTLTVRCRGELSYRVTALSRQLHSQFWRLVLTASLHRRGRVDVCFADAFPKCDRLWHGGSAGSPSPPAKLACLFCGRFAPALAPEEGLSRPELAVLPPLRLPVLVDFSDPRRIKHQEYASGVQIFDGRFALALAGGPILGPSGRARGARVLEGVIRSVHAKSHGLVHPSLRVEHEYYSELLISLGPERFHRSSFAAHGELRPSGPLFRQRVAHRCRLLVYSLLLLVAVKLLPFGGDVGDAPEARAGGSGRLVHYLCVLATCLGTVLPWFVRLNLTYHVSM
+>sp|O00410|IPO5_HUMAN Importin-5 OS=Homo sapiens OX=9606 GN=IPO5 PE=1 SV=4
+MAAAAAEQQQFYLLLGNLLSPDNVVRKQAEETYENIPGQSKITFLLQAIRNTTAAEEARQMAAVLLRRLLSSAFDEVYPALPSDVQTAIKSELLMIIQMETQSSMRKKVCDIAAELARNLIDEDGNNQWPEGLKFLFDSVSSQNVGLREAALHIFWNFPGIFGNQQQHYLDVIKRMLVQCMQDQEHPSIRTLSARATAAFILANEHNVALFKHFADLLPGFLQAVNDSCYQNDDSVLKSLVEIADTVPKYLRPHLEATLQLSLKLCGDTSLNNMQRQLALEVIVTLSETAAAMLRKHTNIVAQTIPQMLAMMVDLEEDEDWANADELEDDDFDSNAVAGESALDRMACGLGGKLVLPMIKEHIMQMLQNPDWKYRHAGLMALSAIGEGCHQQMEGILNEIVNFVLLFLQDPHPRVRYAACNAVGQMATDFAPGFQKKFHEKVIAALLQTMEDQGNQRVQAHAAAALINFTEDCPKSLLIPYLDNLVKHLHSIMVLKLQELIQKGTKLVLEQVVTSIASVADTAEEKFVPYYDLFMPSLKHIVENAVQKELRLLRGKTIECISLIGLAVGKEKFMQDASDVMQLLLKTQTDFNDMEDDDPQISYMISAWARMCKILGKEFQQYLPVVMGPLMKTASIKPEVALLDTQDMENMSDDDGWEFVNLGDQQSFGIKTAGLEEKSTACQMLVCYAKELKEGFVEYTEQVVKLMVPLLKFYFHDGVRVAAAESMPLLLECARVRGPEYLTQMWHFMCDALIKAIGTEPDSDVLSEIMHSFAKCIEVMGDGCLNNEHFEELGGILKAKLEEHFKNQELRQVKRQDEDYDEQVEESLQDEDDNDVYILTKVSDILHSIFSSYKEKVLPWFEQLLPLIVNLICPHRPWPDRQWGLCIFDDVIEHCSPASFKYAEYFLRPMLQYVCDNSPEVRQAAAYGLGVMAQYGGDNYRPFCTEALPLLVRVIQSADSKTKENVNATENCISAVGKIMKFKPDCVNVEEVLPHWLSWLPLHEDKEEAVQTFNYLCDLIESNHPIVLGPNNTNLPKIFSIIAEGEMHEAIKHEDPCAKRLANVVRQVQTSGGLWTECIAQLSPEQQAAIQELLNSA
+>DECOY_sp|O00410|IPO5_HUMAN Importin-5 OS=Homo sapiens OX=9606 GN=IPO5 PE=1 SV=4
+ASNLLEQIAAQQEPSLQAICETWLGGSTQVQRVVNALRKACPDEHKIAEHMEGEAIISFIKPLNTNNPGLVIPHNSEILDCLYNFTQVAEEKDEHLPLWSLWHPLVEEVNVCDPKFKMIKGVASICNETANVNEKTKSDASQIVRVLLPLAETCFPRYNDGGYQAMVGLGYAAAQRVEPSNDCVYQLMPRLFYEAYKFSAPSCHEIVDDFICLGWQRDPWPRHPCILNVILPLLQEFWPLVKEKYSSFISHLIDSVKTLIYVDNDDEDQLSEEVQEDYDEDQRKVQRLEQNKFHEELKAKLIGGLEEFHENNLCGDGMVEICKAFSHMIESLVDSDPETGIAKILADCMFHWMQTLYEPGRVRACELLLPMSEAAAVRVGDHFYFKLLPVMLKVVQETYEVFGEKLEKAYCVLMQCATSKEELGATKIGFSQQDGLNVFEWGDDDSMNEMDQTDLLAVEPKISATKMLPGMVVPLYQQFEKGLIKCMRAWASIMYSIQPDDDEMDNFDTQTKLLLQMVDSADQMFKEKGVALGILSICEITKGRLLRLEKQVANEVIHKLSPMFLDYYPVFKEEATDAVSAISTVVQELVLKTGKQILEQLKLVMISHLHKVLNDLYPILLSKPCDETFNILAAAAHAQVRQNGQDEMTQLLAAIVKEHFKKQFGPAFDTAMQGVANCAAYRVRPHPDQLFLLVFNVIENLIGEMQQHCGEGIASLAMLGAHRYKWDPNQLMQMIHEKIMPLVLKGGLGCAMRDLASEGAVANSDFDDDELEDANAWDEDEELDVMMALMQPITQAVINTHKRLMAAATESLTVIVELALQRQMNNLSTDGCLKLSLQLTAELHPRLYKPVTDAIEVLSKLVSDDNQYCSDNVAQLFGPLLDAFHKFLAVNHENALIFAATARASLTRISPHEQDQMCQVLMRKIVDLYHQQQNGFIGPFNWFIHLAAERLGVNQSSVSDFLFKLGEPWQNNGDEDILNRALEAAIDCVKKRMSSQTEMQIIMLLESKIATQVDSPLAPYVEDFASSLLRRLLVAAMQRAEEAATTNRIAQLLFTIKSQGPINEYTEEAQKRVVNDPSLLNGLLLYFQQQEAAAAAM
+>sp|Q6NXS1|IPP2B_HUMAN Protein phosphatase inhibitor 2 family member B OS=Homo sapiens OX=9606 GN=PPP1R2B PE=1 SV=2
+MAASTASHRPIKGILKNKTSTTSSMVASAEQPRRSVDEELSKKSQKWDEINILATYHPADKGYGLMKIDEPSPPYHSMMGDDEDACRDTETTEAMAPDILAKKLAAAEGLEPKYRIQEQESSGEEDSDLSPEEREKKRQFEMRRKLHYNEGLNIKLARQLISKDLHDDDEDEEMLETADGESMNTEESNQGSTPSDQQQNKLRSS
+>DECOY_sp|Q6NXS1|IPP2B_HUMAN Protein phosphatase inhibitor 2 family member B OS=Homo sapiens OX=9606 GN=PPP1R2B PE=1 SV=2
+SSRLKNQQQDSPTSGQNSEETNMSEGDATELMEEDEDDDHLDKSILQRALKINLGENYHLKRRMEFQRKKEREEPSLDSDEEGSSEQEQIRYKPELGEAAALKKALIDPAMAETTETDRCADEDDGMMSHYPPSPEDIKMLGYGKDAPHYTALINIEDWKQSKKSLEEDVSRRPQEASAVMSSTTSTKNKLIGKIPRHSATSAAM
+>sp|Q8IXL9|IQCF2_HUMAN IQ domain-containing protein F2 OS=Homo sapiens OX=9606 GN=IQCF2 PE=1 SV=1
+MRVRFCTKGNLILVIIEDVEESIEWKTLQKKKQQKIKEKLRIRTKAAVKIQAWWRGTLVRRTLLHAALRAWIIQCWWRMTLSRVLEKKRQAALIAYATRERAVIKLQSLVRMWRVRWRYCQVLNAIYIIQGHWQCHNCQTCALLQGHCVVTATHLQFHIEIINS
+>DECOY_sp|Q8IXL9|IQCF2_HUMAN IQ domain-containing protein F2 OS=Homo sapiens OX=9606 GN=IQCF2 PE=1 SV=1
+SNIIEIHFQLHTATVVCHGQLLACTQCNHCQWHGQIIYIANLVQCYRWRVRWMRVLSQLKIVARERTAYAILAAQRKKELVRSLTMRWWCQIIWARLAAHLLTRRVLTGRWWAQIKVAAKTRIRLKEKIKQQKKKQLTKWEISEEVDEIIVLILNGKTCFRVRM
+>sp|Q8NA54|IQUB_HUMAN IQ and ubiquitin-like domain-containing protein OS=Homo sapiens OX=9606 GN=IQUB PE=1 SV=2
+MSNQQEKYEAQNIVNSTEESDDAFDTVTIPVPSEEPQESDQTEEHESGIEQFSESHAIHVEEQSDQSFSSLEPDNEQLMEEVISPRQVSYTPQHHEKQYAMQRPNDDSLAFLDKIKSVKESLQESVEDSLATVKVVLIPVGQEIVIPFKVDTILKYLKDHFSHLLGIPHSVLQIRYSGKILKNNETLVQHGVKPQEIVQVEIFSTNPDLYPVRRIDGLTDVSQIITVTVQTGLDQYQQVPVEIVKSDFHKPFLGGFRHKVTGVEYHNAGTQTVPKRIPERLSIFCRDTQTVFQKKNLQQTTNTTSTQMTNIGVYVSNMTDKLVTPGKYFSAAEYHAQRLKAVIVIQTYYRQWHAKIFVENLRRQKSLRLEWETQQELRKIREKEEWIKLDYHRRHNPKTNEDFEFLYNALEFWRQEELTRINQSFTGAERKAALCELLEKETQIIASIGRHRYIAYMANQEAAIQAFLDKCSAPKIWRTPNGKTIEMDTQFTIRARELQNIYKCIMLKNISQDERLDVLLTLKHTVKEHECKLTQEILELIDREVDLMMRGVKHHNLEGLRKRIATLFFHYIKTPLFNPEVAKYLKVPQDPLKFYKKIYFCHSCQLYLPSTEFSVSSTSRRIYRCRNCINLQNEAQKRESFLKYKCLLQQLYYTEADYEDDSKIAFLMQLQDIQYLTENIWASQSVLSACDNLSDLVMVRWNKSLEWSPWNCILLTKDEAAAHLKLTSIEEGYERSFIHKIKHKHILAKNYFSQVPVLASFILDDGEIDEIRWKYHSDTTPKIIESQRPPH
+>DECOY_sp|Q8NA54|IQUB_HUMAN IQ and ubiquitin-like domain-containing protein OS=Homo sapiens OX=9606 GN=IQUB PE=1 SV=2
+HPPRQSEIIKPTTDSHYKWRIEDIEGDDLIFSALVPVQSFYNKALIHKHKIKHIFSREYGEEISTLKLHAAAEDKTLLICNWPSWELSKNWRVMVLDSLNDCASLVSQSAWINETLYQIDQLQMLFAIKSDDEYDAETYYLQQLLCKYKLFSERKQAENQLNICNRCRYIRRSTSSVSFETSPLYLQCSHCFYIKKYFKLPDQPVKLYKAVEPNFLPTKIYHFFLTAIRKRLGELNHHKVGRMMLDVERDILELIEQTLKCEHEKVTHKLTLLVDLREDQSINKLMICKYINQLERARITFQTDMEITKGNPTRWIKPASCKDLFAQIAAEQNAMYAIYRHRGISAIIQTEKELLECLAAKREAGTFSQNIRTLEEQRWFELANYLFEFDENTKPNHRRHYDLKIWEEKERIKRLEQQTEWELRLSKQRRLNEVFIKAHWQRYYTQIVIVAKLRQAHYEAASFYKGPTVLKDTMNSVYVGINTMQTSTTNTTQQLNKKQFVTQTDRCFISLREPIRKPVTQTGANHYEVGTVKHRFGGLFPKHFDSKVIEVPVQQYQDLGTQVTVTIIQSVDTLGDIRRVPYLDPNTSFIEVQVIEQPKVGHQVLTENNKLIKGSYRIQLVSHPIGLLHSFHDKLYKLITDVKFPIVIEQGVPILVVKVTALSDEVSEQLSEKVSKIKDLFALSDDNPRQMAYQKEHHQPTYSVQRPSIVEEMLQENDPELSSFSQDSQEEVHIAHSESFQEIGSEHEETQDSEQPEESPVPITVTDFADDSEETSNVINQAEYKEQQNSM
+>sp|O43187|IRAK2_HUMAN Interleukin-1 receptor-associated kinase-like 2 OS=Homo sapiens OX=9606 GN=IRAK2 PE=1 SV=2
+MACYIYQLPSWVLDDLCRNMDALSEWDWMEFASYVITDLTQLRKIKSMERVQGVSITRELLWWWGMRQATVQQLVDLLCRLELYRAAQIILNWKPAPEIRCPIPAFPDSVKPEKPLAASVRKAEDEQEEGQPVRMATFPGPGSSPARAHQPAFLQPPEEDAPHSLRSDLPTSSDSKDFSTSIPKQEKLLSLAGDSLFWSEADVVQATDDFNQNRKISQGTFADVYRGHRHGKPFVFKKLRETACSSPGSIERFFQAELQICLRCCHPNVLPVLGFCAARQFHSFIYPYMANGSLQDRLQGQGGSDPLPWPQRVSICSGLLCAVEYLHGLEIIHSNVKSSNVLLDQNLTPKLAHPMAHLCPVNKRSKYTMMKTHLLRTSAAYLPEDFIRVGQLTKRVDIFSCGIVLAEVLTGIPAMDNNRSPVYLKDLLLSDIPSSTASLCSRKTGVENVMAKEICQKYLEKGAGRLPEDCAEALATAACLCLRRRNTSLQEVCGSVAAVEERLRGRETLLPWSGLSEGTGSSSNTPEETDDVDNSSLDASSSMSVAPWAGAATPLLPTENGEGRLRVIVGREADSSSEACVGLEPPQDVTETSWQIEINEAKRKLMENILLYKEEKVDSIELFGP
+>DECOY_sp|O43187|IRAK2_HUMAN Interleukin-1 receptor-associated kinase-like 2 OS=Homo sapiens OX=9606 GN=IRAK2 PE=1 SV=2
+PGFLEISDVKEEKYLLINEMLKRKAENIEIQWSTETVDQPPELGVCAESSSDAERGVIVRLRGEGNETPLLPTAAGAWPAVSMSSSADLSSNDVDDTEEPTNSSSGTGESLGSWPLLTERGRLREEVAAVSGCVEQLSTNRRRLCLCAATALAEACDEPLRGAGKELYKQCIEKAMVNEVGTKRSCLSATSSPIDSLLLDKLYVPSRNNDMAPIGTLVEALVIGCSFIDVRKTLQGVRIFDEPLYAASTRLLHTKMMTYKSRKNVPCLHAMPHALKPTLNQDLLVNSSKVNSHIIELGHLYEVACLLGSCISVRQPWPLPDSGGQGQLRDQLSGNAMYPYIFSHFQRAACFGLVPLVNPHCCRLCIQLEAQFFREISGPSSCATERLKKFVFPKGHRHGRYVDAFTGQSIKRNQNFDDTAQVVDAESWFLSDGALSLLKEQKPISTSFDKSDSSTPLDSRLSHPADEEPPQLFAPQHARAPSSGPGPFTAMRVPQGEEQEDEAKRVSAALPKEPKVSDPFAPIPCRIEPAPKWNLIIQAARYLELRCLLDVLQQVTAQRMGWWWLLERTISVGQVREMSKIKRLQTLDTIVYSAFEMWDWESLADMNRCLDDLVWSPLQYIYCAM
+>sp|P14316|IRF2_HUMAN Interferon regulatory factor 2 OS=Homo sapiens OX=9606 GN=IRF2 PE=1 SV=2
+MPVERMRMRPWLEEQINSNTIPGLKWLNKEKKIFQIPWMHAARHGWDVEKDAPLFRNWAIHTGKHQPGVDKPDPKTWKANFRCAMNSLPDIEEVKDKSIKKGNNAFRVYRMLPLSERPSKKGKKPKTEKEDKVKHIKQEPVESSLGLSNGVSDLSPEYAVLTSTIKNEVDSTVNIIVVGQSHLDSNIENQEIVTNPPDICQVVEVTTESDEQPVSMSELYPLQISPVSSYAESETTDSVPSDEESAEGRPHWRKRNIEGKQYLSNMGTRGSYLLPGMASFVTSNKPDLQVTIKEESNPVPYNSSWPPFQDLPLSSSMTPASSSSRPDRETRASVIKKTSDITQARVKSC
+>DECOY_sp|P14316|IRF2_HUMAN Interferon regulatory factor 2 OS=Homo sapiens OX=9606 GN=IRF2 PE=1 SV=2
+CSKVRAQTIDSTKKIVSARTERDPRSSSSAPTMSSSLPLDQFPPWSSNYPVPNSEEKITVQLDPKNSTVFSAMGPLLYSGRTGMNSLYQKGEINRKRWHPRGEASEEDSPVSDTTESEAYSSVPSIQLPYLESMSVPQEDSETTVEVVQCIDPPNTVIEQNEINSDLHSQGVVIINVTSDVENKITSTLVAYEPSLDSVGNSLGLSSEVPEQKIHKVKDEKETKPKKGKKSPRESLPLMRYVRFANNGKKISKDKVEEIDPLSNMACRFNAKWTKPDPKDVGPQHKGTHIAWNRFLPADKEVDWGHRAAHMWPIQFIKKEKNLWKLGPITNSNIQEELWPRMRMREVPM
+>sp|Q14653|IRF3_HUMAN Interferon regulatory factor 3 OS=Homo sapiens OX=9606 GN=IRF3 PE=1 SV=1
+MGTPKPRILPWLVSQLDLGQLEGVAWVNKSRTRFRIPWKHGLRQDAQQEDFGIFQAWAEATGAYVPGRDKPDLPTWKRNFRSALNRKEGLRLAEDRSKDPHDPHKIYEFVNSGVGDFSQPDTSPDTNGGGSTSDTQEDILDELLGNMVLAPLPDPGPPSLAVAPEPCPQPLRSPSLDNPTPFPNLGPSENPLKRLLVPGEEWEFEVTAFYRGRQVFQQTISCPEGLRLVGSEVGDRTLPGWPVTLPDPGMSLTDRGVMSYVRHVLSCLGGGLALWRAGQWLWAQRLGHCHTYWAVSEELLPNSGHGPDGEVPKDKEGGVFDLGPFIVDLITFTEGSGRSPRYALWFCVGESWPQDQPWTKRLVMVKVVPTCLRALVEMARVGGASSLENTVDLHISNSHPLSLTSDQYKAYLQDLVEGMDFQGPGES
+>DECOY_sp|Q14653|IRF3_HUMAN Interferon regulatory factor 3 OS=Homo sapiens OX=9606 GN=IRF3 PE=1 SV=1
+SEGPGQFDMGEVLDQLYAKYQDSTLSLPHSNSIHLDVTNELSSAGGVRAMEVLARLCTPVVKVMVLRKTWPQDQPWSEGVCFWLAYRPSRGSGETFTILDVIFPGLDFVGGEKDKPVEGDPGHGSNPLLEESVAWYTHCHGLRQAWLWQGARWLALGGGLCSLVHRVYSMVGRDTLSMGPDPLTVPWGPLTRDGVESGVLRLGEPCSITQQFVQRGRYFATVEFEWEEGPVLLRKLPNESPGLNPFPTPNDLSPSRLPQPCPEPAVALSPPGPDPLPALVMNGLLEDLIDEQTDSTSGGGNTDPSTDPQSFDGVGSNVFEYIKHPDHPDKSRDEALRLGEKRNLASRFNRKWTPLDPKDRGPVYAGTAEAWAQFIGFDEQQADQRLGHKWPIRFRTRSKNVWAVGELQGLDLQSVLWPLIRPKPTGM
+>sp|Q02556|IRF8_HUMAN Interferon regulatory factor 8 OS=Homo sapiens OX=9606 GN=IRF8 PE=1 SV=2
+MCDRNGGRRLRQWLIEQIDSSMYPGLIWENEEKSMFRIPWKHAGKQDYNQEVDASIFKAWAVFKGKFKEGDKAEPATWKTRLRCALNKSPDFEEVTDRSQLDISEPYKVYRIVPEEEQKCKLGVATAGCVNEVTEMECGRSEIDELIKEPSVDDYMGMIKRSPSPPEACRSQLLPDWWAQQPSTGVPLVTGYTTYDAHHSAFSQMVISFYYGGKLVGQATTTCPEGCRLSLSQPGLPGTKLYGPEGLELVRFPPADAIPSERQRQVTRKLFGHLERGVLLHSSRQGVFVKRLCQGRVFCSGNAVVCKGRPNKLERDEVVQVFDTSQFFRELQQFYNSQGRLPDGRVVLCFGEEFPDMAPLRSKLILVQIEQLYVRQLAEEAGKSCGAGSVMQAPEEPPPDQVFRMFPDICASHQRSFFRENQQITV
+>DECOY_sp|Q02556|IRF8_HUMAN Interferon regulatory factor 8 OS=Homo sapiens OX=9606 GN=IRF8 PE=1 SV=2
+VTIQQNERFFSRQHSACIDPFMRFVQDPPPEEPAQMVSGAGCSKGAEEALQRVYLQEIQVLILKSRLPAMDPFEEGFCLVVRGDPLRGQSNYFQQLERFFQSTDFVQVVEDRELKNPRGKCVVANGSCFVRGQCLRKVFVGQRSSHLLVGRELHGFLKRTVQRQRESPIADAPPFRVLELGEPGYLKTGPLGPQSLSLRCGEPCTTTAQGVLKGGYYFSIVMQSFASHHADYTTYGTVLPVGTSPQQAWWDPLLQSRCAEPPSPSRKIMGMYDDVSPEKILEDIESRGCEMETVENVCGATAVGLKCKQEEEPVIRYVKYPESIDLQSRDTVEEFDPSKNLACRLRTKWTAPEAKDGEKFKGKFVAWAKFISADVEQNYDQKGAHKWPIRFMSKEENEWILGPYMSSDIQEILWQRLRRGGNRDCM
+>sp|Q8WZA9|IRGQ_HUMAN Immunity-related GTPase family Q protein OS=Homo sapiens OX=9606 GN=IRGQ PE=1 SV=1
+MPPPQGDVTALFLGPPGLGKSALIAALCDKDVETLEAPEGRPDSGVPSLRAAGPGLFLGELSCPPAAPGPWAAEANVLVLVLPGPEGNGEPLAPALGEAALAALARGTPLLAVRNLRPGDSQTAAQARDQTAALLNSAGLGAADLFVLPANCGSSDGCEELERLRAALQSQAEALRRLLPPAQDGFEVLGAAELEAVREAFETGGLEAALSWVRSGLERLGSARLDLAVAGKADVGLVVDMLLGLDPGDPGAAPASVPTAPTPFPAPERPNVVLWTVPLGHTGTATTAAAASHPTHYDALILVTPGAPTEKDWAQVQALLLPDAPLVCVRTDGEGEDPECLGEGKMENPKGESLKNAGGGGLENALSKGREKCSAGSQKAGSGEGPGKAGSEGLQQVVGMKKSGGGDSERAAALSPEDETWEVLEEAPPPVFPLRPGGLPGLCEWLRRALPPAQAGALLLALPPASPSAARTKAAALRAGAWRPALLASLAAAAAPLPGLGWACDVALLRGQLAEWRRGLGLEPTALARRERALGLASGELAARAHFPGPVTRAEVEARLGAWAGEGTAGGAALGALSFLWPAGGAAATGGLGYRAAHGVLLQALDEMRADAEAVLAPPEPAQ
+>DECOY_sp|Q8WZA9|IRGQ_HUMAN Immunity-related GTPase family Q protein OS=Homo sapiens OX=9606 GN=IRGQ PE=1 SV=1
+QAPEPPALVAEADARMEDLAQLLVGHAARYGLGGTAAAGGAPWLFSLAGLAAGGATGEGAWAGLRAEVEARTVPGPFHARAALEGSALGLARERRALATPELGLGRRWEALQGRLLAVDCAWGLGPLPAAAAALSALLAPRWAGARLAAAKTRAASPSAPPLALLLAGAQAPPLARRLWECLGPLGGPRLPFVPPPAEELVEWTEDEPSLAAARESDGGGSKKMGVVQQLGESGAKGPGEGSGAKQSGASCKERGKSLANELGGGGANKLSEGKPNEMKGEGLCEPDEGEGDTRVCVLPADPLLLAQVQAWDKETPAGPTVLILADYHTPHSAAAATTATGTHGLPVTWLVVNPREPAPFPTPATPVSAPAAGPDGPDLGLLMDVVLGVDAKGAVALDLRASGLRELGSRVWSLAAELGGTEFAERVAELEAAGLVEFGDQAPPLLRRLAEAQSQLAARLRELEECGDSSGCNAPLVFLDAAGLGASNLLAATQDRAQAATQSDGPRLNRVALLPTGRALAALAAEGLAPALPEGNGEPGPLVLVLVNAEAAWPGPAAPPCSLEGLFLGPGAARLSPVGSDPRGEPAELTEVDKDCLAAILASKGLGPPGLFLATVDGQPPPM
+>sp|Q9NZN1|IRPL1_HUMAN Interleukin-1 receptor accessory protein-like 1 OS=Homo sapiens OX=9606 GN=IL1RAPL1 PE=1 SV=2
+MKAPIPHLILLYATFTQSLKVVTKRGSADGCTDWSIDIKKYQVLVGEPVRIKCALFYGYIRTNYSLAQSAGLSLMWYKSSGPGDFEEPIAFDGSRMSKEEDSIWFRPTLLQDSGLYACVIRNSTYCMKVSISLTVGENDTGLCYNSKMKYFEKAELSKSKEISCRDIEDFLLPTREPEILWYKECRTKTWRPSIVFKRDTLLIREVREDDIGNYTCELKYGGFVVRRTTELTVTAPLTDKPPKLLYPMESKLTIQETQLGDSANLTCRAFFGYSGDVSPLIYWMKGEKFIEDLDENRVWESDIRILKEHLGEQEVSISLIVDSVEEGDLGNYSCYVENGNGRRHASVLLHKRELMYTVELAGGLGAILLLLVCLVTIYKCYKIEIMLFYRNHFGAEELDGDNKDYDAYLSYTKVDPDQWNQETGEEERFALEILPDMLEKHYGYKLFIPDRDLIPTGTYIEDVARCVDQSKRLIIVMTPNYVVRRGWSIFELETRLRNMLVTGEIKVILIECSELRGIMNYQEVEALKHTIKLLTVIKWHGPKCNKLNSKFWKRLQYEMPFKRIEPITHEQALDVSEQGPFGELQTVSAISMAAATSTALATAHPDLRSTFHNTYHSQMRQKHYYRSYEYDVPPTGTLPLTSIGNQHTYCNIPMTLINGQRPQTKSSREQNPDEAHTNSAILPLLPRETSISSVIW
+>DECOY_sp|Q9NZN1|IRPL1_HUMAN Interleukin-1 receptor accessory protein-like 1 OS=Homo sapiens OX=9606 GN=IL1RAPL1 PE=1 SV=2
+WIVSSISTERPLLPLIASNTHAEDPNQERSSKTQPRQGNILTMPINCYTHQNGISTLPLTGTPPVDYEYSRYYHKQRMQSHYTNHFTSRLDPHATALATSTAAAMSIASVTQLEGFPGQESVDLAQEHTIPEIRKFPMEYQLRKWFKSNLKNCKPGHWKIVTLLKITHKLAEVEQYNMIGRLESCEILIVKIEGTVLMNRLRTELEFISWGRRVVYNPTMVIILRKSQDVCRAVDEIYTGTPILDRDPIFLKYGYHKELMDPLIELAFREEEGTEQNWQDPDVKTYSLYADYDKNDGDLEEAGFHNRYFLMIEIKYCKYITVLCVLLLLIAGLGGALEVTYMLERKHLLVSAHRRGNGNEVYCSYNGLDGEEVSDVILSISVEQEGLHEKLIRIDSEWVRNEDLDEIFKEGKMWYILPSVDGSYGFFARCTLNASDGLQTEQITLKSEMPYLLKPPKDTLPATVTLETTRRVVFGGYKLECTYNGIDDERVERILLTDRKFVISPRWTKTRCEKYWLIEPERTPLLFDEIDRCSIEKSKSLEAKEFYKMKSNYCLGTDNEGVTLSISVKMCYTSNRIVCAYLGSDQLLTPRFWISDEEKSMRSGDFAIPEEFDGPGSSKYWMLSLGASQALSYNTRIYGYFLACKIRVPEGVLVQYKKIDISWDTCGDASGRKTVVKLSQTFTAYLLILHPIPAKM
+>sp|Q9NP60|IRPL2_HUMAN X-linked interleukin-1 receptor accessory protein-like 2 OS=Homo sapiens OX=9606 GN=IL1RAPL2 PE=2 SV=1
+MKPPFLLALVVCSVVSTNLKMVSKRNSVDGCIDWSVDLKTYMALAGEPVRVKCALFYSYIRTNYSTAQSTGLRLMWYKNKGDLEEPIIFSEVRMSKEEDSIWFHSAEAQDSGFYTCVLRNSTYCMKVSMSLTVAENESGLCYNSRIRYLEKSEVTKRKEISCPDMDDFKKSDQEPDVVWYKECKPKMWRSIIIQKGNALLIQEVQEEDGGNYTCELKYEGKLVRRTTELKVTALLTDKPPKPLFPMENQPSVIDVQLGKPLNIPCKAFFGFSGESGPMIYWMKGEKFIEELAGHIREGEIRLLKEHLGEKEVELALIFDSVVEADLANYTCHVENRNGRKHASVLLRKKDLIYKIELAGGLGAIFLLLVLLVVIYKCYNIELMLFYRQHFGADETNDDNKEYDAYLSYTKVDQDTLDCDNPEEEQFALEVLPDVLEKHYGYKLFIPERDLIPSGTYMEDLTRYVEQSRRLIIVLTPDYILRRGWSIFELESRLHNMLVSGEIKVILIECTELKGKVNCQEVESLKRSIKLLSLIKWKGSKSSKLNSKFWKHLVYEMPIKKKEMLPRCHVLDSAEQGLFGELQPIPSIAMTSTSATLVSSQADLPEFHPSDSMQIRHCCRGYKHEIPATTLPVPSLGNHHTYCNLPLTLLNGQLPLNNTLKDTQEFHRNSSLLPLSSKELSFTSDIW
+>DECOY_sp|Q9NP60|IRPL2_HUMAN X-linked interleukin-1 receptor accessory protein-like 2 OS=Homo sapiens OX=9606 GN=IL1RAPL2 PE=2 SV=1
+WIDSTFSLEKSSLPLLSSNRHFEQTDKLTNNLPLQGNLLTLPLNCYTHHNGLSPVPLTTAPIEHKYGRCCHRIQMSDSPHFEPLDAQSSVLTASTSTMAISPIPQLEGFLGQEASDLVHCRPLMEKKKIPMEYVLHKWFKSNLKSSKSGKWKILSLLKISRKLSEVEQCNVKGKLETCEILIVKIEGSVLMNHLRSELEFISWGRRLIYDPTLVIILRRSQEVYRTLDEMYTGSPILDREPIFLKYGYHKELVDPLVELAFQEEEPNDCDLTDQDVKTYSLYADYEKNDDNTEDAGFHQRYFLMLEINYCKYIVVLLVLLLFIAGLGGALEIKYILDKKRLLVSAHKRGNRNEVHCTYNALDAEVVSDFILALEVEKEGLHEKLLRIEGERIHGALEEIFKEGKMWYIMPGSEGSFGFFAKCPINLPKGLQVDIVSPQNEMPFLPKPPKDTLLATVKLETTRRVLKGEYKLECTYNGGDEEQVEQILLANGKQIIISRWMKPKCEKYWVVDPEQDSKKFDDMDPCSIEKRKTVESKELYRIRSNYCLGSENEAVTLSMSVKMCYTSNRLVCTYFGSDQAEASHFWISDEEKSMRVESFIIPEELDGKNKYWMLRLGTSQATSYNTRIYSYFLACKVRVPEGALAMYTKLDVSWDICGDVSNRKSVMKLNTSVVSCVVLALLFPPKM
+>sp|P35568|IRS1_HUMAN Insulin receptor substrate 1 OS=Homo sapiens OX=9606 GN=IRS1 PE=1 SV=1
+MASPPESDGFSDVRKVGYLRKPKSMHKRFFVLRAASEAGGPARLEYYENEKKWRHKSSAPKRSIPLESCFNINKRADSKNKHLVALYTRDEHFAIAADSEAEQDSWYQALLQLHNRAKGHHDGAAALGAGGGGGSCSGSSGLGEAGEDLSYGDVPPGPAFKEVWQVILKPKGLGQTKNLIGIYRLCLTSKTISFVKLNSEAAAVVLQLMNIRRCGHSENFFFIEVGRSAVTGPGEFWMQVDDSVVAQNMHETILEAMRAMSDEFRPRSKSQSSSNCSNPISVPLRRHHLNNPPPSQVGLTRRSRTESITATSPASMVGGKPGSFRVRASSDGEGTMSRPASVDGSPVSPSTNRTHAHRHRGSARLHPPLNHSRSIPMPASRCSPSATSPVSLSSSSTSGHGSTSDCLFPRRSSASVSGSPSDGGFISSDEYGSSPCDFRSSFRSVTPDSLGHTPPARGEEELSNYICMGGKGPSTLTAPNGHYILSRGGNGHRCTPGTGLGTSPALAGDEAASAADLDNRFRKRTHSAGTSPTITHQKTPSQSSVASIEEYTEMMPAYPPGGGSGGRLPGHRHSAFVPTRSYPEEGLEMHPLERRGGHHRPDSSTLHTDDGYMPMSPGVAPVPSGRKGSGDYMPMSPKSVSAPQQIINPIRRHPQRVDPNGYMMMSPSGGCSPDIGGGPSSSSSSSNAVPSGTSYGKLWTNGVGGHHSHVLPHPKPPVESSGGKLLPCTGDYMNMSPVGDSNTSSPSDCYYGPEDPQHKPVLSYYSLPRSFKHTQRPGEPEEGARHQHLRLSTSSGRLLYAATADDSSSSTSSDSLGGGYCGARLEPSLPHPHHQVLQPHLPRKVDTAAQTNSRLARPTRLSLGDPKASTLPRAREQQQQQQPLLHPPEPKSPGEYVNIEFGSDQSGYLSGPVAFHSSPSVRCPSQLQPAPREEETGTEEYMKMDLGPGRRAAWQESTGVEMGRLGPAPPGAASICRPTRAVPSSRGDYMTMQMSCPRQSYVDTSPAAPVSYADMRTGIAAEEVSLPRATMAAASSSSAASASPTGPQGAAELAAHSSLLGGPQGPGGMSAFTRVNLSPNRNQSAKVIRADPQGCRRRHSSETFSSTPSATRVGNTVPFGAGAAVGGGGGSSSSSEDVKRHSSASFENVWLRPGELGGAPKEPAKLCGAAGGLENGLNYIDLDLVKDFKQCPQECTPEPQPPPPPPPHQPLGSGESSSTRRSSEDLSAYASISFQKQPEDRQ
+>DECOY_sp|P35568|IRS1_HUMAN Insulin receptor substrate 1 OS=Homo sapiens OX=9606 GN=IRS1 PE=1 SV=1
+QRDEPQKQFSISAYASLDESSRRTSSSEGSGLPQHPPPPPPPQPEPTCEQPCQKFDKVLDLDIYNLGNELGGAAGCLKAPEKPAGGLEGPRLWVNEFSASSHRKVDESSSSSGGGGGVAAGAGFPVTNGVRTASPTSSFTESSHRRRCGQPDARIVKASQNRNPSLNVRTFASMGGPGQPGGLLSSHAALEAAGQPGTPSASAASSSSAAAMTARPLSVEEAAIGTRMDAYSVPAAPSTDVYSQRPCSMQMTMYDGRSSPVARTPRCISAAGPPAPGLRGMEVGTSEQWAARRGPGLDMKMYEETGTEEERPAPQLQSPCRVSPSSHFAVPGSLYGSQDSGFEINVYEGPSKPEPPHLLPQQQQQQERARPLTSAKPDGLSLRTPRALRSNTQAATDVKRPLHPQLVQHHPHPLSPELRAGCYGGGLSDSSTSSSSDDATAAYLLRGSSTSLRLHQHRAGEEPEGPRQTHKFSRPLSYYSLVPKHQPDEPGYYCDSPSSTNSDGVPSMNMYDGTCPLLKGGSSEVPPKPHPLVHSHHGGVGNTWLKGYSTGSPVANSSSSSSSPGGGIDPSCGGSPSMMMYGNPDVRQPHRRIPNIIQQPASVSKPSMPMYDGSGKRGSPVPAVGPSMPMYGDDTHLTSSDPRHHGGRRELPHMELGEEPYSRTPVFASHRHGPLRGGSGGGPPYAPMMETYEEISAVSSQSPTKQHTITPSTGASHTRKRFRNDLDAASAAEDGALAPSTGLGTGPTCRHGNGGRSLIYHGNPATLTSPGKGGMCIYNSLEEEGRAPPTHGLSDPTVSRFSSRFDCPSSGYEDSSIFGGDSPSGSVSASSRRPFLCDSTSGHGSTSSSSLSVPSTASPSCRSAPMPISRSHNLPPHLRASGRHRHAHTRNTSPSVPSGDVSAPRSMTGEGDSSARVRFSGPKGGVMSAPSTATISETRSRRTLGVQSPPPNNLHHRRLPVSIPNSCNSSSQSKSRPRFEDSMARMAELITEHMNQAVVSDDVQMWFEGPGTVASRGVEIFFFNESHGCRRINMLQLVVAAAESNLKVFSITKSTLCLRYIGILNKTQGLGKPKLIVQWVEKFAPGPPVDGYSLDEGAEGLGSSGSCSGGGGGAGLAAAGDHHGKARNHLQLLAQYWSDQEAESDAAIAFHEDRTYLAVLHKNKSDARKNINFCSELPISRKPASSKHRWKKENEYYELRAPGGAESAARLVFFRKHMSKPKRLYGVKRVDSFGDSEPPSAM
+>sp|Q9Y4H2|IRS2_HUMAN Insulin receptor substrate 2 OS=Homo sapiens OX=9606 GN=IRS2 PE=1 SV=2
+MASPPRHGPPGPASGDGPNLNNNNNNNNHSVRKCGYLRKQKHGHKRFFVLRGPGAGGDEATAGGGSAPQPPRLEYYESEKKWRSKAGAPKRVIALDCCLNINKRADAKHKYLIALYTKDEYFAVAAENEQEQEGWYRALTDLVSEGRAAAGDAPPAAAPAASCSASLPGALGGSAGAAGAEDSYGLVAPATAAYREVWQVNLKPKGLGQSKNLTGVYRLCLSARTIGFVKLNCEQPSVTLQLMNIRRCGHSDSFFFIEVGRSAVTGPGELWMQADDSVVAQNIHETILEAMKALKELFEFRPRSKSQSSGSSATHPISVPGARRHHHLVNLPPSQTGLVRRSRTDSLAATPPAAKCSSCRVRTASEGDGGAAAGAAAAGARPVSVAGSPLSPGPVRAPLSRSHTLSGGCGGRGSKVALLPAGGALQHSRSMSMPVAHSPPAATSPGSLSSSSGHGSGSYPPPPGPHPPLPHPLHHGPGQRPSSGSASASGSPSDPGFMSLDEYGSSPGDLRAFCSHRSNTPESIAETPPARDGGGGGEFYGYMTMDRPLSHCGRSYRRVSGDAAQDLDRGLRKRTYSLTTPARQRPVPQPSSASLDEYTLMRATFSGSAGRLCPSCPASSPKVAYHPYPEDYGDIEIGSHRSSSSNLGADDGYMPMTPGAALAGSGSGSCRSDDYMPMSPASVSAPKQILQPRAAAAAAAAVPSAGPAGPAPTSAAGRTFPASGGGYKASSPAESSPEDSGYMRMWCGSKLSMEHADGKLLPNGDYLNVSPSDAVTTGTPPDFFSAALHPGGEPLRGVPGCCYSSLPRSYKAPYTCGGDSDQYVLMSSPVGRILEEERLEPQATPGPSQAASAFGAGPTQPPHPVVPSPVRPSGGRPEGFLGQRGRAVRPTRLSLEGLPSLPSMHEYPLPPEPKSPGEYINIDFGEPGARLSPPAPPLLASAASSSSLLSASSPASSLGSGTPGTSSDSRQRSPLSDYMNLDFSSPKSPKPGAPSGHPVGSLDGLLSPEASSPYPPLPPRPSASPSSSLQPPPPPPAPGELYRLPPASAVATAQGPGAASSLSSDTGDNGDYTEMAFGVAATPPQPIAAPPKPEAARVASPTSGVKRLSLMEQVSGVEAFLQASQPPDPHRGAKVIRADPQGGRRRHSSETFSSTTTVTPVSPSFAHNPKRHNSASVENVSLRKSSEGGVGVGPGGGDEPPTSPRQLQPAPPLAPQGRPWTPGQPGGLVGCPGSGGSPMRRETSAGFQNGLNYIAIDVREEPGLPPQPQPPPPPLPQPGDKSSWGRTRSLGGLISAVGVGSTGGGCGGPGPGALPPANTYASIDFLSHHLKEATIVKE
+>DECOY_sp|Q9Y4H2|IRS2_HUMAN Insulin receptor substrate 2 OS=Homo sapiens OX=9606 GN=IRS2 PE=1 SV=2
+EKVITAEKLHHSLFDISAYTNAPPLAGPGPGGCGGGTSGVGVASILGGLSRTRGWSSKDGPQPLPPPPPQPQPPLGPEERVDIAIYNLGNQFGASTERRMPSGGSGPCGVLGGPQGPTWPRGQPALPPAPQLQRPSTPPEDGGGPGVGVGGESSKRLSVNEVSASNHRKPNHAFSPSVPTVTTTSSFTESSHRRRGGQPDARIVKAGRHPDPPQSAQLFAEVGSVQEMLSLRKVGSTPSAVRAAEPKPPAAIPQPPTAAVGFAMETYDGNDGTDSSLSSAAGPGQATAVASAPPLRYLEGPAPPPPPPQLSSSPSASPRPPLPPYPSSAEPSLLGDLSGVPHGSPAGPKPSKPSSFDLNMYDSLPSRQRSDSSTGPTGSGLSSAPSSASLLSSSSAASALLPPAPPSLRAGPEGFDINIYEGPSKPEPPLPYEHMSPLSPLGELSLRTPRVARGRQGLFGEPRGGSPRVPSPVVPHPPQTPGAGFASAAQSPGPTAQPELREEELIRGVPSSMLVYQDSDGGCTYPAKYSRPLSSYCCGPVGRLPEGGPHLAASFFDPPTGTTVADSPSVNLYDGNPLLKGDAHEMSLKSGCWMRMYGSDEPSSEAPSSAKYGGGSAPFTRGAASTPAPGAPGASPVAAAAAAAARPQLIQKPASVSAPSMPMYDDSRCSGSGSGALAAGPTMPMYGDDAGLNSSSSRHSGIEIDGYDEPYPHYAVKPSSAPCSPCLRGASGSFTARMLTYEDLSASSPQPVPRQRAPTTLSYTRKRLGRDLDQAADGSVRRYSRGCHSLPRDMTMYGYFEGGGGGDRAPPTEAISEPTNSRHSCFARLDGPSSGYEDLSMFGPDSPSGSASASGSSPRQGPGHHLPHPLPPHPGPPPPYSGSGHGSSSSLSGPSTAAPPSHAVPMSMSRSHQLAGGAPLLAVKSGRGGCGGSLTHSRSLPARVPGPSLPSGAVSVPRAGAAAAGAAAGGDGESATRVRCSSCKAAPPTAALSDTRSRRVLGTQSPPLNVLHHHRRAGPVSIPHTASSGSSQSKSRPRFEFLEKLAKMAELITEHINQAVVSDDAQMWLEGPGTVASRGVEIFFFSDSHGCRRINMLQLTVSPQECNLKVFGITRASLCLRYVGTLNKSQGLGKPKLNVQWVERYAATAPAVLGYSDEAGAAGASGGLAGPLSASCSAAPAAAPPADGAAARGESVLDTLARYWGEQEQENEAAVAFYEDKTYLAILYKHKADARKNINLCCDLAIVRKPAGAKSRWKKESEYYELRPPQPASGGGATAEDGGAGPGRLVFFRKHGHKQKRLYGCKRVSHNNNNNNNNLNPGDGSAPGPPGHRPPSAM
+>sp|Q6IE38|ISK14_HUMAN Serine protease inhibitor Kazal-type 14 OS=Homo sapiens OX=9606 GN=SPINK14 PE=3 SV=1
+MAKSFPVFSLLSFILIHLVLSSVSGPRHWWPPRGIIKVKCPYEKVNLSWYNGTVNPCPGLYQPICGTNFITYDNPCILCVESLKSHGRIRFYHDGKC
+>DECOY_sp|Q6IE38|ISK14_HUMAN Serine protease inhibitor Kazal-type 14 OS=Homo sapiens OX=9606 GN=SPINK14 PE=3 SV=1
+CKGDHYFRIRGHSKLSEVCLICPNDYTIFNTGCIPQYLGPCPNVTGNYWSLNVKEYPCKVKIIGRPPWWHRPGSVSSLVLHILIFSLLSFVPFSKAM
+>sp|P00995|ISK1_HUMAN Serine protease inhibitor Kazal-type 1 OS=Homo sapiens OX=9606 GN=SPINK1 PE=1 SV=2
+MKVTGIFLLSALALLSLSGNTGADSLGREAKCYNELNGCTKIYDPVCGTDGNTYPNECVLCFENRKRQTSILIQKSGPC
+>DECOY_sp|P00995|ISK1_HUMAN Serine protease inhibitor Kazal-type 1 OS=Homo sapiens OX=9606 GN=SPINK1 PE=1 SV=2
+CPGSKQILISTQRKRNEFCLVCENPYTNGDTGCVPDYIKTCGNLENYCKAERGLSDAGTNGSLSLLALASLLFIGTVKM
+>sp|O60575|ISK4_HUMAN Serine protease inhibitor Kazal-type 4 OS=Homo sapiens OX=9606 GN=SPINK4 PE=3 SV=1
+MAVRQWVIALALAALLVVDREVPVAAGKLPFSRMPICEHMVESPTCSQMSNLVCGTDGLTYTNECQLCLARIKTKQDIQIMKDGKC
+>DECOY_sp|O60575|ISK4_HUMAN Serine protease inhibitor Kazal-type 4 OS=Homo sapiens OX=9606 GN=SPINK4 PE=3 SV=1
+CKGDKMIQIDQKTKIRALCLQCENTYTLGDTGCVLNSMQSCTPSEVMHECIPMRSFPLKGAAVPVERDVVLLAALALAIVWQRVAM
+>sp|Q96AB3|ISOC2_HUMAN Isochorismatase domain-containing protein 2 OS=Homo sapiens OX=9606 GN=ISOC2 PE=1 SV=1
+MAAARPSLGRVLPGSSVLFLCDMQEKFRHNIAYFPQIVSVAARMLKVARLLEVPVMLTEQYPQGLGPTVPELGTEGLRPLAKTCFSMVPALQQELDSRPQLRSVLLCGIEAQACILNTTLDLLDRGLQVHVVVDACSSRSQVDRLVALARMRQSGAFLSTSEGLILQLVGDAVHPQFKEIQKLIKEPAPDSGLLGLFQGQNSLLH
+>DECOY_sp|Q96AB3|ISOC2_HUMAN Isochorismatase domain-containing protein 2 OS=Homo sapiens OX=9606 GN=ISOC2 PE=1 SV=1
+HLLSNQGQFLGLLGSDPAPEKILKQIEKFQPHVADGVLQLILGESTSLFAGSQRMRALAVLRDVQSRSSCADVVVHVQLGRDLLDLTTNLICAQAEIGCLLVSRLQPRSDLEQQLAPVMSFCTKALPRLGETGLEPVTPGLGQPYQETLMVPVELLRAVKLMRAAVSVIQPFYAINHRFKEQMDCLFLVSSGPLVRGLSPRAAAM
+>sp|O75578|ITA10_HUMAN Integrin alpha-10 OS=Homo sapiens OX=9606 GN=ITGA10 PE=2 SV=2
+MELPFVTHLFLPLVFLTGLCSPFNLDEHHPRLFPGPPEAEFGYSVLQHVGGGQRWMLVGAPWDGPSGDRRGDVYRCPVGGAHNAPCAKGHLGDYQLGNSSHPAVNMHLGMSLLETDGDGGFMACAPLWSRACGSSVFSSGICARVDASFQPQGSLAPTAQRCPTYMDVVIVLDGSNSIYPWSEVQTFLRRLVGKLFIDPEQIQVGLVQYGESPVHEWSLGDFRTKEEVVRAAKNLSRREGRETKTAQAIMVACTEGFSQSHGGRPEAARLLVVVTDGESHDGEELPAALKACEAGRVTRYGIAVLGHYLRRQRDPSSFLREIRTIASDPDERFFFNVTDEAALTDIVDALGDRIFGLEGSHAENESSFGLEMSQIGFSTHRLKDGILFGMVGAYDWGGSVLWLEGGHRLFPPRMALEDEFPPALQNHAAYLGYSVSSMLLRGGRRLFLSGAPRFRHRGKVIAFQLKKDGAVRVAQSLQGEQIGSYFGSELCPLDTDRDGTTDVLLVAAPMFLGPQNKETGRVYVYLVGQQSLLTLQGTLQPEPPQDARFGFAMGALPDLNQDGFADVAVGAPLEDGHQGALYLYHGTQSGVRPHPAQRIAAASMPHALSYFGRSVDGRLDLDGDDLVDVAVGAQGAAILLSSRPIVHLTPSLEVTPQAISVVQRDCRRRGQEAVCLTAALCFQVTSRTPGRWDHQFYMRFTASLDEWTAGARAAFDGSGQRLSPRRLRLSVGNVTCEQLHFHVLDTSDYLRPVALTVTFALDNTTKPGPVLNEGSPTSIQKLVPFSKDCGPDNECVTDLVLQVNMDIRGSRKAPFVVRGGRRKVLVSTTLENRKENAYNTSLSLIFSRNLHLASLTPQRESPIKVECAAPSAHARLCSVGHPVFQTGAKVTFLLEFEFSCSSLLSQVFVKLTASSDSLERNGTLQDNTAQTSAYIQYEPHLLFSSESTLHRYEVHPYGTLPVGPGPEFKTTLRVQNLGCYVVSGLIISALLPAVAHGGNYFLSLSQVITNNASCIVQNLTEPPGPPVHPEELQHTNRLNGSNTQCQVVRCHLGQLAKGTEVSVGLLRLVHNEFFRRAKFKSLTVVSTFELGTEEGSVLQLTEASRWSESLLEVVQTRPILISLWILIGSVLGGLLLLALLVFCLWKLGFFAHKKIPEEEKREEKLEQ
+>DECOY_sp|O75578|ITA10_HUMAN Integrin alpha-10 OS=Homo sapiens OX=9606 GN=ITGA10 PE=2 SV=2
+QELKEERKEEEPIKKHAFFGLKWLCFVLLALLLLGGLVSGILIWLSILIPRTQVVELLSESWRSAETLQLVSGEETGLEFTSVVTLSKFKARRFFENHVLRLLGVSVETGKALQGLHCRVVQCQTNSGNLRNTHQLEEPHVPPGPPETLNQVICSANNTIVQSLSLFYNGGHAVAPLLASIILGSVVYCGLNQVRLTTKFEPGPGVPLTGYPHVEYRHLTSESSFLLHPEYQIYASTQATNDQLTGNRELSDSSATLKVFVQSLLSSCSFEFELLFTVKAGTQFVPHGVSCLRAHASPAACEVKIPSERQPTLSALHLNRSFILSLSTNYANEKRNELTTSVLVKRRGGRVVFPAKRSGRIDMNVQLVLDTVCENDPGCDKSFPVLKQISTPSGENLVPGPKTTNDLAFTVTLAVPRLYDSTDLVHFHLQECTVNGVSLRLRRPSLRQGSGDFAARAGATWEDLSATFRMYFQHDWRGPTRSTVQFCLAATLCVAEQGRRRCDRQVVSIAQPTVELSPTLHVIPRSSLLIAAGQAGVAVDVLDDGDLDLRGDVSRGFYSLAHPMSAAAIRQAPHPRVGSQTGHYLYLAGQHGDELPAGVAVDAFGDQNLDPLAGMAFGFRADQPPEPQLTGQLTLLSQQGVLYVYVRGTEKNQPGLFMPAAVLLVDTTGDRDTDLPCLESGFYSGIQEGQLSQAVRVAGDKKLQFAIVKGRHRFRPAGSLFLRRGGRLLMSSVSYGLYAAHNQLAPPFEDELAMRPPFLRHGGELWLVSGGWDYAGVMGFLIGDKLRHTSFGIQSMELGFSSENEAHSGELGFIRDGLADVIDTLAAEDTVNFFFREDPDSAITRIERLFSSPDRQRRLYHGLVAIGYRTVRGAECAKLAAPLEEGDHSEGDTVVVLLRAAEPRGGHSQSFGETCAVMIAQATKTERGERRSLNKAARVVEEKTRFDGLSWEHVPSEGYQVLGVQIQEPDIFLKGVLRRLFTQVESWPYISNSGDLVIVVDMYTPCRQATPALSGQPQFSADVRACIGSSFVSSGCARSWLPACAMFGGDGDTELLSMGLHMNVAPHSSNGLQYDGLHGKACPANHAGGVPCRYVDGRRDGSPGDWPAGVLMWRQGGGVHQLVSYGFEAEPPGPFLRPHHEDLNFPSCLGTLFVLPLFLHTVFPLEM
+>sp|P26006|ITA3_HUMAN Integrin alpha-3 OS=Homo sapiens OX=9606 GN=ITGA3 PE=1 SV=5
+MGPGPSRAPRAPRLMLCALALMVAAGGCVVSAFNLDTRFLVVKEAGNPGSLFGYSVALHRQTERQQRYLLLAGAPRELAVPDGYTNRTGAVYLCPLTAHKDDCERMNITVKNDPGHHIIEDMWLGVTVASQGPAGRVLVCAHRYTQVLWSGSEDQRRMVGKCYVRGNDLELDSSDDWQTYHNEMCNSNTDYLETGMCQLGTSGGFTQNTVYFGAPGAYNWKGNSYMIQRKEWDLSEYSYKDPEDQGNLYIGYTMQVGSFILHPKNITIVTGAPRHRHMGAVFLLSQEAGGDLRRRQVLEGSQVGAYFGSAIALADLNNDGWQDLLVGAPYYFERKEEVGGAIYVFMNQAGTSFPAHPSLLLHGPSGSAFGLSVASIGDINQDGFQDIAVGAPFEGLGKVYIYHSSSKGLLRQPQQVIHGEKLGLPGLATFGYSLSGQMDVDENFYPDLLVGSLSDHIVLLRARPVINIVHKTLVPRPAVLDPALCTATSCVQVELCFAYNQSAGNPNYRRNITLAYTLEADRDRRPPRLRFAGSESAVFHGFFSMPEMRCQKLELLLMDNLRDKLRPIIISMNYSLPLRMPDRPRLGLRSLDAYPILNQAQALENHTEVQFQKECGPDNKCESNLQMRAAFVSEQQQKLSRLQYSRDVRKLLLSINVTNTRTSERSGEDAHEALLTLVVPPALLLSSVRPPGACQANETIFCELGNPFKRNQRMELLIAFEVIGVTLHTRDLQVQLQLSTSSHQDNLWPMILTLLVDYTLQTSLSMVNHRLQSFFGGTVMGESGMKTVEDVGSPLKYEFQVGPMGEGLVGLGTLVLGLEWPYEVSNGKWLLYPTEITVHGNGSWPCRPPGDLINPLNLTLSDPGDRPSSPQRRRRQLDPGGGQGPPPVTLAAAKKAKSETVLTCATGRAHCVWLECPIPDAPVVTNVTVKARVWNSTFIEDYRDFDRVRVNGWATLFLRTSIPTINMENKTTWFSVDIDSELVEELPAEIELWLVLVAVGAGLLLLGLIILLLWKCGFFKRARTRALYEAKRQKAEMKSQPSETERLTDDY
+>DECOY_sp|P26006|ITA3_HUMAN Integrin alpha-3 OS=Homo sapiens OX=9606 GN=ITGA3 PE=1 SV=5
+YDDTLRETESPQSKMEAKQRKAEYLARTRARKFFGCKWLLLIILGLLLLGAGVAVLVLWLEIEAPLEEVLESDIDVSFWTTKNEMNITPISTRLFLTAWGNVRVRDFDRYDEIFTSNWVRAKVTVNTVVPADPIPCELWVCHARGTACTLVTESKAKKAAALTVPPPGQGGGPDLQRRRRQPSSPRDGPDSLTLNLPNILDGPPRCPWSGNGHVTIETPYLLWKGNSVEYPWELGLVLTGLGVLGEGMPGVQFEYKLPSGVDEVTKMGSEGMVTGGFFSQLRHNVMSLSTQLTYDVLLTLIMPWLNDQHSSTSLQLQVQLDRTHLTVGIVEFAILLEMRQNRKFPNGLECFITENAQCAGPPRVSSLLLAPPVVLTLLAEHADEGSRESTRTNTVNISLLLKRVDRSYQLRSLKQQQESVFAARMQLNSECKNDPGCEKQFQVETHNELAQAQNLIPYADLSRLGLRPRDPMRLPLSYNMSIIIPRLKDRLNDMLLLELKQCRMEPMSFFGHFVASESGAFRLRPPRRDRDAELTYALTINRRYNPNGASQNYAFCLEVQVCSTATCLAPDLVAPRPVLTKHVINIVPRARLLVIHDSLSGVLLDPYFNEDVDMQGSLSYGFTALGPLGLKEGHIVQQPQRLLGKSSSHYIYVKGLGEFPAGVAIDQFGDQNIDGISAVSLGFASGSPGHLLLSPHAPFSTGAQNMFVYIAGGVEEKREFYYPAGVLLDQWGDNNLDALAIASGFYAGVQSGELVQRRRLDGGAEQSLLFVAGMHRHRPAGTVITINKPHLIFSGVQMTYGIYLNGQDEPDKYSYESLDWEKRQIMYSNGKWNYAGPAGFYVTNQTFGGSTGLQCMGTELYDTNSNCMENHYTQWDDSSDLELDNGRVYCKGVMRRQDESGSWLVQTYRHACVLVRGAPGQSAVTVGLWMDEIIHHGPDNKVTINMRECDDKHATLPCLYVAGTRNTYGDPVALERPAGALLLYRQQRETQRHLAVSYGFLSGPNGAEKVVLFRTDLNFASVVCGGAAVMLALACLMLRPARPARSPGPGM
+>sp|P13612|ITA4_HUMAN Integrin alpha-4 OS=Homo sapiens OX=9606 GN=ITGA4 PE=1 SV=3
+MAWEARREPGPRRAAVRETVMLLLCLGVPTGRPYNVDTESALLYQGPHNTLFGYSVVLHSHGANRWLLVGAPTANWLANASVINPGAIYRCRIGKNPGQTCEQLQLGSPNGEPCGKTCLEERDNQWLGVTLSRQPGENGSIVTCGHRWKNIFYIKNENKLPTGGCYGVPPDLRTELSKRIAPCYQDYVKKFGENFASCQAGISSFYTKDLIVMGAPGSSYWTGSLFVYNITTNKYKAFLDKQNQVKFGSYLGYSVGAGHFRSQHTTEVVGGAPQHEQIGKAYIFSIDEKELNILHEMKGKKLGSYFGASVCAVDLNADGFSDLLVGAPMQSTIREEGRVFVYINSGSGAVMNAMETNLVGSDKYAARFGESIVNLGDIDNDGFEDVAIGAPQEDDLQGAIYIYNGRADGISSTFSQRIEGLQISKSLSMFGQSISGQIDADNNGYVDVAVGAFRSDSAVLLRTRPVVIVDASLSHPESVNRTKFDCVENGWPSVCIDLTLCFSYKGKEVPGYIVLFYNMSLDVNRKAESPPRFYFSSNGTSDVITGSIQVSSREANCRTHQAFMRKDVRDILTPIQIEAAYHLGPHVISKRSTEEFPPLQPILQQKKEKDIMKKTINFARFCAHENCSADLQVSAKIGFLKPHENKTYLAVGSMKTLMLNVSLFNAGDDAYETTLHVKLPVGLYFIKILELEEKQINCEVTDNSGVVQLDCSIGYIYVDHLSRIDISFLLDVSSLSRAEEDLSITVHATCENEEEMDNLKHSRVTVAIPLKYEVKLTVHGFVNPTSFVYGSNDENEPETCMVEKMNLTFHVINTGNSMAPNVSVEIMVPNSFSPQTDKLFNILDVQTTTGECHFENYQRVCALEQQKSAMQTLKGIVRFLSKTDKRLLYCIKADPHCLNFLCNFGKMESGKEASVHIQLEGRPSILEMDETSALKFEIRATGFPEPNPRVIELNKDENVAHVLLEGLHHQRPKRYFTIVIISSSLLLGLIVLLLISYVMWKAGFFKRQYKSILQEENRRDSWSYINSKSNDD
+>DECOY_sp|P13612|ITA4_HUMAN Integrin alpha-4 OS=Homo sapiens OX=9606 GN=ITGA4 PE=1 SV=3
+DDNSKSNIYSWSDRRNEEQLISKYQRKFFGAKWMVYSILLLVILGLLLSSSIIVITFYRKPRQHHLGELLVHAVNEDKNLEIVRPNPEPFGTARIEFKLASTEDMELISPRGELQIHVSAEKGSEMKGFNCLFNLCHPDAKICYLLRKDTKSLFRVIGKLTQMASKQQELACVRQYNEFHCEGTTTQVDLINFLKDTQPSFSNPVMIEVSVNPAMSNGTNIVHFTLNMKEVMCTEPENEDNSGYVFSTPNVFGHVTLKVEYKLPIAVTVRSHKLNDMEEENECTAHVTISLDEEARSLSSVDLLFSIDIRSLHDVYIYGISCDLQVVGSNDTVECNIQKEELELIKIFYLGVPLKVHLTTEYADDGANFLSVNLMLTKMSGVALYTKNEHPKLFGIKASVQLDASCNEHACFRAFNITKKMIDKEKKQQLIPQLPPFEETSRKSIVHPGLHYAAEIQIPTLIDRVDKRMFAQHTRCNAERSSVQISGTIVDSTGNSSFYFRPPSEAKRNVDLSMNYFLVIYGPVEKGKYSFCLTLDICVSPWGNEVCDFKTRNVSEPHSLSADVIVVPRTRLLVASDSRFAGVAVDVYGNNDADIQGSISQGFMSLSKSIQLGEIRQSFTSSIGDARGNYIYIAGQLDDEQPAGIAVDEFGDNDIDGLNVISEGFRAAYKDSGVLNTEMANMVAGSGSNIYVFVRGEERITSQMPAGVLLDSFGDANLDVACVSAGFYSGLKKGKMEHLINLEKEDISFIYAKGIQEHQPAGGVVETTHQSRFHGAGVSYGLYSGFKVQNQKDLFAKYKNTTINYVFLSGTWYSSGPAGMVILDKTYFSSIGAQCSAFNEGFKKVYDQYCPAIRKSLETRLDPPVGYCGGTPLKNENKIYFINKWRHGCTVISGNEGPQRSLTVGLWQNDREELCTKGCPEGNPSGLQLQECTQGPNKGIRCRYIAGPNIVSANALWNATPAGVLLWRNAGHSHLVVSYGFLTNHPGQYLLASETDVNYPRGTPVGLCLLLMVTERVAARRPGPERRAEWAM
+>sp|P23229|ITA6_HUMAN Integrin alpha-6 OS=Homo sapiens OX=9606 GN=ITGA6 PE=1 SV=5
+MAAAGQLCLLYLSAGLLSRLGAAFNLDTREDNVIRKYGDPGSLFGFSLAMHWQLQPEDKRLLLVGAPRAEALPLQRANRTGGLYSCDITARGPCTRIEFDNDADPTSESKEDQWMGVTVQSQGPGGKVVTCAHRYEKRQHVNTKQESRDIFGRCYVLSQNLRIEDDMDGGDWSFCDGRLRGHEKFGSCQQGVAATFTKDFHYIVFGAPGTYNWKGIVRVEQKNNTFFDMNIFEDGPYEVGGETEHDESLVPVPANSYLGLLFLTSVSYTDPDQFVYKTRPPREQPDTFPDVMMNSYLGFSLDSGKGIVSKDEITFVSGAPRANHSGAVVLLKRDMKSAHLLPEHIFDGEGLASSFGYDVAVVDLNKDGWQDIVIGAPQYFDRDGEVGGAVYVYMNQQGRWNNVKPIRLNGTKDSMFGIAVKNIGDINQDGYPDIAVGAPYDDLGKVFIYHGSANGINTKPTQVLKGISPYFGYSIAGNMDLDRNSYPDVAVGSLSDSVTIFRSRPVINIQKTITVTPNRIDLRQKTACGAPSGICLQVKSCFEYTANPAGYNPSISIVGTLEAEKERRKSGLSSRVQFRNQGSEPKYTQELTLKRQKQKVCMEETLWLQDNIRDKLRPIPITASVEIQEPSSRRRVNSLPEVLPILNSDEPKTAHIDVHFLKEGCGDDNVCNSNLKLEYKFCTREGNQDKFSYLPIQKGVPELVLKDQKDIALEITVTNSPSNPRNPTKDGDDAHEAKLIATFPDTLTYSAYRELRAFPEKQLSCVANQNGSQADCELGNPFKRNSNVTFYLVLSTTEVTFDTPDLDINLKLETTSNQDNLAPITAKAKVVIELLLSVSGVAKPSQVYFGGTVVGEQAMKSEDEVGSLIEYEFRVINLGKPLTNLGTATLNIQWPKEISNGKWLLYLVKVESKGLEKVTCEPQKEINSLNLTESHNSRKKREITEKQIDDNRKFSLFAERKYQTLNCSVNVNCVNIRCPLRGLDSKASLILRSRLWNSTFLEEYSKLNYLDILMRAFIDVTAAAENIRLPNAGTQVRVTVFPSKTVAQYSGVPWWIILVAILAGILMLALLVFILWKCGFFKRSRYDDSVPRYHAVRIRKEEREIKDEKYIDNLEKKQWITKWNENESYS
+>DECOY_sp|P23229|ITA6_HUMAN Integrin alpha-6 OS=Homo sapiens OX=9606 GN=ITGA6 PE=1 SV=5
+SYSENENWKTIWQKKELNDIYKEDKIEREEKRIRVAHYRPVSDDYRSRKFFGCKWLIFVLLALMLIGALIAVLIIWWPVGSYQAVTKSPFVTVRVQTGANPLRINEAAATVDIFARMLIDLYNLKSYEELFTSNWLRSRLILSAKSDLGRLPCRINVCNVNVSCNLTQYKREAFLSFKRNDDIQKETIERKKRSNHSETLNLSNIEKQPECTVKELGKSEVKVLYLLWKGNSIEKPWQINLTATGLNTLPKGLNIVRFEYEILSGVEDESKMAQEGVVTGGFYVQSPKAVGSVSLLLEIVVKAKATIPALNDQNSTTELKLNIDLDPTDFTVETTSLVLYFTVNSNRKFPNGLECDAQSGNQNAVCSLQKEPFARLERYASYTLTDPFTAILKAEHADDGDKTPNRPNSPSNTVTIELAIDKQDKLVLEPVGKQIPLYSFKDQNGERTCFKYELKLNSNCVNDDGCGEKLFHVDIHATKPEDSNLIPLVEPLSNVRRRSSPEQIEVSATIPIPRLKDRINDQLWLTEEMCVKQKQRKLTLEQTYKPESGQNRFQVRSSLGSKRREKEAELTGVISISPNYGAPNATYEFCSKVQLCIGSPAGCATKQRLDIRNPTVTITKQINIVPRSRFITVSDSLSGVAVDPYSNRDLDMNGAISYGFYPSIGKLVQTPKTNIGNASGHYIFVKGLDDYPAGVAIDPYGDQNIDGINKVAIGFMSDKTGNLRIPKVNNWRGQQNMYVYVAGGVEGDRDFYQPAGIVIDQWGDKNLDVVAVDYGFSSALGEGDFIHEPLLHASKMDRKLLVVAGSHNARPAGSVFTIEDKSVIGKGSDLSFGLYSNMMVDPFTDPQERPPRTKYVFQDPDTYSVSTLFLLGLYSNAPVPVLSEDHETEGGVEYPGDEFINMDFFTNNKQEVRVIGKWNYTGPAGFVIYHFDKTFTAAVGQQCSGFKEHGRLRGDCFSWDGGDMDDEIRLNQSLVYCRGFIDRSEQKTNVHQRKEYRHACTVVKGGPGQSQVTVGMWQDEKSESTPDADNDFEIRTCPGRATIDCSYLGGTRNARQLPLAEARPAGVLLLRKDEPQLQWHMALSFGFLSGPDGYKRIVNDERTDLNFAAGLRSLLGASLYLLCLQGAAAM
+>sp|Q13797|ITA9_HUMAN Integrin alpha-9 OS=Homo sapiens OX=9606 GN=ITGA9 PE=1 SV=2
+MGGPAAPRGAGRLRALLLALVVAGIPAGAYNLDPQRPVHFQGPADSFFGYAVLEHFHDNTRWVLVGAPKADSKYSPSVKSPGAVFKCRVHTNPDRRCTELDMARGKNRGTSCGKTCREDRDDEWMGVSLARQPKADGRVLACAHRWKNIYYEADHILPHGFCYIIPSNLQAKGRTLIPCYEEYKKKYGEEHGSCQAGIAGFFTEELVVMGAPGSFYWAGTIKVLNLTDNTYLKLNDEVIMNRRYTYLGYAVTAGHFSHPSTIDVVGGAPQDKGIGKVYIFRADRRSGTLIKIFQASGKKMGSYFGSSLCAVDLNGDGLSDLLVGAPMFSEIRDEGQVTVYINRGNGALEEQLALTGDGAYNAHFGESIASLDDLDNDGFPDVAIGAPKEDDFAGAVYIYHGDAGGIVPQYSMKLSGQKINPVLRMFGQSISGGIDMDGNGYPDVTVGAFMSDSVVLLRARPVITVDVSIFLPGSINITAPQCHDGQQPVNCLNVTTCFSFHGKHVPGEIGLNYVLMADVAKKEKGQMPRVYFVLLGETMGQVTEKLQLTYMEETCRHYVAHVKRRVQDVISPIVFEAAYSLSEHVTGEEERELPPLTPVLRWKKGQKIAQKNQTVFERNCRSEDCAADLQLQGKLLLSSMDEKTLYLALGAVKNISLNISISNLGDDAYDANVSFNVSRELFFINMWQKEEMGISCELLESDFLKCSVGFPFMRSKSKYEFSVIFDTSHLSGEEEVLSFIVTAQSGNTERSESLHDNTLVLMVPLMHEVDTSITGIMSPTSFVYGESVDAANFIQLDDLECHFQPINITLQVYNTGPSTLPGSSVSISFPNRLSSGGAEMFHVQEMVVGQEKGNCSFQKNPTPCIIPQEQENIFHTIFAFFTKSGRKVLDCEKPGISCLTAHCNFSALAKEESRTIDIYMLLNTEILKKDSSSVIQFMSRAKVKVDPALRVVEIAHGNPEEVTVVFEALHNLEPRGYVVGWIIAISLLVGILIFLLLAVLLWKMGFFRRRYKEIIEAEKNRKENEDSWDWVQKNQ
+>DECOY_sp|Q13797|ITA9_HUMAN Integrin alpha-9 OS=Homo sapiens OX=9606 GN=ITGA9 PE=1 SV=2
+QNKQVWDWSDENEKRNKEAEIIEKYRRRFFGMKWLLVALLLFILIGVLLSIAIIWGVVYGRPELNHLAEFVVTVEEPNGHAIEVVRLAPDVKVKARSMFQIVSSSDKKLIETNLLMYIDITRSEEKALASFNCHATLCSIGPKECDLVKRGSKTFFAFITHFINEQEQPIICPTPNKQFSCNGKEQGVVMEQVHFMEAGGSSLRNPFSISVSSGPLTSPGTNYVQLTINIPQFHCELDDLQIFNAADVSEGYVFSTPSMIGTISTDVEHMLPVMLVLTNDHLSESRETNGSQATVIFSLVEEEGSLHSTDFIVSFEYKSKSRMFPFGVSCKLFDSELLECSIGMEEKQWMNIFFLERSVNFSVNADYADDGLNSISINLSINKVAGLALYLTKEDMSSLLLKGQLQLDAACDESRCNREFVTQNKQAIKQGKKWRLVPTLPPLEREEEGTVHESLSYAAEFVIPSIVDQVRRKVHAVYHRCTEEMYTLQLKETVQGMTEGLLVFYVRPMQGKEKKAVDAMLVYNLGIEGPVHKGHFSFCTTVNLCNVPQQGDHCQPATINISGPLFISVDVTIVPRARLLVVSDSMFAGVTVDPYGNGDMDIGGSISQGFMRLVPNIKQGSLKMSYQPVIGGADGHYIYVAGAFDDEKPAGIAVDPFGDNDLDDLSAISEGFHANYAGDGTLALQEELAGNGRNIYVTVQGEDRIESFMPAGVLLDSLGDGNLDVACLSSGFYSGMKKGSAQFIKILTGSRRDARFIYVKGIGKDQPAGGVVDITSPHSFHGATVAYGLYTYRRNMIVEDNLKLYTNDTLNLVKITGAWYFSGPAGMVVLEETFFGAIGAQCSGHEEGYKKKYEEYCPILTRGKAQLNSPIIYCFGHPLIHDAEYYINKWRHACALVRGDAKPQRALSVGMWEDDRDERCTKGCSTGRNKGRAMDLETCRRDPNTHVRCKFVAGPSKVSPSYKSDAKPAGVLVWRTNDHFHELVAYGFFSDAPGQFHVPRQPDLNYAGAPIGAVVLALLLARLRGAGRPAAPGGM
+>sp|Q14624|ITIH4_HUMAN Inter-alpha-trypsin inhibitor heavy chain H4 OS=Homo sapiens OX=9606 GN=ITIH4 PE=1 SV=4
+MKPPRPVRTCSKVLVLLSLLAIHQTTTAEKNGIDIYSLTVDSRVSSRFAHTVVTSRVVNRANTVQEATFQMELPKKAFITNFSMIIDGMTYPGIIKEKAEAQAQYSAAVAKGKSAGLVKATGRNMEQFQVSVSVAPNAKITFELVYEELLKRRLGVYELLLKVRPQQLVKHLQMDIHIFEPQGISFLETESTFMTNQLVDALTTWQNKTKAHIRFKPTLSQQQKSPEQQETVLDGNLIIRYDVDRAISGGSIQIENGYFVHYFAPEGLTTMPKNVVFVIDKSGSMSGRKIQQTREALIKILDDLSPRDQFNLIVFSTEATQWRPSLVPASAENVNKARSFAAGIQALGGTNINDAMLMAVQLLDSSNQEERLPEGSVSLIILLTDGDPTVGETNPRSIQNNVREAVSGRYSLFCLGFGFDVSYAFLEKLALDNGGLARRIHEDSDSALQLQDFYQEVANPLLTAVTFEYPSNAVEEVTQNNFRLLFKGSEMVVAGKLQDRGPDVLTATVSGKLPTQNITFQTESSVAEQEAEFQSPKYIFHNFMERLWAYLTIQQLLEQTVSASDADQQALRNQALNLSLAYSFVTPLTSMVVTKPDDQEQSQVAEKPMEGESRNRNVHSGSTFFKYYLQGAKIPKPEASFSPRRGWNRQAGAAGSRMNFRPGVLSSRQLGLPGPPDVPDHAAYHPFRRLAILPASAPPATSNPDPAVSRVMNMKIEETTMTTQTPAPIQAPSAILPLPGQSVERLCVDPRHRQGPVNLLSDPEQGVEVTGQYEREKAGFSWIEVTFKNPLVWVHASPEHVVVTRNRRSSAYKWKETLFSVMPGLKMTMDKTGLLLLSDPDKVTIGLLFWDGRGEGLRLLLRDTDRFSSHVGGTLGQFYQEVLWGSPAASDDGRRTLRVQGNDHSATRERRLDYQEGPPGVEISCWSVEL
+>DECOY_sp|Q14624|ITIH4_HUMAN Inter-alpha-trypsin inhibitor heavy chain H4 OS=Homo sapiens OX=9606 GN=ITIH4 PE=1 SV=4
+LEVSWCSIEVGPPGEQYDLRRERTASHDNGQVRLTRRGDDSAAPSGWLVEQYFQGLTGGVHSSFRDTDRLLLRLGEGRGDWFLLGITVKDPDSLLLLGTKDMTMKLGPMVSFLTEKWKYASSRRNRTVVVHEPSAHVWVLPNKFTVEIWSFGAKEREYQGTVEVGQEPDSLLNVPGQRHRPDVCLREVSQGPLPLIASPAQIPAPTQTTMTTEEIKMNMVRSVAPDPNSTAPPASAPLIALRRFPHYAAHDPVDPPGPLGLQRSSLVGPRFNMRSGAAGAQRNWGRRPSFSAEPKPIKAGQLYYKFFTSGSHVNRNRSEGEMPKEAVQSQEQDDPKTVVMSTLPTVFSYALSLNLAQNRLAQQDADSASVTQELLQQITLYAWLREMFNHFIYKPSQFEAEQEAVSSETQFTINQTPLKGSVTATLVDPGRDQLKGAVVMESGKFLLRFNNQTVEEVANSPYEFTVATLLPNAVEQYFDQLQLASDSDEHIRRALGGNDLALKELFAYSVDFGFGLCFLSYRGSVAERVNNQISRPNTEGVTPDGDTLLIILSVSGEPLREEQNSSDLLQVAMLMADNINTGGLAQIGAAFSRAKNVNEASAPVLSPRWQTAETSFVILNFQDRPSLDDLIKILAERTQQIKRGSMSGSKDIVFVVNKPMTTLGEPAFYHVFYGNEIQISGGSIARDVDYRIILNGDLVTEQQEPSKQQQSLTPKFRIHAKTKNQWTTLADVLQNTMFTSETELFSIGQPEFIHIDMQLHKVLQQPRVKLLLEYVGLRRKLLEEYVLEFTIKANPAVSVSVQFQEMNRGTAKVLGASKGKAVAASYQAQAEAKEKIIGPYTMGDIIMSFNTIFAKKPLEMQFTAEQVTNARNVVRSTVVTHAFRSSVRSDVTLSYIDIGNKEATTTQHIALLSLLVLVKSCTRVPRPPKM
+>sp|P17181|INAR1_HUMAN Interferon alpha/beta receptor 1 OS=Homo sapiens OX=9606 GN=IFNAR1 PE=1 SV=3
+MMVVLLGATTLVLVAVAPWVLSAAAGGKNLKSPQKVEVDIIDDNFILRWNRSDESVGNVTFSFDYQKTGMDNWIKLSGCQNITSTKCNFSSLKLNVYEEIKLRIRAEKENTSSWYEVDSFTPFRKAQIGPPEVHLEAEDKAIVIHISPGTKDSVMWALDGLSFTYSLVIWKNSSGVEERIENIYSRHKIYKLSPETTYCLKVKAALLTSWKIGVYSPVHCIKTTVENELPPPENIEVSVQNQNYVLKWDYTYANMTFQVQWLHAFLKRNPGNHLYKWKQIPDCENVKTTQCVFPQNVFQKGIYLLRVQASDGNNTSFWSEEIKFDTEIQAFLLPPVFNIRSLSDSFHIYIGAPKQSGNTPVIQDYPLIYEIIFWENTSNAERKIIEKKTDVTVPNLKPLTVYCVKARAHTMDEKLNKSSVFSDAVCEKTKPGNTSKIWLIVGICIALFALPFVIYAAKVFLRCINYVFFPSLKPSSSIDEYFSEQPLKNLLLSTSEEQIEKCFIIENISTIATVEETNQTDEDHKKYSSQTSQDSGNYSNEDESESKTSEELQQDFV
+>DECOY_sp|P17181|INAR1_HUMAN Interferon alpha/beta receptor 1 OS=Homo sapiens OX=9606 GN=IFNAR1 PE=1 SV=3
+VFDQQLEESTKSESEDENSYNGSDQSTQSSYKKHDEDTQNTEEVTAITSINEIIFCKEIQEESTSLLLNKLPQESFYEDISSSPKLSPFFVYNICRLFVKAAYIVFPLAFLAICIGVILWIKSTNGPKTKECVADSFVSSKNLKEDMTHARAKVCYVTLPKLNPVTVDTKKEIIKREANSTNEWFIIEYILPYDQIVPTNGSQKPAGIYIHFSDSLSRINFVPPLLFAQIETDFKIEESWFSTNNGDSAQVRLLYIGKQFVNQPFVCQTTKVNECDPIQKWKYLHNGPNRKLFAHLWQVQFTMNAYTYDWKLVYNQNQVSVEINEPPPLENEVTTKICHVPSYVGIKWSTLLAAKVKLCYTTEPSLKYIKHRSYINEIREEVGSSNKWIVLSYTFSLGDLAWMVSDKTGPSIHIVIAKDEAELHVEPPGIQAKRFPTFSDVEYWSSTNEKEARIRLKIEEYVNLKLSSFNCKTSTINQCGSLKIWNDMGTKQYDFSFTVNGVSEDSRNWRLIFNDDIIDVEVKQPSKLNKGGAAASLVWPAVAVLVLTTAGLLVVMM
+>sp|O15225|INE1_HUMAN Putative inactivation escape 1 protein OS=Homo sapiens OX=9606 GN=INE1 PE=5 SV=5
+MSGPLSPVCSCPQLPFMLSPCHMHHHPGHVALSQTVSPASLLTQGLGLPQH
+>DECOY_sp|O15225|INE1_HUMAN Putative inactivation escape 1 protein OS=Homo sapiens OX=9606 GN=INE1 PE=5 SV=5
+HQPLGLGQTLLSAPSVTQSLAVHGPHHHMHCPSLMFPLQPCSCVPSLPGSM
+>sp|Q14641|INSL4_HUMAN Early placenta insulin-like peptide OS=Homo sapiens OX=9606 GN=INSL4 PE=1 SV=1
+MASLFRSYLPAIWLLLSQLLRESLAAELRGCGPRFGKHLLSYCPMPEKTFTTTPGGWLLESGRPKEMVSTSNNKDGQALGTTSEFIPNLSPELKKPLSEGQPSLKKIILSRKKRSGRHRFDPFCCEVICDDGTSVKLCT
+>DECOY_sp|Q14641|INSL4_HUMAN Early placenta insulin-like peptide OS=Homo sapiens OX=9606 GN=INSL4 PE=1 SV=1
+TCLKVSTGDDCIVECCFPDFRHRGSRKKRSLIIKKLSPQGESLPKKLEPSLNPIFESTTGLAQGDKNNSTSVMEKPRGSELLWGGPTTTFTKEPMPCYSLLHKGFRPGCGRLEAALSERLLQSLLLWIAPLYSRFLSAM
+>sp|Q01101|INSM1_HUMAN Insulinoma-associated protein 1 OS=Homo sapiens OX=9606 GN=INSM1 PE=1 SV=1
+MPRGFLVKRSKKSTPVSYRVRGGEDGDRALLLSPSCGGARAEPPAPSPVPGPLPPPPPAERAHAALAAALACAPGPQPPPQGPRAAHFGNPEAAHPAPLYSPTRPVSREHEKHKYFERSFNLGSPVSAESFPTPAALLGGGGGGGASGAGGGGTCGGDPLLFAPAELKMGTAFSAGAEAARGPGPGPPLPPAAALRPPGKRPPPPTAAEPPAKAVKAPGAKKPKAIRKLHFEDEVTTSPVLGLKIKEGPVEAPRGRAGGAARPLGEFICQLCKEEYADPFALAQHKCSRIVRVEYRCPECAKVFSCPANLASHRRWHKPRPAPAAARAPEPEAAARAEAREAPGGGSDRDTPSPGGVSESGSEDGLYECHHCAKKFRRQAYLRKHLLAHHQALQAKGAPLAPPAEDLLALYPGPDEKAPQEAAGDGEGAGVLGLSASAECHLCPVCGESFASKGAQERHLRLLHAAQVFPCKYCPATFYSSPGLTRHINKCHPSENRQVILLQVPVRPAC
+>DECOY_sp|Q01101|INSM1_HUMAN Insulinoma-associated protein 1 OS=Homo sapiens OX=9606 GN=INSM1 PE=1 SV=1
+CAPRVPVQLLIVQRNESPHCKNIHRTLGPSSYFTAPCYKCPFVQAAHLLRLHREQAGKSAFSEGCVPCLHCEASASLGLVGAGEGDGAAEQPAKEDPGPYLALLDEAPPALPAGKAQLAQHHALLHKRLYAQRRFKKACHHCEYLGDESGSESVGGPSPTDRDSGGGPAERAEARAAAEPEPARAAAPAPRPKHWRRHSALNAPCSFVKACEPCRYEVRVIRSCKHQALAFPDAYEEKCLQCIFEGLPRAAGGARGRPAEVPGEKIKLGLVPSTTVEDEFHLKRIAKPKKAGPAKVAKAPPEAATPPPPRKGPPRLAAAPPLPPGPGPGRAAEAGASFATGMKLEAPAFLLPDGGCTGGGGAGSAGGGGGGGLLAAPTPFSEASVPSGLNFSREFYKHKEHERSVPRTPSYLPAPHAAEPNGFHAARPGQPPPQPGPACALAAALAAHAREAPPPPPLPGPVPSPAPPEARAGGCSPSLLLARDGDEGGRVRYSVPTSKKSRKVLFGRPM
+>sp|Q96T92|INSM2_HUMAN Insulinoma-associated protein 2 OS=Homo sapiens OX=9606 GN=INSM2 PE=1 SV=3
+MPRGFLVKRTKRTGGLYRVRLAERVFPLLGPQGAPPFLEEAPSASLPGAERATPPTREEPGKGLTAEAAREQSGSPCRAAGVSPGTGGREGAEWRAGGREGPGPSPSPSPSPAKPAGAELRRAFLERCLSSPVSAESFPGGAAAVAAFSCSVAPAAAPTPGEQFLLPLRAPFPEPALQPDPAPLSAALQSLKRAAGGERRGKAPTDCASGPAAAGIKKPKAMRKLSFADEVTTSPVLGLKIKEEEPGAPSRGLGGSRTPLGEFICQLCKEQYADPFALAQHRCSRIVRVEYRCPECDKVFSCPANLASHRRWHKPRPAAANAATVSSADGKPPSSSSSSSRDSGAIASFLAEGKENSRIERTADQHPQARDSSGADQHPDSAPRQGLQVLTHPEPPLPQGPYTEGVLGRRVPVPGSTSGGRGSEIFVCPYCHKKFRRQAYLRKHLSTHEAGSARALAPGFGSERGAPLAFACPLCGAHFPTADIREKHRLWHAVREELLLPALAGAPPETSGPSGPSDGSAQQIFSCKHCPSTFFSSPGLTRHINKCHPSESRQVLLLQMPLRPGC
+>DECOY_sp|Q96T92|INSM2_HUMAN Insulinoma-associated protein 2 OS=Homo sapiens OX=9606 GN=INSM2 PE=1 SV=3
+CGPRLPMQLLLVQRSESPHCKNIHRTLGPSSFFTSPCHKCSFIQQASGDSPGSPGSTEPPAGALAPLLLEERVAHWLRHKERIDATPFHAGCLPCAFALPAGRESGFGPALARASGAEHTSLHKRLYAQRRFKKHCYPCVFIESGRGGSTSGPVPVRRGLVGETYPGQPLPPEPHTLVQLGQRPASDPHQDAGSSDRAQPHQDATREIRSNEKGEALFSAIAGSDRSSSSSSSPPKGDASSVTAANAAAPRPKHWRRHSALNAPCSFVKDCEPCRYEVRVIRSCRHQALAFPDAYQEKCLQCIFEGLPTRSGGLGRSPAGPEEEKIKLGLVPSTTVEDAFSLKRMAKPKKIGAAAPGSACDTPAKGRREGGAARKLSQLAASLPAPDPQLAPEPFPARLPLLFQEGPTPAAAPAVSCSFAAVAAAGGPFSEASVPSSLCRELFARRLEAGAPKAPSPSPSPSPGPGERGGARWEAGERGGTGPSVGAARCPSGSQERAAEATLGKGPEERTPPTAREAGPLSASPAEELFPPAGQPGLLPFVREALRVRYLGGTRKTRKVLFGRPM
+>sp|P14616|INSRR_HUMAN Insulin receptor-related protein OS=Homo sapiens OX=9606 GN=INSRR PE=1 SV=2
+MAVPSLWPWGACLPVIFLSLGFGLDTVEVCPSLDIRSEVAELRQLENCSVVEGHLQILLMFTATGEDFRGLSFPRLTQVTDYLLLFRVYGLESLRDLFPNLAVIRGTRLFLGYALVIFEMPHLRDVALPALGAVLRGAVRVEKNQELCHLSTIDWGLLQPAPGANHIVGNKLGEECADVCPGVLGAAGEPCAKTTFSGHTDYRCWTSSHCQRVCPCPHGMACTARGECCHTECLGGCSQPEDPRACVACRHLYFQGACLWACPPGTYQYESWRCVTAERCASLHSVPGRASTFGIHQGSCLAQCPSGFTRNSSSIFCHKCEGLCPKECKVGTKTIDSIQAAQDLVGCTHVEGSLILNLRQGYNLEPQLQHSLGLVETITGFLKIKHSFALVSLGFFKNLKLIRGDAMVDGNYTLYVLDNQNLQQLGSWVAAGLTIPVGKIYFAFNPRLCLEHIYRLEEVTGTRGRQNKAEINPRTNGDRAACQTRTLRFVSNVTEADRILLRWERYEPLEARDLLSFIVYYKESPFQNATEHVGPDACGTQSWNLLDVELPLSRTQEPGVTLASLKPWTQYAVFVRAITLTTEEDSPHQGAQSPIVYLRTLPAAPTVPQDVISTSNSSSHLLVRWKPPTQRNGNLTYYLVLWQRLAEDGDLYLNDYCHRGLRLPTSNNDPRFDGEDGDPEAEMESDCCPCQHPPPGQVLPPLEAQEASFQKKFENFLHNAITIPISPWKVTSINKSPQRDSGRHRRAAGPLRLGGNSSDFEIQEDKVPRERAVLSGLRHFTEYRIDIHACNHAAHTVGCSAATFVFARTMPHREADGIPGKVAWEASSKNSVLLRWLEPPDPNGLILKYEIKYRRLGEEATVLCVSRLRYAKFGGVHLALLPPGNYSARVRATSLAGNGSWTDSVAFYILGPEEEDAGGLHVLLTATPVGLTLLIVLAALGFFYGKKRNRTLYASVNPEYFSASDMYVPDEWEVPREQISIIRELGQGSFGMVYEGLARGLEAGEESTPVALKTVNELASPRECIEFLKEASVMKAFKCHHVVRLLGVVSQGQPTLVIMELMTRGDLKSHLRSLRPEAENNPGLPQPALGEMIQMAGEIADGMAYLAANKFVHRDLAARNCMVSQDFTVKIGDFGMTRDVYETDYYRKGGKGLLPVRWMAPESLKDGIFTTHSDVWSFGVVLWEIVTLAEQPYQGLSNEQVLKFVMDGGVLEELEGCPLQLQELMSRCWQPNPRLRPSFTHILDSIQEELRPSFRLLSFYYSPECRGARGSLPTTDAEPDSSPTPRDCSPQNGGPGH
+>DECOY_sp|P14616|INSRR_HUMAN Insulin receptor-related protein OS=Homo sapiens OX=9606 GN=INSRR PE=1 SV=2
+HGPGGNQPSCDRPTPSSDPEADTTPLSGRAGRCEPSYYFSLLRFSPRLEEQISDLIHTFSPRLRPNPQWCRSMLEQLQLPCGELEELVGGDMVFKLVQENSLGQYPQEALTVIEWLVVGFSWVDSHTTFIGDKLSEPAMWRVPLLGKGGKRYYDTEYVDRTMGFDGIKVTFDQSVMCNRAALDRHVFKNAALYAMGDAIEGAMQIMEGLAPQPLGPNNEAEPRLSRLHSKLDGRTMLEMIVLTPQGQSVVGLLRVVHHCKFAKMVSAEKLFEICERPSALENVTKLAVPTSEEGAELGRALGEYVMGFSGQGLERIISIQERPVEWEDPVYMDSASFYEPNVSAYLTRNRKKGYFFGLAALVILLTLGVPTATLLVHLGGADEEEPGLIYFAVSDTWSGNGALSTARVRASYNGPPLLALHVGGFKAYRLRSVCLVTAEEGLRRYKIEYKLILGNPDPPELWRLLVSNKSSAEWAVKGPIGDAERHPMTRAFVFTAASCGVTHAAHNCAHIDIRYETFHRLGSLVARERPVKDEQIEFDSSNGGLRLPGAARRHRGSDRQPSKNISTVKWPSIPITIANHLFNEFKKQFSAEQAELPPLVQGPPPHQCPCCDSEMEAEPDGDEGDFRPDNNSTPLRLGRHCYDNLYLDGDEALRQWLVLYYTLNGNRQTPPKWRVLLHSSSNSTSIVDQPVTPAAPLTRLYVIPSQAGQHPSDEETTLTIARVFVAYQTWPKLSALTVGPEQTRSLPLEVDLLNWSQTGCADPGVHETANQFPSEKYYVIFSLLDRAELPEYREWRLLIRDAETVNSVFRLTRTQCAARDGNTRPNIEAKNQRGRTGTVEELRYIHELCLRPNFAFYIKGVPITLGAAVWSGLQQLNQNDLVYLTYNGDVMADGRILKLNKFFGLSVLAFSHKIKLFGTITEVLGLSHQLQPELNYGQRLNLILSGEVHTCGVLDQAAQISDITKTGVKCEKPCLGECKHCFISSSNRTFGSPCQALCSGQHIGFTSARGPVSHLSACREATVCRWSEYQYTGPPCAWLCAGQFYLHRCAVCARPDEPQSCGGLCETHCCEGRATCAMGHPCPCVRQCHSSTWCRYDTHGSFTTKACPEGAAGLVGPCVDACEEGLKNGVIHNAGPAPQLLGWDITSLHCLEQNKEVRVAGRLVAGLAPLAVDRLHPMEFIVLAYGLFLRTGRIVALNPFLDRLSELGYVRFLLLYDTVQTLRPFSLGRFDEGTATFMLLIQLHGEVVSCNELQRLEAVESRIDLSPCVEVTDLGFGLSLFIVPLCAGWPWLSPVAM
+>sp|P06213|INSR_HUMAN Insulin receptor OS=Homo sapiens OX=9606 GN=INSR PE=1 SV=4
+MATGGRRGAAAAPLLVAVAALLLGAAGHLYPGEVCPGMDIRNNLTRLHELENCSVIEGHLQILLMFKTRPEDFRDLSFPKLIMITDYLLLFRVYGLESLKDLFPNLTVIRGSRLFFNYALVIFEMVHLKELGLYNLMNITRGSVRIEKNNELCYLATIDWSRILDSVEDNYIVLNKDDNEECGDICPGTAKGKTNCPATVINGQFVERCWTHSHCQKVCPTICKSHGCTAEGLCCHSECLGNCSQPDDPTKCVACRNFYLDGRCVETCPPPYYHFQDWRCVNFSFCQDLHHKCKNSRRQGCHQYVIHNNKCIPECPSGYTMNSSNLLCTPCLGPCPKVCHLLEGEKTIDSVTSAQELRGCTVINGSLIINIRGGNNLAAELEANLGLIEEISGYLKIRRSYALVSLSFFRKLRLIRGETLEIGNYSFYALDNQNLRQLWDWSKHNLTITQGKLFFHYNPKLCLSEIHKMEEVSGTKGRQERNDIALKTNGDQASCENELLKFSYIRTSFDKILLRWEPYWPPDFRDLLGFMLFYKEAPYQNVTEFDGQDACGSNSWTVVDIDPPLRSNDPKSQNHPGWLMRGLKPWTQYAIFVKTLVTFSDERRTYGAKSDIIYVQTDATNPSVPLDPISVSNSSSQIILKWKPPSDPNGNITHYLVFWERQAEDSELFELDYCLKGLKLPSRTWSPPFESEDSQKHNQSEYEDSAGECCSCPKTDSQILKELEESSFRKTFEDYLHNVVFVPRKTSSGTGAEDPRPSRKRRSLGDVGNVTVAVPTVAAFPNTSSTSVPTSPEEHRPFEKVVNKESLVISGLRHFTGYRIELQACNQDTPEERCSVAAYVSARTMPEAKADDIVGPVTHEIFENNVVHLMWQEPKEPNGLIVLYEVSYRRYGDEELHLCVSRKHFALERGCRLRGLSPGNYSVRIRATSLAGNGSWTEPTYFYVTDYLDVPSNIAKIIIGPLIFVFLFSVVIGSIYLFLRKRQPDGPLGPLYASSNPEYLSASDVFPCSVYVPDEWEVSREKITLLRELGQGSFGMVYEGNARDIIKGEAETRVAVKTVNESASLRERIEFLNEASVMKGFTCHHVVRLLGVVSKGQPTLVVMELMAHGDLKSYLRSLRPEAENNPGRPPPTLQEMIQMAAEIADGMAYLNAKKFVHRDLAARNCMVAHDFTVKIGDFGMTRDIYETDYYRKGGKGLLPVRWMAPESLKDGVFTTSSDMWSFGVVLWEITSLAEQPYQGLSNEQVLKFVMDGGYLDQPDNCPERVTDLMRMCWQFNPKMRPTFLEIVNLLKDDLHPSFPEVSFFHSEENKAPESEELEMEFEDMENVPLDRSSHCQREEAGGRDGGSSLGFKRSYEEHIPYTHMNGGKKNGRILTLPRSNPS
+>DECOY_sp|P06213|INSR_HUMAN Insulin receptor OS=Homo sapiens OX=9606 GN=INSR PE=1 SV=4
+SPNSRPLTLIRGNKKGGNMHTYPIHEEYSRKFGLSSGGDRGGAEERQCHSSRDLPVNEMDEFEMELEESEPAKNEESHFFSVEPFSPHLDDKLLNVIELFTPRMKPNFQWCMRMLDTVREPCNDPQDLYGGDMVFKLVQENSLGQYPQEALSTIEWLVVGFSWMDSSTTFVGDKLSEPAMWRVPLLGKGGKRYYDTEYIDRTMGFDGIKVTFDHAVMCNRAALDRHVFKKANLYAMGDAIEAAMQIMEQLTPPPRGPNNEAEPRLSRLYSKLDGHAMLEMVVLTPQGKSVVGLLRVVHHCTFGKMVSAENLFEIRERLSASENVTKVAVRTEAEGKIIDRANGEYVMGFSGQGLERLLTIKERSVEWEDPVYVSCPFVDSASLYEPNSSAYLPGLPGDPQRKRLFLYISGIVVSFLFVFILPGIIIKAINSPVDLYDTVYFYTPETWSGNGALSTARIRVSYNGPSLGRLRCGRELAFHKRSVCLHLEEDGYRRYSVEYLVILGNPEKPEQWMLHVVNNEFIEHTVPGVIDDAKAEPMTRASVYAAVSCREEPTDQNCAQLEIRYGTFHRLGSIVLSEKNVVKEFPRHEEPSTPVSTSSTNPFAAVTPVAVTVNGVDGLSRRKRSPRPDEAGTGSSTKRPVFVVNHLYDEFTKRFSSEELEKLIQSDTKPCSCCEGASDEYESQNHKQSDESEFPPSWTRSPLKLGKLCYDLEFLESDEAQREWFVLYHTINGNPDSPPKWKLIIQSSSNSVSIPDLPVSPNTADTQVYIIDSKAGYTRREDSFTVLTKVFIAYQTWPKLGRMLWGPHNQSKPDNSRLPPDIDVVTWSNSGCADQGDFETVNQYPAEKYFLMFGLLDRFDPPWYPEWRLLIKDFSTRIYSFKLLENECSAQDGNTKLAIDNREQRGKTGSVEEMKHIESLCLKPNYHFFLKGQTITLNHKSWDWLQRLNQNDLAYFSYNGIELTEGRILRLKRFFSLSVLAYSRRIKLYGSIEEILGLNAELEAALNNGGRINIILSGNIVTCGRLEQASTVSDITKEGELLHCVKPCPGLCPTCLLNSSNMTYGSPCEPICKNNHIVYQHCGQRRSNKCKHHLDQCFSFNVCRWDQFHYYPPPCTEVCRGDLYFNRCAVCKTPDDPQSCNGLCESHCCLGEATCGHSKCITPCVKQCHSHTWCREVFQGNIVTAPCNTKGKATGPCIDGCEENDDKNLVIYNDEVSDLIRSWDITALYCLENNKEIRVSGRTINMLNYLGLEKLHVMEFIVLAYNFFLRSGRIVTLNPFLDKLSELGYVRFLLLYDTIMILKPFSLDRFDEPRTKFMLLIQLHGEIVSCNELEHLRTLNNRIDMGPCVEGPYLHGAAGLLLAAVAVLLPAAAAGRRGGTAM
+>sp|Q5TA45|INT11_HUMAN Integrator complex subunit 11 OS=Homo sapiens OX=9606 GN=INTS11 PE=1 SV=2
+MPEIRVTPLGAGQDVGRSCILVSIAGKNVMLDCGMHMGFNDDRRFPDFSYITQNGRLTDFLDCVIISHFHLDHCGALPYFSEMVGYDGPIYMTHPTQAICPILLEDYRKIAVDKKGEANFFTSQMIKDCMKKVVAVHLHQTVQVDDELEIKAYYAGHVLGAAMFQIKVGSESVVYTGDYNMTPDRHLGAAWIDKCRPNLLITESTYATTIRDSKRCRERDFLKKVHETVERGGKVLIPVFALGRAQELCILLETFWERMNLKVPIYFSTGLTEKANHYYKLFIPWTNQKIRKTFVQRNMFEFKHIKAFDRAFADNPGPMVVFATPGMLHAGQSLQIFRKWAGNEKNMVIMPGYCVQGTVGHKILSGQRKLEMEGRQVLEVKMQVEYMSFSAHADAKGIMQLVGQAEPESVLLVHGEAKKMEFLKQKIEQELRVNCYMPANGETVTLPTSPSIPVGISLGLLKREMAQGLLPEAKKPRLLHGTLIMKDSNFRLVSSEQALKELGLAEHQLRFTCRVHLHDTRKEQETALRVYSHLKSVLKDHCVQHLPDGSVTVESVLLQAAAPSEDPGTKVLLVSWTYQDEELGSFLTSLLKKGLPQAPS
+>DECOY_sp|Q5TA45|INT11_HUMAN Integrator complex subunit 11 OS=Homo sapiens OX=9606 GN=INTS11 PE=1 SV=2
+SPAQPLGKKLLSTLFSGLEEDQYTWSVLLVKTGPDESPAAAQLLVSEVTVSGDPLHQVCHDKLVSKLHSYVRLATEQEKRTDHLHVRCTFRLQHEALGLEKLAQESSVLRFNSDKMILTGHLLRPKKAEPLLGQAMERKLLGLSIGVPISPSTPLTVTEGNAPMYCNVRLEQEIKQKLFEMKKAEGHVLLVSEPEAQGVLQMIGKADAHASFSMYEVQMKVELVQRGEMELKRQGSLIKHGVTGQVCYGPMIVMNKENGAWKRFIQLSQGAHLMGPTAFVVMPGPNDAFARDFAKIHKFEFMNRQVFTKRIKQNTWPIFLKYYHNAKETLGTSFYIPVKLNMREWFTELLICLEQARGLAFVPILVKGGREVTEHVKKLFDRERCRKSDRITTAYTSETILLNPRCKDIWAAGLHRDPTMNYDGTYVVSESGVKIQFMAAGLVHGAYYAKIELEDDVQVTQHLHVAVVKKMCDKIMQSTFFNAEGKKDVAIKRYDELLIPCIAQTPHTMYIPGDYGVMESFYPLAGCHDLHFHSIIVCDLFDTLRGNQTIYSFDPFRRDDNFGMHMGCDLMVNKGAISVLICSRGVDQGAGLPTVRIEPM
+>sp|Q96CB8|INT12_HUMAN Integrator complex subunit 12 OS=Homo sapiens OX=9606 GN=INTS12 PE=1 SV=1
+MAATVNLELDPIFLKALGFLHSKSKDSAEKLKALLDESLARGIDSSYRPSQKDVEPPKISSTKNISIKQEPKISSSLPSGNNNGKVLTTEKVKKEAEKRPADKMKSDITEGVDIPKKPRLEKPETQSSPITVQSSKDLPMADLSSFEETSADDFAMEMGLACVVCRQMMVASGNQLVECQECHNLYHRDCHKPQVTDKEANDPRLVWYCARCTRQMKRMAQKTQKPPQKPAPAVVSVTPAVKDPLVKKPETKLKQETTFLAFKRTEVKTSTVISGNSSSASVSSSVTSGLTGWAAFAAKTSSAGPSTAKLSSTTQNNTGKPATSSANQKPVGLTGLATSSKGGIGSKIGSNNSTTPTVPLKPPPPLTLGKTGLSRSVSCDNVSKVGLPSPSSLVPGSSSQLSGNGNSGTSGPSGSTTSKTTSESSSSPSASLKGPTSQESQLNAMKRLQMVKKKAAQKKLKK
+>DECOY_sp|Q96CB8|INT12_HUMAN Integrator complex subunit 12 OS=Homo sapiens OX=9606 GN=INTS12 PE=1 SV=1
+KKLKKQAAKKKVMQLRKMANLQSEQSTPGKLSASPSSSSESTTKSTTSGSPGSTGSNGNGSLQSSSGPVLSSPSPLGVKSVNDCSVSRSLGTKGLTLPPPPKLPVTPTTSNNSGIKSGIGGKSSTALGTLGVPKQNASSTAPKGTNNQTTSSLKATSPGASSTKAAFAAWGTLGSTVSSSVSASSSNGSIVTSTKVETRKFALFTTEQKLKTEPKKVLPDKVAPTVSVVAPAPKQPPKQTKQAMRKMQRTCRACYWVLRPDNAEKDTVQPKHCDRHYLNHCEQCEVLQNGSAVMMQRCVVCALGMEMAFDDASTEEFSSLDAMPLDKSSQVTIPSSQTEPKELRPKKPIDVGETIDSKMKDAPRKEAEKKVKETTLVKGNNNGSPLSSSIKPEQKISINKTSSIKPPEVDKQSPRYSSDIGRALSEDLLAKLKEASDKSKSHLFGLAKLFIPDLELNVTAAM
+>sp|Q9NVM9|INT13_HUMAN Integrator complex subunit 13 OS=Homo sapiens OX=9606 GN=INTS13 PE=1 SV=2
+MKIFSESHKTVFVVDHCPYMAESCRQHVEFDMLVKNRTQGIIPLAPISKSLWTCSVESSMEYCRIMYDIFPFKKLVNFIVSDSGAHVLNSWTQEDQNLQELMAALAAVGPPNPRADPECCSILHGLVAAVETLCKITEYQHEARTLLMENAERVGNRGRIICITNAKSDSHVRMLEDCVQETIHEHNKLAANSDHLMQIQKCELVLIHTYPVGEDSLVSDRSKKELSPVLTSEVHSVRAGRHLATKLNILVQQHFDLASTTITNIPMKEEQHANTSANYDVELLHHKDAHVDFLKSGDSHLGGGSREGSFKETITLKWCTPRTNNIELHYCTGAYRISPVDVNSRPSSCLTNFLLNGRSVLLEQPRKSGSKVISHMLSSHGGEIFLHVLSSSRSILEDPPSISEGCGGRVTDYRITDFGEFMRENRLTPFLDPRYKIDGSLEVPLERAKDQLEKHTRYWPMIISQTTIFNMQAVVPLASVIVKESLTEEDVLNCQKTIYNLVDMERKNDPLPISTVGTRGKGPKRDEQYRIMWNELETLVRAHINNSEKHQRVLECLMACRSKPPEEEERKKRGRKREDKEDKSEKAVKDYEQEKSWQDSERLKGILERGKEELAEAEIIKDSPDSPEPPNKKPLVEMDETPQVEKSKGPVSLLSLWSNRINTANSRKHQEFAGRLNSVNNRAELYQHLKEENGMETTENGKASRQ
+>DECOY_sp|Q9NVM9|INT13_HUMAN Integrator complex subunit 13 OS=Homo sapiens OX=9606 GN=INTS13 PE=1 SV=2
+QRSAKGNETTEMGNEEKLHQYLEARNNVSNLRGAFEQHKRSNATNIRNSWLSLLSVPGKSKEVQPTEDMEVLPKKNPPEPSDPSDKIIEAEALEEKGRELIGKLRESDQWSKEQEYDKVAKESKDEKDERKRGRKKREEEEPPKSRCAMLCELVRQHKESNNIHARVLTELENWMIRYQEDRKPGKGRTGVTSIPLPDNKREMDVLNYITKQCNLVDEETLSEKVIVSALPVVAQMNFITTQSIIMPWYRTHKELQDKARELPVELSGDIKYRPDLFPTLRNERMFEGFDTIRYDTVRGGCGESISPPDELISRSSSLVHLFIEGGHSSLMHSIVKSGSKRPQELLVSRGNLLFNTLCSSPRSNVDVPSIRYAGTCYHLEINNTRPTCWKLTITEKFSGERSGGGLHSDGSKLFDVHADKHHLLEVDYNASTNAHQEEKMPINTITTSALDFHQQVLINLKTALHRGARVSHVESTLVPSLEKKSRDSVLSDEGVPYTHILVLECKQIQMLHDSNAALKNHEHITEQVCDELMRVHSDSKANTICIIRGRNGVREANEMLLTRAEHQYETIKCLTEVAAVLGHLISCCEPDARPNPPGVAALAAMLEQLNQDEQTWSNLVHAGSDSVIFNVLKKFPFIDYMIRCYEMSSEVSCTWLSKSIPALPIIGQTRNKVLMDFEVHQRCSEAMYPCHDVVFVTKHSESFIKM
+>sp|Q9H0H0|INT2_HUMAN Integrator complex subunit 2 OS=Homo sapiens OX=9606 GN=INTS2 PE=1 SV=2
+MKDQQTVIMTECTSLQFVSPFAFEAMQKVDVVCLASLSDPELRLLLPCLVRMALCAPADQSQSWAQDKKLILRLLSGVEAVNSIVALLSVDFHALEQDASKEQQLRHKLGGGSGESILVSQLQHGLTLEFEHSDSPRRLRLVLSELLAIMNKVSESNGEFFFKSSELFESPVYLEEAADVLCILQAELPSLLPIVDVAEALLHVRNGAWFLCLLVANVPDSFNEVCRGLIKNGERQDEESLGGRRRTDALRFLCKMNPSQALKVRGMVVEECHLPGLGVALTLDHTKNEACEDGVSDLVCFVSGLLLGTNAKVRTWFGTFIRNGQQRKRETSSSVLWQMRRQLLLELMGILPTVRSTRIVEEADVDMEPNVSVYSGLKEEHVVKASALLRLYCALMGIAGLKPTEEEAEQLLQLMTSRPPATPAGVRFVSLSFCMLLAFSTLVSTPEQEQLMVVWLSWMIKEEAYFESTSGVSASFGEMLLLVAMYFHSNQLSAIIDLVCSTLGMKIVIKPSSLSRMKTIFTQEIFTEQVVTAHAVRVPVTSNLSANITGFLPIHCIYQLLRSRSFTKHKVSIKDWIYRQLCETSTPLHPQLLPLIDVYINSILTPASKSNPEATNQPVTEQEILNIFQGVIGGDNIRLNQRFSITAQLLVLYYILSYEEALLANTKTLAAMQRKPKSYSSSLMDQIPIKFLIRQAQGLQQELGGLHSALLRLLATNYPHLCIVDDWICEEEITGTDALLRRMLLTNNAKNHSPKQLQEAFSAVPVNNTQVMQIIEHLTLLSASELIPYAEVLTSNMSQLLNSGVPRRILQTVNKLWMVLNTVMPRRLWVMTVNALQPSIKFVRQQKYTQNDLMIDPLIVLRCDQRVHRCPPLMDITLHMLNGYLLASKAYLSAHLKETEQDRPSQNNTIGLVGQTDAPEVTREELKNALLAAQDSAAVQILLEICLPTEEEKANGVNPDSLLRNVQSVITTSAPNKGMEEGEDNLLCNLREVQCLICCLLHQMYIADPNIAKLVHFQGYPCELLPLTVAGIPSMHICLDFIPELIAQPELEKQIFAIQLLSHLCIQYALPKSLSVARLAVNVMGTLLTVLTQAKRYAFFMPTLPSLVSFCRAFPPLYEDIMSLLIQIGQVCASDVATQTRDIDPIITRLQQIKEKPSGWSQICKDSSYKNGSRDTGSMDPDVQLCHCIERTVIEIINMSVSGI
+>DECOY_sp|Q9H0H0|INT2_HUMAN Integrator complex subunit 2 OS=Homo sapiens OX=9606 GN=INTS2 PE=1 SV=2
+IGSVSMNIIEIVTREICHCLQVDPDMSGTDRSGNKYSSDKCIQSWGSPKEKIQQLRTIIPDIDRTQTAVDSACVQGIQILLSMIDEYLPPFARCFSVLSPLTPMFFAYRKAQTLVTLLTGMVNVALRAVSLSKPLAYQICLHSLLQIAFIQKELEPQAILEPIFDLCIHMSPIGAVTLPLLECPYGQFHVLKAINPDAIYMQHLLCCILCQVERLNCLLNDEGEEMGKNPASTTIVSQVNRLLSDPNVGNAKEEETPLCIELLIQVAASDQAALLANKLEERTVEPADTQGVLGITNNQSPRDQETEKLHASLYAKSALLYGNLMHLTIDMLPPCRHVRQDCRLVILPDIMLDNQTYKQQRVFKISPQLANVTMVWLRRPMVTNLVMWLKNVTQLIRRPVGSNLLQSMNSTLVEAYPILESASLLTLHEIIQMVQTNNVPVASFAEQLQKPSHNKANNTLLMRRLLADTGTIEEECIWDDVICLHPYNTALLRLLASHLGGLEQQLGQAQRILFKIPIQDMLSSSYSKPKRQMAALTKTNALLAEEYSLIYYLVLLQATISFRQNLRINDGGIVGQFINLIEQETVPQNTAEPNSKSAPTLISNIYVDILPLLQPHLPTSTECLQRYIWDKISVKHKTFSRSRLLQYICHIPLFGTINASLNSTVPVRVAHATVVQETFIEQTFITKMRSLSSPKIVIKMGLTSCVLDIIASLQNSHFYMAVLLLMEGFSASVGSTSEFYAEEKIMWSLWVVMLQEQEPTSVLTSFALLMCFSLSVFRVGAPTAPPRSTMLQLLQEAEEETPKLGAIGMLACYLRLLASAKVVHEEKLGSYVSVNPEMDVDAEEVIRTSRVTPLIGMLELLLQRRMQWLVSSSTERKRQQGNRIFTGFWTRVKANTGLLLGSVFCVLDSVGDECAENKTHDLTLAVGLGPLHCEEVVMGRVKLAQSPNMKCLFRLADTRRRGGLSEEDQREGNKILGRCVENFSDPVNAVLLCLFWAGNRVHLLAEAVDVIPLLSPLEAQLICLVDAAEELYVPSEFLESSKFFFEGNSESVKNMIALLESLVLRLRRPSDSHEFELTLGHQLQSVLISEGSGGGLKHRLQQEKSADQELAHFDVSLLAVISNVAEVGSLLRLILKKDQAWSQSQDAPACLAMRVLCPLLLRLEPDSLSALCVVDVKQMAEFAFPSVFQLSTCETMIVTQQDKM
+>sp|P07476|INVO_HUMAN Involucrin OS=Homo sapiens OX=9606 GN=IVL PE=1 SV=2
+MSQQHTLPVTLSPALSQELLKTVPPPVNTHQEQMKQPTPLPPPCQKVPVELPVEVPSKQEEKHMTAVKGLPEQECEQQQKEPQEQELQQQHWEQHEEYQKAENPEQQLKQEKTQRDQQLNKQLEEEKKLLDQQLDQELVKRDEQLGMKKEQLLELPEQQEGHLKHLEQQEGQLKHPEQQEGQLELPEQQEGQLELPEQQEGQLELPEQQEGQLELPEQQEGQLELPEQQEGQLELPQQQEGQLELSEQQEGQLELSEQQEGQLKHLEHQEGQLEVPEEQMGQLKYLEQQEGQLKHLDQQEKQPELPEQQMGQLKHLEQQEGQPKHLEQQEGQLEQLEEQEGQLKHLEQQEGQLEHLEHQEGQLGLPEQQVLQLKQLEKQQGQPKHLEEEEGQLKHLVQQEGQLKHLVQQEGQLEQQERQVEHLEQQVGQLKHLEEQEGQLKHLEQQQGQLEVPEQQVGQPKNLEQEEKQLELPEQQEGQVKHLEKQEAQLELPEQQVGQPKHLEQQEKHLEHPEQQDGQLKHLEQQEGQLKDLEQQKGQLEQPVFAPAPGQVQDIQPALPTKGEVLLPVEHQQQKQEVQWPPKHK
+>DECOY_sp|P07476|INVO_HUMAN Involucrin OS=Homo sapiens OX=9606 GN=IVL PE=1 SV=2
+KHKPPWQVEQKQQQHEVPLLVEGKTPLAPQIDQVQGPAPAFVPQELQGKQQELDKLQGEQQELHKLQGDQQEPHELHKEQQELHKPQGVQQEPLELQAEQKELHKVQGEQQEPLELQKEEQELNKPQGVQQEPVELQGQQQELHKLQGEQEELHKLQGVQQELHEVQREQQELQGEQQVLHKLQGEQQVLHKLQGEEEELHKPQGQQKELQKLQLVQQEPLGLQGEQHELHELQGEQQELHKLQGEQEELQELQGEQQELHKPQGEQQELHKLQGMQQEPLEPQKEQQDLHKLQGEQQELYKLQGMQEEPVELQGEQHELHKLQGEQQESLELQGEQQESLELQGEQQQPLELQGEQQEPLELQGEQQEPLELQGEQQEPLELQGEQQEPLELQGEQQEPLELQGEQQEPHKLQGEQQELHKLHGEQQEPLELLQEKKMGLQEDRKVLEQDLQQDLLKKEEELQKNLQQDRQTKEQKLQQEPNEAKQYEEHQEWHQQQLEQEQPEKQQQECEQEPLGKVATMHKEEQKSPVEVPLEVPVKQCPPPLPTPQKMQEQHTNVPPPVTKLLEQSLAPSLTVPLTHQQSM
+>sp|Q9Y283|INVS_HUMAN Inversin OS=Homo sapiens OX=9606 GN=INVS PE=1 SV=2
+MNKSENLLFAGSSLASQVHAAAVNGDKGALQRLIVGNSALKDKEDQFGRTPLMYCVLADRLDCADALLKAGADVNKTDHSQRTALHLAAQKGNYRFMKLLLTRRANWMQKDLEEMTPLHLTTRHRSPKCLALLLKFMAPGEVDTQDKNKQTALHWSAYYNNPEHVKLLIKHDSNIGIPDVEGKIPLHWAANHKDPSAVHTVRCILDAAPTESLLNWQDYEGRTPLHFAVADGNVTVVDVLTSYESCNITSYDNLFRTPLHWAALLGHAQIVHLLLERNKSGTIPSDSQGATPLHYAAQSNFAETVKVFLKHPSVKDDSDLEGRTSFMWAAGKGSDDVLRTMLSLKSDIDINMADKYGGTALHAAALSGHVSTVKLLLENNAQVDATDVMKHTPLFRACEMGHKDVIQTLIKGGARVDLVDQDGHSLLHWAALGGNADVCQILIENKINPNVQDYAGRTPLQCAAYGGYINCMAVLMENNADPNIQDKEGRTALHWSCNNGYLDAIKLLLDFAAFPNQMENNEERYTPLDYALLGERHEVIQFMLEHGALSIAAIQDIAAFKIQAVYKGYKVRKAFRDRKNLLMKHEQLRKDAAAKKREEENKRKEAEQQKGRRSPDSCRPQALPCLPSTQDVPSRQSRAPSKQPPAGNVAQGPEPRDSRGSPGGSLGGALQKEQHVSSDLQGTNSRRPNETAREHSKGQSACVHFRPNEGSDGSRHPGVPSVEKSRGETAGDERCAKGKGFVKQPSCIRVAGPDEKGEDSRRAAASLPPHDSHWKPSRRHDTEPKAKCAPQKRRTQELRGGRCSPAGSSRPGSARGEAVHAGQNPPHHRTPRNKVTQAKLTGGLYSHLPQSTEELRSGARRLETSTLSEDFQVSKETDPAPGPLSGQSVNIDLLPVELRLQIIQRERRRKELFRKKNKAAAVIQRAWRSYQLRKHLSHLRHMKQLGAGDVDRWRQESTALLLQVWRKELELKFPQTTAVSKAPKSPSKGTSGTKSTKHSVLKQIYGCSHEGKIHHPTRSVKASSVLRLNSVSNLQCIHLLENSGRSKNFSYNLQSATQPKNKTKP
+>DECOY_sp|Q9Y283|INVS_HUMAN Inversin OS=Homo sapiens OX=9606 GN=INVS PE=1 SV=2
+PKTKNKPQTASQLNYSFNKSRGSNELLHICQLNSVSNLRLVSSAKVSRTPHHIKGEHSCGYIQKLVSHKTSKTGSTGKSPSKPAKSVATTQPFKLELEKRWVQLLLATSEQRWRDVDGAGLQKMHRLHSLHKRLQYSRWARQIVAAAKNKKRFLEKRRRERQIIQLRLEVPLLDINVSQGSLPGPAPDTEKSVQFDESLTSTELRRAGSRLEETSQPLHSYLGGTLKAQTVKNRPTRHHPPNQGAHVAEGRASGPRSSGAPSCRGGRLEQTRRKQPACKAKPETDHRRSPKWHSDHPPLSAAARRSDEGKEDPGAVRICSPQKVFGKGKACREDGATEGRSKEVSPVGPHRSGDSGENPRFHVCASQGKSHERATENPRRSNTGQLDSSVHQEKQLAGGLSGGPSGRSDRPEPGQAVNGAPPQKSPARSQRSPVDQTSPLCPLAQPRCSDPSRRGKQQEAEKRKNEEERKKAAADKRLQEHKMLLNKRDRFAKRVKYGKYVAQIKFAAIDQIAAISLAGHELMFQIVEHREGLLAYDLPTYREENNEMQNPFAAFDLLLKIADLYGNNCSWHLATRGEKDQINPDANNEMLVAMCNIYGGYAACQLPTRGAYDQVNPNIKNEILIQCVDANGGLAAWHLLSHGDQDVLDVRAGGKILTQIVDKHGMECARFLPTHKMVDTADVQANNELLLKVTSVHGSLAAAHLATGGYKDAMNIDIDSKLSLMTRLVDDSGKGAAWMFSTRGELDSDDKVSPHKLFVKVTEAFNSQAAYHLPTAGQSDSPITGSKNRELLLHVIQAHGLLAAWHLPTRFLNDYSTINCSEYSTLVDVVTVNGDAVAFHLPTRGEYDQWNLLSETPAADLICRVTHVASPDKHNAAWHLPIKGEVDPIGINSDHKILLKVHEPNNYYASWHLATQKNKDQTDVEGPAMFKLLLALCKPSRHRTTLHLPTMEELDKQMWNARRTLLLKMFRYNGKQAALHLATRQSHDTKNVDAGAKLLADACDLRDALVCYMLPTRGFQDEKDKLASNGVILRQLAGKDGNVAAAHVQSALSSGAFLLNESKNM
+>sp|Q92813|IOD2_HUMAN Type II iodothyronine deiodinase OS=Homo sapiens OX=9606 GN=DIO2 PE=1 SV=4
+MGILSVDLLITLQILPVFFSNCLFLALYDSVILLKHVVLLLSRSKSTRGEWRRMLTSEGLRCVWKSFLLDAYKQVKLGEDAPNSSVVHVSSTEGGDNSGNGTQEKIAEGATCHLLDFASPERPLVVNFGSATUPPFTSQLPAFRKLVEEFSSVADFLLVYIDEAHPSDGWAIPGDSSLSFEVKKHQNQEDRCAAAQQLLERFSLPPQCRVVADRMDNNANIAYGVAFERVCIVQRQKIAYLGGKGPFSYNLQEVRHWLEKNFSKRUKKTRLAG
+>DECOY_sp|Q92813|IOD2_HUMAN Type II iodothyronine deiodinase OS=Homo sapiens OX=9606 GN=DIO2 PE=1 SV=4
+GALRTKKURKSFNKELWHRVEQLNYSFPGKGGLYAIKQRQVICVREFAVGYAINANNDMRDAVVRCQPPLSFRELLQQAAACRDEQNQHKKVEFSLSSDGPIAWGDSPHAEDIYVLLFDAVSSFEEVLKRFAPLQSTFPPUTASGFNVVLPREPSAFDLLHCTAGEAIKEQTGNGSNDGGETSSVHVVSSNPADEGLKVQKYADLLFSKWVCRLGESTLMRRWEGRTSKSRSLLLVVHKLLIVSDYLALFLCNSFFVPLIQLTILLDVSLIGM
+>sp|Q9C010|IPKB_HUMAN cAMP-dependent protein kinase inhibitor beta OS=Homo sapiens OX=9606 GN=PKIB PE=3 SV=1
+MRTDSSKMTDVESGVANFASSARAGRRNALPDIQSSAATDGTSDLPLKLEALSVKEDAKEKDEKTTQDQLEKPQNEEK
+>DECOY_sp|Q9C010|IPKB_HUMAN cAMP-dependent protein kinase inhibitor beta OS=Homo sapiens OX=9606 GN=PKIB PE=3 SV=1
+KEENQPKELQDQTTKEDKEKADEKVSLAELKLPLDSTGDTAASSQIDPLANRRGARASSAFNAVGSEVDTMKSSDTRM
+>sp|Q8NFU5|IPMK_HUMAN Inositol polyphosphate multikinase OS=Homo sapiens OX=9606 GN=IPMK PE=1 SV=1
+MATEPPSPLRVEAPGPPEMRTSPAIESTPEGTPQPAGGRLRFLNGCVPLSHQVAGHMYGKDKVGILQHPDGTVLKQLQPPPRGPRELEFYNMVYAADCFDGVLLELRKYLPKYYGIWSPPTAPNDLYLKLEDVTHKFNKPCIMDVKIGQKSYDPFASSEKIQQQVSKYPLMEEIGFLVLGMRVYHVHSDSYETENQHYGRSLTKETIKDGVSRFFHNGYCLRKDAVAASIQKIEKILQWFENQKQLNFYASSLLFVYEGSSQPTTTKLNDRTLAEKFLSKGQLSDTEVLEYNNNFHVLSSTANGKIESSVGKSLSKMYARHRKIYTKKHHSQTSLKVENLEQDNGWKSMSQEHLNGNVLSQLEKVFYHLPTGCQEIAEVEVRMIDFAHVFPSNTIDEGYVYGLKHLISVLRSILDN
+>DECOY_sp|Q8NFU5|IPMK_HUMAN Inositol polyphosphate multikinase OS=Homo sapiens OX=9606 GN=IPMK PE=1 SV=1
+NDLISRLVSILHKLGYVYGEDITNSPFVHAFDIMRVEVEAIEQCGTPLHYFVKELQSLVNGNLHEQSMSKWGNDQELNEVKLSTQSHHKKTYIKRHRAYMKSLSKGVSSEIKGNATSSLVHFNNNYELVETDSLQGKSLFKEALTRDNLKTTTPQSSGEYVFLLSSAYFNLQKQNEFWQLIKEIKQISAAVADKRLCYGNHFFRSVGDKITEKTLSRGYHQNETEYSDSHVHYVRMGLVLFGIEEMLPYKSVQQQIKESSAFPDYSKQGIKVDMICPKNFKHTVDELKLYLDNPATPPSWIGYYKPLYKRLELLVGDFCDAAYVMNYFELERPGRPPPQLQKLVTGDPHQLIGVKDKGYMHGAVQHSLPVCGNLFRLRGGAPQPTGEPTSEIAPSTRMEPPGPAEVRLPSPPETAM
+>sp|Q96P70|IPO9_HUMAN Importin-9 OS=Homo sapiens OX=9606 GN=IPO9 PE=1 SV=3
+MAAAAAAGAASGLPGPVAQGLKEALVDTLTGILSPVQEVRAAAEEQIKVLEVTEEFGVHLAELTVDPQGALAIRQLASVILKQYVETHWCAQSEKFRPPETTERAKIVIRELLPNGLRESISKVRSSVAYAVSAIAHWDWPEAWPQLFNLLMEMLVSGDLNAVHGAMRVLTEFTREVTDTQMPLVAPVILPEMYKIFTMAEVYGIRTRSRAVEIFTTCAHMICNMEELEKGAAKVLIFPVVQQFTEAFVQALQIPDGPTSDSGFKMEVLKAVTALVKNFPKHMVSSMQQILPIVWNTLTESAAFYVRTEVNYTEEVEDPVDSDGEVLGFENLVFSIFEFVHALLENSKFKSTVKKALPELIYYIILYMQITEEQIKVWTANPQQFVEDEDDDTFSYTVRIAAQDLLLAVATDFQNESAAALAAAATRHLQEAEQTKNSGTEHWWKIHEACMLALGSVKAIITDSVKNGRIHFDMHGFLTNVILADLNLSVSPFLLGRALWAASRFTVAMSPELIQQFLQATVSGLHETQPPSVRISAVRAIWGYCDQLKVSESTHVLQPFLPSILDGLIHLAAQFSSEVLNLVMETLCIVCTVDPEFTASMESKICPFTIAIFLKYSNDPVVASLAQDIFKELSQIEACQGPMQMRLIPTLVSIMQAPADKIPAGLCATAIDILTTVVRNTKPPLSQLLICQAFPAVAQCTLHTDDNATMQNGGECLRAYVSVTLEQVAQWHDEQGHNGLWYVMQVVSQLLDPRTSEFTAAFVGRLVSTLISKAGRELGENLDQILRAILSKMQQAETLSVMQSLIMVFAHLVHTQLEPLLEFLCSLPGPTGKPALEFVMAEWTSRQHLFYGQYEGKVSSVALCKLLQHGINADDKRLQDIRVKGEEIYSMDEGIRTRSKSAKNPERWTNIPLLVKILKLIINELSNVMEANAARQATPAEWSQDDSNDMWEDQEEEEEEEEDGLAGQLLSDILATSKYEEDYYEDDEEDDPDALKDPLYQIDLQAYLTDFLCQFAQQPCYIMFSGHLNDNERRVLQTIGI
+>DECOY_sp|Q96P70|IPO9_HUMAN Importin-9 OS=Homo sapiens OX=9606 GN=IPO9 PE=1 SV=3
+IGITQLVRRENDNLHGSFMIYCPQQAFQCLFDTLYAQLDIQYLPDKLADPDDEEDDEYYDEEYKSTALIDSLLQGALGDEEEEEEEEQDEWMDNSDDQSWEAPTAQRAANAEMVNSLENIILKLIKVLLPINTWREPNKASKSRTRIGEDMSYIEEGKVRIDQLRKDDANIGHQLLKCLAVSSVKGEYQGYFLHQRSTWEAMVFELAPKGTPGPLSCLFELLPELQTHVLHAFVMILSQMVSLTEAQQMKSLIARLIQDLNEGLERGAKSILTSVLRGVFAATFESTRPDLLQSVVQMVYWLGNHGQEDHWQAVQELTVSVYARLCEGGNQMTANDDTHLTCQAVAPFAQCILLQSLPPKTNRVVTTLIDIATACLGAPIKDAPAQMISVLTPILRMQMPGQCAEIQSLEKFIDQALSAVVPDNSYKLFIAITFPCIKSEMSATFEPDVTCVICLTEMVLNLVESSFQAALHILGDLISPLFPQLVHTSESVKLQDCYGWIARVASIRVSPPQTEHLGSVTAQLFQQILEPSMAVTFRSAAWLARGLLFPSVSLNLDALIVNTLFGHMDFHIRGNKVSDTIIAKVSGLALMCAEHIKWWHETGSNKTQEAEQLHRTAAAALAAASENQFDTAVALLLDQAAIRVTYSFTDDDEDEVFQQPNATWVKIQEETIQMYLIIYYILEPLAKKVTSKFKSNELLAHVFEFISFVLNEFGLVEGDSDVPDEVEETYNVETRVYFAASETLTNWVIPLIQQMSSVMHKPFNKVLATVAKLVEMKFGSDSTPGDPIQLAQVFAETFQQVVPFILVKAAGKELEEMNCIMHACTTFIEVARSRTRIGYVEAMTFIKYMEPLIVPAVLPMQTDTVERTFETLVRMAGHVANLDGSVLMEMLLNFLQPWAEPWDWHAIASVAYAVSSRVKSISERLGNPLLERIVIKARETTEPPRFKESQACWHTEVYQKLIVSALQRIALAGQPDVTLEALHVGFEETVELVKIQEEAAARVEQVPSLIGTLTDVLAEKLGQAVPGPLGSAAGAAAAAAM
+>sp|Q8IWB1|IPRI_HUMAN Inositol 1,4,5-trisphosphate receptor-interacting protein OS=Homo sapiens OX=9606 GN=ITPRIP PE=1 SV=1
+MAMGLFRVCLVVVTAIINHPLLFPRENATVPENEEEIIRKMQAHQEKLQLEQLRLEEEVARLAAEKEALEQVAEEGRQQNETRVAWDLWSTLCMILFLMIEVWRQDHQEGPSPECLGGEEDELPGLGGAPLQGLTLPNKATLGHFYERCIRGATADAARTREFLEGFVDDLLEALRSLCNRDTDMEVEDFIGVDSMYENWQVDRPLLCHLFVPFTPPEPYRFHPELWCSGRSVPLDRQGYGQIKVVRADGDTLSCICGKTKLGEDMLCLLHGRNSMAPPCGDMENLLCATDSLYLDTMQVMKWFQTALTRAWKGIAHKYEFDLAFGQLDSPGSLKIKFRSGKFMPFNLIPVIQCDDSDLYFVSHLPREPSEGTPASSTDWLLSFAVYERHFLRTTLKALPEGACHLSCLQIASFLLSKQSRLTGPSGLSSYHLKTALLHLLLLRQAADWKAGQLDARLHELLCFLEKSLLQKKLHHFFIGNRKVPEAMGLPEAVLRAEPLNLFRPFVLQRSLYRKTLDSFYEMLKNAPALISEYSLHVPSDQPTPKS
+>DECOY_sp|Q8IWB1|IPRI_HUMAN Inositol 1,4,5-trisphosphate receptor-interacting protein OS=Homo sapiens OX=9606 GN=ITPRIP PE=1 SV=1
+SKPTPQDSPVHLSYESILAPANKLMEYFSDLTKRYLSRQLVFPRFLNLPEARLVAEPLGMAEPVKRNGIFFHHLKKQLLSKELFCLLEHLRADLQGAKWDAAQRLLLLHLLATKLHYSSLGSPGTLRSQKSLLFSAIQLCSLHCAGEPLAKLTTRLFHREYVAFSLLWDTSSAPTGESPERPLHSVFYLDSDDCQIVPILNFPMFKGSRFKIKLSGPSDLQGFALDFEYKHAIGKWARTLATQFWKMVQMTDLYLSDTACLLNEMDGCPPAMSNRGHLLCLMDEGLKTKGCICSLTDGDARVVKIQGYGQRDLPVSRGSCWLEPHFRYPEPPTFPVFLHCLLPRDVQWNEYMSDVGIFDEVEMDTDRNCLSRLAELLDDVFGELFERTRAADATAGRICREYFHGLTAKNPLTLGQLPAGGLGPLEDEEGGLCEPSPGEQHDQRWVEIMLFLIMCLTSWLDWAVRTENQQRGEEAVQELAEKEAALRAVEEELRLQELQLKEQHAQMKRIIEEENEPVTANERPFLLPHNIIATVVVLCVRFLGMAM
+>sp|P48200|IREB2_HUMAN Iron-responsive element-binding protein 2 OS=Homo sapiens OX=9606 GN=IREB2 PE=1 SV=3
+MDAPKAGYAFEYLIETLNDSSHKKFFDVSKLGTKYDVLPYSIRVLLEAAVRNCDGFLMKKEDVMNILDWKTKQSNVEVPFFPARVLLQDFTGIPAMVDFAAMREAVKTLGGDPEKVHPACPTDLTVDHSLQIDFSKCAIQNAPNPGGGDLQKAGKLSPVKVQPKKLPCRGQTTCRGSCDSGELGRNSGTFSSQIENTPILCPFHLQPVPEPETVLKNQEVEFGRNRERLQFFKWSSRVFKNVAVIPPGTGMAHQINLEYLSRVVFEEKDLLFPDSVVGTDSHITMVNGLGILGWGVGGIETEAVMLGLPVSLTLPEVVGCELTGSSNPFVTSIDVVLGITKHLRQVGVAGKFVEFFGSGVSQLSIVDRTTIANMCPEYGAILSFFPVDNVTLKHLEHTGFSKAKLESMETYLKAVKLFRNDQNSSGEPEYSQVIQINLNSIVPSVSGPKRPQDRVAVTDMKSDFQACLNEKVGFKGFQIAAEKQKDIVSIHYEGSEYKLSHGSVVIAAVISCTNNCNPSVMLAAGLLAKKAVEAGLRVKPYIRTSLSPGSGMVTHYLSSSGVLPYLSKLGFEIVGYGCSICVGNTAPLSDAVLNAVKQGDLVTCGILSGNKNFEGRLCDCVRANYLASPPLVVAYAIAGTVNIDFQTEPLGTDPTGKNIYLHDIWPSREEVHRVEEEHVILSMFKALKDKIEMGNKRWNSLEAPDSVLFPWDLKSTYIRCPSFFDKLTKEPIALQAIENAHVLLYLGDSVTTDHISPAGSIARNSAAAKYLTNRGLTPREFNSYGARRGNDAVMTRGTFANIKLFNKFIGKPAPKTIHFPSGQTLDVFEAAELYQKEGIPLIILAGKKYGSGNSRDWAAKGPYLLGVKAVLAESYEKIHKDHLIGIGIAPLQFLPGENADSLGLSGRETFSLTFPEELSPGITLNIQTSTGKVFSVIASFEDDVEITLYKHGGLLNFVARKFS
+>DECOY_sp|P48200|IREB2_HUMAN Iron-responsive element-binding protein 2 OS=Homo sapiens OX=9606 GN=IREB2 PE=1 SV=3
+SFKRAVFNLLGGHKYLTIEVDDEFSAIVSFVKGTSTQINLTIGPSLEEPFTLSFTERGSLGLSDANEGPLFQLPAIGIGILHDKHIKEYSEALVAKVGLLYPGKAAWDRSNGSGYKKGALIILPIGEKQYLEAAEFVDLTQGSPFHITKPAPKGIFKNFLKINAFTGRTMVADNGRRAGYSNFERPTLGRNTLYKAAASNRAISGAPSIHDTTVSDGLYLLVHANEIAQLAIPEKTLKDFFSPCRIYTSKLDWPFLVSDPAELSNWRKNGMEIKDKLAKFMSLIVHEEEVRHVEERSPWIDHLYINKGTPDTGLPETQFDINVTGAIAYAVVLPPSALYNARVCDCLRGEFNKNGSLIGCTVLDGQKVANLVADSLPATNGVCISCGYGVIEFGLKSLYPLVGSSSLYHTVMGSGPSLSTRIYPKVRLGAEVAKKALLGAALMVSPNCNNTCSIVAAIVVSGHSLKYESGEYHISVIDKQKEAAIQFGKFGVKENLCAQFDSKMDTVAVRDQPRKPGSVSPVISNLNIQIVQSYEPEGSSNQDNRFLKVAKLYTEMSELKAKSFGTHELHKLTVNDVPFFSLIAGYEPCMNAITTRDVISLQSVGSGFFEVFKGAVGVQRLHKTIGLVVDISTVFPNSSGTLECGVVEPLTLSVPLGLMVAETEIGGVGWGLIGLGNVMTIHSDTGVVSDPFLLDKEEFVVRSLYELNIQHAMGTGPPIVAVNKFVRSSWKFFQLRERNRGFEVEQNKLVTEPEPVPQLHFPCLIPTNEIQSSFTGSNRGLEGSDCSGRCTTQGRCPLKKPQVKVPSLKGAKQLDGGGPNPANQIACKSFDIQLSHDVTLDTPCAPHVKEPDGGLTKVAERMAAFDVMAPIGTFDQLLVRAPFFPVEVNSQKTKWDLINMVDEKKMLFGDCNRVAAELLVRISYPLVDYKTGLKSVDFFKKHSSDNLTEILYEFAYGAKPADM
+>sp|P05556|ITB1_HUMAN Integrin beta-1 OS=Homo sapiens OX=9606 GN=ITGB1 PE=1 SV=2
+MNLQPIFWIGLISSVCCVFAQTDENRCLKANAKSCGECIQAGPNCGWCTNSTFLQEGMPTSARCDDLEALKKKGCPPDDIENPRGSKDIKKNKNVTNRSKGTAEKLKPEDITQIQPQQLVLRLRSGEPQTFTLKFKRAEDYPIDLYYLMDLSYSMKDDLENVKSLGTDLMNEMRRITSDFRIGFGSFVEKTVMPYISTTPAKLRNPCTSEQNCTSPFSYKNVLSLTNKGEVFNELVGKQRISGNLDSPEGGFDAIMQVAVCGSLIGWRNVTRLLVFSTDAGFHFAGDGKLGGIVLPNDGQCHLENNMYTMSHYYDYPSIAHLVQKLSENNIQTIFAVTEEFQPVYKELKNLIPKSAVGTLSANSSNVIQLIIDAYNSLSSEVILENGKLSEGVTISYKSYCKNGVNGTGENGRKCSNISIGDEVQFEISITSNKCPKKDSDSFKIRPLGFTEEVEVILQYICECECQSEGIPESPKCHEGNGTFECGACRCNEGRVGRHCECSTDEVNSEDMDAYCRKENSSEICSNNGECVCGQCVCRKRDNTNEIYSGKFCECDNFNCDRSNGLICGGNGVCKCRVCECNPNYTGSACDCSLDTSTCEASNGQICNGRGICECGVCKCTDPKFQGQTCEMCQTCLGVCAEHKECVQCRAFNKGEKKDTCTQECSYFNITKVESRDKLPQPVQPDPVSHCKEKDVDDCWFYFTYSVNGNNEVMVHVVENPECPTGPDIIPIVAGVVAGIVLIGLALLLIWKLLMIIHDRREFAKFEKEKMNAKWDTGENPIYKSAVTTVVNPKYEGK
+>DECOY_sp|P05556|ITB1_HUMAN Integrin beta-1 OS=Homo sapiens OX=9606 GN=ITGB1 PE=1 SV=2
+KGEYKPNVVTTVASKYIPNEGTDWKANMKEKEFKAFERRDHIIMLLKWILLLALGILVIGAVVGAVIPIIDPGTPCEPNEVVHVMVENNGNVSYTFYFWCDDVDKEKCHSVPDPQVPQPLKDRSEVKTINFYSCEQTCTDKKEGKNFARCQVCEKHEACVGLCTQCMECTQGQFKPDTCKCVGCECIGRGNCIQGNSAECTSTDLSCDCASGTYNPNCECVRCKCVGNGGCILGNSRDCNFNDCECFKGSYIENTNDRKRCVCQGCVCEGNNSCIESSNEKRCYADMDESNVEDTSCECHRGVRGENCRCAGCEFTGNGEHCKPSEPIGESQCECECIYQLIVEVEETFGLPRIKFSDSDKKPCKNSTISIEFQVEDGISINSCKRGNEGTGNVGNKCYSKYSITVGESLKGNELIVESSLSNYADIILQIVNSSNASLTGVASKPILNKLEKYVPQFEETVAFITQINNESLKQVLHAISPYDYYHSMTYMNNELHCQGDNPLVIGGLKGDGAFHFGADTSFVLLRTVNRWGILSGCVAVQMIADFGGEPSDLNGSIRQKGVLENFVEGKNTLSLVNKYSFPSTCNQESTCPNRLKAPTTSIYPMVTKEVFSGFGIRFDSTIRRMENMLDTGLSKVNELDDKMSYSLDMLYYLDIPYDEARKFKLTFTQPEGSRLRLVLQQPQIQTIDEPKLKEATGKSRNTVNKNKKIDKSGRPNEIDDPPCGKKKLAELDDCRASTPMGEQLFTSNTCWGCNPGAQICEGCSKANAKLCRNEDTQAFVCCVSSILGIWFIPQLNM
+>sp|P05107|ITB2_HUMAN Integrin beta-2 OS=Homo sapiens OX=9606 GN=ITGB2 PE=1 SV=2
+MLGLRPPLLALVGLLSLGCVLSQECTKFKVSSCRECIESGPGCTWCQKLNFTGPGDPDSIRCDTRPQLLMRGCAADDIMDPTSLAETQEDHNGGQKQLSPQKVTLYLRPGQAAAFNVTFRRAKGYPIDLYYLMDLSYSMLDDLRNVKKLGGDLLRALNEITESGRIGFGSFVDKTVLPFVNTHPDKLRNPCPNKEKECQPPFAFRHVLKLTNNSNQFQTEVGKQLISGNLDAPEGGLDAMMQVAACPEEIGWRNVTRLLVFATDDGFHFAGDGKLGAILTPNDGRCHLEDNLYKRSNEFDYPSVGQLAHKLAENNIQPIFAVTSRMVKTYEKLTEIIPKSAVGELSEDSSNVVQLIKNAYNKLSSRVFLDHNALPDTLKVTYDSFCSNGVTHRNQPRGDCDGVQINVPITFQVKVTATECIQEQSFVIRALGFTDIVTVQVLPQCECRCRDQSRDRSLCHGKGFLECGICRCDTGYIGKNCECQTQGRSSQELEGSCRKDNNSIICSGLGDCVCGQCLCHTSDVPGKLIYGQYCECDTINCERYNGQVCGGPGRGLCFCGKCRCHPGFEGSACQCERTTEGCLNPRRVECSGRGRCRCNVCECHSGYQLPLCQECPGCPSPCGKYISCAECLKFEKGPFGKNCSAACPGLQLSNNPVKGRTCKERDSEGCWVAYTLEQQDGMDRYLIYVDESRECVAGPNIAAIVGGTVAGIVLIGILLLVIWKALIHLSDLREYRRFEKEKLKSQWNNDNPLFKSATTTVMNPKFAES
+>DECOY_sp|P05107|ITB2_HUMAN Integrin beta-2 OS=Homo sapiens OX=9606 GN=ITGB2 PE=1 SV=2
+SEAFKPNMVTTTASKFLPNDNNWQSKLKEKEFRRYERLDSLHILAKWIVLLLIGILVIGAVTGGVIAAINPGAVCERSEDVYILYRDMGDQQELTYAVWCGESDREKCTRGKVPNNSLQLGPCAASCNKGFPGKEFKLCEACSIYKGCPSPCGPCEQCLPLQYGSHCECVNCRCRGRGSCEVRRPNLCGETTRECQCASGEFGPHCRCKGCFCLGRGPGGCVQGNYRECNITDCECYQGYILKGPVDSTHCLCQGCVCDGLGSCIISNNDKRCSGELEQSSRGQTQCECNKGIYGTDCRCIGCELFGKGHCLSRDRSQDRCRCECQPLVQVTVIDTFGLARIVFSQEQICETATVKVQFTIPVNIQVGDCDGRPQNRHTVGNSCFSDYTVKLTDPLANHDLFVRSSLKNYANKILQVVNSSDESLEGVASKPIIETLKEYTKVMRSTVAFIPQINNEALKHALQGVSPYDFENSRKYLNDELHCRGDNPTLIAGLKGDGAFHFGDDTAFVLLRTVNRWGIEEPCAAVQMMADLGGEPADLNGSILQKGVETQFQNSNNTLKLVHRFAFPPQCEKEKNPCPNRLKDPHTNVFPLVTKDVFSGFGIRGSETIENLARLLDGGLKKVNRLDDLMSYSLDMLYYLDIPYGKARRFTVNFAAAQGPRLYLTVKQPSLQKQGGNHDEQTEALSTPDMIDDAACGRMLLQPRTDCRISDPDGPGTFNLKQCWTCGPGSEICERCSSVKFKTCEQSLVCGLSLLGVLALLPPRLGLM
+>sp|P05106|ITB3_HUMAN Integrin beta-3 OS=Homo sapiens OX=9606 GN=ITGB3 PE=1 SV=2
+MRARPRPRPLWATVLALGALAGVGVGGPNICTTRGVSSCQQCLAVSPMCAWCSDEALPLGSPRCDLKENLLKDNCAPESIEFPVSEARVLEDRPLSDKGSGDSSQVTQVSPQRIALRLRPDDSKNFSIQVRQVEDYPVDIYYLMDLSYSMKDDLWSIQNLGTKLATQMRKLTSNLRIGFGAFVDKPVSPYMYISPPEALENPCYDMKTTCLPMFGYKHVLTLTDQVTRFNEEVKKQSVSRNRDAPEGGFDAIMQATVCDEKIGWRNDASHLLVFTTDAKTHIALDGRLAGIVQPNDGQCHVGSDNHYSASTTMDYPSLGLMTEKLSQKNINLIFAVTENVVNLYQNYSELIPGTTVGVLSMDSSNVLQLIVDAYGKIRSKVELEVRDLPEELSLSFNATCLNNEVIPGLKSCMGLKIGDTVSFSIEAKVRGCPQEKEKSFTIKPVGFKDSLIVQVTFDCDCACQAQAEPNSHRCNNGNGTFECGVCRCGPGWLGSQCECSEEDYRPSQQDECSPREGQPVCSQRGECLCGQCVCHSSDFGKITGKYCECDDFSCVRYKGEMCSGHGQCSCGDCLCDSDWTGYYCNCTTRTDTCMSSNGLLCSGRGKCECGSCVCIQPGSYGDTCEKCPTCPDACTFKKECVECKKFDRGALHDENTCNRYCRDEIESVKELKDTGKDAVNCTYKNEDDCVVRFQYYEDSSGKSILYVVEEPECPKGPDILVVLLSVMGAILLIGLAALLIWKLLITIHDRKEFAKFEEERARAKWDTANNPLYKEATSTFTNITYRGT
+>DECOY_sp|P05106|ITB3_HUMAN Integrin beta-3 OS=Homo sapiens OX=9606 GN=ITGB3 PE=1 SV=2
+TGRYTINTFTSTAEKYLPNNATDWKARAREEEFKAFEKRDHITILLKWILLAALGILLIAGMVSLLVVLIDPGKPCEPEEVVYLISKGSSDEYYQFRVVCDDENKYTCNVADKGTDKLEKVSEIEDRCYRNCTNEDHLAGRDFKKCEVCEKKFTCADPCTPCKECTDGYSGPQICVCSGCECKGRGSCLLGNSSMCTDTRTTCNCYYGTWDSDCLCDGCSCQGHGSCMEGKYRVCSFDDCECYKGTIKGFDSSHCVCQGCLCEGRQSCVPQGERPSCEDQQSPRYDEESCECQSGLWGPGCRCVGCEFTGNGNNCRHSNPEAQAQCACDCDFTVQVILSDKFGVPKITFSKEKEQPCGRVKAEISFSVTDGIKLGMCSKLGPIVENNLCTANFSLSLEEPLDRVELEVKSRIKGYADVILQLVNSSDMSLVGVTTGPILESYNQYLNVVNETVAFILNINKQSLKETMLGLSPYDMTTSASYHNDSGVHCQGDNPQVIGALRGDLAIHTKADTTFVLLHSADNRWGIKEDCVTAQMIADFGGEPADRNRSVSQKKVEENFRTVQDTLTLVHKYGFMPLCTTKMDYCPNELAEPPSIYMYPSVPKDVFAGFGIRLNSTLKRMQTALKTGLNQISWLDDKMSYSLDMLYYIDVPYDEVQRVQISFNKSDDPRLRLAIRQPSVQTVQSSDGSGKDSLPRDELVRAESVPFEISEPACNDKLLNEKLDCRPSGLPLAEDSCWACMPSVALCQQCSSVGRTTCINPGGVGVGALAGLALVTAWLPRPRPRARM
+>sp|P18564|ITB6_HUMAN Integrin beta-6 OS=Homo sapiens OX=9606 GN=ITGB6 PE=1 SV=2
+MGIELLCLFFLFLGRNDHVQGGCALGGAETCEDCLLIGPQCAWCAQENFTHPSGVGERCDTPANLLAKGCQLNFIENPVSQVEILKNKPLSVGRQKNSSDIVQIAPQSLILKLRPGGAQTLQVHVRQTEDYPVDLYYLMDLSASMDDDLNTIKELGSRLSKEMSKLTSNFRLGFGSFVEKPVSPFVKTTPEEIANPCSSIPYFCLPTFGFKHILPLTNDAERFNEIVKNQKISANIDTPEGGFDAIMQAAVCKEKIGWRNDSLHLLVFVSDADSHFGMDSKLAGIVIPNDGLCHLDSKNEYSMSTVLEYPTIGQLIDKLVQNNVLLIFAVTQEQVHLYENYAKLIPGATVGLLQKDSGNILQLIISAYEELRSEVELEVLGDTEGLNLSFTAICNNGTLFQHQKKCSHMKVGDTASFSVTVNIPHCERRSRHIIIKPVGLGDALELLVSPECNCDCQKEVEVNSSKCHHGNGSFQCGVCACHPGHMGPRCECGEDMLSTDSCKEAPDHPSCSGRGDCYCGQCICHLSPYGNIYGPYCQCDNFSCVRHKGLLCGGNGDCDCGECVCRSGWTGEYCNCTTSTDSCVSEDGVLCSGRGDCVCGKCVCTNPGASGPTCERCPTCGDPCNSKRSCIECHLSAAGQAREECVDKCKLAGATISEEEDFSKDGSVSCSLQGENECLITFLITTDNEGKTIIHSINEKDCPKPPNIPMIMLGVSLAILLIGVVLLCIWKLLVSFHDRKEVAKFEAERSKAKWQTGTNPLYRGSTSTFKNVTYKHREKQKVDLSTDC
+>DECOY_sp|P18564|ITB6_HUMAN Integrin beta-6 OS=Homo sapiens OX=9606 GN=ITGB6 PE=1 SV=2
+CDTSLDVKQKERHKYTVNKFTSTSGRYLPNTGTQWKAKSREAEFKAVEKRDHFSVLLKWICLLVVGILLIALSVGLMIMPINPPKPCDKENISHIITKGENDTTILFTILCENEGQLSCSVSGDKSFDEEESITAGALKCKDVCEERAQGAASLHCEICSRKSNCPDGCTPCRECTPGSAGPNTCVCKGCVCDGRGSCLVGDESVCSDTSTTCNCYEGTWGSRCVCEGCDCDGNGGCLLGKHRVCSFNDCQCYPGYINGYPSLHCICQGCYCDGRGSCSPHDPAEKCSDTSLMDEGCECRPGMHGPHCACVGCQFSGNGHHCKSSNVEVEKQCDCNCEPSVLLELADGLGVPKIIIHRSRRECHPINVTVSFSATDGVKMHSCKKQHQFLTGNNCIATFSLNLGETDGLVELEVESRLEEYASIILQLINGSDKQLLGVTAGPILKAYNEYLHVQEQTVAFILLVNNQVLKDILQGITPYELVTSMSYENKSDLHCLGDNPIVIGALKSDMGFHSDADSVFVLLHLSDNRWGIKEKCVAAQMIADFGGEPTDINASIKQNKVIENFREADNTLPLIHKFGFTPLCFYPISSCPNAIEEPTTKVFPSVPKEVFSGFGLRFNSTLKSMEKSLRSGLEKITNLDDDMSASLDMLYYLDVPYDETQRVHVQLTQAGGPRLKLILSQPAIQVIDSSNKQRGVSLPKNKLIEVQSVPNEIFNLQCGKALLNAPTDCREGVGSPHTFNEQACWACQPGILLCDECTEAGGLACGGQVHDNRGLFLFFLCLLEIGM
+>sp|P26010|ITB7_HUMAN Integrin beta-7 OS=Homo sapiens OX=9606 GN=ITGB7 PE=1 SV=1
+MVALPMVLVLLLVLSRGESELDAKIPSTGDATEWRNPHLSMLGSCQPAPSCQKCILSHPSCAWCKQLNFTASGEAEARRCARREELLARGCPLEELEEPRGQQEVLQDQPLSQGARGEGATQLAPQRVRVTLRPGEPQQLQVRFLRAEGYPVDLYYLMDLSYSMKDDLERVRQLGHALLVRLQEVTHSVRIGFGSFVDKTVLPFVSTVPSKLRHPCPTRLERCQSPFSFHHVLSLTGDAQAFEREVGRQSVSGNLDSPEGGFDAILQAALCQEQIGWRNVSRLLVFTSDDTFHTAGDGKLGGIFMPSDGHCHLDSNGLYSRSTEFDYPSVGQVAQALSAANIQPIFAVTSAALPVYQELSKLIPKSAVGELSEDSSNVVQLIMDAYNSLSSTVTLEHSSLPPGVHISYESQCEGPEKREGKAEDRGQCNHVRINQTVTFWVSLQATHCLPEPHLLRLRALGFSEELIVELHTLCDCNCSDTQPQAPHCSDGQGHLQCGVCSCAPGRLGRLCECSVAELSSPDLESGCRAPNGTGPLCSGKGHCQCGRCSCSGQSSGHLCECDDASCERHEGILCGGFGRCQCGVCHCHANRTGRACECSGDMDSCISPEGGLCSGHGRCKCNRCQCLDGYYGALCDQCPGCKTPCERHRDCAECGAFRTGPLATNCSTACAHTNVTLALAPILDDGWCKERTLDNQLFFFLVEDDARGTVVLRVRPQEKGADHTQAIVLGCVGGIVAVGLGLVLAYRLSVEIYDRREYSRFEKEQQQLNWKQDSNPLYKSAITTTINPRFQEADSPTL
+>DECOY_sp|P26010|ITB7_HUMAN Integrin beta-7 OS=Homo sapiens OX=9606 GN=ITGB7 PE=1 SV=1
+LTPSDAEQFRPNITTTIASKYLPNSDQKWNLQQQEKEFRSYERRDYIEVSLRYALVLGLGVAVIGGVCGLVIAQTHDAGKEQPRVRLVVTGRADDEVLFFFLQNDLTREKCWGDDLIPALALTVNTHACATSCNTALPGTRFAGCEACDRHRECPTKCGPCQDCLAGYYGDLCQCRNCKCRGHGSCLGGEPSICSDMDGSCECARGTRNAHCHCVGCQCRGFGGCLIGEHRECSADDCECLHGSSQGSCSCRGCQCHGKGSCLPGTGNPARCGSELDPSSLEAVSCECLRGLRGPACSCVGCQLHGQGDSCHPAQPQTDSCNCDCLTHLEVILEESFGLARLRLLHPEPLCHTAQLSVWFTVTQNIRVHNCQGRDEAKGERKEPGECQSEYSIHVGPPLSSHELTVTSSLSNYADMILQVVNSSDESLEGVASKPILKSLEQYVPLAASTVAFIPQINAASLAQAVQGVSPYDFETSRSYLGNSDLHCHGDSPMFIGGLKGDGATHFTDDSTFVLLRSVNRWGIQEQCLAAQLIADFGGEPSDLNGSVSQRGVEREFAQADGTLSLVHHFSFPSQCRELRTPCPHRLKSPVTSVFPLVTKDVFSGFGIRVSHTVEQLRVLLAHGLQRVRELDDKMSYSLDMLYYLDVPYGEARLFRVQLQQPEGPRLTVRVRQPALQTAGEGRAGQSLPQDQLVEQQGRPEELEELPCGRALLEERRACRRAEAEGSATFNLQKCWACSPHSLICKQCSPAPQCSGLMSLHPNRWETADGTSPIKADLESEGRSLVLLLVLVMPLAVM
+>sp|P26012|ITB8_HUMAN Integrin beta-8 OS=Homo sapiens OX=9606 GN=ITGB8 PE=2 SV=1
+MCGSALAFFTAAFVCLQNDRRGPASFLWAAWVFSLVLGLGQGEDNRCASSNAASCARCLALGPECGWCVQEDFISGGSRSERCDIVSNLISKGCSVDSIEYPSVHVIIPTENEINTQVTPGEVSIQLRPGAEANFMLKVHPLKKYPVDLYYLVDVSASMHNNIEKLNSVGNDLSRKMAFFSRDFRLGFGSYVDKTVSPYISIHPERIHNQCSDYNLDCMPPHGYIHVLSLTENITEFEKAVHRQKISGNIDTPEGGFDAMLQAAVCESHIGWRKEAKRLLLVMTDQTSHLALDSKLAGIVVPNDGNCHLKNNVYVKSTTMEHPSLGQLSEKLIDNNINVIFAVQGKQFHWYKDLLPLLPGTIAGEIESKAANLNNLVVEAYQKLISEVKVQVENQVQGIYFNITAICPDGSRKPGMEGCRNVTSNDEVLFNVTVTMKKCDVTGGKNYAIIKPIGFNETAKIHIHRNCSCQCEDNRGPKGKCVDETFLDSKCFQCDENKCHFDEDQFSSESCKSHKDQPVCSGRGVCVCGKCSCHKIKLGKVYGKYCEKDDFSCPYHHGNLCAGHGECEAGRCQCFSGWEGDRCQCPSAAAQHCVNSKGQVCSGRGTCVCGRCECTDPRSIGRFCEHCPTCYTACKENWNCMQCLHPHNLSQAILDQCKTSCALMEQQHYVDQTSECFSSPSYLRIFFIIFIVTFLIGLLKVLIIRQVILQWNSNKIKSSSDYRVSASKKDKLILQSVCTRAVTYRREKPEEIKMDISKLNAHETFRCNF
+>DECOY_sp|P26012|ITB8_HUMAN Integrin beta-8 OS=Homo sapiens OX=9606 GN=ITGB8 PE=2 SV=1
+FNCRFTEHANLKSIDMKIEEPKERRYTVARTCVSQLILKDKKSASVRYDSSSKIKNSNWQLIVQRIILVKLLGILFTVIFIIFFIRLYSPSSFCESTQDVYHQQEMLACSTKCQDLIAQSLNHPHLCQMCNWNEKCATYCTPCHECFRGISRPDTCECRGCVCTGRGSCVQGKSNVCHQAAASPCQCRDGEWGSFCQCRGAECEGHGACLNGHHYPCSFDDKECYKGYVKGLKIKHCSCKGCVCVGRGSCVPQDKHSKCSESSFQDEDFHCKNEDCQFCKSDLFTEDVCKGKPGRNDECQCSCNRHIHIKATENFGIPKIIAYNKGGTVDCKKMTVTVNFLVEDNSTVNRCGEMGPKRSGDPCIATINFYIGQVQNEVQVKVESILKQYAEVVLNNLNAAKSEIEGAITGPLLPLLDKYWHFQKGQVAFIVNINNDILKESLQGLSPHEMTTSKVYVNNKLHCNGDNPVVIGALKSDLALHSTQDTMVLLLRKAEKRWGIHSECVAAQLMADFGGEPTDINGSIKQRHVAKEFETINETLSLVHIYGHPPMCDLNYDSCQNHIREPHISIYPSVTKDVYSGFGLRFDRSFFAMKRSLDNGVSNLKEINNHMSASVDVLYYLDVPYKKLPHVKLMFNAEAGPRLQISVEGPTVQTNIENETPIIVHVSPYEISDVSCGKSILNSVIDCRESRSGGSIFDEQVCWGCEPGLALCRACSAANSSACRNDEGQGLGLVLSFVWAAWLFSAPGRRDNQLCVFAATFFALASGCM
+>sp|Q9UKP3|ITBP2_HUMAN Integrin beta-1-binding protein 2 OS=Homo sapiens OX=9606 GN=ITGB1BP2 PE=1 SV=1
+MSLLCRNKGCGQHFDPNTNLPDSCCHHPGVPIFHDALKGWSCCRKRTVDFSEFLNIKGCTMGPHCAEKLPEAPQPEGPATSSSLQEQKPLNVIPKSAETLRRERPKSELPLKLLPLNISQALEMALEQKELDQEPGAGLDSLIRTGSSCQNPGCDAVYQGPESDATPCTYHPGAPRFHEGMKSWSCCGIQTLDFGAFLAQPGCRVGRHDWGKQLPASCRHDWHQTDSLVVVTVYGQIPLPAFNWVKASQTELHVHIVFDGNRVFQAQMKLWGVINVEQSSVFLMPSRVEISLVKADPGSWAQLEHPDALAKKARAGVVLEMDEEESDDSDDDLSWTEEEEEEEAMGE
+>DECOY_sp|Q9UKP3|ITBP2_HUMAN Integrin beta-1-binding protein 2 OS=Homo sapiens OX=9606 GN=ITGB1BP2 PE=1 SV=1
+EGMAEEEEEEETWSLDDDSDDSEEEDMELVVGARAKKALADPHELQAWSGPDAKVLSIEVRSPMLFVSSQEVNIVGWLKMQAQFVRNGDFVIHVHLETQSAKVWNFAPLPIQGYVTVVVLSDTQHWDHRCSAPLQKGWDHRGVRCGPQALFAGFDLTQIGCCSWSKMGEHFRPAGPHYTCPTADSEPGQYVADCGPNQCSSGTRILSDLGAGPEQDLEKQELAMELAQSINLPLLKLPLESKPRERRLTEASKPIVNLPKQEQLSSSTAPGEPQPAEPLKEACHPGMTCGKINLFESFDVTRKRCCSWGKLADHFIPVGPHHCCSDPLNTNPDFHQGCGKNRCLLSM
+>sp|Q96ST2|IWS1_HUMAN Protein IWS1 homolog OS=Homo sapiens OX=9606 GN=IWS1 PE=1 SV=2
+MDSEYYSGDQSDDGGATPVQDERDSGSDGEDDVNEQHSGSDTGSVERHSENETSDREDGLPKGHHVTDSENDEPLNLNASDSESEELHRQKDSDSESEERAEPPASDSENEDVNQHGSDSESEETRKLPGSDSENEELLNGHASDSENEDVGKHPASDSEIEELQKSPASDSETEDALKPQISDSESEEPPRHQASDSENEEPPKPRMSDSESEELPKPQVSDSESEEPPRHQASDSENEELPKPRISDSESEDPPRHQASDSENEELPKPRISDSESEDPPRNQASDSENEELPKPRVSDSESEGPQKGPASDSETEDASRHKQKPESDDDSDRENKGEDTEMQNDSFHSDSHMDRKKFHSSDSEEEEHKKQKMDSDEDEKEGEEEKVAKRKAAVLSDSEDEEKASAKKSRVVSDADDSDSDAVSDKSGKREKTIASDSEEEAGKELSDKKNEEKDLFGSDSESGNEEENLIADIFGESGDEEEEEFTGFNQEDLEEEKGETQVKEAEDSDSDDNIKRGKHMDFLSDFEMMLQRKKSMSGKRRRNRDGGTFISDADDVVSAMIVKMNEAAEEDRQLNNQKKPALKKLTLLPAVVMHLKKQDLKETFIDSGVMSAIKEWLSPLPDRSLPALKIREELLKILQELPSVSQETLKHSGIGRAVMYLYKHPKESRSNKDMAGKLINEWSRPIFGLTSNYKGMTREEREQRDLEQMPQRRRMNSTGGQTPRRDLEKVLTGEEKALRPGDPGFCARARVPMPSNKDYVVRPKWNVEMESSRFQATSKKGISRLDKQMRKFTDIRKKSRSAHAVKISIEGNKMPL
+>DECOY_sp|Q96ST2|IWS1_HUMAN Protein IWS1 homolog OS=Homo sapiens OX=9606 GN=IWS1 PE=1 SV=2
+LPMKNGEISIKVAHASRSKKRIDTFKRMQKDLRSIGKKSTAQFRSSEMEVNWKPRVVYDKNSPMPVRARACFGPDGPRLAKEEGTLVKELDRRPTQGGTSNMRRRQPMQELDRQEREERTMGKYNSTLGFIPRSWENILKGAMDKNSRSEKPHKYLYMVARGIGSHKLTEQSVSPLEQLIKLLEERIKLAPLSRDPLPSLWEKIASMVGSDIFTEKLDQKKLHMVVAPLLTLKKLAPKKQNNLQRDEEAAENMKVIMASVVDDADSIFTGGDRNRRRKGSMSKKRQLMMEFDSLFDMHKGRKINDDSDSDEAEKVQTEGKEEELDEQNFGTFEEEEEDGSEGFIDAILNEEENGSESDSGFLDKEENKKDSLEKGAEEESDSAITKERKGSKDSVADSDSDDADSVVRSKKASAKEEDESDSLVAAKRKAVKEEEGEKEDEDSDMKQKKHEEEESDSSHFKKRDMHSDSHFSDNQMETDEGKNERDSDDDSEPKQKHRSADETESDSAPGKQPGESESDSVRPKPLEENESDSAQNRPPDESESDSIRPKPLEENESDSAQHRPPDESESDSIRPKPLEENESDSAQHRPPEESESDSVQPKPLEESESDSMRPKPPEENESDSAQHRPPEESESDSIQPKLADETESDSAPSKQLEEIESDSAPHKGVDENESDSAHGNLLEENESDSGPLKRTEESESDSGHQNVDENESDSAPPEAREESESDSDKQRHLEESESDSANLNLPEDNESDTVHHGKPLGDERDSTENESHREVSGTDSGSHQENVDDEGDSGSDREDQVPTAGGDDSQDGSYYESDM
+>sp|Q8IYV9|IZUM1_HUMAN Izumo sperm-egg fusion protein 1 OS=Homo sapiens OX=9606 GN=IZUMO1 PE=1 SV=2
+MGPHFTLLCAALAGCLLPAEGCVICDPSVVLALKSLEKDYLPGHLDAKHHKAMMERVENAVKDFQELSLNEDAYMGVVDEATLQKGSWSLLKDLKRITDSDVKGDLFVKELFWMLHLQKETFATYVARFQKEAYCPNKCGVMLQTLIWCKNCKKEVHACRKSYDCGERNVEVPQMEDMILDCELNWHQASEGLTDYSFYRVWGNNTETLVSKGKEATLTKPMVGPEDAGSYRCELGSVNSSPATIINFHVTVLPKMIKEEKPSPNIVTPGEATTESSISLQPLQPEKMLASRLLGLLICGSLALITGLTFAIFRRRKVIDFIKSSLFGLGSGAAEQTQVPKEKATDSRQQ
+>DECOY_sp|Q8IYV9|IZUM1_HUMAN Izumo sperm-egg fusion protein 1 OS=Homo sapiens OX=9606 GN=IZUMO1 PE=1 SV=2
+QQRSDTAKEKPVQTQEAAGSGLGFLSSKIFDIVKRRRFIAFTLGTILALSGCILLGLLRSALMKEPQLPQLSISSETTAEGPTVINPSPKEEKIMKPLVTVHFNIITAPSSNVSGLECRYSGADEPGVMPKTLTAEKGKSVLTETNNGWVRYFSYDTLGESAQHWNLECDLIMDEMQPVEVNREGCDYSKRCAHVEKKCNKCWILTQLMVGCKNPCYAEKQFRAVYTAFTEKQLHLMWFLEKVFLDGKVDSDTIRKLDKLLSWSGKQLTAEDVVGMYADENLSLEQFDKVANEVREMMAKHHKADLHGPLYDKELSKLALVVSPDCIVCGEAPLLCGALAACLLTFHPGM
+>sp|Q6UXV1|IZUM2_HUMAN Izumo sperm-egg fusion protein 2 OS=Homo sapiens OX=9606 GN=IZUMO2 PE=2 SV=1
+MPLALTLLLLSGLGAPGGWGCLQCDPLVLEALGHLRSALIPSRFQLEQLQARAGAVLMGMEGPFFRDYALNVFVGKVETNQLDLVASFVKNQTQHLMGNSLKDEPLLEELVTLRANVIKEFKKVLISYELKACNPKLCRLLKEEVLDCLHCQRITPKCIHKKYCFVDRQPRVALQYQMDSKYPRNQALLGILISVSLAVFVFVVIVVSACTYRQNRKLLLQ
+>DECOY_sp|Q6UXV1|IZUM2_HUMAN Izumo sperm-egg fusion protein 2 OS=Homo sapiens OX=9606 GN=IZUMO2 PE=2 SV=1
+QLLLKRNQRYTCASVVIVVFVFVALSVSILIGLLAQNRPYKSDMQYQLAVRPQRDVFCYKKHICKPTIRQCHLCDLVEEKLLRCLKPNCAKLEYSILVKKFEKIVNARLTVLEELLPEDKLSNGMLHQTQNKVFSAVLDLQNTEVKGVFVNLAYDRFFPGEMGMLVAGARAQLQELQFRSPILASRLHGLAELVLPDCQLCGWGGPAGLGSLLLLTLALPM
+>sp|Q1ZYL8|IZUM4_HUMAN Izumo sperm-egg fusion protein 4 OS=Homo sapiens OX=9606 GN=IZUMO4 PE=2 SV=2
+MALLLCLVCLTAALAHGCLHCHSNFSKKFSFYRHHVNFKSWWVGDIPVSGALLTDWSDDTMKELHLAIPAKITREKLDQVATAVYQMMDQLYQGKMYFPGYFPNELRNIFREQVHLIQNAIIESRIDCQHRCGIFQYETISCNNCTDSHVACFGYNCESSAQWKSAVQGLLNYINNWHKQDTSMRPRSSAFSWPGTHRATPAFLVSPALRCLEPPHLANLTLEDAAECLKQH
+>DECOY_sp|Q1ZYL8|IZUM4_HUMAN Izumo sperm-egg fusion protein 4 OS=Homo sapiens OX=9606 GN=IZUMO4 PE=2 SV=2
+HQKLCEAADELTLNALHPPELCRLAPSVLFAPTARHTGPWSFASSRPRMSTDQKHWNNIYNLLGQVASKWQASSECNYGFCAVHSDTCNNCSITEYQFIGCRHQCDIRSEIIANQILHVQERFINRLENPFYGPFYMKGQYLQDMMQYVATAVQDLKERTIKAPIALHLEKMTDDSWDTLLAGSVPIDGVWWSKFNVHHRYFSFKKSFNSHCHLCGHALAATLCVLCLLLAM
+>sp|P78504|JAG1_HUMAN Protein jagged-1 OS=Homo sapiens OX=9606 GN=JAG1 PE=1 SV=3
+MRSPRTRGRSGRPLSLLLALLCALRAKVCGASGQFELEILSMQNVNGELQNGNCCGGARNPGDRKCTRDECDTYFKVCLKEYQSRVTAGGPCSFGSGSTPVIGGNTFNLKASRGNDRNRIVLPFSFAWPRSYTLLVEAWDSSNDTVQPDSIIEKASHSGMINPSRQWQTLKQNTGVAHFEYQIRVTCDDYYYGFGCNKFCRPRDDFFGHYACDQNGNKTCMEGWMGPECNRAICRQGCSPKHGSCKLPGDCRCQYGWQGLYCDKCIPHPGCVHGICNEPWQCLCETNWGGQLCDKDLNYCGTHQPCLNGGTCSNTGPDKYQCSCPEGYSGPNCEIAEHACLSDPCHNRGSCKETSLGFECECSPGWTGPTCSTNIDDCSPNNCSHGGTCQDLVNGFKCVCPPQWTGKTCQLDANECEAKPCVNAKSCKNLIASYYCDCLPGWMGQNCDININDCLGQCQNDASCRDLVNGYRCICPPGYAGDHCERDIDECASNPCLNGGHCQNEINRFQCLCPTGFSGNLCQLDIDYCEPNPCQNGAQCYNRASDYFCKCPEDYEGKNCSHLKDHCRTTPCEVIDSCTVAMASNDTPEGVRYISSNVCGPHGKCKSQSGGKFTCDCNKGFTGTYCHENINDCESNPCRNGGTCIDGVNSYKCICSDGWEGAYCETNINDCSQNPCHNGGTCRDLVNDFYCDCKNGWKGKTCHSRDSQCDEATCNNGGTCYDEGDAFKCMCPGGWEGTTCNIARNSSCLPNPCHNGGTCVVNGESFTCVCKEGWEGPICAQNTNDCSPHPCYNSGTCVDGDNWYRCECAPGFAGPDCRININECQSSPCAFGATCVDEINGYRCVCPPGHSGAKCQEVSGRPCITMGSVIPDGAKWDDDCNTCQCLNGRIACSKVWCGPRPCLLHKGHSECPSGQSCIPILDDQCFVHPCTGVGECRSSSLQPVKTKCTSDSYYQDNCANITFTFNKEMMSPGLTTEHICSELRNLNILKNVSAEYSIYIACEPSPSANNEIHVAISAEDIRDDGNPIKEITDKIIDLVSKRDGNSSLIAAVAEVRVQRRPLKNRTDFLVPLLSSVLTVAWICCLVTAFYWCLRKRRKPGSHTHSASEDNTTNNVREQLNQIKNPIEKHGANTVPIKDYENKNSKMSKIRTHNSEVEEDDMDKHQQKARFAKQPAYTLVDREEKPPNGTPTKHPNWTNKQDNRDLESAQSLNRMEYIV
+>DECOY_sp|P78504|JAG1_HUMAN Protein jagged-1 OS=Homo sapiens OX=9606 GN=JAG1 PE=1 SV=3
+VIYEMRNLSQASELDRNDQKNTWNPHKTPTGNPPKEERDVLTYAPQKAFRAKQQHKDMDDEEVESNHTRIKSMKSNKNEYDKIPVTNAGHKEIPNKIQNLQERVNNTTNDESASHTHSGPKRRKRLCWYFATVLCCIWAVTLVSSLLPVLFDTRNKLPRRQVRVEAVAAILSSNGDRKSVLDIIKDTIEKIPNGDDRIDEASIAVHIENNASPSPECAIYISYEASVNKLINLNRLESCIHETTLGPSMMEKNFTFTINACNDQYYSDSTCKTKVPQLSSSRCEGVGTCPHVFCQDDLIPICSQGSPCESHGKHLLCPRPGCWVKSCAIRGNLCQCTNCDDDWKAGDPIVSGMTICPRGSVEQCKAGSHGPPCVCRYGNIEDVCTAGFACPSSQCENINIRCDPGAFGPACECRYWNDGDVCTGSNYCPHPSCDNTNQACIPGEWGEKCVCTFSEGNVVCTGGNHCPNPLCSSNRAINCTTGEWGGPCMCKFADGEDYCTGGNNCTAEDCQSDRSHCTKGKWGNKCDCYFDNVLDRCTGGNHCPNQSCDNINTECYAGEWGDSCICKYSNVGDICTGGNRCPNSECDNINEHCYTGTFGKNCDCTFKGGSQSKCKGHPGCVNSSIYRVGEPTDNSAMAVTCSDIVECPTTRCHDKLHSCNKGEYDEPCKCFYDSARNYCQAGNQCPNPECYDIDLQCLNGSFGTPCLCQFRNIENQCHGGNLCPNSACEDIDRECHDGAYGPPCICRYGNVLDRCSADNQCQGLCDNINIDCNQGMWGPLCDCYYSAILNKCSKANVCPKAECENADLQCTKGTWQPPCVCKFGNVLDQCTGGHSCNNPSCDDINTSCTPGTWGPSCECEFGLSTEKCSGRNHCPDSLCAHEAIECNPGSYGEPCSCQYKDPGTNSCTGGNLCPQHTGCYNLDKDCLQGGWNTECLCQWPENCIGHVCGPHPICKDCYLGQWGYQCRCDGPLKCSGHKPSCGQRCIARNCEPGMWGEMCTKNGNQDCAYHGFFDDRPRCFKNCGFGYYYDDCTVRIQYEFHAVGTNQKLTQWQRSPNIMGSHSAKEIISDPQVTDNSSDWAEVLLTYSRPWAFSFPLVIRNRDNGRSAKLNFTNGGIVPTSGSGFSCPGGATVRSQYEKLCVKFYTDCEDRTCKRDGPNRAGGCCNGNQLEGNVNQMSLIELEFQGSAGCVKARLACLLALLLSLPRGSRGRTRPSRM
+>sp|Q8N5M9|JAGN1_HUMAN Protein jagunal homolog 1 OS=Homo sapiens OX=9606 GN=JAGN1 PE=1 SV=1
+MASRAGPRAAGTDGSDFQHRERVAMHYQMSVTLKYEIKKLIYVHLVIWLLLVAKMSVGHLRLLSHDQVAMPYQWEYPYLLSILPSLLGLLSFPRNNISYLVLSMISMGLFSIAPLIYGSMEMFPAAQQLYRHGKAYRFLFGFSAVSIMYLVLVLAVQVHAWQLYYSKKLLDSWFTSTQEKKHK
+>DECOY_sp|Q8N5M9|JAGN1_HUMAN Protein jagunal homolog 1 OS=Homo sapiens OX=9606 GN=JAGN1 PE=1 SV=1
+KHKKEQTSTFWSDLLKKSYYLQWAHVQVALVLVLYMISVASFGFLFRYAKGHRYLQQAAPFMEMSGYILPAISFLGMSIMSLVLYSINNRPFSLLGLLSPLISLLYPYEWQYPMAVQDHSLLRLHGVSMKAVLLLWIVLHVYILKKIEYKLTVSMQYHMAVRERHQFDSGDTGAARPGARSAM
+>sp|P52333|JAK3_HUMAN Tyrosine-protein kinase JAK3 OS=Homo sapiens OX=9606 GN=JAK3 PE=1 SV=2
+MAPPSEETPLIPQRSCSLLSTEAGALHVLLPARGPGPPQRLSFSFGDHLAEDLCVQAAKASGILPVYHSLFALATEDLSCWFPPSHIFSVEDASTQVLLYRIRFYFPNWFGLEKCHRFGLRKDLASAILDLPVLEHLFAQHRSDLVSGRLPVGLSLKEQGECLSLAVLDLARMAREQAQRPGELLKTVSYKACLPPSLRDLIQGLSFVTRRRIRRTVRRALRRVAACQADRHSLMAKYIMDLERLDPAGAAETFHVGLPGALGGHDGLGLLRVAGDGGIAWTQGEQEVLQPFCDFPEIVDISIKQAPRVGPAGEHRLVTVTRTDNQILEAEFPGLPEALSFVALVDGYFRLTTDSQHFFCKEVAPPRLLEEVAEQCHGPITLDFAINKLKTGGSRPGSYVLRRSPQDFDSFLLTVCVQNPLGPDYKGCLIRRSPTGTFLLVGLSRPHSSLRELLATCWDGGLHVDGVAVTLTSCCIPRPKEKSNLIVVQRGHSPPTSSLVQPQSQYQLSQMTFHKIPADSLEWHENLGHGSFTKIYRGCRHEVVDGEARKTEVLLKVMDAKHKNCMESFLEAASLMSQVSYRHLVLLHGVCMAGDSTMVQEFVHLGAIDMYLRKRGHLVPASWKLQVVKQLAYALNYLEDKGLPHGNVSARKVLLAREGADGSPPFIKLSDPGVSPAVLSLEMLTDRIPWVAPECLREAQTLSLEADKWGFGATVWEVFSGVTMPISALDPAKKLQFYEDRQQLPAPKWTELALLIQQCMAYEPVQRPSFRAVIRDLNSLISSDYELLSDPTPGALAPRDGLWNGAQLYACQDPTIFEERHLKYISQLGKGNFGSVELCRYDPLGDNTGALVAVKQLQHSGPDQQRDFQREIQILKALHSDFIVKYRGVSYGPGRQSLRLVMEYLPSGCLRDFLQRHRARLDASRLLLYSSQICKGMEYLGSRRCVHRDLAARNILVESEAHVKIADFGLAKLLPLDKDYYVVREPGQSPIFWYAPESLSDNIFSRQSDVWSFGVVLYELFTYCDKSCSPSAEFLRMMGCERDVPALCRLLELLEEGQRLPAPPACPAEVHELMKLCWAPSPQDRPSFSALGPQLDMLWSGSRGCETHAFTAHPEGKHHSLSFS
+>DECOY_sp|P52333|JAK3_HUMAN Tyrosine-protein kinase JAK3 OS=Homo sapiens OX=9606 GN=JAK3 PE=1 SV=2
+SFSLSHHKGEPHATFAHTECGRSGSWLMDLQPGLASFSPRDQPSPAWCLKMLEHVEAPCAPPAPLRQGEELLELLRCLAPVDRECGMMRLFEASPSCSKDCYTFLEYLVVGFSWVDSQRSFINDSLSEPAYWFIPSQGPERVVYYDKDLPLLKALGFDAIKVHAESEVLINRAALDRHVCRRSGLYEMGKCIQSSYLLLRSADLRARHRQLFDRLCGSPLYEMVLRLSQRGPGYSVGRYKVIFDSHLAKLIQIERQFDRQQDPGSHQLQKVAVLAGTNDGLPDYRCLEVSGFNGKGLQSIYKLHREEFITPDQCAYLQAGNWLGDRPALAGPTPDSLLEYDSSILSNLDRIVARFSPRQVPEYAMCQQILLALETWKPAPLQQRDEYFQLKKAPDLASIPMTVGSFVEWVTAGFGWKDAELSLTQAERLCEPAVWPIRDTLMELSLVAPSVGPDSLKIFPPSGDAGERALLVKRASVNGHPLGKDELYNLAYALQKVVQLKWSAPVLHGRKRLYMDIAGLHVFEQVMTSDGAMCVGHLLVLHRYSVQSMLSAAELFSEMCNKHKADMVKLLVETKRAEGDVVEHRCGRYIKTFSGHGLNEHWELSDAPIKHFTMQSLQYQSQPQVLSSTPPSHGRQVVILNSKEKPRPICCSTLTVAVGDVHLGGDWCTALLERLSSHPRSLGVLLFTGTPSRRILCGKYDPGLPNQVCVTLLFSDFDQPSRRLVYSGPRSGGTKLKNIAFDLTIPGHCQEAVEELLRPPAVEKCFFHQSDTTLRFYGDVLAVFSLAEPLGPFEAELIQNDTRTVTVLRHEGAPGVRPAQKISIDVIEPFDCFPQLVEQEGQTWAIGGDGAVRLLGLGDHGGLAGPLGVHFTEAAGAPDLRELDMIYKAMLSHRDAQCAAVRRLARRVTRRIRRRTVFSLGQILDRLSPPLCAKYSVTKLLEGPRQAQERAMRALDLVALSLCEGQEKLSLGVPLRGSVLDSRHQAFLHELVPLDLIASALDKRLGFRHCKELGFWNPFYFRIRYLLVQTSADEVSFIHSPPFWCSLDETALAFLSHYVPLIGSAKAAQVCLDEALHDGFSFSLRQPPGPGRAPLLVHLAGAETSLLSCSRQPILPTEESPPAM
+>sp|P57087|JAM2_HUMAN Junctional adhesion molecule B OS=Homo sapiens OX=9606 GN=JAM2 PE=1 SV=1
+MARRSRHRLLLLLLRYLVVALGYHKAYGFSAPKDQQVVTAVEYQEAILACKTPKKTVSSRLEWKKLGRSVSFVYYQQTLQGDFKNRAEMIDFNIRIKNVTRSDAGKYRCEVSAPSEQGQNLEEDTVTLEVLVAPAVPSCEVPSSALSGTVVELRCQDKEGNPAPEYTWFKDGIRLLENPRLGSQSTNSSYTMNTKTGTLQFNTVSKLDTGEYSCEARNSVGYRRCPGKRMQVDDLNISGIIAAVVVVALVISVCGLGVCYAQRKGYFSKETSFQKSNSSSKATTMSENDFKHTKSFII
+>DECOY_sp|P57087|JAM2_HUMAN Junctional adhesion molecule B OS=Homo sapiens OX=9606 GN=JAM2 PE=1 SV=1
+IIFSKTHKFDNESMTTAKSSSNSKQFSTEKSFYGKRQAYCVGLGCVSIVLAVVVVAAIIGSINLDDVQMRKGPCRRYGVSNRAECSYEGTDLKSVTNFQLTGTKTNMTYSSNTSQSGLRPNELLRIGDKFWTYEPAPNGEKDQCRLEVVTGSLASSPVECSPVAPAVLVELTVTDEELNQGQESPASVECRYKGADSRTVNKIRINFDIMEARNKFDGQLTQQYYVFSVSRGLKKWELRSSVTKKPTKCALIAEQYEVATVVQQDKPASFGYAKHYGLAVVLYRLLLLLLRHRSRRAM
+>sp|Q6NUN7|JHY_HUMAN Jhy protein homolog OS=Homo sapiens OX=9606 GN=JHY PE=2 SV=1
+MSKRKLIPKLSIQSPVLHTNLNVQSTHPPLKKEDLHRISKDSLESDSESLTQEIMCHSEFDDRIRGNGMEPDSLDEEESPRWGSLHEMEEEASGKAAQMAREQNHHTWDQGANNRQQPIEDKYSDLRYDPNWKSKKEEGQLLSVEALPESTDSSLENLPLAPLYPSQETSMELSGGKGEQKESPQSAASLLGSEFLSPNYEHGARRSKPFSELSDSDLEEKSSSLSPYVKSSSSHNEVFLPGSRGPRRRKSKQHFVEKNKLTLGLPTPKTDSYLQLHNKKRGESHPEQISYPVRVTDKTSIQNAKEMENAAIDPEDKWHQRAQQLKNYQEHWSQYESTKSSNVPRGQPSDMVNDHQPSRRPAKLKIRKQCKHQNGLKSSTTEEVTASQGNQNNPPRQQQNQNKPLDTSTKPESIVIMHASNNDVQASRALRSHNLKETSNTFAPPKQAFDKVLSKNSTGCDSGLNVNKERGHKDQEEKRFSYQQLHTLSDMDLNNLNELSKRHVLLSQKGSQFVYHINTHGSTKNKKQLKQPYTETKYRNLEMLWKFHSSSDSQTVRASPDSWLTQIMEQHQQALVQLTDVQPSEGALSSVTLPPILSRVESESQLSSERSQRNQVKISRSNSEGYLFQLEKGKKHKKRSSSKNTKLKGYQKRDVKLGGLGPDFESIRDKTQKLIQQKEYAKQVKEYNMKTLSILSKPQTEKTQKKSAIPRQKALEYAKTIPKPKPSNLTHQASKEQKNPTYAGKEESLPEISLLEILQNRHEREKQAVAAFKVLHIV
+>DECOY_sp|Q6NUN7|JHY_HUMAN Jhy protein homolog OS=Homo sapiens OX=9606 GN=JHY PE=2 SV=1
+VIHLVKFAAVAQKEREHRNQLIELLSIEPLSEEKGAYTPNKQEKSAQHTLNSPKPKPITKAYELAKQRPIASKKQTKETQPKSLISLTKMNYEKVQKAYEKQQILKQTKDRISEFDPGLGGLKVDRKQYGKLKTNKSSSRKKHKKGKELQFLYGESNSRSIKVQNRQSRESSLQSESEVRSLIPPLTVSSLAGESPQVDTLQVLAQQHQEMIQTLWSDPSARVTQSDSSSHFKWLMELNRYKTETYPQKLQKKNKTSGHTNIHYVFQSGKQSLLVHRKSLENLNNLDMDSLTHLQQYSFRKEEQDKHGREKNVNLGSDCGTSNKSLVKDFAQKPPAFTNSTEKLNHSRLARSAQVDNNSAHMIVISEPKTSTDLPKNQNQQQRPPNNQNGQSATVEETTSSKLGNQHKCQKRIKLKAPRRSPQHDNVMDSPQGRPVNSSKTSEYQSWHEQYNKLQQARQHWKDEPDIAANEMEKANQISTKDTVRVPYSIQEPHSEGRKKNHLQLYSDTKPTPLGLTLKNKEVFHQKSKRRRPGRSGPLFVENHSSSSKVYPSLSSSKEELDSDSLESFPKSRRAGHEYNPSLFESGLLSAASQPSEKQEGKGGSLEMSTEQSPYLPALPLNELSSDTSEPLAEVSLLQGEEKKSKWNPDYRLDSYKDEIPQQRNNAGQDWTHHNQERAMQAAKGSAEEEMEHLSGWRPSEEEDLSDPEMGNGRIRDDFESHCMIEQTLSESDSELSDKSIRHLDEKKLPPHTSQVNLNTHLVPSQISLKPILKRKSM
+>sp|Q9UQF2|JIP1_HUMAN C-Jun-amino-terminal kinase-interacting protein 1 OS=Homo sapiens OX=9606 GN=MAPK8IP1 PE=1 SV=1
+MAERESGGLGGGAASPPAASPFLGLHIASPPNFRLTHDISLEEFEDEDLSEITDECGISLQCKDTLSLRPPRAGLLSAGGGGAGSRLQAEMLQMDLIDATGDTPGAEDDEEDDDEERAARRPGAGPPKAESGQEPASRGQGQSQGQSQGPGSGDTYRPKRPTTLNLFPQVPRSQDTLNNNSLGKKHSWQDRVSRSSSPLKTGEQTPPHEHICLSDELPPQSGPAPTTDRGTSTDSPCRRSTATQMAPPGGPPAAPPGGRGHSHRDRIHYQADVRLEATEEIYLTPVQRPPDAAEPTSAFLPPTESRMSVSSDPDPAAYPSTAGRPHPSISEEEEGFDCLSSPERAEPPGGGWRGSLGEPPPPPRASLSSDTSALSYDSVKYTLVVDEHAQLELVSLRPCFGDYSDESDSATVYDNCASVSSPYESAIGEEYEEAPRPQPPACLSEDSTPDEPDVHFSKKFLNVFMSGRSRSSSAESFGLFSCIINGEEQEQTHRAIFRFVPRHEDELELEVDDPLLVELQAEDYWYEAYNMRTGARGVFPAYYAIEVTKEPEHMAALAKNSDWVDQFRVKFLGSVQVPYHKGNDVLCAAMQKIATTRRLTVHFNPPSSCVLEISVRGVKIGVKADDSQEAKGNKCSHFFQLKNISFCGYHPKNNKYFGFITKHPADHRFACHVFVSEDSTKALAESVGRAFQQFYKQFVEYTCPTEDIYLE
+>DECOY_sp|Q9UQF2|JIP1_HUMAN C-Jun-amino-terminal kinase-interacting protein 1 OS=Homo sapiens OX=9606 GN=MAPK8IP1 PE=1 SV=1
+ELYIDETPCTYEVFQKYFQQFARGVSEALAKTSDESVFVHCAFRHDAPHKTIFGFYKNNKPHYGCFSINKLQFFHSCKNGKAEQSDDAKVGIKVGRVSIELVCSSPPNFHVTLRRTTAIKQMAACLVDNGKHYPVQVSGLFKVRFQDVWDSNKALAAMHEPEKTVEIAYYAPFVGRAGTRMNYAEYWYDEAQLEVLLPDDVELELEDEHRPVFRFIARHTQEQEEGNIICSFLGFSEASSSRSRGSMFVNLFKKSFHVDPEDPTSDESLCAPPQPRPAEEYEEGIASEYPSSVSACNDYVTASDSEDSYDGFCPRLSVLELQAHEDVVLTYKVSDYSLASTDSSLSARPPPPPEGLSGRWGGGPPEAREPSSLCDFGEEEESISPHPRGATSPYAAPDPDSSVSMRSETPPLFASTPEAADPPRQVPTLYIEETAELRVDAQYHIRDRHSHGRGGPPAAPPGGPPAMQTATSRRCPSDTSTGRDTTPAPGSQPPLEDSLCIHEHPPTQEGTKLPSSSRSVRDQWSHKKGLSNNNLTDQSRPVQPFLNLTTPRKPRYTDGSGPGQSQGQSQGQGRSAPEQGSEAKPPGAGPRRAAREEDDDEEDDEAGPTDGTADILDMQLMEAQLRSGAGGGGASLLGARPPRLSLTDKCQLSIGCEDTIESLDEDEFEELSIDHTLRFNPPSAIHLGLFPSAAPPSAAGGGLGGSEREAM
+>sp|Q9HDC5|JPH1_HUMAN Junctophilin-1 OS=Homo sapiens OX=9606 GN=JPH1 PE=1 SV=2
+MTGGRFDFDDGGTYCGGWEEGKAHGHGICTGPKGQGEYSGSWSHGFEVVGGYTWPSGNTYQGYWAQGKRHGLGVETKGKWMYRGEWSHGFKGRYGVRQSLCTPARYEGTWSNGLQDGYGVETYGDGGTYQGQWAGGMRHGYGVRQSVPYGMATVIRSPLRTSLASLRSEQSNGSVLHDAAAAADSPAGTRGGFVLNFHADAELAGKKKGGLFRRGSLLGSMKLRKSESKSSISSKRSSVRSDAAMSRISSSDANSTISFGDVDCDFCPVEDHVDATTTETYMGEWKNDKRNGFGVSERSNGMKYEGEWANNKRHGYGCTVFPDGSKEEGKYKNNILVRGIRKQLIPIRHTKTREKVDRAIEGAQRAAAMARTKVEIANSRTAHARAKADAADQAALAARQECDIARAVARELSPDFYQPGPDYVKQRFQEGVDAKENPEEKVPEKPPTPKESPHFYRKGTTPPRSPEASPKHSHSPASSPKPLKKQNPSSGARLNQDKRSVADEQVTAIVNKPLMSKAPTKEAGAVVPQSKYSGRHHIPNPSNGELHSQYHGYYVKLNAPQHPPVDVEDGDGSSQSSSALVHKPSANKWSPSKSVTKPVAKESKAEPKAKKSELAIPKNPASNDSCPALEKEANSGPNSIMIVLVMLLNIGLAILFVHFLT
+>DECOY_sp|Q9HDC5|JPH1_HUMAN Junctophilin-1 OS=Homo sapiens OX=9606 GN=JPH1 PE=1 SV=2
+TLFHVFLIALGINLLMVLVIMISNPGSNAEKELAPCSDNSAPNKPIALESKKAKPEAKSEKAVPKTVSKSPSWKNASPKHVLASSSQSSGDGDEVDVPPHQPANLKVYYGHYQSHLEGNSPNPIHHRGSYKSQPVVAGAEKTPAKSMLPKNVIATVQEDAVSRKDQNLRAGSSPNQKKLPKPSSAPSHSHKPSAEPSRPPTTGKRYFHPSEKPTPPKEPVKEEPNEKADVGEQFRQKVYDPGPQYFDPSLERAVARAIDCEQRAALAAQDAADAKARAHATRSNAIEVKTRAMAAARQAGEIARDVKERTKTHRIPILQKRIGRVLINNKYKGEEKSGDPFVTCGYGHRKNNAWEGEYKMGNSRESVGFGNRKDNKWEGMYTETTTADVHDEVPCFDCDVDGFSITSNADSSSIRSMAADSRVSSRKSSISSKSESKRLKMSGLLSGRRFLGGKKKGALEADAHFNLVFGGRTGAPSDAAAAADHLVSGNSQESRLSALSTRLPSRIVTAMGYPVSQRVGYGHRMGGAWQGQYTGGDGYTEVGYGDQLGNSWTGEYRAPTCLSQRVGYRGKFGHSWEGRYMWKGKTEVGLGHRKGQAWYGQYTNGSPWTYGGVVEFGHSWSGSYEGQGKPGTCIGHGHAKGEEWGGCYTGGDDFDFRGGTM
+>sp|O76095|JTB_HUMAN Protein JTB OS=Homo sapiens OX=9606 GN=JTB PE=1 SV=1
+MLAGAGRPGLPQGRHLCWLLCAFTLKLCQAEAPVQEEKLSASTSNLPCWLVEEFVVAEECSPCSNFRAKTTPECGPTGYVEKITCSSSKRNEFKSCRSALMEQRLFWKFEGAVVCVALIFACLVIIRQRQLDRKALEKVRKQIESI
+>DECOY_sp|O76095|JTB_HUMAN Protein JTB OS=Homo sapiens OX=9606 GN=JTB PE=1 SV=1
+ISEIQKRVKELAKRDLQRQRIIVLCAFILAVCVVAGEFKWFLRQEMLASRCSKFENRKSSSCTIKEVYGTPGCEPTTKARFNSCPSCEEAVVFEEVLWCPLNSTSASLKEEQVPAEAQCLKLTFACLLWCLHRGQPLGPRGAGALM
+>sp|Q5T7N3|KANK4_HUMAN KN motif and ankyrin repeat domain-containing protein 4 OS=Homo sapiens OX=9606 GN=KANK4 PE=2 SV=1
+MEKTDAKDQSSQGDEEKDPPKSHPYSVETPYGFHLDLDFLKYVDDIEKGNTIKRIPIHRRAKQAKFSTLPRNFSLPDSGARPPAAPPLQNWSPVVPREASLGTQEQNQSPPLGNAPQASTSRSEVSYHRKALLAEATRQLEAAEPEDAELTFGSGRPQLLRASSMPATLLHSRASEEPGLSLGPPAPPALPPLQGEGSVCDGTFEPAEGLAGFHSSSPRASTRIPELVQEGAEPPEGVVKVPNHLPLPGPPFSFQNVLVVLEDKEDEHNAREAEVLFTPGSPTPSPPPLPSPIPENELLLEEIELNISEIPPPPPVEVDMRSIGIRVTEESLGLARVDPGSISSLKQQVSALEGELSGRTEELAQVRTALQQQEEEIKAREQRIRELEFTVAQLEGQFHQENAKDTQGQTDVMVNTDPVHGLLTRESCDKGIEVNLLGSMESESWGHRGEENGLLWGPDGHKQGNQSPAERVLLPQLSLPQGPEQVLTSSVHSFLSTELRIEEAGTEQEGGPQGGTRGAGGFLWGSDRKTPPAGREETSSNLPGKEHPGRPPSSPTDATIGQYVKKIQELLQEQWNCLEHGYPELASAIKQPASKLSSIQSQLLSSLNLLLSAYSAQAHPPKEPPASSSSPPVEISPSTSLKSIMKKKDYGFRAGGNGTKKNLQFVGVNGGYETTSSEETSGEDSTPEDLSDSEAEKKCDGPDHKHVKDAHLTCEAGQGIPEGTCHAAQESGPGEEVPHSKAERYKPSEEFLNACRALSQHLPETGTTTDQLLRQSLNTISQEWFRVSSRKSSSPAVVASYLHEVQPHSPHFLKLLVNLADHNGNTALHYSVSHSNFSIVKLLLETGVCNVDHQNKAGYTAVMITPLASAETNEDMAVVWKLLREGNVNIQATQGGQTALMLGVSHDREDMVQALLSCQADVNLQDHDGSSALMVACHHGNVDLVRLLLAHPACDSSLTDKAGRTALSIALKSPTHMEIAGLLRAHAEQGRSLGL
+>DECOY_sp|Q5T7N3|KANK4_HUMAN KN motif and ankyrin repeat domain-containing protein 4 OS=Homo sapiens OX=9606 GN=KANK4 PE=2 SV=1
+LGLSRGQEAHARLLGAIEMHTPSKLAISLATRGAKDTLSSDCAPHALLLRVLDVNGHHCAVMLASSGDHDQLNVDAQCSLLAQVMDERDHSVGLMLATQGGQTAQINVNGERLLKWVVAMDENTEASALPTIMVATYGAKNQHDVNCVGTELLLKVISFNSHSVSYHLATNGNHDALNVLLKLFHPSHPQVEHLYSAVVAPSSSKRSSVRFWEQSITNLSQRLLQDTTTGTEPLHQSLARCANLFEESPKYREAKSHPVEEGPGSEQAAHCTGEPIGQGAECTLHADKVHKHDPGDCKKEAESDSLDEPTSDEGSTEESSTTEYGGNVGVFQLNKKTGNGGARFGYDKKKMISKLSTSPSIEVPPSSSSAPPEKPPHAQASYASLLLNLSSLLQSQISSLKSAPQKIASALEPYGHELCNWQEQLLEQIKKVYQGITADTPSSPPRGPHEKGPLNSSTEERGAPPTKRDSGWLFGGAGRTGGQPGGEQETGAEEIRLETSLFSHVSSTLVQEPGQPLSLQPLLVREAPSQNGQKHGDPGWLLGNEEGRHGWSESEMSGLLNVEIGKDCSERTLLGHVPDTNVMVDTQGQTDKANEQHFQGELQAVTFELERIRQERAKIEEEQQQLATRVQALEETRGSLEGELASVQQKLSSISGPDVRALGLSEETVRIGISRMDVEVPPPPPIESINLEIEELLLENEPIPSPLPPPSPTPSGPTFLVEAERANHEDEKDELVVLVNQFSFPPGPLPLHNPVKVVGEPPEAGEQVLEPIRTSARPSSSHFGALGEAPEFTGDCVSGEGQLPPLAPPAPPGLSLGPEESARSHLLTAPMSSARLLQPRGSGFTLEADEPEAAELQRTAEALLAKRHYSVESRSTSAQPANGLPPSQNQEQTGLSAERPVVPSWNQLPPAAPPRAGSDPLSFNRPLTSFKAQKARRHIPIRKITNGKEIDDVYKLFDLDLHFGYPTEVSYPHSKPPDKEEDGQSSQDKADTKEM
+>sp|Q9P2N6|KANL3_HUMAN KAT8 regulatory NSL complex subunit 3 OS=Homo sapiens OX=9606 GN=KANSL3 PE=1 SV=2
+MAHRGGERDFQTSARRMGTSLLFQLSVHERELDLVFLDHSYAKPWSAHPDASSARPTRMLFVTPRRQHESTIESDVPIDVETVTSTPMPLYDNQKARSVMNECERHVIFARTDADAPPPPEDWEEHVNRTGWTMAQNKLFNKILKALQSDRLARLANEGACNEPVLRRVAVDKCARRVRQALASVSWDTKLIQWLHTTLVETLSLPMLAAYLDALQTLKGKIPTLIDRMLVSSNTKTGAAGAEALSLLLKRPWDPAVGVLSHNKPSKLPGSPLILIASSGPSSSVFPTSRRHRFWQSQLSCLGKVIPVATHLLNNGSGVGVLQCLEHMIGAVRSKVLEIHSHFPHKPIILIGWNTGALVACHVSVMEYVTAVVCLGFPLLTVDGPRGDVDDPLLDMKTPVLFVIGQNSLQCHPEAMEDFREKIRAENSLVVVGGADDNLRISKAKKKSEGLTQSMVDRCIQDEIVDFLTGVLTRAEGHMGSEPRDQDAEKKKKPRDVARRDLAFEVPERGSRPASPAAKLPASPSGSEDLSSVSSSPTSSPKTKVTTVTSAQKSSQIGSSQLLKRHVQRTEAVLTHKQAQAQFAAFLKQNMLVRKALPPGTSSCLFVPISSEPPEEGEKEDLRVQLKRHHPSSPLPGSKTSKRPKIKVSLISQGDTAGGPCAPSQGSAPEAAGGKPITMTLGQASAGAKELTGLLTTAKSSSSEGGVSASPVPSVVSSSTAPSALHTLQSRLVATSPGSSLPGATSASSLLQGLSFSLQDISSKTSGLPANPSPGPAPQATSVKLPTPMQSLGAITTGTSTIVRTIPVATTLSSLGATPGGKPTAIHQLLTNGGLAKLASSLPGLAQISNQASGLKVPTTITLTLRGQPSRITTLSPMGSGAAPSEESSSQVLPSSSQRLPPAP
+>DECOY_sp|Q9P2N6|KANL3_HUMAN KAT8 regulatory NSL complex subunit 3 OS=Homo sapiens OX=9606 GN=KANSL3 PE=1 SV=2
+PAPPLRQSSSPLVQSSSEESPAAGSGMPSLTTIRSPQGRLTLTITTPVKLGSAQNSIQALGPLSSALKALGGNTLLQHIATPKGGPTAGLSSLTTAVPITRVITSTGTTIAGLSQMPTPLKVSTAQPAPGPSPNAPLGSTKSSIDQLSFSLGQLLSSASTAGPLSSGPSTAVLRSQLTHLASPATSSSVVSPVPSASVGGESSSSKATTLLGTLEKAGASAQGLTMTIPKGGAAEPASGQSPACPGGATDGQSILSVKIKPRKSTKSGPLPSSPHHRKLQVRLDEKEGEEPPESSIPVFLCSSTGPPLAKRVLMNQKLFAAFQAQAQKHTLVAETRQVHRKLLQSSGIQSSKQASTVTTVKTKPSSTPSSSVSSLDESGSPSAPLKAAPSAPRSGREPVEFALDRRAVDRPKKKKEADQDRPESGMHGEARTLVGTLFDVIEDQICRDVMSQTLGESKKKAKSIRLNDDAGGVVVLSNEARIKERFDEMAEPHCQLSNQGIVFLVPTKMDLLPDDVDGRPGDVTLLPFGLCVVATVYEMVSVHCAVLAGTNWGILIIPKHPFHSHIELVKSRVAGIMHELCQLVGVGSGNNLLHTAVPIVKGLCSLQSQWFRHRRSTPFVSSSPGSSAILILPSGPLKSPKNHSLVGVAPDWPRKLLLSLAEAGAAGTKTNSSVLMRDILTPIKGKLTQLADLYAALMPLSLTEVLTTHLWQILKTDWSVSALAQRVRRACKDVAVRRLVPENCAGENALRALRDSQLAKLIKNFLKNQAMTWGTRNVHEEWDEPPPPADADTRAFIVHRECENMVSRAKQNDYLPMPTSTVTEVDIPVDSEITSEHQRRPTVFLMRTPRASSADPHASWPKAYSHDLFVLDLEREHVSLQFLLSTGMRRASTQFDREGGRHAM
+>sp|P10644|KAP0_HUMAN cAMP-dependent protein kinase type I-alpha regulatory subunit OS=Homo sapiens OX=9606 GN=PRKAR1A PE=1 SV=1
+MESGSTAASEEARSLRECELYVQKHNIQALLKDSIVQLCTARPERPMAFLREYFERLEKEEAKQIQNLQKAGTRTDSREDEISPPPPNPVVKGRRRRGAISAEVYTEEDAASYVRKVIPKDYKTMAALAKAIEKNVLFSHLDDNERSDIFDAMFSVSFIAGETVIQQGDEGDNFYVIDQGETDVYVNNEWATSVGEGGSFGELALIYGTPRAATVKAKTNVKLWGIDRDSYRRILMGSTLRKRKMYEEFLSKVSILESLDKWERLTVADALEPVQFEDGQKIVVQGEPGDEFFIILEGSAAVLQRRSENEEFVEVGRLGPSDYFGEIALLMNRPRAATVVARGPLKCVKLDRPRFERVLGPCSDILKRNIQQYNSFVSLSV
+>DECOY_sp|P10644|KAP0_HUMAN cAMP-dependent protein kinase type I-alpha regulatory subunit OS=Homo sapiens OX=9606 GN=PRKAR1A PE=1 SV=1
+VSLSVFSNYQQINRKLIDSCPGLVREFRPRDLKVCKLPGRAVVTAARPRNMLLAIEGFYDSPGLRGVEVFEENESRRQLVAASGELIIFFEDGPEGQVVIKQGDEFQVPELADAVTLREWKDLSELISVKSLFEEYMKRKRLTSGMLIRRYSDRDIGWLKVNTKAKVTAARPTGYILALEGFSGGEGVSTAWENNVYVDTEGQDIVYFNDGEDGQQIVTEGAIFSVSFMADFIDSRENDDLHSFLVNKEIAKALAAMTKYDKPIVKRVYSAADEETYVEASIAGRRRRGKVVPNPPPPSIEDERSDTRTGAKQLNQIQKAEEKELREFYERLFAMPREPRATCLQVISDKLLAQINHKQVYLECERLSRAEESAATSGSEM
+>sp|Q92830|KAT2A_HUMAN Histone acetyltransferase KAT2A OS=Homo sapiens OX=9606 GN=KAT2A PE=1 SV=3
+MAEPSQAPTPAPAAQPRPLQSPAPAPTPTPAPSPASAPIPTPTPAPAPAPAAAPAGSTGTGGPGVGSGGAGSGGDPARPGLSQQQRASQRKAQVRGLPRAKKLEKLGVFSACKANETCKCNGWKNPKPPTAPRMDLQQPAANLSELCRSCEHPLADHVSHLENVSEDEINRLLGMVVDVENLFMSVHKEEDTDTKQVYFYLFKLLRKCILQMTRPVVEGSLGSPPFEKPNIEQGVLNFVQYKFSHLAPRERQTMFELSKMFLLCLNYWKLETPAQFRQRSQAEDVATYKVNYTRWLCYCHVPQSCDSLPRYETTHVFGRSLLRSIFTVTRRQLLEKFRVEKDKLVPEKRTLILTHFPKFLSMLEEEIYGANSPIWESGFTMPPSEGTQLVPRPASVSAAVVPSTPIFSPSMGGGSNSSLSLDSAGAEPMPGEKRTLPENLTLEDAKRLRVMGDIPMELVNEVMLTITDPAAMLGPETSLLSANAARDETARLEERRGIIEFHVIGNSLTPKANRRVLLWLVGLQNVFSHQLPRMPKEYIARLVFDPKHKTLALIKDGRVIGGICFRMFPTQGFTEIVFCAVTSNEQVKGYGTHLMNHLKEYHIKHNILYFLTYADEYAIGYFKKQGFSKDIKVPKSRYLGYIKDYEGATLMECELNPRIPYTELSHIIKKQKEIIKKLIERKQAQIRKVYPGLSCFKEGVRQIPVESVPGIRETGWKPLGKEKGKELKDPDQLYTTLKNLLAQIKSHPSAWPFMEPVKKSEAPDYYEVIRFPIDLKTMTERLRSRYYVTRKLFVADLQRVIANCREYNPPDSEYCRCASALEKFFYFKLKEGGLIDK
+>DECOY_sp|Q92830|KAT2A_HUMAN Histone acetyltransferase KAT2A OS=Homo sapiens OX=9606 GN=KAT2A PE=1 SV=3
+KDILGGEKLKFYFFKELASACRCYESDPPNYERCNAIVRQLDAVFLKRTVYYRSRLRETMTKLDIPFRIVEYYDPAESKKVPEMFPWASPHSKIQALLNKLTTYLQDPDKLEKGKEKGLPKWGTERIGPVSEVPIQRVGEKFCSLGPYVKRIQAQKREILKKIIEKQKKIIHSLETYPIRPNLECEMLTAGEYDKIYGLYRSKPVKIDKSFGQKKFYGIAYEDAYTLFYLINHKIHYEKLHNMLHTGYGKVQENSTVACFVIETFGQTPFMRFCIGGIVRGDKILALTKHKPDFVLRAIYEKPMRPLQHSFVNQLGVLWLLVRRNAKPTLSNGIVHFEIIGRREELRATEDRAANASLLSTEPGLMAAPDTITLMVENVLEMPIDGMVRLRKADELTLNEPLTRKEGPMPEAGASDLSLSSNSGGGMSPSFIPTSPVVAASVSAPRPVLQTGESPPMTFGSEWIPSNAGYIEEELMSLFKPFHTLILTRKEPVLKDKEVRFKELLQRRTVTFISRLLSRGFVHTTEYRPLSDCSQPVHCYCLWRTYNVKYTAVDEAQSRQRFQAPTELKWYNLCLLFMKSLEFMTQRERPALHSFKYQVFNLVGQEINPKEFPPSGLSGEVVPRTMQLICKRLLKFLYFYVQKTDTDEEKHVSMFLNEVDVVMGLLRNIEDESVNELHSVHDALPHECSRCLESLNAAPQQLDMRPATPPKPNKWGNCKCTENAKCASFVGLKELKKARPLGRVQAKRQSARQQQSLGPRAPDGGSGAGGSGVGPGGTGTSGAPAAAPAPAPAPTPTPIPASAPSPAPTPTPAPAPSQLPRPQAAPAPTPAQSPEAM
+>sp|Q92831|KAT2B_HUMAN Histone acetyltransferase KAT2B OS=Homo sapiens OX=9606 GN=KAT2B PE=1 SV=3
+MSEAGGAGPGGCGAGAGAGAGPGALPPQPAALPPAPPQGSPCAAAAGGSGACGPATAVAAAGTAEGPGGGGSARIAVKKAQLRSAPRAKKLEKLGVYSACKAEESCKCNGWKNPNPSPTPPRADLQQIIVSLTESCRSCSHALAAHVSHLENVSEEEMNRLLGIVLDVEYLFTCVHKEEDADTKQVYFYLFKLLRKSILQRGKPVVEGSLEKKPPFEKPSIEQGVNNFVQYKFSHLPAKERQTIVELAKMFLNRINYWHLEAPSQRRLRSPNDDISGYKENYTRWLCYCNVPQFCDSLPRYETTQVFGRTLLRSVFTVMRRQLLEQARQEKDKLPLEKRTLILTHFPKFLSMLEEEVYSQNSPIWDQDFLSASSRTSQLGIQTVINPPPVAGTISYNSTSSSLEQPNAGSSSPACKASSGLEANPGEKRKMTDSHVLEEAKKPRVMGDIPMELINEVMSTITDPAAMLGPETNFLSAHSARDEAARLEERRGVIEFHVVGNSLNQKPNKKILMWLVGLQNVFSHQLPRMPKEYITRLVFDPKHKTLALIKDGRVIGGICFRMFPSQGFTEIVFCAVTSNEQVKGYGTHLMNHLKEYHIKHDILNFLTYADEYAIGYFKKQGFSKEIKIPKTKYVGYIKDYEGATLMGCELNPRIPYTEFSVIIKKQKEIIKKLIERKQAQIRKVYPGLSCFKDGVRQIPIESIPGIRETGWKPSGKEKSKEPRDPDQLYSTLKSILQQVKSHQSAWPFMEPVKRTEAPGYYEVIRFPMDLKTMSERLKNRYYVSKKLFMADLQRVFTNCKEYNPPESEYYKCANILEKFFFSKIKEAGLIDK
+>DECOY_sp|Q92831|KAT2B_HUMAN Histone acetyltransferase KAT2B OS=Homo sapiens OX=9606 GN=KAT2B PE=1 SV=3
+KDILGAEKIKSFFFKELINACKYYESEPPNYEKCNTFVRQLDAMFLKKSVYYRNKLRESMTKLDMPFRIVEYYGPAETRKVPEMFPWASQHSKVQQLISKLTSYLQDPDRPEKSKEKGSPKWGTERIGPISEIPIQRVGDKFCSLGPYVKRIQAQKREILKKIIEKQKKIIVSFETYPIRPNLECGMLTAGEYDKIYGVYKTKPIKIEKSFGQKKFYGIAYEDAYTLFNLIDHKIHYEKLHNMLHTGYGKVQENSTVACFVIETFGQSPFMRFCIGGIVRGDKILALTKHKPDFVLRTIYEKPMRPLQHSFVNQLGVLWMLIKKNPKQNLSNGVVHFEIVGRREELRAAEDRASHASLFNTEPGLMAAPDTITSMVENILEMPIDGMVRPKKAEELVHSDTMKRKEGPNAELGSSAKCAPSSSGANPQELSSSTSNYSITGAVPPPNIVTQIGLQSTRSSASLFDQDWIPSNQSYVEEELMSLFKPFHTLILTRKELPLKDKEQRAQELLQRRMVTFVSRLLTRGFVQTTEYRPLSDCFQPVNCYCLWRTYNEKYGSIDDNPSRLRRQSPAELHWYNIRNLFMKALEVITQREKAPLHSFKYQVFNNVGQEISPKEFPPKKELSGEVVPKGRQLISKRLLKFLYFYVQKTDADEEKHVCTFLYEVDLVIGLLRNMEEESVNELHSVHAALAHSCSRCSETLSVIIQQLDARPPTPSPNPNKWGNCKCSEEAKCASYVGLKELKKARPASRLQAKKVAIRASGGGGPGEATGAAAVATAPGCAGSGGAAAACPSGQPPAPPLAAPQPPLAGPGAGAGAGAGCGGPGAGGAESM
+>sp|Q12948|FOXC1_HUMAN Forkhead box protein C1 OS=Homo sapiens OX=9606 GN=FOXC1 PE=1 SV=3
+MQARYSVSSPNSLGVVPYLGGEQSYYRAAAAAAGGGYTAMPAPMSVYSHPAHAEQYPGGMARAYGPYTPQPQPKDMVKPPYSYIALITMAIQNAPDKKITLNGIYQFIMDRFPFYRDNKQGWQNSIRHNLSLNECFVKVPRDDKKPGKGSYWTLDPDSYNMFENGSFLRRRRRFKKKDAVKDKEEKDRLHLKEPPPPGRQPPPAPPEQADGNAPGPQPPPVRIQDIKTENGTCPSPPQPLSPAAALGSGSAAAVPKIESPDSSSSSLSSGSSPPGSLPSARPLSLDGADSAPPPPAPSAPPPHHSQGFSVDNIMTSLRGSPQSAAAELSSGLLASAAASSRAGIAPPLALGAYSPGQSSLYSSPCSQTSSAGSSGGGGGGAGAAGGAGGAGTYHCNLQAMSLYAAGERGGHLQGAPGGAGGSAVDDPLPDYSLPPVTSSSSSSLSHGGGGGGGGGGQEAGHHPAAHQGRLTSWYLNQAGGDLGHLASAAAAAAAAGYPGQQQNFHSVREMFESQRIGLNNSPVNGNSSCQMAFPSSQSLYRTSGAFVYDCSKF
+>DECOY_sp|Q12948|FOXC1_HUMAN Forkhead box protein C1 OS=Homo sapiens OX=9606 GN=FOXC1 PE=1 SV=3
+FKSCDYVFAGSTRYLSQSSPFAMQCSSNGNVPSNNLGIRQSEFMERVSHFNQQQGPYGAAAAAAAASALHGLDGGAQNLYWSTLRGQHAAPHHGAEQGGGGGGGGGGHSLSSSSSSTVPPLSYDPLPDDVASGGAGGPAGQLHGGREGAAYLSMAQLNCHYTGAGGAGGAAGAGGGGGGSSGASSTQSCPSSYLSSQGPSYAGLALPPAIGARSSAAASALLGSSLEAAASQPSGRLSTMINDVSFGQSHHPPPASPAPPPPASDAGDLSLPRASPLSGPPSSGSSLSSSSSDPSEIKPVAAASGSGLAAAPSLPQPPSPCTGNETKIDQIRVPPPQPGPANGDAQEPPAPPPQRGPPPPEKLHLRDKEEKDKVADKKKFRRRRRLFSGNEFMNYSDPDLTWYSGKGPKKDDRPVKVFCENLSLNHRISNQWGQKNDRYFPFRDMIFQYIGNLTIKKDPANQIAMTILAIYSYPPKVMDKPQPQPTYPGYARAMGGPYQEAHAPHSYVSMPAPMATYGGGAAAAAARYYSQEGGLYPVVGLSNPSSVSYRAQM
+>sp|P55316|FOXG1_HUMAN Forkhead box protein G1 OS=Homo sapiens OX=9606 GN=FOXG1 PE=1 SV=2
+MLDMGDRKEVKMIPKSSFSINSLVPEAVQNDNHHASHGHHNSHHPQHHHHHHHHHHHPPPPAPQPPPPPQQQQPPPPPPPAPQPPQTRGAPAADDDKGPQQLLLPPPPPPPPAAALDGAKADGLGGKGEPGGGPGELAPVGPDEKEKGAGAGGEEKKGAGEGGKDGEGGKEGEKKNGKYEKPPFSYNALIMMAIRQSPEKRLTLNGIYEFIMKNFPYYRENKQGWQNSIRHNLSLNKCFVKVPRHYDDPGKGNYWMLDPSSDDVFIGGTTGKLRRRSTTSRAKLAFKRGARLTSTGLTFMDRAGSLYWPMSPFLSLHHPRASSTLSYNGTTSAYPSHPMPYSSVLTQNSLGNNHSFSTANGLSVDRLVNGEIPYATHHLTAAALAASVPCGLSVPCSGTYSLNPCSVNLLAGQTSYFFPHVPHPSMTSQSSTSMSARAASSSTSPQAPSTLPCESLRPSLPSFTTGLSGGLSDYFTHQNQGSSSNPLIH
+>DECOY_sp|P55316|FOXG1_HUMAN Forkhead box protein G1 OS=Homo sapiens OX=9606 GN=FOXG1 PE=1 SV=2
+HILPNSSSGQNQHTFYDSLGGSLGTTFSPLSPRLSECPLTSPAQPSTSSSAARASMSTSSQSTMSPHPVHPFFYSTQGALLNVSCPNLSYTGSCPVSLGCPVSAALAAATLHHTAYPIEGNVLRDVSLGNATSFSHNNGLSNQTLVSSYPMPHSPYASTTGNYSLTSSARPHHLSLFPSMPWYLSGARDMFTLGTSTLRAGRKFALKARSTTSRRRLKGTTGGIFVDDSSPDLMWYNGKGPDDYHRPVKVFCKNLSLNHRISNQWGQKNERYYPFNKMIFEYIGNLTLRKEPSQRIAMMILANYSFPPKEYKGNKKEGEKGGEGDKGGEGAGKKEEGGAGAGKEKEDPGVPALEGPGGGPEGKGGLGDAKAGDLAAAPPPPPPPPLLLQQPGKDDDAAPAGRTQPPQPAPPPPPPPQQQQPPPPPQPAPPPPHHHHHHHHHHHQPHHSNHHGHSAHHNDNQVAEPVLSNISFSSKPIMKVEKRDGMDLM
+>sp|O75593|FOXH1_HUMAN Forkhead box protein H1 OS=Homo sapiens OX=9606 GN=FOXH1 PE=1 SV=1
+MGPCSGSRLGPPEAESPSQPPKRRKKRYLRHDKPPYTYLAMIALVIQAAPSRRLKLAQIIRQVQAVFPFFREDYEGWKDSIRHNLSSNRCFRKVPKDPAKPQAKGNFWAVDVSLIPAEALRLQNTALCRRWQNGGARGAFAKDLGPYVLHGRPYRPPSPPPPPSEGFSIKSLLGGSGEGAPWPGLAPQSSPVPAGTGNSGEEAVPTPPLPSSERPLWPLCPLPGPTRVEGETVQGGAIGPSTLSPEPRAWPLHLLQGTAVPGGRSSGGHRASLWGQLPTSYLPIYTPNVVMPLAPPPTSCPQCPSTSPAYWGVAPETRGPPGLLCDLDALFQGVPPNKSIYDVWVSHPRDLAAPGPGWLLSWCSL
+>DECOY_sp|O75593|FOXH1_HUMAN Forkhead box protein H1 OS=Homo sapiens OX=9606 GN=FOXH1 PE=1 SV=1
+LSCWSLLWGPGPAALDRPHSVWVDYISKNPPVGQFLADLDCLLGPPGRTEPAVGWYAPSTSPCQPCSTPPPALPMVVNPTYIPLYSTPLQGWLSARHGGSSRGGPVATGQLLHLPWARPEPSLTSPGIAGGQVTEGEVRTPGPLPCLPWLPRESSPLPPTPVAEEGSNGTGAPVPSSQPALGPWPAGEGSGGLLSKISFGESPPPPPSPPRYPRGHLVYPGLDKAFAGRAGGNQWRRCLATNQLRLAEAPILSVDVAWFNGKAQPKAPDKPVKRFCRNSSLNHRISDKWGEYDERFFPFVAQVQRIIQALKLRRSPAAQIVLAIMALYTYPPKDHRLYRKKRRKPPQSPSEAEPPGLRSGSCPGM
+>sp|Q6ZQN5|FOXI2_HUMAN Forkhead box protein I2 OS=Homo sapiens OX=9606 GN=FOXI2 PE=2 SV=2
+MATYCDDLGPSSAPPGQAQATAHPPGYEPGDLGAVGGGPLLWVNAPALSPKSYASGPGPAPPYAAPSYGAPGPLLGAPGGLAGADLAWLSLSGQQELLRLVRPPYSYSALIAMAIQSAPLRKLTLSQIYQYVAGNFPFYKRSKAGWQNSIRHNLSLNDCFKKVPRDEDDPGKGNYWTLDPNCEKMFDNGNFRRKRKRRAEASAAVRSGARSVGGAEAPALEPPSAACLDLQASPSPSAPEAATCFSGFASAMSALAGGLGTFPGGLAGDFSFGRRPPTVATHAPQTLNPSPGFAPGHQTAAAGFRLSHLLYSREGTEV
+>DECOY_sp|Q6ZQN5|FOXI2_HUMAN Forkhead box protein I2 OS=Homo sapiens OX=9606 GN=FOXI2 PE=2 SV=2
+VETGERSYLLHSLRFGAAATQHGPAFGPSPNLTQPAHTAVTPPRRGFSFDGALGGPFTGLGGALASMASAFGSFCTAAEPASPSPSAQLDLCAASPPELAPAEAGGVSRAGSRVAASAEARRKRKRRFNGNDFMKECNPDLTWYNGKGPDDEDRPVKKFCDNLSLNHRISNQWGAKSRKYFPFNGAVYQYIQSLTLKRLPASQIAMAILASYSYPPRVLRLLEQQGSLSLWALDAGALGGPAGLLPGPAGYSPAAYPPAPGPGSAYSKPSLAPANVWLLPGGGVAGLDGPEYGPPHATAQAQGPPASSPGLDDCYTAM
+>sp|Q12952|FOXL1_HUMAN Forkhead box protein L1 OS=Homo sapiens OX=9606 GN=FOXL1 PE=2 SV=2
+MSHLFDPRLPALAASPMLYLYGPERPGLPLAFAPAAALAASGRAETPQKPPYSYIALIAMAIQDAPEQRVTLNGIYQFIMDRFPFYHDNRQGWQNSIRHNLSLNDCFVKVPREKGRPGKGSYWTLDPRCLDMFENGNYRRRKRKPKPGPGAPEAKRPRAETHQRSAEAQPEAGSGAGGSGPAISRLQAAPAGPSPLLDGPSPPAPLHWPGTASPNEDAGDAAQGAAAVAVGQAARTGDGPGSPLRPASRSSPKSSDKSKSFSIDSILAGKQGQKPPSGDELLGGAKPGPGGRLGASLLAASSSLRPPFNASLMLDPHVQGGFYQLGIPFLSYFPLQVPDTVLHFQ
+>DECOY_sp|Q12952|FOXL1_HUMAN Forkhead box protein L1 OS=Homo sapiens OX=9606 GN=FOXL1 PE=2 SV=2
+QFHLVTDPVQLPFYSLFPIGLQYFGGQVHPDLMLSANFPPRLSSSAALLSAGLRGGPGPKAGGLLEDGSPPKQGQKGALISDISFSKSKDSSKPSSRSAPRLPSGPGDGTRAAQGVAVAAAGQAADGADENPSATGPWHLPAPPSPGDLLPSPGAPAAQLRSIAPGSGGAGSGAEPQAEASRQHTEARPRKAEPAGPGPKPKRKRRRYNGNEFMDLCRPDLTWYSGKGPRGKERPVKVFCDNLSLNHRISNQWGQRNDHYFPFRDMIFQYIGNLTVRQEPADQIAMAILAIYSYPPKQPTEARGSAALAAAPAFALPLGPREPGYLYLMPSAALAPLRPDFLHSM
+>sp|P32314|FOXN2_HUMAN Forkhead box protein N2 OS=Homo sapiens OX=9606 GN=FOXN2 PE=1 SV=3
+MGPVIGMTPDKRAETPGAEKIAGLSQIYKMGSLPEAVDAARPKATLVDSESADDELTNLNWLHESTNLLTNFSLGSEGLPIVSPLYDIEGDDVPSFGPACYQNPEKKSATSKPPYSFSLLIYMAIEHSPNKCLPVKEIYSWILDHFPYFATAPTGWKNSVRHNLSLNKCFQKVERSHGKVNGKGSLWCVDPEYKPNLIQALKKQPFSSASSQNGSLSPHYLSSVIKQNQVRNLKESDIDAAAAMMLLNTSIEQGILECEKPLPLKTALQKKRSYGNAFHHPSAVRLQESDSLATSIDPKEDHNYSASSMAAQRCASRSSVSSLSSVDEVYEFIPKNSHVGSDGSEGFHSEEDTDVDYEDDPLGDSGYASQPCAKISEKGQSGKKMRKQTCQEIDEELKEAAGSLLHLAGIRTCLGSLISTAKTQNQKQRKK
+>DECOY_sp|P32314|FOXN2_HUMAN Forkhead box protein N2 OS=Homo sapiens OX=9606 GN=FOXN2 PE=1 SV=3
+KKRQKQNQTKATSILSGLCTRIGALHLLSGAAEKLEEDIEQCTQKRMKKGSQGKESIKACPQSAYGSDGLPDDEYDVDTDEESHFGESGDSGVHSNKPIFEYVEDVSSLSSVSSRSACRQAAMSSASYNHDEKPDISTALSDSEQLRVASPHHFANGYSRKKQLATKLPLPKECELIGQEISTNLLMMAAAADIDSEKLNRVQNQKIVSSLYHPSLSGNQSSASSFPQKKLAQILNPKYEPDVCWLSGKGNVKGHSREVKQFCKNLSLNHRVSNKWGTPATAFYPFHDLIWSYIEKVPLCKNPSHEIAMYILLSFSYPPKSTASKKEPNQYCAPGFSPVDDGEIDYLPSVIPLGESGLSFNTLLNTSEHLWNLNTLEDDASESDVLTAKPRAADVAEPLSGMKYIQSLGAIKEAGPTEARKDPTMGIVPGM
+>sp|O00409|FOXN3_HUMAN Forkhead box protein N3 OS=Homo sapiens OX=9606 GN=FOXN3 PE=1 SV=1
+MGPVMPPSKKPESSGISVSSGLSQCYGGSGFSKALQEDDDLDFSLPDIRLEEGAMEDEELTNLNWLHESKNLLKSFGESVLRSVSPVQDLDDDTPPSPAHSDMPYDARQNPNCKPPYSFSCLIFMAIEDSPTKRLPVKDIYNWILEHFPYFANAPTGWKNSVRHNLSLNKCFKKVDKERSQSIGKGSLWCIDPEYRQNLIQALKKTPYHPHPHVFNTPPTCPQAYQSTSGPPIWPGSTFFKRNGALLQDPDIDAASAMMLLNTPPEIQAGFPPGVIQNGARVLSRGLFPGVRPLPITPIGVTAAMRNGITSCRMRTESEPSCGSPVVSGDPKEDHNYSSAKSSNARSTSPTSDSISSSSSSADDHYEFATKGSQEGSEGSEGSFRSHESPSDTEEDDRKHSQKEPKDSLGDSGYASQHKKRQHFAKARKVPSDTLPLKKRRTEKPPESDDEEMKEAAGSLLHLAGIRSCLNNITNRTAKGQKEQKETTKN
+>DECOY_sp|O00409|FOXN3_HUMAN Forkhead box protein N3 OS=Homo sapiens OX=9606 GN=FOXN3 PE=1 SV=1
+NKTTEKQEKQGKATRNTINNLCSRIGALHLLSGAAEKMEEDDSEPPKETRRKKLPLTDSPVKRAKAFHQRKKHQSAYGSDGLSDKPEKQSHKRDDEETDSPSEHSRFSGESGESGEQSGKTAFEYHDDASSSSSSISDSTPSTSRANSSKASSYNHDEKPDGSVVPSGCSPESETRMRCSTIGNRMAATVGIPTIPLPRVGPFLGRSLVRAGNQIVGPPFGAQIEPPTNLLMMASAADIDPDQLLAGNRKFFTSGPWIPPGSTSQYAQPCTPPTNFVHPHPHYPTKKLAQILNQRYEPDICWLSGKGISQSREKDVKKFCKNLSLNHRVSNKWGTPANAFYPFHELIWNYIDKVPLRKTPSDEIAMFILCSFSYPPKCNPNQRADYPMDSHAPSPPTDDDLDQVPSVSRLVSEGFSKLLNKSEHLWNLNTLEEDEMAGEELRIDPLSFDLDDDEQLAKSFGSGGYCQSLGSSVSIGSSEPKKSPPMVPGM
+>sp|Q96NZ1|FOXN4_HUMAN Forkhead box protein N4 OS=Homo sapiens OX=9606 GN=FOXN4 PE=1 SV=2
+MIESDTSSIMSGIIRNSGQNHHPSPQEYRLLATTSDDDLPGDLQSLSWLTAVDVPRLQQMASGRVDLGGPCVPHPHPGALAGVADLHVGATPSPLLHGPAGMAPRGMPGLGPITGHRDSMSQFPVGGQPSSGLQDPPHLYSPATQPQFPLPPGAQQCPPVGLYGPPFGVRPPYPQPHVAVHSSQELHPKHYPKPIYSYSCLIAMALKNSKTGSLPVSEIYSFMKEHFPYFKTAPDGWKNSVRHNLSLNKCFEKVENKMSGSSRKGCLWALNLARIDKMEEEMHKWKRKDLAAIHRSMANPEELDKLISDRPESCRRPGKPGEPEAPVLTHATTVAVAHGCLAVSQLPPQPLMTLSLQSVPLHHQVQPQAHLAPDSPAPAQTPPLHALPDLSPSPLPHPAMGRAPVDFINISTDMNTEVDALDPSIMDFALQGNLWEEMKDEGFSLDTLGAFADSPLGCDLGASGLTPASGGSDQSFPDLQVTGLYTAYSTPDSVAASGTSSSSQYLGAQGNKPIALL
+>DECOY_sp|Q96NZ1|FOXN4_HUMAN Forkhead box protein N4 OS=Homo sapiens OX=9606 GN=FOXN4 PE=1 SV=2
+LLAIPKNGQAGLYQSSSSTGSAAVSDPTSYATYLGTVQLDPFSQDSGGSAPTLGSAGLDCGLPSDAFAGLTDLSFGEDKMEEWLNGQLAFDMISPDLADVETNMDTSINIFDVPARGMAPHPLPSPSLDPLAHLPPTQAPAPSDPALHAQPQVQHHLPVSQLSLTMLPQPPLQSVALCGHAVAVTTAHTLVPAEPEGPKGPRRCSEPRDSILKDLEEPNAMSRHIAALDKRKWKHMEEEMKDIRALNLAWLCGKRSSGSMKNEVKEFCKNLSLNHRVSNKWGDPATKFYPFHEKMFSYIESVPLSGTKSNKLAMAILCSYSYIPKPYHKPHLEQSSHVAVHPQPYPPRVGFPPGYLGVPPCQQAGPPLPFQPQTAPSYLHPPDQLGSSPQGGVPFQSMSDRHGTIPGLGPMGRPAMGAPGHLLPSPTAGVHLDAVGALAGPHPHPVCPGGLDVRGSAMQQLRPVDVATLWSLSQLDGPLDDDSTTALLRYEQPSPHHNQGSNRIIGSMISSTDSEIM
+>sp|Q9BZS1|FOXP3_HUMAN Forkhead box protein P3 OS=Homo sapiens OX=9606 GN=FOXP3 PE=1 SV=1
+MPNPRPGKPSAPSLALGPSPGASPSWRAAPKASDLLGARGPGGTFQGRDLRGGAHASSSSLNPMPPSQLQLPTLPLVMVAPSGARLGPLPHLQALLQDRPHFMHQLSTVDAHARTPVLQVHPLESPAMISLTPPTTATGVFSLKARPGLPPGINVASLEWVSREPALLCTFPNPSAPRKDSTLSAVPQSSYPLLANGVCKWPGCEKVFEEPEDFLKHCQADHLLDEKGRAQCLLQREMVQSLEQQLVLEKEKLSAMQAHLAGKMALTKASSVASSDKGSCCIVAAGSQGPVVPAWSGPREAPDSLFAVRRHLWGSHGNSTFPEFLHNMDYFKFHNMRPPFTYATLIRWAILEAPEKQRTLNEIYHWFTRMFAFFRNHPATWKNAIRHNLSLHKCFVRVESEKGAVWTVDELEFRKKRSQRPSRCSNPTPGP
+>DECOY_sp|Q9BZS1|FOXP3_HUMAN Forkhead box protein P3 OS=Homo sapiens OX=9606 GN=FOXP3 PE=1 SV=1
+PGPTPNSCRSPRQSRKKRFELEDVTWVAGKESEVRVFCKHLSLNHRIANKWTAPHNRFFAFMRTFWHYIENLTRQKEPAELIAWRILTAYTFPPRMNHFKFYDMNHLFEPFTSNGHSGWLHRRVAFLSDPAERPGSWAPVVPGQSGAAVICCSGKDSSAVSSAKTLAMKGALHAQMASLKEKELVLQQELSQVMERQLLCQARGKEDLLHDAQCHKLFDEPEEFVKECGPWKCVGNALLPYSSQPVASLTSDKRPASPNPFTCLLAPERSVWELSAVNIGPPLGPRAKLSFVGTATTPPTLSIMAPSELPHVQLVPTRAHADVTSLQHMFHPRDQLLAQLHPLPGLRAGSPAVMVLPLTPLQLQSPPMPNLSSSSAHAGGRLDRGQFTGGPGRAGLLDSAKPAARWSPSAGPSPGLALSPASPKGPRPNPM
+>sp|P25089|FPR3_HUMAN N-formyl peptide receptor 3 OS=Homo sapiens OX=9606 GN=FPR3 PE=2 SV=2
+METNFSIPLNETEEVLPEPAGHTVLWIFSLLVHGVTFVFGVLGNGLVIWVAGFRMTRTVNTICYLNLALADFSFSAILPFRMVSVAMREKWPFGSFLCKLVHVMIDINLFVSVYLITIIALDRCICVLHPAWAQNHRTMSLAKRVMTGLWIFTIVLTLPNFIFWTTISTTNGDTYCIFNFAFWGDTAVERLNVFITMAKVFLILHFIIGFSVPMSIITVCYGIIAAKIHRNHMIKSSRPLRVFAAVVASFFICWFPYELIGILMAVWLKEMLLNGKYKIILVLINPTSSLAFFNSCLNPILYVFMGRNFQERLIRSLPTSLERALTEVPDSAQTSNTDTTSASPPEETELQAM
+>DECOY_sp|P25089|FPR3_HUMAN N-formyl peptide receptor 3 OS=Homo sapiens OX=9606 GN=FPR3 PE=2 SV=2
+MAQLETEEPPSASTTDTNSTQASDPVETLARELSTPLSRILREQFNRGMFVYLIPNLCSNFFALSSTPNILVLIIKYKGNLLMEKLWVAMLIGILEYPFWCIFFSAVVAAFVRLPRSSKIMHNRHIKAAIIGYCVTIISMPVSFGIIFHLILFVKAMTIFVNLREVATDGWFAFNFICYTDGNTTSITTWFIFNPLTLVITFIWLGTMVRKALSMTRHNQAWAPHLVCICRDLAIITILYVSVFLNIDIMVHVLKCLFSGFPWKERMAVSVMRFPLIASFSFDALALNLYCITNVTRTMRFGAVWIVLGNGLVGFVFTVGHVLLSFIWLVTHGAPEPLVEETENLPISFNTEM
+>sp|Q5H8C1|FREM1_HUMAN FRAS1-related extracellular matrix protein 1 OS=Homo sapiens OX=9606 GN=FREM1 PE=1 SV=3
+MNSLSWGAANAVLLLLLLAWASPTFISINRGVRVMKGHSAFLSGDDLKFAIPKEKDACKVEVVMNEPITQRVGKLTPQVFDCHFLPNEVKYVHNGCPILDEDTVKLRLYRFTERDTFIETFILWVYLLEPDCNIIHMSNNVLEVPEFNGLSQAIDKNLLRFDYDRMASLECTVSLDTARTRLPAHGQMVLGEPRPEEPRGDQPHSFFPESQLRAKLKCPGGSCTPGLKKIGSLKVSCEEFLLMGLRYQHLDPPSPNIDYISIQLDLTDTRSKIVYKSESAWLPVYIRAGIPNQIPKAAFMAVFILEVDQFILTSLTTSVLDCEEDETPKPLLVFNITKAPLQGYVTHLLDHTRPISSFTWKDLSDMQIAYQPPNSSHSERRHDEVELEVYDFFFERSAPMTVHISIRTADTNAPRVSWNTGLSLLEGQSRAITWEQFQVVDNDDIGAVRLVTVGGLQHGWLTLRGGKGFLFTVADLQAGVVRYHHDDSDSTKDFVVFRIFDGHHSIRHKFPINVLPKDDSPPFLITNVVIELEEGQTILIQGSMLRASDVDASDDYIFFNITKPPQAGEIMKKPGPGLIGYPVHGFLQRDLFNGIIYYRHFGGEIFEDSFQFVLWDSHEPPNLSVPQVATIHITPVDDQLPKEAPGVSRHLVVKETEVAYITKKQLHFIDSESYDRELVYTITTPPFFSFSHRHLDAGKLFMVDSIPKVVKNPTALELRSFTQHAVNYMKVAYMPPMQDIGPHCRDVQFTFSVSNQHGGTLHGICFNITILPVDNQVPEAFTNPLKVTEGGQSIISTEHILISDADTKLDNIDLSLRELPLHGRVELNGFPLNSGGTFSWGDLHTLKVRYQHDGTEVLQDDLLLEVTDGTNSAEFVLHVEVFPVNDEPPVLKADLMPVMNCSEGGEVVITSEYIFATDVDSDNLKLMFVIAREPQHGVVRRAGVTVDQFSQRDVISEAVTYKHTGGEIGLMPCFDTITLVVSDGEAGPFVNGCCYNGPNPSVPLHASFPVYDLNITVYPVDNQPPSIAIGPVFVVDEGCSTALTVNHLSATDPDTAADDLEFVLVSPPQFGYLENILPSVGFEKSNIGISIDSFQWKDMNAFHINYVQSRHLRIEPTADQFTVYVTDGKHHSLEIPFSIIINPTNDEAPDFVVQNITVCEGQMKELDSSIISAVDLDIPQDALLFSITQKPRHGLLIDRGFSKDFSENKQPANPHQKHAPVHSFSMELLKTGMRLTYMHDDSESLADDFTIQLSDGKHKILKTISVEVIPVNDEKPMLSKKAEIAMNMGETRIISSAILSAIDEDSPREKIYYVFERLPQNGQLQLKIGRDWVPLSPGMKCTQEEVDLNLLRYTHTGAMDSQNQDSFTFYLWDGNNRSPALDCQITIKDMEKGDIVILTKPLVVSKGDRGFLTTTTLLAVDGTDKPEELLYVITSPPRYGQIEYVHYPGVPITNFSQMDVVGQTVCYVHKSKVTVSSDRFRFIISNGLRTEHGVFEITLETVDRALPVVTRNKGLRLAQGAVGLLSPDLLQLTDPDTPAENLTFLLVQLPQHGQLYLWGTGLLQHNFTQQDVDSKNVAYRHSGGDSQTDCFTFMATDGTNQGFIVNGRVWEEPVLFTIQVDQLDKTAPRITLLHSPSQVGLLKNGCYGIYITSRVLKASDPDTEDDQIIFKILQGPKHGHLENTTTGEFIHEKFSQKDLNSKTILYIINPSLEVNSDTVEFQIMDPTGNSATPQILELKWSHIEWSQTEYEVCENVGLLPLEIIRRGYSMDSAFVGIKVNQVSAAVGKDFTVIPSKLIQFDPGMSTKMWNIAITYDGLEEDDEVFEVILNSPVNAVLGTKTKAAVKILDSKGGQCHPSYSSNQSKHSTWEKGIWHLLPPGSSSSTTSGSFHLERRPLPSSMQLAVIRGDTLRGFDSTDLSQRKLRTRGNGKTVRPSSVYRNGTDIIYNYHGIVSLKLEDDSFPTHKRKAKVSIISQPQKTIKVAELPQADKVESTTDSHFPRQDQLPSFPKNCTLELKGLFHFEEGIQKLYQCNGIAWKAWSPQTKDVEDKSCPAGWHQHSGYCHILITEQKGTWNAAAQACREQYLGNLVTVFSRQHMRWLWDIGGRKSFWIGLNDQVHAGHWEWIGGEPVAFTNGRRGPSQRSKLGKSCVLVQRQGKWQTKDCRRAKPHNYVCSRKL
+>DECOY_sp|Q5H8C1|FREM1_HUMAN FRAS1-related extracellular matrix protein 1 OS=Homo sapiens OX=9606 GN=FREM1 PE=1 SV=3
+LKRSCVYNHPKARRCDKTQWKGQRQVLVCSKGLKSRQSPGRRGNTFAVPEGGIWEWHGAHVQDNLGIWFSKRGGIDWLWRMHQRSFVTVLNGLYQERCAQAAANWTGKQETILIHCYGSHQHWGAPCSKDEVDKTQPSWAKWAIGNCQYLKQIGEEFHFLGKLELTCNKPFSPLQDQRPFHSDTTSEVKDAQPLEAVKITKQPQSIISVKAKRKHTPFSDDELKLSVIGHYNYIIDTGNRYVSSPRVTKGNGRTRLKRQSLDTSDFGRLTDGRIVALQMSSPLPRRELHFSGSTTSSSSGPPLLHWIGKEWTSHKSQNSSYSPHCQGGKSDLIKVAAKTKTGLVANVPSNLIVEFVEDDEELGDYTIAINWMKTSMGPDFQILKSPIVTFDKGVAASVQNVKIGVFASDMSYGRRIIELPLLGVNECVEYETQSWEIHSWKLELIQPTASNGTPDMIQFEVTDSNVELSPNIIYLITKSNLDKQSFKEHIFEGTTTNELHGHKPGQLIKFIIQDDETDPDSAKLVRSTIYIGYCGNKLLGVQSPSHLLTIRPATKDLQDVQITFLVPEEWVRGNVIFGQNTGDTAMFTFCDTQSDGGSHRYAVNKSDVDQQTFNHQLLGTGWLYLQGHQPLQVLLFTLNEAPTDPDTLQLLDPSLLGVAGQALRLGKNRTVVPLARDVTELTIEFVGHETRLGNSIIFRFRDSSVTVKSKHVYCVTQGVVDMQSFNTIPVGPYHVYEIQGYRPPSTIVYLLEEPKDTGDVALLTTTTLFGRDGKSVVLPKTLIVIDGKEMDKITIQCDLAPSRNNGDWLYFTFSDQNQSDMAGTHTYRLLNLDVEEQTCKMGPSLPVWDRGIKLQLQGNQPLREFVYYIKERPSDEDIASLIASSIIRTEGMNMAIEAKKSLMPKEDNVPIVEVSITKLIKHKGDSLQITFDDALSESDDHMYTLRMGTKLLEMSFSHVPAHKQHPNAPQKNESFDKSFGRDILLGHRPKQTISFLLADQPIDLDVASIISSDLEKMQGECVTINQVVFDPAEDNTPNIIISFPIELSHHKGDTVYVTFQDATPEIRLHRSQVYNIHFANMDKWQFSDISIGINSKEFGVSPLINELYGFQPPSVLVFELDDAATDPDTASLHNVTLATSCGEDVVFVPGIAISPPQNDVPYVTINLDYVPFSAHLPVSPNPGNYCCGNVFPGAEGDSVVLTITDFCPMLGIEGGTHKYTVAESIVDRQSFQDVTVGARRVVGHQPERAIVFMLKLNDSDVDTAFIYESTIVVEGGESCNMVPMLDAKLVPPEDNVPFVEVHLVFEASNTGDTVELLLDDQLVETGDHQYRVKLTHLDGWSFTGGSNLPFGNLEVRGHLPLERLSLDINDLKTDADSILIHETSIISQGGETVKLPNTFAEPVQNDVPLITINFCIGHLTGGHQNSVSFTFQVDRCHPGIDQMPPMYAVKMYNVAHQTFSRLELATPNKVVKPISDVMFLKGADLHRHSFSFFPPTTITYVLERDYSESDIFHLQKKTIYAVETEKVVLHRSVGPAEKPLQDDVPTIHITAVQPVSLNPPEHSDWLVFQFSDEFIEGGFHRYYIIGNFLDRQLFGHVPYGILGPGPKKMIEGAQPPKTINFFIYDDSADVDSARLMSGQILITQGEELEIVVNTILFPPSDDKPLVNIPFKHRISHHGDFIRFVVFDKTSDSDDHHYRVVGAQLDAVTFLFGKGGRLTLWGHQLGGVTVLRVAGIDDNDVVQFQEWTIARSQGELLSLGTNWSVRPANTDATRISIHVTMPASREFFFDYVELEVEDHRRESHSSNPPQYAIQMDSLDKWTFSSIPRTHDLLHTVYGQLPAKTINFVLLPKPTEDEECDLVSTTLSTLIFQDVELIFVAMFAAKPIQNPIGARIYVPLWASESKYVIKSRTDTLDLQISIYDINPSPPDLHQYRLGMLLFEECSVKLSGIKKLGPTCSGGPCKLKARLQSEPFFSHPQDGRPEEPRPEGLVMQGHAPLRTRATDLSVTCELSAMRDYDFRLLNKDIAQSLGNFEPVELVNNSMHIINCDPELLYVWLIFTEIFTDRETFRYLRLKVTDEDLIPCGNHVYKVENPLFHCDFVQPTLKGVRQTIPENMVVEVKCADKEKPIAFKLDDGSLFASHGKMVRVGRNISIFTPSAWALLLLLLVANAAGWSLSNM
+>sp|P0C091|FREM3_HUMAN FRAS1-related extracellular matrix protein 3 OS=Homo sapiens OX=9606 GN=FREM3 PE=3 SV=2
+MAGASRHPTGTPRQLLVALACLLLSRPALQGRASSLGTEPDPALYLPARGALDGTRPDGPSVLIANPGLRVPLGRSLWLDPLRDLVIGVQPGDRCEVTVLDALPRLKGALSPRRFPCTFGPRQVQYTHFGSHSPGRARVLLQLRYDAPTHTLVLPFTLAVDLVFSQLELVTRNRPLVVEKLRSWSRAIDRRVLDFASLKSGATATRRCRLTPLPHEDGPLPKYGRLVDAVGAPLPRGKGVDCEAFLRAGVRYQHTATSSPNRDYVPMMVELLGPEGQDAGSAGVLVREHFQLLVRIRGGAENTPPRPSFMATMMMEVDPLVLTALTPDALAAEDVESDPGDLVFNILNAPTHPPGHPGQQGYVVSTDDPLGLPVSFFTQQELRELKIAYQPPAENSHGERLFQLELEVVDGDGAASDPFAFMVTVKSMNTLVPVASHNRGLVLFEGQSRPLSSTHSIPISDKDNLEEVKMAAVRGLRHGQLVVFGAPAGCKYFTPADLAAGRVVYQHDGSNTYSDNIIFRMEDGHHQVDFLFPLTILPVDDEPPMVNTNTGLSLTEGQVVQISPFVLSATDIDSEDSTIHFVLENQPLKGNEEEPQWELAPGSSHSGHYLGDLLLQQAELPLSTEDEDWHYMEKEGLYEKVVTEWLQRDIMEGRLFYRHLGPHSPQSVMVQLAFHVQDDHDPPNLSKQHIFTIKVQPVDILSPQLYPGTTLEMTVQEYQLTHFQKNFLRYIDQDSDDQNLWYTLLTLPTDTDGNHQVRAGEIVLTDSPDTLIMHFTQAQVNQHKVAYQPPQKLGIAPRVVQFTYQVEDAAGNSVPGTFTLFLQPVDNQPPEVTNRGFAILEGGSFNLSSNELHVTDPDTDIDQIVFILVRGPQHGHLQYFKRCMVPGESFMQADVINGSVSYQHGRDQTTTSDTFHLEVSDGVHHIPITIPISVHPNVANRSPRISLRSSSLLDVSIDVLENKATEITMGVIHGKRKDVGDLMLSFIVKDSPKLGTILVNGLPTERFTQEDLINGRVAYAHTAGEVGFQKQHDAFSLILSKDSYQWVVGNSIIEKVQVQVTVLPVDNVGPKVFVGESFIVYEGEKNSLTLQHLHVEDVDTHQDELLCTVTSQPASGYLEKIASAPGSKMSQSGSPISAFSLRDIQVRHINYVQSIHKGVEPQEDQFTFYCSDGINFSPNVFFPIIILPTNDEQPKLFAHEFKVLEGMSLVIDTQLLNGADADLPPNELHFQLTALPRHGRIIQQLATGSQPIHSFTLKEIQEASTIVYEHDDSETKEDSFEVWLSDGKHTTHRKVPIVVTLVDDETPHLTVNNGLKVEKGHSEIITNRILKATDLDSDDKSLSFVLHSGPQQGLLQRLRKPRGEVRNNLTLGMNFTQDEINRGLICYIHTGQEGIVDIIKFDVTDGVNTLTDHYFYVTIGNLDSVFPEVISKRITLIEGARVTLTNNLLTNSDINSSDEHHFSITRAPSLGHLESSDYAGEPIASFTQLQLASNKISYVHTSNDEKKMDSFEFQVIGELYPVFRTFRIFITDVDNKKPILTIHRLTLQKEDSQLITLLELTVEDSDTPDDLILFTITQVPMHGKILYNGSRPVTTFTKQDLNKNLISYKHDGSETTEDSFSLTVTDGTHTDFYVLPDTALATHKPQVMRVQIRSLDNRLPQITTNRGAPALKRLHTGHMGFLITSKSLKAEDQDSPHRLLKYKVTRGPEHGFIIKTGLGNQSTRVFTQADIDEMKISYVLNEGSNASKDIFYFSVEDNGGNKLTNQPFHLNWAWICLEKEYYIVDEDSTFLEVTLTRRGYLGETSFISIGTKDETAKKDKDFKWKTNKQIQFNPGQTTATWRVRIIPDNEYETSETFQIILSEPLMAVLEFPEMATVEIVDPGDESTVYIPEAEYKIEEDIGELLIPVRRSGDASQELIVICSTRQGSATGTISSTVLFSDYISRPEDHTSILHFDKNETQKTCQVLIIDDSLYEEEESFSVSLRLPVGGQLGARFPTTKVTILADRYDEPVLHFGDAEYHVNESARYVEVCVWRRGTDLSQPSSIAVRSRKSEQESAEAGTDYVGISRNLDFAPGVRMQTFQVTILDDLGQPTLEGPEKFELLLQMPMGAVLGEPNKTTIFIEDTITDCKQSACSSFD
+>DECOY_sp|P0C091|FREM3_HUMAN FRAS1-related extracellular matrix protein 3 OS=Homo sapiens OX=9606 GN=FREM3 PE=3 SV=2
+DFSSCASQKCDTITDEIFITTKNPEGLVAGMPMQLLLEFKEPGELTPQGLDDLITVQFTQMRVGPAFDLNRSIGVYDTGAEASEQESKRSRVAISSPQSLDTGRRWVCVEVYRASENVHYEADGFHLVPEDYRDALITVKTTPFRAGLQGGVPLRLSVSFSEEEEYLSDDIILVQCTKQTENKDFHLISTHDEPRSIYDSFLVTSSITGTASGQRTSCIVILEQSADGSRRVPILLEGIDEEIKYEAEPIYVTSEDGPDVIEVTAMEPFELVAMLPESLIIQFTESTEYENDPIIRVRWTATTQGPNFQIQKNTKWKFDKDKKATEDKTGISIFSTEGLYGRRTLTVELFTSDEDVIYYEKELCIWAWNLHFPQNTLKNGGNDEVSFYFIDKSANSGENLVYSIKMEDIDAQTFVRTSQNGLGTKIIFGHEPGRTVKYKLLRHPSDQDEAKLSKSTILFGMHGTHLRKLAPAGRNTTIQPLRNDLSRIQVRMVQPKHTALATDPLVYFDTHTGDTVTLSFSDETTESGDHKYSILNKNLDQKTFTTVPRSGNYLIKGHMPVQTITFLILDDPTDSDEVTLELLTILQSDEKQLTLRHITLIPKKNDVDTIFIRFTRFVPYLEGIVQFEFSDMKKEDNSTHVYSIKNSALQLQTFSAIPEGAYDSSELHGLSPARTISFHHEDSSNIDSNTLLNNTLTVRAGEILTIRKSIVEPFVSDLNGITVYFYHDTLTNVGDTVDFKIIDVIGEQGTHIYCILGRNIEDQTFNMGLTLNNRVEGRPKRLRQLLGQQPGSHLVFSLSKDDSDLDTAKLIRNTIIESHGKEVKLGNNVTLHPTEDDVLTVVIPVKRHTTHKGDSLWVEFSDEKTESDDHEYVITSAEQIEKLTFSHIPQSGTALQQIIRGHRPLATLQFHLENPPLDADAGNLLQTDIVLSMGELVKFEHAFLKPQEDNTPLIIIPFFVNPSFNIGDSCYFTFQDEQPEVGKHISQVYNIHRVQIDRLSFASIPSGSQSMKSGPASAIKELYGSAPQSTVTCLLEDQHTDVDEVHLHQLTLSNKEGEYVIFSEGVFVKPGVNDVPLVTVQVQVKEIISNGVVWQYSDKSLILSFADHQKQFGVEGATHAYAVRGNILDEQTFRETPLGNVLITGLKPSDKVIFSLMLDGVDKRKGHIVGMTIETAKNELVDISVDLLSSSRLSIRPSRNAVNPHVSIPITIPIHHVGDSVELHFTDSTTTQDRGHQYSVSGNIVDAQMFSEGPVMCRKFYQLHGHQPGRVLIFVIQDIDTDPDTVHLENSSLNFSGGELIAFGRNTVEPPQNDVPQLFLTFTGPVSNGAADEVQYTFQVVRPAIGLKQPPQYAVKHQNVQAQTFHMILTDPSDTLVIEGARVQHNGDTDTPLTLLTYWLNQDDSDQDIYRLFNKQFHTLQYEQVTMELTTGPYLQPSLIDVPQVKITFIHQKSLNPPDHDDQVHFALQVMVSQPSHPGLHRYFLRGEMIDRQLWETVVKEYLGEKEMYHWDEDETSLPLEAQQLLLDGLYHGSHSSGPALEWQPEEENGKLPQNELVFHITSDESDIDTASLVFPSIQVVQGETLSLGTNTNVMPPEDDVPLITLPFLFDVQHHGDEMRFIINDSYTNSGDHQYVVRGAALDAPTFYKCGAPAGFVVLQGHRLGRVAAMKVEELNDKDSIPISHTSSLPRSQGEFLVLGRNHSAVPVLTNMSKVTVMFAFPDSAAGDGDVVELELQFLREGHSNEAPPQYAIKLERLEQQTFFSVPLGLPDDTSVVYGQQGPHGPPHTPANLINFVLDGPDSEVDEAALADPTLATLVLPDVEMMMTAMFSPRPPTNEAGGRIRVLLQFHERVLVGASGADQGEPGLLEVMMPVYDRNPSSTATHQYRVGARLFAECDVGKGRPLPAGVADVLRGYKPLPGDEHPLPTLRCRRTATAGSKLSAFDLVRRDIARSWSRLKEVVLPRNRTVLELQSFVLDVALTFPLVLTHTPADYRLQLLVRARGPSHSGFHTYQVQRPGFTCPFRRPSLAGKLRPLADLVTVECRDGPQVGIVLDRLPDLWLSRGLPVRLGPNAILVSPGDPRTGDLAGRAPLYLAPDPETGLSSARGQLAPRSLLLCALAVLLQRPTGTPHRSAGAM
+>sp|A6NGY1|FRG2C_HUMAN Protein FRG2-like-2 OS=Homo sapiens OX=9606 GN=FRG2C PE=3 SV=1
+MGKGNEDPDLHCSSIQCSTDQPPFQQISFTEKGSDEKKPFKGKGKTAFSHSSEKHTQRQAGSDPNPNKENSEETKLKAGNSTAGSEPESSSYQENCRKRKISSKDICQDRAGNCPEEECNLTLNKKSRSSTAVHNSEIQETCDAHHRGSSRACTGRSKRHRSRALEVQTPSLRKSLVTSVRAMSEAVYQDLAQVWAQQIHSPLTCEQLTLLTRLRGPLCAQVQTLYSMATQAAYVFPAESWLVPATLPGPGDSALDREAHPFPGQEITEPVSGSDEAKLGAP
+>DECOY_sp|A6NGY1|FRG2C_HUMAN Protein FRG2-like-2 OS=Homo sapiens OX=9606 GN=FRG2C PE=3 SV=1
+PAGLKAEDSGSVPETIEQGPFPHAERDLASDGPGPLTAPVLWSEAPFVYAAQTAMSYLTQVQACLPGRLRTLLTLQECTLPSHIQQAWVQALDQYVAESMARVSTVLSKRLSPTQVELARSRHRKSRGTCARSSGRHHADCTEQIESNHVATSSRSKKNLTLNCEEEPCNGARDQCIDKSSIKRKRCNEQYSSSEPESGATSNGAKLKTEESNEKNPNPDSGAQRQTHKESSHSFATKGKGKFPKKEDSGKETFSIQQFPPQDTSCQISSCHLDPDENGKGM
+>sp|O95876|FRITZ_HUMAN WD repeat-containing and planar cell polarity effector protein fritz homolog OS=Homo sapiens OX=9606 GN=WDPCP PE=1 SV=2
+MRREFCWDAYSKAAGSRASSPLPRQDRDSFCHQMSFCLTELHLWSLKNTLHIADRDIGIYQYYDKKDPPATEHGNLEKKQKLAESRDYPWTLKNRRPEKLRDSLKELEELMQNSRCVLSKWKNKYVCQLLFGSGVLVSLSLSGPQLEKVVIDRSLVGKLISDTISDALLTDSFIILSFLAQNKLCFIQFTKKMESSDVNKRLEKLSALDYKIFYYEIPGPINKTTERHLAINCVHDRVVCWWPLVNDDAWPWAPISSEKDRANLLLLGYAQGRLEVLSSVRTEWDPLDVRFGTKQPYQVFTVEHSVSVDKEPMADSCIYECIRNKIQCVSVTRIPLKSKAISCCRNVTEDKLILGCEDSSLILYETHRRVTLLAQTELLPSLISCHPSGAILLVGSNQGELQIFDMALSPINIQLLAEDRLPRETLQFSKLFDASSSLVQMQWIAPQVVSQKGEGSDIYDLLFLRFERGPLGVLLFKLGVFTRGQLGLIDIIFQYIHCDEIYEAINILSSMNWDTLGHQCFISMSAIVNHLLRQKLTPEREAQLETSLGTFYAPTRPLLDSTILEYRDQISKYARRFFHHLLRYQRFEKAFLLAVDVGARDLFMDIHYLALDKGELALAEVARKRASDIDAESITSGVELLGPLDRGDMLNEAFIGLSLAPQGEDSFPDNLPPSCPTHRHILQQRILNGSSNRQIIDRRNELEKDICSGFLMTNTCNAEDGELREDGREQEIRDGGSLKMIHFGLV
+>DECOY_sp|O95876|FRITZ_HUMAN WD repeat-containing and planar cell polarity effector protein fritz homolog OS=Homo sapiens OX=9606 GN=WDPCP PE=1 SV=2
+VLGFHIMKLSGGDRIEQERGDERLEGDEANCTNTMLFGSCIDKELENRRDIIQRNSSGNLIRQQLIHRHTPCSPPLNDPFSDEGQPALSLGIFAENLMDGRDLPGLLEVGSTISEADIDSARKRAVEALALEGKDLALYHIDMFLDRAGVDVALLFAKEFRQYRLLHHFFRRAYKSIQDRYELITSDLLPRTPAYFTGLSTELQAEREPTLKQRLLHNVIASMSIFCQHGLTDWNMSSLINIAEYIEDCHIYQFIIDILGLQGRTFVGLKFLLVGLPGREFRLFLLDYIDSGEGKQSVVQPAIWQMQVLSSSADFLKSFQLTERPLRDEALLQINIPSLAMDFIQLEGQNSGVLLIAGSPHCSILSPLLETQALLTVRRHTEYLILSSDECGLILKDETVNRCCSIAKSKLPIRTVSVCQIKNRICEYICSDAMPEKDVSVSHEVTFVQYPQKTGFRVDLPDWETRVSSLVELRGQAYGLLLLNARDKESSIPAWPWADDNVLPWWCVVRDHVCNIALHRETTKNIPGPIEYYFIKYDLASLKELRKNVDSSEMKKTFQIFCLKNQALFSLIIFSDTLLADSITDSILKGVLSRDIVVKELQPGSLSLSVLVGSGFLLQCVYKNKWKSLVCRSNQMLEELEKLSDRLKEPRRNKLTWPYDRSEALKQKKELNGHETAPPDKKDYYQYIGIDRDAIHLTNKLSWLHLETLCFSMQHCFSDRDQRPLPSSARSGAAKSYADWCFERRM
+>sp|P42685|FRK_HUMAN Tyrosine-protein kinase FRK OS=Homo sapiens OX=9606 GN=FRK PE=1 SV=1
+MSNICQRLWEYLEPYLPCLSTEADKSTVIENPGALCSPQSQRHGHYFVALFDYQARTAEDLSFRAGDKLQVLDTLHEGWWFARHLEKRRDGSSQQLQGYIPSNYVAEDRSLQAEPWFFGAIGRSDAEKQLLYSENKTGSFLIRESESQKGEFSLSVLDGAVVKHYRIKRLDEGGFFLTRRRIFSTLNEFVSHYTKTSDGLCVKLGKPCLKIQVPAPFDLSYKTVDQWEIDRNSIQLLKRLGSGQFGEVWEGLWNNTTPVAVKTLKPGSMDPNDFLREAQIMKNLRHPKLIQLYAVCTLEDPIYIITELMRHGSLQEYLQNDTGSKIHLTQQVDMAAQVASGMAYLESRNYIHRDLAARNVLVGEHNIYKVADFGLARVFKVDNEDIYESRHEIKLPVKWTAPEAIRSNKFSIKSDVWSFGILLYEIITYGKMPYSGMTGAQVIQMLAQNYRLPQPSNCPQQFYNIMLECWNAEPKERPTFETLRWKLEDYFETDSSYSDANNFIR
+>DECOY_sp|P42685|FRK_HUMAN Tyrosine-protein kinase FRK OS=Homo sapiens OX=9606 GN=FRK PE=1 SV=1
+RIFNNADSYSSDTEFYDELKWRLTEFTPREKPEANWCELMINYFQQPCNSPQPLRYNQALMQIVQAGTMGSYPMKGYTIIEYLLIGFSWVDSKISFKNSRIAEPATWKVPLKIEHRSEYIDENDVKFVRALGFDAVKYINHEGVLVNRAALDRHIYNRSELYAMGSAVQAAMDVQQTLHIKSGTDNQLYEQLSGHRMLETIIYIPDELTCVAYLQILKPHRLNKMIQAERLFDNPDMSGPKLTKVAVPTTNNWLGEWVEGFQGSGLRKLLQISNRDIEWQDVTKYSLDFPAPVQIKLCPKGLKVCLGDSTKTYHSVFENLTSFIRRRTLFFGGEDLRKIRYHKVVAGDLVSLSFEGKQSESERILFSGTKNESYLLQKEADSRGIAGFFWPEAQLSRDEAVYNSPIYGQLQQSSGDRRKELHRAFWWGEHLTDLVQLKDGARFSLDEATRAQYDFLAVFYHGHRQSQPSCLAGPNEIVTSKDAETSLCPLYPELYEWLRQCINSM
+>sp|Q9P2Q2|FRM4A_HUMAN FERM domain-containing protein 4A OS=Homo sapiens OX=9606 GN=FRMD4A PE=1 SV=3
+MAVQLVPDSALGLLMMTEGRRCQVHLLDDRKLELLVQPKLLAKELLDLVASHFNLKEKEYFGIAFTDETGHLNWLQLDRRVLEHDFPKKSGPVVLYFCVRFYIESISYLKDNATIELFFLNAKSCIYKELIDVDSEVVFELASYILQEAKGDFSSNEVVRSDLKKLPALPTQALKEHPSLAYCEDRVIEHYKKLNGQTRGQAIVNYMSIVESLPTYGVHYYAVKDKQGIPWWLGLSYKGIFQYDYHDKVKPRKIFQWRQLENLYFREKKFSVEVHDPRRASVTRRTFGHSGIAVHTWYACPALIKSIWAMAISQHQFYLDRKQSKSKIHAARSLSEIAIDLTETGTLKTSKLANMGSKGKIISGSSGSLLSSGSQESDSSQSAKKDMLAALKSRQEALEETLRQRLEELKKLCLREAELTGKLPVEYPLDPGEEPPIVRRRIGTAFKLDEQKILPKGEEAELERLEREFAIQSQITEAARRLASDPNVSKKLKKQRKTSYLNALKKLQEIENAINENRIKSGKKPTQRASLIIDDGNIASEDSSLSDALVLEDEDSQVTSTISPLHSPHKGLPPRPPSHNRPPPPQSLEGLRQMHYHRNDYDKSPIKPKMWSESSLDEPYEKVKKRSSHSHSSSHKRFPSTGSCAEAGGGSNSLQNSPIRGLPHWNSQSSMPSTPDLRVRSPHYVHSTRSVDISPTRLHSLALHFRHRSSSLESQGKLLGSENDTGSPDFYTPRTRSSNGSDPMDDCSSCTSHSSSEHYYPAQMNANYSTLAEDSPSKARQRQRQRQRAAGALGSASSGSMPNLAARGGAGGAGGAGGGVYLHSQSQPSSQYRIKEYPLYIEGGATPVVVRSLESDQEGHYSVKAQFKTSNSYTAGGLFKESWRGGGGDEGDTGRLTPSRSQILRTPSLGREGAHDKGAGRAAVSDELRQWYQRSTASHKEHSRLSHTSSTSSDSGSQYSTSSQSTFVAHSRVTRMPQMCKATSAALPQSQRSSTPSSEIGATPPSSPHHILTWQTGEATENSPILDGSESPPHQSTDE
+>DECOY_sp|Q9P2Q2|FRM4A_HUMAN FERM domain-containing protein 4A OS=Homo sapiens OX=9606 GN=FRMD4A PE=1 SV=3
+EDTSQHPPSESGDLIPSNETAEGTQWTLIHHPSSPPTAGIESSPTSSRQSQPLAASTAKCMQPMRTVRSHAVFTSQSSTSYQSGSDSSTSSTHSLRSHEKHSATSRQYWQRLEDSVAARGAGKDHAGERGLSPTRLIQSRSPTLRGTDGEDGGGGRWSEKFLGGATYSNSTKFQAKVSYHGEQDSELSRVVVPTAGGEIYLPYEKIRYQSSPQSQSHLYVGGGAGGAGGAGGRAALNPMSGSSASGLAGAARQRQRQRQRAKSPSDEALTSYNANMQAPYYHESSSHSTCSSCDDMPDSGNSSRTRPTYFDPSGTDNESGLLKGQSELSSSRHRFHLALSHLRTPSIDVSRTSHVYHPSRVRLDPTSPMSSQSNWHPLGRIPSNQLSNSGGGAEACSGTSPFRKHSSSHSHSSRKKVKEYPEDLSSESWMKPKIPSKDYDNRHYHMQRLGELSQPPPPRNHSPPRPPLGKHPSHLPSITSTVQSDEDELVLADSLSSDESAINGDDIILSARQTPKKGSKIRNENIANEIEQLKKLANLYSTKRQKKLKKSVNPDSALRRAAETIQSQIAFERELRELEAEEGKPLIKQEDLKFATGIRRRVIPPEEGPDLPYEVPLKGTLEAERLCLKKLEELRQRLTEELAEQRSKLAALMDKKASQSSDSEQSGSSLLSGSSGSIIKGKSGMNALKSTKLTGTETLDIAIESLSRAAHIKSKSQKRDLYFQHQSIAMAWISKILAPCAYWTHVAIGSHGFTRRTVSARRPDHVEVSFKKERFYLNELQRWQFIKRPKVKDHYDYQFIGKYSLGLWWPIGQKDKVAYYHVGYTPLSEVISMYNVIAQGRTQGNLKKYHEIVRDECYALSPHEKLAQTPLAPLKKLDSRVVENSSFDGKAEQLIYSALEFVVESDVDILEKYICSKANLFFLEITANDKLYSISEIYFRVCFYLVVPGSKKPFDHELVRRDLQLWNLHGTEDTFAIGFYEKEKLNFHSAVLDLLEKALLKPQVLLELKRDDLLHVQCRRGETMMLLGLASDPVLQVAM
+>sp|Q6IN97|FRP2L_HUMAN Putative protein FRMPD2-like OS=Homo sapiens OX=9606 GN=FRMPD2B PE=5 SV=1
+MTSIPFPGDRLLQVDGVILCGLTHKQAVQCLKGPGQVARLVLERRVPRSTQQCPSANDSMGDERTAVSLVTALPGRPSSCVSVTDGPKFEVKLKKNANGLGFSFVQMEKESCSHLKSDLVRIKRLFPGQPAEENGAIAAGDIILAVNGRSTEGLIFQEVLHLLRGAPQEVTLLLCRPPPGALPEMEQEWQTPELSADKEFTRATCTDSCTSPILDQEDSWRDSASPDAGEGLGLRPESSQKAIREAQWGQNRERPWASSLTHSPESHPHLCKLHQERDESTLATSLEKDVRQNCYSVCDIMRLGRYSFSSPLTRLSTDIF
+>DECOY_sp|Q6IN97|FRP2L_HUMAN Putative protein FRMPD2-like OS=Homo sapiens OX=9606 GN=FRMPD2B PE=5 SV=1
+FIDTSLRTLPSSFSYRGLRMIDCVSYCNQRVDKELSTALTSEDREQHLKCLHPHSEPSHTLSSAWPRERNQGWQAERIAKQSSEPRLGLGEGADPSASDRWSDEQDLIPSTCSDTCTARTFEKDASLEPTQWEQEMEPLAGPPPRCLLLTVEQPAGRLLHLVEQFILGETSRGNVALIIDGAAIAGNEEAPQGPFLRKIRVLDSKLHSCSEKEMQVFSFGLGNANKKLKVEFKPGDTVSVCSSPRGPLATVLSVATREDGMSDNASPCQQTSRPVRRELVLRAVQGPGKLCQVAQKHTLGCLIVGDVQLLRDGPFPISTM
+>sp|Q68DX3|FRPD2_HUMAN FERM and PDZ domain-containing protein 2 OS=Homo sapiens OX=9606 GN=FRMPD2 PE=1 SV=3
+MQPLTKDAGMSLSSVTLASALQVRGEALSEEEIWSLLFLAAEQLLEDLRNDSSDYVVCPWSALLSAAGSLSFQGRVSHIEAAPFKAPELLQGQSEDEQPDASQMHVYSLGMTLYWSAGFHVPPHQPLQLCEPLHSILLTMCEDQPHRRCTLQSVLEACRVHEKEVSVYPAPAGLHIRRLVGLVLGTISEVEKRVVEESSSVQQNRSYLLRKRLRGTSSESPAAQAPECLHPCRVSERSTETQSSPEPHWSTLTHSHCSLLVNRALPGADPQDQQAGRRLSSGSVHSAADSSWPTTPSQRGFLQRRSKFSRPEFILLAGEAPMTLHLPGSVVTKKGKSYLALRDLCVVLLNGQHLEVKCDVESTVGAVFNAVTSFANLEELTYFGLAYMKSKEFFFLDSETRLCKIAPEGWREQPQKTSMNTFTLFLRIKFFVSHYGLLQHSLTRHQFYLQLRKDILEERLYCNEEILLQLGVLALQAEFGNYPKEQVESKPYFHVEDYIPASLIERMTALRVQVEVSEMHRLSSALWGEDAELKFLRVTQQLPEYGVLVHQVFSEKRRPEEEMALGICAKGVIVYEVKNNSRIAMLRFQWRETGKISTYQKKFTITSSVTGKKHTFVTDSAKTSKYLLDLCSAQHGFNAQMGSGQPSHVLFDHDKFVQMANLSPAHQARSKPLIWIQRLSCSENELFVSRLQGAAGGLLSTSMDNFNVDGSKEAGAEGIGRSPCTGREQLKSACVIQKPMTWDSLSGPPVQSMHAGSKNNRRKSFIAEPGREIVRVTLKRDPHRGFGFVINEGEYSGQADPGIFISSIIPGGPAEKAKTIKPGGQILALNHISLEGFTFNMAVRMIQNSPDNIELIISQSKGVGGNNPDEEKNSTANSGVSSTDILSFGYQGSLLSHTQDQDRNTEELDMAGVQSLVPRLRHQLSFLPLKGAGSSCPPSPPEISAGEIYFVELVKEDGTLGFSVTGGINTSVPYGGIYVKSIVPGGPAAKEGQILQGDRLLQVDGVILCGLTHKQAVQCLTGPGQVARLVLERRVPRSTQQCPSANDSMGDERTAVSLVTALPGRPSSCVSVTDGPKFEVKLKKNANGLGFSFVQMEKESCSHLKSDLVRIKRLFPGQPAEENGAIAAGDIILAVNGRSTEGLIFQEVLHLLRGAPQEVTLLLCRPPPGALPELEQEWQTPELSADKEFTRATCTDSCTSPILDQEDSWRDSASPDAGEGLGLRPESSQKAIREAQWGQNRERPWASSLTHSPESHPHLCKLHQERDESTLATSLEKDVRQNCYSVCDIMRLGRYSFSSPLTRLSTDIF
+>DECOY_sp|Q68DX3|FRPD2_HUMAN FERM and PDZ domain-containing protein 2 OS=Homo sapiens OX=9606 GN=FRMPD2 PE=1 SV=3
+FIDTSLRTLPSSFSYRGLRMIDCVSYCNQRVDKELSTALTSEDREQHLKCLHPHSEPSHTLSSAWPRERNQGWQAERIAKQSSEPRLGLGEGADPSASDRWSDEQDLIPSTCSDTCTARTFEKDASLEPTQWEQELEPLAGPPPRCLLLTVEQPAGRLLHLVEQFILGETSRGNVALIIDGAAIAGNEEAPQGPFLRKIRVLDSKLHSCSEKEMQVFSFGLGNANKKLKVEFKPGDTVSVCSSPRGPLATVLSVATREDGMSDNASPCQQTSRPVRRELVLRAVQGPGTLCQVAQKHTLGCLIVGDVQLLRDGQLIQGEKAAPGGPVISKVYIGGYPVSTNIGGTVSFGLTGDEKVLEVFYIEGASIEPPSPPCSSGAGKLPLFSLQHRLRPVLSQVGAMDLEETNRDQDQTHSLLSGQYGFSLIDTSSVGSNATSNKEEDPNNGGVGKSQSIILEINDPSNQIMRVAMNFTFGELSIHNLALIQGGPKITKAKEAPGGPIISSIFIGPDAQGSYEGENIVFGFGRHPDRKLTVRVIERGPEAIFSKRRNNKSGAHMSQVPPGSLSDWTMPKQIVCASKLQERGTCPSRGIGEAGAEKSGDVNFNDMSTSLLGGAAGQLRSVFLENESCSLRQIWILPKSRAQHAPSLNAMQVFKDHDFLVHSPQGSGMQANFGHQASCLDLLYKSTKASDTVFTHKKGTVSSTITFKKQYTSIKGTERWQFRLMAIRSNNKVEYVIVGKACIGLAMEEEPRRKESFVQHVLVGYEPLQQTVRLFKLEADEGWLASSLRHMESVEVQVRLATMREILSAPIYDEVHFYPKSEVQEKPYNGFEAQLALVGLQLLIEENCYLREELIDKRLQLYFQHRTLSHQLLGYHSVFFKIRLFLTFTNMSTKQPQERWGEPAIKCLRTESDLFFFEKSKMYALGFYTLEELNAFSTVANFVAGVTSEVDCKVELHQGNLLVVCLDRLALYSKGKKTVVSGPLHLTMPAEGALLIFEPRSFKSRRQLFGRQSPTTPWSSDAASHVSGSSLRRGAQQDQPDAGPLARNVLLSCHSHTLTSWHPEPSSQTETSRESVRCPHLCEPAQAAPSESSTGRLRKRLLYSRNQQVSSSEEVVRKEVESITGLVLGVLRRIHLGAPAPYVSVEKEHVRCAELVSQLTCRRHPQDECMTLLISHLPECLQLPQHPPVHFGASWYLTMGLSYVHMQSADPQEDESQGQLLEPAKFPAAEIHSVRGQFSLSGAASLLASWPCVVYDSSDNRLDELLQEAALFLLSWIEEESLAEGRVQLASALTVSSLSMGADKTLPQM
+>sp|Q9P0K9|FRS1L_HUMAN DOMON domain-containing protein FRRS1L OS=Homo sapiens OX=9606 GN=FRRS1L PE=1 SV=2
+MRRPRQGGGGAGGSAAARARAGGLGGGSVPARARGAPAAARAAWLRDLCARMARPPRQHPGVWASLLLLLLTGPAACAASPADDGAGPGGRGPRGRARGDTGADEAVPRHDSSYGTFAGEFYDLRYLSEEGYPFPTAPPVDPFAKIKVDDCGKTKGCFRYGKPGCNAETCDYFLSYRMIGADVEFELSADTDGWVAVGFSSDKKMGGDDVMACVHDDNGRVRIQHFYNVGQWAKEIQRNPARDEEGVFENNRVTCRFKRPVNVPRDETIVDLHLSWYYLFAWGPAIQGSITRHDIDSPPASERVVSIYKYEDIFMPSAAYQTFSSPFCLLLIVALTFYLLMGTP
+>DECOY_sp|Q9P0K9|FRS1L_HUMAN DOMON domain-containing protein FRRS1L OS=Homo sapiens OX=9606 GN=FRRS1L PE=1 SV=2
+PTGMLLYFTLAVILLLCFPSSFTQYAASPMFIDEYKYISVVRESAPPSDIDHRTISGQIAPGWAFLYYWSLHLDVITEDRPVNVPRKFRCTVRNNEFVGEEDRAPNRQIEKAWQGVNYFHQIRVRGNDDHVCAMVDDGGMKKDSSFGVAVWGDTDASLEFEVDAGIMRYSLFYDCTEANCGPKGYRFCGKTKGCDDVKIKAFPDVPPATPFPYGEESLYRLDYFEGAFTGYSSDHRPVAEDAGTDGRARGRPGRGGPGAGDDAPSAACAAPGTLLLLLLSAWVGPHQRPPRAMRACLDRLWAARAAAPAGRARAPVSGGGLGGARARAAASGGAGGGGQRPRRM
+>sp|Q5TBA9|FRY_HUMAN Protein furry homolog OS=Homo sapiens OX=9606 GN=FRY PE=1 SV=1
+MASQQDSGFFEISIKYLLKSWSNTSPVGNGYIKPPVPPASGTHREKGPPTMLPINVDPDSKPGEYVLKSLFVNFTTQAERKIRIIMAEPLEKPLTKSLQRGEDPQFDQVISSMSSLSEYCLPSILRTLFDWYKRQNGIEDESHEYRPRTSNKSKSDEQQRDYLMERRDLAIDFIFSLVLIEVLKQIPLHPVIDSLIHDVINLAFKHFKYKEGYLGPNTGNMHIVADLYAEVIGVLAQAKFPAVKKKFMAELKELRHKEQNPYVVQSIISLIMGMKFFRIKMYPVEDFEASLQFMQECAHYFLEVKDKDIKHALAGLFVEILVPVAAAVKNEVNVPCLRNFVESLYDTTLELSSRKKHSLALYPLVTCLLCVSQKQLFLNRWHIFLNNCLSNLKNKDPKMARVALESLYRLLWVYMIRIKCESNTATQSRLITIITTLFPKGSRGVVPRDMPLNIFVKIIQFIAQERLDFAMKEIIFDFLCVGKPAKAFSLNPERMNIGLRAFLVIADSLQQKDGEPPMPVTGAVLPSGNTLRVKKTYLSKTLTEEEAKMIGMSLYYSQVRKAVDNILRHLDKEVGRCMMLTNVQMLNKEPEDMITGERKPKIDLFRTCVAAIPRLLPDGMSKLELIDLLARLSIHMDDELRHIAQNSLQGLLVDFSDWREDVLFGFTNFLLREVNDMHHTLLDSSLKLLLQLLTQWKLVIQTQGKVYEQANKIRNSELIANGSSHRIQSERGPHCSVLHAVEGFALVLLCSFQVATRKLSVLILKEIRALFIALGQPEDDDRPMIDVMDQLSSSILESFIHVAVSDSATLPLTHNVDLQWLVEWNAVLVNSHYDVKSPSHVWIFAQSVKDPWVLCLFSFLRQENLPKHCPTALSYAWPYAFTRLQSVMPLVDPNSPINAKKTSTAGSGDNYVTLWRNYLILCFGVAKPSIMSPGHLRASTPEIMATTPDGTVSYDNKAIGTPSVGVLLKQLVPLMRLESIEITESLVLGFGRTNSLVFRELVEELHPLMKEALERRPENKKRRERRDLLRLQLLRIFELLADAGVISDSTNGALERDTLALGALFLEYVDLTRMLLEAENDKEVEILKDIRAHFSAMVANLIQCVPVHHRRFLFPQQSLRHHLFILFSQWAGPFSIMFTPLDRYSDRNHQITRYQYCALKAMSAVLCCGPVFDNVGLSPDGYLYKWLDNILACQDLRVHQLGCEVVVLLLELNPDQINLFNWAIDRCYTGSYQLASGCFKAIATVCGSRNYPFDIVTLLNLVLFKASDTNREIYEISMQLMQILEAKLFVYSKKVAEQRPGSILYGTHGPLPPLYSVSLALLSCELARMYPELTLPLFSEVSQRFPTTHPNGRQIMLTYLLPWLHNIELVDSRLLLPGSSPSSPEDEVKDREGDVTASHGLRGNGWGSPEATSLVLNNLMYMTAKYGDEVPGPEMENAWNALANNEKWSNNLRITLQFLISLCGVSSDTVLLPYIKKVAIYLCRNNTIQTMEELLFELQQTEPVNPIVQHCDNPPFYRFTASSKASAAASGTTSSSNTVVAGQENFPDAEENKILKESDERFSNVIRAHTRLESRYSNSSGGSYDEDKNDPISPYTGWLLTITETKQPQPLPMPCTGGCWAPLVDYLPETITPRGPLHRCNIAVIFMTEMVVDHSVREDWALHLPLLLHAVFLGLDHYRPEVFEHSKKLLLHLLIALSCNSNFHSIASVLLQTREMGEAKTLTVQPAYQPEYLYTGGFDFLREDQSSPVPDSGLSSSSTSSSISLGGSSGNLPQMTQEVEDVDTAAETDEKANKLIEFLTTRAFGPLWCHEDITPKNQNSKSAEQLTNFLRHVVSVFKDSKSGFHLEHQLSEVALQTALASSSRHYAGRSFQIFRALKQPLSAHALSDLLSRLVEVIGEHGDEIQGYVMEALLTLEAAVDNLSDCLKNSDLLTVLSRSSSPDLSSSSKLTASRKSTGQLNMNPGTTSGNTATAERSRHQRSFSVPKKFGVIDRSSDPPRSATLDRIQACTQQGLSSKTRSSSSLKDSLTDPSHINHPTNLLATIFWVTVALMESDFEFEYLMALRLLSRLLAHMPLDKAENREKLEKLQAQLKWADFSGLQQLLLKGFTSLTTTDLTLQLFSLLTPVSKISMVDASHAIGFPLNVLCLLPQLIQHFENPNQFCKDIAERIAQVCLEEKNPKLSNLAHVMTLYKTHSYTRDCATWVNVVCRYLHEAYADITLNMVTYLAELLEKGLPSVQQPLLQVIYSLLSYMDLSVVPVKQFNVEVLKTIEKYVQSVHWREALNILKLVVSRSASLVLPSYQHSDLSKIEIHRVWTSASKELPGKTLDFHFDISETPIIGRRYDELQNSSGRDGKPRAMAVTRSTSSTSSGSNSNVLVPVSWKRPQYSQKRTKEKLVHVLSLCGQEVGLSKNPSVIFSSCGDLDLLEHQTSLVSSEDGAREQENMDDTNSEQQFRVFRDFDFLDVELEDGEGESMDNFNWGVRRRSLDSLDKCDMQILEERQLSGSTPSLNKMHHEDSDESSEEEDLTASQILEHSDLIMTLSPSEETNPMELLTTACDSTPAEPHSFNTRMSSFDASLPDMNNLQISEGSKAEAVREEEDTTVHEDDLSSSINELPAAFECSDSFSLDMTEGEEKGNRALDQFTLASFGEGDRGVSPPPSPFFSAILAAFQPAACDDAEEAWRSHINQLMCDSDGSCAVYTFHVFSSLFKNIQKRFCFLTCDAASYLGDNLRGIGSKFVSSSQMLTSCSECPTLFVDAETLLSCGLLDKLKFSVLELQEYLDTYNNRKEATLSWLANCKATFAGGSRDGVITCQPGDSEEKQLELCQRLYKLHFQLLLLFQSYCKLIGQVHEVSSMPELLNMSRELSDLKKHLKEASAVIAADPLYSDGAWSEPTFTSTEAAIQSMLECLKNNELGKALRQIRECRSLWPNDIFGSSSDDEVQTLLNIYFRHQTLGQTGTYALVGSNQSLTEICTKLMELNMEIRDMIRRAQSYRVLTTFLPDSSVSGTSL
+>DECOY_sp|Q5TBA9|FRY_HUMAN Protein furry homolog OS=Homo sapiens OX=9606 GN=FRY PE=1 SV=1
+LSTGSVSSDPLFTTLVRYSQARRIMDRIEMNLEMLKTCIETLSQNSGVLAYTGTQGLTQHRFYINLLTQVEDDSSSGFIDNPWLSRCERIQRLAKGLENNKLCELMSQIAAETSTFTPESWAGDSYLPDAAIVASAEKLHKKLDSLERSMNLLEPMSSVEHVQGILKCYSQFLLLLQFHLKYLRQCLELQKEESDGPQCTIVGDRSGGAFTAKCNALWSLTAEKRNNYTDLYEQLELVSFKLKDLLGCSLLTEADVFLTPCESCSTLMQSSSVFKSGIGRLNDGLYSAADCTLFCFRKQINKFLSSFVHFTYVACSGDSDCMLQNIHSRWAEEADDCAAPQFAALIASFFPSPPPSVGRDGEGFSALTFQDLARNGKEEGETMDLSFSDSCEFAAPLENISSSLDDEHVTTDEEERVAEAKSGESIQLNNMDPLSADFSSMRTNFSHPEAPTSDCATTLLEMPNTEESPSLTMILDSHELIQSATLDEEESSEDSDEHHMKNLSPTSGSLQREELIQMDCKDLSDLSRRRVGWNFNDMSEGEGDELEVDLFDFDRFVRFQQESNTDDMNEQERAGDESSVLSTQHELLDLDGCSSFIVSPNKSLGVEQGCLSLVHVLKEKTRKQSYQPRKWSVPVLVNSNSGSSTSSTSRTVAMARPKGDRGSSNQLEDYRRGIIPTESIDFHFDLTKGPLEKSASTWVRHIEIKSLDSHQYSPLVLSASRSVVLKLINLAERWHVSQVYKEITKLVEVNFQKVPVVSLDMYSLLSYIVQLLPQQVSPLGKELLEALYTVMNLTIDAYAEHLYRCVVNVWTACDRTYSHTKYLTMVHALNSLKPNKEELCVQAIREAIDKCFQNPNEFHQILQPLLCLVNLPFGIAHSADVMSIKSVPTLLSFLQLTLDTTTLSTFGKLLLQQLGSFDAWKLQAQLKELKERNEAKDLPMHALLRSLLRLAMLYEFEFDSEMLAVTVWFITALLNTPHNIHSPDTLSDKLSSSSRTKSSLGQQTCAQIRDLTASRPPDSSRDIVGFKKPVSFSRQHRSREATATNGSTTGPNMNLQGTSKRSATLKSSSSLDPSSSRSLVTLLDSNKLCDSLNDVAAELTLLAEMVYGQIEDGHEGIVEVLRSLLDSLAHASLPQKLARFIQFSRGAYHRSSSALATQLAVESLQHELHFGSKSDKFVSVVHRLFNTLQEASKSNQNKPTIDEHCWLPGFARTTLFEILKNAKEDTEAATDVDEVEQTMQPLNGSSGGLSISSSTSSSSLGSDPVPSSQDERLFDFGGTYLYEPQYAPQVTLTKAEGMERTQLLVSAISHFNSNCSLAILLHLLLKKSHEFVEPRYHDLGLFVAHLLLPLHLAWDERVSHDVVMETMFIVAINCRHLPGRPTITEPLYDVLPAWCGGTCPMPLPQPQKTETITLLWGTYPSIPDNKDEDYSGGSSNSYRSELRTHARIVNSFREDSEKLIKNEEADPFNEQGAVVTNSSSTTGSAAASAKSSATFRYFPPNDCHQVIPNVPETQQLEFLLEEMTQITNNRCLYIAVKKIYPLLVTDSSVGCLSILFQLTIRLNNSWKENNALANWANEMEPGPVEDGYKATMYMLNNLVLSTAEPSGWGNGRLGHSATVDGERDKVEDEPSSPSSGPLLLRSDVLEINHLWPLLYTLMIQRGNPHTTPFRQSVESFLPLTLEPYMRALECSLLALSVSYLPPLPGHTGYLISGPRQEAVKKSYVFLKAELIQMLQMSIEYIERNTDSAKFLVLNLLTVIDFPYNRSGCVTAIAKFCGSALQYSGTYCRDIAWNFLNIQDPNLELLLVVVECGLQHVRLDQCALINDLWKYLYGDPSLGVNDFVPGCCLVASMAKLACYQYRTIQHNRDSYRDLPTFMISFPGAWQSFLIFLHHRLSQQPFLFRRHHVPVCQILNAVMASFHARIDKLIEVEKDNEAELLMRTLDVYELFLAGLALTDRELAGNTSDSIVGADALLEFIRLLQLRLLDRRERRKKNEPRRELAEKMLPHLEEVLERFVLSNTRGFGLVLSETIEISELRMLPVLQKLLVGVSPTGIAKNDYSVTGDPTTAMIEPTSARLHGPSMISPKAVGFCLILYNRWLTVYNDGSGATSTKKANIPSNPDVLPMVSQLRTFAYPWAYSLATPCHKPLNEQRLFSFLCLVWPDKVSQAFIWVHSPSKVDYHSNVLVANWEVLWQLDVNHTLPLTASDSVAVHIFSELISSSLQDMVDIMPRDDDEPQGLAIFLARIEKLILVSLKRTAVQFSCLLVLAFGEVAHLVSCHPGRESQIRHSSGNAILESNRIKNAQEYVKGQTQIVLKWQTLLQLLLKLSSDLLTHHMDNVERLLFNTFGFLVDERWDSFDVLLGQLSNQAIHRLEDDMHISLRALLDILELKSMGDPLLRPIAAVCTRFLDIKPKREGTIMDEPEKNLMQVNTLMMCRGVEKDLHRLINDVAKRVQSYYLSMGIMKAEEETLTKSLYTKKVRLTNGSPLVAGTVPMPPEGDKQQLSDAIVLFARLGINMREPNLSFAKAPKGVCLFDFIIEKMAFDLREQAIFQIIKVFINLPMDRPVVGRSGKPFLTTIITILRSQTATNSECKIRIMYVWLLRYLSELAVRAMKPDKNKLNSLCNNLFIHWRNLFLQKQSVCLLCTVLPYLALSHKKRSSLELTTDYLSEVFNRLCPVNVENKVAAAVPVLIEVFLGALAHKIDKDKVELFYHACEQMFQLSAEFDEVPYMKIRFFKMGMILSIISQVVYPNQEKHRLEKLEAMFKKKVAPFKAQALVGIVEAYLDAVIHMNGTNPGLYGEKYKFHKFALNIVDHILSDIVPHLPIQKLVEILVLSFIFDIALDRREMLYDRQQEDSKSKNSTRPRYEHSEDEIGNQRKYWDFLTRLISPLCYESLSSMSSIVQDFQPDEGRQLSKTLPKELPEAMIIRIKREAQTTFNVFLSKLVYEGPKSDPDVNIPLMTPPGKERHTGSAPPVPPKIYGNGVPSTNSWSKLLYKISIEFFGSDQQSAM
+>sp|Q8NA03|FSIP1_HUMAN Fibrous sheath-interacting protein 1 OS=Homo sapiens OX=9606 GN=FSIP1 PE=2 SV=1
+MDIIKGNLDGISKPASNSRIRPGSRSSNASLEVLSTEPGSFKVDTASNLNSGKEDHSESSNTENRRTSNDDKQESCSEKIKLAEEGSDEDLDLVQHQIISECSDEPKLKELDSQLQDAIQKMKKLDKILAKKQRREKEIKKQGLEMRIKLWEEIKSAKYSEAWQSKEEMENTKKFLSLTAVSEETVGPSHEEEDTFSSVFHTQIPPEEYEMQMQKLNKDFTCDVERNESLIKSGKKPFSNTEKIELRGKHNQDFIKRNIELAKESRNPVVMVDREKKRLVELLKDLDEKDSGLSSSEGDQSGWVVPVKGYELAVTQHQQLAEIDIKLQELSAASPTISSFSPRLENRNNQKPDRDGERNMEVTPGEKILRNTKEQRDLHNRLREIDEKLKMMKENVLESTSCLSEEQLKCLLDECILKQKSIIKLSSERKKEDIEDVTPVFPQLSRSIISKLLNESETKVQKTEVEDADMLESEECEASKGYYLTKALTGHNMSEALVTEAENMKCLQFSKDVIISDTKDYFMSKTLGIGRLKRPSFLDDPLYGISVSLSSEDQHLKLSSPENTIADEQETKDAAEECKEP
+>DECOY_sp|Q8NA03|FSIP1_HUMAN Fibrous sheath-interacting protein 1 OS=Homo sapiens OX=9606 GN=FSIP1 PE=2 SV=1
+PEKCEEAADKTEQEDAITNEPSSLKLHQDESSLSVSIGYLPDDLFSPRKLRGIGLTKSMFYDKTDSIIVDKSFQLCKMNEAETVLAESMNHGTLAKTLYYGKSAECEESELMDADEVETKQVKTESENLLKSIISRSLQPFVPTVDEIDEKKRESSLKIISKQKLICEDLLCKLQEESLCSTSELVNEKMMKLKEDIERLRNHLDRQEKTNRLIKEGPTVEMNREGDRDPKQNNRNELRPSFSSITPSAASLEQLKIDIEALQQHQTVALEYGKVPVVWGSQDGESSSLGSDKEDLDKLLEVLRKKERDVMVVPNRSEKALEINRKIFDQNHKGRLEIKETNSFPKKGSKILSENREVDCTFDKNLKQMQMEYEEPPIQTHFVSSFTDEEEHSPGVTEESVATLSLFKKTNEMEEKSQWAESYKASKIEEWLKIRMELGQKKIEKERRQKKALIKDLKKMKQIADQLQSDLEKLKPEDSCESIIQHQVLDLDEDSGEEALKIKESCSEQKDDNSTRRNETNSSESHDEKGSNLNSATDVKFSGPETSLVELSANSSRSGPRIRSNSAPKSIGDLNGKIIDM
+>sp|Q5CZC0|FSIP2_HUMAN Fibrous sheath-interacting protein 2 OS=Homo sapiens OX=9606 GN=FSIP2 PE=2 SV=4
+MELYLGACSKPAKVAVTKTVASVLAADTQQCRDGVHKTHFAGVGPAQLLDLPLGVKLPVIPGSNAVFYTTNFGEKLFRPSYGFNLTDPYCRLLENQYKSLHDPHLKAYYKRKDILKRLKKGGYITSNNKVVCTLRELNKYRQYLTSLKLDFERNYIKEQRILAKQLHNIPENNQIPQHCDVAQVQNWLLKEGTESIKDQERLMRHRYLDMISRKLEQLERTAEEQRLFLMDREERRQREHTRRKLTLRRKIEEEWKTKEMLLLTRMAEDVKREERIEEQQHRNREESDRKKQDLLEKKMAYHLQKMQDTGFNGEDIGKNTFKYRGQDGTHASPKNKKKTSEDIMLVYPAGDQNTYKETHGHTANAAHQRQNSSNNFTKKNSASVVYQADVQDNGINQKRDGMVSKNSSIFDDRGGINISGQGSIISAQVSPTRNFSRVSQAFLDPSKEEKETNADWDGRPTKRSSYLCESGPQAHATDPGIFSSPVYTNMQQNLLQNCLQEKVTSEELNIIIQNVMTWVVATVTSILYPAITKYEKRLQNNTYPVSDDSILSSDSSSFCSTCSEDFTYRSYTSATTKTFQAEPCAFVVDTSVRRPTTPIKPPPAHVEKTVVGKTCHIKGQSIISKHKYNKTNLLYSYPKLRSCKSDSHLLASFETGTKKSKDATTETDSLGSSLHCDKTAKAMDEMKNLKNVFVNFKCYLKGETEVILESILREIMSDLTQAIPSLSSVTAEVFVEQCEREKEILLSNAHIPSVASEIVENMLEKLESAVEKKCVEMFSQDLSVDIKPSLAASDELLTSSNGKPLKNSMPHTLDPMCDIAEDMVHAILEKLMTLVSFKQNEFLHLKDTNKLSCQQHKTDPICMFLQRAGKNKSSLESDEASLIVNEEVQNLISNIFSQSSLVAYIEEAINAILGYIQTELNNERIIASEETVVLLQLLEDILFQLHQEPVNESFQKSRQPRISSPSDTKEKYRLTGTRLSNSPRSGRPFPPINVPGMVLYSDDENEEIDNIVKNVLDSTFKDEKVKSQEQIPNHWFTKGNTCFECKRNIKPPTKPGSRSKAAFHDWELKTEPPSTNHEDILKKKLSSNKDISTFSQDQKHQIEKASENIVTSILKEMLKDISSVPFGHLDSKTGSEASVLVSEKPQGLSHQEWIDQMFSVSEISTVAQEITDSVLNILHKASNYISNTTKSSISSSVHQISLHNSDTEHIVKEAPNKYPLKTWFDSEKKMKYLSLFDVDPEKPPWLKSGKSEPKPVDDINDKIIRTIFKRLKSFICPKLHMGFKSSLRSQLSKYTAKIVNIVLCAIQNELELHKENLNLREIDHTKSLTDKGFFANTDKKLESLVTSIDDDILASPLLTCIYDMLLSSENAHQRSISLSSRKPKSATDSVDVQSILPNRQDKKSFHKYLATPCTHHSVNGGNHIKENAKLQVLERIGETLHEMLSKLLGTHLHSQLSCSQQSREMTNKNQKMAAALQSNIQLISKAILDYILAKLCGVDMDTSFASCGLKAISESLDIDNPSFASIIEKMAKSTKIISSIVSRRVQEDNKEETKSKAKPVAPVSSKTPSTKEMHPNKLKAVASDILNMVFAKLEGFANGHLEILGAINDGNKKSNKIGWEYESTNISRDTHEASFLSALYMHAKKVSSAILKVIQTELNVTSSDLKTSVENPPPETQILKYVVKLILDAVSSDMFNEMESEGGGIETYRYRPTYGSLPGGAESDSFLEDDAYTAKKIIDERSPQREEVKTRSLKQWALEKTLNKIEVKLKEPHISPIAPIIRNILNEIFQSTLINQLNVLSLSHSNFNGMPHNVDEPTPQTSVQFMDKMMDPLLSEADITIVTDNIVRTVFHKLYSAAMTERNVRENRYKTITFSANVSSHEHTYKGKSSVTALDENPCTFQSRFSVADKETKVNLAEDIVQAILTNLETFATSKVKSLFYSQVNFTVPVALPIQQDHSTLSKALSAKDSYSDEQFSCCSVDHTKSGKTNLCQLSLSKLNTYALQVARRNLQGIKQELDKERENPFLTHDIGISESIASQIVNALLDIISRKGKCDKNSSDKEIDLDQQKGVIEKLLNETKYRKVLQLQIQDTIEGILCDIYEKTLFQNNLSFATPTLKCSIADKHSEENSEMFMEGANKIIPKLSVPKSDVILISNDIVNIVLHNLSSAATLVINAKNPTSARLPLTFCDTFPKIDCQQPLKGSKTERKTERFSYSRNQKSAYADDNQITVVEKEDTQKSATDSCEENANFITKTIFKRLESFATERIDSLITLAFQSKEKSFVIPELENCKQNDSIFYDSSQVESDVNVLKISATETILSQELTDFTFVGRREKLGSTIHLSQARLKTYADVIASAILKLIKNDLDLEIQKIYPYQNNILFQENIIVSEIVDSMLKMLDDKRSVKEICFNSKENSNFSQLALSNEILLGHKEKERSTKQSLFTKYPLEQNQMILENKRQIIVLEEIFMRNGESKNKEKGELLIAVEELLNKLYQRVREVTGHLPPLNETANFISNSKIKTSDTTQKNSFQSHINSVANDIVESVLGKMYLVVVTSLYENNKSRTEVEISDHNDSLLMKPLRFRETKQAGKISNSPRYAISQAYSYVDSQNISVMENTLLPYLPLQVKKDLIQMVLNKITNFVSLPLKVSPKDNPKPCFKAHLKTRSKITTLPKFTKKTHLGLSAAKAKSKTKLGPGEKTLKDSRSKTAIGLSHIMSAGDAKNLLDTKLPTSELKIYAKDIIINILETIVKEFGKVKQTKALPSDQIIAAGKIVNTVLQELYVTNNCNLAYPMKSSHLRLSQGNIGTGSLPKQQACFYLENVSSQLEHIFPREGIFKKLFDKWQTESNDKENEKCKLLMIAENVLTEISIKAKELEYSLSLLNLPPLENCESRFYNHFKGASTRAEDTKAQINMFGREIVEMLLEKLQLCFLSQIPTPDSEETLSNSKEHITAKSKYGFPNKHSLSSLPIYNTKTKDQISVGSSNQIVQEIVETVLNMLESFVDLQFKHISKYEFSEIVKMPIENLSSIQQKLLNKKMLPKLQPLKMFSDKSESNTINFKENIQNILLRVHSFHSQLLTYAVNIISDMLAVIKNKLDNEISQMEPSSISILKENIVASEIIGTLMDQCTYFNESLIQNLSRESLFQGAENAYTVNQVELATNMKMFTSKLKEGSLGINPSQVSKTGFVFCSDEDMKEKYRVSSDLPTSVRSSVEDTVKNSEPTKRPDSETMPSCSTRNKVQDHRPRESNFGSFDQTMKGNSYLPEGSFLQKLLRKASDSTEAALKQVLSFIEMGKGENLRVFHYENLKPVVEPNQIQTTISPLKICLAAENIVNTVLSSCGFPSQPHTNENREIMKPFFISKQSSLSEVSGGQKDNEKSLLRMQDKKINYIPEEENENLEASREDSSFLQKLKKKEYPKIETVKEVEAFTFADHEMGSNEVHLIARHVTTSVVTYLKNFETTVFSEEKMSVSTWSRKKYESKQFLRNIYDDSSIYQCCEHLTESVLYHLTSSISDGTKKGREKEKAWEIQEATFSKIISIHSQVFESRSISIGELALCISEIIIKILFNNKIIQADIAQKMVAIPTKYTYCPGIVSGGFDDLFQDLLVGVIHVLSKEIEVDYHFESNVRNKSFSMHRNNSVPLCNKINRQASPRDWQFSTQQIGQLFQKNKLSYLACKLNSLVGNLKTSESKEVVNKVFNIVSDLFSPDECLDTGMDSGKIQRTYFYSSNNEQPNSILTNNLQLSSKSVFLLNVVCEKLIRILLEECTSTAFPDKGSVSEETSAEECQLLKMLQSVEDGKSDYRKGGMDCECLQVDYMSDLLENVAEIDQDLLTSDSMLTIISHSLVKSLMDKLSHSIQQAPESLPFANKHLNYRTREIQSSFIKARKSELIELGQSKSSLELRSYDSNSLTVSLNNPSVVSSKIQAPFNKHCAVKSSSVSPFERQRTKEMDKVAIHNKLHQEGIYAGVYSATFLEGIISELFFNLSMSLWGKNKNITVSWLNEMNTLFVNNVVNEFNNAQVTVLRNAEERLCFPPVHTETVSKIVDSVYYDVLQQYELKVACGNNPVYDNASIAEQITNGILLEILDYKLPSCFKEHLIPHSYYPLKPEIILQKLQSNLTEFTSLPRSSSDYSTMLSHSFLEDVIRRLLSQLIPPPITCSSLGKKYLMSSDFNEMSTCIINKVMSAISKHKIWFTIYDNQYLYTGKNLQKMVDSVYCNILQMSDSLVSIQKSIVSRSPIMIDQIASFIIQEIIENHLQPFLSGEVLCHPRTPLDPVSTIVTQVLSEVIESHRPQKQSPLDIHLDSFVREIVARLLSKIFSPKHNTEIELKNMTQRIVNSINRHFNKAKIHILYDDKEQAFFSFNTDIVDELATSVYRNALKQHGLDLAVDKESEDSGIFVENITNLIVAAISDYLLHPLFSGDFSASTYSNSVAENIVQDILSNISKSTEPSQSVPLYNTLLPYTFLEDMIRVLLSKLFSSASSLVLNRDTQKDISRVNFNDIASNLVSDIRMKVSQHEIRFSKEEEETKFIYSEDDIQHLVDSVFANVVQTSGSQESAVQNITSSNDILIDRIAGFIIKHICQKHLQPFVSGKSLSSSDTYFDDERRQLFYTSVYSSTFLEDVISGVLRKIFHRVVGIVQTKSIRDSEDELFEKAEELIHLITGEFSKAQVSIIDNTEERLCLPPVERDVVKTIVDMVYSKVLQEYEMEVVPNKDFLNDTKTLAARITNIILAEIFDFQIHPDLIANLPFKSHSKLSANVLIQRVQYDISKSRFQRQASTMYTTMLSHSHLEKIVTQLTSQISPLNTSAEQSDTTKSDLSNTVIKLINEIMSIISKHEICIIKYGNKKQSMISAKDIQSMVDSIYADLSHSNIYQSITKDKKSISDIPVSKIASFIIKEIFNHHIQSFLSEDKTLLLAAVDQTYKLKAIDPKQRELSFIVNSSVFLEEVISELLCKILYAFSHNMLVTENPDRVKLKLTRIVTTLVNSIVLEFTTSEILVADNFDKNLCFSERYKEMVQKIVNSVYGKVLDQYKSLIQIHRVIQSDTICFGRKIYYLLLEEIYDYQVQSLVSGELESSSYSYPQADNIIRNVLNIITKDSHALPPYITVLPHSLLEDMVYRLLGHVFPSTHTENELKEKKFPPDDEFVEAASKLTDEIIKEISEHEIRLSMAEDNAESMQLEPIENLVDSICNNILKTSEFQAEVQKDADKKGCSFLSKLAGFIMKEIMYHHLQPFLHGEESSFSDLSDYDHVSELAKSGKEKTQPSLYSATFLEDIIIDLVHKFCSLLIITEDSKKNEMAELDIMGLALKLANSLIREFKKSDIKVLPNAEKMFSFPPIDKETVDKISNFVYEQFIEKCTSHDIQKGDESNIAIGMIAALTQKAISAFRIQPLFSGDWSSTFFSFLNPDNITQRVQHLPQNTFTQISRCAKENQLSLPDQSYKDTSSTPDCKNMMSTLEINRGTMNRKKSFKTKDTSVKKGDIQNPVLSSINAIMKSGMINLTSGLATGVTNKKEVDENKVGICTQKHSENVSKVTSTTTVKSKDTQEPNLSETFNNNEIEKKRNLIPTDKKGKDDEIYTHFSLIIDDTEYEKEVLGSDSEIGYKKKIDNARESSFKKDDKLFQLSSLKSKRNLGTTTDTLEIRIRTSSNEGRRDSPTQTCRDEEHHSDYEHVQNVIENIFEDVLELSSSPEPAYYSKLSYDQSPPGDNVLNVIQEISRDSAQSVTTKKVSSSTNKNISAKEKEEEEREKEKVREEIKSEPSKPDDPQNQRESKPGIFPAKFLEDVITEMVKQLIFSSIPETQIQDRCQNVSDKQNQAKLYDTAMKLINSLLKEFSDAQIKVFRPDKGNQFPGGKVSSVPKVPPRYKEPTTDEAPSSIKIKSADKMPPMHKMMRKPSSDKIPSIDKTLVNKVVHSSVCNILNDYGSQDSIWKNINSNGENLARRLTSAVINEIFQRQVNLIFCDEVSVSACLPLESKDVVKKVQKLAQTASKECQTSSPYTIILPHKFLENVISALFSKIFSTISSTKTKEPEDNLSTELNFLQMKLVSAVATEISQDKYMTIQYVETLQSDDDEIIQLVVQSVYNNLLPQFGSQEIIQNCVTSGCKILSENIVDLVLREVASNQLQSYFCGELTPHQCVEVENIVEKILKDVFQTTDVPLPKPSHADKLSYNIIEEIAVKFLSKLLSIFPKVHKERTKSLETDMQKITSKVLNSVQEFISKSKIKLVPPTKESPTVPVADNATIENIVNSIYTSVLKHSGSYTSVFKDLMGKSNVLSDTIGFLMVNAISNSEFQPQVEEEVSNSELVLEAVKIMEKVIKIIDELKSKEKSSSRKGLTLDAKLLEEVLALFLAKLIRLPSSSSKDEKNLSKTELNKIASQLSKLVTAEISRSSISLIASDPEEHCLNPENTERIYQVVDSVYSNILQQSGTNKEFYYDIKDTNTAFPKKVASLIIDGVSSFPLDTINSTISNADLSGELDVNRIVQKAQEHAFNVIPELEQEKLDQNLSEEESPIKIVPHVGKKPVKIDPKIISEHLAVISIKTQPLEKLKQECLKRTGHSIAELRRASISGRNYSLGSPDLEKRKTERRTSLDKTGRLDVKPLEAVARNSFQNIRKPDITKVELLKDVQSKNDLIVRLVAHDIDQVYLENYIKEERDSDEDEVVLTQTFAKEEGIKVFEDQVKEVKKPIQSKLSPKSTLSTSSLKKFLSLSKCCQTTASANIESTEAISNQVIESKETHVKRAVAELDMATPKTMPETASSSWEEKPQCKKEEKNLVTEPTHYFIHRIMSSSSYNQEDLISSTGEAEDCHSDPSAKILEESSQEQKPEHGNSVKFITIFERSKDVLGSANPSKEVISETPKPDVSKQGSKMLTKMSSTLSKVFSQCNTNISRSSSPAHQDEH
+>DECOY_sp|Q5CZC0|FSIP2_HUMAN Fibrous sheath-interacting protein 2 OS=Homo sapiens OX=9606 GN=FSIP2 PE=2 SV=4
+HEDQHAPSSSRSINTNCQSFVKSLTSSMKTLMKSGQKSVDPKPTESIVEKSPNASGLVDKSREFITIFKVSNGHEPKQEQSSEELIKASPDSHCDEAEGTSSILDEQNYSSSSMIRHIFYHTPETVLNKEEKKCQPKEEWSSSATEPMTKPTAMDLEAVARKVHTEKSEIVQNSIAETSEINASATTQCCKSLSLFKKLSSTSLTSKPSLKSQIPKKVEKVQDEFVKIGEEKAFTQTLVVEDEDSDREEKIYNELYVQDIDHAVLRVILDNKSQVDKLLEVKTIDPKRINQFSNRAVAELPKVDLRGTKDLSTRRETKRKELDPSGLSYNRGSISARRLEAISHGTRKLCEQKLKELPQTKISIVALHESIIKPDIKVPKKGVHPVIKIPSEEESLNQDLKEQELEPIVNFAHEQAKQVIRNVDLEGSLDANSITSNITDLPFSSVGDIILSAVKKPFATNTDKIDYYFEKNTGSQQLINSYVSDVVQYIRETNEPNLCHEEPDSAILSISSRSIEATVLKSLQSAIKNLETKSLNKEDKSSSSPLRILKALFLALVEELLKADLTLGKRSSSKEKSKLEDIIKIVKEMIKVAELVLESNSVEEEVQPQFESNSIANVMLFGITDSLVNSKGMLDKFVSTYSGSHKLVSTYISNVINEITANDAVPVTPSEKTPPVLKIKSKSIFEQVSNLVKSTIKQMDTELSKTREKHVKPFISLLKSLFKVAIEEIINYSLKDAHSPKPLPVDTTQFVDKLIKEVINEVEVCQHPTLEGCFYSQLQNSAVERLVLDVINESLIKCGSTVCNQIIEQSGFQPLLNNYVSQVVLQIIEDDDSQLTEVYQITMYKDQSIETAVASVLKMQLFNLETSLNDEPEKTKTSSITSFIKSFLASIVNELFKHPLIITYPSSTQCEKSATQALKQVKKVVDKSELPLCASVSVEDCFILNVQRQFIENIVASTLRRALNEGNSNINKWISDQSGYDNLINCVSSHVVKNVLTKDISPIKDSSPKRMMKHMPPMKDASKIKISSPAEDTTPEKYRPPVKPVSSVKGGPFQNGKDPRFVKIQADSFEKLLSNILKMATDYLKAQNQKDSVNQCRDQIQTEPISSFILQKVMETIVDELFKAPFIGPKSERQNQPDDPKSPESKIEERVKEKEREEEEKEKASINKNTSSSVKKTTVSQASDRSIEQIVNLVNDGPPSQDYSLKSYYAPEPSSSLELVDEFINEIVNQVHEYDSHHEEDRCTQTPSDRRGENSSTRIRIELTDTTTGLNRKSKLSSLQFLKDDKKFSSERANDIKKKYGIESDSGLVEKEYETDDIILSFHTYIEDDKGKKDTPILNRKKEIENNNFTESLNPEQTDKSKVTTTSTVKSVNESHKQTCIGVKNEDVEKKNTVGTALGSTLNIMGSKMIANISSLVPNQIDGKKVSTDKTKFSKKRNMTGRNIELTSMMNKCDPTSSTDKYSQDPLSLQNEKACRSIQTFTNQPLHQVRQTINDPNLFSFFTSSWDGSFLPQIRFASIAKQTLAAIMGIAINSEDGKQIDHSTCKEIFQEYVFNSIKDVTEKDIPPFSFMKEANPLVKIDSKKFERILSNALKLALGMIDLEAMENKKSDETIILLSCFKHVLDIIIDELFTASYLSPQTKEKGSKALESVHDYDSLDSFSSEEGHLFPQLHHYMIEKMIFGALKSLFSCGKKDADKQVEAQFESTKLINNCISDVLNEIPELQMSEANDEAMSLRIEHESIEKIIEDTLKSAAEVFEDDPPFKKEKLENETHTSPFVHGLLRYVMDELLSHPLVTIYPPLAHSDKTIINLVNRIINDAQPYSYSSSELEGSVLSQVQYDYIEELLLYYIKRGFCITDSQIVRHIQILSKYQDLVKGYVSNVIKQVMEKYRESFCLNKDFNDAVLIESTTFELVISNVLTTVIRTLKLKVRDPNETVLMNHSFAYLIKCLLESIVEELFVSSNVIFSLERQKPDIAKLKYTQDVAALLLTKDESLFSQIHHNFIEKIIFSAIKSVPIDSISKKDKTISQYINSHSLDAYISDVMSQIDKASIMSQKKNGYKIICIEHKSIISMIENILKIVTNSLDSKTTDSQEASTNLPSIQSTLQTVIKELHSHSLMTTYMTSAQRQFRSKSIDYQVRQILVNASLKSHSKFPLNAILDPHIQFDFIEALIINTIRAALTKTDNLFDKNPVVEMEYEQLVKSYVMDVITKVVDREVPPLCLREETNDIISVQAKSFEGTILHILEEAKEFLEDESDRISKTQVIGVVRHFIKRLVGSIVDELFTSSYVSTYFLQRREDDFYTDSSSLSKGSVFPQLHKQCIHKIIFGAIRDILIDNSSTINQVASEQSGSTQVVNAFVSDVLHQIDDESYIFKTEEEEKSFRIEHQSVKMRIDSVLNSAIDNFNVRSIDKQTDRNLVLSSASSFLKSLLVRIMDELFTYPLLTNYLPVSQSPETSKSINSLIDQVINEAVSNSYTSASFDGSFLPHLLYDSIAAVILNTINEVFIGSDESEKDVALDLGHQKLANRYVSTALEDVIDTNFSFFAQEKDDYLIHIKAKNFHRNISNVIRQTMNKLEIETNHKPSFIKSLLRAVIERVFSDLHIDLPSQKQPRHSEIVESLVQTVITSVPDLPTRPHCLVEGSLFPQLHNEIIEQIIFSAIQDIMIPSRSVISKQISVLSDSMQLINCYVSDVMKQLNKGTYLYQNDYITFWIKHKSIASMVKNIICTSMENFDSSMLYKKGLSSCTIPPPILQSLLRRIVDELFSHSLMTSYDSSSRPLSTFETLNSQLKQLIIEPKLPYYSHPILHEKFCSPLKYDLIELLIGNTIQEAISANDYVPNNGCAVKLEYQQLVDYYVSDVIKSVTETHVPPFCLREEANRLVTVQANNFENVVNNVFLTNMENLWSVTINKNKGWLSMSLNFFLESIIGELFTASYVGAYIGEQHLKNHIAVKDMEKTRQREFPSVSSSKVACHKNFPAQIKSSVVSPNNLSVTLSNSDYSRLELSSKSQGLEILESKRAKIFSSQIERTRYNLHKNAFPLSEPAQQISHSLKDMLSKVLSHSIITLMSDSTLLDQDIEAVNELLDSMYDVQLCECDMGGKRYDSKGDEVSQLMKLLQCEEASTEESVSGKDPFATSTCEELLIRILKECVVNLLFVSKSSLQLNNTLISNPQENNSSYFYTRQIKGSDMGTDLCEDPSFLDSVINFVKNVVEKSESTKLNGVLSNLKCALYSLKNKQFLQGIQQTSFQWDRPSAQRNIKNCLPVSNNRHMSFSKNRVNSEFHYDVEIEKSLVHIVGVLLDQFLDDFGGSVIGPCYTYKTPIAVMKQAIDAQIIKNNFLIKIIIESICLALEGISISRSEFVQSHISIIKSFTAEQIEWAKEKERGKKTGDSISSTLHYLVSETLHECCQYISSDDYINRLFQKSEYKKRSWTSVSMKEESFVTTEFNKLYTVVSTTVHRAILHVENSGMEHDAFTFAEVEKVTEIKPYEKKKLKQLFSSDERSAELNENEEEPIYNIKKDQMRLLSKENDKQGGSVESLSSQKSIFFPKMIERNENTHPQSPFGCSSLVTNVINEAALCIKLPSITTQIQNPEVVPKLNEYHFVRLNEGKGMEIFSLVQKLAAETSDSAKRLLKQLFSGEPLYSNGKMTQDFSGFNSERPRHDQVKNRTSCSPMTESDPRKTPESNKVTDEVSSRVSTPLDSSVRYKEKMDEDSCFVFGTKSVQSPNIGLSGEKLKSTFMKMNTALEVQNVTYANEAGQFLSERSLNQILSENFYTCQDMLTGIIESAVINEKLISISSPEMQSIENDLKNKIVALMDSIINVAYTLLQSHFSHVRLLINQINEKFNITNSESKDSFMKLPQLKPLMKKNLLKQQISSLNEIPMKVIESFEYKSIHKFQLDVFSELMNLVTEVIEQVIQNSSGVSIQDKTKTNYIPLSSLSHKNPFGYKSKATIHEKSNSLTEESDPTPIQSLFCLQLKELLMEVIERGFMNIQAKTDEARTSAGKFHNYFRSECNELPPLNLLSLSYELEKAKISIETLVNEAIMLLKCKENEKDNSETQWKDFLKKFIGERPFIHELQSSVNELYFCAQQKPLSGTGINGQSLRLHSSKMPYALNCNNTVYLEQLVTNVIKGAAIIQDSPLAKTQKVKGFEKVITELINIIIDKAYIKLESTPLKTDLLNKADGASMIHSLGIATKSRSDKLTKEGPGLKTKSKAKAASLGLHTKKTFKPLTTIKSRTKLHAKFCPKPNDKPSVKLPLSVFNTIKNLVMQILDKKVQLPLYPLLTNEMVSINQSDVYSYAQSIAYRPSNSIKGAQKTERFRLPKMLLSDNHDSIEVETRSKNNEYLSTVVVLYMKGLVSEVIDNAVSNIHSQFSNKQTTDSTKIKSNSIFNATENLPPLHGTVERVRQYLKNLLEEVAILLEGKEKNKSEGNRMFIEELVIIQRKNELIMQNQELPYKTFLSQKTSREKEKHGLLIENSLALQSFNSNEKSNFCIEKVSRKDDLMKLMSDVIESVIINEQFLINNQYPYIKQIELDLDNKILKLIASAIVDAYTKLRAQSLHITSGLKERRGVFTFDTLEQSLITETASIKLVNVDSEVQSSDYFISDNQKCNELEPIVFSKEKSQFALTILSDIRETAFSELRKFITKTIFNANEECSDTASKQTDEKEVVTIQNDDAYASKQNRSYSFRETKRETKSGKLPQQCDIKPFTDCFTLPLRASTPNKANIVLTAASSLNHLVINVIDNSILIVDSKPVSLKPIIKNAGEMFMESNEESHKDAISCKLTPTAFSLNNQFLTKEYIDCLIGEITDQIQLQLVKRYKTENLLKEIVGKQQDLDIEKDSSNKDCKGKRSIIDLLANVIQSAISESIGIDHTLFPNEREKDLEQKIGQLNRRAVQLAYTNLKSLSLQCLNTKGSKTHDVSCCSFQEDSYSDKASLAKSLTSHDQQIPLAVPVTFNVQSYFLSKVKSTAFTELNTLIAQVIDEALNVKTEKDAVSFRSQFTCPNEDLATVSSKGKYTHEHSSVNASFTITKYRNERVNRETMAASYLKHFVTRVINDTVITIDAESLLPDMMKDMFQVSTQPTPEDVNHPMGNFNSHSLSLVNLQNILTSQFIENLINRIIPAIPSIHPEKLKVEIKNLTKELAWQKLSRTKVEERQPSREDIIKKATYADDELFSDSEAGGPLSGYTPRYRYTEIGGGESEMENFMDSSVADLILKVVYKLIQTEPPPNEVSTKLDSSTVNLETQIVKLIASSVKKAHMYLASLFSAEHTDRSINTSEYEWGIKNSKKNGDNIAGLIELHGNAFGELKAFVMNLIDSAVAKLKNPHMEKTSPTKSSVPAVPKAKSKTEEKNDEQVRRSVISSIIKTSKAMKEIISAFSPNDIDLSESIAKLGCSAFSTDMDVGCLKALIYDLIAKSILQINSQLAAAMKQNKNTMERSQQSCSLQSHLHTGLLKSLMEHLTEGIRELVQLKANEKIHNGGNVSHHTCPTALYKHFSKKDQRNPLISQVDVSDTASKPKRSSLSISRQHANESSLLMDYICTLLPSALIDDDISTVLSELKKDTNAFFGKDTLSKTHDIERLNLNEKHLELENQIACLVINVIKATYKSLQSRLSSKFGMHLKPCIFSKLRKFITRIIKDNIDDVPKPESKGSKLWPPKEPDVDFLSLYKMKKESDFWTKLPYKNPAEKVIHETDSNHLSIQHVSSSISSKTTNSIYNSAKHLINLVSDTIEQAVTSIESVSFMQDIWEQHSLGQPKESVLVSAESGTKSDLHGFPVSSIDKLMEKLISTVINESAKEIQHKQDQSFTSIDKNSSLKKKLIDEHNTSPPETKLEWDHFAAKSRSGPKTPPKINRKCEFCTNGKTFWHNPIQEQSKVKEDKFTSDLVNKVINDIEENEDDSYLVMGPVNIPPFPRGSRPSNSLRTGTLRYKEKTDSPSSIRPQRSKQFSENVPEQHLQFLIDELLQLLVVTEESAIIRENNLETQIYGLIANIAEEIYAVLSSQSFINSILNQVEENVILSAEDSELSSKNKGARQLFMCIPDTKHQQCSLKNTDKLHLFENQKFSVLTMLKELIAHVMDEAIDCMPDLTHPMSNKLPKGNSSTLLEDSAALSPKIDVSLDQSFMEVCKKEVASELKELMNEVIESAVSPIHANSLLIEKERECQEVFVEATVSSLSPIAQTLDSMIERLISELIVETEGKLYCKFNVFVNKLNKMEDMAKATKDCHLSSGLSDTETTADKSKKTGTEFSALLHSDSKCSRLKPYSYLLNTKNYKHKSIISQGKIHCTKGVVTKEVHAPPPKIPTTPRRVSTDVVFACPEAQFTKTTASTYSRYTFDESCTSCFSSSDSSLISDDSVPYTNNQLRKEYKTIAPYLISTVTAVVWTMVNQIIINLEESTVKEQLCNQLLNQQMNTYVPSSFIGPDTAHAQPGSECLYSSRKTPRGDWDANTEKEEKSPDLFAQSVRSFNRTPSVQASIISGQGSINIGGRDDFISSNKSVMGDRKQNIGNDQVDAQYVVSASNKKTFNNSSNQRQHAANATHGHTEKYTNQDGAPYVLMIDESTKKKNKPSAHTGDQGRYKFTNKGIDEGNFGTDQMKQLHYAMKKELLDQKKRDSEERNRHQQEEIREERKVDEAMRTLLLMEKTKWEEEIKRRLTLKRRTHERQRREERDMLFLRQEEATRELQELKRSIMDLYRHRMLREQDKISETGEKLLWNQVQAVDCHQPIQNNEPINHLQKALIRQEKIYNREFDLKLSTLYQRYKNLERLTCVVKNNSTIYGGKKLRKLIDKRKYYAKLHPDHLSKYQNELLRCYPDTLNFGYSPRFLKEGFNTTYFVANSGPIVPLKVGLPLDLLQAPGVGAFHTKHVGDRCQQTDAALVSAVTKTVAVKAPKSCAGLYLEM
+>sp|Q12841|FSTL1_HUMAN Follistatin-related protein 1 OS=Homo sapiens OX=9606 GN=FSTL1 PE=1 SV=1
+MWKRWLALALALVAVAWVRAEEELRSKSKICANVFCGAGRECAVTEKGEPTCLCIEQCKPHKRPVCGSNGKTYLNHCELHRDACLTGSKIQVDYDGHCKEKKSVSPSASPVVCYQSNRDELRRRIIQWLEAEIIPDGWFSKGSNYSEILDKYFKNFDNGDSRLDSSEFLKFVEQNETAINITTYPDQENNKLLRGLCVDALIELSDENADWKLSFQEFLKCLNPSFNPPEKKCALEDETYADGAETEVDCNRCVCACGNWVCTAMTCDGKNQKGAQTQTEEEMTRYVQELQKHQETAEKTKRVSTKEI
+>DECOY_sp|Q12841|FSTL1_HUMAN Follistatin-related protein 1 OS=Homo sapiens OX=9606 GN=FSTL1 PE=1 SV=1
+IEKTSVRKTKEATEQHKQLEQVYRTMEEETQTQAGKQNKGDCTMATCVWNGCACVCRNCDVETEAGDAYTEDELACKKEPPNFSPNLCKLFEQFSLKWDANEDSLEILADVCLGRLLKNNEQDPYTTINIATENQEVFKLFESSDLRSDGNDFNKFYKDLIESYNSGKSFWGDPIIEAELWQIIRRRLEDRNSQYCVVPSASPSVSKKEKCHGDYDVQIKSGTLCADRHLECHNLYTKGNSGCVPRKHPKCQEICLCTPEGKETVACERGAGCFVNACIKSKSRLEEEARVWAVAVLALALALWRKWM
+>sp|Q9BTY2|FUCO2_HUMAN Plasma alpha-L-fucosidase OS=Homo sapiens OX=9606 GN=FUCA2 PE=1 SV=2
+MRPQELPRLAFPLLLLLLLLLPPPPCPAHSATRFDPTWESLDARQLPAWFDQAKFGIFIHWGVFSVPSFGSEWFWWYWQKEKIPKYVEFMKDNYPPSFKYEDFGPLFTAKFFNANQWADIFQASGAKYIVLTSKHHEGFTLWGSEYSWNWNAIDEGPKRDIVKELEVAIRNRTDLRFGLYYSLFEWFHPLFLEDESSSFHKRQFPVSKTLPELYELVNNYQPEVLWSDGDGGAPDQYWNSTGFLAWLYNESPVRGTVVTNDRWGAGSICKHGGFYTCSDRYNPGHLLPHKWENCMTIDKLSWGYRREAGISDYLTIEELVKQLVETVSCGGNLLMNIGPTLDGTISVVFEERLRQMGSWLKVNGEAIYETHTWRSQNDTVTPDVWYTSKPKEKLVYAIFLKWPTSGQLFLGHPKAILGATEVKLLGHGQPLNWISLEQNGIMVELPQLTIHQMPCKWGWALALTNVI
+>DECOY_sp|Q9BTY2|FUCO2_HUMAN Plasma alpha-L-fucosidase OS=Homo sapiens OX=9606 GN=FUCA2 PE=1 SV=2
+IVNTLALAWGWKCPMQHITLQPLEVMIGNQELSIWNLPQGHGLLKVETAGLIAKPHGLFLQGSTPWKLFIAYVLKEKPKSTYWVDPTVTDNQSRWTHTEYIAEGNVKLWSGMQRLREEFVVSITGDLTPGINMLLNGGCSVTEVLQKVLEEITLYDSIGAERRYGWSLKDITMCNEWKHPLLHGPNYRDSCTYFGGHKCISGAGWRDNTVVTGRVPSENYLWALFGTSNWYQDPAGGDGDSWLVEPQYNNVLEYLEPLTKSVPFQRKHFSSSEDELFLPHFWEFLSYYLGFRLDTRNRIAVELEKVIDRKPGEDIANWNWSYESGWLTFGEHHKSTLVIYKAGSAQFIDAWQNANFFKATFLPGFDEYKFSPPYNDKMFEVYKPIKEKQWYWWFWESGFSPVSFVGWHIFIGFKAQDFWAPLQRADLSEWTPDFRTASHAPCPPPPLLLLLLLLLPFALRPLEQPRM
+>sp|Q9Y231|FUT9_HUMAN Alpha-(1,3)-fucosyltransferase 9 OS=Homo sapiens OX=9606 GN=FUT9 PE=2 SV=2
+MTSTSKGILRPFLIVCIILGCFMACLLIYIKPTNSWIFSPMESASSVLKMKNFFSTKTDYFNETTILVWVWPFGQTFDLTSCQAMFNIQGCHLTTDRSLYNKSHAVLIHHRDISWDLTNLPQQARPPFQKWIWMNLESPTHTPQKSGIEHLFNLTLTYRRDSDIQVPYGFLTVSTNPFVFEVPSKEKLVCWVVSNWNPEHARVKYYNELSKSIEIHTYGQAFGEYVNDKNLIPTISTCKFYLSFENSIHKDYITEKLYNAFLAGSVPVVLGPSRENYENYIPADSFIHVEDYNSPSELAKYLKEVDKNNKLYLSYFNWRKDFTVNLPRFWESHACLACDHVKRHQEYKSVGNLEKWFWN
+>DECOY_sp|Q9Y231|FUT9_HUMAN Alpha-(1,3)-fucosyltransferase 9 OS=Homo sapiens OX=9606 GN=FUT9 PE=2 SV=2
+NWFWKELNGVSKYEQHRKVHDCALCAHSEWFRPLNVTFDKRWNFYSLYLKNNKDVEKLYKALESPSNYDEVHIFSDAPIYNEYNERSPGLVVPVSGALFANYLKETIYDKHISNEFSLYFKCTSITPILNKDNVYEGFAQGYTHIEISKSLENYYKVRAHEPNWNSVVWCVLKEKSPVEFVFPNTSVTLFGYPVQIDSDRRYTLTLNFLHEIGSKQPTHTPSELNMWIWKQFPPRAQQPLNTLDWSIDRHHILVAHSKNYLSRDTTLHCGQINFMAQCSTLDFTQGFPWVWVLITTENFYDTKTSFFNKMKLVSSASEMPSFIWSNTPKIYILLCAMFCGLIICVILFPRLIGKSTSTM
+>sp|Q9BT04|FUZZY_HUMAN Protein fuzzy homolog OS=Homo sapiens OX=9606 GN=FUZ PE=1 SV=1
+MGEEGTGGTVHLLCLAASSGVPLFCRSSRGGAPARQQLPFSVIGSLNGVHMFGQNLEVQLSSARTENTTVVWKSFHDSITLIVLSSEVGISELRLERLLQMVFGAMVLLVGLEELTNIRNVERLKKDLRASYCLIDSFLGDSELIGDLTQCVDCVIPPEGSLLQEALSGFAEAAGTTFVSLVVSGRVVAATEGWWRLGTPEAVLLPWLVGSLPPQTARDYPVYLPHGSPTVPHRLLTLTLLPSLELCLLCGPSPPLSQLYPQLLERWWQPLLDPLRACLPLGPRALPSGFPLHTDILGLLLLHLELKRCLFTVEPLGDKEPSPEQRRRLLRNFYTLVTSTHFPPEPGPPEKTEDEVYQAQLPRACYLVLGTEEPGTGVRLVALQLGLRRLLLLLSPQSPTHGLRSLATHTLHALTPLL
+>DECOY_sp|Q9BT04|FUZZY_HUMAN Protein fuzzy homolog OS=Homo sapiens OX=9606 GN=FUZ PE=1 SV=1
+LLPTLAHLTHTALSRLGHTPSQPSLLLLLRRLGLQLAVLRVGTGPEETGLVLYCARPLQAQYVEDETKEPPGPEPPFHTSTVLTYFNRLLRRRQEPSPEKDGLPEVTFLCRKLELHLLLLGLIDTHLPFGSPLARPGLPLCARLPDLLPQWWRELLQPYLQSLPPSPGCLLCLELSPLLTLTLLRHPVTPSGHPLYVPYDRATQPPLSGVLWPLLVAEPTGLRWWGETAAVVRGSVVLSVFTTGAAEAFGSLAEQLLSGEPPIVCDVCQTLDGILESDGLFSDILCYSARLDKKLREVNRINTLEELGVLLVMAGFVMQLLRELRLESIGVESSLVILTISDHFSKWVVTTNETRASSLQVELNQGFMHVGNLSGIVSFPLQQRAPAGGRSSRCFLPVGSSAALCLLHVTGGTGEEGM
+>sp|Q5VV16|FX4L5_HUMAN Forkhead box protein D4-like 5 OS=Homo sapiens OX=9606 GN=FOXD4L5 PE=3 SV=1
+MNLPRAERPRSTPQRSLRDSDGEDGKIDVLGEEEDEDEVEDEEEEARQQFLEQSLQPGLQVARWGGVALPREHIEGGGGPSDPSEFGTKFRAPPRSAAASEDARQPAKPPYSYIALITMAILQNPHKRLTLSGICAFISGRFPYYRRKFPAWQNSIRHNLSLNDCFVKIPREPGHPGKGNYWSLDPASQDMFDNGSFLRRRKRFKRHQLTPGAHLPHPFPLPAAHAALHNPHPGPLLGAPAPPQPVPGAYPNTAPGRCPYALLHPHPLRYLLLSAPVYAGAPKKAEGADLATPAPFPCCSPHLVLSLGRRARVWRRHREADASLSALRVLCKGSGERVQGLRRVCPRPRGATATCSSDHQACCIPKPLPLCCKCPPPLLLGQFCSNSSSIRRTAPTAALPPRARCWAGTCRPRRRC
+>DECOY_sp|Q5VV16|FX4L5_HUMAN Forkhead box protein D4-like 5 OS=Homo sapiens OX=9606 GN=FOXD4L5 PE=3 SV=1
+CRRRPRCTGAWCRARPPLAATPATRRISSSNSCFQGLLLPPPCKCCLPLPKPICCAQHDSSCTATAGRPRPCVRRLGQVREGSGKCLVRLASLSADAERHRRWVRARRGLSLVLHPSCCPFPAPTALDAGEAKKPAGAYVPASLLLYRLPHPHLLAYPCRGPATNPYAGPVPQPPAPAGLLPGPHPNHLAAHAAPLPFPHPLHAGPTLQHRKFRKRRRLFSGNDFMDQSAPDLSWYNGKGPHGPERPIKVFCDNLSLNHRISNQWAPFKRRYYPFRGSIFACIGSLTLRKHPNQLIAMTILAIYSYPPKAPQRADESAAASRPPARFKTGFESPDSPGGGGEIHERPLAVGGWRAVQLGPQLSQELFQQRAEEEEDEVEDEDEEEGLVDIKGDEGDSDRLSRQPTSRPREARPLNM
+>sp|Q96N19|G137A_HUMAN Integral membrane protein GPR137 OS=Homo sapiens OX=9606 GN=GPR137 PE=2 SV=2
+MESNLSGLVPAAGLVPALPPAVTLGLTAAYTTLYALLFFSVYAQLWLVLLYGHKRLSYQTVFLALCLLWAALRTTLFSFYFRDTPRANRLGPLPFWLLYCCPVCLQFFTLTLMNLYFAQVVFKAKVKRRPEMSRGLLAVRGAFVGASLLFLLVNVLCAVLSHRRRAQPWALLLVRVLVSDSLFVICALSLAACLCLVARRAPSTSIYLEAKGTSVCQAAAMGGAMVLLYASRACYNLTALALAPQSRLDTFDYDWYNVSDQADLVNDLGNKGYLVFGLILFVWELLPTTLLVGFFRVHRPPQDLSTSHILNGQVFASRSYFFDRAGHCEDEGCSWEHSRGESTRCQDQAATTTVSTPPHRRDPPPSPTEYPGPSPPHPRPLCQVCLPLLAQDPGGRGYPLLWPAPCCSCHSELVPSP
+>DECOY_sp|Q96N19|G137A_HUMAN Integral membrane protein GPR137 OS=Homo sapiens OX=9606 GN=GPR137 PE=2 SV=2
+PSPVLESHCSCCPAPWLLPYGRGGPDQALLPLCVQCLPRPHPPSPGPYETPSPPPDRRHPPTSVTTTAAQDQCRTSEGRSHEWSCGEDECHGARDFFYSRSAFVQGNLIHSTSLDQPPRHVRFFGVLLTTPLLEWVFLILGFVLYGKNGLDNVLDAQDSVNYWDYDFTDLRSQPALALATLNYCARSAYLLVMAGGMAAAQCVSTGKAELYISTSPARRAVLCLCAALSLACIVFLSDSVLVRVLLLAWPQARRRHSLVACLVNVLLFLLSAGVFAGRVALLGRSMEPRRKVKAKFVVQAFYLNMLTLTFFQLCVPCCYLLWFPLPGLRNARPTDRFYFSFLTTRLAAWLLCLALFVTQYSLRKHGYLLVLWLQAYVSFFLLAYLTTYAATLGLTVAPPLAPVLGAAPVLGSLNSEM
+>sp|O60478|G137B_HUMAN Integral membrane protein GPR137B OS=Homo sapiens OX=9606 GN=GPR137B PE=2 SV=1
+MRPERPRPRGSAPGPMETPPWDPARNDSLPPTLTPAVPPYVKLGLTVVYTVFYALLFVFIYVQLWLVLRYRHKRLSYQSVFLFLCLFWASLRTVLFSFYFKDFVAANSLSPFVFWLLYCFPVCLQFFTLTLMNLYFTQVIFKAKSKYSPELLKYRLPLYLASLFISLVFLLVNLTCAVLVKTGNWERKVIVSVRVAINDTLFVLCAVSLSICLYKISKMSLANIYLESKGSSVCQVTAIGVTVILLYTSRACYNLFILSFSQNKSVHSFDYDWYNVSDQADLKNQLGDAGYVLFGVVLFVWELLPTTLVVYFFRVRNPTKDLTNPGMVPSHGFSPRSYFFDNPRRYDSDDDLAWNIAPQGLQGGFAPDYYDWGQQTNSFLAQAGTLQDSTLDPDKPSLG
+>DECOY_sp|O60478|G137B_HUMAN Integral membrane protein GPR137B OS=Homo sapiens OX=9606 GN=GPR137B PE=2 SV=1
+GLSPKDPDLTSDQLTGAQALFSNTQQGWDYYDPAFGGQLGQPAINWALDDDSDYRRPNDFFYSRPSFGHSPVMGPNTLDKTPNRVRFFYVVLTTPLLEWVFLVVGFLVYGADGLQNKLDAQDSVNYWDYDFSHVSKNQSFSLIFLNYCARSTYLLIVTVGIATVQCVSSGKSELYINALSMKSIKYLCISLSVACLVFLTDNIAVRVSVIVKREWNGTKVLVACTLNVLLFVLSIFLSALYLPLRYKLLEPSYKSKAKFIVQTFYLNMLTLTFFQLCVPFCYLLWFVFPSLSNAAVFDKFYFSFLVTRLSAWFLCLFLFVSQYSLRKHRYRLVLWLQVYIFVFLLAYFVTYVVTLGLKVYPPVAPTLTPPLSDNRAPDWPPTEMPGPASGRPRPREPRM
+>sp|P57057|G6PT2_HUMAN Glucose-6-phosphate exchanger SLC37A1 OS=Homo sapiens OX=9606 GN=SLC37A1 PE=2 SV=2
+MARLPAGIRFIISFSRDQWYRAFIFILTFLLYASFHLSRKPISIVKGELHKYCTAWDEADVRFSSQNRKSGSAAPHQLPDNETDCGWAPFDKNNYQQLLGALDYSFLCAYAVGMYLSGIIGERLPIRYYLTFGMLASGAFTALFGLGYFYNIHSFGFYVVTQVINGLVQTTGWPSVVTCLGNWFGKGRRGLIMGVWNSHTSVGNILGSLIAGYWVSTCWGLSFVVPGAIVAAMGIVCFLFLIEHPNDVRCSSTLVTHSKGYENGTNRLRLQKQILKSEKNKPLDPEMQCLLLSDGKGSIHPNHVVILPGDGGSGTAAISFTGALKIPGVIEFSLCLLFAKLVSYTFLFWLPLYITNVDHLDAKKAGELSTLFDVGGIFGGILAGVISDRLEKRASTCGLMLLLAAPTLYIFSTVSKMGLEATIAMLLLSGALVSGPYTLITTAVSADLGTHKSLKGNAHALSTVTAIIDGTGSVGAALGPLLAGLLSPSGWSNVFYMLMFADACALLFLIRLIHKELSCPGSATGDQVPFKEQ
+>DECOY_sp|P57057|G6PT2_HUMAN Glucose-6-phosphate exchanger SLC37A1 OS=Homo sapiens OX=9606 GN=SLC37A1 PE=2 SV=2
+QEKFPVQDGTASGPCSLEKHILRILFLLACADAFMLMYFVNSWGSPSLLGALLPGLAAGVSGTGDIIATVTSLAHANGKLSKHTGLDASVATTILTYPGSVLAGSLLLMAITAELGMKSVTSFIYLTPAALLLMLGCTSARKELRDSIVGALIGGFIGGVDFLTSLEGAKKADLHDVNTIYLPLWFLFTYSVLKAFLLCLSFEIVGPIKLAGTFSIAATGSGGDGPLIVVHNPHISGKGDSLLLCQMEPDLPKNKESKLIQKQLRLRNTGNEYGKSHTVLTSSCRVDNPHEILFLFCVIGMAAVIAGPVVFSLGWCTSVWYGAILSGLINGVSTHSNWVGMILGRRGKGFWNGLCTVVSPWGTTQVLGNIVQTVVYFGFSHINYFYGLGFLATFAGSALMGFTLYYRIPLREGIIGSLYMGVAYACLFSYDLAGLLQQYNNKDFPAWGCDTENDPLQHPAASGSKRNQSSFRVDAEDWATCYKHLEGKVISIPKRSLHFSAYLLFTLIFIFARYWQDRSFSIIFRIGAPLRAM
+>sp|P62684|GA113_HUMAN Endogenous retrovirus group K member 113 Gag polyprotein OS=Homo sapiens OX=9606 GN=HERVK_113 PE=1 SV=2
+MGQTKSKIKSKYASYLSFIKILLKRGGVKVSTKNLIKLFQIIEQFCPWFPEQGTLDLKDWKRIGKELKQAGRKGNIIPLTVWNDWAIIKAALEPFQTEEDSVSVSDAPGSCIIDCNEKTRKKSQKETESLHCEYVAEPVMAQSTQNADYNQLQEVIYPETLKLEGKGPELMGPSESKPRGTSPLPAGQVPVTLQPQKQVKENKTQPPVAYQYWPPAELQYQPPPESQYGYPGMPPAPQGRAPYPQPPTRRLNPTAPPSRQGSELHEIIDKSRKEGDTEAWQFPVTLELMPPGEGAQEGEPPTVEARYKSFSIKMLKDMKEGVKQYGPNSPYMRTLLDSIAHGHRLIPYDWEILAKSSLSPSQFLQFKTWWIDGVQEQVRRNRAANPPVNIDADQLLGIGQNWSTISQQALMQNEAIEQVRAICLRAWEKIQDPGSTCPSFNTVRQGSKEPYPDFVARLQDVAQKSIADEKARKVIVELMAYENANPECQSAIKPLKGKVPAGSDVISEYVKACDGMGGAMHKAMLMAQAITGVVLGGQVRTFGGKCYNCGQIGHLKKNCPVLNKQNITIQATTTGREPPDLCPRCKKGKHWASQCRSKFDKNGQPLSGNEQRGQPQAPQQTGAFPIQPFVPQGFQGQQPPLSQVFQGISQLPQYNNCPPPQAAVQQ
+>DECOY_sp|P62684|GA113_HUMAN Endogenous retrovirus group K member 113 Gag polyprotein OS=Homo sapiens OX=9606 GN=HERVK_113 PE=1 SV=2
+QQVAAQPPPCNNYQPLQSIGQFVQSLPPQQGQFGQPVFPQIPFAGTQQPAQPQGRQENGSLPQGNKDFKSRCQSAWHKGKKCRPCLDPPERGTTTAQITINQKNLVPCNKKLHGIQGCNYCKGGFTRVQGGLVVGTIAQAMLMAKHMAGGMGDCAKVYESIVDSGAPVKGKLPKIASQCEPNANEYAMLEVIVKRAKEDAISKQAVDQLRAVFDPYPEKSGQRVTNFSPCTSGPDQIKEWARLCIARVQEIAENQMLAQQSITSWNQGIGLLQDADINVPPNAARNRRVQEQVGDIWWTKFQLFQSPSLSSKALIEWDYPILRHGHAISDLLTRMYPSNPGYQKVGEKMDKLMKISFSKYRAEVTPPEGEQAGEGPPMLELTVPFQWAETDGEKRSKDIIEHLESGQRSPPATPNLRRTPPQPYPARGQPAPPMGPYGYQSEPPPQYQLEAPPWYQYAVPPQTKNEKVQKQPQLTVPVQGAPLPSTGRPKSESPGMLEPGKGELKLTEPYIVEQLQNYDANQTSQAMVPEAVYECHLSETEKQSKKRTKENCDIICSGPADSVSVSDEETQFPELAAKIIAWDNWVTLPIINGKRGAQKLEKGIRKWDKLDLTGQEPFWPCFQEIIQFLKILNKTSVKVGGRKLLIKIFSLYSAYKSKIKSKTQGM
+>sp|Q86XJ1|GA2L3_HUMAN GAS2-like protein 3 OS=Homo sapiens OX=9606 GN=GAS2L3 PE=1 SV=1
+MQPAIQVWFGEDLPLSPRSPLTPRHGPGLANVCQYDEWIAVRHEATLLPMQEDLSIWLSGLLGIKVKAEKLLEELDNGVLLCQLIDVLQNMVKTCNSEESGNFPMRKVPCKKDAASGSFFARDNTANFLHWCRDIGVDETYLFESEGLVLHKDPRQVYLCLLEIGRIVSRYGVEPPVLVKLEKEIELEETLLNTSGPEDSISIPKSCCRHEELHEAVKHIAEDPPCSCSHRFSIEYLSEGRYRLGDKILFIRMLHGKHVMVRVGGGWDTLQGFLLKYDPCRILQFATLEQKILAFQKGVSNESVPDSPARTPQPPEMNPLSAVNMFQKQNSKPSVPVSIPKSKEKQGRPPGALVPASSLKGGNLGSMSVRSKLPNSPAASSHPKLKSSKGITKKPQAPSNNASSSLASLNPVGKNTSSPALPRTAPCISESPRKCISSPNTPKAKVIPAQNSADLPESTLLPNKCSGKTQPKYLKHNHISSRDNAVSHLAAHSNSSSKCPKLPKANIPVRPKPSFQSSAKMTKTSSKTIATGLGTQSQPSDGAPQAKPVPAQKLKSALNLNQPVSVSSVSPVKATQKSKDKNIVSATKKQPQNKSAFQKTGPSSLKSPGRTPLSIVSLPQSSTKTQTAPKSAQTVAKSQHSTKGPPRSGKTPASIRKPPSSVKDADSGDKKPTAKKKEDDDHYFVMTGSKKPRK
+>DECOY_sp|Q86XJ1|GA2L3_HUMAN GAS2-like protein 3 OS=Homo sapiens OX=9606 GN=GAS2L3 PE=1 SV=1
+KRPKKSGTMVFYHDDDEKKKATPKKDGSDADKVSSPPKRISAPTKGSRPPGKTSHQSKAVTQASKPATQTKTSSQPLSVISLPTRGPSKLSSPGTKQFASKNQPQKKTASVINKDKSKQTAKVPSVSSVSVPQNLNLASKLKQAPVPKAQPAGDSPQSQTGLGTAITKSSTKTMKASSQFSPKPRVPINAKPLKPCKSSSNSHAALHSVANDRSSIHNHKLYKPQTKGSCKNPLLTSEPLDASNQAPIVKAKPTNPSSICKRPSESICPATRPLAPSSTNKGVPNLSALSSSANNSPAQPKKTIGKSSKLKPHSSAAPSNPLKSRVSMSGLNGGKLSSAPVLAGPPRGQKEKSKPISVPVSPKSNQKQFMNVASLPNMEPPQPTRAPSDPVSENSVGKQFALIKQELTAFQLIRCPDYKLLFGQLTDWGGGVRVMVHKGHLMRIFLIKDGLRYRGESLYEISFRHSCSCPPDEAIHKVAEHLEEHRCCSKPISISDEPGSTNLLTEELEIEKELKVLVPPEVGYRSVIRGIELLCLYVQRPDKHLVLGESEFLYTEDVGIDRCWHLFNATNDRAFFSGSAADKKCPVKRMPFNGSEESNCTKVMNQLVDILQCLLVGNDLEELLKEAKVKIGLLGSLWISLDEQMPLLTAEHRVAIWEDYQCVNALGPGHRPTLPSRPSLPLDEGFWVQIAPQM
+>sp|O75293|GA45B_HUMAN Growth arrest and DNA damage-inducible protein GADD45 beta OS=Homo sapiens OX=9606 GN=GADD45B PE=1 SV=1
+MTLEELVACDNAAQKMQTVTAAVEELLVAAQRQDRLTVGVYESAKLMNVDPDSVVLCLLAIDEEEEDDIALQIHFTLIQSFCCDNDINIVRVSGMQRLAQLLGEPAETQGTTEARDLHCLLVTNPHTDAWKSHGLVEVASYCEESRGNNQWVPYISLQER
+>DECOY_sp|O75293|GA45B_HUMAN Growth arrest and DNA damage-inducible protein GADD45 beta OS=Homo sapiens OX=9606 GN=GADD45B PE=1 SV=1
+REQLSIYPVWQNNGRSEECYSAVEVLGHSKWADTHPNTVLLCHLDRAETTGQTEAPEGLLQALRQMGSVRVINIDNDCCFSQILTFHIQLAIDDEEEEDIALLCLVVSDPDVNMLKASEYVGVTLRDQRQAAVLLEEVAATVTQMKQAANDCAVLEELTM
+>sp|Q4V326|GAG2E_HUMAN G antigen 2E OS=Homo sapiens OX=9606 GN=GAGE2E PE=3 SV=2
+MSWRGRSTYYWPRPRRYVQPPEMIGPMRPEQFSDEVEPATPEEGEPATQRQDPAAAQEGEDEGASAGQGPKPEAHSQEQGHPQTGCECEDGPDGQEMDPPNPEEVKTPEE
+>DECOY_sp|Q4V326|GAG2E_HUMAN G antigen 2E OS=Homo sapiens OX=9606 GN=GAGE2E PE=3 SV=2
+EEPTKVEEPNPPDMEQGDPGDECECGTQPHGQEQSHAEPKPGQGASAGEDEGEQAAAPDQRQTAPEGEEPTAPEVEDSFQEPRMPGIMEPPQVYRRPRPWYYTSRGRWSM
+>sp|Q13069|GAGE5_HUMAN G antigen 5 OS=Homo sapiens OX=9606 GN=GAGE5 PE=1 SV=1
+MSWRGRSTYYWPRPRRYVQPPEVIGPMRPEQFSDEVEPATPEEGEPATQRQDPAAAQEGEDEGASAGQGPKPEADSQEQGHPQTGCECEDGPDGQEMDPPNPEEVKTPEEGEKQSQC
+>DECOY_sp|Q13069|GAGE5_HUMAN G antigen 5 OS=Homo sapiens OX=9606 GN=GAGE5 PE=1 SV=1
+CQSQKEGEEPTKVEEPNPPDMEQGDPGDECECGTQPHGQEQSDAEPKPGQGASAGEDEGEQAAAPDQRQTAPEGEEPTAPEVEDSFQEPRMPGIVEPPQVYRRPRPWYYTSRGRWSM
+>sp|P63126|GAK9_HUMAN Endogenous retrovirus group K member 9 Gag polyprotein OS=Homo sapiens OX=9606 GN=ERVK-9 PE=1 SV=2
+MGQTKSKIKSKYASYLSFIKILLKRGGVKVSTKNLIKLFQIIEQFCPWFPEQGTLDLKDWKRIGKELKQAGRKGNIIPLTVWNDWAIIKAALEPFQTEEDSISVSDAPGSGIIDCNEKTRKKSQKETESLHCEYVAEPVMAQSTQNVDYNQLQEVIYPETLKLEGKGPELVGPSESKPRGTSPLPAGQVPVTLQPQKQVKENKTQPPVAYQYWPPAELQYRPPPESQYGYPGMPPAPQGRAPYPQPPTRRLNPTAPPSRQGSELHEIIDKSRKEGDTEAWQFPVTLEPMPPGEGAQEGEPPTVEARYKSFSIKILKDMKEGVKQYGPNSPYMRTLLDSIAHGHRLIPYDWEILAKSSLSPSQFLQFKTWWIDGVQEQVRRNRAANPPVNIDADQLLGIGQNWSTISQQALMQNEAIEQVRAICLRAWEKIQDPGSTCPSFNTVRQGSKEPYPDFVARLQDVAQKSIADEKARKVIVELMAYENANPECQSAIKPLKGKVPAGSDVISEYVKACDGIGGAMHKAMLMAQAITGVVLGGQVRTFGGKCYNCGQIGHLKKNCPVLNKQNITIQATTTGREPPDLCPRCKKGKHWASQCRSKFDKNGQPLSGNEQRGQPQAPQQTGAFPIQPFVPQGFQGQQPPLSQVFQGISQLPQYNNCPPPQVAVQQ
+>DECOY_sp|P63126|GAK9_HUMAN Endogenous retrovirus group K member 9 Gag polyprotein OS=Homo sapiens OX=9606 GN=ERVK-9 PE=1 SV=2
+QQVAVQPPPCNNYQPLQSIGQFVQSLPPQQGQFGQPVFPQIPFAGTQQPAQPQGRQENGSLPQGNKDFKSRCQSAWHKGKKCRPCLDPPERGTTTAQITINQKNLVPCNKKLHGIQGCNYCKGGFTRVQGGLVVGTIAQAMLMAKHMAGGIGDCAKVYESIVDSGAPVKGKLPKIASQCEPNANEYAMLEVIVKRAKEDAISKQAVDQLRAVFDPYPEKSGQRVTNFSPCTSGPDQIKEWARLCIARVQEIAENQMLAQQSITSWNQGIGLLQDADINVPPNAARNRRVQEQVGDIWWTKFQLFQSPSLSSKALIEWDYPILRHGHAISDLLTRMYPSNPGYQKVGEKMDKLIKISFSKYRAEVTPPEGEQAGEGPPMPELTVPFQWAETDGEKRSKDIIEHLESGQRSPPATPNLRRTPPQPYPARGQPAPPMGPYGYQSEPPPRYQLEAPPWYQYAVPPQTKNEKVQKQPQLTVPVQGAPLPSTGRPKSESPGVLEPGKGELKLTEPYIVEQLQNYDVNQTSQAMVPEAVYECHLSETEKQSKKRTKENCDIIGSGPADSVSISDEETQFPELAAKIIAWDNWVTLPIINGKRGAQKLEKGIRKWDKLDLTGQEPFWPCFQEIIQFLKILNKTSVKVGGRKLLIKIFSLYSAYKSKIKSKTQGM
+>sp|O14976|GAK_HUMAN Cyclin-G-associated kinase OS=Homo sapiens OX=9606 GN=GAK PE=1 SV=2
+MSLLQSALDFLAGPGSLGGASGRDQSDFVGQTVELGELRLRVRRVLAEGGFAFVYEAQDVGSGREYALKRLLSNEEEKNRAIIQEVCFMKKLSGHPNIVQFCSAASIGKEESDTGQAEFLLLTELCKGQLVEFLKKMESRGPLSCDTVLKIFYQTCRAVQHMHRQKPPIIHRDLKVENLLLSNQGTIKLCDFGSATTISHYPDYSWSAQRRALVEEEITRNTTPMYRTPEIIDLYSNFPIGEKQDIWALGCILYLLCFRQHPFEDGAKLRIVNGKYSIPPHDTQYTVFHSLIRAMLQVNPEERLSIAEVVHQLQEIAAARNVNPKSPITELLEQNGGYGSATLSRGPPPPVGPAGSGYSGGLALAEYDQPYGGFLDILRGGTERLFTNLKDTSSKVIQSVANYAKGDLDISYITSRIAVMSFPAEGVESALKNNIEDVRLFLDSKHPGHYAVYNLSPRTYRPSRFHNRVSECGWAARRAPHLHTLYNICRNMHAWLRQDHKNVCVVHCMDGRAASAVAVCSFLCFCRLFSTAEAAVYMFSMKRCPPGIWPSHKRYIEYMCDMVAEEPITPHSKPILVRAVVMTPVPLFSKQRSGCRPFCEVYVGDERVASTSQEYDKMRDFKIEDGKAVIPLGVTVQGDVLIVIYHARSTLGGRLQAKMASMKMFQIQFHTGFVPRNATTVKFAKYDLDACDIQEKYPDLFQVNLEVEVEPRDRPSREAPPWENSSMRGLNPKILFSSREEQQDILSKFGKPELPRQPGSTAQYDAGAGSPEAEPTDSDSPPSSSADASRFLHTLDWQEEKEAETGAENASSKESESALMEDRDESEVSDEGGSPISSEGQEPRADPEPPGLAAGLVQQDLVFEVETPAVLPEPVPQEDGVDLLGLHSEVGAGPAVPPQACKAPSSNTDLLSCLLGPPEAASQGPPEDLLSEDPLLLASPAPPLSVQSTPRGGPPAAADPFGPLLPSSGNNSQPCSNPDLFGEFLNSDSVTVPPSFPSAHSAPPPSCSADFLHLGDLPGEPSKMTASSSNPDLLGGWAAWTETAASAVAPTPATEGPLFSPGGQPAPCGSQASWTKSQNPDPFADLGDLSSGLQGSPAGFPPGGFIPKTATTPKGSSSWQTSRPPAQGASWPPQAKPPPKACTQPRPNYASNFSVIGAREERGVRAPSFAQKPKVSENDFEDLLSNQGFSSRSDKKGPKTIAEMRKQDLAKDTDPLKLKLLDWIEGKERNIRALLSTLHTVLWDGESRWTPVGMADLVAPEQVKKHYRRAVLAVHPDKAAGQPYEQHAKMIFMELNDAWSEFENQGSRPLF
+>DECOY_sp|O14976|GAK_HUMAN Cyclin-G-associated kinase OS=Homo sapiens OX=9606 GN=GAK PE=1 SV=2
+FLPRSGQNEFESWADNLEMFIMKAHQEYPQGAAKDPHVALVARRYHKKVQEPAVLDAMGVPTWRSEGDWLVTHLTSLLARINREKGEIWDLLKLKLPDTDKALDQKRMEAITKPGKKDSRSSFGQNSLLDEFDNESVKPKQAFSPARVGREERAGIVSFNSAYNPRPQTCAKPPPKAQPPWSAGQAPPRSTQWSSSGKPTTATKPIFGGPPFGAPSGQLGSSLDGLDAFPDPNQSKTWSAQSGCPAPQGGPSFLPGETAPTPAVASAATETWAAWGGLLDPNSSSATMKSPEGPLDGLHLFDASCSPPPASHASPFSPPVTVSDSNLFEGFLDPNSCPQSNNGSSPLLPGFPDAAAPPGGRPTSQVSLPPAPSALLLPDESLLDEPPGQSAAEPPGLLCSLLDTNSSPAKCAQPPVAPGAGVESHLGLLDVGDEQPVPEPLVAPTEVEFVLDQQVLGAALGPPEPDARPEQGESSIPSGGEDSVESEDRDEMLASESEKSSANEAGTEAEKEEQWDLTHLFRSADASSSPPSDSDTPEAEPSGAGADYQATSGPQRPLEPKGFKSLIDQQEERSSFLIKPNLGRMSSNEWPPAERSPRDRPEVEVELNVQFLDPYKEQIDCADLDYKAFKVTTANRPVFGTHFQIQFMKMSAMKAQLRGGLTSRAHYIVILVDGQVTVGLPIVAKGDEIKFDRMKDYEQSTSAVREDGVYVECFPRCGSRQKSFLPVPTMVVARVLIPKSHPTIPEEAVMDCMYEIYRKHSPWIGPPCRKMSFMYVAAEATSFLRCFCLFSCVAVASAARGDMCHVVCVNKHDQRLWAHMNRCINYLTHLHPARRAAWGCESVRNHFRSPRYTRPSLNYVAYHGPHKSDLFLRVDEINNKLASEVGEAPFSMVAIRSTIYSIDLDGKAYNAVSQIVKSSTDKLNTFLRETGGRLIDLFGGYPQDYEALALGGSYGSGAPGVPPPPGRSLTASGYGGNQELLETIPSKPNVNRAAAIEQLQHVVEAISLREEPNVQLMARILSHFVTYQTDHPPISYKGNVIRLKAGDEFPHQRFCLLYLICGLAWIDQKEGIPFNSYLDIIEPTRYMPTTNRTIEEEVLARRQASWSYDPYHSITTASGFDCLKITGQNSLLLNEVKLDRHIIPPKQRHMHQVARCTQYFIKLVTDCSLPGRSEMKKLFEVLQGKCLETLLLFEAQGTDSEEKGISAASCFQVINPHGSLKKMFCVEQIIARNKEEENSLLRKLAYERGSGVDQAEYVFAFGGEALVRRVRLRLEGLEVTQGVFDSQDRGSAGGLSGPGALFDLASQLLSM
+>sp|Q96C23|GALM_HUMAN Aldose 1-epimerase OS=Homo sapiens OX=9606 GN=GALM PE=1 SV=1
+MASVTRAVFGELPSGGGTVEKFQLQSDLLRVDIISWGCTITALEVKDRQGRASDVVLGFAELEGYLQKQPYFGAVIGRVANRIAKGTFKVDGKEYHLAINKEPNSLHGGVRGFDKVLWTPRVLSNGVQFSRISPDGEEGYPGELKVWVTYTLDGGELIVNYRAQASQATPVNLTNHSYFNLAGQASPNINDHEVTIEADTYLPVDETLIPTGEVAPVQGTAFDLRKPVELGKHLQDFHLNGFDHNFCLKGSKEKHFCARVHHAASGRVLEVYTTQPGVQFYTGNFLDGTLKGKNGAVYPKHSGFCLETQNWPDAVNQPRFPPVLLRPGEEYDHTTWFKFSVA
+>DECOY_sp|Q96C23|GALM_HUMAN Aldose 1-epimerase OS=Homo sapiens OX=9606 GN=GALM PE=1 SV=1
+AVSFKFWTTHDYEEGPRLLVPPFRPQNVADPWNQTELCFGSHKPYVAGNKGKLTGDLFNGTYFQVGPQTTYVELVRGSAAHHVRACFHKEKSGKLCFNHDFGNLHFDQLHKGLEVPKRLDFATGQVPAVEGTPILTEDVPLYTDAEITVEHDNINPSAQGALNFYSHNTLNVPTAQSAQARYNVILEGGDLTYTVWVKLEGPYGEEGDPSIRSFQVGNSLVRPTWLVKDFGRVGGHLSNPEKNIALHYEKGDVKFTGKAIRNAVRGIVAGFYPQKQLYGELEAFGLVVDSARGQRDKVELATITCGWSIIDVRLLDSQLQFKEVTGGGSPLEGFVARTVSAM
+>sp|Q10471|GALT2_HUMAN Polypeptide N-acetylgalactosaminyltransferase 2 OS=Homo sapiens OX=9606 GN=GALNT2 PE=1 SV=1
+MRRRSRMLLCFAFLWVLGIAYYMYSGGGSALAGGAGGGAGRKEDWNEIDPIKKKDLHHSNGEEKAQSMETLPPGKVRWPDFNQEAYVGGTMVRSGQDPYARNKFNQVESDKLRMDRAIPDTRHDQCQRKQWRVDLPATSVVITFHNEARSALLRTVVSVLKKSPPHLIKEIILVDDYSNDPEDGALLGKIEKVRVLRNDRREGLMRSRVRGADAAQAKVLTFLDSHCECNEHWLEPLLERVAEDRTRVVSPIIDVINMDNFQYVGASADLKGGFDWNLVFKWDYMTPEQRRSRQGNPVAPIKTPMIAGGLFVMDKFYFEELGKYDMMMDVWGGENLEISFRVWQCGGSLEIIPCSRVGHVFRKQHPYTFPGGSGTVFARNTRRAAEVWMDEYKNFYYAAVPSARNVPYGNIQSRLELRKKLSCKPFKWYLENVYPELRVPDHQDIAFGALQQGTNCLDTLGHFADGVVGVYECHNAGGNQEWALTKEKSVKHMDLCLTVVDRAPGSLIKLQGCRENDSRQKWEQIEGNSKLRHVGSNLCLDSRTAKSGGLSVEVCGPALSQQWKFTLNLQQ
+>DECOY_sp|Q10471|GALT2_HUMAN Polypeptide N-acetylgalactosaminyltransferase 2 OS=Homo sapiens OX=9606 GN=GALNT2 PE=1 SV=1
+QQLNLTFKWQQSLAPGCVEVSLGGSKATRSDLCLNSGVHRLKSNGEIQEWKQRSDNERCGQLKILSGPARDVVTLCLDMHKVSKEKTLAWEQNGGANHCEYVGVVGDAFHGLTDLCNTGQQLAGFAIDQHDPVRLEPYVNELYWKFPKCSLKKRLELRSQINGYPVNRASPVAAYYFNKYEDMWVEAARRTNRAFVTGSGGPFTYPHQKRFVHGVRSCPIIELSGGCQWVRFSIELNEGGWVDMMMDYKGLEEFYFKDMVFLGGAIMPTKIPAVPNGQRSRRQEPTMYDWKFVLNWDFGGKLDASAGVYQFNDMNIVDIIPSVVRTRDEAVRELLPELWHENCECHSDLFTLVKAQAADAGRVRSRMLGERRDNRLVRVKEIKGLLAGDEPDNSYDDVLIIEKILHPPSKKLVSVVTRLLASRAENHFTIVVSTAPLDVRWQKRQCQDHRTDPIARDMRLKDSEVQNFKNRAYPDQGSRVMTGGVYAEQNFDPWRVKGPPLTEMSQAKEEGNSHHLDKKKIPDIENWDEKRGAGGGAGGALASGGGSYMYYAIGLVWLFAFCLLMRSRRRM
+>sp|Q14435|GALT3_HUMAN Polypeptide N-acetylgalactosaminyltransferase 3 OS=Homo sapiens OX=9606 GN=GALNT3 PE=1 SV=2
+MAHLKRLVKLHIKRHYHKKFWKLGAVIFFFIIVLVLMQREVSVQYSKEESRMERNMKNKNKMLDLMLEAVNNIKDAMPKMQIGAPVRQNIDAGERPCLQGYYTAAELKPVLDRPPQDSNAPGASGKAFKTTNLSVEEQKEKERGEAKHCFNAFASDRISLHRDLGPDTRPPECIEQKFKRCPPLPTTSVIIVFHNEAWSTLLRTVHSVLYSSPAILLKEIILVDDASVDEYLHDKLDEYVKQFSIVKIVRQRERKGLITARLLGATVATAETLTFLDAHCECFYGWLEPLLARIAENYTAVVSPDIASIDLNTFEFNKPSPYGSNHNRGNFDWSLSFGWESLPDHEKQRRKDETYPIKTPTFAGGLFSISKEYFEYIGSYDEEMEIWGGENIEMSFRVWQCGGQLEIMPCSVVGHVFRSKSPHSFPKGTQVIARNQVRLAEVWMDEYKEIFYRRNTDAAKIVKQKAFGDLSKRFEIKHRLQCKNFTWYLNNIYPEVYVPDLNPVISGYIKSVGQPLCLDVGENNQGGKPLIMYTCHGLGGNQYFEYSAQHEIRHNIQKELCLHAAQGLVQLKACTYKGHKTVVTGEQIWEIQKDQLLYNPFLKMCLSANGEHPSLVSCNPSDPLQKWILSQND
+>DECOY_sp|Q14435|GALT3_HUMAN Polypeptide N-acetylgalactosaminyltransferase 3 OS=Homo sapiens OX=9606 GN=GALNT3 PE=1 SV=2
+DNQSLIWKQLPDSPNCSVLSPHEGNASLCMKLFPNYLLQDKQIEWIQEGTVVTKHGKYTCAKLQVLGQAAHLCLEKQINHRIEHQASYEFYQNGGLGHCTYMILPKGGQNNEGVDLCLPQGVSKIYGSIVPNLDPVYVEPYINNLYWTFNKCQLRHKIEFRKSLDGFAKQKVIKAADTNRRYFIEKYEDMWVEALRVQNRAIVQTGKPFSHPSKSRFVHGVVSCPMIELQGGCQWVRFSMEINEGGWIEMEEDYSGIYEFYEKSISFLGGAFTPTKIPYTEDKRRQKEHDPLSEWGFSLSWDFNGRNHNSGYPSPKNFEFTNLDISAIDPSVVATYNEAIRALLPELWGYFCECHADLFTLTEATAVTAGLLRATILGKRERQRVIKVISFQKVYEDLKDHLYEDVSADDVLIIEKLLIAPSSYLVSHVTRLLTSWAENHFVIIVSTTPLPPCRKFKQEICEPPRTDPGLDRHLSIRDSAFANFCHKAEGREKEKQEEVSLNTTKFAKGSAGPANSDQPPRDLVPKLEAATYYGQLCPREGADINQRVPAGIQMKPMADKINNVAELMLDLMKNKNKMNREMRSEEKSYQVSVERQMLVLVIIFFFIVAGLKWFKKHYHRKIHLKVLRKLHAM
+>sp|Q7Z7M9|GALT5_HUMAN Polypeptide N-acetylgalactosaminyltransferase 5 OS=Homo sapiens OX=9606 GN=GALNT5 PE=1 SV=1
+MNRIRKFFRGSGRVLAFIFVASVIWLLFDMAALRLSFSEINTRVIKEDIVRRERIGFRVQPDQGKIFYSSIKEMKPPLRGHGKGAWGKENVRKTEESVLKVEVDLDQTQRERKMQNALGRGKVVPLWHPAHLQTLPVTPNKQKTDGRGTKPEASSHQGTPKQTTAQGAPKTSFIAAKGTQVVKISVHMGRVSLKQEPRKSHSPSSDTSKLAAERDLNVTISLSTDRPKQRSQAVANERAHPASTAVPKSGEAMALNKTKTQSKEVNANKHKANTSLPFPKFTVNSNRLRKQSINETPLGSLSKDDGARGAHGKKLNFSESHLVIITKEEEQKADPKEVSNSKTKTIFPKVLGKSQSKHISRNRSEMSSSSLAPHRVPLSQTNHALTGGLEPAKINITAKAPSTEYNQSHIKALLPEDSGTHQVLRIDVTLSPRDPKAPGQFGRPVVVPHGKEKEAERRWKEGNFNVYLSDLIPVDRAIEDTRPAGCAEQLVHNNLPTTSVIMCFVDEVWSTLLRSVHSVINRSPPHLIKEILLVDDFSTKDYLKDNLDKYMSQFPKVRILRLKERHGLIRARLAGAQNATGDVLTFLDSHVECNVGWLEPLLERVYLSRKKVACPVIEVINDKDMSYMTVDNFQRGIFVWPMNFGWRTIPPDVIAKNRIKETDTIRCPVMAGGLFSIDKSYFFELGTYDPGLDVWGGENMELSFKVWMCGGEIEIIPCSRVGHIFRNDNPYSFPKDRMKTVERNLVRVAEVWLDEYKELFYGHGDHLIDQGLDVGNLTQQRELRKKLKCKSFKWYLENVFPDLRAPIVRASGVLINVALGKCISIENTTVILEDCDGSKELQQFNYTWLRLIKCGEWCIAPIPDKGAVRLHPCDNRNKGLKWLHKSTSVFHPELVNHIVFENNQQLLCLEGNFSQKILKVAACDPVKPYQKWKFEKYYEA
+>DECOY_sp|Q7Z7M9|GALT5_HUMAN Polypeptide N-acetylgalactosaminyltransferase 5 OS=Homo sapiens OX=9606 GN=GALNT5 PE=1 SV=1
+AEYYKEFKWKQYPKVPDCAAVKLIKQSFNGELCLLQQNNEFVIHNVLEPHFVSTSKHLWKLGKNRNDCPHLRVAGKDPIPAICWEGCKILRLWTYNFQQLEKSGDCDELIVTTNEISICKGLAVNILVGSARVIPARLDPFVNELYWKFSKCKLKKRLERQQTLNGVDLGQDILHDGHGYFLEKYEDLWVEAVRVLNREVTKMRDKPFSYPNDNRFIHGVRSCPIIEIEGGCMWVKFSLEMNEGGWVDLGPDYTGLEFFYSKDISFLGGAMVPCRITDTEKIRNKAIVDPPITRWGFNMPWVFIGRQFNDVTMYSMDKDNIVEIVPCAVKKRSLYVRELLPELWGVNCEVHSDLFTLVDGTANQAGALRARILGHREKLRLIRVKPFQSMYKDLNDKLYDKTSFDDVLLIEKILHPPSRNIVSHVSRLLTSWVEDVFCMIVSTTPLNNHVLQEACGAPRTDEIARDVPILDSLYVNFNGEKWRREAEKEKGHPVVVPRGFQGPAKPDRPSLTVDIRLVQHTGSDEPLLAKIHSQNYETSPAKATINIKAPELGGTLAHNTQSLPVRHPALSSSSMESRNRSIHKSQSKGLVKPFITKTKSNSVEKPDAKQEEEKTIIVLHSESFNLKKGHAGRAGDDKSLSGLPTENISQKRLRNSNVTFKPFPLSTNAKHKNANVEKSQTKTKNLAMAEGSKPVATSAPHARENAVAQSRQKPRDTSLSITVNLDREAALKSTDSSPSHSKRPEQKLSVRGMHVSIKVVQTGKAAIFSTKPAGQATTQKPTGQHSSAEPKTGRGDTKQKNPTVPLTQLHAPHWLPVVKGRGLANQMKRERQTQDLDVEVKLVSEETKRVNEKGWAGKGHGRLPPKMEKISSYFIKGQDPQVRFGIRERRVIDEKIVRTNIESFSLRLAAMDFLLWIVSAVFIFALVRGSGRFFKRIRNM
+>sp|Q9NY28|GALT8_HUMAN Probable polypeptide N-acetylgalactosaminyltransferase 8 OS=Homo sapiens OX=9606 GN=GALNT8 PE=2 SV=1
+MMFWRKLPKALFIGLTLAIAVNLLLVFSSKGTLQNLFTGGLHRELPLHLNKRYGAVIKRLSHLEVELQDLKESMKLALRQQENVNSTLKRAKDEVRPLLKAMETKVNETKKHKTQMKLFPHSQLFRQWGEDLSEAQQKAAQDLFRKFGYNAYLSNQLPLNRTIPDTRDYRCLRKTYPSQLPSLSVILIFVNEALSIIQRAITSIINRTPSRLLKEIILVDDFSSNGELKVHLDEKIKLYNQKYPGLLKIIRHPERKGLAQARNTGWEAATADVVAILDAHIEVNVGWAEPILARIQEDRTVIVSPVFDNIRFDTFKLDKYELAVDGFNWELWCRYDALPQAWIDLHDVTAPVKSPSIMGILAANRHFLGEIGSLDGGMLIYGGENVELSLRVWQCGGKVEILPCSRIAHLERHHKPYALDLTAALKRNALRVAEIWMDEHKHMVYLAWNIPLQNSGIDFGDVSSRMALREKLKCKTFDWYLKNVYPLLKPLHTIVGYGRMKNLLDENVCLDQGPVPGNTPIMYYCHEFSSQNVYYHLTGELYVGQLIAEASASDRCLTDPGKAEKPTLEPCSKAAKNRLHIYWDFKPGGAVINRDTKRCLEMKKDLLGSHVLVLQTCSTQVWEIQHTVRDWGQTNSQ
+>DECOY_sp|Q9NY28|GALT8_HUMAN Probable polypeptide N-acetylgalactosaminyltransferase 8 OS=Homo sapiens OX=9606 GN=GALNT8 PE=2 SV=1
+QSNTQGWDRVTHQIEWVQTSCTQLVLVHSGLLDKKMELCRKTDRNIVAGGPKFDWYIHLRNKAAKSCPELTPKEAKGPDTLCRDSASAEAILQGVYLEGTLHYYVNQSSFEHCYYMIPTNGPVPGQDLCVNEDLLNKMRGYGVITHLPKLLPYVNKLYWDFTKCKLKERLAMRSSVDGFDIGSNQLPINWALYVMHKHEDMWIEAVRLANRKLAATLDLAYPKHHRELHAIRSCPLIEVKGGCQWVRLSLEVNEGGYILMGGDLSGIEGLFHRNAALIGMISPSKVPATVDHLDIWAQPLADYRCWLEWNFGDVALEYKDLKFTDFRINDFVPSVIVTRDEQIRALIPEAWGVNVEIHADLIAVVDATAAEWGTNRAQALGKREPHRIIKLLGPYKQNYLKIKEDLHVKLEGNSSFDDVLIIEKLLRSPTRNIISTIARQIISLAENVFILIVSLSPLQSPYTKRLCRYDRTDPITRNLPLQNSLYANYGFKRFLDQAAKQQAESLDEGWQRFLQSHPFLKMQTKHKKTENVKTEMAKLLPRVEDKARKLTSNVNEQQRLALKMSEKLDQLEVELHSLRKIVAGYRKNLHLPLERHLGGTFLNQLTGKSSFVLLLNVAIALTLGIFLAKPLKRWFMM
+>sp|P07902|GALT_HUMAN Galactose-1-phosphate uridylyltransferase OS=Homo sapiens OX=9606 GN=GALT PE=1 SV=3
+MSRSGTDPQQRQQASEADAAAATFRANDHQHIRYNPLQDEWVLVSAHRMKRPWQGQVEPQLLKTVPRHDPLNPLCPGAIRANGEVNPQYDSTFLFDNDFPALQPDAPSPGPSDHPLFQAKSARGVCKVMCFHPWSDVTLPLMSVPEIRAVVDAWASVTEELGAQYPWVQIFENKGAMMGCSNPHPHCQVWASSFLPDIAQREERSQQAYKSQHGEPLLMEYSRQELLRKERLVLTSEHWLVLVPFWATWPYQTLLLPRRHVRRLPELTPAERDDLASIMKKLLTKYDNLFETSFPYSMGWHGAPTGSEAGANWNHWQLHAHYYPPLLRSATVRKFMVGYEMLAQAQRDLTPEQAAERLRALPEVHYHLGQKDRETATIA
+>DECOY_sp|P07902|GALT_HUMAN Galactose-1-phosphate uridylyltransferase OS=Homo sapiens OX=9606 GN=GALT PE=1 SV=3
+AITATERDKQGLHYHVEPLARLREAAQEPTLDRQAQALMEYGVMFKRVTASRLLPPYYHAHLQWHNWNAGAESGTPAGHWGMSYPFSTEFLNDYKTLLKKMISALDDREAPTLEPLRRVHRRPLLLTQYPWTAWFPVLVLWHESTLVLREKRLLEQRSYEMLLPEGHQSKYAQQSREERQAIDPLFSSAWVQCHPHPNSCGMMAGKNEFIQVWPYQAGLEETVSAWADVVARIEPVSMLPLTVDSWPHFCMVKCVGRASKAQFLPHDSPGPSPADPQLAPFDNDFLFTSDYQPNVEGNARIAGPCLPNLPDHRPVTKLLQPEVQGQWPRKMRHASVLVWEDQLPNYRIHQHDNARFTAAAADAESAQQRQQPDTGSRSM
+>sp|Q14697|GANAB_HUMAN Neutral alpha-glucosidase AB OS=Homo sapiens OX=9606 GN=GANAB PE=1 SV=3
+MAAVAAVAARRRRSWASLVLAFLGVCLGITLAVDRSNFKTCEESSFCKRQRSIRPGLSPYRALLDSLQLGPDSLTVHLIHEVTKVLLVLELQGLQKNMTRFRIDELEPRRPRYRVPDVLVADPPIARLSVSGRDENSVELTMAEGPYKIILTARPFRLDLLEDRSLLLSVNARGLLEFEHQRAPRVSQGSKDPAEGDGAQPEETPRDGDKPEETQGKAEKDEPGAWEETFKTHSDSKPYGPMSVGLDFSLPGMEHVYGIPEHADNLRLKVTEGGEPYRLYNLDVFQYELYNPMALYGSVPVLLAHNPHRDLGIFWLNAAETWVDISSNTAGKTLFGKMMDYLQGSGETPQTDVRWMSETGIIDVFLLLGPSISDVFRQYASLTGTQALPPLFSLGYHQSRWNYRDEADVLEVDQGFDDHNLPCDVIWLDIEHADGKRYFTWDPSRFPQPRTMLERLASKRRKLVAIVDPHIKVDSGYRVHEELRNLGLYVKTRDGSDYEGWCWPGSAGYPDFTNPTMRAWWANMFSYDNYEGSAPNLFVWNDMNEPSVFNGPEVTMLKDAQHYGGWEHRDVHNIYGLYVHMATADGLRQRSGGMERPFVLARAFFAGSQRFGAVWTGDNTAEWDHLKISIPMCLSLGLVGLSFCGADVGGFFKNPEPELLVRWYQMGAYQPFFRAHAHLDTGRREPWLLPSQHNDIIRDALGQRYSLLPFWYTLLYQAHREGIPVMRPLWVQYPQDVTTFNIDDQYLLGDALLVHPVSDSGAHGVQVYLPGQGEVWYDIQSYQKHHGPQTLYLPVTLSSIPVFQRGGTIVPRWMRVRRSSECMKDDPITLFVALSPQGTAQGELFLDDGHTFNYQTRQEFLLRRFSFSGNTLVSSSADPEGHFETPIWIERVVIIGAGKPAAVVLQTKGSPESRLSFQHDPETSVLVLRKPGINVASDWSIHLR
+>DECOY_sp|Q14697|GANAB_HUMAN Neutral alpha-glucosidase AB OS=Homo sapiens OX=9606 GN=GANAB PE=1 SV=3
+RLHISWDSAVNIGPKRLVLVSTEPDHQFSLRSEPSGKTQLVVAAPKGAGIIVVREIWIPTEFHGEPDASSSVLTNGSFSFRRLLFEQRTQYNFTHGDDLFLEGQATGQPSLAVFLTIPDDKMCESSRRVRMWRPVITGGRQFVPISSLTVPLYLTQPGHHKQYSQIDYWVEGQGPLYVQVGHAGSDSVPHVLLADGLLYQDDINFTTVDQPYQVWLPRMVPIGERHAQYLLTYWFPLLSYRQGLADRIIDNHQSPLLWPERRGTDLHAHARFFPQYAGMQYWRVLLEPEPNKFFGGVDAGCFSLGVLGLSLCMPISIKLHDWEATNDGTWVAGFRQSGAFFARALVFPREMGGSRQRLGDATAMHVYLGYINHVDRHEWGGYHQADKLMTVEPGNFVSPENMDNWVFLNPASGEYNDYSFMNAWWARMTPNTFDPYGASGPWCWGEYDSGDRTKVYLGLNRLEEHVRYGSDVKIHPDVIAVLKRRKSALRELMTRPQPFRSPDWTFYRKGDAHEIDLWIVDCPLNHDDFGQDVELVDAEDRYNWRSQHYGLSFLPPLAQTGTLSAYQRFVDSISPGLLLFVDIIGTESMWRVDTQPTEGSGQLYDMMKGFLTKGATNSSIDVWTEAANLWFIGLDRHPNHALLVPVSGYLAMPNYLEYQFVDLNYLRYPEGGETVKLRLNDAHEPIGYVHEMGPLSFDLGVSMPGYPKSDSHTKFTEEWAGPEDKEAKGQTEEPKDGDRPTEEPQAGDGEAPDKSGQSVRPARQHEFELLGRANVSLLLSRDELLDLRFPRATLIIKYPGEAMTLEVSNEDRGSVSLRAIPPDAVLVDPVRYRPRRPELEDIRFRTMNKQLGQLELVLLVKTVEHILHVTLSDPGLQLSDLLARYPSLGPRISRQRKCFSSEECTKFNSRDVALTIGLCVGLFALVLSAWSRRRRAAVAAVAAM
+>sp|Q9H706|GARE1_HUMAN GRB2-associated and regulator of MAPK protein 1 OS=Homo sapiens OX=9606 GN=GAREM1 PE=1 SV=2
+MDPAPSLGCSLKDVKWSSVAVPLDLLVSTYRLPQIARLDNGECVEGLRENDYLLIHSCRQWTTITAHSLEEGHYVIGPKIEIPVHYAGQFKLLEQDRDIKEPVQYFNSVEEVAKAFPERVYVMEDITFNVKVASGECNEDTEVYNITLCTGDELTLMGQAEILYAKTFKEKSRLNTIFKKIGKLNSISKLGKGKMPCLICMNHRTNESISLPFQCKGRFSTRSPLELQMQEGEHTIRNIVEKTRLPVNVTVPSPPPRNPYDLHFIREGHRYKFVNIQTKTVVVCCVLRNNKILPMHFPLHLTVPKFSLPEHLVKGESWPETLVHHWLGICQEQFDIDEYSRAVRDVKTDWNEECKSPKKGRCSGHNHVPNSLSYARDELTQSFHRLSVCVYGNNLHGNSEVNLHGCRDLGGDWAPFPHDILPYQDSGDSGSDYLFPEASEESAGIPGKSELPYEELWLEEGKPSHQPLTRSLSEKNRCDQFRGSVRSKCATSPLPIPGTLGAAVKSSDTALPPPPVPPKSEAVREECRLLNAPPVPPRSAKPLSTSPSIPPRTVKPARQQTRSPSPTLSYYSSGLHNISVTKTDTNPSESTPVSCYPCNRVKTDSVDLKSPFGSPSAEAVSSRLSWPNHYSGASESQTRSDFLLDPSRSYSYPRQKTPGTPKRNCPAPFDFDGCELLASPTSPVTAEFSSSVSGCPKSASYSLESTDVKSLAAGVTKQSTSCPALPPRAPKLVEEKVASETSPLPLKIDGAEEDPKSGSPDLSEDQYFVKKGMQDIFSASYPFSSPLHLQLAPRSCGDGSPWQPPADLSGLSIEEVSKSLRFIGLSEDVISFFVTEKIDGNLLVQLTEEILSEDFKLSKLQVKKIMQFINGWRPKI
+>DECOY_sp|Q9H706|GARE1_HUMAN GRB2-associated and regulator of MAPK protein 1 OS=Homo sapiens OX=9606 GN=GAREM1 PE=1 SV=2
+IKPRWGNIFQMIKKVQLKSLKFDESLIEETLQVLLNGDIKETVFFSIVDESLGIFRLSKSVEEISLGSLDAPPQWPSGDGCSRPALQLHLPSSFPYSASFIDQMGKKVFYQDESLDPSGSKPDEEAGDIKLPLPSTESAVKEEVLKPARPPLAPCSTSQKTVGAALSKVDTSELSYSASKPCGSVSSSFEATVPSTPSALLECGDFDFPAPCNRKPTGPTKQRPYSYSRSPDLLFDSRTQSESAGSYHNPWSLRSSVAEASPSGFPSKLDVSDTKVRNCPYCSVPTSESPNTDTKTVSINHLGSSYYSLTPSPSRTQQRAPKVTRPPISPSTSLPKASRPPVPPANLLRCEERVAESKPPVPPPPLATDSSKVAAGLTGPIPLPSTACKSRVSGRFQDCRNKESLSRTLPQHSPKGEELWLEEYPLESKGPIGASEESAEPFLYDSGSDGSDQYPLIDHPFPAWDGGLDRCGHLNVESNGHLNNGYVCVSLRHFSQTLEDRAYSLSNPVHNHGSCRGKKPSKCEENWDTKVDRVARSYEDIDFQEQCIGLWHHVLTEPWSEGKVLHEPLSFKPVTLHLPFHMPLIKNNRLVCCVVVTKTQINVFKYRHGERIFHLDYPNRPPPSPVTVNVPLRTKEVINRITHEGEQMQLELPSRTSFRGKCQFPLSISENTRHNMCILCPMKGKGLKSISNLKGIKKFITNLRSKEKFTKAYLIEAQGMLTLEDGTCLTINYVETDENCEGSAVKVNFTIDEMVYVREPFAKAVEEVSNFYQVPEKIDRDQELLKFQGAYHVPIEIKPGIVYHGEELSHATITTWQRCSHILLYDNERLGEVCEGNDLRAIQPLRYTSVLLDLPVAVSSWKVDKLSCGLSPAPDM
+>sp|P54826|GAS1_HUMAN Growth arrest-specific protein 1 OS=Homo sapiens OX=9606 GN=GAS1 PE=2 SV=2
+MVAALLGGGGEARGGTVPGAWLCLMALLQLLGSAPRGSGLAHGRRLICWQALLQCQGEPECSYAYNQYAEACAPVLAQHGGGDAPGAAAAAFPASAASFSSRWRCPSHCISALIQLNHTRRGPALEDCDCAQDENCKSTKRAIEPCLPRTSGGGAGGPGAGGVMGCTEARRRCDRDSRCNLALSRYLTYCGKVFNGLRCTDECRTVIEDMLAMPKAALLNDCVCDGLERPICESVKENMARLCFGAELGNGPGSSGSDGGLDDYYDEDYDDEQRTGGAGGEQPLDDDDGVPHPPRPGSGAAASGGRGDLPYGPGRRSSGGGGRLAPRGAWTPLASILLLLLGPLF
+>DECOY_sp|P54826|GAS1_HUMAN Growth arrest-specific protein 1 OS=Homo sapiens OX=9606 GN=GAS1 PE=2 SV=2
+FLPGLLLLLISALPTWAGRPALRGGGGSSRRGPGYPLDGRGGSAAAGSGPRPPHPVGDDDDLPQEGGAGGTRQEDDYDEDYYDDLGGDSGSSGPGNGLEAGFCLRAMNEKVSECIPRELGDCVCDNLLAAKPMALMDEIVTRCEDTCRLGNFVKGCYTLYRSLALNCRSDRDCRRRAETCGMVGGAGPGGAGGGSTRPLCPEIARKTSKCNEDQACDCDELAPGRRTHNLQILASICHSPCRWRSSFSAASAPFAAAAAGPADGGGHQALVPACAEAYQNYAYSCEPEGQCQLLAQWCILRRGHALGSGRPASGLLQLLAMLCLWAGPVTGGRAEGGGGLLAAVM
+>sp|O60861|GAS7_HUMAN Growth arrest-specific protein 7 OS=Homo sapiens OX=9606 GN=GAS7 PE=1 SV=3
+MSGARCRTLYPFSGERHGQGLRFAAGELITLLQVPDGGWWEGEKEDGLRGWFPASYVQLLEKPGMVPPPPGEESQTVILPPGWQSYLSPQGRRYYVNTTTNETTWERPSSSPGIPASPGSHRSSLPPTVNGYHASGTPAHPPETAHMSVRKSTGDSQNLGSSSPSKKQSKENTITINCVTFPHPDTMPEQQLLKPTEWSYCDYFWADKKDPQGNGTVAGFELLLQKQLKGKQMQKEMSEFIRERIKIEEDYAKNLAKLSQNSLASQEEGSLGEAWAQVKKSLADEAEVHLKFSAKLHSEVEKPLMNFRENFKKDMKKCDHHIADLRKQLASRYASVEKARKALTERQRDLEMKTQQLEIKLSNKTEEDIKKARRKSTQAGDDLMRCVDLYNQAQSKWFEEMVTTTLELERLEVERVEMIRQHLCQYTQLRHETDMFNQSTVEPVDQLLRKVDPAKDRELWVREHKTGNIRPVDMEI
+>DECOY_sp|O60861|GAS7_HUMAN Growth arrest-specific protein 7 OS=Homo sapiens OX=9606 GN=GAS7 PE=1 SV=3
+IEMDVPRINGTKHERVWLERDKAPDVKRLLQDVPEVTSQNFMDTEHRLQTYQCLHQRIMEVREVELRELELTTTVMEEFWKSQAQNYLDVCRMLDDGAQTSKRRAKKIDEETKNSLKIELQQTKMELDRQRETLAKRAKEVSAYRSALQKRLDAIHHDCKKMDKKFNERFNMLPKEVESHLKASFKLHVEAEDALSKKVQAWAEGLSGEEQSALSNQSLKALNKAYDEEIKIRERIFESMEKQMQKGKLQKQLLLEFGAVTGNGQPDKKDAWFYDCYSWETPKLLQQEPMTDPHPFTVCNITITNEKSQKKSPSSSGLNQSDGTSKRVSMHATEPPHAPTGSAHYGNVTPPLSSRHSGPSAPIGPSSSPREWTTENTTTNVYYRRGQPSLYSQWGPPLIVTQSEEGPPPPVMGPKELLQVYSAPFWGRLGDEKEGEWWGGDPVQLLTILEGAAFRLGQGHREGSFPYLTRCRAGSM
+>sp|Q8IWJ2|GCC2_HUMAN GRIP and coiled-coil domain-containing protein 2 OS=Homo sapiens OX=9606 GN=GCC2 PE=1 SV=4
+MEDLVQDGVASPATPGTGKSKLETLPKEDLIKFAKKQMMLIQKAKSRCTELEKEIEELRSKPVTEGTGDIIKALTERLDALLLEKAETEQQCLSLKKENIKMKQEVEDSVTKMGDAHKELEQSHINYVKEIENLKNELMAVRSKYSEDKANLQKQLEEAMNTQLELSEQLKFQNNSEDNVKKLQEEIEKIRPGFEEQILYLQKQLDATTDEKKETVTQLQNIIEANSQHYQKNINSLQEELLQLKAIHQEEVKELMCQIEASAKEHEAEINKLNELKENLVKQCEASEKNIQKKYECELENLRKATSNANQDNQICSILLQENTFVEQVVNEKVKHLEDTLKELESQHSILKDEVTYMNNLKLKLEMDAQHIKDEFFHEREDLEFKINELLLAKEEQGCVIEKLKSELAGLNKQFCYTVEQHNREVQSLKEQHQKEISELNETFLSDSEKEKLTLMFEIQGLKEQCENLQQEKQEAILNYESLREIMEILQTELGESAGKISQEFESMKQQQASDVHELQQKLRTAFTEKDALLETVNRLQGENEKLLSQQELVPELENTIKNLQEKNGVYLLSLSQRDTMLKELEGKINSLTEEKDDFINKLKNSHEEMDNFHKKCEREERLILELGKKVEQTIQYNSELEQKVNELTGGLEETLKEKDQNDQKLEKLMVQMKVLSEDKEVLSAEVKSLYEENNKLSSEKKQLSRDLEVFLSQKEDVILKEHITQLEKKLQLMVEEQDNLNKLLENEQVQKLFVKTQLYGFLKEMGSEVSEDSEEKDVVNVLQAVGESLAKINEEKCNLAFQRDEKVLELEKEIKCLQEESVVQCEELKSLLRDYEQEKVLLRKELEEIQSEKEALQSDLLEMKNANEKTRLENQNLLIQVEEVSQTCSKSEIHNEKEKCFIKEHENLKPLLEQKELRDRRAELILLKDSLAKSPSVKNDPLSSVKELEEKIENLEKECKEKEEKINKIKLVAVKAKKELDSSRKETQTVKEELESLRSEKDQLSASMRDLIQGAESYKNLLLEYEKQSEQLDVEKERANNFEHRIEDLTRQLRNSTLQCETINSDNEDLLARIETLQSNAKLLEVQILEVQRAKAMVDKELEAEKLQKEQKIKEHATTVNELEELQVQLQKQKKQLQKTMQELELVKKDAQQTTLMNMEIADYERLMKELNQKLTNKNNKIEDLEQEIKIQKQKQETLQEEITSLQSSVQQYEEKNTKIKQLLVKTKKELADSKQAETDHLILQASLKGELEASQQQVEVYKIQLAEITSEKHKIHEHLKTSAEQHQRTLSAYQQRVTALQEECRAAKAEQATVTSEFESYKVRVHNVLKQQKNKSMSQAETEGAKQEREHLEMLIDQLKIKLQDSQNNLQINVSELQTLQSEHDTLLERHNKMLQETVSKEAELREKLCSIQSENMMMKSEHTQTVSQLTSQNEVLRNSFRDQVRHLQEEHRKTVETLQQQLSKMEAQLFQLKNEPTTRSPVSSQQSLKNLRERRNTDLPLLDMHTVTREEGEGMETTDTESVSSASTYTQSLEQLLNSPETKLEPPLWHAEFTKEELVQKLSSTTKSADHLNGLLRETEATNAILMEQIKLLKSEIRRLERNQEREKSAANLEYLKNVLLQFIFLKPGSERERLLPVINTMLQLSPEEKGKLAAVAQGEEENASRSSGWASYLHSWSGLR
+>DECOY_sp|Q8IWJ2|GCC2_HUMAN GRIP and coiled-coil domain-containing protein 2 OS=Homo sapiens OX=9606 GN=GCC2 PE=1 SV=4
+RLGSWSHLYSAWGSSRSANEEEGQAVAALKGKEEPSLQLMTNIVPLLRERESGPKLFIFQLLVNKLYELNAASKEREQNRELRRIESKLLKIQEMLIANTAETERLLGNLHDASKTTSSLKQVLEEKTFEAHWLPPELKTEPSNLLQELSQTYTSASSVSETDTTEMGEGEERTVTHMDLLPLDTNRRERLNKLSQQSSVPSRTTPENKLQFLQAEMKSLQQQLTEVTKRHEEQLHRVQDRFSNRLVENQSTLQSVTQTHESKMMMNESQISCLKERLEAEKSVTEQLMKNHRELLTDHESQLTQLESVNIQLNNQSDQLKIKLQDILMELHEREQKAGETEAQSMSKNKQQKLVNHVRVKYSEFESTVTAQEAKAARCEEQLATVRQQYASLTRQHQEASTKLHEHIKHKESTIEALQIKYVEVQQQSAELEGKLSAQLILHDTEAQKSDALEKKTKVLLQKIKTNKEEYQQVSSQLSTIEEQLTEQKQKQIKIEQELDEIKNNKNTLKQNLEKMLREYDAIEMNMLTTQQADKKVLELEQMTKQLQKKQKQLQVQLEELENVTTAHEKIKQEKQLKEAELEKDVMAKARQVELIQVELLKANSQLTEIRALLDENDSNITECQLTSNRLQRTLDEIRHEFNNAREKEVDLQESQKEYELLLNKYSEAGQILDRMSASLQDKESRLSELEEKVTQTEKRSSDLEKKAKVAVLKIKNIKEEKEKCEKELNEIKEELEKVSSLPDNKVSPSKALSDKLLILEARRDRLEKQELLPKLNEHEKIFCKEKENHIESKSCTQSVEEVQILLNQNELRTKENANKMELLDSQLAEKESQIEELEKRLLVKEQEYDRLLSKLEECQVVSEEQLCKIEKELELVKEDRQFALNCKEENIKALSEGVAQLVNVVDKEESDESVESGMEKLFGYLQTKVFLKQVQENELLKNLNDQEEVMLQLKKELQTIHEKLIVDEKQSLFVELDRSLQKKESSLKNNEEYLSKVEASLVEKDESLVKMQVMLKELKQDNQDKEKLTEELGGTLENVKQELESNYQITQEVKKGLELILREERECKKHFNDMEEHSNKLKNIFDDKEETLSNIKGELEKLMTDRQSLSLLYVGNKEQLNKITNELEPVLEQQSLLKENEGQLRNVTELLADKETFATRLKQQLEHVDSAQQQKMSEFEQSIKGASEGLETQLIEMIERLSEYNLIAEQKEQQLNECQEKLGQIEFMLTLKEKESDSLFTENLESIEKQHQEKLSQVERNHQEVTYCFQKNLGALESKLKEIVCGQEEKALLLENIKFELDEREHFFEDKIHQADMELKLKLNNMYTVEDKLISHQSELEKLTDELHKVKENVVQEVFTNEQLLISCIQNDQNANSTAKRLNELECEYKKQINKESAECQKVLNEKLENLKNIEAEHEKASAEIQCMLEKVEEQHIAKLQLLEEQLSNINKQYHQSNAEIINQLQTVTEKKEDTTADLQKQLYLIQEEFGPRIKEIEEQLKKVNDESNNQFKLQESLELQTNMAEELQKQLNAKDESYKSRVAMLENKLNEIEKVYNIHSQELEKHADGMKTVSDEVEQKMKINEKKLSLCQQETEAKELLLADLRETLAKIIDGTGETVPKSRLEEIEKELETCRSKAKQILMMQKKAFKILDEKPLTELKSKGTGPTAPSAVGDQVLDEM
+>sp|P30793|GCH1_HUMAN GTP cyclohydrolase 1 OS=Homo sapiens OX=9606 GN=GCH1 PE=1 SV=1
+MEKGPVRAPAEKPRGARCSNGFPERDPPRPGPSRPAEKPPRPEAKSAQPADGWKGERPRSEEDNELNLPNLAAAYSSILSSLGENPQRQGLLKTPWRAASAMQFFTKGYQETISDVLNDAIFDEDHDEMVIVKDIDMFSMCEHHLVPFVGKVHIGYLPNKQVLGLSKLARIVEIYSRRLQVQERLTKQIAVAITEALRPAGVGVVVEATHMCMVMRGVQKMNSKTVTSTMLGVFREDPKTREEFLTLIRS
+>DECOY_sp|P30793|GCH1_HUMAN GTP cyclohydrolase 1 OS=Homo sapiens OX=9606 GN=GCH1 PE=1 SV=1
+SRILTLFEERTKPDERFVGLMTSTVTKSNMKQVGRMVMCMHTAEVVVGVGAPRLAETIAVAIQKTLREQVQLRRSYIEVIRALKSLGLVQKNPLYGIHVKGVFPVLHHECMSFMDIDKVIVMEDHDEDFIADNLVDSITEQYGKTFFQMASAARWPTKLLGQRQPNEGLSSLISSYAAALNPLNLENDEESRPREGKWGDAPQASKAEPRPPKEAPRSPGPRPPDREPFGNSCRAGRPKEAPARVPGKEM
+>sp|O95633|FSTL3_HUMAN Follistatin-related protein 3 OS=Homo sapiens OX=9606 GN=FSTL3 PE=1 SV=1
+MRPGAPGPLWPLPWGALAWAVGFVSSMGSGNPAPGGVCWLQQGQEATCSLVLQTDVTRAECCASGNIDTAWSNLTHPGNKINLLGFLGLVHCLPCKDSCDGVECGPGKACRMLGGRPRCECAPDCSGLPARLQVCGSDGATYRDECELRAARCRGHPDLSVMYRGRCRKSCEHVVCPRPQSCVVDQTGSAHCVVCRAAPCPVPSSPGQELCGNNNVTYISSCHMRQATCFLGRSIGVRHAGSCAGTPEEPPGGESAEEEENFV
+>DECOY_sp|O95633|FSTL3_HUMAN Follistatin-related protein 3 OS=Homo sapiens OX=9606 GN=FSTL3 PE=1 SV=1
+VFNEEEEASEGGPPEEPTGACSGAHRVGISRGLFCTAQRMHCSSIYTVNNNGCLEQGPSSPVPCPAARCVVCHASGTQDVVCSQPRPCVVHECSKRCRGRYMVSLDPHGRCRAARLECEDRYTAGDSGCVQLRAPLGSCDPACECRPRGGLMRCAKGPGCEVGDCSDKCPLCHVLGLFGLLNIKNGPHTLNSWATDINGSACCEARTVDTQLVLSCTAEQGQQLWCVGGPAPNGSGMSSVFGVAWALAGWPLPWLPGPAGPRM
+>sp|Q8N475|FSTL5_HUMAN Follistatin-related protein 5 OS=Homo sapiens OX=9606 GN=FSTL5 PE=2 SV=2
+MFKCWSVVLVLGFIFLESEGRPTKEGGYGLKSYQPLMRLRHKQEKNQESSRVKGFMIQDGPFGSCENKYCGLGRHCVTSRETGQAECACMDLCKRHYKPVCGSDGEFYENHCEVHRAACLKKQKITIVHNEDCFFKGDKCKTTEYSKMKNMLLDLQNQKYIMQENENPNGDDISRKKLLVDQMFKYFDADSNGLVDINELTQVIKQEELGKDLFDCTLYVLLKYDDFNADKHLALEEFYRAFQVIQLSLPEDQKLSITAATVGQSAVLSCAIQGTLRPPIIWKRNNIILNNLDLEDINDFGDDGSLYITKVTTTHVGNYTCYADGYEQVYQTHIFQVNVPPVIRVYPESQAREPGVTASLRCHAEGIPKPQLGWLKNGIDITPKLSKQLTLQANGSEVHISNVRYEDTGAYTCIAKNEAGVDEDISSLFVEDSARKTLANILWREEGLGIGNMFYVFYEDGIKVIQPIECEFQRHIKPSEKLLGFQDEVCPKAEGDEVQRCVWASAVNVKDKFIYVAQPTLDRVLIVDVQSQKVVQAVSTDPVPVKLHYDKSHDQVWVLSWGTLEKTSPTLQVITLASGNVPHHTIHTQPVGKQFDRVDDFFIPTTTLIITHMRFGFILHKDEAALQKIDLETMSYIKTINLKDYKCVPQSLAYTHLGGYYFIGCKPDSTGAVSPQVMVDGVTDSVIGFNSDVTGTPYVSPDGHYLVSINDVKGLVRVQYITIRGEIQEAFDIYTNLHISDLAFQPSFTEAHQYNIYGSSSTQTDVLFVELSSGKVKMIKSLKEPLKAEEWPWNRKNRQIQDSGLFGQYLMTPSKDSLFILDGRLNKLNCEITEVEKGNTVIWVGDA
+>DECOY_sp|Q8N475|FSTL5_HUMAN Follistatin-related protein 5 OS=Homo sapiens OX=9606 GN=FSTL5 PE=2 SV=2
+ADGVWIVTNGKEVETIECNLKNLRGDLIFLSDKSPTMLYQGFLGSDQIQRNKRNWPWEEAKLPEKLSKIMKVKGSSLEVFLVDTQTSSSGYINYQHAETFSPQFALDSIHLNTYIDFAEQIEGRITIYQVRVLGKVDNISVLYHGDPSVYPTGTVDSNFGIVSDTVGDVMVQPSVAGTSDPKCGIFYYGGLHTYALSQPVCKYDKLNITKIYSMTELDIKQLAAEDKHLIFGFRMHTIILTTTPIFFDDVRDFQKGVPQTHITHHPVNGSALTIVQLTPSTKELTGWSLVWVQDHSKDYHLKVPVPDTSVAQVVKQSQVDVILVRDLTPQAVYIFKDKVNVASAWVCRQVEDGEAKPCVEDQFGLLKESPKIHRQFECEIPQIVKIGDEYFVYFMNGIGLGEERWLINALTKRASDEVFLSSIDEDVGAENKAICTYAGTDEYRVNSIHVESGNAQLTLQKSLKPTIDIGNKLWGLQPKPIGEAHCRLSATVGPERAQSEPYVRIVPPVNVQFIHTQYVQEYGDAYCTYNGVHTTTVKTIYLSGDDGFDNIDELDLNNLIINNRKWIIPPRLTGQIACSLVASQGVTAATISLKQDEPLSLQIVQFARYFEELALHKDANFDDYKLLVYLTCDFLDKGLEEQKIVQTLENIDVLGNSDADFYKFMQDVLLKKRSIDDGNPNENEQMIYKQNQLDLLMNKMKSYETTKCKDGKFFCDENHVITIKQKKLCAARHVECHNEYFEGDSGCVPKYHRKCLDMCACEAQGTERSTVCHRGLGCYKNECSGFPGDQIMFGKVRSSEQNKEQKHRLRMLPQYSKLGYGGEKTPRGESELFIFGLVLVVSWCKFM
+>sp|Q8N4E7|FTMT_HUMAN Ferritin, mitochondrial OS=Homo sapiens OX=9606 GN=FTMT PE=1 SV=1
+MLSCFRLLSRHISPSLASLRPVRCCFALPLRWAPGRPLDPRQIAPRRPLAAAASSRDPTGPAAGPSRVRQNFHPDSEAAINRQINLELYASYVYLSMAYYFSRDDVALNNFSRYFLHQSREETEHAEKLMRLQNQRGGRIRLQDIKKPEQDDWESGLHAMECALLLEKNVNQSLLELHALASDKGDPHLCDFLETYYLNEQVKSIKELGDHVHNLVKMGAPDAGLAEYLFDTHTLGNENKQN
+>DECOY_sp|Q8N4E7|FTMT_HUMAN Ferritin, mitochondrial OS=Homo sapiens OX=9606 GN=FTMT PE=1 SV=1
+NQKNENGLTHTDFLYEALGADPAGMKVLNHVHDGLEKISKVQENLYYTELFDCLHPDGKDSALAHLELLSQNVNKELLLACEMAHLGSEWDDQEPKKIDQLRIRGGRQNQLRMLKEAHETEERSQHLFYRSFNNLAVDDRSFYYAMSLYVYSAYLELNIQRNIAAESDPHFNQRVRSPGAAPGTPDRSSAAAALPRRPAIQRPDLPRGPAWRLPLAFCCRVPRLSALSPSIHRSLLRFCSLM
+>sp|Q96AE4|FUBP1_HUMAN Far upstream element-binding protein 1 OS=Homo sapiens OX=9606 GN=FUBP1 PE=1 SV=3
+MADYSTVPPPSSGSAGGGGGGGGGGGVNDAFKDALQRARQIAAKIGGDAGTSLNSNDYGYGGQKRPLEDGDQPDAKKVAPQNDSFGTQLPPMHQQQSRSVMTEEYKVPDGMVGFIIGRGGEQISRIQQESGCKIQIAPDSGGLPERSCMLTGTPESVQSAKRLLDQIVEKGRPAPGFHHGDGPGNAVQEIMIPASKAGLVIGKGGETIKQLQERAGVKMVMIQDGPQNTGADKPLRITGDPYKVQQAKEMVLELIRDQGGFREVRNEYGSRIGGNEGIDVPIPRFAVGIVIGRNGEMIKKIQNDAGVRIQFKPDDGTTPERIAQITGPPDRCQHAAEIITDLLRSVQAGNPGGPGPGGRGRGRGQGNWNMGPPGGLQEFNFIVPTGKTGLIIGKGGETIKSISQQSGARIELQRNPPPNADPNMKLFTIRGTPQQIDYARQLIEEKIGGPVNPLGPPVPHGPHGVPGPHGPPGPPGPGTPMGPYNPAPYNPGPPGPAPHGPPAPYAPQGWGNAYPHWQQQAPPDPAKAGTDPNSAAWAAYYAHYYQQQAQPPPAAPAGAPTTTQTNGQGDQQNPAPAGQVDYTKAWEEYYKKMGQAVPAPTGAPPGGQPDYSAAWAEYYRQQAAYYAQTSPQGMPQHPPAPQGQ
+>DECOY_sp|Q96AE4|FUBP1_HUMAN Far upstream element-binding protein 1 OS=Homo sapiens OX=9606 GN=FUBP1 PE=1 SV=3
+QGQPAPPHQPMGQPSTQAYYAAQQRYYEAWAASYDPQGGPPAGTPAPVAQGMKKYYEEWAKTYDVQGAPAPNQQDGQGNTQTTTPAGAPAAPPPQAQQQYYHAYYAAWAASNPDTGAKAPDPPAQQQWHPYANGWGQPAYPAPPGHPAPGPPGPNYPAPNYPGMPTGPGPPGPPGHPGPVGHPGHPVPPGLPNVPGGIKEEILQRAYDIQQPTGRITFLKMNPDANPPPNRQLEIRAGSQQSISKITEGGKGIILGTKGTPVIFNFEQLGGPPGMNWNGQGRGRGRGGPGPGGPNGAQVSRLLDTIIEAAHQCRDPPGTIQAIREPTTGDDPKFQIRVGADNQIKKIMEGNRGIVIGVAFRPIPVDIGENGGIRSGYENRVERFGGQDRILELVMEKAQQVKYPDGTIRLPKDAGTNQPGDQIMVMKVGAREQLQKITEGGKGIVLGAKSAPIMIEQVANGPGDGHHFGPAPRGKEVIQDLLRKASQVSEPTGTLMCSREPLGGSDPAIQIKCGSEQQIRSIQEGGRGIIFGVMGDPVKYEETMVSRSQQQHMPPLQTGFSDNQPAVKKADPQDGDELPRKQGGYGYDNSNLSTGADGGIKAAIQRARQLADKFADNVGGGGGGGGGGGASGSSPPPVTSYDAM
+>sp|Q92945|FUBP2_HUMAN Far upstream element-binding protein 2 OS=Homo sapiens OX=9606 GN=KHSRP PE=1 SV=4
+MSDYSTGGPPPGPPPPAGGGGGAGGAGGGPPPGPPGAGDRGGGGPGGGGPGGGSAGGPSQPPGGGGPGIRKDAFADAVQRARQIAAKIGGDAATTVNNSTPDFGFGGQKRQLEDGDQPESKKLASQGDSISSQLGPIHPPPRTSMTEEYRVPDGMVGLIIGRGGEQINKIQQDSGCKVQISPDSGGLPERSVSLTGAPESVQKAKMMLDDIVSRGRGGPPGQFHDNANGGQNGTVQEIMIPAGKAGLVIGKGGETIKQLQERAGVKMILIQDGSQNTNVDKPLRIIGDPYKVQQACEMVMDILRERDQGGFGDRNEYGSRIGGGIDVPVPRHSVGVVIGRSGEMIKKIQNDAGVRIQFKQDDGTGPEKIAHIMGPPDRCEHAARIINDLLQSLRSGPPGPPGGPGMPPGGRGRGRGQGNWGPPGGEMTFSIPTHKCGLVIGRGGENVKAINQQTGAFVEISRQLPPNGDPNFKLFIIRGSPQQIDHAKQLIEEKIEGPLCPVGPGPGGPGPAGPMGPFNPGPFNQGPPGAPPHAGGPPPHQYPPQGWGNTYPQWQPPAPHDPSKAAAAAADPNAAWAAYYSHYYQQPPGPVPGPAPAPAAPPAQGEPPQPPPTGQSDYTKAWEEYYKKIGQQPQQPGAPPQQDYTKAWEEYYKKQAQVATGGGPGAPPGSQPDYSAAWAEYYRQQAAYYGQTPGPGGPQPPPTQQGQQQAQ
+>DECOY_sp|Q92945|FUBP2_HUMAN Far upstream element-binding protein 2 OS=Homo sapiens OX=9606 GN=KHSRP PE=1 SV=4
+QAQQQGQQTPPPQPGGPGPTQGYYAAQQRYYEAWAASYDPQSGPPAGPGGGTAVQAQKKYYEEWAKTYDQQPPAGPQQPQQGIKKYYEEWAKTYDSQGTPPPQPPEGQAPPAAPAPAPGPVPGPPQQYYHSYYAAWAANPDAAAAAAKSPDHPAPPQWQPYTNGWGQPPYQHPPPGGAHPPAGPPGQNFPGPNFPGMPGAPGPGGPGPGVPCLPGEIKEEILQKAHDIQQPSGRIIFLKFNPDGNPPLQRSIEVFAGTQQNIAKVNEGGRGIVLGCKHTPISFTMEGGPPGWNGQGRGRGRGGPPMGPGGPPGPPGSRLSQLLDNIIRAAHECRDPPGMIHAIKEPGTGDDQKFQIRVGADNQIKKIMEGSRGIVVGVSHRPVPVDIGGGIRSGYENRDGFGGQDRERLIDMVMECAQQVKYPDGIIRLPKDVNTNQSGDQILIMKVGAREQLQKITEGGKGIVLGAKGAPIMIEQVTGNQGGNANDHFQGPPGGRGRSVIDDLMMKAKQVSEPAGTLSVSREPLGGSDPSIQVKCGSDQQIKNIQEGGRGIILGVMGDPVRYEETMSTRPPPHIPGLQSSISDGQSALKKSEPQDGDELQRKQGGFGFDPTSNNVTTAADGGIKAAIQRARQVADAFADKRIGPGGGGPPQSPGGASGGGPGGGGPGGGGRDGAGPPGPPPGGGAGGAGGGGGAPPPPGPPPGGTSYDSM
+>sp|Q495W5|FUT11_HUMAN Alpha-(1,3)-fucosyltransferase 11 OS=Homo sapiens OX=9606 GN=FUT11 PE=1 SV=1
+MAAGPIRVVLVLLGVLSVCAASGHGSVAEREAGGEAEWAEPWDGAVFRPPSALGAVGVTRSSGTPRPGREEAGDLPVLLWWSPGLFPHFPGDSERIECARGACVASRNRRALRDSRTRALLFYGTDFRASAAPLPRLAHQSWALLHEESPLNNFLLSHGPGIRLFNLTSTFSRHSDYPLSLQWLPGTAYLRRPVPPPMERAEWRRRGYAPLLYLQSHCDVPADRDRYVRELMRHIPVDSYGKCLQNRELPTARLQDTATATTEDPELLAFLSRYKFHLALENAICNDYMTEKLWRPMHLGAVPVYRGSPSVRDWMPNNHSVILIDDFESPQKLAEFIDFLDKNDEEYMKYLAYKQPGGITNQFLLDSLKHREWGVNDPLLPNYLNGFECFVCDYELARLDAEKAHAASPGDSPVFEPHIAQPSHMDCPVPTPGFGNVEEIPENDSWKEMWLQDYWQGLDQGEALTAMIHNNETEQTKFWDYLHEIFMKRQHL
+>DECOY_sp|Q495W5|FUT11_HUMAN Alpha-(1,3)-fucosyltransferase 11 OS=Homo sapiens OX=9606 GN=FUT11 PE=1 SV=1
+LHQRKMFIEHLYDWFKTQETENNHIMATLAEGQDLGQWYDQLWMEKWSDNEPIEEVNGFGPTPVPCDMHSPQAIHPEFVPSDGPSAAHAKEADLRALEYDCVFCEFGNLYNPLLPDNVGWERHKLSDLLFQNTIGGPQKYALYKMYEEDNKDLFDIFEALKQPSEFDDILIVSHNNPMWDRVSPSGRYVPVAGLHMPRWLKETMYDNCIANELALHFKYRSLFALLEPDETTATATDQLRATPLERNQLCKGYSDVPIHRMLERVYRDRDAPVDCHSQLYLLPAYGRRRWEAREMPPPVPRRLYATGPLWQLSLPYDSHRSFTSTLNFLRIGPGHSLLFNNLPSEEHLLAWSQHALRPLPAASARFDTGYFLLARTRSDRLARRNRSAVCAGRACEIRESDGPFHPFLGPSWWLLVPLDGAEERGPRPTGSSRTVGVAGLASPPRFVAGDWPEAWEAEGGAEREAVSGHGSAACVSLVGLLVLVVRIPGAAM
+>sp|Q9BYC5|FUT8_HUMAN Alpha-(1,6)-fucosyltransferase OS=Homo sapiens OX=9606 GN=FUT8 PE=1 SV=2
+MRPWTGSWRWIMLILFAWGTLLFYIGGHLVRDNDHPDHSSRELSKILAKLERLKQQNEDLRRMAESLRIPEGPIDQGPAIGRVRVLEEQLVKAKEQIENYKKQTRNGLGKDHEILRRRIENGAKELWFFLQSELKKLKNLEGNELQRHADEFLLDLGHHERSIMTDLYYLSQTDGAGDWREKEAKDLTELVQRRITYLQNPKDCSKAKKLVCNINKGCGYGCQLHHVVYCFMIAYGTQRTLILESQNWRYATGGWETVFRPVSETCTDRSGISTGHWSGEVKDKNVQVVELPIVDSLHPRPPYLPLAVPEDLADRLVRVHGDPAVWWVSQFVKYLIRPQPWLEKEIEEATKKLGFKHPVIGVHVRRTDKVGTEAAFHPIEEYMVHVEEHFQLLARRMQVDKKRVYLATDDPSLLKEAKTKYPNYEFISDNSISWSAGLHNRYTENSLRGVILDIHFLSQADFLVCTFSSQVCRVAYEIMQTLHPDASANFHSLDDIYYFGGQNAHNQIAIYAHQPRTADEIPMEPGDIIGVAGNHWDGYSKGVNRKLGRTGLYPSYKVREKIETVKYPTYPEAEK
+>DECOY_sp|Q9BYC5|FUT8_HUMAN Alpha-(1,6)-fucosyltransferase OS=Homo sapiens OX=9606 GN=FUT8 PE=1 SV=2
+KEAEPYTPYKVTEIKERVKYSPYLGTRGLKRNVGKSYGDWHNGAVGIIDGPEMPIEDATRPQHAYIAIQNHANQGGFYYIDDLSHFNASADPHLTQMIEYAVRCVQSSFTCVLFDAQSLFHIDLIVGRLSNETYRNHLGASWSISNDSIFEYNPYKTKAEKLLSPDDTALYVRKKDVQMRRALLQFHEEVHVMYEEIPHFAAETGVKDTRRVHVGIVPHKFGLKKTAEEIEKELWPQPRILYKVFQSVWWVAPDGHVRVLRDALDEPVALPLYPPRPHLSDVIPLEVVQVNKDKVEGSWHGTSIGSRDTCTESVPRFVTEWGGTAYRWNQSELILTRQTGYAIMFCYVVHHLQCGYGCGKNINCVLKKAKSCDKPNQLYTIRRQVLETLDKAEKERWDGAGDTQSLYYLDTMISREHHGLDLLFEDAHRQLENGELNKLKKLESQLFFWLEKAGNEIRRRLIEHDKGLGNRTQKKYNEIQEKAKVLQEELVRVRGIAPGQDIPGEPIRLSEAMRRLDENQQKLRELKALIKSLERSSHDPHDNDRVLHGGIYFLLTGWAFLILMIWRWSGTWPRM
+>sp|Q96CP2|FWCH2_HUMAN FLYWCH family member 2 OS=Homo sapiens OX=9606 GN=FLYWCH2 PE=1 SV=1
+MPLPEPSEQEGESVKASQEPSPKPGTEVIPAAPRKPRKFSKLVLLTASKDSTKVAGAKRKGVHCVMSLGVPGPATLAKALLQTHPEAQRAIEAAPQEPEQKRSRQDPGTDRTEDSGLAAGPPEAAGENFAPCSVAPGKSL
+>DECOY_sp|Q96CP2|FWCH2_HUMAN FLYWCH family member 2 OS=Homo sapiens OX=9606 GN=FLYWCH2 PE=1 SV=1
+LSKGPAVSCPAFNEGAAEPPGAALGSDETRDTGPDQRSRKQEPEQPAAEIARQAEPHTQLLAKALTAPGPVGLSMVCHVGKRKAGAVKTSDKSATLLVLKSFKRPKRPAAPIVETGPKPSPEQSAKVSEGEQESPEPLPM
+>sp|Q8WXT5|FX4L4_HUMAN Forkhead box protein D4-like 4 OS=Homo sapiens OX=9606 GN=FOXD4L4 PE=2 SV=2
+MNLPRAERPRSTPQRSLRDSDGEDGKIDVLGEEEDEDEVEDEEEEARQQFLEQSLQPGLQVARWGGVALPREHIEGGGGPSDPSEFGTKFRAPPRSAAASEDARQPAKPPYSYIALITMAILQNPHKRLTLSGICAFISGRFPYYRRKFPAWQNSIRHNLSLNDCFVKIPREPGHPGKGNYWSLDPASQDMFDNGSFLRRRKRFKRHQLTPGAHLPHPFPLPAAHAALHNPHPGPLLGAPAPPQPVPGAYPNTAPGRRPYALLHPHPLRYLLLSARVYAGAPKKAEGADLATPAPFPCCSPHLVLSLGRRARVWRRHREADASLSALRVLCKGSGERVQGLRRVCPRPRGATATCSSDHQACCIPKPLPLCCKCPPPLLLGQFCSNSSSIRRTAPTAALPPRARCWAGTCRPRRRC
+>DECOY_sp|Q8WXT5|FX4L4_HUMAN Forkhead box protein D4-like 4 OS=Homo sapiens OX=9606 GN=FOXD4L4 PE=2 SV=2
+CRRRPRCTGAWCRARPPLAATPATRRISSSNSCFQGLLLPPPCKCCLPLPKPICCAQHDSSCTATAGRPRPCVRRLGQVREGSGKCLVRLASLSADAERHRRWVRARRGLSLVLHPSCCPFPAPTALDAGEAKKPAGAYVRASLLLYRLPHPHLLAYPRRGPATNPYAGPVPQPPAPAGLLPGPHPNHLAAHAAPLPFPHPLHAGPTLQHRKFRKRRRLFSGNDFMDQSAPDLSWYNGKGPHGPERPIKVFCDNLSLNHRISNQWAPFKRRYYPFRGSIFACIGSLTLRKHPNQLIAMTILAIYSYPPKAPQRADESAAASRPPARFKTGFESPDSPGGGGEIHERPLAVGGWRAVQLGPQLSQELFQQRAEEEEDEVEDEDEEEGLVDIKGDEGDSDRLSRQPTSRPREARPLNM
+>sp|Q8N1E6|FXL14_HUMAN F-box/LRR-repeat protein 14 OS=Homo sapiens OX=9606 GN=FBXL14 PE=1 SV=1
+METHISCLFPELLAMIFGYLDVRDKGRAAQVCTAWRDAAYHKSVWRGVEAKLHLRRANPSLFPSLQARGIRRVQILSLRRSLSYVIQGMANIESLNLSGCYNLTDNGLGHAFVQEIGSLRALNLSLCKQITDSSLGRIAQYLKGLEVLELGGCSNITNTGLLLIAWGLQRLKSLNLRSCRHLSDVGIGHLAGMTRSAAEGCLGLEQLTLQDCQKLTDLSLKHISRGLTGLRLLNLSFCGGISDAGLLHLSHMGSLRSLNLRSCDNISDTGIMHLAMGSLRLSGLDVSFCDKVGDQSLAYIAQGLDGLKSLSLCSCHISDDGINRMVRQMHGLRTLNIGQCVRITDKGLELIAEHLSQLTGIDLYGCTRITKRGLERITQLPCLKVLNLGLWQMTDSEKEARGDFSPLFTVRTRGSSRR
+>DECOY_sp|Q8N1E6|FXL14_HUMAN F-box/LRR-repeat protein 14 OS=Homo sapiens OX=9606 GN=FBXL14 PE=1 SV=1
+RRSSGRTRVTFLPSFDGRAEKESDTMQWLGLNLVKLCPLQTIRELGRKTIRTCGYLDIGTLQSLHEAILELGKDTIRVCQGINLTRLGHMQRVMRNIGDDSIHCSCLSLSKLGDLGQAIYALSQDGVKDCFSVDLGSLRLSGMALHMIGTDSINDCSRLNLSRLSGMHSLHLLGADSIGGCFSLNLLRLGTLGRSIHKLSLDTLKQCDQLTLQELGLCGEAASRTMGALHGIGVDSLHRCSRLNLSKLRQLGWAILLLGTNTINSCGGLELVELGKLYQAIRGLSSDTIQKCLSLNLARLSGIEQVFAHGLGNDTLNYCGSLNLSEINAMGQIVYSLSRRLSLIQVRRIGRAQLSPFLSPNARRLHLKAEVGRWVSKHYAADRWATCVQAARGKDRVDLYGFIMALLEPFLCSIHTEM
+>sp|Q96ME1|FXL18_HUMAN F-box/LRR-repeat protein 18 OS=Homo sapiens OX=9606 GN=FBXL18 PE=1 SV=2
+MASSGEDISNDDDDMHPAAAGMADGVHLLGFSDEILLHILSHVPSTDLILNVRRTCRKLAALCLDKSLIHTVLLQKDYQASEDKVRQLVKEIGREIQQLSMAGCYWLPGSTVEHVARCRSLVKVNLSGCHLTSLRLSKMLSALQHLRSLAIDVSPGFDASQLSSECKATLSRVRELKQTLFTPSYGVVPCCTSLEKLLLYFEILDRTREGAILSGQLMVGQSNVPHYQNLRVFYARLAPGYINQEVVRLYLAVLSDRTPQNLHAFLISVPGSFAESGATKNLLDSMARNVVLDALQLPKSWLNGSSLLQHMKFNNPFYFSFSRCTLSGGHLIQQVINGGKDLRSLASLNLSGCVHCLSPDSLLRKAEDDIDSSILETLVASCCNLRHLNLSAAHHHSSEGLGRHLCQLLARLRHLRSLSLPVCSVADSAPRADRAPAQPAMHAVPRGFGKKVRVGVQSCPSPFSGQACPQPSSVFWSLLKNLPFLEHLELIGSNFSSAMPRNEPAIRNSLPPCSRAQSVGDSEVAAIGQLAFLRHLTLAQLPSVLTGSGLVNIGLQCQQLRSLSLANLGMMGKVVYMPALSDMLKHCKRLRDLRLEQPYFSANAQFFQALSQCPSLQRLCLVSRSGTLQPDAVLAFMARCLQVVMCHLFTGESLATCKSLQQSLLRRWGEVTGRRPQLFTELREEPSARTSRATGRRQPCLPDSGVVCCPCGRPLAVSGIILVGVSPSLVVKTTCVYRVLFKNLDYASIFFLVCLFETESHSVVQAGVQWRDLSSLQPLLSGLQPQPPEQLENELEIGFSYCFVI
+>DECOY_sp|Q96ME1|FXL18_HUMAN F-box/LRR-repeat protein 18 OS=Homo sapiens OX=9606 GN=FBXL18 PE=1 SV=2
+IVFCYSFGIELENELQEPPQPQLGSLLPQLSSLDRWQVGAQVVSHSETEFLCVLFFISAYDLNKFLVRYVCTTKVVLSPSVGVLIIGSVALPRGCPCCVVGSDPLCPQRRGTARSTRASPEERLETFLQPRRGTVEGWRRLLSQQLSKCTALSEGTFLHCMVVQLCRAMFALVADPQLTGSRSVLCLRQLSPCQSLAQFFQANASFYPQELRLDRLRKCHKLMDSLAPMYVVKGMMGLNALSLSRLQQCQLGINVLGSGTLVSPLQALTLHRLFALQGIAAVESDGVSQARSCPPLSNRIAPENRPMASSFNSGILELHELFPLNKLLSWFVSSPQPCAQGSFPSPCSQVGVRVKKGFGRPVAHMAPQAPARDARPASDAVSCVPLSLSRLHRLRALLQCLHRGLGESSHHHAASLNLHRLNCCSAVLTELISSDIDDEAKRLLSDPSLCHVCGSLNLSALSRLDKGGNIVQQILHGGSLTCRSFSFYFPNNFKMHQLLSSGNLWSKPLQLADLVVNRAMSDLLNKTAGSEAFSGPVSILFAHLNQPTRDSLVALYLRVVEQNIYGPALRAYFVRLNQYHPVNSQGVMLQGSLIAGERTRDLIEFYLLLKELSTCCPVVGYSPTFLTQKLERVRSLTAKCESSLQSADFGPSVDIALSRLHQLASLMKSLRLSTLHCGSLNVKVLSRCRAVHEVTSGPLWYCGAMSLQQIERGIEKVLQRVKDESAQYDKQLLVTHILSKDLCLAALKRCTRRVNLILDTSPVHSLIHLLIEDSFGLLHVGDAMGAAAPHMDDDDNSIDEGSSAM
+>sp|P58549|FXYD7_HUMAN FXYD domain-containing ion transport regulator 7 OS=Homo sapiens OX=9606 GN=FXYD7 PE=1 SV=1
+MATPTQTPTKAPEEPDPFYYDYNTVQTVGMTLATILFLLGILIVISKKVKCRKADSRSESPTCKSCKSELPSSAPGGGGV
+>DECOY_sp|P58549|FXYD7_HUMAN FXYD domain-containing ion transport regulator 7 OS=Homo sapiens OX=9606 GN=FXYD7 PE=1 SV=1
+VGGGGPASSPLESKCSKCTPSESRSDAKRCKVKKSIVILIGLLFLITALTMGVTQVTNYDYYFPDPEEPAKTPTQTPTAM
+>sp|Q9ULV1|FZD4_HUMAN Frizzled-4 OS=Homo sapiens OX=9606 GN=FZD4 PE=1 SV=2
+MAWRGAGPSVPGAPGGVGLSLGLLLQLLLLLGPARGFGDEEERRCDPIRISMCQNLGYNVTKMPNLVGHELQTDAELQLTTFTPLIQYGCSSQLQFFLCSVYVPMCTEKINIPIGPCGGMCLSVKRRCEPVLKEFGFAWPESLNCSKFPPQNDHNHMCMEGPGDEEVPLPHKTPIQPGEECHSVGTNSDQYIWVKRSLNCVLKCGYDAGLYSRSAKEFTDIWMAVWASLCFISTAFTVLTFLIDSSRFSYPERPIIFLSMCYNIYSIAYIVRLTVGRERISCDFEEAAEPVLIQEGLKNTGCAIIFLLMYFFGMASSIWWVILTLTWFLAAGLKWGHEAIEMHSSYFHIAAWAIPAVKTIVILIMRLVDADELTGLCYVGNQNLDALTGFVVAPLFTYLVIGTLFIAAGLVALFKIRSNLQKDGTKTDKLERLMVKIGVFSVLYTVPATCVIACYFYEISNWALFRYSADDSNMAVEMLKIFMSLLVGITSGMWIWSAKTLHTWQKCSNRLVNSGKVKREKRGNGWVKPGKGSETVV
+>DECOY_sp|Q9ULV1|FZD4_HUMAN Frizzled-4 OS=Homo sapiens OX=9606 GN=FZD4 PE=1 SV=2
+VVTESGKGPKVWGNGRKERKVKGSNVLRNSCKQWTHLTKASWIWMGSTIGVLLSMFIKLMEVAMNSDDASYRFLAWNSIEYFYCAIVCTAPVTYLVSFVGIKVMLRELKDTKTGDKQLNSRIKFLAVLGAAIFLTGIVLYTFLPAVVFGTLADLNQNGVYCLGTLEDADVLRMILIVITKVAPIAWAAIHFYSSHMEIAEHGWKLGAALFWTLTLIVWWISSAMGFFYMLLFIIACGTNKLGEQILVPEAAEEFDCSIRERGVTLRVIYAISYINYCMSLFIIPREPYSFRSSDILFTLVTFATSIFCLSAWVAMWIDTFEKASRSYLGADYGCKLVCNLSRKVWIYQDSNTGVSHCEEGPQIPTKHPLPVEEDGPGEMCMHNHDNQPPFKSCNLSEPWAFGFEKLVPECRRKVSLCMGGCPGIPINIKETCMPVYVSCLFFQLQSSCGYQILPTFTTLQLEADTQLEHGVLNPMKTVNYGLNQCMSIRIPDCRREEEDGFGRAPGLLLLLQLLLGLSLGVGGPAGPVSPGAGRWAM
+>sp|O60353|FZD6_HUMAN Frizzled-6 OS=Homo sapiens OX=9606 GN=FZD6 PE=1 SV=2
+MEMFTFLLTCIFLPLLRGHSLFTCEPITVPRCMKMAYNMTFFPNLMGHYDQSIAAVEMEHFLPLANLECSPNIETFLCKAFVPTCIEQIHVVPPCRKLCEKVYSDCKKLIDTFGIRWPEELECDRLQYCDETVPVTFDPHTEFLGPQKKTEQVQRDIGFWCPRHLKTSGGQGYKFLGIDQCAPPCPNMYFKSDELEFAKSFIGTVSIFCLCATLFTFLTFLIDVRRFRYPERPIIYYSVCYSIVSLMYFIGFLLGDSTACNKADEKLELGDTVVLGSQNKACTVLFMLLYFFTMAGTVWWVILTITWFLAAGRKWSCEAIEQKAVWFHAVAWGTPGFLTVMLLAMNKVEGDNISGVCFVGLYDLDASRYFVLLPLCLCVFVGLSLLLAGIISLNHVRQVIQHDGRNQEKLKKFMIRIGVFSGLYLVPLVTLLGCYVYEQVNRITWEITWVSDHCRQYHIPCPYQAKAKARPELALFMIKYLMTLIVGISAVFWVGSKKTCTEWAGFFKRNRKRDPISESRRVLQESCEFFLKHNSKVKHKKKHYKPSSHKLKVISKSMGTSTGATANHGTSAVAITSHDYLGQETLTEIQTSPETSMREVKADGASTPRLREQDCGEPASPAASISRLSGEQVDGKGQAGSVSESARSEGRISPKSDITDTGLAQSNNLQVPSSSEPSSLKGSTSLLVHPVSGVRKEQGGGCHSDT
+>DECOY_sp|O60353|FZD6_HUMAN Frizzled-6 OS=Homo sapiens OX=9606 GN=FZD6 PE=1 SV=2
+TDSHCGGGQEKRVGSVPHVLLSTSGKLSSPESSSPVQLNNSQALGTDTIDSKPSIRGESRASESVSGAQGKGDVQEGSLRSISAAPSAPEGCDQERLRPTSAGDAKVERMSTEPSTQIETLTEQGLYDHSTIAVASTGHNATAGTSTGMSKSIVKLKHSSPKYHKKKHKVKSNHKLFFECSEQLVRRSESIPDRKRNRKFFGAWETCTKKSGVWFVASIGVILTMLYKIMFLALEPRAKAKAQYPCPIHYQRCHDSVWTIEWTIRNVQEYVYCGLLTVLPVLYLGSFVGIRIMFKKLKEQNRGDHQIVQRVHNLSIIGALLLSLGVFVCLCLPLLVFYRSADLDYLGVFCVGSINDGEVKNMALLMVTLFGPTGWAVAHFWVAKQEIAECSWKRGAALFWTITLIVWWVTGAMTFFYLLMFLVTCAKNQSGLVVTDGLELKEDAKNCATSDGLLFGIFYMLSVISYCVSYYIIPREPYRFRRVDILFTLFTFLTACLCFISVTGIFSKAFELEDSKFYMNPCPPACQDIGLFKYGQGGSTKLHRPCWFGIDRQVQETKKQPGLFETHPDFTVPVTEDCYQLRDCELEEPWRIGFTDILKKCDSYVKECLKRCPPVVHIQEICTPVFAKCLFTEINPSCELNALPLFHEMEVAAISQDYHGMLNPFFTMNYAMKMCRPVTIPECTFLSHGRLLPLFICTLLFTFMEM
+>sp|Q8N3F9|G137C_HUMAN Integral membrane protein GPR137C OS=Homo sapiens OX=9606 GN=GPR137C PE=2 SV=2
+MRVSVPGPAAAAAPAAGREPSTPGGGSGGGGAVAAASGAAVPGSVQLALSVLHALLYAALFAFAYLQLWRLLLYRERRLSYQSLCLFLCLLWAALRTTLFSAAFSLSGSLPLLRPPAHLHFFPHWLLYCFPSCLQFSTLCLLNLYLAEVICKVRCATELDRHKILLHLGFIMASLLFLVVNLTCAMLVHGDVPENQLKWTVFVRALINDSLFILCAISLVCYICKITKMSSANVYLESKGMSLCQTVVVGSVVILLYSSRACYNLVVVTISQDTLESPFNYGWDNLSDKAHVEDISGEEYIVFGMVLFLWEHVPAWSVVLFFRAQRLNQNLAPAGMINSHSYSSRAYFFDNPRRYDSDDDLPRLGSSREGSLPNSQSLGWYGTMTGCGSSSYTVTPHLNGPMTDTAPLLFTCSNLDLNNHHSLYVTPQN
+>DECOY_sp|Q8N3F9|G137C_HUMAN Integral membrane protein GPR137C OS=Homo sapiens OX=9606 GN=GPR137C PE=2 SV=2
+NQPTVYLSHHNNLDLNSCTFLLPATDTMPGNLHPTVTYSSSGCGTMTGYWGLSQSNPLSGERSSGLRPLDDDSDYRRPNDFFYARSSYSHSNIMGAPALNQNLRQARFFLVVSWAPVHEWLFLVMGFVIYEEGSIDEVHAKDSLNDWGYNFPSELTDQSITVVVLNYCARSSYLLIVVSGVVVTQCLSMGKSELYVNASSMKTIKCIYCVLSIACLIFLSDNILARVFVTWKLQNEPVDGHVLMACTLNVVLFLLSAMIFGLHLLIKHRDLETACRVKCIVEALYLNLLCLTSFQLCSPFCYLLWHPFFHLHAPPRLLPLSGSLSFAASFLTTRLAAWLLCLFLCLSQYSLRRERYLLLRWLQLYAFAFLAAYLLAHLVSLALQVSGPVAAGSAAAVAGGGGSGGGPTSPERGAAPAAAAAPGPVSVRM
+>sp|Q13283|G3BP1_HUMAN Ras GTPase-activating protein-binding protein 1 OS=Homo sapiens OX=9606 GN=G3BP1 PE=1 SV=1
+MVMEKPSPLLVGREFVRQYYTLLNQAPDMLHRFYGKNSSYVHGGLDSNGKPADAVYGQKEIHRKVMSQNFTNCHTKIRHVDAHATLNDGVVVQVMGLLSNNNQALRRFMQTFVLAPEGSVANKFYVHNDIFRYQDEVFGGFVTEPQEESEEEVEEPEERQQTPEVVPDDSGTFYDQAVVSNDMEEHLEEPVAEPEPDPEPEPEQEPVSEIQEEKPEPVLEETAPEDAQKSSSPAPADIAQTVQEDLRTFSWASVTSKNLPPSGAVPVTGIPPHVVKVPASQPRPESKPESQIPPQRPQRDQRVREQRINIPPQRGPRPIREAGEQGDIEPRRMVRHPDSHQLFIGNLPHEVDKSELKDFFQSYGNVVELRINSGGKLPNFGFVVFDDSEPVQKVLSNRPIMFRGEVRLNVEEKKTRAAREGDRRDNRLRGPGGPRGGLGGGMRGPPRGGMVQKPGFGVGRGLAPRQ
+>DECOY_sp|Q13283|G3BP1_HUMAN Ras GTPase-activating protein-binding protein 1 OS=Homo sapiens OX=9606 GN=G3BP1 PE=1 SV=1
+QRPALGRGVGFGPKQVMGGRPPGRMGGGLGGRPGGPGRLRNDRRDGERAARTKKEEVNLRVEGRFMIPRNSLVKQVPESDDFVVFGFNPLKGGSNIRLEVVNGYSQFFDKLESKDVEHPLNGIFLQHSDPHRVMRRPEIDGQEGAERIPRPGRQPPINIRQERVRQDRQPRQPPIQSEPKSEPRPQSAPVKVVHPPIGTVPVAGSPPLNKSTVSAWSFTRLDEQVTQAIDAPAPSSSKQADEPATEELVPEPKEEQIESVPEQEPEPEPDPEPEAVPEELHEEMDNSVVAQDYFTGSDDPVVEPTQQREEPEEVEEESEEQPETVFGGFVEDQYRFIDNHVYFKNAVSGEPALVFTQMFRRLAQNNNSLLGMVQVVVGDNLTAHADVHRIKTHCNTFNQSMVKRHIEKQGYVADAPKGNSDLGGHVYSSNKGYFRHLMDPAQNLLTYYQRVFERGVLLPSPKEMVM
+>sp|O14556|G3PT_HUMAN Glyceraldehyde-3-phosphate dehydrogenase, testis-specific OS=Homo sapiens OX=9606 GN=GAPDHS PE=1 SV=2
+MSKRDIVLTNVTVVQLLRQPCPVTRAPPPPEPKAEVEPQPQPEPTPVREEIKPPPPPLPPHPATPPPKMVSVARELTVGINGFGRIGRLVLRACMEKGVKVVAVNDPFIDPEYMVYMFKYDSTHGRYKGSVEFRNGQLVVDNHEISVYQCKEPKQIPWRAVGSPYVVESTGVYLSIQAASDHISAGAQRVVISAPSPDAPMFVMGVNENDYNPGSMNIVSNASCTTNCLAPLAKVIHERFGIVEGLMTTVHSYTATQKTVDGPSRKAWRDGRGAHQNIIPASTGAAKAVTKVIPELKGKLTGMAFRVPTPDVSVVDLTCRLAQPAPYSAIKEAVKAAAKGPMAGILAYTEDEVVSTDFLGDTHSSIFDAKAGIALNDNFVKLISWYDNEYGYSHRVVDLLRYMFSRDK
+>DECOY_sp|O14556|G3PT_HUMAN Glyceraldehyde-3-phosphate dehydrogenase, testis-specific OS=Homo sapiens OX=9606 GN=GAPDHS PE=1 SV=2
+KDRSFMYRLLDVVRHSYGYENDYWSILKVFNDNLAIGAKADFISSHTDGLFDTSVVEDETYALIGAMPGKAAAKVAEKIASYPAPQALRCTLDVVSVDPTPVRFAMGTLKGKLEPIVKTVAKAAGTSAPIINQHAGRGDRWAKRSPGDVTKQTATYSHVTTMLGEVIGFREHIVKALPALCNTTCSANSVINMSGPNYDNENVGMVFMPADPSPASIVVRQAGASIHDSAAQISLYVGTSEVVYPSGVARWPIQKPEKCQYVSIEHNDVVLQGNRFEVSGKYRGHTSDYKFMYVMYEPDIFPDNVAVVKVGKEMCARLVLRGIRGFGNIGVTLERAVSVMKPPPTAPHPPLPPPPPKIEERVPTPEPQPQPEVEAKPEPPPPARTVPCPQRLLQVVTVNTLVIDRKSM
+>sp|Q96RP7|G3ST4_HUMAN Galactose-3-O-sulfotransferase 4 OS=Homo sapiens OX=9606 GN=GAL3ST4 PE=1 SV=1
+MGPLSPARTLRLWGPRSLGVALGVFMTIGFALQLLGGPFQRRLPGLQLRQPSAPSLRPALPSCPPRQRLVFLKTHKSGSSSVLSLLHRYGDQHGLRFALPARYQFGYPKLFQASRVKGYRPQGGGTQLPFHILCHHMRFNLKEVLQVMPSDSFFFSIVRDPAALARSAFSYYKSTSSAFRKSPSLAAFLANPRGFYRPGARGDHYARNLLWFDFGLPFPPEKRAKRGNIHPPRDPNPPQLQVLPSGAGPRAQTLNPNALIHPVSTVTDHRSQISSPASFDLGSSSFIQWGLAWLDSVFDLVMVAEYFDESLVLLADALCWGLDDVVGFMHNAQAGHKQGLSTVSNSGLTAEDRQLTARARAWNNLDWALYVHFNRSLWARIEKYGQGRLQTAVAELRARREALAKHCLVGGEASDPKYITDRRFRPFQFGSAKVLGYILRSGLSPQDQEECERLATPELQYKDKLDAKQFPPTVSLPLKTSRPLSP
+>DECOY_sp|Q96RP7|G3ST4_HUMAN Galactose-3-O-sulfotransferase 4 OS=Homo sapiens OX=9606 GN=GAL3ST4 PE=1 SV=1
+PSLPRSTKLPLSVTPPFQKADLKDKYQLEPTALRECEEQDQPSLGSRLIYGLVKASGFQFPRFRRDTIYKPDSAEGGVLCHKALAERRARLEAVATQLRGQGYKEIRAWLSRNFHVYLAWDLNNWARARATLQRDEATLGSNSVTSLGQKHGAQANHMFGVVDDLGWCLADALLVLSEDFYEAVMVLDFVSDLWALGWQIFSSSGLDFSAPSSIQSRHDTVTSVPHILANPNLTQARPGAGSPLVQLQPPNPDRPPHINGRKARKEPPFPLGFDFWLLNRAYHDGRAGPRYFGRPNALFAALSPSKRFASSTSKYYSFASRALAAPDRVISFFFSDSPMVQLVEKLNFRMHHCLIHFPLQTGGGQPRYGKVRSAQFLKPYGFQYRAPLAFRLGHQDGYRHLLSLVSSSGSKHTKLFVLRQRPPCSPLAPRLSPASPQRLQLGPLRRQFPGGLLQLAFGITMFVGLAVGLSRPGWLRLTRAPSLPGM
+>sp|Q9NQR9|G6PC2_HUMAN Glucose-6-phosphatase 2 OS=Homo sapiens OX=9606 GN=G6PC2 PE=1 SV=1
+MDFLHRNGVLIIQHLQKDYRAYYTFLNFMSNVGDPRNIFFIYFPLCFQFNQTVGTKMIWVAVIGDWLNLIFKWILFGHRPYWWVQETQIYPNHSSPCLEQFPTTCETGPGSPSGHAMGASCVWYVMVTAALSHTVCGMDKFSITLHRLTWSFLWSVFWLIQISVCISRVFIATHFPHQVILGVIGGMLVAEAFEHTPGIQTASLGTYLKTNLFLFLFAVGFYLLLRVLNIDLLWSVPIAKKWCANPDWIHIDTTPFAGLVRNLGVLFGLGFAINSEMFLLSCRGGNNYTLSFRLLCALTSLTILQLYHFLQIPTHEEHLFYVLSFCKSASIPLTVVAFIPYSVHMLMKQSGKKSQ
+>DECOY_sp|Q9NQR9|G6PC2_HUMAN Glucose-6-phosphatase 2 OS=Homo sapiens OX=9606 GN=G6PC2 PE=1 SV=1
+QSKKGSQKMLMHVSYPIFAVVTLPISASKCFSLVYFLHEEHTPIQLFHYLQLITLSTLACLLRFSLTYNNGGRCSLLFMESNIAFGLGFLVGLNRVLGAFPTTDIHIWDPNACWKKAIPVSWLLDINLVRLLLYFGVAFLFLFLNTKLYTGLSATQIGPTHEFAEAVLMGGIVGLIVQHPFHTAIFVRSICVSIQILWFVSWLFSWTLRHLTISFKDMGCVTHSLAATVMVYWVCSAGMAHGSPSGPGTECTTPFQELCPSSHNPYIQTEQVWWYPRHGFLIWKFILNLWDGIVAVWIMKTGVTQNFQFCLPFYIFFINRPDGVNSMFNLFTYYARYDKQLHQIILVGNRHLFDM
+>sp|P35575|G6PC_HUMAN Glucose-6-phosphatase OS=Homo sapiens OX=9606 GN=G6PC PE=1 SV=2
+MEEGMNVLHDFGIQSTHYLQVNYQDSQDWFILVSVIADLRNAFYVLFPIWFHLQEAVGIKLLWVAVIGDWLNLVFKWILFGQRPYWWVLDTDYYSNTSVPLIKQFPVTCETGPGSPSGHAMGTAGVYYVMVTSTLSIFQGKIKPTYRFRCLNVILWLGFWAVQLNVCLSRIYLAAHFPHQVVAGVLSGIAVAETFSHIHSIYNASLKKYFLITFFLFSFAIGFYLLLKGLGVDLLWTLEKAQRWCEQPEWVHIDTTPFASLLKNLGTLFGLGLALNSSMYRESCKGKLSKWLPFRLSSIVASLVLLHVFDSLKPPSQVELVFYVLSFCKSAVVPLASVSVIPYCLAQVLGQPHKKSL
+>DECOY_sp|P35575|G6PC_HUMAN Glucose-6-phosphatase OS=Homo sapiens OX=9606 GN=G6PC PE=1 SV=2
+LSKKHPQGLVQALCYPIVSVSALPVVASKCFSLVYFVLEVQSPPKLSDFVHLLVLSAVISSLRFPLWKSLKGKCSERYMSSNLALGLGFLTGLNKLLSAFPTTDIHVWEPQECWRQAKELTWLLDVGLGKLLLYFGIAFSFLFFTILFYKKLSANYISHIHSFTEAVAIGSLVGAVVQHPFHAALYIRSLCVNLQVAWFGLWLIVNLCRFRYTPKIKGQFISLTSTVMVYYVGATGMAHGSPSGPGTECTVPFQKILPVSTNSYYDTDLVWWYPRQGFLIWKFVLNLWDGIVAVWLLKIGVAEQLHFWIPFLVYFANRLDAIVSVLIFWDQSDQYNVQLYHTSQIGFDHLVNMGEEM
+>sp|Q99501|GA2L1_HUMAN GAS2-like protein 1 OS=Homo sapiens OX=9606 GN=GAS2L1 PE=1 SV=2
+MADPVAGIAGSAAKSVRPFRSSEAYVEAMKEDLAEWLNALYGLGLPGGGDGFLTGLATGTTLCQHANAVTEAARALAAARPARGVAFQAHSVVPGSFMARDNVATFIGWCRVELGVPEVLMFETEDLVLRKNEKSVVLCLLEVARRGARLGLLAPRLVQFEQEIERELRAAPPAPNAPAAGEDTTETAPAPGTPARGPRMTPSDLRNLDELVREILGRCTCPDQFPMIKVSEGKYRVGDSSLLIFVRVLRSHVMVRVGGGWDTLEHYLDKHDPCRCSSTAHRPPQPRVCTFSPQRVSPTTSPRPASPVPGSERRGSRPEMTPVSLRSTKEGPETPPRPRDQLPPHPRSRRYSGDSDSSASSAQSGPLGTRSDDTGTGPRRERPSRRLTTGTPASPRRPPALRSQSRDRLDRGRPRGAPGGRGAQLSVPSPARRARSQSREEQAVLLVRRDRDGQHSWVPRGRGSGGSGRSTPQTPRARSPAAPRLSRVSSPSPELGTTPASIFRTPLQLDPQQEQQLFRRLEEEFLANARALEAVASVTPTGPVPDPARAPDPPAPDSAYCSSSSSSSSLSVLGGKCGQPGDSGRTANGLPGPRSQALSSSSDEGSPCPGMGGPLDAPGSPLACTEPSRTWARGRMDTQPDRKPSRIPTPRGPRRPSGPAELGTWHALHSVTPRAEPDSWM
+>DECOY_sp|Q99501|GA2L1_HUMAN GAS2-like protein 1 OS=Homo sapiens OX=9606 GN=GAS2L1 PE=1 SV=2
+MWSDPEARPTVSHLAHWTGLEAPGSPRRPGRPTPIRSPKRDPQTDMRGRAWTRSPETCALPSGPADLPGGMGPCPSGEDSSSSLAQSRPGPLGNATRGSDGPQGCKGGLVSLSSSSSSSSCYASDPAPPDPARAPDPVPGTPTVSAVAELARANALFEEELRRFLQQEQQPDLQLPTRFISAPTTGLEPSPSSVRSLRPAAPSRARPTQPTSRGSGGSGRGRPVWSHQGDRDRRVLLVAQEERSQSRARRAPSPVSLQAGRGGPAGRPRGRDLRDRSQSRLAPPRRPSAPTGTTLRRSPRERRPGTGTDDSRTGLPGSQASSASSDSDGSYRRSRPHPPLQDRPRPPTEPGEKTSRLSVPTMEPRSGRRESGPVPSAPRPSTTPSVRQPSFTCVRPQPPRHATSSCRCPDHKDLYHELTDWGGGVRVMVHSRLVRVFILLSSDGVRYKGESVKIMPFQDPCTCRGLIERVLEDLNRLDSPTMRPGRAPTGPAPATETTDEGAAPANPAPPAARLEREIEQEFQVLRPALLGLRAGRRAVELLCLVVSKENKRLVLDETEFMLVEPVGLEVRCWGIFTAVNDRAMFSGPVVSHAQFAVGRAPRAAALARAAETVANAHQCLTTGTALGTLFGDGGGPLGLGYLANLWEALDEKMAEVYAESSRFPRVSKAASGAIGAVPDAM
+>sp|P24522|GA45A_HUMAN Growth arrest and DNA damage-inducible protein GADD45 alpha OS=Homo sapiens OX=9606 GN=GADD45A PE=1 SV=1
+MTLEEFSAGEQKTERMDKVGDALEEVLSKALSQRTITVGVYEAAKLLNVDPDNVVLCLLAADEDDDRDVALQIHFTLIQAFCCENDINILRVSNPGRLAELLLLETDAGPAASEGAEQPPDLHCVLVTNPHSSQWKDPALSQLICFCRESRYMDQWVPVINLPER
+>DECOY_sp|P24522|GA45A_HUMAN Growth arrest and DNA damage-inducible protein GADD45 alpha OS=Homo sapiens OX=9606 GN=GADD45A PE=1 SV=1
+REPLNIVPVWQDMYRSERCFCILQSLAPDKWQSSHPNTVLVCHLDPPQEAGESAAPGADTELLLLEALRGPNSVRLINIDNECCFAQILTFHIQLAVDRDDDEDAALLCLVVNDPDVNLLKAAEYVGVTITRQSLAKSLVEELADGVKDMRETKQEGASFEELTM
+>sp|Q9UQC2|GAB2_HUMAN GRB2-associated-binding protein 2 OS=Homo sapiens OX=9606 GN=GAB2 PE=1 SV=1
+MSGGGDVVCTGWLRKSPPEKKLRRYAWKKRWFILRSGRMSGDPDVLEYYKNDHSKKPLRIINLNFCEQVDAGLTFNKKELQDSFVFDIKTSERTFYLVAETEEDMNKWVQSICQICGFNQAEESTDSLRNVSSAGHGPRSSPAELSSSSQHLLRERKSSAPSHSSQPTLFTFEPPVSNHMQPTLSTSAPQEYLYLHQCISRRAENARSASFSQGTRASFLMRSDTAVQKLAQGNGHCVNGISGQVHGFYSLPKPSRHNTEFRDSTYDLPRSLASHGHTKGSLTGSETDNEDVYTFKTPSNTLCREFGDLLVDNMDVPATPLSAYQIPRTFTLDKNHNAMTVATPGDSAIAPPPRPPKPSQAETPRWGSPQQRPPISENSRSVAATIPRRNTLPAMDNSRLHRASSCETYEYPQRGGESAGRSAESMSDGVGSFLPGKMIVGRSDSTNSEDNYVPMNPGSSTLLAMERAGDNSQSVYIPMSPGAHHFDSLGYPSTTLPVHRGPSRGSEIQPPPVNRNLKPDRKAKPTPLDLRNNTVIDELPFKSPITKSWSRANHTFNSSSSQYCRPISTQSITSTDSGDSEENYVPMQNPVSASPVPSGTNSPAPKKSTGSVDYLALDFQPSSPSPHRKPSTSSVTSDEKVDYVQVDKEKTQALQNTMQEWTDVRQSSEPSKGAKL
+>DECOY_sp|Q9UQC2|GAB2_HUMAN GRB2-associated-binding protein 2 OS=Homo sapiens OX=9606 GN=GAB2 PE=1 SV=1
+LKAGKSPESSQRVDTWEQMTNQLAQTKEKDVQVYDVKEDSTVSSTSPKRHPSPSSPQFDLALYDVSGTSKKPAPSNTGSPVPSASVPNQMPVYNEESDGSDTSTISQTSIPRCYQSSSSNFTHNARSWSKTIPSKFPLEDIVTNNRLDLPTPKAKRDPKLNRNVPPPQIESGRSPGRHVPLTTSPYGLSDFHHAGPSMPIYVSQSNDGAREMALLTSSGPNMPVYNDESNTSDSRGVIMKGPLFSGVGDSMSEASRGASEGGRQPYEYTECSSARHLRSNDMAPLTNRRPITAAVSRSNESIPPRQQPSGWRPTEAQSPKPPRPPPAIASDGPTAVTMANHNKDLTFTRPIQYASLPTAPVDMNDVLLDGFERCLTNSPTKFTYVDENDTESGTLSGKTHGHSALSRPLDYTSDRFETNHRSPKPLSYFGHVQGSIGNVCHGNGQALKQVATDSRMLFSARTGQSFSASRANEARRSICQHLYLYEQPASTSLTPQMHNSVPPEFTFLTPQSSHSPASSKRERLLHQSSSSLEAPSSRPGHGASSVNRLSDTSEEAQNFGCIQCISQVWKNMDEETEAVLYFTRESTKIDFVFSDQLEKKNFTLGADVQECFNLNIIRLPKKSHDNKYYELVDPDGSMRGSRLIFWRKKWAYRRLKKEPPSKRLWGTCVVDGGGSM
+>sp|Q06546|GABPA_HUMAN GA-binding protein alpha chain OS=Homo sapiens OX=9606 GN=GABPA PE=1 SV=1
+MTKREAEELIEIEIDGTEKAECTEESIVEQTYAPAECVSQAIDINEPIGNLKKLLEPRLQCSLDAHEICLQDIQLDPERSLFDQGVKTDGTVQLSVQVISYQGIEPKLNILEIVKPADTVEVVIDPDAHHAESEAHLVEEAQVITLDGTKHITTISDETSEQVTRWAAALEGYRKEQERLGIPYDPIQWSTDQVLHWVVWVMKEFSMTDIDLTTLNISGRELCSLNQEDFFQRVPRGEILWSHLELLRKYVLASQEQQMNEIVTIDQPVQIIPASVQSATPTTIKVINSSAKAAKVQRAPRISGEDRSSPGNRTGNNGQIQLWQFLLELLTDKDARDCISWVGDEGEFKLNQPELVAQKWGQRKNKPTMNYEKLSRALRYYYDGDMICKVQGKRFVYKFVCDLKTLIGYSAAELNRLVTECEQKKLAKMQLHGIAQPVTAVALATASLQTEKDN
+>DECOY_sp|Q06546|GABPA_HUMAN GA-binding protein alpha chain OS=Homo sapiens OX=9606 GN=GABPA PE=1 SV=1
+NDKETQLSATALAVATVPQAIGHLQMKALKKQECETVLRNLEAASYGILTKLDCVFKYVFRKGQVKCIMDGDYYYRLARSLKEYNMTPKNKRQGWKQAVLEPQNLKFEGEDGVWSICDRADKDTLLELLFQWLQIQGNNGTRNGPSSRDEGSIRPARQVKAAKASSNIVKITTPTASQVSAPIIQVPQDITVIENMQQEQSALVYKRLLELHSWLIEGRPVRQFFDEQNLSCLERGSINLTTLDIDTMSFEKMVWVVWHLVQDTSWQIPDYPIGLREQEKRYGELAAAWRTVQESTEDSITTIHKTGDLTIVQAEEVLHAESEAHHADPDIVVEVTDAPKVIELINLKPEIGQYSIVQVSLQVTGDTKVGQDFLSREPDLQIDQLCIEHADLSCQLRPELLKKLNGIPENIDIAQSVCEAPAYTQEVISEETCEAKETGDIEIEILEEAERKTM
+>sp|Q6ZQY3|GADL1_HUMAN Acidic amino acid decarboxylase GADL1 OS=Homo sapiens OX=9606 GN=GADL1 PE=1 SV=4
+MSSDSDRQCPVDGDIDQQEMIPSKKNAVLVDGVVLNGPTTDAKAGEKFVEEACRLIMEEVVLKATDVNEKVCEWRPPEQLKQLLDLEMRDSGEPPHKLLELCRDVIHYSVKTNHPRFFNQLYAGLDYYSLVARFMTEALNPSVYTYEVSPVFLLVEEAVLKKMIEFIGWKEGDGIFNPGGSVSNMYAMNLARYKYCPDIKEKGLSGSPRLILFTSAECHYSMKKAASFLGIGTENVCFVETDGRGKMIPEELEKQVWQARKEGAAPFLVCATSGTTVLGAFDPLDEIADICERHSLWLHVDASWGGSALMSRKHRKLLHGIHRADSVAWNPHKMLMAGIQCCALLVKDKSDLLKKCYSAKASYLFQQDKFYDVSYDTGDKSIQCSRRPDAFKFWMTWKALGTLGLEERVNRALALSRYLVDEIKKREGFKLLMEPEYANICFWYIPPSLREMEEGPEFWAKLNLVAPAIKERMMKKGSLMLGYQPHRGKVNFFRQVVISPQVSREDMDFLLDEIDLLGKDM
+>DECOY_sp|Q6ZQY3|GADL1_HUMAN Acidic amino acid decarboxylase GADL1 OS=Homo sapiens OX=9606 GN=GADL1 PE=1 SV=4
+MDKGLLDIEDLLFDMDERSVQPSIVVQRFFNVKGRHPQYGLMLSGKKMMREKIAPAVLNLKAWFEPGEEMERLSPPIYWFCINAYEPEMLLKFGERKKIEDVLYRSLALARNVREELGLTGLAKWTMWFKFADPRRSCQISKDGTDYSVDYFKDQQFLYSAKASYCKKLLDSKDKVLLACCQIGAMLMKHPNWAVSDARHIGHLLKRHKRSMLASGGWSADVHLWLSHRECIDAIEDLPDFAGLVTTGSTACVLFPAAGEKRAQWVQKELEEPIMKGRGDTEVFCVNETGIGLFSAAKKMSYHCEASTFLILRPSGSLGKEKIDPCYKYRALNMAYMNSVSGGPNFIGDGEKWGIFEIMKKLVAEEVLLFVPSVEYTYVSPNLAETMFRAVLSYYDLGAYLQNFFRPHNTKVSYHIVDRCLELLKHPPEGSDRMELDLLQKLQEPPRWECVKENVDTAKLVVEEMILRCAEEVFKEGAKADTTPGNLVVGDVLVANKKSPIMEQQDIDGDVPCQRDSDSSM
+>sp|A6NGK3|GAG10_HUMAN G antigen 10 OS=Homo sapiens OX=9606 GN=GAGE10 PE=1 SV=1
+MSWRGRSTYRSRPRLYVEPPEMIGPMLPEQFSDEVEPATPEEGEPATQRQDPAAAQEGEDEGASAGQGPKPEADSQEQVHPKTGCECGDGPDGQEMGLPNPEEVKRPEEGEKQSQC
+>DECOY_sp|A6NGK3|GAG10_HUMAN G antigen 10 OS=Homo sapiens OX=9606 GN=GAGE10 PE=1 SV=1
+CQSQKEGEEPRKVEEPNPLGMEQGDPGDGCECGTKPHVQEQSDAEPKPGQGASAGEDEGEQAAAPDQRQTAPEGEEPTAPEVEDSFQEPLMPGIMEPPEVYLRPRSRYTSRGRWSM
+>sp|O76087|GAGE7_HUMAN G antigen 7 OS=Homo sapiens OX=9606 GN=GAGE7 PE=2 SV=1
+MSWRGRSTYYWPRPRRYVQPPEMIGPMRPEQFSDEVEPATPEEGEPATQRQDPAAAQEGEDEGASAGQGPKPEAHSQEQGHPQTGCECEDGPDGQEMDPPNPEEVKTPEEGEKQSQC
+>DECOY_sp|O76087|GAGE7_HUMAN G antigen 7 OS=Homo sapiens OX=9606 GN=GAGE7 PE=2 SV=1
+CQSQKEGEEPTKVEEPNPPDMEQGDPGDECECGTQPHGQEQSHAEPKPGQGASAGEDEGEQAAAPDQRQTAPEGEEPTAPEVEDSFQEPRMPGIMEPPQVYRRPRPWYYTSRGRWSM
+>sp|Q10472|GALT1_HUMAN Polypeptide N-acetylgalactosaminyltransferase 1 OS=Homo sapiens OX=9606 GN=GALNT1 PE=1 SV=1
+MRKFAYCKVVLATSLIWVLLDMFLLLYFSECNKCDEKKERGLPAGDVLEPVQKPHEGPGEMGKPVVIPKEDQEKMKEMFKINQFNLMASEMIALNRSLPDVRLEGCKTKVYPDNLPTTSVVIVFHNEAWSTLLRTVHSVINRSPRHMIEEIVLVDDASERDFLKRPLESYVKKLKVPVHVIRMEQRSGLIRARLKGAAVSKGQVITFLDAHCECTVGWLEPLLARIKHDRRTVVCPIIDVISDDTFEYMAGSDMTYGGFNWKLNFRWYPVPQREMDRRKGDRTLPVRTPTMAGGLFSIDRDYFQEIGTYDAGMDIWGGENLEISFRIWQCGGTLEIVTCSHVGHVFRKATPYTFPGGTGQIINKNNRRLAEVWMDEFKNFFYIISPGVTKVDYGDISSRVGLRHKLQCKPFSWYLENIYPDSQIPRHYFSLGEIRNVETNQCLDNMARKENEKVGIFNCHGMGGNQVFSYTANKEIRTDDLCLDVSKLNGPVTMLKCHHLKGNQLWEYDPVKLTLQHVNSNQCLDKATEEDSQVPSIRDCNGSRSQQWLLRNVTLPEIF
+>DECOY_sp|Q10472|GALT1_HUMAN Polypeptide N-acetylgalactosaminyltransferase 1 OS=Homo sapiens OX=9606 GN=GALNT1 PE=1 SV=1
+FIEPLTVNRLLWQQSRSGNCDRISPVQSDEETAKDLCQNSNVHQLTLKVPDYEWLQNGKLHHCKLMTVPGNLKSVDLCLDDTRIEKNATYSFVQNGGMGHCNFIGVKENEKRAMNDLCQNTEVNRIEGLSFYHRPIQSDPYINELYWSFPKCQLKHRLGVRSSIDGYDVKTVGPSIIYFFNKFEDMWVEALRRNNKNIIQGTGGPFTYPTAKRFVHGVHSCTVIELTGGCQWIRFSIELNEGGWIDMGADYTGIEQFYDRDISFLGGAMTPTRVPLTRDGKRRDMERQPVPYWRFNLKWNFGGYTMDSGAMYEFTDDSIVDIIPCVVTRRDHKIRALLPELWGVTCECHADLFTIVQGKSVAAGKLRARILGSRQEMRIVHVPVKLKKVYSELPRKLFDRESADDVLVIEEIMHRPSRNIVSHVTRLLTSWAENHFVIVVSTTPLNDPYVKTKCGELRVDPLSRNLAIMESAMLNFQNIKFMEKMKEQDEKPIVVPKGMEGPGEHPKQVPELVDGAPLGREKKEDCKNCESFYLLLFMDLLVWILSTALVVKCYAFKRM
+>sp|Q14353|GAMT_HUMAN Guanidinoacetate N-methyltransferase OS=Homo sapiens OX=9606 GN=GAMT PE=1 SV=1
+MSAPSATPIFAPGENCSPAWGAAPAAYDAADTHLRILGKPVMERWETPYMHALAAAASSKGGRVLEVGFGMAIAASKVQEAPIDEHWIIECNDGVFQRLRDWAPRQTHKVIPLKGLWEDVAPTLPDGHFDGILYDTYPLSEETWHTHQFNFIKNHAFRLLKPGGVLTYCNLTSWGELMKSKYSDITIMFEETQVPALLEAGFRRENIRTEVMALVPPADCRYYAFPQMITPLVTKG
+>DECOY_sp|Q14353|GAMT_HUMAN Guanidinoacetate N-methyltransferase OS=Homo sapiens OX=9606 GN=GAMT PE=1 SV=1
+GKTVLPTIMQPFAYYRCDAPPVLAMVETRINERRFGAELLAPVQTEEFMITIDSYKSKMLEGWSTLNCYTLVGGPKLLRFAHNKIFNFQHTHWTEESLPYTDYLIGDFHGDPLTPAVDEWLGKLPIVKHTQRPAWDRLRQFVGDNCEIIWHEDIPAEQVKSAAIAMGFGVELVRGGKSSAAAALAHMYPTEWREMVPKGLIRLHTDAADYAAPAAGWAPSCNEGPAFIPTASPASM
+>sp|P32239|GASR_HUMAN Gastrin/cholecystokinin type B receptor OS=Homo sapiens OX=9606 GN=CCKBR PE=1 SV=1
+MELLKLNRSVQGTGPGPGASLCRPGAPLLNSSSVGNLSCEPPRIRGAGTRELELAIRITLYAVIFLMSVGGNMLIIVVLGLSRRLRTVTNAFLLSLAVSDLLLAVACMPFTLLPNLMGTFIFGTVICKAVSYLMGVSVSVSTLSLVAIALERYSAICRPLQARVWQTRSHAARVIVATWLLSGLLMVPYPVYTVVQPVGPRVLQCVHRWPSARVRQTWSVLLLLLLFFIPGVVMAVAYGLISRELYLGLRFDGDSDSDSQSRVRNQGGLPGAVHQNGRCRPETGAVGEDSDGCYVQLPRSRPALELTALTAPGPGSGSRPTQAKLLAKKRVVRMLLVIVVLFFLCWLPVYSANTWRAFDGPGAHRALSGAPISFIHLLSYASACVNPLVYCFMHRRFRQACLETCARCCPRPPRARPRALPDEDPPTPSIASLSRLSYTTISTLGPG
+>DECOY_sp|P32239|GASR_HUMAN Gastrin/cholecystokinin type B receptor OS=Homo sapiens OX=9606 GN=CCKBR PE=1 SV=1
+GPGLTSITTYSLRSLSAISPTPPDEDPLARPRARPPRPCCRACTELCAQRFRRHMFCYVLPNVCASAYSLLHIFSIPAGSLARHAGPGDFARWTNASYVPLWCLFFLVVIVLLMRVVRKKALLKAQTPRSGSGPGPATLATLELAPRSRPLQVYCGDSDEGVAGTEPRCRGNQHVAGPLGGQNRVRSQSDSDSDGDFRLGLYLERSILGYAVAMVVGPIFFLLLLLLVSWTQRVRASPWRHVCQLVRPGVPQVVTYVPYPVMLLGSLLWTAVIVRAAHSRTQWVRAQLPRCIASYRELAIAVLSLTSVSVSVGMLYSVAKCIVTGFIFTGMLNPLLTFPMCAVALLLDSVALSLLFANTVTRLRRSLGLVVIILMNGGVSMLFIVAYLTIRIALELERTGAGRIRPPECSLNGVSSSNLLPAGPRCLSAGPGPGTGQVSRNLKLLEM
+>sp|P01350|GAST_HUMAN Gastrin OS=Homo sapiens OX=9606 GN=GAST PE=1 SV=1
+MQRLCVYVLIFALALAAFSEASWKPRSQQPDAPLGTGANRDLELPWLEQQGPASHHRRQLGPQGPPHLVADPSKKQGPWLEEEEEAYGWMDFGRRSAEDEN
+>DECOY_sp|P01350|GAST_HUMAN Gastrin OS=Homo sapiens OX=9606 GN=GAST PE=1 SV=1
+NEDEASRRGFDMWGYAEEEEELWPGQKKSPDAVLHPPGQPGLQRRHHSAPGQQELWPLELDRNAGTGLPADPQQSRPKWSAESFAALALAFILVYVCLRQM
+>sp|Q9H0R6|GATA_HUMAN Glutamyl-tRNA(Gln) amidotransferase subunit A, mitochondrial OS=Homo sapiens OX=9606 GN=QRSL1 PE=1 SV=2
+MLGRSLREVSAALKQGQITPTELCQKCLSLIKKTKFLNAYITVSEEVALKQAEESEKRYKNGQSLGDLDGIPIAVKDNFSTSGIETTCASNMLKGYIPPYNATVVQKLLDQGALLMGKTNLDEFAMGSGSTDGVFGPVKNPWSYSKQYREKRKQNPHSENEDSDWLITGGSSGGSAAAVSAFTCYAALGSDTGGSTRNPAAHCGLVGFKPSYGLVSRHGLIPLVNSMDVPGILTRCVDDAAIVLGALAGPDPRDSTTVHEPINKPFMLPSLADVSKLCIGIPKEYLVPELSSEVQSLWSKAADLFESEGAKVIEVSLPHTSYSIVCYHVLCTSEVASNMARFDGLQYGHRCDIDVSTEAMYAATRREGFNDVVRGRILSGNFFLLKENYENYFVKAQKVRRLIANDFVNAFNSGVDVLLTPTTLSEAVPYLEFIKEDNRTRSAQDDIFTQAVNMAGLPAVSIPVALSNQGLPIGLQFIGRAFCDQQLLTVAKWFEKQVQFPVIQLQELMDDCSAVLENEKLASVSLKQ
+>DECOY_sp|Q9H0R6|GATA_HUMAN Glutamyl-tRNA(Gln) amidotransferase subunit A, mitochondrial OS=Homo sapiens OX=9606 GN=QRSL1 PE=1 SV=2
+QKLSVSALKENELVASCDDMLEQLQIVPFQVQKEFWKAVTLLQQDCFARGIFQLGIPLGQNSLAVPISVAPLGAMNVAQTFIDDQASRTRNDEKIFELYPVAESLTTPTLLVDVGSNFANVFDNAILRRVKQAKVFYNEYNEKLLFFNGSLIRGRVVDNFGERRTAAYMAETSVDIDCRHGYQLGDFRAMNSAVESTCLVHYCVISYSTHPLSVEIVKAGESEFLDAAKSWLSQVESSLEPVLYEKPIGICLKSVDALSPLMFPKNIPEHVTTSDRPDPGALAGLVIAADDVCRTLIGPVDMSNVLPILGHRSVLGYSPKFGVLGCHAAPNRTSGGTDSGLAAYCTFASVAAASGGSSGGTILWDSDENESHPNQKRKERYQKSYSWPNKVPGFVGDTSGSGMAFEDLNTKGMLLAGQDLLKQVVTANYPPIYGKLMNSACTTEIGSTSFNDKVAIPIGDLDGLSQGNKYRKESEEAQKLAVEESVTIYANLFKTKKILSLCKQCLETPTIQGQKLAASVERLSRGLM
+>sp|P58012|FOXL2_HUMAN Forkhead box protein L2 OS=Homo sapiens OX=9606 GN=FOXL2 PE=1 SV=1
+MMASYPEPEDAAGALLAPETGRTVKEPEGPPPSPGKGGGGGGGTAPEKPDPAQKPPYSYVALIAMAIRESAEKRLTLSGIYQYIIAKFPFYEKNKKGWQNSIRHNLSLNECFIKVPREGGGERKGNYWTLDPACEDMFEKGNYRRRRRMKRPFRPPPAHFQPGKGLFGAGGAAGGCGVAGAGADGYGYLAPPKYLQSGFLNNSWPLPQPPSPMPYASCQMAAAAAAAAAAAAAAGPGSPGAAAVVKGLAGPAASYGPYTRVQSMALPPGVVNSYNGLGGPPAAPPPPPHPHPHPHAHHLHAAAAPPPAPPHHGAAAPPPGQLSPASPATAAPPAPAPTSAPGLQFACARQPELAMMHCSYWDHDSKTGALHSRLDL
+>DECOY_sp|P58012|FOXL2_HUMAN Forkhead box protein L2 OS=Homo sapiens OX=9606 GN=FOXL2 PE=1 SV=1
+LDLRSHLAGTKSDHDWYSCHMMALEPQRACAFQLGPASTPAPAPPAATAPSAPSLQGPPPAAAGHHPPAPPPAAAAHLHHAHPHPHPHPPPPPAAPPGGLGNYSNVVGPPLAMSQVRTYPGYSAAPGALGKVVAAAGPSGPGAAAAAAAAAAAAAAMQCSAYPMPSPPQPLPWSNNLFGSQLYKPPALYGYGDAGAGAVGCGGAAGGAGFLGKGPQFHAPPPRFPRKMRRRRRYNGKEFMDECAPDLTWYNGKREGGGERPVKIFCENLSLNHRISNQWGKKNKEYFPFKAIIYQYIGSLTLRKEASERIAMAILAVYSYPPKQAPDPKEPATGGGGGGGKGPSPPPGEPEKVTRGTEPALLAGAADEPEPYSAMM
+>sp|Q08050|FOXM1_HUMAN Forkhead box protein M1 OS=Homo sapiens OX=9606 GN=FOXM1 PE=1 SV=3
+MKTSPRRPLILKRRRLPLPVQNAPSETSEEEPKRSPAQQESNQAEASKEVAESNSCKFPAGIKIINHPTMPNTQVVAIPNNANIHSIITALTAKGKESGSSGPNKFILISCGGAPTQPPGLRPQTQTSYDAKRTEVTLETLGPKPAARDVNLPRPPGALCEQKRETCADGEAAGCTINNSLSNIQWLRKMSSDGLGSRSIKQEMEEKENCHLEQRQVKVEEPSRPSASWQNSVSERPPYSYMAMIQFAINSTERKRMTLKDIYTWIEDHFPYFKHIAKPGWKNSIRHNLSLHDMFVRETSANGKVSFWTIHPSANRYLTLDQVFKPLDPGSPQLPEHLESQQKRPNPELRRNMTIKTELPLGARRKMKPLLPRVSSYLVPIQFPVNQSLVLQPSVKVPLPLAASLMSSELARHSKRVRIAPKVLLAEEGIAPLSSAGPGKEEKLLFGEGFSPLLPVQTIKEEEIQPGEEMPHLARPIKVESPPLEEWPSPAPSFKEESSHSWEDSSQSPTPRPKKSYSGLRSPTRCVSEMLVIQHRERRERSRSRRKQHLLPPCVDEPELLFSEGPSTSRWAAELPFPADSSDPASQLSYSQEVGGPFKTPIKETLPISSTPSKSVLPRTPESWRLTPPAKVGGLDFSPVQTSQGASDPLPDPLGLMDLSTTPLQSAPPLESPQRLLSSEPLDLISVPFGNSSPSDIDVPKPGSPEPQVSGLAANRSLTEGLVLDTMNDSLSKILLDISFPGLDEDPLGPDNINWSQFIPELQ
+>DECOY_sp|Q08050|FOXM1_HUMAN Forkhead box protein M1 OS=Homo sapiens OX=9606 GN=FOXM1 PE=1 SV=3
+QLEPIFQSWNINDPGLPDEDLGPFSIDLLIKSLSDNMTDLVLGETLSRNAALGSVQPEPSGPKPVDIDSPSSNGFPVSILDLPESSLLRQPSELPPASQLPTTSLDMLGLPDPLPDSAGQSTQVPSFDLGGVKAPPTLRWSEPTRPLVSKSPTSSIPLTEKIPTKFPGGVEQSYSLQSAPDSSDAPFPLEAAWRSTSPGESFLLEPEDVCPPLLHQKRRSRSRERRERHQIVLMESVCRTPSRLGSYSKKPRPTPSQSSDEWSHSSEEKFSPAPSPWEELPPSEVKIPRALHPMEEGPQIEEEKITQVPLLPSFGEGFLLKEEKGPGASSLPAIGEEALLVKPAIRVRKSHRALESSMLSAALPLPVKVSPQLVLSQNVPFQIPVLYSSVRPLLPKMKRRAGLPLETKITMNRRLEPNPRKQQSELHEPLQPSGPDLPKFVQDLTLYRNASPHITWFSVKGNASTERVFMDHLSLNHRISNKWGPKAIHKFYPFHDEIWTYIDKLTMRKRETSNIAFQIMAMYSYPPRESVSNQWSASPRSPEEVKVQRQELHCNEKEEMEQKISRSGLGDSSMKRLWQINSLSNNITCGAAEGDACTERKQECLAGPPRPLNVDRAAPKPGLTELTVETRKADYSTQTQPRLGPPQTPAGGCSILIFKNPGSSGSEKGKATLATIISHINANNPIAVVQTNPMTPHNIIKIGAPFKCSNSEAVEKSAEAQNSEQQAPSRKPEEESTESPANQVPLPLRRRKLILPRRPSTKM
+>sp|Q6ZUU3|FOXNB_HUMAN FOXL2 neighbor protein OS=Homo sapiens OX=9606 GN=FOXL2NB PE=2 SV=1
+MTRTPVGSARTRPKPRKLGPQRGKALQASSRLSESPALVKKRMPDACTLGRAGIGLPKMCLHMAVRHSKAQKTGPGILQQRQKPPAPRASGGPALLGKRRGCSEAGSASLEPLSSSRAAAGCLNQVPLSPFLAGPRNTRRLPAPERERIELAATLCLEGWPLRCLASKGKLHCVY
+>DECOY_sp|Q6ZUU3|FOXNB_HUMAN FOXL2 neighbor protein OS=Homo sapiens OX=9606 GN=FOXL2NB PE=2 SV=1
+YVCHLKGKSALCRLPWGELCLTAALEIREREPAPLRRTNRPGALFPSLPVQNLCGAAARSSSLPELSASGAESCGRRKGLLAPGGSARPAPPKQRQQLIGPGTKQAKSHRVAMHLCMKPLGIGARGLTCADPMRKKVLAPSESLRSSAQLAKGRQPGLKRPKPRTRASGVPTRTM
+>sp|Q12778|FOXO1_HUMAN Forkhead box protein O1 OS=Homo sapiens OX=9606 GN=FOXO1 PE=1 SV=2
+MAEAPQVVEIDPDFEPLPRPRSCTWPLPRPEFSQSNSATSSPAPSGSAAANPDAAAGLPSASAAAVSADFMSNLSLLEESEDFPQAPGSVAAAVAAAAAAAATGGLCGDFQGPEAGCLHPAPPQPPPPGPLSQHPPVPPAAAGPLAGQPRKSSSSRRNAWGNLSYADLITKAIESSAEKRLTLSQIYEWMVKSVPYFKDKGDSNSSAGWKNSIRHNLSLHSKFIRVQNEGTGKSSWWMLNPEGGKSGKSPRRRAASMDNNSKFAKSRSRAAKKKASLQSGQEGAGDSPGSQFSKWPASPGSHSNDDFDNWSTFRPRTSSNASTISGRLSPIMTEQDDLGEGDVHSMVYPPSAAKMASTLPSLSEISNPENMENLLDNLNLLSSPTSLTVSTQSSPGTMMQQTPCYSFAPPNTSLNSPSPNYQKYTYGQSSMSPLPQMPIQTLQDNKSSYGGMSQYNCAPGLLKELLTSDSPPHNDIMTPVDPGVAQPNSRVLGQNVMMGPNSVMSTYGSQASHNKMMNPSSHTHPGHAQQTSAVNGRPLPHTVSTMPHTSGMNRLTQVKTPVQVPLPHPMQMSALGGYSSVSSCNGYGRMGLLHQEKLPSDLDGMFIERLDCDMESIIRNDLMDGDTLDFNFDNVLPNQSFPHSVKTTTHSWVSG
+>DECOY_sp|Q12778|FOXO1_HUMAN Forkhead box protein O1 OS=Homo sapiens OX=9606 GN=FOXO1 PE=1 SV=2
+GSVWSHTTTKVSHPFSQNPLVNDFNFDLTDGDMLDNRIISEMDCDLREIFMGDLDSPLKEQHLLGMRGYGNCSSVSSYGGLASMQMPHPLPVQVPTKVQTLRNMGSTHPMTSVTHPLPRGNVASTQQAHGPHTHSSPNMMKNHSAQSGYTSMVSNPGMMVNQGLVRSNPQAVGPDVPTMIDNHPPSDSTLLEKLLGPACNYQSMGGYSSKNDQLTQIPMQPLPSMSSQGYTYKQYNPSPSNLSTNPPAFSYCPTQQMMTGPSSQTSVTLSTPSSLLNLNDLLNEMNEPNSIESLSPLTSAMKAASPPYVMSHVDGEGLDDQETMIPSLRGSITSANSSTRPRFTSWNDFDDNSHSGPSAPWKSFQSGPSDGAGEQGSQLSAKKKAARSRSKAFKSNNDMSAARRRPSKGSKGGEPNLMWWSSKGTGENQVRIFKSHLSLNHRISNKWGASSNSDGKDKFYPVSKVMWEYIQSLTLRKEASSEIAKTILDAYSLNGWANRRSSSSKRPQGALPGAAAPPVPPHQSLPGPPPPQPPAPHLCGAEPGQFDGCLGGTAAAAAAAAVAAAVSGPAQPFDESEELLSLNSMFDASVAAASASPLGAAADPNAAASGSPAPSSTASNSQSFEPRPLPWTCSRPRPLPEFDPDIEVVQPAEAM
+>sp|Q9H334|FOXP1_HUMAN Forkhead box protein P1 OS=Homo sapiens OX=9606 GN=FOXP1 PE=1 SV=1
+MMQESGTETKSNGSAIQNGSGGSNHLLECGGLREGRSNGETPAVDIGAADLAHAQQQQQQALQVARQLLLQQQQQQQVSGLKSPKRNDKQPALQVPVSVAMMTPQVITPQQMQQILQQQVLSPQQLQVLLQQQQALMLQQQQLQEFYKKQQEQLQLQLLQQQHAGKQPKEQQQVATQQLAFQQQLLQMQQLQQQHLLSLQRQGLLTIQPGQPALPLQPLAQGMIPTELQQLWKEVTSAHTAEETTGNNHSSLDLTTTCVSSSAPSKTSLIMNPHASTNGQLSVHTPKRESLSHEEHPHSHPLYGHGVCKWPGCEAVCEDFQSFLKHLNSEHALDDRSTAQCRVQMQVVQQLELQLAKDKERLQAMMTHLHVKSTEPKAAPQPLNLVSSVTLSKSASEASPQSLPHTPTTPTAPLTPVTQGPSVITTTSMHTVGPIRRRYSDKYNVPISSADIAQNQEFYKNAEVRPPFTYASLIRQAILESPEKQLTLNEIYNWFTRMFAYFRRNAATWKNAVRHNLSLHKCFVRVENVKGAVWTVDEVEFQKRRPQKISGNPSLIKNMQSSHAYCTPLNAALQASMAENSIPLYTTASMGNPTLGNLASAIREELNGAMEHTNSNESDSSPGRSPMQAVHPVHVKEEPLDPEEAEGPLSLVTTANHSPDFDHDRDYEDEPVNEDME
+>DECOY_sp|Q9H334|FOXP1_HUMAN Forkhead box protein P1 OS=Homo sapiens OX=9606 GN=FOXP1 PE=1 SV=1
+EMDENVPEDEYDRDHDFDPSHNATTVLSLPGEAEEPDLPEEKVHVPHVAQMPSRGPSSDSENSNTHEMAGNLEERIASALNGLTPNGMSATTYLPISNEAMSAQLAANLPTCYAHSSQMNKILSPNGSIKQPRRKQFEVEDVTWVAGKVNEVRVFCKHLSLNHRVANKWTAANRRFYAFMRTFWNYIENLTLQKEPSELIAQRILSAYTFPPRVEANKYFEQNQAIDASSIPVNYKDSYRRRIPGVTHMSTTTIVSPGQTVPTLPATPTTPTHPLSQPSAESASKSLTVSSVLNLPQPAAKPETSKVHLHTMMAQLREKDKALQLELQQVVQMQVRCQATSRDDLAHESNLHKLFSQFDECVAECGPWKCVGHGYLPHSHPHEEHSLSERKPTHVSLQGNTSAHPNMILSTKSPASSSVCTTTLDLSSHNNGTTEEATHASTVEKWLQQLETPIMGQALPQLPLAPQGPQITLLGQRQLSLLHQQQLQQMQLLQQQFALQQTAVQQQEKPQKGAHQQQLLQLQLQEQQKKYFEQLQQQQLMLAQQQQLLVQLQQPSLVQQQLIQQMQQPTIVQPTMMAVSVPVQLAPQKDNRKPSKLGSVQQQQQQQLLLQRAVQLAQQQQQQAHALDAAGIDVAPTEGNSRGERLGGCELLHNSGGSGNQIASGNSKTETGSEQMM
+>sp|Q8IVH2|FOXP4_HUMAN Forkhead box protein P4 OS=Homo sapiens OX=9606 GN=FOXP4 PE=1 SV=1
+MMVESASETIRSAPSGQNGVGSLSGQADGSSGGATGTTASGTGREVTTGADSNGEMSPAELLHFQQQQALQVARQFLLQQASGLSSPGNNDSKQSASAVQVPVSVAMMSPQMLTPQQMQQILSPPQLQALLQQQQALMLQQLQEYYKKQQEQLHLQLLTQQQAGKPQPKEALGNKQLAFQQQLLQMQQLQQQHLLNLQRQGLVSLQPNQASGPLQTLPQAAVCPTDLPQLWKGEGAPGQPAEDSVKQEGLDLTGTAATATSFAAPPKVSPPLSHHTLPNGQPTVLTSRRDSSSHEETPGSHPLYGHGECKWPGCETLCEDLGQFIKHLNTEHALDDRSTAQCRVQMQVVQQLEIQLAKESERLQAMMAHLHMRPSEPKPFSQPLNPVPGSSSFSKVTVSAADSFPDGLVHPPTSAAAPVTPLRPPGLGSASLHGGGPARRRSSDKFCSPISSELAQNHEFYKNADVRPPFTYASLIRQAILETPDRQLTLNEIYNWFTRMFAYFRRNTATWKNAVRHNLSLHKCFVRVENVKGAVWTVDEREYQKRRPPKMTGSPTLVKNMISGLSYGALNASYQAALAESSFPLLNSPGMLNPGSASSLLPLSHDDVGAPVEPLPSNGSSSPPRLSPPQYSHQVQVKEEPAEAEEDRQPGPPLGAPNPSASGPPEDRDLEEELPGEELS
+>DECOY_sp|Q8IVH2|FOXP4_HUMAN Forkhead box protein P4 OS=Homo sapiens OX=9606 GN=FOXP4 PE=1 SV=1
+SLEEGPLEEELDRDEPPGSASPNPAGLPPGPQRDEEAEAPEEKVQVQHSYQPPSLRPPSSSGNSPLPEVPAGVDDHSLPLLSSASGPNLMGPSNLLPFSSEALAAQYSANLAGYSLGSIMNKVLTPSGTMKPPRRKQYEREDVTWVAGKVNEVRVFCKHLSLNHRVANKWTATNRRFYAFMRTFWNYIENLTLQRDPTELIAQRILSAYTFPPRVDANKYFEHNQALESSIPSCFKDSSRRRAPGGGHLSASGLGPPRLPTVPAAASTPPHVLGDPFSDAASVTVKSFSSSGPVPNLPQSFPKPESPRMHLHAMMAQLRESEKALQIELQQVVQMQVRCQATSRDDLAHETNLHKIFQGLDECLTECGPWKCEGHGYLPHSGPTEEHSSSDRRSTLVTPQGNPLTHHSLPPSVKPPAAFSTATAATGTLDLGEQKVSDEAPQGPAGEGKWLQPLDTPCVAAQPLTQLPGSAQNPQLSVLGQRQLNLLHQQQLQQMQLLQQQFALQKNGLAEKPQPKGAQQQTLLQLHLQEQQKKYYEQLQQLMLAQQQQLLAQLQPPSLIQQMQQPTLMQPSMMAVSVPVQVASASQKSDNNGPSSLGSAQQLLFQRAVQLAQQQQFHLLEAPSMEGNSDAGTTVERGTGSATTGTAGGSSGDAQGSLSGVGNQGSPASRITESASEVMM
+>sp|A0AVI2|FR1L5_HUMAN Fer-1-like protein 5 OS=Homo sapiens OX=9606 GN=FER1L5 PE=2 SV=3
+MLRLVVQSAKIDPPLAPLPRPCMSIDFRDIKKRTRVVEGNDPVWNETLIWHLWNRPLENDSFLQVTLQDMGSQKKERFIGLATVLLKPLLKQPSEVLFVKDLTLLNHSMKPTDCTVTLQVAHMSNQDIEKTGAEDHLGITAREAASQKLMVPGSTAHRALSSKPQHFQVRVKVFEARQLMGNNIKPVVKVSIAGQQHQTRIKMGNNPFFNEIFFQNFHEVPAKFFDETILIQVVNSSAMRYKAEIGRFQTDIGFIYHSPGHTLLRKWLGLCQPNNPGSGVTGYLKVTIYALGVGDQALIDQKLLYGTDDTDIQIFKSAVVPINMAYLQLFIYCAEDLHLKKHQSVNPQLEVELIGEKLRTHMQTQTDNPIWNQILTFRIQLPCLSSYIKFRVLDCRKKDCPDEIGTASLSLNQISSTGEEIEGVYSGFLPCFGPSFLTLHGGKKAPFRIQEEGACIPDSVRDGLAYRGRVFLELITQIKSYQDSTIKDLSHEVTRIEKHQNRQKYGLCVIFLSCTMMPNFKELIHFEVSIGHYGNKMDLNYKPLVSSTPYSPVIYDGNIYHYVPWYNTKPVVAVTSNWEDVSFRMNCLNLLHFTRDRLKANLDTLKSTRNPKDPALLYQWEKLLRELAEDCKRPLPCMTYQPKATSLDRKRWQLRSLLLQELAQKAKQAKPKDMVATAEDWLYRLNTVLPEPQMGLPDVMIWLVAKEQRVAYAQVPAHSVLFSPAGALHSGRLCGKIQTLFLQYPEGEGQKDVLPAHLRVCMWLGNVTDSKDLQLLRQGDTAVYAEMYENQAKYKDQWGQQGLYHCPNFSDVMGNKTLPMTDFQPPLGWHWQDSWTVEPQRRLLLDIDINKSQVLEEVYENQGRDTRGAWGPAAIPNTDVNGQPMEARENVKCPQGWHFKKDWVVELNHAVDSKGWEYGVGIPPSGLPQVWSPVEKTYHSCRRRRWARVRFRNHGELSHEQETLSFLQLGLAKGEEEGWEYDTFGSKFHLNPQPQSRFRRRCWRRRLAPNKDKGIAPIFLLEGSLAMDLKYHAGKEEDSKTWPWGLDRQFRDPQRQDTRPPNLPFIYCTFNKPHYYQLFCYIYQARNLVSNQILTFQGPFIRVVFLNHSQCTQTLRSSAGPTWAQTLIFQHLLLYENPQDTKESPPLVVLELWQRDFWGKESLWGRSVWPPMVWLDLQDRILPPMRWHPLVKELGKEEGEILASCELILQTEKLGEKQLPILSVPWKNGAYTLPKSIQPTIKRMAIEILAWGLRNMKKASSPQLLVEFGEESLRTEPIRDFQTNPNFPESESVLVLTVLMPTEEAYALPLVVKVVDNWAFGQQTVTGQANIDFLQPYFCDPWAQDYMHPKLPTLSEKKHQDFLGYLYRKFWFKSSKAEDEYEHEVDWWSKLFWATDEHKSLKYKYKDYHTLKVYECELEAVPAFQGLQDFCQTFKLYQEQPKLDSPVVGEFKGLFRIYPFPENPEAPKPPLQFLVWPEREDFPQPCLVRVYMVRAINLQPQDYNGLCDPYVILKLGKTELGNRDMYQPNTLDPIFGMMFELTCNIPLEKDLEIQLYDFDLFSPDDKIGTTVIDLENRLLSGFGAHCGLSKSYCQSGPFRWRDQMPPSYLLERYAKRKGLPPPLFSPEEDAVFYNGKKFKLQSFEPKTPTVHGLGPKKERLALYLLHTQGLVPEHVETRTLYSHSQPGIDQGKVQMWVDIFPKKLGPPGPQVNINPRKPKRYELRCIIWKTANVDLVDDNLSREKTSDIYIKGWLYGLEKDMQKTDIHYHSLTGEADFNWRFIFTMDYLAAERTCVQSQKDYIWSLDATSMKFPARLIIQVWDNDIFSPDDFLGVLELDLSDMPLPARHAKQCSIRMMDADPKWPYFIQYKHFSLFKKKTVTGWWPCQVLDGGKWRLSGKVKMSLEILSEKEALIKPAGRGQSEPNQYPTLHPPLRTNTSFTWLRSPVQNFCYIFWKRYRFKLIAFMVISIIALMLFNFIYSAPHYLAMSWIKPQLQLYPPIKIFNIINSLNTSNASSSILPTQDPNLKPTIDHEWKLHPGPTNHLSDIFPELPAPGD
+>DECOY_sp|A0AVI2|FR1L5_HUMAN Fer-1-like protein 5 OS=Homo sapiens OX=9606 GN=FER1L5 PE=2 SV=3
+DGPAPLEPFIDSLHNTPGPHLKWEHDITPKLNPDQTPLISSSANSTNLSNIINFIKIPPYLQLQPKIWSMALYHPASYIFNFLMLAIISIVMFAILKFRYRKWFIYCFNQVPSRLWTFSTNTRLPPHLTPYQNPESQGRGAPKILAEKESLIELSMKVKGSLRWKGGDLVQCPWWGTVTKKKFLSFHKYQIFYPWKPDADMMRISCQKAHRAPLPMDSLDLELVGLFDDPSFIDNDWVQIILRAPFKMSTADLSWIYDKQSQVCTREAALYDMTFIFRWNFDAEGTLSHYHIDTKQMDKELGYLWGKIYIDSTKERSLNDDVLDVNATKWIICRLEYRKPKRPNINVQPGPPGLKKPFIDVWMQVKGQDIGPQSHSYLTRTEVHEPVLGQTHLLYLALREKKPGLGHVTPTKPEFSQLKFKKGNYFVADEEPSFLPPPLGKRKAYRELLYSPPMQDRWRFPGSQCYSKSLGCHAGFGSLLRNELDIVTTGIKDDPSFLDFDYLQIELDKELPINCTLEFMMGFIPDLTNPQYMDRNGLETKGLKLIVYPDCLGNYDQPQLNIARVMYVRVLCPQPFDEREPWVLFQLPPKPAEPNEPFPYIRFLGKFEGVVPSDLKPQEQYLKFTQCFDQLGQFAPVAELECEYVKLTHYDKYKYKLSKHEDTAWFLKSWWDVEHEYEDEAKSSKFWFKRYLYGLFDQHKKESLTPLKPHMYDQAWPDCFYPQLFDINAQGTVTQQGFAWNDVVKVVLPLAYAEETPMLVTLVLVSESEPFNPNTQFDRIPETRLSEEGFEVLLQPSSAKKMNRLGWALIEIAMRKITPQISKPLTYAGNKWPVSLIPLQKEGLKETQLILECSALIEGEEKGLEKVLPHWRMPPLIRDQLDLWVMPPWVSRGWLSEKGWFDRQWLELVVLPPSEKTDQPNEYLLLHQFILTQAWTPGASSRLTQTCQSHNLFVVRIFPGQFTLIQNSVLNRAQYIYCFLQYYHPKNFTCYIFPLNPPRTDQRQPDRFQRDLGWPWTKSDEEKGAHYKLDMALSGELLFIPAIGKDKNPALRRRWCRRRFRSQPQPNLHFKSGFTDYEWGEEEGKALGLQLFSLTEQEHSLEGHNRFRVRAWRRRRCSHYTKEVPSWVQPLGSPPIGVGYEWGKSDVAHNLEVVWDKKFHWGQPCKVNERAEMPQGNVDTNPIAAPGWAGRTDRGQNEYVEELVQSKNIDIDLLLRRQPEVTWSDQWHWGLPPQFDTMPLTKNGMVDSFNPCHYLGQQGWQDKYKAQNEYMEAYVATDGQRLLQLDKSDTVNGLWMCVRLHAPLVDKQGEGEPYQLFLTQIKGCLRGSHLAGAPSFLVSHAPVQAYAVRQEKAVLWIMVDPLGMQPEPLVTNLRYLWDEATAVMDKPKAQKAKQALEQLLLSRLQWRKRDLSTAKPQYTMCPLPRKCDEALERLLKEWQYLLAPDKPNRTSKLTDLNAKLRDRTFHLLNLCNMRFSVDEWNSTVAVVPKTNYWPVYHYINGDYIVPSYPTSSVLPKYNLDMKNGYHGISVEFHILEKFNPMMTCSLFIVCLGYKQRNQHKEIRTVEHSLDKITSDQYSKIQTILELFVRGRYALGDRVSDPICAGEEQIRFPAKKGGHLTLFSPGFCPLFGSYVGEIEEGTSSIQNLSLSATGIEDPCDKKRCDLVRFKIYSSLCPLQIRFTLIQNWIPNDTQTQMHTRLKEGILEVELQPNVSQHKKLHLDEACYIFLQLYAMNIPVVASKFIQIDTDDTGYLLKQDILAQDGVGLAYITVKLYGTVGSGPNNPQCLGLWKRLLTHGPSHYIFGIDTQFRGIEAKYRMASSNVVQILITEDFFKAPVEHFNQFFIENFFPNNGMKIRTQHQQGAISVKVVPKINNGMLQRAEFVKVRVQFHQPKSSLARHATSGPVMLKQSAAERATIGLHDEAGTKEIDQNSMHAVQLTVTCDTPKMSHNLLTLDKVFLVESPQKLLPKLLVTALGIFREKKQSGMDQLTVQLFSDNELPRNWLHWILTENWVPDNGEVVRTRKKIDRFDISMCPRPLPALPPDIKASQVVLRLM
+>sp|O95684|FR1OP_HUMAN FGFR1 oncogene partner OS=Homo sapiens OX=9606 GN=FGFR1OP PE=1 SV=1
+MAATAAAVVAEEDTELRDLLVQTLENSGVLNRIKAELRAAVFLALEEQEKVENKTPLVNESLKKFLNTKDGRLVASLVAEFLQFFNLDFTLAVFQPETSTLQGLEGRENLARDLGIIEAEGTVGGPLLLEVIRRCQQKEKGPTTGEGALDLSDVHSPPKSPEGKTSAQTTPSKIPRYKGQGKKKTSGQKAGDKKANDEANQSDTSVSLSEPKSKSSLHLLSHETKIGSFLSNRTLDGKDKAGLCPDEDDMEGDSFFDDPIPKPEKTYGLRKEPRKQAGSLASLSDAPPLKSGLSSLAGAPSLKDSESKRGNTVLKDLKLISDKIGSLGLGTGEDDDYVDDFNSTSHRSEKSEISIGEEIEEDLSVEIDDINTSDKLDDLTQDLTVSQLSDVADYLEDVA
+>DECOY_sp|O95684|FR1OP_HUMAN FGFR1 oncogene partner OS=Homo sapiens OX=9606 GN=FGFR1OP PE=1 SV=1
+AVDELYDAVDSLQSVTLDQTLDDLKDSTNIDDIEVSLDEEIEEGISIESKESRHSTSNFDDVYDDDEGTGLGLSGIKDSILKLDKLVTNGRKSESDKLSPAGALSSLGSKLPPADSLSALSGAQKRPEKRLGYTKEPKPIPDDFFSDGEMDDEDPCLGAKDKGDLTRNSLFSGIKTEHSLLHLSSKSKPESLSVSTDSQNAEDNAKKDGAKQGSTKKKGQGKYRPIKSPTTQASTKGEPSKPPSHVDSLDLAGEGTTPGKEKQQCRRIVELLLPGGVTGEAEIIGLDRALNERGELGQLTSTEPQFVALTFDLNFFQLFEAVLSAVLRGDKTNLFKKLSENVLPTKNEVKEQEELALFVAARLEAKIRNLVGSNELTQVLLDRLETDEEAVVAAATAAM
+>sp|Q9Y2L6|FRM4B_HUMAN FERM domain-containing protein 4B OS=Homo sapiens OX=9606 GN=FRMD4B PE=1 SV=4
+MASVFMCGVEDLLFSGSRFVWNLTVSTLRRWYTERLRACHQVLRTWCGLQDVYQMTEGRHCQVHLLDDRRLELLVQPKLLARELLDLVASHFNLKEKEYFGITFIDDTGQQNWLQLDHRVLDHDLPKKPGPTILHFAVRFYIESISFLKDKTTVELFFLNAKACVHKGQIEVESETIFKLAAFILQEAKGDYTSDENARKDLKTLPAFPTKTLQEHPSLAYCEDRVIEHYLKIKGLTRGQAVVQYMKIVEALPTYGVHYYAVKDKQGLPWWLGISYKGIGQYDIQDKVKPRKLFQWKQLENLYFREKKFAVEVHDPRRISVSRRTFGQSGLFVQTWYANSSLIKSIWVMAISQHQFYLDRKQSKAKIPSARSLDEIAMDLTETGTQRASKLVTLETKSQFIMASNGSLISSGSQDSEVSEEQKREKILELKKKEKLLQEKLLKKVEELKKICLREAELTGKMPKEYPLNIGEKPPQVRRRVGTAFKLDDNLLPSEEDPALQELESNFLIQQKLVEAAKKLANEPDLCKTVKKKRKQDYTDAMKKLQEIENAINEYRIRCGKKPSQKATVLPEDIIPSESSSLSDTTTYDDPSDAFTFPGQRSSSVPHSPRILPPKSLGIERIHFRKSSINEQFVDTRQSREMLSTHSSPYKTLERRPQGGRSMPTTPVLTRNAYSSSHLEPESSSQHCRQRSGSLESQSHLLSEMDSDKPFFSLSKSQRSSSTEILDDGSSYTSQSSTEYYCVTPVTGPYYTTQTLDTRTRGRRRSKKQNVSTSNSGSMPNLAQKDSLRNGVYSKSQEPPSSSYYIAGYTPYAECDFYYSGGYVYENDTEGQYSVNPSYRSSAHYGYERQRDYSRSFHEDEVDRVPHNPYATLRLPRKAAAKSEHITKNIHKALVAEHLRGWYQRASGQKDQGHSPQTSFDSDRGSQRCLGFAGLQVPCSPSSRASSYSSVSSTNASGNWRTQLTIGLSDYETPAHSSYTSCYGNVYNPLPSPSRQYTEISQLDGTDGNQLEDNLESSEQRLFWHEDSKPGTLV
+>DECOY_sp|Q9Y2L6|FRM4B_HUMAN FERM domain-containing protein 4B OS=Homo sapiens OX=9606 GN=FRMD4B PE=1 SV=4
+VLTGPKSDEHWFLRQESSELNDELQNGDTGDLQSIETYQRSPSPLPNYVNGYCSTYSSHAPTEYDSLGITLQTRWNGSANTSSVSSYSSARSSPSCPVQLGAFGLCRQSGRDSDFSTQPSHGQDKQGSARQYWGRLHEAVLAKHINKTIHESKAAAKRPLRLTAYPNHPVRDVEDEHFSRSYDRQREYGYHASSRYSPNVSYQGETDNEYVYGGSYYFDCEAYPTYGAIYYSSSPPEQSKSYVGNRLSDKQALNPMSGSNSTSVNQKKSRRRGRTRTDLTQTTYYPGTVPTVCYYETSSQSTYSSGDDLIETSSSRQSKSLSFFPKDSDMESLLHSQSELSGSRQRCHQSSSEPELHSSSYANRTLVPTTPMSRGGQPRRELTKYPSSHTSLMERSQRTDVFQENISSKRFHIREIGLSKPPLIRPSHPVSSSRQGPFTFADSPDDYTTTDSLSSSESPIIDEPLVTAKQSPKKGCRIRYENIANEIEQLKKMADTYDQKRKKKVTKCLDPENALKKAAEVLKQQILFNSELEQLAPDEESPLLNDDLKFATGVRRRVQPPKEGINLPYEKPMKGTLEAERLCIKKLEEVKKLLKEQLLKEKKKLELIKERKQEESVESDQSGSSILSGNSAMIFQSKTELTVLKSARQTGTETLDMAIEDLSRASPIKAKSQKRDLYFQHQSIAMVWISKILSSNAYWTQVFLGSQGFTRRSVSIRRPDHVEVAFKKERFYLNELQKWQFLKRPKVKDQIDYQGIGKYSIGLWWPLGQKDKVAYYHVGYTPLAEVIKMYQVVAQGRTLGKIKLYHEIVRDECYALSPHEQLTKTPFAPLTKLDKRANEDSTYDGKAEQLIFAALKFITESEVEIQGKHVCAKANLFFLEVTTKDKLFSISEIYFRVAFHLITPGPKKPLDHDLVRHDLQLWNQQGTDDIFTIGFYEKEKLNFHSAVLDLLERALLKPQVLLELRRDDLLHVQCHRGETMQYVDQLGCWTRLVQHCARLRETYWRRLTSVTLNWVFRSGSFLLDEVGCMFVSAM
+>sp|Q4VC44|FWCH1_HUMAN FLYWCH-type zinc finger-containing protein 1 OS=Homo sapiens OX=9606 GN=FLYWCH1 PE=1 SV=2
+MPLPEPSEQEGESVKAGQEPSPKPGTDVIPAAPRKPREFSKLVLLTASDQDEDGVGSKPQEVHCVLSLEMAGPATLASTLQILPVEEQGGVVQPALEMPEQKCSKLDAAAPQSLEFLRTPFGGRLLVLESFLYKQEKAVGDKVYWKCRQHAELGCRGRAITRGLRATVMRGHCHAPDEQGLEARRQREKLPSLALPEGLGEPQGPEGPGGRVEEPLEGVGPWQCPEEPEPTPGLVLSKPALEEEEAPRALSLLSLPPKKRSILGLGQARPLEFLRTCYGGSFLVHESFLYKREKAVGDKVYWTCRDHALHGCRSRAITQGQRVTVMRGHCHQPDMEGLEARRQQEKAVETLQAGQDGPGSQVDTLLRGVDSLLYRRGPGPLTLTRPRPRKRAKVEDQELPTQPEAPDEHQDMDADPGGPEFLKTPLGGSFLVYESFLYRREKAAGEKVYWTCRDQARMGCRSRAITQGRRVTVMRGHCHPPDLGGLEALRQREKRPNTAQRGSPGGPEFLKTPLGGSFLVYESFLYRREKAAGEKVYWTCRDQARMGCRSRAITQGRRVMVMRRHCHPPDLGGLEALRQREHFPNLAQWDSPDPLRPLEFLRTSLGGRFLVHESFLYRKEKAAGEKVYWMCRDQARLGCRSRAITQGHRIMVMRSHCHQPDLAGLEALRQRERLPTTAQQEDPEKIQVQLCFKTCSPESQQIYGDIKDVRLDGESQ
+>DECOY_sp|Q4VC44|FWCH1_HUMAN FLYWCH-type zinc finger-containing protein 1 OS=Homo sapiens OX=9606 GN=FLYWCH1 PE=1 SV=2
+QSEGDLRVDKIDGYIQQSEPSCTKFCLQVQIKEPDEQQATTPLRERQRLAELGALDPQHCHSRMVMIRHGQTIARSRCGLRAQDRCMWYVKEGAAKEKRYLFSEHVLFRGGLSTRLFELPRLPDPSDWQALNPFHERQRLAELGGLDPPHCHRRMVMVRRGQTIARSRCGMRAQDRCTWYVKEGAAKERRYLFSEYVLFSGGLPTKLFEPGGPSGRQATNPRKERQRLAELGGLDPPHCHGRMVTVRRGQTIARSRCGMRAQDRCTWYVKEGAAKERRYLFSEYVLFSGGLPTKLFEPGGPDADMDQHEDPAEPQTPLEQDEVKARKRPRPRTLTLPGPGRRYLLSDVGRLLTDVQSGPGDQGAQLTEVAKEQQRRAELGEMDPQHCHGRMVTVRQGQTIARSRCGHLAHDRCTWYVKDGVAKERKYLFSEHVLFSGGYCTRLFELPRAQGLGLISRKKPPLSLLSLARPAEEEELAPKSLVLGPTPEPEEPCQWPGVGELPEEVRGGPGEPGQPEGLGEPLALSPLKERQRRAELGQEDPAHCHGRMVTARLGRTIARGRCGLEAHQRCKWYVKDGVAKEQKYLFSELVLLRGGFPTRLFELSQPAAADLKSCKQEPMELAPQVVGGQEEVPLIQLTSALTAPGAMELSLVCHVEQPKSGVGDEDQDSATLLVLKSFERPKRPAAPIVDTGPKPSPEQGAKVSEGEQESPEPLPM
+>sp|Q9NU39|FX4L1_HUMAN Forkhead box protein D4-like 1 OS=Homo sapiens OX=9606 GN=FOXD4L1 PE=1 SV=1
+MNLPRAERPRSTPQRSLRDSDGEDGKIDVLGEEEDEDEVEDEEEEASQKFLEQSLQPGLQVARWGGVALPREHIEGGGPSDPSEFGTEFRAPPRSAAASEDARQPAKPPYSYIALITMAILQSPHKRLTLSGICAFISGRFPYYRRKFPAWQNSIRHNLSLNDCFVKIPREPGHPGKGTYWSLDPASQDMFDNGSFLRRRKRFKRHQLTPGAHLPHPFPLPAAHAALHNPRPGPLLGAPALPQPVPGAYPNTAPGRRPYALLHPHPPRYLLLSAPAYAGAPKKAEGADLATPGTLPVLQPSLGPQPWEEGKGLASPPGGGCISFSIESIMQGVRGAGTGAAQSLSPTAWSYCPLLQRPSSLSDNFAATAAASGGGLRQRLRSHQGRGAGRAPVGRVGAAAVSGGGRGL
+>DECOY_sp|Q9NU39|FX4L1_HUMAN Forkhead box protein D4-like 1 OS=Homo sapiens OX=9606 GN=FOXD4L1 PE=1 SV=1
+LGRGGGSVAAAGVRGVPARGAGRGQHSRLRQRLGGGSAAATAAFNDSLSSPRQLLPCYSWATPSLSQAAGTGAGRVGQMISEISFSICGGGPPSALGKGEEWPQPGLSPQLVPLTGPTALDAGEAKKPAGAYAPASLLLYRPPHPHLLAYPRRGPATNPYAGPVPQPLAPAGLLPGPRPNHLAAHAAPLPFPHPLHAGPTLQHRKFRKRRRLFSGNDFMDQSAPDLSWYTGKGPHGPERPIKVFCDNLSLNHRISNQWAPFKRRYYPFRGSIFACIGSLTLRKHPSQLIAMTILAIYSYPPKAPQRADESAAASRPPARFETGFESPDSPGGGEIHERPLAVGGWRAVQLGPQLSQELFKQSAEEEEDEVEDEDEEEGLVDIKGDEGDSDRLSRQPTSRPREARPLNM
+>sp|P51116|FXR2_HUMAN Fragile X mental retardation syndrome-related protein 2 OS=Homo sapiens OX=9606 GN=FXR2 PE=1 SV=2
+MGGLASGGDVEPGLPVEVRGSNGAFYKGFVKDVHEDSVTIFFENNWQSERQIPFGDVRLPPPADYNKEITEGDEVEVYSRANEQEPCGWWLARVRMMKGDFYVIEYAACDATYNEIVTLERLRPVNPNPLATKGSFFKVTMAVPEDLREACSNENVHKEFKKALGANCIFLNITNSELFILSTTEAPVKRASLLGDMHFRSLRTKLLLMSRNEEATKHLETSKQLAAAFQEEFTVREDLMGLAIGTHGANIQQARKVPGVTAIELGEETCTFRIYGETPEACRQARSYLEFSEDSVQVPRNLVGKVIGKNGKVIQEIVDKSGVVRVRVEGDNDKKNPREEGMVPFIFVGTRENISNAQALLEYHLSYLQEVEQLRLERLQIDEQLRQIGLGFRPPGSGRGSGGSDKAGYSTDESSSSSLHATRTYGGSYGGRGRGRRTGGPAYGPSSDVSTASETESEKREEPNRAGPGDRDPPTRGEESRRRPTGGRGRGPPPAPRPTSRYNSSSISSVLKDPDSNPYSLLDTSEPEPPVDSEPGEPPPASARRRRSRRRRTDEDRTVMDGGLESDGPNMTENGLEDESRPQRRNRSRRRRNRGNRTDGSISGDRQPVTVADYISRAESQSRQRPPLERTKPSEDSLSGQKGDSVSKLPKGPSENGELSAPLELGSMVNGVS
+>DECOY_sp|P51116|FXR2_HUMAN Fragile X mental retardation syndrome-related protein 2 OS=Homo sapiens OX=9606 GN=FXR2 PE=1 SV=2
+SVGNVMSGLELPASLEGNESPGKPLKSVSDGKQGSLSDESPKTRELPPRQRSQSEARSIYDAVTVPQRDGSISGDTRNGRNRRRRSRNRRQPRSEDELGNETMNPGDSELGGDMVTRDEDTRRRRSRRRRASAPPPEGPESDVPPEPESTDLLSYPNSDPDKLVSSISSSNYRSTPRPAPPPGRGRGGTPRRRSEEGRTPPDRDGPGARNPEERKESETESATSVDSSPGYAPGGTRRGRGRGGYSGGYTRTAHLSSSSSEDTSYGAKDSGGSGRGSGPPRFGLGIQRLQEDIQLRELRLQEVEQLYSLHYELLAQANSINERTGVFIFPVMGEERPNKKDNDGEVRVRVVGSKDVIEQIVKGNKGIVKGVLNRPVQVSDESFELYSRAQRCAEPTEGYIRFTCTEEGLEIATVGPVKRAQQINAGHTGIALGMLDERVTFEEQFAAALQKSTELHKTAEENRSMLLLKTRLSRFHMDGLLSARKVPAETTSLIFLESNTINLFICNAGLAKKFEKHVNENSCAERLDEPVAMTVKFFSGKTALPNPNVPRLRELTVIENYTADCAAYEIVYFDGKMMRVRALWWGCPEQENARSYVEVEDGETIEKNYDAPPPLRVDGFPIQRESQWNNEFFITVSDEHVDKVFGKYFAGNSGRVEVPLGPEVDGGSALGGM
+>sp|P62683|GAK21_HUMAN Endogenous retrovirus group K member 21 Gag polyprotein OS=Homo sapiens OX=9606 GN=ERVK-21 PE=1 SV=2
+MGQTKSKIKSKYASYLSFIKILLKRGGVKVSTKNLIKLFQIIEQFCPWFPEQGTLDLKDWKRIGKELKQAGRKGNIIPLTVWNDWAIIKAALEPFQTEEDSISVSDAPGSCIIDCNENTRKKSQKETEGLHCEYAAEPVMAQSTQNVDYNQLQEVIYPETLKLEGKGPELVGPSESKPRGTSPLPAGQVPVTLQPQTQVKENKTQPPVAYQYWPPAELQYRPPPESQYGYPGMPPAPQGRAPYPQPPTRRLNPTAPPSRQGSELHEIIDKSRKEGDTEAWQFPVMLEPMPPGEGAQEGEPPTVEARYKSFSIKMLKDMKEGVKQYGPNSPYMRTLLDSIAHGHRLIPYDWEILAKSSLLPSQFLQFKTWWIDGVQEQVQRNRAANPPVNIDADQLLGIGQNWSTISQQALMQNEAIEQVRAICLRAWEKIQDPGSTCPSFNTVRQSSKEPYPDFVARLQDVAQKSIADEKARKVIVELMAYENANPECQSAIKPLKGKVPAGSDVISEYVKACDGIGGAMHKAMLMAQAITGVVLGGQVRTFGGKCYNCGQIGHLKKNCPVLNKQNITIQATTTGREPPDLCPRCKKGKHWASQCRSKFDKNGQPLSGNEQRGQPQAPQQTGAFPIQPFVPQGFQGQQPPLSQVFQGISQLPQYNNCPPPQAAVQQ
+>DECOY_sp|P62683|GAK21_HUMAN Endogenous retrovirus group K member 21 Gag polyprotein OS=Homo sapiens OX=9606 GN=ERVK-21 PE=1 SV=2
+QQVAAQPPPCNNYQPLQSIGQFVQSLPPQQGQFGQPVFPQIPFAGTQQPAQPQGRQENGSLPQGNKDFKSRCQSAWHKGKKCRPCLDPPERGTTTAQITINQKNLVPCNKKLHGIQGCNYCKGGFTRVQGGLVVGTIAQAMLMAKHMAGGIGDCAKVYESIVDSGAPVKGKLPKIASQCEPNANEYAMLEVIVKRAKEDAISKQAVDQLRAVFDPYPEKSSQRVTNFSPCTSGPDQIKEWARLCIARVQEIAENQMLAQQSITSWNQGIGLLQDADINVPPNAARNRQVQEQVGDIWWTKFQLFQSPLLSSKALIEWDYPILRHGHAISDLLTRMYPSNPGYQKVGEKMDKLMKISFSKYRAEVTPPEGEQAGEGPPMPELMVPFQWAETDGEKRSKDIIEHLESGQRSPPATPNLRRTPPQPYPARGQPAPPMGPYGYQSEPPPRYQLEAPPWYQYAVPPQTKNEKVQTQPQLTVPVQGAPLPSTGRPKSESPGVLEPGKGELKLTEPYIVEQLQNYDVNQTSQAMVPEAAYECHLGETEKQSKKRTNENCDIICSGPADSVSISDEETQFPELAAKIIAWDNWVTLPIINGKRGAQKLEKGIRKWDKLDLTGQEPFWPCFQEIIQFLKILNKTSVKVGGRKLLIKIFSLYSAYKSKIKSKTQGM
+>sp|Q7LDI9|GAK6_HUMAN Endogenous retrovirus group K member 6 Gag polyprotein OS=Homo sapiens OX=9606 GN=ERVK-6 PE=1 SV=3
+MGQTKSKIKSKYASYLSFIKILLKRGGVKVSTKNLIKLFQIIEQFCPWFPEQGTLDLKDWKRIGKELKQAGRKGNIIPLTVWNDWAIIKAALEPFQTEEDSVSVSDAPGSCIIDCNENTRKKSQKETEGLHCEYVAEPVMAQSTQNVDYNQLQEVIYPETLKLEGKGPELVGPSESKPRGTSPLPAGQVPVTLQPQKQVKENKTQPPVAYQYWPPAELQYRPPPESQYGYPGMPPAPQGRAPYPQPPTRRLNPTAPPSRQGSKLHEIIDKSRKEGDTEAWQFPVTLEPMPPGEGAQEGEPPTVEARYKSFSIKKLKDMKEGVKQYGPNSPYMRTLLDSIAHGHRLIPYDWEILAKSSLSPSQFLQFKTWWIDGVQEQVRRNRAANPPVNIDADQLLGIGQNWSTISQQALMQNEAIEQVRAICLRAWEKIQDPGSTCPSFNTVRQGSKEPYPDFVARLQDVAQKSIADEKARKVIVELMAYENANPECQSAIKPLKGKVPAGSDVISEYVKACDGIGGAMHKAMLMAQAITGVVLGGQVRTFGRKCYNCGQIGHLKKNCPVLNKQNITIQATTTGREPPDLCPRCKKGKHWASQCRSKFDKNGQPLSGNEQRGQPQAPQQTGAFPIQPFVPQGFQGQQPPLSQVFQGISQLPQYNNCPPPQAAVQQ
+>DECOY_sp|Q7LDI9|GAK6_HUMAN Endogenous retrovirus group K member 6 Gag polyprotein OS=Homo sapiens OX=9606 GN=ERVK-6 PE=1 SV=3
+QQVAAQPPPCNNYQPLQSIGQFVQSLPPQQGQFGQPVFPQIPFAGTQQPAQPQGRQENGSLPQGNKDFKSRCQSAWHKGKKCRPCLDPPERGTTTAQITINQKNLVPCNKKLHGIQGCNYCKRGFTRVQGGLVVGTIAQAMLMAKHMAGGIGDCAKVYESIVDSGAPVKGKLPKIASQCEPNANEYAMLEVIVKRAKEDAISKQAVDQLRAVFDPYPEKSGQRVTNFSPCTSGPDQIKEWARLCIARVQEIAENQMLAQQSITSWNQGIGLLQDADINVPPNAARNRRVQEQVGDIWWTKFQLFQSPSLSSKALIEWDYPILRHGHAISDLLTRMYPSNPGYQKVGEKMDKLKKISFSKYRAEVTPPEGEQAGEGPPMPELTVPFQWAETDGEKRSKDIIEHLKSGQRSPPATPNLRRTPPQPYPARGQPAPPMGPYGYQSEPPPRYQLEAPPWYQYAVPPQTKNEKVQKQPQLTVPVQGAPLPSTGRPKSESPGVLEPGKGELKLTEPYIVEQLQNYDVNQTSQAMVPEAVYECHLGETEKQSKKRTNENCDIICSGPADSVSVSDEETQFPELAAKIIAWDNWVTLPIINGKRGAQKLEKGIRKWDKLDLTGQEPFWPCFQEIIQFLKILNKTSVKVGGRKLLIKIFSLYSAYKSKIKSKTQGM
+>sp|Q8NB37|GALD1_HUMAN Glutamine amidotransferase-like class 1 domain-containing protein 1 OS=Homo sapiens OX=9606 GN=GATD1 PE=1 SV=1
+MASERLPNRPACLLVASGAAEGVSAQSFLHCFTMASTAFNLQVATPGGKAMEFVDVTESNARWVQDFRLKAYASPAKLESIDGARYHALLIPSCPGALTDLASSGSLARILQHFHSESKPICAVGHGVAALCCATNEDRSWVFDSYSLTGPSVCELVRAPGFARLPLVVEDFVKDSGACFSASEPDAVHVVLDRHLVTGQNASSTVPAVQNLLFLCGSRK
+>DECOY_sp|Q8NB37|GALD1_HUMAN Glutamine amidotransferase-like class 1 domain-containing protein 1 OS=Homo sapiens OX=9606 GN=GATD1 PE=1 SV=1
+KRSGCLFLLNQVAPVTSSANQGTVLHRDLVVHVADPESASFCAGSDKVFDEVVLPLRAFGPARVLECVSPGTLSYSDFVWSRDENTACCLAAVGHGVACIPKSESHFHQLIRALSGSSALDTLAGPCSPILLAHYRAGDISELKAPSAYAKLRFDQVWRANSETVDVFEMAKGGPTAVQLNFATSAMTFCHLFSQASVGEAAGSAVLLCAPRNPLRESAM
+>sp|P34059|GALNS_HUMAN N-acetylgalactosamine-6-sulfatase OS=Homo sapiens OX=9606 GN=GALNS PE=1 SV=1
+MAAVVAATRWWQLLLVLSAAGMGASGAPQPPNILLLLMDDMGWGDLGVYGEPSRETPNLDRMAAEGLLFPNFYSANPLCSPSRAALLTGRLPIRNGFYTTNAHARNAYTPQEIVGGIPDSEQLLPELLKKAGYVSKIVGKWHLGHRPQFHPLKHGFDEWFGSPNCHFGPYDNKARPNIPVYRDWEMVGRYYEEFPINLKTGEANLTQIYLQEALDFIKRQARHHPFFLYWAVDATHAPVYASKPFLGTSQRGRYGDAVREIDDSIGKILELLQDLHVADNTFVFFTSDNGAALISAPEQGGSNGPFLCGKQTTFEGGMREPALAWWPGHVTAGQVSHQLGSIMDLFTTSLALAGLTPPSDRAIDGLNLLPTLLQGRLMDRPIFYYRGDTLMAATLGQHKAHFWTWTNSWENFRQGIDFCPGQNVSGVTTHNLEDHTKLPLIFHLGRDPGERFPLSFASAEYQEALSRITSVVQQHQEALVPAQPQLNVCNWAVMNWAPPGCEKLGKCLTPPESIPKKCLWSH
+>DECOY_sp|P34059|GALNS_HUMAN N-acetylgalactosamine-6-sulfatase OS=Homo sapiens OX=9606 GN=GALNS PE=1 SV=1
+HSWLCKKPISEPPTLCKGLKECGPPAWNMVAWNCVNLQPQAPVLAEQHQQVVSTIRSLAEQYEASAFSLPFREGPDRGLHFILPLKTHDELNHTTVGSVNQGPCFDIGQRFNEWSNTWTWFHAKHQGLTAAMLTDGRYYFIPRDMLRGQLLTPLLNLGDIARDSPPTLGALALSTTFLDMISGLQHSVQGATVHGPWWALAPERMGGEFTTQKGCLFPGNSGGQEPASILAAGNDSTFFVFTNDAVHLDQLLELIKGISDDIERVADGYRGRQSTGLFPKSAYVPAHTADVAWYLFFPHHRAQRKIFDLAEQLYIQTLNAEGTKLNIPFEEYYRGVMEWDRYVPINPRAKNDYPGFHCNPSGFWEDFGHKLPHFQPRHGLHWKGVIKSVYGAKKLLEPLLQESDPIGGVIEQPTYANRAHANTTYFGNRIPLRGTLLAARSPSCLPNASYFNPFLLGEAAMRDLNPTERSPEGYVGLDGWGMDDMLLLLINPPQPAGSAGMGAASLVLLLQWWRTAAVVAAM
+>sp|Q9UBC7|GALP_HUMAN Galanin-like peptide OS=Homo sapiens OX=9606 GN=GALP PE=2 SV=1
+MAPPSVPLVLLLVLLLSLAETPASAPAHRGRGGWTLNSAGYLLGPVLHLPQMGDQDGKRETALEILDLWKAIDGLPYSHPPQPSKRNVMETFAKPEIGDLGMLSMKIPKEEDVLKS
+>DECOY_sp|Q9UBC7|GALP_HUMAN Galanin-like peptide OS=Homo sapiens OX=9606 GN=GALP PE=2 SV=1
+SKLVDEEKPIKMSLMGLDGIEPKAFTEMVNRKSPQPPHSYPLGDIAKWLDLIELATERKGDQDGMQPLHLVPGLLYGASNLTWGGRGRHAPASAPTEALSLLLVLLLVLPVSPPAM
+>sp|P47211|GALR1_HUMAN Galanin receptor type 1 OS=Homo sapiens OX=9606 GN=GALR1 PE=1 SV=3
+MELAVGNLSEGNASWPEPPAPEPGPLFGIGVENFVTLVVFGLIFALGVLGNSLVITVLARSKPGKPRSTTNLFILNLSIADLAYLLFCIPFQATVYALPTWVLGAFICKFIHYFFTVSMLVSIFTLAAMSVDRYVAIVHSRRSSSLRVSRNALLGVGCIWALSIAMASPVAYHQGLFHPRASNQTFCWEQWPDPRHKKAYVVCTFVFGYLLPLLLICFCYAKVLNHLHKKLKNMSKKSEASKKKTAQTVLVVVVVFGISWLPHHIIHLWAEFGVFPLTPASFLFRITAHCLAYSNSSVNPIIYAFLSENFRKAYKQVFKCHIRKDSHLSDTKESKSRIDTPPSTNCTHV
+>DECOY_sp|P47211|GALR1_HUMAN Galanin receptor type 1 OS=Homo sapiens OX=9606 GN=GALR1 PE=1 SV=3
+VHTCNTSPPTDIRSKSEKTDSLHSDKRIHCKFVQKYAKRFNESLFAYIIPNVSSNSYALCHATIRFLFSAPTLPFVGFEAWLHIIHHPLWSIGFVVVVVLVTQATKKKSAESKKSMNKLKKHLHNLVKAYCFCILLLPLLYGFVFTCVVYAKKHRPDPWQEWCFTQNSARPHFLGQHYAVPSAMAISLAWICGVGLLANRSVRLSSSRRSHVIAVYRDVSMAALTFISVLMSVTFFYHIFKCIFAGLVWTPLAYVTAQFPICFLLYALDAISLNLIFLNTTSRPKGPKSRALVTIVLSNGLVGLAFILGFVVLTVFNEVGIGFLPGPEPAPPEPWSANGESLNGVALEM
+>sp|O43603|GALR2_HUMAN Galanin receptor type 2 OS=Homo sapiens OX=9606 GN=GALR2 PE=1 SV=1
+MNVSGCPGAGNASQAGGGGGWHPEAVIVPLLFALIFLVGTVGNTLVLAVLLRGGQAVSTTNLFILNLGVADLCFILCCVPFQATIYTLDGWVFGSLLCKAVHFLIFLTMHASSFTLAAVSLDRYLAIRYPLHSRELRTPRNALAAIGLIWGLSLLFSGPYLSYYRQSQLANLTVCHPAWSAPRRRAMDICTFVFSYLLPVLVLGLTYARTLRYLWRAVDPVAAGSGARRAKRKVTRMILIVAALFCLCWMPHHALILCVWFGQFPLTRATYALRILSHLVSYANSCVNPIVYALVSKHFRKGFRTICAGLLGRAPGRASGRVCAAARGTHSGSVLERESSDLLHMSEAAGALRPCPGASQPCILEPCPGPSWQGPKAGDSILTVDVA
+>DECOY_sp|O43603|GALR2_HUMAN Galanin receptor type 2 OS=Homo sapiens OX=9606 GN=GALR2 PE=1 SV=1
+AVDVTLISDGAKPGQWSPGPCPELICPQSAGPCPRLAGAAESMHLLDSSERELVSGSHTGRAAACVRGSARGPARGLLGACITRFGKRFHKSVLAYVIPNVCSNAYSVLHSLIRLAYTARTLPFQGFWVCLILAHHPMWCLCFLAAVILIMRTVKRKARRAGSGAAVPDVARWLYRLTRAYTLGLVLVPLLYSFVFTCIDMARRRPASWAPHCVTLNALQSQRYYSLYPGSFLLSLGWILGIAALANRPTRLERSHLPYRIALYRDLSVAALTFSSAHMTLFILFHVAKCLLSGFVWGDLTYITAQFPVCCLIFCLDAVGLNLIFLNTTSVAQGGRLLVALVLTNGVTGVLFILAFLLPVIVAEPHWGGGGGAQSANGAGPCGSVNM
+>sp|Q9HCQ5|GALT9_HUMAN Polypeptide N-acetylgalactosaminyltransferase 9 OS=Homo sapiens OX=9606 GN=GALNT9 PE=1 SV=3
+MAVARKIRTLLTVNILVFVGIVLFSVYCRLQGRSQELVRIVSGDRRVRSRHAKVGTLGDREAILQRLDHLEEVVYNQLNGLAKPIGLVEGPGGLGQGGLAATLRDDGQEAEGKYEEYGYNAQLSDRISLDRSIPDYRPRKCRQMSYAQDLPQVSVVFIFVNEALSVILRSVHSVVNHTPSQLLKEVILVDDNSDNVELKFNLDQYVNKRYPGLVKIVRNSRREGLIRARLQGWKAATAPVVGFFDAHVEFNTGWAEPALSRIREDRRRIVLPAIDNIKYSTFEVQQYANAAHGYNWGLRCMYIIPPQDWLDRGDESAPIRTPAMIGCSFVVDREYFGDIGLLDPGMEVYGGENVELGMRVWQCGGSMEVLPCSRVAHIERTRKPYNNDIDYYAKRNALRAAEVWMDDFKSHVYMAWNIPMSNPGVDFGDVSERLALRQRLKCRSFKWYLENVYPEMRVYNNTLTYGEVRNSKASAYCLDQGAEDGDRAILYPCHGMSSQLVRYSADGLLQLGPLGSTAFLPDSKCLVDDGTGRMPTLKKCEDVARPTQRLWDFTQSGPIVSRATGRCLEVEMSKDANFGLRLVVQRCSGQKWMIRNWIKHARH
+>DECOY_sp|Q9HCQ5|GALT9_HUMAN Polypeptide N-acetylgalactosaminyltransferase 9 OS=Homo sapiens OX=9606 GN=GALNT9 PE=1 SV=3
+HRAHKIWNRIMWKQGSCRQVVLRLGFNADKSMEVELCRGTARSVIPGSQTFDWLRQTPRAVDECKKLTPMRGTGDDVLCKSDPLFATSGLPGLQLLGDASYRVLQSSMGHCPYLIARDGDEAGQDLCYASAKSNRVEGYTLTNNYVRMEPYVNELYWKFSRCKLRQRLALRESVDGFDVGPNSMPINWAMYVHSKFDDMWVEAARLANRKAYYDIDNNYPKRTREIHAVRSCPLVEMSGGCQWVRMGLEVNEGGYVEMGPDLLGIDGFYERDVVFSCGIMAPTRIPASEDGRDLWDQPPIIYMCRLGWNYGHAANAYQQVEFTSYKINDIAPLVIRRRDERIRSLAPEAWGTNFEVHADFFGVVPATAAKWGQLRARILGERRSNRVIKVLGPYRKNVYQDLNFKLEVNDSNDDVLIVEKLLQSPTHNVVSHVSRLIVSLAENVFIFVVSVQPLDQAYSMQRCKRPRYDPISRDLSIRDSLQANYGYEEYKGEAEQGDDRLTAALGGQGLGGPGEVLGIPKALGNLQNYVVEELHDLRQLIAERDGLTGVKAHRSRVRRDGSVIRVLEQSRGQLRCYVSFLVIGVFVLINVTLLTRIKRAVAM
+>sp|O43903|GAS2_HUMAN Growth arrest-specific protein 2 OS=Homo sapiens OX=9606 GN=GAS2 PE=1 SV=1
+MCTALSPKVRSGPGLSDMHQYSQWLASRHEANLLPMKEDLALWLTNLLGKEITAETFMEKLDNGALLCQLAETMQEKFKESMDANKPTKNLPLKKIPCKTSAPSGSFFARDNTANFLSWCRDLGVDETCLFESEGLVLHKQPREVCLCLLELGRIAARYGVEPPGLIKLEKEIEQEETLSAPSPSPSPSSKSSGKKSTGNLLDDAVKRISEDPPCKCPNKFCVERLSQGRYRVGEKILFIRMLHNKHVMVRVGGGWETFAGYLLKHDPCRMLQISRVDGKTSPIQSKSPTLKDMNPDNYLVVSASYKAKKEIK
+>DECOY_sp|O43903|GAS2_HUMAN Growth arrest-specific protein 2 OS=Homo sapiens OX=9606 GN=GAS2 PE=1 SV=1
+KIEKKAKYSASVVLYNDPNMDKLTPSKSQIPSTKGDVRSIQLMRCPDHKLLYGAFTEWGGGVRVMVHKNHLMRIFLIKEGVRYRGQSLREVCFKNPCKCPPDESIRKVADDLLNGTSKKGSSKSSPSPSPSPASLTEEQEIEKELKILGPPEVGYRAAIRGLELLCLCVERPQKHLVLGESEFLCTEDVGLDRCWSLFNATNDRAFFSGSPASTKCPIKKLPLNKTPKNADMSEKFKEQMTEALQCLLAGNDLKEMFTEATIEKGLLNTLWLALDEKMPLLNAEHRSALWQSYQHMDSLGPGSRVKPSLATCM
+>sp|Q96D09|GASP2_HUMAN G-protein coupled receptor-associated sorting protein 2 OS=Homo sapiens OX=9606 GN=GPRASP2 PE=1 SV=1
+MTGAEIEPSAQAKPEKKAGEEVIAGPERENDVPLVVRPKVRTQATTGARPKTETKSVPAARPKTEAQAMSGARPKTEVQVMGGARPKTEAQGITGARPKTDARAVGGARSKTDAKAIPGARPKDEAQAWAQSEFGTEAVSQAEGVSQTNAVAWPLATAESGSVTKSKGLSMDRELVNVDAETFPGTQGQKGIQPWFGPGEETNMGSWCYSRPRAREEASNESGFWSADETSTASSFWTGEETSVRSWPREESNTRSRHRAKHQTNPRSRPRSKQEAYVDSWSGSEDEASNPFSFWVGENTNNLFRPRVREEANIRSKLRTNREDCFESESEDEFYKQSWVLPGEEANSRFRHRDKEDPNTALKLRAQKDVDSDRVKQEPRFEEEVIIGSWFWAEKEASLEGGASAICESEPGTEEGAIGGSAYWAEEKSSLGAVAREEAKPESEEEAIFGSWFWDRDEACFDLNPCPVYKVSDRFRDAAEELNASSRPQTWDEVTVEFKPGLFHGVGFRSTSPFGIPEEASEMLEAKPKNLELSPEGEEQESLLQPDQPSPEFTFQYDPSYRSVREIREHLRARESAESESWSCSCIQCELKIGSEEFEEFLLLMDKIRDPFIHEISKIAMGMRSASQFTRDFIRDSGVVSLIETLLNYPSSRVRTSFLENMIHMAPPYPNLNMIETFICQVCEETLAHSVDSLEQLTGIRMLRHLTMTIDYHTLIANYMSGFLSLLTTANARTKFHVLKMLLNLSENPAVAKKLFSAKALSIFVGLFNIEETNDNIQIVIKMFQNISNIIKSGKMSLIDDDFSLEPLISAFREFEELAKQLQAQIDNQNDPEVGQQS
+>DECOY_sp|Q96D09|GASP2_HUMAN G-protein coupled receptor-associated sorting protein 2 OS=Homo sapiens OX=9606 GN=GPRASP2 PE=1 SV=1
+SQQGVEPDNQNDIQAQLQKALEEFERFASILPELSFDDDILSMKGSKIINSINQFMKIVIQINDNTEEINFLGVFISLAKASFLKKAVAPNESLNLLMKLVHFKTRANATTLLSLFGSMYNAILTHYDITMTLHRLMRIGTLQELSDVSHALTEECVQCIFTEIMNLNPYPPAMHIMNELFSTRVRSSPYNLLTEILSVVGSDRIFDRTFQSASRMGMAIKSIEHIFPDRIKDMLLLFEEFEESGIKLECQICSCSWSESEASERARLHERIERVSRYSPDYQFTFEPSPQDPQLLSEQEEGEPSLELNKPKAELMESAEEPIGFPSTSRFGVGHFLGPKFEVTVEDWTQPRSSANLEEAADRFRDSVKYVPCPNLDFCAEDRDWFWSGFIAEEESEPKAEERAVAGLSSKEEAWYASGGIAGEETGPESECIASAGGELSAEKEAWFWSGIIVEEEFRPEQKVRDSDVDKQARLKLATNPDEKDRHRFRSNAEEGPLVWSQKYFEDESESEFCDERNTRLKSRINAEERVRPRFLNNTNEGVWFSFPNSAEDESGSWSDVYAEQKSRPRSRPNTQHKARHRSRTNSEERPWSRVSTEEGTWFSSATSTEDASWFGSENSAEERARPRSYCWSGMNTEEGPGFWPQIGKQGQTGPFTEADVNVLERDMSLGKSKTVSGSEATALPWAVANTQSVGEAQSVAETGFESQAWAQAEDKPRAGPIAKADTKSRAGGVARADTKPRAGTIGQAETKPRAGGMVQVETKPRAGSMAQAETKPRAAPVSKTETKPRAGTTAQTRVKPRVVLPVDNEREPGAIVEEGAKKEPKAQASPEIEAGTM
+>sp|Q92908|GATA6_HUMAN Transcription factor GATA-6 OS=Homo sapiens OX=9606 GN=GATA6 PE=1 SV=2
+MALTDGGWCLPKRFGAAGADASDSRAFPAREPSTPPSPISSSSSSCSRGGERGPGGASNCGTPQLDTEAAAGPPARSLLLSSYASHPFGAPHGPSAPGVAGPGGNLSSWEDLLLFTDLDQAATASKLLWSSRGAKLSPFAPEQPEEMYQTLAALSSQGPAAYDGAPGGFVHSAAAAAAAAAAASSPVYVPTTRVGSMLPGLPYHLQGSGSGPANHAGGAGAHPGWPQASADSPPYGSGGGAAGGGAAGPGGAGSAAAHVSARFPYSPSPPMANGAAREPGGYAAAGSGGAGGVSGGGSSLAAMGGREPQYSSLSAARPLNGTYHHHHHHHHHHPSPYSPYVGAPLTPAWPAGPFETPVLHSLQSRAGAPLPVPRGPSADLLEDLSESRECVNCGSIQTPLWRRDGTGHYLCNACGLYSKMNGLSRPLIKPQKRVPSSRRLGLSCANCHTTTTTLWRRNAEGEPVCNACGLYMKLHGVPRPLAMKKEGIQTRKRKPKNINKSKTCSGNSNNSIPMTPTSTSSNSDDCSKNTSPTTQPTASGAGAPVMTGAGESTNPENSELKYSGQDGLYIGVSLASPAEVTSSVRPDSWCALALA
+>DECOY_sp|Q92908|GATA6_HUMAN Transcription factor GATA-6 OS=Homo sapiens OX=9606 GN=GATA6 PE=1 SV=2
+ALALACWSDPRVSSTVEAPSALSVGIYLGDQGSYKLESNEPNTSEGAGTMVPAGAGSATPQTTPSTNKSCDDSNSSTSTPTMPISNNSNGSCTKSKNINKPKRKRTQIGEKKMALPRPVGHLKMYLGCANCVPEGEANRRWLTTTTTHCNACSLGLRRSSPVRKQPKILPRSLGNMKSYLGCANCLYHGTGDRRWLPTQISGCNVCERSESLDELLDASPGRPVPLPAGARSQLSHLVPTEFPGAPWAPTLPAGVYPSYPSPHHHHHHHHHHYTGNLPRAASLSSYQPERGGMAALSSGGGSVGGAGGSGAAAYGGPERAAGNAMPPSPSYPFRASVHAAASGAGGPGAAGGGAAGGGSGYPPSDASAQPWGPHAGAGGAHNAPGSGSGQLHYPLGPLMSGVRTTPVYVPSSAAAAAAAAAAASHVFGGPAGDYAAPGQSSLAALTQYMEEPQEPAFPSLKAGRSSWLLKSATAAQDLDTFLLLDEWSSLNGGPGAVGPASPGHPAGFPHSAYSSLLLSRAPPGAAAETDLQPTGCNSAGGPGREGGRSCSSSSSSIPSPPTSPERAPFARSDSADAGAAGFRKPLCWGGDTLAM
+>sp|O75879|GATB_HUMAN Glutamyl-tRNA(Gln) amidotransferase subunit B, mitochondrial OS=Homo sapiens OX=9606 GN=GATB PE=1 SV=1
+MAAPMLRWGCRGRRWAFARVDGGSCHRRGAPTGSTSNQIRGESSVAQQPLHTAQKTRKGEHKWAAVVGLEIHAQISSNSKLFSGSQVRFSAPPNSLVSFFDASLPGTLPVLNRRCVEAAVMTGLALNCHINKKSLFDRKHYFYADLPAGYQITQQRLPIAVNGSLIYGVCAGKKQSQVIPKTVRIKQIQLEQDSGKSLHDNLRSQTLIDLNRAGVGLLEVVLEPDMSCGEEAATAVRELQLILQALGTSQANMAEGQLRVDANISVHHPGEPLGVRTEVKNLNSIRFLAKAIDYEIQRQINELENGGEILNETRSFHHKLGCTMSMRDKEGKQDYRFMPEPNLPPLVLYDATSLPAGADPQQVINIDQIRETLPELPSVTREKLVQQYGMLLEHSFTLLNEVGLLEFFQNVIKETRAEPKKVTSWVLNTFLGYLKQQNLAVSESPVTPSALAELLDLLDSRTISSSAAKQVFEELWKREGKTPGQIVSEKQLELMQDQGALEQLCHSVMEAHPQVVMDVKNRNPRAINKLIGLVRKATQSRADPVMIKEILEKKLSL
+>DECOY_sp|O75879|GATB_HUMAN Glutamyl-tRNA(Gln) amidotransferase subunit B, mitochondrial OS=Homo sapiens OX=9606 GN=GATB PE=1 SV=1
+LSLKKELIEKIMVPDARSQTAKRVLGILKNIARPNRNKVDMVVQPHAEMVSHCLQELAGQDQMLELQKESVIQGPTKGERKWLEEFVQKAASSSITRSDLLDLLEALASPTVPSESVALNQQKLYGLFTNLVWSTVKKPEARTEKIVNQFFELLGVENLLTFSHELLMGYQQVLKERTVSPLEPLTERIQDINIVQQPDAGAPLSTADYLVLPPLNPEPMFRYDQKGEKDRMSMTCGLKHHFSRTENLIEGGNELENIQRQIEYDIAKALFRISNLNKVETRVGLPEGPHHVSINADVRLQGEAMNAQSTGLAQLILQLERVATAAEEGCSMDPELVVELLGVGARNLDILTQSRLNDHLSKGSDQELQIQKIRVTKPIVQSQKKGACVGYILSGNVAIPLRQQTIQYGAPLDAYFYHKRDFLSKKNIHCNLALGTMVAAEVCRRNLVPLTGPLSADFFSVLSNPPASFRVQSGSFLKSNSSIQAHIELGVVAAWKHEGKRTKQATHLPQQAVSSEGRIQNSTSGTPAGRRHCSGGDVRAFAWRRGRCGWRLMPAAM
+>sp|A0A1W2PPG7|GBG14_HUMAN Putative guanine nucleotide-binding protein G(I)/G(S)/G(O) subunit gamma-14 OS=Homo sapiens OX=9606 GN=GNG14 PE=5 SV=1
+MSSKVAINSDIGQALWAVEQLQMEAGIDQVKMAADLLKFCTEQAKNDPFLVGIPAATNSFKEKKPYAIL
+>DECOY_sp|A0A1W2PPG7|GBG14_HUMAN Putative guanine nucleotide-binding protein G(I)/G(S)/G(O) subunit gamma-14 OS=Homo sapiens OX=9606 GN=GNG14 PE=5 SV=1
+LIAYPKKEKFSNTAAPIGVLFPDNKAQETCFKLLDAAMKVQDIGAEMQLQEVAWLAQGIDSNIAVKSSM
+>sp|P59768|GBG2_HUMAN Guanine nucleotide-binding protein G(I)/G(S)/G(O) subunit gamma-2 OS=Homo sapiens OX=9606 GN=GNG2 PE=1 SV=2
+MASNNTASIAQARKLVEQLKMEANIDRIKVSKAAADLMAYCEAHAKEDPLLTPVPASENPFREKKFFCAIL
+>DECOY_sp|P59768|GBG2_HUMAN Guanine nucleotide-binding protein G(I)/G(S)/G(O) subunit gamma-2 OS=Homo sapiens OX=9606 GN=GNG2 PE=1 SV=2
+LIACFFKKERFPNESAPVPTLLPDEKAHAECYAMLDAAAKSVKIRDINAEMKLQEVLKRAQAISATNNSAM
+>sp|P63215|GBG3_HUMAN Guanine nucleotide-binding protein G(I)/G(S)/G(O) subunit gamma-3 OS=Homo sapiens OX=9606 GN=GNG3 PE=1 SV=1
+MKGETPVNSTMSIGQARKMVEQLKIEASLCRIKVSKAAADLMTYCDAHACEDPLITPVPTSENPFREKKFFCALL
+>DECOY_sp|P63215|GBG3_HUMAN Guanine nucleotide-binding protein G(I)/G(S)/G(O) subunit gamma-3 OS=Homo sapiens OX=9606 GN=GNG3 PE=1 SV=1
+LLACFFKKERFPNESTPVPTILPDECAHADCYTMLDAAAKSVKIRCLSAEIKLQEVMKRAQGISMTSNVPTEGKM
+>sp|O60262|GBG7_HUMAN Guanine nucleotide-binding protein G(I)/G(S)/G(O) subunit gamma-7 OS=Homo sapiens OX=9606 GN=GNG7 PE=1 SV=1
+MSATNNIAQARKLVEQLRIEAGIERIKVSKAASDLMSYCEQHARNDPLLVGVPASENPFKDKKPCIIL
+>DECOY_sp|O60262|GBG7_HUMAN Guanine nucleotide-binding protein G(I)/G(S)/G(O) subunit gamma-7 OS=Homo sapiens OX=9606 GN=GNG7 PE=1 SV=1
+LIICPKKDKFPNESAPVGVLLPDNRAHQECYSMLDSAAKSVKIREIGAEIRLQEVLKRAQAINNTASM
+>sp|Q9NZC3|GDE1_HUMAN Glycerophosphodiester phosphodiesterase 1 OS=Homo sapiens OX=9606 GN=GDE1 PE=1 SV=1
+MWLWEDQGGLLGPFSFLLLVLLLVTRSPVNACLLTGSLFVLLRVFSFEPVPSCRALQVLKPRDRISAIAHRGGSHDAPENTLAAIRQAAKNGATGVELDIEFTSDGIPVLMHDNTVDRTTDGTGRLCDLTFEQIRKLNPAANHRLRNDFPDEKIPTLREAVAECLNHNLTIFFDVKGHAHKATEALKKMYMEFPQLYNNSVVCSFLPEVIYKMRQTDRDVITALTHRPWSLSHTGDGKPRYDTFWKHFIFVMMDILLDWSMHNILWYLCGISAFLMQKDFVSPAYLKKWSAKGIQVVGWTVNTFDEKSYYESHLGSSYITDSMVEDCEPHF
+>DECOY_sp|Q9NZC3|GDE1_HUMAN Glycerophosphodiester phosphodiesterase 1 OS=Homo sapiens OX=9606 GN=GDE1 PE=1 SV=1
+FHPECDEVMSDTIYSSGLHSEYYSKEDFTNVTWGVVQIGKASWKKLYAPSVFDKQMLFASIGCLYWLINHMSWDLLIDMMVFIFHKWFTDYRPKGDGTHSLSWPRHTLATIVDRDTQRMKYIVEPLFSCVVSNNYLQPFEMYMKKLAETAKHAHGKVDFFITLNHNLCEAVAERLTPIKEDPFDNRLRHNAAPNLKRIQEFTLDCLRGTGDTTRDVTNDHMLVPIGDSTFEIDLEVGTAGNKAAQRIAALTNEPADHSGGRHAIASIRDRPKLVQLARCSPVPEFSFVRLLVFLSGTLLCANVPSRTVLLLVLLLFSFPGLLGGQDEWLWM
+>sp|O95390|GDF11_HUMAN Growth/differentiation factor 11 OS=Homo sapiens OX=9606 GN=GDF11 PE=1 SV=1
+MVLAAPLLLGFLLLALELRPRGEAAEGPAAAAAAAAAAAAAGVGGERSSRPAPSVAPEPDGCPVCVWRQHSRELRLESIKSQILSKLRLKEAPNISREVVKQLLPKAPPLQQILDLHDFQGDALQPEDFLEEDEYHATTETVISMAQETDPAVQTDGSPLCCHFHFSPKVMFTKVLKAQLWVYLRPVPRPATVYLQILRLKPLTGEGTAGGGGGGRRHIRIRSLKIELHSRSGHWQSIDFKQVLHSWFRQPQSNWGIEINAFDPSGTDLAVTSLGPGAEGLHPFMELRVLENTKRSRRNLGLDCDEHSSESRCCRYPLTVDFEAFGWDWIIAPKRYKANYCSGQCEYMFMQKYPHTHLVQQANPRGSAGPCCTPTKMSPINMLYFNDKQQIIYGKIPGMVVDRCGCS
+>DECOY_sp|O95390|GDF11_HUMAN Growth/differentiation factor 11 OS=Homo sapiens OX=9606 GN=GDF11 PE=1 SV=1
+SCGCRDVVMGPIKGYIIQQKDNFYLMNIPSMKTPTCCPGASGRPNAQQVLHTHPYKQMFMYECQGSCYNAKYRKPAIIWDWGFAEFDVTLPYRCCRSESSHEDCDLGLNRRSRKTNELVRLEMFPHLGEAGPGLSTVALDTGSPDFANIEIGWNSQPQRFWSHLVQKFDISQWHGSRSHLEIKLSRIRIHRRGGGGGGATGEGTLPKLRLIQLYVTAPRPVPRLYVWLQAKLVKTFMVKPSFHFHCCLPSGDTQVAPDTEQAMSIVTETTAHYEDEELFDEPQLADGQFDHLDLIQQLPPAKPLLQKVVERSINPAEKLRLKSLIQSKISELRLERSHQRWVCVPCGDPEPAVSPAPRSSREGGVGAAAAAAAAAAAAAPGEAAEGRPRLELALLLFGLLLPAALVM
+>sp|Q99988|GDF15_HUMAN Growth/differentiation factor 15 OS=Homo sapiens OX=9606 GN=GDF15 PE=1 SV=3
+MPGQELRTVNGSQMLLVLLVLSWLPHGGALSLAEASRASFPGPSELHSEDSRFRELRKRYEDLLTRLRANQSWEDSNTDLVPAPAVRILTPEVRLGSGGHLHLRISRAALPEGLPEASRLHRALFRLSPTASRSWDVTRPLRRQLSLARPQAPALHLRLSPPPSQSDQLLAESSSARPQLELHLRPQAARGRRRARARNGDHCPLGPGRCCRLHTVRASLEDLGWADWVLSPREVQVTMCIGACPSQFRAANMHAQIKTSLHRLKPDTVPAPCCVPASYNPMVLIQKTDTGVSLQTYDDLLAKDCHCI
+>DECOY_sp|Q99988|GDF15_HUMAN Growth/differentiation factor 15 OS=Homo sapiens OX=9606 GN=GDF15 PE=1 SV=3
+ICHCDKALLDDYTQLSVGTDTKQILVMPNYSAPVCCPAPVTDPKLRHLSTKIQAHMNAARFQSPCAGICMTVQVERPSLVWDAWGLDELSARVTHLRCCRGPGLPCHDGNRARARRRGRAAQPRLHLELQPRASSSEALLQDSQSPPPSLRLHLAPAQPRALSLQRRLPRTVDWSRSATPSLRFLARHLRSAEPLGEPLAARSIRLHLHGGSGLRVEPTLIRVAPAPVLDTNSDEWSQNARLRTLLDEYRKRLERFRSDESHLESPGPFSARSAEALSLAGGHPLWSLVLLVLLMQSGNVTRLEQGPM
+>sp|Q9UK05|GDF2_HUMAN Growth/differentiation factor 2 OS=Homo sapiens OX=9606 GN=GDF2 PE=1 SV=1
+MCPGALWVALPLLSLLAGSLQGKPLQSWGRGSAGGNAHSPLGVPGGGLPEHTFNLKMFLENVKVDFLRSLNLSGVPSQDKTRVEPPQYMIDLYNRYTSDKSTTPASNIVRSFSMEDAISITATEDFPFQKHILLFNISIPRHEQITRAELRLYVSCQNHVDPSHDLKGSVVIYDVLDGTDAWDSATETKTFLVSQDIQDEGWETLEVSSAVKRWVRSDSTKSKNKLEVTVESHRKGCDTLDISVPPGSRNLPFFVVFSNDHSSGTKETRLELREMISHEQESVLKKLSKDGSTEAGESSHEEDTDGHVAAGSTLARRKRSAGAGSHCQKTSLRVNFEDIGWDSWIIAPKEYEAYECKGGCFFPLADDVTPTKHAIVQTLVHLKFPTKVGKACCVPTKLSPISVLYKDDMGVPTLKYHYEGMSVAECGCR
+>DECOY_sp|Q9UK05|GDF2_HUMAN Growth/differentiation factor 2 OS=Homo sapiens OX=9606 GN=GDF2 PE=1 SV=1
+RCGCEAVSMGEYHYKLTPVGMDDKYLVSIPSLKTPVCCAKGVKTPFKLHVLTQVIAHKTPTVDDALPFFCGGKCEYAEYEKPAIIWSDWGIDEFNVRLSTKQCHSGAGASRKRRALTSGAAVHGDTDEEHSSEGAETSGDKSLKKLVSEQEHSIMERLELRTEKTGSSHDNSFVVFFPLNRSGPPVSIDLTDCGKRHSEVTVELKNKSKTSDSRVWRKVASSVELTEWGEDQIDQSVLFTKTETASDWADTGDLVDYIVVSGKLDHSPDVHNQCSVYLRLEARTIQEHRPISINFLLIHKQFPFDETATISIADEMSFSRVINSAPTTSKDSTYRNYLDIMYQPPEVRTKDQSPVGSLNLSRLFDVKVNELFMKLNFTHEPLGGGPVGLPSHANGGASGRGWSQLPKGQLSGALLSLLPLAVWLAGPCM
+>sp|P50395|GDIB_HUMAN Rab GDP dissociation inhibitor beta OS=Homo sapiens OX=9606 GN=GDI2 PE=1 SV=2
+MNEEYDVIVLGTGLTECILSGIMSVNGKKVLHMDRNPYYGGESASITPLEDLYKRFKIPGSPPESMGRGRDWNVDLIPKFLMANGQLVKMLLYTEVTRYLDFKVTEGSFVYKGGKIYKVPSTEAEALASSLMGLFEKRRFRKFLVYVANFDEKDPRTFEGIDPKKTTMRDVYKKFDLGQDVIDFTGHALALYRTDDYLDQPCYETINRIKLYSESLARYGKSPYLYPLYGLGELPQGFARLSAIYGGTYMLNKPIEEIIVQNGKVIGVKSEGEIARCKQLICDPSYVKDRVEKVGQVIRVICILSHPIKNTNDANSCQIIIPQNQVNRKSDIYVCMISFAHNVAAQGKYIAIVSTTVETKEPEKEIRPALELLEPIEQKFVSISDLLVPKDLGTESQIFISRTYDATTHFETTCDDIKNIYKRMTGSEFDFEEMKRKKNDIYGED
+>DECOY_sp|P50395|GDIB_HUMAN Rab GDP dissociation inhibitor beta OS=Homo sapiens OX=9606 GN=GDI2 PE=1 SV=2
+DEGYIDNKKRKMEEFDFESGTMRKYINKIDDCTTEFHTTADYTRSIFIQSETGLDKPVLLDSISVFKQEIPELLELAPRIEKEPEKTEVTTSVIAIYKGQAAVNHAFSIMCVYIDSKRNVQNQPIIIQCSNADNTNKIPHSLICIVRIVQGVKEVRDKVYSPDCILQKCRAIEGESKVGIVKGNQVIIEEIPKNLMYTGGYIASLRAFGQPLEGLGYLPYLYPSKGYRALSESYLKIRNITEYCPQDLYDDTRYLALAHGTFDIVDQGLDFKKYVDRMTTKKPDIGEFTRPDKEDFNAVYVLFKRFRRKEFLGMLSSALAEAETSPVKYIKGGKYVFSGETVKFDLYRTVETYLLMKVLQGNAMLFKPILDVNWDRGRGMSEPPSGPIKFRKYLDELPTISASEGGYYPNRDMHLVKKGNVSMIGSLICETLGTGLVIVDYEENM
+>sp|P07093|GDN_HUMAN Glia-derived nexin OS=Homo sapiens OX=9606 GN=SERPINE2 PE=1 SV=1
+MNWHLPLFLLASVTLPSICSHFNPLSLEELGSNTGIQVFNQIVKSRPHDNIVISPHGIASVLGMLQLGADGRTKKQLAMVMRYGVNGVGKILKKINKAIVSKKNKDIVTVANAVFVKNASEIEVPFVTRNKDVFQCEVRNVNFEDPASACDSINAWVKNETRDMIDNLLSPDLIDGVLTRLVLVNAVYFKGLWKSRFQPENTKKRTFVAADGKSYQVPMLAQLSVFRCGSTSAPNDLWYNFIELPYHGESISMLIALPTESSTPLSAIIPHISTKTIDSWMSIMVPKRVQVILPKFTAVAQTDLKEPLKVLGITDMFDSSKANFAKITTGSENLHVSHILQKAKIEVSEDGTKASAATTAILIARSSPPWFIVDRPFLFFIRHNPTGAVLFMGQINKP
+>DECOY_sp|P07093|GDN_HUMAN Glia-derived nexin OS=Homo sapiens OX=9606 GN=SERPINE2 PE=1 SV=1
+PKNIQGMFLVAGTPNHRIFFLFPRDVIFWPPSSRAILIATTAASAKTGDESVEIKAKQLIHSVHLNESGTTIKAFNAKSSDFMDTIGLVKLPEKLDTQAVATFKPLIVQVRKPVMISMWSDITKTSIHPIIASLPTSSETPLAILMSISEGHYPLEIFNYWLDNPASTSGCRFVSLQALMPVQYSKGDAAVFTRKKTNEPQFRSKWLGKFYVANVLVLRTLVGDILDPSLLNDIMDRTENKVWANISDCASAPDEFNVNRVECQFVDKNRTVFPVEIESANKVFVANAVTVIDKNKKSVIAKNIKKLIKGVGNVGYRMVMALQKKTRGDAGLQLMGLVSAIGHPSIVINDHPRSKVIQNFVQIGTNSGLEELSLPNFHSCISPLTVSALLFLPLHWNM
+>sp|Q7L5L3|GDPD3_HUMAN Lysophospholipase D GDPD3 OS=Homo sapiens OX=9606 GN=GDPD3 PE=2 SV=3
+MSLLLYYALPALGSYAMLSIFFLRRPHLLHTPRAPTFRIRLGAHRGGSGELLENTMEAMENSMAQRSDLLELDCQLTRDRVVVVSHDENLCRQSGLNRDVGSLDFEDLPLYKEKLEVYFSPGHFAHGSDRRMVRLEDLFQRFPRTPMSVEIKGKNEELIREIAGLVRRYDRNEITIWASEKSSVMKKCKAANPEMPLSFTISRGFWVLLSYYLGLLPFIPIPEKFFFCFLPNIINRTYFPFSCSCLNQLLAVVSKWLIMRKSLIRHLEERGVQVVFWCLNEESDFEAAFSVGATGVITDYPTALRHYLDNHGPAARTS
+>DECOY_sp|Q7L5L3|GDPD3_HUMAN Lysophospholipase D GDPD3 OS=Homo sapiens OX=9606 GN=GDPD3 PE=2 SV=3
+STRAAPGHNDLYHRLATPYDTIVGTAGVSFAAEFDSEENLCWFVVQVGREELHRILSKRMILWKSVVALLQNLCSCSFPFYTRNIINPLFCFFFKEPIPIFPLLGLYYSLLVWFGRSITFSLPMEPNAAKCKKMVSSKESAWITIENRDYRRVLGAIERILEENKGKIEVSMPTRPFRQFLDELRVMRRDSGHAFHGPSFYVELKEKYLPLDEFDLSGVDRNLGSQRCLNEDHSVVVVRDRTLQCDLELLDSRQAMSNEMAEMTNELLEGSGGRHAGLRIRFTPARPTHLLHPRRLFFISLMAYSGLAPLAYYLLLSM
+>sp|P06396|GELS_HUMAN Gelsolin OS=Homo sapiens OX=9606 GN=GSN PE=1 SV=1
+MAPHRPAPALLCALSLALCALSLPVRAATASRGASQAGAPQGRVPEARPNSMVVEHPEFLKAGKEPGLQIWRVEKFDLVPVPTNLYGDFFTGDAYVILKTVQLRNGNLQYDLHYWLGNECSQDESGAAAIFTVQLDDYLNGRAVQHREVQGFESATFLGYFKSGLKYKKGGVASGFKHVVPNEVVVQRLFQVKGRRVVRATEVPVSWESFNNGDCFILDLGNNIHQWCGSNSNRYERLKATQVSKGIRDNERSGRARVHVSEEGTEPEAMLQVLGPKPALPAGTEDTAKEDAANRKLAKLYKVSNGAGTMSVSLVADENPFAQGALKSEDCFILDHGKDGKIFVWKGKQANTEERKAALKTASDFITKMDYPKQTQVSVLPEGGETPLFKQFFKNWRDPDQTDGLGLSYLSSHIANVERVPFDAATLHTSTAMAAQHGMDDDGTGQKQIWRIEGSNKVPVDPATYGQFYGGDSYIILYNYRHGGRQGQIIYNWQGAQSTQDEVAASAILTAQLDEELGGTPVQSRVVQGKEPAHLMSLFGGKPMIIYKGGTSREGGQTAPASTRLFQVRANSAGATRAVEVLPKAGALNSNDAFVLKTPSAAYLWVGTGASEAEKTGAQELLRVLRAQPVQVAEGSEPDGFWEALGGKAAYRTSPRLKDKKMDAHPPRLFACSNKIGRFVIEEVPGELMQEDLATDDVMLLDTWDQVFVWVGKDSQEEEKTEALTSAKRYIETDPANRDRRTPITVVKQGFEPPSFVGWFLGWDDDYWSVDPLDRAMAELAA
+>DECOY_sp|P06396|GELS_HUMAN Gelsolin OS=Homo sapiens OX=9606 GN=GSN PE=1 SV=1
+AALEAMARDLPDVSWYDDDWGLFWGVFSPPEFGQKVVTIPTRRDRNAPDTEIYRKASTLAETKEEEQSDKGVWVFVQDWTDLLMVDDTALDEQMLEGPVEEIVFRGIKNSCAFLRPPHADMKKDKLRPSTRYAAKGGLAEWFGDPESGEAVQVPQARLVRLLEQAGTKEAESAGTGVWLYAASPTKLVFADNSNLAGAKPLVEVARTAGASNARVQFLRTSAPATQGGERSTGGKYIIMPKGGFLSMLHAPEKGQVVRSQVPTGGLEEDLQATLIASAAVEDQTSQAGQWNYIIQGQRGGHRYNYLIIYSDGGYFQGYTAPDVPVKNSGEIRWIQKQGTGDDDMGHQAAMATSTHLTAADFPVREVNAIHSSLYSLGLGDTQDPDRWNKFFQKFLPTEGGEPLVSVQTQKPYDMKTIFDSATKLAAKREETNAQKGKWVFIKGDKGHDLIFCDESKLAGQAFPNEDAVLSVSMTGAGNSVKYLKALKRNAADEKATDETGAPLAPKPGLVQLMAEPETGEESVHVRARGSRENDRIGKSVQTAKLREYRNSNSGCWQHINNGLDLIFCDGNNFSEWSVPVETARVVRRGKVQFLRQVVVENPVVHKFGSAVGGKKYKLGSKFYGLFTASEFGQVERHQVARGNLYDDLQVTFIAAAGSEDQSCENGLWYHLDYQLNGNRLQVTKLIVYADGTFFDGYLNTPVPVLDFKEVRWIQLGPEKGAKLFEPHEVVMSNPRAEPVRGQPAGAQSAGRSATAARVPLSLACLALSLACLLAPAPRHPAM
+>sp|Q969W3|F104A_HUMAN Protein FAM104A OS=Homo sapiens OX=9606 GN=FAM104A PE=1 SV=2
+MGGRGADAGSSGGTGPTEGYSPPAASTRAAARAKARGGGRGGRRNTTPSVPSLRGAAPRSFHPPAAMSERLRPRKRRRNGNEEDNHLPPQTKRSSRNPVFQDSWDTESSGSDSGGSSSSSSSSINSPDRASGPEGSLSQTMAGSSPNTPQPVPEQSALCQGLYFHINQTLREAHFHSLQHRGRPLT
+>DECOY_sp|Q969W3|F104A_HUMAN Protein FAM104A OS=Homo sapiens OX=9606 GN=FAM104A PE=1 SV=2
+TLPRGRHQLSHFHAERLTQNIHFYLGQCLASQEPVPQPTNPSSGAMTQSLSGEPGSARDPSNISSSSSSSSGGSDSGSSETDWSDQFVPNRSSRKTQPPLHNDEENGNRRRKRPRLRESMAAPPHFSRPAAGRLSPVSPTTNRRGGRGGGRAKARAAARTSAAPPSYGETPGTGGSSGADAGRGGM
+>sp|Q9H098|F107B_HUMAN Protein FAM107B OS=Homo sapiens OX=9606 GN=FAM107B PE=1 SV=1
+MAEPDYIEDDNPELIRPQKLINPVKTSRNHQDLHRELLMNQKRGLAPQNKPELQKVMEKRKRDQVIKQKEEEAQKKKSDLEIELLKRQQKLEQLELEKQKLQEEQENAPEFVKVKGNLRRTGQEVAQAQES
+>DECOY_sp|Q9H098|F107B_HUMAN Protein FAM107B OS=Homo sapiens OX=9606 GN=FAM107B PE=1 SV=1
+SEQAQAVEQGTRRLNGKVKVFEPANEQEEQLKQKELELQELKQQRKLLEIELDSKKKQAEEEKQKIVQDRKRKEMVKQLEPKNQPALGRKQNMLLERHLDQHNRSTKVPNILKQPRILEPNDDEIYDPEAM
+>sp|Q70Z53|F10C1_HUMAN Protein FRA10AC1 OS=Homo sapiens OX=9606 GN=FRA10AC1 PE=1 SV=3
+MHGHGGYDSDFSDDERCGESSKRKKRTVEDDLLLQKPFQKEKHGKVAHKQVAAELLDREEARNRRFHLIAMDAYQRHTKFVNDYILYYGGKKEDFKRLGENDKTDLDVIRENHRFLWNEEDEMDMTWEKRLAKKYYDKLFKEYCIADLSKYKENKFGFRWRVEKEVISGKGQFFCGNKYCDKKEGLKSWEVNFGYIEHGEKRNALVKLRLCQECSIKLNFHHRRKEIKSKKRKDKTKKDCEESSHKKSRLSSAEEASKKKDKGHSSSKKSEDSLLRNSDEEESASESELWKGPLPETDEKSQEEEFDEYFQDLFL
+>DECOY_sp|Q70Z53|F10C1_HUMAN Protein FRA10AC1 OS=Homo sapiens OX=9606 GN=FRA10AC1 PE=1 SV=3
+LFLDQFYEDFEEEQSKEDTEPLPGKWLESESASEEEDSNRLLSDESKKSSSHGKDKKKSAEEASSLRSKKHSSEECDKKTKDKRKKSKIEKRRHHFNLKISCEQCLRLKVLANRKEGHEIYGFNVEWSKLGEKKDCYKNGCFFQGKGSIVEKEVRWRFGFKNEKYKSLDAICYEKFLKDYYKKALRKEWTMDMEDEENWLFRHNERIVDLDTKDNEGLRKFDEKKGGYYLIYDNVFKTHRQYADMAILHFRRNRAEERDLLEAAVQKHAVKGHKEKQFPKQLLLDDEVTRKKRKSSEGCREDDSFDSDYGGHGHM
+>sp|Q9NRY5|F1142_HUMAN Protein FAM114A2 OS=Homo sapiens OX=9606 GN=FAM114A2 PE=1 SV=4
+MSDKDDIETPLLTEAAPILEDGNCEPAKNSESVDQGAKPESKSEPVVSTRKRPETKPSSDLETSKVLPIQDNVSKDVPQTRWGYWGSWGKSILSSASATVATVGQGISNVIEKAETSLGIPGPSEISTEVKYVAGETNAKENENSSPVAGAFGVFSTISTAVQSTGKSVISGGLDALEFIGKKTMDVIAEGDPGFKRTKGLMNRNATLSQVLREAKEKEEIRTSNEVTVETDKKTHYGLLFDEFQGLSHLEALEMLSQESEIKVKSILNSLSGEELETLKVELEQLKETFSLAEFCEEEEEEKKGDEDFTKDITELFSQLHVSSKPEKLARARNTAHEWIRKSLTKPLAENEEGEKQSEAENTEQVNKNSIEDIHAFAIRSLAELTACSIELFHKTAALVLHGRKQEVTAIERSQTLSQMTIVLCKELSSLSKEFTTCLTTAGVKEMADVLNPLITAVFLEASNSASYIQDAFQLLLPVLEISLIENKIESHRHELQGQKPLLEH
+>DECOY_sp|Q9NRY5|F1142_HUMAN Protein FAM114A2 OS=Homo sapiens OX=9606 GN=FAM114A2 PE=1 SV=4
+HELLPKQGQLEHRHSEIKNEILSIELVPLLLQFADQIYSASNSAELFVATILPNLVDAMEKVGATTLCTTFEKSLSSLEKCLVITMQSLTQSREIATVEQKRGHLVLAATKHFLEISCATLEALSRIAFAHIDEISNKNVQETNEAESQKEGEENEALPKTLSKRIWEHATNRARALKEPKSSVHLQSFLETIDKTFDEDGKKEEEEEECFEALSFTEKLQELEVKLTELEEGSLSNLISKVKIESEQSLMELAELHSLGQFEDFLLGYHTKKDTEVTVENSTRIEEKEKAERLVQSLTANRNMLGKTRKFGPDGEAIVDMTKKGIFELADLGGSIVSKGTSQVATSITSFVGFAGAVPSSNENEKANTEGAVYKVETSIESPGPIGLSTEAKEIVNSIGQGVTAVTASASSLISKGWSGWYGWRTQPVDKSVNDQIPLVKSTELDSSPKTEPRKRTSVVPESKSEPKAGQDVSESNKAPECNGDELIPAAETLLPTEIDDKDSM
+>sp|Q86V42|F124A_HUMAN Protein FAM124A OS=Homo sapiens OX=9606 GN=FAM124A PE=1 SV=1
+MDPKAGGGGEEDDCVDSGAETGGSDYSHLSSTSSELSVEEAQDPFLVSIHIIADPGESQPLQEAIDNVLAWIHPDLPLFRVSERRASRRRRKPPKGAQPALAVVLFLQEEYGEEQILQLHRTLQQPPWRHHHTEQVHGRFLPYLPCSQDFFTLAPGTPLWAIRPVHYGKEIVRFTVYCRYDNYADSLRFYQLILRRSPSQKKADFCIFPIFSNLDVDIQFSLKRLPCDQCPVPTDSSVLEFRVRDIGELVPLLPNPCSPISEGRWQTEDHDGNKILLQAQRVHKKFPKPGRVHHASEKKRHSTPLPSTAVPSHTPGSSQQSPLNSPHPGPIRTGLPPGHQQEFAGRANSTPNPPWSFQRSKSLFCLPTGGPSLASSAEPQWFSNTGAPGHRASEWRHGHLLSIDDLEGAQETDVDTGLRLSSSDLSVVSAYSAPSRFCSTVETPLPSERCSSHWAAHKDSREGPLPTVSRVTTEASWASLPFFTKRSSSSSATARAAPPAPSTSTLTDSSPQLPCDTPKVKQTDGDMPPPPGSAGPGDNDMEEFYI
+>DECOY_sp|Q86V42|F124A_HUMAN Protein FAM124A OS=Homo sapiens OX=9606 GN=FAM124A PE=1 SV=1
+IYFEEMDNDGPGASGPPPPMDGDTQKVKPTDCPLQPSSDTLTSTSPAPPAARATASSSSSRKTFFPLSAWSAETTVRSVTPLPGERSDKHAAWHSSCRESPLPTEVTSCFRSPASYASVVSLDSSSLRLGTDVDTEQAGELDDISLLHGHRWESARHGPAGTNSFWQPEASSALSPGGTPLCFLSKSRQFSWPPNPTSNARGAFEQQHGPPLGTRIPGPHPSNLPSQQSSGPTHSPVATSPLPTSHRKKESAHHVRGPKPFKKHVRQAQLLIKNGDHDETQWRGESIPSCPNPLLPVLEGIDRVRFELVSSDTPVPCQDCPLRKLSFQIDVDLNSFIPFICFDAKKQSPSRRLILQYFRLSDAYNDYRCYVTFRVIEKGYHVPRIAWLPTGPALTFFDQSCPLYPLFRGHVQETHHHRWPPQQLTRHLQLIQEEGYEEQLFLVVALAPQAGKPPKRRRRSARRESVRFLPLDPHIWALVNDIAEQLPQSEGPDAIIHISVLFPDQAEEVSLESSTSSLHSYDSGGTEAGSDVCDDEEGGGGAKPDM
+>sp|Q96AQ9|F131C_HUMAN Protein FAM131C OS=Homo sapiens OX=9606 GN=FAM131C PE=1 SV=2
+MGSCVSRDLFTSAHKNCPMPQGADPLNPDLPSGRTPTVAPDCVIGKDKQMDFCWDPWQRCFQTTNGYLSDSRSRPGNYNVAALATSSLVGVVQSIKDHITKPTAMARGRVAHLIEWKGWSAQPAGWELSPAEDEHYCCLPDELREARFAAGVAEQFAITEATLSAWSSLDEEELHPENSPQGIVQLQDLESIYLQDSLPSGPSQDDSLQAFSSPSPSPDSCPSPEEPPSTAGIPQPPSPELQHRRRLPGAQGPEGGTHPPGSLPSMDSGSLWEEDEVFYN
+>DECOY_sp|Q96AQ9|F131C_HUMAN Protein FAM131C OS=Homo sapiens OX=9606 GN=FAM131C PE=1 SV=2
+NYFVEDEEWLSGSDMSPLSGPPHTGGEPGQAGPLRRRHQLEPSPPQPIGATSPPEEPSPCSDPSPSPSSFAQLSDDQSPGSPLSDQLYISELDQLQVIGQPSNEPHLEEEDLSSWASLTAETIAFQEAVGAAFRAERLEDPLCCYHEDEAPSLEWGAPQASWGKWEILHAVRGRAMATPKTIHDKISQVVGVLSSTALAAVNYNGPRSRSDSLYGNTTQFCRQWPDWCFDMQKDKGIVCDPAVTPTRGSPLDPNLPDAGQPMPCNKHASTFLDRSVCSGM
+>sp|Q8WW52|F151A_HUMAN Protein FAM151A OS=Homo sapiens OX=9606 GN=FAM151A PE=2 SV=2
+MVCREQLSKNQVKWVFAGITCVSVVVIAAIVLAITLRRPGCELEACSPDADMLDYLLSLGQISRRDALEVTWYHAANSKKAMTAALNSNITVLEADVNVEGLGTANETGVPIMAHPPTIYSDNTLEQWLDAVLGSSQKGIKLDFKNIKAVGPSLDLLRQLTEEGKVRRPIWINADILKGPNMLISTEVNATQFLALVQEKYPKATLSPGWTTFYMSTSPNRTYTQAMVEKMHELVGGVPQRVTFPVRSSMVRAAWPHFSWLLSQSERYSLTLWQAASDPMSVEDLLYVRDNTAVHQVYYDIFEPLLSQFKQLALNATRKPMYYTGGSLIPLLQLPGDDGLNVEWLVPDVQGSGKTATMTLPDTEGMILLNTGLEGTVAENPVPIVHTPSGNILTLESCLQQLATHPGHWGIHLQIAEPAALRPSLALLARLSSLGLLHWPVWVGAKISHGSFSVPGHVAGRELLTAVAEVFPHVTVAPGWPEEVLGSGYREQLLTDMLELCQGLWQPVSFQMQAMLLGHSTAGAIGRLLASSPRATVTVEHNPAGGDYASVRTALLAARAVDRTRVYYRLPQGYHKDLLAHVGRN
+>DECOY_sp|Q8WW52|F151A_HUMAN Protein FAM151A OS=Homo sapiens OX=9606 GN=FAM151A PE=2 SV=2
+NRGVHALLDKHYGQPLRYYVRTRDVARAALLATRVSAYDGGAPNHEVTVTARPSSALLRGIAGATSHGLLMAQMQFSVPQWLGQCLELMDTLLQERYGSGLVEEPWGPAVTVHPFVEAVATLLERGAVHGPVSFSGHSIKAGVWVPWHLLGLSSLRALLALSPRLAAPEAIQLHIGWHGPHTALQQLCSELTLINGSPTHVIPVPNEAVTGELGTNLLIMGETDPLTMTATKGSGQVDPVLWEVNLGDDGPLQLLPILSGGTYYMPKRTANLALQKFQSLLPEFIDYYVQHVATNDRVYLLDEVSMPDSAAQWLTLSYRESQSLLWSFHPWAARVMSSRVPFTVRQPVGGVLEHMKEVMAQTYTRNPSTSMYFTTWGPSLTAKPYKEQVLALFQTANVETSILMNPGKLIDANIWIPRRVKGEETLQRLLDLSPGVAKINKFDLKIGKQSSGLVADLWQELTNDSYITPPHAMIPVGTENATGLGEVNVDAELVTINSNLAATMAKKSNAAHYWTVELADRRSIQGLSLLYDLMDADPSCAELECGPRRLTIALVIAAIVVVSVCTIGAFVWKVQNKSLQERCVM
+>sp|Q9UHL3|F153A_HUMAN Protein FAM153A OS=Homo sapiens OX=9606 GN=FAM153A PE=2 SV=2
+MVDKDTERDIEMKRQLRRLRELHLYSTWKKYQEAMKTSLGVPQCERDEGSLGKPLCPPEILSETLPGSVKKRVCFPSEDHLEEFIAEHLPEASNQSLLTVAHADAGTQTNGDLEDLEEHGPGQTVSEEATEVHTMEGDPDTLAEFLIRDVLQELSSYNGEEEDPEEVKTSLGVPQRGDLEDLEEHVPGQTVSEEATGVHMMQVDPATLAKSDLEDLEEHVPEQTVSEEATGVHMMQVDPATLAKQLEDSTITGSHQQMSASPSSAPAEEATEKTKVEEEVKTRKPKKKTRKPSKKSRWNVLKCWDIFNIF
+>DECOY_sp|Q9UHL3|F153A_HUMAN Protein FAM153A OS=Homo sapiens OX=9606 GN=FAM153A PE=2 SV=2
+FINFIDWCKLVNWRSKKSPKRTKKKPKRTKVEEEVKTKETAEEAPASSPSASMQQHSGTITSDELQKALTAPDVQMMHVGTAEESVTQEPVHEELDELDSKALTAPDVQMMHVGTAEESVTQGPVHEELDELDGRQPVGLSTKVEEPDEEEGNYSSLEQLVDRILFEALTDPDGEMTHVETAEESVTQGPGHEELDELDGNTQTGADAHAVTLLSQNSAEPLHEAIFEELHDESPFCVRKKVSGPLTESLIEPPCLPKGLSGEDRECQPVGLSTKMAEQYKKWTSYLHLERLRRLQRKMEIDRETDKDVM
+>sp|Q96A26|F162A_HUMAN Protein FAM162A OS=Homo sapiens OX=9606 GN=FAM162A PE=1 SV=2
+MGSLSGLRLAAGSCFRLCERDVSSSLRLTRSSDLKRINGFCTKPQESPGAPSRTYNRVPLHKPTDWQKKILIWSGRFKKEDEIPETVSLEMLDAAKNKMRVKISYLMIALTVVGCIFMVIEGKKAAQRHETLTSLNLEKKARLKEEAAMKAKTE
+>DECOY_sp|Q96A26|F162A_HUMAN Protein FAM162A OS=Homo sapiens OX=9606 GN=FAM162A PE=1 SV=2
+ETKAKMAAEEKLRAKKELNLSTLTEHRQAAKKGEIVMFICGVVTLAIMLYSIKVRMKNKAADLMELSVTEPIEDEKKFRGSWILIKKQWDTPKHLPVRNYTRSPAGPSEQPKTCFGNIRKLDSSRTLRLSSSVDRECLRFCSGAALRLGSLSGM
+>sp|Q86W67|F228A_HUMAN Protein FAM228A OS=Homo sapiens OX=9606 GN=FAM228A PE=2 SV=1
+MAATKTASYDEHFRPEKLREWPEPESVSLMEVLAREDIDEAVCAILFKENSIVKVTVPPFVDPLFQRQQEVDEERRTGLQCETGKRHSIKELEEIEKARLHASSPYFTFTSHCVIPKEWHKASARARSKTYKYSPEKLIYADKKQKRKEKKTADLSQAAFERQFLSSKLSQKNKVGERKGLVSRGLGRGWHAGLCSTHEQHILVPE
+>DECOY_sp|Q86W67|F228A_HUMAN Protein FAM228A OS=Homo sapiens OX=9606 GN=FAM228A PE=2 SV=1
+EPVLIHQEHTSCLGAHWGRGLGRSVLGKREGVKNKQSLKSSLFQREFAAQSLDATKKEKRKQKKDAYILKEPSYKYTKSRARASAKHWEKPIVCHSTFTFYPSSAHLRAKEIEELEKISHRKGTECQLGTRREEDVEQQRQFLPDVFPPVTVKVISNEKFLIACVAEDIDERALVEMLSVSEPEPWERLKEPRFHEDYSATKTAAM
+>sp|P0C875|F228B_HUMAN Protein FAM228B OS=Homo sapiens OX=9606 GN=FAM228B PE=2 SV=2
+MKNVDSDDLVTGTLPKLKSSKEWLEPKPLCFMEVLAKEDTEAAIQSILYKENSVIKELDKYLQHHAFLNARRKEMLYKRWVDCVADPLQKKIIEKVCSHKKIKKRRQGELDGFLKHVNKKGNAFIEHYDPKEYDPFYMSKKDPNFLKVTIPPFHDPLKKAQYDKDNEKRTLLQCETGKIYSIKEFKEVEKVQLHSRFPQISNSRHFITPNEWLKLPTRYIESEFCRRRRLKVKVNFNDCSFDLKPLARAPYLLESQEEEKTVIYKNKGSSFLEREPLCYQEGNNPSAKEAISEGYFSSLSLSQEREEDQDGSPSPRLGLLKLEL
+>DECOY_sp|P0C875|F228B_HUMAN Protein FAM228B OS=Homo sapiens OX=9606 GN=FAM228B PE=2 SV=2
+LELKLLGLRPSPSGDQDEEREQSLSLSSFYGESIAEKASPNNGEQYCLPERELFSSGKNKYIVTKEEEQSELLYPARALPKLDFSCDNFNVKVKLRRRRCFESEIYRTPLKLWENPTIFHRSNSIQPFRSHLQVKEVEKFEKISYIKGTECQLLTRKENDKDYQAKKLPDHFPPITVKLFNPDKKSMYFPDYEKPDYHEIFANGKKNVHKLFGDLEGQRRKKIKKHSCVKEIIKKQLPDAVCDVWRKYLMEKRRANLFAHHQLYKDLEKIVSNEKYLISQIAAETDEKALVEMFCLPKPELWEKSSKLKPLTGTVLDDSDVNKM
+>sp|Q08E93|F27E3_HUMAN Protein FAM27E3 OS=Homo sapiens OX=9606 GN=FAM27E3 PE=1 SV=1
+MGIFQLLRDRRISSRGPGLHTPKAEPRRRKGLTTGLMTQAERQKQAHQRQAAMRETALWCTGHIRPRTHTHTGTHTQTDRERERNTQRLRDRERRENGRHTHTYTHRHTHRVL
+>DECOY_sp|Q08E93|F27E3_HUMAN Protein FAM27E3 OS=Homo sapiens OX=9606 GN=FAM27E3 PE=1 SV=1
+LVRHTHRHTYTHTHRGNERRERDRLRQTNRERERDTQTHTGTHTHTRPRIHGTCWLATERMAAQRQHAQKQREAQTMLGTTLGKRRRPEAKPTHLGPGRSSIRRDRLLQFIGM
+>sp|Q96KD3|F71F1_HUMAN Protein FAM71F1 OS=Homo sapiens OX=9606 GN=FAM71F1 PE=2 SV=1
+MLSSFPHRKTWRKSKKTVKVTRSYPTFPSLNAWEEFRGLLPVDGEPNPGAGLGVEEGLLCRVVHSPEFNLFLDSVVFESNFIQVKRGRNWRDVYKASNTMALGVTSSVPCLPLPNILLMASVKWHQGQNQTWNRPSIAPNIFLKRILPLRFVELQVCDHYQRILQLRTVTEKIYYLKLHPDHPETVFHFWIRLVQILQKGLSITTKDPRILVTHCLVPKNCSSPSGDSKLVQKKLQASQPSESLIQLMTKGESEALSQIFADLHQQNQLSFRSSRKVETNKNSSGKDSSREDSIPCTCDLRWRASFTYGEWERENPSGLQPLSLLSTLAASTGPQLAPPIGNSI
+>DECOY_sp|Q96KD3|F71F1_HUMAN Protein FAM71F1 OS=Homo sapiens OX=9606 GN=FAM71F1 PE=2 SV=1
+ISNGIPPALQPGTSAALTSLLSLPQLGSPNEREWEGYTFSARWRLDCTCPISDERSSDKGSSNKNTEVKRSSRFSLQNQQHLDAFIQSLAESEGKTMLQILSESPQSAQLKKQVLKSDGSPSSCNKPVLCHTVLIRPDKTTISLGKQLIQVLRIWFHFVTEPHDPHLKLYYIKETVTRLQLIRQYHDCVQLEVFRLPLIRKLFINPAISPRNWTQNQGQHWKVSAMLLINPLPLCPVSSTVGLAMTNSAKYVDRWNRGRKVQIFNSEFVVSDLFLNFEPSHVVRCLLGEEVGLGAGPNPEGDVPLLGRFEEWANLSPFTPYSRTVKVTKKSKRWTKRHPFSSLM
+>sp|Q5RGS3|F74A1_HUMAN Protein FAM74A1 OS=Homo sapiens OX=9606 GN=FAM74A1 PE=1 SV=1
+MWRELRGCPGGDVETAQRLSQRRRGKSSEAVPEKTWRAQRMSQRRRGESSEAVPEKTWKELRNSETVPEKTWKQLRRCLQEDVERVQRLSLLLHLAVFLWIIIAINFSNSGVKSQSSTYLPSGKILK
+>DECOY_sp|Q5RGS3|F74A1_HUMAN Protein FAM74A1 OS=Homo sapiens OX=9606 GN=FAM74A1 PE=1 SV=1
+KLIKGSPLYTSSQSKVGSNSFNIAIIIWLFVALHLLLSLRQVREVDEQLCRRLQKWTKEPVTESNRLEKWTKEPVAESSEGRRRQSMRQARWTKEPVAESSKGRRRQSLRQATEVDGGPCGRLERWM
+>sp|P0C5J1|F86B2_HUMAN Putative protein N-methyltransferase FAM86B2 OS=Homo sapiens OX=9606 GN=FAM86B2 PE=1 SV=1
+MAPEENAGTELLLQGFERRFLAVRTLRSFPWQSLEAKLRDSSDSELLRDILQKTVRHPVCVKHPPSVKYAWCFLSELIKKHEAVHTEPLDKLYEVLAETLMAKESTQGHRSYLLSSGGSVTLSKSTAIISHGTTGLVTWDAALYLAEWAIENPAAFINRTVLELGSGAGLTGLAICKMCRPRAYIFSDPHSRILEQLRGNVLLNGLSLEADITGNLDSPRVTVAQLDWDVAMVHQLSAFQPDVVIAADVLYCPEAIVSLVGVLQRLAACREHKRAPEVYVAFTVRNPETCQLFTTELGRDGIRWEAEAHHDQKLFPYGEHLEMAMLNLTL
+>DECOY_sp|P0C5J1|F86B2_HUMAN Putative protein N-methyltransferase FAM86B2 OS=Homo sapiens OX=9606 GN=FAM86B2 PE=1 SV=1
+LTLNLMAMELHEGYPFLKQDHHAEAEWRIGDRGLETTFLQCTEPNRVTFAVYVEPARKHERCAALRQLVGVLSVIAEPCYLVDAAIVVDPQFASLQHVMAVDWDLQAVTVRPSDLNGTIDAELSLGNLLVNGRLQELIRSHPDSFIYARPRCMKCIALGTLGAGSGLELVTRNIFAAPNEIAWEALYLAADWTVLGTTGHSIIATSKSLTVSGGSSLLYSRHGQTSEKAMLTEALVEYLKDLPETHVAEHKKILESLFCWAYKVSPPHKVCVPHRVTKQLIDRLLESDSSDRLKAELSQWPFSRLTRVALFRREFGQLLLETGANEEPAM
+>sp|P23610|F8I2_HUMAN Factor VIII intron 22 protein OS=Homo sapiens OX=9606 GN=F8A1 PE=1 SV=2
+MAAAAAGLGGGGAGPGPEAGDFLARYRLVSNKLKKRFLRKPNVAEAGEQFGQLGRELRAQECLPYAAWCQLAVARCQQALFHGPGEALALTEAARLFLRQERDARQRLVCPAAYGEPLQAAASALGAAVRLHLELGQPAAAAALCLELAAALRDLGQPAAAAGHFQRAAQLQLPQLPLAALQALGEAASCQLLARDYTGALAVFTRMQRLAREHGSHPVQSLPPPPPPAPQPGPGATPALPAALLPPNSGSAAPSPAALGAFSDVLVRCEVSRVLLLLLLQPPPAKLLPEHAQTLEKYSWEAFDSHGQESSGQLPEELFLLLQSLVMATHEKDTEAIKSLQVEMWPLLTAEQNHLLHLVLQETISPSGQGV
+>DECOY_sp|P23610|F8I2_HUMAN Factor VIII intron 22 protein OS=Homo sapiens OX=9606 GN=F8A1 PE=1 SV=2
+VGQGSPSITEQLVLHLLHNQEATLLPWMEVQLSKIAETDKEHTAMVLSQLLLFLEEPLQGSSEQGHSDFAEWSYKELTQAHEPLLKAPPPQLLLLLLVRSVECRVLVDSFAGLAAPSPAASGSNPPLLAAPLAPTAGPGPQPAPPPPPPLSQVPHSGHERALRQMRTFVALAGTYDRALLQCSAAEGLAQLAALPLQPLQLQAARQFHGAAAAPQGLDRLAAALELCLAAAAAPQGLELHLRVAAGLASAAAQLPEGYAAPCVLRQRADREQRLFLRAAETLALAEGPGHFLAQQCRAVALQCWAAYPLCEQARLERGLQGFQEGAEAVNPKRLFRKKLKNSVLRYRALFDGAEPGPGAGGGGLGAAAAAM
+>sp|A6NJQ4|F90A8_HUMAN Putative protein FAM90A8P OS=Homo sapiens OX=9606 GN=FAM90A8P PE=5 SV=1
+MMARRDPKSWAKRLVRAQTLQKQRRAPVGPSAPPPDEEDPRLKCKNCGAFGHTARSTRCPMKCWKAALVPATLGKKEGKENLKPWKPRGEANPGPLNKDKGEKEERPRQQDPQRKALLHMFSGKPPEKPLPNGKGSTESSDHLRVASGPMPVHTTSKRPRVDPVLADRSAAEMSGRGSVLASLSPLRKASLSSSSSLGPKERQTGAAADIPQPAVRHQGREPLLVVKPTHSRPEGGCREVPQAASKTHGLLQAARPQAQDKRPAVTSQPCPPAATHSLGLGSNLSFGPGAKRPAQAPIQACLNFPKKPRLGPFQIPESAIQGGELGAPENLQPPPAATELGPSTSPQMGRRTPAQVPSIDRQPPHSTPCLPTAQACTMSHHSAASHDGAQPLRVLFRRLENGRWSSSLLAAPSFHSPEKPGAFLAQSPHVSEKSEAPCVRVPPSVLYEDLQVSSSSEDSDSDLE
+>DECOY_sp|A6NJQ4|F90A8_HUMAN Putative protein FAM90A8P OS=Homo sapiens OX=9606 GN=FAM90A8P PE=5 SV=1
+ELDSDSDESSSSVQLDEYLVSPPVRVCPAESKESVHPSQALFAGPKEPSHFSPAALLSSSWRGNELRRFLVRLPQAGDHSAASHHSMTCAQATPLCPTSHPPQRDISPVQAPTRRGMQPSTSPGLETAAPPPQLNEPAGLEGGQIASEPIQFPGLRPKKPFNLCAQIPAQAPRKAGPGFSLNSGLGLSHTAAPPCPQSTVAPRKDQAQPRAAQLLGHTKSAAQPVERCGGEPRSHTPKVVLLPERGQHRVAPQPIDAAAGTQREKPGLSSSSSLSAKRLPSLSALVSGRGSMEAASRDALVPDVRPRKSTTHVPMPGSAVRLHDSSETSGKGNPLPKEPPKGSFMHLLAKRQPDQQRPREEKEGKDKNLPGPNAEGRPKWPKLNEKGEKKGLTAPVLAAKWCKMPCRTSRATHGFAGCNKCKLRPDEEDPPPASPGVPARRQKQLTQARVLRKAWSKPDRRAMM
+>sp|P0C7W8|F90AD_HUMAN Putative protein FAM90A13P OS=Homo sapiens OX=9606 GN=FAM90A13P PE=5 SV=1
+MMARRDPTSWAKRLVRAQTLQKQRRAPVGPRAPPPDEEDPRLKCKNCGAFGHTARSTRCPMKCWKAALVPATLGKKEGKENLKPWKPRGEANPGPLNKDKGEKEERPRQQDPQRKALLHMFSGKPPEKPLPNGKGSTEPSDYLRVASGPMPVHTTSKRPRLDPVLADRSATEMSGRGSVLASLSPLRKASLSSSSSLGPKERQTGAAADMPQPAVRHQGREPLLVVKPTHSRPEGGCREVPQAASKTHGLLQAARPQAQDKRPAVTSQPCPPAATHSLGLGSNLSFGPGAKRPAQAPIQACLNFPKKPRLGPFQIPESAIQGGELGAPENLQPPPAATELGPSTSPQMGRRTPAQVPSVDRQPPHSRPCLPTAQACTMSHHPAASHDGAQPLRVLFRRLENGRWSSSLLAAPSFHSPEKPGAFLAQSPHVSEKSEAPCVRVPPSVLYEDLQVSSSSEDSDSDLE
+>DECOY_sp|P0C7W8|F90AD_HUMAN Putative protein FAM90A13P OS=Homo sapiens OX=9606 GN=FAM90A13P PE=5 SV=1
+ELDSDSDESSSSVQLDEYLVSPPVRVCPAESKESVHPSQALFAGPKEPSHFSPAALLSSSWRGNELRRFLVRLPQAGDHSAAPHHSMTCAQATPLCPRSHPPQRDVSPVQAPTRRGMQPSTSPGLETAAPPPQLNEPAGLEGGQIASEPIQFPGLRPKKPFNLCAQIPAQAPRKAGPGFSLNSGLGLSHTAAPPCPQSTVAPRKDQAQPRAAQLLGHTKSAAQPVERCGGEPRSHTPKVVLLPERGQHRVAPQPMDAAAGTQREKPGLSSSSSLSAKRLPSLSALVSGRGSMETASRDALVPDLRPRKSTTHVPMPGSAVRLYDSPETSGKGNPLPKEPPKGSFMHLLAKRQPDQQRPREEKEGKDKNLPGPNAEGRPKWPKLNEKGEKKGLTAPVLAAKWCKMPCRTSRATHGFAGCNKCKLRPDEEDPPPARPGVPARRQKQLTQARVLRKAWSTPDRRAMM
+>sp|A6NE21|F90AI_HUMAN Putative protein FAM90A18P/FAM90A19P OS=Homo sapiens OX=9606 GN=FAM90A18P PE=5 SV=1
+MMARRDPKSWAKRLVRAQTLQKQRRAPVGPRAPPPDEEDPRLKCKNCGAFGHTARSTRCPMKCWKAALVPATLGKKEGKENLKPWKPRVEANPGPLNKDKGEKEERPRQQDPQRKALLHMFSGKPPEKPLPNGKGSTESSDHLRVASGPMPVHTTSKRPRVDPVLADRSAAEMSGRGSVLASLSPLRKASLSSSSSLGPKERQTGAAADMPQPAVRHQGREPLLVVKPTHSSPEGGCREVPQAASKTHGLLQAARPQAQDKRPAVTSQPCPPAATHSLGLGSNLSFGPGAKRPAQAPIQACLKFPKKPRLGPFQIPESAIQGGELGAPGNLQPPPAATELGPSTSPQMGRRTPAQVPSVDWQPPHSTPCLPTAQACTMSHHSAASHDGAQPLRVLFRRLENGRWSSSLLAAPSFHSPEKPGAFLAQSPHVSEKSEAPCVRVPPSVLYEDLQVSSSSEDSDSDLE
+>DECOY_sp|A6NE21|F90AI_HUMAN Putative protein FAM90A18P/FAM90A19P OS=Homo sapiens OX=9606 GN=FAM90A18P PE=5 SV=1
+ELDSDSDESSSSVQLDEYLVSPPVRVCPAESKESVHPSQALFAGPKEPSHFSPAALLSSSWRGNELRRFLVRLPQAGDHSAASHHSMTCAQATPLCPTSHPPQWDVSPVQAPTRRGMQPSTSPGLETAAPPPQLNGPAGLEGGQIASEPIQFPGLRPKKPFKLCAQIPAQAPRKAGPGFSLNSGLGLSHTAAPPCPQSTVAPRKDQAQPRAAQLLGHTKSAAQPVERCGGEPSSHTPKVVLLPERGQHRVAPQPMDAAAGTQREKPGLSSSSSLSAKRLPSLSALVSGRGSMEAASRDALVPDVRPRKSTTHVPMPGSAVRLHDSSETSGKGNPLPKEPPKGSFMHLLAKRQPDQQRPREEKEGKDKNLPGPNAEVRPKWPKLNEKGEKKGLTAPVLAAKWCKMPCRTSRATHGFAGCNKCKLRPDEEDPPPARPGVPARRQKQLTQARVLRKAWSKPDRRAMM
+>sp|A8MXZ1|F90AN_HUMAN Putative protein FAM90A23P OS=Homo sapiens OX=9606 GN=FAM90A23P PE=5 SV=1
+MMARRDPKSWAKRLVRAQTLQKQRRAPVGPRSPPPDEEDPRLKCKNCGAFGHTARSTRCPMKCWKAALVPATLGKKEGKENLKPWKPRAEANPGPLNKDKGEKEERPRQQDPQRKALLHMFSGKPPEKPLPNGKGSTESSDYLRVASGPMPVHTTSKRPRLDPVLADRSATEMSGRGSVLASLSPLRKASLSSSSSLGPKERQTGAAADMPQPAVRHQGREPLLVVKPTHSRPEGGCREVPQAASKTHGLLQAARPQAQDKRPAVTPQPCPPAATHSLGLGSNLSFGPGAKRPAQAPIQACLNFPKKPRLGPFQIPESAIQGGELGAPENLQPPPAATELGPSTSPQMGRRTPAQVPSVDRQPPHSRPCLPTAQACTMSHHPAASHDGAQPLRVLFRRLENGRWSSSLLAAPSFHSPEKPGAFLAQSPHVSEKSEAPCVRVPPSVLYEDLQVSSSSEDSDSDLE
+>DECOY_sp|A8MXZ1|F90AN_HUMAN Putative protein FAM90A23P OS=Homo sapiens OX=9606 GN=FAM90A23P PE=5 SV=1
+ELDSDSDESSSSVQLDEYLVSPPVRVCPAESKESVHPSQALFAGPKEPSHFSPAALLSSSWRGNELRRFLVRLPQAGDHSAAPHHSMTCAQATPLCPRSHPPQRDVSPVQAPTRRGMQPSTSPGLETAAPPPQLNEPAGLEGGQIASEPIQFPGLRPKKPFNLCAQIPAQAPRKAGPGFSLNSGLGLSHTAAPPCPQPTVAPRKDQAQPRAAQLLGHTKSAAQPVERCGGEPRSHTPKVVLLPERGQHRVAPQPMDAAAGTQREKPGLSSSSSLSAKRLPSLSALVSGRGSMETASRDALVPDLRPRKSTTHVPMPGSAVRLYDSSETSGKGNPLPKEPPKGSFMHLLAKRQPDQQRPREEKEGKDKNLPGPNAEARPKWPKLNEKGEKKGLTAPVLAAKWCKMPCRTSRATHGFAGCNKCKLRPDEEDPPPSRPGVPARRQKQLTQARVLRKAWSKPDRRAMM
+>sp|Q6NSW5|FA45B_HUMAN Putative protein FAM45B OS=Homo sapiens OX=9606 GN=FAM45BP PE=5 SV=1
+MAAAELADTQLMLGVGLIEKDTNGEVLWVWCYPSTTATLRNLLLRKCCLTDENKLLHPFVFGQYRRTWFYITIIEVPDSSILKKVTHFSIVLTAKDFNPEKYAAFTRILCRMYLKHGSPVKMMESYIAVLTKGICQSEENGSFLSKDFDARKAYPAGSIKDIVSQFGMETVILHTALMLKKRIVVYHPKIEAVQEFTRTLPALVWHRQDWTILHSYVHLNADELEALQMCTGYVAGFVDLEVSNRPDLYDVFVNLAESEITIAPLAKEAMAMGKLHKEMGQLIVQSAEDPEKSESQVIQDIALKTREIFTNLAPFSEVSADGEKRVLNLEALKQKRFPPATENFLYHLAAAEQMLKI
+>DECOY_sp|Q6NSW5|FA45B_HUMAN Putative protein FAM45B OS=Homo sapiens OX=9606 GN=FAM45BP PE=5 SV=1
+IKLMQEAAALHYLFNETAPPFRKQKLAELNLVRKEGDASVESFPALNTFIERTKLAIDQIVQSESKEPDEASQVILQGMEKHLKGMAMAEKALPAITIESEALNVFVDYLDPRNSVELDVFGAVYGTCMQLAELEDANLHVYSHLITWDQRHWVLAPLTRTFEQVAEIKPHYVVIRKKLMLATHLIVTEMGFQSVIDKISGAPYAKRADFDKSLFSGNEESQCIGKTLVAIYSEMMKVPSGHKLYMRCLIRTFAAYKEPNFDKATLVISFHTVKKLISSDPVEIITIYFWTRRYQGFVFPHLLKNEDTLCCKRLLLNRLTATTSPYCWVWLVEGNTDKEILGVGLMLQTDALEAAAM
+>sp|Q9H0Q0|FA49A_HUMAN Protein FAM49A OS=Homo sapiens OX=9606 GN=FAM49A PE=2 SV=1
+MGNLLKVLTREIENYPHFFLDFENAQPTEGEREIWNQISAVLQDSESILADLQAYKGAGPEIRDAIQNPNDIQLQEKAWNAVCPLVVRLKRFYEFSIRLEKALQSLLESLTCPPYTPTQHLEREQALAKEFAEILHFTLRFDELKMRNPAIQNDFSYYRRTISRNRINNMHLDIENEVNNEMANRMSLFYAEATPMLKTLSNATMHFVSENKTLPIENTTDCLSTMTSVCKVMLETPEYRSRFTSEETLMFCMRVMVGVIILYDHVHPVGAFCKTSKIDMKGCIKVLKEQAPDSVEGLLNALRFTTKHLNDESTSKQIRAMLQ
+>DECOY_sp|Q9H0Q0|FA49A_HUMAN Protein FAM49A OS=Homo sapiens OX=9606 GN=FAM49A PE=2 SV=1
+QLMARIQKSTSEDNLHKTTFRLANLLGEVSDPAQEKLVKICGKMDIKSTKCFAGVPHVHDYLIIVGVMVRMCFMLTEESTFRSRYEPTELMVKCVSTMTSLCDTTNEIPLTKNESVFHMTANSLTKLMPTAEAYFLSMRNAMENNVENEIDLHMNNIRNRSITRRYYSFDNQIAPNRMKLEDFRLTFHLIEAFEKALAQERELHQTPTYPPCTLSELLSQLAKELRISFEYFRKLRVVLPCVANWAKEQLQIDNPNQIADRIEPGAGKYAQLDALISESDQLVASIQNWIEREGETPQANEFDLFFHPYNEIERTLVKLLNGM
+>sp|Q14320|FA50A_HUMAN Protein FAM50A OS=Homo sapiens OX=9606 GN=FAM50A PE=1 SV=2
+MAQYKGAASEAGRAMHLMKKREKQREQMEQMKQRIAEENIMKSNIDKKFSAHYDAVEAELKSSTVGLVTLNDMKAKQEALVKEREKQLAKKEQSKELQMKLEKLREKERKKEAKRKISSLSFTLEEEEEGGEEEEEAAMYEEEMEREEITTKKRKLGKNPDVDTSFLPDRDREEEENRLREELRQEWEAKQEKIKSEEIEITFSYWDGSGHRRTVKMRKGNTMQQFLQKALEILRKDFSELRSAGVEQLMYIKEDLIIPHHHSFYDFIVTKARGKSGPLFNFDVHDDVRLLSDATVEKDESHAGKVVLRSWYEKNKHIFPASRWEPYDPEKKWDKYTIR
+>DECOY_sp|Q14320|FA50A_HUMAN Protein FAM50A OS=Homo sapiens OX=9606 GN=FAM50A PE=1 SV=2
+RITYKDWKKEPDYPEWRSAPFIHKNKEYWSRLVVKGAHSEDKEVTADSLLRVDDHVDFNFLPGSKGRAKTVIFDYFSHHHPIILDEKIYMLQEVGASRLESFDKRLIELAKQLFQQMTNGKRMKVTRRHGSGDWYSFTIEIEESKIKEQKAEWEQRLEERLRNEEEERDRDPLFSTDVDPNKGLKRKKTTIEEREMEEEYMAAEEEEEGGEEEEELTFSLSSIKRKAEKKREKERLKELKMQLEKSQEKKALQKEREKVLAEQKAKMDNLTVLGVTSSKLEAEVADYHASFKKDINSKMINEEAIRQKMQEMQERQKERKKMLHMARGAESAAGKYQAM
+>sp|Q9NYF3|FA53C_HUMAN Protein FAM53C OS=Homo sapiens OX=9606 GN=FAM53C PE=1 SV=1
+MITLITEQLQKQTLDELKCTRFSISLPLPDHADISNCGNSFQLVSEGASWRGLPHCSCAEFQDSLNFSYHPSGLSLHLRPPSRGNSPKEQPFSQVLRPEPPDPEKLPVPPAPPSKRHCRSLSVPVDLSRWQPVWRPAPSKLWTPIKHRGSGGGGGPQVPHQSPPKRVSSLRFLQAPSASSQCAPAHRPYSPPFFSLALAQDSSRPCAASPQSGSWESDAESLSPCPPQRRFSLSPSLGPQASRFLPSARSSPASSPELPWRPRGLRNLPRSRSQPCDLDARKTGVKRRHEEDPRRLRPSLDFDKMNQKPYSGGLCLQETAREGSSISPPWFMACSPPPLSASCSPTGGSSQVLSESEEEEEGAVRWGRQALSKRTLCQRDFGDLDLNLIEEN
+>DECOY_sp|Q9NYF3|FA53C_HUMAN Protein FAM53C OS=Homo sapiens OX=9606 GN=FAM53C PE=1 SV=1
+NEEILNLDLDGFDRQCLTRKSLAQRGWRVAGEEEEESESLVQSSGGTPSCSASLPPPSCAMFWPPSISSGERATEQLCLGGSYPKQNMKDFDLSPRLRRPDEEHRRKVGTKRADLDCPQSRSRPLNRLGRPRWPLEPSSAPSSRASPLFRSAQPGLSPSLSFRRQPPCPSLSEADSEWSGSQPSAACPRSSDQALALSFFPPSYPRHAPACQSSASPAQLFRLSSVRKPPSQHPVQPGGGGGSGRHKIPTWLKSPAPRWVPQWRSLDVPVSLSRCHRKSPPAPPVPLKEPDPPEPRLVQSFPQEKPSNGRSPPRLHLSLGSPHYSFNLSDQFEACSCHPLGRWSAGESVLQFSNGCNSIDAHDPLPLSISFRTCKLEDLTQKQLQETILTIM
+>sp|Q71RH2|FA57B_HUMAN Protein FAM57B OS=Homo sapiens OX=9606 GN=FAM57B PE=2 SV=1
+MLTPMVAGGVVFPGLFLLSKNTLQRLPQLRWEEADAVIVSARLVSSVQAIMASTAGYIVSTSCKHIIDDQHWLSSAYTQFAVPYFIYDIYAMFLCHWHKHQVKGHGGDDGAARAPGSTWAIARGYLHKEFLMVLHHAAMVLVCFPLSVVWRQGKGDFFLGCMLMAEVSTPFVCLGKILIQYKQQHTLLHKVNGALMLLSFLCCRVLLFPYLYWAYGRHAGLPLLAVPLAIPAHVNLGAALLLAPQLYWFFLICRGACRLFWPRSRPPPACQAQD
+>DECOY_sp|Q71RH2|FA57B_HUMAN Protein FAM57B OS=Homo sapiens OX=9606 GN=FAM57B PE=2 SV=1
+DQAQCAPPPRSRPWFLRCAGRCILFFWYLQPALLLAAGLNVHAPIALPVALLPLGAHRGYAWYLYPFLLVRCCLFSLLMLAGNVKHLLTHQQKYQILIKGLCVFPTSVEAMLMCGLFFDGKGQRWVVSLPFCVLVMAAHHLVMLFEKHLYGRAIAWTSGPARAAGDDGGHGKVQHKHWHCLFMAYIDYIFYPVAFQTYASSLWHQDDIIHKCSTSVIYGATSAMIAQVSSVLRASVIVADAEEWRLQPLRQLTNKSLLFLGPFVVGGAVMPTLM
+>sp|P0C7Q3|FA58B_HUMAN Putative cyclin-related protein FAM58B OS=Homo sapiens OX=9606 GN=FAM58BP PE=5 SV=1
+MEGMEDAGEEAGEDAGEDAREGAAAPAARVHFRVARFIMEAGVKLGMQSIPIATACTIYPKFFCETILDAFDPYLIAMSSIYLAGKVEEQPLWAHDIISVSNRYFNPSSEPLGLDSRLWELRDSIVQRELLMLRVLRFQVSFQHPHKYLLYYLVSLKNWLNCHSWQRTPVAVTAWALLRDSYHGGLCLRFQAQHIAVVVLYLALQVYGVEVPAEVEAEKLWWQAFSDDLTKPIIDTIVSDLIQIYTIDTEIP
+>DECOY_sp|P0C7Q3|FA58B_HUMAN Putative cyclin-related protein FAM58B OS=Homo sapiens OX=9606 GN=FAM58BP PE=5 SV=1
+PIETDITYIQILDSVITDIIPKTLDDSFAQWWLKEAEVEAPVEVGYVQLALYLVVVAIHQAQFRLCLGGHYSDRLLAWATVAVPTRQWSHCNLWNKLSVLYYLLYKHPHQFSVQFRLVRLMLLERQVISDRLEWLRSDLGLPESSPNFYRNSVSIIDHAWLPQEEVKGALYISSMAILYPDFADLITECFFKPYITCATAIPISQMGLKVGAEMIFRAVRFHVRAAPAAAGERADEGADEGAEEGADEMGEM
+>sp|P12259|FA5_HUMAN Coagulation factor V OS=Homo sapiens OX=9606 GN=F5 PE=1 SV=4
+MFPGCPRLWVLVVLGTSWVGWGSQGTEAAQLRQFYVAAQGISWSYRPEPTNSSLNLSVTSFKKIVYREYEPYFKKEKPQSTISGLLGPTLYAEVGDIIKVHFKNKADKPLSIHPQGIRYSKLSEGASYLDHTFPAEKMDDAVAPGREYTYEWSISEDSGPTHDDPPCLTHIYYSHENLIEDFNSGLIGPLLICKKGTLTEGGTQKTFDKQIVLLFAVFDESKSWSQSSSLMYTVNGYVNGTMPDITVCAHDHISWHLLGMSSGPELFSIHFNGQVLEQNHHKVSAITLVSATSTTANMTVGPEGKWIISSLTPKHLQAGMQAYIDIKNCPKKTRNLKKITREQRRHMKRWEYFIAAEEVIWDYAPVIPANMDKKYRSQHLDNFSNQIGKHYKKVMYTQYEDESFTKHTVNPNMKEDGILGPIIRAQVRDTLKIVFKNMASRPYSIYPHGVTFSPYEDEVNSSFTSGRNNTMIRAVQPGETYTYKWNILEFDEPTENDAQCLTRPYYSDVDIMRDIASGLIGLLLICKSRSLDRRGIQRAADIEQQAVFAVFDENKSWYLEDNINKFCENPDEVKRDDPKFYESNIMSTINGYVPESITTLGFCFDDTVQWHFCSVGTQNEILTIHFTGHSFIYGKRHEDTLTLFPMRGESVTVTMDNVGTWMLTSMNSSPRSKKLRLKFRDVKCIPDDDEDSYEIFEPPESTVMATRKMHDRLEPEDEESDADYDYQNRLAAALGIRSFRNSSLNQEEEEFNLTALALENGTEFVSSNTDIIVGSNYSSPSNISKFTVNNLAEPQKAPSHQQATTAGSPLRHLIGKNSVLNSSTAEHSSPYSEDPIEDPLQPDVTGIRLLSLGAGEFKSQEHAKHKGPKVERDQAAKHRFSWMKLLAHKVGRHLSQDTGSPSGMRPWEDLPSQDTGSPSRMRPWKDPPSDLLLLKQSNSSKILVGRWHLASEKGSYEIIQDTDEDTAVNNWLISPQNASRAWGESTPLANKPGKQSGHPKFPRVRHKSLQVRQDGGKSRLKKSQFLIKTRKKKKEKHTHHAPLSPRTFHPLRSEAYNTFSERRLKHSLVLHKSNETSLPTDLNQTLPSMDFGWIASLPDHNQNSSNDTGQASCPPGLYQTVPPEEHYQTFPIQDPDQMHSTSDPSHRSSSPELSEMLEYDRSHKSFPTDISQMSPSSEHEVWQTVISPDLSQVTLSPELSQTNLSPDLSHTTLSPELIQRNLSPALGQMPISPDLSHTTLSPDLSHTTLSLDLSQTNLSPELSQTNLSPALGQMPLSPDLSHTTLSLDFSQTNLSPELSHMTLSPELSQTNLSPALGQMPISPDLSHTTLSLDFSQTNLSPELSQTNLSPALGQMPLSPDPSHTTLSLDLSQTNLSPELSQTNLSPDLSEMPLFADLSQIPLTPDLDQMTLSPDLGETDLSPNFGQMSLSPDLSQVTLSPDISDTTLLPDLSQISPPPDLDQIFYPSESSQSLLLQEFNESFPYPDLGQMPSPSSPTLNDTFLSKEFNPLVIVGLSKDGTDYIEIIPKEEVQSSEDDYAEIDYVPYDDPYKTDVRTNINSSRDPDNIAAWYLRSNNGNRRNYYIAAEEISWDYSEFVQRETDIEDSDDIPEDTTYKKVVFRKYLDSTFTKRDPRGEYEEHLGILGPIIRAEVDDVIQVRFKNLASRPYSLHAHGLSYEKSSEGKTYEDDSPEWFKEDNAVQPNSSYTYVWHATERSGPESPGSACRAWAYYSAVNPEKDIHSGLIGPLLICQKGILHKDSNMPMDMREFVLLFMTFDEKKSWYYEKKSRSSWRLTSSEMKKSHEFHAINGMIYSLPGLKMYEQEWVRLHLLNIGGSQDIHVVHFHGQTLLENGNKQHQLGVWPLLPGSFKTLEMKASKPGWWLLNTEVGENQRAGMQTPFLIMDRDCRMPMGLSTGIISDSQIKASEFLGYWEPRLARLNNGGSYNAWSVEKLAAEFASKPWIQVDMQKEVIITGIQTQGAKHYLKSCYTTEFYVAYSSNQINWQIFKGNSTRNVMYFNGNSDASTIKENQFDPPIVARYIRISPTRAYNRPTLRLELQGCEVNGCSTPLGMENGKIENKQITASSFKKSWWGDYWEPFRARLNAQGRVNAWQAKANNNKQWLEIDLLKIKKITAIITQGCKSLSSEMYVKSYTIHYSEQGVEWKPYRLKSSMVDKIFEGNTNTKGHVKNFFNPPIISRFIRVIPKTWNQSIALRLELFGCDIY
+>DECOY_sp|P12259|FA5_HUMAN Coagulation factor V OS=Homo sapiens OX=9606 GN=F5 PE=1 SV=4
+YIDCGFLELRLAISQNWTKPIVRIFRSIIPPNFFNKVHGKTNTNGEFIKDVMSSKLRYPKWEVGQESYHITYSKVYMESSLSKCGQTIIATIKKIKLLDIELWQKNNNAKAQWANVRGQANLRARFPEWYDGWWSKKFSSATIQKNEIKGNEMGLPTSCGNVECGQLELRLTPRNYARTPSIRIYRAVIPPDFQNEKITSADSNGNFYMVNRTSNGKFIQWNIQNSSYAVYFETTYCSKLYHKAGQTQIGTIIVEKQMDVQIWPKSAFEAALKEVSWANYSGGNNLRALRPEWYGLFESAKIQSDSIIGTSLGMPMRCDRDMILFPTQMGARQNEGVETNLLWWGPKSAKMELTKFSGPLLPWVGLQHQKNGNELLTQGHFHVVHIDQSGGINLLHLRVWEQEYMKLGPLSYIMGNIAHFEHSKKMESSTLRWSSRSKKEYYWSKKEDFTMFLLVFERMDMPMNSDKHLIGKQCILLPGILGSHIDKEPNVASYYAWARCASGPSEPGSRETAHWVYTYSSNPQVANDEKFWEPSDDEYTKGESSKEYSLGHAHLSYPRSALNKFRVQIVDDVEARIIPGLIGLHEEYEGRPDRKTFTSDLYKRFVVKKYTTDEPIDDSDEIDTERQVFESYDWSIEEAAIYYNRRNGNNSRLYWAAINDPDRSSNINTRVDTKYPDDYPVYDIEAYDDESSQVEEKPIIEIYDTGDKSLGVIVLPNFEKSLFTDNLTPSSPSPMQGLDPYPFSENFEQLLLSQSSESPYFIQDLDPPPSIQSLDPLLTTDSIDPSLTVQSLDPSLSMQGFNPSLDTEGLDPSLTMQDLDPTLPIQSLDAFLPMESLDPSLNTQSLEPSLNTQSLDLSLTTHSPDPSLPMQGLAPSLNTQSLEPSLNTQSFDLSLTTHSLDPSIPMQGLAPSLNTQSLEPSLTMHSLEPSLNTQSFDLSLTTHSLDPSLPMQGLAPSLNTQSLEPSLNTQSLDLSLTTHSLDPSLTTHSLDPSIPMQGLAPSLNRQILEPSLTTHSLDPSLNTQSLEPSLTVQSLDPSIVTQWVEHESSPSMQSIDTPFSKHSRDYELMESLEPSSSRHSPDSTSHMQDPDQIPFTQYHEEPPVTQYLGPPCSAQGTDNSSNQNHDPLSAIWGFDMSPLTQNLDTPLSTENSKHLVLSHKLRRESFTNYAESRLPHFTRPSLPAHHTHKEKKKKRTKILFQSKKLRSKGGDQRVQLSKHRVRPFKPHGSQKGPKNALPTSEGWARSANQPSILWNNVATDEDTDQIIEYSGKESALHWRGVLIKSSNSQKLLLLDSPPDKWPRMRSPSGTDQSPLDEWPRMGSPSGTDQSLHRGVKHALLKMWSFRHKAAQDREVKPGKHKAHEQSKFEGAGLSLLRIGTVDPQLPDEIPDESYPSSHEATSSNLVSNKGILHRLPSGATTAQQHSPAKQPEALNNVTFKSINSPSSYNSGVIIDTNSSVFETGNELALATLNFEEEEQNLSSNRFSRIGLAAALRNQYDYDADSEEDEPELRDHMKRTAMVTSEPPEFIEYSDEDDDPICKVDRFKLRLKKSRPSSNMSTLMWTGVNDMTVTVSEGRMPFLTLTDEHRKGYIFSHGTFHITLIENQTGVSCFHWQVTDDFCFGLTTISEPVYGNITSMINSEYFKPDDRKVEDPNECFKNINDELYWSKNEDFVAFVAQQEIDAARQIGRRDLSRSKCILLLGILGSAIDRMIDVDSYYPRTLCQADNETPEDFELINWKYTYTEGPQVARIMTNNRGSTFSSNVEDEYPSFTVGHPYISYPRSAMNKFVIKLTDRVQARIIPGLIGDEKMNPNVTHKTFSEDEYQTYMVKKYHKGIQNSFNDLHQSRYKKDMNAPIVPAYDWIVEEAAIFYEWRKMHRRQERTIKKLNRTKKPCNKIDIYAQMGAQLHKPTLSSIIWKGEPGVTMNATTSTASVLTIASVKHHNQELVQGNFHISFLEPGSSMGLLHWSIHDHACVTIDPMTGNVYGNVTYMLSSSQSWSKSEDFVAFLLVIQKDFTKQTGGETLTGKKCILLPGILGSNFDEILNEHSYYIHTLCPPDDHTPGSDESISWEYTYERGPAVADDMKEAPFTHDLYSAGESLKSYRIGQPHISLPKDAKNKFHVKIIDGVEAYLTPGLLGSITSQPKEKKFYPEYERYVIKKFSTVSLNLSSNTPEPRYSWSIGQAAVYFQRLQAAETGQSGWGVWSTGLVVLVWLRPCGPFM
+>sp|Q86X60|FA72B_HUMAN Protein FAM72B OS=Homo sapiens OX=9606 GN=FAM72B PE=2 SV=2
+MSTNICSFKDRCVSILCCKFCKQVLSSRGMKAVLLADTEIDLFSTDIPPTNAVDFTGRCYFTKICKCKLKDIACLKCGNIVGYHVIVPCSSCLPSCNNGHFWMFHSQAVYDINRLDSTGVNILLWGNLPEIEESTDEDVLNISAEECIR
+>DECOY_sp|Q86X60|FA72B_HUMAN Protein FAM72B OS=Homo sapiens OX=9606 GN=FAM72B PE=2 SV=2
+RICEEASINLVDEDTSEEIEPLNGWLLINVGTSDLRNIDYVAQSHFMWFHGNNCSPLCSSCPVIVHYGVINGCKLCAIDKLKCKCIKTFYCRGTFDVANTPPIDTSFLDIETDALLVAKMGRSSLVQKCFKCCLISVCRDKFSCINTSM
+>sp|Q9H5X1|FA96A_HUMAN MIP18 family protein FAM96A OS=Homo sapiens OX=9606 GN=FAM96A PE=1 SV=1
+MQRVSGLLSWTLSRVLWLSGLSEPGAARQPRIMEEKALEVYDLIRTIRDPEKPNTLEELEVVSESCVEVQEINEEEYLVIIRFTPTVPHCSLATLIGLCLRVKLQRCLPFKHKLEIYISEGTHSTEEDINKQINDKERVAAAMENPNLREIVEQCVLEPD
+>DECOY_sp|Q9H5X1|FA96A_HUMAN MIP18 family protein FAM96A OS=Homo sapiens OX=9606 GN=FAM96A PE=1 SV=1
+DPELVCQEVIERLNPNEMAAAVREKDNIQKNIDEETSHTGESIYIELKHKFPLCRQLKVRLCLGILTALSCHPVTPTFRIIVLYEEENIEQVEVCSESVVELEELTNPKEPDRITRILDYVELAKEEMIRPQRAAGPESLGSLWLVRSLTWSLLGSVRQM
+>sp|Q52LJ0|FA98B_HUMAN Protein FAM98B OS=Homo sapiens OX=9606 GN=FAM98B PE=1 SV=1
+MRGPEPGPQPTMEGDVLDTLEALGYKGPLLEEQALTKAAEGGLSSPEFSELCIWLGSQIKSLCNLEESITSAGRDDLESFQLEISGFLKEMACPYSVLISGDIKDRLKKKEDCLKLLLFLSTELQASQILQNKKHKNSQLDKNSEVYQEVQAMFDTLGIPKSTTSDIPHMLNQVESKVKDILSKVQKNHVGKPLLKMDLNSEQAEQLERINDALSCEYECRRRMLMKRLDVTVQSFGWSDRAKVKTDDIARIYQPKRYALSPKTTITMAHLLAAREDLSKIIRTSSGTSREKTACAINKVGVSFSTVENELMISYLMFLQILVYFSFMSW
+>DECOY_sp|Q52LJ0|FA98B_HUMAN Protein FAM98B OS=Homo sapiens OX=9606 GN=FAM98B PE=1 SV=1
+WSMFSFYVLIQLFMLYSIMLENEVTSFSVGVKNIACATKERSTGSSTRIIKSLDERAALLHAMTITTKPSLAYRKPQYIRAIDDTKVKARDSWGFSQVTVDLRKMLMRRRCEYECSLADNIRELQEAQESNLDMKLLPKGVHNKQVKSLIDKVKSEVQNLMHPIDSTTSKPIGLTDFMAQVEQYVESNKDLQSNKHKKNQLIQSAQLETSLFLLLKLCDEKKKLRDKIDGSILVSYPCAMEKLFGSIELQFSELDDRGASTISEELNCLSKIQSGLWICLESFEPSSLGGEAAKTLAQEELLPGKYGLAELTDLVDGEMTPQPGPEPGRM
+>sp|Q6GMR7|FAAH2_HUMAN Fatty-acid amide hydrolase 2 OS=Homo sapiens OX=9606 GN=FAAH2 PE=2 SV=1
+MAPSFTARIQLFLLRALGFLIGLVGRAALVLGGPKFASKTPRPVTEPLLLLSGMQLAKLIRQRKVKCIDVVQAYINRIKDVNPMINGIVKYRFEEAMKEAHAVDQKLAEKQEDEATLENKWPFLGVPLTVKEAFQLQGMPNSSGLMNRRDAIAKTDATVVALLKGAGAIPLGITNCSELCMWYESSNKIYGRSNNPYDLQHIVGGSSGGEGCTLAAACSVIGVGSDIGGSIRMPAFFNGIFGHKPSPGVVPNKGQFPLAVGAQELFLCTGPMCRYAEDLAPMLKVMAGPGIKRLKLDTKVHLKDLKFYWMEHDGGSFLMSKVDQDLIMTQKKVVVHLETILGASVQHVKLKKMKYSFQLWIAMMSAKGHDGKEPVKFVDLLGDHGKHVSPLWELIKWCLGLSVYTIPSIGLALLEEKLRYSNEKYQKFKAVEESLRKELVDMLGDDGVFLYPSHPTVAPKHHVPLTRPFNFAYTGVFSALGLPVTQCPLGLNAKGLPLGIQVVAGPFNDHLTLAVAQYLEKTFGGWVCPGKF
+>DECOY_sp|Q6GMR7|FAAH2_HUMAN Fatty-acid amide hydrolase 2 OS=Homo sapiens OX=9606 GN=FAAH2 PE=2 SV=1
+FKGPCVWGGFTKELYQAVALTLHDNFPGAVVQIGLPLGKANLGLPCQTVPLGLASFVGTYAFNFPRTLPVHHKPAVTPHSPYLFVGDDGLMDVLEKRLSEEVAKFKQYKENSYRLKEELLALGISPITYVSLGLCWKILEWLPSVHKGHDGLLDVFKVPEKGDHGKASMMAIWLQFSYKMKKLKVHQVSAGLITELHVVVKKQTMILDQDVKSMLFSGGDHEMWYFKLDKLHVKTDLKLRKIGPGAMVKLMPALDEAYRCMPGTCLFLEQAGVALPFQGKNPVVGPSPKHGFIGNFFAPMRISGGIDSGVGIVSCAAALTCGEGGSSGGVIHQLDYPNNSRGYIKNSSEYWMCLESCNTIGLPIAGAGKLLAVVTADTKAIADRRNMLGSSNPMGQLQFAEKVTLPVGLFPWKNELTAEDEQKEALKQDVAHAEKMAEEFRYKVIGNIMPNVDKIRNIYAQVVDICKVKRQRILKALQMGSLLLLPETVPRPTKSAFKPGGLVLAARGVLGILFGLARLLFLQIRATFSPAM
+>sp|Q0Z7S8|FABP9_HUMAN Fatty acid-binding protein 9 OS=Homo sapiens OX=9606 GN=FABP9 PE=1 SV=1
+MVEPFLGTWKLVSSENFEDYMKELGVNFAARNMAGLVKPTVTISVDGKMMTIRTESSFQDTKISFKLGEEFDETTADNRKVKSTITLENGSMIHVQKWLGKETTIKRKIVDEKMVVECKMNNIVSTRIYEKV
+>DECOY_sp|Q0Z7S8|FABP9_HUMAN Fatty acid-binding protein 9 OS=Homo sapiens OX=9606 GN=FABP9 PE=1 SV=1
+VKEYIRTSVINNMKCEVVMKEDVIKRKITTEKGLWKQVHIMSGNELTITSKVKRNDATTEDFEEGLKFSIKTDQFSSETRITMMKGDVSITVTPKVLGAMNRAAFNVGLEKMYDEFNESSVLKWTGLFPEVM
+>sp|P05413|FABPH_HUMAN Fatty acid-binding protein, heart OS=Homo sapiens OX=9606 GN=FABP3 PE=1 SV=4
+MVDAFLGTWKLVDSKNFDDYMKSLGVGFATRQVASMTKPTTIIEKNGDILTLKTHSTFKNTEISFKLGVEFDETTADDRKVKSIVTLDGGKLVHLQKWDGQETTLVRELIDGKLILTLTHGTAVCTRTYEKEA
+>DECOY_sp|P05413|FABPH_HUMAN Fatty acid-binding protein, heart OS=Homo sapiens OX=9606 GN=FABP3 PE=1 SV=4
+AEKEYTRTCVATGHTLTLILKGDILERVLTTEQGDWKQLHVLKGGDLTVISKVKRDDATTEDFEVGLKFSIETNKFTSHTKLTLIDGNKEIITTPKTMSAVQRTAFGVGLSKMYDDFNKSDVLKWTGLFADVM
+>sp|Q96PS1|FACOS_HUMAN FANCD2 opposite strand protein OS=Homo sapiens OX=9606 GN=FANCD2OS PE=2 SV=1
+MAGYQLWSPWTPLDESFQWLRHTTPTPSSKHPFKASPCFPHTPSDLEVQLCFQEVTLVLDSPFLESGVSPKLPCHTSELRTMNNKGLVRKPQPIRLSGVDSVFGRVITAQPPKWTGTFRVSDKSAFCKIISREHQWPIGLKEPQIQMTVTMCKQMLRSILLLYATYKKCTFALQHSK
+>DECOY_sp|Q96PS1|FACOS_HUMAN FANCD2 opposite strand protein OS=Homo sapiens OX=9606 GN=FANCD2OS PE=2 SV=1
+KSHQLAFTCKKYTAYLLLISRLMQKCMTVTMQIQPEKLGIPWQHERSIIKCFASKDSVRFTGTWKPPQATIVRGFVSDVGSLRIPQPKRVLGKNNMTRLESTHCPLKPSVGSELFPSDLVLTVEQFCLQVELDSPTHPFCPSAKFPHKSSPTPTTHRLWQFSEDLPTWPSWLQYGAM
+>sp|Q9Y5Q0|FADS3_HUMAN Fatty acid desaturase 3 OS=Homo sapiens OX=9606 GN=FADS3 PE=2 SV=1
+MGGVGEPGPREGPAQPGAPLPTFCWEQIRAHDQPGDKWLVIERRVYDISRWAQRHPGGSRLIGHHGAEDATDAFRAFHQDLNFVRKFLQPLLIGELAPEEPSQDGPLNAQLVEDFRALHQAAEDMKLFDASPTFFAFLLGHILAMEVLAWLLIYLLGPGWVPSALAAFILAISQAQSWCLQHDLGHASIFKKSWWNHVAQKFVMGQLKGFSAHWWNFRHFQHHAKPNIFHKDPDVTVAPVFLLGESSVEYGKKKRRYLPYNQQHLYFFLIGPPLLTLVNFEVENLAYMLVCMQWADLLWAASFYARFFLSYLPFYGVPGVLLFFVAVRVLESHWFVWITQMNHIPKEIGHEKHRDWVSSQLAATCNVEPSLFTNWFSGHLNFQIEHHLFPRMPRHNYSRVAPLVKSLCAKHGLSYEVKPFLTALVDIVRSLKKSGDIWLDAYLHQ
+>DECOY_sp|Q9Y5Q0|FADS3_HUMAN Fatty acid desaturase 3 OS=Homo sapiens OX=9606 GN=FADS3 PE=2 SV=1
+QHLYADLWIDGSKKLSRVIDVLATLFPKVEYSLGHKACLSKVLPAVRSYNHRPMRPFLHHEIQFNLHGSFWNTFLSPEVNCTAALQSSVWDRHKEHGIEKPIHNMQTIWVFWHSELVRVAVFFLLVGPVGYFPLYSLFFRAYFSAAWLLDAWQMCVLMYALNEVEFNVLTLLPPGILFFYLHQQNYPLYRRKKKGYEVSSEGLLFVPAVTVDPDKHFINPKAHHQFHRFNWWHASFGKLQGMVFKQAVHNWWSKKFISAHGLDHQLCWSQAQSIALIFAALASPVWGPGLLYILLWALVEMALIHGLLFAFFTPSADFLKMDEAAQHLARFDEVLQANLPGDQSPEEPALEGILLPQLFKRVFNLDQHFARFADTADEAGHHGILRSGGPHRQAWRSIDYVRREIVLWKDGPQDHARIQEWCFTPLPAGPQAPGERPGPEGVGGM
+>sp|Q96CS3|FAF2_HUMAN FAS-associated factor 2 OS=Homo sapiens OX=9606 GN=FAF2 PE=1 SV=2
+MAAPEERDLTQEQTEKLLQFQDLTGIESMDQCRHTLEQHNWNIEAAVQDRLNEQEGVPSVFNPPPSRPLQVNTADHRIYSYVVSRPQPRGLLGWGYYLIMLPFRFTYYTILDIFRFALRFIRPDPRSRVTDPVGDIVSFMHSFEEKYGRAHPVFYQGTYSQALNDAKRELRFLLVYLHGDDHQDSDEFCRNTLCAPEVISLINTRMLFWACSTNKPEGYRVSQALRENTYPFLAMIMLKDRRMTVVGRLEGLIQPDDLINQLTFIMDANQTYLVSERLEREERNQTQVLRQQQDEAYLASLRADQEKERKKREERERKRRKEEEVQQQKLAEERRRQNLQEEKERKLECLPPEPSPDDPESVKIIFKLPNDSRVERRFHFSQSLTVIHDFLFSLKESPEKFQIEANFPRRVLPCIPSEEWPNPPTLQEAGLSHTEVLFVQDLTDE
+>DECOY_sp|Q96CS3|FAF2_HUMAN FAS-associated factor 2 OS=Homo sapiens OX=9606 GN=FAF2 PE=1 SV=2
+EDTLDQVFLVETHSLGAEQLTPPNPWEESPICPLVRRPFNAEIQFKEPSEKLSFLFDHIVTLSQSFHFRREVRSDNPLKFIIKVSEPDDPSPEPPLCELKREKEEQLNQRRREEALKQQQVEEEKRRKREREERKKREKEQDARLSALYAEDQQQRLVQTQNREERELRESVLYTQNADMIFTLQNILDDPQILGELRGVVTMRRDKLMIMALFPYTNERLAQSVRYGEPKNTSCAWFLMRTNILSIVEPACLTNRCFEDSDQHDDGHLYVLLFRLERKADNLAQSYTGQYFVPHARGYKEEFSHMFSVIDGVPDTVRSRPDPRIFRLAFRFIDLITYYTFRFPLMILYYGWGLLGRPQPRSVVYSYIRHDATNVQLPRSPPPNFVSPVGEQENLRDQVAAEINWNHQELTHRCQDMSEIGTLDQFQLLKETQEQTLDREEPAAM
+>sp|Q14CZ7|FAKD3_HUMAN FAST kinase domain-containing protein 3, mitochondrial OS=Homo sapiens OX=9606 GN=FASTKD3 PE=1 SV=2
+MALITLRKNLYRLSDFQMHRALAALKNKPLNHVHKVVKERLCPWLCSRQPEPFGVKFHHAHCKKFHSKNGNDLHPLGGPVFSQVSDCDRLEQNVKNEESQMFYRRLSNLTSSEEVLSFISTMETLPDTMAAGALQRICEVEKKDGDQGLPKEILENSIFQALCFQFEKEPSQLSNTSLVTALQALILLHVDPQSSLLLNLVAECQNRLRKGGMEVRNLCILGESLITLHSSGCVTLELIINQLQGEKLETFTPEDIVALYRILQACTEKVDEHQTFLNKINNFSLSIVSNLSPKLISQMLTALVVLDQSQAFPLIIKLGKYVVRHVPHFTNEELRRVLEAFIYFGHHDTFFTKALEHRVAAVCLTLDPEVVCRVMEYCSRELILSKPILNAVAETFVCQTEKFSPRQISALMEPFGKLNYLPPNASALFRKLENVLFTHFNYFPPKSLLKLLHSCSLNECHPVNFLAKIFKPLFLQRLQGKESHLDTLSRAQLTQLFLASVLECPFYKGPKLLPKYQVKSFLTPCCSLETPVDSQLYRYVKIGLTNLLGARLYFAPKVLTPYCYTIDVEIKLDEEGFVLPSTANEDIHKRIALCIDGPKRFCSNSKHLLGKEAIKQRHLQLLGYQVVQIPYHEIGMLKSRRELVEYLQRKLFSQNTVHWLQE
+>DECOY_sp|Q14CZ7|FAKD3_HUMAN FAST kinase domain-containing protein 3, mitochondrial OS=Homo sapiens OX=9606 GN=FASTKD3 PE=1 SV=2
+EQLWHVTNQSFLKRQLYEVLERRSKLMGIEHYPIQVVQYGLLQLHRQKIAEKGLLHKSNSCFRKPGDICLAIRKHIDENATSPLVFGEEDLKIEVDITYCYPTLVKPAFYLRAGLLNTLGIKVYRYLQSDVPTELSCCPTLFSKVQYKPLLKPGKYFPCELVSALFLQTLQARSLTDLHSEKGQLRQLFLPKFIKALFNVPHCENLSCSHLLKLLSKPPFYNFHTFLVNELKRFLASANPPLYNLKGFPEMLASIQRPSFKETQCVFTEAVANLIPKSLILERSCYEMVRCVVEPDLTLCVAAVRHELAKTFFTDHHGFYIFAELVRRLEENTFHPVHRVVYKGLKIILPFAQSQDLVVLATLMQSILKPSLNSVISLSFNNIKNLFTQHEDVKETCAQLIRYLAVIDEPTFTELKEGQLQNIILELTVCGSSHLTILSEGLICLNRVEMGGKRLRNQCEAVLNLLLSSQPDVHLLILAQLATVLSTNSLQSPEKEFQFCLAQFISNELIEKPLGQDGDKKEVECIRQLAGAAMTDPLTEMTSIFSLVEESSTLNSLRRYFMQSEENKVNQELRDCDSVQSFVPGGLPHLDNGNKSHFKKCHAHHFKVGFPEPQRSCLWPCLREKVVKHVHNLPKNKLAALARHMQFDSLRYLNKRLTILAM
+>sp|Q969Z0|FAKD4_HUMAN FAST kinase domain-containing protein 4 OS=Homo sapiens OX=9606 GN=TBRG4 PE=1 SV=1
+MAAHLVKRCTCLLREAARQAPAMAPVGRLRLAWVAHKTLTSSATSPISHLPGSLMEPVEKERASTPYIEKQVDHLIKKATRPEELLELLGGSHDLDSNQAAMVLIRLSHLLSEKPEDKGLLIQDAHFHQLLCLLNSQIASVWHGTLSKLLGSLYALGIPKASKELQSVEQEVRWRMRKLKYKHLAFLAESCATLSQEQHSQELLAELLTHLERRWTEIEDSHTLVTVMMKVGHLSEPLMNRLEDKCLELVEHFGPNELRKVLVMLAAQSRRSVPLLRAISYHLVQKPFSLTKDVLLDVAYAYGKLSFHQTQVSQRLATDLLSLMPSLTSGEVAHCAKSFALLKWLSLPLFEAFAQHVLNRAQDITLPHLCSVLLAFARLNFHPDQEDQFFSLVHEKLGSELPGLEPALQVDLVWALCVLQQAREAELQAVLHPEFHIQFLGGKSQKDQNTFQKLLHINATALLEYPEYSGPLLPASAVAPGPSALDRKVTPLQKELQETLKGLLGSADKGSLEVATQYGWVLDAEVLLDSDGEFLPVRDFVAPHLAQPTGSQSPPPGSKRLAFLRWEFPNFNSRSKDLLGRFVLARRHIVAAGFLIVDVPFYEWLELKSEWQKGAYLKDKMRKAVAEELAK
+>DECOY_sp|Q969Z0|FAKD4_HUMAN FAST kinase domain-containing protein 4 OS=Homo sapiens OX=9606 GN=TBRG4 PE=1 SV=1
+KALEEAVAKRMKDKLYAGKQWESKLELWEYFPVDVILFGAAVIHRRALVFRGLLDKSRSNFNPFEWRLFALRKSGPPPSQSGTPQALHPAVFDRVPLFEGDSDLLVEADLVWGYQTAVELSGKDASGLLGKLTEQLEKQLPTVKRDLASPGPAVASAPLLPGSYEPYELLATANIHLLKQFTNQDKQSKGGLFQIHFEPHLVAQLEAERAQQLVCLAWVLDVQLAPELGPLESGLKEHVLSFFQDEQDPHFNLRAFALLVSCLHPLTIDQARNLVHQAFAEFLPLSLWKLLAFSKACHAVEGSTLSPMLSLLDTALRQSVQTQHFSLKGYAYAVDLLVDKTLSFPKQVLHYSIARLLPVSRRSQAALMVLVKRLENPGFHEVLELCKDELRNMLPESLHGVKMMVTVLTHSDEIETWRRELHTLLEALLEQSHQEQSLTACSEALFALHKYKLKRMRWRVEQEVSQLEKSAKPIGLAYLSGLLKSLTGHWVSAIQSNLLCLLQHFHADQILLGKDEPKESLLHSLRILVMAAQNSDLDHSGGLLELLEEPRTAKKILHDVQKEIYPTSAREKEVPEMLSGPLHSIPSTASSTLTKHAVWALRLRGVPAMAPAQRAAERLLCTCRKVLHAAM
+>sp|P98173|FAM3A_HUMAN Protein FAM3A OS=Homo sapiens OX=9606 GN=FAM3A PE=1 SV=2
+MRLAGPLRIVVLVVSVGVTWIVVSILLGGPGSGFPRIQQLFTSPESSVTAAPRARKYKCGLPQPCPEEHLAFRVVSGAANVIGPKICLEDKMLMSSVKDNVGRGLNIALVNGVSGELIEARAFDMWAGDVNDLLKFIRPLHEGTLVFVASYDDPATKMNEETRKLFSELGSRNAKELAFRDSWVFVGAKGVQNKSPFEQHVKNSKHSNKYEGWPEALEMEGCIPRRSTAS
+>DECOY_sp|P98173|FAM3A_HUMAN Protein FAM3A OS=Homo sapiens OX=9606 GN=FAM3A PE=1 SV=2
+SATSRRPICGEMELAEPWGEYKNSHKSNKVHQEFPSKNQVGKAGVFVWSDRFALEKANRSGLESFLKRTEENMKTAPDDYSAVFVLTGEHLPRIFKLLDNVDGAWMDFARAEILEGSVGNVLAINLGRGVNDKVSSMLMKDELCIKPGIVNAAGSVVRFALHEEPCPQPLGCKYKRARPAATVSSEPSTFLQQIRPFGSGPGGLLISVVIWTVGVSVVLVVIRLPGALRM
+>sp|O15360|FANCA_HUMAN Fanconi anemia group A protein OS=Homo sapiens OX=9606 GN=FANCA PE=1 SV=2
+MSDSWVPNSASGQDPGGRRRAWAELLAGRVKREKYNPERAQKLKESAVRLLRSHQDLNALLLEVEGPLCKKLSLSKVIDCDSSEAYANHSSSFIGSALQDQASRLGVPVGILSAGMVASSVGQICTAPAETSHPVLLTVEQRKKLSSLLEFAQYLLAHSMFSRLSFCQELWKIQSSLLLEAVWHLHVQGIVSLQELLESHPDMHAVGSWLFRNLCCLCEQMEASCQHADVARAMLSDFVQMFVLRGFQKNSDLRRTVEPEKMPQVTVDVLQRMLIFALDALAAGVQEESSTHKIVRCWFGVFSGHTLGSVISTDPLKRFFSHTLTQILTHSPVLKASDAVQMQREWSFARTHPLLTSLYRRLFVMLSAEELVGHLQEVLETQEVHWQRVLSFVSALVVCFPEAQQLLEDWVARLMAQAFESCQLDSMVTAFLVVRQAALEGPSAFLSYADWFKASFGSTRGYHGCSKKALVFLFTFLSELVPFESPRYLQVHILHPPLVPGKYRSLLTDYISLAKTRLADLKVSIENMGLYEDLSSAGDITEPHSQALQDVEKAIMVFEHTGNIPVTVMEASIFRRPYYVSHFLPALLTPRVLPKVPDSRVAFIESLKRADKIPPSLYSTYCQACSAAEEKPEDAALGVRAEPNSAEEPLGQLTAALGELRASMTDPSQRDVISAQVAVISERLRAVLGHNEDDSSVEISKIQLSINTPRLEPREHMAVDLLLTSFCQNLMAASSVAPPERQGPWAALFVRTMCGRVLPAVLTRLCQLLRHQGPSLSAPHVLGLAALAVHLGESRSALPEVDVGPPAPGAGLPVPALFDSLLTCRTRDSLFFCLKFCTAAISYSLCKFSSQSRDTLCSCLSPGLIKKFQFLMFRLFSEARQPLSEEDVASLSWRPLHLPSADWQRAALSLWTHRTFREVLKEEDVHLTYQDWLHLELEIQPEADALSDTERQDFHQWAIHEHFLPESSASGGCDGDLQAACTILVNALMDFHQSSRSYDHSENSDLVFGGRTGNEDIISRLQEMVADLELQQDLIVPLGHTPSQEHFLFEIFRRRLQALTSGWSVAASLQRQRELLMYKRILLRLPSSVLCGSSFQAEQPITARCEQFFHLVNSEMRNFCSHGGALTQDITAHFFRGLLNACLRSRDPSLMVDFILAKCQTKCPLILTSALVWWPSLEPVLLCRWRRHCQSPLPRELQKLQEGRQFASDFLSPEAASPAPNPDWLSAAALHFAIQQVREENIRKQLKKLDCEREELLVFLFFFSLMGLLSSHLTSNSTTDLPKAFHVCAAILECLEKRKISWLALFQLTESDLRLGRLLLRVAPDQHTRLLPFAFYSLLSYFHEDAAIREEAFLHVAVDMYLKLVQLFVAGDTSTVSPPAGRSLELKGQGNPVELITKARLFLLQLIPRCPKKSFSHVAELLADRGDCDPEVSAALQSRQQAAPDADLSQEPHLF
+>DECOY_sp|O15360|FANCA_HUMAN Fanconi anemia group A protein OS=Homo sapiens OX=9606 GN=FANCA PE=1 SV=2
+FLHPEQSLDADPAAQQRSQLAASVEPDCDGRDALLEAVHSFSKKPCRPILQLLFLRAKTILEVPNGQGKLELSRGAPPSVTSTDGAVFLQVLKLYMDVAVHLFAEERIAADEHFYSLLSYFAFPLLRTHQDPAVRLLLRGLRLDSETLQFLALWSIKRKELCELIAACVHFAKPLDTTSNSTLHSSLLGMLSFFFLFVLLEERECDLKKLQKRINEERVQQIAFHLAAASLWDPNPAPSAAEPSLFDSAFQRGEQLKQLERPLPSQCHRRWRCLLVPELSPWWVLASTLILPCKTQCKALIFDVMLSPDRSRLCANLLGRFFHATIDQTLAGGHSCFNRMESNVLHFFQECRATIPQEAQFSSGCLVSSPLRLLIRKYMLLERQRQLSAAVSWGSTLAQLRRRFIEFLFHEQSPTHGLPVILDQQLELDAVMEQLRSIIDENGTRGGFVLDSNESHDYSRSSQHFDMLANVLITCAAQLDGDCGGSASSEPLFHEHIAWQHFDQRETDSLADAEPQIELELHLWDQYTLHVDEEKLVERFTRHTWLSLAARQWDASPLHLPRWSLSAVDEESLPQRAESFLRFMLFQFKKILGPSLCSCLTDRSQSSFKCLSYSIAATCFKLCFFLSDRTRCTLLSDFLAPVPLGAGPAPPGVDVEPLASRSEGLHVALAALGLVHPASLSPGQHRLLQCLRTLVAPLVRGCMTRVFLAAWPGQREPPAVSSAAMLNQCFSTLLLDVAMHERPELRPTNISLQIKSIEVSSDDENHGLVARLRESIVAVQASIVDRQSPDTMSARLEGLAATLQGLPEEASNPEARVGLAADEPKEEAASCAQCYTSYLSPPIKDARKLSEIFAVRSDPVKPLVRPTLLAPLFHSVYYPRRFISAEMVTVPINGTHEFVMIAKEVDQLAQSHPETIDGASSLDEYLGMNEISVKLDALRTKALSIYDTLLSRYKGPVLPPHLIHVQLYRPSEFPVLESLFTFLFVLAKKSCGHYGRTSGFSAKFWDAYSLFASPGELAAQRVVLFATVMSDLQCSEFAQAMLRAVWDELLQQAEPFCVVLASVFSLVRQWHVEQTELVEQLHGVLEEASLMVFLRRYLSTLLPHTRAFSWERQMQVADSAKLVPSHTLIQTLTHSFFRKLPDTSIVSGLTHGSFVGFWCRVIKHTSSEEQVGAALADLAFILMRQLVDVTVQPMKEPEVTRRLDSNKQFGRLVFMQVFDSLMARAVDAHQCSAEMQECLCCLNRFLWSGVAHMDPHSELLEQLSVIGQVHLHWVAELLLSSQIKWLEQCFSLRSFMSHALLYQAFELLSSLKKRQEVTLLVPHSTEAPATCIQGVSSAVMGASLIGVPVGLRSAQDQLASGIFSSSHNAYAESSDCDIVKSLSLKKCLPGEVELLLANLDQHSRLLRVASEKLKQAREPNYKERKVRGALLEAWARRRGGPDQGSASNPVWSDSM
+>sp|Q92636|FAN_HUMAN Protein FAN OS=Homo sapiens OX=9606 GN=NSMAF PE=1 SV=2
+MAFIRKKQQEQQLQLYSKERFSLLLLNLEEYYFEQHRANHILHKGSHHERKIRGSLKICSKSVIFEPDSISQPIIKIPLRDCIKIGKHGENGANRHFTKAKSGGISLIFSQVYFIKEHNVVAPYKIERGKMEYVFELDVPGKVEDVVETLLQLHRASCLDKLGDQTAMITAILQSRLARTSFDKNRFQNISEKLHMECKAEMVTPLVTNPGHVCITDTNLYFQPLNGYPKPVVQITLQDVRRIYKRRHGLMPLGLEVFCTEDDLCSDIYLKFYEPQDRDDLYFYIATYLEHHVAEHTAESYMLQWQRGHLSNYQYLLHLNNLADRSCNDLSQYPVFPWIIHDYSSSELDLSNPGTFRDLSKPVGALNKERLERLLTRYQEMPEPKFMYGSHYSSPGYVLFYLVRIAPEYMLCLQNGRFDNADRMFNSIAETWKNCLDGATDFKELIPEFYGDDVSFLVNSLKLDLGKRQGGQMVDDVELPPWASSPEDFLQKSKDALESNYVSEHLHEWIDLIFGYKQKGSDAVGAHNVFHPLTYEGGVDLNSIQDPDEKVAMLTQILEFGQTPKQLFVTPHPRRITPKFKSLSQTSSYNASMADSPGEESFEDLTEESKTLAWNNITKLQLHEHYKIHKEAVTGITVSRNGSSVFTTSQDSTLKMFSKESKMLQRSISFSNMALSSCLLLPGDATVITSSWDNNVYFYSIAFGRRQDTLMGHDDAVSKICWHDNRLYSASWDSTVKVWSGVPAEMPGTKRHHFDLLAELEHDVSVDTISLNAASTLLVSGTKEGTVNIWDLTTATLMHQIPCHSGIVCDTAFSPDSRHVLSTGTDGCLNVIDVQTGMLISSMTSDEPQRCFVWDGNSVLSGSQSGELLVWDLLGAKISERIQGHTGAVTCIWMNEQCSSIITGGEDRQIIFWKLQY
+>DECOY_sp|Q92636|FAN_HUMAN Protein FAN OS=Homo sapiens OX=9606 GN=NSMAF PE=1 SV=2
+YQLKWFIIQRDEGGTIISSCQENMWICTVAGTHGQIRESIKAGLLDWVLLEGSQSGSLVSNGDWVFCRQPEDSTMSSILMGTQVDIVNLCGDTGTSLVHRSDPSFATDCVIGSHCPIQHMLTATTLDWINVTGEKTGSVLLTSAANLSITDVSVDHELEALLDFHHRKTGPMEAPVGSWVKVTSDWSASYLRNDHWCIKSVADDHGMLTDQRRGFAISYFYVNNDWSSTIVTADGPLLLCSSLAMNSFSISRQLMKSEKSFMKLTSDQSTTFVSSGNRSVTIGTVAEKHIKYHEHLQLKTINNWALTKSEETLDEFSEEGPSDAMSANYSSTQSLSKFKPTIRRPHPTVFLQKPTQGFELIQTLMAVKEDPDQISNLDVGGEYTLPHFVNHAGVADSGKQKYGFILDIWEHLHESVYNSELADKSKQLFDEPSSAWPPLEVDDVMQGGQRKGLDLKLSNVLFSVDDGYFEPILEKFDTAGDLCNKWTEAISNFMRDANDFRGNQLCLMYEPAIRVLYFLVYGPSSYHSGYMFKPEPMEQYRTLLRELREKNLAGVPKSLDRFTGPNSLDLESSSYDHIIWPFVPYQSLDNCSRDALNNLHLLYQYNSLHGRQWQLMYSEATHEAVHHELYTAIYFYLDDRDQPEYFKLYIDSCLDDETCFVELGLPMLGHRRKYIRRVDQLTIQVVPKPYGNLPQFYLNTDTICVHGPNTVLPTVMEAKCEMHLKESINQFRNKDFSTRALRSQLIATIMATQDGLKDLCSARHLQLLTEVVDEVKGPVDLEFVYEMKGREIKYPAVVNHEKIFYVQSFILSIGGSKAKTFHRNAGNEGHKGIKICDRLPIKIIPQSISDPEFIVSKSCIKLSGRIKREHHSGKHLIHNARHQEFYYEELNLLLLSFREKSYLQLQQEQQKKRIFAM
+>sp|Q6V0I7|FAT4_HUMAN Protocadherin Fat 4 OS=Homo sapiens OX=9606 GN=FAT4 PE=1 SV=2
+MDLAPDRATGRPWLPLHTLSVSQLLRVFWLLSLLPGQAWVHGAEPRQVFQVLEEQPPGTLVGTIQTRPGFTYRLSESHALFAINSSTGALYTTSTIDRESLPSDVINLVVLSSAPTYPTEVRVLVRDLNDNAPVFPDPSIVVTFKEDSSSGRQVILDTATDSDIGSNGVDHRSYRIIRGNEAGRFRLDITLNPSGEGAFLHLVSKGGLDREVTPQYQLLVEVEDKGEPKRRGYLQVNVTVQDINDNPPVFGSSHYQAGVPEDAVVGSSVLQVAAADADEGTNADIRYRLQDEGTPFQMDPETGLITVREPLDFEARRQYSLTVQAMDRGVPSLTGRAEALIQLLDVNDNDPVVKFRYFPATSRYASVDENAQVGTVVALLTVTDADSPAANGNISVQILGGNEQRHFEVQSSKVPNLSLIKVASALDRERIPSYNLTVSVSDNYGAPPGAAVQARSSVASLVIFVNDINDHPPVFSQQVYRVNLSEEAPPGSYVSGISATDGDSGLNANLRYSIVSGNGLGWFHISEHSGLVTTGSSGGLDRELASQIVLNISARDQGVHPKVSYAQLVVTLLDVNDEKPVFSQPEGYDVSVVENAPTGTELLMLRATDGDLGDNGTVRFSLQEAETDRRSFRLDPVSGRLSTISSLDREEQAFYSLLVLATDLGSPPQSSMARINVSLLDINDNSPVFYPVQYFAHIKENEPGGSYITTVSATDPDLGTNGTVKYSISAGDRSRFQVNAQSGVISTRMALDREEKTAYQLQIVATDGGNLQSPNQAIVTITVLDTQDNPPVFSQVAYSFVVFENVALGYHVGSVSASTMDLNSNISYLITTGDQKGMFAINQVTGQLTTANVIDREEQSFYQLKVVASGGTVTGDTMVNITVKDLNDNSPHFLQAIESVNVVENWQAGHSIFQAKAVDPDEGVNGMVLYSLKQNPKNLFAINEKNGTISLLGPLDVHAGSYQIEILASDMGVPQLSSSVILTVYVHDVNDNSPVFDQLSYEVTLSESEPVNSRFFKVQASDKDSGANGEIAYTIAEGNTGDAFGIFPDGQLYIKSELDRELQDRYVLMVVASDRAVEPLSATVNVTVILEDVNDNRPLFNSTNYTFYFEEEQRAGSFVGKVSAVDKDFGPNGEVRYSFEMVQPDFELHAISGEITNTHQFDRESLMRRRGTAVFSFTVIATDQGIPQPLKDQATVHVYMKDINDNAPKFLKDFYQATISESAANLTQVLRVSASDVDEGNNGLIHYSIIKGNEERQFAIDSTSGQVTLIGKLDYEATPAYSLVIQAVDSGTIPLNSTCTLNIDILDENDNTPSFPKSTLFVDVLENMRIGELVSSVTATDSDSGDNADLYYSITGTNNHGTFSISPNTGSIFLAKKLDFETQSLYKLNITAKDQGRPPRSSTMSVVIHVRDFNDNPPSFPPGDIFKSIVENIPIGTSVISVTAHDPDADINGQLSYTIIQQMPRGNHFTIDEVKGTIYTNAEIDREFANLFELTVKANDQAVPIETRRYALKNVTILVTDLNDNVPMFISQNALAADPSAVIGSVLTTIMAADPDEGANGEIEYEIINGDTDTFIVDRYSGDLRVASALVPSQLIYNLIVSATDLGPERRKSTTELTIILQGLDGPVFTQPKYITILKEGEPIGTNVISIEAASPRGSEAPVEYYIVSVRCEEKTVGRLFTIGRHTGIIQTAAILDREQGACLYLVDVYAIEKSTAFPRTQRAEVEITLQDINDNPPVFPTDMLDLTVEENIGDGSKIMQLTAMDADEGANALVTYTIISGADDSFRIDPESGDLIATRRLDRERRSKYSLLVRADDGLQSSDMRINITVSDVNDHTPKFSRPVYSFDIPEDTIPGSLVAAILATDDDSGVNGEITYIVNEDDEDGIFFLNPITGVFNLTRLLDYEVQQYYILTVRAEDGGGQFTTIRVYFNILDVNDNPPIFSLNSYSTSLMENLPVGSTVLVFNVTDADDGINSQLTYSIASGDSLGQFTVDKNGVLKVLKALDRESQSFYNLVVQVHDLPQIPASRFTSTAQVSIILLDVNDNPPTFLSPKLTYIPENTPIDTVVFKAQATDPDSGPNSYIEYTLLNPLGNKFSIGTIDGEVRLTGELDREEVSNYTLTVVATDKGQPSLSSSTEVVVMVLDINDNNPIFAQALYKVEINENTLTGTDIIQVFAADGDEGTNGQVRYGIVNGNTNQEFRIDSVTGAITVAKPLDREKTPTYHLTVQATDRGSTPRTDTSTVSIVLLDINDFVPVFELSPYSVNVPENLGTLPRTILQVVARDDDRGSNSKLSYVLFGGNEDNAFTLSASGELGVTQSLDRETKERFVLMITATDSGSPALTGTGTINVIVDDVNDNVPTFASKAYFTTIPEDAPTGTDVLLVNASDADASKNAVIRIIGGNSQFTINPSTGQIITSALLDRETKDNYTLVVVCSDAGSPEPLSSSTSVLVTVTDVNDNPPRFQHHPYVTHIPSPTLPGSFVFAVTVTDADIGPNSELHYSLSGRNSEKFHIDPLRGAIMAAGPLNGASEVTFSVHVKDGGSFPKTDSTTVTVRFVNKADFPKVRAKEQTFMFPENQPVSSLVTTITGSSLRGEPMSYYIASGNLGNTFQIDQLTGQVSISQPLDFEKIQKYVVWIEARDGGFPPFSSYEKLDITVLDVNDNAPIFKEDPFISEILENLSPRKILTVSAMDKDSGPNGQLDYEIVNGNMENSFSINHATGEIRSVRPLDREKVSHYVLTIKSSDKGSPSQSTSVKVMINILDENDNAPRFSQIFSAHVPENSPLGYTVTRVTTSDEDIGINAISRYSIMDASLPFTINPSTGDIVISRPLNREDTDRYRIRVSAHDSGWTVSTDVTIFVTDINDNAPRFSRTSYYLDCPELTEIGSKVTQVFATDPDEGSNGQVFYFIKSQSEYFRINATTGEIFNKQILKYQNVTGFSNVNINRHSFIVTSSDRGKPSLISETTVTINIVDSNDNAPQFLKSKYFTPVTKNVKVGTKLIRVTAIDDKDFGLNSEVEYFISNDNHLGKFKLDNDTGWISVASSLISDLNQNFFITVTAKDKGNPPLSSQATVHITVTEENYHTPEFSQSHMSATIPESHSIGSIVRTVSARDRDAAMNGLIKYSISSGNEEGIFAINSSTGILTLAKALDYELCQKHEMTISAIDGGWVARTGYCSVTVNVIDVNDNSPVFLSDDYFPTVLENAPSGTTVIHLNATDADSGTNAVIAYTVQSSDSDLFVIDPNTGVITTQGFLDFETKQSYHLTVKAFNVPDEERCSFATVNIQLKGTNEYVPRFVSKLYYFEISEAAPKGTIVGEVFASDRDLGTDGEVHYLIFGNSRKKGFQINKKTGQIYVSGILDREKEERVSLKVLAKNFGSIRGADIDEVTVNVTVLDANDPPIFTLNIYSVQISEGVPIGTHVTFVSAFDSDSIPSWSRFSYFIGSGNENGAFSINPQTGQITVTAELDRETLPIYNLSVLAVDSGTPSATGSASLLVTLEDINDNGPMLTVSEGEVMENKRPGTLVMTLQSTDPDLPPNQGPFTYYLLSTGPATSYFSLSTAGVLSTTREIDREQIADFYLSVVTKDSGVPQMSSTGTVHITVIDQNDNPSQSRTVEIFVNYYGNLFPGGILGSVKPQDPDVLDSFHCSLTSGVTSLFSIPGGTCDLNSQPRSTDGTFDLTVLSNDGVHSTVTSNIRVFFAGFSNATVDNSILLRLGVPTVKDFLTNHYLHFLRIASSQLTGLGTAVQLYSAYEENNRTFLLAAVKRNHNQYVNPSGVATFFESIKEILLRQSGVKVESVDHDSCVHGPCQNGGSCLRRLAVSSVLKSRESLPVIIVANEPLQPFLCKCLPGYAGSWCEIDIDECLPSPCHSGGTCHNLVGGFSCSCPDGFTGRACERDINECLQSPCKNGAICQNFPGSFNCVCKTGYTGKMCESSVNYCECNPCFNGGSCQSGVDSYYCHCPFGVFGKHCELNSYGFEELSYMEFPSLDPNNNYIYVKFATIKSHALLLYNYDNQTGDRAEFLALEIAEERLRFSYNLGSGTYKLTTMKKVSDGHFHTVIARRAGMAASLTVDSCSENQEPGYCTVSNVAVSDDWTLDVQPNRVTVGGIRSLEPILQRRGHVESHDFVGCIMEFAVNGRPLEPSQALAAQGILDQCPRLEGACTRSPCQHGGTCMDYWSWQQCHCKEGLTGKYCEKSVTPDTALSLEGKGRLDYHMSQNEKREYLLRQSLRGAMLEPFGVNSLEVKFRTRSENGVLIHIQESSNYTTVKIKNGKVYFTSDAGIAGKVERNIPEVYVADGHWHTFLIGKNGTATVLSVDRIYNRDIIHPTQDFGGLDVLTISLGGIPPNQAHRDAQTAGFDGCIASMWYGGESLPFSGKHSLASISKTDPSVKIGCRGPNICASNPCWGDLLCINQWYAYRCVPPGDCASHPCQNGGSCEPGLHSGFTCSCPDSHTGRTCEMVVACLGVLCPQGKVCKAGSPAGHVCVLSQGPEEISLPLWAVPAIVGSCATVLALLVLSLILCNQCRGKKAKNPKEEKKPKEKKKKGSENVAFDDPDNIPPYGDDMTVRKQPEGNPKPDIIERENPYLIYDETDIPHNSETIPSAPLASPEQEIEHYDIDNASSIAPSDADIIQHYKQFRSHTPKFSIQRHSPLGFARQSPMPLGASSLTYQPSYGQGLRTSSLSHSACPTPNPLSRHSPAPFSKSSTFYRNSPARELHLPIRDGNTLEMHGDTCQPGIFNYATRLGRRSKSPQAMASHGSRPGSRLKQPIGQIPLESSPPVGLSIEEVERLNTPRPRNPSICSADHGRSSSEEDCRRPLSRTRNPADGIPAPESSSDSDSHESFTCSEMEYDREKPMVYTSRMPKLSQVNESDADDEDNYGARLKPRRYHGRRAEGGPVGTQAAAPGTADNTLPMKLGQQAGTFNWDNLLNWGPGFGHYVDVFKDLASLPEKAAANEEGKAGTTKPVPKDGEAEQYV
+>DECOY_sp|Q6V0I7|FAT4_HUMAN Protocadherin Fat 4 OS=Homo sapiens OX=9606 GN=FAT4 PE=1 SV=2
+VYQEAEGDKPVPKTTGAKGEENAAAKEPLSALDKFVDVYHGFGPGWNLLNDWNFTGAQQGLKMPLTNDATGPAAAQTGVPGGEARRGHYRRPKLRAGYNDEDDADSENVQSLKPMRSTYVMPKERDYEMESCTFSEHSDSDSSSEPAPIGDAPNRTRSLPRRCDEESSSRGHDASCISPNRPRPTNLREVEEISLGVPPSSELPIQGIPQKLRSGPRSGHSAMAQPSKSRRGLRTAYNFIGPQCTDGHMELTNGDRIPLHLERAPSNRYFTSSKSFPAPSHRSLPNPTPCASHSLSSTRLGQGYSPQYTLSSAGLPMPSQRAFGLPSHRQISFKPTHSRFQKYHQIIDADSPAISSANDIDYHEIEQEPSALPASPITESNHPIDTEDYILYPNEREIIDPKPNGEPQKRVTMDDGYPPINDPDDFAVNESGKKKKEKPKKEEKPNKAKKGRCQNCLILSLVLLALVTACSGVIAPVAWLPLSIEEPGQSLVCVHGAPSGAKCVKGQPCLVGLCAVVMECTRGTHSDPCSCTFGSHLGPECSGGNQCPHSACDGPPVCRYAYWQNICLLDGWCPNSACINPGRCGIKVSPDTKSISALSHKGSFPLSEGGYWMSAICGDFGATQADRHAQNPPIGGLSITLVDLGGFDQTPHIIDRNYIRDVSLVTATGNKGILFTHWHGDAVYVEPINREVKGAIGADSTFYVKGNKIKVTTYNSSEQIHILVGNESRTRFKVELSNVGFPELMAGRLSQRLLYERKENQSMHYDLRGKGELSLATDPTVSKECYKGTLGEKCHCQQWSWYDMCTGGHQCPSRTCAGELRPCQDLIGQAALAQSPELPRGNVAFEMICGVFDHSEVHGRRQLIPELSRIGGVTVRNPQVDLTWDDSVAVNSVTCYGPEQNESCSDVTLSAAMGARRAIVTHFHGDSVKKMTTLKYTGSGLNYSFRLREEAIELALFEARDGTQNDYNYLLLAHSKITAFKVYIYNNNPDLSPFEMYSLEEFGYSNLECHKGFVGFPCHCYYSDVGSQCSGGNFCPNCECYNVSSECMKGTYGTKCVCNFSGPFNQCIAGNKCPSQLCENIDRECARGTFGDPCSCSFGGVLNHCTGGSHCPSPLCEDIDIECWSGAYGPLCKCLFPQLPENAVIIVPLSERSKLVSSVALRRLCSGGNQCPGHVCSDHDVSEVKVGSQRLLIEKISEFFTAVGSPNVYQNHNRKVAALLFTRNNEEYASYLQVATGLGTLQSSAIRLFHLYHNTLFDKVTPVGLRLLISNDVTANSFGAFFVRINSTVTSHVGDNSLVTLDFTGDTSRPQSNLDCTGGPISFLSTVGSTLSCHFSDLVDPDQPKVSGLIGGPFLNGYYNVFIEVTRSQSPNDNQDIVTIHVTGTSSMQPVGSDKTVVSLYFDAIQERDIERTTSLVGATSLSFYSTAPGTSLLYYTFPGQNPPLDPDTSQLTMVLTGPRKNEMVEGESVTLMPGNDNIDELTVLLSASGTASPTGSDVALVSLNYIPLTERDLEATVTIQGTQPNISFAGNENGSGIFYSFRSWSPISDSDFASVFTVHTGIPVGESIQVSYINLTFIPPDNADLVTVNVTVEDIDAGRISGFNKALVKLSVREEKERDLIGSVYIQGTKKNIQFGKKRSNGFILYHVEGDTGLDRDSAFVEGVITGKPAAESIEFYYLKSVFRPVYENTGKLQINVTAFSCREEDPVNFAKVTLHYSQKTEFDLFGQTTIVGTNPDIVFLDSDSSQVTYAIVANTGSDADTANLHIVTTGSPANELVTPFYDDSLFVPSNDNVDIVNVTVSCYGTRAVWGGDIASITMEHKQCLEYDLAKALTLIGTSSNIAFIGEENGSSISYKILGNMAADRDRASVTRVISGISHSEPITASMHSQSFEPTHYNEETVTIHVTAQSSLPPNGKDKATVTIFFNQNLDSILSSAVSIWGTDNDLKFKGLHNDNSIFYEVESNLGFDKDDIATVRILKTGVKVNKTVPTFYKSKLFQPANDNSDVINITVTTESILSPKGRDSSTVIFSHRNINVNSFGTVNQYKLIQKNFIEGTTANIRFYESQSKIFYFVQGNSGEDPDTAFVQTVKSGIETLEPCDLYYSTRSFRPANDNIDTVFITVDTSVTWGSDHASVRIRYRDTDERNLPRSIVIDGTSPNITFPLSADMISYRSIANIGIDEDSTTVRTVTYGLPSNEPVHASFIQSFRPANDNEDLINIMVKVSTSQSPSGKDSSKITLVYHSVKERDLPRVSRIEGTAHNISFSNEMNGNVIEYDLQGNPGSDKDMASVTLIKRPSLNELIESIFPDEKFIPANDNVDLVTIDLKEYSSFPPFGGDRAEIWVVYKQIKEFDLPQSISVQGTLQDIQFTNGLNGSAIYYSMPEGRLSSGTITTVLSSVPQNEPFMFTQEKARVKPFDAKNVFRVTVTTSDTKPFSGGDKVHVSFTVESAGNLPGAAMIAGRLPDIHFKESNRGSLSYHLESNPGIDADTVTVAFVFSGPLTPSPIHTVYPHHQFRPPNDNVDTVTVLVSTSSSLPEPSGADSCVVVLTYNDKTERDLLASTIIQGTSPNITFQSNGGIIRIVANKSADADSANVLLVDTGTPADEPITTFYAKSAFTPVNDNVDDVIVNITGTGTLAPSGSDTATIMLVFREKTERDLSQTVGLEGSASLTFANDENGGFLVYSLKSNSGRDDDRAVVQLITRPLTGLNEPVNVSYPSLEFVPVFDNIDLLVISVTSTDTRPTSGRDTAQVTLHYTPTKERDLPKAVTIAGTVSDIRFEQNTNGNVIGYRVQGNTGEDGDAAFVQIIDTGTLTNENIEVKYLAQAFIPNNDNIDLVMVVVETSSSLSPQGKDTAVVTLTYNSVEERDLEGTLRVEGDITGISFKNGLPNLLTYEIYSNPGSDPDTAQAKFVVTDIPTNEPIYTLKPSLFTPPNDNVDLLIISVQATSTFRSAPIQPLDHVQVVLNYFSQSERDLAKLVKLVGNKDVTFQGLSDGSAISYTLQSNIGDDADTVNFVLVTSGVPLNEMLSTSYSNLSFIPPNDNVDLINFYVRITTFQGGGDEARVTLIYYQQVEYDLLRTLNFVGTIPNLFFIGDEDDENVIYTIEGNVGSDDDTALIAAVLSGPITDEPIDFSYVPRSFKPTHDNVDSVTINIRMDSSQLGDDARVLLSYKSRRERDLRRTAILDGSEPDIRFSDDAGSIITYTVLANAGEDADMATLQMIKSGDGINEEVTLDLMDTPFVPPNDNIDQLTIEVEARQTRPFATSKEIAYVDVLYLCAGQERDLIAATQIIGTHRGITFLRGVTKEECRVSVIYYEVPAESGRPSAAEISIVNTGIPEGEKLITIYKPQTFVPGDLGQLIITLETTSKRREPGLDTASVILNYILQSPVLASAVRLDGSYRDVIFTDTDGNIIEYEIEGNAGEDPDAAMITTLVSGIVASPDAALANQSIFMPVNDNLDTVLITVNKLAYRRTEIPVAQDNAKVTLEFLNAFERDIEANTYITGKVEDITFHNGRPMQQIITYSLQGNIDADPDHATVSIVSTGIPINEVISKFIDGPPFSPPNDNFDRVHIVVSMTSSRPPRGQDKATINLKYLSQTEFDLKKALFISGTNPSISFTGHNNTGTISYYLDANDGSDSDTATVSSVLEGIRMNELVDVFLTSKPFSPTNDNEDLIDINLTCTSNLPITGSDVAQIVLSYAPTAEYDLKGILTVQGSTSDIAFQREENGKIISYHILGNNGEDVDSASVRLVQTLNAASESITAQYFDKLFKPANDNIDKMYVHVTAQDKLPQPIGQDTAIVTFSFVATGRRRMLSERDFQHTNTIEGSIAHLEFDPQVMEFSYRVEGNPGFDKDVASVKGVFSGARQEEEFYFTYNTSNFLPRNDNVDELIVTVNVTASLPEVARDSAVVMLVYRDQLERDLESKIYLQGDPFIGFADGTNGEAITYAIEGNAGSDKDSAQVKFFRSNVPESESLTVEYSLQDFVPSNDNVDHVYVTLIVSSSLQPVGMDSALIEIQYSGAHVDLPGLLSITGNKENIAFLNKPNQKLSYLVMGNVGEDPDVAKAQFISHGAQWNEVVNVSEIAQLFHPSNDNLDKVTINVMTDGTVTGGSAVVKLQYFSQEERDIVNATTLQGTVQNIAFMGKQDGTTILYSINSNLDMTSASVSGVHYGLAVNEFVVFSYAVQSFVPPNDQTDLVTITVIAQNPSQLNGGDTAVIQLQYATKEERDLAMRTSIVGSQANVQFRSRDGASISYKVTGNTGLDPDTASVTTIYSGGPENEKIHAFYQVPYFVPSNDNIDLLSVNIRAMSSQPPSGLDTALVLLSYFAQEERDLSSITSLRGSVPDLRFSRRDTEAEQLSFRVTGNDGLDGDTARLMLLETGTPANEVVSVDYGEPQSFVPKEDNVDLLTVVLQAYSVKPHVGQDRASINLVIQSALERDLGGSSGTTVLGSHESIHFWGLGNGSVISYRLNANLGSDGDTASIGSVYSGPPAEESLNVRYVQQSFVPPHDNIDNVFIVLSAVSSRAQVAAGPPAGYNDSVSVTLNYSPIRERDLASAVKILSLNPVKSSQVEFHRQENGGLIQVSINGNAAPSDADTVTLLAVVTGVQANEDVSAYRSTAPFYRFKVVPDNDNVDLLQILAEARGTLSPVGRDMAQVTLSYQRRAEFDLPERVTILGTEPDMQFPTGEDQLRYRIDANTGEDADAAAVQLVSSGVVADEPVGAQYHSSGFVPPNDNIDQVTVNVQLYGRRKPEGKDEVEVLLQYQPTVERDLGGKSVLHLFAGEGSPNLTIDLRFRGAENGRIIRYSRHDVGNSGIDSDTATDLIVQRGSSSDEKFTVVISPDPFVPANDNLDRVLVRVETPYTPASSLVVLNIVDSPLSERDITSTTYLAGTSSNIAFLAHSESLRYTFGPRTQITGVLTGPPQEELVQFVQRPEAGHVWAQGPLLSLLWFVRLLQSVSLTHLPLWPRGTARDPALDM
+>sp|Q5TGI0|FAXC_HUMAN Failed axon connections homolog OS=Homo sapiens OX=9606 GN=FAXC PE=2 SV=2
+MHWGVGFASSRPCVVDLSWNQSISFFGWWAGSEEPFSFYGDIIAFPLQDYGGIMAGLGSDPWWKKTLYLTGGALLAAAAYLLHELLVIRKQQEIDSKDAIILHQFARPNNGVPSLSPFCLKMETYLRMADLPYQNYFGGKLSAQGKMPWIEYNHEKVSGTEFIIDFLEEKLGVNLNKNLGPHERAISRAVTKMVEEHFYWTLAYCQWVDNLNETRKMLSLSGGGPFSNLLRWVVCHITKGIVKREMHGHGIGRFSEEEIYMLMEKDMRSLAGLLGDKKYIMGPKLSTLDATVFGHLAQAMWTLPGTRPERLIKGELINLAMYCERIRRKFWPEWHHDDDNTIYESEESSEGSKTHTPLLDFSFYSRTETFEDEGAENSFSRTPDTDFTGHSLFDSDVDMDDYTDHEQCK
+>DECOY_sp|Q5TGI0|FAXC_HUMAN Failed axon connections homolog OS=Homo sapiens OX=9606 GN=FAXC PE=2 SV=2
+KCQEHDTYDDMDVDSDFLSHGTFDTDPTRSFSNEAGEDEFTETRSYFSFDLLPTHTKSGESSEESEYITNDDDHHWEPWFKRRIRECYMALNILEGKILREPRTGPLTWMAQALHGFVTADLTSLKPGMIYKKDGLLGALSRMDKEMLMYIEEESFRGIGHGHMERKVIGKTIHCVVWRLLNSFPGGGSLSLMKRTENLNDVWQCYALTWYFHEEVMKTVARSIAREHPGLNKNLNVGLKEELFDIIFETGSVKEHNYEIWPMKGQASLKGGFYNQYPLDAMRLYTEMKLCFPSLSPVGNNPRAFQHLIIADKSDIEQQKRIVLLEHLLYAAAALLAGGTLYLTKKWWPDSGLGAMIGGYDQLPFAIIDGYFSFPEESGAWWGFFSISQNWSLDVVCPRSSAFGVGWHM
+>sp|Q8NCQ5|FBX15_HUMAN F-box only protein 15 OS=Homo sapiens OX=9606 GN=FBXO15 PE=2 SV=2
+MATGRGRILQQHWLGLQTLRGPSRGGGAARGRARAFGCRKGPGVKLSAGSAALRCHAGGGQHWESSFSCCSGFLDGMPSEILLKIFSYLDAVSLLCTGCVSRRFYHLANDNFIWIGIYSTAFSPARSNWKFNSVEKIAMSMSFLSVQDKEAGYWKKEYITKQIASVKAALADILKPVNPYTGLPVKTKEALRIFGLGWAIILKEKGGKEYIMEHVDLSINDTSVTVIWYGKKWPCLASLSTLDLCGMTPVFTDWYKTPTKHRLRWHSLIAKYNLSHLTISTMIGCDRLIRIFCLHPGLLVGVWKKEEELAFVMANLHFHHLVERSTLGSATIPYELPPHSPFLDDSPEYGLHGYQLHVDLHSGGVFYLCGTFRNLFTKRGNIENGHVKLIVIHLKNNREHLPLIGKVGLSWKTDIFDGCIKSCSMMDVTLLDEHGKPFWCFSSPVCLRSPATPSDSSSFLGQTYNVDYVDAEGRVHVELVWIRETEEYLIVNLVLYLSIAKINHWFGTEY
+>DECOY_sp|Q8NCQ5|FBX15_HUMAN F-box only protein 15 OS=Homo sapiens OX=9606 GN=FBXO15 PE=2 SV=2
+YETGFWHNIKAISLYLVLNVILYEETERIWVLEVHVRGEADVYDVNYTQGLFSSSDSPTAPSRLCVPSSFCWFPKGHEDLLTVDMMSCSKICGDFIDTKWSLGVKGILPLHERNNKLHIVILKVHGNEINGRKTFLNRFTGCLYFVGGSHLDVHLQYGHLGYEPSDDLFPSHPPLEYPITASGLTSREVLHHFHLNAMVFALEEEKKWVGVLLGPHLCFIRILRDCGIMTSITLHSLNYKAILSHWRLRHKTPTKYWDTFVPTMGCLDLTSLSALCPWKKGYWIVTVSTDNISLDVHEMIYEKGGKEKLIIAWGLGFIRLAEKTKVPLGTYPNVPKLIDALAAKVSAIQKTIYEKKWYGAEKDQVSLFSMSMAIKEVSNFKWNSRAPSFATSYIGIWIFNDNALHYFRRSVCGTCLLSVADLYSFIKLLIESPMGDLFGSCCSFSSEWHQGGGAHCRLAASGASLKVGPGKRCGFARARGRAAGGGRSPGRLTQLGLWHQQLIRGRGTAM
+>sp|Q8NEZ5|FBX22_HUMAN F-box only protein 22 OS=Homo sapiens OX=9606 GN=FBXO22 PE=1 SV=1
+MEPVGCCGECRGSSVDPRSTFVLSNLAEVVERVLTFLPAKALLRVACVCRLWRECVRRVLRTHRSVTWISAGLAEAGHLEGHCLVRVVAEELENVRILPHTVLYMADSETFISLEECRGHKRARKRTSMETALALEKLFPKQCQVLGIVTPGIVVTPMGSGSNRPQEIEIGESGFALLFPQIEGIKIQPFHFIKDPKNLTLERHQLTEVGLLDNPELRVVLVFGYNCCKVGASNYLQQVVSTFSDMNIILAGGQVDNLSSLTSEKNPLDIDASGVVGLSFSGHRIQSATVLLNEDVSDEKTAEAAMQRLKAANIPEHNTIGFMFACVGRGFQYYRAKGNVEADAFRKFFPSVPLFGFFGNGEIGCDRIVTGNFILRKCNEVKDDDLFHSYTTIMALIHLGSSK
+>DECOY_sp|Q8NEZ5|FBX22_HUMAN F-box only protein 22 OS=Homo sapiens OX=9606 GN=FBXO22 PE=1 SV=1
+KSSGLHILAMITTYSHFLDDDKVENCKRLIFNGTVIRDCGIEGNGFFGFLPVSPFFKRFADAEVNGKARYYQFGRGVCAFMFGITNHEPINAAKLRQMAAEATKEDSVDENLLVTASQIRHGSFSLGVVGSADIDLPNKESTLSSLNDVQGGALIINMDSFTSVVQQLYNSAGVKCCNYGFVLVVRLEPNDLLGVETLQHRELTLNKPDKIFHFPQIKIGEIQPFLLAFGSEGIEIEQPRNSGSGMPTVVIGPTVIGLVQCQKPFLKELALATEMSTRKRARKHGRCEELSIFTESDAMYLVTHPLIRVNELEEAVVRVLCHGELHGAEALGASIWTVSRHTRLVRRVCERWLRCVCAVRLLAKAPLFTLVREVVEALNSLVFTSRPDVSSGRCEGCCGVPEM
+>sp|Q9UK22|FBX2_HUMAN F-box only protein 2 OS=Homo sapiens OX=9606 GN=FBXO2 PE=1 SV=2
+MDGDGDPESVGQPEEASPEEQPEEASAEEERPEDQQEEEAAAAAAYLDELPEPLLLRVLAALPAAELVQACRLVCLRWKELVDGAPLWLLKCQQEGLVPEGGVEEERDHWQQFYFLSKRRRNLLRNPCGEEDLEGWCDVEHGGDGWRVEELPGDSGVEFTHDESVKKYFASSFEWCRKAQVIDLQAEGYWEELLDTTQPAIVVKDWYSGRSDAGCLYELTVKLLSEHENVLAEFSSGQVAVPQDSDGGGWMEISHTFTDYGPGVRFVRFEHGGQDSVYWKGWFGARVTNSSVWVEP
+>DECOY_sp|Q9UK22|FBX2_HUMAN F-box only protein 2 OS=Homo sapiens OX=9606 GN=FBXO2 PE=1 SV=2
+PEVWVSSNTVRAGFWGKWYVSDQGGHEFRVFRVGPGYDTFTHSIEMWGGGDSDQPVAVQGSSFEALVNEHESLLKVTLEYLCGADSRGSYWDKVVIAPQTTDLLEEWYGEAQLDIVQAKRCWEFSSAFYKKVSEDHTFEVGSDGPLEEVRWGDGGHEVDCWGELDEEGCPNRLLNRRRKSLFYFQQWHDREEEVGGEPVLGEQQCKLLWLPAGDVLEKWRLCVLRCAQVLEAAPLAALVRLLLPEPLEDLYAAAAAAEEEQQDEPREEEASAEEPQEEPSAEEPQGVSEPDGDGDM
+>sp|Q5XUX0|FBX31_HUMAN F-box only protein 31 OS=Homo sapiens OX=9606 GN=FBXO31 PE=1 SV=2
+MAVCARLCGVGPSRGCRRRQQRRGPAETAAADSEPDTDPEEERIEASAGVGGGLCAGPSPPPPRCSLLELPPELLVEIFASLPGTDLPSLAQVCTKFRRILHTDTIWRRRCREEYGVCENLRKLEITGVSCRDVYAKLLHRYRHILGLWQPDIGPYGGLLNVVVDGLFIIGWMYLPPHDPHVDDPMRFKPLFRIHLMERKAATVECMYGHKGPHHGHIQIVKKDEFSTKCNQTDHHRMSGGRQEEFRTWLREEWGRTLEDIFHEHMQELILMKFIYTSQYDNCLTYRRIYLPPSRPDDLIKPGLFKGTYGSHGLEIVMLSFHGRRARGTKITGDPNIPAGQQTVEIDLRHRIQLPDLENQRNFNELSRIVLEVRERVRQEQQEGGHEAGEGRGRQGPRESQPSPAQPRAEAPSKGPDGTPGEDGGEPGDAVAAAEQPAQCGQGQPFVLPVGVSSRNEDYPRTCRMCFYGTGLIAGHGFTSPERTPGVFILFDEDRFGFVWLELKSFSLYSRVQATFRNADAPSPQAFDEMLKNIQSLTS
+>DECOY_sp|Q5XUX0|FBX31_HUMAN F-box only protein 31 OS=Homo sapiens OX=9606 GN=FBXO31 PE=1 SV=2
+STLSQINKLMEDFAQPSPADANRFTAQVRSYLSFSKLELWVFGFRDEDFLIFVGPTREPSTFGHGAILGTGYFCMRCTRPYDENRSSVGVPLVFPQGQGCQAPQEAAAVADGPEGGDEGPTGDPGKSPAEARPQAPSPQSERPGQRGRGEGAEHGGEQQEQRVRERVELVIRSLENFNRQNELDPLQIRHRLDIEVTQQGAPINPDGTIKTGRARRGHFSLMVIELGHSGYTGKFLGPKILDDPRSPPLYIRRYTLCNDYQSTYIFKMLILEQMHEHFIDELTRGWEERLWTRFEEQRGGSMRHHDTQNCKTSFEDKKVIQIHGHHPGKHGYMCEVTAAKREMLHIRFLPKFRMPDDVHPDHPPLYMWGIIFLGDVVVNLLGGYPGIDPQWLGLIHRYRHLLKAYVDRCSVGTIELKRLNECVGYEERCRRRWITDTHLIRRFKTCVQALSPLDTGPLSAFIEVLLEPPLELLSCRPPPPSPGACLGGGVGASAEIREEEPDTDPESDAAATEAPGRRQQRRRCGRSPGVGCLRACVAM
+>sp|Q9UK99|FBX3_HUMAN F-box only protein 3 OS=Homo sapiens OX=9606 GN=FBXO3 PE=1 SV=3
+MAAMETETAPLTLESLPTDPLLLILSFLDYRDLINCCYVSRRLSQLSSHDPLWRRHCKKYWLISEEEKTQKNQCWKSLFIDTYSDVGRYIDHYAAIKKAWDDLKKYLEPRCPRMVLSLKEGAREEDLDAVEAQIGCKLPDDYRCSYRIHNGQKLVVPGLLGSMALSNHYRSEDLLDVDTAAGGFQQRQGLKYCLPLTFCIHTGLSQYIAVEAAEGRNKNEVFYQCPDQMARNPAAIDMFIIGATFTDWFTSYVKNVVSGGFPIIRDQIFRYVHDPECVATTGDITVSVSTSFLPELSSVHPPHYFFTYRIRIEMSKDALPEKACQLDSRYWRITNAKGDVEEVQGPGVVGEFPIISPGRVYEYTSCTTFSTTSGYMEGYYTFHFLYFKDKIFNVAIPRFHMACPTFRVSIARLEMGPDEYEEMEEEEEEEEEEDEDDDSADMDESDEDDEEERRRRVFDVPIRRRRCSRLF
+>DECOY_sp|Q9UK99|FBX3_HUMAN F-box only protein 3 OS=Homo sapiens OX=9606 GN=FBXO3 PE=1 SV=3
+FLRSCRRRRIPVDFVRRRREEEDDEDSEDMDASDDDEDEEEEEEEEEEMEEYEDPGMELRAISVRFTPCAMHFRPIAVNFIKDKFYLFHFTYYGEMYGSTTSFTTCSTYEYVRGPSIIPFEGVVGPGQVEEVDGKANTIRWYRSDLQCAKEPLADKSMEIRIRYTFFYHPPHVSSLEPLFSTSVSVTIDGTTAVCEPDHVYRFIQDRIIPFGGSVVNKVYSTFWDTFTAGIIFMDIAAPNRAMQDPCQYFVENKNRGEAAEVAIYQSLGTHICFTLPLCYKLGQRQQFGGAATDVDLLDESRYHNSLAMSGLLGPVVLKQGNHIRYSCRYDDPLKCGIQAEVADLDEERAGEKLSLVMRPCRPELYKKLDDWAKKIAAYHDIYRGVDSYTDIFLSKWCQNKQTKEEESILWYKKCHRRWLPDHSSLQSLRRSVYCCNILDRYDLFSLILLLPDTPLSELTLPATETEMAAM
+>sp|Q6P3S6|FBX42_HUMAN F-box only protein 42 OS=Homo sapiens OX=9606 GN=FBXO42 PE=1 SV=1
+MASSSDSEDDSFMAVDQEETVLEGTMDQDEEPHPVLEAEETRHNRSMSELPEEVLEYILSFLSPYQEHKTAALVCKQWYRLIKGVAHQCYHGFMKAVQEGNIQWESRTYPYPGTPITQRFSHSACYYDANQSMYVFGGCTQSSCNAAFNDLWRLDLNSKEWIRPLASGSYPSPKAGATLVVYKDLLVLFGGWTRPSPYPLHQPERFFDEIHTYSPSKNWWNCIVTTHGPPPMAGHSSCVIDDKMIVFGGSLGSRQMSNDVWVLDLEQWAWSKPNISGPSPHPRGGQSQIVIDDATILILGGCGGPNALFKDAWLLHMHSGPWAWQPLKVENEEHGAPELWCHPACRVGQCVVVFSQAPSGRAPLSPSLNSRPSPISATPPALVPETREYRSQSPVRSMDEAPCVNGRWGTLRPRAQRQTPSGSREGSLSPARGDGSPILNGGSLSPGTAAVGGSSLDSPVQAISPSTPSAPEGYDLKIGLSLAPRRGSLPDQKDLRLGSIDLNWDLKPASSSNPMDGMDNRTVGGSMRHPPEQTNGVHTPPHVASALAGAVSPGALRRSLEAIKAMSSKGPSASAALSPPLGSSPGSPGSQSLSSGETVPIPRPGPAQGDGHSLPPIARRLGHHPPQSLNVGKPLYQSMNCKPMQMYVLDIKDTKEKGRVKWKVFNSSSVVGPPETSLHTVVQGRGELIIFGGLMDKKQNVKYYPKTNALYFVRAKR
+>DECOY_sp|Q6P3S6|FBX42_HUMAN F-box only protein 42 OS=Homo sapiens OX=9606 GN=FBXO42 PE=1 SV=1
+RKARVFYLANTKPYYKVNQKKDMLGGFIILEGRGQVVTHLSTEPPGVVSSSNFVKWKVRGKEKTDKIDLVYMQMPKCNMSQYLPKGVNLSQPPHHGLRRAIPPLSHGDGQAPGPRPIPVTEGSSLSQSGPSGPSSGLPPSLAASASPGKSSMAKIAELSRRLAGPSVAGALASAVHPPTHVGNTQEPPHRMSGGVTRNDMGDMPNSSSAPKLDWNLDISGLRLDKQDPLSGRRPALSLGIKLDYGEPASPTSPSIAQVPSDLSSGGVAATGPSLSGGNLIPSGDGRAPSLSGERSGSPTQRQARPRLTGWRGNVCPAEDMSRVPSQSRYERTEPVLAPPTASIPSPRSNLSPSLPARGSPAQSFVVVCQGVRCAPHCWLEPAGHEENEVKLPQWAWPGSHMHLLWADKFLANPGGCGGLILITADDIVIQSQGGRPHPSPGSINPKSWAWQELDLVWVDNSMQRSGLSGGFVIMKDDIVCSSHGAMPPPGHTTVICNWWNKSPSYTHIEDFFREPQHLPYPSPRTWGGFLVLLDKYVVLTAGAKPSPYSGSALPRIWEKSNLDLRWLDNFAANCSSQTCGGFVYMSQNADYYCASHSFRQTIPTGPYPYTRSEWQINGEQVAKMFGHYCQHAVGKILRYWQKCVLAATKHEQYPSLFSLIYELVEEPLESMSRNHRTEEAELVPHPEEDQDMTGELVTEEQDVAMFSDDESDSSSAM
+>sp|Q6PJ61|FBX46_HUMAN F-box only protein 46 OS=Homo sapiens OX=9606 GN=FBXO46 PE=1 SV=3
+MDRGSLLPFQLWCPRPFGTYSQNQPRPPSAALKPSACPEPGGGAEPDHGPAHSENTPPALATEVPASQPAPLLSAAAAGDEGRVLLDTWYVIKPGNTKEKVAFFVAHQCGGGSRASSMKVKGHWGSDSSKAKRRRRCLDPTKAPPDPGGREGPPAAEEGPASAGEDVDLLSVAEMVALVEQRAALALQSYPRPTTPAPVVFVSAEQGGPAKGVGSERRSGGGDCSRVAEAVAHFEAQRDSPPTKGLRKEERPGPGPGEVRIAFRISNGREPRAPDSGLPSGGGGRPGCAYPGSPGPGARAKDKITCDLYQLISPSRDALPSNVEFLLARADEASEGDSPAPARPEDTPPAPPPPPARDCGASGFHVDVVVTGVVDECIFFGKDGTKNVKEETVCLTVSPEEPPPPGQLFFLQNRGPDGPPEPPPADSPATAPGPDDAEGTADTSLCRLYRHVSHDFLEIRFKIQRLLEPRQYMLLLPEHVLVKIFSFLPTRALAALKCTCHHFKGIIEAFGVRATDSRWSRDPLYRDDPCKQCRKRYEKGDVSLCRWHPKPYHHDLPYGRSYWMCCRRADRETPGCRLGLHDNNWVLPCNGPGGGRAGREEGR
+>DECOY_sp|Q6PJ61|FBX46_HUMAN F-box only protein 46 OS=Homo sapiens OX=9606 GN=FBXO46 PE=1 SV=3
+RGEERGARGGGPGNCPLVWNNDHLGLRCGPTERDARRCCMWYSRGYPLDHHYPKPHWRCLSVDGKEYRKRCQKCPDDRYLPDRSWRSDTARVGFAEIIGKFHHCTCKLAALARTPLFSFIKVLVHEPLLLMYQRPELLRQIKFRIELFDHSVHRYLRCLSTDATGEADDPGPATAPSDAPPPEPPGDPGRNQLFFLQGPPPPEEPSVTLCVTEEKVNKTGDKGFFICEDVVGTVVVDVHFGSAGCDRAPPPPPAPPTDEPRAPAPSDGESAEDARALLFEVNSPLADRSPSILQYLDCTIKDKARAGPGPSGPYACGPRGGGGSPLGSDPARPERGNSIRFAIRVEGPGPGPREEKRLGKTPPSDRQAEFHAVAEAVRSCDGGGSRRESGVGKAPGGQEASVFVVPAPTTPRPYSQLALAARQEVLAVMEAVSLLDVDEGASAPGEEAAPPGERGGPDPPAKTPDLCRRRRKAKSSDSGWHGKVKMSSARSGGGCQHAVFFAVKEKTNGPKIVYWTDLLVRGEDGAAAASLLPAPQSAPVETALAPPTNESHAPGHDPEAGGGPEPCASPKLAASPPRPQNQSYTGFPRPCWLQFPLLSGRDM
+>sp|Q9NRD1|FBX6_HUMAN F-box only protein 6 OS=Homo sapiens OX=9606 GN=FBXO6 PE=1 SV=1
+MDAPHSKAALDSINELPENILLELFTHVPARQLLLNCRLVCSLWRDLIDLMTLWKRKCLREGFITKDWDQPVADWKIFYFLRSLHRNLLRNPCAEEDMFAWQIDFNGGDRWKVESLPGAHGTDFPDPKVKKYFVTSYEMCLKSQLVDLVAEGYWEELLDTFRPDIVVKDWFAARADCGCTYQLKVQLASADYFVLASFEPPPVTIQQWNNATWTEVSYTFSDYPRGVRYILFQHGGRDTQYWAGWYGPRVTNSSIVVSPKMTRNQASSEAQPGQKHGQEEAAQSPYRAVVQIF
+>DECOY_sp|Q9NRD1|FBX6_HUMAN F-box only protein 6 OS=Homo sapiens OX=9606 GN=FBXO6 PE=1 SV=1
+FIQVVARYPSQAAEEQGHKQGPQAESSAQNRTMKPSVVISSNTVRPGYWGAWYQTDRGGHQFLIYRVGRPYDSFTYSVETWTANNWQQITVPPPEFSALVFYDASALQVKLQYTCGCDARAAFWDKVVIDPRFTDLLEEWYGEAVLDVLQSKLCMEYSTVFYKKVKPDPFDTGHAGPLSEVKWRDGGNFDIQWAFMDEEACPNRLLNRHLSRLFYFIKWDAVPQDWDKTIFGERLCKRKWLTMLDILDRWLSCVLRCNLLLQRAPVHTFLELLINEPLENISDLAAKSHPADM
+>sp|Q9UKT8|FBXW2_HUMAN F-box/WD repeat-containing protein 2 OS=Homo sapiens OX=9606 GN=FBXW2 PE=1 SV=2
+MERKDFETWLDNISVTFLSLTDLQKNETLDHLISLSGAVQLRHLSNNLETLLKRDFLKLLPLELSFYLLKWLDPQTLLTCCLVSKQWNKVISACTEVWQTACKNLGWQIDDSVQDALHWKKVYLKAILRMKQLEDHEAFETSSLIGHSARVYALYYKDGLLCTGSDDLSAKLWDVSTGQCVYGIQTHTCAAVKFDEQKLVTGSFDNTVACWEWSSGARTQHFRGHTGAVFSVDYNDELDILVSGSADFTVKVWALSAGTCLNTLTGHTEWVTKVVLQKCKVKSLLHSPGDYILLSADKYEIKIWPIGREINCKCLKTLSVSEDRSICLQPRLHFDGKYIVCSSALGLYQWDFASYDILRVIKTPEIANLALLGFGDIFALLFDNRYLYIMDLRTESLISRWPLPEYRKSKRGSSFLAGEASWLNGLDGHNDTGLVFATSMPDHSIHLVLWKEHG
+>DECOY_sp|Q9UKT8|FBXW2_HUMAN F-box/WD repeat-containing protein 2 OS=Homo sapiens OX=9606 GN=FBXW2 PE=1 SV=2
+GHEKWLVLHISHDPMSTAFVLGTDNHGDLGNLWSAEGALFSSGRKSKRYEPLPWRSILSETRLDMIYLYRNDFLLAFIDGFGLLALNAIEPTKIVRLIDYSAFDWQYLGLASSCVIYKGDFHLRPQLCISRDESVSLTKLCKCNIERGIPWIKIEYKDASLLIYDGPSHLLSKVKCKQLVVKTVWETHGTLTNLCTGASLAWVKVTFDASGSVLIDLEDNYDVSFVAGTHGRFHQTRAGSSWEWCAVTNDFSGTVLKQEDFKVAACTHTQIGYVCQGTSVDWLKASLDDSGTCLLGDKYYLAYVRASHGILSSTEFAEHDELQKMRLIAKLYVKKWHLADQVSDDIQWGLNKCATQWVETCASIVKNWQKSVLCCTLLTQPDLWKLLYFSLELPLLKLFDRKLLTELNNSLHRLQVAGSLSILHDLTENKQLDTLSLFTVSINDLWTEFDKREM
+>sp|P31995|FCG2C_HUMAN Low affinity immunoglobulin gamma Fc region receptor II-c OS=Homo sapiens OX=9606 GN=FCGR2C PE=1 SV=1
+MGILSFLPVLATESDWADCKSPQPWGHMLLWTAVLFLAPVAGTPAAPPKAVLKLEPQWINVLQEDSVTLTCRGTHSPESDSIQWFHNGNLIPTHTQPSYRFKANNNDSGEYTCQTGQTSLSDPVHLTVLSEWLVLQTPHLEFQEGETIVLRCHSWKDKPLVKVTFFQNGKSKKFSRSDPNFSIPQANHSHSGDYHCTGNIGYTLYSSKPVTITVQAPSSSPMGIIVAVVTGIAVAAIVAAVVALIYCRKKRISANSTDPVKAAQFEPPGRQMIAIRKRQPEETNNDYETADGGYMTLNPRAPTDDDKNIYLTLPPNDHVNSNN
+>DECOY_sp|P31995|FCG2C_HUMAN Low affinity immunoglobulin gamma Fc region receptor II-c OS=Homo sapiens OX=9606 GN=FCGR2C PE=1 SV=1
+NNSNVHDNPPLTLYINKDDDTPARPNLTMYGGDATEYDNNTEEPQRKRIAIMQRGPPEFQAAKVPDTSNASIRKKRCYILAVVAAVIAAVAIGTVVAVIIGMPSSSPAQVTITVPKSSYLTYGINGTCHYDGSHSHNAQPISFNPDSRSFKKSKGNQFFTVKVLPKDKWSHCRLVITEGEQFELHPTQLVLWESLVTLHVPDSLSTQGTQCTYEGSDNNNAKFRYSPQTHTPILNGNHFWQISDSEPSHTGRCTLTVSDEQLVNIWQPELKLVAKPPAAPTGAVPALFLVATWLLMHGWPQPSKCDAWDSETALVPLFSLIGM
+>sp|P08637|FCG3A_HUMAN Low affinity immunoglobulin gamma Fc region receptor III-A OS=Homo sapiens OX=9606 GN=FCGR3A PE=1 SV=2
+MWQLLLPTALLLLVSAGMRTEDLPKAVVFLEPQWYRVLEKDSVTLKCQGAYSPEDNSTQWFHNESLISSQASSYFIDAATVDDSGEYRCQTNLSTLSDPVQLEVHIGWLLLQAPRWVFKEEDPIHLRCHSWKNTALHKVTYLQNGKGRKYFHHNSDFYIPKATLKDSGSYFCRGLFGSKNVSSETVNITITQGLAVSTISSFFPPGYQVSFCLVMVLLFAVDTGLYFSVKTNIRSSTRDWKDHKFKWRKDPQDK
+>DECOY_sp|P08637|FCG3A_HUMAN Low affinity immunoglobulin gamma Fc region receptor III-A OS=Homo sapiens OX=9606 GN=FCGR3A PE=1 SV=2
+KDQPDKRWKFKHDKWDRTSSRINTKVSFYLGTDVAFLLVMVLCFSVQYGPPFFSSITSVALGQTITINVTESSVNKSGFLGRCFYSGSDKLTAKPIYFDSNHHFYKRGKGNQLYTVKHLATNKWSHCRLHIPDEEKFVWRPAQLLLWGIHVELQVPDSLTSLNTQCRYEGSDDVTAADIFYSSAQSSILSENHFWQTSNDEPSYAGQCKLTVSDKELVRYWQPELFVVAKPLDETRMGASVLLLLATPLLLQWM
+>sp|Q96LA6|FCRL1_HUMAN Fc receptor-like protein 1 OS=Homo sapiens OX=9606 GN=FCRL1 PE=1 SV=1
+MLPRLLLLICAPLCEPAELFLIASPSHPTEGSPVTLTCKMPFLQSSDAQFQFCFFRDTRALGPGWSSSPKLQIAAMWKEDTGSYWCEAQTMASKVLRSRRSQINVHRVPVADVSLETQPPGGQVMEGDRLVLICSVAMGTGDITFLWYKGAVGLNLQSKTQRSLTAEYEIPSVRESDAEQYYCVAENGYGPSPSGLVSITVRIPVSRPILMLRAPRAQAAVEDVLELHCEALRGSPPILYWFYHEDITLGSRSAPSGGGASFNLSLTEEHSGNYSCEANNGLGAQRSEAVTLNFTVPTGARSNHLTSGVIEGLLSTLGPATVALLFCYGLKRKIGRRSARDPLRSLPSPLPQEFTYLNSPTPGQLQPIYENVNVVSGDEVYSLAYYNQPEQESVAAETLGTHMEDKVSLDIYSRLRKANITDVDYEDAM
+>DECOY_sp|Q96LA6|FCRL1_HUMAN Fc receptor-like protein 1 OS=Homo sapiens OX=9606 GN=FCRL1 PE=1 SV=1
+MADEYDVDTINAKRLRSYIDLSVKDEMHTGLTEAAVSEQEPQNYYALSYVEDGSVVNVNEYIPQLQGPTPSNLYTFEQPLPSPLSRLPDRASRRGIKRKLGYCFLLAVTAPGLTSLLGEIVGSTLHNSRAGTPVTFNLTVAESRQAGLGNNAECSYNGSHEETLSLNFSAGGGSPASRSGLTIDEHYFWYLIPPSGRLAECHLELVDEVAAQARPARLMLIPRSVPIRVTISVLGSPSPGYGNEAVCYYQEADSERVSPIEYEATLSRQTKSQLNLGVAGKYWLFTIDGTGMAVSCILVLRDGEMVQGGPPQTELSVDAVPVRHVNIQSRRSRLVKSAMTQAECWYSGTDEKWMAAIQLKPSSSWGPGLARTDRFFCFQFQADSSQLFPMKCTLTVPSGETPHSPSAILFLEAPECLPACILLLLRPLM
+>sp|Q96RD9|FCRL5_HUMAN Fc receptor-like protein 5 OS=Homo sapiens OX=9606 GN=FCRL5 PE=1 SV=3
+MLLWVILLVLAPVSGQFARTPRPIIFLQPPWTTVFQGERVTLTCKGFRFYSPQKTKWYHRYLGKEILRETPDNILEVQESGEYRCQAQGSPLSSPVHLDFSSASLILQAPLSVFEGDSVVLRCRAKAEVTLNNTIYKNDNVLAFLNKRTDFHIPHACLKDNGAYRCTGYKESCCPVSSNTVKIQVQEPFTRPVLRASSFQPISGNPVTLTCETQLSLERSDVPLRFRFFRDDQTLGLGWSLSPNFQITAMWSKDSGFYWCKAATMPYSVISDSPRSWIQVQIPASHPVLTLSPEKALNFEGTKVTLHCETQEDSLRTLYRFYHEGVPLRHKSVRCERGASISFSLTTENSGNYYCTADNGLGAKPSKAVSLSVTVPVSHPVLNLSSPEDLIFEGAKVTLHCEAQRGSLPILYQFHHEGAALERRSANSAGGVAISFSLTAEHSGNYYCTADNGFGPQRSKAVSLSVTVPVSHPVLTLSSAEALTFEGATVTLHCEVQRGSPQILYQFYHEDMPLWSSSTPSVGRVSFSFSLTEGHSGNYYCTADNGFGPQRSEVVSLFVTVPVSRPILTLRVPRAQAVVGDLLELHCEAPRGSPPILYWFYHEDVTLGSSSAPSGGEASFNLSLTAEHSGNYSCEANNGLVAQHSDTISLSVIVPVSRPILTFRAPRAQAVVGDLLELHCEALRGSSPILYWFYHEDVTLGKISAPSGGGASFNLSLTTEHSGIYSCEADNGLEAQRSEMVTLKVAVPVSRPVLTLRAPGTHAAVGDLLELHCEALRGSPLILYRFFHEDVTLGNRSSPSGGASLNLSLTAEHSGNYSCEADNGLGAQRSETVTLYITGLTANRSGPFATGVAGGLLSIAGLAAGALLLYCWLSRKAGRKPASDPARSPSDSDSQEPTYHNVPAWEELQPVYTNANPRGENVVYSEVRIIQEKKKHAVASDPRHLRNKGSPIIYSEVKVASTPVSGSLFLASSAPHR
+>DECOY_sp|Q96RD9|FCRL5_HUMAN Fc receptor-like protein 5 OS=Homo sapiens OX=9606 GN=FCRL5 PE=1 SV=3
+RHPASSALFLSGSVPTSAVKVESYIIPSGKNRLHRPDSAVAHKKKEQIIRVESYVVNEGRPNANTYVPQLEEWAPVNHYTPEQSDSDSPSRAPDSAPKRGAKRSLWCYLLLAGAALGAISLLGGAVGTAFPGSRNATLGTIYLTVTESRQAGLGNDAECSYNGSHEATLSLNLSAGGSPSSRNGLTVDEHFFRYLILPSGRLAECHLELLDGVAAHTGPARLTLVPRSVPVAVKLTVMESRQAELGNDAECSYIGSHETTLSLNFSAGGGSPASIKGLTVDEHYFWYLIPSSGRLAECHLELLDGVVAQARPARFTLIPRSVPVIVSLSITDSHQAVLGNNAECSYNGSHEATLSLNFSAEGGSPASSSGLTVDEHYFWYLIPPSGRPAECHLELLDGVVAQARPVRLTLIPRSVPVTVFLSVVESRQPGFGNDATCYYNGSHGETLSFSFSVRGVSPTSSSWLPMDEHYFQYLIQPSGRQVECHLTVTAGEFTLAEASSLTLVPHSVPVTVSLSVAKSRQPGFGNDATCYYNGSHEATLSFSIAVGGASNASRRELAAGEHHFQYLIPLSGRQAECHLTVKAGEFILDEPSSLNLVPHSVPVTVSLSVAKSPKAGLGNDATCYYNGSNETTLSFSISAGRECRVSKHRLPVGEHYFRYLTRLSDEQTECHLTVKTGEFNLAKEPSLTLVPHSAPIQVQIWSRPSDSIVSYPMTAAKCWYFGSDKSWMATIQFNPSLSWGLGLTQDDRFFRFRLPVDSRELSLQTECTLTVPNGSIPQFSSARLVPRTFPEQVQIKVTNSSVPCCSEKYGTCRYAGNDKLCAHPIHFDTRKNLFALVNDNKYITNNLTVEAKARCRLVVSDGEFVSLPAQLILSASSFDLHVPSSLPSGQAQCRYEGSEQVELINDPTERLIEKGLYRHYWKTKQPSYFRFGKCTLTVREGQFVTTWPPQLFIIPRPTRAFQGSVPALVLLIVWLLM
+>sp|Q6DN72|FCRL6_HUMAN Fc receptor-like protein 6 OS=Homo sapiens OX=9606 GN=FCRL6 PE=1 SV=2
+MLLWTAVLLFVPCVGKTVWLYLQAWPNPVFEGDALTLRCQGWKNTPLSQVKFYRDGKFLHFSKENQTLSMGAATVQSRGQYSCSGQVMYIPQTFTQTSETAMVQVQELFPPPVLSAIPSPEPREGSLVTLRCQTKLHPLRSALRLLFSFHKDGHTLQDRGPHPELCIPGAKEGDSGLYWCEVAPEGGQVQKQSPQLEVRVQAPVSRPVLTLHHGPADPAVGDMVQLLCEAQRGSPPILYSFYLDEKIVGNHSAPCGGTTSLLFPVKSEQDAGNYSCEAENSVSRERSEPKKLSLKGSQVLFTPASNWLVPWLPASLLGLMVIAAALLVYVRSWRKAGPLPSQIPPTAPGGEQCPLYANVHHQKGKDEGVVYSVVHRTSKRSEARSAEFTVGRKDSSIICAEVRCLQPSEVSSTEVNMRSRTLQEPLSDCEEVLC
+>DECOY_sp|Q6DN72|FCRL6_HUMAN Fc receptor-like protein 6 OS=Homo sapiens OX=9606 GN=FCRL6 PE=1 SV=2
+CLVEECDSLPEQLTRSRMNVETSSVESPQLCRVEACIISSDKRGVTFEASRAESRKSTRHVVSYVVGEDKGKQHHVNAYLPCQEGGPATPPIQSPLPGAKRWSRVYVLLAAAIVMLGLLSAPLWPVLWNSAPTFLVQSGKLSLKKPESRERSVSNEAECSYNGADQESKVPFLLSTTGGCPASHNGVIKEDLYFSYLIPPSGRQAECLLQVMDGVAPDAPGHHLTLVPRSVPAQVRVELQPSQKQVQGGEPAVECWYLGSDGEKAGPICLEPHPGRDQLTHGDKHFSFLLRLASRLPHLKTQCRLTVLSGERPEPSPIASLVPPPFLEQVQVMATESTQTFTQPIYMVQGSCSYQGRSQVTAAGMSLTQNEKSFHLFKGDRYFKVQSLPTNKWGQCRLTLADGEFVPNPWAQLYLWVTKGVCPVFLLVATWLLM
+>sp|Q86WN1|FCSD1_HUMAN F-BAR and double SH3 domains protein 1 OS=Homo sapiens OX=9606 GN=FCHSD1 PE=1 SV=1
+MQPPPRKVKPAQEVKLRFLEQLSILQTWQQREADLLEDIRSYSKQRAAIEREYGQALQKLAGPFLKREGHRSGEMDSRGRTVFGAWRCLLDATVAGGQTRLQASDRYRDLAGGTGRSAKEQVLRKGTENLQRAQAEVLQSVRELSRSRKLYGQRERVWALAQEKAADVQARLNRSDHGIFHSRTSLQKLSTKLSAQSAQYSQQLQAARNEYLLNLVATNAHLDHYYQEELPALLKALVSELSEHLRDPLTSLSHTELEAAEVILEHAHRGEQTTSQVSWEQDLKLFLQEPGVFSPTPPQQFQPAGTDQVCVLEWGAEGVAGKSGLEKEVQRLTSRAARDYKIQNHGHRVLQRLEQRRQQASEREAPSIEQRLQEVRESIRRAQVSQVKGAARLALLQGAGLDVERWLKPAMTQAQDEVEQERRLSEARLSQRDLSPTAEDAELSDFEECEETGELFEEPAPQALATRALPCPAHVVFRYQAGREDELTITEGEWLEVIEEGDADEWVKARNQHGEVGFVPERYLNFPDLSLPESSQDSDNPCGAEPTAFLAQALYSYTGQSAEELSFPEGALIRLLPRAQDGVDDGFWRGEFGGRVGVFPSLLVEELLGPPGPPELSDPEQMLPSPSPPSFSPPAPTSVLDGPPAPVLPGDKALDFPGFLDMMAPRLRPMRPPPPPPAKAPDPGHPDPLT
+>DECOY_sp|Q86WN1|FCSD1_HUMAN F-BAR and double SH3 domains protein 1 OS=Homo sapiens OX=9606 GN=FCHSD1 PE=1 SV=1
+TLPDPHGPDPAKAPPPPPPRMPRLRPAMMDLFGPFDLAKDGPLVPAPPGDLVSTPAPPSFSPPSPSPLMQEPDSLEPPGPPGLLEEVLLSPFVGVRGGFEGRWFGDDVGDQARPLLRILAGEPFSLEEASQGTYSYLAQALFATPEAGCPNDSDQSSEPLSLDPFNLYREPVFGVEGHQNRAKVWEDADGEEIVELWEGETITLEDERGAQYRFVVHAPCPLARTALAQPAPEEFLEGTEECEEFDSLEADEATPSLDRQSLRAESLRREQEVEDQAQTMAPKLWREVDLGAGQLLALRAAGKVQSVQARRISERVEQLRQEISPAERESAQQRRQELRQLVRHGHNQIKYDRAARSTLRQVEKELGSKGAVGEAGWELVCVQDTGAPQFQQPPTPSFVGPEQLFLKLDQEWSVQSTTQEGRHAHELIVEAAELETHSLSTLPDRLHESLESVLAKLLAPLEEQYYHDLHANTAVLNLLYENRAAQLQQSYQASQASLKTSLKQLSTRSHFIGHDSRNLRAQVDAAKEQALAWVRERQGYLKRSRSLERVSQLVEAQARQLNETGKRLVQEKASRGTGGALDRYRDSAQLRTQGGAVTADLLCRWAGFVTRGRSDMEGSRHGERKLFPGALKQLAQGYEREIAARQKSYSRIDELLDAERQQWTQLISLQELFRLKVEQAPKVKRPPPQM
+>sp|Q8TBJ5|FEZF2_HUMAN Fez family zinc finger protein 2 OS=Homo sapiens OX=9606 GN=FEZF2 PE=2 SV=2
+MASSASLETMVPPACPRAGASPATSKTLAFSIERIMAKTSEPRAPFEPRPGALEADGSQGKKLLNLCSPLPCMIPLQPLGYEVPSKTLLSYSELWKSSLRAGGGGGGGGGGGGGGGGAPVCGASGLCKTNCGVCCKAELGLAPSALPAGRVIKPQVINQAVGLPASGSLYYFNYLDSTAYPPSELLSGHLFPSGLLNAQAPAALAAHPKLFLLENAKLAGLAADKFPHPAPYPHKERLPAPLEQVLKENSALTAERGGVKGHSKLPGGSADGKPKNFTCEVCGKVFNAHYNLTRHMPVHTGARPFVCKVCGKGFRQASTLCRHKIIHTQEKPHKCNQCGKAFNRSSTLNTHIRIHAGYKPFVCEFCGKGFHQKGNYKNHKLTHSGEKQYKCTICNKAFHQVYNLTFHMHTHNDKKPFTCATCGKGFCRNFDLKKHVRKLHDSVGPAAPSAKDLTRTVQS
+>DECOY_sp|Q8TBJ5|FEZF2_HUMAN Fez family zinc finger protein 2 OS=Homo sapiens OX=9606 GN=FEZF2 PE=2 SV=2
+SQVTRTLDKASPAAPGVSDHLKRVHKKLDFNRCFGKGCTACTFPKKDNHTHMHFTLNYVQHFAKNCITCKYQKEGSHTLKHNKYNGKQHFGKGCFECVFPKYGAHIRIHTNLTSSRNFAKGCQNCKHPKEQTHIIKHRCLTSAQRFGKGCVKCVFPRAGTHVPMHRTLNYHANFVKGCVECTFNKPKGDASGGPLKSHGKVGGREATLASNEKLVQELPAPLREKHPYPAPHPFKDAALGALKANELLFLKPHAALAAPAQANLLGSPFLHGSLLESPPYATSDLYNFYYLSGSAPLGVAQNIVQPKIVRGAPLASPALGLEAKCCVGCNTKCLGSAGCVPAGGGGGGGGGGGGGGGGARLSSKWLESYSLLTKSPVEYGLPQLPIMCPLPSCLNLLKKGQSGDAELAGPRPEFPARPESTKAMIREISFALTKSTAPSAGARPCAPPVMTELSASSAM
+>sp|Q5JSP0|FGD3_HUMAN FYVE, RhoGEF and PH domain-containing protein 3 OS=Homo sapiens OX=9606 GN=FGD3 PE=1 SV=1
+MESGRGSSTPPGPIAALGMPDTGPGSSSLGKLQALPVGPRAHCGDPVSLAAAGDGSPDIGPTGELSGSLKIPNRDSGIDSPSSSVAGENFPCEEGLEAGPSPTVLGAHAEMALDSQVPKVTPQEEADSDVGEEPDSENTPQKADKDAGLAQHSGPQKLLHIAQELLHTEETYVKRLHLLDQVFCTRLTDAGIPPEVIMGIFSNISSIHRFHGQFLLPELKTRITEEWDTNPRLGDILQKLAPFLKMYGEYVKNFDRAVGLVSTWTQRSPLFKDVVHSIQKQEVCGNLTLQHHMLEPVQRVPRYELLLKDYLKRLPQDAPDRKDAERSLELISTAANHSNAAIRKVEKMHKLLEVYEQLGGEEDIVNPANELIKEGQIQKLSAKNGTPQDRHLFLFNSMILYCVPKLRLMGQKFSVREKMDISGLQVQDIVKPNTAHTFIITGRKRSLELQTRTEEEKKEWIQIIQATIEKHKQNSETFKAFGGAFSQDEDPSLSPDMPITSTSPVEPVVTTEGSSGAAGLEPRKLSSKTRRDKEKQSCKSCGETFNSITKRRHHCKLCGAVICGKCSEFKAENSRQSRVCRDCFLTQPVAPESTEKTPTADPQPSLLCGPLRLSESGETWSEVWAAIPMSDPQVLHLQGGSQDGRLPRTIPLPSCKLSVPDPEERLDSGHVWKLQWAKQSWYLSASSAELQQQWLETLSTAAHGDTAQDSPGALQLQVPMGAAAP
+>DECOY_sp|Q5JSP0|FGD3_HUMAN FYVE, RhoGEF and PH domain-containing protein 3 OS=Homo sapiens OX=9606 GN=FGD3 PE=1 SV=1
+PAAAGMPVQLQLAGPSDQATDGHAATSLTELWQQQLEASSASLYWSQKAWQLKWVHGSDLREEPDPVSLKCSPLPITRPLRGDQSGGQLHLVQPDSMPIAAWVESWTEGSESLRLPGCLLSPQPDATPTKETSEPAVPQTLFCDRCVRSQRSNEAKFESCKGCIVAGCLKCHHRRKTISNFTEGCSKCSQKEKDRRTKSSLKRPELGAAGSSGETTVVPEVPSTSTIPMDPSLSPDEDQSFAGGFAKFTESNQKHKEITAQIIQIWEKKEEETRTQLELSRKRGTIIFTHATNPKVIDQVQLGSIDMKERVSFKQGMLRLKPVCYLIMSNFLFLHRDQPTGNKASLKQIQGEKILENAPNVIDEEGGLQEYVELLKHMKEVKRIAANSHNAATSILELSREADKRDPADQPLRKLYDKLLLEYRPVRQVPELMHHQLTLNGCVEQKQISHVVDKFLPSRQTWTSVLGVARDFNKVYEGYMKLFPALKQLIDGLRPNTDWEETIRTKLEPLLFQGHFRHISSINSFIGMIVEPPIGADTLRTCFVQDLLHLRKVYTEETHLLEQAIHLLKQPGSHQALGADKDAKQPTNESDPEEGVDSDAEEQPTVKPVQSDLAMEAHAGLVTPSPGAELGEECPFNEGAVSSSPSDIGSDRNPIKLSGSLEGTPGIDPSGDGAAALSVPDGCHARPGVPLAQLKGLSSSGPGTDPMGLAAIPGPPTSSGRGSEM
+>sp|Q6ZNL6|FGD5_HUMAN FYVE, RhoGEF and PH domain-containing protein 5 OS=Homo sapiens OX=9606 GN=FGD5 PE=1 SV=3
+MFRGPKPPIAPKPRLTAPNEWRASVYLNDSLNKCSNGRLPCVDRGLDEGPRSIPKCSESETDEDYIVVPRVPLREDEPKDEGSVGNKALVSPESSAEEEEEREEGGEACGLEGTGAGEDSVAPAAPGAGALSREGEEGTDLALEDEGEGCADEPGTLEQVSRSEEEEKLVQPHRECSLEDSGPWAGEGVFQSDLLLPHIHGEDQEPPDTPGEAEEDDEEGCASTDPAGADEGSGPDRPTEDMGQDAEDTSEEPPEKEELAGVQEAETATDCPEVLEEGCEEATGVTGGEQVDLSEPPDHEKKTNQEVAAATLEDHAQDESAEESCQIVPFENDCMEDFVTSLTGSPYEFFPTESTSFCSESCSPLSESAKGLESEQAPKLGLRAEENPMVGALCGQCGSLQGGAAEGPAAPDVVVVLEEEALDDALANPYVMGVGLPGQAAPGEGGQAASDALGGYGSKEELNCEAEGGLVPADRKNTSTRVRPHSGKVAGYVPETVPEETGPEAGSSAPGIGGAAEEVGKTLLSLEGKPLEASRALPAKPRAFTLYPRSFSVEGREIPVSVYQEPEGSGLDDHRIKRKEDNLSLSCVIGSSGSFSQRNHLPSSGTSTPSSMVDIPPPFDLACITKKPITKSSPSLLIESDSPDKYKKKKSSFKRFLALTFKKKTENKLHVDVNVSSSRSSSESSYHGPSRILEVDRRSLSNSPQLKSRTGKLRASESPSSLIFYRDGKRKGVPFSRTVSRVESFEDRSRPPFLPLPLTKPRSISFPSADTSDYENIPAMNSDYENIQIPPRRPARAGAFTKLFEDQSRALSTANENDGYVDMSSFNAFESKQQSADQDAESAYTEPYKVCPISSAAPKEDLTSDEEQRSSEEEDSASRDPSVTHKVEGQSRALVIAQELLSSEKAYVEMLQHLNLDFHGAVMRALDDMDHEGRDTLAREELRQGLSELPAIHDLHQGILEELEERLSNWESQQKVADVFLAREQGFDHHATHILQFDRYLGLLSENCLHSPRLAAAVREFEQSVQGGSQTAKHRLLRVVQRLFQYQVLLTDYLNNLCPDSAEYDNTQGALSLISKVTDRANDSMEQGENLQKLVHIEHSVRGQGDLLQPGREFLKEGTLMKVTGKNRRPRHLFLMNDVLLYTYPQKDGKYRLKNTLAVANMKVSRPVMEKVPYALKIETSESCLMLSASSCAERDEWYGCLSRALPEDYKAQALAAFHHSVEIRERLGVSLGERPPTLVPVTHVMMCMNCGCDFSLTLRRHHCHACGKIVCRNCSRNKYPLKYLKDRMAKVCDGCFGELKKRGRAVPGLMRERPVSMSFPLSSPRFSGSAFSSVFQSINPSTFKKQKKVPSALTEVAASGEGSAISGYLSRCKRGKRHWKKLWFVIKGKVLYTYMASEDKVALESMPLLGFTIAPEKEEGSSEVGPIFHLYHKKTLFYSFKAEDTNSAQRWIEAMEDASVL
+>DECOY_sp|Q6ZNL6|FGD5_HUMAN FYVE, RhoGEF and PH domain-containing protein 5 OS=Homo sapiens OX=9606 GN=FGD5 PE=1 SV=3
+LVSADEMAEIWRQASNTDEAKFSYFLTKKHYLHFIPGVESSGEEKEPAITFGLLPMSELAVKDESAMYTYLVKGKIVFWLKKWHRKGRKCRSLYGSIASGEGSAAVETLASPVKKQKKFTSPNISQFVSSFASGSFRPSSLPFSMSVPRERMLGPVARGRKKLEGFCGDCVKAMRDKLYKLPYKNRSCNRCVIKGCAHCHHRRLTLSFDCGCNMCMMVHTVPVLTPPREGLSVGLRERIEVSHHFAALAQAKYDEPLARSLCGYWEDREACSSASLMLCSESTEIKLAYPVKEMVPRSVKMNAVALTNKLRYKGDKQPYTYLLVDNMLFLHRPRRNKGTVKMLTGEKLFERGPQLLDGQGRVSHEIHVLKQLNEGQEMSDNARDTVKSILSLAGQTNDYEASDPCLNNLYDTLLVQYQFLRQVVRLLRHKATQSGGQVSQEFERVAAALRPSHLCNESLLGLYRDFQLIHTAHHDFGQERALFVDAVKQQSEWNSLREELEELIGQHLDHIAPLESLGQRLEERALTDRGEHDMDDLARMVAGHFDLNLHQLMEVYAKESSLLEQAIVLARSQGEVKHTVSPDRSASDEEESSRQEEDSTLDEKPAASSIPCVKYPETYASEADQDASQQKSEFANFSSMDVYGDNENATSLARSQDEFLKTFAGARAPRRPPIQINEYDSNMAPINEYDSTDASPFSISRPKTLPLPLFPPRSRDEFSEVRSVTRSFPVGKRKGDRYFILSSPSESARLKGTRSKLQPSNSLSRRDVELIRSPGHYSSESSSRSSSVNVDVHLKNETKKKFTLALFRKFSSKKKKYKDPSDSEILLSPSSKTIPKKTICALDFPPPIDVMSSPTSTGSSPLHNRQSFSGSSGIVCSLSLNDEKRKIRHDDLGSGEPEQYVSVPIERGEVSFSRPYLTFARPKAPLARSAELPKGELSLLTKGVEEAAGGIGPASSGAEPGTEEPVTEPVYGAVKGSHPRVRTSTNKRDAPVLGGEAECNLEEKSGYGGLADSAAQGGEGPAAQGPLGVGMVYPNALADDLAEEELVVVVDPAAPGEAAGGQLSGCQGCLAGVMPNEEARLGLKPAQESELGKASESLPSCSESCFSTSETPFFEYPSGTLSTVFDEMCDNEFPVIQCSEEASEDQAHDELTAAAVEQNTKKEHDPPESLDVQEGGTVGTAEECGEELVEPCDTATEAEQVGALEEKEPPEESTDEADQGMDETPRDPGSGEDAGAPDTSACGEEDDEEAEGPTDPPEQDEGHIHPLLLDSQFVGEGAWPGSDELSCERHPQVLKEEEESRSVQELTGPEDACGEGEDELALDTGEEGERSLAGAGPAAPAVSDEGAGTGELGCAEGGEEREEEEEASSEPSVLAKNGVSGEDKPEDERLPVRPVVIYDEDTESESCKPISRPGEDLGRDVCPLRGNSCKNLSDNLYVSARWENPATLRPKPAIPPKPGRFM
+>sp|O95750|FGF19_HUMAN Fibroblast growth factor 19 OS=Homo sapiens OX=9606 GN=FGF19 PE=1 SV=1
+MRSGCVVVHVWILAGLWLAVAGRPLAFSDAGPHVHYGWGDPIRLRHLYTSGPHGLSSCFLRIRADGVVDCARGQSAHSLLEIKAVALRTVAIKGVHSVRYLCMGADGKMQGLLQYSEEDCAFEEEIRPDGYNVYRSEKHRLPVSLSSAKQRQLYKNRGFLPLSHFLPMLPMVPEEPEDLRGHLESDMFSSPLETDSMDPFGLVTGLEAVRSPSFEK
+>DECOY_sp|O95750|FGF19_HUMAN Fibroblast growth factor 19 OS=Homo sapiens OX=9606 GN=FGF19 PE=1 SV=1
+KEFSPSRVAELGTVLGFPDMSDTELPSSFMDSELHGRLDEPEEPVMPLMPLFHSLPLFGRNKYLQRQKASSLSVPLRHKESRYVNYGDPRIEEEFACDEESYQLLGQMKGDAGMCLYRVSHVGKIAVTRLAVAKIELLSHASQGRACDVVGDARIRLFCSSLGHPGSTYLHRLRIPDGWGYHVHPGADSFALPRGAVALWLGALIWVHVVVCGSRM
+>sp|P09038|FGF2_HUMAN Fibroblast growth factor 2 OS=Homo sapiens OX=9606 GN=FGF2 PE=1 SV=3
+MVGVGGGDVEDVTPRPGGCQISGRGARGCNGIPGAAAWEAALPRRRPRRHPSVNPRSRAAGSPRTRGRRTEERPSGSRLGDRGRGRALPGGRLGGRGRGRAPERVGGRGRGRGTAAPRAAPAARGSRPGPAGTMAAGSITTLPALPEDGGSGAFPPGHFKDPKRLYCKNGGFFLRIHPDGRVDGVREKSDPHIKLQLQAEERGVVSIKGVCANRYLAMKEDGRLLASKCVTDECFFFERLESNNYNTYRSRKYTSWYVALKRTGQYKLGSKTGPGQKAILFLPMSAKS
+>DECOY_sp|P09038|FGF2_HUMAN Fibroblast growth factor 2 OS=Homo sapiens OX=9606 GN=FGF2 PE=1 SV=3
+SKASMPLFLIAKQGPGTKSGLKYQGTRKLAVYWSTYKRSRYTNYNNSELREFFFCEDTVCKSALLRGDEKMALYRNACVGKISVVGREEAQLQLKIHPDSKERVGDVRGDPHIRLFFGGNKCYLRKPDKFHGPPFAGSGGDEPLAPLTTISGAAMTGAPGPRSGRAAPAARPAATGRGRGRGGVREPARGRGRGGLRGGPLARGRGRDGLRSGSPREETRRGRTRPSGAARSRPNVSPHRRPRRRPLAAEWAAAGPIGNCGRAGRGSIQCGGPRPTVDEVDGGGVGVM
+>sp|P10767|FGF6_HUMAN Fibroblast growth factor 6 OS=Homo sapiens OX=9606 GN=FGF6 PE=1 SV=4
+MALGQKLFITMSRGAGRLQGTLWALVFLGILVGMVVPSPAGTRANNTLLDSRGWGTLLSRSRAGLAGEIAGVNWESGYLVGIKRQRRLYCNVGIGFHLQVLPDGRISGTHEENPYSLLEISTVERGVVSLFGVRSALFVAMNSKGRLYATPSFQEECKFRETLLPNNYNAYESDLYQGTYIALSKYGRVKRGSKVSPIMTVTHFLPRI
+>DECOY_sp|P10767|FGF6_HUMAN Fibroblast growth factor 6 OS=Homo sapiens OX=9606 GN=FGF6 PE=1 SV=4
+IRPLFHTVTMIPSVKSGRKVRGYKSLAIYTGQYLDSEYANYNNPLLTERFKCEEQFSPTAYLRGKSNMAVFLASRVGFLSVVGREVTSIELLSYPNEEHTGSIRGDPLVQLHFGIGVNCYLRRQRKIGVLYGSEWNVGAIEGALGARSRSLLTGWGRSDLLTNNARTGAPSPVVMGVLIGLFVLAWLTGQLRGAGRSMTIFLKQGLAM
+>sp|P21781|FGF7_HUMAN Fibroblast growth factor 7 OS=Homo sapiens OX=9606 GN=FGF7 PE=1 SV=1
+MHKWILTWILPTLLYRSCFHIICLVGTISLACNDMTPEQMATNVNCSSPERHTRSYDYMEGGDIRVRRLFCRTQWYLRIDKRGKVKGTQEMKNNYNIMEIRTVAVGIVAIKGVESEFYLAMNKEGKLYAKKECNEDCNFKELILENHYNTYASAKWTHNGGEMFVALNQKGIPVRGKKTKKEQKTAHFLPMAIT
+>DECOY_sp|P21781|FGF7_HUMAN Fibroblast growth factor 7 OS=Homo sapiens OX=9606 GN=FGF7 PE=1 SV=1
+TIAMPLFHATKQEKKTKKGRVPIGKQNLAVFMEGGNHTWKASAYTNYHNELILEKFNCDENCEKKAYLKGEKNMALYFESEVGKIAVIGVAVTRIEMINYNNKMEQTGKVKGRKDIRLYWQTRCFLRRVRIDGGEMYDYSRTHREPSSCNVNTAMQEPTMDNCALSITGVLCIIHFCSRYLLTPLIWTLIWKHM
+>sp|P31371|FGF9_HUMAN Fibroblast growth factor 9 OS=Homo sapiens OX=9606 GN=FGF9 PE=1 SV=3
+MAPLGEVGNYFGVQDAVPFGNVPVLPVDSPVLLSDHLGQSEAGGLPRGPAVTDLDHLKGILRRRQLYCRTGFHLEIFPNGTIQGTRKDHSRFGILEFISIAVGLVSIRGVDSGLYLGMNEKGELYGSEKLTQECVFREQFEENWYNTYSSNLYKHVDTGRRYYVALNKDGTPREGTRTKRHQKFTHFLPRPVDPDKVPELYKDILSQS
+>DECOY_sp|P31371|FGF9_HUMAN Fibroblast growth factor 9 OS=Homo sapiens OX=9606 GN=FGF9 PE=1 SV=3
+SQSLIDKYLEPVKDPDVPRPLFHTFKQHRKTRTGERPTGDKNLAVYYRRGTDVHKYLNSSYTNYWNEEFQERFVCEQTLKESGYLEGKENMGLYLGSDVGRISVLGVAISIFELIGFRSHDKRTGQITGNPFIELHFGTRCYLQRRRLIGKLHDLDTVAPGRPLGGAESQGLHDSLLVPSDVPLVPVNGFPVADQVGFYNGVEGLPAM
+>sp|Q96C11|FGGY_HUMAN FGGY carbohydrate kinase domain-containing protein OS=Homo sapiens OX=9606 GN=FGGY PE=1 SV=2
+MSGGEQKPERYYVGVDVGTGSVRAALVDQSGVLLAFADQPIKNWEPQFNHHEQSSEDIWAACCVVTKKVVQGIDLNQIRGLGFDATCSLVVLDKQFHPLPVNQEGDSHRNVIMWLDHRAVSQVNRINETKHSVLQYVGGVMSVEMQAPKLLWLKENLREICWDKAGHFFDLPDFLSWKATGVTARSLCSLVCKWTYSAEKGWDDSFWKMIGLEDFVADNYSKIGNQVLPPGASLGNGLTPEAARDLGLLPGIAVAASLIDAHAGGLGVIGADVRGHGLICEGQPVTSRLAVICGTSSCHMGISKDPIFVPGVWGPYFSAMVPGFWLNEGGQSVTGKLIDHMVQGHAAFPELQVKATARCQSIYAYLNSHLDLIKKAQPVGFLTVDLHVWPDFHGNRSPLADLTLKGMVTGLKLSQDLDDLAILYLATVQAIALGTRFIIEAMEAAGHSISTLFLCGGLSKNPLFVQMHADITGMPVVLSQEVESVLVGAAVLGACASGDFASVQEAMAKMSKVGKVVFPRLQDKKYYDKKYQVFLKLVEHQKEYLAIMNDD
+>DECOY_sp|Q96C11|FGGY_HUMAN FGGY carbohydrate kinase domain-containing protein OS=Homo sapiens OX=9606 GN=FGGY PE=1 SV=2
+DDNMIALYEKQHEVLKLFVQYKKDYYKKDQLRPFVVKGVKSMKAMAEQVSAFDGSACAGLVAAGVLVSEVEQSLVVPMGTIDAHMQVFLPNKSLGGCLFLTSISHGAAEMAEIIFRTGLAIAQVTALYLIALDDLDQSLKLGTVMGKLTLDALPSRNGHFDPWVHLDVTLFGVPQAKKILDLHSNLYAYISQCRATAKVQLEPFAAHGQVMHDILKGTVSQGGENLWFGPVMASFYPGWVGPVFIPDKSIGMHCSSTGCIVALRSTVPQGECILGHGRVDAGIVGLGGAHADILSAAVAIGPLLGLDRAAEPTLGNGLSAGPPLVQNGIKSYNDAVFDELGIMKWFSDDWGKEASYTWKCVLSCLSRATVGTAKWSLFDPLDFFHGAKDWCIERLNEKLWLLKPAQMEVSMVGGVYQLVSHKTENIRNVQSVARHDLWMIVNRHSDGEQNVPLPHFQKDLVVLSCTADFGLGRIQNLDIGQVVKKTVVCCAAWIDESSQEHHNFQPEWNKIPQDAFALLVGSQDVLAARVSGTGVDVGVYYREPKQEGGSM
+>sp|Q08830|FGL1_HUMAN Fibrinogen-like protein 1 OS=Homo sapiens OX=9606 GN=FGL1 PE=1 SV=3
+MAKVFSFILVTTALTMGREISALEDCAQEQMRLRAQVRLLETRVKQQQVKIKQLLQENEVQFLDKGDENTVIDLGSKRQYADCSEIFNDGYKLSGFYKIKPLQSPAEFSVYCDMSDGGGWTVIQRRSDGSENFNRGWKDYENGFGNFVQKHGEYWLGNKNLHFLTTQEDYTLKIDLADFEKNSRYAQYKNFKVGDEKNFYELNIGEYSGTAGDSLAGNFHPEVQWWASHQRMKFSTWDRDHDNYEGNCAEEDQSGWWFNRCHSANLNGVYYSGPYTAKTDNGIVWYTWHGWWYSLKSVVMKIRPNDFIPNVI
+>DECOY_sp|Q08830|FGL1_HUMAN Fibrinogen-like protein 1 OS=Homo sapiens OX=9606 GN=FGL1 PE=1 SV=3
+IVNPIFDNPRIKMVVSKLSYWWGHWTYWVIGNDTKATYPGSYYVGNLNASHCRNFWWGSQDEEACNGEYNDHDRDWTSFKMRQHSAWWQVEPHFNGALSDGATGSYEGINLEYFNKEDGVKFNKYQAYRSNKEFDALDIKLTYDEQTTLFHLNKNGLWYEGHKQVFNGFGNEYDKWGRNFNESGDSRRQIVTWGGGDSMDCYVSFEAPSQLPKIKYFGSLKYGDNFIESCDAYQRKSGLDIVTNEDGKDLFQVENEQLLQKIKVQQQKVRTELLRVQARLRMQEQACDELASIERGMTLATTVLIFSFVKAM
+>sp|Q14192|FHL2_HUMAN Four and a half LIM domains protein 2 OS=Homo sapiens OX=9606 GN=FHL2 PE=1 SV=3
+MTERFDCHHCNESLFGKKYILREESPYCVVCFETLFANTCEECGKPIGCDCKDLSYKDRHWHEACFHCSQCRNSLVDKPFAAKEDQLLCTDCYSNEYSSKCQECKKTIMPGTRKMEYKGSSWHETCFICHRCQQPIGTKSFIPKDNQNFCVPCYEKQHAMQCVQCKKPITTGGVTYREQPWHKECFVCTACRKQLSGQRFTARDDFAYCLNCFCDLYAKKCAGCTNPISGLGGTKYISFEERQWHNDCFNCKKCSLSLVGRGFLTERDDILCPDCGKDI
+>DECOY_sp|Q14192|FHL2_HUMAN Four and a half LIM domains protein 2 OS=Homo sapiens OX=9606 GN=FHL2 PE=1 SV=3
+IDKGCDPCLIDDRETLFGRGVLSLSCKKCNFCDNHWQREEFSIYKTGGLGSIPNTCGACKKAYLDCFCNLCYAFDDRATFRQGSLQKRCATCVFCEKHWPQERYTVGGTTIPKKCQVCQMAHQKEYCPVCFNQNDKPIFSKTGIPQQCRHCIFCTEHWSSGKYEMKRTGPMITKKCEQCKSSYENSYCDTCLLQDEKAAFPKDVLSNRCQSCHFCAEHWHRDKYSLDKCDCGIPKGCEECTNAFLTEFCVVCYPSEERLIYKKGFLSENCHHCDFRETM
+>sp|P02671|FIBA_HUMAN Fibrinogen alpha chain OS=Homo sapiens OX=9606 GN=FGA PE=1 SV=2
+MFSMRIVCLVLSVVGTAWTADSGEGDFLAEGGGVRGPRVVERHQSACKDSDWPFCSDEDWNYKCPSGCRMKGLIDEVNQDFTNRINKLKNSLFEYQKNNKDSHSLTTNIMEILRGDFSSANNRDNTYNRVSEDLRSRIEVLKRKVIEKVQHIQLLQKNVRAQLVDMKRLEVDIDIKIRSCRGSCSRALAREVDLKDYEDQQKQLEQVIAKDLLPSRDRQHLPLIKMKPVPDLVPGNFKSQLQKVPPEWKALTDMPQMRMELERPGGNEITRGGSTSYGTGSETESPRNPSSAGSWNSGSSGPGSTGNRNPGSSGTGGTATWKPGSSGPGSTGSWNSGSSGTGSTGNQNPGSPRPGSTGTWNPGSSERGSAGHWTSESSVSGSTGQWHSESGSFRPDSPGSGNARPNNPDWGTFEEVSGNVSPGTRREYHTEKLVTSKGDKELRTGKEKVTSGSTTTTRRSCSKTVTKTVIGPDGHKEVTKEVVTSEDGSDCPEAMDLGTLSGIGTLDGFRHRHPDEAAFFDTASTGKTFPGFFSPMLGEFVSETESRGSESGIFTNTKESSSHHPGIAEFPSRGKSSSYSKQFTSSTSYNRGDSTFESKSYKMADEAGSEADHEGTHSTKRGHAKSRPVRDCDDVLQTHPSGTQSGIFNIKLPGSSKIFSVYCDQETSLGGWLLIQQRMDGSLNFNRTWQDYKRGFGSLNDEGEGEFWLGNDYLHLLTQRGSVLRVELEDWAGNEAYAEYHFRVGSEAEGYALQVSSYEGTAGDALIEGSVEEGAEYTSHNNMQFSTFDRDADQWEENCAEVYGGGWWYNNCQAANLNGIYYPGGSYDPRNNSPYEIENGVVWVSFRGADYSLRAVRMKIRPLVTQ
+>DECOY_sp|P02671|FIBA_HUMAN Fibrinogen alpha chain OS=Homo sapiens OX=9606 GN=FGA PE=1 SV=2
+QTVLPRIKMRVARLSYDAGRFSVWVVGNEIEYPSNNRPDYSGGPYYIGNLNAAQCNNYWWGGGYVEACNEEWQDADRDFTSFQMNNHSTYEAGEEVSGEILADGATGEYSSVQLAYGEAESGVRFHYEAYAENGAWDELEVRLVSGRQTLLHLYDNGLWFEGEGEDNLSGFGRKYDQWTRNFNLSGDMRQQILLWGGLSTEQDCYVSFIKSSGPLKINFIGSQTGSPHTQLVDDCDRVPRSKAHGRKTSHTGEHDAESGAEDAMKYSKSEFTSDGRNYSTSSTFQKSYSSSKGRSPFEAIGPHHSSSEKTNTFIGSESGRSETESVFEGLMPSFFGPFTKGTSATDFFAAEDPHRHRFGDLTGIGSLTGLDMAEPCDSGDESTVVEKTVEKHGDPGIVTKTVTKSCSRRTTTTSGSTVKEKGTRLEKDGKSTVLKETHYERRTGPSVNGSVEEFTGWDPNNPRANGSGPSDPRFSGSESHWQGTSGSVSSESTWHGASGRESSGPNWTGTSGPRPSGPNQNGTSGTGSSGSNWSGTSGPGSSGPKWTATGGTGSSGPNRNGTSGPGSSGSNWSGASSPNRPSETESGTGYSTSGGRTIENGGPRELEMRMQPMDTLAKWEPPVKQLQSKFNGPVLDPVPKMKILPLHQRDRSPLLDKAIVQELQKQQDEYDKLDVERALARSCSGRCSRIKIDIDVELRKMDVLQARVNKQLLQIHQVKEIVKRKLVEIRSRLDESVRNYTNDRNNASSFDGRLIEMINTTLSHSDKNNKQYEFLSNKLKNIRNTFDQNVEDILGKMRCGSPCKYNWDEDSCFPWDSDKCASQHREVVRPGRVGGGEALFDGEGSDATWATGVVSLVLCVIRMSFM
+>sp|A6NMB9|FIGL2_HUMAN Putative fidgetin-like protein 2 OS=Homo sapiens OX=9606 GN=FIGNL2 PE=5 SV=2
+MHWTPEHAQPLNQWPEQHLDVSSTTPSPAHKLELPPGGRQRCHYAWAHDDISALTASNLLKRYAEKYSGVLDSPYERPALGGYSDASFLNGAKGDPEPWPGPEPPYPLASLHEGLPGTKSGGGGGSGALGGSPVLAGNLPEPLYAGNACGGPSAAPEYAAGYGGGYLAPGYCAQTGAALPPPPPAALLQPPPPPGYGPSAPLYNYPAGGYAAQPGYGALPPPPGPPPAPYLTPGLPAPTPLPAPAPPTAYGFPTAAPGAESGLSLKRKAADEGPEGRYRKYAYEPAKAPVADGASYPAADNGECRGNGFRAKPPGAAEEASGKYGGGVPLKVLGSPVYGPQLEPFEKFPERAPAPRGGFAVPSGETPKGVDPGALELVTSKMVDCGPPVQWADVAGQGALKAALEEELVWPLLRPPAYPGSLRPPRTVLLFGPRGAGKALLGRCLATQLGATLLRLRGATLAAPGAAEGARLLQAAFAAARCRPPSVLLISELEALLPARDDGAAAGGALQVPLLACLDGGCGAGADGVLVVGTTSRPAALDEATRRRFSLRFYVALPDSPARGQILQRALAQQGCALSERELAALVQGTQGFSGGELGQLCQQAAAGAGLPGLQRPLSYKDLEAALAKVGPRASAKELDSFVEWDKMYGSGH
+>DECOY_sp|A6NMB9|FIGL2_HUMAN Putative fidgetin-like protein 2 OS=Homo sapiens OX=9606 GN=FIGNL2 PE=5 SV=2
+HGSGYMKDWEVFSDLEKASARPGVKALAAELDKYSLPRQLGPLGAGAAAQQCLQGLEGGSFGQTGQVLAALERESLACGQQALARQLIQGRAPSDPLAVYFRLSFRRRTAEDLAAPRSTTGVVLVGDAGAGCGGDLCALLPVQLAGGAAAGDDRAPLLAELESILLVSPPRCRAAAFAAQLLRAGEAAGPAALTAGRLRLLTAGLQTALCRGLLAKGAGRPGFLLVTRPPRLSGPYAPPRLLPWVLEEELAAKLAGQGAVDAWQVPPGCDVMKSTVLELAGPDVGKPTEGSPVAFGGRPAPAREPFKEFPELQPGYVPSGLVKLPVGGGYKGSAEEAAGPPKARFGNGRCEGNDAAPYSAGDAVPAKAPEYAYKRYRGEPGEDAAKRKLSLGSEAGPAATPFGYATPPAPAPLPTPAPLGPTLYPAPPPGPPPPLAGYGPQAAYGGAPYNYLPASPGYGPPPPPQLLAAPPPPPLAAGTQACYGPALYGGGYGAAYEPAASPGGCANGAYLPEPLNGALVPSGGLAGSGGGGGSKTGPLGEHLSALPYPPEPGPWPEPDGKAGNLFSADSYGGLAPREYPSDLVGSYKEAYRKLLNSATLASIDDHAWAYHCRQRGGPPLELKHAPSPTTSSVDLHQEPWQNLPQAHEPTWHM
+>sp|Q4L180|FIL1L_HUMAN Filamin A-interacting protein 1-like OS=Homo sapiens OX=9606 GN=FILIP1L PE=1 SV=2
+MRSRGSDTEGSAQKKFPRHTKGHSFQGPKNMKHRQQDKDSPSESDVILPCPKAEKPHSGNGHQAEDLSRDDLLFLLSILEGELQARDEVIGILKAEKMDLALLEAQYGFVTPKKVLEALQRDAFQAKSTPWQEDIYEKPMNELDKVVEKHKESYRRILGQLLVAEKSRRQTILELEEEKRKHKEYMEKSDEFICLLEQECERLKKLIDQEIKSQEEKEQEKEKRVTTLKEELTKLKSFALMVVDEQQRLTAQLTLQRQKIQELTTNAKETHTKLALAEARVQEEEQKATRLEKELQTQTTKFHQDQDTIMAKLTNEDSQNRQLQQKLAALSRQIDELEETNRSLRKAEEELQDIKEKISKGEYGNAGIMAEVEELRKRVLDMEGKDEELIKMEEQCRDLNKRLERETLQSKDFKLEVEKLSKRIMALEKLEDAFNKSKQECYSLKCNLEKERMTTKQLSQELESLKVRIKELEAIESRLEKTEFTLKEDLTKLKTLTVMFVDERKTMSEKLKKTEDKLQAASSQLQVEQNKVTTVTEKLIEETKRALKSKTDVEEKMYSVTKERDDLKNKLKAEEEKGNDLLSRVNMLKNRLQSLEAIEKDFLKNKLNQDSGKSTTALHQENNKIKELSQEVERLKLKLKDMKAIEDDLMKTEDEYETLERRYANERDKAQFLSKELEHVKMELAKYKLAEKTETSHEQWLFKRLQEEEAKSGHLSREVDALKEKIHEYMATEDLICHLQGDHSVLQKKLNQQENRNRDLGREIENLTKELERYRHFSKSLRPSLNGRRISDPQVFSKEVQTEAVDNEPPDYKSLIPLERAVINGQLYEESENQDEDPNDEGSVLSFKCSQSTPCPVNRKLWIPWMKSKEGHLQNGKMQTKPNANFVQPGDLVLSHTPGQPLHIKVTPDHVQNTATLEITSPTTESPHSYTSTAVIPNCGTPKQRITILQNASITPVKSKTSTEDLMNLEQGMSPITMATFARAQTPESCGSLTPERTMSPIQVLAVTGSASSPEQGRSPEPTEISAKHAIFRVSPDRQSSWQFQRSNSNSSSVITTEDNKIHIHLGSPYMQAVASPVRPASPSAPLQDNRTQGLINGALNKTTNKVTSSITITPTATPLPRQSQITVEPLLLPH
+>DECOY_sp|Q4L180|FIL1L_HUMAN Filamin A-interacting protein 1-like OS=Homo sapiens OX=9606 GN=FILIP1L PE=1 SV=2
+HPLLLPEVTIQSQRPLPTATPTITISSTVKNTTKNLAGNILGQTRNDQLPASPSAPRVPSAVAQMYPSGLHIHIKNDETTIVSSSNSNSRQFQWSSQRDPSVRFIAHKASIETPEPSRGQEPSSASGTVALVQIPSMTREPTLSGCSEPTQARAFTAMTIPSMGQELNMLDETSTKSKVPTISANQLITIRQKPTGCNPIVATSTYSHPSETTPSTIELTATNQVHDPTVKIHLPQGPTHSLVLDGPQVFNANPKTQMKGNQLHGEKSKMWPIWLKRNVPCPTSQSCKFSLVSGEDNPDEDQNESEEYLQGNIVARELPILSKYDPPENDVAETQVEKSFVQPDSIRRGNLSPRLSKSFHRYRELEKTLNEIERGLDRNRNEQQNLKKQLVSHDGQLHCILDETAMYEHIKEKLADVERSLHGSKAEEEQLRKFLWQEHSTETKEALKYKALEMKVHELEKSLFQAKDRENAYRRELTEYEDETKMLDDEIAKMDKLKLKLREVEQSLEKIKNNEQHLATTSKGSDQNLKNKLFDKEIAELSQLRNKLMNVRSLLDNGKEEEAKLKNKLDDREKTVSYMKEEVDTKSKLARKTEEILKETVTTVKNQEVQLQSSAAQLKDETKKLKESMTKREDVFMVTLTKLKTLDEKLTFETKELRSEIAELEKIRVKLSELEQSLQKTTMREKELNCKLSYCEQKSKNFADELKELAMIRKSLKEVELKFDKSQLTERELRKNLDRCQEEMKILEEDKGEMDLVRKRLEEVEAMIGANGYEGKSIKEKIDQLEEEAKRLSRNTEELEDIQRSLAALKQQLQRNQSDENTLKAMITDQDQHFKTTQTQLEKELRTAKQEEEQVRAEALALKTHTEKANTTLEQIKQRQLTLQATLRQQEDVVMLAFSKLKTLEEKLTTVRKEKEQEKEEQSKIEQDILKKLRECEQELLCIFEDSKEMYEKHKRKEEELELITQRRSKEAVLLQGLIRRYSEKHKEVVKDLENMPKEYIDEQWPTSKAQFADRQLAELVKKPTVFGYQAELLALDMKEAKLIGIVEDRAQLEGELISLLFLLDDRSLDEAQHGNGSHPKEAKPCPLIVDSESPSDKDQQRHKMNKPGQFSHGKTHRPFKKQASGETDSGRSRM
+>sp|Q9Y3D6|FIS1_HUMAN Mitochondrial fission 1 protein OS=Homo sapiens OX=9606 GN=FIS1 PE=1 SV=2
+MEAVLNELVSVEDLLKFEKKFQSEKAAGSVSKSTQFEYAWCLVRSKYNDDIRKGIVLLEELLPKGSKEEQRDYVFYLAVGNYRLKEYEKALKYVRGLLQTEPQNNQAKELERLIDKAMKKDGLVGMAIVGGMALGVAGLAGLIGLAVSKSKS
+>DECOY_sp|Q9Y3D6|FIS1_HUMAN Mitochondrial fission 1 protein OS=Homo sapiens OX=9606 GN=FIS1 PE=1 SV=2
+SKSKSVALGILGALGAVGLAMGGVIAMGVLGDKKMAKDILRELEKAQNNQPETQLLGRVYKLAKEYEKLRYNGVALYFVYDRQEEKSGKPLLEELLVIGKRIDDNYKSRVLCWAYEFQTSKSVSGAAKESQFKKEFKLLDEVSVLENLVAEM
+>sp|Q5JY77|GASP1_HUMAN G-protein coupled receptor-associated sorting protein 1 OS=Homo sapiens OX=9606 GN=GPRASP1 PE=1 SV=3
+MTGAEIESGAQVKPEKKPGEEVVGGAEIENDVPLVVRPKVRTQAQIMPGARPKNKSKVMPGASTKVETSAVGGARPKSKAKAIPVSRFKEEAQMWAQPRFGAERLSKTERNSQTNIIASPLVSTDSVLVAKTKYLSEDRELVNTDTESFPRRKAHYQAGFQPSFRSKEETNMGSWCCPRPTSKQEASPNSDFKWVDKSVSSLFWSGDEVTAKFHPGNRVKDSNRSMHMANQEANTMSRSQTNQELYIASSSGSEDESVKTPWFWARDKTNTWSGPREDPNSRSRFRSKKEVYVESSSGSEHEDHLESWFGAGKEAKFRSKMRAGKEANNRARHRAKREACIDFMPGSIDVIKKESCFWPEENANTFSRPMIKKEARARAMTKEEAKTKARARAKQEARSEEEALIGTWFWATDESSMADEASIESSLQVEDESIIGSWFWTEEEASMGTGASSKSRPRTDGERIGDSLFGAREKTSMKTGAEATSESILAADDEQVIIGSWFWAGEEVNQEAEEETIFGSWFWVIDAASVESGVGVSCESRTRSEEEEVIGPWFWSGEQVDIEAGIGEEARPGAEEETIFGSWFWAENQTYMDCRAETSCDTMQGAEEEEPIIGSWFWTRVEACVEGDVNSKSSLEDKEEAMIPCFGAKEEVSMKHGTGVRCRFMAGAEETNNKSCFWAEKEPCMYPAGGGSWKSRPEEEEDIVNSWFWSRKYTKPEAIIGSWLWATEESNIDGTGEKAKLLTEEETIINSWFWKEDEAISEATDREESRPEAEEGDIIGSWFWAGEEDRLEPAAETREEDRLAAEKEGIVGSWFGAREETIRREAGSCSKSSPKAEEEEVIIGSWFWEEEASPEAVAGVGFESKPGTEEEEITVGSWFWPEEEASIQAGSQAVEEMESETEEETIFGSWFWDGKEVSEEAGPCCVSKPEDDEEMIVESWFWSRDKAIKETGTVATCESKPENEEGAIVGSWFEAEDEVDNRTDNGSNCGSRTLADEDEAIVGSWFWAGDEAHFESNPSPVFRAICRSTCSVEQEPDPSRRPQSWEEVTVQFKPGPWGRVGFPSISPFRFPKEAASLFCEMFGGKPRNMVLSPEGEDQESLLQPDQPSPEFPFQYDPSYRSVQEIREHLRAKESTEPESSSCNCIQCELKIGSEEFEELLLLMEKIRDPFIHEISKIAMGMRSASQFTRDFIRDSGVVSLIETLLNYPSSRVRTSFLENMIRMAPPYPNLNIIQTYICKVCEETLAYSVDSPEQLSGIRMIRHLTTTTDYHTLVANYMSGFLSLLATGNAKTRFHVLKMLLNLSENLFMTKELLSAEAVSEFIGLFNREETNDNIQIVLAIFENIGNNIKKETVFSDDDFNIEPLISAFHKVEKFAKELQGKTDNQNDPEGDQEN
+>DECOY_sp|Q5JY77|GASP1_HUMAN G-protein coupled receptor-associated sorting protein 1 OS=Homo sapiens OX=9606 GN=GPRASP1 PE=1 SV=3
+NEQDGEPDNQNDTKGQLEKAFKEVKHFASILPEINFDDDSFVTEKKINNGINEFIALVIQINDNTEERNFLGIFESVAEASLLEKTMFLNESLNLLMKLVHFRTKANGTALLSLFGSMYNAVLTHYDTTTTLHRIMRIGSLQEPSDVSYALTEECVKCIYTQIINLNPYPPAMRIMNELFSTRVRSSPYNLLTEILSVVGSDRIFDRTFQSASRMGMAIKSIEHIFPDRIKEMLLLLEEFEESGIKLECQICNCSSSEPETSEKARLHERIEQVSRYSPDYQFPFEPSPQDPQLLSEQDEGEPSLVMNRPKGGFMECFLSAAEKPFRFPSISPFGVRGWPGPKFQVTVEEWSQPRRSPDPEQEVSCTSRCIARFVPSPNSEFHAEDGAWFWSGVIAEDEDALTRSGCNSGNDTRNDVEDEAEFWSGVIAGEENEPKSECTAVTGTEKIAKDRSWFWSEVIMEEDDEPKSVCCPGAEESVEKGDWFWSGFITEEETESEMEEVAQSGAQISAEEEPWFWSGVTIEEEETGPKSEFGVGAVAEPSAEEEWFWSGIIVEEEEAKPSSKSCSGAERRITEERAGFWSGVIGEKEAALRDEERTEAAPELRDEEGAWFWSGIIDGEEAEPRSEERDTAESIAEDEKWFWSNIITEEETLLKAKEGTGDINSEETAWLWSGIIAEPKTYKRSWFWSNVIDEEEEPRSKWSGGGAPYMCPEKEAWFCSKNNTEEAGAMFRCRVGTGHKMSVEEKAGFCPIMAEEKDELSSKSNVDGEVCAEVRTWFWSGIIPEEEEAGQMTDCSTEARCDMYTQNEAWFWSGFITEEEAGPRAEEGIGAEIDVQEGSWFWPGIVEEEESRTRSECSVGVGSEVSAADIVWFWSGFITEEEAEQNVEEGAWFWSGIIVQEDDAALISESTAEAGTKMSTKERAGFLSDGIREGDTRPRSKSSAGTGMSAEEETWFWSGIISEDEVQLSSEISAEDAMSSEDTAWFWTGILAEEESRAEQKARARAKTKAEEKTMARARAEKKIMPRSFTNANEEPWFCSEKKIVDISGPMFDICAERKARHRARNNAEKGARMKSRFKAEKGAGFWSELHDEHESGSSSEVYVEKKSRFRSRSNPDERPGSWTNTKDRAWFWPTKVSEDESGSSSAIYLEQNTQSRSMTNAEQNAMHMSRNSDKVRNGPHFKATVEDGSWFLSSVSKDVWKFDSNPSAEQKSTPRPCCWSGMNTEEKSRFSPQFGAQYHAKRRPFSETDTNVLERDESLYKTKAVLVSDTSVLPSAIINTQSNRETKSLREAGFRPQAWMQAEEKFRSVPIAKAKSKPRAGGVASTEVKTSAGPMVKSKNKPRAGPMIQAQTRVKPRVVLPVDNEIEAGGVVEEGPKKEPKVQAGSEIEAGTM
+>sp|P15976|GATA1_HUMAN Erythroid transcription factor OS=Homo sapiens OX=9606 GN=GATA1 PE=1 SV=1
+MEFPGLGSLGTSEPLPQFVDPALVSSTPESGVFFPSGPEGLDAAASSTAPSTATAAAAALAYYRDAEAYRHSPVFQVYPLLNCMEGIPGGSPYAGWAYGKTGLYPASTVCPTREDSPPQAVEDLDGKGSTSFLETLKTERLSPDLLTLGPALPSSLPVPNSAYGGPDFSSTFFSPTGSPLNSAAYSSPKLRGTLPLPPCEARECVNCGATATPLWRRDRTGHYLCNACGLYHKMNGQNRPLIRPKKRLIVSKRAGTQCTNCQTTTTTLWRRNASGDPVCNACGLYYKLHQVNRPLTMRKDGIQTRNRKASGKGKKKRGSSLGGTGAAEGPAGGFMVVAGGSGSGNCGEVASGLTLGPPGTAHLYQGLGPVVLSGPVSHLMPFPGPLLGSPTGSFPTGPMPPTTSTTVVAPLSS
+>DECOY_sp|P15976|GATA1_HUMAN Erythroid transcription factor OS=Homo sapiens OX=9606 GN=GATA1 PE=1 SV=1
+SSLPAVVTTSTTPPMPGTPFSGTPSGLLPGPFPMLHSVPGSLVVPGLGQYLHATGPPGLTLGSAVEGCNGSGSGGAVVMFGGAPGEAAGTGGLSSGRKKKGKGSAKRNRTQIGDKRMTLPRNVQHLKYYLGCANCVPDGSANRRWLTTTTTQCNTCQTGARKSVILRKKPRILPRNQGNMKHYLGCANCLYHGTRDRRWLPTATAGCNVCERAECPPLPLTGRLKPSSYAASNLPSGTPSFFTSSFDPGGYASNPVPLSSPLAPGLTLLDPSLRETKLTELFSTSGKGDLDEVAQPPSDERTPCVTSAPYLGTKGYAWGAYPSGGPIGEMCNLLPYVQFVPSHRYAEADRYYALAAAAATATSPATSSAAADLGEPGSPFFVGSEPTSSVLAPDVFQPLPESTGLSGLGPFEM
+>sp|P23769|GATA2_HUMAN Endothelial transcription factor GATA-2 OS=Homo sapiens OX=9606 GN=GATA2 PE=1 SV=3
+MEVAPEQPRWMAHPAVLNAQHPDSHHPGLAHNYMEPAQLLPPDEVDVFFNHLDSQGNPYYANPAHARARVSYSPAHARLTGGQMCRPHLLHSPGLPWLDGGKAALSAAAAHHHNPWTVSPFSKTPLHPSAAGGPGGPLSVYPGAGGGSGGGSGSSVASLTPTAAHSGSHLFGFPPTPPKEVSPDPSTTGAASPASSSAGGSAARGEDKDGVKYQVSLTESMKMESGSPLRPGLATMGTQPATHHPIPTYPSYVPAAAHDYSSGLFHPGGFLGGPASSFTPKQRSKARSCSEGRECVNCGATATPLWRRDGTGHYLCNACGLYHKMNGQNRPLIKPKRRLSAARRAGTCCANCQTTTTTLWRRNANGDPVCNACGLYYKLHNVNRPLTMKKEGIQTRNRKMSNKSKKSKKGAECFEELSKCMQEKSSPFSAAALAGHMAPVGHLPPFSHSGHILPTPTPIHPSSSLSFGHPHPSSMVTAMG
+>DECOY_sp|P23769|GATA2_HUMAN Endothelial transcription factor GATA-2 OS=Homo sapiens OX=9606 GN=GATA2 PE=1 SV=3
+GMATVMSSPHPHGFSLSSSPHIPTPTPLIHGSHSFPPLHGVPAMHGALAAASFPSSKEQMCKSLEEFCEAGKKSKKSKNSMKRNRTQIGEKKMTLPRNVNHLKYYLGCANCVPDGNANRRWLTTTTTQCNACCTGARRAASLRRKPKILPRNQGNMKHYLGCANCLYHGTGDRRWLPTATAGCNVCERGESCSRAKSRQKPTFSSAPGGLFGGPHFLGSSYDHAAAPVYSPYTPIPHHTAPQTGMTALGPRLPSGSEMKMSETLSVQYKVGDKDEGRAASGGASSSAPSAAGTTSPDPSVEKPPTPPFGFLHSGSHAATPTLSAVSSGSGGGSGGGAGPYVSLPGGPGGAASPHLPTKSFPSVTWPNHHHAAAASLAAKGGDLWPLGPSHLLHPRCMQGGTLRAHAPSYSVRARAHAPNAYYPNGQSDLHNFFVDVEDPPLLQAPEMYNHALGPHHSDPHQANLVAPHAMWRPQEPAVEM
+>sp|P43694|GATA4_HUMAN Transcription factor GATA-4 OS=Homo sapiens OX=9606 GN=GATA4 PE=1 SV=2
+MYQSLAMAANHGPPPGAYEAGGPGAFMHGAGAASSPVYVPTPRVPSSVLGLSYLQGGGAGSASGGASGGSSGGAASGAGPGTQQGSPGWSQAGADGAAYTPPPVSPRFSFPGTTGSLAAAAAAAAAREAAAYSSGGGAAGAGLAGREQYGRAGFAGSYSSPYPAYMADVGASWAAAAAASAGPFDSPVLHSLPGRANPAARHPNLDMFDDFSEGRECVNCGAMSTPLWRRDGTGHYLCNACGLYHKMNGINRPLIKPQRRLSASRRVGLSCANCQTTTTTLWRRNAEGEPVCNACGLYMKLHGVPRPLAMRKEGIQTRKRKPKNLNKSKTPAAPSGSESLPPASGASSNSSNATTSSSEEMRPIKTEPGLSSHYGHSSSVSQTFSVSAMSGHGPSIHPVLSALKLSPQGYASPVSQSPQTSSKQDSWNSLVLADSHGDIITA
+>DECOY_sp|P43694|GATA4_HUMAN Transcription factor GATA-4 OS=Homo sapiens OX=9606 GN=GATA4 PE=1 SV=2
+ATIIDGHSDALVLSNWSDQKSSTQPSQSVPSAYGQPSLKLASLVPHISPGHGSMASVSFTQSVSSSHGYHSSLGPETKIPRMEESSSTTANSSNSSAGSAPPLSESGSPAAPTKSKNLNKPKRKRTQIGEKRMALPRPVGHLKMYLGCANCVPEGEANRRWLTTTTTQCNACSLGVRRSASLRRQPKILPRNIGNMKHYLGCANCLYHGTGDRRWLPTSMAGCNVCERGESFDDFMDLNPHRAAPNARGPLSHLVPSDFPGASAAAAAAWSAGVDAMYAPYPSSYSGAFGARGYQERGALGAGAAGGGSSYAAAERAAAAAAAAALSGTTGPFSFRPSVPPPTYAAGDAGAQSWGPSGQQTGPGAGSAAGGSSGGSAGGSASGAGGGQLYSLGLVSSPVRPTPVYVPSSAAGAGHMFAGPGGAEYAGPPPGHNAAMALSQYM
+>sp|O43716|GATC_HUMAN Glutamyl-tRNA(Gln) amidotransferase subunit C, mitochondrial OS=Homo sapiens OX=9606 GN=GATC PE=1 SV=1
+MWSRLVWLGLRAPLGGRQGFTSKADPQGSGRITAAVIEHLERLALVDFGSREAVARLEKAIAFADRLRAVDTDGVEPMESVLEDRCLYLRSDNVVEGNCADELLQNSHRVVEEYFVAPPGNISLPKLDEQEPFPHS
+>DECOY_sp|O43716|GATC_HUMAN Glutamyl-tRNA(Gln) amidotransferase subunit C, mitochondrial OS=Homo sapiens OX=9606 GN=GATC PE=1 SV=1
+SHPFPEQEDLKPLSINGPPAVFYEEVVRHSNQLLEDACNGEVVNDSRLYLCRDELVSEMPEVGDTDVARLRDAFAIAKELRAVAERSGFDVLALRELHEIVAATIRGSGQPDAKSTFGQRGGLPARLGLWVLRSWM
+>sp|Q9NP62|GCM1_HUMAN Chorion-specific transcription factor GCMa OS=Homo sapiens OX=9606 GN=GCM1 PE=2 SV=1
+MEPDDFDSEDKEILSWDINDVKLPQNVKKTDWFQEWPDSYAKHIYSSEDKNAQRHLSSWAMRNTNNHNSRILKKSCLGVVVCGRDCLAEEGRKIYLRPAICDKARQKQQRKRCPNCDGPLKLIPCRGHGGFPVTNFWRHDGRFIFFQSKGEHDHPKPETKLEAEARRAMKKVNTAPSSVSLSLKGSTETRSLPGETQSQGSLPLTWSFQEGVQLPGSYSGHLIANTPQQNSLNDCFSFSKSYGLGGITDLTDQTSTVDPMKLYEKRKLSSSRTYSSGDLLPPSASGVYSDHGDLQAWSKNAALGRNHLADNCYSNYPFPLTSWPCSFSPSQNSSEPFYQQLPLEPPAAKTGCPPLWPNPAGNLYEEKVHVDFNSYVQSPAYHSPQEDPFLFTYASHPHQQYSLPSKSSKWDFEEEMTYLGLDHCNNDMLLNLCPLR
+>DECOY_sp|Q9NP62|GCM1_HUMAN Chorion-specific transcription factor GCMa OS=Homo sapiens OX=9606 GN=GCM1 PE=2 SV=1
+RLPCLNLLMDNNCHDLGLYTMEEEFDWKSSKSPLSYQQHPHSAYTFLFPDEQPSHYAPSQVYSNFDVHVKEEYLNGAPNPWLPPCGTKAAPPELPLQQYFPESSNQSPSFSCPWSTLPFPYNSYCNDALHNRGLAANKSWAQLDGHDSYVGSASPPLLDGSSYTRSSSLKRKEYLKMPDVTSTQDTLDTIGGLGYSKSFSFCDNLSNQQPTNAILHGSYSGPLQVGEQFSWTLPLSGQSQTEGPLSRTETSGKLSLSVSSPATNVKKMARRAEAELKTEPKPHDHEGKSQFFIFRGDHRWFNTVPFGGHGRCPILKLPGDCNPCRKRQQKQRAKDCIAPRLYIKRGEEALCDRGCVVVGLCSKKLIRSNHNNTNRMAWSSLHRQANKDESSYIHKAYSDPWEQFWDTKKVNQPLKVDNIDWSLIEKDESDFDDPEM
+>sp|Q92616|GCN1_HUMAN eIF-2-alpha kinase activator GCN1 OS=Homo sapiens OX=9606 GN=GCN1 PE=1 SV=6
+MAADTQVSETLKRFAGKVTTASVKERREILSELGKCVAGKDLPEGAVKGLCKLFCLTLHRYRDAASRRALQAAIQQLAEAQPEATAKNLLHSLQSSGIGSKAGVPSKSSGSAALLALTWTCLLVRIVFPSRAKRQGDIWNKLVEVQCLLLLEVLGGSHKHAVDGAVKKLTKLWKENPGLVEQYLSAILSLEPNQNYAGMLGLLVQFCTSHKEMDVVSQHKSALLDFYMKNILMSKVKPPKYLLDSCAPLLRYLSHSEFKDLILPTIQKSLLRSPENVIETISSLLASVTLDLSQYAMDIVKGLAGHLKSNSPRLMDEAVLALRNLARQCSDSSAMESLTKHLFAILGGSEGKLTVVAQKMSVLSGIGSVSHHVVSGPSSQVLNGIVAELFIPFLQQEVHEGTLVHAVSVLALWCNRFTMEVPKKLTEWFKKAFSLKTSTSAVRHAYLQCMLASYRGDTLLQALDLLPLLIQTVEKAASQSTQVPTITEGVAAALLLLKLSVADSQAEAKLSSFWQLIVDEKKQVFTSEKFLVMASEDALCTVLHLTERLFLDHPHRLTGNKVQQYHRALVAVLLSRTWHVRRQAQQTVRKLLSSLGGFKLAHGLLEELKTVLSSHKVLPLEALVTDAGEVTEAGKAYVPPRVLQEALCVISGVPGLKGDVTDTEQLAQEMLIISHHPSLVAVQSGLWPALLARMKIDPEAFITRHLDQIIPRMTTQSPLNQSSMNAMGSLSVLSPDRVLPQLISTITASVQNPALRLVTREEFAIMQTPAGELYDKSIIQSAQQDSIKKANMKRENKAYSFKEQIIELELKEEIKKKKGIKEEVQLTSKQKEMLQAQLDREAQVRRRLQELDGELEAALGLLDIILAKNPSGLTQYIPVLVDSFLPLLKSPLAAPRIKNPFLSLAACVMPSRLKALGTLVSHVTLRLLKPECVLDKSWCQEELSVAVKRAVMLLHTHTITSRVGKGEPGAAPLSAPAFSLVFPFLKMVLTEMPHHSEEEEEWMAQILQILTVQAQLRASPNTPPGRVDENGPELLPRVAMLRLLTWVIGTGSPRLQVLASDTLTTLCASSSGDDGCAFAEQEEVDVLLCALQSPCASVRETVLRGLMELHMVLPAPDTDEKNGLNLLRRLWVVKFDKEEEIRKLAERLWSMMGLDLQPDLCSLLIDDVIYHEAAVRQAGAEALSQAVARYQRQAAEVMGRLMEIYQEKLYRPPPVLDALGRVISESPPDQWEARCGLALALNKLSQYLDSSQVKPLFQFFVPDALNDRHPDVRKCMLDAALATLNTHGKENVNSLLPVFEEFLKNAPNDASYDAVRQSVVVLMGSLAKHLDKSDPKVKPIVAKLIAALSTPSQQVQESVASCLPPLVPAIKEDAGGMIQRLMQQLLESDKYAERKGAAYGLAGLVKGLGILSLKQQEMMAALTDAIQDKKNFRRREGALFAFEMLCTMLGKLFEPYVVHVLPHLLLCFGDGNQYVREAADDCAKAVMSNLSAHGVKLVLPSLLAALEEESWRTKAGSVELLGAMAYCAPKQLSSCLPNIVPKLTEVLTDSHVKVQKAGQQALRQIGSVIRNPEILAIAPVLLDALTDPSRKTQKCLQTLLDTKFVHFIDAPSLALIMPIVQRAFQDRSTDTRKMAAQIIGNMYSLTDQKDLAPYLPSVTPGLKASLLDPVPEVRTVSAKALGAMVKGMGESCFEDLLPWLMETLTYEQSSVDRSGAAQGLAEVMAGLGVEKLEKLMPEIVATASKVDIAPHVRDGYIMMFNYLPITFGDKFTPYVGPIIPCILKALADENEFVRDTALRAGQRVISMYAETAIALLLPQLEQGLFDDLWRIRFSSVQLLGDLLFHISGVTGKMTTETASEDDNFGTAQSNKAIITALGVERRNRVLAGLYMGRSDTQLVVRQASLHVWKIVVSNTPRTLREILPTLFGLLLGFLASTCADKRTIAARTLGDLVRKLGEKILPEIIPILEEGLRSQKSDERQGVCIGLSEIMKSTSRDAVLYFSESLVPTARKALCDPLEEVREAAAKTFEQLHSTIGHQALEDILPFLLKQLDDEEVSEFALDGLKQVMAIKSRVVLPYLVPKLTTPPVNTRVLAFLSSVAGDALTRHLGVILPAVMLALKEKLGTPDEQLEMANCQAVILSVEDDTGHRIIIEYLLEATRSPEVGMRQAAAIILNIYCSRSKADYTSHLRSLVSGLIRLFNDSSPVVLEESWDALNAITKKLDAGNQLALIEELHKEIRLIGNESKGEHVPGFCLPKKGVTSILPVLREGVLTGSPEQKEEAAKALGLVIRLTSADALRPSVVSITGPLIRILGDRFSWNVKAALLETLSLLLAKVGIALKPFLPQLQTTFTKALQDSNRGVRLKAADALGKLISIHIKVDPLFTELLNGIRAMEDPGVRDTMLQALRFVIQGAGAKVDAVIRKNIVSLLLSMLGHDEDNTRISSAGCLGELCAFLTEEELSAVLQQCLLADVSGIDWMVRHGRSLALSVAVNVAPGRLCAGRYSSDVQEMILSSATADRIPIAVSGVRGMGFLMRHHIETGGGQLPAKLSSLFVKCLQNPSSDIRLVAEKMIWWANKDPLPPLDPQAIKPILKALLDNTKDKNTVVRAYSDQAIVNLLKMRQGEEVFQSLSKILDVASLEVLNEVNRRSLKKLASQADSTEQVDDTILT
+>DECOY_sp|Q92616|GCN1_HUMAN eIF-2-alpha kinase activator GCN1 OS=Homo sapiens OX=9606 GN=GCN1 PE=1 SV=6
+TLITDDVQETSDAQSALKKLSRRNVENLVELSAVDLIKSLSQFVEEGQRMKLLNVIAQDSYARVVTNKDKTNDLLAKLIPKIAQPDLPPLPDKNAWWIMKEAVLRIDSSPNQLCKVFLSSLKAPLQGGGTEIHHRMLFGMGRVGSVAIPIRDATASSLIMEQVDSSYRGACLRGPAVNVAVSLALSRGHRVMWDIGSVDALLCQQLVASLEEETLFACLEGLCGASSIRTNDEDHGLMSLLLSVINKRIVADVKAGAGQIVFRLAQLMTDRVGPDEMARIGNLLETFLPDVKIHISILKGLADAAKLRVGRNSDQLAKTFTTQLQPLFPKLAIGVKALLLSLTELLAAKVNWSFRDGLIRILPGTISVVSPRLADASTLRIVLGLAKAAEEKQEPSGTLVGERLVPLISTVGKKPLCFGPVHEGKSENGILRIEKHLEEILALQNGADLKKTIANLADWSEELVVPSSDNFLRILGSVLSRLHSTYDAKSRSCYINLIIAAAQRMGVEPSRTAELLYEIIIRHGTDDEVSLIVAQCNAMELQEDPTGLKEKLALMVAPLIVGLHRTLADGAVSSLFALVRTNVPPTTLKPVLYPLVVRSKIAMVQKLGDLAFESVEEDDLQKLLFPLIDELAQHGITSHLQEFTKAAAERVEELPDCLAKRATPVLSESFYLVADRSTSKMIESLGICVGQREDSKQSRLGEELIPIIEPLIKEGLKRVLDGLTRAAITRKDACTSALFGLLLGFLTPLIERLTRPTNSVVIKWVHLSAQRVVLQTDSRGMYLGALVRNRREVGLATIIAKNSQATGFNDDESATETTMKGTVGSIHFLLDGLLQVSSFRIRWLDDFLGQELQPLLLAIATEAYMSIVRQGARLATDRVFENEDALAKLICPIIPGVYPTFKDGFTIPLYNFMMIYGDRVHPAIDVKSATAVIEPMLKELKEVGLGAMVEALGQAAGSRDVSSQEYTLTEMLWPLLDEFCSEGMGKVMAGLAKASVTRVEPVPDLLSAKLGPTVSPLYPALDKQDTLSYMNGIIQAAMKRTDTSRDQFARQVIPMILALSPADIFHVFKTDLLTQLCKQTKRSPDTLADLLVPAIALIEPNRIVSGIQRLAQQGAKQVKVHSDTLVETLKPVINPLCSSLQKPACYAMAGLLEVSGAKTRWSEEELAALLSPLVLKVGHASLNSMVAKACDDAAERVYQNGDGFCLLLHPLVHVVYPEFLKGLMTCLMEFAFLAGERRRFNKKDQIADTLAAMMEQQKLSLIGLGKVLGALGYAAGKREAYKDSELLQQMLRQIMGGADEKIAPVLPPLCSAVSEQVQQSPTSLAAILKAVIPKVKPDSKDLHKALSGMLVVVSQRVADYSADNPANKLFEEFVPLLSNVNEKGHTNLTALAADLMCKRVDPHRDNLADPVFFQFLPKVQSSDLYQSLKNLALALGCRAEWQDPPSESIVRGLADLVPPPRYLKEQYIEMLRGMVEAAQRQYRAVAQSLAEAGAQRVAAEHYIVDDILLSCLDPQLDLGMMSWLREALKRIEEEKDFKVVWLRRLLNLGNKEDTDPAPLVMHLEMLGRLVTERVSACPSQLACLLVDVEEQEAFACGDDGSSSACLTTLTDSALVQLRPSGTGIVWTLLRLMAVRPLLEPGNEDVRGPPTNPSARLQAQVTLIQLIQAMWEEEEESHHPMETLVMKLFPFVLSFAPASLPAAGPEGKGVRSTITHTHLLMVARKVAVSLEEQCWSKDLVCEPKLLRLTVHSVLTGLAKLRSPMVCAALSLFPNKIRPAALPSKLLPLFSDVLVPIYQTLGSPNKALIIDLLGLAAELEGDLEQLRRRVQAERDLQAQLMEKQKSTLQVEEKIGKKKKIEEKLELEIIQEKFSYAKNERKMNAKKISDQQASQIISKDYLEGAPTQMIAFEERTVLRLAPNQVSATITSILQPLVRDPSLVSLSGMANMSSQNLPSQTTMRPIIQDLHRTIFAEPDIKMRALLAPWLGSQVAVLSPHHSIILMEQALQETDTVDGKLGPVGSIVCLAEQLVRPPVYAKGAETVEGADTVLAELPLVKHSSLVTKLEELLGHALKFGGLSSLLKRVTQQAQRRVHWTRSLLVAVLARHYQQVKNGTLRHPHDLFLRETLHLVTCLADESAMVLFKESTFVQKKEDVILQWFSSLKAEAQSDAVSLKLLLLAAAVGETITPVQTSQSAAKEVTQILLPLLDLAQLLTDGRYSALMCQLYAHRVASTSTKLSFAKKFWETLKKPVEMTFRNCWLALVSVAHVLTGEHVEQQLFPIFLEAVIGNLVQSSPGSVVHHSVSGIGSLVSMKQAVVTLKGESGGLIAFLHKTLSEMASSDSCQRALNRLALVAEDMLRPSNSKLHGALGKVIDMAYQSLDLTVSALLSSITEIVNEPSRLLSKQITPLILDKFESHSLYRLLPACSDLLYKPPKVKSMLINKMYFDLLASKHQSVVDMEKHSTCFQVLLGLMGAYNQNPELSLIASLYQEVLGPNEKWLKTLKKVAGDVAHKHSGGLVELLLLCQVEVLKNWIDGQRKARSPFVIRVLLCTWTLALLAASGSSKSPVGAKSGIGSSQLSHLLNKATAEPQAEALQQIAAQLARRSAADRYRHLTLCFLKCLGKVAGEPLDKGAVCKGLESLIERREKVSATTVKGAFRKLTESVQTDAAM
+>sp|Q9P109|GCNT4_HUMAN Beta-1,3-galactosyl-O-glycosyl-glycoprotein beta-1,6-N-acetylglucosaminyltransferase 4 OS=Homo sapiens OX=9606 GN=GCNT4 PE=2 SV=1
+MKIFKCYFKHTLQQKVFILFLTLWLLSLLKLLNVRRLFPQKDIYLVEYSLSTSPFVRNRYTHVKDEVRYEVNCSGIYEQEPLEIGKSLEIRRRDIIDLEDDDVVAMTSDCDIYQTLRGYAQKLVSKEEKSFPIAYSLVVHKDAIMVERLIHAIYNQHNIYCIHYDRKAPDTFKVAMNNLAKCFSNIFIASKLEAVEYAHISRLQADLNCLSDLLKSSIQWKYVINLCGQDFPLKSNFELVSELKKLNGANMLETVKPPNSKLERFTYHHELRRVPYEYVKLPIRTNISKEAPPHNIQIFVGSAYFVLSQAFVKYIFNNSIVQDFFAWSKDTYSPDEHFWATLIRVPGIPGEISRSAQDVSDLQSKTRLVKWNYYEGFFYPSCTGSHLRSVCIYGAAELRWLIKDGHWFANKFDSKVDPILIKCLAEKLEEQQRDWITLPSEKLFMDRNLTTTS
+>DECOY_sp|Q9P109|GCNT4_HUMAN Beta-1,3-galactosyl-O-glycosyl-glycoprotein beta-1,6-N-acetylglucosaminyltransferase 4 OS=Homo sapiens OX=9606 GN=GCNT4 PE=2 SV=1
+STTTLNRDMFLKESPLTIWDRQQEELKEALCKILIPDVKSDFKNAFWHGDKILWRLEAAGYICVSRLHSGTCSPYFFGEYYNWKVLRTKSQLDSVDQASRSIEGPIGPVRILTAWFHEDPSYTDKSWAFFDQVISNNFIYKVFAQSLVFYASGVFIQINHPPAEKSINTRIPLKVYEYPVRRLEHHYTFRELKSNPPKVTELMNAGNLKKLESVLEFNSKLPFDQGCLNIVYKWQISSKLLDSLCNLDAQLRSIHAYEVAELKSAIFINSFCKALNNMAVKFTDPAKRDYHICYINHQNYIAHILREVMIADKHVVLSYAIPFSKEEKSVLKQAYGRLTQYIDCDSTMAVVDDDELDIIDRRRIELSKGIELPEQEYIGSCNVEYRVEDKVHTYRNRVFPSTSLSYEVLYIDKQPFLRRVNLLKLLSLLWLTLFLIFVKQQLTHKFYCKFIKM
+>sp|Q96RT8|GCP5_HUMAN Gamma-tubulin complex component 5 OS=Homo sapiens OX=9606 GN=TUBGCP5 PE=1 SV=1
+MARHGPPWSRLDAQQERDVRELVRGVAGLQDEADPNFQLALNFAWSNFRFHRFLDVNSHKIEKTIEGIYEKFVIHSDLSKAASWKRLTEEFLNAPLPSIKEIKTDAHYSILSLLLCLSDSPSNSSYVETPRNKEVEKKDDFDWGKYLMEDEEMDIGPYMDTPNWSEESEEENDQQPLSREDSGIQVDRTPLEEQDQNRKLDPCISWKDEPDDRSWLEHHVVHQYWTARPSQFPHSLHLHSNLAAVWDQHLYSSDPLYVPDDRVLVTETQVIRETLWLLSGVKKLFIFQLIDGKVTVRNNIIVTHLTHSCLRSVLEQIAAYGQVVFRLQEFIDEVMGHSSESMLPGSGSVPKKSTEAPFRTYQAFMWALYKYFISFKEELAEIEKCIINNDTTITLAIVVDKLAPRLSQLKVLHKVFSTGVAEVPPDTRNVVRASHLLNTLYKAILEYDNVGEASEQTVSLLFSLWVETVRPYLQTVDEWIVHGHLWDGAREFIIQRNKNVPVNHRDFWYATYTLYSVSEKTENEEKMSDNASASSGSDQGPSSRQHTMVSFLKPVLKQIIMAGKSMQLLKNLQCAESTTCQAGARDAERKSLYTLFLESVQSRLRHGEDSTPQVLTEQQATKENLMKMQSIAESHLELDDVHDPLLAINFARMYLEQSDFHEKFAGGDVCVDRSSESVTCQTFELTLRSCLYPHIDKQYLDCCGNLMQTLKKDYRLVEYLQAMRNFFLMEGGDTMYDFYTSIFDKIREKETWQNVSFLNVQLQEAVGQRYPEDSSRLSISFENVDTAKKKLPVHILDGLTLSYKVPWPVDIVISLECQKIYNQVFLLLLQIKWAKYSLDVLLFGELVSTAEKPRLKEGLIHEQDTVAQFGPQKEPVRQQIHRMFLLRVKLMHFVNSLHNYIMTRILHSTGLEFQHQVEEAKDLDQLIKIHYRYLSTIHDRCLLREKVSFVKEAIMKVLNLALMFADGWQAGLGTWRMESIEKMESDFKNCHMFLVTILNKAVCRGSFPHLESLALSLMAGMEQS
+>DECOY_sp|Q96RT8|GCP5_HUMAN Gamma-tubulin complex component 5 OS=Homo sapiens OX=9606 GN=TUBGCP5 PE=1 SV=1
+SQEMGAMLSLALSELHPFSGRCVAKNLITVLFMHCNKFDSEMKEISEMRWTGLGAQWGDAFMLALNLVKMIAEKVFSVKERLLCRDHITSLYRYHIKILQDLDKAEEVQHQFELGTSHLIRTMIYNHLSNVFHMLKVRLLFMRHIQQRVPEKQPGFQAVTDQEHILGEKLRPKEATSVLEGFLLVDLSYKAWKIQLLLLFVQNYIKQCELSIVIDVPWPVKYSLTLGDLIHVPLKKKATDVNEFSISLRSSDEPYRQGVAEQLQVNLFSVNQWTEKERIKDFISTYFDYMTDGGEMLFFNRMAQLYEVLRYDKKLTQMLNGCCDLYQKDIHPYLCSRLTLEFTQCTVSESSRDVCVDGGAFKEHFDSQELYMRAFNIALLPDHVDDLELHSEAISQMKMLNEKTAQQETLVQPTSDEGHRLRSQVSELFLTYLSKREADRAGAQCTTSEACQLNKLLQMSKGAMIIQKLVPKLFSVMTHQRSSPGQDSGSSASANDSMKEENETKESVSYLTYTAYWFDRHNVPVNKNRQIIFERAGDWLHGHVIWEDVTQLYPRVTEVWLSFLLSVTQESAEGVNDYELIAKYLTNLLHSARVVNRTDPPVEAVGTSFVKHLVKLQSLRPALKDVVIALTITTDNNIICKEIEALEEKFSIFYKYLAWMFAQYTRFPAETSKKPVSGSGPLMSESSHGMVEDIFEQLRFVVQGYAAIQELVSRLCSHTLHTVIINNRVTVKGDILQFIFLKKVGSLLWLTERIVQTETVLVRDDPVYLPDSSYLHQDWVAALNSHLHLSHPFQSPRATWYQHVVHHELWSRDDPEDKWSICPDLKRNQDQEELPTRDVQIGSDERSLPQQDNEEESEESWNPTDMYPGIDMEEDEMLYKGWDFDDKKEVEKNRPTEVYSSNSPSDSLCLLLSLISYHADTKIEKISPLPANLFEETLRKWSAAKSLDSHIVFKEYIGEITKEIKHSNVDLFRHFRFNSWAFNLALQFNPDAEDQLGAVGRVLERVDREQQADLRSWPPGHRAM
+>sp|Q02153|GCYB1_HUMAN Guanylate cyclase soluble subunit beta-1 OS=Homo sapiens OX=9606 GN=GUCY1B1 PE=1 SV=1
+MYGFVNHALELLVIRNYGPEVWEDIKKEAQLDEEGQFLVRIIYDDSKTYDLVAAASKVLNLNAGEILQMFGKMFFVFCQESGYDTILRVLGSNVREFLQNLDALHDHLATIYPGMRAPSFRCTDAEKGKGLILHYYSEREGLQDIVIGIIKTVAQQIHGTEIDMKVIQQRNEECDHTQFLIEEKESKEEDFYEDLDRFEENGTQESRISPYTFCKAFPFHIIFDRDLVVTQCGNAIYRVLPQLQPGNCSLLSVFSLVRPHIDISFHGILSHINTVFVLRSKEGLLDVEKLECEDELTGTEISCLRLKGQMIYLPEADSILFLCSPSVMNLDDLTRRGLYLSDIPLHDATRDLVLLGEQFREEYKLTQELEILTDRLQLTLRALEDEKKKTDTLLYSVLPPSVANELRHKRPVPAKRYDNVTILFSGIVGFNAFCSKHASGEGAMKIVNLLNDLYTRFDTLTDSRKNPFVYKVETVGDKYMTVSGLPEPCIHHARSICHLALDMMEIAGQVQVDGESVQITIGIHTGEVVTGVIGQRMPRYCLFGNTVNLTSRTETTGEKGKINVSEYTYRCLMSPENSDPQFHLEHRGPVSMKGKKEPMQVWFLSRKNTGTEETKQDDD
+>DECOY_sp|Q02153|GCYB1_HUMAN Guanylate cyclase soluble subunit beta-1 OS=Homo sapiens OX=9606 GN=GUCY1B1 PE=1 SV=1
+DDDQKTEETGTNKRSLFWVQMPEKKGKMSVPGRHELHFQPDSNEPSMLCRYTYESVNIKGKEGTTETRSTLNVTNGFLCYRPMRQGIVGTVVEGTHIGITIQVSEGDVQVQGAIEMMDLALHCISRAHHICPEPLGSVTMYKDGVTEVKYVFPNKRSDTLTDFRTYLDNLLNVIKMAGEGSAHKSCFANFGVIGSFLITVNDYRKAPVPRKHRLENAVSPPLVSYLLTDTKKKEDELARLTLQLRDTLIELEQTLKYEERFQEGLLVLDRTADHLPIDSLYLGRRTLDDLNMVSPSCLFLISDAEPLYIMQGKLRLCSIETGTLEDECELKEVDLLGEKSRLVFVTNIHSLIGHFSIDIHPRVLSFVSLLSCNGPQLQPLVRYIANGCQTVVLDRDFIIHFPFAKCFTYPSIRSEQTGNEEFRDLDEYFDEEKSEKEEILFQTHDCEENRQQIVKMDIETGHIQQAVTKIIGIVIDQLGERESYYHLILGKGKEADTCRFSPARMGPYITALHDHLADLNQLFERVNSGLVRLITDYGSEQCFVFFMKGFMQLIEGANLNLVKSAAAVLDYTKSDDYIIRVLFQGEEDLQAEKKIDEWVEPGYNRIVLLELAHNVFGYM
+>sp|Q5U4N7|GDF5O_HUMAN Protein GDF5OS, mitochondrial OS=Homo sapiens OX=9606 GN=GDF5OS PE=2 SV=2
+MIQSSQPMSLKLTCSAFRLQRALRFLLGRLPWRVASGARRFRRWLNRYSYTVLSSWPERALISLKNRSRFLVRPNTRNRAFSWTCRAARSKPRPRRSTALPRSQASSSRHSWAEFLKFRKSFQMSNTSQPDPSRPGTERTSSKEAGCRPLGQLDSFSWAARPPPGAAGLAVSEGFFRKIRSSAPSSPSFSRALMSNTYLCFLTTGPRSSRENTQKSFPATSPREPVTSRLRGKAPALSPSRELSFTSAPF
+>DECOY_sp|Q5U4N7|GDF5O_HUMAN Protein GDF5OS, mitochondrial OS=Homo sapiens OX=9606 GN=GDF5OS PE=2 SV=2
+FPASTFSLERSPSLAPAKGRLRSTVPERPSTAPFSKQTNERSSRPGTTLFCLYTNSMLARSFSPSSPASSRIKRFFGESVALGAAGPPPRAAWSFSDLQGLPRCGAEKSSTRETGPRSPDPQSTNSMQFSKRFKLFEAWSHRSSSAQSRPLATSRRPRPKSRAARCTWSFARNRTNPRVLFRSRNKLSILAREPWSSLVTYSYRNLWRRFRRAGSAVRWPLRGLLFRLARQLRFASCTLKLSMPQSSQIM
+>sp|O60383|GDF9_HUMAN Growth/differentiation factor 9 OS=Homo sapiens OX=9606 GN=GDF9 PE=1 SV=1
+MARPNKFLLWFCCFAWLCFPISLGSQASGGEAQIAASAELESGAMPWSLLQHIDERDRAGLLPALFKVLSVGRGGSPRLQPDSRALHYMKKLYKTYATKEGIPKSNRSHLYNTVRLFTPCTRHKQAPGDQVTGILPSVELLFNLDRITTVEHLLKSVLLYNINNSVSFSSAVKCVCNLMIKEPKSSSRTLGRAPYSFTFNSQFEFGKKHKWIQIDVTSLLQPLVASNKRSIHMSINFTCMKDQLEHPSAQNGLFNMTLVSPSLILYLNDTSAQAYHSWYSLHYKRRPSQGPDQERSLSAYPVGEEAAEDGRSSHHRHRRGQETVSSELKKPLGPASFNLSEYFRQFLLPQNECELHDFRLSFSQLKWDNWIVAPHRYNPRYCKGDCPRAVGHRYGSPVHTMVQNIIYEKLDSSVPRPSCVPAKYSPLSVLTIEPDGSIAYKEYEDMIATKCTCR
+>DECOY_sp|O60383|GDF9_HUMAN Growth/differentiation factor 9 OS=Homo sapiens OX=9606 GN=GDF9 PE=1 SV=1
+RCTCKTAIMDEYEKYAISGDPEITLVSLPSYKAPVCSPRPVSSDLKEYIINQVMTHVPSGYRHGVARPCDGKCYRPNYRHPAVIWNDWKLQSFSLRFDHLECENQPLLFQRFYESLNFSAPGLPKKLESSVTEQGRRHRHHSSRGDEAAEEGVPYASLSREQDPGQSPRRKYHLSYWSHYAQASTDNLYLILSPSVLTMNFLGNQASPHELQDKMCTFNISMHISRKNSAVLPQLLSTVDIQIWKHKKGFEFQSNFTFSYPARGLTRSSSKPEKIMLNCVCKVASSFSVSNNINYLLVSKLLHEVTTIRDLNFLLEVSPLIGTVQDGPAQKHRTCPTFLRVTNYLHSRNSKPIGEKTAYTKYLKKMYHLARSDPQLRPSGGRGVSLVKFLAPLLGARDREDIHQLLSWPMAGSELEASAAIQAEGGSAQSGLSIPFCLWAFCCFWLLFKNPRAM
+>sp|P39905|GDNF_HUMAN Glial cell line-derived neurotrophic factor OS=Homo sapiens OX=9606 GN=GDNF PE=1 SV=1
+MKLWDVVAVCLVLLHTASAFPLPAGKRPPEAPAEDRSLGRRRAPFALSSDSNMPEDYPDQFDDVMDFIQATIKRLKRSPDKQMAVLPRRERNRQAAAANPENSRGKGRRGQRGKNRGCVLTAIHLNVTDLGLGYETKEELIFRYCSGSCDAAETTYDKILKNLSRNRRLVSDKVGQACCRPIAFDDDLSFLDDNLVYHILRKHSAKRCGCI
+>DECOY_sp|P39905|GDNF_HUMAN Glial cell line-derived neurotrophic factor OS=Homo sapiens OX=9606 GN=GDNF PE=1 SV=1
+ICGCRKASHKRLIHYVLNDDLFSLDDDFAIPRCCAQGVKDSVLRRNRSLNKLIKDYTTEAADCSGSCYRFILEEKTEYGLGLDTVNLHIATLVCGRNKGRQGRRGKGRSNEPNAAAAQRNRERRPLVAMQKDPSRKLRKITAQIFDMVDDFQDPYDEPMNSDSSLAFPARRRGLSRDEAPAEPPRKGAPLPFASATHLLVLCVAVVDWLKM
+>sp|A6NCL1|GEMC1_HUMAN Geminin coiled-coil domain-containing protein 1 OS=Homo sapiens OX=9606 GN=GMNC PE=1 SV=2
+MNTILPCQDQYFVGGQSYNCPYSTTTSESSVDVSTETWVSFWAAGLLDNRELQQAPQAQESFSDSNFPLPDLCSWEEAQLSSQLYRNKQLQDTLVQKEEELARLHEENNHLRQYLNSALVKCLEEKAKKLLSSDEFSKAYGKFRKGKRKSKEQRYSPAEIPHPKNAKRNLSSEFANCEEQAGPPVDPWVLQTLGLKDLDTIDDTSSANYSALASHPRRVASTFSQFPDDAVDYKNIPREDMPIDYRGDRTTPLHSTATHGEDFHILSQLSNPPVGLKTLPYYTAHVSPNKTEMAFSTSLSPHCNVKTHSFHQGQAFVRRDEEGGWKFTWVPKQS
+>DECOY_sp|A6NCL1|GEMC1_HUMAN Geminin coiled-coil domain-containing protein 1 OS=Homo sapiens OX=9606 GN=GMNC PE=1 SV=2
+SQKPVWTFKWGGEEDRRVFAQGQHFSHTKVNCHPSLSTSFAMETKNPSVHATYYPLTKLGVPPNSLQSLIHFDEGHTATSHLPTTRDGRYDIPMDERPINKYDVADDPFQSFTSAVRRPHSALASYNASSTDDITDLDKLGLTQLVWPDVPPGAQEECNAFESSLNRKANKPHPIEAPSYRQEKSKRKGKRFKGYAKSFEDSSLLKKAKEELCKVLASNLYQRLHNNEEHLRALEEEKQVLTDQLQKNRYLQSSLQAEEWSCLDPLPFNSDSFSEQAQPAQQLERNDLLGAAWFSVWTETSVDVSSESTTTSYPCNYSQGGVFYQDQCPLITNM
+>sp|P57678|GEMI4_HUMAN Gem-associated protein 4 OS=Homo sapiens OX=9606 GN=GEMIN4 PE=1 SV=2
+MDLGPLNICEEMTILHGGFLLAEQLFHPKALAELTKSDWERVGRPIVEALREISSAAAHSQPFAWKKKALIIIWAKVLQPHPVTPSDTETRWQEDLFFSVGNMIPTINHTILFELLKSLEASGLFIQLLMALPTTICHAELERFLEHVTVDTSAEDVAFFLDVWWEVMKHKGHPQDPLLSQFSAMAHKYLPALDEFPHPPKRLRSDPDACPTMPLLAMLLRGLTQIQSRILGPGRKCCALANLADMLTVFALTEDDPQEVSATVYLDKLATVISVWNSDTQNPYHQQALAEKVKEAERDVSLTSLAKLPSETIFVGCEFLHHLLREWGEELQAVLRSSQGTSYDSYRLCDSLTSFSQNATLYLNRTSLSKEDRQVVSELAECVRDFLRKTSTVLKNRALEDITASIAMAVIQQKMDRHMEVCYIFASEKKWAFSDEWVACLGSNRALFRQPDLVLRLLETVIDVSTADRAIPESQIRQVIHLILECYADLSLPGKNKVLAGILRSWGRKGLSEKLLAYVEGFQEDLNTTFNQLTQSASEQGLAKAVASVARLVIVHPEVTVKKMCSLAVVNLGTHKFLAQILTAFPALRFVEEQGPNSSATFMVSCLKETVWMKFSTPKEEKQFLELLNCLMSPVKPQGIPVAALLEPDEVLKEFVLPFLRLDVEEVDLSLRIFIQTLEANACREEYWLQTCSPFPLLFSLCQLLDRFSKYWQLPKEKRCLSLDRKDLAIHILELLCEIVSANAETFSPDVWIKSLSWLHRKLEQLDWTVGLRLKSFFEGHFKCEVPATLFEICKLSEDEWTSQAHPGYGAGTGLLAWMECCCVSSGISERMLSLLVVDVGNPEEVRLFSKGFLVALVQVMPWCSPQEWQRLHQLTRRLLEKQLLHVPYSLEYIQFVPLLNLKPFAQELQLSVLFLRTFQFLCSHSCRDWLPLEGWNHVVKLLCGSLTRLLDSVRAIQAAGPWVQGPEQDLTQEALFVYTQVFCHALHIMAMLHPEVCEPLYVLALETLTCYETLSKTNPSVSSLLQRAHEQRFLKSIAEGIGPEERRQTLLQKMSSF
+>DECOY_sp|P57678|GEMI4_HUMAN Gem-associated protein 4 OS=Homo sapiens OX=9606 GN=GEMIN4 PE=1 SV=2
+FSSMKQLLTQRREEPGIGEAISKLFRQEHARQLLSSVSPNTKSLTEYCTLTELALVYLPECVEPHLMAMIHLAHCFVQTYVFLAEQTLDQEPGQVWPGAAQIARVSDLLRTLSGCLLKVVHNWGELPLWDRCSHSCLFQFTRLFLVSLQLEQAFPKLNLLPVFQIYELSYPVHLLQKELLRRTLQHLRQWEQPSCWPMVQVLAVLFGKSFLRVEEPNGVDVVLLSLMRESIGSSVCCCEMWALLGTGAGYGPHAQSTWEDESLKCIEFLTAPVECKFHGEFFSKLRLGVTWDLQELKRHLWSLSKIWVDPSFTEANASVIECLLELIHIALDKRDLSLCRKEKPLQWYKSFRDLLQCLSFLLPFPSCTQLWYEERCANAELTQIFIRLSLDVEEVDLRLFPLVFEKLVEDPELLAAVPIGQPKVPSMLCNLLELFQKEEKPTSFKMWVTEKLCSVMFTASSNPGQEEVFRLAPFATLIQALFKHTGLNVVALSCMKKVTVEPHVIVLRAVSAVAKALGQESASQTLQNFTTNLDEQFGEVYALLKESLGKRGWSRLIGALVKNKGPLSLDAYCELILHIVQRIQSEPIARDATSVDIVTELLRLVLDPQRFLARNSGLCAVWEDSFAWKKESAFIYCVEMHRDMKQQIVAMAISATIDELARNKLVTSTKRLFDRVCEALESVVQRDEKSLSTRNLYLTANQSFSTLSDCLRYSDYSTGQSSRLVAQLEEGWERLLHHLFECGVFITESPLKALSTLSVDREAEKVKEALAQQHYPNQTDSNWVSIVTALKDLYVTASVEQPDDETLAFVTLMDALNALACCKRGPGLIRSQIQTLGRLLMALLPMTPCADPDSRLRKPPHPFEDLAPLYKHAMASFQSLLPDQPHGKHKMVEWWVDLFFAVDEASTDVTVHELFRELEAHCITTPLAMLLQIFLGSAELSKLLEFLITHNITPIMNGVSFFLDEQWRTETDSPTVPHPQLVKAWIIILAKKKWAFPQSHAAASSIERLAEVIPRGVREWDSKTLEALAKPHFLQEALLFGGHLITMEECINLPGLDM
+>sp|Q8TEQ6|GEMI5_HUMAN Gem-associated protein 5 OS=Homo sapiens OX=9606 GN=GEMIN5 PE=1 SV=3
+MGQEPRTLPPSPNWYCARCSDAVPGGLFGFAARTSVFLVRVGPGAGESPGTPPFRVIGELVGHTERVSGFTFSHHPGQYNLCATSSDDGTVKIWDVETKTVVTEHALHQHTISTLHWSPRVKDLIVSGDEKGVVFCYWFNRNDSQHLFIEPRTIFCLTCSPHHEDLVAIGYKDGIVVIIDISKKGEVIHRLRGHDDEIHSIAWCPLPGEDCLSINQEETSEEAEITNGNAVAQAPVTKGCYLATGSKDQTIRIWSCSRGRGVMILKLPFLKRRGGGIDPTVKERLWLTLHWPSNQPTQLVSSCFGGELLQWDLTQSWRRKYTLFSASSEGQNHSRIVFNLCPLQTEDDKQLLLSTSMDRDVKCWDIATLECSWTLPSLGGFAYSLAFSSVDIGSLAIGVGDGMIRVWNTLSIKNNYDVKNFWQGVKSKVTALCWHPTKEGCLAFGTDDGKVGLYDTYSNKPPQISSTYHKKTVYTLAWGPPVPPMSLGGEGDRPSLALYSCGGEGIVLQHNPWKLSGEAFDINKLIRDTNSIKYKLPVHTEISWKADGKIMALGNEDGSIEIFQIPNLKLICTIQQHHKLVNTISWHHEHGSQPELSYLMASGSNNAVIYVHNLKTVIESSPESPVTITEPYRTLSGHTAKITSVAWSPHHDGRLVSASYDGTAQVWDALREEPLCNFRGHRGRLLCVAWSPLDPDCIYSGADDFCVHKWLTSMQDHSRPPQGKKSIELEKKRLSQPKAKPKKKKKPTLRTPVKLESIDGNEEESMKENSGPVENGVSDQEGEEQAREPELPCGLAPAVSREPVICTPVSSGFEKSKVTINNKVILLKKEPPKEKPETLIKKRKARSLLPLSTSLDHRSKEELHQDCLVLATAKHSRELNEDVSADVEERFHLGLFTDRATLYRMIDIEGKGHLENGHPELFHQLMLWKGDLKGVLQTAAERGELTDNLVAMAPAAGYHVWLWAVEAFAKQLCFQDQYVKAASHLLSIHKVYEAVELLKSNHFYREAIAIAKARLRPEDPVLKDLYLSWGTVLERDGHYAVAAKCYLGATCAYDAAKVLAKKGDAASLRTAAELAAIVGEDELSASLALRCAQELLLANNWVGAQEALQLHESLQGQRLVFCLLELLSRHLEEKQLSEGKSSSSYHTWNTGTEGPFVERVTAVWKSIFSLDTPEQYQEAFQKLQNIKYPSATNNTPAKQLLLHICHDLTLAVLSQQMASWDEAVQALLRAVVRSYDSGSFTIMQEVYSAFLPDGCDHLRDKLGDHQSPATPAFKSLEAFFLYGRLYEFWWSLSRPCPNSSVWVRAGHRTLSVEPSQQLDTASTEETDPETSQPEPNRPSELDLRLTEEGERMLSTFKELFSEKHASLQNSQRTVAEVQETLAEMIRQHQKSQLCKSTANGPDKNEPEVEAEQPLCSSQSQCKEEKNEPLSLPELTKRLTEANQRMAKFPESIKAWPFPDVLECCLVLLLIRSHFPGCLAQEMQQQAQELLQKYGNTKTYRRHCQTFCM
+>DECOY_sp|Q8TEQ6|GEMI5_HUMAN Gem-associated protein 5 OS=Homo sapiens OX=9606 GN=GEMIN5 PE=1 SV=3
+MCFTQCHRRYTKTNGYKQLLEQAQQQMEQALCGPFHSRILLLVLCCELVDPFPWAKISEPFKAMRQNAETLRKTLEPLSLPENKEEKCQSQSSCLPQEAEVEPENKDPGNATSKCLQSKQHQRIMEALTEQVEAVTRQSNQLSAHKESFLEKFTSLMREGEETLRLDLESPRNPEPQSTEPDTEETSATDLQQSPEVSLTRHGARVWVSSNPCPRSLSWWFEYLRGYLFFAELSKFAPTAPSQHDGLKDRLHDCGDPLFASYVEQMITFSGSDYSRVVARLLAQVAEDWSAMQQSLVALTLDHCIHLLLQKAPTNNTASPYKINQLKQFAEQYQEPTDLSFISKWVATVREVFPGETGTNWTHYSSSSKGESLQKEELHRSLLELLCFVLRQGQLSEHLQLAEQAGVWNNALLLEQACRLALSASLEDEGVIAALEAATRLSAADGKKALVKAADYACTAGLYCKAAVAYHGDRELVTGWSLYLDKLVPDEPRLRAKAIAIAERYFHNSKLLEVAEYVKHISLLHSAAKVYQDQFCLQKAFAEVAWLWVHYGAAPAMAVLNDTLEGREAATQLVGKLDGKWLMLQHFLEPHGNELHGKGEIDIMRYLTARDTFLGLHFREEVDASVDENLERSHKATALVLCDQHLEEKSRHDLSTSLPLLSRAKRKKILTEPKEKPPEKKLLIVKNNITVKSKEFGSSVPTCIVPERSVAPALGCPLEPERAQEEGEQDSVGNEVPGSNEKMSEEENGDISELKVPTRLTPKKKKKPKAKPQSLRKKELEISKKGQPPRSHDQMSTLWKHVCFDDAGSYICDPDLPSWAVCLLRGRHGRFNCLPEERLADWVQATGDYSASVLRGDHHPSWAVSTIKATHGSLTRYPETITVPSEPSSEIVTKLNHVYIVANNSGSAMLYSLEPQSGHEHHWSITNVLKHHQQITCILKLNPIQFIEISGDENGLAMIKGDAKWSIETHVPLKYKISNTDRILKNIDFAEGSLKWPNHQLVIGEGGCSYLALSPRDGEGGLSMPPVPPGWALTYVTKKHYTSSIQPPKNSYTDYLGVKGDDTGFALCGEKTPHWCLATVKSKVGQWFNKVDYNNKISLTNWVRIMGDGVGIALSGIDVSSFALSYAFGGLSPLTWSCELTAIDWCKVDRDMSTSLLLQKDDETQLPCLNFVIRSHNQGESSASFLTYKRRWSQTLDWQLLEGGFCSSVLQTPQNSPWHLTLWLREKVTPDIGGGRRKLFPLKLIMVGRGRSCSWIRITQDKSGTALYCGKTVPAQAVANGNTIEAEESTEEQNISLCDEGPLPCWAISHIEDDHGRLRHIVEGKKSIDIIVVIGDKYGIAVLDEHHPSCTLCFITRPEIFLHQSDNRNFWYCFVVGKEDGSVILDKVRPSWHLTSITHQHLAHETVVTKTEVDWIKVTGDDSSTACLNYQGPHHSFTFGSVRETHGVLEGIVRFPPTGPSEGAGPGVRVLFVSTRAAFGFLGGPVADSCRACYWNPSPPLTRPEQGM
+>sp|P55040|GEM_HUMAN GTP-binding protein GEM OS=Homo sapiens OX=9606 GN=GEM PE=1 SV=1
+MTLNNVTMRQGTVGMQPQQQRWSIPADGRHLMVQKEPHQYSHRNRHSATPEDHCRRSWSSDSTDSVISSESGNTYYRVVLIGEQGVGKSTLANIFAGVHDSMDSDCEVLGEDTYERTLMVDGESATIILLDMWENKGENEWLHDHCMQVGDAYLIVYSITDRASFEKASELRIQLRRARQTEDIPIILVGNKSDLVRCREVSVSEGRACAVVFDCKFIETSAAVQHNVKELFEGIVRQVRLRRDSKEKNERRLAYQKRKESMPRKARRFWGKIVAKNNKNMAFKLKSKSCHDLSVL
+>DECOY_sp|P55040|GEM_HUMAN GTP-binding protein GEM OS=Homo sapiens OX=9606 GN=GEM PE=1 SV=1
+LVSLDHCSKSKLKFAMNKNNKAVIKGWFRRAKRPMSEKRKQYALRRENKEKSDRRLRVQRVIGEFLEKVNHQVAASTEIFKCDFVVACARGESVSVERCRVLDSKNGVLIIPIDETQRARRLQIRLESAKEFSARDTISYVILYADGVQMCHDHLWENEGKNEWMDLLIITASEGDVMLTREYTDEGLVECDSDMSDHVGAFINALTSKGVGQEGILVVRYYTNGSESSIVSDTSDSSWSRRCHDEPTASHRNRHSYQHPEKQVMLHRGDAPISWRQQQPQMGVTGQRMTVNNLTM
+>sp|Q3B7J2|GFOD2_HUMAN Glucose-fructose oxidoreductase domain-containing protein 2 OS=Homo sapiens OX=9606 GN=GFOD2 PE=2 SV=1
+MKMLPGVGVFGTGSSARVLVPLLRAEGFTVEALWGKTEEEAKQLAEEMNIAFYTSRTDDILLHQDVDLVCISIPPPLTRQISVKALGIGKNVVCEKAATSVDAFRMVTASRYYPQLMSLVGNVLRFLPAFVRMKQLISEHYVGAVMICDARIYSGSLLSPSYGWICDELMGGGGLHTMGTYIVDLLTHLTGRRAEKVHGLLKTFVRQNAAIRGIRHVTSDDFCFFQMLMGGGVCSTVTLNFNMPGAFVHEVMVVGSAGRLVARGADLYGQKNSATQEELLLRDSLAVGAGLPEQGPQDVPLLYLKGMVYMVQALRQSFQGQGDRRTWDRTPVSMAASFEDGLYMQSVVDAIKRSSRSGEWEAVEVLTEEPDTNQNLCEALQRNNL
+>DECOY_sp|Q3B7J2|GFOD2_HUMAN Glucose-fructose oxidoreductase domain-containing protein 2 OS=Homo sapiens OX=9606 GN=GFOD2 PE=2 SV=1
+LNNRQLAECLNQNTDPEETLVEVAEWEGSRSSRKIADVVSQMYLGDEFSAAMSVPTRDWTRRDGQGQFSQRLAQVMYVMGKLYLLPVDQPGQEPLGAGVALSDRLLLEEQTASNKQGYLDAGRAVLRGASGVVMVEHVFAGPMNFNLTVTSCVGGGMLMQFFCFDDSTVHRIGRIAANQRVFTKLLGHVKEARRGTLHTLLDVIYTGMTHLGGGGMLEDCIWGYSPSLLSGSYIRADCIMVAGVYHESILQKMRVFAPLFRLVNGVLSMLQPYYRSATVMRFADVSTAAKECVVNKGIGLAKVSIQRTLPPPISICVLDVDQHLLIDDTRSTYFAINMEEALQKAEEETKGWLAEVTFGEARLLPVLVRASSGTGFVGVGPLMKM
+>sp|Q06210|GFPT1_HUMAN Glutamine--fructose-6-phosphate aminotransferase [isomerizing] 1 OS=Homo sapiens OX=9606 GN=GFPT1 PE=1 SV=3
+MCGIFAYLNYHVPRTRREILETLIKGLQRLEYRGYDSAGVGFDGGNDKDWEANACKIQLIKKKGKVKALDEEVHKQQDMDLDIEFDVHLGIAHTRWATHGEPSPVNSHPQRSDKNNEFIVIHNGIITNYKDLKKFLESKGYDFESETDTETIAKLVKYMYDNRESQDTSFTTLVERVIQQLEGAFALVFKSVHFPGQAVGTRRGSPLLIGVRSEHKLSTDHIPILYRTARTQIGSKFTRWGSQGERGKDKKGSCNLSRVDSTTCLFPVEEKAVEYYFASDASAVIEHTNRVIFLEDDDVAAVVDGRLSIHRIKRTAGDHPGRAVQTLQMELQQIMKGNFSSFMQKEIFEQPESVVNTMRGRVNFDDYTVNLGGLKDHIKEIQRCRRLILIACGTSYHAGVATRQVLEELTELPVMVELASDFLDRNTPVFRDDVCFFLSQSGETADTLMGLRYCKERGALTVGITNTVGSSISRETDCGVHINAGPEIGVASTKAYTSQFVSLVMFALMMCDDRISMQERRKEIMLGLKRLPDLIKEVLSMDDEIQKLATELYHQKSVLIMGRGYHYATCLEGALKIKEITYMHSEGILAGELKHGPLALVDKLMPVIMIIMRDHTYAKCQNALQQVVARQGRPVVICDKEDTETIKNTKRTIKVPHSVDCLQGILSVIPLQLLAFHLAVLRGYDVDFPRNLAKSVTVE
+>DECOY_sp|Q06210|GFPT1_HUMAN Glutamine--fructose-6-phosphate aminotransferase [isomerizing] 1 OS=Homo sapiens OX=9606 GN=GFPT1 PE=1 SV=3
+EVTVSKALNRPFDVDYGRLVALHFALLQLPIVSLIGQLCDVSHPVKITRKTNKITETDEKDCIVVPRGQRAVVQQLANQCKAYTHDRMIIMIVPMLKDVLALPGHKLEGALIGESHMYTIEKIKLAGELCTAYHYGRGMILVSKQHYLETALKQIEDDMSLVEKILDPLRKLGLMIEKRREQMSIRDDCMMLAFMVLSVFQSTYAKTSAVGIEPGANIHVGCDTERSISSGVTNTIGVTLAGREKCYRLGMLTDATEGSQSLFFCVDDRFVPTNRDLFDSALEVMVPLETLEELVQRTAVGAHYSTGCAILILRRCRQIEKIHDKLGGLNVTYDDFNVRGRMTNVVSEPQEFIEKQMFSSFNGKMIQQLEMQLTQVARGPHDGATRKIRHISLRGDVVAAVDDDELFIVRNTHEIVASADSAFYYEVAKEEVPFLCTTSDVRSLNCSGKKDKGREGQSGWRTFKSGIQTRATRYLIPIHDTSLKHESRVGILLPSGRRTGVAQGPFHVSKFVLAFAGELQQIVREVLTTFSTDQSERNDYMYKVLKAITETDTESEFDYGKSELFKKLDKYNTIIGNHIVIFENNKDSRQPHSNVPSPEGHTAWRTHAIGLHVDFEIDLDMDQQKHVEEDLAKVKGKKKILQIKCANAEWDKDNGGDFGVGASDYGRYELRQLGKILTELIERRTRPVHYNLYAFIGCM
+>sp|Q9GZZ7|GFRA4_HUMAN GDNF family receptor alpha-4 OS=Homo sapiens OX=9606 GN=GFRA4 PE=1 SV=2
+MVRCLGPALLLLLLLGSASSVGGNRCVDAAEACTADARCQRLRSEYVAQCLGRAAQGGCPRARCRRALRRFFARGPPALTHALLFCPCAGPACAERRRQTFVPSCAFSGPGPAPPSCLEPLNFCERSRVCRCARAAAGPWRGWGRGLSPAHRPPAAQASPPGLSGLVHPSAQRPRRLPAGPGRPLPARLRGPRGVPAGTAVTPNYVDNVSARVAPWCDCGASGNRREDCEAFRGLFTRNRCLDGAIQAFASGWPPVLLDQLNPQGDPEHSLLQVSSTGRALERRSLLSILPVLALPALL
+>DECOY_sp|Q9GZZ7|GFRA4_HUMAN GDNF family receptor alpha-4 OS=Homo sapiens OX=9606 GN=GFRA4 PE=1 SV=2
+LLAPLALVPLISLLSRRELARGTSSVQLLSHEPDGQPNLQDLLVPPWGSAFAQIAGDLCRNRTFLGRFAECDERRNGSAGCDCWPAVRASVNDVYNPTVATGAPVGRPGRLRAPLPRGPGAPLRRPRQASPHVLGSLGPPSAQAAPPRHAPSLGRGWGRWPGAAARACRCVRSRECFNLPELCSPPAPGPGSFACSPVFTQRRREACAPGACPCFLLAHTLAPPGRAFFRRLARRCRARPCGGQAARGLCQAVYESRLRQCRADATCAEAADVCRNGGVSSASGLLLLLLLAPGLCRVM
+>sp|Q6UXV0|GFRAL_HUMAN GDNF family receptor alpha-like OS=Homo sapiens OX=9606 GN=GFRAL PE=1 SV=2
+MIVFIFLAMGLSLENEYTSQTNNCTYLREQCLRDANGCKHAWRVMEDACNDSDPGDPCKMRNSSYCNLSIQYLVESNFQFKECLCTDDFYCTVNKLLGKKCINKSDNVKEDKFKWNLTTRSHHGFKGMWSCLEVAEACVGDVVCNAQLASYLKACSANGNPCDLKQCQAAIRFFYQNIPFNIAQMLAFCDCAQSDIPCQQSKEALHSKTCAVNMVPPPTCLSVIRSCQNDELCRRHYRTFQSKCWQRVTRKCHEDENCISTLSKQDLTCSGSDDCKAAYIDILGTVLQVQCTCRTITQSEESLCKIFQHMLHRKSCFNYPTLSNVKGMALYTRKHANKITLTGFHSPFNGEVIYAAMCMTVTCGILLLVMVKLRTSRISSKARDPSSIQIPGEL
+>DECOY_sp|Q6UXV0|GFRAL_HUMAN GDNF family receptor alpha-like OS=Homo sapiens OX=9606 GN=GFRAL PE=1 SV=2
+LEGPIQISSPDRAKSSIRSTRLKVMVLLLIGCTVTMCMAAYIVEGNFPSHFGTLTIKNAHKRTYLAMGKVNSLTPYNFCSKRHLMHQFIKCLSEESQTITRCTCQVQLVTGLIDIYAAKCDDSGSCTLDQKSLTSICNEDEHCKRTVRQWCKSQFTRYHRRCLEDNQCSRIVSLCTPPPVMNVACTKSHLAEKSQQCPIDSQACDCFALMQAINFPINQYFFRIAAQCQKLDCPNGNASCAKLYSALQANCVVDGVCAEAVELCSWMGKFGHHSRTTLNWKFKDEKVNDSKNICKKGLLKNVTCYFDDTCLCEKFQFNSEVLYQISLNCYSSNRMKCPDGPDSDNCADEMVRWAHKCGNADRLCQERLYTCNNTQSTYENELSLGMALFIFVIM
+>sp|P30047|GFRP_HUMAN GTP cyclohydrolase 1 feedback regulatory protein OS=Homo sapiens OX=9606 GN=GCHFR PE=1 SV=3
+MPYLLISTQIRMEVGPTMVGDEQSDPELMQHLGASKRRALGNNFYEYYVDDPPRIVLDKLERRGFRVLSMTGVGQTLVWCLHKE
+>DECOY_sp|P30047|GFRP_HUMAN GTP cyclohydrolase 1 feedback regulatory protein OS=Homo sapiens OX=9606 GN=GCHFR PE=1 SV=3
+EKHLCWVLTQGVGTMSLVRFGRRELKDLVIRPPDDVYYEYFNNGLARRKSAGLHQMLEPDSQEDGVMTPGVEMRIQTSILLYPM
+>sp|Q9BVM4|GGACT_HUMAN Gamma-glutamylaminecyclotransferase OS=Homo sapiens OX=9606 GN=GGACT PE=1 SV=2
+MALVFVYGTLKRGQPNHRVLRDGAHGSAAFRARGRTLEPYPLVIAGEHNIPWLLHLPGSGRLVEGEVYAVDERMLRFLDDFESCPALYQRTVLRVQLLEDRAPGAEEPPAPTAVQCFVYSRATFPPEWAQLPHHDSYDSEGPHGLRYNPRENR
+>DECOY_sp|Q9BVM4|GGACT_HUMAN Gamma-glutamylaminecyclotransferase OS=Homo sapiens OX=9606 GN=GGACT PE=1 SV=2
+RNERPNYRLGHPGESDYSDHHPLQAWEPPFTARSYVFCQVATPAPPEEAGPARDELLQVRLVTRQYLAPCSEFDDLFRLMREDVAYVEGEVLRGSGPLHLLWPINHEGAIVLPYPELTRGRARFAASGHAGDRLVRHNPQGRKLTGYVFVLAM
+>sp|Q9UEU5|GGE2D_HUMAN G antigen 2D OS=Homo sapiens OX=9606 GN=GAGE2D PE=1 SV=1
+MSWRGRSTYRPRPRRYVEPPEMIGPMRPEQFSDEVEPATPEEGEPATQRQDPAAAQEGEDEGASAGQGPKPEADSQEQGHPQTGCECEDGPDGQEMDPPNPEEVKTPEEGEKQSQC
+>DECOY_sp|Q9UEU5|GGE2D_HUMAN G antigen 2D OS=Homo sapiens OX=9606 GN=GAGE2D PE=1 SV=1
+CQSQKEGEEPTKVEEPNPPDMEQGDPGDECECGTQPHGQEQSDAEPKPGQGASAGEDEGEQAAAPDQRQTAPEGEEPTAPEVEDSFQEPRMPGIMEPPEVYRRPRPRYTSRGRWSM
+>sp|P36268|GGT2_HUMAN Inactive glutathione hydrolase 2 OS=Homo sapiens OX=9606 GN=GGT2 PE=1 SV=3
+MKKKLVVLGLLAVVLVLVIVGLCLWLPSASKEPDNHVYTRAAMAADAKQCLEIGRDTLRDGGSAVDAAIAALLCVGLMNAHSMGIGVGLFLTIYNSTTGKAEVINAREVAPRLAFASMFNSSEQSQKGGLSVAVPGEIRGYELAHQRHGRLPWARLFQPSIQLARQGFPVGKGLAAVLENKRTVIEQQPVLWYVFCRDRKVLREGERLTLPRLADTYEMLAIEGAQAFYNGSLMAQIVKDIQAAGGIVTAEDLNNYRAELIEHPLNISLGDAVLYMPSARLSGPVLALILNILKGYNFSRESVETPEQKGLTYHRIVEAFRFAYAKRTLLGDPKFVDVTEVVRNMTSEFFAAQLRSQISDHTTHPISYYKPEFYTPDDGGTAHLSVVAEDGSAVSATSTINLYFGSKVCSPVSGILFNNEWTTSALPAFTNEFGAPPSPANFIQPGKQPLLSMCLTIMVGQDGQVRMVVGAAGGTQITTDTALAIIYNLWFGYDVKRAVEEPRLHNKLLPNVTTVERNIDQAVTAALETRHHHTQIASTFIAVVQAIVRTAGGWAAALDSRKGGEPAGY
+>DECOY_sp|P36268|GGT2_HUMAN Inactive glutathione hydrolase 2 OS=Homo sapiens OX=9606 GN=GGT2 PE=1 SV=3
+YGAPEGGKRSDLAAAWGGATRVIAQVVAIFTSAIQTHHHRTELAATVAQDINREVTTVNPLLKNHLRPEEVARKVDYGFWLNYIIALATDTTIQTGGAAGVVMRVQGDQGVMITLCMSLLPQKGPQIFNAPSPPAGFENTFAPLASTTWENNFLIGSVPSCVKSGFYLNITSTASVASGDEAVVSLHATGGDDPTYFEPKYYSIPHTTHDSIQSRLQAAFFESTMNRVVETVDVFKPDGLLTRKAYAFRFAEVIRHYTLGKQEPTEVSERSFNYGKLINLILALVPGSLRASPMYLVADGLSINLPHEILEARYNNLDEATVIGGAAQIDKVIQAMLSGNYFAQAGEIALMEYTDALRPLTLREGERLVKRDRCFVYWLVPQQEIVTRKNELVAALGKGVPFGQRALQISPQFLRAWPLRGHRQHALEYGRIEGPVAVSLGGKQSQESSNFMSAFALRPAVERANIVEAKGTTSNYITLFLGVGIGMSHANMLGVCLLAAIAADVASGGDRLTDRGIELCQKADAAMAARTYVHNDPEKSASPLWLCLGVIVLVLVVALLGLVVLKKKM
+>sp|Q14390|GGTL2_HUMAN Glutathione hydrolase light chain 2 OS=Homo sapiens OX=9606 GN=GGTLC2 PE=2 SV=4
+MTSEFFAAQLRAQISDDTTHPISYYKPEFYTPVDGGTAHLSVVAEDGSAVSATSTINLYFGSKVRSPVSEILFNDEMDDFSSPNITNEFGVPPSPANFIQPGKQPLSSMCPTIMVGQDGQPPSHADHTPMPQAIIYNLWFGYDVKRAVEEPRLHNQLLPNVTTVERNIDQAVTAALETRHHHTQIASTFIAVVQAIVRTAGGWAAASDSRKGGEPAGY
+>DECOY_sp|Q14390|GGTL2_HUMAN Glutathione hydrolase light chain 2 OS=Homo sapiens OX=9606 GN=GGTLC2 PE=2 SV=4
+YGAPEGGKRSDSAAAWGGATRVIAQVVAIFTSAIQTHHHRTELAATVAQDINREVTTVNPLLQNHLRPEEVARKVDYGFWLNYIIAQPMPTHDAHSPPQGDQGVMITPCMSSLPQKGPQIFNAPSPPVGFENTINPSSFDDMEDNFLIESVPSRVKSGFYLNITSTASVASGDEAVVSLHATGGDVPTYFEPKYYSIPHTTDDSIQARLQAAFFESTM
+>sp|Q92847|GHSR_HUMAN Growth hormone secretagogue receptor type 1 OS=Homo sapiens OX=9606 GN=GHSR PE=1 SV=1
+MWNATPSEEPGFNLTLADLDWDASPGNDSLGDELLQLFPAPLLAGVTATCVALFVVGIAGNLLTMLVVSRFRELRTTTNLYLSSMAFSDLLIFLCMPLDLVRLWQYRPWNFGDLLCKLFQFVSESCTYATVLTITALSVERYFAICFPLRAKVVVTKGRVKLVIFVIWAVAFCSAGPIFVLVGVEHENGTDPWDTNECRPTEFAVRSGLLTVMVWVSSIFFFLPVFCLTVLYSLIGRKLWRRRRGDAVVGASLRDQNHKQTVKMLAVVVFAFILCWLPFHVGRYLFSKSFEPGSLEIAQISQYCNLVSFVLFYLSAAINPILYNIMSKKYRVAVFRLLGFEPFSQRKLSTLKDESSRAWTESSINT
+>DECOY_sp|Q92847|GHSR_HUMAN Growth hormone secretagogue receptor type 1 OS=Homo sapiens OX=9606 GN=GHSR PE=1 SV=1
+TNISSETWARSSEDKLTSLKRQSFPEFGLLRFVAVRYKKSMINYLIPNIAASLYFLVFSVLNCYQSIQAIELSGPEFSKSFLYRGVHFPLWCLIFAFVVVALMKVTQKHNQDRLSAGVVADGRRRRWLKRGILSYLVTLCFVPLFFFISSVWVMVTLLGSRVAFETPRCENTDWPDTGNEHEVGVLVFIPGASCFAVAWIVFIVLKVRGKTVVVKARLPFCIAFYREVSLATITLVTAYTCSESVFQFLKCLLDGFNWPRYQWLRVLDLPMCLFILLDSFAMSSLYLNTTTRLERFRSVVLMTLLNGAIGVVFLAVCTATVGALLPAPFLQLLEDGLSDNGPSADWDLDALTLNFGPEESPTANWM
+>sp|Q8IVV7|GID4_HUMAN Glucose-induced degradation protein 4 homolog OS=Homo sapiens OX=9606 GN=GID4 PE=1 SV=1
+MCARGQVGRGTQLRTGRPCSQVPGSRWRPERLLRRQRAGGRPSRPHPARARPGLSLPATLLGSRAAAAVPLPLPPALAPGDPAMPVRTECPPPAGASAASAASLIPPPPINTQQPGVATSLLYSGSKFRGHQKSKGNSYDVEVVLQHVDTGNSYLCGYLKIKGLTEEYPTLTTFFEGEIISKKHPFLTRKWDADEDVDRKHWGKFLAFYQYAKSFNSDDFDYEELKNGDYVFMRWKEQFLVPDHTIKDISGASFAGFYYICFQKSAASIEGYYYHRSSEWYQSLNLTHVPEHSAPIYEFR
+>DECOY_sp|Q8IVV7|GID4_HUMAN Glucose-induced degradation protein 4 homolog OS=Homo sapiens OX=9606 GN=GID4 PE=1 SV=1
+RFEYIPASHEPVHTLNLSQYWESSRHYYYGEISAASKQFCIYYFGAFSAGSIDKITHDPVLFQEKWRMFVYDGNKLEEYDFDDSNFSKAYQYFALFKGWHKRDVDEDADWKRTLFPHKKSIIEGEFFTTLTPYEETLGKIKLYGCLYSNGTDVHQLVVEVDYSNGKSKQHGRFKSGSYLLSTAVGPQQTNIPPPPILSAASAASAGAPPPCETRVPMAPDGPALAPPLPLPVAAAARSGLLTAPLSLGPRARAPHPRSPRGGARQRRLLREPRWRSGPVQSCPRGTRLQTGRGVQGRACM
+>sp|Q7Z4J2|GL6D1_HUMAN Glycosyltransferase 6 domain-containing protein 1 OS=Homo sapiens OX=9606 GN=GLT6D1 PE=2 SV=1
+MNSKRMLLLVLFAFSLMLVERYFRNHQVEELRLSDWFHPRKRPDVITKTDWLAPVLWEGTFDRRVLEKHYRRRNITVGLAVFATGRFAEEYLRPFLHSANKHFMTGYRVIFYIMVDAFFKLPDIEPSPLRTFKAFKVGTERWWLDGPLVHVKSLGEHIASHIQDEVDFLFSMAANQVFQNEFGVETLGPLVAQLHAWWYFRNTKNFPYERRPTSAACIPFGQGDFYYGNLMVGGTPHNILDFIKEYLNGVIHDIKNGLNSTYEKHLNKYFYLNKPTKLLSPAYSWDLAFSPPPQIQYVKVAHDSQRKL
+>DECOY_sp|Q7Z4J2|GL6D1_HUMAN Glycosyltransferase 6 domain-containing protein 1 OS=Homo sapiens OX=9606 GN=GLT6D1 PE=2 SV=1
+LKRQSDHAVKVYQIQPPPSFALDWSYAPSLLKTPKNLYFYKNLHKEYTSNLGNKIDHIVGNLYEKIFDLINHPTGGVMLNGYYFDGQGFPICAASTPRREYPFNKTNRFYWWAHLQAVLPGLTEVGFENQFVQNAAMSFLFDVEDQIHSAIHEGLSKVHVLPGDLWWRETGVKFAKFTRLPSPEIDPLKFFADVMIYFIVRYGTMFHKNASHLFPRLYEEAFRGTAFVALGVTINRRRYHKELVRRDFTGEWLVPALWDTKTIVDPRKRPHFWDSLRLEEVQHNRFYREVLMLSFAFLVLLLMRKSNM
+>sp|Q8IW92|GLBL2_HUMAN Beta-galactosidase-1-like protein 2 OS=Homo sapiens OX=9606 GN=GLB1L2 PE=2 SV=1
+MTTWSLRRRPARTLGLLLLVVLGFLVLRRLDWSTLVPLRLRHRQLGLQAKGWNFMLEDSTFWIFGGSIHYFRVPREYWRDRLLKMKACGLNTLTTYVPWNLHEPERGKFDFSGNLDLEAFVLMAAEIGLWVILRPGPYICSEMDLGGLPSWLLQDPGMRLRTTYKGFTEAVDLYFDHLMSRVVPLQYKRGGPIIAVQVENEYGSYNKDPAYMPYVKKALEDRGIVELLLTSDNKDGLSKGIVQGVLATINLQSTHELQLLTTFLFNVQGTQPKMVMEYWTGWFDSWGGPHNILDSSEVLKTVSAIVDAGSSINLYMFHGGTNFGFMNGAMHFHDYKSDVTSYDYDAVLTEAGDYTAKYMKLRDFFGSISGIPLPPPPDLLPKMPYEPLTPVLYLSLWDALKYLGEPIKSEKPINMENLPVNGGNGQSFGYILYETSITSSGILSGHVHDRGQVFVNTVSIGFLDYKTTKIAVPLIQGYTVLRILVENRGRVNYGENIDDQRKGLIGNLYLNDSPLKNFRIYSLDMKKSFFQRFGLDKWSSLPETPTLPAFFLGSLSISSTPCDTFLKLEGWEKGVVFINGQNLGRYWNIGPQKTLYLPGPWLSSGINQVIVFEETMAGPALQFTETPHLGRNQYIK
+>DECOY_sp|Q8IW92|GLBL2_HUMAN Beta-galactosidase-1-like protein 2 OS=Homo sapiens OX=9606 GN=GLB1L2 PE=2 SV=1
+KIYQNRGLHPTETFQLAPGAMTEEFVIVQNIGSSLWPGPLYLTKQPGINWYRGLNQGNIFVVGKEWGELKLFTDCPTSSISLSGLFFAPLTPTEPLSSWKDLGFRQFFSKKMDLSYIRFNKLPSDNLYLNGILGKRQDDINEGYNVRGRNEVLIRLVTYGQILPVAIKTTKYDLFGISVTNVFVQGRDHVHGSLIGSSTISTEYLIYGFSQGNGGNVPLNEMNIPKESKIPEGLYKLADWLSLYLVPTLPEYPMKPLLDPPPPLPIGSISGFFDRLKMYKATYDGAETLVADYDYSTVDSKYDHFHMAGNMFGFNTGGHFMYLNISSGADVIASVTKLVESSDLINHPGGWSDFWGTWYEMVMKPQTGQVNFLFTTLLQLEHTSQLNITALVGQVIGKSLGDKNDSTLLLEVIGRDELAKKVYPMYAPDKNYSGYENEVQVAIIPGGRKYQLPVVRSMLHDFYLDVAETFGKYTTRLRMGPDQLLWSPLGGLDMESCIYPGPRLIVWLGIEAAMLVFAELDLNGSFDFKGREPEHLNWPVYTTLTNLGCAKMKLLRDRWYERPVRFYHISGGFIWFTSDELMFNWGKAQLGLQRHRLRLPVLTSWDLRRLVLFGLVVLLLLGLTRAPRRRLSWTTM
+>sp|Q8IVS8|GLCTK_HUMAN Glycerate kinase OS=Homo sapiens OX=9606 GN=GLYCTK PE=1 SV=1
+MAAALQVLPRLARAPLHPLLWRGSVARLASSMALAEQARQLFESAVGAVLPGPMLHRALSLDPGGRQLKVRDRNFQLRQNLYLVGFGKAVLGMAAAAEELLGQHLVQGVISVPKGIRAAMERAGKQEMLLKPHSRVQVFEGAEDNLPDRDALRAALAIQQLAEGLTADDLLLVLISGGGSALLPAPIPPVTLEEKQTLTRLLAARGATIQELNTIRKALSQLKGGGLAQAAYPAQVVSLILSDVVGDPVEVIASGPTVASSHNVQDCLHILNRYGLRAALPRSVKTVLSRADSDPHGPHTCGHVLNVIIGSNVLALAEAQRQAEALGYQAVVLSAAMQGDVKSMAQFYGLLAHVARTRLTPSMAGASVEEDAQLHELAAELQIPDLQLEEALETMAWGRGPVCLLAGGEPTVQLQGSGRGGRNQELALRVGAELRRWPLGPIDVLFLSGGTDGQDGPTEAAGAWVTPELASQAAAEGLDIATFLAHNDSHTFFCCLQGGAHLLHTGMTGTNVMDTHLLFLRPR
+>DECOY_sp|Q8IVS8|GLCTK_HUMAN Glycerate kinase OS=Homo sapiens OX=9606 GN=GLYCTK PE=1 SV=1
+RPRLFLLHTDMVNTGTMGTHLLHAGGQLCCFFTHSDNHALFTAIDLGEAAAQSALEPTVWAGAAETPGDQGDTGGSLFLVDIPGLPWRRLEAGVRLALEQNRGGRGSGQLQVTPEGGALLCVPGRGWAMTELAEELQLDPIQLEAALEHLQADEEVSAGAMSPTLRTRAVHALLGYFQAMSKVDGQMAASLVVAQYGLAEAQRQAEALALVNSGIIVNLVHGCTHPGHPDSDARSLVTKVSRPLAARLGYRNLIHLCDQVNHSSAVTPGSAIVEVPDGVVDSLILSVVQAPYAAQALGGGKLQSLAKRITNLEQITAGRAALLRTLTQKEELTVPPIPAPLLASGGGSILVLLLDDATLGEALQQIALAARLADRDPLNDEAGEFVQVRSHPKLLMEQKGAREMAARIGKPVSIVGQVLHQGLLEEAAAAMGLVAKGFGVLYLNQRLQFNRDRVKLQRGGPDLSLARHLMPGPLVAGVASEFLQRAQEALAMSSALRAVSGRWLLPHLPARALRPLVQLAAAM
+>sp|Q04446|GLGB_HUMAN 1,4-alpha-glucan-branching enzyme OS=Homo sapiens OX=9606 GN=GBE1 PE=1 SV=3
+MAAPMTPAARPEDYEAALNAALADVPELARLLEIDPYLKPYAVDFQRRYKQFSQILKNIGENEGGIDKFSRGYESFGVHRCADGGLYCKEWAPGAEGVFLTGDFNGWNPFSYPYKKLDYGKWELYIPPKQNKSVLVPHGSKLKVVITSKSGEILYRISPWAKYVVREGDNVNYDWIHWDPEHSYEFKHSRPKKPRSLRIYESHVGISSHEGKVASYKHFTCNVLPRIKGLGYNCIQLMAIMEHAYYASFGYQITSFFAASSRYGTPEELQELVDTAHSMGIIVLLDVVHSHASKNSADGLNMFDGTDSCYFHSGPRGTHDLWDSRLFAYSSWEILRFLLSNIRWWLEEYRFDGFRFDGVTSMLYHHHGVGQGFSGDYSEYFGLQVDEDALTYLMLANHLVHTLCPDSITIAEDVSGMPALCSPISQGGGGFDYRLAMAIPDKWIQLLKEFKDEDWNMGDIVYTLTNRRYLEKCIAYAESHDQALVGDKSLAFWLMDAEMYTNMSVLTPFTPVIDRGIQLHKMIRLITHGLGGEGYLNFMGNEFGHPEWLDFPRKGNNESYHYARRQFHLTDDDLLRYKFLNNFDRDMNRLEERYGWLAAPQAYVSEKHEGNKIIAFERAGLLFIFNFHPSKSYTDYRVGTALPGKFKIVLDSDAAEYGGHQRLDHSTDFFSEAFEHNGRPYSLLVYIPSRVALILQNVDLPN
+>DECOY_sp|Q04446|GLGB_HUMAN 1,4-alpha-glucan-branching enzyme OS=Homo sapiens OX=9606 GN=GBE1 PE=1 SV=3
+NPLDVNQLILAVRSPIYVLLSYPRGNHEFAESFFDTSHDLRQHGGYEAADSDLVIKFKGPLATGVRYDTYSKSPHFNFIFLLGAREFAIIKNGEHKESVYAQPAALWGYREELRNMDRDFNNLFKYRLLDDDTLHFQRRAYHYSENNGKRPFDLWEPHGFENGMFNLYGEGGLGHTILRIMKHLQIGRDIVPTFPTLVSMNTYMEADMLWFALSKDGVLAQDHSEAYAICKELYRRNTLTYVIDGMNWDEDKFEKLLQIWKDPIAMALRYDFGGGGQSIPSCLAPMGSVDEAITISDPCLTHVLHNALMLYTLADEDVQLGFYESYDGSFGQGVGHHHYLMSTVGDFRFGDFRYEELWWRINSLLFRLIEWSSYAFLRSDWLDHTGRPGSHFYCSDTGDFMNLGDASNKSAHSHVVDLLVIIGMSHATDVLEQLEEPTGYRSSAAFFSTIQYGFSAYYAHEMIAMLQICNYGLGKIRPLVNCTFHKYSAVKGEHSSIGVHSEYIRLSRPKKPRSHKFEYSHEPDWHIWDYNVNDGERVVYKAWPSIRYLIEGSKSTIVVKLKSGHPVLVSKNQKPPIYLEWKGYDLKKYPYSFPNWGNFDGTLFVGEAGPAWEKCYLGGDACRHVGFSEYGRSFKDIGGENEGINKLIQSFQKYRRQFDVAYPKLYPDIELLRALEPVDALAANLAAEYDEPRAAPTMPAAM
+>sp|P01215|GLHA_HUMAN Glycoprotein hormones alpha chain OS=Homo sapiens OX=9606 GN=CGA PE=1 SV=1
+MDYYRKYAAIFLVTLSVFLHVLHSAPDVQDCPECTLQENPFFSQPGAPILQCMGCCFSRAYPTPLRSKKTMLVQKNVTSESTCCVAKSYNRVTVMGGFKVENHTACHCSTCYYHKS
+>DECOY_sp|P01215|GLHA_HUMAN Glycoprotein hormones alpha chain OS=Homo sapiens OX=9606 GN=CGA PE=1 SV=1
+SKHYYCTSCHCATHNEVKFGGMVTVRNYSKAVCCTSESTVNKQVLMTKKSRLPTPYARSFCCGMCQLIPAGPQSFFPNEQLTCEPCDQVDPASHLVHLFVSLTVLFIAAYKRYYDM
+>sp|Q9BZE0|GLIS2_HUMAN Zinc finger protein GLIS2 OS=Homo sapiens OX=9606 GN=GLIS2 PE=1 SV=2
+MHSLDEPLDLKLSITKLRAAREKRERTLGVVRPRALHRELGLVDDSPTPGSPGSPPSGFLLNSKFPEKVEGRFSAAPLVDLSLSPPSGLDSPNGSSSLSPERQGNGDLPPVPSASDFQPLRYLDGVPSSFQFFLPLGSGGALHLPASSFLTPPKDKCLSPDLPLPKQLVCRWAKCNQLFELLQDLVDHVNDYHVKPEKDAGYCCHWEGCARHGRGFNARYKMLIHIRTHTNEKPHRCPTCSKSFSRLENLKIHNRSHTGEKPYVCPYEGCNKRYSNSSDRFKHTRTHYVDKPYYCKMPGCHKRYTDPSSLRKHIKAHGHFVSHEQQELLQLRPPPKPPLPAPDGGPYVSGAQIIIPNPAALFGGPGLPGLPLPLAPGPLDLSALACGNGGGSGGGGGMGPGLPGPVLPLNLAKNPLLPSPFGAGGLGLPVVSLLAGAAGGKAEGEKGRGSVPTRALGMEGHKTPLERTESSCSRPSPDGLPLLPGTVLDLSTGVNSAASSPEALAPGWVVIPPGSVLLKPAVVN
+>DECOY_sp|Q9BZE0|GLIS2_HUMAN Zinc finger protein GLIS2 OS=Homo sapiens OX=9606 GN=GLIS2 PE=1 SV=2
+NVVAPKLLVSGPPIVVWGPALAEPSSAASNVGTSLDLVTGPLLPLGDPSPRSCSSETRELPTKHGEMGLARTPVSGRGKEGEAKGGAAGALLSVVPLGLGGAGFPSPLLPNKALNLPLVPGPLGPGMGGGGGSGGGNGCALASLDLPGPALPLPLGPLGPGGFLAAPNPIIIQAGSVYPGGDPAPLPPKPPPRLQLLEQQEHSVFHGHAKIHKRLSSPDTYRKHCGPMKCYYPKDVYHTRTHKFRDSSNSYRKNCGEYPCVYPKEGTHSRNHIKLNELRSFSKSCTPCRHPKENTHTRIHILMKYRANFGRGHRACGEWHCCYGADKEPKVHYDNVHDVLDQLLEFLQNCKAWRCVLQKPLPLDPSLCKDKPPTLFSSAPLHLAGGSGLPLFFQFSSPVGDLYRLPQFDSASPVPPLDGNGQREPSLSSSGNPSDLGSPPSLSLDVLPAASFRGEVKEPFKSNLLFGSPPSGPSGPTPSDDVLGLERHLARPRVVGLTRERKERAARLKTISLKLDLPEDLSHM
+>sp|P02751|FINC_HUMAN Fibronectin OS=Homo sapiens OX=9606 GN=FN1 PE=1 SV=4
+MLRGPGPGLLLLAVQCLGTAVPSTGASKSKRQAQQMVQPQSPVAVSQSKPGCYDNGKHYQINQQWERTYLGNALVCTCYGGSRGFNCESKPEAEETCFDKYTGNTYRVGDTYERPKDSMIWDCTCIGAGRGRISCTIANRCHEGGQSYKIGDTWRRPHETGGYMLECVCLGNGKGEWTCKPIAEKCFDHAAGTSYVVGETWEKPYQGWMMVDCTCLGEGSGRITCTSRNRCNDQDTRTSYRIGDTWSKKDNRGNLLQCICTGNGRGEWKCERHTSVQTTSSGSGPFTDVRAAVYQPQPHPQPPPYGHCVTDSGVVYSVGMQWLKTQGNKQMLCTCLGNGVSCQETAVTQTYGGNSNGEPCVLPFTYNGRTFYSCTTEGRQDGHLWCSTTSNYEQDQKYSFCTDHTVLVQTRGGNSNGALCHFPFLYNNHNYTDCTSEGRRDNMKWCGTTQNYDADQKFGFCPMAAHEEICTTNEGVMYRIGDQWDKQHDMGHMMRCTCVGNGRGEWTCIAYSQLRDQCIVDDITYNVNDTFHKRHEEGHMLNCTCFGQGRGRWKCDPVDQCQDSETGTFYQIGDSWEKYVHGVRYQCYCYGRGIGEWHCQPLQTYPSSSGPVEVFITETPSQPNSHPIQWNAPQPSHISKYILRWRPKNSVGRWKEATIPGHLNSYTIKGLKPGVVYEGQLISIQQYGHQEVTRFDFTTTSTSTPVTSNTVTGETTPFSPLVATSESVTEITASSFVVSWVSASDTVSGFRVEYELSEEGDEPQYLDLPSTATSVNIPDLLPGRKYIVNVYQISEDGEQSLILSTSQTTAPDAPPDTTVDQVDDTSIVVRWSRPQAPITGYRIVYSPSVEGSSTELNLPETANSVTLSDLQPGVQYNITIYAVEENQESTPVVIQQETTGTPRSDTVPSPRDLQFVEVTDVKVTIMWTPPESAVTGYRVDVIPVNLPGEHGQRLPISRNTFAEVTGLSPGVTYYFKVFAVSHGRESKPLTAQQTTKLDAPTNLQFVNETDSTVLVRWTPPRAQITGYRLTVGLTRRGQPRQYNVGPSVSKYPLRNLQPASEYTVSLVAIKGNQESPKATGVFTTLQPGSSIPPYNTEVTETTIVITWTPAPRIGFKLGVRPSQGGEAPREVTSDSGSIVVSGLTPGVEYVYTIQVLRDGQERDAPIVNKVVTPLSPPTNLHLEANPDTGVLTVSWERSTTPDITGYRITTTPTNGQQGNSLEEVVHADQSSCTFDNLSPGLEYNVSVYTVKDDKESVPISDTIIPAVPPPTDLRFTNIGPDTMRVTWAPPPSIDLTNFLVRYSPVKNEEDVAELSISPSDNAVVLTNLLPGTEYVVSVSSVYEQHESTPLRGRQKTGLDSPTGIDFSDITANSFTVHWIAPRATITGYRIRHHPEHFSGRPREDRVPHSRNSITLTNLTPGTEYVVSIVALNGREESPLLIGQQSTVSDVPRDLEVVAATPTSLLISWDAPAVTVRYYRITYGETGGNSPVQEFTVPGSKSTATISGLKPGVDYTITVYAVTGRGDSPASSKPISINYRTEIDKPSQMQVTDVQDNSISVKWLPSSSPVTGYRVTTTPKNGPGPTKTKTAGPDQTEMTIEGLQPTVEYVVSVYAQNPSGESQPLVQTAVTNIDRPKGLAFTDVDVDSIKIAWESPQGQVSRYRVTYSSPEDGIHELFPAPDGEEDTAELQGLRPGSEYTVSVVALHDDMESQPLIGTQSTAIPAPTDLKFTQVTPTSLSAQWTPPNVQLTGYRVRVTPKEKTGPMKEINLAPDSSSVVVSGLMVATKYEVSVYALKDTLTSRPAQGVVTTLENVSPPRRARVTDATETTITISWRTKTETITGFQVDAVPANGQTPIQRTIKPDVRSYTITGLQPGTDYKIYLYTLNDNARSSPVVIDASTAIDAPSNLRFLATTPNSLLVSWQPPRARITGYIIKYEKPGSPPREVVPRPRPGVTEATITGLEPGTEYTIYVIALKNNQKSEPLIGRKKTDELPQLVTLPHPNLHGPEILDVPSTVQKTPFVTHPGYDTGNGIQLPGTSGQQPSVGQQMIFEEHGFRRTTPPTTATPIRHRPRPYPPNVGEEIQIGHIPREDVDYHLYPHGPGLNPNASTGQEALSQTTISWAPFQDTSEYIISCHPVGTDEEPLQFRVPGTSTSATLTGLTRGATYNVIVEALKDQQRHKVREEVVTVGNSVNEGLNQPTDDSCFDPYTVSHYAVGDEWERMSESGFKLLCQCLGFGSGHFRCDSSRWCHDNGVNYKIGEKWDRQGENGQMMSCTCLGNGKGEFKCDPHEATCYDDGKTYHVGEQWQKEYLGAICSCTCFGGQRGWRCDNCRRPGGEPSPEGTTGQSYNQYSQRYHQRTNTNVNCPIECFMPLDVQADREDSRE
+>DECOY_sp|P02751|FINC_HUMAN Fibronectin OS=Homo sapiens OX=9606 GN=FN1 PE=1 SV=4
+ERSDERDAQVDLPMFCEIPCNVNTNTRQHYRQSYQNYSQGTTGEPSPEGGPRRCNDCRWGRQGGFCTCSCIAGLYEKQWQEGVHYTKGDDYCTAEHPDCKFEGKGNGLCTCSMMQGNEGQRDWKEGIKYNVGNDHCWRSSDCRFHGSGFGLCQCLLKFGSESMREWEDGVAYHSVTYPDFCSDDTPQNLGENVSNGVTVVEERVKHRQQDKLAEVIVNYTAGRTLGTLTASTSTGPVRFQLPEEDTGVPHCSIIYESTDQFPAWSITTQSLAEQGTSANPNLGPGHPYLHYDVDERPIHGIQIEEGVNPPYPRPRHRIPTATTPPTTRRFGHEEFIMQQGVSPQQGSTGPLQIGNGTDYGPHTVFPTKQVTSPVDLIEPGHLNPHPLTVLQPLEDTKKRGILPESKQNNKLAIVYITYETGPELGTITAETVGPRPRPVVERPPSGPKEYKIIYGTIRARPPQWSVLLSNPTTALFRLNSPADIATSADIVVPSSRANDNLTYLYIKYDTGPQLGTITYSRVDPKITRQIPTQGNAPVADVQFGTITETKTRWSITITTETADTVRARRPPSVNELTTVVGQAPRSTLTDKLAYVSVEYKTAVMLGSVVVSSSDPALNIEKMPGTKEKPTVRVRYGTLQVNPPTWQASLSTPTVQTFKLDTPAPIATSQTGILPQSEMDDHLAVVSVTYESGPRLGQLEATDEEGDPAPFLEHIGDEPSSYTVRYRSVQGQPSEWAIKISDVDVDTFALGKPRDINTVATQVLPQSEGSPNQAYVSVVYEVTPQLGEITMETQDPGATKTKTPGPGNKPTTTVRYGTVPSSSPLWKVSISNDQVDTVQMQSPKDIETRYNISIPKSSAPSDGRGTVAYVTITYDVGPKLGSITATSKSGPVTFEQVPSNGGTEGYTIRYYRVTVAPADWSILLSTPTAAVVELDRPVDSVTSQQGILLPSEERGNLAVISVVYETGPTLNTLTISNRSHPVRDERPRGSFHEPHHRIRYGTITARPAIWHVTFSNATIDSFDIGTPSDLGTKQRGRLPTSEHQEYVSSVSVVYETGPLLNTLVVANDSPSISLEAVDEENKVPSYRVLFNTLDISPPPAWTVRMTDPGINTFRLDTPPPVAPIITDSIPVSEKDDKVTYVSVNYELGPSLNDFTCSSQDAHVVEELSNGQQGNTPTTTIRYGTIDPTTSREWSVTLVGTDPNAELHLNTPPSLPTVVKNVIPADREQGDRLVQITYVYEVGPTLGSVVISGSDSTVERPAEGGQSPRVGLKFGIRPAPTWTIVITTETVETNYPPISSGPQLTTFVGTAKPSEQNGKIAVLSVTYESAPQLNRLPYKSVSPGVNYQRPQGRRTLGVTLRYGTIQARPPTWRVLVTSDTENVFQLNTPADLKTTQQATLPKSERGHSVAFVKFYYTVGPSLGTVEAFTNRSIPLRQGHEGPLNVPIVDVRYGTVASEPPTWMITVKVDTVEVFQLDRPSPVTDSRPTGTTEQQIVVPTSEQNEEVAYITINYQVGPQLDSLTVSNATEPLNLETSSGEVSPSYVIRYGTIPAQPRSWRVVISTDDVQDVTTDPPADPATTQSTSLILSQEGDESIQYVNVIYKRGPLLDPINVSTATSPLDLYQPEDGEESLEYEVRFGSVTDSASVWSVVFSSATIETVSESTAVLPSFPTTEGTVTNSTVPTSTSTTTFDFRTVEQHGYQQISILQGEYVVGPKLGKITYSNLHGPITAEKWRGVSNKPRWRLIYKSIHSPQPANWQIPHSNPQSPTETIFVEVPGSSSPYTQLPQCHWEGIGRGYCYCQYRVGHVYKEWSDGIQYFTGTESDQCQDVPDCKWRGRGQGFCTCNLMHGEEHRKHFTDNVNYTIDDVICQDRLQSYAICTWEGRGNGVCTCRMMHGMDHQKDWQDGIRYMVGENTTCIEEHAAMPCFGFKQDADYNQTTGCWKMNDRRGESTCDTYNHNNYLFPFHCLAGNSNGGRTQVLVTHDTCFSYKQDQEYNSTTSCWLHGDQRGETTCSYFTRGNYTFPLVCPEGNSNGGYTQTVATEQCSVGNGLCTCLMQKNGQTKLWQMGVSYVVGSDTVCHGYPPPQPHPQPQYVAARVDTFPGSGSSTTQVSTHRECKWEGRGNGTCICQLLNGRNDKKSWTDGIRYSTRTDQDNCRNRSTCTIRGSGEGLCTCDVMMWGQYPKEWTEGVVYSTGAAHDFCKEAIPKCTWEGKGNGLCVCELMYGGTEHPRRWTDGIKYSQGGEHCRNAITCSIRGRGAGICTCDWIMSDKPREYTDGVRYTNGTYKDFCTEEAEPKSECNFGRSGGYCTCVLANGLYTREWQQNIQYHKGNDYCGPKSQSVAVPSQPQVMQQAQRKSKSAGTSPVATGLCQVALLLLGPGPGRLM
+>sp|Q96AY3|FKB10_HUMAN Peptidyl-prolyl cis-trans isomerase FKBP10 OS=Homo sapiens OX=9606 GN=FKBP10 PE=1 SV=1
+MFPAGPPSHSLLRLPLLQLLLLVVQAVGRGLGRASPAGGPLEDVVIERYHIPRACPREVQMGDFVRYHYNGTFEDGKKFDSSYDRNTLVAIVVGVGRLITGMDRGLMGMCVNERRRLIVPPHLGYGSIGLAGLIPPDATLYFDVVLLDVWNKEDTVQVSTLLRPPHCPRMVQDGDFVRYHYNGTLLDGTSFDTSYSKGGTYDTYVGSGWLIKGMDQGLLGMCPGERRKIIIPPFLAYGEKGYGTVIPPQASLVFHVLLIDVHNPKDAVQLETLELPPGCVRRAGAGDFMRYHYNGSLMDGTLFDSSYSRNHTYNTYIGQGYIIPGMDQGLQGACMGERRRITIPPHLAYGENGTGDKIPGSAVLIFNVHVIDFHNPADVVEIRTLSRPSETCNETTKLGDFVRYHYNCSLLDGTQLFTSHDYGAPQEATLGANKVIEGLDTGLQGMCVGERRQLIVPPHLAHGESGARGVPGSAVLLFEVELVSREDGLPTGYLFVWHKDPPANLFEDMDLNKDGEVPPEEFSTFIKAQVSEGKGRLMPGQDPEKTIGDMFQNQDRNQDGKITVDELKLKSDEDEERVHEEL
+>DECOY_sp|Q96AY3|FKB10_HUMAN Peptidyl-prolyl cis-trans isomerase FKBP10 OS=Homo sapiens OX=9606 GN=FKBP10 PE=1 SV=1
+LEEHVREEDEDSKLKLEDVTIKGDQNRDQNQFMDGITKEPDQGPMLRGKGESVQAKIFTSFEEPPVEGDKNLDMDEFLNAPPDKHWVFLYGTPLGDERSVLEVEFLLVASGPVGRAGSEGHALHPPVILQRREGVCMGQLGTDLGEIVKNAGLTAEQPAGYDHSTFLQTGDLLSCNYHYRVFDGLKTTENCTESPRSLTRIEVVDAPNHFDIVHVNFILVASGPIKDGTGNEGYALHPPITIRRREGMCAGQLGQDMGPIIYGQGIYTNYTHNRSYSSDFLTGDMLSGNYHYRMFDGAGARRVCGPPLELTELQVADKPNHVDILLVHFVLSAQPPIVTGYGKEGYALFPPIIIKRREGPCMGLLGQDMGKILWGSGVYTDYTGGKSYSTDFSTGDLLTGNYHYRVFDGDQVMRPCHPPRLLTSVQVTDEKNWVDLLVVDFYLTADPPILGALGISGYGLHPPVILRRRENVCMGMLGRDMGTILRGVGVVIAVLTNRDYSSDFKKGDEFTGNYHYRVFDGMQVERPCARPIHYREIVVDELPGGAPSARGLGRGVAQVVLLLLQLLPLRLLSHSPPGAPFM
+>sp|Q9NWM8|FKB14_HUMAN Peptidyl-prolyl cis-trans isomerase FKBP14 OS=Homo sapiens OX=9606 GN=FKBP14 PE=1 SV=1
+MRLFLWNAVLTLFVTSLIGALIPEPEVKIEVLQKPFICHRKTKGGDLMLVHYEGYLEKDGSLFHSTHKHNNGQPIWFTLGILEALKGWDQGLKGMCVGEKRKLIIPPALGYGKEGKGKIPPESTLIFNIDLLEIRNGPRSHESFQEMDLNDDWKLSKDEVKAYLKKEFEKHGAVVNESHHDALVEDIFDKEDEDKDGFISAREFTYKHDEL
+>DECOY_sp|Q9NWM8|FKB14_HUMAN Peptidyl-prolyl cis-trans isomerase FKBP14 OS=Homo sapiens OX=9606 GN=FKBP14 PE=1 SV=1
+LEDHKYTFERASIFGDKDEDEKDFIDEVLADHHSENVVAGHKEFEKKLYAKVEDKSLKWDDNLDMEQFSEHSRPGNRIELLDINFILTSEPPIKGKGEKGYGLAPPIILKRKEGVCMGKLGQDWGKLAELIGLTFWIPQGNNHKHTSHFLSGDKELYGEYHVLMLDGGKTKRHCIFPKQLVEIKVEPEPILAGILSTVFLTLVANWLFLRM
+>sp|Q5T1M5|FKB15_HUMAN FK506-binding protein 15 OS=Homo sapiens OX=9606 GN=FKBP15 PE=1 SV=2
+MFGAGDEDDTDFLSPSGGARLASLFGLDQAAAGHGNEFFQYTAPKQPKKGQGTAATGNQATPKTAPATMSTPTILVATAVHAYRYTNGQYVKQGKFGAAVLGNHTAREYRILLYISQQQPVTVARIHVNFELMVRPNNYSTFYDDQRQNWSIMFESEKAAVEFNKQVCIAKCNSTSSLDAVLSQDLIVADGPAVEVGDSLEVAYTGWLFQNHVLGQVFDSTANKDKLLRLKLGSGKVIKGWEDGMLGMKKGGKRLLIVPPACAVGSEGVIGWTQATDSILVFEVEVRRVKFARDSGSDGHSVSSRDSAAPSPIPGADNLSADPVVSPPTSIPFKSGEPALRTKSNSLSEQLAINTSPDAVKAKLISRMAKMGQPMLPILPPQLDSNDSEIEDVNTLQGGGQPVVTPSVQPSLHPAHPALPQMTSQAPQPSVTGLQAPSAALMQVSSLDSHSAVSGNAQSFQPYAGMQAYAYPQASAVTSQLQPVRPLYPAPLSQPPHFQGSGDMASFLMTEARQHNTEIRMAVSKVADKMDHLMTKVEELQKHSAGNSMLIPSMSVTMETSMIMSNIQRIIQENERLKQEILEKSNRIEEQNDKISELIERNQRYVEQSNLMMEKRNNSLQTATENTQARVLHAEQEKAKVTEELAAATAQVSHLQLKMTAHQKKETELQMQLTESLKETDLLRGQLTKVQAKLSELQETSEQAQSKFKSEKQNRKQLELKVTSLEEELTDLRVEKESLEKNLSERKKKSAQERSQAEEEIDEIRKSYQEELDKLRQLLKKTRVSTDQAAAEQLSLVQAELQTQWEAKCEHLLASAKDEHLQQYQEVCAQRDAYQQKLVQLQEKCLALQAQITALTKQNEQHIKELEKNKSQMSGVEAAASDPSEKVKKIMNQVFQSLRREFELEESYNGRTILGTIMNTIKMVTLQLLNQQEQEKEESSSEEEEEKAEERPRRPSQEQSASASSGQPQAPLNRERPESPMVPSEQVVEEAVPLPPQALTTSQDGHRRKGDSEAEALSEIKDGSLPPELSCIPSHRVLGPPTSIPPEPLGPVSMDSECEESLAASPMAAKPDNPSGKVCVREVAPDGPLQESSTRLSLTSDPEEGDPLALGPESPGEPQPPQLKKDDVTSSTGPHKELSSTEAGSTVAGAALRPSHHSQRSSLSGDEEDELFKGATLKALRPKAQPEEEDEDEVSMKGRPPPTPLFGDDDDDDDIDWLG
+>DECOY_sp|Q5T1M5|FKB15_HUMAN FK506-binding protein 15 OS=Homo sapiens OX=9606 GN=FKBP15 PE=1 SV=2
+GLWDIDDDDDDDGFLPTPPPRGKMSVEDEDEEEPQAKPRLAKLTAGKFLEDEEDGSLSSRQSHHSPRLAAGAVTSGAETSSLEKHPGTSSTVDDKKLQPPQPEGPSEPGLALPDGEEPDSTLSLRTSSEQLPGDPAVERVCVKGSPNDPKAAMPSAALSEECESDMSVPGLPEPPISTPPGLVRHSPICSLEPPLSGDKIESLAEAESDGKRRHGDQSTTLAQPPLPVAEEVVQESPVMPSEPRERNLPAQPQGSSASASQEQSPRRPREEAKEEEEESSSEEKEQEQQNLLQLTVMKITNMITGLITRGNYSEELEFERRLSQFVQNMIKKVKESPDSAAAEVGSMQSKNKELEKIHQENQKTLATIQAQLALCKEQLQVLKQQYADRQACVEQYQQLHEDKASALLHECKAEWQTQLEAQVLSLQEAAAQDTSVRTKKLLQRLKDLEEQYSKRIEDIEEEAQSREQASKKKRESLNKELSEKEVRLDTLEEELSTVKLELQKRNQKESKFKSQAQESTEQLESLKAQVKTLQGRLLDTEKLSETLQMQLETEKKQHATMKLQLHSVQATAAALEETVKAKEQEAHLVRAQTNETATQLSNNRKEMMLNSQEVYRQNREILESIKDNQEEIRNSKELIEQKLRENEQIIRQINSMIMSTEMTVSMSPILMSNGASHKQLEEVKTMLHDMKDAVKSVAMRIETNHQRAETMLFSAMDGSGQFHPPQSLPAPYLPRVPQLQSTVASAQPYAYAQMGAYPQFSQANGSVASHSDLSSVQMLAASPAQLGTVSPQPAQSTMQPLAPHAPHLSPQVSPTVVPQGGGQLTNVDEIESDNSDLQPPLIPLMPQGMKAMRSILKAKVADPSTNIALQESLSNSKTRLAPEGSKFPISTPPSVVPDASLNDAGPIPSPAASDRSSVSHGDSGSDRAFKVRRVEVEFVLISDTAQTWGIVGESGVACAPPVILLRKGGKKMGLMGDEWGKIVKGSGLKLRLLKDKNATSDFVQGLVHNQFLWGTYAVELSDGVEVAPGDAVILDQSLVADLSSTSNCKAICVQKNFEVAAKESEFMISWNQRQDDYFTSYNNPRVMLEFNVHIRAVTVPQQQSIYLLIRYERATHNGLVAAGFKGQKVYQGNTYRYAHVATAVLITPTSMTAPATKPTAQNGTAATGQGKKPQKPATYQFFENGHGAAAQDLGFLSALRAGGSPSLFDTDDEDGAGFM
+>sp|P68106|FKB1B_HUMAN Peptidyl-prolyl cis-trans isomerase FKBP1B OS=Homo sapiens OX=9606 GN=FKBP1B PE=1 SV=2
+MGVEIETISPGDGRTFPKKGQTCVVHYTGMLQNGKKFDSSRDRNKPFKFRIGKQEVIKGFEEGAAQMSLGQRAKLTCTPDVAYGATGHPGVIPPNATLIFDVELLNLE
+>DECOY_sp|P68106|FKB1B_HUMAN Peptidyl-prolyl cis-trans isomerase FKBP1B OS=Homo sapiens OX=9606 GN=FKBP1B PE=1 SV=2
+ELNLLEVDFILTANPPIVGPHGTAGYAVDPTCTLKARQGLSMQAAGEEFGKIVEQKGIRFKFPKNRDRSSDFKKGNQLMGTYHVVCTQGKKPFTRGDGPSITEIEVGM
+>sp|Q02790|FKBP4_HUMAN Peptidyl-prolyl cis-trans isomerase FKBP4 OS=Homo sapiens OX=9606 GN=FKBP4 PE=1 SV=3
+MTAEEMKATESGAQSAPLPMEGVDISPKQDEGVLKVIKREGTGTEMPMIGDRVFVHYTGWLLDGTKFDSSLDRKDKFSFDLGKGEVIKAWDIAIATMKVGEVCHITCKPEYAYGSAGSPPKIPPNATLVFEVELFEFKGEDLTEEEDGGIIRRIQTRGEGYAKPNEGAIVEVALEGYYKDKLFDQRELRFEIGEGENLDLPYGLERAIQRMEKGEHSIVYLKPSYAFGSVGKEKFQIPPNAELKYELHLKSFEKAKESWEMNSEEKLEQSTIVKERGTVYFKEGKYKQALLQYKKIVSWLEYESSFSNEEAQKAQALRLASHLNLAMCHLKLQAFSAAIESCNKALELDSNNEKGLFRRGEAHLAVNDFELARADFQKVLQLYPNNKAAKTQLAVCQQRIRRQLAREKKLYANMFERLAEEENKAKAEASSGDHPTDTEMKEEQKSNTAGSQSQVETEA
+>DECOY_sp|Q02790|FKBP4_HUMAN Peptidyl-prolyl cis-trans isomerase FKBP4 OS=Homo sapiens OX=9606 GN=FKBP4 PE=1 SV=3
+AETEVQSQSGATNSKQEEKMETDTPHDGSSAEAKAKNEEEALREFMNAYLKKERALQRRIRQQCVALQTKAAKNNPYLQLVKQFDARALEFDNVALHAEGRRFLGKENNSDLELAKNCSEIAASFAQLKLHCMALNLHSALRLAQAKQAEENSFSSEYELWSVIKKYQLLAQKYKGEKFYVTGREKVITSQELKEESNMEWSEKAKEFSKLHLEYKLEANPPIQFKEKGVSGFAYSPKLYVISHEGKEMRQIARELGYPLDLNEGEGIEFRLERQDFLKDKYYGELAVEVIAGENPKAYGEGRTQIRRIIGGDEEETLDEGKFEFLEVEFVLTANPPIKPPSGASGYAYEPKCTIHCVEGVKMTAIAIDWAKIVEGKGLDFSFKDKRDLSSDFKTGDLLWGTYHVFVRDGIMPMETGTGERKIVKLVGEDQKPSIDVGEMPLPASQAGSETAKMEEATM
+>sp|Q9UIM3|FKBPL_HUMAN FK506-binding protein-like OS=Homo sapiens OX=9606 GN=FKBPL PE=1 SV=1
+METPPVNTIGEKDTSQPQQEWEKNLRENLDSVIQIRQQPRDPPTETLELEVSPDPASQILEHTQGAEKLVAELEGDSHKSHGSTSQMPEALQASDLWYCPDGSFVKKIVIRGHGLDKPKLGSCCRVLALGFPFGSGPPEGWTELTMGVGPWREETWGELIEKCLESMCQGEEAELQLPGHSGPPVRLTLASFTQGRDSWELETSEKEALAREERARGTELFRAGNPEGAARCYGRALRLLLTLPPPGPPERTVLHANLAACQLLLGQPQLAAQSCDRVLEREPGHLKALYRRGVAQAALGNLEKATADLKKVLAIDPKNRAAQEELGKVVIQGKNQDAGLAQGLRKMFG
+>DECOY_sp|Q9UIM3|FKBPL_HUMAN FK506-binding protein-like OS=Homo sapiens OX=9606 GN=FKBPL PE=1 SV=1
+GFMKRLGQALGADQNKGQIVVKGLEEQAARNKPDIALVKKLDATAKELNGLAAQAVGRRYLAKLHGPERELVRDCSQAALQPQGLLLQCAALNAHLVTREPPGPPPLTLLLRLARGYCRAAGEPNGARFLETGRAREERALAEKESTELEWSDRGQTFSALTLRVPPGSHGPLQLEAEEGQCMSELCKEILEGWTEERWPGVGMTLETWGEPPGSGFPFGLALVRCCSGLKPKDLGHGRIVIKKVFSGDPCYWLDSAQLAEPMQSTSGHSKHSDGELEAVLKEAGQTHELIQSAPDPSVELELTETPPDRPQQRIQIVSDLNERLNKEWEQQPQSTDKEGITNVPPTEM
+>sp|O75072|FKTN_HUMAN Fukutin OS=Homo sapiens OX=9606 GN=FKTN PE=1 SV=2
+MSRINKNVVLALLTLTSSAFLLFQLYYYKHYLSTKNGAGLSKSKGSRIGFDSTQWRAVKKFIMLTSNQNVPVFLIDPLILELINKNFEQVKNTSHGSTSQCKFFCVPRDFTAFALQYHLWKNEEGWFRIAENMGFQCLKIESKDPRLDGIDSLSGTEIPLHYICKLATHAIHLVVFHERSGNYLWHGHLRLKEHIDRKFVPFRKLQFGRYPGAFDRPELQQVTVDGLEVLIPKDPMHFVEEVPHSRFIECRYKEARAFFQQYLDDNTVEAVAFRKSAKELLQLAAKTLNKLGVPFWLSSGTCLGWYRQCNIIPYSKDVDLGIFIQDYKSDIILAFQDAGLPLKHKFGKVEDSLELSFQGKDDVKLDVFFFYEETDHMWNGGTQAKTGKKFKYLFPKFTLCWTEFVDMKVHVPCETLEYIEANYGKTWKIPVKTWDWKRSPPNVQPNGIWPISEWDEVIQLY
+>DECOY_sp|O75072|FKTN_HUMAN Fukutin OS=Homo sapiens OX=9606 GN=FKTN PE=1 SV=2
+YLQIVEDWESIPWIGNPQVNPPSRKWDWTKVPIKWTKGYNAEIYELTECPVHVKMDVFETWCLTFKPFLYKFKKGTKAQTGGNWMHDTEEYFFFVDLKVDDKGQFSLELSDEVKGFKHKLPLGADQFALIIDSKYDQIFIGLDVDKSYPIINCQRYWGLCTGSSLWFPVGLKNLTKAALQLLEKASKRFAVAEVTNDDLYQQFFARAEKYRCEIFRSHPVEEVFHMPDKPILVELGDVTVQQLEPRDFAGPYRGFQLKRFPVFKRDIHEKLRLHGHWLYNGSREHFVVLHIAHTALKCIYHLPIETGSLSDIGDLRPDKSEIKLCQFGMNEAIRFWGEENKWLHYQLAFATFDRPVCFFKCQSTSGHSTNKVQEFNKNILELILPDILFVPVNQNSTLMIFKKVARWQTSDFGIRSGKSKSLGAGNKTSLYHKYYYLQFLLFASSTLTLLALVVNKNIRSM
+>sp|P49771|FLT3L_HUMAN Fms-related tyrosine kinase 3 ligand OS=Homo sapiens OX=9606 GN=FLT3LG PE=1 SV=1
+MTVLAPAWSPTTYLLLLLLLSSGLSGTQDCSFQHSPISSDFAVKIRELSDYLLQDYPVTVASNLQDEELCGGLWRLVLAQRWMERLKTVAGSKMQGLLERVNTEIHFVTKCAFQPPPSCLRFVQTNISRLLQETSEQLVALKPWITRQNFSRCLELQCQPDSSTLPPPWSPRPLEATAPTAPQPPLLLLLLLPVGLLLLAAAWCLHWQRTRRRTPRPGEQVPPVPSPQDLLLVEH
+>DECOY_sp|P49771|FLT3L_HUMAN Fms-related tyrosine kinase 3 ligand OS=Homo sapiens OX=9606 GN=FLT3LG PE=1 SV=1
+HEVLLLDQPSPVPPVQEGPRPTRRRTRQWHLCWAAALLLLGVPLLLLLLLPPQPATPATAELPRPSWPPPLTSSDPQCQLELCRSFNQRTIWPKLAVLQESTEQLLRSINTQVFRLCSPPPQFACKTVFHIETNVRELLGQMKSGAVTKLREMWRQALVLRWLGGCLEEDQLNSAVTVPYDQLLYDSLERIKVAFDSSIPSHQFSCDQTGSLGSSLLLLLLLYTTPSWAPALVTM
+>sp|A8MYX2|FM25E_HUMAN Protein FAM25E OS=Homo sapiens OX=9606 GN=FAM25E PE=2 SV=2
+MSQSVLAGGGIPEPHLGCPGTYRSIVRINGSALSPHPGLRGHEPALSPLPGLGGHWACSEPSPWTQEGSGPALSPHPGLWGQWACSEPSSWTRGQRACSEPSPWTCLLCSVHAMEEVVKEVVGHAKETGEKAIAEAIKKAQESGDKKMKEVTETVTNTVTNAITHAAESLGKLGQ
+>DECOY_sp|A8MYX2|FM25E_HUMAN Protein FAM25E OS=Homo sapiens OX=9606 GN=FAM25E PE=2 SV=2
+QGLKGLSEAAHTIANTVTNTVTETVEKMKKDGSEQAKKIAEAIAKEGTEKAHGVVEKVVEEMAHVSCLLCTWPSPESCARQGRTWSSPESCAWQGWLGPHPSLAPGSGEQTWPSPESCAWHGGLGPLPSLAPEHGRLGPHPSLASGNIRVISRYTGPCGLHPEPIGGGALVSQSM
+>sp|Q8IVF7|FMNL3_HUMAN Formin-like protein 3 OS=Homo sapiens OX=9606 GN=FMNL3 PE=1 SV=3
+MGNLESAEGVPGEPPSVPLLLPPGKMPMPEPCELEERFALVLSSMNLPPDKARLLRQYDNEKKWDLICDQERFQVKNPPHTYIQKLQSFLDPSVTRKKFRRRVQESTKVLRELEISLRTNHIGWVREFLNDENKGLDVLVDYLSFAQCSVMFDFEGLESGDDGAFDKLRSWSRSIEDLQPPSALSAPFTNSLARSARQSVLRYSTLPGRRALKNSRLVSQKDDVHVCILCLRAIMNYQYGFNLVMSHPHAVNEIALSLNNKNPRTKALVLELLAAVCLVRGGHEIILAAFDNFKEVCKELHRFEKLMEYFRNEDSNIDFMVACMQFINIVVHSVEDMNFRVHLQYEFTKLGLEEFLQKSRHTESEKLQVQIQAYLDNVFDVGGLLEDAETKNVALEKVEELEEHVSHLTEKLLDLENENMMRVAELEKQLLQREKELESIKETYENTSHQVHTLRRLIKEKEEAFQRRCHLEPNVRGLESVDSEALARVGPAELSEGMPPSDLDLLAPAPPPEEVLPLPPPPAPPLPPPPPPLPDKCPPAPPLPGAAPSVVLTVGLSAIRIKKPIKTKFRLPVFNWTALKPNQISGTVFSELDDEKILEDLDLDKFEELFKTKAQGPALDLICSKNKTAQKAASKVTLLEANRAKNLAITLRKAGRSAEEICRAIHTFDLQTLPVDFVECLMRFLPTEAEVKLLRQYERERQPLEELAAEDRFMLLFSKVERLTQRMAGMAFLGNFQDNLQMLTPQLNAIIAASASVKSSQKLKQMLEIILALGNYMNSSKRGAVYGFKLQSLDLLLDTKSTDRKMTLLHFIALTVKEKYPDLANFWHELHFVEKAAAVSLENVLLDVKELGRGMELIRRECSIHDNSVLRNFLSTNEGKLDKLQRDAKTAEEAYNAVVRYFGESPKTTPPSVFFPVFVRFIRSYKEAEQENEARKKQEEVMREKQLAQEAKKLDAKTPSQRNKWQQQELIAELRRRQAKEHRPVYEGKDGTIEDIITVLKSVPFTARTAKRGSRFFCDAAHHDESNC
+>DECOY_sp|Q8IVF7|FMNL3_HUMAN Formin-like protein 3 OS=Homo sapiens OX=9606 GN=FMNL3 PE=1 SV=3
+CNSEDHHAADCFFRSGRKATRATFPVSKLVTIIDEITGDKGEYVPRHEKAQRRRLEAILEQQQWKNRQSPTKADLKKAEQALQKERMVEEQKKRAENEQEAEKYSRIFRVFVPFFVSPPTTKPSEGFYRVVANYAEEATKADRQLKDLKGENTSLFNRLVSNDHISCERRILEMGRGLEKVDLLVNELSVAAAKEVFHLEHWFNALDPYKEKVTLAIFHLLTMKRDTSKTDLLLDLSQLKFGYVAGRKSSNMYNGLALIIELMQKLKQSSKVSASAAIIANLQPTLMQLNDQFNGLFAMGAMRQTLREVKSFLLMFRDEAALEELPQREREYQRLLKVEAETPLFRMLCEVFDVPLTQLDFTHIARCIEEASRGAKRLTIALNKARNAELLTVKSAAKQATKNKSCILDLAPGQAKTKFLEEFKDLDLDELIKEDDLESFVTGSIQNPKLATWNFVPLRFKTKIPKKIRIASLGVTLVVSPAAGPLPPAPPCKDPLPPPPPPLPPAPPPPLPLVEEPPPAPALLDLDSPPMGESLEAPGVRALAESDVSELGRVNPELHCRRQFAEEKEKILRRLTHVQHSTNEYTEKISELEKERQLLQKELEAVRMMNENELDLLKETLHSVHEELEEVKELAVNKTEADELLGGVDFVNDLYAQIQVQLKESETHRSKQLFEELGLKTFEYQLHVRFNMDEVSHVVINIFQMCAVMFDINSDENRFYEMLKEFRHLEKCVEKFNDFAALIIEHGGRVLCVAALLELVLAKTRPNKNNLSLAIENVAHPHSMVLNFGYQYNMIARLCLICVHVDDKQSVLRSNKLARRGPLTSYRLVSQRASRALSNTFPASLASPPQLDEISRSWSRLKDFAGDDGSELGEFDFMVSCQAFSLYDVLVDLGKNEDNLFERVWGIHNTRLSIELERLVKTSEQVRRRFKKRTVSPDLFSQLKQIYTHPPNKVQFREQDCILDWKKENDYQRLLRAKDPPLNMSSLVLAFREELECPEPMPMKGPPLLLPVSPPEGPVGEASELNGM
+>sp|Q01740|FMO1_HUMAN Dimethylaniline monooxygenase [N-oxide-forming] 1 OS=Homo sapiens OX=9606 GN=FMO1 PE=1 SV=3
+MAKRVAIVGAGVSGLASIKCCLEEGLEPTCFERSDDLGGLWRFTEHVEEGRASLYKSVVSNSCKEMSCYSDFPFPEDYPNYVPNSQFLEYLKMYANHFDLLKHIQFKTKVCSVTKCSDSAVSGQWEVVTMHEEKQESAIFDAVMVCTGFLTNPYLPLDSFPGINAFKGQYFHSRQYKHPDIFKDKRVLVIGMGNSGTDIAVEASHLAEKVFLSTTGGGWVISRIFDSGYPWDMVFMTRFQNMLRNSLPTPIVTWLMERKINNWLNHANYGLIPEDRTQLKEFVLNDELPGRIITGKVFIRPSIKEVKENSVIFNNTSKEEPIDIIVFATGYTFAFPFLDESVVKVEDGQASLYKYIFPAHLQKPTLAIIGLIKPLGSMIPTGETQARWAVRVLKGVNKLPPPSVMIEEINARKENKPSWFGLCYCKALQSDYITYIDELLTYINAKPNLFSMLLTDPHLALTVFFGPCSPYQFRLTGPGKWEGARNAIMTQWDRTFKVIKARVVQESPSPFESFLKVFSFLALLVAIFLIFL
+>DECOY_sp|Q01740|FMO1_HUMAN Dimethylaniline monooxygenase [N-oxide-forming] 1 OS=Homo sapiens OX=9606 GN=FMO1 PE=1 SV=3
+LFILFIAVLLALFSFVKLFSEFPSPSEQVVRAKIVKFTRDWQTMIANRAGEWKGPGTLRFQYPSCPGFFVTLALHPDTLLMSFLNPKANIYTLLEDIYTIYDSQLAKCYCLGFWSPKNEKRANIEEIMVSPPPLKNVGKLVRVAWRAQTEGTPIMSGLPKILGIIALTPKQLHAPFIYKYLSAQGDEVKVVSEDLFPFAFTYGTAFVIIDIPEEKSTNNFIVSNEKVEKISPRIFVKGTIIRGPLEDNLVFEKLQTRDEPILGYNAHNLWNNIKREMLWTVIPTPLSNRLMNQFRTMFVMDWPYGSDFIRSIVWGGGTTSLFVKEALHSAEVAIDTGSNGMGIVLVRKDKFIDPHKYQRSHFYQGKFANIGPFSDLPLYPNTLFGTCVMVADFIASEQKEEHMTVVEWQGSVASDSCKTVSCVKTKFQIHKLLDFHNAYMKLYELFQSNPVYNPYDEPFPFDSYCSMEKCSNSVVSKYLSARGEEVHETFRWLGGLDDSREFCTPELGEELCCKISALGSVGAGVIAVRKAM
+>sp|P31513|FMO3_HUMAN Dimethylaniline monooxygenase [N-oxide-forming] 3 OS=Homo sapiens OX=9606 GN=FMO3 PE=1 SV=5
+MGKKVAIIGAGVSGLASIRSCLEEGLEPTCFEKSNDIGGLWKFSDHAEEGRASIYKSVFSNSSKEMMCFPDFPFPDDFPNFMHNSKIQEYIIAFAKEKNLLKYIQFKTFVSSVNKHPDFATTGQWDVTTERDGKKESAVFDAVMVCSGHHVYPNLPKESFPGLNHFKGKCFHSRDYKEPGVFNGKRVLVVGLGNSGCDIATELSRTAEQVMISSRSGSWVMSRVWDNGYPWDMLLVTRFGTFLKNNLPTAISDWLYVKQMNARFKHENYGLMPLNGVLRKEPVFNDELPASILCGIVSVKPNVKEFTETSAIFEDGTIFEGIDCVIFATGYSFAYPFLDESIIKSRNNEIILFKGVFPPLLEKSTIAVIGFVQSLGAAIPTVDLQSRWAAQVIKGTCTLPSMEDMMNDINEKMEKKRKWFGKSETIQTDYIVYMDELSSFIGAKPNIPWLFLTDPKLAMEVYFGPCSPYQFRLVGPGQWPGARNAILTQWDRSLKPMQTRVVGRLQKPCFFFHWLKLFAIPILLIAVFLVLT
+>DECOY_sp|P31513|FMO3_HUMAN Dimethylaniline monooxygenase [N-oxide-forming] 3 OS=Homo sapiens OX=9606 GN=FMO3 PE=1 SV=5
+TLVLFVAILLIPIAFLKLWHFFFCPKQLRGVVRTQMPKLSRDWQTLIANRAGPWQGPGVLRFQYPSCPGFYVEMALKPDTLFLWPINPKAGIFSSLEDMYVIYDTQITESKGFWKRKKEMKENIDNMMDEMSPLTCTGKIVQAAWRSQLDVTPIAAGLSQVFGIVAITSKELLPPFVGKFLIIENNRSKIISEDLFPYAFSYGTAFIVCDIGEFITGDEFIASTETFEKVNPKVSVIGCLISAPLEDNFVPEKRLVGNLPMLGYNEHKFRANMQKVYLWDSIATPLNNKLFTGFRTVLLMDWPYGNDWVRSMVWSGSRSSIMVQEATRSLETAIDCGSNGLGVVLVRKGNFVGPEKYDRSHFCKGKFHNLGPFSEKPLNPYVHHGSCVMVADFVASEKKGDRETTVDWQGTTAFDPHKNVSSVFTKFQIYKLLNKEKAFAIIYEQIKSNHMFNPFDDPFPFDPFCMMEKSSNSFVSKYISARGEEAHDSFKWLGGIDNSKEFCTPELGEELCSRISALGSVGAGIIAVKKGM
+>sp|P31512|FMO4_HUMAN Dimethylaniline monooxygenase [N-oxide-forming] 4 OS=Homo sapiens OX=9606 GN=FMO4 PE=1 SV=3
+MAKKVAVIGAGVSGLSSIKCCVDEDLEPTCFERSDDIGGLWKFTESSKDGMTRVYKSLVTNVCKEMSCYSDFPFHEDYPNFMNHEKFWDYLQEFAEHFDLLKYIQFKTTVCSITKRPDFSETGQWDVVTETEGKQNRAVFDAVMVCTGHFLNPHLPLEAFPGIHKFKGQILHSQEYKIPEGFQGKRVLVIGLGNTGGDIAVELSRTAAQVLLSTRTGTWVLGRSSDWGYPYNMMVTRRCCSFIAQVLPSRFLNWIQERKLNKRFNHEDYGLSITKGKKAKFIVNDELPNCILCGAITMKTSVIEFTETSAVFEDGTVEENIDVVIFTTGYTFSFPFFEEPLKSLCTKKIFLYKQVFPLNLERATLAIIGLIGLKGSILSGTELQARWVTRVFKGLCKIPPSQKLMMEATEKEQLIKRGVFKDTSKDKFDYIAYMDDIAACIGTKPSIPLLFLKDPRLAWEVFFGPCTPYQYRLMGPGKWDGARNAILTQWDRTLKPLKTRIVPDSSKPASMSHYLKAWGAPVLLASLLLICKSSLFLKLVRDKLQDRMSPYLVSLWRG
+>DECOY_sp|P31512|FMO4_HUMAN Dimethylaniline monooxygenase [N-oxide-forming] 4 OS=Homo sapiens OX=9606 GN=FMO4 PE=1 SV=3
+GRWLSVLYPSMRDQLKDRVLKLFLSSKCILLLSALLVPAGWAKLYHSMSAPKSSDPVIRTKLPKLTRDWQTLIANRAGDWKGPGMLRYQYPTCPGFFVEWALRPDKLFLLPISPKTGICAAIDDMYAIYDFKDKSTDKFVGRKILQEKETAEMMLKQSPPIKCLGKFVRTVWRAQLETGSLISGKLGILGIIALTARELNLPFVQKYLFIKKTCLSKLPEEFFPFSFTYGTTFIVVDINEEVTGDEFVASTETFEIVSTKMTIAGCLICNPLEDNVIFKAKKGKTISLGYDEHNFRKNLKREQIWNLFRSPLVQAIFSCCRRTVMMNYPYGWDSSRGLVWTGTRTSLLVQAATRSLEVAIDGGTNGLGIVLVRKGQFGEPIKYEQSHLIQGKFKHIGPFAELPLHPNLFHGTCVMVADFVARNQKGETETVVDWQGTESFDPRKTISCVTTKFQIYKLLDFHEAFEQLYDWFKEHNMFNPYDEHFPFDSYCSMEKCVNTVLSKYVRTMGDKSSETFKWLGGIDDSREFCTPELDEDVCCKISSLGSVGAGIVAVKKAM
+>sp|Q04609|FOLH1_HUMAN Glutamate carboxypeptidase 2 OS=Homo sapiens OX=9606 GN=FOLH1 PE=1 SV=1
+MWNLLHETDSAVATARRPRWLCAGALVLAGGFFLLGFLFGWFIKSSNEATNITPKHNMKAFLDELKAENIKKFLYNFTQIPHLAGTEQNFQLAKQIQSQWKEFGLDSVELAHYDVLLSYPNKTHPNYISIINEDGNEIFNTSLFEPPPPGYENVSDIVPPFSAFSPQGMPEGDLVYVNYARTEDFFKLERDMKINCSGKIVIARYGKVFRGNKVKNAQLAGAKGVILYSDPADYFAPGVKSYPDGWNLPGGGVQRGNILNLNGAGDPLTPGYPANEYAYRRGIAEAVGLPSIPVHPIGYYDAQKLLEKMGGSAPPDSSWRGSLKVPYNVGPGFTGNFSTQKVKMHIHSTNEVTRIYNVIGTLRGAVEPDRYVILGGHRDSWVFGGIDPQSGAAVVHEIVRSFGTLKKEGWRPRRTILFASWDAEEFGLLGSTEWAEENSRLLQERGVAYINADSSIEGNYTLRVDCTPLMYSLVHNLTKELKSPDEGFEGKSLYESWTKKSPSPEFSGMPRISKLGSGNDFEVFFQRLGIASGRARYTKNWETNKFSGYPLYHSVYETYELVEKFYDPMFKYHLTVAQVRGGMVFELANSIVLPFDCRDYAVVLRKYADKIYSISMKHPQEMKTYSVSFDSLFSAVKNFTEIASKFSERLQDFDKSNPIVLRMMNDQLMFLERAFIDPLGLPDRPFYRHVIYAPSSHNKYAGESFPGIYDALFDIESKVDPSKAWGEVKRQIYVAAFTVQAAAETLSEVA
+>DECOY_sp|Q04609|FOLH1_HUMAN Glutamate carboxypeptidase 2 OS=Homo sapiens OX=9606 GN=FOLH1 PE=1 SV=1
+AVESLTEAAAQVTFAAVYIQRKVEGWAKSPDVKSEIDFLADYIGPFSEGAYKNHSSPAYIVHRYFPRDPLGLPDIFARELFMLQDNMMRLVIPNSKDFDQLRESFKSAIETFNKVASFLSDFSVSYTKMEQPHKMSISYIKDAYKRLVVAYDRCDFPLVISNALEFVMGGRVQAVTLHYKFMPDYFKEVLEYTEYVSHYLPYGSFKNTEWNKTYRARGSAIGLRQFFVEFDNGSGLKSIRPMGSFEPSPSKKTWSEYLSKGEFGEDPSKLEKTLNHVLSYMLPTCDVRLTYNGEISSDANIYAVGREQLLRSNEEAWETSGLLGFEEADWSAFLITRRPRWGEKKLTGFSRVIEHVVAAGSQPDIGGFVWSDRHGGLIVYRDPEVAGRLTGIVNYIRTVENTSHIHMKVKQTSFNGTFGPGVNYPVKLSGRWSSDPPASGGMKELLKQADYYGIPHVPISPLGVAEAIGRRYAYENAPYGPTLPDGAGNLNLINGRQVGGGPLNWGDPYSKVGPAFYDAPDSYLIVGKAGALQANKVKNGRFVKGYRAIVIKGSCNIKMDRELKFFDETRAYNVYVLDGEPMGQPSFASFPPVIDSVNEYGPPPPEFLSTNFIENGDENIISIYNPHTKNPYSLLVDYHALEVSDLGFEKWQSQIQKALQFNQETGALHPIQTFNYLFKKINEAKLEDLFAKMNHKPTINTAENSSKIFWGFLFGLLFFGGALVLAGACLWRPRRATAVASDTEHLLNWM
+>sp|P15328|FOLR1_HUMAN Folate receptor alpha OS=Homo sapiens OX=9606 GN=FOLR1 PE=1 SV=3
+MAQRMTTQLLLLLVWVAVVGEAQTRIAWARTELLNVCMNAKHHKEKPGPEDKLHEQCRPWRKNACCSTNTSQEAHKDVSYLYRFNWNHCGEMAPACKRHFIQDTCLYECSPNLGPWIQQVDQSWRKERVLNVPLCKEDCEQWWEDCRTSYTCKSNWHKGWNWTSGFNKCAVGAACQPFHFYFPTPTVLCNEIWTHSYKVSNYSRGSGRCIQMWFDPAQGNPNEEVARFYAAAMSGAGPWAAWPFLLSLALMLLWLLS
+>DECOY_sp|P15328|FOLR1_HUMAN Folate receptor alpha OS=Homo sapiens OX=9606 GN=FOLR1 PE=1 SV=3
+SLLWLLMLALSLLFPWAAWPGAGSMAAAYFRAVEENPNGQAPDFWMQICRGSGRSYNSVKYSHTWIENCLVTPTPFYFHFPQCAAGVACKNFGSTWNWGKHWNSKCTYSTRCDEWWQECDEKCLPVNLVREKRWSQDVQQIWPGLNPSCEYLCTDQIFHRKCAPAMEGCHNWNFRYLYSVDKHAEQSTNTSCCANKRWPRCQEHLKDEPGPKEKHHKANMCVNLLETRAWAIRTQAEGVVAVWVLLLLLQTTMRQAM
+>sp|E5RQL4|FONG_HUMAN Formiminotransferase N-terminal subdomain-containing protein OS=Homo sapiens OX=9606 GN=FTCDNL1 PE=2 SV=1
+MSSSRVGLRLAACLLNVSEAGRKYIVENIAKAALLDKNGKKHPQVSVLNIFSDQDYKRSVITIATSVDKLGLAEDLVLHVPGCSVFLFGEADLPEKRSLVQRRKQLGWFTRRDFSALQPDLGAAPSQRCGLTGSEHGFCFALFFFFF
+>DECOY_sp|E5RQL4|FONG_HUMAN Formiminotransferase N-terminal subdomain-containing protein OS=Homo sapiens OX=9606 GN=FTCDNL1 PE=2 SV=1
+FFFFFLAFCFGHESGTLGCRQSPAAGLDPQLASFDRRTFWGLQKRRQVLSRKEPLDAEGFLFVSCGPVHLVLDEALGLKDVSTAITIVSRKYDQDSFINLVSVQPHKKGNKDLLAAKAINEVIYKRGAESVNLLCAALRLGVRSSSM
+>sp|Q9Y261|FOXA2_HUMAN Hepatocyte nuclear factor 3-beta OS=Homo sapiens OX=9606 GN=FOXA2 PE=1 SV=1
+MLGAVKMEGHEPSDWSSYYAEPEGYSSVSNMNAGLGMNGMNTYMSMSAAAMGSGSGNMSAGSMNMSSYVGAGMSPSLAGMSPGAGAMAGMGGSAGAAGVAGMGPHLSPSLSPLGGQAAGAMGGLAPYANMNSMSPMYGQAGLSRARDPKTYRRSYTHAKPPYSYISLITMAIQQSPNKMLTLSEIYQWIMDLFPFYRQNQQRWQNSIRHSLSFNDCFLKVPRSPDKPGKGSFWTLHPDSGNMFENGCYLRRQKRFKCEKQLALKEAAGAAGSGKKAAAGAQASQAQLGEAAGPASETPAGTESPHSSASPCQEHKRGGLGELKGTPAAALSPPEPAPSPGQQQQAAAHLLGPPHHPGLPPEAHLKPEHHYAFNHPFSINNLMSSEQQHHHSHHHHQPHKMDLKAYEQVMHYPGYGSPMPGSLAMGPVTNKTGLDASPLAADTSYYQGVYSRPIMNSS
+>DECOY_sp|Q9Y261|FOXA2_HUMAN Hepatocyte nuclear factor 3-beta OS=Homo sapiens OX=9606 GN=FOXA2 PE=1 SV=1
+SSNMIPRSYVGQYYSTDAALPSADLGTKNTVPGMALSGPMPSGYGPYHMVQEYAKLDMKHPQHHHHSHHHQQESSMLNNISFPHNFAYHHEPKLHAEPPLGPHHPPGLLHAAAQQQQGPSPAPEPPSLAAAPTGKLEGLGGRKHEQCPSASSHPSETGAPTESAPGAAEGLQAQSAQAGAAAKKGSGAAGAAEKLALQKECKFRKQRRLYCGNEFMNGSDPHLTWFSGKGPKDPSRPVKLFCDNFSLSHRISNQWRQQNQRYFPFLDMIWQYIESLTLMKNPSQQIAMTILSIYSYPPKAHTYSRRYTKPDRARSLGAQGYMPSMSNMNAYPALGGMAGAAQGGLPSLSPSLHPGMGAVGAAGASGGMGAMAGAGPSMGALSPSMGAGVYSSMNMSGASMNGSGSGMAAASMSMYTNMGNMGLGANMNSVSSYGEPEAYYSSWDSPEHGEMKVAGLM
+>sp|A8MWK0|FS2P1_HUMAN Putative fatty acid desaturase 2-like protein FADS2P1 OS=Homo sapiens OX=9606 GN=FADS2P1 PE=5 SV=2
+MKFEEKCGDNGSIVGRNQSYPGEKHQPKGKPIANGEAEVYAKQEANGKCSTPRKSLSMYTWLEIQRHNHEADQLVINCKVYNVSSWADRHPGGHQVLNHCAGEDAMDVFRAMHPELDIVQLYLKPLLIGELAPGEPSQERHKNSQLVKDFQELWSIAEAMNMFHANLGFFFLHFVQILILEVLAWLIVYHFGSGWPVTMFISFLLTISQASSSFLQHDAGHLSIFRKSKWNHVVHKFVMCHLKGLSADRWNYWHFEQHVKPNIYPKDPDIDTDPLFLLGDSQPVKYGKKKIKYINYEEQHLYFYKVWLPLFMPVYLKLPSMQAMYLQRYWVCFSLQDITWVSSFYIYFITFGLYYGIFGTMLLIYLVKFLESPWIVYVTQMSHITMRMSTEENRDWLTTQVLATCNTESFFNDFTGHLNFQIEHHLFPTMPRHNYHKVAPLVRSLCAKHGLHYVNKPMLRAFGDIVRALKKSAALWADAYYE
+>DECOY_sp|A8MWK0|FS2P1_HUMAN Putative fatty acid desaturase 2-like protein FADS2P1 OS=Homo sapiens OX=9606 GN=FADS2P1 PE=5 SV=2
+EYYADAWLAASKKLARVIDGFARLMPKNVYHLGHKACLSRVLPAVKHYNHRPMTPFLHHEIQFNLHGTFDNFFSETNCTALVQTTLWDRNEETSMRMTIHSMQTVYVIWPSELFKVLYILLMTGFIGYYLGFTIFYIYFSSVWTIDQLSFCVWYRQLYMAQMSPLKLYVPMFLPLWVKYFYLHQEEYNIYKIKKKGYKVPQSDGLLFLPDTDIDPDKPYINPKVHQEFHWYNWRDASLGKLHCMVFKHVVHNWKSKRFISLHGADHQLFSSSAQSITLLFSIFMTVPWGSGFHYVILWALVELILIQVFHLFFFGLNAHFMNMAEAISWLEQFDKVLQSNKHREQSPEGPALEGILLPKLYLQVIDLEPHMARFVDMADEGACHNLVQHGGPHRDAWSSVNYVKCNIVLQDAEHNHRQIELWTYMSLSKRPTSCKGNAEQKAYVEAEGNAIPKGKPQHKEGPYSQNRGVISGNDGCKEEFKM
+>sp|O95073|FSBP_HUMAN Fibrinogen silencer-binding protein OS=Homo sapiens OX=9606 GN=FSBP PE=1 SV=1
+MVGKARSSNFTLSEKLDLLKLVKPYVKILEEHTNKHSVIVEKNRCWDIIAVNYNAIGVDRPPRTAQGLRTLYKRLKEYAKQELLQQKETQSDFKSNISEPTKKVMEMIPQISSFCLVRDRNHIQSANLDEEAQAGTSSLQVMLDHHPVAITVEVKQEEDIKPPPPLVLNSQQSDTLEQREEHELVHVMERSLSPSLSSVDMRMTSSPSSIPRRDDFFRHESGEHFRSLLGYDPQILQMLKEEHQIILENQKNFGLYVQEKRDGLKRRQQLEEELLRAKIEVEKLKAIRLRHDLPEYNSL
+>DECOY_sp|O95073|FSBP_HUMAN Fibrinogen silencer-binding protein OS=Homo sapiens OX=9606 GN=FSBP PE=1 SV=1
+LSNYEPLDHRLRIAKLKEVEIKARLLEEELQQRRKLGDRKEQVYLGFNKQNELIIQHEEKLMQLIQPDYGLLSRFHEGSEHRFFDDRRPISSPSSTMRMDVSSLSPSLSREMVHVLEHEERQELTDSQQSNLVLPPPPKIDEEQKVEVTIAVPHHDLMVQLSSTGAQAEEDLNASQIHNRDRVLCFSSIQPIMEMVKKTPESINSKFDSQTEKQQLLEQKAYEKLRKYLTRLGQATRPPRDVGIANYNVAIIDWCRNKEVIVSHKNTHEELIKVYPKVLKLLDLKESLTFNSSRAKGVM
+>sp|O14926|FSCN2_HUMAN Fascin-2 OS=Homo sapiens OX=9606 GN=FSCN2 PE=1 SV=1
+MPTNGLHQVLKIQFGLVNDTDRYLTAESFGFKVNASAPSLKRKQTWVLEPDPGQGTAVLLRSSHLGRYLSAEEDGRVACEAEQPGRDCRFLVLPQPDGRWVLRSEPHGRFFGGTEDQLSCFATAVSPAELWTVHLAIHPQAHLLSVSRRRYVHLCPREDEMAADGDKPWGVDALLTLIFRSRRYCLKSCDSRYLRSDGRLVWEPEPRACYTLEFKAGKLAFKDCDGHYLAPVGPAGTLKAGRNTRPGKDELFDLEESHPQVVLVAANHRYVSVRQGVNVSANQDDELDHETFLMQIDQETKKCTFYSSTGGYWTLVTHGGIHATATQVSANTMFEMEWRGRRVALKASNGRYVCMKKNGQLAAISDFVGKDEEFTLKLINRPILVLRGLDGFVCHHRGSNQLDTNRSVYDVFHLSFSDGAYRIRGRDGGFWYTGSHGSVCSDGERAEDFVFEFRERGRLAIRARSGKYLRGGASGLLRADADAPAGTALWEY
+>DECOY_sp|O14926|FSCN2_HUMAN Fascin-2 OS=Homo sapiens OX=9606 GN=FSCN2 PE=1 SV=1
+YEWLATGAPADADARLLGSAGGRLYKGSRARIALRGRERFEFVFDEAREGDSCVSGHSGTYWFGGDRGRIRYAGDSFSLHFVDYVSRNTDLQNSGRHHCVFGDLGRLVLIPRNILKLTFEEDKGVFDSIAALQGNKKMCVYRGNSAKLAVRRGRWEMEFMTNASVQTATAHIGGHTVLTWYGGTSSYFTCKKTEQDIQMLFTEHDLEDDQNASVNVGQRVSVYRHNAAVLVVQPHSEELDFLEDKGPRTNRGAKLTGAPGVPALYHGDCDKFALKGAKFELTYCARPEPEWVLRGDSRLYRSDCSKLCYRRSRFILTLLADVGWPKDGDAAMEDERPCLHVYRRRSVSLLHAQPHIALHVTWLEAPSVATAFCSLQDETGGFFRGHPESRLVWRGDPQPLVLFRCDRGPQEAECAVRGDEEASLYRGLHSSRLLVATGQGPDPELVWTQKRKLSPASANVKFGFSEATLYRDTDNVLGFQIKLVQHLGNTPM
+>sp|A1L4K1|FSD2_HUMAN Fibronectin type III and SPRY domain-containing protein 2 OS=Homo sapiens OX=9606 GN=FSD2 PE=1 SV=1
+MEEESGEELGLDRSTPKDFHFYHMDLYDSEDRLHLFPEENTRMRKVVQAEMANESRGAGDGKAQRDLQEEVDELVHLYGLEDDHELGDEFVDENIPRTGVSEYPPYMMKRRDPAREQRDWRLSGEAAEAEDLGFGGWGSAGQCQDLREAYRYTHGRASEEYECYVIPEEEDEEEAADVFCVTCKTPIRAFQKVFDEHKEHEVIPLNEALESAKDEIHKNMYKLEKQIIEMENFANHLEEVFITVEENFGKQEQNFESHYNEILETLAQKYEEKIQALGEKKKEKLEALYGQLVSCGENLDTCKELMETIEEMCHEEKVDFIKDAVAMADRLGKFLKTKTDVEISAQPEFEDQTLDFSDVEQLMGSINTIPAPSAPVINPQVPNSATGSSVRVCWSLYSDDTVESYQLSYRPVQDSSPGTDQAEFTVTVKETYCSVTNLVPNTQYEFWVTAHNRAGPSPSSERAVYMTAPSPPIIKTKEIRSCEEAVLICWESGNLNPVDSYTVELTQAESPEASGVTESVVGIPTCESVVQLQPGRSYIIYVRALNMGGPSVRSEPATVHTIGSYFRLNKDTCHPWLTISEDGLTAVRSERRTPARELSPSDTHFTRCVAVMGNLIPVRGHHYWEVEVDEHLDYRVGVAFADVRKQEDLGANCLSWCMRHTFASSRHKYEFLHNRTTPDIRITVPPKKIGILLDYEHSKLSFFNVDLSQHLYTFSCQLHEFVHPCFSLEKPGCLKVHNGISMPKHVTFY
+>DECOY_sp|A1L4K1|FSD2_HUMAN Fibronectin type III and SPRY domain-containing protein 2 OS=Homo sapiens OX=9606 GN=FSD2 PE=1 SV=1
+YFTVHKPMSIGNHVKLCGPKELSFCPHVFEHLQCSFTYLHQSLDVNFFSLKSHEYDLLIGIKKPPVTIRIDPTTRNHLFEYKHRSSAFTHRMCWSLCNAGLDEQKRVDAFAVGVRYDLHEDVEVEWYHHGRVPILNGMVAVCRTFHTDSPSLERAPTRRESRVATLGDESITLWPHCTDKNLRFYSGITHVTAPESRVSPGGMNLARVYIIYSRGPQLQVVSECTPIGVVSETVGSAEPSEAQTLEVTYSDVPNLNGSEWCILVAEECSRIEKTKIIPPSPATMYVARESSPSPGARNHATVWFEYQTNPVLNTVSCYTEKVTVTFEAQDTGPSSDQVPRYSLQYSEVTDDSYLSWCVRVSSGTASNPVQPNIVPASPAPITNISGMLQEVDSFDLTQDEFEPQASIEVDTKTKLFKGLRDAMAVADKIFDVKEEHCMEEITEMLEKCTDLNEGCSVLQGYLAELKEKKKEGLAQIKEEYKQALTELIENYHSEFNQEQKGFNEEVTIFVEELHNAFNEMEIIQKELKYMNKHIEDKASELAENLPIVEHEKHEDFVKQFARIPTKCTVCFVDAAEEEDEEEPIVYCEYEESARGHTYRYAERLDQCQGASGWGGFGLDEAEAAEGSLRWDRQERAPDRRKMMYPPYESVGTRPINEDVFEDGLEHDDELGYLHVLEDVEEQLDRQAKGDGAGRSENAMEAQVVKRMRTNEEPFLHLRDESDYLDMHYFHFDKPTSRDLGLEEGSEEEM
+>sp|P11413|G6PD_HUMAN Glucose-6-phosphate 1-dehydrogenase OS=Homo sapiens OX=9606 GN=G6PD PE=1 SV=4
+MAEQVALSRTQVCGILREELFQGDAFHQSDTHIFIIMGASGDLAKKKIYPTIWWLFRDGLLPENTFIVGYARSRLTVADIRKQSEPFFKATPEEKLKLEDFFARNSYVAGQYDDAASYQRLNSHMNALHLGSQANRLFYLALPPTVYEAVTKNIHESCMSQIGWNRIIVEKPFGRDLQSSDRLSNHISSLFREDQIYRIDHYLGKEMVQNLMVLRFANRIFGPIWNRDNIACVILTFKEPFGTEGRGGYFDEFGIIRDVMQNHLLQMLCLVAMEKPASTNSDDVRDEKVKVLKCISEVQANNVVLGQYVGNPDGEGEATKGYLDDPTVPRGSTTATFAAVVLYVENERWDGVPFILRCGKALNERKAEVRLQFHDVAGDIFHQQCKRNELVIRVQPNEAVYTKMMTKKPGMFFNPEESELDLTYGNRYKNVKLPDAYERLILDVFCGSQMHFVRSDELREAWRIFTPLLHQIELEKPKPIPYIYGSRGPTEADELMKRVGFQYEGTYKWVNPHKL
+>DECOY_sp|P11413|G6PD_HUMAN Glucose-6-phosphate 1-dehydrogenase OS=Homo sapiens OX=9606 GN=G6PD PE=1 SV=4
+LKHPNVWKYTGEYQFGVRKMLEDAETPGRSGYIYPIPKPKELEIQHLLPTFIRWAERLEDSRVFHMQSGCFVDLILREYADPLKVNKYRNGYTLDLESEEPNFFMGPKKTMMKTYVAENPQVRIVLENRKCQQHFIDGAVDHFQLRVEAKRENLAKGCRLIFPVGDWRENEVYLVVAAFTATTSGRPVTPDDLYGKTAEGEGDPNGVYQGLVVNNAQVESICKLVKVKEDRVDDSNTSAPKEMAVLCLMQLLHNQMVDRIIGFEDFYGGRGETGFPEKFTLIVCAINDRNWIPGFIRNAFRLVMLNQVMEKGLYHDIRYIQDERFLSSIHNSLRDSSQLDRGFPKEVIIRNWGIQSMCSEHINKTVAEYVTPPLALYFLRNAQSGLHLANMHSNLRQYSAADDYQGAVYSNRAFFDELKLKEEPTAKFFPESQKRIDAVTLRSRAYGVIFTNEPLLGDRFLWWITPYIKKKALDGSAGMIIFIHTDSQHFADGQFLEERLIGCVQTRSLAVQEAM
+>sp|O95479|G6PE_HUMAN GDH/6PGL endoplasmic bifunctional protein OS=Homo sapiens OX=9606 GN=H6PD PE=1 SV=2
+MWNMLIVAMCLALLGCLQAQELQGHVSIILLGATGDLAKKYLWQGLFQLYLDEAGRGHSFSFHGAALTAPKQGQELMAKALESLSCPKDMAPSHCAEHKDQFLQLSQYRQLKTAEDYQALNKDIEAQLQHAGLREAGRIFYFSVPPFAYEDIARNINSSCRPGPGAWLRVVLEKPFGHDHFSAQQLATELGTFFQEEEMYRVDHYLGKQAVAQILPFRDQNRKALDGLWNRHHVERVEIIMKETVDAEGRTSFYEEYGVIRDVLQNHLTEVLTLVAMELPHNVSSAEAVLRHKLQVFQALRGLQRGSAVVGQYQSYSEQVRRELQKPDSFHSLTPTFAAVLVHIDNLRWEGVPFILMSGKALDERVGYARILFKNQACCVQSEKHWAAAQSQCLPRQLVFHIGHGDLGSPAVLVSRNLFRPSLPSSWKEMEGPPGLRLFGSPLSDYYAYSPVRERDAHSVLLSHIFHGRKNFFITTENLLASWNFWTPLLESLAHKAPRLYPGGAENGRLLDFEFSSGRLFFSQQQPEQLVPGPGPAPMPSDFQVLRAKYRESPLVSAWSEELISKLANDIEATAVRAVRRFGQFHLALSGGSSPVALFQQLATAHYGFPWAHTHLWLVDERCVPLSDPESNFQGLQAHLLQHVRIPYYNIHPMPVHLQQRLCAEEDQGAQIYAREISALVANSSFDLVLLGMGADGHTASLFPQSPTGLDGEQLVVLTTSPSQPHRRMSLSLPLINRAKKVAVLVMGRMKREITTLVSRVGHEPKKWPISGVLPHSGQLVWYMDYDAFLG
+>DECOY_sp|O95479|G6PE_HUMAN GDH/6PGL endoplasmic bifunctional protein OS=Homo sapiens OX=9606 GN=H6PD PE=1 SV=2
+GLFADYDMYWVLQGSHPLVGSIPWKKPEHGVRSVLTTIERKMRGMVLVAVKKARNILPLSLSMRRHPQSPSTTLVVLQEGDLGTPSQPFLSATHGDAGMGLLVLDFSSNAVLASIERAYIQAGQDEEACLRQQLHVPMPHINYYPIRVHQLLHAQLGQFNSEPDSLPVCREDVLWLHTHAWPFGYHATALQQFLAVPSSGGSLALHFQGFRRVARVATAEIDNALKSILEESWASVLPSERYKARLVQFDSPMPAPGPGPVLQEPQQQSFFLRGSSFEFDLLRGNEAGGPYLRPAKHALSELLPTWFNWSALLNETTIFFNKRGHFIHSLLVSHADRERVPSYAYYDSLPSGFLRLGPPGEMEKWSSPLSPRFLNRSVLVAPSGLDGHGIHFVLQRPLCQSQAAAWHKESQVCCAQNKFLIRAYGVREDLAKGSMLIFPVGEWRLNDIHVLVAAFTPTLSHFSDPKQLERRVQESYSQYQGVVASGRQLGRLAQFVQLKHRLVAEASSVNHPLEMAVLTLVETLHNQLVDRIVGYEEYFSTRGEADVTEKMIIEVREVHHRNWLGDLAKRNQDRFPLIQAVAQKGLYHDVRYMEEEQFFTGLETALQQASFHDHGFPKELVVRLWAGPGPRCSSNINRAIDEYAFPPVSFYFIRGAERLGAHQLQAEIDKNLAQYDEATKLQRYQSLQLFQDKHEACHSPAMDKPCSLSELAKAMLEQGQKPATLAAGHFSFSHGRGAEDLYLQFLGQWLYKKALDGTAGLLIISVHGQLEQAQLCGLLALCMAVILMNWM
+>sp|Q2WGN9|GAB4_HUMAN GRB2-associated-binding protein 4 OS=Homo sapiens OX=9606 GN=GAB4 PE=2 SV=1
+MSLPSPSPSRELCPPDPAFAPLSSWPGSGPAGGSTRSGHVLYSGWLRKSPPEKKLRLFAWRKRWFILRRGQTSSDPDVLEYYKNDGSKKPLRTINLNLCEQLDVDVTLNFNKKEIQKGYMFDIKTSERTFYLVAETREDMNEWVQSICQICGFRQEESTGFLGNISSASHGLCSSPAEPSCSHQHLPQEQEPTSEPPVSHCVPPTWPIPAPPGCLRSHQHASQRAEHARSASFSQGSEAPFIMRRNTAMQNLAQHSGYSVDGVSGHIHGFHSLSKPSQHNAEFRGSTHRIPWSLASHGHTRGSLTGSEADNEASSGKYTQHGGGNASRPAESMHEGVCSFLPGRTLVGLSDSIASEGSCVPMNPGSPTLPAVKQAGDDSQGVCIPVGSCLVRFDLLGSPLTELSMHQDLSQGHEVQLPPVNRSLKPNQKANPTPPNLRNNRVINELSFKPPVTEPWSGTSHTFDSSSSQHPISTQSITNTDSEDSGERYLFPNPASAFPVSGGTSSSAPPRSTGNIHYAALDFQPSKPSIGSVTSGKKVDYVQVDLEKTQALQKTMHEQMCLRQSSEPPRGAKL
+>DECOY_sp|Q2WGN9|GAB4_HUMAN GRB2-associated-binding protein 4 OS=Homo sapiens OX=9606 GN=GAB4 PE=2 SV=1
+LKAGRPPESSQRLCMQEHMTKQLAQTKELDVQVYDVKKGSTVSGISPKSPQFDLAAYHINGTSRPPASSSTGGSVPFASAPNPFLYREGSDESDTNTISQTSIPHQSSSSDFTHSTGSWPETVPPKFSLENIVRNNRLNPPTPNAKQNPKLSRNVPPLQVEHGQSLDQHMSLETLPSGLLDFRVLCSGVPICVGQSDDGAQKVAPLTPSGPNMPVCSGESAISDSLGVLTRGPLFSCVGEHMSEAPRSANGGGHQTYKGSSAENDAESGTLSGRTHGHSALSWPIRHTSGRFEANHQSPKSLSHFGHIHGSVGDVSYGSHQALNQMATNRRMIFPAESGQSFSASRAHEARQSAHQHSRLCGPPAPIPWTPPVCHSVPPESTPEQEQPLHQHSCSPEAPSSCLGHSASSINGLFGTSEEQRFGCIQCISQVWENMDERTEAVLYFTRESTKIDFMYGKQIEKKNFNLTVDVDLQECLNLNITRLPKKSGDNKYYELVDPDSSTQGRRLIFWRKRWAFLRLKKEPPSKRLWGSYLVHGSRTSGGAPGSGPWSSLPAFAPDPPCLERSPSPSPLSM
+>sp|O75899|GABR2_HUMAN Gamma-aminobutyric acid type B receptor subunit 2 OS=Homo sapiens OX=9606 GN=GABBR2 PE=1 SV=1
+MASPRSSGQPGPPPPPPPPPARLLLLLLLPLLLPLAPGAWGWARGAPRPPPSSPPLSIMGLMPLTKEVAKGSIGRGVLPAVELAIEQIRNESLLRPYFLDLRLYDTECDNAKGLKAFYDAIKYGPNHLMVFGGVCPSVTSIIAESLQGWNLVQLSFAATTPVLADKKKYPYFFRTVPSDNAVNPAILKLLKHYQWKRVGTLTQDVQRFSEVRNDLTGVLYGEDIEISDTESFSNDPCTSVKKLKGNDVRIILGQFDQNMAAKVFCCAYEENMYGSKYQWIIPGWYEPSWWEQVHTEANSSRCLRKNLLAAMEGYIGVDFEPLSSKQIKTISGKTPQQYEREYNNKRSGVGPSKFHGYAYDGIWVIAKTLQRAMETLHASSRHQRIQDFNYTDHTLGRIILNAMNETNFFGVTGQVVFRNGERMGTIKFTQFQDSREVKVGEYNAVADTLEIINDTIRFQGSEPPKDKTIILEQLRKISLPLYSILSALTILGMIMASAFLFFNIKNRNQKLIKMSSPYMNNLIILGGMLSYASIFLFGLDGSFVSEKTFETLCTVRTWILTVGYTTAFGAMFAKTWRVHAIFKNVKMKKKIIKDQKLLVIVGGMLLIDLCILICWQAVDPLRRTVEKYSMEPDPAGRDISIRPLLEHCENTHMTIWLGIVYAYKGLLMLFGCFLAWETRNVSIPALNDSKYIGMSVYNVGIMCIIGAAVSFLTRDQPNVQFCIVALVIIFCSTITLCLVFVPKLITLRTNPDAATQNRRFQFTQNQKKEDSKTSTSVTSVNQASTSRLEGLQSENHRLRMKITELDKDLEEVTMQLQDTPEKTTYIKQNHYQELNDILNLGNFTESTDGGKAILKNHLDQNPQLQWNTTEPSRTCKDPIEDINSPEHIQRRLSLQLPILHHAYLPSIGGVDASCVSPCVSPTASPRHRHVPPSFRVMVSGL
+>DECOY_sp|O75899|GABR2_HUMAN Gamma-aminobutyric acid type B receptor subunit 2 OS=Homo sapiens OX=9606 GN=GABBR2 PE=1 SV=1
+LGSVMVRFSPPVHRHRPSATPSVCPSVCSADVGGISPLYAHHLIPLQLSLRRQIHEPSNIDEIPDKCTRSPETTNWQLQPNQDLHNKLIAKGGDTSETFNGLNLIDNLEQYHNQKIYTTKEPTDQLQMTVEELDKDLETIKMRLRHNESQLGELRSTSAQNVSTVSTSTKSDEKKQNQTFQFRRNQTAADPNTRLTILKPVFVLCLTITSCFIIVLAVICFQVNPQDRTLFSVAAGIICMIGVNYVSMGIYKSDNLAPISVNRTEWALFCGFLMLLGKYAYVIGLWITMHTNECHELLPRISIDRGAPDPEMSYKEVTRRLPDVAQWCILICLDILLMGGVIVLLKQDKIIKKKMKVNKFIAHVRWTKAFMAGFATTYGVTLIWTRVTCLTEFTKESVFSGDLGFLFISAYSLMGGLIILNNMYPSSMKILKQNRNKINFFLFASAMIMGLITLASLISYLPLSIKRLQELIITKDKPPESGQFRITDNIIELTDAVANYEGVKVERSDQFQTFKITGMREGNRFVVQGTVGFFNTENMANLIIRGLTHDTYNFDQIRQHRSSAHLTEMARQLTKAIVWIGDYAYGHFKSPGVGSRKNNYEREYQQPTKGSITKIQKSSLPEFDVGIYGEMAALLNKRLCRSSNAETHVQEWWSPEYWGPIIWQYKSGYMNEEYACCFVKAAMNQDFQGLIIRVDNGKLKKVSTCPDNSFSETDSIEIDEGYLVGTLDNRVESFRQVDQTLTGVRKWQYHKLLKLIAPNVANDSPVTRFFYPYKKKDALVPTTAAFSLQVLNWGQLSEAIISTVSPCVGGFVMLHNPGYKIADYFAKLGKANDCETDYLRLDLFYPRLLSENRIQEIALEVAPLVGRGISGKAVEKTLPMLGMISLPPSSPPPRPAGRAWGWAGPALPLLLPLLLLLLLRAPPPPPPPPPGPQGSSRPSAM
+>sp|Q96PS6|GAFA1_HUMAN Putative uncharacterized protein GAFA-1 OS=Homo sapiens OX=9606 GN=GAFA1 PE=4 SV=1
+MIKHSWIHLYVMASAMSSSPIFFFFQRWSLTLSLRLECSSAIIKPTAASNSCVQVNLPPSMCDYRHEPLCLAFL
+>DECOY_sp|Q96PS6|GAFA1_HUMAN Putative uncharacterized protein GAFA-1 OS=Homo sapiens OX=9606 GN=GAFA1 PE=4 SV=1
+LFALCLPEHRYDCMSPPLNVQVCSNSAATPKIIASSCELRLSLTLSWRQFFFFIPSSSMASAMVYLHIWSHKIM
+>sp|Q6NT46|GAG2A_HUMAN G antigen 2A OS=Homo sapiens OX=9606 GN=GAGE2A PE=1 SV=1
+MSWRGRSTYRPRPRRYVEPPEMIGPMRPEQFSDEVEPATPEEGEPATQRQDPAAAQEGQDEGASAGQGPKPEAHSQEQGHPQTGCECEDGPDGQEMDPPNPEEVKTPEEGEKQSQC
+>DECOY_sp|Q6NT46|GAG2A_HUMAN G antigen 2A OS=Homo sapiens OX=9606 GN=GAGE2A PE=1 SV=1
+CQSQKEGEEPTKVEEPNPPDMEQGDPGDECECGTQPHGQEQSHAEPKPGQGASAGEDQGEQAAAPDQRQTAPEGEEPTAPEVEDSFQEPRMPGIMEPPEVYRRPRPRYTSRGRWSM
+>sp|Q13070|GAGE6_HUMAN G antigen 6 OS=Homo sapiens OX=9606 GN=GAGE6 PE=1 SV=1
+MSWRGRSTYYWPRPRRYVQPPEVIGPMRPEQFSDEVEPATPEEGEPATQRQDPAAAQEGEDEGASAGQGPKPEADSQEQGHPQTGCECEDGPDGQEVDPPNPEEVKTPEEGEKQSQC
+>DECOY_sp|Q13070|GAGE6_HUMAN G antigen 6 OS=Homo sapiens OX=9606 GN=GAGE6 PE=1 SV=1
+CQSQKEGEEPTKVEEPNPPDVEQGDPGDECECGTQPHGQEQSDAEPKPGQGASAGEDEGEQAAAPDQRQTAPEGEEPTAPEVEDSFQEPRMPGIVEPPQVYRRPRPWYYTSRGRWSM
+>sp|P63145|GAK24_HUMAN Endogenous retrovirus group K member 24 Gag polyprotein OS=Homo sapiens OX=9606 GN=ERVK-24 PE=1 SV=2
+MGQTKSKIKSKYASYLSFIKILLKRGGVKVSTKNLIKLFQIIEQFCPWFPEQGTLDLKDWKRIGKELKQAGRKGNIIPLTVWNDWAIIKAALEPFQTEEDSVSVSDAPGSCLIDCNEKTRKKSQKETESLHCEYVAEPVMAQSTQNVDYNQLQEVIYPETLKLEGKGPELVGPSESKPRGTSPLPAGQVPVTLQPQKQVKENKTQPPVAYQYWPPAELQYRPPPESQYGYPGMPPAPQGRAPYPQPPTRRLNPTAPPSRQGSELHEIIDKSRKEGDTEAWQFPVTLEPMPPGEGAQEGEPPTVEARYKSFSIKMLKDMKEGVKQYGPNSPYMRTLLDSIAYGHRLIPYDWEILAKSSLSPSQFLQFKTWWIDGVQEQVRRNRAANPPVNIDADQLLGIGQNWSTISQQALMQNEAIEQVRAICLRAWEKIQDPGSACPSFNTVRQGSKEPYPDFVARLQDVAQKSIADEKARKVIVELMAYENANPECQSAIKPLKGKVPAGSDVISEYVKACDGIGGAMHKAMLMAQAITGVVLGGQVRTFGGKCYNCGQIGHLKKNCPVLNKQNITIQATTTGREPPDLCPRCKKGKHWASQCRSKFDKNGQPLSGNEQRGQPQAPQQTGAFPIQPFVPQGFQGQQPPLSQVFQGISQLPQYNNCPLPQAAVQQ
+>DECOY_sp|P63145|GAK24_HUMAN Endogenous retrovirus group K member 24 Gag polyprotein OS=Homo sapiens OX=9606 GN=ERVK-24 PE=1 SV=2
+QQVAAQPLPCNNYQPLQSIGQFVQSLPPQQGQFGQPVFPQIPFAGTQQPAQPQGRQENGSLPQGNKDFKSRCQSAWHKGKKCRPCLDPPERGTTTAQITINQKNLVPCNKKLHGIQGCNYCKGGFTRVQGGLVVGTIAQAMLMAKHMAGGIGDCAKVYESIVDSGAPVKGKLPKIASQCEPNANEYAMLEVIVKRAKEDAISKQAVDQLRAVFDPYPEKSGQRVTNFSPCASGPDQIKEWARLCIARVQEIAENQMLAQQSITSWNQGIGLLQDADINVPPNAARNRRVQEQVGDIWWTKFQLFQSPSLSSKALIEWDYPILRHGYAISDLLTRMYPSNPGYQKVGEKMDKLMKISFSKYRAEVTPPEGEQAGEGPPMPELTVPFQWAETDGEKRSKDIIEHLESGQRSPPATPNLRRTPPQPYPARGQPAPPMGPYGYQSEPPPRYQLEAPPWYQYAVPPQTKNEKVQKQPQLTVPVQGAPLPSTGRPKSESPGVLEPGKGELKLTEPYIVEQLQNYDVNQTSQAMVPEAVYECHLSETEKQSKKRTKENCDILCSGPADSVSVSDEETQFPELAAKIIAWDNWVTLPIINGKRGAQKLEKGIRKWDKLDLTGQEPFWPCFQEIIQFLKILNKTSVKVGGRKLLIKIFSLYSAYKSKIKSKTQGM
+>sp|P62685|GAK8_HUMAN Endogenous retrovirus group K member 8 Gag polyprotein OS=Homo sapiens OX=9606 GN=ERVK-8 PE=1 SV=2
+MGQTKSKIKSKYASYLSFIKILLKRGGVKVSTKNLIKLFQIIEQFCPWFPEQGTLDLKDWKRIGKELKQAGRKGNIIPLTVWNDWAIIKAALEPFQTEEDSISVSDAPGSCLIDCNENTRKKSQKETESLHCEYVAEPVMAQSTQNVDYNQLQEVIYPETLKLEGKGPELVGPSESKPRGTSPLPAGQVPVTLQPQKQVKENKTQPPVAYQYWPPAELQYRPPPESQYGYPGMPPAPQGREPYPQPPTRRLNPTAPPSRQGSELHEIIDKSRKEGDTEAWQFPVTLEPMPPGEGAQEGEPPTVEARYKSFSIKMLKDMKEGVKQYGPNSPYMRTLLDSIAHGHRLIPYDWEILAKSSLSPSQFLQFKTWWIDGVQEQVRRNRAANPPVNIDADQLLGIGQNWSTISQQALMQNEAIEQVRAICLRAWEKIQDPGSTCPSFNTVRQGSKEPYPDFVARLQDVAQKSIADEKARKVIVELMAYENANPECQSAIKPLKGKVPAGSDVISEYVKACDGIGGAMHKAMLMAQAITGVVLGGQVRTFGGKCYNCGQIGHLKKNCPVLNKQNITIQATTTGREPPDLCPRCKKGKHWASQCRSKFDKNGQPLSGNEQRGQPQAPQQTGAFPIQPFVPQGFQDNNPHCPKCFRE
+>DECOY_sp|P62685|GAK8_HUMAN Endogenous retrovirus group K member 8 Gag polyprotein OS=Homo sapiens OX=9606 GN=ERVK-8 PE=1 SV=2
+ERFCKPCHPNNDQFGQPVFPQIPFAGTQQPAQPQGRQENGSLPQGNKDFKSRCQSAWHKGKKCRPCLDPPERGTTTAQITINQKNLVPCNKKLHGIQGCNYCKGGFTRVQGGLVVGTIAQAMLMAKHMAGGIGDCAKVYESIVDSGAPVKGKLPKIASQCEPNANEYAMLEVIVKRAKEDAISKQAVDQLRAVFDPYPEKSGQRVTNFSPCTSGPDQIKEWARLCIARVQEIAENQMLAQQSITSWNQGIGLLQDADINVPPNAARNRRVQEQVGDIWWTKFQLFQSPSLSSKALIEWDYPILRHGHAISDLLTRMYPSNPGYQKVGEKMDKLMKISFSKYRAEVTPPEGEQAGEGPPMPELTVPFQWAETDGEKRSKDIIEHLESGQRSPPATPNLRRTPPQPYPERGQPAPPMGPYGYQSEPPPRYQLEAPPWYQYAVPPQTKNEKVQKQPQLTVPVQGAPLPSTGRPKSESPGVLEPGKGELKLTEPYIVEQLQNYDVNQTSQAMVPEAVYECHLSETEKQSKKRTNENCDILCSGPADSVSISDEETQFPELAAKIIAWDNWVTLPIINGKRGAQKLEKGIRKWDKLDLTGQEPFWPCFQEIIQFLKILNKTSVKVGGRKLLIKIFSLYSAYKSKIKSKTQGM
+>sp|Q14376|GALE_HUMAN UDP-glucose 4-epimerase OS=Homo sapiens OX=9606 GN=GALE PE=1 SV=2
+MAEKVLVTGGAGYIGSHTVLELLEAGYLPVVIDNFHNAFRGGGSLPESLRRVQELTGRSVEFEEMDILDQGALQRLFKKYSFMAVIHFAGLKAVGESVQKPLDYYRVNLTGTIQLLEIMKAHGVKNLVFSSSATVYGNPQYLPLDEAHPTGGCTNPYGKSKFFIEEMIRDLCQADKTWNAVLLRYFNPTGAHASGCIGEDPQGIPNNLMPYVSQVAIGRREALNVFGNDYDTEDGTGVRDYIHVVDLAKGHIAALRKLKEQCGCRIYNLGTGTGYSVLQMVQAMEKASGKKIPYKVVARREGDVAACYANPSLAQEELGWTAALGLDRMCEDLWRWQKQNPSGFGTQA
+>DECOY_sp|Q14376|GALE_HUMAN UDP-glucose 4-epimerase OS=Homo sapiens OX=9606 GN=GALE PE=1 SV=2
+AQTGFGSPNQKQWRWLDECMRDLGLAATWGLEEQALSPNAYCAAVDGERRAVVKYPIKKGSAKEMAQVMQLVSYGTGTGLNYIRCGCQEKLKRLAAIHGKALDVVHIYDRVGTGDETDYDNGFVNLAERRGIAVQSVYPMLNNPIGQPDEGICGSAHAGTPNFYRLLVANWTKDAQCLDRIMEEIFFKSKGYPNTCGGTPHAEDLPLYQPNGYVTASSSFVLNKVGHAKMIELLQITGTLNVRYYDLPKQVSEGVAKLGAFHIVAMFSYKKFLRQLAGQDLIDMEEFEVSRGTLEQVRRLSEPLSGGGRFANHFNDIVVPLYGAELLELVTHSGIYGAGGTVLVKEAM
+>sp|Q01415|GALK2_HUMAN N-acetylgalactosamine kinase OS=Homo sapiens OX=9606 GN=GALK2 PE=1 SV=1
+MATESPATRRVQVAEHPRLLKLKEMFNSKFGSIPKFYVRAPGRVNIIGEHIDYCGYSVLPMAVEQDVLIAVEPVKTYALQLANTNPLYPDFSTSANNIQIDKTKPLWHNYFLCGLKGIQEHFGLSNLTGMNCLVDGNIPPSSGLSSSSALVCCAGLVTLTVLGRNLSKVELAEICAKSERYIGTEGGGMDQSISFLAEEGTAKLIEFSPLRATDVKLPSGAVFVIANSCVEMNKAATSHFNIRVMECRLAAKLLAKYKSLQWDKVLRLEEVQAKLGISLEEMLLVTEDALHPEPYNPEEICRCLGISLEELRTQILSPNTQDVLIFKLYQRAKHVYSEAARVLQFKKICEEAPENMVQLLGELMNQSHMSCRDMYECSCPELDQLVDICRKFGAQGSRLTGAGWGGCTVSMVPADKLPSFLANVHKAYYQRSDGSLAPEKQSLFATKPGGGALVLLEA
+>DECOY_sp|Q01415|GALK2_HUMAN N-acetylgalactosamine kinase OS=Homo sapiens OX=9606 GN=GALK2 PE=1 SV=1
+AELLVLAGGGPKTAFLSQKEPALSGDSRQYYAKHVNALFSPLKDAPVMSVTCGGWGAGTLRSGQAGFKRCIDVLQDLEPCSCEYMDRCSMHSQNMLEGLLQVMNEPAEECIKKFQLVRAAESYVHKARQYLKFILVDQTNPSLIQTRLEELSIGLCRCIEEPNYPEPHLADETVLLMEELSIGLKAQVEELRLVKDWQLSKYKALLKAALRCEMVRINFHSTAAKNMEVCSNAIVFVAGSPLKVDTARLPSFEILKATGEEALFSISQDMGGGETGIYRESKACIEALEVKSLNRGLVTLTVLGACCVLASSSSLGSSPPINGDVLCNMGTLNSLGFHEQIGKLGCLFYNHWLPKTKDIQINNASTSFDPYLPNTNALQLAYTKVPEVAILVDQEVAMPLVSYGCYDIHEGIINVRGPARVYFKPISGFKSNFMEKLKLLRPHEAVQVRRTAPSETAM
+>sp|Q9H2C0|GAN_HUMAN Gigaxonin OS=Homo sapiens OX=9606 GN=GAN PE=1 SV=1
+MAEGSAVSDPQHAARLLRALSSFREESRFCDAHLVLDGEEIPVQKNILAAASPYIRTKLNYNPPKDDGSTYKIELEGISVMVMREILDYIFSGQIRLNEDTIQDVVQAADLLLLTDLKTLCCEFLEGCIAAENCIGIRDFALHYCLHHVHYLATEYLETHFRDVSSTEEFLELSPQKLKEVISLEKLNVGNERYVFEAVIRWIAHDTEIRKVHMKDVMSALWVSGLDSSYLREQMLNEPLVREIVKECSNIPLSQPQQGEAMLANFKPRGYSECIVTVGGEERVSRKPTAAMRCMCPLYDPNRQLWIELAPLSMPRINHGVLSAEGFLFVFGGQDENKQTLSSGEKYDPDANTWTALPPMNEARHNFGIVEIDGMLYILGGEDGEKELISMECYDIYSKTWTKQPDLTMVRKIGCYAAMKKKIYAMGGGSYGKLFESVECYDPRTQQWTAICPLKERRFGAVACGVAMELYVFGGVRSREDAQGSEMVTCKSEFYHDEFKRWIYLNDQNLCIPASSSFVYGAVPIGASIYVIGDLDTGTNYDYVREFKRSTGTWHHTKPLLPSDLRRTGCAALRIANCKLFRLQLQQGLFRIRVHSP
+>DECOY_sp|Q9H2C0|GAN_HUMAN Gigaxonin OS=Homo sapiens OX=9606 GN=GAN PE=1 SV=1
+PSHVRIRFLGQQLQLRFLKCNAIRLAACGTRRLDSPLLPKTHHWTGTSRKFERVYDYNTGTDLDGIVYISAGIPVAGYVFSSSAPICLNQDNLYIWRKFEDHYFESKCTVMESGQADERSRVGGFVYLEMAVGCAVAGFRREKLPCIATWQQTRPDYCEVSEFLKGYSGGGMAYIKKKMAAYCGIKRVMTLDPQKTWTKSYIDYCEMSILEKEGDEGGLIYLMGDIEVIGFNHRAENMPPLATWTNADPDYKEGSSLTQKNEDQGGFVFLFGEASLVGHNIRPMSLPALEIWLQRNPDYLPCMCRMAATPKRSVREEGGVTVICESYGRPKFNALMAEGQQPQSLPINSCEKVIERVLPENLMQERLYSSDLGSVWLASMVDKMHVKRIETDHAIWRIVAEFVYRENGVNLKELSIVEKLKQPSLELFEETSSVDRFHTELYETALYHVHHLCYHLAFDRIGICNEAAICGELFECCLTKLDTLLLLDAAQVVDQITDENLRIQGSFIYDLIERMVMVSIGELEIKYTSGDDKPPNYNLKTRIYPSAAALINKQVPIEEGDLVLHADCFRSEERFSSLARLLRAAHQPDSVASGEAM
+>sp|Q8N292|GAPT_HUMAN Protein GAPT OS=Homo sapiens OX=9606 GN=GAPT PE=1 SV=1
+MSKSCGNNLAAISVGISLLLLLVVCGIGCVWHWKHRVATRFTLPRFLQRRSSRRKVCTKTFLGPRIIGLRHEISVETQDHKSAVRGNNTHDNYENVEAGPPKAKGKTDKELYENTGQSNFEEHIYGNETSSDYYNFQKPRPSEVPQDEDIYILPDSY
+>DECOY_sp|Q8N292|GAPT_HUMAN Protein GAPT OS=Homo sapiens OX=9606 GN=GAPT PE=1 SV=1
+YSDPLIYIDEDQPVESPRPKQFNYYDSSTENGYIHEEFNSQGTNEYLEKDTKGKAKPPGAEVNEYNDHTNNGRVASKHDQTEVSIEHRLGIIRPGLFTKTCVKRRSSRRQLFRPLTFRTAVRHKWHWVCGIGCVVLLLLLSIGVSIAALNNGCSKSM
+>sp|Q6P587|FAHD1_HUMAN Acylpyruvase FAHD1, mitochondrial OS=Homo sapiens OX=9606 GN=FAHD1 PE=1 SV=2
+MGIMAASRPLSRFWEWGKNIVCVGRNYADHVREMRSAVLSEPVLFLKPSTAYAPEGSPILMPAYTRNLHHELELGVVMGKRCRAVPEAAAMDYVGGYALCLDMTARDVQDECKKKGLPWTLAKSFTASCPVSAFVPKEKIPDPHKLKLWLKVNGELRQEGETSSMIFSIPYIISYVSKIITLEEGDIILTGTPKGVGPVKENDEIEAGIHGLVSMTFKVEKPEY
+>DECOY_sp|Q6P587|FAHD1_HUMAN Acylpyruvase FAHD1, mitochondrial OS=Homo sapiens OX=9606 GN=FAHD1 PE=1 SV=2
+YEPKEVKFTMSVLGHIGAEIEDNEKVPGVGKPTGTLIIDGEELTIIKSVYSIIYPISFIMSSTEGEQRLEGNVKLWLKLKHPDPIKEKPVFASVPCSATFSKALTWPLGKKKCEDQVDRATMDLCLAYGGVYDMAAAEPVARCRKGMVVGLELEHHLNRTYAPMLIPSGEPAYATSPKLFLVPESLVASRMERVHDAYNRGVCVINKGWEWFRSLPRSAAMIGM
+>sp|O60667|FAIM3_HUMAN Fas apoptotic inhibitory molecule 3 OS=Homo sapiens OX=9606 GN=FCMR PE=1 SV=1
+MDFWLWPLYFLPVSGALRILPEVKVEGELGGSVTIKCPLPEMHVRIYLCREMAGSGTCGTVVSTTNFIKAEYKGRVTLKQYPRKNLFLVEVTQLTESDSGVYACGAGMNTDRGKTQKVTLNVHSEYEPSWEEQPMPETPKWFHLPYLFQMPAYASSSKFVTRVTTPAQRGKVPPVHHSSPTTQITHRPRVSRASSVAGDKPRTFLPSTTASKISALEGLLKPQTPSYNHHTRLHRQRALDYGSQSGREGQGFHILIPTILGLFLLALLGLVVKRAVERRKALSRRARRLAVRMRALESSQRPRGSPRPRSQNNIYSACPRRARGADAAGTGEAPVPGPGAPLPPAPLQVSESPWLHAPSLKTSCEYVSLYHQPAAMMEDSDSDDYINVPA
+>DECOY_sp|O60667|FAIM3_HUMAN Fas apoptotic inhibitory molecule 3 OS=Homo sapiens OX=9606 GN=FCMR PE=1 SV=1
+APVNIYDDSDSDEMMAAPQHYLSVYECSTKLSPAHLWPSESVQLPAPPLPAGPGPVPAEGTGAADAGRARRPCASYINNQSRPRPSGRPRQSSELARMRVALRRARRSLAKRREVARKVVLGLLALLFLGLITPILIHFGQGERGSQSGYDLARQRHLRTHHNYSPTQPKLLGELASIKSATTSPLFTRPKDGAVSSARSVRPRHTIQTTPSSHHVPPVKGRQAPTTVRTVFKSSSAYAPMQFLYPLHFWKPTEPMPQEEWSPEYESHVNLTVKQTKGRDTNMGAGCAYVGSDSETLQTVEVLFLNKRPYQKLTVRGKYEAKIFNTTSVVTGCTGSGAMERCLYIRVHMEPLPCKITVSGGLEGEVKVEPLIRLAGSVPLFYLPWLWFDM
+>sp|Q05397|FAK1_HUMAN Focal adhesion kinase 1 OS=Homo sapiens OX=9606 GN=PTK2 PE=1 SV=2
+MAAAYLDPNLNHTPNSSTKTHLGTGMERSPGAMERVLKVFHYFESNSEPTTWASIIRHGDATDVRGIIQKIVDSHKVKHVACYGFRLSHLRSEEVHWLHVDMGVSSVREKYELAHPPEEWKYELRIRYLPKGFLNQFTEDKPTLNFFYQQVKSDYMLEIADQVDQEIALKLGCLEIRRSYWEMRGNALEKKSNYEVLEKDVGLKRFFPKSLLDSVKAKTLRKLIQQTFRQFANLNREESILKFFEILSPVYRFDKECFKCALGSSWIISVELAIGPEEGISYLTDKGCNPTHLADFTQVQTIQYSNSEDKDRKGMLQLKIAGAPEPLTVTAPSLTIAENMADLIDGYCRLVNGTSQSFIIRPQKEGERALPSIPKLANSEKQGMRTHAVSVSETDDYAEIIDEEDTYTMPSTRDYEIQRERIELGRCIGEGQFGDVHQGIYMSPENPALAVAIKTCKNCTSDSVREKFLQEALTMRQFDHPHIVKLIGVITENPVWIIMELCTLGELRSFLQVRKYSLDLASLILYAYQLSTALAYLESKRFVHRDIAARNVLVSSNDCVKLGDFGLSRYMEDSTYYKASKGKLPIKWMAPESINFRRFTSASDVWMFGVCMWEILMHGVKPFQGVKNNDVIGRIENGERLPMPPNCPPTLYSLMTKCWAYDPSRRPRFTELKAQLSTILEEEKAQQEERMRMESRRQATVSWDSGGSDEAPPKPSRPGYPSPRSSEGFYPSPQHMVQTNHYQVSGYPGSHGITAMAGSIYPGQASLLDQTDSWNHRPQEIAMWQPNVEDSTVLDLRGIGQVLPTHLMEERLIRQQQEMEEDQRWLEKEERFLKPDVRLSRGSIDREDGSLQGPIGNQHIYQPVGKPDPAAPPKKPPRPGAPGHLGSLASLSSPADSYNEGVKLQPQEISPPPTANLDRSNDKVYENVTGLVKAVIEMSSKIQPAPPEEYVPMVKEVGLALRTLLATVDETIPLLPASTHREIEMAQKLLNSDLGELINKMKLAQQYVMTSLQQEYKKQMLTAAHALAVDAKNLLDVIDQARLKMLGQTRPH
+>DECOY_sp|Q05397|FAK1_HUMAN Focal adhesion kinase 1 OS=Homo sapiens OX=9606 GN=PTK2 PE=1 SV=2
+HPRTQGLMKLRAQDIVDLLNKADVALAHAATLMQKKYEQQLSTMVYQQALKMKNILEGLDSNLLKQAMEIERHTSAPLLPITEDVTALLTRLALGVEKVMPVYEEPPAPQIKSSMEIVAKVLGTVNEYVKDNSRDLNATPPPSIEQPQLKVGENYSDAPSSLSALSGLHGPAGPRPPKKPPAAPDPKGVPQYIHQNGIPGQLSGDERDISGRSLRVDPKLFREEKELWRQDEEMEQQQRILREEMLHTPLVQGIGRLDLVTSDEVNPQWMAIEQPRHNWSDTQDLLSAQGPYISGAMATIGHSGPYGSVQYHNTQVMHQPSPYFGESSRPSPYGPRSPKPPAEDSGGSDWSVTAQRRSEMRMREEQQAKEEELITSLQAKLETFRPRRSPDYAWCKTMLSYLTPPCNPPMPLREGNEIRGIVDNNKVGQFPKVGHMLIEWMCVGFMWVDSASTFRRFNISEPAMWKIPLKGKSAKYYTSDEMYRSLGFDGLKVCDNSSVLVNRAAIDRHVFRKSELYALATSLQYAYLILSALDLSYKRVQLFSRLEGLTCLEMIIWVPNETIVGILKVIHPHDFQRMTLAEQLFKERVSDSTCNKCTKIAVALAPNEPSMYIGQHVDGFQGEGICRGLEIRERQIEYDRTSPMTYTDEEDIIEAYDDTESVSVAHTRMGQKESNALKPISPLAREGEKQPRIIFSQSTGNVLRCYGDILDAMNEAITLSPATVTLPEPAGAIKLQLMGKRDKDESNSYQITQVQTFDALHTPNCGKDTLYSIGEEPGIALEVSIIWSSGLACKFCEKDFRYVPSLIEFFKLISEERNLNAFQRFTQQILKRLTKAKVSDLLSKPFFRKLGVDKELVEYNSKKELANGRMEWYSRRIELCGLKLAIEQDVQDAIELMYDSKVQQYFFNLTPKDETFQNLFGKPLYRIRLEYKWEEPPHALEYKERVSSVGMDVHLWHVEESRLHSLRFGYCAVHKVKHSDVIKQIIGRVDTADGHRIISAWTTPESNSEFYHFVKLVREMAGPSREMGTGLHTKTSSNPTHNLNPDLYAAAM
+>sp|Q14289|FAK2_HUMAN Protein-tyrosine kinase 2-beta OS=Homo sapiens OX=9606 GN=PTK2B PE=1 SV=2
+MSGVSEPLSRVKLGTLRRPEGPAEPMVVVPVDVEKEDVRILKVCFYSNSFNPGKNFKLVKCTVQTEIREIITSILLSGRIGPNIRLAECYGLRLKHMKSDEIHWLHPQMTVGEVQDKYECLHVEAEWRYDLQIRYLPEDFMESLKEDRTTLLYFYQQLRNDYMQRYASKVSEGMALQLGCLELRRFFKDMPHNALDKKSNFELLEKEVGLDLFFPKQMQENLKPKQFRKMIQQTFQQYASLREEECVMKFFNTLAGFANIDQETYRCELIQGWNITVDLVIGPKGIRQLTSQDAKPTCLAEFKQIRSIRCLPLEEGQAVLQLGIEGAPQALSIKTSSLAEAENMADLIDGYCRLQGEHQGSLIIHPRKDGEKRNSLPQIPMLNLEARRSHLSESCSIESDIYAEIPDETLRRPGGPQYGIAREDVVLNRILGEGFFGEVYEGVYTNHKGEKINVAVKTCKKDCTLDNKEKFMSEAVIMKNLDHPHIVKLIGIIEEEPTWIIMELYPYGELGHYLERNKNSLKVLTLVLYSLQICKAMAYLESINCVHRDIAVRNILVASPECVKLGDFGLSRYIEDEDYYKASVTRLPIKWMSPESINFRRFTTASDVWMFAVCMWEILSFGKQPFFWLENKDVIGVLEKGDRLPKPDLCPPVLYTLMTRCWDYDPSDRPRFTELVCSLSDVYQMEKDIAMEQERNARYRTPKILEPTAFQEPPPKPSRPKYRPPPQTNLLAPKLQFQVPEGLCASSPTLTSPMEYPSPVNSLHTPPLHRHNVFKRHSMREEDFIQPSSREEAQQLWEAEKVKMRQILDKQQKQMVEDYQWLRQEEKSLDPMVYMNDKSPLTPEKEVGYLEFTGPPQKPPRLGAQSIQPTANLDRTDDLVYLNVMELVRAVLELKNELCQLPPEGYVVVVKNVGLTLRKLIGSVDDLLPSLPSSSRTEIEGTQKLLNKDLAELINKMRLAQQNAVTSLSEECKRQMLTASHTLAVDAKNLLDAVDQAKVLANLAHPPAE
+>DECOY_sp|Q14289|FAK2_HUMAN Protein-tyrosine kinase 2-beta OS=Homo sapiens OX=9606 GN=PTK2B PE=1 SV=2
+EAPPHALNALVKAQDVADLLNKADVALTHSATLMQRKCEESLSTVANQQALRMKNILEALDKNLLKQTGEIETRSSSPLSPLLDDVSGILKRLTLGVNKVVVVYGEPPLQCLENKLELVARVLEMVNLYVLDDTRDLNATPQISQAGLRPPKQPPGTFELYGVEKEPTLPSKDNMYVMPDLSKEEQRLWQYDEVMQKQQKDLIQRMKVKEAEWLQQAEERSSPQIFDEERMSHRKFVNHRHLPPTHLSNVPSPYEMPSTLTPSSACLGEPVQFQLKPALLNTQPPPRYKPRSPKPPPEQFATPELIKPTRYRANREQEMAIDKEMQYVDSLSCVLETFRPRDSPDYDWCRTMLTYLVPPCLDPKPLRDGKELVGIVDKNELWFFPQKGFSLIEWMCVAFMWVDSATTFRRFNISEPSMWKIPLRTVSAKYYDEDEIYRSLGFDGLKVCEPSAVLINRVAIDRHVCNISELYAMAKCIQLSYLVLTLVKLSNKNRELYHGLEGYPYLEMIIWTPEEEIIGILKVIHPHDLNKMIVAESMFKEKNDLTCDKKCTKVAVNIKEGKHNTYVGEYVEGFFGEGLIRNLVVDERAIGYQPGGPRRLTEDPIEAYIDSEISCSESLHSRRAELNLMPIQPLSNRKEGDKRPHIILSGQHEGQLRCYGDILDAMNEAEALSSTKISLAQPAGEIGLQLVAQGEELPLCRISRIQKFEALCTPKADQSTLQRIGKPGIVLDVTINWGQILECRYTEQDINAFGALTNFFKMVCEEERLSAYQQFTQQIMKRFQKPKLNEQMQKPFFLDLGVEKELLEFNSKKDLANHPMDKFFRRLELCGLQLAMGESVKSAYRQMYDNRLQQYFYLLTTRDEKLSEMFDEPLYRIQLDYRWEAEVHLCEYKDQVEGVTMQPHLWHIEDSKMHKLRLGYCEALRINPGIRGSLLISTIIERIETQVTCKVLKFNKGPNFSNSYFCVKLIRVDEKEVDVPVVVMPEAPGEPRRLTGLKVRSLPESVGSM
+>sp|Q5VT28|FAM27_HUMAN Protein FAM27A/B/C OS=Homo sapiens OX=9606 GN=FAM27B PE=3 SV=1
+MRKPQAGTGEAARDPSLRPARTVLVGDQDEYTAAENKSPRGTLCPTGEQRIHAREDACIFSRLFSEK
+>DECOY_sp|Q5VT28|FAM27_HUMAN Protein FAM27A/B/C OS=Homo sapiens OX=9606 GN=FAM27B PE=3 SV=1
+KESFLRSFICADERAHIRQEGTPCLTGRPSKNEAATYEDQDGVLVTRAPRLSPDRAAEGTGAQPKRM
+>sp|Q8IYD8|FANCM_HUMAN Fanconi anemia group M protein OS=Homo sapiens OX=9606 GN=FANCM PE=1 SV=2
+MSGRQRTLFQTWGSSISRSSGTPGCSSGTERPQSPGSSKAPLPAAAEAQLESDDDVLLVAAYEAERQLCLENGGFCTSAGALWIYPTNCPVRDYQLHISRAALFCNTLVCLPTGLGKTFIAAVVMYNFYRWFPSGKVVFMAPTKPLVTQQIEACYQVMGIPQSHMAEMTGSTQASTRKEIWCSKRVLFLTPQVMVNDLSRGACPAAEIKCLVIDEAHKALGNYAYCQVVRELVKYTNHFRILALSATPGSDIKAVQQVITNLLIGQIELRSEDSPDILTYSHERKVEKLIVPLGEELAAIQKTYIQILESFARSLIQRNVLMRRDIPNLTKYQIILARDQFRKNPSPNIVGIQQGIIEGEFAICISLYHGYELLQQMGMRSLYFFLCGIMDGTKGMTRSKNELGRNEDFMKLYNHLECMFARTRSTSANGISAIQQGDKNKKFVYSHPKLKKLEEVVIEHFKSWNAENTTEKKRDETRVMIFSSFRDSVQEIAEMLSQHQPIIRVMTFVGHASGKSTKGFTQKEQLEVVKQFRDGGYNTLVSTCVGEEGLDIGEVDLIICFDSQKSPIRLVQRMGRTGRKRQGRIVIILSEGREERIYNQSQSNKRSIYKAISSNRQVLHFYQRSPRMVPDGINPKLHKMFITHGVYEPEKPSRNLQRKSSIFSYRDGMRQSSLKKDWFLSEEEFKLWNRLYRLRDSDEIKEITLPQVQFSSLQNEENKPAQESTTGIHQLSLSEWRLWQDHPLPTHQVDHSDRCRHFIGLMQMIEGMRHEEGECSYELEVESYLQMEDVTSTFIAPRNESNNLASDTFITHKKSSFIKNINQGSSSSVIESDEECAEIVKQTHIKPTKIVSLKKKVSKEIKKDQLKKENNHGIIDSVDNDRNSTVENIFQEDLPNDKRTSDTDEIAATCTINENVIKEPCVLLTECQFTNKSTSSLAGNVLDSGYNSFNDEKSVSSNLFLPFEEELYIVRTDDQFYNCHSLTKEVLANVERFLSYSPPPLSGLSDLEYEIAKGTALENLLFLPCAEHLRSDKCTCLLSHSAVNSQQNLELNSLKCINYPSEKSCLYDIPNDNISDEPSLCDCDVHKHNQNENLVPNNRVQIHRSPAQNLVGENNHDVDNSDLPVLSTDQDESLLLFEDVNTEFDDVSLSPLNSKSESLPVSDKTAISETPLVSQFLISDELLLDNNSELQDQITRDANSFKSRDQRGVQEEKVKNHEDIFDCSRDLFSVTFDLGFCSPDSDDEILEHTSDSNRPLDDLYGRYLEIKEISDANYVSNQALIPRDHSKNFTSGTVIIPSNEDMQNPNYVHLPLSAAKNEELLSPGYSQFSLPVQKKVMSTPLSKSNTLNSFSKIRKEILKTPDSSKEKVNLQRFKEALNSTFDYSEFSLEKSKSSGPMYLHKSCHSVEDGQLLTSNESEDDEIFRRKVKRAKGNVLNSPEDQKNSEVDSPLHAVKKRRFPINRSELSSSDESENFPKPCSQLEDFKVCNGNARRGIKVPKRQSHLKHVARKFLDDEAELSEEDAEYVSSDENDESENEQDSSLLDFLNDETQLSQAINDSEMRAIYMKSLRSPMMNNKYKMIHKTHKNINIFSQIPEQDETYLEDSFCVDEEESCKGQSSEEEVCVDFNLITDDCFANSKKYKTRRAVMLKEMMEQNCAHSKKKLSRIILPDDSSEEENNVNDKRESNIAVNPSTVKKNKQQDHCLNSVPSGSSAQSKVRSTPRVNPLAKQSKQTSLNLKDTISEVSDFKPQNHNEVQSTTPPFTTVDSQKDCRKFPVPQKDGSALEDSSTSGASCSKSRPHLAGTHTSLRLPQEGKGTCILVGGHEITSGLEVISSLRAIHGLQVEVCPLNGCDYIVSNRMVVERRSQSEMLNSVNKNKFIEQIQHLQSMFERICVIVEKDREKTGDTSRMFRRTKSYDSLLTTLIGAGIRILFSSCQEETADLLKELSLVEQRKNVGIHVPTVVNSNKSEALQFYLSIPNISYITALNMCHQFSSVKRMANSSLQEISMYAQVTHQKAEEIYRYIHYVFDIQMLPNDLNQDRLKSDI
+>DECOY_sp|Q8IYD8|FANCM_HUMAN Fanconi anemia group M protein OS=Homo sapiens OX=9606 GN=FANCM PE=1 SV=2
+IDSKLRDQNLDNPLMQIDFVYHIYRYIEEAKQHTVQAYMSIEQLSSNAMRKVSSFQHCMNLATIYSINPISLYFQLAESKNSNVVTPVHIGVNKRQEVLSLEKLLDATEEQCSSFLIRIGAGILTTLLSDYSKTRRFMRSTDGTKERDKEVIVCIREFMSQLHQIQEIFKNKNVSNLMESQSRREVVMRNSVIYDCGNLPCVEVQLGHIARLSSIVELGSTIEHGGVLICTGKGEQPLRLSTHTGALHPRSKSCSAGSTSSDELASGDKQPVPFKRCDKQSDVTTFPPTTSQVENHNQPKFDSVESITDKLNLSTQKSQKALPNVRPTSRVKSQASSGSPVSNLCHDQQKNKKVTSPNVAINSERKDNVNNEEESSDDPLIIRSLKKKSHACNQEMMEKLMVARRTKYKKSNAFCDDTILNFDVCVEEESSQGKCSEEEDVCFSDELYTEDQEPIQSFININKHTKHIMKYKNNMMPSRLSKMYIARMESDNIAQSLQTEDNLFDLLSSDQENESEDNEDSSVYEADEESLEAEDDLFKRAVHKLHSQRKPVKIGRRANGNCVKFDELQSCPKPFNESEDSSSLESRNIPFRRKKVAHLPSDVESNKQDEPSNLVNGKARKVKRRFIEDDESENSTLLQGDEVSHCSKHLYMPGSSKSKELSFESYDFTSNLAEKFRQLNVKEKSSDPTKLIEKRIKSFSNLTNSKSLPTSMVKKQVPLSFQSYGPSLLEENKAASLPLHVYNPNQMDENSPIIVTGSTFNKSHDRPILAQNSVYNADSIEKIELYRGYLDDLPRNSDSTHELIEDDSDPSCFGLDFTVSFLDRSCDFIDEHNKVKEEQVGRQDRSKFSNADRTIQDQLESNNDLLLEDSILFQSVLPTESIATKDSVPLSESKSNLPSLSVDDFETNVDEFLLLSEDQDTSLVPLDSNDVDHNNEGVLNQAPSRHIQVRNNPVLNENQNHKHVDCDCLSPEDSINDNPIDYLCSKESPYNICKLSNLELNQQSNVASHSLLCTCKDSRLHEACPLFLLNELATGKAIEYELDSLGSLPPPSYSLFREVNALVEKTLSHCNYFQDDTRVIYLEEEFPLFLNSSVSKEDNFSNYGSDLVNGALSSTSKNTFQCETLLVCPEKIVNENITCTAAIEDTDSTRKDNPLDEQFINEVTSNRDNDVSDIIGHNNEKKLQDKKIEKSVKKKLSVIKTPKIHTQKVIEACEEDSEIVSSSSGQNINKIFSSKKHTIFTDSALNNSENRPAIFTSTVDEMQLYSEVELEYSCEGEEHRMGEIMQMLGIFHRCRDSHDVQHTPLPHDQWLRWESLSLQHIGTTSEQAPKNEENQLSSFQVQPLTIEKIEDSDRLRYLRNWLKFEEESLFWDKKLSSQRMGDRYSFISSKRQLNRSPKEPEYVGHTIFMKHLKPNIGDPVMRPSRQYFHLVQRNSSIAKYISRKNSQSQNYIREERGESLIIVIRGQRKRGTRGMRQVLRIPSKQSDFCIILDVEGIDLGEEGVCTSVLTNYGGDRFQKVVELQEKQTFGKTSKGSAHGVFTMVRIIPQHQSLMEAIEQVSDRFSSFIMVRTEDRKKETTNEANWSKFHEIVVEELKKLKPHSYVFKKNKDGQQIASIGNASTSRTRAFMCELHNYLKMFDENRGLENKSRTMGKTGDMIGCLFFYLSRMGMQQLLEYGHYLSICIAFEGEIIGQQIGVINPSPNKRFQDRALIIQYKTLNPIDRRMLVNRQILSRAFSELIQIYTKQIAALEEGLPVILKEVKREHSYTLIDPSDESRLEIQGILLNTIVQQVAKIDSGPTASLALIRFHNTYKVLERVVQCYAYNGLAKHAEDIVLCKIEAAPCAGRSLDNVMVQPTLFLVRKSCWIEKRTSAQTSGTMEAMHSQPIGMVQYCAEIQQTVLPKTPAMFVVKGSPFWRYFNYMVVAAIFTKGLGTPLCVLTNCFLAARSIHLQYDRVPCNTPYIWLAGASTCFGGNELCLQREAEYAAVLLVDDDSELQAEAAAPLPAKSSGPSQPRETGSSCGPTGSSRSISSGWTQFLTRQRGSM
+>sp|Q9BTP7|FAP24_HUMAN Fanconi anemia core complex-associated protein 24 OS=Homo sapiens OX=9606 GN=FAAP24 PE=1 SV=2
+MEKNPPDDTGPVHVPLGHIVANEKWRGSQLAQEMQGKIKLIFEDGLTPDFYLSNRCCILYVTEADLVAGNGYRKRLVRVRNSNNLKGIVVVEKTRMSEQYFPALQKFTVLDLGMVLLPVASQMEASCLVIQLVQEQTKEPSKNPLLGKKRALLLSEPSLLRTVQQIPGVGKVKAPLLLQKFPSIQQLSNASIGELEQVVGQAVAQQIHAFFTQPR
+>DECOY_sp|Q9BTP7|FAP24_HUMAN Fanconi anemia core complex-associated protein 24 OS=Homo sapiens OX=9606 GN=FAAP24 PE=1 SV=2
+RPQTFFAHIQQAVAQGVVQELEGISANSLQQISPFKQLLLPAKVKGVGPIQQVTRLLSPESLLLARKKGLLPNKSPEKTQEQVLQIVLCSAEMQSAVPLLVMGLDLVTFKQLAPFYQESMRTKEVVVIGKLNNSNRVRVLRKRYGNGAVLDAETVYLICCRNSLYFDPTLGDEFILKIKGQMEQALQSGRWKENAVIHGLPVHVPGTDDPPNKEM
+>sp|Q9HCM7|FBSL_HUMAN Fibrosin-1-like protein OS=Homo sapiens OX=9606 GN=FBRSL1 PE=1 SV=4
+MEAKVRPSRRSRAQRDRGRRREAARDARAQSPSSGDEPEPSPGKENAGLRGAPPRGAAPAPRTARPPRRRRRESSSQEEEVIDGFAIASFSTLEALEKDMALKPHERKEKWERRLIKKPRESETCPPAEPSENRRPLEAGSPGQDLEPACDGARKVPLQPSKQMKVTVSKGGDRDSDDDSVLEATSSRDPLSDSSAHAVSGRGYSCDSESGPDDKASVGSEKLFAPGTDKGPALEKSEAKAGPVPKVSGLERSRELSAESFLPTASPAPHAAPCPGPPPGSRANPLVKKEPPAPHRHTPQPPPPQPRGLLPTHVPASLGAFAGHSQAAANGLHGLSRSSSAPLGLGKHVSLSPHGPGPHLSTSHLALRSQAQHQLHAAMFAAPPTLPPPPALPASSLVLPGHPADHELLRQELNTRFLVQSAERPGASLGPGALLRAEFHQHQHTHQHTHQHTHQHQHTFAPFPAGLPPTPPAAPPPFDKYAPKLDSPYFRHSSVSFFPSFPPAIPGLPTLLPHPGPFGSLQGAFQPKVSDPYRAVVKVSTCWEGPWQGRTLVPPGRPRGARDSRSLQKTWVGVAPAPLSASILSQKPGRWCAVHVQIAWQIYRHQQKIKEMQLDPHKLEVGAKLDLFGRPPAPGVFAGFHYPQDLARPLFPSTGAAHPASNPFGPSAHPGSFLPTGPLTDPFSRPSTFGGLGSLSSHAFGGLGSHALAPGGSIFAPKEGSSVHGLPSPHEAWNRLHRAPPSFPAPPPWPKSVDAERVSALTNHDREPDNGKEEQERDLLEKTRLLSRASPATPAGHPVSGLLLRAQSELGRSGAPAEREAEPRVKESRSPAKEEAAKMPARASPPHSKAAPGDVKVKEERGEDEASEPPAGGLHPAPLQLGLGRERLGAPGFAWEPFRGLELPRRAFPAAAPAPGSAALLEPPERPYRDREPHGYSPERLRGELERARAPHLPPAAPALDGALLPSLGALHFPRLSPAALHNGLLARTPPAAAALGAPPPLVTAAGPPTPPGPPRSRTTPLGGLGPGEARDYSPSRNPPEVEAR
+>DECOY_sp|Q9HCM7|FBSL_HUMAN Fibrosin-1-like protein OS=Homo sapiens OX=9606 GN=FBRSL1 PE=1 SV=4
+RAEVEPPNRSPSYDRAEGPGLGGLPTTRSRPPGPPTPPGAATVLPPPAGLAAAAPPTRALLGNHLAAPSLRPFHLAGLSPLLAGDLAPAAPPLHPARARELEGRLREPSYGHPERDRYPREPPELLAASGPAPAAAPFARRPLELGRFPEWAFGPAGLRERGLGLQLPAPHLGGAPPESAEDEGREEKVKVDGPAAKSHPPSARAPMKAAEEKAPSRSEKVRPEAEREAPAGSRGLESQARLLLGSVPHGAPTAPSARSLLRTKELLDREQEEKGNDPERDHNTLASVREADVSKPWPPPAPFSPPARHLRNWAEHPSPLGHVSSGEKPAFISGGPALAHSGLGGFAHSSLSGLGGFTSPRSFPDTLPGTPLFSGPHASPGFPNSAPHAAGTSPFLPRALDQPYHFGAFVGPAPPRGFLDLKAGVELKHPDLQMEKIKQQHRYIQWAIQVHVACWRGPKQSLISASLPAPAVGVWTKQLSRSDRAGRPRGPPVLTRGQWPGEWCTSVKVVARYPDSVKPQFAGQLSGFPGPHPLLTPLGPIAPPFSPFFSVSSHRFYPSDLKPAYKDFPPPAAPPTPPLGAPFPAFTHQHQHTHQHTHQHTHQHQHFEARLLAGPGLSAGPREASQVLFRTNLEQRLLEHDAPHGPLVLSSAPLAPPPPLTPPAAFMAAHLQHQAQSRLALHSTSLHPGPGHPSLSVHKGLGLPASSSRSLGHLGNAAAQSHGAFAGLSAPVHTPLLGRPQPPPPQPTHRHPAPPEKKVLPNARSGPPPGPCPAAHPAPSATPLFSEASLERSRELGSVKPVPGAKAESKELAPGKDTGPAFLKESGVSAKDDPGSESDCSYGRGSVAHASSDSLPDRSSTAELVSDDDSDRDGGKSVTVKMQKSPQLPVKRAGDCAPELDQGPSGAELPRRNESPEAPPCTESERPKKILRREWKEKREHPKLAMDKELAELTSFSAIAFGDIVEEEQSSSERRRRRPPRATRPAPAAGRPPAGRLGANEKGPSPEPEDGSSPSQARADRAAERRRGRDRQARSRRSPRVKAEM
+>sp|P0C2W1|FBSP1_HUMAN F-box/SPRY domain-containing protein 1 OS=Homo sapiens OX=9606 GN=FBXO45 PE=1 SV=1
+MAAPAPGAGAASGGAGCSGGGAGAGAGSGSGAAGAGGRLPSRVLELVFSYLELSELRSCALVCKHWYRCLHGDENSEVWRSLCARSLAEEALRTDILCNLPSYKAKIRAFQHAFSTNDCSRNVYIKKNGFTLHRNPIAQSTDGARTKIGFSEGRHAWEVWWEGPLGTVAVIGIATKRAPMQCQGYVALLGSDDQSWGWNLVDNNLLHNGEVNGSFPQCNNAPKYQIGERIRVILDMEDKTLAFERGYEFLGVAFRGLPKVCLYPAVSAVYGNTEVTLVYLGKPLDG
+>DECOY_sp|P0C2W1|FBSP1_HUMAN F-box/SPRY domain-containing protein 1 OS=Homo sapiens OX=9606 GN=FBXO45 PE=1 SV=1
+GDLPKGLYVLTVETNGYVASVAPYLCVKPLGRFAVGLFEYGREFALTKDEMDLIVRIREGIQYKPANNCQPFSGNVEGNHLLNNDVLNWGWSQDDSGLLAVYGQCQMPARKTAIGIVAVTGLPGEWWVEWAHRGESFGIKTRAGDTSQAIPNRHLTFGNKKIYVNRSCDNTSFAHQFARIKAKYSPLNCLIDTRLAEEALSRACLSRWVESNEDGHLCRYWHKCVLACSRLESLELYSFVLELVRSPLRGGAGAAGSGSGAGAGAGGGSCGAGGSAAGAGPAPAAM
+>sp|Q96EF6|FBX17_HUMAN F-box only protein 17 OS=Homo sapiens OX=9606 GN=FBXO17 PE=1 SV=1
+MGARLSRRRLPADPSLALDALPPELLVQVLSHVPPRSLVTRCRPVCRAWRDIVDGPTVWLLQLARDRSAEGRALYAVAQRCLPSNEDKEEFPLCALARYCLRAPFGRNLIFNSCGEQGFRGWEVEHGGNGWAIEKNLTPVPGAPSQTCFVTSFEWCSKRQLVDLVMEGVWQELLDSAQIEICVADWWGARENCGCVYQLRVRLLDVYEKEVVKFSASPDPVLQWTERGCRQVSHVFTNFGKGIRYVSFEQYGRDVSSWVGHYGALVTHSSVRVRIRLS
+>DECOY_sp|Q96EF6|FBX17_HUMAN F-box only protein 17 OS=Homo sapiens OX=9606 GN=FBXO17 PE=1 SV=1
+SLRIRVRVSSHTVLAGYHGVWSSVDRGYQEFSVYRIGKGFNTFVHSVQRCGRETWQLVPDPSASFKVVEKEYVDLLRVRLQYVCGCNERAGWWDAVCIEIQASDLLEQWVGEMVLDVLQRKSCWEFSTVFCTQSPAGPVPTLNKEIAWGNGGHEVEWGRFGQEGCSNFILNRGFPARLCYRALACLPFEEKDENSPLCRQAVAYLARGEASRDRALQLLWVTPGDVIDRWARCVPRCRTVLSRPPVHSLVQVLLEPPLADLALSPDAPLRRRSLRAGM
+>sp|Q8NI29|FBX27_HUMAN F-box only protein 27 OS=Homo sapiens OX=9606 GN=FBXO27 PE=1 SV=2
+MGASVSRGRAARVPAPEPEPEEALDLSQLPPELLLVVLSHVPPRTLLGRCRQVCRGWRALVDGQALWLLILARDHGATGRALLHLARSCQSPARNARPCPLGRFCARRPIGRNLIRNPCGQEGLRKWMVQHGGDGWVVEENRTTVPGAPSQTCFVTSFSWCCKKQVLDLEEEGLWPELLDSGRIEICVSDWWGARHDSGCMYRLLVQLLDANQTVLDKFSAVPDPIPQWNNNACLHVTHVFSNIKMGVRFVSFEHRGQDTQFWAGHYGARVTNSSVIVRVRLS
+>DECOY_sp|Q8NI29|FBX27_HUMAN F-box only protein 27 OS=Homo sapiens OX=9606 GN=FBXO27 PE=1 SV=2
+SLRVRVIVSSNTVRAGYHGAWFQTDQGRHEFSVFRVGMKINSFVHTVHLCANNNWQPIPDPVASFKDLVTQNADLLQVLLRYMCGSDHRAGWWDSVCIEIRGSDLLEPWLGEEELDLVQKKCCWSFSTVFCTQSPAGPVTTRNEEVVWGDGGHQVMWKRLGEQGCPNRILNRGIPRRACFRGLPCPRANRAPSQCSRALHLLARGTAGHDRALILLWLAQGDVLARWGRCVQRCRGLLTRPPVHSLVVLLLEPPLQSLDLAEEPEPEPAPVRAARGRSVSAGM
+>sp|Q9NVF7|FBX28_HUMAN F-box only protein 28 OS=Homo sapiens OX=9606 GN=FBXO28 PE=1 SV=1
+MAAAAEERMAEEGGGGQGDGGSSLASGSTQRQPPPPAPQHPQPGSQALPAPALAPDQLPQNNTLVALPIVAIENILSFMSYDEISQLRLVCKRMDLVCQRMLNQGFLKVERYHNLCQKQVKAQLPRRESERRNHSLARHADILAAVETRLSLLNMTFMKYVDSNLCCFIPGKVIDEIYRVLRYVNSTRAPQRAHEVLQELRDISSMAMEYFDEKIVPILKRKLPGSDVSGRLMGSPPVPGPSAALTTMQLFSKQNPSRQEVTKLQQQVKTNGAGVTVLRREISELRTKVQEQQKQLQDQDQKLLEQTQIIGEQNARLAELERKLREVMESAVGNSSGSGQNEESPRKRKKATEAIDSLRKSKRLRNRK
+>DECOY_sp|Q9NVF7|FBX28_HUMAN F-box only protein 28 OS=Homo sapiens OX=9606 GN=FBXO28 PE=1 SV=1
+KRNRLRKSKRLSDIAETAKKRKRPSEENQGSGSSNGVASEMVERLKRELEALRANQEGIIQTQELLKQDQDQLQKQQEQVKTRLESIERRLVTVGAGNTKVQQQLKTVEQRSPNQKSFLQMTTLAASPGPVPPSGMLRGSVDSGPLKRKLIPVIKEDFYEMAMSSIDRLEQLVEHARQPARTSNVYRLVRYIEDIVKGPIFCCLNSDVYKMFTMNLLSLRTEVAALIDAHRALSHNRRESERRPLQAKVQKQCLNHYREVKLFGQNLMRQCVLDMRKCVLRLQSIEDYSMFSLINEIAVIPLAVLTNNQPLQDPALAPAPLAQSGPQPHQPAPPPPQRQTSGSALSSGGDGQGGGGEEAMREEAAAAM
+>sp|Q9NWN3|FBX34_HUMAN F-box only protein 34 OS=Homo sapiens OX=9606 GN=FBXO34 PE=1 SV=2
+MHLKPYWKLQKKEHPPEVSRETQRTPMNHQKAVNDETCKASHITSSVFPSASLGKASSRKPFGILSPNVLCSMSGKSPVESSLNVKTKKNAPSATIHQGEEEGPLDIWAVVKPGNTKEKIAFFASHQCSNRIGSMKIKSSWDIDGRATKRRKKSGDLKKAKVQVERMREVNSRCYQPEPFACGIEHCSVHYVSDSGDGVYAGRPLSVIQMVAFLEQRASALLASCSKNCTNSPAIVRFSGQSRGVPAVSESYSAPGACEEPTERGNLEVGEPQSEPVRVLDMVAKLESECLKRQGQREPGSLSRNNSFRRNVGRVLLANSTQADEGKTKKGVLEAPDTQVNPVGSVSVDCGPSRADRCSPKEDQAWDGASQDCPPLPAGVSFHIDSAELEPGSQTAVKNSNRYDVEMTDELVGLPFSSHTYSQASELPTDAVDCMSRELVSLTSRNPDQRKESLCISITVSKVDKDQPSILNSCEDPVPGMLFFLPPGQHLSDYSQLNESTTKESSEASQLEDAAGGDSASEEKSGSAEPFVLPASSVESTLPVLEASSWKKQVSHDFLETRFKIQQLLEPQQYMAFLPHHIMVKIFRLLPTKSLVALKCTCCYFKFIIEYYNIRPADSRWVRDPRYREDPCKQCKKKYVKGDVSLCRWHPKPYCQALPYGPGYWMCCHRSQKGFPGCKLGLHDNHWVPACHSFNRAIHKKAKGTEAEEEY
+>DECOY_sp|Q9NWN3|FBX34_HUMAN F-box only protein 34 OS=Homo sapiens OX=9606 GN=FBXO34 PE=1 SV=2
+YEEEAETGKAKKHIARNFSHCAPVWHNDHLGLKCGPFGKQSRHCCMWYGPGYPLAQCYPKPHWRCLSVDGKVYKKKCQKCPDERYRPDRVWRSDAPRINYYEIIFKFYCCTCKLAVLSKTPLLRFIKVMIHHPLFAMYQQPELLQQIKFRTELFDHSVQKKWSSAELVPLTSEVSSAPLVFPEASGSKEESASDGGAADELQSAESSEKTTSENLQSYDSLHQGPPLFFLMGPVPDECSNLISPQDKDVKSVTISICLSEKRQDPNRSTLSVLERSMCDVADTPLESAQSYTHSSFPLGVLEDTMEVDYRNSNKVATQSGPELEASDIHFSVGAPLPPCDQSAGDWAQDEKPSCRDARSPGCDVSVSGVPNVQTDPAELVGKKTKGEDAQTSNALLVRGVNRRFSNNRSLSGPERQGQRKLCESELKAVMDLVRVPESQPEGVELNGRETPEECAGPASYSESVAPVGRSQGSFRVIAPSNTCNKSCSALLASARQELFAVMQIVSLPRGAYVGDGSDSVYHVSCHEIGCAFPEPQYCRSNVERMREVQVKAKKLDGSKKRRKTARGDIDWSSKIKMSGIRNSCQHSAFFAIKEKTNGPKVVAWIDLPGEEEGQHITASPANKKTKVNLSSEVPSKGSMSCLVNPSLIGFPKRSSAKGLSASPFVSSTIHSAKCTEDNVAKQHNMPTRQTERSVEPPHEKKQLKWYPKLHM
+>sp|Q4G163|FBX43_HUMAN F-box only protein 43 OS=Homo sapiens OX=9606 GN=FBXO43 PE=1 SV=3
+MSFKDKDERISCLEAYVTLTSKSSRFTDETEILKMSQRHSGQAGTEAGNGADSPPIVNSKYSTFRDFCSTSSFQDSGYNELKSCSFDNIDKEYLGKKEKGPTLLYEHPETSGLGLTHPLESPTQKKKCILPRKEKDKTPELCETPKISGKKCLPRRRLNVSFALLKGDFESQNSSLESSISQVINLEKNIPSSASGFSRANNFSPLVTSTLKTEEVTSCSQKLRLNFSQQKTSTIDDSKDDCSLFEVECISPIQGNNFKDSITHDFSDSSLCINDENACPELLGSSVSGTTCGTDEDIFVTPISNLVANIRFNASQILSPSPEVRGSISTPEDSGFNSLSLEKSEDSLSDQEGSFQELLQKHKGTPKVGDTIRKTRHLGRSRRLSTLREQSSQSETEEEKQIVHPDSEKRAAAASAISEGQLSSDESGDLTFSLKNLSKTPALQLVHELFMKSKRKRLQENSGHEFLEQGDGEKIAVLQCILAGLIGKKMGIEKLDILTELKYRNLKHILAMVLESLTAESLCSVWKVSRNWREIVVQDKNANRRRKFYITQLKTDSEGAVLNVEDAATRLQLLNRSALRSVQAQARIPGSQREQGSTLSPWGEVLTPLASSSVTHLSSKQEEYVKVAKTLFTDEALKPCPRCQSPAKYQPYKKRGLCSRTACGFDFCVLCLCAYHGSEECSRGAAKPRNRKDALPGSAQSKRNLKRL
+>DECOY_sp|Q4G163|FBX43_HUMAN F-box only protein 43 OS=Homo sapiens OX=9606 GN=FBXO43 PE=1 SV=3
+LRKLNRKSQASGPLADKRNRPKAAGRSCEESGHYACLCLVCFDFGCATRSCLGRKKYPQYKAPSQCRPCPKLAEDTFLTKAVKVYEEQKSSLHTVSSSALPTLVEGWPSLTSGQERQSGPIRAQAQVSRLASRNLLQLRTAADEVNLVAGESDTKLQTIYFKRRRNANKDQVVIERWNRSVKWVSCLSEATLSELVMALIHKLNRYKLETLIDLKEIGMKKGILGALICQLVAIKEGDGQELFEHGSNEQLRKRKSKMFLEHVLQLAPTKSLNKLSFTLDGSEDSSLQGESIASAAAARKESDPHVIQKEEETESQSSQERLTSLRRSRGLHRTKRITDGVKPTGKHKQLLEQFSGEQDSLSDESKELSLSNFGSDEPTSISGRVEPSPSLIQSANFRINAVLNSIPTVFIDEDTGCTTGSVSSGLLEPCANEDNICLSSDSFDHTISDKFNNGQIPSICEVEFLSCDDKSDDITSTKQQSFNLRLKQSCSTVEETKLTSTVLPSFNNARSFGSASSPINKELNIVQSISSELSSNQSEFDGKLLAFSVNLRRRPLCKKGSIKPTECLEPTKDKEKRPLICKKKQTPSELPHTLGLGSTEPHEYLLTPGKEKKGLYEKDINDFSCSKLENYGSDQFSSTSCFDRFTSYKSNVIPPSDAGNGAETGAQGSHRQSMKLIETEDTFRSSKSTLTVYAELCSIREDKDKFSM
+>sp|Q5MNV8|FBX47_HUMAN F-box only protein 47 OS=Homo sapiens OX=9606 GN=FBXO47 PE=2 SV=2
+MASRINTNFTLIPNQKLRRSNRQTSCYSKTLGSGFQPISTFGNFKALPLEIFQIILKYLSVKDISMLSMVSKTVSQHIINYISTSSGSKRLLLQDFHNLELPDRRQDSAILEHYRSLGLLFKRCTLLLPTKERLKYIHKILTEVSCFKFNGCAAPMQCLGLTCYGMFLQTLTAGWDELECHRVYNFLCELTNLCRKIQMAVCSKPGSAQKLELRIRLFCRNVLLDHWTHRSDSAFWLTRILKPWPMVNQARLLYIIFGPISPQDGQVVWQEMIEEPTDEFSLKGLADAIKLLYDASTKEWTADDVISLVDELSVVPREWLLENNARLLMLSGNNICFSFMASKAVNGRTIELARLVVFLALVCEKELYCMDWTVKMMQKVCKVFSTPVERKNFLQNVANAFACVIMEMLQSIMSGDRDEDDRSFLNLFHLVHAQANFHKEVLYLTMNTPLST
+>DECOY_sp|Q5MNV8|FBX47_HUMAN F-box only protein 47 OS=Homo sapiens OX=9606 GN=FBXO47 PE=2 SV=2
+TSLPTNMTLYLVEKHFNAQAHVLHFLNLFSRDDEDRDGSMISQLMEMIVCAFANAVNQLFNKREVPTSFVKCVKQMMKVTWDMCYLEKECVLALFVVLRALEITRGNVAKSAMFSFCINNGSLMLLRANNELLWERPVVSLEDVLSIVDDATWEKTSADYLLKIADALGKLSFEDTPEEIMEQWVVQGDQPSIPGFIIYLLRAQNVMPWPKLIRTLWFASDSRHTWHDLLVNRCFLRIRLELKQASGPKSCVAMQIKRCLNTLECLFNYVRHCELEDWGATLTQLFMGYCTLGLCQMPAACGNFKFCSVETLIKHIYKLREKTPLLLTCRKFLLGLSRYHELIASDQRRDPLELNHFDQLLLRKSGSSTSIYNIIHQSVTKSVMSLMSIDKVSLYKLIIQFIELPLAKFNGFTSIPQFGSGLTKSYCSTQRNSRRLKQNPILTFNTNIRSAM
+>sp|Q6ZVX7|FBX50_HUMAN F-box only protein 50 OS=Homo sapiens OX=9606 GN=NCCRP1 PE=1 SV=1
+MEEVREGHALGGGMEADGPASLQELPPSPRSPSPPPSPPPLPSPPSLPSPAAPEAPELPEPAQPSEAHARQLLLEEWGPLSGGLELPQRLTWKLLLLRRPLYRNLLRSPNPEGINIYEPAPPTGPTQRPLETLGNFRGWYIRTEKLQQNQSWTVKQQCVDLLAEGLWEELLDDEQPAITVMDWFEDSRLDACVYELHVWLLAADRRTVIAQHHVAPRTSGRGPPGRWVQVSHVFRHYGPGVRFIHFLHKAKNRMEPGGLRRTRVTDSSVSVQLRE
+>DECOY_sp|Q6ZVX7|FBX50_HUMAN F-box only protein 50 OS=Homo sapiens OX=9606 GN=NCCRP1 PE=1 SV=1
+ERLQVSVSSDTVRTRRLGGPEMRNKAKHLFHIFRVGPGYHRFVHSVQVWRGPPGRGSTRPAVHHQAIVTRRDAALLWVHLEYVCADLRSDEFWDMVTIAPQEDDLLEEWLGEALLDVCQQKVTWSQNQQLKETRIYWGRFNGLTELPRQTPGTPPAPEYINIGEPNPSRLLNRYLPRRLLLLKWTLRQPLELGGSLPGWEELLLQRAHAESPQAPEPLEPAEPAAPSPLSPPSPLPPPSPPPSPSRPSPPLEQLSAPGDAEMGGGLAHGERVEEM
+>sp|Q9NRD0|FBX8_HUMAN F-box only protein 8 OS=Homo sapiens OX=9606 GN=FBXO8 PE=2 SV=1
+MGQGLWRVVRNQQLQQEGYSEQGYLTREQSRRMAASNISNTNHRKQVQGGIDIYHLLKARKSKEQEGFINLEMLPPELSFTILSYLNATDLCLASCVWQDLANDELLWQGLCKSTWGHCSIYNKNPPLGFSFRKLYMQLDEGSLTFNANPDEGVNYFMSKGILDDSPKEIAKFIFCTRTLNWKKLRIYLDERRDVLDDLVTLHNFRNQFLPNALREFFRHIHAPEERGEYLETLITKFSHRFCACNPDLMRELGLSPDAVYVLCYSLILLSIDLTSPHVKNKMSKREFIRNTRRAAQNISEDFVGHLYDNIYLIGHVAA
+>DECOY_sp|Q9NRD0|FBX8_HUMAN F-box only protein 8 OS=Homo sapiens OX=9606 GN=FBXO8 PE=2 SV=1
+AAVHGILYINDYLHGVFDESINQAARRTNRIFERKSMKNKVHPSTLDISLLILSYCLVYVADPSLGLERMLDPNCACFRHSFKTILTELYEGREEPAHIHRFFERLANPLFQNRFNHLTVLDDLVDRREDLYIRLKKWNLTRTCFIFKAIEKPSDDLIGKSMFYNVGEDPNANFTLSGEDLQMYLKRFSFGLPPNKNYISCHGWTSKCLGQWLLEDNALDQWVCSALCLDTANLYSLITFSLEPPLMELNIFGEQEKSKRAKLLHYIDIGGQVQKRHNTNSINSAAMRRSQERTLYGQESYGEQQLQQNRVVRWLGQGM
+>sp|Q9UKC9|FBXL2_HUMAN F-box/LRR-repeat protein 2 OS=Homo sapiens OX=9606 GN=FBXL2 PE=1 SV=3
+MVFSNNDEGLINKKLPKELLLRIFSFLDIVTLCRCAQISKAWNILALDGSNWQRIDLFNFQTDVEGRVVENISKRCGGFLRKLSLRGCIGVGDSSLKTFAQNCRNIEHLNLNGCTKITDSTCYSLSRFCSKLKHLDLTSCVSITNSSLKGISEGCRNLEYLNLSWCDQITKDGIEALVRGCRGLKALLLRGCTQLEDEALKHIQNYCHELVSLNLQSCSRITDEGVVQICRGCHRLQALCLSGCSNLTDASLTALGLNCPRLQILEAARCSHLTDAGFTLLARNCHELEKMDLEECILITDSTLIQLSIHCPKLQALSLSHCELITDDGILHLSNSTCGHERLRVLELDNCLLITDVALEHLENCRGLERLELYDCQQVTRAGIKRMRAQLPHVKVHAYFAPVTPPTAVAGSGQRLCRCCVIL
+>DECOY_sp|Q9UKC9|FBXL2_HUMAN F-box/LRR-repeat protein 2 OS=Homo sapiens OX=9606 GN=FBXL2 PE=1 SV=3
+LIVCCRCLRQGSGAVATPPTVPAFYAHVKVHPLQARMRKIGARTVQQCDYLELRELGRCNELHELAVDTILLCNDLELVRLREHGCTSNSLHLIGDDTILECHSLSLAQLKPCHISLQILTSDTILICEELDMKELEHCNRALLTFGADTLHSCRAAELIQLRPCNLGLATLSADTLNSCGSLCLAQLRHCGRCIQVVGEDTIRSCSQLNLSVLEHCYNQIHKLAEDELQTCGRLLLAKLGRCGRVLAEIGDKTIQDCWSLNLYELNRCGESIGKLSSNTISVCSTLDLHKLKSCFRSLSYCTSDTIKTCGNLNLHEINRCNQAFTKLSSDGVGICGRLSLKRLFGGCRKSINEVVRGEVDTQFNFLDIRQWNSGDLALINWAKSIQACRCLTVIDLFSFIRLLLEKPLKKNILGEDNNSFVM
+>sp|Q8N3Y1|FBXW8_HUMAN F-box/WD repeat-containing protein 8 OS=Homo sapiens OX=9606 GN=FBXW8 PE=1 SV=2
+MDDYSLDEFRRRWQEELAQAQAPKKRRRPEAAERRARRPEVGSGRGEQASGDPALAQRLLEGAGRPPAARATRAEGQDVASRSRSPLAREGAGGGEQLVDQLIRDLNEMNDVPFFDIQLPYELAINIFQYLDRKELGRCAQVSKTWKVIAEDEVLWYRLCQQEGHLPDSSISDYSCWKLIFQECRAKEHMLRTNWKNRKGAVSELEHVPDTVLCDVHSHDGVVIAGYTSGDVRVWDTRTWDYVAPFLESEDEEDEPGMQPNVSFVRINSSLAVAAYEDGFLNIWDLRTGKYPVHRFEHDARIQALALSQDDATVATASAFDVVMLSPNEEGYWQIAAEFEVPKLVQYLEIVPETRRYPVAVAAAGDLMYLLKAEDSARTLLYAHGPPVTCLDVSANQVAFGVQGLGWVYEGSKILVYSLEAGRRLLKLGNVLRDFTCVNLSDSPPNLMVSGNMDGRVRIHDLRSGNIALSLSAHQLRVSAVQMDDWKIVSGGEEGLVSVWDYRMNQKLWEVYSGHPVQHISFSSHSLITANVPYQTVMRNADLDSFTTHRRHRGLIRAYEFAVDQLAFQSPLPVCRSSCDAMATHYYDLALAFPYNHV
+>DECOY_sp|Q8N3Y1|FBXW8_HUMAN F-box/WD repeat-containing protein 8 OS=Homo sapiens OX=9606 GN=FBXW8 PE=1 SV=2
+VHNYPFALALDYYHTAMADCSSRCVPLPSQFALQDVAFEYARILGRHRRHTTFSDLDANRMVTQYPVNATILSHSSFSIHQVPHGSYVEWLKQNMRYDWVSVLGEEGGSVIKWDDMQVASVRLQHASLSLAINGSRLDHIRVRGDMNGSVMLNPPSDSLNVCTFDRLVNGLKLLRRGAELSYVLIKSGEYVWGLGQVGFAVQNASVDLCTVPPGHAYLLTRASDEAKLLYMLDGAAAVAVPYRRTEPVIELYQVLKPVEFEAAIQWYGEENPSLMVVDFASATAVTADDQSLALAQIRADHEFRHVPYKGTRLDWINLFGDEYAAVALSSNIRVFSVNPQMGPEDEEDESELFPAVYDWTRTDWVRVDGSTYGAIVVGDHSHVDCLVTDPVHELESVAGKRNKWNTRLMHEKARCEQFILKWCSYDSISSDPLHGEQQCLRYWLVEDEAIVKWTKSVQACRGLEKRDLYQFINIALEYPLQIDFFPVDNMENLDRILQDVLQEGGGAGERALPSRSRSAVDQGEARTARAAPPRGAGELLRQALAPDGSAQEGRGSGVEPRRARREAAEPRRRKKPAQAQALEEQWRRRFEDLSYDDM
+>sp|P24071|FCAR_HUMAN Immunoglobulin alpha Fc receptor OS=Homo sapiens OX=9606 GN=FCAR PE=1 SV=1
+MDPKQTTLLCLVLCLGQRIQAQEGDFPMPFISAKSSPVIPLDGSVKIQCQAIREAYLTQLMIIKNSTYREIGRRLKFWNETDPEFVIDHMDANKAGRYQCQYRIGHYRFRYSDTLELVVTGLYGKPFLSADRGLVLMPGENISLTCSSAHIPFDRFSLAKEGELSLPQHQSGEHPANFSLGPVDLNVSGIYRCYGWYNRSPYLWSFPSNALELVVTDSIHQDYTTQNLIRMAVAGLVLVALLAILVENWHSHTALNKEASADVAEPSWSQQMCQPGLTFARTPSVCK
+>DECOY_sp|P24071|FCAR_HUMAN Immunoglobulin alpha Fc receptor OS=Homo sapiens OX=9606 GN=FCAR PE=1 SV=1
+KCVSPTRAFTLGPQCMQQSWSPEAVDASAEKNLATHSHWNEVLIALLAVLVLGAVAMRILNQTTYDQHISDTVVLELANSPFSWLYPSRNYWGYCRYIGSVNLDVPGLSFNAPHEGSQHQPLSLEGEKALSFRDFPIHASSCTLSINEGPMLVLGRDASLFPKGYLGTVVLELTDSYRFRYHGIRYQCQYRGAKNADMHDIVFEPDTENWFKLRRGIERYTSNKIIMLQTLYAERIAQCQIKVSGDLPIVPSSKASIFPMPFDGEQAQIRQGLCLVLCLLTTQKPDM
+>sp|Q92637|FCGRB_HUMAN High affinity immunoglobulin gamma Fc receptor IB OS=Homo sapiens OX=9606 GN=FCGR1B PE=2 SV=1
+MWFLTTLLLWVPVDGQVDTTKAVITLQPPWVSVFQEETVTLHCEVLHLPGSSSTQWFLNGTATQTSTPSYRITSASVNDSGEYRCQRGLSGRSDPIQLEIHRGWLLLQVSSRVFMEGEPLALRCHAWKDKLVYNVLYYRNGKAFKFFHWNSNLTILKTNISHNGTYHCSGMGKHRYTSAGISQYTVKGLQLPTPVWFHVLFYLAVGIMFLVNTVLWVTIRKELKRKKKWNLEISLDSGHEKKVISSLQEDRHLEEELKCQEQKEEQLQEGVHRKEPQGAT
+>DECOY_sp|Q92637|FCGRB_HUMAN High affinity immunoglobulin gamma Fc receptor IB OS=Homo sapiens OX=9606 GN=FCGR1B PE=2 SV=1
+TAGQPEKRHVGEQLQEEKQEQCKLEEELHRDEQLSSIVKKEHGSDLSIELNWKKKRKLEKRITVWLVTNVLFMIGVALYFLVHFWVPTPLQLGKVTYQSIGASTYRHKGMGSCHYTGNHSINTKLITLNSNWHFFKFAKGNRYYLVNYVLKDKWAHCRLALPEGEMFVRSSVQLLLWGRHIELQIPDSRGSLGRQCRYEGSDNVSASTIRYSPTSTQTATGNLFWQTSSSGPLHLVECHLTVTEEQFVSVWPPQLTIVAKTTDVQGDVPVWLLLTTLFWM
+>sp|O14526|FCHO1_HUMAN F-BAR domain only protein 1 OS=Homo sapiens OX=9606 GN=FCHO1 PE=1 SV=2
+MSYFGEHFWGEKNHGFEVLYHSVKQGPISTKELADFIRERATIEETYSKAMAKLSKLASNGTPMGTFAPLWEVFRVSSDKLALCHLELTRKLQDLIKDVLRYGEEQLKTHKKCKEEVVSTLDAVQVLSGVSQLLPKSRENYLNRCMDQERLRRESTSQKEMDKAETKTKKAAESLRRSVEKYNSARADFEQKMLDSALRFQAMEETHLRHMKALLGSYAHSVEDTHVQIGQVHEEFKQNIENVSVEMLLRKFAESKGTGREKPGPLDFEAYSAAALQEAMKRLRGAKAFRLPGLSRREREPEPPAAVDFLEPDSGTCPEVDEEGFTVRPDVTQNSTAEPSRFSSSDSDFDDEEPRKFYVHIKPAPARAPACSPEAAAAQLRATAGSLILPPGPGGTMKRHSSRDAAGKPQRPRSAPRTSSCAERLQSEEQVSKNLFGPPLESAFDHEDFTGSSSLGFTSSPSPFSSSSPENVEDSGLDSPSHAAPGPSPDSWVPRPGTPQSPPSCRAPPPEARGIRAPPLPDSPQPLASSPGPWGLEALAGGDLMPAPADPTAREGLAAPPRRLRSRKVSCPLTRSNGDLSRSLSPSPLGSSAASTALERPSFLSQTGHGVSRGPSPVVLGSQDALPIATAFTEYVHAYFRGHSPSCLARVTGELTMTFPAGIVRVFSGTPPPPVLSFRLVHTTAIEHFQPNADLLFSDPSQSDPETKDFWLNMAALTEALQRQAEQNPTASYYNVVLLRYQFSRPGPQSVPLQLSAHWQCGATLTQVSVEYGYRPGATAVPTPLTNVQILLPVGEPVTNVRLQPAATWNLEEKRLTWRLPDVSEAGGSGRLSASWEPLSGPSTPSPVAAQFTSEGTTLSGVDLELVGSGYRMSLVKRRFATGMYLVSC
+>DECOY_sp|O14526|FCHO1_HUMAN F-BAR domain only protein 1 OS=Homo sapiens OX=9606 GN=FCHO1 PE=1 SV=2
+CSVLYMGTAFRRKVLSMRYGSGVLELDVGSLTTGESTFQAAVPSPTSPGSLPEWSASLRGSGGAESVDPLRWTLRKEELNWTAAPQLRVNTVPEGVPLLIQVNTLPTPVATAGPRYGYEVSVQTLTAGCQWHASLQLPVSQPGPRSFQYRLLVVNYYSATPNQEAQRQLAETLAAMNLWFDKTEPDSQSPDSFLLDANPQFHEIATTHVLRFSLVPPPPTGSFVRVIGAPFTMTLEGTVRALCSPSHGRFYAHVYETFATAIPLADQSGLVVPSPGRSVGHGTQSLFSPRELATSAASSGLPSPSLSRSLDGNSRTLPCSVKRSRLRRPPAALGERATPDAPAPMLDGGALAELGWPGPSSALPQPSDPLPPARIGRAEPPPARCSPPSQPTGPRPVWSDPSPGPAAHSPSDLGSDEVNEPSSSSFPSPSSTFGLSSSGTFDEHDFASELPPGFLNKSVQEESQLREACSSTRPASRPRQPKGAADRSSHRKMTGGPGPPLILSGATARLQAAAAEPSCAPARAPAPKIHVYFKRPEEDDFDSDSSSFRSPEATSNQTVDPRVTFGEEDVEPCTGSDPELFDVAAPPEPERERRSLGPLRFAKAGRLRKMAEQLAAASYAEFDLPGPKERGTGKSEAFKRLLMEVSVNEINQKFEEHVQGIQVHTDEVSHAYSGLLAKMHRLHTEEMAQFRLASDLMKQEFDARASNYKEVSRRLSEAAKKTKTEAKDMEKQSTSERRLREQDMCRNLYNERSKPLLQSVGSLVQVADLTSVVEEKCKKHTKLQEEGYRLVDKILDQLKRTLELHCLALKDSSVRFVEWLPAFTGMPTGNSALKSLKAMAKSYTEEITARERIFDALEKTSIPGQKVSHYLVEFGHNKEGWFHEGFYSM
+>sp|Q0JRZ9|FCHO2_HUMAN F-BAR domain only protein 2 OS=Homo sapiens OX=9606 GN=FCHO2 PE=1 SV=2
+MVMAYFVENFWGEKNSGFDVLYHNMKHGQISTKELADFVRERATIEEAYSRSMTKLAKSASNYSQLGTFAPVWDVFKTSTEKLANCHLDLVRKLQELIKEVQKYGEEQVKSHKKTKEEVAGTLEAVQTIQSITQALQKSKENYNAKCVEQERLKKEGATQREIEKAAVKSKKATDTYKLYVEKYALAKADFEQKMTETAQKFQDIEETHLIHIKEIIGSLSNAIKEIHLQIGQVHEEFINNMANTTVESLIQKFAESKGTGKERPGLIEFEECDTASAVEGIKPRKRKTFALPGIIKKEKDAESVECPDADSLNIPDVDEEGYSIKPETNQNDTKENHFYSSSDSDSEDEEPKKYRIEIKPMHPNNSHHTMASLDELKVSIGNITLSPAISRHSPVQMNRNLSNEELTKSKPSAPPNEKGTSDLLAWDPLFGPSLDSSSSSSLTSSSSARPTTPLSVGTIVPPPRPASRPKLTSGKLSGINEIPRPFSPPVTSNTSPPPAAPLARAESSSSISSSASLSAANTPTVGVSRGPSPVSLGNQDTLPVAVALTESVNAYFKGADPTKCIVKITGDMTMSFPSGIIKVFTSNPTPAVLCFRVKNISRLEQILPNAQLVFSDPSQCDSNTKDFWMNMQAVTVYLKKLSEQNPAASYYNVDVLKYQVSSNGIQSTPLNLATYWKCSASTTDLRVDYKYNPEAMVAPSVLSNIQVVVPVDGGVTNMQSLPPAIWNAEQMKAFWKLSSISEKSENGGSGSLRAKFDLSEGPSKPTTLAVQFLSEGSTLSGVDFELVGTGYRLSLIKKRFATGRYLADC
+>DECOY_sp|Q0JRZ9|FCHO2_HUMAN F-BAR domain only protein 2 OS=Homo sapiens OX=9606 GN=FCHO2 PE=1 SV=2
+CDALYRGTAFRKKILSLRYGTGVLEFDVGSLTSGESLFQVALTTPKSPGESLDFKARLSGSGGNESKESISSLKWFAKMQEANWIAPPLSQMNTVGGDVPVVVQINSLVSPAVMAEPNYKYDVRLDTTSASCKWYTALNLPTSQIGNSSVQYKLVDVNYYSAAPNQESLKKLYVTVAQMNMWFDKTNSDCQSPDSFVLQANPLIQELRSINKVRFCLVAPTPNSTFVKIIGSPFSMTMDGTIKVICKTPDAGKFYANVSETLAVAVPLTDQNGLSVPSPGRSVGVTPTNAASLSASSSISSSSEARALPAAPPPSTNSTVPPSFPRPIENIGSLKGSTLKPRSAPRPPPVITGVSLPTTPRASSSSTLSSSSSSDLSPGFLPDWALLDSTGKENPPASPKSKTLEENSLNRNMQVPSHRSIAPSLTINGISVKLEDLSAMTHHSNNPHMPKIEIRYKKPEEDESDSDSSSYFHNEKTDNQNTEPKISYGEEDVDPINLSDADPCEVSEADKEKKIIGPLAFTKRKRPKIGEVASATDCEEFEILGPREKGTGKSEAFKQILSEVTTNAMNNIFEEHVQGIQLHIEKIANSLSGIIEKIHILHTEEIDQFKQATETMKQEFDAKALAYKEVYLKYTDTAKKSKVAAKEIERQTAGEKKLREQEVCKANYNEKSKQLAQTISQITQVAELTGAVEEKTKKHSKVQEEGYKQVEKILEQLKRVLDLHCNALKETSTKFVDWVPAFTGLQSYNSASKALKTMSRSYAEEITARERVFDALEKTSIQGHKMNHYLVDFGSNKEGWFNEVFYAMVM
+>sp|Q96P31|FCRL3_HUMAN Fc receptor-like protein 3 OS=Homo sapiens OX=9606 GN=FCRL3 PE=1 SV=1
+MLLWLLLLILTPGREQSGVAPKAVLLLNPPWSTAFKGEKVALICSSISHSLAQGDTYWYHDEKLLKIKHDKIQITEPGNYQCKTRGSSLSDAVHVEFSPDWLILQALHPVFEGDNVILRCQGKDNKNTHQKVYYKDGKQLPNSYNLEKITVNSVSRDNSKYHCTAYRKFYILDIEVTSKPLNIQVQELFLHPVLRASSSTPIEGSPMTLTCETQLSPQRPDVQLQFSLFRDSQTLGLGWSRSPRLQIPAMWTEDSGSYWCEVETVTHSIKKRSLRSQIRVQRVPVSNVNLEIRPTGGQLIEGENMVLICSVAQGSGTVTFSWHKEGRVRSLGRKTQRSLLAELHVLTVKESDAGRYYCAADNVHSPILSTWIRVTVRIPVSHPVLTFRAPRAHTVVGDLLELHCESLRGSPPILYRFYHEDVTLGNSSAPSGGGASFNLSLTAEHSGNYSCDADNGLGAQHSHGVSLRVTVPVSRPVLTLRAPGAQAVVGDLLELHCESLRGSFPILYWFYHEDDTLGNISAHSGGGASFNLSLTTEHSGNYSCEADNGLGAQHSKVVTLNVTGTSRNRTGLTAAGITGLVLSILVLAAAAALLHYARARRKPGGLSATGTSSHSPSECQEPSSSRPSRIDPQEPTHSKPLAPMELEPMYSNVNPGDSNPIYSQIWSIQHTKENSANCPMMHQEHEELTVLYSELKKTHPDDSAGEASSRGRAHEEDDEENYENVPRVLLASDH
+>DECOY_sp|Q96P31|FCRL3_HUMAN Fc receptor-like protein 3 OS=Homo sapiens OX=9606 GN=FCRL3 PE=1 SV=1
+HDSALLVRPVNEYNEEDDEEHARGRSSAEGASDDPHTKKLESYLVTLEEHEQHMMPCNASNEKTHQISWIQSYIPNSDGPNVNSYMPELEMPALPKSHTPEQPDIRSPRSSSPEQCESPSHSSTGTASLGGPKRRARAYHLLAAAAALVLISLVLGTIGAATLGTRNRSTGTVNLTVVKSHQAGLGNDAECSYNGSHETTLSLNFSAGGGSHASINGLTDDEHYFWYLIPFSGRLSECHLELLDGVVAQAGPARLTLVPRSVPVTVRLSVGHSHQAGLGNDADCSYNGSHEATLSLNFSAGGGSPASSNGLTVDEHYFRYLIPPSGRLSECHLELLDGVVTHARPARFTLVPHSVPIRVTVRIWTSLIPSHVNDAACYYRGADSEKVTLVHLEALLSRQTKRGLSRVRGEKHWSFTVTGSGQAVSCILVMNEGEILQGGTPRIELNVNSVPVRQVRIQSRLSRKKISHTVTEVECWYSGSDETWMAPIQLRPSRSWGLGLTQSDRFLSFQLQVDPRQPSLQTECTLTMPSGEIPTSSSARLVPHLFLEQVQINLPKSTVEIDLIYFKRYATCHYKSNDRSVSNVTIKELNYSNPLQKGDKYYVKQHTNKNDKGQCRLIVNDGEFVPHLAQLILWDPSFEVHVADSLSSGRTKCQYNGPETIQIKDHKIKLLKEDHYWYTDGQALSHSISSCILAVKEGKFATSWPPNLLLVAKPAVGSQERGPTLILLLLWLLM
+>sp|P37268|FDFT_HUMAN Squalene synthase OS=Homo sapiens OX=9606 GN=FDFT1 PE=1 SV=1
+MEFVKCLGHPEEFYNLVRFRIGGKRKVMPKMDQDSLSSSLKTCYKYLNQTSRSFAAVIQALDGEMRNAVCIFYLVLRALDTLEDDMTISVEKKVPLLHNFHSFLYQPDWRFMESKEKDRQVLEDFPTISLEFRNLAEKYQTVIADICRRMGIGMAEFLDKHVTSEQEWDKYCHYVAGLVGIGLSRLFSASEFEDPLVGEDTERANSMGLFLQKTNIIRDYLEDQQGGREFWPQEVWSRYVKKLGDFAKPENIDLAVQCLNELITNALHHIPDVITYLSRLRNQSVFNFCAIPQVMAIATLAACYNNQQVFKGAVKIRKGQAVTLMMDATNMPAVKAIIYQYMEEIYHRIPDSDPSSSKTRQIISTIRTQNLPNCQLISRSHYSPIYLSFVMLLAALSWQYLTTLSQVTEDYVQTGEH
+>DECOY_sp|P37268|FDFT_HUMAN Squalene synthase OS=Homo sapiens OX=9606 GN=FDFT1 PE=1 SV=1
+HEGTQVYDETVQSLTTLYQWSLAALLMVFSLYIPSYHSRSILQCNPLNQTRITSIIQRTKSSSPDSDPIRHYIEEMYQYIIAKVAPMNTADMMLTVAQGKRIKVAGKFVQQNNYCAALTAIAMVQPIACFNFVSQNRLRSLYTIVDPIHHLANTILENLCQVALDINEPKAFDGLKKVYRSWVEQPWFERGGQQDELYDRIINTKQLFLGMSNARETDEGVLPDEFESASFLRSLGIGVLGAVYHCYKDWEQESTVHKDLFEAMGIGMRRCIDAIVTQYKEALNRFELSITPFDELVQRDKEKSEMFRWDPQYLFSHFNHLLPVKKEVSITMDDELTDLARLVLYFICVANRMEGDLAQIVAAFSRSTQNLYKYCTKLSSSLSDQDMKPMVKRKGGIRFRVLNYFEEPHGLCKVFEM
+>sp|Q8NA97|FEAS1_HUMAN Putative uncharacterized protein FER1L6-AS1 OS=Homo sapiens OX=9606 GN=FER1L6-AS1 PE=2 SV=1
+MDILPYLHMSHGKCPLLVRGKGEMEGEALLSCLAMNSLGEQEACLDLGSKTPSLEISSNNQERPTNREETGIICPERLFIYSSKDSSKRLPGGLCIKNKTTCVPVQLHPSSFPKCQEAIVSPQARVKLLNKIKMDTAL
+>DECOY_sp|Q8NA97|FEAS1_HUMAN Putative uncharacterized protein FER1L6-AS1 OS=Homo sapiens OX=9606 GN=FER1L6-AS1 PE=2 SV=1
+LATDMKIKNLLKVRAQPSVIAEQCKPFSSPHLQVPVCTTKNKICLGGPLRKSSDKSSYIFLREPCIIGTEERNTPREQNNSSIELSPTKSGLDLCAEQEGLSNMALCSLLAEGEMEGKGRVLLPCKGHSMHLYPLIDM
+>sp|Q9UK73|FEM1B_HUMAN Protein fem-1 homolog B OS=Homo sapiens OX=9606 GN=FEM1B PE=1 SV=1
+MEGLAGYVYKAASEGKVLTLAALLLNRSESDIRYLLGYVSQQGGQRSTPLIIAARNGHAKVVRLLLEHYRVQTQQTGTVRFDGYVIDGATALWCAAGAGHFEVVKLLVSHGANVNHTTVTNSTPLRAACFDGRLDIVKYLVENNANISIANKYDNTCLMIAAYKGHTDVVRYLLEQRADPNAKAHCGATALHFAAEAGHIDIVKELIKWRAAIVVNGHGMTPLKVAAESCKADVVELLLSHADCDRRSRIEALELLGASFANDRENYDIIKTYHYLYLAMLERFQDGDNILEKEVLPPIHAYGNRTECRNPQELESIRQDRDALHMEGLIVRERILGADNIDVSHPIIYRGAVYADNMEFEQCIKLWLHALHLRQKGNRNTHKDLLRFAQVFSQMIHLNETVKAPDIECVLRCSVLEIEQSMNRVKNISDADVHNAMDNYECNLYTFLYLVCISTKTQCSEEDQCKINKQIYNLIHLDPRTREGFTLLHLAVNSNTPVDDFHTNDVCSFPNALVTKLLLDCGAEVNAVDNEGNSALHIIVQYNRPISDFLTLHSIIISLVEAGAHTDMTNKQNKTPLDKSTTGVSEILLKTQMKMSLKCLAARAVRANDINYQDQIPRTLEEFVGFH
+>DECOY_sp|Q9UK73|FEM1B_HUMAN Protein fem-1 homolog B OS=Homo sapiens OX=9606 GN=FEM1B PE=1 SV=1
+HFGVFEELTRPIQDQYNIDNARVARAALCKLSMKMQTKLLIESVGTTSKDLPTKNQKNTMDTHAGAEVLSIIISHLTLFDSIPRNYQVIIHLASNGENDVANVEAGCDLLLKTVLANPFSCVDNTHFDDVPTNSNVALHLLTFGERTRPDLHILNYIQKNIKCQDEESCQTKTSICVLYLFTYLNCEYNDMANHVDADSINKVRNMSQEIELVSCRLVCEIDPAKVTENLHIMQSFVQAFRLLDKHTNRNGKQRLHLAHLWLKICQEFEMNDAYVAGRYIIPHSVDINDAGLIRERVILGEMHLADRDQRISELEQPNRCETRNGYAHIPPLVEKELINDGDQFRELMALYLYHYTKIIDYNERDNAFSAGLLELAEIRSRRDCDAHSLLLEVVDAKCSEAAVKLPTMGHGNVVIAARWKILEKVIDIHGAEAAFHLATAGCHAKANPDARQELLYRVVDTHGKYAAIMLCTNDYKNAISINANNEVLYKVIDLRGDFCAARLPTSNTVTTHNVNAGHSVLLKVVEFHGAGAACWLATAGDIVYGDFRVTGTQQTQVRYHELLLRVVKAHGNRAAIILPTSRQGGQQSVYGLLYRIDSESRNLLLAALTLVKGESAAKYVYGALGEM
+>sp|Q9BQL6|FERM1_HUMAN Fermitin family homolog 1 OS=Homo sapiens OX=9606 GN=FERMT1 PE=1 SV=1
+MLSSTDFTFASWELVVRVDHPNEEQQKDVTLRVSGDLHVGGVMLKLVEQINISQDWSDFALWWEQKHCWLLKTHWTLDKYGVQADAKLLFTPQHKMLRLRLPNLKMVRLRVSFSAVVFKAVSDICKILNIRRSEELSLLKPSGDYFKKKKKKDKNNKEPIIEDILNLESSPTASGSSVSPGLYSKTMTPIYDPINGTPASSTMTWFSDSPLTEQNCSILAFSQPPQSPEALADMYQPRSLVDKAKLNAGWLDSSRSLMEQGIQEDEQLLLRFKYYSFFDLNPKYDAVRINQLYEQARWAILLEEIDCTEEEMLIFAALQYHISKLSLSAETQDFAGESEVDEIEAALSNLEVTLEGGKADSLLEDITDIPKLADNLKLFRPKKLLPKAFKQYWFIFKDTSIAYFKNKELEQGEPLEKLNLRGCEVVPDVNVAGRKFGIKLLIPVADGMNEMYLRCDHENQYAQWMAACMLASKGKTMADSSYQPEVLNILSFLRMKNRNSASQVASSLENMDMNPECFVSPRCAKRHKSKQLAARILEAHQNVAQMPLVEAKLRFIQAWQSLPEFGLTYYLVRFKGSKKDDILGVSYNRLIKIDAATGIPVTTWRFTNIKQWNVNWETRQVVIEFDQNVFTAFTCLSADCKIVHEYIGGYIFLSTRSKDQNETLDEDLFHKLTGGQD
+>DECOY_sp|Q9BQL6|FERM1_HUMAN Fermitin family homolog 1 OS=Homo sapiens OX=9606 GN=FERMT1 PE=1 SV=1
+DQGGTLKHFLDEDLTENQDKSRTSLFIYGGIYEHVIKCDASLCTFATFVNQDFEIVVQRTEWNVNWQKINTFRWTTVPIGTAADIKILRNYSVGLIDDKKSGKFRVLYYTLGFEPLSQWAQIFRLKAEVLPMQAVNQHAELIRAALQKSKHRKACRPSVFCEPNMDMNELSSAVQSASNRNKMRLFSLINLVEPQYSSDAMTKGKSALMCAAMWQAYQNEHDCRLYMENMGDAVPILLKIGFKRGAVNVDPVVECGRLNLKELPEGQELEKNKFYAISTDKFIFWYQKFAKPLLKKPRFLKLNDALKPIDTIDELLSDAKGGELTVELNSLAAEIEDVESEGAFDQTEASLSLKSIHYQLAAFILMEEETCDIEELLIAWRAQEYLQNIRVADYKPNLDFFSYYKFRLLLQEDEQIGQEMLSRSSDLWGANLKAKDVLSRPQYMDALAEPSQPPQSFALISCNQETLPSDSFWTMTSSAPTGNIPDYIPTMTKSYLGPSVSSGSATPSSELNLIDEIIPEKNNKDKKKKKKFYDGSPKLLSLEESRRINLIKCIDSVAKFVVASFSVRLRVMKLNPLRLRLMKHQPTFLLKADAQVGYKDLTWHTKLLWCHKQEWWLAFDSWDQSINIQEVLKLMVGGVHLDGSVRLTVDKQQEENPHDVRVVLEWSAFTFDTSSLM
+>sp|P02771|FETA_HUMAN Alpha-fetoprotein OS=Homo sapiens OX=9606 GN=AFP PE=1 SV=1
+MKWVESIFLIFLLNFTESRTLHRNEYGIASILDSYQCTAEISLADLATIFFAQFVQEATYKEVSKMVKDALTAIEKPTGDEQSSGCLENQLPAFLEELCHEKEILEKYGHSDCCSQSEEGRHNCFLAHKKPTPASIPLFQVPEPVTSCEAYEEDRETFMNKFIYEIARRHPFLYAPTILLWAARYDKIIPSCCKAENAVECFQTKAATVTKELRESSLLNQHACAVMKNFGTRTFQAITVTKLSQKFTKVNFTEIQKLVLDVAHVHEHCCRGDVLDCLQDGEKIMSYICSQQDTLSNKITECCKLTTLERGQCIIHAENDEKPEGLSPNLNRFLGDRDFNQFSSGEKNIFLASFVHEYSRRHPQLAVSVILRVAKGYQELLEKCFQTENPLECQDKGEEELQKYIQESQALAKRSCGLFQKLGEYYLQNAFLVAYTKKAPQLTSSELMAITRKMAATAATCCQLSEDKLLACGEGAADIIIGHLCIRHEMTPVNPGVGQCCTSSYANRRPCFSSLVVDETYVPPAFSDDKFIFHKDLCQAQGVALQTMKQEFLINLVKQKPQITEEQLEAVIADFSGLLEKCCQGQEQEVCFAEEGQKLISKTRAALGV
+>DECOY_sp|P02771|FETA_HUMAN Alpha-fetoprotein OS=Homo sapiens OX=9606 GN=AFP PE=1 SV=1
+VGLAARTKSILKQGEEAFCVEQEQGQCCKELLGSFDAIVAELQEETIQPKQKVLNILFEQKMTQLAVGQAQCLDKHFIFKDDSFAPPVYTEDVVLSSFCPRRNAYSSTCCQGVGPNVPTMEHRICLHGIIIDAAGEGCALLKDESLQCCTAATAAMKRTIAMLESSTLQPAKKTYAVLFANQLYYEGLKQFLGCSRKALAQSEQIYKQLEEEGKDQCELPNETQFCKELLEQYGKAVRLIVSVALQPHRRSYEHVFSALFINKEGSSFQNFDRDGLFRNLNPSLGEPKEDNEAHIICQGRELTTLKCCETIKNSLTDQQSCIYSMIKEGDQLCDLVDGRCCHEHVHAVDLVLKQIETFNVKTFKQSLKTVTIAQFTRTGFNKMVACAHQNLLSSERLEKTVTAAKTQFCEVANEAKCCSPIIKDYRAAWLLITPAYLFPHRRAIEYIFKNMFTERDEEYAECSTVPEPVQFLPISAPTPKKHALFCNHRGEESQSCCDSHGYKELIEKEHCLEELFAPLQNELCGSSQEDGTPKEIATLADKVMKSVEKYTAEQVFQAFFITALDALSIEATCQYSDLISAIGYENRHLTRSETFNLLFILFISEVWKM
+>sp|Q99689|FEZ1_HUMAN Fasciculation and elongation protein zeta-1 OS=Homo sapiens OX=9606 GN=FEZ1 PE=1 SV=1
+MEAPLVSLDEEFEDLRPSCSEDPEEKPQCFYGSSPHHLEDPSLSELENFSSEIISFKSMEDLVNEFDEKLNVCFRNYNAKTENLAPVKNQLQIQEEEETLQDEEVWDALTDNYIPSLSEDWRDPNIEALNGNCSDTEIHEKEEEEFNEKSENDSGINEEPLLTADQVIEEIEEMMQNSPDPEEEEEVLEEEDGGETSSQADSVLLQEMQALTQTFNNNWSYEGLRHMSGSELTELLDQVEGAIRDFSEELVQQLARRDELEFEKEVKNSFITVLIEVQNKQKEQRELMKKRRKEKGLSLQSSRIEKGNQMPLKRFSMEGISNILQSGIRQTFGSSGTDKQYLNTVIPYEKKASPPSVEDLQMLTNILFAMKEDNEKVPTLLTDYILKVLCPT
+>DECOY_sp|Q99689|FEZ1_HUMAN Fasciculation and elongation protein zeta-1 OS=Homo sapiens OX=9606 GN=FEZ1 PE=1 SV=1
+TPCLVKLIYDTLLTPVKENDEKMAFLINTLMQLDEVSPPSAKKEYPIVTNLYQKDTGSSGFTQRIGSQLINSIGEMSFRKLPMQNGKEIRSSQLSLGKEKRRKKMLERQEKQKNQVEILVTIFSNKVEKEFELEDRRALQQVLEESFDRIAGEVQDLLETLESGSMHRLGEYSWNNNFTQTLAQMEQLLVSDAQSSTEGGDEEELVEEEEEPDPSNQMMEEIEEIVQDATLLPEENIGSDNESKENFEEEEKEHIETDSCNGNLAEINPDRWDESLSPIYNDTLADWVEEDQLTEEEEQIQLQNKVPALNETKANYNRFCVNLKEDFENVLDEMSKFSIIESSFNELESLSPDELHHPSSGYFCQPKEEPDESCSPRLDEFEEDLSVLPAEM
+>sp|Q96M96|FGD4_HUMAN FYVE, RhoGEF and PH domain-containing protein 4 OS=Homo sapiens OX=9606 GN=FGD4 PE=1 SV=2
+MEEIKPASASCVSKEKPSKVSDLISRFEGGSSLSNYSDLKKESAVNLNAPRTPGRHGLTTTPQQKLLSQHLPQRQGNDTDKTQGAQTCVANGVMAAQNQMECEEEKAATLSSDTSIQASEPLLDTHIVNGERDETATAPASPTTDSCDGNASDSSYRTPGIGPVLPLEERGAETETKVQERENGESPLELEQLDQHHEMKETNEQKLHKIANELLLTERAYVNRLDLLDQVFYCKLLEEANRGSFPAEMVNKIFSNISSINAFHSKFLLPELEKRMQEWETTPRIGDILQKLAPFLKMYGEYVKGFDNAMELVKNMTERIPQFKSVVEEIQKQKICGSLTLQHHMLEPVQRIPRYEMLLKDYLRKLPPDSLDWNDAKKSLEIISTAASHSNSAIRKMENLKKLLEIYEMLGEEEDIVNPSNELIKEGQILKLAARNTSAQERYLFLFNNMLLYCVPKFSLVGSKFTVRTRVGIDGMKIVETQNEEYPHTFQVSGKERTLELQASSAQDKEEWIKALQETIDAFHQRHETFRNAIAKDNDIHSEVSTAELGKRAPRWIRDNEVTMCMKCKEPFNALTRRRHHCRACGYVVCWKCSDYKAQLEYDGGKLSKVCKDCYQIISGFTDSEEKKRKGILEIESAEVSGNSVVCSFLQYMEKSKPWQKAWCVIPKQDPLVLYMYGAPQDVRAQATIPLLGYVVDEMPRSADLPHSFKLTQSKSVHSFAADSEELKQKWLKVILLAVTGETPGGPNEHPATLDDHPEPKKKSEC
+>DECOY_sp|Q96M96|FGD4_HUMAN FYVE, RhoGEF and PH domain-containing protein 4 OS=Homo sapiens OX=9606 GN=FGD4 PE=1 SV=2
+CESKKKPEPHDDLTAPHENPGGPTEGTVALLIVKLWKQKLEESDAAFSHVSKSQTLKFSHPLDASRPMEDVVYGLLPITAQARVDQPAGYMYLVLPDQKPIVCWAKQWPKSKEMYQLFSCVVSNGSVEASEIELIGKRKKEESDTFGSIIQYCDKCVKSLKGGDYELQAKYDSCKWCVVYGCARCHHRRRTLANFPEKCKMCMTVENDRIWRPARKGLEATSVESHIDNDKAIANRFTEHRQHFADITEQLAKIWEEKDQASSAQLELTREKGSVQFTHPYEENQTEVIKMGDIGVRTRVTFKSGVLSFKPVCYLLMNNFLFLYREQASTNRAALKLIQGEKILENSPNVIDEEEGLMEYIELLKKLNEMKRIASNSHSAATSIIELSKKADNWDLSDPPLKRLYDKLLMEYRPIRQVPELMHHQLTLSGCIKQKQIEEVVSKFQPIRETMNKVLEMANDFGKVYEGYMKLFPALKQLIDGIRPTTEWEQMRKELEPLLFKSHFANISSINSFIKNVMEAPFSGRNAEELLKCYFVQDLLDLRNVYARETLLLENAIKHLKQENTEKMEHHQDLQELELPSEGNEREQVKTETEAGREELPLVPGIGPTRYSSDSANGDCSDTTPSAPATATEDREGNVIHTDLLPESAQISTDSSLTAAKEEECEMQNQAAMVGNAVCTQAGQTKDTDNGQRQPLHQSLLKQQPTTTLGHRGPTRPANLNVASEKKLDSYNSLSSGGEFRSILDSVKSPKEKSVCSASAPKIEEM
+>sp|Q92913|FGF13_HUMAN Fibroblast growth factor 13 OS=Homo sapiens OX=9606 GN=FGF13 PE=1 SV=1
+MAAAIASSLIRQKRQAREREKSNACKCVSSPSKGKTSCDKNKLNVFSRVKLFGSKKRRRRRPEPQLKGIVTKLYSRQGYHLQLQADGTIDGTKDEDSTYTLFNLIPVGLRVVAIQGVQTKLYLAMNSEGYLYTSELFTPECKFKESVFENYYVTYSSMIYRQQQSGRGWYLGLNKEGEIMKGNHVKKNKPAAHFLPKPLKVAMYKEPSLHDLTEFSRSGSGTPTKSRSVSGVLNGGKSMSHNEST
+>DECOY_sp|Q92913|FGF13_HUMAN Fibroblast growth factor 13 OS=Homo sapiens OX=9606 GN=FGF13 PE=1 SV=1
+TSENHSMSKGGNLVGSVSRSKTPTGSGSRSFETLDHLSPEKYMAVKLPKPLFHAAPKNKKVHNGKMIEGEKNLGLYWGRGSQQQRYIMSSYTVYYNEFVSEKFKCEPTFLESTYLYGESNMALYLKTQVGQIAVVRLGVPILNFLTYTSDEDKTGDITGDAQLQLHYGQRSYLKTVIGKLQPEPRRRRRKKSGFLKVRSFVNLKNKDCSTKGKSPSSVCKCANSKERERAQRKQRILSSAIAAAM
+>sp|Q9NSA1|FGF21_HUMAN Fibroblast growth factor 21 OS=Homo sapiens OX=9606 GN=FGF21 PE=1 SV=1
+MDSDETGFEHSGLWVSVLAGLLLGACQAHPIPDSSPLLQFGGQVRQRYLYTDDAQQTEAHLEIREDGTVGGAADQSPESLLQLKALKPGVIQILGVKTSRFLCQRPDGALYGSLHFDPEACSFRELLLEDGYNVYQSEAHGLPLHLPGNKSPHRDPAPRGPARFLPLPGLPPALPEPPGILAPQPPDVGSSDPLSMVGPSQGRSPSYAS
+>DECOY_sp|Q9NSA1|FGF21_HUMAN Fibroblast growth factor 21 OS=Homo sapiens OX=9606 GN=FGF21 PE=1 SV=1
+SAYSPSRGQSPGVMSLPDSSGVDPPQPALIGPPEPLAPPLGPLPLFRAPGRPAPDRHPSKNGPLHLPLGHAESQYVNYGDELLLERFSCAEPDFHLSGYLAGDPRQCLFRSTKVGLIQIVGPKLAKLQLLSEPSQDAAGGVTGDERIELHAETQQADDTYLYRQRVQGGFQLLPSSDPIPHAQCAGLLLGALVSVWLGSHEFGTEDSDM
+>sp|B1AJZ9|FHAD1_HUMAN Forkhead-associated domain-containing protein 1 OS=Homo sapiens OX=9606 GN=FHAD1 PE=2 SV=2
+MKAYLKSAEGFFVLNKSTTIGRHENSDLVLQSPDIDNHHALIEYNEAECSFVLQDFNSRNGTFVNECHIQNVAVKLIPGDILRFGSAGLTYELVIENPPPVSFPWMRGPAPWPGPQPPRATQQPNQAPPPSHIPFHQGVQPAPMQRSWSQAFPRPTVVLPASHRRPVSANKEMFSFVVDDARKPPVIKQVWTNAMKLSEKSVAEGIPGAVPPAEIYVEEDLAQQDKDEIILLLGKEVSRLSDYEIESKYKDVIIANLQNEVAELSQKVSETTTSRQNEKEISQKCQVLDEDIDAKQKEIQSLKSQISALQKGYSKVLCQTLSERNSEITSLKNEGENLKRDNAITSGMVSSLQKDILAKDEQVQQLKEEVSHLKSQNKDKDHQLEALGSRCSVLKEELKQEDAHRELREAQEKELKLCKTQIQDMEKEMKKLRAELRKSCTEQSVISRTLREKSKVEEKLQEDSRRKLLQLQEMGNRESVIKINLERAVGQLEHFRSQVIKATYGRAKPFRDKPVTDQQLIEKITQVTEDNINFQQKKWTLQKETQLSNSKQEETTENIEKLRTSLDSCQACMKISCCSHDLKKEVDLLQHLQVSPPVSGLQKVVLDVLRHALSWLEEVEQLLRDLGILPSSPNKDQVQQFSGNSAVFTAGKAAGASGREGEAERGEARARGEAQSQNQATDGREGGKALEEYITQERNRAKETLEEERKRMQELESLLAQQKKALAKSITQEKNRVKEALEEEQTRVQELEERLARQKEVLESSIAHEKRKAKEALESEKRKVQDLENHLTQQKEISESNIAYEKRKAKEAMEKEKKKVQDLENRLTKQKEELELKEQKEDVLNNKLSDALAMVEETQKTKATESLKAESLALKLNETLAELETTKTKMIMVEERLILQQKMVKALQDEQESQRHGFEEEIMEYKEQIKQHAQTIVSLEEKLQKVTQHHKKIEGEIATLKDNDPAPKEERPQDPLVAPMTESSAKDMAYEHLIDDLLAAQKEILSQQEVIMKLRKDLTEAHSRMSDLRGELNEKQKMELEQNVVLVQQQSKELSVLKEKMAQMSSLVEKKDRELKALEEALRASQEKHRLQLNTEKEQKPRKKTQTCDTSVQIEPVHTEAFSSSQEQQSFSDLGVRCKGSRHEEVIQRQKKALSELRARIKELEKARSPDHKDHQNESFLDLKNLRMENNVQKILLDAKPDLPTLSRIEILAPQNGLCNARFGSAMEKSGKMDVAEALELSEKLYLDMSKTLGSLMNIKNMSGHVSMKYLSRQEREKVNQLRQRDLDLVFDKITQLKNQLGRKEELLRGYEKDVEQLRRSKVSIEMYQSQVAKLEDDIYKEAEEKALLKEALERMEHQLCQEKRINRAIRQQKVGTRKASLKMDQEREMLRKETSSKSSQSLLHSKPSGKY
+>DECOY_sp|B1AJZ9|FHAD1_HUMAN Forkhead-associated domain-containing protein 1 OS=Homo sapiens OX=9606 GN=FHAD1 PE=2 SV=2
+YKGSPKSHLLSQSSKSSTEKRLMEREQDMKLSAKRTGVKQQRIARNIRKEQCLQHEMRELAEKLLAKEEAEKYIDDELKAVQSQYMEISVKSRRLQEVDKEYGRLLEEKRGLQNKLQTIKDFVLDLDRQRLQNVKEREQRSLYKMSVHGSMNKINMLSGLTKSMDLYLKESLELAEAVDMKGSKEMASGFRANCLGNQPALIEIRSLTPLDPKADLLIKQVNNEMRLNKLDLFSENQHDKHDPSRAKELEKIRARLESLAKKQRQIVEEHRSGKCRVGLDSFSQQEQSSSFAETHVPEIQVSTDCTQTKKRPKQEKETNLQLRHKEQSARLAEELAKLERDKKEVLSSMQAMKEKLVSLEKSQQQVLVVNQELEMKQKENLEGRLDSMRSHAETLDKRLKMIVEQQSLIEKQAALLDDILHEYAMDKASSETMPAVLPDQPREEKPAPDNDKLTAIEGEIKKHHQTVKQLKEELSVITQAHQKIQEKYEMIEEEFGHRQSEQEDQLAKVMKQQLILREEVMIMKTKTTELEALTENLKLALSEAKLSETAKTKQTEEVMALADSLKNNLVDEKQEKLELEEKQKTLRNELDQVKKKEKEMAEKAKRKEYAINSESIEKQQTLHNELDQVKRKESELAEKAKRKEHAISSELVEKQRALREELEQVRTQEEELAEKVRNKEQTISKALAKKQQALLSELEQMRKREEELTEKARNREQTIYEELAKGGERGDTAQNQSQAEGRARAEGREAEGERGSAGAAKGATFVASNGSFQQVQDKNPSSPLIGLDRLLQEVEELWSLAHRLVDLVVKQLGSVPPSVQLHQLLDVEKKLDHSCCSIKMCAQCSDLSTRLKEINETTEEQKSNSLQTEKQLTWKKQQFNINDETVQTIKEILQQDTVPKDRFPKARGYTAKIVQSRFHELQGVARELNIKIVSERNGMEQLQLLKRRSDEQLKEEVKSKERLTRSIVSQETCSKRLEARLKKMEKEMDQIQTKCLKLEKEQAERLERHADEQKLEEKLVSCRSGLAELQHDKDKNQSKLHSVEEKLQQVQEDKALIDKQLSSVMGSTIANDRKLNEGENKLSTIESNRESLTQCLVKSYGKQLASIQSKLSQIEKQKADIDEDLVQCKQSIEKENQRSTTTESVKQSLEAVENQLNAIIVDKYKSEIEYDSLRSVEKGLLLIIEDKDQQALDEEVYIEAPPVAGPIGEAVSKESLKMANTWVQKIVPPKRADDVVFSFMEKNASVPRRHSAPLVVTPRPFAQSWSRQMPAPQVGQHFPIHSPPPAQNPQQTARPPQPGPWPAPGRMWPFSVPPPNEIVLEYTLGASGFRLIDGPILKVAVNQIHCENVFTGNRSNFDQLVFSCEAENYEILAHHNDIDPSQLVLDSNEHRGITTSKNLVFFGEASKLYAKM
+>sp|P49789|FHIT_HUMAN Bis(5'-adenosyl)-triphosphatase OS=Homo sapiens OX=9606 GN=FHIT PE=1 SV=3
+MSFRFGQHLIKPSVVFLKTELSFALVNRKPVVPGHVLVCPLRPVERFHDLRPDEVADLFQTTQRVGTVVEKHFHGTSLTFSMQDGPEAGQTVKHVHVHVLPRKAGDFHRNDSIYEELQKHDKEDFPASWRSEEEMAAEAAALRVYFQ
+>DECOY_sp|P49789|FHIT_HUMAN Bis(5'-adenosyl)-triphosphatase OS=Homo sapiens OX=9606 GN=FHIT PE=1 SV=3
+QFYVRLAAAEAAMEEESRWSAPFDEKDHKQLEEYISDNRHFDGAKRPLVHVHVHKVTQGAEPGDQMSFTLSTGHFHKEVVTGVRQTTQFLDAVEDPRLDHFREVPRLPCVLVHGPVVPKRNVLAFSLETKLFVVSPKILHQGFRFSM
+>sp|Q13643|FHL3_HUMAN Four and a half LIM domains protein 3 OS=Homo sapiens OX=9606 GN=FHL3 PE=1 SV=4
+MSESFDCAKCNESLYGRKYIQTDSGPYCVPCYDNTFANTCAECQQLIGHDSRELFYEDRHFHEGCFRCCRCQRSLADEPFTCQDSELLCNDCYCSAFSSQCSACGETVMPGSRKLEYGGQTWHEHCFLCSGCEQPLGSRSFVPDKGAHYCVPCYENKFAPRCARCSKTLTQGGVTYRDQPWHRECLVCTGCQTPLAGQQFTSRDEDPYCVACFGELFAPKCSSCKRPIVGLGGGKYVSFEDRHWHHNCFSCARCSTSLVGQGFVPDGDQVLCQGCSQAGP
+>DECOY_sp|Q13643|FHL3_HUMAN Four and a half LIM domains protein 3 OS=Homo sapiens OX=9606 GN=FHL3 PE=1 SV=4
+PGAQSCGQCLVQDGDPVFGQGVLSTSCRACSFCNHHWHRDEFSVYKGGGLGVIPRKCSSCKPAFLEGFCAVCYPDEDRSTFQQGALPTQCGTCVLCERHWPQDRYTVGGQTLTKSCRACRPAFKNEYCPVCYHAGKDPVFSRSGLPQECGSCLFCHEHWTQGGYELKRSGPMVTEGCASCQSSFASCYCDNCLLESDQCTFPEDALSRQCRCCRFCGEHFHRDEYFLERSDHGILQQCEACTNAFTNDYCPVCYPGSDTQIYKRGYLSENCKACDFSESM
+>sp|P36980|FHR2_HUMAN Complement factor H-related protein 2 OS=Homo sapiens OX=9606 GN=CFHR2 PE=1 SV=1
+MWLLVSVILISRISSVGGEAMFCDFPKINHGILYDEEKYKPFSQVPTGEVFYYSCEYNFVSPSKSFWTRITCAEEGWSPTPKCLRLCFFPFVENGHSESSGQTHLEGDTVQIICNTGYRLQNNENNISCVERGWSTPPKCRSTISAEKCGPPPPIDNGDITSFLLSVYAPGSSVEYQCQNLYQLEGNNQITCRNGQWSEPPKCLDPCVISQEIMEKYNIKLKWTNQQKLYSRTGDIVEFVCKSGYHPTKSHSFRAMCQNGKLVYPSCEEK
+>DECOY_sp|P36980|FHR2_HUMAN Complement factor H-related protein 2 OS=Homo sapiens OX=9606 GN=CFHR2 PE=1 SV=1
+KEECSPYVLKGNQCMARFSHSKTPHYGSKCVFEVIDGTRSYLKQQNTWKLKINYKEMIEQSIVCPDLCKPPESWQGNRCTIQNNGELQYLNQCQYEVSSGPAYVSLLFSTIDGNDIPPPPGCKEASITSRCKPPTSWGREVCSINNENNQLRYGTNCIIQVTDGELHTQGSSESHGNEVFPFFCLRLCKPTPSWGEEACTIRTWFSKSPSVFNYECSYYFVEGTPVQSFPKYKEEDYLIGHNIKPFDCFMAEGGVSSIRSILIVSVLLWM
+>sp|P02679|FIBG_HUMAN Fibrinogen gamma chain OS=Homo sapiens OX=9606 GN=FGG PE=1 SV=3
+MSWSLHPRNLILYFYALLFLSSTCVAYVATRDNCCILDERFGSYCPTTCGIADFLSTYQTKVDKDLQSLEDILHQVENKTSEVKQLIKAIQLTYNPDESSKPNMIDAATLKSRKMLEEIMKYEASILTHDSSIRYLQEIYNSNNQKIVNLKEKVAQLEAQCQEPCKDTVQIHDITGKDCQDIANKGAKQSGLYFIKPLKANQQFLVYCEIDGSGNGWTVFQKRLDGSVDFKKNWIQYKEGFGHLSPTGTTEFWLGNEKIHLISTQSAIPYALRVELEDWNGRTSTADYAMFKVGPEADKYRLTYAYFAGGDAGDAFDGFDFGDDPSDKFFTSHNGMQFSTWDNDNDKFEGNCAEQDGSGWWMNKCHAGHLNGVYYQGGTYSKASTPNGYDNGIIWATWKTRWYSMKKTTMKIIPFNRLTIGEGQQHHLGGAKQVRPEHPAETEYDSLYPEDDL
+>DECOY_sp|P02679|FIBG_HUMAN Fibrinogen gamma chain OS=Homo sapiens OX=9606 GN=FGG PE=1 SV=3
+LDDEPYLSDYETEAPHEPRVQKAGGLHHQQGEGITLRNFPIIKMTTKKMSYWRTKWTAWIIGNDYGNPTSAKSYTGGQYYVGNLHGAHCKNMWWGSGDQEACNGEFKDNDNDWTSFQMGNHSTFFKDSPDDGFDFGDFADGADGGAFYAYTLRYKDAEPGVKFMAYDATSTRGNWDELEVRLAYPIASQTSILHIKENGLWFETTGTPSLHGFGEKYQIWNKKFDVSGDLRKQFVTWGNGSGDIECYVLFQQNAKLPKIFYLGSQKAGKNAIDQCDKGTIDHIQVTDKCPEQCQAELQAVKEKLNVIKQNNSNYIEQLYRISSDHTLISAEYKMIEELMKRSKLTAADIMNPKSSEDPNYTLQIAKILQKVESTKNEVQHLIDELSQLDKDVKTQYTSLFDAIGCTTPCYSGFREDLICCNDRTAVYAVCTSSLFLLAYFYLILNRPHLSWSM
+>sp|Q9BVA6|FICD_HUMAN Adenosine monophosphate-protein transferase FICD OS=Homo sapiens OX=9606 GN=FICD PE=1 SV=2
+MMLIPMASVMAVTEPKWVSVWSRFLWVTLLSMVLGSLLALLLPLGAVEEQCLAVLKGLYLLRSKPDRAQHAATKCTSPSTELSITSRGATLLVAKTKASPAGKLEARAALNQALEMKRQGKREKAQKLFMHALKMDPDFVDALTEFGIFSEEDKDIIQADYLYTRALTISPYHEKALVNRDRTLPLVEEIDQRYFSIIDSKVKKVMSIPKGNSALRRVMEETYYHHIYHTVAIEGNTLTLSEIRHILETRYAVPGKSLEEQNEVIGMHAAMKYINTTLVSRIGSVTISDVLEIHRRVLGYVDPVEAGRFRTTQVLVGHHIPPHPQDVEKQMQEFVQWLNSEEAMNLHPVEFAALAHYKLVYIHPFIDGNGRTSRLLMNLILMQAGYPPITIRKEQRSDYYHVLEAANEGDVRPFIRFIAKCTETTLDTLLFATTEYSVALPEAQPNHSGFKETLPVKP
+>DECOY_sp|Q9BVA6|FICD_HUMAN Adenosine monophosphate-protein transferase FICD OS=Homo sapiens OX=9606 GN=FICD PE=1 SV=2
+PKVPLTEKFGSHNPQAEPLAVSYETTAFLLTDLTTETCKAIFRIFPRVDGENAAELVHYYDSRQEKRITIPPYGAQMLILNMLLRSTRGNGDIFPHIYVLKYHALAAFEVPHLNMAEESNLWQVFEQMQKEVDQPHPPIHHGVLVQTTRFRGAEVPDVYGLVRRHIELVDSITVSGIRSVLTTNIYKMAAHMGIVENQEELSKGPVAYRTELIHRIESLTLTNGEIAVTHYIHHYYTEEMVRRLASNGKPISMVKKVKSDIISFYRQDIEEVLPLTRDRNVLAKEHYPSITLARTYLYDAQIIDKDEESFIGFETLADVFDPDMKLAHMFLKQAKERKGQRKMELAQNLAARAELKGAPSAKTKAVLLTAGRSTISLETSPSTCKTAAHQARDPKSRLLYLGKLVALCQEEVAGLPLLLALLSGLVMSLLTVWLFRSWVSVWKPETVAMVSAMPILMM
+>sp|Q6QHK4|FIGLA_HUMAN Factor in the germline alpha OS=Homo sapiens OX=9606 GN=FIGLA PE=1 SV=2
+MDPAPGVLDPRAAPPALLGTPQAEVLEDVLREQFGPLPQLAAVCRLKRLPSGGYSSTENLQLVLERRRVANAKERERIKNLNRGFARLKALVPFLPQSRKPSKVDILKGATEYIQVLSDLLEGAKDSKKQDPDEQSYSNNSSESHTSSARQLSRNITQHISCAFGLKNEEEGPWADGGSGEPAHACRHSVMSTTEIISPTRSLDRFPEVELLSHRLPQV
+>DECOY_sp|Q6QHK4|FIGLA_HUMAN Factor in the germline alpha OS=Homo sapiens OX=9606 GN=FIGLA PE=1 SV=2
+VQPLRHSLLEVEPFRDLSRTPSIIETTSMVSHRCAHAPEGSGGDAWPGEEENKLGFACSIHQTINRSLQRASSTHSESSNNSYSQEDPDQKKSDKAGELLDSLVQIYETAGKLIDVKSPKRSQPLFPVLAKLRAFGRNLNKIREREKANAVRRRELVLQLNETSSYGGSPLRKLRCVAALQPLPGFQERLVDELVEAQPTGLLAPPAARPDLVGPAPDM
+>sp|P62942|FKB1A_HUMAN Peptidyl-prolyl cis-trans isomerase FKBP1A OS=Homo sapiens OX=9606 GN=FKBP1A PE=1 SV=2
+MGVQVETISPGDGRTFPKRGQTCVVHYTGMLEDGKKFDSSRDRNKPFKFMLGKQEVIRGWEEGVAQMSVGQRAKLTISPDYAYGATGHPGIIPPHATLVFDVELLKLE
+>DECOY_sp|P62942|FKB1A_HUMAN Peptidyl-prolyl cis-trans isomerase FKBP1A OS=Homo sapiens OX=9606 GN=FKBP1A PE=1 SV=2
+ELKLLEVDFVLTAHPPIIGPHGTAGYAYDPSITLKARQGVSMQAVGEEWGRIVEQKGLMFKFPKNRDRSSDFKKGDELMGTYHVVCTQGRKPFTRGDGPSITEVQVGM
+>sp|P26885|FKBP2_HUMAN Peptidyl-prolyl cis-trans isomerase FKBP2 OS=Homo sapiens OX=9606 GN=FKBP2 PE=1 SV=2
+MRLSWFRVLTVLSICLSAVATATGAEGKRKLQIGVKKRVDHCPIKSRKGDVLHMHYTGKLEDGTEFDSSLPQNQPFVFSLGTGQVIKGWDQGLLGMCEGEKRKLVIPSELGYGERGAPPKIPGGATLVFEVELLKIERRTEL
+>DECOY_sp|P26885|FKBP2_HUMAN Peptidyl-prolyl cis-trans isomerase FKBP2 OS=Homo sapiens OX=9606 GN=FKBP2 PE=1 SV=2
+LETRREIKLLEVEFVLTAGGPIKPPAGREGYGLESPIVLKRKEGECMGLLGQDWGKIVQGTGLSFVFPQNQPLSSDFETGDELKGTYHMHLVDGKRSKIPCHDVRKKVGIQLKRKGEAGTATAVASLCISLVTLVRFWSLRM
+>sp|Q7L8L6|FAKD5_HUMAN FAST kinase domain-containing protein 5, mitochondrial OS=Homo sapiens OX=9606 GN=FASTKD5 PE=1 SV=1
+MAATLKSLKLVRYRAFCSPSAFGAVRSVSYWNVSSTQHGGQDPPEHISLCHSAKKVKNICSTFSSRRILTTSSAHPGLEFSKTSSSKASTLQLGSPRATGVDEEDVEVFDSFENMRVFLQLRPEYRVHSYNASETSQLLSVSEGELILHKVRVNQNNLQAQVIVDYLCKLSSLPAEQHPVLLGSTSFALLCQLSVKKIQLFDTQDLINVLKAFVILGIPHSHSMLDVYETKCCHQVWEMNMDQLLLVADLWRYLGRKVPRFLNIFSSYLNLHWKDLSLSQLVHLIYVIGENRQVSQDLMQKLESLILKYIDLINLEEVGTICLGFFKSSTNLSEFVMRKIGDLACANIQHLSSRSLVNIVKMFRFTHVDHINFMKQIGEIAPQRIPSLGVQGVMHLTLYCSALRFLNEGVMNAVAASLPPRVAHCRSKDVAKILWSFGTLNYKPPNAEEFYSSLISEIHRKMPEFNQYPEHLPTCLLGLAFLEYFPVELIDFALSPGFVRLAQERTKFDLLKELYTLDGTVGIECPDYRGNRLSTHLQQEGSELLWYLAEKDMNSKPEFLETVFLLETMLGGPQYVKHHMILPHTRSSDLEVQLDVNLKPLPFNREATPAENVAKLRLEHVGVSLTDDLMNKLLKGKARGHFQGKTESEPGQQPMELENKAAVPLGGFLCNVADKSGAMEMAGLCPAACMQTPRMKLAVQFTNRNQYCYGSRDLLGLHNMKRRQLARLGYRVVELSYWEWLPLLKRTRLEKLAFLHEKVFTSAL
+>DECOY_sp|Q7L8L6|FAKD5_HUMAN FAST kinase domain-containing protein 5, mitochondrial OS=Homo sapiens OX=9606 GN=FASTKD5 PE=1 SV=1
+LASTFVKEHLFALKELRTRKLLPLWEWYSLEVVRYGLRALQRRKMNHLGLLDRSGYCYQNRNTFQVALKMRPTQMCAAPCLGAMEMAGSKDAVNCLFGGLPVAAKNELEMPQQGPESETKGQFHGRAKGKLLKNMLDDTLSVGVHELRLKAVNEAPTAERNFPLPKLNVDLQVELDSSRTHPLIMHHKVYQPGGLMTELLFVTELFEPKSNMDKEALYWLLESGEQQLHTSLRNGRYDPCEIGVTGDLTYLEKLLDFKTREQALRVFGPSLAFDILEVPFYELFALGLLCTPLHEPYQNFEPMKRHIESILSSYFEEANPPKYNLTGFSWLIKAVDKSRCHAVRPPLSAAVANMVGENLFRLASCYLTLHMVGQVGLSPIRQPAIEGIQKMFNIHDVHTFRFMKVINVLSRSSLHQINACALDGIKRMVFESLNTSSKFFGLCITGVEELNILDIYKLILSELKQMLDQSVQRNEGIVYILHVLQSLSLDKWHLNLYSSFINLFRPVKRGLYRWLDAVLLLQDMNMEWVQHCCKTEYVDLMSHSHPIGLIVFAKLVNILDQTDFLQIKKVSLQCLLAFSTSGLLVPHQEAPLSSLKCLYDVIVQAQLNNQNVRVKHLILEGESVSLLQSTESANYSHVRYEPRLQLFVRMNEFSDFVEVDEEDVGTARPSGLQLTSAKSSSTKSFELGPHASSTTLIRRSSFTSCINKVKKASHCLSIHEPPDQGGHQTSSVNWYSVSRVAGFASPSCFARYRVLKLSKLTAAM
+>sp|Q9HB96|FANCE_HUMAN Fanconi anemia group E protein OS=Homo sapiens OX=9606 GN=FANCE PE=1 SV=1
+MATPDAGLPGAEGVEPAPWAQLEAPARLLLQALQAGPEGARRGLGVLRALGSRGWEPFDWGRLLEALCREEPVVQGPDGRLELKPLLLRLPRICQRNLMSLLMAVRPSLPESGLLSVLQIAQQDLAPDPDAWLRALGELLRRDLGVGTSMEGASPLSERCQRQLQSLCRGLGLGGRRLKSPQAPDPEEEENRDSQQPGKRRKDSEEEAASPEGKRVPKRLRCWEEEEDHEKERPEHKSLESLADGGSASPIKDQPVMAVKTGEDGSNLDDAKGLAESLELPKAIQDQLPRLQQLLKTLEEGLEGLEDAPPVELQLLHECSPSQMDLLCAQLQLPQLSDLGLLRLCTWLLALSPDLSLSNATVLTRSLFLGRILSLTSSASRLLTTALTSFCAKYTYPVCSALLDPVLQAPGTGPAQTELLCCLVKMESLEPDAQVLMLGQILELPWKEETFLVLQSLLERQVEMTPEKFSVLMEKLCKKGLAATTSMAYAKLMLTVMTKYQANITETQRLGLAMALEPNTTFLRKSLKAALKHLGP
+>DECOY_sp|Q9HB96|FANCE_HUMAN Fanconi anemia group E protein OS=Homo sapiens OX=9606 GN=FANCE PE=1 SV=1
+PGLHKLAAKLSKRLFTTNPELAMALGLRQTETINAQYKTMVTLMLKAYAMSTTAALGKKCLKEMLVSFKEPTMEVQRELLSQLVLFTEEKWPLELIQGLMLVQADPELSEMKVLCCLLETQAPGTGPAQLVPDLLASCVPYTYKACFSTLATTLLRSASSTLSLIRGLFLSRTLVTANSLSLDPSLALLWTCLRLLGLDSLQPLQLQACLLDMQSPSCEHLLQLEVPPADELGELGEELTKLLQQLRPLQDQIAKPLELSEALGKADDLNSGDEGTKVAMVPQDKIPSASGGDALSELSKHEPREKEHDEEEEWCRLRKPVRKGEPSAAEEESDKRRKGPQQSDRNEEEEPDPAQPSKLRRGGLGLGRCLSQLQRQCRESLPSAGEMSTGVGLDRRLLEGLARLWADPDPALDQQAIQLVSLLGSEPLSPRVAMLLSMLNRQCIRPLRLLLPKLELRGDPGQVVPEERCLAELLRGWDFPEWGRSGLARLVGLGRRAGEPGAQLAQLLLRAPAELQAWPAPEVGEAGPLGADPTAM
+>sp|O15287|FANCG_HUMAN Fanconi anemia group G protein OS=Homo sapiens OX=9606 GN=FANCG PE=1 SV=1
+MSRQTTSVGSSCLDLWREKNDRLVRQAKVAQNSGLTLRRQQLAQDALEGLRGLLHSLQGLPAAVPVLPLELTVTCNFIILRASLAQGFTEDQAQDIQRSLERVLETQEQQGPRLEQGLRELWDSVLRASCLLPELLSALHRLVGLQAALWLSADRLGDLALLLETLNGSQSGASKDLLLLLKTWSPPAEELDAPLTLQDAQGLKDVLLTAFAYRQGLQELITGNPDKALSSLHEAASGLCPRPVLVQVYTALGSCHRKMGNPQRALLYLVAALKEGSAWGPPLLEASRLYQQLGDTTAELESLELLVEALNVPCSSKAPQFLIEVELLLPPPDLASPLHCGTQSQTKHILASRCLQTGRAGDAAEHYLDLLALLLDSSEPRFSPPPSPPGPCMPEVFLEAAVALIQAGRAQDALTLCEELLSRTSSLLPKMSRLWEDARKGTKELPYCPLWVSATHLLQGQAWVQLGAQKVAISEFSRCLELLFRATPEEKEQGAAFNCEQGCKSDAALQQLRAAALISRGLEWVASGQDTKALQDFLLSVQMCPGNRDTYFHLLQTLKRLDRRDEATALWWRLEAQTKGSHEDALWSLPLYLESYLSWIRPSDRDAFLEEFRTSLPKSCDL
+>DECOY_sp|O15287|FANCG_HUMAN Fanconi anemia group G protein OS=Homo sapiens OX=9606 GN=FANCG PE=1 SV=1
+LDCSKPLSTRFEELFADRDSPRIWSLYSELYLPLSWLADEHSGKTQAELRWWLATAEDRRDLRKLTQLLHFYTDRNGPCMQVSLLFDQLAKTDQGSAVWELGRSILAAARLQQLAADSKCGQECNFAAGQEKEEPTARFLLELCRSFESIAVKQAGLQVWAQGQLLHTASVWLPCYPLEKTGKRADEWLRSMKPLLSSTRSLLEECLTLADQARGAQILAVAAELFVEPMCPGPPSPPPSFRPESSDLLLALLDLYHEAADGARGTQLCRSALIHKTQSQTGCHLPSALDPPPLLLEVEILFQPAKSSCPVNLAEVLLELSELEATTDGLQQYLRSAELLPPGWASGEKLAAVLYLLARQPNGMKRHCSGLATYVQVLVPRPCLGSAAEHLSSLAKDPNGTILEQLGQRYAFATLLVDKLGQADQLTLPADLEEAPPSWTKLLLLLDKSAGSQSGNLTELLLALDGLRDASLWLAAQLGVLRHLASLLEPLLCSARLVSDWLERLGQELRPGQQEQTELVRELSRQIDQAQDETFGQALSARLIIFNCTVTLELPLVPVAAPLGQLSHLLGRLGELADQALQQRRLTLGSNQAVKAQRVLRDNKERWLDLCSSGVSTTQRSM
+>sp|Q9BX63|FANCJ_HUMAN Fanconi anemia group J protein OS=Homo sapiens OX=9606 GN=BRIP1 PE=1 SV=1
+MSSMWSEYTIGGVKIYFPYKAYPSQLAMMNSILRGLNSKQHCLLESPTGSGKSLALLCSALAWQQSLSGKPADEGVSEKAEVQLSCCCACHSKDFTNNDMNQGTSRHFNYPSTPPSERNGTSSTCQDSPEKTTLAAKLSAKKQASIYRDENDDFQVEKKRIRPLETTQQIRKRHCFGTEVHNLDAKVDSGKTVKLNSPLEKINSFSPQKPPGHCSRCCCSTKQGNSQESSNTIKKDHTGKSKIPKIYFGTRTHKQIAQITRELRRTAYSGVPMTILSSRDHTCVHPEVVGNFNRNEKCMELLDGKNGKSCYFYHGVHKISDQHTLQTFQGMCKAWDIEELVSLGKKLKACPYYTARELIQDADIIFCPYNYLLDAQIRESMDLNLKEQVVILDEAHNIEDCARESASYSVTEVQLRFARDELDSMVNNNIRKKDHEPLRAVCCSLINWLEANAEYLVERDYESACKIWSGNEMLLTLHKMGITTATFPILQGHFSAVLQKEEKISPIYGKEEAREVPVISASTQIMLKGLFMVLDYLFRQNSRFADDYKIAIQQTYSWTNQIDISDKNGLLVLPKNKKRSRQKTAVHVLNFWCLNPAVAFSDINGKVQTIVLTSGTLSPMKSFSSELGVTFTIQLEANHIIKNSQVWVGTIGSGPKGRNLCATFQNTETFEFQDEVGALLLSVCQTVSQGILCFLPSYKLLEKLKERWLSTGLWHNLELVKTVIVEPQGGEKTNFDELLQVYYDAIKYKGEKDGALLVAVCRGKVSEGLDFSDDNARAVITIGIPFPNVKDLQVELKRQYNDHHSKLRGLLPGRQWYEIQAYRALNQALGRCIRHRNDWGALILVDDRFRNNPSRYISGLSKWVRQQIQHHSTFESALESLAEFSKKHQKVLNVSIKDRTNIQDNESTLEVTSLKYSTPPYLLEAASHLSPENFVEDEAKICVQELQCPKIITKNSPLPSSIISRKEKNDPVFLEEAGKAEKIVISRSTSPTFNKQTKRVSWSSFNSLGQYFTGKIPKATPELGSSENSASSPPRFKTEKMESKTVLPFTDKCESSNLTVNTSFGSCPQSETIISSLKIDATLTRKNHSEHPLCSEEALDPDIELSLVSEEDKQSTSNRDFETEAEDESIYFTPELYDPEDTDEEKNDLAETDRGNRLANNSDCILAKDLFEIRTIKEVDSAREVKAEDCIDTKLNGILHIEESKIDDIDGNVKTTWINELELGKTHEIEIKNFKPSPSKNKGMFPGFK
+>DECOY_sp|Q9BX63|FANCJ_HUMAN Fanconi anemia group J protein OS=Homo sapiens OX=9606 GN=BRIP1 PE=1 SV=1
+KFGPFMGKNKSPSPKFNKIEIEHTKGLELENIWTTKVNGDIDDIKSEEIHLIGNLKTDICDEAKVERASDVEKITRIEFLDKALICDSNNALRNGRDTEALDNKEEDTDEPDYLEPTFYISEDEAETEFDRNSTSQKDEESVLSLEIDPDLAEESCLPHESHNKRTLTADIKLSSIITESQPCSGFSTNVTLNSSECKDTFPLVTKSEMKETKFRPPSSASNESSGLEPTAKPIKGTFYQGLSNFSSWSVRKTQKNFTPSTSRSIVIKEAKGAEELFVPDNKEKRSIISSPLPSNKTIIKPCQLEQVCIKAEDEVFNEPSLHSAAELLYPPTSYKLSTVELTSENDQINTRDKISVNLVKQHKKSFEALSELASEFTSHHQIQQRVWKSLGSIYRSPNNRFRDDVLILAGWDNRHRICRGLAQNLARYAQIEYWQRGPLLGRLKSHHDNYQRKLEVQLDKVNPFPIGITIVARANDDSFDLGESVKGRCVAVLLAGDKEGKYKIADYYVQLLEDFNTKEGGQPEVIVTKVLELNHWLGTSLWREKLKELLKYSPLFCLIGQSVTQCVSLLLAGVEDQFEFTETNQFTACLNRGKPGSGITGVWVQSNKIIHNAELQITFTVGLESSFSKMPSLTGSTLVITQVKGNIDSFAVAPNLCWFNLVHVATKQRSRKKNKPLVLLGNKDSIDIQNTWSYTQQIAIKYDDAFRSNQRFLYDLVMFLGKLMIQTSASIVPVERAEEKGYIPSIKEEKQLVASFHGQLIPFTATTIGMKHLTLLMENGSWIKCASEYDREVLYEANAELWNILSCCVARLPEHDKKRINNNVMSDLEDRAFRLQVETVSYSASERACDEINHAEDLIVVQEKLNLDMSERIQADLLYNYPCFIIDADQILERATYYPCAKLKKGLSVLEEIDWAKCMGQFTQLTHQDSIKHVGHYFYCSKGNKGDLLEMCKENRNFNGVVEPHVCTHDRSSLITMPVGSYATRRLERTIQAIQKHTRTGFYIKPIKSKGTHDKKITNSSEQSNGQKTSCCCRSCHGPPKQPSFSNIKELPSNLKVTKGSDVKADLNHVETGFCHRKRIQQTTELPRIRKKEVQFDDNEDRYISAQKKASLKAALTTKEPSDQCTSSTGNRESPPTSPYNFHRSTGQNMDNNTFDKSHCACCCSLQVEAKESVGEDAPKGSLSQQWALASCLLALSKGSGTPSELLCHQKSNLGRLISNMMALQSPYAKYPFYIKVGGITYESWMSSM
+>sp|Q9Y4F1|FARP1_HUMAN FERM, ARHGEF and pleckstrin domain-containing protein 1 OS=Homo sapiens OX=9606 GN=FARP1 PE=1 SV=1
+MGEIEQRPTPGSRLGAPENSGISTLERGQKPPPTPSGKLVSIKIQMLDDTQEAFEVPQRAPGKVLLDAVCNHLNLVEGDYFGLEFPDHKKITVWLDLLKPIVKQIRRPKHVVVKFVVKFFPPDHTQLQEELTRYLFALQVKQDLAQGRLTCNDTSAALLISHIVQSEIGDFDEALDREHLAKNKYIPQQDALEDKIVEFHHNHIGQTPAESDFQLLEIARRLEMYGIRLHPAKDREGTKINLAVANTGILVFQGFTKINAFNWAKVRKLSFKRKRFLIKLRPDANSAYQDTLEFLMASRDFCKSFWKICVEHHAFFRLFEEPKPKPKPVLFSRGSSFRFSGRTQKQVLDYVKEGGHKKVQFERKHSKIHSIRSLASQPTELNSEVLEQSQQSTSLTFGEGAESPGGQSCRRGKEPKVSAGEPGSHPSPAPRRSPAGNKQADGAASAPTEEEEEVVKDRTQQSKPQPPQPSTGSLTGSPHLSELSVNSQGGVAPANVTLSPNLSPDTKQASPLISPLLNDQACPRTDDEDEGRRKRFPTDKAYFIAKEVSTTERTYLKDLEVITSWFQSTVSKEDAMPEALKSLIFPNFEPLHKFHTNFLKEIEQRLALWEGRSNAQIRDYQRIGDVMLKNIQGMKHLAAHLWKHSEALEALENGIKSSRRLENFCRDFELQKVCYLPLNTFLLRPLHRLMHYKQVLERLCKHHPPSHADFRDCRAALAEITEMVAQLHGTMIKMENFQKLHELKKDLIGIDNLVVPGREFIRLGSLSKLSGKGLQQRMFFLFNDVLLYTSRGLTASNQFKVHGQLPLYGMTIEESEDEWGVPHCLTLRGQRQSIIVAASSRSEMEKWVEDIQMAIDLAEKSSSPAPEFLASSPPDNKSPDEATAADQESEDDLSASRTSLERQAPHRGNTMVHVCWHRNTSVSMVDFSIAVENQLSGNLLRKFKNSNGWQKLWVVFTNFCLFFYKSHQDNHPLASLPLLGYSLTIPSESENIQKDYVFKLHFKSHVYYFRAESEYTFERWMEVIRSATSSASRPHVLSHKESLVY
+>DECOY_sp|Q9Y4F1|FARP1_HUMAN FERM, ARHGEF and pleckstrin domain-containing protein 1 OS=Homo sapiens OX=9606 GN=FARP1 PE=1 SV=1
+YVLSEKHSLVHPRSASSTASRIVEMWREFTYESEARFYYVHSKFHLKFVYDKQINESESPITLSYGLLPLSALPHNDQHSKYFFLCFNTFVVWLKQWGNSNKFKRLLNGSLQNEVAISFDVMSVSTNRHWCVHVMTNGRHPAQRELSTRSASLDDESEQDAATAEDPSKNDPPSSALFEPAPSSSKEALDIAMQIDEVWKEMESRSSAAVIISQRQGRLTLCHPVGWEDESEEITMGYLPLQGHVKFQNSATLGRSTYLLVDNFLFFMRQQLGKGSLKSLSGLRIFERGPVVLNDIGILDKKLEHLKQFNEMKIMTGHLQAVMETIEALAARCDRFDAHSPPHHKCLRELVQKYHMLRHLPRLLFTNLPLYCVKQLEFDRCFNELRRSSKIGNELAELAESHKWLHAALHKMGQINKLMVDGIRQYDRIQANSRGEWLALRQEIEKLFNTHFKHLPEFNPFILSKLAEPMADEKSVTSQFWSTIVELDKLYTRETTSVEKAIFYAKDTPFRKRRGEDEDDTRPCAQDNLLPSILPSAQKTDPSLNPSLTVNAPAVGGQSNVSLESLHPSGTLSGTSPQPPQPKSQQTRDKVVEEEEETPASAAGDAQKNGAPSRRPAPSPHSGPEGASVKPEKGRRCSQGGPSEAGEGFTLSTSQQSQELVESNLETPQSALSRISHIKSHKREFQVKKHGGEKVYDLVQKQTRGSFRFSSGRSFLVPKPKPKPEEFLRFFAHHEVCIKWFSKCFDRSAMLFELTDQYASNADPRLKILFRKRKFSLKRVKAWNFANIKTFGQFVLIGTNAVALNIKTGERDKAPHLRIGYMELRRAIELLQFDSEAPTQGIHNHHFEVIKDELADQQPIYKNKALHERDLAEDFDGIESQVIHSILLAASTDNCTLRGQALDQKVQLAFLYRTLEEQLQTHDPPFFKVVFKVVVHKPRRIQKVIPKLLDLWVTIKKHDPFELGFYDGEVLNLHNCVADLLVKGPARQPVEFAEQTDDLMQIKISVLKGSPTPPPKQGRELTSIGSNEPAGLRSGPTPRQEIEGM
+>sp|O94887|FARP2_HUMAN FERM, ARHGEF and pleckstrin domain-containing protein 2 OS=Homo sapiens OX=9606 GN=FARP2 PE=1 SV=3
+MGEIEGTYRVLQTAGMRLGAQTPVGVSTLEPGQTLLPRMQEKHLHLRVKLLDNTMEIFDIEPKCDGQVLLTQVWKRLNLVECDYFGMEFQNTQSYWIWLEPMKPIIRQIRRPKNVVLRLAVKFFPPDPGQLQEEYTRYLFALQLKRDLLEERLTCADTTAALLTSHLLQSEIGDYDETLDREHLKVNEYLPGQQHCLEKILEFHQKHVGQTPAESDFQVLEIARKLEMYGIRFHMASDREGTKIQLAVSHMGVLVFQGTTKINTFNWSKVRKLSFKRKRFLIKLHPEVHGPYQDTLEFLLGSRDECKNFWKICVEYHTFFRLLDQPKPKAKAVFFSRGSSFRYSGRTQKQLVDYFKDSGMKRIPYERRHSKTHTSVRALTADLPKQSISFPEGLRTPASPSSANAFYSLSPSTLVPSGLPEFKDSSSSLTDPQVSYVKSPAAERRSGAVAGGPDTPSAQPLGPPALQPGPGLSTKSPQPSPSSRKSPLSLSPAFQVPLGPAEQGSSPLLSPVLSDAGGAGMDCEEPRHKRVPADEAYFIVKEILATERTYLKDLEVITVWFRSAVVKEDAMPATLMTLLFSNIDPIYEFHRGFLREVEQRLALWEGPSKAHTKGSHQRIGDILLRNMRQLKEFTSYFQRHDEVLTELEKATKRCKKLEAVYKEFELQKVCYLPLNTFLLKPIQRLLHYRLLLRRLCGHYSPGHHDYADCHDALKAITEVTTTLQHILIRLENLQKLTELQRDLVGIENLIAPGREFIREGCLHKLTKKGLQQRMFFLFSDMLLYTSKGVAGTSHFRIRGLLPLQGMLVEESDNEWSVPHCFTIYAAQKTIVVAASTRLEKEKWMLDLNSAIQAAKSGGDTAPALPGRTVCTRPPRSPNEVSLEQESEDDARGVRSSLEGHGQHRANTTMHVCWYRNTSVSRADHSAAVENQLSGYLLRKFKNSHGWQKLWVVFTNFCLFFYKTHQDDYPLASLPLLGYSVSIPREADGIHKDYVFKLQFKSHVYFFRAESKYTFERWMEVIQGASSSAGRAPSIVQDGPQPSSGLEGMVRGKEE
+>DECOY_sp|O94887|FARP2_HUMAN FERM, ARHGEF and pleckstrin domain-containing protein 2 OS=Homo sapiens OX=9606 GN=FARP2 PE=1 SV=3
+EEKGRVMGELGSSPQPGDQVISPARGASSSAGQIVEMWREFTYKSEARFFYVHSKFQLKFVYDKHIGDAERPISVSYGLLPLSALPYDDQHTKYFFLCFNTFVVWLKQWGHSNKFKRLLYGSLQNEVAASHDARSVSTNRYWCVHMTTNARHQGHGELSSRVGRADDESEQELSVENPSRPPRTCVTRGPLAPATDGGSKAAQIASNLDLMWKEKELRTSAAVVITKQAAYITFCHPVSWENDSEEVLMGQLPLLGRIRFHSTGAVGKSTYLLMDSFLFFMRQQLGKKTLKHLCGERIFERGPAILNEIGVLDRQLETLKQLNELRILIHQLTTTVETIAKLADHCDAYDHHGPSYHGCLRRLLLRYHLLRQIPKLLFTNLPLYCVKQLEFEKYVAELKKCRKTAKELETLVEDHRQFYSTFEKLQRMNRLLIDGIRQHSGKTHAKSPGEWLALRQEVERLFGRHFEYIPDINSFLLTMLTAPMADEKVVASRFWVTIVELDKLYTRETALIEKVIFYAEDAPVRKHRPEECDMGAGGADSLVPSLLPSSGQEAPGLPVQFAPSLSLPSKRSSPSPQPSKTSLGPGPQLAPPGLPQASPTDPGGAVAGSRREAAPSKVYSVQPDTLSSSSDKFEPLGSPVLTSPSLSYFANASSPSAPTRLGEPFSISQKPLDATLARVSTHTKSHRREYPIRKMGSDKFYDVLQKQTRGSYRFSSGRSFFVAKAKPKPQDLLRFFTHYEVCIKWFNKCEDRSGLLFELTDQYPGHVEPHLKILFRKRKFSLKRVKSWNFTNIKTTGQFVLVGMHSVALQIKTGERDSAMHFRIGYMELKRAIELVQFDSEAPTQGVHKQHFELIKELCHQQGPLYENVKLHERDLTEDYDGIESQLLHSTLLAATTDACTLREELLDRKLQLAFLYRTYEEQLQGPDPPFFKVALRLVVNKPRRIQRIIPKMPELWIWYSQTNQFEMGFYDCEVLNLRKWVQTLLVQGDCKPEIDFIEMTNDLLKVRLHLHKEQMRPLLTQGPELTSVGVPTQAGLRMGATQLVRYTGEIEGM
+>sp|Q14296|FASTK_HUMAN Fas-activated serine/threonine kinase OS=Homo sapiens OX=9606 GN=FASTK PE=1 SV=1
+MRRPRGEPGPRAPRPTEGATCAGPGESWSPSPNSMLRVLLSAQTSPARLSGLLLIPPVQPCCLGPSKWGDRPVGGGPSAGPVQGLQRLLEQAKSPGELLRWLGQNPSKVRAHHYSVALRRLGQLLGSRPRPPPVEQVTLQDLSQLIIRNCPSFDIHTIHVCLHLAVLLGFPSDGPLVCALEQERRLRLPPKPPPPLQPLLRGGQGLEAALSCPRFLRYPRQHLISSLAEARPEELTPHVMVLLAQHLARHRLREPQLLEAIAHFLVVQETQLSSKVVQKLVLPFGRLNYLPLEQQFMPCLERILAREAGVAPLATVNILMSLCQLRCLPFRALHFVFSPGFINYISGTPHALIVRRYLSLLDTAVELELPGYRGPRLPRRQQVPIFPQPLITDRARCKYSHKDIVAEGLRQLLGEEKYRQDLTVPPGYCTDFLLCASSSGAVLPVRTQDPFLPYPPRSCPQGQAASSATTRDPAQRVVLVLRERWHFCRDGRVLLGSRALRERHLGLMGYQLLPLPFEELESQRGLPQLKSYLRQKLQALGLRWGPEGG
+>DECOY_sp|Q14296|FASTK_HUMAN Fas-activated serine/threonine kinase OS=Homo sapiens OX=9606 GN=FASTK PE=1 SV=1
+GGEPGWRLGLAQLKQRLYSKLQPLGRQSELEEFPLPLLQYGMLGLHRERLARSGLLVRGDRCFHWRERLVLVVRQAPDRTTASSAAQGQPCSRPPYPLFPDQTRVPLVAGSSSACLLFDTCYGPPVTLDQRYKEEGLLQRLGEAVIDKHSYKCRARDTILPQPFIPVQQRRPLRPGRYGPLELEVATDLLSLYRRVILAHPTGSIYNIFGPSFVFHLARFPLCRLQCLSMLINVTALPAVGAERALIRELCPMFQQELPLYNLRGFPLVLKQVVKSSLQTEQVVLFHAIAELLQPERLRHRALHQALLVMVHPTLEEPRAEALSSILHQRPYRLFRPCSLAAELGQGGRLLPQLPPPPKPPLRLRREQELACVLPGDSPFGLLVALHLCVHITHIDFSPCNRIILQSLDQLTVQEVPPPRPRSGLLQGLRRLAVSYHHARVKSPNQGLWRLLEGPSKAQELLRQLGQVPGASPGGGVPRDGWKSPGLCCPQVPPILLLGSLRAPSTQASLLVRLMSNPSPSWSEGPGACTAGETPRPARPGPEGRPRRM
+>sp|P49327|FAS_HUMAN Fatty acid synthase OS=Homo sapiens OX=9606 GN=FASN PE=1 SV=3
+MEEVVIAGMSGKLPESENLQEFWDNLIGGVDMVTDDDRRWKAGLYGLPRRSGKLKDLSRFDASFFGVHPKQAHTMDPQLRLLLEVTYEAIVDGGINPDSLRGTHTGVWVGVSGSETSEALSRDPETLVGYSMVGCQRAMMANRLSFFFDFRGPSIALDTACSSSLMALQNAYQAIHSGQCPAAIVGGINVLLKPNTSVQFLRLGMLSPEGTCKAFDTAGNGYCRSEGVVAVLLTKKSLARRVYATILNAGTNTDGFKEQGVTFPSGDIQEQLIRSLYQSAGVAPESFEYIEAHGTGTKVGDPQELNGITRALCATRQEPLLIGSTKSNMGHPEPASGLAALAKVLLSLEHGLWAPNLHFHSPNPEIPALLDGRLQVVDQPLPVRGGNVGINSFGFGGSNVHIILRPNTQPPPAPAPHATLPRLLRASGRTPEAVQKLLEQGLRHSQDLAFLSMLNDIAAVPATAMPFRGYAVLGGERGGPEVQQVPAGERPLWFICSGMGTQWRGMGLSLMRLDRFRDSILRSDEAVKPFGLKVSQLLLSTDESTFDDIVHSFVSLTAIQIGLIDLLSCMGLRPDGIVGHSLGEVACGYADGCLSQEEAVLAAYWRGQCIKEAHLPPGAMAAVGLSWEECKQRCPPGVVPACHNSKDTVTISGPQAPVFEFVEQLRKEGVFAKEVRTGGMAFHSYFMEAIAPPLLQELKKVIREPKPRSARWLSTSIPEAQWHSSLARTSSAEYNVNNLVSPVLFQEALWHVPEHAVVLEIAPHALLQAVLKRGLKPSCTIIPLMKKDHRDNLEFFLAGIGRLHLSGIDANPNALFPPVEFPAPRGTPLISPLIKWDHSLAWDVPAAEDFPNGSGSPSAAIYNIDTSSESPDHYLVDHTLDGRVLFPATGYLSIVWKTLARALGLGVEQLPVVFEDVVLHQATILPKTGTVSLEVRLLEASRAFEVSENGNLVVSGKVYQWDDPDPRLFDHPESPTPNPTEPLFLAQAEVYKELRLRGYDYGPHFQGILEASLEGDSGRLLWKDNWVSFMDTMLQMSILGSAKHGLYLPTRVTAIHIDPATHRQKLYTLQDKAQVADVVVSRWLRVTVAGGVHISGLHTESAPRRQQEQQVPILEKFCFTPHTEEGCLSERAALQEELQLCKGLVQALQTKVTQQGLKMVVPGLDGAQIPRDPSQQELPRLLSAACRLQLNGNLQLELAQVLAQERPKLPEDPLLSGLLDSPALKACLDTAVENMPSLKMKVVEVLAGHGHLYSRIPGLLSPHPLLQLSYTATDRHPQALEAAQAELQQHDVAQGQWDPADPAPSALGSADLLVCNCAVAALGDPASALSNMVAALREGGFLLLHTLLRGHPLGDIVAFLTSTEPQYGQGILSQDAWESLFSRVSLRLVGLKKSFYGSTLFLCRRPTPQDSPIFLPVDDTSFRWVESLKGILADEDSSRPVWLKAINCATSGVVGLVNCLRREPGGNRLRCVLLSNLSSTSHVPEVDPGSAELQKVLQGDLVMNVYRDGAWGAFRHFLLEEDKPEEPTAHAFVSTLTRGDLSSIRWVCSSLRHAQPTCPGAQLCTVYYASLNFRDIMLATGKLSPDAIPGKWTSQDSLLGMEFSGRDASGKRVMGLVPAKGLATSVLLSPDFLWDVPSNWTLEEAASVPVVYSTAYYALVVRGRVRPGETLLIHSGSGGVGQAAIAIALSLGCRVFTTVGSAEKRAYLQARFPQLDSTSFANSRDTSFEQHVLWHTGGKGVDLVLNSLAEEKLQASVRCLATHGRFLEIGKFDLSQNHPLGMAIFLKNVTFHGVLLDAFFNESSADWREVWALVQAGIRDGVVRPLKCTVFHGAQVEDAFRYMAQGKHIGKVVVQVLAEEPEAVLKGAKPKLMSAISKTFCPAHKSYIIAGGLGGFGLELAQWLIQRGVQKLVLTSRSGIRTGYQAKQVRRWRRQGVQVQVSTSNISSLEGARGLIAEAAQLGPVGGVFNLAVVLRDGLLENQTPEFFQDVCKPKYSGTLNLDRVTREACPELDYFVVFSSVSCGRGNAGQSNYGFANSAMERICEKRRHEGLPGLAVQWGAIGDVGILVETMSTNDTIVSGTLPQRMASCLEVLDLFLNQPHMVLSSFVLAEKAAAYRDRDSQRDLVEAVAHILGIRDLAAVNLDSSLADLGLDSLMSVEVRQTLERELNLVLSVREVRQLTLRKLQELSSKADEASELACPTPKEDGLAQQQTQLNLRSLLVNPEGPTLMRLNSVQSSERPLFLVHPIEGSTTVFHSLASRLSIPTYGLQCTRAAPLDSIHSLAAYYIDCIRQVQPEGPYRVAGYSYGACVAFEMCSQLQAQQSPAPTHNSLFLFDGSPTYVLAYTQSYRAKLTPGCEAEAETEAICFFVQQFTDMEHNRVLEALLPLKGLEERVAAAVDLIIKSHQGLDRQELSFAARSFYYKLRAAEQYTPKAKYHGNVMLLRAKTGGAYGEDLGADYNLSQVCDGKVSVHVIEGDHRTLLEGSGLESIISIIHSSLAEPRVSVREG
+>DECOY_sp|P49327|FAS_HUMAN Fatty acid synthase OS=Homo sapiens OX=9606 GN=FASN PE=1 SV=3
+GERVSVRPEALSSHIISIISELGSGELLTRHDGEIVHVSVKGDCVQSLNYDAGLDEGYAGGTKARLLMVNGHYKAKPTYQEAARLKYYFSRAAFSLEQRDLGQHSKIILDVAAAVREELGKLPLLAELVRNHEMDTFQQVFFCIAETEAEAECGPTLKARYSQTYALVYTPSGDFLFLSNHTPAPSQQAQLQSCMEFAVCAGYSYGAVRYPGEPQVQRICDIYYAALSHISDLPAARTCQLGYTPISLRSALSHFVTTSGEIPHVLFLPRESSQVSNLRMLTPGEPNVLLSRLNLQTQQQALGDEKPTPCALESAEDAKSSLEQLKRLTLQRVERVSLVLNLERELTQRVEVSMLSDLGLDALSSDLNVAALDRIGLIHAVAEVLDRQSDRDRYAAAKEALVFSSLVMHPQNLFLDLVELCSAMRQPLTGSVITDNTSMTEVLIGVDGIAGWQVALGPLGEHRRKECIREMASNAFGYNSQGANGRGCSVSSFVVFYDLEPCAERTVRDLNLTGSYKPKCVDQFFEPTQNELLGDRLVVALNFVGGVPGLQAAEAILGRAGELSSINSTSVQVQVGQRRWRRVQKAQYGTRIGSRSTLVLKQVGRQILWQALELGFGGLGGAIIYSKHAPCFTKSIASMLKPKAGKLVAEPEEALVQVVVKGIHKGQAMYRFADEVQAGHFVTCKLPRVVGDRIGAQVLAWVERWDASSENFFADLLVGHFTVNKLFIAMGLPHNQSLDFKGIELFRGHTALCRVSAQLKEEALSNLVLDVGKGGTHWLVHQEFSTDRSNAFSTSDLQPFRAQLYARKEASGVTTFVRCGLSLAIAIAAQGVGGSGSHILLTEGPRVRGRVVLAYYATSYVVPVSAAEELTWNSPVDWLFDPSLLVSTALGKAPVLGMVRKGSADRGSFEMGLLSDQSTWKGPIADPSLKGTALMIDRFNLSAYYVTCLQAGPCTPQAHRLSSCVWRISSLDGRTLTSVFAHATPEEPKDEELLFHRFAGWAGDRYVNMVLDGQLVKQLEASGPDVEPVHSTSSLNSLLVCRLRNGGPERRLCNVLGVVGSTACNIAKLWVPRSSDEDALIGKLSEVWRFSTDDVPLFIPSDQPTPRRCLFLTSGYFSKKLGVLRLSVRSFLSEWADQSLIGQGYQPETSTLFAVIDGLPHGRLLTHLLLFGGERLAAVMNSLASAPDGLAAVACNCVLLDASGLASPAPDAPDWQGQAVDHQQLEAQAAELAQPHRDTATYSLQLLPHPSLLGPIRSYLHGHGALVEVVKMKLSPMNEVATDLCAKLAPSDLLGSLLPDEPLKPREQALVQALELQLNGNLQLRCAASLLRPLEQQSPDRPIQAGDLGPVVMKLGQQTVKTQLAQVLGKCLQLEEQLAARESLCGEETHPTFCFKELIPVQQEQQRRPASETHLGSIHVGGAVTVRLWRSVVVDAVQAKDQLTYLKQRHTAPDIHIATVRTPLYLGHKASGLISMQLMTDMFSVWNDKWLLRGSDGELSAELIGQFHPGYDYGRLRLEKYVEAQALFLPETPNPTPSEPHDFLRPDPDDWQYVKGSVVLNGNESVEFARSAELLRVELSVTGTKPLITAQHLVVDEFVVPLQEVGLGLARALTKWVISLYGTAPFLVRGDLTHDVLYHDPSESSTDINYIAASPSGSGNPFDEAAPVDWALSHDWKILPSILPTGRPAPFEVPPFLANPNADIGSLHLRGIGALFFELNDRHDKKMLPIITCSPKLGRKLVAQLLAHPAIELVVAHEPVHWLAEQFLVPSVLNNVNYEASSTRALSSHWQAEPISTSLWRASRPKPERIVKKLEQLLPPAIAEMFYSHFAMGGTRVEKAFVGEKRLQEVFEFVPAQPGSITVTDKSNHCAPVVGPPCRQKCEEWSLGVAAMAGPPLHAEKICQGRWYAALVAEEQSLCGDAYGCAVEGLSHGVIGDPRLGMCSLLDILGIQIATLSVFSHVIDDFTSEDTSLLLQSVKLGFPKVAEDSRLISDRFRDLRMLSLGMGRWQTGMGSCIFWLPREGAPVQQVEPGGREGGLVAYGRFPMATAPVAAIDNLMSLFALDQSHRLGQELLKQVAEPTRGSARLLRPLTAHPAPAPPPQTNPRLIIHVNSGGFGFSNIGVNGGRVPLPQDVVQLRGDLLAPIEPNPSHFHLNPAWLGHELSLLVKALAALGSAPEPHGMNSKTSGILLPEQRTACLARTIGNLEQPDGVKTGTGHAEIYEFSEPAVGASQYLSRILQEQIDGSPFTVGQEKFGDTNTGANLITAYVRRALSKKTLLVAVVGESRCYGNGATDFAKCTGEPSLMGLRLFQVSTNPKLLVNIGGVIAAPCQGSHIAQYANQLAMLSSSCATDLAISPGRFDFFFSLRNAMMARQCGVMSYGVLTEPDRSLAESTESGSVGVWVGTHTGRLSDPNIGGDVIAEYTVELLLRLQPDMTHAQKPHVGFFSADFRSLDKLKGSRRPLGYLGAKWRRDDDTVMDVGGILNDWFEQLNESEPLKGSMGAIVVEEM
+>sp|Q9UK96|FBX10_HUMAN F-box only protein 10 OS=Homo sapiens OX=9606 GN=FBXO10 PE=1 SV=3
+MEAGGLPLELWRMILAYLHLPDLGRCSLVCRAWYELILSLDSTRWRQLCLGCTECRHPNWPNQPDVEPESWREAFKQHYLASKTWTKNALDLESSICFSLFRRRRERRTLSVGPGREFDSLGSALAMASLYDRIVLFPGVYEEQGEIILKVPVEIVGQGKLGEVALLASIDQHCSTTRLCNLVFTPAWFSPIMYKTTSGHVQFDNCNFENGHIQVHGPGTCQVKFCTFKNTHIFLHNVPLCVLENCEFVGSENNSVTVEGHPSADKNWAYKYLLGLIKSSPTFLPTEDSDFLMSLDLESRDQAWSPKTCDIVIEGSQSPTSPASSSPKPGSKAGSQEAEVGSDGERVAQTPDSSDGGLSPSGEDEDEDQLMYRLSYQVQGPRPVLGGSFLGPPLPGASIQLPSCLVLNSLQQELQKDKEAMALANSVQGCLIRKCLFRDGKGGVFVCSHGRAKMEGNIFRNLTYAVRCIHNSKIIMLRNDIYRCRASGIFLRLEGGGLIAGNNIYHNAEAGVDIRKKSNPLILCNQIHHGLRSGIVVLGNGKGIIRNNQIFSNKEAGIYILYHGNPVVSGNHIFKGRAAGIAVNENGKGLITENVIRENQWGGVDIRRGGIPVLRSNLICFGYSDGVVVGDEGKGLIEGNTIYANKGCGVWMMSSSLPHVTSNHVSYNGLYGVAVFSQKDGSSELPRGHRAQENFSEDGDAILWETELEKEDDPLRRPITIALVESNSINHNGASGLYVQSSEALHVITNVIHANGDRGITVAQSSQPTRVANNSISCNRQSGVKVEAQCKVELRGNGIYDNRGHGIITKGDSTIVIENDIIGNRGSGLQLLPRSDTKVIKNRIHSFRAYGIAVRGRAKALVQENIIFQGKTSKTIFQQISNNRECIMQNNKFLVFKKKSDTWRLVNPPARPHLENSLRRPSAAHNGQKVTAMATRITARVEGGYHSNRSVFCTIL
+>DECOY_sp|Q9UK96|FBX10_HUMAN F-box only protein 10 OS=Homo sapiens OX=9606 GN=FBXO10 PE=1 SV=3
+LITCFVSRNSHYGGEVRATIRTAMATVKQGNHAASPRRLSNELHPRAPPNVLRWTDSKKKFVLFKNNQMICERNNSIQQFITKSTKGQFIINEQVLAKARGRVAIGYARFSHIRNKIVKTDSRPLLQLGSGRNGIIDNEIVITSDGKTIIGHGRNDYIGNGRLEVKCQAEVKVGSQRNCSISNNAVRTPQSSQAVTIGRDGNAHIVNTIVHLAESSQVYLGSAGNHNISNSEVLAITIPRRLPDDEKELETEWLIADGDESFNEQARHGRPLESSGDKQSFVAVGYLGNYSVHNSTVHPLSSSMMWVGCGKNAYITNGEILGKGEDGVVVGDSYGFCILNSRLVPIGGRRIDVGGWQNERIVNETILGKGNENVAIGAARGKFIHNGSVVPNGHYLIYIGAEKNSFIQNNRIIGKGNGLVVIGSRLGHHIQNCLILPNSKKRIDVGAEANHYINNGAILGGGELRLFIGSARCRYIDNRLMIIKSNHICRVAYTLNRFINGEMKARGHSCVFVGGKGDRFLCKRILCGQVSNALAMAEKDKQLEQQLSNLVLCSPLQISAGPLPPGLFSGGLVPRPGQVQYSLRYMLQDEDEDEGSPSLGGDSSDPTQAVREGDSGVEAEQSGAKSGPKPSSSAPSTPSQSGEIVIDCTKPSWAQDRSELDLSMLFDSDETPLFTPSSKILGLLYKYAWNKDASPHGEVTVSNNESGVFECNELVCLPVNHLFIHTNKFTCFKVQCTGPGHVQIHGNEFNCNDFQVHGSTTKYMIPSFWAPTFVLNCLRTTSCHQDISALLAVEGLKGQGVIEVPVKLIIEGQEEYVGPFLVIRDYLSAMALASGLSDFERGPGVSLTRRERRRRFLSFCISSELDLANKTWTKSALYHQKFAERWSEPEVDPQNPWNPHRCETCGLCLQRWRTSDLSLILEYWARCVLSCRGLDPLHLYALIMRWLELPLGGAEM
+>sp|Q86XK2|FBX11_HUMAN F-box only protein 11 OS=Homo sapiens OX=9606 GN=FBXO11 PE=1 SV=3
+MNSVRAANRRPRRVSRPRPVQQQQQQPPQQPPPQPPQQQPPQQQPPPPPQQQQQQQPPPPPPPPPPLPQERNNVGERDDDVPADMVAEESGPGAQNSPYQLRRKTLLPKRTACPTKNSMEGASTSTTENFGHRAKRARVSGKSQDLSAAPAEQYLQEKLPDEVVLKIFSYLLEQDLCRAACVCKRFSELANDPILWKRLYMEVFEYTRPMMHPEPGKFYQINPEEYEHPNPWKESFQQLYKGAHVKPGFAEHFYSNPARYKGRENMLYYDTIEDALGGVQEAHFDGLIFVHSGIYTDEWIYIESPITMIGAAPGKVADKVIIENTRDSTFVFMEGSEDAYVGYMTIRFNPDDKSAQHHNAHHCLEITVNCSPIIDHCIIRSTCTVGSAVCVSGQGACPTIKHCNISDCENVGLYITDHAQGIYEDNEISNNALAGIWVKNHGNPIIRRNHIHHGRDVGVFTFDHGMGYFESCNIHRNRIAGFEVKAYANPTVVRCEIHHGQTGGIYVHEKGRGQFIENKIYANNFAGVWITSNSDPTIRGNSIFNGNQGGVYIFGDGRGLIEGNDIYGNALAGIQIRTNSCPIVRHNKIHDGQHGGIYVHEKGQGVIEENEVYSNTLAGVWVTTGSTPVLRRNRIHSGKQVGVYFYDNGHGVLEDNDIYNHMYSGVQIRTGSNPKIRRNKIWGGQNGGILVYNSGLGCIEDNEIFDNAMAGVWIKTDSNPTLRRNKIHDGRDGGICIFNGGRGLLEENDIFRNAQAGVLISTNSHPILRKNRIFDGFAAGIEITNHATATLEGNQIFNNRFGGLFLASGVNVTMKDNKIMNNQDAIEKAVSRGQCLYKISSYTSYPMHDFYRCHTCNTTDRNAICVNCIKKCHQGHDVEFIRHDRFFCDCGAGTLSNPCTLAGEPTHDTDTLYDSAPPIESNTLQHN
+>DECOY_sp|Q86XK2|FBX11_HUMAN F-box only protein 11 OS=Homo sapiens OX=9606 GN=FBXO11 PE=1 SV=3
+NHQLTNSEIPPASDYLTDTDHTPEGALTCPNSLTGAGCDCFFRDHRIFEVDHGQHCKKICNVCIANRDTTNCTHCRYFDHMPYSTYSSIKYLCQGRSVAKEIADQNNMIKNDKMTVNVGSALFLGGFRNNFIQNGELTATAHNTIEIGAAFGDFIRNKRLIPHSNTSILVGAQANRFIDNEELLGRGGNFICIGGDRGDHIKNRRLTPNSDTKIWVGAMANDFIENDEICGLGSNYVLIGGNQGGWIKNRRIKPNSGTRIQVGSYMHNYIDNDELVGHGNDYFYVGVQKGSHIRNRRLVPTSGTTVWVGALTNSYVENEEIVGQGKEHVYIGGHQGDHIKNHRVIPCSNTRIQIGALANGYIDNGEILGRGDGFIYVGGQNGNFISNGRITPDSNSTIWVGAFNNAYIKNEIFQGRGKEHVYIGGTQGHHIECRVVTPNAYAKVEFGAIRNRHINCSEFYGMGHDFTFVGVDRGHHIHNRRIIPNGHNKVWIGALANNSIENDEYIGQAHDTIYLGVNECDSINCHKITPCAGQGSVCVASGVTCTSRIICHDIIPSCNVTIELCHHANHHQASKDDPNFRITMYGVYADESGEMFVFTSDRTNEIIVKDAVKGPAAGIMTIPSEIYIWEDTYIGSHVFILGDFHAEQVGGLADEITDYYLMNERGKYRAPNSYFHEAFGPKVHAGKYLQQFSEKWPNPHEYEEPNIQYFKGPEPHMMPRTYEFVEMYLRKWLIPDNALESFRKCVCAARCLDQELLYSFIKLVVEDPLKEQLYQEAPAASLDQSKGSVRARKARHGFNETTSTSAGEMSNKTPCATRKPLLTKRRLQYPSNQAGPGSEEAVMDAPVDDDREGVNNREQPLPPPPPPPPPPQQQQQQQPPPPPQQQPPQQQPPQPPPQQPPQQQQQQVPRPRSVRRPRRNAARVSNM
+>sp|O94952|FBX21_HUMAN F-box only protein 21 OS=Homo sapiens OX=9606 GN=FBXO21 PE=2 SV=2
+MAAAAVDSAMEVVPALAEEAAPEVAGLSCLVNLPGEVLEYILCCGSLTAADIGRVSSTCRRLRELCQSSGKVWKEQFRVRWPSLMKHYSPTDYVNWLEEYKVRQKAGLEARKIVASFSKRFFSEHVPCNGFSDIENLEGPEIFFEDELVCILNMEGRKALTWKYYAKKILYYLRQQKILNNLKAFLQQPDDYESYLEGAVYIDQYCNPLSDISLKDIQAQIDSIVELVCKTLRGINSRHPSLAFKAGESSMIMEIELQSQVLDAMNYVLYDQLKFKGNRMDYYNALNLYMHQVLIRRTGIPISMSLLYLTIARQLGVPLEPVNFPSHFLLRWCQGAEGATLDIFDYIYIDAFGKGKQLTVKECEYLIGQHVTAALYGVVNVKKVLQRMVGNLLSLGKREGIDQSYQLLRDSLDLYLAMYPDQVQLLLLQARLYFHLGIWPEKSFCLVLKVLDILQHIQTLDPGQHGAVGYLVQHTLEHIERKKEEVGVEVKLRSDEKHRDVCYSIGLIMKHKRYGYNCVIYGWDPTCMMGHEWIRNMNVHSLPHGHHQPFYNVLVEDGSCRYAAQENLEYNVEPQEISHPDVGRYFSEFTGTHYIPNAELEIRYPEDLEFVYETVQNIYSAKKENIDE
+>DECOY_sp|O94952|FBX21_HUMAN F-box only protein 21 OS=Homo sapiens OX=9606 GN=FBXO21 PE=2 SV=2
+EDINEKKASYINQVTEYVFELDEPYRIELEANPIYHTGTFESFYRGVDPHSIEQPEVNYELNEQAAYRCSGDEVLVNYFPQHHGHPLSHVNMNRIWEHGMMCTPDWGYIVCNYGYRKHKMILGISYCVDRHKEDSRLKVEVGVEEKKREIHELTHQVLYGVAGHQGPDLTQIHQLIDLVKLVLCFSKEPWIGLHFYLRAQLLLLQVQDPYMALYLDLSDRLLQYSQDIGERKGLSLLNGVMRQLVKKVNVVGYLAATVHQGILYECEKVTLQKGKGFADIYIYDFIDLTAGEAGQCWRLLFHSPFNVPELPVGLQRAITLYLLSMSIPIGTRRILVQHMYLNLANYYDMRNGKFKLQDYLVYNMADLVQSQLEIEMIMSSEGAKFALSPHRSNIGRLTKCVLEVISDIQAQIDKLSIDSLPNCYQDIYVAGELYSEYDDPQQLFAKLNNLIKQQRLYYLIKKAYYKWTLAKRGEMNLICVLEDEFFIEPGELNEIDSFGNCPVHESFFRKSFSAVIKRAELGAKQRVKYEELWNVYDTPSYHKMLSPWRVRFQEKWVKGSSQCLERLRRCTSSVRGIDAATLSGCCLIYELVEGPLNVLCSLGAVEPAAEEALAPVVEMASDVAAAAM
+>sp|Q7Z6M2|FBX33_HUMAN F-box only protein 33 OS=Homo sapiens OX=9606 GN=FBXO33 PE=1 SV=1
+MLLFLSVPQPRPPGARTRAGAARVARWRRLRLQQLRRLRGLLRVLRGRPGAGSRRRGRMALCGQAAGAASLPSELIVHIFSFLPAPDRLRASASCSHWRECLFYPALWPQLRICLRVSPAEQPRLEFLMRKCGWFVRELRVEFAAENYLSGGGPGDGGGADTGTGGEEVEALQLSARWLEVLRTYLELVLCVLVSIRNNRNLQKFSLFGDISVLQQQGSLSNTYLSKVDPDGKKIKQIQQLFEEILSNSRQLKWLSCGFMLEIVTPTSLSSLSNAVANTMEHLSLLDNNIPGNSTLITAVELERFVNLHSLALDFCDFTAEMARVLTDSNHVPLQRLSLLVHNVSVMHKSLDNMPNDEHWKALSRKSTSFRVYIMAFDIKSEDMLKILKPSIPLERIHFDSYITCVSGAIVDLISRQYDKFLTHFILMNDVIDTSGFPDLSDNRNEDPLVLLAWRCTKLSLLAIHGYTVWAHNLIAIARLRGSDLKVLEVTEESIDFDQGELADQDVDPVHNLIEQVSLGLGQPWHAVMDIESLSVFTEPNRHFYREMQSFSEDI
+>DECOY_sp|Q7Z6M2|FBX33_HUMAN F-box only protein 33 OS=Homo sapiens OX=9606 GN=FBXO33 PE=1 SV=1
+IDESFSQMERYFHRNPETFVSLSEIDMVAHWPQGLGLSVQEILNHVPDVDQDALEGQDFDISEETVELVKLDSGRLRAIAILNHAWVTYGHIALLSLKTCRWALLVLPDENRNDSLDPFGSTDIVDNMLIFHTLFKDYQRSILDVIAGSVCTIYSDFHIRELPISPKLIKLMDESKIDFAMIYVRFSTSKRSLAKWHEDNPMNDLSKHMVSVNHVLLSLRQLPVHNSDTLVRAMEATFDCFDLALSHLNVFRELEVATILTSNGPINNDLLSLHEMTNAVANSLSSLSTPTVIELMFGCSLWKLQRSNSLIEEFLQQIQKIKKGDPDVKSLYTNSLSGQQQLVSIDGFLSFKQLNRNNRISVLVCLVLELYTRLVELWRASLQLAEVEEGGTGTDAGGGDGPGGGSLYNEAAFEVRLERVFWGCKRMLFELRPQEAPSVRLCIRLQPWLAPYFLCERWHSCSASARLRDPAPLFSFIHVILESPLSAAGAAQGCLAMRGRRRSGAGPRGRLVRLLGRLRRLQQLRLRRWRAVRAAGARTRAGPPRPQPVSLFLLM
+>sp|Q8NEA4|FBX36_HUMAN F-box only protein 36 OS=Homo sapiens OX=9606 GN=FBXO36 PE=2 SV=2
+MASWLPETLFETVGQGPPPSKDYYQLLVTRSQVIFRWWKISLRSEYRSTKPGEAKETHEDFLENSHLQGQTALIFGARILDYVINLCKGKFDFLERLSDDLLLTIISYLDLEDIARLCQTSHRFAKLCMSDKLWEQIVQSTCDTITPDVRALAEDTGWRQLFFTNKLQLQRQLRKRKQKYGNLREKQP
+>DECOY_sp|Q8NEA4|FBX36_HUMAN F-box only protein 36 OS=Homo sapiens OX=9606 GN=FBXO36 PE=2 SV=2
+PQKERLNGYKQKRKRLQRQLQLKNTFFLQRWGTDEALARVDPTITDCTSQVIQEWLKDSMCLKAFRHSTQCLRAIDELDLYSIITLLLDDSLRELFDFKGKCLNIVYDLIRAGFILATQGQLHSNELFDEHTEKAEGPKTSRYESRLSIKWWRFIVQSRTVLLQYYDKSPPPGQGVTEFLTEPLWSAM
+>sp|Q6PIJ6|FBX38_HUMAN F-box only protein 38 OS=Homo sapiens OX=9606 GN=FBXO38 PE=1 SV=3
+MGPRKKSVKTCIMNNEIPEEMTADETKDYMNQLSHEVLCHIFRYLPLQDIMCMECLSRKLKEAVTLYLRVVRVVDLCAGRWWEYMPSGFTDASFLTLLKKMPDVEQLYGLHPRYLERRRVRGHEAFSIPGVLEALQACPNLVGVETSHLELVESIWTYMPHVHILGKFRNRNGAFPIPPENKLKIPIGAKIQTLHLVGVNVPEIPCIPMLRHLYMKWVRLTKPQPFKDFLCISLRTFVMRNCAGPTNSLKYVPLVTGLASARNLEHLEMVRVPFLGGLIQHVVEDSWRSGGFRNLHTIVLGACKNALEVDLGYLIITAARRLHEVRIQPSLTKDGVFSALKMAELEFPQFETLHLGYVDEFLLQSRMANADLVKYGLADVVENPGIITDIGMKAVNEVFSCIKYLAIYNCPHLHNPYNWISDHSRWTRLVDINLVRCHALKLDSFGQFIELLPSLEFISLDQMFREPPKGCARVGLSAGTGIGVSSALVSNQNSNNDDNNAQNNNANIHDNNHHHPDDSDEENDFRQDLQPGEQQFAADALNEMEDIVQEDGEVVAESGNNTPAHSQAIIPVDVDEEQAGPSGLQRVVKPTSITVHDSESDDEEDSLELQEVWIPKNGTRRYSEREEKTGESVQSRELSVSGKGKTPLRKRYNSHQMGQSKQFPLEESSCEKGCQVTSEQIKADMKAARDIPEKKKNKDVYPSCSSTTASTVGNSSSHNTASQSPDFVRTVNSGGSSEPSPTEVDVSRQCACSPGGSEDSEAMEEGDAESSVCPRCCCHRPQESQRRTSRCSDEERPSTSRACVVNGPDGTRSAFSFRTLPQGGSSGPAHDERTNGSGSGATGEDRRGSSQPESCDVQSNEDYPRRPLTRARSRLSHVLLVSESEVAKTKPRHAMKRKRTADKSTSTSDPVIEDDHVQVLVLKSKNLVGVTMTNCGITDLVLKDCPKMMFIHATRCRVLKHLKVENAPIVNRFDYAQCKKLNMDQVLDQILRMPPERNRIIYLRPMQQVDTLTLEQKLFSGPYPYHICIIHEFSNPPNVRNKVRIRSWMDTIANINQELIKYEFFPEATRSEEDLKKYPKYPWGREIYTLEGVVDGAPYSMISDFPWLRSLRAAEPNSFARYDFEDDEESTIYAPRRKGQLSADICMETIGEEISEMRQMKKGVFQRVVAIFIHYCDVNGEPVEDDYI
+>DECOY_sp|Q6PIJ6|FBX38_HUMAN F-box only protein 38 OS=Homo sapiens OX=9606 GN=FBXO38 PE=1 SV=3
+IYDDEVPEGNVDCYHIFIAVVRQFVGKKMQRMESIEEGITEMCIDASLQGKRRPAYITSEEDDEFDYRAFSNPEAARLSRLWPFDSIMSYPAGDVVGELTYIERGWPYKPYKKLDEESRTAEPFFEYKILEQNINAITDMWSRIRVKNRVNPPNSFEHIICIHYPYPGSFLKQELTLTDVQQMPRLYIIRNREPPMRLIQDLVQDMNLKKCQAYDFRNVIPANEVKLHKLVRCRTAHIFMMKPCDKLVLDTIGCNTMTVGVLNKSKLVLVQVHDDEIVPDSTSTSKDATRKRKMAHRPKTKAVESESVLLVHSLRSRARTLPRRPYDENSQVDCSEPQSSGRRDEGTAGSGSGNTREDHAPGSSGGQPLTRFSFASRTGDPGNVVCARSTSPREEDSCRSTRRQSEQPRHCCCRPCVSSEADGEEMAESDESGGPSCACQRSVDVETPSPESSGGSNVTRVFDPSQSATNHSSSNGVTSATTSSCSPYVDKNKKKEPIDRAAKMDAKIQESTVQCGKECSSEELPFQKSQGMQHSNYRKRLPTKGKGSVSLERSQVSEGTKEERESYRRTGNKPIWVEQLELSDEEDDSESDHVTISTPKVVRQLGSPGAQEEDVDVPIIAQSHAPTNNGSEAVVEGDEQVIDEMENLADAAFQQEGPQLDQRFDNEEDSDDPHHHNNDHINANNNQANNDDNNSNQNSVLASSVGIGTGASLGVRACGKPPERFMQDLSIFELSPLLEIFQGFSDLKLAHCRVLNIDVLRTWRSHDSIWNYPNHLHPCNYIALYKICSFVENVAKMGIDTIIGPNEVVDALGYKVLDANAMRSQLLFEDVYGLHLTEFQPFELEAMKLASFVGDKTLSPQIRVEHLRRAATIILYGLDVELANKCAGLVITHLNRFGGSRWSDEVVHQILGGLFPVRVMELHELNRASALGTVLPVYKLSNTPGACNRMVFTRLSICLFDKFPQPKTLRVWKMYLHRLMPICPIEPVNVGVLHLTQIKAGIPIKLKNEPPIPFAGNRNRFKGLIHVHPMYTWISEVLELHSTEVGVLNPCAQLAELVGPISFAEHGRVRRRELYRPHLGYLQEVDPMKKLLTLFSADTFGSPMYEWWRGACLDVVRVVRLYLTVAEKLKRSLCEMCMIDQLPLYRFIHCLVEHSLQNMYDKTEDATMEEPIENNMICTKVSKKRPGM
+>sp|Q5FWF7|FBX48_HUMAN F-box only protein 48 OS=Homo sapiens OX=9606 GN=FBXO48 PE=1 SV=1
+MHKNSKRNNNLRVSHTEANSVDAEKEKNESQNNFFELLPAEITFKIFSQLDIRSLCRASLTCRSWNDTIRNSDSLWKPHCMTVRAVCRREIDDDLESGYSWRVILLRNYQKSKVKHEWLSGRYSNICSPISLPEKIMYPMDADTWGEILEAELER
+>DECOY_sp|Q5FWF7|FBX48_HUMAN F-box only protein 48 OS=Homo sapiens OX=9606 GN=FBXO48 PE=1 SV=1
+RELEAELIEGWTDADMPYMIKEPLSIPSCINSYRGSLWEHKVKSKQYNRLLIVRWSYGSELDDDIERRCVARVTMCHPKWLSDSNRITDNWSRCTLSARCLSRIDLQSFIKFTIEAPLLEFFNNQSENKEKEADVSNAETHSVRLNNNRKSNKHM
+>sp|Q9UKT4|FBX5_HUMAN F-box only protein 5 OS=Homo sapiens OX=9606 GN=FBXO5 PE=1 SV=1
+MSRRPCSCALRPPRCSCSASPSAVTAAGRPRPSDSCKEESSTLSVKMKCDFNCNHVHSGLKLVKPDDIGRLVSYTPAYLEGSCKDCIKDYERLSCIGSPIVSPRIVQLETESKRLHNKENQHVQQTLNSTNEIEALETSRLYEDSGYSSFSLQSGLSEHEEGSLLEENFGDSLQSCLLQIQSPDQYPNKNLLPVLHFEKVVCSTLKKNAKRNPKVDREMLKEIIARGNFRLQNIIGRKMGLECVDILSELFRRGLRHVLATILAQLSDMDLINVSKVSTTWKKILEDDKGAFQLYSKAIQRVTENNNKFSPHASTREYVMFRTPLASVQKSAAQTSLKKDAQTKLSNQGDQKGSTYSRHNEFSEVAKTLKKNESLKACIRCNSPAKYDCYLQRATCKREGCGFDYCTKCLCNYHTTKDCSDGKLLKASCKIGPLPGTKKSKKNLRRL
+>DECOY_sp|Q9UKT4|FBX5_HUMAN F-box only protein 5 OS=Homo sapiens OX=9606 GN=FBXO5 PE=1 SV=1
+LRRLNKKSKKTGPLPGIKCSAKLLKGDSCDKTTHYNCLCKTCYDFGCGERKCTARQLYCDYKAPSNCRICAKLSENKKLTKAVESFENHRSYTSGKQDGQNSLKTQADKKLSTQAASKQVSALPTRFMVYERTSAHPSFKNNNETVRQIAKSYLQFAGKDDELIKKWTTSVKSVNILDMDSLQALITALVHRLGRRFLESLIDVCELGMKRGIINQLRFNGRAIIEKLMERDVKPNRKANKKLTSCVVKEFHLVPLLNKNPYQDPSQIQLLCSQLSDGFNEELLSGEEHESLGSQLSFSSYGSDEYLRSTELAEIENTSNLTQQVHQNEKNHLRKSETELQVIRPSVIPSGICSLREYDKICDKCSGELYAPTYSVLRGIDDPKVLKLGSHVHNCNFDCKMKVSLTSSEEKCSDSPRPRGAATVASPSASCSCRPPRLACSCPRRSM
+>sp|Q8N531|FBXL6_HUMAN F-box/LRR-repeat protein 6 OS=Homo sapiens OX=9606 GN=FBXL6 PE=2 SV=1
+MAAPASRQVRRRARAAPRPRSAEDWWWDRLAPRGSGYHLLQSDSMLLVLSEPGPARPRAQRRASRRTPRQPPRGPSAAAKPKAGLRSEAAAAPAPAPAPTPTPEEGPDAGWGDRIPLEILVQIFGLLVAADGPMPFLGRAARVCRRWQEAASQPALWHTVTLSSPLVGRPAKGGVKAEKKLLASLEWLMPNRFSQLQRLTLIHWKSQVHPVLKLVGECCPRLTFLKLSGCHGVTADALVMLAKACCQLHSLDLQHSMVESTAVVSFLEEAGSRMRKLWLTYSSQTTAILGALLGSCCPQLQVLEVSTGINRNSIPLQLPVEALQKGCPQLQVLRLLNLMWLPKPPGRGVAPGPGFPSLEELCLASSTCNFVSNEVLGRLLHGSPNLRLLDLRGCARITPAGLQDLPCRELEQLHLGLYGTSDRLTLAKEGSPFLTQKWCHTLRELDLSGQGFSEKDLEQALAAFLSTPGGSHPALCSLNLRGTRVTPSTVSSVISSCPGLLYLNLESCRCLPRGLKRAYRGLEEVQWCLEQLLTSPSPS
+>DECOY_sp|Q8N531|FBXL6_HUMAN F-box/LRR-repeat protein 6 OS=Homo sapiens OX=9606 GN=FBXL6 PE=2 SV=1
+SPSPSTLLQELCWQVEELGRYARKLGRPLCRCSELNLYLLGPCSSIVSSVTSPTVRTGRLNLSCLAPHSGGPTSLFAALAQELDKESFGQGSLDLERLTHCWKQTLFPSGEKALTLRDSTGYLGLHLQELERCPLDQLGAPTIRACGRLDLLRLNPSGHLLRGLVENSVFNCTSSALCLEELSPFGPGPAVGRGPPKPLWMLNLLRLVQLQPCGKQLAEVPLQLPISNRNIGTSVELVQLQPCCSGLLAGLIATTQSSYTLWLKRMRSGAEELFSVVATSEVMSHQLDLSHLQCCAKALMVLADATVGHCGSLKLFTLRPCCEGVLKLVPHVQSKWHILTLRQLQSFRNPMLWELSALLKKEAKVGGKAPRGVLPSSLTVTHWLAPQSAAEQWRRCVRAARGLFPMPGDAAVLLGFIQVLIELPIRDGWGADPGEEPTPTPAPAPAPAAAAESRLGAKPKAAASPGRPPQRPTRRSARRQARPRAPGPESLVLLMSDSQLLHYGSGRPALRDWWWDEASRPRPAARARRRVQRSAPAAM
+>sp|Q96CD0|FBXL8_HUMAN F-box/LRR-repeat protein 8 OS=Homo sapiens OX=9606 GN=FBXL8 PE=1 SV=1
+MAEPGEGLPEEVLALIFRHLSLRDRAAAARVCRAWAAAATCSAVWHDTKISCECELEGMLPPYLSACLDHIHNLRLEFEPSRKPSRRAAIELLMVLAGRAPGLRGLRLECRGEKPLFDAGRDVLEAVHAVCGAASQLRHLDLRRLSFTLDDALVLQAARSCPELHSLFLDNSTLVGSVGPGSVLELLEACPRLRALGLHLASLSHAILEALAAPDRAPFALLALRCACPEDARASPLPNEAWVALRRRHPGLAVELELEPALPAESVTRVLQPAVPVAALRLNLSGDTVGPVRFAAHHYAATLCALEVRAAASAELNAALEELAARCAALREVHCFCVVSHSVLDAFRAHCPRLRTYTLKLTREPHPWRPTLVA
+>DECOY_sp|Q96CD0|FBXL8_HUMAN F-box/LRR-repeat protein 8 OS=Homo sapiens OX=9606 GN=FBXL8 PE=1 SV=1
+AVLTPRWPHPERTLKLTYTRLRPCHARFADLVSHSVVCFCHVERLAACRAALEELAANLEASAAARVELACLTAAYHHAAFRVPGVTDGSLNLRLAAVPVAPQLVRTVSEAPLAPELELEVALGPHRRRLAVWAENPLPSARADEPCACRLALLAFPARDPAALAELIAHSLSALHLGLARLRPCAELLELVSGPGVSGVLTSNDLFLSHLEPCSRAAQLVLADDLTFSLRRLDLHRLQSAAGCVAHVAELVDRGADFLPKEGRCELRLGRLGPARGALVMLLEIAARRSPKRSPEFELRLNHIHDLCASLYPPLMGELECECSIKTDHWVASCTAAAAWARCVRAAAARDRLSLHRFILALVEEPLGEGPEAM
+>sp|P57775|FBXW4_HUMAN F-box/WD repeat-containing protein 4 OS=Homo sapiens OX=9606 GN=FBXW4 PE=1 SV=1
+MAAAAGEEEEEEEAARESAARPAAGPALWRLPEELLLLICSYLDMRALGRLAQVCRWLRRFTSCDLLWRRIARASLNSGFTRLGTDLMTSVPVKERVKVSQNWRLGRCREGILLKWRCSQMPWMQLEDDSLYISQANFILAYQFRPDGASLNRRPLGVFAGHDEDVCHFVLANSHIVSAGGDGKIGIHKIHSTFTVKYSAHEQEVNCVDCKGGIIVSGSRDRTAKVWPLASGRLGQCLHTIQTEDRVWSIAISPLLSSFVTGTACCGHFSPLRIWDLNSGQLMTHLGSDFPPGAGVLDVMYESPFTLLSCGYDTYVRYWDLRTSVRKCVMEWEEPHDSTLYCLQTDGNHLLATGSSYYGVVRLWDRRQRACLHAFPLTSTPLSSPVYCLRLTTKHLYAALSYNLHVLDFQNP
+>DECOY_sp|P57775|FBXW4_HUMAN F-box/WD repeat-containing protein 4 OS=Homo sapiens OX=9606 GN=FBXW4 PE=1 SV=1
+PNQFDLVHLNYSLAAYLHKTTLRLCYVPSSLPTSTLPFAHLCARQRRDWLRVVGYYSSGTALLHNGDTQLCYLTSDHPEEWEMVCKRVSTRLDWYRVYTDYGCSLLTFPSEYMVDLVGAGPPFDSGLHTMLQGSNLDWIRLPSFHGCCATGTVFSSLLPSIAISWVRDETQITHLCQGLRGSALPWVKATRDRSGSVIIGGKCDVCNVEQEHASYKVTFTSHIKHIGIKGDGGASVIHSNALVFHCVDEDHGAFVGLPRRNLSAGDPRFQYALIFNAQSIYLSDDELQMWPMQSCRWKLLIGERCRGLRWNQSVKVREKVPVSTMLDTGLRTFGSNLSARAIRRWLLDCSTFRRLWRCVQALRGLARMDLYSCILLLLEEPLRWLAPGAAPRAASERAAEEEEEEEGAAAAM
+>sp|Q969U6|FBXW5_HUMAN F-box/WD repeat-containing protein 5 OS=Homo sapiens OX=9606 GN=FBXW5 PE=1 SV=1
+MDEGGTPLLPDSLVYQIFLSLGPADVLAAGLVCRQWQAVSRDEFLWREQFYRYYQVARDVPRHPAAMSWYEEFQRLYDTVPCVEVQTLREHTDQVLHLSFSHSGYQFASCSKDCTVKIWSNDLTISLLHSADMRPYNWSYTQFSQFNKDDSLLLASGVFLGPHNSSSGEIAVISLDSFALLSRVRNKPYDVFGCWLTETSLISGNLHRIGDITSCSVLWLNNAFQDVESENVNVVKRLFKIQNLNASTVRTVMVADCSRFDSPDLLLEAGDPATSPCRIFDLGSDNEEVVAGPAPAHAKEGLRHFLDRVLEGRAQPQLSERMLETKVAELLAQGHTKPPERSATGAKSKYLIFTTGCLTYSPHQIGIKQILPHQMTTAGPVLGEGRGSDAFFDALDHVIDIHGHIIGMGLSPDNRYLYVNSRAWPNGAVVADPMQPPPIAEEIDLLVFDLKTMREVRRALRAHRAYTPNDECFFIFLDVSRDFVASGAEDRHGYIWDRHYNICLARLRHEDVVNSVVFSPQEQELLLTASDDATIKAWRSPRTMRVLQAPRPRPRTFFSWLASQRR
+>DECOY_sp|Q969U6|FBXW5_HUMAN F-box/WD repeat-containing protein 5 OS=Homo sapiens OX=9606 GN=FBXW5 PE=1 SV=1
+RRQSALWSFFTRPRPRPAQLVRMTRPSRWAKITADDSATLLLEQEQPSFVVSNVVDEHRLRALCINYHRDWIYGHRDEAGSAVFDRSVDLFIFFCEDNPTYARHARLARRVERMTKLDFVLLDIEEAIPPPQMPDAVVAGNPWARSNVYLYRNDPSLGMGIIHGHIDIVHDLADFFADSGRGEGLVPGATTMQHPLIQKIGIQHPSYTLCGTTFILYKSKAGTASREPPKTHGQALLEAVKTELMRESLQPQARGELVRDLFHRLGEKAHAPAPGAVVEENDSGLDFIRCPSTAPDGAELLLDPSDFRSCDAVMVTRVTSANLNQIKFLRKVVNVNESEVDQFANNLWLVSCSTIDGIRHLNGSILSTETLWCGFVDYPKNRVRSLLAFSDLSIVAIEGSSSNHPGLFVGSALLLSDDKNFQSFQTYSWNYPRMDASHLLSITLDNSWIKVTCDKSCSAFQYGSHSFSLHLVQDTHERLTQVEVCPVTDYLRQFEEYWSMAAPHRPVDRAVQYYRYFQERWLFEDRSVAQWQRCVLGAALVDAPGLSLFIQYVLSDPLLPTGGEDM
+>sp|Q969H0|FBXW7_HUMAN F-box/WD repeat-containing protein 7 OS=Homo sapiens OX=9606 GN=FBXW7 PE=1 SV=1
+MNQELLSVGSKRRRTGGSLRGNPSSSQVDEEQMNRVVEEEQQQQLRQQEEEHTARNGEVVGVEPRPGGQNDSQQGQLEENNNRFISVDEDSSGNQEEQEEDEEHAGEQDEEDEEEEEMDQESDDFDQSDDSSREDEHTHTNSVTNSSSIVDLPVHQLSSPFYTKTTKMKRKLDHGSEVRSFSLGKKPCKVSEYTSTTGLVPCSATPTTFGDLRAANGQGQQRRRITSVQPPTGLQEWLKMFQSWSGPEKLLALDELIDSCEPTQVKHMMQVIEPQFQRDFISLLPKELALYVLSFLEPKDLLQAAQTCRYWRILAEDNLLWREKCKEEGIDEPLHIKRRKVIKPGFIHSPWKSAYIRQHRIDTNWRRGELKSPKVLKGHDDHVITCLQFCGNRIVSGSDDNTLKVWSAVTGKCLRTLVGHTGGVWSSQMRDNIIISGSTDRTLKVWNAETGECIHTLYGHTSTVRCMHLHEKRVVSGSRDATLRVWDIETGQCLHVLMGHVAAVRCVQYDGRRVVSGAYDFMVKVWDPETETCLHTLQGHTNRVYSLQFDGIHVVSGSLDTSIRVWDVETGNCIHTLTGHQSLTSGMELKDNILVSGNADSTVKIWDIKTGQCLQTLQGPNKHQSAVTCLQFNKNFVITSSDDGTVKLWDLKTGEFIRNLVTLESGGSGGVVWRIRASNTKLVCAVGSRNGTEETKLLVLDFDVDMK
+>DECOY_sp|Q969H0|FBXW7_HUMAN F-box/WD repeat-containing protein 7 OS=Homo sapiens OX=9606 GN=FBXW7 PE=1 SV=1
+KMDVDFDLVLLKTEETGNRSGVACVLKTNSARIRWVVGGSGGSELTVLNRIFEGTKLDWLKVTGDDSSTIVFNKNFQLCTVASQHKNPGQLTQLCQGTKIDWIKVTSDANGSVLINDKLEMGSTLSQHGTLTHICNGTEVDWVRISTDLSGSVVHIGDFQLSYVRNTHGQLTHLCTETEPDWVKVMFDYAGSVVRRGDYQVCRVAAVHGMLVHLCQGTEIDWVRLTADRSGSVVRKEHLHMCRVTSTHGYLTHICEGTEANWVKLTRDTSGSIIINDRMQSSWVGGTHGVLTRLCKGTVASWVKLTNDDSGSVIRNGCFQLCTIVHDDHGKLVKPSKLEGRRWNTDIRHQRIYASKWPSHIFGPKIVKRRKIHLPEDIGEEKCKERWLLNDEALIRWYRCTQAAQLLDKPELFSLVYLALEKPLLSIFDRQFQPEIVQMMHKVQTPECSDILEDLALLKEPGSWSQFMKLWEQLGTPPQVSTIRRRQQGQGNAARLDGFTTPTASCPVLGTTSTYESVKCPKKGLSFSRVESGHDLKRKMKTTKTYFPSSLQHVPLDVISSSNTVSNTHTHEDERSSDDSQDFDDSEQDMEEEEEDEEDQEGAHEEDEEQEEQNGSSDEDVSIFRNNNEELQGQQSDNQGGPRPEVGVVEGNRATHEEEQQRLQQQQEEEVVRNMQEEDVQSSSPNGRLSGGTRRRKSGVSLLEQNM
+>sp|P06734|FCER2_HUMAN Low affinity immunoglobulin epsilon Fc receptor OS=Homo sapiens OX=9606 GN=FCER2 PE=1 SV=1
+MEEGQYSEIEELPRRRCCRRGTQIVLLGLVTAALWAGLLTLLLLWHWDTTQSLKQLEERAARNVSQVSKNLESHHGDQMAQKSQSTQISQELEELRAEQQRLKSQDLELSWNLNGLQADLSSFKSQELNERNEASDLLERLREEVTKLRMELQVSSGFVCNTCPEKWINFQRKCYYFGKGTKQWVHARYACDDMEGQLVSIHSPEEQDFLTKHASHTGSWIGLRNLDLKGEFIWVDGSHVDYSNWAPGEPTSRSQGEDCVMMRGSGRWNDAFCDRKLGAWVCDRLATCTPPASEGSAESMGPDSRPDPDGRLPTPSAPLHS
+>DECOY_sp|P06734|FCER2_HUMAN Low affinity immunoglobulin epsilon Fc receptor OS=Homo sapiens OX=9606 GN=FCER2 PE=1 SV=1
+SHLPASPTPLRGDPDPRSDPGMSEASGESAPPTCTALRDCVWAGLKRDCFADNWRGSGRMMVCDEGQSRSTPEGPAWNSYDVHSGDVWIFEGKLDLNRLGIWSGTHSAHKTLFDQEEPSHISVLQGEMDDCAYRAHVWQKTGKGFYYCKRQFNIWKEPCTNCVFGSSVQLEMRLKTVEERLRELLDSAENRENLEQSKFSSLDAQLGNLNWSLELDQSKLRQQEARLEELEQSIQTSQSKQAMQDGHHSELNKSVQSVNRAAREELQKLSQTTDWHWLLLLTLLGAWLAATVLGLLVIQTGRRCCRRRPLEEIESYQGEEM
+>sp|Q01362|FCERB_HUMAN High affinity immunoglobulin epsilon receptor subunit beta OS=Homo sapiens OX=9606 GN=MS4A2 PE=2 SV=1
+MDTESNRRANLALPQEPSSVPAFEVLEISPQEVSSGRLLKSASSPPLHTWLTVLKKEQEFLGVTQILTAMICLCFGTVVCSVLDISHIEGDIFSSFKAGYPFWGAIFFSISGMLSIISERRNATYLVRGSLGANTASSIAGGTGITILIINLKKSLAYIHIHSCQKFFETKCFMASFSTEIVVMMLFLTILGLGSAVSLTICGAGEELKGNKVPEDRVYEELNIYSATYSELEDPGEMSPPIDL
+>DECOY_sp|Q01362|FCERB_HUMAN High affinity immunoglobulin epsilon receptor subunit beta OS=Homo sapiens OX=9606 GN=MS4A2 PE=2 SV=1
+LDIPPSMEGPDELESYTASYINLEEYVRDEPVKNGKLEEGAGCITLSVASGLGLITLFLMMVVIETSFSAMFCKTEFFKQCSHIHIYALSKKLNIILITIGTGGAISSATNAGLSGRVLYTANRRESIISLMGSISFFIAGWFPYGAKFSSFIDGEIHSIDLVSCVVTGFCLCIMATLIQTVGLFEQEKKLVTLWTHLPPSSASKLLRGSSVEQPSIELVEFAPVSSPEQPLALNARRNSETDM
+>sp|P12318|FCG2A_HUMAN Low affinity immunoglobulin gamma Fc region receptor II-a OS=Homo sapiens OX=9606 GN=FCGR2A PE=1 SV=4
+MTMETQMSQNVCPRNLWLLQPLTVLLLLASADSQAAAPPKAVLKLEPPWINVLQEDSVTLTCQGARSPESDSIQWFHNGNLIPTHTQPSYRFKANNNDSGEYTCQTGQTSLSDPVHLTVLSEWLVLQTPHLEFQEGETIMLRCHSWKDKPLVKVTFFQNGKSQKFSHLDPTFSIPQANHSHSGDYHCTGNIGYTLFSSKPVTITVQVPSMGSSSPMGIIVAVVIATAVAAIVAAVVALIYCRKKRISANSTDPVKAAQFEPPGRQMIAIRKRQLEETNNDYETADGGYMTLNPRAPTDDDKNIYLTLPPNDHVNSNN
+>DECOY_sp|P12318|FCG2A_HUMAN Low affinity immunoglobulin gamma Fc region receptor II-a OS=Homo sapiens OX=9606 GN=FCGR2A PE=1 SV=4
+NNSNVHDNPPLTLYINKDDDTPARPNLTMYGGDATEYDNNTEELQRKRIAIMQRGPPEFQAAKVPDTSNASIRKKRCYILAVVAAVIAAVATAIVVAVIIGMPSSSGMSPVQVTITVPKSSFLTYGINGTCHYDGSHSHNAQPISFTPDLHSFKQSKGNQFFTVKVLPKDKWSHCRLMITEGEQFELHPTQLVLWESLVTLHVPDSLSTQGTQCTYEGSDNNNAKFRYSPQTHTPILNGNHFWQISDSEPSRAGQCTLTVSDEQLVNIWPPELKLVAKPPAAAQSDASALLLLVTLPQLLWLNRPCVNQSMQTEMTM
+>sp|O75015|FCG3B_HUMAN Low affinity immunoglobulin gamma Fc region receptor III-B OS=Homo sapiens OX=9606 GN=FCGR3B PE=1 SV=2
+MWQLLLPTALLLLVSAGMRTEDLPKAVVFLEPQWYSVLEKDSVTLKCQGAYSPEDNSTQWFHNESLISSQASSYFIDAATVNDSGEYRCQTNLSTLSDPVQLEVHIGWLLLQAPRWVFKEEDPIHLRCHSWKNTALHKVTYLQNGKDRKYFHHNSDFHIPKATLKDSGSYFCRGLVGSKNVSSETVNITITQGLAVSTISSFSPPGYQVSFCLVMVLLFAVDTGLYFSVKTNI
+>DECOY_sp|O75015|FCG3B_HUMAN Low affinity immunoglobulin gamma Fc region receptor III-B OS=Homo sapiens OX=9606 GN=FCGR3B PE=1 SV=2
+INTKVSFYLGTDVAFLLVMVLCFSVQYGPPSFSSITSVALGQTITINVTESSVNKSGVLGRCFYSGSDKLTAKPIHFDSNHHFYKRDKGNQLYTVKHLATNKWSHCRLHIPDEEKFVWRPAQLLLWGIHVELQVPDSLTSLNTQCRYEGSDNVTAADIFYSSAQSSILSENHFWQTSNDEPSYAGQCKLTVSDKELVSYWQPELFVVAKPLDETRMGASVLLLLATPLLLQWM
+>sp|Q9Y6R7|FCGBP_HUMAN IgGFc-binding protein OS=Homo sapiens OX=9606 GN=FCGBP PE=1 SV=3
+MGALWSWWILWAGATLLWGLTQEASVDLKNTGREEFLTAFLQNYQLAYSKAYPRLLISSLSESPASVSILSQADNTSKKVTVRPGESVMVNISAKAEMIGSKIFQHAVVIHSDYAISVQALNAKPDTAELTLLRPIQALGTEYFVLTPPGTSARNVKEFAVVAGAAGASVSVTLKGSVTFNGKFYPAGDVLRVTLQPYNVAQLQSSVDLSGSKVTASSPVAVLSGHSCAQKHTTCNHVVEQLLPTSAWGTHYVVPTLASQSRYDLAFVVASQATKLTYNHGGITGSRGLQAGDVVEFEVRPSWPLYLSANVGIQVLLFGTGAIRNEVTYDPYLVLIPDVAAYCPAYVVKSVPGCEGVALVVAQTKAISGLTIDGHAVGAKLTWEAVPGSEFSYAEVELGTADMIHTAEATTNLGLLTFGLAKAIGYATAADCGRTVLSPVEPSCEGMQCAAGQRCQVVGGKAGCVAESTAVCRAQGDPHYTTFDGRRYDMMGTCSYTMVELCSEDDTLPAFSVEAKNEHRGSRRVSYVGLVTVRAYSHSVSLTRGEVGFVLVDNQRSRLPVSLSEGRLRVYQSGPRAVVELVFGLVVTYDWDCQLALSLPARFQDQVCGLCGNYNGDPADDFLTPDGALAPDAVEFASSWKLDDGDYLCEDGCQNNCPACTPGQAQHYEGDRLCGMLTKLDGPFAVCHDTLDPRPFLEQCVYDLCVVGGERLSLCRGLSAYAQACLELGISVGDWRSPANCPLSCPANSRYELCGPACPTSCNGAAAPSNCSGRPCVEGCVCLPGFVASGGACVPASSCGCTFQGLQLAPGQEVWADELCQRRCTCNGATHQVTCRDKQSCPAGERCSVQNGLLGCYPDRFGTCQGSGDPHYVSFDGRRFDFMGTCTYLLVGSCGQNAALPAFRVLVENEHRGSQTVSYTRAVRVEARGVKVAVRREYPGQVLVDDVLQYLPFQAADGQVQVFRQGRDAVVRTDFGLTVTYDWNARVTAKVPSSYAEALCGLCGNFNGDPADDLALRGGGQAANALAFGNSWQEETRPGCGATEPGDCPKLDSLVAQQLQSKNECGILADPKGPFRECHSKLDPQGAVRDCVYDRCLLPGQSGPLCDALATYAAACQAAGATVHPWRSEELCPLSCPPHSHYEACSYGCPLSCGDLPVPGGCGSECHEGCVCDEGFALSGESCLPLASCGCVHQGTYHPPGQTFYPGPGCDSLCHCQEGGLVSCESSSCGPHEACQPSGGSLGCVAVGSSTCQASGDPHYTTFDGRRFDFMGTCVYVLAQTCGTRPGLHRFAVLQENVAWGNGRVSVTRVITVQVANFTLRLEQRQWKVTVNGVDMKLPVVLANGQIRASQHGSDVVIETDFGLRVAYDLVYYVRVTVPGNYYQQMCGLCGNYNGDPKDDFQKPNGSQAGNANEFGNSWEEVVPDSPCLPPTPCPPGSEDCIPSHKCPPELEKKYQKEEFCGLLSSPTGPLSSCHKLVDPQGPLKDCIFDLCLGGGNLSILCSNIHAYVSACQAAGGHVEPWRTETFCPMECPPNSHYELCADTCSLGCSALSAPPQCQDGCAEGCQCDSGFLYNGQACVPIQQCGCYHNGVYYEPEQTVLIDNCRQQCTCHAGKGMVCQEHSCKPGQVCQPSGGILSCVTKDPCHGVTCRPQETCKEQGGQGVCLPNYEATCWLWGDPHYHSFDGRKFDFQGTCNYVLATTGCPGVSTQGLTPFTVTTKNQNRGNPAVSYVRVVTVAALGTNISIHKDEIGKVRVNGVLTALPVSVADGRISVTQGASKALLVADFGLQVSYDWNWRVDVTLPSSYHGAVCGLCGNMDRNPNNDQVFPNGTLAPSIPIWGGSWRAPGWDPLCWDECRGSCPTCPEDRLEQYEGPGFCGPLAPGTGGPFTTCHAHVPPESFFKGCVLDVCMGGGDRDILCKALASYVAACQAAGVVIEDWRAQVGCEITCPENSHYEVCGSPCPASCPSPAPLTTPAVCEGPCVEGCQCDAGFVLSADRCVPLNNGCGCWANGTYHEAGSEFWADGTCSQWCRCGPGGGSLVCTPASCGLGEVCGLLPSGQHGCQPVSTAECQAWGDPHYVTLDGHRFNFQGTCEYLLSAPCHGPPLGAENFTVTVANEHRGSQAVSYTRSVTLQIYNHSLTLSARWPRKLQVDGVFVTLPFQLDSLLHAHLSGADVVVTTTSGLSLAFDGDSFVRLRVPAAYAGSLCGLCGNYNQDPADDLKAVGGKPAGWQVGGAQGCGECVSKPCPSPCTPEQQESFGGPDACGVISATDGPLAPCHGLVPPAQYFQGCLLDACQVQGHPGGLCPAVATYVAACQAAGAQLREWRRPDFCPFQCPAHSHYELCGDSCPGSCPSLSAPEGCESACREGCVCDAGFVLSGDTCVPVGQCGCLHDDRYYPLGQTFYPGPGCDSLCRCREGGEVSCEPSSCGPHETCRPSGGSLGCVAVGSTTCQASGDPHYTTFDGRRFDFMGTCVYVLAQTCGTRPGLHRFAVLQENVAWGNGRVSVTRVITVQVANFTLRLEQRQWKVTVNGVDMKLPVVLANGQIRASQHGSDVVIETDFGLRVAYDLVYYVRVTVPGNYYQLMCGLCGNYNGDPKDDFQKPNGSQAGNANEFGNSWEEVVPDSPCLPPPTCPPGSEGCIPSEECPPELEKKYQKEEFCGLLSSPTGPLSSCHKLVDPQGPLKDCIFDLCLGGGNLSILCSNIHAYVSACQAAGGQVEPWRNETFCPMECPQNSHYELCADTCSLGCSALSAPLQCPDGCAEGCQCDSGFLYNGQACVPIQQCGCYHNGAYYEPEQTVLIDNCRQQCTCHVGKVVVCQEHSCKPGQVCQPSGGILSCVNKDPCHGVTCRPQETCKEQGGQGVCLPNYEATCWLWGDPHYHSFDGRKFDFQGTCNYVLATTGCPGVSTQGLTPFTVTTKNQNRGNPAVSYVRVVTVAALGTNISIHKDEIGKVRVNGVLTALPVSVADGRISVTQGASKALLVADFGLQVSYDWNWRVDVTLPSSYHGAVCGLCGNMDRNPNNDQVFPNGTLAPSIPIWGGSWRAPGWDPLCWDECRGSCPTCPEDRLEQYEGPGFCGPLAPGTGGPFTTCHAHVPPESFFKGCVLDVCMGGGDRDILCKALASYVAACQAAGVVIEDWRAQVGCEITCPENSHYEVCGPPCPASCPSPAPLTTPAVCEGPCVEGCQCDAGFVLSADRCVPLNNGCGCWANGTYHEAGSEFWADGTCSQWCRCGPGGGSLVCTPASCGLGEVCGLLPSGQHGCQPVSTAECQAWGDPHYVTLDGHRFDFQGTCEYLLSAPCHGPPLGAENFTVTVANEHRGSQAVSYTRSVTLQIYNHSLTLSARWPRKLQVDGVFVTLPFQLDSLLHAHLSGADVVVTTTSGLSLAFDGDSFVRLRVPAAYAGSLCGLCGNYNQDPADDLKAVGGKPAGWQVGGAQGCGECVSKPCPSPCTPEQQESFGGPDACGVISATDGPLAPCHGLVPPAQYFQGCLLDACQVQGHPGGLCPAVATYVAACQAAGAQLREWRRPDFCPFQCPAHSHYELCGDSCPGSCPSLSAPEGCESACREGCVCDAGFVLSGDTCVPVGQCGCLHDDRYYPLGQTFYPGPGCDSLCRCREGGEVSCEPSSCGPHETCRPSGGSLGCVAVGSTTCQASGDPHYTTFDGHRFDFMGTCVYVLAQTCGTRPGLHRFAVLQENVAWGNGRVSVTRVITVQVANFTLRLEQRQWKVTVNGVDMKLPVVLANGQIRASQHGSDVVIETDFGLRVAYDLVYYVRVTVPGNYYQLMCGLCGNYNGDPKDDFQKPNGSQAGNANEFGNSWEEVVPDSPCLPPPTCPPGSAGCIPSDKCPPELEKKYQKEEFCGLLSSPTGPLSSCHKLVDPQGPLKDCIFDLCLGGGNLSILCSNIHAYVSACQAAGGHVEPWRNETFCPMECPQNSHYELCADTCSLGCSALSAPLQCPDGCAEGCQCDSGFLYNGQACVPIQQCGCYHNGVYYEPEQTVLIDNCRQQCTCHVGKVVVCQEHSCKPGQVCQPSGGILSCVTKDPCHGVTCRPQETCKEQGGQGVCLPNYEATCWLWGDPHYHSFDGRKFDFQGTCNYVLATTGCPGVSTQGLTPFTVTTKNQNRGNPAVSYVRVVTVAALGTNISIHKDEIGKVRVNGVLTALPVSVADGRISVAQGASKALLVADFGLQVSYDWNWRVDVTLPSSYHGAVCGLCGNMDRNPNNDQVFPNGTLAPSIPIWGGSWRAPGWDPLCWDECRGSCPTCPEDRLEQYEGPGFCGPLSSGTGGPFTTCHAHVPPESFFKGCVLDVCMGGGDRDILCKALASYVAACQAAGVVIEDWRAQVGCEITCPENSHYEVCGPPCPASCPSPAPLTTPAVCEGPCVEGCQCDAGFVLSADRCVPLNNGCGCWANGTYHEAGSEFWADGTCSQWCRCGPGGGSLVCTPASCGLGEVCGLLPSGQHGCQPVSTAECQAWGDPHYVTLDGHRFDFQGTCEYLLSAPCHGPPLGAENFTVTVANEHRGSQAVSYTRSVTLQIYNHSLTLSARWPRKLQVDGVFVALPFQLDSLLHAHLSGADVVVTTTSGLSLAFDGDSFVRLRVPAAYAASLCGLCGNYNQDPADDLKAVGGKPAGWQVGGAQGCGECVSKPCPSPCTPEQQESFGGPDACGVISATDGPLAPCHGLVPPAQYFQGCLLDACQVQGHPGGLCPAVATYVAACQAAGAQLGEWRRPDFCPLQCPAHSHYELCGDSCPVSCPSLSAPEGCESACREGCVCDAGFVLSGDTCVPVGQCGCLHDGRYYPLGEVFYPGPECERRCECGPGGHVTCQEGAACGPHEECRLEDGVQACHATGCGRCLANGGIHYITLDGRVYDLHGSCSYVLAQVCHPKPGDEDFSIVLEKNAAGDLQRLLVTVAGQVVSLAQGQQVTVDGEAVALPVAVGRVRVTAEGRNMVLQTTKGLRLLFDGDAHLLMSIPSPFRGRLCGLCGNFNGNWSDDFVLPNGSAASSVETFGAAWRAPGSSKGCGEGCGPQGCPVCLAEETAPYESNEACGQLRNPQGPFATCQAVLSPSEYFRQCVYDLCAQKGDKAFLCRSLAAYTAACQAAGVAVKPWRTDSFCPLHCPAHSHYSICTRTCQGSCAALSGLTGCTTRCFEGCECDDRFLLSQGVCIPVQDCGCTHNGRYLPVNSSLLTSDCSERCSCSSSSGLTCQAAGCPPGRVCEVKAEARNCWATRGLCVLSVGANLTTFDGARGATTSPGVYELSSRCPGLQNTIPWYRVVAEVQICHGKTEAVGQVHIFFQDGMVTLTPNKGVWVNGLRVDLPAEKLASVSVSRTPDGSLLVRQKAGVQVWLGANGKVAVIVSNDHAGKLCGACGNFDGDQTNDWHDSQEKPAMEKWRAQDFSPCYG
+>DECOY_sp|Q9Y6R7|FCGBP_HUMAN IgGFc-binding protein OS=Homo sapiens OX=9606 GN=FCGBP PE=1 SV=3
+GYCPSFDQARWKEMAPKEQSDHWDNTQDGDFNGCAGCLKGAHDNSVIVAVKGNAGLWVQVGAKQRVLLSGDPTRSVSVSALKEAPLDVRLGNVWVGKNPTLTVMGDQFFIHVQGVAETKGHCIQVEAVVRYWPITNQLGPCRSSLEYVGPSTTAGRAGDFTTLNAGVSLVCLGRTAWCNRAEAKVECVRGPPCGAAQCTLGSSSSCSCRESCDSTLLSSNVPLYRGNHTCGCDQVPICVGQSLLFRDDCECGEFCRTTCGTLGSLAACSGQCTRTCISYHSHAPCHLPCFSDTRWPKVAVGAAQCAATYAALSRCLFAKDGKQACLDYVCQRFYESPSLVAQCTAFPGQPNRLQGCAENSEYPATEEALCVPCGQPGCGEGCGKSSGPARWAAGFTEVSSAASGNPLVFDDSWNGNFNGCLGCLRGRFPSPISMLLHADGDFLLRLGKTTQLVMNRGEATVRVRGVAVPLAVAEGDVTVQQGQALSVVQGAVTVLLRQLDGAANKELVISFDEDGPKPHCVQALVYSCSGHLDYVRGDLTIYHIGGNALCRGCGTAHCAQVGDELRCEEHPGCAAGEQCTVHGGPGCECRRECEPGPYFVEGLPYYRGDHLCGCQGVPVCTDGSLVFGADCVCGERCASECGEPASLSPCSVPCSDGCLEYHSHAPCQLPCFDPRRWEGLQAGAAQCAAVYTAVAPCLGGPHGQVQCADLLCGQFYQAPPVLGHCPALPGDTASIVGCADPGGFSEQQEPTCPSPCPKSVCEGCGQAGGVQWGAPKGGVAKLDDAPDQNYNGCLGCLSAAYAAPVRLRVFSDGDFALSLGSTTTVVVDAGSLHAHLLSDLQFPLAVFVGDVQLKRPWRASLTLSHNYIQLTVSRTYSVAQSGRHENAVTVTFNEAGLPPGHCPASLLYECTGQFDFRHGDLTVYHPDGWAQCEATSVPQCGHQGSPLLGCVEGLGCSAPTCVLSGGGPGCRCWQSCTGDAWFESGAEHYTGNAWCGCGNNLPVCRDASLVFGADCQCGEVCPGECVAPTTLPAPSPCSAPCPPGCVEYHSNEPCTIECGVQARWDEIVVGAAQCAAVYSALAKCLIDRDGGGMCVDLVCGKFFSEPPVHAHCTTFPGGTGSSLPGCFGPGEYQELRDEPCTPCSGRCEDWCLPDWGPARWSGGWIPISPALTGNPFVQDNNPNRDMNGCLGCVAGHYSSPLTVDVRWNWDYSVQLGFDAVLLAKSAGQAVSIRGDAVSVPLATLVGNVRVKGIEDKHISINTGLAAVTVVRVYSVAPNGRNQNKTTVTFPTLGQTSVGPCGTTALVYNCTGQFDFKRGDFSHYHPDGWLWCTAEYNPLCVGQGGQEKCTEQPRCTVGHCPDKTVCSLIGGSPQCVQGPKCSHEQCVVVKGVHCTCQQRCNDILVTQEPEYYVGNHYCGCQQIPVCAQGNYLFGSDCQCGEACGDPCQLPASLASCGLSCTDACLEYHSNQPCEMPCFTENRWPEVHGGAAQCASVYAHINSCLISLNGGGLCLDFICDKLPGQPDVLKHCSSLPGTPSSLLGCFEEKQYKKELEPPCKDSPICGASGPPCTPPPLCPSDPVVEEWSNGFENANGAQSGNPKQFDDKPDGNYNGCLGCMLQYYNGPVTVRVYYVLDYAVRLGFDTEIVVDSGHQSARIQGNALVVPLKMDVGNVTVKWQRQELRLTFNAVQVTIVRTVSVRGNGWAVNEQLVAFRHLGPRTGCTQALVYVCTGMFDFRHGDFTTYHPDGSAQCTTSGVAVCGLSGGSPRCTEHPGCSSPECSVEGGERCRCLSDCGPGPYFTQGLPYYRDDHLCGCQGVPVCTDGSLVFGADCVCGERCASECGEPASLSPCSGPCSDGCLEYHSHAPCQFPCFDPRRWERLQAGAAQCAAVYTAVAPCLGGPHGQVQCADLLCGQFYQAPPVLGHCPALPGDTASIVGCADPGGFSEQQEPTCPSPCPKSVCEGCGQAGGVQWGAPKGGVAKLDDAPDQNYNGCLGCLSGAYAAPVRLRVFSDGDFALSLGSTTTVVVDAGSLHAHLLSDLQFPLTVFVGDVQLKRPWRASLTLSHNYIQLTVSRTYSVAQSGRHENAVTVTFNEAGLPPGHCPASLLYECTGQFDFRHGDLTVYHPDGWAQCEATSVPQCGHQGSPLLGCVEGLGCSAPTCVLSGGGPGCRCWQSCTGDAWFESGAEHYTGNAWCGCGNNLPVCRDASLVFGADCQCGEVCPGECVAPTTLPAPSPCSAPCPPGCVEYHSNEPCTIECGVQARWDEIVVGAAQCAAVYSALAKCLIDRDGGGMCVDLVCGKFFSEPPVHAHCTTFPGGTGPALPGCFGPGEYQELRDEPCTPCSGRCEDWCLPDWGPARWSGGWIPISPALTGNPFVQDNNPNRDMNGCLGCVAGHYSSPLTVDVRWNWDYSVQLGFDAVLLAKSAGQTVSIRGDAVSVPLATLVGNVRVKGIEDKHISINTGLAAVTVVRVYSVAPNGRNQNKTTVTFPTLGQTSVGPCGTTALVYNCTGQFDFKRGDFSHYHPDGWLWCTAEYNPLCVGQGGQEKCTEQPRCTVGHCPDKNVCSLIGGSPQCVQGPKCSHEQCVVVKGVHCTCQQRCNDILVTQEPEYYAGNHYCGCQQIPVCAQGNYLFGSDCQCGEACGDPCQLPASLASCGLSCTDACLEYHSNQPCEMPCFTENRWPEVQGGAAQCASVYAHINSCLISLNGGGLCLDFICDKLPGQPDVLKHCSSLPGTPSSLLGCFEEKQYKKELEPPCEESPICGESGPPCTPPPLCPSDPVVEEWSNGFENANGAQSGNPKQFDDKPDGNYNGCLGCMLQYYNGPVTVRVYYVLDYAVRLGFDTEIVVDSGHQSARIQGNALVVPLKMDVGNVTVKWQRQELRLTFNAVQVTIVRTVSVRGNGWAVNEQLVAFRHLGPRTGCTQALVYVCTGMFDFRRGDFTTYHPDGSAQCTTSGVAVCGLSGGSPRCTEHPGCSSPECSVEGGERCRCLSDCGPGPYFTQGLPYYRDDHLCGCQGVPVCTDGSLVFGADCVCGERCASECGEPASLSPCSGPCSDGCLEYHSHAPCQFPCFDPRRWERLQAGAAQCAAVYTAVAPCLGGPHGQVQCADLLCGQFYQAPPVLGHCPALPGDTASIVGCADPGGFSEQQEPTCPSPCPKSVCEGCGQAGGVQWGAPKGGVAKLDDAPDQNYNGCLGCLSGAYAAPVRLRVFSDGDFALSLGSTTTVVVDAGSLHAHLLSDLQFPLTVFVGDVQLKRPWRASLTLSHNYIQLTVSRTYSVAQSGRHENAVTVTFNEAGLPPGHCPASLLYECTGQFNFRHGDLTVYHPDGWAQCEATSVPQCGHQGSPLLGCVEGLGCSAPTCVLSGGGPGCRCWQSCTGDAWFESGAEHYTGNAWCGCGNNLPVCRDASLVFGADCQCGEVCPGECVAPTTLPAPSPCSAPCPSGCVEYHSNEPCTIECGVQARWDEIVVGAAQCAAVYSALAKCLIDRDGGGMCVDLVCGKFFSEPPVHAHCTTFPGGTGPALPGCFGPGEYQELRDEPCTPCSGRCEDWCLPDWGPARWSGGWIPISPALTGNPFVQDNNPNRDMNGCLGCVAGHYSSPLTVDVRWNWDYSVQLGFDAVLLAKSAGQTVSIRGDAVSVPLATLVGNVRVKGIEDKHISINTGLAAVTVVRVYSVAPNGRNQNKTTVTFPTLGQTSVGPCGTTALVYNCTGQFDFKRGDFSHYHPDGWLWCTAEYNPLCVGQGGQEKCTEQPRCTVGHCPDKTVCSLIGGSPQCVQGPKCSHEQCVMGKGAHCTCQQRCNDILVTQEPEYYVGNHYCGCQQIPVCAQGNYLFGSDCQCGEACGDQCQPPASLASCGLSCTDACLEYHSNPPCEMPCFTETRWPEVHGGAAQCASVYAHINSCLISLNGGGLCLDFICDKLPGQPDVLKHCSSLPGTPSSLLGCFEEKQYKKELEPPCKHSPICDESGPPCPTPPLCPSDPVVEEWSNGFENANGAQSGNPKQFDDKPDGNYNGCLGCMQQYYNGPVTVRVYYVLDYAVRLGFDTEIVVDSGHQSARIQGNALVVPLKMDVGNVTVKWQRQELRLTFNAVQVTIVRTVSVRGNGWAVNEQLVAFRHLGPRTGCTQALVYVCTGMFDFRRGDFTTYHPDGSAQCTSSGVAVCGLSGGSPQCAEHPGCSSSECSVLGGEQCHCLSDCGPGPYFTQGPPHYTGQHVCGCSALPLCSEGSLAFGEDCVCGEHCESGCGGPVPLDGCSLPCGYSCAEYHSHPPCSLPCLEESRWPHVTAGAAQCAAAYTALADCLPGSQGPLLCRDYVCDRVAGQPDLKSHCERFPGKPDALIGCENKSQLQQAVLSDLKPCDGPETAGCGPRTEEQWSNGFALANAAQGGGRLALDDAPDGNFNGCLGCLAEAYSSPVKATVRANWDYTVTLGFDTRVVADRGQRFVQVQGDAAQFPLYQLVDDVLVQGPYERRVAVKVGRAEVRVARTYSVTQSGRHENEVLVRFAPLAANQGCSGVLLYTCTGMFDFRRGDFSVYHPDGSGQCTGFRDPYCGLLGNQVSCREGAPCSQKDRCTVQHTAGNCTCRRQCLEDAWVEQGPALQLGQFTCGCSSAPVCAGGSAVFGPLCVCGEVCPRGSCNSPAAAGNCSTPCAPGCLEYRSNAPCSLPCNAPSRWDGVSIGLELCAQAYASLGRCLSLREGGVVCLDYVCQELFPRPDLTDHCVAFPGDLKTLMGCLRDGEYHQAQGPTCAPCNNQCGDECLYDGDDLKWSSAFEVADPALAGDPTLFDDAPDGNYNGCLGCVQDQFRAPLSLALQCDWDYTVVLGFVLEVVARPGSQYVRLRGESLSVPLRSRQNDVLVFGVEGRTLSVSHSYARVTVLGVYSVRRSGRHENKAEVSFAPLTDDESCLEVMTYSCTGMMDYRRGDFTTYHPDGQARCVATSEAVCGAKGGVVQCRQGAACQMGECSPEVPSLVTRGCDAATAYGIAKALGFTLLGLNTTAEATHIMDATGLEVEAYSFESGPVAEWTLKAGVAHGDITLGSIAKTQAVVLAVGECGPVSKVVYAPCYAAVDPILVLYPDYTVENRIAGTGFLLVQIGVNASLYLPWSPRVEFEVVDGAQLGRSGTIGGHNYTLKTAQSAVVFALDYRSQSALTPVVYHTGWASTPLLQEVVHNCTTHKQACSHGSLVAVPSSATVKSGSLDVSSQLQAVNYPQLTVRLVDGAPYFKGNFTVSGKLTVSVSAGAAGAVVAFEKVNRASTGPPTLVFYETGLAQIPRLLTLEATDPKANLAQVSIAYDSHIVVAHQFIKSGIMEAKASINVMVSEGPRVTVKKSTNDAQSLISVSAPSESLSSILLRPYAKSYALQYNQLFATLFEERGTNKLDVSAEQTLGWLLTAGAWLIWWSWLAGM
+>sp|P12314|FCGR1_HUMAN High affinity immunoglobulin gamma Fc receptor I OS=Homo sapiens OX=9606 GN=FCGR1A PE=1 SV=2
+MWFLTTLLLWVPVDGQVDTTKAVITLQPPWVSVFQEETVTLHCEVLHLPGSSSTQWFLNGTATQTSTPSYRITSASVNDSGEYRCQRGLSGRSDPIQLEIHRGWLLLQVSSRVFTEGEPLALRCHAWKDKLVYNVLYYRNGKAFKFFHWNSNLTILKTNISHNGTYHCSGMGKHRYTSAGISVTVKELFPAPVLNASVTSPLLEGNLVTLSCETKLLLQRPGLQLYFSFYMGSKTLRGRNTSSEYQILTARREDSGLYWCEAATEDGNVLKRSPELELQVLGLQLPTPVWFHVLFYLAVGIMFLVNTVLWVTIRKELKRKKKWDLEISLDSGHEKKVISSLQEDRHLEEELKCQEQKEEQLQEGVHRKEPQGAT
+>DECOY_sp|P12314|FCGR1_HUMAN High affinity immunoglobulin gamma Fc receptor I OS=Homo sapiens OX=9606 GN=FCGR1A PE=1 SV=2
+TAGQPEKRHVGEQLQEEKQEQCKLEEELHRDEQLSSIVKKEHGSDLSIELDWKKKRKLEKRITVWLVTNVLFMIGVALYFLVHFWVPTPLQLGLVQLELEPSRKLVNGDETAAECWYLGSDERRATLIQYESSTNRGRLTKSGMYFSFYLQLGPRQLLLKTECSLTVLNGELLPSTVSANLVPAPFLEKVTVSIGASTYRHKGMGSCHYTGNHSINTKLITLNSNWHFFKFAKGNRYYLVNYVLKDKWAHCRLALPEGETFVRSSVQLLLWGRHIELQIPDSRGSLGRQCRYEGSDNVSASTIRYSPTSTQTATGNLFWQTSSSGPLHLVECHLTVTEEQFVSVWPPQLTIVAKTTDVQGDVPVWLLLTTLFWM
+>sp|P55899|FCGRN_HUMAN IgG receptor FcRn large subunit p51 OS=Homo sapiens OX=9606 GN=FCGRT PE=1 SV=1
+MGVPRPQPWALGLLLFLLPGSLGAESHLSLLYHLTAVSSPAPGTPAFWVSGWLGPQQYLSYNSLRGEAEPCGAWVWENQVSWYWEKETTDLRIKEKLFLEAFKALGGKGPYTLQGLLGCELGPDNTSVPTAKFALNGEEFMNFDLKQGTWGGDWPEALAISQRWQQQDKAANKELTFLLFSCPHRLREHLERGRGNLEWKEPPSMRLKARPSSPGFSVLTCSAFSFYPPELQLRFLRNGLAAGTGQGDFGPNSDGSFHASSSLTVKSGDEHHYCCIVQHAGLAQPLRVELESPAKSSVLVVGIVIGVLLLTAAAVGGALLWRRMRSGLPAPWISLRGDDTGVLLPTPGEAQDADLKDVNVIPATA
+>DECOY_sp|P55899|FCGRN_HUMAN IgG receptor FcRn large subunit p51 OS=Homo sapiens OX=9606 GN=FCGRT PE=1 SV=1
+ATAPIVNVDKLDADQAEGPTPLLVGTDDGRLSIWPAPLGSRMRRWLLAGGVAAATLLLVGIVIGVVLVSSKAPSELEVRLPQALGAHQVICCYHHEDGSKVTLSSSAHFSGDSNPGFDGQGTGAALGNRLFRLQLEPPYFSFASCTLVSFGPSSPRAKLRMSPPEKWELNGRGRELHERLRHPCSFLLFTLEKNAAKDQQQWRQSIALAEPWDGGWTGQKLDFNMFEEGNLAFKATPVSTNDPGLECGLLGQLTYPGKGGLAKFAELFLKEKIRLDTTEKEWYWSVQNEWVWAGCPEAEGRLSNYSLYQQPGLWGSVWFAPTGPAPSSVATLHYLLSLHSEAGLSGPLLFLLLGLAWPQPRPVGM
+>sp|Q13630|FCL_HUMAN GDP-L-fucose synthase OS=Homo sapiens OX=9606 GN=TSTA3 PE=1 SV=1
+MGEPQGSMRILVTGGSGLVGKAIQKVVADGAGLPGEDWVFVSSKDADLTDTAQTRALFEKVQPTHVIHLAAMVGGLFRNIKYNLDFWRKNVHMNDNVLHSAFEVGARKVVSCLSTCIFPDKTTYPIDETMIHNGPPHNSNFGYSYAKRMIDVQNRAYFQQYGCTFTAVIPTNVFGPHDNFNIEDGHVLPGLIHKVHLAKSSGSALTVWGTGNPRRQFIYSLDLAQLFIWVLREYNEVEPIILSVGEEDEVSIKEAAEAVVEAMDFHGEVTFDTTKSDGQFKKTASNSKLRTYLPDFRFTPFKQAVKETCAWFTDNYEQARK
+>DECOY_sp|Q13630|FCL_HUMAN GDP-L-fucose synthase OS=Homo sapiens OX=9606 GN=TSTA3 PE=1 SV=1
+KRAQEYNDTFWACTEKVAQKFPTFRFDPLYTRLKSNSATKKFQGDSKTTDFTVEGHFDMAEVVAEAAEKISVEDEEGVSLIIPEVENYERLVWIFLQALDLSYIFQRRPNGTGWVTLASGSSKALHVKHILGPLVHGDEINFNDHPGFVNTPIVATFTCGYQQFYARNQVDIMRKAYSYGFNSNHPPGNHIMTEDIPYTTKDPFICTSLCSVVKRAGVEFASHLVNDNMHVNKRWFDLNYKINRFLGGVMAALHIVHTPQVKEFLARTQATDTLDADKSSVFVWDEGPLGAGDAVVKQIAKGVLGSGGTVLIRMSGQPEGM
+>sp|O75636|FCN3_HUMAN Ficolin-3 OS=Homo sapiens OX=9606 GN=FCN3 PE=1 SV=2
+MDLLWILPSLWLLLLGGPACLKTQEHPSCPGPRELEASKVVLLPSCPGAPGSPGEKGAPGPQGPPGPPGKMGPKGEPGDPVNLLRCQEGPRNCRELLSQGATLSGWYHLCLPEGRALPVFCDMDTEGGGWLVFQRRQDGSVDFFRSWSSYRAGFGNQESEFWLGNENLHQLTLQGNWELRVELEDFNGNRTFAHYATFRLLGEVDHYQLALGKFSEGTAGDSLSLHSGRPFTTYDADHDSSNSNCAVIVHGAWWYASCYRSNLNGRYAVSEAAAHKYGIDWASGRGVGHPYRRVRMMLR
+>DECOY_sp|O75636|FCN3_HUMAN Ficolin-3 OS=Homo sapiens OX=9606 GN=FCN3 PE=1 SV=2
+RLMMRVRRYPHGVGRGSAWDIGYKHAAAESVAYRGNLNSRYCSAYWWAGHVIVACNSNSSDHDADYTTFPRGSHLSLSDGATGESFKGLALQYHDVEGLLRFTAYHAFTRNGNFDELEVRLEWNGQLTLQHLNENGLWFESEQNGFGARYSSWSRFFDVSGDQRRQFVLWGGGETDMDCFVPLARGEPLCLHYWGSLTAGQSLLERCNRPGEQCRLLNVPDGPEGKPGMKGPPGPPGQPGPAGKEGPSGPAGPCSPLLVVKSAELERPGPCSPHEQTKLCAPGGLLLLWLSPLIWLLDM
+>sp|Q96LA5|FCRL2_HUMAN Fc receptor-like protein 2 OS=Homo sapiens OX=9606 GN=FCRL2 PE=1 SV=1
+MLLWSLLVIFDAVTEQADSLTLVAPSSVFEGDSIVLKCQGEQNWKIQKMAYHKDNKELSVFKKFSDFLIQSAVLSDSGNYFCSTKGQLFLWDKTSNIVKIKVQELFQRPVLTASSFQPIEGGPVSLKCETRLSPQRLDVQLQFCFFRENQVLGSGWSSSPELQISAVWSEDTGSYWCKAETVTHRIRKQSLQSQIHVQRIPISNVSLEIRAPGGQVTEGQKLILLCSVAGGTGNVTFSWYREATGTSMGKKTQRSLSAELEIPAVKESDAGKYYCRADNGHVPIQSKVVNIPVRIPVSRPVLTLRSPGAQAAVGDLLELHCEALRGSPPILYQFYHEDVTLGNSSAPSGGGASFNLSLTAEHSGNYSCEANNGLGAQCSEAVPVSISGPDGYRRDLMTAGVLWGLFGVLGFTGVALLLYALFHKISGESSATNEPRGASRPNPQEFTYSSPTPDMEELQPVYVNVGSVDVDVVYSQVWSMQQPESSANIRTLLENKDSQVIYSSVKKS
+>DECOY_sp|Q96LA5|FCRL2_HUMAN Fc receptor-like protein 2 OS=Homo sapiens OX=9606 GN=FCRL2 PE=1 SV=1
+SKKVSSYIVQSDKNELLTRINASSEPQQMSWVQSYVVDVDVSGVNVYVPQLEEMDPTPSSYTFEQPNPRSAGRPENTASSEGSIKHFLAYLLLAVGTFGLVGFLGWLVGATMLDRRYGDPGSISVPVAESCQAGLGNNAECSYNGSHEATLSLNFSAGGGSPASSNGLTVDEHYFQYLIPPSGRLAECHLELLDGVAAQAGPSRLTLVPRSVPIRVPINVVKSQIPVHGNDARCYYKGADSEKVAPIELEASLSRQTKKGMSTGTAERYWSFTVNGTGGAVSCLLILKQGETVQGGPARIELSVNSIPIRQVHIQSQLSQKRIRHTVTEAKCWYSGTDESWVASIQLEPSSSWGSGLVQNERFFCFQLQVDLRQPSLRTECKLSVPGGEIPQFSSATLVPRQFLEQVKIKVINSTKDWLFLQGKTSCFYNGSDSLVASQILFDSFKKFVSLEKNDKHYAMKQIKWNQEGQCKLVISDGEFVSSPAVLTLSDAQETVADFIVLLSWLLM
+>sp|Q6BAA4|FCRLB_HUMAN Fc receptor-like B OS=Homo sapiens OX=9606 GN=FCRLB PE=1 SV=1
+MWPLTALLLLVPSSGQAATLEKPILSLHPPWTTIFKGERVTLKCDGYHPLLLELQPISTLWYLGHLLLPSHKKSIEVQTPGVYRCQTRGAPVSDPIHLSVSNDWLILQVPYAPVFEGEPLVLRCRGWYDKVVYKLHYYHDGQAVRYFHSSANYTVLQARASDSGRYQCSGTMRIPVESAPMFSAKVAVTVQELFRAPVLRVMGPREARGAALGGVVLRCDTRLHPQKRDTPLQFAFYKYSRAVRRFDWGAEYTVPEPEVEELESYWCEAATATRSVRKRSPWLQLPGPGSPLDPASTTAPAPWAAALAPGNRPLSFRKPPVSRSVPLVTSVRNTTSTGLQFPASGAPTAGPPACAPPTPLEQSAGALKPDVDLLLREMQLLKGLLSRVVLELKEPQALRELRGTPETPTSHFAVSPGTPETTPVES
+>DECOY_sp|Q6BAA4|FCRLB_HUMAN Fc receptor-like B OS=Homo sapiens OX=9606 GN=FCRLB PE=1 SV=1
+SEVPTTEPTGPSVAFHSTPTEPTGRLERLAQPEKLELVVRSLLGKLLQMERLLLDVDPKLAGASQELPTPPACAPPGATPAGSAPFQLGTSTTNRVSTVLPVSRSVPPKRFSLPRNGPALAAAWPAPATTSAPDLPSGPGPLQLWPSRKRVSRTATAAECWYSELEEVEPEPVTYEAGWDFRRVARSYKYFAFQLPTDRKQPHLRTDCRLVVGGLAAGRAERPGMVRLVPARFLEQVTVAVKASFMPASEVPIRMTGSCQYRGSDSARAQLVTYNASSHFYRVAQGDHYYHLKYVVKDYWGRCRLVLPEGEFVPAYPVQLILWDNSVSLHIPDSVPAGRTQCRYVGPTQVEISKKHSPLLLHGLYWLTSIPQLELLLPHYGDCKLTVREGKFITTWPPHLSLIPKELTAAQGSSPVLLLLATLPWM
+>sp|Q9BRP7|FDXA1_HUMAN Ferredoxin-fold anticodon-binding domain-containing protein 1 OS=Homo sapiens OX=9606 GN=FDXACB1 PE=1 SV=3
+MAPRRLLLVGEGNFSFAAALSETLDQSTQLTATCLQRPAELARDPLAWENLQCLRERGIDVRFGVDCTQLADVFELHEREFDQIYFIFPHCGRKAGVAKNRELLAKFFQSCADVLAEEGEVHVALCRGQGGTPADKPQREWHNSWQVVAMAALGGLILSDVYPFSCKAVAGYKCTGYRSQDKSFHVEGALNHIFTRSLPFEGSQPRIFRIKLGNQWFSFPEPEALVGKLNRGFLEAPSCHPIKTINEKLIAELGKVFPLKRLKCSYPLLPQEGTSVLPFWNCDFLSAAFWISLHEDNSNSESLTGGTSQDVEDFLVSFSELSLLKNPGRDGKEEACEGTCGQAKICLRPSLLVHVQDVIEVPDFLSGSLHILSGPVFQKCHILPFTMPAFHETLFILGVNQNLKDGCLQSLLDHLKGILDSLLTQTLPESSKLSSLVKFVLQSNGKDYMIRVKTHNFSPDCTEDLIIGSVITSATSVIHKDQCFVFVSMNLDLLAMLVWCISDWRMLWTFDNRFLKNFVPGKIEPFKSHSLYPPCYVHDVSFWIDQKKGFDELEFHTVARAVSQDTIISIQFLSRFQHPKTQQVSLCYRLTYQTCDKALTQQQVASMQSQFRKEIQQHLYVIPR
+>DECOY_sp|Q9BRP7|FDXA1_HUMAN Ferredoxin-fold anticodon-binding domain-containing protein 1 OS=Homo sapiens OX=9606 GN=FDXACB1 PE=1 SV=3
+RPIVYLHQQIEKRFQSQMSAVQQQTLAKDCTQYTLRYCLSVQQTKPHQFRSLFQISIITDQSVARAVTHFELEDFGKKQDIWFSVDHVYCPPYLSHSKFPEIKGPVFNKLFRNDFTWLMRWDSICWVLMALLDLNMSVFVFCQDKHIVSTASTIVSGIILDETCDPSFNHTKVRIMYDKGNSQLVFKVLSSLKSSEPLTQTLLSDLIGKLHDLLSQLCGDKLNQNVGLIFLTEHFAPMTFPLIHCKQFVPGSLIHLSGSLFDPVEIVDQVHVLLSPRLCIKAQGCTGECAEEKGDRGPNKLLSLESFSVLFDEVDQSTGGTLSESNSNDEHLSIWFAASLFDCNWFPLVSTGEQPLLPYSCKLRKLPFVKGLEAILKENITKIPHCSPAELFGRNLKGVLAEPEPFSFWQNGLKIRFIRPQSGEFPLSRTFIHNLAGEVHFSKDQSRYGTCKYGAVAKCSFPYVDSLILGGLAAMAVVQWSNHWERQPKDAPTGGQGRCLAVHVEGEEALVDACSQFFKALLERNKAVGAKRGCHPFIFYIQDFEREHLEFVDALQTCDVGFRVDIGRERLCQLNEWALPDRALEAPRQLCTATLQTSQDLTESLAAAFSFNGEGVLLLRRPAM
+>sp|Q9BSK4|FEM1A_HUMAN Protein fem-1 homolog A OS=Homo sapiens OX=9606 GN=FEM1A PE=1 SV=1
+MDLRTAVYNAARDGKLQLLQKLLSGRSREELDELTGEVAGGGTPLLIAARYGHLDVVEYLVDRCGASVEAGGSVHFDGETIEGAPPLWAASAAGHLDVVRSLLRRGASVNRTTRTNSTPLRAACFDGHLEVVRYLVGEHQADLEVANRHGHTCLMISCYKGHREIARYLLEQGAQVNRRSAKGNTALHDCAESGSLEILQLLLGCKARMERDGYGMTPLLAASVTGHTNIVEYLIQEQPGQEQVAGGEAQPGLPQEDPSTSQGCAQPQGAPCCSSSPEEPLNGESYESCCPTSREAAVEALELLGATYVDKKRDLLGALKHWRRAMELRHQGGEYLPKPEPPQLVLAYDYSREVNTTEELEALITDPDEMRMQALLIRERILGPSHPDTSYYIRYRGAVYADSGNFERCIRLWKYALDMQQSNLEPLSPMTASSFLSFAELFSYVLQDRAAKGSLGTQIGFADLMGVLTKGVREVERALQLPREPGDSAQFTKALAIILHLLYLLEKVECTPSQEHLKHQTVYRLLKCAPRGKNGFTPLHMAVDKDTTNVGRYPVGRFPSLHVVKVLLDCGADPDSRDFDNNTPLHIAAQNNCPAIMNALIEAGAHMDATNAFKKTAYELLDEKLLARGTMQPFNYVTLQCLAARALDKNKIPYKGFIPEDLEAFIELH
+>DECOY_sp|Q9BSK4|FEM1A_HUMAN Protein fem-1 homolog A OS=Homo sapiens OX=9606 GN=FEM1A PE=1 SV=1
+HLEIFAELDEPIFGKYPIKNKDLARAALCQLTVYNFPQMTGRALLKEDLLEYATKKFANTADMHAGAEILANMIAPCNNQAAIHLPTNNDFDRSDPDAGCDLLVKVVHLSPFRGVPYRGVNTTDKDVAMHLPTFGNKGRPACKLLRYVTQHKLHEQSPTCEVKELLYLLHLIIALAKTFQASDGPERPLQLAREVERVGKTLVGMLDAFGIQTGLSGKAARDQLVYSFLEAFSLFSSATMPSLPELNSQQMDLAYKWLRICREFNGSDAYVAGRYRIYYSTDPHSPGLIRERILLAQMRMEDPDTILAELEETTNVERSYDYALVLQPPEPKPLYEGGQHRLEMARRWHKLAGLLDRKKDVYTAGLLELAEVAAERSTPCCSEYSEGNLPEEPSSSCCPAGQPQACGQSTSPDEQPLGPQAEGGAVQEQGPQEQILYEVINTHGTVSAALLPTMGYGDREMRAKCGLLLQLIELSGSEACDHLATNGKASRRNVQAGQELLYRAIERHGKYCSIMLCTHGHRNAVELDAQHEGVLYRVVELHGDFCAARLPTSNTRTTRNVSAGRRLLSRVVDLHGAASAAWLPPAGEITEGDFHVSGGAEVSAGCRDVLYEVVDLHGYRAAILLPTGGGAVEGTLEDLEERSRGSLLKQLLQLKGDRAANYVATRLDM
+>sp|P39748|FEN1_HUMAN Flap endonuclease 1 OS=Homo sapiens OX=9606 GN=FEN1 PE=1 SV=1
+MGIQGLAKLIADVAPSAIRENDIKSYFGRKVAIDASMSIYQFLIAVRQGGDVLQNEEGETTSHLMGMFYRTIRMMENGIKPVYVFDGKPPQLKSGELAKRSERRAEAEKQLQQAQAAGAEQEVEKFTKRLVKVTKQHNDECKHLLSLMGIPYLDAPSEAEASCAALVKAGKVYAAATEDMDCLTFGSPVLMRHLTASEAKKLPIQEFHLSRILQELGLNQEQFVDLCILLGSDYCESIRGIGPKRAVDLIQKHKSIEEIVRRLDPNKYPVPENWLHKEAHQLFLEPEVLDPESVELKWSEPNEEELIKFMCGEKQFSEERIRSGVKRLSKSRQGSTQGRLDDFFKVTGSLSSAKRKEPEPKGSTKKKAKTGAAGKFKRGK
+>DECOY_sp|P39748|FEN1_HUMAN Flap endonuclease 1 OS=Homo sapiens OX=9606 GN=FEN1 PE=1 SV=1
+KGRKFKGAAGTKAKKKTSGKPEPEKRKASSLSGTVKFFDDLRGQTSGQRSKSLRKVGSRIREESFQKEGCMFKILEEENPESWKLEVSEPDLVEPELFLQHAEKHLWNEPVPYKNPDLRRVIEEISKHKQILDVARKPGIGRISECYDSGLLICLDVFQEQNLGLEQLIRSLHFEQIPLKKAESATLHRMLVPSGFTLCDMDETAAAYVKGAKVLAACSAEAESPADLYPIGMLSLLHKCEDNHQKTVKVLRKTFKEVEQEAGAAQAQQLQKEAEARRESRKALEGSKLQPPKGDFVYVPKIGNEMMRITRYFMGMLHSTTEGEENQLVDGGQRVAILFQYISMSADIAVKRGFYSKIDNERIASPAVDAILKALGQIGM
+>sp|Q9UHY8|FEZ2_HUMAN Fasciculation and elongation protein zeta-2 OS=Homo sapiens OX=9606 GN=FEZ2 PE=1 SV=2
+MAADGDWQDFYEFQEPARSLLDQENCNASPEPGAEAGAEAGGGADGFPAPACSLEEKLSLCFRPSDPGAEPPRTAVRPITERSLLQGDEIWNALTDNYGNVMPVDWKSSHTRTLHLLTLNLSEKGVSDSLLFDTSDDEELREQLDMHSIIVSCVNDEPLFTADQVIEEIEEMMQESPDPEDDETPTQSDRLSMLSQEIQTLKRSSTGSYEERVKRLSVSELNEILEEIETAIKEYSEELVQQLALRDELEFEKEVKNSFISVLIEVQNKQKEHKETAKKKKKLKNGSSQNGKNERSHMPGTYLTTVIPYEKKNGPPSVEDLQILTKILRAMKEDSEKVPSLLTDYILKVLCPT
+>DECOY_sp|Q9UHY8|FEZ2_HUMAN Fasciculation and elongation protein zeta-2 OS=Homo sapiens OX=9606 GN=FEZ2 PE=1 SV=2
+TPCLVKLIYDTLLSPVKESDEKMARLIKTLIQLDEVSPPGNKKEYPIVTTLYTGPMHSRENKGNQSSGNKLKKKKKATEKHEKQKNQVEILVSIFSNKVEKEFELEDRLALQQVLEESYEKIATEIEELIENLESVSLRKVREEYSGTSSRKLTQIEQSLMSLRDSQTPTEDDEPDPSEQMMEEIEEIVQDATFLPEDNVCSVIISHMDLQERLEEDDSTDFLLSDSVGKESLNLTLLHLTRTHSSKWDVPMVNGYNDTLANWIEDGQLLSRETIPRVATRPPEAGPDSPRFCLSLKEELSCAPAPFGDAGGGAEAGAEAGPEPSANCNEQDLLSRAPEQFEYFDQWDGDAAM
+>sp|A0PJY2|FEZF1_HUMAN Fez family zinc finger protein 1 OS=Homo sapiens OX=9606 GN=FEZF1 PE=1 SV=1
+MDSSCHNATTKMLATAPARGNMMSTSKPLAFSIERIMARTPEPKALPVPHFLQGALPKGEPKHSLHLNSSIPCMIPFVPVAYDTSPKAGVTGSEPRKASLEAPAAPAAVPSAPAFSCSDLLNCALSLKGDLARDALPLQQYKLVRPRVVNHSSFHAMGALCYLNRGDGPCHPAAGVNIHPVASYFLSSPLHPQPKTYLAERNKLVVPAVEKYPSGVAFKDLSQAQLQHYMKESAQLLSEKIAFKTSDFSRGSPNAKPKVFTCEVCGKVFNAHYNLTRHMPVHTGARPFVCKVCGKGFRQASTLCRHKIIHTQEKPHKCNQCGKAFNRSSTLNTHTRIHAGYKPFVCEFCGKGFHQKGNYKNHKLTHSGEKQFKCNICNKAFHQVYNLTFHMHTHNDKKPFTCPTCGKGFCRNFDLKKHVRKLHDSSLGLARTPAGEPGTEPPPPLPQQPPMTLPPLQPPLPTPGPLQPGLHQGHQ
+>DECOY_sp|A0PJY2|FEZF1_HUMAN Fez family zinc finger protein 1 OS=Homo sapiens OX=9606 GN=FEZF1 PE=1 SV=1
+QHGQHLGPQLPGPTPLPPQLPPLTMPPQQPLPPPPETGPEGAPTRALGLSSDHLKRVHKKLDFNRCFGKGCTPCTFPKKDNHTHMHFTLNYVQHFAKNCINCKFQKEGSHTLKHNKYNGKQHFGKGCFECVFPKYGAHIRTHTNLTSSRNFAKGCQNCKHPKEQTHIIKHRCLTSAQRFGKGCVKCVFPRAGTHVPMHRTLNYHANFVKGCVECTFVKPKANPSGRSFDSTKFAIKESLLQASEKMYHQLQAQSLDKFAVGSPYKEVAPVVLKNREALYTKPQPHLPSSLFYSAVPHINVGAAPHCPGDGRNLYCLAGMAHFSSHNVVRPRVLKYQQLPLADRALDGKLSLACNLLDSCSFAPASPVAAPAAPAELSAKRPESGTVGAKPSTDYAVPVFPIMCPISSNLHLSHKPEGKPLAGQLFHPVPLAKPEPTRAMIREISFALPKSTSMMNGRAPATALMKTTANHCSSDM
+>sp|O15552|FFAR2_HUMAN Free fatty acid receptor 2 OS=Homo sapiens OX=9606 GN=FFAR2 PE=1 SV=1
+MLPDWKSSLILMAYIIIFLTGLPANLLALRAFVGRIRQPQPAPVHILLLSLTLADLLLLLLLPFKIIEAASNFRWYLPKVVCALTSFGFYSSIYCSTWLLAGISIERYLGVAFPVQYKLSRRPLYGVIAALVAWVMSFGHCTIVIIVQYLNTTEQVRSGNEITCYENFTDNQLDVVLPVRLELCLVLFFIPMAVTIFCYWRFVWIMLSQPLVGAQRRRRAVGLAVVTLLNFLVCFGPYNVSHLVGYHQRKSPWWRSIAVVFSSLNASLDPLLFYFSSSVVRRAFGRGLQVLRNQGSSLLGRRGKDTAEGTNEDRGVGQGEGMPSSDFTTE
+>DECOY_sp|O15552|FFAR2_HUMAN Free fatty acid receptor 2 OS=Homo sapiens OX=9606 GN=FFAR2 PE=1 SV=1
+ETTFDSSPMGEGQGVGRDENTGEATDKGRRGLLSSGQNRLVQLGRGFARRVVSSSFYFLLPDLSANLSSFVVAISRWWPSKRQHYGVLHSVNYPGFCVLFNLLTVVALGVARRRRQAGVLPQSLMIWVFRWYCFITVAMPIFFLVLCLELRVPLVVDLQNDTFNEYCTIENGSRVQETTNLYQVIIVITCHGFSMVWAVLAAIVGYLPRRSLKYQVPFAVGLYREISIGALLWTSCYISSYFGFSTLACVVKPLYWRFNSAAEIIKFPLLLLLLLDALTLSLLLIHVPAPQPQRIRGVFARLALLNAPLGTLFIIIYAMLILSSKWDPLM
+>sp|Q92914|FGF11_HUMAN Fibroblast growth factor 11 OS=Homo sapiens OX=9606 GN=FGF11 PE=2 SV=1
+MAALASSLIRQKREVREPGGSRPVSAQRRVCPRGTKSLCQKQLLILLSKVRLCGGRPARPDRGPEPQLKGIVTKLFCRQGFYLQANPDGSIQGTPEDTSSFTHFNLIPVGLRVVTIQSAKLGHYMAMNAEGLLYSSPHFTAECRFKECVFENYYVLYASALYRQRRSGRAWYLGLDKEGQVMKGNRVKKTKAAAHFLPKLLEVAMYQEPSLHSVPEASPSSPPAP
+>DECOY_sp|Q92914|FGF11_HUMAN Fibroblast growth factor 11 OS=Homo sapiens OX=9606 GN=FGF11 PE=2 SV=1
+PAPPSSPSAEPVSHLSPEQYMAVELLKPLFHAAAKTKKVRNGKMVQGEKDLGLYWARGSRRQRYLASAYLVYYNEFVCEKFRCEATFHPSSYLLGEANMAMYHGLKASQITVVRLGVPILNFHTFSSTDEPTGQISGDPNAQLYFGQRCFLKTVIGKLQPEPGRDPRAPRGGCLRVKSLLILLQKQCLSKTGRPCVRRQASVPRSGGPERVERKQRILSSALAAM
+>sp|P61328|FGF12_HUMAN Fibroblast growth factor 12 OS=Homo sapiens OX=9606 GN=FGF12 PE=1 SV=1
+MAAAIASSLIRQKRQARESNSDRVSASKRRSSPSKDGRSLCERHVLGVFSKVRFCSGRKRPVRRRPEPQLKGIVTRLFSQQGYFLQMHPDGTIDGTKDENSDYTLFNLIPVGLRVVAIQGVKASLYVAMNGEGYLYSSDVFTPECKFKESVFENYYVIYSSTLYRQQESGRAWFLGLNKEGQIMKGNRVKKTKPSSHFVPKPIEVCMYREPSLHEIGEKQGRSRKSSGTPTMNGGKVVNQDST
+>DECOY_sp|P61328|FGF12_HUMAN Fibroblast growth factor 12 OS=Homo sapiens OX=9606 GN=FGF12 PE=1 SV=1
+TSDQNVVKGGNMTPTGSSKRSRGQKEGIEHLSPERYMCVEIPKPVFHSSPKTKKVRNGKMIQGEKNLGLFWARGSEQQRYLTSSYIVYYNEFVSEKFKCEPTFVDSSYLYGEGNMAVYLSAKVGQIAVVRLGVPILNFLTYDSNEDKTGDITGDPHMQLFYGQQSFLRTVIGKLQPEPRRRVPRKRGSCFRVKSFVGLVHRECLSRGDKSPSSRRKSASVRDSNSERAQRKQRILSSAIAAAM
+>sp|O60258|FGF17_HUMAN Fibroblast growth factor 17 OS=Homo sapiens OX=9606 GN=FGF17 PE=1 SV=1
+MGAARLLPNLTLCLQLLILCCQTQGENHPSPNFNQYVRDQGAMTDQLSRRQIREYQLYSRTSGKHVQVTGRRISATAEDGNKFAKLIVETDTFGSRVRIKGAESEKYICMNKRGKLIGKPSGKSKDCVFTEIVLENNYTAFQNARHEGWFMAFTRQGRPRQASRSRQNQREAHFIKRLYQGQLPFPNHAEKQKQFEFVGSAPTRRTKRTRRPQPLT
+>DECOY_sp|O60258|FGF17_HUMAN Fibroblast growth factor 17 OS=Homo sapiens OX=9606 GN=FGF17 PE=1 SV=1
+TLPQPRRTRKTRRTPASGVFEFQKQKEAHNPFPLQGQYLRKIFHAERQNQRSRSAQRPRGQRTFAMFWGEHRANQFATYNNELVIETFVCDKSKGSPKGILKGRKNMCIYKESEAGKIRVRSGFTDTEVILKAFKNGDEATASIRRGTVQVHKGSTRSYLQYERIQRRSLQDTMAGQDRVYQNFNPSPHNEGQTQCCLILLQLCLTLNPLLRAAGM
+>sp|O76093|FGF18_HUMAN Fibroblast growth factor 18 OS=Homo sapiens OX=9606 GN=FGF18 PE=1 SV=1
+MYSAPSACTCLCLHFLLLCFQVQVLVAEENVDFRIHVENQTRARDDVSRKQLRLYQLYSRTSGKHIQVLGRRISARGEDGDKYAQLLVETDTFGSQVRIKGKETEFYLCMNRKGKLVGKPDGTSKECVFIEKVLENNYTALMSAKYSGWYVGFTKKGRPRKGPKTRENQQDVHFMKRYPKGQPELQKPFKYTTVTKRSRRIRPTHPA
+>DECOY_sp|O76093|FGF18_HUMAN Fibroblast growth factor 18 OS=Homo sapiens OX=9606 GN=FGF18 PE=1 SV=1
+APHTPRIRRSRKTVTTYKFPKQLEPQGKPYRKMFHVDQQNERTKPGKRPRGKKTFGVYWGSYKASMLATYNNELVKEIFVCEKSTGDPKGVLKGKRNMCLYFETEKGKIRVQSGFTDTEVLLQAYKDGDEGRASIRRGLVQIHKGSTRSYLQYLRLQKRSVDDRARTQNEVHIRFDVNEEAVLVQVQFCLLLFHLCLCTCASPASYM
+>sp|Q8TAT2|FGFP3_HUMAN Fibroblast growth factor-binding protein 3 OS=Homo sapiens OX=9606 GN=FGFBP3 PE=1 SV=1
+MTPPKLRASLSPSLLLLLSGCLLAAARREKGAASNVAEPVPGPTGGSSGRFLSPEQHACSWQLLLPAPEAAAGSELALRCQSPDGARHQCAYRGHPERCAAYAARRAHFWKQVLGGLRKKRRPCHDPAPLQARLCAGKKGHGAELRLVPRASPPARPTVAGFAGESKPRARNRGRTRERASGPAAGTPPPQSAPPKENPSERKTNEGKRKAALVPNEERPMGTGPDPDGLDGNAELTETYCAEKWHSLCNFFVNFWNG
+>DECOY_sp|Q8TAT2|FGFP3_HUMAN Fibroblast growth factor-binding protein 3 OS=Homo sapiens OX=9606 GN=FGFBP3 PE=1 SV=1
+GNWFNVFFNCLSHWKEACYTETLEANGDLGDPDPGTGMPREENPVLAAKRKGENTKRESPNEKPPASQPPPTGAAPGSARERTRGRNRARPKSEGAFGAVTPRAPPSARPVLRLEAGHGKKGACLRAQLPAPDHCPRRKKRLGGLVQKWFHARRAAYAACREPHGRYACQHRAGDPSQCRLALESGAAAEPAPLLLQWSCAHQEPSLFRGSSGGTPGPVPEAVNSAAGKERRAAALLCGSLLLLLSPSLSARLKPPTM
+>sp|P11362|FGFR1_HUMAN Fibroblast growth factor receptor 1 OS=Homo sapiens OX=9606 GN=FGFR1 PE=1 SV=3
+MWSWKCLLFWAVLVTATLCTARPSPTLPEQAQPWGAPVEVESFLVHPGDLLQLRCRLRDDVQSINWLRDGVQLAESNRTRITGEEVEVQDSVPADSGLYACVTSSPSGSDTTYFSVNVSDALPSSEDDDDDDDSSSEEKETDNTKPNRMPVAPYWTSPEKMEKKLHAVPAAKTVKFKCPSSGTPNPTLRWLKNGKEFKPDHRIGGYKVRYATWSIIMDSVVPSDKGNYTCIVENEYGSINHTYQLDVVERSPHRPILQAGLPANKTVALGSNVEFMCKVYSDPQPHIQWLKHIEVNGSKIGPDNLPYVQILKTAGVNTTDKEMEVLHLRNVSFEDAGEYTCLAGNSIGLSHHSAWLTVLEALEERPAVMTSPLYLEIIIYCTGAFLISCMVGSVIVYKMKSGTKKSDFHSQMAVHKLAKSIPLRRQVTVSADSSASMNSGVLLVRPSRLSSSGTPMLAGVSEYELPEDPRWELPRDRLVLGKPLGEGCFGQVVLAEAIGLDKDKPNRVTKVAVKMLKSDATEKDLSDLISEMEMMKMIGKHKNIINLLGACTQDGPLYVIVEYASKGNLREYLQARRPPGLEYCYNPSHNPEEQLSSKDLVSCAYQVARGMEYLASKKCIHRDLAARNVLVTEDNVMKIADFGLARDIHHIDYYKKTTNGRLPVKWMAPEALFDRIYTHQSDVWSFGVLLWEIFTLGGSPYPGVPVEELFKLLKEGHRMDKPSNCTNELYMMMRDCWHAVPSQRPTFKQLVEDLDRIVALTSNQEYLDLSMPLDQYSPSFPDTRSSTCSSGEDSVFSHEPLPEEPCLPRHPAQLANGGLKRR
+>DECOY_sp|P11362|FGFR1_HUMAN Fibroblast growth factor receptor 1 OS=Homo sapiens OX=9606 GN=FGFR1 PE=1 SV=3
+RRKLGGNALQAPHRPLCPEEPLPEHSFVSDEGSSCTSSRTDPFSPSYQDLPMSLDLYEQNSTLAVIRDLDEVLQKFTPRQSPVAHWCDRMMMYLENTCNSPKDMRHGEKLLKFLEEVPVGPYPSGGLTFIEWLLVGFSWVDSQHTYIRDFLAEPAMWKVPLRGNTTKKYYDIHHIDRALGFDAIKMVNDETVLVNRAALDRHICKKSALYEMGRAVQYACSVLDKSSLQEEPNHSPNYCYELGPPRRAQLYERLNGKSAYEVIVYLPGDQTCAGLLNIINKHKGIMKMMEMESILDSLDKETADSKLMKVAVKTVRNPKDKDLGIAEALVVQGFCGEGLPKGLVLRDRPLEWRPDEPLEYESVGALMPTGSSSLRSPRVLLVGSNMSASSDASVTVQRRLPISKALKHVAMQSHFDSKKTGSKMKYVIVSGVMCSILFAGTCYIIIELYLPSTMVAPREELAELVTLWASHHSLGISNGALCTYEGADEFSVNRLHLVEMEKDTTNVGATKLIQVYPLNDPGIKSGNVEIHKLWQIHPQPDSYVKCMFEVNSGLAVTKNAPLGAQLIPRHPSREVVDLQYTHNISGYENEVICTYNGKDSPVVSDMIISWTAYRVKYGGIRHDPKFEKGNKLWRLTPNPTGSSPCKFKVTKAAPVAHLKKEMKEPSTWYPAVPMRNPKTNDTEKEESSSDDDDDDDESSPLADSVNVSFYTTDSGSPSSTVCAYLGSDAPVSDQVEVEEGTIRTRNSEALQVGDRLWNISQVDDRLRCRLQLLDGPHVLFSEVEVPAGWPQAQEPLTPSPRATCLTATVLVAWFLLCKWSWM
+>sp|P21802|FGFR2_HUMAN Fibroblast growth factor receptor 2 OS=Homo sapiens OX=9606 GN=FGFR2 PE=1 SV=1
+MVSWGRFICLVVVTMATLSLARPSFSLVEDTTLEPEEPPTKYQISQPEVYVAAPGESLEVRCLLKDAAVISWTKDGVHLGPNNRTVLIGEYLQIKGATPRDSGLYACTASRTVDSETWYFMVNVTDAISSGDDEDDTDGAEDFVSENSNNKRAPYWTNTEKMEKRLHAVPAANTVKFRCPAGGNPMPTMRWLKNGKEFKQEHRIGGYKVRNQHWSLIMESVVPSDKGNYTCVVENEYGSINHTYHLDVVERSPHRPILQAGLPANASTVVGGDVEFVCKVYSDAQPHIQWIKHVEKNGSKYGPDGLPYLKVLKAAGVNTTDKEIEVLYIRNVTFEDAGEYTCLAGNSIGISFHSAWLTVLPAPGREKEITASPDYLEIAIYCIGVFLIACMVVTVILCRMKNTTKKPDFSSQPAVHKLTKRIPLRRQVTVSAESSSSMNSNTPLVRITTRLSSTADTPMLAGVSEYELPEDPKWEFPRDKLTLGKPLGEGCFGQVVMAEAVGIDKDKPKEAVTVAVKMLKDDATEKDLSDLVSEMEMMKMIGKHKNIINLLGACTQDGPLYVIVEYASKGNLREYLRARRPPGMEYSYDINRVPEEQMTFKDLVSCTYQLARGMEYLASQKCIHRDLAARNVLVTENNVMKIADFGLARDINNIDYYKKTTNGRLPVKWMAPEALFDRVYTHQSDVWSFGVLMWEIFTLGGSPYPGIPVEELFKLLKEGHRMDKPANCTNELYMMMRDCWHAVPSQRPTFKQLVEDLDRILTLTTNEEYLDLSQPLEQYSPSYPDTRSSCSSGDDSVFSPDPMPYEPCLPQYPHINGSVKT
+>DECOY_sp|P21802|FGFR2_HUMAN Fibroblast growth factor receptor 2 OS=Homo sapiens OX=9606 GN=FGFR2 PE=1 SV=1
+TKVSGNIHPYQPLCPEYPMPDPSFVSDDGSSCSSRTDPYSPSYQELPQSLDLYEENTTLTLIRDLDEVLQKFTPRQSPVAHWCDRMMMYLENTCNAPKDMRHGEKLLKFLEEVPIGPYPSGGLTFIEWMLVGFSWVDSQHTYVRDFLAEPAMWKVPLRGNTTKKYYDINNIDRALGFDAIKMVNNETVLVNRAALDRHICKQSALYEMGRALQYTCSVLDKFTMQEEPVRNIDYSYEMGPPRRARLYERLNGKSAYEVIVYLPGDQTCAGLLNIINKHKGIMKMMEMESVLDSLDKETADDKLMKVAVTVAEKPKDKDIGVAEAMVVQGFCGEGLPKGLTLKDRPFEWKPDEPLEYESVGALMPTDATSSLRTTIRVLPTNSNMSSSSEASVTVQRRLPIRKTLKHVAPQSSFDPKKTTNKMRCLIVTVVMCAILFVGICYIAIELYDPSATIEKERGPAPLVTLWASHFSIGISNGALCTYEGADEFTVNRIYLVEIEKDTTNVGAAKLVKLYPLGDPGYKSGNKEVHKIWQIHPQADSYVKCVFEVDGGVVTSANAPLGAQLIPRHPSREVVDLHYTHNISGYENEVVCTYNGKDSPVVSEMILSWHQNRVKYGGIRHEQKFEKGNKLWRMTPMPNGGAPCRFKVTNAAPVAHLRKEMKETNTWYPARKNNSNESVFDEAGDTDDEDDGSSIADTVNVMFYWTESDVTRSATCAYLGSDRPTAGKIQLYEGILVTRNNPGLHVGDKTWSIVAADKLLCRVELSEGPAAVYVEPQSIQYKTPPEEPELTTDEVLSFSPRALSLTAMTVVVLCIFRGWSVM
+>sp|Q9NVK5|FGOP2_HUMAN FGFR1 oncogene partner 2 OS=Homo sapiens OX=9606 GN=FGFR1OP2 PE=1 SV=1
+MSCTIEKALADAKALVERLRDHDDAAESLIEQTTALNKRVEAMKQYQEEIQELNEVARHRPRSTLVMGIQQENRQIRELQQENKELRTSLEEHQSALELIMSKYREQMFRLLMASKKDDPGIIMKLKEQHSKIDMVHRNKSEGFFLDASRHILEAPQHGLERRHLEANQNELQAHVDQITEMAAVMRKAIEIDEQQGCKEQERIFQLEQENKGLREILQITRESFLNLRKDDASESTSLSALVTNSDLSLRKS
+>DECOY_sp|Q9NVK5|FGOP2_HUMAN FGFR1 oncogene partner 2 OS=Homo sapiens OX=9606 GN=FGFR1OP2 PE=1 SV=1
+SKRLSLDSNTVLASLSTSESADDKRLNLFSERTIQLIERLGKNEQELQFIREQEKCGQQEDIEIAKRMVAAMETIQDVHAQLENQNAELHRRELGHQPAELIHRSADLFFGESKNRHVMDIKSHQEKLKMIIGPDDKKSAMLLRFMQERYKSMILELASQHEELSTRLEKNEQQLERIQRNEQQIGMVLTSRPRHRAVENLEQIEEQYQKMAEVRKNLATTQEILSEAADDHDRLREVLAKADALAKEITCSM
+>sp|Q8N441|FGRL1_HUMAN Fibroblast growth factor receptor-like 1 OS=Homo sapiens OX=9606 GN=FGFRL1 PE=1 SV=1
+MTPSPLLLLLLPPLLLGAFPPAAAARGPPKMADKVVPRQVARLGRTVRLQCPVEGDPPPLTMWTKDGRTIHSGWSRFRVLPQGLKVKQVEREDAGVYVCKATNGFGSLSVNYTLVVLDDISPGKESLGPDSSSGGQEDPASQQWARPRFTQPSKMRRRVIARPVGSSVRLKCVASGHPRPDITWMKDDQALTRPEAAEPRKKKWTLSLKNLRPEDSGKYTCRVSNRAGAINATYKVDVIQRTRSKPVLTGTHPVNTTVDFGGTTSFQCKVRSDVKPVIQWLKRVEYGAEGRHNSTIDVGGQKFVVLPTGDVWSRPDGSYLNKLLITRARQDDAGMYICLGANTMGYSFRSAFLTVLPDPKPPGPPVASSSSATSLPWPVVIGIPAGAVFILGTLLLWLCQAQKKPCTPAPAPPLPGHRPPGTARDRSGDKDLPSLAALSAGPGVGLCEEHGSPAAPQHLLGPGPVAGPKLYPKLYTDIHTHTHTHSHTHSHVEGKVHQHIHYQC
+>DECOY_sp|Q8N441|FGRL1_HUMAN Fibroblast growth factor receptor-like 1 OS=Homo sapiens OX=9606 GN=FGFRL1 PE=1 SV=1
+CQYHIHQHVKGEVHSHTHSHTHTHTHIDTYLKPYLKPGAVPGPGLLHQPAAPSGHEECLGVGPGASLAALSPLDKDGSRDRATGPPRHGPLPPAPAPTCPKKQAQCLWLLLTGLIFVAGAPIGIVVPWPLSTASSSSAVPPGPPKPDPLVTLFASRFSYGMTNAGLCIYMGADDQRARTILLKNLYSGDPRSWVDGTPLVVFKQGGVDITSNHRGEAGYEVRKLWQIVPKVDSRVKCQFSTTGGFDVTTNVPHTGTLVPKSRTRQIVDVKYTANIAGARNSVRCTYKGSDEPRLNKLSLTWKKKRPEAAEPRTLAQDDKMWTIDPRPHGSAVCKLRVSSGVPRAIVRRRMKSPQTFRPRAWQQSAPDEQGGSSSDPGLSEKGPSIDDLVVLTYNVSLSGFGNTAKCVYVGADEREVQKVKLGQPLVRFRSWGSHITRGDKTWMTLPPPDGEVPCQLRVTRGLRAVQRPVVKDAMKPPGRAAAAPPFAGLLLPPLLLLLLPSPTM
+>sp|P0C7X4|FHL19_HUMAN Putative ferritin heavy polypeptide-like 19 OS=Homo sapiens OX=9606 GN=FTH1P19 PE=5 SV=1
+MAFYFDQDDAALEHFDRYFLRQSQEKREHAQELMSLQNLRGGRICLHDIRKPEGQGWESGLKAMECTFHLEKNINQSLLELHQLARENGDPQLCDFLENDFLNQQAKTIKELGGYLSNLHKMGAPEAGLAEYLFNKLTLGRSQKHTRAQTGPTATGCLPLLAPPGGTSMFPFQNILFIFLLSVLPLLAIKLSVLKAIKVSS
+>DECOY_sp|P0C7X4|FHL19_HUMAN Putative ferritin heavy polypeptide-like 19 OS=Homo sapiens OX=9606 GN=FTH1P19 PE=5 SV=1
+SSVKIAKLVSLKIALLPLVSLLFIFLINQFPFMSTGGPPALLPLCGTATPGTQARTHKQSRGLTLKNFLYEALGAEPAGMKHLNSLYGGLEKITKAQQNLFDNELFDCLQPDGNERALQHLELLSQNINKELHFTCEMAKLGSEWGQGEPKRIDHLCIRGGRLNQLSMLEQAHERKEQSQRLFYRDFHELAADDQDFYFAM
+>sp|Q8TAL6|FIBIN_HUMAN Fin bud initiation factor homolog OS=Homo sapiens OX=9606 GN=FIBIN PE=1 SV=1
+MVFLKFFCMSFFCHLCQGYFDGPLYPEMSNGTLHHYFVPDGDYEENDDPEKCQLLFRVSDHRRCSQGEGSQVGSLLSLTLREEFTVLGRQVEDAGRVLEGISKSISYDLDGEESYGKYLRRESHQIGDAYSNSDKSLTELESKFKQGQEQDSRQESRLNEDFLGMLVHTRSLLKETLDISVGLRDKYELLALTIRSHGTRLGRLKNDYLKV
+>DECOY_sp|Q8TAL6|FIBIN_HUMAN Fin bud initiation factor homolog OS=Homo sapiens OX=9606 GN=FIBIN PE=1 SV=1
+VKLYDNKLRGLRTGHSRITLALLEYKDRLGVSIDLTEKLLSRTHVLMGLFDENLRSEQRSDQEQGQKFKSELETLSKDSNSYADGIQHSERRLYKGYSEEGDLDYSISKSIGELVRGADEVQRGLVTFEERLTLSLLSGVQSGEGQSCRRHDSVRFLLQCKEPDDNEEYDGDPVFYHHLTGNSMEPYLPGDFYGQCLHCFFSMCFFKLFVM
+>sp|O43427|FIBP_HUMAN Acidic fibroblast growth factor intracellular-binding protein OS=Homo sapiens OX=9606 GN=FIBP PE=1 SV=3
+MTSELDIFVGNTTLIDEDVYRLWLDGYSVTDAVALRVRSGILEQTGATAAVLQSDTMDHYRTFHMLERLLHAPPKLLHQLIFQIPPSRQALLIERYYAFDEAFVREVLGKKLSKGTKKDLDDISTKTGITLKSCRRQFDNFKRVFKVVEEMRGSLVDNIQQHFLLSDRLARDYAAIVFFANNRFETGKKKLQYLSFGDFAFCAELMIQNWTLGAVGEAPTDPDSQMDDMDMDLDKEFLQDLKELKVLVADKDLLDLHKSLVCTALRGKLGVFSEMEANFKNLSRGLVNVAAKLTHNKDVRDLFVDLVEKFVEPCRSDHWPLSDVRFFLNQYSASVHSLDGFRHQALWDRYMGTLRGCLLRLYHD
+>DECOY_sp|O43427|FIBP_HUMAN Acidic fibroblast growth factor intracellular-binding protein OS=Homo sapiens OX=9606 GN=FIBP PE=1 SV=3
+DHYLRLLCGRLTGMYRDWLAQHRFGDLSHVSASYQNLFFRVDSLPWHDSRCPEVFKEVLDVFLDRVDKNHTLKAAVNVLGRSLNKFNAEMESFVGLKGRLATCVLSKHLDLLDKDAVLVKLEKLDQLFEKDLDMDMDDMQSDPDTPAEGVAGLTWNQIMLEACFAFDGFSLYQLKKKGTEFRNNAFFVIAAYDRALRDSLLFHQQINDVLSGRMEEVVKFVRKFNDFQRRCSKLTIGTKTSIDDLDKKTGKSLKKGLVERVFAEDFAYYREILLAQRSPPIQFILQHLLKPPAHLLRELMHFTRYHDMTDSQLVAATAGTQELIGSRVRLAVADTVSYGDLWLRYVDEDILTTNGVFIDLESTM
+>sp|Q5HY92|FIGN_HUMAN Fidgetin OS=Homo sapiens OX=9606 GN=FIGN PE=1 SV=2
+MISSTSVYGLKMQWTPEHAQWPEQHFDITSTTRSPAHKVEAYRGHLQRTYQYAWANDDISALTASNLLKKYAEKYSGILEGPVDRPVLSNYSDTPSGLVNGRKNESEPWQPSLNSEAVYPMNCVPDVITASKAGVSSALPPADVSASIGSSPGVASNLTEPSYSSSTCGSHTVPSLHAGLPSQEYAPGYNGSYLHSTYSSQPAPALPSPHPSPLHSSGLLQPPPPPPPPPALVPGYNGTSNLSSYSYPSASYPPQTAVGSGYSPGGAPPPPSAYLPSGIPAPTPLPPTTVPGYTYQGHGLTPIAPSALTNSSASSLKRKAFYMAGQGDMDSSYGNYSYGQQRSTQSPMYRMPDNSISNTNRGNGFDRSAETSSLAFKPTKQLMSSEQQRKFSSQSSRALTPPSYSTAKNSLGSRSSESFGKYTSPVMSEHGDEHRQLLSHPMQGPGLRAATSSNHSVDEQLKNTDTHLIDLVTNEIITQGPPVDWNDIAGLDLVKAVIKEEVLWPVLRSDAFSGLTALPRSILLFGPRGTGKTLLGRCIASQLGATFFKIAGSGLVAKWLGEAEKIIHASFLVARCRQPSVIFVSDIDMLLSSQVNEEHSPVSRMRTEFLMQLDTVLTSAEDQIVVICATSKPEEIDESLRRYFMKRLLIPLPDSTARHQIIVQLLSQHNYCLNDKEFALLVQRTEGFSGLDVAHLCQEAVVGPLHAMPATDLSAIMPSQLRPVTYQDFENAFCKIQPSISQKELDMYVEWNKMFGCSQ
+>DECOY_sp|Q5HY92|FIGN_HUMAN Fidgetin OS=Homo sapiens OX=9606 GN=FIGN PE=1 SV=2
+QSCGFMKNWEVYMDLEKQSISPQIKCFANEFDQYTVPRLQSPMIASLDTAPMAHLPGVVAEQCLHAVDLGSFGETRQVLLAFEKDNLCYNHQSLLQVIIQHRATSDPLPILLRKMFYRRLSEDIEEPKSTACIVVIQDEASTLVTDLQMLFETRMRSVPSHEENVQSSLLMDIDSVFIVSPQRCRAVLFSAHIIKEAEGLWKAVLGSGAIKFFTAGLQSAICRGLLTKGTGRPGFLLISRPLATLGSFADSRLVPWLVEEKIVAKVLDLGAIDNWDVPPGQTIIENTVLDILHTDTNKLQEDVSHNSSTAARLGPGQMPHSLLQRHEDGHESMVPSTYKGFSESSRSGLSNKATSYSPPTLARSSQSSFKRQQESSMLQKTPKFALSSTEASRDFGNGRNTNSISNDPMRYMPSQTSRQQGYSYNGYSSDMDGQGAMYFAKRKLSSASSNTLASPAIPTLGHGQYTYGPVTTPPLPTPAPIGSPLYASPPPPAGGPSYGSGVATQPPYSASPYSYSSLNSTGNYGPVLAPPPPPPPPPQLLGSSHLPSPHPSPLAPAPQSSYTSHLYSGNYGPAYEQSPLGAHLSPVTHSGCTSSSYSPETLNSAVGPSSGISASVDAPPLASSVGAKSATIVDPVCNMPYVAESNLSPQWPESENKRGNVLGSPTDSYNSLVPRDVPGELIGSYKEAYKKLLNSATLASIDDNAWAYQYTRQLHGRYAEVKHAPSRTTSTIDFHQEPWQAHEPTWQMKLGYVSTSSIM
+>sp|Q5D862|FILA2_HUMAN Filaggrin-2 OS=Homo sapiens OX=9606 GN=FLG2 PE=1 SV=1
+MTDLLRSVVTVIDVFYKYTKQDGECGTLSKGELKELLEKELHPVLKNPDDPDTVDVIMHMLDRDHDRRLDFTEFLLMIFKLTMACNKVLSKEYCKASGSKKHRRGHRHQEEESETEEDEEDTPGHKSGYRHSSWSEGEEHGYSSGHSRGTVKCRHGSNSRRLGRQGNLSSSGNQEGSQKRYHRSSCGHSWSGGKDRHGSSSVELRERINKSHISPSRESGEEYESGSGSNSWERKGHGGLSCGLETSGHESNSTQSRIREQKLGSSCSGSGDSGRRSHACGYSNSSGCGRPQNASSSCQSHRFGGQGNQFSYIQSGCQSGIKGGQGHGCVSGGQPSGCGQPESNPCSQSYSQRGYGARENGQPQNCGGQWRTGSSQSSCCGQYGSGGSQSCSNGQHEYGSCGRFSNSSSSNEFSKCDQYGSGSSQSTSFEQHGTGLSQSSGFEQHVCGSGQTCGQHESTSSQSLGYDQHGSSSGKTSGFGQHGSGSGQSSGFGQCGSGSGQSSGFGQHGSVSGQSSGFGQHGSVSGQSSGFGQHESRSRQSSYGQHGSGSSQSSGYGQYGSRETSGFGQHGLGSGQSTGFGQYGSGSGQSSGFGQHGSGSGQSSGFGQHESRSGQSSYGQHSSGSSQSSGYGQHGSRQTSGFGQHGSGSSQSTGFGQYGSGSGQSSGFGQHVSGSGQSSGFGQHESRSGHSSYGQHGFGSSQSSGYGQHGSSSGQTSGFGQHELSSGQSSSFGQHGSGSGQSSGFGQHGSGSGQSSGFGQHESRSGQSSYGQHSSGSSQSSGYGQHGSRQTSGFGQHGSGSSQSTGFGQYGSGSGQSAGFGQHGSGSGQSSGFGQHESRSHQSSYGQHGSGSSQSSGYGQHGSSSGQTSGFGQHRSSSGQYSGFGQHGSGSGQSSGFGQHGTGSGQYSGFGQHESRSHQSSYGQHGSGSSQSSGYGQHGSSSGQTFGFGQHRSGSGQSSGFGQHGSGSGQSSGFGQHESGSGKSSGFGQHESRSSQSNYGQHGSGSSQSSGYGQHGSSSGQTTGFGQHRSSSGQYSGFGQHGSGSDQSSGFGQHGTGSGQSSGFGQYESRSRQSSYGQHGSGSSQSSGYGQHGSNSGQTSGFGQHRPGSGQSSGFGQYGSGSGQSSGFGQHGSGTGKSSGFAQHEYRSGQSSYGQHGTGSSQSSGCGQHESGSGPTTSFGQHVSGSDNFSSSGQHISDSGQSTGFGQYGSGSGQSTGLGQGESQQVESGSTVHGRQETTHGQTINTTRHSQSGQGQSTQTGSRVTRRRRSSQSENSDSEVHSKVSHRHSEHIHTQAGSHYPKSGSTVRRRQGTTHGQRGDTTRHGHSGHGQSTQTGSRTSGRQRFSHSDATDSEVHSGVSHRPHSQEQTHSQAGSQHGESESTVHERHETTYGQTGEATGHGHSGHGQSTQRGSRTTGRRGSGHSESSDSEVHSGGSHRPQSQEQTHGQAGSQHGESGSTVHGRHGTTHGQTGDTTRHAHYHHGKSTQRGSSTTGRRGSGHSESSDSEVHSGGSHTHSGHTHGQSGSQHGESESIIHDRHRITHGQTGDTTRHSYSGHEQTTQTGSRTTGRQRTSHSESTDSEVHSGGSHRPHSREHTYGQAGSQHEEPEFTVHERHGTTHGQIGDTTGHSHSGHGQSTQRGSRTTGRQRSSHSESSDSEVHSGVSHTHTGHTHGQAGSQHGQSESIVPERHGTTHGQTGDTTRHAHYHHGLTTQTGSRTTGRRGSGHSEYSDSEGYSGVSHTHSGHTHGQARSQHGESESIVHERHGTIHGQTGDTTRHAHSGHGQSTQTGSRTTGRRSSGHSEYSDSEGHSGFSQRPHSRGHTHGQAGSQHGESESIVDERHGTTHGQTGDTSGHSQSGHGQSTQSGSSTTGRRRSGHSESSDSEVHSGGSHTHSGHTHSQARSQHGESESTVHKRHQTTHGQTGDTTEHGHPSHGQTIQTGSRTTGRRGSGHSEYSDSEGPSGVSHTHSGHTHGQAGSHYPESGSSVHERHGTTHGQTADTTRHGHSGHGQSTQRGSRTTGRRASGHSEYSDSEGHSGVSHTHSGHAHGQAGSQHGESGSSVHERHGTTHGQTGDTTRHAHSGHGQSTQRGSRTAGRRGSGHSESSDSEVHSGVSHTHSGHTYGQARSQHGESGSAIHGRQGTIHGQTGDTTRHGQSGHGQSTQTGSRTTGRQRSSHSESSDSEVHSEASPTHSGHTHSQAGSRHGQSGSSGHGRQGTTHGQTGDTTRHAHYGYGQSTQRGSRTTGRRGSGHSESSDSEVHSWGSHTHSGHIQGQAGSQQRQPGSTVHGRLETTHGQTGDTTRHGHSGYGQSTQTGSRSSRASHFQSHSSERQRHGSSQVWKHGSYGPAEYDYGHTGYGPSGGSRKSISNSHLSWSTDSTANKQLSRH
+>DECOY_sp|Q5D862|FILA2_HUMAN Filaggrin-2 OS=Homo sapiens OX=9606 GN=FLG2 PE=1 SV=1
+HRSLQKNATSDTSWSLHSNSISKRSGGSPGYGTHGYDYEAPGYSGHKWVQSSGHRQRESSHSQFHSARSSRSGTQTSQGYGSHGHRTTDGTQGHTTELRGHVTSGPQRQQSGAQGQIHGSHTHSGWSHVESDSSESHGSGRRGTTRSGRQTSQGYGYHAHRTTDGTQGHTTGQRGHGSSGSQGHRSGAQSHTHGSHTPSAESHVESDSSESHSSRQRGTTRSGTQTSQGHGSQGHRTTDGTQGHITGQRGHIASGSEGHQSRAQGYTHGSHTHSVGSHVESDSSESHGSGRRGATRSGRQTSQGHGSHAHRTTDGTQGHTTGHREHVSSGSEGHQSGAQGHAHGSHTHSVGSHGESDSYESHGSARRGTTRSGRQTSQGHGSHGHRTTDATQGHTTGHREHVSSGSEPYHSGAQGHTHGSHTHSVGSPGESDSYESHGSGRRGTTRSGTQITQGHSPHGHETTDGTQGHTTQHRKHVTSESEGHQSRAQSHTHGSHTHSGGSHVESDSSESHGSRRRGTTSSGSQTSQGHGSQSHGSTDGTQGHTTGHREDVISESEGHQSGAQGHTHGRSHPRQSFGSHGESDSYESHGSSRRGTTRSGTQTSQGHGSHAHRTTDGTQGHITGHREHVISESEGHQSRAQGHTHGSHTHSVGSYGESDSYESHGSGRRGTTRSGTQTTLGHHYHAHRTTDGTQGHTTGHREPVISESQGHQSGAQGHTHGTHTHSVGSHVESDSSESHSSRQRGTTRSGRQTSQGHGSHSHGTTDGIQGHTTGHREHVTFEPEEHQSGAQGYTHERSHPRHSGGSHVESDTSESHSTRQRGTTRSGTQTTQEHGSYSHRTTDGTQGHTIRHRDHIISESEGHQSGSQGHTHGSHTHSGGSHVESDSSESHGSGRRGTTSSGRQTSKGHHYHAHRTTDGTQGHTTGHRGHVTSGSEGHQSGAQGHTQEQSQPRHSGGSHVESDSSESHGSGRRGTTRSGRQTSQGHGSHGHGTAEGTQGYTTEHREHVTSESEGHQSGAQSHTQEQSHPRHSVGSHVESDTADSHSFRQRGSTRSGTQTSQGHGSHGHRTTDGRQGHTTGQRRRVTSGSKPYHSGAQTHIHESHRHSVKSHVESDSNESQSSRRRRTVRSGTQTSQGQGSQSHRTTNITQGHTTEQRGHVTSGSEVQQSEGQGLGTSQGSGSGYQGFGTSQGSDSIHQGSSSFNDSGSVHQGFSTTPGSGSEHQGCGSSQSSGTGHQGYSSQGSRYEHQAFGSSKGTGSGHQGFGSSQGSGSGYQGFGSSQGSGPRHQGFGSTQGSNSGHQGYGSSQSSGSGHQGYSSQRSRSEYQGFGSSQGSGTGHQGFGSSQDSGSGHQGFGSYQGSSSRHQGFGTTQGSSSGHQGYGSSQSSGSGHQGYNSQSSRSEHQGFGSSKGSGSEHQGFGSSQGSGSGHQGFGSSQGSGSRHQGFGFTQGSSSGHQGYGSSQSSGSGHQGYSSQHSRSEHQGFGSYQGSGTGHQGFGSSQGSGSGHQGFGSYQGSSSRHQGFGSTQGSSSGHQGYGSSQSSGSGHQGYSSQHSRSEHQGFGSSQGSGSGHQGFGASQGSGSGYQGFGTSQSSGSGHQGFGSTQRSGHQGYGSSQSSGSSHQGYSSQGSRSEHQGFGSSQGSGSGHQGFGSSQGSGSGHQGFSSSQGSSLEHQGFGSTQGSSSGHQGYGSSQSSGFGHQGYSSHGSRSEHQGFGSSQGSGSVHQGFGSSQGSGSGYQGFGTSQSSGSGHQGFGSTQRSGHQGYGSSQSSGSSHQGYSSQGSRSEHQGFGSSQGSGSGHQGFGSSQGSGSGYQGFGTSQGSGLGHQGFGSTERSGYQGYGSSQSSGSGHQGYSSQRSRSEHQGFGSSQGSVSGHQGFGSSQGSVSGHQGFGSSQGSGSGCQGFGSSQGSGSGHQGFGSTKGSSSGHQDYGLSQSSTSEHQGCTQGSGCVHQEFGSSQSLGTGHQEFSTSQSSGSGYQDCKSFENSSSSNSFRGCSGYEHQGNSCSQSGGSGYQGCCSSQSSGTRWQGGCNQPQGNERAGYGRQSYSQSCPNSEPQGCGSPQGGSVCGHGQGGKIGSQCGSQIYSFQNGQGGFRHSQCSSSANQPRGCGSSNSYGCAHSRRGSDGSGSCSSGLKQERIRSQTSNSEHGSTELGCSLGGHGKREWSNSGSGSEYEEGSERSPSIHSKNIRERLEVSSSGHRDKGGSWSHGCSSRHYRKQSGEQNGSSSLNGQRGLRRSNSGHRCKVTGRSHGSSYGHEEGESWSSHRYGSKHGPTDEEDEETESEEEQHRHGRRHKKSGSAKCYEKSLVKNCAMTLKFIMLLFETFDLRRDHDRDLMHMIVDVTDPDDPNKLVPHLEKELLEKLEGKSLTGCEGDQKTYKYFVDIVTVVSRLLDTM
+>sp|P20930|FILA_HUMAN Filaggrin OS=Homo sapiens OX=9606 GN=FLG PE=1 SV=3
+MSTLLENIFAIINLFKQYSKKDKNTDTLSKKELKELLEKEFRQILKNPDDPDMVDVFMDHLDIDHNKKIDFTEFLLMVFKLAQAYYESTRKENLPISGHKHRKHSHHDKHEDNKQEENKENRKRPSSLERRNNRKGNKGRSKSPRETGGKRHESSSEKKERKGYSPTHREEEYGKNHHNSSKKEKNKTENTRLGDNRKRLSERLEEKEDNEEGVYDYENTGRMTQKWIQSGHIATYYTIQDEAYDTTDSLLEENKIYERSRSSDGKSSSQVNRSRHENTSQVPLQESRTRKRRGSRVSQDRDSEGHSEDSERHSGSASRNHHGSAWEQSRDGSRHPRSHDEDRASHGHSADSSRQSGTRHAETSSRGQTASSHEQARSSPGERHGSGHQQSADSSRHSATGRGQASSAVSDRGHRGSSGSQASDSEGHSENSDTQSVSGHGKAGLRQQSHQESTRGRSGERSGRSGSSLYQVSTHEQPDSAHGRTGTSTGGRQGSHHEQARDSSRHSASQEGQDTIRGHPGSSRGGRQGSHHEQSVNRSGHSGSHHSHTTSQGRSDASHGQSGSRSASRQTRNEEQSGDGTRHSGSRHHEASSQADSSRHSQVGQGQSSGPRTSRNQGSSVSQDSDSQGHSEDSERWSGSASRNHHGSAQEQSRDGSRHPRSHHEDRAGHGHSADSSRKSGTRHTQNSSSGQAASSHEQARSSAGERHGSRHQLQSADSSRHSGTGHGQASSAVRDSGHRGSSGSQATDSEGHSEDSDTQSVSGHGQAGHHQQSHQESARDRSGERSRRSGSFLYQVSTHKQSESSHGWTGPSTGVRQGSHHEQARDNSRHSASQDGQDTIRGHPGSSRRGRQGSHHEQSVDRSGHSGSHHSHTTSQGRSDASRGQSGSRSASRTTRNEEQSRDGSRHSGSRHHEASSHADISRHSQAGQGQSEGSRTSRRQGSSVSQDSDSEGHSEDSERWSGSASRNHRGSAQEQSRHGSRHPRSHHEDRAGHGHSADSSRQSGTPHAETSSGGQAASSHEQARSSPGERHGSRHQQSADSSRHSGIPRRQASSAVRDSGHWGSSGSQASDSEGHSEESDTQSVSGHGQDGPHQQSHQESARDWSGGRSGRSGSFIYQVSTHEQSESAHGRTRTSTGRRQGSHHEQARDSSRHSASQEGQDTIRAHPGSRRGGRQGSHHEQSVDRSGHSGSHHSHTTSQGRSDASHGQSGSRSASRQTRKDKQSGDGSRHSGSRHHEAASWADSSRHSQVGQEQSSGSRTSRHQGSSVSQDSDSERHSDDSERLSGSASRNHHGSSREQSRDGSRHPGFHQEDRASHGHSADSSRQSGTHHTESSSHGQAVSSHEQARSSPGERHGSRHQQSADSSRHSGIGHRQASSAVRDSGHRGSSGSQVTNSEGHSEDSDTQSVSAHGQAGPHQQSHKESARGQSGESSGRSRSFLYQVSSHEQSESTHGQTAPSTGGRQGSRHEQARNSSRHSASQDGQDTIRGHPGSSRGGRQGSYHEQSVDRSGHSGYHHSHTTPQGRSDASHGQSGPRSASRQTRNEEQSGDGSRHSGSRHHEPSTRAGSSRHSQVGQGESAGSKTSRRQGSSVSQDRDSEGHSEDSERRSESASRNHYGSAREQSRHGSRNPRSHQEDRASHGHSAESSRQSGTRHAETSSGGQAASSQEQARSSPGERHGSRHQQSADSSTDSGTGRRQDSSVVGDSGNRGSSGSQASDSEGHSEESDTQSVSAHGQAGPHQQSHQESTRGQSGERSGRSGSFLYQVSTHEQSESAHGRTGPSTGGRQRSRHEQARDSSRHSASQEGQDTIRGHPGSSRGGRQGSHYEQSVDSSGHSGSHHSHTTSQERSDVSRGQSGSRSVSRQTRNEKQSGDGSRHSGSRHHEASSRADSSRHSQVGQGQSSGPRTSRNQGSSVSQDSDSQGHSEDSERWSGSASRNHLGSAWEQSRDGSRHPGSHHEDRAGHGHSADSSRQSGTRHTESSSRGQAASSHEQARSSAGERHGSHHQLQSADSSRHSGIGHGQASSAVRDSGHRGYSGSQASDSEGHSEDSDTQSVSAQGKAGPHQQSHKESARGQSGESSGRSGSFLYQVSTHEQSESTHGQSAPSTGGRQGSHYDQAQDSSRHSASQEGQDTIRGHPGPSRGGRQGSHQEQSVDRSGHSGSHHSHTTSQGRSDASRGQSGSRSASRKTYDKEQSGDGSRHSGSHHHEASSWADSSRHSLVGQGQSSGPRTSRPRGSSVSQDSDSEGHSEDSERRSGSASRNHHGSAQEQSRDGSRHPRSHHEDRAGHGHSAESSRQSGTHHAENSSGGQAASSHEQARSSAGERHGSHHQQSADSSRHSGIGHGQASSAVRDSGHRGSSGSQASDSEGHSEDSDTQSVSAHGQAGPHQQSHQESTRGRSAGRSGRSGSFLYQVSTHEQSESAHGRTGTSTGGRQGSHHKQARDSSRHSTSQEGQDTIHGHPGSSSGGRQGSHYEQLVDRSGHSGSHHSHTTSQGRSDASHGHSGSRSASRQTRNDEQSGDGSRHSGSRHHEASSRADSSGHSQVGQGQSEGPRTSRNWGSSFSQDSDSQGHSEDSERWSGSASRNHHGSAQEQLRDGSRHPRSHQEDRAGHGHSADSSRQSGTRHTQTSSGGQAASSHEQARSSAGERHGSHHQQSADSSRHSGIGHGQASSAVRDSGHRGYSGSQASDNEGHSEDSDTQSVSAHGQAGSHQQSHQESARGRSGETSGHSGSFLYQVSTHEQSESSHGWTGPSTRGRQGSRHEQAQDSSRHSASQDGQDTIRGHPGSSRGGRQGYHHEHSVDSSGHSGSHHSHTTSQGRSDASRGQSGSRSASRTTRNEEQSGDGSRHSGSRHHEASTHADISRHSQAVQGQSEGSRRSRRQGSSVSQDSDSEGHSEDSERWSGSASRNHHGSAQEQLRDGSRHPRSHQEDRAGHGHSADSSRQSGTRHTQTSSGGQAASSHEQARSSAGERHGSHHQQSADSSRHSGIGHGQASSAVRDSGHRGYSGSQASDNEGHSEDSDTQSVSAHGQAGSHQQSHQESARGRSGETSGHSGSFLYQVSTHEQSESSHGWTGPSTRGRQGSRHEQAQDSSRHSASQYGQDTIRGHPGSSRGGRQGYHHEHSVDSSGHSGSHHSHTTSQGRSDASRGQSGSRSASRTTRNEEQSGDSSRHSVSRHHEASTHADISRHSQAVQGQSEGSRRSRRQGSSVSQDSDSEGHSEDSERWSGSASRNHRGSVQEQSRHGSRHPRSHHEDRAGHGHSADRSRQSGTRHAETSSGGQAASSHEQARSSPGERHGSRHQQSADSSRHSGIPRGQASSAVRDSRHWGSSGSQASDSEGHSEESDTQSVSGHGQAGPHQQSHQESARDRSGGRSGRSGSFLYQVSTHEQSESAHGRTRTSTGRRQGSHHEQARDSSRHSASQEGQDTIRGHPGSSRRGRQGSHYEQSVDRSGHSGSHHSHTTSQGRSDASRGQSGSRSASRQTRNDEQSGDGSRHSWSHHHEASTQADSSRHSQSGQGQSAGPRTSRNQGSSVSQDSDSQGHSEDSERWSGSASRNHRGSAQEQSRDGSRHPTSHHEDRAGHGHSAESSRQSGTHHAENSSGGQAASSHEQARSSAGERHGSHHQQSADSSRHSGIGHGQASSAVRDSGHRGSSGSQASDSEGHSEDSDTQSVSAHGQAGPHQQSHQESTRGRSAGRSGRSGSFLYQVSTHEQSESAHGRAGPSTGGRQGSRHEQARDSSRHSASQEGQDTIRGHPGSRRGGRQGSYHEQSVDRSGHSGSHHSHTTSQGRSDASHGQSGSRSASRETRNEEQSGDGSRHSGSRHHEASTQADSSRHSQSGQGESAGSRRSRRQGSSVSQDSDSEAYPEDSERRSESASRNHHGSSREQSRDGSRHPGSSHRDTASHVQSSPVQSDSSTAKEHGHFSSLSQDSAYHSGIQSRGSPHSSSSYHYQSEGTERQKGQSGLVWRHGSYGSADYDYGESGFRHSQHGSVSYNSNPVVFKERSDICKASAFGKDHPRYYATYINKDPGLCGHSSDISKQLGFSQSQRYYYYE
+>DECOY_sp|P20930|FILA_HUMAN Filaggrin OS=Homo sapiens OX=9606 GN=FLG PE=1 SV=3
+EYYYYRQSQSFGLQKSIDSSHGCLGPDKNIYTAYYRPHDKGFASAKCIDSREKFVVPNSNYSVSGHQSHRFGSEGYDYDASGYSGHRWVLGSQGKQRETGESQYHYSSSSHPSGRSQIGSHYASDQSLSSFHGHEKATSSDSQVPSSQVHSATDRHSSGPHRSGDRSQERSSGHHNRSASESRRESDEPYAESDSDQSVSSGQRRSRRSGASEGQGSQSHRSSDAQTSAEHHRSGSHRSGDGSQEENRTERSASRSGSQGHSADSRGQSTTHSHHSGSHGSRDVSQEHYSGQRGGRRSGPHGRITDQGEQSASHRSSDRAQEHRSGQRGGTSPGARGHASESQEHTSVQYLFSGSRGSRGASRGRTSEQHSQQHPGAQGHASVSQTDSDESHGESDSAQSGSSGRHGSDRVASSAQGHGIGSHRSSDASQQHHSGHREGASSRAQEHSSAAQGGSSNEAHHTGSQRSSEASHGHGARDEHHSTPHRSGDRSQEQASGRHNRSASGSWRESDESHGQSDSDQSVSSGQNRSTRPGASQGQGSQSHRSSDAQTSAEHHHSWSHRSGDGSQEDNRTQRSASRSGSQGRSADSRGQSTTHSHHSGSHGSRDVSQEYHSGQRGRRSSGPHGRITDQGEQSASHRSSDRAQEHHSGQRRGTSTRTRGHASESQEHTSVQYLFSGSRGSRGGSRDRASEQHSQQHPGAQGHGSVSQTDSEESHGESDSAQSGSSGWHRSDRVASSAQGRPIGSHRSSDASQQHRSGHREGPSSRAQEHSSAAQGGSSTEAHRTGSQRSRDASHGHGARDEHHSRPHRSGHRSQEQVSGRHNRSASGSWRESDESHGESDSDQSVSSGQRRSRRSGESQGQVAQSHRSIDAHTSAEHHRSVSHRSSDGSQEENRTTRSASRSGSQGRSADSRGQSTTHSHHSGSHGSSDVSHEHHYGQRGGRSSGPHGRITDQGYQSASHRSSDQAQEHRSGQRGRTSPGTWGHSSESQEHTSVQYLFSGSHGSTEGSRGRASEQHSQQHSGAQGHASVSQTDSDESHGENDSAQSGSYGRHGSDRVASSAQGHGIGSHRSSDASQQHHSGHREGASSRAQEHSSAAQGGSSTQTHRTGSQRSSDASHGHGARDEQHSRPHRSGDRLQEQASGHHNRSASGSWRESDESHGESDSDQSVSSGQRRSRRSGESQGQVAQSHRSIDAHTSAEHHRSGSHRSGDGSQEENRTTRSASRSGSQGRSADSRGQSTTHSHHSGSHGSSDVSHEHHYGQRGGRSSGPHGRITDQGDQSASHRSSDQAQEHRSGQRGRTSPGTWGHSSESQEHTSVQYLFSGSHGSTEGSRGRASEQHSQQHSGAQGHASVSQTDSDESHGENDSAQSGSYGRHGSDRVASSAQGHGIGSHRSSDASQQHHSGHREGASSRAQEHSSAAQGGSSTQTHRTGSQRSSDASHGHGARDEQHSRPHRSGDRLQEQASGHHNRSASGSWRESDESHGQSDSDQSFSSGWNRSTRPGESQGQGVQSHGSSDARSSAEHHRSGSHRSGDGSQEDNRTQRSASRSGSHGHSADSRGQSTTHSHHSGSHGSRDVLQEYHSGQRGGSSSGPHGHITDQGEQSTSHRSSDRAQKHHSGQRGGTSTGTRGHASESQEHTSVQYLFSGSRGSRGASRGRTSEQHSQQHPGAQGHASVSQTDSDESHGESDSAQSGSSGRHGSDRVASSAQGHGIGSHRSSDASQQHHSGHREGASSRAQEHSSAAQGGSSNEAHHTGSQRSSEASHGHGARDEHHSRPHRSGDRSQEQASGHHNRSASGSRRESDESHGESDSDQSVSSGRPRSTRPGSSQGQGVLSHRSSDAWSSAEHHHSGSHRSGDGSQEKDYTKRSASRSGSQGRSADSRGQSTTHSHHSGSHGSRDVSQEQHSGQRGGRSPGPHGRITDQGEQSASHRSSDQAQDYHSGQRGGTSPASQGHTSESQEHTSVQYLFSGSRGSSEGSQGRASEKHSQQHPGAKGQASVSQTDSDESHGESDSAQSGSYGRHGSDRVASSAQGHGIGSHRSSDASQLQHHSGHREGASSRAQEHSSAAQGRSSSETHRTGSQRSSDASHGHGARDEHHSGPHRSGDRSQEWASGLHNRSASGSWRESDESHGQSDSDQSVSSGQNRSTRPGSSQGQGVQSHRSSDARSSAEHHRSGSHRSGDGSQKENRTQRSVSRSGSQGRSVDSREQSTTHSHHSGSHGSSDVSQEYHSGQRGGRSSGPHGRITDQGEQSASHRSSDRAQEHRSRQRGGTSPGTRGHASESQEHTSVQYLFSGSRGSREGSQGRTSEQHSQQHPGAQGHASVSQTDSEESHGESDSAQSGSSGRNGSDGVVSSDQRRGTGSDTSSDASQQHRSGHREGPSSRAQEQSSAAQGGSSTEAHRTGSQRSSEASHGHSARDEQHSRPNRSGHRSQERASGYHNRSASESRRESDESHGESDRDQSVSSGQRRSTKSGASEGQGVQSHRSSGARTSPEHHRSGSHRSGDGSQEENRTQRSASRPGSQGHSADSRGQPTTHSHHYGSHGSRDVSQEHYSGQRGGRSSGPHGRITDQGDQSASHRSSNRAQEHRSGQRGGTSPATQGHTSESQEHSSVQYLFSRSRGSSEGSQGRASEKHSQQHPGAQGHASVSQTDSDESHGESNTVQSGSSGRHGSDRVASSAQRHGIGSHRSSDASQQHRSGHREGPSSRAQEHSSVAQGHSSSETHHTGSQRSSDASHGHSARDEQHFGPHRSGDRSQERSSGHHNRSASGSLRESDDSHRESDSDQSVSSGQHRSTRSGSSQEQGVQSHRSSDAWSAAEHHRSGSHRSGDGSQKDKRTQRSASRSGSQGHSADSRGQSTTHSHHSGSHGSRDVSQEHHSGQRGGRRSGPHARITDQGEQSASHRSSDRAQEHHSGQRRGTSTRTRGHASESQEHTSVQYIFSGSRGSRGGSWDRASEQHSQQHPGDQGHGSVSQTDSEESHGESDSAQSGSSGWHGSDRVASSAQRRPIGSHRSSDASQQHRSGHREGPSSRAQEHSSAAQGGSSTEAHPTGSQRSSDASHGHGARDEHHSRPHRSGHRSQEQASGRHNRSASGSWRESDESHGESDSDQSVSSGQRRSTRSGESQGQGAQSHRSIDAHSSAEHHRSGSHRSGDRSQEENRTTRSASRSGSQGRSADSRGQSTTHSHHSGSHGSRDVSQEHHSGQRGRRSSGPHGRITDQGDQSASHRSNDRAQEHHSGQRVGTSPGTWGHSSESQKHTSVQYLFSGSRRSREGSRDRASEQHSQQHHGAQGHGSVSQTDSDESHGESDTAQSGSSGRHGSDRVASSAQGHGTGSHRSSDASQLQHRSGHREGASSRAQEHSSAAQGSSSNQTHRTGSKRSSDASHGHGARDEHHSRPHRSGDRSQEQASGHHNRSASGSWRESDESHGQSDSDQSVSSGQNRSTRPGSSQGQGVQSHRSSDAQSSAEHHRSGSHRTGDGSQEENRTQRSASRSGSQGHSADSRGQSTTHSHHSGSHGSRNVSQEHHSGQRGGRSSGPHGRITDQGEQSASHRSSDRAQEHHSGQRGGTSTGTRGHASDPQEHTSVQYLSSGSRGSREGSRGRTSEQHSQQRLGAKGHGSVSQTDSNESHGESDSAQSGSSGRHGRDSVASSAQGRGTASHRSSDASQQHGSGHREGPSSRAQEHSSATQGRSSTEAHRTGSQRSSDASHGHSARDEDHSRPHRSGDRSQEWASGHHNRSASGSHRESDESHGESDRDQSVRSGRRKRTRSEQLPVQSTNEHRSRNVQSSSKGDSSRSREYIKNEELLSDTTDYAEDQITYYTAIHGSQIWKQTMRGTNEYDYVGEENDEKEELRESLRKRNDGLRTNETKNKEKKSSNHHNKGYEEERHTPSYGKREKKESSSEHRKGGTERPSKSRGKNGKRNNRRELSSPRKRNEKNEEQKNDEHKDHHSHKRHKHGSIPLNEKRTSEYYAQALKFVMLLFETFDIKKNHDIDLHDMFVDVMDPDDPNKLIQRFEKELLEKLEKKSLTDTNKDKKSYQKFLNIIAFINELLTSM
+>sp|Q6UN15|FIP1_HUMAN Pre-mRNA 3'-end-processing factor FIP1 OS=Homo sapiens OX=9606 GN=FIP1L1 PE=1 SV=1
+MSAGEVERLVSELSGGTGGDEEEEWLYGGPWDVHVHSDLAKDLDENEVERPEEENASANPPSGIEDETAENGVPKPKVTETEDDSDSDSDDDEDDVHVTIGDIKTGAPQYGSYGTAPVNLNIKTGGRVYGTTGTKVKGVDLDAPGSINGVPLLEVDLDSFEDKPWRKPGADLSDYFNYGFNEDTWKAYCEKQKRIRMGLEVIPVTSTTNKITAEDCTMEVTPGAEIQDGRFNLFKVQQGRTGNSEKETALPSTKAEFTSPPSLFKTGLPPSRNSTSSQSQTSTASRKANSSVGKWQDRYGRAESPDLRRLPGAIDVIGQTITISRVEGRRRANENSNIQVLSERSATEVDNNFSKPPPFFPPGAPPTHLPPPPFLPPPPTVSTAPPLIPPPGFPPPPGAPPPSLIPTIESGHSSGYDSRSARAFPYGNVAFPHLPGSAPSWPSLVDTSKQWDYYARREKDRDRERDRDRERDRDRDRERERTRERERERDHSPTPSVFNSDEERYRYREYAERGYERHRASREKEERHRERRHREKEETRHKSSRSNSRRRHESEEGDSHRRHKHKKSKRSKEGKEAGSEPAPEQESTEATPAE
+>DECOY_sp|Q6UN15|FIP1_HUMAN Pre-mRNA 3'-end-processing factor FIP1 OS=Homo sapiens OX=9606 GN=FIP1L1 PE=1 SV=1
+EAPTAETSEQEPAPESGAEKGEKSRKSKKHKHRRHSDGEESEHRRRSNSRSSKHRTEEKERHRRERHREEKERSARHREYGREAYERYRYREEDSNFVSPTPSHDRERERERTRERERDRDRDRERDRDRERDRDKERRAYYDWQKSTDVLSPWSPASGPLHPFAVNGYPFARASRSDYGSSHGSEITPILSPPPAGPPPPFGPPPILPPATSVTPPPPLFPPPPLHTPPAGPPFFPPPKSFNNDVETASRESLVQINSNENARRRGEVRSITITQGIVDIAGPLRRLDPSEARGYRDQWKGVSSNAKRSATSTQSQSSTSNRSPPLGTKFLSPPSTFEAKTSPLATEKESNGTRGQQVKFLNFRGDQIEAGPTVEMTCDEATIKNTTSTVPIVELGMRIRKQKECYAKWTDENFGYNFYDSLDAGPKRWPKDEFSDLDVELLPVGNISGPADLDVGKVKTGTTGYVRGGTKINLNVPATGYSGYQPAGTKIDGITVHVDDEDDDSDSDSDDETETVKPKPVGNEATEDEIGSPPNASANEEEPREVENEDLDKALDSHVHVDWPGGYLWEEEEDGGTGGSLESVLREVEGASM
+>sp|Q01543|FLI1_HUMAN Friend leukemia integration 1 transcription factor OS=Homo sapiens OX=9606 GN=FLI1 PE=1 SV=1
+MDGTIKEALSVVSDDQSLFDSAYGAAAHLPKADMTASGSPDYGQPHKINPLPPQQEWINQPVRVNVKREYDHMNGSRESPVDCSVSKCSKLVGGGESNPMNYNSYMDEKNGPPPPNMTTNERRVIVPADPTLWTQEHVRQWLEWAIKEYSLMEIDTSFFQNMDGKELCKMNKEDFLRATTLYNTEVLLSHLSYLRESSLLAYNTTSHTDQSSRLSVKEDPSYDSVRRGAWGNNMNSGLNKSPPLGGAQTISKNTEQRPQPDPYQILGPTSSRLANPGSGQIQLWQFLLELLSDSANASCITWEGTNGEFKMTDPDEVARRWGERKSKPNMNYDKLSRALRYYYDKNIMTKVHGKRYAYKFDFHGIAQALQPHPTESSMYKYPSDISYMPSYHAHQQKVNFVPPHPSSMPVTSSSFFGAASQYWTSPTGGIYPNPNVPRHPNTHVPSHLGSYY
+>DECOY_sp|Q01543|FLI1_HUMAN Friend leukemia integration 1 transcription factor OS=Homo sapiens OX=9606 GN=FLI1 PE=1 SV=1
+YYSGLHSPVHTNPHRPVNPNPYIGGTPSTWYQSAAGFFSSSTVPMSSPHPPVFNVKQQHAHYSPMYSIDSPYKYMSSETPHPQLAQAIGHFDFKYAYRKGHVKTMINKDYYYRLARSLKDYNMNPKSKREGWRRAVEDPDTMKFEGNTGEWTICSANASDSLLELLFQWLQIQGSGPNALRSSTPGLIQYPDPQPRQETNKSITQAGGLPPSKNLGSNMNNGWAGRRVSDYSPDEKVSLRSSQDTHSTTNYALLSSERLYSLHSLLVETNYLTTARLFDEKNMKCLEKGDMNQFFSTDIEMLSYEKIAWELWQRVHEQTWLTPDAPVIVRRENTTMNPPPPGNKEDMYSNYNMPNSEGGGVLKSCKSVSCDVPSERSGNMHDYERKVNVRVPQNIWEQQPPLPNIKHPQGYDPSGSATMDAKPLHAAAGYASDFLSQDDSVVSLAEKITGDM
+>sp|P21333|FLNA_HUMAN Filamin-A OS=Homo sapiens OX=9606 GN=FLNA PE=1 SV=4
+MSSSHSRAGQSAAGAAPGGGVDTRDAEMPATEKDLAEDAPWKKIQQNTFTRWCNEHLKCVSKRIANLQTDLSDGLRLIALLEVLSQKKMHRKHNQRPTFRQMQLENVSVALEFLDRESIKLVSIDSKAIVDGNLKLILGLIWTLILHYSISMPMWDEEEDEEAKKQTPKQRLLGWIQNKLPQLPITNFSRDWQSGRALGALVDSCAPGLCPDWDSWDASKPVTNAREAMQQADDWLGIPQVITPEEIVDPNVDEHSVMTYLSQFPKAKLKPGAPLRPKLNPKKARAYGPGIEPTGNMVKKRAEFTVETRSAGQGEVLVYVEDPAGHQEEAKVTANNDKNRTFSVWYVPEVTGTHKVTVLFAGQHIAKSPFEVYVDKSQGDASKVTAQGPGLEPSGNIANKTTYFEIFTAGAGTGEVEVVIQDPMGQKGTVEPQLEARGDSTYRCSYQPTMEGVHTVHVTFAGVPIPRSPYTVTVGQACNPSACRAVGRGLQPKGVRVKETADFKVYTKGAGSGELKVTVKGPKGEERVKQKDLGDGVYGFEYYPMVPGTYIVTITWGGQNIGRSPFEVKVGTECGNQKVRAWGPGLEGGVVGKSADFVVEAIGDDVGTLGFSVEGPSQAKIECDDKGDGSCDVRYWPQEAGEYAVHVLCNSEDIRLSPFMADIRDAPQDFHPDRVKARGPGLEKTGVAVNKPAEFTVDAKHGGKAPLRVQVQDNEGCPVEALVKDNGNGTYSCSYVPRKPVKHTAMVSWGGVSIPNSPFRVNVGAGSHPNKVKVYGPGVAKTGLKAHEPTYFTVDCAEAGQGDVSIGIKCAPGVVGPAEADIDFDIIRNDNDTFTVKYTPRGAGSYTIMVLFADQATPTSPIRVKVEPSHDASKVKAEGPGLSRTGVELGKPTHFTVNAKAAGKGKLDVQFSGLTKGDAVRDVDIIDHHDNTYTVKYTPVQQGPVGVNVTYGGDPIPKSPFSVAVSPSLDLSKIKVSGLGEKVDVGKDQEFTVKSKGAGGQGKVASKIVGPSGAAVPCKVEPGLGADNSVVRFLPREEGPYEVEVTYDGVPVPGSPFPLEAVAPTKPSKVKAFGPGLQGGSAGSPARFTIDTKGAGTGGLGLTVEGPCEAQLECLDNGDGTCSVSYVPTEPGDYNINILFADTHIPGSPFKAHVVPCFDASKVKCSGPGLERATAGEVGQFQVDCSSAGSAELTIEICSEAGLPAEVYIQDHGDGTHTITYIPLCPGAYTVTIKYGGQPVPNFPSKLQVEPAVDTSGVQCYGPGIEGQGVFREATTEFSVDARALTQTGGPHVKARVANPSGNLTETYVQDRGDGMYKVEYTPYEEGLHSVDVTYDGSPVPSSPFQVPVTEGCDPSRVRVHGPGIQSGTTNKPNKFTVETRGAGTGGLGLAVEGPSEAKMSCMDNKDGSCSVEYIPYEAGTYSLNVTYGGHQVPGSPFKVPVHDVTDASKVKCSGPGLSPGMVRANLPQSFQVDTSKAGVAPLQVKVQGPKGLVEPVDVVDNADGTQTVNYVPSREGPYSISVLYGDEEVPRSPFKVKVLPTHDASKVKASGPGLNTTGVPASLPVEFTIDAKDAGEGLLAVQITDPEGKPKKTHIQDNHDGTYTVAYVPDVTGRYTILIKYGGDEIPFSPYRVRAVPTGDASKCTVTVSIGGHGLGAGIGPTIQIGEETVITVDTKAAGKGKVTCTVCTPDGSEVDVDVVENEDGTFDIFYTAPQPGKYVICVRFGGEHVPNSPFQVTALAGDQPSVQPPLRSQQLAPQYTYAQGGQQTWAPERPLVGVNGLDVTSLRPFDLVIPFTIKKGEITGEVRMPSGKVAQPTITDNKDGTVTVRYAPSEAGLHEMDIRYDNMHIPGSPLQFYVDYVNCGHVTAYGPGLTHGVVNKPATFTVNTKDAGEGGLSLAIEGPSKAEISCTDNQDGTCSVSYLPVLPGDYSILVKYNEQHVPGSPFTARVTGDDSMRMSHLKVGSAADIPINISETDLSLLTATVVPPSGREEPCLLKRLRNGHVGISFVPKETGEHLVHVKKNGQHVASSPIPVVISQSEIGDASRVRVSGQGLHEGHTFEPAEFIIDTRDAGYGGLSLSIEGPSKVDINTEDLEDGTCRVTYCPTEPGNYIINIKFADQHVPGSPFSVKVTGEGRVKESITRRRRAPSVANVGSHCDLSLKIPEISIQDMTAQVTSPSGKTHEAEIVEGENHTYCIRFVPAEMGTHTVSVKYKGQHVPGSPFQFTVGPLGEGGAHKVRAGGPGLERAEAGVPAEFSIWTREAGAGGLAIAVEGPSKAEISFEDRKDGSCGVAYVVQEPGDYEVSVKFNEEHIPDSPFVVPVASPSGDARRLTVSSLQESGLKVNQPASFAVSLNGAKGAIDAKVHSPSGALEECYVTEIDQDKYAVRFIPRENGVYLIDVKFNGTHIPGSPFKIRVGEPGHGGDPGLVSAYGAGLEGGVTGNPAEFVVNTSNAGAGALSVTIDGPSKVKMDCQECPEGYRVTYTPMAPGSYLISIKYGGPYHIGGSPFKAKVTGPRLVSNHSLHETSSVFVDSLTKATCAPQHGAPGPGPADASKVVAKGLGLSKAYVGQKSSFTVDCSKAGNNMLLVGVHGPRTPCEEILVKHVGSRLYSVSYLLKDKGEYTLVVKWGDEHIPGSPYRVVVP
+>DECOY_sp|P21333|FLNA_HUMAN Filamin-A OS=Homo sapiens OX=9606 GN=FLNA PE=1 SV=4
+PVVVRYPSGPIHEDGWKVVLTYEGKDKLLYSVSYLRSGVHKVLIEECPTRPGHVGVLLMNNGAKSCDVTFSSKQGVYAKSLGLGKAVVKSADAPGPGPAGHQPACTAKTLSDVFVSSTEHLSHNSVLRPGTVKAKFPSGGIHYPGGYKISILYSGPAMPTYTVRYGEPCEQCDMKVKSPGDITVSLAGAGANSTNVVFEAPNGTVGGELGAGYASVLGPDGGHGPEGVRIKFPSGPIHTGNFKVDILYVGNERPIFRVAYKDQDIETVYCEELAGSPSHVKADIAGKAGNLSVAFSAPQNVKLGSEQLSSVTLRRADGSPSAVPVVFPSDPIHEENFKVSVEYDGPEQVVYAVGCSGDKRDEFSIEAKSPGEVAIALGGAGAERTWISFEAPVGAEARELGPGGARVKHAGGEGLPGVTFQFPSGPVHQGKYKVSVTHTGMEAPVFRICYTHNEGEVIEAEHTKGSPSTVQATMDQISIEPIKLSLDCHSGVNAVSPARRRRTISEKVRGEGTVKVSFPSGPVHQDAFKINIIYNGPETPCYTVRCTGDELDETNIDVKSPGEISLSLGGYGADRTDIIFEAPEFTHGEHLGQGSVRVRSADGIESQSIVVPIPSSAVHQGNKKVHVLHEGTEKPVFSIGVHGNRLRKLLCPEERGSPPVVTATLLSLDTESINIPIDAASGVKLHSMRMSDDGTVRATFPSGPVHQENYKVLISYDGPLVPLYSVSCTGDQNDTCSIEAKSPGEIALSLGGEGADKTNVTFTAPKNVVGHTLGPGYATVHGCNVYDVYFQLPSGPIHMNDYRIDMEHLGAESPAYRVTVTGDKNDTITPQAVKGSPMRVEGTIEGKKITFPIVLDFPRLSTVDLGNVGVLPREPAWTQQGGQAYTYQPALQQSRLPPQVSPQDGALATVQFPSNPVHEGGFRVCIVYKGPQPATYFIDFTGDENEVVDVDVESGDPTCVTCTVKGKGAAKTDVTIVTEEGIQITPGIGAGLGHGGISVTVTCKSADGTPVARVRYPSFPIEDGGYKILITYRGTVDPVYAVTYTGDHNDQIHTKKPKGEPDTIQVALLGEGADKADITFEVPLSAPVGTTNLGPGSAKVKSADHTPLVKVKFPSRPVEEDGYLVSISYPGERSPVYNVTQTGDANDVVDVPEVLGKPGQVKVQLPAVGAKSTDVQFSQPLNARVMGPSLGPGSCKVKSADTVDHVPVKFPSGPVQHGGYTVNLSYTGAEYPIYEVSCSGDKNDMCSMKAESPGEVALGLGGTGAGRTEVTFKNPKNTTGSQIGPGHVRVRSPDCGETVPVQFPSSPVPSGDYTVDVSHLGEEYPTYEVKYMGDGRDQVYTETLNGSPNAVRAKVHPGGTQTLARADVSFETTAERFVGQGEIGPGYCQVGSTDVAPEVQLKSPFNPVPQGGYKITVTYAGPCLPIYTITHTGDGHDQIYVEAPLGAESCIEITLEASGASSCDVQFQGVEGATARELGPGSCKVKSADFCPVVHAKFPSGPIHTDAFLININYDGPETPVYSVSCTGDGNDLCELQAECPGEVTLGLGGTGAGKTDITFRAPSGASGGQLGPGFAKVKSPKTPAVAELPFPSGPVPVGDYTVEVEYPGEERPLFRVVSNDAGLGPEVKCPVAAGSPGVIKSAVKGQGGAGKSKVTFEQDKGVDVKEGLGSVKIKSLDLSPSVAVSFPSKPIPDGGYTVNVGVPGQQVPTYKVTYTNDHHDIIDVDRVADGKTLGSFQVDLKGKGAAKANVTFHTPKGLEVGTRSLGPGEAKVKSADHSPEVKVRIPSTPTAQDAFLVMITYSGAGRPTYKVTFTDNDNRIIDFDIDAEAPGVVGPACKIGISVDGQGAEACDVTFYTPEHAKLGTKAVGPGYVKVKNPHSGAGVNVRFPSNPISVGGWSVMATHKVPKRPVYSCSYTGNGNDKVLAEVPCGENDQVQVRLPAKGGHKADVTFEAPKNVAVGTKELGPGRAKVRDPHFDQPADRIDAMFPSLRIDESNCLVHVAYEGAEQPWYRVDCSGDGKDDCEIKAQSPGEVSFGLTGVDDGIAEVVFDASKGVVGGELGPGWARVKQNGCETGVKVEFPSRGINQGGWTITVIYTGPVMPYYEFGYVGDGLDKQKVREEGKPGKVTVKLEGSGAGKTYVKFDATEKVRVGKPQLGRGVARCASPNCAQGVTVTYPSRPIPVGAFTVHVTHVGEMTPQYSCRYTSDGRAELQPEVTGKQGMPDQIVVEVEGTGAGATFIEFYTTKNAINGSPELGPGQATVKSADGQSKDVYVEFPSKAIHQGAFLVTVKHTGTVEPVYWVSFTRNKDNNATVKAEEQHGAPDEVYVLVEGQGASRTEVTFEARKKVMNGTPEIGPGYARAKKPNLKPRLPAGPKLKAKPFQSLYTMVSHEDVNPDVIEEPTIVQPIGLWDDAQQMAERANTVPKSADWSDWDPCLGPACSDVLAGLARGSQWDRSFNTIPLQPLKNQIWGLLRQKPTQKKAEEDEEEDWMPMSISYHLILTWILGLILKLNGDVIAKSDISVLKISERDLFELAVSVNELQMQRFTPRQNHKRHMKKQSLVELLAILRLGDSLDTQLNAIRKSVCKLHENCWRTFTNQQIKKWPADEALDKETAPMEADRTDVGGGPAAGAASQGARSHSSSM
+>sp|Q14315|FLNC_HUMAN Filamin-C OS=Homo sapiens OX=9606 GN=FLNC PE=1 SV=3
+MMNNSGYSDAGLGLGDETDEMPSTEKDLAEDAPWKKIQQNTFTRWCNEHLKCVGKRLTDLQRDLSDGLRLIALLEVLSQKRMYRKFHPRPNFRQMKLENVSVALEFLEREHIKLVSIDSKAIVDGNLKLILGLIWTLILHYSISMPMWEDEDDEDARKQTPKQRLLGWIQNKVPQLPITNFNRDWQDGKALGALVDNCAPGLCPDWEAWDPNQPVENAREAMQQADDWLGVPQVIAPEEIVDPNVDEHSVMTYLSQFPKAKLKPGAPVRSKQLNPKKAIAYGPGIEPQGNTVLQPAHFTVQTVDAGVGEVLVYIEDPEGHTEEAKVVPNNDKDRTYAVSYVPKVAGLHKVTVLFAGQNIERSPFEVNVGMALGDANKVSARGPGLEPVGNVANKPTYFDIYTAGAGTGDVAVVIVDPQGRRDTVEVALEDKGDSTFRCTYRPAMEGPHTVHVAFAGAPITRSPFPVHVSEACNPNACRASGRGLQPKGVRVKEVADFKVFTKGAGSGELKVTVKGPKGTEEPVKVREAGDGVFECEYYPVVPGKYVVTITWGGYAIPRSPFEVQVSPEAGVQKVRAWGPGLETGQVGKSADFVVEAIGTEVGTLGFSIEGPSQAKIECDDKGDGSCDVRYWPTEPGEYAVHVICDDEDIRDSPFIAHILPAPPDCFPDKVKAFGPGLEPTGCIVDKPAEFTIDARAAGKGDLKLYAQDADGCPIDIKVIPNGDGTFRCSYVPTKPIKHTIIISWGGVNVPKSPFRVNVGEGSHPERVKVYGPGVEKTGLKANEPTYFTVDCSEAGQGDVSIGIKCAPGVVGPAEADIDFDIIKNDNDTFTVKYTPPGAGRYTIMVLFANQEIPASPFHIKVDPSHDASKVKAEGPGLNRTGVEVGKPTHFTVLTKGAGKAKLDVQFAGTAKGEVVRDFEIIDNHDYSYTVKYTAVQQGNMAVTVTYGGDPVPKSPFVVNVAPPLDLSKIKVQGLNSKVAVGQEQAFSVNTRGAGGQGQLDVRMTSPSRRPIPCKLEPGGGAEAQAVRYMPPEEGPYKVDITYDGHPVPGSPFAVEGVLPPDPSKVCAYGPGLKGGLVGTPAPFSIDTKGAGTGGLGLTVEGPCEAKIECQDNGDGSCAVSYLPTEPGEYTINILFAEAHIPGSPFKATIRPVFDPSKVRASGPGLERGKVGEAATFTVDCSEAGEAELTIEILSDAGVKAEVLIHNNADGTYHITYSPAFPGTYTITIKYGGHPVPKFPTRVHVQPAVDTSGVKVSGPGVEPHGVLREVTTEFTVDARSLTATGGNHVTARVLNPSGAKTDTYVTDNGDGTYRVQYTAYEEGVHLVEVLYDEVAVPKSPFRVGVTEGCDPTRVRAFGPGLEGGLVNKANRFTVETRGAGTGGLGLAIEGPSEAKMSCKDNKDGSCTVEYIPFTPGDYDVNITFGGRPIPGSPFRVPVKDVVDPGKVKCSGPGLGAGVRARVPQTFTVDCSQAGRAPLQVAVLGPTGVAEPVEVRDNGDGTHTVHYTPATDGPYTVAVKYADQEVPRSPFKIKVLPAHDASKVRASGPGLNASGIPASLPVEFTIDARDAGEGLLTVQILDPEGKPKKANIRDNGDGTYTVSYLPDMSGRYTITIKYGGDEIPYSPFRIHALPTGDASKCLVTVSIGGHGLGACLGPRIQIGQETVITVDAKAAGEGKVTCTVSTPDGAELDVDVVENHDGTFDIYYTAPEPGKYVITIRFGGEHIPNSPFHVLACDPLPHEEEPSEVPQLRQPYAPPRPGARPTHWATEEPVVPVEPMESMLRPFNLVIPFAVQKGELTGEVRMPSGKTARPNITDNKDGTITVRYAPTEKGLHQMGIKYDGNHIPGSPLQFYVDAINSRHVSAYGPGLSHGMVNKPATFTIVTKDAGEGGLSLAVEGPSKAEITCKDNKDGTCTVSYLPTAPGDYSIIVRFDDKHIPGSPFTAKITGDDSMRTSQLNVGTSTDVSLKITESDLSQLTASIRAPSGNEEPCLLKRLPNRHIGISFTPKEVGEHVVSVRKSGKHVTNSPFKILVGPSEIGDASKVRVWGKGLSEGHTFQVAEFIVDTRNAGYGGLGLSIEGPSKVDINCEDMEDGTCKVTYCPTEPGTYIINIKFADKHVPGSPFTVKVTGEGRMKESITRRRQAPSIATIGSTCDLNLKIPGNWFQMVSAQERLTRTFTRSSHTYTRTERTEISKTRGGETKREVRVEESTQVGGDPFPAVFGDFLGRERLGSFGSITRQQEGEASSQDMTAQVTSPSGKVEAAEIVEGEDSAYSVRFVPQEMGPHTVAVKYRGQHVPGSPFQFTVGPLGEGGAHKVRAGGTGLERGVAGVPAEFSIWTREAGAGGLSIAVEGPSKAEIAFEDRKDGSCGVSYVVQEPGDYEVSIKFNDEHIPDSPFVVPVASLSDDARRLTVTSLQETGLKVNQPASFAVQLNGARGVIDARVHTPSGAVEECYVSELDSDKHTIRFIPHENGVHSIDVKFNGAHIPGSPFKIRVGEQSQAGDPGLVSAYGPGLEGGTTGVSSEFIVNTLNAGSGALSVTIDGPSKVQLDCRECPEGHVVTYTPMAPGNYLIAIKYGGPQHIVGSPFKAKVTGPRLSGGHSLHETSTVLVETVTKSSSSRGSSYSSIPKFSSDASKVVTRGPGLSQAFVGQKNSFTVDCSKAGTNMMMVGVHGPKTPCEEVYVKHMGNRVYNVTYTVKEKGDYILIVKWGDESVPGSPFKVKVP
+>DECOY_sp|Q14315|FLNC_HUMAN Filamin-C OS=Homo sapiens OX=9606 GN=FLNC PE=1 SV=3
+PVKVKFPSGPVSEDGWKVILIYDGKEKVTYTVNYVRNGMHKVYVEECPTKPGHVGVMMMNTGAKSCDVTFSNKQGVFAQSLGPGRTVVKSADSSFKPISSYSSGRSSSSKTVTEVLVTSTEHLSHGGSLRPGTVKAKFPSGVIHQPGGYKIAILYNGPAMPTYTVVHGEPCERCDLQVKSPGDITVSLAGSGANLTNVIFESSVGTTGGELGPGYASVLGPDGAQSQEGVRIKFPSGPIHAGNFKVDISHVGNEHPIFRITHKDSDLESVYCEEVAGSPTHVRADIVGRAGNLQVAFSAPQNVKLGTEQLSTVTLRRADDSLSAVPVVFPSDPIHEDNFKISVEYDGPEQVVYSVGCSGDKRDEFAIEAKSPGEVAISLGGAGAERTWISFEAPVGAVGRELGTGGARVKHAGGEGLPGVTFQFPSGPVHQGRYKVAVTHPGMEQPVFRVSYASDEGEVIEAAEVKGSPSTVQATMDQSSAEGEQQRTISGFSGLRERGLFDGFVAPFPDGGVQTSEEVRVERKTEGGRTKSIETRETRTYTHSSRTFTRTLREQASVMQFWNGPIKLNLDCTSGITAISPAQRRRTISEKMRGEGTVKVTFPSGPVHKDAFKINIIYTGPETPCYTVKCTGDEMDECNIDVKSPGEISLGLGGYGANRTDVIFEAVQFTHGESLGKGWVRVKSADGIESPGVLIKFPSNTVHKGSKRVSVVHEGVEKPTFSIGIHRNPLRKLLCPEENGSPARISATLQSLDSETIKLSVDTSTGVNLQSTRMSDDGTIKATFPSGPIHKDDFRVIISYDGPATPLYSVTCTGDKNDKCTIEAKSPGEVALSLGGEGADKTVITFTAPKNVMGHSLGPGYASVHRSNIADVYFQLPSGPIHNGDYKIGMQHLGKETPAYRVTITGDKNDTINPRATKGSPMRVEGTLEGKQVAFPIVLNFPRLMSEMPEVPVVPEETAWHTPRAGPRPPAYPQRLQPVESPEEEHPLPDCALVHFPSNPIHEGGFRITIVYKGPEPATYYIDFTGDHNEVVDVDLEAGDPTSVTCTVKGEGAAKADVTIVTEQGIQIRPGLCAGLGHGGISVTVLCKSADGTPLAHIRFPSYPIEDGGYKITITYRGSMDPLYSVTYTGDGNDRINAKKPKGEPDLIQVTLLGEGADRADITFEVPLSAPIGSANLGPGSARVKSADHAPLVKIKFPSRPVEQDAYKVAVTYPGDTAPTYHVTHTGDGNDRVEVPEAVGTPGLVAVQLPARGAQSCDVTFTQPVRARVGAGLGPGSCKVKGPDVVDKVPVRFPSGPIPRGGFTINVDYDGPTFPIYEVTCSGDKNDKCSMKAESPGEIALGLGGTGAGRTEVTFRNAKNVLGGELGPGFARVRTPDCGETVGVRFPSKPVAVEDYLVEVLHVGEEYATYQVRYTGDGNDTVYTDTKAGSPNLVRATVHNGGTATLSRADVTFETTVERLVGHPEVGPGSVKVGSTDVAPQVHVRTPFKPVPHGGYKITITYTGPFAPSYTIHYTGDANNHILVEAKVGADSLIEITLEAEGAESCDVTFTAAEGVKGRELGPGSARVKSPDFVPRITAKFPSGPIHAEAFLINITYEGPETPLYSVACSGDGNDQCEIKAECPGEVTLGLGGTGAGKTDISFPAPTGVLGGKLGPGYACVKSPDPPLVGEVAFPSGPVPHGDYTIDVKYPGEEPPMYRVAQAEAGGGPELKCPIPRRSPSTMRVDLQGQGGAGRTNVSFAQEQGVAVKSNLGQVKIKSLDLPPAVNVVFPSKPVPDGGYTVTVAMNGQQVATYKVTYSYDHNDIIEFDRVVEGKATGAFQVDLKAKGAGKTLVTFHTPKGVEVGTRNLGPGEAKVKSADHSPDVKIHFPSAPIEQNAFLVMITYRGAGPPTYKVTFTDNDNKIIDFDIDAEAPGVVGPACKIGISVDGQGAESCDVTFYTPENAKLGTKEVGPGYVKVREPHSGEGVNVRFPSKPVNVGGWSIIITHKIPKTPVYSCRFTGDGNPIVKIDIPCGDADQAYLKLDGKGAARADITFEAPKDVICGTPELGPGFAKVKDPFCDPPAPLIHAIFPSDRIDEDDCIVHVAYEGPETPWYRVDCSGDGKDDCEIKAQSPGEISFGLTGVETGIAEVVFDASKGVQGTELGPGWARVKQVGAEPSVQVEFPSRPIAYGGWTITVVYKGPVVPYYECEFVGDGAERVKVPEETGKPGKVTVKLEGSGAGKTFVKFDAVEKVRVGKPQLGRGSARCANPNCAESVHVPFPSRTIPAGAFAVHVTHPGEMAPRYTCRFTSDGKDELAVEVTDRRGQPDVIVVAVDGTGAGATYIDFYTPKNAVNGVPELGPGRASVKNADGLAMGVNVEFPSREINQGAFLVTVKHLGAVKPVYSVAYTRDKDNNPVVKAEETHGEPDEIYVLVEGVGADVTQVTFHAPQLVTNGQPEIGPGYAIAKKPNLQKSRVPAGPKLKAKPFQSLYTMVSHEDVNPDVIEEPAIVQPVGLWDDAQQMAERANEVPQNPDWAEWDPCLGPACNDVLAGLAKGDQWDRNFNTIPLQPVKNQIWGLLRQKPTQKRADEDDEDEWMPMSISYHLILTWILGLILKLNGDVIAKSDISVLKIHERELFELAVSVNELKMQRFNPRPHFKRYMRKQSLVELLAILRLGDSLDRQLDTLRKGVCKLHENCWRTFTNQQIKKWPADEALDKETSPMEDTEDGLGLGADSYGSNNMM
+>sp|Q9UGQ2|FLOWR_HUMAN Calcium channel flower homolog OS=Homo sapiens OX=9606 GN=CACFD1 PE=1 SV=1
+MSSSGGAPGASASSAPPAQEEGMTWWYRWLCRLSGVLGAVSCAISGLFNCITIHPLNIAAGVWMIMNAFILLLCEAPFCCQFIEFANTVAEKVDRLRSWQKAVFYCGMAVVPIVISLTLTTLLGNAIAFATGVLYGLSALGKKGDAISYARIQQQRQQADEEKLAETLEGEL
+>DECOY_sp|Q9UGQ2|FLOWR_HUMAN Calcium channel flower homolog OS=Homo sapiens OX=9606 GN=CACFD1 PE=1 SV=1
+LEGELTEALKEEDAQQRQQQIRAYSIADGKKGLASLGYLVGTAFAIANGLLTTLTLSIVIPVVAMGCYFVAKQWSRLRDVKEAVTNAFEIFQCCFPAECLLLIFANMIMWVGAAINLPHITICNFLGSIACSVAGLVGSLRCLWRYWWTMGEEQAPPASSASAGPAGGSSSM
+>sp|Q9NZU0|FLRT3_HUMAN Leucine-rich repeat transmembrane protein FLRT3 OS=Homo sapiens OX=9606 GN=FLRT3 PE=1 SV=1
+MISAAWSIFLIGTKIGLFLQVAPLSVMAKSCPSVCRCDAGFIYCNDRFLTSIPTGIPEDATTLYLQNNQINNAGIPSDLKNLLKVERIYLYHNSLDEFPTNLPKYVKELHLQENNIRTITYDSLSKIPYLEELHLDDNSVSAVSIEEGAFRDSNYLRLLFLSRNHLSTIPWGLPRTIEELRLDDNRISTISSPSLQGLTSLKRLVLDGNLLNNHGLGDKVFFNLVNLTELSLVRNSLTAAPVNLPGTNLRKLYLQDNHINRVPPNAFSYLRQLYRLDMSNNNLSNLPQGIFDDLDNITQLILRNNPWYCGCKMKWVRDWLQSLPVKVNVRGLMCQAPEKVRGMAIKDLNAELFDCKDSGIVSTIQITTAIPNTVYPAQGQWPAPVTKQPDIKNPKLTKDHQTTGSPSRKTITITVKSVTSDTIHISWKLALPMTALRLSWLKLGHSPAFGSITETIVTGERSEYLVTALEPDSPYKVCMVPMETSNLYLFDETPVCIETETAPLRMYNPTTTLNREQEKEPYKNPNLPLAAIIGGAVALVTIALLALVCWYVHRNGSLFSRNCAYSKGRRRKDDYAEAGTKKDNSILEIRETSFQMLPISNEPISKEEFVIHTIFPPNGMNLYKNNHSESSSNRSYRDSGIPDSDHSHS
+>DECOY_sp|Q9NZU0|FLRT3_HUMAN Leucine-rich repeat transmembrane protein FLRT3 OS=Homo sapiens OX=9606 GN=FLRT3 PE=1 SV=1
+SHSHDSDPIGSDRYSRNSSSESHNNKYLNMGNPPFITHIVFEEKSIPENSIPLMQFSTERIELISNDKKTGAEAYDDKRRRGKSYACNRSFLSGNRHVYWCVLALLAITVLAVAGGIIAALPLNPNKYPEKEQERNLTTTPNYMRLPATETEICVPTEDFLYLNSTEMPVMCVKYPSDPELATVLYESREGTVITETISGFAPSHGLKLWSLRLATMPLALKWSIHITDSTVSKVTITITKRSPSGTTQHDKTLKPNKIDPQKTVPAPWQGQAPYVTNPIATTIQITSVIGSDKCDFLEANLDKIAMGRVKEPAQCMLGRVNVKVPLSQLWDRVWKMKCGCYWPNNRLILQTINDLDDFIGQPLNSLNNNSMDLRYLQRLYSFANPPVRNIHNDQLYLKRLNTGPLNVPAATLSNRVLSLETLNVLNFFVKDGLGHNNLLNGDLVLRKLSTLGQLSPSSITSIRNDDLRLEEITRPLGWPITSLHNRSLFLLRLYNSDRFAGEEISVASVSNDDLHLEELYPIKSLSDYTITRINNEQLHLEKVYKPLNTPFEDLSNHYLYIREVKLLNKLDSPIGANNIQNNQLYLTTADEPIGTPISTLFRDNCYIFGADCRCVSPCSKAMVSLPAVQLFLGIKTGILFISWAASIM
+>sp|Q9Y5Y0|FLVC1_HUMAN Feline leukemia virus subgroup C receptor-related protein 1 OS=Homo sapiens OX=9606 GN=FLVCR1 PE=1 SV=1
+MARPDDEEGAAVAPGHPLAKGYLPLPRGAPVGKESVELQNGPKAGTFPVNGAPRDSLAAASGVLGGPQTPLAPEEETQARLLPAGAGAETPGAESSPLPLTALSPRRFVVLLIFSLYSLVNAFQWIQYSIISNVFEGFYGVTLLHIDWLSMVYMLAYVPLIFPATWLLDTRGLRLTALLGSGLNCLGAWIKCGSVQQHLFWVTMLGQCLCSVAQVFILGLPSRIASVWFGPKEVSTACATAVLGNQLGTAVGFLLPPVLVPNTQNDTNLLACNISTMFYGTSAVATLLFILTAIAFKEKPRYPPSQAQAALQDSPPEEYSYKKSIRNLFKNIPFVLLLITYGIMTGAFYSVSTLLNQMILTYYEGEEVNAGRIGLTLVVAGMVGSILCGLWLDYTKTYKQTTLIVYILSFIGMVIFTFTLDLRYIIIVFVTGGVLGFFMTGYLPLGFEFAVEITYPESEGTSSGLLNASAQIFGILFTLAQGKLTSDYGPKAGNIFLCVWMFIGIILTALIKSDLRRHNINIGITNVDVKAIPADSPTDQEPKTVMLSKQSESAI
+>DECOY_sp|Q9Y5Y0|FLVC1_HUMAN Feline leukemia virus subgroup C receptor-related protein 1 OS=Homo sapiens OX=9606 GN=FLVCR1 PE=1 SV=1
+IASESQKSLMVTKPEQDTPSDAPIAKVDVNTIGININHRRLDSKILATLIIGIFMWVCLFINGAKPGYDSTLKGQALTFLIGFIQASANLLGSSTGESEPYTIEVAFEFGLPLYGTMFFGLVGGTVFVIIIYRLDLTFTFIVMGIFSLIYVILTTQKYTKTYDLWLGCLISGVMGAVVLTLGIRGANVEEGEYYTLIMQNLLTSVSYFAGTMIGYTILLLVFPINKFLNRISKKYSYEEPPSDQLAAQAQSPPYRPKEKFAIATLIFLLTAVASTGYFMTSINCALLNTDNQTNPVLVPPLLFGVATGLQNGLVATACATSVEKPGFWVSAIRSPLGLIFVQAVSCLCQGLMTVWFLHQQVSGCKIWAGLCNLGSGLLATLRLGRTDLLWTAPFILPVYALMYVMSLWDIHLLTVGYFGEFVNSIISYQIWQFANVLSYLSFILLVVFRRPSLATLPLPSSEAGPTEAGAGAPLLRAQTEEEPALPTQPGGLVGSAAALSDRPAGNVPFTGAKPGNQLEVSEKGVPAGRPLPLYGKALPHGPAVAAGEEDDPRAM
+>sp|B3EWG5|FM25C_HUMAN Protein FAM25C OS=Homo sapiens OX=9606 GN=FAM25C PE=3 SV=1
+MLGGLGKLAAEGLAHRTEKATEGAIHAVEEVVKEVVGHAKETGEKAIAEAIKKAQESGDKKMKEITETVTNTVTNAITHAAESLDKLGQ
+>DECOY_sp|B3EWG5|FM25C_HUMAN Protein FAM25C OS=Homo sapiens OX=9606 GN=FAM25C PE=3 SV=1
+QGLKDLSEAAHTIANTVTNTVTETIEKMKKDGSEQAKKIAEAIAKEGTEKAHGVVEKVVEEVAHIAGETAKETRHALGEAALKGLGGLM
+>sp|Q9BXM9|FSD1L_HUMAN FSD1-like protein OS=Homo sapiens OX=9606 GN=FSD1L PE=1 SV=2
+MDSQKYCFKENENVTVDKACFLISNITIGPESINLQQEALQRIISTLANKNDEIQNFIDTLHHTLKGVQENSSNILSELDEEFDSLYSILDEVKESMINCIKQEQARKSQELQSQISQCNNALENSEELLEFATRSLDIKEPEEFSKAARQIKDRVTMASAFRLSLKPKVSDNMTHLMVDFSQERQMLQTLKFLPVPKAPEIDPVECLVADNSVTVAWRMPEEDNKIDHFILEHRKTNFDGLPRVKDERCWEIIDNIKGTEYTLSGLKFDSKYMNFRVRACNKAVAGEYSDPVTLETKALNFNLDNSSSHLNLKVEDTCVEWDPTGGKGQESKIKGKENKGRSGTPSPKRTSVGSRPPAVRGSRDRFTGESYTVLGDTAIESGQHYWEVKAQKDCKSYSVGVAYKTLGKFDQLGKTNTSWCIHVNNWLQNTFAAKHNNKVKALDVTVPEKIGVFCDFDGGQLSFYDANSKQLLYSFKTKFTQPVLPGFMVWCGGLSLSTGMQVPSAVRTLQKSENGMTGSASSLNNVVTQ
+>DECOY_sp|Q9BXM9|FSD1L_HUMAN FSD1-like protein OS=Homo sapiens OX=9606 GN=FSD1L PE=1 SV=2
+QTVVNNLSSASGTMGNESKQLTRVASPVQMGTSLSLGGCWVMFGPLVPQTFKTKFSYLLQKSNADYFSLQGGDFDCFVGIKEPVTVDLAKVKNNHKAAFTNQLWNNVHICWSTNTKGLQDFKGLTKYAVGVSYSKCDKQAKVEWYHQGSEIATDGLVTYSEGTFRDRSGRVAPPRSGVSTRKPSPTGSRGKNEKGKIKSEQGKGGTPDWEVCTDEVKLNLHSSSNDLNFNLAKTELTVPDSYEGAVAKNCARVRFNMYKSDFKLGSLTYETGKINDIIEWCREDKVRPLGDFNTKRHELIFHDIKNDEEPMRWAVTVSNDAVLCEVPDIEPAKPVPLFKLTQLMQREQSFDVMLHTMNDSVKPKLSLRFASAMTVRDKIQRAAKSFEEPEKIDLSRTAFELLEESNELANNCQSIQSQLEQSKRAQEQKICNIMSEKVEDLISYLSDFEEDLESLINSSNEQVGKLTHHLTDIFNQIEDNKNALTSIIRQLAEQQLNISEPGITINSILFCAKDVTVNENEKFCYKQSDM
+>sp|Q9BTV5|FSD1_HUMAN Fibronectin type III and SPRY domain-containing protein 1 OS=Homo sapiens OX=9606 GN=FSD1 PE=1 SV=1
+MEEQREALRKIIKTLAVKNEEIQSFIYSLKQMLLNVEANSAKVQEDLEAEFQSLFSLLEELKEGMLMKIKQDRASRTYELQNQLAACTRALESSEELLETANQTLQAMDSEDFPQAAKQIKDGVTMAPAFRLSLKAKVSDNMSHLMVDFAQERQMLQALKFLPVPSAPVIDLAESLVADNCVTLVWRMPDEDSKIDHYVLEYRRTNFEGPPRLKEDQPWMVIEGIRQTEYTLTGLKFDMKYMNFRVKACNKAVAGEFSEPVTLETPAFMFRLDASTSHQNLRVDDLSVEWDAMGGKVQDIKAREKDGKGRTASPINSPARGTPSPKRMPSGRGGRDRFTAESYTVLGDTLIDGGEHYWEVRYEPDSKAFGVGVAYRSLGRFEQLGKTAASWCLHVNNWLQVSFTAKHANKVKVLDAPVPDCLGVHCDFHQGLLSFYNARTKQVLHTFKTRFTQPLLPAFTVWCGSFQVTTGLQVPSAVRCLQKRGSATSSSNTSLT
+>DECOY_sp|Q9BTV5|FSD1_HUMAN Fibronectin type III and SPRY domain-containing protein 1 OS=Homo sapiens OX=9606 GN=FSD1 PE=1 SV=1
+TLSTNSSSTASGRKQLCRVASPVQLGTTVQFSGCWVTFAPLLPQTFRTKFTHLVQKTRANYFSLLGQHFDCHVGLCDPVPADLVKVKNAHKATFSVQLWNNVHLCWSAATKGLQEFRGLSRYAVGVGFAKSDPEYRVEWYHEGGDILTDGLVTYSEATFRDRGGRGSPMRKPSPTGRAPSNIPSATRGKGDKERAKIDQVKGGMADWEVSLDDVRLNQHSTSADLRFMFAPTELTVPESFEGAVAKNCAKVRFNMYKMDFKLGTLTYETQRIGEIVMWPQDEKLRPPGEFNTRRYELVYHDIKSDEDPMRWVLTVCNDAVLSEALDIVPASPVPLFKLAQLMQREQAFDVMLHSMNDSVKAKLSLRFAPAMTVGDKIQKAAQPFDESDMAQLTQNATELLEESSELARTCAALQNQLEYTRSARDQKIKMLMGEKLEELLSFLSQFEAELDEQVKASNAEVNLLMQKLSYIFSQIEENKVALTKIIKRLAERQEEM
+>sp|P01225|FSHB_HUMAN Follitropin subunit beta OS=Homo sapiens OX=9606 GN=FSHB PE=1 SV=2
+MKTLQFFFLFCCWKAICCNSCELTNITIAIEKEECRFCISINTTWCAGYCYTRDLVYKDPARPKIQKTCTFKELVYETVRVPGCAHHADSLYTYPVATQCHCGKCDSDSTDCTVRGLGPSYCSFGEMKE
+>DECOY_sp|P01225|FSHB_HUMAN Follitropin subunit beta OS=Homo sapiens OX=9606 GN=FSHB PE=1 SV=2
+EKMEGFSCYSPGLGRVTCDTSDSDCKGCHCQTAVPYTYLSDAHHACGPVRVTEYVLEKFTCTKQIKPRAPDKYVLDRTYCYGACWTTNISICFRCEEKEIAITINTLECSNCCIAKWCCFLFFFQLTKM
+>sp|P04066|FUCO_HUMAN Tissue alpha-L-fucosidase OS=Homo sapiens OX=9606 GN=FUCA1 PE=1 SV=4
+MRAPGMRSRPAGPALLLLLLFLGAAESVRRAQPPRRYTPDWPSLDSRPLPAWFDEAKFGVFIHWGVFSVPAWGSEWFWWHWQGEGRPQYQRFMRDNYPPGFSYADFGPQFTARFFHPEEWADLFQAAGAKYVVLTTKHHEGFTNWPSPVSWNWNSKDVGPHRDLVGELGTALRKRNIRYGLYHSLLEWFHPLYLLDKKNGFKTQHFVSAKTMPELYDLVNSYKPDLIWSDGEWECPDTYWNSTNFLSWLYNDSPVKDEVVVNDRWGQNCSCHHGGYYNCEDKFKPQSLPDHKWEMCTSIDKFSWGYRRDMALSDVTEESEIISELVQTVSLGGNYLLNIGPTKDGLIVPIFQERLLAVGKWLSINGEAIYASKPWRVQWEKNTTSVWYTSKGSAVYAIFLHWPENGVLNLESPITTSTTKITMLGIQGDLKWSTDPDKGLFISLPQLPPSAVPAEFAWTIKLTGVK
+>DECOY_sp|P04066|FUCO_HUMAN Tissue alpha-L-fucosidase OS=Homo sapiens OX=9606 GN=FUCA1 PE=1 SV=4
+KVGTLKITWAFEAPVASPPLQPLSIFLGKDPDTSWKLDGQIGLMTIKTTSTTIPSELNLVGNEPWHLFIAYVASGKSTYWVSTTNKEWQVRWPKSAYIAEGNISLWKGVALLREQFIPVILGDKTPGINLLYNGGLSVTQVLESIIESEETVDSLAMDRRYGWSFKDISTCMEWKHDPLSQPKFKDECNYYGGHHCSCNQGWRDNVVVEDKVPSDNYLWSLFNTSNWYTDPCEWEGDSWILDPKYSNVLDYLEPMTKASVFHQTKFGNKKDLLYLPHFWELLSHYLGYRINRKRLATGLEGVLDRHPGVDKSNWNWSVPSPWNTFGEHHKTTLVVYKAGAAQFLDAWEEPHFFRATFQPGFDAYSFGPPYNDRMFRQYQPRGEGQWHWWFWESGWAPVSFVGWHIFVGFKAEDFWAPLPRSDLSPWDPTYRRPPQARRVSEAAGLFLLLLLLAPGAPRSRMGPARM
+>sp|Q96A29|FUCT1_HUMAN GDP-fucose transporter 1 OS=Homo sapiens OX=9606 GN=SLC35C1 PE=1 SV=1
+MNRAPLKRSRILHMALTGASDPSAEAEANGEKPFLLRALQIALVVSLYWVTSISMVFLNKYLLDSPSLRLDTPIFVTFYQCLVTTLLCKGLSALAACCPGAVDFPSLRLDLRVARSVLPLSVVFIGMITFNNLCLKYVGVAFYNVGRSLTTVFNVLLSYLLLKQTTSFYALLTCGIIIGGFWLGVDQEGAEGTLSWLGTVFGVLASLCVSLNAIYTTKVLPAVDGSIWRLTFYNNVNACILFLPLLLLLGELQALRDFAQLGSAHFWGMMTLGGLFGFAIGYVTGLQIKFTSPLTHNVSGTAKACAQTVLAVLYYEETKSFLWWTSNMMVLGGSSAYTWVRGWEMKKTPEEPSPKDSEKSAMGV
+>DECOY_sp|Q96A29|FUCT1_HUMAN GDP-fucose transporter 1 OS=Homo sapiens OX=9606 GN=SLC35C1 PE=1 SV=1
+VGMASKESDKPSPEEPTKKMEWGRVWTYASSGGLVMMNSTWWLFSKTEEYYLVALVTQACAKATGSVNHTLPSTFKIQLGTVYGIAFGFLGGLTMMGWFHASGLQAFDRLAQLEGLLLLLPLFLICANVNNYFTLRWISGDVAPLVKTTYIANLSVCLSALVGFVTGLWSLTGEAGEQDVGLWFGGIIIGCTLLAYFSTTQKLLLYSLLVNFVTTLSRGVNYFAVGVYKLCLNNFTIMGIFVVSLPLVSRAVRLDLRLSPFDVAGPCCAALASLGKCLLTTVLCQYFTVFIPTDLRLSPSDLLYKNLFVMSISTVWYLSVVLAIQLARLLFPKEGNAEAEASPDSAGTLAMHLIRSRKLPARNM
+>sp|P35637|FUS_HUMAN RNA-binding protein FUS OS=Homo sapiens OX=9606 GN=FUS PE=1 SV=1
+MASNDYTQQATQSYGAYPTQPGQGYSQQSSQPYGQQSYSGYSQSTDTSGYGQSSYSSYGQSQNTGYGTQSTPQGYGSTGGYGSSQSSQSSYGQQSSYPGYGQQPAPSSTSGSYGSSSQSSSYGQPQSGSYSQQPSYGGQQQSYGQQQSYNPPQGYGQQNQYNSSSGGGGGGGGGGNYGQDQSSMSSGGGSGGGYGNQDQSGGGGSGGYGQQDRGGRGRGGSGGGGGGGGGGYNRSSGGYEPRGRGGGRGGRGGMGGSDRGGFNKFGGPRDQGSRHDSEQDNSDNNTIFVQGLGENVTIESVADYFKQIGIIKTNKKTGQPMINLYTDRETGKLKGEATVSFDDPPSAKAAIDWFDGKEFSGNPIKVSFATRRADFNRGGGNGRGGRGRGGPMGRGGYGGGGSGGGGRGGFPSGGGGGGGQQRAGDWKCPNPTCENMNFSWRNECNQCKAPKPDGPGGGPGGSHMGGNYGDDRRGGRGGYDRGGYRGRGGDRGGFRGGRGGGDRGGFGPGKMDSRGEHRQDRRERPY
+>DECOY_sp|P35637|FUS_HUMAN RNA-binding protein FUS OS=Homo sapiens OX=9606 GN=FUS PE=1 SV=1
+YPRERRDQRHEGRSDMKGPGFGGRDGGGRGGRFGGRDGGRGRYGGRDYGGRGGRRDDGYNGGMHSGGPGGGPGDPKPAKCQNCENRWSFNMNECTPNPCKWDGARQQGGGGGGGSPFGGRGGGGSGGGGYGGRGMPGGRGRGGRGNGGGRNFDARRTAFSVKIPNGSFEKGDFWDIAAKASPPDDFSVTAEGKLKGTERDTYLNIMPQGTKKNTKIIGIQKFYDAVSEITVNEGLGQVFITNNDSNDQESDHRSGQDRPGGFKNFGGRDSGGMGGRGGRGGGRGRPEYGGSSRNYGGGGGGGGGGSGGRGRGGRDQQGYGGSGGGGSQDQNGYGGGSGGGSSMSSQDQGYNGGGGGGGGGGSSSNYQNQQGYGQPPNYSQQQGYSQQQGGYSPQQSYSGSQPQGYSSSQSSSGYSGSTSSPAPQQGYGPYSSQQGYSSQSSQSSGYGGTSGYGQPTSQTGYGTNQSQGYSSYSSQGYGSTDTSQSYGSYSQQGYPQSSQQSYGQGPQTPYAGYSQTAQQTYDNSAM
+>sp|Q8N461|FXL16_HUMAN F-box/LRR-repeat protein 16 OS=Homo sapiens OX=9606 GN=FBXL16 PE=2 SV=2
+MSSPGIDGDPKPPCLPRNGLVKLPGQPNGLGAASITKGTPATKNRPCQPPPPPTLPPPSLAAPLSRAALAGGPCTPAGGPASALAPGHPAERPPLATDEKILNGLFWYFSACEKCVLAQVCKAWRRVLYQPKFWAGLTPVLHAKELYNVLPGGEKEFVNLQGFAARGFEGFCLVGVSDLDICEFIDNYALSKKGVKAMSLKRSTITDAGLEVMLEQMQGVVRLELSGCNDFTEAGLWSSLSARITSLSVSDCINVADDAIAAISQLLPNLAELSLQAYHVTDTALAYFTARQGHSTHTLRLLSCWEITNHGVVNVVHSLPNLTALSLSGCSKVTDDGVELVAENLRKLRSLDLSWCPRITDMALEYVACDLHRLEELVLDRCVRITDTGLSYLSTMSSLRSLYLRWCCQVQDFGLKHLLALGSLRLLSLAGCPLLTTTGLSGLVQLQELEELELTNCPGATPELFKYFSQHLPRCLVIE
+>DECOY_sp|Q8N461|FXL16_HUMAN F-box/LRR-repeat protein 16 OS=Homo sapiens OX=9606 GN=FBXL16 PE=2 SV=2
+EIVLCRPLHQSFYKFLEPTAGPCNTLELEELEQLQVLGSLGTTTLLPCGALSLLRLSGLALLHKLGFDQVQCCWRLYLSRLSSMTSLYSLGTDTIRVCRDLVLEELRHLDCAVYELAMDTIRPCWSLDLSRLKRLNEAVLEVGDDTVKSCGSLSLATLNPLSHVVNVVGHNTIEWCSLLRLTHTSHGQRATFYALATDTVHYAQLSLEALNPLLQSIAAIADDAVNICDSVSLSTIRASLSSWLGAETFDNCGSLELRVVGQMQELMVELGADTITSRKLSMAKVGKKSLAYNDIFECIDLDSVGVLCFGEFGRAAFGQLNVFEKEGGPLVNYLEKAHLVPTLGAWFKPQYLVRRWAKCVQALVCKECASFYWFLGNLIKEDTALPPREAPHGPALASAPGGAPTCPGGALAARSLPAALSPPPLTPPPPPQCPRNKTAPTGKTISAAGLGNPQGPLKVLGNRPLCPPKPDGDIGPSSM
+>sp|Q96IG2|FXL20_HUMAN F-box/LRR-repeat protein 20 OS=Homo sapiens OX=9606 GN=FBXL20 PE=1 SV=2
+MRRDVNGVTKSRFEMFSNSDEAVINKKLPKELLLRIFSFLDVVTLCRCAQVSRAWNVLALDGSNWQRIDLFDFQRDIEGRVVENISKRCGGFLRKLSLRGCLGVGDNALRTFAQNCRNIEVLNLNGCTKTTDATCTSLSKFCSKLRHLDLASCTSITNMSLKALSEGCPLLEQLNISWCDQVTKDGIQALVRGCGGLKALFLKGCTQLEDEALKYIGAHCPELVTLNLQTCLQITDEGLITICRGCHKLQSLCASGCSNITDAILNALGQNCPRLRILEVARCSQLTDVGFTTLARNCHELEKMDLEECVQITDSTLIQLSIHCPRLQVLSLSHCELITDDGIRHLGNGACAHDQLEVIELDNCPLITDASLEHLKSCHSLERIELYDCQQITRAGIKRLRTHLPNIKVHAYFAPVTPPPSVGGSRQRFCRCCIIL
+>DECOY_sp|Q96IG2|FXL20_HUMAN F-box/LRR-repeat protein 20 OS=Homo sapiens OX=9606 GN=FBXL20 PE=1 SV=2
+LIICCRCFRQRSGGVSPPPTVPAFYAHVKINPLHTRLRKIGARTIQQCDYLEIRELSHCSKLHELSADTILPCNDLEIVELQDHACAGNGLHRIGDDTILECHSLSLVQLRPCHISLQILTSDTIQVCEELDMKELEHCNRALTTFGVDTLQSCRAVELIRLRPCNQGLANLIADTINSCGSACLSQLKHCGRCITILGEDTIQLCTQLNLTVLEPCHAGIYKLAEDELQTCGKLFLAKLGGCGRVLAQIGDKTVQDCWSINLQELLPCGESLAKLSMNTISTCSALDLHRLKSCFKSLSTCTADTTKTCGNLNLVEINRCNQAFTRLANDGVGLCGRLSLKRLFGGCRKSINEVVRGEIDRQFDFLDIRQWNSGDLALVNWARSVQACRCLTVVDLFSFIRLLLEKPLKKNIVAEDSNSFMEFRSKTVGNVDRRM
+>sp|Q9UKT6|FXL21_HUMAN F-box/LRR-repeat protein 21 OS=Homo sapiens OX=9606 GN=FBXL21 PE=1 SV=1
+MKRNSLSVENKIVQLSGAAKQPKVGFYSSLNQTHTHTVLLDWGSLPHHVVLQIFQYLPLLDRACASSVCRRWNEVFHISDLWRKFEFELNQSATSSFKSTHPDLIQQIIKKHFAHLQYVSFKVDSSAESAEAACDILSQLVNCSIQTLGLISTAKPSFMNVSESHFVSALTVVFINSKSLSSIKIEDTPVDDPSLKILVANNSDTLRLPKMSSCPHVSSDGILCVADRCQGLRELALNYYILTDELFLALSSETHVNLEHLRIDVVSENPGQIKFHAVKKHSWDALIKHSPRVNVVMHFFLYEEEFETFFKEETPVTHLYFGRSVSKVVLGRVGLNCPRLIELVVCANDLQPLDNELICIAEHCTNLTALGLSKCEVSCSAFIRFVRLCERRLTQLSVMEEVLIPDEDYSLDEIHTEVSKYLGRVWFPDVMPLW
+>DECOY_sp|Q9UKT6|FXL21_HUMAN F-box/LRR-repeat protein 21 OS=Homo sapiens OX=9606 GN=FBXL21 PE=1 SV=1
+WLPMVDPFWVRGLYKSVETHIEDLSYDEDPILVEEMVSLQTLRRECLRVFRIFASCSVECKSLGLATLNTCHEAICILENDLPQLDNACVVLEILRPCNLGVRGLVVKSVSRGFYLHTVPTEEKFFTEFEEEYLFFHMVVNVRPSHKILADWSHKKVAHFKIQGPNESVVDIRLHELNVHTESSLALFLEDTLIYYNLALERLGQCRDAVCLIGDSSVHPCSSMKPLRLTDSNNAVLIKLSPDDVPTDEIKISSLSKSNIFVVTLASVFHSESVNMFSPKATSILGLTQISCNVLQSLIDCAAEASEASSDVKFSVYQLHAFHKKIIQQILDPHTSKFSSTASQNLEFEFKRWLDSIHFVENWRRCVSSACARDLLPLYQFIQLVVHHPLSGWDLLVTHTHTQNLSSYFGVKPQKAAGSLQVIKNEVSLSNRKM
+>sp|Q8IWF2|FXRD2_HUMAN FAD-dependent oxidoreductase domain-containing protein 2 OS=Homo sapiens OX=9606 GN=FOXRED2 PE=1 SV=1
+MGLSAAAPLWGPPGLLLAIALHPALSVPPRRDYCVLGAGPAGLQMAYFLQRAGRDYAVFERAPRPGSFFTRYPRHRKLISINKRYTGKANAEFNLRHDWNSLLSHDPRLLFRHYSRAYFPDARDMVRYLGDFADTLGLRVQYNTTIAHVTLDKDRQAWNGHYFILTDQKGQVHQCSVLFVATGLSVPNQVDFPGSEYAEGYESVSVDPEDFVGQNVLILGRGNSAFETAENILGVTNFIHMLSRSRVRLSWATHYVGDLRAINNGLLDTYQLKSLDGLLESDLTDLAILKDSKGKFHVTPKFFLEEANTNQSADSITLPQDDNDNFAMRVPYDRVIRCLGWNFDFSIFNKSLRLNSGNAFGKKYPLIRASYESKGSRGLFILGTASHSVDYRKSAGGFIHGFRYTVRAVHRLLEHRHHSVTWPATELPITQLTSSIVRRVNEASGLYQMFGVLADVILLKENSTAFEYLEEFPIQMLAQLETLTGRKAKHGLFVINMEYGRNFSGPDKDVFFDDRSVGHTEDAWQSNFLHPVIYYYRYLPTEQEVRFRPAHWPLPRPTAIHHIVEDFLTDWTAPIGHILPLRRFLENCLDTDLRSFYAESCFLFALTRQKLPPFCQQGYLRMQGLVSTESLWQHRVESRLLRDYAPTGRRLEDSSQQLGDQEPLGSPLAPGPLAQSVDSNKEEL
+>DECOY_sp|Q8IWF2|FXRD2_HUMAN FAD-dependent oxidoreductase domain-containing protein 2 OS=Homo sapiens OX=9606 GN=FOXRED2 PE=1 SV=1
+LEEKNSDVSQALPGPALPSGLPEQDGLQQSSDELRRGTPAYDRLLRSEVRHQWLSETSVLGQMRLYGQQCFPPLKQRTLAFLFCSEAYFSRLDTDLCNELFRRLPLIHGIPATWDTLFDEVIHHIATPRPLPWHAPRFRVEQETPLYRYYYIVPHLFNSQWADETHGVSRDDFFVDKDPGSFNRGYEMNIVFLGHKAKRGTLTELQALMQIPFEELYEFATSNEKLLIVDALVGFMQYLGSAENVRRVISSTLQTIPLETAPWTVSHHRHELLRHVARVTYRFGHIFGGASKRYDVSHSATGLIFLGRSGKSEYSARILPYKKGFANGSNLRLSKNFISFDFNWGLCRIVRDYPVRMAFNDNDDQPLTISDASQNTNAEELFFKPTVHFKGKSDKLIALDTLDSELLGDLSKLQYTDLLGNNIARLDGVYHTAWSLRVRSRSLMHIFNTVGLINEATEFASNGRGLILVNQGVFDEPDVSVSEYGEAYESGPFDVQNPVSLGTAVFLVSCQHVQGKQDTLIFYHGNWAQRDKDLTVHAITTNYQVRLGLTDAFDGLYRVMDRADPFYARSYHRFLLRPDHSLLSNWDHRLNFEANAKGTYRKNISILKRHRPYRTFFSGPRPAREFVAYDRGARQLFYAMQLGAPGAGLVCYDRRPPVSLAPHLAIALLLGPPGWLPAAASLGM
+>sp|Q9Y2I7|FYV1_HUMAN 1-phosphatidylinositol 3-phosphate 5-kinase OS=Homo sapiens OX=9606 GN=PIKFYVE PE=1 SV=3
+MATDDKTSPTLDSANDLPRSPTSPSHLTHFKPLTPDQDEPPFKSAYSSFVNLFRFNKERAEGGQGEQQPLSGSWTSPQLPSRTQSVRSPTPYKKQLNEELQRRSSALDTRRKAEPTFGGHDPRTAVQLRSLSTVLKRLKEIMEGKSQDSDLKQYWMPDSQCKECYDCSEKFTTFRRRHHCRLCGQIFCSRCCNQEIPGKFMGYTGDLRACTYCRKIALSYAHSTDSNSIGEDLNALSDSACSVSVLDPSEPRTPVGSRKASRNIFLEDDLAWQSLIHPDSSNTPLSTRLVSVQEDAGKSPARNRSASITNLSLDRSGSPMVPSYETSVSPQANRTYVRTETTEDERKILLDSVQLKDLWKKICHHSSGMEFQDHRYWLRTHPNCIVGKELVNWLIRNGHIATRAQAIAIGQAMVDGRWLDCVSHHDQLFRDEYALYRPLQSTEFSETPSPDSDSVNSVEGHSEPSWFKDIKFDDSDTEQIAEEGDDNLANSASPSKRTSVSSFQSTVDSDSAASISLNVELDNVNFHIKKPSKYPHVPPHPADQKEYLISDTGGQQLSISDAFIKESLFNRRVEEKSKELPFTPLGWHHNNLELLREENGEKQAMERLLSANHNHMMALLQQLLHSDSLSSSWRDIIVSLVCQVVQTVRPDVKNQDDDMDIRQFVHIKKIPGGKKFDSVVVNGFVCTKNIAHKKMSSCIKNPKILLLKCSIEYLYREETKFTCIDPIVLQEREFLKNYVQRIVDVRPTLVLVEKTVSRIAQDMLLEHGITLVINVKSQVLERISRMTQGDLVMSMDQLLTKPHLGTCHKFYMQIFQLPNEQTKTLMFFEGCPQHLGCTIKLRGGSDYELARVKEILIFMICVAYHSQLEISFLMDEFAMPPTLMQNPSFHSLIEGRGHEGAVQEQYGGGSIPWDPDIPPESLPCDDSSLLELRIVFEKGEQENKNLPQAVASVKHQEHSTTACPAGLPCAFFAPVPESLLPLPVDDQQDALGSEQPETLQQTVVLQDPKSQIRAFRDPLQDDTGLYVTEEVTSSEDKRKTYSLAFKQELKDVILCISPVITFREPFLLTEKGMRCSTRDYFAEQVYWSPLLNKEFKEMENRRKKQLLRDLSGLQGMNGSIQAKSIQVLPSHELVSTRIAEHLGDSQSLGRMLADYRARGGRIQPKNSDPFAHSKDASSTSSGQSGSKNEGDEERGLILSDAVWSTKVDCLNPINHQRLCVLFSSSSAQSSNAPSACVSPWIVTMEFYGKNDLTLGIFLERYCFRPSYQCPSMFCDTPMVHHIRRFVHGQGCVQIILKELDSPVPGYQHTILTYSWCRICKQVTPVVALSNESWSMSFAKYLELRFYGHQYTRRANAEPCGHSIHHDYHQYFSYNQMVASFSYSPIRLLEVCVPLPKIFIKRQAPLKVSLLQDLKDFFQKVSQVYVAIDERLASLKTDTFSKTREEKMEDIFAQKEMEEGEFKNWIEKMQARLMSSSVDTPQQLQSVFESLIAKKQSLCEVLQAWNNRLQDLFQQEKGRKRPSVPPSPGRLRQGEESKISAMDASPRNISPGLQNGEKEDRFLTTLSSQSSTSSTHLQLPTPPEVMSEQSVGGPPELDTASSSEDVFDGHLLGSTDSQVKEKSTMKAIFANLLPGNSYNPIPFPFDPDKHYLMYEHERVPIAVCEKEPSSIIAFALSCKEYRNALEELSKATQWNSAEEGLPTNSTSDSRPKSSSPIRLPEMSGGQTNRTTETEPQPTKKASGMLSFFRGTAGKSPDLSSQKRETLRGADSAYYQVGQTGKEGTENQGVEPQDEVDGGDTQKKQLINPHVELQFSDANAKFYCRLYYAGEFHKMREVILDSSEEDFIRSLSHSSPWQARGGKSGAAFYATEDDRFILKQMPRLEVQSFLDFAPHYFNYITNAVQQKRPTALAKILGVYRIGYKNSQNNTEKKLDLLVMENLFYGRKMAQVFDLKGSLRNRNVKTDTGKESCDVVLLDENLLKMVRDNPLYIRSHSKAVLRTSIHSDSHFLSSHLIIDYSLLVGRDDTSNELVVGIIDYIRTFTWDKKLEMVVKSTGILGGQGKMPTVVSPELYRTRFCEAMDKYFLMVPDHWTGLGLNC
+>DECOY_sp|Q9Y2I7|FYV1_HUMAN 1-phosphatidylinositol 3-phosphate 5-kinase OS=Homo sapiens OX=9606 GN=PIKFYVE PE=1 SV=3
+CNLGLGTWHDPVMLFYKDMAECFRTRYLEPSVVTPMKGQGGLIGTSKVVMELKKDWTFTRIYDIIGVVLENSTDDRGVLLSYDIILHSSLFHSDSHISTRLVAKSHSRIYLPNDRVMKLLNEDLLVVDCSEKGTDTKVNRNRLSGKLDFVQAMKRGYFLNEMVLLDLKKETNNQSNKYGIRYVGLIKALATPRKQQVANTIYNFYHPAFDLFSQVELRPMQKLIFRDDETAYFAAGSKGGRAQWPSSHSLSRIFDEESSDLIVERMKHFEGAYYLRCYFKANADSFQLEVHPNILQKKQTDGGDVEDQPEVGQNETGEKGTQGVQYYASDAGRLTERKQSSLDPSKGATGRFFSLMGSAKKTPQPETETTRNTQGGSMEPLRIPSSSKPRSDSTSNTPLGEEASNWQTAKSLEELANRYEKCSLAFAIISSPEKECVAIPVREHEYMLYHKDPDFPFPIPNYSNGPLLNAFIAKMTSKEKVQSDTSGLLHGDFVDESSSATDLEPPGGVSQESMVEPPTPLQLHTSSTSSQSSLTTLFRDEKEGNQLGPSINRPSADMASIKSEEGQRLRGPSPPVSPRKRGKEQQFLDQLRNNWAQLVECLSQKKAILSEFVSQLQQPTDVSSSMLRAQMKEIWNKFEGEEMEKQAFIDEMKEERTKSFTDTKLSALREDIAVYVQSVKQFFDKLDQLLSVKLPAQRKIFIKPLPVCVELLRIPSYSFSAVMQNYSFYQHYDHHISHGCPEANARRTYQHGYFRLELYKAFSMSWSENSLAVVPTVQKCIRCWSYTLITHQYGPVPSDLEKLIIQVCGQGHVFRRIHHVMPTDCFMSPCQYSPRFCYRELFIGLTLDNKGYFEMTVIWPSVCASPANSSQASSSSFLVCLRQHNIPNLCDVKTSWVADSLILGREEDGENKSGSQGSSTSSADKSHAFPDSNKPQIRGGRARYDALMRGLSQSDGLHEAIRTSVLEHSPLVQISKAQISGNMGQLGSLDRLLQKKRRNEMEKFEKNLLPSWYVQEAFYDRTSCRMGKETLLFPERFTIVPSICLIVDKLEQKFALSYTKRKDESSTVEETVYLGTDDQLPDRFARIQSKPDQLVVTQQLTEPQESGLADQQDDVPLPLLSEPVPAFFACPLGAPCATTSHEQHKVSAVAQPLNKNEQEGKEFVIRLELLSSDDCPLSEPPIDPDWPISGGGYQEQVAGEHGRGEILSHFSPNQMLTPPMAFEDMLFSIELQSHYAVCIMFILIEKVRALEYDSGGRLKITCGLHQPCGEFFMLTKTQENPLQFIQMYFKHCTGLHPKTLLQDMSMVLDGQTMRSIRELVQSKVNIVLTIGHELLMDQAIRSVTKEVLVLTPRVDVIRQVYNKLFEREQLVIPDICTFKTEERYLYEISCKLLLIKPNKICSSMKKHAINKTCVFGNVVVSDFKKGGPIKKIHVFQRIDMDDDQNKVDPRVTQVVQCVLSVIIDRWSSSLSDSHLLQQLLAMMHNHNASLLREMAQKEGNEERLLELNNHHWGLPTFPLEKSKEEVRRNFLSEKIFADSISLQQGGTDSILYEKQDAPHPPVHPYKSPKKIHFNVNDLEVNLSISAASDSDVTSQFSSVSTRKSPSASNALNDDGEEAIQETDSDDFKIDKFWSPESHGEVSNVSDSDPSPTESFETSQLPRYLAYEDRFLQDHHSVCDLWRGDVMAQGIAIAQARTAIHGNRILWNVLEKGVICNPHTRLWYRHDQFEMGSSHHCIKKWLDKLQVSDLLIKREDETTETRVYTRNAQPSVSTEYSPVMPSGSRDLSLNTISASRNRAPSKGADEQVSVLRTSLPTNSSDPHILSQWALDDELFINRSAKRSGVPTRPESPDLVSVSCASDSLANLDEGISNSDTSHAYSLAIKRCYTCARLDGTYGMFKGPIEQNCCRSCFIQGCLRCHHRRRFTTFKESCDYCEKCQSDPMWYQKLDSDQSKGEMIEKLRKLVTSLSRLQVATRPDHGGFTPEAKRRTDLASSRRQLEENLQKKYPTPSRVSQTRSPLQPSTWSGSLPQQEGQGGEAREKNFRFLNVFSSYASKFPPEDQDPTLPKFHTLHSPSTPSRPLDNASDLTPSTKDDTAM
+>sp|Q9UP38|FZD1_HUMAN Frizzled-1 OS=Homo sapiens OX=9606 GN=FZD1 PE=1 SV=2
+MAEEEAPKKSRAAGGGASWELCAGALSARLAEEGSGDAGGRRRPPVDPRRLARQLLLLLWLLEAPLLLGVRAQAAGQGPGQGPGPGQQPPPPPQQQQSGQQYNGERGISVPDHGYCQPISIPLCTDIAYNQTIMPNLLGHTNQEDAGLEVHQFYPLVKVQCSAELKFFLCSMYAPVCTVLEQALPPCRSLCERARQGCEALMNKFGFQWPDTLKCEKFPVHGAGELCVGQNTSDKGTPTPSLLPEFWTSNPQHGGGGHRGGFPGGAGASERGKFSCPRALKVPSYLNYHFLGEKDCGAPCEPTKVYGLMYFGPEELRFSRTWIGIWSVLCCASTLFTVLTYLVDMRRFSYPERPIIFLSGCYTAVAVAYIAGFLLEDRVVCNDKFAEDGARTVAQGTKKEGCTILFMMLYFFSMASSIWWVILSLTWFLAAGMKWGHEAIEANSQYFHLAAWAVPAIKTITILALGQVDGDVLSGVCFVGLNNVDALRGFVLAPLFVYLFIGTSFLLAGFVSLFRIRTIMKHDGTKTEKLEKLMVRIGVFSVLYTVPATIVIACYFYEQAFRDQWERSWVAQSCKSYAIPCPHLQAGGGAPPHPPMSPDFTVFMIKYLMTLIVGITSGFWIWSGKTLNSWRKFYTRLTNSKQGETTV
+>DECOY_sp|Q9UP38|FZD1_HUMAN Frizzled-1 OS=Homo sapiens OX=9606 GN=FZD1 PE=1 SV=2
+VTTEGQKSNTLRTYFKRWSNLTKGSWIWFGSTIGVILTMLYKIMFVTFDPSMPPHPPAGGGAQLHPCPIAYSKCSQAVWSREWQDRFAQEYFYCAIVITAPVTYLVSFVGIRVMLKELKETKTGDHKMITRIRFLSVFGALLFSTGIFLYVFLPALVFGRLADVNNLGVFCVGSLVDGDVQGLALITITKIAPVAWAALHFYQSNAEIAEHGWKMGAALFWTLSLIVWWISSAMSFFYLMMFLITCGEKKTGQAVTRAGDEAFKDNCVVRDELLFGAIYAVAVATYCGSLFIIPREPYSFRRMDVLYTLVTFLTSACCLVSWIGIWTRSFRLEEPGFYMLGYVKTPECPAGCDKEGLFHYNLYSPVKLARPCSFKGRESAGAGGPFGGRHGGGGHQPNSTWFEPLLSPTPTGKDSTNQGVCLEGAGHVPFKECKLTDPWQFGFKNMLAECGQRARECLSRCPPLAQELVTCVPAYMSCLFFKLEASCQVKVLPYFQHVELGADEQNTHGLLNPMITQNYAIDTCLPISIPQCYGHDPVSIGREGNYQQGSQQQQPPPPPQQGPGPGQGPGQGAAQARVGLLLPAELLWLLLLLQRALRRPDVPPRRRGGADGSGEEALRASLAGACLEWSAGGGAARSKKPAEEEAM
+>sp|Q9NPG1|FZD3_HUMAN Frizzled-3 OS=Homo sapiens OX=9606 GN=FZD3 PE=1 SV=1
+MAMTWIVFSLWPLTVFMGHIGGHSLFSCEPITLRMCQDLPYNTTFMPNLLNHYDQQTAALAMEPFHPMVNLDCSRDFRPFLCALYAPICMEYGRVTLPCRRLCQRAYSECSKLMEMFGVPWPEDMECSRFPDCDEPYPRLVDLNLAGEPTEGAPVAVQRDYGFWCPRELKIDPDLGYSFLHVRDCSPPCPNMYFRREELSFARYFIGLISIICLSATLFTFLTFLIDVTRFRYPERPIIFYAVCYMMVSLIFFIGFLLEDRVACNASIPAQYKASTVTQGSHNKACTMLFMILYFFTMAGSVWWVILTITWFLAAVPKWGSEAIEKKALLFHASAWGIPGTLTIILLAMNKIEGDNISGVCFVGLYDVDALRYFVLAPLCLYVVVGVSLLLAGIISLNRVRIEIPLEKENQDKLVKFMIRIGVFSILYLVPLLVVIGCYFYEQAYRGIWETTWIQERCREYHIPCPYQVTQMSRPDLILFLMKYLMALIVGIPSVFWVGSKKTCFEWASFFHGRRKKEIVNESRQVLQEPDFAQSLLRDPNTPIIRKSRGTSTQGTSTHASSTQLAMVDDQRSKAGSIHSKVSSYHGSLHRSRDGRYTPCSYRGMEERLPHGSMSRLTDHSRHSSSHRLNEQSRHSSIRDLSNNPMTHITHGTSMNRVIEEDGTSA
+>DECOY_sp|Q9NPG1|FZD3_HUMAN Frizzled-3 OS=Homo sapiens OX=9606 GN=FZD3 PE=1 SV=1
+ASTGDEEIVRNMSTGHTIHTMPNNSLDRISSHRSQENLRHSSSHRSHDTLRSMSGHPLREEMGRYSCPTYRGDRSRHLSGHYSSVKSHISGAKSRQDDVMALQTSSAHTSTGQTSTGRSKRIIPTNPDRLLSQAFDPEQLVQRSENVIEKKRRGHFFSAWEFCTKKSGVWFVSPIGVILAMLYKMLFLILDPRSMQTVQYPCPIHYERCREQIWTTEWIGRYAQEYFYCGIVVLLPVLYLISFVGIRIMFKVLKDQNEKELPIEIRVRNLSIIGALLLSVGVVVYLCLPALVFYRLADVDYLGVFCVGSINDGEIKNMALLIITLTGPIGWASAHFLLAKKEIAESGWKPVAALFWTITLIVWWVSGAMTFFYLIMFLMTCAKNHSGQTVTSAKYQAPISANCAVRDELLFGIFFILSVMMYCVAYFIIPREPYRFRTVDILFTLFTFLTASLCIISILGIFYRAFSLEERRFYMNPCPPSCDRVHLFSYGLDPDIKLERPCWFGYDRQVAVPAGETPEGALNLDVLRPYPEDCDPFRSCEMDEPWPVGFMEMLKSCESYARQCLRRCPLTVRGYEMCIPAYLACLFPRFDRSCDLNVMPHFPEMALAATQQDYHNLLNPMFTTNYPLDQCMRLTIPECSFLSHGGIHGMFVTLPWLSFVIWTMAM
+>sp|Q13467|FZD5_HUMAN Frizzled-5 OS=Homo sapiens OX=9606 GN=FZD5 PE=1 SV=2
+MARPDPSAPPSLLLLLLAQLVGRAAAASKAPVCQEITVPMCRGIGYNLTHMPNQFNHDTQDEAGLEVHQFWPLVEIQCSPDLRFFLCSMYTPICLPDYHKPLPPCRSVCERAKAGCSPLMRQYGFAWPERMSCDRLPVLGRDAEVLCMDYNRSEATTAPPRPFPAKPTLPGPPGAPASGGECPAGGPFVCKCREPFVPILKESHPLYNKVRTGQVPNCAVPCYQPSFSADERTFATFWIGLWSVLCFISTSTTVATFLIDMERFRYPERPIIFLSACYLCVSLGFLVRLVVGHASVACSREHNHIHYETTGPALCTIVFLLVYFFGMASSIWWVILSLTWFLAAGMKWGNEAIAGYAQYFHLAAWLIPSVKSITALALSSVDGDPVAGICYVGNQNLNSLRGFVLGPLVLYLLVGTLFLLAGFVSLFRIRSVIKQGGTKTDKLEKLMIRIGIFTLLYTVPASIVVACYLYEQHYRESWEAALTCACPGHDTGQPRAKPEYWVLMLKYFMCLVVGITSGVWIWSGKTVESWRRFTSRCCCRPRRGHKSGGAMAAGDYPEASAALTGRTGPPGPAATYHKQVSLSHV
+>DECOY_sp|Q13467|FZD5_HUMAN Frizzled-5 OS=Homo sapiens OX=9606 GN=FZD5 PE=1 SV=2
+VHSLSVQKHYTAAPGPPGTRGTLAASAEPYDGAAMAGGSKHGRRPRCCCRSTFRRWSEVTKGSWIWVGSTIGVVLCMFYKLMLVWYEPKARPQGTDHGPCACTLAAEWSERYHQEYLYCAVVISAPVTYLLTFIGIRIMLKELKDTKTGGQKIVSRIRFLSVFGALLFLTGVLLYLVLPGLVFGRLSNLNQNGVYCIGAVPDGDVSSLALATISKVSPILWAALHFYQAYGAIAENGWKMGAALFWTLSLIVWWISSAMGFFYVLLFVITCLAPGTTEYHIHNHERSCAVSAHGVVLRVLFGLSVCLYCASLFIIPREPYRFREMDILFTAVTTSTSIFCLVSWLGIWFTAFTREDASFSPQYCPVACNPVQGTRVKNYLPHSEKLIPVFPERCKCVFPGGAPCEGGSAPAGPPGPLTPKAPFPRPPATTAESRNYDMCLVEADRGLVPLRDCSMREPWAFGYQRMLPSCGAKARECVSRCPPLPKHYDPLCIPTYMSCLFFRLDPSCQIEVLPWFQHVELGAEDQTDHNFQNPMHTLNYGIGRCMPVTIEQCVPAKSAAAARGVLQALLLLLLSPPASPDPRAM
+>sp|Q9UM11|FZR1_HUMAN Fizzy-related protein homolog OS=Homo sapiens OX=9606 GN=FZR1 PE=1 SV=2
+MDQDYERRLLRQIVIQNENTMPRVTEMRRTLTPASSPVSSPSKHGDRFIPSRAGANWSVNFHRINENEKSPSQNRKAKDATSDNGKDGLAYSALLKNELLGAGIEKVQDPQTEDRRLQPSTPEKKGLFTYSLSTKRSSPDDGNDVSPYSLSPVSNKSQKLLRSPRKPTRKISKIPFKVLDAPELQDDFYLNLVDWSSLNVLSVGLGTCVYLWSACTSQVTRLCDLSVEGDSVTSVGWSERGNLVAVGTHKGFVQIWDAAAGKKLSMLEGHTARVGALAWNAEQLSSGSRDRMILQRDIRTPPLQSERRLQGHRQEVCGLKWSTDHQLLASGGNDNKLLVWNHSSLSPVQQYTEHLAAVKAIAWSPHQHGLLASGGGTADRCIRFWNTLTGQPLQCIDTGSQVCNLAWSKHANELVSTHGYSQNQILVWKYPSLTQVAKLTGHSYRVLYLAMSPDGEAIVTGAGDETLRFWNVFSKTRSTKVKWESVSVLNLFTRIR
+>DECOY_sp|Q9UM11|FZR1_HUMAN Fizzy-related protein homolog OS=Homo sapiens OX=9606 GN=FZR1 PE=1 SV=2
+RIRTFLNLVSVSEWKVKTSRTKSFVNWFRLTEDGAGTVIAEGDPSMALYLVRYSHGTLKAVQTLSPYKWVLIQNQSYGHTSVLENAHKSWALNCVQSGTDICQLPQGTLTNWFRICRDATGGGSALLGHQHPSWAIAKVAALHETYQQVPSLSSHNWVLLKNDNGGSALLQHDTSWKLGCVEQRHGQLRRESQLPPTRIDRQLIMRDRSGSSLQEANWALAGVRATHGELMSLKKGAAADWIQVFGKHTGVAVLNGRESWGVSTVSDGEVSLDCLRTVQSTCASWLYVCTGLGVSLVNLSSWDVLNLYFDDQLEPADLVKFPIKSIKRTPKRPSRLLKQSKNSVPSLSYPSVDNGDDPSSRKTSLSYTFLGKKEPTSPQLRRDETQPDQVKEIGAGLLENKLLASYALGDKGNDSTADKAKRNQSPSKENENIRHFNVSWNAGARSPIFRDGHKSPSSVPSSAPTLTRRMETVRPMTNENQIVIQRLLRREYDQDM
+>sp|Q7L622|G2E3_HUMAN G2/M phase-specific E3 ubiquitin-protein ligase OS=Homo sapiens OX=9606 GN=G2E3 PE=1 SV=1
+MNESKPGDSQNLACVFCRKHDDCPNKYGEKKTKEKWNLTVHYYCLLMSSGIWQRGKEEEGVYGFLIEDIRKEVNRASKLKCCVCKKNGASIGCVAPRCKRSYHFPCGLQRECIFQFTGNFASFCWDHRPVQIITSNNYRESLPCTICLEFIEPIPSYNILRSPCCKNAWFHRDCLQVQAINAGVFFFRCTICNNSDIFQKEMLRMGIHIPEKDASWELEENAYQELLQHYERCDVRRCRCKEGRDYNAPDSKWEIKRCQCCGSSGTHLACSSLRSWEQNWECLECRGIIYNSGEFQKAKKHVLPNSNNVGITDCLLEESSPKLPRQSPGSQSKDLLRQGSKFRRNVSTLLIELGFQIKKKTKRLYINKANIWNSALDAFRNRNFNPSYAIEVAYVIENDNFGSEHPGSKQEFLSLLMQHLENSSLFEGSLSKNLSLNSQALKENLYYEAGKMLAISLVHGGPSPGFFSKTLFNCLVYGPENTQPILDDVSDFDVAQIIIRINTATTVADLKSIINECYNYLELIGCLRLITTLSDKYMLVKDILGYHVIQRVHTPFESFKQGLKTLGVLEKIQAYPEAFCSILCHKPESLSAKILSELFTVHTLPDVKALGFWNSYLQAVEDGKSTTTMEDILIFATGCSSIPPAGFKPTPSIECLHVDFPVGNKCNNCLAIPITNTYKEFQENMDFTIRNTLRLEKEESSHYIGH
+>DECOY_sp|Q7L622|G2E3_HUMAN G2/M phase-specific E3 ubiquitin-protein ligase OS=Homo sapiens OX=9606 GN=G2E3 PE=1 SV=1
+HGIYHSSEEKELRLTNRITFDMNEQFEKYTNTIPIALCNNCKNGVPFDVHLCEISPTPKFGAPPISSCGTAFILIDEMTTTSKGDEVAQLYSNWFGLAKVDPLTHVTFLESLIKASLSEPKHCLISCFAEPYAQIKELVGLTKLGQKFSEFPTHVRQIVHYGLIDKVLMYKDSLTTILRLCGILELYNYCENIISKLDAVTTATNIRIIIQAVDFDSVDDLIPQTNEPGYVLCNFLTKSFFGPSPGGHVLSIALMKGAEYYLNEKLAQSNLSLNKSLSGEFLSSNELHQMLLSLFEQKSGPHESGFNDNEIVYAVEIAYSPNFNRNRFADLASNWINAKNIYLRKTKKKIQFGLEILLTSVNRRFKSGQRLLDKSQSGPSQRPLKPSSEELLCDTIGVNNSNPLVHKKAKQFEGSNYIIGRCELCEWNQEWSRLSSCALHTGSSGCCQCRKIEWKSDPANYDRGEKCRCRRVDCREYHQLLEQYANEELEWSADKEPIHIGMRLMEKQFIDSNNCITCRFFFVGANIAQVQLCDRHFWANKCCPSRLINYSPIPEIFELCITCPLSERYNNSTIIQVPRHDWCFSAFNGTFQFICERQLGCPFHYSRKCRPAVCGISAGNKKCVCCKLKSARNVEKRIDEILFGYVGEEEKGRQWIGSSMLLCYYHVTLNWKEKTKKEGYKNPCDDHKRCFVCALNQSDGPKSENM
+>sp|Q4V321|GAG13_HUMAN G antigen 13 OS=Homo sapiens OX=9606 GN=GAGE13 PE=3 SV=2
+MSWRGRSTYYWPRPRRYVEPPEMIGPMRPEQFSDEVEPATPEEGEPATQRQDPAAAQEGEDEGASAGQGPKPEADSQEQGHPQTGCECEDGPDGQEMDPPNPEEVKTPEEGEKQSQC
+>DECOY_sp|Q4V321|GAG13_HUMAN G antigen 13 OS=Homo sapiens OX=9606 GN=GAGE13 PE=3 SV=2
+CQSQKEGEEPTKVEEPNPPDMEQGDPGDECECGTQPHGQEQSDAEPKPGQGASAGEDEGEQAAAPDQRQTAPEGEEPTAPEVEDSFQEPRMPGIMEPPEVYRRPRPWYYTSRGRWSM
+>sp|Q13065|GAGE1_HUMAN G antigen 1 OS=Homo sapiens OX=9606 GN=GAGE1 PE=1 SV=2
+MSWRGRSTYYWPRPRRYVQPPEMIGPMRPEQFSDEVEPATPEEGEPATQRQDPAAAQEGEDEGASAGQGPKPEADSQEQGHPQTGCECEDGPDGQEMDPPNPEEVKTPEEEMRSHYVAQTGILWLLMNNCFLNLSPRKP
+>DECOY_sp|Q13065|GAGE1_HUMAN G antigen 1 OS=Homo sapiens OX=9606 GN=GAGE1 PE=1 SV=2
+PKRPSLNLFCNNMLLWLIGTQAVYHSRMEEEPTKVEEPNPPDMEQGDPGDECECGTQPHGQEQSDAEPKPGQGASAGEDEGEQAAAPDQRQTAPEGEEPTAPEVEDSFQEPRMPGIMEPPQVYRRPRPWYYTSRGRWSM
+>sp|Q9YNA8|GAK19_HUMAN Endogenous retrovirus group K member 19 Gag polyprotein OS=Homo sapiens OX=9606 GN=ERVK-19 PE=1 SV=3
+MGQTKSKIKSKYASYLSFIKILLKRGGVKVSTKNLIKLFQIIEQFCPWFPEQGTLDLKDWKRIGKELKQAGRKGNIIPLTVWNDWAIIKAALEPFQTEEDSVSVSDAPGSCIIDCNENTRKKSQKETESLHCEYVAEPVMAQSTQNVDYNQLQEVIYPETLKLEGKVPELVGPSESKPRGTSRLPAGQVPVTLQPQTQVKENKTQPPVAYQYWPPAELQYRPPLESQYGYPGMPPAPQGRAPYPQPPTRRLNPTAPPSRRGSELHEIIDKSRKEGDTEAWQFPVTLEPMPPGEGAQEGEPPTVEARYKSFSIKMLKDMKEGVKQYGPNSPYMRTLLDSIAHGHRLIPYDWEILAKSSLSPSQFLQFKTWWIDGVQEQVRRNRAANPPVNIDADQLLGIGQNWSTISQQALMQNEAIEQVRAICLRAWEKIQDPGSTCPSFNTVRQGSKEPYPDFVARLQDVAQKSIAIEKARKVIVELMAYENPNPECQSAIKPLKGKVPAGSDVISEYVKACDGMGGAMHKAMLMAQAITGVVLGGQVRTFGGKCYNCGQIGHLKKNCPVLNKQNITIQATTTGREPPDLCPRCKKGKHWASQCRSKFDKNGQPLSGNEQRGQPQAPQQTGAFPIQPFVPHGFQGQQPPLSQVFQGISQLPQYNNCPPPQAAVQQ
+>DECOY_sp|Q9YNA8|GAK19_HUMAN Endogenous retrovirus group K member 19 Gag polyprotein OS=Homo sapiens OX=9606 GN=ERVK-19 PE=1 SV=3
+QQVAAQPPPCNNYQPLQSIGQFVQSLPPQQGQFGHPVFPQIPFAGTQQPAQPQGRQENGSLPQGNKDFKSRCQSAWHKGKKCRPCLDPPERGTTTAQITINQKNLVPCNKKLHGIQGCNYCKGGFTRVQGGLVVGTIAQAMLMAKHMAGGMGDCAKVYESIVDSGAPVKGKLPKIASQCEPNPNEYAMLEVIVKRAKEIAISKQAVDQLRAVFDPYPEKSGQRVTNFSPCTSGPDQIKEWARLCIARVQEIAENQMLAQQSITSWNQGIGLLQDADINVPPNAARNRRVQEQVGDIWWTKFQLFQSPSLSSKALIEWDYPILRHGHAISDLLTRMYPSNPGYQKVGEKMDKLMKISFSKYRAEVTPPEGEQAGEGPPMPELTVPFQWAETDGEKRSKDIIEHLESGRRSPPATPNLRRTPPQPYPARGQPAPPMGPYGYQSELPPRYQLEAPPWYQYAVPPQTKNEKVQTQPQLTVPVQGAPLRSTGRPKSESPGVLEPVKGELKLTEPYIVEQLQNYDVNQTSQAMVPEAVYECHLSETEKQSKKRTNENCDIICSGPADSVSVSDEETQFPELAAKIIAWDNWVTLPIINGKRGAQKLEKGIRKWDKLDLTGQEPFWPCFQEIIQFLKILNKTSVKVGGRKLLIKIFSLYSAYKSKIKSKTQGM
+>sp|Q9HDB9|GAK5_HUMAN Endogenous retrovirus group K member 5 Gag polyprotein OS=Homo sapiens OX=9606 GN=ERVK-5 PE=1 SV=3
+MGQTKSKTKSKYASYLSFIKILLKRGGVRVSTKNLIKLFQIIEQFCPWFPEQGTLDLKDWKRIGEELKQAGRKGNIIPLTVWNDWAIIKAALEPFQTKEDSVSVSDAPGSCVIDCNEKTGRKSQKETESLHCEYVTEPVMAQSTQNVDYNQLQGVIYPETLKLEGKGPELVGPSESKPRGPSPLPAGQVPVTLQPQTQVKENKTQPPVAYQYWPPAELQYLPPPESQYGYPGMPPALQGRAPYPQPPTVRLNPTASRSGQGGTLHAVIDEARKQGDLEAWRFLVILQLVQAGEETQVGAPARAETRCEPFTMKMLKDIKEGVKQYGSNSPYIRTLLDSIAHGNRLTPYDWESLAKSSLSSSQYLQFKTWWIDGVQEQVRKNQATKPTVNIDADQLLGTGPNWSTINQQSVMQNEAIEQVRAICLRAWGKIQDPGTAFPINSIRQGSKEPYPDFVARLQDAAQKSITDDNARKVIVELMAYENANPECQSAIKPLKGKVPAGVDVITEYVKACDGIGGAMHKAMLMAQAMRGLTLGGQVRTFGKKCYNCGQIGHLKRSCPVLNKQNIINQAITAKNKKPSGLCPKCGKGKHWANQCHSKFDKDGQPLSGNRKRGQPQAPQQTGAFPVQLFVPQGFQGQQPLQKIPPLQGVSQLQQSNSCPAPQQAAPQ
+>DECOY_sp|Q9HDB9|GAK5_HUMAN Endogenous retrovirus group K member 5 Gag polyprotein OS=Homo sapiens OX=9606 GN=ERVK-5 PE=1 SV=3
+QPAAQQPAPCSNSQQLQSVGQLPPIKQLPQQGQFGQPVFLQVPFAGTQQPAQPQGRKRNGSLPQGDKDFKSHCQNAWHKGKGCKPCLGSPKKNKATIAQNIINQKNLVPCSRKLHGIQGCNYCKKGFTRVQGGLTLGRMAQAMLMAKHMAGGIGDCAKVYETIVDVGAPVKGKLPKIASQCEPNANEYAMLEVIVKRANDDTISKQAADQLRAVFDPYPEKSGQRISNIPFATGPDQIKGWARLCIARVQEIAENQMVSQQNITSWNPGTGLLQDADINVTPKTAQNKRVQEQVGDIWWTKFQLYQSSSLSSKALSEWDYPTLRNGHAISDLLTRIYPSNSGYQKVGEKIDKLMKMTFPECRTEARAPAGVQTEEGAQVLQLIVLFRWAELDGQKRAEDIVAHLTGGQGSRSATPNLRVTPPQPYPARGQLAPPMGPYGYQSEPPPLYQLEAPPWYQYAVPPQTKNEKVQTQPQLTVPVQGAPLPSPGRPKSESPGVLEPGKGELKLTEPYIVGQLQNYDVNQTSQAMVPETVYECHLSETEKQSKRGTKENCDIVCSGPADSVSVSDEKTQFPELAAKIIAWDNWVTLPIINGKRGAQKLEEGIRKWDKLDLTGQEPFWPCFQEIIQFLKILNKTSVRVGGRKLLIKIFSLYSAYKSKTKSKTQGM
+>sp|P63130|GAK7_HUMAN Endogenous retrovirus group K member 7 Gag polyprotein OS=Homo sapiens OX=9606 GN=ERVK-7 PE=3 SV=2
+MGQTKSKIKSKYASYLSFIKILLKRGGVKVSTKNLIKLFQIIEQFCPWFPEQGTLDLKDWKRIGKELKQAGRKGNIIPLTVWNDWAIIKAALEPFQTEKDSVSVSDALGSCIIDCNENTRKKSQKETEGLHCEYVAEPVMAQSTQNVDYNQLQEVIYPETLKLEGKGPELVGPSESKPRGTSHLPAGQVPVTLQPQKQVKENKTQPPVAYQYWPPAELQYRPPPESQYGYPGMPPAPQGRAPYPQPPTRRLNPTAPPSRQGSELHEIIDKSRKEGDTEAWQFPVTLEPMPPGEGAQEGEPPTVEARYKSFSIKMLKDMKEGVKQYGPNSPYMRTLLDSIAHGHRLIPYDWEILAKSSLSPSQFLQFKTWWIDGVQEQVRRNRAANPPVNIDADQLLGIGQNWSTISQQALMQNEAIEQVRAICLRAWEKIQDPGSTCPSFNTVRQGSKEPYPDFVARLQDVAQKSIADEKARKVIVELMAYENANPECQSAIKPLKGKVPAGSDVISEYVKACDGIGGAMHKAMLMAQAITGVVLGGQVRTFGGKCYNCGQIGHLKKNCPVLNKQNITIQATTTGREPPDLCPRCKKGKHWASQCRSKFDKNGQPLSGNEQRGQPQAPQQTGAFPIQPFVPQGFQEQQPPLSQVFQGISQLPQYNNCPPPQAAVQQ
+>DECOY_sp|P63130|GAK7_HUMAN Endogenous retrovirus group K member 7 Gag polyprotein OS=Homo sapiens OX=9606 GN=ERVK-7 PE=3 SV=2
+QQVAAQPPPCNNYQPLQSIGQFVQSLPPQQEQFGQPVFPQIPFAGTQQPAQPQGRQENGSLPQGNKDFKSRCQSAWHKGKKCRPCLDPPERGTTTAQITINQKNLVPCNKKLHGIQGCNYCKGGFTRVQGGLVVGTIAQAMLMAKHMAGGIGDCAKVYESIVDSGAPVKGKLPKIASQCEPNANEYAMLEVIVKRAKEDAISKQAVDQLRAVFDPYPEKSGQRVTNFSPCTSGPDQIKEWARLCIARVQEIAENQMLAQQSITSWNQGIGLLQDADINVPPNAARNRRVQEQVGDIWWTKFQLFQSPSLSSKALIEWDYPILRHGHAISDLLTRMYPSNPGYQKVGEKMDKLMKISFSKYRAEVTPPEGEQAGEGPPMPELTVPFQWAETDGEKRSKDIIEHLESGQRSPPATPNLRRTPPQPYPARGQPAPPMGPYGYQSEPPPRYQLEAPPWYQYAVPPQTKNEKVQKQPQLTVPVQGAPLHSTGRPKSESPGVLEPGKGELKLTEPYIVEQLQNYDVNQTSQAMVPEAVYECHLGETEKQSKKRTNENCDIICSGLADSVSVSDKETQFPELAAKIIAWDNWVTLPIINGKRGAQKLEKGIRKWDKLDLTGQEPFWPCFQEIIQFLKILNKTSVKVGGRKLLIKIFSLYSAYKSKIKSKTQGM
+>sp|Q8N4A0|GALT4_HUMAN Polypeptide N-acetylgalactosaminyltransferase 4 OS=Homo sapiens OX=9606 GN=GALNT4 PE=1 SV=2
+MAVRWTWAGKSCLLLAFLTVAYIFVELLVSTFHASAGAGRARELGSRRLSDLQKNTEDLSRPLYKKPPADSRALGEWGKASKLQLNEDELKQQEELIERYAINIYLSDRISLHRHIEDKRMYECKSQKFNYRTLPTTSVIIAFYNEAWSTLLRTIHSVLETSPAVLLKEIILVDDLSDRVYLKTQLETYISNLDRVRLIRTNKREGLVRARLIGATFATGDVLTFLDCHCECNSGWLEPLLERIGRDETAVVCPVIDTIDWNTFEFYMQIGEPMIGGFDWRLTFQWHSVPKQERDRRISRIDPIRSPTMAGGLFAVSKKYFQYLGTYDTGMEVWGGENLELSFRVWQCGGKLEIHPCSHVGHVFPKRAPYARPNFLQNTARAAEVWMDEYKEHFYNRNPPARKEAYGDISERKLLRERLRCKSFDWYLKNVFPNLHVPEDRPGWHGAIRSRGISSECLDYNSPDNNPTGANLSLFGCHGQGGNQFFEYTSNKEIRFNSVTELCAEVPEQKNYVGMQNCPKDGFPVPANIIWHFKEDGTIFHPHSGLCLSAYRTPEGRPDVQMRTCDALDKNQIWSFEK
+>DECOY_sp|Q8N4A0|GALT4_HUMAN Polypeptide N-acetylgalactosaminyltransferase 4 OS=Homo sapiens OX=9606 GN=GALNT4 PE=1 SV=2
+KEFSWIQNKDLADCTRMQVDPRGEPTRYASLCLGSHPHFITGDEKFHWIINAPVPFGDKPCNQMGVYNKQEPVEACLETVSNFRIEKNSTYEFFQNGGQGHCGFLSLNAGTPNNDPSNYDLCESSIGRSRIAGHWGPRDEPVHLNPFVNKLYWDFSKCRLRERLLKRESIDGYAEKRAPPNRNYFHEKYEDMWVEAARATNQLFNPRAYPARKPFVHGVHSCPHIELKGGCQWVRFSLELNEGGWVEMGTDYTGLYQFYKKSVAFLGGAMTPSRIPDIRSIRRDREQKPVSHWQFTLRWDFGGIMPEGIQMYFEFTNWDITDIVPCVVATEDRGIRELLPELWGSNCECHCDLFTLVDGTAFTAGILRARVLGERKNTRILRVRDLNSIYTELQTKLYVRDSLDDVLIIEKLLVAPSTELVSHITRLLTSWAENYFAIIVSTTPLTRYNFKQSKCEYMRKDEIHRHLSIRDSLYINIAYREILEEQQKLEDENLQLKSAKGWEGLARSDAPPKKYLPRSLDETNKQLDSLRRSGLERARGAGASAHFTSVLLEVFIYAVTLFALLLCSKGAWTWRVAM
+>sp|Q86SF2|GALT7_HUMAN N-acetylgalactosaminyltransferase 7 OS=Homo sapiens OX=9606 GN=GALNT7 PE=1 SV=1
+MRLKIGFILRSLLVVGSFLGLVVLWSSLTPRPDDPSPLSRMREDRDVNDPMPNRGGNGLAPGEDRFKPVVPWPHVEGVEVDLESIRRINKAKNEQEHHAGGDSQKDIMQRQYLTFKPQTFTYHDPVLRPGILGNFEPKEPEPPGVVGGPGEKAKPLVLGPEFKQAIQASIKEFGFNMVASDMISLDRSVNDLRQEECKYWHYDENLLTSSVVIVFHNEGWSTLMRTVHSVIKRTPRKYLAEIVLIDDFSNKEHLKEKLDEYIKLWNGLVKVFRNERREGLIQARSIGAQKAKLGQVLIYLDAHCEVAVNWYAPLVAPISKDRTICTVPLIDVINGNTYEIIPQGGGDEDGYARGAWDWSMLWKRVPLTPQEKRLRKTKTEPYRSPAMAGGLFAIEREFFFELGLYDPGLQIWGGENFEISYKIWQCGGKLLFVPCSRVGHIYRLEGWQGNPPPIYVGSSPTLKNYVRVVEVWWDEYKDYFYASRPESQALPYGDISELKKFREDHNCKSFKWFMEEIAYDITSHYPLPPKNVDWGEIRGFETAYCIDSMGKTNGGFVELGPCHRMGGNQLFRINEANQLMQYDQCLTKGADGSKVMITHCNLNEFKEWQYFKNLHRFTHIPSGKCLDRSEVLHQVFISNCDSSKTTQKWEMNNIHSV
+>DECOY_sp|Q86SF2|GALT7_HUMAN N-acetylgalactosaminyltransferase 7 OS=Homo sapiens OX=9606 GN=GALNT7 PE=1 SV=1
+VSHINNMEWKQTTKSSDCNSIFVQHLVESRDLCKGSPIHTFRHLNKFYQWEKFENLNCHTIMVKSGDAGKTLCQDYQMLQNAENIRFLQNGGMRHCPGLEVFGGNTKGMSDICYATEFGRIEGWDVNKPPLPYHSTIDYAIEEMFWKFSKCNHDERFKKLESIDGYPLAQSEPRSAYFYDKYEDWWVEVVRVYNKLTPSSGVYIPPPNGQWGELRYIHGVRSCPVFLLKGGCQWIKYSIEFNEGGWIQLGPDYLGLEFFFEREIAFLGGAMAPSRYPETKTKRLRKEQPTLPVRKWLMSWDWAGRAYGDEDGGGQPIIEYTNGNIVDILPVTCITRDKSIPAVLPAYWNVAVECHADLYILVQGLKAKQAGISRAQILGERRENRFVKVLGNWLKIYEDLKEKLHEKNSFDDILVIEALYKRPTRKIVSHVTRMLTSWGENHFVIVVSSTLLNEDYHWYKCEEQRLDNVSRDLSIMDSAVMNFGFEKISAQIAQKFEPGLVLPKAKEGPGGVVGPPEPEKPEFNGLIGPRLVPDHYTFTQPKFTLYQRQMIDKQSDGGAHHEQENKAKNIRRISELDVEVGEVHPWPVVPKFRDEGPALGNGGRNPMPDNVDRDERMRSLPSPDDPRPTLSSWLVVLGLFSGVVLLSRLIFGIKLRM
+>sp|Q8TET4|GANC_HUMAN Neutral alpha-glucosidase C OS=Homo sapiens OX=9606 GN=GANC PE=2 SV=3
+MEAAVKEEISLEDEAVDKNIFRDCNKIAFYRRQKQWLSKKSTYQALLDSVTTDEDSTRFQIINEASKVPLLAEIYGIEGNIFRLKINEETPLKPRFEVPDVLTSKPSTVRLISCSGDTGSLILADGKGDLKCHITANPFKVDLVSEEEVVISINSLGQLYFEHLQILHKQRAAKENEEETSVDTSQENQEDLGLWEEKFGKFVDIKANGPSSIGLDFSLHGFEHLYGIPQHAESHQLKNTGDGDAYRLYNLDVYGYQIYDKMGIYGSVPYLLAHKLGRTIGIFWLNASETLVEINTEPAVEYTLTQMGPVAAKQKVRSRTHVHWMSESGIIDVFLLTGPTPSDVFKQYSHLTGTQAMPPLFSLGYHQCRWNYEDEQDVKAVDAGFDEHDIPYDAMWLDIEHTEGKRYFTWDKNRFPNPKRMQELLRSKKRKLVVISDPHIKIDPDYSVYVKAKDQGFFVKNQEGEDFEGVCWPGLSSYLDFTNPKVREWYSSLFAFPVYQGSTDILFLWNDMNEPSVFRGPEQTMQKNAIHHGNWEHRELHNIYGFYHQMATAEGLIKRSKGKERPFVLTRSFFAGSQKYGAVWTGDNTAEWSNLKISIPMLLTLSITGISFCGADIGGFIGNPETELLVRWYQAGAYQPFFRGHATMNTKRREPWLFGEEHTRLIREAIRERYGLLPYWYSLFYHAHVASQPVMRPLWVEFPDELKTFDMEDEYMLGSALLVHPVTEPKATTVDVFLPGSNEVWYDYKTFAHWEGGCTVKIPVALDTIPVFQRGGSVIPIKTTVGKSTGWMTESSYGLRVALSTKGSSVGELYLDDGHSFQYLHQKQFLHRKFSFCSSVLINSFADQRGHYPSKCVVEKILVLGFRKEPSSVTTHSSDGKDQPVAFTYCAKTSILSLEKLSLNIATDWEVRII
+>DECOY_sp|Q8TET4|GANC_HUMAN Neutral alpha-glucosidase C OS=Homo sapiens OX=9606 GN=GANC PE=2 SV=3
+IIRVEWDTAINLSLKELSLISTKACYTFAVPQDKGDSSHTTVSSPEKRFGLVLIKEVVCKSPYHGRQDAFSNILVSSCFSFKRHLFQKQHLYQFSHGDDLYLEGVSSGKTSLAVRLGYSSETMWGTSKGVTTKIPIVSGGRQFVPITDLAVPIKVTCGGEWHAFTKYDYWVENSGPLFVDVTTAKPETVPHVLLASGLMYEDEMDFTKLEDPFEVWLPRMVPQSAVHAHYFLSYWYPLLGYRERIAERILRTHEEGFLWPERRKTNMTAHGRFFPQYAGAQYWRVLLETEPNGIFGGIDAGCFSIGTISLTLLMPISIKLNSWEATNDGTWVAGYKQSGAFFSRTLVFPREKGKSRKILGEATAMQHYFGYINHLERHEWNGHHIANKQMTQEPGRFVSPENMDNWLFLIDTSGQYVPFAFLSSYWERVKPNTFDLYSSLGPWCVGEFDEGEQNKVFFGQDKAKVYVSYDPDIKIHPDSIVVLKRKKSRLLEQMRKPNPFRNKDWTFYRKGETHEIDLWMADYPIDHEDFGADVAKVDQEDEYNWRCQHYGLSFLPPMAQTGTLHSYQKFVDSPTPGTLLFVDIIGSESMWHVHTRSRVKQKAAVPGMQTLTYEVAPETNIEVLTESANLWFIGITRGLKHALLYPVSGYIGMKDYIQYGYVDLNYLRYADGDGTNKLQHSEAHQPIGYLHEFGHLSFDLGISSPGNAKIDVFKGFKEEWLGLDEQNEQSTDVSTEEENEKAARQKHLIQLHEFYLQGLSNISIVVEEESVLDVKFPNATIHCKLDGKGDALILSGTDGSCSILRVTSPKSTLVDPVEFRPKLPTEENIKLRFINGEIGYIEALLPVKSAENIIQFRTSDEDTTVSDLLAQYTSKKSLWQKQRRYFAIKNCDRFINKDVAEDELSIEEKVAAEM
+>sp|O60318|GANP_HUMAN Germinal-center associated nuclear protein OS=Homo sapiens OX=9606 GN=MCM3AP PE=1 SV=2
+MNPTNPFSGQQPSAFSASSSNVGTLPSKPPFRFGQPSLFGQNSTLSGKSSGFSQVSSFPASSGVSHSSSVQTLGFTQTSSVGPFSGLEHTSTFVATSGPSSSSVLGNTGFSFKSPTSVGAFPSTSAFGQEAGEIVNSGFGKTEFSFKPLENAVFKPILGAESEPEKTQSQIASGFFTFSHPISSAPGGLAPFSFPQVTSSSATTSNFTFSKPVSSNNSLSAFTPALSNQNVEEEKRGPKSIFGSSNNSFSSFPVSSAVLGEPFQASKAGVRQGCEEAVSQVEPLPSLMKGLKRKEDQDRSPRRHGHEPAEDSDPLSRGDHPPDKRPVRLNRPRGGTLFGRTIQDVFKSNKEVGRLGNKEAKKETGFVESAESDHMAIPGGNQSVLAPSRIPGVNKEEETESREKKEDSLRGTPARQSNRSESTDSLGGLSPSEVTAIQCKNIPDYLNDRTILENHFGKIAKVQRIFTRRSKKLAVVHFFDHASAALARKKGKSLHKDMAIFWHRKKISPNKKPFSLKEKKPGDGEVSPSTEDAPFQHSPLGKAAGRTGASSLLNKSSPVKKPSLLKAHQFEGDSFDSASEGSEGLGPCVLSLSTLIGTVAETSKEKYRLLDQRDRIMRQARVKRTDLDKARTFVGTCLDMCPEKERYMRETRSQLSVFEVVPGTDQVDHAAAVKEYSRSSADQEEPLPHELRPLPVLSRTMDYLVTQIMDQKEGSLRDWYDFVWNRTRGIRKDITQQHLCDPLTVSLIEKCTRFHIHCAHFMCEEPMSSFDAKINNENMTKCLQSLKEMYQDLRNKGVFCASEAEFQGYNVLLSLNKGDILREVQQFHPAVRNSSEVKFAVQAFAALNSNNFVRFFKLVQSASYLNACLLHCYFSQIRKDALRALNFAYTVSTQRSTIFPLDGVVRMLLFRDCEEATDFLTCHGLTVSDGCVELNRSAFLEPEGLSKTRKSVFITRKLTVSVGEIVNGGPLPPVPRHTPVCSFNSQNKYIGESLAAELPVSTQRPGSDTVGGGRGEECGVEPDAPLSSLPQSLPAPAPSPVPLPPVLALTPSVAPSLFQLSVQPEPPPPEPVPMYSDEDLAQVVDELIQEALQRDCEEVGSAGAAYAAAALGVSNAAMEDLLTAATTGILRHIAAEEVSKERERREQERQRAEEERLKQERELVLSELSQGLAVELMERVMMEFVRETCSQELKNAVETDQRVRVARCCEDVCAHLVDLFLVEEIFQTAKETLQELQCFCKYLQRWREAVTARKKLRRQMRAFPAAPCCVDVSDRLRALAPSAECPIAEENLARGLLDLGHAGRLGISCTRLRRLRNKTAHQMKVQHFYQQLLSDVAWASLDLPSLVAEHLPGRQEHVFWKLVLVLPDVEEQSPESCGRILANWLKVKFMGDEGSVDDTSSDAGGIQTLSLFNSLSSKGDQMISVNVCIKVAHGALSDGAIDAVETQKDLLGASGLMLLLPPKMKSEDMAEEDVYWLSALLQLKQLLQAKPFQPALPLVVLVPSPGGDAVEKEVEDGLMLQDLVSAKLISDYTVTEIPDTINDLQGSTKVLQAVQWLVSHCPHSLDLCCQTLIQYVEDGIGHEFSGRFFHDRRERRLGGLASQEPGAIIELFNSVLQFLASVVSSEQLCDLSWPVTEFAEAGGSRLLPHLHWNAPEHLAWLKQAVLGFQLPQMDLPPLGAPWLPVCSMVVQYASQIPSSRQTQPVLQSQVENLLHRTYCRWKSKSPSPVHGAGPSVMEIPWDDLIALCINHKLRDWTPPRLPVTSEALSEDGQICVYFFKNDLKKYDVPLSWEQARLQTQKELQLREGRLAIKPFHPSANNFPIPLLHMHRNWKRSTECAQEGRIPSTEDLMRGASAEELLAQCLSSSLLLEKEENKRFEDQLQQWLSEDSGAFTDLTSLPLYLPQTLVSLSHTIEPVMKTSVTTSPQSDMMREQLQLSEATGTCLGERLKHLERLIRSSREEEVASELHLSALLDMVDI
+>DECOY_sp|O60318|GANP_HUMAN Germinal-center associated nuclear protein OS=Homo sapiens OX=9606 GN=MCM3AP PE=1 SV=2
+IDVMDLLASLHLESAVEEERSSRILRELHKLREGLCTGTAESLQLQERMMDSQPSTTVSTKMVPEITHSLSVLTQPLYLPLSTLDTFAGSDESLWQQLQDEFRKNEEKELLLSSSLCQALLEEASAGRMLDETSPIRGEQACETSRKWNRHMHLLPIPFNNASPHFPKIALRGERLQLEKQTQLRAQEWSLPVDYKKLDNKFFYVCIQGDESLAESTVPLRPPTWDRLKHNICLAILDDWPIEMVSPGAGHVPSPSKSKWRCYTRHLLNEVQSQLVPQTQRSSPIQSAYQVVMSCVPLWPAGLPPLDMQPLQFGLVAQKLWALHEPANWHLHPLLRSGGAEAFETVPWSLDCLQESSVVSALFQLVSNFLEIIAGPEQSALGGLRRERRDHFFRGSFEHGIGDEVYQILTQCCLDLSHPCHSVLWQVAQLVKTSGQLDNITDPIETVTYDSILKASVLDQLMLGDEVEKEVADGGPSPVLVVLPLAPQFPKAQLLQKLQLLASLWYVDEEAMDESKMKPPLLLMLGSAGLLDKQTEVADIAGDSLAGHAVKICVNVSIMQDGKSSLSNFLSLTQIGGADSSTDDVSGEDGMFKVKLWNALIRGCSEPSQEEVDPLVLVLKWFVHEQRGPLHEAVLSPLDLSAWAVDSLLQQYFHQVKMQHATKNRLRRLRTCSIGLRGAHGLDLLGRALNEEAIPCEASPALARLRDSVDVCCPAAPFARMQRRLKKRATVAERWRQLYKCFCQLEQLTEKATQFIEEVLFLDVLHACVDECCRAVRVRQDTEVANKLEQSCTERVFEMMVREMLEVALGQSLESLVLEREQKLREEEARQREQERREREKSVEEAAIHRLIGTTAATLLDEMAANSVGLAAAAYAAGASGVEECDRQLAEQILEDVVQALDEDSYMPVPEPPPPEPQVSLQFLSPAVSPTLALVPPLPVPSPAPAPLSQPLSSLPADPEVGCEEGRGGGVTDSGPRQTSVPLEAALSEGIYKNQSNFSCVPTHRPVPPLPGGNVIEGVSVTLKRTIFVSKRTKSLGEPELFASRNLEVCGDSVTLGHCTLFDTAEECDRFLLMRVVGDLPFITSRQTSVTYAFNLARLADKRIQSFYCHLLCANLYSASQVLKFFRVFNNSNLAAFAQVAFKVESSNRVAPHFQQVERLIDGKNLSLLVNYGQFEAESACFVGKNRLDQYMEKLSQLCKTMNENNIKADFSSMPEECMFHACHIHFRTCKEILSVTLPDCLHQQTIDKRIGRTRNWVFDYWDRLSGEKQDMIQTVLYDMTRSLVPLPRLEHPLPEEQDASSRSYEKVAAAHDVQDTGPVVEFVSLQSRTERMYREKEPCMDLCTGVFTRAKDLDTRKVRAQRMIRDRQDLLRYKEKSTEAVTGILTSLSLVCPGLGESGESASDFSDGEFQHAKLLSPKKVPSSKNLLSSAGTRGAAKGLPSHQFPADETSPSVEGDGPKKEKLSFPKKNPSIKKRHWFIAMDKHLSKGKKRALAASAHDFFHVVALKKSRRTFIRQVKAIKGFHNELITRDNLYDPINKCQIATVESPSLGGLSDTSESRNSQRAPTGRLSDEKKERSETEEEKNVGPIRSPALVSQNGGPIAMHDSEASEVFGTEKKAEKNGLRGVEKNSKFVDQITRGFLTGGRPRNLRVPRKDPPHDGRSLPDSDEAPEHGHRRPSRDQDEKRKLGKMLSPLPEVQSVAEECGQRVGAKSAQFPEGLVASSVPFSSFSNNSSGFISKPGRKEEEVNQNSLAPTFASLSNNSSVPKSFTFNSTTASSSTVQPFSFPALGGPASSIPHSFTFFGSAIQSQTKEPESEAGLIPKFVANELPKFSFETKGFGSNVIEGAEQGFASTSPFAGVSTPSKFSFGTNGLVSSSSPGSTAVFTSTHELGSFPGVSSTQTFGLTQVSSSHSVGSSAPFSSVQSFGSSKGSLTSNQGFLSPQGFRFPPKSPLTGVNSSSASFASPQQGSFPNTPNM
+>sp|Q9H4G4|GAPR1_HUMAN Golgi-associated plant pathogenesis-related protein 1 OS=Homo sapiens OX=9606 GN=GLIPR2 PE=1 SV=3
+MGKSASKQFHNEVLKAHNEYRQKHGVPPLKLCKNLNREAQQYSEALASTRILKHSPESSRGQCGENLAWASYDQTGKEVADRWYSEIKNYNFQQPGFTSGTGHFTAMVWKNTKKMGVGKASASDGSSFVVARYFPAGNVVNEGFFEENVLPPKK
+>DECOY_sp|Q9H4G4|GAPR1_HUMAN Golgi-associated plant pathogenesis-related protein 1 OS=Homo sapiens OX=9606 GN=GLIPR2 PE=1 SV=3
+KKPPLVNEEFFGENVVNGAPFYRAVVFSSGDSASAKGVGMKKTNKWVMATFHGTGSTFGPQQFNYNKIESYWRDAVEKGTQDYSAWALNEGCQGRSSEPSHKLIRTSALAESYQQAERNLNKCLKLPPVGHKQRYENHAKLVENHFQKSASKGM
+>sp|P62879|GBB2_HUMAN Guanine nucleotide-binding protein G(I)/G(S)/G(T) subunit beta-2 OS=Homo sapiens OX=9606 GN=GNB2 PE=1 SV=3
+MSELEQLRQEAEQLRNQIRDARKACGDSTLTQITAGLDPVGRIQMRTRRTLRGHLAKIYAMHWGTDSRLLVSASQDGKLIIWDSYTTNKVHAIPLRSSWVMTCAYAPSGNFVACGGLDNICSIYSLKTREGNVRVSRELPGHTGYLSCCRFLDDNQIITSSGDTTCALWDIETGQQTVGFAGHSGDVMSLSLAPDGRTFVSGACDASIKLWDVRDSMCRQTFIGHESDINAVAFFPNGYAFTTGSDDATCRLFDLRADQELLMYSHDNIICGITSVAFSRSGRLLLAGYDDFNCNIWDAMKGDRAGVLAGHDNRVSCLGVTDDGMAVATGSWDSFLKIWN
+>DECOY_sp|P62879|GBB2_HUMAN Guanine nucleotide-binding protein G(I)/G(S)/G(T) subunit beta-2 OS=Homo sapiens OX=9606 GN=GNB2 PE=1 SV=3
+NWIKLFSDWSGTAVAMGDDTVGLCSVRNDHGALVGARDGKMADWINCNFDDYGALLLRGSRSFAVSTIGCIINDHSYMLLEQDARLDFLRCTADDSGTTFAYGNPFFAVANIDSEHGIFTQRCMSDRVDWLKISADCAGSVFTRGDPALSLSMVDGSHGAFGVTQQGTEIDWLACTTDGSSTIIQNDDLFRCCSLYGTHGPLERSVRVNGERTKLSYISCINDLGGCAVFNGSPAYACTMVWSSRLPIAHVKNTTYSDWIILKGDQSASVLLRSDTGWHMAYIKALHGRLTRRTRMQIRGVPDLGATIQTLTSDGCAKRADRIQNRLQEAEQRLQELESM
+>sp|Q92538|GBF1_HUMAN Golgi-specific brefeldin A-resistance guanine nucleotide exchange factor 1 OS=Homo sapiens OX=9606 GN=GBF1 PE=1 SV=2
+MVDKNIYIIQGEINIVVGAIKRNARWSTHTPLDEERDPLLHSFGHLKEVLNSITELSEIEPNVFLRPFLEVIRSEDTTGPITGLALTSVNKFLSYALIDPTHEGTAEGMENMADAVTHARFVGTDPASDEVVLMKILQVLRTLLLTPVGAHLTNESVCEIMQSCFRICFEMRLSELLRKSAEHTLVDMVQLLFTRLPQFKEEPKNYVGTNMKKLKMRAGGMSDSSKWKKQKRSPRPPRHMTKVTPGSELPTPNGTTLSSNLTGGMPFIDVPTPISSASSEAASAVVSPSTDSGLEFSSQTTSKEDLTDLEQPGSPGYSTATEPGSSELGVPEQPDLQEGTHVEKSQSASVESIPEVLEECTSPADHSDSASVHDMDYVNPRGVRFTQSSQKEGTALVPYGLPCIRELFRFLISLTNPHDRHNSEVMIHMGLHLLTVALESAPVAQCQTLLGLIKDEMCRHLFQLLSIERLNLYAASLRVCFLLFESMREHLKFQMEMYIKKLMEIITVENPKMPYEMKEMALEAIVQLWRIPSFVTELYINYDCDYYCSNLFEELTKLLSKNAFPVSGQLYTTHLLSLDALLTVIDSTEAHCQAKVLNSLTQQEKKETARPSCEIVDGTREASNTERTASDGKAVGMASDIPGLHLPGGGRLPPEHGKSGCSDLEEAVDSGADKKFARKPPRFSCLLPDPRELIEIKNKKKLLITGTEQFNQKPKKGIQFLQEKGLLTIPMDNTEVAQWLRENPRLDKKMIGEFVSDRKNIDLLESFVSTFSFQGLRLDEALRLYLEAFRLPGEAPVIQRLLEAFTERWMNCNGSPFANSDACFSLAYAVIMLNTDQHNHNVRKQNAPMTLEEFRKNLKGVNGGKDFEQDILEDMYHAIKNEEIVMPEEQTGLVRENYVWNVLLHRGATPEGIFLRVPTASYDLDLFTMTWGPTIAALSYVFDKSLEETIIQKAISGFRKCAMISAHYGLSDVFDNLIISLCKFTALSSESIENLPSVFGSNPKAHIAAKTVFHLAHRHGDILREGWKNIMEAMLQLFRAQLLPKAMIEVEDFVDPNGKISLQREETPSNRGESTVLSFVSWLTLSGPEQSSVRGPSTENQEAKRVALECIKQCDPEKMITESKFLQLESLQELMKALVSVTPDEETYDEEDAAFCLEMLLRIVLENRDRVGCVWQTVRDHLYHLCVQAQDFCFLVERAVVGLLRLAIRLLRREEISAQVLLSLRILLLMKPSVLSRVSHQVAYGLHELLKTNAANIHSGDDWATLFTLLECIGSGVKPPAALQATARADAPDAGAQSDSELPSYHQNDVSLDRGYTSDSEVYTDHGRPGKIHRSATDADVVNSGWLVVGKDDVDNSKPGPSRPGPSPLINQYSLTVGLDLGPHDTKSLLKCVESLSFIVRDAAHITPDNFELCVKTLRIFVEASLNGGCKSQEKRGKSHKYDSKGNRFKKKSKEGSMLRRPRTSSQHASRGGQSDDDEDEGVPASYHTVSLQVSQDLLDLMHTLHTRAASIYSSWAEEQRHLETGGQKIEADSRTLWAHCWCPLLQGIACLCCDARRQVRMQALTYLQRALLVHDLQKLDALEWESCFNKVLFPLLTKLLENISPADVGGMEETRMRASTLLSKVFLQHLSPLLSLSTFAALWLTILDFMDKYMHAGSSDLLSEAIPESLKNMLLVMDTAEIFHSADARGGGPSALWEITWERIDCFLPHLRDELFKQTVIQDPMPMEPQGQKPLASAHLTSAAGDTRTPGHPPPPEIPSELGACDFEKPESPRAASSSSPGSPVASSPSRLSPTPDGPPPLAQPPLILQPLASPLQVGVPPMTLPIILNPALIEATSPVPLLATPRPTDPIPTSEVN
+>DECOY_sp|Q92538|GBF1_HUMAN Golgi-specific brefeldin A-resistance guanine nucleotide exchange factor 1 OS=Homo sapiens OX=9606 GN=GBF1 PE=1 SV=2
+NVESTPIPDTPRPTALLPVPSTAEILAPNLIIPLTMPPVGVQLPSALPQLILPPQALPPPGDPTPSLRSPSSAVPSGPSSSSAARPSEPKEFDCAGLESPIEPPPPHGPTRTDGAASTLHASALPKQGQPEMPMPDQIVTQKFLEDRLHPLFCDIREWTIEWLASPGGGRADASHFIEATDMVLLMNKLSEPIAESLLDSSGAHMYKDMFDLITLWLAAFTSLSLLPSLHQLFVKSLLTSARMRTEEMGGVDAPSINELLKTLLPFLVKNFCSEWELADLKQLDHVLLARQLYTLAQMRVQRRADCCLCAIGQLLPCWCHAWLTRSDAEIKQGGTELHRQEEAWSSYISAARTHLTHMLDLLDQSVQLSVTHYSAPVGEDEDDDSQGGRSAHQSSTRPRRLMSGEKSKKKFRNGKSDYKHSKGRKEQSKCGGNLSAEVFIRLTKVCLEFNDPTIHAADRVIFSLSEVCKLLSKTDHPGLDLGVTLSYQNILPSPGPRSPGPKSNDVDDKGVVLWGSNVVDADTASRHIKGPRGHDTYVESDSTYGRDLSVDNQHYSPLESDSQAGADPADARATAQLAAPPKVGSGICELLTFLTAWDDGSHINAANTKLLEHLGYAVQHSVRSLVSPKMLLLIRLSLLVQASIEERRLLRIALRLLGVVAREVLFCFDQAQVCLHYLHDRVTQWVCGVRDRNELVIRLLMELCFAADEEDYTEEDPTVSVLAKMLEQLSELQLFKSETIMKEPDCQKICELAVRKAEQNETSPGRVSSQEPGSLTLWSVFSLVTSEGRNSPTEERQLSIKGNPDVFDEVEIMAKPLLQARFLQLMAEMINKWGERLIDGHRHALHFVTKAAIHAKPNSGFVSPLNEISESSLATFKCLSIILNDFVDSLGYHASIMACKRFGSIAKQIITEELSKDFVYSLAAITPGWTMTFLDLDYSATPVRLFIGEPTAGRHLLVNWVYNERVLGTQEEPMVIEENKIAHYMDELIDQEFDKGGNVGKLNKRFEELTMPANQKRVNHNHQDTNLMIVAYALSFCADSNAFPSGNCNMWRETFAELLRQIVPAEGPLRFAELYLRLAEDLRLGQFSFTSVFSELLDINKRDSVFEGIMKKDLRPNERLWQAVETNDMPITLLGKEQLFQIGKKPKQNFQETGTILLKKKNKIEILERPDPLLCSFRPPKRAFKKDAGSDVAEELDSCGSKGHEPPLRGGGPLHLGPIDSAMGVAKGDSATRETNSAERTGDVIECSPRATEKKEQQTLSNLVKAQCHAETSDIVTLLADLSLLHTTYLQGSVPFANKSLLKTLEEFLNSCYYDCDYNIYLETVFSPIRWLQVIAELAMEKMEYPMKPNEVTIIEMLKKIYMEMQFKLHERMSEFLLFCVRLSAAYLNLREISLLQFLHRCMEDKILGLLTQCQAVPASELAVTLLHLGMHIMVESNHRDHPNTLSILFRFLERICPLGYPVLATGEKQSSQTFRVGRPNVYDMDHVSASDSHDAPSTCEELVEPISEVSASQSKEVHTGEQLDPQEPVGLESSGPETATSYGPSGPQELDTLDEKSTTQSSFELGSDTSPSVVASAAESSASSIPTPVDIFPMGGTLNSSLTTGNPTPLESGPTVKTMHRPPRPSRKQKKWKSSDSMGGARMKLKKMNTGVYNKPEEKFQPLRTFLLQVMDVLTHEASKRLLESLRMEFCIRFCSQMIECVSENTLHAGVPTLLLTRLVQLIKMLVVEDSAPDTGVFRAHTVADAMNEMGEATGEHTPDILAYSLFKNVSTLALGTIPGTTDESRIVELFPRLFVNPEIESLETISNLVEKLHGFSHLLPDREEDLPTHTSWRANRKIAGVVINIEGQIIYINKDVM
+>sp|Q9P2W3|GBG13_HUMAN Guanine nucleotide-binding protein G(I)/G(S)/G(O) subunit gamma-13 OS=Homo sapiens OX=9606 GN=GNG13 PE=1 SV=1
+MEEWDVPQMKKEVESLKYQLAFQREMASKTIPELLKWIEDGIPKDPFLNPDLMKNNPWVEKGKCTIL
+>DECOY_sp|Q9P2W3|GBG13_HUMAN Guanine nucleotide-binding protein G(I)/G(S)/G(O) subunit gamma-13 OS=Homo sapiens OX=9606 GN=GNG13 PE=1 SV=1
+LITCKGKEVWPNNKMLDPNLFPDKPIGDEIWKLLEPITKSAMERQFALQYKLSEVEKKMQPVDWEEM
+>sp|P63218|GBG5_HUMAN Guanine nucleotide-binding protein G(I)/G(S)/G(O) subunit gamma-5 OS=Homo sapiens OX=9606 GN=GNG5 PE=1 SV=3
+MSGSSSVAAMKKVVQQLRLEAGLNRVKVSQAAADLKQFCLQNAQHDPLLTGVSSSTNPFRPQKVCSFL
+>DECOY_sp|P63218|GBG5_HUMAN Guanine nucleotide-binding protein G(I)/G(S)/G(O) subunit gamma-5 OS=Homo sapiens OX=9606 GN=GNG5 PE=1 SV=3
+LFSCVKQPRFPNTSSSVGTLLPDHQANQLCFQKLDAAAQSVKVRNLGAELRLQQVVKKMAAVSSSGSM
+>sp|O14610|GBGT2_HUMAN Guanine nucleotide-binding protein G(I)/G(S)/G(O) subunit gamma-T2 OS=Homo sapiens OX=9606 GN=GNGT2 PE=2 SV=1
+MAQDLSEKDLLKMEVEQLKKEVKNTRIPISKAGKEIKEYVEAQAGNDPFLKGIPEDKNPFKEKGGCLIS
+>DECOY_sp|O14610|GBGT2_HUMAN Guanine nucleotide-binding protein G(I)/G(S)/G(O) subunit gamma-T2 OS=Homo sapiens OX=9606 GN=GNGT2 PE=2 SV=1
+SILCGGKEKFPNKDEPIGKLFPDNGAQAEVYEKIEKGAKSIPIRTNKVEKKLQEVEMKLLDKESLDQAM
+>sp|P14867|GBRA1_HUMAN Gamma-aminobutyric acid receptor subunit alpha-1 OS=Homo sapiens OX=9606 GN=GABRA1 PE=1 SV=3
+MRKSPGLSDCLWAWILLLSTLTGRSYGQPSLQDELKDNTTVFTRILDRLLDGYDNRLRPGLGERVTEVKTDIFVTSFGPVSDHDMEYTIDVFFRQSWKDERLKFKGPMTVLRLNNLMASKIWTPDTFFHNGKKSVAHNMTMPNKLLRITEDGTLLYTMRLTVRAECPMHLEDFPMDAHACPLKFGSYAYTRAEVVYEWTREPARSVVVAEDGSRLNQYDLLGQTVDSGIVQSSTGEYVVMTTHFHLKRKIGYFVIQTYLPCIMTVILSQVSFWLNRESVPARTVFGVTTVLTMTTLSISARNSLPKVAYATAMDWFIAVCYAFVFSALIEFATVNYFTKRGYAWDGKSVVPEKPKKVKDPLIKKNNTYAPTATSYTPNLARGDPGLATIAKSATIEPKEVKPETKPPEPKKTFNSVSKIDRLSRIAFPLLFGIFNLVYWATYLNREPQLKAPTPHQ
+>DECOY_sp|P14867|GBRA1_HUMAN Gamma-aminobutyric acid receptor subunit alpha-1 OS=Homo sapiens OX=9606 GN=GABRA1 PE=1 SV=3
+QHPTPAKLQPERNLYTAWYVLNFIGFLLPFAIRSLRDIKSVSNFTKKPEPPKTEPKVEKPEITASKAITALGPDGRALNPTYSTATPAYTNNKKILPDKVKKPKEPVVSKGDWAYGRKTFYNVTAFEILASFVFAYCVAIFWDMATAYAVKPLSNRASISLTTMTLVTTVGFVTRAPVSERNLWFSVQSLIVTMICPLYTQIVFYGIKRKLHFHTTMVVYEGTSSQVIGSDVTQGLLDYQNLRSGDEAVVVSRAPERTWEYVVEARTYAYSGFKLPCAHADMPFDELHMPCEARVTLRMTYLLTGDETIRLLKNPMTMNHAVSKKGNHFFTDPTWIKSAMLNNLRLVTMPGKFKLREDKWSQRFFVDITYEMDHDSVPGFSTVFIDTKVETVREGLGPRLRNDYGDLLRDLIRTFVTTNDKLEDQLSPQGYSRGTLTSLLLIWAWLCDSLGPSKRM
+>sp|P47869|GBRA2_HUMAN Gamma-aminobutyric acid receptor subunit alpha-2 OS=Homo sapiens OX=9606 GN=GABRA2 PE=2 SV=2
+MKTKLNIYNMQFLLFVFLVWDPARLVLANIQEDEAKNNITIFTRILDRLLDGYDNRLRPGLGDSITEVFTNIYVTSFGPVSDTDMEYTIDVFFRQKWKDERLKFKGPMNILRLNNLMASKIWTPDTFFHNGKKSVAHNMTMPNKLLRIQDDGTLLYTMRLTVQAECPMHLEDFPMDAHSCPLKFGSYAYTTSEVTYIWTYNASDSVQVAPDGSRLNQYDLLGQSIGKETIKSSTGEYTVMTAHFHLKRKIGYFVIQTYLPCIMTVILSQVSFWLNRESVPARTVFGVTTVLTMTTLSISARNSLPKVAYATAMDWFIAVCYAFVFSALIEFATVNYFTKRGWAWDGKSVVNDKKKEKASVMIQNNAYAVAVANYAPNLSKDPVLSTISKSATTPEPNKKPENKPAEAKKTFNSVSKIDRMSRIVFPVLFGTFNLVYWATYLNREPVLGVSP
+>DECOY_sp|P47869|GBRA2_HUMAN Gamma-aminobutyric acid receptor subunit alpha-2 OS=Homo sapiens OX=9606 GN=GABRA2 PE=2 SV=2
+PSVGLVPERNLYTAWYVLNFTGFLVPFVIRSMRDIKSVSNFTKKAEAPKNEPKKNPEPTTASKSITSLVPDKSLNPAYNAVAVAYANNQIMVSAKEKKKDNVVSKGDWAWGRKTFYNVTAFEILASFVFAYCVAIFWDMATAYAVKPLSNRASISLTTMTLVTTVGFVTRAPVSERNLWFSVQSLIVTMICPLYTQIVFYGIKRKLHFHATMVTYEGTSSKITEKGISQGLLDYQNLRSGDPAVQVSDSANYTWIYTVESTTYAYSGFKLPCSHADMPFDELHMPCEAQVTLRMTYLLTGDDQIRLLKNPMTMNHAVSKKGNHFFTDPTWIKSAMLNNLRLINMPGKFKLREDKWKQRFFVDITYEMDTDSVPGFSTVYINTFVETISDGLGPRLRNDYGDLLRDLIRTFITINNKAEDEQINALVLRAPDWVLFVFLLFQMNYINLKTKM
+>sp|P28472|GBRB3_HUMAN Gamma-aminobutyric acid receptor subunit beta-3 OS=Homo sapiens OX=9606 GN=GABRB3 PE=1 SV=1
+MWGLAGGRLFGIFSAPVLVAVVCCAQSVNDPGNMSFVKETVDKLLKGYDIRLRPDFGGPPVCVGMNIDIASIDMVSEVNMDYTLTMYFQQYWRDKRLAYSGIPLNLTLDNRVADQLWVPDTYFLNDKKSFVHGVTVKNRMIRLHPDGTVLYGLRITTTAACMMDLRRYPLDEQNCTLEIESYGYTTDDIEFYWRGGDKAVTGVERIELPQFSIVEHRLVSRNVVFATGAYPRLSLSFRLKRNIGYFILQTYMPSILITILSWVSFWINYDASAARVALGITTVLTMTTINTHLRETLPKIPYVKAIDMYLMGCFVFVFLALLEYAFVNYIFFGRGPQRQKKLAEKTAKAKNDRSKSESNRVDAHGNILLTSLEVHNEMNEVSGGIGDTRNSAISFDNSGIQYRKQSMPREGHGRFLGDRSLPHKKTHLRRRSSQLKIKIPDLTDVNAIDRWSRIVFPFTFSLFNLVYWLYYVN
+>DECOY_sp|P28472|GBRB3_HUMAN Gamma-aminobutyric acid receptor subunit beta-3 OS=Homo sapiens OX=9606 GN=GABRB3 PE=1 SV=1
+NVYYLWYVLNFLSFTFPFVIRSWRDIANVDTLDPIKIKLQSSRRRLHTKKHPLSRDGLFRGHGERPMSQKRYQIGSNDFSIASNRTDGIGGSVENMENHVELSTLLINGHADVRNSESKSRDNKAKATKEALKKQRQPGRGFFIYNVFAYELLALFVFVFCGMLYMDIAKVYPIKPLTERLHTNITTMTLVTTIGLAVRAASADYNIWFSVWSLITILISPMYTQLIFYGINRKLRFSLSLRPYAGTAFVVNRSVLRHEVISFQPLEIREVGTVAKDGGRWYFEIDDTTYGYSEIELTCNQEDLPYRRLDMMCAATTTIRLGYLVTGDPHLRIMRNKVTVGHVFSKKDNLFYTDPVWLQDAVRNDLTLNLPIGSYALRKDRWYQQFYMTLTYDMNVESVMDISAIDINMGVCVPPGGFDPRLRIDYGKLLKDVTEKVFSMNGPDNVSQACCVVAVLVPASFIGFLRGGALGWM
+>sp|Q99928|GBRG3_HUMAN Gamma-aminobutyric acid receptor subunit gamma-3 OS=Homo sapiens OX=9606 GN=GABRG3 PE=2 SV=2
+MAPKLLLLLCLFSGLHARSRKVEEDEYEDSSSNQKWVLAPKSQDTDVTLILNKLLREYDKKLRPDIGIKPTVIDVDIYVNSIGPVSSINMEYQIDIFFAQTWTDSRLRFNSTMKILTLNSNMVGLIWIPDTIFRNSKTAEAHWITTPNQLLRIWNDGKILYTLRLTINAECQLQLHNFPMDEHSCPLIFSSYGYPKEEMIYRWRKNSVEAADQKSWRLYQFDFMGLRNTTEIVTTSAGDYVVMTIYFELSRRMGYFTIQTYIPCILTVVLSWVSFWIKKDATPARTALGITTVLTMTTLSTIARKSLPRVSYVTAMDLFVTVCFLFVFAALMEYATLNYYSSCRKPTTTKKTTSLLHPDSSRWIPERISLQAPSNYSLLDMRPPPTAMITLNNSVYWQEFEDTCVYECLDGKDCQSFFCCYEECKSGSWRKGRIHIDILELDSYSRVFFPTSFLLFNLVYWVGYLYL
+>DECOY_sp|Q99928|GBRG3_HUMAN Gamma-aminobutyric acid receptor subunit gamma-3 OS=Homo sapiens OX=9606 GN=GABRG3 PE=2 SV=2
+LYLYGVWYVLNFLLFSTPFFVRSYSDLELIDIHIRGKRWSGSKCEEYCCFFSQCDKGDLCEYVCTDEFEQWYVSNNLTIMATPPPRMDLLSYNSPAQLSIREPIWRSSDPHLLSTTKKTTTPKRCSSYYNLTAYEMLAAFVFLFCVTVFLDMATVYSVRPLSKRAITSLTTMTLVTTIGLATRAPTADKKIWFSVWSLVVTLICPIYTQITFYGMRRSLEFYITMVVYDGASTTVIETTNRLGMFDFQYLRWSKQDAAEVSNKRWRYIMEEKPYGYSSFILPCSHEDMPFNHLQLQCEANITLRLTYLIKGDNWIRLLQNPTTIWHAEATKSNRFITDPIWILGVMNSNLTLIKMTSNFRLRSDTWTQAFFIDIQYEMNISSVPGISNVYIDVDIVTPKIGIDPRLKKDYERLLKNLILTVDTDQSKPALVWKQNSSSDEYEDEEVKRSRAHLGSFLCLLLLLKPAM
+>sp|Q9H0R8|GBRL1_HUMAN Gamma-aminobutyric acid receptor-associated protein-like 1 OS=Homo sapiens OX=9606 GN=GABARAPL1 PE=1 SV=1
+MKFQYKEDHPFEYRKKEGEKIRKKYPDRVPVIVEKAPKARVPDLDKRKYLVPSDLTVGQFYFLIRKRIHLRPEDALFFFVNNTIPPTSATMGQLYEDNHEEDYFLYVAYSDESVYGK
+>DECOY_sp|Q9H0R8|GBRL1_HUMAN Gamma-aminobutyric acid receptor-associated protein-like 1 OS=Homo sapiens OX=9606 GN=GABARAPL1 PE=1 SV=1
+KGYVSEDSYAVYLFYDEEHNDEYLQGMTASTPPITNNVFFFLADEPRLHIRKRILFYFQGVTLDSPVLYKRKDLDPVRAKPAKEVIVPVRDPYKKRIKEGEKKRYEFPHDEKYQFKM
+>sp|Q14549|GBX1_HUMAN Homeobox protein GBX-1 OS=Homo sapiens OX=9606 GN=GBX1 PE=1 SV=2
+MQRAGGGSAPGGNGGGGGGGPGTAFSIDSLIGPPPPRSGHLLYTGYPMFMPYRPLVLPQALAPAPLPAGLPPLAPLASFAGRLTNTFCAGLGQAVPSMVALTTALPSFAEPPDAFYGPQELAAAAAAAAATAARNNPEPGGRRPEGGLEADELLPAREKVAEPPPPPPPHFSETFPSLPAEGKVYSSDEEKLEASAGDPAGSEQEEEGSGGDSEDDGFLDSSAGGPGALLGPKPKLKGSLGTGAEEGAPVTAGVTAPGGKSRRRRTAFTSEQLLELEKEFHCKKYLSLTERSQIAHALKLSEVQVKIWFQNRRAKWKRIKAGNVSSRSGEPVRNPKIVVPIPVHVNRFAVRSQHQQMEQGARP
+>DECOY_sp|Q14549|GBX1_HUMAN Homeobox protein GBX-1 OS=Homo sapiens OX=9606 GN=GBX1 PE=1 SV=2
+PRAGQEMQQHQSRVAFRNVHVPIPVVIKPNRVPEGSRSSVNGAKIRKWKARRNQFWIKVQVESLKLAHAIQSRETLSLYKKCHFEKELELLQESTFATRRRRSKGGPATVGATVPAGEEAGTGLSGKLKPKPGLLAGPGGASSDLFGDDESDGGSGEEEQESGAPDGASAELKEEDSSYVKGEAPLSPFTESFHPPPPPPPEAVKERAPLLEDAELGGEPRRGGPEPNNRAATAAAAAAAAALEQPGYFADPPEAFSPLATTLAVMSPVAQGLGACFTNTLRGAFSALPALPPLGAPLPAPALAQPLVLPRYPMFMPYGTYLLHGSRPPPPGILSDISFATGPGGGGGGGNGGPASGGGARQM
+>sp|Q5JV73|FRPD3_HUMAN FERM and PDZ domain-containing protein 3 OS=Homo sapiens OX=9606 GN=FRMPD3 PE=2 SV=2
+METLDSQRVQDRLLAAPGCSSPSGQQELFSSHVMQEESANDMECEQLPAEILRQVTVHRDPIYGFGFVAGSERPVVVRSVRPGGPSENKLLAGDQIVAINEEDVSEAPRERLIELIRSAKEFIVLTVLHTHQSPKSAFISAAKKAKLRSNPVKVRFSEQVAVGETDAKMMKKEALLLIPNVLKVFLENGQIKSFTFDGRTTVKDVMLTLQDRLSLRFIEHFALVLEYAGPEQNHKFLLLQDKQPLAYVVQRTHYHGMKCLFRISFFPKDPVELLRRDPAAFEYLYIQSRNDVIRERFGMDPKPEMLLGLAALHIYITVSATRPSQKISLKNVEKEWGLEPFLPPSLLQVIKEKNLRKSLSQQLKAHQTHPSCGTKGSAIQAKLQYLRILNELPTFTGVLFNTVGLDEKQSATTLLVGPRHGISHVIDLKTNLTTVLSEFSKISKIQLFRENQGVARVETSIMDAKPLVLLMEWPEATNFACLIAGYCRLLLDSRKMVFSRPASQPLPPPMIKADYMHSAHRPVTGGHLGKKESSYVGSVGTSPRKSSRCTPPPADSELVSFCYLHMREQRKEQESRTDVNENLIFFEETRPRTKSDPTSKSSGQGYEVVPDDFDAASLDHEPCASRARSYTLDNSLGAEALNFYCDSCKAKLQEQLGPRKGGKPGSSRDNIVDLMSLPPPGSEEEEEEEDETTSLLPAIAAPPPGFRDNSSDEDDPKRRAVQSQEQGRHLRGLLYDEIPVTLIDSVQTRTVRDHAQELDDALVSTLQALEALAASEDGPHPPPPQTAGLIVLATITPESSLDSGHETNSSELTDMSEMMSAMKQHQNTTYFLAQHLNKDSLLARKDLPFRIQSCAAQAVLTAPYSLGRPDPNPSLQPIATGQSPGPPGARRKLPQSEGQVQGERTYSLAVHPALSPQLSEQKNLSLLSPVPEDKGPGHTRAGLEMSLRAATSSLSEEQVSELRDNLPKEVRLSPKLILDPKSSVTPAIISAALQQVVHNKSLVTAGGALGNPPSRGERRLEASMGRPEVSMMSSSASKNLKFKISPSAPETSWNSQHQLGAEVSSSPRAPTGSRADSLHLSQQEDSLPVQNFPPKSYLLRTSRESVGKQATGEVAGKGGPVGGKPTLQKQGTISSQGEKAQLESTPKRSKLEETSLVPRATYPMALQSPSCQSRSHSPSCQPHGHSPSSQSRGQSPSCQPRGQSPLRSQAASRQVSTMPSRKLETTLNGAHSTSEGPAKPKSSRGPFRLRNLFSATFPTRQKKETDERQAQLQKVKQYELEFLEELLKPPSQGELPGTEYLQPPAPGRCSCQLRSSPVQQGPGMSREQRRSCDCKRICRGGRPQATQTPVPSLRGRERDRVLPSQRQPEAGPGVSLSSPINVQRIRSTSLESRECRSDPESGVSCLTTCASGGECLGAPNYRKLMRRYSISELDQGDRASLTSDVYPHPPLGMLPREAKEVEASLPIALGPKSRSLESPTLGDPSYVQVAPETKGPRQMAVFSLPEEVYRKPAELDEDSESSKCCSIRYCFYYRKCDMADDASDGKDELSYSIPMKILPGMKLDEQVVPVVSRTLQVLDAATCSSSSPEASRTQEIDLRVSTFEGSLAKINALRAHAYGLPDGFLAARLDTNELLTVLRQCVASPEARAPKPYVSQISEYKLELALKFKELRASCRRVANVDKSPTHMLAAITGSFQVLSSLIETFVRLVFIVRSEAQRQELLAKVEEVVRNYTFLLRAAEESTARNLNQQQQQQQQQQQQQQQQQQQQQQQQQQQVAAAAGAATEHPPGSPTSATVMSTFTHSLKTLIK
+>DECOY_sp|Q5JV73|FRPD3_HUMAN FERM and PDZ domain-containing protein 3 OS=Homo sapiens OX=9606 GN=FRMPD3 PE=2 SV=2
+KILTKLSHTFTSMVTASTPSGPPHETAAGAAAAVQQQQQQQQQQQQQQQQQQQQQQQQQQQNLNRATSEEAARLLFTYNRVVEEVKALLEQRQAESRVIFVLRVFTEILSSLVQFSGTIAALMHTPSKDVNAVRRCSARLEKFKLALELKYESIQSVYPKPARAEPSAVCQRLVTLLENTDLRAALFGDPLGYAHARLANIKALSGEFTSVRLDIEQTRSAEPSSSSCTAADLVQLTRSVVPVVQEDLKMGPLIKMPISYSLEDKGDSADDAMDCKRYYFCYRISCCKSSESDEDLEAPKRYVEEPLSFVAMQRPGKTEPAVQVYSPDGLTPSELSRSKPGLAIPLSAEVEKAERPLMGLPPHPYVDSTLSARDGQDLESISYRRMLKRYNPAGLCEGGSACTTLCSVGSEPDSRCERSELSTSRIRQVNIPSSLSVGPGAEPQRQSPLVRDRERGRLSPVPTQTAQPRGGRCIRKCDCSRRQERSMGPGQQVPSSRLQCSCRGPAPPQLYETGPLEGQSPPKLLEELFELEYQKVKQLQAQREDTEKKQRTPFTASFLNRLRFPGRSSKPKAPGESTSHAGNLTTELKRSPMTSVQRSAAQSRLPSQGRPQCSPSQGRSQSSPSHGHPQCSPSHSRSQCSPSQLAMPYTARPVLSTEELKSRKPTSELQAKEGQSSITGQKQLTPKGGVPGGKGAVEGTAQKGVSERSTRLLYSKPPFNQVPLSDEQQSLHLSDARSGTPARPSSSVEAGLQHQSNWSTEPASPSIKFKLNKSASSSMMSVEPRGMSAELRREGRSPPNGLAGGATVLSKNHVVQQLAASIIAPTVSSKPDLILKPSLRVEKPLNDRLESVQEESLSSTAARLSMELGARTHGPGKDEPVPSLLSLNKQESLQPSLAPHVALSYTREGQVQGESQPLKRRAGPPGPSQGTAIPQLSPNPDPRGLSYPATLVAQAACSQIRFPLDKRALLSDKNLHQALFYTTNQHQKMASMMESMDTLESSNTEHGSDLSSEPTITALVILGATQPPPPHPGDESAALAELAQLTSVLADDLEQAHDRVTRTQVSDILTVPIEDYLLGRLHRGQEQSQVARRKPDDEDSSNDRFGPPPAAIAPLLSTTEDEEEEEEESGPPPLSMLDVINDRSSGPKGGKRPGLQEQLKAKCSDCYFNLAEAGLSNDLTYSRARSACPEHDLSAADFDDPVVEYGQGSSKSTPDSKTRPRTEEFFILNENVDTRSEQEKRQERMHLYCFSVLESDAPPPTCRSSKRPSTGVSGVYSSEKKGLHGGTVPRHASHMYDAKIMPPPLPQSAPRSFVMKRSDLLLRCYGAILCAFNTAEPWEMLLVLPKADMISTEVRAVGQNERFLQIKSIKSFESLVTTLNTKLDIVHSIGHRPGVLLTTASQKEDLGVTNFLVGTFTPLENLIRLYQLKAQIASGKTGCSPHTQHAKLQQSLSKRLNKEKIVQLLSPPLFPELGWEKEVNKLSIKQSPRTASVTIYIHLAALGLLMEPKPDMGFRERIVDNRSQIYLYEFAAPDRRLLEVPDKPFFSIRFLCKMGHYHTRQVVYALPQKDQLLLFKHNQEPGAYELVLAFHEIFRLSLRDQLTLMVDKVTTRGDFTFSKIQGNELFVKLVNPILLLAEKKMMKADTEGVAVQESFRVKVPNSRLKAKKAASIFASKPSQHTHLVTLVIFEKASRILEILRERPAESVDEENIAVIQDGALLKNESPGGPRVSRVVVPRESGAVFGFGYIPDRHVTVQRLIEAPLQECEMDNASEEQMVHSSFLEQQGSPSSCGPAALLRDQVRQSDLTEM
+>sp|O43559|FRS3_HUMAN Fibroblast growth factor receptor substrate 3 OS=Homo sapiens OX=9606 GN=FRS3 PE=1 SV=3
+MGSCCSCLNRDSVPDNHPTKFKVTNVDDEGVELGSGVMELTQSELVLHLHRREAVRWPYLCLRRYGYDSNLFSFESGRRCQTGQGIFAFKCSRAEEIFNLLQDLMQCNSINVMEEPVIITRNSHPAELDLPRAPQPPNALGYTVSSFSNGCPGEGPRFSAPRRLSTSSLRHPSLGEESTHALIAPDEQSHTYVNTPASEDDHRRGRHCLQPLPEGQAPFLPQARGPDQRDPQVFLQPGQVKFVLGPTPARRHMVKCQGLCPSLHDPPHHNNNNEAPSECPAQPKCTYENVTGGLWRGAGWRLSPEEPGWNGLAHRRAALLHYENLPPLPPVWESQAQQLGGEAGDDGDSRDGLTPSSNGFPDGEEDETPLQKPTSTRAAIRSHGSFPVPLTRRRGSPRVFNFDFRRPGPEPPRQLNYIQVELKGWGGDRPKGPQNPSSPQAPMPTTHPARSSDSYAVIDLKKTVAMSNLQRALPRDDGTARKTRHNSTDLPL
+>DECOY_sp|O43559|FRS3_HUMAN Fibroblast growth factor receptor substrate 3 OS=Homo sapiens OX=9606 GN=FRS3 PE=1 SV=3
+LPLDTSNHRTKRATGDDRPLARQLNSMAVTKKLDIVAYSDSSRAPHTTPMPAQPSSPNQPGKPRDGGWGKLEVQIYNLQRPPEPGPRRFDFNFVRPSGRRRTLPVPFSGHSRIAARTSTPKQLPTEDEEGDPFGNSSPTLGDRSDGDDGAEGGLQQAQSEWVPPLPPLNEYHLLAARRHALGNWGPEEPSLRWGAGRWLGGTVNEYTCKPQAPCESPAENNNNHHPPDHLSPCLGQCKVMHRRAPTPGLVFKVQGPQLFVQPDRQDPGRAQPLFPAQGEPLPQLCHRGRRHDDESAPTNVYTHSQEDPAILAHTSEEGLSPHRLSSTSLRRPASFRPGEGPCGNSFSSVTYGLANPPQPARPLDLEAPHSNRTIIVPEEMVNISNCQMLDQLLNFIEEARSCKFAFIGQGTQCRRGSEFSFLNSDYGYRRLCLYPWRVAERRHLHLVLESQTLEMVGSGLEVGEDDVNTVKFKTPHNDPVSDRNLCSCCSGM
+>sp|Q8N0W3|FUK_HUMAN L-fucose kinase OS=Homo sapiens OX=9606 GN=FUK PE=2 SV=2
+MEQPKGVDWTVIILTCQYKDSVQVFQRELEVRQKREQIPAGTLLLAVEDPEKRVGSGGATLNALLVAAEHLSARAGFTVVTSDVLHSAWILILHMGRDFPFDDCGRAFTCLPVENPEAPVEALVCNLDCLLDIMTYRLGPGSPPGVWVCSTDMLLSVPANPGISWDSFRGARVIALPGSPAYAQNHGVYLTDPQGLVLDIYYQGTEAEIQRCVRPDGRVPLVSGVVFFSVETAERLLATHVSPPLDACTYLGLDSGARPVQLSLFFDILHCMAENVTREDFLVGRPPELGQGDADVAGYLQSARAQLWRELRDQPLTMAYVSSGSYSYMTSSASEFLLSLTLPGAPGAQIVHSQVEEQQLLAAGSSVVSCLLEGPVQLGPGSVLQHCHLQGPIHIGAGCLVTGLDTAHSKALHGRELRDLVLQGHHTRLHGSPGHAFTLVGRLDSWERQGAGTYLNVPWSEFFKRTGVRAWDLWDPETLPAEYCLPSARLFPVLHPSRELGPQDLLWMLDHQEDGGEALRAWRASWRLSWEQLQPCLDRAATLASRRDLFFRQALHKARHVLEARQDLSLRPLIWAAVREGCPGPLLATLDQVAAGAGDPGVAARALACVADVLGCMAEGRGGLRSGPAANPEWMRPFSYLECGDLAAGVEALAQERDKWLSRPALLVRAARHYEGAGQILIRQAVMSAQHFVSTEQVELPGPGQWVVAECPARVDFSGGWSDTPPLAYELGGAVLGLAVRVDGRRPIGARARRIPEPELWLAVGPRQDEMTVKIVCRCLADLRDYCQPHAPGALLKAAFICAGIVHVHSELQLSEQLLRTFGGGFELHTWSELPHGSGLGTSSILAGTALAALQRAAGRVVGTEALIHAVLHLEQVLTTGGGWQDQVGGLMPGIKVGRSRAQLPLKVEVEEVTVPEGFVQKLNDHLLLVYTGKTRLARNLLQDVLRSWYARLPAVVQNAHSLVRQTEECAEGFRQGSLPLLGQCLTSYWEQKKLMAPGCEPLTVRRMMDVLAPHVHGQSLAGAGGGGFLYLLTKEPQQKEALEAVLAKTEGLGNYSIHLVEVDTQGLSLKLLGTEASTCCPFP
+>DECOY_sp|Q8N0W3|FUK_HUMAN L-fucose kinase OS=Homo sapiens OX=9606 GN=FUK PE=2 SV=2
+PFPCCTSAETGLLKLSLGQTDVEVLHISYNGLGETKALVAELAEKQQPEKTLLYLFGGGGAGALSQGHVHPALVDMMRRVTLPECGPAMLKKQEWYSTLCQGLLPLSGQRFGEACEETQRVLSHANQVVAPLRAYWSRLVDQLLNRALRTKGTYVLLLHDNLKQVFGEPVTVEEVEVKLPLQARSRGVKIGPMLGGVQDQWGGGTTLVQELHLVAHILAETGVVRGAARQLAALATGALISSTGLGSGHPLESWTHLEFGGGFTRLLQESLQLESHVHVIGACIFAAKLLAGPAHPQCYDRLDALCRCVIKVTMEDQRPGVALWLEPEPIRRARAGIPRRGDVRVALGLVAGGLEYALPPTDSWGGSFDVRAPCEAVVWQGPGPLEVQETSVFHQASMVAQRILIQGAGEYHRAARVLLAPRSLWKDREQALAEVGAALDGCELYSFPRMWEPNAAPGSRLGGRGEAMCGLVDAVCALARAAVGPDGAGAAVQDLTALLPGPCGERVAAWILPRLSLDQRAELVHRAKHLAQRFFLDRRSALTAARDLCPQLQEWSLRWSARWARLAEGGDEQHDLMWLLDQPGLERSPHLVPFLRASPLCYEAPLTEPDWLDWARVGTRKFFESWPVNLYTGAGQREWSDLRGVLTFAHGPSGHLRTHHGQLVLDRLERGHLAKSHATDLGTVLCGAGIHIPGQLHCHQLVSGPGLQVPGELLCSVVSSGAALLQQEEVQSHVIQAGPAGPLTLSLLFESASSTMYSYSGSSVYAMTLPQDRLERWLQARASQLYGAVDADGQGLEPPRGVLFDERTVNEAMCHLIDFFLSLQVPRAGSDLGLYTCADLPPSVHTALLREATEVSFFVVGSVLPVRGDPRVCRQIEAETGQYYIDLVLGQPDTLYVGHNQAYAPSGPLAIVRAGRFSDWSIGPNAPVSLLMDTSCVWVGPPSGPGLRYTMIDLLCDLNCVLAEVPAEPNEVPLCTFARGCDDFPFDRGMHLILIWASHLVDSTVVTFGARASLHEAAVLLANLTAGGSGVRKEPDEVALLLTGAPIQERKQRVELERQFVQVSDKYQCTLIIVTWDVGKPQEM
+>sp|Q8IVP5|FUND1_HUMAN FUN14 domain-containing protein 1 OS=Homo sapiens OX=9606 GN=FUNDC1 PE=1 SV=1
+MATRNPPPQDYESDDDSYEVLDLTEYARRHQWWNRVFGHSSGPMVEKYSVATQIVMGGVTGWCAGFLFQKVGKLAATAVGGGFLLLQIASHSGYVQIDWKRVEKDVNKAKRQIKKRANKAAPEINNLIEEATEFIKQNIVISSGFVGGFLLGLAS
+>DECOY_sp|Q8IVP5|FUND1_HUMAN FUN14 domain-containing protein 1 OS=Homo sapiens OX=9606 GN=FUNDC1 PE=1 SV=1
+SALGLLFGGVFGSSIVINQKIFETAEEILNNIEPAAKNARKKIQRKAKNVDKEVRKWDIQVYGSHSAIQLLLFGGGVATAALKGVKQFLFGACWGTVGGMVIQTAVSYKEVMPGSSHGFVRNWWQHRRAYETLDLVEYSDDDSEYDQPPPNRTAM
+>sp|P09958|FURIN_HUMAN Furin OS=Homo sapiens OX=9606 GN=FURIN PE=1 SV=2
+MELRPWLLWVVAATGTLVLLAADAQGQKVFTNTWAVRIPGGPAVANSVARKHGFLNLGQIFGDYYHFWHRGVTKRSLSPHRPRHSRLQREPQVQWLEQQVAKRRTKRDVYQEPTDPKFPQQWYLSGVTQRDLNVKAAWAQGYTGHGIVVSILDDGIEKNHPDLAGNYDPGASFDVNDQDPDPQPRYTQMNDNRHGTRCAGEVAAVANNGVCGVGVAYNARIGGVRMLDGEVTDAVEARSLGLNPNHIHIYSASWGPEDDGKTVDGPARLAEEAFFRGVSQGRGGLGSIFVWASGNGGREHDSCNCDGYTNSIYTLSISSATQFGNVPWYSEACSSTLATTYSSGNQNEKQIVTTDLRQKCTESHTGTSASAPLAAGIIALTLEANKNLTWRDMQHLVVQTSKPAHLNANDWATNGVGRKVSHSYGYGLLDAGAMVALAQNWTTVAPQRKCIIDILTEPKDIGKRLEVRKTVTACLGEPNHITRLEHAQARLTLSYNRRGDLAIHLVSPMGTRSTLLAARPHDYSADGFNDWAFMTTHSWDEDPSGEWVLEIENTSEANNYGTLTKFTLVLYGTAPEGLPVPPESSGCKTLTSSQACVVCEEGFSLHQKSCVQHCPPGFAPQVLDTHYSTENDVETIRASVCAPCHASCATCQGPALTDCLSCPSHASLDPVEQTCSRQSQSSRESPPQQQPPRLPPEVEAGQRLRAGLLPSHLPEVVAGLSCAFIVLVFVTVFLVLQLRSGFSFRGVKVYTMDRGLISYKGLPPEAWQEECPSDSEEDEGRGERTAFIKDQSAL
+>DECOY_sp|P09958|FURIN_HUMAN Furin OS=Homo sapiens OX=9606 GN=FURIN PE=1 SV=2
+LASQDKIFATREGRGEDEESDSPCEEQWAEPPLGKYSILGRDMTYVKVGRFSFGSRLQLVLFVTVFVLVIFACSLGAVVEPLHSPLLGARLRQGAEVEPPLRPPQQQPPSERSSQSQRSCTQEVPDLSAHSPCSLCDTLAPGQCTACSAHCPACVSARITEVDNETSYHTDLVQPAFGPPCHQVCSKQHLSFGEECVVCAQSSTLTKCGSSEPPVPLGEPATGYLVLTFKTLTGYNNAESTNEIELVWEGSPDEDWSHTTMFAWDNFGDASYDHPRAALLTSRTGMPSVLHIALDGRRNYSLTLRAQAHELRTIHNPEGLCATVTKRVELRKGIDKPETLIDIICKRQPAVTTWNQALAVMAGADLLGYGYSHSVKRGVGNTAWDNANLHAPKSTQVVLHQMDRWTLNKNAELTLAIIGAALPASASTGTHSETCKQRLDTTVIQKENQNGSSYTTALTSSCAESYWPVNGFQTASSISLTYISNTYGDCNCSDHERGGNGSAWVFISGLGGRGQSVGRFFAEEALRAPGDVTKGDDEPGWSASYIHIHNPNLGLSRAEVADTVEGDLMRVGGIRANYAVGVGCVGNNAVAAVEGACRTGHRNDNMQTYRPQPDPDQDNVDFSAGPDYNGALDPHNKEIGDDLISVVIGHGTYGQAWAAKVNLDRQTVGSLYWQQPFKPDTPEQYVDRKTRRKAVQQELWQVQPERQLRSHRPRHPSLSRKTVGRHWFHYYDGFIQGLNLFGHKRAVSNAVAPGGPIRVAWTNTFVKQGQADAALLVLTGTAAVVWLLWPRLEM
+>sp|P19526|FUT1_HUMAN Galactoside 2-alpha-L-fucosyltransferase 1 OS=Homo sapiens OX=9606 GN=FUT1 PE=1 SV=1
+MWLRSHRQLCLAFLLVCVLSVIFFLHIHQDSFPHGLGLSILCPDRRLVTPPVAIFCLPGTAMGPNASSSCPQHPASLSGTWTVYPNGRFGNQMGQYATLLALAQLNGRRAFILPAMHAALAPVFRITLPVLAPEVDSRTPWRELQLHDWMSEEYADLRDPFLKLSGFPCSWTFFHHLREQIRREFTLHDHLREEAQSVLGQLRLGRTGDRPRTFVGVHVRRGDYLQVMPQRWKGVVGDSAYLRQAMDWFRARHEAPVFVVTSNGMEWCKENIDTSQGDVTFAGDGQEATPWKDFALLTQCNHTIMTIGTFGFWAAYLAGGDTVYLANFTLPDSEFLKIFKPEAAFLPEWVGINADLSPLWTLAKP
+>DECOY_sp|P19526|FUT1_HUMAN Galactoside 2-alpha-L-fucosyltransferase 1 OS=Homo sapiens OX=9606 GN=FUT1 PE=1 SV=1
+PKALTWLPSLDANIGVWEPLFAAEPKFIKLFESDPLTFNALYVTDGGALYAAWFGFTGITMITHNCQTLLAFDKWPTAEQGDGAFTVDGQSTDINEKCWEMGNSTVVFVPAEHRARFWDMAQRLYASDGVVGKWRQPMVQLYDGRRVHVGVFTRPRDGTRGLRLQGLVSQAEERLHDHLTFERRIQERLHHFFTWSCPFGSLKLFPDRLDAYEESMWDHLQLERWPTRSDVEPALVPLTIRFVPALAAHMAPLIFARRGNLQALALLTAYQGMQNGFRGNPYVTWTGSLSAPHQPCSSSANPGMATGPLCFIAVPPTVLRRDPCLISLGLGHPFSDQHIHLFFIVSLVCVLLFALCLQRHSRLWM
+>sp|P21217|FUT3_HUMAN Galactoside 3(4)-L-fucosyltransferase OS=Homo sapiens OX=9606 GN=FUT3 PE=2 SV=1
+MDPLGAAKPQWPWRRCLAALLFQLLVAVCFFSYLRVSRDDATGSPRAPSGSSRQDTTPTRPTLLILLWTWPFHIPVALSRCSEMVPGTADCHITADRKVYPQADTVIVHHWDIMSNPKSRLPPSPRPQGQRWIWFNLEPPPNCQHLEALDRYFNLTMSYRSDSDIFTPYGWLEPWSGQPAHPPLNLSAKTELVAWAVSNWKPDSARVRYYQSLQAHLKVDVYGRSHKPLPKGTMMETLSRYKFYLAFENSLHPDYITEKLWRNALEAWAVPVVLGPSRSNYERFLPPDAFIHVDDFQSPKDLARYLQELDKDHARYLSYFRWRETLRPRSFSWALDFCKACWKLQQESRYQTVRSIAAWFT
+>DECOY_sp|P21217|FUT3_HUMAN Galactoside 3(4)-L-fucosyltransferase OS=Homo sapiens OX=9606 GN=FUT3 PE=2 SV=1
+TFWAAISRVTQYRSEQQLKWCAKCFDLAWSFSRPRLTERWRFYSLYRAHDKDLEQLYRALDKPSQFDDVHIFADPPLFREYNSRSPGLVVPVAWAELANRWLKETIYDPHLSNEFALYFKYRSLTEMMTGKPLPKHSRGYVDVKLHAQLSQYYRVRASDPKWNSVAWAVLETKASLNLPPHAPQGSWPELWGYPTFIDSDSRYSMTLNFYRDLAELHQCNPPPELNFWIWRQGQPRPSPPLRSKPNSMIDWHHVIVTDAQPYVKRDATIHCDATGPVMESCRSLAVPIHFPWTWLLILLTPRTPTTDQRSSGSPARPSGTADDRSVRLYSFFCVAVLLQFLLAALCRRWPWQPKAAGLPDM
+>sp|Q5VWT5|FYB2_HUMAN FYN-binding protein 2 OS=Homo sapiens OX=9606 GN=FYB2 PE=1 SV=1
+MEGEGVRNFKELRAKFQNLDAPPLPGPIKFPAGVSPKGDIGGTQSTQILANGKPLSSNHKQRTPYCSSSESQPLQPQKIKLAQKSEIPKCSNSPGPLGKSTVCSATSSQKASLLLEVTQSNVEIITKEKVMVANSFRNKLWNWEKVSSQKSEMSSALLLANYGSKAIHLEGQKGMGLTPEEPRKKLETKGAQTLPSQKHVVAPKILHNVSEDPSFVISQHIRKSWENPPPERSPASSPCQPIYECELASQAPEKQPDVRHHHLPKTKPLPSIDSLGPPPPKPSRPPIVNLQAFQRQPAAVPKTQGEVTVEEGSLSPERLFNAEFEEPHNYEATISYLRHSGNSINLCTAKEIADPTYEVGIEELQKPGKNFPYPEPSAKHEDKKMKEKQPCELKPKNTEKEPYSNHVFKVDACEGTPEKIQMTNVHTGRRNMLAGKQEAMIDIIQTNPCPEGPKLARHSQGHCGHLEVLESTKETPDLGVSKTSSISEEIYDDVEYSRKEVPKLNYSSSLASSSEENRELYEDVYKTKNNYPKIDLDGKEALKRLQQFFKKEKDRFKIKKTKSKENLSAFSILLPDLELKSQEVIIYDDVDLSEKESKDEDKLKMWKPKFLTPKEKKEKNGAEESESFSPRNFFKTKKQNLEKNRMKREEKLFRERFKYDKEIIVINTAVACSNNSRNGIFDLPISPGEELEVIDTTEQNLVICRNSKGKYGYVLIEHLDFKHQSWSP
+>DECOY_sp|Q5VWT5|FYB2_HUMAN FYN-binding protein 2 OS=Homo sapiens OX=9606 GN=FYB2 PE=1 SV=1
+PSWSQHKFDLHEILVYGYKGKSNRCIVLNQETTDIVELEEGPSIPLDFIGNRSNNSCAVATNIVIIEKDYKFRERFLKEERKMRNKELNQKKTKFFNRPSFSESEEAGNKEKKEKPTLFKPKWMKLKDEDKSEKESLDVDDYIIVEQSKLELDPLLISFASLNEKSKTKKIKFRDKEKKFFQQLRKLAEKGDLDIKPYNNKTKYVDEYLERNEESSSALSSSYNLKPVEKRSYEVDDYIEESISSTKSVGLDPTEKTSELVELHGCHGQSHRALKPGEPCPNTQIIDIMAEQKGALMNRRGTHVNTMQIKEPTGECADVKFVHNSYPEKETNKPKLECPQKEKMKKDEHKASPEPYPFNKGPKQLEEIGVEYTPDAIEKATCLNISNGSHRLYSITAEYNHPEEFEANFLREPSLSGEEVTVEGQTKPVAAPQRQFAQLNVIPPRSPKPPPPGLSDISPLPKTKPLHHHRVDPQKEPAQSALECEYIPQCPSSAPSREPPPNEWSKRIHQSIVFSPDESVNHLIKPAVVHKQSPLTQAGKTELKKRPEEPTLGMGKQGELHIAKSGYNALLLASSMESKQSSVKEWNWLKNRFSNAVMVKEKTIIEVNSQTVELLLSAKQSSTASCVTSKGLPGPSNSCKPIESKQALKIKQPQLPQSESSSCYPTRQKHNSSLPKGNALIQTSQTGGIDGKPSVGAPFKIPGPLPPADLNQFKARLEKFNRVGEGEM
+>sp|Q9BQS8|FYCO1_HUMAN FYVE and coiled-coil domain-containing protein 1 OS=Homo sapiens OX=9606 GN=FYCO1 PE=1 SV=3
+MASTNAESQLQRIIRDLQDAVTELSKEFQEAGEPITDDSTSLHKFSYKLEYLLQFDQKEKATLLGNKKDYWDYFCACLAKVKGANDGIRFVKSISELRTSLGKGRAFIRYSLVHQRLADTLQQCFMNTKVTSDWYYARSPFLQPKLSSDIVGQLYELTEVQFDLASRGFDLDAAWPTFARRTLTTGSSAYLWKPPSRSSSMSSLVSSYLQTQEMVSNFDLNSPLNNEALEGFDEMRLELDQLEVREKQLRERMQQLDRENQELRAAVSQQGEQLQTERERGRTAAEDNVRLTCLVAELQKQWEVTQATQNTVKELQTCLQGLELGAAEKEEDYHTALRRLESMLQPLAQELEATRDSLDKKNQHLASFPGWLAMAQQKADTASDTKGRQEPIPSDAAQEMQELGEKLQALERERTKVEEVNRQQSAQLEQLVKELQLKEDARASLERLVKEMAPLQEELSGKGQEADQLWRRLQELLAHTSSWEEELAELRREKKQQQEEKELLEQEVRSLTRQLQFLETQLAQVSQHVSDLEEQKKQLIQDKDHLSQQVGMLERLAGPPGPELPVAGEKNEALVPVNSSLQEAWGKPEEEQRGLQEAQLDDTKVQEGSQEEELRQANRELEKELQNVVGRNQLLEGKLQALQADYQALQQRESAIQGSLASLEAEQASIRHLGDQMEASLLAVRKAKEAMKAQMAEKEAILQSKEGECQQLREEVEQCQQLAEARHRELRALESQCQQQTQLIEVLTAEKGQQGVGPPTDNEARELAAQLALSQAQLEVHQGEVQRLQAQVVDLQAKMRAALDDQDKVQSQLSMAEAVLREHKTLVQQLKEQNEALNRAHVQELLQCSEREGALQEERADEAQQREEELRALQEELSQAKCSSEEAQLEHAELQEQLHRANTDTAELGIQVCALTVEKERVEEALACAVQELQDAKEAASREREGLERQVAGLQQEKESLQEKLKAAKAAAGSLPGLQAQLAQAEQRAQSLQEAAHQELNTLKFQLSAEIMDYQSRLKNAGEECKSLRGQLEEQGRQLQAAEEAVEKLKATQADMGEKLSCTSNHLAECQAAMLRKDKEGAALREDLERTQKELEKATTKIQEYYNKLCQEVTNRERNDQKMLADLDDLNRTKKYLEERLIELLRDKDALWQKSDALEFQQKLSAEERWLGDTEANHCLDCKREFSWMVRRHHCRICGRIFCYYCCNNYVLSKHGGKKERCCRACFQKLSEGPGSPDSSGSGTSQGEPSPALSPASPGPQATGGQGANTDYRPPDDAVFDIITDEELCQIQESGSSLPETPTETDSLDPNAAEQDTTSTSLTPEDTEDMPVGQDSEICLLKSGELMIKVPLTVDEIASFGEGSRELFVRSSTYSLIPITVAEAGLTISWVFSSDPKSISFSVVFQEAEDTPLDQCKVLIPTTRCNSHKENIQGQLKVRTPGIYMLIFDNTFSRFVSKKVFYHLTVDRPVIYDGSDFL
+>DECOY_sp|Q9BQS8|FYCO1_HUMAN FYVE and coiled-coil domain-containing protein 1 OS=Homo sapiens OX=9606 GN=FYCO1 PE=1 SV=3
+LFDSGDYIVPRDVTLHYFVKKSVFRSFTNDFILMYIGPTRVKLQGQINEKHSNCRTTPILVKCQDLPTDEAEQFVVSFSISKPDSSFVWSITLGAEAVTIPILSYTSSRVFLERSGEGFSAIEDVTLPVKIMLEGSKLLCIESDQGVPMDETDEPTLSTSTTDQEAANPDLSDTETPTEPLSSGSEQIQCLEEDTIIDFVADDPPRYDTNAGQGGTAQPGPSAPSLAPSPEGQSTGSGSSDPSGPGESLKQFCARCCREKKGGHKSLVYNNCCYYCFIRGCIRCHHRRVMWSFERKCDLCHNAETDGLWREEASLKQQFELADSKQWLADKDRLLEILREELYKKTRNLDDLDALMKQDNRERNTVEQCLKNYYEQIKTTAKELEKQTRELDERLAAGEKDKRLMAAQCEALHNSTCSLKEGMDAQTAKLKEVAEEAAQLQRGQEELQGRLSKCEEGANKLRSQYDMIEASLQFKLTNLEQHAAEQLSQARQEAQALQAQLGPLSGAAAKAAKLKEQLSEKEQQLGAVQRELGERERSAAEKADQLEQVACALAEEVREKEVTLACVQIGLEATDTNARHLQEQLEAHELQAEESSCKAQSLEEQLARLEEERQQAEDAREEQLAGERESCQLLEQVHARNLAENQEKLQQVLTKHERLVAEAMSLQSQVKDQDDLAARMKAQLDVVQAQLRQVEGQHVELQAQSLALQAALERAENDTPPGVGQQGKEATLVEILQTQQQCQSELARLERHRAEALQQCQEVEERLQQCEGEKSQLIAEKEAMQAKMAEKAKRVALLSAEMQDGLHRISAQEAELSALSGQIASERQQLAQYDAQLAQLKGELLQNRGVVNQLEKELERNAQRLEEEQSGEQVKTDDLQAEQLGRQEEEPKGWAEQLSSNVPVLAENKEGAVPLEPGPPGALRELMGVQQSLHDKDQILQKKQEELDSVHQSVQALQTELFQLQRTLSRVEQELLEKEEQQQKKERRLEALEEEWSSTHALLEQLRRWLQDAEQGKGSLEEQLPAMEKVLRELSARADEKLQLEKVLQELQASQQRNVEEVKTRERELAQLKEGLEQMEQAADSPIPEQRGKTDSATDAKQQAMALWGPFSALHQNKKDLSDRTAELEQALPQLMSELRRLATHYDEEKEAAGLELGQLCTQLEKVTNQTAQTVEWQKQLEAVLCTLRVNDEAATRGRERETQLQEGQQSVAARLEQNERDLQQMRERLQKERVELQDLELRMEDFGELAENNLPSNLDFNSVMEQTQLYSSVLSSMSSSRSPPKWLYASSGTTLTRRAFTPWAADLDFGRSALDFQVETLEYLQGVIDSSLKPQLFPSRAYYWDSTVKTNMFCQQLTDALRQHVLSYRIFARGKGLSTRLESISKVFRIGDNAGKVKALCACFYDWYDKKNGLLTAKEKQDFQLLYELKYSFKHLSTSDDTIPEGAEQFEKSLETVADQLDRIIRQLQSEANTSAM
+>sp|Q8NGA4|G32P1_HUMAN Putative G-protein coupled receptor GPR32P1 OS=Homo sapiens OX=9606 GN=GPR32P1 PE=5 SV=2
+MNGVSEGTRGCSDRQPGALTQGHSCSRKMNASRCLSEEVGSLRPLTMAVLSASFVVGVLGNGLVPWVTVFRMARTVSTVCFFHLALADFMLSLSLPILVYYIVSRQWLLGEWACKLYTGFVFLTFSTSNCLLVLISVDRCISVLYPVWALNHRTEQRASWLAFGVWLLAAALCSAHLKFRTTRKWNGCMQCYLQFNLENETAQMWTQEVFGRQMAVIMAHFLLGFLGPLAIIGTCAHLIRAKLLREGWVHANRPKRLLLVLVSALSAGSHLT
+>DECOY_sp|Q8NGA4|G32P1_HUMAN Putative G-protein coupled receptor GPR32P1 OS=Homo sapiens OX=9606 GN=GPR32P1 PE=5 SV=2
+TLHSGASLASVLVLLLRKPRNAHVWGERLLKARILHACTGIIALPGLFGLLFHAMIVAMQRGFVEQTWMQATENELNFQLYCQMCGNWKRTTRFKLHASCLAAALLWVGFALWSARQETRHNLAWVPYLVSICRDVSILVLLCNSTSFTLFVFGTYLKCAWEGLLWQRSVIYYVLIPLSLSLMFDALALHFFCVTSVTRAMRFVTVWPVLGNGLVGVVFSASLVAMTLPRLSGVEESLCRSANMKRSCSHGQTLAGPQRDSCGRTGESVGNM
+>sp|O95257|GA45G_HUMAN Growth arrest and DNA damage-inducible protein GADD45 gamma OS=Homo sapiens OX=9606 GN=GADD45G PE=1 SV=1
+MTLEEVRGQDTVPESTARMQGAGKALHELLLSAQRQGCLTAGVYESAKVLNVDPDNVTFCVLAAGEEDEGDIALQIHFTLIQAFCCENDIDIVRVGDVQRLAAIVGAGEEAGAPGDLHCILISNPNEDAWKDPALEKLSLFCEESRSVNDWVPSITLPE
+>DECOY_sp|O95257|GA45G_HUMAN Growth arrest and DNA damage-inducible protein GADD45 gamma OS=Homo sapiens OX=9606 GN=GADD45G PE=1 SV=1
+EPLTISPVWDNVSRSEECFLSLKELAPDKWADENPNSILICHLDGPAGAEEGAGVIAALRQVDGVRVIDIDNECCFAQILTFHIQLAIDGEDEEGAALVCFTVNDPDVNLVKASEYVGATLCGQRQASLLLEHLAKGAGQMRATSEPVTDQGRVEELTM
+>sp|Q8TAK5|GABP2_HUMAN GA-binding protein subunit beta-2 OS=Homo sapiens OX=9606 GN=GABPB2 PE=1 SV=1
+MSLVDLGKRLLEAARKGQDDEVRTLMANGAPFTTDWLGTSPLHLAAQYGHYSTAEVLLRAGVSRDARTKVDRTPLHMAAADGHAHIVELLVRNGADVNAKDMLKMTALHWATERHHRDVVELLIKYGADVHAFSKFDKSAFDIALEKNNAEILVILQEAMQNQVNVNPERANPVTDPVSMAAPFIFTSGEVVNLASLISSTNTKTTSGDPHASTVQFSNSTTSVLATLAALAEASVPLSNSHRATANTEEIIEGNSVDSSIQQVMGSGGQRVITIVTDGVPLGNIQTSIPTGGIGQPFIVTVQDGQQVLTVPAGKVAEETVIKEEEEEKLPLTKKPRIGEKTNSVEESKEGNERELLQQQLQEANRRAQEYRHQLLKKEQEAEQYRLKLEAIARQQPNGVDFTMVEEVAEVDAVVVTEGELEERETKVTGSAGTTEPHTRVSMATVSS
+>DECOY_sp|Q8TAK5|GABP2_HUMAN GA-binding protein subunit beta-2 OS=Homo sapiens OX=9606 GN=GABPB2 PE=1 SV=1
+SSVTAMSVRTHPETTGASGTVKTEREELEGETVVVADVEAVEEVMTFDVGNPQQRAIAELKLRYQEAEQEKKLLQHRYEQARRNAEQLQQQLLERENGEKSEEVSNTKEGIRPKKTLPLKEEEEEKIVTEEAVKGAPVTLVQQGDQVTVIFPQGIGGTPISTQINGLPVGDTVITIVRQGGSGMVQQISSDVSNGEIIEETNATARHSNSLPVSAEALAALTALVSTTSNSFQVTSAHPDGSTTKTNTSSILSALNVVEGSTFIFPAAMSVPDTVPNAREPNVNVQNQMAEQLIVLIEANNKELAIDFASKDFKSFAHVDAGYKILLEVVDRHHRETAWHLATMKLMDKANVDAGNRVLLEVIHAHGDAAAMHLPTRDVKTRADRSVGARLLVEATSYHGYQAALHLPSTGLWDTTFPAGNAMLTRVEDDQGKRAAELLRKGLDVLSM
+>sp|Q9UBS5|GABR1_HUMAN Gamma-aminobutyric acid type B receptor subunit 1 OS=Homo sapiens OX=9606 GN=GABBR1 PE=1 SV=1
+MLLLLLLAPLFLRPPGAGGAQTPNATSEGCQIIHPPWEGGIRYRGLTRDQVKAINFLPVDYEIEYVCRGEREVVGPKVRKCLANGSWTDMDTPSRCVRICSKSYLTLENGKVFLTGGDLPALDGARVDFRCDPDFHLVGSSRSICSQGQWSTPKPHCQVNRTPHSERRAVYIGALFPMSGGWPGGQACQPAVEMALEDVNSRRDILPDYELKLIHHDSKCDPGQATKYLYELLYNDPIKIILMPGCSSVSTLVAEAARMWNLIVLSYGSSSPALSNRQRFPTFFRTHPSATLHNPTRVKLFEKWGWKKIATIQQTTEVFTSTLDDLEERVKEAGIEITFRQSFFSDPAVPVKNLKRQDARIIVGLFYETEARKVFCEVYKERLFGKKYVWFLIGWYADNWFKIYDPSINCTVDEMTEAVEGHITTEIVMLNPANTRSISNMTSQEFVEKLTKRLKRHPEETGGFQEAPLAYDAIWALALALNKTSGGGGRSGVRLEDFNYNNQTITDQIYRAMNSSSFEGVSGHVVFDASGSRMAWTLIEQLQGGSYKKIGYYDSTKDDLSWSKTDKWIGGSPPADQTLVIKTFRFLSQKLFISVSVLSSLGIVLAVVCLSFNIYNSHVRYIQNSQPNLNNLTAVGCSLALAAVFPLGLDGYHIGRNQFPFVCQARLWLLGLGFSLGYGSMFTKIWWVHTVFTKKEEKKEWRKTLEPWKLYATVGLLVGMDVLTLAIWQIVDPLHRTIETFAKEEPKEDIDVSILPQLEHCSSRKMNTWLGIFYGYKGLLLLLGIFLAYETKSVSTEKINDHRAVGMAIYNVAVLCLITAPVTMILSSQQDAAFAFASLAIVFSSYITLVVLFVPKMRRLITRGEWQSEAQDTMKTGSSTNNNEEEKSRLLEKENRELEKIIAEKEERVSELRHQLQSRQQLRSRRHPPTPPEPSGGLPRGPPEPPDRLSCDGSRVHLLYK
+>DECOY_sp|Q9UBS5|GABR1_HUMAN Gamma-aminobutyric acid type B receptor subunit 1 OS=Homo sapiens OX=9606 GN=GABBR1 PE=1 SV=1
+KYLLHVRSGDCSLRDPPEPPGRPLGGSPEPPTPPHRRSRLQQRSQLQHRLESVREEKEAIIKELERNEKELLRSKEEENNNTSSGTKMTDQAESQWEGRTILRRMKPVFLVVLTIYSSFVIALSAFAFAADQQSSLIMTVPATILCLVAVNYIAMGVARHDNIKETSVSKTEYALFIGLLLLLGKYGYFIGLWTNMKRSSCHELQPLISVDIDEKPEEKAFTEITRHLPDVIQWIALTLVDMGVLLGVTAYLKWPELTKRWEKKEEKKTFVTHVWWIKTFMSGYGLSFGLGLLWLRAQCVFPFQNRGIHYGDLGLPFVAALALSCGVATLNNLNPQSNQIYRVHSNYINFSLCVVALVIGLSSLVSVSIFLKQSLFRFTKIVLTQDAPPSGGIWKDTKSWSLDDKTSDYYGIKKYSGGQLQEILTWAMRSGSADFVVHGSVGEFSSSNMARYIQDTITQNNYNFDELRVGSRGGGGSTKNLALALAWIADYALPAEQFGGTEEPHRKLRKTLKEVFEQSTMNSISRTNAPNLMVIETTIHGEVAETMEDVTCNISPDYIKFWNDAYWGILFWVYKKGFLREKYVECFVKRAETEYFLGVIIRADQRKLNKVPVAPDSFFSQRFTIEIGAEKVREELDDLTSTFVETTQQITAIKKWGWKEFLKVRTPNHLTASPHTRFFTPFRQRNSLAPSSSGYSLVILNWMRAAEAVLTSVSSCGPMLIIKIPDNYLLEYLYKTAQGPDCKSDHHILKLEYDPLIDRRSNVDELAMEVAPQCAQGGPWGGSMPFLAGIYVARRESHPTRNVQCHPKPTSWQGQSCISRSSGVLHFDPDCRFDVRAGDLAPLDGGTLFVKGNELTLYSKSCIRVCRSPTDMDTWSGNALCKRVKPGVVEREGRCVYEIEYDVPLFNIAKVQDRTLGRYRIGGEWPPHIIQCGESTANPTQAGGAGPPRLFLPALLLLLLM
+>sp|P80404|GABT_HUMAN 4-aminobutyrate aminotransferase, mitochondrial OS=Homo sapiens OX=9606 GN=ABAT PE=1 SV=3
+MASMLLAQRLACSFQHSYRLLVPGSRHISQAAAKVDVEFDYDGPLMKTEVPGPRSQELMKQLNIIQNAEAVHFFCNYEESRGNYLVDVDGNRMLDLYSQISSVPIGYSHPALLKLIQQPQNASMFVNRPALGILPPENFVEKLRQSLLSVAPKGMSQLITMACGSCSNENALKTIFMWYRSKERGQRGFSQEELETCMINQAPGCPDYSILSFMGAFHGRTMGCLATTHSKAIHKIDIPSFDWPIAPFPRLKYPLEEFVKENQQEEARCLEEVEDLIVKYRKKKKTVAGIIVEPIQSEGGDNHASDDFFRKLRDIARKHGCAFLVDEVQTGGGCTGKFWAHEHWGLDDPADVMTFSKKMMTGGFFHKEEFRPNAPYRIFNTWLGDPSKNLLLAEVINIIKREDLLNNAAHAGKALLTGLLDLQARYPQFISRVRGRGTFCSFDTPDDSIRNKLILIARNKGVVLGGCGDKSIRFRPTLVFRDHHAHLFLNIFSDILADFK
+>DECOY_sp|P80404|GABT_HUMAN 4-aminobutyrate aminotransferase, mitochondrial OS=Homo sapiens OX=9606 GN=ABAT PE=1 SV=3
+KFDALIDSFINLFLHAHHDRFVLTPRFRISKDGCGGLVVGKNRAILILKNRISDDPTDFSCFTGRGRVRSIFQPYRAQLDLLGTLLAKGAHAANNLLDERKIINIVEALLLNKSPDGLWTNFIRYPANPRFEEKHFFGGTMMKKSFTMVDAPDDLGWHEHAWFKGTCGGGTQVEDVLFACGHKRAIDRLKRFFDDSAHNDGGESQIPEVIIGAVTKKKKRYKVILDEVEELCRAEEQQNEKVFEELPYKLRPFPAIPWDFSPIDIKHIAKSHTTALCGMTRGHFAGMFSLISYDPCGPAQNIMCTELEEQSFGRQGREKSRYWMFITKLANENSCSGCAMTILQSMGKPAVSLLSQRLKEVFNEPPLIGLAPRNVFMSANQPQQILKLLAPHSYGIPVSSIQSYLDLMRNGDVDVLYNGRSEEYNCFFHVAEANQIINLQKMLEQSRPGPVETKMLPGDYDFEVDVKAAAQSIHRSGPVLLRYSHQFSCALRQALLMSAM
+>sp|P51570|GALK1_HUMAN Galactokinase OS=Homo sapiens OX=9606 GN=GALK1 PE=1 SV=1
+MAALRQPQVAELLAEARRAFREEFGAEPELAVSAPGRVNLIGEHTDYNQGLVLPMALELMTVLVGSPRKDGLVSLLTTSEGADEPQRLQFPLPTAQRSLEPGTPRWANYVKGVIQYYPAAPLPGFSAVVVSSVPLGGGLSSSASLEVATYTFLQQLCPDSGTIAARAQVCQQAEHSFAGMPCGIMDQFISLMGQKGHALLIDCRSLETSLVPLSDPKLAVLITNSNVRHSLASSEYPVRRRQCEEVARALGKESLREVQLEELEAARDLVSKEGFRRARHVVGEIRRTAQAAAALRRGDYRAFGRLMVESHRSLRDDYEVSCPELDQLVEAALAVPGVYGSRMTGGGFGGCTVTLLEASAAPHAMRHIQEHYGGTATFYLSQAADGAKVLCL
+>DECOY_sp|P51570|GALK1_HUMAN Galactokinase OS=Homo sapiens OX=9606 GN=GALK1 PE=1 SV=1
+LCLVKAGDAAQSLYFTATGGYHEQIHRMAHPAASAELLTVTCGGFGGGTMRSGYVGPVALAAEVLQDLEPCSVEYDDRLSRHSEVMLRGFARYDGRRLAAAAQATRRIEGVVHRARRFGEKSVLDRAAELEELQVERLSEKGLARAVEECQRRRVPYESSALSHRVNSNTILVALKPDSLPVLSTELSRCDILLAHGKQGMLSIFQDMIGCPMGAFSHEAQQCVQARAAITGSDPCLQQLFTYTAVELSASSSLGGGLPVSSVVVASFGPLPAAPYYQIVGKVYNAWRPTGPELSRQATPLPFQLRQPEDAGESTTLLSVLGDKRPSGVLVTMLELAMPLVLGQNYDTHEGILNVRGPASVALEPEAGFEERFARRAEALLEAVQPQRLAAM
+>sp|P50151|GBG10_HUMAN Guanine nucleotide-binding protein G(I)/G(S)/G(O) subunit gamma-10 OS=Homo sapiens OX=9606 GN=GNG10 PE=1 SV=1
+MSSGASASALQRLVEQLKLEAGVERIKVSQAAAELQQYCMQNACKDALLVGVPAGSNPFREPRSCALL
+>DECOY_sp|P50151|GBG10_HUMAN Guanine nucleotide-binding protein G(I)/G(S)/G(O) subunit gamma-10 OS=Homo sapiens OX=9606 GN=GNG10 PE=1 SV=1
+LLACSRPERFPNSGAPVGVLLADKCANQMCYQQLEAAAQSVKIREVGAELKLQEVLRQLASASAGSSM
+>sp|P61952|GBG11_HUMAN Guanine nucleotide-binding protein G(I)/G(S)/G(O) subunit gamma-11 OS=Homo sapiens OX=9606 GN=GNG11 PE=1 SV=1
+MPALHIEDLPEKEKLKMEVEQLRKEVKLQRQQVSKCSEEIKNYIEERSGEDPLVKGIPEDKNPFKEKGSCVIS
+>DECOY_sp|P61952|GBG11_HUMAN Guanine nucleotide-binding protein G(I)/G(S)/G(O) subunit gamma-11 OS=Homo sapiens OX=9606 GN=GNG11 PE=1 SV=1
+SIVCSGKEKFPNKDEPIGKVLPDEGSREEIYNKIEESCKSVQQRQLKVEKRLQEVEMKLKEKEPLDEIHLAPM
+>sp|P63211|GBG1_HUMAN Guanine nucleotide-binding protein G(T) subunit gamma-T1 OS=Homo sapiens OX=9606 GN=GNGT1 PE=1 SV=2
+MPVINIEDLTEKDKLKMEVDQLKKEVTLERMLVSKCCEEVRDYVEERSGEDPLVKGIPEDKNPFKELKGGCVIS
+>DECOY_sp|P63211|GBG1_HUMAN Guanine nucleotide-binding protein G(T) subunit gamma-T1 OS=Homo sapiens OX=9606 GN=GNGT1 PE=1 SV=2
+SIVCGGKLEKFPNKDEPIGKVLPDEGSREEVYDRVEECCKSVLMRELTVEKKLQDVEMKLKDKETLDEINIVPM
+>sp|P50150|GBG4_HUMAN Guanine nucleotide-binding protein G(I)/G(S)/G(O) subunit gamma-4 OS=Homo sapiens OX=9606 GN=GNG4 PE=1 SV=1
+MKEGMSNNSTTSISQARKAVEQLKMEACMDRVKVSQAAADLLAYCEAHVREDPLIIPVPASENPFREKKFFCTIL
+>DECOY_sp|P50150|GBG4_HUMAN Guanine nucleotide-binding protein G(I)/G(S)/G(O) subunit gamma-4 OS=Homo sapiens OX=9606 GN=GNG4 PE=1 SV=1
+LITCFFKKERFPNESAPVPIILPDERVHAECYALLDAAAQSVKVRDMCAEMKLQEVAKRAQSISTTSNNSMGEKM
+>sp|P32455|GBP1_HUMAN Guanylate-binding protein 1 OS=Homo sapiens OX=9606 GN=GBP1 PE=1 SV=2
+MASEIHMTGPMCLIENTNGRLMANPEALKILSAITQPMVVVAIVGLYRTGKSYLMNKLAGKKKGFSLGSTVQSHTKGIWMWCVPHPKKPGHILVLLDTEGLGDVEKGDNQNDSWIFALAVLLSSTFVYNSIGTINQQAMDQLYYVTELTHRIRSKSSPDENENEVEDSADFVSFFPDFVWTLRDFSLDLEADGQPLTPDEYLTYSLKLKKGTSQKDETFNLPRLCIRKFFPKKKCFVFDRPVHRRKLAQLEKLQDEELDPEFVQQVADFCSYIFSNSKTKTLSGGIQVNGPRLESLVLTYVNAISSGDLPCMENAVLALAQIENSAAVQKAIAHYEQQMGQKVQLPTETLQELLDLHRDSEREAIEVFIRSSFKDVDHLFQKELAAQLEKKRDDFCKQNQEASSDRCSALLQVIFSPLEEEVKAGIYSKPGGYRLFVQKLQDLKKKYYEEPRKGIQAEEILQTYLKSKESMTDAILQTDQTLTEKEKEIEVERVKAESAQASAKMLQEMQRKNEQMMEQKERSYQEHLKQLTEKMENDRVQLLKEQERTLALKLQEQEQLLKEGFQKESRIMKNEIQDLQTKMRRRKACTIS
+>DECOY_sp|P32455|GBP1_HUMAN Guanylate-binding protein 1 OS=Homo sapiens OX=9606 GN=GBP1 PE=1 SV=2
+SITCAKRRRMKTQLDQIENKMIRSEKQFGEKLLQEQEQLKLALTREQEKLLQVRDNEMKETLQKLHEQYSREKQEMMQENKRQMEQLMKASAQASEAKVREVEIEKEKETLTQDTQLIADTMSEKSKLYTQLIEEAQIGKRPEEYYKKKLDQLKQVFLRYGGPKSYIGAKVEEELPSFIVQLLASCRDSSAEQNQKCFDDRKKELQAALEKQFLHDVDKFSSRIFVEIAERESDRHLDLLEQLTETPLQVKQGMQQEYHAIAKQVAASNEIQALALVANEMCPLDGSSIANVYTLVLSELRPGNVQIGGSLTKTKSNSFIYSCFDAVQQVFEPDLEEDQLKELQALKRRHVPRDFVFCKKKPFFKRICLRPLNFTEDKQSTGKKLKLSYTLYEDPTLPQGDAELDLSFDRLTWVFDPFFSVFDASDEVENENEDPSSKSRIRHTLETVYYLQDMAQQNITGISNYVFTSSLLVALAFIWSDNQNDGKEVDGLGETDLLVLIHGPKKPHPVCWMWIGKTHSQVTSGLSFGKKKGALKNMLYSKGTRYLGVIAVVVMPQTIASLIKLAEPNAMLRGNTNEILCMPGTMHIESAM
+>sp|Q96PP8|GBP5_HUMAN Guanylate-binding protein 5 OS=Homo sapiens OX=9606 GN=GBP5 PE=1 SV=1
+MALEIHMSDPMCLIENFNEQLKVNQEALEILSAITQPVVVVAIVGLYRTGKSYLMNKLAGKNKGFSVASTVQSHTKGIWIWCVPHPNWPNHTLVLLDTEGLGDVEKADNKNDIQIFALALLLSSTFVYNTVNKIDQGAIDLLHNVTELTDLLKARNSPDLDRVEDPADSASFFPDLVWTLRDFCLGLEIDGQLVTPDEYLENSLRPKQGSDQRVQNFNLPRLCIQKFFPKKKCFIFDLPAHQKKLAQLETLPDDELEPEFVQQVTEFCSYIFSHSMTKTLPGGIMVNGSRLKNLVLTYVNAISSGDLPCIENAVLALAQRENSAAVQKAIAHYDQQMGQKVQLPMETLQELLDLHRTSEREAIEVFMKNSFKDVDQSFQKELETLLDAKQNDICKRNLEASSDYCSALLKDIFGPLEEAVKQGIYSKPGGHNLFIQKTEELKAKYYREPRKGIQAEEVLQKYLKSKESVSHAILQTDQALTETEKKKKEAQVKAEAEKAEAQRLAAIQRQNEQMMQERERLHQEQVRQMEIAKQNWLAEQQKMQEQQMQEQAAQLSTTFQAQNRSLLSELQHAQRTVNNDDPCVLL
+>DECOY_sp|Q96PP8|GBP5_HUMAN Guanylate-binding protein 5 OS=Homo sapiens OX=9606 GN=GBP5 PE=1 SV=1
+LLVCPDDNNVTRQAHQLESLLSRNQAQFTTSLQAAQEQMQQEQMKQQEALWNQKAIEMQRVQEQHLREREQMMQENQRQIAALRQAEAKEAEAKVQAEKKKKETETLAQDTQLIAHSVSEKSKLYKQLVEEAQIGKRPERYYKAKLEETKQIFLNHGGPKSYIGQKVAEELPGFIDKLLASCYDSSAELNRKCIDNQKADLLTELEKQFSQDVDKFSNKMFVEIAERESTRHLDLLEQLTEMPLQVKQGMQQDYHAIAKQVAASNERQALALVANEICPLDGSSIANVYTLVLNKLRSGNVMIGGPLTKTMSHSFIYSCFETVQQVFEPELEDDPLTELQALKKQHAPLDFIFCKKKPFFKQICLRPLNFNQVRQDSGQKPRLSNELYEDPTVLQGDIELGLCFDRLTWVLDPFFSASDAPDEVRDLDPSNRAKLLDTLETVNHLLDIAGQDIKNVTNYVFTSSLLLALAFIQIDNKNDAKEVDGLGETDLLVLTHNPWNPHPVCWIWIGKTHSQVTSAVSFGKNKGALKNMLYSKGTRYLGVIAVVVVPQTIASLIELAEQNVKLQENFNEILCMPDSMHIELAM
+>sp|Q16445|GBRA6_HUMAN Gamma-aminobutyric acid receptor subunit alpha-6 OS=Homo sapiens OX=9606 GN=GABRA6 PE=2 SV=2
+MASSLPWLCIILWLENALGKLEVEGNFYSENVSRILDNLLEGYDNRLRPGFGGAVTEVKTDIYVTSFGPVSDVEMEYTMDVFFRQTWTDERLKFGGPTEILSLNNLMVSKIWTPDTFFRNGKKSIAHNMTTPNKLFRIMQNGTILYTMRLTINADCPMRLVNFPMDGHACPLKFGSYAYPKSEIIYTWKKGPLYSVEVPEESSSLLQYDLIGQTVSSETIKSNTGEYVIMTVYFHLQRKMGYFMIQIYTPCIMTVILSQVSFWINKESVPARTVFGITTVLTMTTLSISARHSLPKVSYATAMDWFIAVCFAFVFSALIEFAAVNYFTNLQTQKAKRKAQFAAPPTVTISKATEPLEAEIVLHPDSKYHLKKRITSLSLPIVSSSEANKVLTRAPILQSTPVTPPPLSPAFGGTSKIDQYSRILFPVAFAGFNLVYWVVYLSKDTMEVSSSVE
+>DECOY_sp|Q16445|GBRA6_HUMAN Gamma-aminobutyric acid receptor subunit alpha-6 OS=Homo sapiens OX=9606 GN=GABRA6 PE=2 SV=2
+EVSSSVEMTDKSLYVVWYVLNFGAFAVPFLIRSYQDIKSTGGFAPSLPPPTVPTSQLIPARTLVKNAESSSVIPLSLSTIRKKLHYKSDPHLVIEAELPETAKSITVTPPAAFQAKRKAKQTQLNTFYNVAAFEILASFVFAFCVAIFWDMATAYSVKPLSHRASISLTTMTLVTTIGFVTRAPVSEKNIWFSVQSLIVTMICPTYIQIMFYGMKRQLHFYVTMIVYEGTNSKITESSVTQGILDYQLLSSSEEPVEVSYLPGKKWTYIIESKPYAYSGFKLPCAHGDMPFNVLRMPCDANITLRMTYLITGNQMIRFLKNPTTMNHAISKKGNRFFTDPTWIKSVMLNNLSLIETPGGFKLREDTWTQRFFVDMTYEMEVDSVPGFSTVYIDTKVETVAGGFGPRLRNDYGELLNDLIRSVNESYFNGEVELKGLANELWLIICLWPLSSAM
+>sp|P18505|GBRB1_HUMAN Gamma-aminobutyric acid receptor subunit beta-1 OS=Homo sapiens OX=9606 GN=GABRB1 PE=1 SV=2
+MWTVQNRESLGLLSFPVMITMVCCAHSTNEPSNMSYVKETVDRLLKGYDIRLRPDFGGPPVDVGMRIDVASIDMVSEVNMDYTLTMYFQQSWKDKRLSYSGIPLNLTLDNRVADQLWVPDTYFLNDKKSFVHGVTVKNRMIRLHPDGTVLYGLRITTTAACMMDLRRYPLDEQNCTLEIESYGYTTDDIEFYWNGGEGAVTGVNKIELPQFSIVDYKMVSKKVEFTTGAYPRLSLSFRLKRNIGYFILQTYMPSTLITILSWVSFWINYDASAARVALGITTVLTMTTISTHLRETLPKIPYVKAIDIYLMGCFVFVFLALLEYAFVNYIFFGKGPQKKGASKQDQSANEKNKLEMNKVQVDAHGNILLSTLEIRNETSGSEVLTSVSDPKATMYSYDSASIQYRKPLSSREAYGRALDRHGVPSKGRIRRRASQLKVKIPDLTDVNSIDKWSRMFFPITFSLFNVVYWLYYVH
+>DECOY_sp|P18505|GBRB1_HUMAN Gamma-aminobutyric acid receptor subunit beta-1 OS=Homo sapiens OX=9606 GN=GABRB1 PE=1 SV=2
+HVYYLWYVVNFLSFTIPFFMRSWKDISNVDTLDPIKVKLQSARRRIRGKSPVGHRDLARGYAERSSLPKRYQISASDYSYMTAKPDSVSTLVESGSTENRIELTSLLINGHADVQVKNMELKNKENASQDQKSAGKKQPGKGFFIYNVFAYELLALFVFVFCGMLYIDIAKVYPIKPLTERLHTSITTMTLVTTIGLAVRAASADYNIWFSVWSLITILTSPMYTQLIFYGINRKLRFSLSLRPYAGTTFEVKKSVMKYDVISFQPLEIKNVGTVAGEGGNWYFEIDDTTYGYSEIELTCNQEDLPYRRLDMMCAATTTIRLGYLVTGDPHLRIMRNKVTVGHVFSKKDNLFYTDPVWLQDAVRNDLTLNLPIGSYSLRKDKWSQQFYMTLTYDMNVESVMDISAVDIRMGVDVPPGGFDPRLRIDYGKLLRDVTEKVYSMNSPENTSHACCVMTIMVPFSLLGLSERNQVTWM
+>sp|Q9BY60|GBRL3_HUMAN Gamma-aminobutyric acid receptor-associated protein-like 3 OS=Homo sapiens OX=9606 GN=GABARAPL3 PE=2 SV=1
+MKFQYKEVHPFEYRKKEGEKIRKKYPDRVPLIVEKAPKARVPDLDRRKYLVPSDLTDGQFYLLIRKRIHLRPEDALFFFVNNTIPPTSATMGQLYEDSHEEDDFLYVAYSNESVYGK
+>DECOY_sp|Q9BY60|GBRL3_HUMAN Gamma-aminobutyric acid receptor-associated protein-like 3 OS=Homo sapiens OX=9606 GN=GABARAPL3 PE=2 SV=1
+KGYVSENSYAVYLFDDEEHSDEYLQGMTASTPPITNNVFFFLADEPRLHIRKRILLYFQGDTLDSPVLYKRRDLDPVRAKPAKEVILPVRDPYKKRIKEGEKKRYEFPHVEKYQFKM
+>sp|P24046|GBRR1_HUMAN Gamma-aminobutyric acid receptor subunit rho-1 OS=Homo sapiens OX=9606 GN=GABRR1 PE=2 SV=2
+MLAVPNMRFGIFLLWWGWVLATESRMHWPGREVHEMSKKGRPQRQRREVHEDAHKQVSPILRRSPDITKSPLTKSEQLLRIDDHDFSMRPGFGGPAIPVGVDVQVESLDSISEVDMDFTMTLYLRHYWKDERLSFPSTNNLSMTFDGRLVKKIWVPDMFFVHSKRSFIHDTTTDNVMLRVQPDGKVLYSLRVTVTAMCNMDFSRFPLDTQTCSLEIESYAYTEDDLMLYWKKGNDSLKTDERISLSQFLIQEFHTTTKLAFYSSTGWYNRLYINFTLRRHIFFFLLQTYFPATLMVMLSWVSFWIDRRAVPARVPLGITTVLTMSTIITGVNASMPRVSYIKAVDIYLWVSFVFVFLSVLEYAAVNYLTTVQERKEQKLREKLPCTSGLPPPRTAMLDGNYSDGEVNDLDNYMPENGEKPDRMMVQLTLASERSSPQRKSQRSSYVSMRIDTHAIDKYSRIIFPAAYILFNLIYWSIFS
+>DECOY_sp|P24046|GBRR1_HUMAN Gamma-aminobutyric acid receptor subunit rho-1 OS=Homo sapiens OX=9606 GN=GABRR1 PE=2 SV=2
+SFISWYILNFLIYAAPFIIRSYKDIAHTDIRMSVYSSRQSKRQPSSRESALTLQVMMRDPKEGNEPMYNDLDNVEGDSYNGDLMATRPPPLGSTCPLKERLKQEKREQVTTLYNVAAYELVSLFVFVFSVWLYIDVAKIYSVRPMSANVGTIITSMTLVTTIGLPVRAPVARRDIWFSVWSLMVMLTAPFYTQLLFFFIHRRLTFNIYLRNYWGTSSYFALKTTTHFEQILFQSLSIREDTKLSDNGKKWYLMLDDETYAYSEIELSCTQTDLPFRSFDMNCMATVTVRLSYLVKGDPQVRLMVNDTTTDHIFSRKSHVFFMDPVWIKKVLRGDFTMSLNNTSPFSLREDKWYHRLYLTMTFDMDVESISDLSEVQVDVGVPIAPGGFGPRMSFDHDDIRLLQESKTLPSKTIDPSRRLIPSVQKHADEHVERRQRQPRGKKSMEHVERGPWHMRSETALVWGWWLLFIGFRMNPVALM
+>sp|P28476|GBRR2_HUMAN Gamma-aminobutyric acid receptor subunit rho-2 OS=Homo sapiens OX=9606 GN=GABRR2 PE=2 SV=5
+MPYFTRLILFLFCLMVLVESRKPKRKRWTGQVEMPKPSHLYKKNLDVTKIRKGKPQQLLRVDEHDFSMRPAFGGPAIPVGVDVQVESLDSISEVDMDFTMTLYLRHYWKDERLAFSSASNKSMTFDGRLVKKIWVPDVFFVHSKRSFTHDTTTDNIMLRVFPDGHVLYSMRITVTAMCNMDFSHFPLDSQTCSLELESYAYTDEDLMLYWKNGDESLKTDEKISLSQFLIQKFHTTSRLAFYSSTGWYNRLYINFTLRRHIFFFLLQTYFPATLMVMLSWVSFWIDRRAVPARVSLGITTVLTMTTIITGVNASMPRVSYVKAVDIYLWVSFVFVFLSVLEYAAVNYLTTVQERKERKLREKFPCMCGMLHSKTMMLDGSYSESEANSLAGYPRSHILTEEERQDKIVVHLGLSGEANAARKKGLLKGQTGFRIFQNTHAIDKYSRLIFPASYIFFNLIYWSVFS
+>DECOY_sp|P28476|GBRR2_HUMAN Gamma-aminobutyric acid receptor subunit rho-2 OS=Homo sapiens OX=9606 GN=GABRR2 PE=2 SV=5
+SFVSWYILNFFIYSAPFILRSYKDIAHTNQFIRFGTQGKLLGKKRAANAEGSLGLHVVIKDQREEETLIHSRPYGALSNAESESYSGDLMMTKSHLMGCMCPFKERLKREKREQVTTLYNVAAYELVSLFVFVFSVWLYIDVAKVYSVRPMSANVGTIITTMTLVTTIGLSVRAPVARRDIWFSVWSLMVMLTAPFYTQLLFFFIHRRLTFNIYLRNYWGTSSYFALRSTTHFKQILFQSLSIKEDTKLSEDGNKWYLMLDEDTYAYSELELSCTQSDLPFHSFDMNCMATVTIRMSYLVHGDPFVRLMINDTTTDHTFSRKSHVFFVDPVWIKKVLRGDFTMSKNSASSFALREDKWYHRLYLTMTFDMDVESISDLSEVQVDVGVPIAPGGFAPRMSFDHEDVRLLQQPKGKRIKTVDLNKKYLHSPKPMEVQGTWRKRKPKRSEVLVMLCFLFLILRTFYPM
+>sp|Q9UN88|GBRT_HUMAN Gamma-aminobutyric acid receptor subunit theta OS=Homo sapiens OX=9606 GN=GABRQ PE=2 SV=2
+MGIRGMLRAAVILLLIRTWLAEGNYPSPIPKFHFEFSSAVPEVVLNLFNCKNCANEAVVQKILDRVLSRYDVRLRPNFGGAPVPVRISIYVTSIEQISEMNMDYTITMFFHQTWKDSRLAYYETTLNLTLDYRMHEKLWVPDCYFLNSKDAFVHDVTVENRVFQLHPDGTVRYGIRLTTTAACSLDLHKFPMDKQACNLVVESYGYTVEDIILFWDDNGNAIHMTEELHIPQFTFLGRTITSKEVYFYTGSYIRLILKFQVQREVNSYLVQVYWPTVLTTITSWISFWMNYDSSAARVTIGLTSMLILTTIDSHLRDKLPNISCIKAIDIYILVCLFFVFLSLLEYVYINYLFYSRGPRRQPRRHRRPRRVIARYRYQQVVVGNVQDGLINVEDGVSSLPITPAQAPLASPESLGSLTSTSEQAQLATSESLSPLTSLSGQAPLATGESLSDLPSTSEQARHSYGVRFNGFQADDSIFPTEIRNRVEAHGHGVTHDHEDSNESLSSDERHGHGPSGKPMLHHGEKGVQEAGWDLDDNNDKSDCLAIKEQFKCDTNSTWGLNDDELMAHGQEKDSSSESEDSCPPSPGCSFTEGFSFDLFNPDYVPKVDKWSRFLFPLAFGLFNIVYWVYHMY
+>DECOY_sp|Q9UN88|GBRT_HUMAN Gamma-aminobutyric acid receptor subunit theta OS=Homo sapiens OX=9606 GN=GABRQ PE=2 SV=2
+YMHYVWYVINFLGFALPFLFRSWKDVKPVYDPNFLDFSFGETFSCGPSPPCSDESESSSDKEQGHAMLEDDNLGWTSNTDCKFQEKIALCDSKDNNDDLDWGAEQVGKEGHHLMPKGSPGHGHREDSSLSENSDEHDHTVGHGHAEVRNRIETPFISDDAQFGNFRVGYSHRAQESTSPLDSLSEGTALPAQGSLSTLPSLSESTALQAQESTSTLSGLSEPSALPAQAPTIPLSSVGDEVNILGDQVNGVVVQQYRYRAIVRRPRRHRRPQRRPGRSYFLYNIYVYELLSLFVFFLCVLIYIDIAKICSINPLKDRLHSDITTLILMSTLGITVRAASSDYNMWFSIWSTITTLVTPWYVQVLYSNVERQVQFKLILRIYSGTYFYVEKSTITRGLFTFQPIHLEETMHIANGNDDWFLIIDEVTYGYSEVVLNCAQKDMPFKHLDLSCAATTTLRIGYRVTGDPHLQFVRNEVTVDHVFADKSNLFYCDPVWLKEHMRYDLTLNLTTEYYALRSDKWTQHFFMTITYDMNMESIQEISTVYISIRVPVPAGGFNPRLRVDYRSLVRDLIKQVVAENACNKCNFLNLVVEPVASSFEFHFKPIPSPYNGEALWTRILLLIVAARLMGRIGM
+>sp|P52951|GBX2_HUMAN Homeobox protein GBX-2 OS=Homo sapiens OX=9606 GN=GBX2 PE=2 SV=3
+MSAAFPPSLMMMQRPLGSSTAFSIDSLIGSPPQPSPGHFVYTGYPMFMPYRPVVLPPPPPPPPALPQAALQPALPPAHPHHQIPSLPTGFCSSLAQGMALTSTLMATLPGGFSASPQHQEAAAARKFAPQPLPGGGNFDKAEALQADAEDGKGFLAKEGSLLAFSAAETVQASLVGAVRGQGKDESKVEDDPKGKEESFSLESDVDYSSDDNLTGQAAHKEEDPGHALEETPPSSGAAGSTTSTGKNRRRRTAFTSEQLLELEKEFHCKKYLSLTERSQIAHALKLSEVQVKIWFQNRRAKWKRVKAGNANSKTGEPSRNPKIVVPIPVHVSRFAIRSQHQQLEQARP
+>DECOY_sp|P52951|GBX2_HUMAN Homeobox protein GBX-2 OS=Homo sapiens OX=9606 GN=GBX2 PE=2 SV=3
+PRAQELQQHQSRIAFRSVHVPIPVVIKPNRSPEGTKSNANGAKVRKWKARRNQFWIKVQVESLKLAHAIQSRETLSLYKKCHFEKELELLQESTFATRRRRNKGTSTTSGAAGSSPPTEELAHGPDEEKHAAQGTLNDDSSYDVDSELSFSEEKGKPDDEVKSEDKGQGRVAGVLSAQVTEAASFALLSGEKALFGKGDEADAQLAEAKDFNGGGPLPQPAFKRAAAAEQHQPSASFGGPLTAMLTSTLAMGQALSSCFGTPLSPIQHHPHAPPLAPQLAAQPLAPPPPPPPPLVVPRYPMFMPYGTYVFHGPSPQPPSGILSDISFATSSGLPRQMMMLSPPFAASM
+>sp|Q92947|GCDH_HUMAN Glutaryl-CoA dehydrogenase, mitochondrial OS=Homo sapiens OX=9606 GN=GCDH PE=1 SV=1
+MALRGVSVRLLSRGPGLHVLRTWVSSAAQTEKGGRTQSQLAKSSRPEFDWQDPLVLEEQLTTDEILIRDTFRTYCQERLMPRILLANRNEVFHREIISEMGELGVLGPTIKGYGCAGVSSVAYGLLARELERVDSGYRSAMSVQSSLVMHPIYAYGSEEQRQKYLPQLAKGELLGCFGLTEPNSGSDPSSMETRAHYNSSNKSYTLNGTKTWITNSPMADLFVVWARCEDGCIRGFLLEKGMRGLSAPRIQGKFSLRASATGMIIMDGVEVPEENVLPGASSLGGPFGCLNNARYGIAWGVLGASEFCLHTARQYALDRMQFGVPLARNQLIQKKLADMLTEITLGLHACLQLGRLKDQDKAAPEMVSLLKRNNCGKALDIARQARDMLGGNGISDEYHVIRHAMNLEAVNTYEGTHDIHALILGRAITGIQAFTASK
+>DECOY_sp|Q92947|GCDH_HUMAN Glutaryl-CoA dehydrogenase, mitochondrial OS=Homo sapiens OX=9606 GN=GCDH PE=1 SV=1
+KSATFAQIGTIARGLILAHIDHTGEYTNVAELNMAHRIVHYEDSIGNGGLMDRAQRAIDLAKGCNNRKLLSVMEPAAKDQDKLRGLQLCAHLGLTIETLMDALKKQILQNRALPVGFQMRDLAYQRATHLCFESAGLVGWAIGYRANNLCGFPGGLSSAGPLVNEEPVEVGDMIIMGTASARLSFKGQIRPASLGRMGKELLFGRICGDECRAWVVFLDAMPSNTIWTKTGNLTYSKNSSNYHARTEMSSPDSGSNPETLGFCGLLEGKALQPLYKQRQEESGYAYIPHMVLSSQVSMASRYGSDVRELERALLGYAVSSVGACGYGKITPGLVGLEGMESIIERHFVENRNALLIRPMLREQCYTRFTDRILIEDTTLQEELVLPDQWDFEPRSSKALQSQTRGGKETQAASSVWTRLVHLGPGRSLLRVSVGRLAM
+>sp|P48728|GCST_HUMAN Aminomethyltransferase, mitochondrial OS=Homo sapiens OX=9606 GN=AMT PE=1 SV=1
+MQRAVSVVARLGFRLQAFPPALCRPLSCAQEVLRRTPLYDFHLAHGGKMVAFAGWSLPVQYRDSHTDSHLHTRQHCSLFDVSHMLQTKILGSDRVKLMESLVVGDIAELRPNQGTLSLFTNEAGGILDDLIVTNTSEGHLYVVSNAGCWEKDLALMQDKVRELQNQGRDVGLEVLDNALLALQGPTAAQVLQAGVADDLRKLPFMTSAVMEVFGVSGCRVTRCGYTGEDGVEISVPVAGAVHLATAILKNPEVKLAGLAARDSLRLEAGLCLYGNDIDEHTTPVEGSLSWTLGKRRRAAMDFPGAKVIVPQLKGRVQRRRVGLMCEGAPMRAHSPILNMEGTKIGTVTSGCPSPSLKKNVAMGYVPCEYSRPGTMLLVEVRRKQQMAVVSKMPFVPTNYYTLK
+>DECOY_sp|P48728|GCST_HUMAN Aminomethyltransferase, mitochondrial OS=Homo sapiens OX=9606 GN=AMT PE=1 SV=1
+KLTYYNTPVFPMKSVVAMQQKRRVEVLLMTGPRSYECPVYGMAVNKKLSPSPCGSTVTGIKTGEMNLIPSHARMPAGECMLGVRRRQVRGKLQPVIVKAGPFDMAARRRKGLTWSLSGEVPTTHEDIDNGYLCLGAELRLSDRAALGALKVEPNKLIATALHVAGAVPVSIEVGDEGTYGCRTVRCGSVGFVEMVASTMFPLKRLDDAVGAQLVQAATPGQLALLANDLVELGVDRGQNQLERVKDQMLALDKEWCGANSVVYLHGESTNTVILDDLIGGAENTFLSLTGQNPRLEAIDGVVLSEMLKVRDSGLIKTQLMHSVDFLSCHQRTHLHSDTHSDRYQVPLSWGAFAVMKGGHALHFDYLPTRRLVEQACSLPRCLAPPFAQLRFGLRAVVSVARQM
+>sp|P33402|GCYA2_HUMAN Guanylate cyclase soluble subunit alpha-2 OS=Homo sapiens OX=9606 GN=GUCY1A2 PE=1 SV=1
+MSRRKISSESFSSLGSDYLETSPEEEGECPLSRLCWNGSRSPPGPLEPSPAAAAAAAAPAPTPAASAAAAAATAGARRVQRRRRVNLDSLGESISRLTAPSPQTIQQTLKRTLQYYEHQVIGYRDAEKNFHNISNRCSYADHSNKEEIEDVSGILQCTANILGLKFEEIQKRFGEEFFNICFHENERVLRAVGGTLQDFFNGFDALLEHIRTSFGKQATLESPSFLCKELPEGTLMLHYFHPHHIVGFAMLGMIKAAGKKIYRLDVEVEQVANEKLCSDVSNPGNCSCLTFLIKECENTNIMKNLPQGTSQVPADLRISINTFCRAFPFHLMFDPSMSVLQLGEGLRKQLRCDTHKVLKFEDCFEIVSPKVNATFERVLLRLSTPFVIRTKPEASGSENKDKVMEVKGQMIHVPESNSILFLGSPCVDKLDELMGRGLHLSDIPIHDATRDVILVGEQAKAQDGLKKRMDKLKATLERTHQALEEEKKKTVDLLYSIFPGDVAQQLWQGQQVQARKFDDVTMLFSDIVGFTAICAQCTPMQVISMLNELYTRFDHQCGFLDIYKVETIGDAYCVAAGLHRKSLCHAKPIALMALKMMELSEEVLTPDGRPIQMRIGIHSGSVLAGVVGVRMPRYCLFGNNVTLASKFESGSHPRRINVSPTTYQLLKREESFTFIPRSREELPDNFPKEIPGICYFLEVRTGPKPPKPSLSSSRIKKVSYNIGTMFLRETSL
+>DECOY_sp|P33402|GCYA2_HUMAN Guanylate cyclase soluble subunit alpha-2 OS=Homo sapiens OX=9606 GN=GUCY1A2 PE=1 SV=1
+LSTERLFMTGINYSVKKIRSSSLSPKPPKPGTRVELFYCIGPIEKPFNDPLEERSRPIFTFSEERKLLQYTTPSVNIRRPHSGSEFKSALTVNNGFLCYRPMRVGVVGALVSGSHIGIRMQIPRGDPTLVEESLEMMKLAMLAIPKAHCLSKRHLGAAVCYADGITEVKYIDLFGCQHDFRTYLENLMSIVQMPTCQACIATFGVIDSFLMTVDDFKRAQVQQGQWLQQAVDGPFISYLLDVTKKKEEELAQHTRELTAKLKDMRKKLGDQAKAQEGVLIVDRTADHIPIDSLHLGRGMLEDLKDVCPSGLFLISNSEPVHIMQGKVEMVKDKNESGSAEPKTRIVFPTSLRLLVREFTANVKPSVIEFCDEFKLVKHTDCRLQKRLGEGLQLVSMSPDFMLHFPFARCFTNISIRLDAPVQSTGQPLNKMINTNECEKILFTLCSCNGPNSVDSCLKENAVQEVEVDLRYIKKGAAKIMGLMAFGVIHHPHFYHLMLTGEPLEKCLFSPSELTAQKGFSTRIHELLADFGNFFDQLTGGVARLVRENEHFCINFFEEGFRKQIEEFKLGLINATCQLIGSVDEIEEKNSHDAYSCRNSINHFNKEADRYGIVQHEYYQLTRKLTQQITQPSPATLRSISEGLSDLNVRRRRQVRRAGATAAAAAASAAPTPAPAAAAAAAAPSPELPGPPSRSGNWCLRSLPCEGEEEPSTELYDSGLSSFSESSIKRRSM
+>sp|Q96MZ0|GD1L1_HUMAN Ganglioside-induced differentiation-associated protein 1-like 1 OS=Homo sapiens OX=9606 GN=GDAP1L1 PE=2 SV=2
+MATPNNLTPTNCSWWPISALESDAAKPAEAPDAPEAASPAHWPRESLVLYHWTQSFSSQKVRLVIAEKGLVCEERDVSLPQSEHKEPWFMRLNLGEEVPVIIHRDNIISDYDQIIDYVERTFTGEHVVALMPEVGSLQHARVLQYRELLDALPMDAYTHGCILHPELTTDSMIPKYATAEIRRHLANATTDLMKLDHEEEPQLSEPYLSKQKKLMAKILEHDDVSYLKKILGELAMVLDQIEAELEKRKLENEGQKCELWLCGCAFTLADVLLGATLHRLKFLGLSKKYWEDGSRPNLQSFFERVQRRFAFRKVLGDIHTTLLSAVIPNAFRLVKRKPPSFFGASFLMGSLGGMGYFAYWYLKKKYI
+>DECOY_sp|Q96MZ0|GD1L1_HUMAN Ganglioside-induced differentiation-associated protein 1-like 1 OS=Homo sapiens OX=9606 GN=GDAP1L1 PE=2 SV=2
+IYKKKLYWYAFYGMGGLSGMLFSAGFFSPPKRKVLRFANPIVASLLTTHIDGLVKRFAFRRQVREFFSQLNPRSGDEWYKKSLGLFKLRHLTAGLLVDALTFACGCLWLECKQGENELKRKELEAEIQDLVMALEGLIKKLYSVDDHELIKAMLKKQKSLYPESLQPEEEHDLKMLDTTANALHRRIEATAYKPIMSDTTLEPHLICGHTYADMPLADLLERYQLVRAHQLSGVEPMLAVVHEGTFTREVYDIIQDYDSIINDRHIIVPVEEGLNLRMFWPEKHESQPLSVDREECVLGKEAIVLRVKQSSFSQTWHYLVLSERPWHAPSAAEPADPAEAPKAADSELASIPWWSCNTPTLNNPTAM
+>sp|P16260|GDC_HUMAN Graves disease carrier protein OS=Homo sapiens OX=9606 GN=SLC25A16 PE=1 SV=3
+MAAATAAAALAAADPPPAMPQAAGAGGPTTRRDFYWLRSFLAGGIAGCCAKTTVAPLDRVKVLLQAHNHHYKHLGVFSALRAVPQKEGFLGLYKGNGAMMIRIFPYGAIQFMAFEHYKTLITTKLGISGHVHRLMAGSMAGMTAVICTYPLDMVRVRLAFQVKGEHSYTGIIHAFKTIYAKEGGFFGFYRGLMPTILGMAPYAGVSFFTFGTLKSVGLSHAPTLLGRPSSDNPNVLVLKTHVNLLCGGVAGAIAQTISYPFDVTRRRMQLGTVLPEFEKCLTMRDTMKYVYGHHGIRKGLYRGLSLNYIRCIPSQAVAFTTYELMKQFFHLN
+>DECOY_sp|P16260|GDC_HUMAN Graves disease carrier protein OS=Homo sapiens OX=9606 GN=SLC25A16 PE=1 SV=3
+NLHFFQKMLEYTTFAVAQSPICRIYNLSLGRYLGKRIGHHGYVYKMTDRMTLCKEFEPLVTGLQMRRRTVDFPYSITQAIAGAVGGCLLNVHTKLVLVNPNDSSPRGLLTPAHSLGVSKLTGFTFFSVGAYPAMGLITPMLGRYFGFFGGEKAYITKFAHIIGTYSHEGKVQFALRVRVMDLPYTCIVATMGAMSGAMLRHVHGSIGLKTTILTKYHEFAMFQIAGYPFIRIMMAGNGKYLGLFGEKQPVARLASFVGLHKYHHNHAQLLVKVRDLPAVTTKACCGAIGGALFSRLWYFDRRTTPGGAGAAQPMAPPPDAAALAAAATAAAM
+>sp|P55107|GDF10_HUMAN Growth/differentiation factor 10 OS=Homo sapiens OX=9606 GN=GDF10 PE=2 SV=1
+MAHVPARTSPGPGPQLLLLLLPLFLLLLRDVAGSHRAPAWSALPAAADGLQGDRDLQRHPGDAAATLGPSAQDMVAVHMHRLYEKYSRQGARPGGGNTVRSFRARLEVVDQKAVYFFNLTSMQDSEMILTATFHFYSEPPRWPRALEVLCKPRAKNASGRPLPLGPPTRQHLLFRSLSQNTATQGLLRGAMALAPPPRGLWQAKDISPIVKAARRDGELLLSAQLDSEERDPGVPRPSPYAPYILVYANDLAISEPNSVAVTLQRYDPFPAGDPEPRAAPNNSADPRVRRAAQATGPLQDNELPGLDERPPRAHAQHFHKHQLWPSPFRALKPRPGRKDRRKKGQEVFMAASQVLDFDEKTMQKARRKQWDEPRVCSRRYLKVDFADIGWNEWIISPKSFDAYYCAGACEFPMPKIVRPSNHATIQSIVRAVGIIPGIPEPCCVPDKMNSLGVLFLDENRNVVLKVYPNMSVDTCACR
+>DECOY_sp|P55107|GDF10_HUMAN Growth/differentiation factor 10 OS=Homo sapiens OX=9606 GN=GDF10 PE=2 SV=1
+RCACTDVSMNPYVKLVVNRNEDLFLVGLSNMKDPVCCPEPIGPIIGVARVISQITAHNSPRVIKPMPFECAGACYYADFSKPSIIWENWGIDAFDVKLYRRSCVRPEDWQKRRAKQMTKEDFDLVQSAAMFVEQGKKRRDKRGPRPKLARFPSPWLQHKHFHQAHARPPREDLGPLENDQLPGTAQAARRVRPDASNNPAARPEPDGAPFPDYRQLTVAVSNPESIALDNAYVLIYPAYPSPRPVGPDREESDLQASLLLEGDRRAAKVIPSIDKAQWLGRPPPALAMAGRLLGQTATNQSLSRFLLHQRTPPGLPLPRGSANKARPKCLVELARPWRPPESYFHFTATLIMESDQMSTLNFFYVAKQDVVELRARFSRVTNGGGPRAGQRSYKEYLRHMHVAVMDQASPGLTAAADGPHRQLDRDGQLGDAAAPLASWAPARHSGAVDRLLLLFLPLLLLLLQPGPGPSTRAPVHAM
+>sp|P27539|GDF1_HUMAN Embryonic growth/differentiation factor 1 OS=Homo sapiens OX=9606 GN=GDF1 PE=1 SV=2
+MPPPQQGPCGHHLLLLLALLLPSLPLTRAPVPPGPAAALLQALGLRDEPQGAPRLRPVPPVMWRLFRRRDPQETRSGSRRTSPGVTLQPCHVEELGVAGNIVRHIPDRGAPTRASEPASAAGHCPEWTVVFDLSAVEPAERPSRARLELRFAAAAAAAPEGGWELSVAQAGQGAGADPGPVLLRQLVPALGPPVRAELLGAAWARNASWPRSLRLALALRPRAPAACARLAEASLLLVTLDPRLCHPLARPRRDAEPVLGGGPGGACRARRLYVSFREVGWHRWVIAPRGFLANYCQGQCALPVALSGSGGPPALNHAVLRALMHAAAPGAADLPCCVPARLSPISVLFFDNSDNVVLRQYEDMVVDECGCR
+>DECOY_sp|P27539|GDF1_HUMAN Embryonic growth/differentiation factor 1 OS=Homo sapiens OX=9606 GN=GDF1 PE=1 SV=2
+RCGCEDVVMDEYQRLVVNDSNDFFLVSIPSLRAPVCCPLDAAGPAAAHMLARLVAHNLAPPGGSGSLAVPLACQGQCYNALFGRPAIVWRHWGVERFSVYLRRARCAGGPGGGLVPEADRRPRALPHCLRPDLTVLLLSAEALRACAAPARPRLALALRLSRPWSANRAWAAGLLEARVPPGLAPVLQRLLVPGPDAGAGQGAQAVSLEWGGEPAAAAAAAFRLELRARSPREAPEVASLDFVVTWEPCHGAASAPESARTPAGRDPIHRVINGAVGLEEVHCPQLTVGPSTRRSGSRTEQPDRRRFLRWMVPPVPRLRPAGQPEDRLGLAQLLAAAPGPPVPARTLPLSPLLLALLLLLHHGCPGQQPPPM
+>sp|P43026|GDF5_HUMAN Growth/differentiation factor 5 OS=Homo sapiens OX=9606 GN=GDF5 PE=1 SV=3
+MRLPKLLTFLLWYLAWLDLEFICTVLGAPDLGQRPQGTRPGLAKAEAKERPPLARNVFRPGGHSYGGGATNANARAKGGTGQTGGLTQPKKDEPKKLPPRPGGPEPKPGHPPQTRQATARTVTPKGQLPGGKAPPKAGSVPSSFLLKKAREPGPPREPKEPFRPPPITPHEYMLSLYRTLSDADRKGGNSSVKLEAGLANTITSFIDKGQDDRGPVVRKQRYVFDISALEKDGLLGAELRILRKKPSDTAKPAAPGGGRAAQLKLSSCPSGRQPASLLDVRSVPGLDGSGWEVFDIWKLFRNFKNSAQLCLELEAWERGRAVDLRGLGFDRAARQVHEKALFLVFGRTKKRDLFFNEIKARSGQDDKTVYEYLFSQRRKRRAPLATRQGKRPSKNLKARCSRKALHVNFKDMGWDDWIIAPLEYEAFHCEGLCEFPLRSHLEPTNHAVIQTLMNSMDPESTPPTCCVPTRLSPISILFIDSANNVVYKQYEDMVVESCGCR
+>DECOY_sp|P43026|GDF5_HUMAN Growth/differentiation factor 5 OS=Homo sapiens OX=9606 GN=GDF5 PE=1 SV=3
+RCGCSEVVMDEYQKYVVNNASDIFLISIPSLRTPVCCTPPTSEPDMSNMLTQIVAHNTPELHSRLPFECLGECHFAEYELPAIIWDDWGMDKFNVHLAKRSCRAKLNKSPRKGQRTALPARRKRRQSFLYEYVTKDDQGSRAKIENFFLDRKKTRGFVLFLAKEHVQRAARDFGLGRLDVARGREWAELELCLQASNKFNRFLKWIDFVEWGSGDLGPVSRVDLLSAPQRGSPCSSLKLQAARGGGPAAPKATDSPKKRLIRLEAGLLGDKELASIDFVYRQKRVVPGRDDQGKDIFSTITNALGAELKVSSNGGKRDADSLTRYLSLMYEHPTIPPPRFPEKPERPPGPERAKKLLFSSPVSGAKPPAKGGPLQGKPTVTRATAQRTQPPHGPKPEPGGPRPPLKKPEDKKPQTLGGTQGTGGKARANANTAGGGYSHGGPRFVNRALPPREKAEAKALGPRTGQPRQGLDPAGLVTCIFELDLWALYWLLFTLLKPLRM
+>sp|P52566|GDIR2_HUMAN Rho GDP-dissociation inhibitor 2 OS=Homo sapiens OX=9606 GN=ARHGDIB PE=1 SV=3
+MTEKAPEPHVEEDDDDELDSKLNYKPPPQKSLKELQEMDKDDESLIKYKKTLLGDGPVVTDPKAPNVVVTRLTLVCESAPGPITMDLTGDLEALKKETIVLKEGSEYRVKIHFKVNRDIVSGLKYVQHTYRTGVKVDKATFMVGSYGPRPEEYEFLTPVEEAPKGMLARGTYHNKSFFTDDDKQDHLSWEWNLSIKKEWTE
+>DECOY_sp|P52566|GDIR2_HUMAN Rho GDP-dissociation inhibitor 2 OS=Homo sapiens OX=9606 GN=ARHGDIB PE=1 SV=3
+ETWEKKISLNWEWSLHDQKDDDTFFSKNHYTGRALMGKPAEEVPTLFEYEEPRPGYSGVMFTAKDVKVGTRYTHQVYKLGSVIDRNVKFHIKVRYESGEKLVITEKKLAELDGTLDMTIPGPASECVLTLRTVVVNPAKPDTVVPGDGLLTKKYKILSEDDKDMEQLEKLSKQPPPKYNLKSDLEDDDDEEVHPEPAKETM
+>sp|O14893|GEMI2_HUMAN Gem-associated protein 2 OS=Homo sapiens OX=9606 GN=GEMIN2 PE=1 SV=1
+MRRAELAGLKTMAWVPAESAVEELMPRLLPVEPCDLTEGFDPSVPPRTPQEYLRRVQIEAAQCPDVVVAQIDPKKLKRKQSVNISLSGCQPAPEGYSPTLQWQQQQVAQFSTVRQNVNKHRSHWKSQQLDSNVTMPKSEDEEGWKKFCLGEKLCADGAVGPATNESPGIDYVQIGFPPLLSIVSRMNQATVTSVLEYLSNWFGERDFTPELGRWLYALLACLEKPLLPEAHSLIRQLARRCSEVRLLVDSKDDERVPALNLLICLVSRYFDQRDLADEPS
+>DECOY_sp|O14893|GEMI2_HUMAN Gem-associated protein 2 OS=Homo sapiens OX=9606 GN=GEMIN2 PE=1 SV=1
+SPEDALDRQDFYRSVLCILLNLAPVREDDKSDVLLRVESCRRALQRILSHAEPLLPKELCALLAYLWRGLEPTFDREGFWNSLYELVSTVTAQNMRSVISLLPPFGIQVYDIGPSENTAPGVAGDACLKEGLCFKKWGEEDESKPMTVNSDLQQSKWHSRHKNVNQRVTSFQAVQQQQWQLTPSYGEPAPQCGSLSINVSQKRKLKKPDIQAVVVDPCQAAEIQVRRLYEQPTRPPVSPDFGETLDCPEVPLLRPMLEEVASEAPVWAMTKLGALEARRM
+>sp|Q9NWZ8|GEMI8_HUMAN Gem-associated protein 8 OS=Homo sapiens OX=9606 GN=GEMIN8 PE=1 SV=1
+MAAVKASTSKATRPWYSHPVYARYWQHYHQAMAWMQSHHNAYRKAVESCFNLPWYLPSALLPQSSYDNEAAYPQSFYDHHVAWQDYPCSSSHFRRSGQHPRYSSRIQASTKEDQALSKEEEMETESDAEVECDLSNMEITEELRQYFAETERHREERRRQQQLDAERLDSYVNADHDLYCNTRRSVEAPTERPGERRQAEMKRLYGDSAAKIQAMEAAVQLSFDKHCDRKQPKYWPVIPLKF
+>DECOY_sp|Q9NWZ8|GEMI8_HUMAN Gem-associated protein 8 OS=Homo sapiens OX=9606 GN=GEMIN8 PE=1 SV=1
+FKLPIVPWYKPQKRDCHKDFSLQVAAEMAQIKAASDGYLRKMEAQRREGPRETPAEVSRRTNCYLDHDANVYSDLREADLQQQRRREERHRETEAFYQRLEETIEMNSLDCEVEADSETEMEEEKSLAQDEKTSAQIRSSYRPHQGSRRFHSSSCPYDQWAVHHDYFSQPYAAENDYSSQPLLASPLYWPLNFCSEVAKRYANHHSQMWAMAQHYHQWYRAYVPHSYWPRTAKSTSAKVAAM
+>sp|Q53GS7|GLE1_HUMAN Nucleoporin GLE1 OS=Homo sapiens OX=9606 GN=GLE1 PE=1 SV=2
+MPSEGRCWETLKALRSSDKGRLCYYRDWLLRREDVLEECMSLPKLSSYSGWVVEHVLPHMQENQPLSETSPSSTSASALDQPSFVPKSPDASSAFSPASPATPNGTKGKDESQHTESMVLQSSRGIKVEGCVRMYELVHRMKGTEGLRLWQEEQERKVQALSEMASEQLKRFDEWKELKQHKEFQDLREVMEKSSREALGHQEKLKAEHRHRAKILNLKLREAEQQRVKQAEQERLRKEEGQIRLRALYALQEEMLQLSQQLDASEQHKALLKVDLAAFQTRGNQLCSLISGIIRASSESSYPTAESQAEAERALREMRDLLMNLGQEITRACEDKRRQDEEEAQVKLQEAQMQQGPEAHKEPPAPSQGPGGKQNEDLQVKVQDITMQWYQQLQDASMQCVLTFEGLTNSKDSQAKKIKMDLQKAATIPVSQISTIAGSKLKEIFDKIHSLLSGKPVQSGGRSVSVTLNPQGLDFVQYKLAEKFVKQGEEEVASHHEAAFPIAVVASGIWELHPRVGDLILAHLHKKCPYSVPFYPTFKEGMALEDYQRMLGYQVKDSKVEQQDNFLKRMSGMIRLYAAIIQLRWPYGNRQEIHPHGLNHGWRWLAQILNMEPLSDVTATLLFDFLEVCGNALMKQYQVQFWKMLILIKEDYFPRIEAITSSGQMGSFIRLKQFLEKCLQHKDIPVPKGFLTSSFWRS
+>DECOY_sp|Q53GS7|GLE1_HUMAN Nucleoporin GLE1 OS=Homo sapiens OX=9606 GN=GLE1 PE=1 SV=2
+SRWFSSTLFGKPVPIDKHQLCKELFQKLRIFSGMQGSSTIAEIRPFYDEKILILMKWFQVQYQKMLANGCVELFDFLLTATVDSLPEMNLIQALWRWGHNLGHPHIEQRNGYPWRLQIIAAYLRIMGSMRKLFNDQQEVKSDKVQYGLMRQYDELAMGEKFTPYFPVSYPCKKHLHALILDGVRPHLEWIGSAVVAIPFAAEHHSAVEEEGQKVFKEALKYQVFDLGQPNLTVSVSRGGSQVPKGSLLSHIKDFIEKLKSGAITSIQSVPITAAKQLDMKIKKAQSDKSNTLGEFTLVCQMSADQLQQYWQMTIDQVKVQLDENQKGGPGQSPAPPEKHAEPGQQMQAEQLKVQAEEEDQRRKDECARTIEQGLNMLLDRMERLAREAEAQSEATPYSSESSARIIGSILSCLQNGRTQFAALDVKLLAKHQESADLQQSLQLMEEQLAYLARLRIQGEEKRLREQEAQKVRQQEAERLKLNLIKARHRHEAKLKEQHGLAERSSKEMVERLDQFEKHQKLEKWEDFRKLQESAMESLAQVKREQEEQWLRLGETGKMRHVLEYMRVCGEVKIGRSSQLVMSETHQSEDKGKTGNPTAPSAPSFASSADPSKPVFSPQDLASASTSSPSTESLPQNEQMHPLVHEVVWGSYSSLKPLSMCEELVDERRLLWDRYYCLRGKDSSRLAKLTEWCRGESPM
+>sp|Q96MH2|HEXI2_HUMAN Protein HEXIM2 OS=Homo sapiens OX=9606 GN=HEXIM2 PE=1 SV=1
+MMATPNQTACNAESPVALEEAKTSGAPGSPQTPPERHDSGGSLPLTPRMESHSEDEDLAGAVGGLGWNSRSPRTQSPGGCSAEAVLARKKHRRRPSKRKRHWRPYLELSWAEKQQRDERQSQRASRVREEMFAKGQPVAPYNTTQFLMNDRDPEEPNLDVPHGISHPGSSGESEAGDSDGRGRAHGEFQRKDFSETYERFHTESLQGRSKQELVRDYLELEKRLSQAEEETRRLQQLQACTGQQSCRQVEELAAEVQRLRTENQRLRQENQMWNREGCRCDEEPGT
+>DECOY_sp|Q96MH2|HEXI2_HUMAN Protein HEXIM2 OS=Homo sapiens OX=9606 GN=HEXIM2 PE=1 SV=1
+TGPEEDCRCGERNWMQNEQRLRQNETRLRQVEAALEEVQRCSQQGTCAQLQQLRRTEEEAQSLRKELELYDRVLEQKSRGQLSETHFREYTESFDKRQFEGHARGRGDSDGAESEGSSGPHSIGHPVDLNPEEPDRDNMLFQTTNYPAVPQGKAFMEERVRSARQSQREDRQQKEAWSLELYPRWHRKRKSPRRRHKKRALVAEASCGGPSQTRPSRSNWGLGGVAGALDEDESHSEMRPTLPLSGGSDHREPPTQPSGPAGSTKAEELAVPSEANCATQNPTAMM
+>sp|Q9UBP5|HEY2_HUMAN Hairy/enhancer-of-split related with YRPW motif protein 2 OS=Homo sapiens OX=9606 GN=HEY2 PE=1 SV=1
+MKRPCEETTSESDMDETIDVGSENNYSGQSTSSVIRLNSPTTTSQIMARKKRRGIIEKRRRDRINNSLSELRRLVPTAFEKQGSAKLEKAEILQMTVDHLKMLQATGGKGYFDAHALAMDFMSIGFRECLTEVARYLSSVEGLDSSDPLRVRLVSHLSTCATQREAAAMTSSMAHHHHPLHPHHWAAAFHHLPAALLQPNGLHASESTPCRLSTTSEVPPAHGSALLTATFAHADSALRMPSTGSVAPCVPPLSTSLLSLSATVHAAAAAATAAAHSFPLSFAGAFPMLPPNAAAAVAAATAISPPLSVSATSSPQQTSSGTNNKPYRPWGTEVGAF
+>DECOY_sp|Q9UBP5|HEY2_HUMAN Hairy/enhancer-of-split related with YRPW motif protein 2 OS=Homo sapiens OX=9606 GN=HEY2 PE=1 SV=1
+FAGVETGWPRYPKNNTGSSTQQPSSTASVSLPPSIATAAAVAAAANPPLMPFAGAFSLPFSHAAATAAAAAAHVTASLSLLSTSLPPVCPAVSGTSPMRLASDAHAFTATLLASGHAPPVESTTSLRCPTSESAHLGNPQLLAAPLHHFAAAWHHPHLPHHHHAMSSTMAAAERQTACTSLHSVLRVRLPDSSDLGEVSSLYRAVETLCERFGISMFDMALAHADFYGKGGTAQLMKLHDVTMQLIEAKELKASGQKEFATPVLRRLESLSNNIRDRRRKEIIGRRKKRAMIQSTTTPSNLRIVSSTSQGSYNNESGVDITEDMDSESTTEECPRKM
+>sp|B2RPK0|HGB1A_HUMAN Putative high mobility group protein B1-like 1 OS=Homo sapiens OX=9606 GN=HMGB1P1 PE=5 SV=1
+MGKGDPKKPRGKMSSYAFFVQTCREEHKKKHSDASVNFSEFSNKCSERWKTMSAKEKGKFEDMAKADKTHYERQMKTYIPPKGETKKKFKDPNAPKRPPSAFFLFCSEYHPKIKGEHPGLSIGDVAKKLGEMWNNTAADDKQPGEKKAAKLKEKYEKDIAAYQAKGKPEAAKKGVVKAEKSKKKKEEEEDEEDEEDEEEEDEEDEEDDDDE
+>DECOY_sp|B2RPK0|HGB1A_HUMAN Putative high mobility group protein B1-like 1 OS=Homo sapiens OX=9606 GN=HMGB1P1 PE=5 SV=1
+EDDDDEEDEEDEEEEDEEDEEDEEEEKKKKSKEAKVVGKKAAEPKGKAQYAAIDKEYKEKLKAAKKEGPQKDDAATNNWMEGLKKAVDGISLGPHEGKIKPHYESCFLFFASPPRKPANPDKFKKKTEGKPPIYTKMQREYHTKDAKAMDEFKGKEKASMTKWRESCKNSFESFNVSADSHKKKHEERCTQVFFAYSSMKGRPKKPDGKGM
+>sp|Q5VTY9|HHAT_HUMAN Protein-cysteine N-palmitoyltransferase HHAT OS=Homo sapiens OX=9606 GN=HHAT PE=1 SV=1
+MLPRWELALYLLASLGFHFYSFYEVYKVSREHEEELDQEFELETDTLFGGLKKDATDFEWSFWMEWGKQWLVWLLLGHMVVSQMATLLARKHRPWILMLYGMWACWCVLGTPGVAMVLLHTTISFCVAQFRSQLLTWLCSLLLLSTLRLQGVEEVKRRWYKTENEYYLLQFTLTVRCLYYTSFSLELCWQQLPAASTSYSFPWMLAYVFYYPVLHNGPILSFSEFIKQMQQQEHDSLKASLCVLALGLGRLLCWWWLAELMAHLMYMHAIYSSIPLLETVSCWTLGGLALAQVLFFYVKYLVLFGVPALLMRLDGLTPPALPRCVSTMFSFTGMWRYFDVGLHNFLIRYVYIPVGGSQHGLLGTLFSTAMTFAFVSYWHGGYDYLWCWAALNWLGVTVENGVRRLVETPCIQDSLARYFSPQARRRFHAALASCSTSMLILSNLVFLGGNEVGKTYWNRIFIQGWPWVTLSVLGFLYCYSHVGIAWAQTYATD
+>DECOY_sp|Q5VTY9|HHAT_HUMAN Protein-cysteine N-palmitoyltransferase HHAT OS=Homo sapiens OX=9606 GN=HHAT PE=1 SV=1
+DTAYTQAWAIGVHSYCYLFGLVSLTVWPWGQIFIRNWYTKGVENGGLFVLNSLILMSTSCSALAAHFRRRAQPSFYRALSDQICPTEVLRRVGNEVTVGLWNLAAWCWLYDYGGHWYSVFAFTMATSFLTGLLGHQSGGVPIYVYRILFNHLGVDFYRWMGTFSFMTSVCRPLAPPTLGDLRMLLAPVGFLVLYKVYFFLVQALALGGLTWCSVTELLPISSYIAHMYMLHAMLEALWWWCLLRGLGLALVCLSAKLSDHEQQQMQKIFESFSLIPGNHLVPYYFVYALMWPFSYSTSAAPLQQWCLELSFSTYYLCRVTLTFQLLYYENETKYWRRKVEEVGQLRLTSLLLLSCLWTLLQSRFQAVCFSITTHLLVMAVGPTGLVCWCAWMGYLMLIWPRHKRALLTAMQSVVMHGLLLWVLWQKGWEMWFSWEFDTADKKLGGFLTDTELEFEQDLEEEHERSVKYVEYFSYFHFGLSALLYLALEWRPLM
+>sp|Q8IV36|HID1_HUMAN Protein HID1 OS=Homo sapiens OX=9606 GN=HID1 PE=1 SV=1
+MGSTDSKLNFRKAVIQLTTKTQPVEATDDAFWDQFWADTATSVQDVFALVPAAEIRAVREESPSNLATLCYKAVEKLVQGAESGCHSEKEKQIVLNCSRLLTRVLPYIFEDPDWRGFFWSTVPGAGRGGQGEEDDEHARPLAESLLLAIADLLFCPDFTVQSHRRSTVDSAEDVHSLDSCEYIWEAGVGFAHSPQPNYIHDMNRMELLKLLLTCFSEAMYLPPAPESGSTNPWVQFFCSTENRHALPLFTSLLNTVCAYDPVGYGIPYNHLLFSDYREPLVEEAAQVLIVTLDHDSASSASPTVDGTTTGTAMDDADPPGPENLFVNYLSRIHREEDFQFILKGIARLLSNPLLQTYLPNSTKKIQFHQELLVLFWKLCDFNKKFLFFVLKSSDVLDILVPILFFLNDARADQSRVGLMHIGVFILLLLSGERNFGVRLNKPYSIRVPMDIPVFTGTHADLLIVVFHKIITSGHQRLQPLFDCLLTIVVNVSPYLKSLSMVTANKLLHLLEAFSTTWFLFSAAQNHHLVFFLLEVFNNIIQYQFDGNSNLVYAIIRKRSIFHQLANLPTDPPTIHKALQRRRRTPEPLSRTGSQEGTSMEGSRPAAPAEPGTLKTSLVATPGIDKLTEKSQVSEDGTLRSLEPEPQQSLEDGSPAKGEPSQAWREQRRPSTSSASGQWSPTPEWVLSWKSKLPLQTIMRLLQVLVPQVEKICIDKGLTDESEILRFLQHGTLVGLLPVPHPILIRKYQANSGTAMWFRTYMWGVIYLRNVDPPVWYDTDVKLFEIQRV
+>DECOY_sp|Q8IV36|HID1_HUMAN Protein HID1 OS=Homo sapiens OX=9606 GN=HID1 PE=1 SV=1
+VRQIEFLKVDTDYWVPPDVNRLYIVGWMYTRFWMATGSNAQYKRILIPHPVPLLGVLTGHQLFRLIESEDTLGKDICIKEVQPVLVQLLRMITQLPLKSKWSLVWEPTPSWQGSASSTSPRRQERWAQSPEGKAPSGDELSQQPEPELSRLTGDESVQSKETLKDIGPTAVLSTKLTGPEAPAAPRSGEMSTGEQSGTRSLPEPTRRRRQLAKHITPPDTPLNALQHFISRKRIIAYVLNSNGDFQYQIINNFVELLFFVLHHNQAASFLFWTTSFAELLHLLKNATVMSLSKLYPSVNVVITLLCDFLPQLRQHGSTIIKHFVVILLDAHTGTFVPIDMPVRISYPKNLRVGFNREGSLLLLIFVGIHMLGVRSQDARADNLFFLIPVLIDLVDSSKLVFFLFKKNFDCLKWFLVLLEQHFQIKKTSNPLYTQLLPNSLLRAIGKLIFQFDEERHIRSLYNVFLNEPGPPDADDMATGTTTGDVTPSASSASDHDLTVILVQAAEEVLPERYDSFLLHNYPIGYGVPDYACVTNLLSTFLPLAHRNETSCFFQVWPNTSGSEPAPPLYMAESFCTLLLKLLEMRNMDHIYNPQPSHAFGVGAEWIYECSDLSHVDEASDVTSRRHSQVTFDPCFLLDAIALLLSEALPRAHEDDEEGQGGRGAGPVTSWFFGRWDPDEFIYPLVRTLLRSCNLVIQKEKESHCGSEAGQVLKEVAKYCLTALNSPSEERVARIEAAPVLAFVDQVSTATDAWFQDWFADDTAEVPQTKTTLQIVAKRFNLKSDTSGM
+>sp|Q9NWT6|HIF1N_HUMAN Hypoxia-inducible factor 1-alpha inhibitor OS=Homo sapiens OX=9606 GN=HIF1AN PE=1 SV=2
+MAATAAEAVASGSGEPREEAGALGPAWDESQLRSYSFPTRPIPRLSQSDPRAEELIENEEPVVLTDTNLVYPALKWDLEYLQENIGNGDFSVYSASTHKFLYYDEKKMANFQNFKPRSNREEMKFHEFVEKLQDIQQRGGEERLYLQQTLNDTVGRKIVMDFLGFNWNWINKQQGKRGWGQLTSNLLLIGMEGNVTPAHYDEQQNFFAQIKGYKRCILFPPDQFECLYPYPVHHPCDRQSQVDFDNPDYERFPNFQNVVGYETVVGPGDVLYIPMYWWHHIESLLNGGITITVNFWYKGAPTPKRIEYPLKAHQKVAIMRNIEKMLGEALGNPQEVGPLLNTMIKGRYN
+>DECOY_sp|Q9NWT6|HIF1N_HUMAN Hypoxia-inducible factor 1-alpha inhibitor OS=Homo sapiens OX=9606 GN=HIF1AN PE=1 SV=2
+NYRGKIMTNLLPGVEQPNGLAEGLMKEINRMIAVKQHAKLPYEIRKPTPAGKYWFNVTITIGGNLLSEIHHWWYMPIYLVDGPGVVTEYGVVNQFNPFREYDPNDFDVQSQRDCPHHVPYPYLCEFQDPPFLICRKYGKIQAFFNQQEDYHAPTVNGEMGILLLNSTLQGWGRKGQQKNIWNWNFGLFDMVIKRGVTDNLTQQLYLREEGGRQQIDQLKEVFEHFKMEERNSRPKFNQFNAMKKEDYYLFKHTSASYVSFDGNGINEQLYELDWKLAPYVLNTDTLVVPEENEILEEARPDSQSLRPIPRTPFSYSRLQSEDWAPGLAGAEERPEGSGSAVAEAATAAM
+>sp|Q9BW72|HIG2A_HUMAN HIG1 domain family member 2A, mitochondrial OS=Homo sapiens OX=9606 GN=HIGD2A PE=1 SV=1
+MATPGPVIPEVPFEPSKPPVIEGLSPTVYRNPESFKEKFVRKTRENPVVPIGCLATAAALTYGLYSFHRGNSQRSQLMMRTRIAAQGFTVAAILLGLAVTAMKSRP
+>DECOY_sp|Q9BW72|HIG2A_HUMAN HIG1 domain family member 2A, mitochondrial OS=Homo sapiens OX=9606 GN=HIGD2A PE=1 SV=1
+PRSKMATVALGLLIAAVTFGQAAIRTRMMLQSRQSNGRHFSYLGYTLAAATALCGIPVVPNERTKRVFKEKFSEPNRYVTPSLGEIVPPKSPEFPVEPIVPGPTAM
+>sp|O75146|HIP1R_HUMAN Huntingtin-interacting protein 1-related protein OS=Homo sapiens OX=9606 GN=HIP1R PE=1 SV=2
+MNSIKNVPARVLSRRPGHSLEAEREQFDKTQAISISKAINTQEAPVKEKHARRIILGTHHEKGAFTFWSYAIGLPLPSSSILSWKFCHVLHKVLRDGHPNVLHDCQRYRSNIREIGDLWGHLHDRYGQLVNVYTKLLLTKISFHLKHPQFPAGLEVTDEVLEKAAGTDVNNIFQLTVEMFDYMDCELKLSESVFRQLNTAIAVSQMSSGQCRLAPLIQVIQDCSHLYHYTVKLLFKLHSCLPADTLQGHRDRFHEQFHSLRNFFRRASDMLYFKRLIQIPRLPEGPPNFLRASALAEHIKPVVVIPEEAPEDEEPENLIEISTGPPAGEPVVVADLFDQTFGPPNGSVKDDRDLQIESLKREVEMLRSELEKIKLEAQRYIAQLKSQVNALEGELEEQRKQKQKALVDNEQLRHELAQLRAAQLEGERSQGLREEAERKASATEARYNKLKEKHSELVHVHAELLRKNADTAKQLTVTQQSQEEVARVKEQLAFQVEQVKRESELKLEEKSDQLEKLKRELEAKAGELARAQEALSHTEQSKSELSSRLDTLSAEKDALSGAVRQREADLLAAQSLVRETEAALSREQQRSSQEQGELQGRLAERESQEQGLRQRLLDEQFAVLRGAAAEAAGILQDAVSKLDDPLHLRCTSSPDYLVSRAQEALDAVSTLEEGHAQYLTSLADASALVAALTRFSHLAADTIINGGATSHLAPTDPADRLIDTCRECGARALELMGQLQDQQALRHMQASLVRTPLQGILQLGQELKPKSLDVRQEELGAVVDKEMAATSAAIEDAVRRIEDMMNQARHASSGVKLEVNERILNSCTDLMKAIRLLVTTSTSLQKEIVESGRGAATQQEFYAKNSRWTEGLISASKAVGWGATQLVEAADKVVLHTGKYEELIVCSHEIAASTAQLVAASKVKANKHSPHLSRLQECSRTVNERAANVVASTKSGQEQIEDRDTMDFSGLSLIKLKKQEMETQVRVLELEKTLEAERMRLGELRKQHYVLAGASGSPGEEVAIRPSTAPRSVTTKKPPLAQKPSVAPRQDHQLDKKDGIYPAQLVNY
+>DECOY_sp|O75146|HIP1R_HUMAN Huntingtin-interacting protein 1-related protein OS=Homo sapiens OX=9606 GN=HIP1R PE=1 SV=2
+YNVLQAPYIGDKKDLQHDQRPAVSPKQALPPKKTTVSRPATSPRIAVEEGPSGSAGALVYHQKRLEGLRMREAELTKELELVRVQTEMEQKKLKILSLGSFDMTDRDEIQEQGSKTSAVVNAARENVTRSCEQLRSLHPSHKNAKVKSAAVLQATSAAIEHSCVILEEYKGTHLVVKDAAEVLQTAGWGVAKSASILGETWRSNKAYFEQQTAAGRGSEVIEKQLSTSTTVLLRIAKMLDTCSNLIRENVELKVGSSAHRAQNMMDEIRRVADEIAASTAAMEKDVVAGLEEQRVDLSKPKLEQGLQLIGQLPTRVLSAQMHRLAQQDQLQGMLELARAGCERCTDILRDAPDTPALHSTAGGNIITDAALHSFRTLAAVLASADALSTLYQAHGEELTSVADLAEQARSVLYDPSSTCRLHLPDDLKSVADQLIGAAEAAAGRLVAFQEDLLRQRLGQEQSEREALRGQLEGQEQSSRQQERSLAAETERVLSQAALLDAERQRVAGSLADKEASLTDLRSSLESKSQETHSLAEQARALEGAKAELERKLKELQDSKEELKLESERKVQEVQFALQEKVRAVEEQSQQTVTLQKATDANKRLLEAHVHVLESHKEKLKNYRAETASAKREAEERLGQSREGELQAARLQALEHRLQENDVLAKQKQKRQEELEGELANVQSKLQAIYRQAELKIKELESRLMEVERKLSEIQLDRDDKVSGNPPGFTQDFLDAVVVPEGAPPGTSIEILNEPEEDEPAEEPIVVVPKIHEALASARLFNPPGEPLRPIQILRKFYLMDSARRFFNRLSHFQEHFRDRHGQLTDAPLCSHLKFLLKVTYHYLHSCDQIVQILPALRCQGSSMQSVAIATNLQRFVSESLKLECDMYDFMEVTLQFINNVDTGAAKELVEDTVELGAPFQPHKLHFSIKTLLLKTYVNVLQGYRDHLHGWLDGIERINSRYRQCDHLVNPHGDRLVKHLVHCFKWSLISSSPLPLGIAYSWFTFAGKEHHTGLIIRRAHKEKVPAEQTNIAKSISIAQTKDFQEREAELSHGPRRSLVRAPVNKISNM
+>sp|Q96JK4|HIPL1_HUMAN HHIP-like protein 1 OS=Homo sapiens OX=9606 GN=HHIPL1 PE=1 SV=2
+MARARAGALLALWVLGAAAHPQCLDFRPPFRPTQPLRLCAQYSDFGCCDEGRDAELTRRFWALASRVDAAEWAACAGYARDLLCQECSPYAAHLYDAEDPFTPLRTVPGLCQDYCLDMWHKCRGLFRHLSTDQELWALEGNLARFCRYLSLDDTDYCFPYLLVNKNLNSNLGHVVADAKGCLQLCLEEVANGLRNPVAMVHARDGTHRFFVAEQVGLVWAYLPDRSRLGKPFLNISRVVLTSPWEGDERGFLGIAFHPSFQHNRRLYVYYSVGIRSSEWIRISEFRVSEDDENAVDHSSERIILEVKEPASNHNGGQLLFGDDGYLYIFTGDGGMAGDPFGTFGNAQNKSALLGKVLRIDVDRKERGLPYGIPPDNPFVGDPAAQPEVYALGVRNMWRCSFDRGDPSSGTGRGRLFCGDVGQNKFEEVDVVERGGNYGWRAREGFECYDRSLCANTSLNDLLPIFAYPHTVGKSVTGGYVYRGCEYPNLNGLYIFGDFMSGRLMSLQENPGTGQWQYSEICMGHGQTCEFPGLINNYYPYIISFGEDEAGELYFMSTGEPSATAPRGVVYKIIDASRRAPPGKCQIQPAQVKIRSRLIPFVPKEKFIPKTRSTPRPTARAPTRAPRRGRPTAAPPAPTPRPARPTQQPGSRRGGGRRRGRLNSASRAFRDGEVRLVRPAGLSSGSGRVEVFVGGRWGTVCDDSWNISGAAVVCRQLGFAYAVRAVKRAEFGQGGSLPILLDDVRCAGWERNLLECQHNGVGTHNCEHDEDAGVVCSHQNPDL
+>DECOY_sp|Q96JK4|HIPL1_HUMAN HHIP-like protein 1 OS=Homo sapiens OX=9606 GN=HHIPL1 PE=1 SV=2
+LDPNQHSCVVGADEDHECNHTGVGNHQCELLNREWGACRVDDLLIPLSGGQGFEARKVARVAYAFGLQRCVVAAGSINWSDDCVTGWRGGVFVEVRGSGSSLGAPRVLRVEGDRFARSASNLRGRRRGGGRRSGPQQTPRAPRPTPAPPAATPRGRRPARTPARATPRPTSRTKPIFKEKPVFPILRSRIKVQAPQIQCKGPPARRSADIIKYVVGRPATASPEGTSMFYLEGAEDEGFSIIYPYYNNILGPFECTQGHGMCIESYQWQGTGPNEQLSMLRGSMFDGFIYLGNLNPYECGRYVYGGTVSKGVTHPYAFIPLLDNLSTNACLSRDYCEFGERARWGYNGGREVVDVEEFKNQGVDGCFLRGRGTGSSPDGRDFSCRWMNRVGLAYVEPQAAPDGVFPNDPPIGYPLGREKRDVDIRLVKGLLASKNQANGFTGFPDGAMGGDGTFIYLYGDDGFLLQGGNHNSAPEKVELIIRESSHDVANEDDESVRFESIRIWESSRIGVSYYVYLRRNHQFSPHFAIGLFGREDGEWPSTLVVRSINLFPKGLRSRDPLYAWVLGVQEAVFFRHTGDRAHVMAVPNRLGNAVEELCLQLCGKADAVVHGLNSNLNKNVLLYPFCYDTDDLSLYRCFRALNGELAWLEQDTSLHRFLGRCKHWMDLCYDQCLGPVTRLPTFPDEADYLHAAYPSCEQCLLDRAYGACAAWEAADVRSALAWFRRTLEADRGEDCCGFDSYQACLRLPQTPRFPPRFDLCQPHAAAGLVWLALLAGARARAM
+>sp|Q6UWX4|HIPL2_HUMAN HHIP-like protein 2 OS=Homo sapiens OX=9606 GN=HHIPL2 PE=1 SV=1
+MLRTSTPNLCGGLHCRAPWLSSGILCLCLIFLLGQVGLLQGHPQCLDYGPPFQPPLHLEFCSDYESFGCCDQHKDRRIAARYWDIMEYFDLKRHELCGDYIKDILCQECSPYAAHLYDAENTQTPLRNLPGLCSDYCSAFHSNCHSAISLLTNDRGLQESHGRDGTRFCHLLDLPDKDYCFPNVLRNDYLNRHLGMVAQDPQGCLQLCLSEVANGLRNPVSMVHAGDGTHRFFVAEQVGVVWVYLPDGSRLEQPFLDLKNIVLTTPWIGDERGFLGLAFHPKFRHNRKFYIYYSCLDKKKVEKIRISEMKVSRADPNKADLKSERVILEIEEPASNHNGGQLLFGLDGYMYIFTGDGGQAGDPFGLFGNAQNKSSLLGKVLRIDVNRAGSHGKRYRVPSDNPFVSEPGAHPAIYAYGIRNMWRCAVDRGDPITRQGRGRIFCGDVGQNRFEEVDLILKGGNYGWRAKEGFACYDKKLCHNASLDDVLPIYAYGHAVGKSVTGGYVYRGCESPNLNGLYIFGDFMSGRLMALQEDRKNKKWKKQDLCLGSTTSCAFPGLISTHSKFIISFAEDEAGELYFLATSYPSAYAPRGSIYKFVDPSRRAPPGKCKYKPVPVRTKSKRIPFRPLAKTVLDLLKEQSEKAARKSSSATLASGPAQGLSEKGSSKKLASPTSSKNTLRGPGTKKKARVGPHVRQGKRRKSLKSHSGRMRPSAEQKRAGRSLP
+>DECOY_sp|Q6UWX4|HIPL2_HUMAN HHIP-like protein 2 OS=Homo sapiens OX=9606 GN=HHIPL2 PE=1 SV=1
+PLSRGARKQEASPRMRGSHSKLSKRRKGQRVHPGVRAKKKTGPGRLTNKSSTPSALKKSSGKESLGQAPGSALTASSSKRAAKESQEKLLDLVTKALPRFPIRKSKTRVPVPKYKCKGPPARRSPDVFKYISGRPAYASPYSTALFYLEGAEDEAFSIIFKSHTSILGPFACSTTSGLCLDQKKWKKNKRDEQLAMLRGSMFDGFIYLGNLNPSECGRYVYGGTVSKGVAHGYAYIPLVDDLSANHCLKKDYCAFGEKARWGYNGGKLILDVEEFRNQGVDGCFIRGRGQRTIPDGRDVACRWMNRIGYAYIAPHAGPESVFPNDSPVRYRKGHSGARNVDIRLVKGLLSSKNQANGFLGFPDGAQGGDGTFIYMYGDLGFLLQGGNHNSAPEEIELIVRESKLDAKNPDARSVKMESIRIKEVKKKDLCSYYIYFKRNHRFKPHFALGLFGREDGIWPTTLVINKLDLFPQELRSGDPLYVWVVGVQEAVFFRHTGDGAHVMSVPNRLGNAVESLCLQLCGQPDQAVMGLHRNLYDNRLVNPFCYDKDPLDLLHCFRTGDRGHSEQLGRDNTLLSIASHCNSHFASCYDSCLGPLNRLPTQTNEADYLHAAYPSCEQCLIDKIYDGCLEHRKLDFYEMIDWYRAAIRRDKHQDCCGFSEYDSCFELHLPPQFPPGYDLCQPHGQLLGVQGLLFILCLCLIGSSLWPARCHLGGCLNPTSTRLM
+>sp|P15516|HIS3_HUMAN Histatin-3 OS=Homo sapiens OX=9606 GN=HTN3 PE=1 SV=2
+MKFFVFALILALMLSMTGADSHAKRHHGYKRKFHEKHHSHRGYRSNYLYDN
+>DECOY_sp|P15516|HIS3_HUMAN Histatin-3 OS=Homo sapiens OX=9606 GN=HTN3 PE=1 SV=2
+NDYLYNSRYGRHSHHKEHFKRKYGHHRKAHSDAGTMSLMLALILAFVFFKM
+>sp|A0A0C4DH62|HJ01_HUMAN Immunoglobulin heavy joining 1 OS=Homo sapiens OX=9606 GN=IGHJ1 PE=4 SV=1
+AEYFQHWGQGTLVTVSS
+>DECOY_sp|A0A0C4DH62|HJ01_HUMAN Immunoglobulin heavy joining 1 OS=Homo sapiens OX=9606 GN=IGHJ1 PE=4 SV=1
+SSVTVLTGQGWHQFYEA
+>sp|Q8NCD3|HJURP_HUMAN Holliday junction recognition protein OS=Homo sapiens OX=9606 GN=HJURP PE=1 SV=2
+MLGTLRAMEGEDVEDDQLLQKLRASRRRFQRRMQRLIEKYNQPFEDTPVVQMATLTYETPQGLRIWGGRLIKERNEGEIQDSSMKPADRTDGSVQAAAWGPELPSHRTVLGADSKSGEVDATSDQEESVAWALAPAVPQSPLKNELRRKYLTQVDILLQGAEYFECAGNRAGRDVRVTPLPSLASPAVPAPGYCSRISRKSPGDPAKPASSPREWDPLHPSSTDMALVPRNDSLSLQETSSSSFLSSQPFEDDDICNVTISDLYAGMLHSMSRLLSTKPSSIISTKTFIMQNWNSRRRHRYKSRMNKTYCKGARRSQRSSKENFIPCSEPVKGTGALRDCKNVLDVSCRKTGLKLEKAFLEVNRPQIHKLDPSWKERKVTPSKYSSLIYFDSSATYNLDEENRFRTLKWLISPVKIVSRPTIRQGHGENRQREIEIRFDQLHREYCLSPRNQPRRMCLPDSWAMNMYRGGPASPGGLQGLETRRLSLPSSKAKAKSLSEAFENLGKRSLEAGRCLPKSDSSSSLPKTNPTHSATRPQQTSDLHVQGNSSGIFRKSVSPSKTLSVPDKEVPGHGRNRYDEIKEEFDKLHQKYCLKSPGQMTVPLCIGVSTDKASMEVRYQTEGFLGKLNPDPHFQGFQKLPSSPLGCRKSLLGSTAIEAPSSTCVARAITRDGTRDHQFPAKRPRLSEPQGSGRQGNSLGASDGVDNTVRPGDQGSSSQPNSEERGENTSYRMEEKSDFMLEKLETKSV
+>DECOY_sp|Q8NCD3|HJURP_HUMAN Holliday junction recognition protein OS=Homo sapiens OX=9606 GN=HJURP PE=1 SV=2
+VSKTELKELMFDSKEEMRYSTNEGREESNPQSSSGQDGPRVTNDVGDSAGLSNGQRGSGQPESLRPRKAPFQHDRTGDRTIARAVCTSSPAEIATSGLLSKRCGLPSSPLKQFGQFHPDPNLKGLFGETQYRVEMSAKDTSVGICLPVTMQGPSKLCYKQHLKDFEEKIEDYRNRGHGPVEKDPVSLTKSPSVSKRFIGSSNGQVHLDSTQQPRTASHTPNTKPLSSSSDSKPLCRGAELSRKGLNEFAESLSKAKAKSSPLSLRRTELGQLGGPSAPGGRYMNMAWSDPLCMRRPQNRPSLCYERHLQDFRIEIERQRNEGHGQRITPRSVIKVPSILWKLTRFRNEEDLNYTASSDFYILSSYKSPTVKREKWSPDLKHIQPRNVELFAKELKLGTKRCSVDLVNKCDRLAGTGKVPESCPIFNEKSSRQSRRAGKCYTKNMRSKYRHRRRSNWNQMIFTKTSIISSPKTSLLRSMSHLMGAYLDSITVNCIDDDEFPQSSLFSSSSTEQLSLSDNRPVLAMDTSSPHLPDWERPSSAPKAPDGPSKRSIRSCYGPAPVAPSALSPLPTVRVDRGARNGACEFYEAGQLLIDVQTLYKRRLENKLPSQPVAPALAWAVSEEQDSTADVEGSKSDAGLVTRHSPLEPGWAAAQVSGDTRDAPKMSSDQIEGENREKILRGGWIRLGQPTEYTLTAMQVVPTDEFPQNYKEILRQMRRQFRRRSARLKQLLQDDEVDEGEMARLTGLM
+>sp|Q2TB90|HKDC1_HUMAN Putative hexokinase HKDC1 OS=Homo sapiens OX=9606 GN=HKDC1 PE=1 SV=3
+MFAVHLMAFYFSKLKEDQIKKVDRFLYHMRLSDDTLLDIMRRFRAEMEKGLAKDTNPTAAVKMLPTFVRAIPDGSENGEFLSLDLGGSKFRVLKVQVAEEGKRHVQMESQFYPTPNEIIRGNGTELFEYVADCLADFMKTKDLKHKKLPLGLTFSFPCRQTKLEEGVLLSWTKKFKARGVQDTDVVSRLTKAMRRHKDMDVDILALVNDTVGTMMTCAYDDPYCEVGVIIGTGTNACYMEDMSNIDLVEGDEGRMCINTEWGAFGDDGALEDIRTEFDRELDLGSLNPGKQLFEKMISGLYLGELVRLILLKMAKAGLLFGGEKSSALHTKGKIETRHVAAMEKYKEGLANTREILVDLGLEPSEADCIAVQHVCTIVSFRSANLCAAALAAILTRLRENKKVERLRTTVGMDGTLYKIHPQYPKRLHKVVRKLVPSCDVRFLLSESGSTKGAAMVTAVASRVQAQRKQIDRVLALFQLTREQLVDVQAKMRAELEYGLKKKSHGLATVRMLPTYVCGLPDGTEKGKFLALDLGGTNFRVLLVKIRSGRRSVRMYNKIFAIPLEIMQGTGEELFDHIVQCIADFLDYMGLKGASLPLGFTFSFPCRQMSIDKGTLIGWTKGFKATDCEGEDVVDMLREAIKRRNEFDLDIVAVVNDTVGTMMTCGYEDPNCEIGLIAGTGSNMCYMEDMRNIEMVEGGEGKMCINTEWGGFGDNGCIDDIWTRYDTEVDEGSLNPGKQRYEKMTSGMYLGEIVRQILIDLTKQGLLFRGQISERLRTRGIFETKFLSQIESDRLALLQVRRILQQLGLDSTCEDSIVVKEVCGAVSRRAAQLCGAGLAAIVEKRREDQGLEHLRITVGVDGTLYKLHPHFSRILQETVKELAPRCDVTFMLSEDGSGKGAALITAVAKRLQQAQKEN
+>DECOY_sp|Q2TB90|HKDC1_HUMAN Putative hexokinase HKDC1 OS=Homo sapiens OX=9606 GN=HKDC1 PE=1 SV=3
+NEKQAQQLRKAVATILAAGKGSGDESLMFTVDCRPALEKVTEQLIRSFHPHLKYLTGDVGVTIRLHELGQDERRKEVIAALGAGCLQAARRSVAGCVEKVVISDECTSDLGLQQLIRRVQLLALRDSEIQSLFKTEFIGRTRLRESIQGRFLLGQKTLDILIQRVIEGLYMGSTMKEYRQKGPNLSGEDVETDYRTWIDDICGNDGFGGWETNICMKGEGGEVMEINRMDEMYCMNSGTGAILGIECNPDEYGCTMMTGVTDNVVAVIDLDFENRRKIAERLMDVVDEGECDTAKFGKTWGILTGKDISMQRCPFSFTFGLPLSAGKLGMYDLFDAICQVIHDFLEEGTGQMIELPIAFIKNYMRVSRRGSRIKVLLVRFNTGGLDLALFKGKETGDPLGCVYTPLMRVTALGHSKKKLGYELEARMKAQVDVLQERTLQFLALVRDIQKRQAQVRSAVATVMAAGKTSGSESLLFRVDCSPVLKRVVKHLRKPYQPHIKYLTGDMGVTTRLREVKKNERLRTLIAALAAACLNASRFSVITCVHQVAICDAESPELGLDVLIERTNALGEKYKEMAAVHRTEIKGKTHLASSKEGGFLLGAKAMKLLILRVLEGLYLGSIMKEFLQKGPNLSGLDLERDFETRIDELAGDDGFAGWETNICMRGEDGEVLDINSMDEMYCANTGTGIIVGVECYPDDYACTMMTGVTDNVLALIDVDMDKHRRMAKTLRSVVDTDQVGRAKFKKTWSLLVGEELKTQRCPFSFTLGLPLKKHKLDKTKMFDALCDAVYEFLETGNGRIIENPTPYFQSEMQVHRKGEEAVQVKLVRFKSGGLDLSLFEGNESGDPIARVFTPLMKVAATPNTDKALGKEMEARFRRMIDLLTDDSLRMHYLFRDVKKIQDEKLKSFYFAMLHVAFM
+>sp|P01893|HLAH_HUMAN Putative HLA class I histocompatibility antigen, alpha chain H OS=Homo sapiens OX=9606 GN=HLA-H PE=5 SV=3
+MVLMAPRTLLLLLSGALALTQTWARSHSMRYFYTTMSRPGAGEPRFISVGYVDDTQFVRFDSDDASPREEPRAPWMEREGPKYWDRNTQICKAQAQTERENLRIALRYYNQSEGGSHTMQVMYGCDVGPDGPFLRGYEQHAYDGKDYIALNEDLRSWTAADMAAQITKRKWEAARRAEQRRVYLEGEFVEWLRRYLENGKETLQRADPPKTHMTHHPISDHEATLRCWALGFYPAEITLTWQRDGEDQTQDTELVETRPAGDGTFQKWAAVVVPSGEEQRYTCHVQHEGLPEPLTLRWEPSSQPTVPIVGIVAGLVLLVAVVTGAVVAAVMWRKKSSDRKGGSYSQAASSNSAQGSDVSLTA
+>DECOY_sp|P01893|HLAH_HUMAN Putative HLA class I histocompatibility antigen, alpha chain H OS=Homo sapiens OX=9606 GN=HLA-H PE=5 SV=3
+ATLSVDSGQASNSSAAQSYSGGKRDSSKKRWMVAAVVAGTVVAVLLVLGAVIGVIPVTPQSSPEWRLTLPEPLGEHQVHCTYRQEEGSPVVVAAWKQFTGDGAPRTEVLETDQTQDEGDRQWTLTIEAPYFGLAWCRLTAEHDSIPHHTMHTKPPDARQLTEKGNELYRRLWEVFEGELYVRRQEARRAAEWKRKTIQAAMDAATWSRLDENLAIYDKGDYAHQEYGRLFPGDPGVDCGYMVQMTHSGGESQNYYRLAIRLNERETQAQAKCIQTNRDWYKPGEREMWPARPEERPSADDSDFRVFQTDDVYGVSIFRPEGAGPRSMTTYFYRMSHSRAWTQTLALAGSLLLLLTRPAMLVM
+>sp|Q6NT76|HMBX1_HUMAN Homeobox-containing protein 1 OS=Homo sapiens OX=9606 GN=HMBOX1 PE=1 SV=1
+MLSSFPVVLLETMSHYTDEPRFTIEQIDLLQRLRRTGMTKHEILHALETLDRLDQEHSDKFGRRSSYGGSSYGNSTNNVPASSSTATASTQTQHSGMSPSPSNSYDTSPQPCTTNQNGRENNERLSTSNGKMSPTRYHANSMGQRSYSFEASEEDLDVDDKVEELMRRDSSVIKEEIKAFLANRRISQAVVAQVTGISQSRISHWLLQQGSDLSEQKKRAFYRWYQLEKTNPGATLSMRPAPIPIEDPEWRQTPPPVSATSGTFRLRRGSRFTWRKECLAVMESYFNENQYPDEAKREEIANACNAVIQKPGKKLSDLERVTSLKVYNWFANRRKEIKRRANIEAAILESHGIDVQSPGGHSNSDDVDGNDYSEQDDSTSHSDHQDPISLAVEMAAVNHTILALARQGANEIKTEALDDD
+>DECOY_sp|Q6NT76|HMBX1_HUMAN Homeobox-containing protein 1 OS=Homo sapiens OX=9606 GN=HMBOX1 PE=1 SV=1
+DDDLAETKIENAGQRALALITHNVAAMEVALSIPDQHDSHSTSDDQESYDNGDVDDSNSHGGPSQVDIGHSELIAAEINARRKIEKRRNAFWNYVKLSTVRELDSLKKGPKQIVANCANAIEERKAEDPYQNENFYSEMVALCEKRWTFRSGRRLRFTGSTASVPPPTQRWEPDEIPIPAPRMSLTAGPNTKELQYWRYFARKKQESLDSGQQLLWHSIRSQSIGTVQAVVAQSIRRNALFAKIEEKIVSSDRRMLEEVKDDVDLDEESAEFSYSRQGMSNAHYRTPSMKGNSTSLRENNERGNQNTTCPQPSTDYSNSPSPSMGSHQTQTSATATSSSAPVNNTSNGYSSGGYSSRRGFKDSHEQDLRDLTELAHLIEHKTMGTRRLRQLLDIQEITFRPEDTYHSMTELLVVPFSSLM
+>sp|Q96RW7|HMCN1_HUMAN Hemicentin-1 OS=Homo sapiens OX=9606 GN=HMCN1 PE=1 SV=2
+MISWEVVHTVFLFALLYSSLAQDASPQSEIRAEEIPEGASTLAFVFDVTGSMYDDLVQVIEGASKILETSLKRPKRPLFNFALVPFHDPEIGPVTITTDPKKFQYELRELYVQGGGDCPEMSIGAIKIALEISLPGSFIYVFTDARSKDYRLTHEVLQLIQQKQSQVVFVLTGDCDDRTHIGYKVYEEIASTSSGQVFHLDKKQVNEVLKWVEEAVQASKVHLLSTDHLEQAVNTWRIPFDPSLKEVTVSLSGPSPMIEIRNPLGKLIKKGFGLHELLNIHNSAKVVNVKEPEAGMWTVKTSSSGRHSVRITGLSTIDFRAGFSRKPTLDFKKTVSRPVQGIPTYVLLNTSGISTPARIDLLELLSISGSSLKTIPVKYYPHRKPYGIWNISDFVPPNEAFFLKVTGYDKDDYLFQRVSSVSFSSIVPDAPKVTMPEKTPGYYLQPGQIPCSVDSLLPFTLSFVRNGVTLGVDQYLKESASVNLDIAKVTLSDEGFYECIAVSSAGTGRAQTFFDVSEPPPVIQVPNNVTVTPGERAVLTCLIISAVDYNLTWQRNDRDVRLAEPARIRTLANLSLELKSVKFNDAGEYHCMVSSEGGSSAASVFLTVQEPPKVTVMPKNQSFTGGSEVSIMCSATGYPKPKIAWTVNDMFIVGSHRYRMTSDGTLFIKNAAPKDAGIYGCLASNSAGTDKQNSTLRYIEAPKLMVVQSELLVALGDITVMECKTSGIPPPQVKWFKGDLELRPSTFLIIDPLLGLLKIQETQDLDAGDYTCVAINEAGRATGKITLDVGSPPVFIQEPADVSMEIGSNVTLPCYVQGYPEPTIKWRRLDNMPIFSRPFSVSSISQLRTGALFILNLWASDKGTYICEAENQFGKIQSETTVTVTGLVAPLIGISPSVANVIEGQQLTLPCTLLAGNPIPERRWIKNSAMLLQNPYITVRSDGSLHIERVQLQDGGEYTCVASNVAGTNNKTTSVVVHVLPTIQHGQQILSTIEGIPVTLPCKASGNPKPSVIWSKKGELISTSSAKFSAGADGSLYVVSPGGEESGEYVCTATNTAGYAKRKVQLTVYVRPRVFGDQRGLSQDKPVEISVLAGEEVTLPCEVKSLPPPIITWAKETQLISPFSPRHTFLPSGSMKITETRTSDSGMYLCVATNIAGNVTQAVKLNVHVPPKIQRGPKHLKVQVGQRVDIPCNAQGTPLPVITWSKGGSTMLVDGEHHVSNPDGTLSIDQATPSDAGIYTCVATNIAGTDETEITLHVQEPPTVEDLEPPYNTTFQERVANQRIEFPCPAKGTPKPTIKWLHNGRELTGREPGISILEDGTLLVIASVTPYDNGEYICVAVNEAGTTERKYNLKVHVPPVIKDKEQVTNVSVLLNQLTNLFCEVEGTPSPIIMWYKDNVQVTESSTIQTVNNGKILKLFRATPEDAGRYSCKAINIAGTSQKYFNIDVLVPPTIIGTNFPNEVSVVLNRDVALECQVKGTPFPDIHWFKDGKPLFLGDPNVELLDRGQVLHLKNARRNDKGRYQCTVSNAAGKQAKDIKLTIYIPPSIKGGNVTTDISVLINSLIKLECETRGLPMPAITWYKDGQPIMSSSQALYIDKGQYLHIPRAQVSDSATYTCHVANVAGTAEKSFHVDVYVPPMIEGNLATPLNKQVVIAHSLTLECKAAGNPSPILTWLKDGVPVKANDNIRIEAGGKKLEIMSAQEIDRGQYICVATSVAGEKEIKYEVDVLVPPAIEGGDETSYFIVMVNNLLELDCHVTGSPPPTIMWLKDGQLIDERDGFKILLNGRKLVIAQAQVSNTGLYRCMAANTAGDHKKEFEVTVHVPPTIKSSGLSERVVVKYKPVALQCIANGIPNPSITWLKDDQPVNTAQGNLKIQSSGRVLQIAKTLLEDAGRYTCVATNAAGETQQHIQLHVHEPPSLEDAGKMLNETVLVSNPVQLECKAAGNPVPVITWYKDNRLLSGSTSMTFLNRGQIIDIESAQISDAGIYKCVAINSAGATELFYSLQVHVAPSISGSNNMVAVVVNNPVRLECEARGIPAPSLTWLKDGSPVSSFSNGLQVLSGGRILALTSAQISDTGRYTCVAVNAAGEKQRDIDLRVYVPPNIMGEEQNVSVLISQAVELLCQSDAIPPPTLTWLKDGHPLLKKPGLSISENRSVLKIEDAQVQDTGRYTCEATNVAGKTEKNYNVNIWVPPNIGGSDELTQLTVIEGNLISLLCESSGIPPPNLIWKKKGSPVLTDSMGRVRILSGGRQLQISIAEKSDAALYSCVASNVAGTAKKEYNLQVYIRPTITNSGSHPTEIIVTRGKSISLECEVQGIPPPTVTWMKDGHPLIKAKGVEILDEGHILQLKNIHVSDTGRYVCVAVNVAGMTDKKYDLSVHAPPSIIGNHRSPENISVVEKNSVSLTCEASGIPLPSITWFKDGWPVSLSNSVRILSGGRMLRLMQTTMEDAGQYTCVVRNAAGEERKIFGLSVLVPPHIVGENTLEDVKVKEKQSVTLTCEVTGNPVPEITWHKDGQPLQEDEAHHIISGGRFLQITNVQVPHTGRYTCLASSPAGHKSRSFSLNVFVSPTIAGVGSDGNPEDVTVILNSPTSLVCEAYSYPPATITWFKDGTPLESNRNIRILPGGRTLQILNAQEDNAGRYSCVATNEAGEMIKHYEVKVYIPPIINKGDLWGPGLSPKEVKIKVNNTLTLECEAYAIPSASLSWYKDGQPLKSDDHVNIAANGHTLQIKEAQISDTGRYTCVASNIAGEDELDFDVNIQVPPSFQKLWEIGNMLDTGRNGEAKDVIINNPISLYCETNAAPPPTLTWYKDGHPLTSSDKVLILPGGRVLQIPRAKVEDAGRYTCVAVNEAGEDSLQYDVRVLVPPIIKGANSDLPEEVTVLVNKSALIECLSSGSPAPRNSWQKDGQPLLEDDHHKFLSNGRILQILNTQITDIGRYVCVAENTAGSAKKYFNLNVHVPPSVIGPKSENLTVVVNNFISLTCEVSGFPPPDLSWLKNEQPIKLNTNTLIVPGGRTLQIIRAKVSDGGEYTCIAINQAGESKKKFSLTVYVPPSIKDHDSESLSVVNVREGTSVSLECESNAVPPPVITWYKNGRMITESTHVEILADGQMLHIKKAEVSDTGQYVCRAINVAGRDDKNFHLNVYVPPSIEGPEREVIVETISNPVTLTCDATGIPPPTIAWLKNHKRIENSDSLEVRILSGGSKLQIARSQHSDSGNYTCIASNMEGKAQKYYFLSIQVPPSVAGAEIPSDVSVLLGENVELVCNANGIPTPLIQWLKDGKPIASGETERIRVSANGSTLNIYGALTSDTGKYTCVATNPAGEEDRIFNLNVYVTPTIRGNKDEAEKLMTLVDTSINIECRATGTPPPQINWLKNGLPLPLSSHIRLLAAGQVIRIVRAQVSDVAVYTCVASNRAGVDNKHYNLQVFAPPNMDNSMGTEEITVLKGSSTSMACITDGTPAPSMAWLRDGQPLGLDAHLTVSTHGMVLQLLKAETEDSGKYTCIASNEAGEVSKHFILKVLEPPHINGSEEHEEISVIVNNPLELTCIASGIPAPKMTWMKDGRPLPQTDQVQTLGGGEVLRISTAQVEDTGRYTCLASSPAGDDDKEYLVRVHVPPNIAGTDEPRDITVLRNRQVTLECKSDAVPPPVITWLRNGERLQATPRVRILSGGRYLQINNADLGDTANYTCVASNIAGKTTREFILTVNVPPNIKGGPQSLVILLNKSTVLECIAEGVPTPRITWRKDGAVLAGNHARYSILENGFLHIQSAHVTDTGRYLCMATNAAGTDRRRIDLQVHVPPSIAPGPTNMTVIVNVQTTLACEATGIPKPSINWRKNGHLLNVDQNQNSYRLLSSGSLVIISPSVDDTATYECTVTNGAGDDKRTVDLTVQVPPSIADEPTDFLVTKHAPAVITCTASGVPFPSIHWTKNGIRLLPRGDGYRILSSGAIEILATQLNHAGRYTCVARNAAGSAHRHVTLHVHEPPVIQPQPSELHVILNNPILLPCEATGTPSPFITWQKEGINVNTSGRNHAVLPSGGLQISRAVREDAGTYMCVAQNPAGTALGKIKLNVQVPPVISPHLKEYVIAVDKPITLSCEADGLPPPDITWHKDGRAIVESIRQRVLSSGSLQIAFVQPGDAGHYTCMAANVAGSSSTSTKLTVHVPPRIRSTEGHYTVNENSQAILPCVADGIPTPAINWKKDNVLLANLLGKYTAEPYGELILENVVLEDSGFYTCVANNAAGEDTHTVSLTVHVLPTFTELPGDVSLNKGEQLRLSCKATGIPLPKLTWTFNNNIIPAHFDSVNGHSELVIERVSKEDSGTYVCTAENSVGFVKAIGFVYVKEPPVFKGDYPSNWIEPLGGNAILNCEVKGDPTPTIQWNRKGVDIEISHRIRQLGNGSLAIYGTVNEDAGDYTCVATNEAGVVERSMSLTLQSPPIITLEPVETVINAGGKIILNCQATGEPQPTITWSRQGHSISWDDRVNVLSNNSLYIADAQKEDTSEFECVARNLMGSVLVRVPVIVQVHGGFSQWSAWRACSVTCGKGIQKRSRLCNQPLPANGGKPCQGSDLEMRNCQNKPCPVDGSWSEWSLWEECTRSCGRGNQTRTRTCNNPSVQHGGRPCEGNAVEIIMCNIRPCPVHGAWSAWQPWGTCSESCGKGTQTRARLCNNPPPAFGGSYCDGAETQMQVCNERNCPIHGKWATWASWSACSVSCGGGARQRTRGCSDPVPQYGGRKCEGSDVQSDFCNSDPCPTHGNWSPWSGWGTCSRTCNGGQMRRYRTCDNPPPSNGGRACGGPDSQIQRCNTDMCPVDGSWGSWHSWSQCSASCGGGEKTRKRLCDHPVPVKGGRPCPGDTTQVTRCNVQACPGGPQRARGSVIGNINDVEFGIAFLNATITDSPNSDTRIIRAKITNVPRSLGSAMRKIVSILNPIYWTTAKEIGEAVNGFTLTNAVFKRETQVEFATGEILQMSHIARGLDSDGSLLLDIVVSGYVLQLQSPAEVTVKDYTEDYIQTGPGQLYAYSTRLFTIDGISIPYTWNHTVFYDQAQGRMPFLVETLHASSVESDYNQIEETLGFKIHASISKGDRSNQCPSGFTLDSVGPFCADEDECAAGNPCSHSCHNAMGTYYCSCPKGLTIAADGRTCQDIDECALGRHTCHAGQDCDNTIGSYRCVVRCGSGFRRTSDGLSCQDINECQESSPCHQRCFNAIGSFHCGCEPGYQLKGRKCMDVNECRQNVCRPDQHCKNTRGGYKCIDLCPNGMTKAENGTCIDIDECKDGTHQCRYNQICENTRGSYRCVCPRGYRSQGVGRPCMDINECEQVPKPCAHQCSNTPGSFKCICPPGQHLLGDGKSCAGLERLPNYGTQYSSYNLARFSPVRNNYQPQQHYRQYSHLYSSYSEYRNSRTSLSRTRRTIRKTCPEGSEASHDTCVDIDECENTDACQHECKNTFGSYQCICPPGYQLTHNGKTCQDIDECLEQNVHCGPNRMCFNMRGSYQCIDTPCPPNYQRDPVSGFCLKNCPPNDLECALSPYALEYKLVSLPFGIATNQDLIRLVAYTQDGVMHPRTTFLMVDEEQTVPFALRDENLKGVVYTTRPLREAETYRMRVRASSYSANGTIEYQTTFIVYIAVSAYPY
+>DECOY_sp|Q96RW7|HMCN1_HUMAN Hemicentin-1 OS=Homo sapiens OX=9606 GN=HMCN1 PE=1 SV=2
+YPYASVAIYVIFTTQYEITGNASYSSARVRMRYTEAERLPRTTYVVGKLNEDRLAFPVTQEEDVMLFTTRPHMVGDQTYAVLRILDQNTAIGFPLSVLKYELAYPSLACELDNPPCNKLCFGSVPDRQYNPPCPTDICQYSGRMNFCMRNPGCHVNQELCEDIDQCTKGNHTLQYGPPCICQYSGFTNKCEHQCADTNECEDIDVCTDHSAESGEPCTKRITRRTRSLSTRSNRYESYSSYLHSYQRYHQQPQYNNRVPSFRALNYSSYQTGYNPLRELGACSKGDGLLHQGPPCICKFSGPTNSCQHACPKPVQECENIDMCPRGVGQSRYGRPCVCRYSGRTNECIQNYRCQHTGDKCEDIDICTGNEAKTMGNPCLDICKYGGRTNKCHQDPRCVNQRCENVDMCKRGKLQYGPECGCHFSGIANFCRQHCPSSEQCENIDQCSLGDSTRRFGSGCRVVCRYSGITNDCDQGAHCTHRGLACEDIDQCTRGDAAITLGKPCSCYYTGMANHCSHSCPNGAACEDEDACFPGVSDLTFGSPCQNSRDGKSISAHIKFGLTEEIQNYDSEVSSAHLTEVLFPMRGQAQDYFVTHNWTYPISIGDITFLRTSYAYLQGPGTQIYDETYDKVTVEAPSQLQLVYGSVVIDLLLSGDSDLGRAIHSMQLIEGTAFEVQTERKFVANTLTFGNVAEGIEKATTWYIPNLISVIKRMASGLSRPVNTIKARIIRTDSNPSDTITANLFAIGFEVDNINGIVSGRARQPGGPCAQVNCRTVQTTDGPCPRGGKVPVPHDCLRKRTKEGGGCSASCQSWSHWSGWSGDVPCMDTNCRQIQSDPGGCARGGNSPPPNDCTRYRRMQGGNCTRSCTGWGSWPSWNGHTPCPDSNCFDSQVDSGECKRGGYQPVPDSCGRTRQRAGGGCSVSCASWSAWTAWKGHIPCNRENCVQMQTEAGDCYSGGFAPPPNNCLRARTQTGKGCSESCTGWPQWASWAGHVPCPRINCMIIEVANGECPRGGHQVSPNNCTRTRTQNGRGCSRTCEEWLSWESWSGDVPCPKNQCNRMELDSGQCPKGGNAPLPQNCLRSRKQIGKGCTVSCARWASWQSFGGHVQVIVPVRVLVSGMLNRAVCEFESTDEKQADAIYLSNNSLVNVRDDWSISHGQRSWTITPQPEGTAQCNLIIKGGANIVTEVPELTIIPPSQLTLSMSREVVGAENTAVCTYDGADENVTGYIALSGNGLQRIRHSIEIDVGKRNWQITPTPDGKVECNLIANGGLPEIWNSPYDGKFVPPEKVYVFGIAKVFGVSNEATCVYTGSDEKSVREIVLESHGNVSDFHAPIINNNFTWTLKPLPIGTAKCSLRLQEGKNLSVDGPLETFTPLVHVTLSVTHTDEGAANNAVCTYFGSDELVVNELILEGYPEATYKGLLNALLVNDKKWNIAPTPIGDAVCPLIAQSNENVTYHGETSRIRPPVHVTLKTSTSSSGAVNAAMCTYHGADGPQVFAIQLSGSSLVRQRISEVIARGDKHWTIDPPPLGDAECSLTIPKDVAIVYEKLHPSIVPPVQVNLKIKGLATGAPNQAVCMYTGADERVARSIQLGGSPLVAHNRGSTNVNIGEKQWTIFPSPTGTAECPLLIPNNLIVHLESPQPQIVPPEHVHLTVHRHASGAANRAVCTYRGAHNLQTALIEIAGSSLIRYGDGRPLLRIGNKTWHISPFPVGSATCTIVAPAHKTVLFDTPEDAISPPVQVTLDVTRKDDGAGNTVTCEYTATDDVSPSIIVLSGSSLLRYSNQNQDVNLLHGNKRWNISPKPIGTAECALTTQVNVIVTMNTPGPAISPPVHVQLDIRRRDTGAANTAMCLYRGTDTVHASQIHLFGNELISYRAHNGALVAGDKRWTIRPTPVGEAICELVTSKNLLIVLSQPGGKINPPVNVTLIFERTTKGAINSAVCTYNATDGLDANNIQLYRGGSLIRVRPTAQLREGNRLWTIVPPPVADSKCELTVQRNRLVTIDRPEDTGAINPPVHVRVLYEKDDDGAPSSALCTYRGTDEVQATSIRLVEGGGLTQVQDTQPLPRGDKMWTMKPAPIGSAICTLELPNNVIVSIEEHEESGNIHPPELVKLIFHKSVEGAENSAICTYKGSDETEAKLLQLVMGHTSVTLHADLGLPQGDRLWAMSPAPTGDTICAMSTSSGKLVTIEETGMSNDMNPPAFVQLNYHKNDVGARNSAVCTYVAVDSVQARVIRIVQGAALLRIHSSLPLPLGNKLWNIQPPPTGTARCEINISTDVLTMLKEAEDKNGRITPTVYVNLNFIRDEEGAPNTAVCTYKGTDSTLAGYINLTSGNASVRIRETEGSAIPKGDKLWQILPTPIGNANCVLEVNEGLLVSVDSPIEAGAVSPPVQISLFYYKQAKGEMNSAICTYNGSDSHQSRAIQLKSGGSLIRVELSDSNEIRKHNKLWAITPPPIGTADCTLTVPNSITEVIVEREPGEISPPVYVNLHFNKDDRGAVNIARCVYQGTDSVEAKKIHLMQGDALIEVHTSETIMRGNKYWTIVPPPVANSECELSVSTGERVNVVSLSESDHDKISPPVYVTLSFKKKSEGAQNIAICTYEGGDSVKARIIQLTRGGPVILTNTNLKIPQENKLWSLDPPPFGSVECTLSIFNNVVVTLNESKPGIVSPPVHVNLNFYKKASGATNEAVCVYRGIDTIQTNLIQLIRGNSLFKHHDDELLPQGDKQWSNRPAPSGSSLCEILASKNVLVTVEEPLDSNAGKIIPPVLVRVDYQLSDEGAENVAVCTYRGADEVKARPIQLVRGGPLILVKDSSTLPHGDKYWTLTPPPAANTECYLSIPNNIIVDKAEGNRGTDLMNGIEWLKQFSPPVQINVDFDLEDEGAINSAVCTYRGTDSIQAEKIQLTHGNAAINVHDDSKLPQGDKYWSLSASPIAYAECELTLTNNVKIKVEKPSLGPGWLDGKNIIPPIYVKVEYHKIMEGAENTAVCSYRGANDEQANLIQLTRGGPLIRINRNSELPTGDKFWTITAPPYSYAECVLSTPSNLIVTVDEPNGDSGVGAITPSVFVNLSFSRSKHGAPSSALCTYRGTHPVQVNTIQLFRGGSIIHHAEDEQLPQGDKHWTIEPVPNGTVECTLTVSQKEKVKVDELTNEGVIHPPVLVSLGFIKREEGAANRVVCTYQGADEMTTQMLRLMRGGSLIRVSNSLSVPWGDKFWTISPLPIGSAECTLSVSNKEVVSINEPSRHNGIISPPAHVSLDYKKDTMGAVNVAVCVYRGTDSVHINKLQLIHGEDLIEVGKAKILPHGDKMWTVTPPPIGQVECELSISKGRTVIIETPHSGSNTITPRIYVQLNYEKKATGAVNSAVCSYLAADSKEAISIQLQRGGSLIRVRGMSDTLVPSGKKKWILNPPPIGSSECLLSILNGEIVTLQTLEDSGGINPPVWINVNYNKETKGAVNTAECTYRGTDQVQADEIKLVSRNESISLGPKKLLPHGDKLWTLTPPPIADSQCLLEVAQSILVSVNQEEGMINPPVYVRLDIDRQKEGAANVAVCTYRGTDSIQASTLALIRGGSLVQLGNSFSSVPSGDKLWTLSPAPIGRAECELRVPNNVVVAVMNNSGSISPAVHVQLSYFLETAGASNIAVCKYIGADSIQASEIDIIQGRNLFTMSTSGSLLRNDKYWTIVPVPNGAAKCELQVPNSVLVTENLMKGADELSPPEHVHLQIHQQTEGAANTAVCTYRGADELLTKAIQLVRGSSQIKLNGQATNVPQDDKLWTISPNPIGNAICQLAVPKYKVVVRESLGSSKITPPVHVTVEFEKKHDGATNAAMCRYLGTNSVQAQAIVLKRGNLLIKFGDREDILQGDKLWMITPPPSGTVHCDLELLNNVMVIFYSTEDGGEIAPPVLVDVEYKIEKEGAVSTAVCIYQGRDIEQASMIELKKGGAEIRINDNAKVPVGDKLWTLIPSPNGAAKCELTLSHAIVVQKNLPTALNGEIMPPVYVDVHFSKEATGAVNAVHCTYTASDSVQARPIHLYQGKDIYLAQSSSMIPQGDKYWTIAPMPLGRTECELKILSNILVSIDTTVNGGKISPPIYITLKIDKAQKGAANSVTCQYRGKDNRRANKLHLVQGRDLLEVNPDGLFLPKGDKFWHIDPFPTGKVQCELAVDRNLVVSVENPFNTGIITPPVLVDINFYKQSTGAINIAKCSYRGADEPTARFLKLIKGNNVTQITSSETVQVNDKYWMIIPSPTGEVECFLNTLQNLLVSVNTVQEKDKIVPPVHVKLNYKRETTGAENVAVCIYEGNDYPTVSAIVLLTGDELISIGPERGTLERGNHLWKITPKPTGKAPCPFEIRQNAVREQFTTNYPPELDEVTPPEQVHLTIETEDTGAINTAVCTYIGADSPTAQDISLTGDPNSVHHEGDVLMTSGGKSWTIVPLPTGQANCPIDVRQGVQVKLHKPGRQIKPPVHVNLKVAQTVNGAINTAVCLYMGSDSTRTETIKMSGSPLFTHRPSFPSILQTEKAWTIIPPPLSKVECPLTVEEGALVSIEVPKDQSLGRQDGFVRPRVYVTLQVKRKAYGATNTATCVYEGSEEGGPSVVYLSGDAGASFKASSTSILEGKKSWIVSPKPNGSAKCPLTVPIGEITSLIQQGHQITPLVHVVVSTTKNNTGAVNSAVCTYEGGDQLQVREIHLSGDSRVTIYPNQLLMASNKIWRREPIPNGALLTCPLTLQQGEIVNAVSPSIGILPAVLGTVTVTTESQIKGFQNEAECIYTGKDSAWLNLIFLAGTRLQSISSVSFPRSFIPMNDLRRWKITPEPYGQVYCPLTVNSGIEMSVDAPEQIFVPPSGVDLTIKGTARGAENIAVCTYDGADLDQTEQIKLLGLLPDIILFTSPRLELDGKFWKVQPPPIGSTKCEMVTIDGLAVLLESQVVMLKPAEIYRLTSNQKDTGASNSALCGYIGADKPAANKIFLTGDSTMRYRHSGVIFMDNVTWAIKPKPYGTASCMISVESGGTFSQNKPMVTVKPPEQVTLFVSAASSGGESSVMCHYEGADNFKVSKLELSLNALTRIRAPEALRVDRDNRQWTLNYDVASIILCTLVAREGPTVTVNNPVQIVPPPESVDFFTQARGTGASSVAICEYFGEDSLTVKAIDLNVSASEKLYQDVGLTVGNRVFSLTFPLLSDVSCPIQGPQLYYGPTKEPMTVKPADPVISSFSVSSVRQFLYDDKDYGTVKLFFAENPPVFDSINWIGYPKRHPYYKVPITKLSSGSISLLELLDIRAPTSIGSTNLLVYTPIGQVPRSVTKKFDLTPKRSFGARFDITSLGTIRVSHRGSSSTKVTWMGAEPEKVNVVKASNHINLLEHLGFGKKILKGLPNRIEIMPSPGSLSVTVEKLSPDFPIRWTNVAQELHDTSLLHVKSAQVAEEVWKLVENVQKKDLHFVQGSSTSAIEEYVKYGIHTRDDCDGTLVFVVQSQKQQILQLVEHTLRYDKSRADTFVYIFSGPLSIELAIKIAGISMEPCDGGGQVYLERLEYQFKKPDTTITVPGIEPDHFPVLAFNFLPRKPRKLSTELIKSAGEIVQVLDDYMSGTVDFVFALTSAGEPIEEARIESQPSADQALSSYLLAFLFVTHVVEWSIM
+>sp|Q8NDA2|HMCN2_HUMAN Hemicentin-2 OS=Homo sapiens OX=9606 GN=HMCN2 PE=2 SV=3
+MPGAPLLRLLTAVSAAVAVAVAGAPGTVMPPTTGDATLAFVFDVTGSMWDELMQVIDGASRILERSLSRRSQAIANYALVPFHDPDIGPVTLTADPTVFQRELRELYVQGGGDCPEMSVGAIKAAVEVANPGSFIYVFSDARAKDYHKKEELLRLLQLKQSQVVFVLTGDCGDRTHPGYLAYEEIAATSSGQVFHLDKQQVTEVLKWVESAIQASKVHLLSTDHEEEGEHTWRLPFDPSLKEVTISLSGPGPEIEVQDPLGRILQEDEGLNVLLNIPDSAKVVAFKPEHPGLWSIKVYSSGRHSVRITGVSNIDFRAGFSTQPLLDLNHTLEWPLQGVPISLVINSTGLKAPGRLDSVELAQSSGKPLLTLPTKPLSNGSTHQLWGGPPFHTPKERFYLKVKGKDHEGNPLLRVSGVSYSGVAPGAPLVSMAPRIHGYLHQPLLVSCSVHSALPFRLQLRRGEARLGEERHFQESGNSSWEILRASKAEEGTYECTAVSRAGTGRAKAQIVVTDPPPQLVPAPNVTVSPGETAVLSCRVLGEAPYNLTWVRDWRVLPASTGRVAQLADLSLEISGIIPTDGGRYQCVASNANGVTRASVWLLVREAPQVSIHTSSQHFSQGVEVKVSCSASGYPTPHISWSRESQALQEDSRIHVDAQGTLIIQGVAPEDAGNYSCQATNEVGTDQETVTLYYTDPPSVSAVNAVVLVAVGEEAVLVCEASGVPPPRVIWYRGGLEMILAPEGSSSGKLRIPAAQERDAGTYTCRAVNELGDASAEIQLAVGHAPQLTELPRDVTVELGRSALLACRATGRPPPTVTWRRGDGQPLGLRLGAGRGSRSRQPDSGVLFFESVAPEDQAPYVCEARNVFGKVQAEARLIVTGHAPPQIASSAPTVRVLEGQPVSLPCIVLAGRPLPERHWLKDGRPLPPGSRHSIRADGSLHLDRALQEHAGRYSCVATNTAGSQHRDVELVVQVPPRIHPTATHHITNEGVAASLPCVASGVPAPTITWTKETNALTSRGPHYNVSKEGTLLIAQPSAQDAGAYVCTATNTVGFSSQEMRLSVNTKPRIHMNGSRNADVPLQVTAKAGEEVTLDCEAKGSPPPLVTWTKDSRPVPPITNRYGLLPSGSLRLAQVQVGDSGHYECTASNPAGSASHRYVLGVQVPPQVQPGPRVLKVLVGEALDLNCVAEGNPEPQLSWSKDGVVLQGRGPQGSVHFAAIRTSDAGRYRCEASNSAGVDAWEVELRVLEPPHWGADETSGLLERVAGENASLPCPARGTPKPQVTWRKGPSSEPLHGQPGVAVLEEGSLFLASVSPADSGDYECQATNEVGSTSRRAKLVVYVPPSIREDGRKANVSGMAGQSLTLECDANGFPVPEIVWLKDAQLIPKVGGHRLLDEGQSLHFPRIQEGDSGLYSCRAENQAGTAQRDFHLLVLTPPSVLGAGAAQEVLGLAGADVELQCWTSGVPTPQVEWTKDRQPVLPGGPHLQVQEDGQVLRITGSHVGDEGRYQCVAFSPAGQQARDFQLRVHAPPTIWGSNETGEVAVMEDHLVQLLCEARGVPTPNITWFKDGALLPTSTKVVYTRGGRQLQLGRAQSSDAGVYTCKASNAVGAAEKATRLDVYVPPTIEGAGGRPYVVKAVAGRPVALECVARGHPSPTLSWHHEGLPVAESNESRLETDGSVLRLESPGEASSGLYSCVASSPAGEAVLQYSVEVQVPPQLLVAEGLGQVTTIVGQPLELPCQASGSPVPTIQWLQNGRPAEELAGVQVASQGTTLHIDHVELDHSGLFACQATNEAGTAGAEVEVSVHEFPSVSIIGGENITAPFLQPVTLQCIGDGVPTPSLRWWKDGVALAAFGGNLQIEKVDLRDEGIYTCAATNLAGESKREVALKVLVPPNIEPGPVNKAVLENASVTLECLASGVPPPDVSWFKGHQPVSSWMGVTVSVDGRVLRIEQAQLSDAGSYRCVASNVAGSTELRYGLRVNVPPRITLPPSLPGPVLVNTPVRLTCNATGAPSPTLMWLKDGNPVSPAGTPGLQVFPGGRVLTLASARASDSGRYSCVAVSAVGEDRQDVVLQVHMPPSILGEELNVSVVANESVALECQSHAMPPPVLSWWKDGRPLEPRPGVHLSADKALLQVDRADVWDAGHYTCEALNQAGHSEKHYNLNVWVAPVFPLRESHTLTVREGHPTRLSCECRGVPFPKISWRKDGQPLPGEGAGLQHVSAVGRLLYLGQAQLAQEGTYTCECSNVVGNSSQDLQLEVHVPPQIAGPREPPTQVSVVQDGVATLECNATGKPPPTVTWERDGQPVGAELGLQLQNQGQSLHVERAQAAHTGRYSCVAENLAGRAERKFELSVLVPPELIGDLDPLTNITAALHSPLTLLCEAMGIPPPAIRWFRGEEPVSPGEDTYLLAGGWMLKMTQTQEQDSGLYSCLASNEAGEARRNFSVEVLVPPSIENEDLEEVIKVLDGQTAHLMCNVTGHPQPKLTWFKDGRPLARGDAHHISPDGVLLQVLQANLSSAGHYSCIAANAVGEKTKHFQLSVLLAPTILGGAEDSADEEVTVTVNNPISLICEALAFPSPNITWMKDGAPFEASRNIQLLPGTHGLQILNAQKEDAGQYTCVVTNELGEAVKNYHVEVLIPPSISKDDPLAEVGVKEVKTKVNSTLTLECESWAVPPPTIRWYKDGQPVTPSSRLQVLGEGRLLQIQPTQVSDSGRYLCVATNVAGEDDQDFNVLIQVPPMFQKVGDFSAAFEILSREEEARGGVTEYREIVENNPAYLYCDTNAIPPPDLTWYREDQPLSAGDEVSVLQGGRVLQIPLVRAENAGRYSCKASNEVGEDWLHYELLVLTPPVILGDTEELVEEVTVNASSTVSLQCPALGNPVPTISWLQNGLPFSPSPRLQVLEDGQVLQVSTAEVADAASYMCVAENQAGSAEKLFTLRVQVPPRIAGLDLEQVTAILNSSVSLPCDVHAHPNPEVTWYKDSQALSLGEEVFLLPGTHTLQLGRARLSDSGMYTCEALNAAGRDQKLVQLSVLVPPAFRQAPRGPQDAVLVRVGDKAVLSCETDALPEPTVTWYKDGQPLVLAQRTQALRGGQRLEIQEAQVSDKGLYSCKVSNVAGEAVRTFTLTVQVPPTFENPKTETVSQVAGSPLVLTCDVSGVPAPTVTWLKDRMPVESSAVHGVVSRGGRLQLSRLQPAQAGTYTCVAENTQAEARKDFVVAVLVAPRIRSSGVAREHHVLEGQEVRLDCEADGQPPPDVAWLKDGSPLGQDMGPHLRFYLDGGSLVLKGLRASDAGAYTCVAHNPAGEDARLHTVNVLVPPTIKQGADGSGTLVSRPGELVTMVCPVRGSPPIHVSWLKDGLPLPLSQRTLLHGSGHTLRISKVQLADAGIFTCVAASPAGVADRNFTLQVQVPPVLEPVEFQNDVVVVRGSLVELPCEARGVPLPLVSWMKDGEPLLSQSLEQGPSLQLEAVGAGDSGTYSCVAVSEAGEARRHFQLTVMEPPHIEDSGQPTELSLTPGAPMELLCDAQGTPQPNITWHKDGQALTRLENNSRATRVLRVENVQVRDAGLYTCLAESPAGAIEKSFRVRVQAPPNIVGPRGPRFVVGLAPGQLVLECSVEAEPAPKITWHRDGIVLQEDAHTQFPERGRFLQLQALSTADSGDYSCTARNAAGSTSVAFRVEIHTVPTIRSGPPAVNVSVNQTALLPCQADGVPAPLVSWRKDRVPLDPRSPRFEILPEGSLRIQPVLAQDAGHYLCLASNSAGSDRQGRDLRVLALLPCEASGSPKPLVVWWKDGQKLDFRLQQGAYRLLPSNALLLTAPGPQDSAQFECVVSNEVGEAHRLYQVTVHVPPTIADDQTDFTVTMMAPVVLTCHSTGIPAPTVSWSKAGAQLGARGSGYRVSPSGALEIGQALPIHAGRYTCSARNSAGVAHKHVFLTVQASPVVKPLPSVVRAVAEEEVLLPCEASGIPRPTITWQKEGLNVATGVSTQVLPGGQLRIAHASPEDAGNYLCIAKNSAGSAMGKTRLVVQVPPVIENGLPDLSTTEGSHAFLPCKARGSPEPNITWDKDGQPVSGAEGKFTIQPSGELLVKNLEGQDAGTYTCTAENAVGRARRRVHLTILVLPVFTTLPGDRSLRLGDRLWLRCAARGSPTPRIGWTVNDRPVTEGVSEQDGGSTLQRAAVSREDSGTYVCWAENRVGRTQAVSFVHVKEAPVLQGEAFSYLVEPVGGSIQLDCVVRGDPVPDIHWIKDGLPLRGSHLRHQLQNGSLTIRRTERDDAGRYQCLAENEMGVAKKVVILVLQSAPVFQVEPQDMTVRSGDDVALRCQATGEPTPTIEWLQAGQPLRASRRLRTLPDGSLWLENVETGDAGTYDCVAHNLLGSATARAFLVVRGEPQGSWGSMTGVINGRKFGVATLNTSVMQEAHSGVSSIHSSIRHVPANVGPLMRVLVVTIAPIYWALARESGEALNGHSLTGGRFRQESHVEFATGELLTMTQVARGLDPDGLLLLDVVVNGVVPESLADADLQVQDFEEHYVQTGPGQLFVGSTQRFFQGGLPSFLRCNHSIQYNAARGPQPQLVQHLRASAISSAFDPEAEALRFQLATALQAEENEVGCPEGFELDSQGAFCVDRDECSGGPSPCSHACLNAPGRFSCTCPTGFALAWDDRNCRDVDECAWDAHLCREGQRCVNLLGSYRCLPDCGPGFRVADGAGCEDVDECLEGLDDCHYNQLCENTPGGHRCSCPRGYRMQGPSLPCLDVNECLQLPKACAYQCHNLQGSYRCLCPPGQTLLRDGKACTSLERNGQNVTTVSHRGPLLPWLRPWASIPGTSYHAWVSLRPGPMALSSVGRAWCPPGFIRQNGVCTDLDECRVRNLCQHACRNTEGSYQCLCPAGYRLLPSGKNCQDINECEEESIECGPGQMCFNTRGSYQCVDTPCPATYRQGPSPGTCFRRCSQDCGTGGPSTLQYRLLPLPLGVRAHHDVARLTAFSEVGVPANRTELSMLEPDPRSPFALRPLRAGLGAVYTRRALTRAGLYRLTVRAAAPRHQSVFVLLIAVSPYPY
+>DECOY_sp|Q8NDA2|HMCN2_HUMAN Hemicentin-2 OS=Homo sapiens OX=9606 GN=HMCN2 PE=2 SV=3
+YPYPSVAILLVFVSQHRPAAARVTLRYLGARTLARRTYVAGLGARLPRLAFPSRPDPELMSLETRNAPVGVESFATLRAVDHHARVGLPLPLLRYQLTSPGGTGCDQSCRRFCTGPSPGQRYTAPCPTDVCQYSGRTNFCMQGPGCEISEEECENIDQCNKGSPLLRYGAPCLCQYSGETNRCAHQCLNRVRCEDLDTCVGNQRIFGPPCWARGVSSLAMPGPRLSVWAHYSTGPISAWPRLWPLLPGRHSVTTVNQGNRELSTCAKGDRLLTQGPPCLCRYSGQLNHCQYACAKPLQLCENVDLCPLSPGQMRYGRPCSCRHGGPTNECLQNYHCDDLGELCEDVDECGAGDAVRFGPGCDPLCRYSGLLNVCRQGERCLHADWACEDVDRCNRDDWALAFGTPCTCSFRGPANLCAHSCPSPGGSCEDRDVCFAGQSDLEFGEPCGVENEEAQLATALQFRLAEAEPDFASSIASARLHQVLQPQPGRAANYQISHNCRLFSPLGGQFFRQTSGVFLQGPGTQVYHEEFDQVQLDADALSEPVVGNVVVDLLLLGDPDLGRAVQTMTLLEGTAFEVHSEQRFRGGTLSHGNLAEGSERALAWYIPAITVVLVRMLPGVNAPVHRISSHISSVGSHAEQMVSTNLTAVGFKRGNIVGTMSGWSGQPEGRVVLFARATASGLLNHAVCDYTGADGTEVNELWLSGDPLTRLRRSARLPQGAQLWEITPTPEGTAQCRLAVDDGSRVTMDQPEVQFVPASQLVLIVVKKAVGMENEALCQYRGADDRETRRITLSGNQLQHRLHSGRLPLGDKIWHIDPVPDGRVVCDLQISGGVPEVLYSFAEGQLVPAEKVHVFSVAQTRGVRNEAWCVYTGSDERSVAARQLTSGGDQESVGETVPRDNVTWGIRPTPSGRAACRLWLRDGLRLSRDGPLTTFVPLVLITLHVRRRARGVANEATCTYTGADQGELNKVLLEGSPQITFKGEAGSVPQGDKDWTINPEPSGRAKCPLFAHSGETTSLDPLGNEIVPPVQVVLRTKGMASGASNKAICLYNGADEPSAHAIRLQGGPLVQTSVGTAVNLGEKQWTITPRPIGSAECPLLVEEEAVARVVSPLPKVVPSAQVTLFVHKHAVGASNRASCTYRGAHIPLAQGIELAGSPSVRYGSGRAGLQAGAKSWSVTPAPIGTSHCTLVVPAMMTVTFDTQDDAITPPVHVTVQYLRHAEGVENSVVCEFQASDQPGPATLLLANSPLLRYAGQQLRFDLKQGDKWWVVLPKPSGSAECPLLALVRLDRGQRDSGASNSALCLYHGADQALVPQIRLSGEPLIEFRPSRPDLPVRDKRWSVLPAPVGDAQCPLLATQNVSVNVAPPGSRITPVTHIEVRFAVSTSGAANRATCSYDGSDATSLAQLQLFRGREPFQTHADEQLVIGDRHWTIKPAPEAEVSCELVLQGPALGVVFRPGRPGVINPPAQVRVRFSKEIAGAPSEALCTYLGADRVQVNEVRLVRTARSNNELRTLAQGDKHWTINPQPTGQADCLLEMPAGPTLSLETPQGSDEIHPPEMVTLQFHRRAEGAESVAVCSYTGSDGAGVAELQLSPGQELSQSLLPEGDKMWSVLPLPVGRAECPLEVLSGRVVVVDNQFEVPELVPPVQVQLTFNRDAVGAPSAAVCTFIGADALQVKSIRLTHGSGHLLTRQSLPLPLGDKLWSVHIPPSGRVPCVMTVLEGPRSVLTGSGDAGQKITPPVLVNVTHLRADEGAPNHAVCTYAGADSARLGKLVLSGGDLYFRLHPGMDQGLPSGDKLWAVDPPPQGDAECDLRVEQGELVHHERAVGSSRIRPAVLVAVVFDKRAEAQTNEAVCTYTGAQAPQLRSLQLRGGRSVVGHVASSEVPMRDKLWTVTPAPVGSVDCTLVLPSGAVQSVTETKPNEFTPPVQVTLTFTRVAEGAVNSVKCSYLGKDSVQAEQIELRQGGRLAQTRQALVLPQGDKYWTVTPEPLADTECSLVAKDGVRVLVADQPGRPAQRFAPPVLVSLQVLKQDRGAANLAECTYMGSDSLRARGLQLTHTGPLLFVEEGLSLAQSDKYWTVEPNPHAHVDCPLSVSSNLIATVQELDLGAIRPPVQVRLTFLKEASGAQNEAVCMYSAADAVEATSVQLVQGDELVQLRPSPSFPLGNQLWSITPVPNGLAPCQLSVTSSANVTVEEVLEETDGLIVPPTLVLLEYHLWDEGVENSAKCSYRGANEARVLPIQLVRGGQLVSVEDGASLPQDERYWTLDPPPIANTDCYLYAPNNEVIERYETVGGRAEEERSLIEFAASFDGVKQFMPPVQILVNFDQDDEGAVNTAVCLYRGSDSVQTPQIQLLRGEGLVQLRSSPTVPQGDKYWRITPPPVAWSECELTLTSNVKTKVEKVGVEALPDDKSISPPILVEVHYNKVAEGLENTVVCTYQGADEKQANLIQLGHTGPLLQINRSAEFPAGDKMWTINPSPFALAECILSIPNNVTVTVEEDASDEAGGLITPALLVSLQFHKTKEGVANAAICSYHGASSLNAQLVQLLVGDPSIHHADGRALPRGDKFWTLKPQPHGTVNCMLHATQGDLVKIVEELDENEISPPVLVEVSFNRRAEGAENSALCSYLGSDQEQTQTMKLMWGGALLYTDEGPSVPEEGRFWRIAPPPIGMAECLLTLPSHLAATINTLPDLDGILEPPVLVSLEFKREARGALNEAVCSYRGTHAAQAREVHLSQGQNQLQLGLEAGVPQGDREWTVTPPPKGTANCELTAVGDQVVSVQTPPERPGAIQPPVHVELQLDQSSNGVVNSCECTYTGEQALQAQGLYLLRGVASVHQLGAGEGPLPQGDKRWSIKPFPVGRCECSLRTPHGERVTLTHSERLPFVPAVWVNLNYHKESHGAQNLAECTYHGADWVDARDVQLLAKDASLHVGPRPELPRGDKWWSLVPPPMAHSQCELAVSENAVVSVNLEEGLISPPMHVQLVVDQRDEGVASVAVCSYRGSDSARASALTLVRGGPFVQLGPTGAPSVPNGDKLWMLTPSPAGTANCTLRVPTNVLVPGPLSPPLTIRPPVNVRLGYRLETSGAVNSAVCRYSGADSLQAQEIRLVRGDVSVTVGMWSSVPQHGKFWSVDPPPVGSALCELTVSANELVAKNVPGPEINPPVLVKLAVERKSEGALNTAACTYIGEDRLDVKEIQLNGGFAALAVGDKWWRLSPTPVGDGICQLTVPQLFPATINEGGIISVSPFEHVSVEVEAGATGAENTAQCAFLGSHDLEVHDIHLTTGQSAVQVGALEEAPRGNQLWQITPVPSGSAQCPLELPQGVITTVQGLGEAVLLQPPVQVEVSYQLVAEGAPSSAVCSYLGSSAEGPSELRLVSGDTELRSENSEAVPLGEHHWSLTPSPHGRAVCELAVPRGAVAKVVYPRGGAGEITPPVYVDLRTAKEAAGVANSAKCTYVGADSSQARGLQLQRGGRTYVVKTSTPLLAGDKFWTINPTPVGRAECLLQVLHDEMVAVEGTENSGWITPPAHVRLQFDRAQQGAPSFAVCQYRGEDGVHSGTIRLVQGDEQVQLHPGGPLVPQRDKTWEVQPTPVGSTWCQLEVDAGALGLVEQAAGAGLVSPPTLVLLHFDRQATGAQNEARCSYLGSDGEQIRPFHLSQGEDLLRHGGVKPILQADKLWVIEPVPFGNADCELTLSQGAMGSVNAKRGDERISPPVYVVLKARRSTSGVENTAQCEYDGSDAPSVSALFLSGEELVAVGPQGHLPESSPGKRWTVQPKPTGRAPCPLSANEGAVRELLGSTEDAGWHPPELVRLEVEWADVGASNSAECRYRGADSTRIAAFHVSGQPGRGQLVVGDKSWSLQPEPNGEAVCNLDLAEGVLVKLVRPGPQVQPPVQVGLVYRHSASGAPNSATCEYHGSDGVQVQALRLSGSPLLGYRNTIPPVPRSDKTWTVLPPPSGKAECDLTVEEGAKATVQLPVDANRSGNMHIRPKTNVSLRMEQSSFGVTNTATCVYAGADQASPQAILLTGEKSVNYHPGRSTLANTEKTWTITPAPVGSAVCPLSAAVGENTIHHTATPHIRPPVQVVLEVDRHQSGATNTAVCSYRGAHEQLARDLHLSGDARISHRSGPPLPRGDKLWHREPLPRGALVICPLSVPQGELVRVTPASSAIQPPAHGTVILRAEAQVKGFVNRAECVYPAQDEPAVSEFFLVGSDPQRSRSGRGAGLRLGLPQGDGRRWTVTPPPRGTARCALLASRGLEVTVDRPLETLQPAHGVALQIEASADGLENVARCTYTGADREQAAPIRLKGSSSGEPALIMELGGRYWIVRPPPVGSAECVLVAEEGVAVLVVANVASVSPPDTYYLTVTEQDTGVENTAQCSYNGADEPAVGQIILTGQADVHIRSDEQLAQSERSWSIHPTPYGSASCSVKVEVGQSFHQSSTHISVQPAERVLLWVSARTVGNANSAVCQYRGGDTPIIGSIELSLDALQAVRGTSAPLVRWDRVWTLNYPAEGLVRCSLVATEGPSVTVNPAPVLQPPPDTVVIQAKARGTGARSVATCEYTGEEAKSARLIEWSSNGSEQFHREEGLRAEGRRLQLRFPLASHVSCSVLLPQHLYGHIRPAMSVLPAGPAVGSYSVGSVRLLPNGEHDKGKVKLYFREKPTHFPPGGWLQHTSGNSLPKTPLTLLPKGSSQALEVSDLRGPAKLGTSNIVLSIPVGQLPWELTHNLDLLPQTSFGARFDINSVGTIRVSHRGSSYVKISWLGPHEPKFAVVKASDPINLLVNLGEDEQLIRGLPDQVEIEPGPGSLSITVEKLSPDFPLRWTHEGEEEHDTSLLHVKSAQIASEVWKLVETVQQKDLHFVQGSSTAAIEEYALYGPHTRDGCDGTLVFVVQSQKLQLLRLLEEKKHYDKARADSFVYIFSGPNAVEVAAKIAGVSMEPCDGGGQVYLERLERQFVTPDATLTVPGIDPDHFPVLAYNAIAQSRRSLSRELIRSAGDIVQMLEDWMSGTVDFVFALTADGTTPPMVTGPAGAVAVAVAASVATLLRLLPAGPM
+>sp|Q05925|HME1_HUMAN Homeobox protein engrailed-1 OS=Homo sapiens OX=9606 GN=EN1 PE=3 SV=3
+MEEQQPEPKSQRDSALGAAAAATPGGLSLSLSPGASGSSGSGSDGDSVPVSPQPAPPSPPAAPCLPPLAHHPHLPPHPPPPPPQHLAAPAHQPQPAAQLHRTTNFFIDNILRPDFGCKKEQPPPQLLVAAAARGGAGGGGRVERDRGQTAAGRDPVHPLGTRAPGAASLLCAPDANCGPPDGSQPAAAGAGASKAGNPAAAAAAAAAAVAAAAAAAAAKPSDTGGGGSGGGAGSPGAQGTKYPEHGNPAILLMGSANGGPVVKTDSQQPLVWPAWVYCTRYSDRPSSGPRTRKLKKKKNEKEDKRPRTAFTAEQLQRLKAEFQANRYITEQRRQTLAQELSLNESQIKIWFQNKRAKIKKATGIKNGLALHLMAQGLYNHSTTTVQDKDESE
+>DECOY_sp|Q05925|HME1_HUMAN Homeobox protein engrailed-1 OS=Homo sapiens OX=9606 GN=EN1 PE=3 SV=3
+ESEDKDQVTTTSHNYLGQAMLHLALGNKIGTAKKIKARKNQFWIKIQSENLSLEQALTQRRQETIYRNAQFEAKLRQLQEATFATRPRKDEKENKKKKLKRTRPGSSPRDSYRTCYVWAPWVLPQQSDTKVVPGGNASGMLLIAPNGHEPYKTGQAGPSGAGGGSGGGGTDSPKAAAAAAAAAVAAAAAAAAAAPNGAKSAGAGAAAPQSGDPPGCNADPACLLSAAGPARTGLPHVPDRGAATQGRDREVRGGGGAGGRAAAAVLLQPPPQEKKCGFDPRLINDIFFNTTRHLQAAPQPQHAPAALHQPPPPPPHPPLHPHHALPPLCPAAPPSPPAPQPSVPVSDGDSGSGSSGSAGPSLSLSLGGPTAAAAAGLASDRQSKPEPQQEEM
+>sp|P09429|HMGB1_HUMAN High mobility group protein B1 OS=Homo sapiens OX=9606 GN=HMGB1 PE=1 SV=3
+MGKGDPKKPRGKMSSYAFFVQTCREEHKKKHPDASVNFSEFSKKCSERWKTMSAKEKGKFEDMAKADKARYEREMKTYIPPKGETKKKFKDPNAPKRPPSAFFLFCSEYRPKIKGEHPGLSIGDVAKKLGEMWNNTAADDKQPYEKKAAKLKEKYEKDIAAYRAKGKPDAAKKGVVKAEKSKKKKEEEEDEEDEEDEEEEEDEEDEDEEEDDDDE
+>DECOY_sp|P09429|HMGB1_HUMAN High mobility group protein B1 OS=Homo sapiens OX=9606 GN=HMGB1 PE=1 SV=3
+EDDDDEEEDEDEEDEEEEEDEEDEEDEEEEKKKKSKEAKVVGKKAADPKGKARYAAIDKEYKEKLKAAKKEYPQKDDAATNNWMEGLKKAVDGISLGPHEGKIKPRYESCFLFFASPPRKPANPDKFKKKTEGKPPIYTKMEREYRAKDAKAMDEFKGKEKASMTKWRESCKKSFESFNVSADPHKKKHEERCTQVFFAYSSMKGRPKKPDGKGM
+>sp|Q8TB92|HMGC2_HUMAN 3-hydroxymethyl-3-methylglutaryl-CoA lyase, cytoplasmic OS=Homo sapiens OX=9606 GN=HMGCLL1 PE=1 SV=3
+MGNVPSAVKHCLSYQQLLREHLWIGDSVAGALDPAQTSLLTNLHCFQPDVSGFSVSLAGTVACIHWETSQLSGLPEFVKIVEVGPRDGLQNEKVIVPTDIKIEFINRLSQTGLSVIEVTSFVSSRWVPQMADHTEVMKGIHQYPGVRYPVLTPNLQGFHHAVAAGATEISVFGAASESFSKKNINCSIEESMGKFEEVVKSARHMNIPARGYVSCALGCPYEGSITPQKVTEVSKRLYGMGCYEISLGDTIGVGTPGSMKRMLESVMKEIPPGALAVHCHDTYGQALANILTALQMGINVVDSAVSGLGGCPYAKGASGNVATEDLIYMLNGLGLNTGVNLYKVMEAGDFICKAVNKTTNSKVAQASFNA
+>DECOY_sp|Q8TB92|HMGC2_HUMAN 3-hydroxymethyl-3-methylglutaryl-CoA lyase, cytoplasmic OS=Homo sapiens OX=9606 GN=HMGCLL1 PE=1 SV=3
+ANFSAQAVKSNTTKNVAKCIFDGAEMVKYLNVGTNLGLGNLMYILDETAVNGSAGKAYPCGGLGSVASDVVNIGMQLATLINALAQGYTDHCHVALAGPPIEKMVSELMRKMSGPTGVGITDGLSIEYCGMGYLRKSVETVKQPTISGEYPCGLACSVYGRAPINMHRASKVVEEFKGMSEEISCNINKKSFSESAAGFVSIETAGAAVAHHFGQLNPTLVPYRVGPYQHIGKMVETHDAMQPVWRSSVFSTVEIVSLGTQSLRNIFEIKIDTPVIVKENQLGDRPGVEVIKVFEPLGSLQSTEWHICAVTGALSVSFGSVDPQFCHLNTLLSTQAPDLAGAVSDGIWLHERLLQQYSLCHKVASPVNGM
+>sp|P35914|HMGCL_HUMAN Hydroxymethylglutaryl-CoA lyase, mitochondrial OS=Homo sapiens OX=9606 GN=HMGCL PE=1 SV=2
+MAAMRKALPRRLVGLASLRAVSTSSMGTLPKRVKIVEVGPRDGLQNEKNIVSTPVKIKLIDMLSEAGLSVIETTSFVSPKWVPQMGDHTEVLKGIQKFPGINYPVLTPNLKGFEAAVAAGAKEVVIFGAASELFTKKNINCSIEESFQRFDAILKAAQSANISVRGYVSCALGCPYEGKISPAKVAEVTKKFYSMGCYEISLGDTIGVGTPGIMKDMLSAVMQEVPLAALAVHCHDTYGQALANTLMALQMGVSVVDSSVAGLGGCPYAQGASGNLATEDLVYMLEGLGIHTGVNLQKLLEAGNFICQALNRKTSSKVAQATCKL
+>DECOY_sp|P35914|HMGCL_HUMAN Hydroxymethylglutaryl-CoA lyase, mitochondrial OS=Homo sapiens OX=9606 GN=HMGCL PE=1 SV=2
+LKCTAQAVKSSTKRNLAQCIFNGAELLKQLNVGTHIGLGELMYVLDETALNGSAGQAYPCGGLGAVSSDVVSVGMQLAMLTNALAQGYTDHCHVALAALPVEQMVASLMDKMIGPTGVGITDGLSIEYCGMSYFKKTVEAVKAPSIKGEYPCGLACSVYGRVSINASQAAKLIADFRQFSEEISCNINKKTFLESAAGFIVVEKAGAAVAAEFGKLNPTLVPYNIGPFKQIGKLVETHDGMQPVWKPSVFSTTEIVSLGAESLMDILKIKVPTSVINKENQLGDRPGVEVIKVRKPLTGMSSTSVARLSALGVLRRPLAKRMAAM
+>sp|P82970|HMGN5_HUMAN High mobility group nucleosome-binding domain-containing protein 5 OS=Homo sapiens OX=9606 GN=HMGN5 PE=1 SV=1
+MPKRKAAGQGDMRQEPKRRSARLSAMLVPVTPEVKPKRTSSSRKMKTKSDMMEENIDTSAQAVAETKQEAVVEEDYNENAKNGEAKITEAPASEKEIVEVKEENIEDATEKGGEKKEAVAAEVKNEEEDQKEDEEDQNEEKGEAGKEDKDEKGEEDGKEDKNGNEKGEDAKEKEDGKKGEDGKGNGEDGKEKGEDEKEEEDRKETGDGKENEDGKEKGDKKEGKDVKVKEDEKEREDGKEDEGGNEEEAGKEKEDLKEEEEGKEEDEIKEDDGKKEEPQSIV
+>DECOY_sp|P82970|HMGN5_HUMAN High mobility group nucleosome-binding domain-containing protein 5 OS=Homo sapiens OX=9606 GN=HMGN5 PE=1 SV=1
+VISQPEEKKGDDEKIEDEEKGEEEEKLDEKEKGAEEENGGEDEKGDEREKEDEKVKVDKGEKKDGKEKGDENEKGDGTEKRDEEEKEDEGKEKGDEGNGKGDEGKKGDEKEKADEGKENGNKDEKGDEEGKEDKDEKGAEGKEENQDEEDEKQDEEENKVEAAVAEKKEGGKETADEINEEKVEVIEKESAPAETIKAEGNKANENYDEEVVAEQKTEAVAQASTDINEEMMDSKTKMKRSSSTRKPKVEPTVPVLMASLRASRRKPEQRMDGQGAAKRKPM
+>sp|P0CJ77|HMN10_HUMAN Humanin-like 10 OS=Homo sapiens OX=9606 GN=MTRNR2L10 PE=2 SV=1
+MTTRGFSCLLLLIREIDLSAKRRI
+>DECOY_sp|P0CJ77|HMN10_HUMAN Humanin-like 10 OS=Homo sapiens OX=9606 GN=MTRNR2L10 PE=2 SV=1
+IRRKASLDIERILLLLCSFGRTTM
+>sp|P0CJ70|HMN3_HUMAN Humanin-like 3 OS=Homo sapiens OX=9606 GN=MTRNR2L3 PE=2 SV=1
+MATRRFSCLLLSTSEIDLSVKRRI
+>DECOY_sp|P0CJ70|HMN3_HUMAN Humanin-like 3 OS=Homo sapiens OX=9606 GN=MTRNR2L3 PE=2 SV=1
+IRRKVSLDIESTSLLLCSFRRTAM
+>sp|P0CJ73|HMN6_HUMAN Humanin-like 6 OS=Homo sapiens OX=9606 GN=MTRNR2L6 PE=2 SV=1
+MTPRGFSCLLLPTSETDLPVKRRT
+>DECOY_sp|P0CJ73|HMN6_HUMAN Humanin-like 6 OS=Homo sapiens OX=9606 GN=MTRNR2L6 PE=2 SV=1
+TRRKVPLDTESTPLLLCSFGRPTM
+>sp|P0CJ76|HMN9_HUMAN Humanin-like 9 OS=Homo sapiens OX=9606 GN=MTRNR2L9 PE=2 SV=1
+MARRGFSCLLLSTTATDLPVKRRT
+>DECOY_sp|P0CJ76|HMN9_HUMAN Humanin-like 9 OS=Homo sapiens OX=9606 GN=MTRNR2L9 PE=2 SV=1
+TRRKVPLDTATTSLLLCSFGRRAM
+>sp|Q9NSC5|HOME3_HUMAN Homer protein homolog 3 OS=Homo sapiens OX=9606 GN=HOMER3 PE=1 SV=2
+MSTAREQPIFSTRAHVFQIDPATKRNWIPAGKHALTVSYFYDATRNVYRIISIGGAKAIINSTVTPNMTFTKTSQKFGQWADSRANTVYGLGFASEQHLTQFAEKFQEVKEAARLAREKSQDGGELTSPALGLASHQVPPSPLVSANGPGEEKLFRSQSADAPGPTERERLKKMLSEGSVGEVQWEAEFFALQDSNNKLAGALREANAAAAQWRQQLEAQRAEAERLRQRVAELEAQAASEVTPTGEKEGLGQGQSLEQLEALVQTKDQEIQTLKSQTGGPREALEAAEREETQQKVQDLETRNAELEHQLRAMERSLEEARAERERARAEVGRAAQLLDVSLFELSELREGLARLAEAAP
+>DECOY_sp|Q9NSC5|HOME3_HUMAN Homer protein homolog 3 OS=Homo sapiens OX=9606 GN=HOMER3 PE=1 SV=2
+PAAEALRALGERLESLEFLSVDLLQAARGVEARAREREARAEELSREMARLQHELEANRTELDQVKQQTEEREAAELAERPGGTQSKLTQIEQDKTQVLAELQELSQGQGLGEKEGTPTVESAAQAELEAVRQRLREAEARQAELQQRWQAAAANAERLAGALKNNSDQLAFFEAEWQVEGVSGESLMKKLRERETPGPADASQSRFLKEEGPGNASVLPSPPVQHSALGLAPSTLEGGDQSKERALRAAEKVEQFKEAFQTLHQESAFGLGYVTNARSDAWQGFKQSTKTFTMNPTVTSNIIAKAGGISIIRYVNRTADYFYSVTLAHKGAPIWNRKTAPDIQFVHARTSFIPQERATSM
+>sp|Q9UL19|HRSL4_HUMAN Retinoic acid receptor responder protein 3 OS=Homo sapiens OX=9606 GN=RARRES3 PE=1 SV=1
+MASPHQEPKPGDLIEIFRLGYEHWALYIGDGYVIHLAPPSEYPGAGSSSVFSVLSNSAEVKRERLEDVVGGCCYRVNNSLDHEYQPRPVEVIISSAKEMVGQKMKYSIVSRNCEHFVTQLRYGKSRCKQVEKAKVEVGVATALGILVVAGCSFAIRRYQKKATA
+>DECOY_sp|Q9UL19|HRSL4_HUMAN Retinoic acid receptor responder protein 3 OS=Homo sapiens OX=9606 GN=RARRES3 PE=1 SV=1
+ATAKKQYRRIAFSCGAVVLIGLATAVGVEVKAKEVQKCRSKGYRLQTVFHECNRSVISYKMKQGVMEKASSIIVEVPRPQYEHDLSNNVRYCCGGVVDELRERKVEASNSLVSFVSSSGAGPYESPPALHIVYGDGIYLAWHEYGLRFIEILDGPKPEQHPSAM
+>sp|Q92598|HS105_HUMAN Heat shock protein 105 kDa OS=Homo sapiens OX=9606 GN=HSPH1 PE=1 SV=1
+MSVVGLDVGSQSCYIAVARAGGIETIANEFSDRCTPSVISFGSKNRTIGVAAKNQQITHANNTVSNFKRFHGRAFNDPFIQKEKENLSYDLVPLKNGGVGIKVMYMGEEHLFSVEQITAMLLTKLKETAENSLKKPVTDCVISVPSFFTDAERRSVLDAAQIVGLNCLRLMNDMTAVALNYGIYKQDLPSLDEKPRIVVFVDMGHSAFQVSACAFNKGKLKVLGTAFDPFLGGKNFDEKLVEHFCAEFKTKYKLDAKSKIRALLRLYQECEKLKKLMSSNSTDLPLNIECFMNDKDVSGKMNRSQFEELCAELLQKIEVPLYSLLEQTHLKVEDVSAVEIVGGATRIPAVKERIAKFFGKDISTTLNADEAVARGCALQCAILSPAFKVREFSVTDAVPFPISLIWNHDSEDTEGVHEVFSRNHAAPFSKVLTFLRRGPFELEAFYSDPQGVPYPEAKIGRFVVQNVSAQKDGEKSRVKVKVRVNTHGIFTISTASMVEKVPTEENEMSSEADMECLNQRPPENPDTDKNVQQDNSEAGTQPQVQTDAQQTSQSPPSPELTSEENKIPDADKANEKKVDQPPEAKKPKIKVVNVELPIEANLVWQLGKDLLNMYIETEGKMIMQDKLEKERNDAKNAVEEYVYEFRDKLCGPYEKFICEQDHQNFLRLLTETEDWLYEEGEDQAKQAYVDKLEELMKIGTPVKVRFQEAEERPKMFEELGQRLQHYAKIAADFRNKDEKYNHIDESEMKKVEKSVNEVMEWMNNVMNAQAKKSLDQDPVVRAQEIKTKIKELNNTCEPVVTQPKPKIESPKLERTPNGPNIDKKEEDLEDKNNFGAEPPHQNGECYPNEKNSVNMDLD
+>DECOY_sp|Q92598|HS105_HUMAN Heat shock protein 105 kDa OS=Homo sapiens OX=9606 GN=HSPH1 PE=1 SV=1
+DLDMNVSNKENPYCEGNQHPPEAGFNNKDELDEEKKDINPGNPTRELKPSEIKPKPQTVVPECTNNLEKIKTKIEQARVVPDQDLSKKAQANMVNNMWEMVENVSKEVKKMESEDIHNYKEDKNRFDAAIKAYHQLRQGLEEFMKPREEAEQFRVKVPTGIKMLEELKDVYAQKAQDEGEEYLWDETETLLRLFNQHDQECIFKEYPGCLKDRFEYVYEEVANKADNREKELKDQMIMKGETEIYMNLLDKGLQWVLNAEIPLEVNVVKIKPKKAEPPQDVKKENAKDADPIKNEESTLEPSPPSQSTQQADTQVQPQTGAESNDQQVNKDTDPNEPPRQNLCEMDAESSMENEETPVKEVMSATSITFIGHTNVRVKVKVRSKEGDKQASVNQVVFRGIKAEPYPVGQPDSYFAELEFPGRRLFTLVKSFPAAHNRSFVEHVGETDESDHNWILSIPFPVADTVSFERVKFAPSLIACQLACGRAVAEDANLTTSIDKGFFKAIREKVAPIRTAGGVIEVASVDEVKLHTQELLSYLPVEIKQLLEACLEEFQSRNMKGSVDKDNMFCEINLPLDTSNSSMLKKLKECEQYLRLLARIKSKADLKYKTKFEACFHEVLKEDFNKGGLFPDFATGLVKLKGKNFACASVQFASHGMDVFVVIRPKEDLSPLDQKYIGYNLAVATMDNMLRLCNLGVIQAADLVSRREADTFFSPVSIVCDTVPKKLSNEATEKLKTLLMATIQEVSFLHEEGMYMVKIGVGGNKLPVLDYSLNEKEKQIFPDNFARGHFRKFNSVTNNAHTIQQNKAAVGITRNKSGFSIVSPTCRDSFENAITEIGGARAVAIYCSQSGVDLGVVSM
+>sp|Q9Y662|HS3SB_HUMAN Heparan sulfate glucosamine 3-O-sulfotransferase 3B1 OS=Homo sapiens OX=9606 GN=HS3ST3B1 PE=1 SV=1
+MGQRLSGGRSCLDVPGRLLPQPPPPPPPVRRKLALLFAMLCVWLYMFLYSCAGSCAAAPGLLLLGSGSRAAHDPPALATAPDGTPPRLPFRAPPATPLASGKEMAEGAASPEEQSPEVPDSPSPISSFFSGSGSKQLPQAIIIGVKKGGTRALLEFLRVHPDVRAVGAEPHFFDRSYDKGLAWYRDLMPRTLDGQITMEKTPSYFVTREAPARISAMSKDTKLIVVVRDPVTRAISDYTQTLSKRPDIPTFESLTFKNRTAGLIDTSWSAIQIGIYAKHLEHWLRHFPIRQMLFVSGERLISDPAGELGRVQDFLGLKRIITDKHFYFNKTKGFPCLKKAEGSSRPHCLGKTKGRTHPEIDREVVRRLREFYRPFNLKFYQMTGHDFGWD
+>DECOY_sp|Q9Y662|HS3SB_HUMAN Heparan sulfate glucosamine 3-O-sulfotransferase 3B1 OS=Homo sapiens OX=9606 GN=HS3ST3B1 PE=1 SV=1
+DWGFDHGTMQYFKLNFPRYFERLRRVVERDIEPHTRGKTKGLCHPRSSGEAKKLCPFGKTKNFYFHKDTIIRKLGLFDQVRGLEGAPDSILREGSVFLMQRIPFHRLWHELHKAYIGIQIASWSTDILGATRNKFTLSEFTPIDPRKSLTQTYDSIARTVPDRVVVILKTDKSMASIRAPAERTVFYSPTKEMTIQGDLTRPMLDRYWALGKDYSRDFFHPEAGVARVDPHVRLFELLARTGGKKVGIIIAQPLQKSGSGSFFSSIPSPSDPVEPSQEEPSAAGEAMEKGSALPTAPPARFPLRPPTGDPATALAPPDHAARSGSGLLLLGPAAACSGACSYLFMYLWVCLMAFLLALKRRVPPPPPPPQPLLRGPVDLCSRGGSLRQGM
+>sp|O95757|HS74L_HUMAN Heat shock 70 kDa protein 4L OS=Homo sapiens OX=9606 GN=HSPA4L PE=1 SV=3
+MSVVGIDLGFLNCYIAVARSGGIETIANEYSDRCTPACISLGSRTRAIGNAAKSQIVTNVRNTIHGFKKLHGRSFDDPIVQTERIRLPYELQKMPNGSAGVKVRYLEEERPFAIEQVTGMLLAKLKETSENALKKPVADCVISIPSFFTDAERRSVMAAAQVAGLNCLRLMNETTAVALAYGIYKQDLPPLDEKPRNVVFIDMGHSAYQVLVCAFNKGKLKVLATTFDPYLGGRNFDEALVDYFCDEFKTKYKINVKENSRALLRLYQECEKLKKLMSANASDLPLNIECFMNDLDVSSKMNRAQFEQLCASLLARVEPPLKAVMEQANLQREDISSIEIVGGATRIPAVKEQITKFFLKDISTTLNADEAVARGCALQCAILSPAFKVREFSITDLVPYSITLRWKTSFEDGSGECEVFCKNHPAPFSKVITFHKKEPFELEAFYTNLHEVPYPDARIGSFTIQNVFPQSDGDSSKVKVKVRVNIHGIFSVASASVIEKQNLEGDHSDAPMETETSFKNENKDNMDKMQVDQEEGHQKCHAEHTPEEEIDHTGAKTKSAVSDKQDRLNQTLKKGKVKSIDLPIQSSLCRQLGQDLLNSYIENEGKMIMQDKLEKERNDAKNAVEEYVYDFRDRLGTVYEKFITPEDLSKLSAVLEDTENWLYEDGEDQPKQVYVDKLQELKKYGQPIQMKYMEHEERPKALNDLGKKIQLVMKVIEAYRNKDERYDHLDPTEMEKVEKCISDAMSWLNSKMNAQNKLSLTQDPVVKVSEIVAKSKELDNFCNPIIYKPKPKAEVPEDKPKANSEHNGPMDGQSGTETKSDSTKDSSQHTKSSGEMEVD
+>DECOY_sp|O95757|HS74L_HUMAN Heat shock 70 kDa protein 4L OS=Homo sapiens OX=9606 GN=HSPA4L PE=1 SV=3
+DVEMEGSSKTHQSSDKTSDSKTETGSQGDMPGNHESNAKPKDEPVEAKPKPKYIIPNCFNDLEKSKAVIESVKVVPDQTLSLKNQANMKSNLWSMADSICKEVKEMETPDLHDYREDKNRYAEIVKMVLQIKKGLDNLAKPREEHEMYKMQIPQGYKKLEQLKDVYVQKPQDEGDEYLWNETDELVASLKSLDEPTIFKEYVTGLRDRFDYVYEEVANKADNREKELKDQMIMKGENEIYSNLLDQGLQRCLSSQIPLDISKVKGKKLTQNLRDQKDSVASKTKAGTHDIEEEPTHEAHCKQHGEEQDVQMKDMNDKNENKFSTETEMPADSHDGELNQKEIVSASAVSFIGHINVRVKVKVKSSDGDSQPFVNQITFSGIRADPYPVEHLNTYFAELEFPEKKHFTIVKSFPAPHNKCFVECEGSGDEFSTKWRLTISYPVLDTISFERVKFAPSLIACQLACGRAVAEDANLTTSIDKLFFKTIQEKVAPIRTAGGVIEISSIDERQLNAQEMVAKLPPEVRALLSACLQEFQARNMKSSVDLDNMFCEINLPLDSANASMLKKLKECEQYLRLLARSNEKVNIKYKTKFEDCFYDVLAEDFNRGGLYPDFTTALVKLKGKNFACVLVQYASHGMDIFVVNRPKEDLPPLDQKYIGYALAVATTENMLRLCNLGAVQAAAMVSRREADTFFSPISIVCDAVPKKLANESTEKLKALLMGTVQEIAFPREEELYRVKVGASGNPMKQLEYPLRIRETQVIPDDFSRGHLKKFGHITNRVNTVIQSKAANGIARTRSGLSICAPTCRDSYENAITEIGGSRAVAIYCNLFGLDIGVVSM
+>sp|Q14568|HS902_HUMAN Heat shock protein HSP 90-alpha A2 OS=Homo sapiens OX=9606 GN=HSP90AA2P PE=1 SV=2
+MPEETQTQDQPMEEEEVETFAFQAEIAQLMSLIINTFYSNKEIFLRELISNSSDALDKIWYESLTDPSKLDSGKELHINLIPNKQDQTLTIVDTGIGMTKADLINNLGTIAKSGTKAFMEALQAGADISMIGQFGVSFYSAYLVAEKVTVITKHNDDEQYAWESSAGGSFTVRTDTGERMGRGTKVILHLKEDQTEYLEEQRIKEIVKKHSQLIGYPITLFVEKECDKEVSDDETEEKEDKEEEKEKEEKESKDKPEIEDVGSDEEEEKKDGDKKKKKTKEKYIDQEELNKTKPIWTRNPDDITNEEYGEFCKNLTNDWEDHLAVKHFSVEGQLEFRALLFVP
+>DECOY_sp|Q14568|HS902_HUMAN Heat shock protein HSP 90-alpha A2 OS=Homo sapiens OX=9606 GN=HSP90AA2P PE=1 SV=2
+PVFLLARFELQGEVSFHKVALHDEWDNTLNKCFEGYEENTIDDPNRTWIPKTKNLEEQDIYKEKTKKKKKDGDKKEEEEDSGVDEIEPKDKSEKEEKEKEEEKDEKEETEDDSVEKDCEKEVFLTIPYGILQSHKKVIEKIRQEELYETQDEKLHLIVKTGRGMREGTDTRVTFSGGASSEWAYQEDDNHKTIVTVKEAVLYASYFSVGFQGIMSIDAGAQLAEMFAKTGSKAITGLNNILDAKTMGIGTDVITLTQDQKNPILNIHLEKGSDLKSPDTLSEYWIKDLADSSNSILERLFIEKNSYFTNIILSMLQAIEAQFAFTEVEEEEMPQDQTQTEEPM
+>sp|P07900|HS90A_HUMAN Heat shock protein HSP 90-alpha OS=Homo sapiens OX=9606 GN=HSP90AA1 PE=1 SV=5
+MPEETQTQDQPMEEEEVETFAFQAEIAQLMSLIINTFYSNKEIFLRELISNSSDALDKIRYESLTDPSKLDSGKELHINLIPNKQDRTLTIVDTGIGMTKADLINNLGTIAKSGTKAFMEALQAGADISMIGQFGVGFYSAYLVAEKVTVITKHNDDEQYAWESSAGGSFTVRTDTGEPMGRGTKVILHLKEDQTEYLEERRIKEIVKKHSQFIGYPITLFVEKERDKEVSDDEAEEKEDKEEEKEKEEKESEDKPEIEDVGSDEEEEKKDGDKKKKKKIKEKYIDQEELNKTKPIWTRNPDDITNEEYGEFYKSLTNDWEDHLAVKHFSVEGQLEFRALLFVPRRAPFDLFENRKKKNNIKLYVRRVFIMDNCEELIPEYLNFIRGVVDSEDLPLNISREMLQQSKILKVIRKNLVKKCLELFTELAEDKENYKKFYEQFSKNIKLGIHEDSQNRKKLSELLRYYTSASGDEMVSLKDYCTRMKENQKHIYYITGETKDQVANSAFVERLRKHGLEVIYMIEPIDEYCVQQLKEFEGKTLVSVTKEGLELPEDEEEKKKQEEKKTKFENLCKIMKDILEKKVEKVVVSNRLVTSPCCIVTSTYGWTANMERIMKAQALRDNSTMGYMAAKKHLEINPDHSIIETLRQKAEADKNDKSVKDLVILLYETALLSSGFSLEDPQTHANRIYRMIKLGLGIDEDDPTADDTSAAVTEEMPPLEGDDDTSRMEEVD
+>DECOY_sp|P07900|HS90A_HUMAN Heat shock protein HSP 90-alpha OS=Homo sapiens OX=9606 GN=HSP90AA1 PE=1 SV=5
+DVEEMRSTDDDGELPPMEETVAASTDDATPDDEDIGLGLKIMRYIRNAHTQPDELSFGSSLLATEYLLIVLDKVSKDNKDAEAKQRLTEIISHDPNIELHKKAAMYGMTSNDRLAQAKMIREMNATWGYTSTVICCPSTVLRNSVVVKEVKKELIDKMIKCLNEFKTKKEEQKKKEEEDEPLELGEKTVSVLTKGEFEKLQQVCYEDIPEIMYIVELGHKRLREVFASNAVQDKTEGTIYYIHKQNEKMRTCYDKLSVMEDGSASTYYRLLESLKKRNQSDEHIGLKINKSFQEYFKKYNEKDEALETFLELCKKVLNKRIVKLIKSQQLMERSINLPLDESDVVGRIFNLYEPILEECNDMIFVRRVYLKINNKKKRNEFLDFPARRPVFLLARFELQGEVSFHKVALHDEWDNTLSKYFEGYEENTIDDPNRTWIPKTKNLEEQDIYKEKIKKKKKKDGDKKEEEEDSGVDEIEPKDESEKEEKEKEEEKDEKEEAEDDSVEKDREKEVFLTIPYGIFQSHKKVIEKIRREELYETQDEKLHLIVKTGRGMPEGTDTRVTFSGGASSEWAYQEDDNHKTIVTVKEAVLYASYFGVGFQGIMSIDAGAQLAEMFAKTGSKAITGLNNILDAKTMGIGTDVITLTRDQKNPILNIHLEKGSDLKSPDTLSEYRIKDLADSSNSILERLFIEKNSYFTNIILSMLQAIEAQFAFTEVEEEEMPQDQTQTEEPM
+>sp|O75031|HSF2B_HUMAN Heat shock factor 2-binding protein OS=Homo sapiens OX=9606 GN=HSF2BP PE=1 SV=1
+MGEAGAAEEACRHMGTKEEFVKVRKKDLERLTTEVMQIRDFLPRILNGEVLESFQKLKIVEKNLERKEQELEQLKMDCEHFKARLETVQADNIREKKEKLALRQQLNEAKQQLLQQAEYCTEMGAAACTLLWGVSSSEEVVKAILGGDKALKFFSITGQTMESFVKSLDGDVQELDSDESQFVFALAGIVTNVAAIACGREFLVNSSRVLLDTILQLLGDLKPGQCTKLKVLMLMSLYNVSINLKGLKYISESPGFIPLLWWLLSDPDAEVCLHVLRLVQSVVLEPEVFSKSASEFRSSLPLQRILAMSKSRNPRLQTAAQELLEDLRTLEHNV
+>DECOY_sp|O75031|HSF2B_HUMAN Heat shock factor 2-binding protein OS=Homo sapiens OX=9606 GN=HSF2BP PE=1 SV=1
+VNHELTRLDELLEQAATQLRPNRSKSMALIRQLPLSSRFESASKSFVEPELVVSQVLRLVHLCVEADPDSLLWWLLPIFGPSESIYKLGKLNISVNYLSMLMLVKLKTCQGPKLDGLLQLITDLLVRSSNVLFERGCAIAAVNTVIGALAFVFQSEDSDLEQVDGDLSKVFSEMTQGTISFFKLAKDGGLIAKVVEESSSVGWLLTCAAAGMETCYEAQQLLQQKAENLQQRLALKEKKERINDAQVTELRAKFHECDMKLQELEQEKRELNKEVIKLKQFSELVEGNLIRPLFDRIQMVETTLRELDKKRVKVFEEKTGMHRCAEEAAGAEGM
+>sp|A0A1B0GWH4|HSFX3_HUMAN Heat shock transcription factor, X-linked member 3 OS=Homo sapiens OX=9606 GN=HSFX3 PE=3 SV=1
+MASQNTEQEYEAKLAPSVGGEPTSGGPSGSSPDPNPDSSEVLDRHEDQAMSQDPGSQDNSPPEDRNQRVVNVEDNHNLFRLSFPRKLWTIVEEDTFKSVSWNDDGDAVIIDKDLFQREVLQRKGAERIFKTDSLTSFIRQLNLYGFCKTRPSNSPGNKKMMIYCNSNFQRDKPRLLENIQRKDALRNTAQQATRVPTPKRKNLVATRRSLRIYHINARKEAIKMCQQGAPSVQGPSGTQSFRRSGMWSKKSATRHPLGNGPPQEPNGPSWEGTSGNVTFTSSATTWMEGTGILSSLVYSDNGSVMSLYNICYYALLASLSVMSPNEPSDDEEE
+>DECOY_sp|A0A1B0GWH4|HSFX3_HUMAN Heat shock transcription factor, X-linked member 3 OS=Homo sapiens OX=9606 GN=HSFX3 PE=3 SV=1
+EEEDDSPENPSMVSLSALLAYYCINYLSMVSGNDSYVLSSLIGTGEMWTTASSTFTVNGSTGEWSPGNPEQPPGNGLPHRTASKKSWMGSRRFSQTGSPGQVSPAGQQCMKIAEKRANIHYIRLSRRTAVLNKRKPTPVRTAQQATNRLADKRQINELLRPKDRQFNSNCYIMMKKNGPSNSPRTKCFGYLNLQRIFSTLSDTKFIREAGKRQLVERQFLDKDIIVADGDDNWSVSKFTDEEVITWLKRPFSLRFLNHNDEVNVVRQNRDEPPSNDQSGPDQSMAQDEHRDLVESSDPNPDPSSGSPGGSTPEGGVSPALKAEYEQETNQSAM
+>sp|P54652|HSP72_HUMAN Heat shock-related 70 kDa protein 2 OS=Homo sapiens OX=9606 GN=HSPA2 PE=1 SV=1
+MSARGPAIGIDLGTTYSCVGVFQHGKVEIIANDQGNRTTPSYVAFTDTERLIGDAAKNQVAMNPTNTIFDAKRLIGRKFEDATVQSDMKHWPFRVVSEGGKPKVQVEYKGETKTFFPEEISSMVLTKMKEIAEAYLGGKVHSAVITVPAYFNDSQRQATKDAGTITGLNVLRIINEPTAAAIAYGLDKKGCAGGEKNVLIFDLGGGTFDVSILTIEDGIFEVKSTAGDTHLGGEDFDNRMVSHLAEEFKRKHKKDIGPNKRAVRRLRTACERAKRTLSSSTQASIEIDSLYEGVDFYTSITRARFEELNADLFRGTLEPVEKALRDAKLDKGQIQEIVLVGGSTRIPKIQKLLQDFFNGKELNKSINPDEAVAYGAAVQAAILIGDKSENVQDLLLLDVTPLSLGIETAGGVMTPLIKRNTTIPTKQTQTFTTYSDNQSSVLVQVYEGERAMTKDNNLLGKFDLTGIPPAPRGVPQIEVTFDIDANGILNVTAADKSTGKENKITITNDKGRLSKDDIDRMVQEAERYKSEDEANRDRVAAKNALESYTYNIKQTVEDEKLRGKISEQDKNKILDKCQEVINWLDRNQMAEKDEYEHKQKELERVCNPIISKLYQGGPGGGSGGGGSGASGGPTIEEVD
+>DECOY_sp|P54652|HSP72_HUMAN Heat shock-related 70 kDa protein 2 OS=Homo sapiens OX=9606 GN=HSPA2 PE=1 SV=1
+DVEEITPGGSAGSGGGGSGGGPGGQYLKSIIPNCVRELEKQKHEYEDKEAMQNRDLWNIVEQCKDLIKNKDQESIKGRLKEDEVTQKINYTYSELANKAAVRDRNAEDESKYREAEQVMRDIDDKSLRGKDNTITIKNEKGTSKDAATVNLIGNADIDFTVEIQPVGRPAPPIGTLDFKGLLNNDKTMAREGEYVQVLVSSQNDSYTTFTQTQKTPITTNRKILPTMVGGATEIGLSLPTVDLLLLDQVNESKDGILIAAQVAAGYAVAEDPNISKNLEKGNFFDQLLKQIKPIRTSGGVLVIEQIQGKDLKADRLAKEVPELTGRFLDANLEEFRARTISTYFDVGEYLSDIEISAQTSSSLTRKARECATRLRRVARKNPGIDKKHKRKFEEALHSVMRNDFDEGGLHTDGATSKVEFIGDEITLISVDFTGGGLDFILVNKEGGACGKKDLGYAIAAATPENIIRLVNLGTITGADKTAQRQSDNFYAPVTIVASHVKGGLYAEAIEKMKTLVMSSIEEPFFTKTEGKYEVQVKPKGGESVVRFPWHKMDSQVTADEFKRGILRKADFITNTPNMAVQNKAADGILRETDTFAVYSPTTRNGQDNAIIEVKGHQFVGVCSYTTGLDIGIAPGRASM
+>sp|Q12988|HSPB3_HUMAN Heat shock protein beta-3 OS=Homo sapiens OX=9606 GN=HSPB3 PE=1 SV=2
+MAKIILRHLIEIPVRYQEEFEARGLEDCRLDHALYALPGPTIVDLRKTRAAQSPPVDSAAETPPREGKSHFQILLDVVQFLPEDIIIQTFEGWLLIKAQHGTRMDEHGFISRSFTRQYKLPDGVEIKDLSAVLCHDGILVVEVKDPVGTK
+>DECOY_sp|Q12988|HSPB3_HUMAN Heat shock protein beta-3 OS=Homo sapiens OX=9606 GN=HSPB3 PE=1 SV=2
+KTGVPDKVEVVLIGDHCLVASLDKIEVGDPLKYQRTFSRSIFGHEDMRTGHQAKILLWGEFTQIIIDEPLFQVVDLLIQFHSKGERPPTEAASDVPPSQAARTKRLDVITPGPLAYLAHDLRCDELGRAEFEEQYRVPIEILHRLIIKAM
+>sp|Q9UBY9|HSPB7_HUMAN Heat shock protein beta-7 OS=Homo sapiens OX=9606 GN=HSPB7 PE=1 SV=1
+MSHRTSSTFRAERSFHSSSSSSSSSTSSSASRALPAQDPPMEKALSMFSDDFGSFMRPHSEPLAFPARPGGAGNIKTLGDAYEFAVDVRDFSPEDIIVTTSNNHIEVRAEKLAADGTVMNTFAHKCQLPEDVDPTSVTSALREDGSLTIRARRHPHTEHVQQTFRTEIKI
+>DECOY_sp|Q9UBY9|HSPB7_HUMAN Heat shock protein beta-7 OS=Homo sapiens OX=9606 GN=HSPB7 PE=1 SV=1
+IKIETRFTQQVHETHPHRRARITLSGDERLASTVSTPDVDEPLQCKHAFTNMVTGDAALKEARVEIHNNSTTVIIDEPSFDRVDVAFEYADGLTKINGAGGPRAPFALPESHPRMFSGFDDSFMSLAKEMPPDQAPLARSASSSTSSSSSSSSSHFSREARFTSSTRHSM
+>sp|O43464|HTRA2_HUMAN Serine protease HTRA2, mitochondrial OS=Homo sapiens OX=9606 GN=HTRA2 PE=1 SV=2
+MAAPRAGRGAGWSLRAWRALGGIRWGRRPRLTPDLRALLTSGTSDPRARVTYGTPSLWARLSVGVTEPRACLTSGTPGPRAQLTAVTPDTRTREASENSGTRSRAWLAVALGAGGAVLLLLWGGGRGPPAVLAAVPSPPPASPRSQYNFIADVVEKTAPAVVYIEILDRHPFLGREVPISNGSGFVVAADGLIVTNAHVVADRRRVRVRLLSGDTYEAVVTAVDPVADIATLRIQTKEPLPTLPLGRSADVRQGEFVVAMGSPFALQNTITSGIVSSAQRPARDLGLPQTNVEYIQTDAAIDFGNSGGPLVNLDGEVIGVNTMKVTAGISFAIPSDRLREFLHRGEKKNSSSGISGSQRRYIGVMMLTLSPSILAELQLREPSFPDVQHGVLIHKVILGSPAHRAGLRPGDVILAIGEQMVQNAEDVYEAVRTQSQLAVQIRRGRETLTLYVTPEVTE
+>DECOY_sp|O43464|HTRA2_HUMAN Serine protease HTRA2, mitochondrial OS=Homo sapiens OX=9606 GN=HTRA2 PE=1 SV=2
+ETVEPTVYLTLTERGRRIQVALQSQTRVAEYVDEANQVMQEGIALIVDGPRLGARHAPSGLIVKHILVGHQVDPFSPERLQLEALISPSLTLMMVGIYRRQSGSIGSSSNKKEGRHLFERLRDSPIAFSIGATVKMTNVGIVEGDLNVLPGGSNGFDIAADTQIYEVNTQPLGLDRAPRQASSVIGSTITNQLAFPSGMAVVFEGQRVDASRGLPLTPLPEKTQIRLTAIDAVPDVATVVAEYTDGSLLRVRVRRRDAVVHANTVILGDAAVVFGSGNSIPVERGLFPHRDLIEIYVVAPATKEVVDAIFNYQSRPSAPPPSPVAALVAPPGRGGGWLLLLVAGGAGLAVALWARSRTGSNESAERTRTDPTVATLQARPGPTGSTLCARPETVGVSLRAWLSPTGYTVRARPDSTGSTLLARLDPTLRPRRGWRIGGLARWARLSWGAGRGARPAAM
+>sp|Q96NU7|HUTI_HUMAN Probable imidazolonepropionase OS=Homo sapiens OX=9606 GN=AMDHD1 PE=1 SV=2
+MASGHSLLLENAQQVVLVCARGERFLARDALRSLAVLEGASLVVGKDGFIKAIGPADVIQRQFSGETFEEIIDCSGKCILPGLVDAHTHPVWAGERVHEFAMKLAGATYMEIHQAGGGIHFTVERTRQATEEELFRSLQQRLQCMMRAGTTLVECKSGYGLDLETELKMLRVIERARRELDIGISATYCGAHSVPKGKTATEAADDIINNHLPKLKELGRNGEIHVDNIDVFCEKGVFDLDSTRRILQRGKDIGLQINFHGDELHPMKAAELGAELGAQAISHLEEVSDEGIVAMATARCSAILLPTTAYMLRLKQPRARKMLDEGVIVALGSDFNPNAYCFSMPMVMHLACVNMRMSMPEALAAATINAAYALGKSHTHGSLEVGKQGDLIIINSSRWEHLIYQFGGHHELIEYVIAKGKLIYKT
+>DECOY_sp|Q96NU7|HUTI_HUMAN Probable imidazolonepropionase OS=Homo sapiens OX=9606 GN=AMDHD1 PE=1 SV=2
+TKYILKGKAIVYEILEHHGGFQYILHEWRSSNIIILDGQKGVELSGHTHSKGLAYAANITAAALAEPMSMRMNVCALHMVMPMSFCYANPNFDSGLAVIVGEDLMKRARPQKLRLMYATTPLLIASCRATAMAVIGEDSVEELHSIAQAGLEAGLEAAKMPHLEDGHFNIQLGIDKGRQLIRRTSDLDFVGKECFVDINDVHIEGNRGLEKLKPLHNNIIDDAAETATKGKPVSHAGCYTASIGIDLERRAREIVRLMKLETELDLGYGSKCEVLTTGARMMCQLRQQLSRFLEEETAQRTREVTFHIGGGAQHIEMYTAGALKMAFEHVREGAWVPHTHADVLGPLICKGSCDIIEEFTEGSFQRQIVDAPGIAKIFGDKGVVLSAGELVALSRLADRALFREGRACVLVVQQANELLLSHGSAM
+>sp|P01742|HV169_HUMAN Immunoglobulin heavy variable 1-69 OS=Homo sapiens OX=9606 GN=IGHV1-69 PE=1 SV=2
+MDWTWRFLFVVAAATGVQSQVQLVQSGAEVKKPGSSVKVSCKASGGTFSSYAISWVRQAPGQGLEWMGGIIPIFGTANYAQKFQGRVTITADKSTSTAYMELSSLRSEDTAVYYCAR
+>DECOY_sp|P01742|HV169_HUMAN Immunoglobulin heavy variable 1-69 OS=Homo sapiens OX=9606 GN=IGHV1-69 PE=1 SV=2
+RACYYVATDESRLSSLEMYATSTSKDATITVRGQFKQAYNATGFIPIIGGMWELGQGPAQRVWSIAYSSFTGGSAKCSVKVSSGPKKVEAGSQVLQVQSQVGTAAAVVFLFRWTWDM
+>sp|P01766|HV313_HUMAN Immunoglobulin heavy variable 3-13 OS=Homo sapiens OX=9606 GN=IGHV3-13 PE=1 SV=2
+MELGLSWVFLVAILEGVQCEVQLVESGGGLVQPGGSLRLSCAASGFTFSSYDMHWVRQATGKGLEWVSAIGTAGDPYYPGSVKGRFTISRENAKNSLYLQMNSLRAGDTAVYYCAR
+>DECOY_sp|P01766|HV313_HUMAN Immunoglobulin heavy variable 3-13 OS=Homo sapiens OX=9606 GN=IGHV3-13 PE=1 SV=2
+RACYYVATDGARLSNMQLYLSNKANERSITFRGKVSGPYYPDGATGIASVWELGKGTAQRVWHMDYSSFTFGSAACSLRLSGGPQVLGGGSEVLQVECQVGELIAVLFVWSLGLEM
+>sp|A0A0B4J1V1|HV321_HUMAN Immunoglobulin heavy variable 3-21 OS=Homo sapiens OX=9606 GN=IGHV3-21 PE=1 SV=1
+MELGLRWVFLVAILEGVQCEVQLVESGGGLVKPGGSLRLSCAASGFTFSSYSMNWVRQAPGKGLEWVSSISSSSSYIYYADSVKGRFTISRDNAKNSLYLQMNSLRAEDTAVYYCAR
+>DECOY_sp|A0A0B4J1V1|HV321_HUMAN Immunoglobulin heavy variable 3-21 OS=Homo sapiens OX=9606 GN=IGHV3-21 PE=1 SV=1
+RACYYVATDEARLSNMQLYLSNKANDRSITFRGKVSDAYYIYSSSSSISSVWELGKGPAQRVWNMSYSSFTFGSAACSLRLSGGPKVLGGGSEVLQVECQVGELIAVLFVWRLGLEM
+>sp|P01768|HV330_HUMAN Immunoglobulin heavy variable 3-30 OS=Homo sapiens OX=9606 GN=IGHV3-30 PE=1 SV=2
+MEFGLSWVFLVALLRGVQCQVQLVESGGGVVQPGRSLRLSCAASGFTFSSYGMHWVRQAPGKGLEWVAVISYDGSNKYYADSVKGRFTISRDNSKNTLYLQMNSLRAEDTAVYYCAK
+>DECOY_sp|P01768|HV330_HUMAN Immunoglobulin heavy variable 3-30 OS=Homo sapiens OX=9606 GN=IGHV3-30 PE=1 SV=2
+KACYYVATDEARLSNMQLYLTNKSNDRSITFRGKVSDAYYKNSGDYSIVAVWELGKGPAQRVWHMGYSSFTFGSAACSLRLSRGPQVVGGGSEVLQVQCQVGRLLAVLFVWSLGFEM
+>sp|A0A0B4J1X8|HV343_HUMAN Immunoglobulin heavy variable 3-43 OS=Homo sapiens OX=9606 GN=IGHV3-43 PE=3 SV=1
+MEFGLSWVFLVAILKGVQCEVQLVESGGVVVQPGGSLRLSCAASGFTFDDYTMHWVRQAPGKGLEWVSLISWDGGSTYYADSVKGRFTISRDNSKNSLYLQMNSLRTEDTALYYCAKD
+>DECOY_sp|A0A0B4J1X8|HV343_HUMAN Immunoglobulin heavy variable 3-43 OS=Homo sapiens OX=9606 GN=IGHV3-43 PE=3 SV=1
+DKACYYLATDETRLSNMQLYLSNKSNDRSITFRGKVSDAYYTSGGDWSILSVWELGKGPAQRVWHMTYDDFTFGSAACSLRLSGGPQVVVGGSEVLQVECQVGKLIAVLFVWSLGFEM
+>sp|P01767|HV353_HUMAN Immunoglobulin heavy variable 3-53 OS=Homo sapiens OX=9606 GN=IGHV3-53 PE=1 SV=2
+MEFWLSWVFLVAISKGVQCEVQLVETGGGLIQPGGSLRLSCAASGFTVSSNYMSWVRQAPGKGLEWVSVIYSGGSTYYADSVKGRFTISRDNSKNTLYLQMNSLRAEDTAVYYCAR
+>DECOY_sp|P01767|HV353_HUMAN Immunoglobulin heavy variable 3-53 OS=Homo sapiens OX=9606 GN=IGHV3-53 PE=1 SV=2
+RACYYVATDEARLSNMQLYLTNKSNDRSITFRGKVSDAYYTSGGSYIVSVWELGKGPAQRVWSMYNSSVTFGSAACSLRLSGGPQILGGGTEVLQVECQVGKSIAVLFVWSLWFEM
+>sp|A0A075B6R2|HV404_HUMAN Immunoglobulin heavy variable 4-4 OS=Homo sapiens OX=9606 GN=IGHV4-4 PE=3 SV=2
+MKHLWFFLLLVAAPRWVLSQVQLQESGPGLVKPSGTLSLTCAVSGGSISSSNWWSWVRQPPGKGLEWIGEIYHSGSTNYNPSLKSRVTISVDKSKNQFSLKLSSVTAADTAVYYCAR
+>DECOY_sp|A0A075B6R2|HV404_HUMAN Immunoglobulin heavy variable 4-4 OS=Homo sapiens OX=9606 GN=IGHV4-4 PE=3 SV=2
+RACYYVATDAATVSSLKLSFQNKSKDVSITVRSKLSPNYNTSGSHYIEGIWELGKGPPQRVWSWWNSSSISGGSVACTLSLTGSPKVLGPGSEQLQVQSLVWRPAAVLLLFFWLHKM
+>sp|P06331|HV434_HUMAN Immunoglobulin heavy variable 4-34 OS=Homo sapiens OX=9606 GN=IGHV4-34 PE=1 SV=2
+MDLLHKNMKHLWFFLLLVAAPRWVLSQVQLQQWGAGLLKPSETLSLTCAVYGGSFSGYYWSWIRQPPGKGLEWIGEINHSGSTNYNPSLKSRVTISVDTSKNQFSLKLSSVTAADTAVYYCAR
+>DECOY_sp|P06331|HV434_HUMAN Immunoglobulin heavy variable 4-34 OS=Homo sapiens OX=9606 GN=IGHV4-34 PE=1 SV=2
+RACYYVATDAATVSSLKLSFQNKSTDVSITVRSKLSPNYNTSGSHNIEGIWELGKGPPQRIWSWYYGSFSGGYVACTLSLTESPKLLGAGWQQLQVQSLVWRPAAVLLLFFWLHKMNKHLLDM
+>sp|A0A0C4DH41|HV461_HUMAN Immunoglobulin heavy variable 4-61 OS=Homo sapiens OX=9606 GN=IGHV4-61 PE=3 SV=1
+MKHLWFFLLLVAAPRWVLSQVQLQESGPGLVKPSETLSLTCTVSGGSVSSGSYYWSWIRQPPGKGLEWIGYIYYSGSTNYNPSLKSRVTISVDTSKNQFSLKLSSVTAADTAVYYCAR
+>DECOY_sp|A0A0C4DH41|HV461_HUMAN Immunoglobulin heavy variable 4-61 OS=Homo sapiens OX=9606 GN=IGHV4-61 PE=3 SV=1
+RACYYVATDAATVSSLKLSFQNKSTDVSITVRSKLSPNYNTSGSYYIYGIWELGKGPPQRIWSWYYSGSSVSGGSVTCTLSLTESPKVLGPGSEQLQVQSLVWRPAAVLLLFFWLHKM
+>sp|Q96D96|HVCN1_HUMAN Voltage-gated hydrogen channel 1 OS=Homo sapiens OX=9606 GN=HVCN1 PE=1 SV=1
+MATWDEKAVTRRAKVAPAERMSKFLRHFTVVGDDYHAWNINYKKWENEEEEEEEEQPPPTPVSGEEGRAAAPDVAPAPGPAPRAPLDFRGMLRKLFSSHRFQVIIICLVVLDALLVLAELILDLKIIQPDKNNYAAMVFHYMSITILVFFMMEIIFKLFVFRLEFFHHKFEILDAVVVVVSFILDIVLLFQEHQFEALGLLILLRLWRVARIINGIIISVKTRSERQLLRLKQMNVQLAAKIQHLEFSCSEKEQEIERLNKLLRQHGLLGEVN
+>DECOY_sp|Q96D96|HVCN1_HUMAN Voltage-gated hydrogen channel 1 OS=Homo sapiens OX=9606 GN=HVCN1 PE=1 SV=1
+NVEGLLGHQRLLKNLREIEQEKESCSFELHQIKAALQVNMQKLRLLQRESRTKVSIIIGNIIRAVRWLRLLILLGLAEFQHEQFLLVIDLIFSVVVVVADLIEFKHHFFELRFVFLKFIIEMMFFVLITISMYHFVMAAYNNKDPQIIKLDLILEALVLLADLVVLCIIIVQFRHSSFLKRLMGRFDLPARPAPGPAPAVDPAAARGEEGSVPTPPPQEEEEEEEENEWKKYNINWAHYDDGVVTFHRLFKSMREAPAVKARRTVAKEDWTAM
+>sp|O43365|HXA3_HUMAN Homeobox protein Hox-A3 OS=Homo sapiens OX=9606 GN=HOXA3 PE=1 SV=1
+MQKATYYDSSAIYGGYPYQAANGFAYNANQQPYPASAALGADGEYHRPACSLQSPSSAGGHPKAHELSEACLRTLSAPPSQPPSLGEPPLHPPPPQAAPPAPQPPQPAPQPPAPTPAAPPPPSSASPPQNASNNPTPANAAKSPLLNSPTVAKQIFPWMKESRQNTKQKTSSSSSGESCAGDKSPPGQASSKRARTAYTSAQLVELEKEFHFNRYLCRPRRVEMANLLNLTERQIKIWFQNRRMKYKKDQKGKGMLTSSGGQSPSRSPVPPGAGGYLNSMHSLVNSVPYEPQSPPPFSKPPQGTYGLPPASYPASLPSCAPPPPPQKRYTAAGAGAGGTPDYDPHAHGLQGNGSYGTPHIQGSPVFVGGSYVEPMSNSGPALFGLTHLPHAASGAMDYGGAGPLGSGHHHGPGPGEPHPTYTDLTGHHPSQGRIQEAPKLTHL
+>DECOY_sp|O43365|HXA3_HUMAN Homeobox protein Hox-A3 OS=Homo sapiens OX=9606 GN=HOXA3 PE=1 SV=1
+LHTLKPAEQIRGQSPHHGTLDTYTPHPEGPGPGHHHGSGLPGAGGYDMAGSAAHPLHTLGFLAPGSNSMPEVYSGGVFVPSGQIHPTGYSGNGQLGHAHPDYDPTGGAGAGAATYRKQPPPPPACSPLSAPYSAPPLGYTGQPPKSFPPPSQPEYPVSNVLSHMSNLYGGAGPPVPSRSPSQGGSSTLMGKGKQDKKYKMRRNQFWIKIQRETLNLLNAMEVRRPRCLYRNFHFEKELEVLQASTYATRARKSSAQGPPSKDGACSEGSSSSSTKQKTNQRSEKMWPFIQKAVTPSNLLPSKAANAPTPNNSANQPPSASSPPPPAAPTPAPPQPAPQPPQPAPPAAQPPPPHLPPEGLSPPQSPPASLTRLCAESLEHAKPHGGASSPSQLSCAPRHYEGDAGLAASAPYPQQNANYAFGNAAQYPYGGYIASSDYYTAKQM
+>sp|P31269|HXA9_HUMAN Homeobox protein Hox-A9 OS=Homo sapiens OX=9606 GN=HOXA9 PE=1 SV=4
+MATTGALGNYYVDSFLLGADAADELSVGRYAPGTLGQPPRQAATLAEHPDFSPCSFQSKATVFGASWNPVHAAGANAVPAAVYHHHHHHPYVHPQAPVAAAAPDGRYMRSWLEPTPGALSFAGLPSSRPYGIKPEPLSARRGDCPTLDTHTLSLTDYACGSPPVDREKQPSEGAFSENNAENESGGDKPPIDPNNPAANWLHARSTRKKRCPYTKHQTLELEKEFLFNMYLTRDRRYEVARLLNLTERQVKIWFQNRRMKMKKINKDRAKDE
+>DECOY_sp|P31269|HXA9_HUMAN Homeobox protein Hox-A9 OS=Homo sapiens OX=9606 GN=HOXA9 PE=1 SV=4
+EDKARDKNIKKMKMRRNQFWIKVQRETLNLLRAVEYRRDRTLYMNFLFEKELELTQHKTYPCRKKRTSRAHLWNAAPNNPDIPPKDGGSENEANNESFAGESPQKERDVPPSGCAYDTLSLTHTDLTPCDGRRASLPEPKIGYPRSSPLGAFSLAGPTPELWSRMYRGDPAAAAVPAQPHVYPHHHHHHYVAAPVANAGAAHVPNWSAGFVTAKSQFSCPSFDPHEALTAAQRPPQGLTGPAYRGVSLEDAADAGLLFSDVYYNGLAGTTAM
+>sp|P17509|HXB6_HUMAN Homeobox protein Hox-B6 OS=Homo sapiens OX=9606 GN=HOXB6 PE=1 SV=4
+MSSYFVNSTFPVTLASGQESFLGQLPLYSSGYADPLRHYPAPYGPGPGQDKGFATSSYYPPAGGGYGRAAPCDYGPAPAFYREKESACALSGADEQPPFHPEPRKSDCAQDKSVFGETEEQKCSTPVYPWMQRMNSCNSSSFGPSGRRGRQTYTRYQTLELEKEFHYNRYLTRRRRIEIAHALCLTERQIKIWFQNRRMKWKKESKLLSASQLSAEEEEEKQAE
+>DECOY_sp|P17509|HXB6_HUMAN Homeobox protein Hox-B6 OS=Homo sapiens OX=9606 GN=HOXB6 PE=1 SV=4
+EAQKEEEEEASLQSASLLKSEKKWKMRRNQFWIKIQRETLCLAHAIEIRRRRTLYRNYHFEKELELTQYRTYTQRGRRGSPGFSSSNCSNMRQMWPYVPTSCKQEETEGFVSKDQACDSKRPEPHFPPQEDAGSLACASEKERYFAPAPGYDCPAARGYGGGAPPYYSSTAFGKDQGPGPGYPAPYHRLPDAYGSSYLPLQGLFSEQGSALTVPFTSNVFYSSM
+>sp|Q9NYD6|HXC10_HUMAN Homeobox protein Hox-C10 OS=Homo sapiens OX=9606 GN=HOXC10 PE=1 SV=2
+MTCPRNVTPNSYAEPLAAPGGGERYSRSAGMYMQSGSDFNCGVMRGCGLAPSLSKRDEGSSPSLALNTYPSYLSQLDSWGDPKAAYRLEQPVGRPLSSCSYPPSVKEENVCCMYSAEKRAKSGPEAALYSHPLPESCLGEHEVPVPSYYRASPSYSALDKTPHCSGANDFEAPFEQRASLNPRAEHLESPQLGGKVSFPETPKSDSQTPSPNEIKTEQSLAGPKGSPSESEKERAKAADSSPDTSDNEAKEEIKAENTTGNWLTAKSGRKKRCPYTKHQTLELEKEFLFNMYLTRERRLEISKTINLTDRQVKIWFQNRRMKLKKMNRENRIRELTSNFNFT
+>DECOY_sp|Q9NYD6|HXC10_HUMAN Homeobox protein Hox-C10 OS=Homo sapiens OX=9606 GN=HOXC10 PE=1 SV=2
+TFNFNSTLERIRNERNMKKLKMRRNQFWIKVQRDTLNITKSIELRRERTLYMNFLFEKELELTQHKTYPCRKKRGSKATLWNGTTNEAKIEEKAENDSTDPSSDAAKAREKESESPSGKPGALSQETKIENPSPTQSDSKPTEPFSVKGGLQPSELHEARPNLSARQEFPAEFDNAGSCHPTKDLASYSPSARYYSPVPVEHEGLCSEPLPHSYLAAEPGSKARKEASYMCCVNEEKVSPPYSCSSLPRGVPQELRYAAKPDGWSDLQSLYSPYTNLALSPSSGEDRKSLSPALGCGRMVGCNFDSGSQMYMGASRSYREGGGPAALPEAYSNPTVNRPCTM
+>sp|P31275|HXC12_HUMAN Homeobox protein Hox-C12 OS=Homo sapiens OX=9606 GN=HOXC12 PE=3 SV=2
+MGEHNLLNPGFVGPLVNIHTGDTFYFPNFRASGAQLPGLPSLSYPRRDNVCSLSWPSAEPCNGYPQPYLGSPVSLNPPFGRTCELARVEDGKGYYREPCAEGGGGGLKREERGRDPGAGPGAALLPLEPSGPPALGFKYDYAAGGGGGDGGGGAGPPHDPPSCQSLESDSSSSLLNEGNKGAGAGDPGSLVSPLNPGGGLSASGAPWYPINSRSRKKRKPYSKLQLAELEGEFLVNEFITRQRRRELSDRLNLSDQQVKIWFQNRRMKKKRLLLREQALSFF
+>DECOY_sp|P31275|HXC12_HUMAN Homeobox protein Hox-C12 OS=Homo sapiens OX=9606 GN=HOXC12 PE=3 SV=2
+FFSLAQERLLLRKKKMRRNQFWIKVQQDSLNLRDSLERRRQRTIFENVLFEGELEALQLKSYPKRKKRSRSNIPYWPAGSASLGGGPNLPSVLSGPDGAGAGKNGENLLSSSSDSELSQCSPPDHPPGAGGGGDGGGGGAAYDYKFGLAPPGSPELPLLAAGPGAGPDRGREERKLGGGGGEACPERYYGKGDEVRALECTRGFPPNLSVPSGLYPQPYGNCPEASPWSLSCVNDRRPYSLSPLGPLQAGSARFNPFYFTDGTHINVLPGVFGPNLLNHEGM
+>sp|P09017|HXC4_HUMAN Homeobox protein Hox-C4 OS=Homo sapiens OX=9606 GN=HOXC4 PE=1 SV=2
+MIMSSYLMDSNYIDPKFPPCEEYSQNSYIPEHSPEYYGRTRESGFQHHHQELYPPPPPRPSYPERQYSCTSLQGPGNSRGHGPAQAGHHHPEKSQSLCEPAPLSGASASPSPAPPACSQPAPDHPSSAASKQPIVYPWMKKIHVSTVNPNYNGGEPKRSRTAYTRQQVLELEKEFHYNRYLTRRRRIEIAHSLCLSERQIKIWFQNRRMKWKKDHRLPNTKVRSAPPAGAAPSTLSAATPGTSEDHSQSATPPEQQRAEDITRL
+>DECOY_sp|P09017|HXC4_HUMAN Homeobox protein Hox-C4 OS=Homo sapiens OX=9606 GN=HOXC4 PE=1 SV=2
+LRTIDEARQQEPPTASQSHDESTGPTAASLTSPAAGAPPASRVKTNPLRHDKKWKMRRNQFWIKIQRESLCLSHAIEIRRRRTLYRNYHFEKELELVQQRTYATRSRKPEGGNYNPNVTSVHIKKMWPYVIPQKSAASSPHDPAPQSCAPPAPSPSASAGSLPAPECLSQSKEPHHHGAQAPGHGRSNGPGQLSTCSYQREPYSPRPPPPPYLEQHHHQFGSERTRGYYEPSHEPIYSNQSYEECPPFKPDIYNSDMLYSSMIM
+>sp|P09630|HXC6_HUMAN Homeobox protein Hox-C6 OS=Homo sapiens OX=9606 GN=HOXC6 PE=2 SV=3
+MNSYFTNPSLSCHLAGGQDVLPNVALNSTAYDPVRHFSTYGAAVAQNRIYSTPFYSPQENVVFSSSRGPYDYGSNSFYQEKDMLSNCRQNTLGHNTQTSIAQDFSSEQGRTAPQDQKASIQIYPWMQRMNSHSGVGYGADRRRGRQIYSRYQTLELEKEFHFNRYLTRRRRIEIANALCLTERQIKIWFQNRRMKWKKESNLTSTLSGGGGGATADSLGGKEEKREETEEEKQKE
+>DECOY_sp|P09630|HXC6_HUMAN Homeobox protein Hox-C6 OS=Homo sapiens OX=9606 GN=HOXC6 PE=2 SV=3
+EKQKEEETEERKEEKGGLSDATAGGGGGSLTSTLNSEKKWKMRRNQFWIKIQRETLCLANAIEIRRRRTLYRNFHFEKELELTQYRSYIQRGRRRDAGYGVGSHSNMRQMWPYIQISAKQDQPATRGQESSFDQAISTQTNHGLTNQRCNSLMDKEQYFSNSGYDYPGRSSSFVVNEQPSYFPTSYIRNQAVAAGYTSFHRVPDYATSNLAVNPLVDQGGALHCSLSPNTFYSNM
+>sp|P31277|HXD11_HUMAN Homeobox protein Hox-D11 OS=Homo sapiens OX=9606 GN=HOXD11 PE=3 SV=3
+MNDFDECGQSAASMYLPGCAYYVAPSDFASKPSFLSQPSSCQMTFPYSSNLAPHVQPVREVAFRDYGLERAKWPYRGGGGGGSAGGGSSGGGPGGGGGGAGGYAPYYAAAAAAAAAAAAAEEAAMQRELLPPAGRRPDVLFKAPEPVCAAPGPPHGPAGAASNFYSAVGRNGILPQGFDQFYEAAPGPPFAGPQPPPPPAPPQPEGAADKGDPRTGAGGGGGSPCTKATPGSEPKGAAEGSGGDGEGPPGEAGAEKSSSAVAPQRSRKKRCPYTKYQIRELEREFFFNVYINKEKRLQLSRMLNLTDRQVKIWFQNRRMKEKKLNRDRLQYFTGNPLF
+>DECOY_sp|P31277|HXD11_HUMAN Homeobox protein Hox-D11 OS=Homo sapiens OX=9606 GN=HOXD11 PE=3 SV=3
+FLPNGTFYQLRDRNLKKEKMRRNQFWIKVQRDTLNLMRSLQLRKEKNIYVNFFFERELERIQYKTYPCRKKRSRQPAVASSSKEAGAEGPPGEGDGGSGEAAGKPESGPTAKTCPSGGGGGAGTRPDGKDAAGEPQPPAPPPPPQPGAFPPGPAAEYFQDFGQPLIGNRGVASYFNSAAGAPGHPPGPAACVPEPAKFLVDPRRGAPPLLERQMAAEEAAAAAAAAAAAAAYYPAYGGAGGGGGGPGGGSSGGGASGGGGGGRYPWKARELGYDRFAVERVPQVHPALNSSYPFTMQCSSPQSLFSPKSAFDSPAVYYACGPLYMSAASQGCEDFDNM
+>sp|P52789|HXK2_HUMAN Hexokinase-2 OS=Homo sapiens OX=9606 GN=HK2 PE=1 SV=2
+MIASHLLAYFFTELNHDQVQKVDQYLYHMRLSDETLLEISKRFRKEMEKGLGATTHPTAAVKMLPTFVRSTPDGTEHGEFLALDLGGTNFRVLWVKVTDNGLQKVEMENQIYAIPEDIMRGSGTQLFDHIAECLANFMDKLQIKDKKLPLGFTFSFPCHQTKLDESFLVSWTKGFKSSGVEGRDVVALIRKAIQRRGDFDIDIVAVVNDTVGTMMTCGYDDHNCEIGLIVGTGSNACYMEEMRHIDMVEGDEGRMCINMEWGAFGDDGSLNDIRTEFDQEIDMGSLNPGKQLFEKMISGMYMGELVRLILVKMAKEELLFGGKLSPELLNTGRFETKDISDIEGEKDGIRKAREVLMRLGLDPTQEDCVATHRICQIVSTRSASLCAATLAAVLQRIKENKGEERLRSTIGVDGSVYKKHPHFAKRLHKTVRRLVPGCDVRFLRSEDGSGKGAAMVTAVAYRLADQHRARQKTLEHLQLSHDQLLEVKRRMKVEMERGLSKETHASAPVKMLPTYVCATPDGTEKGDFLALDLGGTNFRVLLVRVRNGKWGGVEMHNKIYAIPQEVMHGTGDELFDHIVQCIADFLEYMGMKGVSLPLGFTFSFPCQQNSLDESILLKWTKGFKASGCEGEDVVTLLKEAIHRREEFDLDVVAVVNDTVGTMMTCGFEDPHCEVGLIVGTGSNACYMEEMRNVELVEGEEGRMCVNMEWGAFGDNGCLDDFRTEFDVAVDELSLNPGKQRFEKMISGMYLGEIVRNILIDFTKRGLLFRGRISERLKTRGIFETKFLSQIESDCLALLQVRAILQHLGLESTCDDSIIVKEVCTVVARRAAQLCGAGMAAVVDRIRENRGLDALKVTVGVDGTLYKLHPHFAKVMHETVKDLAPKCDVSFLQSEDGSGKGAALITAVACRIREAGQR
+>DECOY_sp|P52789|HXK2_HUMAN Hexokinase-2 OS=Homo sapiens OX=9606 GN=HK2 PE=1 SV=2
+RQGAERIRCAVATILAAGKGSGDESQLFSVDCKPALDKVTEHMVKAFHPHLKYLTGDVGVTVKLADLGRNERIRDVVAAMGAGCLQAARRAVVTCVEKVIISDDCTSELGLHQLIARVQLLALCDSEIQSLFKTEFIGRTKLRESIRGRFLLGRKTFDILINRVIEGLYMGSIMKEFRQKGPNLSLEDVAVDFETRFDDLCGNDGFAGWEMNVCMRGEEGEVLEVNRMEEMYCANSGTGVILGVECHPDEFGCTMMTGVTDNVVAVVDLDFEERRHIAEKLLTVVDEGECGSAKFGKTWKLLISEDLSNQQCPFSFTFGLPLSVGKMGMYELFDAICQVIHDFLEDGTGHMVEQPIAYIKNHMEVGGWKGNRVRVLLVRFNTGGLDLALFDGKETGDPTACVYTPLMKVPASAHTEKSLGREMEVKMRRKVELLQDHSLQLHELTKQRARHQDALRYAVATVMAAGKGSGDESRLFRVDCGPVLRRVTKHLRKAFHPHKKYVSGDVGITSRLREEGKNEKIRQLVAALTAACLSASRTSVIQCIRHTAVCDEQTPDLGLRMLVERAKRIGDKEGEIDSIDKTEFRGTNLLEPSLKGGFLLEEKAMKVLILRVLEGMYMGSIMKEFLQKGPNLSGMDIEQDFETRIDNLSGDDGFAGWEMNICMRGEDGEVMDIHRMEEMYCANSGTGVILGIECNHDDYGCTMMTGVTDNVVAVIDIDFDGRRQIAKRILAVVDRGEVGSSKFGKTWSVLFSEDLKTQHCPFSFTFGLPLKKDKIQLKDMFNALCEAIHDFLQTGSGRMIDEPIAYIQNEMEVKQLGNDTVKVWLVRFNTGGLDLALFEGHETGDPTSRVFTPLMKVAATPHTTAGLGKEMEKRFRKSIELLTEDSLRMHYLYQDVKQVQDHNLETFFYALLHSAIM
+>sp|P52790|HXK3_HUMAN Hexokinase-3 OS=Homo sapiens OX=9606 GN=HK3 PE=1 SV=2
+MDSIGSSGLRQGEETLSCSEEGLPGPSDSSELVQECLQQFKVTRAQLQQIQASLLGSMEQALRGQASPAPAVRMLPTYVGSTPHGTEQGDFVVLELGATGASLRVLWVTLTGIEGHRVEPRSQEFVIPQEVMLGAGQQLFDFAAHCLSEFLDAQPVNKQGLQLGFSFSFPCHQTGLDRSTLISWTKGFRCSGVEGQDVVQLLRDAIRRQGAYNIDVVAVVNDTVGTMMGCEPGVRPCEVGLVVDTGTNACYMEEARHVAVLDEDRGRVCVSVEWGSFSDDGALGPVLTTFDHTLDHESLNPGAQRFEKMIGGLYLGELVRLVLAHLARCGVLFGGCTSPALLSQGSILLEHVAEMEDPSTGAARVHAILQDLGLSPGASDVELVQHVCAAVCTRAAQLCAAALAAVLSCLQHSREQQTLQVAVATGGRVCERHPRFCSVLQGTVMLLAPECDVSLIPSVDGGGRGVAMVTAVAARLAAHRRLLEETLAPFRLNHDQLAAVQAQMRKAMAKGLRGEASSLRMLPTFVRATPDGSERGDFLALDLGGTNFRVLLVRVTTGVQITSEIYSIPETVAQGSGQQLFDHIVDCIVDFQQKQGLSGQSLPLGFTFSFPCRQLGLDQGILLNWTKGFKASDCEGQDVVSLLREAITRRQAVELNVVAIVNDTVGTMMSCGYEDPRCEIGLIVGTGTNACYMEELRNVAGVPGDSGRMCINMEWGAFGDDGSLAMLSTRFDASVDQASINPGKQRFEKMISGMYLGEIVRHILLHLTSLGVLFRGQQIQRLQTRDIFKTKFLSEIESDSLALRQVRAILEDLGLPLTSDDALMVLEVCQAVSQRAAQLCGAGVAAVVEKIRENRGLEELAVSVGVDGTLYKLHPRFSSLVAATVRELAPRCVVTFLQSEDGSGKGAALVTAVACRLAQLTRV
+>DECOY_sp|P52790|HXK3_HUMAN Hexokinase-3 OS=Homo sapiens OX=9606 GN=HK3 PE=1 SV=2
+VRTLQALRCAVATVLAAGKGSGDESQLFTVVCRPALERVTAAVLSSFRPHLKYLTGDVGVSVALEELGRNERIKEVVAAVGAGCLQAARQSVAQCVELVMLADDSTLPLGLDELIARVQRLALSDSEIESLFKTKFIDRTQLRQIQQGRFLVGLSTLHLLIHRVIEGLYMGSIMKEFRQKGPNISAQDVSADFRTSLMALSGDDGFAGWEMNICMRGSDGPVGAVNRLEEMYCANTGTGVILGIECRPDEYGCSMMTGVTDNVIAVVNLEVAQRRTIAERLLSVVDQGECDSAKFGKTWNLLIGQDLGLQRCPFSFTFGLPLSQGSLGQKQQFDVICDVIHDFLQQGSGQAVTEPISYIESTIQVGTTVRVLLVRFNTGGLDLALFDGRESGDPTARVFTPLMRLSSAEGRLGKAMAKRMQAQVAALQDHNLRFPALTEELLRRHAALRAAVATVMAVGRGGGDVSPILSVDCEPALLMVTGQLVSCFRPHRECVRGGTAVAVQLTQQERSHQLCSLVAALAAACLQAARTCVAACVHQVLEVDSAGPSLGLDQLIAHVRAAGTSPDEMEAVHELLISGQSLLAPSTCGGFLVGCRALHALVLRVLEGLYLGGIMKEFRQAGPNLSEHDLTHDFTTLVPGLAGDDSFSGWEVSVCVRGRDEDLVAVHRAEEMYCANTGTDVVLGVECPRVGPECGMMTGVTDNVVAVVDINYAGQRRIADRLLQVVDQGEVGSCRFGKTWSILTSRDLGTQHCPFSFSFGLQLGQKNVPQADLFESLCHAAFDFLQQGAGLMVEQPIVFEQSRPEVRHGEIGTLTVWLVRLSAGTAGLELVVFDGQETGHPTSGVYTPLMRVAPAPSAQGRLAQEMSGLLSAQIQQLQARTVKFQQLCEQVLESSDSPGPLGEESCSLTEEGQRLGSSGISDM
+>sp|Q9BYI3|HYCCI_HUMAN Hyccin OS=Homo sapiens OX=9606 GN=FAM126A PE=1 SV=2
+MFTSEKGVVEEWLSEFKTLPETSLPNYATNLKDKSSLVSSLYKVIQEPQSELLEPVCHQLFEFYRSGEEQLLQFTLQFLPELIWCYLAVSASRNVHSSGCIEALLLGVYNLEIVDKQGHTKVLSFTIPSLSKPSVYHEPSSIGSMALTESALSQHGLSKVVYSGPHPQREMLTAQNRFEVLTFLLLCYNAALTYMPSVSLQSLCQICSRICVCGYPRQHVRKYKGISSRIPVSSGFMVQMLTGIYFAFYNGEWDLAQKALDDIIYRAQLELYPEPLLVANAIKASLPHGPMKSNKEGTRCIQVEITPTSSRISRNAVTSMSIRGHRWKRHGNTELTGQEELMEISEVDEGFYSRAASSTSQSGLSNSSHNCSNKPSIGKNHRRSGGSKTGGKEKETTGESCKDHFARKQTQRAQSENLELLSLKRLTLTTSQSLPKPSSHGLAKTAATVFSKSFEQVSGVTVPHNPSSAVGCGAGTDANRFSACSLQEEKLIYVSERTELPMKHQSGQQRPPSISITLSTD
+>DECOY_sp|Q9BYI3|HYCCI_HUMAN Hyccin OS=Homo sapiens OX=9606 GN=FAM126A PE=1 SV=2
+DTSLTISISPPRQQGSQHKMPLETRESVYILKEEQLSCASFRNADTGAGCGVASSPNHPVTVGSVQEFSKSFVTAATKALGHSSPKPLSQSTTLTLRKLSLLELNESQARQTQKRAFHDKCSEGTTEKEKGGTKSGGSRRHNKGISPKNSCNHSSNSLGSQSTSSAARSYFGEDVESIEMLEEQGTLETNGHRKWRHGRISMSTVANRSIRSSTPTIEVQICRTGEKNSKMPGHPLSAKIANAVLLPEPYLELQARYIIDDLAKQALDWEGNYFAFYIGTLMQVMFGSSVPIRSSIGKYKRVHQRPYGCVCIRSCIQCLSQLSVSPMYTLAANYCLLLFTLVEFRNQATLMERQPHPGSYVVKSLGHQSLASETLAMSGISSPEHYVSPKSLSPITFSLVKTHGQKDVIELNYVGLLLAEICGSSHVNRSASVALYCWILEPLFQLTFQLLQEEGSRYFEFLQHCVPELLESQPEQIVKYLSSVLSSKDKLNTAYNPLSTEPLTKFESLWEEVVGKESTFM
+>sp|O75409|HYPM_HUMAN Huntingtin-interacting protein M OS=Homo sapiens OX=9606 GN=HYPM PE=1 SV=2
+MSEKKNCKNSSTNNNQTQDPSRNELQVPRSFVDRVVQDERDVQSQSSSTINTLLTLLDCLADYIMERVGLEASNNGSMRNTSQDREREVDNNREPHSAESDVTRFLFDEMPKSRKND
+>DECOY_sp|O75409|HYPM_HUMAN Huntingtin-interacting protein M OS=Homo sapiens OX=9606 GN=HYPM PE=1 SV=2
+DNKRSKPMEDFLFRTVDSEASHPERNNDVERERDQSTNRMSGNNSAELGVREMIYDALCDLLTLLTNITSSSQSQVDREDQVVRDVFSRPVQLENRSPDQTQNNNTSSNKCNKKESM
+>sp|Q6ZQW0|I23O2_HUMAN Indoleamine 2,3-dioxygenase 2 OS=Homo sapiens OX=9606 GN=IDO2 PE=1 SV=4
+MLHFHYYDTSNKIMEPHRPNVKTAVPLSLESYHISEEYGFLLPDSLKELPDHYRPWMEIANKLPQLIDAHQLQAHVDKMPLLSCQFLKGHREQRLAHLVLSFLTMGYVWQEGEAQPAEVLPRNLALPFVEVSRNLGLPPILVHSDLVLTNWTKKDPDGFLEIGNLETIISFPGGESLHGFILVTALVEKEAVPGIKALVQATNAILQPNQEALLQALQRLRLSIQDITKTLGQMHDYVDPDIFYAGIRIFLSGWKDNPAMPAGLMYEGVSQEPLKYSGGSAAQSTVLHAFDEFLGIRHSKESGDFLYRMRDYMPPSHKAFIEDIHSAPSLRDYILSSGQDHLLTAYNQCVQALAELRSYHITMVTKYLITAAAKAKHGKPNHLPGPPQALKDRGTGGTAVMSFLKSVRDKTLESILHPRG
+>DECOY_sp|Q6ZQW0|I23O2_HUMAN Indoleamine 2,3-dioxygenase 2 OS=Homo sapiens OX=9606 GN=IDO2 PE=1 SV=4
+GRPHLISELTKDRVSKLFSMVATGGTGRDKLAQPPGPLHNPKGHKAKAAATILYKTVMTIHYSRLEALAQVCQNYATLLHDQGSSLIYDRLSPASHIDEIFAKHSPPMYDRMRYLFDGSEKSHRIGLFEDFAHLVTSQAASGGSYKLPEQSVGEYMLGAPMAPNDKWGSLFIRIGAYFIDPDVYDHMQGLTKTIDQISLRLRQLAQLLAEQNPQLIANTAQVLAKIGPVAEKEVLATVLIFGHLSEGGPFSIITELNGIELFGDPDKKTWNTLVLDSHVLIPPLGLNRSVEVFPLALNRPLVEAPQAEGEQWVYGMTLFSLVLHALRQERHGKLFQCSLLPMKDVHAQLQHADILQPLKNAIEMWPRYHDPLEKLSDPLLFGYEESIHYSELSLPVATKVNPRHPEMIKNSTDYYHFHLM
+>sp|P17936|IBP3_HUMAN Insulin-like growth factor-binding protein 3 OS=Homo sapiens OX=9606 GN=IGFBP3 PE=1 SV=2
+MQRARPTLWAAALTLLVLLRGPPVARAGASSAGLGPVVRCEPCDARALAQCAPPPAVCAELVREPGCGCCLTCALSEGQPCGIYTERCGSGLRCQPSPDEARPLQALLDGRGLCVNASAVSRLRAYLLPAPPAPGNASESEEDRSAGSVESPSVSSTHRVSDPKFHPLHSKIIIIKKGHAKDSQRYKVDYESQSTDTQNFSSESKRETEYGPCRREMEDTLNHLKFLNVLSPRGVHIPNCDKKGFYKKKQCRPSKGRKRGFCWCVDKYGQPLPGYTTKGKEDVHCYSMQSK
+>DECOY_sp|P17936|IBP3_HUMAN Insulin-like growth factor-binding protein 3 OS=Homo sapiens OX=9606 GN=IGFBP3 PE=1 SV=2
+KSQMSYCHVDEKGKTTYGPLPQGYKDVCWCFGRKRGKSPRCQKKKYFGKKDCNPIHVGRPSLVNLFKLHNLTDEMERRCPGYETERKSESSFNQTDTSQSEYDVKYRQSDKAHGKKIIIIKSHLPHFKPDSVRHTSSVSPSEVSGASRDEESESANGPAPPAPLLYARLRSVASANVCLGRGDLLAQLPRAEDPSPQCRLGSGCRETYIGCPQGESLACTLCCGCGPERVLEACVAPPPACQALARADCPECRVVPGLGASSAGARAVPPGRLLVLLTLAAAWLTPRARQM
+>sp|Q9P2D0|IBTK_HUMAN Inhibitor of Bruton tyrosine kinase OS=Homo sapiens OX=9606 GN=IBTK PE=1 SV=3
+MSSPMPDCTSKCRSLKHALDVLSVVTKGSENQIKAFLSSHCYNAATIKDVFGRNALHLVSSCGKKGVLDWLIQKGVDLLVKDKESGWTALHRSIFYGHIDCVWSLLKHGVSLYIQDKEGLSALDLVMKDRPTHVVFKNTDPTDVYTWGDNTNFTLGHGSQNSKHHPELVDLFSRSGIYIKQVVLCKFHSVFLSQKGQVYTCGHGPGGRLGHGDEQTCLVPRLVEGLNGHNCSQVAAAKDHTVVLTEDGCVYTFGLNIFHQLGIIPPPSSCNVPRQIQAKYLKGRTIIGVAAGRFHTVLWTREAVYTMGLNGGQLGCLLDPNGEKCVTAPRQVSALHHKDIALSLVAASDGATVCVTTRGDIYLLADYQCKKMASKQLNLKKVLVSGGHMEYKVDPEHLKENGGQKICILAMDGAGRVFCWRSVNSSLKQCRWAYPRQVFISDIALNRNEILFVTQDGEGFRGRWFEEKRKSSEKKEILSNLHNSSSDVSYVSDINSVYERIRLEKLTFAHRAVSVSTDPSGCNFAILQSDPKTSLYEIPAVSSSSFFEEFGKLLREADEMDSIHDVTFQVGNRLFPAHKYILAVHSDFFQKLFLSDGNTSEFTDIYQKDEDSAGCHLFVVEKVHPDMFEYLLQFIYTDTCDFLTHGFKPRIHLNKNPEEYQGTLNSHLNKVNFHEDDNQKSAFEVYKSNQAQTVSERQKSKPKSCKKGKNIREDDPVRMLQTVAKKFDFSNLSSRLDGVRFENEKINVIAKNTGNKLKLSQKKCSFLCDVTMKSVDGKEFPCHKCVLCARLEYFHSMLSSSWIEASSCAALEMPIHSDILKVILDYLYTDEAVVIKESQNVDFICSVLVVADQLLITRLKEICEVALTEKLTLKNAAMLLEFAAMYSAKQLKLSCLQFIGLNMAALLEARSLDVLSDGVLKDLSEFYRKMIPAMDRRVITPYQDGPDISYLEVEDGDIFLKEEINMEQNHSETMFKKAKTKAKKKPRKRSDSSGGYNLSDIIQSPSSTGLLKSGKTNSVESLPELLTSDSEGSYAGVGSPRDLQSPDFTTGFHSDKIEAKVKPYVNGTSPVYSREDLKPWEKSPILKISAPQPIPSNRIDTTSSASWVAGSFSPVSPPVVDLRTIMEIEESRQKCGATPKSHLGKTVSHGVKLSQKQRKMIALTTKENNSGMNSMETVLFTPSKAPKPVNAWASSLHSVSSKSFRDFLLEEKKSVTSHSSGDHVKKVSFKGIENSQAPKIVRCSTHGTPGPEGNHISDLPLLDSPNPWLSSSVTAPSMVAPVTFASIVEEELQQEAALIRSREKPLALIQIEEHAIQDLLVFYEAFGNPEEFVIVERTPQGPLAVPMWNKHGC
+>DECOY_sp|Q9P2D0|IBTK_HUMAN Inhibitor of Bruton tyrosine kinase OS=Homo sapiens OX=9606 GN=IBTK PE=1 SV=3
+CGHKNWMPVALPGQPTREVIVFEEPNGFAEYFVLLDQIAHEEIQILALPKERSRILAAEQQLEEEVISAFTVPAVMSPATVSSSLWPNPSDLLPLDSIHNGEPGPTGHTSCRVIKPAQSNEIGKFSVKKVHDGSSHSTVSKKEELLFDRFSKSSVSHLSSAWANVPKPAKSPTFLVTEMSNMGSNNEKTTLAIMKRQKQSLKVGHSVTKGLHSKPTAGCKQRSEEIEMITRLDVVPPSVPSFSGAVWSASSTTDIRNSPIPQPASIKLIPSKEWPKLDERSYVPSTGNVYPKVKAEIKDSHFGTTFDPSQLDRPSGVGAYSGESDSTLLEPLSEVSNTKGSKLLGTSSPSQIIDSLNYGGSSDSRKRPKKKAKTKAKKFMTESHNQEMNIEEKLFIDGDEVELYSIDPGDQYPTIVRRDMAPIMKRYFESLDKLVGDSLVDLSRAELLAAMNLGIFQLCSLKLQKASYMAAFELLMAANKLTLKETLAVECIEKLRTILLQDAVVLVSCIFDVNQSEKIVVAEDTYLYDLIVKLIDSHIPMELAACSSAEIWSSSLMSHFYELRACLVCKHCPFEKGDVSKMTVDCLFSCKKQSLKLKNGTNKAIVNIKENEFRVGDLRSSLNSFDFKKAVTQLMRVPDDERINKGKKCSKPKSKQRESVTQAQNSKYVEFASKQNDDEHFNVKNLHSNLTGQYEEPNKNLHIRPKFGHTLFDCTDTYIFQLLYEFMDPHVKEVVFLHCGASDEDKQYIDTFESTNGDSLFLKQFFDSHVALIYKHAPFLRNGVQFTVDHISDMEDAERLLKGFEEFFSSSSVAPIEYLSTKPDSQLIAFNCGSPDTSVSVARHAFTLKELRIREYVSNIDSVYSVDSSSNHLNSLIEKKESSKRKEEFWRGRFGEGDQTVFLIENRNLAIDSIFVQRPYAWRCQKLSSNVSRWCFVRGAGDMALICIKQGGNEKLHEPDVKYEMHGGSVLVKKLNLQKSAMKKCQYDALLYIDGRTTVCVTAGDSAAVLSLAIDKHHLASVQRPATVCKEGNPDLLCGLQGGNLGMTYVAERTWLVTHFRGAAVGIITRGKLYKAQIQRPVNCSSPPPIIGLQHFINLGFTYVCGDETLVVTHDKAAAVQSCNHGNLGEVLRPVLCTQEDGHGLRGGPGHGCTYVQGKQSLFVSHFKCLVVQKIYIGSRSFLDVLEPHHKSNQSGHGLTFNTNDGWTYVDTPDTNKFVVHTPRDKMVLDLASLGEKDQIYLSVGHKLLSWVCDIHGYFISRHLATWGSEKDKVLLDVGKQILWDLVGKKGCSSVLHLANRGFVDKITAANYCHSSLFAKIQNESGKTVVSLVDLAHKLSRCKSTCDPMPSSM
+>sp|Q9UPZ9|ICK_HUMAN Serine/threonine-protein kinase ICK OS=Homo sapiens OX=9606 GN=ICK PE=1 SV=1
+MNRYTTIRQLGDGTYGSVLLGRSIESGELIAIKKMKRKFYSWEECMNLREVKSLKKLNHANVVKLKEVIRENDHLYFIFEYMKENLYQLIKERNKLFPESAIRNIMYQILQGLAFIHKHGFFHRDLKPENLLCMGPELVKIADFGLAREIRSKPPYTDYVSTRWYRAPEVLLRSTNYSSPIDVWAVGCIMAEVYTLRPLFPGASEIDTIFKICQVLGTPKKTDWPEGYQLSSAMNFRWPQCVPNNLKTLIPNASSEAVQLLRDMLQWDPKKRPTASQALRYPYFQVGHPLGSTTQNLQDSEKPQKGILEKAGPPPYIKPVPPAQPPAKPHTRISSRQHQASQPPLHLTYPYKAEVSRTDHPSHLQEDKPSPLLFPSLHNKHPQSKITAGLEHKNGEIKPKSRRRWGLISRSTKDSDDWADLDDLDFSPSLSRIDLKNKKRQSDDTLCRFESVLDLKPSEPVGTGNSAPTQTSYQRRDTPTLRSAAKQHYLKHSRYLPGISIRNGILSNPGKEFIPPNPWSSSGLSGKSSGTMSVISKVNSVGSSSTSSSGLTGNYVPSFLKKEIGSAMQRVHLAPIPDPSPGYSSLKAMRPHPGRPFFHTQPRSTPGLIPRPPAAQPVHGRTDWASKYASRR
+>DECOY_sp|Q9UPZ9|ICK_HUMAN Serine/threonine-protein kinase ICK OS=Homo sapiens OX=9606 GN=ICK PE=1 SV=1
+RRSAYKSAWDTRGHVPQAAPPRPILGPTSRPQTHFFPRGPHPRMAKLSSYGPSPDPIPALHVRQMASGIEKKLFSPVYNGTLGSSSTSSSGVSNVKSIVSMTGSSKGSLGSSSWPNPPIFEKGPNSLIGNRISIGPLYRSHKLYHQKAASRLTPTDRRQYSTQTPASNGTGVPESPKLDLVSEFRCLTDDSQRKKNKLDIRSLSPSFDLDDLDAWDDSDKTSRSILGWRRRSKPKIEGNKHELGATIKSQPHKNHLSPFLLPSPKDEQLHSPHDTRSVEAKYPYTLHLPPQSAQHQRSSIRTHPKAPPQAPPVPKIYPPPGAKELIGKQPKESDQLNQTTSGLPHGVQFYPYRLAQSATPRKKPDWQLMDRLLQVAESSANPILTKLNNPVCQPWRFNMASSLQYGEPWDTKKPTGLVQCIKFITDIESAGPFLPRLTYVEAMICGVAWVDIPSSYNTSRLLVEPARYWRTSVYDTYPPKSRIERALGFDAIKVLEPGMCLLNEPKLDRHFFGHKHIFALGQLIQYMINRIASEPFLKNREKILQYLNEKMYEFIFYLHDNERIVEKLKVVNAHNLKKLSKVERLNMCEEWSYFKRKMKKIAILEGSEISRGLLVSGYTGDGLQRITTYRNM
+>sp|P14735|IDE_HUMAN Insulin-degrading enzyme OS=Homo sapiens OX=9606 GN=IDE PE=1 SV=4
+MRYRLAWLLHPALPSTFRSVLGARLPPPERLCGFQKKTYSKMNNPAIKRIGNHITKSPEDKREYRGLELANGIKVLLISDPTTDKSSAALDVHIGSLSDPPNIAGLSHFCEHMLFLGTKKYPKENEYSQFLSEHAGSSNAFTSGEHTNYYFDVSHEHLEGALDRFAQFFLCPLFDESCKDREVNAVDSEHEKNVMNDAWRLFQLEKATGNPKHPFSKFGTGNKYTLETRPNQEGIDVRQELLKFHSAYYSSNLMAVCVLGRESLDDLTNLVVKLFSEVENKNVPLPEFPEHPFQEEHLKQLYKIVPIKDIRNLYVTFPIPDLQKYYKSNPGHYLGHLIGHEGPGSLLSELKSKGWVNTLVGGQKEGARGFMFFIINVDLTEEGLLHVEDIILHMFQYIQKLRAEGPQEWVFQECKDLNAVAFRFKDKERPRGYTSKIAGILHYYPLEEVLTAEYLLEEFRPDLIEMVLDKLRPENVRVAIVSKSFEGKTDRTEEWYGTQYKQEAIPDEVIKKWQNADLNGKFKLPTKNEFIPTNFEILPLEKEATPYPALIKDTAMSKLWFKQDDKFFLPKACLNFEFFSPFAYVDPLHCNMAYLYLELLKDSLNEYAYAAELAGLSYDLQNTIYGMYLSVKGYNDKQPILLKKIIEKMATFEIDEKRFEIIKEAYMRSLNNFRAEQPHQHAMYYLRLLMTEVAWTKDELKEALDDVTLPRLKAFIPQLLSRLHIEALLHGNITKQAALGIMQMVEDTLIEHAHTKPLLPSQLVRYREVQLPDRGWFVYQQRNEVHNNCGIEIYYQTDMQSTSENMFLELFCQIISEPCFNTLRTKEQLGYIVFSGPRRANGIQGLRFIIQSEKPPHYLESRVEAFLITMEKSIEDMTEEAFQKHIQALAIRRLDKPKKLSAECAKYWGEIISQQYNFDRDNTEVAYLKTLTKEDIIKFYKEMLAVDAPRRHKVSVHVLAREMDSCPVVGEFPCQNDINLSQAPALPQPEVIQNMTEFKRGLPLFPLVKPHINFMAAKL
+>DECOY_sp|P14735|IDE_HUMAN Insulin-degrading enzyme OS=Homo sapiens OX=9606 GN=IDE PE=1 SV=4
+LKAAMFNIHPKVLPFLPLGRKFETMNQIVEPQPLAPAQSLNIDNQCPFEGVVPCSDMERALVHVSVKHRRPADVALMEKYFKIIDEKTLTKLYAVETNDRDFNYQQSIIEGWYKACEASLKKPKDLRRIALAQIHKQFAEETMDEISKEMTILFAEVRSELYHPPKESQIIFRLGQIGNARRPGSFVIYGLQEKTRLTNFCPESIIQCFLELFMNESTSQMDTQYYIEIGCNNHVENRQQYVFWGRDPLQVERYRVLQSPLLPKTHAHEILTDEVMQMIGLAAQKTINGHLLAEIHLRSLLQPIFAKLRPLTVDDLAEKLEDKTWAVETMLLRLYYMAHQHPQEARFNNLSRMYAEKIIEFRKEDIEFTAMKEIIKKLLIPQKDNYGKVSLYMGYITNQLDYSLGALEAAYAYENLSDKLLELYLYAMNCHLPDVYAFPSFFEFNLCAKPLFFKDDQKFWLKSMATDKILAPYPTAEKELPLIEFNTPIFENKTPLKFKGNLDANQWKKIVEDPIAEQKYQTGYWEETRDTKGEFSKSVIAVRVNEPRLKDLVMEILDPRFEELLYEATLVEELPYYHLIGAIKSTYGRPREKDKFRFAVANLDKCEQFVWEQPGEARLKQIYQFMHLIIDEVHLLGEETLDVNIIFFMFGRAGEKQGGVLTNVWGKSKLESLLSGPGEHGILHGLYHGPNSKYYKQLDPIPFTVYLNRIDKIPVIKYLQKLHEEQFPHEPFEPLPVNKNEVESFLKVVLNTLDDLSERGLVCVAMLNSSYYASHFKLLEQRVDIGEQNPRTELTYKNGTGFKSFPHKPNGTAKELQFLRWADNMVNKEHESDVANVERDKCSEDFLPCLFFQAFRDLAGELHEHSVDFYYNTHEGSTFANSSGAHESLFQSYENEKPYKKTGLFLMHECFHSLGAINPPDSLSGIHVDLAASSKDTTPDSILLVKIGNALELGRYERKDEPSKTIHNGIRKIAPNNMKSYTKKQFGCLREPPPLRAGLVSRFTSPLAPHLLWALRYRM
+>sp|P51553|IDH3G_HUMAN Isocitrate dehydrogenase [NAD] subunit gamma, mitochondrial OS=Homo sapiens OX=9606 GN=IDH3G PE=1 SV=1
+MALKVATVAGSAAKAVLGPALLCRPWEVLGAHEVPSRNIFSEQTIPPSAKYGGRHTVTMIPGDGIGPELMLHVKSVFRHACVPVDFEEVHVSSNADEEDIRNAIMAIRRNRVALKGNIETNHNLPPSHKSRNNILRTSLDLYANVIHCKSLPGVVTRHKDIDILIVRENTEGEYSSLEHESVAGVVESLKIITKAKSLRIAEYAFKLAQESGRKKVTAVHKANIMKLGDGLFLQCCREVAARYPQITFENMIVDNTTMQLVSRPQQFDVMVMPNLYGNIVNNVCAGLVGGPGLVAGANYGHVYAVFETATRNTGKSIANKNIANPTATLLASCMMLDHLKLHSYATSIRKAVLASMDNENMHTPDIGGQGTTSEAIQDVIRHIRVINGRAVEA
+>DECOY_sp|P51553|IDH3G_HUMAN Isocitrate dehydrogenase [NAD] subunit gamma, mitochondrial OS=Homo sapiens OX=9606 GN=IDH3G PE=1 SV=1
+AEVARGNIVRIHRIVDQIAESTTGQGGIDPTHMNENDMSALVAKRISTAYSHLKLHDLMMCSALLTATPNAINKNAISKGTNRTATEFVAYVHGYNAGAVLGPGGVLGACVNNVINGYLNPMVMVDFQQPRSVLQMTTNDVIMNEFTIQPYRAAVERCCQLFLGDGLKMINAKHVATVKKRGSEQALKFAYEAIRLSKAKTIIKLSEVVGAVSEHELSSYEGETNERVILIDIDKHRTVVGPLSKCHIVNAYLDLSTRLINNRSKHSPPLNHNTEINGKLAVRNRRIAMIANRIDEEDANSSVHVEEFDVPVCAHRFVSKVHLMLEPGIGDGPIMTVTHRGGYKASPPITQESFINRSPVEHAGLVEWPRCLLAPGLVAKAASGAVTAVKLAM
+>sp|Q16666|IF16_HUMAN Gamma-interferon-inducible protein 16 OS=Homo sapiens OX=9606 GN=IFI16 PE=1 SV=3
+MGKKYKNIVLLKGLEVINDYHFRMVKSLLSNDLKLNLKMREEYDKIQIADLMEEKFRGDAGLGKLIKIFEDIPTLEDLAETLKKEKLKVKGPALSRKRKKEVDATSPAPSTSSTVKTEGAEATPGAQKRKKSTKEKAGPKGSKVSEEQTQPPSPAGAGMSTAMGRSPSPKTSLSAPPNSSSTENPKTVAKCQVTPRRNVLQKRPVIVKVLSTTKPFEYETPEMEKKIMFHATVATQTQFFHVKVLNTSLKEKFNGKKIIIISDYLEYDSLLEVNEESTVSEAGPNQTFEVPNKIINRAKETLKIDILHKQASGNIVYGVFMLHKKTVNQKTTIYEIQDDRGKMDVVGTGQCHNIPCEEGDKLQLFCFRLRKKNQMSKLISEMHSFIQIKKKTNPRNNDPKSMKLPQEQRQLPYPSEASTTFPESHLRTPQMPPTTPSSSFFTKKSEDTISKMNDFMRMQILKEGSHFPGPFMTSIGPAESHPHTPQMPPSTPSSSFLTTKSEDTISKMNDFMRMQILKEGSHFPGPFMTSIGPAESHPHTPQMPPSTPSSSFLTTLKPRLKTEPEEVSIEDSAQSDLKEVMVLNATESFVYEPKEQKKMFHATVATENEVFRVKVFNIDLKEKFTPKKIIAIANYVCRNGFLEVYPFTLVADVNADRNMEIPKGLIRSASVTPKINQLCSQTKGSFVNGVFEVHKKNVRGEFTYYEIQDNTGKMEVVVHGRLTTINCEEGDKLKLTCFELAPKSGNTGELRSVIHSHIKVIKTRKNKKDILNPDSSMETSPDFFF
+>DECOY_sp|Q16666|IF16_HUMAN Gamma-interferon-inducible protein 16 OS=Homo sapiens OX=9606 GN=IFI16 PE=1 SV=3
+FFFDPSTEMSSDPNLIDKKNKRTKIVKIHSHIVSRLEGTNGSKPALEFCTLKLKDGEECNITTLRGHVVVEMKGTNDQIEYYTFEGRVNKKHVEFVGNVFSGKTQSCLQNIKPTVSASRILGKPIEMNRDANVDAVLTFPYVELFGNRCVYNAIAIIKKPTFKEKLDINFVKVRFVENETAVTAHFMKKQEKPEYVFSETANLVMVEKLDSQASDEISVEEPETKLRPKLTTLFSSSPTSPPMQPTHPHSEAPGISTMFPGPFHSGEKLIQMRMFDNMKSITDESKTTLFSSSPTSPPMQPTHPHSEAPGISTMFPGPFHSGEKLIQMRMFDNMKSITDESKKTFFSSSPTTPPMQPTRLHSEPFTTSAESPYPLQRQEQPLKMSKPDNNRPNTKKKIQIFSHMESILKSMQNKKRLRFCFLQLKDGEECPINHCQGTGVVDMKGRDDQIEYITTKQNVTKKHLMFVGYVINGSAQKHLIDIKLTEKARNIIKNPVEFTQNPGAESVTSEENVELLSDYELYDSIIIIKKGNFKEKLSTNLVKVHFFQTQTAVTAHFMIKKEMEPTEYEFPKTTSLVKVIVPRKQLVNRRPTVQCKAVTKPNETSSSNPPASLSTKPSPSRGMATSMGAGAPSPPQTQEESVKSGKPGAKEKTSKKRKQAGPTAEAGETKVTSSTSPAPSTADVEKKRKRSLAPGKVKLKEKKLTEALDELTPIDEFIKILKGLGADGRFKEEMLDAIQIKDYEERMKLNLKLDNSLLSKVMRFHYDNIVELGKLLVINKYKKGM
+>sp|P05198|IF2A_HUMAN Eukaryotic translation initiation factor 2 subunit 1 OS=Homo sapiens OX=9606 GN=EIF2S1 PE=1 SV=3
+MPGLSCRFYQHKFPEVEDVVMVNVRSIAEMGAYVSLLEYNNIEGMILLSELSRRRIRSINKLIRIGRNECVVVIRVDKEKGYIDLSKRRVSPEEAIKCEDKFTKSKTVYSILRHVAEVLEYTKDEQLESLFQRTAWVFDDKYKRPGYGAYDAFKHAVSDPSILDSLDLNEDEREVLINNINRRLTPQAVKIRADIEVACYGYEGIDAVKEALRAGLNCSTENMPIKINLIAPPRYVMTTTTLERTEGLSVLSQAMAVIKEKIEEKRGVFNVQMEPKVVTDTDETELARQMERLERENAEVDGDDDAEEMEAKAED
+>DECOY_sp|P05198|IF2A_HUMAN Eukaryotic translation initiation factor 2 subunit 1 OS=Homo sapiens OX=9606 GN=EIF2S1 PE=1 SV=3
+DEAKAEMEEADDDGDVEANERELREMQRALETEDTDTVVKPEMQVNFVGRKEEIKEKIVAMAQSLVSLGETRELTTTTMVYRPPAILNIKIPMNETSCNLGARLAEKVADIGEYGYCAVEIDARIKVAQPTLRRNINNILVEREDENLDLSDLISPDSVAHKFADYAGYGPRKYKDDFVWATRQFLSELQEDKTYELVEAVHRLISYVTKSKTFKDECKIAEEPSVRRKSLDIYGKEKDVRIVVVCENRGIRILKNISRIRRRSLESLLIMGEINNYELLSVYAGMEAISRVNVMVVDEVEPFKHQYFRCSLGPM
+>sp|Q9NZI8|IF2B1_HUMAN Insulin-like growth factor 2 mRNA-binding protein 1 OS=Homo sapiens OX=9606 GN=IGF2BP1 PE=1 SV=2
+MNKLYIGNLNESVTPADLEKVFAEHKISYSGQFLVKSGYAFVDCPDEHWAMKAIETFSGKVELQGKRLEIEHSVPKKQRSRKIQIRNIPPQLRWEVLDSLLAQYGTVENCEQVNTESETAVVNVTYSNREQTRQAIMKLNGHQLENHALKVSYIPDEQIAQGPENGRRGGFGSRGQPRQGSPVAAGAPAKQQQVDIPLRLLVPTQYVGAIIGKEGATIRNITKQTQSKIDVHRKENAGAAEKAISVHSTPEGCSSACKMILEIMHKEAKDTKTADEVPLKILAHNNFVGRLIGKEGRNLKKVEQDTETKITISSLQDLTLYNPERTITVKGAIENCCRAEQEIMKKVREAYENDVAAMSLQSHLIPGLNLAAVGLFPASSSAVPPPPSSVTGAAPYSSFMQAPEQEMVQVFIPAQAVGAIIGKKGQHIKQLSRFASASIKIAPPETPDSKVRMVIITGPPEAQFKAQGRIYGKLKEENFFGPKEEVKLETHIRVPASAAGRVIGKGGKTVNELQNLTAAEVVVPRDQTPDENDQVIVKIIGHFYASQMAQRKIRDILAQVKQQHQKGQSNQAQARRK
+>DECOY_sp|Q9NZI8|IF2B1_HUMAN Insulin-like growth factor 2 mRNA-binding protein 1 OS=Homo sapiens OX=9606 GN=IGF2BP1 PE=1 SV=2
+KRRAQAQNSQGKQHQQKVQALIDRIKRQAMQSAYFHGIIKVIVQDNEDPTQDRPVVVEAATLNQLENVTKGGKGIVRGAASAPVRIHTELKVEEKPGFFNEEKLKGYIRGQAKFQAEPPGTIIVMRVKSDPTEPPAIKISASAFRSLQKIHQGKKGIIAGVAQAPIFVQVMEQEPAQMFSSYPAAGTVSSPPPPVASSSAPFLGVAALNLGPILHSQLSMAAVDNEYAERVKKMIEQEARCCNEIAGKVTITREPNYLTLDQLSSITIKTETDQEVKKLNRGEKGILRGVFNNHALIKLPVEDATKTDKAEKHMIELIMKCASSCGEPTSHVSIAKEAAGANEKRHVDIKSQTQKTINRITAGEKGIIAGVYQTPVLLRLPIDVQQQKAPAGAAVPSGQRPQGRSGFGGRRGNEPGQAIQEDPIYSVKLAHNELQHGNLKMIAQRTQERNSYTVNVVATESETNVQECNEVTGYQALLSDLVEWRLQPPINRIQIKRSRQKKPVSHEIELRKGQLEVKGSFTEIAKMAWHEDPCDVFAYGSKVLFQGSYSIKHEAFVKELDAPTVSENLNGIYLKNM
+>sp|P60842|IF4A1_HUMAN Eukaryotic initiation factor 4A-I OS=Homo sapiens OX=9606 GN=EIF4A1 PE=1 SV=1
+MSASQDSRSRDNGPDGMEPEGVIESNWNEIVDSFDDMNLSESLLRGIYAYGFEKPSAIQQRAILPCIKGYDVIAQAQSGTGKTATFAISILQQIELDLKATQALVLAPTRELAQQIQKVVMALGDYMGASCHACIGGTNVRAEVQKLQMEAPHIIVGTPGRVFDMLNRRYLSPKYIKMFVLDEADEMLSRGFKDQIYDIFQKLNSNTQVVLLSATMPSDVLEVTKKFMRDPIRILVKKEELTLEGIRQFYINVEREEWKLDTLCDLYETLTITQAVIFINTRRKVDWLTEKMHARDFTVSAMHGDMDQKERDVIMREFRSGSSRVLITTDLLARGIDVQQVSLVINYDLPTNRENYIHRIGRGGRFGRKGVAINMVTEEDKRTLRDIETFYNTSIEEMPLNVADLI
+>DECOY_sp|P60842|IF4A1_HUMAN Eukaryotic initiation factor 4A-I OS=Homo sapiens OX=9606 GN=EIF4A1 PE=1 SV=1
+ILDAVNLPMEEISTNYFTEIDRLTRKDEETVMNIAVGKRGFRGGRGIRHIYNERNTPLDYNIVLSVQQVDIGRALLDTTILVRSSGSRFERMIVDREKQDMDGHMASVTFDRAHMKETLWDVKRRTNIFIVAQTITLTEYLDCLTDLKWEEREVNIYFQRIGELTLEEKKVLIRIPDRMFKKTVELVDSPMTASLLVVQTNSNLKQFIDYIQDKFGRSLMEDAEDLVFMKIYKPSLYRRNLMDFVRGPTGVIIHPAEMQLKQVEARVNTGGICAHCSAGMYDGLAMVVKQIQQALERTPALVLAQTAKLDLEIQQLISIAFTATKGTGSQAQAIVDYGKICPLIARQQIASPKEFGYAYIGRLLSESLNMDDFSDVIENWNSEIVGEPEMGDPGNDRSRSDQSASM
+>sp|O60573|IF4E2_HUMAN Eukaryotic translation initiation factor 4E type 2 OS=Homo sapiens OX=9606 GN=EIF4E2 PE=1 SV=1
+MNNKFDALKDDDSGDHDQNEENSTQKDGEKEKTERDKNQSSSKRKAVVPGPAEHPLQYNYTFWYSRRTPGRPTSSQSYEQNIKQIGTFASVEQFWRFYSHMVRPGDLTGHSDFHLFKEGIKPMWEDDANKNGGKWIIRLRKGLASRCWENLILAMLGEQFMVGEEICGAVVSVRFQEDIISIWNKTASDQATTARIRDTLRRVLNLPPNTIMEYKTHTDSIKMPGRLGPQRLLFQNLWKPRLNVP
+>DECOY_sp|O60573|IF4E2_HUMAN Eukaryotic translation initiation factor 4E type 2 OS=Homo sapiens OX=9606 GN=EIF4E2 PE=1 SV=1
+PVNLRPKWLNQFLLRQPGLRGPMKISDTHTKYEMITNPPLNLVRRLTDRIRATTAQDSATKNWISIIDEQFRVSVVAGCIEEGVMFQEGLMALILNEWCRSALGKRLRIIWKGGNKNADDEWMPKIGEKFLHFDSHGTLDGPRVMHSYFRWFQEVSAFTGIQKINQEYSQSSTPRGPTRRSYWFTYNYQLPHEAPGPVVAKRKSSSQNKDRETKEKEGDKQTSNEENQDHDGSDDDKLADFKNNM
+>sp|Q14164|IKKE_HUMAN Inhibitor of nuclear factor kappa-B kinase subunit epsilon OS=Homo sapiens OX=9606 GN=IKBKE PE=1 SV=1
+MQSTANYLWHTDDLLGQGATASVYKARNKKSGELVAVKVFNTTSYLRPREVQVREFEVLRKLNHQNIVKLFAVEETGGSRQKVLVMEYCSSGSLLSVLESPENAFGLPEDEFLVVLRCVVAGMNHLRENGIVHRDIKPGNIMRLVGEEGQSIYKLTDFGAARELDDDEKFVSVYGTEEYLHPDMYERAVLRKPQQKAFGVTVDLWSIGVTLYHAATGSLPFIPFGGPRRNKEIMYRITTEKPAGAIAGAQRRENGPLEWSYTLPITCQLSLGLQSQLVPILANILEVEQAKCWGFDQFFAETSDILQRVVVHVFSLSQAVLHHIYIHAHNTIAIFQEAVHKQTSVAPRHQEYLFEGHLCVLEPSVSAQHIAHTTASSPLTLFSTAIPKGLAFRDPALDVPKFVPKVDLQADYNTAKGVLGAGYQALRLARALLDGQELMFRGLHWVMEVLQATCRRTLEVARTSLLYLSSSLGTERFSSVAGTPEIQELKAAAELRSRLRTLAEVLSRCSQNITETQESLSSLNRELVKSRDQVHEDRSIQQIQCCLDKMNFIYKQFKKSRMRPGLGYNEEQIHKLDKVNFSHLAKRLLQVFQEECVQKYQASLVTHGKRMRVVHETRNHLRLVGCSVAACNTEAQGVQESLSKLLEELSHQLLQDRAKGAQASPPPIAPYPSPTRKDLLLHMQELCEGMKLLASDLLDNNRIIERLNRVPAPPDV
+>DECOY_sp|Q14164|IKKE_HUMAN Inhibitor of nuclear factor kappa-B kinase subunit epsilon OS=Homo sapiens OX=9606 GN=IKBKE PE=1 SV=1
+VDPPAPVRNLREIIRNNDLLDSALLKMGECLEQMHLLLDKRTPSPYPAIPPPSAQAGKARDQLLQHSLEELLKSLSEQVGQAETNCAAVSCGVLRLHNRTEHVVRMRKGHTVLSAQYKQVCEEQFVQLLRKALHSFNVKDLKHIQEENYGLGPRMRSKKFQKYIFNMKDLCCQIQQISRDEHVQDRSKVLERNLSSLSEQTETINQSCRSLVEALTRLRSRLEAAAKLEQIEPTGAVSSFRETGLSSSLYLLSTRAVELTRRCTAQLVEMVWHLGRFMLEQGDLLARALRLAQYGAGLVGKATNYDAQLDVKPVFKPVDLAPDRFALGKPIATSFLTLPSSATTHAIHQASVSPELVCLHGEFLYEQHRPAVSTQKHVAEQFIAITNHAHIYIHHLVAQSLSFVHVVVRQLIDSTEAFFQDFGWCKAQEVELINALIPVLQSQLGLSLQCTIPLTYSWELPGNERRQAGAIAGAPKETTIRYMIEKNRRPGGFPIFPLSGTAAHYLTVGISWLDVTVGFAKQQPKRLVAREYMDPHLYEETGYVSVFKEDDDLERAAGFDTLKYISQGEEGVLRMINGPKIDRHVIGNERLHNMGAVVCRLVVLFEDEPLGFANEPSELVSLLSGSSCYEMVLVKQRSGGTEEVAFLKVINQHNLKRLVEFERVQVERPRLYSTTNFVKVAVLEGSKKNRAKYVSATAGQGLLDDTHWLYNATSQM
+>sp|Q9UKT9|IKZF3_HUMAN Zinc finger protein Aiolos OS=Homo sapiens OX=9606 GN=IKZF3 PE=1 SV=2
+MEDIQTNAELKSTQEQSVPAESAAVLNDYSLTKSHEMENVDSGEGPANEDEDIGDDSMKVKDEYSERDENVLKSEPMGNAEEPEIPYSYSREYNEYENIKLERHVVSFDSSRPTSGKMNCDVCGLSCISFNVLMVHKRSHTGERPFQCNQCGASFTQKGNLLRHIKLHTGEKPFKCHLCNYACQRRDALTGHLRTHSVEKPYKCEFCGRSYKQRSSLEEHKERCRTFLQSTDPGDTASAEARHIKAEMGSERALVLDRLASNVAKRKSSMPQKFIGEKRHCFDVNYNSSYMYEKESELIQTRMMDQAINNAISYLGAEALRPLVQTPPAPTSEMVPVISSMYPIALTRAEMSNGAPQELEKKSIHLPEKSVPSERGLSPNNSGHDSTDTDSNHEERQNHIYQQNHMVLSRARNGMPLLKEVPRSYELLKPPPICPRDSVKVINKEGEVMDVYRCDHCRVLFLDYVMFTIHMGCHGFRDPFECNMCGYRSHDRYEFSSHIARGEHRALLK
+>DECOY_sp|Q9UKT9|IKZF3_HUMAN Zinc finger protein Aiolos OS=Homo sapiens OX=9606 GN=IKZF3 PE=1 SV=2
+KLLARHEGRAIHSSFEYRDHSRYGCMNCEFPDRFGHCGMHITFMVYDLFLVRCHDCRYVDMVEGEKNIVKVSDRPCIPPPKLLEYSRPVEKLLPMGNRARSLVMHNQQYIHNQREEHNSDTDTSDHGSNNPSLGRESPVSKEPLHISKKELEQPAGNSMEARTLAIPYMSSIVPVMESTPAPPTQVLPRLAEAGLYSIANNIAQDMMRTQILESEKEYMYSSNYNVDFCHRKEGIFKQPMSSKRKAVNSALRDLVLARESGMEAKIHRAEASATDGPDTSQLFTRCREKHEELSSRQKYSRGCFECKYPKEVSHTRLHGTLADRRQCAYNCLHCKFPKEGTHLKIHRLLNGKQTFSAGCQNCQFPREGTHSRKHVMLVNFSICSLGCVDCNMKGSTPRSSDFSVVHRELKINEYENYERSYSYPIEPEEANGMPESKLVNEDRESYEDKVKMSDDGIDEDENAPGEGSDVNEMEHSKTLSYDNLVAASEAPVSQEQTSKLEANTQIDEM
+>sp|Q9HBE4|IL21_HUMAN Interleukin-21 OS=Homo sapiens OX=9606 GN=IL21 PE=1 SV=2
+MERIVICLMVIFLGTLVHKSSSQGQDRHMIRMRQLIDIVDQLKNYVNDLVPEFLPAPEDVETNCEWSAFSCFQKAQLKSANTGNNERIINVSIKKLKRKPPSTNAGRRQKHRLTCPSCDSYEKKPPKEFLERFKSLLQKMIHQHLSSRTHGSEDS
+>DECOY_sp|Q9HBE4|IL21_HUMAN Interleukin-21 OS=Homo sapiens OX=9606 GN=IL21 PE=1 SV=2
+SDESGHTRSSLHQHIMKQLLSKFRELFEKPPKKEYSDCSPCTLRHKQRRGANTSPPKRKLKKISVNIIRENNGTNASKLQAKQFCSFASWECNTEVDEPAPLFEPVLDNVYNKLQDVIDILQRMRIMHRDQGQSSSKHVLTGLFIVMLCIVIREM
+>sp|Q8NI17|IL31R_HUMAN Interleukin-31 receptor subunit alpha OS=Homo sapiens OX=9606 GN=IL31RA PE=1 SV=1
+MMWTWALWMLPSLCKFSLAALPAKPENISCVYYYRKNLTCTWSPGKETSYTQYTVKRTYAFGEKHDNCTTNSSTSENRASCSFFLPRITIPDNYTIEVEAENGDGVIKSHMTYWRLENIAKTEPPKIFRVKPVLGIKRMIQIEWIKPELAPVSSDLKYTLRFRTVNSTSWMEVNFAKNRKDKNQTYNLTGLQPFTEYVIALRCAVKESKFWSDWSQEKMGMTEEEAPCGLELWRVLKPAEADGRRPVRLLWKKARGAPVLEKTLGYNIWYYPESNTNLTETMNTTNQQLELHLGGESFWVSMISYNSLGKSPVATLRIPAIQEKSFQCIEVMQACVAEDQLVVKWQSSALDVNTWMIEWFPDVDSEPTTLSWESVSQATNWTIQQDKLKPFWCYNISVYPMLHDKVGEPYSIQAYAKEGVPSEGPETKVENIGVKTVTITWKEIPKSERKGIICNYTIFYQAEGGKGFSKTVNSSILQYGLESLKRKTSYIVQVMASTSAGGTNGTSINFKTLSFSVFEIILITSLIGGGLLILIILTVAYGLKKPNKLTHLCWPTVPNPAESSIATWHGDDFKDKLNLKESDDSVNTEDRILKPCSTPSDKLVIDKLVVNFGNVLQEIFTDEARTGQENNLGGEKNGYVTCPFRPDCPLGKSFEELPVSPEIPPRKSQYLRSRMPEGTRPEAKEQLLFSGQSLVPDHLCEEGAPNPYLKNSVTAREFLVSEKLPEHTKGEV
+>DECOY_sp|Q8NI17|IL31R_HUMAN Interleukin-31 receptor subunit alpha OS=Homo sapiens OX=9606 GN=IL31RA PE=1 SV=1
+VEGKTHEPLKESVLFERATVSNKLYPNPAGEECLHDPVLSQGSFLLQEKAEPRTGEPMRSRLYQSKRPPIEPSVPLEEFSKGLPCDPRFPCTVYGNKEGGLNNEQGTRAEDTFIEQLVNGFNVVLKDIVLKDSPTSCPKLIRDETNVSDDSEKLNLKDKFDDGHWTAISSEAPNPVTPWCLHTLKNPKKLGYAVTLIILILLGGGILSTILIIEFVSFSLTKFNISTGNTGGASTSAMVQVIYSTKRKLSELGYQLISSNVTKSFGKGGEAQYFITYNCIIGKRESKPIEKWTITVTKVGINEVKTEPGESPVGEKAYAQISYPEGVKDHLMPYVSINYCWFPKLKDQQITWNTAQSVSEWSLTTPESDVDPFWEIMWTNVDLASSQWKVVLQDEAVCAQMVEICQFSKEQIAPIRLTAVPSKGLSNYSIMSVWFSEGGLHLELQQNTTNMTETLNTNSEPYYWINYGLTKELVPAGRAKKWLLRVPRRGDAEAPKLVRWLELGCPAEEETMGMKEQSWDSWFKSEKVACRLAIVYETFPQLGTLNYTQNKDKRNKAFNVEMWSTSNVTRFRLTYKLDSSVPALEPKIWEIQIMRKIGLVPKVRFIKPPETKAINELRWYTMHSKIVGDGNEAEVEITYNDPITIRPLFFSCSARNESTSSNTTCNDHKEGFAYTRKVTYQTYSTEKGPSWTCTLNKRYYYVCSINEPKAPLAALSFKCLSPLMWLAWTWMM
+>sp|Q6ZMJ4|IL34_HUMAN Interleukin-34 OS=Homo sapiens OX=9606 GN=IL34 PE=1 SV=1
+MPRGFTWLRYLGIFLGVALGNEPLEMWPLTQNEECTVTGFLRDKLQYRSRLQYMKHYFPINYKISVPYEGVFRIANVTRLQRAQVSERELRYLWVLVSLSATESVQDVLLEGHPSWKYLQEVETLLLNVQQGLTDVEVSPKVESVLSLLNAPGPNLKLVRPKALLDNCFRVMELLYCSCCKQSSVLNWQDCEVPSPQSCSPEPSLQYAATQLYPPPPWSPSSPPHSTGSVRPVRAQGEGLLP
+>DECOY_sp|Q6ZMJ4|IL34_HUMAN Interleukin-34 OS=Homo sapiens OX=9606 GN=IL34 PE=1 SV=1
+PLLGEGQARVPRVSGTSHPPSSPSWPPPPYLQTAAYQLSPEPSCSQPSPVECDQWNLVSSQKCCSCYLLEMVRFCNDLLAKPRVLKLNPGPANLLSLVSEVKPSVEVDTLGQQVNLLLTEVEQLYKWSPHGELLVDQVSETASLSVLVWLYRLERESVQARQLRTVNAIRFVGEYPVSIKYNIPFYHKMYQLRSRYQLKDRLFGTVTCEENQTLPWMELPENGLAVGLFIGLYRLWTFGRPM
+>sp|Q9NZH7|IL36B_HUMAN Interleukin-36 beta OS=Homo sapiens OX=9606 GN=IL36B PE=2 SV=1
+MNPQREAAPKSYAIRDSRQMVWVLSGNSLIAAPLSRSIKPVTLHLIACRDTEFSDKEKGNMVYLGIKGKDLCLFCAEIQGKPTLQLKLQGSQDNIGKDTCWKLVGIHTCINLDVRESCFMGTLDQWGIGVGRKKWKSSFQHHHLRKKDKDFSSMRTNIGMPGRM
+>DECOY_sp|Q9NZH7|IL36B_HUMAN Interleukin-36 beta OS=Homo sapiens OX=9606 GN=IL36B PE=2 SV=1
+MRGPMGINTRMSSFDKDKKRLHHHQFSSKWKKRGVGIGWQDLTGMFCSERVDLNICTHIGVLKWCTDKGINDQSGQLKLQLTPKGQIEACFLCLDKGKIGLYVMNGKEKDSFETDRCAILHLTVPKISRSLPAAILSNGSLVWVMQRSDRIAYSKPAAERQPNM
+>sp|P08700|IL3_HUMAN Interleukin-3 OS=Homo sapiens OX=9606 GN=IL3 PE=1 SV=2
+MSRLPVLLLLQLLVRPGLQAPMTQTTPLKTSWVNCSNMIDEIITHLKQPPLPLLDFNNLNGEDQDILMENNLRRPNLEAFNRAVKSLQNASAIESILKNLLPCLPLATAAPTRHPIHIKDGDWNEFRRKLTFYLKTLENAQAQQTTLSLAIF
+>DECOY_sp|P08700|IL3_HUMAN Interleukin-3 OS=Homo sapiens OX=9606 GN=IL3 PE=1 SV=2
+FIALSLTTQQAQANELTKLYFTLKRRFENWDGDKIHIPHRTPAATALPLCPLLNKLISEIASANQLSKVARNFAELNPRRLNNEMLIDQDEGNLNNFDLLPLPPQKLHTIIEDIMNSCNVWSTKLPTTQTMPAQLGPRVLLQLLLLVPLRSM
+>sp|P52294|IMA5_HUMAN Importin subunit alpha-5 OS=Homo sapiens OX=9606 GN=KPNA1 PE=1 SV=3
+MTTPGKENFRLKSYKNKSLNPDEMRRRREEEGLQLRKQKREEQLFKRRNVATAEEETEEEVMSDGGFHEAQISNMEMAPGGVITSDMIEMIFSKSPEQQLSATQKFRKLLSKEPNPPIDEVISTPGVVARFVEFLKRKENCTLQFESAWVLTNIASGNSLQTRIVIQAGAVPIFIELLSSEFEDVQEQAVWALGNIAGDSTMCRDYVLDCNILPPLLQLFSKQNRLTMTRNAVWALSNLCRGKSPPPEFAKVSPCLNVLSWLLFVSDTDVLADACWALSYLSDGPNDKIQAVIDAGVCRRLVELLMHNDYKVVSPALRAVGNIVTGDDIQTQVILNCSALQSLLHLLSSPKESIKKEACWTISNITAGNRAQIQTVIDANIFPALISILQTAEFRTRKEAAWAITNATSGGSAEQIKYLVELGCIKPLCDLLTVMDSKIVQVALNGLENILRLGEQEAKRNGTGINPYCALIEEAYGLDKIEFLQSHENQEIYQKAFDLIEHYFGTEDEDSSIAPQVDLNQQQYIFQQCEAPMEGFQL
+>DECOY_sp|P52294|IMA5_HUMAN Importin subunit alpha-5 OS=Homo sapiens OX=9606 GN=KPNA1 PE=1 SV=3
+LQFGEMPAECQQFIYQQQNLDVQPAISSDEDETGFYHEILDFAKQYIEQNEHSQLFEIKDLGYAEEILACYPNIGTGNRKAEQEGLRLINELGNLAVQVIKSDMVTLLDCLPKICGLEVLYKIQEASGGSTANTIAWAAEKRTRFEATQLISILAPFINADIVTQIQARNGATINSITWCAEKKISEKPSSLLHLLSQLASCNLIVQTQIDDGTVINGVARLAPSVVKYDNHMLLEVLRRCVGADIVAQIKDNPGDSLYSLAWCADALVDTDSVFLLWSLVNLCPSVKAFEPPPSKGRCLNSLAWVANRTMTLRNQKSFLQLLPPLINCDLVYDRCMTSDGAINGLAWVAQEQVDEFESSLLEIFIPVAGAQIVIRTQLSNGSAINTLVWASEFQLTCNEKRKLFEVFRAVVGPTSIVEDIPPNPEKSLLKRFKQTASLQQEPSKSFIMEIMDSTIVGGPAMEMNSIQAEHFGGDSMVEEETEEEATAVNRRKFLQEERKQKRLQLGEEERRRRMEDPNLSKNKYSKLRFNEKGPTTM
+>sp|O15131|IMA6_HUMAN Importin subunit alpha-6 OS=Homo sapiens OX=9606 GN=KPNA5 PE=1 SV=2
+MASPGKDNYRMKSYKNKALNPQEMRRRREEEGIQLRKQKREEQLFKRRNVYLPRNDESMLESPIQDPDISSTVPIPEEEVVTTDMVQMIFSNNADQQLTATQKFRKLLSKEPNPPIDQVIQKPGVVQRFVKFLERNENCTLQFEAAWALTNIASGTFLHTKVVIETGAVPIFIKLLNSEHEDVQEQAVWALGNIAGDNAECRDFVLNCEILPPLLELLTNSNRLTTTRNAVWALSNLCRGKNPPPNFSKVSPCLNVLSRLLFSSDPDVLADVCWALSYLSDGPNDKIQAVIDSGVCRRLVELLMHNDYKVVSPALRAVGNIVTGDDIQTQVILNCSALPCLLHLLSSPKESIRKEACWTVSNITAGNRAQIQAVIDANIFPVLIEILQKAEFRTRKEAAWAITNATSGGTPEQIRYLVALGCIKPLCDLLTVMDSKIVQVALNGLENILRLGEQESKQNGIGINPYCALIEEAYGLDKIEFLQSHENQEIYQKAFDLIEHYFGVEEDDPSIVPQVDENQQQFIFQQQEAPMDGFQL
+>DECOY_sp|O15131|IMA6_HUMAN Importin subunit alpha-6 OS=Homo sapiens OX=9606 GN=KPNA5 PE=1 SV=2
+LQFGDMPAEQQQFIFQQQNEDVQPVISPDDEEVGFYHEILDFAKQYIEQNEHSQLFEIKDLGYAEEILACYPNIGIGNQKSEQEGLRLINELGNLAVQVIKSDMVTLLDCLPKICGLAVLYRIQEPTGGSTANTIAWAAEKRTRFEAKQLIEILVPFINADIVAQIQARNGATINSVTWCAEKRISEKPSSLLHLLCPLASCNLIVQTQIDDGTVINGVARLAPSVVKYDNHMLLEVLRRCVGSDIVAQIKDNPGDSLYSLAWCVDALVDPDSSFLLRSLVNLCPSVKSFNPPPNKGRCLNSLAWVANRTTTLRNSNTLLELLPPLIECNLVFDRCEANDGAINGLAWVAQEQVDEHESNLLKIFIPVAGTEIVVKTHLFTGSAINTLAWAAEFQLTCNENRELFKVFRQVVGPKQIVQDIPPNPEKSLLKRFKQTATLQQDANNSFIMQVMDTTVVEEEPIPVTSSIDPDQIPSELMSEDNRPLYVNRRKFLQEERKQKRLQIGEEERRRRMEQPNLAKNKYSKMRYNDKGPSAM
+>sp|O60684|IMA7_HUMAN Importin subunit alpha-7 OS=Homo sapiens OX=9606 GN=KPNA6 PE=1 SV=1
+METMASPGKDNYRMKSYKNNALNPEEMRRRREEEGIQLRKQKREQQLFKRRNVELINEEAAMFDSLLMDSYVSSTTGESVITREMVEMLFSDDSDLQLATTQKFRKLLSKEPSPPIDEVINTPRVVDRFVEFLKRNENCTLQFEAAWALTNIASGTSQQTKIVIEAGAVPIFIELLNSDFEDVQEQAVWALGNIAGDSSVCRDYVLNCSILNPLLTLLTKSTRLTMTRNAVWALSNLCRGKNPPPEFAKVSPCLPVLSRLLFSSDSDLLADACWALSYLSDGPNEKIQAVIDSGVCRRLVELLMHNDYKVASPALRAVGNIVTGDDIQTQVILNCSALPCLLHLLSSPKESIRKEACWTISNITAGNRAQIQAVIDANIFPVLIEILQKAEFRTRKEAAWAITNATSGGTPEQIRYLVSLGCIKPLCDLLTVMDSKIVQVALNGLENILRLGEQEGKRSGSGVNPYCGLIEEAYGLDKIEFLQSHENQEIYQKAFDLIEHYFGVEDDDSSLAPQVDETQQQFIFQQPEAPMEGFQL
+>DECOY_sp|O60684|IMA7_HUMAN Importin subunit alpha-7 OS=Homo sapiens OX=9606 GN=KPNA6 PE=1 SV=1
+LQFGEMPAEPQQFIFQQQTEDVQPALSSDDDEVGFYHEILDFAKQYIEQNEHSQLFEIKDLGYAEEILGCYPNVGSGSRKGEQEGLRLINELGNLAVQVIKSDMVTLLDCLPKICGLSVLYRIQEPTGGSTANTIAWAAEKRTRFEAKQLIEILVPFINADIVAQIQARNGATINSITWCAEKRISEKPSSLLHLLCPLASCNLIVQTQIDDGTVINGVARLAPSAVKYDNHMLLEVLRRCVGSDIVAQIKENPGDSLYSLAWCADALLDSDSSFLLRSLVPLCPSVKAFEPPPNKGRCLNSLAWVANRTMTLRTSKTLLTLLPNLISCNLVYDRCVSSDGAINGLAWVAQEQVDEFDSNLLEIFIPVAGAEIVIKTQQSTGSAINTLAWAAEFQLTCNENRKLFEVFRDVVRPTNIVEDIPPSPEKSLLKRFKQTTALQLDSDDSFLMEVMERTIVSEGTTSSVYSDMLLSDFMAAEENILEVNRRKFLQQERKQKRLQIGEEERRRRMEEPNLANNKYSKMRYNDKGPSAMTEM
+>sp|Q9C086|IN80B_HUMAN INO80 complex subunit B OS=Homo sapiens OX=9606 GN=INO80B PE=1 SV=2
+MSKLWRRGSTSGAMEAPEPGEALELSLAGAHGHGVHKKKHKKHKKKHKKKHHQEEDAGPTQPSPAKPQLKLKIKLGGQVLGTKSVPTFTVIPEGPRSPSPLMVVDNEEEPMEGVPLEQYRAWLDEDSNLSPSPLRDLSGGLGGQEEEEEQRWLDALEKGELDDNGDLKKEINERLLTARQRALLQKARSQPSPMLPLPVAEGCPPPALTEEMLLKREERARKRRLQAARRAEEHKNQTIERLTKTAATSGRGGRGGARGERRGGRAAAPAPMVRYCSGAQGSTLSFPPGVPAPTAVSQRPSPSGPPPRCSVPGCPHPRRYACSRTGQALCSLQCYRINLQMRLGGPEGPGSPLLAT
+>DECOY_sp|Q9C086|IN80B_HUMAN INO80 complex subunit B OS=Homo sapiens OX=9606 GN=INO80B PE=1 SV=2
+TALLPSGPGEPGGLRMQLNIRYCQLSCLAQGTRSCAYRRPHPCGPVSCRPPPGSPSPRQSVATPAPVGPPFSLTSGQAGSCYRVMPAPAAARGGRREGRAGGRGGRGSTAATKTLREITQNKHEEARRAAQLRRKRAREERKLLMEETLAPPPCGEAVPLPLMPSPQSRAKQLLARQRATLLRENIEKKLDGNDDLEGKELADLWRQEEEEEQGGLGGSLDRLPSPSLNSDEDLWARYQELPVGEMPEEENDVVMLPSPSRPGEPIVTFTPVSKTGLVQGGLKIKLKLQPKAPSPQTPGADEEQHHKKKHKKKHKKHKKKHVGHGHAGALSLELAEGPEPAEMAGSTSGRRWLKSM
+>sp|P0DMQ5|INAM2_HUMAN Putative transmembrane protein INAFM2 OS=Homo sapiens OX=9606 GN=INAFM2 PE=2 SV=1
+MKERDAAPAERGKPATYTGDKKAKMAAKTNKKWVRLATVFAYVLSVSLAAIVLAVYYSLIWQPVGAGTSGGAAGPPPGGSNATGPSGTSGAAAAGPNTTGSSRREAPRDVPPLQAARPAPPEPPADSPPAGPLERPRGPDEDEEETAAAPGSR
+>DECOY_sp|P0DMQ5|INAM2_HUMAN Putative transmembrane protein INAFM2 OS=Homo sapiens OX=9606 GN=INAFM2 PE=2 SV=1
+RSGPAAATEEEDEDPGRPRELPGAPPSDAPPEPPAPRAAQLPPVDRPAERRSSGTTNPGAAAAGSTGSPGTANSGGPPPGAAGGSTGAGVPQWILSYYVALVIAALSVSLVYAFVTALRVWKKNTKAAMKAKKDGTYTAPKGREAPAADREKM
+>sp|Q16534|HLF_HUMAN Hepatic leukemia factor OS=Homo sapiens OX=9606 GN=HLF PE=2 SV=1
+MEKMSRPLPLNPTFIPPPYGVLRSLLENPLKLPLHHEDAFSKDKDKEKKLDDESNSPTVPQSAFLGPTLWDKTLPYDGDTFQLEYMDLEEFLSENGIPPSPSQHDHSPHPPGLQPASSAAPSVMDLSSRASAPLHPGIPSPNCMQSPIRPGQLLPANRNTPSPIDPDTIQVPVGYEPDPADLALSSIPGQEMFDPRKRKFSEEELKPQPMIKKARKVFIPDDLKDDKYWARRRKNNMAAKRSRDARRLKENQIAIRASFLEKENSALRQEVADLRKELGKCKNILAKYEARHGPL
+>DECOY_sp|Q16534|HLF_HUMAN Hepatic leukemia factor OS=Homo sapiens OX=9606 GN=HLF PE=2 SV=1
+LPGHRAEYKALINKCKGLEKRLDAVEQRLASNEKELFSARIAIQNEKLRRADRSRKAAMNNKRRRAWYKDDKLDDPIFVKRAKKIMPQPKLEEESFKRKRPDFMEQGPISSLALDAPDPEYGVPVQITDPDIPSPTNRNAPLLQGPRIPSQMCNPSPIGPHLPASARSSLDMVSPAASSAPQLGPPHPSHDHQSPSPPIGNESLFEELDMYELQFTDGDYPLTKDWLTPGLFASQPVTPSNSEDDLKKEKDKDKSFADEHHLPLKLPNELLSRLVGYPPPIFTPNLPLPRSMKEM
+>sp|P04035|HMDH_HUMAN 3-hydroxy-3-methylglutaryl-coenzyme A reductase OS=Homo sapiens OX=9606 GN=HMGCR PE=1 SV=1
+MLSRLFRMHGLFVASHPWEVIVGTVTLTICMMSMNMFTGNNKICGWNYECPKFEEDVLSSDIIILTITRCIAILYIYFQFQNLRQLGSKYILGIAGLFTIFSSFVFSTVVIHFLDKELTGLNEALPFFLLLIDLSRASTLAKFALSSNSQDEVRENIARGMAILGPTFTLDALVECLVIGVGTMSGVRQLEIMCCFGCMSVLANYFVFMTFFPACVSLVLELSRESREGRPIWQLSHFARVLEEEENKPNPVTQRVKMIMSLGLVLVHAHSRWIADPSPQNSTADTSKVSLGLDENVSKRIEPSVSLWQFYLSKMISMDIEQVITLSLALLLAVKYIFFEQTETESTLSLKNPITSPVVTQKKVPDNCCRREPMLVRNNQKCDSVEEETGINRERKVEVIKPLVAETDTPNRATFVVGNSSLLDTSSVLVTQEPEIELPREPRPNEECLQILGNAEKGAKFLSDAEIIQLVNAKHIPAYKLETLMETHERGVSIRRQLLSKKLSEPSSLQYLPYRDYNYSLVMGACCENVIGYMPIPVGVAGPLCLDEKEFQVPMATTEGCLVASTNRGCRAIGLGGGASSRVLADGMTRGPVVRLPRACDSAEVKAWLETSEGFAVIKEAFDSTSRFARLQKLHTSIAGRNLYIRFQSRSGDAMGMNMISKGTEKALSKLHEYFPEMQILAVSGNYCTDKKPAAINWIEGRGKSVVCEAVIPAKVVREVLKTTTEAMIEVNINKNLVGSAMAGSIGGYNAHAANIVTAIYIACGQDAAQNVGSSNCITLMEASGPTNEDLYISCTMPSIEIGTVGGGTNLLPQQACLQMLGVQGACKDNPGENARQLARIVCGTVMAGELSLMAALAAGHLVKSHMIHNRSKINLQDLQGACTKKTA
+>DECOY_sp|P04035|HMDH_HUMAN 3-hydroxy-3-methylglutaryl-coenzyme A reductase OS=Homo sapiens OX=9606 GN=HMGCR PE=1 SV=1
+ATKKTCAGQLDQLNIKSRNHIMHSKVLHGAALAAMLSLEGAMVTGCVIRALQRANEGPNDKCAGQVGLMQLCAQQPLLNTGGGVTGIEISPMTCSIYLDENTPGSAEMLTICNSSGVNQAADQGCAIYIATVINAAHANYGGISGAMASGVLNKNINVEIMAETTTKLVERVVKAPIVAECVVSKGRGEIWNIAAPKKDTCYNGSVALIQMEPFYEHLKSLAKETGKSIMNMGMADGSRSQFRIYLNRGAISTHLKQLRAFRSTSDFAEKIVAFGESTELWAKVEASDCARPLRVVPGRTMGDALVRSSAGGGLGIARCGRNTSAVLCGETTAMPVQFEKEDLCLPGAVGVPIPMYGIVNECCAGMVLSYNYDRYPLYQLSSPESLKKSLLQRRISVGREHTEMLTELKYAPIHKANVLQIIEADSLFKAGKEANGLIQLCEENPRPERPLEIEPEQTVLVSSTDLLSSNGVVFTARNPTDTEAVLPKIVEVKRERNIGTEEEVSDCKQNNRVLMPERRCCNDPVKKQTVVPSTIPNKLSLTSETETQEFFIYKVALLLALSLTIVQEIDMSIMKSLYFQWLSVSPEIRKSVNEDLGLSVKSTDATSNQPSPDAIWRSHAHVLVLGLSMIMKVRQTVPNPKNEEEELVRAFHSLQWIPRGERSERSLELVLSVCAPFFTMFVFYNALVSMCGFCCMIELQRVGSMTGVGIVLCEVLADLTFTPGLIAMGRAINERVEDQSNSSLAFKALTSARSLDILLLFFPLAENLGTLEKDLFHIVVTSFVFSSFITFLGAIGLIYKSGLQRLNQFQFYIYLIAICRTITLIIIDSSLVDEEFKPCEYNWGCIKNNGTFMNMSMMCITLTVTGVIVEWPHSAVFLGHMRFLRSLM
+>sp|O00479|HMGN4_HUMAN High mobility group nucleosome-binding domain-containing protein 4 OS=Homo sapiens OX=9606 GN=HMGN4 PE=1 SV=3
+MPKRKAKGDAKGDKAKVKDEPQRRSARLSAKPAPPKPEPRPKKASAKKGEKLPKGRKGKADAGKDGNNPAKNRDASTLQSQKAEGTGDAK
+>DECOY_sp|O00479|HMGN4_HUMAN High mobility group nucleosome-binding domain-containing protein 4 OS=Homo sapiens OX=9606 GN=HMGN4 PE=1 SV=3
+KADGTGEAKQSQLTSADRNKAPNNGDKGADAKGKRGKPLKEGKKASAKKPRPEPKPPAPKASLRASRRQPEDKVKAKDGKADGKAKRKPM
+>sp|Q9UGU5|HMGX4_HUMAN HMG domain-containing protein 4 OS=Homo sapiens OX=9606 GN=HMGXB4 PE=1 SV=2
+MAYDDSVKKEDCFDGDHTFEDIGLAAGRSQREKKRSYKDFLREEEEIAAQVRNSSKKKLKDSELYFLGTDTHKKKRKHSSDDYYYGDISSLESSQKKKKKSSPQSTDTAMDLLKAITSPLAAGSKPSKKTGEKSSGSSSHSESKKEHHRKKVSGSSGELPLEDGGSHKSKKMKPLYVNTETLTLREPDGLKMKLILSPKEKGSSSVDEESFQYPSQQATVKKSSKKSARDEQGALLLGHELQSFLKTARKKHKSSSDAHSSPGPEGCGSDASQFAESHSANLDLSGLEPILVESDSSSGGELEAGELVIDDSYREIKKKKKSKKSKKKKDKEKHKEKRHSKSKRSLGLSAVPVGEVTVTSGPPPSIPYAGAAAPPLPLPGLHTDGHSEKKKKKEEKDKERERGEKPKKKNMSAYQVFCKEYRVTIVADHPGIDFGELSKKLAEVWKQLPEKDKLIWKQKAQYLQHKQNKAEATTVKRKASSSEGSMKVKASSVGVLSPQKKSPPTTMLLPASPAKAPETEPIDVAAHLQLLGESLSLIGHRLQETEGMVAVSGSLSVLLDSIICALGPLACLTTQLPELNGCPKQVLSNTLDNIAYIMPGL
+>DECOY_sp|Q9UGU5|HMGX4_HUMAN HMG domain-containing protein 4 OS=Homo sapiens OX=9606 GN=HMGXB4 PE=1 SV=2
+LGPMIYAINDLTNSLVQKPCGNLEPLQTTLCALPGLACIISDLLVSLSGSVAVMGETEQLRHGILSLSEGLLQLHAAVDIPETEPAKAPSAPLLMTTPPSKKQPSLVGVSSAKVKMSGESSSAKRKVTTAEAKNQKHQLYQAKQKWILKDKEPLQKWVEALKKSLEGFDIGPHDAVITVRYEKCFVQYASMNKKKPKEGREREKDKEEKKKKKESHGDTHLGPLPLPPAAAGAYPISPPPGSTVTVEGVPVASLGLSRKSKSHRKEKHKEKDKKKKSKKSKKKKKIERYSDDIVLEGAELEGGSSSDSEVLIPELGSLDLNASHSEAFQSADSGCGEPGPSSHADSSSKHKKRATKLFSQLEHGLLLAGQEDRASKKSSKKVTAQQSPYQFSEEDVSSSGKEKPSLILKMKLGDPERLTLTETNVYLPKMKKSKHSGGDELPLEGSSGSVKKRHHEKKSESHSSSGSSKEGTKKSPKSGAALPSTIAKLLDMATDTSQPSSKKKKKQSSELSSIDGYYYDDSSHKRKKKHTDTGLFYLESDKLKKKSSNRVQAAIEEEERLFDKYSRKKERQSRGAALGIDEFTHDGDFCDEKKVSDDYAM
+>sp|O97980|HMHB1_HUMAN Minor histocompatibility protein HB-1 OS=Homo sapiens OX=9606 GN=HMHB1 PE=1 SV=1
+MEEQPECREEKRGSLHVWKSELVEVEDDVYLRHSSSLTYRL
+>DECOY_sp|O97980|HMHB1_HUMAN Minor histocompatibility protein HB-1 OS=Homo sapiens OX=9606 GN=HMHB1 PE=1 SV=1
+LRYTLSSSHRLYVDDEVEVLESKWVHLSGRKEERCEPQEEM
+>sp|P0DMP1|HMN12_HUMAN Humanin-like 12 OS=Homo sapiens OX=9606 GN=MTRNR2L12 PE=3 SV=1
+MAPRGFSCLLLSTSEIDLPMAPVKRRA
+>DECOY_sp|P0DMP1|HMN12_HUMAN Humanin-like 12 OS=Homo sapiens OX=9606 GN=MTRNR2L12 PE=3 SV=1
+ARRKVPAMPLDIESTSLLLCSFGRPAM
+>sp|P0CJ71|HMN4_HUMAN Humanin-like 4 OS=Homo sapiens OX=9606 GN=MTRNR2L4 PE=2 SV=1
+MATQGFSCLLLSVSEIDLSMKRQYKQIR
+>DECOY_sp|P0CJ71|HMN4_HUMAN Humanin-like 4 OS=Homo sapiens OX=9606 GN=MTRNR2L4 PE=2 SV=1
+RIQKYQRKMSLDIESVSLLLCSFGQTAM
+>sp|A8MTL9|HMSD_HUMAN Serpin-like protein HMSD OS=Homo sapiens OX=9606 GN=HMSD PE=2 SV=1
+MSISSALAMVFMGAKGNTAAQMSQALCFSKIGGEDGDIHRGFQSLLVAINRTDTEYVLRTANGLFGEKSYDFLTGFTDSCGKFYQATIKQLDFVNDTEKSTTRVNSWVADKTKGENILLFYFDNILNSFIVSSLQNCQI
+>DECOY_sp|A8MTL9|HMSD_HUMAN Serpin-like protein HMSD OS=Homo sapiens OX=9606 GN=HMSD PE=2 SV=1
+IQCNQLSSVIFSNLINDFYFLLINEGKTKDAVWSNVRTTSKETDNVFDLQKITAQYFKGCSDTFGTLFDYSKEGFLGNATRLVYETDTRNIAVLLSQFGRHIDGDEGGIKSFCLAQSMQAATNGKAGMFVMALASSISM
+>sp|A2RU54|HMX2_HUMAN Homeobox protein HMX2 OS=Homo sapiens OX=9606 GN=HMX2 PE=2 SV=1
+MGSKEDAGKGCPAAGGVSSFTIQSILGGGPSEAPREPVGWPARKRSLSVSSEEEEPDDGWKAPACFCPDQHGPKEQGPKHHPPIPFPCLGTPKGSGGSGPGGLERTPFLSPSHSDFKEEKERLLPAGSPSPGSERPRDGGAERQAGAAKKKTRTVFSRSQVYQLESTFDMKRYLSSSERACLASSLQLTETQVKTWFQNRRNKWKRQLSAELEAANMAHASAQTLVSMPLVFRDSSLLRVPVPRSLAFPAPLYYPGSNLSALPLYNLYNKLDY
+>DECOY_sp|A2RU54|HMX2_HUMAN Homeobox protein HMX2 OS=Homo sapiens OX=9606 GN=HMX2 PE=2 SV=1
+YDLKNYLNYLPLASLNSGPYYLPAPFALSRPVPVRLLSSDRFVLPMSVLTQASAHAMNAAELEASLQRKWKNRRNQFWTKVQTETLQLSSALCARESSSLYRKMDFTSELQYVQSRSFVTRTKKKAAGAQREAGGDRPRESGPSPSGAPLLREKEEKFDSHSPSLFPTRELGGPGSGGSGKPTGLCPFPIPPHHKPGQEKPGHQDPCFCAPAKWGDDPEEEESSVSLSRKRAPWGVPERPAESPGGGLISQITFSSVGGAAPCGKGADEKSGM
+>sp|Q9UJC3|HOOK1_HUMAN Protein Hook homolog 1 OS=Homo sapiens OX=9606 GN=HOOK1 PE=1 SV=2
+MEETQPPPQPKLPLCDSLMIWLQTFNTASPCQDVKQLTSGVAMAQVLHQIDAAWFNESWLSRIKEDVGDNWRIKASNVKKVLQGIMSYYHEFLGQQISEALIPDLNQITECSDPVELGRLLQLILGCAINCEKKQEHIQNIMTLEESVQHVVMTAIQELMSKEILSSPPNDAVGELEQQLKRALEELQEALAEKEELRQRCEELDMQVTTLQDEKNSLVSENEMMNEKLDQLDGSFDDPNTVVAKKYFHAQLQLEQLQEENFRLEAAKDDYRVHCEELEKQLIEFQHRNDELTSLAEETRALKDEIDVLRATSDKANKLESTVEIYRQKLQDLNDLRKQVKTLQETNMMYMHNTVSLEEELKKANAARTQLETYKRQVQDLHVKLSSESKRADTLAFEMKRLEEKHEALLKEKERLIEQRDTLKETNEELRCSQVQQDHLNQTDASATKSYENLAAEIMPVEYREVFIRLQHENKMLRLQQEGSENERIEELQEQLEQKHRKMNELETEQRLSKERIRELQQQIEDLQKSLQEQGSKSEGESSSKLKQKLEAHMEKLTEVHEELQKKQELIEDLQPDINQNVQKINELEAALQKKDEDMKAMEERYKMYLEKARNVIKTLDPKLNPASAEIMLLRKQLAEKERRIEILESECKVAKFRDYEEKLIVSAWYNKSLAFQKLGMESRLVSGGGACSDTGACTPARSFLAQQRHITNTRRNLSVKVPATTSD
+>DECOY_sp|Q9UJC3|HOOK1_HUMAN Protein Hook homolog 1 OS=Homo sapiens OX=9606 GN=HOOK1 PE=1 SV=2
+DSTTAPVKVSLNRRTNTIHRQQALFSRAPTCAGTDSCAGGGSVLRSEMGLKQFALSKNYWASVILKEEYDRFKAVKCESELIEIRREKEALQKRLLMIEASAPNLKPDLTKIVNRAKELYMKYREEMAKMDEDKKQLAAELENIKQVNQNIDPQLDEILEQKKQLEEHVETLKEMHAELKQKLKSSSEGESKSGQEQLSKQLDEIQQQLERIREKSLRQETELENMKRHKQELQEQLEEIRENESGEQQLRLMKNEHQLRIFVERYEVPMIEAALNEYSKTASADTQNLHDQQVQSCRLEENTEKLTDRQEILREKEKLLAEHKEELRKMEFALTDARKSESSLKVHLDQVQRKYTELQTRAANAKKLEEELSVTNHMYMMNTEQLTKVQKRLDNLDQLKQRYIEVTSELKNAKDSTARLVDIEDKLARTEEALSTLEDNRHQFEILQKELEECHVRYDDKAAELRFNEEQLQELQLQAHFYKKAVVTNPDDFSGDLQDLKENMMENESVLSNKEDQLTTVQMDLEECRQRLEEKEALAEQLEELARKLQQELEGVADNPPSSLIEKSMLEQIATMVVHQVSEELTMINQIHEQKKECNIACGLILQLLRGLEVPDSCETIQNLDPILAESIQQGLFEHYYSMIGQLVKKVNSAKIRWNDGVDEKIRSLWSENFWAADIQHLVQAMAVGSTLQKVDQCPSATNFTQLWIMLSDCLPLKPQPPPQTEEM
+>sp|Q86VS8|HOOK3_HUMAN Protein Hook homolog 3 OS=Homo sapiens OX=9606 GN=HOOK3 PE=1 SV=2
+MFSVESLERAELCESLLTWIQTFNVDAPCQTVEDLTNGVVMAQVLQKIDPAYFDENWLNRIKTEVGDNWRLKISNLKKILKGILDYNHEILGQQINDFTLPDVNLIGEHSDAAELGRMLQLILGCAVNCEQKQEYIQAIMMMEESVQHVVMTAIQELMSKESPVSAGNDAYVDLDRQLKKTTEELNEALSAKEEIAQRCHELDMQVAALQEEKSSLLAENQVLMERLNQSDSIEDPNSPAGRRHLQLQTQLEQLQEETFRLEAAKDDYRIRCEELEKEISELRQQNDELTTLADEAQSLKDEIDVLRHSSDKVSKLEGQVESYKKKLEDLGDLRRQVKLLEEKNTMYMQNTVSLEEELRKANAARSQLETYKRQVVELQNRLSEESKKADKLDFEYKRLKEKVDSLQKEKDRLRTERDSLKETIEELRCVQAQEGQLTTQGLMPLGSQESSDSLAAEIVTPEIREKLIRLQHENKMLKLNQEGSDNEKIALLQSLLDDANLRKNELETENRLVNQRLLEVQSQVEELQKSLQDQGSKAEDSVLLKKKLEEHLEKLHEANNELQKKRAIIEDLEPRFNNSSLKIEELQEALRKKEEEMKQMEERYKKYLEKAKSVIRTLDPKQNQGAAPEIQALKNQLQERDRLFHSLEKEYEKTKSQREMEEKYIVSAWYNMGMTLHKKAAEDRLASTGSGQSFLARQRQATSSRRSYPGHVQPATAR
+>DECOY_sp|Q86VS8|HOOK3_HUMAN Protein Hook homolog 3 OS=Homo sapiens OX=9606 GN=HOOK3 PE=1 SV=2
+RATAPQVHGPYSRRSSTAQRQRALFSQGSGTSALRDEAAKKHLTMGMNYWASVIYKEEMERQSKTKEYEKELSHFLRDREQLQNKLAQIEPAAGQNQKPDLTRIVSKAKELYKKYREEMQKMEEEKKRLAEQLEEIKLSSNNFRPELDEIIARKKQLENNAEHLKELHEELKKKLLVSDEAKSGQDQLSKQLEEVQSQVELLRQNVLRNETELENKRLNADDLLSQLLAIKENDSGEQNLKLMKNEHQLRILKERIEPTVIEAALSDSSEQSGLPMLGQTTLQGEQAQVCRLEEITEKLSDRETRLRDKEKQLSDVKEKLRKYEFDLKDAKKSEESLRNQLEVVQRKYTELQSRAANAKRLEEELSVTNQMYMTNKEELLKVQRRLDGLDELKKKYSEVQGELKSVKDSSHRLVDIEDKLSQAEDALTTLEDNQQRLESIEKELEECRIRYDDKAAELRFTEEQLQELQTQLQLHRRGAPSNPDEISDSQNLREMLVQNEALLSSKEEQLAAVQMDLEHCRQAIEEKASLAENLEETTKKLQRDLDVYADNGASVPSEKSMLEQIATMVVHQVSEEMMMIAQIYEQKQECNVACGLILQLMRGLEAADSHEGILNVDPLTFDNIQQGLIEHNYDLIGKLIKKLNSIKLRWNDGVETKIRNLWNEDFYAPDIKQLVQAMVVGNTLDEVTQCPADVNFTQIWTLLSECLEARELSEVSFM
+>sp|Q86X24|HORM1_HUMAN HORMA domain-containing protein 1 OS=Homo sapiens OX=9606 GN=HORMAD1 PE=2 SV=1
+MATAQLQRTPMSALVFPNKISTEHQSLVLVKRLLAVSVSCITYLRGIFPECAYGTRYLDDLCVKILREDKNCPGSTQLVKWMLGCYDALQKKYLRMVVLAVYTNPEDPQTISECYQFKFKYTNNGPLMDFISKNQSNESSMLSTDTKKASILLIRKIYILMQNLGPLPNDVCLTMKLFYYDEVTPPDYQPPGFKDGDCEGVIFEGEPMYLNVGEVSTPFHIFKVKVTTERERMENIDSTILSPKQIKTPFQKILRDKDVEDEQEHYTSDDLDIETKMEEQEKNPASSELEEPSLVCEEDEIMRSKESPDLSISHSQVEQLVNKTSELDMSESKTRSGKVFQNKMANGNQPVKSSKENRKRSQHESGRIVLHHFDSSSQESVPKRRKFSEPKEHI
+>DECOY_sp|Q86X24|HORM1_HUMAN HORMA domain-containing protein 1 OS=Homo sapiens OX=9606 GN=HORMAD1 PE=2 SV=1
+IHEKPESFKRRKPVSEQSSSDFHHLVIRGSEHQSRKRNEKSSKVPQNGNAMKNQFVKGSRTKSESMDLESTKNVLQEVQSHSISLDPSEKSRMIEDEECVLSPEELESSAPNKEQEEMKTEIDLDDSTYHEQEDEVDKDRLIKQFPTKIQKPSLITSDINEMRERETTVKVKFIHFPTSVEGVNLYMPEGEFIVGECDGDKFGPPQYDPPTVEDYYFLKMTLCVDNPLPGLNQMLIYIKRILLISAKKTDTSLMSSENSQNKSIFDMLPGNNTYKFKFQYCESITQPDEPNTYVALVVMRLYKKQLADYCGLMWKVLQTSGPCNKDERLIKVCLDDLYRTGYACEPFIGRLYTICSVSVALLRKVLVLSQHETSIKNPFVLASMPTRQLQATAM
+>sp|Q5SSJ5|HP1B3_HUMAN Heterochromatin protein 1-binding protein 3 OS=Homo sapiens OX=9606 GN=HP1BP3 PE=1 SV=1
+MATDTSQGELVHPKALPLIVGAQLIHADKLGEKVEDSTMPIRRTVNSTRETPPKSKLAEGEEEKPEPDISSEESVSTVEEQENETPPATSSEAEQPKGEPENEEKEENKSSEETKKDEKDQSKEKEKKVKKTIPSWATLSASQLARAQKQTPMASSPRPKMDAILTEAIKACFQKSGASVVAIRKYIIHKYPSLELERRGYLLKQALKRELNRGVIKQVKGKGASGSFVVVQKSRKTPQKSRNRKNRSSAVDPEPQVKLEDVLPLAFTRLCEPKEASYSLIRKYVSQYYPKLRVDIRPQLLKNALQRAVERGQLEQITGKGASGTFQLKKSGEKPLLGGSLMEYAILSAIAAMNEPKTCSTTALKKYVLENHPGTNSNYQMHLLKKTLQKCEKNGWMEQISGKGFSGTFQLCFPYYPSPGVLFPKKEPDDSRDEDEDEDESSEEDSEDEEPPPKRRLQKKTPAKSPGKAASVKQRGSKPAPKVSAAQRGKARPLPKKAPPKAKTPAKKTRPSSTVIKKPSGGSSKKPATSARKEVKLPGKGKSTMKKSFRVKK
+>DECOY_sp|Q5SSJ5|HP1B3_HUMAN Heterochromatin protein 1-binding protein 3 OS=Homo sapiens OX=9606 GN=HP1BP3 PE=1 SV=1
+KKVRFSKKMTSKGKGPLKVEKRASTAPKKSSGGSPKKIVTSSPRTKKAPTKAKPPAKKPLPRAKGRQAASVKPAPKSGRQKVSAAKGPSKAPTKKQLRRKPPPEEDESDEESSEDEDEDEDRSDDPEKKPFLVGPSPYYPFCLQFTGSFGKGSIQEMWGNKECKQLTKKLLHMQYNSNTGPHNELVYKKLATTSCTKPENMAAIASLIAYEMLSGGLLPKEGSKKLQFTGSAGKGTIQELQGREVARQLANKLLQPRIDVRLKPYYQSVYKRILSYSAEKPECLRTFALPLVDELKVQPEPDVASSRNKRNRSKQPTKRSKQVVVFSGSAGKGKVQKIVGRNLERKLAQKLLYGRRELELSPYKHIIYKRIAVVSAGSKQFCAKIAETLIADMKPRPSSAMPTQKQARALQSASLTAWSPITKKVKKEKEKSQDKEDKKTEESSKNEEKEENEPEGKPQEAESSTAPPTENEQEEVTSVSEESSIDPEPKEEEGEALKSKPPTERTSNVTRRIPMTSDEVKEGLKDAHILQAGVILPLAKPHVLEGQSTDTAM
+>sp|A8MVJ9|HPF1L_HUMAN Putative histone PARylation factor 1-like OS=Homo sapiens OX=9606 PE=5 SV=1
+MVGGGWKRRPGAGAGPQCEKTVDVKKSKFCEADVSSDLRKEVENHYTLSLPEDFYHFWKFCEELDSEKPADPLSASLGLQLVDPYNILAGKHKMKKKSTVPNFNLHWRFYYDPPEFQTIIIRDKLSATWGISDRDSPDELPVYVGINEAKKNCIIVPNGDNVFAAVKLYLMKKLKEVTDKKKTNLFKNVDEKLTETARELGYSLEQRTMKMKQRDKKVVTKTFHGTGLVPPVDKNVVGYRELPETDADLKRICKTIVEAASDDERRKAFAPIQEMMTFVQFANDECDYGMGLELGMDLFCYGSHYFHKVAGQLLPLAYNLLKRNLFAEIMKDHLANRRKENIDQFAA
+>DECOY_sp|A8MVJ9|HPF1L_HUMAN Putative histone PARylation factor 1-like OS=Homo sapiens OX=9606 PE=5 SV=1
+AAFQDINEKRRNALHDKMIEAFLNRKLLNYALPLLQGAVKHFYHSGYCFLDMGLELGMGYDCEDNAFQVFTMMEQIPAFAKRREDDSAAEVITKCIRKLDADTEPLERYGVVNKDVPPVLGTGHFTKTVVKKDRQKMKMTRQELSYGLERATETLKEDVNKFLNTKKKDTVEKLKKMLYLKVAAFVNDGNPVIICNKKAENIGVYVPLEDPSDRDSIGWTASLKDRIIITQFEPPDYYFRWHLNFNPVTSKKKMKHKGALINYPDVLQLGLSASLPDAPKESDLEECFKWFHYFDEPLSLTYHNEVEKRLDSSVDAECFKSKKVDVTKECQPGAGAGPRRKWGGGVM
+>sp|Q9NWW0|HPIP_HUMAN Host cell factor C1 regulator 1 OS=Homo sapiens OX=9606 GN=HCFC1R1 PE=1 SV=1
+MILQQPLQRGPQGGAQRLPRAALGVTWGLDASSPLRGAVPMSTKRRLEEEQEPLRKQFLSEENMATHFSQLSLHNDHPYCSPPMTFSPALPPLRSPCSELLLWRYPGSLIPEALRLLRLGDTPSPPYPATPAGDIMEL
+>DECOY_sp|Q9NWW0|HPIP_HUMAN Host cell factor C1 regulator 1 OS=Homo sapiens OX=9606 GN=HCFC1R1 PE=1 SV=1
+LEMIDGAPTAPYPPSPTDGLRLLRLAEPILSGPYRWLLLESCPSRLPPLAPSFTMPPSCYPHDNHLSLQSFHTAMNEESLFQKRLPEQEEELRRKTSMPVAGRLPSSADLGWTVGLAARPLRQAGGQPGRQLPQQLIM
+>sp|P00492|HPRT_HUMAN Hypoxanthine-guanine phosphoribosyltransferase OS=Homo sapiens OX=9606 GN=HPRT1 PE=1 SV=2
+MATRSPGVVISDDEPGYDLDLFCIPNHYAEDLERVFIPHGLIMDRTERLARDVMKEMGGHHIVALCVLKGGYKFFADLLDYIKALNRNSDRSIPMTVDFIRLKSYCNDQSTGDIKVIGGDDLSTLTGKNVLIVEDIIDTGKTMQTLLSLVRQYNPKMVKVASLLVKRTPRSVGYKPDFVGFEIPDKFVVGYALDYNEYFRDLNHVCVISETGKAKYKA
+>DECOY_sp|P00492|HPRT_HUMAN Hypoxanthine-guanine phosphoribosyltransferase OS=Homo sapiens OX=9606 GN=HPRT1 PE=1 SV=2
+AKYKAKGTESIVCVHNLDRFYENYDLAYGVVFKDPIEFGVFDPKYGVSRPTRKVLLSAVKVMKPNYQRVLSLLTQMTKGTDIIDEVILVNKGTLTSLDDGGIVKIDGTSQDNCYSKLRIFDVTMPISRDSNRNLAKIYDLLDAFFKYGGKLVCLAVIHHGGMEKMVDRALRETRDMILGHPIFVRELDEAYHNPICFLDLDYGPEDDSIVVGPSRTAM
+>sp|Q9Y251|HPSE_HUMAN Heparanase OS=Homo sapiens OX=9606 GN=HPSE PE=1 SV=2
+MLLRSKPALPPPLMLLLLGPLGPLSPGALPRPAQAQDVVDLDFFTQEPLHLVSPSFLSVTIDANLATDPRFLILLGSPKLRTLARGLSPAYLRFGGTKTDFLIFDPKKESTFEERSYWQSQVNQDICKYGSIPPDVEEKLRLEWPYQEQLLLREHYQKKFKNSTYSRSSVDVLYTFANCSGLDLIFGLNALLRTADLQWNSSNAQLLLDYCSSKGYNISWELGNEPNSFLKKADIFINGSQLGEDFIQLHKLLRKSTFKNAKLYGPDVGQPRRKTAKMLKSFLKAGGEVIDSVTWHHYYLNGRTATKEDFLNPDVLDIFISSVQKVFQVVESTRPGKKVWLGETSSAYGGGAPLLSDTFAAGFMWLDKLGLSARMGIEVVMRQVFFGAGNYHLVDENFDPLPDYWLSLLFKKLVGTKVLMASVQGSKRRKLRVYLHCTNTDNPRYKEGDLTLYAINLHNVTKYLRLPYPFSNKQVDKYLLRPLGPHGLLSKSVQLNGLTLKMVDDQTLPPLMEKPLRPGSSLGLPAFSYSFFVIRNAKVAACI
+>DECOY_sp|Q9Y251|HPSE_HUMAN Heparanase OS=Homo sapiens OX=9606 GN=HPSE PE=1 SV=2
+ICAAVKANRIVFFSYSFAPLGLSSGPRLPKEMLPPLTQDDVMKLTLGNLQVSKSLLGHPGLPRLLYKDVQKNSFPYPLRLYKTVNHLNIAYLTLDGEKYRPNDTNTCHLYVRLKRRKSGQVSAMLVKTGVLKKFLLSLWYDPLPDFNEDVLHYNGAGFFVQRMVVEIGMRASLGLKDLWMFGAAFTDSLLPAGGGYASSTEGLWVKKGPRTSEVVQFVKQVSSIFIDLVDPNLFDEKTATRGNLYYHHWTVSDIVEGGAKLFSKLMKATKRRPQGVDPGYLKANKFTSKRLLKHLQIFDEGLQSGNIFIDAKKLFSNPENGLEWSINYGKSSCYDLLLQANSSNWQLDATRLLANLGFILDLGSCNAFTYLVDVSSRSYTSNKFKKQYHERLLLQEQYPWELRLKEEVDPPISGYKCIDQNVQSQWYSREEFTSEKKPDFILFDTKTGGFRLYAPSLGRALTRLKPSGLLILFRPDTALNADITVSLFSPSVLHLPEQTFFDLDVVDQAQAPRPLAGPSLPGLPGLLLLMLPPPLAPKSRLLM
+>sp|P00739|HPTR_HUMAN Haptoglobin-related protein OS=Homo sapiens OX=9606 GN=HPR PE=2 SV=2
+MSDLGAVISLLLWGRQLFALYSGNDVTDISDDRFPKPPEIANGYVEHLFRYQCKNYYRLRTEGDGVYTLNDKKQWINKAVGDKLPECEAVCGKPKNPANPVQRILGGHLDAKGSFPWQAKMVSHHNLTTGATLINEQWLLTTAKNLFLNHSENATAKDIAPTLTLYVGKKQLVEIEKVVLHPNYHQVDIGLIKLKQKVLVNERVMPICLPSKNYAEVGRVGYVSGWGQSDNFKLTDHLKYVMLPVADQYDCITHYEGSTCPKWKAPKSPVGVQPILNEHTFCVGMSKYQEDTCYGDAGSAFAVHDLEEDTWYAAGILSFDKSCAVAEYGVYVKVTSIQHWVQKTIAEN
+>DECOY_sp|P00739|HPTR_HUMAN Haptoglobin-related protein OS=Homo sapiens OX=9606 GN=HPR PE=2 SV=2
+NEAITKQVWHQISTVKVYVGYEAVACSKDFSLIGAAYWTDEELDHVAFASGADGYCTDEQYKSMGVCFTHENLIPQVGVPSKPAKWKPCTSGEYHTICDYQDAVPLMVYKLHDTLKFNDSQGWGSVYGVRGVEAYNKSPLCIPMVRENVLVKQKLKILGIDVQHYNPHLVVKEIEVLQKKGVYLTLTPAIDKATANESHNLFLNKATTLLWQENILTAGTTLNHHSVMKAQWPFSGKADLHGGLIRQVPNAPNKPKGCVAECEPLKDGVAKNIWQKKDNLTYVGDGETRLRYYNKCQYRFLHEVYGNAIEPPKPFRDDSIDTVDNGSYLAFLQRGWLLLSIVAGLDSM
+>sp|P00738|HPT_HUMAN Haptoglobin OS=Homo sapiens OX=9606 GN=HP PE=1 SV=1
+MSALGAVIALLLWGQLFAVDSGNDVTDIADDGCPKPPEIAHGYVEHSVRYQCKNYYKLRTEGDGVYTLNDKKQWINKAVGDKLPECEADDGCPKPPEIAHGYVEHSVRYQCKNYYKLRTEGDGVYTLNNEKQWINKAVGDKLPECEAVCGKPKNPANPVQRILGGHLDAKGSFPWQAKMVSHHNLTTGATLINEQWLLTTAKNLFLNHSENATAKDIAPTLTLYVGKKQLVEIEKVVLHPNYSQVDIGLIKLKQKVSVNERVMPICLPSKDYAEVGRVGYVSGWGRNANFKFTDHLKYVMLPVADQDQCIRHYEGSTVPEKKTPKSPVGVQPILNEHTFCAGMSKYQEDTCYGDAGSAFAVHDLEEDTWYATGILSFDKSCAVAEYGVYVKVTSIQDWVQKTIAEN
+>DECOY_sp|P00738|HPT_HUMAN Haptoglobin OS=Homo sapiens OX=9606 GN=HP PE=1 SV=1
+NEAITKQVWDQISTVKVYVGYEAVACSKDFSLIGTAYWTDEELDHVAFASGADGYCTDEQYKSMGACFTHENLIPQVGVPSKPTKKEPVTSGEYHRICQDQDAVPLMVYKLHDTFKFNANRGWGSVYGVRGVEAYDKSPLCIPMVRENVSVKQKLKILGIDVQSYNPHLVVKEIEVLQKKGVYLTLTPAIDKATANESHNLFLNKATTLLWQENILTAGTTLNHHSVMKAQWPFSGKADLHGGLIRQVPNAPNKPKGCVAECEPLKDGVAKNIWQKENNLTYVGDGETRLKYYNKCQYRVSHEVYGHAIEPPKPCGDDAECEPLKDGVAKNIWQKKDNLTYVGDGETRLKYYNKCQYRVSHEVYGHAIEPPKPCGDDAIDTVDNGSDVAFLQGWLLLAIVAGLASM
+>sp|Q6P1K1|HRG1_HUMAN Heme transporter HRG1 OS=Homo sapiens OX=9606 GN=SLC48A1 PE=1 SV=1
+MAPSRLQLGLRAAYSGISSVAGFSIFLVWTVVYRQPGTAAMGGLAGVLALWVLVTHVMYMQDYWRTWLKGLRGFFFVGVLFSAVSIAAFCTFLVLAITRHQSLTDPTSYYLSSVWSFISFKWAFLLSLYAHRYRADFADISILSDF
+>DECOY_sp|Q6P1K1|HRG1_HUMAN Heme transporter HRG1 OS=Homo sapiens OX=9606 GN=SLC48A1 PE=1 SV=1
+FDSLISIDAFDARYRHAYLSLLFAWKFSIFSWVSSLYYSTPDTLSQHRTIALVLFTCFAAISVASFLVGVFFFGRLGKLWTRWYDQMYMVHTVLVWLALVGALGGMAATGPQRYVVTWVLFISFGAVSSIGSYAARLGLQLRSPAM
+>sp|P35367|HRH1_HUMAN Histamine H1 receptor OS=Homo sapiens OX=9606 GN=HRH1 PE=1 SV=1
+MSLPNSSCLLEDKMCEGNKTTMASPQLMPLVVVLSTICLVTVGLNLLVLYAVRSERKLHTVGNLYIVSLSVADLIVGAVVMPMNILYLLMSKWSLGRPLCLFWLSMDYVASTASIFSVFILCIDRYRSVQQPLRYLKYRTKTRASATILGAWFLSFLWVIPILGWNHFMQQTSVRREDKCETDFYDVTWFKVMTAIINFYLPTLLMLWFYAKIYKAVRQHCQHRELINRSLPSFSEIKLRPENPKGDAKKPGKESPWEVLKRKPKDAGGGSVLKSPSQTPKEMKSPVVFSQEDDREVDKLYCFPLDIVHMQAAAEGSSRDYVAVNRSHGQLKTDEQGLNTHGASEISEDQMLGDSQSFSRTDSDTTTETAPGKGKLRSGSNTGLDYIKFTWKRLRSHSRQYVSGLHMNRERKAAKQLGFIMAAFILCWIPYFIFFMVIAFCKNCCNEHLHMFTIWLGYINSTLNPLIYPLCNENFKKTFKRILHIRS
+>DECOY_sp|P35367|HRH1_HUMAN Histamine H1 receptor OS=Homo sapiens OX=9606 GN=HRH1 PE=1 SV=1
+SRIHLIRKFTKKFNENCLPYILPNLTSNIYGLWITFMHLHENCCNKCFAIVMFFIFYPIWCLIFAAMIFGLQKAAKRERNMHLGSVYQRSHSRLRKWTFKIYDLGTNSGSRLKGKGPATETTTDSDTRSFSQSDGLMQDESIESAGHTNLGQEDTKLQGHSRNVAVYDRSSGEAAAQMHVIDLPFCYLKDVERDDEQSFVVPSKMEKPTQSPSKLVSGGGADKPKRKLVEWPSEKGPKKADGKPNEPRLKIESFSPLSRNILERHQCHQRVAKYIKAYFWLMLLTPLYFNIIATMVKFWTVDYFDTECKDERRVSTQQMFHNWGLIPIVWLFSLFWAGLITASARTKTRYKLYRLPQQVSRYRDICLIFVSFISATSAVYDMSLWFLCLPRGLSWKSMLLYLINMPMVVAGVILDAVSLSVIYLNGVTHLKRESRVAYLVLLNLGVTVLCITSLVVVLPMLQPSAMTTKNGECMKDELLCSSNPLSM
+>sp|O00198|HRK_HUMAN Activator of apoptosis harakiri OS=Homo sapiens OX=9606 GN=HRK PE=1 SV=1
+MCPCPLHRGRGPPAVCACSAGRLGLRSSAAQLTAARLKALGDELHQRTMWRRRARSRRAPAPGALPTYWPWLCAAAQVAALAAWLLGRRNL
+>DECOY_sp|O00198|HRK_HUMAN Activator of apoptosis harakiri OS=Homo sapiens OX=9606 GN=HRK PE=1 SV=1
+LNRRGLLWAALAAVQAAACLWPWYTPLAGPAPARRSRARRRWMTRQHLEDGLAKLRAATLQAASSRLGLRGASCACVAPPGRGRHLPCPCM
+>sp|Q9HDD0|HRSL1_HUMAN Phospholipid-metabolizing enzyme A-C1 OS=Homo sapiens OX=9606 GN=HRASLS PE=2 SV=1
+MAFNDCFSLNYPGNPCPGDLIEVFRPGYQHWALYLGDGYVINIAPVDGIPASFTSAKSVFSSKALVKMQLLKDVVGNDTYRINNKYDETYPPLPVEEIIKRSEFVIGQEVAYNLLVNNCEHFVTLLRYGEGVSEQANRAISTVEFVTAAVGVFSFLGLFPKGQRAKYY
+>DECOY_sp|Q9HDD0|HRSL1_HUMAN Phospholipid-metabolizing enzyme A-C1 OS=Homo sapiens OX=9606 GN=HRASLS PE=2 SV=1
+YYKARQGKPFLGLFSFVGVAATVFEVTSIARNAQESVGEGYRLLTVFHECNNVLLNYAVEQGIVFESRKIIEEVPLPPYTEDYKNNIRYTDNGVVDKLLQMKVLAKSSFVSKASTFSAPIGDVPAINIVYGDGLYLAWHQYGPRFVEILDGPCPNGPYNLSFCDNFAM
+>sp|Q9NWW9|HRSL2_HUMAN HRAS-like suppressor 2 OS=Homo sapiens OX=9606 GN=HRASLS2 PE=1 SV=1
+MALARPRPRLGDLIEISRFGYAHWAIYVGDGYVVHLAPASEIAGAGAASVLSALTNKAIVKKELLSVVAGGDNYRVNNKHDDRYTPLPSNKIVKRAEELVGQELPYSLTSDNCEHFVNHLRYGVSRSDQVTGAVTTVGVAAGLLAAASLVGILLARSKRERQ
+>DECOY_sp|Q9NWW9|HRSL2_HUMAN HRAS-like suppressor 2 OS=Homo sapiens OX=9606 GN=HRASLS2 PE=1 SV=1
+QRERKSRALLIGVLSAAALLGAAVGVTTVAGTVQDSRSVGYRLHNVFHECNDSTLSYPLEQGVLEEARKVIKNSPLPTYRDDHKNNVRYNDGGAVVSLLEKKVIAKNTLASLVSAAGAGAIESAPALHVVYGDGVYIAWHAYGFRSIEILDGLRPRPRALAM
+>sp|Q7LGA3|HS2ST_HUMAN Heparan sulfate 2-O-sulfotransferase 1 OS=Homo sapiens OX=9606 GN=HS2ST1 PE=1 SV=1
+MGLLRIMMPPKLQLLAVVAFAVAMLFLENQIQKLEESRSKLERAIARHEVREIEQRHTMDGPRQDATLDEEEDMVIIYNRVPKTASTSFTNIAYDLCAKNKYHVLHINTTKNNPVMSLQDQVRFVKNITSWKEMKPGFYHGHVSYLDFAKFGVKKKPIYINVIRDPIERLVSYYYFLRFGDDYRPGLRRRKQGDKKTFDECVAEGGSDCAPEKLWLQIPFFCGHSSECWNVGSRWAMDQAKYNLINEYFLVGVTEELEDFIMLLEAALPRFFRGATELYRTGKKSHLRKTTEKKLPTKQTIAKLQQSDIWKMENEFYEFALEQFQFIRAHAVREKDGDLYILAQNFFYEKIYPKSN
+>DECOY_sp|Q7LGA3|HS2ST_HUMAN Heparan sulfate 2-O-sulfotransferase 1 OS=Homo sapiens OX=9606 GN=HS2ST1 PE=1 SV=1
+NSKPYIKEYFFNQALIYLDGDKERVAHARIFQFQELAFEYFENEMKWIDSQQLKAITQKTPLKKETTKRLHSKKGTRYLETAGRFFRPLAAELLMIFDELEETVGVLFYENILNYKAQDMAWRSGVNWCESSHGCFFPIQLWLKEPACDSGGEAVCEDFTKKDGQKRRRLGPRYDDGFRLFYYYSVLREIPDRIVNIYIPKKKVGFKAFDLYSVHGHYFGPKMEKWSTINKVFRVQDQLSMVPNNKTTNIHLVHYKNKACLDYAINTFSTSATKPVRNYIIVMDEEEDLTADQRPGDMTHRQEIERVEHRAIARELKSRSEELKQIQNELFLMAVAFAVVALLQLKPPMMIRLLGM
+>sp|P0DMV8|HS71A_HUMAN Heat shock 70 kDa protein 1A OS=Homo sapiens OX=9606 GN=HSPA1A PE=1 SV=1
+MAKAAAIGIDLGTTYSCVGVFQHGKVEIIANDQGNRTTPSYVAFTDTERLIGDAAKNQVALNPQNTVFDAKRLIGRKFGDPVVQSDMKHWPFQVINDGDKPKVQVSYKGETKAFYPEEISSMVLTKMKEIAEAYLGYPVTNAVITVPAYFNDSQRQATKDAGVIAGLNVLRIINEPTAAAIAYGLDRTGKGERNVLIFDLGGGTFDVSILTIDDGIFEVKATAGDTHLGGEDFDNRLVNHFVEEFKRKHKKDISQNKRAVRRLRTACERAKRTLSSSTQASLEIDSLFEGIDFYTSITRARFEELCSDLFRSTLEPVEKALRDAKLDKAQIHDLVLVGGSTRIPKVQKLLQDFFNGRDLNKSINPDEAVAYGAAVQAAILMGDKSENVQDLLLLDVAPLSLGLETAGGVMTALIKRNSTIPTKQTQIFTTYSDNQPGVLIQVYEGERAMTKDNNLLGRFELSGIPPAPRGVPQIEVTFDIDANGILNVTATDKSTGKANKITITNDKGRLSKEEIERMVQEAEKYKAEDEVQRERVSAKNALESYAFNMKSAVEDEGLKGKISEADKKKVLDKCQEVISWLDANTLAEKDEFEHKRKELEQVCNPIISGLYQGAGGPGPGGFGAQGPKGGSGSGPTIEEVD
+>DECOY_sp|P0DMV8|HS71A_HUMAN Heat shock 70 kDa protein 1A OS=Homo sapiens OX=9606 GN=HSPA1A PE=1 SV=1
+DVEEITPGSGSGGKPGQAGFGGPGPGGAGQYLGSIIPNCVQELEKRKHEFEDKEALTNADLWSIVEQCKDLVKKKDAESIKGKLGEDEVASKMNFAYSELANKASVRERQVEDEAKYKEAEQVMREIEEKSLRGKDNTITIKNAKGTSKDTATVNLIGNADIDFTVEIQPVGRPAPPIGSLEFRGLLNNDKTMAREGEYVQILVGPQNDSYTTFIQTQKTPITSNRKILATMVGGATELGLSLPAVDLLLLDQVNESKDGMLIAAQVAAGYAVAEDPNISKNLDRGNFFDQLLKQVKPIRTSGGVLVLDHIQAKDLKADRLAKEVPELTSRFLDSCLEEFRARTISTYFDIGEFLSDIELSAQTSSSLTRKARECATRLRRVARKNQSIDKKHKRKFEEVFHNVLRNDFDEGGLHTDGATAKVEFIGDDITLISVDFTGGGLDFILVNREGKGTRDLGYAIAAATPENIIRLVNLGAIVGADKTAQRQSDNFYAPVTIVANTVPYGLYAEAIEKMKTLVMSSIEEPYFAKTEGKYSVQVKPKDGDNIVQFPWHKMDSQVVPDGFKRGILRKADFVTNQPNLAVQNKAADGILRETDTFAVYSPTTRNGQDNAIIEVKGHQFVGVCSYTTGLDIGIAAAKAM
+>sp|O75506|HSBP1_HUMAN Heat shock factor-binding protein 1 OS=Homo sapiens OX=9606 GN=HSBP1 PE=1 SV=1
+MAETDPKTVQDLTSVVQTLLQQMQDKFQTMSDQIIGRIDDMSSRIDDLEKNIADLMTQAGVEELESENKIPATQKS
+>DECOY_sp|O75506|HSBP1_HUMAN Heat shock factor-binding protein 1 OS=Homo sapiens OX=9606 GN=HSBP1 PE=1 SV=1
+SKQTAPIKNESELEEVGAQTMLDAINKELDDIRSSMDDIRGIIQDSMTQFKDQMQQLLTQVVSTLDQVTKPDTEAM
+>sp|C9JCN9|HSBPL_HUMAN Heat shock factor-binding protein 1-like protein 1 OS=Homo sapiens OX=9606 GN=HSBP1L1 PE=3 SV=2
+MDVRGPEAPGGRALRDAAENLFQELQEHFQALTATLNLRMEEMGNRIEDLQKNVKDLMVQAGIENSIKEQMLKT
+>DECOY_sp|C9JCN9|HSBPL_HUMAN Heat shock factor-binding protein 1-like protein 1 OS=Homo sapiens OX=9606 GN=HSBP1L1 PE=3 SV=2
+TKLMQEKISNEIGAQVMLDKVNKQLDEIRNGMEEMRLNLTATLAQFHEQLEQFLNEAADRLARGGPAEPGRVDM
+>sp|Q4G112|HSF5_HUMAN Heat shock factor protein 5 OS=Homo sapiens OX=9606 GN=HSF5 PE=2 SV=2
+MEALLSTPINPNNFPAKLWRLVNSPRYRSIRWDGRGEGLLIDQPLFEAELLSPPGPGGGGGTAGAGAEPELFKTTSFTSFIRQLNLYGFRKVVLGGPGGGKPAGNGPLHHFHNPHFRRDQPQLLVHLKRLTSANKAKLAAGLEVPCRPPNRFQRLLITSASAATAPLQHQQPPPPAGPRPEPHGPVAVGQFHRSFRRDSLSPYSCVSTPSHDHSTYPLKGLDRTPVPHRIWQNSLGMHPGQVETSPTFSDKGVPFPVLQRFPTEVTYTLQPSTTSVHVQQGPQTMVSSSQKYSNYTPSAQYSQAYYPTAVLQCCSPTHMDALSSCVTPNASSYAHCNYFQNPSMQSSYPVEFLPSNWPCSTTDENTKTEVNLEAVFQIVDELHSSPKLEMVKVEPVENQCPTSPSYRGQHILANSNNSNPCSASQASQLEPLTPVGSDIMSFVVGTEQAVACSLPQSPEYIYTIHTAQPVENSTIQESAAIQQAHVKLKEHLNHNPSPSSVVFVQEGPPFSTHQVDANIKCQTSSRENILPSEQMGFLISEMGPASKPSEDTGLATPARYREHRSNSQQGKSPDLHLLVDVACKQERFPKEEELKE
+>DECOY_sp|Q4G112|HSF5_HUMAN Heat shock factor protein 5 OS=Homo sapiens OX=9606 GN=HSF5 PE=2 SV=2
+EKLEEEKPFREQKCAVDVLLHLDPSKGQQSNSRHERYRAPTALGTDESPKSAPGMESILFGMQESPLINERSSTQCKINADVQHTSFPPGEQVFVVSSPSPNHNLHEKLKVHAQQIAASEQITSNEVPQATHITYIYEPSQPLSCAVAQETGVVFSMIDSGVPTLPELQSAQSASCPNSNNSNALIHQGRYSPSTPCQNEVPEVKVMELKPSSHLEDVIQFVAELNVETKTNEDTTSCPWNSPLFEVPYSSQMSPNQFYNCHAYSSANPTVCSSLADMHTPSCCQLVATPYYAQSYQASPTYNSYKQSSSVMTQPGQQVHVSTTSPQLTYTVETPFRQLVPFPVGKDSFTPSTEVQGPHMGLSNQWIRHPVPTRDLGKLPYTSHDHSPTSVCSYPSLSDRRFSRHFQGVAVPGHPEPRPGAPPPPQQHQLPATAASASTILLRQFRNPPRCPVELGAALKAKNASTLRKLHVLLQPQDRRFHPNHFHHLPGNGAPKGGGPGGLVVKRFGYLNLQRIFSTFSTTKFLEPEAGAGATGGGGGPGPPSLLEAEFLPQDILLGEGRGDWRISRYRPSNVLRWLKAPFNNPNIPTSLLAEM
+>sp|P48723|HSP13_HUMAN Heat shock 70 kDa protein 13 OS=Homo sapiens OX=9606 GN=HSPA13 PE=1 SV=1
+MAREMTILGSAVLTLLLAGYLAQQYLPLPTPKVIGIDLGTTYCSVGVFFPGTGKVKVIPDENGHISIPSMVSFTDNDVYVGYESVELADSNPQNTIYDAKRFIGKIFTAEELEAEIGRYPFKVLNKNGMVEFSVTSNETITVSPEYVGSRLLLKLKEMAEAYLGMPVANAVISVPAEFDLKQRNSTIEAANLAGLKILRVINEPTAAAMAYGLHKADVFHVLVIDLGGGTLDVSLLNKQGGMFLTRAMSGNNKLGGQDFNQRLLQYLYKQIYQTYGFVPSRKEEIHRLRQAVEMVKLNLTLHQSAQLSVLLTVEEQDRKEPHSSDTELPKDKLSSADDHRVNSGFGRGLSDKKSGESQVLFETEISRKLFDTLNEDLFQKILVPIQQVLKEGHLEKTEIDEVVLVGGSTRIPRIRQVIQEFFGKDPNTSVDPDLAVVTGVAIQAGIDGGSWPLQVSALEIPNKHLQKTNFN
+>DECOY_sp|P48723|HSP13_HUMAN Heat shock 70 kDa protein 13 OS=Homo sapiens OX=9606 GN=HSPA13 PE=1 SV=1
+NFNTKQLHKNPIELASVQLPWSGGDIGAQIAVGTVVALDPDVSTNPDKGFFEQIVQRIRPIRTSGGVLVVEDIETKELHGEKLVQQIPVLIKQFLDENLTDFLKRSIETEFLVQSEGSKKDSLGRGFGSNVRHDDASSLKDKPLETDSSHPEKRDQEEVTLLVSLQASQHLTLNLKVMEVAQRLRHIEEKRSPVFGYTQYIQKYLYQLLRQNFDQGGLKNNGSMARTLFMGGQKNLLSVDLTGGGLDIVLVHFVDAKHLGYAMAAATPENIVRLIKLGALNAAEITSNRQKLDFEAPVSIVANAVPMGLYAEAMEKLKLLLRSGVYEPSVTITENSTVSFEVMGNKNLVKFPYRGIEAELEEATFIKGIFRKADYITNQPNSDALEVSEYGVYVDNDTFSVMSPISIHGNEDPIVKVKGTGPFFVGVSCYTTGLDIGIVKPTPLPLYQQALYGALLLTLVASGLITMERAM
+>sp|P48741|HSP77_HUMAN Putative heat shock 70 kDa protein 7 OS=Homo sapiens OX=9606 GN=HSPA7 PE=5 SV=2
+MQAPRELAVGIDLGTTYSCVGVFQQGRVEILANDQGNRTTPSYVAFTDTERLVGDAAKSQAALNPHNTVFDAKRLIGRKFADTTVQSDMKHWPFQVVSEGGKPKVRVCYRGEDKTFYPEEISSMVLSKMKETAEAYLGQPVKHAVITVPTYFSNSQRQATKDAGAIAGLKVLPIINEATAAAIAYGLDRRGAGKRNVLIFDLGGGTFDVSVLSIDAGVFEVKATAGDTHLGGEDFDNRLVNHFMEEFRRKHGKDLSGNKRALRRLRTACERAKRTPSSSTQATLEIDSLFEGVDFYKSITRARFEELCSDLFRSTLEPVEKALRDAKLDKAQIHDFVLGGGLHSHPQGAEVAAGLLQRQGAEQEHQP
+>DECOY_sp|P48741|HSP77_HUMAN Putative heat shock 70 kDa protein 7 OS=Homo sapiens OX=9606 GN=HSPA7 PE=5 SV=2
+PQHEQEAGQRQLLGAAVEAGQPHSHLGGGLVFDHIQAKDLKADRLAKEVPELTSRFLDSCLEEFRARTISKYFDVGEFLSDIELTAQTSSSPTRKARECATRLRRLARKNGSLDKGHKRRFEEMFHNVLRNDFDEGGLHTDGATAKVEFVGADISLVSVDFTGGGLDFILVNRKGAGRRDLGYAIAAATAENIIPLVKLGAIAGADKTAQRQSNSFYTPVTIVAHKVPQGLYAEATEKMKSLVMSSIEEPYFTKDEGRYCVRVKPKGGESVVQFPWHKMDSQVTTDAFKRGILRKADFVTNHPNLAAQSKAADGVLRETDTFAVYSPTTRNGQDNALIEVRGQQFVGVCSYTTGLDIGVALERPAQM
+>sp|P11142|HSP7C_HUMAN Heat shock cognate 71 kDa protein OS=Homo sapiens OX=9606 GN=HSPA8 PE=1 SV=1
+MSKGPAVGIDLGTTYSCVGVFQHGKVEIIANDQGNRTTPSYVAFTDTERLIGDAAKNQVAMNPTNTVFDAKRLIGRRFDDAVVQSDMKHWPFMVVNDAGRPKVQVEYKGETKSFYPEEVSSMVLTKMKEIAEAYLGKTVTNAVVTVPAYFNDSQRQATKDAGTIAGLNVLRIINEPTAAAIAYGLDKKVGAERNVLIFDLGGGTFDVSILTIEDGIFEVKSTAGDTHLGGEDFDNRMVNHFIAEFKRKHKKDISENKRAVRRLRTACERAKRTLSSSTQASIEIDSLYEGIDFYTSITRARFEELNADLFRGTLDPVEKALRDAKLDKSQIHDIVLVGGSTRIPKIQKLLQDFFNGKELNKSINPDEAVAYGAAVQAAILSGDKSENVQDLLLLDVTPLSLGIETAGGVMTVLIKRNTTIPTKQTQTFTTYSDNQPGVLIQVYEGERAMTKDNNLLGKFELTGIPPAPRGVPQIEVTFDIDANGILNVSAVDKSTGKENKITITNDKGRLSKEDIERMVQEAEKYKAEDEKQRDKVSSKNSLESYAFNMKATVEDEKLQGKINDEDKQKILDKCNEIINWLDKNQTAEKEEFEHQQKELEKVCNPIITKLYQSAGGMPGGMPGGFPGGGAPPSGGASSGPTIEEVD
+>DECOY_sp|P11142|HSP7C_HUMAN Heat shock cognate 71 kDa protein OS=Homo sapiens OX=9606 GN=HSPA8 PE=1 SV=1
+DVEEITPGSSAGGSPPAGGGPFGGPMGGPMGGASQYLKTIIPNCVKELEKQQHEFEEKEATQNKDLWNIIENCKDLIKQKDEDNIKGQLKEDEVTAKMNFAYSELSNKSSVKDRQKEDEAKYKEAEQVMREIDEKSLRGKDNTITIKNEKGTSKDVASVNLIGNADIDFTVEIQPVGRPAPPIGTLEFKGLLNNDKTMAREGEYVQILVGPQNDSYTTFTQTQKTPITTNRKILVTMVGGATEIGLSLPTVDLLLLDQVNESKDGSLIAAQVAAGYAVAEDPNISKNLEKGNFFDQLLKQIKPIRTSGGVLVIDHIQSKDLKADRLAKEVPDLTGRFLDANLEEFRARTISTYFDIGEYLSDIEISAQTSSSLTRKARECATRLRRVARKNESIDKKHKRKFEAIFHNVMRNDFDEGGLHTDGATSKVEFIGDEITLISVDFTGGGLDFILVNREAGVKKDLGYAIAAATPENIIRLVNLGAITGADKTAQRQSDNFYAPVTVVANTVTKGLYAEAIEKMKTLVMSSVEEPYFSKTEGKYEVQVKPRGADNVVMFPWHKMDSQVVADDFRRGILRKADFVTNTPNMAVQNKAADGILRETDTFAVYSPTTRNGQDNAIIEVKGHQFVGVCSYTTGLDIGVAPGKSM
+>sp|P04792|HSPB1_HUMAN Heat shock protein beta-1 OS=Homo sapiens OX=9606 GN=HSPB1 PE=1 SV=2
+MTERRVPFSLLRGPSWDPFRDWYPHSRLFDQAFGLPRLPEEWSQWLGGSSWPGYVRPLPPAAIESPAVAAPAYSRALSRQLSSGVSEIRHTADRWRVSLDVNHFAPDELTVKTKDGVVEITGKHEERQDEHGYISRCFTRKYTLPPGVDPTQVSSSLSPEGTLTVEAPMPKLATQSNEITIPVTFESRAQLGGPEAAKSDETAAK
+>DECOY_sp|P04792|HSPB1_HUMAN Heat shock protein beta-1 OS=Homo sapiens OX=9606 GN=HSPB1 PE=1 SV=2
+KAATEDSKAAEPGGLQARSEFTVPITIENSQTALKPMPAEVTLTGEPSLSSSVQTPDVGPPLTYKRTFCRSIYGHEDQREEHKGTIEVVGDKTKVTLEDPAFHNVDLSVRWRDATHRIESVGSSLQRSLARSYAPAAVAPSEIAAPPLPRVYGPWSSGGLWQSWEEPLRPLGFAQDFLRSHPYWDRFPDWSPGRLLSFPVRRETM
+>sp|Q9BUP3|HTAI2_HUMAN Oxidoreductase HTATIP2 OS=Homo sapiens OX=9606 GN=HTATIP2 PE=1 SV=2
+MAETEALSKLREDFRMQNKSVFILGASGETGRVLLKEILEQGLFSKVTLIGRRKLTFDEEAYKNVNQEVVDFEKLDDYASAFQGHDVGFCCLGTTRGKAGAEGFVRVDRDYVLKSAELAKAGGCKHFNLLSSKGADKSSNFLYLQVKGEVEAKVEELKFDRYSVFRPGVLLCDRQESRPGEWLVRKFFGSLPDSWASGHSVPVVTVVRAMLNNVVRPRDKQMELLENKAIHDLGKAHGSLKP
+>DECOY_sp|Q9BUP3|HTAI2_HUMAN Oxidoreductase HTATIP2 OS=Homo sapiens OX=9606 GN=HTATIP2 PE=1 SV=2
+PKLSGHAKGLDHIAKNELLEMQKDRPRVVNNLMARVVTVVPVSHGSAWSDPLSGFFKRVLWEGPRSEQRDCLLVGPRFVSYRDFKLEEVKAEVEGKVQLYLFNSSKDAGKSSLLNFHKCGGAKALEASKLVYDRDVRVFGEAGAKGRTTGLCCFGVDHGQFASAYDDLKEFDVVEQNVNKYAEEDFTLKRRGILTVKSFLGQELIEKLLVRGTEGSAGLIFVSKNQMRFDERLKSLAETEAM
+>sp|Q86XA9|HTR5A_HUMAN HEAT repeat-containing protein 5A OS=Homo sapiens OX=9606 GN=HEATR5A PE=1 SV=2
+MELAHSLLLNEEAYNQLGEVQKAEFIFEWLRYLEKLLLATSRNDVREKQKTLVEQLLSLLNSSPGPPTRKLLAKNLAILYSIGDTFSVHEAIDKCNDLIRSKDDSPSYLPTKLAAVVCLGSLYKKLGRILGNTFTDTVGNILKAMKSAESQGRYEIMLSLQNILNGLGAAAAPCHRDVYKAARSCLTDRSMAVRCAAAKNEAIFMWSTDLDSVATLCFKSFEGSNYDVRISVSKLLGIILAKAVISKHPGTAASRQSIRRVSLEEVLELLGTGFLRGSSGFLRASGDMLKGTSSVSRDVRVGVTQAYVVFVSTLGGAWLEKNFAAFFSHILSLASPSHPKATQTQIDAVCCRRCVSFILRTTIGGLLGEKAQLAAVKDICQAIWKLKKVMDAVMSDGNLETRLGSTDVAASQHMLVCALQELGNLIHNLGTTAAPLLQDSSTGLLDSILSVILHPSISVRLAAAWCLHCIAVALPSYLTPLLDRCLERLTGHKSSPEAVTGFSFAVAALLGAVKHCPLGIPHGKGKIIMTLAEDLLCSAAQNSRLSAQRTQAGWLLISALMTLGPAVVSHHLARVLLLWKCVFPASPKDLETEKSRGDSFTWQVTLEGRAGALCAIKSFVSHCGDLLTEEVTQRLLPPLPCAVDLLTQLSSILKMYGSPLKTPSVVYRQRLYELLILLPPETYEGNLCAILRELAADLTAPDIQVAASTFLLPPLCHQDDLLILSPFLQETDHRFIEEQLLLGNGVACGSLEYDPYSIYEKDVEGDSVPKPLPPALSVISSASKLFGVVCAHVGETQRLLILEQLLDSIKHTKGARQQVVQLHVVSSVSSFLKYVAGSKGCLGPEEMKRFALTLVMGALESPNPLLRCAAAESWARLAQVVDDGAFTAGLAQVSFDKLKSARDVVTRTGHSLALGSLHRYLGGISSSQHLNSCIGILYTLAQDSTSPDVQTWALHSLSLIIDSAGPLYYVHVEPTLSLIIMLLLNVPPTHAEVHQSLGRCLNALITTLGPELQGNSTSISTLRTSCLLGCAVMQDNPDCLVQAQAISCLQQLHMFAPRHVNLSSLVSCLCVNLCSPYLLLRRAVLACLRQLVQREAAEVSEHAVMLAKDSREELTPDANIREVGLEGALLILLDKETDERLCHDIKETLNYMLTSMAVEKLSLWLKLCKDVLAASADFTAVTCVDTMQEEEGDKGDDASVLTTRRDEKSHPFTNPRWATRVFAAECVCRIINQCENANSAHFDIALAQEMKKRDSRNDFLVLHLADLIRMAFMAATDHSDQLRLSGLEMLLVVIRRFATVPEPEFPGHVILEQYQANVGAALRPAFTSETPPDVTAKACQVCSAWIASGVVSDLNDLRRVHQLLVSSLTKIQAGKEALSHLYNESASTMEILAVLKAWAEVYIIAVQRHKNHRQPLKTTTCLEDGIRNGSCSSDGLLDLVYADLGTLSRLWLAALQDFALLTLPSEFASQLPAEGGAFYTAETSENAKLHYYNSWALILHATALWLTSTGFVVADPDEGASNLSRPVTPTSMCQGSSSGATIKSPEDVYTDRFHLILGISVEFLCSLRSDATMESITACLHALQALLDVPWPRSKIGSDQDLGIELLNVLHRVILTRESPSIQLASLEVVRQIICAAQEHVKEKRRSAEVDDGAAEKETLPEFGEGKDTGGLVPGKSLVFATLELCVCILVRQLPELNPKLTGSPGVKATKPQILLEDGSRLVSAALVILSELPAVCSPEGSISILPTILYLTIGVLRETAVKLPGGQLSSTVAASLQALKGILSSPMARAEKSRTAWTDLLRSALTTILDCWDPVDETHQELDEVSLLTAITVFILSTSPEVTTIPCLQKRCIDKFKATLEIKDPVVQIKTYQLLHSIFQYPNPAVSYPYIYSLASCIMEKLQEIDKRKPENTAELEIFQEGIKVLETLVTVAEEHHRAQLVACLLPILISFLLDENSLGSATSIMRNLHDFALQNLMQIGPQYSSVFKSLVASSPALKARLEAAIKGNQESVKVKIPTSKYTKSPGKNSSIQLKTSFL
+>DECOY_sp|Q86XA9|HTR5A_HUMAN HEAT repeat-containing protein 5A OS=Homo sapiens OX=9606 GN=HEATR5A PE=1 SV=2
+LFSTKLQISSNKGPSKTYKSTPIKVKVSEQNGKIAAELRAKLAPSSAVLSKFVSSYQPGIQMLNQLAFDHLNRMISTASGLSNEDLLFSILIPLLCAVLQARHHEEAVTVLTELVKIGEQFIELEATNEPKRKDIEQLKEMICSALSYIYPYSVAPNPYQFISHLLQYTKIQVVPDKIELTAKFKDICRKQLCPITTVEPSTSLIFVTIATLLSVEDLEQHTEDVPDWCDLITTLASRLLDTWATRSKEARAMPSSLIGKLAQLSAAVTSSLQGGPLKVATERLVGITLYLITPLISISGEPSCVAPLESLIVLAASVLRSGDELLIQPKTAKVGPSGTLKPNLEPLQRVLICVCLELTAFVLSKGPVLGGTDKGEGFEPLTEKEAAGDDVEASRRKEKVHEQAACIIQRVVELSALQISPSERTLIVRHLVNLLEIGLDQDSGIKSRPWPVDLLAQLAHLCATISEMTADSRLSCLFEVSIGLILHFRDTYVDEPSKITAGSSSGQCMSTPTVPRSLNSAGEDPDAVVFGTSTLWLATAHLILAWSNYYHLKANESTEATYFAGGEAPLQSAFESPLTLLAFDQLAALWLRSLTGLDAYVLDLLGDSSCSGNRIGDELCTTTKLPQRHNKHRQVAIIYVEAWAKLVALIEMTSASENYLHSLAEKGAQIKTLSSVLLQHVRRLDNLDSVVGSAIWASCVQCAKATVDPPTESTFAPRLAAGVNAQYQELIVHGPFEPEPVTAFRRIVVLLMELGSLRLQDSHDTAAMFAMRILDALHLVLFDNRSDRKKMEQALAIDFHASNANECQNIIRCVCEAAFVRTAWRPNTFPHSKEDRRTTLVSADDGKDGEEEQMTDVCTVATFDASAALVDKCLKLWLSLKEVAMSTLMYNLTEKIDHCLREDTEKDLLILLAGELGVERINADPTLEERSDKALMVAHESVEAAERQVLQRLCALVARRLLLYPSCLNVCLCSVLSSLNVHRPAFMHLQQLCSIAQAQVLCDPNDQMVACGLLCSTRLTSISTSNGQLEPGLTTILANLCRGLSQHVEAHTPPVNLLLMIILSLTPEVHVYYLPGASDIILSLSHLAWTQVDPSTSDQALTYLIGICSNLHQSSSIGGLYRHLSGLALSHGTRTVVDRASKLKDFSVQALGATFAGDDVVQALRAWSEAAACRLLPNPSELAGMVLTLAFRKMEEPGLCGKSGAVYKLFSSVSSVVHLQVVQQRAGKTHKISDLLQELILLRQTEGVHACVVGFLKSASSIVSLAPPLPKPVSDGEVDKEYISYPDYELSGCAVGNGLLLQEEIFRHDTEQLFPSLILLDDQHCLPPLLFTSAAVQIDPATLDAALERLIACLNGEYTEPPLLILLEYLRQRYVVSPTKLPSGYMKLISSLQTLLDVACPLPPLLRQTVEETLLDGCHSVFSKIACLAGARGELTVQWTFSDGRSKETELDKPSAPFVCKWLLLVRALHHSVVAPGLTMLASILLWGAQTRQASLRSNQAASCLLDEALTMIIKGKGHPIGLPCHKVAGLLAAVAFSFGTVAEPSSKHGTLRELCRDLLPTLYSPLAVAICHLCWAAALRVSISPHLIVSLISDLLGTSSDQLLPAATTGLNHILNGLEQLACVLMHQSAAVDTSGLRTELNGDSMVADMVKKLKWIAQCIDKVAALQAKEGLLGGITTRLIFSVCRRCCVADIQTQTAKPHSPSALSLIHSFFAAFNKELWAGGLTSVFVVYAQTVGVRVDRSVSSTGKLMDGSARLFGSSGRLFGTGLLELVEELSVRRISQRSAATGPHKSIVAKALIIGLLKSVSIRVDYNSGEFSKFCLTAVSDLDTSWMFIAENKAAACRVAMSRDTLCSRAAKYVDRHCPAAAAGLGNLINQLSLMIEYRGQSEASKMAKLINGVTDTFTNGLIRGLKKYLSGLCVVAALKTPLYSPSDDKSRILDNCKDIAEHVSFTDGISYLIALNKALLKRTPPGPSSNLLSLLQEVLTKQKERVDNRSTALLLKELYRLWEFIFEAKQVEGLQNYAEENLLLSHALEM
+>sp|P83110|HTRA3_HUMAN Serine protease HTRA3 OS=Homo sapiens OX=9606 GN=HTRA3 PE=1 SV=2
+MQARALLLAALAALALAREPPAAPCPARCDVSRCPSPRCPGGYVPDLCNCCLVCAASEGEPCGGPLDSPCGESLECVRGLCRCRWSHAVCGTDGHTYANVCALQAASRRALQLSGTPVRQLQKGACPLGLHQLSSPRYKFNFIADVVEKIAPAVVHIELFLRHPLFGRNVPLSSGSGFIMSEAGLIITNAHVVSSNSAAPGRQQLKVQLQNGDSYEATIKDIDKKSDIATIKIHPKKKLPVLLLGHSADLRPGEFVVAIGSPFALQNTVTTGIVSTAQREGRELGLRDSDMDYIQTDAIINYGNSGGPLVNLDGEVIGINTLKVTAGISFAIPSDRITRFLTEFQDKQIKDWKKRFIGIRMRTITPSLVDELKASNPDFPEVSSGIYVQEVAPNSPSQRGGIQDGDIIVKVNGRPLVDSSELQEAVLTESPLLLEVRRGNDDLLFSIAPEVVM
+>DECOY_sp|P83110|HTRA3_HUMAN Serine protease HTRA3 OS=Homo sapiens OX=9606 GN=HTRA3 PE=1 SV=2
+MVVEPAISFLLDDNGRRVELLLPSETLVAEQLESSDVLPRGNVKVIIDGDQIGGRQSPSNPAVEQVYIGSSVEPFDPNSAKLEDVLSPTITRMRIGIFRKKWDKIQKDQFETLFRTIRDSPIAFSIGATVKLTNIGIVEGDLNVLPGGSNGYNIIADTQIYDMDSDRLGLERGERQATSVIGTTVTNQLAFPSGIAVVFEGPRLDASHGLLLVPLKKKPHIKITAIDSKKDIDKITAEYSDGNQLQVKLQQRGPAASNSSVVHANTIILGAESMIFGSGSSLPVNRGFLPHRLFLEIHVVAPAIKEVVDAIFNFKYRPSSLQHLGLPCAGKQLQRVPTGSLQLARRSAAQLACVNAYTHGDTGCVAHSWRCRCLGRVCELSEGCPSDLPGGCPEGESAACVLCCNCLDPVYGGPCRPSPCRSVDCRAPCPAAPPERALALAALAALLLARAQM
+>sp|Q8IVG9|HUNIN_HUMAN Humanin OS=Homo sapiens OX=9606 GN=MT-RNR2 PE=1 SV=1
+MAPRGFSCLLLLTSEIDLPVKRRA
+>DECOY_sp|Q8IVG9|HUNIN_HUMAN Humanin OS=Homo sapiens OX=9606 GN=MT-RNR2 PE=1 SV=1
+ARRKVPLDIESTLLLLCSFGRPAM
+>sp|P57058|HUNK_HUMAN Hormonally up-regulated neu tumor-associated kinase OS=Homo sapiens OX=9606 GN=HUNK PE=1 SV=1
+MPAAAGDGLLGEPAAPGGGGGAEDAARPAAACEGSFLPAWVSGVPRERLRDFQHHKRVGNYLIGSRKLGEGSFAKVREGLHVLTGEKVAIKVIDKKRAKKDTYVTKNLRREGQIQQMIRHPNITQLLDILETENSYYLVMELCPGGNLMHKIYEKKRLEESEARRYIRQLISAVEHLHRAGVVHRDLKIENLLLDEDNNIKLIDFGLSNCAGILGYSDPFSTQCGSPAYAAPELLARKKYGPKIDVWSIGVNMYAMLTGTLPFTVEPFSLRALYQKMVDKEMNPLPTQLSTGAISFLRSLLEPDPVKRPNIQQALANRWLNENYTGKVPCNVTYPNRISLEDLSPSVVLHMTEKLGYKNSDVINTVLSNRACHILAIYFLLNKKLERYLSGKSDIQDSLCYKTRLYQIEKYRAPKESYEASLDTWTRDLEFHAVQDKKPKEQEKRGDFLHRPFSKKLDKNLPSHKQPSGSLMTQIQNTKALLKDRKASKSSFPDKDSFGCRNIFRKTSDSNCVASSSMEFIPVPPPRTPRIVKKPEPHQPGPGSTGIPHKEDPLMLDMVRSFESVDRDDHVEVLSPSHHYRILNSPVSLARRNSSERTLSPGLPSGSMSPLHTPLHPTLVSFAHEDKNSPPKEEGLCCPPPVPSNGPMQPLGSPNCVKSRGRFPMMGIGQMLRKRHQSLQPSADRPLEASLPPLQPLAPVNLAFDMADGVKTQC
+>DECOY_sp|P57058|HUNK_HUMAN Hormonally up-regulated neu tumor-associated kinase OS=Homo sapiens OX=9606 GN=HUNK PE=1 SV=1
+CQTKVGDAMDFALNVPALPQLPPLSAELPRDASPQLSQHRKRLMQGIGMMPFRGRSKVCNPSGLPQMPGNSPVPPPCCLGEEKPPSNKDEHAFSVLTPHLPTHLPSMSGSPLGPSLTRESSNRRALSVPSNLIRYHHSPSLVEVHDDRDVSEFSRVMDLMLPDEKHPIGTSGPGPQHPEPKKVIRPTRPPPVPIFEMSSSAVCNSDSTKRFINRCGFSDKDPFSSKSAKRDKLLAKTNQIQTMLSGSPQKHSPLNKDLKKSFPRHLFDGRKEQEKPKKDQVAHFELDRTWTDLSAEYSEKPARYKEIQYLRTKYCLSDQIDSKGSLYRELKKNLLFYIALIHCARNSLVTNIVDSNKYGLKETMHLVVSPSLDELSIRNPYTVNCPVKGTYNENLWRNALAQQINPRKVPDPELLSRLFSIAGTSLQTPLPNMEKDVMKQYLARLSFPEVTFPLTGTLMAYMNVGISWVDIKPGYKKRALLEPAAYAPSGCQTSFPDSYGLIGACNSLGFDILKINNDEDLLLNEIKLDRHVVGARHLHEVASILQRIYRRAESEELRKKEYIKHMLNGGPCLEMVLYYSNETELIDLLQTINPHRIMQQIQGERRLNKTVYTDKKARKKDIVKIAVKEGTLVHLGERVKAFSGEGLKRSGILYNGVRKHHQFDRLRERPVGSVWAPLFSGECAAAPRAADEAGGGGGPAAPEGLLGDGAAAPM
+>sp|A0A0C4DH31|HV118_HUMAN Immunoglobulin heavy variable 1-18 OS=Homo sapiens OX=9606 GN=IGHV1-18 PE=3 SV=1
+MDWTWSILFLVAAATGAHSQVQLVQSGAEVKKPGASVKVSCKASGYTFTSYGISWVRQAPGQGLEWMGWISAYNGNTNYAQKLQGRVTMTTDTSTSTAYMELRSLRSDDTAVYYCAR
+>DECOY_sp|A0A0C4DH31|HV118_HUMAN Immunoglobulin heavy variable 1-18 OS=Homo sapiens OX=9606 GN=IGHV1-18 PE=3 SV=1
+RACYYVATDDSRLSRLEMYATSTSTDTTMTVRGQLKQAYNTNGNYASIWGMWELGQGPAQRVWSIGYSTFTYGSAKCSVKVSAGPKKVEAGSQVLQVQSHAGTAAAVLFLISWTWDM
+>sp|P01743|HV146_HUMAN Immunoglobulin heavy variable 1-46 OS=Homo sapiens OX=9606 GN=IGHV1-46 PE=1 SV=2
+MDWTWRVFCLLAVAPGAHSQVQLVQSGAEVKKPGASVKVSCKASGYTFTSYYMHWVRQAPGQGLEWMGIINPSGGSTSYAQKFQGRVTMTRDTSTSTVYMELSSLRSEDTAVYYCAR
+>DECOY_sp|P01743|HV146_HUMAN Immunoglobulin heavy variable 1-46 OS=Homo sapiens OX=9606 GN=IGHV1-46 PE=1 SV=2
+RACYYVATDESRLSSLEMYVTSTSTDRTMTVRGQFKQAYSTSGGSPNIIGMWELGQGPAQRVWHMYYSTFTYGSAKCSVKVSAGPKKVEAGSQVLQVQSHAGPAVALLCFVRWTWDM
+>sp|P01814|HV270_HUMAN Immunoglobulin heavy variable 2-70 OS=Homo sapiens OX=9606 GN=IGHV2-70 PE=1 SV=2
+MDILCSTLLLLTVPSWVLSQVTLRESGPALVKPTQTLTLTCTFSGFSLSTSGMCVSWIRQPPGKALEWLALIDWDDDKYYSTSLKTRLTISKDTSKNQVVLTMTNMDPVDTATYYCARI
+>DECOY_sp|P01814|HV270_HUMAN Immunoglobulin heavy variable 2-70 OS=Homo sapiens OX=9606 GN=IGHV2-70 PE=1 SV=2
+IRACYYTATDVPDMNTMTLVVQNKSTDKSITLRTKLSTSYYKDDDWDILALWELAKGPPQRIWSVCMGSTSLSFGSFTCTLTLTQTPKVLAPGSERLTVQSLVWSPVTLLLLTSCLIDM
+>sp|P01762|HV311_HUMAN Immunoglobulin heavy variable 3-11 OS=Homo sapiens OX=9606 GN=IGHV3-11 PE=1 SV=2
+MEFGLSWVFLVAIIKGVQCQVQLVESGGGLVKPGGSLRLSCAASGFTFSDYYMSWIRQAPGKGLEWVSYISSSSSYTNYADSVKGRFTISRDNAKNSLYLQMNSLRAEDTAVYYCAR
+>DECOY_sp|P01762|HV311_HUMAN Immunoglobulin heavy variable 3-11 OS=Homo sapiens OX=9606 GN=IGHV3-11 PE=1 SV=2
+RACYYVATDEARLSNMQLYLSNKANDRSITFRGKVSDAYNTYSSSSSIYSVWELGKGPAQRIWSMYYDSFTFGSAACSLRLSGGPKVLGGGSEVLQVQCQVGKIIAVLFVWSLGFEM
+>sp|A0A075B6Q5|HV364_HUMAN Immunoglobulin heavy variable 3-64 OS=Homo sapiens OX=9606 GN=IGHV3-64 PE=3 SV=1
+MMEFGLSWVFLVAIFKGVQCEVQLVESGEGLVQPGGSLRLSCAASGFTFSSYAMHWVRQAPGKGLEYVSAISSNGGSTYYADSVKGRFTISRDNSKNTLYLQMGSLRAEDMAVYYCAR
+>DECOY_sp|A0A075B6Q5|HV364_HUMAN Immunoglobulin heavy variable 3-64 OS=Homo sapiens OX=9606 GN=IGHV3-64 PE=3 SV=1
+RACYYVAMDEARLSGMQLYLTNKSNDRSITFRGKVSDAYYTSGGNSSIASVYELGKGPAQRVWHMAYSSFTFGSAACSLRLSGGPQVLGEGSEVLQVECQVGKFIAVLFVWSLGFEMM
+>sp|A0A0B4J1V6|HV373_HUMAN Immunoglobulin heavy variable 3-73 OS=Homo sapiens OX=9606 GN=IGHV3-73 PE=3 SV=1
+MEFGLSWVFLVAILKGVQCEVQLVESGGGLVQPGGSLKLSCAASGFTFSGSAMHWVRQASGKGLEWVGRIRSKANSYATAYAASVKGRFTISRDDSKNTAYLQMNSLKTEDTAVYYCTR
+>DECOY_sp|A0A0B4J1V6|HV373_HUMAN Immunoglobulin heavy variable 3-73 OS=Homo sapiens OX=9606 GN=IGHV3-73 PE=3 SV=1
+RTCYYVATDETKLSNMQLYATNKSDDRSITFRGKVSAAYATAYSNAKSRIRGVWELGKGSAQRVWHMASGSFTFGSAACSLKLSGGPQVLGGGSEVLQVECQVGKLIAVLFVWSLGFEM
+>sp|A0A087WSY4|HV432_HUMAN Immunoglobulin heavy variable 4-30-2 OS=Homo sapiens OX=9606 GN=IGHV4-30-2 PE=3 SV=1
+MKHLWFFLLLVAAPRWVLSQLQLQESGSGLVKPSQTLSLTCAVSGGSISSGGYSWSWIRQPPGKGLEWIGYIYHSGSTYYNPSLKSRVTISVDRSKNQFSLKLSSVTAADTAVYYCAR
+>DECOY_sp|A0A087WSY4|HV432_HUMAN Immunoglobulin heavy variable 4-30-2 OS=Homo sapiens OX=9606 GN=IGHV4-30-2 PE=3 SV=1
+RACYYVATDAATVSSLKLSFQNKSRDVSITVRSKLSPNYYTSGSHYIYGIWELGKGPPQRIWSWSYGGSSISGGSVACTLSLTQSPKVLGSGSEQLQLQSLVWRPAAVLLLFFWLHKM
+>sp|P0DP04|HV43D_HUMAN Immunoglobulin heavy variable 3-43D OS=Homo sapiens OX=9606 GN=IGHV3-43D PE=3 SV=1
+MEFGLSWVFLVAILKGVQCEVQLVESGGVVVQPGGSLRLSCAASGFTFDDYAMHWVRQAPGKGLEWVSLISWDGGSTYYADSVKGRFTISRDNSKNSLYLQMNSLRAEDTALYYCAKD
+>DECOY_sp|P0DP04|HV43D_HUMAN Immunoglobulin heavy variable 3-43D OS=Homo sapiens OX=9606 GN=IGHV3-43D PE=3 SV=1
+DKACYYLATDEARLSNMQLYLSNKSNDRSITFRGKVSDAYYTSGGDWSILSVWELGKGPAQRVWHMAYDDFTFGSAACSLRLSGGPQVVVGGSEVLQVECQVGKLIAVLFVWSLGFEM
+>sp|A0A0J9YXX1|HV5X1_HUMAN Immunoglobulin heavy variable 5-10-1 OS=Homo sapiens OX=9606 GN=IGHV5-10-1 PE=3 SV=1
+MGSTAILALLLAVLQGVCAEVQLVQSGAEVKKPGESLRISCKGSGYSFTSYWISWVRQMPGKGLEWMGRIDPSDSYTNYSPSFQGHVTISADKSISTAYLQWSSLKASDTAMYYCAR
+>DECOY_sp|A0A0J9YXX1|HV5X1_HUMAN Immunoglobulin heavy variable 5-10-1 OS=Homo sapiens OX=9606 GN=IGHV5-10-1 PE=3 SV=1
+RACYYMATDSAKLSSWQLYATSISKDASITVHGQFSPSYNTYSDSPDIRGMWELGKGPMQRVWSIWYSTFSYGSGKCSIRLSEGPKKVEAGSQVLQVEACVGQLVALLLALIATSGM
+>sp|A0A0G2JMI3|HV692_HUMAN Immunoglobulin heavy variable 1-69-2 OS=Homo sapiens OX=9606 GN=IGHV1-69-2 PE=3 SV=2
+MDCTWRILLLVAAATGTHAEVQLVQSGAEVKKPGATVKISCKVSGYTFTDYYMHWVQQAPGKGLEWMGLVDPEDGETIYAEKFQGRVTITADTSTDTAYMELSSLRSEDTAVYYCAT
+>DECOY_sp|A0A0G2JMI3|HV692_HUMAN Immunoglobulin heavy variable 1-69-2 OS=Homo sapiens OX=9606 GN=IGHV1-69-2 PE=3 SV=2
+TACYYVATDESRLSSLEMYATDTSTDATITVRGQFKEAYITEGDEPDVLGMWELGKGPAQQVWHMYYDTFTYGSVKCSIKVTAGPKKVEAGSQVLQVEAHTGTAAAVLLLIRWTCDM
+>sp|A0A0J9YVY3|HV741_HUMAN Immunoglobulin heavy variable 7-4-1 OS=Homo sapiens OX=9606 GN=IGHV7-4-1 PE=3 SV=1
+MDWTWRILFLVAAATGAHSQVQLVQSGSELKKPGASVKVSCKASGYTFTSYAMNWVRQAPGQGLEWMGWINTNTGNPTYAQGFTGRFVFSLDTSVSTAYLQICSLKAEDTAVYYCAR
+>DECOY_sp|A0A0J9YVY3|HV741_HUMAN Immunoglobulin heavy variable 7-4-1 OS=Homo sapiens OX=9606 GN=IGHV7-4-1 PE=3 SV=1
+RACYYVATDEAKLSCIQLYATSVSTDLSFVFRGTFGQAYTPNGTNTNIWGMWELGQGPAQRVWNMAYSTFTYGSAKCSVKVSAGPKKLESGSQVLQVQSHAGTAAAVLFLIRWTWDM
+>sp|P0DP02|HVC33_HUMAN Immunoglobulin heavy variable 3-30-3 OS=Homo sapiens OX=9606 GN=IGHV3-30-3 PE=3 SV=1
+MEFGLSWVFLVALLRGVQCQVQLVESGGGVVQPGRSLRLSCAASGFTFSSYAMHWVRQAPGKGLEWVAVISYDGSNKYYADSVKGRFTISRDNSKNTLYLQMNSLRAEDTAVYYCAR
+>DECOY_sp|P0DP02|HVC33_HUMAN Immunoglobulin heavy variable 3-30-3 OS=Homo sapiens OX=9606 GN=IGHV3-30-3 PE=3 SV=1
+RACYYVATDEARLSNMQLYLTNKSNDRSITFRGKVSDAYYKNSGDYSIVAVWELGKGPAQRVWHMAYSSFTFGSAACSLRLSRGPQVVGGGSEVLQVQCQVGRLLAVLFVWSLGFEM
+>sp|Q92826|HXB13_HUMAN Homeobox protein Hox-B13 OS=Homo sapiens OX=9606 GN=HOXB13 PE=1 SV=2
+MEPGNYATLDGAKDIEGLLGAGGGRNLVAHSPLTSHPAAPTLMPAVNYAPLDLPGSAEPPKQCHPCPGVPQGTSPAPVPYGYFGGGYYSCRVSRSSLKPCAQAATLAAYPAETPTAGEEYPSRPTEFAFYPGYPGTYQPMASYLDVSVVQTLGAPGEPRHDSLLPVDSYQSWALAGGWNSQMCCQGEQNPPGPFWKAAFADSSGQHPPDACAFRRGRKKRIPYSKGQLRELEREYAANKFITKDKRRKISAATSLSERQITIWFQNRRVKEKKVLAKVKNSATP
+>DECOY_sp|Q92826|HXB13_HUMAN Homeobox protein Hox-B13 OS=Homo sapiens OX=9606 GN=HOXB13 PE=1 SV=2
+PTASNKVKALVKKEKVRRNQFWITIQRESLSTAASIKRRKDKTIFKNAAYERELERLQGKSYPIRKKRGRRFACADPPHQGSSDAFAAKWFPGPPNQEGQCCMQSNWGGALAWSQYSDVPLLSDHRPEGPAGLTQVVSVDLYSAMPQYTGPYGPYFAFETPRSPYEEGATPTEAPYAALTAAQACPKLSSRSVRCSYYGGGFYGYPVPAPSTGQPVGPCPHCQKPPEASGPLDLPAYNVAPMLTPAAPHSTLPSHAVLNRGGGAGLLGEIDKAGDLTAYNGPEM
+>sp|P31276|HXC13_HUMAN Homeobox protein Hox-C13 OS=Homo sapiens OX=9606 GN=HOXC13 PE=1 SV=3
+MTTSLLLHPRWPESLMYVYEDSAAESGIGGGGGGGGGGTGGAGGGCSGASPGKAPSMDGLGSSCPASHCRDLLPHPVLGRPPAPLGAPQGAVYTDIPAPEAARQCAPPPAPPTSSSATLGYGYPFGGSYYGCRLSHNVNLQQKPCAYHPGDKYPEPSGALPGDDLSSRAKEFAFYPSFASSYQAMPGYLDVSVVPGISGHPEPRHDALIPVEGYQHWALSNGWDSQVYCSKEQSQSAHLWKSPFPDVVPLQPEVSSYRRGRKKRVPYTKVQLKELEKEYAASKFITKEKRRRISATTNLSERQVTIWFQNRRVKEKKVVSKSKAPHLHST
+>DECOY_sp|P31276|HXC13_HUMAN Homeobox protein Hox-C13 OS=Homo sapiens OX=9606 GN=HOXC13 PE=1 SV=3
+TSHLHPAKSKSVVKKEKVRRNQFWITVQRESLNTTASIRRRKEKTIFKSAAYEKELEKLQVKTYPVRKKRGRRYSSVEPQLPVVDPFPSKWLHASQSQEKSCYVQSDWGNSLAWHQYGEVPILADHRPEPHGSIGPVVSVDLYGPMAQYSSAFSPYFAFEKARSSLDDGPLAGSPEPYKDGPHYACPKQQLNVNHSLRCGYYSGGFPYGYGLTASSSTPPAPPPACQRAAEPAPIDTYVAGQPAGLPAPPRGLVPHPLLDRCHSAPCSSGLGDMSPAKGPSAGSCGGGAGGTGGGGGGGGGGIGSEAASDEYVYMLSEPWRPHLLLSTTM
+>sp|P28358|HXD10_HUMAN Homeobox protein Hox-D10 OS=Homo sapiens OX=9606 GN=HOXD10 PE=1 SV=2
+MSFPNSSPAANTFLVDSLISACRSDSFYSSSASMYMPPPSADMGTYGMQTCGLLPSLAKREVNHQNMGMNVHPYIPQVDSWTDPNRSCRIEQPVTQQVPTCSFTTNIKEESNCCMYSDKRNKLISAEVPSYQRLVPESCPVENPEVPVPGYFRLSQTYATGKTQEYNNSPEGSSTVMLQLNPRGAAKPQLSAAQLQMEKKMNEPVSGQEPTKVSQVESPEAKGGLPEERSCLAEVSVSSPEVQEKESKEEIKSDTPTSNWLTAKSGRKKRCPYTKHQTLELEKEFLFNMYLTRERRLEISKSVNLTDRQVKIWFQNRRMKLKKMSRENRIRELTANLTFS
+>DECOY_sp|P28358|HXD10_HUMAN Homeobox protein Hox-D10 OS=Homo sapiens OX=9606 GN=HOXD10 PE=1 SV=2
+SFTLNATLERIRNERSMKKLKMRRNQFWIKVQRDTLNVSKSIELRRERTLYMNFLFEKELELTQHKTYPCRKKRGSKATLWNSTPTDSKIEEKSEKEQVEPSSVSVEALCSREEPLGGKAEPSEVQSVKTPEQGSVPENMKKEMQLQAASLQPKAAGRPNLQLMVTSSGEPSNNYEQTKGTAYTQSLRFYGPVPVEPNEVPCSEPVLRQYSPVEASILKNRKDSYMCCNSEEKINTTFSCTPVQQTVPQEIRCSRNPDTWSDVQPIYPHVNMGMNQHNVERKALSPLLGCTQMGYTGMDASPPPMYMSASSSYFSDSRCASILSDVLFTNAAPSSNPFSM
+>sp|P09016|HXD4_HUMAN Homeobox protein Hox-D4 OS=Homo sapiens OX=9606 GN=HOXD4 PE=2 SV=3
+MVMSSYMVNSKYVDPKFPPCEEYLQGGYLGEQGADYYGGGAQGADFQPPGLYPRPDFGEQPFGGSGPGPGSALPARGHGQEPGGPGGHYAAPGEPCPAPPAPPPAPLPGARAYSQSDPKQPPSGTALKQPAVVYPWMKKVHVNSVNPNYTGGEPKRSRTAYTRQQVLELEKEFHFNRYLTRRRRIEIAHTLCLSERQIKIWFQNRRMKWKKDHKLPNTKGRSSSSSSSSSCSSSVAPSQHLQPMAKDHHTDLTTL
+>DECOY_sp|P09016|HXD4_HUMAN Homeobox protein Hox-D4 OS=Homo sapiens OX=9606 GN=HOXD4 PE=2 SV=3
+LTTLDTHHDKAMPQLHQSPAVSSSCSSSSSSSSSRGKTNPLKHDKKWKMRRNQFWIKIQRESLCLTHAIEIRRRRTLYRNFHFEKELELVQQRTYATRSRKPEGGTYNPNVSNVHVKKMWPYVVAPQKLATGSPPQKPDSQSYARAGPLPAPPPAPPAPCPEGPAAYHGGPGGPEQGHGRAPLASGPGPGSGGFPQEGFDPRPYLGPPQFDAGQAGGGYYDAGQEGLYGGQLYEECPPFKPDVYKSNVMYSSMVM
+>sp|Q96DB2|HDA11_HUMAN Histone deacetylase 11 OS=Homo sapiens OX=9606 GN=HDAC11 PE=1 SV=1
+MLHTTQLYQHVPETRWPIVYSPRYNITFMGLEKLHPFDAGKWGKVINFLKEEKLLSDSMLVEAREASEEDLLVVHTRRYLNELKWSFAVATITEIPPVIFLPNFLVQRKVLRPLRTQTGGTIMAGKLAVERGWAINVGGGFHHCSSDRGGGFCAYADITLAIKFLFERVEGISRATIIDLDAHQGNGHERDFMDDKRVYIMDVYNRHIYPGDRFAKQAIRRKVELEWGTEDDEYLDKVERNIKKSLQEHLPDVVVYNAGTDILEGDRLGGLSISPAGIVKRDELVFRMVRGRRVPILMVTSGGYQKRTARIIADSILNLFGLGLIGPESPSVSAQNSDTPLLPPAVP
+>DECOY_sp|Q96DB2|HDA11_HUMAN Histone deacetylase 11 OS=Homo sapiens OX=9606 GN=HDAC11 PE=1 SV=1
+PVAPPLLPTDSNQASVSPSEPGILGLGFLNLISDAIIRATRKQYGGSTVMLIPVRRGRVMRFVLEDRKVIGAPSISLGGLRDGELIDTGANYVVVDPLHEQLSKKINREVKDLYEDDETGWELEVKRRIAQKAFRDGPYIHRNYVDMIYVRKDDMFDREHGNGQHADLDIITARSIGEVREFLFKIALTIDAYACFGGGRDSSCHHFGGGVNIAWGREVALKGAMITGGTQTRLPRLVKRQVLFNPLFIVPPIETITAVAFSWKLENLYRRTHVVLLDEESAERAEVLMSDSLLKEEKLFNIVKGWKGADFPHLKELGMFTINYRPSYVIPWRTEPVHQYLQTTHLM
+>sp|Q7Z4V5|HDGR2_HUMAN Hepatoma-derived growth factor-related protein 2 OS=Homo sapiens OX=9606 GN=HDGFL2 PE=1 SV=1
+MPHAFKPGDLVFAKMKGYPHWPARIDDIADGAVKPPPNKYPIFFFGTHETAFLGPKDLFPYDKCKDKYGKPNKRKGFNEGLWEIQNNPHASYSAPPPVSSSDSEAPEANPADGSDADEDDEDRGVMAVTAVTATAASDRMESDSDSDKSSDNSGLKRKTPALKMSVSKRARKASSDLDQASVSPSEEENSESSSESEKTSDQDFTPEKKAAVRAPRRGPLGGRKKKKAPSASDSDSKADSDGAKPEPVAMARSASSSSSSSSSSDSDVSVKKPPRGRKPAEKPLPKPRGRKPKPERPPSSSSSDSDSDEVDRISEWKRRDEARRRELEARRRREQEEELRRLREQEKEEKERRRERADRGEAERGSGGSSGDELREDDEPVKKRGRKGRGRGPPSSSDSEPEAELEREAKKSAKKPQSSSTEPARKPGQKEKRVRPEEKQQAKPVKVERTRKRSEGFSMDRKVEKKKEPSVEEKLQKLHSEIKFALKVDSPDVKRCLNALEELGTLQVTSQILQKNTDVVATLKKIRRYKANKDVMEKAAEVYTRLKSRVLGPKIEAVQKVNKAGMEKEKAEEKLAGEELAGEEAPQEKAEDKPSTDLSAPVNGEATSQKGESAEDKEHEEGRDSEEGPRCGSSEDLHDSVREGPDLDRPGSDRQERERARGDSEALDEES
+>DECOY_sp|Q7Z4V5|HDGR2_HUMAN Hepatoma-derived growth factor-related protein 2 OS=Homo sapiens OX=9606 GN=HDGFL2 PE=1 SV=1
+SEEDLAESDGRAREREQRDSGPRDLDPGERVSDHLDESSGCRPGEESDRGEEHEKDEASEGKQSTAEGNVPASLDTSPKDEAKEQPAEEGALEEGALKEEAKEKEMGAKNVKQVAEIKPGLVRSKLRTYVEAAKEMVDKNAKYRRIKKLTAVVDTNKQLIQSTVQLTGLEELANLCRKVDPSDVKLAFKIESHLKQLKEEVSPEKKKEVKRDMSFGESRKRTREVKVPKAQQKEEPRVRKEKQGPKRAPETSSSQPKKASKKAERELEAEPESDSSSPPGRGRGKRGRKKVPEDDERLEDGSSGGSGREAEGRDARERRREKEEKEQERLRRLEEEQERRRRAELERRRAEDRRKWESIRDVEDSDSDSSSSSPPREPKPKRGRPKPLPKEAPKRGRPPKKVSVDSDSSSSSSSSSSASRAMAVPEPKAGDSDAKSDSDSASPAKKKKRGGLPGRRPARVAAKKEPTFDQDSTKESESSSESNEEESPSVSAQDLDSSAKRARKSVSMKLAPTKRKLGSNDSSKDSDSDSEMRDSAATATVATVAMVGRDEDDEDADSGDAPNAEPAESDSSSVPPPASYSAHPNNQIEWLGENFGKRKNPKGYKDKCKDYPFLDKPGLFATEHTGFFFIPYKNPPPKVAGDAIDDIRAPWHPYGKMKAFVLDGPKFAHPM
+>sp|Q9BSH5|HDHD3_HUMAN Haloacid dehalogenase-like hydrolase domain-containing protein 3 OS=Homo sapiens OX=9606 GN=HDHD3 PE=1 SV=1
+MAHRLQIRLLTWDVKDTLLRLRHPLGEAYATKARAHGLEVEPSALEQGFRQAYRAQSHSFPNYGLSHGLTSRQWWLDVVLQTFHLAGVQDAQAVAPIAEQLYKDFSHPCTWQVLDGAEDTLRECRTRGLRLAVISNFDRRLEGILGGLGLREHFDFVLTSEAAGWPKPDPRIFQEALRLAHMEPVVAAHVGDNYLCDYQGPRAVGMHSFLVVGPQALDPVVRDSVPKEHILPSLAHLLPALDCLEGSTPGL
+>DECOY_sp|Q9BSH5|HDHD3_HUMAN Haloacid dehalogenase-like hydrolase domain-containing protein 3 OS=Homo sapiens OX=9606 GN=HDHD3 PE=1 SV=1
+LGPTSGELCDLAPLLHALSPLIHEKPVSDRVVPDLAQPGVVLFSHMGVARPGQYDCLYNDGVHAAVVPEMHALRLAEQFIRPDPKPWGAAESTLVFDFHERLGLGGLIGELRRDFNSIVALRLGRTRCERLTDEAGDLVQWTCPHSFDKYLQEAIPAVAQADQVGALHFTQLVVDLWWQRSTLGHSLGYNPFSHSQARYAQRFGQELASPEVELGHARAKTAYAEGLPHRLRLLTDKVDWTLLRIQLRHAM
+>sp|Q9BXW7|HDHD5_HUMAN Haloacid dehalogenase-like hydrolase domain-containing 5 OS=Homo sapiens OX=9606 GN=HDHD5 PE=1 SV=1
+MAAWGCVAALGAARGLCWRAARAAAGLQGRPARRCYAVGPAQSPPTFGFLLDIDGVLVRGHRVIPAALKAFRRLVNSQGQLRVPVVFVTNAGNILQHSKAQELSALLGCEVDADQVILSHSPMKLFSEYHEKRMLVSGQGPVMENAQGLGFRNVVTVDELRMAFPLLDMVDLERRLKTTPLPRNDFPRIEGVLLLGEPVRWETSLQLIMDVLLSNGSPGAGLATPPYPHLPVLASNMDLLWMAEAKMPRFGHGTFLLCLETIYQKVTGKELRYEGLMGKPSILTYQYAEDLIRRQAERRGWAAPIRKLYAVGDNPMSDVYGANLFHQYLQKATHDGAPELGAGGTRQQQPSASQSCISILVCTGVYNPRNPQSTEPVLGGGEPPFHGHRDLCFSPGLMEASHVVNDVNEAVQLVFRKEGWALE
+>DECOY_sp|Q9BXW7|HDHD5_HUMAN Haloacid dehalogenase-like hydrolase domain-containing 5 OS=Homo sapiens OX=9606 GN=HDHD5 PE=1 SV=1
+ELAWGEKRFVLQVAENVDNVVHSAEMLGPSFCLDRHGHFPPEGGGLVPETSQPNRPNYVGTCVLISICSQSASPQQQRTGGAGLEPAGDHTAKQLYQHFLNAGYVDSMPNDGVAYLKRIPAAWGRREAQRRILDEAYQYTLISPKGMLGEYRLEKGTVKQYITELCLLFTGHGFRPMKAEAMWLLDMNSALVPLHPYPPTALGAGPSGNSLLVDMILQLSTEWRVPEGLLLVGEIRPFDNRPLPTTKLRRELDVMDLLPFAMRLEDVTVVNRFGLGQANEMVPGQGSVLMRKEHYESFLKMPSHSLIVQDADVECGLLASLEQAKSHQLINGANTVFVVPVRLQGQSNVLRRFAKLAAPIVRHGRVLVGDIDLLFGFTPPSQAPGVAYCRRAPRGQLGAAARAARWCLGRAAGLAAVCGWAAM
+>sp|Q7Z4Q2|HEAT3_HUMAN HEAT repeat-containing protein 3 OS=Homo sapiens OX=9606 GN=HEATR3 PE=1 SV=2
+MGKSRTKRFKRPQFSPTGDCQAEAAAAANGTGGEEDDGPAAELLEKLQHPSAEVRECACAGLARLVQQRPALPGLARRDAVRRLGPLLLDPSLAVRETAAGALRNLSACGGFEVCDDMVTKDIMTPLVALLKECSAGLDSNEMSLQEKKDQNRNSIENIANETVNVLWNICECSSRAVSIFNKEGCLEIVLKYLSRFPTNVDLAISVAYCLQTVTEDNPELLKSFSATALNMLESALLSPVSSMESLLLKTLVAGTIWNLKDIIPCKSQAEIINALLKILSEVLGMDAGEMVIQMKEAETQRLKTAAEAEEILENTNGDDLIEDDEMEGISHKRRVRRKTFVSDLLPPTDKELRETIALLTAQQTALEIIVNMCCNEDPSDDEWEELSSSDESDAFMENSFSECGGQLFSPLCLSHEVHTALTNYLIPKKIFEKTAFPNSIAVDLCSRNPTWKPLIRKMNTIQCRALFCLQSLVSLLDVEHLGGAAALQTLAQHLSQLLFSQPDFAKHVDFLEAISSALRALLQTMASKNISQCMTPDQLMTLCKAGIHSSNVGVRVNVVSILGITGSVLAKEDGTLETLKNIGCFLLEVTTKDPSLVVAGEALDALFDVFADGKEAERASIQIKLLSALKEFQPVFKMKIRKEGRGNYSTDQLCVLDNVKMNLRRFIAYQETVEKRLTS
+>DECOY_sp|Q7Z4Q2|HEAT3_HUMAN HEAT repeat-containing protein 3 OS=Homo sapiens OX=9606 GN=HEATR3 PE=1 SV=2
+STLRKEVTEQYAIFRRLNMKVNDLVCLQDTSYNGRGEKRIKMKFVPQFEKLASLLKIQISAREAEKGDAFVDFLADLAEGAVVLSPDKTTVELLFCGINKLTELTGDEKALVSGTIGLISVVNVRVGVNSSHIGAKCLTMLQDPTMCQSINKSAMTQLLARLASSIAELFDVHKAFDPQSFLLQSLHQALTQLAAAGGLHEVDLLSVLSQLCFLARCQITNMKRILPKWTPNRSCLDVAISNPFATKEFIKKPILYNTLATHVEHSLCLPSFLQGGCESFSNEMFADSEDSSSLEEWEDDSPDENCCMNVIIELATQQATLLAITERLEKDTPPLLDSVFTKRRVRRKHSIGEMEDDEILDDGNTNELIEEAEAATKLRQTEAEKMQIVMEGADMGLVESLIKLLANIIEAQSKCPIIDKLNWITGAVLTKLLLSEMSSVPSLLASELMNLATASFSKLLEPNDETVTQLCYAVSIALDVNTPFRSLYKLVIELCGEKNFISVARSSCECINWLVNVTENAINEISNRNQDKKEQLSMENSDLGASCEKLLAVLPTMIDKTVMDDCVEFGGCASLNRLAGAATERVALSPDLLLPGLRRVADRRALGPLAPRQQVLRALGACACERVEASPHQLKELLEAAPGDDEEGGTGNAAAAAEAQCDGTPSFQPRKFRKTRSKGM
+>sp|A2RTY3|HEAT9_HUMAN Protein HEATR9 OS=Homo sapiens OX=9606 GN=HEATR9 PE=1 SV=2
+MAYEKSTDISDVSRSMFLYPWLEYPDKTKELRKAMAPVHLPLSCYQMPKEEFPPSPECWRQHPSKPNSVPYCYFKKPEIYTHWHDLYDQREEREAEKMLRKMRDDCRYIKEVHQTHIKMFHLPMSKLTIKSEMRSRPLEPTQDPLKWQRLRELTKSLESPREDEQFYAAQALGCLRISDKFVMEALQQVAQTGPEKVKYEAYRTLAILGCLNKHVIRALIKQLKEKNEGQRMETLTGLRMALNSWAAVSKDKRTQVGDEGKLVPVLQTLIKKSSSEASLEAALCLGFLRPCSNMVQEFLLQCLCQGLKTQRMKALRMLVKVMHVHSAPVIKAILDQLCSSSVLEDRFEATQMLKTIGLEQIQAQGLEELTFNLLRRKTHNEPFLAVRQAVAQTVEELKLKPTMMNLVEAQLMNPDATARQEAVISLGVLGIRSPQVFHLLLDLLDAENHQAVKKSLQETLILCASIDPWIQNKLKNKVLSVYEAPKTNVKAEPTRFQKEPENPEELTIQDFRLAKLNPLFIAKSITKVGQKKTPAFPPCCSKPRKHRPQVIGPWQPRIKKQLRVLAEIAK
+>DECOY_sp|A2RTY3|HEAT9_HUMAN Protein HEATR9 OS=Homo sapiens OX=9606 GN=HEATR9 PE=1 SV=2
+KAIEALVRLQKKIRPQWPGIVQPRHKRPKSCCPPFAPTKKQGVKTISKAIFLPNLKALRFDQITLEEPNEPEKQFRTPEAKVNTKPAEYVSLVKNKLKNQIWPDISACLILTEQLSKKVAQHNEADLLDLLLHFVQPSRIGLVGLSIVAEQRATADPNMLQAEVLNMMTPKLKLEEVTQAVAQRVALFPENHTKRRLLNFTLEELGQAQIQELGITKLMQTAEFRDELVSSSCLQDLIAKIVPASHVHMVKVLMRLAKMRQTKLGQCLCQLLFEQVMNSCPRLFGLCLAAELSAESSSKKILTQLVPVLKGEDGVQTRKDKSVAAWSNLAMRLGTLTEMRQGENKEKLQKILARIVHKNLCGLIALTRYAEYKVKEPGTQAVQQLAEMVFKDSIRLCGLAQAAYFQEDERPSELSKTLERLRQWKLPDQTPELPRSRMESKITLKSMPLHFMKIHTQHVEKIYRCDDRMKRLMKEAEREERQDYLDHWHTYIEPKKFYCYPVSNPKSPHQRWCEPSPPFEEKPMQYCSLPLHVPAMAKRLEKTKDPYELWPYLFMSRSVDSIDTSKEYAM
+>sp|Q9Y5Z4|HEBP2_HUMAN Heme-binding protein 2 OS=Homo sapiens OX=9606 GN=HEBP2 PE=1 SV=1
+MAEPLQPDPGAAEDAAAQAVETPGWKAPEDAGPQPGSYEIRHYGPAKWVSTSVESMDWDSAIQTGFTKLNSYIQGKNEKEMKIKMTAPVTSYVEPGSGPFSESTITISLYIPSEQQFDPPRPLESDVFIEDRAEMTVFVRSFDGFSSAQKNQEQLLTLASILREDGKVFDEKVYYTAGYNSPVKLLNRNNEVWLIQKNEPTKENE
+>DECOY_sp|Q9Y5Z4|HEBP2_HUMAN Heme-binding protein 2 OS=Homo sapiens OX=9606 GN=HEBP2 PE=1 SV=1
+ENEKTPENKQILWVENNRNLLKVPSNYGATYYVKEDFVKGDERLISALTLLQEQNKQASSFGDFSRVFVTMEARDEIFVDSELPRPPDFQQESPIYLSITITSESFPGSGPEVYSTVPATMKIKMEKENKGQIYSNLKTFGTQIASDWDMSEVSTSVWKAPGYHRIEYSGPQPGADEPAKWGPTEVAQAAADEAAGPDPQLPEAM
+>sp|P42694|HELZ_HUMAN Probable helicase with zinc finger domain OS=Homo sapiens OX=9606 GN=HELZ PE=1 SV=2
+MEDRRAEKSCEQACESLKRQDYEMALKHCTEALLSLGQYSMADFTGPCPLEIERIKIESLLYRIASFLQLKNYVQADEDCRHVLGEGLAKGEDAFRAVLCCMQLKGKLQPVSTILAKSLTGESLNGMVTKDLTRLKTLLSETETATSNALSGYHVEDLDEGSCNGWHFRPPPRGITSSEEYTLCKRFLEQGICRYGAQCTSAHSQEELAEWQKRYASRLIKLKQQNENKQLSGSYMETLIEKWMNSLSPEKVLSECIEGVKVEHNPDLSVTVSTKKSHQTWTFALTCKPARMLYRVALLYDAHRPHFSIIAISAGDSTTQVSQEVPENCQEWIGGKMAQNGLDHYVYKVGIAFNTEIFGTFRQTIVFDFGLEPVLMQRVMIDAASTEDLEYLMHAKQQLVTTAKRWDSSSKTIIDFEPNETTDLEKSLLIRYQIPLSADQLFTQSVLDKSLTKSNYQSRLHDLLYIEEIAQYKEISKFNLKVQLQILASFMLTGVSGGAKYAQNGQLFGRFKLTETLSEDTLAGRLVMTKVNAVYLLPVPKQKLVQTQGTKEKVYEATIEEKTKEYIFLRLSRECCEELNLRPDCDTQVELQFQLNRLPLCEMHYALDRIKDNGVLFPDISMTPTIPWSPNRQWDEQLDPRLNAKQKEAVLAITTPLAIQLPPVLIIGPYGTGKTFTLAQAVKHILQQQETRILICTHSNSAADLYIKDYLHPYVEAGNPQARPLRVYFRNRWVKTVHPVVHQYCLISSAHSTFQMPQKEDILKHRVVVVTLNTSQYLCQLDLEPGFFTHILLDEAAQAMECETIMPLALATQNTRIVLAGDHMQLSPFVYSEFARERNLHVSLLDRLYEHYPAEFPCRILLCENYRSHEAIINYTSELFYEGKLMASGKQPAHKDFYPLTFFTARGEDVQEKNSTAFYNNAEVFEVVERVEELRRKWPVAWGKLDDGSIGVVTPYADQVFRIRAELRKKRLSDVNVERVLNVQGKQFRVLFLSTVRTRHTCKHKQTPIKKKEQLLEDSTEDLDYGFLSNYKLLNTAITRAQSLVAVVGDPIALCSIGRCRKFWERFIALCHENSSLHGITFEQIKAQLEALELKKTYVLNPLAPEFIPRALRLQHSGSTNKQQQSPPKGKSLHHTQNDHFQNDGIVQPNPSVLIGNPIRAYTPPPPLGPHPNLGKSPSPVQRIDPHTGTSILYVPAVYGGNVVMSVPLPVPWTGYQGRFAVDPRIITHQAAMAYNMNLLQTHGRGSPIPYGLGHHPPVTIGQPQNQHQEKDQHEQNRNGKSDTNNSGPEINKIRTPEKKPTEPKQVDLESNPQNRSPESRPSVVYPSTKFPRKDNLNPRHINLPLPAPHAQYAIPNRHFHPLPQLPRPPFPIPQQHTLLNQQQNNLPEQPNQIPPQPNQVVQQQSQLNQQPQQPPPQLSPAYQAGPNNAFFNSAVAHRPQSPPAEAVIPEQQPPPMLQEGHSPLRAIAQPGPILPSHLNSFIDENPSGLPIGEALDRIHGSVALETLRQQQARFQQWSEHHAFLSQGSAPYPHHHHPHLQHLPQPPLGLHQPPVRADWKLTSSAEDEVETTYSRFQDLIRELSHRDQSETRELAEMPPPQSRLLQYRQVQSRSPPAVPSPPSSTDHSSHFSNFNDNSRDIEVASNPAFPQRLPPQIFNSPFSLPSEHLAPPPLKYLAPDGAWTFANLQQNHLMGPGFPYGLPPLPHRPPQNPFVQIQNHQHAIGQEPFHPLSSRTVSSSSLPSLEEYEPRGPGRPLYQRRISSSSVQPCSEEVSTPQDSLAQCKELQDHSNQSSFNFSSPESWVNTTSSTPYQNIPCNGSSRTAQPRELIAPPKTVKPPEDQLKSENLEVSSSFNYSVLQHLGQFPPLMPNKQIAESANSSSPQSSAGGKPAMSYASALRAPPKPRPPPEQAKKSSDPLSLFQELSLGSSSGSNGFYSYFK
+>DECOY_sp|P42694|HELZ_HUMAN Probable helicase with zinc finger domain OS=Homo sapiens OX=9606 GN=HELZ PE=1 SV=2
+KFYSYFGNSGSSSGLSLEQFLSLPDSSKKAQEPPPRPKPPARLASAYSMAPKGGASSQPSSSNASEAIQKNPMLPPFQGLHQLVSYNFSSSVELNESKLQDEPPKVTKPPAILERPQATRSSGNCPINQYPTSSTTNVWSEPSSFNFSSQNSHDQLEKCQALSDQPTSVEESCPQVSSSSIRRQYLPRGPGRPEYEELSPLSSSSVTRSSLPHFPEQGIAHQHNQIQVFPNQPPRHPLPPLGYPFGPGMLHNQQLNAFTWAGDPALYKLPPPALHESPLSFPSNFIQPPLRQPFAPNSAVEIDRSNDNFNSFHSSHDTSSPPSPVAPPSRSQVQRYQLLRSQPPPMEALERTESQDRHSLERILDQFRSYTTEVEDEASSTLKWDARVPPQHLGLPPQPLHQLHPHHHHPYPASGQSLFAHHESWQQFRAQQQRLTELAVSGHIRDLAEGIPLGSPNEDIFSNLHSPLIPGPQAIARLPSHGEQLMPPPQQEPIVAEAPPSQPRHAVASNFFANNPGAQYAPSLQPPPQQPQQNLQSQQQVVQNPQPPIQNPQEPLNNQQQNLLTHQQPIPFPPRPLQPLPHFHRNPIAYQAHPAPLPLNIHRPNLNDKRPFKTSPYVVSPRSEPSRNQPNSELDVQKPETPKKEPTRIKNIEPGSNNTDSKGNRNQEHQDKEQHQNQPQGITVPPHHGLGYPIPSGRGHTQLLNMNYAMAAQHTIIRPDVAFRGQYGTWPVPLPVSMVVNGGYVAPVYLISTGTHPDIRQVPSPSKGLNPHPGLPPPPTYARIPNGILVSPNPQVIGDNQFHDNQTHHLSKGKPPSQQQKNTSGSHQLRLARPIFEPALPNLVYTKKLELAELQAKIQEFTIGHLSSNEHCLAIFREWFKRCRGISCLAIPDGVVAVLSQARTIATNLLKYNSLFGYDLDETSDELLQEKKKIPTQKHKCTHRTRVTSLFLVRFQKGQVNLVREVNVDSLRKKRLEARIRFVQDAYPTVVGISGDDLKGWAVPWKRRLEEVREVVEFVEANNYFATSNKEQVDEGRATFFTLPYFDKHAPQKGSAMLKGEYFLESTYNIIAEHSRYNECLLIRCPFEAPYHEYLRDLLSVHLNRERAFESYVFPSLQMHDGALVIRTNQTALALPMITECEMAQAAEDLLIHTFFGPELDLQCLYQSTNLTVVVVRHKLIDEKQPMQFTSHASSILCYQHVVPHVTKVWRNRFYVRLPRAQPNGAEVYPHLYDKIYLDAASNSHTCILIRTEQQQLIHKVAQALTFTKGTGYPGIILVPPLQIALPTTIALVAEKQKANLRPDLQEDWQRNPSWPITPTMSIDPFLVGNDKIRDLAYHMECLPLRNLQFQLEVQTDCDPRLNLEECCERSLRLFIYEKTKEEITAEYVKEKTGQTQVLKQKPVPLLYVANVKTMVLRGALTDESLTETLKFRGFLQGNQAYKAGGSVGTLMFSALIQLQVKLNFKSIEKYQAIEEIYLLDHLRSQYNSKTLSKDLVSQTFLQDASLPIQYRILLSKELDTTENPEFDIITKSSSDWRKATTVLQQKAHMLYELDETSAADIMVRQMLVPELGFDFVITQRFTGFIETNFAIGVKYVYHDLGNQAMKGGIWEQCNEPVEQSVQTTSDGASIAIISFHPRHADYLLAVRYLMRAPKCTLAFTWTQHSKKTSVTVSLDPNHEVKVGEICESLVKEPSLSNMWKEILTEMYSGSLQKNENQQKLKILRSAYRKQWEALEEQSHASTCQAGYRCIGQELFRKCLTYEESSTIGRPPPRFHWGNCSGEDLDEVHYGSLANSTATETESLLTKLRTLDKTVMGNLSEGTLSKALITSVPQLKGKLQMCCLVARFADEGKALGEGLVHRCDEDAQVYNKLQLFSAIRYLLSEIKIREIELPCPGTFDAMSYQGLSLLAETCHKLAMEYDQRKLSECAQECSKEARRDEM
+>sp|Q9Y5R4|HEMK1_HUMAN HemK methyltransferase family member 1 OS=Homo sapiens OX=9606 GN=HEMK1 PE=1 SV=1
+MELWGRMLWALLSGPGRRGSTRGWAFSSWQPQPPLAGLSSAIELVSHWTGVFEKRGIPEARESSEYIVAHVLGAKTFQSLRPALWTQPLTSQQLQCIRELSSRRLQRMPVQYILGEWDFQGLSLRMVPPVFIPRPETEELVEWVLEEVAQRSHAVGSPGSPLILEVGCGSGAISLSLLSQLPQSRVIAVDKREAAISLTHENAQRLRLQDRIWIIHLDMTSERSWTHLPWGPMDLIVSNPPYVFHQDMEQLAPEIRSYEDPAALDGGEEGMDIITHILALAPRLLKDSGSIFLEVDPRHPELVSSWLQSRPDLYLNLVAVRRDFCGRPRFLHIRRSGP
+>DECOY_sp|Q9Y5R4|HEMK1_HUMAN HemK methyltransferase family member 1 OS=Homo sapiens OX=9606 GN=HEMK1 PE=1 SV=1
+PGSRRIHLFRPRGCFDRRVAVLNLYLDPRSQLWSSVLEPHRPDVELFISGSDKLLRPALALIHTIIDMGEEGGDLAAPDEYSRIEPALQEMDQHFVYPPNSVILDMPGWPLHTWSRESTMDLHIIWIRDQLRLRQANEHTLSIAAERKDVAIVRSQPLQSLLSLSIAGSGCGVELILPSGPSGVAHSRQAVEELVWEVLEETEPRPIFVPPVMRLSLGQFDWEGLIYQVPMRQLRRSSLERICQLQQSTLPQTWLAPRLSQFTKAGLVHAVIYESSERAEPIGRKEFVGTWHSVLEIASSLGALPPQPQWSSFAWGRTSGRRGPGSLLAWLMRGWLEM
+>sp|Q02575|HEN1_HUMAN Helix-loop-helix protein 1 OS=Homo sapiens OX=9606 GN=NHLH1 PE=1 SV=1
+MMLNSDTMELDLPPTHSETESGFSDCGGGAGPDGAGPGGPGGGQARGPEPGEPGRKDLQHLSREERRRRRRATAKYRTAHATRERIRVEAFNLAFAELRKLLPTLPPDKKLSKIEILRLAICYISYLNHVLDV
+>DECOY_sp|Q02575|HEN1_HUMAN Helix-loop-helix protein 1 OS=Homo sapiens OX=9606 GN=NHLH1 PE=1 SV=1
+VDLVHNLYSIYCIALRLIEIKSLKKDPPLTPLLKRLEAFALNFAEVRIRERTAHATRYKATARRRRRREERSLHQLDKRGPEGPEPGRAQGGGPGGPGAGDPGAGGGCDSFGSETESHTPPLDLEMTDSNLMM
+>sp|Q9BSE4|HERP2_HUMAN Homocysteine-responsive endoplasmic reticulum-resident ubiquitin-like domain member 2 protein OS=Homo sapiens OX=9606 GN=HERPUD2 PE=1 SV=2
+MDQSGMEIPVTLIIKAPNQKYSDQTISCFLNWTVGKLKTHLSNVYPSKPLTKDQRLVYSGRLLPDHLQLKDILRKQDEYHMVHLVCTSRTPPSSPKSSTNRESHEALASSSNSSSDHSGSTTPSSGQETLSLAVGSSSEGLRQRTLPQAQTDQAQSHQFPYVMQGNVDNQFPGQAAPPGFPVYPAFSPLQMLWWQQMYAHQYYMQYQAAVSAQATSNVNPTQPTTSQPLNLAHVPGEEPPPAPNLVAQENRPMNENVQMNAQGGPVLNEEDFNRDWLDWMYTFSRAAILLSIVYFYSSFSRFIMVMGAMLLVYLHQAGWFPFRQEGGHQQAPNNNAEVNNDGQNANNLELEEMERLMDDGLEDESGEDGGEDASAIQRPGLMASAWSFITTFFTSLIPEGPPQVAN
+>DECOY_sp|Q9BSE4|HERP2_HUMAN Homocysteine-responsive endoplasmic reticulum-resident ubiquitin-like domain member 2 protein OS=Homo sapiens OX=9606 GN=HERPUD2 PE=1 SV=2
+NAVQPPGEPILSTFFTTIFSWASAMLGPRQIASADEGGDEGSEDELGDDMLREMEELELNNANQGDNNVEANNNPAQQHGGEQRFPFWGAQHLYVLLMAGMVMIFRSFSSYFYVISLLIAARSFTYMWDLWDRNFDEENLVPGGQANMQVNENMPRNEQAVLNPAPPPEEGPVHALNLPQSTTPQTPNVNSTAQASVAAQYQMYYQHAYMQQWWLMQLPSFAPYVPFGPPAAQGPFQNDVNGQMVYPFQHSQAQDTQAQPLTRQRLGESSSGVALSLTEQGSSPTTSGSHDSSSNSSSALAEHSERNTSSKPSSPPTRSTCVLHVMHYEDQKRLIDKLQLHDPLLRGSYVLRQDKTLPKSPYVNSLHTKLKGVTWNLFCSITQDSYKQNPAKIILTVPIEMGSQDM
+>sp|Q5TA89|HES5_HUMAN Transcription factor HES-5 OS=Homo sapiens OX=9606 GN=HES5 PE=2 SV=1
+MAPSTVAVELLSPKEKNRLRKPVVEKMRRDRINSSIEQLKLLLEQEFARHQPNSKLEKADILEMAVSYLKHSKAFVAAAGPKSLHQDYSEGYSWCLQEAVQFLTLHAASDTQMKLLYHFQRPPAAPAAPAKEPKAPGAAPPPALSAKATAAAAAAHQPACGLWRPW
+>DECOY_sp|Q5TA89|HES5_HUMAN Transcription factor HES-5 OS=Homo sapiens OX=9606 GN=HES5 PE=2 SV=1
+WPRWLGCAPQHAAAAAATAKASLAPPPAAGPAKPEKAPAAPAAPPRQFHYLLKMQTDSAAHLTLFQVAEQLCWSYGESYDQHLSKPGAAAVFAKSHKLYSVAMELIDAKELKSNPQHRAFEQELLLKLQEISSNIRDRRMKEVVPKRLRNKEKPSLLEVAVTSPAM
+>sp|Q30201|HFE_HUMAN Hereditary hemochromatosis protein OS=Homo sapiens OX=9606 GN=HFE PE=1 SV=1
+MGPRARPALLLLMLLQTAVLQGRLLRSHSLHYLFMGASEQDLGLSLFEALGYVDDQLFVFYDHESRRVEPRTPWVSSRISSQMWLQLSQSLKGWDHMFTVDFWTIMENHNHSKESHTLQVILGCEMQEDNSTEGYWKYGYDGQDHLEFCPDTLDWRAAEPRAWPTKLEWERHKIRARQNRAYLERDCPAQLQQLLELGRGVLDQQVPPLVKVTHHVTSSVTTLRCRALNYYPQNITMKWLKDKQPMDAKEFEPKDVLPNGDGTYQGWITLAVPPGEEQRYTCQVEHPGLDQPLIVIWEPSPSGTLVIGVISGIAVFVVILFIGILFIILRKRQGSRGAMGHYVLAERE
+>DECOY_sp|Q30201|HFE_HUMAN Hereditary hemochromatosis protein OS=Homo sapiens OX=9606 GN=HFE PE=1 SV=1
+EREALVYHGMAGRSGQRKRLIIFLIGIFLIVVFVAIGSIVGIVLTGSPSPEWIVILPQDLGPHEVQCTYRQEEGPPVALTIWGQYTGDGNPLVDKPEFEKADMPQKDKLWKMTINQPYYNLARCRLTTVSSTVHHTVKVLPPVQQDLVGRGLELLQQLQAPCDRELYARNQRARIKHREWELKTPWARPEAARWDLTDPCFELHDQGDYGYKWYGETSNDEQMECGLIVQLTHSEKSHNHNEMITWFDVTFMHDWGKLSQSLQLWMQSSIRSSVWPTRPEVRRSEHDYFVFLQDDVYGLAEFLSLGLDQESAGMFLYHLSHSRLLRGQLVATQLLMLLLLAPRARPGM
+>sp|Q9XRX5|HHLA3_HUMAN HERV-H LTR-associating protein 3 OS=Homo sapiens OX=9606 GN=HHLA3 PE=1 SV=3
+MFGACYKQPLKPSGSEPPAEECRMTPRHAGCDVTEMQRILSQPTFTEHLLRAVCTKLANMYSTSTDCREHCRRGMKAKQLKAEAGRSCQRKGVPIQTPREHSWISCKKEFEANP
+>DECOY_sp|Q9XRX5|HHLA3_HUMAN HERV-H LTR-associating protein 3 OS=Homo sapiens OX=9606 GN=HHLA3 PE=1 SV=3
+PNAEFEKKCSIWSHERPTQIPVGKRQCSRGAEAKLQKAKMGRRCHERCDTSTSYMNALKTCVARLLHETFTPQSLIRQMETVDCGAHRPTMRCEEAPPESGSPKLPQKYCAGFM
+>sp|Q6NVY1|HIBCH_HUMAN 3-hydroxyisobutyryl-CoA hydrolase, mitochondrial OS=Homo sapiens OX=9606 GN=HIBCH PE=1 SV=2
+MGQREMWRLMSRFNAFKRTNTILHHLRMSKHTDAAEEVLLEKKGCTGVITLNRPKFLNALTLNMIRQIYPQLKKWEQDPETFLIIIKGAGGKAFCAGGDIRVISEAEKAKQKIAPVFFREEYMLNNAVGSCQKPYVALIHGITMGGGVGLSVHGQFRVATEKCLFAMPETAIGLFPDVGGGYFLPRLQGKLGYFLALTGFRLKGRDVYRAGIATHFVDSEKLAMLEEDLLALKSPSKENIASVLENYHTESKIDRDKSFILEEHMDKINSCFSANTVEEIIENLQQDGSSFALEQLKVINKMSPTSLKITLRQLMEGSSKTLQEVLTMEYRLSQACMRGHDFHEGVRAVLIDKDQSPKWKPADLKEVTEEDLNNHFKSLGSSDLKF
+>DECOY_sp|Q6NVY1|HIBCH_HUMAN 3-hydroxyisobutyryl-CoA hydrolase, mitochondrial OS=Homo sapiens OX=9606 GN=HIBCH PE=1 SV=2
+FKLDSSGLSKFHNNLDEETVEKLDAPKWKPSQDKDILVARVGEHFDHGRMCAQSLRYEMTLVEQLTKSSGEMLQRLTIKLSTPSMKNIVKLQELAFSSGDQQLNEIIEEVTNASFCSNIKDMHEELIFSKDRDIKSETHYNELVSAINEKSPSKLALLDEELMALKESDVFHTAIGARYVDRGKLRFGTLALFYGLKGQLRPLFYGGGVDPFLGIATEPMAFLCKETAVRFQGHVSLGVGGGMTIGHILAVYPKQCSGVANNLMYEERFFVPAIKQKAKEAESIVRIDGGACFAKGGAGKIIILFTEPDQEWKKLQPYIQRIMNLTLANLFKPRNLTIVGTCGKKELLVEEAADTHKSMRLHHLITNTRKFANFRSMLRWMERQGM
+>sp|Q96JB3|HIC2_HUMAN Hypermethylated in cancer 2 protein OS=Homo sapiens OX=9606 GN=HIC2 PE=1 SV=2
+MVSGPLALRWCAWAGRGDMGPDMELPSHSKQLLLQLNQQRTKGFLCDVIIMVENSIFRAHKNVLAASSIYFKSLVLHDNLINLDTDMVSSTVFQQILDFIYTGKLLPSDQPAEPNFSTLLTAASYLQLPELAALCRRKLKRAGKPFGSGRAGSTGMGRPPRSQRLSTASVIQARYQGLVDGRKGAHAPQELPQAKGSDDELFLGGSNQDSVQGLGRAVCPAGGEAGLGGCSSSTNGSSGGCEQELGLDLSKKSPPLPPATPGPHLTPDDAAQLSDSQHGSPPAASAPPVANSASYSELGGTPDEPMDLEGAEDNHLSLLEAPGGQPRKSLRHSTRKKEWGKKEPVAGSPFERREAGPKGPCPGEEGEGVGDRVPNGILASGAGPSGPYGEPPYPCKEEEENGKDASEDSAQSGSEGGSGHASAHYMYRQEGYETVSYGDNLYVCIPCAKGFPSSEQLNAHVETHTEEELFIKEEGAYETGSGGAEEEAEDLSAPSAAYTAEPRPFKCSVCEKTYKDPATLRQHEKTHWLTRPFPCNICGKMFTQRGTMTRHMRSHLGLKPFACDECGMRFTRQYRLTEHMRVHSGEKPYECQLCGGKFTQQRNLISHLRMHTSPS
+>DECOY_sp|Q96JB3|HIC2_HUMAN Hypermethylated in cancer 2 protein OS=Homo sapiens OX=9606 GN=HIC2 PE=1 SV=2
+SPSTHMRLHSILNRQQTFKGGCLQCEYPKEGSHVRMHETLRYQRTFRMGCEDCAFPKLGLHSRMHRTMTGRQTFMKGCINCPFPRTLWHTKEHQRLTAPDKYTKECVSCKFPRPEATYAASPASLDEAEEEAGGSGTEYAGEEKIFLEEETHTEVHANLQESSPFGKACPICVYLNDGYSVTEYGEQRYMYHASAHGSGGESGSQASDESADKGNEEEEKCPYPPEGYPGSPGAGSALIGNPVRDGVGEGEEGPCPGKPGAERREFPSGAVPEKKGWEKKRTSHRLSKRPQGGPAELLSLHNDEAGELDMPEDPTGGLESYSASNAVPPASAAPPSGHQSDSLQAADDPTLHPGPTAPPLPPSKKSLDLGLEQECGGSSGNTSSSCGGLGAEGGAPCVARGLGQVSDQNSGGLFLEDDSGKAQPLEQPAHAGKRGDVLGQYRAQIVSATSLRQSRPPRGMGTSGARGSGFPKGARKLKRRCLAALEPLQLYSAATLLTSFNPEAPQDSPLLKGTYIFDLIQQFVTSSVMDTDLNILNDHLVLSKFYISSAALVNKHARFISNEVMIIVDCLFGKTRQQNLQLLLQKSHSPLEMDPGMDGRGAWACWRLALPGSVM
+>sp|Q9Y241|HIG1A_HUMAN HIG1 domain family member 1A, mitochondrial OS=Homo sapiens OX=9606 GN=HIGD1A PE=1 SV=1
+MSTDTGVSLPSYEEDQGSKLIRKAKEAPFVPVGIAGFAAIVAYGLYKLKSRGNTKMSIHLIHMRVAAQGFVVGAMTVGMGYSMYREFWAKPKP
+>DECOY_sp|Q9Y241|HIG1A_HUMAN HIG1 domain family member 1A, mitochondrial OS=Homo sapiens OX=9606 GN=HIGD1A PE=1 SV=1
+PKPKAWFERYMSYGMGVTMAGVVFGQAAVRMHILHISMKTNGRSKLKYLGYAVIAAFGAIGVPVFPAEKAKRILKSGQDEEYSPLSVGTDTSM
+>sp|Q9P298|HIG1B_HUMAN HIG1 domain family member 1B OS=Homo sapiens OX=9606 GN=HIGD1B PE=1 SV=1
+MSANRRWWVPPDDEDCVSEKLLRKTRESPLVPIGLGGCLVVAAYRIYRLRSRGSTKMSIHLIHTRVAAQACAVGAIMLGAVYTMYSDYVKRMAQDAGEK
+>DECOY_sp|Q9P298|HIG1B_HUMAN HIG1 domain family member 1B OS=Homo sapiens OX=9606 GN=HIGD1B PE=1 SV=1
+KEGADQAMRKVYDSYMTYVAGLMIAGVACAQAAVRTHILHISMKTSGRSRLRYIRYAAVVLCGGLGIPVLPSERTKRLLKESVCDEDDPPVWWRRNASM
+>sp|A8MV81|HIG1C_HUMAN HIG1 domain family member 1C OS=Homo sapiens OX=9606 GN=HIGD1C PE=3 SV=2
+MSSDNQWSADEDEGQLSRLIRKSRDSPFVPIGIAGFVTVVSCGLYKLKYRRDQKMSIHLIHMRVAAQGFVVGAVTLGVLYSMYKDYIRPRFFSESKK
+>DECOY_sp|A8MV81|HIG1C_HUMAN HIG1 domain family member 1C OS=Homo sapiens OX=9606 GN=HIGD1C PE=3 SV=2
+KKSESFFRPRIYDKYMSYLVGLTVAGVVFGQAAVRMHILHISMKQDRRYKLKYLGCSVVTVFGAIGIPVFPSDRSKRILRSLQGEDEDASWQNDSSM
+>sp|P13747|HLAE_HUMAN HLA class I histocompatibility antigen, alpha chain E OS=Homo sapiens OX=9606 GN=HLA-E PE=1 SV=3
+MVDGTLLLLLSEALALTQTWAGSHSLKYFHTSVSRPGRGEPRFISVGYVDDTQFVRFDNDAASPRMVPRAPWMEQEGSEYWDRETRSARDTAQIFRVNLRTLRGYYNQSEAGSHTLQWMHGCELGPDRRFLRGYEQFAYDGKDYLTLNEDLRSWTAVDTAAQISEQKSNDASEAEHQRAYLEDTCVEWLHKYLEKGKETLLHLEPPKTHVTHHPISDHEATLRCWALGFYPAEITLTWQQDGEGHTQDTELVETRPAGDGTFQKWAAVVVPSGEEQRYTCHVQHEGLPEPVTLRWKPASQPTIPIVGIIAGLVLLGSVVSGAVVAAVIWRKKSSGGKGGSYSKAEWSDSAQGSESHSL
+>DECOY_sp|P13747|HLAE_HUMAN HLA class I histocompatibility antigen, alpha chain E OS=Homo sapiens OX=9606 GN=HLA-E PE=1 SV=3
+LSHSESGQASDSWEAKSYSGGKGGSSKKRWIVAAVVAGSVVSGLLVLGAIIGVIPITPQSAPKWRLTVPEPLGEHQVHCTYRQEEGSPVVVAAWKQFTGDGAPRTEVLETDQTHGEGDQQWTLTIEAPYFGLAWCRLTAEHDSIPHHTVHTKPPELHLLTEKGKELYKHLWEVCTDELYARQHEAESADNSKQESIQAATDVATWSRLDENLTLYDKGDYAFQEYGRLFRRDPGLECGHMWQLTHSGAESQNYYGRLTRLNVRFIQATDRASRTERDWYESGEQEMWPARPVMRPSAADNDFRVFQTDDVYGVSIFRPEGRGPRSVSTHFYKLSHSGAWTQTLALAESLLLLLTGDVM
+>sp|Q14774|HLX_HUMAN H2.0-like homeobox protein OS=Homo sapiens OX=9606 GN=HLX PE=1 SV=3
+MFAAGLAPFYASNFSLWSAAYCSSAGPGGCSFPLDPAAVKKPSFCIADILHAGVGDLGAAPEGLAGASAAALTAHLGSVHPHASFQAAARSPLRPTPVVAPSEVPAGFPQRLSPLSAAYHHHHPQQQQQQQQPQQQQPPPPPRAGALQPPASGTRVVPNPHHSGSAPAPSSKDLKFGIDRILSAEFDPKVKEGNTLRDLTSLLTGGRPAGVHLSGLQPSAGQFFASLDPINEASAILSPLNSNPRNSVQHQFQDTFPGPYAVLTKDTMPQTYKRKRSWSRAVFSNLQRKGLEKRFEIQKYVTKPDRKQLAAMLGLTDAQVKVWFQNRRMKWRHSKEAQAQKDKDKEAGEKPSGGAPAADGEQDERSPSRSEGEAESESSDSESLDMAPSDTERTEGSERSLHQTTVIKAPVTGALITASSAGSGGSSGGGGNSFSFSSASSLSSSSTSAGCASSLGGGGASELLPATQPTASSAPKSPEPAQGALGCL
+>DECOY_sp|Q14774|HLX_HUMAN H2.0-like homeobox protein OS=Homo sapiens OX=9606 GN=HLX PE=1 SV=3
+LCGLAGQAPEPSKPASSATPQTAPLLESAGGGGLSSACGASTSSSSLSSASSFSFSNGGGGSSGGSGASSATILAGTVPAKIVTTQHLSRESGETRETDSPAMDLSESDSSESEAEGESRSPSREDQEGDAAPAGGSPKEGAEKDKDKQAQAEKSHRWKMRRNQFWVKVQADTLGLMAALQKRDPKTVYKQIEFRKELGKRQLNSFVARSWSRKRKYTQPMTDKTLVAYPGPFTDQFQHQVSNRPNSNLPSLIASAENIPDLSAFFQGASPQLGSLHVGAPRGGTLLSTLDRLTNGEKVKPDFEASLIRDIGFKLDKSSPAPASGSHHPNPVVRTGSAPPQLAGARPPPPPQQQQPQQQQQQQQPHHHHYAASLPSLRQPFGAPVESPAVVPTPRLPSRAAAQFSAHPHVSGLHATLAAASAGALGEPAAGLDGVGAHLIDAICFSPKKVAAPDLPFSCGGPGASSCYAASWLSFNSAYFPALGAAFM
+>sp|Q96FZ2|HMCES_HUMAN Embryonic stem cell-specific 5-hydroxymethylcytosine-binding protein OS=Homo sapiens OX=9606 GN=HMCES PE=1 SV=1
+MCGRTSCHLPRDVLTRACAYQDRRGQQRLPEWRDPDKYCPSYNKSPQSNSPVLLSRLHFEKDADSSERIIAPMRWGLVPSWFKESDPSKLQFNTTNCRSDTVMEKRSFKVPLGKGRRCVVLADGFYEWQRCQGTNQRQPYFIYFPQIKTEKSGSIGAADSPENWEKVWDNWRLLTMAGIFDCWEPPEGGDVLYSYTIITVDSCKGLSDIHHRMPAILDGEEAVSKWLDFGEVSTQEALKLIHPTENITFHAVSSVVNNSRNNTPECLAPVDLVVKKELRASGSSQRMLQWLATKSPKKEDSKTPQKEESDVPQWSSQFLQKSPLPTKRGTAGLLEQWLKREKEEEPVAKRPYSQ
+>DECOY_sp|Q96FZ2|HMCES_HUMAN Embryonic stem cell-specific 5-hydroxymethylcytosine-binding protein OS=Homo sapiens OX=9606 GN=HMCES PE=1 SV=1
+QSYPRKAVPEEEKERKLWQELLGATGRKTPLPSKQLFQSSWQPVDSEEKQPTKSDEKKPSKTALWQLMRQSSGSARLEKKVVLDVPALCEPTNNRSNNVVSSVAHFTINETPHILKLAEQTSVEGFDLWKSVAEEGDLIAPMRHHIDSLGKCSDVTIITYSYLVDGGEPPEWCDFIGAMTLLRWNDWVKEWNEPSDAAGISGSKETKIQPFYIFYPQRQNTGQCRQWEYFGDALVVCRRGKGLPVKFSRKEMVTDSRCNTTNFQLKSPDSEKFWSPVLGWRMPAIIRESSDADKEFHLRSLLVPSNSQPSKNYSPCYKDPDRWEPLRQQGRRDQYACARTLVDRPLHCSTRGCM
+>sp|O15347|HMGB3_HUMAN High mobility group protein B3 OS=Homo sapiens OX=9606 GN=HMGB3 PE=1 SV=4
+MAKGDPKKPKGKMSAYAFFVQTCREEHKKKNPEVPVNFAEFSKKCSERWKTMSGKEKSKFDEMAKADKVRYDREMKDYGPAKGGKKKKDPNAPKRPPSGFFLFCSEFRPKIKSTNPGISIGDVAKKLGEMWNNLNDSEKQPYITKAAKLKEKYEKDVADYKSKGKFDGAKGPAKVARKKVEEEDEEEEEEEEEEEEEEDE
+>DECOY_sp|O15347|HMGB3_HUMAN High mobility group protein B3 OS=Homo sapiens OX=9606 GN=HMGB3 PE=1 SV=4
+EDEEEEEEEEEEEEEEDEEEVKKRAVKAPGKAGDFKGKSKYDAVDKEYKEKLKAAKTIYPQKESDNLNNWMEGLKKAVDGISIGPNTSKIKPRFESCFLFFGSPPRKPANPDKKKKGGKAPGYDKMERDYRVKDAKAMEDFKSKEKGSMTKWRESCKKSFEAFNVPVEPNKKKHEERCTQVFFAYASMKGKPKKPDGKAM
+>sp|S4R3Y5|HMN11_HUMAN Humanin-like 11 OS=Homo sapiens OX=9606 GN=MTRNR2L11 PE=3 SV=1
+MATRGFSCLLLVISEIDLSVKRWV
+>DECOY_sp|S4R3Y5|HMN11_HUMAN Humanin-like 11 OS=Homo sapiens OX=9606 GN=MTRNR2L11 PE=3 SV=1
+VWRKVSLDIESIVLLLCSFGRTAM
+>sp|P0CJ69|HMN2_HUMAN Humanin-like 2 OS=Homo sapiens OX=9606 GN=MTRNR2L2 PE=2 SV=1
+MAPRGFSCLLLSTSEIDLPVKRLLSSVF
+>DECOY_sp|P0CJ69|HMN2_HUMAN Humanin-like 2 OS=Homo sapiens OX=9606 GN=MTRNR2L2 PE=2 SV=1
+FVSSLLRKVPLDIESTSLLLCSFGRPAM
+>sp|Q9NP08|HMX1_HUMAN Homeobox protein HMX1 OS=Homo sapiens OX=9606 GN=HMX1 PE=2 SV=2
+MPDELTEPGRATPARASSFLIENLLAAEAKGAGRATQGDGSREDEEEDDDDPEDEDAEQARRRRLQRRRQLLAGTGPGGEARARALLGPGALGLGPRPPPGPGPPFALGCGGAARWYPRAHGGYGGGLSPDTSDRDSPETGEEMGRAEGAWPRGPGPGAVQREAAELAARGPAAGTEEASELAEVPAAAGETRGGVGVGGGRKKKTRTVFSRSQVFQLESTFDLKRYLSSAERAGLAASLQLTETQVKIWFQNRRNKWKRQLAAELEAASLSPPGAQRLVRVPVLYHESPPAAAAAGPPATLPFPLAPAAPAPPPPLLGFSGALAYPLAAFPAAASVPFLRAQMPGLV
+>DECOY_sp|Q9NP08|HMX1_HUMAN Homeobox protein HMX1 OS=Homo sapiens OX=9606 GN=HMX1 PE=2 SV=2
+VLGPMQARLFPVSAAAPFAALPYALAGSFGLLPPPPAPAAPALPFPLTAPPGAAAAAPPSEHYLVPVRVLRQAGPPSLSAAELEAALQRKWKNRRNQFWIKVQTETLQLSAALGAREASSLYRKLDFTSELQFVQSRSFVTRTKKKRGGGVGVGGRTEGAAAPVEALESAEETGAAPGRAALEAAERQVAGPGPGRPWAGEARGMEEGTEPSDRDSTDPSLGGGYGGHARPYWRAAGGCGLAFPPGPGPPPRPGLGLAGPGLLARARAEGGPGTGALLQRRRQLRRRRAQEADEDEPDDDDEEEDERSGDGQTARGAGKAEAALLNEILFSSARAPTARGPETLEDPM
+>sp|A6NHT5|HMX3_HUMAN Homeobox protein HMX3 OS=Homo sapiens OX=9606 GN=HMX3 PE=1 SV=1
+MPEPGPDAAGTASAQPQPPPPPPPAPKESPFSIKNLLNGDHHRPPPKPQPPPRTLFAPASAAAAAAAAAAAAAKGALEGAAGFALSQVGDLAFPRFEIPAQRFALPAHYLERSPAWWYPYTLTPAGGHLPRPEASEKALLRDSSPASGTDRDSPEPLLKADPDHKELDSKSPDEIILEESDSEESKKEGEAAPGAAGASVGAAAATPGAEDWKKGAESPEKKPACRKKKTRTVFSRSQVFQLESTFDMKRYLSSSERAGLAASLHLTETQVKIWFQNRRNKWKRQLAAELEAANLSHAAAQRIVRVPILYHENSAAEGAAAAAAGAPVPVSQPLLTFPHPVYYSHPVVSSVPLLRPV
+>DECOY_sp|A6NHT5|HMX3_HUMAN Homeobox protein HMX3 OS=Homo sapiens OX=9606 GN=HMX3 PE=1 SV=1
+VPRLLPVSSVVPHSYYVPHPFTLLPQSVPVPAGAAAAAAGEAASNEHYLIPVRVIRQAAAHSLNAAELEAALQRKWKNRRNQFWIKVQTETLHLSAALGARESSSLYRKMDFTSELQFVQSRSFVTRTKKKRCAPKKEPSEAGKKWDEAGPTAAAAGVSAGAAGPAAEGEKKSEESDSEELIIEDPSKSDLEKHDPDAKLLPEPSDRDTGSAPSSDRLLAKESAEPRPLHGGAPTLTYPYWWAPSRELYHAPLAFRQAPIEFRPFALDGVQSLAFGAAGELAGKAAAAAAAAAAAAASAPAFLTRPPPQPKPPPRHHDGNLLNKISFPSEKPAPPPPPPPQPQASATGAADPGPEPM
+>sp|P35680|HNF1B_HUMAN Hepatocyte nuclear factor 1-beta OS=Homo sapiens OX=9606 GN=HNF1B PE=1 SV=1
+MVSKLTSLQQELLSALLSSGVTKEVLVQALEELLPSPNFGVKLETLPLSPGSGAEPDTKPVFHTLTNGHAKGRLSGDEGSEDGDDYDTPPILKELQALNTEEAAEQRAEVDRMLSEDPWRAAKMIKGYMQQHNIPQREVVDVTGLNQSHLSQHLNKGTPMKTQKRAALYTWYVRKQREILRQFNQTVQSSGNMTDKSSQDQLLFLFPEFSQQSHGPGQSDDACSEPTNKKMRRNRFKWGPASQQILYQAYDRQKNPSKEEREALVEECNRAECLQRGVSPSKAHGLGSNLVTEVRVYNWFANRRKEEAFRQKLAMDAYSSNQTHSLNPLLSHGSPHHQPSSSPPNKLSGVRYSQQGNNEITSSSTISHHGNSAMVTSQSVLQQVSPASLDPGHNLLSPDGKMISVSGGGLPPVSTLTNIHSLSHHNPQQSQNLIMTPLSGVMAIAQSLNTSQAQSVPVINSVAGSLAALQPVQFSQQLHSPHQQPLMQQSPGSHMAQQPFMAAVTQLQNSHMYAHKQEPPQYSHTSRFPSAMVVTDTSSISTLTNMSSSKQCPLQAW
+>DECOY_sp|P35680|HNF1B_HUMAN Hepatocyte nuclear factor 1-beta OS=Homo sapiens OX=9606 GN=HNF1B PE=1 SV=1
+WAQLPCQKSSSMNTLTSISSTDTVVMASPFRSTHSYQPPEQKHAYMHSNQLQTVAAMFPQQAMHSGPSQQMLPQQHPSHLQQSFQVPQLAALSGAVSNIVPVSQAQSTNLSQAIAMVGSLPTMILNQSQQPNHHSLSHINTLTSVPPLGGGSVSIMKGDPSLLNHGPDLSAPSVQQLVSQSTVMASNGHHSITSSSTIENNGQQSYRVGSLKNPPSSSPQHHPSGHSLLPNLSHTQNSSYADMALKQRFAEEKRRNAFWNYVRVETVLNSGLGHAKSPSVGRQLCEARNCEEVLAEREEKSPNKQRDYAQYLIQQSAPGWKFRNRRMKKNTPESCADDSQGPGHSQQSFEPFLFLLQDQSSKDTMNGSSQVTQNFQRLIERQKRVYWTYLAARKQTKMPTGKNLHQSLHSQNLGTVDVVERQPINHQQMYGKIMKAARWPDESLMRDVEARQEAAEETNLAQLEKLIPPTDYDDGDESGEDGSLRGKAHGNTLTHFVPKTDPEAGSGPSLPLTELKVGFNPSPLLEELAQVLVEKTVGSSLLASLLEQQLSTLKSVM
+>sp|P0DMR1|HNRC4_HUMAN Heterogeneous nuclear ribonucleoprotein C-like 4 OS=Homo sapiens OX=9606 GN=HNRNPCL4 PE=3 SV=1
+MASNVTNKMDPHSMNSRVFIGNLNTLVVKKSDVEAIFSKYGKIAGCSVHKGFAFVQYDKEKNARAAVAGEDGRMIASQVVDINLAAEPKVNRGNAGVKRSAAEMYGSSFDLDYNLQRDYYGGMYSFPARVPPPPPIALAVVPSKRQRISGNTSRRGKSGFNSKSGKRGSSKSGKLKGDDLQAIKQELTQIKQKVDSLLENLEKIEKEHCKQGVEVKNAKSEEEQTSSSSKKDKTHVKMESEGGADDSVEEGDLLCDDDNEDQGDNQLELIKDDEKGAEEGEDDRDRANGQDDS
+>DECOY_sp|P0DMR1|HNRC4_HUMAN Heterogeneous nuclear ribonucleoprotein C-like 4 OS=Homo sapiens OX=9606 GN=HNRNPCL4 PE=3 SV=1
+SDDQGNARDRDDEGEEAGKEDDKILELQNDGQDENDDDCLLDGEEVSDDAGGESEMKVHTKDKKSSSSTQEEESKANKVEVGQKCHEKEIKELNELLSDVKQKIQTLEQKIAQLDDGKLKGSKSSGRKGSKSNFGSKGRRSTNGSIRQRKSPVVALAIPPPPPVRAPFSYMGGYYDRQLNYDLDFSSGYMEAASRKVGANGRNVKPEAALNIDVVQSAIMRGDEGAVAARANKEKDYQVFAFGKHVSCGAIKGYKSFIAEVDSKKVVLTNLNGIFVRSNMSHPDMKNTVNSAM
+>sp|P31942|HNRH3_HUMAN Heterogeneous nuclear ribonucleoprotein H3 OS=Homo sapiens OX=9606 GN=HNRNPH3 PE=1 SV=2
+MDWVMKHNGPNDASDGTVRLRGLPFGCSKEEIVQFFQGLEIVPNGITLTMDYQGRSTGEAFVQFASKEIAENALGKHKERIGHRYIEIFRSSRSEIKGFYDPPRRLLGQRPGPYDRPIGGRGGYYGAGRGSMYDRMRRGGDGYDGGYGGFDDYGGYNNYGYGNDGFDDRMRDGRGMGGHGYGGAGDASSGFHGGHFVHMRGLPFRATENDIANFFSPLNPIRVHIDIGADGRATGEADVEFVTHEDAVAAMSKDKNNMQHRYIELFLNSTPGGGSGMGGSGMGGYGRDGMDNQGGYGSVGRMGMGNNYSGGYGTPDGLGGYGRGGGGSGGYYGQGGMSGGGWRGMY
+>DECOY_sp|P31942|HNRH3_HUMAN Heterogeneous nuclear ribonucleoprotein H3 OS=Homo sapiens OX=9606 GN=HNRNPH3 PE=1 SV=2
+YMGRWGGGSMGGQGYYGGSGGGGRGYGGLGDPTGYGGSYNNGMGMRGVSGYGGQNDMGDRGYGGMGSGGMGSGGGPTSNLFLEIYRHQMNNKDKSMAAVADEHTVFEVDAEGTARGDAGIDIHVRIPNLPSFFNAIDNETARFPLGRMHVFHGGHFGSSADGAGGYGHGGMGRGDRMRDDFGDNGYGYNNYGGYDDFGGYGGDYGDGGRRMRDYMSGRGAGYYGGRGGIPRDYPGPRQGLLRRPPDYFGKIESRSSRFIEIYRHGIREKHKGLANEAIEKSAFQVFAEGTSRGQYDMTLTIGNPVIELGQFFQVIEEKSCGFPLGRLRVTGDSADNPGNHKMVWDM
+>sp|Q8WVV9|HNRLL_HUMAN Heterogeneous nuclear ribonucleoprotein L-like OS=Homo sapiens OX=9606 GN=HNRNPLL PE=1 SV=1
+MSSSSSSPRETYEEDREYESQAKRLKTEEGEIDYSAEEGENRREATPRGGGDGGGGGRSFSQPEAGGSHHKVSVSPVVHVRGLCESVVEADLVEALEKFGTICYVMMMPFKRQALVEFENIDSAKECVTFAADEPVYIAGQQAFFNYSTSKRITRPGNTDDPSGGNKVLLLSIQNPLYPITVDVLYTVCNPVGKVQRIVIFKRNGIQAMVEFESVLCAQKAKAALNGADIYAGCCTLKIEYARPTRLNVIRNDNDSWDYTKPYLGRRDRGKGRQRQAILGEHPSSFRHDGYGSHGPLLPLPSRYRMGSRDTPELVAYPLPQASSSYMHGGNPSGSVVMVSGLHQLKMNCSRVFNLFCLYGNIEKVKFMKTIPGTALVEMGDEYAVERAVTHLNNVKLFGKRLNVCVSKQHSVVPSQIFELEDGTSSYKDFAMSKNNRFTSAGQASKNIIQPPSCVLHYYNVPLCVTEETFTKLCNDHEVLTFIKYKVFDAKPSAKTLSGLLEWECKTDAVEALTALNHYQIRVPNGSNPYTLKLCFSTSSHL
+>DECOY_sp|Q8WVV9|HNRLL_HUMAN Heterogeneous nuclear ribonucleoprotein L-like OS=Homo sapiens OX=9606 GN=HNRNPLL PE=1 SV=1
+LHSSTSFCLKLTYPNSGNPVRIQYHNLATLAEVADTKCEWELLGSLTKASPKADFVKYKIFTLVEHDNCLKTFTEETVCLPVNYYHLVCSPPQIINKSAQGASTFRNNKSMAFDKYSSTGDELEFIQSPVVSHQKSVCVNLRKGFLKVNNLHTVAREVAYEDGMEVLATGPITKMFKVKEINGYLCFLNFVRSCNMKLQHLGSVMVVSGSPNGGHMYSSSAQPLPYAVLEPTDRSGMRYRSPLPLLPGHSGYGDHRFSSPHEGLIAQRQRGKGRDRRGLYPKTYDWSDNDNRIVNLRTPRAYEIKLTCCGAYIDAGNLAAKAKQACLVSEFEVMAQIGNRKFIVIRQVKGVPNCVTYLVDVTIPYLPNQISLLLVKNGGSPDDTNGPRTIRKSTSYNFFAQQGAIYVPEDAAFTVCEKASDINEFEVLAQRKFPMMMVYCITGFKELAEVLDAEVVSECLGRVHVVPSVSVKHHSGGAEPQSFSRGGGGGDGGGRPTAERRNEGEEASYDIEGEETKLRKAQSEYERDEEYTERPSSSSSSM
+>sp|Q9NSB8|HOME2_HUMAN Homer protein homolog 2 OS=Homo sapiens OX=9606 GN=HOMER2 PE=1 SV=1
+MGEQPIFTTRAHVFQIDPNTKKNWMPASKQAVTVSYFYDVTRNSYRIISVDGAKVIINSTITPNMTFTKTSQKFGQWADSRANTVFGLGFSSEQQLTKFAEKFQEVKEAAKIAKDKTQEKIETSSNHSQESGRETPSSTQASSVNGTDDEKASHAGPANTHLKSENDKLKIALTQSAANVKKWEIELQTLRESNARLTTALQESAASVEQWKRQFSICRDENDRLRNKIDELEEQCSEINREKEKNTQLKRRIEELEAELREKETELKDLRKQSEIIPQLMSECEYVSEKLEAAERDNQNLEDKVRSLKTDIEESKYRQRHLKVELKSFLEVLDGKIDDLHDFRRGLSKLGTDN
+>DECOY_sp|Q9NSB8|HOME2_HUMAN Homer protein homolog 2 OS=Homo sapiens OX=9606 GN=HOMER2 PE=1 SV=1
+NDTGLKSLGRRFDHLDDIKGDLVELFSKLEVKLHRQRYKSEEIDTKLSRVKDELNQNDREAAELKESVYECESMLQPIIESQKRLDKLETEKERLEAELEEIRRKLQTNKEKERNIESCQEELEDIKNRLRDNEDRCISFQRKWQEVSAASEQLATTLRANSERLTQLEIEWKKVNAASQTLAIKLKDNESKLHTNAPGAHSAKEDDTGNVSSAQTSSPTERGSEQSHNSSTEIKEQTKDKAIKAAEKVEQFKEAFKTLQQESSFGLGFVTNARSDAWQGFKQSTKTFTMNPTITSNIIVKAGDVSIIRYSNRTVDYFYSVTVAQKSAPMWNKKTNPDIQFVHARTTFIPQEGM
+>sp|Q9P2W1|HOP2_HUMAN Homologous-pairing protein 2 homolog OS=Homo sapiens OX=9606 GN=PSMC3IP PE=1 SV=1
+MSKGRAEAAAGAAGILLRYLQEQNRPYSSQDVFGNLQREHGLGKAVVVKTLEQLAQQGKIKEKMYGKQKIYFADQDQFDMVSDADLQVLDGKIVALTAKVQSLQQSCRYMEAELKELSSALTTPEMQKEIQELKKECAGYRERLKNIKAATNHVTPEEKEQVYRERQKYCKEWRKRKRMATELSDAILEGYPKSKKQFFEEVGIETDEDYNVTLPDP
+>DECOY_sp|Q9P2W1|HOP2_HUMAN Homologous-pairing protein 2 homolog OS=Homo sapiens OX=9606 GN=PSMC3IP PE=1 SV=1
+PDPLTVNYDEDTEIGVEEFFQKKSKPYGELIADSLETAMRKRKRWEKCYKQRERYVQEKEEPTVHNTAAKINKLRERYGACEKKLEQIEKQMEPTTLASSLEKLEAEMYRCSQQLSQVKATLAVIKGDLVQLDADSVMDFQDQDAFYIKQKGYMKEKIKGQQALQELTKVVVAKGLGHERQLNGFVDQSSYPRNQEQLYRLLIGAAGAAAEARGKSM
+>sp|Q8N7B1|HORM2_HUMAN HORMA domain-containing protein 2 OS=Homo sapiens OX=9606 GN=HORMAD2 PE=1 SV=2
+MATAQLSHCITIHKASKETVFPSQITNEHESLKMVKKLFATSISCITYLRGLFPESSYGERHLDDLSLKILREDKKCPGSLHIIRWIQGCFDALEKRYLRMAVLTLYTDPMGSEKVTEMYQFKFKYTKEGATMDFDSHSSSTSFESGTNNEDIKKASVLLIRKLYILMQDLEPLPNNVVLTMKLHYYNAVTPHDYQPLGFKEGVNSHFLLFDKEPINVQVGFVSTGFHSMKVKVMTEATKVIDLENNLFRENSTTEIAHQGLDCDEEEECNDHIQRMNFVCSQQSSECSRKKRKVSEPVKVFIPNRK
+>DECOY_sp|Q8N7B1|HORM2_HUMAN HORMA domain-containing protein 2 OS=Homo sapiens OX=9606 GN=HORMAD2 PE=1 SV=2
+KRNPIFVKVPESVKRKKRSCESSQQSCVFNMRQIHDNCEEEEDCDLGQHAIETTSNERFLNNELDIVKTAETMVKVKMSHFGTSVFGVQVNIPEKDFLLFHSNVGEKFGLPQYDHPTVANYYHLKMTLVVNNPLPELDQMLIYLKRILLVSAKKIDENNTGSEFSTSSSHSDFDMTAGEKTYKFKFQYMETVKESGMPDTYLTLVAMRLYRKELADFCGQIWRIIHLSGPCKKDERLIKLSLDDLHREGYSSEPFLGRLYTICSISTAFLKKVMKLSEHENTIQSPFVTEKSAKHITICHSLQATAM
+>sp|Q8IWW8|HOT_HUMAN Hydroxyacid-oxoacid transhydrogenase, mitochondrial OS=Homo sapiens OX=9606 GN=ADHFE1 PE=1 SV=1
+MAAAARARVAYLLRQLQRAACQCPTHSHTYSQAPGLSPSGKTTDYAFEMAVSNIRYGAAVTKEVGMDLKNMGAKNVCLMTDKNLSKLPPVQVAMDSLVKNGIPFTVYDNVRVEPTDSSFMEAIEFAQKGAFDAYVAVGGGSTMDTCKAANLYASSPHSDFLDYVSAPIGKGKPVSVPLKPLIAVPTTSGTGSETTGVAIFDYEHLKVKIGITSRAIKPTLGLIDPLHTLHMPARVVANSGFDVLCHALESYTTLPYHLRSPCPSNPITRPAYQGSNPISDIWAIHALRIVAKYLKRAVRNPDDLEARSHMHLASAFAGIGFGNAGVHLCHGMSYPISGLVKMYKAKDYNVDHPLVPHGLSVVLTSPAVFTFTAQMFPERHLEMAEILGADTRTARIQDAGLVLADTLRKFLFDLDVDDGLAAVGYSKADIPALVKGTLPQERVTKLAPCPQSEEDLAALFEASMKLY
+>DECOY_sp|Q8IWW8|HOT_HUMAN Hydroxyacid-oxoacid transhydrogenase, mitochondrial OS=Homo sapiens OX=9606 GN=ADHFE1 PE=1 SV=1
+YLKMSAEFLAALDEESQPCPALKTVREQPLTGKVLAPIDAKSYGVAALGDDVDLDFLFKRLTDALVLGADQIRATRTDAGLIEAMELHREPFMQATFTFVAPSTLVVSLGHPVLPHDVNYDKAKYMKVLGSIPYSMGHCLHVGANGFGIGAFASALHMHSRAELDDPNRVARKLYKAVIRLAHIAWIDSIPNSGQYAPRTIPNSPCPSRLHYPLTTYSELAHCLVDFGSNAVVRAPMHLTHLPDILGLTPKIARSTIGIKVKLHEYDFIAVGTTESGTGSTTPVAILPKLPVSVPKGKGIPASVYDLFDSHPSSAYLNAAKCTDMTSGGGVAVYADFAGKQAFEIAEMFSSDTPEVRVNDYVTFPIGNKVLSDMAVQVPPLKSLNKDTMLCVNKAGMNKLDMGVEKTVAAGYRINSVAMEFAYDTTKGSPSLGPAQSYTHSHTPCQCAARQLQRLLYAVRARAAAAM
+>sp|Q9UM19|HPCL4_HUMAN Hippocalcin-like protein 4 OS=Homo sapiens OX=9606 GN=HPCAL4 PE=1 SV=3
+MGKTNSKLAPEVLEDLVQNTEFSEQELKQWYKGFLKDCPSGILNLEEFQQLYIKFFPYGDASKFAQHAFRTFDKNGDGTIDFREFICALSVTSRGSFEQKLNWAFEMYDLDGDGRITRLEMLEIIEAIYKMVGTVIMMRMNQDGLTPQQRVDKIFKKMDQDKDDQITLEEFKEAAKSDPSIVLLLQCDMQK
+>DECOY_sp|Q9UM19|HPCL4_HUMAN Hippocalcin-like protein 4 OS=Homo sapiens OX=9606 GN=HPCAL4 PE=1 SV=3
+KQMDCQLLLVISPDSKAAEKFEELTIQDDKDQDMKKFIKDVRQQPTLGDQNMRMMIVTGVMKYIAEIIELMELRTIRGDGDLDYMEFAWNLKQEFSGRSTVSLACIFERFDITGDGNKDFTRFAHQAFKSADGYPFFKIYLQQFEELNLIGSPCDKLFGKYWQKLEQESFETNQVLDELVEPALKSNTKGM
+>sp|Q6MZM0|HPHL1_HUMAN Hephaestin-like protein 1 OS=Homo sapiens OX=9606 GN=HEPHL1 PE=2 SV=2
+MPRKQPAGCIFLLTFLGLSGLVGTVTRTYYIGIVEEYWNYVPQGKNVITGKSFTEDKLATLFLERGPNRIGSIYKKAVYRRFTDGTYSIEIPKPPWLGFLGPILRAEVGDVIVIHLKNFASRPYSLHPHGVFYNKDSEGALYPDGTSGRNKNDDMVPPGKNYTYVWPVREEYAPTPADANCLTWVYHSHIDAPKDICSGLIGPLLVCKEGILNRYSGTRNDVDREFVIMFTLVDENQSWYLNENIKHFCTNPDSVDKKDAVFQRSNKMHALNGYLFGNFPEPDMCVGESVSWHLFGMGNEIDIHSIYFYGNTFISRGHRTDVVNLFPATFLTTEMIAENPGKWMITCQVSDHLQAGMLGQYNVDNCKSDIFYPKMKGQQRRYFIAAEKILWDYAPQGYNKFSGLPLNASGSDSDLYFTQGDNRIGGKYWKVRYTEFVDATFTKRKRLSAEEAHLGILGPVIKAEVGDTLLVTFANKADKVYSILPHGVIYDKASDAAPNLDGFVKPGAHVKPGETFTYKWTVPESVSPTAGDPPCLTYLYFSAVDPIKDTSSGLVGPLLVCKKGVLNADGTQKGIDKEFYLLFTVFDENLSRYFDENIQKFIWHPFSIDKEDKEFVKSNRMHAVNGYMYGNQPGLNMCKRDRVSWHLIGLGTDTDMHGIVFQGNTIHLRGTHRDSLALFPHMATTAFMQPDHAGIFRVFCATMPHLSRGMGQIYEVSSCDNRDPSEQRYGMIRTFYIAAEEVEWDYAPNKNWEFEKQHVDARGERHGDIFMNRTENWIGSQYKKVVYREYTDGEFVEIKARPPREEHLELLGPMIHAEVGNTVLIIFKNKASRPYSISAQGVEEMDSGKQFQVPMTKPGEVKTYRWNIPKRSGPGPSDPNCIPWVYYSTVNFVKDTYSGLMGPLITCRKGVLNEKGRRSDVDYEFALLFLVFNENESWYLDDNIKKYLNKDPRDFKRTDDFEESNRMHAINGKIFGNLHGLIMNEDTMTNWYLLGIGSEVDIHTIHYHAESFLFKIDKSYREDVYDLFPGTFQTIELFADHPGTWLLHCHVSDHIHAGMETTYTVLRNIDNRIPYSTTSPGVASHPATVPSNERPGKEQLYFFGKNLGPTGAKAALVILFIIGLLLLITTVILSLRLCSAMKQTDYQQVQSCALPTDAL
+>DECOY_sp|Q6MZM0|HPHL1_HUMAN Hephaestin-like protein 1 OS=Homo sapiens OX=9606 GN=HEPHL1 PE=2 SV=2
+LADTPLACSQVQQYDTQKMASCLRLSLIVTTILLLLGIIFLIVLAAKAGTPGLNKGFFYLQEKGPRENSPVTAPHSAVGPSTTSYPIRNDINRLVTYTTEMGAHIHDSVHCHLLWTGPHDAFLEITQFTGPFLDYVDERYSKDIKFLFSEAHYHITHIDVESGIGLLYWNTMTDENMILGHLNGFIKGNIAHMRNSEEFDDTRKFDRPDKNLYKKINDDLYWSENENFVLFLLAFEYDVDSRRGKENLVGKRCTILPGMLGSYTDKVFNVTSYYVWPICNPDSPGPGSRKPINWRYTKVEGPKTMPVQFQKGSDMEEVGQASISYPRSAKNKFIILVTNGVEAHIMPGLLELHEERPPRAKIEVFEGDTYERYVVKKYQSGIWNETRNMFIDGHREGRADVHQKEFEWNKNPAYDWEVEEAAIYFTRIMGYRQESPDRNDCSSVEYIQGMGRSLHPMTACFVRFIGAHDPQMFATTAMHPFLALSDRHTGRLHITNGQFVIGHMDTDTGLGILHWSVRDRKCMNLGPQNGYMYGNVAHMRNSKVFEKDEKDISFPHWIFKQINEDFYRSLNEDFVTFLLYFEKDIGKQTGDANLVGKKCVLLPGVLGSSTDKIPDVASFYLYTLCPPDGATPSVSEPVTWKYTFTEGPKVHAGPKVFGDLNPAADSAKDYIVGHPLISYVKDAKNAFTVLLTDGVEAKIVPGLIGLHAEEASLRKRKTFTADVFETYRVKWYKGGIRNDGQTFYLDSDSGSANLPLGSFKNYGQPAYDWLIKEAAIFYRRQQGKMKPYFIDSKCNDVNYQGLMGAQLHDSVQCTIMWKGPNEAIMETTLFTAPFLNVVDTRHGRSIFTNGYFYISHIDIENGMGFLHWSVSEGVCMDPEPFNGFLYGNLAHMKNSRQFVADKKDVSDPNTCFHKINENLYWSQNEDVLTFMIVFERDVDNRTGSYRNLIGEKCVLLPGILGSCIDKPADIHSHYVWTLCNADAPTPAYEERVPWVYTYNKGPPVMDDNKNRGSTGDPYLAGESDKNYFVGHPHLSYPRSAFNKLHIVIVDGVEARLIPGLFGLWPPKPIEISYTGDTFRRYVAKKYISGIRNPGRELFLTALKDETFSKGTIVNKGQPVYNWYEEVIGIYYTRTVTGVLGSLGLFTLLFICGAPQKRPM
+>sp|P32754|HPPD_HUMAN 4-hydroxyphenylpyruvate dioxygenase OS=Homo sapiens OX=9606 GN=HPD PE=1 SV=2
+MTTYSDKGAKPERGRFLHFHSVTFWVGNAKQAASFYCSKMGFEPLAYRGLETGSREVVSHVIKQGKIVFVLSSALNPWNKEMGDHLVKHGDGVKDIAFEVEDCDYIVQKARERGAKIMREPWVEQDKFGKVKFAVLQTYGDTTHTLVEKMNYIGQFLPGYEAPAFMDPLLPKLPKCSLEMIDHIVGNQPDQEMVSASEWYLKNLQFHRFWSVDDTQVHTEYSSLRSIVVANYEESIKMPINEPAPGKKKSQIQEYVDYNGGAGVQHIALKTEDIITAIRHLRERGLEFLSVPSTYYKQLREKLKTAKIKVKENIDALEELKILVDYDEKGYLLQIFTKPVQDRPTLFLEVIQRHNHQGFGAGNFNSLFKAFEEEQNLRGNLTNMETNGVVPGM
+>DECOY_sp|P32754|HPPD_HUMAN 4-hydroxyphenylpyruvate dioxygenase OS=Homo sapiens OX=9606 GN=HPD PE=1 SV=2
+MGPVVGNTEMNTLNGRLNQEEEFAKFLSNFNGAGFGQHNHRQIVELFLTPRDQVPKTFIQLLYGKEDYDVLIKLEELADINEKVKIKATKLKERLQKYYTSPVSLFELGRERLHRIATIIDETKLAIHQVGAGGNYDVYEQIQSKKKGPAPENIPMKISEEYNAVVISRLSSYETHVQTDDVSWFRHFQLNKLYWESASVMEQDPQNGVIHDIMELSCKPLKPLLPDMFAPAEYGPLFQGIYNMKEVLTHTTDGYTQLVAFKVKGFKDQEVWPERMIKAGRERAKQVIYDCDEVEFAIDKVGDGHKVLHDGMEKNWPNLASSLVFVIKGQKIVHSVVERSGTELGRYALPEFGMKSCYFSAAQKANGVWFTVSHFHLFRGREPKAGKDSYTTM
+>sp|Q9UJY1|HSPB8_HUMAN Heat shock protein beta-8 OS=Homo sapiens OX=9606 GN=HSPB8 PE=1 SV=1
+MADGQMPFSCHYPSRLRRDPFRDSPLSSRLLDDGFGMDPFPDDLTASWPDWALPRLSSAWPGTLRSGMVPRGPTATARFGVPAEGRTPPPFPGEPWKVCVNVHSFKPEELMVKTKDGYVEVSGKHEEKQQEGGIVSKNFTKKIQLPAEVDPVTVFASLSPEGLLIIEAPQVPPYSTFGESSFNNELPQDSQEVTCT
+>DECOY_sp|Q9UJY1|HSPB8_HUMAN Heat shock protein beta-8 OS=Homo sapiens OX=9606 GN=HSPB8 PE=1 SV=1
+TCTVEQSDQPLENNFSSEGFTSYPPVQPAEIILLGEPSLSAFVTVPDVEAPLQIKKTFNKSVIGGEQQKEEHKGSVEVYGDKTKVMLEEPKFSHVNVCVKWPEGPFPPPTRGEAPVGFRATATPGRPVMGSRLTGPWASSLRPLAWDPWSATLDDPFPDMGFGDDLLRSSLPSDRFPDRRLRSPYHCSFPMQGDAM
+>sp|Q99081|HTF4_HUMAN Transcription factor 12 OS=Homo sapiens OX=9606 GN=TCF12 PE=1 SV=1
+MNPQQQRMAAIGTDKELSDLLDFSAMFSPPVNSGKTRPTTLGSSQFSGSGIDERGGTTSWGTSGQPSPSYDSSRGFTDSPHYSDHLNDSRLGAHEGLSPTPFMNSNLMGKTSERGSFSLYSRDTGLPGCQSSLLRQDLGLGSPAQLSSSGKPGTAYYSFSATSSRRRPLHDSAALDPLQAKKVRKVPPGLPSSVYAPSPNSDDFNRESPSYPSPKPPTSMFASTFFMQDGTHNSSDLWSSSNGMSQPGFGGILGTSTSHMSQSSSYGNLHSHDRLSYPPHSVSPTDINTSLPPMSSFHRGSTSSSPYVAASHTPPINGSDSILGTRGNAAGSSQTGDALGKALASIYSPDHTSSSFPSNPSTPVGSPSPLTGTSQWPRPGGQAPSSPSYENSLHSLQSRMEDRLDRLDDAIHVLRNHAVGPSTSLPAGHSDIHSLLGPSHNAPIGSLNSNYGGSSLVASSRSASMVGTHREDSVSLNGNHSVLSSTVTTSSTDLNHKTQENYRGGLQSQSGTVVTTEIKTENKEKDENLHEPPSSDDMKSDDESSQKDIKVSSRGRTSSTNEDEDLNPEQKIEREKERRMANNARERLRVRDINEAFKELGRMCQLHLKSEKPQTKLLILHQAVAVILSLEQQVRERNLNPKAACLKRREEEKVSAVSAEPPTTLPGTHPGLSETTNPMGHM
+>DECOY_sp|Q99081|HTF4_HUMAN Transcription factor 12 OS=Homo sapiens OX=9606 GN=TCF12 PE=1 SV=1
+MHGMPNTTESLGPHTGPLTTPPEASVASVKEEERRKLCAAKPNLNRERVQQELSLIVAVAQHLILLKTQPKESKLHLQCMRGLEKFAENIDRVRLRERANNAMRREKEREIKQEPNLDEDENTSSTRGRSSVKIDKQSSEDDSKMDDSSPPEHLNEDKEKNETKIETTVVTGSQSQLGGRYNEQTKHNLDTSSTTVTSSLVSHNGNLSVSDERHTGVMSASRSSAVLSSGGYNSNLSGIPANHSPGLLSHIDSHGAPLSTSPGVAHNRLVHIADDLRDLRDEMRSQLSHLSNEYSPSSPAQGGPRPWQSTGTLPSPSGVPTSPNSPFSSSTHDPSYISALAKGLADGTQSSGAANGRTGLISDSGNIPPTHSAAVYPSSSTSGRHFSSMPPLSTNIDTPSVSHPPYSLRDHSHLNGYSSSQSMHSTSTGLIGGFGPQSMGNSSSWLDSSNHTGDQMFFTSAFMSTPPKPSPYSPSERNFDDSNPSPAYVSSPLGPPVKRVKKAQLPDLAASDHLPRRRSSTASFSYYATGPKGSSSLQAPSGLGLDQRLLSSQCGPLGTDRSYLSFSGRESTKGMLNSNMFPTPSLGEHAGLRSDNLHDSYHPSDTFGRSSDYSPSPQGSTGWSTTGGREDIGSGSFQSSGLTTPRTKGSNVPPSFMASFDLLDSLEKDTGIAAMRQQQPNM
+>sp|P83105|HTRA4_HUMAN Serine protease HTRA4 OS=Homo sapiens OX=9606 GN=HTRA4 PE=2 SV=1
+MIRPQLRTAGLGRCLLPGLLLLLVPVLWAGAEKLHTQPSCPAVCQPTRCPALPTCALGTTPVFDLCRCCRVCPAAEREVCGGAQGQPCAPGLQCLQPLRPGFPSTCGCPTLGGAVCGSDRRTYPSMCALRAENRAARRLGKVPAVPVQWGNCGDTGTRSAGPLRRNYNFIAAVVEKVAPSVVHVQLWGRLLHGSRLVPVYSGSGFIVSEDGLIITNAHVVRNQQWIEVVLQNGARYEAVVKDIDLKLDLAVIKIESNAELPVLMLGRSSDLRAGEFVVALGSPFSLQNTATAGIVSTKQRGGKELGMKDSDMDYVQIDATINYGNSGGPLVNLDGDVIGVNSLRVTDGISFAIPSDRVRQFLAEYHEHQMKGKAFSNKKYLGLQMLSLTVPLSEELKMHYPDFPDVSSGVYVCKVVEGTAAQSSGLRDHDVIVNINGKPITTTTDVVKALDSDSLSMAVLRGKDNLLLTVIPETIN
+>DECOY_sp|P83105|HTRA4_HUMAN Serine protease HTRA4 OS=Homo sapiens OX=9606 GN=HTRA4 PE=2 SV=1
+NITEPIVTLLLNDKGRLVAMSLSDSDLAKVVDTTTTIPKGNINVIVDHDRLGSSQAATGEVVKCVYVGSSVDPFDPYHMKLEESLPVTLSLMQLGLYKKNSFAKGKMQHEHYEALFQRVRDSPIAFSIGDTVRLSNVGIVDGDLNVLPGGSNGYNITADIQVYDMDSDKMGLEKGGRQKTSVIGATATNQLSFPSGLAVVFEGARLDSSRGLMLVPLEANSEIKIVALDLKLDIDKVVAEYRAGNQLVVEIWQQNRVVHANTIILGDESVIFGSGSYVPVLRSGHLLRGWLQVHVVSPAVKEVVAAIFNYNRRLPGASRTGTDGCNGWQVPVAPVKGLRRAARNEARLACMSPYTRRDSGCVAGGLTPCGCTSPFGPRLPQLCQLGPACPQGQAGGCVEREAAPCVRCCRCLDFVPTTGLACTPLAPCRTPQCVAPCSPQTHLKEAGAWLVPVLLLLLGPLLCRGLGATRLQPRIM
+>sp|P09067|HXB5_HUMAN Homeobox protein Hox-B5 OS=Homo sapiens OX=9606 GN=HOXB5 PE=1 SV=3
+MSSYFVNSFSGRYPNGPDYQLLNYGSGSSLSGSYRDPAAMHTGSYGYNYNGMDLSVNRSSASSSHFGAVGESSRAFPAPAQEPRFRQAASSCSLSSPESLPCTNGDSHGAKPSASSPSDQATSASSSANFTEIDEASASSEPEEAASQLSSPSLARAQPEPMATSTAAPEGQTPQIFPWMRKLHISHDMTGPDGKRARTAYTRYQTLELEKEFHFNRYLTRRRRIEIAHALCLSERQIKIWFQNRRMKWKKDNKLKSMSLATAGSAFQP
+>DECOY_sp|P09067|HXB5_HUMAN Homeobox protein Hox-B5 OS=Homo sapiens OX=9606 GN=HOXB5 PE=1 SV=3
+PQFASGATALSMSKLKNDKKWKMRRNQFWIKIQRESLCLAHAIEIRRRRTLYRNFHFEKELELTQYRTYATRARKGDPGTMDHSIHLKRMWPFIQPTQGEPAATSTAMPEPQARALSPSSLQSAAEEPESSASAEDIETFNASSSASTAQDSPSSASPKAGHSDGNTCPLSEPSSLSCSSAAQRFRPEQAPAPFARSSEGVAGFHSSSASSRNVSLDMGNYNYGYSGTHMAAPDRYSGSLSSGSGYNLLQYDPGNPYRGSFSNVFYSSM
+>sp|P17481|HXB8_HUMAN Homeobox protein Hox-B8 OS=Homo sapiens OX=9606 GN=HOXB8 PE=2 SV=2
+MSSYFVNSLFSKYKTGESLRPNYYDCGFAQDLGGRPTVVYGPSSGGSFQHPSQIQEFYHGPSSLSTAPYQQNPCAVACHGDPGNFYGYDPLQRQSLFGAQDPDLVQYADCKLAAASGLGEEAEGSEQSPSPTQLFPWMRPQAAAGRRRGRQTYSRYQTLELEKEFLFNPYLTRKRRIEVSHALGLTERQVKIWFQNRRMKWKKENNKDKFPSSKCEQEELEKQKLERAPEAADEGDAQKGDKK
+>DECOY_sp|P17481|HXB8_HUMAN Homeobox protein Hox-B8 OS=Homo sapiens OX=9606 GN=HOXB8 PE=2 SV=2
+KKDGKQADGEDAAEPARELKQKELEEQECKSSPFKDKNNEKKWKMRRNQFWIKVQRETLGLAHSVEIRRKRTLYPNFLFEKELELTQYRSYTQRGRRRGAAAQPRMWPFLQTPSPSQESGEAEEGLGSAAALKCDAYQVLDPDQAGFLSQRQLPDYGYFNGPDGHCAVACPNQQYPATSLSSPGHYFEQIQSPHQFSGGSSPGYVVTPRGGLDQAFGCDYYNPRLSEGTKYKSFLSNVFYSSM
+>sp|O43248|HXC11_HUMAN Homeobox protein Hox-C11 OS=Homo sapiens OX=9606 GN=HOXC11 PE=1 SV=1
+MFNSVNLGNFCSPSRKERGADFGERGSCASNLYLPSCTYYMPEFSTVSSFLPQAPSRQISYPYSAQVPPVREVSYGLEPSGKWHHRNSYSSCYAAADELMHRECLPPSTVTEILMKNEGSYGGHHHPSAPHATPAGFYSSVNKNSVLPQAFDRFFDNAYCGGGDPPAEPPCSGKGEAKGEPEAPPASGLASRAEAGAEAEAEEENTNPSSSGSAHSVAKEPAKGAAPNAPRTRKKRCPYSKFQIRELEREFFFNVYINKEKRLQLSRMLNLTDRQVKIWFQNRRMKEKKLSRDRLQYFSGNPLL
+>DECOY_sp|O43248|HXC11_HUMAN Homeobox protein Hox-C11 OS=Homo sapiens OX=9606 GN=HOXC11 PE=1 SV=1
+LLPNGSFYQLRDRSLKKEKMRRNQFWIKVQRDTLNLMRSLQLRKEKNIYVNFFFERELERIQFKSYPCRKKRTRPANPAAGKAPEKAVSHASGSSSPNTNEEEAEAEAGAEARSALGSAPPAEPEGKAEGKGSCPPEAPPDGGGCYANDFFRDFAQPLVSNKNVSSYFGAPTAHPASPHHHGGYSGENKMLIETVTSPPLCERHMLEDAAAYCSSYSNRHHWKGSPELGYSVERVPPVQASYPYSIQRSPAQPLFSSVTSFEPMYYTCSPLYLNSACSGREGFDAGREKRSPSCFNGLNVSNFM
+>sp|Q00444|HXC5_HUMAN Homeobox protein Hox-C5 OS=Homo sapiens OX=9606 GN=HOXC5 PE=1 SV=1
+MSSYVANSFYKQSPNIPAYNMQTCGNYGSASEVQASRYCYGGLDLSITFPPPAPSNSLHGVDMAANPRAHPDRPACSAAAAPGHAPGRDEAAPLNPGMYSQKAARPALEERAKSSGEIKEEQAQTGQPAGLSQPPAPPQIYPWMTKLHMSHETDGKRSRTSYTRYQTLELEKEFHFNRYLTRRRRIEIANNLCLNERQIKIWFQNRRMKWKKDSKMKSKEAL
+>DECOY_sp|Q00444|HXC5_HUMAN Homeobox protein Hox-C5 OS=Homo sapiens OX=9606 GN=HOXC5 PE=1 SV=1
+LAEKSKMKSDKKWKMRRNQFWIKIQRENLCLNNAIEIRRRRTLYRNFHFEKELELTQYRTYSTRSRKGDTEHSMHLKTMWPYIQPPAPPQSLGAPQGTQAQEEKIEGSSKAREELAPRAAKQSYMGPNLPAAEDRGPAHGPAAAASCAPRDPHARPNAAMDVGHLSNSPAPPPFTISLDLGGYCYRSAQVESASGYNGCTQMNYAPINPSQKYFSNAVYSSM
+>sp|P31274|HXC9_HUMAN Homeobox protein Hox-C9 OS=Homo sapiens OX=9606 GN=HOXC9 PE=1 SV=3
+MSATGPISNYYVDSLISHDNEDLLASRFPATGAHPAAARPSGLVPDCSDFPSCSFAPKPAVFSTSWAPVPSQSSVVYHPYGPQPHLGADTRYMRTWLEPLSGAVSFPSFPAGGRHYALKPDAYPGRRADCGPGEGRSYPDYMYGSPGELRDRAPQTLPSPEADALAGSKHKEEKADLDPSNPVANWIHARSTRKKRCPYTKYQTLELEKEFLFNMYLTRDRRYEVARVLNLTERQVKIWFQNRRMKMKKMNKEKTDKEQS
+>DECOY_sp|P31274|HXC9_HUMAN Homeobox protein Hox-C9 OS=Homo sapiens OX=9606 GN=HOXC9 PE=1 SV=3
+SQEKDTKEKNMKKMKMRRNQFWIKVQRETLNLVRAVEYRRDRTLYMNFLFEKELELTQYKTYPCRKKRTSRAHIWNAVPNSPDLDAKEEKHKSGALADAEPSPLTQPARDRLEGPSGYMYDPYSRGEGPGCDARRGPYADPKLAYHRGGAPFSPFSVAGSLPELWTRMYRTDAGLHPQPGYPHYVVSSQSPVPAWSTSFVAPKPAFSCSPFDSCDPVLGSPRAAAPHAGTAPFRSALLDENDHSILSDVYYNSIPGTASM
+>sp|Q12794|HYAL1_HUMAN Hyaluronidase-1 OS=Homo sapiens OX=9606 GN=HYAL1 PE=1 SV=2
+MAAHLLPICALFLTLLDMAQGFRGPLLPNRPFTTVWNANTQWCLERHGVDVDVSVFDVVANPGQTFRGPDMTIFYSSQLGTYPYYTPTGEPVFGGLPQNASLIAHLARTFQDILAAIPAPDFSGLAVIDWEAWRPRWAFNWDTKDIYRQRSRALVQAQHPDWPAPQVEAVAQDQFQGAARAWMAGTLQLGRALRPRGLWGFYGFPDCYNYDFLSPNYTGQCPSGIRAQNDQLGWLWGQSRALYPSIYMPAVLEGTGKSQMYVQHRVAEAFRVAVAAGDPNLPVLPYVQIFYDTTNHFLPLDELEHSLGESAAQGAAGVVLWVSWENTRTKESCQAIKEYMDTTLGPFILNVTSGALLCSQALCSGHGRCVRRTSHPKALLLLNPASFSIQLTPGGGPLSLRGALSLEDQAQMAVEFKCRCYPGWQAPWCERKSMW
+>DECOY_sp|Q12794|HYAL1_HUMAN Hyaluronidase-1 OS=Homo sapiens OX=9606 GN=HYAL1 PE=1 SV=2
+WMSKRECWPAQWGPYCRCKFEVAMQAQDELSLAGRLSLPGGGPTLQISFSAPNLLLLAKPHSTRRVCRGHGSCLAQSCLLAGSTVNLIFPGLTTDMYEKIAQCSEKTRTNEWSVWLVVGAAGQAASEGLSHELEDLPLFHNTTDYFIQVYPLVPLNPDGAAVAVRFAEAVRHQVYMQSKGTGELVAPMYISPYLARSQGWLWGLQDNQARIGSPCQGTYNPSLFDYNYCDPFGYFGWLGRPRLARGLQLTGAMWARAAGQFQDQAVAEVQPAPWDPHQAQVLARSRQRYIDKTDWNFAWRPRWAEWDIVALGSFDPAPIAALIDQFTRALHAILSANQPLGGFVPEGTPTYYPYTGLQSSYFITMDPGRFTQGPNAVVDFVSVDVDVGHRELCWQTNANWVTTFPRNPLLPGRFGQAMDLLTLFLACIPLLHAAM
+>sp|Q12891|HYAL2_HUMAN Hyaluronidase-2 OS=Homo sapiens OX=9606 GN=HYAL2 PE=1 SV=4
+MRAGPGPTVTLALVLAVSWAMELKPTAPPIFTGRPFVVAWDVPTQDCGPRLKVPLDLNAFDVQASPNEGFVNQNITIFYRDRLGLYPRFDSAGRSVHGGVPQNVSLWAHRKMLQKRVEHYIRTQESAGLAVIDWEDWRPVWVRNWQDKDVYRRLSRQLVASRHPDWPPDRIVKQAQYEFEFAAQQFMLETLRYVKAVRPRHLWGFYLFPDCYNHDYVQNWESYTGRCPDVEVARNDQLAWLWAESTALFPSVYLDETLASSRHGRNFVSFRVQEALRVARTHHANHALPVYVFTRPTYSRRLTGLSEMDLISTIGESAALGAAGVILWGDAGYTTSTETCQYLKDYLTRLLVPYVVNVSWATQYCSRAQCHGHGRCVRRNPSASTFLHLSTNSFRLVPGHAPGEPQLRPVGELSWADIDHLQTHFRCQCYLGWSGEQCQWDHRQAAGGASEAWAGSHLTSLLALAALAFTWTL
+>DECOY_sp|Q12891|HYAL2_HUMAN Hyaluronidase-2 OS=Homo sapiens OX=9606 GN=HYAL2 PE=1 SV=4
+LTWTFALAALALLSTLHSGAWAESAGGAAQRHDWQCQEGSWGLYCQCRFHTQLHDIDAWSLEGVPRLQPEGPAHGPVLRFSNTSLHLFTSASPNRRVCRGHGHCQARSCYQTAWSVNVVYPVLLRTLYDKLYQCTETSTTYGADGWLIVGAAGLAASEGITSILDMESLGTLRRSYTPRTFVYVPLAHNAHHTRAVRLAEQVRFSVFNRGHRSSALTEDLYVSPFLATSEAWLWALQDNRAVEVDPCRGTYSEWNQVYDHNYCDPFLYFGWLHRPRVAKVYRLTELMFQQAAFEFEYQAQKVIRDPPWDPHRSAVLQRSLRRYVDKDQWNRVWVPRWDEWDIVALGASEQTRIYHEVRKQLMKRHAWLSVNQPVGGHVSRGASDFRPYLGLRDRYFITINQNVFGENPSAQVDFANLDLPVKLRPGCDQTPVDWAVVFPRGTFIPPATPKLEMAWSVALVLALTVTPGPGARM
+>sp|Q4G0P3|HYDIN_HUMAN Hydrocephalus-inducing protein homolog OS=Homo sapiens OX=9606 GN=HYDIN PE=1 SV=3
+MTSRRLEESMGAVQMGLVNMFKGFQSKVLPPLSPKVVTEEEVNRMLTPSEFLKEMSLTTEQRLAKTRLMCRPQIIELLDMGETTHQKFSGIDLDQALFQPFPSEIIFQNYTPCEVYEVPLILRNNDKIPRLVKVVEESSPYFKVISPKDIGHKVAPGVPSIFRILFTPEENKDYAHTLTCVTEREKFIVPIKARGARAILDFPDKLNFSTCPVKYSTQKILLVRNIGNKNAVFHIKTCRPFSIEPAIGTLNVGESMQLEVEFEPQSVGDHSGRLIVCYDTGEKVFVSLYGAAIDMNIRLDKNSLTIEKTYISLANQRTITIHNRSNIIAHFLWKVFATQQEEDREKYRACDDLIKEEKDETDEFFEECITDPLLREHLSVLSRTFANQRRLVQGDSKLFFNNVFTVEPLEGDVWPNSSAEITVYFNPLEAKLYQQTIYCDILGREIRLPLRIKGEGMGPKIHFNFELLDIGKVFTGSAHCYEAILYNKGSIDALFNMTPPTSALGACFVFSPKEGIIEPSGVQAIQISFSSTILGNFEEEFLVNVNGSPEPVKLTIRGCVIGPTFHFNVPALHFGDVSFGFPHTLICSLNNTSLIPMTYKLRIPGDGLGHKSISYCEQHVDYKRPSWTKEEISSMKPKEFTISPDCGTIRPQGFAAIRVTLCSNTVQKYELALVVDVEGIGEEVLALLITARCVVPALHLVNTEVDFGHCFLKYPYEKTLQLANQDDLPGFYEVQPQVCEEVPTVLFSSPTPSGVISPSSTIHIPLVLETQVTGEHRSTVYISIFGSQDPPLVCHLKSAGEGPVIYVHPNQVDFGNIYVLKDSSRILNLCNQSFIPAFFQAHMAHKKSLWTIEPNEGMVPPETDVQLALTANLNDTLTFKDCVILDIENSSTYRIPVQASGTGSTIVSDKPFAPELNLGAHFSLDTHYYHFKLINKGRRIQQLFWMNDSFRPQAKLSKKGRVKKGHAHVQPQPSGSQEPRDPQSPVFHLHPASMELYPGQAIDVILEGYSATPRIVKEKLVCHAIIGAQKGKSLVMAVNITCEFVAPLIQLSTKQLIYRLEKKPNSILKPDYQPLAIKNISTLPVNLLLSTSGPFFICETDKSLLPATPEPIKLEIDEEKNLLIKFDPSYRNDLNNWVAEEILAIKYVEHPQIDSLDLRGEVHYPNLSFETKELDFGCILNDTELIRYVTITNCSPLVVKFRWFFLVNDEENQIRFVTLPKKPYSAPVSQMESIPATSEAASPPAILVTVESPEMDLNDFVKTVLVDEDARPEEKELRKTKASSVISDEIKISSTEIERIYSSQSQVEDQESLQTCEQNEMLSIGIEEVFDILPLFGVLQPHSSHQISFTFYGHANIIAQAKALCEVEEGPTYEITLKGEASLVNYSFDTKDIHYGLQLFDHVTEREITLTNMGKVGFEFKVLTDHQSSPDNLLPGVPLILPVSGFISSHQEQVLKVYYLPGVPEVFKRSFQIQIAHLDPENITLSGEGIFPQICLDLPRNLTANEKYEMFLNQARKNTDKEYNKCEMLDHFDIITEEVPEDEPAEVSAHLQMEVERLIVQSYVLEHQKTTTPDPMDDPCFSHRSRRKLAKIQLPEYILDFGYIILGEVRTHIIKIINTSHFPVSFHADKRVLHETGFSTELDRVKNLPHCETEIFEVRFDPQGANLPVGSKEVILPIKVVGGPTVHICLQAKVTIPTMTLSRGKVDFATIQCGQCLVETIQLSNHLQVPCEWFVQSQKPVDKLEKHMPKYLRQKLRAELKPKTRIFEIQPISGVLDPGEKSNVQVKFMPKEEKFYSQTLVFQIAQSAQKLTLLARGQGLEPRLEFSPSVLDLGPLLLCAPGDEAEVIVKNPCNFPIEFYSLEFDQQYLIEEKILRKLKGYDSYNTLLLPPRNPGEKLPPELYEYFKEIKKSKEEQMRAKYLENLAQENEEEDITSSDQGTSNSTKRTSLSRGISVTSNLEEWHALLVESKTYLEEEEDEESLEKIIFQTDKLQSIDSHSMEEVGEVENNPVSKAIARHLGIDISAEGRLAKNRKGIAIIIHGTPLSGKSANAVSVAKYYNAACLSIDSIVLEAVANSNNIPGIRARELCIRAAIEQSVKEGEEAAQEAAVGQNVIGQGRLSTDTLGKLASEMTLVAPEIKPGKSVRGSVVITKSKADSHGSGSQKQHHSHQSETPQISSSPLPPGPIHRWLSVSPSVGGETGLMSCVLPDELLVQILAERIQLSDCYRGVVFDGLDTLFAQNAAAALLCLLKAIGSREHIYILNMAQDYAAMKAQEKAKKEQEERKHKGALEKEKERLQNMDEEEYDALTEEEKLTFDRGIQQALRERKKREQERLAKEMQEKKLQQELERQKEEDELKRRVKKGKQGPIKEEPPMKKSQAANKQVPPLTKVDVKMETIERKISVREQTMSEKEELNKKKRNMGDVSMHGLPLVQDQEDSEGDNSKDPDKQLAPKFKTYELTLKDVQNILMYWDRKQGVQLPPAGMEEAPHEPDDQRQVPLGGRRGRKDRERERLEKERTEKERLEREKAERERLEKLRALEERSDWEGEGEEDHEGKKEKDLGVPFLDIQTPDFEGLSWKQALESDKLPKGEQILDILGLGASGPPIPPPALFSIVSYPVKRPPLTMTDDLEHFVFVIPPSEDISLDEKKEMEIESDFLATTNTTKAQEEQTSSSKGGKQKMKEKIDQVFEIQKDKRHMALNRKVLSGEPAGTISQLSDTDLDNFNGQHSQEKFTRLNHFRWIVPANGEVTLQVHFSSDEFGNFDQTFNFEILGTCCQYQLYCRGICTYPYICQDPKVVFPQRKMDMKTNEVIFKKYVMSTETYYFGPLLCGKSRDKYKSSLFPGNMETLTILNTSLMVVEASFYFQNDVKANTYFLEPNTMVLKPNEKQILNVWAYPTSVGVFEDSIVCCINDNPEPAIFQLSCQGIRPELELEPRQLHFDRLLLHRQESRVVLLRNVTLLPVAWRITSLEHLGDDFTVSLMQGTIPPEAEYGLHLYFQPTKPVNIKKAIRLEVLDAENLLGVVQIENIMVFAEAYDIALDITFPKGAEGGLDFGIVRVTEEAKQPLQLKNRGKYEIAFSFSVDSVGISTPNINSMISVQPKKGSLTPTEKPTNVQVFFHAKKEVKIEHQPVLRCQIIEPNISEGGEIIASIPIKFSANAVYSKYNITPSSVINFGALICGTRKSTTFTIENQGVTDFKFALYKLTGESPIHQKKAASHVRHARSRESESFYKTGSSRAAKFSDTIQKEVTTTGQARFAHGMFTVYPGFGSIPSGGQQVINVDCVADAMGKCEEFIAIDISGRDPAVHPAGILYTLLAEACLPAFVTENNALIFEEHQICTSANLHHILQTIESGGLFVEDENKFIFCNVLVGRQAKARFKISNVGKITCDVNIVVRPISNKPFARIVDIFEVEPSKMCIASHSHAFATVSFTPQIMQNYQCIFEATLDGLPSTLAKSRGLVFDIAGEGNLPRVTVVRPVLHNQYGNPLLLFKRLLLGHSEKLPLILKNNGVLPAQLHVDLQDELGVFSLKGRPTTAYIYITEENKPHVKAKKAHTASLVVSPGDTAEFDVVFHSQKVGRMRGIIHLSVINNQYEETSIHMVGEGYEDDITLDNIHGLVAPTSQEDISISEFTEIIEDNDMEDLVAAALVDHIQFGDCHIGHSYNASFTVTNHSQVNLIRFEWPVSATIAFSPQMGHLHPGCAKDIVVTMKSDVPINLKNMRIRCKLSRIMFQLPADQVPDWDDRMHTVKWVDVPRNMPGTFTTKRKVIETDPEPAHSVLEENYQELQLQISANVDFASYHCQARDVRFKETLVYQTRVFEFDVINSGRVQLEFSWVSEDTSKAVSFAKPDHQGSAQKDQLSQGTMHTGSTLDSTMDHWAEGSPQPFSVEPSSGIVPVGKIQKFKVKFSPLDIGDFESNLFCQIPNLPPGEQGPVLVAKGRSTLPICHFDLKDSDYISGHQRNPELRGSSGGALDPNTRVIEFTTVGIGGKNLRTFTILNPTNSTYSFCWISEEIESLQNPAAFTCLTEKGFIHPEKKAEIVFQFTPFHLGITESSWTFLIPEHNITVPFLLVGKTTEPLISLNKSHLNFSSLLIGREARETVQIINKEEQGFDFSFQDNSRYSEGFSNSLLVCPMEGWIPPLSRFPIDIFFTPKQEGDVNFNLICNVEKKVHPVTLNVKAEGYTMNVEIKCKDRTGSITLLTPNQTNIINFYEVELNECVQCEFNFINTGKFTFSFQAQLCGSKTLLQYLEFSPIDSTVDVGQSVHATLSFQPLKKCVLTDLELIIKISHGPTFMCNISGCAVSPAIHFSFTSYNFGTCFIYQAGMPPYKQTLVITNKEETPMSIDCLYTNTTHLEVNSRVDVVKPGNTLEIPITFYPRESINYQELIPFEINGLSQQTVEIKGKGTKMKILVLDPANRIVKLGAVLPGQVVKRTVSIMNNSLAQLTFNQSILFTIPELQEPKVLTLAPFHNITLKPKEVCKLEVIFAPKKRVPPFSEEVFMECMGLLRPLFLLSGCCQALEISLDQEHIPFGPVVYQTQATRRILMMNTGDVGARFKWDIKKFEPHFSISPEEGYITSGMEVSFEVTYHPTEVGKESLCKNILCYIQGGSPLSLTLSGVCVGPPAVKEVVNFTCQVRSKHTQTILLSNRTNQTWNLHPIFEGEHWEGPEFITLEAHQQNKPYEITYRPRTMNLENRKHQGTLFFPLPDGTGWLYALHGTSELPKAVANIYREVPCKTPYTELLPITNWLNKPQRFRVIVEILKPEKPDLSITMKGLDYIDVLSGSKKDYKLNFFSHKEGTYAAKVIFRNEVTNEFLYYNVSFRVIPSGIIKTIEMVTPVRQVASASIKLENPLPYSVTFSTECRMPDIALPSQFVVPANSEGTFSFEFQPLKAGETFGRLTLHNTDLGYYQYELYLKATPALPEKPVHFQTVLGSSQIILVKFINYTRQRTEYYCRTDCTDFHAEKLINAAPGGQGGTEASVEVLFEPSHLGETKGILILSSLAGGEYIIPLFGMALPPKPQGPFSIRAGYSIIIPFKNVFYHMVTFSIIVDNPAFTIRAGESVRPKKINNITVSFEGNPSGSKTPITTKLTVSCPPGEGSETGVKWVYYLKGITL
+>DECOY_sp|Q4G0P3|HYDIN_HUMAN Hydrocephalus-inducing protein homolog OS=Homo sapiens OX=9606 GN=HYDIN PE=1 SV=3
+LTIGKLYYVWKVGTESGEGPPCSVTLKTTIPTKSGSPNGEFSVTINNIKKPRVSEGARITFAPNDVIISFTVMHYFVNKFPIIISYGARISFPGQPKPPLAMGFLPIIYEGGALSSLILIGKTEGLHSPEFLVEVSAETGGQGGPAANILKEAHFDTCDTRCYYETRQRTYNIFKVLIIQSSGLVTQFHVPKEPLAPTAKLYLEYQYYGLDTNHLTLRGFTEGAKLPQFEFSFTGESNAPVVFQSPLAIDPMRCETSFTVSYPLPNELKISASAVQRVPTVMEITKIIGSPIVRFSVNYYLFENTVENRFIVKAAYTGEKHSFFNLKYDKKSGSLVDIYDLGKMTISLDPKEPKLIEVIVRFRQPKNLWNTIPLLETYPTKCPVERYINAVAKPLESTGHLAYLWGTGDPLPFFLTGQHKRNELNMTRPRYTIEYPKNQQHAELTIFEPGEWHEGEFIPHLNWTQNTRNSLLITQTHKSRVQCTFNVVEKVAPPGVCVGSLTLSLPSGGQIYCLINKCLSEKGVETPHYTVEFSVEMGSTIYGEEPSISFHPEFKKIDWKFRAGVDGTNMMLIRRTAQTQYVVPGFPIHEQDLSIELAQCCGSLLFLPRLLGMCEMFVEESFPPVRKKPAFIVELKCVEKPKLTINHFPALTLVKPEQLEPITFLISQNFTLQALSNNMISVTRKVVQGPLVAGLKVIRNAPDLVLIKMKTGKGKIEVTQQSLGNIEFPILEQYNISERPYFTIPIELTNGPKVVDVRSNVELHTTNTYLCDISMPTEEKNTIVLTQKYPPMGAQYIFCTGFNYSTFSFHIAPSVACGSINCMFTPGHSIKIILELDTLVCKKLPQFSLTAHVSQGVDVTSDIPSFELYQLLTKSGCLQAQFSFTFKGTNIFNFECQVCENLEVEYFNIINTQNPTLLTISGTRDKCKIEVNMTYGEAKVNLTVPHVKKEVNCILNFNVDGEQKPTFFIDIPFRSLPPIWGEMPCVLLSNSFGESYRSNDQFSFDFGQEEKNIIQVTERAERGILLSSFNLHSKNLSILPETTKGVLLFPVTINHEPILFTWSSETIGLHFPTFQFVIEAKKEPHIFGKETLCTFAAPNQLSEIEESIWCFSYTSNTPNLITFTRLNKGGIGVTTFEIVRTNPDLAGGSSGRLEPNRQHGSIYDSDKLDFHCIPLTSRGKAVLVPGQEGPPLNPIQCFLNSEFDGIDLPSFKVKFKQIKGVPVIGSSPEVSFPQPSGEAWHDMTSDLTSGTHMTGQSLQDKQASGQHDPKAFSVAKSTDESVWSFELQVRGSNIVDFEFVRTQYVLTEKFRVDRAQCHYSAFDVNASIQLQLEQYNEELVSHAPEPDTEIVKRKTTFTGPMNRPVDVWKVTHMRDDWDPVQDAPLQFMIRSLKCRIRMNKLNIPVDSKMTVVIDKACGPHLHGMQPSFAITASVPWEFRILNVQSHNTVTFSANYSHGIHCDGFQIHDVLAAAVLDEMDNDEIIETFESISIDEQSTPAVLGHINDLTIDDEYGEGVMHISTEEYQNNIVSLHIIGRMRGVKQSHFVVDFEATDGPSVVLSATHAKKAKVHPKNEETIYIYATTPRGKLSFVGLEDQLDVHLQAPLVGNNKLILPLKESHGLLLRKFLLLPNGYQNHLVPRVVTVRPLNGEGAIDFVLGRSKALTSPLGDLTAEFICQYNQMIQPTFSVTAFAHSHSAICMKSPEVEFIDVIRAFPKNSIPRVVINVDCTIKGVNSIKFRAKAQRGVLVNCFIFKNEDEVFLGGSEITQLIHHLNASTCIQHEEFILANNETVFAPLCAEALLTYLIGAPHVAPDRGSIDIAIFEECKGMADAVCDVNIVQQGGSPISGFGPYVTFMGHAFRAQGTTTVEKQITDSFKAARSSGTKYFSESERSRAHRVHSAAKKQHIPSEGTLKYLAFKFDTVGQNEITFTTSKRTGCILAGFNIVSSPTINYKSYVANASFKIPISAIIEGGESINPEIIQCRLVPQHEIKVEKKAHFFVQVNTPKETPTLSGKKPQVSIMSNINPTSIGVSDVSFSFAIEYKGRNKLQLPQKAEETVRVIGFDLGGEAGKPFTIDLAIDYAEAFVMINEIQVVGLLNEADLVELRIAKKINVPKTPQFYLHLGYEAEPPITGQMLSVTFDDGLHELSTIRWAVPLLTVNRLLVVRSEQRHLLLRDFHLQRPELELEPRIGQCSLQFIAPEPNDNICCVISDEFVGVSTPYAWVNLIQKENPKLVMTNPELFYTNAKVDNQFYFSAEVVMLSTNLITLTEMNGPFLSSKYKDRSKGCLLPGFYYTETSMVYKKFIVENTKMDMKRQPFVVKPDQCIYPYTCIGRCYLQYQCCTGLIEFNFTQDFNGFEDSSFHVQLTVEGNAPVIWRFHNLRTFKEQSHQGNFNDLDTDSLQSITGAPEGSLVKRNLAMHRKDKQIEFVQDIKEKMKQKGGKSSSTQEEQAKTTNTTALFDSEIEMEKKEDLSIDESPPIVFVFHELDDTMTLPPRKVPYSVISFLAPPPIPPGSAGLGLIDLIQEGKPLKDSELAQKWSLGEFDPTQIDLFPVGLDKEKKGEHDEEGEGEWDSREELARLKELREREAKERELREKETREKELRERERDKRGRRGGLPVQRQDDPEHPAEEMGAPPLQVGQKRDWYMLINQVDKLTLEYTKFKPALQKDPDKSNDGESDEQDQVLPLGHMSVDGMNRKKKNLEEKESMTQERVSIKREITEMKVDVKTLPPVQKNAAQSKKMPPEEKIPGQKGKKVRRKLEDEEKQRELEQQLKKEQMEKALREQERKKRERLAQQIGRDFTLKEEETLADYEEEDMNQLREKEKELAGKHKREEQEKKAKEQAKMAAYDQAMNLIYIHERSGIAKLLCLLAAAANQAFLTDLGDFVVGRYCDSLQIREALIQVLLEDPLVCSMLGTEGGVSPSVSLWRHIPGPPLPSSSIQPTESQHSHHQKQSGSGHSDAKSKTIVVSGRVSKGPKIEPAVLTMESALKGLTDTSLRGQGIVNQGVAAEQAAEEGEKVSQEIAARICLERARIGPINNSNAVAELVISDISLCAANYYKAVSVANASKGSLPTGHIIIAIGKRNKALRGEASIDIGLHRAIAKSVPNNEVEGVEEMSHSDISQLKDTQFIIKELSEEDEEEELYTKSEVLLAHWEELNSTVSIGRSLSTRKTSNSTGQDSSTIDEEENEQALNELYKARMQEEKSKKIEKFYEYLEPPLKEGPNRPPLLLTNYSDYGKLKRLIKEEILYQQDFELSYFEIPFNCPNKVIVEAEDGPACLLLPGLDLVSPSFELRPELGQGRALLTLKQASQAIQFVLTQSYFKEEKPMFKVQVNSKEGPDLVGSIPQIEFIRTKPKLEARLKQRLYKPMHKELKDVPKQSQVFWECPVQLHNSLQITEVLCQGCQITAFDVKGRSLTMTPITVKAQLCIHVTPGGVVKIPLIVEKSGVPLNAGQPDFRVEFIETECHPLNKVRDLETSFGTEHLVRKDAHFSVPFHSTNIIKIIHTRVEGLIIYGFDLIYEPLQIKALKRRSRHSFCPDDMPDPTTTKQHELVYSQVILREVEMQLHASVEAPEDEPVEETIIDFHDLMECKNYEKDTNKRAQNLFMEYKENATLNRPLDLCIQPFIGEGSLTINEPDLHAIQIQFSRKFVEPVGPLYYVKLVQEQHSSIFGSVPLILPVGPLLNDPSSQHDTLVKFEFGVKGMNTLTIERETVHDFLQLGYHIDKTDFSYNVLSAEGKLTIEYTPGEEVECLAKAQAIINAHGYFTFSIQHSSHPQLVGFLPLIDFVEEIGISLMENQECTQLSEQDEVQSQSSYIREIETSSIKIEDSIVSSAKTKRLEKEEPRADEDVLVTKVFDNLDMEPSEVTVLIAPPSAAESTAPISEMQSVPASYPKKPLTVFRIQNEEDNVLFFWRFKVVLPSCNTITVYRILETDNLICGFDLEKTEFSLNPYHVEGRLDLSDIQPHEVYKIALIEEAVWNNLDNRYSPDFKILLNKEEDIELKIPEPTAPLLSKDTECIFFPGSTSLLLNVPLTSINKIALPQYDPKLISNPKKELRYILQKTSLQILPAVFECTINVAMVLSKGKQAGIIAHCVLKEKVIRPTASYGELIVDIAQGPYLEMSAPHLHFVPSQPDRPEQSGSPQPQVHAHGKKVRGKKSLKAQPRFSDNMWFLQQIRRGKNILKFHYYHTDLSFHAGLNLEPAFPKDSVITSGTGSAQVPIRYTSSNEIDLIVCDKFTLTDNLNATLALQVDTEPPVMGENPEITWLSKKHAMHAQFFAPIFSQNCLNLIRSSDKLVYINGFDVQNPHVYIVPGEGASKLHCVLPPDQSGFISIYVTSRHEGTVQTELVLPIHITSSPSIVGSPTPSSFLVTPVEECVQPQVEYFGPLDDQNALQLTKEYPYKLFCHGFDVETNVLHLAPVVCRATILLALVEEGIGEVDVVLALEYKQVTNSCLTVRIAAFGQPRITGCDPSITFEKPKMSSIEEKTWSPRKYDVHQECYSISKHGLGDGPIRLKYTMPILSTNNLSCILTHPFGFSVDGFHLAPVNFHFTPGIVCGRITLKVPEPSGNVNVLFEEEFNGLITSSFSIQIAQVGSPEIIGEKPSFVFCAGLASTPPTMNFLADISGKNYLIAEYCHASGTFVKGIDLLEFNFHIKPGMGEGKIRLPLRIERGLIDCYITQQYLKAELPNFYVTIEASSNPWVDGELPEVTFVNNFFLKSDGQVLRRQNAFTRSLVSLHERLLPDTICEEFFEDTEDKEEKILDDCARYKERDEEQQTAFVKWLFHAIINSRNHITITRQNALSIYTKEITLSNKDLRINMDIAAGYLSVFVKEGTDYCVILRGSHDGVSQPEFEVELQMSEGVNLTGIAPEISFPRCTKIHFVANKNGINRVLLIKQTSYKVPCTSFNLKDPFDLIARAGRAKIPVIFKERETVCTLTHAYDKNEEPTFLIRFISPVGPAVKHGIDKPSIVKFYPSSEEVVKVLRPIKDNNRLILPVEYVECPTYNQFIIESPFPQFLAQDLDIGSFKQHTTEGMDLLEIIQPRCMLRTKALRQETTLSMEKLFESPTLMRNVEEETVVKPSLPPLVKSQFGKFMNVLGMQVAGMSEELRRSTM
+>sp|P07099|HYEP_HUMAN Epoxide hydrolase 1 OS=Homo sapiens OX=9606 GN=EPHX1 PE=1 SV=1
+MWLEILLTSVLGFAIYWFISRDKEETLPLEDGWWGPGTRSAAREDDSIRPFKVETSDEEIHDLHQRIDKFRFTPPLEDSCFHYGFNSNYLKKVISYWRNEFDWKKQVEILNRYPHFKTKIEGLDIHFIHVKPPQLPAGHTPKPLLMVHGWPGSFYEFYKIIPLLTDPKNHGLSDEHVFEVICPSIPGYGFSEASSKKGFNSVATARIFYKLMLRLGFQEFYIQGGDWGSLICTNMAQLVPSHVKGLHLNMALVLSNFSTLTLLLGQRFGRFLGLTERDVELLYPVKEKVFYSLMRESGYMHIQCTKPDTVGSALNDSPVGLAAYILEKFSTWTNTEFRYLEDGGLERKFSLDDLLTNVMLYWTTGTIISSQRFYKENLGQGWMTQKHERMKVYVPTGFSAFPFELLHTPEKWVRFKYPKLISYSYMVRGGHFAAFEEPELLAQDIRKFLSVLERQ
+>DECOY_sp|P07099|HYEP_HUMAN Epoxide hydrolase 1 OS=Homo sapiens OX=9606 GN=EPHX1 PE=1 SV=1
+QRELVSLFKRIDQALLEPEEFAAFHGGRVMYSYSILKPYKFRVWKEPTHLLEFPFASFGTPVYVKMREHKQTMWGQGLNEKYFRQSSIITGTTWYLMVNTLLDDLSFKRELGGDELYRFETNTWTSFKELIYAALGVPSDNLASGVTDPKTCQIHMYGSERMLSYFVKEKVPYLLEVDRETLGLFRGFRQGLLLTLTSFNSLVLAMNLHLGKVHSPVLQAMNTCILSGWDGGQIYFEQFGLRLMLKYFIRATAVSNFGKKSSAESFGYGPISPCIVEFVHEDSLGHNKPDTLLPIIKYFEYFSGPWGHVMLLPKPTHGAPLQPPKVHIFHIDLGEIKTKFHPYRNLIEVQKKWDFENRWYSIVKKLYNSNFGYHFCSDELPPTFRFKDIRQHLDHIEEDSTEVKFPRISDDERAASRTGPGWWGDELPLTEEKDRSIFWYIAFGLVSTLLIELWM
+>sp|P34913|HYES_HUMAN Bifunctional epoxide hydrolase 2 OS=Homo sapiens OX=9606 GN=EPHX2 PE=1 SV=2
+MTLRAAVFDLDGVLALPAVFGVLGRTEEALALPRGLLNDAFQKGGPEGATTRLMKGEITLSQWIPLMEENCRKCSETAKVCLPKNFSIKEIFDKAISARKINRPMLQAALMLRKKGFTTAILTNTWLDDRAERDGLAQLMCELKMHFDFLIESCQVGMVKPEPQIYKFLLDTLKASPSEVVFLDDIGANLKPARDLGMVTILVQDTDTALKELEKVTGIQLLNTPAPLPTSCNPSDMSHGYVTVKPRVRLHFVELGSGPAVCLCHGFPESWYSWRYQIPALAQAGYRVLAMDMKGYGESSAPPEIEEYCMEVLCKEMVTFLDKLGLSQAVFIGHDWGGMLVWYMALFYPERVRAVASLNTPFIPANPNMSPLESIKANPVFDYQLYFQEPGVAEAELEQNLSRTFKSLFRASDESVLSMHKVCEAGGLFVNSPEEPSLSRMVTEEEIQFYVQQFKKSGFRGPLNWYRNMERNWKWACKSLGRKILIPALMVTAEKDFVLVPQMSQHMEDWIPHLKRGHIEDCGHWTQMDKPTEVNQILIKWLDSDARNPPVVSKM
+>DECOY_sp|P34913|HYES_HUMAN Bifunctional epoxide hydrolase 2 OS=Homo sapiens OX=9606 GN=EPHX2 PE=1 SV=2
+MKSVVPPNRADSDLWKILIQNVETPKDMQTWHGCDEIHGRKLHPIWDEMHQSMQPVLVFDKEATVMLAPILIKRGLSKCAWKWNREMNRYWNLPGRFGSKKFQQVYFQIEEETVMRSLSPEEPSNVFLGGAECVKHMSLVSEDSARFLSKFTRSLNQELEAEAVGPEQFYLQYDFVPNAKISELPSMNPNAPIFPTNLSAVARVREPYFLAMYWVLMGGWDHGIFVAQSLGLKDLFTVMEKCLVEMCYEEIEPPASSEGYGKMDMALVRYGAQALAPIQYRWSYWSEPFGHCLCVAPGSGLEVFHLRVRPKVTVYGHSMDSPNCSTPLPAPTNLLQIGTVKELEKLATDTDQVLITVMGLDRAPKLNAGIDDLFVVESPSAKLTDLLFKYIQPEPKVMGVQCSEILFDFHMKLECMLQALGDREARDDLWTNTLIATTFGKKRLMLAAQLMPRNIKRASIAKDFIEKISFNKPLCVKATESCKRCNEEMLPIWQSLTIEGKMLRTTAGEPGGKQFADNLLGRPLALAEETRGLVGFVAPLALVGDLDFVAARLTM
+>sp|A2RU49|HYKK_HUMAN Hydroxylysine kinase OS=Homo sapiens OX=9606 GN=HYKK PE=1 SV=2
+MSSGNYQQSEALSKPTFSEEQASALVESVFGLKVSKVRPLPSYDDQNFHVYVSKTKDGPTEYVLKISNTKASKNPDLIEVQNHIIMFLKAAGFPTASVCHTKGDNTASLVSVDSGSEIKSYLVRLLTYLPGRPIAELPVSPQLLYEIGKLAAKLDKTLQRFHHPKLSSLHRENFIWNLKNVPLLEKYLYALGQNRNREIVEHVIHLFKEEVMTKLSHFRECINHGDLNDHNILIESSKSASGNAEYQVSGILDFGDMSYGYYVFEVAITIMYMMIESKSPIQVGGHVLAGFESITPLTAVEKGALFLLVCSRFCQSLVMAAYSCQLYPENKDYLMVTAKTGWKHLQQMFDMGQKAVEEIWFETAKSYESGISM
+>DECOY_sp|A2RU49|HYKK_HUMAN Hydroxylysine kinase OS=Homo sapiens OX=9606 GN=HYKK PE=1 SV=2
+MSIGSEYSKATEFWIEEVAKQGMDFMQQLHKWGTKATVMLYDKNEPYLQCSYAAMVLSQCFRSCVLLFLAGKEVATLPTISEFGALVHGGVQIPSKSEIMMYMITIAVEFVYYGYSMDGFDLIGSVQYEANGSASKSSEILINHDNLDGHNICERFHSLKTMVEEKFLHIVHEVIERNRNQGLAYLYKELLPVNKLNWIFNERHLSSLKPHHFRQLTKDLKAALKGIEYLLQPSVPLEAIPRGPLYTLLRVLYSKIESGSDVSVLSATNDGKTHCVSATPFGAAKLFMIIHNQVEILDPNKSAKTNSIKLVYETPGDKTKSVYVHFNQDDYSPLPRVKSVKLGFVSEVLASAQEESFTPKSLAESQQYNGSSM
+>sp|Q08334|I10R2_HUMAN Interleukin-10 receptor subunit beta OS=Homo sapiens OX=9606 GN=IL10RB PE=1 SV=2
+MAWSLGSWLGGCLLVSALGMVPPPENVRMNSVNFKNILQWESPAFAKGNLTFTAQYLSYRIFQDKCMNTTLTECDFSSLSKYGDHTLRVRAEFADEHSDWVNITFCPVDDTIIGPPGMQVEVLADSLHMRFLAPKIENEYETWTMKNVYNSWTYNVQYWKNGTDEKFQITPQYDFEVLRNLEPWTTYCVQVRGFLPDRNKAGEWSEPVCEQTTHDETVPSWMVAVILMASVFMVCLALLGCFALLWCVYKKTKYAFSPRNSLPQHLKEFLGHPHHNTLLFFSFPLSDENDVFDKLSVIAEDSESGKQNPGDSCSLGTPPGQGPQS
+>DECOY_sp|Q08334|I10R2_HUMAN Interleukin-10 receptor subunit beta OS=Homo sapiens OX=9606 GN=IL10RB PE=1 SV=2
+SQPGQGPPTGLSCSDGPNQKGSESDEAIVSLKDFVDNEDSLPFSFFLLTNHHPHGLFEKLHQPLSNRPSFAYKTKKYVCWLLAFCGLLALCVMFVSAMLIVAVMWSPVTEDHTTQECVPESWEGAKNRDPLFGRVQVCYTTWPELNRLVEFDYQPTIQFKEDTGNKWYQVNYTWSNYVNKMTWTEYENEIKPALFRMHLSDALVEVQMGPPGIITDDVPCFTINVWDSHEDAFEARVRLTHDGYKSLSSFDCETLTTNMCKDQFIRYSLYQATFTLNGKAFAPSEWQLINKFNVSNMRVNEPPPVMGLASVLLCGGLWSGLSWAM
+>sp|P42701|I12R1_HUMAN Interleukin-12 receptor subunit beta-1 OS=Homo sapiens OX=9606 GN=IL12RB1 PE=1 SV=1
+MEPLVTWVVPLLFLFLLSRQGAACRTSECCFQDPPYPDADSGSASGPRDLRCYRISSDRYECSWQYEGPTAGVSHFLRCCLSSGRCCYFAAGSATRLQFSDQAGVSVLYTVTLWVESWARNQTEKSPEVTLQLYNSVKYEPPLGDIKVSKLAGQLRMEWETPDNQVGAEVQFRHRTPSSPWKLGDCGPQDDDTESCLCPLEMNVAQEFQLRRRQLGSQGSSWSKWSSPVCVPPENPPQPQVRFSVEQLGQDGRRRLTLKEQPTQLELPEGCQGLAPGTEVTYRLQLHMLSCPCKAKATRTLHLGKMPYLSGAAYNVAVISSNQFGPGLNQTWHIPADTHTEPVALNISVGTNGTTMYWPARAQSMTYCIEWQPVGQDGGLATCSLTAPQDPDPAGMATYSWSRESGAMGQEKCYYITIFASAHPEKLTLWSTVLSTYHFGGNASAAGTPHHVSVKNHSLDSVSVDWAPSLLSTCPGVLKEYVVRCRDEDSKQVSEHPVQPTETQVTLSGLRAGVAYTVQVRADTAWLRGVWSQPQRFSIEVQVSDWLIFFASLGSFLSILLVGVLGYLGLNRAARHLCPPLPTPCASSAIEFPGGKETWQWINPVDFQEEASLQEALVVEMSWDKGERTEPLEKTELPEGAPELALDTELSLEDGDRCKAKM
+>DECOY_sp|P42701|I12R1_HUMAN Interleukin-12 receptor subunit beta-1 OS=Homo sapiens OX=9606 GN=IL12RB1 PE=1 SV=1
+MKAKCRDGDELSLETDLALEPAGEPLETKELPETREGKDWSMEVVLAEQLSAEEQFDVPNIWQWTEKGGPFEIASSACPTPLPPCLHRAARNLGLYGLVGVLLISLFSGLSAFFILWDSVQVEISFRQPQSWVGRLWATDARVQVTYAVGARLGSLTVQTETPQVPHESVQKSDEDRCRVVYEKLVGPCTSLLSPAWDVSVSDLSHNKVSVHHPTGAASANGGFHYTSLVTSWLTLKEPHASAFITIYYCKEQGMAGSERSWSYTAMGAPDPDQPATLSCTALGGDQGVPQWEICYTMSQARAPWYMTTGNTGVSINLAVPETHTDAPIHWTQNLGPGFQNSSIVAVNYAAGSLYPMKGLHLTRTAKAKCPCSLMHLQLRYTVETGPALGQCGEPLELQTPQEKLTLRRRGDQGLQEVSFRVQPQPPNEPPVCVPSSWKSWSSGQSGLQRRRLQFEQAVNMELPCLCSETDDDQPGCDGLKWPSSPTRHRFQVEAGVQNDPTEWEMRLQGALKSVKIDGLPPEYKVSNYLQLTVEPSKETQNRAWSEVWLTVTYLVSVGAQDSFQLRTASGAAFYCCRGSSLCCRLFHSVGATPGEYQWSCEYRDSSIRYCRLDRPGSASGSDADPYPPDQFCCESTRCAAGQRSLLFLFLLPVVWTVLPEM
+>sp|Q6ZVW7|I17EL_HUMAN Putative interleukin-17 receptor E-like OS=Homo sapiens OX=9606 GN=IL17REL PE=2 SV=2
+MSRSVLEALTSSTAMQCVPSDGCAMLLRVRASITLHERLRGLEACAMSLDTQETQCQSVWVARASHRQQGGQQLQVHFGCFAVSVAQHLYVTLRTIPHFCGVQLDQRHLVEAGKLSYWVDRRRKAILVQVPRASGSPDYYLRLCLKRFTCEDAGAPVRVTANSVSQAVFLPYSQELPCLCLEGWSATPDAVRIQICPFENDTEALEVLWDTVYYHPESQTLSWEPACPVSGHVSLCWRPGPGAGCRKLQQSSQLVHRRVQYPLVDTQPQLCLKFSTSWGSWVRCPFEQRRFPTPPTSRCTCVTGGSHSSLPANAHSRPARSLQPQVTWQPPLLLPS
+>DECOY_sp|Q6ZVW7|I17EL_HUMAN Putative interleukin-17 receptor E-like OS=Homo sapiens OX=9606 GN=IL17REL PE=2 SV=2
+SPLLLPPQWTVQPQLSRAPRSHANAPLSSHSGGTVCTCRSTPPTPFRRQEFPCRVWSGWSTSFKLCLQPQTDVLPYQVRRHVLQSSQQLKRCGAGPGPRWCLSVHGSVPCAPEWSLTQSEPHYYVTDWLVELAETDNEFPCIQIRVADPTASWGELCLCPLEQSYPLFVAQSVSNATVRVPAGADECTFRKLCLRLYYDPSGSARPVQVLIAKRRRDVWYSLKGAEVLHRQDLQVGCFHPITRLTVYLHQAVSVAFCGFHVQLQQGGQQRHSARAVWVSQCQTEQTDLSMACAELGRLREHLTISARVRLLMACGDSPVCQMATSSTLAELVSRSM
+>sp|O95998|I18BP_HUMAN Interleukin-18-binding protein OS=Homo sapiens OX=9606 GN=IL18BP PE=1 SV=2
+MTMRHNWTPDLSPLWVLLLCAHVVTLLVRATPVSQTTTAATASVRSTKDPCPSQPPVFPAAKQCPALEVTWPEVEVPLNGTLSLSCVACSRFPNFSILYWLGNGSFIEHLPGRLWEGSTSRERGSTGTQLCKALVLEQLTPALHSTNFSCVLVDPEQVVQRHVVLAQLWAGLRATLPPTQEALPSSHSSPQQQG
+>DECOY_sp|O95998|I18BP_HUMAN Interleukin-18-binding protein OS=Homo sapiens OX=9606 GN=IL18BP PE=1 SV=2
+GQQQPSSHSSPLAEQTPPLTARLGAWLQALVVHRQVVQEPDVLVCSFNTSHLAPTLQELVLAKCLQTGTSGRERSTSGEWLRGPLHEIFSGNGLWYLISFNPFRSCAVCSLSLTGNLPVEVEPWTVELAPCQKAAPFVPPQSPCPDKTSRVSATAATTTQSVPTARVLLTVVHACLLLVWLPSLDPTWNHRMTM
+>sp|O95256|I18RA_HUMAN Interleukin-18 receptor accessory protein OS=Homo sapiens OX=9606 GN=IL18RAP PE=1 SV=1
+MLCLGWIFLWLVAGERIKGFNISGCSTKKLLWTYSTRSEEEFVLFCDLPEPQKSHFCHRNRLSPKQVPEHLPFMGSNDLSDVQWYQQPSNGDPLEDIRKSYPHIIQDKCTLHFLTPGVNNSGSYICRPKMIKSPYDVACCVKMILEVKPQTNASCEYSASHKQDLLLGSTGSISCPSLSCQSDAQSPAVTWYKNGKLLSVERSNRIVVDEVYDYHQGTYVCDYTQSDTVSSWTVRAVVQVRTIVGDTKLKPDILDPVEDTLEVELGKPLTISCKARFGFERVFNPVIKWYIKDSDLEWEVSVPEAKSIKSTLKDEIIERNIILEKVTQRDLRRKFVCFVQNSIGNTTQSVQLKEKRGVVLLYILLGTIGTLVAVLAASALLYRHWIEIVLLYRTYQSKDQTLGDKKDFDAFVSYAKWSSFPSEATSSLSEEHLALSLFPDVLENKYGYSLCLLERDVAPGGVYAEDIVSIIKRSRRGIFILSPNYVNGPSIFELQAAVNLALDDQTLKLILIKFCYFQEPESLPHLVKKALRVLPTVTWRGLKSVPPNSRFWAKMRYHMPVKNSQGFTWNQLRITSRIFQWKGLSRTETTGRSSQPKEW
+>DECOY_sp|O95256|I18RA_HUMAN Interleukin-18 receptor accessory protein OS=Homo sapiens OX=9606 GN=IL18RAP PE=1 SV=1
+WEKPQSSRGTTETRSLGKWQFIRSTIRLQNWTFGQSNKVPMHYRMKAWFRSNPPVSKLGRWTVTPLVRLAKKVLHPLSEPEQFYCFKILILKLTQDDLALNVAAQLEFISPGNVYNPSLIFIGRRSRKIISVIDEAYVGGPAVDRELLCLSYGYKNELVDPFLSLALHEESLSSTAESPFSSWKAYSVFADFDKKDGLTQDKSQYTRYLLVIEIWHRYLLASAALVAVLTGITGLLIYLLVVGRKEKLQVSQTTNGISNQVFCVFKRRLDRQTVKELIINREIIEDKLTSKISKAEPVSVEWELDSDKIYWKIVPNFVREFGFRAKCSITLPKGLEVELTDEVPDLIDPKLKTDGVITRVQVVARVTWSSVTDSQTYDCVYTGQHYDYVEDVVIRNSREVSLLKGNKYWTVAPSQADSQCSLSPCSISGTSGLLLDQKHSASYECSANTQPKVELIMKVCCAVDYPSKIMKPRCIYSGSNNVGPTLFHLTCKDQIIHPYSKRIDELPDGNSPQQYWQVDSLDNSGMFPLHEPVQKPSLRNRHCFHSKQPEPLDCFLVFEEESRTSYTWLLKKTSCGSINFGKIREGAVLWLFIWGLCLM
+>sp|Q8N6P7|I22R1_HUMAN Interleukin-22 receptor subunit alpha-1 OS=Homo sapiens OX=9606 GN=IL22RA1 PE=1 SV=1
+MRTLLTILTVGSLAAHAPEDPSDLLQHVKFQSSNFENILTWDSGPEGTPDTVYSIEYKTYGERDWVAKKGCQRITRKSCNLTVETGNLTELYYARVTAVSAGGRSATKMTDRFSSLQHTTLKPPDVTCISKVRSIQMIVHPTPTPIRAGDGHRLTLEDIFHDLFYHLELQVNRTYQMHLGGKQREYEFFGLTPDTEFLGTIMICVPTWAKESAPYMCRVKTLPDRTWTYSFSGAFLFSMGFLVAVLCYLSYRYVTKPPAPPNSLNVQRVLTFQPLRFIQEHVLIPVFDLSGPSSLAQPVQYSQIRVSGPREPAGAPQRHSLSEITYLGQPDISILQPSNVPPPQILSPLSYAPNAAPEVGPPSYAPQVTPEAQFPFYAPQAISKVQPSSYAPQATPDSWPPSYGVCMEGSGKDSPTGTLSSPKHLRPKGQLQKEPPAGSCMLGGLSLQEVTSLAMEESQEAKSLHQPLGICTDRTSDPNVLHSGEEGTPQYLKGQLPLLSSVQIEGHPMSLPLQPPSRPCSPSDQGPSPWGLLESLVCPKDEAKSPAPETSDLEQPTELDSLFRGLALTVQWES
+>DECOY_sp|Q8N6P7|I22R1_HUMAN Interleukin-22 receptor subunit alpha-1 OS=Homo sapiens OX=9606 GN=IL22RA1 PE=1 SV=1
+SEWQVTLALGRFLSDLETPQELDSTEPAPSKAEDKPCVLSELLGWPSPGQDSPSCPRSPPQLPLSMPHGEIQVSSLLPLQGKLYQPTGEEGSHLVNPDSTRDTCIGLPQHLSKAEQSEEMALSTVEQLSLGGLMCSGAPPEKQLQGKPRLHKPSSLTGTPSDKGSGEMCVGYSPPWSDPTAQPAYSSPQVKSIAQPAYFPFQAEPTVQPAYSPPGVEPAANPAYSLPSLIQPPPVNSPQLISIDPQGLYTIESLSHRQPAGAPERPGSVRIQSYQVPQALSSPGSLDFVPILVHEQIFRLPQFTLVRQVNLSNPPAPPKTVYRYSLYCLVAVLFGMSFLFAGSFSYTWTRDPLTKVRCMYPASEKAWTPVCIMITGLFETDPTLGFFEYERQKGGLHMQYTRNVQLELHYFLDHFIDELTLRHGDGARIPTPTPHVIMQISRVKSICTVDPPKLTTHQLSSFRDTMKTASRGGASVATVRAYYLETLNGTEVTLNCSKRTIRQCGKKAVWDREGYTKYEISYVTDPTGEPGSDWTLINEFNSSQFKVHQLLDSPDEPAHAALSGVTLITLLTRM
+>sp|P14902|I23O1_HUMAN Indoleamine 2,3-dioxygenase 1 OS=Homo sapiens OX=9606 GN=IDO1 PE=1 SV=1
+MAHAMENSWTISKEYHIDEEVGFALPNPQENLPDFYNDWMFIAKHLPDLIESGQLRERVEKLNMLSIDHLTDHKSQRLARLVLGCITMAYVWGKGHGDVRKVLPRNIAVPYCQLSKKLELPPILVYADCVLANWKKKDPNKPLTYENMDVLFSFRDGDCSKGFFLVSLLVEIAAASAIKVIPTVFKAMQMQERDTLLKALLEIASCLEKALQVFHQIHDHVNPKAFFSVLRIYLSGWKGNPQLSDGLVYEGFWEDPKEFAGGSAGQSSVFQCFDVLLGIQQTAGGGHAAQFLQDMRRYMPPAHRNFLCSLESNPSVREFVLSKGDAGLREAYDACVKALVSLRSYHLQIVTKYILIPASQQPKENKTSEDPSKLEAKGTGGTDLMNFLKTVRSTTEKSLLKEG
+>DECOY_sp|P14902|I23O1_HUMAN Indoleamine 2,3-dioxygenase 1 OS=Homo sapiens OX=9606 GN=IDO1 PE=1 SV=1
+GEKLLSKETTSRVTKLFNMLDTGGTGKAELKSPDESTKNEKPQQSAPILIYKTVIQLHYSRLSVLAKVCADYAERLGADGKSLVFERVSPNSELSCLFNRHAPPMYRRMDQLFQAAHGGGATQQIGLLVDFCQFVSSQGASGGAFEKPDEWFGEYVLGDSLQPNGKWGSLYIRLVSFFAKPNVHDHIQHFVQLAKELCSAIELLAKLLTDREQMQMAKFVTPIVKIASAAAIEVLLSVLFFGKSCDGDRFSFLVDMNEYTLPKNPDKKKWNALVCDAYVLIPPLELKKSLQCYPVAINRPLVKRVDGHGKGWVYAMTICGLVLRALRQSKHDTLHDISLMNLKEVRERLQGSEILDPLHKAIFMWDNYFDPLNEQPNPLAFGVEEDIHYEKSITWSNEMAHAM
+>sp|Q2TAA2|IAH1_HUMAN Isoamyl acetate-hydrolyzing esterase 1 homolog OS=Homo sapiens OX=9606 GN=IAH1 PE=1 SV=1
+MALCEAAGCGSALLWPRLLLFGDSITQFSFQQGGWGASLADRLVRKCDVLNRGFSGYNTRWAKIILPRLIRKGNSLDIPVAVTIFFGANDSALKDENPKQHIPLEEYAANLKSMVQYLKSVDIPENRVILITPTPLCETAWEEQCIIQGCKLNRLNSVVGEYANACLQVAQDCGTDVLDLWTLMQDSQDFSSYLSDGLHLSPKGNEFLFSHLWPLIEKKVSSLPLLLPYWRDVAEAKPELSLLGDGDH
+>DECOY_sp|Q2TAA2|IAH1_HUMAN Isoamyl acetate-hydrolyzing esterase 1 homolog OS=Homo sapiens OX=9606 GN=IAH1 PE=1 SV=1
+HDGDGLLSLEPKAEAVDRWYPLLLPLSSVKKEILPWLHSFLFENGKPSLHLGDSLYSSFDQSDQMLTWLDLVDTGCDQAVQLCANAYEGVVSNLRNLKCGQIICQEEWATECLPTPTILIVRNEPIDVSKLYQVMSKLNAAYEELPIHQKPNEDKLASDNAGFFITVAVPIDLSNGKRILRPLIIKAWRTNYGSFGRNLVDCKRVLRDALSAGWGGQQFSFQTISDGFLLLRPWLLASGCGAAECLAM
+>sp|Q8WUF5|IASPP_HUMAN RelA-associated inhibitor OS=Homo sapiens OX=9606 GN=PPP1R13L PE=1 SV=4
+MDSEAFQSARDFLDMNFQSLAMKHMDLKQMELDTAAAKVDELTKQLESLWSDSPAPPGPQAGPPSRPPRYSSSSIPEPFGSRGSPRKAATDGADTPFGRSESAPTLHPYSPLSPKGRPSSPRTPLYLQPDAYGSLDRATSPRPRAFDGAGSSLGRAPSPRPGPGPLRQQGPPTPFDFLGRAGSPRGSPLAEGPQAFFPERGPSPRPPATAYDAPASAFGSSLLGSGGSAFAPPLRAQDDLTLRRRPPKAWNESDLDVAYEKKPSQTASYERLDVFARPASPSLQLLPWRESSLDGLGGTGKDNLTSATLPRNYKVSPLASDRRSDAGSYRRSLGSAGPSGTLPRSWQPVSRIPMPPSSPQPRGAPRQRPIPLSMIFKLQNAFWEHGASRAMLPGSPLFTRAPPPKLQPQPQPQPQPQSQPQPQLPPQPQTQPQTPTPAPQHPQQTWPPVNEGPPKPPTELEPEPEIEGLLTPVLEAGDVDEGPVARPLSPTRLQPALPPEAQSVPELEEVARVLAEIPRPLKRRGSMEQAPAVALPPTHKKQYQQIISRLFHRHGGPGPGGPEPELSPITEGSEARAGPPAPAPPAPIPPPAPSQSSPPEQPQSMEMRSVLRKAGSPRKARRARLNPLVLLLDAALTGELEVVQQAVKEMNDPSQPNEEGITALHNAICGANYSIVDFLITAGANVNSPDSHGWTPLHCAASCNDTVICMALVQHGAAIFATTLSDGATAFEKCDPYREGYADCATYLADVEQSMGLMNSGAVYALWDYSAEFGDELSFREGESVTVLRRDGPEETDWWWAALHGQEGYVPRNYFGLFPRVKPQRSKV
+>DECOY_sp|Q8WUF5|IASPP_HUMAN RelA-associated inhibitor OS=Homo sapiens OX=9606 GN=PPP1R13L PE=1 SV=4
+VKSRQPKVRPFLGFYNRPVYGEQGHLAAWWWDTEEPGDRRLVTVSEGERFSLEDGFEASYDWLAYVAGSNMLGMSQEVDALYTACDAYGERYPDCKEFATAGDSLTTAFIAAGHQVLAMCIVTDNCSAACHLPTWGHSDPSNVNAGATILFDVISYNAGCIANHLATIGEENPQSPDNMEKVAQQVVELEGTLAADLLLVLPNLRARRAKRPSGAKRLVSRMEMSQPQEPPSSQSPAPPPIPAPPAPAPPGARAESGETIPSLEPEPGGPGPGGHRHFLRSIIQQYQKKHTPPLAVAPAQEMSGRRKLPRPIEALVRAVEELEPVSQAEPPLAPQLRTPSLPRAVPGEDVDGAELVPTLLGEIEPEPELETPPKPPGENVPPWTQQPHQPAPTPTQPQTQPQPPLQPQPQSQPQPQPQPQPQLKPPPARTFLPSGPLMARSAGHEWFANQLKFIMSLPIPRQRPAGRPQPSSPPMPIRSVPQWSRPLTGSPGASGLSRRYSGADSRRDSALPSVKYNRPLTASTLNDKGTGGLGDLSSERWPLLQLSPSAPRAFVDLREYSATQSPKKEYAVDLDSENWAKPPRRRLTLDDQARLPPAFASGGSGLLSSGFASAPADYATAPPRPSPGREPFFAQPGEALPSGRPSGARGLFDFPTPPGQQRLPGPGPRPSPARGLSSGAGDFARPRPSTARDLSGYADPQLYLPTRPSSPRGKPSLPSYPHLTPASESRGFPTDAGDTAAKRPSGRSGFPEPISSSSYRPPRSPPGAQPGPPAPSDSWLSELQKTLEDVKAAATDLEMQKLDMHKMALSQFNMDLFDRASQFAESDM
+>sp|P24592|IBP6_HUMAN Insulin-like growth factor-binding protein 6 OS=Homo sapiens OX=9606 GN=IGFBP6 PE=1 SV=1
+MTPHRLLPPLLLLLALLLAASPGGALARCPGCGQGVQAGCPGGCVEEEDGGSPAEGCAEAEGCLRREGQECGVYTPNCAPGLQCHPPKDDEAPLRALLLGRGRCLPARAPAVAEENPKESKPQAGTARPQDVNRRDQQRNPGTSTTPSQPNSAGVQDTEMGPCRRHLDSVLQQLQTEVYRGAQTLYVPNCDHRGFYRKRQCRSSQGQRRGPCWCVDRMGKSLPGSPDGNGSSSCPTGSSG
+>DECOY_sp|P24592|IBP6_HUMAN Insulin-like growth factor-binding protein 6 OS=Homo sapiens OX=9606 GN=IGFBP6 PE=1 SV=1
+GSSGTPCSSSGNGDPSGPLSKGMRDVCWCPGRRQGQSSRCQRKRYFGRHDCNPVYLTQAGRYVETQLQQLVSDLHRRCPGMETDQVGASNPQSPTTSTGPNRQQDRRNVDQPRATGAQPKSEKPNEEAVAPARAPLCRGRGLLLARLPAEDDKPPHCQLGPACNPTYVGCEQGERRLCGEAEACGEAPSGGDEEEVCGGPCGAQVGQGCGPCRALAGGPSAALLLALLLLLPPLLRHPTM
+>sp|Q8NDH6|ICA1L_HUMAN Islet cell autoantigen 1-like protein OS=Homo sapiens OX=9606 GN=ICA1L PE=1 SV=1
+MDSFGQPRPEDNQSVVRRMQKKYWKTKQVFIKATGKKEDEHLVASDAELDAKLEVFHSVQETCTELLKIIEKYQLRLNVISEEENELGLFLKFQAERDATQAGKMMDATGKALCSSAKQRLALCTPLSRLKQEVATFSQRAVSDTLMTINRMEQARTEYRGALLWMKDVSQELDPDTLKQMEKFRKVQMQVRNSKASFDKLKMDVCQKVDLLGASRCNMLSHSLTTYQRTLLGFWKKTARMMSQIHEACIGFHPYDFVALKQLQDTPSKISEDNKDEQIGGFLTEQLNKLVLSDEEASFESEQANKDHNEKHSQMREFGAPQFSNSENVAKDLPVDSLEGEDFEKEFSFLNNLLSSGSSSTSEFTQECQTAFGSPSASLTSQEPSMGSEPLAHSSRFLPSQLFDLGFHVAGAFNNWVSQEESELCLSHTDNQPVPSQSPKKLTRSPNNGNQDMSAWFNLFADLDPLSNPDAIGHSDDELLNA
+>DECOY_sp|Q8NDH6|ICA1L_HUMAN Islet cell autoantigen 1-like protein OS=Homo sapiens OX=9606 GN=ICA1L PE=1 SV=1
+ANLLEDDSHGIADPNSLPDLDAFLNFWASMDQNGNNPSRTLKKPSQSPVPQNDTHSLCLESEEQSVWNNFAGAVHFGLDFLQSPLFRSSHALPESGMSPEQSTLSASPSGFATQCEQTFESTSSSGSSLLNNLFSFEKEFDEGELSDVPLDKAVNESNSFQPAGFERMQSHKENHDKNAQESEFSAEEDSLVLKNLQETLFGGIQEDKNDESIKSPTDQLQKLAVFDYPHFGICAEHIQSMMRATKKWFGLLTRQYTTLSHSLMNCRSAGLLDVKQCVDMKLKDFSAKSNRVQMQVKRFKEMQKLTDPDLEQSVDKMWLLAGRYETRAQEMRNITMLTDSVARQSFTAVEQKLRSLPTCLALRQKASSCLAKGTADMMKGAQTADREAQFKLFLGLENEEESIVNLRLQYKEIIKLLETCTEQVSHFVELKADLEADSAVLHEDEKKGTAKIFVQKTKWYKKQMRRVVSQNDEPRPQGFSDM
+>sp|Q02535|ID3_HUMAN DNA-binding protein inhibitor ID-3 OS=Homo sapiens OX=9606 GN=ID3 PE=1 SV=2
+MKALSPVRGCYEAVCCLSERSLAIARGRGKGPAAEEPLSLLDDMNHCYSRLRELVPGVPRGTQLSQVEILQRVIDYILDLQVVLAEPAPGPPDGPHLPIQTAELTPELVISNDKRSFCH
+>DECOY_sp|Q02535|ID3_HUMAN DNA-binding protein inhibitor ID-3 OS=Homo sapiens OX=9606 GN=ID3 PE=1 SV=2
+HCFSRKDNSIVLEPTLEATQIPLHPGDPPGPAPEALVVQLDLIYDIVRQLIEVQSLQTGRPVGPVLERLRSYCHNMDDLLSLPEEAAPGKGRGRAIALSRESLCCVAEYCGRVPSLAKM
+>sp|P22304|IDS_HUMAN Iduronate 2-sulfatase OS=Homo sapiens OX=9606 GN=IDS PE=1 SV=1
+MPPPRTGRGLLWLGLVLSSVCVALGSETQANSTTDALNVLLIIVDDLRPSLGCYGDKLVRSPNIDQLASHSLLFQNAFAQQAVCAPSRVSFLTGRRPDTTRLYDFNSYWRVHAGNFSTIPQYFKENGYVTMSVGKVFHPGISSNHTDDSPYSWSFPPYHPSSEKYENTKTCRGPDGELHANLLCPVDVLDVPEGTLPDKQSTEQAIQLLEKMKTSASPFFLAVGYHKPHIPFRYPKEFQKLYPLENITLAPDPEVPDGLPPVAYNPWMDIRQREDVQALNISVPYGPIPVDFQRKIRQSYFASVSYLDTQVGRLLSALDDLQLANSTIIAFTSDHGWALGEHGEWAKYSNFDVATHVPLIFYVPGRTASLPEAGEKLFPYLDPFDSASQLMEPGRQSMDLVELVSLFPTLAGLAGLQVPPRCPVPSFHVELCREGKNLLKHFRFRDLEEDPYLPGNPRELIAYSQYPRPSDIPQWNSDKPSLKDIKIMGYSIRTIDYRYTVWVGFNPDEFLANFSDIHAGELYFVDSDPLQDHNMYNDSQGGDLFQLLMP
+>DECOY_sp|P22304|IDS_HUMAN Iduronate 2-sulfatase OS=Homo sapiens OX=9606 GN=IDS PE=1 SV=1
+PMLLQFLDGGQSDNYMNHDQLPDSDVFYLEGAHIDSFNALFEDPNFGVWVTYRYDITRISYGMIKIDKLSPKDSNWQPIDSPRPYQSYAILERPNGPLYPDEELDRFRFHKLLNKGERCLEVHFSPVPCRPPVQLGALGALTPFLSVLEVLDMSQRGPEMLQSASDFPDLYPFLKEGAEPLSATRGPVYFILPVHTAVDFNSYKAWEGHEGLAWGHDSTFAIITSNALQLDDLASLLRGVQTDLYSVSAFYSQRIKRQFDVPIPGYPVSINLAQVDERQRIDMWPNYAVPPLGDPVEPDPALTINELPYLKQFEKPYRFPIHPKHYGVALFFPSASTKMKELLQIAQETSQKDPLTGEPVDLVDVPCLLNAHLEGDPGRCTKTNEYKESSPHYPPFSWSYPSDDTHNSSIGPHFVKGVSMTVYGNEKFYQPITSFNGAHVRWYSNFDYLRTTDPRRGTLFSVRSPACVAQQAFANQFLLSHSALQDINPSRVLKDGYCGLSPRLDDVIILLVNLADTTSNAQTESGLAVCVSSLVLGLWLLGRGTRPPPM
+>sp|P35475|IDUA_HUMAN Alpha-L-iduronidase OS=Homo sapiens OX=9606 GN=IDUA PE=1 SV=2
+MRPLRPRAALLALLASLLAAPPVAPAEAPHLVHVDAARALWPLRRFWRSTGFCPPLPHSQADQYVLSWDQQLNLAYVGAVPHRGIKQVRTHWLLELVTTRGSTGRGLSYNFTHLDGYLDLLRENQLLPGFELMGSASGHFTDFEDKQQVFEWKDLVSSLARRYIGRYGLAHVSKWNFETWNEPDHHDFDNVSMTMQGFLNYYDACSEGLRAASPALRLGGPGDSFHTPPRSPLSWGLLRHCHDGTNFFTGEAGVRLDYISLHRKGARSSISILEQEKVVAQQIRQLFPKFADTPIYNDEADPLVGWSLPQPWRADVTYAAMVVKVIAQHQNLLLANTTSAFPYALLSNDNAFLSYHPHPFAQRTLTARFQVNNTRPPHVQLLRKPVLTAMGLLALLDEEQLWAEVSQAGTVLDSNHTVGVLASAHRPQGPADAWRAAVLIYASDDTRAHPNRSVAVTLRLRGVPPGPGLVYVTRYLDNGLCSPDGEWRRLGRPVFPTAEQFRRMRAAEDPVAAAPRPLPAGGRLTLRPALRLPSLLLVHVCARPEKPPGQVTRLRALPLTQGQLVLVWSDEHVGSKCLWTYEIQFSQDGKAYTPVSRKPSTFNLFVFSPDTGAVSGSYRVRALDYWARPGPFSDPVPYLEVPVPRGPPSPGNP
+>DECOY_sp|P35475|IDUA_HUMAN Alpha-L-iduronidase OS=Homo sapiens OX=9606 GN=IDUA PE=1 SV=2
+PNGPSPPGRPVPVELYPVPDSFPGPRAWYDLARVRYSGSVAGTDPSFVFLNFTSPKRSVPTYAKGDQSFQIEYTWLCKSGVHEDSWVLVLQGQTLPLARLRTVQGPPKEPRACVHVLLLSPLRLAPRLTLRGGAPLPRPAAAVPDEAARMRRFQEATPFVPRGLRRWEGDPSCLGNDLYRTVYVLGPGPPVGRLRLTVAVSRNPHARTDDSAYILVAARWADAPGQPRHASALVGVTHNSDLVTGAQSVEAWLQEEDLLALLGMATLVPKRLLQVHPPRTNNVQFRATLTRQAFPHPHYSLFANDNSLLAYPFASTTNALLLNQHQAIVKVVMAAYTVDARWPQPLSWGVLPDAEDNYIPTDAFKPFLQRIQQAVVKEQELISISSRAGKRHLSIYDLRVGAEGTFFNTGDHCHRLLGWSLPSRPPTHFSDGPGGLRLAPSAARLGESCADYYNLFGQMTMSVNDFDHHDPENWTEFNWKSVHALGYRGIYRRALSSVLDKWEFVQQKDEFDTFHGSASGMLEFGPLLQNERLLDLYGDLHTFNYSLGRGTSGRTTVLELLWHTRVQKIGRHPVAGVYALNLQQDWSLVYQDAQSHPLPPCFGTSRWFRRLPWLARAADVHVLHPAEAPAVPPAALLSALLALLAARPRLPRM
+>sp|P46695|IEX1_HUMAN Radiation-inducible immediate-early gene IEX-1 OS=Homo sapiens OX=9606 GN=IER3 PE=1 SV=4
+MCHSRSCHPTMTILQAPTPAPSTIPGPRRGSGPEIFTFDPLPEPAAAPAGRPSASRGHRKRSRRVLYPRVVRRQLPVEEPNPAKRLLFLLLTIVFCQILMAEEGVPAPLPPEDAPNAASLAPTPVSAVLEPFNLTSEPSDYALDLSTFLQQHPAAF
+>DECOY_sp|P46695|IEX1_HUMAN Radiation-inducible immediate-early gene IEX-1 OS=Homo sapiens OX=9606 GN=IER3 PE=1 SV=4
+FAAPHQQLFTSLDLAYDSPESTLNFPELVASVPTPALSAANPADEPPLPAPVGEEAMLIQCFVITLLLFLLRKAPNPEEVPLQRRVVRPYLVRRSRKRHGRSASPRGAPAAAPEPLPDFTFIEPGSGRRPGPITSPAPTPAQLITMTPHCSRSHCM
+>sp|Q96RY7|IF140_HUMAN Intraflagellar transport protein 140 homolog OS=Homo sapiens OX=9606 GN=IFT140 PE=1 SV=1
+MALYYDHQIEAPDAAGSPSFISWHPVHPFLAVAYISTTSTGSVDIYLEQGECVPDTHVERPFRVASLCWHPTRLVLAVGWETGEVTVFNKQDKEQHTMPLTHTADITVLRWSPSGNCLLSGDRLGVLLLWRLDQRGRVQGTPLLKHEYGKHLTHCIFRLPPPGEDLVQLAKAAVSGDEKALDMFNWKKSSSGSLLKMGSHEGLLFFVSLMDGTVHYVDEKGKTTQVVSADSTIQMLFYMEKREALVVVTENLRLSLYTVPPEGKAEEVMKVKLSGKTGRRADIALIEGSLLVMAVGEAALRFWDIERGENYILSPDEKFGFEKGENMNCVCYCKVKGLLAAGTDRGRVAMWRKVPDFLGSPGAEGKDRWALQTPTELQGNITQIQWGSRKNLLAVNSVISVAILSERAMSSHFHQQVAAMQVSPSLLNVCFLSTGVAHSLRTDMHISGVFATKDAVAVWNGRQVAIFELSGAAIRSAGTFLCETPVLAMHEENVYTVESNRVQVRTWQGTVKQLLLFSETEGNPCFLDICGNFLVVGTDLAHFKSFDLSRREAKAHCSCRSLAELVPGVGGIASLRCSSSGSTISILPSKADNSPDSKICFYDVEMDTVTVFDFKTGQIDRRETLSFNEQETNKSHLFVDEGLKNYVPVNHFWDQSEPRLFVCEAVQETPRSQPQSANGQPQDGRAGPAADVLILSFFISEEHGFLLHESFPRPATSHSLLGMEVPYYYFTRKPEEADREDEVEPGCHHIPQMVSRRPLRDFVGLEDCDKATRDAMLHFSFFVTIGDMDEAFKSIKLIKSEAVWENMARMCVKTQRLDVAKVCLGNMGHARGARALREAEQEPELEARVAVLATQLGMLEDAEQLYRKCKRHDLLNKFYQAAGRWQEALQVAEHHDRVHLRSTYHRYAGHLEASADCSRALSYYEKSDTHRFEVPRMLSEDLPSLELYVNKMKDKTLWRWWAQYLESQGEMDAALHYYELARDHFSLVRIHCFQGNVQKAAQIANETGNLAASYHLARQYESQEEVGQAVHFYTRAQAFKNAIRLCKENGLDDQLMNLALLSSPEDMIEAARYYEEKGVQMDRAVMLYHKAGHFSKALELAFATQQFVALQLIAEDLDETSDPALLARCSDFFIEHSQYERAVELLLAARKYQEALQLCLGQNMSITEEMAEKMTVAKDSSDLPEESRRELLEQIADCCMRQGSYHLATKKYTQAGNKLKAMRALLKSGDTEKITFFASVSRQKEIYIMAANYLQSLDWRKEPEIMKNIIGFYTKGRALDLLAGFYDACAQVEIDEYQNYDKAHGALTEAYKCLAKAKAKSPLDQETRLAQLQSRMALVKRFIQARRTYTEDPKESIKQCELLLEEPDLDSTIRIGDVYGFLVEHYVRKEEYQTAYRFLEEMRRRLPLANMSYYVSPQAVDAVHRGLGLPLPRTVPEQVRHNSMEDARELDEEVVEEADDDP
+>DECOY_sp|Q96RY7|IF140_HUMAN Intraflagellar transport protein 140 homolog OS=Homo sapiens OX=9606 GN=IFT140 PE=1 SV=1
+PDDDAEEVVEEDLERADEMSNHRVQEPVTRPLPLGLGRHVADVAQPSVYYSMNALPLRRRMEELFRYATQYEEKRVYHEVLFGYVDGIRITSDLDPEELLLECQKISEKPDETYTRRAQIFRKVLAMRSQLQALRTEQDLPSKAKAKALCKYAETLAGHAKDYNQYEDIEVQACADYFGALLDLARGKTYFGIINKMIEPEKRWDLSQLYNAAMIYIEKQRSVSAFFTIKETDGSKLLARMAKLKNGAQTYKKTALHYSGQRMCCDAIQELLERRSEEPLDSSDKAVTMKEAMEETISMNQGLCLQLAEQYKRAALLLEVAREYQSHEIFFDSCRALLAPDSTEDLDEAILQLAVFQQTAFALELAKSFHGAKHYLMVARDMQVGKEEYYRAAEIMDEPSSLLALNMLQDDLGNEKCLRIANKFAQARTYFHVAQGVEEQSEYQRALHYSAALNGTENAIQAAKQVNGQFCHIRVLSFHDRALEYYHLAADMEGQSELYQAWWRWLTKDKMKNVYLELSPLDESLMRPVEFRHTDSKEYYSLARSCDASAELHGAYRHYTSRLHVRDHHEAVQLAEQWRGAAQYFKNLLDHRKCKRYLQEADELMGLQTALVAVRAELEPEQEAERLARAGRAHGMNGLCVKAVDLRQTKVCMRAMNEWVAESKILKISKFAEDMDGITVFFSFHLMADRTAKDCDELGVFDRLPRRSVMQPIHHCGPEVEDERDAEEPKRTFYYYPVEMGLLSHSTAPRPFSEHLLFGHEESIFFSLILVDAAPGARGDQPQGNASQPQSRPTEQVAECVFLRPESQDWFHNVPVYNKLGEDVFLHSKNTEQENFSLTERRDIQGTKFDFVTVTDMEVDYFCIKSDPSNDAKSPLISITSGSSSCRLSAIGGVGPVLEALSRCSCHAKAERRSLDFSKFHALDTGVVLFNGCIDLFCPNGETESFLLLQKVTGQWTRVQVRNSEVTYVNEEHMALVPTECLFTGASRIAAGSLEFIAVQRGNWVAVADKTAFVGSIHMDTRLSHAVGTSLFCVNLLSPSVQMAAVQQHFHSSMARESLIAVSIVSNVALLNKRSGWQIQTINGQLETPTQLAWRDKGEAGPSGLFDPVKRWMAVRGRDTGAALLGKVKCYCVCNMNEGKEFGFKEDPSLIYNEGREIDWFRLAAEGVAMVLLSGEILAIDARRGTKGSLKVKMVEEAKGEPPVTYLSLRLNETVVVLAERKEMYFLMQITSDASVVQTTKGKEDVYHVTGDMLSVFFLLGEHSGMKLLSGSSSKKWNFMDLAKEDGSVAAKALQVLDEGPPPLRFICHTLHKGYEHKLLPTGQVRGRQDLRWLLLVGLRDGSLLCNGSPSWRLVTIDATHTLPMTHQEKDQKNFVTVEGTEWGVALVLRTPHWCLSAVRFPREVHTDPVCEGQELYIDVSGTSTTSIYAVALFPHVPHWSIFSPSGAADPAEIQHDYYLAM
+>sp|P23588|IF4B_HUMAN Eukaryotic translation initiation factor 4B OS=Homo sapiens OX=9606 GN=EIF4B PE=1 SV=2
+MAASAKKKNKKGKTISLTDFLAEDGGTGGGSTYVSKPVSWADETDDLEGDVSTTWHSNDDDVYRAPPIDRSILPTAPRAAREPNIDRSRLPKSPPYTAFLGNLPYDVTEESIKEFFRGLNISAVRLPREPSNPERLKGFGYAEFEDLDSLLSALSLNEESLGNRRIRVDVADQAQDKDRDDRSFGRDRNRDSDKTDTDWRARPATDSFDDYPPRRGDDSFGDKYRDRYDSDRYRDGYRDGYRDGPRRDMDRYGGRDRYDDRGSRDYDRGYDSRIGSGRRAFGSGYRRDDDYRGGGDRYEDRYDRRDDRSWSSRDDYSRDDYRRDDRGPPQRPKLNLKPRSTPKEDDSSASTSQSTRAASIFGGAKPVDTAAREREVEERLQKEQEKLQRQLDEPKLERRPRERHPSWRSEETQERERSRTGSESSQTGTSTTSSRNARRRESEKSLENETLNKEEDCHSPTSKPPKPDQPLKVMPAPPPKENAWVKRSSNPPARSQSSDTEQQSPTSGGGKVAPAQPSEEGPGRKDENKVDGMNAPKGQTGNSSRGPGDGGNRDHWKESDRKDGKKDQDSRSAPEPKKPEENPASKFSSASKYAALSVDGEDENEGEDYAE
+>DECOY_sp|P23588|IF4B_HUMAN Eukaryotic translation initiation factor 4B OS=Homo sapiens OX=9606 GN=EIF4B PE=1 SV=2
+EAYDEGENEDEGDVSLAAYKSASSFKSAPNEEPKKPEPASRSDQDKKGDKRDSEKWHDRNGGDGPGRSSNGTQGKPANMGDVKNEDKRGPGEESPQAPAVKGGGSTPSQQETDSSQSRAPPNSSRKVWANEKPPPAPMVKLPQDPKPPKSTPSHCDEEKNLTENELSKESERRRANRSSTTSTGTQSSESGTRSREREQTEESRWSPHRERPRRELKPEDLQRQLKEQEKQLREEVERERAATDVPKAGGFISAARTSQSTSASSDDEKPTSRPKLNLKPRQPPGRDDRRYDDRSYDDRSSWSRDDRRDYRDEYRDGGGRYDDDRRYGSGFARRGSGIRSDYGRDYDRSGRDDYRDRGGYRDMDRRPGDRYGDRYGDRYRDSDYRDRYKDGFSDDGRRPPYDDFSDTAPRARWDTDTKDSDRNRDRGFSRDDRDKDQAQDAVDVRIRRNGLSEENLSLASLLSDLDEFEAYGFGKLREPNSPERPLRVASINLGRFFEKISEETVDYPLNGLFATYPPSKPLRSRDINPERAARPATPLISRDIPPARYVDDDNSHWTTSVDGELDDTEDAWSVPKSVYTSGGGTGGDEALFDTLSITKGKKNKKKASAAM
+>sp|Q8N5X7|IF4E3_HUMAN Eukaryotic translation initiation factor 4E type 3 OS=Homo sapiens OX=9606 GN=EIF4E3 PE=2 SV=4
+MALPPAAAPPAGAREPPGSRAAAAAAAPEPPLGLQQLSALQPEPGGVPLHSSWTFWLDRSLPGATAAECASNLKKIYTVQTVQIFWSVYNNIPPVTSLPLRCSYHLMRGERRPLWEEESNAKGGVWKMKVPKDSTSTVWKELLLATIGEQFTDCAAADDEVIGVSVSVRDREDVVQVWNVNASLVGEATVLEKIYELLPHITFKAVFYKPHEEHHAFEGGRGKH
+>DECOY_sp|Q8N5X7|IF4E3_HUMAN Eukaryotic translation initiation factor 4E type 3 OS=Homo sapiens OX=9606 GN=EIF4E3 PE=2 SV=4
+HKGRGGEFAHHEEHPKYFVAKFTIHPLLEYIKELVTAEGVLSANVNWVQVVDERDRVSVSVGIVEDDAAACDTFQEGITALLLEKWVTSTSDKPVKMKWVGGKANSEEEWLPRREGRMLHYSCRLPLSTVPPINNYVSWFIQVTQVTYIKKLNSACEAATAGPLSRDLWFTWSSHLPVGGPEPQLASLQQLGLPPEPAAAAAAARSGPPERAGAPPAAAPPLAM
+>sp|P78344|IF4G2_HUMAN Eukaryotic translation initiation factor 4 gamma 2 OS=Homo sapiens OX=9606 GN=EIF4G2 PE=1 SV=1
+MESAIAEGGASRFSASSGGGGSRGAPQHYPKTAGNSEFLGKTPGQNAQKWIPARSTRRDDNSAANNSANEKERHDAIFRKVRGILNKLTPEKFDKLCLELLNVGVESKLILKGVILLIVDKALEEPKYSSLYAQLCLRLAEDAPNFDGPAAEGQPGQKQSTTFRRLLISKLQDEFENRTRNVDVYDKRENPLLPEEEEQRAIAKIKMLGNIKFIGELGKLDLIHESILHKCIKTLLEKKKRVQLKDMGEDLECLCQIMRTVGPRLDHERAKSLMDQYFARMCSLMLSKELPARIRFLLQDTVELREHHWVPRKAFLDNGPKTINQIRQDAVKDLGVFIPAPMAQGMRSDFFLEGPFMPPRMKMDRDPLGGLADMFGQMPGSGIGTGPGVIQDRFSPTMGRHRSNQLFNGHGGHIMPPTQSQFGEMGGKFMKSQGLSQLYHNQSQGLLSQLQGQSKDMPPRFSKKGQLNADEISLRPAQSFLMNKNQVPKLQPQITMIPPSAQPPRTQTPPLGQTPQLGLKTNPPLIQEKPAKTSKKPPPSKEELLKLTETVVTEYLNSGNANEAVNGVREMRAPKHFLPEMLSKVIILSLDRSDEDKEKASSLISLLKQEGIATSDNFMQAFLNVLDQCPKLEVDIPLVKSYLAQFAARAIISELVSISELAQPLESGTHFPLFLLCLQQLAKLQDREWLTELFQQSKVNMQKMLPEIDQNKDRMLEILEGKGLSFLFPLLKLEKELLKQIKLDPSPQTIYKWIKDNISPKLHVDKGFVNILMTSFLQYISSEVNPPSDETDSSSAPSKEQLEQEKQLLLSFKPVMQKFLHDHVDLQVSALYALQVHCYNSNFPKGMLLRFFVHFYDMEIIEEEAFLAWKEDITQEFPGKGKALFQVNQWLTWLETAEEEESEEEAD
+>DECOY_sp|P78344|IF4G2_HUMAN Eukaryotic translation initiation factor 4 gamma 2 OS=Homo sapiens OX=9606 GN=EIF4G2 PE=1 SV=1
+DAEEESEEEEATELWTLWQNVQFLAKGKGPFEQTIDEKWALFAEEEIIEMDYFHVFFRLLMGKPFNSNYCHVQLAYLASVQLDVHDHLFKQMVPKFSLLLQKEQELQEKSPASSSDTEDSPPNVESSIYQLFSTMLINVFGKDVHLKPSINDKIWKYITQPSPDLKIQKLLEKELKLLPFLFSLGKGELIELMRDKNQDIEPLMKQMNVKSQQFLETLWERDQLKALQQLCLLFLPFHTGSELPQALESISVLESIIARAAFQALYSKVLPIDVELKPCQDLVNLFAQMFNDSTAIGEQKLLSILSSAKEKDEDSRDLSLIIVKSLMEPLFHKPARMERVGNVAENANGSNLYETVVTETLKLLEEKSPPPKKSTKAPKEQILPPNTKLGLQPTQGLPPTQTRPPQASPPIMTIQPQLKPVQNKNMLFSQAPRLSIEDANLQGKKSFRPPMDKSQGQLQSLLGQSQNHYLQSLGQSKMFKGGMEGFQSQTPPMIHGGHGNFLQNSRHRGMTPSFRDQIVGPGTGIGSGPMQGFMDALGGLPDRDMKMRPPMFPGELFFDSRMGQAMPAPIFVGLDKVADQRIQNITKPGNDLFAKRPVWHHERLEVTDQLLFRIRAPLEKSLMLSCMRAFYQDMLSKAREHDLRPGVTRMIQCLCELDEGMDKLQVRKKKELLTKICKHLISEHILDLKGLEGIFKINGLMKIKAIARQEEEEPLLPNERKDYVDVNRTRNEFEDQLKSILLRRFTTSQKQGPQGEAAPGDFNPADEALRLCLQAYLSSYKPEELAKDVILLIVGKLILKSEVGVNLLELCLKDFKEPTLKNLIGRVKRFIADHREKENASNNAASNDDRRTSRAPIWKQANQGPTKGLFESNGATKPYHQPAGRSGGGGSSASFRSAGGEAIASEM
+>sp|Q8IZJ0|IFNL2_HUMAN Interferon lambda-2 OS=Homo sapiens OX=9606 GN=IFNL2 PE=2 SV=1
+MKLDMTGDCTPVLVLMAAVLTVTGAVPVARLHGALPDARGCHIAQFKSLSPQELQAFKRAKDALEESLLLKDCRCHSRLFPRTWDLRQLQVRERPMALEAELALTLKVLEATADTDPALVDVLDQPLHTLHHILSQFRACIQPQPTAGPRTRGRLHHWLYRLQEAPKKESPGCLEASVTFNLFRLLTRDLNCVASGDLCV
+>DECOY_sp|Q8IZJ0|IFNL2_HUMAN Interferon lambda-2 OS=Homo sapiens OX=9606 GN=IFNL2 PE=2 SV=1
+VCLDGSAVCNLDRTLLRFLNFTVSAELCGPSEKKPAEQLRYLWHHLRGRTRPGATPQPQICARFQSLIHHLTHLPQDLVDVLAPDTDATAELVKLTLALEAELAMPRERVQLQRLDWTRPFLRSHCRCDKLLLSEELADKARKFAQLEQPSLSKFQAIHCGRADPLAGHLRAVPVAGTVTLVAAMLVLVPTCDGTMDLKM
+>sp|Q9BW83|IFT27_HUMAN Intraflagellar transport protein 27 homolog OS=Homo sapiens OX=9606 GN=IFT27 PE=1 SV=1
+MVKLAAKCILAGDPAVGKTALAQIFRSDGAHFQKSYTLTTGMDLVVKTVPVPDTGDSVELFIFDSAGKELFSEMLDKLWESPNVLCLVYDVTNEESFNNCSKWLEKARSQAPGISLPGVLVGNKTDLAGRRAVDSAEARAWALGQGLECFETSVKEMENFEAPFHCLAKQFHQLYREKVEVFRALA
+>DECOY_sp|Q9BW83|IFT27_HUMAN Intraflagellar transport protein 27 homolog OS=Homo sapiens OX=9606 GN=IFT27 PE=1 SV=1
+ALARFVEVKERYLQHFQKALCHFPAEFNEMEKVSTEFCELGQGLAWARAEASDVARRGALDTKNGVLVGPLSIGPAQSRAKELWKSCNNFSEENTVDYVLCLVNPSEWLKDLMESFLEKGASDFIFLEVSDGTDPVPVTKVVLDMGTTLTYSKQFHAGDSRFIQALATKGVAPDGALICKAALKVM
+>sp|Q96FT9|IFT43_HUMAN Intraflagellar transport protein 43 homolog OS=Homo sapiens OX=9606 GN=IFT43 PE=1 SV=3
+MEDLLDLDEELRYSLATSRAKMGRRAQQESAQAENHLNGKNSSLTLTGETSSAKLPRCRQGGWAGDSVKASKFRRKASEEIEDFRLRPQSLNGSDYGGDIPIIPDLEEVQEEDFVLQVAAPPSIQIKRVMTYRDLDNDLMKYSAIQTLDGEIDLKLLTKVLAPEHEVREDDVGWDWDHLFTEVSSEVLTEWDPLQTEKEDPAGQARHT
+>DECOY_sp|Q96FT9|IFT43_HUMAN Intraflagellar transport protein 43 homolog OS=Homo sapiens OX=9606 GN=IFT43 PE=1 SV=3
+THRAQGAPDEKETQLPDWETLVESSVETFLHDWDWGVDDERVEHEPALVKTLLKLDIEGDLTQIASYKMLDNDLDRYTMVRKIQISPPAAVQLVFDEEQVEELDPIIPIDGGYDSGNLSQPRLRFDEIEESAKRRFKSAKVSDGAWGGQRCRPLKASSTEGTLTLSSNKGNLHNEAQASEQQARRGMKARSTALSYRLEEDLDLLDEM
+>sp|Q9NQC8|IFT46_HUMAN Intraflagellar transport protein 46 homolog OS=Homo sapiens OX=9606 GN=IFT46 PE=1 SV=1
+MADNSSDECEEENNKEKKKTSQLTPQRGFSENEDDDDDDDDSSETDSDSDDDDEEHGAPLEGAYDPADYEHLPVSAEIKELFQYISRYTPQLIDLDHKLKPFIPDFIPAVGDIDAFLKVPRPDGKPDNLGLLVLDEPSTKQSDPTVLSLWLTENSKQHNITQHMKVKSLEDAEKNPKAIDTWIESISELHRSKPPATVHYTRPMPDIDTLMQEWSPEFEELLGKVSLPTAEIDCSLAEYIDMICAILDIPVYKSRIQSLHLLFSLYSEFKNSQHFKALAEGKKAFTPSSNSTSQAGDMETLTFS
+>DECOY_sp|Q9NQC8|IFT46_HUMAN Intraflagellar transport protein 46 homolog OS=Homo sapiens OX=9606 GN=IFT46 PE=1 SV=1
+SFTLTEMDGAQSTSNSSPTFAKKGEALAKFHQSNKFESYLSFLLHLSQIRSKYVPIDLIACIMDIYEALSCDIEATPLSVKGLLEEFEPSWEQMLTDIDPMPRTYHVTAPPKSRHLESISEIWTDIAKPNKEADELSKVKMHQTINHQKSNETLWLSLVTPDSQKTSPEDLVLLGLNDPKGDPRPVKLFADIDGVAPIFDPIFPKLKHDLDILQPTYRSIYQFLEKIEASVPLHEYDAPDYAGELPAGHEEDDDDSDSDTESSDDDDDDDDENESFGRQPTLQSTKKKEKNNEEECEDSSNDAM
+>sp|Q9NWB7|IFT57_HUMAN Intraflagellar transport protein 57 homolog OS=Homo sapiens OX=9606 GN=IFT57 PE=1 SV=1
+MTAALAVVTTSGLEDGVPRSRGEGTGEVVLERGPGAAYHMFVVMEDLVEKLKLLRYEEEFLRKSNLKAPSRHYFALPTNPGEQFYMFCTLAAWLINKAGRPFEQPQEYDDPNATISNILSELRSFGRTADFPPSKLKSGYGEHVCYVLDCFAEEALKYIGFTWKRPIYPVEELEEESVAEDDAELTLNKVDEEFVEEETDNEENFIDLNVLKAQTYHLDMNETAKQEDILESTTDAAEWSLEVERVLPQLKVTIRTDNKDWRIHVDQMHQHRSGIESALKETKGFLDKLHNEITRTLEKISSREKYINNQLENLVQEYRAAQAQLSEAKERYQQGNGGVTERTRLLSEVMEELEKVKQEMEEKGSSMTDGAPLVKIKQSLTKLKQETVEMDIRIGIVEHTLLQSKLKEKSNMTRNMHATVIPEPATGFY
+>DECOY_sp|Q9NWB7|IFT57_HUMAN Intraflagellar transport protein 57 homolog OS=Homo sapiens OX=9606 GN=IFT57 PE=1 SV=1
+YFGTAPEPIVTAHMNRTMNSKEKLKSQLLTHEVIGIRIDMEVTEQKLKTLSQKIKVLPAGDTMSSGKEEMEQKVKELEEMVESLLRTRETVGGNGQQYREKAESLQAQAARYEQVLNELQNNIYKERSSIKELTRTIENHLKDLFGKTEKLASEIGSRHQHMQDVHIRWDKNDTRITVKLQPLVREVELSWEAADTTSELIDEQKATENMDLHYTQAKLVNLDIFNEENDTEEEVFEEDVKNLTLEADDEAVSEEELEEVPYIPRKWTFGIYKLAEEAFCDLVYCVHEGYGSKLKSPPFDATRGFSRLESLINSITANPDDYEQPQEFPRGAKNILWAALTCFMYFQEGPNTPLAFYHRSPAKLNSKRLFEEEYRLLKLKEVLDEMVVFMHYAAGPGRELVVEGTGEGRSRPVGDELGSTTVVALAATM
+>sp|P27352|IF_HUMAN Gastric intrinsic factor OS=Homo sapiens OX=9606 GN=GIF PE=1 SV=2
+MAWFALYLLSLLWATAGTSTQTQSSCSVPSAQEPLVNGIQVLMENSVTSSAYPNPSILIAMNLAGAYNLKAQKLLTYQLMSSDNNDLTIGQLGLTIMALTSSCRDPGDKVSILQRQMENWAPSSPNAEASAFYGPSLAILALCQKNSEATLPIAVRFAKTLLANSSPFNVDTGAMATLALTCMYNKIPVGSEEGYRSLFGQVLKDIVEKISMKIKDNGIIGDIYSTGLAMQALSVTPEPSKKEWNCKKTTDMILNEIKQGKFHNPMSIAQILPSLKGKTYLDVPQVTCSPDHEVQPTLPSNPGPGPTSASNITVIYTINNQLRGVELLFNETINVSVKSGSVLLVVLEEAQRKNPMFKFETTMTSWGLVVSSINNIAENVNHKTYWQFLSGVTPLNEGVADYIPFNHEHITANFTQY
+>DECOY_sp|P27352|IF_HUMAN Gastric intrinsic factor OS=Homo sapiens OX=9606 GN=GIF PE=1 SV=2
+YQTFNATIHEHNFPIYDAVGENLPTVGSLFQWYTKHNVNEAINNISSVVLGWSTMTTEFKFMPNKRQAEELVVLLVSGSKVSVNITENFLLEVGRLQNNITYIVTINSASTPGPGPNSPLTPQVEHDPSCTVQPVDLYTKGKLSPLIQAISMPNHFKGQKIENLIMDTTKKCNWEKKSPEPTVSLAQMALGTSYIDGIIGNDKIKMSIKEVIDKLVQGFLSRYGEESGVPIKNYMCTLALTAMAGTDVNFPSSNALLTKAFRVAIPLTAESNKQCLALIALSPGYFASAEANPSSPAWNEMQRQLISVKDGPDRCSSTLAMITLGLQGITLDNNDSSMLQYTLLKQAKLNYAGALNMAILISPNPYASSTVSNEMLVQIGNVLPEQASPVSCSSQTQTSTGATAWLLSLLYLAFWAM
+>sp|Q9H2S9|IKZF4_HUMAN Zinc finger protein Eos OS=Homo sapiens OX=9606 GN=IKZF4 PE=1 SV=2
+MHTPPALPRRFQGGGRVRTPGSHRQGKDNLERDPSGGCVPDFLPQAQDSNHFIMESLFCESSGDSSLEKEFLGAPVGPSVSTPNSQHSSPSRSLSANSIKVEMYSDEESSRLLGPDERLLEKDDSVIVEDSLSEPLGYCDGSGPEPHSPGGIRLPNGKLKCDVCGMVCIGPNVLMVHKRSHTGERPFHCNQCGASFTQKGNLLRHIKLHSGEKPFKCPFCNYACRRRDALTGHLRTHSVSSPTVGKPYKCNYCGRSYKQQSTLEEHKERCHNYLQSLSTEAQALAGQPGDEIRDLEMVPDSMLHSSSERPTFIDRLANSLTKRKRSTPQKFVGEKQMRFSLSDLPYDVNSGGYEKDVELVAHHSLEPGFGSSLAFVGAEHLRPLRLPPTNCISELTPVISSVYTQMQPLPGRLELPGSREAGEGPEDLADGGPLLYRPRGPLTDPGASPSNGCQDSTDTESNHEDRVAGVVSLPQGPPPQPPPTIVVGRHSPAYAKEDPKPQEGLLRGTPGPSKEVLRVVGESGEPVKAFKCEHCRILFLDHVMFTIHMGCHGFRDPFECNICGYHSQDRYEFSSHIVRGEHKVG
+>DECOY_sp|Q9H2S9|IKZF4_HUMAN Zinc finger protein Eos OS=Homo sapiens OX=9606 GN=IKZF4 PE=1 SV=2
+GVKHEGRVIHSSFEYRDQSHYGCINCEFPDRFGHCGMHITFMVHDLFLIRCHECKFAKVPEGSEGVVRLVEKSPGPTGRLLGEQPKPDEKAYAPSHRGVVITPPPQPPPGQPLSVVGAVRDEHNSETDTSDQCGNSPSAGPDTLPGRPRYLLPGGDALDEPGEGAERSGPLELRGPLPQMQTYVSSIVPTLESICNTPPLRLPRLHEAGVFALSSGFGPELSHHAVLEVDKEYGGSNVDYPLDSLSFRMQKEGVFKQPTSRKRKTLSNALRDIFTPRESSSHLMSDPVMELDRIEDGPQGALAQAETSLSQLYNHCREKHEELTSQQKYSRGCYNCKYPKGVTPSSVSHTRLHGTLADRRRCAYNCFPCKFPKEGSHLKIHRLLNGKQTFSAGCQNCHFPREGTHSRKHVMLVNPGICVMGCVDCKLKGNPLRIGGPSHPEPGSGDCYGLPESLSDEVIVSDDKELLREDPGLLRSSEEDSYMEVKISNASLSRSPSSHQSNPTSVSPGVPAGLFEKELSSDGSSECFLSEMIFHNSDQAQPLFDPVCGGSPDRELNDKGQRHSGPTRVRGGGQFRRPLAPPTHM
+>sp|P20809|IL11_HUMAN Interleukin-11 OS=Homo sapiens OX=9606 GN=IL11 PE=1 SV=1
+MNCVCRLVLVVLSLWPDTAVAPGPPPGPPRVSPDPRAELDSTVLLTRSLLADTRQLAAQLRDKFPADGDHNLDSLPTLAMSAGALGALQLPGVLTRLRADLLSYLRHVQWLRRAGGSSLKTLEPELGTLQARLDRLLRRLQLLMSRLALPQPPPDPPAPPLAPPSSAWGGIRAAHAILGGLHLTLDWAVRGLLLLKTRL
+>DECOY_sp|P20809|IL11_HUMAN Interleukin-11 OS=Homo sapiens OX=9606 GN=IL11 PE=1 SV=1
+LRTKLLLLGRVAWDLTLHLGGLIAHAARIGGWASSPPALPPAPPDPPPQPLALRSMLLQLRRLLRDLRAQLTGLEPELTKLSSGGARRLWQVHRLYSLLDARLRTLVGPLQLAGLAGASMALTPLSDLNHDGDAPFKDRLQAALQRTDALLSRTLLVTSDLEARPDPSVRPPGPPPGPAVATDPWLSLVVLVLRCVCNM
+>sp|P29460|IL12B_HUMAN Interleukin-12 subunit beta OS=Homo sapiens OX=9606 GN=IL12B PE=1 SV=1
+MCHQQLVISWFSLVFLASPLVAIWELKKDVYVVELDWYPDAPGEMVVLTCDTPEEDGITWTLDQSSEVLGSGKTLTIQVKEFGDAGQYTCHKGGEVLSHSLLLLHKKEDGIWSTDILKDQKEPKNKTFLRCEAKNYSGRFTCWWLTTISTDLTFSVKSSRGSSDPQGVTCGAATLSAERVRGDNKEYEYSVECQEDSACPAAEESLPIEVMVDAVHKLKYENYTSSFFIRDIIKPDPPKNLQLKPLKNSRQVEVSWEYPDTWSTPHSYFSLTFCVQVQGKSKREKKDRVFTDKTSATVICRKNASISVRAQDRYYSSSWSEWASVPCS
+>DECOY_sp|P29460|IL12B_HUMAN Interleukin-12 subunit beta OS=Homo sapiens OX=9606 GN=IL12B PE=1 SV=1
+SCPVSAWESWSSSYYRDQARVSISANKRCIVTASTKDTFVRDKKERKSKGQVQVCFTLSFYSHPTSWTDPYEWSVEVQRSNKLPKLQLNKPPDPKIIDRIFFSSTYNEYKLKHVADVMVEIPLSEEAAPCASDEQCEVSYEYEKNDGRVREASLTAAGCTVGQPDSSGRSSKVSFTLDTSITTLWWCTFRGSYNKAECRLFTKNKPEKQDKLIDTSWIGDEKKHLLLLSHSLVEGGKHCTYQGADGFEKVQITLTKGSGLVESSQDLTWTIGDEEPTDCTLVVMEGPADPYWDLEVVYVDKKLEWIAVLPSALFVLSFWSIVLQQHCM
+>sp|P40933|IL15_HUMAN Interleukin-15 OS=Homo sapiens OX=9606 GN=IL15 PE=1 SV=1
+MRISKPHLRSISIQCYLCLLLNSHFLTEAGIHVFILGCFSAGLPKTEANWVNVISDLKKIEDLIQSMHIDATLYTESDVHPSCKVTAMKCFLLELQVISLESGDASIHDTVENLIILANNSLSSNGNVTESGCKECEELEEKNIKEFLQSFVHIVQMFINTS
+>DECOY_sp|P40933|IL15_HUMAN Interleukin-15 OS=Homo sapiens OX=9606 GN=IL15 PE=1 SV=1
+STNIFMQVIHVFSQLFEKINKEELEECEKCGSETVNGNSSLSNNALIILNEVTDHISADGSELSIVQLELLFCKMATVKCSPHVDSETYLTADIHMSQILDEIKKLDSIVNVWNAETKPLGASFCGLIFVHIGAETLFHSNLLLCLYCQISISRLHPKSIRM
+>sp|P01583|IL1A_HUMAN Interleukin-1 alpha OS=Homo sapiens OX=9606 GN=IL1A PE=1 SV=1
+MAKVPDMFEDLKNCYSENEEDSSSIDHLSLNQKSFYHVSYGPLHEGCMDQSVSLSISETSKTSKLTFKESMVVVATNGKVLKKRRLSLSQSITDDDLEAIANDSEEEIIKPRSAPFSFLSNVKYNFMRIIKYEFILNDALNQSIIRANDQYLTAAALHNLDEAVKFDMGAYKSSKDDAKITVILRISKTQLYVTAQDEDQPVLLKEMPEIPKTITGSETNLLFFWETHGTKNYFTSVAHPNLFIATKQDYWVCLAGGPPSITDFQILENQA
+>DECOY_sp|P01583|IL1A_HUMAN Interleukin-1 alpha OS=Homo sapiens OX=9606 GN=IL1A PE=1 SV=1
+AQNELIQFDTISPPGGALCVWYDQKTAIFLNPHAVSTFYNKTGHTEWFFLLNTESGTITKPIEPMEKLLVPQDEDQATVYLQTKSIRLIVTIKADDKSSKYAGMDFKVAEDLNHLAAATLYQDNARIISQNLADNLIFEYKIIRMFNYKVNSLFSFPASRPKIIEEESDNAIAELDDDTISQSLSLRRKKLVKGNTAVVVMSEKFTLKSTKSTESISLSVSQDMCGEHLPGYSVHYFSKQNLSLHDISSSDEENESYCNKLDEFMDPVKAM
+>sp|P01584|IL1B_HUMAN Interleukin-1 beta OS=Homo sapiens OX=9606 GN=IL1B PE=1 SV=2
+MAEVPELASEMMAYYSGNEDDLFFEADGPKQMKCSFQDLDLCPLDGGIQLRISDHHYSKGFRQAASVVVAMDKLRKMLVPCPQTFQENDLSTFFPFIFEEEPIFFDTWDNEAYVHDAPVRSLNCTLRDSQQKSLVMSGPYELKALHLQGQDMEQQVVFSMSFVQGEESNDKIPVALGLKEKNLYLSCVLKDDKPTLQLESVDPKNYPKKKMEKRFVFNKIEINNKLEFESAQFPNWYISTSQAENMPVFLGGTKGGQDITDFTMQFVSS
+>DECOY_sp|P01584|IL1B_HUMAN Interleukin-1 beta OS=Homo sapiens OX=9606 GN=IL1B PE=1 SV=2
+SSVFQMTFDTIDQGGKTGGLFVPMNEAQSTSIYWNPFQASEFELKNNIEIKNFVFRKEMKKKPYNKPDVSELQLTPKDDKLVCSLYLNKEKLGLAVPIKDNSEEGQVFSMSFVVQQEMDQGQLHLAKLEYPGSMVLSKQQSDRLTCNLSRVPADHVYAENDWTDFFIPEEEFIFPFFTSLDNEQFTQPCPVLMKRLKDMAVVVSAAQRFGKSYHHDSIRLQIGGDLPCLDLDQFSCKMQKPGDAEFFLDDENGSYYAMMESALEPVEAM
+>sp|P18510|IL1RA_HUMAN Interleukin-1 receptor antagonist protein OS=Homo sapiens OX=9606 GN=IL1RN PE=1 SV=1
+MEICRGLRSHLITLLLFLFHSETICRPSGRKSSKMQAFRIWDVNQKTFYLRNNQLVAGYLQGPNVNLEEKIDVVPIEPHALFLGIHGGKMCLSCVKSGDETRLQLEAVNITDLSENRKQDKRFAFIRSDSGPTTSFESAACPGWFLCTAMEADQPVSLTNMPDEGVMVTKFYFQEDE
+>DECOY_sp|P18510|IL1RA_HUMAN Interleukin-1 receptor antagonist protein OS=Homo sapiens OX=9606 GN=IL1RN PE=1 SV=1
+EDEQFYFKTVMVGEDPMNTLSVPQDAEMATCLFWGPCAASEFSTTPGSDSRIFAFRKDQKRNESLDTINVAELQLRTEDGSKVCSLCMKGGHIGLFLAHPEIPVVDIKEELNVNPGQLYGAVLQNNRLYFTKQNVDWIRFAQMKSSKRGSPRCITESHFLFLLLTILHSRLGRCIEM
+>sp|P24001|IL32_HUMAN Interleukin-32 OS=Homo sapiens OX=9606 GN=IL32 PE=1 SV=3
+MCFPKVLSDDMKKLKARMVMLLPTSAQGLGAWVSACDTEDTVGHLGPWRDKDPALWCQLCLSSQHQAIERFYDKMQNAESGRGQVMSSLAELEDDFKEGYLETVAAYYEEQHPELTPLLEKERDGLRCRGNRSPVPDVEDPATEEPGESFCDKVMRWFQAMLQRLQTWWHGVLAWVKEKVVALVHAVQALWKQFQSFCCSLSELFMSSFQSYGAPRGDKEELTPQKCSEPQSSK
+>DECOY_sp|P24001|IL32_HUMAN Interleukin-32 OS=Homo sapiens OX=9606 GN=IL32 PE=1 SV=3
+KSSQPESCKQPTLEEKDGRPAGYSQFSSMFLESLSCCFSQFQKWLAQVAHVLAVVKEKVWALVGHWWTQLRQLMAQFWRMVKDCFSEGPEETAPDEVDPVPSRNGRCRLGDREKELLPTLEPHQEEYYAAVTELYGEKFDDELEALSSMVQGRGSEANQMKDYFREIAQHQSSLCLQCWLAPDKDRWPGLHGVTDETDCASVWAGLGQASTPLLMVMRAKLKKMDDSLVKPFCM
+>sp|O95760|IL33_HUMAN Interleukin-33 OS=Homo sapiens OX=9606 GN=IL33 PE=1 SV=1
+MKPKMKYSTNKISTAKWKNTASKALCFKLGKSQQKAKEVCPMYFMKLRSGLMIKKEACYFRRETTKRPSLKTGRKHKRHLVLAACQQQSTVECFAFGISGVQKYTRALHDSSITGISPITEYLASLSTYNDQSITFALEDESYEIYVEDLKKDEKKDKVLLSYYESQHPSNESGDGVDGKMLMVTLSPTKDFWLHANNKEHSVELHKCEKPLPDQAFFVLHNMHSNCVSFECKTDPGVFIGVKDNHLALIKVDSSENLCTENILFKLSET
+>DECOY_sp|O95760|IL33_HUMAN Interleukin-33 OS=Homo sapiens OX=9606 GN=IL33 PE=1 SV=1
+TESLKFLINETCLNESSDVKILALHNDKVGIFVGPDTKCEFSVCNSHMNHLVFFAQDPLPKECKHLEVSHEKNNAHLWFDKTPSLTVMLMKGDVGDGSENSPHQSEYYSLLVKDKKEDKKLDEVYIEYSEDELAFTISQDNYTSLSALYETIPSIGTISSDHLARTYKQVGSIGFAFCEVTSQQQCAALVLHRKHKRGTKLSPRKTTERRFYCAEKKIMLGSRLKMFYMPCVEKAKQQSKGLKFCLAKSATNKWKATSIKNTSYKMKPKM
+>sp|P13232|IL7_HUMAN Interleukin-7 OS=Homo sapiens OX=9606 GN=IL7 PE=1 SV=1
+MFHVSFRYIFGLPPLILVLLPVASSDCDIEGKDGKQYESVLMVSIDQLLDSMKEIGSNCLNNEFNFFKRHICDANKEGMFLFRAARKLRQFLKMNSTGDFDLHLLKVSEGTTILLNCTGQVKGRKPAALGEAQPTKSLEENKSLKEQKKLNDLCFLKRLLQEIKTCWNKILMGTKEH
+>DECOY_sp|P13232|IL7_HUMAN Interleukin-7 OS=Homo sapiens OX=9606 GN=IL7 PE=1 SV=1
+HEKTGMLIKNWCTKIEQLLRKLFCLDNLKKQEKLSKNEELSKTPQAEGLAAPKRGKVQGTCNLLITTGESVKLLHLDFDGTSNMKLFQRLKRAARFLFMGEKNADCIHRKFFNFENNLCNSGIEKMSDLLQDISVMLVSEYQKGDKGEIDCDSSAVPLLVLILPPLGFIYRFSVHFM
+>sp|Q01113|IL9R_HUMAN Interleukin-9 receptor OS=Homo sapiens OX=9606 GN=IL9R PE=2 SV=3
+MGLGRCIWEGWTLESEALRRDMGTWLLACICICTCVCLGVSVTGEGQGPRSRTFTCLTNNILRIDCHWSAPELGQGSSPWLLFTSNQAPGGTHKCILRGSECTVVLPPEAVLVPSDNFTITFHHCMSGREQVSLVDPEYLPRRHVKLDPPSDLQSNISSGHCILTWSISPALEPMTTLLSYELAFKKQEEAWEQAQHRDHIVGVTWLILEAFELDPGFIHEARLRVQMATLEDDVVEEERYTGQWSEWSQPVCFQAPQRQGPLIPPWGWPGNTLVAVSIFLLLTGPTYLLFKLSPRVKRIFYQNVPSPAMFFQPLYSVHNGNFQTWMGAHGAGVLLSQDCAGTPQGALEPCVQEATALLTCGPARPWKSVALEEEQEGPGTRLPGNLSSEDVLPAGCTEWRVQTLAYLPQEDWAPTSLTRPAPPDSEGSRSSSSSSSSNNNNYCALGCYGGWHLSALPGNTQSSGPIPALACGLSCDHQGLETQQGVAWVLAGHCQRPGLHEDLQGMLLPSVLSKARSWTF
+>DECOY_sp|Q01113|IL9R_HUMAN Interleukin-9 receptor OS=Homo sapiens OX=9606 GN=IL9R PE=2 SV=3
+FTWSRAKSLVSPLLMGQLDEHLGPRQCHGALVWAVGQQTELGQHDCSLGCALAPIPGSSQTNGPLASLHWGGYCGLACYNNNNSSSSSSSSRSGESDPPAPRTLSTPAWDEQPLYALTQVRWETCGAPLVDESSLNGPLRTGPGEQEEELAVSKWPRAPGCTLLATAEQVCPELAGQPTGACDQSLLVGAGHAGMWTQFNGNHVSYLPQFFMAPSPVNQYFIRKVRPSLKFLLYTPGTLLLFISVAVLTNGPWGWPPILPGQRQPAQFCVPQSWESWQGTYREEEVVDDELTAMQVRLRAEHIFGPDLEFAELILWTVGVIHDRHQAQEWAEEQKKFALEYSLLTTMPELAPSISWTLICHGSSINSQLDSPPDLKVHRRPLYEPDVLSVQERGSMCHHFTITFNDSPVLVAEPPLVVTCESGRLICKHTGGPAQNSTFLLWPSSGQGLEPASWHCDIRLINNTLCTFTRSRPGQGEGTVSVGLCVCTCICICALLWTGMDRRLAESELTWGEWICRGLGM
+>sp|Q71H61|ILDR2_HUMAN Immunoglobulin-like domain-containing receptor 2 OS=Homo sapiens OX=9606 GN=ILDR2 PE=2 SV=1
+MDRVLLRWISLFWLTAMVEGLQVTVPDKKKVAMLFQPTVLRCHFSTSSHQPAVVQWKFKSYCQDRMGESLGMSSTRAQSLSKRNLEWDPYLDCLDSRRTVRVVASKQGSTVTLGDFYRGREITIVHDADLQIGKLMWGDSGLYYCIITTPDDLEGKNEDSVELLVLGRTGLLADLLPSFAVEIMPEWVFVGLVLLGVFLFFVLVGICWCQCCPHSCCCYVRCPCCPDSCCCPQALYEAGKAAKAGYPPSVSGVPGPYSIPSVPLGGAPSSGMLMDKPHPPPLAPSDSTGGSHSVRKGYRIQADKERDSMKVLYYVEKELAQFDPARRMRGRYNNTISELSSLHEEDSNFRQSFHQMRSKQFPVSGDLESNPDYWSGVMGGSSGASRGPSAMEYNKEDRESFRHSQPRSKSEMLSRKNFATGVPAVSMDELAAFADSYGQRPRRADGNSHEARGGSRFERSESRAHSGFYQDDSLEEYYGQRSRSREPLTDADRGWAFSPARRRPAEDAHLPRLVSRTPGTAPKYDHSYLGSARERQARPEGASRGGSLETPSKRSAQLGPRSASYYAWSPPGTYKAGSSQDDQEDASDDALPPYSELELTRGPSYRGRDLPYHSNSEKKRKKEPAKKTNDFPTRMSLVV
+>DECOY_sp|Q71H61|ILDR2_HUMAN Immunoglobulin-like domain-containing receptor 2 OS=Homo sapiens OX=9606 GN=ILDR2 PE=2 SV=1
+VVLSMRTPFDNTKKAPEKKRKKESNSHYPLDRGRYSPGRTLELESYPPLADDSADEQDDQSSGAKYTGPPSWAYYSASRPGLQASRKSPTELSGGRSAGEPRAQRERASGLYSHDYKPATGPTRSVLRPLHADEAPRRRAPSFAWGRDADTLPERSRSRQGYYEELSDDQYFGSHARSESREFRSGGRAEHSNGDARRPRQGYSDAFAALEDMSVAPVGTAFNKRSLMESKSRPQSHRFSERDEKNYEMASPGRSAGSSGGMVGSWYDPNSELDGSVPFQKSRMQHFSQRFNSDEEHLSSLESITNNYRGRMRRAPDFQALEKEVYYLVKMSDREKDAQIRYGKRVSHSGGTSDSPALPPPHPKDMLMGSSPAGGLPVSPISYPGPVGSVSPPYGAKAAKGAEYLAQPCCCSDPCCPCRVYCCCSHPCCQCWCIGVLVFFLFVGLLVLGVFVWEPMIEVAFSPLLDALLGTRGLVLLEVSDENKGELDDPTTIICYYLGSDGWMLKGIQLDADHVITIERGRYFDGLTVTSGQKSAVVRVTRRSDLCDLYPDWELNRKSLSQARTSSMGLSEGMRDQCYSKFKWQVVAPQHSSTSFHCRLVTPQFLMAVKKKDPVTVQLGEVMATLWFLSIWRLLVRDM
+>sp|A1L0T0|ILVBL_HUMAN Acetolactate synthase-like protein OS=Homo sapiens OX=9606 GN=ILVBL PE=1 SV=2
+METPAAAAPAGSLFPSFLLLACGTLVAALLGAAHRLGLFYQLLHKVDKASVRHGGENVAAVLRAHGVRFIFTLVGGHISPLLVACEKLGIRVVDTRHEVTAVFAADAMARLSGTVGVAAVTAGPGLTNTVTAVKNAQMAQSPILLLGGAASTLLQNRGALQAVDQLSLFRPLCKFCVSVRRVRDIVPTLRAAMAAAQSGTPGPVFVELPVDVLYPYFMVQKEMVPAKPPKGLVGRVVSWYLENYLANLFAGAWEPQPEGPLPLDIPQASPQQVQRCVEILSRAKRPLMVLGSQALLTPTSADKLRAAVETLGVPCFLGGMARGLLGRNHPLHIRENRSAALKKADVIVLAGTVCDFRLSYGRVLSHSSKIIIVNRNREEMLLNSDIFWKPQEAVQGDVGSFVLKLVEGLQGQTWAPDWVEELREADRQKEQTFREKAAMPVAQHLNPVQVLQLVEETLPDNSILVVDGGDFVGTAAHLVQPRGPLRWLDPGAFGTLGVGAGFALGAKLCRPDAEVWCLFGDGAFGYSLIEFDTFVRHKIPVMALVGNDAGWTQISREQVPSLGSNVACGLAYTDYHKAAMGLGARGLLLSRENEDQVVKVLHDAQQQCRDGHPVVVNILIGRTDFRDGSIAV
+>DECOY_sp|A1L0T0|ILVBL_HUMAN Acetolactate synthase-like protein OS=Homo sapiens OX=9606 GN=ILVBL PE=1 SV=2
+VAISGDRFDTRGILINVVVPHGDRCQQQADHLVKVVQDENERSLLLGRAGLGMAAKHYDTYALGCAVNSGLSPVQERSIQTWGADNGVLAMVPIKHRVFTDFEILSYGFAGDGFLCWVEADPRCLKAGLAFGAGVGLTGFAGPDLWRLPGRPQVLHAATGVFDGGDVVLISNDPLTEEVLQLVQVPNLHQAVPMAAKERFTQEKQRDAERLEEVWDPAWTQGQLGEVLKLVFSGVDGQVAEQPKWFIDSNLLMEERNRNVIIIKSSHSLVRGYSLRFDCVTGALVIVDAKKLAASRNERIHLPHNRGLLGRAMGGLFCPVGLTEVAARLKDASTPTLLAQSGLVMLPRKARSLIEVCRQVQQPSAQPIDLPLPGEPQPEWAGAFLNALYNELYWSVVRGVLGKPPKAPVMEKQVMFYPYLVDVPLEVFVPGPTGSQAAAMAARLTPVIDRVRRVSVCFKCLPRFLSLQDVAQLAGRNQLLTSAAGGLLLIPSQAMQANKVATVTNTLGPGATVAAVGVTGSLRAMADAAFVATVEHRTDVVRIGLKECAVLLPSIHGGVLTFIFRVGHARLVAAVNEGGHRVSAKDVKHLLQYFLGLRHAAGLLAAVLTGCALLLFSPFLSGAPAAAAPTEM
+>sp|P04553|HSP1_HUMAN Sperm protamine P1 OS=Homo sapiens OX=9606 GN=PRM1 PE=1 SV=2
+MARYRCCRSQSRSRYYRQRQRSRRRRRRSCQTRRRAMRCCRPRYRPRCRRH
+>DECOY_sp|P04553|HSP1_HUMAN Sperm protamine P1 OS=Homo sapiens OX=9606 GN=PRM1 PE=1 SV=2
+HRRCRPRYRPRCCRMARRRTQCSRRRRRRSRQRQRYYRSRSQSRCCRYRAM
+>sp|P34932|HSP74_HUMAN Heat shock 70 kDa protein 4 OS=Homo sapiens OX=9606 GN=HSPA4 PE=1 SV=4
+MSVVGIDLGFQSCYVAVARAGGIETIANEYSDRCTPACISFGPKNRSIGAAAKSQVISNAKNTVQGFKRFHGRAFSDPFVEAEKSNLAYDIVQLPTGLTGIKVTYMEEERNFTTEQVTAMLLSKLKETAESVLKKPVVDCVVSVPCFYTDAERRSVMDATQIAGLNCLRLMNETTAVALAYGIYKQDLPALEEKPRNVVFVDMGHSAYQVSVCAFNRGKLKVLATAFDTTLGGRKFDEVLVNHFCEEFGKKYKLDIKSKIRALLRLSQECEKLKKLMSANASDLPLSIECFMNDVDVSGTMNRGKFLEMCNDLLARVEPPLRSVLEQTKLKKEDIYAVEIVGGATRIPAVKEKISKFFGKELSTTLNADEAVTRGCALQCAILSPAFKVREFSITDVVPYPISLRWNSPAEEGSSDCEVFSKNHAAPFSKVLTFYRKEPFTLEAYYSSPQDLPYPDPAIAQFSVQKVTPQSDGSSSKVKVKVRVNVHGIFSVSSASLVEVHKSEENEEPMETDQNAKEEEKMQVDQEEPHVEEQQQQTPAENKAESEEMETSQAGSKDKKMDQPPQAKKAKVKTSTVDLPIENQLLWQIDREMLNLYIENEGKMIMQDKLEKERNDAKNAVEEYVYEMRDKLSGEYEKFVSEDDRNSFTLKLEDTENWLYEDGEDQPKQVYVDKLAELKNLGQPIKIRFQESEERPKLFEELGKQIQQYMKIISSFKNKEDQYDHLDAADMTKVEKSTNEAMEWMNNKLNLQNKQSLTMDPVVKSKEIEAKIKELTSTCSPIISKPKPKVEPPKEEQKNAEQNGPVDGQGDNPGPQAAEQGTDTAVPSDSDKKLPEMDID
+>DECOY_sp|P34932|HSP74_HUMAN Heat shock 70 kDa protein 4 OS=Homo sapiens OX=9606 GN=HSPA4 PE=1 SV=4
+DIDMEPLKKDSDSPVATDTGQEAAQPGPNDGQGDVPGNQEANKQEEKPPEVKPKPKSIIPSCTSTLEKIKAEIEKSKVVPDMTLSQKNQLNLKNNMWEMAENTSKEVKTMDAADLHDYQDEKNKFSSIIKMYQQIQKGLEEFLKPREESEQFRIKIPQGLNKLEALKDVYVQKPQDEGDEYLWNETDELKLTFSNRDDESVFKEYEGSLKDRMEYVYEEVANKADNREKELKDQMIMKGENEIYLNLMERDIQWLLQNEIPLDVTSTKVKAKKAQPPQDMKKDKSGAQSTEMEESEAKNEAPTQQQQEEVHPEEQDVQMKEEEKANQDTEMPEENEESKHVEVLSASSVSFIGHVNVRVKVKVKSSSGDSQPTVKQVSFQAIAPDPYPLDQPSSYYAELTFPEKRYFTLVKSFPAAHNKSFVECDSSGEEAPSNWRLSIPYPVVDTISFERVKFAPSLIACQLACGRTVAEDANLTTSLEKGFFKSIKEKVAPIRTAGGVIEVAYIDEKKLKTQELVSRLPPEVRALLDNCMELFKGRNMTGSVDVDNMFCEISLPLDSANASMLKKLKECEQSLRLLARIKSKIDLKYKKGFEECFHNVLVEDFKRGGLTTDFATALVKLKGRNFACVSVQYASHGMDVFVVNRPKEELAPLDQKYIGYALAVATTENMLRLCNLGAIQTADMVSRREADTYFCPVSVVCDVVPKKLVSEATEKLKSLLMATVQETTFNREEEMYTVKIGTLGTPLQVIDYALNSKEAEVFPDSFARGHFRKFGQVTNKANSIVQSKAAAGISRNKPGFSICAPTCRDSYENAITEIGGARAVAVYCSQFGLDIGVVSM
+>sp|P17066|HSP76_HUMAN Heat shock 70 kDa protein 6 OS=Homo sapiens OX=9606 GN=HSPA6 PE=1 SV=2
+MQAPRELAVGIDLGTTYSCVGVFQQGRVEILANDQGNRTTPSYVAFTDTERLVGDAAKSQAALNPHNTVFDAKRLIGRKFADTTVQSDMKHWPFRVVSEGGKPKVRVCYRGEDKTFYPEEISSMVLSKMKETAEAYLGQPVKHAVITVPAYFNDSQRQATKDAGAIAGLNVLRIINEPTAAAIAYGLDRRGAGERNVLIFDLGGGTFDVSVLSIDAGVFEVKATAGDTHLGGEDFDNRLVNHFMEEFRRKHGKDLSGNKRALRRLRTACERAKRTLSSSTQATLEIDSLFEGVDFYTSITRARFEELCSDLFRSTLEPVEKALRDAKLDKAQIHDVVLVGGSTRIPKVQKLLQDFFNGKELNKSINPDEAVAYGAAVQAAVLMGDKCEKVQDLLLLDVAPLSLGLETAGGVMTTLIQRNATIPTKQTQTFTTYSDNQPGVFIQVYEGERAMTKDNNLLGRFELSGIPPAPRGVPQIEVTFDIDANGILSVTATDRSTGKANKITITNDKGRLSKEEVERMVHEAEQYKAEDEAQRDRVAAKNSLEAHVFHVKGSLQEESLRDKIPEEDRRKMQDKCREVLAWLEHNQLAEKEEYEHQKRELEQICRPIFSRLYGGPGVPGGSSCGTQARQGDPSTGPIIEEVD
+>DECOY_sp|P17066|HSP76_HUMAN Heat shock 70 kDa protein 6 OS=Homo sapiens OX=9606 GN=HSPA6 PE=1 SV=2
+DVEEIIPGTSPDGQRAQTGCSSGGPVGPGGYLRSFIPRCIQELERKQHEYEEKEALQNHELWALVERCKDQMKRRDEEPIKDRLSEEQLSGKVHFVHAELSNKAAVRDRQAEDEAKYQEAEHVMREVEEKSLRGKDNTITIKNAKGTSRDTATVSLIGNADIDFTVEIQPVGRPAPPIGSLEFRGLLNNDKTMAREGEYVQIFVGPQNDSYTTFTQTQKTPITANRQILTTMVGGATELGLSLPAVDLLLLDQVKECKDGMLVAAQVAAGYAVAEDPNISKNLEKGNFFDQLLKQVKPIRTSGGVLVVDHIQAKDLKADRLAKEVPELTSRFLDSCLEEFRARTISTYFDVGEFLSDIELTAQTSSSLTRKARECATRLRRLARKNGSLDKGHKRRFEEMFHNVLRNDFDEGGLHTDGATAKVEFVGADISLVSVDFTGGGLDFILVNREGAGRRDLGYAIAAATPENIIRLVNLGAIAGADKTAQRQSDNFYAPVTIVAHKVPQGLYAEATEKMKSLVMSSIEEPYFTKDEGRYCVRVKPKGGESVVRFPWHKMDSQVTTDAFKRGILRKADFVTNHPNLAAQSKAADGVLRETDTFAVYSPTTRNGQDNALIEVRGQQFVGVCSYTTGLDIGVALERPAQM
+>sp|Q16082|HSPB2_HUMAN Heat shock protein beta-2 OS=Homo sapiens OX=9606 GN=HSPB2 PE=1 SV=2
+MSGRSVPHAHPATAEYEFANPSRLGEQRFGEGLLPEEILTPTLYHGYYVRPRAAPAGEGSRAGASELRLSEGKFQAFLDVSHFTPDEVTVRTVDNLLEVSARHPQRLDRHGFVSREFCRTYVLPADVDPWRVRAALSHDGILNLEAPRGGRHLDTEVNEVYISLLPAPPDPEEEEEAAIVEP
+>DECOY_sp|Q16082|HSPB2_HUMAN Heat shock protein beta-2 OS=Homo sapiens OX=9606 GN=HSPB2 PE=1 SV=2
+PEVIAAEEEEEPDPPAPLLSIYVENVETDLHRGGRPAELNLIGDHSLAARVRWPDVDAPLVYTRCFERSVFGHRDLRQPHRASVELLNDVTRVTVEDPTFHSVDLFAQFKGESLRLESAGARSGEGAPAARPRVYYGHYLTPTLIEEPLLGEGFRQEGLRSPNAFEYEATAPHAHPVSRGSM
+>sp|P86397|HTD2_HUMAN Hydroxyacyl-thioester dehydratase type 2, mitochondrial OS=Homo sapiens OX=9606 GN=HTD2 PE=1 SV=1
+MFPLISSHHLWWGGLRRTVCLNLPVLTLQHFQHMHIKVGDRAELRRAFTQTDVATFSELTGDVNPLHLNEDFAKHTKFGNTIVHGVLINGLISALLGTKMPGPGCVFLSQEISFPAPLYIGEVVLASAEVKKLKRFIAIIAVSCSVIESKKTVMEGWVKVMVPEASKS
+>DECOY_sp|P86397|HTD2_HUMAN Hydroxyacyl-thioester dehydratase type 2, mitochondrial OS=Homo sapiens OX=9606 GN=HTD2 PE=1 SV=1
+SKSAEPVMVKVWGEMVTKKSEIVSCSVAIIAIFRKLKKVEASALVVEGIYLPAPFSIEQSLFVCGPGPMKTGLLASILGNILVGHVITNGFKTHKAFDENLHLPNVDGTLESFTAVDTQTFARRLEARDGVKIHMHQFHQLTLVPLNLCVTRRLGGWWLHHSSILPFM
+>sp|Q9P2D3|HTR5B_HUMAN HEAT repeat-containing protein 5B OS=Homo sapiens OX=9606 GN=HEATR5B PE=1 SV=2
+MELAHSLLLNEEALAQITEAKRPVFIFEWLRFLDKVLVAANKTDVKEKQKKLVEQLTGLISSSPGPPTRKLLAKNLAALYSIGDTFTVFQTLDKCNDIIRNKDDTAAYLPTKLAAVACVGAFYEKMGRMLGSAFPETVNNLLKSLKSAESQGRSEILMSLQKVLSGLGGAAASSHRDIYKNARSLLTDRSMAVRCAVAKCLLELQNEAVFMWTAELENIATLCFKALENSNYGVRVAVSKLLGTVMATALMPKQATVMRQNVKRATFDEVLELMATGFLRGGSGFLKSGGEMLKVGGSVNREVRVGVTQAYVVFVTTLGGQWLERSFATFLSHVLDLVSHPRATQTHVEAVYSRRCVSFILRATVGSLLGEKAQIAAAKEICQAIGKQMKAVEAVVNDTSGENKSGAADIAASQHVMVCALQELGSLVQSLNATASPLIQEASIGLLEIVTSVLLHPSMAARLAAAWCLRCVAVALPFQLTPFLDRCAERLNNLKTSPEAVSGYSFAMAALLGGVHQCPLGIPHAKGKMVVSIAEDLLRTAAQNSRLSLQRTQAGWLLLGALMTLGPSVVRYHLPKMLLLWRNVFPRSLKELEAEKARGDSFTWQVTLEGRAGALCAMRSFVAHCPELLTEDVIRKLMTPIECAMTMMSHIPSVMKAHGAHLKASAAMVRLRLYDILALLPPKTYEGSFNALLRELVAEFTLTDNSANTTTSLLRSLCHYDDSVLLGSWLQETDHKSIEDQLQPNSASGSGALEHDPSSIYLRIPAGEAVPGPLPLGVSVIDASVALFGVVFPHVSYKHRLQMLDHFAECVKQAKGVRQQAVQLNIFTAVLSALKGLAENKSTLGPEEVRKSALTLVMGPLDNPNPILRCAAGEALGRMAQVVGEATFIARMAQYSFDKLKSARDVVSRTGHSLALGCLHRYVGGIGSGQHLKTSVSILLALAQDGTSPEVQTWSLHSLALIVDSSGPMYRGYVEPTLSLVLTLLLTVPPSHTEVHQCLGRCLGAIITTVGPELQGNGATTSTIRSSCLVGCAITQDHSDSLVQAAAISCLQQLHMFAPRHVNLSSLVPSLCVHLCSSHLLLRRAAVACLRQLAQREAAEVCEYAMSLAKNTGDKESSSANVSPFAPGVSSRTDIHCRHQGVNITETGLEGLLFGMLDRETDRKLCSDIHDTLGHMLSSLAVEKLSHWLMLCKDVLAASSDMSTATLLSSGKDEEAEKKDEMDDDTMFTTLGEEDKSKPFVAPRWATRVFAADCLCRIINLCENADQAHFDLALARSAKLRNPTNDLLVLHLSDLIRMAFMAATDHSNQLRMAGLQALEDIIKKFASVPEPEFPGHVILEQYQANVGAALRPAFSQDTPSDIIAKACQVCSTWIGSGVVSDLNDLRRVHNLLVSSLDKVQAGKGSSSQLYRESATTMEKLAVLKAWAEVYVVAMNIKKEAESKPKRAIKNTDDDDDDCGTIDELPPDSLITLVQPELPTLSRLWLAALKDYALLTLPAEFSSQLPPDGGAFYTPETIDTARLHYRNSWAPILHAVALWLNSTGFTCSESTEAAAISGLQKRSTSVNLNQASGAVGSAKSLPEINKDRMHLILGVSIQFLCSPRPEEPIEHVTACLQALHTLLDSPYARVHIAEDQLIGVELLSVLHRLLLTWNPSSVQLLVTGVVQQIVRAAQDYLQEKRNTLNEDDMEKEACTVLGEGGDSGGLIPGKSLVFATMELLMFILVRHMPHLSTKVSDSPSHIATKTRLSEESARLVAATVTILSDLPSLCSPAGCMTILPTILFLIARILKDTAIKSADNQVPPPVSAALQGIKSIVTLSMAKTEAGVQKQWTALIRSTLACILEYSQPEDSVPTPDEVSMLTAIALFLWSASNEIIGVQSLQNGCMNRFKNALNSCDPWVQAKCYQLLLSVFQHSNRALSTPYIHSLAPIVVEKLKAVERNRPASNIELLAVQEGIKVLETLVALGEEQNRVQLLALLVPTLISYLLDENSFASASSASKDLHEFALQNLMHIGPLYPHAFKTVMGAAPELKVRLETAVRASQASKAKAAARQPAPAIHSAPTIKLKTSFF
+>DECOY_sp|Q9P2D3|HTR5B_HUMAN HEAT repeat-containing protein 5B OS=Homo sapiens OX=9606 GN=HEATR5B PE=1 SV=2
+FFSTKLKITPASHIAPAPQRAAAKAKSAQSARVATELRVKLEPAAGMVTKFAHPYLPGIHMLNQLAFEHLDKSASSASAFSNEDLLYSILTPVLLALLQVRNQEEGLAVLTELVKIGEQVALLEINSAPRNREVAKLKEVVIPALSHIYPTSLARNSHQFVSLLLQYCKAQVWPDCSNLANKFRNMCGNQLSQVGIIENSASWLFLAIATLMSVEDPTPVSDEPQSYELICALTSRILATWQKQVGAETKAMSLTVISKIGQLAASVPPPVQNDASKIATDKLIRAILFLITPLITMCGAPSCLSPLDSLITVTAAVLRASEESLRTKTAIHSPSDSVKTSLHPMHRVLIFMLLEMTAFVLSKGPILGGSDGGEGLVTCAEKEMDDENLTNRKEQLYDQAARVIQQVVGTVLLQVSSPNWTLLLRHLVSLLEVGILQDEAIHVRAYPSDLLTHLAQLCATVHEIPEEPRPSCLFQISVGLILHMRDKNIEPLSKASGVAGSAQNLNVSTSRKQLGSIAAAETSESCTFGTSNLWLAVAHLIPAWSNRYHLRATDITEPTYFAGGDPPLQSSFEAPLTLLAYDKLAALWLRSLTPLEPQVLTILSDPPLEDITGCDDDDDDTNKIARKPKSEAEKKINMAVVYVEAWAKLVALKEMTTASERYLQSSSGKGAQVKDLSSVLLNHVRRLDNLDSVVGSGIWTSCVQCAKAIIDSPTDQSFAPRLAAGVNAQYQELIVHGPFEPEPVSAFKKIIDELAQLGAMRLQNSHDTAAMFAMRILDSLHLVLLDNTPNRLKASRALALDFHAQDANECLNIIRCLCDAAFVRTAWRPAVFPKSKDEEGLTTFMTDDDMEDKKEAEEDKGSSLLTATSMDSSAALVDKCLMLWHSLKEVALSSLMHGLTDHIDSCLKRDTERDLMGFLLGELGTETINVGQHRCHIDTRSSVGPAFPSVNASSSEKDGTNKALSMAYECVEAAERQALQRLCAVAARRLLLHSSCLHVCLSPVLSSLNVHRPAFMHLQQLCSIAAAQVLSDSHDQTIACGVLCSSRITSTTAGNGQLEPGVTTIIAGLCRGLCQHVETHSPPVTLLLTLVLSLTPEVYGRYMPGSSDVILALSHLSWTQVEPSTGDQALALLISVSTKLHQGSGIGGVYRHLCGLALSHGTRSVVDRASKLKDFSYQAMRAIFTAEGVVQAMRGLAEGAACRLIPNPNDLPGMVLTLASKRVEEPGLTSKNEALGKLASLVATFINLQVAQQRVGKAQKVCEAFHDLMQLRHKYSVHPFVVGFLAVSADIVSVGLPLPGPVAEGAPIRLYISSPDHELAGSGSASNPQLQDEISKHDTEQLWSGLLVSDDYHCLSRLLSTTTNASNDTLTFEAVLERLLANFSGEYTKPPLLALIDYLRLRVMAASAKLHAGHAKMVSPIHSMMTMACEIPTMLKRIVDETLLEPCHAVFSRMACLAGARGELTVQWTFSDGRAKEAELEKLSRPFVNRWLLLMKPLHYRVVSPGLTMLAGLLLWGAQTRQLSLRSNQAATRLLDEAISVVMKGKAHPIGLPCQHVGGLLAAMAFSYGSVAEPSTKLNNLREACRDLFPTLQFPLAVAVCRLCWAAALRAAMSPHLLVSTVIELLGISAEQILPSATANLSQVLSGLEQLACVMVHQSAAIDAAGSKNEGSTDNVVAEVAKMQKGIAQCIEKAAAIQAKEGLLSGVTARLIFSVCRRSYVAEVHTQTARPHSVLDLVHSLFTAFSRELWQGGLTTVFVVYAQTVGVRVERNVSGGVKLMEGGSKLFGSGGRLFGTAMLELVEDFTARKVNQRMVTAQKPMLATAMVTGLLKSVAVRVGYNSNELAKFCLTAINELEATWMFVAENQLELLCKAVACRVAMSRDTLLSRANKYIDRHSSAAAGGLGSLVKQLSMLIESRGQSEASKLSKLLNNVTEPFASGLMRGMKEYFAGVCAVAALKTPLYAATDDKNRIIDNCKDLTQFVTFTDGISYLAALNKALLKRTPPGPSSSILGTLQEVLKKQKEKVDTKNAAVLVKDLFRLWEFIFVPRKAETIQALAEENLLLSHALEM
+>sp|Q8NHY5|HUS1B_HUMAN Checkpoint protein HUS1B OS=Homo sapiens OX=9606 GN=HUS1B PE=1 SV=2
+MKFRAKITGKGCLELFIHVSGTVARLAKVCVLRVRPDSLCFGPAGSGGLHEARLWCEVRQGAFQQFRMEGVSEDLDEIHLELTAEHLSRAARSAAGASSLKLQLTHKRRPSLTVAVELVSSLGRARSVVHDLPVRVLPRRVWRDCLPPSLRASDASIRLPRWRTLRSIVERMANVGSHVLVEANLSGRMTLSIETEVVSIQSYFKNLGNPPQSAVGVPENRDLESMVQVRVDNRKLLQFLEGQQIHPTTALCNIWDNTLLQLVLVQEDVSLQYFIPAL
+>DECOY_sp|Q8NHY5|HUS1B_HUMAN Checkpoint protein HUS1B OS=Homo sapiens OX=9606 GN=HUS1B PE=1 SV=2
+LAPIFYQLSVDEQVLVLQLLTNDWINCLATTPHIQQGELFQLLKRNDVRVQVMSELDRNEPVGVASQPPNGLNKFYSQISVVETEISLTMRGSLNAEVLVHSGVNAMREVISRLTRWRPLRISADSARLSPPLCDRWVRRPLVRVPLDHVVSRARGLSSVLEVAVTLSPRRKHTLQLKLSSAGAASRAARSLHEATLELHIEDLDESVGEMRFQQFAGQRVECWLRAEHLGGSGAPGFCLSDPRVRLVCVKALRAVTGSVHIFLELCGKGTIKARFKM
+>sp|A0A0C4DH29|HV103_HUMAN Immunoglobulin heavy variable 1-3 OS=Homo sapiens OX=9606 GN=IGHV1-3 PE=3 SV=1
+MDWTWRILFLVAAATGAHSQVQLVQSGAEVKKPGASVKVSCKASGYTFTSYAMHWVRQAPGQRLEWMGWINAGNGNTKYSQKFQGRVTITRDTSASTAYMELSSLRSEDTAVYYCAR
+>DECOY_sp|A0A0C4DH29|HV103_HUMAN Immunoglobulin heavy variable 1-3 OS=Homo sapiens OX=9606 GN=IGHV1-3 PE=3 SV=1
+RACYYVATDESRLSSLEMYATSASTDRTITVRGQFKQSYKTNGNGANIWGMWELRQGPAQRVWHMAYSTFTYGSAKCSVKVSAGPKKVEAGSQVLQVQSHAGTAAAVLFLIRWTWDM
+>sp|P31271|HXA13_HUMAN Homeobox protein Hox-A13 OS=Homo sapiens OX=9606 GN=HOXA13 PE=1 SV=3
+MTASVLLHPRWIEPTVMFLYDNGGGLVADELNKNMEGAAAAAAAAAAAAAAGAGGGGFPHPAAAAAGGNFSVAAAAAAAAAAAANQCRNLMAHPAPLAPGAASAYSSAPGEAPPSAAAAAAAAAAAAAAAAAASSSGGPGPAGPAGAEAAKQCSPCSAAAQSSSGPAALPYGYFGSGYYPCARMGPHPNAIKSCAQPASAAAAAAFADKYMDTAGPAAEEFSSRAKEFAFYHQGYAAGPYHHHQPMPGYLDMPVVPGLGGPGESRHEPLGLPMESYQPWALPNGWNGQMYCPKEQAQPPHLWKSTLPDVVSHPSDASSYRRGRKKRVPYTKVQLKELEREYATNKFITKDKRRRISATTNLSERQVTIWFQNRRVKEKKVINKLKTTS
+>DECOY_sp|P31271|HXA13_HUMAN Homeobox protein Hox-A13 OS=Homo sapiens OX=9606 GN=HOXA13 PE=1 SV=3
+STTKLKNIVKKEKVRRNQFWITVQRESLNTTASIRRRKDKTIFKNTAYERELEKLQVKTYPVRKKRGRRYSSADSPHSVVDPLTSKWLHPPQAQEKPCYMQGNWGNPLAWPQYSEMPLGLPEHRSEGPGGLGPVVPMDLYGPMPQHHHYPGAAYGQHYFAFEKARSSFEEAAPGATDMYKDAFAAAAAASAPQACSKIANPHPGMRACPYYGSGFYGYPLAAPGSSSQAAASCPSCQKAAEAGAPGAPGPGGSSSAAAAAAAAAAAAAAAAAASPPAEGPASSYASAAGPALPAPHAMLNRCQNAAAAAAAAAAAAVSFNGGAAAAAPHPFGGGGAGAAAAAAAAAAAAAAGEMNKNLEDAVLGGGNDYLFMVTPEIWRPHLLVSATM
+>sp|P14651|HXB3_HUMAN Homeobox protein Hox-B3 OS=Homo sapiens OX=9606 GN=HOXB3 PE=2 SV=2
+MQKATYYDNAAAALFGGYSSYPGSNGFGFDVPPQPPFQAATHLEGDYQRSACSLQSLGNAAPHAKSKELNGSCMRPGLAPEPLSAPPGSPPPSAAPTSATSNSSNGGGPSKSGPPKCGPGTNSTLTKQIFPWMKESRQTSKLKNNSPGTAEGCGGGGGGGGGGGSGGSGGGGGGGGGGDKSPPGSAASKRARTAYTSAQLVELEKEFHFNRYLCRPRRVEMANLLNLSERQIKIWFQNRRMKYKKDQKAKGLASSSGGPSPAGSPPQPMQSTAGFMNALHSMTPSYESPSPPAFGKAHQNAYALPSNYQPPLKGCGAPQKYPPTPAPEYEPHVLQANGGAYGTPTMQGSPVYVGGGGYADPLPPPAGPSLYGLNHLSHHPSGNLDYNGAPPMAPSQHHGPCEPHPTYTDLSSHHAPPPQGRIQEAPKLTHL
+>DECOY_sp|P14651|HXB3_HUMAN Homeobox protein Hox-B3 OS=Homo sapiens OX=9606 GN=HOXB3 PE=2 SV=2
+LHTLKPAEQIRGQPPPAHHSSLDTYTPHPECPGHHQSPAMPPAGNYDLNGSPHHSLHNLGYLSPGAPPPLPDAYGGGGVYVPSGQMTPTGYAGGNAQLVHPEYEPAPTPPYKQPAGCGKLPPQYNSPLAYANQHAKGFAPPSPSEYSPTMSHLANMFGATSQMPQPPSGAPSPGGSSSALGKAKQDKKYKMRRNQFWIKIQRESLNLLNAMEVRRPRCLYRNFHFEKELEVLQASTYATRARKSAASGPPSKDGGGGGGGGGGSGGSGGGGGGGGGGGCGEATGPSNNKLKSTQRSEKMWPFIQKTLTSNTGPGCKPPGSKSPGGGNSSNSTASTPAASPPPSGPPASLPEPALGPRMCSGNLEKSKAHPAANGLSQLSCASRQYDGELHTAAQFPPQPPVDFGFGNSGPYSSYGGFLAAAANDYYTAKQM
+>sp|P28356|HXD9_HUMAN Homeobox protein Hox-D9 OS=Homo sapiens OX=9606 GN=HOXD9 PE=1 SV=5
+MLGGSAGRLKMSSSGTLSNYYVDSLIGHEGDEVFAARFGPPGPGAQGRPAGVADGPAATAAEFASCSFAPRSAVFSASWSAVPSQPPAAAAMSGLYHPYVPPPPLAASASEPGRYVRSWMEPLPGFPGGAGGGGGGGGGGPGRGPSPGPSGPANGRHYGIKPETRAAPAPATAASTTSSSSTSLSSSSKRTECSVARESQGSSGPEFSCNSFLQEKAAAATGGTGPGAGIGAATGTGGSSEPSACSDHPIPGCSLKEEEKQHSQPQQQQLDPNNPAANWIHARSTRKKRCPYTKYQTLELEKEFLFNMYLTRDRRYEVARILNLTERQVKIWFQNRRMKMKKMSKEKCPKGD
+>DECOY_sp|P28356|HXD9_HUMAN Homeobox protein Hox-D9 OS=Homo sapiens OX=9606 GN=HOXD9 PE=1 SV=5
+DGKPCKEKSMKKMKMRRNQFWIKVQRETLNLIRAVEYRRDRTLYMNFLFEKELELTQYKTYPCRKKRTSRAHIWNAAPNNPDLQQQQPQSHQKEEEKLSCGPIPHDSCASPESSGGTGTAAGIGAGPGTGGTAAAAKEQLFSNCSFEPGSSGQSERAVSCETRKSSSSLSTSSSSTTSAATAPAPAARTEPKIGYHRGNAPGSPGPSPGRGPGGGGGGGGGGAGGPFGPLPEMWSRVYRGPESASAALPPPPVYPHYLGSMAAAAPPQSPVASWSASFVASRPAFSCSAFEAATAAPGDAVGAPRGQAGPGPPGFRAAFVEDGEHGILSDVYYNSLTGSSSMKLRGASGGLM
+>sp|O43820|HYAL3_HUMAN Hyaluronidase-3 OS=Homo sapiens OX=9606 GN=HYAL3 PE=1 SV=1
+MTTQLGPALVLGVALCLGCGQPLPQVPERPFSVLWNVPSAHCEARFGVHLPLNALGIIANRGQHFHGQNMTIFYKNQLGLYPYFGPRGTAHNGGIPQALPLDRHLALAAYQIHHSLRPGFAGPAVLDWEEWCPLWAGNWGRRRAYQAASWAWAQQVFPDLDPQEQLYKAYTGFEQAARALMEDTLRVAQALRPHGLWGFYHYPACGNGWHSMASNYTGRCHAATLARNTQLHWLWAASSALFPSIYLPPRLPPAHHQAFVRHRLEEAFRVALVGHRHPLPVLAYVRLTHRRSGRFLSQDDLVQSIGVSAALGAAGVVLWGDLSLSSSEEECWHLHDYLVDTLGPYVINVTRAAMACSHQRCHGHGRCARRDPGQMEAFLHLWPDGSLGDWKSFSCHCYWGWAGPTCQEPRPGPKEAV
+>DECOY_sp|O43820|HYAL3_HUMAN Hyaluronidase-3 OS=Homo sapiens OX=9606 GN=HYAL3 PE=1 SV=1
+VAEKPGPRPEQCTPGAWGWYCHCSFSKWDGLSGDPWLHLFAEMQGPDRRACRGHGHCRQHSCAMAARTVNIVYPGLTDVLYDHLHWCEEESSSLSLDGWLVVGAAGLAASVGISQVLDDQSLFRGSRRHTLRVYALVPLPHRHGVLAVRFAEELRHRVFAQHHAPPLRPPLYISPFLASSAAWLWHLQTNRALTAAHCRGTYNSAMSHWGNGCAPYHYFGWLGHPRLAQAVRLTDEMLARAAQEFGTYAKYLQEQPDLDPFVQQAWAWSAAQYARRRGWNGAWLPCWEEWDLVAPGAFGPRLSHHIQYAALALHRDLPLAQPIGGNHATGRPGFYPYLGLQNKYFITMNQGHFHQGRNAIIGLANLPLHVGFRAECHASPVNWLVSFPREPVQPLPQGCGLCLAVGLVLAPGLQTTM
+>sp|Q92839|HYAS1_HUMAN Hyaluronan synthase 1 OS=Homo sapiens OX=9606 GN=HAS1 PE=2 SV=2
+MRQQDAPKPTPAACRCSGLARRVLTIAFALLILGLMTWAYAAGVPLASDRYGLLAFGLYGAFLSAHLVAQSLFAYLEHRRVAAAARGPLDAATARSVALTISAYQEDPAYLRQCLASARALLYPRARLRVLMVVDGNRAEDLYMVDMFREVFADEDPATYVWDGNYHQPWEPAAAGAVGAGAYREVEAEDPGRLAVEALVRTRRCVCVAQRWGGKREVMYTAFKALGDSVDYVQVCDSDTRLDPMALLELVRVLDEDPRVGAVGGDVRILNPLDSWVSFLSSLRYWVAFNVERACQSYFHCVSCISGPLGLYRNNLLQQFLEAWYNQKFLGTHCTFGDDRHLTNRMLSMGYATKYTSRSRCYSETPSSFLRWLSQQTRWSKSYFREWLYNALWWHRHHAWMTYEAVVSGLFPFFVAATVLRLFYAGRPWALLWVLLCVQGVALAKAAFAAWLRGCLRMVLLSLYAPLYMCGLLPAKFLALVTMNQSGWGTSGRRKLAANYVPLLPLALWALLLLGGLVRSVAHEARADWSGPSRAAEAYHLAAGAGAYVGYWVAMLTLYWVGVRRLCRRRTGGYRVQV
+>DECOY_sp|Q92839|HYAS1_HUMAN Hyaluronan synthase 1 OS=Homo sapiens OX=9606 GN=HAS1 PE=2 SV=2
+VQVRYGGTRRRCLRRVGVWYLTLMAVWYGVYAGAGAALHYAEAARSPGSWDARAEHAVSRVLGGLLLLAWLALPLLPVYNAALKRRGSTGWGSQNMTVLALFKAPLLGCMYLPAYLSLLVMRLCGRLWAAFAAKALAVGQVCLLVWLLAWPRGAYFLRLVTAAVFFPFLGSVVAEYTMWAHHRHWWLANYLWERFYSKSWRTQQSLWRLFSSPTESYCRSRSTYKTAYGMSLMRNTLHRDDGFTCHTGLFKQNYWAELFQQLLNNRYLGLPGSICSVCHFYSQCAREVNFAVWYRLSSLFSVWSDLPNLIRVDGGVAGVRPDEDLVRVLELLAMPDLRTDSDCVQVYDVSDGLAKFATYMVERKGGWRQAVCVCRRTRVLAEVALRGPDEAEVERYAGAGVAGAAAPEWPQHYNGDWVYTAPDEDAFVERFMDVMYLDEARNGDVVMLVRLRARPYLLARASALCQRLYAPDEQYASITLAVSRATAADLPGRAAAAVRRHELYAFLSQAVLHASLFAGYLGFALLGYRDSALPVGAAYAWTMLGLILLAFAITLVRRALGSCRCAAPTPKPADQQRM
+>sp|Q9NX55|HYPK_HUMAN Huntingtin-interacting protein K OS=Homo sapiens OX=9606 GN=HYPK PE=1 SV=2
+MRRRGEIDMATEGDVELELETETSGPERPPEKPRKHDSGAADLERVTDYAEEKEIQSSNLETAMSVIGDRRSREQKAKQEREKELAKVTIKKEDLELIMTEMEISRAAAERSLREHMGNVVEALIALTN
+>DECOY_sp|Q9NX55|HYPK_HUMAN Huntingtin-interacting protein K OS=Homo sapiens OX=9606 GN=HYPK PE=1 SV=2
+NTLAILAEVVNGMHERLSREAAARSIEMETMILELDEKKITVKALEKEREQKAKQERSRRDGIVSMATELNSSQIEKEEAYDTVRELDAAGSDHKRPKEPPREPGSTETELELEVDGETAMDIEGRRRM
+>sp|Q14626|I11RA_HUMAN Interleukin-11 receptor subunit alpha OS=Homo sapiens OX=9606 GN=IL11RA PE=1 SV=2
+MSSSCSGLSRVLVAVATALVSASSPCPQAWGPPGVQYGQPGRSVKLCCPGVTAGDPVSWFRDGEPKLLQGPDSGLGHELVLAQADSTDEGTYICQTLDGALGGTVTLQLGYPPARPVVSCQAADYENFSCTWSPSQISGLPTRYLTSYRKKTVLGADSQRRSPSTGPWPCPQDPLGAARCVVHGAEFWSQYRINVTEVNPLGASTRLLDVSLQSILRPDPPQGLRVESVPGYPRRLRASWTYPASWPCQPHFLLKFRLQYRPAQHPAWSTVEPAGLEEVITDAVAGLPHAVRVSARDFLDAGTWSTWSPEAWGTPSTGTIPKEIPAWGQLHTQPEVEPQVDSPAPPRPSLQPHPRLLDHRDSVEQVAVLASLGILSFLGLVAGALALGLWLRLRRGGKDGSPKPGFLASVIPVDRRPGAPNL
+>DECOY_sp|Q14626|I11RA_HUMAN Interleukin-11 receptor subunit alpha OS=Homo sapiens OX=9606 GN=IL11RA PE=1 SV=2
+LNPAGPRRDVPIVSALFGPKPSGDKGGRRLRLWLGLALAGAVLGLFSLIGLSALVAVQEVSDRHDLLRPHPQLSPRPPAPSDVQPEVEPQTHLQGWAPIEKPITGTSPTGWAEPSWTSWTGADLFDRASVRVAHPLGAVADTIVEELGAPEVTSWAPHQAPRYQLRFKLLFHPQCPWSAPYTWSARLRRPYGPVSEVRLGQPPDPRLISQLSVDLLRTSAGLPNVETVNIRYQSWFEAGHVVCRAAGLPDQPCPWPGTSPSRRQSDAGLVTKKRYSTLYRTPLGSIQSPSWTCSFNEYDAAQCSVVPRAPPYGLQLTVTGGLAGDLTQCIYTGEDTSDAQALVLEHGLGSDPGQLLKPEGDRFWSVPDGATVGPCCLKVSRGPQGYQVGPPGWAQPCPSSASVLATAVAVLVRSLGSCSSSM
+>sp|Q99665|I12R2_HUMAN Interleukin-12 receptor subunit beta-2 OS=Homo sapiens OX=9606 GN=IL12RB2 PE=1 SV=1
+MAHTFRGCSLAFMFIITWLLIKAKIDACKRGDVTVKPSHVILLGSTVNITCSLKPRQGCFHYSRRNKLILYKFDRRINFHHGHSLNSQVTGLPLGTTLFVCKLACINSDEIQICGAEIFVGVAPEQPQNLSCIQKGEQGTVACTWERGRDTHLYTEYTLQLSGPKNLTWQKQCKDIYCDYLDFGINLTPESPESNFTAKVTAVNSLGSSSSLPSTFTFLDIVRPLPPWDIRIKFQKASVSRCTLYWRDEGLVLLNRLRYRPSNSRLWNMVNVTKAKGRHDLLDLKPFTEYEFQISSKLHLYKGSWSDWSESLRAQTPEEEPTGMLDVWYMKRHIDYSRQQISLFWKNLSVSEARGKILHYQVTLQELTGGKAMTQNITGHTSWTTVIPRTGNWAVAVSAANSKGSSLPTRINIMNLCEAGLLAPRQVSANSEGMDNILVTWQPPRKDPSAVQEYVVEWRELHPGGDTQVPLNWLRSRPYNVSALISENIKSYICYEIRVYALSGDQGGCSSILGNSKHKAPLSGPHINAITEEKGSILISWNSIPVQEQMGCLLHYRIYWKERDSNSQPQLCEIPYRVSQNSHPINSLQPRVTYVLWMTALTAAGESSHGNEREFCLQGKANWMAFVAPSICIAIIMVGIFSTHYFQQKVFVLLAALRPQWCSREIPDPANSTCAKKYPIAEEKTQLPLDRLLIDWPTPEDPEPLVISEVLHQVTPVFRHPPCSNWPQREKGIQGHQASEKDMMHSASSPPPPRALQAESRQLVDLYKVLESRGSDPKPENPACPWTVLPAGDLPTHDGYLPSNIDDLPSHEAPLADSLEELEPQHISLSVFPSSSLHPLTFSCGDKLTLDQLKMRCDSLML
+>DECOY_sp|Q99665|I12R2_HUMAN Interleukin-12 receptor subunit beta-2 OS=Homo sapiens OX=9606 GN=IL12RB2 PE=1 SV=1
+LMLSDCRMKLQDLTLKDGCSFTLPHLSSSPFVSLSIHQPELEELSDALPAEHSPLDDINSPLYGDHTPLDGAPLVTWPCAPNEPKPDSGRSELVKYLDVLQRSEAQLARPPPPSSASHMMDKESAQHGQIGKERQPWNSCPPHRFVPTVQHLVESIVLPEPDEPTPWDILLRDLPLQTKEEAIPYKKACTSNAPDPIERSCWQPRLAALLVFVKQQFYHTSFIGVMIIAICISPAVFAMWNAKGQLCFERENGHSSEGAATLATMWLVYTVRPQLSNIPHSNQSVRYPIECLQPQSNSDREKWYIRYHLLCGMQEQVPISNWSILISGKEETIANIHPGSLPAKHKSNGLISSCGGQDGSLAYVRIEYCIYSKINESILASVNYPRSRLWNLPVQTDGGPHLERWEVVYEQVASPDKRPPQWTVLINDMGESNASVQRPALLGAECLNMINIRTPLSSGKSNAASVAVAWNGTRPIVTTWSTHGTINQTMAKGGTLEQLTVQYHLIKGRAESVSLNKWFLSIQQRSYDIHRKMYWVDLMGTPEEEPTQARLSESWDSWSGKYLHLKSSIQFEYETFPKLDLLDHRGKAKTVNVMNWLRSNSPRYRLRNLLVLGEDRWYLTCRSVSAKQFKIRIDWPPLPRVIDLFTFTSPLSSSSGLSNVATVKATFNSEPSEPTLNIGFDLYDCYIDKCQKQWTLNKPGSLQLTYETYLHTDRGREWTCAVTGQEGKQICSLNQPQEPAVGVFIEAGCIQIEDSNICALKCVFLTTGLPLGTVQSNLSHGHHFNIRRDFKYLILKNRRSYHFCGQRPKLSCTINVTSGLLIVHSPKVTVDGRKCADIKAKILLWTIIFMFALSCGRFTHAM
+>sp|Q14627|I13R2_HUMAN Interleukin-13 receptor subunit alpha-2 OS=Homo sapiens OX=9606 GN=IL13RA2 PE=1 SV=1
+MAFVCLAIGCLYTFLISTTFGCTSSSDTEIKVNPPQDFEIVDPGYLGYLYLQWQPPLSLDHFKECTVEYELKYRNIGSETWKTIITKNLHYKDGFDLNKGIEAKIHTLLPWQCTNGSEVQSSWAETTYWISPQGIPETKVQDMDCVYYNWQYLLCSWKPGIGVLLDTNYNLFYWYEGLDHALQCVDYIKADGQNIGCRFPYLEASDYKDFYICVNGSSENKPIRSSYFTFQLQNIVKPLPPVYLTFTRESSCEIKLKWSIPLGPIPARCFDYEIEIREDDTTLVTATVENETYTLKTTNETRQLCFVVRSKVNIYCSDDGIWSEWSDKQCWEGEDLSKKTLLRFWLPFGFILILVIFVTGLLLRKPNTYPKMIPEFFCDT
+>DECOY_sp|Q14627|I13R2_HUMAN Interleukin-13 receptor subunit alpha-2 OS=Homo sapiens OX=9606 GN=IL13RA2 PE=1 SV=1
+TDCFFEPIMKPYTNPKRLLLGTVFIVLILIFGFPLWFRLLTKKSLDEGEWCQKDSWESWIGDDSCYINVKSRVVFCLQRTENTTKLTYTENEVTATVLTTDDERIEIEYDFCRAPIPGLPISWKLKIECSSERTFTLYVPPLPKVINQLQFTFYSSRIPKNESSGNVCIYFDKYDSAELYPFRCGINQGDAKIYDVCQLAHDLGEYWYFLNYNTDLLVGIGPKWSCLLYQWNYYVCDMDQVKTEPIGQPSIWYTTEAWSSQVESGNTCQWPLLTHIKAEIGKNLDFGDKYHLNKTIITKWTESGINRYKLEYEVTCEKFHDLSLPPQWQLYLYGLYGPDVIEFDQPPNVKIETDSSSTCGFTTSILFTYLCGIALCVFAM
+>sp|Q8NFM7|I17RD_HUMAN Interleukin-17 receptor D OS=Homo sapiens OX=9606 GN=IL17RD PE=1 SV=3
+MAPWLQLCSVFFTVNACLNGSQLAVAAGGSGRARGADTCGWRGVGPASRNSGLYNITFKYDNCTTYLNPVGKHVIADAQNITISQYACHDQVAVTILWSPGALGIEFLKGFRVILEELKSEGRQCQQLILKDPKQLNSSFKRTGMESQPFLNMKFETDYFVKVVPFPSIKNESNYHPFFFRTRACDLLLQPDNLACKPFWKPRNLNISQHGSDMQVSFDHAPHNFGFRFFYLHYKLKHEGPFKRKTCKQEQTTETTSCLLQNVSPGDYIIELVDDTNTTRKVMHYALKPVHSPWAGPIRAVAITVPLVVISAFATLFTVMCRKKQQENIYSHLDEESSESSTYTAALPRERLRPRPKVFLCYSSKDGQNHMNVVQCFAYFLQDFCGCEVALDLWEDFSLCREGQREWVIQKIHESQFIIVVCSKGMKYFVDKKNYKHKGGGRGSGKGELFLVAVSAIAEKLRQAKQSSSAALSKFIAVYFDYSCEGDVPGILDLSTKYRLMDNLPQLCSHLHSRDHGLQEPGQHTRQGSRRNYFRSKSGRSLYVAICNMHQFIDEEPDWFEKQFVPFHPPPLRYREPVLEKFDSGLVLNDVMCKPGPESDFCLKVEAAVLGATGPADSQHESQHGGLDQDGEARPALDGSAALQPLLHTVKAGSPSDMPRDSGIYDSSVPSSELSLPLMEGLSTDQTETSSLTESVSSSSGLGEEEPPALPSKLLSSGSCKADLGCRSYTDELHAVAPL
+>DECOY_sp|Q8NFM7|I17RD_HUMAN Interleukin-17 receptor D OS=Homo sapiens OX=9606 GN=IL17RD PE=1 SV=3
+LPAVAHLEDTYSRCGLDAKCSGSSLLKSPLAPPEEEGLGSSSSVSETLSSTETQDTSLGEMLPLSLESSPVSSDYIGSDRPMDSPSGAKVTHLLPQLAASGDLAPRAEGDQDLGGHQSEHQSDAPGTAGLVAAEVKLCFDSEPGPKCMVDNLVLGSDFKELVPERYRLPPPHFPVFQKEFWDPEEDIFQHMNCIAVYLSRGSKSRFYNRRSGQRTHQGPEQLGHDRSHLHSCLQPLNDMLRYKTSLDLIGPVDGECSYDFYVAIFKSLAASSSQKAQRLKEAIASVAVLFLEGKGSGRGGGKHKYNKKDVFYKMGKSCVVIIFQSEHIKQIVWERQGERCLSFDEWLDLAVECGCFDQLFYAFCQVVNMHNQGDKSSYCLFVKPRPRLRERPLAATYTSSESSEEDLHSYINEQQKKRCMVTFLTAFASIVVLPVTIAVARIPGAWPSHVPKLAYHMVKRTTNTDDVLEIIYDGPSVNQLLCSTTETTQEQKCTKRKFPGEHKLKYHLYFFRFGFNHPAHDFSVQMDSGHQSINLNRPKWFPKCALNDPQLLLDCARTRFFFPHYNSENKISPFPVVKVFYDTEFKMNLFPQSEMGTRKFSSNLQKPDKLILQQCQRGESKLEELIVRFGKLFEIGLAGPSWLITVAVQDHCAYQSITINQADAIVHKGVPNLYTTCNDYKFTINYLGSNRSAPGVGRWGCTDAGRARGSGGAAVALQSGNLCANVTFFVSCLQLWPAM
+>sp|Q9UHF4|I20RA_HUMAN Interleukin-20 receptor subunit alpha OS=Homo sapiens OX=9606 GN=IL20RA PE=1 SV=2
+MRAPGRPALRPLPLPPLLLLLLAAPWGRAVPCVSGGLPKPANITFLSINMKNVLQWTPPEGLQGVKVTYTVQYFIYGQKKWLNKSECRNINRTYCDLSAETSDYEHQYYAKVKAIWGTKCSKWAESGRFYPFLETQIGPPEVALTTDEKSISVVLTAPEKWKRNPEDLPVSMQQIYSNLKYNVSVLNTKSNRTWSQCVTNHTLVLTWLEPNTLYCVHVESFVPGPPRRAQPSEKQCARTLKDQSSEFKAKIIFWYVLPVSITVFLFSVMGYSIYRYIHVGKEKHPANLILIYGNEFDKRFFVPAEKIVINFITLNISDDSKISHQDMSLLGKSSDVSSLNDPQPSGNLRPPQEEEEVKHLGYASHLMEIFCDSEENTEGTSLTQQESLSRTIPPDKTVIEYEYDVRTTDICAGPEEQELSLQEEVSTQGTLLESQAALAVLGPQTLQYSYTPQLQDLDPLAQEHTDSEEGPEEEPSTTLVDWDPQTGRLCIPSLSSFDQDSEGCEPSEGDGLGEEGLLSRLYEEPAPDRPPGENETYLMQFMEEWGLYVQMEN
+>DECOY_sp|Q9UHF4|I20RA_HUMAN Interleukin-20 receptor subunit alpha OS=Homo sapiens OX=9606 GN=IL20RA PE=1 SV=2
+NEMQVYLGWEEMFQMLYTENEGPPRDPAPEEYLRSLLGEEGLGDGESPECGESDQDFSSLSPICLRGTQPDWDVLTTSPEEEPGEESDTHEQALPDLDQLQPTYSYQLTQPGLVALAAQSELLTGQTSVEEQLSLEQEEPGACIDTTRVDYEYEIVTKDPPITRSLSEQQTLSTGETNEESDCFIEMLHSAYGLHKVEEEEQPPRLNGSPQPDNLSSVDSSKGLLSMDQHSIKSDDSINLTIFNIVIKEAPVFFRKDFENGYILILNAPHKEKGVHIYRYISYGMVSFLFVTISVPLVYWFIIKAKFESSQDKLTRACQKESPQARRPPGPVFSEVHVCYLTNPELWTLVLTHNTVCQSWTRNSKTNLVSVNYKLNSYIQQMSVPLDEPNRKWKEPATLVVSISKEDTTLAVEPPGIQTELFPYFRGSEAWKSCKTGWIAKVKAYYQHEYDSTEASLDCYTRNINRCESKNLWKKQGYIFYQVTYTVKVGQLGEPPTWQLVNKMNISLFTINAPKPLGGSVCPVARGWPAALLLLLLPPLPLPRLAPRGPARM
+>sp|Q969J5|I22R2_HUMAN Interleukin-22 receptor subunit alpha-2 OS=Homo sapiens OX=9606 GN=IL22RA2 PE=1 SV=1
+MMPKHCFLGFLISFFLTGVAGTQSTHESLKPQRVQFQSRNFHNILQWQPGRALTGNSSVYFVQYKIMFSCSMKSSHQKPSGCWQHISCNFPGCRTLAKYGQRQWKNKEDCWGTQELSCDLTSETSDIQEPYYGRVRAASAGSYSEWSMTPRFTPWWETKIDPPVMNITQVNGSLLVILHAPNLPYRYQKEKNVSIEDYYELLYRVFIINNSLEKEQKVYEGAHRAVEIEALTPHSSYCVVAEIYQPMLDRRSQRSEERCVEIP
+>DECOY_sp|Q969J5|I22R2_HUMAN Interleukin-22 receptor subunit alpha-2 OS=Homo sapiens OX=9606 GN=IL22RA2 PE=1 SV=1
+PIEVCREESRQSRRDLMPQYIEAVVCYSSHPTLAEIEVARHAGEYVKQEKELSNNIIFVRYLLEYYDEISVNKEKQYRYPLNPAHLIVLLSGNVQTINMVPPDIKTEWWPTFRPTMSWESYSGASAARVRGYYPEQIDSTESTLDCSLEQTGWCDEKNKWQRQGYKALTRCGPFNCSIHQWCGSPKQHSSKMSCSFMIKYQVFYVSSNGTLARGPQWQLINHFNRSQFQVRQPKLSEHTSQTGAVGTLFFSILFGLFCHKPMM
+>sp|Q9UBH0|I36RA_HUMAN Interleukin-36 receptor antagonist protein OS=Homo sapiens OX=9606 GN=IL36RN PE=1 SV=1
+MVLSGALCFRMKDSALKVLYLHNNQLLAGGLHAGKVIKGEEISVVPNRWLDASLSPVILGVQGGSQCLSCGVGQEPTLTLEPVNIMELYLGAKESKSFTFYRRDMGLTSSFESAAYPGWFLCTVPEADQPVRLTQLPENGGWNAPITDFYFQQCD
+>DECOY_sp|Q9UBH0|I36RA_HUMAN Interleukin-36 receptor antagonist protein OS=Homo sapiens OX=9606 GN=IL36RN PE=1 SV=1
+DCQQFYFDTIPANWGGNEPLQTLRVPQDAEPVTCLFWGPYAASEFSSTLGMDRRYFTFSKSEKAGLYLEMINVPELTLTPEQGVGCSLCQSGGQVGLIVPSLSADLWRNPVVSIEEGKIVKGAHLGGALLQNNHLYLVKLASDKMRFCLAGSLVM
+>sp|P08833|IBP1_HUMAN Insulin-like growth factor-binding protein 1 OS=Homo sapiens OX=9606 GN=IGFBP1 PE=1 SV=1
+MSEVPVARVWLVLLLLTVQVGVTAGAPWQCAPCSAEKLALCPPVSASCSEVTRSAGCGCCPMCALPLGAACGVATARCARGLSCRALPGEQQPLHALTRGQGACVQESDASAPHAAEAGSPESPESTEITEEELLDNFHLMAPSEEDHSILWDAISTYDGSKALHVTNIKKWKEPCRIELYRVVESLAKAQETSGEEISKFYLPNCNKNGFYHSRQCETSMDGEAGLCWCVYPWNGKRIPGSPEIRGDPNCQIYFNVQN
+>DECOY_sp|P08833|IBP1_HUMAN Insulin-like growth factor-binding protein 1 OS=Homo sapiens OX=9606 GN=IGFBP1 PE=1 SV=1
+NQVNFYIQCNPDGRIEPSGPIRKGNWPYVCWCLGAEGDMSTECQRSHYFGNKNCNPLYFKSIEEGSTEQAKALSEVVRYLEIRCPEKWKKINTVHLAKSGDYTSIADWLISHDEESPAMLHFNDLLEEETIETSEPSEPSGAEAAHPASADSEQVCAGQGRTLAHLPQQEGPLARCSLGRACRATAVGCAAGLPLACMPCCGCGASRTVESCSASVPPCLALKEASCPACQWPAGATVGVQVTLLLLVLWVRAVPVESM
+>sp|Q16270|IBP7_HUMAN Insulin-like growth factor-binding protein 7 OS=Homo sapiens OX=9606 GN=IGFBP7 PE=1 SV=1
+MERPSLRALLLGAAGLLLLLLPLSSSSSSDTCGPCEPASCPPLPPLGCLLGETRDACGCCPMCARGEGEPCGGGGAGRGYCAPGMECVKSRKRRKGKAGAAAGGPGVSGVCVCKSRYPVCGSDGTTYPSGCQLRAASQRAESRGEKAITQVSKGTCEQGPSIVTPPKDIWNVTGAQVYLSCEVIGIPTPVLIWNKVKRGHYGVQRTELLPGDRDNLAIQTRGGPEKHEVTGWVLVSPLSKEDAGEYECHASNSQGQASASAKITVVDALHEIPVKKGEGAEL
+>DECOY_sp|Q16270|IBP7_HUMAN Insulin-like growth factor-binding protein 7 OS=Homo sapiens OX=9606 GN=IGFBP7 PE=1 SV=1
+LEAGEGKKVPIEHLADVVTIKASASAQGQSNSAHCEYEGADEKSLPSVLVWGTVEHKEPGGRTQIALNDRDGPLLETRQVGYHGRKVKNWILVPTPIGIVECSLYVQAGTVNWIDKPPTVISPGQECTGKSVQTIAKEGRSEARQSAARLQCGSPYTTGDSGCVPYRSKCVCVGSVGPGGAAAGAKGKRRKRSKVCEMGPACYGRGAGGGGCPEGEGRACMPCCGCADRTEGLLCGLPPLPPCSAPECPGCTDSSSSSSLPLLLLLLGAAGLLLARLSPREM
+>sp|O60725|ICMT_HUMAN Protein-S-isoprenylcysteine O-methyltransferase OS=Homo sapiens OX=9606 GN=ICMT PE=1 SV=1
+MAGCAARAPPGSEARLSLATFLLGASVLALPLLTRAGLQGRTGLALYVAGLNALLLLLYRPPRYQIAIRACFLGFVFGCGTLLSFSQSSWSHFGWYMCSLSLFHYSEYLVTAVNNPKSLSLDSFLLNHSLEYTVAALSSWLEFTLENIFWPELKQITWLSVTGLLMVVFGECLRKAAMFTAGSNFNHVVQNEKSDTHTLVTSGVYAWFRHPSYVGWFYWSIGTQVMLCNPICGVSYALTVWRFFRDRTEEEEISLIHFFGEEYLEYKKRVPTGLPFIKGVKVDL
+>DECOY_sp|O60725|ICMT_HUMAN Protein-S-isoprenylcysteine O-methyltransferase OS=Homo sapiens OX=9606 GN=ICMT PE=1 SV=1
+LDVKVGKIFPLGTPVRKKYELYEEGFFHILSIEEEETRDRFFRWVTLAYSVGCIPNCLMVQTGISWYFWGVYSPHRFWAYVGSTVLTHTDSKENQVVHNFNSGATFMAAKRLCEGFVVMLLGTVSLWTIQKLEPWFINELTFELWSSLAAVTYELSHNLLFSDLSLSKPNNVATVLYESYHFLSLSCMYWGFHSWSSQSFSLLTGCGFVFGLFCARIAIQYRPPRYLLLLLANLGAVYLALGTRGQLGARTLLPLALVSAGLLFTALSLRAESGPPARAACGAM
+>sp|Q14197|ICT1_HUMAN Peptidyl-tRNA hydrolase ICT1, mitochondrial OS=Homo sapiens OX=9606 GN=MRPL58 PE=1 SV=1
+MAATRCLRWGLSRAGVWLLPPPARCPRRALHKQKDGTEFKSIYSLDKLYPESQGSDTAWRVPNGAKQADSDIPLDRLTISYCRSSGPGGQNVNKVNSKAEVRFHLATAEWIAEPVRQKIAITHKNKINRLGELILTSESSRYQFRNLADCLQKIRDMITEASQTPKEPTKEDVKLHRIRIENMNRERLRQKRIHSAVKTSRRVDMD
+>DECOY_sp|Q14197|ICT1_HUMAN Peptidyl-tRNA hydrolase ICT1, mitochondrial OS=Homo sapiens OX=9606 GN=MRPL58 PE=1 SV=1
+DMDVRRSTKVASHIRKQRLRERNMNEIRIRHLKVDEKTPEKPTQSAETIMDRIKQLCDALNRFQYRSSESTLILEGLRNIKNKHTIAIKQRVPEAIWEATALHFRVEAKSNVKNVNQGGPGSSRCYSITLRDLPIDSDAQKAGNPVRWATDSGQSEPYLKDLSYISKFETGDKQKHLARRPCRAPPPLLWVGARSLGWRLCRTAAM
+>sp|Q9HBG6|IF122_HUMAN Intraflagellar transport protein 122 homolog OS=Homo sapiens OX=9606 GN=IFT122 PE=1 SV=2
+MRAVLTWRDKAEHCINDIAFKPDGTQLILAAGSRLLVYDTSDGTLLQPLKGHKDTVYCVAYAKDGKRFASGSADKSVIIWTSKLEGILKYTHNDAIQCVSYNPITHQLASCSSSDFGLWSPEQKSVSKHKSSSKIICCSWTNDGQYLALGMFNGIISIRNKNGEEKVKIERPGGSLSPIWSICWNPSSRWESFWMNRENEDAEDVIVNRYIQEIPSTLKSAVYSSQGSEAEEEEPEEEDDSPRDDNLEERNDILAVADWGQKVSFYQLSGKQIGKDRALNFDPCCISYFTKGEYILLGGSDKQVSLFTKDGVRLGTVGEQNSWVWTCQAKPDSNYVVVGCQDGTISFYQLIFSTVHGLYKDRYAYRDSMTDVIVQHLITEQKVRIKCKELVKKIAIYRNRLAIQLPEKILIYELYSEDLSDMHYRVKEKIIKKFECNLLVVCANHIILCQEKRLQCLSFSGVKEREWQMESLIRYIKVIGGPPGREGLLVGLKNGQILKIFVDNLFAIVLLKQATAVRCLDMSASRKKLAVVDENDTCLVYDIDTKELLFQEPNANSVAWNTQCEDMLCFSGGGYLNIKASTFPVHRQKLQGFVVGYNGSKIFCLHVFSISAVEVPQSAPMYQYLDRKLFKEAYQIACLGVTDTDWRELAMEALEGLDFETAKKAFIRVQDLRYLELISSIEERKKRGETNNDLFLADVFSYQGKFHEAAKLYKRSGHENLALEMYTDLCMFEYAKDFLGSGDPKETKMLITKQADWARNIKEPKAAVEMYISAGEHVKAIEICGDHGWVDMLIDIARKLDKAEREPLLLCATYLKKLDSPGYAAETYLKMGDLKSLVQLHVETQRWDEAFALGEKHPEFKDDIYMPYAQWLAENDRFEEAQKAFHKAGRQREAVQVLEQLTNNAVAESRFNDAAYYYWMLSMQCLDIAQDPAQKDTMLGKFYHFQRLAELYHGYHAIHRHTEDPFSVHRPETLFNISRFLLHSLPKDTPSGISKVKILFTLAKQSKALGAYRLARHAYDKLRGLYIPARFQKSIELGTLTIRAKPFHDSEELVPLCYRCSTNNPLLNNLGNVCINCRQPFIFSASSYDVLHLVEFYLEEGITDEEAISLIDLEVLRPKRDDRQLEIANNSSQILRLVETKDSIGDEDPFTAKLSFEQGGSEFVPVVVSRLVLRSMSRRDVLIKRWPPPLRWQYFRSLLPDASITMCPSCFQMFHSEDYELLVLQHGCCPYCRRCKDDPGP
+>DECOY_sp|Q9HBG6|IF122_HUMAN Intraflagellar transport protein 122 homolog OS=Homo sapiens OX=9606 GN=IFT122 PE=1 SV=2
+PGPDDKCRRCYPCCGHQLVLLEYDESHFMQFCSPCMTISADPLLSRFYQWRLPPPWRKILVDRRSMSRLVLRSVVVPVFESGGQEFSLKATFPDEDGISDKTEVLRLIQSSNNAIELQRDDRKPRLVELDILSIAEEDTIGEELYFEVLHLVDYSSASFIFPQRCNICVNGLNNLLPNNTSCRYCLPVLEESDHFPKARITLTGLEISKQFRAPIYLGRLKDYAHRALRYAGLAKSQKALTFLIKVKSIGSPTDKPLSHLLFRSINFLTEPRHVSFPDETHRHIAHYGHYLEALRQFHYFKGLMTDKQAPDQAIDLCQMSLMWYYYAADNFRSEAVANNTLQELVQVAERQRGAKHFAKQAEEFRDNEALWQAYPMYIDDKFEPHKEGLAFAEDWRQTEVHLQVLSKLDGMKLYTEAAYGPSDLKKLYTACLLLPEREAKDLKRAIDILMDVWGHDGCIEIAKVHEGASIYMEVAAKPEKINRAWDAQKTILMKTEKPDGSGLFDKAYEFMCLDTYMELALNEHGSRKYLKAAEHFKGQYSFVDALFLDNNTEGRKKREEISSILELYRLDQVRIFAKKATEFDLGELAEMALERWDTDTVGLCAIQYAEKFLKRDLYQYMPASQPVEVASISFVHLCFIKSGNYGVVFGQLKQRHVPFTSAKINLYGGGSFCLMDECQTNWAVSNANPEQFLLEKTDIDYVLCTDNEDVVALKKRSASMDLCRVATAQKLLVIAFLNDVFIKLIQGNKLGVLLGERGPPGGIVKIYRILSEMQWEREKVGSFSLCQLRKEQCLIIHNACVVLLNCEFKKIIKEKVRYHMDSLDESYLEYILIKEPLQIALRNRYIAIKKVLEKCKIRVKQETILHQVIVDTMSDRYAYRDKYLGHVTSFILQYFSITGDQCGVVVYNSDPKAQCTWVWSNQEGVTGLRVGDKTFLSVQKDSGGLLIYEGKTFYSICCPDFNLARDKGIQKGSLQYFSVKQGWDAVALIDNREELNDDRPSDDEEEPEEEEAESGQSSYVASKLTSPIEQIYRNVIVDEADENERNMWFSEWRSSPNWCISWIPSLSGGPREIKVKEEGNKNRISIIGNFMGLALYQGDNTWSCCIIKSSSKHKSVSKQEPSWLGFDSSSCSALQHTIPNYSVCQIADNHTYKLIGELKSTWIIVSKDASGSAFRKGDKAYAVCYVTDKHGKLPQLLTGDSTDYVLLRSGAALILQTGDPKFAIDNICHEAKDRWTLVARM
+>sp|O14602|IF1AY_HUMAN Eukaryotic translation initiation factor 1A, Y-chromosomal OS=Homo sapiens OX=9606 GN=EIF1AY PE=1 SV=4
+MPKNKGKGGKNRRRGKNENESEKRELVFKEDGQEYAQVIKMLGNGRLEALCFDGVKRLCHIRGKLRKKVWINTSDIILVGLRDYQDNKADVILKYNADEARSLKAYGELPEHAKINETDTFGPGDDDEIQFDDIGDDDEDIDDI
+>DECOY_sp|O14602|IF1AY_HUMAN Eukaryotic translation initiation factor 1A, Y-chromosomal OS=Homo sapiens OX=9606 GN=EIF1AY PE=1 SV=4
+IDDIDEDDDGIDDFQIEDDDGPGFTDTENIKAHEPLEGYAKLSRAEDANYKLIVDAKNDQYDRLGVLIIDSTNIWVKKRLKGRIHCLRKVGDFCLAELRGNGLMKIVQAYEQGDEKFVLERKESENENKGRRRNKGGKGKNKPM
+>sp|Q8IU54|IFNL1_HUMAN Interferon lambda-1 OS=Homo sapiens OX=9606 GN=IFNL1 PE=1 SV=1
+MAAAWTVVLVTLVLGLAVAGPVPTSKPTTTGKGCHIGRFKSLSPQELASFKKARDALEESLKLKNWSCSSPVFPGNWDLRLLQVRERPVALEAELALTLKVLEAAAGPALEDVLDQPLHTLHHILSQLQACIQPQPTAGPRPRGRLHHWLHRLQEAPKKESAGCLEASVTFNLFRLLTRDLKYVADGNLCLRTSTHPEST
+>DECOY_sp|Q8IU54|IFNL1_HUMAN Interferon lambda-1 OS=Homo sapiens OX=9606 GN=IFNL1 PE=1 SV=1
+TSEPHTSTRLCLNGDAVYKLDRTLLRFLNFTVSAELCGASEKKPAEQLRHLWHHLRGRPRPGATPQPQICAQLQSLIHHLTHLPQDLVDELAPGAAAELVKLTLALEAELAVPRERVQLLRLDWNGPFVPSSCSWNKLKLSEELADRAKKFSALEQPSLSKFRGIHCGKGTTTPKSTPVPGAVALGLVLTVLVVTWAAAM
+>sp|Q8IZI9|IFNL3_HUMAN Interferon lambda-3 OS=Homo sapiens OX=9606 GN=IFNL3 PE=1 SV=2
+MTGDCMPVLVLMAAVLTVTGAVPVARLRGALPDARGCHIAQFKSLSPQELQAFKRAKDALEESLLLKDCKCRSRLFPRTWDLRQLQVRERPVALEAELALTLKVLEATADTDPALGDVLDQPLHTLHHILSQLRACIQPQPTAGPRTRGRLHHWLHRLQEAPKKESPGCLEASVTFNLFRLLTRDLNCVASGDLCV
+>DECOY_sp|Q8IZI9|IFNL3_HUMAN Interferon lambda-3 OS=Homo sapiens OX=9606 GN=IFNL3 PE=1 SV=2
+VCLDGSAVCNLDRTLLRFLNFTVSAELCGPSEKKPAEQLRHLWHHLRGRTRPGATPQPQICARLQSLIHHLTHLPQDLVDGLAPDTDATAELVKLTLALEAELAVPRERVQLQRLDWTRPFLRSRCKCDKLLLSEELADKARKFAQLEQPSLSKFQAIHCGRADPLAGRLRAVPVAGTVTLVAAMLVLVPMCDGTM
+>sp|Q9Y366|IFT52_HUMAN Intraflagellar transport protein 52 homolog OS=Homo sapiens OX=9606 GN=IFT52 PE=1 SV=3
+MEKELRSTILFNAYKKEIFTTNNGYKSMQKKLRSNWKIQSLKDEITSEKLNGVKLWITAGPREKFTAAEFEILKKYLDTGGDVFVMLGEGGESRFDTNINFLLEEYGIMVNNDAVVRNVYHKYFHPKEALVSSGVLNREISRAAGKAVPGIIDEESSGNNAQALTFVYPFGATLSVMKPAVAVLSTGSVCFPLNRPILAFYHSKNQGGKLAVLGSCHMFSDQYLDKEENSKIMDVVFQWLTTGDIHLNQIDAEDPEISDYMMLPYTATLSKRNRECLQESDEIPRDFTTLFDLSIFQLDTTSFHSVIEAHEQLNVKHEPLQLIQPQFETPLPTLQPAVFPPSFRELPPPPLELFDLDETFSSEKARLAQITNKCTEEDLEFYVRKCGDILGVTSKLPKDQQDAKHILEHVFFQVVEFKKLNQEHDIDTSETAFQNNF
+>DECOY_sp|Q9Y366|IFT52_HUMAN Intraflagellar transport protein 52 homolog OS=Homo sapiens OX=9606 GN=IFT52 PE=1 SV=3
+FNNQFATESTDIDHEQNLKKFEVVQFFVHELIHKADQQDKPLKSTVGLIDGCKRVYFELDEETCKNTIQALRAKESSFTEDLDFLELPPPPLERFSPPFVAPQLTPLPTEFQPQILQLPEHKVNLQEHAEIVSHFSTTDLQFISLDFLTTFDRPIEDSEQLCERNRKSLTATYPLMMYDSIEPDEADIQNLHIDGTTLWQFVVDMIKSNEEKDLYQDSFMHCSGLVALKGGQNKSHYFALIPRNLPFCVSGTSLVAVAPKMVSLTAGFPYVFTLAQANNGSSEEDIIGPVAKGAARSIERNLVGSSVLAEKPHFYKHYVNRVVADNNVMIGYEELLFNINTDFRSEGGEGLMVFVDGGTDLYKKLIEFEAATFKERPGATIWLKVGNLKESTIEDKLSQIKWNSRLKKQMSKYGNNTTFIEKKYANFLITSRLEKEM
+>sp|Q9P2H3|IFT80_HUMAN Intraflagellar transport protein 80 homolog OS=Homo sapiens OX=9606 GN=IFT80 PE=1 SV=3
+MRLKISLLKEPKHQELVSCVGWTTAEELYSCSDDHQIVKWNLLTSETTQIVKLPDDIYPIDFHWFPKSLGVKKQTQAESFVLTSSDGKFHLISKLGRVEKSVEAHCGAVLAGRWNYEGTALVTVGEDGQIKIWSKTGMLRSTLAQQGTPVYSVAWGPDSEKVLYTAGKQLIIKPLQPNAKVLQWKAHDGIILKVDWNSVNDLILSAGEDCKYKVWDSYGRPLYNSQPHEHPITSVAWAPDGELFAVGSFHTLRLCDKTGWSYALEKPNTGSIFNIAWSIDGTQIAGACGNGHVVFAHVVEQHWEWKNFQVTLTKRRAMQVRNVLNDAVDLLEFRDRVIKASLNYAHLVVSTSLQCYVFSTKNWNTPIIFDLKEGTVSLILQAERHFLLVDGSSIYLYSYEGRFISSPKFPGMRTDILNAQTVSLSNDTIAIRDKADEKIIFLFEASTGKPLGDGKFLSHKNEILEIALDQKGLTNDRKIAFIDKNRDLCITSVKRFGKEEQIIKLGTMVHTLAWNDTCNILCGLQDTRFIVWYYPNTVYVDRDILPKTLYERDASEFSKNPHIVSFVGNQVTIRRADGSLVHISITPYPAILHEYVSSSKWEDAVRLCRFVKEQTMWACLAAMAVANRDMTTAEIAYAAIGEIDKVQYINSIKNLPSKESKMAHILLFSGNIQEAEIVLLQAGLVYQAIQININLYNWERALELAVKYKTHVDTVLAYRQKFLETFGKQETNKRYLHYAEGLQIDWEKIKAKIEMEITKEREQSSSSQSSKSIGLKP
+>DECOY_sp|Q9P2H3|IFT80_HUMAN Intraflagellar transport protein 80 homolog OS=Homo sapiens OX=9606 GN=IFT80 PE=1 SV=3
+PKLGISKSSQSSSSQEREKTIEMEIKAKIKEWDIQLGEAYHLYRKNTEQKGFTELFKQRYALVTDVHTKYKVALELAREWNYLNINIQIAQYVLGAQLLVIEAEQINGSFLLIHAMKSEKSPLNKISNIYQVKDIEGIAAYAIEATTMDRNAVAMAALCAWMTQEKVFRCLRVADEWKSSSVYEHLIAPYPTISIHVLSGDARRITVQNGVFSVIHPNKSFESADREYLTKPLIDRDVYVTNPYYWVIFRTDQLGCLINCTDNWALTHVMTGLKIIQEEKGFRKVSTICLDRNKDIFAIKRDNTLGKQDLAIELIENKHSLFKGDGLPKGTSAEFLFIIKEDAKDRIAITDNSLSVTQANLIDTRMGPFKPSSIFRGEYSYLYISSGDVLLFHREAQLILSVTGEKLDFIIPTNWNKTSFVYCQLSTSVVLHAYNLSAKIVRDRFELLDVADNLVNRVQMARRKTLTVQFNKWEWHQEVVHAFVVHGNGCAGAIQTGDISWAINFISGTNPKELAYSWGTKDCLRLTHFSGVAFLEGDPAWAVSTIPHEHPQSNYLPRGYSDWVKYKCDEGASLILDNVSNWDVKLIIGDHAKWQLVKANPQLPKIILQKGATYLVKESDPGWAVSYVPTGQQALTSRLMGTKSWIKIQGDEGVTVLATGEYNWRGALVAGCHAEVSKEVRGLKSILHFKGDSSTLVFSEAQTQKKVGLSKPFWHFDIPYIDDPLKVIQTTESTLLNWKVIQHDDSCSYLEEATTWGVCSVLEQHKPEKLLSIKLRM
+>sp|P52597|HNRPF_HUMAN Heterogeneous nuclear ribonucleoprotein F OS=Homo sapiens OX=9606 GN=HNRNPF PE=1 SV=3
+MMLGPEGGEGFVVKLRGLPWSCSVEDVQNFLSDCTIHDGAAGVHFIYTREGRQSGEAFVELGSEDDVKMALKKDRESMGHRYIEVFKSHRTEMDWVLKHSGPNSADSANDGFVRLRGLPFGCTKEEIVQFFSGLEIVPNGITLPVDPEGKITGEAFVQFASQELAEKALGKHKERIGHRYIEVFKSSQEEVRSYSDPPLKFMSVQRPGPYDRPGTARRYIGIVKQAGLERMRPGAYSTGYGGYEEYSGLSDGYGFTTDLFGRDLSYCLSGMYDHRYGDSEFTVQSTTGHCVHMRGLPYKATENDIYNFFSPLNPVRVHIEIGPDGRVTGEADVEFATHEEAVAAMSKDRANMQHRYIELFLNSTTGASNGAYSSQVMQGMGVSAAQATYSGLESQSVSGCYGAGYSGQNSMGGYD
+>DECOY_sp|P52597|HNRPF_HUMAN Heterogeneous nuclear ribonucleoprotein F OS=Homo sapiens OX=9606 GN=HNRNPF PE=1 SV=3
+DYGGMSNQGSYGAGYCGSVSQSELGSYTAQAASVGMGQMVQSSYAGNSAGTTSNLFLEIYRHQMNARDKSMAAVAEEHTAFEVDAEGTVRGDPGIEIHVRVPNLPSFFNYIDNETAKYPLGRMHVCHGTTSQVTFESDGYRHDYMGSLCYSLDRGFLDTTFGYGDSLGSYEEYGGYGTSYAGPRMRELGAQKVIGIYRRATGPRDYPGPRQVSMFKLPPDSYSRVEEQSSKFVEIYRHGIREKHKGLAKEALEQSAFQVFAEGTIKGEPDVPLTIGNPVIELGSFFQVIEEKTCGFPLGRLRVFGDNASDASNPGSHKLVWDMETRHSKFVEIYRHGMSERDKKLAMKVDDESGLEVFAEGSQRGERTYIFHVGAAGDHITCDSLFNQVDEVSCSWPLGRLKVVFGEGGEPGLMM
+>sp|P61978|HNRPK_HUMAN Heterogeneous nuclear ribonucleoprotein K OS=Homo sapiens OX=9606 GN=HNRNPK PE=1 SV=1
+METEQPEETFPNTETNGEFGKRPAEDMEEEQAFKRSRNTDEMVELRILLQSKNAGAVIGKGGKNIKALRTDYNASVSVPDSSGPERILSISADIETIGEILKKIIPTLEEGLQLPSPTATSQLPLESDAVECLNYQHYKGSDFDCELRLLIHQSLAGGIIGVKGAKIKELRENTQTTIKLFQECCPHSTDRVVLIGGKPDRVVECIKIILDLISESPIKGRAQPYDPNFYDETYDYGGFTMMFDDRRGRPVGFPMRGRGGFDRMPPGRGGRPMPPSRRDYDDMSPRRGPPPPPPGRGGRGGSRARNLPLPPPPPPRGGDLMAYDRRGRPGDRYDGMVGFSADETWDSAIDTWSPSEWQMAYEPQGGSGYDYSYAGGRGSYGDLGGPIITTQVTIPKDLAGSIIGKGGQRIKQIRHESGASIKIDEPLEGSEDRIITITGTQDQIQNAQYLLQNSVKQYSGKFF
+>DECOY_sp|P61978|HNRPK_HUMAN Heterogeneous nuclear ribonucleoprotein K OS=Homo sapiens OX=9606 GN=HNRNPK PE=1 SV=1
+FFKGSYQKVSNQLLYQANQIQDQTGTITIIRDESGELPEDIKISAGSEHRIQKIRQGGKGIISGALDKPITVQTTIIPGGLDGYSGRGGAYSYDYGSGGQPEYAMQWESPSWTDIASDWTEDASFGVMGDYRDGPRGRRDYAMLDGGRPPPPPPLPLNRARSGGRGGRGPPPPPPGRRPSMDDYDRRSPPMPRGGRGPPMRDFGGRGRMPFGVPRGRRDDFMMTFGGYDYTEDYFNPDYPQARGKIPSESILDLIIKICEVVRDPKGGILVVRDTSHPCCEQFLKITTQTNERLEKIKAGKVGIIGGALSQHILLRLECDFDSGKYHQYNLCEVADSELPLQSTATPSPLQLGEELTPIIKKLIEGITEIDASISLIREPGSSDPVSVSANYDTRLAKINKGGKGIVAGANKSQLLIRLEVMEDTNRSRKFAQEEEMDEAPRKGFEGNTETNPFTEEPQETEM
+>sp|P52272|HNRPM_HUMAN Heterogeneous nuclear ribonucleoprotein M OS=Homo sapiens OX=9606 GN=HNRNPM PE=1 SV=3
+MAAGVEAAAEVAATEIKMEEESGAPGVPSGNGAPGPKGEGERPAQNEKRKEKNIKRGGNRFEPYANPTKRYRAFITNIPFDVKWQSLKDLVKEKVGEVTYVELLMDAEGKSRGCAVVEFKMEESMKKAAEVLNKHSLSGRPLKVKEDPDGEHARRAMQKVMATTGGMGMGPGGPGMITIPPSILNNPNIPNEIIHALQAGRLGSTVFVANLDYKVGWKKLKEVFSMAGVVVRADILEDKDGKSRGIGTVTFEQSIEAVQAISMFNGQLLFDRPMHVKMDERALPKGDFFPPERPQQLPHGLGGIGMGLGPGGQPIDANHLNKGIGMGNIGPAGMGMEGIGFGINKMGGMEGPFGGGMENMGRFGSGMNMGRINEILSNALKRGEIIAKQGGGGGGGSVPGIERMGPGIDRLGGAGMERMGAGLGHGMDRVGSEIERMGLVMDRMGSVERMGSGIERMGPLGLDHMASSIERMGQTMERIGSGVERMGAGMGFGLERMAAPIDRVGQTIERMGSGVERMGPAIERMGLSMERMVPAGMGAGLERMGPVMDRMATGLERMGANNLERMGLERMGANSLERMGLERMGANSLERMGPAMGPALGAGIERMGLAMGGGGGASFDRAIEMERGNFGGSFAGSFGGAGGHAPGVARKACQIFVRNLPFDFTWKMLKDKFNECGHVLYADIKMENGKSKGCGVVKFESPEVAERACRMMNGMKLSGREIDVRIDRNA
+>DECOY_sp|P52272|HNRPM_HUMAN Heterogeneous nuclear ribonucleoprotein M OS=Homo sapiens OX=9606 GN=HNRNPM PE=1 SV=3
+ANRDIRVDIERGSLKMGNMMRCAREAVEPSEFKVVGCGKSKGNEMKIDAYLVHGCENFKDKLMKWTFDFPLNRVFIQCAKRAVGPAHGGAGGFSGAFSGGFNGREMEIARDFSAGGGGGMALGMREIGAGLAPGMAPGMRELSNAGMRELGMRELSNAGMRELGMRELNNAGMRELGTAMRDMVPGMRELGAGMGAPVMREMSLGMREIAPGMREVGSGMREITQGVRDIPAAMRELGFGMGAGMREVGSGIREMTQGMREISSAMHDLGLPGMREIGSGMREVSGMRDMVLGMREIESGVRDMGHGLGAGMREMGAGGLRDIGPGMREIGPVSGGGGGGGQKAIIEGRKLANSLIENIRGMNMGSGFRGMNEMGGGFPGEMGGMKNIGFGIGEMGMGAPGINGMGIGKNLHNADIPQGGPGLGMGIGGLGHPLQQPREPPFFDGKPLAREDMKVHMPRDFLLQGNFMSIAQVAEISQEFTVTGIGRSKGDKDELIDARVVVGAMSFVEKLKKWGVKYDLNAVFVTSGLRGAQLAHIIENPINPNNLISPPITIMGPGGPGMGMGGTTAMVKQMARRAHEGDPDEKVKLPRGSLSHKNLVEAAKKMSEEMKFEVVACGRSKGEADMLLEVYTVEGVKEKVLDKLSQWKVDFPINTIFARYRKTPNAYPEFRNGGRKINKEKRKENQAPREGEGKPGPAGNGSPVGPAGSEEEMKIETAAVEAAAEVGAAM
+>sp|P08238|HS90B_HUMAN Heat shock protein HSP 90-beta OS=Homo sapiens OX=9606 GN=HSP90AB1 PE=1 SV=4
+MPEEVHHGEEEVETFAFQAEIAQLMSLIINTFYSNKEIFLRELISNASDALDKIRYESLTDPSKLDSGKELKIDIIPNPQERTLTLVDTGIGMTKADLINNLGTIAKSGTKAFMEALQAGADISMIGQFGVGFYSAYLVAEKVVVITKHNDDEQYAWESSAGGSFTVRADHGEPIGRGTKVILHLKEDQTEYLEERRVKEVVKKHSQFIGYPITLYLEKEREKEISDDEAEEEKGEKEEEDKDDEEKPKIEDVGSDEEDDSGKDKKKKTKKIKEKYIDQEELNKTKPIWTRNPDDITQEEYGEFYKSLTNDWEDHLAVKHFSVEGQLEFRALLFIPRRAPFDLFENKKKKNNIKLYVRRVFIMDSCDELIPEYLNFIRGVVDSEDLPLNISREMLQQSKILKVIRKNIVKKCLELFSELAEDKENYKKFYEAFSKNLKLGIHEDSTNRRRLSELLRYHTSQSGDEMTSLSEYVSRMKETQKSIYYITGESKEQVANSAFVERVRKRGFEVVYMTEPIDEYCVQQLKEFDGKSLVSVTKEGLELPEDEEEKKKMEESKAKFENLCKLMKEILDKKVEKVTISNRLVSSPCCIVTSTYGWTANMERIMKAQALRDNSTMGYMMAKKHLEINPDHPIVETLRQKAEADKNDKAVKDLVVLLFETALLSSGFSLEDPQTHSNRIYRMIKLGLGIDEDEVAAEEPNAAVPDEIPPLEGDEDASRMEEVD
+>DECOY_sp|P08238|HS90B_HUMAN Heat shock protein HSP 90-beta OS=Homo sapiens OX=9606 GN=HSP90AB1 PE=1 SV=4
+DVEEMRSADEDGELPPIEDPVAANPEEAAVEDEDIGLGLKIMRYIRNSHTQPDELSFGSSLLATEFLLVVLDKVAKDNKDAEAKQRLTEVIPHDPNIELHKKAMMYGMTSNDRLAQAKMIREMNATWGYTSTVICCPSSVLRNSITVKEVKKDLIEKMLKCLNEFKAKSEEMKKKEEEDEPLELGEKTVSVLSKGDFEKLQQVCYEDIPETMYVVEFGRKRVREVFASNAVQEKSEGTIYYISKQTEKMRSVYESLSTMEDGSQSTHYRLLESLRRRNTSDEHIGLKLNKSFAEYFKKYNEKDEALESFLELCKKVINKRIVKLIKSQQLMERSINLPLDESDVVGRIFNLYEPILEDCSDMIFVRRVYLKINNKKKKNEFLDFPARRPIFLLARFELQGEVSFHKVALHDEWDNTLSKYFEGYEEQTIDDPNRTWIPKTKNLEEQDIYKEKIKKTKKKKDKGSDDEEDSGVDEIKPKEEDDKDEEEKEGKEEEAEDDSIEKEREKELYLTIPYGIFQSHKKVVEKVRREELYETQDEKLHLIVKTGRGIPEGHDARVTFSGGASSEWAYQEDDNHKTIVVVKEAVLYASYFGVGFQGIMSIDAGAQLAEMFAKTGSKAITGLNNILDAKTMGIGTDVLTLTREQPNPIIDIKLEKGSDLKSPDTLSEYRIKDLADSANSILERLFIEKNSYFTNIILSMLQAIEAQFAFTEVEEEGHHVEEPM
+>sp|Q03933|HSF2_HUMAN Heat shock factor protein 2 OS=Homo sapiens OX=9606 GN=HSF2 PE=1 SV=1
+MKQSSNVPAFLSKLWTLVEETHTNEFITWSQNGQSFLVLDEQRFAKEILPKYFKHNNMASFVRQLNMYGFRKVVHIDSGIVKQERDGPVEFQHPYFKQGQDDLLENIKRKVSSSKPEENKIRQEDLTKIISSAQKVQIKQETIESRLSELKSENESLWKEVSELRAKHAQQQQVIRKIVQFIVTLVQNNQLVSLKRKRPLLLNTNGAQKKNLFQHIVKEPTDNHHHKVPHSRTEGLKPRERISDDIIIYDVTDDNADEENIPVIPETNEDVISDPSNCSQYPDIVIVEDDNEDEYAPVIQSGEQNEPARESLSSGSDGSSPLMSSAVQLNGSSSLTSEDPVTMMDSILNDNINLLGKVELLDYLDSIDCSLEDFQAMLSGRQFSIDPDLLVDLFTSSVQMNPTDYINNTKSENKGLETTKNNVVQPVSEEGRKSKSKPDKQLIQYTAFPLLAFLDGNPASSVEQASTTASSEVLSSVDKPIEVDELLDSSLDPEPTQSKLVRLEPLTEAEASEATLFYLCELAPAPLDSDMPLLDS
+>DECOY_sp|Q03933|HSF2_HUMAN Heat shock factor protein 2 OS=Homo sapiens OX=9606 GN=HSF2 PE=1 SV=1
+SDLLPMDSDLPAPALECLYFLTAESAEAETLPELRVLKSQTPEPDLSSDLLEDVEIPKDVSSLVESSATTSAQEVSSAPNGDLFALLPFATYQILQKDPKSKSKRGEESVPQVVNNKTTELGKNESKTNNIYDTPNMQVSSTFLDVLLDPDISFQRGSLMAQFDELSCDISDLYDLLEVKGLLNINDNLISDMMTVPDESTLSSSGNLQVASSMLPSSGDSGSSLSERAPENQEGSQIVPAYEDENDDEVIVIDPYQSCNSPDSIVDENTEPIVPINEEDANDDTVDYIIIDDSIRERPKLGETRSHPVKHHHNDTPEKVIHQFLNKKQAGNTNLLLPRKRKLSVLQNNQVLTVIFQVIKRIVQQQQAHKARLESVEKWLSENESKLESLRSEITEQKIQVKQASSIIKTLDEQRIKNEEPKSSSVKRKINELLDDQGQKFYPHQFEVPGDREQKVIGSDIHVVKRFGYMNLQRVFSAMNNHKFYKPLIEKAFRQEDLVLFSQGNQSWTIFENTHTEEVLTWLKSLFAPVNSSQKM
+>sp|Q9UBD0|HSFX1_HUMAN Heat shock transcription factor, X-linked OS=Homo sapiens OX=9606 GN=HSFX1 PE=1 SV=1
+MEDKRSLSMARCEERNSRGQDHGLERVPFPPQLQSETYLHPADPSPAWDDPGSTGSPNLRLLTEEIAFQPLAEEASFRRPHPDGDVPPQGEDNLLSLPFPQKLWRLVSSNQFSSIWWDDSGACRVINQKLFEKEILKRDVAHKVFATTSIKSFFRQLNLYGFRKRRQCTFRTFTRIFSAKRLVSILNKLEFYCHPYFQRDSPHLLVRMKRRVGVKSAPRHQEEDKPEAAGSCLAPADTEQQDHTSPNENDQVTPQHREPAGPNTQIRSGSAPPATPVMVPDSAVASDNSPVTQPAGEWSEGSQAHVTPVAAVPGPAALPFLYVPGSPTQMNSYGPVVALPTASRSTLAMDTTGLPAPGMLPFCHLWVPVTLVAAGAAQPAASMVMFPHLPALHHHCPHSHRTSQYMPASDGPQAYPDYADQST
+>DECOY_sp|Q9UBD0|HSFX1_HUMAN Heat shock transcription factor, X-linked OS=Homo sapiens OX=9606 GN=HSFX1 PE=1 SV=1
+TSQDAYDPYAQPGDSAPMYQSTRHSHPCHHHLAPLHPFMVMSAAPQAAGAAVLTVPVWLHCFPLMGPAPLGTTDMALTSRSATPLAVVPGYSNMQTPSGPVYLFPLAAPGPVAAVPTVHAQSGESWEGAPQTVPSNDSAVASDPVMVPTAPPASGSRIQTNPGAPERHQPTVQDNENPSTHDQQETDAPALCSGAAEPKDEEQHRPASKVGVRRKMRVLLHPSDRQFYPHCYFELKNLISVLRKASFIRTFTRFTCQRRKRFGYLNLQRFFSKISTTAFVKHAVDRKLIEKEFLKQNIVRCAGSDDWWISSFQNSSVLRWLKQPFPLSLLNDEGQPPVDGDPHPRRFSAEEALPQFAIEETLLRLNPSGTSGPDDWAPSPDAPHLYTESQLQPPFPVRELGHDQGRSNREECRAMSLSRKDEM
+>sp|Q96LI6|HSFY1_HUMAN Heat shock transcription factor, Y-linked OS=Homo sapiens OX=9606 GN=HSFY1 PE=1 SV=1
+MAHVSSETQDVSPKDELTASEASTRSPLCEHTFPGDSDLRSMIEEHAFQVLSQGSLLESPSYTVCVSEPDKDDDFLSLNFPRKLWKIVESDQFKSISWDENGTCIVINEELFKKEILETKAPYRIFQTDAIKSFVRQLNLYGFSKIQQNFQRSAFLATFLSEEKESSVLSKLKFYYNPNFKRGYPQLLVRVKRRIGVKNASPISTLFNEDFNKKHFRAGANMENHNSALAAEASEESLFSASKNLNMPLTRESSVRQIIANSSVPIRSGFPPPSPSTSVGPSEQIATDQHAILNQLTTIHMHSHSTYMQARGHIVNFITTTTSQYHIISPLQNGYFGLTVEPSAVPTRYPLVSVNEAPYRNMLPAGNPWLQMPTIADRSAAPHSRLALQPSPLDKYHPNYN
+>DECOY_sp|Q96LI6|HSFY1_HUMAN Heat shock transcription factor, Y-linked OS=Homo sapiens OX=9606 GN=HSFY1 PE=1 SV=1
+NYNPHYKDLPSPQLALRSHPAASRDAITPMQLWPNGAPLMNRYPAENVSVLPYRTPVASPEVTLGFYGNQLPSIIHYQSTTTTIFNVIHGRAQMYTSHSHMHITTLQNLIAHQDTAIQESPGVSTSPSPPPFGSRIPVSSNAIIQRVSSERTLPMNLNKSASFLSEESAEAALASNHNEMNAGARFHKKNFDENFLTSIPSANKVGIRRKVRVLLQPYGRKFNPNYYFKLKSLVSSEKEESLFTALFASRQFNQQIKSFGYLNLQRVFSKIADTQFIRYPAKTELIEKKFLEENIVICTGNEDWSISKFQDSEVIKWLKRPFNLSLFDDDKDPESVCVTYSPSELLSGQSLVQFAHEEIMSRLDSDGPFTHECLPSRTSAESATLEDKPSVDQTESSVHAM
+>sp|Q0VDF9|HSP7E_HUMAN Heat shock 70 kDa protein 14 OS=Homo sapiens OX=9606 GN=HSPA14 PE=1 SV=1
+MAAIGVHLGCTSACVAVYKDGRAGVVANDAGDRVTPAVVAYSENEEIVGLAAKQSRIRNISNTVMKVKQILGRSSSDPQAQKYIAESKCLVIEKNGKLRYEIDTGEETKFVNPEDVARLIFSKMKETAHSVLGSDANDVVITVPFDFGEKQKNALGEAARAAGFNVLRLIHEPSAALLAYGIGQDSPTGKSNILVFKLGGTSLSLSVMEVNSGIYRVLSTNTDDNIGGAHFTETLAQYLASEFQRSFKHDVRGNARAMMKLTNSAEVAKHSLSTLGSANCFLDSLYEGQDFDCNVSRARFELLCSPLFNKCIEAIRGLLDQNGFTADDINKVVLCGGSSRIPKLQQLIKDLFPAVELLNSIPPDEVIPIGAAIEAGILIGKENLLVEDSLMIECSARDILVKGVDESGASRFTVLFPSGTPLPARRQHTLQAPGSISSVCLELYESDGKNSAKEETKFAQVVLQDLDKKENGLRDILAVLTMKRDGSLHVTCTDQETGKCEAISIEIAS
+>DECOY_sp|Q0VDF9|HSP7E_HUMAN Heat shock 70 kDa protein 14 OS=Homo sapiens OX=9606 GN=HSPA14 PE=1 SV=1
+SAIEISIAECKGTEQDTCTVHLSGDRKMTLVALIDRLGNEKKDLDQLVVQAFKTEEKASNKGDSEYLELCVSSISGPAQLTHQRRAPLPTGSPFLVTFRSAGSEDVGKVLIDRASCEIMLSDEVLLNEKGILIGAEIAAGIPIVEDPPISNLLEVAPFLDKILQQLKPIRSSGGCLVVKNIDDATFGNQDLLGRIAEICKNFLPSCLLEFRARSVNCDFDQGEYLSDLFCNASGLTSLSHKAVEASNTLKMMARANGRVDHKFSRQFESALYQALTETFHAGGINDDTNTSLVRYIGSNVEMVSLSLSTGGLKFVLINSKGTPSDQGIGYALLAASPEHILRLVNFGAARAAEGLANKQKEGFDFPVTIVVDNADSGLVSHATEKMKSFILRAVDEPNVFKTEEGTDIEYRLKGNKEIVLCKSEAIYKQAQPDSSSRGLIQKVKMVTNSINRIRSQKAALGVIEENESYAVVAPTVRDGADNAVVGARGDKYVAVCASTCGLHVGIAAM
+>sp|Q92743|HTRA1_HUMAN Serine protease HTRA1 OS=Homo sapiens OX=9606 GN=HTRA1 PE=1 SV=1
+MQIPRAALLPLLLLLLAAPASAQLSRAGRSAPLAAGCPDRCEPARCPPQPEHCEGGRARDACGCCEVCGAPEGAACGLQEGPCGEGLQCVVPFGVPASATVRRRAQAGLCVCASSEPVCGSDANTYANLCQLRAASRRSERLHRPPVIVLQRGACGQGQEDPNSLRHKYNFIADVVEKIAPAVVHIELFRKLPFSKREVPVASGSGFIVSEDGLIVTNAHVVTNKHRVKVELKNGATYEAKIKDVDEKADIALIKIDHQGKLPVLLLGRSSELRPGEFVVAIGSPFSLQNTVTTGIVSTTQRGGKELGLRNSDMDYIQTDAIINYGNSGGPLVNLDGEVIGINTLKVTAGISFAIPSDKIKKFLTESHDRQAKGKAITKKKYIGIRMMSLTSSKAKELKDRHRDFPDVISGAYIIEVIPDTPAEAGGLKENDVIISINGQSVVSANDVSDVIKRESTLNMVVRRGNEDIMITVIPEEIDP
+>DECOY_sp|Q92743|HTRA1_HUMAN Serine protease HTRA1 OS=Homo sapiens OX=9606 GN=HTRA1 PE=1 SV=1
+PDIEEPIVTIMIDENGRRVVMNLTSERKIVDSVDNASVVSQGNISIIVDNEKLGGAEAPTDPIVEIIYAGSIVDPFDRHRDKLEKAKSSTLSMMRIGIYKKKTIAKGKAQRDHSETLFKKIKDSPIAFSIGATVKLTNIGIVEGDLNVLPGGSNGYNIIADTQIYDMDSNRLGLEKGGRQTTSVIGTTVTNQLSFPSGIAVVFEGPRLESSRGLLLVPLKGQHDIKILAIDAKEDVDKIKAEYTAGNKLEVKVRHKNTVVHANTVILGDESVIFGSGSAVPVERKSFPLKRFLEIHVVAPAIKEVVDAIFNYKHRLSNPDEQGQGCAGRQLVIVPPRHLRESRRSAARLQCLNAYTNADSGCVPESSACVCLGAQARRRVTASAPVGFPVVCQLGEGCPGEQLGCAAGEPAGCVECCGCADRARGGECHEPQPPCRAPECRDPCGAALPASRGARSLQASAPAALLLLLLPLLAARPIQM
+>sp|A0A0C4DH33|HV124_HUMAN Immunoglobulin heavy variable 1-24 OS=Homo sapiens OX=9606 GN=IGHV1-24 PE=3 SV=1
+MDCTWRILFLVAAATGTHAQVQLVQSGAEVKKPGASVKVSCKVSGYTLTELSMHWVRQAPGKGLEWMGGFDPEDGETIYAQKFQGRVTMTEDTSTDTAYMELSSLRSEDTAVYYCAT
+>DECOY_sp|A0A0C4DH33|HV124_HUMAN Immunoglobulin heavy variable 1-24 OS=Homo sapiens OX=9606 GN=IGHV1-24 PE=3 SV=1
+TACYYVATDESRLSSLEMYATDTSTDETMTVRGQFKQAYITEGDEPDFGGMWELGKGPAQRVWHMSLETLTYGSVKCSVKVSAGPKKVEAGSQVLQVQAHTGTAAAVLFLIRWTCDM
+>sp|A0A0C4DH39|HV158_HUMAN Immunoglobulin heavy variable 1-58 OS=Homo sapiens OX=9606 GN=IGHV1-58 PE=3 SV=1
+MDWIWRILFLVGAATGAHSQMQLVQSGPEVKKPGTSVKVSCKASGFTFTSSAVQWVRQARGQRLEWIGWIVVGSGNTNYAQKFQERVTITRDMSTSTAYMELSSLRSEDTAVYYCAA
+>DECOY_sp|A0A0C4DH39|HV158_HUMAN Immunoglobulin heavy variable 1-58 OS=Homo sapiens OX=9606 GN=IGHV1-58 PE=3 SV=1
+AACYYVATDESRLSSLEMYATSTSMDRTITVREQFKQAYNTNGSGVVIWGIWELRQGRAQRVWQVASSTFTFGSAKCSVKVSTGPKKVEPGSQVLQMQSHAGTAAGVLFLIRWIWDM
+>sp|A0A0B4J1V2|HV226_HUMAN Immunoglobulin heavy variable 2-26 OS=Homo sapiens OX=9606 GN=IGHV2-26 PE=3 SV=1
+MDTLCYTLLLLTTPSWVLSQVTLKESGPVLVKPTETLTLTCTVSGFSLSNARMGVSWIRQPPGKALEWLAHIFSNDEKSYSTSLKSRLTISKDTSKSQVVLTMTNMDPVDTATYYCARI
+>DECOY_sp|A0A0B4J1V2|HV226_HUMAN Immunoglobulin heavy variable 2-26 OS=Homo sapiens OX=9606 GN=IGHV2-26 PE=3 SV=1
+IRACYYTATDVPDMNTMTLVVQSKSTDKSITLRSKLSTSYSKEDNSFIHALWELAKGPPQRIWSVGMRANSLSFGSVTCTLTLTETPKVLVPGSEKLTVQSLVWSPTTLLLLTYCLTDM
+>sp|P0DP07|HV431_HUMAN Immunoglobulin heavy variable 4-31 OS=Homo sapiens OX=9606 GN=IGHV4-31 PE=3 SV=1
+MKHLWFFLLLVAAPRWVLSQVQLQESGPGLVKPSQTLSLTCTVSGGSISSGGYYWSWIRQHPGKGLEWIGYIYYSGSTYYNPSLKSLVTISVDTSKNQFSLKLSSVTAADTAVYYCAR
+>DECOY_sp|P0DP07|HV431_HUMAN Immunoglobulin heavy variable 4-31 OS=Homo sapiens OX=9606 GN=IGHV4-31 PE=3 SV=1
+RACYYVATDAATVSSLKLSFQNKSTDVSITVLSKLSPNYYTSGSYYIYGIWELGKGPHQRIWSWYYGGSSISGGSVTCTLSLTQSPKVLGPGSEQLQVQSLVWRPAAVLLLFFWLHKM
+>sp|P01825|HV459_HUMAN Immunoglobulin heavy variable 4-59 OS=Homo sapiens OX=9606 GN=IGHV4-59 PE=1 SV=2
+MKHLWFFLLLVAAPRWVLSQVQLQESGPGLVKPSETLSLTCTVSGGSISSYYWSWIRQPPGKGLEWIGYIYYSGSTNYNPSLKSRVTISVDTSKNQFSLKLSSVTAADTAVYYCAR
+>DECOY_sp|P01825|HV459_HUMAN Immunoglobulin heavy variable 4-59 OS=Homo sapiens OX=9606 GN=IGHV4-59 PE=1 SV=2
+RACYYVATDAATVSSLKLSFQNKSTDVSITVRSKLSPNYNTSGSYYIYGIWELGKGPPQRIWSWYYSSISGGSVTCTLSLTESPKVLGPGSEQLQVQSLVWRPAAVLLLFFWLHKM
+>sp|A0A0B4J1U7|HV601_HUMAN Immunoglobulin heavy variable 6-1 OS=Homo sapiens OX=9606 GN=IGHV6-1 PE=3 SV=1
+MSVSFLIFLPVLGLPWGVLSQVQLQQSGPGLVKPSQTLSLTCAISGDSVSSNSAAWNWIRQSPSRGLEWLGRTYYRSKWYNDYAVSVKSRITINPDTSKNQFSLQLNSVTPEDTAVYYCAR
+>DECOY_sp|A0A0B4J1U7|HV601_HUMAN Immunoglobulin heavy variable 6-1 OS=Homo sapiens OX=9606 GN=IGHV6-1 PE=3 SV=1
+RACYYVATDEPTVSNLQLSFQNKSTDPNITIRSKVSVAYDNYWKSRYYTRGLWELGRSPSQRIWNWAASNSSVSDGSIACTLSLTQSPKVLGPGSQQLQVQSLVGWPLGLVPLFILFSVSM
+>sp|A0A0C4DH43|HV70D_HUMAN Immunoglobulin heavy variable 2-70D OS=Homo sapiens OX=9606 GN=IGHV2-70D PE=3 SV=1
+MDILCSTLLLLTVPSWVLSQVTLKESGPALVKPTQTLTLTCTFSGFSLSTSGMRVSWIRQPPGKALEWLARIDWDDDKFYSTSLKTRLTISKDTSKNQVVLTMTNMDPVDTATYYCARI
+>DECOY_sp|A0A0C4DH43|HV70D_HUMAN Immunoglobulin heavy variable 2-70D OS=Homo sapiens OX=9606 GN=IGHV2-70D PE=3 SV=1
+IRACYYTATDVPDMNTMTLVVQNKSTDKSITLRTKLSTSYFKDDDWDIRALWELAKGPPQRIWSVRMGSTSLSFGSFTCTLTLTQTPKVLAPGSEKLTVQSLVWSPVTLLLLTSCLIDM
+>sp|P0DP08|HVD82_HUMAN Immunoglobulin heavy variable 4-38-2 OS=Homo sapiens OX=9606 GN=IGHV4-38-2 PE=3 SV=1
+MKHLWFFLLLVAAPRWVLSQVQLQESGPGLVKPSETLSLTCTVSGYSISSGYYWGWIRQPPGKGLEWIGSIYHSGSTYYNPSLKSRVTISVDTSKNQFSLKLSSVTAADTAVYYCAR
+>DECOY_sp|P0DP08|HVD82_HUMAN Immunoglobulin heavy variable 4-38-2 OS=Homo sapiens OX=9606 GN=IGHV4-38-2 PE=3 SV=1
+RACYYVATDAATVSSLKLSFQNKSTDVSITVRSKLSPNYYTSGSHYISGIWELGKGPPQRIWGWYYGSSISYGSVTCTLSLTESPKVLGPGSEQLQVQSLVWRPAAVLLLFFWLHKM
+>sp|P31260|HXA10_HUMAN Homeobox protein Hox-A10 OS=Homo sapiens OX=9606 GN=HOXA10 PE=1 SV=3
+MSARKGYLLPSPNYPTTMSCSESPAANSFLVDSLISSGRGEAGGGGGGAGGGGGGGYYAHGGVYLPPAADLPYGLQSCGLFPTLGGKRNEAASPGSGGGGGGLGPGAHGYGPSPIDLWLDAPRSCRMEPPDGPPPPPQQQPPPPPQPPQPAPQATSCSFAQNIKEESSYCLYDSADKCPKVSATAAELAPFPRGPPPDGCALGTSSGVPVPGYFRLSQAYGTAKGYGSGGGGAQQLGAGPFPAQPPGRGFDLPPALASGSADAARKERALDSPPPPTLACGSGGGSQGDEEAHASSSAAEELSPAPSESSKASPEKDSLGNSKGENAANWLTAKSGRKKRCPYTKHQTLELEKEFLFNMYLTRERRLEISRSVHLTDRQVKIWFQNRRMKLKKMNRENRIRELTANFNFS
+>DECOY_sp|P31260|HXA10_HUMAN Homeobox protein Hox-A10 OS=Homo sapiens OX=9606 GN=HOXA10 PE=1 SV=3
+SFNFNATLERIRNERNMKKLKMRRNQFWIKVQRDTLHVSRSIELRRERTLYMNFLFEKELELTQHKTYPCRKKRGSKATLWNAANEGKSNGLSDKEPSAKSSESPAPSLEEAASSSAHAEEDGQSGGGSGCALTPPPPSDLAREKRAADASGSALAPPLDFGRGPPQAPFPGAGLQQAGGGGSGYGKATGYAQSLRFYGPVPVGSSTGLACGDPPPGRPFPALEAATASVKPCKDASDYLCYSSEEKINQAFSCSTAQPAPQPPQPPPPPQQQPPPPPGDPPEMRCSRPADLWLDIPSPGYGHAGPGLGGGGGGSGPSAAENRKGGLTPFLGCSQLGYPLDAAPPLYVGGHAYYGGGGGGGAGGGGGGAEGRGSSILSDVLFSNAAPSESCSMTTPYNPSPLLYGKRASM
+>sp|O43364|HXA2_HUMAN Homeobox protein Hox-A2 OS=Homo sapiens OX=9606 GN=HOXA2 PE=1 SV=1
+MNYEFEREIGFINSQPSLAECLTSFPPVADTFQSSSIKTSTLSHSTLIPPPFEQTIPSLNPGSHPRHGAGGRPKPSPAGSRGSPVPAGALQPPEYPWMKEKKAAKKTALLPAAAAAATAAATGPACLSHKESLEIADGSGGGSRRLRTAYTNTQLLELEKEFHFNKYLCRPRRVEIAALLDLTERQVKVWFQNRRMKHKRQTQCKENQNSEGKCKSLEDSEKVEEDEEEKTLFEQALSVSGALLEREGYTFQQNALSQQQAPNGHNGDSQSFPVSPLTSNEKNLKHFQHQSPTVPNCLSTMGQNCGAGLNNDSPEALEVPSLQDFSVFSTDSCLQLSDAVSPSLPGSLDSPVDISADSLDFFTDTLTTIDLQHLNY
+>DECOY_sp|O43364|HXA2_HUMAN Homeobox protein Hox-A2 OS=Homo sapiens OX=9606 GN=HOXA2 PE=1 SV=1
+YNLHQLDITTLTDTFFDLSDASIDVPSDLSGPLSPSVADSLQLCSDTSFVSFDQLSPVELAEPSDNNLGAGCNQGMTSLCNPVTPSQHQFHKLNKENSTLPSVPFSQSDGNHGNPAQQQSLANQQFTYGERELLAGSVSLAQEFLTKEEEDEEVKESDELSKCKGESNQNEKCQTQRKHKMRRNQFWVKVQRETLDLLAAIEVRRPRCLYKNFHFEKELELLQTNTYATRLRRSGGGSGDAIELSEKHSLCAPGTAAATAAAAAAPLLATKKAAKKEKMWPYEPPQLAGAPVPSGRSGAPSPKPRGGAGHRPHSGPNLSPITQEFPPPILTSHSLTSTKISSSQFTDAVPPFSTLCEALSPQSNIFGIEREFEYNM
+>sp|P14653|HXB1_HUMAN Homeobox protein Hox-B1 OS=Homo sapiens OX=9606 GN=HOXB1 PE=1 SV=2
+MDYNRMNSFLEYPLCNRGPSAYSAHSAPTSFPPSSAQAVDSYASEGRYGGGLSSPAFQQNSGYPAQQPPSTLGVPFPSSAPSGYAPAACSPSYGPSQYYPLGQSEGDGGYFHPSSYGAQLGGLSDGYGAGGAGPGPYPPQHPPYGNEQTASFAPAYADLLSEDKETPCPSEPNTPTARTFDWMKVKRNPPKTAKVSEPGLGSPSGLRTNFTTRQLTELEKEFHFNKYLSRARRVEIAATLELNETQVKIWFQNRRMKQKKREREEGRVPPAPPGCPKEAAGDASDQSTCTSPEASPSSVTS
+>DECOY_sp|P14653|HXB1_HUMAN Homeobox protein Hox-B1 OS=Homo sapiens OX=9606 GN=HOXB1 PE=1 SV=2
+STVSSPSAEPSTCTSQDSADGAAEKPCGPPAPPVRGEERERKKQKMRRNQFWIKVQTENLELTAAIEVRRARSLYKNFHFEKELETLQRTTFNTRLGSPSGLGPESVKATKPPNRKVKMWDFTRATPTNPESPCPTEKDESLLDAYAPAFSATQENGYPPHQPPYPGPGAGGAGYGDSLGGLQAGYSSPHFYGGDGESQGLPYYQSPGYSPSCAAPAYGSPASSPFPVGLTSPPQQAPYGSNQQFAPSSLGGGYRGESAYSDVAQASSPPFSTPASHASYASPGRNCLPYELFSNMRNYDM
+>sp|P14652|HXB2_HUMAN Homeobox protein Hox-B2 OS=Homo sapiens OX=9606 GN=HOXB2 PE=1 SV=1
+MNFEFEREIGFINSQPSLAECLTSFPAVLETFQTSSIKESTLIPPPPPFEQTFPSLQPGASTLQRPRSQKRAEDGPALPPPPPPPLPAAPPAPEFPWMKEKKSAKKPSQSATSPSPAASAVPASGVGSPADGLGLPEAGGGGARRLRTAYTNTQLLELEKEFHFNKYLCRPRRVEIAALLDLTERQVKVWFQNRRMKHKRQTQHREPPDGEPACPGALEDICDPAEEPAASPGGPSASRAAWEACCHPPEVVPGALSADPRPLAVRLEGAGASSPGCALRGAGGLEPGPLPEDVFSGRQDSPFLPDLNFFAADSCLQLSGGLSPSLQGSLDSPVPFSEEELDFFTSTLCAIDLQFP
+>DECOY_sp|P14652|HXB2_HUMAN Homeobox protein Hox-B2 OS=Homo sapiens OX=9606 GN=HOXB2 PE=1 SV=1
+PFQLDIACLTSTFFDLEEESFPVPSDLSGQLSPSLGGSLQLCSDAAFFNLDPLFPSDQRGSFVDEPLPGPELGGAGRLACGPSSAGAGELRVALPRPDASLAGPVVEPPHCCAEWAARSASPGGPSAAPEEAPDCIDELAGPCAPEGDPPERHQTQRKHKMRRNQFWVKVQRETLDLLAAIEVRRPRCLYKNFHFEKELELLQTNTYATRLRRAGGGGAEPLGLGDAPSGVGSAPVASAAPSPSTASQSPKKASKKEKMWPFEPAPPAAPLPPPPPPPLAPGDEARKQSRPRQLTSAGPQLSPFTQEFPPPPPILTSEKISSTQFTELVAPFSTLCEALSPQSNIFGIEREFEFNM
+>sp|P17483|HXB4_HUMAN Homeobox protein Hox-B4 OS=Homo sapiens OX=9606 GN=HOXB4 PE=1 SV=2
+MAMSSFLINSNYVDPKFPPCEEYSQSDYLPSDHSPGYYAGGQRRESSFQPEAGFGRRAACTVQRYAACRDPGPPPPPPPPPPPPPPPGLSPRAPAPPPAGALLPEPGQRCEAVSSSPPPPPCAQNPLHPSPSHSACKEPVVYPWMRKVHVSTVNPNYAGGEPKRSRTAYTRQQVLELEKEFHYNRYLTRRRRVEIAHALCLSERQIKIWFQNRRMKWKKDHKLPNTKIRSGGAAGSAGGPPGRPNGGPRAL
+>DECOY_sp|P17483|HXB4_HUMAN Homeobox protein Hox-B4 OS=Homo sapiens OX=9606 GN=HOXB4 PE=1 SV=2
+LARPGGNPRGPPGGASGAAGGSRIKTNPLKHDKKWKMRRNQFWIKIQRESLCLAHAIEVRRRRTLYRNYHFEKELELVQQRTYATRSRKPEGGAYNPNVTSVHVKRMWPYVVPEKCASHSPSPHLPNQACPPPPPSSSVAECRQGPEPLLAGAPPPAPARPSLGPPPPPPPPPPPPPPPGPDRCAAYRQVTCAARRGFGAEPQFSSERRQGGAYYGPSHDSPLYDSQSYEECPPFKPDVYNSNILFSSMAM
+>sp|P17482|HXB9_HUMAN Homeobox protein Hox-B9 OS=Homo sapiens OX=9606 GN=HOXB9 PE=1 SV=2
+MSISGTLSSYYVDSIISHESEDAPPAKFPSGQYASSRQPGHAEHLEFPSCSFQPKAPVFGASWAPLSPHASGSLPSVYHPYIQPQGVPPAESRYLRTWLEPAPRGEAAPGQGQAAVKAEPLLGAPGELLKQGTPEYSLETSAGREAVLSNQRPGYGDNKICEGSEDKERPDQTNPSANWLHARSSRKKRCPYTKYQTLELEKEFLFNMYLTRDRRHEVARLLNLSERQVKIWFQNRRMKMKKMNKEQGKE
+>DECOY_sp|P17482|HXB9_HUMAN Homeobox protein Hox-B9 OS=Homo sapiens OX=9606 GN=HOXB9 PE=1 SV=2
+EKGQEKNMKKMKMRRNQFWIKVQRESLNLLRAVEHRRDRTLYMNFLFEKELELTQYKTYPCRKKRSSRAHLWNASPNTQDPREKDESGECIKNDGYGPRQNSLVAERGASTELSYEPTGQKLLEGPAGLLPEAKVAAQGQGPAAEGRPAPELWTRLYRSEAPPVGQPQIYPHYVSPLSGSAHPSLPAWSAGFVPAKPQFSCSPFELHEAHGPQRSSAYQGSPFKAPPADESEHSIISDVYYSSLTGSISM
+>sp|P31273|HXC8_HUMAN Homeobox protein Hox-C8 OS=Homo sapiens OX=9606 GN=HOXC8 PE=1 SV=2
+MSSYFVNPLFSKYKAGESLEPAYYDCRFPQSVGRSHALVYGPGGSAPGFQHASHHVQDFFHHGTSGISNSGYQQNPCSLSCHGDASKFYGYEALPRQSLYGAQQEASVVQYPDCKSSANTNSSEGQGHLNQNSSPSLMFPWMRPHAPGRRSGRQTYSRYQTLELEKEFLFNPYLTRKRRIEVSHALGLTERQVKIWFQNRRMKWKKENNKDKLPGARDEEKVEEEGNEEEEKEEEEKEENKD
+>DECOY_sp|P31273|HXC8_HUMAN Homeobox protein Hox-C8 OS=Homo sapiens OX=9606 GN=HOXC8 PE=1 SV=2
+DKNEEKEEEEKEEEENGEEEVKEEDRAGPLKDKNNEKKWKMRRNQFWIKVQRETLGLAHSVEIRRKRTLYPNFLFEKELELTQYRSYTQRGSRRGPAHPRMWPFMLSPSSNQNLHGQGESSNTNASSKCDPYQVVSAEQQAGYLSQRPLAEYGYFKSADGHCSLSCPNQQYGSNSIGSTGHHFFDQVHHSAHQFGPASGGPGYVLAHSRGVSQPFRCDYYAPELSEGAKYKSFLPNVFYSSM
+>sp|P35452|HXD12_HUMAN Homeobox protein Hox-D12 OS=Homo sapiens OX=9606 GN=HOXD12 PE=1 SV=3
+MCERSLYRAGYVGSLLNLQSPDSFYFSNLRPNGGQLAALPPISYPRGALPWAATPASCAPAQPAGATAFGGFSQPYLAGSGPLGLQPPTAKDGPEEQAKFYAPEAAAGPEERGRTRPSFAPESSLAPAVAALKAAKYDYAGVGRATPGSTTLLQGAPCAPGFKDDTKGPLNLNMTVQAAGVASCLRPSLPDGLPWGAAPGRARKKRKPYTKQQIAELENEFLVNEFINRQKRKELSNRLNLSDQQVKIWFQNRRMKKKRVVLREQALALY
+>DECOY_sp|P35452|HXD12_HUMAN Homeobox protein Hox-D12 OS=Homo sapiens OX=9606 GN=HOXD12 PE=1 SV=3
+YLALAQERLVVRKKKMRRNQFWIKVQQDSLNLRNSLEKRKQRNIFENVLFENELEAIQQKTYPKRKKRARGPAAGWPLGDPLSPRLCSAVGAAQVTMNLNLPGKTDDKFGPACPAGQLLTTSGPTARGVGAYDYKAAKLAAVAPALSSEPAFSPRTRGREEPGAAAEPAYFKAQEEPGDKATPPQLGLPGSGALYPQSFGGFATAGAPQAPACSAPTAAWPLAGRPYSIPPLAALQGGNPRLNSFYFSDPSQLNLLSGVYGARYLSRECM
+>sp|P35453|HXD13_HUMAN Homeobox protein Hox-D13 OS=Homo sapiens OX=9606 GN=HOXD13 PE=1 SV=3
+MSRAGSWDMDGLRADGGGAGGAPASSSSSSVAAAAASGQCRGFLSAPVFAGTHSGRAAAAAAAAAAAAAAASGFAYPGTSERTGSSSSSSSSAVVAARPEAPPAKECPAPTPAAAAAAPPSAPALGYGYHFGNGYYSCRMSHGVGLQQNALKSSPHASLGGFPVEKYMDVSGLASSSVPANEVPARAKEVSFYQGYTSPYQHVPGYIDMVSTFGSGEPRHEAYISMEGYQSWTLANGWNSQVYCTKDQPQGSHFWKSSFPGDVALNQPDMCVYRRGRKKRVPYTKLQLKELENEYAINKFINKDKRRRISAATNLSERQVTIWFQNRRVKDKKIVSKLKDTVS
+>DECOY_sp|P35453|HXD13_HUMAN Homeobox protein Hox-D13 OS=Homo sapiens OX=9606 GN=HOXD13 PE=1 SV=3
+SVTDKLKSVIKKDKVRRNQFWITVQRESLNTAASIRRRKDKNIFKNIAYENELEKLQLKTYPVRKKRGRRYVCMDPQNLAVDGPFSSKWFHSGQPQDKTCYVQSNWGNALTWSQYGEMSIYAEHRPEGSGFTSVMDIYGPVHQYPSTYGQYFSVEKARAPVENAPVSSSALGSVDMYKEVPFGGLSAHPSSKLANQQLGVGHSMRCSYYGNGFHYGYGLAPASPPAAAAAAPTPAPCEKAPPAEPRAAVVASSSSSSSSGTRESTGPYAFGSAAAAAAAAAAAAAAARGSHTGAFVPASLFGRCQGSAAAAAVSSSSSSAPAGGAGGGDARLGDMDWSGARSM
+>sp|P31249|HXD3_HUMAN Homeobox protein Hox-D3 OS=Homo sapiens OX=9606 GN=HOXD3 PE=1 SV=3
+MLFEQGQQALELPECTMQKAAYYENPGLFGGYGYSKTTDTYGYSTPHQPYPPPAAASSLDTDYPGSACSIQSSAPLRAPAHKGAELNGSCMRPGTGNSQGGGGGSQPPGLNSEQQPPQPPPPPPTLPPSSPTNPGGGVPAKKPKGGPNASSSSATISKQIFPWMKESRQNSKQKNSCATAGESCEDKSPPGPASKRVRTAYTSAQLVELEKEFHFNRYLCRPRRVEMANLLNLTERQIKIWFQNRRMKYKKDQKAKGILHSPASQSPERSPPLGGAAGHVAYSGQLPPVPGLAYDAPSPPAFAKSQPNMYGLAAYTAPLSSCLPQQKRYAAPEFEPHPMASNGGGFASANLQGSPVYVGGNFVESMAPASGPVFNLGHLSHPSSASVDYSCAAQIPGNHHHGPCDPHPTYTDLSAHHSSQGRLPEAPKLTHL
+>DECOY_sp|P31249|HXD3_HUMAN Homeobox protein Hox-D3 OS=Homo sapiens OX=9606 GN=HOXD3 PE=1 SV=3
+LHTLKPAEPLRGQSSHHASLDTYTPHPDCPGHHHNGPIQAACSYDVSASSPHSLHGLNFVPGSAPAMSEVFNGGVYVPSGQLNASAFGGGNSAMPHPEFEPAAYRKQQPLCSSLPATYAALGYMNPQSKAFAPPSPADYALGPVPPLQGSYAVHGAAGGLPPSREPSQSAPSHLIGKAKQDKKYKMRRNQFWIKIQRETLNLLNAMEVRRPRCLYRNFHFEKELEVLQASTYATRVRKSAPGPPSKDECSEGATACSNKQKSNQRSEKMWPFIQKSITASSSSANPGGKPKKAPVGGGPNTPSSPPLTPPPPPPQPPQQESNLGPPQSGGGGGQSNGTGPRMCSGNLEAGKHAPARLPASSQISCASGPYDTDLSSAAAPPPYPQHPTSYGYTDTTKSYGYGGFLGPNEYYAAKQMTCEPLELAQQGQEFLM
+>sp|P13378|HXD8_HUMAN Homeobox protein Hox-D8 OS=Homo sapiens OX=9606 GN=HOXD8 PE=2 SV=2
+MSSYFVNPLYSKYKAAAAAAAAAGEAINPTYYDCHFAPEVGGRHAAAAAALQLYGNSAAGFPHAPPQAHAHPHPSPPPSGTGCGGREGRGQEYFHPGGGSPAAAYQAAPPPPPHPPPPPPPPPCGGIACHGEPAKFYGYDNLQRQPIFTTQQEAELVQYPDCKSSSGNIGEDPDHLNQSSSPSQMFPWMRPQAAPGRRRGRQTYSRFQTLELEKEFLFNPYLTRKRRIEVSHALALTERQVKIWFQNRRMKWKKENNKDKFPVSRQEVKDGETKKEAQELEEDRAEGLTN
+>DECOY_sp|P13378|HXD8_HUMAN Homeobox protein Hox-D8 OS=Homo sapiens OX=9606 GN=HOXD8 PE=2 SV=2
+NTLGEARDEELEQAEKKTEGDKVEQRSVPFKDKNNEKKWKMRRNQFWIKVQRETLALAHSVEIRRKRTLYPNFLFEKELELTQFRSYTQRGRRRGPAAQPRMWPFMQSPSSSQNLHDPDEGINGSSSKCDPYQVLEAEQQTTFIPQRQLNDYGYFKAPEGHCAIGGCPPPPPPPPPHPPPPPAAQYAAAPSGGGPHFYEQGRGERGGCGTGSPPPSPHPHAHAQPPAHPFGAASNGYLQLAAAAAAHRGGVEPAFHCDYYTPNIAEGAAAAAAAAAKYKSYLPNVFYSSM
+>sp|P19367|HXK1_HUMAN Hexokinase-1 OS=Homo sapiens OX=9606 GN=HK1 PE=1 SV=3
+MIAAQLLAYYFTELKDDQVKKIDKYLYAMRLSDETLIDIMTRFRKEMKNGLSRDFNPTATVKMLPTFVRSIPDGSEKGDFIALDLGGSSFRILRVQVNHEKNQNVHMESEVYDTPENIVHGSGSQLFDHVAECLGDFMEKRKIKDKKLPVGFTFSFPCQQSKIDEAILITWTKRFKASGVEGADVVKLLNKAIKKRGDYDANIVAVVNDTVGTMMTCGYDDQHCEVGLIIGTGTNACYMEELRHIDLVEGDEGRMCINTEWGAFGDDGSLEDIRTEFDREIDRGSLNPGKQLFEKMVSGMYLGELVRLILVKMAKEGLLFEGRITPELLTRGKFNTSDVSAIEKNKEGLHNAKEILTRLGVEPSDDDCVSVQHVCTIVSFRSANLVAATLGAILNRLRDNKGTPRLRTTVGVDGSLYKTHPQYSRRFHKTLRRLVPDSDVRFLLSESGSGKGAAMVTAVAYRLAEQHRQIEETLAHFHLTKDMLLEVKKRMRAEMELGLRKQTHNNAVVKMLPSFVRRTPDGTENGDFLALDLGGTNFRVLLVKIRSGKKRTVEMHNKIYAIPIEIMQGTGEELFDHIVSCISDFLDYMGIKGPRMPLGFTFSFPCQQTSLDAGILITWTKGFKATDCVGHDVVTLLRDAIKRREEFDLDVVAVVNDTVGTMMTCAYEEPTCEVGLIVGTGSNACYMEEMKNVEMVEGDQGQMCINMEWGAFGDNGCLDDIRTHYDRLVDEYSLNAGKQRYEKMISGMYLGEIVRNILIDFTKKGFLFRGQISETLKTRGIFETKFLSQIESDRLALLQVRAILQQLGLNSTCDDSILVKTVCGVVSRRAAQLCGAGMAAVVDKIRENRGLDRLNVTVGVDGTLYKLHPHFSRIMHQTVKELSPKCNVSFLLSEDGSGKGAALITAVGVRLRTEASS
+>DECOY_sp|P19367|HXK1_HUMAN Hexokinase-1 OS=Homo sapiens OX=9606 GN=HK1 PE=1 SV=3
+SSAETRLRVGVATILAAGKGSGDESLLFSVNCKPSLEKVTQHMIRSFHPHLKYLTGDVGVTVNLRDLGRNERIKDVVAAMGAGCLQAARRSVVGCVTKVLISDDCTSNLGLQQLIARVQLLALRDSEIQSLFKTEFIGRTKLTESIQGRFLFGKKTFDILINRVIEGLYMGSIMKEYRQKGANLSYEDVLRDYHTRIDDLCGNDGFAGWEMNICMQGQDGEVMEVNKMEEMYCANSGTGVILGVECTPEEYACTMMTGVTDNVVAVVDLDFEERRKIADRLLTVVDHGVCDTAKFGKTWTILIGADLSTQQCPFSFTFGLPMRPGKIGMYDLFDSICSVIHDFLEEGTGQMIEIPIAYIKNHMEVTRKKGSRIKVLLVRFNTGGLDLALFDGNETGDPTRRVFSPLMKVVANNHTQKRLGLEMEARMRKKVELLMDKTLHFHALTEEIQRHQEALRYAVATVMAAGKGSGSESLLFRVDSDPVLRRLTKHFRRSYQPHTKYLSGDVGVTTRLRPTGKNDRLRNLIAGLTAAVLNASRFSVITCVHQVSVCDDDSPEVGLRTLIEKANHLGEKNKEIASVDSTNFKGRTLLEPTIRGEFLLGEKAMKVLILRVLEGLYMGSVMKEFLQKGPNLSGRDIERDFETRIDELSGDDGFAGWETNICMRGEDGEVLDIHRLEEMYCANTGTGIILGVECHQDDYGCTMMTGVTDNVVAVINADYDGRKKIAKNLLKVVDAGEVGSAKFRKTWTILIAEDIKSQQCPFSFTFGVPLKKDKIKRKEMFDGLCEAVHDFLQSGSGHVINEPTDYVESEMHVNQNKEHNVQVRLIRFSSGGLDLAIFDGKESGDPISRVFTPLMKVTATPNFDRSLGNKMEKRFRTMIDILTEDSLRMAYLYKDIKKVQDDKLETFYYALLQAAIM
+>sp|Q2M3T9|HYAL4_HUMAN Hyaluronidase-4 OS=Homo sapiens OX=9606 GN=HYAL4 PE=1 SV=2
+MKVLSEGQLKLCVVQPVHLTSWLLIFFILKSISCLKPARLPIYQRKPFIAAWNAPTDQCLIKYNLRLNLKMFPVIGSPLAKARGQNVTIFYVNRLGYYPWYTSQGVPINGGLPQNISLQVHLEKADQDINYYIPAEDFSGLAVIDWEYWRPQWARNWNSKDVYRQKSRKLISDMGKNVSATDIEYLAKVTFEESAKAFMKETIKLGIKSRPKGLWGYYLYPDCHNYNVYAPNYSGSCPEDEVLRNNELSWLWNSSAALYPSIGVWKSLGDSENILRFSKFRVHESMRISTMTSHDYALPVFVYTRLGYRDEPLFFLSKQDLVSTIGESAALGAAGIVIWGDMNLTASKANCTKVKQFVSSDLGSYIANVTRAAEVCSLHLCRNNGRCIRKMWNAPSYLHLNPASYHIEASEDGEFTVKGKASDTDLAVMADTFSCHCYQGYEGADCREIKTADGCSGVSPSPGSLMTLCLLLLASYRSIQL
+>DECOY_sp|Q2M3T9|HYAL4_HUMAN Hyaluronidase-4 OS=Homo sapiens OX=9606 GN=HYAL4 PE=1 SV=2
+LQISRYSALLLLCLTMLSGPSPSVGSCGDATKIERCDAGEYGQYCHCSFTDAMVALDTDSAKGKVTFEGDESAEIHYSAPNLHLYSPANWMKRICRGNNRCLHLSCVEAARTVNAIYSGLDSSVFQKVKTCNAKSATLNMDGWIVIGAAGLAASEGITSVLDQKSLFFLPEDRYGLRTYVFVPLAYDHSTMTSIRMSEHVRFKSFRLINESDGLSKWVGISPYLAASSNWLWSLENNRLVEDEPCSGSYNPAYVNYNHCDPYLYYGWLGKPRSKIGLKITEKMFAKASEEFTVKALYEIDTASVNKGMDSILKRSKQRYVDKSNWNRAWQPRWYEWDIVALGSFDEAPIYYNIDQDAKELHVQLSINQPLGGNIPVGQSTYWPYYGLRNVYFITVNQGRAKALPSGIVPFMKLNLRLNYKILCQDTPANWAAIFPKRQYIPLRAPKLCSISKLIFFILLWSTLHVPQVVCLKLQGESLVKM
+>sp|Q96M11|HYLS1_HUMAN Hydrolethalus syndrome protein 1 OS=Homo sapiens OX=9606 GN=HYLS1 PE=1 SV=1
+MEELLPDGQIWANMDPEERMLAAATAFTHICAGQGEGDVRREAQSIQYDPYSKASVAPGKRPALPVQLQYPHVESNVPSETVSEASQRLRKPVMKRKVLRRKPDGEVLVTDESIISESESGTENDQDLWDLRQRLMNVQFQEDKESSFDVSQKFNLPHEYQGISQDQLICSLQREGMGSPAYEQDLIVASRPKSFILPKLDQLSRNRGKTDRVARYFEYKRDWDSIRLPGEDHRKELRWGVREQMLCRAEPQSKPQHIYVPNNYLVPTEKKRSALRWGVRCDLANGVIPRKLPFPLSPS
+>DECOY_sp|Q96M11|HYLS1_HUMAN Hydrolethalus syndrome protein 1 OS=Homo sapiens OX=9606 GN=HYLS1 PE=1 SV=1
+SPSLPFPLKRPIVGNALDCRVGWRLASRKKETPVLYNNPVYIHQPKSQPEARCLMQERVGWRLEKRHDEGPLRISDWDRKYEFYRAVRDTKGRNRSLQDLKPLIFSKPRSAVILDQEYAPSGMGERQLSCILQDQSIGQYEHPLNFKQSVDFSSEKDEQFQVNMLRQRLDWLDQDNETGSESESIISEDTVLVEGDPKRRLVKRKMVPKRLRQSAESVTESPVNSEVHPYQLQVPLAPRKGPAVSAKSYPDYQISQAERRVDGEGQGACIHTFATAAALMREEPDMNAWIQGDPLLEEM
+>sp|Q13651|I10R1_HUMAN Interleukin-10 receptor subunit alpha OS=Homo sapiens OX=9606 GN=IL10RA PE=1 SV=2
+MLPCLVVLLAALLSLRLGSDAHGTELPSPPSVWFEAEFFHHILHWTPIPNQSESTCYEVALLRYGIESWNSISNCSQTLSYDLTAVTLDLYHSNGYRARVRAVDGSRHSNWTVTNTRFSVDEVTLTVGSVNLEIHNGFILGKIQLPRPKMAPANDTYESIFSHFREYEIAIRKVPGNFTFTHKKVKHENFSLLTSGEVGEFCVQVKPSVASRSNKGMWSKEECISLTRQYFTVTNVIIFFAFVLLLSGALAYCLALQLYVRRRKKLPSVLLFKKPSPFIFISQRPSPETQDTIHPLDEEAFLKVSPELKNLDLHGSTDSGFGSTKPSLQTEEPQFLLPDPHPQADRTLGNREPPVLGDSCSSGSSNSTDSGICLQEPSLSPSTGPTWEQQVGSNSRGQDDSGIDLVQNSEGRAGDTQGGSALGHHSPPEPEVPGEEDPAAVAFQGYLRQTRCAEEKATKTGCLEEESPLTDGLGPKFGRCLVDEAGLHPPALAKGYLKQDPLEMTLASSGAPTGQWNQPTEEWSLLALSSCSDLGISDWSFAHDLAPLGCVAAPGGLLGSFNSDLVTLPLISSLQSSE
+>DECOY_sp|Q13651|I10R1_HUMAN Interleukin-10 receptor subunit alpha OS=Homo sapiens OX=9606 GN=IL10RA PE=1 SV=2
+ESSQLSSILPLTVLDSNFSGLLGGPAAVCGLPALDHAFSWDSIGLDSCSSLALLSWEETPQNWQGTPAGSSALTMELPDQKLYGKALAPPHLGAEDVLCRGFKPGLGDTLPSEEELCGTKTAKEEACRTQRLYGQFAVAAPDEEGPVEPEPPSHHGLASGGQTDGARGESNQVLDIGSDDQGRSNSGVQQEWTPGTSPSLSPEQLCIGSDTSNSSGSSCSDGLVPPERNGLTRDAQPHPDPLLFQPEETQLSPKTSGFGSDTSGHLDLNKLEPSVKLFAEEDLPHITDQTEPSPRQSIFIFPSPKKFLLVSPLKKRRRVYLQLALCYALAGSLLLVFAFFIIVNTVTFYQRTLSICEEKSWMGKNSRSAVSPKVQVCFEGVEGSTLLSFNEHKVKKHTFTFNGPVKRIAIEYERFHSFISEYTDNAPAMKPRPLQIKGLIFGNHIELNVSGVTLTVEDVSFRTNTVTWNSHRSGDVARVRARYGNSHYLDLTVATLDYSLTQSCNSISNWSEIGYRLLAVEYCTSESQNPIPTWHLIHHFFEAEFWVSPPSPLETGHADSGLRLSLLAALLVVLCPLM
+>sp|P78552|I13R1_HUMAN Interleukin-13 receptor subunit alpha-1 OS=Homo sapiens OX=9606 GN=IL13RA1 PE=1 SV=1
+MEWPARLCGLWALLLCAGGGGGGGGAAPTETQPPVTNLSVSVENLCTVIWTWNPPEGASSNCSLWYFSHFGDKQDKKIAPETRRSIEVPLNERICLQVGSQCSTNESEKPSILVEKCISPPEGDPESAVTELQCIWHNLSYMKCSWLPGRNTSPDTNYTLYYWHRSLEKIHQCENIFREGQYFGCSFDLTKVKDSSFEQHSVQIMVKDNAGKIKPSFNIVPLTSRVKPDPPHIKNLSFHNDDLYVQWENPQNFISRCLFYEVEVNNSQTETHNVFYVQEAKCENPEFERNVENTSCFMVPGVLPDTLNTVRIRVKTNKLCYEDDKLWSNWSQEMSIGKKRNSTLYITMLLIVPVIVAGAIIVLLLYLKRLKIIIFPPIPDPGKIFKEMFGDQNDDTLHWKKYDIYEKQTKEETDSVVLIENLKKASQ
+>DECOY_sp|P78552|I13R1_HUMAN Interleukin-13 receptor subunit alpha-1 OS=Homo sapiens OX=9606 GN=IL13RA1 PE=1 SV=1
+QSAKKLNEILVVSDTEEKTQKEYIDYKKWHLTDDNQDGFMEKFIKGPDPIPPFIIIKLRKLYLLLVIIAGAVIVPVILLMTIYLTSNRKKGISMEQSWNSWLKDDEYCLKNTKVRIRVTNLTDPLVGPVMFCSTNEVNREFEPNECKAEQVYFVNHTETQSNNVEVEYFLCRSIFNQPNEWQVYLDDNHFSLNKIHPPDPKVRSTLPVINFSPKIKGANDKVMIQVSHQEFSSDKVKTLDFSCGFYQGERFINECQHIKELSRHWYYLTYNTDPSTNRGPLWSCKMYSLNHWICQLETVASEPDGEPPSICKEVLISPKESENTSCQSGVQLCIRENLPVEISRRTEPAIKKDQKDGFHSFYWLSCNSSAGEPPNWTWIVTCLNEVSVSLNTVPPQTETPAAGGGGGGGGACLLLAWLGCLRAPWEM
+>sp|Q96F46|I17RA_HUMAN Interleukin-17 receptor A OS=Homo sapiens OX=9606 GN=IL17RA PE=1 SV=2
+MGAARSPPSAVPGPLLGLLLLLLGVLAPGGASLRLLDHRALVCSQPGLNCTVKNSTCLDDSWIHPRNLTPSSPKDLQIQLHFAHTQQGDLFPVAHIEWTLQTDASILYLEGAELSVLQLNTNERLCVRFEFLSKLRHHHRRWRFTFSHFVVDPDQEYEVTVHHLPKPIPDGDPNHQSKNFLVPDCEHARMKVTTPCMSSGSLWDPNITVETLEAHQLRVSFTLWNESTHYQILLTSFPHMENHSCFEHMHHIPAPRPEEFHQRSNVTLTLRNLKGCCRHQVQIQPFFSSCLNDCLRHSATVSCPEMPDTPEPIPDYMPLWVYWFITGISILLVGSVILLIVCMTWRLAGPGSEKYSDDTKYTDGLPAADLIPPPLKPRKVWIIYSADHPLYVDVVLKFAQFLLTACGTEVALDLLEEQAISEAGVMTWVGRQKQEMVESNSKIIVLCSRGTRAKWQALLGRGAPVRLRCDHGKPVGDLFTAAMNMILPDFKRPACFGTYVVCYFSEVSCDGDVPDLFGAAPRYPLMDRFEEVYFRIQDLEMFQPGRMHRVGELSGDNYLRSPGGRQLRAALDRFRDWQVRCPDWFECENLYSADDQDAPSLDEEVFEEPLLPPGTGIVKRAPLVREPGSQACLAIDPLVGEEGGAAVAKLEPHLQPRGQPAPQPLHTLVLAAEEGALVAAVEPGPLADGAAVRLALAGEGEACPLLGSPGAGRNSVLFLPVDPEDSPLGSSTPMASPDLLPEDVREHLEGLMLSLFEQSLSCQAQGGCSRPAMVLTDPHTPYEEEQRQSVQSDQGYISRSSPQPPEGLTEMEEEEEEEQDPGKPALPLSPEDLESLRSLQRQLLFRQLQKNSGWDTMGSESEGPSA
+>DECOY_sp|Q96F46|I17RA_HUMAN Interleukin-17 receptor A OS=Homo sapiens OX=9606 GN=IL17RA PE=1 SV=2
+ASPGESESGMTDWGSNKQLQRFLLQRQLSRLSELDEPSLPLAPKGPDQEEEEEEEMETLGEPPQPSSRSIYGQDSQVSQRQEEEYPTHPDTLVMAPRSCGGQAQCSLSQEFLSLMLGELHERVDEPLLDPSAMPTSSGLPSDEPDVPLFLVSNRGAGPSGLLPCAEGEGALALRVAAGDALPGPEVAAVLAGEEAALVLTHLPQPAPQGRPQLHPELKAVAAGGEEGVLPDIALCAQSGPERVLPARKVIGTGPPLLPEEFVEEDLSPADQDDASYLNECEFWDPCRVQWDRFRDLAARLQRGGPSRLYNDGSLEGVRHMRGPQFMELDQIRFYVEEFRDMLPYRPAAGFLDPVDGDCSVESFYCVVYTGFCAPRKFDPLIMNMAATFLDGVPKGHDCRLRVPAGRGLLAQWKARTGRSCLVIIKSNSEVMEQKQRGVWTMVGAESIAQEELLDLAVETGCATLLFQAFKLVVDVYLPHDASYIIWVKRPKLPPPILDAAPLGDTYKTDDSYKESGPGALRWTMCVILLIVSGVLLISIGTIFWYVWLPMYDPIPEPTDPMEPCSVTASHRLCDNLCSSFFPQIQVQHRCCGKLNRLTLTVNSRQHFEEPRPAPIHHMHEFCSHNEMHPFSTLLIQYHTSENWLTFSVRLQHAELTEVTINPDWLSGSSMCPTTVKMRAHECDPVLFNKSQHNPDGDPIPKPLHHVTVEYEQDPDVVFHSFTFRWRRHHHRLKSLFEFRVCLRENTNLQLVSLEAGELYLISADTQLTWEIHAVPFLDGQQTHAFHLQIQLDKPSSPTLNRPHIWSDDLCTSNKVTCNLGPQSCVLARHDLLRLSAGGPALVGLLLLLLGLLPGPVASPPSRAAGM
+>sp|Q8NAC3|I17RC_HUMAN Interleukin-17 receptor C OS=Homo sapiens OX=9606 GN=IL17RC PE=1 SV=2
+MPVPWFLLSLALGRSPVVLSLERLVGPQDATHCSPVSLEPWGDEERLRVQFLAQQSLSLAPVTAATARTALSGLSGADGRREERGRGKSWVCLSLGGSGNTEPQKKGLSCRLWDSDILCLPGDIVPAPGPVLAPTHLQTELVLRCQKETDCDLCLRVAVHLAVHGHWEEPEDEEKFGGAADSGVEEPRNASLQAQVVLSFQAYPTARCVLLEVQVPAALVQFGQSVGSVVYDCFEAALGSEVRIWSYTQPRYEKELNHTQQLPDCRGLEVWNSIPSCWALPWLNVSADGDNVHLVLNVSEEQHFGLSLYWNQVQGPPKPRWHKNLTGPQIITLNHTDLVPCLCIQVWPLEPDSVRTNICPFREDPRAHQNLWQAARLQLLTLQSWLLDAPCSLPAEAALCWRAPGGDPCQPLVPPLSWENVTVDKVLEFPLLKGHPNLCVQVNSSEKLQLQECLWADSLGPLKDDVLLLETRGPQDNRSLCALEPSGCTSLPSKASTRAARLGEYLLQDLQSGQCLQLWDDDLGALWACPMDKYIHKRWALVWLACLLFAAALSLILLLKKDHAKGWLRLLKQDVRSGAAARGRAALLLYSADDSGFERLVGALASALCQLPLRVAVDLWSRRELSAQGPVAWFHAQRRQTLQEGGVVVLLFSPGAVALCSEWLQDGVSGPGAHGPHDAFRASLSCVLPDFLQGRAPGSYVGACFDRLLHPDAVPALFRTVPVFTLPSQLPDFLGALQQPRAPRSGRLQERAEQVSRALQPALDSYFHPPGTPAPGRGVGPGAGPGAGDGT
+>DECOY_sp|Q8NAC3|I17RC_HUMAN Interleukin-17 receptor C OS=Homo sapiens OX=9606 GN=IL17RC PE=1 SV=2
+TGDGAGPGAGPGVGRGPAPTGPPHFYSDLAPQLARSVQEAREQLRGSRPARPQQLAGLFDPLQSPLTFVPVTRFLAPVADPHLLRDFCAGVYSGPARGQLFDPLVCSLSARFADHPGHAGPGSVGDQLWESCLAVAGPSFLLVVVGGEQLTQRRQAHFWAVPGQASLERRSWLDVAVRLPLQCLASALAGVLREFGSDDASYLLLAARGRAAAGSRVDQKLLRLWGKAHDKKLLLILSLAAAFLLCALWVLAWRKHIYKDMPCAWLAGLDDDWLQLCQGSQLDQLLYEGLRAARTSAKSPLSTCGSPELACLSRNDQPGRTELLLVDDKLPGLSDAWLCEQLQLKESSNVQVCLNPHGKLLPFELVKDVTVNEWSLPPVLPQCPDGGPARWCLAAEAPLSCPADLLWSQLTLLQLRAAQWLNQHARPDERFPCINTRVSDPELPWVQICLCPVLDTHNLTIIQPGTLNKHWRPKPPGQVQNWYLSLGFHQEESVNLVLHVNDGDASVNLWPLAWCSPISNWVELGRCDPLQQTHNLEKEYRPQTYSWIRVESGLAAEFCDYVVSGVSQGFQVLAAPVQVELLVCRATPYAQFSLVVQAQLSANRPEEVGSDAAGGFKEEDEPEEWHGHVALHVAVRLCLDCDTEKQCRLVLETQLHTPALVPGPAPVIDGPLCLIDSDWLRCSLGKKQPETNGSGGLSLCVWSKGRGREERRGDAGSLGSLATRATAATVPALSLSQQALFQVRLREEDGWPELSVPSCHTADQPGVLRELSLVVPSRGLALSLLFWPVPM
+>sp|Q8NFR9|I17RE_HUMAN Interleukin-17 receptor E OS=Homo sapiens OX=9606 GN=IL17RE PE=1 SV=1
+MGSSRLAALLLPLLLIVIDLSDSAGIGFRHLPHWNTRCPLASHTDDSFTGSSAYIPCRTWWALFSTKPWCVRVWHCSRCLCQHLLSGGSGLQRGLFHLLVQKSKKSSTFKFYRRHKMPAPAQRKLLPRRHLSEKSHHISIPSPDISHKGLRSKRTQPSDPETWESLPRLDSQRHGGPEFSFDLLPEARAIRVTISSGPEVSVRLCHQWALECEELSSPYDVQKIVSGGHTVELPYEFLLPCLCIEASYLQEDTVRRKKCPFQSWPEAYGSDFWKSVHFTDYSQHTQMVMALTLRCPLKLEAALCQRHDWHTLCKDLPNATARESDGWYVLEKVDLHPQLCFKFSFGNSSHVECPHQTGSLTSWNVSMDTQAQQLILHFSSRMHATFSAAWSLPGLGQDTLVPPVYTVSQARGSSPVSLDLIIPFLRPGCCVLVWRSDVQFAWKHLLCPDVSYRHLGLLILALLALLTLLGVVLALTCRRPQSGPGPARPVLLLHAADSEAQRRLVGALAELLRAALGGGRDVIVDLWEGRHVARVGPLPWLWAARTRVAREQGTVLLLWSGADLRPVSGPDPRAAPLLALLHAAPRPLLLLAYFSRLCAKGDIPPPLRALPRYRLLRDLPRLLRALDARPFAEATSWGRLGARQRRQSRLELCSRLEREAARLADLG
+>DECOY_sp|Q8NFR9|I17RE_HUMAN Interleukin-17 receptor E OS=Homo sapiens OX=9606 GN=IL17RE PE=1 SV=1
+GLDALRAAERELRSCLELRSQRRQRAGLRGWSTAEAFPRADLARLLRPLDRLLRYRPLARLPPPIDGKACLRSFYALLLLPRPAAHLLALLPAARPDPGSVPRLDAGSWLLLVTGQERAVRTRAAWLWPLPGVRAVHRGEWLDVIVDRGGGLAARLLEALAGVLRRQAESDAAHLLLVPRAPGPGSQPRRCTLALVVGLLTLLALLALILLGLHRYSVDPCLLHKWAFQVDSRWVLVCCGPRLFPIILDLSVPSSGRAQSVTYVPPVLTDQGLGPLSWAASFTAHMRSSFHLILQQAQTDMSVNWSTLSGTQHPCEVHSSNGFSFKFCLQPHLDVKELVYWGDSERATANPLDKCLTHWDHRQCLAAELKLPCRLTLAMVMQTHQSYDTFHVSKWFDSGYAEPWSQFPCKKRRVTDEQLYSAEICLCPLLFEYPLEVTHGGSVIKQVDYPSSLEECELAWQHCLRVSVEPGSSITVRIARAEPLLDFSFEPGGHRQSDLRPLSEWTEPDSPQTRKSRLGKHSIDPSPISIHHSKESLHRRPLLKRQAPAPMKHRRYFKFTSSKKSKQVLLHFLGRQLGSGGSLLHQCLCRSCHWVRVCWPKTSFLAWWTRCPIYASSGTFSDDTHSALPCRTNWHPLHRFGIGASDSLDIVILLLPLLLAALRSSGM
+>sp|Q9H9L3|I20L2_HUMAN Interferon-stimulated 20 kDa exonuclease-like 2 OS=Homo sapiens OX=9606 GN=ISG20L2 PE=1 SV=1
+MSTLLLNLDFGEPPPKKALEGNAKHRNFVKKRRLLERRGFLSKKNQPPSKAPKLHSEPSKKGETPTVDGTWKTPSFPKKKTAASSNGSGQPLDKKAAVSWLTPAPSKKADSVAAKVDLLGEFQSALPKINSHPTRSQKKSSQKKSSKKNHPQKNAPQNSTQAHSENKCSGASQKLPRKMVAIDCEMVGTGPKGHVSSLARCSIVNYNGDVLYDEYILPPCHIVDYRTRWSGIRKQHMVNATPFKIARGQILKILTGKIVVGHAIHNDFKALQYFHPKSLTRDTSHIPPLNRKADCPENATMSLKHLTKKLLNRDIQVGKSGHSSVEDAQATMELYKLVEVEWEEHLARNPPTD
+>DECOY_sp|Q9H9L3|I20L2_HUMAN Interferon-stimulated 20 kDa exonuclease-like 2 OS=Homo sapiens OX=9606 GN=ISG20L2 PE=1 SV=1
+DTPPNRALHEEWEVEVLKYLEMTAQADEVSSHGSKGVQIDRNLLKKTLHKLSMTANEPCDAKRNLPPIHSTDRTLSKPHFYQLAKFDNHIAHGVVIKGTLIKLIQGRAIKFPTANVMHQKRIGSWRTRYDVIHCPPLIYEDYLVDGNYNVISCRALSSVHGKPGTGVMECDIAVMKRPLKQSAGSCKNESHAQTSNQPANKQPHNKKSSKKQSSKKQSRTPHSNIKPLASQFEGLLDVKAAVSDAKKSPAPTLWSVAAKKDLPQGSGNSSAATKKKPFSPTKWTGDVTPTEGKKSPESHLKPAKSPPQNKKSLFGRRELLRRKKVFNRHKANGELAKKPPPEGFDLNLLLTSM
+>sp|Q6UXL0|I20RB_HUMAN Interleukin-20 receptor subunit beta OS=Homo sapiens OX=9606 GN=IL20RB PE=1 SV=1
+MQTFTMVLEEIWTSLFMWFFYALIPCLLTDEVAILPAPQNLSVLSTNMKHLLMWSPVIAPGETVYYSVEYQGEYESLYTSHIWIPSSWCSLTEGPECDVTDDITATVPYNLRVRATLGSQTSAWSILKHPFNRNSTILTRPGMEITKDGFHLVIELEDLGPQFEFLVAYWRREPGAEEHVKMVRSGGIPVHLETMEPGAAYCVKAQTFVKAIGRYSAFSQTECVEVQGEAIPLVLALFAFVGFMLILVVVPLFVWKMGRLLQYSCCPVVVLPDTLKITNSPQKLISCRREEVDACATAVMSPEELLRAWIS
+>DECOY_sp|Q6UXL0|I20RB_HUMAN Interleukin-20 receptor subunit beta OS=Homo sapiens OX=9606 GN=IL20RB PE=1 SV=1
+SIWARLLEEPSMVATACADVEERRCSILKQPSNTIKLTDPLVVVPCCSYQLLRGMKWVFLPVVVLILMFGVFAFLALVLPIAEGQVEVCETQSFASYRGIAKVFTQAKVCYAAGPEMTELHVPIGGSRVMKVHEEAGPERRWYAVLFEFQPGLDELEIVLHFGDKTIEMGPRTLITSNRNFPHKLISWASTQSGLTARVRLNYPVTATIDDTVDCEPGETLSCWSSPIWIHSTYLSEYEGQYEVSYYVTEGPAIVPSWMLLHKMNTSLVSLNQPAPLIAVEDTLLCPILAYFFWMFLSTWIEELVMTFTQM
+>sp|Q9H2X8|I27L2_HUMAN Interferon alpha-inducible protein 27-like protein 2 OS=Homo sapiens OX=9606 GN=IFI27L2 PE=2 SV=1
+MMKRAAAAAVGGALAVGAVPVVLSAMGFTGAGIAASSIAAKMMSAAAIANGGGVSAGSLVATLQSVGAAGLSTSSNILLASVGSVLGACLGNSPSSSLPAEPEAKEDEARENVPQGEPPKPPLKSEKHEE
+>DECOY_sp|Q9H2X8|I27L2_HUMAN Interferon alpha-inducible protein 27-like protein 2 OS=Homo sapiens OX=9606 GN=IFI27L2 PE=2 SV=1
+EEHKESKLPPKPPEGQPVNERAEDEKAEPEAPLSSSPSNGLCAGLVSGVSALLINSSTSLGAAGVSQLTAVLSGASVGGGNAIAAASMMKAAISSAAIGAGTFGMASLVVPVAGVALAGGVAAAAARKMM
+>sp|Q6UWB1|I27RA_HUMAN Interleukin-27 receptor subunit alpha OS=Homo sapiens OX=9606 GN=IL27RA PE=2 SV=2
+MRGGRGAPFWLWPLPKLALLPLLWVLFQRTRPQGSAGPLQCYGVGPLGDLNCSWEPLGDLGAPSELHLQSQKYRSNKTQTVAVAAGRSWVAIPREQLTMSDKLLVWGTKAGQPLWPPVFVNLETQMKPNAPRLGPDVDFSEDDPLEATVHWAPPTWPSHKVLICQFHYRRCQEAAWTLLEPELKTIPLTPVEIQDLELATGYKVYGRCRMEKEEDLWGEWSPILSFQTPPSAPKDVWVSGNLCGTPGGEEPLLLWKAPGPCVQVSYKVWFWVGGRELSPEGITCCCSLIPSGAEWARVSAVNATSWEPLTNLSLVCLDSASAPRSVAVSSIAGSTELLVTWQPGPGEPLEHVVDWARDGDPLEKLNWVRLPPGNLSALLPGNFTVGVPYRITVTAVSASGLASASSVWGFREELAPLVGPTLWRLQDAPPGTPAIAWGEVPRHQLRGHLTHYTLCAQSGTSPSVCMNVSGNTQSVTLPDLPWGPCELWVTASTIAGQGPPGPILRLHLPDNTLRWKVLPGILFLWGLFLLGCGLSLATSGRCYHLRHKVLPRWVWEKVPDPANSSSGQPHMEQVPEAQPLGDLPILEVEEMEPPPVMESSQPAQATAPLDSGYEKHFLPTPEELGLLGPPRPQVLA
+>DECOY_sp|Q6UWB1|I27RA_HUMAN Interleukin-27 receptor subunit alpha OS=Homo sapiens OX=9606 GN=IL27RA PE=2 SV=2
+ALVQPRPPGLLGLEEPTPLFHKEYGSDLPATAQAPQSSEMVPPPEMEEVELIPLDGLPQAEPVQEMHPQGSSSNAPDPVKEWVWRPLVKHRLHYCRGSTALSLGCGLLFLGWLFLIGPLVKWRLTNDPLHLRLIPGPPGQGAITSATVWLECPGWPLDPLTVSQTNGSVNMCVSPSTGSQACLTYHTLHGRLQHRPVEGWAIAPTGPPADQLRWLTPGVLPALEERFGWVSSASALGSASVATVTIRYPVGVTFNGPLLASLNGPPLRVWNLKELPDGDRAWDVVHELPEGPGPQWTVLLETSGAISSVAVSRPASASDLCVLSLNTLPEWSTANVASVRAWEAGSPILSCCCTIGEPSLERGGVWFWVKYSVQVCPGPAKWLLLPEEGGPTGCLNGSVWVDKPASPPTQFSLIPSWEGWLDEEKEMRCRGYVKYGTALELDQIEVPTLPITKLEPELLTWAAEQCRRYHFQCILVKHSPWTPPAWHVTAELPDDESFDVDPGLRPANPKMQTELNVFVPPWLPQGAKTGWVLLKDSMTLQERPIAVWSRGAAVAVTQTKNSRYKQSQLHLESPAGLDGLPEWSCNLDGLPGVGYCQLPGASGQPRTRQFLVWLLPLLALKPLPWLWFPAGRGGRM
+>sp|Q8IU81|I2BP1_HUMAN Interferon regulatory factor 2-binding protein 1 OS=Homo sapiens OX=9606 GN=IRF2BP1 PE=1 SV=1
+MASVQASRRQWCYLCDLPKMPWAMVWDFSEAVCRGCVNFEGADRIELLIDAARQLKRSHVLPEGRSPGPPALKHPATKDLAAAAAQGPQLPPPQAQPQPSGTGGGVSGQDRYDRATSSGRLPLPSPALEYTLGSRLANGLGREEAVAEGARRALLGSMPGLMPPGLLAAAVSGLGSRGLTLAPGLSPARPLFGSDFEKEKQQRNADCLAELNEAMRGRAEEWHGRPKAVREQLLALSACAPFNVRFKKDHGLVGRVFAFDATARPPGYEFELKLFTEYPCGSGNVYAGVLAVARQMFHDALREPGKALASSGFKYLEYERRHGSGEWRQLGELLTDGVRSFREPAPAEALPQQYPEPAPAALCGPPPRAPSRNLAPTPRRRKASPEPEGEAAGKMTTEEQQQRHWVAPGGPYSAETPGVPSPIAALKNVAEALGHSPKDPGGGGGPVRAGGASPAASSTAQPPTQHRLVARNGEAEVSPTAGAEAVSGGGSGTGATPGAPLCCTLCRERLEDTHFVQCPSVPGHKFCFPCSREFIKAQGPAGEVYCPSGDKCPLVGSSVPWAFMQGEIATILAGDIKVKKERDP
+>DECOY_sp|Q8IU81|I2BP1_HUMAN Interferon regulatory factor 2-binding protein 1 OS=Homo sapiens OX=9606 GN=IRF2BP1 PE=1 SV=1
+PDREKKVKIDGALITAIEGQMFAWPVSSGVLPCKDGSPCYVEGAPGQAKIFERSCPFCFKHGPVSPCQVFHTDELRERCLTCCLPAGPTAGTGSGGGSVAEAGATPSVEAEGNRAVLRHQTPPQATSSAAPSAGGARVPGGGGGPDKPSHGLAEAVNKLAAIPSPVGPTEASYPGGPAVWHRQQQEETTMKGAAEGEPEPSAKRRRPTPALNRSPARPPPGCLAAPAPEPYQQPLAEAPAPERFSRVGDTLLEGLQRWEGSGHRREYELYKFGSSALAKGPERLADHFMQRAVALVGAYVNGSGCPYETFLKLEFEYGPPRATADFAFVRGVLGHDKKFRVNFPACASLALLQERVAKPRGHWEEARGRMAENLEALCDANRQQKEKEFDSGFLPRAPSLGPALTLGRSGLGSVAAALLGPPMLGPMSGLLARRAGEAVAEERGLGNALRSGLTYELAPSPLPLRGSSTARDYRDQGSVGGGTGSPQPQAQPPPLQPGQAAAAALDKTAPHKLAPPGPSRGEPLVHSRKLQRAADILLEIRDAGEFNVCGRCVAESFDWVMAWPMKPLDCLYCWQRRSAQVSAM
+>sp|Q7Z5L9|I2BP2_HUMAN Interferon regulatory factor 2-binding protein 2 OS=Homo sapiens OX=9606 GN=IRF2BP2 PE=1 SV=2
+MAAAVAVAAASRRQSCYLCDLPRMPWAMIWDFTEPVCRGCVNYEGADRVEFVIETARQLKRAHGCFPEGRSPPGAAASAAAKPPPLSAKDILLQQQQQLGHGGPEAAPRAPQALERYPLAAAAERPPRLGSDFGSSRPAASLAQPPTPQPPPVNGILVPNGFSKLEEPPELNRQSPNPRRGHAVPPTLVPLMNGSATPLPTALGLGGRAAASLAAVSGTAAASLGSAQPTDLGAHKRPASVSSSAAVEHEQREAAAKEKQPPPPAHRGPADSLSTAAGAAELSAEGAGKSRGSGEQDWVNRPKTVRDTLLALHQHGHSGPFESKFKKEPALTAGRLLGFEANGANGSKAVARTARKRKPSPEPEGEVGPPKINGEAQPWLSTSTEGLKIPMTPTSSFVSPPPPTASPHSNRTTPPEAAQNGQSPMAALILVADNAGGSHASKDANQVHSTTRRNSNSPPSPSSMNQRRLGPREVGGQGAGNTGGLEPVHPASLPDSSLATSAPLCCTLCHERLEDTHFVQCPSVPSHKFCFPCSRQSIKQQGASGEVYCPSGEKCPLVGSNVPWAFMQGEIATILAGDVKVKKERDS
+>DECOY_sp|Q7Z5L9|I2BP2_HUMAN Interferon regulatory factor 2-binding protein 2 OS=Homo sapiens OX=9606 GN=IRF2BP2 PE=1 SV=2
+SDREKKVKVDGALITAIEGQMFAWPVNSGVLPCKEGSPCYVEGSAGQQKISQRSCPFCFKHSPVSPCQVFHTDELREHCLTCCLPASTALSSDPLSAPHVPELGGTNGAGQGGVERPGLRRQNMSSPSPPSNSNRRTTSHVQNADKSAHSGGANDAVLILAAMPSQGNQAAEPPTTRNSHPSATPPPPSVFSSTPTMPIKLGETSTSLWPQAEGNIKPPGVEGEPEPSPKRKRATRAVAKSGNAGNAEFGLLRGATLAPEKKFKSEFPGSHGHQHLALLTDRVTKPRNVWDQEGSGRSKGAGEASLEAAGAATSLSDAPGRHAPPPPQKEKAAAERQEHEVAASSSVSAPRKHAGLDTPQASGLSAAATGSVAALSAAARGGLGLATPLPTASGNMLPVLTPPVAHGRRPNPSQRNLEPPEELKSFGNPVLIGNVPPPQPTPPQALSAAPRSSGFDSGLRPPREAAAALPYRELAQPARPAAEPGGHGLQQQQQLLIDKASLPPPKAAASAAAGPPSRGEPFCGHARKLQRATEIVFEVRDAGEYNVCGRCVPETFDWIMAWPMRPLDCLYCSQRRSAAAVAVAAAM
+>sp|Q9H1B7|I2BPL_HUMAN Interferon regulatory factor 2-binding protein-like OS=Homo sapiens OX=9606 GN=IRF2BPL PE=1 SV=1
+MSAAQVSSSRRQSCYLCDLPRMPWAMIWDFSEPVCRGCVNYEGADRIEFVIETARQLKRAHGCFQDGRSPGPPPPVGVKTVALSAKEAAAAAAAAAAAAAAAQQQQQQQQQQQQQQQQQQQQQQQQQLNHVDGSSKPAVLAAPSGLERYGLSAAAAAAAAAAAAVEQRSRFEYPPPPVSLGSSSHTARLPNGLGGPNGFPKPTPEEGPPELNRQSPNSSSAAASVASRRGTHGGLVTGLPNPGGGGGPQLTVPPNLLPQTLLNGPASAAVLPPPPPHALGSRGPPTPAPPGAPGGPACLGGTPGVSATSSSASSSTSSSVAEVGVGAGGKRPGSVSSTDQERELKEKQRNAEALAELSESLRNRAEEWASKPKMVRDTLLTLAGCTPYEVRFKKDHSLLGRVFAFDAVSKPGMDYELKLFIEYPTGSGNVYSSASGVAKQMYQDCMKDFGRGLSSGFKYLEYEKKHGSGDWRLLGDLLPEAVRFFKEGVPGADMLPQPYLDASCPMLPTALVSLSRAPSAPPGTGALPPAAPSGRGAAASLRKRKASPEPPDSAEGALKLGEEQQRQQWMANQSEALKLTMSAGGFAAPGHAAGGPPPPPPPLGPHSNRTTPPESAPQNGPSPMAALMSVADTLGTAHSPKDGSSVHSTTASARRNSSSPVSPASVPGQRRLASRNGDLNLQVAPPPPSAHPGMDQVHPQNIPDSPMANSGPLCCTICHERLEDTHFVQCPSVPSHKFCFPCSRESIKAQGATGEVYCPSGEKCPLVGSNVPWAFMQGEIATILAGDVKVKKERDP
+>DECOY_sp|Q9H1B7|I2BPL_HUMAN Interferon regulatory factor 2-binding protein-like OS=Homo sapiens OX=9606 GN=IRF2BPL PE=1 SV=1
+PDREKKVKVDGALITAIEGQMFAWPVNSGVLPCKEGSPCYVEGTAGQAKISERSCPFCFKHSPVSPCQVFHTDELREHCITCCLPGSNAMPSDPINQPHVQDMGPHASPPPPAVQLNLDGNRSALRRQGPVSAPSVPSSSNRRASATTSHVSSGDKPSHATGLTDAVSMLAAMPSPGNQPASEPPTTRNSHPGLPPPPPPPGGAAHGPAAFGGASMTLKLAESQNAMWQQRQQEEGLKLAGEASDPPEPSAKRKRLSAAAGRGSPAAPPLAGTGPPASPARSLSVLATPLMPCSADLYPQPLMDAGPVGEKFFRVAEPLLDGLLRWDGSGHKKEYELYKFGSSLGRGFDKMCDQYMQKAVGSASSYVNGSGTPYEIFLKLEYDMGPKSVADFAFVRGLLSHDKKFRVEYPTCGALTLLTDRVMKPKSAWEEARNRLSESLEALAEANRQKEKLEREQDTSSVSGPRKGGAGVGVEAVSSSTSSSASSSTASVGPTGGLCAPGGPAGPPAPTPPGRSGLAHPPPPPLVAASAPGNLLTQPLLNPPVTLQPGGGGGPNPLGTVLGGHTGRRSAVSAAASSSNPSQRNLEPPGEEPTPKPFGNPGGLGNPLRATHSSSGLSVPPPPYEFRSRQEVAAAAAAAAAAAASLGYRELGSPAALVAPKSSGDVHNLQQQQQQQQQQQQQQQQQQQQQQQQQAAAAAAAAAAAAAAAEKASLAVTKVGVPPPPGPSRGDQFCGHARKLQRATEIVFEIRDAGEYNVCGRCVPESFDWIMAWPMRPLDCLYCSQRRSSSVQAASM
+>sp|Q14642|I5P1_HUMAN Type I inositol 1,4,5-trisphosphate 5-phosphatase OS=Homo sapiens OX=9606 GN=INPP5A PE=1 SV=1
+MAGKAAAPGTAVLLVTANVGSLFDDPENLQKNWLREFYQVVHTHKPHFMALHCQEFGGKNYEASMSHVDKFVKELLSSDAMKEYNRARVYLDENYKSQEHFTALGSFYFLHESLKNIYQFDFKAKKYRKVAGKEIYSDTLESTPMLEKEKFPQDYFPECKWSRKGFIRTRWCIADCAFDLVNIHLFHDASNLVAWETSPSVYSGIRHKALGYVLDRIIDQRFEKVSYFVFGDFNFRLDSKSVVETLCTKATMQTVRAADTNEVVKLIFRESDNDRKVMLQLEKKLFDYFNQEVFRDNNGTALLEFDKELSVFKDRLYELDISFPPSYPYSEDARQGEQYMNTRCPAWCDRILMSPSAKELVLRSESEEKVVTYDHIGPNVCMGDHKPVFLAFRIMPGAGKPHAHVHKCCVVQ
+>DECOY_sp|Q14642|I5P1_HUMAN Type I inositol 1,4,5-trisphosphate 5-phosphatase OS=Homo sapiens OX=9606 GN=INPP5A PE=1 SV=1
+QVVCCKHVHAHPKGAGPMIRFALFVPKHDGMCVNPGIHDYTVVKEESESRLVLEKASPSMLIRDCWAPCRTNMYQEGQRADESYPYSPPFSIDLEYLRDKFVSLEKDFELLATGNNDRFVEQNFYDFLKKELQLMVKRDNDSERFILKVVENTDAARVTQMTAKTCLTEVVSKSDLRFNFDGFVFYSVKEFRQDIIRDLVYGLAKHRIGSYVSPSTEWAVLNSADHFLHINVLDFACDAICWRTRIFGKRSWKCEPFYDQPFKEKELMPTSELTDSYIEKGAVKRYKKAKFDFQYINKLSEHLFYFSGLATFHEQSKYNEDLYVRARNYEKMADSSLLEKVFKDVHSMSAEYNKGGFEQCHLAMFHPKHTHVVQYFERLWNKQLNEPDDFLSGVNATVLLVATGPAAAKGAM
+>sp|P32019|I5P2_HUMAN Type II inositol 1,4,5-trisphosphate 5-phosphatase OS=Homo sapiens OX=9606 GN=INPP5B PE=1 SV=4
+MDQSVAIQETLAEGEYCVIAVQGVLCEGDSRQSRLLGLVRYRLEHGGQEHALFLYTHRRMAITGDDVSLDQIVPVSRDFTLEEVSPDGELYILGSDVTVQLDTAELSLVFQLPFGSQTRMFLHEVARACPGFDSATRDPEFLWLSRYRCAELELEMPTPRGCNSALVTWPGYATIGGGRYPSRKKRWGLEEARPQGAGSVLFWGGAMEKTGFRLMERAHGGGFVWGRSARDGRRDEELEEAGREMSAAAGSRERNTAGGSNFDGLRPNGKGVPMDQSSRGQDKPESLQPRQNKSKSEITDMVRSSTITVSDKAHILSMQKFGLRDTIVKSHLLQKEEDYTYIQNFRFFAGTYNVNGQSPKECLRLWLSNGIQAPDVYCVGFQELDLSKEAFFFHDTPKEEEWFKAVSEGLHPDAKYAKVKLIRLVGIMLLLYVKQEHAAYISEVEAETVGTGIMGRMGNKGGVAIRFQFHNTSICVVNSHLAAHIEEYERRNQDYKDICSRMQFCQPDPSLPPLTISNHDVILWLGDLNYRIEELDVEKVKKLIEEKDFQMLYAYDQLKIQVAAKTVFEGFTEGELTFQPTYKYDTGSDDWDTSEKCRAPAWCDRILWKGKNITQLSYQSHMALKTSDHKPVSSVFDIGVRVVNDELYRKTLEEIVRSLDKMENANIPSVSLSKREFCFQNVKYMQLKVESFTIHNGQVPCHFEFINKPDEESYCKQWLNANPSRGFLLPDSDVEIDLELFVNKMTATKLNSGEDKIEDILVLHLDRGKDYFLSVSGNYLPSCFGSPIHTLCYMREPILDLPLETISELTLMPVWTGDDGSQLDSPMEIPKELWMMVDYLYRNAVQQEDLFQQPGLRSEFEHIRDCLDTGMIDNLSASNHSVAEALLLFLESLPEPVICYSTYHNCLECSGNYTASKQVISTLPIFHKNVFHYLMAFLRELLKNSAKNHLDENILASIFGSLLLRNPAGHQKLDMTEKKKAQEFIHQFLCNPL
+>DECOY_sp|P32019|I5P2_HUMAN Type II inositol 1,4,5-trisphosphate 5-phosphatase OS=Homo sapiens OX=9606 GN=INPP5B PE=1 SV=4
+LPNCLFQHIFEQAKKKETMDLKQHGAPNRLLLSGFISALINEDLHNKASNKLLERLFAMLYHFVNKHFIPLTSIVQKSATYNGSCELCNHYTSYCIVPEPLSELFLLLAEAVSHNSASLNDIMGTDLCDRIHEFESRLGPQQFLDEQQVANRYLYDVMMWLEKPIEMPSDLQSGDDGTWVPMLTLESITELPLDLIPERMYCLTHIPSGFCSPLYNGSVSLFYDKGRDLHLVLIDEIKDEGSNLKTATMKNVFLELDIEVDSDPLLFGRSPNANLWQKCYSEEDPKNIFEFHCPVQGNHITFSEVKLQMYKVNQFCFERKSLSVSPINANEMKDLSRVIEELTKRYLEDNVVRVGIDFVSSVPKHDSTKLAMHSQYSLQTINKGKWLIRDCWAPARCKESTDWDDSGTDYKYTPQFTLEGETFGEFVTKAAVQIKLQDYAYLMQFDKEEILKKVKEVDLEEIRYNLDGLWLIVDHNSITLPPLSPDPQCFQMRSCIDKYDQNRREYEEIHAALHSNVVCISTNHFQFRIAVGGKNGMRGMIGTGVTEAEVESIYAAHEQKVYLLLMIGVLRILKVKAYKADPHLGESVAKFWEEEKPTDHFFFAEKSLDLEQFGVCYVDPAQIGNSLWLRLCEKPSQGNVNYTGAFFRFNQIYTYDEEKQLLHSKVITDRLGFKQMSLIHAKDSVTITSSRVMDTIESKSKNQRPQLSEPKDQGRSSQDMPVGKGNPRLGDFNSGGATNRERSGAAASMERGAEELEEDRRGDRASRGWVFGGGHAREMLRFGTKEMAGGWFLVSGAGQPRAEELGWRKKRSPYRGGGITAYGPWTVLASNCGRPTPMELELEACRYRSLWLFEPDRTASDFGPCARAVEHLFMRTQSGFPLQFVLSLEATDLQVTVDSGLIYLEGDPSVEELTFDRSVPVIQDLSVDDGTIAMRRHTYLFLAHEQGGHELRYRVLGLLRSQRSDGECLVGQVAIVCYEGEALTEQIAVSQDM
+>sp|Q659A1|ICE2_HUMAN Little elongation complex subunit 2 OS=Homo sapiens OX=9606 GN=ICE2 PE=1 SV=2
+MSSKMVISEPGLNWDISPKNGLKTFFSRENYKDHSMAPSLKELRVLSNRRIGENLNASASSVENEPAVSSATQAKEKVKTTIGMVLLPKPRVPYPRFSRFSQREQRSYVDLLVKYAKIPANSKAVGINKNDYLQYLDMKKHVNEEVTEFLKFLQNSAKKCAQDYNMLSDDARLFTEKILRACIEQVKKYSEFYTLHEVTSLMGFFPFRVEMGLKLEKTLLALGSVKYVKTVFPSMPIKLQLSKDDIATIETSEQTAEAMHYDISKDPNAEKLVSRYHPQIALTSQSLFTLLNNHGPTYKEQWEIPVCIQVIPVAGSKPVKVIYINSPLPQKKMTMRERNQIFHEVPLKFMMSKNTSVPVSAVFMDKPEEFISEMDMSCEVNECRKIESLENLYLDFDDDVTELETFGVTTTKVSKSPSPASTSTVPNMTDAPTAPKAGTTTVAPSAPDISANSRSLSQILMEQLQKEKQLVTGMDGGPEECKNKDDQGFESCEKVSNSDKPLIQDSDLKTSDALQLENSQEIETSNKNDMTIDILHADGERPNVLENLDNSKEKTVGSEAAKTEDTVLCSSDTDEECLIIDTECKNNSDGKTAVVGSNLSSRPASPNSSSGQASVGNQTNTACSPEESCVLKKPIKRVYKKFDPVGEILKMQDELLKPISRKVPELPLMNLENSKQPSVSEQLSGPSDSSSWPKSGWPSAFQKPKGRLPYELQDYVEDTSEYLAPQEGNFVYKLFSLQDLLLLVRCSVQRIETRPRSKKRKKIRRQFPVYVLPKVEYQACYGVEALTESELCRLWTESLLHSNSSFYVGHIDAFTSKLFLLEEITSEELKEKLSALKISNLFNILQHILKKLSSLQEGSYLLSHAAEDSSLLIYKASDGKVTRTAYNLYKTHCGLPGVPSSLSVPWVPLDPSLLLPYHIHHGRIPCTFPPKSLDTTTQQKIGGTRMPTRSHRNPVSMETKSSCLPAQQVETEGVAPHKRKIT
+>DECOY_sp|Q659A1|ICE2_HUMAN Little elongation complex subunit 2 OS=Homo sapiens OX=9606 GN=ICE2 PE=1 SV=2
+TIKRKHPAVGETEVQQAPLCSSKTEMSVPNRHSRTPMRTGGIKQQTTTDLSKPPFTCPIRGHHIHYPLLLSPDLPVWPVSLSSPVGPLGCHTKYLNYATRTVKGDSAKYILLSSDEAAHSLLYSGEQLSSLKKLIHQLINFLNSIKLASLKEKLEESTIEELLFLKSTFADIHGVYFSSNSHLLSETWLRCLESETLAEVGYCAQYEVKPLVYVPFQRRIKKRKKSRPRTEIRQVSCRVLLLLDQLSFLKYVFNGEQPALYESTDEVYDQLEYPLRGKPKQFASPWGSKPWSSSDSPGSLQESVSPQKSNELNMLPLEPVKRSIPKLLEDQMKLIEGVPDFKKYVRKIPKKLVCSEEPSCATNTQNGVSAQGSSSNPSAPRSSLNSGVVATKGDSNNKCETDIILCEEDTDSSCLVTDETKAAESGVTKEKSNDLNELVNPREGDAHLIDITMDNKNSTEIEQSNELQLADSTKLDSDQILPKDSNSVKECSEFGQDDKNKCEEPGGDMGTVLQKEKQLQEMLIQSLSRSNASIDPASPAVTTTGAKPATPADTMNPVTSTSAPSPSKSVKTTTVGFTELETVDDDFDLYLNELSEIKRCENVECSMDMESIFEEPKDMFVASVPVSTNKSMMFKLPVEHFIQNRERMTMKKQPLPSNIYIVKVPKSGAVPIVQICVPIEWQEKYTPGHNNLLTFLSQSTLAIQPHYRSVLKEANPDKSIDYHMAEATQESTEITAIDDKSLQLKIPMSPFVTKVYKVSGLALLTKELKLGMEVRFPFFGMLSTVEHLTYFESYKKVQEICARLIKETFLRADDSLMNYDQACKKASNQLFKLFETVEENVHKKMDLYQLYDNKNIGVAKSNAPIKAYKVLLDVYSRQERQSFRSFRPYPVRPKPLLVMGITTKVKEKAQTASSVAPENEVSSASANLNEGIRRNSLVRLEKLSPAMSHDKYNERSFFTKLGNKPSIDWNLGPESIVMKSSM
+>sp|P47928|ID4_HUMAN DNA-binding protein inhibitor ID-4 OS=Homo sapiens OX=9606 GN=ID4 PE=1 SV=1
+MKAVSPVRPSGRKAPSGCGGGELALRCLAEHGHSLGGSAAAAAAAAAARCKAAEAAADEPALCLQCDMNDCYSRLRRLVPTIPPNKKVSKVEILQHVIDYILDLQLALETHPALLRQPPPPAPPHHPAGTCPAAPPRTPLTALNTDPAGAVNKQGDSILCR
+>DECOY_sp|P47928|ID4_HUMAN DNA-binding protein inhibitor ID-4 OS=Homo sapiens OX=9606 GN=ID4 PE=1 SV=1
+RCLISDGQKNVAGAPDTNLATLPTRPPAAPCTGAPHHPPAPPPPQRLLAPHTELALQLDLIYDIVHQLIEVKSVKKNPPITPVLRRLRSYCDNMDCQLCLAPEDAAAEAAKCRAAAAAAAAAASGGLSHGHEALCRLALEGGGCGSPAKRGSPRVPSVAKM
+>sp|P98153|IDD_HUMAN Integral membrane protein DGCR2/IDD OS=Homo sapiens OX=9606 GN=DGCR2 PE=1 SV=1
+MVPKADSGAFLLLFLLVLTVTEPLRPELRCNPGQFACRSGTIQCIPLPWQCDGWATCEDESDEANCPEVTGEVRPHHGKEAVDPRQGRARGGDPSHFHAVNVAQPVRFSSFLGKCPTGWHHYEGTASCYRVYLSGENYWDAAQTCQRLNGSLATFSTDQELRFVLAQEWDQPERSFGWKDQRKLWVGYQYVITGRNRSLEGRWEVAFKGSSEVFLPPDPIFASAMSENDNVFCAQLQCFHFPTLRHHDLHSWHAESCYEKSSFLCKRSQTCVDIKDNVVDEGFYFTPKGDDPCLSCTCHGGEPEMCVAALCERPQGCQQYRKDPKECCKFMCLDPDGNSLFDSMASGMRLVVSCISSFLILSLLLFMVHRLRQRRRERIESLIGANLHHFNLGRRIPGFDYGPDGFGTGLTPLHLSDDGEGGTFHFHDPPPPYTAYKYPDIGQPDDPPPPYEASIHPDSVFYDPADDDAFEPVEVSLPAPGDGGSEGALLRRLEQPLPTAGASLADLEDSADSSSALLVPPDPAQSGSTPAAEALPGGGRHSRSSLNTVV
+>DECOY_sp|P98153|IDD_HUMAN Integral membrane protein DGCR2/IDD OS=Homo sapiens OX=9606 GN=DGCR2 PE=1 SV=1
+VVTNLSSRSHRGGGPLAEAAPTSGSQAPDPPVLLASSSDASDELDALSAGATPLPQELRRLLAGESGGDGPAPLSVEVPEFADDDAPDYFVSDPHISAEYPPPPDDPQGIDPYKYATYPPPPDHFHFTGGEGDDSLHLPTLGTGFGDPGYDFGPIRRGLNFHHLNAGILSEIRERRRQRLRHVMFLLLSLILFSSICSVVLRMGSAMSDFLSNGDPDLCMFKCCEKPDKRYQQCGQPRECLAAVCMEPEGGHCTCSLCPDDGKPTFYFGEDVVNDKIDVCTQSRKCLFSSKEYCSEAHWSHLDHHRLTPFHFCQLQACFVNDNESMASAFIPDPPLFVESSGKFAVEWRGELSRNRGTIVYQYGVWLKRQDKWGFSREPQDWEQALVFRLEQDTSFTALSGNLRQCTQAADWYNEGSLYVRYCSATGEYHHWGTPCKGLFSSFRVPQAVNVAHFHSPDGGRARGQRPDVAEKGHHPRVEGTVEPCNAEDSEDECTAWGDCQWPLPICQITGSRCAFQGPNCRLEPRLPETVTLVLLFLLLFAGSDAKPVM
+>sp|O43837|IDH3B_HUMAN Isocitrate dehydrogenase [NAD] subunit beta, mitochondrial OS=Homo sapiens OX=9606 GN=IDH3B PE=1 SV=2
+MAALSGVRWLTRALVSAGNPGAWRGLSTSAAAHAASRSQAEDVRVEGSFPVTMLPGDGVGPELMHAVKEVFKAAAVPVEFQEHHLSEVQNMASEEKLEQVLSSMKENKVAIIGKIHTPMEYKGELASYDMRLRRKLDLFANVVHVKSLPGYMTRHNNLDLVIIREQTEGEYSSLEHESARGVIECLKIVTRAKSQRIAKFAFDYATKKGRGKVTAVHKANIMKLGDGLFLQCCEEVAELYPKIKFETMIIDNCCMQLVQNPYQFDVLVMPNLYGNIIDNLAAGLVGGAGVVPGESYSAEYAVFETGARHPFAQAVGRNIANPTAMLLSASNMLRHLNLEYHSSMIADAVKKVIKVGKVRTRDMGGYSTTTDFIKSVIGHLQTKGS
+>DECOY_sp|O43837|IDH3B_HUMAN Isocitrate dehydrogenase [NAD] subunit beta, mitochondrial OS=Homo sapiens OX=9606 GN=IDH3B PE=1 SV=2
+SGKTQLHGIVSKIFDTTTSYGGMDRTRVKGVKIVKKVADAIMSSHYELNLHRLMNSASLLMATPNAINRGVAQAFPHRAGTEFVAYEASYSEGPVVGAGGVLGAALNDIINGYLNPMVLVDFQYPNQVLQMCCNDIIMTEFKIKPYLEAVEECCQLFLGDGLKMINAKHVATVKGRGKKTAYDFAFKAIRQSKARTVIKLCEIVGRASEHELSSYEGETQERIIVLDLNNHRTMYGPLSKVHVVNAFLDLKRRLRMDYSALEGKYEMPTHIKGIIAVKNEKMSSLVQELKEESAMNQVESLHHEQFEVPVAAAKFVEKVAHMLEPGVGDGPLMTVPFSGEVRVDEAQSRSAAHAAASTSLGRWAGPNGASVLARTLWRVGSLAAM
+>sp|Q2VIR3|IF2GL_HUMAN Putative eukaryotic translation initiation factor 2 subunit 3-like protein OS=Homo sapiens OX=9606 GN=EIF2S3L PE=5 SV=2
+MAGGEAGVTLGQPHLSRQDLTTLDVTKLTPLSHEVISRQATINIGTIGHVAHGKSTVVKAISGVHTVRFKNELERNITIKLGYANAKIYQLDDPSCPRPECYRSCGSSMPDEFPTDIPGTKGNFRLVRHVSFVDCPGHDILMATMLNGAAVMDAALLLIAGNESCPQPQTSEHLAAIEIMKLKHILILQNKIDLVKERQAKEQYEQILAFVQGTVAEGAPIIPISAQLKYNIEVVCEYIVKKIPVPPRDFTSEPRLIVIRSFDVNKPGCEVDDLKGGVAGGSILKGVLKVGQETEVRPGIVSKDSEGKLMCKSIFSKIVSLFAEHNDLQYAAPGGLIGVGTKIDPTLCRADRMVGQILGAVGALPEIFTELEISYFLLRRLLGVRTEGDKKAAKVQKLSKNEVLMVNIGSLSTGGRVSAVKADLGKIVLTNPVCTEVGEKIALSRRVEKHWRLIGWGQIRRGVTIKPTVDDD
+>DECOY_sp|Q2VIR3|IF2GL_HUMAN Putative eukaryotic translation initiation factor 2 subunit 3-like protein OS=Homo sapiens OX=9606 GN=EIF2S3L PE=5 SV=2
+DDDVTPKITVGRRIQGWGILRWHKEVRRSLAIKEGVETCVPNTLVIKGLDAKVASVRGGTSLSGINVMLVENKSLKQVKAAKKDGETRVGLLRRLLFYSIELETFIEPLAGVAGLIQGVMRDARCLTPDIKTGVGILGGPAAYQLDNHEAFLSVIKSFISKCMLKGESDKSVIGPRVETEQGVKLVGKLISGGAVGGKLDDVECGPKNVDFSRIVILRPESTFDRPPVPIKKVIYECVVEINYKLQASIPIIPAGEAVTGQVFALIQEYQEKAQREKVLDIKNQLILIHKLKMIEIAALHESTQPQPCSENGAILLLAADMVAAGNLMTAMLIDHGPCDVFSVHRVLRFNGKTGPIDTPFEDPMSSGCSRYCEPRPCSPDDLQYIKANAYGLKITINRELENKFRVTHVGSIAKVVTSKGHAVHGITGINITAQRSIVEHSLPTLKTVDLTTLDQRSLHPQGLTVGAEGGAM
+>sp|Q8TDB4|HUMMR_HUMAN Protein MGARP OS=Homo sapiens OX=9606 GN=MGARP PE=1 SV=1
+MYLRRAVSKTLALPLRAPPNPAPLGKDASLRRMSSNRFPGSSGSNMIYYLVVGVTVSAGGYYAYKTVTSDQAKHTEHKTNLKEKTKAEIHPFQGEKENVAETEKASSEAPEELIVEAEVVDAEESPSATVVVIKEASACPGHVEAAPETTAVSAETGPEVTDAAARETTEVNPETTPEVTNAALDEAVTIDNDKDTTKNETSDEYAELEEENSPAESESSAGDDLQEEASVGSEAASAQG
+>DECOY_sp|Q8TDB4|HUMMR_HUMAN Protein MGARP OS=Homo sapiens OX=9606 GN=MGARP PE=1 SV=1
+GQASAAESGVSAEEQLDDGASSESEAPSNEEELEAYEDSTENKTTDKDNDITVAEDLAANTVEPTTEPNVETTERAAADTVEPGTEASVATTEPAAEVHGPCASAEKIVVVTASPSEEADVVEAEVILEEPAESSAKETEAVNEKEGQFPHIEAKTKEKLNTKHETHKAQDSTVTKYAYYGGASVTVGVVLYYIMNSGSSGPFRNSSMRRLSADKGLPAPNPPARLPLALTKSVARRLYM
+>sp|P42357|HUTH_HUMAN Histidine ammonia-lyase OS=Homo sapiens OX=9606 GN=HAL PE=1 SV=1
+MPRYTVHVRGEWLAVPCQDAQLTVGWLGREAVRRYIKNKPDNGGFTSVDDAHFLVRRCKGLGLLDNEDRLEVALENNEFVEVVIEGDAMSPDFIPSQPEGVYLYSKYREPEKYIELDGDRLTTEDLVNLGKGRYKIKLTPTAEKRVQKSREVIDSIIKEKTVVYGITTGFGKFARTVIPINKLQELQVNLVRSHSSGVGKPLSPERCRMLLALRINVLAKGYSGISLETLKQVIEMFNASCLPYVPEKGTVGASGDLAPLSHLALGLVGEGKMWSPKSGWADAKYVLEAHGLKPVILKPKEGLALINGTQMITSLGCEAVERASAIARQADIVAALTLEVLKGTTKAFDTDIHALRPHRGQIEVAFRFRSLLDSDHHPSEIAESHRFCDRVQDAYTLRCCPQVHGVVNDTIAFVKNIITTELNSATDNPMVFANRGETVSGGNFHGEYPAKALDYLAIGIHELAAISERRIERLCNPSLSELPAFLVAEGGLNSGFMIAHCTAAALVSENKALCHPSSVDSLSTSAATEDHVSMGGWAARKALRVIEHVEQVLAIELLAACQGIEFLRPLKTTTPLEKVYDLVRSVVRPWIKDRFMAPDIEAAHRLLLEQKVWEVAAPYIEKYRMEHIPESRPLSPTAFSLQFLHKKSTKIPESEDL
+>DECOY_sp|P42357|HUTH_HUMAN Histidine ammonia-lyase OS=Homo sapiens OX=9606 GN=HAL PE=1 SV=1
+LDESEPIKTSKKHLFQLSFATPSLPRSEPIHEMRYKEIYPAAVEWVKQELLLRHAAEIDPAMFRDKIWPRVVSRVLDYVKELPTTTKLPRLFEIGQCAALLEIALVQEVHEIVRLAKRAAWGGMSVHDETAASTSLSDVSSPHCLAKNESVLAAATCHAIMFGSNLGGEAVLFAPLESLSPNCLREIRRESIAALEHIGIALYDLAKAPYEGHFNGGSVTEGRNAFVMPNDTASNLETTIINKVFAITDNVVGHVQPCCRLTYADQVRDCFRHSEAIESPHHDSDLLSRFRFAVEIQGRHPRLAHIDTDFAKTTGKLVELTLAAVIDAQRAIASAREVAECGLSTIMQTGNILALGEKPKLIVPKLGHAELVYKADAWGSKPSWMKGEGVLGLALHSLPALDGSAGVTGKEPVYPLCSANFMEIVQKLTELSIGSYGKALVNIRLALLMRCREPSLPKGVGSSHSRVLNVQLEQLKNIPIVTRAFKGFGTTIGYVVTKEKIISDIVERSKQVRKEATPTLKIKYRGKGLNVLDETTLRDGDLEIYKEPERYKSYLYVGEPQSPIFDPSMADGEIVVEVFENNELAVELRDENDLLGLGKCRRVLFHADDVSTFGGNDPKNKIYRRVAERGLWGVTLQADQCPVALWEGRVHVTYRPM
+>sp|Q96N76|HUTU_HUMAN Urocanate hydratase OS=Homo sapiens OX=9606 GN=UROC1 PE=1 SV=1
+MSSLQALCSGLPLRPLPENRGRQAGVPHAPVRTPSLSPVEKQLALRNALRYFPPDVQELLAPEFAQELQLYGHIYMYRFCPDIEMRAYPIEQYPCQTKVAAAIMHMIMNNLDPAVAQFPQELVTYGGNGQVFSNWAQFWLTMFYLSKMTEEQTLVMYSGHPLGLFPSSRSAPRLVITNGMVIPNYSSRTEYEKLFALGVTMYGQMTAGSYCYIGPQGIVHGTVLTVLNAARRYLGIEDLAGKVFVTSGLGGMSGAQAKAAVIVGCIGVIAEVDKAALEKRHRQGWLMEVTDSLDRCIQRLREARKKKEVLSLGYHGNVVALWERLVHELDTTGECLVDLGSDQTSCHNPFNGGYYPVQLSFTEAQSLMASNPAVFKDLVQESLRRQVSAINRLAEEKFFFWDYGNAFLLEAQRAGADVEKKGAGRTEFRYPSYVQHIMGDIFSQGFGPFRWVCTSGDPQDLAVTDELATSVLEEAIADGVKVSVKLQYMDNIRWIREAARHRLVVGSQARILYSDQKGRVAIAVAINQAIACRRIKAPVVLSRDHHDVSGTDSPFRETSNIYDGSAFCADMAVQNFVGDACRGATWVALHNGGGVGWGEVINGGFGLVLDGTPEAEGRARLMLSWDVSNGVARRCWSGNQKAYEIICQTMQENSTLVVTLPHKVEDERVLQQALQL
+>DECOY_sp|Q96N76|HUTU_HUMAN Urocanate hydratase OS=Homo sapiens OX=9606 GN=UROC1 PE=1 SV=1
+LQLAQQLVREDEVKHPLTVVLTSNEQMTQCIIEYAKQNGSWCRRAVGNSVDWSLMLRARGEAEPTGDLVLGFGGNIVEGWGVGGGNHLAVWTAGRCADGVFNQVAMDACFASGDYINSTERFPSDTGSVDHHDRSLVVPAKIRRCAIAQNIAVAIAVRGKQDSYLIRAQSGVVLRHRAAERIWRINDMYQLKVSVKVGDAIAEELVSTALEDTVALDQPDGSTCVWRFPGFGQSFIDGMIHQVYSPYRFETRGAGKKEVDAGARQAELLFANGYDWFFFKEEALRNIASVQRRLSEQVLDKFVAPNSAMLSQAETFSLQVPYYGGNFPNHCSTQDSGLDVLCEGTTDLEHVLREWLAVVNGHYGLSLVEKKKRAERLRQICRDLSDTVEMLWGQRHRKELAAKDVEAIVGICGVIVAAKAQAGSMGGLGSTVFVKGALDEIGLYRRAANLVTLVTGHVIGQPGIYCYSGATMQGYMTVGLAFLKEYETRSSYNPIVMGNTIVLRPASRSSPFLGLPHGSYMVLTQEETMKSLYFMTLWFQAWNSFVQGNGGYTVLEQPFQAVAPDLNNMIMHMIAAAVKTQCPYQEIPYARMEIDPCFRYMYIHGYLQLEQAFEPALLEQVDPPFYRLANRLALQKEVPSLSPTRVPAHPVGAQRGRNEPLPRLPLGSCLAQLSSM
+>sp|Q7Z6Z7|HUWE1_HUMAN E3 ubiquitin-protein ligase HUWE1 OS=Homo sapiens OX=9606 GN=HUWE1 PE=1 SV=3
+MKVDRTKLKKTPTEAPADCRALIDKLKVCNDEQLLLELQQIKTWNIGKCELYHWVDLLDRFDGILADAGQTVENMSWMLVCDRPEREQLKMLLLAVLNFTALLIEYSFSRHLYSSIEHLTTLLASSDMQVVLAVLNLLYVFSKRSNYITRLGSDKRTPLLTRLQHLAESWGGKENGFGLAECCRDLHMMKYPPSATTLHFEFYADPGAEVKIEKRTTSNTLHYIHIEQLDKISESPSEIMESLTKMYSIPKDKQMLLFTHIRLAHGFSNHRKRLQAVQARLHAISILVYSNALQESANSILYNGLIEELVDVLQITDKQLMEIKAASLRTLTSIVHLERTPKLSSIIDCTGTASYHGFLPVLVRNCIQAMIDPSMDPYPHQFATALFSFLYHLASYDAGGEALVSCGMMEALLKVIKFLGDEQDQITFVTRAVRVVDLITNLDMAAFQSHSGLSIFIYRLEHEVDLCRKECPFVIKPKIQRPNTTQEGEEMETDMDGVQCIPQRAALLKSMLNFLKKAIQDPAFSDGIRHVMDGSLPTSLKHIISNAEYYGPSLFLLATEVVTVFVFQEPSLLSSLQDNGLTDVMLHALLIKDVPATREVLGSLPNVFSALCLNARGLQSFVQCQPFERLFKVLLSPDYLPAMRRRRSSDPLGDTASNLGSAVDELMRHQPTLKTDATTAIIKLLEEICNLGRDPKYICQKPSIQKADGTATAPPPRSNHAAEEASSEDEEEEEVQAMQSFNSTQQNETEPNQQVVGTEERIPIPLMDYILNVMKFVESILSNNTTDDHCQEFVNQKGLLPLVTILGLPNLPIDFPTSAACQAVAGVCKSILTLSHEPKVLQEGLLQLDSILSSLEPLHRPIESPGGSVLLRELACAGNVADATLSAQATPLLHALTAAHAYIMMFVHTCRVGQSEIRSISVNQWGSQLGLSVLSKLSQLYCSLVWESTVLLSLCTPNSLPSGCEFGQADMQKLVPKDEKAGTTQGGKRSDGEQDGAAGSMDASTQGLLEGIGLDGDTLAPMETDEPTASDSKGKSKITPAMAARIKQIKPLLSASSRLGRALAELFGLLVKLCVGSPVRQRRSHHAASTTTAPTPAARSTASALTKLLTKGLSWQPPPYTPTPRFRLTFFICSVGFTSPMLFDERKYPYHLMLQKFLCSGGHNALFETFNWALSMGGKVPVSEGLEHSDLPDGTGEFLDAWLMLVEKMVNPTTVLESPHSLPAKLPGGVQNFPQFSALRFLVVTQKAAFTCIKNLWNRKPLKVYGGRMAESMLAILCHILRGEPVIRERLSKEKEGSRGEEDTGQEEGGSRREPQVNQQQLQQLMDMGFTREHAMEALLNTSTMEQATEYLLTHPPPIMGGVVRDLSMSEEDQMMRAIAMSLGQDIPMDQRAESPEEVACRKEEEERKAREKQEEEEAKCLEKFQDADPLEQDELHTFTDTMLPGCFHLLDELPDTVYRVCDLIMTAIKRNGADYRDMILKQVVNQVWEAADVLIKAALPLTTSDTKTVSEWISQMATLPQASNLATRILLLTLLFEELKLPCAWVVESSGILNVLIKLLEVVQPCLQAAKEQKEVQTPKWITPVLLLIDFYEKTAISSKRRAQMTKYLQSNSNNWRWFDDRSGRWCSYSASNNSTIDSAWKSGETSVRFTAGRRRYTVQFTTMVQVNEETGNRRPVMLTLLRVPRLNKNSKNSNGQELEKTLEESKEMDIKRKENKGNDTPLALESTNTEKETSLEETKIGEILIQGLTEDMVTVLIRACVSMLGVPVDPDTLHATLRLCLRLTRDHKYAMMFAELKSTRMILNLTQSSGFNGFTPLVTLLLRHIIEDPCTLRHTMEKVVRSAATSGAGSTTSGVVSGSLGSREINYILRVLGPAACRNPDIFTEVANCCIRIALPAPRGSGTASDDEFENLRIKGPNAVQLVKTTPLKPSPLPVIPDTIKEVIYDMLNALAAYHAPEEADKSDPKPGVMTQEVGQLLQDMGDDVYQQYRSLTRQSSDFDTQSGFSINSQVFAADGASTETSASGTSQGEASTPEESRDGKKDKEGDRASEEGKQKGKGSKPLMPTSTILRLLAELVRSYVGIATLIANYSYTVGQSELIKEDCSVLAFVLDHLLPHTQNAEDKDTPALARLFLASLAAAGSGTDAQVALVNEVKAALGRALAMAESTEKHARLQAVMCIISTIMESCPSTSSFYSSATAKTQHNGMNNIIRLFLKKGLVNDLARVPHSLDLSSPNMANTVNAALKPLETLSRIVNQPSSLFGSKSASSKNKSEQDAQGASQDSSSNQQDPGEPGEAEVQEEDHDVTQTEVADGDIMDGEAETDSVVIAGQPEVLSSQEMQVENELEDLIDELLERDGGSGNSTIIVSRSGEDESQEDVLMDEAPSNLSQASTLQANREDSMNILDPEDEEEHTQEEDSSGSNEDEDDSQDEEEEEEEDEEDDQEDDEGEEGDEDDDDDGSEMELDEDYPDMNASPLVRFERFDREDDLIIEFDNMFSSATDIPPSPGNIPTTHPLMVRHADHSSLTLGSGSSTTRLTQGIGRSQRTLRQLTANTGHTIHVHYPGNRQPNPPLILQRLLGPSAAADILQLSSSLPLQSRGRARLLVGNDDVHIIARSDDELLDDFFHDQSTATSQAGTLSSIPTALTRWTEECKVLDAESMHDCVSVVKVSIVNHLEFLRDEELEERREKRRKQLAEEETKITDKGKEDKENRDQSAQCTASKSNDSTEQNLSDGTPMPDSYPTTPSSTDAATSESKETLGTLQSSQQQPTLPTPPALGEVPQELQSPAGEGGSSTQLLMPVEPEELGPTRPSGEAETTQMELSPAPTITSLSPERAEDSDALTAVSSQLEGSPMDTSSLASCTLEEAVGDTSAAGSSEQPRAGSSTPGDAPPAVAEVQGRSDGSGESAQPPEDSSPPASSESSSTRDSAVAISGADSRGILEEPLPSTSSEEEDPLAGISLPEGVDPSFLAALPDDIRREVLQNQLGIRPPTRTAPSTNSSAPAVVGNPGVTEVSPEFLAALPPAIQEEVLAQQRAEQQRRELAQNASSDTPMDPVTFIQTLPSDLRRSVLEDMEDSVLAVMPPDIAAEAQALRREQEARQRQLMHERLFGHSSTSALSAILRSPAFTSRLSGNRGVQYTRLAVQRGGTFQMGGSSSHNRPSGSNVDTLLRLRGRLLLDHEALSCLLVLLFVDEPKLNTSRLHRVLRNLCYHAQTRHWVIRSLLSILQRSSESELCIETPKLTTSEEKGKKSSKSCGSSSHENRPLDLLHKMESKSSNQLSWLSVSMDAALGCRTNIFQIQRSGGRKHTEKHASGGSTVHIHPQAAPVVCRHVLDTLIQLAKVFPSHFTQQRTKETNCESDRERGNKACSPCSSQSSSSGICTDFWDLLVKLDNMNVSRKGKNSVKSVPVSAGGEGETSPYSLEASPLGQLMNMLSHPVIRRSSLLTEKLLRLLSLISIALPENKVSEAQANSGSGASSTTTATSTTSTTTTTAASTTPTPPTAPTPVTSAPALVAATAISTIVVAASTTVTTPTTATTTVSISPTTKGSKSPAKVSDGGSSSTDFKMVSSGLTENQLQLSVEVLTSHSCSEEGLEDAANVLLQLSRGDSGTRDTVLKLLLNGARHLGYTLCKQIGTLLAELREYNLEQQRRAQCETLSPDGLPEEQPQTTKLKGKMQSRFDMAENVVIVASQKRPLGGRELQLPSMSMLTSKTSTQKFFLRVLQVIIQLRDDTRRANKKAKQTGRLGSSGLGSASSIQAAVRQLEAEADAIIQMVREGQRARRQQQAATSESSQSEASVRREESPMDVDQPSPSAQDTQSIASDGTPQGEKEKEERPPELPLLSEQLSLDELWDMLGECLKELEESHDQHAVLVLQPAVEAFFLVHATERESKPPVRDTRESQLAHIKDEPPPLSPAPLTPATPSSLDPFFSREPSSMHISSSLPPDTQKFLRFAETHRTVLNQILRQSTTHLADGPFAVLVDYIRVLDFDVKRKYFRQELERLDEGLRKEDMAVHVRRDHVFEDSYRELHRKSPEEMKNRLYIVFEGEEGQDAGGLLREWYMIISREMFNPMYALFRTSPGDRVTYTINPSSHCNPNHLSYFKFVGRIVAKAVYDNRLLECYFTRSFYKHILGKSVRYTDMESEDYHFYQGLVYLLENDVSTLGYDLTFSTEVQEFGVCEVRDLKPNGANILVTEENKKEYVHLVCQMRMTGAIRKQLAAFLEGFYEIIPKRLISIFTEQELELLISGLPTIDIDDLKSNTEYHKYQSNSIQIQWFWRALRSFDQADRAKFLQFVTGTSKVPLQGFAALEGMNGIQKFQIHRDDRSTDRLPSAHTCFNQLDLPAYESFEKLRHMLLLAIQECSEGFGLA
+>DECOY_sp|Q7Z6Z7|HUWE1_HUMAN E3 ubiquitin-protein ligase HUWE1 OS=Homo sapiens OX=9606 GN=HUWE1 PE=1 SV=3
+ALGFGESCEQIALLLMHRLKEFSEYAPLDLQNFCTHASPLRDTSRDDRHIQFKQIGNMGELAAFGQLPVKSTGTVFQLFKARDAQDFSRLARWFWQIQISNSQYKHYETNSKLDDIDITPLGSILLELEQETFISILRKPIIEYFGELFAALQKRIAGTMRMQCVLHVYEKKNEETVLINAGNPKLDRVECVGFEQVETSFTLDYGLTSVDNELLYVLGQYFHYDESEMDTYRVSKGLIHKYFSRTFYCELLRNDYVAKAVIRGVFKFYSLHNPNCHSSPNITYTVRDGPSTRFLAYMPNFMERSIIMYWERLLGGADQGEEGEFVIYLRNKMEEPSKRHLERYSDEFVHDRRVHVAMDEKRLGEDLRELEQRFYKRKVDFDLVRIYDVLVAFPGDALHTTSQRLIQNLVTRHTEAFRLFKQTDPPLSSSIHMSSPERSFFPDLSSPTAPTLPAPSLPPPEDKIHALQSERTDRVPPKSERETAHVLFFAEVAPQLVLVAHQDHSEELEKLCEGLMDWLEDLSLQESLLPLEPPREEKEKEGQPTGDSAISQTDQASPSPQDVDMPSEERRVSAESQSSESTAAQQQRRARQGERVMQIIADAEAELQRVAAQISSASGLGSSGLRGTQKAKKNARRTDDRLQIIVQLVRLFFKQTSTKSTLMSMSPLQLERGGLPRKQSAVIVVNEAMDFRSQMKGKLKTTQPQEEPLGDPSLTECQARRQQELNYERLEALLTGIQKCLTYGLHRAGNLLLKLVTDRTGSDGRSLQLLVNAADELGEESCSHSTLVEVSLQLQNETLGSSVMKFDTSSSGGDSVKAPSKSGKTTPSISVTTTATTPTTVTTSAAVVITSIATAAVLAPASTVPTPATPPTPTTSAATTTTTSTTSTATTTSSAGSGSNAQAESVKNEPLAISILSLLRLLKETLLSSRRIVPHSLMNMLQGLPSAELSYPSTEGEGGASVPVSKVSNKGKRSVNMNDLKVLLDWFDTCIGSSSSQSSCPSCAKNGRERDSECNTEKTRQQTFHSPFVKALQILTDLVHRCVVPAAQPHIHVTSGGSAHKETHKRGGSRQIQFINTRCGLAADMSVSLWSLQNSSKSEMKHLLDLPRNEHSSSGCSKSSKKGKEESTTLKPTEICLESESSRQLISLLSRIVWHRTQAHYCLNRLVRHLRSTNLKPEDVFLLVLLCSLAEHDLLLRGRLRLLTDVNSGSPRNHSSSGGMQFTGGRQVALRTYQVGRNGSLRSTFAPSRLIASLASTSSHGFLREHMLQRQRAEQERRLAQAEAAIDPPMVALVSDEMDELVSRRLDSPLTQIFTVPDMPTDSSANQALERRQQEARQQALVEEQIAPPLAALFEPSVETVGPNGVVAPASSNTSPATRTPPRIGLQNQLVERRIDDPLAALFSPDVGEPLSIGALPDEEESSTSPLPEELIGRSDAGSIAVASDRTSSSESSAPPSSDEPPQASEGSGDSRGQVEAVAPPADGPTSSGARPQESSGAASTDGVAEELTCSALSSTDMPSGELQSSVATLADSDEAREPSLSTITPAPSLEMQTTEAEGSPRTPGLEEPEVPMLLQTSSGGEGAPSQLEQPVEGLAPPTPLTPQQQSSQLTGLTEKSESTAADTSSPTTPYSDPMPTGDSLNQETSDNSKSATCQASQDRNEKDEKGKDTIKTEEEALQKRRKERREELEEDRLFELHNVISVKVVSVCDHMSEADLVKCEETWRTLATPISSLTGAQSTATSQDHFFDDLLEDDSRAIIHVDDNGVLLRARGRSQLPLSSSLQLIDAAASPGLLRQLILPPNPQRNGPYHVHITHGTNATLQRLTRQSRGIGQTLRTTSSGSGLTLSSHDAHRVMLPHTTPINGPSPPIDTASSFMNDFEIILDDERDFREFRVLPSANMDPYDEDLEMESGDDDDDEDGEEGEDDEQDDEEDEEEEEEEDQSDDEDENSGSSDEEQTHEEEDEPDLINMSDERNAQLTSAQSLNSPAEDMLVDEQSEDEGSRSVIITSNGSGGDRELLEDILDELENEVQMEQSSLVEPQGAIVVSDTEAEGDMIDGDAVETQTVDHDEEQVEAEGPEGPDQQNSSSDQSAGQADQESKNKSSASKSGFLSSPQNVIRSLTELPKLAANVTNAMNPSSLDLSHPVRALDNVLGKKLFLRIINNMGNHQTKATASSYFSSTSPCSEMITSIICMVAQLRAHKETSEAMALARGLAAKVENVLAVQADTGSGAAALSALFLRALAPTDKDEANQTHPLLHDLVFALVSCDEKILESQGVTYSYNAILTAIGVYSRVLEALLRLITSTPMLPKSGKGKQKGEESARDGEKDKKGDRSEEPTSAEGQSTGSASTETSAGDAAFVQSNISFGSQTDFDSSQRTLSRYQQYVDDGMDQLLQGVEQTMVGPKPDSKDAEEPAHYAALANLMDYIVEKITDPIVPLPSPKLPTTKVLQVANPGKIRLNEFEDDSATGSGRPAPLAIRICCNAVETFIDPNRCAAPGLVRLIYNIERSGLSGSVVGSTTSGAGSTAASRVVKEMTHRLTCPDEIIHRLLLTVLPTFGNFGSSQTLNLIMRTSKLEAFMMAYKHDRTLRLCLRLTAHLTDPDVPVGLMSVCARILVTVMDETLGQILIEGIKTEELSTEKETNTSELALPTDNGKNEKRKIDMEKSEELTKELEQGNSNKSNKNLRPVRLLTLMVPRRNGTEENVQVMTTFQVTYRRRGATFRVSTEGSKWASDITSNNSASYSCWRGSRDDFWRWNNSNSQLYKTMQARRKSSIATKEYFDILLLVPTIWKPTQVEKQEKAAQLCPQVVELLKILVNLIGSSEVVWACPLKLEEFLLTLLLIRTALNSAQPLTAMQSIWESVTKTDSTTLPLAAKILVDAAEWVQNVVQKLIMDRYDAGNRKIATMILDCVRYVTDPLEDLLHFCGPLMTDTFTHLEDQELPDADQFKELCKAEEEEQKERAKREEEEKRCAVEEPSEARQDMPIDQGLSMAIARMMQDEESMSLDRVVGGMIPPPHTLLYETAQEMTSTNLLAEMAHERTFGMDMLQQLQQQNVQPERRSGGEEQGTDEEGRSGEKEKSLRERIVPEGRLIHCLIALMSEAMRGGYVKLPKRNWLNKICTFAAKQTVVLFRLASFQPFNQVGGPLKAPLSHPSELVTTPNVMKEVLMLWADLFEGTGDPLDSHELGESVPVKGGMSLAWNFTEFLANHGGSCLFKQLMLHYPYKREDFLMPSTFGVSCIFFTLRFRPTPTYPPPQWSLGKTLLKTLASATSRAAPTPATTTSAAHHSRRQRVPSGVCLKVLLGFLEALARGLRSSASLLPKIQKIRAAMAPTIKSKGKSDSATPEDTEMPALTDGDLGIGELLGQTSADMSGAAGDQEGDSRKGGQTTGAKEDKPVLKQMDAQGFECGSPLSNPTCLSLLVTSEWVLSCYLQSLKSLVSLGLQSGWQNVSISRIESQGVRCTHVFMMIYAHAATLAHLLPTAQASLTADAVNGACALERLLVSGGPSEIPRHLPELSSLISDLQLLGEQLVKPEHSLTLISKCVGAVAQCAASTPFDIPLNPLGLITVLPLLGKQNVFEQCHDDTTNNSLISEVFKMVNLIYDMLPIPIREETGVVQQNPETENQQTSNFSQMAQVEEEEEDESSAEEAAHNSRPPPATATGDAKQISPKQCIYKPDRGLNCIEELLKIIATTADTKLTPQHRMLEDVASGLNSATDGLPDSSRRRRMAPLYDPSLLVKFLREFPQCQVFSQLGRANLCLASFVNPLSGLVERTAPVDKILLAHLMVDTLGNDQLSSLLSPEQFVFVTVVETALLFLSPGYYEANSIIHKLSTPLSGDMVHRIGDSFAPDQIAKKLFNLMSKLLAARQPICQVGDMDTEMEEGEQTTNPRQIKPKIVFPCEKRCLDVEHELRYIFISLGSHSQFAAMDLNTILDVVRVARTVFTIQDQEDGLFKIVKLLAEMMGCSVLAEGGADYSALHYLFSFLATAFQHPYPDMSPDIMAQICNRVLVPLFGHYSATGTCDIISSLKPTRELHVISTLTRLSAAKIEMLQKDTIQLVDVLEEILGNYLISNASEQLANSYVLISIAHLRAQVAQLRKRHNSFGHALRIHTFLLMQKDKPISYMKTLSEMIESPSESIKDLQEIHIYHLTNSTTRKEIKVEAGPDAYFEFHLTTASPPYKMMHLDRCCEALGFGNEKGGWSEALHQLRTLLPTRKDSGLRTIYNSRKSFVYLLNLVALVVQMDSSALLTTLHEISSYLHRSFSYEILLATFNLVALLLMKLQEREPRDCVLMWSMNEVTQGADALIGDFRDLLDVWHYLECKGINWTKIQQLELLLQEDNCVKLKDILARCDAPAETPTKKLKTRDVKM
+>sp|P49639|HXA1_HUMAN Homeobox protein Hox-A1 OS=Homo sapiens OX=9606 GN=HOXA1 PE=1 SV=2
+MDNARMNSFLEYPILSSGDSGTCSARAYPSDHRITTFQSCAVSANSCGGDDRFLVGRGVQIGSPHHHHHHHHHHPQPATYQTSGNLGVSYSHSSCGPSYGSQNFSAPYSPYALNQEADVSGGYPQCAPAVYSGNLSSPMVQHHHHHQGYAGGAVGSPQYIHHSYGQEHQSLALATYNNSLSPLHASHQEACRSPASETSSPAQTFDWMKVKRNPPKTGKVGEYGYLGQPNAVRTNFTTKQLTELEKEFHFNKYLTRARRVEIAASLQLNETQVKIWFQNRRMKQKKREKEGLLPISPATPPGNDEKAEESSEKSSSSPCVPSPGSSTSDTLTTSH
+>DECOY_sp|P49639|HXA1_HUMAN Homeobox protein Hox-A1 OS=Homo sapiens OX=9606 GN=HOXA1 PE=1 SV=2
+HSTTLTDSTSSGPSPVCPSSSSKESSEEAKEDNGPPTAPSIPLLGEKERKKQKMRRNQFWIKVQTENLQLSAAIEVRRARTLYKNFHFEKELETLQKTTFNTRVANPQGLYGYEGVKGTKPPNRKVKMWDFTQAPSSTESAPSRCAEQHSAHLPSLSNNYTALALSQHEQGYSHHIYQPSGVAGGAYGQHHHHHQVMPSSLNGSYVAPACQPYGGSVDAEQNLAYPSYPASFNQSGYSPGCSSHSYSVGLNGSTQYTAPQPHHHHHHHHHHPSGIQVGRGVLFRDDGGCSNASVACSQFTTIRHDSPYARASCTGSDGSSLIPYELFSNMRANDM
+>sp|P20719|HXA5_HUMAN Homeobox protein Hox-A5 OS=Homo sapiens OX=9606 GN=HOXA5 PE=1 SV=2
+MSSYFVNSFCGRYPNGPDYQLHNYGDHSSVSEQFRDSASMHSGRYGYGYNGMDLSVGRSGSGHFGSGERARSYAASASAAPAEPRYSQPATSTHSPQPDPLPCSAVAPSPGSDSHHGGKNSLSNSSGASADAGSTHISSREGVGTASGAEEDAPASSEQASAQSEPSPAPPAQPQIYPWMRKLHISHDNIGGPEGKRARTAYTRYQTLELEKEFHFNRYLTRRRRIEIAHALCLSERQIKIWFQNRRMKWKKDNKLKSMSMAAAGGAFRP
+>DECOY_sp|P20719|HXA5_HUMAN Homeobox protein Hox-A5 OS=Homo sapiens OX=9606 GN=HOXA5 PE=1 SV=2
+PRFAGGAAAMSMSKLKNDKKWKMRRNQFWIKIQRESLCLAHAIEIRRRRTLYRNFHFEKELELTQYRTYATRARKGEPGGINDHSIHLKRMWPYIQPQAPPAPSPESQASAQESSAPADEEAGSATGVGERSSIHTSGADASAGSSNSLSNKGGHHSDSGPSPAVASCPLPDPQPSHTSTAPQSYRPEAPAASASAAYSRAREGSGFHGSGSRGVSLDMGNYGYGYRGSHMSASDRFQESVSSHDGYNHLQYDPGNPYRGCFSNVFYSSM
+>sp|P09629|HXB7_HUMAN Homeobox protein Hox-B7 OS=Homo sapiens OX=9606 GN=HOXB7 PE=1 SV=4
+MSSLYYANTLFSKYPASSSVFATGAFPEQTSCAFASNPQRPGYGAGSGASFAASMQGLYPGGGGMAGQSAAGVYAAGYGLEPSSFNMHCAPFEQNLSGVCPGDSAKAAGAKEQRDSDLAAESNFRIYPWMRSSGTDRKRGRQTYTRYQTLELEKEFHYNRYLTRRRRIEIAHTLCLTERQIKIWFQNRRMKWKKENKTAGPGTTGQDRAEAEEEEEE
+>DECOY_sp|P09629|HXB7_HUMAN Homeobox protein Hox-B7 OS=Homo sapiens OX=9606 GN=HOXB7 PE=1 SV=4
+EEEEEEAEARDQGTTGPGATKNEKKWKMRRNQFWIKIQRETLCLTHAIEIRRRRTLYRNYHFEKELELTQYRTYTQRGRKRDTGSSRMWPYIRFNSEAALDSDRQEKAGAAKASDGPCVGSLNQEFPACHMNFSSPELGYGAAYVGAASQGAMGGGGPYLGQMSAAFSAGSGAGYGPRQPNSAFACSTQEPFAGTAFVSSSAPYKSFLTNAYYLSSM
+>sp|Q9GZZ0|HXD1_HUMAN Homeobox protein Hox-D1 OS=Homo sapiens OX=9606 GN=HOXD1 PE=2 SV=1
+MSSYLEYVSCSSSGGVGGDVLSLAPKFCRSDARPVALQPAFPLGNGDGAFVSCLPLAAARPSPSPPAAPARPSVPPPAAPQYAQCTLEGAYEPGAAPAAAAGGADYGFLGSGPAYDFPGVLGRAADDGGSHVHYATSAVFSGGGSFLLSGQVDYAAFGEPGPFPACLKASADGHPGAFQTASPAPGTYPKSVSPASGLPAAFSTFEWMKVKRNASKKGKLAEYGAASPSSAIRTNFSTKQLTELEKEFHFNKYLTRARRIEIANCLHLNDTQVKIWFQNRRMKQKKREREGLLATAIPVAPLQLPLSGTTPTKFIKNPGSPSQSQEPS
+>DECOY_sp|Q9GZZ0|HXD1_HUMAN Homeobox protein Hox-D1 OS=Homo sapiens OX=9606 GN=HOXD1 PE=2 SV=1
+SPEQSQSPSGPNKIFKTPTTGSLPLQLPAVPIATALLGERERKKQKMRRNQFWIKVQTDNLHLCNAIEIRRARTLYKNFHFEKELETLQKTSFNTRIASSPSAAGYEALKGKKSANRKVKMWEFTSFAAPLGSAPSVSKPYTGPAPSATQFAGPHGDASAKLCAPFPGPEGFAAYDVQGSLLFSGGGSFVASTAYHVHSGGDDAARGLVGPFDYAPGSGLFGYDAGGAAAAPAAGPEYAGELTCQAYQPAAPPPVSPRAPAAPPSPSPRAAALPLCSVFAGDGNGLPFAPQLAVPRADSRCFKPALSLVDGGVGGSSSCSVYELYSSM
+>sp|P35557|HXK4_HUMAN Glucokinase OS=Homo sapiens OX=9606 GN=GCK PE=1 SV=1
+MLDDRARMEAAKKEKVEQILAEFQLQEEDLKKVMRRMQKEMDRGLRLETHEEASVKMLPTYVRSTPEGSEVGDFLSLDLGGTNFRVMLVKVGEGEEGQWSVKTKHQMYSIPEDAMTGTAEMLFDYISECISDFLDKHQMKHKKLPLGFTFSFPVRHEDIDKGILLNWTKGFKASGAEGNNVVGLLRDAIKRRGDFEMDVVAMVNDTVATMISCYYEDHQCEVGMIVGTGCNACYMEEMQNVELVEGDEGRMCVNTEWGAFGDSGELDEFLLEYDRLVDESSANPGQQLYEKLIGGKYMGELVRLVLLRLVDENLLFHGEASEQLRTRGAFETRFVSQVESDTGDRKQIYNILSTLGLRPSTTDCDIVRRACESVSTRAAHMCSAGLAGVINRMRESRSEDVMRITVGVDGSVYKLHPSFKERFHASVRRLTPSCEITFIESEEGSGRGAALVSAVACKKACMLGQ
+>DECOY_sp|P35557|HXK4_HUMAN Glucokinase OS=Homo sapiens OX=9606 GN=GCK PE=1 SV=1
+QGLMCAKKCAVASVLAAGRGSGEESEIFTIECSPTLRRVSAHFREKFSPHLKYVSGDVGVTIRMVDESRSERMRNIVGALGASCMHAARTSVSECARRVIDCDTTSPRLGLTSLINYIQKRDGTDSEVQSVFRTEFAGRTRLQESAEGHFLLNEDVLRLLVLRVLEGMYKGGILKEYLQQGPNASSEDVLRDYELLFEDLEGSDGFAGWETNVCMRGEDGEVLEVNQMEEMYCANCGTGVIMGVECQHDEYYCSIMTAVTDNVMAVVDMEFDGRRKIADRLLGVVNNGEAGSAKFGKTWNLLIGKDIDEHRVPFSFTFGLPLKKHKMQHKDLFDSICESIYDFLMEATGTMADEPISYMQHKTKVSWQGEEGEGVKVLMVRFNTGGLDLSLFDGVESGEPTSRVYTPLMKVSAEEHTELRLGRDMEKQMRRMVKKLDEEQLQFEALIQEVKEKKAAEMRARDDLM
+>sp|P38567|HYALP_HUMAN Hyaluronidase PH-20 OS=Homo sapiens OX=9606 GN=SPAM1 PE=1 SV=1
+MGVLKFKHIFFRSFVKSSGVSQIVFTFLLIPCCLTLNFRAPPVIPNVPFLWAWNAPSEFCLGKFDEPLDMSLFSFIGSPRINATGQGVTIFYVDRLGYYPYIDSITGVTVNGGIPQKISLQDHLDKAKKDITFYMPVDNLGMAVIDWEEWRPTWARNWKPKDVYKNRSIELVQQQNVQLSLTEATEKAKQEFEKAGKDFLVETIKLGKLLRPNHLWGYYLFPDCYNHHYKKPGYNGSCFNVEIKRNDDLSWLWNESTALYPSIYLNTQQSPVAATLYVRNRVREAIRVSKIPDAKSPLPVFAYTRIVFTDQVLKFLSQDELVYTFGETVALGASGIVIWGTLSIMRSMKSCLLLDNYMETILNPYIINVTLAAKMCSQVLCQEQGVCIRKNWNSSDYLHLNPDNFAIQLEKGGKFTVRGKPTLEDLEQFSEKFYCSCYSTLSCKEKADVKDTDAVDVCIADGVCIDAFLKPPMETEEPQIFYNASPSTLSATMFIVSILFLIISSVASL
+>DECOY_sp|P38567|HYALP_HUMAN Hyaluronidase PH-20 OS=Homo sapiens OX=9606 GN=SPAM1 PE=1 SV=1
+LSAVSSIILFLISVIFMTASLTSPSANYFIQPEETEMPPKLFADICVGDAICVDVADTDKVDAKEKCSLTSYCSCYFKESFQELDELTPKGRVTFKGGKELQIAFNDPNLHLYDSSNWNKRICVGQEQCLVQSCMKAALTVNIIYPNLITEMYNDLLLCSKMSRMISLTGWIVIGSAGLAVTEGFTYVLEDQSLFKLVQDTFVIRTYAFVPLPSKADPIKSVRIAERVRNRVYLTAAVPSQQTNLYISPYLATSENWLWSLDDNRKIEVNFCSGNYGPKKYHHNYCDPFLYYGWLHNPRLLKGLKITEVLFDKGAKEFEQKAKETAETLSLQVNQQQVLEISRNKYVDKPKWNRAWTPRWEEWDIVAMGLNDVPMYFTIDKKAKDLHDQLSIKQPIGGNVTVGTISDIYPYYGLRDVYFITVGQGTANIRPSGIFSFLSMDLPEDFKGLCFESPANWAWLFPVNPIVPPARFNLTLCCPILLFTFVIQSVGSSKVFSRFFIHKFKLVGM
+>sp|Q92819|HYAS2_HUMAN Hyaluronan synthase 2 OS=Homo sapiens OX=9606 GN=HAS2 PE=2 SV=1
+MHCERFLCILRIIGTTLFGVSLLLGITAAYIVGYQFIQTDNYYFSFGLYGAFLASHLIIQSLFAFLEHRKMKKSLETPIKLNKTVALCIAAYQEDPDYLRKCLQSVKRLTYPGIKVVMVIDGNSEDDLYMMDIFSEVMGRDKSATYIWKNNFHEKGPGETDESHKESSQHVTQLVLSNKSICIMQKWGGKREVMYTAFRALGRSVDYVQVCDSDTMLDPASSVEMVKVLEEDPMVGGVGGDVQILNKYDSWISFLSSVRYWMAFNIERACQSYFGCVQCISGPLGMYRNSLLHEFVEDWYNQEFMGNQCSFGDDRHLTNRVLSLGYATKYTARSKCLTETPIEYLRWLNQQTRWSKSYFREWLYNAMWFHKHHLWMTYEAIITGFFPFFLIATVIQLFYRGKIWNILLFLLTVQLVGLIKSSFASCLRGNIVMVFMSLYSVLYMSSLLPAKMFAIATINKAGWGTSGRKTIVVNFIGLIPVSVWFTILLGGVIFTIYKESKRPFSESKQTVLIVGTLLYACYWVMLLTLYVVLINKCGRRKKGQQYDMVLDV
+>DECOY_sp|Q92819|HYAS2_HUMAN Hyaluronan synthase 2 OS=Homo sapiens OX=9606 GN=HAS2 PE=2 SV=1
+VDLVMDYQQGKKRRGCKNILVVYLTLLMVWYCAYLLTGVILVTQKSESFPRKSEKYITFIVGGLLITFWVSVPILGIFNVVITKRGSTGWGAKNITAIAFMKAPLLSSMYLVSYLSMFVMVINGRLCSAFSSKILGVLQVTLLFLLINWIKGRYFLQIVTAILFFPFFGTIIAEYTMWLHHKHFWMANYLWERFYSKSWRTQQNLWRLYEIPTETLCKSRATYKTAYGLSLVRNTLHRDDGFSCQNGMFEQNYWDEVFEHLLSNRYMGLPGSICQVCGFYSQCAREINFAMWYRVSSLFSIWSDYKNLIQVDGGVGGVMPDEELVKVMEVSSAPDLMTDSDCVQVYDVSRGLARFATYMVERKGGWKQMICISKNSLVLQTVHQSSEKHSEDTEGPGKEHFNNKWIYTASKDRGMVESFIDMMYLDDESNGDIVMVVKIGPYTLRKVSQLCKRLYDPDEQYAAICLAVTKNLKIPTELSKKMKRHELFAFLSQIILHSALFAGYLGFSFYYNDTQIFQYGVIYAATIGLLLSVGFLTTGIIRLICLFRECHM
+>sp|O00219|HYAS3_HUMAN Hyaluronan synthase 3 OS=Homo sapiens OX=9606 GN=HAS3 PE=2 SV=3
+MPVQLTTALRVVGTSLFALAVLGGILAAYVTGYQFIHTEKHYLSFGLYGAILGLHLLIQSLFAFLEHRRMRRAGQALKLPSPRRGSVALCIAAYQEDPDYLRKCLRSAQRISFPDLKVVMVVDGNRQEDAYMLDIFHEVLGGTEQAGFFVWRSNFHEAGEGETEASLQEGMDRVRDVVRASTFSCIMQKWGGKREVMYTAFKALGDSVDYIQVCDSDTVLDPACTIEMLRVLEEDPQVGGVGGDVQILNKYDSWISFLSSVRYWMAFNVERACQSYFGCVQCISGPLGMYRNSLLQQFLEDWYHQKFLGSKCSFGDDRHLTNRVLSLGYRTKYTARSKCLTETPTKYLRWLNQQTRWSKSYFREWLYNSLWFHKHHLWMTYESVVTGFFPFFLIATVIQLFYRGRIWNILLFLLTVQLVGIIKATYACFLRGNAEMIFMSLYSLLYMSSLLPAKIFAIATINKSGWGTSGRKTIVVNFIGLIPVSIWVAVLLGGLAYTAYCQDLFSETELAFLVSGAILYGCYWVALLMLYLAIIARRCGKKPEQYSLAFAEV
+>DECOY_sp|O00219|HYAS3_HUMAN Hyaluronan synthase 3 OS=Homo sapiens OX=9606 GN=HAS3 PE=2 SV=3
+VEAFALSYQEPKKGCRRAIIALYLMLLAVWYCGYLIAGSVLFALETESFLDQCYATYALGGLLVAVWISVPILGIFNVVITKRGSTGWGSKNITAIAFIKAPLLSSMYLLSYLSMFIMEANGRLFCAYTAKIIGVLQVTLLFLLINWIRGRYFLQIVTAILFFPFFGTVVSEYTMWLHHKHFWLSNYLWERFYSKSWRTQQNLWRLYKTPTETLCKSRATYKTRYGLSLVRNTLHRDDGFSCKSGLFKQHYWDELFQQLLSNRYMGLPGSICQVCGFYSQCAREVNFAMWYRVSSLFSIWSDYKNLIQVDGGVGGVQPDEELVRLMEITCAPDLVTDSDCVQIYDVSDGLAKFATYMVERKGGWKQMICSFTSARVVDRVRDMGEQLSAETEGEGAEHFNSRWVFFGAQETGGLVEHFIDLMYADEQRNGDVVMVVKLDPFSIRQASRLCKRLYDPDEQYAAICLAVSGRRPSPLKLAQGARRMRRHELFAFLSQILLHLGLIAGYLGFSLYHKETHIFQYGTVYAALIGGLVALAFLSTGVVRLATTLQVPM
+>sp|Q9Y4L1|HYOU1_HUMAN Hypoxia up-regulated protein 1 OS=Homo sapiens OX=9606 GN=HYOU1 PE=1 SV=1
+MADKVRRQRPRRRVCWALVAVLLADLLALSDTLAVMSVDLGSESMKVAIVKPGVPMEIVLNKESRRKTPVIVTLKENERFFGDSAASMAIKNPKATLRYFQHLLGKQADNPHVALYQARFPEHELTFDPQRQTVHFQISSQLQFSPEEVLGMVLNYSRSLAEDFAEQPIKDAVITVPVFFNQAERRAVLQAARMAGLKVLQLINDNTATALSYGVFRRKDINTTAQNIMFYDMGSGSTVCTIVTYQMVKTKEAGMQPQLQIRGVGFDRTLGGLEMELRLRERLAGLFNEQRKGQRAKDVRENPRAMAKLLREANRLKTVLSANADHMAQIEGLMDDVDFKAKVTRVEFEELCADLFERVPGPVQQALQSAEMSLDEIEQVILVGGATRVPRVQEVLLKAVGKEELGKNINADEAAAMGAVYQAAALSKAFKVKPFVVRDAVVYPILVEFTREVEEEPGIHSLKHNKRVLFSRMGPYPQRKVITFNRYSHDFNFHINYGDLGFLGPEDLRVFGSQNLTTVKLKGVGDSFKKYPDYESKGIKAHFNLDESGVLSLDRVESVFETLVEDSAEEESTLTKLGNTISSLFGGGTTPDAKENGTDTVQEEEESPAEGSKDEPGEQVELKEEAEAPVEDGSQPPPPEPKGDATPEGEKATEKENGDKSEAQKPSEKAEAGPEGVAPAPEGEKKQKPARKRRMVEEIGVELVVLDLPDLPEDKLAQSVQKLQDLTLRDLEKQEREKAANSLEAFIFETQDKLYQPEYQEVSTEEQREEISGKLSAASTWLEDEGVGATTVMLKEKLAELRKLCQGLFFRVEERKKWPERLSALDNLLNHSSMFLKGARLIPEMDQIFTEVEMTTLEKVINETWAWKNATLAEQAKLPATEKPVLLSKDIEAKMMALDREVQYLLNKAKFTKPRPRPKDKNGTRAEPPLNASASDQGEKVIPPAGQTEDAEPISEPEKVETGSEPGDTEPLELGGPGAEPEQKEQSTGQKRPLKNDEL
+>DECOY_sp|Q9Y4L1|HYOU1_HUMAN Hypoxia up-regulated protein 1 OS=Homo sapiens OX=9606 GN=HYOU1 PE=1 SV=1
+LEDNKLPRKQGTSQEKQEPEAGPGGLELPETDGPESGTEVKEPESIPEADETQGAPPIVKEGQDSASANLPPEARTGNKDKPRPRPKTFKAKNLLYQVERDLAMMKAEIDKSLLVPKETAPLKAQEALTANKWAWTENIVKELTTMEVETFIQDMEPILRAGKLFMSSHNLLNDLASLREPWKKREEVRFFLGQCLKRLEALKEKLMVTTAGVGEDELWTSAASLKGSIEERQEETSVEQYEPQYLKDQTEFIFAELSNAAKEREQKELDRLTLDQLKQVSQALKDEPLDPLDLVVLEVGIEEVMRRKRAPKQKKEGEPAPAVGEPGAEAKESPKQAESKDGNEKETAKEGEPTADGKPEPPPPQSGDEVPAEAEEKLEVQEGPEDKSGEAPSEEEEQVTDTGNEKADPTTGGGFLSSITNGLKTLTSEEEASDEVLTEFVSEVRDLSLVGSEDLNFHAKIGKSEYDPYKKFSDGVGKLKVTTLNQSGFVRLDEPGLFGLDGYNIHFNFDHSYRNFTIVKRQPYPGMRSFLVRKNHKLSHIGPEEEVERTFEVLIPYVVADRVVFPKVKFAKSLAAAQYVAGMAAAEDANINKGLEEKGVAKLLVEQVRPVRTAGGVLIVQEIEDLSMEASQLAQQVPGPVREFLDACLEEFEVRTVKAKFDVDDMLGEIQAMHDANASLVTKLRNAERLLKAMARPNERVDKARQGKRQENFLGALRERLRLEMELGGLTRDFGVGRIQLQPQMGAEKTKVMQYTVITCVTSGSGMDYFMINQATTNIDKRRFVGYSLATATNDNILQLVKLGAMRAAQLVARREAQNFFVPVTIVADKIPQEAFDEALSRSYNLVMGLVEEPSFQLQSSIQFHVTQRQPDFTLEHEPFRAQYLAVHPNDAQKGLLHQFYRLTAKPNKIAMSAASDGFFRENEKLTVIVPTKRRSEKNLVIEMPVGPKVIAVKMSESGLDVSMVALTDSLALLDALLVAVLAWCVRRRPRQRRVKDAM
+>sp|A6NMX2|I4E1B_HUMAN Eukaryotic translation initiation factor 4E type 1B OS=Homo sapiens OX=9606 GN=EIF4E1B PE=3 SV=3
+MLAVEVSEAEGGIREWEEEEKEEEAAERTPTGEKSPNSPRTLLSLRGKARTGGPMEVKLELHPLQNRWALWFFKNDRSRAWQDNLHLVTKVDTVEDFWALYSHIQLASKLSSGCDYALFKDGIQPMWEDSRNKRGGRWLVSLAKQQRHIELDRLWLETLLCLIGESFEEHSREVCGAVVNIRTKGDKIAVWTREAENQAGVLHVGRVYKERLGLSPKTIIGYQAHADTATKSNSLAKNKFVV
+>DECOY_sp|A6NMX2|I4E1B_HUMAN Eukaryotic translation initiation factor 4E type 1B OS=Homo sapiens OX=9606 GN=EIF4E1B PE=3 SV=3
+VVFKNKALSNSKTATDAHAQYGIITKPSLGLREKYVRGVHLVGAQNEAERTWVAIKDGKTRINVVAGCVERSHEEFSEGILCLLTELWLRDLEIHRQQKALSVLWRGGRKNRSDEWMPQIGDKFLAYDCGSSLKSALQIHSYLAWFDEVTDVKTVLHLNDQWARSRDNKFFWLAWRNQLPHLELKVEMPGGTRAKGRLSLLTRPSNPSKEGTPTREAAEEEKEEEEWERIGGEAESVEVALM
+>sp|P22692|IBP4_HUMAN Insulin-like growth factor-binding protein 4 OS=Homo sapiens OX=9606 GN=IGFBP4 PE=1 SV=2
+MLPLCLVAALLLAAGPGPSLGDEAIHCPPCSEEKLARCRPPVGCEELVREPGCGCCATCALGLGMPCGVYTPRCGSGLRCYPPRGVEKPLHTLMHGQGVCMELAEIEAIQESLQPSDKDEGDHPNNSFSPCSAHDRRCLQKHFAKIRDRSTSGGKMKVNGAPREDARPVPQGSCQSELHRALERLAASQSRTHEDLYIIPIPNCDRNGNFHPKQCHPALDGQRGKCWCVDRKTGVKLPGGLEPKGELDCHQLADSFRE
+>DECOY_sp|P22692|IBP4_HUMAN Insulin-like growth factor-binding protein 4 OS=Homo sapiens OX=9606 GN=IGFBP4 PE=1 SV=2
+ERFSDALQHCDLEGKPELGGPLKVGTKRDVCWCKGRQGDLAPHCQKPHFNGNRDCNPIPIIYLDEHTRSQSAALRELARHLESQCSGQPVPRADERPAGNVKMKGGSTSRDRIKAFHKQLCRRDHASCPSFSNNPHDGEDKDSPQLSEQIAEIEALEMCVGQGHMLTHLPKEVGRPPYCRLGSGCRPTYVGCPMGLGLACTACCGCGPERVLEECGVPPRCRALKEESCPPCHIAEDGLSPGPGAALLLAAVLCLPLM
+>sp|P24593|IBP5_HUMAN Insulin-like growth factor-binding protein 5 OS=Homo sapiens OX=9606 GN=IGFBP5 PE=1 SV=1
+MVLLTAVLLLLAAYAGPAQSLGSFVHCEPCDEKALSMCPPSPLGCELVKEPGCGCCMTCALAEGQSCGVYTERCAQGLRCLPRQDEEKPLHALLHGRGVCLNEKSYREQVKIERDSREHEEPTTSEMAEETYSPKIFRPKHTRISELKAEAVKKDRRKKLTQSKFVGGAENTAHPRIISAPEMRQESEQGPCRRHMEASLQELKASPRMVPRAVYLPNCDRKGFYKRKQCKPSRGRKRGICWCVDKYGMKLPGMEYVDGDFQCHTFDSSNVE
+>DECOY_sp|P24593|IBP5_HUMAN Insulin-like growth factor-binding protein 5 OS=Homo sapiens OX=9606 GN=IGFBP5 PE=1 SV=1
+EVNSSDFTHCQFDGDVYEMGPLKMGYKDVCWCIGRKRGRSPKCQKRKYFGKRDCNPLYVARPVMRPSAKLEQLSAEMHRRCPGQESEQRMEPASIIRPHATNEAGGVFKSQTLKKRRDKKVAEAKLESIRTHKPRFIKPSYTEEAMESTTPEEHERSDREIKVQERYSKENLCVGRGHLLAHLPKEEDQRPLCRLGQACRETYVGCSQGEALACTMCCGCGPEKVLECGLPSPPCMSLAKEDCPECHVFSGLSQAPGAYAALLLLVATLLVM
+>sp|Q9BTL4|IER2_HUMAN Immediate early response gene 2 protein OS=Homo sapiens OX=9606 GN=IER2 PE=1 SV=1
+MEVQKEAQRIMTLSVWKMYHSRMQRGGLRLHRSLQLSLVMRSARELYLSAKVEALEPEVSLPAALPSDPRLHPPREAESTAETATPDGEHPFPEPMDTQEAPTAEETSACCAPRPAKVSRKRRSSSLSDGGDAGLVPSKKARLEEKEEEEGASSEVADRLQPPPAQAEGAFPNLARVLQRRFSGLLNCSPAAPPTAPPACEAKPACRPADSMLNVLVRAVVAF
+>DECOY_sp|Q9BTL4|IER2_HUMAN Immediate early response gene 2 protein OS=Homo sapiens OX=9606 GN=IER2 PE=1 SV=1
+FAVVARVLVNLMSDAPRCAPKAECAPPATPPAAPSCNLLGSFRRQLVRALNPFAGEAQAPPPQLRDAVESSAGEEEEKEELRAKKSPVLGADGGDSLSSSRRKRSVKAPRPACCASTEEATPAEQTDMPEPFPHEGDPTATEATSEAERPPHLRPDSPLAAPLSVEPELAEVKASLYLERASRMVLSLQLSRHLRLGGRQMRSHYMKWVSLTMIRQAEKQVEM
+>sp|Q9UG01|IF172_HUMAN Intraflagellar transport protein 172 homolog OS=Homo sapiens OX=9606 GN=IFT172 PE=1 SV=2
+MHLKHLRTLLSPQDGAAKVTCMAWSQNNAKFAVCTVDRVVLLYDEHGERRDKFSTKPADMKYGRKSYMVKGMAFSPDSTKIAIGQTDNIIYVYKIGEDWGDKKVICNKFIQTSAVTCLQWPAEYIIVFGLAEGKVRLANTKTNKSSTIYGTESYVVSLTTNCSGKGILSGHADGTIVRYFFDDEGSGESQGKLVNHPCPPYALAWATNSIVAAGCDRKIVAYGKEGHMLQTFDYSRDPQEREFTTAVSSPGGQSVVLGSYDRLRVFNWIPRRSIWEEAKPKEITNLYTITALAWKRDGSRLCVGTLCGGVEQFDCCLRRSIYKNKFELTYVGPSQVIVKNLSSGTRVVLKSHYGYEVEEVKILGKERYLVAHTSETLLLGDLNTNRLSEIAWQGSGGNEKYFFENENVCMIFNAGELTLVEYGNNDTLGSVRTEFMNPHLISVRINERCQRGTEDNKKLAYLIDIKTIAIVDLIGGYNIGTVSHESRVDWLELNETGHKLLFRDRKLRLHLYDIESCSKTMILNFCSYMQWVPGSDVLVAQNRNSLCVWYNIEAPERVTMFTIRGDVIGLERGGGKTEVMVMEGVTTVAYTLDEGLIEFGTAIDDGNYIRATAFLETLEMTPETEAMWKTLSKLALEARQLHIAERCFSALGQVAKARFLHETNEIADQVSREYGGEGTDFYQVRARLAMLEKNYKLAEMIFLEQNAVEEAMGMYQELHRWDECIAVAEAKGHPALEKLRRSYYQWLMDTQQEERAGELQESQGDGLAAISLYLKAGLPAKAARLVLTREELLANTELVEHITAALIKGELYERAGDLFEKIHNPQKALECYRKGNAFMKAVELARLAFPVEVVKLEEAWGDHLVQQKQLDAAINHYIEARCSIKAIEAALGARQWKKAIYILDLQDRNTASKYYPLVAQHYASLQEYEIAEELYTKGDRTKDAIDMYTQAGRWEQAHKLAMKCMRPEDVSVLYITQAQEMEKQGKYREAERLYVTVQEPDLAITMYKKHKLYDDMIRLVGKHHPDLLSDTHLHLGKELEAEGRLQEAEYHYLEAQEWKATVNMYRASGLWEEAYRVARTQGGANAHKHVAYLWAKSLGGEAAVRLLNKLGLLEAAVDHAADNCSFEFAFELSRLALKHKTPEVHLKYAMFLEDEGKFEEAEAEFIRAGKPKEAVLMFVHNQDWEAAQRVAEAHDPDSVAEVLVGQARGALEEKDFQKAEGLLLRAQRPGLALNYYKEAGLWSDALRICKDYVPSQLEALQEEYEREATKKGARGVEGFVEQARHWEQAGEYSRAVDCYLKVRDSGNSGLAEKCWMKAAELSIKFLPPQRNMEVVLAVGPQLIGIGKHSAAAELYLNLDLVKEAIDAFIEGEEWNKAKRVAKELDPRYEDYVDQHYKEFLKNQGKVDSLVGVDVIAALDLYVEQGQWDKCIETATKQNYKILHKYVALYATHLIREGSSAQALALYVQHGAPANPQNFNIYKRIFTDMVSSPGTNCAEAYHSWADLRDVLFNLCENLVKSSEANSPAHEEFKTMLLIAHYYATRSAAQSVKQLETVAARLSVSLLRHTQLLPVDKAFYEAGIAAKAVGWDNMAFIFLNRFLDLTDAIEEGTLDGLDHSDFQDTDIPFEVPLPAKQHVPEAEREEVRDWVLTVSMDQRLEQVLPRDERGAYEASLVAASTGVRALPCLITGYPILRNKIEFKRPGKAANKDNWNKFLMAIKTSHSPVCQDVLKFISQWCGGLPSTSFSFQ
+>DECOY_sp|Q9UG01|IF172_HUMAN Intraflagellar transport protein 172 homolog OS=Homo sapiens OX=9606 GN=IFT172 PE=1 SV=2
+QFSFSTSPLGGCWQSIFKLVDQCVPSHSTKIAMLFKNWNDKNAAKGPRKFEIKNRLIPYGTILCPLARVGTSAAVLSAEYAGREDRPLVQELRQDMSVTLVWDRVEEREAEPVHQKAPLPVEFPIDTDQFDSHDLGDLTGEEIADTLDLFRNLFIFAMNDWGVAKAAIGAEYFAKDVPLLQTHRLLSVSLRAAVTELQKVSQAASRTAYYHAILLMTKFEEHAPSNAESSKVLNECLNFLVDRLDAWSHYAEACNTGPSSVMDTFIRKYINFNQPNAPAGHQVYLALAQASSGERILHTAYLAVYKHLIKYNQKTATEICKDWQGQEVYLDLAAIVDVGVLSDVKGQNKLFEKYHQDVYDEYRPDLEKAVRKAKNWEEGEIFADIAEKVLDLNLYLEAAASHKGIGILQPGVALVVEMNRQPPLFKISLEAAKMWCKEALGSNGSDRVKLYCDVARSYEGAQEWHRAQEVFGEVGRAGKKTAEREYEEQLAELQSPVYDKCIRLADSWLGAEKYYNLALGPRQARLLLGEAKQFDKEELAGRAQGVLVEAVSDPDHAEAVRQAAEWDQNHVFMLVAEKPKGARIFEAEAEEFKGEDELFMAYKLHVEPTKHKLALRSLEFAFEFSCNDAAHDVAAELLGLKNLLRVAAEGGLSKAWLYAVHKHANAGGQTRAVRYAEEWLGSARYMNVTAKWEQAELYHYEAEQLRGEAELEKGLHLHTDSLLDPHHKGVLRIMDDYLKHKKYMTIALDPEQVTVYLREAERYKGQKEMEQAQTIYLVSVDEPRMCKMALKHAQEWRGAQTYMDIADKTRDGKTYLEEAIEYEQLSAYHQAVLPYYKSATNRDQLDLIYIAKKWQRAGLAAEIAKISCRAEIYHNIAADLQKQQVLHDGWAEELKVVEVPFALRALEVAKMFANGKRYCELAKQPNHIKEFLDGAREYLEGKILAATIHEVLETNALLEERTLVLRAAKAPLGAKLYLSIAALGDGQSEQLEGAREEQQTDMLWQYYSRRLKELAPHGKAEAVAICEDWRHLEQYMGMAEEVANQELFIMEALKYNKELMALRARVQYFDTGEGGYERSVQDAIENTEHLFRAKAVQGLASFCREAIHLQRAELALKSLTKWMAETEPTMELTELFATARIYNGDDIATGFEILGEDLTYAVTTVGEMVMVETKGGGRELGIVDGRITFMTVREPAEINYWVCLSNRNQAVLVDSGPVWQMYSCFNLIMTKSCSEIDYLHLRLKRDRFLLKHGTENLELWDVRSEHSVTGINYGGILDVIAITKIDILYALKKNDETGRQCRENIRVSILHPNMFETRVSGLTDNNGYEVLTLEGANFIMCVNENEFFYKENGGSGQWAIESLRNTNLDGLLLTESTHAVLYREKGLIKVEEVEYGYHSKLVVRTGSSLNKVIVQSPGVYTLEFKNKYISRRLCCDFQEVGGCLTGVCLRSGDRKWALATITYLNTIEKPKAEEWISRRPIWNFVRLRDYSGLVVSQGGPSSVATTFEREQPDRSYDFTQLMHGEKGYAVIKRDCGAAVISNTAWALAYPPCPHNVLKGQSEGSGEDDFFYRVITGDAHGSLIGKGSCNTTLSVVYSETGYITSSKNTKTNALRVKGEALGFVIIYEAPWQLCTVASTQIFKNCIVKKDGWDEGIKYVYIINDTQGIAIKTSDPSFAMGKVMYSKRGYKMDAPKTSFKDRREGHEDYLLVVRDVTCVAFKANNQSWAMCTVKAAGDQPSLLTRLHKLHM
+>sp|Q9Y6M1|IF2B2_HUMAN Insulin-like growth factor 2 mRNA-binding protein 2 OS=Homo sapiens OX=9606 GN=IGF2BP2 PE=1 SV=2
+MMNKLYIGNLSPAVTADDLRQLFGDRKLPLAGQVLLKSGYAFVDYPDQNWAIRAIETLSGKVELHGKIMEVDYSVSKKLRSRKIQIRNIPPHLQWEVLDGLLAQYGTVENVEQVNTDTETAVVNVTYATREEAKIAMEKLSGHQFENYSFKISYIPDEEVSSPSPPQRAQRGDHSSREQGHAPGGTSQARQIDFPLRILVPTQFVGAIIGKEGLTIKNITKQTQSRVDIHRKENSGAAEKPVTIHATPEGTSEACRMILEIMQKEADETKLAEEIPLKILAHNGLVGRLIGKEGRNLKKIEHETGTKITISSLQDLSIYNPERTITVKGTVEACASAEIEIMKKLREAFENDMLAVNQQANLIPGLNLSALGIFSTGLSVLSPPAGPRGAPPAAPYHPFTTHSGYFSSLYPHHQFGPFPHHHSYPEQEIVNLFIPTQAVGAIIGKKGAHIKQLARFAGASIKIAPAEGPDVSERMVIITGPPEAQFKAQGRIFGKLKEENFFNPKEEVKLEAHIRVPSSTAGRVIGKGGKTVNELQNLTSAEVIVPRDQTPDENEEVIVRIIGHFFASQTAQRKIREIVQQVKQQEQKYPQGVASQRSK
+>DECOY_sp|Q9Y6M1|IF2B2_HUMAN Insulin-like growth factor 2 mRNA-binding protein 2 OS=Homo sapiens OX=9606 GN=IGF2BP2 PE=1 SV=2
+KSRQSAVGQPYKQEQQKVQQVIERIKRQATQSAFFHGIIRVIVEENEDPTQDRPVIVEASTLNQLENVTKGGKGIVRGATSSPVRIHAELKVEEKPNFFNEEKLKGFIRGQAKFQAEPPGTIIVMRESVDPGEAPAIKISAGAFRALQKIHAGKKGIIAGVAQTPIFLNVIEQEPYSHHHPFPGFQHHPYLSSFYGSHTTFPHYPAAPPAGRPGAPPSLVSLGTSFIGLASLNLGPILNAQQNVALMDNEFAERLKKMIEIEASACAEVTGKVTITREPNYISLDQLSSITIKTGTEHEIKKLNRGEKGILRGVLGNHALIKLPIEEALKTEDAEKQMIELIMRCAESTGEPTAHITVPKEAAGSNEKRHIDVRSQTQKTINKITLGEKGIIAGVFQTPVLIRLPFDIQRAQSTGGPAHGQERSSHDGRQARQPPSPSSVEEDPIYSIKFSYNEFQHGSLKEMAIKAEERTAYTVNVVATETDTNVQEVNEVTGYQALLGDLVEWQLHPPINRIQIKRSRLKKSVSYDVEMIKGHLEVKGSLTEIARIAWNQDPYDVFAYGSKLLVQGALPLKRDGFLQRLDDATVAPSLNGIYLKNMM
+>sp|P06730|IF4E_HUMAN Eukaryotic translation initiation factor 4E OS=Homo sapiens OX=9606 GN=EIF4E PE=1 SV=2
+MATVEPETTPTPNPPTTEEEKTESNQEVANPEHYIKHPLQNRWALWFFKNDKSKTWQANLRLISKFDTVEDFWALYNHIQLSSNLMPGCDYSLFKDGIEPMWEDEKNKRGGRWLITLNKQQRRSDLDRFWLETLLCLIGESFDDYSDDVCGAVVNVRAKGDKIAIWTTECENREAVTHIGRVYKERLGLPPKIVIGYQSHADTATKSGSTTKNRFVV
+>DECOY_sp|P06730|IF4E_HUMAN Eukaryotic translation initiation factor 4E OS=Homo sapiens OX=9606 GN=EIF4E PE=1 SV=2
+VVFRNKTTSGSKTATDAHSQYGIVIKPPLGLREKYVRGIHTVAERNECETTWIAIKDGKARVNVVAGCVDDSYDDFSEGILCLLTELWFRDLDSRRQQKNLTILWRGGRKNKEDEWMPEIGDKFLSYDCGPMLNSSLQIHNYLAWFDEVTDFKSILRLNAQWTKSKDNKFFWLAWRNQLPHKIYHEPNAVEQNSETKEEETTPPNPTPTTEPEVTAM
+>sp|Q04637|IF4G1_HUMAN Eukaryotic translation initiation factor 4 gamma 1 OS=Homo sapiens OX=9606 GN=EIF4G1 PE=1 SV=4
+MNKAPQSTGPPPAPSPGLPQPAFPPGQTAPVVFSTPQATQMNTPSQPRQHFYPSRAQPPSSAASRVQSAAPARPGPAAHVYPAGSQVMMIPSQISYPASQGAYYIPGQGRSTYVVPTQQYPVQPGAPGFYPGASPTEFGTYAGAYYPAQGVQQFPTGVAPTPVLMNQPPQIAPKRERKTIRIRDPNQGGKDITEEIMSGARTASTPTPPQTGGGLEPQANGETPQVAVIVRPDDRSQGAIIADRPGLPGPEHSPSESQPSSPSPTPSPSPVLEPGSEPNLAVLSIPGDTMTTIQMSVEESTPISRETGEPYRLSPEPTPLAEPILEVEVTLSKPVPESEFSSSPLQAPTPLASHTVEIHEPNGMVPSEDLEPEVESSPELAPPPACPSESPVPIAPTAQPEELLNGAPSPPAVDLSPVSEPEEQAKEVTASMAPPTIPSATPATAPSATSPAQEEEMEEEEEEEEGEAGEAGEAESEKGGEELLPPESTPIPANLSQNLEAAAATQVAVSVPKRRRKIKELNKKEAVGDLLDAFKEANPAVPEVENQPPAGSNPGPESEGSGVPPRPEEADETWDSKEDKIHNAENIQPGEQKYEYKSDQWKPLNLEEKKRYDREFLLGFQFIFASMQKPEGLPHISDVVLDKANKTPLRPLDPTRLQGINCGPDFTPSFANLGRTTLSTRGPPRGGPGGELPRGPAGLGPRRSQQGPRKEPRKIIATVLMTEDIKLNKAEKAWKPSSKRTAADKDRGEEDADGSKTQDLFRRVRSILNKLTPQMFQQLMKQVTQLAIDTEERLKGVIDLIFEKAISEPNFSVAYANMCRCLMALKVPTTEKPTVTVNFRKLLLNRCQKEFEKDKDDDEVFEKKQKEMDEAATAEERGRLKEELEEARDIARRRSLGNIKFIGELFKLKMLTEAIMHDCVVKLLKNHDEESLECLCRLLTTIGKDLDFEKAKPRMDQYFNQMEKIIKEKKTSSRIRFMLQDVLDLRGSNWVPRRGDQGPKTIDQIHKEAEMEEHREHIKVQQLMAKGSDKRRGGPPGPPISRGLPLVDDGGWNTVPISKGSRPIDTSRLTKITKPGSIDSNNQLFAPGGRLSWGKGSSGGSGAKPSDAASEAARPATSTLNRFSALQQAVPTESTDNRRVVQRSSLSRERGEKAGDRGDRLERSERGGDRGDRLDRARTPATKRSFSKEVEERSRERPSQPEGLRKAASLTEDRDRGRDAVKREAALPPVSPLKAALSEEELEKKSKAIIEEYLHLNDMKEAVQCVQELASPSLLFIFVRHGVESTLERSAIAREHMGQLLHQLLCAGHLSTAQYYQGLYEILELAEDMEIDIPHVWLYLAELVTPILQEGGVPMGELFREITKPLRPLGKAASLLLEILGLLCKSMGPKKVGTLWREAGLSWKEFLPEGQDIGAFVAEQKVEYTLGEESEAPGQRALPSEELNRQLEKLLKEGSSNQRVFDWIEANLSEQQIVSNTLVRALMTAVCYSAIIFETPLRVDVAVLKARAKLLQKYLCDEQKELQALYALQALVVTLEQPPNLLRMFFDALYDEDVVKEDAFYSWESSKDPAEQQGKGVALKSVTAFFKWLREAEEESDHN
+>DECOY_sp|Q04637|IF4G1_HUMAN Eukaryotic translation initiation factor 4 gamma 1 OS=Homo sapiens OX=9606 GN=EIF4G1 PE=1 SV=4
+NHDSEEEAERLWKFFATVSKLAVGKGQQEAPDKSSEWSYFADEKVVDEDYLADFFMRLLNPPQELTVVLAQLAYLAQLEKQEDCLYKQLLKARAKLVAVDVRLPTEFIIASYCVATMLARVLTNSVIQQESLNAEIWDFVRQNSSGEKLLKELQRNLEESPLARQGPAESEEGLTYEVKQEAVFAGIDQGEPLFEKWSLGAERWLTGVKKPGMSKCLLGLIELLLSAAKGLPRLPKTIERFLEGMPVGGEQLIPTVLEALYLWVHPIDIEMDEALELIEYLGQYYQATSLHGACLLQHLLQGMHERAIASRELTSEVGHRVFIFLLSPSALEQVCQVAEKMDNLHLYEEIIAKSKKELEEESLAAKLPSVPPLAAERKVADRGRDRDETLSAAKRLGEPQSPRERSREEVEKSFSRKTAPTRARDLRDGRDGGRESRELRDGRDGAKEGRERSLSSRQVVRRNDTSETPVAQQLASFRNLTSTAPRAAESAADSPKAGSGGSSGKGWSLRGGPAFLQNNSDISGPKTIKTLRSTDIPRSGKSIPVTNWGGDDVLPLGRSIPPGPPGGRRKDSGKAMLQQVKIHERHEEMEAEKHIQDITKPGQDGRRPVWNSGRLDLVDQLMFRIRSSTKKEKIIKEMQNFYQDMRPKAKEFDLDKGITTLLRCLCELSEEDHNKLLKVVCDHMIAETLMKLKFLEGIFKINGLSRRRAIDRAEELEEKLRGREEATAAEDMEKQKKEFVEDDDKDKEFEKQCRNLLLKRFNVTVTPKETTPVKLAMLCRCMNAYAVSFNPESIAKEFILDIVGKLREETDIALQTVQKMLQQFMQPTLKNLISRVRRFLDQTKSGDADEEGRDKDAATRKSSPKWAKEAKNLKIDETMLVTAIIKRPEKRPGQQSRRPGLGAPGRPLEGGPGGRPPGRTSLTTRGLNAFSPTFDPGCNIGQLRTPDLPRLPTKNAKDLVVDSIHPLGEPKQMSAFIFQFGLLFERDYRKKEELNLPKWQDSKYEYKQEGPQINEANHIKDEKSDWTEDAEEPRPPVGSGESEPGPNSGAPPQNEVEPVAPNAEKFADLLDGVAEKKNLEKIKRRRKPVSVAVQTAAAAELNQSLNAPIPTSEPPLLEEGGKESEAEGAEGAEGEEEEEEEEMEEEQAPSTASPATAPTASPITPPAMSATVEKAQEEPESVPSLDVAPPSPAGNLLEEPQATPAIPVPSESPCAPPPALEPSSEVEPELDESPVMGNPEHIEVTHSALPTPAQLPSSSFESEPVPKSLTVEVELIPEALPTPEPSLRYPEGTERSIPTSEEVSMQITTMTDGPISLVALNPESGPELVPSPSPTPSPSSPQSESPSHEPGPLGPRDAIIAGQSRDDPRVIVAVQPTEGNAQPELGGGTQPPTPTSATRAGSMIEETIDKGGQNPDRIRITKRERKPAIQPPQNMLVPTPAVGTPFQQVGQAPYYAGAYTGFETPSAGPYFGPAGPQVPYQQTPVVYTSRGQGPIYYAGQSAPYSIQSPIMMVQSGAPYVHAAPGPRAPAASQVRSAASSPPQARSPYFHQRPQSPTNMQTAQPTSFVVPATQGPPFAPQPLGPSPAPPPGTSQPAKNM
+>sp|Q15056|IF4H_HUMAN Eukaryotic translation initiation factor 4H OS=Homo sapiens OX=9606 GN=EIF4H PE=1 SV=5
+MADFDTYDDRAYSSFGGGRGSRGSAGGHGSRSQKELPTEPPYTAYVGNLPFNTVQGDIDAIFKDLSIRSVRLVRDKDTDKFKGFCYVEFDEVDSLKEALTYDGALLGDRSLRVDIAEGRKQDKGGFGFRKGGPDDRGMGSSRESRGGWDSRDDFNSGFRDDFLGGRGGSRPGDRRTGPPMGSRFRDGPPLRGSNMDFREPTEEERAQRPRLQLKPRTVATPLNQVANPNSAIFGGARPREEVVQKEQE
+>DECOY_sp|Q15056|IF4H_HUMAN Eukaryotic translation initiation factor 4H OS=Homo sapiens OX=9606 GN=EIF4H PE=1 SV=5
+EQEKQVVEERPRAGGFIASNPNAVQNLPTAVTRPKLQLRPRQAREEETPERFDMNSGRLPPGDRFRSGMPPGTRRDGPRSGGRGGLFDDRFGSNFDDRSDWGGRSERSSGMGRDDPGGKRFGFGGKDQKRGEAIDVRLSRDGLLAGDYTLAEKLSDVEDFEVYCFGKFKDTDKDRVLRVSRISLDKFIADIDGQVTNFPLNGVYATYPPETPLEKQSRSGHGGASGRSGRGGGFSSYARDDYTDFDAM
+>sp|P09914|IFIT1_HUMAN Interferon-induced protein with tetratricopeptide repeats 1 OS=Homo sapiens OX=9606 GN=IFIT1 PE=1 SV=2
+MSTNGDDHQVKDSLEQLRCHFTWELSIDDDEMPDLENRVLDQIEFLDTKYSVGIHNLLAYVKHLKGQNEEALKSLKEAENLMQEEHDNQANVRSLVTWGNFAWMYYHMGRLAEAQTYLDKVENICKKLSNPFRYRMECPEIDCEEGWALLKCGGKNYERAKACFEKVLEVDPENPESSAGYAISAYRLDGFKLATKNHKPFSLLPLRQAVRLNPDNGYIKVLLALKLQDEGQEAEGEKYIEEALANMSSQTYVFRYAAKFYRRKGSVDKALELLKKALQETPTSVLLHHQIGLCYKAQMIQIKEATKGQPRGQNREKLDKMIRSAIFHFESAVEKKPTFEVAHLDLARMYIEAGNHRKAEENFQKLLCMKPVVEETMQDIHFHYGRFQEFQKKSDVNAIIHYLKAIKIEQASLTRDKSINSLKKLVLRKLRRKALDLESLSLLGFVYKLEGNMNEALEYYERALRLAADFENSVRQGP
+>DECOY_sp|P09914|IFIT1_HUMAN Interferon-induced protein with tetratricopeptide repeats 1 OS=Homo sapiens OX=9606 GN=IFIT1 PE=1 SV=2
+PGQRVSNEFDAALRLAREYYELAENMNGELKYVFGLLSLSELDLAKRRLKRLVLKKLSNISKDRTLSAQEIKIAKLYHIIANVDSKKQFEQFRGYHFHIDQMTEEVVPKMCLLKQFNEEAKRHNGAEIYMRALDLHAVEFTPKKEVASEFHFIASRIMKDLKERNQGRPQGKTAEKIQIMQAKYCLGIQHHLLVSTPTEQLAKKLLELAKDVSGKRRYFKAAYRFVYTQSSMNALAEEIYKEGEAEQGEDQLKLALLVKIYGNDPNLRVAQRLPLLSFPKHNKTALKFGDLRYASIAYGASSEPNEPDVELVKEFCAKAREYNKGGCKLLAWGEECDIEPCEMRYRFPNSLKKCINEVKDLYTQAEALRGMHYYMWAFNGWTVLSRVNAQNDHEEQMLNEAEKLSKLAEENQGKLHKVYALLNHIGVSYKTDLFEIQDLVRNELDPMEDDDISLEWTFHCRLQELSDKVQHDDGNTSM
+>sp|P01563|IFNA2_HUMAN Interferon alpha-2 OS=Homo sapiens OX=9606 GN=IFNA2 PE=1 SV=1
+MALTFALLVALLVLSCKSSCSVGCDLPQTHSLGSRRTLMLLAQMRKISLFSCLKDRHDFGFPQEEFGNQFQKAETIPVLHEMIQQIFNLFSTKDSSAAWDETLLDKFYTELYQQLNDLEACVIQGVGVTETPLMKEDSILAVRKYFQRITLYLKEKKYSPCAWEVVRAEIMRSFSLSTNLQESLRSKE
+>DECOY_sp|P01563|IFNA2_HUMAN Interferon alpha-2 OS=Homo sapiens OX=9606 GN=IFNA2 PE=1 SV=1
+EKSRLSEQLNTSLSFSRMIEARVVEWACPSYKKEKLYLTIRQFYKRVALISDEKMLPTETVGVGQIVCAELDNLQQYLETYFKDLLTEDWAASSDKTSFLNFIQQIMEHLVPITEAKQFQNGFEEQPFGFDHRDKLCSFLSIKRMQALLMLTRRSGLSHTQPLDCGVSCSSKCSLVLLAVLLAFTLAM
+>sp|Q9P0W0|IFNK_HUMAN Interferon kappa OS=Homo sapiens OX=9606 GN=IFNK PE=1 SV=2
+MSTKPDMIQKCLWLEILMGIFIAGTLSLDCNLLNVHLRRVTWQNLRHLSSMSNSFPVECLRENIAFELPQEFLQYTQPMKRDIKKAFYEMSLQAFNIFSQHTFKYWKERHLKQIQIGLDQQAEYLNQCLEEDKNENEDMKEMKENEMKPSEARVPQLSSLELRRYFHRIDNFLKEKKYSDCAWEIVRVEIRRCLYYFYKFTALFRRK
+>DECOY_sp|Q9P0W0|IFNK_HUMAN Interferon kappa OS=Homo sapiens OX=9606 GN=IFNK PE=1 SV=2
+KRRFLATFKYFYYLCRRIEVRVIEWACDSYKKEKLFNDIRHFYRRLELSSLQPVRAESPKMENEKMEKMDENENKDEELCQNLYEAQQDLGIQIQKLHREKWYKFTHQSFINFAQLSMEYFAKKIDRKMPQTYQLFEQPLEFAINERLCEVPFSNSMSSLHRLNQWTVRRLHVNLLNCDLSLTGAIFIGMLIELWLCKQIMDPKTSM
+>sp|Q12894|IFRD2_HUMAN Interferon-related developmental regulator 2 OS=Homo sapiens OX=9606 GN=IFRD2 PE=1 SV=3
+MAWNSPSRRPVWQGGAPREDGGARGVWLPSSGQVSAQRTGRRLVGLEPTPTGSLTPRPPRPVPGMPRARKGNTLRKGGQRRGGGARSSAQADSGSSDDEAASEARSTASECPSLLSTTAEDSLGGDVVDEQGQQEDLEEKLKEYVDCLTDKSAKTRQGALESLRLALASRLLPDFLLERRLTLADALEKCLKKGKGEEQALAAAVLGLLCVQLGPGPKGEELFHSLQPLLVSVLSDSTASPAARLHCASALGLGCYVAAADIQDLVSCLACLESVFSRFYGLGGSSTSPVVPASLHGLLSAALQAWALLLTICPSTQISHILDRQLPRLPQLLSSESVNLRIAAGETIALLFELARDLEEEFVYEDMEALCSVLRTLATDSNKYRAKADRRRQRSTFRAVLHSVEGGECEEEIVRFGFEVLYMDSWARHRIYAAFKEVLGSGMHHHLQNNELLRDIFGLGPVLLLDATALKACKVPRFEKHLYNAAAFKARTKARSRVRDKRADIL
+>DECOY_sp|Q12894|IFRD2_HUMAN Interferon-related developmental regulator 2 OS=Homo sapiens OX=9606 GN=IFRD2 PE=1 SV=3
+LIDARKDRVRSRAKTRAKFAAANYLHKEFRPVKCAKLATADLLLVPGLGFIDRLLENNQLHHHMGSGLVEKFAAYIRHRAWSDMYLVEFGFRVIEEECEGGEVSHLVARFTSRQRRRDAKARYKNSDTALTRLVSCLAEMDEYVFEEELDRALEFLLAITEGAAIRLNVSESSLLQPLRPLQRDLIHSIQTSPCITLLLAWAQLAASLLGHLSAPVVPSTSSGGLGYFRSFVSELCALCSVLDQIDAAAVYCGLGLASACHLRAAPSATSDSLVSVLLPQLSHFLEEGKPGPGLQVCLLGLVAAALAQEEGKGKKLCKELADALTLRRELLFDPLLRSALALRLSELAGQRTKASKDTLCDVYEKLKEELDEQQGQEDVVDGGLSDEATTSLLSPCESATSRAESAAEDDSSGSDAQASSRAGGGRRQGGKRLTNGKRARPMGPVPRPPRPTLSGTPTPELGVLRRGTRQASVQGSSPLWVGRAGGDERPAGGQWVPRRSPSNWAM
+>sp|Q9H7X7|IFT22_HUMAN Intraflagellar transport protein 22 homolog OS=Homo sapiens OX=9606 GN=IFT22 PE=1 SV=1
+MLKAKILFVGPCESGKTVLANFLTESSDITEYSPTQGVRILEFENPHVTSNNKGTGCEFELWDCGGDAKFESCWPALMKDAHGVVIVFNADIPSHRKEMEMWYSCFVQQPSLQDTQCMLIAHHKPGSGDDKGSLSLSPPLNKLKLVHSNLEDDPEEIRMEFIKYLKSIINSMSESRDREEMSIMT
+>DECOY_sp|Q9H7X7|IFT22_HUMAN Intraflagellar transport protein 22 homolog OS=Homo sapiens OX=9606 GN=IFT22 PE=1 SV=1
+TMISMEERDRSESMSNIISKLYKIFEMRIEEPDDELNSHVLKLKNLPPSLSLSGKDDGSGPKHHAILMCQTDQLSPQQVFCSYWMEMEKRHSPIDANFVIVVGHADKMLAPWCSEFKADGGCDWLEFECGTGKNNSTVHPNEFELIRVGQTPSYETIDSSETLFNALVTKGSECPGVFLIKAKLM
+>sp|Q8WYA0|IFT81_HUMAN Intraflagellar transport protein 81 homolog OS=Homo sapiens OX=9606 GN=IFT81 PE=1 SV=1
+MSDQIKFIMDSLNKEPFRKNYNLITFDSLEPMQLLQVLSDVLAEIDPKQLVDIREEMPEQTAKRMLSLLGILKYKPSGNATDMSTFRQGLVIGSKPVIYPVLHWLLQRTNELKKRAYLARFLIKLEVPSEFLQDETVADTNKQYEELMEAFKTLHKEYEQLKISGFSTAEIRKDISAMEEEKDQLIKRVEHLKKRVETAQNHQWMLKIARQLRVEKEREEYLAQQKQEQKNQLFHAVQRLQRVQNQLKSMRQAAADAKPESLMKRLEEEIKFNLYMVTEKFPKELENKKKELHFLQKVVSEPAMGHSDLLELESKINEINTEINQLIEKKMMRNEPIEGKLSLYRQQASIISRKKEAKAEELQEAKEKLASLEREASVKRNQTREFDGTEVLKGDEFKRYVNKLRSKSTVFKKKHQIIAELKAEFGLLQRTEELLKQRHENIQQQLQTMEEKKGISGYSYTQEELERVSALKSEVDEMKGRTLDDMSEMVKKLYSLVSEKKSALASVIKELRQLRQKYQELTQECDEKKSQYDSCAAGLESNRSKLEQEVRRLREECLQEESRYHYTNCMIKNLEVQLRRATDEMKAYISSDQQEKRKAIREQYTKNTAEQENLGKKLREKQKVIRESHGPNMKQAKMWRDLEQLMECKKQCFLKQQSQTSIGQVIQEGGEDRLIL
+>DECOY_sp|Q8WYA0|IFT81_HUMAN Intraflagellar transport protein 81 homolog OS=Homo sapiens OX=9606 GN=IFT81 PE=1 SV=1
+LILRDEGGEQIVQGISTQSQQKLFCQKKCEMLQELDRWMKAQKMNPGHSERIVKQKERLKKGLNEQEATNKTYQERIAKRKEQQDSSIYAKMEDTARRLQVELNKIMCNTYHYRSEEQLCEERLRRVEQELKSRNSELGAACSDYQSKKEDCEQTLEQYKQRLQRLEKIVSALASKKESVLSYLKKVMESMDDLTRGKMEDVESKLASVRELEEQTYSYGSIGKKEEMTQLQQQINEHRQKLLEETRQLLGFEAKLEAIIQHKKKFVTSKSRLKNVYRKFEDGKLVETGDFERTQNRKVSAERELSALKEKAEQLEEAKAEKKRSIISAQQRYLSLKGEIPENRMMKKEILQNIETNIENIKSELELLDSHGMAPESVVKQLFHLEKKKNELEKPFKETVMYLNFKIEEELRKMLSEPKADAAAQRMSKLQNQVRQLRQVAHFLQNKQEQKQQALYEEREKEVRLQRAIKLMWQHNQATEVRKKLHEVRKILQDKEEEMASIDKRIEATSFGSIKLQEYEKHLTKFAEMLEEYQKNTDAVTEDQLFESPVELKILFRALYARKKLENTRQLLWHLVPYIVPKSGIVLGQRFTSMDTANGSPKYKLIGLLSLMRKATQEPMEERIDVLQKPDIEALVDSLVQLLQMPELSDFTILNYNKRFPEKNLSDMIFKIQDSM
+>sp|Q13099|IFT88_HUMAN Intraflagellar transport protein 88 homolog OS=Homo sapiens OX=9606 GN=IFT88 PE=2 SV=2
+MKFTNTKVQMMQNVHLAPETDEDDLYSGYNDYNPIYDIEELENDAAFQQAVRTSHGRRPPITAKISSTAVTRPIATGYGSKTSLASSIGRPMTGAIQDGVTRPMTAVRAAGFTKAALRGSAFDPLSQSRGPASPLEAKKKDSPEEKIKQLEKEVNELVEESCIANSCGDLKLALEKAKDAGRKERVLVRQREQVTTPENINLDLTYSVLFNLASQYSVNEMYAEALNTYQVIVKNKMFSNAGILKMNMGNIYLKQRNYSKAIKFYRMALDQVPSVNKQMRIKIMQNIGVTFIQAGQYSDAINSYEHIMSMAPNLKAGYNLTICYFAIGDREKMKKAFQKLITVPLEIDEDKYISPSDDPHTNLVTEAIKNDHLRQMERERKAMAEKYIMTSAKLIAPVIETSFAAGYDWCVEVVKASQYVELANDLEINKAVTYLRQKDYNQAVEILKVLEKKDSRVKSAAATNLSALYYMGKDFAQASSYADIAVNSDRYNPAALTNKGNTVFANGDYEKAAEFYKEALRNDSSCTEALYNIGLTYEKLNRLDEALDCFLKLHAILRNSAEVLYQIANIYELMENPSQAIEWLMQVVSVIPTDPQVLSKLGELYDREGDKSQAFQYYYESYRYFPCNIEVIEWLGAYYIDTQFWEKAIQYFERASLIQPTQVKWQLMVASCFRRSGNYQKALDTYKDTHRKFPENVECLRFLVRLCTDLGLKDAQEYARKLKRLEKMKEIREQRIKSGRDGSGGSRGKREGSASGDSGQNYSASSKGERLSARLRALPGTNEPYESSSNKEIDASYVDPLGPQIERPKTAAKKRIDEDDFADEELGDDLLPE
+>DECOY_sp|Q13099|IFT88_HUMAN Intraflagellar transport protein 88 homolog OS=Homo sapiens OX=9606 GN=IFT88 PE=2 SV=2
+EPLLDDGLEEDAFDDEDIRKKAATKPREIQPGLPDVYSADIEKNSSSEYPENTGPLARLRASLREGKSSASYNQGSDGSASGERKGRSGGSGDRGSKIRQERIEKMKELRKLKRAYEQADKLGLDTCLRVLFRLCEVNEPFKRHTDKYTDLAKQYNGSRRFCSAVMLQWKVQTPQILSAREFYQIAKEWFQTDIYYAGLWEIVEINCPFYRYSEYYYQFAQSKDGERDYLEGLKSLVQPDTPIVSVVQMLWEIAQSPNEMLEYINAIQYLVEASNRLIAHLKLFCDLAEDLRNLKEYTLGINYLAETCSSDNRLAEKYFEAAKEYDGNAFVTNGKNTLAAPNYRDSNVAIDAYSSAQAFDKGMYYLASLNTAAASKVRSDKKELVKLIEVAQNYDKQRLYTVAKNIELDNALEVYQSAKVVEVCWDYGAAFSTEIVPAILKASTMIYKEAMAKREREMQRLHDNKIAETVLNTHPDDSPSIYKDEDIELPVTILKQFAKKMKERDGIAFYCITLNYGAKLNPAMSMIHEYSNIADSYQGAQIFTVGINQMIKIRMQKNVSPVQDLAMRYFKIAKSYNRQKLYINGMNMKLIGANSFMKNKVIVQYTNLAEAYMENVSYQSALNFLVSYTLDLNINEPTTVQERQRVLVREKRGADKAKELALKLDGCSNAICSEEVLENVEKELQKIKEEPSDKKKAELPSAPGRSQSLPDFASGRLAAKTFGAARVATMPRTVGDQIAGTMPRGISSALSTKSGYGTAIPRTVATSSIKATIPPRRGHSTRVAQQFAADNELEEIDYIPNYDNYGSYLDDEDTEPALHVNQMMQVKTNTFKM
+>sp|Q8IVU1|IGDC3_HUMAN Immunoglobulin superfamily DCC subclass member 3 OS=Homo sapiens OX=9606 GN=IGDCC3 PE=2 SV=2
+MAVQRAASPRRPPAPLWPRLLLPLLLLLLPAPSEGLGHSAELAFAVEPSDDVAVPGQPIVLDCRVEGTPPVRITWRKNGVELPESTHSTLLANGSLMIRHFRLEPGGSPSDEGDYECVAQNRFGLVVSRKARIQAATMSDFHVHPQATVGEEGGVARFQCQIHGLPKPLITWEKNRVPIDTDNERYTLLPKGVLQITGLRAEDGGIFHCVASNIASIRISHGARLTVSGSGSGAYKEPAILVGPENLTLTVHQTAVLECVATGNPRPIVSWSRLDGRPIGVEGIQVLGTGNLIISDVTVQHSGVYVCAANRPGTRVRRTAQGRLVVQAPAEFVQHPQSISRPAGTTAMFTCQAQGEPPPHVTWLKNGQVLGPGGHVRLKNNNSTLTISGIGPEDEAIYQCVAENSAGSSQASARLTVLWAEGLPGPPRNVRAVSVSSTEVRVSWSEPLANTKEIIGYVLHIRKAADPPELEYQEAVSKSTFQHLVSDLEPSTAYSFYIKAYTPRGASSASVPTLASTLGEAPAPPPLSVRVLGSSSLQLLWEPWPRLAQHEGGFKLFYRPASKTSFTGPILLPGTVSSYNLSQLDPTAVYEVKLLAYNQHGDGNATVRFVSLRGASERTALSPPCDCRKEEAANQTSTTGIVIGIHIGVTCIIFCVLFLLFGQRGRVLLCKDVENQLSPPQGPRSQRDPGILALNGARRGQRGQLGRDEKRVDMKELEQLFPPASAAGQPDPRPTQDPAAPAPCEETQLSVLPLQGCGLMEGKTTEAKTTEATAPCAGLAAAPPPPDGGPGLLSEGQASRPAAARVTQPAHSEQ
+>DECOY_sp|Q8IVU1|IGDC3_HUMAN Immunoglobulin superfamily DCC subclass member 3 OS=Homo sapiens OX=9606 GN=IGDCC3 PE=2 SV=2
+QESHAPQTVRAAAPRSAQGESLLGPGGDPPPPAAALGACPATAETTKAETTKGEMLGCGQLPLVSLQTEECPAPAAPDQTPRPDPQGAASAPPFLQELEKMDVRKEDRGLQGRQGRRAGNLALIGPDRQSRPGQPPSLQNEVDKCLLVRGRQGFLLFLVCFIICTVGIHIGIVIGTTSTQNAAEEKRCDCPPSLATRESAGRLSVFRVTANGDGHQNYALLKVEYVATPDLQSLNYSSVTGPLLIPGTFSTKSAPRYFLKFGGEHQALRPWPEWLLQLSSSGLVRVSLPPPAPAEGLTSALTPVSASSAGRPTYAKIYFSYATSPELDSVLHQFTSKSVAEQYELEPPDAAKRIHLVYGIIEKTNALPESWSVRVETSSVSVARVNRPPGPLGEAWLVTLRASAQSSGASNEAVCQYIAEDEPGIGSITLTSNNNKLRVHGGPGLVQGNKLWTVHPPPEGQAQCTFMATTGAPRSISQPHQVFEAPAQVVLRGQATRRVRTGPRNAACVYVGSHQVTVDSIILNGTGLVQIGEVGIPRGDLRSWSVIPRPNGTAVCELVATQHVTLTLNEPGVLIAPEKYAGSGSGSVTLRAGHSIRISAINSAVCHFIGGDEARLGTIQLVGKPLLTYRENDTDIPVRNKEWTILPKPLGHIQCQFRAVGGEEGVTAQPHVHFDSMTAAQIRAKRSVVLGFRNQAVCEYDGEDSPSGGPELRFHRIMLSGNALLTSHTSEPLEVGNKRWTIRVPPTGEVRCDLVIPQGPVAVDDSPEVAFALEASHGLGESPAPLLLLLLPLLLRPWLPAPPRRPSAARQVAM
+>sp|P08069|IGF1R_HUMAN Insulin-like growth factor 1 receptor OS=Homo sapiens OX=9606 GN=IGF1R PE=1 SV=1
+MKSGSGGGSPTSLWGLLFLSAALSLWPTSGEICGPGIDIRNDYQQLKRLENCTVIEGYLHILLISKAEDYRSYRFPKLTVITEYLLLFRVAGLESLGDLFPNLTVIRGWKLFYNYALVIFEMTNLKDIGLYNLRNITRGAIRIEKNADLCYLSTVDWSLILDAVSNNYIVGNKPPKECGDLCPGTMEEKPMCEKTTINNEYNYRCWTTNRCQKMCPSTCGKRACTENNECCHPECLGSCSAPDNDTACVACRHYYYAGVCVPACPPNTYRFEGWRCVDRDFCANILSAESSDSEGFVIHDGECMQECPSGFIRNGSQSMYCIPCEGPCPKVCEEEKKTKTIDSVTSAQMLQGCTIFKGNLLINIRRGNNIASELENFMGLIEVVTGYVKIRHSHALVSLSFLKNLRLILGEEQLEGNYSFYVLDNQNLQQLWDWDHRNLTIKAGKMYFAFNPKLCVSEIYRMEEVTGTKGRQSKGDINTRNNGERASCESDVLHFTSTTTSKNRIIITWHRYRPPDYRDLISFTVYYKEAPFKNVTEYDGQDACGSNSWNMVDVDLPPNKDVEPGILLHGLKPWTQYAVYVKAVTLTMVENDHIRGAKSEILYIRTNASVPSIPLDVLSASNSSSQLIVKWNPPSLPNGNLSYYIVRWQRQPQDGYLYRHNYCSKDKIPIRKYADGTIDIEEVTENPKTEVCGGEKGPCCACPKTEAEKQAEKEEAEYRKVFENFLHNSIFVPRPERKRRDVMQVANTTMSSRSRNTTAADTYNITDPEELETEYPFFESRVDNKERTVISNLRPFTLYRIDIHSCNHEAEKLGCSASNFVFARTMPAEGADDIPGPVTWEPRPENSIFLKWPEPENPNGLILMYEIKYGSQVEDQRECVSRQEYRKYGGAKLNRLNPGNYTARIQATSLSGNGSWTDPVFFYVQAKTGYENFIHLIIALPVAVLLIVGGLVIMLYVFHRKRNNSRLGNGVLYASVNPEYFSAADVYVPDEWEVAREKITMSRELGQGSFGMVYEGVAKGVVKDEPETRVAIKTVNEAASMRERIEFLNEASVMKEFNCHHVVRLLGVVSQGQPTLVIMELMTRGDLKSYLRSLRPEMENNPVLAPPSLSKMIQMAGEIADGMAYLNANKFVHRDLAARNCMVAEDFTVKIGDFGMTRDIYETDYYRKGGKGLLPVRWMSPESLKDGVFTTYSDVWSFGVVLWEIATLAEQPYQGLSNEQVLRFVMEGGLLDKPDNCPDMLFELMRMCWQYNPKMRPSFLEIISSIKEEMEPGFREVSFYYSEENKLPEPEELDLEPENMESVPLDPSASSSSLPLPDRHSGHKAENGPGPGVLVLRASFDERQPYAHMNGGRKNERALPLPQSSTC
+>DECOY_sp|P08069|IGF1R_HUMAN Insulin-like growth factor 1 receptor OS=Homo sapiens OX=9606 GN=IGF1R PE=1 SV=1
+CTSSQPLPLARENKRGGNMHAYPQREDFSARLVLVGPGPGNEAKHGSHRDPLPLSSSSASPDLPVSEMNEPELDLEEPEPLKNEESYYFSVERFGPEMEEKISSIIELFSPRMKPNYQWCMRMLEFLMDPCNDPKDLLGGEMVFRLVQENSLGQYPQEALTAIEWLVVGFSWVDSYTTFVGDKLSEPSMWRVPLLGKGGKRYYDTEYIDRTMGFDGIKVTFDEAVMCNRAALDRHVFKNANLYAMGDAIEGAMQIMKSLSPPALVPNNEMEPRLSRLYSKLDGRTMLEMIVLTPQGQSVVGLLRVVHHCNFEKMVSAENLFEIRERMSAAENVTKIAVRTEPEDKVVGKAVGEYVMGFSGQGLERSMTIKERAVEWEDPVYVDAASFYEPNVSAYLVGNGLRSNNRKRHFVYLMIVLGGVILLVAVPLAIILHIFNEYGTKAQVYFFVPDTWSGNGSLSTAQIRATYNGPNLRNLKAGGYKRYEQRSVCERQDEVQSGYKIEYMLILGNPNEPEPWKLFISNEPRPEWTVPGPIDDAGEAPMTRAFVFNSASCGLKEAEHNCSHIDIRYLTFPRLNSIVTREKNDVRSEFFPYETELEEPDTINYTDAATTNRSRSSMTTNAVQMVDRRKREPRPVFISNHLFNEFVKRYEAEEKEAQKEAETKPCACCPGKEGGCVETKPNETVEEIDITGDAYKRIPIKDKSCYNHRYLYGDQPQRQWRVIYYSLNGNPLSPPNWKVILQSSSNSASLVDLPISPVSANTRIYLIESKAGRIHDNEVMTLTVAKVYVAYQTWPKLGHLLIGPEVDKNPPLDVDVMNWSNSGCADQGDYETVNKFPAEKYYVTFSILDRYDPPRYRHWTIIIRNKSTTTSTFHLVDSECSAREGNNRTNIDGKSQRGKTGTVEEMRYIESVCLKPNFAFYMKGAKITLNRHDWDWLQQLNQNDLVYFSYNGELQEEGLILRLNKLFSLSVLAHSHRIKVYGTVVEILGMFNELESAINNGRRINILLNGKFITCGQLMQASTVSDITKTKKEEECVKPCPGECPICYMSQSGNRIFGSPCEQMCEGDHIVFGESDSSEASLINACFDRDVCRWGEFRYTNPPCAPVCVGAYYYHRCAVCATDNDPASCSGLCEPHCCENNETCARKGCTSPCMKQCRNTTWCRYNYENNITTKECMPKEEMTGPCLDGCEKPPKNGVIYNNSVADLILSWDVTSLYCLDANKEIRIAGRTINRLNYLGIDKLNTMEFIVLAYNYFLKWGRIVTLNPFLDGLSELGAVRFLLLYETIVTLKPFRYSRYDEAKSILLIHLYGEIVTCNELRKLQQYDNRIDIGPGCIEGSTPWLSLAASLFLLGWLSTPSGGGSGSKM
+>sp|Q6UWQ7|IGFL2_HUMAN Insulin growth factor-like family member 2 OS=Homo sapiens OX=9606 GN=IGFL2 PE=2 SV=1
+MVPRIFAPAYVSVCLLLLCPREVIAPAGSEPWLCQPAPRCGDKIYNPLEQCCYNDAIVSLSETRQCGPPCTFWPCFELCCLDSFGLTNDFVVKLKVQGVNSQCHSSPISSKCESRRRFP
+>DECOY_sp|Q6UWQ7|IGFL2_HUMAN Insulin growth factor-like family member 2 OS=Homo sapiens OX=9606 GN=IGFL2 PE=2 SV=1
+PFRRRSECKSSIPSSHCQSNVGQVKLKVVFDNTLGFSDLCCLEFCPWFTCPPGCQRTESLSVIADNYCCQELPNYIKDGCRPAPQCLWPESGAPAIVERPCLLLLCVSVYAPAFIRPVM
+>sp|P0CG04|IGLC1_HUMAN Immunoglobulin lambda constant 1 OS=Homo sapiens OX=9606 GN=IGLC1 PE=1 SV=1
+GQPKANPTVTLFPPSSEELQANKATLVCLISDFYPGAVTVAWKADGSPVKAGVETTKPSKQSNNKYAASSYLSLTPEQWKSHRSYSCQVTHEGSTVEKTVAPTECS
+>DECOY_sp|P0CG04|IGLC1_HUMAN Immunoglobulin lambda constant 1 OS=Homo sapiens OX=9606 GN=IGLC1 PE=1 SV=1
+SCETPAVTKEVTSGEHTVQCSYSRHSKWQEPTLSLYSSAAYKNNSQKSPKTTEVGAKVPSGDAKWAVTVAGPYFDSILCVLTAKNAQLEESSPPFLTVTPNAKPQG
+>sp|A0M8Q6|IGLC7_HUMAN Immunoglobulin lambda constant 7 OS=Homo sapiens OX=9606 GN=IGLC7 PE=1 SV=3
+GQPKAAPSVTLFPPSSEELQANKATLVCLVSDFNPGAVTVAWKADGSPVKVGVETTKPSKQSNNKYAASSYLSLTPEQWKSHRSYSCRVTHEGSTVEKTVAPAECS
+>DECOY_sp|A0M8Q6|IGLC7_HUMAN Immunoglobulin lambda constant 7 OS=Homo sapiens OX=9606 GN=IGLC7 PE=1 SV=3
+SCEAPAVTKEVTSGEHTVRCSYSRHSKWQEPTLSLYSSAAYKNNSQKSPKTTEVGVKVPSGDAKWAVTVAGPNFDSVLCVLTAKNAQLEESSPPFLTVSPAAKPQG
+>sp|Q14623|IHH_HUMAN Indian hedgehog protein OS=Homo sapiens OX=9606 GN=IHH PE=1 SV=4
+MSPARLRPRLHFCLVLLLLLVVPAAWGCGPGRVVGSRRRPPRKLVPLAYKQFSPNVPEKTLGASGRYEGKIARSSERFKELTPNYNPDIIFKDEENTGADRLMTQRCKDRLNSLAISVMNQWPGVKLRVTEGWDEDGHHSEESLHYEGRAVDITTSDRDRNKYGLLARLAVEAGFDWVYYESKAHVHCSVKSEHSAAAKTGGCFPAGAQVRLESGARVALSAVRPGDRVLAMGEDGSPTFSDVLIFLDREPHRLRAFQVIETQDPPRRLALTPAHLLFTADNHTEPAARFRATFASHVQPGQYVLVAGVPGLQPARVAAVSTHVALGAYAPLTKHGTLVVEDVVASCFAAVADHHLAQLAFWPLRLFHSLAWGSWTPGEGVHWYPQLLYRLGRLLLEEGSFHPLGMSGAGS
+>DECOY_sp|Q14623|IHH_HUMAN Indian hedgehog protein OS=Homo sapiens OX=9606 GN=IHH PE=1 SV=4
+SGAGSMGLPHFSGEELLLRGLRYLLQPYWHVGEGPTWSGWALSHFLRLPWFALQALHHDAVAAFCSAVVDEVVLTGHKTLPAYAGLAVHTSVAAVRAPQLGPVGAVLVYQGPQVHSAFTARFRAAPETHNDATFLLHAPTLALRRPPDQTEIVQFARLRHPERDLFILVDSFTPSGDEGMALVRDGPRVASLAVRAGSELRVQAGAPFCGGTKAAASHESKVSCHVHAKSEYYVWDFGAEVALRALLGYKNRDRDSTTIDVARGEYHLSEESHHGDEDWGETVRLKVGPWQNMVSIALSNLRDKCRQTMLRDAGTNEEDKFIIDPNYNPTLEKFRESSRAIKGEYRGSAGLTKEPVNPSFQKYALPVLKRPPRRRSGVVRGPGCGWAAPVVLLLLLVLCFHLRPRLRAPSM
+>sp|Q8IYA8|IHO1_HUMAN Interactor of HORMAD1 protein 1 OS=Homo sapiens OX=9606 GN=CCDC36 PE=1 SV=2
+MNFNVWNIKEMLSIPSGSGNKKSSNWNNNQNDYSSLSDSQFLFGSQFCPENSETLSAPLDFGAHLRHSKQSQQNYLEGEPSIFTKYQTKPQLFGGDIKDGGLFPPPLSVGKSKGLLEQFEEKKKRAKDKCDSETLYNFVSNVRESILRLQTSVEKSEDHLSSRSQSILDSLETVAKTLQETIQAQNDLVFEAVQDKGNMEQAILEMKKRFEARQGEFIEMKSNLKHLEVLVAQQSQEFQQLCEQLGQLNVPSVLAELKRLISVPPVKDSASQTSPPLAQSLNLTRQEKYTSEKPVLWQAQALPAAWNPGMGSLQPGEFDVWGEGAKNDDLQEEAALPAFGSHERNRHVKDKVVQTNCKNWAVTKTGAKNHGSSVPGHKIPSDRDLVSQGASQLTSLEINFSTSIKNACQKYQAQSMFLCDPREHLVIKQKDGTVEMRGKDKKQQPRKAHRAHRGRLIASKQKQIPIQTCKFNSKYQSPQPAISVPQSPFLGQQEPRAQPLHLQCPRSPRKPVCPILGGTVMPNKTVRAVQGRLLQLSRCSSQDNWLLSSSSQGDHQMSWFSDLNLGCSETPLCKEAGKNLLYDLGFDSSDDDGF
+>DECOY_sp|Q8IYA8|IHO1_HUMAN Interactor of HORMAD1 protein 1 OS=Homo sapiens OX=9606 GN=CCDC36 PE=1 SV=2
+FGDDDSSDFGLDYLLNKGAEKCLPTESCGLNLDSFWSMQHDGQSSSSLLWNDQSSCRSLQLLRGQVARVTKNPMVTGGLIPCVPKRPSRPCQLHLPQARPEQQGLFPSQPVSIAPQPSQYKSNFKCTQIPIQKQKSAILRGRHARHAKRPQQKKDKGRMEVTGDKQKIVLHERPDCLFMSQAQYKQCANKISTSFNIELSTLQSAGQSVLDRDSPIKHGPVSSGHNKAGTKTVAWNKCNTQVVKDKVHRNREHSGFAPLAAEEQLDDNKAGEGWVDFEGPQLSGMGPNWAAPLAQAQWLVPKESTYKEQRTLNLSQALPPSTQSASDKVPPVSILRKLEALVSPVNLQGLQECLQQFEQSQQAVLVELHKLNSKMEIFEGQRAEFRKKMELIAQEMNGKDQVAEFVLDNQAQITEQLTKAVTELSDLISQSRSSLHDESKEVSTQLRLISERVNSVFNYLTESDCKDKARKKKEEFQELLGKSKGVSLPPPFLGGDKIDGGFLQPKTQYKTFISPEGELYNQQSQKSHRLHAGFDLPASLTESNEPCFQSGFLFQSDSLSSYDNQNNNWNSSKKNGSGSPISLMEKINWVNFNM
+>sp|Q6NXR0|IIGP5_HUMAN Interferon-inducible GTPase 5 OS=Homo sapiens OX=9606 GN=IRGC PE=2 SV=1
+MATSKLPVVPGEEENTILMAKERLEALRTAFESGDLPQAASHLQELLASTESIRLEVGVTGESGAGKSSLINALRGLEAEDPGAALTGVMETTMQPSPYPHPQFPDVTLWDLPGAGSPGCPADKYLKQVDFSRYDFFLLVSPRRCGAVETRLAAEILCQGKKFYFVRTKVDEDLAATRTQRPSGFREAAVLQEIRDHCAERLREAGVADPRIFLVSNLSPARYDFPTLVSTWEHDLPSHRRHAGLLSLPDISLEALQKKKAMLQEQVLKTALVLGVIQALPVPGLAAAYDDALLIHSLRGYHRSFGLDDDSLAKLAEQVGKQAGDLRSVIRSPLANEVSPETVLRLYSQSSDGAMRVARAFERGIPVFGTLVAGGISFGAVYTMLQGCLNEMAEDAQRVRIKALEDDEPQPEVSLEVASDNGVEKGGSGEGGGEEAPLSTCRKLGLLLKYILDSWKKHDSEEK
+>DECOY_sp|Q6NXR0|IIGP5_HUMAN Interferon-inducible GTPase 5 OS=Homo sapiens OX=9606 GN=IRGC PE=2 SV=1
+KEESDHKKWSDLIYKLLLGLKRCTSLPAEEGGGEGSGGKEVGNDSAVELSVEPQPEDDELAKIRVRQADEAMENLCGQLMTYVAGFSIGGAVLTGFVPIGREFARAVRMAGDSSQSYLRLVTEPSVENALPSRIVSRLDGAQKGVQEALKALSDDDLGFSRHYGRLSHILLADDYAAALGPVPLAQIVGLVLATKLVQEQLMAKKKQLAELSIDPLSLLGAHRRHSPLDHEWTSVLTPFDYRAPSLNSVLFIRPDAVGAERLREACHDRIEQLVAAERFGSPRQTRTAALDEDVKTRVFYFKKGQCLIEAALRTEVAGCRRPSVLLFFDYRSFDVQKLYKDAPCGPSGAGPLDWLTVDPFQPHPYPSPQMTTEMVGTLAAGPDEAELGRLANILSSKGAGSEGTVGVELRISETSALLEQLHSAAQPLDGSEFATRLAELREKAMLITNEEEGPVVPLKSTAM
+>sp|Q9UBC1|IKBL1_HUMAN NF-kappa-B inhibitor-like protein 1 OS=Homo sapiens OX=9606 GN=NFKBIL1 PE=1 SV=1
+MSNPSPQVPEEEASTSVCRPKSSMASTSRRQRRERRFRRYLSAGRLVRAQALLQRHPGLDVDAGQPPPLHRACARHDAPALCLLLRLGADPAHQDRHGDTALHAAARQGPDAYTDFFLPLLSRCPSAMGIKNKDGETPGQILGWGPPWDSAEEEEEDDASKEREWRQKLQGELEDEWQEVMGRFEGDASHETQEPESFSAWSDRLAREHAQKCQQQQREAEGSCRPPRAEGSSQSWRQQEEEQRLFRERARAKEEELRESRARRAQEALGDREPKPTRAGPREEHPRGAGRGSLWRFGDVPWPCPGGGDPEAMAAALVARGPPLEEQGALRRYLRVQQVRWHPDRFLQRFRSQIETWELGRVMGAVTALSQALNRHAEALK
+>DECOY_sp|Q9UBC1|IKBL1_HUMAN NF-kappa-B inhibitor-like protein 1 OS=Homo sapiens OX=9606 GN=NFKBIL1 PE=1 SV=1
+KLAEAHRNLAQSLATVAGMVRGLEWTEIQSRFRQLFRDPHWRVQQVRLYRRLAGQEELPPGRAVLAAAMAEPDGGGPCPWPVDGFRWLSGRGAGRPHEERPGARTPKPERDGLAEQARRARSERLEEEKARARERFLRQEEEQQRWSQSSGEARPPRCSGEAERQQQQCKQAHERALRDSWASFSEPEQTEHSADGEFRGMVEQWEDELEGQLKQRWEREKSADDEEEEEASDWPPGWGLIQGPTEGDKNKIGMASPCRSLLPLFFDTYADPGQRAAAHLATDGHRDQHAPDAGLRLLLCLAPADHRACARHLPPPQGADVDLGPHRQLLAQARVLRGASLYRRFRRERRQRRSTSAMSSKPRCVSTSAEEEPVQPSPNSM
+>sp|Q5VVH5|IKBP1_HUMAN Interleukin-1 receptor-associated kinase 1-binding protein 1 OS=Homo sapiens OX=9606 GN=IRAK1BP1 PE=1 SV=1
+MSLQKTPPTRVFVELVPWADRSRENNLASGRETLPGLRHPLSSTQAQTATREVQVSGTSEVSAGPDRAQVVVRVSSTKEAAAEAKKSVCRRLDYITQSLQQQGVQAENITVTKDFRRVENAYHMEAEVCITFTEFGKMQNICNFLVEKLDSSVVISPPQFYHTPGSVENLRRQACLVAVENAWRKAQEVCNLVGQTLGKPLLIKEEETKEWEGQIDDHQSSRLSSSLTVQQKIKSATIHAASKVFITFEVKGKEKRKKHL
+>DECOY_sp|Q5VVH5|IKBP1_HUMAN Interleukin-1 receptor-associated kinase 1-binding protein 1 OS=Homo sapiens OX=9606 GN=IRAK1BP1 PE=1 SV=1
+LHKKRKEKGKVEFTIFVKSAAHITASKIKQQVTLSSSLRSSQHDDIQGEWEKTEEEKILLPKGLTQGVLNCVEQAKRWANEVAVLCAQRRLNEVSGPTHYFQPPSIVVSSDLKEVLFNCINQMKGFETFTICVEAEMHYANEVRRFDKTVTINEAQVGQQQLSQTIYDLRRCVSKKAEAAAEKTSSVRVVVQARDPGASVESTGSVQVERTATQAQTSSLPHRLGPLTERGSALNNERSRDAWPVLEVFVRTPPTKQLSM
+>sp|Q70UQ0|IKIP_HUMAN Inhibitor of nuclear factor kappa-B kinase-interacting protein OS=Homo sapiens OX=9606 GN=IKBIP PE=1 SV=1
+MSEVKSRKKSGPKGAPAAEPGKRSEGGKTPVARSSGGGGWADPRTCLSLLSLGTCLGLAWFVFQQSEKFAKVENQYQLLKLETNEFQQLQSKISLISEKWQKSEAIMEQLKSFQIIAHLKRLQEEINEVKTWSNRITEKQDILNNSLTTLSQDITKVDQSTTSMAKDVGLKITSVKTDIRRISGLVTDVISLTDSVQELENKIEKVEKNTVKNIGDLLSSSIDRTATLRKTASENSQRINSVKKTLTELKSDFDKHTDRFLSLEGDRAKVLKTVTFANDLKPKVYNLKKDFSRLEPLVNDLTLRIGRLVTDLLQREKEIAFLSEKISNLTIVQAEIKDIKDEIAHISDMN
+>DECOY_sp|Q70UQ0|IKIP_HUMAN Inhibitor of nuclear factor kappa-B kinase-interacting protein OS=Homo sapiens OX=9606 GN=IKBIP PE=1 SV=1
+NMDSIHAIEDKIDKIEAQVITLNSIKESLFAIEKERQLLDTVLRGIRLTLDNVLPELRSFDKKLNYVKPKLDNAFTVTKLVKARDGELSLFRDTHKDFDSKLETLTKKVSNIRQSNESATKRLTATRDISSSLLDGINKVTNKEVKEIKNELEQVSDTLSIVDTVLGSIRRIDTKVSTIKLGVDKAMSTTSQDVKTIDQSLTTLSNNLIDQKETIRNSWTKVENIEEQLRKLHAIIQFSKLQEMIAESKQWKESILSIKSQLQQFENTELKLLQYQNEVKAFKESQQFVFWALGLCTGLSLLSLCTRPDAWGGGGSSRAVPTKGGESRKGPEAAPAGKPGSKKRSKVESM
+>sp|P37235|HPCL1_HUMAN Hippocalcin-like protein 1 OS=Homo sapiens OX=9606 GN=HPCAL1 PE=1 SV=3
+MGKQNSKLRPEVLQDLRENTEFTDHELQEWYKGFLKDCPTGHLTVDEFKKIYANFFPYGDASKFAEHVFRTFDTNGDGTIDFREFIIALSVTSRGKLEQKLKWAFSMYDLDGNGYISRSEMLEIVQAIYKMVSSVMKMPEDESTPEKRTDKIFRQMDTNNDGKLSLEEFIRGAKSDPSIVRLLQCDPSSASQF
+>DECOY_sp|P37235|HPCL1_HUMAN Hippocalcin-like protein 1 OS=Homo sapiens OX=9606 GN=HPCAL1 PE=1 SV=3
+FQSASSPDCQLLRVISPDSKAGRIFEELSLKGDNNTDMQRFIKDTRKEPTSEDEPMKMVSSVMKYIAQVIELMESRSIYGNGDLDYMSFAWKLKQELKGRSTVSLAIIFERFDITGDGNTDFTRFVHEAFKSADGYPFFNAYIKKFEDVTLHGTPCDKLFGKYWEQLEHDTFETNERLDQLVEPRLKSNQKGM
+>sp|Q96IR7|HPDL_HUMAN 4-hydroxyphenylpyruvate dioxygenase-like protein OS=Homo sapiens OX=9606 GN=HPDL PE=1 SV=1
+MAAPALRLCHIAFHVPAGQPLARNLQRLFGFQPLASREVDGWRQLALRSGDAVFLVNEGAGSGEPLYGLDPRHAVPSATNLCFDVADAGAATRELAALGCSVPVPPVRVRDAQGAATYAVVSSPAGILSLTLLERAGYRGPFLPGFRPVSSAPGPGWVSRVDHLTLACTPGSSPTLLRWFHDCLGFCHLPLSPGEDPELGLEMTAGFGLGGLRLTALQAQPGSIVPTLVLAESLPGATTRQDQVEQFLARHKGPGLQHVGLYTPNIVEATEGVATAGGQFLAPPGAYYQQPGKERQIRAAGHEPHLLARQGILLDGDKGKFLLQVFTKSLFTEDTFFLELIQRQGATGFGQGNIRALWQSVQEQSARSQEA
+>DECOY_sp|Q96IR7|HPDL_HUMAN 4-hydroxyphenylpyruvate dioxygenase-like protein OS=Homo sapiens OX=9606 GN=HPDL PE=1 SV=1
+AEQSRASQEQVSQWLARINGQGFGTAGQRQILELFFTDETFLSKTFVQLLFKGKDGDLLIGQRALLHPEHGAARIQREKGPQQYYAGPPALFQGGATAVGETAEVINPTYLGVHQLGPGKHRALFQEVQDQRTTAGPLSEALVLTPVISGPQAQLATLRLGGLGFGATMELGLEPDEGPSLPLHCFGLCDHFWRLLTPSSGPTCALTLHDVRSVWGPGPASSVPRFGPLFPGRYGARELLTLSLIGAPSSVVAYTAAGQADRVRVPPVPVSCGLAALERTAAGADAVDFCLNTASPVAHRPDLGYLPEGSGAGENVLFVADGSRLALQRWGDVERSALPQFGFLRQLNRALPQGAPVHFAIHCLRLAPAAM
+>sp|Q9NWY4|HPF1_HUMAN Histone PARylation factor 1 OS=Homo sapiens OX=9606 GN=HPF1 PE=1 SV=2
+MVGGGGKRRPGGEGPQCEKTTDVKKSKFCEADVSSDLRKEVENHYKLSLPEDFYHFWKFCEELDPEKPSDSLSASLGLQLVGPYDILAGKHKTKKKSTGLNFNLHWRFYYDPPEFQTIIIGDNKTQYHMGYFRDSPDEFPVYVGINEAKKNCIIVPNGDNVFAAVKLFLTKKLREITDKKKINLLKNIDEKLTEAARELGYSLEQRTVKMKQRDKKVVTKTFHGAGLVVPVDKNDVGYRELPETDADLKRICKTIVEAASDEERLKAFAPIQEMMTFVQFANDECDYGMGLELGMDLFCYGSHYFHKVAGQLLPLAYNLLKRNLFAEIIEEHLANRSQENIDQLAA
+>DECOY_sp|Q9NWY4|HPF1_HUMAN Histone PARylation factor 1 OS=Homo sapiens OX=9606 GN=HPF1 PE=1 SV=2
+AALQDINEQSRNALHEEIIEAFLNRKLLNYALPLLQGAVKHFYHSGYCFLDMGLELGMGYDCEDNAFQVFTMMEQIPAFAKLREEDSAAEVITKCIRKLDADTEPLERYGVDNKDVPVVLGAGHFTKTVVKKDRQKMKVTRQELSYGLERAAETLKEDINKLLNIKKKDTIERLKKTLFLKVAAFVNDGNPVIICNKKAENIGVYVPFEDPSDRFYGMHYQTKNDGIIITQFEPPDYYFRWHLNFNLGTSKKKTKHKGALIDYPGVLQLGLSASLSDSPKEPDLEECFKWFHYFDEPLSLKYHNEVEKRLDSSVDAECFKSKKVDTTKECQPGEGGPRRKGGGGVM
+>sp|O60760|HPGDS_HUMAN Hematopoietic prostaglandin D synthase OS=Homo sapiens OX=9606 GN=HPGDS PE=1 SV=3
+MPNYKLTYFNMRGRAEIIRYIFAYLDIQYEDHRIEQADWPEIKSTLPFGKIPILEVDGLTLHQSLAIARYLTKNTDLAGNTEMEQCHVDAIVDTLDDFMSCFPWAEKKQDVKEQMFNELLTYNAPHLMQDLDTYLGGREWLIGNSVTWADFYWEICSTTLLVFKPDLLDNHPRLVTLRKKVQAIPAVANWIKRRPQTKL
+>DECOY_sp|O60760|HPGDS_HUMAN Hematopoietic prostaglandin D synthase OS=Homo sapiens OX=9606 GN=HPGDS PE=1 SV=3
+LKTQPRRKIWNAVAPIAQVKKRLTVLRPHNDLLDPKFVLLTTSCIEWYFDAWTVSNGILWERGGLYTDLDQMLHPANYTLLENFMQEKVDQKKEAWPFCSMFDDLTDVIADVHCQEMETNGALDTNKTLYRAIALSQHLTLGDVELIPIKGFPLTSKIEPWDAQEIRHDEYQIDLYAFIYRIIEARGRMNFYTLKYNPM
+>sp|Q969F9|HPS3_HUMAN Hermansky-Pudlak syndrome 3 protein OS=Homo sapiens OX=9606 GN=HPS3 PE=1 SV=1
+MVQLYNLHPFGSQQVVPCKLEPDRFCGGGRDALFVAAGCKVEAFAVAGQELCQPRCAFSTLGRVLRLAYSEAGDYLVAIEEKNKATFLRAYVNWRNKRTENSRVCIRMIGHNVEGPFSKAFRDQMYIIEMPLSEAPLCISCCPVKGDLLVGCTNKLVLFSLKYQIINEEFSLLDFERSLIIHIDNITPVEVSFCVGYVAVMSDLEVLIVKLESGPKNGERVHHHPHKTNNRIRRTEEGISNEISQLESDDFVICQKPLELLGEKSEQSGLSVTLESTGLADEKRKYSHFQHLLYRRFAPDISSYVLSDDIKLHSLQLLPIYQTGSLTSDGKNLSQEKELLSLFCFFSLPHVGYLYMVVKSVELMSVYQYPEKSQQAVLTPQFLHVITSNNLQCFTVRCSAAAAREEDPYMDTTLKACPPVSMDVCALRIQLFIGLKAICHFKNHIILLTKAEPEAIPERRQSPKRLLSRKDTSVKIKIPPVAEAGWNLYIVNTISPVQLYKEMVDYSNTYKTVKTQSCIHLLSEAHLLVRAALMDASQLEPGEKAELLEAFKESCGHLGDCYSRLDSQHSHLTLPYYKMSGLSMAEVLARTDWTVEDGLQKYERGLIFYINHSLYENLDEELNEELAAKVVQMFYVAEPKQVPHILCSPSMKNINPLTAMSYLRKLDTSGFSSILVTLTKAAVALKMGDLDMHRNEMKSHSEMKLVCGFILEPRLLIQQRKGQIVPTELALHLKETQPGLLVASVLGLQKNNKIGIEEADSFFKVLCAKDEDTIPQLLVDFWEAQLVACLPDVVLQELFFKLTSQYIWRLSKRQPPDTTPLRTSEDLINACSHYGLIYPWVHVVISSDSLADKNYTEDLSKLQSLICGPSFDIASIIPFLEPLSEDTIAGLSVHVLCRTRLKEYEQCIDILLERCPEAVIPYANHELKEENRTLWWKKLLPELCQRIKCGGEKYQLYLSSLKETLSIVAVELELKDFMNVLPEDGTATFFLPYLLYCSRKKPLT
+>DECOY_sp|Q969F9|HPS3_HUMAN Hermansky-Pudlak syndrome 3 protein OS=Homo sapiens OX=9606 GN=HPS3 PE=1 SV=1
+TLPKKRSCYLLYPLFFTATGDEPLVNMFDKLELEVAVISLTEKLSSLYLQYKEGGCKIRQCLEPLLKKWWLTRNEEKLEHNAYPIVAEPCRELLIDICQEYEKLRTRCLVHVSLGAITDESLPELFPIISAIDFSPGCILSQLKSLDETYNKDALSDSSIVVHVWPYILGYHSCANILDESTRLPTTDPPQRKSLRWIYQSTLKFFLEQLVVDPLCAVLQAEWFDVLLQPITDEDKACLVKFFSDAEEIGIKNNKQLGLVSAVLLGPQTEKLHLALETPVIQGKRQQILLRPELIFGCVLKMESHSKMENRHMDLDGMKLAVAAKTLTVLISSFGSTDLKRLYSMATLPNINKMSPSCLIHPVQKPEAVYFMQVVKAALEENLEEDLNEYLSHNIYFILGREYKQLGDEVTWDTRALVEAMSLGSMKYYPLTLHSHQSDLRSYCDGLHGCSEKFAELLEAKEGPELQSADMLAARVLLHAESLLHICSQTKVTKYTNSYDVMEKYLQVPSITNVIYLNWGAEAVPPIKIKVSTDKRSLLRKPSQRREPIAEPEAKTLLIIHNKFHCIAKLGIFLQIRLACVDMSVPPCAKLTTDMYPDEERAAAASCRVTFCQLNNSTIVHLFQPTLVAQQSKEPYQYVSMLEVSKVVMYLYGVHPLSFFCFLSLLEKEQSLNKGDSTLSGTQYIPLLQLSHLKIDDSLVYSSIDPAFRRYLLHQFHSYKRKEDALGTSELTVSLGSQESKEGLLELPKQCIVFDDSELQSIENSIGEETRRIRNNTKHPHHHVREGNKPGSELKVILVELDSMVAVYGVCFSVEVPTINDIHIILSREFDLLSFEENIIQYKLSFLVLKNTCGVLLDGKVPCCSICLPAESLPMEIIYMQDRFAKSFPGEVNHGIMRICVRSNETRKNRWNVYARLFTAKNKEEIAVLYDGAESYALRLVRGLTSFACRPQCLEQGAVAFAEVKCGAAVFLADRGGGCFRDPELKCPVVQQSGFPHLNYLQVM
+>sp|Q9NQG7|HPS4_HUMAN Hermansky-Pudlak syndrome 4 protein OS=Homo sapiens OX=9606 GN=HPS4 PE=1 SV=2
+MATSTSTEAKSASWWNYFFLYDGSKVKEEGDPTRAGICYFYPSQTLLDQQELLCGQIAGVVRCVSDISDSPPTLVRLRKLKFAIKVDGDYLWVLGCAVELPDVSCKRFLDQLVGFFNFYNGPVSLAYENCSQEELSTEWDTFIEQILKNTSDLHKIFNSLWNLDQTKVEPLLLLKAARILQTCQRSPHILAGCILYKGLIVSTQLPPSLTAKVLLHRTAPQEQRLPTGEDAPQEHGAALPPNVQIIPVFVTKEEAISLHEFPVEQMTRSLASPAGLQDGSAQHHPKGGSTSALKENATGHVESMAWTTPDPTSPDEACPDGRKENGCLSGHDLESIRPAGLHNSARGEVLGLSSSLGKELVFLQEELDLSEIHIPEAQEVEMASGHFAFLHVPVPDGRAPYCKASLSASSSLEPTPPEDTAISSLRPPSAPEMLTQHGAQEQLEDHPGHSSQAPIPRADPLPRRTRRPLLLPRLDPGQRGNKLPTGEQGLDEDVDGVCESHAAPGLECSSGSANCQGAGPSADGISSRLTPAESCMGLVRMNLYTHCVKGLVLSLLAEEPLLGDSAAIEEVYHSSLASLNGLEVHLKETLPRDEAASTSSTYNFTHYDRIQSLLMANLPQVATPQDRRFLQAVSLMHSEFAQLPALYEMTVRNASTAVYACCNPIQETYFQQLAPAARSSGFPNPQDGAFSLSGKAKQKLLKHGVNLL
+>DECOY_sp|Q9NQG7|HPS4_HUMAN Hermansky-Pudlak syndrome 4 protein OS=Homo sapiens OX=9606 GN=HPS4 PE=1 SV=2
+LLNVGHKLLKQKAKGSLSFAGDQPNPFGSSRAAPALQQFYTEQIPNCCAYVATSANRVTMEYLAPLQAFESHMLSVAQLFRRDQPTAVQPLNAMLLSQIRDYHTFNYTSSTSAAEDRPLTEKLHVELGNLSALSSHYVEEIAASDGLLPEEALLSLVLGKVCHTYLNMRVLGMCSEAPTLRSSIGDASPGAGQCNASGSSCELGPAAHSECVGDVDEDLGQEGTPLKNGRQGPDLRPLLLPRRTRRPLPDARPIPAQSSHGPHDELQEQAGHQTLMEPASPPRLSSIATDEPPTPELSSSASLSAKCYPARGDPVPVHLFAFHGSAMEVEQAEPIHIESLDLEEQLFVLEKGLSSSLGLVEGRASNHLGAPRISELDHGSLCGNEKRGDPCAEDPSTPDPTTWAMSEVHGTANEKLASTSGGKPHHQASGDQLGAPSALSRTMQEVPFEHLSIAEEKTVFVPIIQVNPPLAAGHEQPADEGTPLRQEQPATRHLLVKATLSPPLQTSVILGKYLICGALIHPSRQCTQLIRAAKLLLLPEVKTQDLNWLSNFIKHLDSTNKLIQEIFTDWETSLEEQSCNEYALSVPGNYFNFFGVLQDLFRKCSVDPLEVACGLVWLYDGDVKIAFKLKRLRVLTPPSDSIDSVCRVVGAIQGCLLEQQDLLTQSPYFYCIGARTPDGEEKVKSGDYLFFYNWWSASKAETSTSTAM
+>sp|Q86YV9|HPS6_HUMAN Hermansky-Pudlak syndrome 6 protein OS=Homo sapiens OX=9606 GN=HPS6 PE=1 SV=1
+MKRSGTLRLLSDLSAFGGAARLRELVAGDSAVRVRGSPDGRHLLLLRPPGAVAPQLLVASRGPGAELERAWPAGQPSPLDAFFLPWPARPALVLVWESGLAEVWGAGVGPGWRPLQSTELCPGGGARVVAVAALRGRLVWCEERQARAEGPSGSPAAAFSHCVCVRTLEPSGEASTSLGRTHVLLHHCPAFGLLASCRQLFLVPTATTWPGVAHVLLIWSPGKGKVMVAAPRLGLSYSKSLNPGRGDTWDFRTLLRGLPGLLSPREPLAVHTWAPTPQGLLLLDFGGTVSLLQSHGGTRAVGTLQEAPVGPWGSAALGTFQGTLACVLGSTLELLDMGSGQLLERKVLSTDRVHLLEPPAPGMEDEEELETRGNLRLLSALGLFCVGWEAPQGVELPSAKDLVFEEACGYYQRRSLRGAQLTPEELRHSSTFRAPQALASILQGHLPPSALLTMLRTELRDYRGLEQLKAQLVAGDDEEAGWTELAEQEVARLLRTELIGDQLAQLNTVFQALPTAAWGATLRALQLQLDGNGKLRSQAPPDVWKKVLGGITAGKEPPNGILPPFELLCQCLCQLEPRWLPPFVELAQQQGGPGWGAGGPGLPLYRRALAVLGEEGTRPEALELELLLSSGRPKAVLQAVGQLVQKEQWDRALDAGLALGPSSPLLRSEIFKLLLAEFAQHRRLDAHLPLLCRLCPPELAPAELLLLLRTYLPDEVGPPTPFPEPGAEPPLTVGLLKALLEQTGAQGWLSGPVLSPYEDILWDPSTPPPTPPRDL
+>DECOY_sp|Q86YV9|HPS6_HUMAN Hermansky-Pudlak syndrome 6 protein OS=Homo sapiens OX=9606 GN=HPS6 PE=1 SV=1
+LDRPPTPPPTSPDWLIDEYPSLVPGSLWGQAGTQELLAKLLGVTLPPEAGPEPFPTPPGVEDPLYTRLLLLLEAPALEPPCLRCLLPLHADLRRHQAFEALLLKFIESRLLPSSPGLALGADLARDWQEKQVLQGVAQLVAKPRGSSLLLELELAEPRTGEEGLVALARRYLPLGPGGAGWGPGGQQQALEVFPPLWRPELQCLCQCLLEFPPLIGNPPEKGATIGGLVKKWVDPPAQSRLKGNGDLQLQLARLTAGWAATPLAQFVTNLQALQDGILETRLLRAVEQEALETWGAEEDDGAVLQAKLQELGRYDRLETRLMTLLASPPLHGQLISALAQPARFTSSHRLEEPTLQAGRLSRRQYYGCAEEFVLDKASPLEVGQPAEWGVCFLGLASLLRLNGRTELEEEDEMGPAPPELLHVRDTSLVKRELLQGSGMDLLELTSGLVCALTGQFTGLAASGWPGVPAEQLTGVARTGGHSQLLSVTGGFDLLLLGQPTPAWTHVALPERPSLLGPLGRLLTRFDWTDGRGPNLSKSYSLGLRPAAVMVKGKGPSWILLVHAVGPWTTATPVLFLQRCSALLGFAPCHHLLVHTRGLSTSAEGSPELTRVCVCHSFAAAPSGSPGEARAQREECWVLRGRLAAVAVVRAGGGPCLETSQLPRWGPGVGAGWVEALGSEWVLVLAPRAPWPLFFADLPSPQGAPWARELEAGPGRSAVLLQPAVAGPPRLLLLHRGDPSGRVRVASDGAVLERLRAAGGFASLDSLLRLTGSRKM
+>sp|Q9BVR0|HRC23_HUMAN Putative HERC2-like protein 3 OS=Homo sapiens OX=9606 GN=HERC2P3 PE=5 SV=2
+MHAFCVGQYLEPDQEGVTIPDLGSLSSPLIDTERNLGLLLGLHASYLAMSTPLSPVEIECAKWLQSSIFSGGLQTSQIHYSYNEEKDEDHCSSPGGTPASKSRLCSHRRALGDHSQAFLQAIADNNIQDHNVKDFLCQIERYCRQCHLTTPIMFPPEHPVEEVGRLLLCCLLKHEDLGHVALSLVHAGALGIEQVKHRTLPKSVVDVCRVVYQAKCSLIKTHQEQGRSYKEVCAPVIERLRFLFNELRPAVCNDLSIMSKFKLLSSLPHWRRIAQKIIREPRKKRVPKKPESTDDEEKIGNEESDLEEACILPHSPINVDKRPIAIKSPKDKWQPLLSTVTGVHKYKWLKQNVQGLYPQSPLLSTIAEFALKEEPVDVEKRKCLLKQLERAEVRLEGIDTILKLYLVSKNFLLPSVPYAMFCGWQRLIPEGIDIGEPLTDCLKDVDLIPPFNRMLLEVTFGKLYAWAVQNIRNVLVDASAKFKELGIQPVPLQTITNENPSGPSLGTIPQAHFLLVMLSMLTLQHSANNLDLLLNSGTLALAQTALRLIGPSCDSVEEDMNASAQGASATVLEETRKETAPVQLPVSGPELAAMMKIGTRVMRGVDWKWGDQDRPPPGLGRVIGELGEDGWIRVQWDTGSTNSYRMGKEGNYDLKLAELPAPAQPSAEDSDTEDDSEAEQTERNIHPTAMMFTSTINLLQTLCLSAGVHAEIMQSEATKTLCGLLQMLVYREQHRSWCTLGFVQSIALTLQVCGTLSSLQWITLLMKVVEGHAPFTATSLQRQILAVHLLQAVLPSWDKTERARDMKCLMEKLFDFLGSLLTMCSSDVPLLRESTLRRRRVCPQASLTATHSSTLAEEVVALLHTLHSLTQWNGLINKYINSQLRSITHSFAGRPSKGAQLEDYFPDSENPEVGGLMAVLAVVGGIDGRLCLGGQVVHDDFGEVTMTRITLKGKITVQFSDMRTCHVCPLNQLKPLPAVAFNVNNLPFTEPMLSVWAQLVNLAGSKLEKHKIKKSTKQAFAGQVDLDLLRCQQLKLYILKAGRALFSHQDKLRQILSQPAVQETGTVHTDDGAVVSPDLGDMSPEGPQPPMILLQQLLASATQPSPVKAIFDKQELEERMSRCCFWRRRTTKLEQILLFIRRMNSVCEKENTNATASN
+>DECOY_sp|Q9BVR0|HRC23_HUMAN Putative HERC2-like protein 3 OS=Homo sapiens OX=9606 GN=HERC2P3 PE=5 SV=2
+NSATANTNEKECVSNMRRIFLLIQELKTTRRRWFCCRSMREELEQKDFIAKVPSPQTASALLQQLLIMPPQPGEPSMDGLDPSVVAGDDTHVTGTEQVAPQSLIQRLKDQHSFLARGAKLIYLKLQQCRLLDLDVQGAFAQKTSKKIKHKELKSGALNVLQAWVSLMPETFPLNNVNFAVAPLPKLQNLPCVHCTRMDSFQVTIKGKLTIRTMTVEGFDDHVVQGGLCLRGDIGGVVALVAMLGGVEPNESDPFYDELQAGKSPRGAFSHTISRLQSNIYKNILGNWQTLSHLTHLLAVVEEALTSSHTATLSAQPCVRRRRLTSERLLPVDSSCMTLLSGLFDFLKEMLCKMDRARETKDWSPLVAQLLHVALIQRQLSTATFPAHGEVVKMLLTIWQLSSLTGCVQLTLAISQVFGLTCWSRHQERYVLMQLLGCLTKTAESQMIEAHVGASLCLTQLLNITSTFMMATPHINRETQEAESDDETDSDEASPQAPAPLEALKLDYNGEKGMRYSNTSGTDWQVRIWGDEGLEGIVRGLGPPPRDQDGWKWDVGRMVRTGIKMMAALEPGSVPLQVPATEKRTEELVTASAGQASANMDEEVSDCSPGILRLATQALALTGSNLLLDLNNASHQLTLMSLMVLLFHAQPITGLSPGSPNENTITQLPVPQIGLEKFKASADVLVNRINQVAWAYLKGFTVELLMRNFPPILDVDKLCDTLPEGIDIGEPILRQWGCFMAYPVSPLLFNKSVLYLKLITDIGELRVEARELQKLLCKRKEVDVPEEKLAFEAITSLLPSQPYLGQVNQKLWKYKHVGTVTSLLPQWKDKPSKIAIPRKDVNIPSHPLICAEELDSEENGIKEEDDTSEPKKPVRKKRPERIIKQAIRRWHPLSSLLKFKSMISLDNCVAPRLENFLFRLREIVPACVEKYSRGQEQHTKILSCKAQYVVRCVDVVSKPLTRHKVQEIGLAGAHVLSLAVHGLDEHKLLCCLLLRGVEEVPHEPPFMIPTTLHCQRCYREIQCLFDKVNHDQINNDAIAQLFAQSHDGLARRHSCLRSKSAPTGGPSSCHDEDKEENYSYHIQSTQLGGSFISSQLWKACEIEVPSLPTSMALYSAHLGLLLGLNRETDILPSSLSGLDPITVGEQDPELYQGVCFAHM
+>sp|P04196|HRG_HUMAN Histidine-rich glycoprotein OS=Homo sapiens OX=9606 GN=HRG PE=1 SV=1
+MKALIAALLLITLQYSCAVSPTDCSAVEPEAEKALDLINKRRRDGYLFQLLRIADAHLDRVENTTVYYLVLDVQESDCSVLSRKYWNDCEPPDSRRPSEIVIGQCKVIATRHSHESQDLRVIDFNCTTSSVSSALANTKDSPVLIDFFEDTERYRKQANKALEKYKEENDDFASFRVDRIERVARVRGGEGTGYFVDFSVRNCPRHHFPRHPNVFGFCRADLFYDVEALDLESPKNLVINCEVFDPQEHENINGVPPHLGHPFHWGGHERSSTTKPPFKPHGSRDHHHPHKPHEHGPPPPPDERDHSHGPPLPQGPPPLLPMSCSSCQHATFGTNGAQRHSHNNNSSDLHPHKHHSHEQHPHGHHPHAHHPHEHDTHRQHPHGHHPHGHHPHGHHPHGHHPHGHHPHCHDFQDYGPCDPPPHNQGHCCHGHGPPPGHLRRRGPGKGPRPFHCRQIGSVYRLPPLRKGEVLPLPEANFPSFPLPHHKHPLKPDNQPFPQSVSESCPGKFKSGFPQVSMFFTHTFPK
+>DECOY_sp|P04196|HRG_HUMAN Histidine-rich glycoprotein OS=Homo sapiens OX=9606 GN=HRG PE=1 SV=1
+KPFTHTFFMSVQPFGSKFKGPCSESVSQPFPQNDPKLPHKHHPLPFSPFNAEPLPLVEGKRLPPLRYVSGIQRCHFPRPGKGPGRRRLHGPPPGHGHCCHGQNHPPPDCPGYDQFDHCHPHHGHPHHGHPHHGHPHHGHPHHGHPHQRHTDHEHPHHAHPHHGHPHQEHSHHKHPHLDSSNNNHSHRQAGNTGFTAHQCSSCSMPLLPPPGQPLPPGHSHDREDPPPPPGHEHPKHPHHHDRSGHPKFPPKTTSSREHGGWHFPHGLHPPVGNINEHEQPDFVECNIVLNKPSELDLAEVDYFLDARCFGFVNPHRPFHHRPCNRVSFDVFYGTGEGGRVRAVREIRDVRFSAFDDNEEKYKELAKNAQKRYRETDEFFDILVPSDKTNALASSVSSTTCNFDIVRLDQSEHSHRTAIVKCQGIVIESPRRSDPPECDNWYKRSLVSCDSEQVDLVLYYVTTNEVRDLHADAIRLLQFLYGDRRRKNILDLAKEAEPEVASCDTPSVACSYQLTILLLAAILAKM
+>sp|Q96KN8|HRSL5_HUMAN Ca(2+)-independent N-acyltransferase OS=Homo sapiens OX=9606 GN=HRASLS5 PE=1 SV=2
+MGLSPGAEGEYALRLPRIPPPLPKPASRTASTGPKDQPPALRRSAVPHSGLNSISPLELEESVGFAALVQLPAKQPPPGTLEQGRSIQQGEKAVVSLETTPSQKADWSSIPKPENEGKLIKQAAEGKPRPRPGDLIEIFRIGYEHWAIYVEDDCVVHLAPPSEEFEVGSITSIFSNRAVVKYSRLEDVLHGCSWKVNNKLDGTYLPLPVDKIIQRTKKMVNKIVQYSLIEGNCEHFVNGLRYGVPRSQQVEHALMEGAKAAGAVISAVVDSIKPKPITA
+>DECOY_sp|Q96KN8|HRSL5_HUMAN Ca(2+)-independent N-acyltransferase OS=Homo sapiens OX=9606 GN=HRASLS5 PE=1 SV=2
+ATIPKPKISDVVASIVAGAAKAGEMLAHEVQQSRPVGYRLGNVFHECNGEILSYQVIKNVMKKTRQIIKDVPLPLYTGDLKNNVKWSCGHLVDELRSYKVVARNSFISTISGVEFEESPPALHVVCDDEVYIAWHEYGIRFIEILDGPRPRPKGEAAQKILKGENEPKPISSWDAKQSPTTELSVVAKEGQQISRGQELTGPPPQKAPLQVLAAFGVSEELELPSISNLGSHPVASRRLAPPQDKPGTSATRSAPKPLPPPIRPLRLAYEGEAGPSLGM
+>sp|O43301|HS12A_HUMAN Heat shock 70 kDa protein 12A OS=Homo sapiens OX=9606 GN=HSPA12A PE=1 SV=2
+MADKEAGGSDGPRETAPTSAYSSPARSLGDTGITPLSPSHIVNDTDSNVSEQQSFLVVVAVDFGTTSSGYAYSFTKEPECIHVMRRWEGGDPGVSNQKTPTTILLTPERKFHSFGYAARDFYHDLDPNEAKQWLYLEKFKMKLHTTGDLTMDTDLTAANGKKVKALEIFAYALQYFKEQALKELSDQAGSEFENSDVRWVITVPAIWKQPAKQFMRQAAYQAGLASPENSEQLIIALEPEAASIYCRKLRLHQMIELSSKAAVNGYSGSDTVGAGFTQAKEHIRRNRQSRTFLVENVIGEIWSELEEGDKYVVVDSGGGTVDLTVHQIRLPEGHLKELYKATGGPYGSLGVDYEFEKLLYKIFGEDFIEQFKIKRPAAWVDLMIAFESRKRAAAPDRTNPLNITLPFSFIDYYKKFRGHSVEHALRKSNVDFVKWSSQGMLRMSPDAMNALFKPTIDSIIEHLRDLFQKPEVSTVKFLFLVGGFAEAPLLQQAVQAAFGDQCRIIIPQDVGLTILKGAVLFGLDPAVIKVRRSPLTYGVGVLNRYVEGKHPPEKLLVKDGTRWCTDVFDKFISADQSVALGELVKRSYTPAKPSQLVIVINIYSSEHDNVSFITDPGVKKCGTLRLDLTGTSGTAVPARREIQTLMQFGDTEIKATAIDIATSKSVKVGIDFLNY
+>DECOY_sp|O43301|HS12A_HUMAN Heat shock 70 kDa protein 12A OS=Homo sapiens OX=9606 GN=HSPA12A PE=1 SV=2
+YNLFDIGVKVSKSTAIDIATAKIETDGFQMLTQIERRAPVATGSTGTLDLRLTGCKKVGPDTIFSVNDHESSYINIVIVLQSPKAPTYSRKVLEGLAVSQDASIFKDFVDTCWRTGDKVLLKEPPHKGEVYRNLVGVGYTLPSRRVKIVAPDLGFLVAGKLITLGVDQPIIIRCQDGFAAQVAQQLLPAEAFGGVLFLFKVTSVEPKQFLDRLHEIISDITPKFLANMADPSMRLMGQSSWKVFDVNSKRLAHEVSHGRFKKYYDIFSFPLTINLPNTRDPAAARKRSEFAIMLDVWAAPRKIKFQEIFDEGFIKYLLKEFEYDVGLSGYPGGTAKYLEKLHGEPLRIQHVTLDVTGGGSDVVVYKDGEELESWIEGIVNEVLFTRSQRNRRIHEKAQTFGAGVTDSGSYGNVAAKSSLEIMQHLRLKRCYISAAEPELAIILQESNEPSALGAQYAAQRMFQKAPQKWIAPVTIVWRVDSNEFESGAQDSLEKLAQEKFYQLAYAFIELAKVKKGNAATLDTDMTLDGTTHLKMKFKELYLWQKAENPDLDHYFDRAAYGFSHFKREPTLLITTPTKQNSVGPDGGEWRRMVHICEPEKTFSYAYGSSTTGFDVAVVVLFSQQESVNSDTDNVIHSPSLPTIGTDGLSRAPSSYASTPATERPGDSGGAEKDAM
+>sp|Q58FG1|HS904_HUMAN Putative heat shock protein HSP 90-alpha A4 OS=Homo sapiens OX=9606 GN=HSP90AA4P PE=5 SV=1
+MESLTDPSKLDSGKEPHISLIPNKQDRTLTIVDTGIGMTKADLINNLGTITKSETKVFMEVLQAGADISMIGQFSVGFYSAYSVAEKVTVITKHNNDEQYAWESSLRGSFTEYREFYKSLTINWEDYLAVKHFSVEGQLEFRAFLFVPRLAPFELLETRKKKNKIKLSARRDLIMDNCEELIPEYLNFIRGVVDSEDLPLNIFRETKDQVANSTIVQRLWKHGLEVIYTIEPIDEYCVQQLKEFEGKTLVSVTKEDLELPEDEEEKKKQEEGKQKTKQKKNQSLRTSAKSTYGWTANMERIMKAQALRDNSTTGYMAAKKHLEINPDHSFIDTLRQKAETDKNDKSVKDLVILLYETALLSSDFGLEGPQTHANRIYRMNKLGLGTDEDDPTADDTSAAVTEEMPPLEGDDDTSRMEK
+>DECOY_sp|Q58FG1|HS904_HUMAN Putative heat shock protein HSP 90-alpha A4 OS=Homo sapiens OX=9606 GN=HSP90AA4P PE=5 SV=1
+KEMRSTDDDGELPPMEETVAASTDDATPDDEDTGLGLKNMRYIRNAHTQPGELGFDSSLLATEYLLIVLDKVSKDNKDTEAKQRLTDIFSHDPNIELHKKAAMYGTTSNDRLAQAKMIREMNATWGYTSKASTRLSQNKKQKTKQKGEEQKKKEEEDEPLELDEKTVSVLTKGEFEKLQQVCYEDIPEITYIVELGHKWLRQVITSNAVQDKTERFINLPLDESDVVGRIFNLYEPILEECNDMILDRRASLKIKNKKKRTELLEFPALRPVFLFARFELQGEVSFHKVALYDEWNITLSKYFERYETFSGRLSSEWAYQEDNNHKTIVTVKEAVSYASYFGVSFQGIMSIDAGAQLVEMFVKTESKTITGLNNILDAKTMGIGTDVITLTRDQKNPILSIHPEKGSDLKSPDTLSEM
+>sp|Q58FG0|HS905_HUMAN Putative heat shock protein HSP 90-alpha A5 OS=Homo sapiens OX=9606 GN=HSP90AA5P PE=2 SV=1
+MGFHHVGQAGLELLTSGHPALERRPEYLEERRIKEIVKKHSQFIGYPITLFVEKKRNKQVSDAEAEKKEDKRKKKKESNDKPEIEDVGSDEEEEKKDADKKKKKSKEKYIDQELNKTKPIWTRNPDAITNEEYGEFHQSLTNNWEDHLAVKHFSVEGQLEELKDSRRVMKANQKHIYYITGETKDQVANSAFVECLQKHGLEVIYMIELIDKYCVQQLKELESKTVVSVAKEGLELPEDEEEKKKQEEKKTKFENLCKIMKDMLEKKVKKVVVSNCMEDPQRHTNKIYRMIKLGLGVDEYDPTANDINAAITKEMPPLRGGDDTSRMEEVGGSG
+>DECOY_sp|Q58FG0|HS905_HUMAN Putative heat shock protein HSP 90-alpha A5 OS=Homo sapiens OX=9606 GN=HSP90AA5P PE=2 SV=1
+GSGGVEEMRSTDDGGRLPPMEKTIAANIDNATPDYEDVGLGLKIMRYIKNTHRQPDEMCNSVVVKKVKKELMDKMIKCLNEFKTKKEEQKKKEEEDEPLELGEKAVSVVTKSELEKLQQVCYKDILEIMYIVELGHKQLCEVFASNAVQDKTEGTIYYIHKQNAKMVRRSDKLEELQGEVSFHKVALHDEWNNTLSQHFEGYEENTIADPNRTWIPKTKNLEQDIYKEKSKKKKKDADKKEEEEDSGVDEIEPKDNSEKKKKRKDEKKEAEADSVQKNRKKEVFLTIPYGIFQSHKKVIEKIRREELYEPRRELAPHGSTLLELGAQGVHHFGM
+>sp|Q3SXM5|HSDL1_HUMAN Inactive hydroxysteroid dehydrogenase-like protein 1 OS=Homo sapiens OX=9606 GN=HSDL1 PE=1 SV=3
+MAAVDSFYLLYREIARSCNCYMEALALVGAWYTARKSITVICDFYSLIRLHFIPRLGSRADLIKQYGRWAVVSGATDGIGKAYAEELASRGLNIILISRNEEKLQVVAKDIADTYKVETDIIVADFSSGREIYLPIREALKDKDVGILVNNVGVFYPYPQYFTQLSEDKLWDIINVNIAAASLMVHVVLPGMVERKKGAIVTISSGSCCKPTPQLAAFSASKAYLDHFSRALQYEYASKGIFVQSLIPFYVATSMTAPSNFLHRCSWLVPSPKVYAHHAVSTLGISKRTTGYWSHSIQFLFAQYMPEWLWVWGANILNRSLRKEALSCTA
+>DECOY_sp|Q3SXM5|HSDL1_HUMAN Inactive hydroxysteroid dehydrogenase-like protein 1 OS=Homo sapiens OX=9606 GN=HSDL1 PE=1 SV=3
+ATCSLAEKRLSRNLINAGWVWLWEPMYQAFLFQISHSWYGTTRKSIGLTSVAHHAYVKPSPVLWSCRHLFNSPATMSTAVYFPILSQVFIGKSAYEYQLARSFHDLYAKSASFAALQPTPKCCSGSSITVIAGKKREVMGPLVVHVMLSAAAINVNIIDWLKDESLQTFYQPYPYFVGVNNVLIGVDKDKLAERIPLYIERGSSFDAVIIDTEVKYTDAIDKAVVQLKEENRSILIINLGRSALEEAYAKGIGDTAGSVVAWRGYQKILDARSGLRPIFHLRILSYFDCIVTISKRATYWAGVLALAEMYCNCSRAIERYLLYFSDVAAM
+>sp|Q00613|HSF1_HUMAN Heat shock factor protein 1 OS=Homo sapiens OX=9606 GN=HSF1 PE=1 SV=1
+MDLPVGPGAAGPSNVPAFLTKLWTLVSDPDTDALICWSPSGNSFHVFDQGQFAKEVLPKYFKHNNMASFVRQLNMYGFRKVVHIEQGGLVKPERDDTEFQHPCFLRGQEQLLENIKRKVTSVSTLKSEDIKIRQDSVTKLLTDVQLMKGKQECMDSKLLAMKHENEALWREVASLRQKHAQQQKVVNKLIQFLISLVQSNRILGVKRKIPLMLNDSGSAHSMPKYSRQFSLEHVHGSGPYSAPSPAYSSSSLYAPDAVASSGPIISDITELAPASPMASPGGSIDERPLSSSPLVRVKEEPPSPPQSPRVEEASPGRPSSVDTLLSPTALIDSILRESEPAPASVTALTDARGHTDTEGRPPSPPPTSTPEKCLSVACLDKNELSDHLDAMDSNLDNLQTMLSSHGFSVDTSALLDLFSPSVTVPDMSLPDLDSSLASIQELLSPQEPPRPPEAENSSPDSGKQLVHYTAQPLFLLDPGSVDTGSNDLPVLFELGEGSYFSEGDGFAEDPTISLLTGSEPPKAKDPTVS
+>DECOY_sp|Q00613|HSF1_HUMAN Heat shock factor protein 1 OS=Homo sapiens OX=9606 GN=HSF1 PE=1 SV=1
+SVTPDKAKPPESGTLLSITPDEAFGDGESFYSGEGLEFLVPLDNSGTDVSGPDLLFLPQATYHVLQKGSDPSSNEAEPPRPPEQPSLLEQISALSSDLDPLSMDPVTVSPSFLDLLASTDVSFGHSSLMTQLNDLNSDMADLHDSLENKDLCAVSLCKEPTSTPPPSPPRGETDTHGRADTLATVSAPAPESERLISDILATPSLLTDVSSPRGPSAEEVRPSQPPSPPEEKVRVLPSSSLPREDISGGPSAMPSAPALETIDSIIPGSSAVADPAYLSSSSYAPSPASYPGSGHVHELSFQRSYKPMSHASGSDNLMLPIKRKVGLIRNSQVLSILFQILKNVVKQQQAHKQRLSAVERWLAENEHKMALLKSDMCEQKGKMLQVDTLLKTVSDQRIKIDESKLTSVSTVKRKINELLQEQGRLFCPHQFETDDREPKVLGGQEIHVVKRFGYMNLQRVFSAMNNHKFYKPLVEKAFQGQDFVHFSNGSPSWCILADTDPDSVLTWLKTLFAPVNSPGAAGPGVPLDM
+>sp|A0A1B0GTS1|HSFX4_HUMAN Heat shock transcription factor, X-linked member 4 OS=Homo sapiens OX=9606 GN=HSFX4 PE=3 SV=1
+MASQNTEQEYEAKLAPSVGGEPTSGGPSGSSPDPNPDSSEVLDRHEDQAMSQDPGSQDNSPPEDRNQRVVNVEDNHNLFRLSFPRKLWTIVEEDTFKSVSWNDDGDAVIIDKDLFQREVLQRKGAERIFKTDNLTSFIRQLNLYGFCKTRPSNSPGNKKMMIYCNSNFQRDKPRLLENIQRKDALRNTAQQATRVPTPKRKNLVATRRSLRIYHINARKEAIKMCQQGAPSVQGPSGTQSFRRSGMWSKKSATRHPLGNGPPQEPNGPSWEGTSGNVTFTSSATTWMEGTGILSSLVYSDNGSVMSLYNICYYALLASLSVMSPNEPSDDEEE
+>DECOY_sp|A0A1B0GTS1|HSFX4_HUMAN Heat shock transcription factor, X-linked member 4 OS=Homo sapiens OX=9606 GN=HSFX4 PE=3 SV=1
+EEEDDSPENPSMVSLSALLAYYCINYLSMVSGNDSYVLSSLIGTGEMWTTASSTFTVNGSTGEWSPGNPEQPPGNGLPHRTASKKSWMGSRRFSQTGSPGQVSPAGQQCMKIAEKRANIHYIRLSRRTAVLNKRKPTPVRTAQQATNRLADKRQINELLRPKDRQFNSNCYIMMKKNGPSNSPRTKCFGYLNLQRIFSTLNDTKFIREAGKRQLVERQFLDKDIIVADGDDNWSVSKFTDEEVITWLKRPFSLRFLNHNDEVNVVRQNRDEPPSNDQSGPDQSMAQDEHRDLVESSDPNPDPSSGSPGGSTPEGGVSPALKAEYEQETNQSAM
+>sp|O14558|HSPB6_HUMAN Heat shock protein beta-6 OS=Homo sapiens OX=9606 GN=HSPB6 PE=1 SV=2
+MEIPVPVQPSWLRRASAPLPGLSAPGRLFDQRFGEGLLEAELAALCPTTLAPYYLRAPSVALPVAQVPTDPGHFSVLLDVKHFSPEEIAVKVVGEHVEVHARHEERPDEHGFVAREFHRRYRLPPGVDPAAVTSALSPEGVLSIQAAPASAQAPPPAAAK
+>DECOY_sp|O14558|HSPB6_HUMAN Heat shock protein beta-6 OS=Homo sapiens OX=9606 GN=HSPB6 PE=1 SV=2
+KAAAPPPAQASAPAAQISLVGEPSLASTVAAPDVGPPLRYRRHFERAVFGHEDPREEHRAHVEVHEGVVKVAIEEPSFHKVDLLVSFHGPDTPVQAVPLAVSPARLYYPALTTPCLAALEAELLGEGFRQDFLRGPASLGPLPASARRLWSPQVPVPIEM
+>sp|Q9BQS6|HSPB9_HUMAN Heat shock protein beta-9 OS=Homo sapiens OX=9606 GN=HSPB9 PE=1 SV=1
+MQRVGNTFSNESRVASRCPSVGLAERNRVATMPVRLLRDSPAAQEDNDHARDGFQMKLDAHGFAPEELVVQVDGQWLMVTGQQQLDVRDPERVSYRMSQKVHRKMLPSNLSPTAMTCCLTPSGQLWVRGQCVALALPEAQTGPSPRLGSLGSKASNLTR
+>DECOY_sp|Q9BQS6|HSPB9_HUMAN Heat shock protein beta-9 OS=Homo sapiens OX=9606 GN=HSPB9 PE=1 SV=1
+RTLNSAKSGLSGLRPSPGTQAEPLALAVCQGRVWLQGSPTLCCTMATPSLNSPLMKRHVKQSMRYSVREPDRVDLQQQGTVMLWQGDVQVVLEEPAFGHADLKMQFGDRAHDNDEQAAPSDRLLRVPMTAVRNREALGVSPCRSAVRSENSFTNGVRQM
+>sp|O43719|HTSF1_HUMAN HIV Tat-specific factor 1 OS=Homo sapiens OX=9606 GN=HTATSF1 PE=1 SV=1
+MSGTNLDGNDEFDEQLRMQELYGDGKDGDTQTDAGGEPDSLGQQPTDTPYEWDLDKKAWFPKITEDFIATYQANYGFSNDGASSSTANVEDVHARTAEEPPQEKAPEPTDARKKGEKRKAESGWFHVEEDRNTNVYVSGLPPDITVDEFIQLMSKFGIIMRDPQTEEFKVKLYKDNQGNLKGDGLCCYLKRESVELALKLLDEDEIRGYKLHVEVAKFQLKGEYDASKKKKKCKDYKKKLSMQQKQLDWRPERRAGPSRMRHERVVIIKNMFHPMDFEDDPLVLNEIREDLRVECSKFGQIRKLLLFDRHPDGVASVSFRDPEEADYCIQTLDGRWFGGRQITAQAWDGTTDYQVEETSREREERLRGWEAFLNAPEANRGLRRSDSVSASERAGPSRARHFSEHPSTSKMNAQETATGMAFEEPIDEKKFEKTEDGGEFEEGASENNAKESSPEKEAEEGCPEKESEEGCPKRGFEGSCSQKESEEGNPVRGSEEDSPKKESKKKTLKNDCEENGLAKESEDDLNKESEEEVGPTKESEEDDSEKESDEDCSEKQSEDGSEREFEENGLEKDLDEEGSEKELHENVLDKELEENDSENSEFEDDGSEKVLDEEGSEREFDEDSDEKEEEEDTYEKVFDDESDEKEDEEYADEKGLEAADKKAEEGDADEKLFEESDDKEDEDADGKEVEDADEKLFEDDDSNEKLFDEEEDSSEKLFDDSDERGTLGGFGSVEEGPLSTGSSFILSSDDDDDDI
+>DECOY_sp|O43719|HTSF1_HUMAN HIV Tat-specific factor 1 OS=Homo sapiens OX=9606 GN=HTATSF1 PE=1 SV=1
+IDDDDDDSSLIFSSGTSLPGEEVSGFGGLTGREDSDDFLKESSDEEEDFLKENSDDDEFLKEDADEVEKGDADEDEKDDSEEFLKEDADGEEAKKDAAELGKEDAYEEDEKEDSEDDFVKEYTDEEEEKEDSDEDFERESGEEDLVKESGDDEFESNESDNEELEKDLVNEHLEKESGEEDLDKELGNEEFERESGDESQKESCDEDSEKESDDEESEKTPGVEEESEKNLDDESEKALGNEECDNKLTKKKSEKKPSDEESGRVPNGEESEKQSCSGEFGRKPCGEESEKEPCGEEAEKEPSSEKANNESAGEEFEGGDETKEFKKEDIPEEFAMGTATEQANMKSTSPHESFHRARSPGARESASVSDSRRLGRNAEPANLFAEWGRLREERERSTEEVQYDTTGDWAQATIQRGGFWRGDLTQICYDAEEPDRFSVSAVGDPHRDFLLLKRIQGFKSCEVRLDERIENLVLPDDEFDMPHFMNKIIVVREHRMRSPGARREPRWDLQKQQMSLKKKYDKCKKKKKSADYEGKLQFKAVEVHLKYGRIEDEDLLKLALEVSERKLYCCLGDGKLNGQNDKYLKVKFEETQPDRMIIGFKSMLQIFEDVTIDPPLGSVYVNTNRDEEVHFWGSEAKRKEGKKRADTPEPAKEQPPEEATRAHVDEVNATSSSAGDNSFGYNAQYTAIFDETIKPFWAKKDLDWEYPTDTPQQGLSDPEGGADTQTDGDKGDGYLEQMRLQEDFEDNGDLNTGSM
+>sp|P0DP01|HV108_HUMAN Immunoglobulin heavy variable 1-8 OS=Homo sapiens OX=9606 GN=IGHV1-8 PE=3 SV=1
+MDWTWRILFLVAAATSAHSQVQLVQSGAEVKKPGASVKVSCKASGYTFTSYDINWVRQATGQGLEWMGWMNPNSGNTGYAQKFQGRVTMTRNTSISTAYMELSSLRSEDTAVYYCAR
+>DECOY_sp|P0DP01|HV108_HUMAN Immunoglobulin heavy variable 1-8 OS=Homo sapiens OX=9606 GN=IGHV1-8 PE=3 SV=1
+RACYYVATDESRLSSLEMYATSISTNRTMTVRGQFKQAYGTNGSNPNMWGMWELGQGTAQRVWNIDYSTFTYGSAKCSVKVSAGPKKVEAGSQVLQVQSHASTAAAVLFLIRWTWDM
+>sp|A0A0A0MS14|HV145_HUMAN Immunoglobulin heavy variable 1-45 OS=Homo sapiens OX=9606 GN=IGHV1-45 PE=3 SV=1
+MDWTWRILFLVAAVTDAYSQMQLVQSGAEVKKTGSSVKVSCKASGYTFTYRYLHWVRQAPGQALEWMGWITPFNGNTNYAQKFQDRVTITRDRSMSTAYMELSSLRSEDTAMYYCAR
+>DECOY_sp|A0A0A0MS14|HV145_HUMAN Immunoglobulin heavy variable 1-45 OS=Homo sapiens OX=9606 GN=IGHV1-45 PE=3 SV=1
+RACYYMATDESRLSSLEMYATSMSRDRTITVRDQFKQAYNTNGNFPTIWGMWELAQGPAQRVWHLYRYTFTYGSAKCSVKVSSGTKKVEAGSQVLQMQSYADTVAAVLFLIRWTWDM
+>sp|P01782|HV309_HUMAN Immunoglobulin heavy variable 3-9 OS=Homo sapiens OX=9606 GN=IGHV3-9 PE=1 SV=2
+MELGLSWIFLLAILKGVQCEVQLVESGGGLVQPGRSLRLSCAASGFTFDDYAMHWVRQAPGKGLEWVSGISWNSGSIGYADSVKGRFTISRDNAKNSLYLQMNSLRAEDTALYYCAKD
+>DECOY_sp|P01782|HV309_HUMAN Immunoglobulin heavy variable 3-9 OS=Homo sapiens OX=9606 GN=IGHV3-9 PE=1 SV=2
+DKACYYLATDEARLSNMQLYLSNKANDRSITFRGKVSDAYGISGSNWSIGSVWELGKGPAQRVWHMAYDDFTFGSAACSLRLSRGPQVLGGGSEVLQVECQVGKLIALLFIWSLGLEM
+>sp|A0A0C4DH32|HV320_HUMAN Immunoglobulin heavy variable 3-20 OS=Homo sapiens OX=9606 GN=IGHV3-20 PE=3 SV=2
+MEFGLSWVFLVAILKGVQCEVQLVESGGGVVRPGGSLRLSCAASGFTFDDYGMSWVRQAPGKGLEWVSGINWNGGSTGYADSVKGRFTISRDNAKNSLYLQMNSLRAEDTALYHCAR
+>DECOY_sp|A0A0C4DH32|HV320_HUMAN Immunoglobulin heavy variable 3-20 OS=Homo sapiens OX=9606 GN=IGHV3-20 PE=3 SV=2
+RACHYLATDEARLSNMQLYLSNKANDRSITFRGKVSDAYGTSGGNWNIGSVWELGKGPAQRVWSMGYDDFTFGSAACSLRLSGGPRVVGGGSEVLQVECQVGKLIAVLFVWSLGFEM
+>sp|P01764|HV323_HUMAN Immunoglobulin heavy variable 3-23 OS=Homo sapiens OX=9606 GN=IGHV3-23 PE=1 SV=2
+MEFGLSWLFLVAILKGVQCEVQLVESGGGLVQPGGSLRLSCAASGFTFSSYAMSWVRQAPGKGLEWVSAISGSGGSTYYADSVKGRFTISRDNSKNTLYLQMNSLRAEDTAVYYCAK
+>DECOY_sp|P01764|HV323_HUMAN Immunoglobulin heavy variable 3-23 OS=Homo sapiens OX=9606 GN=IGHV3-23 PE=1 SV=2
+KACYYVATDEARLSNMQLYLTNKSNDRSITFRGKVSDAYYTSGGSGSIASVWELGKGPAQRVWSMAYSSFTFGSAACSLRLSGGPQVLGGGSEVLQVECQVGKLIAVLFLWSLGFEM
+>sp|P01763|HV348_HUMAN Immunoglobulin heavy variable 3-48 OS=Homo sapiens OX=9606 GN=IGHV3-48 PE=1 SV=2
+MELGLCWVFLVAILEGVQCEVQLVESGGGLVQPGGSLRLSCAASGFTFSSYEMNWVRQAPGKGLEWVSYISSSGSTIYYADSVKGRFTISRDNAKNSLYLQMNSLRAEDTAVYYCAR
+>DECOY_sp|P01763|HV348_HUMAN Immunoglobulin heavy variable 3-48 OS=Homo sapiens OX=9606 GN=IGHV3-48 PE=1 SV=2
+RACYYVATDEARLSNMQLYLSNKANDRSITFRGKVSDAYYITSGSSSIYSVWELGKGPAQRVWNMEYSSFTFGSAACSLRLSGGPQVLGGGSEVLQVECQVGELIAVLFVWCLGLEM
+>sp|A0A0B4J1Y9|HV372_HUMAN Immunoglobulin heavy variable 3-72 OS=Homo sapiens OX=9606 GN=IGHV3-72 PE=3 SV=1
+MEFGLSWVFLVVILQGVQCEVQLVESGGGLVQPGGSLRLSCAASGFTFSDHYMDWVRQAPGKGLEWVGRTRNKANSYTTEYAASVKGRFTISRDDSKNSLYLQMNSLKTEDTAVYYCAR
+>DECOY_sp|A0A0B4J1Y9|HV372_HUMAN Immunoglobulin heavy variable 3-72 OS=Homo sapiens OX=9606 GN=IGHV3-72 PE=3 SV=1
+RACYYVATDETKLSNMQLYLSNKSDDRSITFRGKVSAAYETTYSNAKNRTRGVWELGKGPAQRVWDMYHDSFTFGSAACSLRLSGGPQVLGGGSEVLQVECQVGQLIVVLFVWSLGFEM
+>sp|A0A0C4DH34|HV428_HUMAN Immunoglobulin heavy variable 4-28 OS=Homo sapiens OX=9606 GN=IGHV4-28 PE=3 SV=1
+MKHLWFFLLLVAAPRWVLSQVQLQESGPGLVKPSDTLSLTCAVSGYSISSSNWWGWIRQPPGKGLEWIGYIYYSGSTYYNPSLKSRVTMSVDTSKNQFSLKLSSVTAVDTAVYYCAR
+>DECOY_sp|A0A0C4DH34|HV428_HUMAN Immunoglobulin heavy variable 4-28 OS=Homo sapiens OX=9606 GN=IGHV4-28 PE=3 SV=1
+RACYYVATDVATVSSLKLSFQNKSTDVSMTVRSKLSPNYYTSGSYYIYGIWELGKGPPQRIWGWWNSSSISYGSVACTLSLTDSPKVLGPGSEQLQVQSLVWRPAAVLLLFFWLHKM
+>sp|P01824|HV439_HUMAN Immunoglobulin heavy variable 4-39 OS=Homo sapiens OX=9606 GN=IGHV4-39 PE=1 SV=2
+MDLMCKKMKHLWFFLLLVAAPRWVLSQLQLQESGPGLVKPSETLSLTCTVSGGSISSSSYYWGWIRQPPGKGLEWIGSIYYSGSTYYNPSLKSRVTISVDTSKNQFSLKLSSVTAADTAVYYCAR
+>DECOY_sp|P01824|HV439_HUMAN Immunoglobulin heavy variable 4-39 OS=Homo sapiens OX=9606 GN=IGHV4-39 PE=1 SV=2
+RACYYVATDAATVSSLKLSFQNKSTDVSITVRSKLSPNYYTSGSYYISGIWELGKGPPQRIWGWYYSSSSISGGSVTCTLSLTESPKVLGPGSEQLQLQSLVWRPAAVLLLFFWLHKMKKCMLDM
+>sp|A0A0C4DH38|HV551_HUMAN Immunoglobulin heavy variable 5-51 OS=Homo sapiens OX=9606 GN=IGHV5-51 PE=3 SV=1
+MGSTAILALLLAVLQGVCAEVQLVQSGAEVKKPGESLKISCKGSGYSFTSYWIGWVRQMPGKGLEWMGIIYPGDSDTRYSPSFQGQVTISADKSISTAYLQWSSLKASDTAMYYCAR
+>DECOY_sp|A0A0C4DH38|HV551_HUMAN Immunoglobulin heavy variable 5-51 OS=Homo sapiens OX=9606 GN=IGHV5-51 PE=3 SV=1
+RACYYMATDSAKLSSWQLYATSISKDASITVQGQFSPSYRTDSDGPYIIGMWELGKGPMQRVWGIWYSTFSYGSGKCSIKLSEGPKKVEAGSQVLQVEACVGQLVALLLALIATSGM
+>sp|A0A0B4J2H0|HV69D_HUMAN Immunoglobulin heavy variable 1-69D OS=Homo sapiens OX=9606 GN=IGHV1-69D PE=3 SV=1
+MDWTWRFLFVVAAATGVQSQVQLVQSGAEVKKPGSSVKVSCKASGGTFSSYAISWVRQAPGQGLEWMGGIIPIFGTANYAQKFQGRVTITADESTSTAYMELSSLRSEDTAVYYCAR
+>DECOY_sp|A0A0B4J2H0|HV69D_HUMAN Immunoglobulin heavy variable 1-69D OS=Homo sapiens OX=9606 GN=IGHV1-69D PE=3 SV=1
+RACYYVATDESRLSSLEMYATSTSEDATITVRGQFKQAYNATGFIPIIGGMWELGQGPAQRVWSIAYSSFTGGSAKCSVKVSSGPKKVEAGSQVLQVQSQVGTAAAVVFLFRWTWDM
+>sp|P0DP06|HVD34_HUMAN Immunoglobulin heavy variable 4-30-4 OS=Homo sapiens OX=9606 GN=IGHV4-30-4 PE=3 SV=1
+MKHLWFFLLLVAAPRWVLSQVQLQESGPGLVKPSQTLSLTCTVSGGSISSGDYYWSWIRQPPGKGLEWIGYIYYSGSTYYNPSLKSRVTISVDTSKNQFSLKLSSVTAADTAVYYCAR
+>DECOY_sp|P0DP06|HVD34_HUMAN Immunoglobulin heavy variable 4-30-4 OS=Homo sapiens OX=9606 GN=IGHV4-30-4 PE=3 SV=1
+RACYYVATDAATVSSLKLSFQNKSTDVSITVRSKLSPNYYTSGSYYIYGIWELGKGPPQRIWSWYYDGSSISGGSVTCTLSLTQSPKVLGPGSEQLQVQSLVWRPAAVLLLFFWLHKM
+>sp|P31270|HXA11_HUMAN Homeobox protein Hox-A11 OS=Homo sapiens OX=9606 GN=HOXA11 PE=2 SV=2
+MDFDERGPCSSNMYLPSCTYYVSGPDFSSLPSFLPQTPSSRPMTYSYSSNLPQVQPVREVTFREYAIEPATKWHPRGNLAHCYSAEELVHRDCLQAPSAAGVPGDVLAKSSANVYHHPTPAVSSNFYSTVGRNGVLPQAFDQFFETAYGTPENLASSDYPGDKSAEKGPPAATATSAAAAAAATGAPATSSSDSGGGGGCRETAAAAEEKERRRRPESSSSPESSSGHTEDKAGGSSGQRTRKKRCPYTKYQIRELEREFFFSVYINKEKRLQLSRMLNLTDRQVKIWFQNRRMKEKKINRDRLQYYSANPLL
+>DECOY_sp|P31270|HXA11_HUMAN Homeobox protein Hox-A11 OS=Homo sapiens OX=9606 GN=HOXA11 PE=2 SV=2
+LLPNASYYQLRDRNIKKEKMRRNQFWIKVQRDTLNLMRSLQLRKEKNIYVSFFFERELERIQYKTYPCRKKRTRQGSSGGAKDETHGSSSEPSSSSEPRRRREKEEAAAATERCGGGGGSDSSSTAPAGTAAAAAAASTATAAPPGKEASKDGPYDSSALNEPTGYATEFFQDFAQPLVGNRGVTSYFNSSVAPTPHHYVNASSKALVDGPVGAASPAQLCDRHVLEEASYCHALNGRPHWKTAPEIAYERFTVERVPQVQPLNSSYSYTMPRSSPTQPLFSPLSSFDPGSVYYTCSPLYMNSSCPGREDFDM
+>sp|Q5T013|HYI_HUMAN Putative hydroxypyruvate isomerase OS=Homo sapiens OX=9606 GN=HYI PE=1 SV=2
+MAPLRFSANLSWLFPELSGLPARVRAAGSSGFEAVEVAWPYAETPEALARAAREAGLRLVLINTPPGDQEKGEMGLGAVPGRQAAFREGLEQAVRYAKALGCPRIHLMAGRVPQGADRIAVKAEMEAVFLENLRHAAGVLAQEDLVGLLEPINTRITDPQYFLDTPQQAAAILQKVGRPNLQLQMDIFHWQIMDGNLTGNIREFLPIVGHVQVAQVPGRGEPSSPGELNFPYLFQLLEDEGYKGFVGCEYQPRGDTVEGLSWLRSYWDRRGHPEAGQ
+>DECOY_sp|Q5T013|HYI_HUMAN Putative hydroxypyruvate isomerase OS=Homo sapiens OX=9606 GN=HYI PE=1 SV=2
+QGAEPHGRRDWYSRLWSLGEVTDGRPQYECGVFGKYGEDELLQFLYPFNLEGPSSPEGRGPVQAVQVHGVIPLFERINGTLNGDMIQWHFIDMQLQLNPRGVKQLIAAAQQPTDLFYQPDTIRTNIPELLGVLDEQALVGAAHRLNELFVAEMEAKVAIRDAGQPVRGAMLHIRPCGLAKAYRVAQELGERFAAQRGPVAGLGMEGKEQDGPPTNILVLRLGAERAARALAEPTEAYPWAVEVAEFGSSGAARVRAPLGSLEPFLWSLNASFRLPAM
+>sp|Q9UF12|HYPDH_HUMAN Hydroxyproline dehydrogenase OS=Homo sapiens OX=9606 GN=PRODH2 PE=1 SV=1
+MSPRVVSNSSVLASQSVGITNVRTVFSNVFNNTTAFPILRGSNCHKITAPGLGKGQLVNLLPPENLPWCGGSQGPRMLRTCYVLCSQAGPPSRGWQSLSFDGGAFHLKGTGELTRALLVLRLCAWPPLVTHGLLLQAWSRRLLGSRLSGAFLRASVYGQFVAGETAEEVKGCVQQLRTLSLRPLLAVPTEEEPDSAAKSGEAWYEGNLGAMLRCVDLSRGLLEPPSLAEASLMQLKVTALTSTRLCKELASWVRRPGASLELSPERLAEAMDSGQNLQVSCLNAEQNQHLRASLSRLHRVAQYARAQHVRLLVDAEYTSLNPALSLLVAALAVRWNSPGEGGPWVWNTYQACLKDTFERLGRDAEAAHRAGLAFGVKLVRGAYLDKERAVAQLHGMEDPTQPDYEATSQSYSRCLELMLTHVARHGPMCHLMVASHNEESVRQATKRMWELGIPLDGTVCFGQLLGMCDHVSLALGQAGYVVYKSIPYGSLEEVIPYLIRRAQENRSVLQGARREQELLSQELWRRLLPGCRRIPH
+>DECOY_sp|Q9UF12|HYPDH_HUMAN Hydroxyproline dehydrogenase OS=Homo sapiens OX=9606 GN=PRODH2 PE=1 SV=1
+HPIRRCGPLLRRWLEQSLLEQERRAGQLVSRNEQARRILYPIVEELSGYPISKYVVYGAQGLALSVHDCMGLLQGFCVTGDLPIGLEWMRKTAQRVSEENHSAVMLHCMPGHRAVHTLMLELCRSYSQSTAEYDPQTPDEMGHLQAVAREKDLYAGRVLKVGFALGARHAAEADRGLREFTDKLCAQYTNWVWPGGEGPSNWRVALAAVLLSLAPNLSTYEADVLLRVHQARAYQAVRHLRSLSARLHQNQEANLCSVQLNQGSDMAEALREPSLELSAGPRRVWSALEKCLRTSTLATVKLQMLSAEALSPPELLGRSLDVCRLMAGLNGEYWAEGSKAASDPEEETPVALLPRLSLTRLQQVCGKVEEATEGAVFQGYVSARLFAGSLRSGLLRRSWAQLLLGHTVLPPWACLRLVLLARTLEGTGKLHFAGGDFSLSQWGRSPPGAQSCLVYCTRLMRPGQSGGCWPLNEPPLLNVLQGKGLGPATIKHCNSGRLIPFATTNNFVNSFVTRVNTIGVSQSALVSSNSVVRPSM
+>sp|Q13261|I15RA_HUMAN Interleukin-15 receptor subunit alpha OS=Homo sapiens OX=9606 GN=IL15RA PE=1 SV=1
+MAPRRARGCRTLGLPALLLLLLLRPPATRGITCPPPMSVEHADIWVKSYSLYSRERYICNSGFKRKAGTSSLTECVLNKATNVAHWTTPSLKCIRDPALVHQRPAPPSTVTTAGVTPQPESLSPSGKEPAASSPSSNNTAATTAAIVPGSQLMPSKSPSTGTTEISSHESSHGTPSQTTAKNWELTASASHQPPGVYPQGHSDTTVAISTSTVLLCGLSAVSLLACYLKSRQTPPLASVEMEAMEALPVTWGTSSRDEDLENCSHHL
+>DECOY_sp|Q13261|I15RA_HUMAN Interleukin-15 receptor subunit alpha OS=Homo sapiens OX=9606 GN=IL15RA PE=1 SV=1
+LHHSCNELDEDRSSTGWTVPLAEMAEMEVSALPPTQRSKLYCALLSVASLGCLLVTSTSIAVTTDSHGQPYVGPPQHSASATLEWNKATTQSPTGHSSEHSSIETTGTSPSKSPMLQSGPVIAATTAATNNSSPSSAAPEKGSPSLSEPQPTVGATTVTSPPAPRQHVLAPDRICKLSPTTWHAVNTAKNLVCETLSSTGAKRKFGSNCIYRERSYLSYSKVWIDAHEVSMPPPCTIGRTAPPRLLLLLLLAPLGLTRCGRARRPAM
+>sp|Q9NRM6|I17RB_HUMAN Interleukin-17 receptor B OS=Homo sapiens OX=9606 GN=IL17RB PE=1 SV=2
+MSLVLLSLAALCRSAVPREPTVQCGSETGPSPEWMLQHDLIPGDLRDLRVEPVTTSVATGDYSILMNVSWVLRADASIRLLKATKICVTGKSNFQSYSCVRCNYTEAFQTQTRPSGGKWTFSYIGFPVELNTVYFIGAHNIPNANMNEDGPSMSVNFTSPGCLDHIMKYKKKCVKAGSLWDPNITACKKNEETVEVNFTTTPLGNRYMALIQHSTIIGFSQVFEPHQKKQTRASVVIPVTGDSEGATVQLTPYFPTCGSDCIRHKGTVVLCPQTGVPFPLDNNKSKPGGWLPLLLLSLLVATWVLVAGIYLMWRHERIKKTSFSTTTLLPPIKVLVVYPSEICFHHTICYFTEFLQNHCRSEVILEKWQKKKIAEMGPVQWLATQKKAADKVVFLLSNDVNSVCDGTCGKSEGSPSENSQDLFPLAFNLFCSDLRSQIHLHKYVVVYFREIDTKDDYNALSVCPKYHLMKDATAFCAELLHVKQQVSAGKRSQACHDGCCSL
+>DECOY_sp|Q9NRM6|I17RB_HUMAN Interleukin-17 receptor B OS=Homo sapiens OX=9606 GN=IL17RB PE=1 SV=2
+LSCCGDHCAQSRKGASVQQKVHLLEACFATADKMLHYKPCVSLANYDDKTDIERFYVVVYKHLHIQSRLDSCFLNFALPFLDQSNESPSGESKGCTGDCVSNVDNSLLFVVKDAAKKQTALWQVPGMEAIKKKQWKELIVESRCHNQLFETFYCITHHFCIESPYVVLVKIPPLLTTTSFSTKKIREHRWMLYIGAVLVWTAVLLSLLLLPLWGGPKSKNNDLPFPVGTQPCLVVTGKHRICDSGCTPFYPTLQVTAGESDGTVPIVVSARTQKKQHPEFVQSFGIITSHQILAMYRNGLPTTTFNVEVTEENKKCATINPDWLSGAKVCKKKYKMIHDLCGPSTFNVSMSPGDENMNANPINHAGIFYVTNLEVPFGIYSFTWKGGSPRTQTQFAETYNCRVCSYSQFNSKGTVCIKTAKLLRISADARLVWSVNMLISYDGTAVSTTVPEVRLDRLDGPILDHQLMWEPSPGTESGCQVTPERPVASRCLAALSLLVLSM
+>sp|P18065|IBP2_HUMAN Insulin-like growth factor-binding protein 2 OS=Homo sapiens OX=9606 GN=IGFBP2 PE=1 SV=2
+MLPRVGCPALPLPPPPLLPLLLLLLGASGGGGGARAEVLFRCPPCTPERLAACGPPPVAPPAAVAAVAGGARMPCAELVREPGCGCCSVCARLEGEACGVYTPRCGQGLRCYPHPGSELPLQALVMGEGTCEKRRDAEYGASPEQVADNGDDHSEGGLVENHVDSTMNMLGGGGSAGRKPLKSGMKELAVFREKVTEQHRQMGKGGKHHLGLEEPKKLRPPPARTPCQQELDQVLERISTMRLPDERGPLEHLYSLHIPNCDKHGLYNLKQCKMSLNGQRGECWCVNPNTGKLIQGAPTIRGDPECHLFYNEQQEARGVHTQRMQ
+>DECOY_sp|P18065|IBP2_HUMAN Insulin-like growth factor-binding protein 2 OS=Homo sapiens OX=9606 GN=IGFBP2 PE=1 SV=2
+QMRQTHVGRAEQQENYFLHCEPDGRITPAGQILKGTNPNVCWCEGRQGNLSMKCQKLNYLGHKDCNPIHLSYLHELPGREDPLRMTSIRELVQDLEQQCPTRAPPPRLKKPEELGLHHKGGKGMQRHQETVKERFVALEKMGSKLPKRGASGGGGLMNMTSDVHNEVLGGESHDDGNDAVQEPSAGYEADRRKECTGEGMVLAQLPLESGPHPYCRLGQGCRPTYVGCAEGELRACVSCCGCGPERVLEACPMRAGGAVAAVAAPPAVPPPGCAALREPTCPPCRFLVEARAGGGGGSAGLLLLLLPLLPPPPLPLAPCGVRPLM
+>sp|Q8WX77|IBPL1_HUMAN Insulin-like growth factor-binding protein-like 1 OS=Homo sapiens OX=9606 GN=IGFBPL1 PE=2 SV=1
+MPRLSLLLPLLLLLLLPLLPPLSPSLGIRDVGGRRPKCGPCRPEGCPAPAPCPAPGISALDECGCCARCLGAEGASCGGRAGGRCGPGLVCASQAAGAAPEGTGLCVCAQRGTVCGSDGRSYPSVCALRLRARHTPRAHPGHLHKARDGPCEFAPVVVVPPRSVHNVTGAQVGLSCEVRAVPTPVITWRKVTKSPEGTQALEELPGDHVNIAVQVRGGPSDHEATAWILINPLRKEDEGVYQCHAANMVGEAESHSTVTVLDLSKYRSFHFPAPDDRM
+>DECOY_sp|Q8WX77|IBPL1_HUMAN Insulin-like growth factor-binding protein-like 1 OS=Homo sapiens OX=9606 GN=IGFBPL1 PE=2 SV=1
+MRDDPAPFHFSRYKSLDLVTVTSHSEAEGVMNAAHCQYVGEDEKRLPNILIWATAEHDSPGGRVQVAINVHDGPLEELAQTGEPSKTVKRWTIVPTPVARVECSLGVQAGTVNHVSRPPVVVVPAFECPGDRAKHLHGPHARPTHRARLRLACVSPYSRGDSGCVTGRQACVCLGTGEPAAGAAQSACVLGPGCRGGARGGCSAGEAGLCRACCGCEDLASIGPAPCPAPAPCGEPRCPGCKPRRGGVDRIGLSPSLPPLLPLLLLLLLPLLLSLRPM
+>sp|Q05084|ICA69_HUMAN Islet cell autoantigen 1 OS=Homo sapiens OX=9606 GN=ICA1 PE=1 SV=2
+MSGHKCSYPWDLQDRYAQDKSVVNKMQQKYWETKQAFIKATGKKEDEHVVASDADLDAKLELFHSIQRTCLDLSKAIVLYQKRICFLSQEENELGKFLRSQGFQDKTRAGKMMQATGKALCFSSQQRLALRNPLCRFHQEVETFRHRAISDTWLTVNRMEQCRTEYRGALLWMKDVSQELDPDLYKQMEKFRKVQTQVRLAKKNFDKLKMDVCQKVDLLGASRCNLLSHMLATYQTTLLHFWEKTSHTMAAIHESFKGYQPYEFTTLKSLQDPMKKLVEKEEKKKINQQESTDAAVQEPSQLISLEEENQRKESSSFKTEDGKSILSALDKGSTHTACSGPIDELLDMKSEEGACLGPVAGTPEPEGADKDDLLLLSEIFNASSLEEGEFSKEWAAVFGDGQVKEPVPTMALGEPDPKAQTGSGFLPSQLLDQNMKDLQASLQEPAKAASDLTAWFSLFADLDPLSNPDAVGKTDKEHELLNA
+>DECOY_sp|Q05084|ICA69_HUMAN Islet cell autoantigen 1 OS=Homo sapiens OX=9606 GN=ICA1 PE=1 SV=2
+ANLLEHEKDTKGVADPNSLPDLDAFLSFWATLDSAAKAPEQLSAQLDKMNQDLLQSPLFGSGTQAKPDPEGLAMTPVPEKVQGDGFVAAWEKSFEGEELSSANFIESLLLLDDKDAGEPEPTGAVPGLCAGEESKMDLLEDIPGSCATHTSGKDLASLISKGDETKFSSSEKRQNEEELSILQSPEQVAADTSEQQNIKKKEEKEVLKKMPDQLSKLTTFEYPQYGKFSEHIAAMTHSTKEWFHLLTTQYTALMHSLLNCRSAGLLDVKQCVDMKLKDFNKKALRVQTQVKRFKEMQKYLDPDLEQSVDKMWLLAGRYETRCQEMRNVTLWTDSIARHRFTEVEQHFRCLPNRLALRQQSSFCLAKGTAQMMKGARTKDQFGQSRLFKGLENEEQSLFCIRKQYLVIAKSLDLCTRQISHFLELKADLDADSAVVHEDEKKGTAKIFAQKTEWYKQQMKNVVSKDQAYRDQLDWPYSCKHGSM
+>sp|P20810|ICAL_HUMAN Calpastatin OS=Homo sapiens OX=9606 GN=CAST PE=1 SV=4
+MNPTETKAIPVSQQMEGPHLPNKKKHKKQAVKTEPEKKSQSTKLSVVHEKKSQEGKPKEHTEPKSLPKQASDTGSNDAHNKKAVSRSAEQQPSEKSTEPKTKPQDMISAGGESVAGITAISGKPGDKKKEKKSLTPAVPVESKPDKPSGKSGMDAALDDLIDTLGGPEETEEENTTYTGPEVSDPMSSTYIEELGKREVTIPPKYRELLAKKEGITGPPADSSKPIGPDDAIDALSSDFTCGSPTAAGKKTEKEESTEVLKAQSAGTVRSAAPPQEKKRKVEKDTMSDQALEALSASLGTRQAEPELDLRSIKEVDEAKAKEEKLEKCGEDDETIPSEYRLKPATDKDGKPLLPEPEEKPKPRSESELIDELSEDFDRSECKEKPSKPTEKTEESKAAAPAPVSEAVCRTSMCSIQSAPPEPATLKGTVPDDAVEALADSLGKKEADPEDGKPVMDKVKEKAKEEDREKLGEKEETIPPDYRLEEVKDKDGKPLLPKESKEQLPPMSEDFLLDALSEDFSGPQNASSLKFEDAKLAAAISEVVSQTPASTTQAGAPPRDTSQSDKDLDDALDKLSDSLGQRQPDPDENKPMEDKVKEKAKAEHRDKLGERDDTIPPEYRHLLDDNGQDKPVKPPTKKSEDSKKPADDQDPIDALSGDLDSCPSTTETSQNTAKDKCKKAASSSKAPKNGGKAKDSAKTTEETSKPKDD
+>DECOY_sp|P20810|ICAL_HUMAN Calpastatin OS=Homo sapiens OX=9606 GN=CAST PE=1 SV=4
+DDKPKSTEETTKASDKAKGGNKPAKSSSAAKKCKDKATNQSTETTSPCSDLDGSLADIPDQDDAPKKSDESKKTPPKVPKDQGNDDLLHRYEPPITDDREGLKDRHEAKAKEKVKDEMPKNEDPDPQRQGLSDSLKDLADDLDKDSQSTDRPPAGAQTTSAPTQSVVESIAAALKADEFKLSSANQPGSFDESLADLLFDESMPPLQEKSEKPLLPKGDKDKVEELRYDPPITEEKEGLKERDEEKAKEKVKDMVPKGDEPDAEKKGLSDALAEVADDPVTGKLTAPEPPASQISCMSTRCVAESVPAPAAAKSEETKETPKSPKEKCESRDFDESLEDILESESRPKPKEEPEPLLPKGDKDTAPKLRYESPITEDDEGCKELKEEKAKAEDVEKISRLDLEPEAQRTGLSASLAELAQDSMTDKEVKRKKEQPPAASRVTGASQAKLVETSEEKETKKGAATPSGCTFDSSLADIADDPGIPKSSDAPPGTIGEKKALLERYKPPITVERKGLEEIYTSSMPDSVEPGTYTTNEEETEEPGGLTDILDDLAADMGSKGSPKDPKSEVPVAPTLSKKEKKKDGPKGSIATIGAVSEGGASIMDQPKTKPETSKESPQQEASRSVAKKNHADNSGTDSAQKPLSKPETHEKPKGEQSKKEHVVSLKTSQSKKEPETKVAQKKHKKKNPLHPGEMQQSVPIAKTETPNM
+>sp|P05362|ICAM1_HUMAN Intercellular adhesion molecule 1 OS=Homo sapiens OX=9606 GN=ICAM1 PE=1 SV=2
+MAPSSPRPALPALLVLLGALFPGPGNAQTSVSPSKVILPRGGSVLVTCSTSCDQPKLLGIETPLPKKELLLPGNNRKVYELSNVQEDSQPMCYSNCPDGQSTAKTFLTVYWTPERVELAPLPSWQPVGKNLTLRCQVEGGAPRANLTVVLLRGEKELKREPAVGEPAEVTTTVLVRRDHHGANFSCRTELDLRPQGLELFENTSAPYQLQTFVLPATPPQLVSPRVLEVDTQGTVVCSLDGLFPVSEAQVHLALGDQRLNPTVTYGNDSFSAKASVSVTAEDEGTQRLTCAVILGNQSQETLQTVTIYSFPAPNVILTKPEVSEGTEVTVKCEAHPRAKVTLNGVPAQPLGPRAQLLLKATPEDNGRSFSCSATLEVAGQLIHKNQTRELRVLYGPRLDERDCPGNWTWPENSQQTPMCQAWGNPLPELKCLKDGTFPLPIGESVTVTRDLEGTYLCRARSTQGEVTRKVTVNVLSPRYEIVIITVVAAAVIMGTAGLSTYLYNRQRKIKKYRLQQAQKGTPMKPNTQATPP
+>DECOY_sp|P05362|ICAM1_HUMAN Intercellular adhesion molecule 1 OS=Homo sapiens OX=9606 GN=ICAM1 PE=1 SV=2
+PPTAQTNPKMPTGKQAQQLRYKKIKRQRNYLYTSLGATGMIVAAAVVTIIVIEYRPSLVNVTVKRTVEGQTSRARCLYTGELDRTVTVSEGIPLPFTGDKLCKLEPLPNGWAQCMPTQQSNEPWTWNGPCDREDLRPGYLVRLERTQNKHILQGAVELTASCSFSRGNDEPTAKLLLQARPGLPQAPVGNLTVKARPHAECKVTVETGESVEPKTLIVNPAPFSYITVTQLTEQSQNGLIVACTLRQTGEDEATVSVSAKASFSDNGYTVTPNLRQDGLALHVQAESVPFLGDLSCVVTGQTDVELVRPSVLQPPTAPLVFTQLQYPASTNEFLELGQPRLDLETRCSFNAGHHDRRVLVTTTVEAPEGVAPERKLEKEGRLLVVTLNARPAGGEVQCRLTLNKGVPQWSPLPALEVREPTWYVTLFTKATSQGDPCNSYCMPQSDEQVNSLEYVKRNNGPLLLEKKPLPTEIGLLKPQDCSTSCTVLVSGGRPLIVKSPSVSTQANGPGPFLAGLLVLLAPLAPRPSSPAM
+>sp|Q14773|ICAM4_HUMAN Intercellular adhesion molecule 4 OS=Homo sapiens OX=9606 GN=ICAM4 PE=1 SV=1
+MGSLFPLSLLFFLAAAYPGVGSALGRRTKRAQSPKGSPLAPSGTSVPFWVRMSPEFVAVQPGKSVQLNCSNSCPQPQNSSLRTPLRQGKTLRGPGWVSYQLLDVRAWSSLAHCLVTCAGKTRWATSRITAYKPPHSVILEPPVLKGRKYTLRCHVTQVFPVGYLVVTLRHGSRVIYSESLERFTGLDLANVTLTYEFAAGPRDFWQPVICHARLNLDGLVVRNSSAPITLMLAWSPAPTALASGSIAALVGILLTVGAAYLCKCLAMKSQA
+>DECOY_sp|Q14773|ICAM4_HUMAN Intercellular adhesion molecule 4 OS=Homo sapiens OX=9606 GN=ICAM4 PE=1 SV=1
+AQSKMALCKCLYAAGVTLLIGVLAAISGSALATPAPSWALMLTIPASSNRVVLGDLNLRAHCIVPQWFDRPGAAFEYTLTVNALDLGTFRELSESYIVRSGHRLTVVLYGVPFVQTVHCRLTYKRGKLVPPELIVSHPPKYATIRSTAWRTKGACTVLCHALSSWARVDLLQYSVWGPGRLTKGQRLPTRLSSNQPQPCSNSCNLQVSKGPQVAVFEPSMRVWFPVSTGSPALPSGKPSQARKTRRGLASGVGPYAAALFFLLSLPFLSGM
+>sp|Q9UMF0|ICAM5_HUMAN Intercellular adhesion molecule 5 OS=Homo sapiens OX=9606 GN=ICAM5 PE=1 SV=3
+MPGPSPGLRRALLGLWAALGLGLFGLSAVSQEPFWADLQPRVAFVERGGSLWLNCSTNCPRPERGGLETSLRRNGTQRGLRWLARQLVDIREPETQPVCFFRCARRTLQARGLIRTFQRPDRVELMPLPPWQPVGENFTLSCRVPGAGPRASLTLTLLRGAQELIRRSFAGEPPRARGAVLTATVLARREDHGANFSCRAELDLRPHGLGLFENSSAPRELRTFSLSPDAPRLAAPRLLEVGSERPVSCTLDGLFPASEARVYLALGDQNLSPDVTLEGDAFVATATATASAEQEGARQLVCNVTLGGENRETRENVTIYSFPAPLLTLSEPSVSEGQMVTVTCAAGAQALVTLEGVPAAVPGQPAQLQLNATENDDRRSFFCDATLDVDGETLIKNRSAELRVLYAPRLDDSDCPRSWTWPEGPEQTLRCEARGNPEPSVHCARSDGGAVLALGLLGPVTRALSGTYRCKAANDQGEAVKDVTLTVEYAPALDSVGCPERITWLEGTEASLSCVAHGVPPPDVICVRSGELGAVIEGLLRVAREHAGTYRCEATNPRGSAAKNVAVTVEYGPRFEEPSCPSNWTWVEGSGRLFSCEVDGKPQPSVKCVGSGGATEGVLLPLAPPDPSPRAPRIPRVLAPGIYVCNATNRHGSVAKTVVVSAESPPEMDESTCPSHQTWLEGAEASALACAARGRPSPGVRCSREGIPWPEQQRVSREDAGTYHCVATNAHGTDSRTVTVGVEYRPVVAELAASPPGGVRPGGNFTLTCRAEAWPPAQISWRAPPGALNIGLSSNNSTLSVAGAMGSHGGEYECAATNAHGRHARRITVRVAGPWLWVAVGGAAGGAALLAAGAGLAFYVQSTACKKGEYNVQEAESSGEAVCLNGAGGGAGGAAGAEGGPEAAGGAAESPAEGEVFAIQLTSA
+>DECOY_sp|Q9UMF0|ICAM5_HUMAN Intercellular adhesion molecule 5 OS=Homo sapiens OX=9606 GN=ICAM5 PE=1 SV=3
+ASTLQIAFVEGEAPSEAAGGAAEPGGEAGAAGGAGGGAGNLCVAEGSSEAEQVNYEGKKCATSQVYFALGAGAALLAAGGAAGGVAVWLWPGAVRVTIRRAHRGHANTAACEYEGGHSGMAGAVSLTSNNSSLGINLAGPPARWSIQAPPWAEARCTLTFNGGPRVGGPPSAALEAVVPRYEVGVTVTRSDTGHANTAVCHYTGADERSVRQQEPWPIGERSCRVGPSPRGRAACALASAEAGELWTQHSPCTSEDMEPPSEASVVVTKAVSGHRNTANCVYIGPALVRPIRPARPSPDPPALPLLVGETAGGSGVCKVSPQPKGDVECSFLRGSGEVWTWNSPCSPEEFRPGYEVTVAVNKAASGRPNTAECRYTGAHERAVRLLGEIVAGLEGSRVCIVDPPPVGHAVCSLSAETGELWTIREPCGVSDLAPAYEVTLTVDKVAEGQDNAAKCRYTGSLARTVPGLLGLALVAGGDSRACHVSPEPNGRAECRLTQEPGEPWTWSRPCDSDDLRPAYLVRLEASRNKILTEGDVDLTADCFFSRRDDNETANLQLQAPQGPVAAPVGELTVLAQAGAACTVTVMQGESVSPESLTLLPAPFSYITVNERTERNEGGLTVNCVLQRAGEQEASATATATAVFADGELTVDPSLNQDGLALYVRAESAPFLGDLTCSVPRESGVELLRPAALRPADPSLSFTRLERPASSNEFLGLGHPRLDLEARCSFNAGHDERRALVTATLVAGRARPPEGAFSRRILEQAGRLLTLTLSARPGAGPVRCSLTFNEGVPQWPPLPMLEVRDPRQFTRILGRAQLTRRACRFFCVPQTEPERIDVLQRALWRLGRQTGNRRLSTELGGREPRPCNTSCNLWLSGGREVFAVRPQLDAWFPEQSVASLGFLGLGLAAWLGLLARRLGPSPGPM
+>sp|P54105|ICLN_HUMAN Methylosome subunit pICln OS=Homo sapiens OX=9606 GN=CLNS1A PE=1 SV=1
+MSFLKSFPPPGPAEGLLRQQPDTEAVLNGKGLGTGTLYIAESRLSWLDGSGLGFSLEYPTISLHALSRDRSDCLGEHLYVMVNAKFEEESKEPVADEEEEDSDDDVEPITEFRFVPSDKSALEAMFTAMCECQALHPDPEDEDSDDYDGEEYDVEAHEQGQGDIPTFYTYEEGLSHLTAEGQATLERLEGMLSQSVSSQYNMAGVRTEDSIRDYEDGMEVDTTPTVAGQFEDADVDH
+>DECOY_sp|P54105|ICLN_HUMAN Methylosome subunit pICln OS=Homo sapiens OX=9606 GN=CLNS1A PE=1 SV=1
+HDVDADEFQGAVTPTTDVEMGDEYDRISDETRVGAMNYQSSVSQSLMGELRELTAQGEATLHSLGEEYTYFTPIDGQGQEHAEVDYEEGDYDDSDEDEPDPHLAQCECMATFMAELASKDSPVFRFETIPEVDDDSDEEEEDAVPEKSEEEFKANVMVYLHEGLCDSRDRSLAHLSITPYELSFGLGSGDLWSLRSEAIYLTGTGLGKGNLVAETDPQQRLLGEAPGPPPFSKLFSM
+>sp|P41235|HNF4A_HUMAN Hepatocyte nuclear factor 4-alpha OS=Homo sapiens OX=9606 GN=HNF4A PE=1 SV=3
+MRLSKTLVDMDMADYSAALDPAYTTLEFENVQVLTMGNDTSPSEGTNLNAPNSLGVSALCAICGDRATGKHYGASSCDGCKGFFRRSVRKNHMYSCRFSRQCVVDKDKRNQCRYCRLKKCFRAGMKKEAVQNERDRISTRRSSYEDSSLPSINALLQAEVLSRQITSPVSGINGDIRAKKIASIADVCESMKEQLLVLVEWAKYIPAFCELPLDDQVALLRAHAGEHLLLGATKRSMVFKDVLLLGNDYIVPRHCPELAEMSRVSIRILDELVLPFQELQIDDNEYAYLKAIIFFDPDAKGLSDPGKIKRLRSQVQVSLEDYINDRQYDSRGRFGELLLLLPTLQSITWQMIEQIQFIKLFGMAKIDNLLQEMLLGGSPSDAPHAHHPLHPHLMQEHMGTNVIVANTMPTHLSNGQMCEWPRPRGQAATPETPQPSPPGGSGSEPYKLLPGAVATIVKPLSAIPQPTITKQEVI
+>DECOY_sp|P41235|HNF4A_HUMAN Hepatocyte nuclear factor 4-alpha OS=Homo sapiens OX=9606 GN=HNF4A PE=1 SV=3
+IVEQKTITPQPIASLPKVITAVAGPLLKYPESGSGGPPSPQPTEPTAAQGRPRPWECMQGNSLHTPMTNAVIVNTGMHEQMLHPHLPHHAHPADSPSGGLLMEQLLNDIKAMGFLKIFQIQEIMQWTISQLTPLLLLLEGFRGRSDYQRDNIYDELSVQVQSRLRKIKGPDSLGKADPDFFIIAKLYAYENDDIQLEQFPLVLEDLIRISVRSMEALEPCHRPVIYDNGLLLVDKFVMSRKTAGLLLHEGAHARLLAVQDDLPLECFAPIYKAWEVLVLLQEKMSECVDAISAIKKARIDGNIGSVPSTIQRSLVEAQLLANISPLSSDEYSSRRTSIRDRENQVAEKKMGARFCKKLRCYRCQNRKDKDVVCQRSFRCSYMHNKRVSRRFFGKCGDCSSAGYHKGTARDGCIACLASVGLSNPANLNTGESPSTDNGMTLVQVNEFELTTYAPDLAASYDAMDMDVLTKSLRM
+>sp|B2RXH8|HNRC2_HUMAN Heterogeneous nuclear ribonucleoprotein C-like 2 OS=Homo sapiens OX=9606 GN=HNRNPCL2 PE=1 SV=1
+MASNVTNKMDPHSVNSRVFIGNLNTLVVKKSDVEAIFSKYGKIAGCSVHKGFAFVQYDKEKNARAAVAGEDGRMIASQVAVINLAAEPKVNRGNAGVKRSAAEMYGSSFDLDYGFQRDYYDGMYSFPARVPPPPPIALAVVPSKRQRISGNTSRRGKSGFNSKSGKRGSSKSGKLKGDDLQAIKQELTQIKQKVDSLLENLEKIEKEQSKQEVEVKNAKSEEEQSSSSMKKDETHVKMESEGGAEDSAEEGDPLDDDDNEDQGDNQLHLIKNNEKDAEEGEDNRDSTNGQDDS
+>DECOY_sp|B2RXH8|HNRC2_HUMAN Heterogeneous nuclear ribonucleoprotein C-like 2 OS=Homo sapiens OX=9606 GN=HNRNPCL2 PE=1 SV=1
+SDDQGNTSDRNDEGEEADKENNKILHLQNDGQDENDDDDLPDGEEASDEAGGESEMKVHTEDKKMSSSSQEEESKANKVEVEQKSQEKEIKELNELLSDVKQKIQTLEQKIAQLDDGKLKGSKSSGRKGSKSNFGSKGRRSTNGSIRQRKSPVVALAIPPPPPVRAPFSYMGDYYDRQFGYDLDFSSGYMEAASRKVGANGRNVKPEAALNIVAVQSAIMRGDEGAVAARANKEKDYQVFAFGKHVSCGAIKGYKSFIAEVDSKKVVLTNLNGIFVRSNVSHPDMKNTVNSAM
+>sp|P14866|HNRPL_HUMAN Heterogeneous nuclear ribonucleoprotein L OS=Homo sapiens OX=9606 GN=HNRNPL PE=1 SV=2
+MSRRLLPRAEKRRRRLEQRQQPDEQRRRSGAMVKMAAAGGGGGGGRYYGGGSEGGRAPKRLKTDNAGDQHGGGGGGGGGAGAAGGGGGGENYDDPHKTPASPVVHIRGLIDGVVEADLVEALQEFGPISYVVVMPKKRQALVEFEDVLGACNAVNYAADNQIYIAGHPAFVNYSTSQKISRPGDSDDSRSVNSVLLFTILNPIYSITTDVLYTICNPCGPVQRIVIFRKNGVQAMVEFDSVQSAQRAKASLNGADIYSGCCTLKIEYAKPTRLNVFKNDQDTWDYTNPNLSGQGDPGSNPNKRQRQPPLLGDHPAEYGGPHGGYHSHYHDEGYGPPPPHYEGRRMGPPVGGHRRGPSRYGPQYGHPPPPPPPPEYGPHADSPVLMVYGLDQSKMNCDRVFNVFCLYGNVEKVKFMKSKPGAAMVEMADGYAVDRAITHLNNNFMFGQKLNVCVSKQPAIMPGQSYGLEDGSCSYKDFSESRNNRFSTPEQAAKNRIQHPSNVLHFFNAPLEVTEENFFEICDELGVKRPSSVKVFSGKSERSSSGLLEWESKSDALETLGFLNHYQMKNPNGPYPYTLKLCFSTAQHAS
+>DECOY_sp|P14866|HNRPL_HUMAN Heterogeneous nuclear ribonucleoprotein L OS=Homo sapiens OX=9606 GN=HNRNPL PE=1 SV=2
+SAHQATSFCLKLTYPYPGNPNKMQYHNLFGLTELADSKSEWELLGSSSRESKGSFVKVSSPRKVGLEDCIEFFNEETVELPANFFHLVNSPHQIRNKAAQEPTSFRNNRSESFDKYSCSGDELGYSQGPMIAPQKSVCVNLKQGFMFNNNLHTIARDVAYGDAMEVMAAGPKSKMFKVKEVNGYLCFVNFVRDCNMKSQDLGYVMLVPSDAHPGYEPPPPPPPPHGYQPGYRSPGRRHGGVPPGMRRGEYHPPPPGYGEDHYHSHYGGHPGGYEAPHDGLLPPQRQRKNPNSGPDGQGSLNPNTYDWTDQDNKFVNLRTPKAYEIKLTCCGSYIDAGNLSAKARQASQVSDFEVMAQVGNKRFIVIRQVPGCPNCITYLVDTTISYIPNLITFLLVSNVSRSDDSDGPRSIKQSTSYNVFAPHGAIYIQNDAAYNVANCAGLVDEFEVLAQRKKPMVVVYSIPGFEQLAEVLDAEVVGDILGRIHVVPSAPTKHPDDYNEGGGGGGAAGAGGGGGGGGGHQDGANDTKLRKPARGGESGGGYYRGGGGGGGAAAMKVMAGSRRRQEDPQQRQELRRRRKEARPLLRRSM
+>sp|O60506|HNRPQ_HUMAN Heterogeneous nuclear ribonucleoprotein Q OS=Homo sapiens OX=9606 GN=SYNCRIP PE=1 SV=2
+MATEHVNGNGTEEPMDTTSAVIHSENFQTLLDAGLPQKVAEKLDEIYVAGLVAHSDLDERAIEALKEFNEDGALAVLQQFKDSDLSHVQNKSAFLCGVMKTYRQREKQGTKVADSSKGPDEAKIKALLERTGYTLDVTTGQRKYGGPPPDSVYSGQQPSVGTEIFVGKIPRDLFEDELVPLFEKAGPIWDLRLMMDPLTGLNRGYAFVTFCTKEAAQEAVKLYNNHEIRSGKHIGVCISVANNRLFVGSIPKSKTKEQILEEFSKVTEGLTDVILYHQPDDKKKNRGFCFLEYEDHKTAAQARRRLMSGKVKVWGNVGTVEWADPIEDPDPEVMAKVKVLFVRNLANTVTEEILEKAFSQFGKLERVKKLKDYAFIHFDERDGAVKAMEEMNGKDLEGENIEIVFAKPPDQKRKERKAQRQAAKNQMYDDYYYYGPPHMPPPTRGRGRGGRGGYGYPPDYYGYEDYYDYYGYDYHNYRGGYEDPYYGYEDFQVGARGRGGRGARGAAPSRGRGAAPPRGRAGYSQRGGPGSARGVRGARGGAQQQRGRGVRGARGGRGGNVGGKRKADGYNQPDSKRRQTNNQNWGSQPIAQQPLQGGDHSGNYGYKSENQEFYQDTFGQQWK
+>DECOY_sp|O60506|HNRPQ_HUMAN Heterogeneous nuclear ribonucleoprotein Q OS=Homo sapiens OX=9606 GN=SYNCRIP PE=1 SV=2
+KWQQGFTDQYFEQNESKYGYNGSHDGGQLPQQAIPQSGWNQNNTQRRKSDPQNYGDAKRKGGVNGGRGGRAGRVGRGRQQQAGGRAGRVGRASGPGGRQSYGARGRPPAAGRGRSPAAGRAGRGGRGRAGVQFDEYGYYPDEYGGRYNHYDYGYYDYYDEYGYYDPPYGYGGRGGRGRGRTPPPMHPPGYYYYDDYMQNKAAQRQAKREKRKQDPPKAFVIEINEGELDKGNMEEMAKVAGDREDFHIFAYDKLKKVRELKGFQSFAKELIEETVTNALNRVFLVKVKAMVEPDPDEIPDAWEVTGVNGWVKVKGSMLRRRAQAATKHDEYELFCFGRNKKKDDPQHYLIVDTLGETVKSFEELIQEKTKSKPISGVFLRNNAVSICVGIHKGSRIEHNNYLKVAEQAAEKTCFTVFAYGRNLGTLPDMMLRLDWIPGAKEFLPVLEDEFLDRPIKGVFIETGVSPQQGSYVSDPPPGGYKRQGTTVDLTYGTRELLAKIKAEDPGKSSDAVKTGQKERQRYTKMVGCLFASKNQVHSLDSDKFQQLVALAGDENFEKLAEIAREDLDSHAVLGAVYIEDLKEAVKQPLGADLLTQFNESHIVASTTDMPEETGNGNVHETAM
+>sp|Q96ED9|HOOK2_HUMAN Protein Hook homolog 2 OS=Homo sapiens OX=9606 GN=HOOK2 PE=1 SV=3
+MSVDKAELCGSLLTWLQTFHVPSPCASPQDLSSGLAVAYVLNQIDPSWFNEAWLQGISEDPGPNWKLKVSNLKMVLRSLVEYSQDVLAHPVSEEHLPDVSLIGEFSDPAELGKLLQLVLGCAISCEKKQDHIQRIMTLEESVQHVVMEAIQELMTKDTPDSLSPETYGNFDSQSRRYYFLSEEAEEGDELQQRCLDLERQLMLLSEEKQSLAQENAGLRERMGRPEGEGTPGLTAKKLLLLQSQLEQLQEENFRLESGREDERLRCAELEREVAELQHRNQALTSLAQEAQALKDEMDELRQSSERAGQLEATLTSCRRRLGELRELRRQVRQLEERNAGHAERTRQLEDELRRAGSLRAQLEAQRRQVQELQGQRQEEAMKAEKWLFECRNLEEKYESVTKEKERLLAERDSLREANEELRCAQLQPRGLTQADPSLDPTSTPVDNLAAEILPAELRETLLRLQLENKRLCRQEAADRERQEELQRHLEDANRARHGLETQHRLNQQQLSELRAQVEDLQKALQEQGGKTEDAISILLKRKLEEHLQKLHEADLELQRKREYIEELEPPTDSSTARRIEELQHNLQKKDADLRAMEERYRRYVDKARMVMQTMEPKQRPAAGAPPELHSLRTQLRERDVRIRHLEMDFEKSRSQREQEEKLLISAWYNMGMALQQRAGEERAPAHAQSFLAQQRLATNSRRGPLGRLASLNLRPTDKH
+>DECOY_sp|Q96ED9|HOOK2_HUMAN Protein Hook homolog 2 OS=Homo sapiens OX=9606 GN=HOOK2 PE=1 SV=3
+HKDTPRLNLSALRGLPGRRSNTALRQQALFSQAHAPAREEGARQQLAMGMNYWASILLKEEQERQSRSKEFDMELHRIRVDRERLQTRLSHLEPPAGAAPRQKPEMTQMVMRAKDVYRRYREEMARLDADKKQLNHQLEEIRRATSSDTPPELEEIYERKRQLELDAEHLKQLHEELKRKLLISIADETKGGQEQLAKQLDEVQARLESLQQQNLRHQTELGHRARNADELHRQLEEQRERDAAEQRCLRKNELQLRLLTERLEAPLIEAALNDVPTSTPDLSPDAQTLGRPQLQACRLEENAERLSDREALLREKEKTVSEYKEELNRCEFLWKEAKMAEEQRQGQLEQVQRRQAELQARLSGARRLEDELQRTREAHGANREELQRVQRRLERLEGLRRRCSTLTAELQGARESSQRLEDMEDKLAQAEQALSTLAQNRHQLEAVERELEACRLREDERGSELRFNEEQLQELQSQLLLLKKATLGPTGEGEPRGMRERLGANEQALSQKEESLLMLQRELDLCRQQLEDGEEAEESLFYYRRSQSDFNGYTEPSLSDPTDKTMLEQIAEMVVHQVSEELTMIRQIHDQKKECSIACGLVLQLLKGLEAPDSFEGILSVDPLHEESVPHALVDQSYEVLSRLVMKLNSVKLKWNPGPDESIGQLWAENFWSPDIQNLVYAVALGSSLDQPSACPSPVHFTQLWTLLSGCLEAKDVSM
+>sp|P10915|HPLN1_HUMAN Hyaluronan and proteoglycan link protein 1 OS=Homo sapiens OX=9606 GN=HAPLN1 PE=2 SV=2
+MKSLLLLVLISICWADHLSDNYTLDHDRAIHIQAENGPHLLVEAEQAKVFSHRGGNVTLPCKFYRDPTAFGSGIHKIRIKWTKLTSDYLKEVDVFVSMGYHKKTYGGYQGRVFLKGGSDSDASLVITDLTLEDYGRYKCEVIEGLEDDTVVVALDLQGVVFPYFPRLGRYNLNFHEAQQACLDQDAVIASFDQLYDAWRGGLDWCNAGWLSDGSVQYPITKPREPCGGQNTVPGVRNYGFWDKDKSRYDVFCFTSNFNGRFYYLIHPTKLTYDEAVQACLNDGAQIAKVGQIFAAWKILGYDRCDAGWLADGSVRYPISRPRRRCSPTEAAVRFVGFPDKKHKLYGVYCFRAYN
+>DECOY_sp|P10915|HPLN1_HUMAN Hyaluronan and proteoglycan link protein 1 OS=Homo sapiens OX=9606 GN=HAPLN1 PE=2 SV=2
+NYARFCYVGYLKHKKDPFGVFRVAAETPSCRRRPRSIPYRVSGDALWGADCRDYGLIKWAAFIQGVKAIQAGDNLCAQVAEDYTLKTPHILYYFRGNFNSTFCFVDYRSKDKDWFGYNRVGPVTNQGGCPERPKTIPYQVSGDSLWGANCWDLGGRWADYLQDFSAIVADQDLCAQQAEHFNLNYRGLRPFYPFVVGQLDLAVVVTDDELGEIVECKYRGYDELTLDTIVLSADSDSGGKLFVRGQYGGYTKKHYGMSVFVDVEKLYDSTLKTWKIRIKHIGSGFATPDRYFKCPLTVNGGRHSFVKAQEAEVLLHPGNEAQIHIARDHDLTYNDSLHDAWCISILVLLLLSKM
+>sp|Q9GZV7|HPLN2_HUMAN Hyaluronan and proteoglycan link protein 2 OS=Homo sapiens OX=9606 GN=HAPLN2 PE=2 SV=1
+MPGWLTLPTLCRFLLWAFTIFHKAQGDPASHPGPHYLLPPIHEVIHSHRGATATLPCVLGTTPPSYKVRWSKVEPGELRETLILITNGLHARGYGPLGGRARMRRGHRLDASLVIAGVRLEDEGRYRCELINGIEDESVALTLSLEGVVFPYQPSRGRYQFNYYEAKQACEEQDGRLATYSQLYQAWTEGLDWCNAGWLLEGSVRYPVLTARAPCGGRGRPGIRSYGPRDRMRDRYDAFCFTSALAGQVFFVPGRLTLSEAHAACRRRGAVVAKVGHLYAAWKFSGLDQCDGGWLADGSVRFPITTPRPRCGGLPDPGVRSFGFPRPQQAAYGTYCYAEN
+>DECOY_sp|Q9GZV7|HPLN2_HUMAN Hyaluronan and proteoglycan link protein 2 OS=Homo sapiens OX=9606 GN=HAPLN2 PE=2 SV=1
+NEAYCYTGYAAQQPRPFGFSRVGPDPLGGCRPRPTTIPFRVSGDALWGGDCQDLGSFKWAAYLHGVKAVVAGRRRCAAHAESLTLRGPVFFVQGALASTFCFADYRDRMRDRPGYSRIGPRGRGGCPARATLVPYRVSGELLWGANCWDLGETWAQYLQSYTALRGDQEECAQKAEYYNFQYRGRSPQYPFVVGELSLTLAVSEDEIGNILECRYRGEDELRVGAIVLSADLRHGRRMRARGGLPGYGRAHLGNTILILTERLEGPEVKSWRVKYSPPTTGLVCPLTATAGRHSHIVEHIPPLLYHPGPHSAPDGQAKHFITFAWLLFRCLTPLTLWGPM
+>sp|Q96S86|HPLN3_HUMAN Hyaluronan and proteoglycan link protein 3 OS=Homo sapiens OX=9606 GN=HAPLN3 PE=2 SV=1
+MGLLLLVPLLLLPGSYGLPFYNGFYYSNSANDQNLGNGHGKDLLNGVKLVVETPEETLFTYQGASVILPCRYRYEPALVSPRRVRVKWWKLSENGAPEKDVLVAIGLRHRSFGDYQGRVHLRQDKEHDVSLEIQDLRLEDYGRYRCEVIDGLEDESGLVELELRGVVFPYQSPNGRYQFNFHEGQQVCAEQAAVVASFEQLFRAWEEGLDWCNAGWLQDATVQYPIMLPRQPCGGPGLAPGVRSYGPRHRRLHRYDVFCFATALKGRVYYLEHPEKLTLTEAREACQEDDATIAKVGQLFAAWKFHGLDRCDAGWLADGSVRYPVVHPHPNCGPPEPGVRSFGFPDPQSRLYGVYCYRQH
+>DECOY_sp|Q96S86|HPLN3_HUMAN Hyaluronan and proteoglycan link protein 3 OS=Homo sapiens OX=9606 GN=HAPLN3 PE=2 SV=1
+HQRYCYVGYLRSQPDPFGFSRVGPEPPGCNPHPHVVPYRVSGDALWGADCRDLGHFKWAAFLQGVKAITADDEQCAERAETLTLKEPHELYYVRGKLATAFCFVDYRHLRRHRPGYSRVGPALGPGGCPQRPLMIPYQVTADQLWGANCWDLGEEWARFLQEFSAVVAAQEACVQQGEHFNFQYRGNPSQYPFVVGRLELEVLGSEDELGDIVECRYRGYDELRLDQIELSVDHEKDQRLHVRGQYDGFSRHRLGIAVLVDKEPAGNESLKWWKVRVRRPSVLAPEYRYRCPLIVSAGQYTFLTEEPTEVVLKVGNLLDKGHGNGLNQDNASNSYYFGNYFPLGYSGPLLLLPVLLLLGM
+>sp|Q86UW8|HPLN4_HUMAN Hyaluronan and proteoglycan link protein 4 OS=Homo sapiens OX=9606 GN=HAPLN4 PE=2 SV=1
+MVCARAALGPGALWAAAWGVLLLTAPAGAQRGRKKVVHVLEGESGSVVVQTAPGQVVSHRGGTIVLPCRYHYEAAAHGHDGVRLKWTKVVDPLAFTDVFVALGPQHRAFGSYRGRAELQGDGPGDASLVLRNVTLQDYGRYECEVTNELEDDAGMVKLDLEGVVFPYHPRGGRYKLTFAEAQRACAEQDGILASAEQLHAAWRDGLDWCNAGWLRDGSVQYPVNRPREPCGGLGGTGSAGGGGDANGGLRNYGYRHNAEERYDAFCFTSNLPGRVFFLKPLRPVPFSGAARACAARGAAVAKVGQLFAAWKLQLLDRCTAGWLADGSARYPIVNPRARCGGRRPGVRSLGFPDATRRLFGVYCYRAPGAPDPAPGGWGWGWAGGGGWAGGARDPAAWTPLHV
+>DECOY_sp|Q86UW8|HPLN4_HUMAN Hyaluronan and proteoglycan link protein 4 OS=Homo sapiens OX=9606 GN=HAPLN4 PE=2 SV=1
+VHLPTWAAPDRAGGAWGGGGAWGWGWGGPAPDPAGPARYCYVGFLRRTADPFGLSRVGPRRGGCRARPNVIPYRASGDALWGATCRDLLQLKWAAFLQGVKAVAAGRAACARAAGSFPVPRLPKLFFVRGPLNSTFCFADYREEANHRYGYNRLGGNADGGGGASGTGGLGGCPERPRNVPYQVSGDRLWGANCWDLGDRWAAHLQEASALIGDQEACARQAEAFTLKYRGGRPHYPFVVGELDLKVMGADDELENTVECEYRGYDQLTVNRLVLSADGPGDGQLEARGRYSGFARHQPGLAVFVDTFALPDVVKTWKLRVGDHGHAAAEYHYRCPLVITGGRHSVVQGPATQVVVSGSEGELVHVVKKRGRQAGAPATLLLVGWAAAWLAGPGLAARACVM
+>sp|Q92902|HPS1_HUMAN Hermansky-Pudlak syndrome 1 protein OS=Homo sapiens OX=9606 GN=HPS1 PE=1 SV=2
+MKCVLVATEGAEVLFYWTDQEFEESLRLKFGQSENEEEELPALEDQLSTLLAPVIISSMTMLEKLSDTYTCFSTENGNFLYVLHLFGECLFIAINGDHTESEGDLRRKLYVLKYLFEVHFGLVTVDGHLIRKELRPPDLAQRVQLWEHFQSLLWTYSRLREQEQCFAVEALERLIHPQLCELCIEALERHVIQAVNTSPERGGEEALHAFLLVHSKLLAFYSSHSASSLRPADLLALILLVQDLYPSESTAEDDIQPSPRRARSSQNIPVQQAWSPHSTGPTGGSSAETETDSFSLPEEYFTPAPSPGDQSSGSTIWLEGGTPPMDALQIAEDTLQTLVPHCPVPSGPRRIFLDANVKESYCPLVPHTMYCLPLWQGINLVLLTRSPSAPLALVLSQLMDGFSMLEKKLKEGPEPGASLRSQPLVGDLRQRMDKFVKNRGAQEIQSTWLEFKAKAFSKSEPGSSWELLQACGKLKRQLCAIYRLNFLTTAPSRGGPHLPQHLQDQVQRLMREKLTDWKDFLLVKSRRNITMVSYLEDFPGLVHFIYVDRTTGQMVAPSLNCSQKTSSELGKGPLAAFVKTKVWSLIQLARRYLQKGYTTLLFQEGDFYCSYFLWFENDMGYKLQMIEVPVLSDDSVPIGMLGGDYYRKLLRYYSKNRPTEAVRCYELLALHLSVIPTDLLVQQAGQLARRLWEASRIPLL
+>DECOY_sp|Q92902|HPS1_HUMAN Hermansky-Pudlak syndrome 1 protein OS=Homo sapiens OX=9606 GN=HPS1 PE=1 SV=2
+LLPIRSAEWLRRALQGAQQVLLDTPIVSLHLALLEYCRVAETPRNKSYYRLLKRYYDGGLMGIPVSDDSLVPVEIMQLKYGMDNEFWLFYSCYFDGEQFLLTTYGKQLYRRALQILSWVKTKVFAALPGKGLESSTKQSCNLSPAVMQGTTRDVYIFHVLGPFDELYSVMTINRRSKVLLFDKWDTLKERMLRQVQDQLHQPLHPGGRSPATTLFNLRYIACLQRKLKGCAQLLEWSSGPESKSFAKAKFELWTSQIEQAGRNKVFKDMRQRLDGVLPQSRLSAGPEPGEKLKKELMSFGDMLQSLVLALPASPSRTLLVLNIGQWLPLCYMTHPVLPCYSEKVNADLFIRRPGSPVPCHPVLTQLTDEAIQLADMPPTGGELWITSGSSQDGPSPAPTFYEEPLSFSDTETEASSGGTPGTSHPSWAQQVPINQSSRARRPSPQIDDEATSESPYLDQVLLILALLDAPRLSSASHSSYFALLKSHVLLFAHLAEEGGREPSTNVAQIVHRELAEICLECLQPHILRELAEVAFCQEQERLRSYTWLLSQFHEWLQVRQALDPPRLEKRILHGDVTVLGFHVEFLYKLVYLKRRLDGESETHDGNIAIFLCEGFLHLVYLFNGNETSFCTYTDSLKELMTMSSIIVPALLTSLQDELAPLEEEENESQGFKLRLSEEFEQDTWYFLVEAGETAVLVCKM
+>sp|Q9UPZ3|HPS5_HUMAN Hermansky-Pudlak syndrome 5 protein OS=Homo sapiens OX=9606 GN=HPS5 PE=1 SV=2
+MAFVPVIPESYSHVLAEFESLDPLLSALRLDSSRLKCTSIAVSRKWLALGSSGGGLHLIQKEGWKHRLFLSHREGAISQVACCLHDDDYVAVATSQGLVVVWELNQERRGKPEQMYVSSEHKGRRVTALCWDTAILRVFVGDHAGKVSAIKLNTSKQAKAAAAFVMFPVQTITTVDSCVVQLDYLDGRLLISSLTRSFLCDTEREKFWKIGNKERDGEYGACFFPGRCSGGQQPLIYCARPGSRMWEVNFDGEVISTHQFKKLLSLPPLPVITLRSEPQYDHTAGSSQSLSFPKLLHLSEHCVLTWTERGIYIFIPQNVQVLLWSEVKDIQDVAVCRNELFCLHLNGKVSHLSLISVERCVERLLRRGLWNLAARTCCLFQNSVIASRARKTLTADKLEHLKSQLDHGTYNDLISQLEELILKFEPLDSACSSRRSSISSHESFSILDSGIYRIISSRRGSQSDEDSCSLHSQTLSEDERFKEFTSQQEEDLPDQCCGSHGNEDNVSHAPVMFETDKNETFLPFGIPLPFRSPSPLVSLQAVKESVSSFVRKTTEKIGTLHTSPDLKVRPELRGDEQSCEEDVSSDTCPKEEDTEEEKEVTSPPPEEDRFQELKVATAEAMTKLQDPLVLFESESLRMVLQEWLSHLEKTFAMKDFSGVSDTDNSSMKLNQDVLLVNESKKGILDEDNEKEKRDSLGNEESVDKTACECVRSPRESLDDLFQICSPCAIASGLRNDLAELTTLCLELNVLNSKIKSTSGHVDHTLQQYSPEILACQFLKKYFFLLNLKRAKESIKLSYSNSPSVWDTFIEGLKEMASSNPVYMEMEKGDLPTRLKLLDDEVPFDSPLLVVYATRLYEKFGESALRSLIKFFPSILPSDIIQLCHHHPAEFLAYLDSLVKSRPEDQRSSFLESLLQPESLRLDWLLLAVSLDAPPSTSTMDDEGYPRPHSHLLSWGYSQLILHLIKLPADFITKEKMTDICRSCGFWPGYLILCLELERRREAFTNIVYLNDMSLMEGDNGWIPETVEEWKLLLHLIQSKSTRPAPQESLNGSLSDGPSPINVENVALLLAKAMGPDRAWSLLQECGLALELSEKFTRTCDILRIAEKRQRALIQSMLEKCDRFLWSQQA
+>DECOY_sp|Q9UPZ3|HPS5_HUMAN Hermansky-Pudlak syndrome 5 protein OS=Homo sapiens OX=9606 GN=HPS5 PE=1 SV=2
+AQQSWLFRDCKELMSQILARQRKEAIRLIDCTRTFKESLELALGCEQLLSWARDPGMAKALLLAVNEVNIPSPGDSLSGNLSEQPAPRTSKSQILHLLLKWEEVTEPIWGNDGEMLSMDNLYVINTFAERRRELELCLILYGPWFGCSRCIDTMKEKTIFDAPLKILHLILQSYGWSLLHSHPRPYGEDDMTSTSPPADLSVALLLWDLRLSEPQLLSELFSSRQDEPRSKVLSDLYALFEAPHHHCLQIIDSPLISPFFKILSRLASEGFKEYLRTAYVVLLPSDFPVEDDLLKLRTPLDGKEMEMYVPNSSAMEKLGEIFTDWVSPSNSYSLKISEKARKLNLLFFYKKLFQCALIEPSYQQLTHDVHGSTSKIKSNLVNLELCLTTLEALDNRLGSAIACPSCIQFLDDLSERPSRVCECATKDVSEENGLSDRKEKENDEDLIGKKSENVLLVDQNLKMSSNDTDSVGSFDKMAFTKELHSLWEQLVMRLSESEFLVLPDQLKTMAEATAVKLEQFRDEEPPPSTVEKEEETDEEKPCTDSSVDEECSQEDGRLEPRVKLDPSTHLTGIKETTKRVFSSVSEKVAQLSVLPSPSRFPLPIGFPLFTENKDTEFMVPAHSVNDENGHSGCCQDPLDEEQQSTFEKFREDESLTQSHLSCSDEDSQSGRRSSIIRYIGSDLISFSEHSSISSRRSSCASDLPEFKLILEELQSILDNYTGHDLQSKLHELKDATLTKRARSAIVSNQFLCCTRAALNWLGRRLLREVCREVSILSLHSVKGNLHLCFLENRCVAVDQIDKVESWLLVQVNQPIFIYIGRETWTLVCHESLHLLKPFSLSQSSGATHDYQPESRLTIVPLPPLSLLKKFQHTSIVEGDFNVEWMRSGPRACYILPQQGGSCRGPFFCAGYEGDREKNGIKWFKERETDCLFSRTLSSILLRGDLYDLQVVCSDVTTITQVPFMVFAAAAKAQKSTNLKIASVKGAHDGVFVRLIATDWCLATVRRGKHESSVYMQEPKGRREQNLEWVVVLGQSTAVAVYDDDHLCCAVQSIAGERHSLFLRHKWGEKQILHLGGGSSGLALWKRSVAISTCKLRSSDLRLASLLPDLSEFEALVHSYSEPIVPVFAM
+>sp|Q8WWQ2|HPSE2_HUMAN Inactive heparanase-2 OS=Homo sapiens OX=9606 GN=HPSE2 PE=1 SV=3
+MRVLCAFPEAMPSSNSRPPACLAPGALYLALLLHLSLSSQAGDRRPLPVDRAAGLKEKTLILLDVSTKNPVRTVNENFLSLQLDPSIIHDGWLDFLSSKRLVTLARGLSPAFLRFGGKRTDFLQFQNLRNPAKSRGGPGPDYYLKNYEDDIVRSDVALDKQKGCKIAQHPDVMLELQREKAAQMHLVLLKEQFSNTYSNLILTARSLDKLYNFADCSGLHLIFALNALRRNPNNSWNSSSALSLLKYSASKKYNISWELGNEPNNYRTMHGRAVNGSQLGKDYIQLKSLLQPIRIYSRASLYGPNIGRPRKNVIALLDGFMKVAGSTVDAVTWQHCYIDGRVVKVMDFLKTRLLDTLSDQIRKIQKVVNTYTPGKKIWLEGVVTTSAGGTNNLSDSYAAGFLWLNTLGMLANQGIDVVIRHSFFDHGYNHLVDQNFNPLPDYWLSLLYKRLIGPKVLAVHVAGLQRKPRPGRVIRDKLRIYAHCTNHHNHNYVRGSITLFIINLHRSRKKIKLAGTLRDKLVHQYLLQPYGQEGLKSKSVQLNGQPLVMVDDGTLPELKPRPLRAGRTLVIPPVTMGFYVVKNVNALACRYR
+>DECOY_sp|Q8WWQ2|HPSE2_HUMAN Inactive heparanase-2 OS=Homo sapiens OX=9606 GN=HPSE2 PE=1 SV=3
+RYRCALANVNKVVYFGMTVPPIVLTRGARLPRPKLEPLTGDDVMVLPQGNLQVSKSKLGEQGYPQLLYQHVLKDRLTGALKIKKRSRHLNIIFLTISGRVYNHNHHNTCHAYIRLKDRIVRGPRPKRQLGAVHVALVKPGILRKYLLSLWYDPLPNFNQDVLHNYGHDFFSHRIVVDIGQNALMGLTNLWLFGAAYSDSLNNTGGASTTVVGELWIKKGPTYTNVVKQIKRIQDSLTDLLRTKLFDMVKVVRGDIYCHQWTVADVTSGAVKMFGDLLAIVNKRPRGINPGYLSARSYIRIPQLLSKLQIYDKGLQSGNVARGHMTRYNNPENGLEWSINYKKSASYKLLSLASSSNWSNNPNRRLANLAFILHLGSCDAFNYLKDLSRATLILNSYTNSFQEKLLVLHMQAAKERQLELMVDPHQAIKCGKQKDLAVDSRVIDDEYNKLYYDPGPGGRSKAPNRLNQFQLFDTRKGGFRLFAPSLGRALTVLRKSSLFDLWGDHIISPDLQLSLFNENVTRVPNKTSVDLLILTKEKLGAARDVPLPRRDGAQSSLSLHLLLALYLAGPALCAPPRSNSSPMAEPFACLVRM
+>sp|P25021|HRH2_HUMAN Histamine H2 receptor OS=Homo sapiens OX=9606 GN=HRH2 PE=2 SV=1
+MAPNGTASSFCLDSTACKITITVVLAVLILITVAGNVVVCLAVGLNRRLRNLTNCFIVSLAITDLLLGLLVLPFSAIYQLSCKWSFGKVFCNIYTSLDVMLCTASILNLFMISLDRYCAVMDPLRYPVLVTPVRVAISLVLIWVISITLSFLSIHLGWNSRNETSKGNHTTSKCKVQVNEVYGLVDGLVTFYLPLLIMCITYYRIFKVARDQAKRINHISSWKAATIREHKATVTLAAVMGAFIICWFPYFTAFVYRGLRGDDAINEVLEAIVLWLGYANSALNPILYAALNRDFRTGYQQLFCCRLANRNSHKTSLRSNASQLSRTQSREPRQQEEKPLKLQVWSGTEVTAPQGATDR
+>DECOY_sp|P25021|HRH2_HUMAN Histamine H2 receptor OS=Homo sapiens OX=9606 GN=HRH2 PE=2 SV=1
+RDTAGQPATVETGSWVQLKLPKEEQQRPERSQTRSLQSANSRLSTKHSNRNALRCCFLQQYGTRFDRNLAAYLIPNLASNAYGLWLVIAELVENIADDGRLGRYVFATFYPFWCIIFAGMVAALTVTAKHERITAAKWSSIHNIRKAQDRAVKFIRYYTICMILLPLYFTVLGDVLGYVENVQVKCKSTTHNGKSTENRSNWGLHISLFSLTISIVWILVLSIAVRVPTVLVPYRLPDMVACYRDLSIMFLNLISATCLMVDLSTYINCFVKGFSWKCSLQYIASFPLVLLGLLLDTIALSVIFCNTLNRLRRNLGVALCVVVNGAVTILILVALVVTITIKCATSDLCFSSATGNPAM
+>sp|Q9H3N8|HRH4_HUMAN Histamine H4 receptor OS=Homo sapiens OX=9606 GN=HRH4 PE=1 SV=2
+MPDTNSTINLSLSTRVTLAFFMSLVAFAIMLGNALVILAFVVDKNLRHRSSYFFLNLAISDFFVGVISIPLYIPHTLFEWDFGKEICVFWLTTDYLLCTASVYNIVLISYDRYLSVSNAVSYRTQHTGVLKIVTLMVAVWVLAFLVNGPMILVSESWKDEGSECEPGFFSEWYILAITSFLEFVIPVILVAYFNMNIYWSLWKRDHLSRCQSHPGLTAVSSNICGHSFRGRLSSRRSLSASTEVPASFHSERQRRKSSLMFSSRTKMNSNTIASKMGSFSQSDSVALHQREHVELLRARRLAKSLAILLGVFAVCWAPYSLFTIVLSFYSSATGPKSVWYRIAFWLQWFNSFVNPLLYPLCHKRFQKAFLKIFCIKKQPLPSQHSRSVSS
+>DECOY_sp|Q9H3N8|HRH4_HUMAN Histamine H4 receptor OS=Homo sapiens OX=9606 GN=HRH4 PE=1 SV=2
+SSVSRSHQSPLPQKKICFIKLFAKQFRKHCLPYLLPNVFSNFWQLWFAIRYWVSKPGTASSYFSLVITFLSYPAWCVAFVGLLIALSKALRRARLLEVHERQHLAVSDSQSFSGMKSAITNSNMKTRSSFMLSSKRRQRESHFSAPVETSASLSRRSSLRGRFSHGCINSSVATLGPHSQCRSLHDRKWLSWYINMNFYAVLIVPIVFELFSTIALIYWESFFGPECESGEDKWSESVLIMPGNVLFALVWVAVMLTVIKLVGTHQTRYSVANSVSLYRDYSILVINYVSATCLLYDTTLWFVCIEKGFDWEFLTHPIYLPISIVGVFFDSIALNLFFYSSRHRLNKDVVFALIVLANGLMIAFAVLSMFFALTVRTSLSLNITSNTDPM
+>sp|O14792|HS3S1_HUMAN Heparan sulfate glucosamine 3-O-sulfotransferase 1 OS=Homo sapiens OX=9606 GN=HS3ST1 PE=1 SV=1
+MAALLLGAVLLVAQPQLVPSRPAELGQQELLRKAGTLQDDVRDGVAPNGSAQQLPQTIIIGVRKGGTRALLEMLSLHPDVAAAENEVHFFDWEEHYSHGLGWYLSQMPFSWPHQLTVEKTPAYFTSPKVPERVYSMNPSIRLLLILRDPSERVLSDYTQVFYNHMQKHKPYPSIEEFLVRDGRLNVDYKALNRSLYHVHMQNWLRFFPLRHIHIVDGDRLIRDPFPEIQKVERFLKLSPQINASNFYFNKTKGFYCLRDSGRDRCLHESKGRAHPQVDPKLLNKLHEYFHEPNKKFFELVGRTFDWH
+>DECOY_sp|O14792|HS3S1_HUMAN Heparan sulfate glucosamine 3-O-sulfotransferase 1 OS=Homo sapiens OX=9606 GN=HS3ST1 PE=1 SV=1
+HWDFTRGVLEFFKKNPEHFYEHLKNLLKPDVQPHARGKSEHLCRDRGSDRLCYFGKTKNFYFNSANIQPSLKLFREVKQIEPFPDRILRDGDVIHIHRLPFFRLWNQMHVHYLSRNLAKYDVNLRGDRVLFEEISPYPKHKQMHNYFVQTYDSLVRESPDRLILLLRISPNMSYVREPVKPSTFYAPTKEVTLQHPWSFPMQSLYWGLGHSYHEEWDFFHVENEAAAVDPHLSLMELLARTGGKRVGIIITQPLQQASGNPAVGDRVDDQLTGAKRLLEQQGLEAPRSPVLQPQAVLLVAGLLLAAM
+>sp|Q9Y278|HS3S2_HUMAN Heparan sulfate glucosamine 3-O-sulfotransferase 2 OS=Homo sapiens OX=9606 GN=HS3ST2 PE=1 SV=1
+MAYRVLGRAGPPQPRRARRLLFAFTLSLSCTYLCYSFLCCCDDLGRSRLLGAPRCLRGPSAGGQKLLQKSRPCDPSGPTPSEPSAPSAPAAAVPAPRLSGSNHSGSPKLGTKRLPQALIVGVKKGGTRAVLEFIRVHPDVRALGTEPHFFDRNYGRGLDWYRSLMPRTLESQITLEKTPSYFVTQEAPRRIFNMSRDTKLIVVVRNPVTRAISDYTQTLSKKPDIPTFEGLSFRNRTLGLVDVSWNAIRIGMYVLHLESWLQYFPLAQIHFVSGERLITDPAGEMGRVQDFLGIKRFITDKHFYFNKTKGFPCLKKTESSLLPRCLGKSKGRTHVQIDPEVIDQLREFYRPYNIKFYETVGQDFRWE
+>DECOY_sp|Q9Y278|HS3S2_HUMAN Heparan sulfate glucosamine 3-O-sulfotransferase 2 OS=Homo sapiens OX=9606 GN=HS3ST2 PE=1 SV=1
+EWRFDQGVTEYFKINYPRYFERLQDIVEPDIQVHTRGKSKGLCRPLLSSETKKLCPFGKTKNFYFHKDTIFRKIGLFDQVRGMEGAPDTILREGSVFHIQALPFYQLWSELHLVYMGIRIANWSVDVLGLTRNRFSLGEFTPIDPKKSLTQTYDSIARTVPNRVVVILKTDRSMNFIRRPAEQTVFYSPTKELTIQSELTRPMLSRYWDLGRGYNRDFFHPETGLARVDPHVRIFELVARTGGKKVGVILAQPLRKTGLKPSGSHNSGSLRPAPVAAAPASPASPESPTPGSPDCPRSKQLLKQGGASPGRLCRPAGLLRSRGLDDCCCLFSYCLYTCSLSLTFAFLLRRARRPQPPGARGLVRYAM
+>sp|Q9Y661|HS3S4_HUMAN Heparan sulfate glucosamine 3-O-sulfotransferase 4 OS=Homo sapiens OX=9606 GN=HS3ST4 PE=2 SV=3
+MARWPAPPPPPPPPPPLAAPPPPGASAKGPPARKLLFMCTLSLSVTYLCYSLLGGSGSLQFPLALQESPGAAAEPPPSPPPPSLLPTPVRLGAPSQPPAPPPLDNASHGEPPEPPEQPAAPGTDGWGLPSGGGGAQDAWLRTPLAPSEMITAQSALPEREAQESSTTDEDLAGRRAANGSSERGGAVSTPDYGEKKLPQALIIGVKKGGTRALLEAIRVHPDVRAVGVEPHFFDRNYEKGLEWYRNVMPKTLDGQITMEKTPSYFVTNEAPKRIHSMAKDIKLIVVVRNPVTRAISDYTQTLSKKPEIPTFEVLAFKNRTLGLIDASWSAIRIGIYALHLENWLQYFPLSQILFVSGERLIVDPAGEMAKVQDFLGLKRVVTEKHFYFNKTKGFPCLKKPEDSSAPRCLGKSKGRTHPRIDPDVIHRLRKFYKPFNLMFYQMTGQDFQWEQEEGDK
+>DECOY_sp|Q9Y661|HS3S4_HUMAN Heparan sulfate glucosamine 3-O-sulfotransferase 4 OS=Homo sapiens OX=9606 GN=HS3ST4 PE=2 SV=3
+KDGEEQEWQFDQGTMQYFMLNFPKYFKRLRHIVDPDIRPHTRGKSKGLCRPASSDEPKKLCPFGKTKNFYFHKETVVRKLGLFDQVKAMEGAPDVILREGSVFLIQSLPFYQLWNELHLAYIGIRIASWSADILGLTRNKFALVEFTPIEPKKSLTQTYDSIARTVPNRVVVILKIDKAMSHIRKPAENTVFYSPTKEMTIQGDLTKPMVNRYWELGKEYNRDFFHPEVGVARVDPHVRIAELLARTGGKKVGIILAQPLKKEGYDPTSVAGGRESSGNAARRGALDEDTTSSEQAEREPLASQATIMESPALPTRLWADQAGGGGSPLGWGDTGPAAPQEPPEPPEGHSANDLPPPAPPQSPAGLRVPTPLLSPPPPSPPPEAAAGPSEQLALPFQLSGSGGLLSYCLYTVSLSLTCMFLLKRAPPGKASAGPPPPAALPPPPPPPPPPAPWRAM
+>sp|Q96QI5|HS3S6_HUMAN Heparan sulfate glucosamine 3-O-sulfotransferase 6 OS=Homo sapiens OX=9606 GN=HS3ST6 PE=1 SV=2
+MAGSGGLGGGAGGGQGAGAGQGAALRASRAPMLLVALVLGAYCLCALPGRCPPAARAPAPAPAPSEPSSSVHRPGAPGLPLASGPGRRRFPQALIVGVKKGGTRALLEFLRLHPDVRALGSEPHFFDRCYERGLAWYRSLMPRTLDGQITMEKTPSYFVTREAPRRIHAMSPDTKLIVVVRNPVTRAISDYAQTLSKTPGLPSFRALAFRHGLGPVDTAWSAVRIGLYAQHLDHWLRYFPLSHFLFVSGERLVSDPAGEVGRVQDFLGLKRVVTDKHFYFNATKGFPCLKKAQGGSRPRCLGKSKGRPHPRVPQALVRRLQEFYRPFNRRFYQMTGQDFGWG
+>DECOY_sp|Q96QI5|HS3S6_HUMAN Heparan sulfate glucosamine 3-O-sulfotransferase 6 OS=Homo sapiens OX=9606 GN=HS3ST6 PE=1 SV=2
+GWGFDQGTMQYFRRNFPRYFEQLRRVLAQPVRPHPRGKSKGLCRPRSGGQAKKLCPFGKTANFYFHKDTVVRKLGLFDQVRGVEGAPDSVLREGSVFLFHSLPFYRLWHDLHQAYLGIRVASWATDVPGLGHRFALARFSPLGPTKSLTQAYDSIARTVPNRVVVILKTDPSMAHIRRPAERTVFYSPTKEMTIQGDLTRPMLSRYWALGREYCRDFFHPESGLARVDPHLRLFELLARTGGKKVGVILAQPFRRRGPGSALPLGPAGPRHVSSSPESPAPAPAPARAAPPCRGPLACLCYAGLVLAVLLMPARSARLAAGQGAGAGQGGGAGGGLGGSGAM
+>sp|P34931|HS71L_HUMAN Heat shock 70 kDa protein 1-like OS=Homo sapiens OX=9606 GN=HSPA1L PE=1 SV=2
+MATAKGIAIGIDLGTTYSCVGVFQHGKVEIIANDQGNRTTPSYVAFTDTERLIGDAAKNQVAMNPQNTVFDAKRLIGRKFNDPVVQADMKLWPFQVINEGGKPKVLVSYKGENKAFYPEEISSMVLTKLKETAEAFLGHPVTNAVITVPAYFNDSQRQATKDAGVIAGLNVLRIINEPTAAAIAYGLDKGGQGERHVLIFDLGGGTFDVSILTIDDGIFEVKATAGDTHLGGEDFDNRLVSHFVEEFKRKHKKDISQNKRAVRRLRTACERAKRTLSSSTQANLEIDSLYEGIDFYTSITRARFEELCADLFRGTLEPVEKALRDAKMDKAKIHDIVLVGGSTRIPKVQRLLQDYFNGRDLNKSINPDEAVAYGAAVQAAILMGDKSEKVQDLLLLDVAPLSLGLETAGGVMTALIKRNSTIPTKQTQIFTTYSDNQPGVLIQVYEGERAMTKDNNLLGRFDLTGIPPAPRGVPQIEVTFDIDANGILNVTATDKSTGKVNKITITNDKGRLSKEEIERMVLDAEKYKAEDEVQREKIAAKNALESYAFNMKSVVSDEGLKGKISESDKNKILDKCNELLSWLEVNQLAEKDEFDHKRKELEQMCNPIITKLYQGGCTGPACGTGYVPGRPATGPTIEEVD
+>DECOY_sp|P34931|HS71L_HUMAN Heat shock 70 kDa protein 1-like OS=Homo sapiens OX=9606 GN=HSPA1L PE=1 SV=2
+DVEEITPGTAPRGPVYGTGCAPGTCGGQYLKTIIPNCMQELEKRKHDFEDKEALQNVELWSLLENCKDLIKNKDSESIKGKLGEDSVVSKMNFAYSELANKAAIKERQVEDEAKYKEADLVMREIEEKSLRGKDNTITIKNVKGTSKDTATVNLIGNADIDFTVEIQPVGRPAPPIGTLDFRGLLNNDKTMAREGEYVQILVGPQNDSYTTFIQTQKTPITSNRKILATMVGGATELGLSLPAVDLLLLDQVKESKDGMLIAAQVAAGYAVAEDPNISKNLDRGNFYDQLLRQVKPIRTSGGVLVIDHIKAKDMKADRLAKEVPELTGRFLDACLEEFRARTISTYFDIGEYLSDIELNAQTSSSLTRKARECATRLRRVARKNQSIDKKHKRKFEEVFHSVLRNDFDEGGLHTDGATAKVEFIGDDITLISVDFTGGGLDFILVHREGQGGKDLGYAIAAATPENIIRLVNLGAIVGADKTAQRQSDNFYAPVTIVANTVPHGLFAEATEKLKTLVMSSIEEPYFAKNEGKYSVLVKPKGGENIVQFPWLKMDAQVVPDNFKRGILRKADFVTNQPNMAVQNKAADGILRETDTFAVYSPTTRNGQDNAIIEVKGHQFVGVCSYTTGLDIGIAIGKATAM
+>sp|Q8IWL3|HSC20_HUMAN Iron-sulfur cluster co-chaperone protein HscB, mitochondrial OS=Homo sapiens OX=9606 GN=HSCB PE=1 SV=3
+MWRGRAGALLRVWGFWPTGVPRRRPLSCDAASQAGSNYPRCWNCGGPWGPGREDRFFCPQCRALQAPDPTRDYFSLMDCNRSFRVDTAKLQHRYQQLQRLVHPDFFSQRSQTEKDFSEKHSTLVNDAYKTLLAPLSRGLYLLKLHGIEIPERTDYEMDRQFLIEIMEINEKLAEAESEAAMKEIESIVKAKQKEFTDNVSSAFEQDDFEEAKEILTKMRYFSNIEEKIKLKKIPL
+>DECOY_sp|Q8IWL3|HSC20_HUMAN Iron-sulfur cluster co-chaperone protein HscB, mitochondrial OS=Homo sapiens OX=9606 GN=HSCB PE=1 SV=3
+LPIKKLKIKEEINSFYRMKTLIEKAEEFDDQEFASSVNDTFEKQKAKVISEIEKMAAESEAEALKENIEMIEILFQRDMEYDTREPIEIGHLKLLYLGRSLPALLTKYADNVLTSHKESFDKETQSRQSFFDPHVLRQLQQYRHQLKATDVRFSRNCDMLSFYDRTPDPAQLARCQPCFFRDERGPGWPGGCNWCRPYNSGAQSAADCSLPRRRPVGTPWFGWVRLLAGARGRWM
+>sp|Q6YN16|HSDL2_HUMAN Hydroxysteroid dehydrogenase-like protein 2 OS=Homo sapiens OX=9606 GN=HSDL2 PE=1 SV=1
+MLPNTGRLAGCTVFITGASRGIGKAIALKAAKDGANIVIAAKTAQPHPKLLGTIYTAAEEIEAVGGKALPCIVDVRDEQQISAAVEKAIKKFGGIDILVNNASAISLTNTLDTPTKRLDLMMNVNTRGTYLASKACIPYLKKSKVAHILNISPPLNLNPVWFKQHCAYTIAKYGMSMYVLGMAEEFKGEIAVNALWPKTAIHTAAMDMLGGPGIESQCRKVDIIADAAYSIFQKPKSFTGNFVIDENILKEEGIENFDVYAIKPGHPLQPDFFLDEYPEAVSKKVESTGAVPEFKEEKLQLQPKPRSGAVEETFRIVKDSLSDDVVKATQAIYLFELSGEDGGTWFLDLKSKGGNVGYGEPSDQADVVMSMTTDDFVKMFSGKLKPTMAFMSGKLKIKGNMALAIKLEKLMNQMNARL
+>DECOY_sp|Q6YN16|HSDL2_HUMAN Hydroxysteroid dehydrogenase-like protein 2 OS=Homo sapiens OX=9606 GN=HSDL2 PE=1 SV=1
+LRANMQNMLKELKIALAMNGKIKLKGSMFAMTPKLKGSFMKVFDDTTMSMVVDAQDSPEGYGVNGGKSKLDLFWTGGDEGSLEFLYIAQTAKVVDDSLSDKVIRFTEEVAGSRPKPQLQLKEEKFEPVAGTSEVKKSVAEPYEDLFFDPQLPHGPKIAYVDFNEIGEEKLINEDIVFNGTFSKPKQFISYAADAIIDVKRCQSEIGPGGLMDMAATHIATKPWLANVAIEGKFEEAMGLVYMSMGYKAITYACHQKFWVPNLNLPPSINLIHAVKSKKLYPICAKSALYTGRTNVNMMLDLRKTPTDLTNTLSIASANNVLIDIGGFKKIAKEVAASIQQEDRVDVICPLAKGGVAEIEEAATYITGLLKPHPQATKAAIVINAGDKAAKLAIAKGIGRSAGTIFVTCGALRGTNPLM
+>sp|Q9ULV5|HSF4_HUMAN Heat shock factor protein 4 OS=Homo sapiens OX=9606 GN=HSF4 PE=1 SV=2
+MQEAPAALPTEPGPSPVPAFLGKLWALVGDPGTDHLIRWSPSGTSFLVSDQSRFAKEVLPQYFKHSNMASFVRQLNMYGFRKVVSIEQGGLLRPERDHVEFQHPSFVRGREQLLERVRRKVPALRGDDGRWRPEDLGRLLGEVQALRGVQESTEARLRELRQQNEILWREVVTLRQSHGQQHRVIGKLIQCLFGPLQAGPSNAGGKRKLSLMLDEGSSCPTPAKFNTCPLPGALLQDPYFIQSPLPETNLGLSPHRARGPIISDIPEDSPSPEGTRLSPSSDGRREKGLALLKEEPASPGGDGEAGLALAPNECDFCVTAPPPLPVAVVQAILEGKGSFSPEGPRNAQQPEPGDPREIPDRGPLGLESGDRSPESLLPPMLLQPPQESVEPAGPLDVLGPSLQGREWTLMDLDMELSLMQPLVPERGEPELAVKGLNSPSPGKDPTLGAPLLLDVQAALGGPALGLPGALTIYSTPESRTASYLGPEASPSP
+>DECOY_sp|Q9ULV5|HSF4_HUMAN Heat shock factor protein 4 OS=Homo sapiens OX=9606 GN=HSF4 PE=1 SV=2
+PSPSAEPGLYSATRSEPTSYITLAGPLGLAPGGLAAQVDLLLPAGLTPDKGPSPSNLGKVALEPEGREPVLPQMLSLEMDLDMLTWERGQLSPGLVDLPGAPEVSEQPPQLLMPPLLSEPSRDGSELGLPGRDPIERPDGPEPQQANRPGEPSFSGKGELIAQVVAVPLPPPATVCFDCENPALALGAEGDGGPSAPEEKLLALGKERRGDSSPSLRTGEPSPSDEPIDSIIPGRARHPSLGLNTEPLPSQIFYPDQLLAGPLPCTNFKAPTPCSSGEDLMLSLKRKGGANSPGAQLPGFLCQILKGIVRHQQGHSQRLTVVERWLIENQQRLERLRAETSEQVGRLAQVEGLLRGLDEPRWRGDDGRLAPVKRRVRELLQERGRVFSPHQFEVHDREPRLLGGQEISVVKRFGYMNLQRVFSAMNSHKFYQPLVEKAFRSQDSVLFSTGSPSWRILHDTGPDGVLAWLKGLFAPVPSPGPETPLAAPAEQM
+>sp|Q96JZ2|HSH2D_HUMAN Hematopoietic SH2 domain-containing protein OS=Homo sapiens OX=9606 GN=HSH2D PE=1 SV=1
+MTEAGKLPLPLPPRLDWFVHTQMGQLAQDGVPEWFHGAISREDAENLLESQPLGSFLIRVSHSHVGYTLSYKAQSSCCHFMVKLLDDGTFMIPGEKVAHTSLDALVTFHQQKPIEPRRELLTQPCRQKDPANVDYEDLFLYSNAVAEEAACPVSAPEEASPKPVLCHQSKERKPSAEMNRITTKEATSSCPPKSPLGETRQKLWRSLKMLPERGQRVRQQLKSHLATVNLSSLLDVRRSTVISGPGTGKGSQDHSGDPTSGDRGYTDPCVATSLKSPSQPQAPKDRKVPTRKAERSVSCIEVTPGDRSWHQMVVRALSSQESKPEHQGLAEPENDQLPEEYQQPPPFAPGYC
+>DECOY_sp|Q96JZ2|HSH2D_HUMAN Hematopoietic SH2 domain-containing protein OS=Homo sapiens OX=9606 GN=HSH2D PE=1 SV=1
+CYGPAFPPPQQYEEPLQDNEPEALGQHEPKSEQSSLARVVMQHWSRDGPTVEICSVSREAKRTPVKRDKPAQPQSPSKLSTAVCPDTYGRDGSTPDGSHDQSGKGTGPGSIVTSRRVDLLSSLNVTALHSKLQQRVRQGREPLMKLSRWLKQRTEGLPSKPPCSSTAEKTTIRNMEASPKREKSQHCLVPKPSAEEPASVPCAAEEAVANSYLFLDEYDVNAPDKQRCPQTLLERRPEIPKQQHFTVLADLSTHAVKEGPIMFTGDDLLKVMFHCCSSQAKYSLTYGVHSHSVRILFSGLPQSELLNEADERSIAGHFWEPVGDQALQGMQTHVFWDLRPPLPLPLKGAETM
+>sp|O60921|HUS1_HUMAN Checkpoint protein HUS1 OS=Homo sapiens OX=9606 GN=HUS1 PE=1 SV=1
+MKFRAKIVDGACLNHFTRISNMIAKLAKTCTLRISPDKLNFILCDKLANGGVSMWCELEQENFFNEFQMEGVSAENNEIYLELTSENLSRALKTAQNARALKIKLTNKHFPCLTVSVELLSMSSSSRIVTHDIPIKVIPRKLWKDLQEPVVPDPDVSIYLPVLKTMKSVVEKMKNISNHLVIEANLDGELNLKIETELVCVTTHFKDLGNPPLASESTHEDRNVEHMAEVHIDIRKLLQFLAGQQVNPTKALCNIVNNKMVHFDLLHEDVSLQYFIPALS
+>DECOY_sp|O60921|HUS1_HUMAN Checkpoint protein HUS1 OS=Homo sapiens OX=9606 GN=HUS1 PE=1 SV=1
+SLAPIFYQLSVDEHLLDFHVMKNNVINCLAKTPNVQQGALFQLLKRIDIHVEAMHEVNRDEHTSESALPPNGLDKFHTTVCVLETEIKLNLEGDLNAEIVLHNSINKMKEVVSKMTKLVPLYISVDPDPVVPEQLDKWLKRPIVKIPIDHTVIRSSSSMSLLEVSVTLCPFHKNTLKIKLARANQATKLARSLNESTLELYIENNEASVGEMQFENFFNEQELECWMSVGGNALKDCLIFNLKDPSIRLTCTKALKAIMNSIRTFHNLCAGDVIKARFKM
+>sp|P23083|HV102_HUMAN Immunoglobulin heavy variable 1-2 OS=Homo sapiens OX=9606 GN=IGHV1-2 PE=1 SV=2
+MDWTWRILFLVAAATGAHSQVQLVQSGAEVKKPGASVKVSCKASGYTFTGYYMHWVRQAPGQGLEWMGWINPNSGGTNYAQKFQGWVTMTRDTSISTAYMELSRLRSDDTAVYYCAR
+>DECOY_sp|P23083|HV102_HUMAN Immunoglobulin heavy variable 1-2 OS=Homo sapiens OX=9606 GN=IGHV1-2 PE=1 SV=2
+RACYYVATDDSRLRSLEMYATSISTDRTMTVWGQFKQAYNTGGSNPNIWGMWELGQGPAQRVWHMYYGTFTYGSAKCSVKVSAGPKKVEAGSQVLQVQSHAGTAAAVLFLIRWTWDM
+>sp|P01817|HV205_HUMAN Immunoglobulin heavy variable 2-5 OS=Homo sapiens OX=9606 GN=IGHV2-5 PE=1 SV=2
+MDTLCSTLLLLTIPSWVLSQITLKESGPTLVKPTQTLTLTCTFSGFSLSTSGVGVGWIRQPPGKALEWLALIYWDDDKRYSPSLKSRLTITKDTSKNQVVLTMTNMDPVDTATYYCAHR
+>DECOY_sp|P01817|HV205_HUMAN Immunoglobulin heavy variable 2-5 OS=Homo sapiens OX=9606 GN=IGHV2-5 PE=1 SV=2
+RHACYYTATDVPDMNTMTLVVQNKSTDKTITLRSKLSPSYRKDDDWYILALWELAKGPPQRIWGVGVGSTSLSFGSFTCTLTLTQTPKVLTPGSEKLTIQSLVWSPITLLLLTSCLTDM
+>sp|P01780|HV307_HUMAN Immunoglobulin heavy variable 3-7 OS=Homo sapiens OX=9606 GN=IGHV3-7 PE=1 SV=2
+MELGLSWVFLVAILEGVQCEVQLVESGGGLVQPGGSLRLSCAASGFTFSSYWMSWVRQAPGKGLEWVANIKQDGSEKYYVDSVKGRFTISRDNAKNSLYLQMNSLRAEDTAVYYCAR
+>DECOY_sp|P01780|HV307_HUMAN Immunoglobulin heavy variable 3-7 OS=Homo sapiens OX=9606 GN=IGHV3-7 PE=1 SV=2
+RACYYVATDEARLSNMQLYLSNKANDRSITFRGKVSDVYYKESGDQKINAVWELGKGPAQRVWSMWYSSFTFGSAACSLRLSGGPQVLGGGSEVLQVECQVGELIAVLFVWSLGLEM
+>sp|A0A0B4J1V0|HV315_HUMAN Immunoglobulin heavy variable 3-15 OS=Homo sapiens OX=9606 GN=IGHV3-15 PE=3 SV=1
+MEFGLSWIFLAAILKGVQCEVQLVESGGGLVKPGGSLRLSCAASGFTFSNAWMSWVRQAPGKGLEWVGRIKSKTDGGTTDYAAPVKGRFTISRDDSKNTLYLQMNSLKTEDTAVYYCTT
+>DECOY_sp|A0A0B4J1V0|HV315_HUMAN Immunoglobulin heavy variable 3-15 OS=Homo sapiens OX=9606 GN=IGHV3-15 PE=3 SV=1
+TTCYYVATDETKLSNMQLYLTNKSDDRSITFRGKVPAAYDTTGGDTKSKIRGVWELGKGPAQRVWSMWANSFTFGSAACSLRLSGGPKVLGGGSEVLQVECQVGKLIAALFIWSLGFEM
+>sp|P01772|HV333_HUMAN Immunoglobulin heavy variable 3-33 OS=Homo sapiens OX=9606 GN=IGHV3-33 PE=1 SV=2
+MEFGLSWVFLVALLRGVQCQVQLVESGGGVVQPGRSLRLSCAASGFTFSSYGMHWVRQAPGKGLEWVAVIWYDGSNKYYADSVKGRFTISRDNSKNTLYLQMNSLRAEDTAVYYCAR
+>DECOY_sp|P01772|HV333_HUMAN Immunoglobulin heavy variable 3-33 OS=Homo sapiens OX=9606 GN=IGHV3-33 PE=1 SV=2
+RACYYVATDEARLSNMQLYLTNKSNDRSITFRGKVSDAYYKNSGDYWIVAVWELGKGPAQRVWHMGYSSFTFGSAACSLRLSRGPQVVGGGSEVLQVQCQVGRLLAVLFVWSLGFEM
+>sp|A0A0A0MS15|HV349_HUMAN Immunoglobulin heavy variable 3-49 OS=Homo sapiens OX=9606 GN=IGHV3-49 PE=3 SV=1
+MEFGLSWVFLVAILKGVQCEVQLVESGGGLVQPGRSLRLSCTASGFTFGDYAMSWVRQAPGKGLEWVGFIRSKAYGGTTEYAASVKGRFTISRDDSKSIAYLQMNSLKTEDTAVYYCTR
+>DECOY_sp|A0A0A0MS15|HV349_HUMAN Immunoglobulin heavy variable 3-49 OS=Homo sapiens OX=9606 GN=IGHV3-49 PE=3 SV=1
+RTCYYVATDETKLSNMQLYAISKSDDRSITFRGKVSAAYETTGGYAKSRIFGVWELGKGPAQRVWSMAYDGFTFGSATCSLRLSRGPQVLGGGSEVLQVECQVGKLIAVLFVWSLGFEM
+>sp|A0A0C4DH42|HV366_HUMAN Immunoglobulin heavy variable 3-66 OS=Homo sapiens OX=9606 GN=IGHV3-66 PE=3 SV=1
+MEFGLSWVFLVAILKGVQCEVQLVESGGGLIQPGGSLRLSCAASGFTVSSNYMSWVRQAPGKGLEWVSVIYSCGSTYYADSVKGRFTISRDNSKNTLYLQMNSLRAEDTAVYYCAR
+>DECOY_sp|A0A0C4DH42|HV366_HUMAN Immunoglobulin heavy variable 3-66 OS=Homo sapiens OX=9606 GN=IGHV3-66 PE=3 SV=1
+RACYYVATDEARLSNMQLYLTNKSNDRSITFRGKVSDAYYTSGCSYIVSVWELGKGPAQRVWSMYNSSVTFGSAACSLRLSGGPQILGGGSEVLQVECQVGKLIAVLFVWSLGFEM
+>sp|A0A0B4J1X5|HV374_HUMAN Immunoglobulin heavy variable 3-74 OS=Homo sapiens OX=9606 GN=IGHV3-74 PE=3 SV=1
+MEFGLSWVFLVAILKGVQCEVQLVESGGGLVQPGGSLRLSCAASGFTFSSYWMHWVRQAPGKGLVWVSRINSDGSSTSYADSVKGRFTISRDNAKNTLYLQMNSLRAEDTAVYYCAR
+>DECOY_sp|A0A0B4J1X5|HV374_HUMAN Immunoglobulin heavy variable 3-74 OS=Homo sapiens OX=9606 GN=IGHV3-74 PE=3 SV=1
+RACYYVATDEARLSNMQLYLTNKANDRSITFRGKVSDAYSTSSGDSNIRSVWVLGKGPAQRVWHMWYSSFTFGSAACSLRLSGGPQVLGGGSEVLQVECQVGKLIAVLFVWSLGFEM
+>sp|A0A0J9YX35|HV64D_HUMAN Immunoglobulin heavy variable 3-64D OS=Homo sapiens OX=9606 GN=IGHV3-64D PE=3 SV=1
+MEFWLSWVLLVAILKDVQCEVQLVESGGGLVQPGGSLRLSCSASGFTFSSYAMHWVRQAPGKGLEYVSAISSNGGSTYYADSVKGRFTISRDNSKNTLYLQMSSLRAEDTAVYYCVK
+>DECOY_sp|A0A0J9YX35|HV64D_HUMAN Immunoglobulin heavy variable 3-64D OS=Homo sapiens OX=9606 GN=IGHV3-64D PE=3 SV=1
+KVCYYVATDEARLSSMQLYLTNKSNDRSITFRGKVSDAYYTSGGNSSIASVYELGKGPAQRVWHMAYSSFTFGSASCSLRLSGGPQVLGGGSEVLQVECQVDKLIAVLLVWSLWFEM
+>sp|Q00056|HXA4_HUMAN Homeobox protein Hox-A4 OS=Homo sapiens OX=9606 GN=HOXA4 PE=2 SV=3
+MTMSSFLINSNYIEPKFPPFEEYAQHSGSGGADGGPGGGPGYQQPPAPPTQHLPLQQPQLPHAGGGREPTASYYAPRTAREPAYPAAALYPAHGAADTAYPYGYRGGASPGRPPQPEQPPAQAKGPAHGLHASHVLQPQLPPPLQPRAVPPAAPRRCEAAPATPGVPAGGSAPACPLLLADKSPLGLKGKEPVVYPWMKKIHVSAVNPSYNGGEPKRSRTAYTRQQVLELEKEFHFNRYLTRRRRIEIAHTLCLSERQVKIWFQNRRMKWKKDHKLPNTKMRSSNSASASAGPPGKAQTQSPHLHPHPHPSTSTPVPSSI
+>DECOY_sp|Q00056|HXA4_HUMAN Homeobox protein Hox-A4 OS=Homo sapiens OX=9606 GN=HOXA4 PE=2 SV=3
+ISSPVPTSTSPHPHPHLHPSQTQAKGPPGASASASNSSRMKTNPLKHDKKWKMRRNQFWIKVQRESLCLTHAIEIRRRRTLYRNFHFEKELELVQQRTYATRSRKPEGGNYSPNVASVHIKKMWPYVVPEKGKLGLPSKDALLLPCAPASGGAPVGPTAPAAECRRPAAPPVARPQLPPPLQPQLVHSAHLGHAPGKAQAPPQEPQPPRGPSAGGRYGYPYATDAAGHAPYLAAAPYAPERATRPAYYSATPERGGGAHPLQPQQLPLHQTPPAPPQQYGPGGGPGGDAGGSGSHQAYEEFPPFKPEIYNSNILFSSMTM
+>sp|P31267|HXA6_HUMAN Homeobox protein Hox-A6 OS=Homo sapiens OX=9606 GN=HOXA6 PE=2 SV=2
+MSSYFVNPTFPGSLPSGQDSFLGQLPLYQAGYDALRPFPASYGASSLPDKTYTSPCFYQQSNSVLACNRASYEYGASCFYSDKDLSGASPSGSGKQRGPGDYLHFSPEQQYKPDSSSGQGKALHDEGADRKYTSPVYPWMQRMNSCAGAVYGSHGRRGRQTYTRYQTLELEKEFHFNRYLTRRRRIEIANALCLTERQIKIWFQNRRMKWKKENKLINSTQPSGEDSEAKAGE
+>DECOY_sp|P31267|HXA6_HUMAN Homeobox protein Hox-A6 OS=Homo sapiens OX=9606 GN=HOXA6 PE=2 SV=2
+EGAKAESDEGSPQTSNILKNEKKWKMRRNQFWIKIQRETLCLANAIEIRRRRTLYRNFHFEKELELTQYRTYTQRGRRGHSGYVAGACSNMRQMWPYVPSTYKRDAGEDHLAKGQGSSSDPKYQQEPSFHLYDGPGRQKGSGSPSAGSLDKDSYFCSAGYEYSARNCALVSNSQQYFCPSTYTKDPLSSAGYSAPFPRLADYGAQYLPLQGLFSDQGSPLSGPFTPNVFYSSM
+>sp|P31268|HXA7_HUMAN Homeobox protein Hox-A7 OS=Homo sapiens OX=9606 GN=HOXA7 PE=1 SV=3
+MSSSYYVNALFSKYTAGASLFQNAEPTSCSFAPNSQRSGYGAGAGAFASTVPGLYNVNSPLYQSPFASGYGLGADAYGNLPCASYDQNIPGLCSDLAKGACDKTDEGALHGAAEANFRIYPWMRSSGPDRKRGRQTYTRYQTLELEKEFHFNRYLTRRRRIEIAHALCLTERQIKIWFQNRRMKWKKEHKDEGPTAAAAPEGAVPSAAATAAADKADEEDDDEEEEDEEE
+>DECOY_sp|P31268|HXA7_HUMAN Homeobox protein Hox-A7 OS=Homo sapiens OX=9606 GN=HOXA7 PE=1 SV=3
+EEEDEEEEDDDEEDAKDAAATAAASPVAGEPAAAATPGEDKHEKKWKMRRNQFWIKIQRETLCLAHAIEIRRRRTLYRNFHFEKELELTQYRTYTQRGRKRDPGSSRMWPYIRFNAEAAGHLAGEDTKDCAGKALDSCLGPINQDYSACPLNGYADAGLGYGSAFPSQYLPSNVNYLGPVTSAFAGAGAGYGSRQSNPAFSCSTPEANQFLSAGATYKSFLANVYYSSSM
+>sp|Q96BM0|I27L1_HUMAN Interferon alpha-inducible protein 27-like protein 1 OS=Homo sapiens OX=9606 GN=IFI27L1 PE=1 SV=1
+MGKESGWDSGRAAVAAVVGGVVAVGTVLVALSAMGFTSVGIAASSIAAKMMSTAAIANGGGVAAGSLVAILQSVGAAGLSVTSKVIGGFAGTALGAWLGSPPSS
+>DECOY_sp|Q96BM0|I27L1_HUMAN Interferon alpha-inducible protein 27-like protein 1 OS=Homo sapiens OX=9606 GN=IFI27L1 PE=1 SV=1
+SSPPSGLWAGLATGAFGGIVKSTVSLGAAGVSQLIAVLSGAAVGGGNAIAATSMMKAAISSAAIGVSTFGMASLAVLVTGVAVVGGVVAAVAARGSDWGSEKGM
+>sp|P10997|IAPP_HUMAN Islet amyloid polypeptide OS=Homo sapiens OX=9606 GN=IAPP PE=1 SV=1
+MGILKLQVFLIVLSVALNHLKATPIESHQVEKRKCNTATCATQRLANFLVHSSNNFGAILSSTNVGSNTYGKRNAVEVLKREPLNYLPL
+>DECOY_sp|P10997|IAPP_HUMAN Islet amyloid polypeptide OS=Homo sapiens OX=9606 GN=IAPP PE=1 SV=1
+LPLYNLPERKLVEVANRKGYTNSGVNTSSLIAGFNNSSHVLFNALRQTACTATNCKRKEVQHSEIPTAKLHNLAVSLVILFVQLKLIGM
+>sp|P05155|IC1_HUMAN Plasma protease C1 inhibitor OS=Homo sapiens OX=9606 GN=SERPING1 PE=1 SV=2
+MASRLTLLTLLLLLLAGDRASSNPNATSSSSQDPESLQDRGEGKVATTVISKMLFVEPILEVSSLPTTNSTTNSATKITANTTDEPTTQPTTEPTTQPTIQPTQPTTQLPTDSPTQPTTGSFCPGPVTLCSDLESHSTEAVLGDALVDFSLKLYHAFSAMKKVETNMAFSPFSIASLLTQVLLGAGENTKTNLESILSYPKDFTCVHQALKGFTTKGVTSVSQIFHSPDLAIRDTFVNASRTLYSSSPRVLSNNSDANLELINTWVAKNTNNKISRLLDSLPSDTRLVLLNAIYLSAKWKTTFDPKKTRMEPFHFKNSVIKVPMMNSKKYPVAHFIDQTLKAKVGQLQLSHNLSLVILVPQNLKHRLEDMEQALSPSVFKAIMEKLEMSKFQPTLLTLPRIKVTTSQDMLSIMEKLEFFDFSYDLNLCGLTEDPDLQVSAMQHQTVLELTETGVEAAAASAISVARTLLVFEVQQPFLFVLWDQQHKFPVFMGRVYDPRA
+>DECOY_sp|P05155|IC1_HUMAN Plasma protease C1 inhibitor OS=Homo sapiens OX=9606 GN=SERPING1 PE=1 SV=2
+ARPDYVRGMFVPFKHQQDWLVFLFPQQVEFVLLTRAVSIASAAAAEVGTETLELVTQHQMASVQLDPDETLGCLNLDYSFDFFELKEMISLMDQSTTVKIRPLTLLTPQFKSMELKEMIAKFVSPSLAQEMDELRHKLNQPVLIVLSLNHSLQLQGVKAKLTQDIFHAVPYKKSNMMPVKIVSNKFHFPEMRTKKPDFTTKWKASLYIANLLVLRTDSPLSDLLRSIKNNTNKAVWTNILELNADSNNSLVRPSSSYLTRSANVFTDRIALDPSHFIQSVSTVGKTTFGKLAQHVCTFDKPYSLISELNTKTNEGAGLLVQTLLSAISFPSFAMNTEVKKMASFAHYLKLSFDVLADGLVAETSHSELDSCLTVPGPCFSGTTPQTPSDTPLQTTPQTPQITPQTTPETTPQTTPEDTTNATIKTASNTTSNTTPLSSVELIPEVFLMKSIVTTAVKGEGRDQLSEPDQSSSSTANPNSSARDGALLLLLLTLLTLRSAM
+>sp|P13598|ICAM2_HUMAN Intercellular adhesion molecule 2 OS=Homo sapiens OX=9606 GN=ICAM2 PE=1 SV=2
+MSSFGYRTLTVALFTLICCPGSDEKVFEVHVRPKKLAVEPKGSLEVNCSTTCNQPEVGGLETSLDKILLDEQAQWKHYLVSNISHDTVLQCHFTCSGKQESMNSNVSVYQPPRQVILTLQPTLVAVGKSFTIECRVPTVEPLDSLTLFLFRGNETLHYETFGKAAPAPQEATATFNSTADREDGHRNFSCLAVLDLMSRGGNIFHKHSAPKMLEIYEPVSDSQMVIIVTVVSVLLSLFVTSVLLCFIFGQHLRQQRMGTYGVRAAWRRLPQAFRP
+>DECOY_sp|P13598|ICAM2_HUMAN Intercellular adhesion molecule 2 OS=Homo sapiens OX=9606 GN=ICAM2 PE=1 SV=2
+PRFAQPLRRWAARVGYTGMRQQRLHQGFIFCLLVSTVFLSLLVSVVTVIIVMQSDSVPEYIELMKPASHKHFINGGRSMLDLVALCSFNRHGDERDATSNFTATAEQPAPAAKGFTEYHLTENGRFLFLTLSDLPEVTPVRCEITFSKGVAVLTPQLTLIVQRPPQYVSVNSNMSEQKGSCTFHCQLVTDHSINSVLYHKWQAQEDLLIKDLSTELGGVEPQNCTTSCNVELSGKPEVALKKPRVHVEFVKEDSGPCCILTFLAVTLTRYGFSSM
+>sp|P32942|ICAM3_HUMAN Intercellular adhesion molecule 3 OS=Homo sapiens OX=9606 GN=ICAM3 PE=1 SV=2
+MATMVPSVLWPRACWTLLVCCLLTPGVQGQEFLLRVEPQNPVLSAGGSLFVNCSTDCPSSEKIALETSLSKELVASGMGWAAFNLSNVTGNSRILCSVYCNGSQITGSSNITVYRLPERVELAPLPPWQPVGQNFTLRCQVEDGSPRTSLTVVLLRWEEELSRQPAVEEPAEVTATVLASRDDHGAPFSCRTELDMQPQGLGLFVNTSAPRQLRTFVLPVTPPRLVAPRFLEVETSWPVDCTLDGLFPASEAQVYLALGDQMLNATVMNHGDTLTATATATARADQEGAREIVCNVTLGGERREARENLTVFSFLGPIVNLSEPTAHEGSTVTVSCMAGARVQVTLDGVPAAAPGQPAQLQLNATESDDGRSFFCSATLEVDGEFLHRNSSVQLRVLYGPKIDRATCPQHLKWKDKTRHVLQCQARGNPYPELRCLKEGSSREVPVGIPFFVNVTHNGTYQCQASSSRGKYTLVVVMDIEAGSSHFVPVFVAVLLTLGVVTIVLALMYVFREHQRSGSYHVREESTYLPLTSMQPTEAMGEEPSRAE
+>DECOY_sp|P32942|ICAM3_HUMAN Intercellular adhesion molecule 3 OS=Homo sapiens OX=9606 GN=ICAM3 PE=1 SV=2
+EARSPEEGMAETPQMSTLPLYTSEERVHYSGSRQHERFVYMLALVITVVGLTLLVAVFVPVFHSSGAEIDMVVVLTYKGRSSSAQCQYTGNHTVNVFFPIGVPVERSSGEKLCRLEPYPNGRAQCQLVHRTKDKWKLHQPCTARDIKPGYLVRLQVSSNRHLFEGDVELTASCFFSRGDDSETANLQLQAPQGPAAAPVGDLTVQVRAGAMCSVTVTSGEHATPESLNVIPGLFSFVTLNERAERREGGLTVNCVIERAGEQDARATATATATLTDGHNMVTANLMQDGLALYVQAESAPFLGDLTCDVPWSTEVELFRPAVLRPPTVPLVFTRLQRPASTNVFLGLGQPQMDLETRCSFPAGHDDRSALVTATVEAPEEVAPQRSLEEEWRLLVVTLSTRPSGDEVQCRLTFNQGVPQWPPLPALEVREPLRYVTINSSGTIQSGNCYVSCLIRSNGTVNSLNFAAWGMGSAVLEKSLSTELAIKESSPCDTSCNVFLSGGASLVPNQPEVRLLFEQGQVGPTLLCCVLLTWCARPWLVSPVMTAM
+>sp|Q9Y2F5|ICE1_HUMAN Little elongation complex subunit 1 OS=Homo sapiens OX=9606 GN=ICE1 PE=1 SV=5
+MMPGETHSAAPGTAADLSRCQGCASLQQNLNEYVEALITLKQKIINTDNLLTEYQKKCDELQFARRENSNLHHQVEEMLQKISPLQKCQEELGSLKAELEEKKSSLKLYQDTHQEYARVKEECLKSDAQKKKLEAKVKKLQEAAVKQTQDFKQLRNEKKILEKEFKKTQERLDEFSKQKNEKELRHIGTQISSDSYGSIDKRKVKLLLKELWLCVNTTHRLPGEGSRCVPEKPAKAITSSRVPGEDGTLPPTQGSPLRTSNVQTCLTKLSMEIKEDFLCQNVEKQSSSGTNCSSDHVFNENGNLEVLVQSHRDGGSTEFVDHDHFFDEDLQAAIDFFKLPPPLLSPVPSPPPMSSPHPGSLPSSFAPETYFGEYTDSSDNDSVQLRNSAECVSEDDTTESQNYFGSLRKNKGSGTWEEKPKSHEAIQALNTWEVNKVTTSGLETFTATLRESSATHSLVGEKHWTTASRSMSDRKRDILHETKTQMEVREMDKSVQTEKTIHKLTRGLCIERLSASPAQEKEAAPGKSELCSSPLGKRPLNELMESEGKTVLSKMMGSPKSEFTKWTRINEITSEPDRITVSGHFHRLSRELEKEKEDTQGFTLGESPESEDDDSGDGMDVAGLDIETSFSSSSTLVALSVGSNPQSSSGLDCGNDTDITTKVFSTEPHHSEHKLQTKTLNTLHLQSEPPECSIGGNNLENSLCALSPELGASNFNDQKSSGIEYTKVVKGLTKIHSLPRSVFMKATKDGQCESQDPRIELTLNKPDFTSLIGSQAALIKSGLGFVKSTSWHHSDLLRKGGEESLRAKSEHEQKTSHQLQKAMPFLQNRGPTPKPDLLRENNNPVEFKTTASVLPNQVSVITKQTRPEKVQSAKLEHLRPHRVEPTLVTENSGNKTGMSTVAKCDGERDDTTQNITEVAAVKSISPEVSASRRKLDFNSPGGSSPVENSDCSTNSRLSFSPENILIQNQDIVREAAVQGDGQKQRQPQATDLDSSGTHGSEMLPATEVTVSGGFSVEETSCGDTGRSGGEALAVANDSTSTPQNANGLWKLKSTTPGGALPECFGTTDTTFSSAFCRKHGETQDTSQSSLPGTLHCYTGIREGGDDTEVESEAFSCSEGSEQQDAPDDSQKNLGDTDAAVAEVRPSLEVGYLTSALQDFNISTFSELDRLSTSEVVMFLESCQLGDYSSGDSVSECSSKGTLSKEMNKELKASEIGEKYRKQPCEEETLGTCEEWIESEEDDYSLKNTSQLTQCSLETLSEVLTKIRQELQTNSEDCNGKDTGSLLLLNVNNNMTTENLKEKSPFRETTGSSSHASEPTPQAAALDTEGSSPISGMPQNENPQSRPEARSDAGRQTDGGEEDLPEPVEPSALCSDSVMEPSIEQSSNCEAETTFQCQIATVTSEVINVLINKDQNLVIEKGDNWTIISGVAVLPHVDQVTLCDIPGDIPISQDQGELEAGCIPVTSAEKSPEASHTGPAFQEAPCGNNLSCPQEDVSSSGQSTNFDKSRLRNRPVKPSIWISSQIYDQNFETQIVASDHTYYNSKLEPSGKNKNRSKISNKDQSNKPVKTSASSRVETHQSEVAQSFSGEKANTKTQRSQTQTILANADTSTPTDCSPDTLSKIRQEVGPPLPPLLAPLIATPPRTSQPLSPLISSSSPSSPASPVGQVSPFRETPVPPAMSPWPEDPRRASPPDPSPSPSAASASERVVPSPLQFCAATPKHALPVPGRLPPCASGHAAVGGPQENSVKILDTMYPELSARARTLNILKGNIQLTRGPPADCKNLPGPASAMIGFKTITSAATAFVKTGSSSGGDCNQDKSRDLGTQQDSSGKRTLSTSTLRSAKRLRLDTGSPEPETRGVTAEGIHKNLPGNLPPAEVATTNEERSCSSPAVSAVSQLPLSPKETVESHDKAIANALKKIAEFSFDLLPVIRSHVYVGNISKKPVMRDQEKEVVYEFSTTKKHLAECLLHSILSELKIQKISMDHNYIHALCRVYVGICRQLGDLERARLFCYSLLKEDFPESEKLTLFIANMWHDIFLSQSVINKAMQLVARQRAKGEVLNCLRAFLNWEKNAPVDVGFMVSKLLLTIQLCPKTEFQPSEKFGEDLSDNTWEYIFAIDLLCCHQKWIWTHDNIISKELWPVMDKWIKYRKGHANIAYTPDIIIASILRLIGRLGQLGLKEGFPSAVKNISSVIGMFIQHAHDEDIPWGIQLAAVYALCDLSPSNPAEISKILEAWRREASKSVPSAIVSCLEEVSALSTEELG
+>DECOY_sp|Q9Y2F5|ICE1_HUMAN Little elongation complex subunit 1 OS=Homo sapiens OX=9606 GN=ICE1 PE=1 SV=5
+GLEETSLASVEELCSVIASPVSKSAERRWAELIKSIEAPNSPSLDCLAYVAALQIGWPIDEDHAHQIFMGIVSSINKVASPFGEKLGLQGLRGILRLISAIIIDPTYAINAHGKRYKIWKDMVPWLEKSIINDHTWIWKQHCCLLDIAFIYEWTNDSLDEGFKESPQFETKPCLQITLLLKSVMFGVDVPANKEWNLFARLCNLVEGKARQRAVLQMAKNIVSQSLFIDHWMNAIFLTLKESEPFDEKLLSYCFLRARELDGLQRCIGVYVRCLAHIYNHDMSIKQIKLESLISHLLCEALHKKTTSFEYVVEKEQDRMVPKKSINGVYVHSRIVPLLDFSFEAIKKLANAIAKDHSEVTEKPSLPLQSVASVAPSSCSREENTTAVEAPPLNGPLNKHIGEATVGRTEPEPSGTDLRLRKASRLTSTSLTRKGSSDQQTGLDRSKDQNCDGGSSSGTKVFATAASTITKFGIMASAPGPLNKCDAPPGRTLQINGKLINLTRARASLEPYMTDLIKVSNEQPGGVAAHGSACPPLRGPVPLAHKPTAACFQLPSPVVRESASAASPSPSPDPPSARRPDEPWPSMAPPVPTERFPSVQGVPSAPSSPSSSSILPSLPQSTRPPTAILPALLPPLPPGVEQRIKSLTDPSCDTPTSTDANALITQTQSRQTKTNAKEGSFSQAVESQHTEVRSSASTKVPKNSQDKNSIKSRNKNKGSPELKSNYYTHDSAVIQTEFNQDYIQSSIWISPKVPRNRLRSKDFNTSQGSSSVDEQPCSLNNGCPAEQFAPGTHSAEPSKEASTVPICGAELEGQDQSIPIDGPIDCLTVQDVHPLVAVGSIITWNDGKEIVLNQDKNILVNIVESTVTAIQCQFTTEAECNSSQEISPEMVSDSCLASPEVPEPLDEEGGDTQRGADSRAEPRSQPNENQPMGSIPSSGETDLAAAQPTPESAHSSSGTTERFPSKEKLNETTMNNNVNLLLLSGTDKGNCDESNTQLEQRIKTLVESLTELSCQTLQSTNKLSYDDEESEIWEECTGLTEEECPQKRYKEGIESAKLEKNMEKSLTGKSSCESVSDGSSYDGLQCSELFMVVESTSLRDLESFTSINFDQLASTLYGVELSPRVEAVAADTDGLNKQSDDPADQQESGESCSFAESEVETDDGGERIGTYCHLTGPLSSQSTDQTEGHKRCFASSFTTDTTGFCEPLAGGPTTSKLKWLGNANQPTSTSDNAVALAEGGSRGTDGCSTEEVSFGGSVTVETAPLMESGHTGSSDLDTAQPQRQKQGDGQVAAERVIDQNQILINEPSFSLRSNTSCDSNEVPSSGGPSNFDLKRRSASVEPSISKVAAVETINQTTDDREGDCKAVTSMGTKNGSNETVLTPEVRHPRLHELKASQVKEPRTQKTIVSVQNPLVSATTKFEVPNNNERLLDPKPTPGRNQLFPMAKQLQHSTKQEHESKARLSEEGGKRLLDSHHWSTSKVFGLGSKILAAQSGILSTFDPKNLTLEIRPDQSECQGDKTAKMFVSRPLSHIKTLGKVVKTYEIGSSKQDNFNSAGLEPSLACLSNELNNGGISCEPPESQLHLTNLTKTQLKHESHHPETSFVKTTIDTDNGCDLGSSSQPNSGVSLAVLTSSSSFSTEIDLGAVDMGDGSDDDESEPSEGLTFGQTDEKEKELERSLRHFHGSVTIRDPESTIENIRTWKTFESKPSGMMKSLVTKGESEMLENLPRKGLPSSCLESKGPAAEKEQAPSASLREICLGRTLKHITKETQVSKDMERVEMQTKTEHLIDRKRDSMSRSATTWHKEGVLSHTASSERLTATFTELGSTTVKNVEWTNLAQIAEHSKPKEEWTGSGKNKRLSGFYNQSETTDDESVCEASNRLQVSDNDSSDTYEGFYTEPAFSSPLSGPHPSSMPPPSPVPSLLPPPLKFFDIAAQLDEDFFHDHDVFETSGGDRHSQVLVELNGNENFVHDSSCNTGSSSQKEVNQCLFDEKIEMSLKTLCTQVNSTRLPSGQTPPLTGDEGPVRSSTIAKAPKEPVCRSGEGPLRHTTNVCLWLEKLLLKVKRKDISGYSDSSIQTGIHRLEKENKQKSFEDLREQTKKFEKELIKKENRLQKFDQTQKVAAEQLKKVKAELKKKQADSKLCEEKVRAYEQHTDQYLKLSSKKEELEAKLSGLEEQCKQLPSIKQLMEEVQHHLNSNERRAFQLEDCKKQYETLLNDTNIIKQKLTILAEVYENLNQQLSACGQCRSLDAATGPAASHTEGPMM
+>sp|Q8WWN9|ICEF1_HUMAN Interactor protein for cytohesin exchange factors 1 OS=Homo sapiens OX=9606 GN=IPCEF1 PE=1 SV=1
+MTSYMAIDGSALVPLRQKPRRKTQGFLTMSRRRISCKDLGHADCQGWLYKKKEKGSFLSNKWKKFWVILKGSSLYWYSNQMAEKADGFVNLPDFTVERASECKKKHAFKISHPQIKTFYFAAENVQEMNVWLNKLGSAVIHQESTTKDEECYSESEQEDPEIAAETPPPPHASQTQSLTAQQASSSSPSLSGTSYSFSSLENTVKTPSSFPSSLSKERQSLPDTVNSLSAAEDEGQPITFAVQVHSPVPSEAGIHKALENSFVTSESGFLNSLSSDDTSSLSSNHDHLTVPDKPAGSKIMDKEETKVSEDDEMEKLYKSLEQASLSPLGDRRPSTKKELRKSFVKRCKNPSINEKLHKIRTLNSTLKCKEHDLAMINQLLDDPKLTARKYREWKVMNTLLIQDIYQQQRASPAPDDTDDTPQELKKSPSSPSVENSI
+>DECOY_sp|Q8WWN9|ICEF1_HUMAN Interactor protein for cytohesin exchange factors 1 OS=Homo sapiens OX=9606 GN=IPCEF1 PE=1 SV=1
+ISNEVSPSSPSKKLEQPTDDTDDPAPSARQQQYIDQILLTNMVKWERYKRATLKPDDLLQNIMALDHEKCKLTSNLTRIKHLKENISPNKCRKVFSKRLEKKTSPRRDGLPSLSAQELSKYLKEMEDDESVKTEEKDMIKSGAPKDPVTLHDHNSSLSSTDDSSLSNLFGSESTVFSNELAKHIGAESPVPSHVQVAFTIPQGEDEAASLSNVTDPLSQREKSLSSPFSSPTKVTNELSSFSYSTGSLSPSSSSAQQATLSQTQSAHPPPPTEAAIEPDEQESESYCEEDKTTSEQHIVASGLKNLWVNMEQVNEAAFYFTKIQPHSIKFAHKKKCESAREVTFDPLNVFGDAKEAMQNSYWYLSSGKLIVWFKKWKNSLFSGKEKKKYLWGQCDAHGLDKCSIRRRSMTLFGQTKRRPKQRLPVLASGDIAMYSTM
+>sp|P41134|ID1_HUMAN DNA-binding protein inhibitor ID-1 OS=Homo sapiens OX=9606 GN=ID1 PE=1 SV=3
+MKVASGSTATAAAGPSCALKAGKTASGAGEVVRCLSEQSVAISRCAGGAGARLPALLDEQQVNVLLYDMNGCYSRLKELVPTLPQNRKVSKVEILQHVIDYIRDLQLELNSESEVGTPGGRGLPVRAPLSTLNGEISALTAEAACVPADDRILCR
+>DECOY_sp|P41134|ID1_HUMAN DNA-binding protein inhibitor ID-1 OS=Homo sapiens OX=9606 GN=ID1 PE=1 SV=3
+RCLIRDDAPVCAAEATLASIEGNLTSLPARVPLGRGGPTGVESESNLELQLDRIYDIVHQLIEVKSVKRNQPLTPVLEKLRSYCGNMDYLLVNVQQEDLLAPLRAGAGGACRSIAVSQESLCRVVEGAGSATKGAKLACSPGAAATATSGSAVKM
+>sp|Q02363|ID2_HUMAN DNA-binding protein inhibitor ID-2 OS=Homo sapiens OX=9606 GN=ID2 PE=1 SV=1
+MKAFSPVRSVRKNSLSDHSLGISRSKTPVDDPMSLLYNMNDCYSKLKELVPSIPQNKKVSKMEILQHVIDYILDLQIALDSHPTIVSLHHQRPGQNQASRTPLTTLNTDISILSLQASEFPSELMSNDSKALCG
+>DECOY_sp|Q02363|ID2_HUMAN DNA-binding protein inhibitor ID-2 OS=Homo sapiens OX=9606 GN=ID2 PE=1 SV=1
+GCLAKSDNSMLESPFESAQLSLISIDTNLTTLPTRSAQNQGPRQHHLSVITPHSDLAIQLDLIYDIVHQLIEMKSVKKNQPISPVLEKLKSYCDNMNYLLSMPDDVPTKSRSIGLSHDSLSNKRVSRVPSFAKM
+>sp|P50213|IDH3A_HUMAN Isocitrate dehydrogenase [NAD] subunit alpha, mitochondrial OS=Homo sapiens OX=9606 GN=IDH3A PE=1 SV=1
+MAGPAWISKVSRLLGAFHNPKQVTRGFTGGVQTVTLIPGDGIGPEISAAVMKIFDAAKAPIQWEERNVTAIQGPGGKWMIPSEAKESMDKNKMGLKGPLKTPIAAGHPSMNLLLRKTFDLYANVRPCVSIEGYKTPYTDVNIVTIRENTEGEYSGIEHVIVDGVVQSIKLITEGASKRIAEFAFEYARNNHRSNVTAVHKANIMRMSDGLFLQKCREVAESCKDIKFNEMYLDTVCLNMVQDPSQFDVLVMPNLYGDILSDLCAGLIGGLGVTPSGNIGANGVAIFESVHGTAPDIAGKDMANPTALLLSAVMMLRHMGLFDHAARIEAACFATIKDGKSLTKDLGGNAKCSDFTEEICRRVKDLD
+>DECOY_sp|P50213|IDH3A_HUMAN Isocitrate dehydrogenase [NAD] subunit alpha, mitochondrial OS=Homo sapiens OX=9606 GN=IDH3A PE=1 SV=1
+DLDKVRRCIEETFDSCKANGGLDKTLSKGDKITAFCAAEIRAAHDFLGMHRLMMVASLLLATPNAMDKGAIDPATGHVSEFIAVGNAGINGSPTVGLGGILGACLDSLIDGYLNPMVLVDFQSPDQVMNLCVTDLYMENFKIDKCSEAVERCKQLFLGDSMRMINAKHVATVNSRHNNRAYEFAFEAIRKSAGETILKISQVVGDVIVHEIGSYEGETNERITVINVDTYPTKYGEISVCPRVNAYLDFTKRLLLNMSPHGAAIPTKLPGKLGMKNKDMSEKAESPIMWKGGPGQIATVNREEWQIPAKAADFIKMVAASIEPGIGDGPILTVTQVGGTFGRTVQKPNHFAGLLRSVKSIWAPGAM
+>sp|O14645|IDLC_HUMAN Axonemal dynein light intermediate polypeptide 1 OS=Homo sapiens OX=9606 GN=DNALI1 PE=1 SV=2
+MIPPADSLLKYDTPVLVSRNTEKRSPKARLLKVSPQQPGPSGSAPQPPKTKLPSTPCVPDPTKQAEEILNAILPPREWVEDTQLWIQQVSSTPSTRMDVVHLQEQLDLKLQQRQARETGICPVRRELYSQCFDELIREVTINCAERGLLLLRVRDEIRMTIAAYQTLYESSVAFGMRKALQAEQGKSDMERKIAELETEKRDLERQVNEQKAKCEATEKRESERRQVEEKKHNEEIQFLKRTNQQLKAQLEGIIAPKK
+>DECOY_sp|O14645|IDLC_HUMAN Axonemal dynein light intermediate polypeptide 1 OS=Homo sapiens OX=9606 GN=DNALI1 PE=1 SV=2
+KKPAIIGELQAKLQQNTRKLFQIEENHKKEEVQRRESERKETAECKAKQENVQRELDRKETELEAIKREMDSKGQEAQLAKRMGFAVSSEYLTQYAAITMRIEDRVRLLLLGREACNITVERILEDFCQSYLERRVPCIGTERAQRQQLKLDLQEQLHVVDMRTSPTSSVQQIWLQTDEVWERPPLIANLIEEAQKTPDPVCPTSPLKTKPPQPASGSPGPQQPSVKLLRAKPSRKETNRSVLVPTDYKLLSDAPPIM
+>sp|Q5T953|IER5L_HUMAN Immediate early response gene 5-like protein OS=Homo sapiens OX=9606 GN=IER5L PE=2 SV=1
+MECALDAQSLISISLRKIHSSRTQRGGIKLHKNLLVSYVLRNARQLYLSERYAELYRRQQQQQQQQPPHHQHQHLAYAAPGMPASAADFGPLQLGGGGDAEAREPAARHQLHQLHQLHQLHLQQQLHQHQHPAPRGCAAAAAAGAPAGGAGALSELPGCAALQPPHGAPHRGQPLEPLQPGPAPLPLPLPPPAPAALCPRDPRAPAACSAPPGAAPPAAAASPPASPAPASSPGFYRGAYPTPSDFGLHCSSQTTVLDLDTHVVTTVENGYLHQDCCASAHCPCCGQGAPGPGLASAAGCKRKYYPGQEEEEDDEEDAGGLGAEPPGGAPFAPCKRARFEDFCPDSSPDASNISNLISIFGSGFSGLVSRQPDSSEQPPPLNGQLCAKQALASLGAWTRAIVAF
+>DECOY_sp|Q5T953|IER5L_HUMAN Immediate early response gene 5-like protein OS=Homo sapiens OX=9606 GN=IER5L PE=2 SV=1
+FAVIARTWAGLSALAQKACLQGNLPPPQESSDPQRSVLGSFGSGFISILNSINSADPSSDPCFDEFRARKCPAFPAGGPPEAGLGGADEEDDEEEEQGPYYKRKCGAASALGPGPAGQGCCPCHASACCDQHLYGNEVTTVVHTDLDLVTTQSSCHLGFDSPTPYAGRYFGPSSAPAPSAPPSAAAAPPAAGPPASCAAPARPDRPCLAAPAPPPLPLPLPAPGPQLPELPQGRHPAGHPPQLAACGPLESLAGAGGAPAGAAAAAACGRPAPHQHQHLQQQLHLQHLQHLQHLQHRAAPERAEADGGGGLQLPGFDAASAPMGPAAYALHQHQHHPPQQQQQQQQRRYLEAYRESLYLQRANRLVYSVLLNKHLKIGGRQTRSSHIKRLSISILSQADLACEM
+>sp|P47813|IF1AX_HUMAN Eukaryotic translation initiation factor 1A, X-chromosomal OS=Homo sapiens OX=9606 GN=EIF1AX PE=1 SV=2
+MPKNKGKGGKNRRRGKNENESEKRELVFKEDGQEYAQVIKMLGNGRLEAMCFDGVKRLCHIRGKLRKKVWINTSDIILVGLRDYQDNKADVILKYNADEARSLKAYGELPEHAKINETDTFGPGDDDEIQFDDIGDDDEDIDDI
+>DECOY_sp|P47813|IF1AX_HUMAN Eukaryotic translation initiation factor 1A, X-chromosomal OS=Homo sapiens OX=9606 GN=EIF1AX PE=1 SV=2
+IDDIDEDDDGIDDFQIEDDDGPGFTDTENIKAHEPLEGYAKLSRAEDANYKLIVDAKNDQYDRLGVLIIDSTNIWVKKRLKGRIHCLRKVGDFCMAELRGNGLMKIVQAYEQGDEKFVLERKESENENKGRRRNKGGKGKNKPM
+>sp|Q14439|GP176_HUMAN G-protein coupled receptor 176 OS=Homo sapiens OX=9606 GN=GPR176 PE=2 SV=1
+MGHNGSWISPNASEPHNASGAEAAGVNRSALGEFGEAQLYRQFTTTVQVVIFIGSLLGNFMVLWSTCRTTVFKSVTNRFIKNLACSGICASLVCVPFDIILSTSPHCCWWIYTMLFCKVVKFLHKVFCSVTILSFPAIALDRYYSVLYPLERKISDAKSRELVMYIWAHAVVASVPVFAVTNVADIYATSTCTEVWSNSLGHLVYVLVYNITTVIVPVVVVFLFLILIRRALSASQKKKVIIAALRTPQNTISIPYASQREAELHATLLSMVMVFILCSVPYATLVVYQTVLNVPDTSVFLLLTAVWLPKVSLLANPVLFLTVNKSVRKCLIGTLVQLHHRYSRRNVVSTGSGMAEASLEPSIRSGSQLLEMFHIGQQQIFKPTEDEEESEAKYIGSADFQAKEIFSTCLEGEQGPQFAPSAPPLSTVDSVSQVAPAAPVEPETFPDKYSLQFGFGPFELPPQWLSETRNSKKRLLPPLGNTPEELIQTKVPKVGRVERKMSRNNKVSIFPKVDS
+>DECOY_sp|Q14439|GP176_HUMAN G-protein coupled receptor 176 OS=Homo sapiens OX=9606 GN=GPR176 PE=2 SV=1
+SDVKPFISVKNNRSMKREVRGVKPVKTQILEEPTNGLPPLLRKKSNRTESLWQPPLEFPGFGFQLSYKDPFTEPEVPAAPAVQSVSDVTSLPPASPAFQPGQEGELCTSFIEKAQFDASGIYKAESEEEDETPKFIQQQGIHFMELLQSGSRISPELSAEAMGSGTSVVNRRSYRHHLQVLTGILCKRVSKNVTLFLVPNALLSVKPLWVATLLLFVSTDPVNLVTQYVVLTAYPVSCLIFVMVMSLLTAHLEAERQSAYPISITNQPTRLAAIIVKKKQSASLARRILILFLFVVVVPVIVTTINYVLVYVLHGLSNSWVETCTSTAYIDAVNTVAFVPVSAVVAHAWIYMVLERSKADSIKRELPYLVSYYRDLAIAPFSLITVSCFVKHLFKVVKCFLMTYIWWCCHPSTSLIIDFPVCVLSACIGSCALNKIFRNTVSKFVTTRCTSWLVMFNGLLSGIFIVVQVTTTFQRYLQAEGFEGLASRNVGAAEAGSANHPESANPSIWSGNHGM
+>sp|P55259|GP2_HUMAN Pancreatic secretory granule membrane major glycoprotein GP2 OS=Homo sapiens OX=9606 GN=GP2 PE=2 SV=3
+MPHLMERMVGSGLLWLALVSCILTQASAVQRGYGNPIEASSYGLDLDCGAPGTPEAHVCFDPCQNYTLLDEPFRSTENSAGSQGCDKNMSGWYRFVGEGGVRMSETCVQVHRCQTDAPMWLNGTHPALGDGITNHTACAHWSGNCCFWKTEVLVKACPGGYHVYRLEGTPWCNLRYCTVPRDPSTVEDKCEKACRPEEECLALNSTWGCFCRQDLNSSDVHSLQPQLDCGPREIKVKVDKCLLGGLGLGEEVIAYLRDPNCSSILQTEERNWVSVTSPVQASACRNILERNQTHAIYKNTLSLVNDFIIRDTILNINFQCAYPLDMKVSLQAALQPIVSSLNVSVDGNGEFIVRMALFQDQNYTNPYEGDAVELSVESVLYVGAILEQGDTSRFNLVLRNCYATPTEDKADLVKYFIIRNSCSNQRDSTIHVEENGQSSESRFSVQMFMFAGHYDLVFLHCEIHLCDSLNEQCQPSCSRSQVRSEVPAIDLARVLDLGPITRRGAQSPGVMNGTPSTAGFLVAWPMVLLTVLLAWLF
+>DECOY_sp|P55259|GP2_HUMAN Pancreatic secretory granule membrane major glycoprotein GP2 OS=Homo sapiens OX=9606 GN=GP2 PE=2 SV=3
+FLWALLVTLLVMPWAVLFGATSPTGNMVGPSQAGRRTIPGLDLVRALDIAPVESRVQSRSCSPQCQENLSDCLHIECHLFVLDYHGAFMFMQVSFRSESSQGNEEVHITSDRQNSCSNRIIFYKVLDAKDETPTAYCNRLVLNFRSTDGQELIAGVYLVSEVSLEVADGEYPNTYNQDQFLAMRVIFEGNGDVSVNLSSVIPQLAAQLSVKMDLPYACQFNINLITDRIIFDNVLSLTNKYIAHTQNRELINRCASAQVPSTVSVWNREETQLISSCNPDRLYAIVEEGLGLGGLLCKDVKVKIERPGCDLQPQLSHVDSSNLDQRCFCGWTSNLALCEEEPRCAKECKDEVTSPDRPVTCYRLNCWPTGELRYVHYGGPCAKVLVETKWFCCNGSWHACATHNTIGDGLAPHTGNLWMPADTQCRHVQVCTESMRVGGEGVFRYWGSMNKDCGQSGASNETSRFPEDLLTYNQCPDFCVHAEPTGPAGCDLDLGYSSAEIPNGYGRQVASAQTLICSVLALWLLGSGVMREMLHPM
+>sp|Q86UL3|GPAT4_HUMAN Glycerol-3-phosphate acyltransferase 4 OS=Homo sapiens OX=9606 GN=GPAT4 PE=1 SV=1
+MFLLLPFDSLIVNLLGISLTVLFTLLLVFIIVPAIFGVSFGIRKLYMKSLLKIFAWATLRMERGAKEKNHQLYKPYTNGIIAKDPTSLEEEIKEIRRSGSSKALDNTPEFELSDIFYFCRKGMETIMDDEVTKRFSAEELESWNLLSRTNYNFQYISLRLTVLWGLGVLIRYCFLLPLRIALAFTGISLLVVGTTVVGYLPNGRFKEFMSKHVHLMCYRICVRALTAIITYHDRENRPRNGGICVANHTSPIDVIILASDGYYAMVGQVHGGLMGVIQRAMVKACPHVWFERSEVKDRHLVAKRLTEHVQDKSKLPILIFPEGTCINNTSVMMFKKGSFEIGATVYPVAIKYDPQFGDAFWNSSKYGMVTYLLRMMTSWAIVCSVWYLPPMTREADEDAVQFANRVKSAIARQGGLVDLLWDGGLKREKVKDTFKEEQQKLYSKMIVGNHKDRSRS
+>DECOY_sp|Q86UL3|GPAT4_HUMAN Glycerol-3-phosphate acyltransferase 4 OS=Homo sapiens OX=9606 GN=GPAT4 PE=1 SV=1
+SRSRDKHNGVIMKSYLKQQEEKFTDKVKERKLGGDWLLDVLGGQRAIASKVRNAFQVADEDAERTMPPLYWVSCVIAWSTMMRLLYTVMGYKSSNWFADGFQPDYKIAVPYVTAGIEFSGKKFMMVSTNNICTGEPFILIPLKSKDQVHETLRKAVLHRDKVESREFWVHPCAKVMARQIVGMLGGHVQGVMAYYGDSALIIVDIPSTHNAVCIGGNRPRNERDHYTIIATLARVCIRYCMLHVHKSMFEKFRGNPLYGVVTTGVVLLSIGTFALAIRLPLLFCYRILVGLGWLVTLRLSIYQFNYNTRSLLNWSELEEASFRKTVEDDMITEMGKRCFYFIDSLEFEPTNDLAKSSGSRRIEKIEEELSTPDKAIIGNTYPKYLQHNKEKAGREMRLTAWAFIKLLSKMYLKRIGFSVGFIAPVIIFVLLLTFLVTLSIGLLNVILSDFPLLLFM
+>sp|Q9HC44|GPBL1_HUMAN Vasculin-like protein 1 OS=Homo sapiens OX=9606 GN=GPBP1L1 PE=1 SV=1
+MAQHDFVPAWLNFSTPQSAKSPTATFEKHGEHLPRGEGRFGVSRRRHNSSDGFFNNGPLRTAGDSWHQPSLFRHDSVDSGVSKGAYAGITGNPSGWHSSSRGHDGMSQRSGGGTGNHRHWNGSFHSRKGCAFQEKPPMEIREEKKEDKVEKLQFEEEDFPSLNPEAGKQHQPCRPIGTPSGVWENPPSAKQPSKMLVIKKVSKEDPAAAFSAAFTSPGSHHANGNKLSSVVPSVYKNLVPKPVPPPSKPNAWKANRMEHKSGSLSSSRESAFTSPISVTKPVVLASGAALSSPKESPSSTTPPIEISSSRLTKLTRRTTDRKSEFLKTLKDDRNGDFSENRDCDKLEDLEDNSTPEPKENGEEGCHQNGLALPVVEEGEVLSHSLEAEHRLLKAMGWQEYPENDENCLPLTEDELKEFHMKTEQLRRNGFGKNGFLQSRSSSLFSPWRSTCKAEFEDSDTETSSSETSDDDAWK
+>DECOY_sp|Q9HC44|GPBL1_HUMAN Vasculin-like protein 1 OS=Homo sapiens OX=9606 GN=GPBP1L1 PE=1 SV=1
+KWADDDSTESSSTETDSDEFEAKCTSRWPSFLSSSRSQLFGNKGFGNRRLQETKMHFEKLEDETLPLCNEDNEPYEQWGMAKLLRHEAELSHSLVEGEEVVPLALGNQHCGEEGNEKPEPTSNDELDELKDCDRNESFDGNRDDKLTKLFESKRDTTRRTLKTLRSSSIEIPPTTSSPSEKPSSLAAGSALVVPKTVSIPSTFASERSSSLSGSKHEMRNAKWANPKSPPPVPKPVLNKYVSPVVSSLKNGNAHHSGPSTFAASFAAAPDEKSVKKIVLMKSPQKASPPNEWVGSPTGIPRCPQHQKGAEPNLSPFDEEEFQLKEVKDEKKEERIEMPPKEQFACGKRSHFSGNWHRHNGTGGGSRQSMGDHGRSSSHWGSPNGTIGAYAGKSVGSDVSDHRFLSPQHWSDGATRLPGNNFFGDSSNHRRRSVGFRGEGRPLHEGHKEFTATPSKASQPTSFNLWAPVFDHQAM
+>sp|Q9NPB8|GPCP1_HUMAN Glycerophosphocholine phosphodiesterase GPCPD1 OS=Homo sapiens OX=9606 GN=GPCPD1 PE=1 SV=2
+MTPSQVAFEIRGTLLPGEVFAICGSCDALGNWNPQNAVALLPENDTGESMLWKATIVLSRGVSVQYRYFKGYFLEPKTIGGPCQVIVHKWETHLQPRSITPLESEIIIDDGQFGIHNGVETLDSGWLTCQTEIRLRLHYSEKPPVSITKKKLKKSRFRVKLTLEGLEEDDDDRVSPTVLHKMSNSLEISLISDNEFKCRHSQPECGYGLQPDRWTEYSIQTMEPDNLELIFDFFEEDLSEHVVQGDALPGHVGTACLLSSTIAESGKSAGILTLPIMSRNSRKTIGKVRVDYIIIKPLPGYSCDMKSSFSKYWKPRIPLDVGHRGAGNSTTTAQLAKVQENTIASLRNAASHGAAFVEFDVHLSKDFVPVVYHDLTCCLTMKKKFDADPVELFEIPVKELTFDQLQLLKLTHVTALKSKDRKESVVQEENSFSENQPFPSLKMVLESLPEDVGFNIEIKWICQQRDGMWDGNLSTYFDMNLFLDIILKTVLENSGKRRIVFSSFDADICTMVRQKQNKYPILFLTQGKSEIYPELMDLRSRTTPIAMSFAQFENLLGINVHTEDLLRNPSYIQEAKAKGLVIFCWGDDTNDPENRRKLKELGVNGLIYDRIYDWMPEQPNIFQVEQLERLKQELPELKSCLCPTVSRFVPSSLCGESDIHVDANGIDNVENA
+>DECOY_sp|Q9NPB8|GPCP1_HUMAN Glycerophosphocholine phosphodiesterase GPCPD1 OS=Homo sapiens OX=9606 GN=GPCPD1 PE=1 SV=2
+ANEVNDIGNADVHIDSEGCLSSPVFRSVTPCLCSKLEPLEQKLRELQEVQFINPQEPMWDYIRDYILGNVGLEKLKRRNEPDNTDDGWCFIVLGKAKAEQIYSPNRLLDETHVNIGLLNEFQAFSMAIPTTRSRLDMLEPYIESKGQTLFLIPYKNQKQRVMTCIDADFSSFVIRRKGSNELVTKLIIDLFLNMDFYTSLNGDWMGDRQQCIWKIEINFGVDEPLSELVMKLSPFPQNESFSNEEQVVSEKRDKSKLATVHTLKLLQLQDFTLEKVPIEFLEVPDADFKKKMTLCCTLDHYVVPVFDKSLHVDFEVFAAGHSAANRLSAITNEQVKALQATTTSNGAGRHGVDLPIRPKWYKSFSSKMDCSYGPLPKIIIYDVRVKGITKRSNRSMIPLTLIGASKGSEAITSSLLCATGVHGPLADGQVVHESLDEEFFDFILELNDPEMTQISYETWRDPQLGYGCEPQSHRCKFENDSILSIELSNSMKHLVTPSVRDDDDEELGELTLKVRFRSKKLKKKTISVPPKESYHLRLRIETQCTLWGSDLTEVGNHIGFQGDDIIIESELPTISRPQLHTEWKHVIVQCPGGITKPELFYGKFYRYQVSVGRSLVITAKWLMSEGTDNEPLLAVANQPNWNGLADCSGCIAFVEGPLLTGRIEFAVQSPTM
+>sp|P21695|GPDA_HUMAN Glycerol-3-phosphate dehydrogenase [NAD(+)], cytoplasmic OS=Homo sapiens OX=9606 GN=GPD1 PE=1 SV=4
+MASKKVCIVGSGNWGSAIAKIVGGNAAQLAQFDPRVTMWVFEEDIGGKKLTEIINTQHENVKYLPGHKLPPNVVAVPDVVQAAEDADILIFVVPHQFIGKICDQLKGHLKANATGISLIKGVDEGPNGLKLISEVIGERLGIPMSVLMGANIASEVADEKFCETTIGCKDPAQGQLLKELMQTPNFRITVVQEVDTVEICGALKNVVAVGAGFCDGLGFGDNTKAAVIRLGLMEMIAFAKLFCSGPVSSATFLESCGVADLITTCYGGRNRKVAEAFARTGKSIEQLEKELLNGQKLQGPETARELYSILQHKGLVDKFPLFMAVYKVCYEGQPVGEFIHCLQNHPEHM
+>DECOY_sp|P21695|GPDA_HUMAN Glycerol-3-phosphate dehydrogenase [NAD(+)], cytoplasmic OS=Homo sapiens OX=9606 GN=GPD1 PE=1 SV=4
+MHEPHNQLCHIFEGVPQGEYCVKYVAMFLPFKDVLGKHQLISYLERATEPGQLKQGNLLEKELQEISKGTRAFAEAVKRNRGGYCTTILDAVGCSELFTASSVPGSCFLKAFAIMEMLGLRIVAAKTNDGFGLGDCFGAGVAVVNKLAGCIEVTDVEQVVTIRFNPTQMLEKLLQGQAPDKCGITTECFKEDAVESAINAGMLVSMPIGLREGIVESILKLGNPGEDVGKILSIGTANAKLHGKLQDCIKGIFQHPVVFILIDADEAAQVVDPVAVVNPPLKHGPLYKVNEHQTNIIETLKKGGIDEEFVWMTVRPDFQALQAANGGVIKAIASGWNGSGVICVKKSAM
+>sp|P43304|GPDM_HUMAN Glycerol-3-phosphate dehydrogenase, mitochondrial OS=Homo sapiens OX=9606 GN=GPD2 PE=1 SV=3
+MAFQKAVKGTILVGGGALATVLGLSQFAHYRRKQMNLAYVKAADCISEPVNREPPSREAQLLTLQNTSEFDILVIGGGATGSGCALDAVTRGLKTALVERDDFSSGTSSRSTKLIHGGVRYLQKAIMKLDIEQYRMVKEALHERANLLEIAPHLSAPLPIMLPVYKWWQLPYYWVGIKLYDLVAGSNCLKSSYVLSKSRALEHFPMLQKDKLVGAIVYYDGQHNDARMNLAIALTAARYGAATANYMEVVSLLKKTDPQTGKVRVSGARCKDVLTGQEFDVRAKCVINATGPFTDSVRKMDDKDAAAICQPSAGVHIVMPGYYSPESMGLLDPATSDGRVIFFLPWQKMTIAGTTDTPTDVTHHPIPSEEDINFILNEVRNYLSCDVEVRRGDVLAAWSGIRPLVTDPKSADTQSISRNHVVDISESGLITIAGGKWTTYRSMAEDTINAAVKTHNLKAGPSRTVGLFLQGGKDWSPTLYIRLVQDYGLESEVAQHLAATYGDKAFEVAKMASVTGKRWPIVGVRLVSEFPYIEAEVKYGIKEYACTAVDMISRRTRLAFLNVQAAEEALPRIVELMGRELNWDDYKKQEQLETARKFLYYEMGYKSRSEQLTDRSEISLLPSDIDRYKKRFHKFDADQKGFITIVDVQRVLESINVQMDENTLHEILNEVDLNKNGQVELNEFLQLMSAIQKGRVSGSRLAILMKTAEENLDRRVPIPVDRSCGGL
+>DECOY_sp|P43304|GPDM_HUMAN Glycerol-3-phosphate dehydrogenase, mitochondrial OS=Homo sapiens OX=9606 GN=GPD2 PE=1 SV=3
+LGGCSRDVPIPVRRDLNEEATKMLIALRSGSVRGKQIASMLQLFENLEVQGNKNLDVENLIEHLTNEDMQVNISELVRQVDVITIFGKQDADFKHFRKKYRDIDSPLLSIESRDTLQESRSKYGMEYYLFKRATELQEQKKYDDWNLERGMLEVIRPLAEEAAQVNLFALRTRRSIMDVATCAYEKIGYKVEAEIYPFESVLRVGVIPWRKGTVSAMKAVEFAKDGYTAALHQAVESELGYDQVLRIYLTPSWDKGGQLFLGVTRSPGAKLNHTKVAANITDEAMSRYTTWKGGAITILGSESIDVVHNRSISQTDASKPDTVLPRIGSWAALVDGRRVEVDCSLYNRVENLIFNIDEESPIPHHTVDTPTDTTGAITMKQWPLFFIVRGDSTAPDLLGMSEPSYYGPMVIHVGASPQCIAAADKDDMKRVSDTFPGTANIVCKARVDFEQGTLVDKCRAGSVRVKGTQPDTKKLLSVVEMYNATAAGYRAATLAIALNMRADNHQGDYYVIAGVLKDKQLMPFHELARSKSLVYSSKLCNSGAVLDYLKIGVWYYPLQWWKYVPLMIPLPASLHPAIELLNAREHLAEKVMRYQEIDLKMIAKQLYRVGGHILKTSRSSTGSSFDDREVLATKLGRTVADLACGSGTAGGGIVLIDFESTNQLTLLQAERSPPERNVPESICDAAKVYALNMQKRRYHAFQSLGLVTALAGGGVLITGKVAKQFAM
+>sp|P51674|GPM6A_HUMAN Neuronal membrane glycoprotein M6-a OS=Homo sapiens OX=9606 GN=GPM6A PE=1 SV=2
+MEENMEEGQTQKGCFECCIKCLGGIPYASLIATILLYAGVALFCGCGHEALSGTVNILQTYFEMARTAGDTLDVFTMIDIFKYVIYGIAAAFFVYGILLMVEGFFTTGAIKDLYGDFKITTCGRCVSAWFIMLTYLFMLAWLGVTAFTSLPVYMYFNLWTICRNTTLVEGANLCLDLRQFGIVTIGEEKKICTVSENFLRMCESTELNMTFHLFIVALAGAGAAVIAMVHYLMVLSANWAYVKDACRMQKYEDIKSKEEQELHDIHSTRSKERLNAYT
+>DECOY_sp|P51674|GPM6A_HUMAN Neuronal membrane glycoprotein M6-a OS=Homo sapiens OX=9606 GN=GPM6A PE=1 SV=2
+TYANLREKSRTSHIDHLEQEEKSKIDEYKQMRCADKVYAWNASLVMLYHVMAIVAAGAGALAVIFLHFTMNLETSECMRLFNESVTCIKKEEGITVIGFQRLDLCLNAGEVLTTNRCITWLNFYMYVPLSTFATVGLWALMFLYTLMIFWASVCRGCTTIKFDGYLDKIAGTTFFGEVMLLIGYVFFAAAIGYIVYKFIDIMTFVDLTDGATRAMEFYTQLINVTGSLAEHGCGCFLAVGAYLLITAILSAYPIGGLCKICCEFCGKQTQGEEMNEEM
+>sp|Q9H9Y4|GPN2_HUMAN GPN-loop GTPase 2 OS=Homo sapiens OX=9606 GN=GPN2 PE=1 SV=2
+MAGAAPTTAFGQAVIGPPGSGKTTYCLGMSEFLRALGRRVAVVNLDPANEGLPYECAVDVGELVGLGDVMDALRLGPNGGLLYCMEYLEANLDWLRAKLDPLRGHYFLFDCPGQVELCTHHGALRSIFSQMAQWDLRLTAVHLVDSHYCTDPAKFISVLCTSLATMLHVELPHINLLSKMDLIEHYGKLAFNLDYYTEVLDLSYLLDHLASDPFFRHYRQLNEKLVQLIEDYSLVSFIPLNIQDKESIQRVLQAVDKANGYCFRAQEQRSLEAMMSAAMGADFHFSSTLGIQEKYLAPSNQSVEQEAMQL
+>DECOY_sp|Q9H9Y4|GPN2_HUMAN GPN-loop GTPase 2 OS=Homo sapiens OX=9606 GN=GPN2 PE=1 SV=2
+LQMAEQEVSQNSPALYKEQIGLTSSFHFDAGMAASMMAELSRQEQARFCYGNAKDVAQLVRQISEKDQINLPIFSVLSYDEILQVLKENLQRYHRFFPDSALHDLLYSLDLVETYYDLNFALKGYHEILDMKSLLNIHPLEVHLMTALSTCLVSIFKAPDTCYHSDVLHVATLRLDWQAMQSFISRLAGHHTCLEVQGPCDFLFYHGRLPDLKARLWDLNAELYEMCYLLGGNPGLRLADMVDGLGVLEGVDVACEYPLGENAPDLNVVAVRRGLARLFESMGLCYTTKGSGPPGIVAQGFATTPAAGAM
+>sp|Q96P69|GPR78_HUMAN G-protein coupled receptor 78 OS=Homo sapiens OX=9606 GN=GPR78 PE=1 SV=2
+MGPGEALLAGLLVMVLAVALLSNALVLLCCAYSAELRTRASGVLLVNLSLGHLLLAALDMPFTLLGVMRGRTPSAPGACQVIGFLDTFLASNAALSVAALSADQWLAVGFPLRYAGRLRPRYAGLLLGCAWGQSLAFSGAALGCSWLGYSSAFASCSLRLPPEPERPRFAAFTATLHAVGFVLPLAVLCLTSLQVHRVARRHCQRMDTVTMKALALLADLHPSVRQRCLIQQKRRRHRATRKIGIAIATFLICFAPYVMTRLAELVPFVTVNAQWGILSKCLTYSKAVADPFTYSLLRRPFRQVLAGMVHRLLKRTPRPASTHDSSLDVAGMVHQLLKRTPRPASTHNGSVDTENDSCLQQTH
+>DECOY_sp|Q96P69|GPR78_HUMAN G-protein coupled receptor 78 OS=Homo sapiens OX=9606 GN=GPR78 PE=1 SV=2
+HTQQLCSDNETDVSGNHTSAPRPTRKLLQHVMGAVDLSSDHTSAPRPTRKLLRHVMGALVQRFPRRLLSYTFPDAVAKSYTLCKSLIGWQANVTVFPVLEALRTMVYPAFCILFTAIAIGIKRTARHRRRKQQILCRQRVSPHLDALLALAKMTVTDMRQCHRRAVRHVQLSTLCLVALPLVFGVAHLTATFAAFRPREPEPPLRLSCSAFASSYGLWSCGLAAGSFALSQGWACGLLLGAYRPRLRGAYRLPFGVALWQDASLAAVSLAANSALFTDLFGIVQCAGPASPTRGRMVGLLTFPMDLAALLLHGLSLNVLLVGSARTRLEASYACCLLVLANSLLAVALVMVLLGALLAEGPGM
+>sp|P60893|GPR85_HUMAN Probable G-protein coupled receptor 85 OS=Homo sapiens OX=9606 GN=GPR85 PE=1 SV=1
+MANYSHAADNILQNLSPLTAFLKLTSLGFIIGVSVVGNLLISILLVKDKTLHRAPYYFLLDLCCSDILRSAICFPFVFNSVKNGSTWTYGTLTCKVIAFLGVLSCFHTAFMLFCISVTRYLAIAHHRFYTKRLTFWTCLAVICMVWTLSVAMAFPPVLDVGTYSFIREEDQCTFQHRSFRANDSLGFMLLLALILLATQLVYLKLIFFVHDRRKMKPVQFVAAVSQNWTFHGPGASGQAAANWLAGFGRGPTPPTLLGIRQNANTTGRRRLLVLDEFKMEKRISRMFYIMTFLFLTLWGPYLVACYWRVFARGPVVPGGFLTAAVWMSFAQAGINPFVCIFSNRELRRCFSTTLLYCRKSRLPREPYCVI
+>DECOY_sp|P60893|GPR85_HUMAN Probable G-protein coupled receptor 85 OS=Homo sapiens OX=9606 GN=GPR85 PE=1 SV=1
+IVCYPERPLRSKRCYLLTTSFCRRLERNSFICVFPNIGAQAFSMWVAATLFGGPVVPGRAFVRWYCAVLYPGWLTLFLFTMIYFMRSIRKEMKFEDLVLLRRRGTTNANQRIGLLTPPTPGRGFGALWNAAAQGSAGPGHFTWNQSVAAVFQVPKMKRRDHVFFILKLYVLQTALLILALLLMFGLSDNARFSRHQFTCQDEERIFSYTGVDLVPPFAMAVSLTWVMCIVALCTWFTLRKTYFRHHAIALYRTVSICFLMFATHFCSLVGLFAIVKCTLTGYTWTSGNKVSNFVFPFCIASRLIDSCCLDLLFYYPARHLTKDKVLLISILLNGVVSVGIIFGLSTLKLFATLPSLNQLINDAAHSYNAM
+>sp|Q8WXG9|GPR98_HUMAN G-protein coupled receptor 98 OS=Homo sapiens OX=9606 GN=GPR98 PE=1 SV=2
+MSVFLGPGMPSASLLVNLLSALLILFVFGETEIRFTGQTEFVVNETSTTVIRLIIERIGEPANVTAIVSLYGEDAGDFFDTYAAAFIPAGETNRTVYIAVCDDDLPEPDETFIFHLTLQKPSANVKLGWPRTVTVTILSNDNAFGIISFNMLPSIAVSEPKGRNESMPLTLIREKGTYGMVMVTFEVEGGPNPPDEDLSPVKGNITFPPGRATVIYNLTVLDDEVPENDEIFLIQLKSVEGGAEINTSRNSIEIIIKKNDSPVRFLQSIYLVPEEDHILIIPVVRGKDNNGNLIGSDEYEVSISYAVTTGNSTAHAQQNLDFIDLQPNTTVVFPPFIHESHLKFQIVDDTIPEIAESFHIMLLKDTLQGDAVLISPSVVQVTIKPNDKPYGVLSFNSVLFERTVIIDEDRISRYEEITVVRNGGTHGNVSANWVLTRNSTDPSPVTADIRPSSGVLHFAQGQMLATIPLTVVDDDLPEEAEAYLLQILPHTIRGGAEVSEPAELLFYIQDSDDVYGLITFFPMENQKIESSPGERYLSLSFTRLGGTKGDVRLLYSVLYIPAGAVDPLQAKEGILNISRRNDLIFPEQKTQVTTKLPIRNDAFLQNGAHFLVQLETVELLNIIPLIPPISPRFGEICNISLLVTPAIANGEIGFLSNLPIILHEPEDFAAEVVYIPLHRDGTDGQATVYWSLKPSGFNSKAVTPDDIGPFNGSVLFLSGQSDTTINITIKGDDIPEMNETVTLSLDRVNVENQVLKSGYTSRDLIILENDDPGGVFEFSPASRGPYVIKEGESVELHIIRSRGSLVKQFLHYRVEPRDSNEFYGNTGVLEFKPGEREIVITLLARLDGIPELDEHYWVVLSSHGERESKLGSATIVNITILKNDDPHGIIEFVSDGLIVMINESKGDAIYSAVYDVVRNRGNFGDVSVSWVVSPDFTQDVFPVQGTVVFGDQEFSKNITIYSLPDEIPEEMEEFTVILLNGTGGAKVGNRTTATLRIRRNDDPIYFAEPRVVRVQEGETANFTVLRNGSVDVTCMVQYATKDGKATARERDFIPVEKGETLIFEVGSRQQSISIFVNEDGIPETDEPFYIILLNSTGDTVVYQYGVATVIIEANDDPNGIFSLEPIDKAVEEGKTNAFWILRHRGYFGSVSVSWQLFQNDSALQPGQEFYETSGTVNFMDGEEAKPIILHAFPDKIPEFNEFYFLKLVNISGGSPGPGGQLAETNLQVTVMVPFNDDPFGVFILDPECLEREVAEDVLSEDDMSYITNFTILRQQGVFGDVQLGWEILSSEFPAGLPPMIDFLLVGIFPTTVHLQQHMRRHHSGTDALYFTGLEGAFGTVNPKYHPSRNNTIANFTFSAWVMPNANTNGFIIAKDDGNGSIYYGVKIQTNESHVTLSLHYKTLGSNATYIAKTTVMKYLEESVWLHLLIILEDGIIEFYLDGNAMPRGIKSLKGEAITDGPGILRIGAGINGNDRFTGLMQDVRSYERKLTLEEIYELHAMPAKSDLHPISGYLEFRQGETNKSFIISARDDNDEEGEELFILKLVSVYGGARISEENTTARLTIQKSDNANGLFGFTGACIPEIAEEGSTISCVVERTRGALDYVHVFYTISQIETDGINYLVDDFANASGTITFLPWQRSEVLNIYVLDDDIPELNEYFRVTLVSAIPGDGKLGSTPTSGASIDPEKETTDITIKASDHPYGLLQFSTGLPPQPKDAMTLPASSVPHITVEEEDGEIRLLVIRAQGLLGRVTAEFRTVSLTAFSPEDYQNVAGTLEFQPGERYKYIFINITDNSIPELEKSFKVELLNLEGGVAELFRVDGSGSGDGDMEFFLPTIHKRASLGVASQILVTIAASDHAHGVFEFSPESLFVSGTEPEDGYSTVTLNVIRHHGTLSPVTLHWNIDSDPDGDLAFTSGNITFEIGQTSANITVEILPDEDPELDKAFSVSVLSVSSGSLGAHINATLTVLASDDPYGIFIFSEKNRPVKVEEATQNITLSIIRLKGLMGKVLVSYATLDDMEKPPYFPPNLARATQGRDYIPASGFALFGANQSEATIAISILDDDEPERSESVFIELLNSTLVAKVQSRSIPNSPRLGPKVETIAQLIIIANDDAFGTLQLSAPIVRVAENHVGPIINVTRTGGAFADVSVKFKAVPITAIAGEDYSIASSDVVLLEGETSKAVPIYVINDIYPELEESFLVQLMNETTGGARLGALTEAVIIIEASDDPYGLFGFQITKLIVEEPEFNSVKVNLPIIRNSGTLGNVTVQWVATINGQLATGDLRVVSGNVTFAPGETIQTLLLEVLADDVPEIEEVIQVQLTDASGGGTIGLDRIANIIIPANDDPYGTVAFAQMVYRVQEPLERSSCANITVRRSGGHFGRLLLFYSTSDIDVVALAMEEGQDLLSYYESPIQGVPDPLWRTWMNVSAVGEPLYTCATLCLKEQACSAFSFFSASEGPQCFWMTSWISPAVNNSDFWTYRKNMTRVASLFSGQAVAGSDYEPVTRQWAIMQEGDEFANLTVSILPDDFPEMDESFLISLLEVHLMNISASLKNQPTIGQPNISTVVIALNGDAFGVFVIYNISPNTSEDGLFVEVQEQPQTLVELMIHRTGGSLGQVAVEWRVVGGTATEGLDFIGAGEILTFAEGETKKTVILTILDDSEPEDDESIIVSLVYTEGGSRILPSSDTVRVNILANDNVAGIVSFQTASRSVIGHEGEILQFHVIRTFPGRGNVTVNWKIIGQNLELNFANFSGQLFFPEGSLNTTLFVHLLDDNIPEEKEVYQVILYDVRTQGVPPAGIALLDAQGYAAVLTVEASDEPHGVLNFALSSRFVLLQEANITIQLFINREFGSLGAINVTYTTVPGMLSLKNQTVGNLAEPEVDFVPIIGFLILEEGETAAAINITILEDDVPELEEYFLVNLTYVGLTMAASTSFPPRLDSEGLTAQVIIDANDGARGVIEWQQSRFEVNETHGSLTLVAQRSREPLGHVSLFVYAQNLEAQVGLDYIFTPMILHFADGERYKNVNIMILDDDIPEGDEKFQLILTNPSPGLELGKNTIALIIVLANDDGPGVLSFNNSEHFFLREPTALYVQESVAVLYIVREPAQGLFGTVTVQFIVTEVNSSNESKDLTPSKGYIVLEEGVRFKALQISAILDTEPEMDEYFVCTLFNPTGGARLGVHVQTLITVLQNQAPLGLFSISAVENRATSIDIEEANRTVYLNVSRTNGIDLAVSVQWETVSETAFGMRGMDVVFSVFQSFLDESASGWCFFTLENLIYGIMLRKSSVTVYRWQGIFIPVEDLNIENPKTCEAFNIGFSPYFVITHEERNEEKPSLNSVFTFTSGFKLFLVQTIIILESSQVRYFTSDSQDYLIIASQRDDSELTQVFRWNGGSFVLHQKLPVRGVLTVALFNKGGSVFLAISQANARLNSLLFRWSGSGFINFQEVPVSGTTEVEALSSANDIYLIFAENVFLGDQNSIDIFIWEMGQSSFRYFQSVDFAAVNRIHSFTPASGIAHILLIGQDMSALYCWNSERNQFSFVLEVPSAYDVASVTVKSLNSSKNLIALVGAHSHIYELAYISSHSDFIPSSGELIFEPGEREATIAVNILDDTVPEKEESFKVQLKNPKGGAEIGINDSVTITILSNDDAYGIVAFAQNSLYKQVEEMEQDSLVTLNVERLKGTYGRITIAWEADGSISDIFPTSGVILFTEGQVLSTITLTILADNIPELSEVVIVTLTRITTEGVEDSYKGATIDQDRSKSVITTLPNDSPFGLVGWRAASVFIRVAEPKENTTTLQLQIARDKGLLGDIAIHLRAQPNFLLHVDNQATENEDYVLQETIIIMKENIKEAHAEVSILPDDLPELEEGFIVTITEVNLVNSDFSTGQPSVRRPGMEIAEIMIEENDDPRGIFMFHVTRGAGEVITAYEVPPPLNVLQVPVVRLAGSFGAVNVYWKASPDSAGLEDFKPSHGILEFADKQVTAMIEITIIDDAEFELTETFNISLISVAGGGRLGDDVVVTVVIPQNDSPFGVFGFEEKTVMIDESLSSDDPDSYVTLTVVRSPGGKGTVRLEWTIDEKAKHNLSPLNGTLHFDETESQKTIVLHTLQDTVLEEDRRFTIQLISIDEVEISPVKGSASIIIRGDKRASGEVGIAPSSRHILIGEPSAKYNGTAIISLVRGPGILGEVTVFWRIFPPSVGEFAETSGKLTMRDEQSAVIVVIQALNDDIPEEKSFYEFQLTAVSEGGVLSESSSTANITVVASDSPYGRFAFSHEQLRVSEAQRVNITIIRSSGDFGHVRLWYKTMSGTAEAGLDFVPAAGELLFEAGEMRKSLHVEILDDDYPEGPEEFSLTITKVELQGRGYDFTIQENGLQIDQPPEIGNISIVRIIIMKNDNAEGIIEFDPKYTAFEVEEDVGLIMIPVVRLHGTYGYVTADFISQSSSASPGGVDYILHGSTVTFQHGQNLSFINISIIDDNESEFEEPIEILLTGATGGAVLGRHLVSRIIIAKSDSPFGVIRFLNQSKISIANPNSTMILSLVLERTGGLLGEIQVNWETVGPNSQEALLPQNRDIADPVSGLFYFGEGEGGVRTIILTIYPHEEIEVEETFIIKLHLVKGEAKLDSRAKDVTLTIQEFGDPNGVVQFAPETLSKKTYSEPLALEGPLLITFFVRRVKGTFGEIMVYWELSSEFDITEDFLSTSGFFTIADGESEASFDVHLLPDEVPEIEEDYVIQLVSVEGGAELDLEKSITWFSVYANDDPHGVFALYSDRQSILIGQNLIRSIQINITRLAGTFGDVAVGLRISSDHKEQPIVTENAERQLVVKDGATYKVDVVPIKNQVFLSLGSNFTLQLVTVMLVGGRFYGMPTILQEAKSAVLPVSEKAANSQVGFESTAFQLMNITAGTSHVMISRRGTYGALSVAWTTGYAPGLEIPEFIVVGNMTPTLGSLSFSHGEQRKGVFLWTFPSPGWPEAFVLHLSGVQSSAPGGAQLRSGFIVAEIEPMGVFQFSTSSRNIIVSEDTQMIRLHVQRLFGFHSDLIKVSYQTTAGSAKPLEDFEPVQNGELFFQKFQTEVDFEITIINDQLSEIEEFFYINLTSVEIRGLQKFDVNWSPRLNLDFSVAVITILDNDDLAGMDISFPETTVAVAVDTTLIPVETESTTYLSTSKTTTILQPTNVVAIVTEATGVSAIPEKLVTLHGTPAVSEKPDVATVTANVSIHGTFSLGPSIVYIEEEMKNGTFNTAEVLIRRTGGFTGNVSITVKTFGERCAQMEPNALPFRGIYGISNLTWAVEEEDFEEQTLTLIFLDGERERKVSVQILDDDEPEGQEFFYVFLTNPQGGAQIVEEKDDTGFAAFAMVIITGSDLHNGIIGFSEESQSGLELREGAVMRRLHLIVTRQPNRAFEDVKVFWRVTLNKTVVVLQKDGVNLVEELQSVSGTTTCTMGQTKCFISIELKPEKVPQVEVYFFVELYEATAGAAINNSARFAQIKILESDESQSLVYFSVGSRLAVAHKKATLISLQVARDSGTGLMMSVNFSTQELRSAETIGRTIISPAISGKDFVITEGTLVFEPGQRSTVLDVILTPETGSLNSFPKRFQIVLFDPKGGARIDKVYGTANITLVSDADSQAIWGLADQLHQPVNDDILNRVLHTISMKVATENTDEQLSAMMHLIEKITTEGKIQAFSVASRTLFYEILCSLINPKRKDTRGFSHFAEVTENFAFSLLTNVTCGSPGEKSKTILDSCPYLSILALHWYPQQINGHKFEGKEGDYIRIPERLLDVQDAEIMAGKSTCKLVQFTEYSSQQWFISGNNLPTLKNKVLSLSVKGQSSQLLTNDNEVLYRIYAAEPRIIPQTSLCLLWNQAAASWLSDSQFCKVVEETADYVECACSHMSVYAVYARTDNLSSYNEAFFTSGFICISGLCLAVLSHIFCARYSMFAAKLLTHMMAASLGTQILFLASAYASPQLAEESCSAMAAVTHYLYLCQFSWMLIQSVNFWYVLVMNDEHTERRYLLFFLLSWGLPAFVVILLIVILKGIYHQSMSQIYGLIHGDLCFIPNVYAALFTAALVPLTCLVVVFVVFIHAYQVKPQWKAYDDVFRGRTNAAEIPLILYLFALISVTWLWGGLHMAYRHFWMLVLFVIFNSLQGLYVFMVYFILHNQMCCPMKASYTVEMNGHPGPSTAFFTPGSGMPPAGGEISKSTQNLIGAMEEVPPDWERASFQQGSQASPDLKPSPQNGATFPSSGGYGQGSLIADEESQEFDDLIFALKTGAGLSVSDNESGQGSQEGGTLTDSQIVELRRIPIADTHL
+>DECOY_sp|Q8WXG9|GPR98_HUMAN G-protein coupled receptor 98 OS=Homo sapiens OX=9606 GN=GPR98 PE=1 SV=2
+LHTDAIPIRRLEVIQSDTLTGGEQSGQGSENDSVSLGAGTKLAFILDDFEQSEEDAILSGQGYGGSSPFTAGNQPSPKLDPSAQSGQQFSAREWDPPVEEMAGILNQTSKSIEGGAPPMGSGPTFFATSPGPHGNMEVTYSAKMPCCMQNHLIFYVMFVYLGQLSNFIVFLVLMWFHRYAMHLGGWLWTVSILAFLYLILPIEAANTRGRFVDDYAKWQPKVQYAHIFVVFVVVLCTLPVLAATFLAAYVNPIFCLDGHILGYIQSMSQHYIGKLIVILLIVVFAPLGWSLLFFLLYRRETHEDNMVLVYWFNVSQILMWSFQCLYLYHTVAAMASCSEEALQPSAYASALFLIQTGLSAAMMHTLLKAAFMSYRACFIHSLVALCLGSICIFGSTFFAENYSSLNDTRAYVAYVSMHSCACEVYDATEEVVKCFQSDSLWSAAAQNWLLCLSTQPIIRPEAAYIRYLVENDNTLLQSSQGKVSLSLVKNKLTPLNNGSIFWQQSSYETFQVLKCTSKGAMIEADQVDLLREPIRIYDGEKGEFKHGNIQQPYWHLALISLYPCSDLITKSKEGPSGCTVNTLLSFAFNETVEAFHSFGRTDKRKPNILSCLIEYFLTRSAVSFAQIKGETTIKEILHMMASLQEDTNETAVKMSITHLVRNLIDDNVPQHLQDALGWIAQSDADSVLTINATGYVKDIRAGGKPDFLVIQFRKPFSNLSGTEPTLIVDLVTSRQGPEFVLTGETIVFDKGSIAPSIITRGITEASRLEQTSFNVSMMLGTGSDRAVQLSILTAKKHAVALRSGVSFYVLSQSEDSELIKIQAFRASNNIAAGATAEYLEVFFYVEVQPVKEPKLEISIFCKTQGMTCTTTGSVSQLEEVLNVGDKQLVVVTKNLTVRWFVKVDEFARNPQRTVILHLRRMVAGERLELGSQSEESFGIIGNHLDSGTIIVMAFAAFGTDDKEEVIQAGGQPNTLFVYFFEQGEPEDDDLIQVSVKREREGDLFILTLTQEEFDEEEVAWTLNSIGYIGRFPLANPEMQACREGFTKVTISVNGTFGGTRRILVEATNFTGNKMEEEIYVISPGLSFTGHISVNATVTAVDPKESVAPTGHLTVLKEPIASVGTAETVIAVVNTPQLITTTKSTSLYTTSETEVPILTTDVAVAVTTEPFSIDMGALDDNDLITIVAVSFDLNLRPSWNVDFKQLGRIEVSTLNIYFFEEIESLQDNIITIEFDVETQFKQFFLEGNQVPEFDELPKASGATTQYSVKILDSHFGFLRQVHLRIMQTDESVIINRSSTSFQFVGMPEIEAVIFGSRLQAGGPASSQVGSLHLVFAEPWGPSPFTWLFVGKRQEGHSFSLSGLTPTMNGVVIFEPIELGPAYGTTWAVSLAGYTGRRSIMVHSTGATINMLQFATSEFGVQSNAAKESVPLVASKAEQLITPMGYFRGGVLMVTVLQLTFNSGLSLFVQNKIPVVDVKYTAGDKVVLQREANETVIPQEKHDSSIRLGVAVDGFTGALRTINIQISRILNQGILISQRDSYLAFVGHPDDNAYVSFWTISKELDLEAGGEVSVLQIVYDEEIEPVEDPLLHVDFSAESEGDAITFFGSTSLFDETIDFESSLEWYVMIEGFTGKVRRVFFTILLPGELALPESYTKKSLTEPAFQVVGNPDGFEQITLTVDKARSDLKAEGKVLHLKIIFTEEVEIEEHPYITLIITRVGGEGEGFYFLGSVPDAIDRNQPLLAEQSNPGVTEWNVQIEGLLGGTRELVLSLIMTSNPNAISIKSQNLFRIVGFPSDSKAIIIRSVLHRGLVAGGTAGTLLIEIPEEFESENDDIISINIFSLNQGHQFTVTSGHLIYDVGGPSASSSQSIFDATVYGYTGHLRVVPIMILGVDEEVEFATYKPDFEIIGEANDNKMIIIRVISINGIEPPQDIQLGNEQITFDYGRGQLEVKTITLSFEEPGEPYDDDLIEVHLSKRMEGAEFLLEGAAPVFDLGAEATGSMTKYWLRVHGFDGSSRIITINVRQAESVRLQEHSFAFRGYPSDSAVVTINATSSSESLVGGESVATLQFEYFSKEEPIDDNLAQIVVIVASQEDRMTLKGSTEAFEGVSPPFIRWFVTVEGLIGPGRVLSIIATGNYKASPEGILIHRSSPAIGVEGSARKDGRIIISASGKVPSIEVEDISILQITFRRDEELVTDQLTHLVITKQSETEDFHLTGNLPSLNHKAKEDITWELRVTGKGGPSRVVTLTVYSDPDDSSLSEDIMVTKEEFGFVGFPSDNQPIVVTVVVDDGLRGGGAVSILSINFTETLEFEADDIITIEIMATVQKDAFELIGHSPKFDELGASDPSAKWYVNVAGFSGALRVVPVQLVNLPPPVEYATIVEGAGRTVHFMFIGRPDDNEEIMIEAIEMGPRRVSPQGTSFDSNVLNVETITVIFGEELEPLDDPLISVEAHAEKINEKMIIITEQLVYDENETAQNDVHLLFNPQARLHIAIDGLLGKDRAIQLQLTTTNEKPEAVRIFVSAARWGVLGFPSDNPLTTIVSKSRDQDITAGKYSDEVGETTIRTLTVIVVESLEPINDALITLTITSLVQGETFLIVGSTPFIDSISGDAEWAITIRGYTGKLREVNLTVLSDQEMEEVQKYLSNQAFAVIGYADDNSLITITVSDNIGIEAGGKPNKLQVKFSEEKEPVTDDLINVAITAEREGPEFILEGSSPIFDSHSSIYALEYIHSHAGVLAILNKSSNLSKVTVSAVDYASPVELVFSFQNRESNWCYLASMDQGILLIHAIGSAPTFSHIRNVAAFDVSQFYRFSSQGMEWIFIDISNQDGLFVNEAFILYIDNASSLAEVETTGSVPVEQFNIFGSGSWRFLLSNLRANAQSIALFVSGGKNFLAVTLVGRVPLKQHLVFSGGNWRFVQTLESDDRQSAIILYDQSDSTFYRVQSSELIIITQVLFLKFGSTFTFVSNLSPKEENREEHTIVFYPSFGINFAECTKPNEINLDEVPIFIGQWRYVTVSSKRLMIGYILNELTFFCWGSASEDLFSQFVSFVVDMGRMGFATESVTEWQVSVALDIGNTRSVNLYVTRNAEEIDISTARNEVASISFLGLPAQNQLVTILTQVHVGLRAGGTPNFLTCVFYEDMEPETDLIASIQLAKFRVGEELVIYGKSPTLDKSENSSNVETVIFQVTVTGFLGQAPERVIYLVAVSEQVYLATPERLFFHESNNFSLVGPGDDNALVIILAITNKGLELGPSPNTLILQFKEDGEPIDDDLIMINVNKYREGDAFHLIMPTFIYDLGVQAELNQAYVFLSVHGLPERSRQAVLTLSGHTENVEFRSQQWEIVGRAGDNADIIVQATLGESDLRPPFSTSAAMTLGVYTLNVLFYEELEPVDDELITINIAAATEGEELILFGIIPVFDVEPEALNGVTQNKLSLMGPVTTYTVNIAGLSGFERNIFLQITINAEQLLVFRSSLAFNLVGHPEDSAEVTLVAAYGQADLLAIGAPPVGQTRVDYLIVQYVEKEEPINDDLLHVFLTTNLSGEPFFLQGSFNAFNLELNQGIIKWNVTVNGRGPFTRIVHFQLIEGEHGIVSRSATQFSVIGAVNDNALINVRVTDSSPLIRSGGETYVLSVIISEDDEPESDDLITLIVTKKTEGEAFTLIEGAGIFDLGETATGGVVRWEVAVQGLSGGTRHIMLEVLTQPQEQVEVFLGDESTNPSINYIVFVGFADGNLAIVVTSINPQGITPQNKLSASINMLHVELLSILFSEDMEPFDDPLISVTLNAFEDGEQMIAWQRTVPEYDSGAVAQGSFLSAVRTMNKRYTWFDSNNVAPSIWSTMWFCQPGESASFFSFASCAQEKLCLTACTYLPEGVASVNMWTRWLPDPVGQIPSEYYSLLDQGEEMALAVVDIDSTSYFLLLRGFHGGSRRVTINACSSRELPEQVRYVMQAFAVTGYPDDNAPIIINAIRDLGITGGGSADTLQVQIVEEIEPVDDALVELLLTQITEGPAFTVNGSVVRLDGTALQGNITAVWQVTVNGLTGSNRIIPLNVKVSNFEPEEVILKTIQFGFLGYPDDSAEIIIVAETLAGLRAGGTTENMLQVLFSEELEPYIDNIVYIPVAKSTEGELLVVDSSAISYDEGAIATIPVAKFKVSVDAFAGGTRTVNIIPGVHNEAVRVIPASLQLTGFADDNAIIILQAITEVKPGLRPSNPISRSQVKAVLTSNLLEIFVSESREPEDDDLISIAITAESQNAGFLAFGSAPIYDRGQTARALNPPFYPPKEMDDLTAYSVLVKGMLGKLRIISLTINQTAEEVKVPRNKESFIFIGYPDDSALVTLTANIHAGLSGSSVSLVSVSFAKDLEPDEDPLIEVTINASTQGIEFTINGSTFALDGDPDSDINWHLTVPSLTGHHRIVNLTVTSYGDEPETGSVFLSEPSFEFVGHAHDSAAITVLIQSAVGLSARKHITPLFFEMDGDGSGSGDVRFLEAVGGELNLLEVKFSKELEPISNDTINIFIYKYREGPQFELTGAVNQYDEPSFATLSVTRFEATVRGLLGQARIVLLRIEGDEEEVTIHPVSSAPLTMADKPQPPLGTSFQLLGYPHDSAKITIDTTEKEPDISAGSTPTSGLKGDGPIASVLTVRFYENLEPIDDDLVYINLVESRQWPLFTITGSANAFDDVLYNIGDTEIQSITYFVHVYDLAGRTREVVCSITSGEEAIEPICAGTFGFLGNANDSKQITLRATTNEESIRAGGYVSVLKLIFLEEGEEDNDDRASIIFSKNTEGQRFELYGSIPHLDSKAPMAHLEYIEELTLKREYSRVDQMLGTFRDNGNIGAGIRLIGPGDTIAEGKLSKIGRPMANGDLYFEIIGDELIILLHLWVSEELYKMVTTKAIYTANSGLTKYHLSLTVHSENTQIKVGYYISGNGDDKAIIFGNTNANPMVWASFTFNAITNNRSPHYKPNVTGFAGELGTFYLADTGSHHRRMHQQLHVTTPFIGVLLFDIMPPLGAPFESSLIEWGLQVDGFVGQQRLITFNTIYSMDDESLVDEAVERELCEPDLIFVGFPDDNFPVMVTVQLNTEALQGGPGPSGGSINVLKLFYFENFEPIKDPFAHLIIPKAEEGDMFNVTGSTEYFEQGPQLASDNQFLQWSVSVSGFYGRHRLIWFANTKGEEVAKDIPELSFIGNPDDNAEIIVTAVGYQYVVTDGTSNLLIIYFPEDTEPIGDENVFISISQQRSGVEFILTEGKEVPIFDRERATAKGDKTAYQVMCTVDVSGNRLVTFNATEGEQVRVVRPEAFYIPDDNRRIRLTATTRNGVKAGGTGNLLIVTFEEMEEPIEDPLSYITINKSFEQDGFVVTGQVPFVDQTFDPSVVWSVSVDGFNGRNRVVDYVASYIADGKSENIMVILGDSVFEIIGHPDDNKLITINVITASGLKSEREGHSSLVVWYHEDLEPIGDLRALLTIVIEREGPKFELVGTNGYFENSDRPEVRYHLFQKVLSGRSRIIHLEVSEGEKIVYPGRSAPSFEFVGGPDDNELIILDRSTYGSKLVQNEVNVRDLSLTVTENMEPIDDGKITINITTDSQGSLFLVSGNFPGIDDPTVAKSNFGSPKLSWYVTAQGDTGDRHLPIYVVEAAFDEPEHLIIPLNSLFGIEGNAIAPTVLLSINCIEGFRPSIPPILPIINLLEVTELQVLFHAGNQLFADNRIPLKTTVQTKQEPFILDNRRSINLIGEKAQLPDVAGAPIYLVSYLLRVDGKTGGLRTFSLSLYREGPSSEIKQNEMPFFTILGYVDDSDQIYFLLEAPESVEAGGRITHPLIQLLYAEAEEPLDDDVVTLPITALMQGQAFHLVGSSPRIDATVPSPDTSNRTLVWNASVNGHTGGNRVVTIEEYRSIRDEDIIVTREFLVSNFSLVGYPKDNPKITVQVVSPSILVADGQLTDKLLMIHFSEAIEPITDDVIQFKLHSEHIFPPFVVTTNPQLDIFDLNQQAHATSNGTTVAYSISVEYEDSGILNGNNDKGRVVPIILIHDEEPVLYISQLFRVPSDNKKIIIEISNRSTNIEAGGEVSKLQILFIEDNEPVEDDLVTLNYIVTARGPPFTINGKVPSLDEDPPNPGGEVEFTVMVMGYTGKERILTLPMSENRGKPESVAISPLMNFSIIGFANDNSLITVTVTRPWGLKVNASPKQLTLHFIFTEDPEPLDDDCVAIYVTRNTEGAPIFAAAYTDFFDGADEGYLSVIATVNAPEGIREIILRIVTTSTENVVFETQGTFRIETEGFVFLILLASLLNVLLSASPMGPGLFVSM
+>sp|Q13227|GPS2_HUMAN G protein pathway suppressor 2 OS=Homo sapiens OX=9606 GN=GPS2 PE=1 SV=3
+MPALLERPKLSNAMARALHRHIMMERERKRQEEEEVDKMMEQKMKEEQERRKKKEMEERMSLEETKEQILKLEEKLLALQEEKHQLFLQLKKVLHEEEKRRRKEQSDLTTLTSAAYQQSLTVHTGTHLLSMQGSPGGHNRPGTLMAADRAKQMFGPQVLTTRHYVGSAAAFAGTPEHGQFQGSPGGAYGTAQPPPHYGPTQPAYSPSQQLRAPSAFPAVQYLSQPQPQPYAVHGHFQPTQTGFLQPGGALSLQKQMEHANQQTGFSDSSSLRPMHPQALHPAPGLLASPQLPVQMQPAGKSGFAATSQPGPRLPFIQHSQNPRFYHK
+>DECOY_sp|Q13227|GPS2_HUMAN G protein pathway suppressor 2 OS=Homo sapiens OX=9606 GN=GPS2 PE=1 SV=3
+KHYFRPNQSHQIFPLRPGPQSTAAFGSKGAPQMQVPLQPSALLGPAPHLAQPHMPRLSSSDSFGTQQNAHEMQKQLSLAGGPQLFGTQTPQFHGHVAYPQPQPQSLYQVAPFASPARLQQSPSYAPQTPGYHPPPQATGYAGGPSGQFQGHEPTGAFAAASGVYHRTTLVQPGFMQKARDAAMLTGPRNHGGPSGQMSLLHTGTHVTLSQQYAASTLTTLDSQEKRRRKEEEHLVKKLQLFLQHKEEQLALLKEELKLIQEKTEELSMREEMEKKKRREQEEKMKQEMMKDVEEEEQRKREREMMIHRHLARAMANSLKPRELLAPM
+>sp|Q8N954|GPT11_HUMAN G patch domain-containing protein 11 OS=Homo sapiens OX=9606 GN=GPATCH11 PE=1 SV=4
+MRSARSTALNRGEQRAVRYYSHMKLNMAEEEDYMSDSFINVQEDIRPGLPMLRQIREARRKEEKQQEANLKNRQKSLKEEEQERRDIGLKNALGCENKGFALLQKMGYKSGQALGKSGGGIVEPIPLNIKTGKSGIGHEASLKRKAEEKLESYRKKIHMKNQAEEKAAEQFRMRLKNKQDEMKLEGDLRRSQRACQQLDVQKNIQVPREAWYWLRLEEETEEDEEEKEQDEDEYKSEDLSVLEKLQILTSYLREEHLYCIWCGTAYEDKEDLSSNCPGPTSADHD
+>DECOY_sp|Q8N954|GPT11_HUMAN G patch domain-containing protein 11 OS=Homo sapiens OX=9606 GN=GPATCH11 PE=1 SV=4
+DHDASTPGPCNSSLDEKDEYATGCWICYLHEERLYSTLIQLKELVSLDESKYEDEDQEKEEEDEETEEELRLWYWAERPVQINKQVDLQQCARQSRRLDGELKMEDQKNKLRMRFQEAAKEEAQNKMHIKKRYSELKEEAKRKLSAEHGIGSKGTKINLPIPEVIGGGSKGLAQGSKYGMKQLLAFGKNECGLANKLGIDRREQEEEKLSKQRNKLNAEQQKEEKRRAERIQRLMPLGPRIDEQVNIFSDSMYDEEEAMNLKMHSYYRVARQEGRNLATSRASRM
+>sp|Q9BRR8|GPTC1_HUMAN G patch domain-containing protein 1 OS=Homo sapiens OX=9606 GN=GPATCH1 PE=1 SV=1
+MAARDSDSEEDLVSYGTGLEPLEEGERPKKPIPLQDQTVRDEKGRYKRFHGAFSGGFSAGYFNTVGSKEGWTPSTFVSSRQNRADKSVLGPEDFMDEEDLSEFGIAPKAIVTTDDFASKTKDRIREKARQLAAATAPIPGATLLDDLITPAKLSVGFELLRKMGWKEGQGVGPRVKRRPRRQKPDPGVKIYGCALPPGSSEGSEGEDDDYLPDNVTFAPKDVTPVDFTPKDNVHGLAYKGLDPHQALFGTSGEHFNLFSGGSERAGDLGEIGLNKGRKLGISGQAFGVGALEEEDDDIYATETLSKYDTVLKDEEPGDGLYGWTAPRQYKNQKESEKDLRYVGKILDGFSLASKPLSSKKIYPPPELPRDYRPVHYFRPMVAATSENSHLLQVLSESAGKATPDPGTHSKHQLNASKRAELLGETPIQGSATSVLEFLSQKDKERIKEMKQATDLKAAQLKARSLAQNAQSSRAQLSPAAAAGHCSWNMALGGGTATLKASNFKPFAKDPEKQKRYDEFLVHMKQGQKDALERCLDPSMTEWERGRERDEFARAALLYASSHSTLSSRFTHAKEEDDSDQVEVPRDQENDVGDKQSAVKMKMFGKLTRDTFEWHPDKLLCKRFNVPDPYPDSTLVGLPRVKRDKYSVFNFLTLPETASLPTTQASSEKVSQHRGPDKSRKPSRWDTSKHEKKEDSISEFLSLARSKAEPPKQQSSPLVNKEEEHAPELSANQTVNKDVDAQAEGEGSRPSMDLFRAIFASSSDEKSSSSEDEQGDSEDDQAGSGEANFQSSQDTDLGETSSVAHALVPAPQEPPPSFPIQKMQIDEREEFGPRLPPVFCPNARQTLEVPQKEKHKKNKDKHKAKKEHRRKKEKKKKHRKHKHKGKQKNKKPEKSSSSESSDSSDSQSDEETADVSPQELLRRLKSLPLRRQ
+>DECOY_sp|Q9BRR8|GPTC1_HUMAN G patch domain-containing protein 1 OS=Homo sapiens OX=9606 GN=GPATCH1 PE=1 SV=1
+QRRLPLSKLRRLLEQPSVDATEEDSQSDSSDSSESSSSKEPKKNKQKGKHKHKRHKKKKEKKRRHEKKAKHKDKNKKHKEKQPVELTQRANPCFVPPLRPGFEEREDIQMKQIPFSPPPEQPAPVLAHAVSSTEGLDTDQSSQFNAEGSGAQDDESDGQEDESSSSKEDSSSAFIARFLDMSPRSGEGEAQADVDKNVTQNASLEPAHEEEKNVLPSSQQKPPEAKSRALSLFESISDEKKEHKSTDWRSPKRSKDPGRHQSVKESSAQTTPLSATEPLTLFNFVSYKDRKVRPLGVLTSDPYPDPVNFRKCLLKDPHWEFTDRTLKGFMKMKVASQKDGVDNEQDRPVEVQDSDDEEKAHTFRSSLTSHSSAYLLAARAFEDRERGREWETMSPDLCRELADKQGQKMHVLFEDYRKQKEPDKAFPKFNSAKLTATGGGLAMNWSCHGAAAAPSLQARSSQANQALSRAKLQAAKLDTAQKMEKIREKDKQSLFELVSTASGQIPTEGLLEARKSANLQHKSHTGPDPTAKGASESLVQLLHSNESTAAVMPRFYHVPRYDRPLEPPPYIKKSSLPKSALSFGDLIKGVYRLDKESEKQNKYQRPATWGYLGDGPEEDKLVTDYKSLTETAYIDDDEEELAGVGFAQGSIGLKRGKNLGIEGLDGARESGGSFLNFHEGSTGFLAQHPDLGKYALGHVNDKPTFDVPTVDKPAFTVNDPLYDDDEGESGESSGPPLACGYIKVGPDPKQRRPRRKVRPGVGQGEKWGMKRLLEFGVSLKAPTILDDLLTAGPIPATAAALQRAKERIRDKTKSAFDDTTVIAKPAIGFESLDEEDMFDEPGLVSKDARNQRSSVFTSPTWGEKSGVTNFYGASFGGSFAGHFRKYRGKEDRVTQDQLPIPKKPREGEELPELGTGYSVLDEESDSDRAAM
+>sp|Q96I76|GPTC3_HUMAN G patch domain-containing protein 3 OS=Homo sapiens OX=9606 GN=GPATCH3 PE=1 SV=1
+MAVPGEAEEEATVYLVVSGIPSVLRSAHLRSYFSQFREERGGGFLCFHYRHRPERAPPQAAPNSALIPTDPAAEGQLLSQTSATDVRPLSTRDSTPIQTRTCCCVISVRGLAQAQRLIRMYSGRRWLDSHGTWLPGRCLIRRLRLPTEASGLGSFPFKTRKELQSWKAENEAFTLADLKQLPELNPPVLMPRGNVGTPLRVFLELIRACRLPPRIITQLQLQFPKTGSSRRYGNVPFEYEDSETVEQEELVYTAEGEEIPQGTYLADIPASPCGEPEEEVGKEEEEESHSDEDDDRGEEWERHEALHEDVTGQERTTEQLFEEEIELKWEKGGSGLVFYTDAQFWQEEEGDFDEQTADDWDVDMSVYYDRDGGDKDARDSVQMRLEQRLRDGQEDGSVIERQVGTFERHTKGIGRKVMERQGWAEGQGLGCRCSGVPEALDSDGQHPRCKRGLGYHGEKLQPFGQLKRPRRNGLGLISTIYDEPLPQDQTESLLRRQPPTSMKFRTDMAFVRGSSCASDSPSLPD
+>DECOY_sp|Q96I76|GPTC3_HUMAN G patch domain-containing protein 3 OS=Homo sapiens OX=9606 GN=GPATCH3 PE=1 SV=1
+DPLSPSDSACSSGRVFAMDTRFKMSTPPQRRLLSETQDQPLPEDYITSILGLGNRRPRKLQGFPQLKEGHYGLGRKCRPHQGDSDLAEPVGSCRCGLGQGEAWGQREMVKRGIGKTHREFTGVQREIVSGDEQGDRLRQELRMQVSDRADKDGGDRDYYVSMDVDWDDATQEDFDGEEEQWFQADTYFVLGSGGKEWKLEIEEEFLQETTREQGTVDEHLAEHREWEEGRDDDEDSHSEEEEEKGVEEEPEGCPSAPIDALYTGQPIEEGEATYVLEEQEVTESDEYEFPVNGYRRSSGTKPFQLQLQTIIRPPLRCARILELFVRLPTGVNGRPMLVPPNLEPLQKLDALTFAENEAKWSQLEKRTKFPFSGLGSAETPLRLRRILCRGPLWTGHSDLWRRGSYMRILRQAQALGRVSIVCCCTRTQIPTSDRTSLPRVDTASTQSLLQGEAAPDTPILASNPAAQPPAREPRHRYHFCLFGGGREERFQSFYSRLHASRLVSPIGSVVLYVTAEEEAEGPVAM
+>sp|Q9H3H5|GPT_HUMAN UDP-N-acetylglucosamine--dolichyl-phosphate N-acetylglucosaminephosphotransferase OS=Homo sapiens OX=9606 GN=DPAGT1 PE=1 SV=2
+MWAFSELPMPLLINLIVSLLGFVATVTLIPAFRGHFIAARLCGQDLNKTSRQQIPESQGVISGAVFLIILFCFIPFPFLNCFVKEQCKAFPHHEFVALIGALLAICCMIFLGFADDVLNLRWRHKLLLPTAASLPLLMVYFTNFGNTTIVVPKPFRPILGLHLDLGILYYVYMGLLAVFCTNAINILAGINGLEAGQSLVISASIIVFNLVELEGDCRDDHVFSLYFMIPFFFTTLGLLYHNWYPSRVFVGDTFCYFAGMTFAVVGILGHFSKTMLLFFMPQVFNFLYSLPQLLHIIPCPRHRIPRLNIKTGKLEMSYSKFKTKSLSFLGTFILKVAESLQLVTVHQSETEDGEFTECNNMTLINLLLKVLGPIHERNLTLLLLLLQILGSAITFSIRYQLVRLFYDV
+>DECOY_sp|Q9H3H5|GPT_HUMAN UDP-N-acetylglucosamine--dolichyl-phosphate N-acetylglucosaminephosphotransferase OS=Homo sapiens OX=9606 GN=DPAGT1 PE=1 SV=2
+VDYFLRVLQYRISFTIASGLIQLLLLLLTLNREHIPGLVKLLLNILTMNNCETFEGDETESQHVTVLQLSEAVKLIFTGLFSLSKTKFKSYSMELKGTKINLRPIRHRPCPIIHLLQPLSYLFNFVQPMFFLLMTKSFHGLIGVVAFTMGAFYCFTDGVFVRSPYWNHYLLGLTTFFFPIMFYLSFVHDDRCDGELEVLNFVIISASIVLSQGAELGNIGALINIANTCFVALLGMYVYYLIGLDLHLGLIPRFPKPVVITTNGFNTFYVMLLPLSAATPLLLKHRWRLNLVDDAFGLFIMCCIALLAGILAVFEHHPFAKCQEKVFCNLFPFPIFCFLIILFVAGSIVGQSEPIQQRSTKNLDQGCLRAAIFHGRFAPILTVTAVFGLLSVILNILLPMPLESFAWM
+>sp|Q9HCN6|GPVI_HUMAN Platelet glycoprotein VI OS=Homo sapiens OX=9606 GN=GP6 PE=1 SV=4
+MSPSPTALFCLGLCLGRVPAQSGPLPKPSLQALPSSLVPLEKPVTLRCQGPPGVDLYRLEKLSSSRYQDQAVLFIPAMKRSLAGRYRCSYQNGSLWSLPSDQLELVATGVFAKPSLSAQPGPAVSSGGDVTLQCQTRYGFDQFALYKEGDPAPYKNPERWYRASFPIITVTAAHSGTYRCYSFSSRDPYLWSAPSDPLELVVTGTSVTPSRLPTEPPSPVAEFSEATAELTVSFTNEVFTTETSRSITASPKESDSPAGPARQYYTKGNLVRICLGAVILIILAGFLAEDWHSRRKRLRHRGRAVQRPLPPLPPLPLTRKSNGGQDGGRQDVHSRGLCS
+>DECOY_sp|Q9HCN6|GPVI_HUMAN Platelet glycoprotein VI OS=Homo sapiens OX=9606 GN=GP6 PE=1 SV=4
+SCLGRSHVDQRGGDQGGNSKRTLPLPPLPPLPRQVARGRHRLRKRRSHWDEALFGALIILIVAGLCIRVLNGKTYYQRAPGAPSDSEKPSATISRSTETTFVENTFSVTLEATAESFEAVPSPPETPLRSPTVSTGTVVLELPDSPASWLYPDRSSFSYCRYTGSHAATVTIIPFSARYWREPNKYPAPDGEKYLAFQDFGYRTQCQLTVDGGSSVAPGPQASLSPKAFVGTAVLELQDSPLSWLSGNQYSCRYRGALSRKMAPIFLVAQDQYRSSSLKELRYLDVGPPGQCRLTVPKELPVLSSPLAQLSPKPLPGSQAPVRGLCLGLCFLATPSPSM
+>sp|Q13588|GRAP_HUMAN GRB2-related adapter protein OS=Homo sapiens OX=9606 GN=GRAP PE=1 SV=1
+MESVALYSFQATESDELAFNKGDTLKILNMEDDQNWYKAELRGVEGFIPKNYIRVKPHPWYSGRISRQLAEEILMKRNHLGAFLIRESESSPGEFSVSVNYGDQVQHFKVLREASGKYFLWEEKFNSLNELVDFYRTTTIAKKRQIFLRDEEPLLKSPGACFAQAQFDFSAQDPSQLSFRRGDIIEVLERPDPHWWRGRSCGRVGFFPRSYVQPVHL
+>DECOY_sp|Q13588|GRAP_HUMAN GRB2-related adapter protein OS=Homo sapiens OX=9606 GN=GRAP PE=1 SV=1
+LHVPQVYSRPFFGVRGCSRGRWWHPDPRELVEIIDGRRFSLQSPDQASFDFQAQAFCAGPSKLLPEEDRLFIQRKKAITTTRYFDVLENLSNFKEEWLFYKGSAERLVKFHQVQDGYNVSVSFEGPSSESERILFAGLHNRKMLIEEALQRSIRGSYWPHPKVRIYNKPIFGEVGRLEAKYWNQDDEMNLIKLTDGKNFALEDSETAQFSYLAVSEM
+>sp|Q9UBQ7|GRHPR_HUMAN Glyoxylate reductase/hydroxypyruvate reductase OS=Homo sapiens OX=9606 GN=GRHPR PE=1 SV=1
+MRPVRLMKVFVTRRIPAEGRVALARAADCEVEQWDSDEPIPAKELERGVAGAHGLLCLLSDHVDKRILDAAGANLKVISTMSVGIDHLALDEIKKRGIRVGYTPDVLTDTTAELAVSLLLTTCRRLPEAIEEVKNGGWTSWKPLWLCGYGLTQSTVGIIGLGRIGQAIARRLKPFGVQRFLYTGRQPRPEEAAEFQAEFVSTPELAAQSDFIVVACSLTPATEGLCNKDFFQKMKETAVFINISRGDVVNQDDLYQALASGKIAAAGLDVTSPEPLPTNHPLLTLKNCVILPHIGSATHRTRNTMSLLAANNLLAGLRGEPMPSELKL
+>DECOY_sp|Q9UBQ7|GRHPR_HUMAN Glyoxylate reductase/hydroxypyruvate reductase OS=Homo sapiens OX=9606 GN=GRHPR PE=1 SV=1
+LKLESPMPEGRLGALLNNAALLSMTNRTRHTASGIHPLIVCNKLTLLPHNTPLPEPSTVDLGAAAIKGSALAQYLDDQNVVDGRSINIFVATEKMKQFFDKNCLGETAPTLSCAVVIFDSQAALEPTSVFEAQFEAAEEPRPQRGTYLFRQVGFPKLRRAIAQGIRGLGIIGVTSQTLGYGCLWLPKWSTWGGNKVEEIAEPLRRCTTLLLSVALEATTDTLVDPTYGVRIGRKKIEDLALHDIGVSMTSIVKLNAGAADLIRKDVHDSLLCLLGHAGAVGRELEKAPIPEDSDWQEVECDAARALAVRGEAPIRRTVFVKMLRVPRM
+>sp|P42261|GRIA1_HUMAN Glutamate receptor 1 OS=Homo sapiens OX=9606 GN=GRIA1 PE=1 SV=2
+MQHIFAFFCTGFLGAVVGANFPNNIQIGGLFPNQQSQEHAAFRFALSQLTEPPKLLPQIDIVNISDSFEMTYRFCSQFSKGVYAIFGFYERRTVNMLTSFCGALHVCFITPSFPVDTSNQFVLQLRPELQDALISIIDHYKWQKFVYIYDADRGLSVLQKVLDTAAEKNWQVTAVNILTTTEEGYRMLFQDLEKKKERLVVVDCESERLNAILGQIIKLEKNGIGYHYILANLGFMDIDLNKFKESGANVTGFQLVNYTDTIPAKIMQQWKNSDARDHTRVDWKRPKYTSALTYDGVKVMAEAFQSLRRQRIDISRRGNAGDCLANPAVPWGQGIDIQRALQQVRFEGLTGNVQFNEKGRRTNYTLHVIEMKHDGIRKIGYWNEDDKFVPAATDAQAGGDNSSVQNRTYIVTTILEDPYVMLKKNANQFEGNDRYEGYCVELAAEIAKHVGYSYRLEIVSDGKYGARDPDTKAWNGMVGELVYGRADVAVAPLTITLVREEVIDFSKPFMSLGISIMIKKPQKSKPGVFSFLDPLAYEIWMCIVFAYIGVSVVLFLVSRFSPYEWHSEEFEEGRDQTTSDQSNEFGIFNSLWFSLGAFMQQGCDISPRSLSGRIVGGVWWFFTLIIISSYTANLAAFLTVERMVSPIESAEDLAKQTEIAYGTLEAGSTKEFFRRSKIAVFEKMWTYMKSAEPSVFVRTTEEGMIRVRKSKGKYAYLLESTMNEYIEQRKPCDTMKVGGNLDSKGYGIATPKGSALRNPVNLAVLKLNEQGLLDKLKNKWWYDKGECGSGGGDSKDKTSALSLSNVAGVFYILIGGLGLAMLVALIEFCYKSRSESKRMKGFCLIPQQSINEAIRTSTLPRNSGAGASSGGSGENGRVVSHDFPKSMQSIPCMSHSSGMPLGATGL
+>DECOY_sp|P42261|GRIA1_HUMAN Glutamate receptor 1 OS=Homo sapiens OX=9606 GN=GRIA1 PE=1 SV=2
+LGTAGLPMGSSHSMCPISQMSKPFDHSVVRGNEGSGGSSAGAGSNRPLTSTRIAENISQQPILCFGKMRKSESRSKYCFEILAVLMALGLGGILIYFVGAVNSLSLASTKDKSDGGGSGCEGKDYWWKNKLKDLLGQENLKLVALNVPNRLASGKPTAIGYGKSDLNGGVKMTDCPKRQEIYENMTSELLYAYKGKSKRVRIMGEETTRVFVSPEASKMYTWMKEFVAIKSRRFFEKTSGAELTGYAIETQKALDEASEIPSVMREVTLFAALNATYSSIIILTFFWWVGGVIRGSLSRPSIDCGQQMFAGLSFWLSNFIGFENSQDSTTQDRGEEFEESHWEYPSFRSVLFLVVSVGIYAFVICMWIEYALPDLFSFVGPKSKQPKKIMISIGLSMFPKSFDIVEERVLTITLPAVAVDARGYVLEGVMGNWAKTDPDRAGYKGDSVIELRYSYGVHKAIEAALEVCYGEYRDNGEFQNANKKLMVYPDELITTVIYTRNQVSSNDGGAQADTAAPVFKDDENWYGIKRIGDHKMEIVHLTYNTRRGKENFQVNGTLGEFRVQQLARQIDIGQGWPVAPNALCDGANGRRSIDIRQRRLSQFAEAMVKVGDYTLASTYKPRKWDVRTHDRADSNKWQQMIKAPITDTYNVLQFGTVNAGSEKFKNLDIDMFGLNALIYHYGIGNKELKIIQGLIANLRESECDVVVLREKKKELDQFLMRYGEETTTLINVATVQWNKEAATDLVKQLVSLGRDADYIYVFKQWKYHDIISILADQLEPRLQLVFQNSTDVPFSPTIFCVHLAGCFSTLMNVTRREYFGFIAYVGKSFQSCFRYTMEFSDSINVIDIQPLLKPPETLQSLAFRFAAHEQSQQNPFLGGIQINNPFNAGVVAGLFGTCFFAFIHQM
+>sp|P42262|GRIA2_HUMAN Glutamate receptor 2 OS=Homo sapiens OX=9606 GN=GRIA2 PE=1 SV=3
+MQKIMHISVLLSPVLWGLIFGVSSNSIQIGGLFPRGADQEYSAFRVGMVQFSTSEFRLTPHIDNLEVANSFAVTNAFCSQFSRGVYAIFGFYDKKSVNTITSFCGTLHVSFITPSFPTDGTHPFVIQMRPDLKGALLSLIEYYQWDKFAYLYDSDRGLSTLQAVLDSAAEKKWQVTAINVGNINNDKKDEMYRSLFQDLELKKERRVILDCERDKVNDIVDQVITIGKHVKGYHYIIANLGFTDGDLLKIQFGGANVSGFQIVDYDDSLVSKFIERWSTLEEKEYPGAHTTTIKYTSALTYDAVQVMTEAFRNLRKQRIEISRRGNAGDCLANPAVPWGQGVEIERALKQVQVEGLSGNIKFDQNGKRINYTINIMELKTNGPRKIGYWSEVDKMVVTLTELPSGNDTSGLENKTVVVTTILESPYVMMKKNHEMLEGNERYEGYCVDLAAEIAKHCGFKYKLTIVGDGKYGARDADTKIWNGMVGELVYGKADIAIAPLTITLVREEVIDFSKPFMSLGISIMIKKPQKSKPGVFSFLDPLAYEIWMCIVFAYIGVSVVLFLVSRFSPYEWHTEEFEDGRETQSSESTNEFGIFNSLWFSLGAFMQQGCDISPRSLSGRIVGGVWWFFTLIIISSYTANLAAFLTVERMVSPIESAEDLSKQTEIAYGTLDSGSTKEFFRRSKIAVFDKMWTYMRSAEPSVFVRTTAEGVARVRKSKGKYAYLLESTMNEYIEQRKPCDTMKVGGNLDSKGYGIATPKGSSLRNAVNLAVLKLNEQGLLDKLKNKWWYDKGECGSGGGDSKEKTSALSLSNVAGVFYILVGGLGLAMLVALIEFCYKSRAEAKRMKVAKNAQNINPSSSQNSQNFATYKEGYNVYGIESVKI
+>DECOY_sp|P42262|GRIA2_HUMAN Glutamate receptor 2 OS=Homo sapiens OX=9606 GN=GRIA2 PE=1 SV=3
+IKVSEIGYVNYGEKYTAFNQSNQSSSPNINQANKAVKMRKAEARSKYCFEILAVLMALGLGGVLIYFVGAVNSLSLASTKEKSDGGGSGCEGKDYWWKNKLKDLLGQENLKLVALNVANRLSSGKPTAIGYGKSDLNGGVKMTDCPKRQEIYENMTSELLYAYKGKSKRVRAVGEATTRVFVSPEASRMYTWMKDFVAIKSRRFFEKTSGSDLTGYAIETQKSLDEASEIPSVMREVTLFAALNATYSSIIILTFFWWVGGVIRGSLSRPSIDCGQQMFAGLSFWLSNFIGFENTSESSQTERGDEFEETHWEYPSFRSVLFLVVSVGIYAFVICMWIEYALPDLFSFVGPKSKQPKKIMISIGLSMFPKSFDIVEERVLTITLPAIAIDAKGYVLEGVMGNWIKTDADRAGYKGDGVITLKYKFGCHKAIEAALDVCYGEYRENGELMEHNKKMMVYPSELITTVVVTKNELGSTDNGSPLETLTVVMKDVESWYGIKRPGNTKLEMINITYNIRKGNQDFKINGSLGEVQVQKLAREIEVGQGWPVAPNALCDGANGRRSIEIRQKRLNRFAETMVQVADYTLASTYKITTTHAGPYEKEELTSWREIFKSVLSDDYDVIQFGSVNAGGFQIKLLDGDTFGLNAIIYHYGKVHKGITIVQDVIDNVKDRECDLIVRREKKLELDQFLSRYMEDKKDNNINGVNIATVQWKKEAASDLVAQLTSLGRDSDYLYAFKDWQYYEILSLLAGKLDPRMQIVFPHTGDTPFSPTIFSVHLTGCFSTITNVSKKDYFGFIAYVGRSFQSCFANTVAFSNAVELNDIHPTLRFESTSFQVMGVRFASYEQDAGRPFLGGIQISNSSVGFILGWLVPSLLVSIHMIKQM
+>sp|P42263|GRIA3_HUMAN Glutamate receptor 3 OS=Homo sapiens OX=9606 GN=GRIA3 PE=1 SV=2
+MARQKKMGQSVLRAVFFLVLGLLGHSHGGFPNTISIGGLFMRNTVQEHSAFRFAVQLYNTNQNTTEKPFHLNYHVDHLDSSNSFSVTNAFCSQFSRGVYAIFGFYDQMSMNTLTSFCGALHTSFVTPSFPTDADVQFVIQMRPALKGAILSLLGHYKWEKFVYLYDTERGFSILQAIMEAAVQNNWQVTARSVGNIKDVQEFRRIIEEMDRRQEKRYLIDCEVERINTILEQVVILGKHSRGYHYMLANLGFTDILLERVMHGGANITGFQIVNNENPMVQQFIQRWVRLDEREFPEAKNAPLKYTSALTHDAILVIAEAFRYLRRQRVDVSRRGSAGDCLANPAVPWSQGIDIERALKMVQVQGMTGNIQFDTYGRRTNYTIDVYEMKVSGSRKAGYWNEYERFVPFSDQQISNDSASSENRTIVVTTILESPYVMYKKNHEQLEGNERYEGYCVDLAYEIAKHVRIKYKLSIVGDGKYGARDPETKIWNGMVGELVYGRADIAVAPLTITLVREEVIDFSKPFMSLGISIMIKKPQKSKPGVFSFLDPLAYEIWMCIVFAYIGVSVVLFLVSRFSPYEWHLEDNNEEPRDPQSPPDPPNEFGIFNSLWFSLGAFMQQGCDISPRSLSGRIVGGVWWFFTLIIISSYTANLAAFLTVERMVSPIESAEDLAKQTEIAYGTLDSGSTKEFFRRSKIAVYEKMWSYMKSAEPSVFTKTTADGVARVRKSKGKFAFLLESTMNEYIEQRKPCDTMKVGGNLDSKGYGVATPKGSALRNAVNLAVLKLNEQGLLDKLKNKWWYDKGECGSGGGDSKDKTSALSLSNVAGVFYILVGGLGLAMMVALIEFCYKSRAESKRMKLTKNTQNFKPAPATNTQNYATYREGYNVYGTESVKI
+>DECOY_sp|P42263|GRIA3_HUMAN Glutamate receptor 3 OS=Homo sapiens OX=9606 GN=GRIA3 PE=1 SV=2
+IKVSETGYVNYGERYTAYNQTNTAPAPKFNQTNKTLKMRKSEARSKYCFEILAVMMALGLGGVLIYFVGAVNSLSLASTKDKSDGGGSGCEGKDYWWKNKLKDLLGQENLKLVALNVANRLASGKPTAVGYGKSDLNGGVKMTDCPKRQEIYENMTSELLFAFKGKSKRVRAVGDATTKTFVSPEASKMYSWMKEYVAIKSRRFFEKTSGSDLTGYAIETQKALDEASEIPSVMREVTLFAALNATYSSIIILTFFWWVGGVIRGSLSRPSIDCGQQMFAGLSFWLSNFIGFENPPDPPSQPDRPEENNDELHWEYPSFRSVLFLVVSVGIYAFVICMWIEYALPDLFSFVGPKSKQPKKIMISIGLSMFPKSFDIVEERVLTITLPAVAIDARGYVLEGVMGNWIKTEPDRAGYKGDGVISLKYKIRVHKAIEYALDVCYGEYRENGELQEHNKKYMVYPSELITTVVITRNESSASDNSIQQDSFPVFREYENWYGAKRSGSVKMEYVDITYNTRRGYTDFQINGTMGQVQVMKLAREIDIGQSWPVAPNALCDGASGRRSVDVRQRRLYRFAEAIVLIADHTLASTYKLPANKAEPFEREDLRVWRQIFQQVMPNENNVIQFGTINAGGHMVRELLIDTFGLNALMYHYGRSHKGLIVVQELITNIREVECDILYRKEQRRDMEEIIRRFEQVDKINGVSRATVQWNNQVAAEMIAQLISFGRETDYLYVFKEWKYHGLLSLIAGKLAPRMQIVFQVDADTPFSPTVFSTHLAGCFSTLTNMSMQDYFGFIAYVGRSFQSCFANTVSFSNSSDLHDVHYNLHFPKETTNQNTNYLQVAFRFASHEQVTNRMFLGGISITNPFGGHSHGLLGLVLFFVARLVSQGMKKQRAM
+>sp|Q96HH9|GRM2B_HUMAN GRAM domain-containing protein 2B OS=Homo sapiens OX=9606 GN=GRAMD2B PE=1 SV=1
+MTELQQDVEDTKPAKVLGKRESKLGSAHSEAENGVEEKKKACRSPTAQSPTPSVEADSPDQKKIISLWSKSSFDGASLASDKNDCKTESKNDPKTERKKSSSSSQYKANMHFHKLFLSVPTEEPLKQSFTCALQKEILYQGKLFVSENWICFHSKVFGKDTKISIPAFSVTLIKKTKTALLVPNALIIATVTDRYIFVSLLSRDSTYKLLKSVCGHLENTSVGNSPNPSSAENSFRADRPSSLPLDFNDEFSDLDGVVQQRRQDMEGYSSSGSQTPESENSRDFHATESQTVLNVSKGEAKPTRADAHVNRVPEGKAKSLPVQGLSETVGILHKVKSQKCPMLHHILIFYAIVVCALIISTFYMRYRINTLEEQLGLLTSIVDTHNTEQAAPSGLRSQVQFNVEVLCQELTANIVKLEKIQNNLQKLLENGD
+>DECOY_sp|Q96HH9|GRM2B_HUMAN GRAM domain-containing protein 2B OS=Homo sapiens OX=9606 GN=GRAMD2B PE=1 SV=1
+DGNELLKQLNNQIKELKVINATLEQCLVEVNFQVQSRLGSPAAQETNHTDVISTLLGLQEELTNIRYRMYFTSIILACVVIAYFILIHHLMPCKQSKVKHLIGVTESLGQVPLSKAKGEPVRNVHADARTPKAEGKSVNLVTQSETAHFDRSNESEPTQSGSSSYGEMDQRRQQVVGDLDSFEDNFDLPLSSPRDARFSNEASSPNPSNGVSTNELHGCVSKLLKYTSDRSLLSVFIYRDTVTAIILANPVLLATKTKKILTVSFAPISIKTDKGFVKSHFCIWNESVFLKGQYLIEKQLACTFSQKLPEETPVSLFLKHFHMNAKYQSSSSSKKRETKPDNKSETKCDNKDSALSAGDFSSKSWLSIIKKQDPSDAEVSPTPSQATPSRCAKKKEEVGNEAESHASGLKSERKGLVKAPKTDEVDQQLETM
+>sp|Q14832|GRM3_HUMAN Metabotropic glutamate receptor 3 OS=Homo sapiens OX=9606 GN=GRM3 PE=1 SV=2
+MKMLTRLQVLTLALFSKGFLLSLGDHNFLRREIKIEGDLVLGGLFPINEKGTGTEECGRINEDRGIQRLEAMLFAIDEINKDDYLLPGVKLGVHILDTCSRDTYALEQSLEFVRASLTKVDEAEYMCPDGSYAIQENIPLLIAGVIGGSYSSVSIQVANLLRLFQIPQISYASTSAKLSDKSRYDYFARTVPPDFYQAKAMAEILRFFNWTYVSTVASEGDYGETGIEAFEQEARLRNICIATAEKVGRSNIRKSYDSVIRELLQKPNARVVVLFMRSDDSRELIAAASRANASFTWVASDGWGAQESIIKGSEHVAYGAITLELASQPVRQFDRYFQSLNPYNNHRNPWFRDFWEQKFQCSLQNKRNHRRVCDKHLAIDSSNYEQESKIMFVVNAVYAMAHALHKMQRTLCPNTTKLCDAMKILDGKKLYKDYLLKINFTAPFNPNKDADSIVKFDTFGDGMGRYNVFNFQNVGGKYSYLKVGHWAETLSLDVNSIHWSRNSVPTSQCSDPCAPNEMKNMQPGDVCCWICIPCEPYEYLADEFTCMDCGSGQWPTADLTGCYDLPEDYIRWEDAWAIGPVTIACLGFMCTCMVVTVFIKHNNTPLVKASGRELCYILLFGVGLSYCMTFFFIAKPSPVICALRRLGLGSSFAICYSALLTKTNCIARIFDGVKNGAQRPKFISPSSQVFICLGLILVQIVMVSVWLILEAPGTRRYTLAEKRETVILKCNVKDSSMLISLTYDVILVILCTVYAFKTRKCPENFNEAKFIGFTMYTTCIIWLAFLPIFYVTSSDYRVQTTTMCISVSLSGFVVLGCLFAPKVHIILFQPQKNVVTHRLHLNRFSVSGTGTTYSQSSASTYVPTVCNGREVLDSTTSSL
+>DECOY_sp|Q14832|GRM3_HUMAN Metabotropic glutamate receptor 3 OS=Homo sapiens OX=9606 GN=GRM3 PE=1 SV=2
+LSSTTSDLVERGNCVTPVYTSASSQSYTTGTGSVSFRNLHLRHTVVNKQPQFLIIHVKPAFLCGLVVFGSLSVSICMTTTQVRYDSSTVYFIPLFALWIICTTYMTFGIFKAENFNEPCKRTKFAYVTCLIVLIVDYTLSILMSSDKVNCKLIVTERKEALTYRRTGPAELILWVSVMVIQVLILGLCIFVQSSPSIFKPRQAGNKVGDFIRAICNTKTLLASYCIAFSSGLGLRRLACIVPSPKAIFFFTMCYSLGVGFLLIYCLERGSAKVLPTNNHKIFVTVVMCTCMFGLCAITVPGIAWADEWRIYDEPLDYCGTLDATPWQGSGCDMCTFEDALYEYPECPICIWCCVDGPQMNKMENPACPDSCQSTPVSNRSWHISNVDLSLTEAWHGVKLYSYKGGVNQFNFVNYRGMGDGFTDFKVISDADKNPNFPATFNIKLLYDKYLKKGDLIKMADCLKTTNPCLTRQMKHLAHAMAYVANVVFMIKSEQEYNSSDIALHKDCVRRHNRKNQLSCQFKQEWFDRFWPNRHNNYPNLSQFYRDFQRVPQSALELTIAGYAVHESGKIISEQAGWGDSAVWTFSANARSAAAILERSDDSRMFLVVVRANPKQLLERIVSDYSKRINSRGVKEATAICINRLRAEQEFAEIGTEGYDGESAVTSVYTWNFFRLIEAMAKAQYFDPPVTRAFYDYRSKDSLKASTSAYSIQPIQFLRLLNAVQISVSSYSGGIVGAILLPINEQIAYSGDPCMYEAEDVKTLSARVFELSQELAYTDRSCTDLIHVGLKVGPLLYDDKNIEDIAFLMAELRQIGRDENIRGCEETGTGKENIPFLGGLVLDGEIKIERRLFNHDGLSLLFGKSFLALTLVQLRTLMKM
+>sp|Q14831|GRM7_HUMAN Metabotropic glutamate receptor 7 OS=Homo sapiens OX=9606 GN=GRM7 PE=1 SV=1
+MVQLRKLLRVLTLMKFPCCVLEVLLCALAAAARGQEMYAPHSIRIEGDVTLGGLFPVHAKGPSGVPCGDIKRENGIHRLEAMLYALDQINSDPNLLPNVTLGARILDTCSRDTYALEQSLTFVQALIQKDTSDVRCTNGEPPVFVKPEKVVGVIGASGSSVSIMVANILRLFQIPQISYASTAPELSDDRRYDFFSRVVPPDSFQAQAMVDIVKALGWNYVSTLASEGSYGEKGVESFTQISKEAGGLCIAQSVRIPQERKDRTIDFDRIIKQLLDTPNSRAVVIFANDEDIKQILAAAKRADQVGHFLWVGSDSWGSKINPLHQHEDIAEGAITIQPKRATVEGFDAYFTSRTLENNRRNVWFAEYWEENFNCKLTISGSKKEDTDRKCTGQERIGKDSNYEQEGKVQFVIDAVYAMAHALHHMNKDLCADYRGVCPEMEQAGGKKLLKYIRNVNFNGSAGTPVMFNKNGDAPGRYDIFQYQTTNTSNPGYRLIGQWTDELQLNIEDMQWGKGVREIPASVCTLPCKPGQRKKTQKGTPCCWTCEPCDGYQYQFDEMTCQHCPYDQRPNENRTGCQDIPIIKLEWHSPWAVIPVFLAMLGIIATIFVMATFIRYNDTPIVRASGRELSYVLLTGIFLCYIITFLMIAKPDVAVCSFRRVFLGLGMCISYAALLTKTNRIYRIFEQGKKSVTAPRLISPTSQLAITSSLISVQLLGVFIWFGVDPPNIIIDYDEHKTMNPEQARGVLKCDITDLQIICSLGYSILLMVTCTVYAIKTRGVPENFNEAKPIGFTMYTTCIVWLAFIPIFFGTAQSAEKLYIQTTTLTISMNLSASVALGMLYMPKVYIIIFHPELNVQKRKRSFKAVVTAATMSSRLSHKPSDRPNGEAKTELCENVDPNSPAAKKKYVSYNNLVI
+>DECOY_sp|Q14831|GRM7_HUMAN Metabotropic glutamate receptor 7 OS=Homo sapiens OX=9606 GN=GRM7 PE=1 SV=1
+IVLNNYSVYKKKAAPSNPDVNECLETKAEGNPRDSPKHSLRSSMTAATVVAKFSRKRKQVNLEPHFIIIYVKPMYLMGLAVSASLNMSITLTTTQIYLKEASQATGFFIPIFALWVICTTYMTFGIPKAENFNEPVGRTKIAYVTCTVMLLISYGLSCIIQLDTIDCKLVGRAQEPNMTKHEDYDIIINPPDVGFWIFVGLLQVSILSSTIALQSTPSILRPATVSKKGQEFIRYIRNTKTLLAAYSICMGLGLFVRRFSCVAVDPKAIMLFTIIYCLFIGTLLVYSLERGSARVIPTDNYRIFTAMVFITAIIGLMALFVPIVAWPSHWELKIIPIDQCGTRNENPRQDYPCHQCTMEDFQYQYGDCPECTWCCPTGKQTKKRQGPKCPLTCVSAPIERVGKGWQMDEINLQLEDTWQGILRYGPNSTNTTQYQFIDYRGPADGNKNFMVPTGASGNFNVNRIYKLLKKGGAQEMEPCVGRYDACLDKNMHHLAHAMAYVADIVFQVKGEQEYNSDKGIREQGTCKRDTDEKKSGSITLKCNFNEEWYEAFWVNRRNNELTRSTFYADFGEVTARKPQITIAGEAIDEHQHLPNIKSGWSDSGVWLFHGVQDARKAAALIQKIDEDNAFIVVARSNPTDLLQKIIRDFDITRDKREQPIRVSQAICLGGAEKSIQTFSEVGKEGYSGESALTSVYNWGLAKVIDVMAQAQFSDPPVVRSFFDYRRDDSLEPATSAYSIQPIQFLRLINAVMISVSSGSAGIVGVVKEPKVFVPPEGNTCRVDSTDKQILAQVFTLSQELAYTDRSCTDLIRAGLTVNPLLNPDSNIQDLAYLMAELRHIGNERKIDGCPVGSPGKAHVPFLGGLTVDGEIRISHPAYMEQGRAAAALACLLVELVCCPFKMLTLVRLLKRLQVM
+>sp|O00222|GRM8_HUMAN Metabotropic glutamate receptor 8 OS=Homo sapiens OX=9606 GN=GRM8 PE=1 SV=2
+MVCEGKRSASCPCFFLLTAKFYWILTMMQRTHSQEYAHSIRVDGDIILGGLFPVHAKGERGVPCGELKKEKGIHRLEAMLYAIDQINKDPDLLSNITLGVRILDTCSRDTYALEQSLTFVQALIEKDASDVKCANGDPPIFTKPDKISGVIGAAASSVSIMVANILRLFKIPQISYASTAPELSDNTRYDFFSRVVPPDSYQAQAMVDIVTALGWNYVSTLASEGNYGESGVEAFTQISREIGGVCIAQSQKIPREPRPGEFEKIIKRLLETPNARAVIMFANEDDIRRILEAAKKLNQSGHFLWIGSDSWGSKIAPVYQQEEIAEGAVTILPKRASIDGFDRYFRSRTLANNRRNVWFAEFWEENFGCKLGSHGKRNSHIKKCTGLERIARDSSYEQEGKVQFVIDAVYSMAYALHNMHKDLCPGYIGLCPRMSTIDGKELLGYIRAVNFNGSAGTPVTFNENGDAPGRYDIFQYQITNKSTEYKVIGHWTNQLHLKVEDMQWAHREHTHPASVCSLPCKPGERKKTVKGVPCCWHCERCEGYNYQVDELSCELCPLDQRPNMNRTGCQLIPIIKLEWHSPWAVVPVFVAILGIIATTFVIVTFVRYNDTPIVRASGRELSYVLLTGIFLCYSITFLMIAAPDTIICSFRRVFLGLGMCFSYAALLTKTNRIHRIFEQGKKSVTAPKFISPASQLVITFSLISVQLLGVFVWFVVDPPHIIIDYGEQRTLDPEKARGVLKCDISDLSLICSLGYSILLMVTCTVYAIKTRGVPETFNEAKPIGFTMYTTCIIWLAFIPIFFGTAQSAEKMYIQTTTLTVSMSLSASVSLGMLYMPKVYIIIFHPEQNVQKRKRSFKAVVTAATMQSKLIQKGNDRPNGEVKSELCESLETNTSSTKTTYISYSNHSI
+>DECOY_sp|O00222|GRM8_HUMAN Metabotropic glutamate receptor 8 OS=Homo sapiens OX=9606 GN=GRM8 PE=1 SV=2
+ISHNSYSIYTTKTSSTNTELSECLESKVEGNPRDNGKQILKSQMTAATVVAKFSRKRKQVNQEPHFIIIYVKPMYLMGLSVSASLSMSVTLTTTQIYMKEASQATGFFIPIFALWIICTTYMTFGIPKAENFTEPVGRTKIAYVTCTVMLLISYGLSCILSLDSIDCKLVGRAKEPDLTRQEGYDIIIHPPDVVFWVFVGLLQVSILSFTIVLQSAPSIFKPATVSKKGQEFIRHIRNTKTLLAAYSFCMGLGLFVRRFSCIITDPAAIMLFTISYCLFIGTLLVYSLERGSARVIPTDNYRVFTVIVFTTAIIGLIAVFVPVVAWPSHWELKIIPILQCGTRNMNPRQDLPCLECSLEDVQYNYGECRECHWCCPVGKVTKKREGPKCPLSCVSAPHTHERHAWQMDEVKLHLQNTWHGIVKYETSKNTIQYQFIDYRGPADGNENFTVPTGASGNFNVARIYGLLEKGDITSMRPCLGIYGPCLDKHMNHLAYAMSYVADIVFQVKGEQEYSSDRAIRELGTCKKIHSNRKGHSGLKCGFNEEWFEAFWVNRRNNALTRSRFYRDFGDISARKPLITVAGEAIEEQQYVPAIKSGWSDSGIWLFHGSQNLKKAAELIRRIDDENAFMIVARANPTELLRKIIKEFEGPRPERPIKQSQAICVGGIERSIQTFAEVGSEGYNGESALTSVYNWGLATVIDVMAQAQYSDPPVVRSFFDYRTNDSLEPATSAYSIQPIKFLRLINAVMISVSSAAAGIVGSIKDPKTFIPPDGNACKVDSADKEILAQVFTLSQELAYTDRSCTDLIRVGLTINSLLDPDKNIQDIAYLMAELRHIGKEKKLEGCPVGREGKAHVPFLGGLIIDGDVRISHAYEQSHTRQMMTLIWYFKATLLFFCPCSASRKGECVM
+>sp|P28799|GRN_HUMAN Granulins OS=Homo sapiens OX=9606 GN=GRN PE=1 SV=2
+MWTLVSWVALTAGLVAGTRCPDGQFCPVACCLDPGGASYSCCRPLLDKWPTTLSRHLGGPCQVDAHCSAGHSCIFTVSGTSSCCPFPEAVACGDGHHCCPRGFHCSADGRSCFQRSGNNSVGAIQCPDSQFECPDFSTCCVMVDGSWGCCPMPQASCCEDRVHCCPHGAFCDLVHTRCITPTGTHPLAKKLPAQRTNRAVALSSSVMCPDARSRCPDGSTCCELPSGKYGCCPMPNATCCSDHLHCCPQDTVCDLIQSKCLSKENATTDLLTKLPAHTVGDVKCDMEVSCPDGYTCCRLQSGAWGCCPFTQAVCCEDHIHCCPAGFTCDTQKGTCEQGPHQVPWMEKAPAHLSLPDPQALKRDVPCDNVSSCPSSDTCCQLTSGEWGCCPIPEAVCCSDHQHCCPQGYTCVAEGQCQRGSEIVAGLEKMPARRASLSHPRDIGCDQHTSCPVGQTCCPSLGGSWACCQLPHAVCCEDRQHCCPAGYTCNVKARSCEKEVVSAQPATFLARSPHVGVKDVECGEGHFCHDNQTCCRDNRQGWACCPYRQGVCCADRRHCCPAGFRCAARGTKCLRREAPRWDAPLRDPALRQLL
+>DECOY_sp|P28799|GRN_HUMAN Granulins OS=Homo sapiens OX=9606 GN=GRN PE=1 SV=2
+LLQRLAPDRLPADWRPAERRLCKTGRAACRFGAPCCHRRDACCVGQRYPCCAWGQRNDRCCTQNDHCFHGEGCEVDKVGVHPSRALFTAPQASVVEKECSRAKVNCTYGAPCCHQRDECCVAHPLQCCAWSGGLSPCCTQGVPCSTHQDCGIDRPHSLSARRAPMKELGAVIESGRQCQGEAVCTYGQPCCHQHDSCCVAEPIPCCGWEGSTLQCCTDSSPCSSVNDCPVDRKLAQPDPLSLHAPAKEMWPVQHPGQECTGKQTDCTFGAPCCHIHDECCVAQTFPCCGWAGSQLRCCTYGDPCSVEMDCKVDGVTHAPLKTLLDTTANEKSLCKSQILDCVTDQPCCHLHDSCCTANPMPCCGYKGSPLECCTSGDPCRSRADPCMVSSSLAVARNTRQAPLKKALPHTGTPTICRTHVLDCFAGHPCCHVRDECCSAQPMPCCGWSGDVMVCCTSFDPCEFQSDPCQIAGVSNNGSRQFCSRGDASCHFGRPCCHHGDGCAVAEPFPCCSSTGSVTFICSHGASCHADVQCPGGLHRSLTTPWKDLLPRCCSYSAGGPDLCCAVPCFQGDPCRTGAVLGATLAVWSVLTWM
+>sp|Q4AE62|GTDC1_HUMAN Glycosyltransferase-like domain-containing protein 1 OS=Homo sapiens OX=9606 GN=GTDC1 PE=2 SV=1
+MSILIIEAFYGGSHKQLVDLLQEELGDCVVYTLPAKKWHWRARTSALYFSQTIPISEHYRTLFASSVLNLTELAALRPDLGKLKKILYFHENQLIYPVKKCQERDFQYGYNQILSCLVADVVVFNSVFNMESFLTSMGKFMKLIPDHRPKDLESIIRPKCQVIYFPIRFPDVSRFMPKHKTTHLKKMLGLKGNGGAVLSMALPFQPEQRDSEDLLKNFNSECDTHCGLDTARQEYLGNSLRQESDLKKSTSSDNSSSHHGENKQNLTVDPCDILGGVDNQQRLLHIVWPHRWEHDKDPESFFKVLMHLKDLGLNFHVSVLGETFTDVPDIFSEAKKALGSSVLHWGYLPSKDDYFQVLCMADVVISTAKHEFFGVAMLEAVYCGCYPLCPKDLVYPEIFPAEYLYSTPEQLSKRLQNFCKRPDIIRKHLYKGEIAPFSWAALHGKFRSLLTTEPREDL
+>DECOY_sp|Q4AE62|GTDC1_HUMAN Glycosyltransferase-like domain-containing protein 1 OS=Homo sapiens OX=9606 GN=GTDC1 PE=2 SV=1
+LDERPETTLLSRFKGHLAAWSFPAIEGKYLHKRIIDPRKCFNQLRKSLQEPTSYLYEAPFIEPYVLDKPCLPYCGCYVAELMAVGFFEHKATSIVVDAMCLVQFYDDKSPLYGWHLVSSGLAKKAESFIDPVDTFTEGLVSVHFNLGLDKLHMLVKFFSEPDKDHEWRHPWVIHLLRQQNDVGGLIDCPDVTLNQKNEGHHSSSNDSSTSKKLDSEQRLSNGLYEQRATDLGCHTDCESNFNKLLDESDRQEPQFPLAMSLVAGGNGKLGLMKKLHTTKHKPMFRSVDPFRIPFYIVQCKPRIISELDKPRHDPILKMFKGMSTLFSEMNFVSNFVVVDAVLCSLIQNYGYQFDREQCKKVPYILQNEHFYLIKKLKGLDPRLAALETLNLVSSAFLTRYHESIPITQSFYLASTRARWHWKKAPLTYVVCDGLEEQLLDVLQKHSGGYFAEIILISM
+>sp|P49915|GUAA_HUMAN GMP synthase [glutamine-hydrolyzing] OS=Homo sapiens OX=9606 GN=GMPS PE=1 SV=1
+MALCNGDSKLENAGGDLKDGHHHYEGAVVILDAGAQYGKVIDRRVRELFVQSEIFPLETPAFAIKEQGFRAIIISGGPNSVYAEDAPWFDPAIFTIGKPVLGICYGMQMMNKVFGGTVHKKSVREDGVFNISVDNTCSLFRGLQKEEVVLLTHGDSVDKVADGFKVVARSGNIVAGIANESKKLYGAQFHPEVGLTENGKVILKNFLYDIAGCSGTFTVQNRELECIREIKERVGTSKVLVLLSGGVDSTVCTALLNRALNQEQVIAVHIDNGFMRKRESQSVEEALKKLGIQVKVINAAHSFYNGTTTLPISDEDRTPRKRISKTLNMTTSPEEKRKIIGDTFVKIANEVIGEMNLKPEEVFLAQGTLRPDLIESASLVASGKAELIKTHHNDTELIRKLREEGKVIEPLKDFHKDEVRILGRELGLPEELVSRHPFPGPGLAIRVICAEEPYICKDFPETNNILKIVADFSASVKKPHTLLQRVKACTTEEDQEKLMQITSLHSLNAFLLPIKTVGVQGDCRSYSYVCGISSKDEPDWESLIFLARLIPRMCHNVNRVVYIFGPPVKEPPTDVTPTFLTTGVLSTLRQADFEAHNILRESGYAGKISQMPVILTPLHFDRDPLQKQPSCQRSVVIRTFITSDFMTGIPATPGNEIPVEVVLKMVTEIKKIPGISRIMYDLTSKPPGTTEWE
+>DECOY_sp|P49915|GUAA_HUMAN GMP synthase [glutamine-hydrolyzing] OS=Homo sapiens OX=9606 GN=GMPS PE=1 SV=1
+EWETTGPPKSTLDYMIRSIGPIKKIETVMKLVVEVPIENGPTAPIGTMFDSTIFTRIVVSRQCSPQKQLPDRDFHLPTLIVPMQSIKGAYGSERLINHAEFDAQRLTSLVGTTLFTPTVDTPPEKVPPGFIYVVRNVNHCMRPILRALFILSEWDPEDKSSIGCVYSYSRCDGQVGVTKIPLLFANLSHLSTIQMLKEQDEETTCAKVRQLLTHPKKVSASFDAVIKLINNTEPFDKCIYPEEACIVRIALGPGPFPHRSVLEEPLGLERGLIRVEDKHFDKLPEIVKGEERLKRILETDNHHTKILEAKGSAVLSASEILDPRLTGQALFVEEPKLNMEGIVENAIKVFTDGIIKRKEEPSTTMNLTKSIRKRPTRDEDSIPLTTTGNYFSHAANIVKVQIGLKKLAEEVSQSERKRMFGNDIHVAIVQEQNLARNLLATCVTSDVGGSLLVLVKSTGVREKIERICELERNQVTFTGSCGAIDYLFNKLIVKGNETLGVEPHFQAGYLKKSENAIGAVINGSRAVVKFGDAVKDVSDGHTLLVVEEKQLGRFLSCTNDVSINFVGDERVSKKHVTGGFVKNMMQMGYCIGLVPKGITFIAPDFWPADEAYVSNPGGSIIIARFGQEKIAFAPTELPFIESQVFLERVRRDIVKGYQAGADLIVVAGEYHHHGDKLDGGANELKSDGNCLAM
+>sp|Q9Y2T3|GUAD_HUMAN Guanine deaminase OS=Homo sapiens OX=9606 GN=GDA PE=1 SV=1
+MCAAQMPPLAHIFRGTFVHSTWTCPMEVLRDHLLGVSDSGKIVFLEEASQQEKLAKEWCFKPCEIRELSHHEFFMPGLVDTHIHASQYSFAGSSIDLPLLEWLTKYTFPAEHRFQNIDFAEEVYTRVVRRTLKNGTTTACYFATIHTDSSLLLADITDKFGQRAFVGKVCMDLNDTFPEYKETTEESIKETERFVSEMLQKNYSRVKPIVTPRFSLSCSETLMGELGNIAKTRDLHIQSHISENRDEVEAVKNLYPSYKNYTSVYDKNNLLTNKTVMAHGCYLSAEELNVFHERGASIAHCPNSNLSLSSGFLNVLEVLKHEVKIGLGTDVAGGYSYSMLDAIRRAVMVSNILLINKVNEKSLTLKEVFRLATLGGSQALGLDGEIGNFEVGKEFDAILINPKASDSPIDLFYGDFFGDISEAVIQKFLYLGDDRNIEEVYVGGKQVVPFSSSV
+>DECOY_sp|Q9Y2T3|GUAD_HUMAN Guanine deaminase OS=Homo sapiens OX=9606 GN=GDA PE=1 SV=1
+VSSSFPVVQKGGVYVEEINRDDGLYLFKQIVAESIDGFFDGYFLDIPSDSAKPNILIADFEKGVEFNGIEGDLGLAQSGGLTALRFVEKLTLSKENVKNILLINSVMVARRIADLMSYSYGGAVDTGLGIKVEHKLVELVNLFGSSLSLNSNPCHAISAGREHFVNLEEASLYCGHAMVTKNTLLNNKDYVSTYNKYSPYLNKVAEVEDRNESIHSQIHLDRTKAINGLEGMLTESCSLSFRPTVIPKVRSYNKQLMESVFRETEKISEETTEKYEPFTDNLDMCVKGVFARQGFKDTIDALLLSSDTHITAFYCATTTGNKLTRRVVRTYVEEAFDINQFRHEAPFTYKTLWELLPLDISSGAFSYQSAHIHTDVLGPMFFEHHSLERIECPKFCWEKALKEQQSAEELFVIKGSDSVGLLHDRLVEMPCTWTSHVFTGRFIHALPPMQAACM
+>sp|P43080|GUC1A_HUMAN Guanylyl cyclase-activating protein 1 OS=Homo sapiens OX=9606 GN=GUCA1A PE=1 SV=3
+MGNVMEGKSVEELSSTECHQWYKKFMTECPSGQLTLYEFRQFFGLKNLSPSASQYVEQMFETFDFNKDGYIDFMEYVAALSLVLKGKVEQKLRWYFKLYDVDGNGCIDRDELLTIIQAIRAINPCSDTTMTAEEFTDTVFSKIDVNGDGELSLEEFIEGVQKDQMLLDTLTRSLDLTRIVRRLQNGEQDEEGADEAAEAAG
+>DECOY_sp|P43080|GUC1A_HUMAN Guanylyl cyclase-activating protein 1 OS=Homo sapiens OX=9606 GN=GUCA1A PE=1 SV=3
+GAAEAAEDAGEEDQEGNQLRRVIRTLDLSRTLTDLLMQDKQVGEIFEELSLEGDGNVDIKSFVTDTFEEATMTTDSCPNIARIAQIITLLEDRDICGNGDVDYLKFYWRLKQEVKGKLVLSLAAVYEMFDIYGDKNFDFTEFMQEVYQSASPSLNKLGFFQRFEYLTLQGSPCETMFKKYWQHCETSSLEEVSKGEMVNGM
+>sp|P51841|GUC2F_HUMAN Retinal guanylyl cyclase 2 OS=Homo sapiens OX=9606 GN=GUCY2F PE=2 SV=2
+MFLGLGRFSRLVLWFAAFRKLLGHHGLASAKFLWCLCLLSVMSLPQQVWTLPYKIGVVGPWACDSLFSKALPEVAARLAIERINRDPSFDLSYSFEYVILNEDCQTSRALSSFISHHQMASGFIGPTNPGYCEAASLLGNSWDKGIFSWACVNYELDNKISYPTFSRTLPSPIRVLVTVMKYFQWAHAGVISSDEDIWVHTANRVASALRSHGLPVGVVLTTGQDSQSMRKALQRIHQADRIRIIIMCMHSALIGGETQMHLLECAHDLKMTDGTYVFVPYDALLYSLPYKHTPYRVLRNNPKLREAYDAVLTITVESQEKTFYQAFTEAAARGEIPEKLEFDQVSPLFGTIYNSIYFIAQAMNNAMKENGQAGAASLVQHSRNMQFHGFNQLMRTDSNGNGISEYVILDTNLKEWELHSTYTVDMEMELLRFGGTPIHFPGGRPPRADAKCWFAEGKICHGGIDPAFAMMVCLTLLIALLSINGFAYFIRRRINKIQLIKGPNRILLTLEDVTFINPHFGSKRGSRASVSFQITSEVQSGRSPRLSFSSGSLTPATYENSNIAIYEGDWVWLKKFSLGDFGDLKSIKSRASDVFEMMKDLRHENINPLLGFFYDSGMFAIVTEFCSRGSLEDILTNQDVKLDWMFKSSLLLDLIKGMKYLHHREFVHGRLKSRNCVVDGRFVLKVTDYGFNDILEMLRLSEEESSMEELLWTAPELLRAPRGSRLGSFAGDVYSFAIIMQEVMVRGTPFCMMDLPAQEIINRLKKPPPVYRPVVPPEHAPPECLQLMKQCWAEAAEQRPTFDEIFNQFKTFNKGKKTNIIDSMLRMLEQYSSNLEDLIRERTEELEIEKQKTEKLLTQMLPPSVAESLKKGCTVEPEGFDLVTLYFSDIVGFTTISAMSEPIEVVDLLNDLYTLFDAIIGSHDVYKVETIGDAYMVASGLPKRNGSRHAAEIANMSLDILSSVGTFKMRHMPEVPVRIRIGLHSGPVVAGVVGLTMPRYCLFGDTVNTASRMESTGLPYRIHVSLSTVTILQNLSEGYEVELRGRTELKGKGTEETFWLIGKKGFMKPLPVPPPVDKDGQVGHGLQPVEIAAFQRRKAERQLVRNKP
+>DECOY_sp|P51841|GUC2F_HUMAN Retinal guanylyl cyclase 2 OS=Homo sapiens OX=9606 GN=GUCY2F PE=2 SV=2
+PKNRVLQREAKRRQFAAIEVPQLGHGVQGDKDVPPPVPLPKMFGKKGILWFTEETGKGKLETRGRLEVEYGESLNQLITVTSLSVHIRYPLGTSEMRSATNVTDGFLCYRPMTLGVVGAVVPGSHLGIRIRVPVEPMHRMKFTGVSSLIDLSMNAIEAAHRSGNRKPLGSAVMYADGITEVKYVDHSGIIADFLTYLDNLLDVVEIPESMASITTFGVIDSFYLTVLDFGEPEVTCGKKLSEAVSPPLMQTLLKETKQKEIELEETRERILDELNSSYQELMRLMSDIINTKKGKNFTKFQNFIEDFTPRQEAAEAWCQKMLQLCEPPAHEPPVVPRYVPPPKKLRNIIEQAPLDMMCFPTGRVMVEQMIIAFSYVDGAFSGLRSGRPARLLEPATWLLEEMSSEEESLRLMELIDNFGYDTVKLVFRGDVVCNRSKLRGHVFERHHLYKMGKILDLLLSSKFMWDLKVDQNTLIDELSGRSCFETVIAFMGSDYFFGLLPNINEHRLDKMMEFVDSARSKISKLDGFDGLSFKKLWVWDGEYIAINSNEYTAPTLSGSSFSLRPSRGSQVESTIQFSVSARSGRKSGFHPNIFTVDELTLLIRNPGKILQIKNIRRRIFYAFGNISLLAILLTLCVMMAFAPDIGGHCIKGEAFWCKADARPPRGGPFHIPTGGFRLLEMEMDVTYTSHLEWEKLNTDLIVYESIGNGNSDTRMLQNFGHFQMNRSHQVLSAAGAQGNEKMANNMAQAIFYISNYITGFLPSVQDFELKEPIEGRAAAETFAQYFTKEQSEVTITLVADYAERLKPNNRLVRYPTHKYPLSYLLADYPVFVYTGDTMKLDHACELLHMQTEGGILASHMCMIIIRIRDAQHIRQLAKRMSQSDQGTTLVVGVPLGHSRLASAVRNATHVWIDEDSSIVGAHAWQFYKMVTVLVRIPSPLTRSFTPYSIKNDLEYNVCAWSFIGKDWSNGLLSAAECYGPNTPGIFGSAMQHHSIFSSLARSTQCDENLIVYEFSYSLDFSPDRNIREIALRAAVEPLAKSFLSDCAWPGVVGIKYPLTWVQQPLSMVSLLCLCWLFKASALGHHGLLKRFAAFWLVLRSFRGLGLFM
+>sp|Q9UBP9|GULP1_HUMAN PTB domain-containing engulfment adapter protein 1 OS=Homo sapiens OX=9606 GN=GULP1 PE=1 SV=1
+MNRAFSRKKDKTWMHTPEALSKHFIPYNAKFLGSTEVEQPKGTEVVRDAVRKLKFARHIKKSEGQKIPKVELQISIYGVKILEPKTKEVQHNCQLHRISFCADDKTDKRIFTFICKDSESNKHLCYVFDSEKCAEEITLTIGQAFDLAYRKFLESGGKDVETRKQIAGLQKRIQDLETENMELKNKVQDLENQLRITQVSAPPAGSMTPKSPSTDIFDMIPFSPISHQSSMPTRNGTQPPPVPSRSTEIKRDLFGAEPFDPFNCGAADFPPDIQSKLDEMQEGFKMGLTLEGTVFCLDPLDSRC
+>DECOY_sp|Q9UBP9|GULP1_HUMAN PTB domain-containing engulfment adapter protein 1 OS=Homo sapiens OX=9606 GN=GULP1 PE=1 SV=1
+CRSDLPDLCFVTGELTLGMKFGEQMEDLKSQIDPPFDAAGCNFPDFPEAGFLDRKIETSRSPVPPPQTGNRTPMSSQHSIPSFPIMDFIDTSPSKPTMSGAPPASVQTIRLQNELDQVKNKLEMNETELDQIRKQLGAIQKRTEVDKGGSELFKRYALDFAQGITLTIEEACKESDFVYCLHKNSESDKCIFTFIRKDTKDDACFSIRHLQCNHQVEKTKPELIKVGYISIQLEVKPIKQGESKKIHRAFKLKRVADRVVETGKPQEVETSGLFKANYPIFHKSLAEPTHMWTKDKKRSFARNM
+>sp|Q15486|GUSP1_HUMAN Putative inactive beta-glucuronidase-like protein SMA3 OS=Homo sapiens OX=9606 GN=GUSBP1 PE=5 SV=3
+MDRSNPVKPALDYFSNRLVNYQISVKCSNQFKLEVCLLNAENKVVDNQAGTQGQLKVLGANLWWPYLMHEHPASLYSWEDGDCSHQSLGPLPACDLCDQLHLRSRQGGSVCGCDPCEQLLLLVSQLRAPGVDSAAAGRPV
+>DECOY_sp|Q15486|GUSP1_HUMAN Putative inactive beta-glucuronidase-like protein SMA3 OS=Homo sapiens OX=9606 GN=GUSBP1 PE=5 SV=3
+VPRGAAASDVGPARLQSVLLLLQECPDCGCVSGGQRSRLHLQDCLDCAPLPGLSQHSCDGDEWSYLSAPHEHMLYPWWLNAGLVKLQGQTGAQNDVVKNEANLLCVELKFQNSCKVSIQYNVLRNSFYDLAPKVPNSRDM
+>sp|Q4G148|GXLT1_HUMAN Glucoside xylosyltransferase 1 OS=Homo sapiens OX=9606 GN=GXYLT1 PE=1 SV=2
+MRRYLRVVVLCVACGFCSLLYAFSQLAVSLEEGTGGGGGKPQAAVASWLAGGGRGAVRGAGVAGPAAHPGVSDRCKDFSLCYWNPYWMLPSDVCGMNCFWEAAFRYSLKIQPVEKMHLAVVACGERLEETMTMLKSAIIFSIKPLQFHIFAEDQLHHSFKGRLDNWSFLQTFNYTLYPITFPSENAAEWKKLFKPCASQRLFLPLILKEVDSLLYVDTDILFLRPVDDIWSLLKKFNSTQIAAMAPEHEEPRIGWYNRFARHPYYGKTGVNSGVMLMNMTRMRRKYFKNDMTTVRLQWGDILMPLLKKYKLNITWGDQDLLNIVFFHNPESLFVFPCQWNYRPDHCIYGSNCQEAEEGGIFILHGNRGVYHDDKQPAFRAVYEALRNCSFEDDNIRSLLKPLELELQKTVHTYCGKIYKIFIKQLAKSVRDRYARSPKEK
+>DECOY_sp|Q4G148|GXLT1_HUMAN Glucoside xylosyltransferase 1 OS=Homo sapiens OX=9606 GN=GXYLT1 PE=1 SV=2
+KEKPSRAYRDRVSKALQKIFIKYIKGCYTHVTKQLELELPKLLSRINDDEFSCNRLAEYVARFAPQKDDHYVGRNGHLIFIGGEEAEQCNSGYICHDPRYNWQCPFVFLSEPNHFFVINLLDQDGWTINLKYKKLLPMLIDGWQLRVTTMDNKFYKRRMRTMNMLMVGSNVGTKGYYPHRAFRNYWGIRPEEHEPAMAAIQTSNFKKLLSWIDDVPRLFLIDTDVYLLSDVEKLILPLFLRQSACPKFLKKWEAANESPFTIPYLTYNFTQLFSWNDLRGKFSHHLQDEAFIHFQLPKISFIIASKLMTMTEELREGCAVVALHMKEVPQIKLSYRFAAEWFCNMGCVDSPLMWYPNWYCLSFDKCRDSVGPHAAPGAVGAGRVAGRGGGALWSAVAAQPKGGGGGTGEELSVALQSFAYLLSCFGCAVCLVVVRLYRRM
+>sp|A0PJZ3|GXLT2_HUMAN Glucoside xylosyltransferase 2 OS=Homo sapiens OX=9606 GN=GXYLT2 PE=2 SV=2
+MKLRSKAAALLLLALAALLLALLSLRAGRAEPPALPARPASAPQRHPAPVPARWPGPGALPGASPGVRRRRPPRPRPRAGRRGAARLEKLARRPGEPRSFQAVLPPELWIHLAVVACGNRLEETLVMLKSAVLFSHRKIQFHIFTEDSLKPEFDKQLRQWPDSYTKKFEHRIYPITFSVGNPQEWKKLFKPCAAQRLFLPVILKDVDSLLYVDTDVLFLRPVDDIWKLLRLFNSTQLAAMAPEHEIPKIGWYSRFARHPFYGSAGVNSGVMLMNLTRIRSTQFKNSMIPTGLAWEDMLYPLYQKYKNAITWGDQDLLNIIFYFNPECLYVFPCQWNYRPDHCMYGSNCREAEHEGVSVLHGNRGVYHDDKQPTFRALYEAIRDFPFQDNLFQSMYYPLQLKFLETVHTLCGRIPQVFLKQIEKTMKRAYEKHVIIHVGPNQMH
+>DECOY_sp|A0PJZ3|GXLT2_HUMAN Glucoside xylosyltransferase 2 OS=Homo sapiens OX=9606 GN=GXYLT2 PE=2 SV=2
+HMQNPGVHIIVHKEYARKMTKEIQKLFVQPIRGCLTHVTELFKLQLPYYMSQFLNDQFPFDRIAEYLARFTPQKDDHYVGRNGHLVSVGEHEAERCNSGYMCHDPRYNWQCPFVYLCEPNFYFIINLLDQDGWTIANKYKQYLPYLMDEWALGTPIMSNKFQTSRIRTLNMLMVGSNVGASGYFPHRAFRSYWGIKPIEHEPAMAALQTSNFLRLLKWIDDVPRLFLVDTDVYLLSDVDKLIVPLFLRQAACPKFLKKWEQPNGVSFTIPYIRHEFKKTYSDPWQRLQKDFEPKLSDETFIHFQIKRHSFLVASKLMVLTEELRNGCAVVALHIWLEPPLVAQFSRPEGPRRALKELRAAGRRGARPRPRPPRRRRVGPSAGPLAGPGPWRAPVPAPHRQPASAPRAPLAPPEARGARLSLLALLLAALALLLLAAAKSRLKM
+>sp|Q9ULK0|GRID1_HUMAN Glutamate receptor ionotropic, delta-1 OS=Homo sapiens OX=9606 GN=GRID1 PE=2 SV=2
+MEALTLWLLPWICQCVSVRADSIIHIGAIFEENAAKDDRVFQLAVSDLSLNDDILQSEKITYSIKVIEANNPFQAVQEACDLMTQGILALVTSTGCASANALQSLTDAMHIPHLFVQRNPGGSPRTACHLNPSPDGEAYTLASRPPVRLNDVMLRLVTELRWQKFVMFYDSEYDIRGLQSFLDQASRLGLDVSLQKVDKNISHVFTSLFTTMKTEELNRYRDTLRRAILLLSPQGAHSFINEAVETNLASKDSHWVFVNEEISDPEILDLVHSALGRMTVVRQIFPSAKDNQKCTRNNHRISSLLCDPQEGYLQMLQISNLYLYDSVLMLANAFHRKLEDRKWHSMASLNCIRKSTKPWNGGRSMLDTIKKGHITGLTGVMEFREDSSNPYVQFEILGTTYSETFGKDMRKLATWDSEKGLNGSLQERPMGSRLQGLTLKVVTVLEEPFVMVAENILGQPKRYKGFSIDVLDALAKALGFKYEIYQAPDGRYGHQLHNTSWNGMIGELISKRADLAISAITITPERESVVDFSKRYMDYSVGILIKKPEEKISIFSLFAPFDFAVWACIAAAIPVVGVLIFVLNRIQAVRAQSAAQPRPSASATLHSAIWIVYGAFVQQGGESSVNSMAMRIVMGSWWLFTLIVCSSYTANLAAFLTVSRMDNPIRTFQDLSKQVEMSYGTVRDSAVYEYFRAKGTNPLEQDSTFAELWRTISKNGGADNCVSSPSEGIRKAKKGNYAFLWDVAVVEYAALTDDDCSVTVIGNSISSKGYGIALQHGSPYRDLFSQRILELQDTGDLDVLKQKWWPHMGRCDLTSHASAQADGKSLKLHSFAGVFCILAIGLLLACLVAALELWWNSNRCHQETPKEDKEVNLEQVHRRMNSLMDEDIAHKQISPASIELSALEMGGLAPTQTLEPTREYQNTQLSVSTFLPEQSSHGTSRTLSSGPSSNLPLPLSSSATMPSMQCKHRSPNGGLFRQSPVKTPIPMSFQPVPGGVLPEALDTSHGTSI
+>DECOY_sp|Q9ULK0|GRID1_HUMAN Glutamate receptor ionotropic, delta-1 OS=Homo sapiens OX=9606 GN=GRID1 PE=2 SV=2
+ISTGHSTDLAEPLVGGPVPQFSMPIPTKVPSQRFLGGNPSRHKCQMSPMTASSSLPLPLNSSPGSSLTRSTGHSSQEPLFTSVSLQTNQYERTPELTQTPALGGMELASLEISAPSIQKHAIDEDMLSNMRRHVQELNVEKDEKPTEQHCRNSNWWLELAAVLCALLLGIALICFVGAFSHLKLSKGDAQASAHSTLDCRGMHPWWKQKLVDLDGTDQLELIRQSFLDRYPSGHQLAIGYGKSSISNGIVTVSCDDDTLAAYEVVAVDWLFAYNGKKAKRIGESPSSVCNDAGGNKSITRWLEAFTSDQELPNTGKARFYEYVASDRVTGYSMEVQKSLDQFTRIPNDMRSVTLFAALNATYSSCVILTFLWWSGMVIRMAMSNVSSEGGQQVFAGYVIWIASHLTASASPRPQAASQARVAQIRNLVFILVGVVPIAAAICAWVAFDFPAFLSFISIKEEPKKILIGVSYDMYRKSFDVVSEREPTITIASIALDARKSILEGIMGNWSTNHLQHGYRGDPAQYIEYKFGLAKALADLVDISFGKYRKPQGLINEAVMVFPEELVTVVKLTLGQLRSGMPREQLSGNLGKESDWTALKRMDKGFTESYTTGLIEFQVYPNSSDERFEMVGTLGTIHGKKITDLMSRGGNWPKTSKRICNLSAMSHWKRDELKRHFANALMLVSDYLYLNSIQLMQLYGEQPDCLLSSIRHNNRTCKQNDKASPFIQRVVTMRGLASHVLDLIEPDSIEENVFVWHSDKSALNTEVAENIFSHAGQPSLLLIARRLTDRYRNLEETKMTTFLSTFVHSINKDVKQLSVDLGLRSAQDLFSQLGRIDYESDYFMVFKQWRLETVLRLMVDNLRVPPRSALTYAEGDPSPNLHCATRPSGGPNRQVFLHPIHMADTLSQLANASACGTSTVLALIGQTMLDCAEQVAQFPNNAEIVKISYTIKESQLIDDNLSLDSVALQFVRDDKAANEEFIAGIHIISDARVSVCQCIWPLLWLTLAEM
+>sp|P43250|GRK6_HUMAN G protein-coupled receptor kinase 6 OS=Homo sapiens OX=9606 GN=GRK6 PE=1 SV=2
+MELENIVANTVLLKAREGGGGNRKGKSKKWRQMLQFPHISQCEELRLSLERDYHSLCERQPIGRLLFREFCATRPELSRCVAFLDGVAEYEVTPDDKRKACGRQLTQNFLSHTGPDLIPEVPRQLVTNCTQRLEQGPCKDLFQELTRLTHEYLSVAPFADYLDSIYFNRFLQWKWLERQPVTKNTFRQYRVLGKGGFGEVCACQVRATGKMYACKKLEKKRIKKRKGEAMALNEKQILEKVNSRFVVSLAYAYETKDALCLVLTLMNGGDLKFHIYHMGQAGFPEARAVFYAAEICCGLEDLHRERIVYRDLKPENILLDDHGHIRISDLGLAVHVPEGQTIKGRVGTVGYMAPEVVKNERYTFSPDWWALGCLLYEMIAGQSPFQQRKKKIKREEVERLVKEVPEEYSERFSPQARSLCSQLLCKDPAERLGCRGGSAREVKEHPLFKKLNFKRLGAGMLEPPFKPDPQAIYCKDVLDIEQFSTVKGVELEPTDQDFYQKFATGSVPIPWQNEMVETECFQELNVFGLDGSVPPDLDWKGQPPAPPKKGLLQRLFSRQDCCGNCSDSEEELPTRL
+>DECOY_sp|P43250|GRK6_HUMAN G protein-coupled receptor kinase 6 OS=Homo sapiens OX=9606 GN=GRK6 PE=1 SV=2
+LRTPLEEESDSCNGCCDQRSFLRQLLGKKPPAPPQGKWDLDPPVSGDLGFVNLEQFCETEVMENQWPIPVSGTAFKQYFDQDTPELEVGKVTSFQEIDLVDKCYIAQPDPKFPPELMGAGLRKFNLKKFLPHEKVERASGGRCGLREAPDKCLLQSCLSRAQPSFRESYEEPVEKVLREVEERKIKKKRQQFPSQGAIMEYLLCGLAWWDPSFTYRENKVVEPAMYGVTGVRGKITQGEPVHVALGLDSIRIHGHDDLLINEPKLDRYVIRERHLDELGCCIEAAYFVARAEPFGAQGMHYIHFKLDGGNMLTLVLCLADKTEYAYALSVVFRSNVKELIQKENLAMAEGKRKKIRKKELKKCAYMKGTARVQCACVEGFGGKGLVRYQRFTNKTVPQRELWKWQLFRNFYISDLYDAFPAVSLYEHTLRTLEQFLDKCPGQELRQTCNTVLQRPVEPILDPGTHSLFNQTLQRGCAKRKDDPTVEYEAVGDLFAVCRSLEPRTACFERFLLRGIPQRECLSHYDRELSLRLEECQSIHPFQLMQRWKKSKGKRNGGGGERAKLLVTNAVINELEM
+>sp|O95267|GRP1_HUMAN RAS guanyl-releasing protein 1 OS=Homo sapiens OX=9606 GN=RASGRP1 PE=1 SV=2
+MGTLGKAREAPRKPSHGCRAASKARLEAKPANSPFPSHPSLAHITQFRMMVSLGHLAKGASLDDLIDSCIQSFDADGNLCRSNQLLQVMLTMHRIVISSAELLQKVITLYKDALAKNSPGLCLKICYFVRYWITEFWVMFKMDASLTDTMEEFQELVKAKGEELHCRLIDTTQINARDWSRKLTQRIKSNTSKKRKVSLLFDHLEPEELSEHLTYLEFKSFRRISFSDYQNYLVNSCVKENPTMERSIALCNGISQWVQLMVLSRPTPQLRAEVFIKFIQVAQKLHQLQNFNTLMAVIGGLCHSSISRLKETSSHVPHEINKVLGEMTELLSSSRNYDNYRRAYGECTDFKIPILGVHLKDLISLYEAMPDYLEDGKVNVHKLLALYNHISELVQLQEVAPPLEANKDLVHLLTLSLDLYYTEDEIYELSYAREPRNHRAPPLTPSKPPVVVDWASGVSPKPDPKTISKHVQRMVDSVFKNYDHDQDGYISQEEFEKIAASFPFSFCVMDKDREGLISRDEITAYFMRASSIYSKLGLGFPHNFQETTYLKPTFCDNCAGFLWGVIKQGYRCKDCGMNCHKQCKDLVVFECKKRAKNPVAPTENNTSVGPVSNLCSLGAKDLLHAPEEGPFTFPNGEAVEHGEESKDRTIMLMGVSSQKISLRLKRAVAHKATQTESQPWIGSEGPSGPFVLSSPRKTAQDTLYVLPSPTSPCPSPVLVRKRAFVKWENKDSLIKSKEELRHLRLPTYQELEQEINTLKADNDALKIQLKYAQKKIESLQLEKSNHVLAQMEQGDCS
+>DECOY_sp|O95267|GRP1_HUMAN RAS guanyl-releasing protein 1 OS=Homo sapiens OX=9606 GN=RASGRP1 PE=1 SV=2
+SCDGQEMQALVHNSKELQLSEIKKQAYKLQIKLADNDAKLTNIEQELEQYTPLRLHRLEEKSKILSDKNEWKVFARKRVLVPSPCPSTPSPLVYLTDQATKRPSSLVFPGSPGESGIWPQSETQTAKHAVARKLRLSIKQSSVGMLMITRDKSEEGHEVAEGNPFTFPGEEPAHLLDKAGLSCLNSVPGVSTNNETPAVPNKARKKCEFVVLDKCQKHCNMGCDKCRYGQKIVGWLFGACNDCFTPKLYTTEQFNHPFGLGLKSYISSARMFYATIEDRSILGERDKDMVCFSFPFSAAIKEFEEQSIYGDQDHDYNKFVSDVMRQVHKSITKPDPKPSVGSAWDVVVPPKSPTLPPARHNRPERAYSLEYIEDETYYLDLSLTLLHVLDKNAELPPAVEQLQVLESIHNYLALLKHVNVKGDELYDPMAEYLSILDKLHVGLIPIKFDTCEGYARRYNDYNRSSSLLETMEGLVKNIEHPVHSSTEKLRSISSHCLGGIVAMLTNFNQLQHLKQAVQIFKIFVEARLQPTPRSLVMLQVWQSIGNCLAISREMTPNEKVCSNVLYNQYDSFSIRRFSKFELYTLHESLEEPELHDFLLSVKRKKSTNSKIRQTLKRSWDRANIQTTDILRCHLEEGKAKVLEQFEEMTDTLSADMKFMVWFETIWYRVFYCIKLCLGPSNKALADKYLTIVKQLLEASSIVIRHMTLMVQLLQNSRCLNGDADFSQICSDILDDLSAGKALHGLSVMMRFQTIHALSPHSPFPSNAPKAELRAKSAARCGHSPKRPAERAKGLTGM
+>sp|A4D1B5|GSAP_HUMAN Gamma-secretase-activating protein OS=Homo sapiens OX=9606 GN=GSAP PE=1 SV=2
+MALRLVADFDLGKDVLPWLRAQRAVSEASGAGSGGADVLENDYESLHVLNVERNGNIIYTYKDDKGNVVFGLYDCQTRQNELLYTFEKDLQVFSCSVNSERTLLAASLVQSTKEGKRNELQPGSKCLTLLVEIHPVNNVKVLKAVDSYIWVQFLYPHIESHPLPENHLLLISEEKYIEQFRIHVAQEDGNRVVIKNSGHLPRDRIAEDFVWAQWDMSEQRLYYIDLKKSRSILKCIQFYADESYNLMFEVPLDISLSNSGFKLVNFGCDYHQYRDKFSKHLTLCVFTNHTGSLCVCYSPKCASWGQITYSVFYIHKGHSKTFTTSLENVGSHMTKGITFLNLDYYVAVYLPGHFFHLLNVQHPDLICHNLFLTGNNEMIDMLPHCPLQSLSGSLVLDCCSGKLYRALLSQSSLLQLLQNTCLDCEKMAALHCALYCGQGAQFLEAQIIQWISENVSACHSFDLIQEFIIASSYWSVYSETSNMDKLLPHSSVLTWNTEIPGITLVTEDIALPLMKVLSFKGYWEKLNSNLEYVKYAKPHFHYNNSVVRREWHNLISEEKTGKRRSAAYVRNILDNAVKVISNLEARNLGPRLTPLLQEEDSHQRLLMGLMVSELKDHFLRHLQGVEKKKIEQMVLDYISKLLDLICHIVETNWRKHNLHSWVLHFNSRGSAAEFAVFHIMTRILEATNSLFLPLPPGFHTLHTILGVQCLPLHNLLHCIDSGVLLLTETAVIRLMKDLDNTEKNEKLKFSIIVRLPPLIGQKICRLWDHPMSSNIISRNHVTRLLQNYKKQPRNSMINKSSFSVEFLPLNYFIEILTDIESSNQALYPFEGHDNVDAEFVEEAALKHTAMLLGL
+>DECOY_sp|A4D1B5|GSAP_HUMAN Gamma-secretase-activating protein OS=Homo sapiens OX=9606 GN=GSAP PE=1 SV=2
+LGLLMATHKLAAEEVFEADVNDHGEFPYLAQNSSEIDTLIEIFYNLPLFEVSFSSKNIMSNRPQKKYNQLLRTVHNRSIINSSMPHDWLRCIKQGILPPLRVIISFKLKENKETNDLDKMLRIVATETLLLVGSDICHLLNHLPLCQVGLITHLTHFGPPLPLFLSNTAELIRTMIHFVAFEAASGRSNFHLVWSHLNHKRWNTEVIHCILDLLKSIYDLVMQEIKKKEVGQLHRLFHDKLESVMLGMLLRQHSDEEQLLPTLRPGLNRAELNSIVKVANDLINRVYAASRRKGTKEESILNHWERRVVSNNYHFHPKAYKVYELNSNLKEWYGKFSLVKMLPLAIDETVLTIGPIETNWTLVSSHPLLKDMNSTESYVSWYSSAIIFEQILDFSHCASVNESIWQIIQAELFQAGQGCYLACHLAAMKECDLCTNQLLQLLSSQSLLARYLKGSCCDLVLSGSLSQLPCHPLMDIMENNGTLFLNHCILDPHQVNLLHFFHGPLYVAVYYDLNLFTIGKTMHSGVNELSTTFTKSHGKHIYFVSYTIQGWSACKPSYCVCLSGTHNTFVCLTLHKSFKDRYQHYDCGFNVLKFGSNSLSIDLPVEFMLNYSEDAYFQICKLISRSKKLDIYYLRQESMDWQAWVFDEAIRDRPLHGSNKIVVRNGDEQAVHIRFQEIYKEESILLLHNEPLPHSEIHPYLFQVWIYSDVAKLVKVNNVPHIEVLLTLCKSGPQLENRKGEKTSQVLSAALLTRESNVSCSFVQLDKEFTYLLENQRTQCDYLGFVVNGKDDKYTYIINGNREVNLVHLSEYDNELVDAGGSGAGSAESVARQARLWPLVDKGLDFDAVLRLAM
+>sp|O60443|GSDME_HUMAN Gasdermin-E OS=Homo sapiens OX=9606 GN=GSDME PE=1 SV=2
+MFAKATRNFLREVDADGDLIAVSNLNDSDKLQLLSLVTKKKRFWCWQRPKYQFLSLTLGDVLIEDQFPSPVVVESDFVKYEGKFANHVSGTLETALGKVKLNLGGSSRVESQSSFGTLRKQEVDLQQLIRDSAERTINLRNPVLQQVLEGRNEVLCVLTQKITTMQKCVISEHMQVEEKCGGIVGIQTKTVQVSATEDGNVTKDSNVVLEIPAATTIAYGVIELYVKLDGQFEFCLLRGKQGGFENKKRIDSVYLDPLVFREFAFIDMPDAAHGISSQDGPLSVLKQATLLLERNFHPFAELPEPQQTALSDIFQAVLFDDELLMVLEPVCDDLVSGLSPTVAVLGELKPRQQQDLVAFLQLVGCSLQGGCPGPEDAGSKQLFMTAYFLVSALAEMPDSAAALLGTCCKLQIIPTLCHLLRALSDDGVSDLEDPTLTPLKDTERFGIVQRLFASADISLERLKSSVKAVILKDSKVFPLLLCITLNGLCALGREHS
+>DECOY_sp|O60443|GSDME_HUMAN Gasdermin-E OS=Homo sapiens OX=9606 GN=GSDME PE=1 SV=2
+SHERGLACLGNLTICLLLPFVKSDKLIVAKVSSKLRELSIDASAFLRQVIGFRETDKLPTLTPDELDSVGDDSLARLLHCLTPIIQLKCCTGLLAAASDPMEALASVLFYATMFLQKSGADEPGPCGGQLSCGVLQLFAVLDQQQRPKLEGLVAVTPSLGSVLDDCVPELVMLLEDDFLVAQFIDSLATQQPEPLEAFPHFNRELLLTAQKLVSLPGDQSSIGHAADPMDIFAFERFVLPDLYVSDIRKKNEFGGQKGRLLCFEFQGDLKVYLEIVGYAITTAAPIELVVNSDKTVNGDETASVQVTKTQIGVIGGCKEEVQMHESIVCKQMTTIKQTLVCLVENRGELVQQLVPNRLNITREASDRILQQLDVEQKRLTGFSSQSEVRSSGGLNLKVKGLATELTGSVHNAFKGEYKVFDSEVVVPSPFQDEILVDGLTLSLFQYKPRQWCWFRKKKTVLSLLQLKDSDNLNSVAILDGDADVERLFNRTAKAFM
+>sp|P48507|GSH0_HUMAN Glutamate--cysteine ligase regulatory subunit OS=Homo sapiens OX=9606 GN=GCLM PE=1 SV=1
+MGTDSRAAKALLARARTLHLQTGNLLNWGRLRKKCPSTHSEELHDCIQKTLNEWSSQINPDLVREFPDVLECTVSHAVEKINPDEREEMKVSAKLFIVESNSSSSTRSAVDMACSVLGVAQLDSVIIASPPIEDGVNLSLEHLQPYWEELENLVQSKKIVAIGTSDLDKTQLEQLYQWAQVKPNSNQVNLASCCVMPPDLTAFAKQFDIQLLTHNDPKELLSEASFQEALQESIPDIQAHEWVPLWLLRYSVIVKSRGIIKSKGYILQAKRRGS
+>DECOY_sp|P48507|GSH0_HUMAN Glutamate--cysteine ligase regulatory subunit OS=Homo sapiens OX=9606 GN=GCLM PE=1 SV=1
+SGRRKAQLIYGKSKIIGRSKVIVSYRLLWLPVWEHAQIDPISEQLAEQFSAESLLEKPDNHTLLQIDFQKAFATLDPPMVCCSALNVQNSNPKVQAWQYLQELQTKDLDSTGIAVIKKSQVLNELEEWYPQLHELSLNVGDEIPPSAIIVSDLQAVGLVSCAMDVASRTSSSSNSEVIFLKASVKMEEREDPNIKEVAHSVTCELVDPFERVLDPNIQSSWENLTKQICDHLEESHTSPCKKRLRGWNLLNGTQLHLTRARALLAKAARSDTGM
+>sp|P49841|GSK3B_HUMAN Glycogen synthase kinase-3 beta OS=Homo sapiens OX=9606 GN=GSK3B PE=1 SV=2
+MSGRPRTTSFAESCKPVQQPSAFGSMKVSRDKDGSKVTTVVATPGQGPDRPQEVSYTDTKVIGNGSFGVVYQAKLCDSGELVAIKKVLQDKRFKNRELQIMRKLDHCNIVRLRYFFYSSGEKKDEVYLNLVLDYVPETVYRVARHYSRAKQTLPVIYVKLYMYQLFRSLAYIHSFGICHRDIKPQNLLLDPDTAVLKLCDFGSAKQLVRGEPNVSYICSRYYRAPELIFGATDYTSSIDVWSAGCVLAELLLGQPIFPGDSGVDQLVEIIKVLGTPTREQIREMNPNYTEFKFPQIKAHPWTKVFRPRTPPEAIALCSRLLEYTPTARLTPLEACAHSFFDELRDPNVKLPNGRDTPALFNFTTQELSSNPPLATILIPPHARIQAAASTPTNATAASDANTGDRGQTNNAASASASNST
+>DECOY_sp|P49841|GSK3B_HUMAN Glycogen synthase kinase-3 beta OS=Homo sapiens OX=9606 GN=GSK3B PE=1 SV=2
+TSNSASASAANNTQGRDGTNADSAATANTPTSAAAQIRAHPPILITALPPNSSLEQTTFNFLAPTDRGNPLKVNPDRLEDFFSHACAELPTLRATPTYELLRSCLAIAEPPTRPRFVKTWPHAKIQPFKFETYNPNMERIQERTPTGLVKIIEVLQDVGSDGPFIPQGLLLEALVCGASWVDISSTYDTAGFILEPARYYRSCIYSVNPEGRVLQKASGFDCLKLVATDPDLLLNQPKIDRHCIGFSHIYALSRFLQYMYLKVYIVPLTQKARSYHRAVRYVTEPVYDLVLNLYVEDKKEGSSYFFYRLRVINCHDLKRMIQLERNKFRKDQLVKKIAVLEGSDCLKAQYVVGFSGNGIVKTDTYSVEQPRDPGQGPTAVVTTVKSGDKDRSVKMSGFASPQQVPKCSEAFSTTRPRGSM
+>sp|P0CG29|GST2_HUMAN Glutathione S-transferase theta-2 OS=Homo sapiens OX=9606 GN=GSTT2 PE=1 SV=1
+MGLELFLDLVSQPSRAVYIFAKKNGIPLELRTVDLVKGQHKSKEFLQINSLGKLPTLKDGDFILTESSAILIYLSCKYQTPDHWYPSDLQARARVHEYLGWHADCIRGTFGIPLWVQVLGPLIGVQVPKEKVERNRTAMDQALQWLEDKFLGDRPFLAGQQVTLADLMALEELMQPVALGYELFEGRPRLAAWRGRVEAFLGAELCQEAHSIILSILEQAAKKTLPTPSPEAYQAMLLRIARIP
+>DECOY_sp|P0CG29|GST2_HUMAN Glutathione S-transferase theta-2 OS=Homo sapiens OX=9606 GN=GSTT2 PE=1 SV=1
+PIRAIRLLMAQYAEPSPTPLTKKAAQELISLIISHAEQCLEAGLFAEVRGRWAALRPRGEFLEYGLAVPQMLEELAMLDALTVQQGALFPRDGLFKDELWQLAQDMATRNREVKEKPVQVGILPGLVQVWLPIGFTGRICDAHWGLYEHVRARAQLDSPYWHDPTQYKCSLYILIASSETLIFDGDKLTPLKGLSNIQLFEKSKHQGKVLDVTRLELPIGNKKAFIYVARSPQSVLDLFLELGM
+>sp|Q9Y2Q3|GSTK1_HUMAN Glutathione S-transferase kappa 1 OS=Homo sapiens OX=9606 GN=GSTK1 PE=1 SV=3
+MGPLPRTVELFYDVLSPYSWLGFEILCRYQNIWNINLQLRPSLITGIMKDSGNKPPGLLPRKGLYMANDLKLLRHHLQIPIHFPKDFLSVMLEKGSLSAMRFLTAVNLEHPEMLEKASRELWMRVWSRNEDITEPQSILAAAEKAGMSAEQAQGLLEKIATPKVKNQLKETTEAACRYGAFGLPITVAHVDGQTHMLFGSDRMELLAHLLGEKWMGPIPPAVNARL
+>DECOY_sp|Q9Y2Q3|GSTK1_HUMAN Glutathione S-transferase kappa 1 OS=Homo sapiens OX=9606 GN=GSTK1 PE=1 SV=3
+LRANVAPPIPGMWKEGLLHALLEMRDSGFLMHTQGDVHAVTIPLGFAGYRCAAETTEKLQNKVKPTAIKELLGQAQEASMGAKEAAALISQPETIDENRSWVRMWLERSAKELMEPHELNVATLFRMASLSGKELMVSLFDKPFHIPIQLHHRLLKLDNAMYLGKRPLLGPPKNGSDKMIGTILSPRLQLNINWINQYRCLIEFGLWSYPSLVDYFLEVTRPLPGM
+>sp|P46439|GSTM5_HUMAN Glutathione S-transferase Mu 5 OS=Homo sapiens OX=9606 GN=GSTM5 PE=1 SV=3
+MPMTLGYWDIRGLAHAIRLLLEYTDSSYVEKKYTLGDAPDYDRSQWLNEKFKLGLDFPNLPYLIDGAHKITQSNAILRYIARKHNLCGETEEEKIRVDILENQVMDNHMELVRLCYDPDFEKLKPKYLEELPEKLKLYSEFLGKRPWFAGDKITFVDFLAYDVLDMKRIFEPKCLDAFLNLKDFISRFEGLKKISAYMKSSQFLRGLLFGKSATWNSK
+>DECOY_sp|P46439|GSTM5_HUMAN Glutathione S-transferase Mu 5 OS=Homo sapiens OX=9606 GN=GSTM5 PE=1 SV=3
+KSNWTASKGFLLGRLFQSSKMYASIKKLGEFRSIFDKLNLFADLCKPEFIRKMDLVDYALFDVFTIKDGAFWPRKGLFESYLKLKEPLEELYKPKLKEFDPDYCLRVLEMHNDMVQNELIDVRIKEEETEGCLNHKRAIYRLIANSQTIKHAGDILYPLNPFDLGLKFKENLWQSRDYDPADGLTYKKEVYSSDTYELLLRIAHALGRIDWYGLTMPM
+>sp|P78417|GSTO1_HUMAN Glutathione S-transferase omega-1 OS=Homo sapiens OX=9606 GN=GSTO1 PE=1 SV=2
+MSGESARSLGKGSAPPGPVPEGSIRIYSMRFCPFAERTRLVLKAKGIRHEVININLKNKPEWFFKKNPFGLVPVLENSQGQLIYESAITCEYLDEAYPGKKLLPDDPYEKACQKMILELFSKVPSLVGSFIRSQNKEDYAGLKEEFRKEFTKLEEVLTNKKTTFFGGNSISMIDYLIWPWFERLEAMKLNECVDHTPKLKLWMAAMKEDPTVSALLTSEKDWQGFLELYLQNSPEACDYGL
+>DECOY_sp|P78417|GSTO1_HUMAN Glutathione S-transferase omega-1 OS=Homo sapiens OX=9606 GN=GSTO1 PE=1 SV=2
+LGYDCAEPSNQLYLELFGQWDKESTLLASVTPDEKMAAMWLKLKPTHDVCENLKMAELREFWPWILYDIMSISNGGFFTTKKNTLVEELKTFEKRFEEKLGAYDEKNQSRIFSGVLSPVKSFLELIMKQCAKEYPDDPLLKKGPYAEDLYECTIASEYILQGQSNELVPVLGFPNKKFFWEPKNKLNINIVEHRIGKAKLVLRTREAFPCFRMSYIRISGEPVPGPPASGKGLSRASEGSM
+>sp|P0CG30|GSTT2_HUMAN Glutathione S-transferase theta-2B OS=Homo sapiens OX=9606 GN=GSTT2B PE=1 SV=1
+MGLELFLDLVSQPSRAVYIFAKKNGIPLELRTVDLVKGQHKSKEFLQINSLGKLPTLKDGDFILTESSAILIYLSCKYQTPDHWYPSDLQARARVHEYLGWHADCIRGTFGIPLWVQVLGPLIGVQVPEEKVERNRTAMDQALQWLEDKFLGDRPFLAGQQVTLADLMALEELMQPVALGYELFEGRPRLAAWRGRVEAFLGAELCQEAHSIILSILEQAAKKTLPTPSPEAYQAMLLRIARIP
+>DECOY_sp|P0CG30|GSTT2_HUMAN Glutathione S-transferase theta-2B OS=Homo sapiens OX=9606 GN=GSTT2B PE=1 SV=1
+PIRAIRLLMAQYAEPSPTPLTKKAAQELISLIISHAEQCLEAGLFAEVRGRWAALRPRGEFLEYGLAVPQMLEELAMLDALTVQQGALFPRDGLFKDELWQLAQDMATRNREVKEEPVQVGILPGLVQVWLPIGFTGRICDAHWGLYEHVRARAQLDSPYWHDPTQYKCSLYILIASSETLIFDGDKLTPLKGLSNIQLFEKSKHQGKVLDVTRLELPIGNKKAFIYVARSPQSVLDLFLELGM
+>sp|Q8N3Z3|GTPB8_HUMAN GTP-binding protein 8 OS=Homo sapiens OX=9606 GN=GTPBP8 PE=2 SV=1
+MAAPGLRLGAGRLFEMPAVLERLSRYNSTSQAFAEVLRLPKQQLRKLLYPLQEVERFLAPYGRQDLHLRIFDPSPEDIARADNIFTATERNRIDYVSSAVRIDHAPDLPRPEVCFIGRSNVGKSSLIKALFSLAPEVEVRVSKKPGHTKKMNFFKVGKHFTVVDMPGYGFRAPEDFVDMVETYLKERRNLKRTFLLVDSVVGIQKTDNIAIEMCEEFALPYVIVLTKIDKSSKGHLLKQVLQIQKFVNMKTQGCFPQLFPVSAVTFSGIHLLRCFIASVTGSLD
+>DECOY_sp|Q8N3Z3|GTPB8_HUMAN GTP-binding protein 8 OS=Homo sapiens OX=9606 GN=GTPBP8 PE=2 SV=1
+DLSGTVSAIFCRLLHIGSFTVASVPFLQPFCGQTKMNVFKQIQLVQKLLHGKSSKDIKTLVIVYPLAFEECMEIAINDTKQIGVVSDVLLFTRKLNRREKLYTEVMDVFDEPARFGYGPMDVVTFHKGVKFFNMKKTHGPKKSVRVEVEPALSFLAKILSSKGVNSRGIFCVEPRPLDPAHDIRVASSVYDIRNRETATFINDARAIDEPSPDFIRLHLDQRGYPALFREVEQLPYLLKRLQQKPLRLVEAFAQSTSNYRSLRELVAPMEFLRGAGLRLGPAAM
+>sp|P11168|GTR2_HUMAN Solute carrier family 2, facilitated glucose transporter member 2 OS=Homo sapiens OX=9606 GN=SLC2A2 PE=1 SV=1
+MTEDKVTGTLVFTVITAVLGSFQFGYDIGVINAPQQVIISHYRHVLGVPLDDRKAINNYVINSTDELPTISYSMNPKPTPWAEEETVAAAQLITMLWSLSVSSFAVGGMTASFFGGWLGDTLGRIKAMLVANILSLVGALLMGFSKLGPSHILIIAGRSISGLYCGLISGLVPMYIGEIAPTALRGALGTFHQLAIVTGILISQIIGLEFILGNYDLWHILLGLSGVRAILQSLLLFFCPESPRYLYIKLDEEVKAKQSLKRLRGYDDVTKDINEMRKEREEASSEQKVSIIQLFTNSSYRQPILVALMLHVAQQFSGINGIFYYSTSIFQTAGISKPVYATIGVGAVNMVFTAVSVFLVEKAGRRSLFLIGMSGMFVCAIFMSVGLVLLNKFSWMSYVSMIAIFLFVSFFEIGPGPIPWFMVAEFFSQGPRPAALAIAAFSNWTCNFIVALCFQYIADFCGPYVFFLFAGVLLAFTLFTFFKVPETKGKSFEEIAAEFQKKSGSAHRPKAAVEMKFLGATETV
+>DECOY_sp|P11168|GTR2_HUMAN Solute carrier family 2, facilitated glucose transporter member 2 OS=Homo sapiens OX=9606 GN=SLC2A2 PE=1 SV=1
+VTETAGLFKMEVAAKPRHASGSKKQFEAAIEEFSKGKTEPVKFFTFLTFALLVGAFLFFVYPGCFDAIYQFCLAVIFNCTWNSFAAIALAAPRPGQSFFEAVMFWPIPGPGIEFFSVFLFIAIMSVYSMWSFKNLLVLGVSMFIACVFMGSMGILFLSRRGAKEVLFVSVATFVMNVAGVGITAYVPKSIGATQFISTSYYFIGNIGSFQQAVHLMLAVLIPQRYSSNTFLQIISVKQESSAEEREKRMENIDKTVDDYGRLRKLSQKAKVEEDLKIYLYRPSEPCFFLLLSQLIARVGSLGLLIHWLDYNGLIFELGIIQSILIGTVIALQHFTGLAGRLATPAIEGIYMPVLGSILGCYLGSISRGAIILIHSPGLKSFGMLLAGVLSLINAVLMAKIRGLTDGLWGGFFSATMGGVAFSSVSLSWLMTILQAAAVTEEEAWPTPKPNMSYSITPLEDTSNIVYNNIAKRDDLPVGLVHRYHSIIVQQPANIVGIDYGFQFSGLVATIVTFVLTGTVKDETM
+>sp|Q9UGQ3|GTR6_HUMAN Solute carrier family 2, facilitated glucose transporter member 6 OS=Homo sapiens OX=9606 GN=SLC2A6 PE=1 SV=2
+MQEPLLGAEGPDYDTFPEKPPPSPGDRARVGTLQNKRVFLATFAAVLGNFSFGYALVYTSPVIPALERSLDPDLHLTKSQASWFGSVFTLGAAAGGLSAMILNDLLGRKLSIMFSAVPSAAGYALMAGAHGLWMLLLGRTLTGFAGGLTAACIPVYVSEIAPPGVRGALGATPQLMAVFGSLSLYALGLLLPWRWLAVAGEAPVLIMILLLSFMPNSPRFLLSRGRDEEALRALAWLRGTDVDVHWEFEQIQDNVRRQSSRVSWAEARAPHVCRPITVALLMRLLQQLTGITPILVYLQSIFDSTAVLLPPKDDAAIVGAVRLLSVLIAALTMDLAGRKVLLFVSAAIMFAANLTLGLYIHFGPRPLSPNSTAGLESESWGDLAQPLAAPAGYLTLVPLLATMLFIMGYAVGWGPITWLLMSEVLPLRARGVASGLCVLASWLTAFVLTKSFLPVVSTFGLQVPFFFFAAICLVSLVFTGCCVPETKGRSLEQIESFFRTGRRSFLR
+>DECOY_sp|Q9UGQ3|GTR6_HUMAN Solute carrier family 2, facilitated glucose transporter member 6 OS=Homo sapiens OX=9606 GN=SLC2A6 PE=1 SV=2
+RLFSRRGTRFFSEIQELSRGKTEPVCCGTFVLSVLCIAAFFFFPVQLGFTSVVPLFSKTLVFATLWSALVCLGSAVGRARLPLVESMLLWTIPGWGVAYGMIFLMTALLPVLTLYGAPAALPQALDGWSESELGATSNPSLPRPGFHIYLGLTLNAAFMIAASVFLLVKRGALDMTLAAILVSLLRVAGVIAADDKPPLLVATSDFISQLYVLIPTIGTLQQLLRMLLAVTIPRCVHPARAEAWSVRSSQRRVNDQIQEFEWHVDVDTGRLWALARLAEEDRGRSLLFRPSNPMFSLLLIMILVPAEGAVALWRWPLLLGLAYLSLSGFVAMLQPTAGLAGRVGPPAIESVYVPICAATLGGAFGTLTRGLLLMWLGHAGAMLAYGAASPVASFMISLKRGLLDNLIMASLGGAAAGLTFVSGFWSAQSKTLHLDPDLSRELAPIVPSTYVLAYGFSFNGLVAAFTALFVRKNQLTGVRARDGPSPPPKEPFTDYDPGEAGLLPEQM
+>sp|Q8WW33|GTSF1_HUMAN Gametocyte-specific factor 1 OS=Homo sapiens OX=9606 GN=GTSF1 PE=1 SV=2
+MEETYTDSLDPEKLLQCPYDKNHQIRACRFPYHLIKCRKNHPDVASKLATCPFNARHQVPRAEISHHISSCDDRSCIEQDVVNQTRSLRQETLAESTWQCPPCDEDWDKDLWEQTSTPFVWGTTHYSDNNSPASNIVTEHKNNLASGMRVPKSLPYVLPWKNNGNAQ
+>DECOY_sp|Q8WW33|GTSF1_HUMAN Gametocyte-specific factor 1 OS=Homo sapiens OX=9606 GN=GTSF1 PE=1 SV=2
+QANGNNKWPLVYPLSKPVRMGSALNNKHETVINSAPSNNDSYHTTGWVFPTSTQEWLDKDWDEDCPPCQWTSEALTEQRLSRTQNVVDQEICSRDDCSSIHHSIEARPVQHRANFPCTALKSAVDPHNKRCKILHYPFRCARIQHNKDYPCQLLKEPDLSDTYTEEM
+>sp|P10412|H14_HUMAN Histone H1.4 OS=Homo sapiens OX=9606 GN=HIST1H1E PE=1 SV=2
+MSETAPAAPAAPAPAEKTPVKKKARKSAGAAKRKASGPPVSELITKAVAASKERSGVSLAALKKALAAAGYDVEKNNSRIKLGLKSLVSKGTLVQTKGTGASGSFKLNKKAASGEAKPKAKKAGAAKAKKPAGAAKKPKKATGAATPKKSAKKTPKKAKKPAAAAGAKKAKSPKKAKAAKPKKAPKSPAKAKAVKPKAAKPKTAKPKAAKPKKAAAKKK
+>DECOY_sp|P10412|H14_HUMAN Histone H1.4 OS=Homo sapiens OX=9606 GN=HIST1H1E PE=1 SV=2
+KKKAAAKKPKAAKPKATKPKAAKPKVAKAKAPSKPAKKPKAAKAKKPSKAKKAGAAAAPKKAKKPTKKASKKPTAAGTAKKPKKAAGAPKKAKAAGAKKAKPKAEGSAAKKNLKFSGSAGTGKTQVLTGKSVLSKLGLKIRSNNKEVDYGAAALAKKLAALSVGSREKSAAVAKTILESVPPGSAKRKAAGASKRAKKKVPTKEAPAPAAPAAPATESM
+>sp|P16401|H15_HUMAN Histone H1.5 OS=Homo sapiens OX=9606 GN=HIST1H1B PE=1 SV=3
+MSETAPAETATPAPVEKSPAKKKATKKAAGAGAAKRKATGPPVSELITKAVAASKERNGLSLAALKKALAAGGYDVEKNNSRIKLGLKSLVSKGTLVQTKGTGASGSFKLNKKAASGEAKPKAKKAGAAKAKKPAGATPKKAKKAAGAKKAVKKTPKKAKKPAAAGVKKVAKSPKKAKAAAKPKKATKSPAKPKAVKPKAAKPKAAKPKAAKPKAAKAKKAAAKKK
+>DECOY_sp|P16401|H15_HUMAN Histone H1.5 OS=Homo sapiens OX=9606 GN=HIST1H1B PE=1 SV=3
+KKKAAAKKAKAAKPKAAKPKAAKPKAAKPKVAKPKAPSKTAKKPKAAAKAKKPSKAVKKVGAAAPKKAKKPTKKVAKKAGAAKKAKKPTAGAPKKAKAAGAKKAKPKAEGSAAKKNLKFSGSAGTGKTQVLTGKSVLSKLGLKIRSNNKEVDYGGAALAKKLAALSLGNREKSAAVAKTILESVPPGTAKRKAAGAGAAKKTAKKKAPSKEVPAPTATEAPATESM
+>sp|O14756|H17B6_HUMAN 17-beta-hydroxysteroid dehydrogenase type 6 OS=Homo sapiens OX=9606 GN=HSD17B6 PE=1 SV=1
+MWLYLAAFVGLYYLLHWYRERQVVSHLQDKYVFITGCDSGFGNLLARQLDARGLRVLAACLTEKGAEQLRGQTSDRLETVTLDVTKMESIAAATQWVKEHVGDRGLWGLVNNAGILTPITLCEWLNTEDSMNMLKVNLIGVIQVTLSMLPLVRRARGRIVNVSSILGRVAFFVGGYCVSKYGVEAFSDILRREIQHFGVKISIVEPGYFRTGMTNMTQSLERMKQSWKEAPKHIKETYGQQYFDALYNIMKEGLLNCSTNLNLVTDCMEHALTSVHPRTRYSAGWDAKFFFIPLSYLPTSLADYILTRSWPKPAQAV
+>DECOY_sp|O14756|H17B6_HUMAN 17-beta-hydroxysteroid dehydrogenase type 6 OS=Homo sapiens OX=9606 GN=HSD17B6 PE=1 SV=1
+VAQAPKPWSRTLIYDALSTPLYSLPIFFFKADWGASYRTRPHVSTLAHEMCDTVLNLNTSCNLLGEKMINYLADFYQQGYTEKIHKPAEKWSQKMRELSQTMNTMGTRFYGPEVISIKVGFHQIERRLIDSFAEVGYKSVCYGGVFFAVRGLISSVNVIRGRARRVLPLMSLTVQIVGILNVKLMNMSDETNLWECLTIPTLIGANNVLGWLGRDGVHEKVWQTAAAISEMKTVDLTVTELRDSTQGRLQEAGKETLCAALVRLGRADLQRALLNGFGSDCGTIFVYKDQLHSVVQRERYWHLLYYLGVFAALYLWM
+>sp|Q53T59|H1BP3_HUMAN HCLS1-binding protein 3 OS=Homo sapiens OX=9606 GN=HS1BP3 PE=1 SV=1
+MQSPAVLVTSRRLQNAHTGLDLTVPQHQEVRGKMMSGHVEYQILVVTRLAAFKSAKHRPEDVVQFLVSKKYSEIEEFYQKLSSRYAAASLPPLPRKVLFVGESDIRERRAVFNEILRCVSKDAELAGSPELLEFLGTRSPGAAGLTSRDSSVLDGTDSQTGNDEEAFDFFEEQDQVAEEGPPVQSLKGEDAEESLEEEEALDPLGIMRSKKPKKHPKVAVKAKPSPRLTIFDEEVDPDEGLFGPGRKLSPQDPSEDVSSVDPLKLFDDPDLGGAIPLGDSLLLPAACESGGPTPSLSHRDASKELFRVEEDLDQILNLGAEPKPKPQLKPKPPVAAKPVIPRKPAVPPKAGPAEAVAGQQKPQEQIQAMDEMDILQYIQDHDTPAQAAPSLF
+>DECOY_sp|Q53T59|H1BP3_HUMAN HCLS1-binding protein 3 OS=Homo sapiens OX=9606 GN=HS1BP3 PE=1 SV=1
+FLSPAAQAPTDHDQIYQLIDMEDMAQIQEQPKQQGAVAEAPGAKPPVAPKRPIVPKAAVPPKPKLQPKPKPEAGLNLIQDLDEEVRFLEKSADRHSLSPTPGGSECAAPLLLSDGLPIAGGLDPDDFLKLPDVSSVDESPDQPSLKRGPGFLGEDPDVEEDFITLRPSPKAKVAVKPHKKPKKSRMIGLPDLAEEEELSEEADEGKLSQVPPGEEAVQDQEEFFDFAEEDNGTQSDTGDLVSSDRSTLGAAGPSRTGLFELLEPSGALEADKSVCRLIENFVARRERIDSEGVFLVKRPLPPLSAAAYRSSLKQYFEEIESYKKSVLFQVVDEPRHKASKFAALRTVVLIQYEVHGSMMKGRVEQHQPVTLDLGTHANQLRRSTVLVAPSQM
+>sp|P22492|H1T_HUMAN Histone H1t OS=Homo sapiens OX=9606 GN=HIST1H1T PE=2 SV=4
+MSETVPAASASAGVAAMEKLPTKKRGRKPAGLISASRKVPNLSVSKLITEALSVSQERVGMSLVALKKALAAAGYDVEKNNSRIKLSLKSLVNKGILVQTRGTGASGSFKLSKKVIPKSTRSKAKKSVSAKTKKLVLSRDSKSPKTAKTNKRAKKPRATTPKTVRSGRKAKGAKGKQQQKSPVKARASKSKLTQHHEVNVRKATSKK
+>DECOY_sp|P22492|H1T_HUMAN Histone H1t OS=Homo sapiens OX=9606 GN=HIST1H1T PE=2 SV=4
+KKSTAKRVNVEHHQTLKSKSARAKVPSKQQQKGKAGKAKRGSRVTKPTTARPKKARKNTKATKPSKSDRSLVLKKTKASVSKKAKSRTSKPIVKKSLKFSGSAGTGRTQVLIGKNVLSKLSLKIRSNNKEVDYGAAALAKKLAVLSMGVREQSVSLAETILKSVSLNPVKRSASILGAPKRGRKKTPLKEMAAVGASASAAPVTESM
+>sp|P04908|H2A1B_HUMAN Histone H2A type 1-B/E OS=Homo sapiens OX=9606 GN=HIST1H2AB PE=1 SV=2
+MSGRGKQGGKARAKAKTRSSRAGLQFPVGRVHRLLRKGNYSERVGAGAPVYLAAVLEYLTAEILELAGNAARDNKKTRIIPRHLQLAIRNDEELNKLLGRVTIAQGGVLPNIQAVLLPKKTESHHKAKGK
+>DECOY_sp|P04908|H2A1B_HUMAN Histone H2A type 1-B/E OS=Homo sapiens OX=9606 GN=HIST1H2AB PE=1 SV=2
+KGKAKHHSETKKPLLVAQINPLVGGQAITVRGLLKNLEEDNRIALQLHRPIIRTKKNDRAANGALELIEATLYELVAALYVPAGAGVRESYNGKRLLRHVRGVPFQLGARSSRTKAKARAKGGQKGRGSM
+>sp|P62805|H4_HUMAN Histone H4 OS=Homo sapiens OX=9606 GN=HIST1H4A PE=1 SV=2
+MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKVFLENVIRDAVTYTEHAKRKTVTAMDVVYALKRQGRTLYGFGG
+>DECOY_sp|P62805|H4_HUMAN Histone H4 OS=Homo sapiens OX=9606 GN=HIST1H4A PE=1 SV=2
+GGFGYLTRGQRKLAYVVDMATVTKRKAHETYTVADRIVNELFVKLVGRTEEYILGSIRKVGGRRALRRIAPKTIGQINDRLVKRHRKAGGKGLGKGGKGRGSM
+>sp|Q96MM7|H6ST2_HUMAN Heparan-sulfate 6-O-sulfotransferase 2 OS=Homo sapiens OX=9606 GN=HS6ST2 PE=2 SV=2
+MALPACAVREFEPPRQPERGAPVRTTCPRRHSRVEAELAASRPGSVAASVRAGPPRGVSHGFHTRPLLDKPRKASSSLAGAACAPLFALLSRGRRRRMHVLRRRWDLGSLCRALLTRGLAALGHSLKHVLGAIFSKIFGPMASVGNMDEKSNKLLLALVMLFLFAVIVLQYVCPGTECQLLRLQAFSSPVPDPYRSEDESSARFVPRYNFTRGDLLRKVDFDIKGDDLIVFLHIQKTGGTTFGRHLVRNIQLEQPCECRVGQKKCTCHRPGKRETWLFSRFSTGWSCGLHADWTELTSCVPSVVDGKRDARLRPSRNFHYITILRDPVSRYLSEWRHVQRGATWKASLHVCDGRPPTSEELPSCYTGDDWSGCPLKEFMDCPYNLANNRQVRMLSDLTLVGCYNLSVMPEKQRNKVLLESAKSNLKHMAFFGLTEFQRKTQYLFEKTFNMNFISPFTQYNTTRASSVEINEEIQKRIEGLNFLDMELYSYAKDLFLQRYQFMRQKEHQEARRKRQEQRKFLKGRLLQTHFQSQGQGQSQNPNQNQSQNPNPNANQNLTQNLMQNLTQSLSQKENRESPKQNSGKEQNDNTSNGTNDYIGSVEKWR
+>DECOY_sp|Q96MM7|H6ST2_HUMAN Heparan-sulfate 6-O-sulfotransferase 2 OS=Homo sapiens OX=9606 GN=HS6ST2 PE=2 SV=2
+RWKEVSGIYDNTGNSTNDNQEKGSNQKPSERNEKQSLSQTLNQMLNQTLNQNANPNPNQSQNQNPNQSQGQGQSQFHTQLLRGKLFKRQEQRKRRAEQHEKQRMFQYRQLFLDKAYSYLEMDLFNLGEIRKQIEENIEVSSARTTNYQTFPSIFNMNFTKEFLYQTKRQFETLGFFAMHKLNSKASELLVKNRQKEPMVSLNYCGVLTLDSLMRVQRNNALNYPCDMFEKLPCGSWDDGTYCSPLEESTPPRGDCVHLSAKWTAGRQVHRWESLYRSVPDRLITIYHFNRSPRLRADRKGDVVSPVCSTLETWDAHLGCSWGTSFRSFLWTERKGPRHCTCKKQGVRCECPQELQINRVLHRGFTTGGTKQIHLFVILDDGKIDFDVKRLLDGRTFNYRPVFRASSEDESRYPDPVPSSFAQLRLLQCETGPCVYQLVIVAFLFLMVLALLLKNSKEDMNGVSAMPGFIKSFIAGLVHKLSHGLAALGRTLLARCLSGLDWRRRLVHMRRRRGRSLLAFLPACAAGALSSSAKRPKDLLPRTHFGHSVGRPPGARVSAAVSGPRSAALEAEVRSHRRPCTTRVPAGREPQRPPEFERVACAPLAM
+>sp|Q58FF6|H90B4_HUMAN Putative heat shock protein HSP 90-beta 4 OS=Homo sapiens OX=9606 GN=HSP90AB4P PE=5 SV=1
+MSLIINTFYSNKEIFLQELISNASDALDKIRYESLTDPSKLDGGKELKIDIIPNPRECILTLVNTGIGMTKADLINNLGAIAKSGTEAFMEAFQSCAEISMIGQFGVGFYSAYLVAEKVAITKHNDEEQYSWVSSAGSSFTLHVDHGEPIDRDTKVILHLKEDQTEYLEERWVKEVVKKHPQFIGCLIAVYLEKEPEKEISDDEEEKGEKEEEDKDDKEKPKTEDVGSDEEDDTDKNNKKKTKKIKEKYTDREELNQTKPIWTRNPDDITQEECGEFYKSLTSAWEDHLAVKQFPVEEQEENEQLCVHHVWIMDSFDDLMPEYVGFVREDKENNKKLDEVFSKISWLGIHEDSINWRHLSELLWSHTFQSGDEMTSLSEYVSCMKEAQKSICDIIGECKEQVANSAFVEQEWKKGFEVIYMSEPIDEYCVQQLKEFDGKSLLSVTKEGLELPEDEEEKKIMEESNVKFENLCRLMKEILDKKVERVTISSRLVSSPCRIVTSTYS
+>DECOY_sp|Q58FF6|H90B4_HUMAN Putative heat shock protein HSP 90-beta 4 OS=Homo sapiens OX=9606 GN=HSP90AB4P PE=5 SV=1
+SYTSTVIRCPSSVLRSSITVREVKKDLIEKMLRCLNEFKVNSEEMIKKEEEDEPLELGEKTVSLLSKGDFEKLQQVCYEDIPESMYIVEFGKKWEQEVFASNAVQEKCEGIIDCISKQAEKMCSVYESLSTMEDGSQFTHSWLLESLHRWNISDEHIGLWSIKSFVEDLKKNNEKDERVFGVYEPMLDDFSDMIWVHHVCLQENEEQEEVPFQKVALHDEWASTLSKYFEGCEEQTIDDPNRTWIPKTQNLEERDTYKEKIKKTKKKNNKDTDDEEDSGVDETKPKEKDDKDEEEKEGKEEEDDSIEKEPEKELYVAILCGIFQPHKKVVEKVWREELYETQDEKLHLIVKTDRDIPEGHDVHLTFSSGASSVWSYQEEDNHKTIAVKEAVLYASYFGVGFQGIMSIEACSQFAEMFAETGSKAIAGLNNILDAKTMGIGTNVLTLICERPNPIIDIKLEKGGDLKSPDTLSEYRIKDLADSANSILEQLFIEKNSYFTNIILSM
+>sp|Q14520|HABP2_HUMAN Hyaluronan-binding protein 2 OS=Homo sapiens OX=9606 GN=HABP2 PE=1 SV=1
+MFARMSDLHVLLLMALVGKTACGFSLMSLLESLDPDWTPDQYDYSYEDYNQEENTSSTLTHAENPDWYYTEDQADPCQPNPCEHGGDCLVHGSTFTCSCLAPFSGNKCQKVQNTCKDNPCGRGQCLITQSPPYYRCVCKHPYTGPSCSQVVPVCRPNPCQNGATCSRHKRRSKFTCACPDQFKGKFCEIGSDDCYVGDGYSYRGKMNRTVNQHACLYWNSHLLLQENYNMFMEDAETHGIGEHNFCRNPDADEKPWCFIKVTNDKVKWEYCDVSACSAQDVAYPEESPTEPSTKLPGFDSCGKTEIAERKIKRIYGGFKSTAGKHPWQASLQSSLPLTISMPQGHFCGGALIHPCWVLTAAHCTDIKTRHLKVVLGDQDLKKEEFHEQSFRVEKIFKYSHYNERDEIPHNDIALLKLKPVDGHCALESKYVKTVCLPDGSFPSGSECHISGWGVTETGKGSRQLLDAKVKLIANTLCNSRQLYDHMIDDSMICAGNLQKPGQDTCQGDSGGPLTCEKDGTYYVYGIVSWGLECGKRPGVYTQVTKFLNWIKATIKSESGF
+>DECOY_sp|Q14520|HABP2_HUMAN Hyaluronan-binding protein 2 OS=Homo sapiens OX=9606 GN=HABP2 PE=1 SV=1
+FGSESKITAKIWNLFKTVQTYVGPRKGCELGWSVIGYVYYTGDKECTLPGGSDGQCTDQGPKQLNGACIMSDDIMHDYLQRSNCLTNAILKVKADLLQRSGKGTETVGWGSIHCESGSPFSGDPLCVTKVYKSELACHGDVPKLKLLAIDNHPIEDRENYHSYKFIKEVRFSQEHFEEKKLDQDGLVVKLHRTKIDTCHAATLVWCPHILAGGCFHGQPMSITLPLSSQLSAQWPHKGATSKFGGYIRKIKREAIETKGCSDFGPLKTSPETPSEEPYAVDQASCASVDCYEWKVKDNTVKIFCWPKEDADPNRCFNHEGIGHTEADEMFMNYNEQLLLHSNWYLCAHQNVTRNMKGRYSYGDGVYCDDSGIECFKGKFQDPCACTFKSRRKHRSCTAGNQCPNPRCVPVVQSCSPGTYPHKCVCRYYPPSQTILCQGRGCPNDKCTNQVKQCKNGSFPALCSCTFTSGHVLCDGGHECPNPQCPDAQDETYYWDPNEAHTLTSSTNEEQNYDEYSYDYQDPTWDPDLSELLSMLSFGCATKGVLAMLLLVHLDSMRAFM
+>sp|Q8IYU2|HACE1_HUMAN E3 ubiquitin-protein ligase HACE1 OS=Homo sapiens OX=9606 GN=HACE1 PE=1 SV=2
+MERAMEQLNRLTRSLRRARTVELPEDNETAVYTLMPMVMADQHRSVSELLSNSKFDVNYAFGRVKRSLLHIAANCGSVECLVLLLKKGANPNYQDISGCTPLHLAARNGQKKCMSKLLEYSADVNICNNEGLTAIHWLAVNGRTELLHDLVQHVSDVDVEDAMGQTALHVACQNGHKTTVQCLLDSGADINRPNVSGATPLYFACSHGQRDTAQILLLRGAKYLPDKNGVTPLDLCVQGGYGETCEVLIQYHPRLFQTIIQMTQNEDLRENMLRQVLEHLSQQSESQYLKILTSLAEVATTNGHKLLSLSSNYDAQMKSLLRIVRMFCHVFRIGPSSPSNGIDMGYNGNKTPRSQVFKPLELLWHSLDEWLVLIATELMKNKRDSTEITSILLKQKGQDQDAASIPPFEPPGPGSYENLSTGTRESKPDALAGRQEASADCQDVISMTANRLSAVIQAFYMCCSCQMPPGMTSPRFIEFVCKHDEVLKCFVNRNPKIIFDHFHFLLECPELMSRFMHIIKAQPFKDRCEWFYEHLHSGQPDSDMVHRPVNENDILLVHRDSIFRSSCEVVSKANCAKLKQGIAVRFHGEEGMGQGVVREWFDILSNEIVNPDYALFTQSADGTTFQPNSNSYVNPDHLNYFRFAGQILGLALNHRQLVNIYFTRSFYKHILGIPVNYQDVASIDPEYAKNLQWILDNDISDLGLELTFSVETDVFGAMEEVPLKPGGGSILVTQNNKAEYVQLVTELRMTRAIQPQINAFLQGFHMFIPPSLIQLFDEYELELLLSGMPEIDVSDWIKNTEYTSGYEREDPVIQWFWEVVEDITQEERVLLLQFVTGSSRVPHGGFANIMGGSGLQNFTIAAVPYTPNLLPTSSTCINMLKLPEYPSKEILKDRLLVALHCGSYGYTMA
+>DECOY_sp|Q8IYU2|HACE1_HUMAN E3 ubiquitin-protein ligase HACE1 OS=Homo sapiens OX=9606 GN=HACE1 PE=1 SV=2
+AMTYGYSGCHLAVLLRDKLIEKSPYEPLKLMNICTSSTPLLNPTYPVAAITFNQLGSGGMINAFGGHPVRSSGTVFQLLLVREEQTIDEVVEWFWQIVPDEREYGSTYETNKIWDSVDIEPMGSLLLELEYEDFLQILSPPIFMHFGQLFANIQPQIARTMRLETVLQVYEAKNNQTVLISGGGPKLPVEEMAGFVDTEVSFTLELGLDSIDNDLIWQLNKAYEPDISAVDQYNVPIGLIHKYFSRTFYINVLQRHNLALGLIQGAFRFYNLHDPNVYSNSNPQFTTGDASQTFLAYDPNVIENSLIDFWERVVGQGMGEEGHFRVAIGQKLKACNAKSVVECSSRFISDRHVLLIDNENVPRHVMDSDPQGSHLHEYFWECRDKFPQAKIIHMFRSMLEPCELLFHFHDFIIKPNRNVFCKLVEDHKCVFEIFRPSTMGPPMQCSCCMYFAQIVASLRNATMSIVDQCDASAEQRGALADPKSERTGTSLNEYSGPGPPEFPPISAADQDQGKQKLLISTIETSDRKNKMLETAILVLWEDLSHWLLELPKFVQSRPTKNGNYGMDIGNSPSSPGIRFVHCFMRVIRLLSKMQADYNSSLSLLKHGNTTAVEALSTLIKLYQSESQQSLHELVQRLMNERLDENQTMQIITQFLRPHYQILVECTEGYGGQVCLDLPTVGNKDPLYKAGRLLLIQATDRQGHSCAFYLPTAGSVNPRNIDAGSDLLCQVTTKHGNQCAVHLATQGMADEVDVDSVHQVLDHLLETRGNVALWHIATLGENNCINVDASYELLKSMCKKQGNRAALHLPTCGSIDQYNPNAGKKLLLVLCEVSGCNAAIHLLSRKVRGFAYNVDFKSNSLLESVSRHQDAMVMPMLTYVATENDEPLEVTRARRLSRTLRNLQEMAREM
+>sp|Q75N03|HAKAI_HUMAN E3 ubiquitin-protein ligase Hakai OS=Homo sapiens OX=9606 GN=CBLL1 PE=1 SV=1
+MDHTDNELQGTNSSGSLGGLDVRRRIPIKLISKQANKAKPAPRTQRTINRMPAKAPPGDEEGFDYNEEERYDCKGGELFANQRRFPGHLFWDFQINILGEKDDTPVHFCDKCGLPIKIYGRMIPCKHVFCYDCAILHEKKGDKMCPGCSDPVQRIEQCTRGSLFMCSIVQGCKRTYLSQRDLQAHINHRHMRAGKPVTRASLENVHPPIAPPPTEIPERFIMPPDKHHMSHIPPKQHIMMPPPPLQHVPHEHYNQPHEDIRAPPAELSMAPPPPRSVSQETFRISTRKHSNLITVPIQDDSNSGAREPPPPAPAPAHHHPEYQGQPVVSHPHHIMPPQQHYAPPPPPPPPISHPMPHPPQAAGTPHLVYSQAPPPPMTSAPPPITPPPGHIIAQMPPYMNHPPPGPPPPQHGGPPVTAPPPHHYNPNSLPQFTEDQGTLSPPFTQPGGMSPGIWPAPRGPPPPPRLQGPPSQTPLPGPHHPDQTRYRPYYQ
+>DECOY_sp|Q75N03|HAKAI_HUMAN E3 ubiquitin-protein ligase Hakai OS=Homo sapiens OX=9606 GN=CBLL1 PE=1 SV=1
+QYYPRYRTQDPHHPGPLPTQSPPGQLRPPPPPGRPAPWIGPSMGGPQTFPPSLTGQDETFQPLSNPNYHHPPPATVPPGGHQPPPPGPPPHNMYPPMQAIIHGPPPTIPPPASTMPPPPAQSYVLHPTGAAQPPHPMPHSIPPPPPPPPAYHQQPPMIHHPHSVVPQGQYEPHHHAPAPAPPPPERAGSNSDDQIPVTILNSHKRTSIRFTEQSVSRPPPPAMSLEAPPARIDEHPQNYHEHPVHQLPPPPMMIHQKPPIHSMHHKDPPMIFREPIETPPPAIPPHVNELSARTVPKGARMHRHNIHAQLDRQSLYTRKCGQVISCMFLSGRTCQEIRQVPDSCGPCMKDGKKEHLIACDYCFVHKCPIMRGYIKIPLGCKDCFHVPTDDKEGLINIQFDWFLHGPFRRQNAFLEGGKCDYREEENYDFGEEDGPPAKAPMRNITRQTRPAPKAKNAQKSILKIPIRRRVDLGGLSGSSNTGQLENDTHDM
+>sp|Q9NYQ3|HAOX2_HUMAN Hydroxyacid oxidase 2 OS=Homo sapiens OX=9606 GN=HAO2 PE=1 SV=1
+MSLVCLTDFQAHAREQLSKSTRDFIEGGADDSITRDDNIAAFKRIRLRPRYLRDVSEVDTRTTIQGEEISAPICIAPTGFHCLVWPDGEMSTARAAQAAGICYITSTFASCSLEDIVIAAPEGLRWFQLYVHPDLQLNKQLIQRVESLGFKALVITLDTPVCGNRRHDIRNQLRRNLTLTDLQSPKKGNAIPYFQMTPISTSLCWNDLSWFQSITRLPIILKGILTKEDAELAVKHNVQGIIVSNHGGRQLDEVLASIDALTEVVAAVKGKIEVYLDGGVRTGNDVLKALALGAKCIFLGRPILWGLACKGEHGVKEVLNILTNEFHTSMALTGCRSVAEINRNLVQFSRL
+>DECOY_sp|Q9NYQ3|HAOX2_HUMAN Hydroxyacid oxidase 2 OS=Homo sapiens OX=9606 GN=HAO2 PE=1 SV=1
+LRSFQVLNRNIEAVSRCGTLAMSTHFENTLINLVEKVGHEGKCALGWLIPRGLFICKAGLALAKLVDNGTRVGGDLYVEIKGKVAAVVETLADISALVEDLQRGGHNSVIIGQVNHKVALEADEKTLIGKLIIPLRTISQFWSLDNWCLSTSIPTMQFYPIANGKKPSQLDTLTLNRRLQNRIDHRRNGCVPTDLTIVLAKFGLSEVRQILQKNLQLDPHVYLQFWRLGEPAAIVIDELSCSAFTSTIYCIGAAQAARATSMEGDPWVLCHFGTPAICIPASIEEGQITTRTDVESVDRLYRPRLRIRKFAAINDDRTISDDAGGEIFDRTSKSLQERAHAQFDTLCVLSM
+>sp|Q8TF76|HASP_HUMAN Serine/threonine-protein kinase haspin OS=Homo sapiens OX=9606 GN=HASPIN PE=1 SV=3
+MAASLPGPGSRLFRTYGAADGRRQRRPGREAAQWFPPQDRRRFFNSSGSSDASIGDPSQSDDPDDPDDPDFPGSPVRRRRRRPGGRVPKDRPSLTVTPKRWKLRARPSLTVTPRRLGLRARPPQKCSTPCGPLRLPPFPSRDSGRLSPDLSVCGQPRDGDELGISASLFSSLASPCPGSPTPRDSVISIGTSACLVAASAVPSGLHLPEVSLDRASLPCSQEEATGGAKDTRMVHQTRASLRSVLFGLMNSGTPEDSEFRADGKNMRESCCKRKLVVGNGPEGPGLSSTGKRRATGQDSCQERGLQEAVRREHQEASVPKGRIVPRGIDRLERTRSSRKSKHQEATETSLLHSHRFKKGQKLGKDSFPTQDLTPLQNVCFWTKTRASFSFHKKKIVTDVSEVCSIYTTATSLSGSLLSECSNRPVMNRTSGAPSSWHSSSMYLLSPLNTLSISNKKASDAEKVYGECSQKGPVPFSHCLPTEKLQRCEKIGEGVFGEVFQTIADHTPVAIKIIAIEGPDLVNGSHQKTFEEILPEIIISKELSLLSGEVCNRTEGFIGLNSVHCVQGSYPPLLLKAWDHYNSTKGSANDRPDFFKDDQLFIVLEFEFGGIDLEQMRTKLSSLATAKSILHQLTASLAVAEASLRFEHRDLHWGNVLLKKTSLKKLHYTLNGKSSTIPSCGLQVSIIDYTLSRLERDGIVVFCDVSMDEDLFTGDGDYQFDIYRLMKKENNNRWGEYHPYSNVLWLHYLTDKMLKQMTFKTKCNTPAMKQIKRKIQEFHRTMLNFSSATDLLCQHSLFK
+>DECOY_sp|Q8TF76|HASP_HUMAN Serine/threonine-protein kinase haspin OS=Homo sapiens OX=9606 GN=HASPIN PE=1 SV=3
+KFLSHQCLLDTASSFNLMTRHFEQIKRKIQKMAPTNCKTKFTMQKLMKDTLYHLWLVNSYPHYEGWRNNNEKKMLRYIDFQYDGDGTFLDEDMSVDCFVVIGDRELRSLTYDIISVQLGCSPITSSKGNLTYHLKKLSTKKLLVNGWHLDRHEFRLSAEAVALSATLQHLISKATALSSLKTRMQELDIGGFEFELVIFLQDDKFFDPRDNASGKTSNYHDWAKLLLPPYSGQVCHVSNLGIFGETRNCVEGSLLSLEKSIIIEPLIEEFTKQHSGNVLDPGEIAIIKIAVPTHDAITQFVEGFVGEGIKECRQLKETPLCHSFPVPGKQSCEGYVKEADSAKKNSISLTNLPSLLYMSSSHWSSPAGSTRNMVPRNSCESLLSGSLSTATTYISCVESVDTVIKKKHFSFSARTKTWFCVNQLPTLDQTPFSDKGLKQGKKFRHSHLLSTETAEQHKSKRSSRTRELRDIGRPVIRGKPVSAEQHERRVAEQLGREQCSDQGTARRKGTSSLGPGEPGNGVVLKRKCCSERMNKGDARFESDEPTGSNMLGFLVSRLSARTQHVMRTDKAGGTAEEQSCPLSARDLSVEPLHLGSPVASAAVLCASTGISIVSDRPTPSGPCPSALSSFLSASIGLEDGDRPQGCVSLDPSLRGSDRSPFPPLRLPGCPTSCKQPPRARLGLRRPTVTLSPRARLKWRKPTVTLSPRDKPVRGGPRRRRRRVPSGPFDPDDPDDPDDSQSPDGISADSSGSSNFFRRRDQPPFWQAAERGPRRQRRGDAAGYTRFLRSGPGPLSAAM
+>sp|Q68CZ6|HAUS3_HUMAN HAUS augmin-like complex subunit 3 OS=Homo sapiens OX=9606 GN=HAUS3 PE=1 SV=1
+MSCGNEFVETLKKIGYPKADNLNGEDFDWLFEGVEDESFLKWFCGNVNEQNVLSERELEAFSILQKSGKPILEGAALDEALKTCKTSDLKTPRLDDKELEKLEDEVQTLLKLKNLKIQRRNKCQLMASVTSHKSLRLNAKEEEATKKLKQSQGILNAMITKISNELQALTDEVTQLMMFFRHSNLGQGTNPLVFLSQFSLEKYLSQEEQSTAALTLYTKKQFFQGIHEVVESSNEDNFQLLDIQTPSICDNQEILEERRLEMARLQLAYICAQHQLIHLKASNSSMKSSIKWAEESLHSLTSKAVDKENLDAKISSLTSEIMKLEKEVTQIKDRSLPAVVRENAQLLNMPVVKGDFDLQIAKQDYYTARQELVLNQLIKQKASFELLQLSYEIELRKHRDIYRQLENLVQELSQSNMMLYKQLEMLTDPSVSQQINPRNTIDTKDYSTHRLYQVLEGENKKKELFLTHGNLEEVAEKLKQNISLVQDQLAVSAQEHSFFLSKRNKDVDMLCDTLYQGGNQLLLSDQELTEQFHKVESQLNKLNHLLTDILADVKTKRKTLANNKLHQMEREFYVYFLKDEDYLKDIVENLETQSKIKAVSLED
+>DECOY_sp|Q68CZ6|HAUS3_HUMAN HAUS augmin-like complex subunit 3 OS=Homo sapiens OX=9606 GN=HAUS3 PE=1 SV=1
+DELSVAKIKSQTELNEVIDKLYDEDKLFYVYFEREMQHLKNNALTKRKTKVDALIDTLLHNLKNLQSEVKHFQETLEQDSLLLQNGGQYLTDCLMDVDKNRKSLFFSHEQASVALQDQVLSINQKLKEAVEELNGHTLFLEKKKNEGELVQYLRHTSYDKTDITNRPNIQQSVSPDTLMELQKYLMMNSQSLEQVLNELQRYIDRHKRLEIEYSLQLLEFSAKQKILQNLVLEQRATYYDQKAIQLDFDGKVVPMNLLQANERVVAPLSRDKIQTVEKELKMIESTLSSIKADLNEKDVAKSTLSHLSEEAWKISSKMSSNSAKLHILQHQACIYALQLRAMELRREELIEQNDCISPTQIDLLQFNDENSSEVVEHIGQFFQKKTYLTLAATSQEEQSLYKELSFQSLFVLPNTGQGLNSHRFFMMLQTVEDTLAQLENSIKTIMANLIGQSQKLKKTAEEEKANLRLSKHSTVSAMLQCKNRRQIKLNKLKLLTQVEDELKELEKDDLRPTKLDSTKCTKLAEDLAAGELIPKGSKQLISFAELERESLVNQENVNGCFWKLFSEDEVGEFLWDFDEGNLNDAKPYGIKKLTEVFENGCSM
+>sp|P02100|HBE_HUMAN Hemoglobin subunit epsilon OS=Homo sapiens OX=9606 GN=HBE1 PE=1 SV=2
+MVHFTAEEKAAVTSLWSKMNVEEAGGEALGRLLVVYPWTQRFFDSFGNLSSPSAILGNPKVKAHGKKVLTSFGDAIKNMDNLKPAFAKLSELHCDKLHVDPENFKLLGNVMVIILATHFGKEFTPEVQAAWQKLVSAVAIALAHKYH
+>DECOY_sp|P02100|HBE_HUMAN Hemoglobin subunit epsilon OS=Homo sapiens OX=9606 GN=HBE1 PE=1 SV=2
+HYKHALAIAVASVLKQWAAQVEPTFEKGFHTALIIVMVNGLLKFNEPDVHLKDCHLESLKAFAPKLNDMNKIADGFSTLVKKGHAKVKPNGLIASPSSLNGFSDFFRQTWPYVVLLRGLAEGGAEEVNMKSWLSTVAAKEEATFHVM
+>sp|Q9BXC0|HCAR1_HUMAN Hydroxycarboxylic acid receptor 1 OS=Homo sapiens OX=9606 GN=HCAR1 PE=1 SV=1
+MYNGSCCRIEGDTISQVMPPLLIVAFVLGALGNGVALCGFCFHMKTWKPSTVYLFNLAVADFLLMICLPFRTDYYLRRRHWAFGDIPCRVGLFTLAMNRAGSIVFLTVVAADRYFKVVHPHHAVNTISTRVAAGIVCTLWALVILGTVYLLLENHLCVQETAVSCESFIMESANGWHDIMFQLEFFMPLGIILFCSFKIVWSLRRRQQLARQARMKKATRFIMVVAIVFITCYLPSVSARLYFLWTVPSSACDPSVHGALHITLSFTYMNSMLDPLVYYFSSPSFPKFYNKLKICSLKPKQPGHSKTQRPEEMPISNLGRRSCISVANSFQSQSDGQWDPHIVEWH
+>DECOY_sp|Q9BXC0|HCAR1_HUMAN Hydroxycarboxylic acid receptor 1 OS=Homo sapiens OX=9606 GN=HCAR1 PE=1 SV=1
+HWEVIHPDWQGDSQSQFSNAVSICSRRGLNSIPMEEPRQTKSHGPQKPKLSCIKLKNYFKPFSPSSFYYVLPDLMSNMYTFSLTIHLAGHVSPDCASSPVTWLFYLRASVSPLYCTIFVIAVVMIFRTAKKMRAQRALQQRRRLSWVIKFSCFLIIGLPMFFELQFMIDHWGNASEMIFSECSVATEQVCLHNELLLYVTGLIVLAWLTCVIGAAVRTSITNVAHHPHVVKFYRDAAVVTLFVISGARNMALTFLGVRCPIDGFAWHRRRLYYDTRFPLCIMLLFDAVALNFLYVTSPKWTKMHFCFGCLAVGNGLAGLVFAVILLPPMVQSITDGEIRCCSGNYM
+>sp|P49019|HCAR3_HUMAN Hydroxycarboxylic acid receptor 3 OS=Homo sapiens OX=9606 GN=HCAR3 PE=1 SV=3
+MNRHHLQDHFLEIDKKNCCVFRDDFIAKVLPPVLGLEFIFGLLGNGLALWIFCFHLKSWKSSRIFLFNLAVADFLLIICLPFVMDYYVRRSDWKFGDIPCRLVLFMFAMNRQGSIIFLTVVAVDRYFRVVHPHHALNKISNWTAAIISCLLWGITVGLTVHLLKKKLLIQNGTANVCISFSICHTFRWHEAMFLLEFFLPLGIILFCSARIIWSLRQRQMDRHAKIKRAITFIMVVAIVFVICFLPSVVVRIHIFWLLHTSGTQNCEVYRSVDLAFFITLSFTYMNSMLDPVVYYFSSPSFPNFFSTLINRCLQRKITGEPDNNRSTSVELTGDPNKTRGAPEALIANSGEPWSPSYLGPTSNNHSKKGHCHQEPASLEKQLGCCIE
+>DECOY_sp|P49019|HCAR3_HUMAN Hydroxycarboxylic acid receptor 3 OS=Homo sapiens OX=9606 GN=HCAR3 PE=1 SV=3
+EICCGLQKELSAPEQHCHGKKSHNNSTPGLYSPSWPEGSNAILAEPAGRTKNPDGTLEVSTSRNNDPEGTIKRQLCRNILTSFFNPFSPSSFYYVVPDLMSNMYTFSLTIFFALDVSRYVECNQTGSTHLLWFIHIRVVVSPLFCIVFVIAVVMIFTIARKIKAHRDMQRQRLSWIIRASCFLIIGLPLFFELLFMAEHWRFTHCISFSICVNATGNQILLKKKLLHVTLGVTIGWLLCSIIAATWNSIKNLAHHPHVVRFYRDVAVVTLFIISGQRNMAFMFLVLRCPIDGFKWDSRRVYYDMVFPLCIILLFDAVALNFLFIRSSKWSKLHFCFIWLALGNGLLGFIFELGLVPPLVKAIFDDRFVCCNKKDIELFHDQLHHRNM
+>sp|Q16836|HCDH_HUMAN Hydroxyacyl-coenzyme A dehydrogenase, mitochondrial OS=Homo sapiens OX=9606 GN=HADH PE=1 SV=3
+MAFVTRQFMRSVSSSSTASASAKKIIVKHVTVIGGGLMGAGIAQVAAATGHTVVLVDQTEDILAKSKKGIEESLRKVAKKKFAENLKAGDEFVEKTLSTIATSTDAASVVHSTDLVVEAIVENLKVKNELFKRLDKFAAEHTIFASNTSSLQITSIANATTRQDRFAGLHFFNPVPVMKLVEVIKTPMTSQKTFESLVDFSKALGKHPVSCKDTPGFIVNRLLVPYLMEAIRLYERGDASKEDIDTAMKLGAGYPMGPFELLDYVGLDTTKFIVDGWHEMDAENPLHQPSPSLNKLVAENKFGKKTGEGFYKYK
+>DECOY_sp|Q16836|HCDH_HUMAN Hydroxyacyl-coenzyme A dehydrogenase, mitochondrial OS=Homo sapiens OX=9606 GN=HADH PE=1 SV=3
+KYKYFGEGTKKGFKNEAVLKNLSPSPQHLPNEADMEHWGDVIFKTTDLGVYDLLEFPGMPYGAGLKMATDIDEKSADGREYLRIAEMLYPVLLRNVIFGPTDKCSVPHKGLAKSFDVLSEFTKQSTMPTKIVEVLKMVPVPNFFHLGAFRDQRTTANAISTIQLSSTNSAFITHEAAFKDLRKFLENKVKLNEVIAEVVLDTSHVVSAADTSTAITSLTKEVFEDGAKLNEAFKKKAVKRLSEEIGKKSKALIDETQDVLVVTHGTAAAVQAIGAGMLGGGIVTVHKVIIKKASASATSSSSVSRMFQRTVFAM
+>sp|Q12967|GNDS_HUMAN Ral guanine nucleotide dissociation stimulator OS=Homo sapiens OX=9606 GN=RALGDS PE=1 SV=2
+MVQRMWAEAAGPAGGAEPLFPGSRRSRSVWDAVRLEVGVPDSCPVVLHSFTQLDPDLPRPESSTQEIGEELINGVIYSISLRKVQLHHGGNKGQRWLGYENESALNLYETCKVRTVKAGTLEKLVEHLVPAFQGSDLSYVTIFLCTYRAFTTTQQVLDLLFKRYGRCDALTASSRYGCILPYSDEDGGPQDQLKNAISSILGTWLDQYSEDFCQPPDFPCLKQLVAYVQLNMPGSDLERRAHLLLAQLEHSEPIEAEPEALSPVPALKPTPELELALTPARAPSPVPAPAPEPEPAPTPAPGSELEVAPAPAPELQQAPEPAVGLESAPAPALELEPAPEQDPAPSQTLELEPAPAPVPSLQPSWPSPVVAENGLSEEKPHLLVFPPDLVAEQFTLMDAELFKKVVPYHCLGSIWSQRDKKGKEHLAPTIRATVTQFNSVANCVITTCLGNRSTKAPDRARVVEHWIEVARECRILKNFSSLYAILSALQSNSIHRLKKTWEDVSRDSFRIFQKLSEIFSDENNYSLSRELLIKEGTSKFATLEMNPKRAQKRPKETGIIQGTVPYLGTFLTDLVMLDTAMKDYLYGRLINFEKRRKEFEVIAQIKLLQSACNNYSIAPDEQFGAWFRAVERLSETESYNLSCELEPPSESASNTLRTKKNTAIVKRWSDRQAPSTELSTSGSSHSKSCDQLRCGPYLSSGDIADALSVHSAGSSSSDVEEINISFVPESPDGQEKKFWESASQSSPETSGISSASSSTSSSSASTTPVAATRTHKRSVSGLCNSSSALPLYNQQVGDCCIIRVSLDVDNGNMYKSILVTSQDKAPAVIRKAMDKHNLEEEEPEDYELLQILSDDRKLKIPENANVFYAMNSTANYDFVLKKRTFTKGVKVKHGASSTLPRMKQKGLKIAKGIF
+>DECOY_sp|Q12967|GNDS_HUMAN Ral guanine nucleotide dissociation stimulator OS=Homo sapiens OX=9606 GN=RALGDS PE=1 SV=2
+FIGKAIKLGKQKMRPLTSSAGHKVKVGKTFTRKKLVFDYNATSNMAYFVNANEPIKLKRDDSLIQLLEYDEPEEEELNHKDMAKRIVAPAKDQSTVLISKYMNGNDVDLSVRIICCDGVQQNYLPLASSSNCLGSVSRKHTRTAAVPTTSASSSSTSSSASSIGSTEPSSQSASEWFKKEQGDPSEPVFSINIEEVDSSSSGASHVSLADAIDGSSLYPGCRLQDCSKSHSSGSTSLETSPAQRDSWRKVIATNKKTRLTNSASESPPELECSLNYSETESLREVARFWAGFQEDPAISYNNCASQLLKIQAIVEFEKRRKEFNILRGYLYDKMATDLMVLDTLFTGLYPVTGQIIGTEKPRKQARKPNMELTAFKSTGEKILLERSLSYNNEDSFIESLKQFIRFSDRSVDEWTKKLRHISNSQLASLIAYLSSFNKLIRCERAVEIWHEVVRARDPAKTSRNGLCTTIVCNAVSNFQTVTARITPALHEKGKKDRQSWISGLCHYPVVKKFLEADMLTFQEAVLDPPFVLLHPKEESLGNEAVVPSPWSPQLSPVPAPAPELELTQSPAPDQEPAPELELAPAPASELGVAPEPAQQLEPAPAPAVELESGPAPTPAPEPEPAPAPVPSPARAPTLALELEPTPKLAPVPSLAEPEAEIPESHELQALLLHARRELDSGPMNLQVYAVLQKLCPFDPPQCFDESYQDLWTGLISSIANKLQDQPGGDEDSYPLICGYRSSATLADCRGYRKFLLDLVQQTTTFARYTCLFITVYSLDSGQFAPVLHEVLKELTGAKVTRVKCTEYLNLASENEYGLWRQGKNGGHHLQVKRLSISYIVGNILEEGIEQTSSEPRPLDPDLQTFSHLVVPCSDPVGVELRVADWVSRSRRSGPFLPEAGGAPGAAEAWMRQVM
+>sp|P36915|GNL1_HUMAN Guanine nucleotide-binding protein-like 1 OS=Homo sapiens OX=9606 GN=GNL1 PE=1 SV=2
+MPRKKPFSVKQKKKQLQDKRERKRGLQDGLRSSSNSRSGSRERREEQTDTSDGESVTHHIRRLNQQPSQGLGPRGYDPNRYRLHFERDSREEVERRKRAAREQVLQPVSAELLELDIREVYQPGSVLDFPRRPPWSYEMSKEQLMSQEERSFQDYLGKIHGAYSSEKLSYFEHNLETWRQLWRVLEMSDIVLLITDIRHPVVNFPPALYEYVTGELGLALVLVLNKVDLAPPALVVAWKHYFHQHYPQLHVVLFTSFPRDPRTPQDPSSVLKKSRRRGRGWTRALGPEQLLRACEAITVGKVDLSSWREKIARDVAGATWGNGSGEEEEEEDGPAVLVEQQTDSAMEPTGPTQERYKDGVVTIGCVGFPNVGKSSLINGLVGRKVVSVSRTPGHTRYFQTYFLTPSVKLCDCPGLIFPSLLPRQLQVLAGIYPIAQIQEPYTAVGYLASRIPVQALLHLRHPEAEDPSAEHPWCAWDICEAWAEKRGYKTAKAARNDVYRAANSLLRLAVDGRLSLCFHPPGYSEQKGTWESHPETTELVVLQGRVGPAGDEEEEEEEELSSSCEEEGEEDRDADEEGEGDEETPTSAPGSSLAGRNPYALLGEDEC
+>DECOY_sp|P36915|GNL1_HUMAN Guanine nucleotide-binding protein-like 1 OS=Homo sapiens OX=9606 GN=GNL1 PE=1 SV=2
+CEDEGLLAYPNRGALSSGPASTPTEEDGEGEEDADRDEEGEEECSSSLEEEEEEEEDGAPGVRGQLVVLETTEPHSEWTGKQESYGPPHFCLSLRGDVALRLLSNAARYVDNRAAKATKYGRKEAWAECIDWACWPHEASPDEAEPHRLHLLAQVPIRSALYGVATYPEQIQAIPYIGALVQLQRPLLSPFILGPCDCLKVSPTLFYTQFYRTHGPTRSVSVVKRGVLGNILSSKGVNPFGVCGITVVGDKYREQTPGTPEMASDTQQEVLVAPGDEEEEEEGSGNGWTAGAVDRAIKERWSSLDVKGVTIAECARLLQEPGLARTWGRGRRRSKKLVSSPDQPTRPDRPFSTFLVVHLQPYHQHFYHKWAVVLAPPALDVKNLVLVLALGLEGTVYEYLAPPFNVVPHRIDTILLVIDSMELVRWLQRWTELNHEFYSLKESSYAGHIKGLYDQFSREEQSMLQEKSMEYSWPPRRPFDLVSGPQYVERIDLELLEASVPQLVQERAARKRREVEERSDREFHLRYRNPDYGRPGLGQSPQQNLRRIHHTVSEGDSTDTQEERRERSGSRSNSSSRLGDQLGRKRERKDQLQKKKQKVSFPKKRPM
+>sp|Q9NVN8|GNL3L_HUMAN Guanine nucleotide-binding protein-like 3-like protein OS=Homo sapiens OX=9606 GN=GNL3L PE=1 SV=1
+MMKLRHKNKKPGEGSKGHKKISWPYPQPAKQNGKKATSKVPSAPHFVHPNDHANREAELKKKWVEEMREKQQAAREQERQKRRTIESYCQDVLRRQEEFEHKEEVLQELNMFPQLDDEATRKAYYKEFRKVVEYSDVILEVLDARDPLGCRCFQMEEAVLRAQGNKKLVLVLNKIDLVPKEVVEKWLDYLRNELPTVAFKASTQHQVKNLNRCSVPVDQASESLLKSKACFGAENLMRVLGNYCRLGEVRTHIRVGVVGLPNVGKSSLINSLKRSRACSVGAVPGITKFMQEVYLDKFIRLLDAPGIVPGPNSEVGTILRNCVHVQKLADPVTPVETILQRCNLEEISNYYGVSGFQTTEHFLTAVAHRLGKKKKGGLYSQEQAAKAVLADWVSGKISFYIPPPATHTLPTHLSAEIVKEMTEVFDIEDTEQANEDTMECLATGESDELLGDTDPLEMEIKLLHSPMTKIADAIENKTTVYKIGDLTGYCTNPNRHQMGWAKRNVDHRPKSNSMVDVCSVDRRSVLQRIMETDPLQQGQALASALKNKKKMQKRADKIASKLSDSMMSALDLSGNADDGVGD
+>DECOY_sp|Q9NVN8|GNL3L_HUMAN Guanine nucleotide-binding protein-like 3-like protein OS=Homo sapiens OX=9606 GN=GNL3L PE=1 SV=1
+DGVGDDANGSLDLASMMSDSLKSAIKDARKQMKKKNKLASALAQGQQLPDTEMIRQLVSRRDVSCVDVMSNSKPRHDVNRKAWGMQHRNPNTCYGTLDGIKYVTTKNEIADAIKTMPSHLLKIEMELPDTDGLLEDSEGTALCEMTDENAQETDEIDFVETMEKVIEASLHTPLTHTAPPPIYFSIKGSVWDALVAKAAQEQSYLGGKKKKGLRHAVATLFHETTQFGSVGYYNSIEELNCRQLITEVPTVPDALKQVHVCNRLITGVESNPGPVIGPADLLRIFKDLYVEQMFKTIGPVAGVSCARSRKLSNILSSKGVNPLGVVGVRIHTRVEGLRCYNGLVRMLNEAGFCAKSKLLSESAQDVPVSCRNLNKVQHQTSAKFAVTPLENRLYDLWKEVVEKPVLDIKNLVLVLKKNGQARLVAEEMQFCRCGLPDRADLVELIVDSYEVVKRFEKYYAKRTAEDDLQPFMNLEQLVEEKHEFEEQRRLVDQCYSEITRRKQREQERAAQQKERMEEVWKKKLEAERNAHDNPHVFHPASPVKSTAKKGNQKAPQPYPWSIKKHGKSGEGPKKNKHRLKMM
+>sp|A6NDN3|GOG6B_HUMAN Golgin subfamily A member 6B OS=Homo sapiens OX=9606 GN=GOLGA6B PE=3 SV=3
+MWPQPYLPPHPMMLEESRQNKLAAAKKKLKEYQQRKSPGIPAGAKTKKKKTDSSPETTTSGGGHSPGDSQYQELAVALESSSVTISQLNENIESLKQQKKQVEHQLEEAKKTNNEIHKAQMERLETINILTLEKADLKTTLYHTKRAARHFEEESKDLAGRLQYSLQRIQELERALCAVSTQQQEEDRSSSCREAVLHRRLQQTIKERALLNAHVTQVTESLKQVQLERDEYAKHIKGERARWQERMWKMSVEARTLKEEKKRDIHRIQELERSLSELKNQMAKPPSLAPPAVTSVVEQLQDEAKHLRQEVEGLEGKLQSQVENNQALSLLSKEQKQRLQEQEEMLREQEVQRVREQERLCEQNERLREQQKTLQEQGERLRKQEQRLRKQEERLRKEEERLQKQEKRLWDQEERLWKKEERLQKQEERLALSQNHKLDKQLAEPQCSFEDLNNEKKSALQLEQQVKELQEKLDEEHLEAASQQNQQLETQLSLVALPGEGDGGQHLDSEEEEAPRPTPNIPEDLESREATSSFMDLPKEKADGTEQVERRELGFVQPSGVTDGMRESFTVYESQGAVPNTRHQEMEDVIRLAQKEEEMKVKLLELQELVLPLVGNHEGHGKFLIAAQNPADEPTPGAPAPQELGAAGEQDDFYEVSLDNNVEPAPGAAREGSPHDNPTVQQIVQLSPVMQDT
+>DECOY_sp|A6NDN3|GOG6B_HUMAN Golgin subfamily A member 6B OS=Homo sapiens OX=9606 GN=GOLGA6B PE=3 SV=3
+TDQMVPSLQVIQQVTPNDHPSGERAAGPAPEVNNDLSVEYFDDQEGAAGLEQPAPAGPTPEDAPNQAAILFKGHGEHNGVLPLVLEQLELLKVKMEEEKQALRIVDEMEQHRTNPVAGQSEYVTFSERMGDTVGSPQVFGLERREVQETGDAKEKPLDMFSSTAERSELDEPINPTPRPAEEEESDLHQGGDGEGPLAVLSLQTELQQNQQSAAELHEEDLKEQLEKVQQELQLASKKENNLDEFSCQPEALQKDLKHNQSLALREEQKQLREEKKWLREEQDWLRKEQKQLREEEKRLREEQKRLRQEQKRLREGQEQLTKQQERLRENQECLREQERVRQVEQERLMEEQEQLRQKQEKSLLSLAQNNEVQSQLKGELGEVEQRLHKAEDQLQEVVSTVAPPALSPPKAMQNKLESLSRELEQIRHIDRKKEEKLTRAEVSMKWMREQWRAREGKIHKAYEDRELQVQKLSETVQTVHANLLAREKITQQLRRHLVAERCSSSRDEEQQQTSVACLARELEQIRQLSYQLRGALDKSEEEFHRAARKTHYLTTKLDAKELTLINITELREMQAKHIENNTKKAEELQHEVQKKQQKLSEINENLQSITVSSSELAVALEQYQSDGPSHGGGSTTTEPSSDTKKKKTKAGAPIGPSKRQQYEKLKKKAAALKNQRSEELMMPHPPLYPQPWM
+>sp|A6NN73|GOG8C_HUMAN Golgin subfamily A member 8C OS=Homo sapiens OX=9606 GN=GOLGA8CP PE=3 SV=2
+MAEETRQSKLAAAKRKLKEYWQRNSPGVPAGAKRNRKTNGSIHETATSGGCHSPGDSSSTSSSLHAPQSPCQELAVVPDSRSVKVSQLKNTIKSLKQQKKQVEHQLEEEKKANNEKQKAERGLEVQIQRLNIQKGKLNTDLYHTKRSLRYFEEESKDLAVRLQHSLQRKGELERALSAVTATQKKKAERFSSRSKARMEWKLEQSMREQALLKAQLTQLKESLKEVQLERDEYAEHLKGERARWQQRMRKMSQEVCSLKKEKKHDKYRVETLERSLSKLKHQMAEPLPPEPPAVPSEVELQHLRKELERVAGALQAQVEYNQRISLLNEGQKERLREQEERLQEQQERLREQEERLQQLAEPQNSFKELNNENKSVLQLEQQVKELQEKLGKRLAHPVASAQKEPEAAVPAPGPGGESSGFMDHLEEKADLSELVEKEELGFFQYYRERCHQKVYHPITKPGGSAKDAAPGGGHHQAGPGQGGDEGEAAGAAGDGVAAGGDYKGHSKFLVTAQNPAHEPSPGAPAPQELGAAHKHGDLCEVSLTDSVEPVQGETREGSPHDKPTAQPIVQDHQEHPGLGSNCCVPFFCWAWPPRRRR
+>DECOY_sp|A6NN73|GOG8C_HUMAN Golgin subfamily A member 8C OS=Homo sapiens OX=9606 GN=GOLGA8CP PE=3 SV=2
+RRRRPPWAWCFFPVCCNSGLGPHEQHDQVIPQATPKDHPSGERTEGQVPEVSDTLSVECLDGHKHAAGLEQPAPAGPSPEHAPNQATVLFKSHGKYDGGAAVGDGAAGAAEGEDGGQGPGAQHHGGGPAADKASGGPKTIPHYVKQHCRERYYQFFGLEEKEVLESLDAKEELHDMFGSSEGGPGPAPVAAEPEKQASAVPHALRKGLKEQLEKVQQELQLVSKNENNLEKFSNQPEALQQLREEQERLREQQEQLREEQERLREKQGENLLSIRQNYEVQAQLAGAVRELEKRLHQLEVESPVAPPEPPLPEAMQHKLKSLSRELTEVRYKDHKKEKKLSCVEQSMKRMRQQWRAREGKLHEAYEDRELQVEKLSEKLQTLQAKLLAQERMSQELKWEMRAKSRSSFREAKKKQTATVASLARELEGKRQLSHQLRVALDKSEEEFYRLSRKTHYLDTNLKGKQINLRQIQVELGREAKQKENNAKKEEELQHEVQKKQQKLSKITNKLQSVKVSRSDPVVALEQCPSQPAHLSSSTSSSDGPSHCGGSTATEHISGNTKRNRKAGAPVGPSNRQWYEKLKRKAAALKSQRTEEAM
+>sp|Q9H4A6|GOLP3_HUMAN Golgi phosphoprotein 3 OS=Homo sapiens OX=9606 GN=GOLPH3 PE=1 SV=1
+MTSLTQRSSGLVQRRTEASRNAADKERAAGGGAGSSEDDAQSRRDEQDDDDKGDSKETRLTLMEEVLLLGLKDREGYTSFWNDCISSGLRGCMLIELALRGRLQLEACGMRRKSLLTRKVICKSDAPTGDVLLDEALKHVKETQPPETVQNWIELLSGETWNPLKLHYQLRNVRERLAKNLVEKGVLTTEKQNFLLFDMTTHPLTNNNIKQRLIKKVQEAVLDKWVNDPHRMDRRLLALIYLAHASDVLENAFAPLLDEQYDLATKRVRQLLDLDPEVECLKANTNEVLWAVVAAFTK
+>DECOY_sp|Q9H4A6|GOLP3_HUMAN Golgi phosphoprotein 3 OS=Homo sapiens OX=9606 GN=GOLPH3 PE=1 SV=1
+KTFAAVVAWLVENTNAKLCEVEPDLDLLQRVRKTALDYQEDLLPAFANELVDSAHALYILALLRRDMRHPDNVWKDLVAEQVKKILRQKINNNTLPHTTMDFLLFNQKETTLVGKEVLNKALRERVNRLQYHLKLPNWTEGSLLEIWNQVTEPPQTEKVHKLAEDLLVDGTPADSKCIVKRTLLSKRRMGCAELQLRGRLALEILMCGRLGSSICDNWFSTYGERDKLGLLLVEEMLTLRTEKSDGKDDDDQEDRRSQADDESSGAGGGAAREKDAANRSAETRRQVLGSSRQTLSTM
+>sp|P01148|GON1_HUMAN Progonadoliberin-1 OS=Homo sapiens OX=9606 GN=GNRH1 PE=1 SV=1
+MKPIQKLLAGLILLTWCVEGCSSQHWSYGLRPGGKRDAENLIDSFQEIVKEVGQLAETQRFECTTHQPRSPLRDLKGALESLIEEETGQKKI
+>DECOY_sp|P01148|GON1_HUMAN Progonadoliberin-1 OS=Homo sapiens OX=9606 GN=GNRH1 PE=1 SV=1
+IKKQGTEEEILSELAGKLDRLPSRPQHTTCEFRQTEALQGVEKVIEQFSDILNEADRKGGPRLGYSWHQSSCGEVCWTLLILGALLKQIPKM
+>sp|Q9BXV9|GON7_HUMAN EKC/KEOPS complex subunit GON7 OS=Homo sapiens OX=9606 GN=GON7 PE=1 SV=2
+MELLGEYVGQEGKPQKLRVSCEAPGDGDPFQGLLSGVAQMKDMVTELFDPLVQGEVQHRVAAAPDEDLDGDDEDDAEDENNIDNRTNFDGPSAKRPKTPS
+>DECOY_sp|Q9BXV9|GON7_HUMAN EKC/KEOPS complex subunit GON7 OS=Homo sapiens OX=9606 GN=GON7 PE=1 SV=2
+SPTKPRKASPGDFNTRNDINNEDEADDEDDGDLDEDPAAAVRHQVEGQVLPDFLETVMDKMQAVGSLLGQFPDGDGPAECSVRLKQPKGEQGVYEGLLEM
+>sp|Q5T7V8|GORAB_HUMAN RAB6-interacting golgin OS=Homo sapiens OX=9606 GN=GORAB PE=1 SV=1
+MSWAAVLAVAAARFGHFWGCRWPGPMAQGWAGFSEEELRRLKQTKDPFEPQRRLPAKKSRQQLQREKALVEQSQKLGLQDGSTSLLPEQLLSAPKQRVNVQKPPFSSPTLPSHFTLTSPVGDGQPQGIESQPKELGLENSHDGHNNVEILPPKPDCKLEKKKVELQEKSRWEVLQQEQRLMEEKNKRKKALLAKAIAERSKRTQAETMKLKRIQKELQALDDMVSADIGILRNRIDQASLDYSYARKRFDRAEAEYIAAKLDIQRKTEIKEQLTEHLCTIIQQNELRKAKKLEELMQQLDVEADEETLELEVEVERLLHEQEVESRRPVVRLERPFQPAEESVTLEFAKENRKCQEQAVSPKVDDQCGNSSSIPFLSPNCPNQEGNDISAALAT
+>DECOY_sp|Q5T7V8|GORAB_HUMAN RAB6-interacting golgin OS=Homo sapiens OX=9606 GN=GORAB PE=1 SV=1
+TALAASIDNGEQNPCNPSLFPISSSNGCQDDVKPSVAQEQCKRNEKAFELTVSEEAPQFPRELRVVPRRSEVEQEHLLREVEVELELTEEDAEVDLQQMLEELKKAKRLENQQIITCLHETLQEKIETKRQIDLKAAIYEAEARDFRKRAYSYDLSAQDIRNRLIGIDASVMDDLAQLEKQIRKLKMTEAQTRKSREAIAKALLAKKRKNKEEMLRQEQQLVEWRSKEQLEVKKKELKCDPKPPLIEVNNHGDHSNELGLEKPQSEIGQPQGDGVPSTLTFHSPLTPSSFPPKQVNVRQKPASLLQEPLLSTSGDQLGLKQSQEVLAKERQLQQRSKKAPLRRQPEFPDKTQKLRRLEEESFGAWGQAMPGPWRCGWFHGFRAAAVALVAAWSM
+>sp|O14653|GOSR2_HUMAN Golgi SNAP receptor complex member 2 OS=Homo sapiens OX=9606 GN=GOSR2 PE=1 SV=2
+MDPLFQQTHKQVHEIQSCMGRLETADKQSVHIVENEIQASIDQIFSRLERLEILSSKEPPNKRQNARLRVDQLKYDVQHLQTALRNFQHRRHAREQQERQREELLSRTFTTNDSDTTIPMDESLQFNSSLQKVHNGMDDLILDGHNILDGLRTQRLTLKGTQKKILDIANMLGLSNTVMRLIEKRAFQDKYFMIGGMLLTCVVMFLVVQYLT
+>DECOY_sp|O14653|GOSR2_HUMAN Golgi SNAP receptor complex member 2 OS=Homo sapiens OX=9606 GN=GOSR2 PE=1 SV=2
+TLYQVVLFMVVCTLLMGGIMFYKDQFARKEILRMVTNSLGLMNAIDLIKKQTGKLTLRQTRLGDLINHGDLILDDMGNHVKQLSSNFQLSEDMPITTDSDNTTFTRSLLEERQREQQERAHRRHQFNRLATQLHQVDYKLQDVRLRANQRKNPPEKSSLIELRELRSFIQDISAQIENEVIHVSQKDATELRGMCSQIEHVQKHTQQFLPDM
+>sp|Q5VW38|GP107_HUMAN Protein GPR107 OS=Homo sapiens OX=9606 GN=GPR107 PE=1 SV=1
+MAALAPVGSPASRGPRLAAGLRLLPMLGLLQLLAEPGLGRVHHLALKDDVRHKVHLNTFGFFKDGYMVVNVSSLSLNEPEDKDVTIGFSLDRTKNDGFSSYLDEDVNYCILKKQSVSVTLLILDISRSEVRVKSPPEAGTQLPKIIFSRDEKVLGQSQEPNVNPASAGNQTQKTQDGGKSKRSTVDSKAMGEKSFSVHNNGGAVSFQFFFNISTDDQEGLYSLYFHKCLGKELPSDKFTFSLDIEITEKNPDSYLSAGEIPLPKLYISMAFFFFLSGTIWIHILRKRRNDVFKIHWLMAALPFTKSLSLVFHAIDYHYISSQGFPIEGWAVVYYITHLLKGALLFITIALIGTGWAFIKHILSDKDKKIFMIVIPLQVLANVAYIIIESTEEGTTEYGLWKDSLFLVDLLCCGAILFPVVWSIRHLQEASATDGKGDSMGPLQQRANLRAGSRIESHHFAQADLELLASSCPPASVSQRAGITAAINLAKLKLFRHYYVLIVCYIYFTRIIAFLLKLAVPFQWKWLYQLLDETATLVFFVLTGYKFRPASDNPYLQLSQEEEDLEMESVVTTSGVMESMKKVKKVTNGSVEPQGEWEGAV
+>DECOY_sp|Q5VW38|GP107_HUMAN Protein GPR107 OS=Homo sapiens OX=9606 GN=GPR107 PE=1 SV=1
+VAGEWEGQPEVSGNTVKKVKKMSEMVGSTTVVSEMELDEEEQSLQLYPNDSAPRFKYGTLVFFVLTATEDLLQYLWKWQFPVALKLLFAIIRTFYIYCVILVYYHRFLKLKALNIAATIGARQSVSAPPCSSALLELDAQAFHHSEIRSGARLNARQQLPGMSDGKGDTASAEQLHRISWVVPFLIAGCCLLDVLFLSDKWLGYETTGEETSEIIIYAVNALVQLPIVIMFIKKDKDSLIHKIFAWGTGILAITIFLLAGKLLHTIYYVVAWGEIPFGQSSIYHYDIAHFVLSLSKTFPLAAMLWHIKFVDNRRKRLIHIWITGSLFFFFAMSIYLKPLPIEGASLYSDPNKETIEIDLSFTFKDSPLEKGLCKHFYLSYLGEQDDTSINFFFQFSVAGGNNHVSFSKEGMAKSDVTSRKSKGGDQTKQTQNGASAPNVNPEQSQGLVKEDRSFIIKPLQTGAEPPSKVRVESRSIDLILLTVSVSQKKLICYNVDEDLYSSFGDNKTRDLSFGITVDKDEPENLSLSSVNVVMYGDKFFGFTNLHVKHRVDDKLALHHVRGLGPEALLQLLGLMPLLRLGAALRPGRSAPSGVPALAAM
+>sp|Q8IZ08|GP135_HUMAN G-protein coupled receptor 135 OS=Homo sapiens OX=9606 GN=GPR135 PE=1 SV=2
+MEEPQPPRPPASMALLGSQHSGAPSAAGPPGGTSSAATAAVLSFSTVATAALGNLSDASGGGTAAAPGGGGLGGSGAAREAGAAVRRPLGPEAAPLLSHGAAVAAQALVLLLIFLLSSLGNCAVMGVIVKHRQLRTVTNAFILSLSLSDLLTALLCLPAAFLDLFTPPGGSAPAAAAGPWRGFCAASRFFSSCFGIVSTLSVALISLDRYCAIVRPPREKIGRRRALQLLAGAWLTALGFSLPWELLGAPRELAAAQSFHGCLYRTSPDPAQLGAAFSVGLVVACYLLPFLLMCFCHYHICKTVRLSDVRVRPVNTYARVLRFFSEVRTATTVLIMIVFVICCWGPYCFLVLLAAARQAQTMQAPSLLSVVAVWLTWANGAINPVIYAIRNPNISMLLGRNREEGYRTRNVDAFLPSQGPGLQARSRSRLRNRYANRLGACNRMSSSNPASGVAGDVAMWARKNPVVLFCREGPPEPVTAVTKQPKSEAGDTSL
+>DECOY_sp|Q8IZ08|GP135_HUMAN G-protein coupled receptor 135 OS=Homo sapiens OX=9606 GN=GPR135 PE=1 SV=2
+LSTDGAESKPQKTVATVPEPPGERCFLVVPNKRAWMAVDGAVGSAPNSSSMRNCAGLRNAYRNRLRSRSRAQLGPGQSPLFADVNRTRYGEERNRGLLMSINPNRIAYIVPNIAGNAWTLWVAVVSLLSPAQMTQAQRAAALLVLFCYPGWCCIVFVIMILVTTATRVESFFRLVRAYTNVPRVRVDSLRVTKCIHYHCFCMLLFPLLYCAVVLGVSFAAGLQAPDPSTRYLCGHFSQAAALERPAGLLEWPLSFGLATLWAGALLQLARRRGIKERPPRVIACYRDLSILAVSLTSVIGFCSSFFRSAACFGRWPGAAAAPASGGPPTFLDLFAAPLCLLATLLDSLSLSLIFANTVTRLQRHKVIVGMVACNGLSSLLFILLLVLAQAAVAAGHSLLPAAEPGLPRRVAAGAERAAGSGGLGGGGPAAATGGGSADSLNGLAATAVTSFSLVAATAASSTGGPPGAASPAGSHQSGLLAMSAPPRPPQPEEM
+>sp|Q8NFN8|GP156_HUMAN Probable G-protein coupled receptor 156 OS=Homo sapiens OX=9606 GN=GPR156 PE=2 SV=2
+MEPEINCSELCDSFPGQELDRRPLHDLCKTTITSSHHSSKTISSLSPVLLGIVWTFLSCGLLLILFFLAFTIHCRKNRIVKMSSPNLNIVTLLGSCLTYSSAYLFGIQDVLVGSSMETLIQTRLSMLCIGTSLVFGPILGKSWRLYKVFTQRVPDKRVIIKDLQLLGLVAALLMADVILLMTWVLTDPIQCLQILSVSMTVTGKDVSCTSTSTHFCASRYSDVWIALIWGCKGLLLLYGAYLAGLTGHVSSPPVNQSLTIMVGVNLLVLAAGLLFVVTRYLHSWPNLVFGLTSGGIFVCTTTINCFIFIPQLKQWKAFEEENQTIRRMAKYFSTPNKSFHTQYGEEENCHPRGEKSSMERLLTEKNAVIESLQEQVNNAKEKIVRLMSAECTYDLPEGAAPPASSPNKDVQAVASVHTLAAAQGPSGHLSDFQNDPGMAARDSQCTSGPSSYAQSLEGPGKDSSFSPGKEEKISDSKDFSDHLDSGCSQKPWTEQSLGPERGDQVPMNPSQSLLPERGGSDPQRQRHLENSEEPPERRSRVSSVIREKLQEVLQDLGLGPEASLSTAPSCHQQTWKNSAAFSPQKMPLSKELGFSPYMVRRRRAAQRARSHFPGSAPSSVGHRANRTVPGAHSRLHVQNGDSPSLAPQTTDSRVRRPSSRKPSLPSDPQDRPGTLEGSKQSQTEPEGARGSKAAFLRQPSGSGRAPSPAAPCLSKASPDLPEQWQLWPPVPSGCASLSSQHSYFDTESSSSDEFFCRCHRPYCEICFQSSSDSSDSGTSDTDPEPTGGLASWEKLWARSKPIVNFKDDLKPTLV
+>DECOY_sp|Q8NFN8|GP156_HUMAN Probable G-protein coupled receptor 156 OS=Homo sapiens OX=9606 GN=GPR156 PE=2 SV=2
+VLTPKLDDKFNVIPKSRAWLKEWSALGGTPEPDTDSTGSDSSDSSSQFCIECYPRHCRCFFEDSSSSETDFYSHQSSLSACGSPVPPWLQWQEPLDPSAKSLCPAAPSPARGSGSPQRLFAAKSGRAGEPETQSQKSGELTGPRDQPDSPLSPKRSSPRRVRSDTTQPALSPSDGNQVHLRSHAGPVTRNARHGVSSPASGPFHSRARQAARRRRVMYPSFGLEKSLPMKQPSFAASNKWTQQHCSPATSLSAEPGLGLDQLVEQLKERIVSSVRSRREPPEESNELHRQRQPDSGGREPLLSQSPNMPVQDGREPGLSQETWPKQSCGSDLHDSFDKSDSIKEEKGPSFSSDKGPGELSQAYSSPGSTCQSDRAAMGPDNQFDSLHGSPGQAAALTHVSAVAQVDKNPSSAPPAAGEPLDYTCEASMLRVIKEKANNVQEQLSEIVANKETLLREMSSKEGRPHCNEEEGYQTHFSKNPTSFYKAMRRITQNEEEFAKWQKLQPIFIFCNITTTCVFIGGSTLGFVLNPWSHLYRTVVFLLGAALVLLNVGVMITLSQNVPPSSVHGTLGALYAGYLLLLGKCGWILAIWVDSYRSACFHTSTSTCSVDKGTVTMSVSLIQLCQIPDTLVWTMLLIVDAMLLAAVLGLLQLDKIIVRKDPVRQTFVKYLRWSKGLIPGFVLSTGICLMSLRTQILTEMSSGVLVDQIGFLYASSYTLCSGLLTVINLNPSSMKVIRNKRCHITFALFFLILLLGCSLFTWVIGLLVPSLSSITKSSHHSSTITTKCLDHLPRRDLEQGPFSDCLESCNIEPEM
+>sp|Q16538|GP162_HUMAN Probable G-protein coupled receptor 162 OS=Homo sapiens OX=9606 GN=GPR162 PE=2 SV=1
+MARGGAGAEEASLRSNALSWLACGLLALLANAWIILSISAKQQKHKPLELLLCFLAGTHILMAAVPLTTFAVVQLRRQASSDYDWNESICKVFVSTYYTLALATCFTVASLSYHRMWMVRWPVNYRLSNAKKQALHAVMGIWMVSFILSTLPSIGWHNNGERYYARGCQFIVSKIGLGFGVCFSLLLLGGIVMGLVCVAITFYQTLWARPRRARQARRVGGGGGTKAGGPGALGTRPAFEVPAIVVEDARGKRRSSLDGSESAKTSLQVTNLVSAIVFLYDSLTGVPILVVSFFSLKSDSAPPWMVLAVLWCSMAQTLLLPSFIWSCERYRADVRTVWEQCVAIMSEEDGDDDGGCDDYAEGRVCKVRFDANGATGPGSRDPAQVKLLPGRHMLFPPLERVHYLQVPLSRRLSHDETNIFSTPREPGSFLHKWSSSDDIRVLPAQSRALGGPPEYLGQRHRLEDEEDEEEAEGGGLASLRQFLESGVLGSGGGPPRGPGFFREEITTFIDETPLPSPTASPGHSPRRPRPLGLSPRRLSLGSPESRAVGLPLGLSAGRRCSLTGGEESARAWGGSWGPGNPIFPQLTL
+>DECOY_sp|Q16538|GP162_HUMAN Probable G-protein coupled receptor 162 OS=Homo sapiens OX=9606 GN=GPR162 PE=2 SV=1
+LTLQPFIPNGPGWSGGWARASEEGGTLSCRRGASLGLPLGVARSEPSGLSLRRPSLGLPRPRRPSHGPSATPSPLPTEDIFTTIEERFFGPGRPPGGGSGLVGSELFQRLSALGGGEAEEEDEEDELRHRQGLYEPPGGLARSQAPLVRIDDSSSWKHLFSGPERPTSFINTEDHSLRRSLPVQLYHVRELPPFLMHRGPLLKVQAPDRSGPGTAGNADFRVKCVRGEAYDDCGGDDDGDEESMIAVCQEWVTRVDARYRECSWIFSPLLLTQAMSCWLVALVMWPPASDSKLSFFSVVLIPVGTLSDYLFVIASVLNTVQLSTKASESGDLSSRRKGRADEVVIAPVEFAPRTGLAGPGGAKTGGGGGVRRAQRARRPRAWLTQYFTIAVCVLGMVIGGLLLLSFCVGFGLGIKSVIFQCGRAYYREGNNHWGISPLTSLIFSVMWIGMVAHLAQKKANSLRYNVPWRVMWMRHYSLSAVTFCTALALTYYTSVFVKCISENWDYDSSAQRRLQVVAFTTLPVAAMLIHTGALFCLLLELPKHKQQKASISLIIWANALLALLGCALWSLANSRLSAEEAGAGGRAM
+>sp|O75791|GRAP2_HUMAN GRB2-related adapter protein 2 OS=Homo sapiens OX=9606 GN=GRAP2 PE=1 SV=1
+MEAVAKFDFTASGEDELSFHTGDVLKILSNQEEWFKAELGSQEGYVPKNFIDIQFPKWFHEGLSRHQAENLLMGKEVGFFIIRASQSSPGDFSISVRHEDDVQHFKVMRDNKGNYFLWTEKFPSLNKLVDYYRTNSISRQKQIFLRDRTREDQGHRGNSLDRRSQGGPHLSGAVGEEIRPSMNRKLSDHPPTLPLQQHQHQPQPPQYAPAPQQLQQPPQQRYLQHHHFHQERRGGSLDINDGHCGTGLGSEMNAALMHRRHTDPVQLQAAGRVRWARALYDFEALEDDELGFHSGEVVEVLDSSNPSWWTGRLHNKLGLFPANYVAPMTR
+>DECOY_sp|O75791|GRAP2_HUMAN GRB2-related adapter protein 2 OS=Homo sapiens OX=9606 GN=GRAP2 PE=1 SV=1
+RTMPAVYNAPFLGLKNHLRGTWWSPNSSDLVEVVEGSHFGLEDDELAEFDYLARAWRVRGAAQLQVPDTHRRHMLAANMESGLGTGCHGDNIDLSGGRREQHFHHHQLYRQQPPQQLQQPAPAYQPPQPQHQHQQLPLTPPHDSLKRNMSPRIEEGVAGSLHPGGQSRRDLSNGRHGQDERTRDRLFIQKQRSISNTRYYDVLKNLSPFKETWLFYNGKNDRMVKFHQVDDEHRVSISFDGPSSQSARIIFFGVEKGMLLNEAQHRSLGEHFWKPFQIDIFNKPVYGEQSGLEAKFWEEQNSLIKLVDGTHFSLEDEGSATFDFKAVAEM
+>sp|Q7Z6J2|GRASP_HUMAN General receptor for phosphoinositides 1-associated scaffold protein OS=Homo sapiens OX=9606 GN=GRASP PE=1 SV=1
+MTLRRLRKLQQKEEAAATPDPAARTPDSEVAPAAPVPTPGPPAAAATPGPPADELYAALEDYHPAELYRALAVSGGTLPRRKGSGFRWKNLSQSPEQQRKVLTLEKEDNQTFGFEIQTYGLHHREEQRVEMVTFVCRVHESSPAQLAGLTPGDTIASVNGLNVEGIRHREIVDIIKASGNVLRLETLYGTSIRKAELEARLQYLKQTLYEKWGEYRSLMVQEQRLVHGLVVKDPSIYDTLESVRSCLYGAGLLPGSLPFGPLLAVPGRPRGGARRARGDADDAVYHTCFFGDSEPPALPPPPPPARAFGPGPAETPAVGPGPGPRAALSRSASVRCAGPGGGGGGGAPGALWTEAREQALCGPGLRKTKYRSFRRRLLKFIPGLNRSLEEEESQL
+>DECOY_sp|Q7Z6J2|GRASP_HUMAN General receptor for phosphoinositides 1-associated scaffold protein OS=Homo sapiens OX=9606 GN=GRASP PE=1 SV=1
+LQSEEEELSRNLGPIFKLLRRRFSRYKTKRLGPGCLAQERAETWLAGPAGGGGGGGPGACRVSASRSLAARPGPGPGVAPTEAPGPGFARAPPPPPPLAPPESDGFFCTHYVADDADGRARRAGGRPRGPVALLPGFPLSGPLLGAGYLCSRVSELTDYISPDKVVLGHVLRQEQVMLSRYEGWKEYLTQKLYQLRAELEAKRISTGYLTELRLVNGSAKIIDVIERHRIGEVNLGNVSAITDGPTLGALQAPSSEHVRCVFTVMEVRQEERHHLGYTQIEFGFTQNDEKELTLVKRQQEPSQSLNKWRFGSGKRRPLTGGSVALARYLEAPHYDELAAYLEDAPPGPTAAAAPPGPTPVPAAPAVESDPTRAAPDPTAAAEEKQQLKRLRRLTM
+>sp|P38646|GRP75_HUMAN Stress-70 protein, mitochondrial OS=Homo sapiens OX=9606 GN=HSPA9 PE=1 SV=2
+MISASRAAAARLVGAAASRGPTAARHQDSWNGLSHEAFRLVSRRDYASEAIKGAVVGIDLGTTNSCVAVMEGKQAKVLENAEGARTTPSVVAFTADGERLVGMPAKRQAVTNPNNTFYATKRLIGRRYDDPEVQKDIKNVPFKIVRASNGDAWVEAHGKLYSPSQIGAFVLMKMKETAENYLGHTAKNAVITVPAYFNDSQRQATKDAGQISGLNVLRVINEPTAAALAYGLDKSEDKVIAVYDLGGGTFDISILEIQKGVFEVKSTNGDTFLGGEDFDQALLRHIVKEFKRETGVDLTKDNMALQRVREAAEKAKCELSSSVQTDINLPYLTMDSSGPKHLNMKLTRAQFEGIVTDLIRRTIAPCQKAMQDAEVSKSDIGEVILVGGMTRMPKVQQTVQDLFGRAPSKAVNPDEAVAIGAAIQGGVLAGDVTDVLLLDVTPLSLGIETLGGVFTKLINRNTTIPTKKSQVFSTAADGQTQVEIKVCQGEREMAGDNKLLGQFTLIGIPPAPRGVPQIEVTFDIDANGIVHVSAKDKGTGREQQIVIQSSGGLSKDDIENMVKNAEKYAEEDRRKKERVEAVNMAEGIIHDTETKMEEFKDQLPADECNKLKEEISKMRELLARKDSETGENIRQAASSLQQASLKLFEMAYKKMASEREGSGSSGTGEQKEDQKEEKQ
+>DECOY_sp|P38646|GRP75_HUMAN Stress-70 protein, mitochondrial OS=Homo sapiens OX=9606 GN=HSPA9 PE=1 SV=2
+QKEEKQDEKQEGTGSSGSGERESAMKKYAMEFLKLSAQQLSSAAQRINEGTESDKRALLERMKSIEEKLKNCEDAPLQDKFEEMKTETDHIIGEAMNVAEVREKKRRDEEAYKEANKVMNEIDDKSLGGSSQIVIQQERGTGKDKASVHVIGNADIDFTVEIQPVGRPAPPIGILTFQGLLKNDGAMEREGQCVKIEVQTQGDAATSFVQSKKTPITTNRNILKTFVGGLTEIGLSLPTVDLLLVDTVDGALVGGQIAAGIAVAEDPNVAKSPARGFLDQVTQQVKPMRTMGGVLIVEGIDSKSVEADQMAKQCPAITRRILDTVIGEFQARTLKMNLHKPGSSDMTLYPLNIDTQVSSSLECKAKEAAERVRQLAMNDKTLDVGTERKFEKVIHRLLAQDFDEGGLFTDGNTSKVEFVGKQIELISIDFTGGGLDYVAIVKDESKDLGYALAAATPENIVRLVNLGSIQGADKTAQRQSDNFYAPVTIVANKATHGLYNEATEKMKMLVFAGIQSPSYLKGHAEVWADGNSARVIKFPVNKIDKQVEPDDYRRGILRKTAYFTNNPNTVAQRKAPMGVLREGDATFAVVSPTTRAGEANELVKAQKGEMVAVCSNTTGLDIGVVAGKIAESAYDRRSVLRFAEHSLGNWSDQHRAATPGRSAAAGVLRAAAARSASIM
+>sp|P56915|GSC_HUMAN Homeobox protein goosecoid OS=Homo sapiens OX=9606 GN=GSC PE=1 SV=2
+MPASMFSIDNILAARPRCKDSVLPVAHSAAAPVVFPALHGDSLYGASGGASSDYGAFYPRPVAPGGAGLPAAVSGSRLGYNNYFYGQLHVQAAPVGPACCGAVPPLGAQQCSCVPTPPGYEGPGSVLVSPVPHQMLPYMNVGTLSRTELQLLNQLHCRRKRRHRTIFTDEQLEALENLFQETKYPDVGTREQLARKVHLREEKVEVWFKNRRAKWRRQKRSSSEESENAEKWNKTSSSKASPEKREEEGKSDLDSDS
+>DECOY_sp|P56915|GSC_HUMAN Homeobox protein goosecoid OS=Homo sapiens OX=9606 GN=GSC PE=1 SV=2
+SDSDLDSKGEEERKEPSAKSSSTKNWKEANESEESSSRKQRRWKARRNKFWVEVKEERLHVKRALQERTGVDPYKTEQFLNELAELQEDTFITRHRRKRRCHLQNLLQLETRSLTGVNMYPLMQHPVPSVLVSGPGEYGPPTPVCSCQQAGLPPVAGCCAPGVPAAQVHLQGYFYNNYGLRSGSVAAPLGAGGPAVPRPYFAGYDSSAGGSAGYLSDGHLAPFVVPAAASHAVPLVSDKCRPRAALINDISFMSAPM
+>sp|P09488|GSTM1_HUMAN Glutathione S-transferase Mu 1 OS=Homo sapiens OX=9606 GN=GSTM1 PE=1 SV=3
+MPMILGYWDIRGLAHAIRLLLEYTDSSYEEKKYTMGDAPDYDRSQWLNEKFKLGLDFPNLPYLIDGAHKITQSNAILCYIARKHNLCGETEEEKIRVDILENQTMDNHMQLGMICYNPEFEKLKPKYLEELPEKLKLYSEFLGKRPWFAGNKITFVDFLVYDVLDLHRIFEPKCLDAFPNLKDFISRFEGLEKISAYMKSSRFLPRPVFSKMAVWGNK
+>DECOY_sp|P09488|GSTM1_HUMAN Glutathione S-transferase Mu 1 OS=Homo sapiens OX=9606 GN=GSTM1 PE=1 SV=3
+KNGWVAMKSFVPRPLFRSSKMYASIKELGEFRSIFDKLNPFADLCKPEFIRHLDLVDYVLFDVFTIKNGAFWPRKGLFESYLKLKEPLEELYKPKLKEFEPNYCIMGLQMHNDMTQNELIDVRIKEEETEGCLNHKRAIYCLIANSQTIKHAGDILYPLNPFDLGLKFKENLWQSRDYDPADGMTYKKEEYSSDTYELLLRIAHALGRIDWYGLIMPM
+>sp|P30711|GSTT1_HUMAN Glutathione S-transferase theta-1 OS=Homo sapiens OX=9606 GN=GSTT1 PE=1 SV=4
+MGLELYLDLLSQPCRAVYIFAKKNDIPFELRIVDLIKGQHLSDAFAQVNPLKKVPALKDGDFTLTESVAILLYLTRKYKVPDYWYPQDLQARARVDEYLAWQHTTLRRSCLRALWHKVMFPVFLGEPVSPQTLAATLAELDVTLQLLEDKFLQNKAFLTGPHISLADLVAITELMHPVGAGCQVFEGRPKLATWRQRVEAAVGEDLFQEAHEVILKAKDFPPADPTIKQKLMPWVLAMIR
+>DECOY_sp|P30711|GSTT1_HUMAN Glutathione S-transferase theta-1 OS=Homo sapiens OX=9606 GN=GSTT1 PE=1 SV=4
+RIMALVWPMLKQKITPDAPPFDKAKLIVEHAEQFLDEGVAAEVRQRWTALKPRGEFVQCGAGVPHMLETIAVLDALSIHPGTLFAKNQLFKDELLQLTVDLEALTAALTQPSVPEGLFVPFMVKHWLARLCSRRLTTHQWALYEDVRARAQLDQPYWYDPVKYKRTLYLLIAVSETLTFDGDKLAPVKKLPNVQAFADSLHQGKILDVIRLEFPIDNKKAFIYVARCPQSLLDLYLELGM
+>sp|Q9BZM3|GSX2_HUMAN GS homeobox 2 OS=Homo sapiens OX=9606 GN=GSX2 PE=2 SV=2
+MSRSFYVDSLIIKDTSRPAPSLPEPHPGPDFFIPLGMPPPLVMSVSGPGCPSRKSGAFCVCPLCVTSHLHSSRGSVGAGSGGAGAGVTGAGGSGVAGAAGALPLLKGQFSSAPGDAQFCPRVNHAHHHHHPPQHHHHHHQPQQPGSAAAAAAAAAAAAAAAALGHPQHHAPVCTATTYNVADPRRFHCLTMGGSDASQVPNGKRMRTAFTSTQLLELEREFSSNMYLSRLRRIEIATYLNLSEKQVKIWFQNRRVKHKKEGKGTQRNSHAGCKCVGSQVHYARSEDEDSLSPASANDDKEISPL
+>DECOY_sp|Q9BZM3|GSX2_HUMAN GS homeobox 2 OS=Homo sapiens OX=9606 GN=GSX2 PE=2 SV=2
+LPSIEKDDNASAPSLSDEDESRAYHVQSGVCKCGAHSNRQTGKGEKKHKVRRNQFWIKVQKESLNLYTAIEIRRLRSLYMNSSFERELELLQTSTFATRMRKGNPVQSADSGGMTLCHFRRPDAVNYTTATCVPAHHQPHGLAAAAAAAAAAAAAAAASGPQQPQHHHHHHQPPHHHHHAHNVRPCFQADGPASSFQGKLLPLAGAAGAVGSGGAGTVGAGAGGSGAGVSGRSSHLHSTVCLPCVCFAGSKRSPCGPGSVSMVLPPPMGLPIFFDPGPHPEPLSPAPRSTDKIILSDVYFSRSM
+>sp|O43824|GTPB6_HUMAN Putative GTP-binding protein 6 OS=Homo sapiens OX=9606 GN=GTPBP6 PE=2 SV=4
+MWALRAAVRPGLRLSRVGRGRSAPRAAAPSCPARALAAVGRRSPGNLEGPWGGGRGLRADGGRSRTGDDEEEPEDADENAEEELLRGEPLLPAGTQRVCLVHPDVKWGPGKSQMTRAEWQVAEATALVHTLDGWSVVQTMVVSTKTPDRKLIFGKGNFEHLTEKIRGSPDITCVFLNVERMAAPTKKELEAAWGVEVFDRFTVVLHIFRCNARTKEARLQVALAEMPLHRSNLKRDVAHLYRGVGSRYIMGSGESFMQLQQRLLREKEAKIRKALDRLRKKRHLLRRQRTRREFPVISVVGYTNCGKTTLIKALTGDAAIQPRDQLFATLDVTAHAGTLPSRMTVLYVDTIGFLSQLPHGLIESFSATLEDVAHSDLILHVRDVSHPEAELQKCSVLSTLRGLQLPAPLLDSMVEVHNKVDLVPGYSPTEPNVVPVSALRGHGLQELKAELDAAVLKATGRQILTLRVRLAGAQLSWLYKEATVQEVDVIPEDGAADVRVIISNSAYGKFRKLFPG
+>DECOY_sp|O43824|GTPB6_HUMAN Putative GTP-binding protein 6 OS=Homo sapiens OX=9606 GN=GTPBP6 PE=2 SV=4
+GPFLKRFKGYASNSIIVRVDAAGDEPIVDVEQVTAEKYLWSLQAGALRVRLTLIQRGTAKLVAADLEAKLEQLGHGRLASVPVVNPETPSYGPVLDVKNHVEVMSDLLPAPLQLGRLTSLVSCKQLEAEPHSVDRVHLILDSHAVDELTASFSEILGHPLQSLFGITDVYLVTMRSPLTGAHATVDLTAFLQDRPQIAADGTLAKILTTKGCNTYGVVSIVPFERRTRQRRLLHRKKRLRDLAKRIKAEKERLLRQQLQMFSEGSGMIYRSGVGRYLHAVDRKLNSRHLPMEALAVQLRAEKTRANCRFIHLVVTFRDFVEVGWAAELEKKTPAAMREVNLFVCTIDPSGRIKETLHEFNGKGFILKRDPTKTSVVMTQVVSWGDLTHVLATAEAVQWEARTMQSKGPGWKVDPHVLCVRQTGAPLLPEGRLLEEEANEDADEPEEEDDGTRSRGGDARLGRGGGWPGELNGPSRRGVAALARAPCSPAAARPASRGRGVRSLRLGPRVAARLAWM
+>sp|A4D1E9|GTPBA_HUMAN GTP-binding protein 10 OS=Homo sapiens OX=9606 GN=GTPBP10 PE=1 SV=1
+MVHCSCVLFRKYGNFIDKLRLFTRGGSGGMGYPRLGGEGGKGGDVWVVAQNRMTLKQLKDRYPRKRFVAGVGANSKISALKGSKGKDCEIPVPVGISVTDENGKIIGELNKENDRILVAQGGLGGKLLTNFLPLKGQKRIIHLDLKLIADVGLVGFPNAGKSSLLSCVSHAKPAIADYAFTTLKPELGKIMYSDFKQISVADLPGLIEGAHMNKGMGHKFLKHIERTRQLLFVVDISGFQLSSHTQYRTAFETIILLTKELELYKEELQTKPALLAVNKMDLPDAQDKFHELMSQLQNPKDFLHLFEKNMIPERTVEFQHIIPISAVTGEGIEELKNCIRKSLDEQANQENDALHKKQLLNLWISDTMSSTEPPSKHAVTTSKMDII
+>DECOY_sp|A4D1E9|GTPBA_HUMAN GTP-binding protein 10 OS=Homo sapiens OX=9606 GN=GTPBP10 PE=1 SV=1
+IIDMKSTTVAHKSPPETSSMTDSIWLNLLQKKHLADNEQNAQEDLSKRICNKLEEIGEGTVASIPIIHQFEVTREPIMNKEFLHLFDKPNQLQSMLEHFKDQADPLDMKNVALLAPKTQLEEKYLELEKTLLIITEFATRYQTHSSLQFGSIDVVFLLQRTREIHKLFKHGMGKNMHAGEILGPLDAVSIQKFDSYMIKGLEPKLTTFAYDAIAPKAHSVCSLLSSKGANPFGVLGVDAILKLDLHIIRKQGKLPLFNTLLKGGLGGQAVLIRDNEKNLEGIIKGNEDTVSIGVPVPIECDKGKSGKLASIKSNAGVGAVFRKRPYRDKLQKLTMRNQAVVWVDGGKGGEGGLRPYGMGGSGGRTFLRLKDIFNGYKRFLVCSCHVM
+>sp|Q9H1H1|GTSFL_HUMAN Gametocyte-specific factor 1-like OS=Homo sapiens OX=9606 GN=GTSF1L PE=2 SV=1
+MEPEAFEICPYDPHHRIPLSRFQYHLASCRRKNPKKAKKMATCKYNACHVVPIKNLEEHEAVCVNRSAVEEEDTENPLKVSPPSSEQNDDTQQVSPCLPSPDIWNVDGANCQHVFVLKTFFPQKVVCENDTKESARETSPQKILRPGQ
+>DECOY_sp|Q9H1H1|GTSFL_HUMAN Gametocyte-specific factor 1-like OS=Homo sapiens OX=9606 GN=GTSF1L PE=2 SV=1
+QGPRLIKQPSTERASEKTDNECVVKQPFFTKLVFVHQCNAGDVNWIDPSPLCPSVQQTDDNQESSPPSVKLPNETDEEEVASRNVCVAEHEELNKIPVVHCANYKCTAMKKAKKPNKRRCSALHYQFRSLPIRHHPDYPCIEFAEPEM
+>sp|Q3ZCU0|GVQW3_HUMAN Protein GVQW3 OS=Homo sapiens OX=9606 GN=GVQW3 PE=2 SV=1
+MSDRYLEQRISIKFCVKLNKSASETHHLLKEAYGDEVMSRARVFDWHKRFKEGREDVRDDARSGRPVTHRTDDNIQKVKDLVCSNRQLTVRMMAEELNLDKETVRLILKENLNMRKISAKVISGVLKETEPHYVAQAGLELLVSRDPPTLASQSSGIISMSHHAKPKPGVQWCKFQSESTGRRARSADVQGQEKMDVTAQEARTNLPFYLFVLFRSSMNWMMSMHIREGCLFITRSTNSNANLFRKHPHRHTQK
+>DECOY_sp|Q3ZCU0|GVQW3_HUMAN Protein GVQW3 OS=Homo sapiens OX=9606 GN=GVQW3 PE=2 SV=1
+KQTHRHPHKRFLNANSNTSRTIFLCGERIHMSMMWNMSSRFLVFLYFPLNTRAEQATVDMKEQGQVDASRARRGTSESQFKCWQVGPKPKAHHSMSIIGSSQSALTPPDRSVLLELGAQAVYHPETEKLVGSIVKASIKRMNLNEKLILRVTEKDLNLEEAMMRVTLQRNSCVLDKVKQINDDTRHTVPRGSRADDRVDERGEKFRKHWDFVRARSMVEDGYAEKLLHHTESASKNLKVCFKISIRQELYRDSM
+>sp|P54840|GYS2_HUMAN Glycogen [starch] synthase, liver OS=Homo sapiens OX=9606 GN=GYS2 PE=1 SV=2
+MLRGRSLSVTSLGGLPQWEVEELPVEELLLFEVAWEVTNKVGGIYTVIQTKAKTTADEWGENYFLIGPYFEHNMKTQVEQCEPVNDAVRRAVDAMNKHGCQVHFGRWLIEGSPYVVLFDIGYSAWNLDRWKGDLWEACSVGIPYHDREANDMLIFGSLTAWFLKEVTDHADGKYVVAQFHEWQAGIGLILSRARKLPIATIFTTHATLLGRYLCAANIDFYNHLDKFNIDKEAGERQIYHRYCMERASVHCAHVFTTVSEITAIEAEHMLKRKPDVVTPNGLNVKKFSAVHEFQNLHAMYKARIQDFVRGHFYGHLDFDLEKTLFLFIAGRYEFSNKGADIFLESLSRLNFLLRMHKSDITVMVFFIMPAKTNNFNVETLKGQAVRKQLWDVAHSVKEKFGKKLYDALLRGEIPDLNDILDRDDLTIMKRAIFSTQRQSLPPVTTHNMIDDSTDPILSTIRRIGLFNNRTDRVKVILHPEFLSSTSPLLPMDYEEFVRGCHLGVFPSYYEPWGYTPAECTVMGIPSVTTNLSGFGCFMQEHVADPTAYGIYIVDRRFRSPDDSCNQLTKFLYGFCKQSRRQRIIQRNRTERLSDLLDWRYLGRYYQHARHLTLSRAFPDKFHVELTSPPTTEGFKYPRPSSVPPSPSGSQASSPQSSDVEDEVEDERYDEEEEAERDRLNIKSPFSLSHVPHGKKKLHGEYKN
+>DECOY_sp|P54840|GYS2_HUMAN Glycogen [starch] synthase, liver OS=Homo sapiens OX=9606 GN=GYS2 PE=1 SV=2
+NKYEGHLKKKGHPVHSLSFPSKINLRDREAEEEEDYREDEVEDEVDSSQPSSAQSGSPSPPVSSPRPYKFGETTPPSTLEVHFKDPFARSLTLHRAHQYYRGLYRWDLLDSLRETRNRQIIRQRRSQKCFGYLFKTLQNCSDDPSRFRRDVIYIGYATPDAVHEQMFCGFGSLNTTVSPIGMVTCEAPTYGWPEYYSPFVGLHCGRVFEEYDMPLLPSTSSLFEPHLIVKVRDTRNNFLGIRRITSLIPDTSDDIMNHTTVPPLSQRQTSFIARKMITLDDRDLIDNLDPIEGRLLADYLKKGFKEKVSHAVDWLQKRVAQGKLTEVNFNNTKAPMIFFVMVTIDSKHMRLLFNLRSLSELFIDAGKNSFEYRGAIFLFLTKELDFDLHGYFHGRVFDQIRAKYMAHLNQFEHVASFKKVNLGNPTVVDPKRKLMHEAEIATIESVTTFVHACHVSAREMCYRHYIQREGAEKDINFKDLHNYFDINAACLYRGLLTAHTTFITAIPLKRARSLILGIGAQWEHFQAVVYKGDAHDTVEKLFWATLSGFILMDNAERDHYPIGVSCAEWLDGKWRDLNWASYGIDFLVVYPSGEILWRGFHVQCGHKNMADVARRVADNVPECQEVQTKMNHEFYPGILFYNEGWEDATTKAKTQIVTYIGGVKNTVEWAVEFLLLEEVPLEEVEWQPLGGLSTVSLSRGRLM
+>sp|Q9H116|GZF1_HUMAN GDNF-inducible zinc finger protein 1 OS=Homo sapiens OX=9606 GN=GZF1 PE=1 SV=1
+MESGAVLLESKSSPFNLLHEMHELRLLGHLCDVTVSVEYQGVRKDFMAHKAVLAATSKFFKEVFLNEKSVDGTRTNVYLNEVQVADFASFLEFVYTAKVQVEEDRVQRMLEVAEKLKCLDLSETCFQLKKQMLESVLLELQNFSESQEVEVSSGSQVSAAPAPRASVATDGPHPSGLTDSLDYPGERASNGMSSDLPPKKSKDKLDKKKEVVKPPYPKIRRASGRLAGRKVFVEIPKKKYTRRLREQQKTAEGDVGDYRCPQDQSPDRVGTEMEQVSKNEGCQAGAELEELSKKAGPEEEEEEEEEDEEGEKKKSNFKCSICEKAFLYEKSFLKHSKHRHGVATEVVYRCDTCGQTFANRCNLKSHQRHVHSSERHFPCELCGKKFKRKKDVKRHVLQVHEGGGERHRCGQCGKGLSSKTALRLHERTHTGDRPYGCTECGARFSQPSALKTHMRIHTGEKPFVCDECGARFTQNHMLIYHKRCHTGERPFMCETCGKSFASKEYLKHHNRIHTGSKPFKCEVCFRTFAQRNSLYQHIKVHTGERPYCCDQCGKQFTQLNALQRHRRIHTGERPFMCNACGRTFTDKSTLRRHTSIHDKNTPWKSFLVIVDGSPKNDDGHKTEQPDEEYVSSKLSDKLLSFAENGHFHNLAAVQDTVPTMQENSSADTACKADDSVVSQDTLLATTISELSELTPQTDSMPTQLHSLSNME
+>DECOY_sp|Q9H116|GZF1_HUMAN GDNF-inducible zinc finger protein 1 OS=Homo sapiens OX=9606 GN=GZF1 PE=1 SV=1
+EMNSLSHLQTPMSDTQPTLESLESITTALLTDQSVVSDDAKCATDASSNEQMTPVTDQVAALNHFHGNEAFSLLKDSLKSSVYEEDPQETKHGDDNKPSGDVIVLFSKWPTNKDHISTHRRLTSKDTFTRGCANCMFPREGTHIRRHRQLANLQTFQKGCQDCCYPREGTHVKIHQYLSNRQAFTRFCVECKFPKSGTHIRNHHKLYEKSAFSKGCTECMFPREGTHCRKHYILMHNQTFRAGCEDCVFPKEGTHIRMHTKLASPQSFRAGCETCGYPRDGTHTREHLRLATKSSLGKGCQGCRHREGGGEHVQLVHRKVDKKRKFKKGCLECPFHRESSHVHRQHSKLNCRNAFTQGCTDCRYVVETAVGHRHKSHKLFSKEYLFAKECISCKFNSKKKEGEEDEEEEEEEEEPGAKKSLEELEAGAQCGENKSVQEMETGVRDPSQDQPCRYDGVDGEATKQQERLRRTYKKKPIEVFVKRGALRGSARRIKPYPPKVVEKKKDLKDKSKKPPLDSSMGNSAREGPYDLSDTLGSPHPGDTAVSARPAPAASVQSGSSVEVEQSESFNQLELLVSELMQKKLQFCTESLDLCKLKEAVELMRQVRDEEVQVKATYVFELFSAFDAVQVENLYVNTRTGDVSKENLFVEKFFKSTAALVAKHAMFDKRVGQYEVSVTVDCLHGLLRLEHMEHLLNFPSSKSELLVAGSEM
+>sp|P07305|H10_HUMAN Histone H1.0 OS=Homo sapiens OX=9606 GN=H1F0 PE=1 SV=3
+MTENSTSAPAAKPKRAKASKKSTDHPKYSDMIVAAIQAEKNRAGSSRQSIQKYIKSHYKVGENADSQIKLSIKRLVTTGVLKQTKGVGASGSFRLAKSDEPKKSVAFKKTKKEIKKVATPKKASKPKKAASKAPTKKPKATPVKKAKKKLAATPKKAKKPKTVKAKPVKASKPKKAKPVKPKAKSSAKRAGKKK
+>DECOY_sp|P07305|H10_HUMAN Histone H1.0 OS=Homo sapiens OX=9606 GN=H1F0 PE=1 SV=3
+KKKGARKASSKAKPKVPKAKKPKSAKVPKAKVTKPKKAKKPTAALKKKAKKVPTAKPKKTPAKSAAKKPKSAKKPTAVKKIEKKTKKFAVSKKPEDSKALRFSGSAGVGKTQKLVGTTVLRKISLKIQSDANEGVKYHSKIYKQISQRSSGARNKEAQIAAVIMDSYKPHDTSKKSAKARKPKAAPASTSNETM
+>sp|Q02539|H11_HUMAN Histone H1.1 OS=Homo sapiens OX=9606 GN=HIST1H1A PE=1 SV=3
+MSETVPPAPAASAAPEKPLAGKKAKKPAKAAAASKKKPAGPSVSELIVQAASSSKERGGVSLAALKKALAAAGYDVEKNNSRIKLGIKSLVSKGTLVQTKGTGASGSFKLNKKASSVETKPGASKVATKTKATGASKKLKKATGASKKSVKTPKKAKKPAATRKSSKNPKKPKTVKPKKVAKSPAKAKAVKPKAAKARVTKPKTAKPKKAAPKKK
+>DECOY_sp|Q02539|H11_HUMAN Histone H1.1 OS=Homo sapiens OX=9606 GN=HIST1H1A PE=1 SV=3
+KKKPAAKKPKATKPKTVRAKAAKPKVAKAKAPSKAVKKPKVTKPKKPNKSSKRTAAPKKAKKPTKVSKKSAGTAKKLKKSAGTAKTKTAVKSAGPKTEVSSAKKNLKFSGSAGTGKTQVLTGKSVLSKIGLKIRSNNKEVDYGAAALAKKLAALSVGGREKSSSAAQVILESVSPGAPKKKSAAAAKAPKKAKKGALPKEPAASAAPAPPVTESM
+>sp|Q4G0G2|H1AS1_HUMAN Putative uncharacterized protein H1FX-AS1 OS=Homo sapiens OX=9606 GN=H1FX-AS1 PE=5 SV=1
+MGWEQETQKSRPWNQVEGRQPGHDPEQDTCSTSPFAMSKSSLRPPKKLMPCASCTAAEPDGFPWLCYSHSWKCCLTESSGHPGRMDVVYPLLYRWGN
+>DECOY_sp|Q4G0G2|H1AS1_HUMAN Putative uncharacterized protein H1FX-AS1 OS=Homo sapiens OX=9606 GN=H1FX-AS1 PE=5 SV=1
+NGWRYLLPYVVDMRGPHGSSETLCCKWSHSYCLWPFGDPEAATCSACPMLKKPPRLSSKSMAFPSTSCTDQEPDHGPQRGEVQNWPRSKQTEQEWGM
+>sp|Q75WM6|H1FNT_HUMAN Testis-specific H1 histone OS=Homo sapiens OX=9606 GN=H1FNT PE=2 SV=3
+MEQALTGEAQSRWPRRGGSGAMAEAPGPSGESRGHSATQLPAEKTVGGPSRGCSSSVLRVSQLVLQAISTHKGLTLAALKKELRNAGYEVRRKSGRHEAPRGQAKATLLRVSGSDAAGYFRVWKVPKPRRKPGRARQEEGTRAPWRTPAAPRSSRRRRQPLRKAARKAREVWRRNARAKAKANARARRTRRARPRAKEPPCARAKEEAGATAADEGRGQAVKEDTTPRSGKDKRRSSKPREEKQEPKKPAQRTIQ
+>DECOY_sp|Q75WM6|H1FNT_HUMAN Testis-specific H1 histone OS=Homo sapiens OX=9606 GN=H1FNT PE=2 SV=3
+QITRQAPKKPEQKEERPKSSRRKDKGSRPTTDEKVAQGRGEDAATAGAEEKARACPPEKARPRARRTRRARANAKAKARANRRWVERAKRAAKRLPQRRRRSSRPAAPTRWPARTGEEQRARGPKRRPKPVKWVRFYGAADSGSVRLLTAKAQGRPAEHRGSKRRVEYGANRLEKKLAALTLGKHTSIAQLVLQSVRLVSSSCGRSPGGVTKEAPLQTASHGRSEGSPGPAEAMAGSGGRRPWRSQAEGTLAQEM
+>sp|Q96KK5|H2A1H_HUMAN Histone H2A type 1-H OS=Homo sapiens OX=9606 GN=HIST1H2AH PE=1 SV=3
+MSGRGKQGGKARAKAKTRSSRAGLQFPVGRVHRLLRKGNYAERVGAGAPVYLAAVLEYLTAEILELAGNAARDNKKTRIIPRHLQLAIRNDEELNKLLGKVTIAQGGVLPNIQAVLLPKKTESHHKAK
+>DECOY_sp|Q96KK5|H2A1H_HUMAN Histone H2A type 1-H OS=Homo sapiens OX=9606 GN=HIST1H2AH PE=1 SV=3
+KAKHHSETKKPLLVAQINPLVGGQAITVKGLLKNLEEDNRIALQLHRPIIRTKKNDRAANGALELIEATLYELVAALYVPAGAGVREAYNGKRLLRHVRGVPFQLGARSSRTKAKARAKGGQKGRGSM
+>sp|Q8IUE6|H2A2B_HUMAN Histone H2A type 2-B OS=Homo sapiens OX=9606 GN=HIST2H2AB PE=1 SV=3
+MSGRGKQGGKARAKAKSRSSRAGLQFPVGRVHRLLRKGNYAERVGAGAPVYLAAVLEYLTAEILELAGNAARDNKKTRIIPRHLQLAVRNDEELNKLLGGVTIAQGGVLPNIQAVLLPKKTESHKPGKNK
+>DECOY_sp|Q8IUE6|H2A2B_HUMAN Histone H2A type 2-B OS=Homo sapiens OX=9606 GN=HIST2H2AB PE=1 SV=3
+KNKGPKHSETKKPLLVAQINPLVGGQAITVGGLLKNLEEDNRVALQLHRPIIRTKKNDRAANGALELIEATLYELVAALYVPAGAGVREAYNGKRLLRHVRGVPFQLGARSSRSKAKARAKGGQKGRGSM
+>sp|Q7L7L0|H2A3_HUMAN Histone H2A type 3 OS=Homo sapiens OX=9606 GN=HIST3H2A PE=1 SV=3
+MSGRGKQGGKARAKAKSRSSRAGLQFPVGRVHRLLRKGNYSERVGAGAPVYLAAVLEYLTAEILELAGNAARDNKKTRIIPRHLQLAIRNDEELNKLLGRVTIAQGGVLPNIQAVLLPKKTESHHKAKGK
+>DECOY_sp|Q7L7L0|H2A3_HUMAN Histone H2A type 3 OS=Homo sapiens OX=9606 GN=HIST3H2A PE=1 SV=3
+KGKAKHHSETKKPLLVAQINPLVGGQAITVRGLLKNLEEDNRIALQLHRPIIRTKKNDRAANGALELIEATLYELVAALYVPAGAGVRESYNGKRLLRHVRGVPFQLGARSSRSKAKARAKGGQKGRGSM
+>sp|P0C5Z0|H2AB2_HUMAN Histone H2A-Bbd type 2/3 OS=Homo sapiens OX=9606 GN=H2AFB2 PE=1 SV=1
+MPRRRRRRGSSGAGGRGRTCSRTVRAELSFSVSQVERSLREGHYAQRLSRTAPVYLAAVIEYLTAKVLELAGNEAQNSGERNITPLLLDMVVHNDRLLSTLFNTTTISQVAPGED
+>DECOY_sp|P0C5Z0|H2AB2_HUMAN Histone H2A-Bbd type 2/3 OS=Homo sapiens OX=9606 GN=H2AFB2 PE=1 SV=1
+DEGPAVQSITTTNFLTSLLRDNHVVMDLLLPTINREGSNQAENGALELVKATLYEIVAALYVPATRSLRQAYHGERLSREVQSVSFSLEARVTRSCTRGRGGAGSSGRRRRRRPM
+>sp|Q9BTM1|H2AJ_HUMAN Histone H2A.J OS=Homo sapiens OX=9606 GN=H2AFJ PE=1 SV=1
+MSGRGKQGGKVRAKAKSRSSRAGLQFPVGRVHRLLRKGNYAERVGAGAPVYLAAVLEYLTAEILELAGNAARDNKKTRIIPRHLQLAIRNDEELNKLLGKVTIAQGGVLPNIQAVLLPKKTESQKTKSK
+>DECOY_sp|Q9BTM1|H2AJ_HUMAN Histone H2A.J OS=Homo sapiens OX=9606 GN=H2AFJ PE=1 SV=1
+KSKTKQSETKKPLLVAQINPLVGGQAITVKGLLKNLEEDNRIALQLHRPIIRTKKNDRAANGALELIEATLYELVAALYVPAGAGVREAYNGKRLLRHVRGVPFQLGARSSRSKAKARVKGGQKGRGSM
+>sp|Q71UI9|H2AV_HUMAN Histone H2A.V OS=Homo sapiens OX=9606 GN=H2AFV PE=1 SV=3
+MAGGKAGKDSGKAKAKAVSRSQRAGLQFPVGRIHRHLKTRTTSHGRVGATAAVYSAAILEYLTAEVLELAGNASKDLKVKRITPRHLQLAIRGDEELDSLIKATIAGGGVIPHIHKSLIGKKGQQKTA
+>DECOY_sp|Q71UI9|H2AV_HUMAN Histone H2A.V OS=Homo sapiens OX=9606 GN=H2AFV PE=1 SV=3
+ATKQQGKKGILSKHIHPIVGGGAITAKILSDLEEDGRIALQLHRPTIRKVKLDKSANGALELVEATLYELIAASYVAATAGVRGHSTTRTKLHRHIRGVPFQLGARQSRSVAKAKAKGSDKGAKGGAM
+>sp|Q5QNW6|H2B2F_HUMAN Histone H2B type 2-F OS=Homo sapiens OX=9606 GN=HIST2H2BF PE=1 SV=3
+MPDPAKSAPAPKKGSKKAVTKVQKKDGKKRKRSRKESYSVYVYKVLKQVHPDTGISSKAMGIMNSFVNDIFERIAGEASRLAHYNKRSTITSREIQTAVRLLLPGELAKHAVSEGTKAVTKYTSSK
+>DECOY_sp|Q5QNW6|H2B2F_HUMAN Histone H2B type 2-F OS=Homo sapiens OX=9606 GN=HIST2H2BF PE=1 SV=3
+KSSTYKTVAKTGESVAHKALEGPLLLRVATQIERSTITSRKNYHALRSAEGAIREFIDNVFSNMIGMAKSSIGTDPHVQKLVKYVYVSYSEKRSRKRKKGDKKQVKTVAKKSGKKPAPASKAPDPM
+>sp|Q8N257|H2B3B_HUMAN Histone H2B type 3-B OS=Homo sapiens OX=9606 GN=HIST3H2BB PE=1 SV=3
+MPDPSKSAPAPKKGSKKAVTKAQKKDGKKRKRGRKESYSIYVYKVLKQVHPDTGISSKAMGIMNSFVNDIFERIASEASRLAHYNKRSTITSREVQTAVRLLLPGELAKHAVSEGTKAVTKYTSSK
+>DECOY_sp|Q8N257|H2B3B_HUMAN Histone H2B type 3-B OS=Homo sapiens OX=9606 GN=HIST3H2BB PE=1 SV=3
+KSSTYKTVAKTGESVAHKALEGPLLLRVATQVERSTITSRKNYHALRSAESAIREFIDNVFSNMIGMAKSSIGTDPHVQKLVKYVYISYSEKRGRKRKKGDKKQAKTVAKKSGKKPAPASKSPDPM
+>sp|Q16695|H31T_HUMAN Histone H3.1t OS=Homo sapiens OX=9606 GN=HIST3H3 PE=1 SV=3
+MARTKQTARKSTGGKAPRKQLATKVARKSAPATGGVKKPHRYRPGTVALREIRRYQKSTELLIRKLPFQRLMREIAQDFKTDLRFQSSAVMALQEACESYLVGLFEDTNLCVIHAKRVTIMPKDIQLARRIRGERA
+>DECOY_sp|Q16695|H31T_HUMAN Histone H3.1t OS=Homo sapiens OX=9606 GN=HIST3H3 PE=1 SV=3
+AREGRIRRALQIDKPMITVRKAHIVCLNTDEFLGVLYSECAEQLAMVASSQFRLDTKFDQAIERMLRQFPLKRILLETSKQYRRIERLAVTGPRYRHPKKVGGTAPASKRAVKTALQKRPAKGGTSKRATQKTRAM
+>sp|O60243|H6ST1_HUMAN Heparan-sulfate 6-O-sulfotransferase 1 OS=Homo sapiens OX=9606 GN=HS6ST1 PE=1 SV=5
+MRRRRAGGRTMVERASKFVLVVAGSVCFMLILYQYAGPGLSLGAPGGRAPPDDLDLFPTPDPHYEKKYYFPVRELERSLRFDMKGDDVIVFLHIQKTGGTTFGRHLVQNVRLEVPCDCRPGQKKCTCYRPNRRETWLFSRFSTGWSCGLHADWTELTNCVPGVLDRRDSAALRTPRKFYYITLLRDPVSRYLSEWRHVQRGATWKTSLHMCDGRTPTPEELPPCYEGTDWSGCTLQEFMDCPYNLANNRQVRMLADLSLVGCYNLSFIPEGKRAQLLLESAKKNLRGMAFFGLTEFQRKTQYLFERTFNLKFIRPFMQYNSTRAGGVEVDEDTIRRIEELNDLDMQLYDYAKDLFQQRYQYKRQLERREQRLRSREERLLHRAKEALPREDADEPGRVPTEDYMSHIIEKW
+>DECOY_sp|O60243|H6ST1_HUMAN Heparan-sulfate 6-O-sulfotransferase 1 OS=Homo sapiens OX=9606 GN=HS6ST1 PE=1 SV=5
+WKEIIHSMYDETPVRGPEDADERPLAEKARHLLREERSRLRQERRELQRKYQYRQQFLDKAYDYLQMDLDNLEEIRRITDEDVEVGGARTSNYQMFPRIFKLNFTREFLYQTKRQFETLGFFAMGRLNKKASELLLQARKGEPIFSLNYCGVLSLDALMRVQRNNALNYPCDMFEQLTCGSWDTGEYCPPLEEPTPTRGDCMHLSTKWTAGRQVHRWESLYRSVPDRLLTIYYFKRPTRLAASDRRDLVGPVCNTLETWDAHLGCSWGTSFRSFLWTERRNPRYCTCKKQGPRCDCPVELRVNQVLHRGFTTGGTKQIHLFVIVDDGKMDFRLSRELERVPFYYKKEYHPDPTPFLDLDDPPARGGPAGLSLGPGAYQYLILMFCVSGAVVLVFKSAREVMTRGGARRRRM
+>sp|Q5TC63|GRTP1_HUMAN Growth hormone-regulated TBC protein 1 OS=Homo sapiens OX=9606 GN=GRTP1 PE=1 SV=4
+MQPAERSRVPRIDPYGFERPEDFDDAAYEKFFSSYLVTLTRRAIKWSRLLQGGGVPRSRTVKRYVRKGVPLEHRARVWMVLSGAQAQMDQNPGYYHQLLQGERNPRLEDAIRTDLNRTFPDNVKFRKTTDPCLQRTLYNVLLAYGHHNQGVGYCQGMNFIAGYLILITNNEEESFWLLDALVGRILPDYYSPAMLGLKTDQEVLGELVRAKLPAVGALMERLGVLWTLLVSRWFICLFVDILPVETVLRIWDCLFNEGSKIIFRVALTLIKQHQELILEATSVPDICDKFKQITKGSFVMECHTFMQKIFSEPGSLSMATVAKLRESCRARLLAQG
+>DECOY_sp|Q5TC63|GRTP1_HUMAN Growth hormone-regulated TBC protein 1 OS=Homo sapiens OX=9606 GN=GRTP1 PE=1 SV=4
+GQALLRARCSERLKAVTAMSLSGPESFIKQMFTHCEMVFSGKTIQKFKDCIDPVSTAELILEQHQKILTLAVRFIIKSGENFLCDWIRLVTEVPLIDVFLCIFWRSVLLTWLVGLREMLAGVAPLKARVLEGLVEQDTKLGLMAPSYYDPLIRGVLADLLWFSEEENNTILILYGAIFNMGQCYGVGQNHHGYALLVNYLTRQLCPDTTKRFKVNDPFTRNLDTRIADELRPNREGQLLQHYYGPNQDMQAQAGSLVMWVRARHELPVGKRVYRKVTRSRPVGGGQLLRSWKIARRTLTVLYSSFFKEYAADDFDEPREFGYPDIRPVRSREAPQM
+>sp|Q8TAX9|GSDMB_HUMAN Gasdermin-B OS=Homo sapiens OX=9606 GN=GSDMB PE=1 SV=2
+MFSVFEEITRIVVKEMDAGGDMIAVRSLVDADRFRCFHLVGEKRTFFGCRHYTTGLTLMDILDTDGDKWLDELDSGLQGQKAEFQILDNVDSTGELIVRLPKEITISGSFQGFHHQKIKISENRISQQYLATLENRKLKRELPFSFRSINTRENLYLVTETLETVKEETLKSDRQYKFWSQISQGHLSYKHKGQREVTIPPNRVLSYRVKQLVFPNKETMSAGLDIHFRGKTKSFPEGKSLGSEDSRNMKEKLEDMESVLKDLTEEKRKDVLNSLAKCLGKEDIRQDLEQRVSEVLISGELHMEDPDKPLLSSLFNAAGVLVEARAKAILDFLDALLELSEEQQFVAEALEKGTLPLLKDQVKSVMEQNWDELASSPPDMDYDPEARILCALYVVVSILLELAEGPTSVSS
+>DECOY_sp|Q8TAX9|GSDMB_HUMAN Gasdermin-B OS=Homo sapiens OX=9606 GN=GSDMB PE=1 SV=2
+SSVSTPGEALELLISVVVYLACLIRAEPDYDMDPPSSALEDWNQEMVSKVQDKLLPLTGKELAEAVFQQEESLELLADLFDLIAKARAEVLVGAANFLSSLLPKDPDEMHLEGSILVESVRQELDQRIDEKGLCKALSNLVDKRKEETLDKLVSEMDELKEKMNRSDESGLSKGEPFSKTKGRFHIDLGASMTEKNPFVLQKVRYSLVRNPPITVERQGKHKYSLHGQSIQSWFKYQRDSKLTEEKVTELTETVLYLNERTNISRFSFPLERKLKRNELTALYQQSIRNESIKIKQHHFGQFSGSITIEKPLRVILEGTSDVNDLIQFEAKQGQLGSDLEDLWKDGDTDLIDMLTLGTTYHRCGFFTRKEGVLHFCRFRDADVLSRVAIMDGGADMEKVVIRTIEEFVSFM
+>sp|P00390|GSHR_HUMAN Glutathione reductase, mitochondrial OS=Homo sapiens OX=9606 GN=GSR PE=1 SV=2
+MALLPRALSAGAGPSWRRAARAFRGFLLLLPEPAALTRALSRAMACRQEPQPQGPPPAAGAVASYDYLVIGGGSGGLASARRAAELGARAAVVESHKLGGTCVNVGCVPKKVMWNTAVHSEFMHDHADYGFPSCEGKFNWRVIKEKRDAYVSRLNAIYQNNLTKSHIEIIRGHAAFTSDPKPTIEVSGKKYTAPHILIATGGMPSTPHESQIPGASLGITSDGFFQLEELPGRSVIVGAGYIAVEMAGILSALGSKTSLMIRHDKVLRSFDSMISTNCTEELENAGVEVLKFSQVKEVKKTLSGLEVSMVTAVPGRLPVMTMIPDVDCLLWAIGRVPNTKDLSLNKLGIQTDDKGHIIVDEFQNTNVKGIYAVGDVCGKALLTPVAIAAGRKLAHRLFEYKEDSKLDYNNIPTVVFSHPPIGTVGLTEDEAIHKYGIENVKTYSTSFTPMYHAVTKRKTKCVMKMVCANKEEKVVGIHMQGLGCDEMLQGFAVAVKMGATKADFDNTVAIHPTSSEELVTLR
+>DECOY_sp|P00390|GSHR_HUMAN Glutathione reductase, mitochondrial OS=Homo sapiens OX=9606 GN=GSR PE=1 SV=2
+RLTVLEESSTPHIAVTNDFDAKTAGMKVAVAFGQLMEDCGLGQMHIGVVKEEKNACVMKMVCKTKRKTVAHYMPTFSTSYTKVNEIGYKHIAEDETLGVTGIPPHSFVVTPINNYDLKSDEKYEFLRHALKRGAAIAVPTLLAKGCVDGVAYIGKVNTNQFEDVIIHGKDDTQIGLKNLSLDKTNPVRGIAWLLCDVDPIMTMVPLRGPVATVMSVELGSLTKKVEKVQSFKLVEVGANELEETCNTSIMSDFSRLVKDHRIMLSTKSGLASLIGAMEVAIYGAGVIVSRGPLEELQFFGDSTIGLSAGPIQSEHPTSPMGGTAILIHPATYKKGSVEITPKPDSTFAAHGRIIEIHSKTLNNQYIANLRSVYADRKEKIVRWNFKGECSPFGYDAHDHMFESHVATNWMVKKPVCGVNVCTGGLKHSEVVAARAGLEAARRASALGGSGGGIVLYDYSAVAGAAPPPGQPQPEQRCAMARSLARTLAAPEPLLLLFGRFARAARRWSPGAGASLARPLLAM
+>sp|P08263|GSTA1_HUMAN Glutathione S-transferase A1 OS=Homo sapiens OX=9606 GN=GSTA1 PE=1 SV=3
+MAEKPKLHYFNARGRMESTRWLLAAAGVEFEEKFIKSAEDLDKLRNDGYLMFQQVPMVEIDGMKLVQTRAILNYIASKYNLYGKDIKERALIDMYIEGIADLGEMILLLPVCPPEEKDAKLALIKEKIKNRYFPAFEKVLKSHGQDYLVGNKLSRADIHLVELLYYVEELDSSLISSFPLLKALKTRISNLPTVKKFLQPGSPRKPPMDEKSLEEARKIFRF
+>DECOY_sp|P08263|GSTA1_HUMAN Glutathione S-transferase A1 OS=Homo sapiens OX=9606 GN=GSTA1 PE=1 SV=3
+FRFIKRAEELSKEDMPPKRPSGPQLFKKVTPLNSIRTKLAKLLPFSSILSSDLEEVYYLLEVLHIDARSLKNGVLYDQGHSKLVKEFAPFYRNKIKEKILALKADKEEPPCVPLLLIMEGLDAIGEIYMDILAREKIDKGYLNYKSAIYNLIARTQVLKMGDIEVMPVQQFMLYGDNRLKDLDEASKIFKEEFEVGAAALLWRTSEMRGRANFYHLKPKEAM
+>sp|Q16772|GSTA3_HUMAN Glutathione S-transferase A3 OS=Homo sapiens OX=9606 GN=GSTA3 PE=1 SV=3
+MAGKPKLHYFNGRGRMEPIRWLLAAAGVEFEEKFIGSAEDLGKLRNDGSLMFQQVPMVEIDGMKLVQTRAILNYIASKYNLYGKDIKERALIDMYTEGMADLNEMILLLPLCRPEEKDAKIALIKEKTKSRYFPAFEKVLQSHGQDYLVGNKLSRADISLVELLYYVEELDSSLISNFPLLKALKTRISNLPTVKKFLQPGSPRKPPADAKALEEARKIFRF
+>DECOY_sp|Q16772|GSTA3_HUMAN Glutathione S-transferase A3 OS=Homo sapiens OX=9606 GN=GSTA3 PE=1 SV=3
+FRFIKRAEELAKADAPPKRPSGPQLFKKVTPLNSIRTKLAKLLPFNSILSSDLEEVYYLLEVLSIDARSLKNGVLYDQGHSQLVKEFAPFYRSKTKEKILAIKADKEEPRCLPLLLIMENLDAMGETYMDILAREKIDKGYLNYKSAIYNLIARTQVLKMGDIEVMPVQQFMLSGDNRLKGLDEASGIFKEEFEVGAAALLWRIPEMRGRGNFYHLKPKGAM
+>sp|Q9H4Y5|GSTO2_HUMAN Glutathione S-transferase omega-2 OS=Homo sapiens OX=9606 GN=GSTO2 PE=1 SV=1
+MSGDATRTLGKGSQPPGPVPEGLIRIYSMRFCPYSHRTRLVLKAKDIRHEVVNINLRNKPEWYYTKHPFGHIPVLETSQCQLIYESVIACEYLDDAYPGRKLFPYDPYERARQKMLLELFCKVPHLTKECLVALRCGRECTNLKAALRQEFSNLEEILEYQNTTFFGGTCISMIDYLLWPWFERLDVYGILDCVSHTPALRLWISAMKWDPTVCALLMDKSIFQGFLNLYFQNNPNAFDFGLC
+>DECOY_sp|Q9H4Y5|GSTO2_HUMAN Glutathione S-transferase omega-2 OS=Homo sapiens OX=9606 GN=GSTO2 PE=1 SV=1
+CLGFDFANPNNQFYLNLFGQFISKDMLLACVTPDWKMASIWLRLAPTHSVCDLIGYVDLREFWPWLLYDIMSICTGGFFTTNQYELIEELNSFEQRLAAKLNTCERGCRLAVLCEKTLHPVKCFLELLMKQRAREYPDYPFLKRGPYADDLYECAIVSEYILQCQSTELVPIHGFPHKTYYWEPKNRLNINVVEHRIDKAKLVLRTRHSYPCFRMSYIRILGEPVPGPPQSGKGLTRTADGSM
+>sp|Q9H4S2|GSX1_HUMAN GS homeobox 1 OS=Homo sapiens OX=9606 GN=GSX1 PE=2 SV=1
+MPRSFLVDSLVLREAGEKKAPEGSPPPLFPYAVPPPHALHGLSPGACHARKAGLLCVCPLCVTASQLHGPPGPPALPLLKASFPPFGSQYCHAPLGRQHSAVSPGVAHGPAAAAAAAALYQTSYPLPDPRQFHCISVDSSSNQLPSSKRMRTAFTSTQLLELEREFASNMYLSRLRRIEIATYLNLSEKQVKIWFQNRRVKHKKEGKGSNHRGGGGGGAGGGGSAPQGCKCASLSSAKCSEDDDELPMSPSSSGKDDRDLTVTP
+>DECOY_sp|Q9H4S2|GSX1_HUMAN GS homeobox 1 OS=Homo sapiens OX=9606 GN=GSX1 PE=2 SV=1
+PTVTLDRDDKGSSSPSMPLEDDDESCKASSLSACKCGQPASGGGGAGGGGGGRHNSGKGEKKHKVRRNQFWIKVQKESLNLYTAIEIRRLRSLYMNSAFERELELLQTSTFATRMRKSSPLQNSSSDVSICHFQRPDPLPYSTQYLAAAAAAAAPGHAVGPSVASHQRGLPAHCYQSGFPPFSAKLLPLAPPGPPGHLQSATVCLPCVCLLGAKRAHCAGPSLGHLAHPPPVAYPFLPPPSGEPAKKEGAERLVLSDVLFSRPM
+>sp|O95843|GUC1C_HUMAN Guanylyl cyclase-activating protein 3 OS=Homo sapiens OX=9606 GN=GUCA1C PE=1 SV=3
+MGNGKSIAGDQKAVPTQETHVWYRTFMMEYPSGLQTLHEFKTLLGLQGLNQKANKHIDQVYNTFDTNKDGFVDFLEFIAAVNLIMQEKMEQKLKWYFKLYDADGNGSIDKNELLDMFMAVQALNGQQTLSPEEFINLVFHKIDINNDGELTLEEFINGMAKDQDLLEIVYKSFDFSNVLRVICNGKQPDMETDSSKSPDKAGLGKVKMK
+>DECOY_sp|O95843|GUC1C_HUMAN Guanylyl cyclase-activating protein 3 OS=Homo sapiens OX=9606 GN=GUCA1C PE=1 SV=3
+KMKVKGLGAKDPSKSSDTEMDPQKGNCIVRLVNSFDFSKYVIELLDQDKAMGNIFEELTLEGDNNIDIKHFVLNIFEEPSLTQQGNLAQVAMFMDLLENKDISGNGDADYLKFYWKLKQEMKEQMILNVAAIFELFDVFGDKNTDFTNYVQDIHKNAKQNLGQLGLLTKFEHLTQLGSPYEMMFTRYWVHTEQTPVAKQDGAISKGNGM
+>sp|Q02747|GUC2A_HUMAN Guanylin OS=Homo sapiens OX=9606 GN=GUCA2A PE=1 SV=2
+MNAFLLSALCLLGAWAALAGGVTVQDGNFSFSLESVKKLKDLQEPQEPRVGKLRNFAPIPGEPVVPILCSNPNFPEELKPLCKEPNAQEILQRLEEIAEDPGTCEICAYAACTGC
+>DECOY_sp|Q02747|GUC2A_HUMAN Guanylin OS=Homo sapiens OX=9606 GN=GUCA2A PE=1 SV=2
+CGTCAAYACIECTGPDEAIEELRQLIEQANPEKCLPKLEEPFNPNSCLIPVVPEGPIPAFNRLKGVRPEQPEQLDKLKKVSELSFSFNGDQVTVGGALAAWAGLLCLASLLFANM
+>sp|Q7Z2Y8|GVIN1_HUMAN Interferon-induced very large GTPase 1 OS=Homo sapiens OX=9606 GN=GVINP1 PE=2 SV=2
+MATGEHTPDDPLLRGKRRQDLQEMLREVGLDVEYWLPKLQEHLGVTCAQALQHLDKNNLKKLKSQTQHPWEKLLNLSHSKSLSALLQESQVERAKRKQKQAEQALQELRDLLTEGKQRQEEAVRTREAELRQAMDIPEEYWPSPEEPLRELMENLQRQLNLMKWTLCHRQNLPDRDVVRWASGGLALQGIYKASHQRGLTEKREELLSVPKEFLLLGPQQGTQMKTKEFTSPQAEFMFTQMVEKLGFRLTTSAKDGNWGFSLEAGLDHSKHPESKETQQSSSENSYFCSTKFSYIPLASCHFPIDQLQLSKPAVQELKCIEELLSQTTNPDRFSLLRHRIINFFHRFGSHVNQGPLHLGGIYWWKAISEGYCTEQLAEVRQQSAEALDIFIRDSYSGFGVKVAAGVNVSDSHSKTATQTKTSQNLQTKVQLSVAQTGGPPEADGLVQWKAGLIASNQTWCVIDRGLQLVPVWDIILSSHRSNFKDPLQVANFLKDSYTALTSITAQIQNGEELLSAGKEARVFLEDVKSWEVSDPEEQLKKLINFMKMLSQKLKSYDTWINICLTDSLQNFLVNTINFCKKSSIYKTKCIKSHLRSLLDPHIYRVTNFPQAHFIMQWIFQSDSEQEQVNISQFSQLIEILKETQNNLMEVKVKSESPETVEEAQRKSTYEVSLALSCFLNYLQKTEQTDTQLLLLSIAAAAGYHVINNTFQSLLGCDELSFLLDEMQTAQNKYQELKNICSYRAQAFLVLTGLTATVGDTAISSEEKTQRMSLMRHHMGQSLSKEVAHVLTKPGADHDWENLEKDLRLLINGDYEEVTISSLQMEEVSKQSLFYGKKQPHEPHDNENNKWEMIKNGAFLDLLQHLGLEHYYPKKLSKANFHLIYKTSVYNTQPSSEQELPFYFLQKLLMMDYELRYLVFKDDRNTEHQVHPNASDQEDEAFDPYENFFEDSDSPTKSSSTEPSPHIHPVDIQMTIFHCADNFARQYILAKLSTCQFALPLLVPNPCTSQIEFSLWSLRQITRSWQEARKSPKGKNYYKNQQMCCVSTSIVSFVRVGNGLSASKSQIMNCLLSKRKHDVFFHRHCTGSRKDCLLMGGMVEICWFCPGGEDEDRFDNCVTFTNLHGDAKEHEQQLSFLKEVSTVIVVLMSASDDNEGNRKIVRNLWQSSRPLICLLDDKEATMTNISGQRMRMGIKNRNEAELTEELTTTIRHLLELSDTALSLEDCSQIAHQQGFLIDEDQRDCKEAKEKAQALMAFLGKMKLSQIKEKLLPLQGQLWHHWCKKDKELYHLREKGNQSIEQHKSEIETDKQIIRHEQLARALPLNDLMQSVLQFLQEHSEIHTKLYFLQWLSVFLDKLTAGHLEELHEKQKYWWSLVQTVKQKAPNSHSLICLQSEIEAISTEISDCTLGIEQLIREVGQIYEALEEASSIKKIFFSLPQIAADLMISGVPIELMDGDAAYVPLTWVAAVFDKVSEKLGDKRLFVLSILGLQSSGKSTVLNALFGLQFTVSAGKCTQGAYMQLLKVEETFTEELGFDFVLAVDTEGLRAPEHSNKSKDRDNELVTFVIGLANLTLINIFGENPSEMQDILQIVVQAFLRMKQVKIFPSCLFVHQNVGEATATDQTMDGRRRLEQKLDEMAAIAAEQEQCLDVTCFSDVIRFDVNTHVYYFAHLWDGNPPMAPPNPRYSHNVQQLKSRILMTATQESRGNIMKISDVKSRVQDLWRGLMNENFIFSFRNTQEVMAMNKLETMYNHWTWELRSHVLGLQNQLINQIQNGKIQTLEASTFEVLVTEKYEVVKQELEKYFNEGPCSKILIQCKANFENKLIVLKEKLISDSKRQANELISFKNQSQERLNKKKTDYEKELLEKSRKLALTVKGKELSEEELHEKFNQLWKKWVCDVSTTLPQVTEPDIDLDSENILWEYFKNKTNVVGLLTNSAEKFQINYDKHIKVNKKYNHIPMTLTVFEKEFINMTTDYIVSRFNKIINNMWKQQCGYNPNYFHEILKTIEEEVKSASTQKRYTFTNTFIIDLCVCLFQRARENFKEMHRAFKRANDPVNYLESKKDDFFTSFKISCQGATSIKTFVDVLWYKLTPAVSTTIWEDMTFKIAGDMRATCPAFNGNRTNLEKHILFSLAEEENFDNYWEYLHNSKSFFRSYIKNHIKRYCSDNGGEKMKTFFEKSLIDIKNTILSAIHESTSVAKDKSSTASEWLDLFCDCLGCNLIFPRRDLISIEHQEIKHTEFLKEAMSAALDLTMKKIEQNYSSKPIEAMVSKIEKMLSEHLCGCWKQCPSCGAICTNTIPTHEGDHSVPFHRPQAVNGEEWYETDDFVIDCCTSLVASDCLLVLRDGRNFPFKNYRQAGGDYAMWSITPDTSIQLYWKWFVSHFRSNLEEKYQKKFAGKGKIPNAWAKITKQDVLEDLKKQ
+>DECOY_sp|Q7Z2Y8|GVIN1_HUMAN Interferon-induced very large GTPase 1 OS=Homo sapiens OX=9606 GN=GVINP1 PE=2 SV=2
+QKKLDELVDQKTIKAWANPIKGKGAFKKQYKEELNSRFHSVFWKWYLQISTDPTISWMAYDGGAQRYNKFPFNRGDRLVLLCDSAVLSTCCDIVFDDTEYWEEGNVAQPRHFPVSHDGEHTPITNTCIAGCSPCQKWCGCLHESLMKEIKSVMAEIPKSSYNQEIKKMTLDLAASMAEKLFETHKIEQHEISILDRRPFILNCGLCDCFLDLWESATSSKDKAVSTSEHIASLITNKIDILSKEFFTKMKEGGNDSCYRKIHNKIYSRFFSKSNHLYEWYNDFNEEEALSFLIHKELNTRNGNFAPCTARMDGAIKFTMDEWITTSVAPTLKYWLVDVFTKISTAGQCSIKFSTFFDDKKSELYNVPDNARKFARHMEKFNERARQFLCVCLDIIFTNTFTYRKQTSASKVEEEITKLIEHFYNPNYGCQQKWMNNIIKNFRSVIYDTTMNIFEKEFVTLTMPIHNYKKNVKIHKDYNIQFKEASNTLLGVVNTKNKFYEWLINESDLDIDPETVQPLTTSVDCVWKKWLQNFKEHLEEESLEKGKVTLALKRSKELLEKEYDTKKKNLREQSQNKFSILENAQRKSDSILKEKLVILKNEFNAKCQILIKSCPGENFYKELEQKVVEYKETVLVEFTSAELTQIKGNQIQNILQNQLGLVHSRLEWTWHNYMTELKNMAMVEQTNRFSFIFNENMLGRWLDQVRSKVDSIKMINGRSEQTATMLIRSKLQQVNHSYRPNPPAMPPNGDWLHAFYYVHTNVDFRIVDSFCTVDLCQEQEAAIAAMEDLKQELRRRGDMTQDTATAEGVNQHVFLCSPFIKVQKMRLFAQVVIQLIDQMESPNEGFINILTLNALGIVFTVLENDRDKSKNSHEPARLGETDVALVFDFGLEETFTEEVKLLQMYAGQTCKGASVTFQLGFLANLVTSKGSSQLGLISLVFLRKDGLKESVKDFVAAVWTLPVYAADGDMLEIPVGSIMLDAAIQPLSFFIKKISSAEELAEYIQGVERILQEIGLTCDSIETSIAEIESQLCILSHSNPAKQKVTQVLSWWYKQKEHLEELHGATLKDLFVSLWQLFYLKTHIESHEQLFQLVSQMLDNLPLARALQEHRIIQKDTEIESKHQEISQNGKERLHYLEKDKKCWHHWLQGQLPLLKEKIQSLKMKGLFAMLAQAKEKAEKCDRQDEDILFGQQHAIQSCDELSLATDSLELLHRITTTLEETLEAENRNKIGMRMRQGSINTMTAEKDDLLCILPRSSQWLNRVIKRNGENDDSASMLVVIVTSVEKLFSLQQEHEKADGHLNTFTVCNDFRDEDEGGPCFWCIEVMGGMLLCDKRSGTCHRHFFVDHKRKSLLCNMIQSKSASLGNGVRVFSVISTSVCCMQQNKYYNKGKPSKRAEQWSRTIQRLSWLSFEIQSTCPNPVLLPLAFQCTSLKALIYQRAFNDACHFITMQIDVPHIHPSPETSSSKTPSDSDEFFNEYPDFAEDEQDSANPHVQHETNRDDKFVLYRLEYDMMLLKQLFYFPLEQESSPQTNYVSTKYILHFNAKSLKKPYYHELGLHQLLDLFAGNKIMEWKNNENDHPEHPQKKGYFLSQKSVEEMQLSSITVEEYDGNILLRLDKELNEWDHDAGPKTLVHAVEKSLSQGMHHRMLSMRQTKEESSIATDGVTATLGTLVLFAQARYSCINKLEQYKNQATQMEDLLFSLEDCGLLSQFTNNIVHYGAAAAISLLLLQTDTQETKQLYNLFCSLALSVEYTSKRQAEEVTEPSESKVKVEMLNNQTEKLIEILQSFQSINVQEQESDSQFIWQMIFHAQPFNTVRYIHPDLLSRLHSKICKTKYISSKKCFNITNVLFNQLSDTLCINIWTDYSKLKQSLMKMFNILKKLQEEPDSVEWSKVDELFVRAEKGASLLEEGNQIQATISTLATYSDKLFNAVQLPDKFNSRHSSLIIDWVPVLQLGRDIVCWTQNSAILGAKWQVLGDAEPPGGTQAVSLQVKTQLNQSTKTQTATKSHSDSVNVGAAVKVGFGSYSDRIFIDLAEASQQRVEALQETCYGESIAKWWYIGGLHLPGQNVHSGFRHFFNIIRHRLLSFRDPNTTQSLLEEICKLEQVAPKSLQLQDIPFHCSALPIYSFKTSCFYSNESSSQQTEKSEPHKSHDLGAELSFGWNGDKASTTLRFGLKEVMQTFMFEAQPSTFEKTKMQTGQQPGLLLFEKPVSLLEERKETLGRQHSAKYIGQLALGGSAWRVVDRDPLNQRHCLTWKMLNLQRQLNEMLERLPEEPSPWYEEPIDMAQRLEAERTRVAEEQRQKGETLLDRLEQLAQEAQKQKRKAREVQSEQLLASLSKSHSLNLLKEWPHQTQSKLKKLNNKDLHQLAQACTVGLHEQLKPLWYEVDLGVERLMEQLDQRRKGRLLPDDPTHEGTAM
+>sp|Q8N7I0|GVQW1_HUMAN Protein GVQW1 OS=Homo sapiens OX=9606 GN=GVQW1 PE=2 SV=1
+MPNFLGLHRARSFTVLCFWSTADVLNASGPRRQWHPLASCSSWSRPQSQGSTPGVLCKTGMGREPIPETQCHFANSMCSLHVSVPYFGNSPNISNFFRWSLALSPRQWCDLGSLQPPSPRFKGFSCLSLPSSWDYRRAPSPANFCILVEMGFHHVGQADLELLTSADLPTSASQSAGITGVSHHAWPQTLSLLLY
+>DECOY_sp|Q8N7I0|GVQW1_HUMAN Protein GVQW1 OS=Homo sapiens OX=9606 GN=GVQW1 PE=2 SV=1
+YLLLSLTQPWAHHSVGTIGASQSASTPLDASTLLELDAQGVHHFGMEVLICFNAPSPARRYDWSSPLSLCSFGKFRPSPPQLSGLDCWQRPSLALSWRFFNSINPSNGFYPVSVHLSCMSNAFHCQTEPIPERGMGTKCLVGPTSGQSQPRSWSSCSALPHWQRRPGSANLVDATSWFCLVTFSRARHLGLFNPM
+>sp|P0C0S8|H2A1_HUMAN Histone H2A type 1 OS=Homo sapiens OX=9606 GN=HIST1H2AG PE=1 SV=2
+MSGRGKQGGKARAKAKTRSSRAGLQFPVGRVHRLLRKGNYAERVGAGAPVYLAAVLEYLTAEILELAGNAARDNKKTRIIPRHLQLAIRNDEELNKLLGKVTIAQGGVLPNIQAVLLPKKTESHHKAKGK
+>DECOY_sp|P0C0S8|H2A1_HUMAN Histone H2A type 1 OS=Homo sapiens OX=9606 GN=HIST1H2AG PE=1 SV=2
+KGKAKHHSETKKPLLVAQINPLVGGQAITVKGLLKNLEEDNRIALQLHRPIIRTKKNDRAANGALELIEATLYELVAALYVPAGAGVREAYNGKRLLRHVRGVPFQLGARSSRTKAKARAKGGQKGRGSM
+>sp|Q16777|H2A2C_HUMAN Histone H2A type 2-C OS=Homo sapiens OX=9606 GN=HIST2H2AC PE=1 SV=4
+MSGRGKQGGKARAKAKSRSSRAGLQFPVGRVHRLLRKGNYAERVGAGAPVYMAAVLEYLTAEILELAGNAARDNKKTRIIPRHLQLAIRNDEELNKLLGKVTIAQGGVLPNIQAVLLPKKTESHKAKSK
+>DECOY_sp|Q16777|H2A2C_HUMAN Histone H2A type 2-C OS=Homo sapiens OX=9606 GN=HIST2H2AC PE=1 SV=4
+KSKAKHSETKKPLLVAQINPLVGGQAITVKGLLKNLEEDNRIALQLHRPIIRTKKNDRAANGALELIEATLYELVAAMYVPAGAGVREAYNGKRLLRHVRGVPFQLGARSSRSKAKARAKGGQKGRGSM
+>sp|P0C5Y9|H2AB1_HUMAN Histone H2A-Bbd type 1 OS=Homo sapiens OX=9606 GN=H2AFB1 PE=1 SV=1
+MPRRRRRRGSSGAGGRGRTCSRTVRAELSFSVSQVERSLREGHYAQRLSRTAPVYLAAVIEYLTAKVPELAGNEAQNSGERNITPLLLDMVVHNDRLLSTLFNTTTISQVAPGED
+>DECOY_sp|P0C5Y9|H2AB1_HUMAN Histone H2A-Bbd type 1 OS=Homo sapiens OX=9606 GN=H2AFB1 PE=1 SV=1
+DEGPAVQSITTTNFLTSLLRDNHVVMDLLLPTINREGSNQAENGALEPVKATLYEIVAALYVPATRSLRQAYHGERLSREVQSVSFSLEARVTRSCTRGRGGAGSSGRRRRRRPM
+>sp|Q9P0M6|H2AW_HUMAN Core histone macro-H2A.2 OS=Homo sapiens OX=9606 GN=H2AFY2 PE=1 SV=3
+MSGRSGKKKMSKLSRSARAGVIFPVGRLMRYLKKGTFKYRISVGAPVYMAAVIEYLAAEILELAGNAARDNKKARIAPRHILLAVANDEELNQLLKGVTIASGGVLPRIHPELLAKKRGTKGKSETILSPPPEKRGRKATSGKKGGKKSKAAKPRTSKKSKPKDSDKEGTSNSTSEDGPGDGFTILSSKSLVLGQKLSLTQSDISHIGSMRVEGIVHPTTAEIDLKEDIGKALEKAGGKEFLETVKELRKSQGPLEVAEAAVSQSSGLAAKFVIHCHIPQWGSDKCEEQLEETIKNCLSAAEDKKLKSVAFPPFPSGRNCFPKQTAAQVTLKAISAHFDDSSASSLKNVYFLLFDSESIGIYVQEMAKLDAK
+>DECOY_sp|Q9P0M6|H2AW_HUMAN Core histone macro-H2A.2 OS=Homo sapiens OX=9606 GN=H2AFY2 PE=1 SV=3
+KADLKAMEQVYIGISESDFLLFYVNKLSSASSDDFHASIAKLTVQAATQKPFCNRGSPFPPFAVSKLKKDEAASLCNKITEELQEECKDSGWQPIHCHIVFKAALGSSQSVAAEAVELPGQSKRLEKVTELFEKGGAKELAKGIDEKLDIEATTPHVIGEVRMSGIHSIDSQTLSLKQGLVLSKSSLITFGDGPGDESTSNSTGEKDSDKPKSKKSTRPKAAKSKKGGKKGSTAKRGRKEPPPSLITESKGKTGRKKALLEPHIRPLVGGSAITVGKLLQNLEEDNAVALLIHRPAIRAKKNDRAANGALELIEAALYEIVAAMYVPAGVSIRYKFTGKKLYRMLRGVPFIVGARASRSLKSMKKKGSRGSM
+>sp|O60814|H2B1K_HUMAN Histone H2B type 1-K OS=Homo sapiens OX=9606 GN=HIST1H2BK PE=1 SV=3
+MPEPAKSAPAPKKGSKKAVTKAQKKDGKKRKRSRKESYSVYVYKVLKQVHPDTGISSKAMGIMNSFVNDIFERIAGEASRLAHYNKRSTITSREIQTAVRLLLPGELAKHAVSEGTKAVTKYTSAK
+>DECOY_sp|O60814|H2B1K_HUMAN Histone H2B type 1-K OS=Homo sapiens OX=9606 GN=HIST1H2BK PE=1 SV=3
+KASTYKTVAKTGESVAHKALEGPLLLRVATQIERSTITSRKNYHALRSAEGAIREFIDNVFSNMIGMAKSSIGTDPHVQKLVKYVYVSYSEKRSRKRKKGDKKQAKTVAKKSGKKPAPASKAPEPM
+>sp|Q99879|H2B1M_HUMAN Histone H2B type 1-M OS=Homo sapiens OX=9606 GN=HIST1H2BM PE=1 SV=3
+MPEPVKSAPVPKKGSKKAINKAQKKDGKKRKRSRKESYSVYVYKVLKQVHPDTGISSKAMGIMNSFVNDIFERIAGEASRLAHYNKRSTITSREIQTAVRLLLPGELAKHAVSEGTKAVTKYTSSK
+>DECOY_sp|Q99879|H2B1M_HUMAN Histone H2B type 1-M OS=Homo sapiens OX=9606 GN=HIST1H2BM PE=1 SV=3
+KSSTYKTVAKTGESVAHKALEGPLLLRVATQIERSTITSRKNYHALRSAEGAIREFIDNVFSNMIGMAKSSIGTDPHVQKLVKYVYVSYSEKRSRKRKKGDKKQAKNIAKKSGKKPVPASKVPEPM
+>sp|O14929|HAT1_HUMAN Histone acetyltransferase type B catalytic subunit OS=Homo sapiens OX=9606 GN=HAT1 PE=1 SV=1
+MAGFGAMEKFLVEYKSAVEKKLAEYKCNTNTAIELKLVRFPEDLENDIRTFFPEYTHQLFGDDETAFGYKGLKILLYYIAGSLSTMFRVEYASKVDENFDCVEADDVEGKIRQIIPPGFCTNTNDFLSLLEKEVDFKPFGTLLHTYSVLSPTGGENFTFQIYKADMTCRGFREYHERLQTFLMWFIETASFIDVDDERWHYFLVFEKYNKDGATLFATVGYMTVYNYYVYPDKTRPRVSQMLILTPFQGQGHGAQLLETVHRYYTEFPTVLDITAEDPSKSYVKLRDFVLVKLCQDLPCFSREKLMQGFNEDMAIEAQQKFKINKQHARRVYEILRLLVTDMSDAEQYRSYRLDIKRRLISPYKKKQRDLAKMRKCLRPEELTNQMNQIEISMQHEQLEESFQELVEDYRRVIERLAQE
+>DECOY_sp|O14929|HAT1_HUMAN Histone acetyltransferase type B catalytic subunit OS=Homo sapiens OX=9606 GN=HAT1 PE=1 SV=1
+EQALREIVRRYDEVLEQFSEELQEHQMSIEIQNMQNTLEEPRLCKRMKALDRQKKKYPSILRRKIDLRYSRYQEADSMDTVLLRLIEYVRRAHQKNIKFKQQAEIAMDENFGQMLKERSFCPLDQCLKVLVFDRLKVYSKSPDEATIDLVTPFETYYRHVTELLQAGHGQGQFPTLILMQSVRPRTKDPYVYYNYVTMYGVTAFLTAGDKNYKEFVLFYHWREDDVDIFSATEIFWMLFTQLREHYERFGRCTMDAKYIQFTFNEGGTPSLVSYTHLLTGFPKFDVEKELLSLFDNTNTCFGPPIIQRIKGEVDDAEVCDFNEDVKSAYEVRFMTSLSGAIYYLLIKLGKYGFATEDDGFLQHTYEPFFTRIDNELDEPFRVLKLEIATNTNCKYEALKKEVASKYEVLFKEMAGFGAM
+>sp|Q9H6D7|HAUS4_HUMAN HAUS augmin-like complex subunit 4 OS=Homo sapiens OX=9606 GN=HAUS4 PE=1 SV=1
+MASGDFCSPGEGMEILQQVCSKQLPPCNLSKEDLLQNPYFSKLLLNLSQHVDESGLSLTLAKEQAQAWKEVRLHKTTWLRSEILHRVIQELLVDYYVKIQDTNVTSEDKKFHETLEQRLLVTELMRLLGPSQEREIPPLLGLEKADLLELMPLSEDFVWMRARLQQEVEEQLKKKCFTLLCYYDPNSDADSETVKAAKVWKLAEVLVGEQQQCQDAKSQQKEQMLLLEKKSAAYSQVLLRCLTLLQRLLQEHRLKTQSELDRINAQYLEVKCGAMILKLRMEELKILSDTYTVEKVEVHRLIRDRLEGAIHLQEQDMENSRQVLNSYEVLGEEFDRLVKEYTVLKQATENKRWALQEFSKVYR
+>DECOY_sp|Q9H6D7|HAUS4_HUMAN HAUS augmin-like complex subunit 4 OS=Homo sapiens OX=9606 GN=HAUS4 PE=1 SV=1
+RYVKSFEQLAWRKNETAQKLVTYEKVLRDFEEGLVEYSNLVQRSNEMDQEQLHIAGELRDRILRHVEVKEVTYTDSLIKLEEMRLKLIMAGCKVELYQANIRDLESQTKLRHEQLLRQLLTLCRLLVQSYAASKKELLLMQEKQQSKADQCQQQEGVLVEALKWVKAAKVTESDADSNPDYYCLLTFCKKKLQEEVEQQLRARMWVFDESLPMLELLDAKELGLLPPIEREQSPGLLRMLETVLLRQELTEHFKKDESTVNTDQIKVYYDVLLEQIVRHLIESRLWTTKHLRVEKWAQAQEKALTLSLGSEDVHQSLNLLLKSFYPNQLLDEKSLNCPPLQKSCVQQLIEMGEGPSCFDGSAM
+>sp|Q9BT25|HAUS8_HUMAN HAUS augmin-like complex subunit 8 OS=Homo sapiens OX=9606 GN=HAUS8 PE=1 SV=3
+MADSSGRGAGKPATGPTNSSSAKKKDKRVQGGRVIESRYLQYEKKTTQKAPAGDGSQTRGKMSEGGRKSSLLQKSKADSSGVGKGDLQSTLLEGHGTAPPDLDLSAINDKSIVKKTPQLAKTISKKPESTSFSAPRKKSPDLSEAMEMMESQTLLLTLLSVKMENNLAEFERRAEKNLLIMCKEKEKLQKKAHELKRRLLLSQRKRELADVLDAQIEMLSPFEAVATRFKEQYRTFATALDTTRHELPVRSIHLEGDGQQLLDALQHELVTTQRLLGELDVGDSEENVQVLDLLSELKDVTAKKDLELRRSFAQVLELSAEASKEAALANQEVWEETQGMAPPSRWYFNQDSACRESGGAPKNTPLSEDDNPGASSAPAQATFISPSEDFSSSSQAEVPPSLSRSGRDLS
+>DECOY_sp|Q9BT25|HAUS8_HUMAN HAUS augmin-like complex subunit 8 OS=Homo sapiens OX=9606 GN=HAUS8 PE=1 SV=3
+SLDRGSRSLSPPVEAQSSSSFDESPSIFTAQAPASSAGPNDDESLPTNKPAGGSERCASDQNFYWRSPPAMGQTEEWVEQNALAAEKSAEASLELVQAFSRRLELDKKATVDKLESLLDLVQVNEESDGVDLEGLLRQTTVLEHQLADLLQQGDGELHISRVPLEHRTTDLATAFTRYQEKFRTAVAEFPSLMEIQADLVDALERKRQSLLLRRKLEHAKKQLKEKEKCMILLNKEARREFEALNNEMKVSLLTLLLTQSEMMEMAESLDPSKKRPASFSTSEPKKSITKALQPTKKVISKDNIASLDLDPPATGHGELLTSQLDGKGVGSSDAKSKQLLSSKRGGESMKGRTQSGDGAPAKQTTKKEYQLYRSEIVRGGQVRKDKKKASSSNTPGTAPKGAGRGSSDAM
+>sp|Q6B0K9|HBM_HUMAN Hemoglobin subunit mu OS=Homo sapiens OX=9606 GN=HBM PE=2 SV=1
+MLSAQERAQIAQVWDLIAGHEAQFGAELLLRLFTVYPSTKVYFPHLSACQDATQLLSHGQRMLAAVGAAVQHVDNLRAALSPLADLHALVLRVDPANFPLLIQCFHVVLASHLQDEFTVQMQAAWDKFLTGVAVVLTEKYR
+>DECOY_sp|Q6B0K9|HBM_HUMAN Hemoglobin subunit mu OS=Homo sapiens OX=9606 GN=HBM PE=2 SV=1
+RYKETLVVAVGTLFKDWAAQMQVTFEDQLHSALVVHFCQILLPFNAPDVRLVLAHLDALPSLAARLNDVHQVAAGVAALMRQGHSLLQTADQCASLHPFYVKTSPYVTFLRLLLEAGFQAEHGAILDWVQAIQAREQASLM
+>sp|Q9Y450|HBS1L_HUMAN HBS1-like protein OS=Homo sapiens OX=9606 GN=HBS1L PE=1 SV=1
+MARHRNVRGYNYDEDFEDDDLYGQSVEDDYCISPSTAAQFIYSRRDKPSVEPVEEYDYEDLKESSNSVSNHQLSGFDQARLYSCLDHMREVLGDAVPDEILIEAVLKNKFDVQKALSGVLEQDRVQSLKDKNEATVSTGKIAKGKPVDSQTSRSESEIVPKVAKMTVSGKKQTMGFEVPGVSSEENGHSFHTPQKGPPIEDAIASSDVLETASKSANPPHTIQASEEQSSTPAPVKKSGKLRQQIDVKAELEKRQGGKQLLNLVVIGHVDAGKSTLMGHMLYLLGNINKRTMHKYEQESKKAGKASFAYAWVLDETGEERERGVTMDVGMTKFETTTKVITLMDAPGHKDFIPNMITGAAQADVAVLVVDASRGEFEAGFETGGQTREHGLLVRSLGVTQLAVAVNKMDQVNWQQERFQEITGKLGHFLKQAGFKESDVGFIPTSGLSGENLITRSQSSELTKWYKGLCLLEQIDSFKPPQRSIDKPFRLCVSDVFKDQGSGFCITGKIEAGYIQTGDRLLAMPPNETCTVKGITLHDEPVDWAAAGDHVSLTLVGMDIIKINVGCIFCGPKVPIKACTRFRARILIFNIEIPITKGFPVLLHYQTVSEPAVIKRLISVLNKSTGEVTKKKPKFLTKGQNALVELQTQRPIALELYKDFKELGRFMLRYGGSTIAAGVVTEIKE
+>DECOY_sp|Q9Y450|HBS1L_HUMAN HBS1-like protein OS=Homo sapiens OX=9606 GN=HBS1L PE=1 SV=1
+EKIETVVGAAITSGGYRLMFRGLEKFDKYLELAIPRQTQLEVLANQGKTLFKPKKKTVEGTSKNLVSILRKIVAPESVTQYHLLVPFGKTIPIEINFILIRARFRTCAKIPVKPGCFICGVNIKIIDMGVLTLSVHDGAAAWDVPEDHLTIGKVTCTENPPMALLRDGTQIYGAEIKGTICFGSGQDKFVDSVCLRFPKDISRQPPKFSDIQELLCLGKYWKTLESSQSRTILNEGSLGSTPIFGVDSEKFGAQKLFHGLKGTIEQFREQQWNVQDMKNVAVALQTVGLSRVLLGHERTQGGTEFGAEFEGRSADVVLVAVDAQAAGTIMNPIFDKHGPADMLTIVKTTTEFKTMGVDMTVGREREEGTEDLVWAYAFSAKGAKKSEQEYKHMTRKNINGLLYLMHGMLTSKGADVHGIVVLNLLQKGGQRKELEAKVDIQQRLKGSKKVPAPTSSQEESAQITHPPNASKSATELVDSSAIADEIPPGKQPTHFSHGNEESSVGPVEFGMTQKKGSVTMKAVKPVIESESRSTQSDVPKGKAIKGTSVTAENKDKLSQVRDQELVGSLAKQVDFKNKLVAEILIEDPVADGLVERMHDLCSYLRAQDFGSLQHNSVSNSSEKLDEYDYEEVPEVSPKDRRSYIFQAATSPSICYDDEVSQGYLDDDEFDEDYNYGRVNRHRAM
+>sp|P14317|HCLS1_HUMAN Hematopoietic lineage cell-specific protein OS=Homo sapiens OX=9606 GN=HCLS1 PE=1 SV=3
+MWKSVVGHDVSVSVETQGDDWDTDPDFVNDISEKEQRWGAKTIEGSGRTEHINIHQLRNKVSEEHDVLRKKEMESGPKASHGYGGRFGVERDRMDKSAVGHEYVAEVEKHSSQTDAAKGFGGKYGVERDRADKSAVGFDYKGEVEKHTSQKDYSRGFGGRYGVEKDKWDKAALGYDYKGETEKHESQRDYAKGFGGQYGIQKDRVDKSAVGFNEMEAPTTAYKKTTPIEAASSGTRGLKAKFESMAEEKRKREEEEKAQQVARRQQERKAVTKRSPEAPQPVIAMEEPAVPAPLPKKISSEAWPPVGTPPSSESEPVRTSREHPVPLLPIRQTLPEDNEEPPALPPRTLEGLQVEEEPVYEAEPEPEPEPEPEPENDYEDVEEMDRHEQEDEPEGDYEEVLEPEDSSFSSALAGSSGCPAGAGAGAVALGISAVAVYDYQGEGSDELSFDPDDVITDIEMVDEGWWRGRCHGHFGLFPANYVKLLE
+>DECOY_sp|P14317|HCLS1_HUMAN Hematopoietic lineage cell-specific protein OS=Homo sapiens OX=9606 GN=HCLS1 PE=1 SV=3
+ELLKVYNAPFLGFHGHCRGRWWGEDVMEIDTIVDDPDFSLEDSGEGQYDYVAVASIGLAVAGAGAGAPCGSSGALASSFSSDEPELVEEYDGEPEDEQEHRDMEEVDEYDNEPEPEPEPEPEPEAEYVPEEEVQLGELTRPPLAPPEENDEPLTQRIPLLPVPHERSTRVPESESSPPTGVPPWAESSIKKPLPAPVAPEEMAIVPQPAEPSRKTVAKREQQRRAVQQAKEEEERKRKEEAMSEFKAKLGRTGSSAAEIPTTKKYATTPAEMENFGVASKDVRDKQIGYQGGFGKAYDRQSEHKETEGKYDYGLAAKDWKDKEVGYRGGFGRSYDKQSTHKEVEGKYDFGVASKDARDREVGYKGGFGKAADTQSSHKEVEAVYEHGVASKDMRDREVGFRGGYGHSAKPGSEMEKKRLVDHEESVKNRLQHINIHETRGSGEITKAGWRQEKESIDNVFDPDTDWDDGQTEVSVSVDHGVVSKWM
+>sp|Q9Y3Q4|HCN4_HUMAN Potassium/sodium hyperpolarization-activated cyclic nucleotide-gated channel 4 OS=Homo sapiens OX=9606 GN=HCN4 PE=1 SV=1
+MDKLPPSMRKRLYSLPQQVGAKAWIMDEEEDAEEEGAGGRQDPSRRSIRLRPLPSPSPSAAAGGTESRSSALGAADSEGPARGAGKSSTNGDCRRFRGSLASLGSRGGGSGGTGSGSSHGHLHDSAEERRLIAEGDASPGEDRTPPGLAAEPERPGASAQPAASPPPPQQPPQPASASCEQPSVDTAIKVEGGAAAGDQILPEAEVRLGQAGFMQRQFGAMLQPGVNKFSLRMFGSQKAVEREQERVKSAGFWIIHPYSDFRFYWDLTMLLLMVGNLIIIPVGITFFKDENTTPWIVFNVVSDTFFLIDLVLNFRTGIVVEDNTEIILDPQRIKMKYLKSWFMVDFISSIPVDYIFLIVETRIDSEVYKTARALRIVRFTKILSLLRLLRLSRLIRYIHQWEEIFHMTYDLASAVVRIVNLIGMMLLLCHWDGCLQFLVPMLQDFPDDCWVSINNMVNNSWGKQYSYALFKAMSHMLCIGYGRQAPVGMSDVWLTMLSMIVGATCYAMFIGHATALIQSLDSSRRQYQEKYKQVEQYMSFHKLPPDTRQRIHDYYEHRYQGKMFDEESILGELSEPLREEIINFNCRKLVASMPLFANADPNFVTSMLTKLRFEVFQPGDYIIREGTIGKKMYFIQHGVVSVLTKGNKETKLADGSYFGEICLLTRGRRTASVRADTYCRLYSLSVDNFNEVLEEYPMMRRAFETVALDRLDRIGKKNSILLHKVQHDLNSGVFNYQENEIIQQIVQHDREMAHCAHRVQAAASATPTPTPVIWTPLIQAPLQAAAATTSVAIALTHHPRLPAAIFRPPPGSGLGNLGAGQTPRHLKRLQSLIPSALGSASPASSPSQVDTPSSSSFHIQQLAGFSAPAGLSPLLPSSSSSPPPGACGSPSAPTPSAGVAATTIAGFGHFHKALGGSLSSSDSPLLTPLQPGARSPQAAQPSPAPPGARGGLGLPEHFLPPPPSSRSPSSSPGQLGQPPGELSLGLATGPLSTPETPPRQPEPPSLVAGASGGASPVGFTPRGGLSPPGHSPGPPRTFPSAPPRASGSHGSLLLPPASSPPPPQVPQRRGTPPLTPGRLTQDLKLISASQPALPQDGAQTLRRASPHSSGESMAAFPLFPRAGGGSGGSGSSGGLGPPGRPYGAIPGQHVTLPRKTSSGSLPPPLSLFGARATSSGGPPLTAGPQREPGARPEPVRSKLPSNL
+>DECOY_sp|Q9Y3Q4|HCN4_HUMAN Potassium/sodium hyperpolarization-activated cyclic nucleotide-gated channel 4 OS=Homo sapiens OX=9606 GN=HCN4 PE=1 SV=1
+LNSPLKSRVPEPRAGPERQPGATLPPGGSSTARAGFLSLPPPLSGSSTKRPLTVHQGPIAGYPRGPPGLGGSSGSGGSGGGARPFLPFAAMSEGSSHPSARRLTQAGDQPLAPQSASILKLDQTLRGPTLPPTGRRQPVQPPPPSSAPPLLLSGHSGSARPPASPFTRPPGPSHGPPSLGGRPTFGVPSAGGSAGAVLSPPEPQRPPTEPTSLPGTALGLSLEGPPQGLQGPSSSPSRSSPPPPLFHEPLGLGGRAGPPAPSPQAAQPSRAGPQLPTLLPSDSSSLSGGLAKHFHGFGAITTAAVGASPTPASPSGCAGPPPSSSSSPLLPSLGAPASFGALQQIHFSSSSPTDVQSPSSAPSASGLASPILSQLRKLHRPTQGAGLNGLGSGPPPRFIAAPLRPHHTLAIAVSTTAAAAQLPAQILPTWIVPTPTPTASAAAQVRHACHAMERDHQVIQQIIENEQYNFVGSNLDHQVKHLLISNKKGIRDLRDLAVTEFARRMMPYEELVENFNDVSLSYLRCYTDARVSATRRGRTLLCIEGFYSGDALKTEKNGKTLVSVVGHQIFYMKKGITGERIIYDGPQFVEFRLKTLMSTVFNPDANAFLPMSAVLKRCNFNIIEERLPESLEGLISEEDFMKGQYRHEYYDHIRQRTDPPLKHFSMYQEVQKYKEQYQRRSSDLSQILATAHGIFMAYCTAGVIMSLMTLWVDSMGVPAQRGYGICLMHSMAKFLAYSYQKGWSNNVMNNISVWCDDPFDQLMPVLFQLCGDWHCLLLMMGILNVIRVVASALDYTMHFIEEWQHIYRILRSLRLLRLLSLIKTFRVIRLARATKYVESDIRTEVILFIYDVPISSIFDVMFWSKLYKMKIRQPDLIIETNDEVVIGTRFNLVLDILFFTDSVVNFVIWPTTNEDKFFTIGVPIIILNGVMLLLMTLDWYFRFDSYPHIIWFGASKVREQEREVAKQSGFMRLSFKNVGPQLMAGFQRQMFGAQGLRVEAEPLIQDGAAAGGEVKIATDVSPQECSASAPQPPQQPPPPSAAPQASAGPREPEAALGPPTRDEGPSADGEAILRREEASDHLHGHSSGSGTGGSGGGRSGLSALSGRFRRCDGNTSSKGAGRAPGESDAAGLASSRSETGGAAASPSPSPLPRLRISRRSPDQRGGAGEEEADEEEDMIWAKAGVQQPLSYLRKRMSPPLKDM
+>sp|Q8TDG4|HELQ_HUMAN Helicase POLQ-like OS=Homo sapiens OX=9606 GN=HELQ PE=1 SV=2
+MDECGSRIRRRVSLPKRNRPSLGCIFGAPTAAELVPGDEGKEEEEMVAENRRRKTAGVLPVEVQPLLLSDSPECLVLGGGDTNPDLLRHMPTDRGVGDQPNDSEVDMFGDYDSFTENSFIAQVDDLEQKYMQLPEHKKHATDFATENLCSESIKNKLSITTIGNLTELQTDKHTENQSGYEGVTIEPGADLLYDVPSSQAIYFENLQNSSNDLGDHSMKERDWKSSSHNTVNEELPHNCIEQPQQNDESSSKVRTSSDMNRRKSIKDHLKNAMTGNAKAQTPIFSRSKQLKDTLLSEEINVAKKTVESSSNDLGPFYSLPSKVRDLYAQFKGIEKLYEWQHTCLTLNSVQERKNLIYSLPTSGGKTLVAEILMLQELLCCRKDVLMILPYVAIVQEKISGLSSFGIELGFFVEEYAGSKGRFPPTKRREKKSLYIATIEKGHSLVNSLIETGRIDSLGLVVVDELHMIGEGSRGATLEMTLAKILYTSKTTQIIGMSATLNNVEDLQKFLQAEYYTSQFRPVELKEYLKINDTIYEVDSKAENGMTFSRLLNYKYSDTLKKMDPDHLVALVTEVIPNYSCLVFCPSKKNCENVAEMICKFLSKEYLKHKEKEKCEVIKNLKNIGNGNLCPVLKRTIPFGVAYHHSGLTSDERKLLEEAYSTGVLCLFTCTSTLAAGVNLPARRVILRAPYVAKEFLKRNQYKQMIGRAGRAGIDTIGESILILQEKDKQQVLELITKPLENCYSHLVQEFTKGIQTLFLSLIGLKIATNLDDIYHFMNGTFFGVQQKVLLKEKSLWEITVESLRYLTEKGLLQKDTIYKSEEEVQYNFHITKLGRASFKGTIDLAYCDILYRDLKKGLEGLVLESLLHLIYLTTPYDLVSQCNPDWMIYFRQFSQLSPAEQNVAAILGVSESFIGKKASGQAIGKKVDKNVVNRLYLSFVLYTLLKETNIWTVSEKFNMPRGYIQNLLTGTASFSSCVLHFCEELEEFWVYRALLVELTKKLTYCVKAELIPLMEVTGVLEGRAKQLYSAGYKSLMHLANANPEVLVRTIDHLSRRQAKQIVSSAKMLLHEKAEALQEEVEELLRLPSDFPGAVASSTDKA
+>DECOY_sp|Q8TDG4|HELQ_HUMAN Helicase POLQ-like OS=Homo sapiens OX=9606 GN=HELQ PE=1 SV=2
+AKDTSSAVAGPFDSPLRLLEEVEEQLAEAKEHLLMKASSVIQKAQRRSLHDITRVLVEPNANALHMLSKYGASYLQKARGELVGTVEMLPILEAKVCYTLKKTLEVLLARYVWFEELEECFHLVCSSFSATGTLLNQIYGRPMNFKESVTWINTEKLLTYLVFSLYLRNVVNKDVKKGIAQGSAKKGIFSESVGLIAAVNQEAPSLQSFQRFYIMWDPNCQSVLDYPTTLYILHLLSELVLGELGKKLDRYLIDCYALDITGKFSARGLKTIHFNYQVEEESKYITDKQLLGKETLYRLSEVTIEWLSKEKLLVKQQVGFFTGNMFHYIDDLNTAIKLGILSLFLTQIGKTFEQVLHSYCNELPKTILELVQQKDKEQLILISEGITDIGARGARGIMQKYQNRKLFEKAVYPARLIVRRAPLNVGAALTSTCTFLCLVGTSYAEELLKREDSTLGSHHYAVGFPITRKLVPCLNGNGINKLNKIVECKEKEKHKLYEKSLFKCIMEAVNECNKKSPCFVLCSYNPIVETVLAVLHDPDMKKLTDSYKYNLLRSFTMGNEAKSDVEYITDNIKLYEKLEVPRFQSTYYEAQLFKQLDEVNNLTASMGIIQTTKSTYLIKALTMELTAGRSGEGIMHLEDVVVLGLSDIRGTEILSNVLSHGKEITAIYLSKKERRKTPPFRGKSGAYEEVFFGLEIGFSSLGSIKEQVIAVYPLIMLVDKRCCLLEQLMLIEAVLTKGGSTPLSYILNKREQVSNLTLCTHQWEYLKEIGKFQAYLDRVKSPLSYFPGLDNSSSEVTKKAVNIEESLLTDKLQKSRSFIPTQAKANGTMANKLHDKISKRRNMDSSTRVKSSSEDNQQPQEICNHPLEENVTNHSSSKWDREKMSHDGLDNSSNQLNEFYIAQSSPVDYLLDAGPEITVGEYGSQNETHKDTQLETLNGITTISLKNKISESCLNETAFDTAHKKHEPLQMYKQELDDVQAIFSNETFSDYDGFMDVESDNPQDGVGRDTPMHRLLDPNTDGGGLVLCEPSDSLLLPQVEVPLVGATKRRRNEAVMEEEEKGEDGPVLEAATPAGFICGLSPRNRKPLSVRRRIRSGCEDM
+>sp|Q9BYK8|HELZ2_HUMAN Helicase with zinc finger domain 2 OS=Homo sapiens OX=9606 GN=HELZ2 PE=1 SV=6
+MAVWEAEQLGGLQRGDLLTPPAPDGDGRTAPLGQPPGAQLYCPACLVTCHSQEAFENHCASSEHAQMVAFDQALPWEHRSPPPGLSKFELCPKPDLCEYGDACTKAHSAQELQEWVRRTQAVELRGQAAWQDGLVPYQERLLAEYQRSSSEVLVLAETLDGVRVTCNQPLMYQAQERKTQYSWTFAVHSEEPLLHVALLKQEPGADFSLVAPGLPPGRLYARGERFRVPSSTADFQVGVRVQAASFGTFEQWVVFDFGRRPVLLQKLGLQLGQGRRPGPCRNLALGHPEEMERWHTGNRHVVPGVERTAEQTALMAKYKGPALALEFNRSSVASGPISPTNYRQRMHQFLYEEEAAQQQLVAKLTLRGQVFLKTALQTPALNMLFAPPGALYAEVPVPSSLMPDTDQGFLLGRAVSTALVAPVPAPDNTVFEVRLERRASSEQALWLLLPARCCLALGLQPEARLVLEVQFQIDPMTFRLWHQAVDTLPEEQLVVPDLPTCALPRPWSVPPLRRGNRKQELAVALIAGWGPGDGRRVPPLLIYGPFGTGKTYTLAMASLEVIRRPETKVLICTHTNSAADIYIREYFHSHVSGGHPEATPLRVMYTDRPLSQTDPVTLQYCCLTDDRQAFRPPTRAELARHRVVVTTTSQARELRVPVGFFSHILIDEAAQMLECEALTPLAYASHGTRLVLAGDHMQVTPRLFSVARARAAEHTLLHRLFLCYQQETHEVARQSRLVFHENYRCTDAIVSFISRHFYVAKGNPIHARGKVPPHPRHYPLMFCHVAGSPDRDMSMASWLNLAEIAQVVEKVQEAYNTWPSCWGGREQRCICVVSHGAQVSALRQELRRRDLGQVSVGSFEILPGRQFRVVVLSTVHTCQSLLSPGALAPEFFTDARVLNTVLTRAQSQLVVVGDAVALCSFGACGKLWESFIRECVERHSVCPEGLSMEQVEQGVAQRRRWPPRGTQAGAAGNWEAAPEPVGDLAEEQAAVVTAMVKAEPGDEALSPASRDITATTAQTEAAAAPAGDAVKEDVVPGACAAGAAAAAGVESTEAEDAEADFWPWDGELNADDAILRELLDESQKVMVTVGEDGLLDTVARPESLQQARLYENLPPAALRKLLHAEPERYRHCSFVPETFERASAIPLDDASSGPIQVRGRLDCGMAFAGDEVLVQLLSGDKAPEGRLRGRVLGVLKRKRHELAFVCRMDTWDPRIMVPINGSVTKIFVAELKDPSQVPIYSLRKGRLQRVGLERLTAEARHSRLFWVQIVLWRQGFYYPLGIVREVLPEASTWEQGLRILGLEYSLRVPPSDQATITKVLQKYHTELGRVAGRREDCRAFLTFTVDPQGACNLDDALSVRDLGPRCEVAVHITDVASFVPRDGVLDVEARRQGAAFYAPGREPVPMLPASLCQDVLSLLPGRDRLAISLFLTMEKASGQLKSLRFAPSVVQSDRQLSYEEAEEVIRQHPGAGRELPARLDSVDACVVAACYFSRLLRRHRLRSDCFYEQPDEDGTLGFRAAHIMVKEYMIQFNRLVAEFLVGSECTRTVTPLRWQPAPRSQQLKALCEKHGDRVPLSLHLGHHLHGGGGSPPDTRLHLLASLWKQVQFAARTQDYEQMVDLVTTDDMHPFLAPAGRDLRKALERSAFGRCARGHQQQGGHYSLQVDWYTWATSPIRRYLDVVLQRQILLALGHGGSAYSARDIDGLCQAFSLQHALAQSYQRRARSLHLAVQLKAQPLDKLGFVVDVEAGSRCFRLLFPSNRETLPDPCPVPYGSLQLAEHPHALAGRPGLRLLWRRRVYSAQGSSPPLPLPGTVPDPHTLAVETALWKQLLELVELQRWPEAAALIQEKGEASQRRELVQVQRSHCGHFLEVARELGSGDTLQVQLGTSLQHGFLVPSPQLWTVAPGFSLCLEHVERPGDCFSGRVYRAPRDRYRDVDEYACVWEPFCALESATGAVAENDSVTLQHLSVSWEASRTPQGQLQGAFRLEAAFLEENCADINFSCCYLCIRLEGLPAPTASPRPGPSSLGPGLNVDPGTYTWVAHGQTQDWDQERRADRQEAPRRVHLFVHHMGMEKVPEEVLRPGTLFTVELLPKQLPDLRKEEAVRGLEEASPLVTSIALGRPVPQPLCRVIPSRFLERQTYNIPGGRHKLNPSQNVAVREALEKPFTVIQGPPGTGKTIVGLHIVFWFHKSNQEQVQPGGPPRGEKRLGGPCILYCGPSNKSVDVLAGLLLRRMELKPLRVYSEQAEASEFPVPRVGSRKLLRKSPREGRPNQSLRSITLHHRIRQAPNPYSSEIKAFDTRLQRGELFSREDLVWYKKVLWEARKFELDRHEVILCTCSCAASASLKILDVRQILVDEAGMATEPETLIPLVQFPQAEKVVLLGDHKQLRPVVKNERLQNLGLDRSLFERYHEDAHMLDTQYRMHEGICAFPSVAFYKSKLKTWQGLRRPPSVLGHAGKESCPVIFGHVQGHERSLLVSTDEGNENSKANLEEVAEVVRITKQLTLGRTVEPQDIAVLTPYNAQASEISKALRREGIAGVAVSSITKSQGSEWRYVLVSTVRTCAKSDLDQRPTKSWLKKFLGFVVDPNQVNVAVTRAQEGLCLIGDHLLLRCCPLWRSLLDFCEAQQTLVPAGQVRVCRRPTMPS
+>DECOY_sp|Q9BYK8|HELZ2_HUMAN Helicase with zinc finger domain 2 OS=Homo sapiens OX=9606 GN=HELZ2 PE=1 SV=6
+SPMTPRRCVRVQGAPVLTQQAECFDLLSRWLPCCRLLLHDGILCLGEQARTVAVNVQNPDVVFGLFKKLWSKTPRQDLDSKACTRVTSVLVYRWESGQSKTISSVAVGAIGERRLAKSIESAQANYPTLVAIDQPEVTRGLTLQKTIRVVEAVEELNAKSNENGEDTSVLLSREHGQVHGFIVPCSEKGAHGLVSPPRRLGQWTKLKSKYFAVSPFACIGEHMRYQTDLMHADEHYREFLSRDLGLNQLRENKVVPRLQKHDGLLVVKEAQPFQVLPILTEPETAMGAEDVLIQRVDLIKLSASAACSCTCLIVEHRDLEFKRAEWLVKKYWVLDERSFLEGRQLRTDFAKIESSYPNPAQRIRHHLTISRLSQNPRGERPSKRLLKRSGVRPVPFESAEAQESYVRLPKLEMRRLLLGALVDVSKNSPGCYLICPGGLRKEGRPPGGPQVQEQNSKHFWFVIHLGVITKGTGPPGQIVTFPKELAERVAVNQSPNLKHRGGPINYTQRELFRSPIVRCLPQPVPRGLAISTVLPSAEELGRVAEEKRLDPLQKPLLEVTFLTGPRLVEEPVKEMGMHHVFLHVRRPAEQRDARREQDWDQTQGHAVWTYTGPDVNLGPGLSSPGPRPSATPAPLGELRICLYCCSFNIDACNEELFAAELRFAGQLQGQPTRSAEWSVSLHQLTVSDNEAVAGTASELACFPEWVCAYEDVDRYRDRPARYVRGSFCDGPREVHELCLSFGPAVTWLQPSPVLFGHQLSTGLQVQLTDGSGLERAVELFHGCHSRQVQVLERRQSAEGKEQILAAAEPWRQLEVLELLQKWLATEVALTHPDPVTGPLPLPPSSGQASYVRRRWLLRLGPRGALAHPHEALQLSGYPVPCPDPLTERNSPFLLRFCRSGAEVDVVFGLKDLPQAKLQVALHLSRARRQYSQALAHQLSFAQCLGDIDRASYASGGHGLALLIQRQLVVDLYRRIPSTAWTYWDVQLSYHGGQQQHGRACRGFASRELAKRLDRGAPALFPHMDDTTVLDVMQEYDQTRAAFQVQKWLSALLHLRTDPPSGGGGHLHHGLHLSLPVRDGHKECLAKLQQSRPAPQWRLPTVTRTCESGVLFEAVLRNFQIMYEKVMIHAARFGLTGDEDPQEYFCDSRLRHRRLLRSFYCAAVVCADVSDLRAPLERGAGPHQRIVEEAEEYSLQRDSQVVSPAFRLSKLQGSAKEMTLFLSIALRDRGPLLSLVDQCLSAPLMPVPERGPAYFAAGQRRAEVDLVGDRPVFSAVDTIHVAVECRPGLDRVSLADDLNCAGQPDVTFTLFARCDERRGAVRGLETHYKQLVKTITAQDSPPVRLSYELGLIRLGQEWTSAEPLVERVIGLPYYFGQRWLVIQVWFLRSHRAEATLRELGVRQLRGKRLSYIPVQSPDKLEAVFIKTVSGNIPVMIRPDWTDMRCVFALEHRKRKLVGLVRGRLRGEPAKDGSLLQVLVEDGAFAMGCDLRGRVQIPGSSADDLPIASAREFTEPVFSCHRYREPEAHLLKRLAAPPLNEYLRAQQLSEPRAVTDLLGDEGVTVMVKQSEDLLERLIADDANLEGDWPWFDAEADEAETSEVGAAAAAGAACAGPVVDEKVADGAPAAAAETQATTATIDRSAPSLAEDGPEAKVMATVVAAQEEALDGVPEPAAEWNGAAGAQTGRPPWRRRQAVGQEVQEMSLGEPCVSHREVCERIFSEWLKGCAGFSCLAVADGVVVLQSQARTLVTNLVRADTFFEPALAGPSLLSQCTHVTSLVVVRFQRGPLIEFSGVSVQGLDRRRLEQRLASVQAGHSVVCICRQERGGWCSPWTNYAEQVKEVVQAIEALNLWSAMSMDRDPSGAVHCFMLPYHRPHPPVKGRAHIPNGKAVYFHRSIFSVIADTCRYNEHFVLRSQRAVEHTEQQYCLFLRHLLTHEAARARAVSFLRPTVQMHDGALVLRTGHSAYALPTLAECELMQAAEDILIHSFFGVPVRLERAQSTTTVVVRHRALEARTPPRFAQRDDTLCCYQLTVPDTQSLPRDTYMVRLPTAEPHGGSVHSHFYERIYIDAASNTHTCILVKTEPRRIVELSAMALTYTKGTGFPGYILLPPVRRGDGPGWGAILAVALEQKRNGRRLPPVSWPRPLACTPLDPVVLQEEPLTDVAQHWLRFTMPDIQFQVELVLRAEPQLGLALCCRAPLLLWLAQESSARRELRVEFVTNDPAPVPAVLATSVARGLLFGQDTDPMLSSPVPVEAYLAGPPAFLMNLAPTQLATKLFVQGRLTLKAVLQQQAAEEEYLFQHMRQRYNTPSIPGSAVSSRNFELALAPGKYKAMLATQEATREVGPVVHRNGTHWREMEEPHGLALNRCPGPRRGQGLQLGLKQLLVPRRGFDFVVWQEFTGFSAAQVRVGVQFDATSSPVRFREGRAYLRGPPLGPAVLSFDAGPEQKLLAVHLLPEESHVAFTWSYQTKREQAQYMLPQNCTVRVGDLTEALVLVESSSRQYEALLREQYPVLGDQWAAQGRLEVAQTRRVWEQLEQASHAKTCADGYECLDPKPCLEFKSLGPPPSRHEWPLAQDFAVMQAHESSACHNEFAEQSHCTVLCAPCYLQAGPPQGLPATRGDGDPAPPTLLDGRQLGGLQEAEWVAM
+>sp|P47775|GPR12_HUMAN G-protein coupled receptor 12 OS=Homo sapiens OX=9606 GN=GPR12 PE=1 SV=1
+MNEDLKVNLSGLPRDYLDAAAAENISAAVSSRVPAVEPEPELVVNPWDIVLCTSGTLISCENAIVVLIIFHNPSLRAPMFLLIGSLALADLLAGIGLITNFVFAYLLQSEATKLVTIGLIVASFSASVCSLLAITVDRYLSLYYALTYHSERTVTFTYVMLVMLWGTSICLGLLPVMGWNCLRDESTCSVVRPLTKNNAAILSVSFLFMFALMLQLYIQICKIVMRHAHQIALQHHFLATSHYVTTRKGVSTLAIILGTFAACWMPFTLYSLIADYTYPSIYTYATLLPATYNSIINPVIYAFRNQEIQKALCLICCGCIPSSLAQRARSPSDV
+>DECOY_sp|P47775|GPR12_HUMAN G-protein coupled receptor 12 OS=Homo sapiens OX=9606 GN=GPR12 PE=1 SV=1
+VDSPSRARQALSSPICGCCILCLAKQIEQNRFAYIVPNIISNYTAPLLTAYTYISPYTYDAILSYLTFPMWCAAFTGLIIALTSVGKRTTVYHSTALFHHQLAIQHAHRMVIKCIQIYLQLMLAFMFLFSVSLIAANNKTLPRVVSCTSEDRLCNWGMVPLLGLCISTGWLMVLMVYTFTVTRESHYTLAYYLSLYRDVTIALLSCVSASFSAVILGITVLKTAESQLLYAFVFNTILGIGALLDALALSGILLFMPARLSPNHFIILVVIANECSILTGSTCLVIDWPNVVLEPEPEVAPVRSSVAASINEAAAADLYDRPLGSLNVKLDENM
+>sp|Q13304|GPR17_HUMAN Uracil nucleotide/cysteinyl leukotriene receptor OS=Homo sapiens OX=9606 GN=GPR17 PE=2 SV=2
+MSKRSWWAGSRKPPREMLKLSGSDSSQSMNGLEVAPPGLITNFSLATAEQCGQETPLENMLFASFYLLDFILALVGNTLALWLFIRDHKSGTPANVFLMHLAVADLSCVLVLPTRLVYHFSGNHWPFGEIACRLTGFLFYLNMYASIYFLTCISADRFLAIVHPVKSLKLRRPLYAHLACAFLWVVVAVAMAPLLVSPQTVQTNHTVVCLQLYREKASHHALVSLAVAFTFPFITTVTCYLLIIRSLRQGLRVEKRLKTKAVRMIAIVLAIFLVCFVPYHVNRSVYVLHYRSHGASCATQRILALANRITSCLTSLNGALDPIMYFFVAEKFRHALCNLLCGKRLKGPPPSFEGKTNESSLSAKSEL
+>DECOY_sp|Q13304|GPR17_HUMAN Uracil nucleotide/cysteinyl leukotriene receptor OS=Homo sapiens OX=9606 GN=GPR17 PE=2 SV=2
+LESKASLSSENTKGEFSPPPGKLRKGCLLNCLAHRFKEAVFFYMIPDLAGNLSTLCSTIRNALALIRQTACSAGHSRYHLVYVSRNVHYPVFCVLFIALVIAIMRVAKTKLRKEVRLGQRLSRIILLYCTVTTIFPFTFAVALSVLAHHSAKERYLQLCVVTHNTQVTQPSVLLPAMAVAVVVWLFACALHAYLPRRLKLSKVPHVIALFRDASICTLFYISAYMNLYFLFGTLRCAIEGFPWHNGSFHYVLRTPLVLVCSLDAVALHMLFVNAPTGSKHDRIFLWLALTNGVLALIFDLLYFSAFLMNELPTEQGCQEATALSFNTILGPPAVELGNMSQSSDSGSLKLMERPPKRSGAWWSRKSM
+>sp|Q15760|GPR19_HUMAN Probable G-protein coupled receptor 19 OS=Homo sapiens OX=9606 GN=GPR19 PE=2 SV=2
+MVFAHRMDNSKPHLIIPTLLVPLQNRSCTETATPLPSQYLMELSEEHSWMSNQTDLHYVLKPGEVATASIFFGILWLFSIFGNSLVCLVIHRSRRTQSTTNYFVVSMACADLLISVASTPFVLLQFTTGRWTLGSATCKVVRYFQYLTPGVQIYVLLSICIDRFYTIVYPLSFKVSREKAKKMIAASWVFDAGFVTPVLFFYGSNWDSHCNYFLPSSWEGTAYTVIHFLVGFVIPSVLIILFYQKVIKYIWRIGTDGRTVRRTMNIVPRTKVKTIKMFLILNLLFLLSWLPFHVAQLWHPHEQDYKKSSLVFTAITWISFSSSASKPTLYSIYNANFRRGMKETFCMSSMKCYRSNAYTITTSSRMAKKNYVGISEIPSMAKTITKDSIYDSFDREAKEKKLAWPINSNPPNTFV
+>DECOY_sp|Q15760|GPR19_HUMAN Probable G-protein coupled receptor 19 OS=Homo sapiens OX=9606 GN=GPR19 PE=2 SV=2
+VFTNPPNSNIPWALKKEKAERDFSDYISDKTITKAMSPIESIGVYNKKAMRSSTTITYANSRYCKMSSMCFTEKMGRRFNANYISYLTPKSASSSFSIWTIATFVLSSKKYDQEHPHWLQAVHFPLWSLLFLLNLILFMKITKVKTRPVINMTRRVTRGDTGIRWIYKIVKQYFLIILVSPIVFGVLFHIVTYATGEWSSPLFYNCHSDWNSGYFFLVPTVFGADFVWSAAIMKKAKERSVKFSLPYVITYFRDICISLLVYIQVGPTLYQFYRVVKCTASGLTWRGTTFQLLVFPTSAVSILLDACAMSVVFYNTTSQTRRSRHIVLCVLSNGFISFLWLIGFFISATAVEGPKLVYHLDTQNSMWSHEESLEMLYQSPLPTATETCSRNQLPVLLTPIILHPKSNDMRHAFVM
+>sp|Q8NDV2|GPR26_HUMAN G-protein coupled receptor 26 OS=Homo sapiens OX=9606 GN=GPR26 PE=1 SV=1
+MNSWDAGLAGLLVGTMGVSLLSNALVLLCLLHSADIRRQAPALFTLNLTCGNLLCTVVNMPLTLAGVVAQRQPAGDRLCRLAAFLDTFLAANSMLSMAALSIDRWVAVVFPLSYRAKMRLRDAALMVAYTWLHALTFPAAALALSWLGFHQLYASCTLCSRRPDERLRFAVFTGAFHALSFLLSFVVLCCTYLKVLKVARFHCKRIDVITMQTLVLLVDLHPSVRERCLEEQKRRRQRATKKISTFIGTFLVCFAPYVITRLVELFSTVPIGSHWGVLSKCLAYSKAASDPFVYSLLRHQYRKSCKEILNRLLHRRSIHSSGLTGDSHSQNILPVSE
+>DECOY_sp|Q8NDV2|GPR26_HUMAN G-protein coupled receptor 26 OS=Homo sapiens OX=9606 GN=GPR26 PE=1 SV=1
+ESVPLINQSHSDGTLGSSHISRRHLLRNLIEKCSKRYQHRLLSYVFPDSAAKSYALCKSLVGWHSGIPVTSFLEVLRTIVYPAFCVLFTGIFTSIKKTARQRRRKQEELCRERVSPHLDVLLVLTQMTIVDIRKCHFRAVKLVKLYTCCLVVFSLLFSLAHFAGTFVAFRLREDPRRSCLTCSAYLQHFGLWSLALAAAPFTLAHLWTYAVMLAADRLRMKARYSLPFVVAVWRDISLAAMSLMSNAALFTDLFAALRCLRDGAPQRQAVVGALTLPMNVVTCLLNGCTLNLTFLAPAQRRIDASHLLCLLVLANSLLSVGMTGVLLGALGADWSNM
+>sp|O00270|GPR31_HUMAN 12-(S)-hydroxy-5,8,10,14-eicosatetraenoic acid receptor OS=Homo sapiens OX=9606 GN=GPR31 PE=2 SV=2
+MPFPNCSAPSTVVATAVGVLLGLECGLGLLGNAVALWTFLFRVRVWKPYAVYLLNLALADLLLAACLPFLAAFYLSLQAWHLGRVGCWALHFLLDLSRSVGMAFLAAVALDRYLRVVHPRLKVNLLSPQAALGVSGLVWLLMVALTCPGLLISEAAQNSTRCHSFYSRADGSFSIIWQEALSCLQFVLPFGLIVFCNAGIIRALQKRLREPEKQPKLQRAQALVTLVVVLFALCFLPCFLARVLMHIFQNLGSCRALCAVAHTSDVTGSLTYLHSVLNPVVYCFSSPTFRSSYRRVFHTLRGKGQAAEPPDFNPRDSYS
+>DECOY_sp|O00270|GPR31_HUMAN 12-(S)-hydroxy-5,8,10,14-eicosatetraenoic acid receptor OS=Homo sapiens OX=9606 GN=GPR31 PE=2 SV=2
+SYSDRPNFDPPEAAQGKGRLTHFVRRYSSRFTPSSFCYVVPNLVSHLYTLSGTVDSTHAVACLARCSGLNQFIHMLVRALFCPLFCLAFLVVVLTVLAQARQLKPQKEPERLRKQLARIIGANCFVILGFPLVFQLCSLAEQWIISFSGDARSYFSHCRTSNQAAESILLGPCTLAVMLLWVLGSVGLAAQPSLLNVKLRPHVVRLYRDLAVAALFAMGVSRSLDLLFHLAWCGVRGLHWAQLSLYFAALFPLCAALLLDALALNLLYVAYPKWVRVRFLFTWLAVANGLLGLGCELGLLVGVATAVVTSPASCNPFPM
+>sp|Q49SQ1|GPR33_HUMAN Probable G-protein coupled receptor 33 OS=Homo sapiens OX=9606 GN=GPR33 PE=2 SV=1
+MDLINSTDYLINASTLVRNSTQFLAPASKMIIALSLYISSIIGTITNGLYLWVLRFKMKQTVNTLLFFHLILSYFISTMILPFMATSQLQDNHWNFGTALCKVFNGTLSLGMFTSVFFLSAIGLDRYLLTLHPVWSQQHRTPRWASSIVLGVWISAAALSIPYLIFRETHHDRKGKVTCQNNYAVSTNWESKEMQASRQWIHVACFISRFLLGFLLPFFIIIFCYERVASKVKERSLFKSSKPFKVMMTAIISFFVCWMPYHIHQGLLLTTNQSLLLELTLILTVLTTSFNTIFSPTLYLFVGENFKKVFKKSILALFESTFSEDSSVERTQT
+>DECOY_sp|Q49SQ1|GPR33_HUMAN Probable G-protein coupled receptor 33 OS=Homo sapiens OX=9606 GN=GPR33 PE=2 SV=1
+TQTREVSSDESFTSEFLALISKKFVKKFNEGVFLYLTPSFITNFSTTLVTLILTLELLLSQNTTLLLGQHIHYPMWCVFFSIIATMMVKFPKSSKFLSREKVKSAVREYCFIIIFFPLLFGLLFRSIFCAVHIWQRSAQMEKSEWNTSVAYNNQCTVKGKRDHHTERFILYPISLAAASIWVGLVISSAWRPTRHQQSWVPHLTLLYRDLGIASLFFVSTFMGLSLTGNFVKCLATGFNWHNDQLQSTAMFPLIMTSIFYSLILHFFLLTNVTQKMKFRLVWLYLGNTITGIISSIYLSLAIIMKSAPALFQTSNRVLTSANILYDTSNILDM
+>sp|Q9HC97|GPR35_HUMAN G-protein coupled receptor 35 OS=Homo sapiens OX=9606 GN=GPR35 PE=2 SV=4
+MNGTYNTCGSSDLTWPPAIKLGFYAYLGVLLVLGLLLNSLALWVFCCRMQQWTETRIYMTNLAVADLCLLCTLPFVLHSLRDTSDTPLCQLSQGIYLTNRYMSISLVTAIAVDRYVAVRHPLRARGLRSPRQAAAVCAVLWVLVIGSLVARWLLGIQEGGFCFRSTRHNFNSMAFPLLGFYLPLAVVVFCSLKVVTALAQRPPTDVGQAEATRKAARMVWANLLVFVVCFLPLHVGLTVRLAVGWNACALLETIRRALYITSKLSDANCCLDAICYYYMAKEFQEASALAVAPSAKAHKSQDSLCVTLA
+>DECOY_sp|Q9HC97|GPR35_HUMAN G-protein coupled receptor 35 OS=Homo sapiens OX=9606 GN=GPR35 PE=2 SV=4
+ALTVCLSDQSKHAKASPAVALASAEQFEKAMYYYCIADLCCNADSLKSTIYLARRITELLACANWGVALRVTLGVHLPLFCVVFVLLNAWVMRAAKRTAEAQGVDTPPRQALATVVKLSCFVVVALPLYFGLLPFAMSNFNHRTSRFCFGGEQIGLLWRAVLSGIVLVWLVACVAAAQRPSRLGRARLPHRVAVYRDVAIATVLSISMYRNTLYIGQSLQCLPTDSTDRLSHLVFPLTCLLCLDAVALNTMYIRTETWQQMRCCFVWLALSNLLLGLVLLVGLYAYFGLKIAPPWTLDSSGCTNYTGNM
+>sp|P46089|GPR3_HUMAN G-protein coupled receptor 3 OS=Homo sapiens OX=9606 GN=GPR3 PE=1 SV=1
+MMWGAGSPLAWLSAGSGNVNVSSVGPAEGPTGPAAPLPSPKAWDVVLCISGTLVSCENALVVAIIVGTPAFRAPMFLLVGSLAVADLLAGLGLVLHFAAVFCIGSAEMSLVLVGVLAMAFTASIGSLLAITVDRYLSLYNALTYYSETTVTRTYVMLALVWGGALGLGLLPVLAWNCLDGLTTCGVVYPLSKNHLVVLAIAFFMVFGIMLQLYAQICRIVCRHAQQIALQRHLLPASHYVATRKGIATLAVVLGAFAACWLPFTVYCLLGDAHSPPLYTYLTLLPATYNSMINPIIYAFRNQDVQKVLWAVCCCCSSSKIPFRSRSPSDV
+>DECOY_sp|P46089|GPR3_HUMAN G-protein coupled receptor 3 OS=Homo sapiens OX=9606 GN=GPR3 PE=1 SV=1
+VDSPSRSRFPIKSSSCCCCVAWLVKQVDQNRFAYIIPNIMSNYTAPLLTLYTYLPPSHADGLLCYVTFPLWCAAFAGLVVALTAIGKRTAVYHSAPLLHRQLAIQQAHRCVIRCIQAYLQLMIGFVMFFAIALVVLHNKSLPYVVGCTTLGDLCNWALVPLLGLGLAGGWVLALMVYTRTVTTESYYTLANYLSLYRDVTIALLSGISATFAMALVGVLVLSMEASGICFVAAFHLVLGLGALLDAVALSGVLLFMPARFAPTGVIIAVVLANECSVLTGSICLVVDWAKPSPLPAAPGTPGEAPGVSSVNVNGSGASLWALPSGAGWMM
+>sp|O15529|GPR42_HUMAN G-protein coupled receptor 42 OS=Homo sapiens OX=9606 GN=GPR42 PE=1 SV=1
+MDTGPDQSYFSGNHWFVFSVYLLTFLVGLPLNLLALVVFVGKLRCRPVAVDVLLLNLTASDLLLLLFLPFRMVEAANGMHWPLPFILCPLSGFIFFTTIYLTALFLAAVSIERFLSVAHPLWYKTRPRLGQAGLVSVACWLLASAHCSVVYVIEFSGDISHSQGTNGTCYLEFWKDQLAILLPVRLEMAVVLFVVPLIITSYCYSRLVWILGRGGSHRRQRRVAGLVAATLLNFLVCFGPYNVSHVVGYICGESPVWRIYVTLLSTLNSCVDPFVYYFSSSGFQADFHELLRRLCGLWGQWQQESSMELKEQKGGEEQRADRPAERKTSEHSQGCGTGGQVACAEN
+>DECOY_sp|O15529|GPR42_HUMAN G-protein coupled receptor 42 OS=Homo sapiens OX=9606 GN=GPR42 PE=1 SV=1
+NEACAVQGGTGCGQSHESTKREAPRDARQEEGGKQEKLEMSSEQQWQGWLGCLRRLLEHFDAQFGSSSFYYVFPDVCSNLTSLLTVYIRWVPSEGCIYGVVHSVNYPGFCVLFNLLTAAVLGAVRRQRRHSGGRGLIWVLRSYCYSTIILPVVFLVVAMELRVPLLIALQDKWFELYCTGNTGQSHSIDGSFEIVYVVSCHASALLWCAVSVLGAQGLRPRTKYWLPHAVSLFREISVAALFLATLYITTFFIFGSLPCLIFPLPWHMGNAAEVMRFPLFLLLLLDSATLNLLLVDVAVPRCRLKGVFVVLALLNLPLGVLFTLLYVSFVFWHNGSFYSQDPGTDM
+>sp|P46093|GPR4_HUMAN G-protein coupled receptor 4 OS=Homo sapiens OX=9606 GN=GPR4 PE=2 SV=2
+MGNHTWEGCHVDSRVDHLFPPSLYIFVIGVGLPTNCLALWAAYRQVQQRNELGVYLMNLSIADLLYICTLPLWVDYFLHHDNWIHGPGSCKLFGFIFYTNIYISIAFLCCISVDRYLAVAHPLRFARLRRVKTAVAVSSVVWATELGANSAPLFHDELFRDRYNHTFCFEKFPMEGWVAWMNLYRVFVGFLFPWALMLLSYRGILRAVRGSVSTERQEKAKIKRLALSLIAIVLVCFAPYHVLLLSRSAIYLGRPWDCGFEERVFSAYHSSLAFTSLNCVADPILYCLVNEGARSDVAKALHNLLRFLASDKPQEMANASLTLETPLTSKRNSTAKAMTGSWAATPPSQGDQVQLKMLPPAQ
+>DECOY_sp|P46093|GPR4_HUMAN G-protein coupled receptor 4 OS=Homo sapiens OX=9606 GN=GPR4 PE=2 SV=2
+QAPPLMKLQVQDGQSPPTAAWSGTMAKATSNRKSTLPTELTLSANAMEQPKDSALFRLLNHLAKAVDSRAGENVLCYLIPDAVCNLSTFALSSHYASFVREEFGCDWPRGLYIASRSLLLVHYPAFCVLVIAILSLALRKIKAKEQRETSVSGRVARLIGRYSLLMLAWPFLFGVFVRYLNMWAVWGEMPFKEFCFTHNYRDRFLEDHFLPASNAGLETAWVVSSVAVATKVRRLRAFRLPHAVALYRDVSICCLFAISIYINTYFIFGFLKCSGPGHIWNDHHLFYDVWLPLTCIYLLDAISLNMLYVGLENRQQVQRYAAWLALCNTPLGVGIVFIYLSPPFLHDVRSDVHCGEWTHNGM
+>sp|Q9BZJ7|GPR62_HUMAN G-protein coupled receptor 62 OS=Homo sapiens OX=9606 GN=GPR62 PE=1 SV=2
+MANSTGLNASEVAGSLGLILAAVVEVGALLGNGALLVVVLRTPGLRDALYLAHLCVVDLLAAASIMPLGLLAAPPPGLGRVRLGPAPCRAARFLSAALLPACTLGVAALGLARYRLIVHPLRPGSRPPPVLVLTAVWAAAGLLGALSLLGTPPAPPPAPARCSVLAGGLGPFRPLWALLAFALPALLLLGAYGGIFVVARRAALRPPRPARGSRLHSDSLDSRLSILPPLRPRLPGGKAALAPALAVGQFAACWLPYGCACLAPAARAAEAEAAVTWVAYSAFAAHPFLYGLLQRPVRLALGRLSRRALPGPVRACTPQAWHPRALLQCLQRPPEGPAVGPSEAPEQTPELAGGRSPAYQGPPESSLS
+>DECOY_sp|Q9BZJ7|GPR62_HUMAN G-protein coupled receptor 62 OS=Homo sapiens OX=9606 GN=GPR62 PE=1 SV=2
+SLSSEPPGQYAPSRGGALEPTQEPAESPGVAPGEPPRQLCQLLARPHWAQPTCARVPGPLARRSLRGLALRVPRQLLGYLFPHAAFASYAVWTVAAEAEAARAAPALCACGYPLWCAAFQGVALAPALAAKGGPLRPRLPPLISLRSDLSDSHLRSGRAPRPPRLAARRAVVFIGGYAGLLLLAPLAFALLAWLPRFPGLGGALVSCRAPAPPPAPPTGLLSLAGLLGAAAWVATLVLVPPPRSGPRLPHVILRYRALGLAAVGLTCAPLLAASLFRAARCPAPGLRVRGLGPPPAALLGLPMISAAALLDVVCLHALYLADRLGPTRLVVVLLAGNGLLAGVEVVAALILGLSGAVESANLGTSNAM
+>sp|Q9BZJ6|GPR63_HUMAN Probable G-protein coupled receptor 63 OS=Homo sapiens OX=9606 GN=GPR63 PE=2 SV=2
+MVFSAVLTAFHTGTSNTTFVVYENTYMNITLPPPFQHPDLSPLLRYSFETMAPTGLSSLTVNSTAVPTTPAAFKSLNLPLQITLSAIMIFILFVSFLGNLVVCLMVYQKAAMRSAINILLASLAFADMLLAVLNMPFALVTILTTRWIFGKFFCRVSAMFFWLFVIEGVAILLIISIDRFLIIVQRQDKLNPYRAKVLIAVSWATSFCVAFPLAVGNPDLQIPSRAPQCVFGYTTNPGYQAYVILISLISFFIPFLVILYSFMGILNTLRHNALRIHSYPEGICLSQASKLGLMSLQRPFQMSIDMGFKTRAFTTILILFAVFIVCWAPFTTYSLVATFSKHFYYQHNFFEISTWLLWLCYLKSALNPLIYYWRIKKFHDACLDMMPKSFKFLPQLPGHTKRRIRPSAVYVCGEHRTVV
+>DECOY_sp|Q9BZJ6|GPR63_HUMAN Probable G-protein coupled receptor 63 OS=Homo sapiens OX=9606 GN=GPR63 PE=2 SV=2
+VVTRHEGCVYVASPRIRRKTHGPLQPLFKFSKPMMDLCADHFKKIRWYYILPNLASKLYCLWLLWTSIEFFNHQYYFHKSFTAVLSYTTFPAWCVIFVAFLILITTFARTKFGMDISMQFPRQLSMLGLKSAQSLCIGEPYSHIRLANHRLTNLIGMFSYLIVLFPIFFSILSILIVYAQYGPNTTYGFVCQPARSPIQLDPNGVALPFAVCFSTAWSVAILVKARYPNLKDQRQVIILFRDISIILLIAVGEIVFLWFFMASVRCFFKGFIWRTTLITVLAFPMNLVALLMDAFALSALLINIASRMAAKQYVMLCVVLNGLFSVFLIFIMIASLTIQLPLNLSKFAAPTTPVATSNVTLSSLGTPAMTEFSYRLLPSLDPHQFPPPLTINMYTNEYVVFTTNSTGTHFATLVASFVM
+>sp|P46095|GPR6_HUMAN G-protein coupled receptor 6 OS=Homo sapiens OX=9606 GN=GPR6 PE=1 SV=1
+MNASAASLNDSQVVVVAAEGAAAAATAAGGPDTGEWGPPAAAALGAGGGANGSLELSSQLSAGPPGLLLPAVNPWDVLLCVSGTVIAGENALVVALIASTPALRTPMFVLVGSLATADLLAGCGLILHFVFQYLVPSETVSLLTVGFLVASFAASVSSLLAITVDRYLSLYNALTYYSRRTLLGVHLLLAATWTVSLGLGLLPVLGWNCLAERAACSVVRPLARSHVALLSAAFFMVFGIMLHLYVRICQVVWRHAHQIALQQHCLAPPHLAATRKGVGTLAVVLGTFGASWLPFAIYCVVGSHEDPAVYTYATLLPATYNSMINPIIYAFRNQEIQRALWLLLCGCFQSKVPFRSRSPSEV
+>DECOY_sp|P46095|GPR6_HUMAN G-protein coupled receptor 6 OS=Homo sapiens OX=9606 GN=GPR6 PE=1 SV=1
+VESPSRSRFPVKSQFCGCLLLWLARQIEQNRFAYIIPNIMSNYTAPLLTAYTYVAPDEHSGVVCYIAFPLWSAGFTGLVVALTGVGKRTAALHPPALCHQQLAIQHAHRWVVQCIRVYLHLMIGFVMFFAASLLAVHSRALPRVVSCAAREALCNWGLVPLLGLGLSVTWTAALLLHVGLLTRRSYYTLANYLSLYRDVTIALLSSVSAAFSAVLFGVTLLSVTESPVLYQFVFHLILGCGALLDATALSGVLVFMPTRLAPTSAILAVVLANEGAIVTGSVCLLVDWPNVAPLLLGPPGASLQSSLELSGNAGGGAGLAAAAPPGWEGTDPGGAATAAAAAGEAAVVVVQSDNLSAASANM
+>sp|O95800|GPR75_HUMAN Probable G-protein coupled receptor 75 OS=Homo sapiens OX=9606 GN=GPR75 PE=1 SV=1
+MNSTGHLQDAPNATSLHVPHSQEGNSTSLQEGLQDLIHTATLVTCTFLLAVIFCLGSYGNFIVFLSFFDPAFRKFRTNFDFMILNLSFCDLFICGVTAPMFTFVLFFSSASSIPDAFCFTFHLTSSGFIIMSLKTVAVIALHRLRMVLGKQPNRTASFPCTVLLTLLLWATSFTLATLATLKTSKSHLCLPMSSLIAGKGKAILSLYVVDFTFCVAVVSVSYIMIAQTLRKNAQVRKCPPVITVDASRPQPFMGVPVQGGGDPIQCAMPALYRNQNYNKLQHVQTRGYTKSPNQLVTPAASRLQLVSAINLSTAKDSKAVVTCVIIVLSVLVCCLPLGISLVQVVLSSNGSFILYQFELFGFTLIFFKSGLNPFIYSRNSAGLRRKVLWCLQYIGLGFFCCKQKTRLRAMGKGNLEVNRNKSSHHETNSAYMLSPKPQKKFVDQACGPSHSKESMVSPKISAGHQHCGQSSSTPINTRIEPYYSIYNSSPSQEESSPCNLQPVNSFGFANSYIAMHYHTTNDLVQEYDSTSAKQIPVPSV
+>DECOY_sp|O95800|GPR75_HUMAN Probable G-protein coupled receptor 75 OS=Homo sapiens OX=9606 GN=GPR75 PE=1 SV=1
+VSPVPIQKASTSDYEQVLDNTTHYHMAIYSNAFGFSNVPQLNCPSSEEQSPSSNYISYYPEIRTNIPTSSSQGCHQHGASIKPSVMSEKSHSPGCAQDVFKKQPKPSLMYASNTEHHSSKNRNVELNGKGMARLRTKQKCCFFGLGIYQLCWLVKRRLGASNRSYIFPNLGSKFFILTFGFLEFQYLIFSGNSSLVVQVLSIGLPLCCVLVSLVIIVCTVVAKSDKATSLNIASVLQLRSAAPTVLQNPSKTYGRTQVHQLKNYNQNRYLAPMACQIPDGGGQVPVGMFPQPRSADVTIVPPCKRVQANKRLTQAIMIYSVSVVAVCFTFDVVYLSLIAKGKGAILSSMPLCLHSKSTKLTALTALTFSTAWLLLTLLVTCPFSATRNPQKGLVMRLRHLAIVAVTKLSMIIFGSSTLHFTFCFADPISSASSFFLVFTFMPATVGCIFLDCFSLNLIMFDFNTRFKRFAPDFFSLFVIFNGYSGLCFIVALLFTCTVLTATHILDQLGEQLSTSNGEQSHPVHLSTANPADQLHGTSNM
+>sp|Q96P67|GPR82_HUMAN Probable G-protein coupled receptor 82 OS=Homo sapiens OX=9606 GN=GPR82 PE=2 SV=1
+MNNNTTCIQPSMISSMALPIIYILLCIVGVFGNTLSQWIFLTKIGKKTSTHIYLSHLVTANLLVCSAMPFMSIYFLKGFQWEYQSAQCRVVNFLGTLSMHASMFVSLLILSWIAISRYATLMQKDSSQETTSCYEKIFYGHLLKKFRQPNFARKLCIYIWGVVLGIIIPVTVYYSVIEATEGEESLCYNRQMELGAMISQIAGLIGTTFIGFSFLVVLTSYYSFVSHLRKIRTCTSIMEKDLTYSSVKRHLLVIQILLIVCFLPYSIFKPIFYVLHQRDNCQQLNYLIETKNILTCLASARSSTDPIIFLLLDKTFKKTLYNLFTKSNSAHMQSYG
+>DECOY_sp|Q96P67|GPR82_HUMAN Probable G-protein coupled receptor 82 OS=Homo sapiens OX=9606 GN=GPR82 PE=2 SV=1
+GYSQMHASNSKTFLNYLTKKFTKDLLLFIIPDTSSRASALCTLINKTEILYNLQQCNDRQHLVYFIPKFISYPLFCVILLIQIVLLHRKVSSYTLDKEMISTCTRIKRLHSVFSYYSTLVVLFSFGIFTTGILGAIQSIMAGLEMQRNYCLSEEGETAEIVSYYVTVPIIIGLVVGWIYICLKRAFNPQRFKKLLHGYFIKEYCSTTEQSSDKQMLTAYRSIAIWSLILLSVFMSAHMSLTGLFNVVRCQASQYEWQFGKLFYISMFPMASCVLLNATVLHSLYIHTSTKKGIKTLFIWQSLTNGFVGVICLLIYIIPLAMSSIMSPQICTTNNNM
+>sp|Q9NQS5|GPR84_HUMAN G-protein coupled receptor 84 OS=Homo sapiens OX=9606 GN=GPR84 PE=2 SV=1
+MWNSSDANFSCYHESVLGYRYVAVSWGVVVAVTGTVGNVLTLLALAIQPKLRTRFNLLIANLTLADLLYCTLLQPFSVDTYLHLHWRTGATFCRVFGLLLFASNSVSILTLCLIALGRYLLIAHPKLFPQVFSAKGIVLALVSTWVVGVASFAPLWPIYILVPVVCTCSFDRIRGRPYTTILMGIYFVLGLSSVGIFYCLIHRQVKRAAQALDQYKLRQASIHSNHVARTDEAMPGRFQELDSRLASGGPSEGISSEPVSAATTQTLEGDSSEVGDQINSKRAKQMAEKSPPEASAKAQPIKGARRAPDSSSEFGKVTRMCFAVFLCFALSYIPFLLLNILDARVQAPRVVHMLAANLTWLNGCINPVLYAAMNRQFRQAYGSILKRGPRSFHRLH
+>DECOY_sp|Q9NQS5|GPR84_HUMAN G-protein coupled receptor 84 OS=Homo sapiens OX=9606 GN=GPR84 PE=2 SV=1
+HLRHFSRPGRKLISGYAQRFQRNMAAYLVPNICGNLWTLNAALMHVVRPAQVRADLINLLLFPIYSLAFCLFVAFCMRTVKGFESSSDPARRAGKIPQAKASAEPPSKEAMQKARKSNIQDGVESSDGELTQTTAASVPESSIGESPGGSALRSDLEQFRGPMAEDTRAVHNSHISAQRLKYQDLAQAARKVQRHILCYFIGVSSLGLVFYIGMLITTYPRGRIRDFSCTCVVPVLIYIPWLPAFSAVGVVWTSVLALVIGKASFVQPFLKPHAILLYRGLAILCLTLISVSNSAFLLLGFVRCFTAGTRWHLHLYTDVSFPQLLTCYLLDALTLNAILLNFRTRLKPQIALALLTLVNGVTGTVAVVVGWSVAVYRYGLVSEHYCSFNADSSNWM
+>sp|Q9GZN0|GPR88_HUMAN Probable G-protein coupled receptor 88 OS=Homo sapiens OX=9606 GN=GPR88 PE=2 SV=2
+MTNSSSTSTSSTTGGSLLLLCEEEESWAGRRIPVSLLYSGLAIGGTLANGMVIYLVSSFRKLQTTSNAFIVNGCAADLSVCALWMPQEAVLGLLPTGSAEPPADWDGAGGSYRLLRGGLLGLGLTVSLLSHCLVALNRYLLITRAPATYQALYQRRHTAGMLALSWALALGLVLLLPPWAPRPGAAPPRVHYPALLAAAALLAQTALLLHCYLGIVRRVRVSVKRVSVLNFHLLHQLPGCAAAAAAFPGAQHAPGPGGAAHPAQAQPLPPALHPRRAQRRLSGLSVLLLCCVFLLATQPLVWVSLASGFSLPVPWGVQAASWLLCCALSALNPLLYTWRNEEFRRSVRSVLPGVGDAAAAAVAATAVPAVSQAQLGTRAAGQHW
+>DECOY_sp|Q9GZN0|GPR88_HUMAN Probable G-protein coupled receptor 88 OS=Homo sapiens OX=9606 GN=GPR88 PE=2 SV=2
+WHQGAARTGLQAQSVAPVATAAVAAAAADGVGPLVSRVSRRFEENRWTYLLPNLASLACCLLWSAAQVGWPVPLSFGSALSVWVLPQTALLFVCCLLLVSLGSLRRQARRPHLAPPLPQAQAPHAAGGPGPAHQAGPFAAAAAACGPLQHLLHFNLVSVRKVSVRVRRVIGLYCHLLLATQALLAAAALLAPYHVRPPAAGPRPAWPPLLLVLGLALAWSLALMGATHRRQYLAQYTAPARTILLYRNLAVLCHSLLSVTLGLGLLGGRLLRYSGGAGDWDAPPEASGTPLLGLVAEQPMWLACVSLDAACGNVIFANSTTQLKRFSSVLYIVMGNALTGGIALGSYLLSVPIRRGAWSEEEECLLLLSGGTTSSTSTSSSNTM
+>sp|Q9Y4H4|GPSM3_HUMAN G-protein-signaling modulator 3 OS=Homo sapiens OX=9606 GN=GPSM3 PE=1 SV=1
+MEAERPQEEEDGEQGPPQDEEGWPPPNSTTRPWRSAPPSPPPPGTRHTALGPRSASLLSLQTELLLDLVAEAQSRRLEEQRATFYTPQNPSSLAPAPLRPLEDREQLYSTILSHQCQRMEAQRSEPPLPPGGQELLELLLRVQGGGRMEEQRSRPPTHTC
+>DECOY_sp|Q9Y4H4|GPSM3_HUMAN G-protein-signaling modulator 3 OS=Homo sapiens OX=9606 GN=GPSM3 PE=1 SV=1
+CTHTPPRSRQEEMRGGGQVRLLLELLEQGGPPLPPESRQAEMRQCQHSLITSYLQERDELPRLPAPALSSPNQPTYFTARQEELRRSQAEAVLDLLLETQLSLLSASRPGLATHRTGPPPPSPPASRWPRTTSNPPPWGEEDQPPGQEGDEEEQPREAEM
+>sp|Q9NW75|GPTC2_HUMAN G patch domain-containing protein 2 OS=Homo sapiens OX=9606 GN=GPATCH2 PE=1 SV=1
+MFGAAGRQPIGAPAAGNSWHFSRTMEELVHDLVSALEESSEQARGGFAETGDHSRSISCPLKRQARKRRGRKRRSYNVHHPWETGHCLSEGSDSSLEEPSKDYRENHNNNKKDHSDSDDQMLVAKRRPSSNLNNNVRGKRPLWHESDFAVDNVGNRTLRRRRKVKRMAVDLPQDISNKRTMTQPPEGCRDQDMDSDRAYQYQEFTKNKVKKRKLKIIRQGPKIQDEGVVLESEETNQTNKDKMECEEQKVSDELMSESDSSSLSSTDAGLFTNDEGRQGDDEQSDWFYEKESGGACGITGVVPWWEKEDPTELDKNVPDPVFESILTGSFPLMSHPSRRGFQARLSRLHGMSSKNIKKSGGTPTSMVPIPGPVGNKRMVHFSPDSHHHDHWFSPGARTEHDQHQLLRDNRAERGHKKNCSVRTASRQTSMHLGSLCTGDIKRRRKAAPLPGPTTAGFVGENAQPILENNIGNRMLQNMGWTPGSGLGRDGKGISEPIQAMQRPKGLGLGFPLPKSTSATTTPNAGKSA
+>DECOY_sp|Q9NW75|GPTC2_HUMAN G patch domain-containing protein 2 OS=Homo sapiens OX=9606 GN=GPATCH2 PE=1 SV=1
+ASKGANPTTTASTSKPLPFGLGLGKPRQMAQIPESIGKGDRGLGSGPTWGMNQLMRNGINNELIPQANEGVFGATTPGPLPAAKRRRKIDGTCLSGLHMSTQRSATRVSCNKKHGREARNDRLLQHQDHETRAGPSFWHDHHHSDPSFHVMRKNGVPGPIPVMSTPTGGSKKINKSSMGHLRSLRAQFGRRSPHSMLPFSGTLISEFVPDPVNKDLETPDEKEWWPVVGTIGCAGGSEKEYFWDSQEDDGQRGEDNTFLGADTSSLSSSDSESMLEDSVKQEECEMKDKNTQNTEESELVVGEDQIKPGQRIIKLKRKKVKNKTFEQYQYARDSDMDQDRCGEPPQTMTRKNSIDQPLDVAMRKVKRRRRLTRNGVNDVAFDSEHWLPRKGRVNNNLNSSPRRKAVLMQDDSDSHDKKNNNHNERYDKSPEELSSDSGESLCHGTEWPHHVNYSRRKRGRRKRAQRKLPCSISRSHDGTEAFGGRAQESSEELASVLDHVLEEMTRSFHWSNGAAPAGIPQRGAAGFM
+>sp|P22352|GPX3_HUMAN Glutathione peroxidase 3 OS=Homo sapiens OX=9606 GN=GPX3 PE=1 SV=2
+MARLLQASCLLSLLLAGFVSQSRGQEKSKMDCHGGISGTIYEYGALTIDGEEYIPFKQYAGKYVLFVNVASYUGLTGQYIELNALQEELAPFGLVILGFPCNQFGKQEPGENSEILPTLKYVRPGGGFVPNFQLFEKGDVNGEKEQKFYTFLKNSCPPTSELLGTSDRLFWEPMKVHDIRWNFEKFLVGPDGIPIMRWHHRTTVSNVKMDILSYMRRQAALGVKRK
+>DECOY_sp|P22352|GPX3_HUMAN Glutathione peroxidase 3 OS=Homo sapiens OX=9606 GN=GPX3 PE=1 SV=2
+KRKVGLAAQRRMYSLIDMKVNSVTTRHHWRMIPIGDPGVLFKEFNWRIDHVKMPEWFLRDSTGLLESTPPCSNKLFTYFKQEKEGNVDGKEFLQFNPVFGGGPRVYKLTPLIESNEGPEQKGFQNCPFGLIVLGFPALEEQLANLEIYQGTLGUYSAVNVFLVYKGAYQKFPIYEEGDITLAGYEYITGSIGGHCDMKSKEQGRSQSVFGALLLSLLCSAQLLRAM
+>sp|P36969|GPX4_HUMAN Phospholipid hydroperoxide glutathione peroxidase OS=Homo sapiens OX=9606 GN=GPX4 PE=1 SV=3
+MSLGRLCRLLKPALLCGALAAPGLAGTMCASRDDWRCARSMHEFSAKDIDGHMVNLDKYRGFVCIVTNVASQUGKTEVNYTQLVDLHARYAECGLRILAFPCNQFGKQEPGSNEEIKEFAAGYNVKFDMFSKICVNGDDAHPLWKWMKIQPKGKGILGNAIKWNFTKFLIDKNGCVVKRYGPMEEPLVIEKDLPHYF
+>DECOY_sp|P36969|GPX4_HUMAN Phospholipid hydroperoxide glutathione peroxidase OS=Homo sapiens OX=9606 GN=GPX4 PE=1 SV=3
+FYHPLDKEIVLPEEMPGYRKVVCGNKDILFKTFNWKIANGLIGKGKPQIKMWKWLPHADDGNVCIKSFMDFKVNYGAAFEKIEENSGPEQKGFQNCPFALIRLGCEAYRAHLDVLQTYNVETKGUQSAVNTVICVFGRYKDLNVMHGDIDKASFEHMSRACRWDDRSACMTGALGPAALAGCLLAPKLLRCLRGLSM
+>sp|Q6IC98|GRAM4_HUMAN GRAM domain-containing protein 4 OS=Homo sapiens OX=9606 GN=GRAMD4 PE=1 SV=1
+MLRRLDKIRFRGHKRDDFLDLAESPNASDTECSDEIPLKVPRTSPRDSEELRDPAGPGTLIMATGVQDFNRTEFDRLNEIKGHLEIALLEKHFLQEELRKLREETNAEMLRQELDRERQRRMELEQKVQEVLKARTEEQMAQQPPKGQAQASNGAERRSQGLSSRLQKWFYERFGEYVEDFRFQPEENTVETEEPLSARRLTENMRRLKRGAKPVTNFVKNLSALSDWYSVYTSAIAFTVYMNAVWHGWAIPLFLFLAILRLSLNYLIARGWRIQWSIVPEVSEPVEPPKEDLTVSEKFQLVLDVAQKAQNLFGKMADILEKIKNLFMWVQPEITQKLYVALWAAFLASCFFPYRLVGLAVGLYAGIKFFLIDFIFKRCPRLRAKYDTPYIIWRSLPTDPQLKERSSAAVSRRLQTTSSRSYVPSAPAGLGKEEDAGRFHSTKKGNFHEIFNLTENERPLAVCENGWRCCLINRDRKMPTDYIRNGVLYVTENYLCFESSKSGSSKRNKVIKLVDITDIQKYKVLSVLPGSGMGIAVSTPSTQKPLVFGAMVHRDEAFETILSQYIKITSAAASGGDS
+>DECOY_sp|Q6IC98|GRAM4_HUMAN GRAM domain-containing protein 4 OS=Homo sapiens OX=9606 GN=GRAMD4 PE=1 SV=1
+SDGGSAAASTIKIYQSLITEFAEDRHVMAGFVLPKQTSPTSVAIGMGSGPLVSLVKYKQIDTIDVLKIVKNRKSSGSKSSEFCLYNETVYLVGNRIYDTPMKRDRNILCCRWGNECVALPRENETLNFIEHFNGKKTSHFRGADEEKGLGAPASPVYSRSSTTQLRRSVAASSREKLQPDTPLSRWIIYPTDYKARLRPCRKFIFDILFFKIGAYLGVALGVLRYPFFCSALFAAWLAVYLKQTIEPQVWMFLNKIKELIDAMKGFLNQAKQAVDLVLQFKESVTLDEKPPEVPESVEPVISWQIRWGRAILYNLSLRLIALFLFLPIAWGHWVANMYVTFAIASTYVSYWDSLASLNKVFNTVPKAGRKLRRMNETLRRASLPEETEVTNEEPQFRFDEVYEGFREYFWKQLRSSLGQSRREAGNSAQAQGKPPQQAMQEETRAKLVEQVKQELEMRRQRERDLEQRLMEANTEERLKRLEEQLFHKELLAIELHGKIENLRDFETRNFDQVGTAMILTGPGAPDRLEESDRPSTRPVKLPIEDSCETDSANPSEALDLFDDRKHGRFRIKDLRRLM
+>sp|Q13322|GRB10_HUMAN Growth factor receptor-bound protein 10 OS=Homo sapiens OX=9606 GN=GRB10 PE=1 SV=2
+MALAGCPDSFLHHPYYQDKVEQTPRSQQDPAGPGLPAQSDRLANHQEDDVDLEALVNDMNASLESLYSACSMQSDTVPLLQNGQHARSQPRASGPPRSIQPQVSPRQRVQRSQPVHILAVRRLQEEDQQFRTSSLPAIPNPFPELCGPGSPPVLTPGSLPPSQAAAKQDVKVFSEDGTSKVVEILADMTARDLCQLLVYKSHCVDDNSWTLVEHHPHLGLERCLEDHELVVQVESTMASESKFLFRKNYAKYEFFKNPMNFFPEQMVTWCQQSNGSQTQLLQNFLNSSSCPEIQGFLHVKELGKKSWKKLYVCLRRSGLYCSTKGTSKEPRHLQLLADLEDSNIFSLIAGRKQYNAPTDHGLCIKPNKVRNETKELRLLCAEDEQTRTCWMTAFRLLKYGMLLYQNYRIPQQRKALLSPFSTPVRSVSENSLVAMDFSGQTGRVIENPAEAQSAALEEGHAWRKRSTRMNILGSQSPLHPSTLSTVIHRTQHWFHGRISREESHRIIKQQGLVDGLFLLRDSQSNPKAFVLTLCHHQKIKNFQILPCEDDGQTFFSLDDGNTKFSDLIQLVDFYQLNKGVLPCKLKHHCIRVAL
+>DECOY_sp|Q13322|GRB10_HUMAN Growth factor receptor-bound protein 10 OS=Homo sapiens OX=9606 GN=GRB10 PE=1 SV=2
+LAVRICHHKLKCPLVGKNLQYFDVLQILDSFKTNGDDLSFFTQGDDECPLIQFNKIKQHHCLTLVFAKPNSQSDRLLFLGDVLGQQKIIRHSEERSIRGHFWHQTRHIVTSLTSPHLPSQSGLINMRTSRKRWAHGEELAASQAEAPNEIVRGTQGSFDMAVLSNESVSRVPTSFPSLLAKRQQPIRYNQYLLMGYKLLRFATMWCTRTQEDEACLLRLEKTENRVKNPKICLGHDTPANYQKRGAILSFINSDELDALLQLHRPEKSTGKTSCYLGSRRLCVYLKKWSKKGLEKVHLFGQIEPCSSSNLFNQLLQTQSGNSQQCWTVMQEPFFNMPNKFFEYKAYNKRFLFKSESAMTSEVQVVLEHDELCRELGLHPHHEVLTWSNDDVCHSKYVLLQCLDRATMDALIEVVKSTGDESFVKVDQKAAAQSPPLSGPTLVPPSGPGCLEPFPNPIAPLSSTRFQQDEEQLRRVALIHVPQSRQVRQRPSVQPQISRPPGSARPQSRAHQGNQLLPVTDSQMSCASYLSELSANMDNVLAELDVDDEQHNALRDSQAPLGPGAPDQQSRPTQEVKDQYYPHHLFSDPCGALAM
+>sp|A4D2P6|GRD2I_HUMAN Delphilin OS=Homo sapiens OX=9606 GN=GRID2IP PE=3 SV=2
+MATTATPATNQGWPEDFGFRLGGSGPCFVLEVAKGSSAHAGGLRPGDQILEVEGLAVGGLSRERLVRLARRCPRVPPSLGVLPAPDGGPGPGSGPAAPTTVLRAPRCGRGLALGRELLRLAGRKRPDAVHRERRRKAQEFSRKVDEILGDQPTAKEQVFAALKQFAAEQRVDDLVWTLTLALPREACGPLLDNLRIFIPKKHRARFDEVVSQGLLGKLCRARRAQGAQRLRRSRSEERPERLLVSTRASAPPRRPDEPPPRRASLLVGGLAGPGGARRTVRVYKGNKSFGFTLRGHGPVWIESVLPGSPADNAALKSGDRILFLNGLDMRNCSHDKVVSMLQGSGAMPTLVVEEGLVPFASDSDSLDSPNPSSALTSLQWVAEILPSSIRVQGRTFSQQLEHLLTPPERYGVCRALESFFQHRNIDTLIVDVYPVLDTPAKQVLWQFIYQLLTYEEQELCQEKIACFLGYTAMTAEPEPELDLESEPTPEPQPRSSLRASSMCRRSLRSQGLEAGLSCGPSECPEMPLPLIPGERQAGDGTSLPETPNPKMMSAVYAELESRLNSSFKGKMGTVSKSRASPPGPSPAVTTGPRTLSGVSWPSERLLPSPCYHPLCSGGLASPSSSESHPYASLDSSRAPSPQPGPGPICPDSPPSPDPTRPPSRRKLFTFSHPVRSRDTDRFLDVLSEQLGPRVTIVDDFLTPENDYEEMSFHDDQGSFVTNERSSASDCISSSEEGSSLTYSSISDHIPPPPLSPPPPPPLPFHDAKPSSRSSDGSRGPAQALAKPLTQLSHPVPPPPPPPLPPPVPCAPPMLSRGLGHRRSETSHMSVKRLRWEQVENSEGTIWGQLGEDSDYDKLSDMVKYLDLELHFGTQKPAKPVPGPEPFRKKEVVEILSHKKAYNTSILLAHLKLSPAELRQVLMSMEPRRLEPAHLAQLLLFAPDADEEQRYQAFREAPGRLSEPDQFVLQMLSVPEYKTRLRSLHFQATLQEKTEEIRGSLECLRQASLELKNSRKLAKILEFVLAMGNYLNDGQPKTNKTTGFKINFLTELNSTKTVDGKSTFLHILAKSLSQHFPELLGFAQDLPTVPLAAKVNQRALTSDLADLHGTISEIQDACQSISPSSEDKFAMVMSSFLETAQPALRALDGLQREAMEELGKALAFFGEDSKATTSEAFFGIFAEFMSKFERALSDLQAGEGLRSSGMVSPLAW
+>DECOY_sp|A4D2P6|GRD2I_HUMAN Delphilin OS=Homo sapiens OX=9606 GN=GRID2IP PE=3 SV=2
+WALPSVMGSSRLGEGAQLDSLAREFKSMFEAFIGFFAESTTAKSDEGFFALAKGLEEMAERQLGDLARLAPQATELFSSMVMAFKDESSPSISQCADQIESITGHLDALDSTLARQNVKAALPVTPLDQAFGLLEPFHQSLSKALIHLFTSKGDVTKTSNLETLFNIKFGTTKNTKPQGDNLYNGMALVFELIKALKRSNKLELSAQRLCELSGRIEETKEQLTAQFHLSRLRTKYEPVSLMQLVFQDPESLRGPAERFAQYRQEEDADPAFLLLQALHAPELRRPEMSMLVQRLEAPSLKLHALLISTNYAKKHSLIEVVEKKRFPEPGPVPKAPKQTGFHLELDLYKVMDSLKDYDSDEGLQGWITGESNEVQEWRLRKVSMHSTESRRHGLGRSLMPPACPVPPPLPPPPPPPVPHSLQTLPKALAQAPGRSGDSSRSSPKADHFPLPPPPPPSLPPPPIHDSISSYTLSSGEESSSICDSASSRENTVFSGQDDHFSMEEYDNEPTLFDDVITVRPGLQESLVDLFRDTDRSRVPHSFTFLKRRSPPRTPDPSPPSDPCIPGPGPQPSPARSSDLSAYPHSESSSPSALGGSCLPHYCPSPLLRESPWSVGSLTRPGTTVAPSPGPPSARSKSVTGMKGKFSSNLRSELEAYVASMMKPNPTEPLSTGDGAQREGPILPLPMEPCESPGCSLGAELGQSRLSRRCMSSARLSSRPQPEPTPESELDLEPEPEATMATYGLFCAIKEQCLEQEEYTLLQYIFQWLVQKAPTDLVPYVDVILTDINRHQFFSELARCVGYREPPTLLHELQQSFTRGQVRISSPLIEAVWQLSTLASSPNPSDLSDSDSAFPVLGEEVVLTPMAGSGQLMSVVKDHSCNRMDLGNLFLIRDGSKLAANDAPSGPLVSEIWVPGHGRLTFGFSKNGKYVRVTRRAGGPGALGGVLLSARRPPPEDPRRPPASARTSVLLREPREESRSRRLRQAGQARRARCLKGLLGQSVVEDFRARHKKPIFIRLNDLLPGCAERPLALTLTWVLDDVRQEAAFQKLAAFVQEKATPQDGLIEDVKRSFEQAKRRRERHVADPRKRGALRLLERGLALGRGCRPARLVTTPAAPGSGPGPGGDPAPLVGLSPPVRPCRRALRVLRERSLGGVALGEVELIQDGPRLGGAHASSGKAVELVFCPGSGGLRFGFDEPWGQNTAPTATTAM
+>sp|Q9H772|GREM2_HUMAN Gremlin-2 OS=Homo sapiens OX=9606 GN=GREM2 PE=1 SV=1
+MFWKLSLSLFLVAVLVKVAEARKNRPAGAIPSPYKDGSSNNSERWQHQIKEVLASSQEALVVTERKYLKSDWCKTQPLRQTVSEEGCRSRTILNRFCYGQCNSFYIPRHVKKEEESFQSCAFCKPQRVTSVLVELECPGLDPPFRLKKIQKVKQCRCMSVNLSDSDKQ
+>DECOY_sp|Q9H772|GREM2_HUMAN Gremlin-2 OS=Homo sapiens OX=9606 GN=GREM2 PE=1 SV=1
+QKDSDSLNVSMCRCQKVKQIKKLRFPPDLGPCELEVLVSTVRQPKCFACSQFSEEEKKVHRPIYFSNCQGYCFRNLITRSRCGEESVTQRLPQTKCWDSKLYKRETVVLAEQSSALVEKIQHQWRESNNSSGDKYPSPIAGAPRNKRAEAVKVLVAVLFLSLSLKWFM
+>sp|Q6ZVF9|GRIN3_HUMAN G protein-regulated inducer of neurite outgrowth 3 OS=Homo sapiens OX=9606 GN=GPRIN3 PE=2 SV=2
+MGTVPDPLRSAKTSLIAASGKEDDLGEPQAASPRHRPALLCKNANGFSGAPAEPDLSPRAAAEALMQVCEHETTQPDMSSPGVFNEVQKAPATFNSPGNPQLPGSSQPAASAPSSAAGRDLIHTPLTMPANQHTCQSIPGDQPNAITSSMPEDSLMRSQRTSNREQPEKPSCPVGGVLSSSKDQVSCEFPSPETIQGTVQTPVTAARVVSHSSSPVGGPEGERQGAICDSEMRSCKPLTRESGCSENKQPSVTASGPQGTTSVTPQPTPLTSEPSACPPGPEKVPLPAQRQMSRFKEASTMTNQAESEIKEVPSRAWQDAEVQAVASVESRSVSTSPSILTAFLKESRAPEHFEQEQLRVICHSSGSHTLELSDSTLAPQESSQCPGIMPQVHIQAAAAESTAFQRENKLASLPGGVLKTSSINLVSSNAQHTCKEDGRLAGMTPVREESTAKKLAGTNSSSLKATAIDQISISACSQAETSYGLGKFETRPSEFAEKTTNGHKTDPDCKLSDSCGSISKADHSGSLDPTNKGDAREKKPASPQVVKEKESTGTDTSDAKTLLLNPKSQESGGTESAANPTPSPIRKNQESTLEENRQTKTATSLSLPSDPMGDSSPGSGKKTPSRSVKASPRRPSRVSEFLKEQKLNVTAAAAQVGLTPGDKKKQLGADSKLQLKQSKRVRDVVWDEQGMTWEVYGASLDAESLGIAIQNHLQRQIREHEKLIKTQNSQTRRSISSDTSSNKKLRGRQHSVFQSMLQNFRRPNCCVRPAPSSVLD
+>DECOY_sp|Q6ZVF9|GRIN3_HUMAN G protein-regulated inducer of neurite outgrowth 3 OS=Homo sapiens OX=9606 GN=GPRIN3 PE=2 SV=2
+DLVSSPAPRVCCNPRRFNQLMSQFVSHQRGRLKKNSSTDSSISRRTQSNQTKILKEHERIQRQLHNQIAIGLSEADLSAGYVEWTMGQEDWVVDRVRKSQKLQLKSDAGLQKKKDGPTLGVQAAAATVNLKQEKLFESVRSPRRPSAKVSRSPTKKGSGPSSDGMPDSPLSLSTATKTQRNEELTSEQNKRIPSPTPNAASETGGSEQSKPNLLLTKADSTDTGTSEKEKVVQPSAPKKERADGKNTPDLSGSHDAKSISGCSDSLKCDPDTKHGNTTKEAFESPRTEFKGLGYSTEAQSCASISIQDIATAKLSSSNTGALKKATSEERVPTMGALRGDEKCTHQANSSVLNISSTKLVGGPLSALKNERQFATSEAAAAQIHVQPMIGPCQSSEQPALTSDSLELTHSGSSHCIVRLQEQEFHEPARSEKLFATLISPSTSVSRSEVSAVAQVEADQWARSPVEKIESEAQNTMTSAEKFRSMQRQAPLPVKEPGPPCASPESTLPTPQPTVSTTGQPGSATVSPQKNESCGSERTLPKCSRMESDCIAGQREGEPGGVPSSSHSVVRAATVPTQVTGQITEPSPFECSVQDKSSSLVGGVPCSPKEPQERNSTRQSRMLSDEPMSSTIANPQDGPISQCTHQNAPMTLPTHILDRGAASSPASAAPQSSGPLQPNGPSNFTAPAKQVENFVGPSSMDPQTTEHECVQMLAEAAARPSLDPEAPAGSFGNANKCLLAPRHRPSAAQPEGLDDEKGSAAILSTKASRLPDPVTGM
+>sp|Q9Y3R0|GRIP1_HUMAN Glutamate receptor-interacting protein 1 OS=Homo sapiens OX=9606 GN=GRIP1 PE=1 SV=3
+MIAVSFKCRCQILRRLTKDESPYTKSASQTKPPDGALAVRRQSIPEEFKGSTVVELMKKEGTTLGLTVSGGIDKDGKPRVSNLRQGGIAARSDQLDVGDYIKAVNGINLAKFRHDEIISLLKNVGERVVLEVEYELPPVSVQGSSVIFRTVEVTLHKEGNTFGFVIRGGAHDDRNKSRPVVITCVRPGGPADREGTIKPGDRLLSVDGIRLLGTTHAEAMSILKQCGQEAALLIEYDVSVMDSVATASGPLLVEVAKTPGASLGVALTTSMCCNKQVIVIDKIKSASIADRCGALHVGDHILSIDGTSMEYCTLAEATQFLANTTDQVKLEILPHHQTRLALKGPDHVKIQRSDRQLTWDSWASNHSSLHTNHHYNTYHPDHCRVPALTFPKAPPPNSPPALVSSSFSPTSMSAYSLSSLNMGTLPRSLYSTSPRGTMMRRRLKKKDFKSSLSLASSTVGLAGQVVHTETTEVVLTADPVTGFGIQLQGSVFATETLSSPPLISYIEADSPAERCGVLQIGDRVMAINGIPTEDSTFEEASQLLRDSSITSKVTLEIEFDVAESVIPSSGTFHVKLPKKHNVELGITISSPSSRKPGDPLVISDIKKGSVAHRTGTLELGDKLLAIDNIRLDNCSMEDAVQILQQCEDLVKLKIRKDEDNSDEQESSGAIIYTVELKRYGGPLGITISGTEEPFDPIIISSLTKGGLAERTGAIHIGDRILAINSSSLKGKPLSEAIHLLQMAGETVTLKIKKQTDAQSASSPKKFPISSHLSDLGDVEEDSSPAQKPGKLSDMYPSTVPSVDSAVDSWDGSAIDTSYGTQGTSFQASGYNFNTYDWRSPKQRGSLSPVTKPRSQTYPDVGLSYEDWDRSTASGFAGAADSAETEQEENFWSQALEDLETCGQSGILRELEEKADRRVSLRNMTLLATIMSGSTMSLNHEAPTPRSQLGRQASFQERSSSRPHYSQTTRSNTLPSDVGRKSVTLRKMKQEIKEIMSPTPVELHKVTLYKDSDMEDFGFSVADGLLEKGVYVKNIRPAGPGDLGGLKPYDRLLQVNHVRTRDFDCCLVVPLIAESGNKLDLVISRNPLASQKSIDQQSLPGDWSEQNSAFFQQPSHGGNLETREPTNTL
+>DECOY_sp|Q9Y3R0|GRIP1_HUMAN Glutamate receptor-interacting protein 1 OS=Homo sapiens OX=9606 GN=GRIP1 PE=1 SV=3
+LTNTPERTELNGGHSPQQFFASNQESWDGPLSQQDISKQSALPNRSIVLDLKNGSEAILPVVLCCDFDRTRVHNVQLLRDYPKLGGLDGPGAPRINKVYVGKELLGDAVSFGFDEMDSDKYLTVKHLEVPTPSMIEKIEQKMKRLTVSKRGVDSPLTNSRTTQSYHPRSSSREQFSAQRGLQSRPTPAEHNLSMTSGSMITALLTMNRLSVRRDAKEELERLIGSQGCTELDELAQSWFNEEQETEASDAAGAFGSATSRDWDEYSLGVDPYTQSRPKTVPSLSGRQKPSRWDYTNFNYGSAQFSTGQTGYSTDIASGDWSDVASDVSPVTSPYMDSLKGPKQAPSSDEEVDGLDSLHSSIPFKKPSSASQADTQKKIKLTVTEGAMQLLHIAESLPKGKLSSSNIALIRDGIHIAGTREALGGKTLSSIIIPDFPEETGSITIGLPGGYRKLEVTYIIAGSSEQEDSNDEDKRIKLKVLDECQQLIQVADEMSCNDLRINDIALLKDGLELTGTRHAVSGKKIDSIVLPDGPKRSSPSSITIGLEVNHKKPLKVHFTGSSPIVSEAVDFEIELTVKSTISSDRLLQSAEEFTSDETPIGNIAMVRDGIQLVGCREAPSDAEIYSILPPSSLTETAFVSGQLQIGFGTVPDATLVVETTETHVVQGALGVTSSALSLSSKFDKKKLRRRMMTGRPSTSYLSRPLTGMNLSSLSYASMSTPSFSSSVLAPPSNPPPAKPFTLAPVRCHDPHYTNYHHNTHLSSHNSAWSDWTLQRDSRQIKVHDPGKLALRTQHHPLIELKVQDTTNALFQTAEALTCYEMSTGDISLIHDGVHLAGCRDAISASKIKDIVIVQKNCCMSTTLAVGLSAGPTKAVEVLLPGSATAVSDMVSVDYEILLAAEQGCQKLISMAEAHTTGLLRIGDVSLLRDGPKITGERDAPGGPRVCTIVVPRSKNRDDHAGGRIVFGFTNGEKHLTVEVTRFIVSSGQVSVPPLEYEVELVVREGVNKLLSIIEDHRFKALNIGNVAKIYDGVDLQDSRAAIGGQRLNSVRPKGDKDIGGSVTLGLTTGEKKMLEVVTSGKFEEPISQRRVALAGDPPKTQSASKTYPSEDKTLRRLIQCRCKFSVAIM
+>sp|Q9C0E4|GRIP2_HUMAN Glutamate receptor-interacting protein 2 OS=Homo sapiens OX=9606 GN=GRIP2 PE=1 SV=4
+MLCGLSRETPGEADDGPYSKGGKDAGGADVSLACRRQSIPEEFRGITVVELIKKEGSTLGLTISGGTDKDGKPRVSNLRPGGLAARSDLLNIGDYIRSVNGIHLTRLRHDEIITLLKNVGERVVLEVEYELPPPAPENNPRIISKTVDVSLYKEGNSFGFVLRGGAHEDGHKSRPLVLTYVRPGGPADREGSLKVGDRLLSVDGIPLHGASHATALATLRQCSHEALFQVEYDVATPDTVANASGPLMVEIVKTPGSALGISLTTTSLRNKSVITIDRIKPASVVDRSGALHPGDHILSIDGTSMEHCSLLEATKLLASISEKVRLEILPVPQSQRPLRPSEAVKVQRSEQLHRWDPCVPSCHSPRPGHCRMPTWATPAGQDQSRSLSSTPFSSPTLNHAFSCNNPSTLPRGSQPMSPRTTMGRRRQRRREHKSSLSLASSTVGPGGQIVHTETTEVVLCGDPLSGFGLQLQGGIFATETLSSPPLVCFIEPDSPAERCGLLQVGDRVLSINGIATEDGTMEEANQLLRDAALAHKVVLEVEFDVAESVIPSSGTFHVKLPKKRSVELGITISSASRKRGEPLIISDIKKGSVAHRTGTLEPGDKLLAIDNIRLDNCPMEDAVQILRQCEDLVKLKIRKDEDNSDELETTGAVSYTVELKRYGGPLGITISGTEEPFDPIVISGLTKRGLAERTGAIHVGDRILAINNVSLKGRPLSEAIHLLQVAGETVTLKIKKQLDRPLLPRKSGSLSETSDADEDPADALKGGLPAARFSPAVPSVDSAVESWDSSATEGGFGGPGSYTPQAAARGTTPQERRPGWLRGSPPPTEPRRTSYTPTPADESFPEEEEEDDWEPPTSPAPGPAREEGFWRMFGEALEDLESCGQSELLRELEASIMTGTVQRVALEGRPGHRPWQRGREVRASPAEMEELLLPTPLEMHKVTLHKDPMRHDFGFSVSDGLLEKGVYVHTVRPDGPAHRGGLQPFDRVLQVNHVRTRDFDCCLAVPLLAEAGDVLELIISRKPHTAHSSRAPRSPGPSSPRML
+>DECOY_sp|Q9C0E4|GRIP2_HUMAN Glutamate receptor-interacting protein 2 OS=Homo sapiens OX=9606 GN=GRIP2 PE=1 SV=4
+LMRPSSPGPSRPARSSHATHPKRSIILELVDGAEALLPVALCCDFDRTRVHNVQLVRDFPQLGGRHAPGDPRVTHVYVGKELLGDSVSFGFDHRMPDKHLTVKHMELPTPLLLEEMEAPSARVERGRQWPRHGPRGELAVRQVTGTMISAELERLLESQGCSELDELAEGFMRWFGEERAPGPAPSTPPEWDDEEEEEPFSEDAPTPTYSTRRPETPPPSGRLWGPRREQPTTGRAAAQPTYSGPGGFGGETASSDWSEVASDVSPVAPSFRAAPLGGKLADAPDEDADSTESLSGSKRPLLPRDLQKKIKLTVTEGAVQLLHIAESLPRGKLSVNNIALIRDGVHIAGTREALGRKTLGSIVIPDFPEETGSITIGLPGGYRKLEVTYSVAGTTELEDSNDEDKRIKLKVLDECQRLIQVADEMPCNDLRINDIALLKDGPELTGTRHAVSGKKIDSIILPEGRKRSASSITIGLEVSRKKPLKVHFTGSSPIVSEAVDFEVELVVKHALAADRLLQNAEEMTGDETAIGNISLVRDGVQLLGCREAPSDPEIFCVLPPSSLTETAFIGGQLQLGFGSLPDGCLVVETTETHVIQGGPGVTSSALSLSSKHERRRQRRRGMTTRPSMPQSGRPLTSPNNCSFAHNLTPSSFPTSSLSRSQDQGAPTAWTPMRCHGPRPSHCSPVCPDWRHLQESRQVKVAESPRLPRQSQPVPLIELRVKESISALLKTAELLSCHEMSTGDISLIHDGPHLAGSRDVVSAPKIRDITIVSKNRLSTTTLSIGLASGPTKVIEVMLPGSANAVTDPTAVDYEVQFLAEHSCQRLTALATAHSAGHLPIGDVSLLRDGVKLSGERDAPGGPRVYTLVLPRSKHGDEHAGGRLVFGFSNGEKYLSVDVTKSIIRPNNEPAPPPLEYEVELVVREGVNKLLTIIEDHRLRTLHIGNVSRIYDGINLLDSRAALGGPRLNSVRPKGDKDTGGSITLGLTSGEKKILEVVTIGRFEEPISQRRCALSVDAGGADKGGKSYPGDDAEGPTERSLGCLM
+>sp|P34947|GRK5_HUMAN G protein-coupled receptor kinase 5 OS=Homo sapiens OX=9606 GN=GRK5 PE=1 SV=1
+MELENIVANTVLLKAREGGGGKRKGKSKKWKEILKFPHISQCEDLRRTIDRDYCSLCDKQPIGRLLFRQFCETRPGLECYIQFLDSVAEYEVTPDEKLGEKGKEIMTKYLTPKSPVFIAQVGQDLVSQTEEKLLQKPCKELFSACAQSVHEYLRGEPFHEYLDSMFFDRFLQWKWLERQPVTKNTFRQYRVLGKGGFGEVCACQVRATGKMYACKRLEKKRIKKRKGESMALNEKQILEKVNSQFVVNLAYAYETKDALCLVLTIMNGGDLKFHIYNMGNPGFEEERALFYAAEILCGLEDLHRENTVYRDLKPENILLDDYGHIRISDLGLAVKIPEGDLIRGRVGTVGYMAPEVLNNQRYGLSPDYWGLGCLIYEMIEGQSPFRGRKEKVKREEVDRRVLETEEVYSHKFSEEAKSICKMLLTKDAKQRLGCQEEGAAEVKRHPFFRNMNFKRLEAGMLDPPFVPDPRAVYCKDVLDIEQFSTVKGVNLDHTDDDFYSKFSTGSVSIPWQNEMIETECFKELNVFGPNGTLPPDLNRNHPPEPPKKGLLQRLFKRQHQNNSKSSPSSKTSFNHHINSNHVSSNSTGSS
+>DECOY_sp|P34947|GRK5_HUMAN G protein-coupled receptor kinase 5 OS=Homo sapiens OX=9606 GN=GRK5 PE=1 SV=1
+SSGTSNSSVHNSNIHHNFSTKSSPSSKSNNQHQRKFLRQLLGKKPPEPPHNRNLDPPLTGNPGFVNLEKFCETEIMENQWPISVSGTSFKSYFDDDTHDLNVGKVTSFQEIDLVDKCYVARPDPVFPPDLMGAELRKFNMNRFFPHRKVEAAGEEQCGLRQKADKTLLMKCISKAEESFKHSYVEETELVRRDVEERKVKEKRGRFPSQGEIMEYILCGLGWYDPSLGYRQNNLVEPAMYGVTGVRGRILDGEPIKVALGLDSIRIHGYDDLLINEPKLDRYVTNERHLDELGCLIEAAYFLAREEEFGPNGMNYIHFKLDGGNMITLVLCLADKTEYAYALNVVFQSNVKELIQKENLAMSEGKRKKIRKKELRKCAYMKGTARVQCACVEGFGGKGLVRYQRFTNKTVPQRELWKWQLFRDFFMSDLYEHFPEGRLYEHVSQACASFLEKCPKQLLKEETQSVLDQGVQAIFVPSKPTLYKTMIEKGKEGLKEDPTVEYEAVSDLFQIYCELGPRTECFQRFLLRGIPQKDCLSCYDRDITRRLDECQSIHPFKLIEKWKKSKGKRKGGGGERAKLLVTNAVINELEM
+>sp|Q5JQS6|GSAML_HUMAN Germinal center-associated signaling and motility-like protein OS=Homo sapiens OX=9606 GN=GCSAML PE=2 SV=1
+MGNYLLRKLSCLGENQKKPKKGNPDEERKRQEMTTFERKLQDQDKKSQEVSSTSNQENENGSGSEEVCYTVINHIPHQRSSLSSNDDGYENIDSLTRKVRQFRERSETEYALLRTSVSRPCSCTHEHDYEVVFPH
+>DECOY_sp|Q5JQS6|GSAML_HUMAN Germinal center-associated signaling and motility-like protein OS=Homo sapiens OX=9606 GN=GCSAML PE=2 SV=1
+HPFVVEYDHEHTCSCPRSVSTRLLAYETESRERFQRVKRTLSDINEYGDDNSSLSSRQHPIHNIVTYCVEESGSGNENEQNSTSSVEQSKKDQDQLKREFTTMEQRKREEDPNGKKPKKQNEGLCSLKRLLYNGM
+>sp|P57764|GSDMD_HUMAN Gasdermin-D OS=Homo sapiens OX=9606 GN=GSDMD PE=1 SV=1
+MGSAFERVVRRVVQELDHGGEFIPVTSLQSSTGFQPYCLVVRKPSSSWFWKPRYKCVNLSIKDILEPDAAEPDVQRGRSFHFYDAMDGQIQGSVELAAPGQAKIAGGAAVSDSSSTSMNVYSLSVDPNTWQTLLHERHLRQPEHKVLQQLRSRGDNVYVVTEVLQTQKEVEVTRTHKREGSGRFSLPGATCLQGEGQGHLSQKKTVTIPSGSTLAFRVAQLVIDSDLDVLLFPDKKQRTFQPPATGHKRSTSEGAWPQLPSGLSMMRCLHNFLTDGVPAEGAFTEDFQGLRAEVETISKELELLDRELCQLLLEGLEGVLRDQLALRALEEALEQGQSLGPVEPLDGPAGAVLECLVLSSGMLVPELAIPVVYLLGALTMLSETQHKLLAEALESQTLLGPLELVGSLLEQSAPWQERSTMSLPPGLLGNSWGEGAPAWVLLDECGLELGEDTPHVCWEPQAQGRMCALYASLALLSGLSQEPH
+>DECOY_sp|P57764|GSDMD_HUMAN Gasdermin-D OS=Homo sapiens OX=9606 GN=GSDMD PE=1 SV=1
+HPEQSLGSLLALSAYLACMRGQAQPEWCVHPTDEGLELGCEDLLVWAPAGEGWSNGLLGPPLSMTSREQWPASQELLSGVLELPGLLTQSELAEALLKHQTESLMTLAGLLYVVPIALEPVLMGSSLVLCELVAGAPGDLPEVPGLSQGQELAEELARLALQDRLVGELGELLLQCLERDLLELEKSITEVEARLGQFDETFAGEAPVGDTLFNHLCRMMSLGSPLQPWAGESTSRKHGTAPPQFTRQKKDPFLLVDLDSDIVLQAVRFALTSGSPITVTKKQSLHGQGEGQLCTAGPLSFRGSGERKHTRTVEVEKQTQLVETVVYVNDGRSRLQQLVKHEPQRLHREHLLTQWTNPDVSLSYVNMSTSSSDSVAAGGAIKAQGPAALEVSGQIQGDMADYFHFSRGRQVDPEAADPELIDKISLNVCKYRPKWFWSSSPKRVVLCYPQFGTSSQLSTVPIFEGGHDLEQVVRRVVREFASGM
+>sp|O15217|GSTA4_HUMAN Glutathione S-transferase A4 OS=Homo sapiens OX=9606 GN=GSTA4 PE=1 SV=1
+MAARPKLHYPNGRGRMESVRWVLAAAGVEFDEEFLETKEQLYKLQDGNHLLFQQVPMVEIDGMKLVQTRSILHYIADKHNLFGKNLKERTLIDMYVEGTLDLLELLIMHPFLKPDDQQKEVVNMAQKAIIRYFPVFEKILRGHGQSFLVGNQLSLADVILLQTILALEEKIPNILSAFPFLQEYTVKLSNIPTIKRFLEPGSKKKPPPDEIYVRTVYNIFRP
+>DECOY_sp|O15217|GSTA4_HUMAN Glutathione S-transferase A4 OS=Homo sapiens OX=9606 GN=GSTA4 PE=1 SV=1
+PRFINYVTRVYIEDPPPKKKSGPELFRKITPINSLKVTYEQLFPFASLINPIKEELALITQLLIVDALSLQNGVLFSQGHGRLIKEFVPFYRIIAKQAMNVVEKQQDDPKLFPHMILLELLDLTGEVYMDILTREKLNKGFLNHKDAIYHLISRTQVLKMGDIEVMPVQQFLLHNGDQLKYLQEKTELFEEDFEVGAAALVWRVSEMRGRGNPYHLKPRAAM
+>sp|Q7RTV2|GSTA5_HUMAN Glutathione S-transferase A5 OS=Homo sapiens OX=9606 GN=GSTA5 PE=1 SV=1
+MAEKPKLHYSNARGSMESIRWLLAAAGVELEEKFLESAEDLDKLRNDGSLLFQQVPMVEIDGMKLVQTRAILNYIASKYNLYGKDMKERALIDMYTEGIVDLTEMILLLLICQPEERDAKTALVKEKIKNRYFPAFEKVLKSHRQDYLVGNKLSWADIHLVELFYYVEELDSSLISSFPLLKALKTRISNLPTVKKFLQPGSQRKPPMDEKSLEEARKIFRF
+>DECOY_sp|Q7RTV2|GSTA5_HUMAN Glutathione S-transferase A5 OS=Homo sapiens OX=9606 GN=GSTA5 PE=1 SV=1
+FRFIKRAEELSKEDMPPKRQSGPQLFKKVTPLNSIRTKLAKLLPFSSILSSDLEEVYYFLEVLHIDAWSLKNGVLYDQRHSKLVKEFAPFYRNKIKEKVLATKADREEPQCILLLLIMETLDVIGETYMDILAREKMDKGYLNYKSAIYNLIARTQVLKMGDIEVMPVQQFLLSGDNRLKDLDEASELFKEELEVGAAALLWRISEMSGRANSYHLKPKEAM
+>sp|P28161|GSTM2_HUMAN Glutathione S-transferase Mu 2 OS=Homo sapiens OX=9606 GN=GSTM2 PE=1 SV=2
+MPMTLGYWNIRGLAHSIRLLLEYTDSSYEEKKYTMGDAPDYDRSQWLNEKFKLGLDFPNLPYLIDGTHKITQSNAILRYIARKHNLCGESEKEQIREDILENQFMDSRMQLAKLCYDPDFEKLKPEYLQALPEMLKLYSQFLGKQPWFLGDKITFVDFIAYDVLERNQVFEPSCLDAFPNLKDFISRFEGLEKISAYMKSSRFLPRPVFTKMAVWGNK
+>DECOY_sp|P28161|GSTM2_HUMAN Glutathione S-transferase Mu 2 OS=Homo sapiens OX=9606 GN=GSTM2 PE=1 SV=2
+KNGWVAMKTFVPRPLFRSSKMYASIKELGEFRSIFDKLNPFADLCSPEFVQNRELVDYAIFDVFTIKDGLFWPQKGLFQSYLKLMEPLAQLYEPKLKEFDPDYCLKALQMRSDMFQNELIDERIQEKESEGCLNHKRAIYRLIANSQTIKHTGDILYPLNPFDLGLKFKENLWQSRDYDPADGMTYKKEEYSSDTYELLLRISHALGRINWYGLTMPM
+>sp|P21266|GSTM3_HUMAN Glutathione S-transferase Mu 3 OS=Homo sapiens OX=9606 GN=GSTM3 PE=1 SV=3
+MSCESSMVLGYWDIRGLAHAIRLLLEFTDTSYEEKRYTCGEAPDYDRSQWLDVKFKLDLDFPNLPYLLDGKNKITQSNAILRYIARKHNMCGETEEEKIRVDIIENQVMDFRTQLIRLCYSSDHEKLKPQYLEELPGQLKQFSMFLGKFSWFAGEKLTFVDFLTYDILDQNRIFDPKCLDEFPNLKAFMCRFEALEKIAAYLQSDQFCKMPINNKMAQWGNKPVC
+>DECOY_sp|P21266|GSTM3_HUMAN Glutathione S-transferase Mu 3 OS=Homo sapiens OX=9606 GN=GSTM3 PE=1 SV=3
+CVPKNGWQAMKNNIPMKCFQDSQLYAAIKELAEFRCMFAKLNPFEDLCKPDFIRNQDLIDYTLFDVFTLKEGAFWSFKGLFMSFQKLQGPLEELYQPKLKEHDSSYCLRILQTRFDMVQNEIIDVRIKEEETEGCMNHKRAIYRLIANSQTIKNKGDLLYPLNPFDLDLKFKVDLWQSRDYDPAEGCTYRKEEYSTDTFELLLRIAHALGRIDWYGLVMSSECSM
+>sp|Q03013|GSTM4_HUMAN Glutathione S-transferase Mu 4 OS=Homo sapiens OX=9606 GN=GSTM4 PE=1 SV=3
+MSMTLGYWDIRGLAHAIRLLLEYTDSSYEEKKYTMGDAPDYDRSQWLNEKFKLGLDFPNLPYLIDGAHKITQSNAILCYIARKHNLCGETEEEKIRVDILENQAMDVSNQLARVCYSPDFEKLKPEYLEELPTMMQHFSQFLGKRPWFVGDKITFVDFLAYDVLDLHRIFEPNCLDAFPNLKDFISRFEGLEKISAYMKSSRFLPKPLYTRVAVWGNK
+>DECOY_sp|Q03013|GSTM4_HUMAN Glutathione S-transferase Mu 4 OS=Homo sapiens OX=9606 GN=GSTM4 PE=1 SV=3
+KNGWVAVRTYLPKPLFRSSKMYASIKELGEFRSIFDKLNPFADLCNPEFIRHLDLVDYALFDVFTIKDGVFWPRKGLFQSFHQMMTPLEELYEPKLKEFDPSYCVRALQNSVDMAQNELIDVRIKEEETEGCLNHKRAIYCLIANSQTIKHAGDILYPLNPFDLGLKFKENLWQSRDYDPADGMTYKKEEYSSDTYELLLRIAHALGRIDWYGLTMSM
+>sp|Q5T4B2|GT253_HUMAN Inactive glycosyltransferase 25 family member 3 OS=Homo sapiens OX=9606 GN=CERCAM PE=1 SV=1
+MRAARAAPLLQLLLLLGPWLEAAGVAESPLPAVVLAILARNAEHSLPHYLGALERLDYPRARMALWCATDHNVDNTTEMLQEWLAAVGDDYAAVVWRPEGEPRFYPDEEGPKHWTKERHQFLMELKQEALTFARNWGADYILFADTDNILTNNQTLRLLMGQGLPVVAPMLDSQTYYSNFWCGITPQGYYRRTAEYFPTKNRQRRGCFRVPMVHSTFLASLRAEGADQLAFYPPHPNYTWPFDDIIVFAYACQAAGVSVHVCNEHRYGYMNVPVKSHQGLEDERVNFIHLILEALVDGPRMQASAHVTRPSKRPSKIGFDEVFVISLARRPDRRERMLASLWEMEISGRVVDAVDGWMLNSSAIRNLGVDLLPGYQDPYSGRTLTKGEVGCFLSHYSIWEEVVARGLARVLVFEDDVRFESNFRGRLERLMEDVEAEKLSWDLIYLGRKQVNPEKETAVEGLPGLVVAGYSYWTLAYALRLAGARKLLASQPLRRMLPVDEFLPIMFDQHPNEQYKAHFWPRDLVAFSAQPLLAAPTHYAGDAEWLSDTETSSPWDDDSGRLISWSGSQKTLRSPRLDLTGSSGHSLQPQPRDEL
+>DECOY_sp|Q5T4B2|GT253_HUMAN Inactive glycosyltransferase 25 family member 3 OS=Homo sapiens OX=9606 GN=CERCAM PE=1 SV=1
+LEDRPQPQLSHGSSGTLDLRPSRLTKQSGSWSILRGSDDDWPSSTETDSLWEADGAYHTPAALLPQASFAVLDRPWFHAKYQENPHQDFMIPLFEDVPLMRRLPQSALLKRAGALRLAYALTWYSYGAVVLGPLGEVATEKEPNVQKRGLYILDWSLKEAEVDEMLRELRGRFNSEFRVDDEFVLVRALGRAVVEEWISYHSLFCGVEGKTLTRGSYPDQYGPLLDVGLNRIASSNLMWGDVADVVRGSIEMEWLSALMRERRDPRRALSIVFVEDFGIKSPRKSPRTVHASAQMRPGDVLAELILHIFNVREDELGQHSKVPVNMYGYRHENCVHVSVGAAQCAYAFVIIDDFPWTYNPHPPYFALQDAGEARLSALFTSHVMPVRFCGRRQRNKTPFYEATRRYYGQPTIGCWFNSYYTQSDLMPAVVPLGQGMLLRLTQNNTLINDTDAFLIYDAGWNRAFTLAEQKLEMLFQHREKTWHKPGEEDPYFRPEGEPRWVVAAYDDGVAALWEQLMETTNDVNHDTACWLAMRARPYDLRELAGLYHPLSHEANRALIALVVAPLPSEAVGAAELWPGLLLLLQLLPAARAARM
+>sp|Q9UHL9|GT2D1_HUMAN General transcription factor II-I repeat domain-containing protein 1 OS=Homo sapiens OX=9606 GN=GTF2IRD1 PE=1 SV=1
+MALLGKRCDVPTNGCGPDRWNSAFTRKDEIITSLVSALDSMCSALSKLNAEVACVAVHDESAFVVGTEKGRMFLNARKELQSDFLRFCRGPPWKDPEAEHPKKVQRGEGGGRSLPRSSLEHGSDVYLLRKMVEEVFDVLYSEALGRASVVPLPYERLLREPGLLAVQGLPEGLAFRRPAEYDPKALMAILEHSHRIRFKLKRPLEDGGRDSKALVELNGVSLIPKGSRDCGLHGQAPKVPPQDLPPTATSSSMASFLYSTALPNHAIRELKQEAPSCPLAPSDLGLSRPMPEPKATGAQDFSDCCGQKPTGPGGPLIQNVHASKRILFSIVHDKSEKWDAFIKETEDINTLRECVQILFNSRYAEALGLDHMVPVPYRKIACDPEAVEIVGIPDKIPFKRPCTYGVPKLKRILEERHSIHFIIKRMFDERIFTGNKFTKDTTKLEPASPPEDTSAEVSRATVLDLAGNARSDKGSMSEDCGPGTSGELGGLRPIKIEPEDLDIIQVTVPDPSPTSEEMTDSMPGHLPSEDSGYGMEMLTDKGLSEDARPEERPVEDSHGDVIRPLRKQVELLFNTRYAKAIGISEPVKVPYSKFLMHPEELFVVGLPEGISLRRPNCFGIAKLRKILEASNSIQFVIKRPELLTEGVKEPIMDSQGTASSLGFSPPALPPERDSGDPLVDESLKRQGFQENYDARLSRIDIANTLREQVQDLFNKKYGEALGIKYPVQVPYKRIKSNPGSVIIEGLPPGIPFRKPCTFGSQNLERILAVADKIKFTVTRPFQGLIPKPDEDDANRLGEKVILREQVKELFNEKYGEALGLNRPVLVPYKLIRDSPDAVEVTGLPDDIPFRNPNTYDIHRLEKILKAREHVRMVIINQLQPFAEICNDAKVPAKDSSIPKRKRKRVSEGNSVSSSSSSSSSSSSNPDSVASANQISLVQWPMYMVDYAGLNVQLPGPLNY
+>DECOY_sp|Q9UHL9|GT2D1_HUMAN General transcription factor II-I repeat domain-containing protein 1 OS=Homo sapiens OX=9606 GN=GTF2IRD1 PE=1 SV=1
+YNLPGPLQVNLGAYDVMYMPWQVLSIQNASAVSDPNSSSSSSSSSSSSVSNGESVRKRKRKPISSDKAPVKADNCIEAFPQLQNIIVMRVHERAKLIKELRHIDYTNPNRFPIDDPLGTVEVADPSDRILKYPVLVPRNLGLAEGYKENFLEKVQERLIVKEGLRNADDEDPKPILGQFPRTVTFKIKDAVALIRELNQSGFTCPKRFPIGPPLGEIIVSGPNSKIRKYPVQVPYKIGLAEGYKKNFLDQVQERLTNAIDIRSLRADYNEQFGQRKLSEDVLPDGSDREPPLAPPSFGLSSATGQSDMIPEKVGETLLEPRKIVFQISNSAELIKRLKAIGFCNPRRLSIGEPLGVVFLEEPHMLFKSYPVKVPESIGIAKAYRTNFLLEVQKRLPRIVDGHSDEVPREEPRADESLGKDTLMEMGYGSDESPLHGPMSDTMEESTPSPDPVTVQIIDLDEPEIKIPRLGGLEGSTGPGCDESMSGKDSRANGALDLVTARSVEASTDEPPSAPELKTTDKTFKNGTFIREDFMRKIIFHISHREELIRKLKPVGYTCPRKFPIKDPIGVIEVAEPDCAIKRYPVPVMHDLGLAEAYRSNFLIQVCERLTNIDETEKIFADWKESKDHVISFLIRKSAHVNQILPGGPGTPKQGCCDSFDQAGTAKPEPMPRSLGLDSPALPCSPAEQKLERIAHNPLATSYLFSAMSSSTATPPLDQPPVKPAQGHLGCDRSGKPILSVGNLEVLAKSDRGGDELPRKLKFRIRHSHELIAMLAKPDYEAPRRFALGEPLGQVALLGPERLLREYPLPVVSARGLAESYLVDFVEEVMKRLLYVDSGHELSSRPLSRGGGEGRQVKKPHEAEPDKWPPGRCFRLFDSQLEKRANLFMRGKETGVVFASEDHVAVCAVEANLKSLASCMSDLASVLSTIIEDKRTFASNWRDPGCGNTPVDCRKGLLAM
+>sp|Q02846|GUC2D_HUMAN Retinal guanylyl cyclase 1 OS=Homo sapiens OX=9606 GN=GUCY2D PE=1 SV=2
+MTACARRAGGLPDPGLCGPAWWAPSLPRLPRALPRLPLLLLLLLLQPPALSAVFTVGVLGPWACDPIFSRARPDLAARLAAARLNRDPGLAGGPRFEVALLPEPCRTPGSLGAVSSALARVSGLVGPVNPAACRPAELLAEEAGIALVPWGCPWTQAEGTTAPAVTPAADALYALLRAFGWARVALVTAPQDLWVEAGRSLSTALRARGLPVASVTSMEPLDLSGAREALRKVRDGPRVTAVIMVMHSVLLGGEEQRYLLEAAEELGLTDGSLVFLPFDTIHYALSPGPEALAALANSSQLRRAHDAVLTLTRHCPSEGSVLDSLRRAQERRELPSDLNLQQVSPLFGTIYDAVFLLARGVAEARAAAGGRWVSGAAVARHIRDAQVPGFCGDLGGDEEPPFVLLDTDAAGDRLFATYMLDPARGSFLSAGTRMHFPRGGSAPGPDPSCWFDPNNICGGGLEPGLVFLGFLLVVGMGLAGAFLAHYVRHRLLHMQMVSGPNKIILTVDDITFLHPHGGTSRKVAQGSRSSLGARSMSDIRSGPSQHLDSPNIGVYEGDRVWLKKFPGDQHIAIRPATKTAFSKLQELRHENVALYLGLFLARGAEGPAALWEGNLAVVSEHCTRGSLQDLLAQREIKLDWMFKSSLLLDLIKGIRYLHHRGVAHGRLKSRNCIVDGRFVLKITDHGHGRLLEAQKVLPEPPRAEDQLWTAPELLRDPALERRGTLAGDVFSLAIIMQEVVCRSAPYAMLELTPEEVVQRVRSPPPLCRPLVSMDQAPVECILLMKQCWAEQPELRPSMDHTFDLFKNINKGRKTNIIDSMLRMLEQYSSNLEDLIRERTEELELEKQKTDRLLTQMLPPSVAEALKTGTPVEPEYFEQVTLYFSDIVGFTTISAMSEPIEVVDLLNDLYTLFDAIIGSHDVYKVETIGDAYMVASGLPQRNGQRHAAEIANMSLDILSAVGTFRMRHMPEVPVRIRIGLHSGPCVAGVVGLTMPRYCLFGDTVNTASRMESTGLPYRIHVNLSTVGILRALDSGYQVELRGRTELKGKGAEDTFWLVGRRGFNKPIPKPPDLQPGSSNHGISLQEIPPERRRKLEKARPGQFS
+>DECOY_sp|Q02846|GUC2D_HUMAN Retinal guanylyl cyclase 1 OS=Homo sapiens OX=9606 GN=GUCY2D PE=1 SV=2
+SFQGPRAKELKRRREPPIEQLSIGHNSSGPQLDPPKPIPKNFGRRGVLWFTDEAGKGKLETRGRLEVQYGSDLARLIGVTSLNVHIRYPLGTSEMRSATNVTDGFLCYRPMTLGVVGAVCPGSHLGIRIRVPVEPMHRMRFTGVASLIDLSMNAIEAAHRQGNRQPLGSAVMYADGITEVKYVDHSGIIADFLTYLDNLLDVVEIPESMASITTFGVIDSFYLTVQEFYEPEVPTGTKLAEAVSPPLMQTLLRDTKQKELELEETRERILDELNSSYQELMRLMSDIINTKRGKNINKFLDFTHDMSPRLEPQEAWCQKMLLICEVPAQDMSVLPRCLPPPSRVRQVVEEPTLELMAYPASRCVVEQMIIALSFVDGALTGRRELAPDRLLEPATWLQDEARPPEPLVKQAELLRGHGHDTIKLVFRGDVICNRSKLRGHAVGRHHLYRIGKILDLLLSSKFMWDLKIERQALLDQLSGRTCHESVVALNGEWLAAPGEAGRALFLGLYLAVNEHRLEQLKSFATKTAPRIAIHQDGPFKKLWVRDGEYVGINPSDLHQSPGSRIDSMSRAGLSSRSGQAVKRSTGGHPHLFTIDDVTLIIKNPGSVMQMHLLRHRVYHALFAGALGMGVVLLFGLFVLGPELGGGCINNPDFWCSPDPGPASGGRPFHMRTGASLFSGRAPDLMYTAFLRDGAADTDLLVFPPEEDGGLDGCFGPVQADRIHRAVAAGSVWRGGAAARAEAVGRALLFVADYITGFLPSVQQLNLDSPLERREQARRLSDLVSGESPCHRTLTLVADHARRLQSSNALAALAEPGPSLAYHITDFPLFVLSGDTLGLEEAAELLYRQEEGGLLVSHMVMIVATVRPGDRVKRLAERAGSLDLPEMSTVSAVPLGRARLATSLSRGAEVWLDQPATVLAVRAWGFARLLAYLADAAPTVAPATTGEAQTWPCGWPVLAIGAEEALLEAPRCAAPNVPGVLGSVRALASSVAGLSGPTRCPEPLLAVEFRPGGALGPDRNLRAAALRAALDPRARSFIPDCAWPGLVGVTFVASLAPPQLLLLLLLLPLRPLARPLRPLSPAWWAPGCLGPDPLGGARRACATM
+>sp|Q8N442|GUF1_HUMAN Translation factor GUF1, mitochondrial OS=Homo sapiens OX=9606 GN=GUF1 PE=1 SV=1
+MWTLVGRGWGCARALAPRATGAALLVAPGPRSAPTLGAAPESWATDRLYSSAEFKEKLDMSRFPVENIRNFSIVAHVDHGKSTLADRLLELTGTIDKTKNNKQVLDKLQVERERGITVKAQTASLFYNCEGKQYLLNLIDTPGHVDFSYEVSRSLSACQGVLLVVDANEGIQAQTVANFFLAFEAQLSVIPVINKIDLKNADPERVENQIEKVFDIPSDECIKISAKLGTNVESVLQAIIERIPPPKVHRKNPLRALVFDSTFDQYRGVIANVALFDGVVSKGDKIVSAHTQKTYEVNEVGVLNPNEQPTHKLYAGQVGYLIAGMKDVTEAQIGDTLCLHKQPVEPLPGFKSAKPMVFAGMYPLDQSEYNNLKSAIEKLTLNDSSVTVHRDSSLALGAGWRLGFLGLLHMEVFNQRLEQEYNASVILTTPTVPYKAVLSSSKLIKEHREKEITIINPAQFPDKSKVTEYLEPVVLGTIITPDEYTGKIMMLCEARRAVQKNMIFIDQNRVMLKYLFPLNEIVVDFYDSLKSLSSGYASFDYEDAGYQTAELVKMDILLNGNTVEELVTVVHKDKAHSIGKAICERLKDSLPRQLFEIAIQAAIGSKIIARETVKAYRKNVLAKCYGGDITRKMKLLKRQAEGKKKLRKIGNVEVPKDAFIKVLKTQSSK
+>DECOY_sp|Q8N442|GUF1_HUMAN Translation factor GUF1, mitochondrial OS=Homo sapiens OX=9606 GN=GUF1 PE=1 SV=1
+KSSQTKLVKIFADKPVEVNGIKRLKKKGEAQRKLLKMKRTIDGGYCKALVNKRYAKVTERAIIKSGIAAQIAIEFLQRPLSDKLRECIAKGISHAKDKHVVTVLEEVTNGNLLIDMKVLEATQYGADEYDFSAYGSSLSKLSDYFDVVIENLPFLYKLMVRNQDIFIMNKQVARRAECLMMIKGTYEDPTIITGLVVPELYETVKSKDPFQAPNIITIEKERHEKILKSSSLVAKYPVTPTTLIVSANYEQELRQNFVEMHLLGLFGLRWGAGLALSSDRHVTVSSDNLTLKEIASKLNNYESQDLPYMGAFVMPKASKFGPLPEVPQKHLCLTDGIQAETVDKMGAILYGVQGAYLKHTPQENPNLVGVENVEYTKQTHASVIKDGKSVVGDFLAVNAIVGRYQDFTSDFVLARLPNKRHVKPPPIREIIAQLVSEVNTGLKASIKICEDSPIDFVKEIQNEVREPDANKLDIKNIVPIVSLQAEFALFFNAVTQAQIGENADVVLLVGQCASLSRSVEYSFDVHGPTDILNLLYQKGECNYFLSATQAKVTIGREREVQLKDLVQKNNKTKDITGTLELLRDALTSKGHDVHAVISFNRINEVPFRSMDLKEKFEASSYLRDTAWSEPAAGLTPASRPGPAVLLAAGTARPALARACGWGRGVLTWM
+>sp|Q92522|H1X_HUMAN Histone H1x OS=Homo sapiens OX=9606 GN=H1FX PE=1 SV=1
+MSVELEEALPVTTAEGMAKKVTKAGGSAALSPSKKRKNSKKKNQPGKYSQLVVETIRRLGERNGSSLAKIYTEAKKVPWFDQQNGRTYLKYSIKALVQNDTLLQVKGTGANGSFKLNRKKLEGGGERRGAPAAATAPAPTAHKAKKAAPGAAGSRRADKKPARGQKPEQRSHKKGAGAKKDKGGKAKKTAAAGGKKVKKAAKPSVPKVPKGRK
+>DECOY_sp|Q92522|H1X_HUMAN Histone H1x OS=Homo sapiens OX=9606 GN=H1FX PE=1 SV=1
+KRGKPVKPVSPKAAKKVKKGGAAATKKAKGGKDKKAGAGKKHSRQEPKQGRAPKKDARRSGAAGPAAKKAKHATPAPATAAAPAGRREGGGELKKRNLKFSGNAGTGKVQLLTDNQVLAKISYKLYTRGNQQDFWPVKKAETYIKALSSGNREGLRRITEVVLQSYKGPQNKKKSNKRKKSPSLAASGGAKTVKKAMGEATTVPLAEELEVSM
+>sp|Q96QV6|H2A1A_HUMAN Histone H2A type 1-A OS=Homo sapiens OX=9606 GN=HIST1H2AA PE=1 SV=3
+MSGRGKQGGKARAKSKSRSSRAGLQFPVGRIHRLLRKGNYAERIGAGAPVYLAAVLEYLTAEILELAGNASRDNKKTRIIPRHLQLAIRNDEELNKLLGGVTIAQGGVLPNIQAVLLPKKTESHHHKAQSK
+>DECOY_sp|Q96QV6|H2A1A_HUMAN Histone H2A type 1-A OS=Homo sapiens OX=9606 GN=HIST1H2AA PE=1 SV=3
+KSQAKHHHSETKKPLLVAQINPLVGGQAITVGGLLKNLEEDNRIALQLHRPIIRTKKNDRSANGALELIEATLYELVAALYVPAGAGIREAYNGKRLLRHIRGVPFQLGARSSRSKSKARAKGGQKGRGSM
+>sp|Q93077|H2A1C_HUMAN Histone H2A type 1-C OS=Homo sapiens OX=9606 GN=HIST1H2AC PE=1 SV=3
+MSGRGKQGGKARAKAKSRSSRAGLQFPVGRVHRLLRKGNYAERVGAGAPVYLAAVLEYLTAEILELAGNAARDNKKTRIIPRHLQLAIRNDEELNKLLGRVTIAQGGVLPNIQAVLLPKKTESHHKAKGK
+>DECOY_sp|Q93077|H2A1C_HUMAN Histone H2A type 1-C OS=Homo sapiens OX=9606 GN=HIST1H2AC PE=1 SV=3
+KGKAKHHSETKKPLLVAQINPLVGGQAITVRGLLKNLEEDNRIALQLHRPIIRTKKNDRAANGALELIEATLYELVAALYVPAGAGVREAYNGKRLLRHVRGVPFQLGARSSRSKAKARAKGGQKGRGSM
+>sp|Q6FI13|H2A2A_HUMAN Histone H2A type 2-A OS=Homo sapiens OX=9606 GN=HIST2H2AA3 PE=1 SV=3
+MSGRGKQGGKARAKAKSRSSRAGLQFPVGRVHRLLRKGNYAERVGAGAPVYMAAVLEYLTAEILELAGNAARDNKKTRIIPRHLQLAIRNDEELNKLLGKVTIAQGGVLPNIQAVLLPKKTESHHKAKGK
+>DECOY_sp|Q6FI13|H2A2A_HUMAN Histone H2A type 2-A OS=Homo sapiens OX=9606 GN=HIST2H2AA3 PE=1 SV=3
+KGKAKHHSETKKPLLVAQINPLVGGQAITVKGLLKNLEEDNRIALQLHRPIIRTKKNDRAANGALELIEATLYELVAAMYVPAGAGVREAYNGKRLLRHVRGVPFQLGARSSRSKAKARAKGGQKGRGSM
+>sp|Q96A08|H2B1A_HUMAN Histone H2B type 1-A OS=Homo sapiens OX=9606 GN=HIST1H2BA PE=1 SV=3
+MPEVSSKGATISKKGFKKAVVKTQKKEGKKRKRTRKESYSIYIYKVLKQVHPDTGISSKAMSIMNSFVTDIFERIASEASRLAHYSKRSTISSREIQTAVRLLLPGELAKHAVSEGTKAVTKYTSSK
+>DECOY_sp|Q96A08|H2B1A_HUMAN Histone H2B type 1-A OS=Homo sapiens OX=9606 GN=HIST1H2BA PE=1 SV=3
+KSSTYKTVAKTGESVAHKALEGPLLLRVATQIERSSITSRKSYHALRSAESAIREFIDTVFSNMISMAKSSIGTDPHVQKLVKYIYISYSEKRTRKRKKGEKKQTKVVAKKFGKKSITAGKSSVEPM
+>sp|P33778|H2B1B_HUMAN Histone H2B type 1-B OS=Homo sapiens OX=9606 GN=HIST1H2BB PE=1 SV=2
+MPEPSKSAPAPKKGSKKAITKAQKKDGKKRKRSRKESYSIYVYKVLKQVHPDTGISSKAMGIMNSFVNDIFERIAGEASRLAHYNKRSTITSREIQTAVRLLLPGELAKHAVSEGTKAVTKYTSSK
+>DECOY_sp|P33778|H2B1B_HUMAN Histone H2B type 1-B OS=Homo sapiens OX=9606 GN=HIST1H2BB PE=1 SV=2
+KSSTYKTVAKTGESVAHKALEGPLLLRVATQIERSTITSRKNYHALRSAEGAIREFIDNVFSNMIGMAKSSIGTDPHVQKLVKYVYISYSEKRSRKRKKGDKKQAKTIAKKSGKKPAPASKSPEPM
+>sp|P62807|H2B1C_HUMAN Histone H2B type 1-C/E/F/G/I OS=Homo sapiens OX=9606 GN=HIST1H2BC PE=1 SV=4
+MPEPAKSAPAPKKGSKKAVTKAQKKDGKKRKRSRKESYSVYVYKVLKQVHPDTGISSKAMGIMNSFVNDIFERIAGEASRLAHYNKRSTITSREIQTAVRLLLPGELAKHAVSEGTKAVTKYTSSK
+>DECOY_sp|P62807|H2B1C_HUMAN Histone H2B type 1-C/E/F/G/I OS=Homo sapiens OX=9606 GN=HIST1H2BC PE=1 SV=4
+KSSTYKTVAKTGESVAHKALEGPLLLRVATQIERSTITSRKNYHALRSAEGAIREFIDNVFSNMIGMAKSSIGTDPHVQKLVKYVYVSYSEKRSRKRKKGDKKQAKTVAKKSGKKPAPASKAPEPM
+>sp|P23527|H2B1O_HUMAN Histone H2B type 1-O OS=Homo sapiens OX=9606 GN=HIST1H2BO PE=1 SV=3
+MPDPAKSAPAPKKGSKKAVTKAQKKDGKKRKRSRKESYSIYVYKVLKQVHPDTGISSKAMGIMNSFVNDIFERIAGEASRLAHYNKRSTITSREIQTAVRLLLPGELAKHAVSEGTKAVTKYTSSK
+>DECOY_sp|P23527|H2B1O_HUMAN Histone H2B type 1-O OS=Homo sapiens OX=9606 GN=HIST1H2BO PE=1 SV=3
+KSSTYKTVAKTGESVAHKALEGPLLLRVATQIERSTITSRKNYHALRSAEGAIREFIDNVFSNMIGMAKSSIGTDPHVQKLVKYVYISYSEKRSRKRKKGDKKQAKTVAKKSGKKPAPASKAPDPM
+>sp|P57053|H2BFS_HUMAN Histone H2B type F-S OS=Homo sapiens OX=9606 GN=H2BFS PE=1 SV=2
+MPEPAKSAPAPKKGSKKAVTKAQKKDGRKRKRSRKESYSVYVYKVLKQVHPDTGISSKAMGIMNSFVNDIFERIAGEASRLPHYNKRSTITSREIQTAVRLLLPGELAKHAVSEGTKAVTKYTSAK
+>DECOY_sp|P57053|H2BFS_HUMAN Histone H2B type F-S OS=Homo sapiens OX=9606 GN=H2BFS PE=1 SV=2
+KASTYKTVAKTGESVAHKALEGPLLLRVATQIERSTITSRKNYHPLRSAEGAIREFIDNVFSNMIGMAKSSIGTDPHVQKLVKYVYVSYSEKRSRKRKRGDKKQAKTVAKKSGKKPAPASKAPEPM
+>sp|Q71DI3|H32_HUMAN Histone H3.2 OS=Homo sapiens OX=9606 GN=HIST2H3A PE=1 SV=3
+MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRYRPGTVALREIRRYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVMALQEASEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA
+>DECOY_sp|Q71DI3|H32_HUMAN Histone H3.2 OS=Homo sapiens OX=9606 GN=HIST2H3A PE=1 SV=3
+AREGRIRRALQIDKPMITVRKAHIACLNTDEFLGVLYAESAEQLAMVASSQFRLDTKFDQAIERVLRQFPLKRILLETSKQYRRIERLAVTGPRYRHPKKVGGTAPASKRAAKTALQKRPAKGGTSKRATQKTRAM
+>sp|Q99525|H4G_HUMAN Histone H4-like protein type G OS=Homo sapiens OX=9606 GN=HIST1H4G PE=1 SV=1
+MSVRGKAGKGLGKGGAKCHRKVLSDNIQGITKCTIRRLARHGGVKRILGLIYEETRRVFKVFLENVIWYAVTNTEHAKRKTVTAMAVVYVLKRQGRTL
+>DECOY_sp|Q99525|H4G_HUMAN Histone H4-like protein type G OS=Homo sapiens OX=9606 GN=HIST1H4G PE=1 SV=1
+LTRGQRKLVYVVAMATVTKRKAHETNTVAYWIVNELFVKFVRRTEEYILGLIRKVGGHRALRRITCKTIGQINDSLVKRHCKAGGKGLGKGAKGRVSM
+>sp|Q58FF8|H90B2_HUMAN Putative heat shock protein HSP 90-beta 2 OS=Homo sapiens OX=9606 GN=HSP90AB2P PE=1 SV=2
+MPEEVHLGEKEVETFAFQAEIAQLMSLIINTFYSNKEIFLWELISNASDALDKIRYESLTDPSKLDSGKELKIDIIPNTQEHTLTLVDTGIGMTKADLINNLGTIAKFQDQTEYLEEMQVKEVVEKHSQFLGYPITLYLEKEREKEISDGKAEEEKGEKEEENKDDEEKPKIEDVGSDEEDDSGKDKKKKTKKIKEKYIDQEELNKTKPIWTRNTEDITQEEYGEFYKSLTNDWKDHLAVRYFSVEEYVSRMKEIQKSIYYITGESKEQVANSAFVEQVWKRDSRVVYMTEPIDGYQLKEFDGKSLVSVTKEGLELPEDGEEKKRMEERKAKFENLCKFMKETLDKKVEMVTVSNRLVSSSCCIVTSTYSWTANMEQIMKA
+>DECOY_sp|Q58FF8|H90B2_HUMAN Putative heat shock protein HSP 90-beta 2 OS=Homo sapiens OX=9606 GN=HSP90AB2P PE=1 SV=2
+AKMIQEMNATWSYTSTVICCSSSVLRNSVTVMEVKKDLTEKMFKCLNEFKAKREEMRKKEEGDEPLELGEKTVSVLSKGDFEKLQYGDIPETMYVVRSDRKWVQEVFASNAVQEKSEGTIYYISKQIEKMRSVYEEVSFYRVALHDKWDNTLSKYFEGYEEQTIDETNRTWIPKTKNLEEQDIYKEKIKKTKKKKDKGSDDEEDSGVDEIKPKEEDDKNEEEKEGKEEEAKGDSIEKEREKELYLTIPYGLFQSHKEVVEKVQMEELYETQDQFKAITGLNNILDAKTMGIGTDVLTLTHEQTNPIIDIKLEKGSDLKSPDTLSEYRIKDLADSANSILEWLFIEKNSYFTNIILSMLQAIEAQFAFTEVEKEGLHVEEPM
+>sp|P61296|HAND2_HUMAN Heart- and neural crest derivatives-expressed protein 2 OS=Homo sapiens OX=9606 GN=HAND2 PE=1 SV=1
+MSLVGGFPHHPVVHHEGYPFAAAAAAAAAAAASRCSHEENPYFHGWLIGHPEMSPPDYSMALSYSPEYASGAAGLDHSHYGGVPPGAGPPGLGGPRPVKRRGTANRKERRRTQSINSAFAELRECIPNVPADTKLSKIKTLRLATSYIAYLMDLLAKDDQNGEAEAFKAEIKKTDVKEEKRKKELNEILKSTVSSNDKKTKGRTGWPQHVWALELKQ
+>DECOY_sp|P61296|HAND2_HUMAN Heart- and neural crest derivatives-expressed protein 2 OS=Homo sapiens OX=9606 GN=HAND2 PE=1 SV=1
+QKLELAWVHQPWGTRGKTKKDNSSVTSKLIENLEKKRKEEKVDTKKIEAKFAEAEGNQDDKALLDMLYAIYSTALRLTKIKSLKTDAPVNPICERLEAFASNISQTRRREKRNATGRRKVPRPGGLGPPGAGPPVGGYHSHDLGAAGSAYEPSYSLAMSYDPPSMEPHGILWGHFYPNEEHSCRSAAAAAAAAAAAAFPYGEHHVVPHHPFGGVLSM
+>sp|Q9UJM8|HAOX1_HUMAN Hydroxyacid oxidase 1 OS=Homo sapiens OX=9606 GN=HAO1 PE=1 SV=1
+MLPRLICINDYEQHAKSVLPKSIYDYYRSGANDEETLADNIAAFSRWKLYPRMLRNVAETDLSTSVLGQRVSMPICVGATAMQRMAHVDGELATVRACQSLGTGMMLSSWATSSIEEVAEAGPEALRWLQLYIYKDREVTKKLVRQAEKMGYKAIFVTVDTPYLGNRLDDVRNRFKLPPQLRMKNFETSTLSFSPEENFGDDSGLAAYVAKAIDPSISWEDIKWLRRLTSLPIVAKGILRGDDAREAVKHGLNGILVSNHGARQLDGVPATIDVLPEIVEAVEGKVEVFLDGGVRKGTDVLKALALGAKAVFVGRPIVWGLAFQGEKGVQDVLEILKEEFRLAMALSGCQNVKVIDKTLVRKNPLAVSKI
+>DECOY_sp|Q9UJM8|HAOX1_HUMAN Hydroxyacid oxidase 1 OS=Homo sapiens OX=9606 GN=HAO1 PE=1 SV=1
+IKSVALPNKRVLTKDIVKVNQCGSLAMALRFEEKLIELVDQVGKEGQFALGWVIPRGVFVAKAGLALAKLVDTGKRVGGDLFVEVKGEVAEVIEPLVDITAPVGDLQRAGHNSVLIGNLGHKVAERADDGRLIGKAVIPLSTLRRLWKIDEWSISPDIAKAVYAALGSDDGFNEEPSFSLTSTEFNKMRLQPPLKFRNRVDDLRNGLYPTDVTVFIAKYGMKEAQRVLKKTVERDKYIYLQLWRLAEPGAEAVEEISSTAWSSLMMGTGLSQCARVTALEGDVHAMRQMATAGVCIPMSVRQGLVSTSLDTEAVNRLMRPYLKWRSFAAINDALTEEDNAGSRYYDYISKPLVSKAHQEYDNICILRPLM
+>sp|Q96MB7|HARB1_HUMAN Putative nuclease HARBI1 OS=Homo sapiens OX=9606 GN=HARBI1 PE=1 SV=1
+MAIPITVLDCDLLLYGRGHRTLDRFKLDDVTDEYLMSMYGFPRQFIYYLVELLGANLSRPTQRSRAISPETQVLAALGFYTSGSFQTRMGDAIGISQASMSRCVANVTEALVERASQFIRFPADEASIQALKDEFYGLAGMPGVMGVVDCIHVAIKAPNAEDLSYVNRKGLHSLNCLMVCDIRGTLMTVETNWPGSLQDCAVLQQSSLSSQFEAGMHKDSWLLGDSSFFLRTWLMTPLHIPETPAEYRYNMAHSATHSVIEKTFRTLCSRFRCLDGSKGALQYSPEKSSHIILACCVLHNISLEHGMDVWSSPMTGPMEQPPEEEYEHMESLDLEADRIRQELMLTHFS
+>DECOY_sp|Q96MB7|HARB1_HUMAN Putative nuclease HARBI1 OS=Homo sapiens OX=9606 GN=HARBI1 PE=1 SV=1
+SFHTLMLEQRIRDAELDLSEMHEYEEEPPQEMPGTMPSSWVDMGHELSINHLVCCALIIHSSKEPSYQLAGKSGDLCRFRSCLTRFTKEIVSHTASHAMNYRYEAPTEPIHLPTMLWTRLFFSSDGLLWSDKHMGAEFQSSLSSQQLVACDQLSGPWNTEVTMLTGRIDCVMLCNLSHLGKRNVYSLDEANPAKIAVHICDVVGMVGPMGALGYFEDKLAQISAEDAPFRIFQSAREVLAETVNAVCRSMSAQSIGIADGMRTQFSGSTYFGLAALVQTEPSIARSRQTPRSLNAGLLEVLYYIFQRPFGYMSMLYEDTVDDLKFRDLTRHGRGYLLLDCDLVTIPIAM
+>sp|Q9NVX0|HAUS2_HUMAN HAUS augmin-like complex subunit 2 OS=Homo sapiens OX=9606 GN=HAUS2 PE=1 SV=1
+MAAANPWDPASAPNGAGLVLGHFIASGMVNQEMLNMSKKTVSCFVNFTRLQQITNIQAEIYQKNLEIELLKLEKDTADVVHPFFLAQKCHTLQSMNNHLEAVLKEKRSLRQRLLKPMCQENLPIEAVYHRYMVHLLELAVTFIERLETHLETIRNIPHLAANLKKMNQALAKMDILVTETEELAENILKWRKQQNEVSSCIPKILAEESYLYKHDIIMPPLPFTSKVHVQTINAK
+>DECOY_sp|Q9NVX0|HAUS2_HUMAN HAUS augmin-like complex subunit 2 OS=Homo sapiens OX=9606 GN=HAUS2 PE=1 SV=1
+KANITQVHVKSTFPLPPMIIDHKYLYSEEALIKPICSSVENQQKRWKLINEALEETETVLIDMKALAQNMKKLNAALHPINRITELHTELREIFTVALELLHVMYRHYVAEIPLNEQCMPKLLRQRLSRKEKLVAELHNNMSQLTHCKQALFFPHVVDATDKELKLLEIELNKQYIEAQINTIQQLRTFNVFCSVTKKSMNLMEQNVMGSAIFHGLVLGAGNPASAPDWPNAAAM
+>sp|P69905|HBA_HUMAN Hemoglobin subunit alpha OS=Homo sapiens OX=9606 GN=HBA1 PE=1 SV=2
+MVLSPADKTNVKAAWGKVGAHAGEYGAEALERMFLSFPTTKTYFPHFDLSHGSAQVKGHGKKVADALTNAVAHVDDMPNALSALSDLHAHKLRVDPVNFKLLSHCLLVTLAAHLPAEFTPAVHASLDKFLASVSTVLTSKYR
+>DECOY_sp|P69905|HBA_HUMAN Hemoglobin subunit alpha OS=Homo sapiens OX=9606 GN=HBA1 PE=1 SV=2
+RYKSTLVTSVSALFKDLSAHVAPTFEAPLHAALTVLLCHSLLKFNVPDVRLKHAHLDSLASLANPMDDVHAVANTLADAVKKGHGKVQASGHSLDFHPFYTKTTPFSLFMRELAEAGYEGAHAGVKGWAAKVNTKDAPSLVM
+>sp|Q99795|GPA33_HUMAN Cell surface A33 antigen OS=Homo sapiens OX=9606 GN=GPA33 PE=1 SV=1
+MVGKMWPVLWTLCAVRVTVDAISVETPQDVLRASQGKSVTLPCTYHTSTSSREGLIQWDKLLLTHTERVVIWPFSNKNYIHGELYKNRVSISNNAEQSDASITIDQLTMADNGTYECSVSLMSDLEGNTKSRVRLLVLVPPSKPECGIEGETIIGNNIQLTCQSKEGSPTPQYSWKRYNILNQEQPLAQPASGQPVSLKNISTDTSGYYICTSSNEEGTQFCNITVAVRSPSMNVALYVGIAVGVVAALIIIGIIIYCCCCRGKDDNTEDKEDARPNREAYEEPPEQLRELSREREEEDDYRQEEQRSTGRESPDHLDQ
+>DECOY_sp|Q99795|GPA33_HUMAN Cell surface A33 antigen OS=Homo sapiens OX=9606 GN=GPA33 PE=1 SV=1
+QDLHDPSERGTSRQEEQRYDDEEERERSLERLQEPPEEYAERNPRADEKDETNDDKGRCCCCYIIIGIIILAAVVGVAIGVYLAVNMSPSRVAVTINCFQTGEENSSTCIYYGSTDTSINKLSVPQGSAPQALPQEQNLINYRKWSYQPTPSGEKSQCTLQINNGIITEGEIGCEPKSPPVLVLLRVRSKTNGELDSMLSVSCEYTGNDAMTLQDITISADSQEANNSISVRNKYLEGHIYNKNSFPWIVVRETHTLLLKDWQILGERSSTSTHYTCPLTVSKGQSARLVDQPTEVSIADVTVRVACLTWLVPWMKGVM
+>sp|O43292|GPAA1_HUMAN Glycosylphosphatidylinositol anchor attachment 1 protein OS=Homo sapiens OX=9606 GN=GPAA1 PE=1 SV=3
+MGLLSDPVRRRALARLVLRLNAPLCVLSYVAGIAWFLALVFPPLTQRTYMSENAMGSTMVEEQFAGGDRARAFARDFAAHRKKSGALPVAWLERTMRSVGLEVYTQSFSRKLPFPDETHERYMVSGTNVYGILRAPRAASTESLVLTVPCGSDSTNSQAVGLLLALAAHFRGQIYWAKDIVFLVTEHDLLGTEAWLEAYHDVNVTGMQSSPLQGRAGAIQAAVALELSSDVVTSLDVAVEGLNGQLPNLDLLNLFQTFCQKGGLLCTLQGKLQPEDWTSLDGPLQGLQTLLLMVLRQASGRPHGSHGLFLRYRVEALTLRGINSFRQYKYDLVAVGKALEGMFRKLNHLLERLHQSFFLYLLPGLSRFVSIGLYMPAVGFLLLVLGLKALELWMQLHEAGMGLEEPGGAPGPSVPLPPSQGVGLASLVAPLLISQAMGLALYVLPVLGQHVATQHFPVAEAEAVVLTLLAIYAAGLALPHNTHRVVSTQAPDRGWMALKLVALIYLALQLGCIALTNFSLGFLLATTMVPTAALAKPHGPRTLYAALLVLTSPAATLLGSLFLWRELQEAPLSLAEGWQLFLAALAQGVLEHHTYGALLFPLLSLGLYPCWLLFWNVLFWK
+>DECOY_sp|O43292|GPAA1_HUMAN Glycosylphosphatidylinositol anchor attachment 1 protein OS=Homo sapiens OX=9606 GN=GPAA1 PE=1 SV=3
+KWFLVNWFLLWCPYLGLSLLPFLLAGYTHHELVGQALAALFLQWGEALSLPAEQLERWLFLSGLLTAAPSTLVLLAAYLTRPGHPKALAATPVMTTALLFGLSFNTLAICGLQLALYILAVLKLAMWGRDPAQTSVVRHTNHPLALGAAYIALLTLVVAEAEAVPFHQTAVHQGLVPLVYLALGMAQSILLPAVLSALGVGQSPPLPVSPGPAGGPEELGMGAEHLQMWLELAKLGLVLLLFGVAPMYLGISVFRSLGPLLYLFFSQHLRELLHNLKRFMGELAKGVAVLDYKYQRFSNIGRLTLAEVRYRLFLGHSGHPRGSAQRLVMLLLTQLGQLPGDLSTWDEPQLKGQLTCLLGGKQCFTQFLNLLDLNPLQGNLGEVAVDLSTVVDSSLELAVAAQIAGARGQLPSSQMGTVNVDHYAELWAETGLLDHETVLFVIDKAWYIQGRFHAALALLLGVAQSNTSDSGCPVTLVLSETSAARPARLIGYVNTGSVMYREHTEDPFPLKRSFSQTYVELGVSRMTRELWAVPLAGSKKRHAAFDRAFARARDGGAFQEEVMTSGMANESMYTRQTLPPFVLALFWAIGAVYSLVCLPANLRLVLRALARRRVPDSLLGM
+>sp|O95872|GPAN1_HUMAN G patch domain and ankyrin repeat-containing protein 1 OS=Homo sapiens OX=9606 GN=GPANK1 PE=1 SV=1
+MSRPLLITFTPATDPSDLWKDGQQQPQPEKPESTLDGAAARAFYEALIGDESSAPDSQRSQTEPARERKRKKRRIMKAPAAEAVAEGASGRHGQGRSLEAEDKMTHRILRAAQEGDLPELRRLLEPHEAGGAGGNINARDAFWWTPLMCAARAGQGAAVSYLLGRGAAWVGVCELSGRDAAQLAEEAGFPEVARMVRESHGETRSPENRSPTPSLQYCENCDTHFQDSNHRTSTAHLLSLSQGPQPPNLPLGVPISSPGFKLLLRGGWEPGMGLGPRGEGRANPIPTVLKRDQEGLGYRSAPQPRVTHFPAWDTRAVAGRERPPRVATLSWREERRREEKDRAWERDLRTYMNLEF
+>DECOY_sp|O95872|GPAN1_HUMAN G patch domain and ankyrin repeat-containing protein 1 OS=Homo sapiens OX=9606 GN=GPANK1 PE=1 SV=1
+FELNMYTRLDREWARDKEERRREERWSLTAVRPPRERGAVARTDWAPFHTVRPQPASRYGLGEQDRKLVTPIPNARGEGRPGLGMGPEWGGRLLLKFGPSSIPVGLPLNPPQPGQSLSLLHATSTRHNSDQFHTDCNECYQLSPTPSRNEPSRTEGHSERVMRAVEPFGAEEALQAADRGSLECVGVWAAGRGLLYSVAAGQGARAACMLPTWWFADRANINGGAGGAEHPELLRRLEPLDGEQAARLIRHTMKDEAELSRGQGHRGSAGEAVAEAAPAKMIRRKKRKRERAPETQSRQSDPASSEDGILAEYFARAAAGDLTSEPKEPQPQQQGDKWLDSPDTAPTFTILLPRSM
+>sp|Q86WP2|GPBP1_HUMAN Vasculin OS=Homo sapiens OX=9606 GN=GPBP1 PE=1 SV=1
+MAQHDFAPAWLNFPTPPSSTKSSLNFEKHSENFAWTENRYDVNRRRHNSSDGFDSAIGRPNGGNFGRKEKNGWRTHGRNGTENINHRGGYHGGSSRSRSSIFHAGKSQGLHENNIPDNETGRKEDKRERKQFEAEDFPSLNPEYEREPNHNKSLAAGVWEYPPNPKSRAPRMLVIKKGNTKDLQLSGFPVVGNLPSQPVKNGTGPSVYKGLVPKPAAPPTKPTQWKSQTKENKVGTSFPHESTFGVGNFNAFKSTAKNFSPSTNSVKECNRSNSSSPVDKLNQQPRLTKLTRMRTDKKSEFLKALKRDRVEEEHEDESRAGSEKDDDSFNLHNSNSTHQERDINRNFDENEIPQENGNASVISQQIIRSSTFPQTDVLSSSLEAEHRLLKEMGWQEDSENDETCAPLTEDEMREFQVISEQLQKNGLRKNGILKNGLICDFKFGPWKNSTFKPTTENDDTETSSSDTSDDDDV
+>DECOY_sp|Q86WP2|GPBP1_HUMAN Vasculin OS=Homo sapiens OX=9606 GN=GPBP1 PE=1 SV=1
+VDDDDSTDSSSTETDDNETTPKFTSNKWPGFKFDCILGNKLIGNKRLGNKQLQESIVQFERMEDETLPACTEDNESDEQWGMEKLLRHEAELSSSLVDTQPFTSSRIIQQSIVSANGNEQPIENEDFNRNIDREQHTSNSNHLNFSDDDKESGARSEDEHEEEVRDRKLAKLFESKKDTRMRTLKTLRPQQNLKDVPSSSNSRNCEKVSNTSPSFNKATSKFANFNGVGFTSEHPFSTGVKNEKTQSKWQTPKTPPAAPKPVLGKYVSPGTGNKVPQSPLNGVVPFGSLQLDKTNGKKIVLMRPARSKPNPPYEWVGAALSKNHNPEREYEPNLSPFDEAEFQKRERKDEKRGTENDPINNEHLGQSKGAHFISSRSRSSGGHYGGRHNINETGNRGHTRWGNKEKRGFNGGNPRGIASDFGDSSNHRRRNVDYRNETWAFNESHKEFNLSSKTSSPPTPFNLWAPAFDHQAM
+>sp|Q5T6X5|GPC6A_HUMAN G-protein coupled receptor family C group 6 member A OS=Homo sapiens OX=9606 GN=GPRC6A PE=1 SV=1
+MAFLIILITCFVIILATSQPCQTPDDFVAATSPGHIIIGGLFAIHEKMLSSEDSPRRPQIQECVGFEISVFLQTLAMIHSIEMINNSTLLPGVKLGYEIYDTCTEVTVAMAATLRFLSKFNCSRETVEFKCDYSSYMPRVKAVIGSGYSEITMAVSRMLNLQLMPQVGYESTAEILSDKIRFPSFLRTVPSDFHQIKAMAHLIQKSGWNWIGIITTDDDYGRLALNTFIIQAEANNVCIAFKEVLPAFLSDNTIEVRINRTLKKIILEAQVNVIVVFLRQFHVFDLFNKAIEMNINKMWIASDNWSTATKITTIPNVKKIGKVVGFAFRRGNISSFHSFLQNLHLLPSDSHKLLHEYAMHLSACAYVKDTDLSQCIFNHSQRTLAYKANKAIERNFVMRNDFLWDYAEPGLIHSIQLAVFALGYAIRDLCQARDCQNPNAFQPWELLGVLKNVTFTDGWNSFHFDAHGDLNTGYDVVLWKEINGHMTVTKMAEYDLQNDVFIIPDQETKNEFRNLKQIQSKCSKECSPGQMKKTTRSQHICCYECQNCPENHYTNQTDMPHCLLCNNKTHWAPVRSTMCFEKEVEYLNWNDSLAILLLILSLLGIIFVLVVGIIFTRNLNTPVVKSSGGLRVCYVILLCHFLNFASTSFFIGEPQDFTCKTRQTMFGVSFTLCISCILTKSLKILLAFSFDPKLQKFLKCLYRPILIIFTCTGIQVVICTLWLIFAAPTVEVNVSLPRVIILECEEGSILAFGTMLGYIAILAFICFIFAFKGKYENYNEAKFITFGMLIYFIAWITFIPIYATTFGKYVPAVEIIVILISNYGILYCTFIPKCYVIICKQEINTKSAFLKMIYSYSSHSVSSIALSPASLDSMSGNVTMTNPSSSGKSATWQKSKDLQAQAFAHICRENATSVSKTLPRKRMSSI
+>DECOY_sp|Q5T6X5|GPC6A_HUMAN G-protein coupled receptor family C group 6 member A OS=Homo sapiens OX=9606 GN=GPRC6A PE=1 SV=1
+ISSMRKRPLTKSVSTANERCIHAFAQAQLDKSKQWTASKGSSSPNTMTVNGSMSDLSAPSLAISSVSHSSYSYIMKLFASKTNIEQKCIIVYCKPIFTCYLIGYNSILIVIIEVAPVYKGFTTAYIPIFTIWAIFYILMGFTIFKAENYNEYKGKFAFIFCIFALIAIYGLMTGFALISGEECELIIVRPLSVNVEVTPAAFILWLTCIVVQIGTCTFIILIPRYLCKLFKQLKPDFSFALLIKLSKTLICSICLTFSVGFMTQRTKCTFDQPEGIFFSTSAFNLFHCLLIVYCVRLGGSSKVVPTNLNRTFIIGVVLVFIIGLLSLILLLIALSDNWNLYEVEKEFCMTSRVPAWHTKNNCLLCHPMDTQNTYHNEPCNQCEYCCIHQSRTTKKMQGPSCEKSCKSQIQKLNRFENKTEQDPIIFVDNQLDYEAMKTVTMHGNIEKWLVVDYGTNLDGHADFHFSNWGDTFTVNKLVGLLEWPQFANPNQCDRAQCLDRIAYGLAFVALQISHILGPEAYDWLFDNRMVFNREIAKNAKYALTRQSHNFICQSLDTDKVYACASLHMAYEHLLKHSDSPLLHLNQLFSHFSSINGRRFAFGVVKGIKKVNPITTIKTATSWNDSAIWMKNINMEIAKNFLDFVHFQRLFVVIVNVQAELIIKKLTRNIRVEITNDSLFAPLVEKFAICVNNAEAQIIFTNLALRGYDDDTTIIGIWNWGSKQILHAMAKIQHFDSPVTRLFSPFRIKDSLIEATSEYGVQPMLQLNLMRSVAMTIESYGSGIVAKVRPMYSSYDCKFEVTERSCNFKSLFRLTAAMAVTVETCTDYIEYGLKVGPLLTSNNIMEISHIMALTQLFVSIEFGVCEQIQPRRPSDESSLMKEHIAFLGGIIIHGPSTAAVFDDPTQCPQSTALIIVFCTILIILFAM
+>sp|Q92643|GPI8_HUMAN GPI-anchor transamidase OS=Homo sapiens OX=9606 GN=PIGK PE=1 SV=2
+MAVTDSLSRAATVLATVLLLSFGSVAASHIEDQAEQFFRSGHTNNWAVLVCTSRFWFNYRHVANTLSVYRSVKRLGIPDSHIVLMLADDMACNPRNPKPATVFSHKNMELNVYGDDVEVDYRSYEVTVENFLRVLTGRIPPSTPRSKRLLSDDRSNILIYMTGHGGNGFLKFQDSEEITNIELADAFEQMWQKRRYNELLFIIDTCQGASMYERFYSPNIMALASSQVGEDSLSHQPDPAIGVHLMDRYTFYVLEFLEEINPASQTNMNDLFQVCPKSLCVSTPGHRTDLFQRDPKNVLITDFFGSVRKVEITTETIKLQQDSEIMESSYKEDQMDEKLMEPLKYAEQLPVAQIIHQKPKLKDWHPPGGFILGLWALIIMVFFKTYGIKHMKFIF
+>DECOY_sp|Q92643|GPI8_HUMAN GPI-anchor transamidase OS=Homo sapiens OX=9606 GN=PIGK PE=1 SV=2
+FIFKMHKIGYTKFFVMIILAWLGLIFGGPPHWDKLKPKQHIIQAVPLQEAYKLPEMLKEDMQDEKYSSEMIESDQQLKITETTIEVKRVSGFFDTILVNKPDRQFLDTRHGPTSVCLSKPCVQFLDNMNTQSAPNIEELFELVYFTYRDMLHVGIAPDPQHSLSDEGVQSSALAMINPSYFREYMSAGQCTDIIFLLENYRRKQWMQEFADALEINTIEESDQFKLFGNGGHGTMYILINSRDDSLLRKSRPTSPPIRGTLVRLFNEVTVEYSRYDVEVDDGYVNLEMNKHSFVTAPKPNRPNCAMDDALMLVIHSDPIGLRKVSRYVSLTNAVHRYNFWFRSTCVLVAWNNTHGSRFFQEAQDEIHSAAVSGFSLLLVTALVTAARSLSDTVAM
+>sp|Q9HCN4|GPN1_HUMAN GPN-loop GTPase 1 OS=Homo sapiens OX=9606 GN=GPN1 PE=1 SV=1
+MAASAAAAELQASGGPRHPVCLLVLGMAGSGKTTFVQRLTGHLHAQGTPPYVINLDPAVHEVPFPANIDIRDTVKYKEVMKQYGLGPNGGIVTSLNLFATRFDQVMKFIEKAQNMSKYVLIDTPGQIEVFTWSASGTIITEALASSFPTVVIYVMDTSRSTNPVTFMSNMLYACSILYKTKLPFIVVMNKTDIIDHSFAVEWMQDFEAFQDALNQETTYVSNLTRSMSLVLDEFYSSLRVVGVSAVLGTGLDELFVQVTSAAEEYEREYRPEYERLKKSLANAESQQQREQLERLRKDMGSVALDAGTAKDSLSPVLHPSDLILTRGTLDEEDEEADSDTDDIDHRVTEESHEEPAFQNFMQESMAQYWKRNNK
+>DECOY_sp|Q9HCN4|GPN1_HUMAN GPN-loop GTPase 1 OS=Homo sapiens OX=9606 GN=GPN1 PE=1 SV=1
+KNNRKWYQAMSEQMFNQFAPEEHSEETVRHDIDDTDSDAEEDEEDLTGRTLILDSPHLVPSLSDKATGADLAVSGMDKRLRELQERQQQSEANALSKKLREYEPRYEREYEEAASTVQVFLEDLGTGLVASVGVVRLSSYFEDLVLSMSRTLNSVYTTEQNLADQFAEFDQMWEVAFSHDIIDTKNMVVIFPLKTKYLISCAYLMNSMFTVPNTSRSTDMVYIVVTPFSSALAETIITGSASWTFVEIQGPTDILVYKSMNQAKEIFKMVQDFRTAFLNLSTVIGGNPGLGYQKMVEKYKVTDRIDINAPFPVEHVAPDLNIVYPPTGQAHLHGTLRQVFTTKGSGAMGLVLLCVPHRPGGSAQLEAAAASAAM
+>sp|Q9UHW5|GPN3_HUMAN GPN-loop GTPase 3 OS=Homo sapiens OX=9606 GN=GPN3 PE=1 SV=2
+MPRYAQLVMGPAGSGKSTYCATMVQHCEALNRSVQVVNLDPAAEHFNYSVMADIRELIEVDDVMEDDSLRFGPNGGLVFCMEYFANNFDWLENCLGHVEDDYILFDCPGQIELYTHLPVMKQLVQQLEQWEFRVCGVFLVDSQFMVESFKFISGILAALSAMISLEIPQVNIMTKMDLLSKKAKKEIEKFLDPDMYSLLEDSTSDLRSKKFKKLTKAICGLIDDYSMVRFLPYDQSDEESMNIVLQHIDFAIQYGEDLEFKEPKEREDESSSMFDEYFQECQDE
+>DECOY_sp|Q9UHW5|GPN3_HUMAN GPN-loop GTPase 3 OS=Homo sapiens OX=9606 GN=GPN3 PE=1 SV=2
+EDQCEQFYEDFMSSSEDEREKPEKFELDEGYQIAFDIHQLVINMSEEDSQDYPLFRVMSYDDILGCIAKTLKKFKKSRLDSTSDELLSYMDPDLFKEIEKKAKKSLLDMKTMINVQPIELSIMASLAALIGSIFKFSEVMFQSDVLFVGCVRFEWQELQQVLQKMVPLHTYLEIQGPCDFLIYDDEVHGLCNELWDFNNAFYEMCFVLGGNPGFRLSDDEMVDDVEILERIDAMVSYNFHEAAPDLNVVQVSRNLAECHQVMTACYTSKGSGAPGMVLQAYRPM
+>sp|Q14956|GPNMB_HUMAN Transmembrane glycoprotein NMB OS=Homo sapiens OX=9606 GN=GPNMB PE=1 SV=2
+MECLYYFLGFLLLAARLPLDAAKRFHDVLGNERPSAYMREHNQLNGWSSDENDWNEKLYPVWKRGDMRWKNSWKGGRVQAVLTSDSPALVGSNITFAVNLIFPRCQKEDANGNIVYEKNCRNEAGLSADPYVYNWTAWSEDSDGENGTGQSHHNVFPDGKPFPHHPGWRRWNFIYVFHTLGQYFQKLGRCSVRVSVNTANVTLGPQLMEVTVYRRHGRAYVPIAQVKDVYVVTDQIPVFVTMFQKNDRNSSDETFLKDLPIMFDVLIHDPSHFLNYSTINYKWSFGDNTGLFVSTNHTVNHTYVLNGTFSLNLTVKAAAPGPCPPPPPPPRPSKPTPSLATTLKSYDSNTPGPAGDNPLELSRIPDENCQINRYGHFQATITIVEGILEVNIIQMTDVLMPVPWPESSLIDFVVTCQGSIPTEVCTIISDPTCEITQNTVCSPVDVDEMCLLTVRRTFNGSGTYCVNLTLGDDTSLALTSTLISVPDRDPASPLRMANSALISVGCLAIFVTVISLLVYKKHKEYNPIENSPGNVVRSKGLSVFLNRAKAVFFPGNQEKDPLLKNQEFKGVS
+>DECOY_sp|Q14956|GPNMB_HUMAN Transmembrane glycoprotein NMB OS=Homo sapiens OX=9606 GN=GPNMB PE=1 SV=2
+SVGKFEQNKLLPDKEQNGPFFVAKARNLFVSLGKSRVVNGPSNEIPNYEKHKKYVLLSIVTVFIALCGVSILASNAMRLPSAPDRDPVSILTSTLALSTDDGLTLNVCYTGSGNFTRRVTLLCMEDVDVPSCVTNQTIECTPDSIITCVETPISGQCTVVFDILSSEPWPVPMLVDTMQIINVELIGEVITITAQFHGYRNIQCNEDPIRSLELPNDGAPGPTNSDYSKLTTALSPTPKSPRPPPPPPPCPGPAAAKVTLNLSFTGNLVYTHNVTHNTSVFLGTNDGFSWKYNITSYNLFHSPDHILVDFMIPLDKLFTEDSSNRDNKQFMTVFVPIQDTVVYVDKVQAIPVYARGHRRYVTVEMLQPGLTVNATNVSVRVSCRGLKQFYQGLTHFVYIFNWRRWGPHHPFPKGDPFVNHHSQGTGNEGDSDESWATWNYVYPDASLGAENRCNKEYVINGNADEKQCRPFILNVAFTINSGVLAPSDSTLVAQVRGGKWSNKWRMDGRKWVPYLKENWDNEDSSWGNLQNHERMYASPRENGLVDHFRKAADLPLRAALLLFGLFYYLCEM
+>sp|Q96SL4|GPX7_HUMAN Glutathione peroxidase 7 OS=Homo sapiens OX=9606 GN=GPX7 PE=1 SV=1
+MVAATVAAAWLLLWAAACAQQEQDFYDFKAVNIRGKLVSLEKYRGSVSLVVNVASECGFTDQHYRALQQLQRDLGPHHFNVLAFPCNQFGQQEPDSNKEIESFARRTYSVSFPMFSKIAVTGTGAHPAFKYLAQTSGKEPTWNFWKYLVAPDGKVVGAWDPTVSVEEVRPQITALVRKLILLKREDL
+>DECOY_sp|Q96SL4|GPX7_HUMAN Glutathione peroxidase 7 OS=Homo sapiens OX=9606 GN=GPX7 PE=1 SV=1
+LDERKLLILKRVLATIQPRVEEVSVTPDWAGVVKGDPAVLYKWFNWTPEKGSTQALYKFAPHAGTGTVAIKSFMPFSVSYTRRAFSEIEKNSDPEQQGFQNCPFALVNFHHPGLDRQLQQLARYHQDTFGCESAVNVVLSVSGRYKELSVLKGRINVAKFDYFDQEQQACAAAWLLLWAAAVTAAVM
+>sp|P20718|GRAH_HUMAN Granzyme H OS=Homo sapiens OX=9606 GN=GZMH PE=1 SV=1
+MQPFLLLLAFLLTPGAGTEEIIGGHEAKPHSRPYMAFVQFLQEKSRKRCGGILVRKDFVLTAAHCQGSSINVTLGAHNIKEQERTQQFIPVKRPIPHPAYNPKNFSNDIMLLQLERKAKWTTAVRPLRLPSSKAQVKPGQLCSVAGWGYVSMSTLATTLQEVLLTVQKDCQCERLFHGNYSRATEICVGDPKKTQTGFKGDSGGPLVCKDVAQGILSYGNKKGTPPGVYIKVSHFLPWIKRTMKRL
+>DECOY_sp|P20718|GRAH_HUMAN Granzyme H OS=Homo sapiens OX=9606 GN=GZMH PE=1 SV=1
+LRKMTRKIWPLFHSVKIYVGPPTGKKNGYSLIGQAVDKCVLPGGSDGKFGTQTKKPDGVCIETARSYNGHFLRECQCDKQVTLLVEQLTTALTSMSVYGWGAVSCLQGPKVQAKSSPLRLPRVATTWKAKRELQLLMIDNSFNKPNYAPHPIPRKVPIFQQTREQEKINHAGLTVNISSGQCHAATLVFDKRVLIGGCRKRSKEQLFQVFAMYPRSHPKAEHGGIIEETGAGPTLLFALLLLFPQM
+>sp|Q8TC17|GRAPL_HUMAN GRB2-related adapter protein-like OS=Homo sapiens OX=9606 GN=GRAPL PE=3 SV=3
+MESVALYSFQATESDELAFNKGDTLKILNMEDDQNWYKAELRGVEGFIPKNYIRVKPHPWYSGRISRQLAEEILMKRNHLGAFLIRESESSPGEFSVSVNNRAQRGPCLGPKSHSRLG
+>DECOY_sp|Q8TC17|GRAPL_HUMAN GRB2-related adapter protein-like OS=Homo sapiens OX=9606 GN=GRAPL PE=3 SV=3
+GLRSHSKPGLCPGRQARNNVSVSFEGPSSESERILFAGLHNRKMLIEEALQRSIRGSYWPHPKVRIYNKPIFGEVGRLEAKYWNQDDEMNLIKLTDGKNFALEDSETAQFSYLAVSEM
+>sp|A4D1Z8|GRIFN_HUMAN Grifin OS=Homo sapiens OX=9606 GN=GRIFIN PE=2 SV=4
+MAVQSKAFCAGGLAPGWKLLVQGHADSGEDRFETNFLLETGDIAFHIKPRFSSATVVGNAFQYGRWGPEQVSSIFPLAPGEPFEIEVSWDAEHFHVYAPEHKVLQFPCRQRPLGATTRVRVLSDHCLAQVELAKRGLSWGDRGY
+>DECOY_sp|A4D1Z8|GRIFN_HUMAN Grifin OS=Homo sapiens OX=9606 GN=GRIFIN PE=2 SV=4
+YGRDGWSLGRKALEVQALCHDSLVRVRTTAGLPRQRCPFQLVKHEPAYVHFHEADWSVEIEFPEGPALPFISSVQEPGWRGYQFANGVVTASSFRPKIHFAIDGTELLFNTEFRDEGSDAHGQVLLKWGPALGGACFAKSQVAM
+>sp|P39086|GRIK1_HUMAN Glutamate receptor ionotropic, kainate 1 OS=Homo sapiens OX=9606 GN=GRIK1 PE=1 SV=1
+MEHGTLLAQPGLWTRDTSWALLYFLCYILPQTAPQVLRIGGIFETVENEPVNVEELAFKFAVTSINRNRTLMPNTTLTYDIQRINLFDSFEASRRACDQLALGVAALFGPSHSSSVSAVQSICNALEVPHIQTRWKHPSVDNKDLFYINLYPDYAAISRAILDLVLYYNWKTVTVVYEDSTGLIRLQELIKAPSRYNIKIKIRQLPSGNKDAKPLLKEMKKGKEFYVIFDCSHETAAEILKQILFMGMMTEYYHYFFTTLDLFALDLELYRYSGVNMTGFRLLNIDNPHVSSIIEKWSMERLQAPPRPETGLLDGMMTTEAALMYDAVYMVAIASHRASQLTVSSLQCHRHKPWRLGPRFMNLIKEARWDGLTGHITFNKTNGLRKDFDLDIISLKEEGTEKAAGEVSKHLYKVWKKIGIWNSNSGLNMTDSNKDKSSNITDSLANRTLIVTTILEEPYVMYRKSDKPLYGNDRFEGYCLDLLKELSNILGFIYDVKLVPDGKYGAQNDKGEWNGMVKELIDHRADLAVAPLTITYVREKVIDFSKPFMTLGISILYRKPNGTNPGVFSFLNPLSPDIWMYVLLACLGVSCVLFVIARFTPYEWYNPHPCNPDSDVVENNFTLLNSFWFGVGALMQQGSELMPKALSTRIVGGIWWFFTLIIISSYTANLAAFLTVERMESPIDSADDLAKQTKIEYGAVRDGSTMTFFKKSKISTYEKMWAFMSSRQQTALVRNSDEGIQRVLTTDYALLMESTSIEYVTQRNCNLTQIGGLIDSKGYGVGTPIGSPYRDKITIAILQLQEEGKLHMMKEKWWRGNGCPEEDNKEASALGVENIGGIFIVLAAGLVLSVFVAIGEFIYKSRKNNDIEQAFCFFYGLQCKQTHPTNSTSGTTLSTDLECGKLIREERGIRKQSSVHTV
+>DECOY_sp|P39086|GRIK1_HUMAN Glutamate receptor ionotropic, kainate 1 OS=Homo sapiens OX=9606 GN=GRIK1 PE=1 SV=1
+VTHVSSQKRIGREERILKGCELDTSLTTGSTSNTPHTQKCQLGYFFCFAQEIDNNKRSKYIFEGIAVFVSLVLGAALVIFIGGINEVGLASAEKNDEEPCGNGRWWKEKMMHLKGEEQLQLIAITIKDRYPSGIPTGVGYGKSDILGGIQTLNCNRQTVYEISTSEMLLAYDTTLVRQIGEDSNRVLATQQRSSMFAWMKEYTSIKSKKFFTMTSGDRVAGYEIKTQKALDDASDIPSEMREVTLFAALNATYSSIIILTFFWWIGGVIRTSLAKPMLESGQQMLAGVGFWFSNLLTFNNEVVDSDPNCPHPNYWEYPTFRAIVFLVCSVGLCALLVYMWIDPSLPNLFSFVGPNTGNPKRYLISIGLTMFPKSFDIVKERVYTITLPAVALDARHDILEKVMGNWEGKDNQAGYKGDPVLKVDYIFGLINSLEKLLDLCYGEFRDNGYLPKDSKRYMVYPEELITTVILTRNALSDTINSSKDKNSDTMNLGSNSNWIGIKKWVKYLHKSVEGAAKETGEEKLSIIDLDFDKRLGNTKNFTIHGTLGDWRAEKILNMFRPGLRWPKHRHCQLSSVTLQSARHSAIAVMYVADYMLAAETTMMGDLLGTEPRPPAQLREMSWKEIISSVHPNDINLLRFGTMNVGSYRYLELDLAFLDLTTFFYHYYETMMGMFLIQKLIEAATEHSCDFIVYFEKGKKMEKLLPKADKNGSPLQRIKIKINYRSPAKILEQLRILGTSDEYVVTVTKWNYYLVLDLIARSIAAYDPYLNIYFLDKNDVSPHKWRTQIHPVELANCISQVASVSSSHSPGFLAAVGLALQDCARRSAEFSDFLNIRQIDYTLTTNPMLTRNRNISTVAFKFALEEVNVPENEVTEFIGGIRLVQPATQPLIYCLFYLLAWSTDRTWLGPQALLTGHEM
+>sp|Q13002|GRIK2_HUMAN Glutamate receptor ionotropic, kainate 2 OS=Homo sapiens OX=9606 GN=GRIK2 PE=1 SV=1
+MKIIFPILSNPVFRRTVKLLLCLLWIGYSQGTTHVLRFGGIFEYVESGPMGAEELAFRFAVNTINRNRTLLPNTTLTYDTQKINLYDSFEASKKACDQLSLGVAAIFGPSHSSSANAVQSICNALGVPHIQTRWKHQVSDNKDSFYVSLYPDFSSLSRAILDLVQFFKWKTVTVVYDDSTGLIRLQELIKAPSRYNLRLKIRQLPADTKDAKPLLKEMKRGKEFHVIFDCSHEMAAGILKQALAMGMMTEYYHYIFTTLDLFALDVEPYRYSGVNMTGFRILNTENTQVSSIIEKWSMERLQAPPKPDSGLLDGFMTTDAALMYDAVHVVSVAVQQFPQMTVSSLQCNRHKPWRFGTRFMSLIKEAHWEGLTGRITFNKTNGLRTDFDLDVISLKEEGLEKIGTWDPASGLNMTESQKGKPANITDSLSNRSLIVTTILEEPYVLFKKSDKPLYGNDRFEGYCIDLLRELSTILGFTYEIRLVEDGKYGAQDDANGQWNGMVRELIDHKADLAVAPLAITYVREKVIDFSKPFMTLGISILYRKPNGTNPGVFSFLNPLSPDIWMYILLAYLGVSCVLFVIARFSPYEWYNPHPCNPDSDVVENNFTLLNSFWFGVGALMQQGSELMPKALSTRIVGGIWWFFTLIIISSYTANLAAFLTVERMESPIDSADDLAKQTKIEYGAVEDGATMTFFKKSKISTYDKMWAFMSSRRQSVLVKSNEEGIQRVLTSDYAFLMESTTIEFVTQRNCNLTQIGGLIDSKGYGVGTPMGSPYRDKITIAILQLQEEGKLHMMKEKWWRGNGCPEEESKEASALGVQNIGGIFIVLAAGLVLSVFVAVGEFLYKSKKNAQLEKRSFCSAMVEELRMSLKCQRRLKHKPQAPVIVKTEEVINMHTFNDRRLPGKETMA
+>DECOY_sp|Q13002|GRIK2_HUMAN Glutamate receptor ionotropic, kainate 2 OS=Homo sapiens OX=9606 GN=GRIK2 PE=1 SV=1
+AMTEKGPLRRDNFTHMNIVEETKVIVPAQPKHKLRRQCKLSMRLEEVMASCFSRKELQANKKSKYLFEGVAVFVSLVLGAALVIFIGGINQVGLASAEKSEEEPCGNGRWWKEKMMHLKGEEQLQLIAITIKDRYPSGMPTGVGYGKSDILGGIQTLNCNRQTVFEITTSEMLFAYDSTLVRQIGEENSKVLVSQRRSSMFAWMKDYTSIKSKKFFTMTAGDEVAGYEIKTQKALDDASDIPSEMREVTLFAALNATYSSIIILTFFWWIGGVIRTSLAKPMLESGQQMLAGVGFWFSNLLTFNNEVVDSDPNCPHPNYWEYPSFRAIVFLVCSVGLYALLIYMWIDPSLPNLFSFVGPNTGNPKRYLISIGLTMFPKSFDIVKERVYTIALPAVALDAKHDILERVMGNWQGNADDQAGYKGDEVLRIEYTFGLITSLERLLDICYGEFRDNGYLPKDSKKFLVYPEELITTVILSRNSLSDTINAPKGKQSETMNLGSAPDWTGIKELGEEKLSIVDLDFDTRLGNTKNFTIRGTLGEWHAEKILSMFRTGFRWPKHRNCQLSSVTMQPFQQVAVSVVHVADYMLAADTTMFGDLLGSDPKPPAQLREMSWKEIISSVQTNETNLIRFGTMNVGSYRYPEVDLAFLDLTTFIYHYYETMMGMALAQKLIGAAMEHSCDFIVHFEKGRKMEKLLPKADKTDAPLQRIKLRLNYRSPAKILEQLRILGTSDDYVVTVTKWKFFQVLDLIARSLSSFDPYLSVYFSDKNDSVQHKWRTQIHPVGLANCISQVANASSSHSPGFIAAVGLSLQDCAKKSAEFSDYLNIKQTDYTLTTNPLLTRNRNITNVAFRFALEEAGMPGSEVYEFIGGFRLVHTTGQSYGIWLLCLLLKVTRRFVPNSLIPFIIKM
+>sp|O60269|GRIN2_HUMAN G protein-regulated inducer of neurite outgrowth 2 OS=Homo sapiens OX=9606 GN=GPRIN2 PE=1 SV=2
+MSSSRPEPGPWAPLSPRLQPLSQSSSSLLGEGREQRPELRKTASSTVWQAQLGEASTRPQAPEEEGNPPESMKPARASGPKARPSAGGHWWSSTVGNVSTMGGSDLCRLRAPSAAAMQRSHSDLVRSTQMRGHSGARKASLSCSALGSSPVHRAQLQPGGTSGQGGQAPAGLERDLAPEDETSNSAWMLGASQLSVPPLDLGDTTAHSSSAQAEPKAAEQLATTTCHALPPAALLCGMREVRAGGCCHALPATGILAFPKLVASVSESGLQAQHGVKIHCRLSGGLPGHSHCCAHLWGPAGLVPEPGSRTKDVWTMTSANDLAPAEASPLSAQDAGVQAAPVAACKAVATSPSLEAPAALHVFPEVTLGSSLEEVPSPVRDVRWDAEGMTWEVYGAAVDLEVLGVAIQKHLEMQFEQLQRAPASEDSLSVEGRRGPLRAVMQSLRRPSCCGCSGAAPE
+>DECOY_sp|O60269|GRIN2_HUMAN G protein-regulated inducer of neurite outgrowth 2 OS=Homo sapiens OX=9606 GN=GPRIN2 PE=1 SV=2
+EPAAGSCGCCSPRRLSQMVARLPGRRGEVSLSDESAPARQLQEFQMELHKQIAVGLVELDVAAGYVEWTMGEADWRVDRVPSPVEELSSGLTVEPFVHLAAPAELSPSTAVAKCAAVPAAQVGADQASLPSAEAPALDNASTMTWVDKTRSGPEPVLGAPGWLHACCHSHGPLGGSLRCHIKVGHQAQLGSESVSAVLKPFALIGTAPLAHCCGGARVERMGCLLAAPPLAHCTTTALQEAAKPEAQASSSHATTDGLDLPPVSLQSAGLMWASNSTEDEPALDRELGAPAQGGQGSTGGPQLQARHVPSSGLASCSLSAKRAGSHGRMQTSRVLDSHSRQMAAASPARLRCLDSGGMTSVNGVTSSWWHGGASPRAKPGSARAPKMSEPPNGEEEPAQPRTSAEGLQAQWVTSSATKRLEPRQERGEGLLSSSSQSLPQLRPSLPAWPGPEPRSSSM
+>sp|P0CAP2|GRL1A_HUMAN DNA-directed RNA polymerase II subunit GRINL1A OS=Homo sapiens OX=9606 GN=POLR2M PE=1 SV=1
+MCSLPRGFEPQAPEDLAQRSLVELREMLKRQERLLRNEKFICKLPDKGKKIFDSFAKLKAAIAECEEVRRKSELFNPVSLDCKLRQKAIAEVDVGTDKAQNSDPILDTSSLVPGCSSVDNIKSSQTSQNQGLGRPTLEGDEETSEVEYTVNKGPASSNRDRVPPSSEASEHHPRHRVSSQAEDTSSSFDNLFIDRLQRITIADQGEQQSEENASTKNLTGLSSGTEKKPHYMEVLEMRAKNPVPQLRKFKTNVLPFRQNDSSSHCQKSGSPISSEERRRRDKQHLDDITAARLLPLHHMPTQLLSIEESLALQKQQKQNYEEMQAKLAAQKLAERLNIKMRSYNPEGESSGRYREVRDEDDDWSSDEF
+>DECOY_sp|P0CAP2|GRL1A_HUMAN DNA-directed RNA polymerase II subunit GRINL1A OS=Homo sapiens OX=9606 GN=POLR2M PE=1 SV=1
+FEDSSWDDDEDRVERYRGSSEGEPNYSRMKINLREALKQAALKAQMEEYNQKQQKQLALSEEISLLQTPMHHLPLLRAATIDDLHQKDRRRREESSIPSGSKQCHSSSDNQRFPLVNTKFKRLQPVPNKARMELVEMYHPKKETGSSLGTLNKTSANEESQQEGQDAITIRQLRDIFLNDFSSSTDEAQSSVRHRPHHESAESSPPVRDRNSSAPGKNVTYEVESTEEDGELTPRGLGQNQSTQSSKINDVSSCGPVLSSTDLIPDSNQAKDTGVDVEAIAKQRLKCDLSVPNFLESKRRVEECEAIAAKLKAFSDFIKKGKDPLKCIFKENRLLREQRKLMERLEVLSRQALDEPAQPEFGRPLSCM
+>sp|Q4G1C9|GRPL2_HUMAN GLIPR1-like protein 2 OS=Homo sapiens OX=9606 GN=GLIPR1L2 PE=2 SV=2
+MEAARPFAREWRAQSLPLAVGGVLKLRLCELWLLLLGSSLNARFLPDEEDVDFINEYVNLHNELRGDVIPRGSNLRFMTWDVALSRTARAWGKKCLFTHNIYLQDVQMVHPKFYGIGENMWVGPENEFTASIAIRSWHAEKKMYNFENGSCSGDCSNYIQLVWDHSYKVGCAVTPCSKIGHIIHAAIFICNYAPGGTLTRRPYEPGIFCTRCGRRDKCTDFLCSNADRDQATYYRFWYPKWEMPRPVVCDPLCTFILLLRILCFILCVITVLIVQSQFPNILLEQQMIFTPEESEAGNEEEEKEEEKKEKEEMEMEIMEMEEEKEEREEEEEETQKEKMEEEEK
+>DECOY_sp|Q4G1C9|GRPL2_HUMAN GLIPR1-like protein 2 OS=Homo sapiens OX=9606 GN=GLIPR1L2 PE=2 SV=2
+KEEEEMKEKQTEEEEEEREEKEEEMEMIEMEMEEKEKKEEEKEEEENGAESEEPTFIMQQELLINPFQSQVILVTIVCLIFCLIRLLLIFTCLPDCVVPRPMEWKPYWFRYYTAQDRDANSCLFDTCKDRRGCRTCFIGPEYPRRTLTGGPAYNCIFIAAHIIHGIKSCPTVACGVKYSHDWVLQIYNSCDGSCSGNEFNYMKKEAHWSRIAISATFENEPGVWMNEGIGYFKPHVMQVDQLYINHTFLCKKGWARATRSLAVDWTMFRLNSGRPIVDGRLENHLNVYENIFDVDEEDPLFRANLSSGLLLLWLECLRLKLVGGVALPLSQARWERAFPRAAEM
+>sp|P07492|GRP_HUMAN Gastrin-releasing peptide OS=Homo sapiens OX=9606 GN=GRP PE=1 SV=2
+MRGRELPLVLLALVLCLAPRGRAVPLPAGGGTVLTKMYPRGNHWAVGHLMGKKSTGESSSVSERGSLKQQLREYIRWEEAARNLLGLIEAKENRNHQPPQPKALGNQQPSWDSEDSSNFKDVGSKGKVGRLSAPGSQREGRNPQLNQQ
+>DECOY_sp|P07492|GRP_HUMAN Gastrin-releasing peptide OS=Homo sapiens OX=9606 GN=GRP PE=1 SV=2
+QQNLQPNRGERQSGPASLRGVKGKSGVDKFNSSDESDWSPQQNGLAKPQPPQHNRNEKAEILGLLNRAAEEWRIYERLQQKLSGRESVSSSEGTSKKGMLHGVAWHNGRPYMKTLVTGGGAPLPVARGRPALCLVLALLVLPLERGRM
+>sp|Q9NRJ2|GSAS1_HUMAN Putative uncharacterized protein GSN-AS1 OS=Homo sapiens OX=9606 GN=GSN-AS1 PE=5 SV=1
+MTHPLPHDSHTSGAPPLVNKSRDLANGPPFFSPLQSLWEEFLHLLFMGTLLFYRIATKALRGKATLLKSHSKQPAQPGWEPGIRAPSPVPASSLQDHSRLTSLSRTGKEQRRTLSLIRKTSGTPTESTVATAAASTTEVPSRLPWAARAGFKRTTGVCIALPT
+>DECOY_sp|Q9NRJ2|GSAS1_HUMAN Putative uncharacterized protein GSN-AS1 OS=Homo sapiens OX=9606 GN=GSN-AS1 PE=5 SV=1
+TPLAICVGTTRKFGARAAWPLRSPVETTSAAATAVTSETPTGSTKRILSLTRRQEKGTRSLSTLRSHDQLSSAPVPSPARIGPEWGPQAPQKSHSKLLTAKGRLAKTAIRYFLLTGMFLLHLFEEWLSQLPSFFPPGNALDRSKNVLPPAGSTHSDHPLPHTM
+>sp|Q96QA5|GSDMA_HUMAN Gasdermin-A OS=Homo sapiens OX=9606 GN=GSDMA PE=1 SV=4
+MTMFENVTRALARQLNPRGDLTPLDSLIDFKRFHPFCLVLRKRKSTLFWGARYVRTDYTLLDVLEPGSSPSDPTDTGNFGFKNMLDTRVEGDVDVPKTVKVKGTAGLSQNSTLEVQTLSVAPKALETVQERKLAADHPFLKEMQDQGENLYVVMEVVETVQEVTLERAGKAEACFSLPFFAPLGLQGSINHKEAVTIPKGCVLAFRVRQLMVKGKDEWDIPHICNDNMQTFPPGEKSGEEKVILIQASDVGDVHEGFRTLKEEVQRETQQVEKLSRVGQSSLLSSLSKLLGKKKELQDLELALEGALDKGHEVTLEALPKDVLLSKEAVGAILYFVGALTELSEAQQKLLVKSMEKKILPVQLKLVESTMEQNFLLDKEGVFPLQPELLSSLGDEELTLTEALVGLSGLEVQRSGPQYMWDPDTLPRLCALYAGLSLLQQLTKAS
+>DECOY_sp|Q96QA5|GSDMA_HUMAN Gasdermin-A OS=Homo sapiens OX=9606 GN=GSDMA PE=1 SV=4
+SAKTLQQLLSLGAYLACLRPLTDPDWMYQPGSRQVELGSLGVLAETLTLEEDGLSSLLEPQLPFVGEKDLLFNQEMTSEVLKLQVPLIKKEMSKVLLKQQAESLETLAGVFYLIAGVAEKSLLVDKPLAELTVEHGKDLAGELALELDQLEKKKGLLKSLSSLLSSQGVRSLKEVQQTERQVEEKLTRFGEHVDGVDSAQILIVKEEGSKEGPPFTQMNDNCIHPIDWEDKGKVMLQRVRFALVCGKPITVAEKHNISGQLGLPAFFPLSFCAEAKGARELTVEQVTEVVEMVVYLNEGQDQMEKLFPHDAALKREQVTELAKPAVSLTQVELTSNQSLGATGKVKVTKPVDVDGEVRTDLMNKFGFNGTDTPDSPSSGPELVDLLTYDTRVYRAGWFLTSKRKRLVLCFPHFRKFDILSDLPTLDGRPNLQRALARTVNEFMTM
+>sp|Q9BYG8|GSDMC_HUMAN Gasdermin-C OS=Homo sapiens OX=9606 GN=GSDMC PE=1 SV=3
+MPSMLERISKNLVKEIGSKDLTPVKYLLSATKLRQFVILRKKKDSRSSFWEQSDYVPVEFSLNDILEPSSSVLETVVTGPFHFSDIMIQKHKADMGVNVGIEVSVSGEASVDHGCSLEFQIVTIPSPNLEDFQKRKLLDPEPSFLKECRRRGDNLYVVTEAVELINNTVLYDSSSVNILGKIALWITYGKGQGQGESLRVKKKALTLQKGMVMAYKRKQLVIKEKAILISDDDEQRTFQDEYEISEMVGYCAARSEGLLPSFHTISPTLFNASSNDMKLKPELFLTQQFLSGHLPKYEQVHILPVGRIEEPFWQNFKHLQEEVFQKIKTLAQLSKDVQDVMFYSILAMLRDRGALQDLMNMLELDSSGHLDGPGGAILKKLQQDSNHAWFNPKDPILYLLEAIMVLSDFQHDLLACSMEKRILLQQQELVRSILEPNFRYPWSIPFTLKPELLAPLQSEGLAITYGLLEECGLRMELDNPRSTWDVEAKMPLSALYGTLSLLQQLAEA
+>DECOY_sp|Q9BYG8|GSDMC_HUMAN Gasdermin-C OS=Homo sapiens OX=9606 GN=GSDMC PE=1 SV=3
+AEALQQLLSLTGYLASLPMKAEVDWTSRPNDLEMRLGCEELLGYTIALGESQLPALLEPKLTFPISWPYRFNPELISRVLEQQQLLIRKEMSCALLDHQFDSLVMIAELLYLIPDKPNFWAHNSDQQLKKLIAGGPGDLHGSSDLELMNMLDQLAGRDRLMALISYFMVDQVDKSLQALTKIKQFVEEQLHKFNQWFPEEIRGVPLIHVQEYKPLHGSLFQQTLFLEPKLKMDNSSANFLTPSITHFSPLLGESRAACYGVMESIEYEDQFTRQEDDDSILIAKEKIVLQKRKYAMVMGKQLTLAKKKVRLSEGQGQGKGYTIWLAIKGLINVSSSDYLVTNNILEVAETVVYLNDGRRRCEKLFSPEPDLLKRKQFDELNPSPITVIQFELSCGHDVSAEGSVSVEIGVNVGMDAKHKQIMIDSFHFPGTVVTELVSSSPELIDNLSFEVPVYDSQEWFSSRSDKKKRLIVFQRLKTASLLYKVPTLDKSGIEKVLNKSIRELMSPM
+>sp|Q6UXU4|GSG1L_HUMAN Germ cell-specific gene 1-like protein OS=Homo sapiens OX=9606 GN=GSG1L PE=1 SV=2
+MKTSRRGRALLAVALNLLALLFATTAFLTTHWCQGTQRVPKPGCGQGGRANCPNSGANATANGTAAPAAAAAAATASGNGPPGGALYSWETGDDRFLFRNFHTGIWYSCEEELSGLGEKCRSFIDLAPASEKGVLWLSVVSEVLYILLLVVGFSLMCLELFHSSNVIDGLKLNAFAAVFTVLSGLLGMVAHMMYTQVFQVTVSLGPEDWRPHSWDYGWSFCLAWGSFTCCMAASVTTLNSYTKTVIEFRHKRKVFEQGYREEPTFIDPEAIKYFRERMEKRDGSEEDFHLDCRHERYPARHQPHMADSWPRSSAQEAPELNRQCWVLGHWV
+>DECOY_sp|Q6UXU4|GSG1L_HUMAN Germ cell-specific gene 1-like protein OS=Homo sapiens OX=9606 GN=GSG1L PE=1 SV=2
+VWHGLVWCQRNLEPAEQASSRPWSDAMHPQHRAPYREHRCDLHFDEESGDRKEMRERFYKIAEPDIFTPEERYGQEFVKRKHRFEIVTKTYSNLTTVSAAMCCTFSGWALCFSWGYDWSHPRWDEPGLSVTVQFVQTYMMHAVMGLLGSLVTFVAAFANLKLGDIVNSSHFLELCMLSFGVVLLLIYLVESVVSLWLVGKESAPALDIFSRCKEGLGSLEEECSYWIGTHFNRFLFRDDGTEWSYLAGGPPGNGSATAAAAAAAPAATGNATANAGSNPCNARGGQGCGPKPVRQTGQCWHTTLFATTAFLLALLNLAVALLARGRRSTKM
+>sp|Q2KHT4|GSG1_HUMAN Germ cell-specific gene 1 protein OS=Homo sapiens OX=9606 GN=GSG1 PE=1 SV=2
+MAKMELSKAFSGQRTLLSAILSMLSLSFSTTSLLSNYWFVGTQKVPKPLCEKGLAAKCFDMPVSLDGDTNTSTQEVVQYNWETGDDRFSFRSFRSGMWLSCEETVEEPALLHPQSWKQFRALRSSGTAAAKGERCRSFIELTPPAKREILWLSLGTQITYIGLQFISFLLLLTDLLLTGNPACGLKLSAFAAVSSVLSGLLGMVAHMMYSQVFQATVNLGPEDWRPHVWNYGWAFYMAWLSFTCCMASAVTTFNTYTRMVLEFKCKHSKSFKENPNCLPHHHQCFPRRLSSAAPTVGPLTSYHQYHNQPIHSVSEGVDFYSELRNKGFQRGASQELKEAVRSSVEEEQC
+>DECOY_sp|Q2KHT4|GSG1_HUMAN Germ cell-specific gene 1 protein OS=Homo sapiens OX=9606 GN=GSG1 PE=1 SV=2
+CQEEEVSSRVAEKLEQSAGRQFGKNRLESYFDVGESVSHIPQNHYQHYSTLPGVTPAASSLRRPFCQHHHPLCNPNEKFSKSHKCKFELVMRTYTNFTTVASAMCCTFSLWAMYFAWGYNWVHPRWDEPGLNVTAQFVQSYMMHAVMGLLGSLVSSVAAFASLKLGCAPNGTLLLDTLLLLFSIFQLGIYTIQTGLSLWLIERKAPPTLEIFSRCREGKAAATGSSRLARFQKWSQPHLLAPEEVTEECSLWMGSRFSRFSFRDDGTEWNYQVVEQTSTNTDGDLSVPMDFCKAALGKECLPKPVKQTGVFWYNSLLSTTSFSLSLMSLIASLLTRQGSFAKSLEMKAM
+>sp|Q8NEC7|GSTCD_HUMAN Glutathione S-transferase C-terminal domain-containing protein OS=Homo sapiens OX=9606 GN=GSTCD PE=1 SV=2
+MKAIKKSLTEEEYLYLDFSHQTEGCIFPLHTSVTLFLLSYCDCKIFKICLVVTKEVSRDSSLLRDDLIQDVEIQIISRQELPPIVQNCCLPAVVERSDNFCRAGLAVVLRHIIQKSYEADPLKKELLELLGFKKTCLKACAEVSQWTRLCELTIPLAIENFLRESSDQPPTIPVEILQLEKKLSEPVRVHNDDKLRRQKLKQQKADGVGPPLTKGKAKSKVHTQETSEGLDSSSKSLELKVAFSKLTVQEEPATTNREPSHIRKAKASDLPPLEHVFAEGLYFTLADIVLLPCIHHFLVIISRKFSEKLVEFPLLASWYQRIQEVPGVKTAASKCGIQFLHLPKLLTTSTEQHPNLCEVPGVEEQSDPLFIGGPRPTMAKLMEKGIEVMFSPHPCPTWTLDWNVLPAAVSPKEGKMSSDRALRKQQQLNNLVYVVTNQAKPGDRIVDFCSGGGHVGIVLAHMLPSCQVTLIENKELSLIRAKKRSDELGLSNIWFIQANMEYFTGMFNIGVALHACGVATDMVIEHCIKTRASFVTCPCCYGFIQNTSKFNFPKSEQFKKTLSYKEHMILCRFADQTAVQLPPQRRLIGKQCMCLVDLDRARAAEECGYSVQVISMEPESCSPKNNMIVGVPI
+>DECOY_sp|Q8NEC7|GSTCD_HUMAN Glutathione S-transferase C-terminal domain-containing protein OS=Homo sapiens OX=9606 GN=GSTCD PE=1 SV=2
+IPVGVIMNNKPSCSEPEMSIVQVSYGCEEAARARDLDVLCMCQKGILRRQPPLQVATQDAFRCLIMHEKYSLTKKFQESKPFNFKSTNQIFGYCCPCTVFSARTKICHEIVMDTAVGCAHLAVGINFMGTFYEMNAQIFWINSLGLEDSRKKARILSLEKNEILTVQCSPLMHALVIGVHGGGSCFDVIRDGPKAQNTVVYVLNNLQQQKRLARDSSMKGEKPSVAAPLVNWDLTWTPCPHPSFMVEIGKEMLKAMTPRPGGIFLPDSQEEVGPVECLNPHQETSTTLLKPLHLFQIGCKSAATKVGPVEQIRQYWSALLPFEVLKESFKRSIIVLFHHICPLLVIDALTFYLGEAFVHELPPLDSAKAKRIHSPERNTTAPEEQVTLKSFAVKLELSKSSSDLGESTEQTHVKSKAKGKTLPPGVGDAKQQKLKQRRLKDDNHVRVPESLKKELQLIEVPITPPQDSSERLFNEIALPITLECLRTWQSVEACAKLCTKKFGLLELLEKKLPDAEYSKQIIHRLVVALGARCFNDSREVVAPLCCNQVIPPLEQRSIIQIEVDQILDDRLLSSDRSVEKTVVLCIKFIKCDCYSLLFLTVSTHLPFICGETQHSFDLYLYEEETLSKKIAKM
+>sp|P09211|GSTP1_HUMAN Glutathione S-transferase P OS=Homo sapiens OX=9606 GN=GSTP1 PE=1 SV=2
+MPPYTVVYFPVRGRCAALRMLLADQGQSWKEEVVTVETWQEGSLKASCLYGQLPKFQDGDLTLYQSNTILRHLGRTLGLYGKDQQEAALVDMVNDGVEDLRCKYISLIYTNYEAGKDDYVKALPGQLKPFETLLSQNQGGKTFIVGDQISFADYNLLDLLLIHEVLAPGCLDAFPLLSAYVGRLSARPKLKAFLASPEYVNLPINGNGKQ
+>DECOY_sp|P09211|GSTP1_HUMAN Glutathione S-transferase P OS=Homo sapiens OX=9606 GN=GSTP1 PE=1 SV=2
+QKGNGNIPLNVYEPSALFAKLKPRASLRGVYASLLPFADLCGPALVEHILLLDLLNYDAFSIQDGVIFTKGGQNQSLLTEFPKLQGPLAKVYDDKGAEYNTYILSIYKCRLDEVGDNVMDVLAAEQQDKGYLGLTRGLHRLITNSQYLTLDGDQFKPLQGYLCSAKLSGEQWTEVTVVEEKWSQGQDALLMRLAACRGRVPFYVVTYPPM
+>sp|A0A1W2PR19|GSTT4_HUMAN Glutathione S-transferase theta-4 OS=Homo sapiens OX=9606 GN=GSTT4 PE=3 SV=1
+MALELYMDLLSAPCRAVYIFSKKHDIQFNFQFVDLLKGHHHSKGYIDINPLRKLPSLKDGKFILSESAAILYYLCRKYSAPSHWCPPDPHARARVDEFVAWQHTAFQLPMKKIVWLKLLIPKITGEEVSAEKMEHAVEEVKNSLQLFEEYFLQDKMFITGNQISLADLVAVVEMMQPMAANYNVFLNSSKLAEWRMQVELNIGSGLFREAHDRLMQLADWDFSTLDSMVKENISELLKKSR
+>DECOY_sp|A0A1W2PR19|GSTT4_HUMAN Glutathione S-transferase theta-4 OS=Homo sapiens OX=9606 GN=GSTT4 PE=3 SV=1
+RSKKLLESINEKVMSDLTSFDWDALQMLRDHAERFLGSGINLEVQMRWEALKSSNLFVNYNAAMPQMMEVVAVLDALSIQNGTIFMKDQLFYEEFLQLSNKVEEVAHEMKEASVEEGTIKPILLKLWVIKKMPLQFATHQWAVFEDVRARAHPDPPCWHSPASYKRCLYYLIAASESLIFKGDKLSPLKRLPNIDIYGKSHHHGKLLDVFQFNFQIDHKKSFIYVARCPASLLDMYLELAM
+>sp|Q8NBJ5|GT251_HUMAN Procollagen galactosyltransferase 1 OS=Homo sapiens OX=9606 GN=COLGALT1 PE=1 SV=1
+MAAAPRAGRRRGQPLLALLLLLLAPLPPGAPPGADAYFPEERWSPESPLQAPRVLIALLARNAAHALPTTLGALERLRHPRERTALWVATDHNMDNTSTVLREWLVAVKSLYHSVEWRPAEEPRSYPDEEGPKHWSDSRYEHVMKLRQAALKSARDMWADYILFVDADNLILNPDTLSLLIAENKTVVAPMLDSRAAYSNFWCGMTSQGYYKRTPAYIPIRKRDRRGCFAVPMVHSTFLIDLRKAASRNLAFYPPHPDYTWSFDDIIVFAFSCKQAEVQMYVCNKEEYGFLPVPLRAHSTLQDEAESFMHVQLEVMVKHPPAEPSRFISAPTKTPDKMGFDEVFMINLRRRQDRRERMLRALQAQEIECRLVEAVDGKAMNTSQVEALGIQMLPGYRDPYHGRPLTKGELGCFLSHYNIWKEVVDRGLQKSLVFEDDLRFEIFFKRRLMNLMRDVEREGLDWDLIYVGRKRMQVEHPEKAVPRVRNLVEADYSYWTLAYVISLQGARKLLAAEPLSKMLPVDEFLPVMFDKHPVSEYKAHFSLRNLHAFSVEPLLIYPTHYTGDDGYVSDTETSVVWNNEHVKTDWDRAKSQKMREQQALSREAKNSDVLQSPLDSAARDEL
+>DECOY_sp|Q8NBJ5|GT251_HUMAN Procollagen galactosyltransferase 1 OS=Homo sapiens OX=9606 GN=COLGALT1 PE=1 SV=1
+LEDRAASDLPSQLVDSNKAERSLAQQERMKQSKARDWDTKVHENNWVVSTETDSVYGDDGTYHTPYILLPEVSFAHLNRLSFHAKYESVPHKDFMVPLFEDVPLMKSLPEAALLKRAGQLSIVYALTWYSYDAEVLNRVRPVAKEPHEVQMRKRGVYILDWDLGEREVDRMLNMLRRKFFIEFRLDDEFVLSKQLGRDVVEKWINYHSLFCGLEGKTLPRGHYPDRYGPLMQIGLAEVQSTNMAKGDVAEVLRCEIEQAQLARLMRERRDQRRRLNIMFVEDFGMKDPTKTPASIFRSPEAPPHKVMVELQVHMFSEAEDQLTSHARLPVPLFGYEEKNCVYMQVEAQKCSFAFVIIDDFSWTYDPHPPYFALNRSAAKRLDILFTSHVMPVAFCGRRDRKRIPIYAPTRKYYGQSTMGCWFNSYAARSDLMPAVVTKNEAILLSLTDPNLILNDADVFLIYDAWMDRASKLAAQRLKMVHEYRSDSWHKPGEEDPYSRPEEAPRWEVSHYLSKVAVLWERLVTSTNDMNHDTAVWLATRERPHRLRELAGLTTPLAHAANRALLAILVRPAQLPSEPSWREEPFYADAGPPAGPPLPALLLLLLALLPQGRRRGARPAAAM
+>sp|Q86UP8|GTD2A_HUMAN General transcription factor II-I repeat domain-containing protein 2A OS=Homo sapiens OX=9606 GN=GTF2IRD2 PE=1 SV=2
+MAQVAVSTLPVEEESSSETRMVVTFLVSALESMCKELAKSKAEVACIAVYETDVFVVGTERGCAFVNARTDFQKDFAKYCVAEGLCEVKPPCPVNGMQVHSGETEILRKAVEDYFCFCYGKALGTTVMVPVPYEKMLRDQSAVVVQGLPEGVAFQHPENYDLATLKWILENKAGISFIINRPFLGPESQLGGPGMVTDAERSIVSPSESCGPINVKTEPMEDSGISLKAEAVSVKKESEDPNYYQYNMQGSHPSSTSNEVIEMELPMEDSTPLVPSEEPNEDPEAEVKIEGNTNSSSVTNSAAGVEDLNIVQVTVPDNEKERLSSIEKIKQLREQVNDLFSRKFGEAIGVDFPVKVPYRKITFNPGCVVIDGMPPGVVFKAPGYLEISSMRRILEAAEFIKFTVIRPLPGLELSNVGKRKIDQEGRVFQEKWERAYFFVEVQNIPTCLICKQSMSVSKEYNLRRHYQTNHSKHYDQYMERMRDEKLHELKKGLRKYLLGLSDTECPEQKQVFAHPSPTQKSPVQPVEDLAGNLWEKLREKIRSFVAYSIAIDEITDINNTTQLAIFIRGVDENFDVSEELLDTVPMTGTKSGNEIFSRVEKSLKKFCIDWSKLVSVASTGTPAMVDANNGLVTKLKSRVATFCKGAELKSICCIIHPESLCAQKLKMDHVMDVVVKSVNWICSRGLNHSEFTTLLYELDSQYGSLLYYTEIKWLSRGLVLKRFFESLEEIDSFMSSRGKPLPQLSSIDWIRDLAFLVDMTMHLNALNISLQGHSQIVTQMYDLIRAFLAKLCLWETHLTRNNLAHFPTLKLASRNESDGLNYIPKIAELKTEFQKRLSDFKLYESELTLFSSPFSTKIDSVHEELQMEVIDLQCNTVLKTKYDKVGIPEFYKYLWGSYPKYKHHCAKILSMFGSTYICEQLFSIMKLSKTKYCSQLKDSQWDSVLHIAT
+>DECOY_sp|Q86UP8|GTD2A_HUMAN General transcription factor II-I repeat domain-containing protein 2A OS=Homo sapiens OX=9606 GN=GTF2IRD2 PE=1 SV=2
+TAIHLVSDWQSDKLQSCYKTKSLKMISFLQECIYTSGFMSLIKACHHKYKPYSGWLYKYFEPIGVKDYKTKLVTNCQLDIVEMQLEEHVSDIKTSFPSSFLTLESEYLKFDSLRKQFETKLEAIKPIYNLGDSENRSALKLTPFHALNNRTLHTEWLCLKALFARILDYMQTVIQSHGQLSINLANLHMTMDVLFALDRIWDISSLQPLPKGRSSMFSDIEELSEFFRKLVLGRSLWKIETYYLLSGYQSDLEYLLTTFESHNLGRSCIWNVSKVVVDMVHDMKLKQACLSEPHIICCISKLEAGKCFTAVRSKLKTVLGNNADVMAPTGTSAVSVLKSWDICFKKLSKEVRSFIENGSKTGTMPVTDLLEESVDFNEDVGRIFIALQTTNNIDTIEDIAISYAVFSRIKERLKEWLNGALDEVPQVPSKQTPSPHAFVQKQEPCETDSLGLLYKRLGKKLEHLKEDRMREMYQDYHKSHNTQYHRRLNYEKSVSMSQKCILCTPINQVEVFFYAREWKEQFVRGEQDIKRKGVNSLELGPLPRIVTFKIFEAAELIRRMSSIELYGPAKFVVGPPMGDIVVCGPNFTIKRYPVKVPFDVGIAEGFKRSFLDNVQERLQKIKEISSLREKENDPVTVQVINLDEVGAASNTVSSSNTNGEIKVEAEPDENPEESPVLPTSDEMPLEMEIVENSTSSPHSGQMNYQYYNPDESEKKVSVAEAKLSIGSDEMPETKVNIPGCSESPSVISREADTVMGPGGLQSEPGLFPRNIIFSIGAKNELIWKLTALDYNEPHQFAVGEPLGQVVVASQDRLMKEYPVPVMVTTGLAKGYCFCFYDEVAKRLIETEGSHVQMGNVPCPPKVECLGEAVCYKAFDKQFDTRANVFACGRETGVVFVDTEYVAICAVEAKSKALEKCMSELASVLFTVVMRTESSSEEEVPLTSVAVQAM
+>sp|Q969Y2|GTPB3_HUMAN tRNA modification GTPase GTPBP3, mitochondrial OS=Homo sapiens OX=9606 GN=GTPBP3 PE=1 SV=2
+MWRGLWTLAAQAARGPRRLCTRRSSGAPAPGSGATIFALSSGQGRCGIAVIRTSGPASGHALRILTAPRDLPLARHASLRLLSDPRSGEPLDRALVLWFPGPQSFTGEDCVEFHVHGGPAVVSGVLQALGSVPGLRPAEAGEFTRRAFANGKLNLTEVEGLADLIHAETEAQRRQALRQLDGELGHLCRGWAETLTKALAHVEAYIDFGEDDNLEEGVLEQADIEVRALQVALGAHLRDARRGQRLRSGVHVVVTGPPNAGKSSLVNLLSRKPVSIVSPEPGTTRDVLETPVDLAGFPVLLSDTAGLREGVGPVEQEGVRRARERLEQADLILAMLDASDLASPSSCNFLATVVASVGAQSPSDSSQRLLLVLNKSDLLSPEGPGPGPDLPPHLLLSCLTGEGLDGLLEALRKELAAVCGDPSTDPPLLTRARHQHHLQGCLDALGHYKQSKDLALAAEALRVARGHLTRLTGGGGTEEILDIIFQDFCVGK
+>DECOY_sp|Q969Y2|GTPB3_HUMAN tRNA modification GTPase GTPBP3, mitochondrial OS=Homo sapiens OX=9606 GN=GTPBP3 PE=1 SV=2
+KGVCFDQFIIDLIEETGGGGTLRTLHGRAVRLAEAALALDKSQKYHGLADLCGQLHHQHRARTLLPPDTSPDGCVAALEKRLAELLGDLGEGTLCSLLLHPPLDPGPGPGEPSLLDSKNLVLLLRQSSDSPSQAGVSAVVTALFNCSSPSALDSADLMALILDAQELRERARRVGEQEVPGVGERLGATDSLLVPFGALDVPTELVDRTTGPEPSVISVPKRSLLNVLSSKGANPPGTVVVHVGSRLRQGRRADRLHAGLAVQLARVEIDAQELVGEELNDDEGFDIYAEVHALAKTLTEAWGRCLHGLEGDLQRLAQRRQAETEAHILDALGEVETLNLKGNAFARRTFEGAEAPRLGPVSGLAQLVGSVVAPGGHVHFEVCDEGTFSQPGPFWLVLARDLPEGSRPDSLLRLSAHRALPLDRPATLIRLAHGSAPGSTRIVAIGCRGQGSSLAFITAGSGPAPAGSSRRTCLRRPGRAAQAALTWLGRWM
+>sp|O95528|GTR10_HUMAN Solute carrier family 2, facilitated glucose transporter member 10 OS=Homo sapiens OX=9606 GN=SLC2A10 PE=1 SV=2
+MGHSPPVLPLCASVSLLGGLTFGYELAVISGALLPLQLDFGLSCLEQEFLVGSLLLGALLASLVGGFLIDCYGRKQAILGSNLVLLAGSLTLGLAGSLAWLVLGRAVVGFAISLSSMACCIYVSELVGPRQRGVLVSLYEAGITVGILLSYALNYALAGTPWGWRHMFGWATAPAVLQSLSLLFLPAGTDETATHKDLIPLQGGEAPKLGPGRPRYSFLDLFRARDNMRGRTTVGLGLVLFQQLTGQPNVLCYASTIFSSVGFHGGSSAVLASVGLGAVKVAATLTAMGLVDRAGRRALLLAGCALMALSVSGIGLVSFAVPMDSGPSCLAVPNATGQTGLPGDSGLLQDSSLPPIPRTNEDQREPILSTAKKTKPHPRSGDPSAPPRLALSSALPGPPLPARGHALLRWTALLCLMVFVSAFSFGFGPVTWLVLSEIYPVEIRGRAFAFCNSFNWAANLFISLSFLDLIGTIGLSWTFLLYGLTAVLGLGFIYLFVPETKGQSLAEIDQQFQKRRFTLSFGHRQNSTGIPYSRIEISAAS
+>DECOY_sp|O95528|GTR10_HUMAN Solute carrier family 2, facilitated glucose transporter member 10 OS=Homo sapiens OX=9606 GN=SLC2A10 PE=1 SV=2
+SAASIEIRSYPIGTSNQRHGFSLTFRRKQFQQDIEALSQGKTEPVFLYIFGLGLVATLGYLLFTWSLGITGILDLFSLSIFLNAAWNFSNCFAFARGRIEVPYIESLVLWTVPGFGFSFASVFVMLCLLATWRLLAHGRAPLPPGPLASSLALRPPASPDGSRPHPKTKKATSLIPERQDENTRPIPPLSSDQLLGSDGPLGTQGTANPVALCSPGSDMPVAFSVLGIGSVSLAMLACGALLLARRGARDVLGMATLTAAVKVAGLGVSALVASSGGHFGVSSFITSAYCLVNPQGTLQQFLVLGLGVTTRGRMNDRARFLDLFSYRPRGPGLKPAEGGQLPILDKHTATEDTGAPLFLLSLSQLVAPATAWGFMHRWGWPTGALAYNLAYSLLIGVTIGAEYLSVLVGRQRPGVLESVYICCAMSSLSIAFGVVARGLVLWALSGALGLTLSGALLVLNSGLIAQKRGYCDILFGGVLSALLAGLLLSGVLFEQELCSLGFDLQLPLLAGSIVALEYGFTLGGLLSVSACLPLVPPSHGM
+>sp|Q9BYW1|GTR11_HUMAN Solute carrier family 2, facilitated glucose transporter member 11 OS=Homo sapiens OX=9606 GN=SLC2A11 PE=2 SV=1
+MRALRRLIQGRILLLTICAAGIGGTFQFGYNLSIINAPTLHIQEFTNETWQARTGEPLPDHLVLLMWSLIVSLYPLGGLFGALLAGPLAITLGRKKSLLVNNIFVVSAAILFGFSRKAGSFEMIMLGRLLVGVNAGVSMNIQPMYLGESAPKELRGAVAMSSAIFTALGIVMGQVVGLRELLGGPQAWPLLLASCLVPGALQLASLPLLPESPRYLLIDCGDTEACLAALRRLRGSGDLAGELEELEEERAACQGCRARRPWELFQHRALRRQVTSLVVLGSAMELCGNDSVYAYASSVFRKAGVPEAKIQYAIIGTGSCELLTAVVSCVVIERVGRRVLLIGGYSLMTCWGSIFTVALCLQSSFPWTLYLAMACIFAFILSFGIGPAGVTGILATELFDQMARPAACMVCGALMWIMLILVGLGFPFIMEALSHFLYVPFLGVCVCGAIYTGLFLPETKGKTFQEISKELHRLNFPRRAQGPTWRSLEVIQSTEL
+>DECOY_sp|Q9BYW1|GTR11_HUMAN Solute carrier family 2, facilitated glucose transporter member 11 OS=Homo sapiens OX=9606 GN=SLC2A11 PE=2 SV=1
+LETSQIVELSRWTPGQARRPFNLRHLEKSIEQFTKGKTEPLFLGTYIAGCVCVGLFPVYLFHSLAEMIFPFGLGVLILMIWMLAGCVMCAAPRAMQDFLETALIGTVGAPGIGFSLIFAFICAMALYLTWPFSSQLCLAVTFISGWCTMLSYGGILLVRRGVREIVVCSVVATLLECSGTGIIAYQIKAEPVGAKRFVSSAYAYVSDNGCLEMASGLVVLSTVQRRLARHQFLEWPRRARCGQCAAREEELEELEGALDGSGRLRRLAALCAETDGCDILLYRPSEPLLPLSALQLAGPVLCSALLLPWAQPGGLLERLGVVQGMVIGLATFIASSMAVAGRLEKPASEGLYMPQINMSVGANVGVLLRGLMIMEFSGAKRSFGFLIAASVVFINNVLLSKKRGLTIALPGALLAGFLGGLPYLSVILSWMLLVLHDPLPEGTRAQWTENTFEQIHLTPANIISLNYGFQFTGGIGAACITLLLIRGQILRRLARM
+>sp|Q8TD20|GTR12_HUMAN Solute carrier family 2, facilitated glucose transporter member 12 OS=Homo sapiens OX=9606 GN=SLC2A12 PE=2 SV=1
+MVPVENTEGPSLLNQKGTAVETEGSGSRHPPWARGCGMFTFLSSVTAAVSGLLVGYELGIISGALLQIKTLLALSCHEQEMVVSSLVIGALLASLTGGVLIDRYGRRTAIILSSCLLGLGSLVLILSLSYTVLIVGRIAIGVSISLSSIATCVYIAEIAPQHRRGLLVSLNELMIVIGILSAYISNYAFANVFHGWKYMFGLVIPLGVLQAIAMYFLPPSPRFLVMKGQEGAASKVLGRLRALSDTTEELTVIKSSLKDEYQYSFWDLFRSKDNMRTRIMIGLTLVFFVQITGQPNILFYASTVLKSVGFQSNEAASLASTGVGVVKVISTIPATLLVDHVGSKTFLCIGSSVMAASLVTMGIVNLNIHMNFTHICRSHNSINQSLDESVIYGPGNLSTNNNTLRDHFKGISSHSRSSLMPLRNDVDKRGETTSASLLNAGLSHTEYQIVTDPGDVPAFLKWLSLASLLVYVAAFSIGLGPMPWLVLSEIFPGGIRGRAMALTSSMNWGINLLISLTFLTVTDLIGLPWVCFIYTIMSLASLLFVVMFIPETKGCSLEQISMELAKVNYVKNNICFMSHHQEELVPKQPQKRKPQEQLLECNKLCGRGQSRQLSPET
+>DECOY_sp|Q8TD20|GTR12_HUMAN Solute carrier family 2, facilitated glucose transporter member 12 OS=Homo sapiens OX=9606 GN=SLC2A12 PE=2 SV=1
+TEPSLQRSQGRGCLKNCELLQEQPKRKQPQKPVLEEQHHSMFCINNKVYNVKALEMSIQELSCGKTEPIFMVVFLLSALSMITYIFCVWPLGILDTVTLFTLSILLNIGWNMSSTLAMARGRIGGPFIESLVLWPMPGLGISFAAVYVLLSALSLWKLFAPVDGPDTVIQYETHSLGANLLSASTTEGRKDVDNRLPMLSSRSHSSIGKFHDRLTNNNTSLNGPGYIVSEDLSQNISNHSRCIHTFNMHINLNVIGMTVLSAAMVSSGICLFTKSGVHDVLLTAPITSIVKVVGVGTSALSAAENSQFGVSKLVTSAYFLINPQGTIQVFFVLTLGIMIRTRMNDKSRFLDWFSYQYEDKLSSKIVTLEETTDSLARLRGLVKSAAGEQGKMVLFRPSPPLFYMAIAQLVGLPIVLGFMYKWGHFVNAFAYNSIYASLIGIVIMLENLSVLLGRRHQPAIEAIYVCTAISSLSISVGIAIRGVILVTYSLSLILVLSGLGLLCSSLIIATRRGYRDILVGGTLSALLAGIVLSSVVMEQEHCSLALLTKIQLLAGSIIGLEYGVLLGSVAATVSSLFTFMGCGRAWPPHRSGSGETEVATGKQNLLSPGETNEVPVM
+>sp|Q8TDB8|GTR14_HUMAN Solute carrier family 2, facilitated glucose transporter member 14 OS=Homo sapiens OX=9606 GN=SLC2A14 PE=2 SV=1
+MEFHNGGHVSGIGGFLVSLTSRMKPHTLAVTPALIFAITVATIGSFQFGYNTGVINAPETIIKEFINKTLTDKANAPPSEVLLTNLWSLSVAIFSVGGMIGSFSVGLFVNRFGRRNSMLIVNLLAATGGCLMGLCKIAESVEMLILGRLVIGLFCGLCTGFVPMYIGEISPTALRGAFGTLNQLGIVIGILVAQIFGLELILGSEELWPVLLGFTILPAILQSAALPCCPESPRFLLINRKKEENATRILQRLWGTQDVSQDIQEMKDESARMSQEKQVTVLELFRVSSYRQPIIISIVLQLSQQLSGINAVFYYSTGIFKDAGVQQPIYATISAGVVNTIFTLLSLFLVERAGRRTLHMIGLGGMAFCSTLMTVSLLLKNHYNGMSFVCIGAILVFVACFEIGPGPIPWFIVAELFSQGPRPAAMAVAGCSNWTSNFLVGLLFPSAAYYLGAYVFIIFTGFLITFLAFTFFKVPETRGRTFEDITRAFEGQAHGADRSGKDGVMGMNSIEPAKETTTNV
+>DECOY_sp|Q8TDB8|GTR14_HUMAN Solute carrier family 2, facilitated glucose transporter member 14 OS=Homo sapiens OX=9606 GN=SLC2A14 PE=2 SV=1
+VNTTTEKAPEISNMGMVGDKGSRDAGHAQGEFARTIDEFTRGRTEPVKFFTFALFTILFGTFIIFVYAGLYYAASPFLLGVLFNSTWNSCGAVAMAAPRPGQSFLEAVIFWPIPGPGIEFCAVFVLIAGICVFSMGNYHNKLLLSVTMLTSCFAMGGLGIMHLTRRGAREVLFLSLLTFITNVVGASITAYIPQQVGADKFIGTSYYFVANIGSLQQSLQLVISIIIPQRYSSVRFLELVTVQKEQSMRASEDKMEQIDQSVDQTGWLRQLIRTANEEKKRNILLFRPSEPCCPLAASQLIAPLITFGLLVPWLEESGLILELGFIQAVLIGIVIGLQNLTGFAGRLATPSIEGIYMPVFGTCLGCFLGIVLRGLILMEVSEAIKCLGMLCGGTAALLNVILMSNRRGFRNVFLGVSFSGIMGGVSFIAVSLSWLNTLLVESPPANAKDTLTKNIFEKIITEPANIVGTNYGFQFSGITAVTIAFILAPTVALTHPKMRSTLSVLFGGIGSVHGGNHFEM
+>sp|P11166|GTR1_HUMAN Solute carrier family 2, facilitated glucose transporter member 1 OS=Homo sapiens OX=9606 GN=SLC2A1 PE=1 SV=2
+MEPSSKKLTGRLMLAVGGAVLGSLQFGYNTGVINAPQKVIEEFYNQTWVHRYGESILPTTLTTLWSLSVAIFSVGGMIGSFSVGLFVNRFGRRNSMLMMNLLAFVSAVLMGFSKLGKSFEMLILGRFIIGVYCGLTTGFVPMYVGEVSPTALRGALGTLHQLGIVVGILIAQVFGLDSIMGNKDLWPLLLSIIFIPALLQCIVLPFCPESPRFLLINRNEENRAKSVLKKLRGTADVTHDLQEMKEESRQMMREKKVTILELFRSPAYRQPILIAVVLQLSQQLSGINAVFYYSTSIFEKAGVQQPVYATIGSGIVNTAFTVVSLFVVERAGRRTLHLIGLAGMAGCAILMTIALALLEQLPWMSYLSIVAIFGFVAFFEVGPGPIPWFIVAELFSQGPRPAAIAVAGFSNWTSNFIVGMCFQYVEQLCGPYVFIIFTVLLVLFFIFTYFKVPETKGRTFDEIASGFRQGGASQSDKTPEELFHPLGADSQV
+>DECOY_sp|P11166|GTR1_HUMAN Solute carrier family 2, facilitated glucose transporter member 1 OS=Homo sapiens OX=9606 GN=SLC2A1 PE=1 SV=2
+VQSDAGLPHFLEEPTKDSQSAGGQRFGSAIEDFTRGKTEPVKFYTFIFFLVLLVTFIIFVYPGCLQEVYQFCMGVIFNSTWNSFGAVAIAAPRPGQSFLEAVIFWPIPGPGVEFFAVFGFIAVISLYSMWPLQELLALAITMLIACGAMGALGILHLTRRGAREVVFLSVVTFATNVIGSGITAYVPQQVGAKEFISTSYYFVANIGSLQQSLQLVVAILIPQRYAPSRFLELITVKKERMMQRSEEKMEQLDHTVDATGRLKKLVSKARNEENRNILLFRPSEPCFPLVICQLLAPIFIISLLLPWLDKNGMISDLGFVQAILIGVVIGLQHLTGLAGRLATPSVEGVYMPVFGTTLGCYVGIIFRGLILMEFSKGLKSFGMLVASVFALLNMMLMSNRRGFRNVFLGVSFSGIMGGVSFIAVSLSWLTTLTTPLISEGYRHVWTQNYFEEIVKQPANIVGTNYGFQLSGLVAGGVALMLRGTLKKSSPEM
+>sp|P11169|GTR3_HUMAN Solute carrier family 2, facilitated glucose transporter member 3 OS=Homo sapiens OX=9606 GN=SLC2A3 PE=1 SV=1
+MGTQKVTPALIFAITVATIGSFQFGYNTGVINAPEKIIKEFINKTLTDKGNAPPSEVLLTSLWSLSVAIFSVGGMIGSFSVGLFVNRFGRRNSMLIVNLLAVTGGCFMGLCKVAKSVEMLILGRLVIGLFCGLCTGFVPMYIGEISPTALRGAFGTLNQLGIVVGILVAQIFGLEFILGSEELWPLLLGFTILPAILQSAALPFCPESPRFLLINRKEEENAKQILQRLWGTQDVSQDIQEMKDESARMSQEKQVTVLELFRVSSYRQPIIISIVLQLSQQLSGINAVFYYSTGIFKDAGVQEPIYATIGAGVVNTIFTVVSLFLVERAGRRTLHMIGLGGMAFCSTLMTVSLLLKDNYNGMSFVCIGAILVFVAFFEIGPGPIPWFIVAELFSQGPRPAAMAVAGCSNWTSNFLVGLLFPSAAHYLGAYVFIIFTGFLITFLAFTFFKVPETRGRTFEDITRAFEGQAHGADRSGKDGVMEMNSIEPAKETTTNV
+>DECOY_sp|P11169|GTR3_HUMAN Solute carrier family 2, facilitated glucose transporter member 3 OS=Homo sapiens OX=9606 GN=SLC2A3 PE=1 SV=1
+VNTTTEKAPEISNMEMVGDKGSRDAGHAQGEFARTIDEFTRGRTEPVKFFTFALFTILFGTFIIFVYAGLYHAASPFLLGVLFNSTWNSCGAVAMAAPRPGQSFLEAVIFWPIPGPGIEFFAVFVLIAGICVFSMGNYNDKLLLSVTMLTSCFAMGGLGIMHLTRRGAREVLFLSVVTFITNVVGAGITAYIPEQVGADKFIGTSYYFVANIGSLQQSLQLVISIIIPQRYSSVRFLELVTVQKEQSMRASEDKMEQIDQSVDQTGWLRQLIQKANEEEKRNILLFRPSEPCFPLAASQLIAPLITFGLLLPWLEESGLIFELGFIQAVLIGVVIGLQNLTGFAGRLATPSIEGIYMPVFGTCLGCFLGIVLRGLILMEVSKAVKCLGMFCGGTVALLNVILMSNRRGFRNVFLGVSFSGIMGGVSFIAVSLSWLSTLLVESPPANGKDTLTKNIFEKIIKEPANIVGTNYGFQFSGITAVTIAFILAPTVKQTGM
+>sp|P14672|GTR4_HUMAN Solute carrier family 2, facilitated glucose transporter member 4 OS=Homo sapiens OX=9606 GN=SLC2A4 PE=1 SV=1
+MPSGFQQIGSEDGEPPQQRVTGTLVLAVFSAVLGSLQFGYNIGVINAPQKVIEQSYNETWLGRQGPEGPSSIPPGTLTTLWALSVAIFSVGGMISSFLIGIISQWLGRKRAMLVNNVLAVLGGSLMGLANAAASYEMLILGRFLIGAYSGLTSGLVPMYVGEIAPTHLRGALGTLNQLAIVIGILIAQVLGLESLLGTASLWPLLLGLTVLPALLQLVLLPFCPESPRYLYIIQNLEGPARKSLKRLTGWADVSGVLAELKDEKRKLERERPLSLLQLLGSRTHRQPLIIAVVLQLSQQLSGINAVFYYSTSIFETAGVGQPAYATIGAGVVNTVFTLVSVLLVERAGRRTLHLLGLAGMCGCAILMTVALLLLERVPAMSYVSIVAIFGFVAFFEIGPGPIPWFIVAELFSQGPRPAAMAVAGFSNWTSNFIIGMGFQYVAEAMGPYVFLLFAVLLLGFFIFTFLRVPETRGRTFDQISAAFHRTPSLLEQEVKPSTELEYLGPDEND
+>DECOY_sp|P14672|GTR4_HUMAN Solute carrier family 2, facilitated glucose transporter member 4 OS=Homo sapiens OX=9606 GN=SLC2A4 PE=1 SV=1
+DNEDPGLYELETSPKVEQELLSPTRHFAASIQDFTRGRTEPVRLFTFIFFGLLLVAFLLFVYPGMAEAVYQFGMGIIFNSTWNSFGAVAMAAPRPGQSFLEAVIFWPIPGPGIEFFAVFGFIAVISVYSMAPVRELLLLAVTMLIACGCMGALGLLHLTRRGAREVLLVSVLTFVTNVVGAGITAYAPQGVGATEFISTSYYFVANIGSLQQSLQLVVAIILPQRHTRSGLLQLLSLPRERELKRKEDKLEALVGSVDAWGTLRKLSKRAPGELNQIIYLYRPSEPCFPLLVLQLLAPLVTLGLLLPWLSATGLLSELGLVQAILIGIVIALQNLTGLAGRLHTPAIEGVYMPVLGSTLGSYAGILFRGLILMEYSAAANALGMLSGGLVALVNNVLMARKRGLWQSIIGILFSSIMGGVSFIAVSLAWLTTLTGPPISSPGEPGQRGLWTENYSQEIVKQPANIVGINYGFQLSGLVASFVALVLTGTVRQQPPEGDESGIQQFGSPM
+>sp|Q9NY64|GTR8_HUMAN Solute carrier family 2, facilitated glucose transporter member 8 OS=Homo sapiens OX=9606 GN=SLC2A8 PE=1 SV=3
+MTPEDPEETQPLLGPPGGSAPRGRRVFLAAFAAALGPLSFGFALGYSSPAIPSLQRAAPPAPRLDDAAASWFGAVVTLGAAAGGVLGGWLVDRAGRKLSLLLCSVPFVAGFAVITAAQDVWMLLGGRLLTGLACGVASLVAPVYISEIAYPAVRGLLGSCVQLMVVVGILLAYLAGWVLEWRWLAVLGCVPPSLMLLLMCFMPETPRFLLTQHRRQEAMAALRFLWGSEQGWEDPPIGAEQSFHLALLRQPGIYKPFIIGVSLMAFQQLSGVNAVMFYAETIFEEAKFKDSSLASVVVGVIQVLFTAVAALIMDRAGRRLLLVLSGVVMVFSTSAFGAYFKLTQGGPGNSSHVAISAPVSAQPVDASVGLAWLAVGSMCLFIAGFAVGWGPIPWLLMSEIFPLHVKGVATGICVLTNWLMAFLVTKEFSSLMEVLRPYGAFWLASAFCIFSVLFTLFCVPETKGKTLEQITAHFEGR
+>DECOY_sp|Q9NY64|GTR8_HUMAN Solute carrier family 2, facilitated glucose transporter member 8 OS=Homo sapiens OX=9606 GN=SLC2A8 PE=1 SV=3
+RGEFHATIQELTKGKTEPVCFLTFLVSFICFASALWFAGYPRLVEMLSSFEKTVLFAMLWNTLVCIGTAVGKVHLPFIESMLLWPIPGWGVAFGAIFLCMSGVALWALGVSADVPQASVPASIAVHSSNGPGGQTLKFYAGFASTSFVMVVGSLVLLLRRGARDMILAAVATFLVQIVGVVVSALSSDKFKAEEFITEAYFMVANVGSLQQFAMLSVGIIFPKYIGPQRLLALHFSQEAGIPPDEWGQESGWLFRLAAMAEQRRHQTLLFRPTEPMFCMLLLMLSPPVCGLVALWRWELVWGALYALLIGVVVMLQVCSGLLGRVAPYAIESIYVPAVLSAVGCALGTLLRGGLLMWVDQAATIVAFGAVFPVSCLLLSLKRGARDVLWGGLVGGAAAGLTVVAGFWSAAADDLRPAPPAARQLSPIAPSSYGLAFGFSLPGLAAAFAALFVRRGRPASGGPPGLLPQTEEPDEPTM
+>sp|Q13491|GPM6B_HUMAN Neuronal membrane glycoprotein M6-b OS=Homo sapiens OX=9606 GN=GPM6B PE=1 SV=2
+MKPAMETAAEENTEQSQERKGCFECCIKCLGGVPYASLVATILCFSGVALFCGCGHVALAGTVAILEQHFSTNASDHALLSEVIQLMQYVIYGIASFFFLYGIILLAEGFYTTSAVKELHGEFKTTACGRCISGMFVFLTYVLGVAWLGVFGFSAVPVFMFYNIWSTCEVIKSPQTNGTTGVEQICVDIRQYGIIPWNAFPGKICGSALENICNTNEFYMSYHLFIVACAGAGATVIALLIYMMATTYNYAVLKFKSREDCCTKF
+>DECOY_sp|Q13491|GPM6B_HUMAN Neuronal membrane glycoprotein M6-b OS=Homo sapiens OX=9606 GN=GPM6B PE=1 SV=2
+FKTCCDERSKFKLVAYNYTTAMMYILLAIVTAGAGACAVIFLHYSMYFENTNCINELASGCIKGPFANWPIIGYQRIDVCIQEVGTTGNTQPSKIVECTSWINYFMFVPVASFGFVGLWAVGLVYTLFVFMGSICRGCATTKFEGHLEKVASTTYFGEALLIIGYLFFFSAIGYIVYQMLQIVESLLAHDSANTSFHQELIAVTGALAVHGCGCFLAVGSFCLITAVLSAYPVGGLCKICCEFCGKREQSQETNEEAATEMAPKM
+>sp|Q99678|GPR20_HUMAN G-protein coupled receptor 20 OS=Homo sapiens OX=9606 GN=GPR20 PE=1 SV=2
+MPSVSPAGPSAGAVPNATAVTTVRTNASGLEVPLFHLFARLDEELHGTFPGLWLALMAVHGAIFLAGLVLNGLALYVFCCRTRAKTPSVIYTINLVVTDLLVGLSLPTRFAVYYGARGCLRCAFPHVLGYFLNMHCSILFLTCICVDRYLAIVRPEGSRRCRQPACARAVCAFVWLAAGAVTLSVLGVTGSRPCCRVFALTVLEFLLPLLVISVFTGRIMCALSRPGLLHQGRQRRVRAMQLLLTVLIIFLVCFTPFHARQVAVALWPDMPHHTSLVVYHVAVTLSSLNSCMDPIVYCFVTSGFQATVRGLFGQHGEREPSSGDVVSMHRSSKGSGRHHILSAGPHALTQALANGPEA
+>DECOY_sp|Q99678|GPR20_HUMAN G-protein coupled receptor 20 OS=Homo sapiens OX=9606 GN=GPR20 PE=1 SV=2
+AEPGNALAQTLAHPGASLIHHRGSGKSSRHMSVVDGSSPEREGHQGFLGRVTAQFGSTVFCYVIPDMCSNLSSLTVAVHYVVLSTHHPMDPWLAVAVQRAHFPTFCVLFIILVTLLLQMARVRRQRGQHLLGPRSLACMIRGTFVSIVLLPLLFELVTLAFVRCCPRSGTVGLVSLTVAGAALWVFACVARACAPQRCRRSGEPRVIALYRDVCICTLFLISCHMNLFYGLVHPFACRLCGRAGYYVAFRTPLSLGVLLDTVVLNITYIVSPTKARTRCCFVYLALGNLVLGALFIAGHVAMLALWLGPFTGHLEEDLRAFLHFLPVELGSANTRVTTVATANPVAGASPGAPSVSPM
+>sp|Q99679|GPR21_HUMAN Probable G-protein coupled receptor 21 OS=Homo sapiens OX=9606 GN=GPR21 PE=2 SV=1
+MNSTLDGNQSSHPFCLLAFGYLETVNFCLLEVLIIVFLTVLIISGNIIVIFVFHCAPLLNHHTTSYFIQTMAYADLFVGVSCVVPSLSLLHHPLPVEESLTCQIFGFVVSVLKSVSMASLACISIDRYIAITKPLTYNTLVTPWRLRLCIFLIWLYSTLVFLPSFFHWGKPGYHGDVFQWCAESWHTDSYFTLFIVMMLYAPAALIVCFTYFNIFRICQQHTKDISERQARFSSQSGETGEVQACPDKRYAMVLFRITSVFYILWLPYIIYFLLESSTGHSNRFASFLTTWLAISNSFCNCVIYSLSNSVFQRGLKRLSGAMCTSCASQTTANDPYTVRSKGPLNGCHI
+>DECOY_sp|Q99679|GPR21_HUMAN Probable G-protein coupled receptor 21 OS=Homo sapiens OX=9606 GN=GPR21 PE=2 SV=1
+IHCGNLPGKSRVTYPDNATTQSACSTCMAGSLRKLGRQFVSNSLSYIVCNCFSNSIALWTTLFSAFRNSHGTSSELLFYIIYPLWLIYFVSTIRFLVMAYRKDPCAQVEGTEGSQSSFRAQRESIDKTHQQCIRFINFYTFCVILAAPAYLMMVIFLTFYSDTHWSEACWQFVDGHYGPKGWHFFSPLFVLTSYLWILFICLRLRWPTVLTNYTLPKTIAIYRDISICALSAMSVSKLVSVVFGFIQCTLSEEVPLPHHLLSLSPVVCSVGVFLDAYAMTQIFYSTTHHNLLPACHFVFIVIINGSIILVTLFVIILVELLCFNVTELYGFALLCFPHSSQNGDLTSNM
+>sp|Q99680|GPR22_HUMAN Probable G-protein coupled receptor 22 OS=Homo sapiens OX=9606 GN=GPR22 PE=2 SV=2
+MCFSPILEINMQSESNITVRDDIDDINTNMYQPLSYPLSFQVSLTGFLMLEIVLGLGSNLTVLVLYCMKSNLINSVSNIITMNLHVLDVIICVGCIPLTIVILLLSLESNTALICCFHEACVSFASVSTAINVFAITLDRYDISVKPANRILTMGRAVMLMISIWIFSFFSFLIPFIEVNFFSLQSGNTWENKTLLCVSTNEYYTELGMYYHLLVQIPIFFFTVVVMLITYTKILQALNIRIGTRFSTGQKKKARKKKTISLTTQHEATDMSQSSGGRNVVFGVRTSVSVIIALRRAVKRHRERRERQKRVFRMSLLIISTFLLCWTPISVLNTTILCLGPSDLLVKLRLCFLVMAYGTTIFHPLLYAFTRQKFQKVLKSKMKKRVVSIVEADPLPNNAVIHNSWIDPKRNKKITFEDSEIREKCLVPQVVTD
+>DECOY_sp|Q99680|GPR22_HUMAN Probable G-protein coupled receptor 22 OS=Homo sapiens OX=9606 GN=GPR22 PE=2 SV=2
+DTVVQPVLCKERIESDEFTIKKNRKPDIWSNHIVANNPLPDAEVISVVRKKMKSKLVKQFKQRTFAYLLPHFITTGYAMVLFCLRLKVLLDSPGLCLITTNLVSIPTWCLLFTSIILLSMRFVRKQRERRERHRKVARRLAIIVSVSTRVGFVVNRGGSSQSMDTAEHQTTLSITKKKRAKKKQGTSFRTGIRINLAQLIKTYTILMVVVTFFFIPIQVLLHYYMGLETYYENTSVCLLTKNEWTNGSQLSFFNVEIFPILFSFFSFIWISIMLMVARGMTLIRNAPKVSIDYRDLTIAFVNIATSVSAFSVCAEHFCCILATNSELSLLLIVITLPICGVCIIVDLVHLNMTIINSVSNILNSKMCYLVLVTLNSGLGLVIELMLFGTLSVQFSLPYSLPQYMNTNIDDIDDRVTINSESQMNIELIPSFCM
+>sp|O00155|GPR25_HUMAN Probable G-protein coupled receptor 25 OS=Homo sapiens OX=9606 GN=GPR25 PE=1 SV=2
+MAPTEPWSPSPGSAPWDYSGLDGLEELELCPAGDLPYGYVYIPALYLAAFAVGLLGNAFVVWLLAGRRGPRRLVDTFVLHLAAADLGFVLTLPLWAAAAALGGRWPFGDGLCKLSSFALAGTRCAGALLLAGMSVDRYLAVVKLLEARPLRTPRCALASCCGVWAVALLAGLPSLVYRGLQPLPGGQDSQCGEEPSHAFQGLSLLLLLLTFVLPLVVTLFCYCRISRRLRRPPHVGRARRNSLRIIFAIESTFVGSWLPFSALRAVFHLARLGALPLPCPLLLALRWGLTIATCLAFVNSCANPLIYLLLDRSFRARALDGACGRTGRLARRISSASSLSRDDSSVFRCRAQAANTASASW
+>DECOY_sp|O00155|GPR25_HUMAN Probable G-protein coupled receptor 25 OS=Homo sapiens OX=9606 GN=GPR25 PE=1 SV=2
+WSASATNAAQARCRFVSSDDRSLSSASSIRRALRGTRGCAGDLARARFSRDLLLYILPNACSNVFALCTAITLGWRLALLLPCPLPLAGLRALHFVARLASFPLWSGVFTSEIAFIIRLSNRRARGVHPPRRLRRSIRCYCFLTVVLPLVFTLLLLLLSLGQFAHSPEEGCQSDQGGPLPQLGRYVLSPLGALLAVAWVGCCSALACRPTRLPRAELLKVVALYRDVSMGALLLAGACRTGALAFSSLKCLGDGFPWRGGLAAAAAWLPLTLVFGLDAAALHLVFTDVLRRPGRRGALLWVVFANGLLGVAFAALYLAPIYVYGYPLDGAPCLELEELGDLGSYDWPASGPSPSWPETPAM
+>sp|Q9NS67|GPR27_HUMAN Probable G-protein coupled receptor 27 OS=Homo sapiens OX=9606 GN=GPR27 PE=2 SV=1
+MANASEPGGSGGGEAAALGLKLATLSLLLCVSLAGNVLFALLIVRERSLHRAPYYLLLDLCLADGLRALACLPAVMLAARRAAAAAGAPPGALGCKLLAFLAALFCFHAAFLLLGVGVTRYLAIAHHRFYAERLAGWPCAAMLVCAAWALALAAAFPPVLDGGGDDEDAPCALEQRPDGAPGALGFLLLLAVVVGATHLVYLRLLFFIHDRRKMRPARLVPAVSHDWTFHGPGATGQAAANWTAGFGRGPTPPALVGIRPAGPGRGARRLLVLEEFKTEKRLCKMFYAVTLLFLLLWGPYVVASYLRVLVRPGAVPQAYLTASVWLTFAQAGINPVVCFLFNRELRDCFRAQFPCCQSPRTTQATHPCDLKGIGL
+>DECOY_sp|Q9NS67|GPR27_HUMAN Probable G-protein coupled receptor 27 OS=Homo sapiens OX=9606 GN=GPR27 PE=2 SV=1
+LGIGKLDCPHTAQTTRPSQCCPFQARFCDRLERNFLFCVVPNIGAQAFTLWVSATLYAQPVAGPRVLVRLYSAVVYPGWLLLFLLTVAYFMKCLRKETKFEELVLLRRAGRGPGAPRIGVLAPPTPGRGFGATWNAAAQGTAGPGHFTWDHSVAPVLRAPRMKRRDHIFFLLRLYVLHTAGVVVALLLLFGLAGPAGDPRQELACPADEDDGGGDLVPPFAAALALAWAACVLMAACPWGALREAYFRHHAIALYRTVGVGLLLFAAHFCFLAALFALLKCGLAGPPAGAAAAARRAALMVAPLCALARLGDALCLDLLLYYPARHLSRERVILLAFLVNGALSVCLLLSLTALKLGLAAAEGGGSGGPESANAM
+>sp|O75388|GPR32_HUMAN Probable G-protein coupled receptor 32 OS=Homo sapiens OX=9606 GN=GPR32 PE=2 SV=1
+MNGVSEGTRGCSDRQPGVLTRDRSCSRKMNSSGCLSEEVGSLRPLTVVILSASIVVGVLGNGLVLWMTVFRMARTVSTVCFFHLALADFMLSLSLPIAMYYIVSRQWLLGEWACKLYITFVFLSYFASNCLLVFISVDRCISVLYPVWALNHRTVQRASWLAFGVWLLAAALCSAHLKFRTTRKWNGCTHCYLAFNSDNETAQIWIEGVVEGHIIGTIGHFLLGFLGPLAIIGTCAHLIRAKLLREGWVHANRPKRLLLVLVSAFFIFWSPFNVVLLVHLWRRVMLKEIYHPRMLLILQASFALGCVNSSLNPFLYVFVGRDFQEKFFQSLTSALARAFGEEEFLSSCPRGNAPRE
+>DECOY_sp|O75388|GPR32_HUMAN Probable G-protein coupled receptor 32 OS=Homo sapiens OX=9606 GN=GPR32 PE=2 SV=1
+ERPANGRPCSSLFEEEGFARALASTLSQFFKEQFDRGVFVYLFPNLSSNVCGLAFSAQLILLMRPHYIEKLMVRRWLHVLLVVNFPSWFIFFASVLVLLLRKPRNAHVWGERLLKARILHACTGIIALPGLFGLLFHGITGIIHGEVVGEIWIQATENDSNFALYCHTCGNWKRTTRFKLHASCLAAALLWVGFALWSARQVTRHNLAWVPYLVSICRDVSIFVLLCNSAFYSLFVFTIYLKCAWEGLLWQRSVIYYMAIPLSLSLMFDALALHFFCVTSVTRAMRFVTMWLVLGNGLVGVVISASLIVVTLPRLSGVEESLCGSSNMKRSCSRDRTLVGPQRDSCGRTGESVGNM
+>sp|Q9UPC5|GPR34_HUMAN Probable G-protein coupled receptor 34 OS=Homo sapiens OX=9606 GN=GPR34 PE=2 SV=2
+MRSHTITMTTTSVSSWPYSSHRMRFITNHSDQPPQNFSATPNVTTCPMDEKLLSTVLTTSYSVIFIVGLVGNIIALYVFLGIHRKRNSIQIYLLNVAIADLLLIFCLPFRIMYHINQNKWTLGVILCKVVGTLFYMNMYISIILLGFISLDRYIKINRSIQQRKAITTKQSIYVCCIVWMLALGGFLTMIILTLKKGGHNSTMCFHYRDKHNAKGEAIFNFILVVMFWLIFLLIILSYIKIGKNLLRISKRRSKFPNSGKYATTARNSFIVLIIFTICFVPYHAFRFIYISSQLNVSSCYWKEIVHKTNEIMLVLSSFNSCLDPVMYFLMSSNIRKIMCQLLFRRFQGEPSRSESTSEFKPGYSLHDTSVAVKIQSSSKST
+>DECOY_sp|Q9UPC5|GPR34_HUMAN Probable G-protein coupled receptor 34 OS=Homo sapiens OX=9606 GN=GPR34 PE=2 SV=2
+TSKSSSQIKVAVSTDHLSYGPKFESTSESRSPEGQFRRFLLQCMIKRINSSMLFYMVPDLCSNFSSLVLMIENTKHVIEKWYCSSVNLQSSIYIFRFAHYPVFCITFIILVIFSNRATTAYKGSNPFKSRRKSIRLLNKGIKIYSLIILLFILWFMVVLIFNFIAEGKANHKDRYHFCMTSNHGGKKLTLIIMTLFGGLALMWVICCVYISQKTTIAKRQQISRNIKIYRDLSIFGLLIISIYMNMYFLTGVVKCLIVGLTWKNQNIHYMIRFPLCFILLLDAIAVNLLYIQISNRKRHIGLFVYLAIINGVLGVIFIVSYSTTLVTSLLKEDMPCTTVNPTASFNQPPQDSHNTIFRMRHSSYPWSSVSTTTMTITHSRM
+>sp|O15354|GPR37_HUMAN Prosaposin receptor GPR37 OS=Homo sapiens OX=9606 GN=GPR37 PE=1 SV=2
+MRAPGALLARMSRLLLLLLLKVSASSALGVAPASRNETCLGESCAPTVIQRRGRDAWGPGNSARDVLRARAPREEQGAAFLAGPSWDLPAAPGRDPAAGRGAEASAAGPPGPPTRPPGPWRWKGARGQEPSETLGRGNPTALQLFLQISEEEEKGPRGAGISGRSQEQSVKTVPGASDLFYWPRRAGKLQGSHHKPLSKTANGLAGHEGWTIALPGRALAQNGSLGEGIHEPGGPRRGNSTNRRVRLKNPFYPLTQESYGAYAVMCLSVVIFGTGIIGNLAVMCIVCHNYYMRSISNSLLANLAFWDFLIIFFCLPLVIFHELTKKWLLEDFSCKIVPYIEVASLGVTTFTLCALCIDRFRAATNVQMYYEMIENCSSTTAKLAVIWVGALLLALPEVVLRQLSKEDLGFSGRAPAERCIIKISPDLPDTIYVLALTYDSARLWWYFGCYFCLPTLFTITCSLVTARKIRKAEKACTRGNKRQIQLESQMNCTVVALTILYGFCIIPENICNIVTAYMATGVSQQTMDLLNIISQFLLFFKSCVTPVLLFCLCKPFSRAFMECCCCCCEECIQKSSTVTSDDNDNEYTTELELSPFSTIRREMSTFASVGTHC
+>DECOY_sp|O15354|GPR37_HUMAN Prosaposin receptor GPR37 OS=Homo sapiens OX=9606 GN=GPR37 PE=1 SV=2
+CHTGVSAFTSMERRITSFPSLELETTYENDNDDSTVTSSKQICEECCCCCCEMFARSFPKCLCFLLVPTVCSKFFLLFQSIINLLDMTQQSVGTAMYATVINCINEPIICFGYLITLAVVTCNMQSELQIQRKNGRTCAKEAKRIKRATVLSCTITFLTPLCFYCGFYWWLRASDYTLALVYITDPLDPSIKIICREAPARGSFGLDEKSLQRLVVEPLALLLAGVWIVALKATTSSCNEIMEYYMQVNTAARFRDICLACLTFTTVGLSAVEIYPVIKCSFDELLWKKTLEHFIVLPLCFFIILFDWFALNALLSNSISRMYYNHCVICMVALNGIIGTGFIVVSLCMVAYAGYSEQTLPYFPNKLRVRRNTSNGRRPGGPEHIGEGLSGNQALARGPLAITWGEHGALGNATKSLPKHHSGQLKGARRPWYFLDSAGPVTKVSQEQSRGSIGAGRPGKEEEESIQLFLQLATPNGRGLTESPEQGRAGKWRWPGPPRTPPGPPGAASAEAGRGAAPDRGPAAPLDWSPGALFAAGQEERPARARLVDRASNGPGWADRGRRQIVTPACSEGLCTENRSAPAVGLASSASVKLLLLLLLRSMRALLAGPARM
+>sp|O43194|GPR39_HUMAN G-protein coupled receptor 39 OS=Homo sapiens OX=9606 GN=GPR39 PE=1 SV=1
+MASPSLPGSDCSQIIDHSHVPEFEVATWIKITLILVYLIIFVMGLLGNSATIRVTQVLQKKGYLQKEVTDHMVSLACSDILVFLIGMPMEFYSIIWNPLTTSSYTLSCKLHTFLFEACSYATLLHVLTLSFERYIAICHPFRYKAVSGPCQVKLLIGFVWVTSALVALPLLFAMGTEYPLVNVPSHRGLTCNRSSTRHHEQPETSNMSICTNLSSRWTVFQSSIFGAFVVYLVVLLSVAFMCWNMMQVLMKSQKGSLAGGTRPPQLRKSESEESRTARRQTIIFLRLIVVTLAVCWMPNQIRRIMAAAKPKHDWTRSYFRAYMILLPFSETFFYLSSVINPLLYTVSSQQFRRVFVQVLCCRLSLQHANHEKRLRVHAHSTTDSARFVQRPLLFASRRQSSARRTEKIFLSTFQSEAEPQSKSQSLSLESLEPNSGAKPANSAAENGFQEHEV
+>DECOY_sp|O43194|GPR39_HUMAN G-protein coupled receptor 39 OS=Homo sapiens OX=9606 GN=GPR39 PE=1 SV=1
+VEHEQFGNEAASNAPKAGSNPELSELSLSQSKSQPEAESQFTSLFIKETRRASSQRRSAFLLPRQVFRASDTTSHAHVRLRKEHNAHQLSLRCCLVQVFVRRFQQSSVTYLLPNIVSSLYFFTESFPLLIMYARFYSRTWDHKPKAAAMIRRIQNPMWCVALTVVILRLFIITQRRATRSEESESKRLQPPRTGGALSGKQSKMLVQMMNWCMFAVSLLVVLYVVFAGFISSQFVTWRSSLNTCISMNSTEPQEHHRTSSRNCTLGRHSPVNVLPYETGMAFLLPLAVLASTVWVFGILLKVQCPGSVAKYRFPHCIAIYREFSLTLVHLLTAYSCAEFLFTHLKCSLTYSSTTLPNWIISYFEMPMGILFVLIDSCALSVMHDTVEKQLYGKKQLVQTVRITASNGLLGMVFIILYVLILTIKIWTAVEFEPVHSHDIIQSCDSGPLSPSAM
+>sp|Q9Y5Y3|GPR45_HUMAN Probable G-protein coupled receptor 45 OS=Homo sapiens OX=9606 GN=GPR45 PE=2 SV=2
+MACNSTSLEAYTYLLLNTSNASDSGSTQLPAPLRISLAIVMLLMTVVGFLGNTVVCIIVYQRPAMRSAINLLLATLAFSDIMLSLCCMPFTAVTLITVRWHFGDHFCRLSATLYWFFVLEGVAILLIISVDRFLIIVQRQDKLNPRRAKVIIAVSWVLSFCIAGPSLTGWTLVEVPARAPQCVLGYTELPADRAYVVTLVVAVFFAPFGVMLCAYMCILNTVRKNAVRVHNQSDSLDLRQLTRAGLRRLQRQQQVSVDLSFKTKAFTTILILFVGFSLCWLPHSVYSLLSVFSQRFYCGSSFYATSTCVLWLSYLKSVFNPIVYCWRIKKFREACIELLPQTFQILPKVPERIRRRIQPSTVYVCNENQSAV
+>DECOY_sp|Q9Y5Y3|GPR45_HUMAN Probable G-protein coupled receptor 45 OS=Homo sapiens OX=9606 GN=GPR45 PE=2 SV=2
+VASQNENCVYVTSPQIRRRIREPVKPLIQFTQPLLEICAERFKKIRWCYVIPNFVSKLYSLWLVCTSTAYFSSGCYFRQSFVSLLSYVSHPLWCLSFGVFLILITTFAKTKFSLDVSVQQQRQLRRLGARTLQRLDLSDSQNHVRVANKRVTNLICMYACLMVGFPAFFVAVVLTVVYARDAPLETYGLVCQPARAPVEVLTWGTLSPGAICFSLVWSVAIIVKARRPNLKDQRQVIILFRDVSIILLIAVGELVFFWYLTASLRCFHDGFHWRVTILTVATFPMCCLSLMIDSFALTALLLNIASRMAPRQYVIICVVTNGLFGVVTMLLMVIALSIRLPAPLQTSGSDSANSTNLLLYTYAELSTSNCAM
+>sp|Q9Y2T5|GPR52_HUMAN G-protein coupled receptor 52 OS=Homo sapiens OX=9606 GN=GPR52 PE=2 SV=2
+MNESRWTEWRILNMSSGIVNVSERHSCPLGFGHYSVVDVCIFETVVIVLLTFLIIAGNLTVIFVFHCAPLLHHYTTSYFIQTMAYADLFVGVSCLVPTLSLLHYSTGVHESLTCQVFGYIISVLKSVSMACLACISVDRYLAITKPLSYNQLVTPCRLRICIILIWIYSCLIFLPSFFGWGKPGYHGDIFEWCATSWLTSAYFTGFIVCLLYAPAAFVVCFTYFHIFKICRQHTKEINDRRARFPSHEVDSSRETGHSPDRRYAMVLFRITSVFYMLWLPYIIYFLLESSRVLDNPTLSFLTTWLAISNSFCNCVIYSLSNSVFRLGLRRLSETMCTSCMCVKDQEAQEPKPRKRANSCSI
+>DECOY_sp|Q9Y2T5|GPR52_HUMAN G-protein coupled receptor 52 OS=Homo sapiens OX=9606 GN=GPR52 PE=2 SV=2
+ISCSNARKRPKPEQAEQDKVCMCSTCMTESLRRLGLRFVSNSLSYIVCNCFSNSIALWTTLFSLTPNDLVRSSELLFYIIYPLWLMYFVSTIRFLVMAYRRDPSHGTERSSDVEHSPFRARRDNIEKTHQRCIKFIHFYTFCVVFAAPAYLLCVIFGTFYASTLWSTACWEFIDGHYGPKGWGFFSPLFILCSYIWILIICIRLRCPTVLQNYSLPKTIALYRDVSICALCAMSVSKLVSIIYGFVQCTLSEHVGTSYHLLSLTPVLCSVGVFLDAYAMTQIFYSTTYHHLLPACHFVFIVTLNGAIILFTLLVIVVTEFICVDVVSYHGFGLPCSHRESVNVIGSSMNLIRWETWRSENM
+>sp|Q9Y2T6|GPR55_HUMAN G-protein coupled receptor 55 OS=Homo sapiens OX=9606 GN=GPR55 PE=1 SV=2
+MSQQNTSGDCLFDGVNELMKTLQFAVHIPTFVLGLLLNLLAIHGFSTFLKNRWPDYAATSIYMINLAVFDLLLVLSLPFKMVLSQVQSPFPSLCTLVECLYFVSMYGSVFTICFISMDRFLAIRYPLLVSHLRSPRKIFGICCTIWVLVWTGSIPIYSFHGKVEKYMCFHNMSDDTWSAKVFFPLEVFGFLLPMGIMGFCCSRSIHILLGRRDHTQDWVQQKACIYSIAASLAVFVVSFLPVHLGFFLQFLVRNSFIVECRAKQSISFFLQLSMCFSNVNCCLDVFCYYFVIKEFRMNIRAHRPSRVQLVLQDTTISRG
+>DECOY_sp|Q9Y2T6|GPR55_HUMAN G-protein coupled receptor 55 OS=Homo sapiens OX=9606 GN=GPR55 PE=1 SV=2
+GRSITTDQLVLQVRSPRHARINMRFEKIVFYYCFVDLCCNVNSFCMSLQLFFSISQKARCEVIFSNRVLFQLFFGLHVPLFSVVFVALSAAISYICAKQQVWDQTHDRRGLLIHISRSCCFGMIGMPLLFGFVELPFFVKASWTDDSMNHFCMYKEVKGHFSYIPISGTWVLVWITCCIGFIKRPSRLHSVLLPYRIALFRDMSIFCITFVSGYMSVFYLCEVLTCLSPFPSQVQSLVMKFPLSLVLLLDFVALNIMYISTAAYDPWRNKLFTSFGHIALLNLLLGLVFTPIHVAFQLTKMLENVGDFLCDGSTNQQSM
+>sp|Q9BZJ8|GPR61_HUMAN G-protein coupled receptor 61 OS=Homo sapiens OX=9606 GN=GPR61 PE=1 SV=2
+MESSPIPQSSGNSSTLGRVPQTPGPSTASGVPEVGLRDVASESVALFFMLLLDLTAVAGNAAVMAVIAKTPALRKFVFVFHLCLVDLLAALTLMPLAMLSSSALFDHALFGEVACRLYLFLSVCFVSLAILSVSAINVERYYYVVHPMRYEVRMTLGLVASVLVGVWVKALAMASVPVLGRVSWEEGAPSVPPGCSLQWSHSAYCQLFVVVFAVLYFLLPLLLILVVYCSMFRVARVAAMQHGPLPTWMETPRQRSESLSSRSTMVTSSGAPQTTPHRTFGGGKAAVVLLAVGGQFLLCWLPYFSFHLYVALSAQPISTGQVESVVTWIGYFCFTSNPFFYGCLNRQIRGELSKQFVCFFKPAPEEELRLPSREGSIEENFLQFLQGTGCPSESWVSRPLPSPKQEPPAVDFRIPGQIAEETSEFLEQQLTSDIIMSDSYLRPAASPRLES
+>DECOY_sp|Q9BZJ8|GPR61_HUMAN G-protein coupled receptor 61 OS=Homo sapiens OX=9606 GN=GPR61 PE=1 SV=2
+SELRPSAAPRLYSDSMIIDSTLQQELFESTEEAIQGPIRFDVAPPEQKPSPLPRSVWSESPCGTGQLFQLFNEEISGERSPLRLEEEPAPKFFCVFQKSLEGRIQRNLCGYFFPNSTFCFYGIWTVVSEVQGTSIPQASLAVYLHFSFYPLWCLLFQGGVALLVVAAKGGGFTRHPTTQPAGSSTVMTSRSSLSESRQRPTEMWTPLPGHQMAAVRAVRFMSCYVVLILLLPLLFYLVAFVVVFLQCYASHSWQLSCGPPVSPAGEEWSVRGLVPVSAMALAKVWVGVLVSAVLGLTMRVEYRMPHVVYYYREVNIASVSLIALSVFCVSLFLYLRCAVEGFLAHDFLASSSLMALPMLTLAALLDVLCLHFVFVFKRLAPTKAIVAMVAANGAVATLDLLLMFFLAVSESAVDRLGVEPVGSATSPGPTQPVRGLTSSNGSSQPIPSSEM
+>sp|Q9NYM4|GPR83_HUMAN Probable G-protein coupled receptor 83 OS=Homo sapiens OX=9606 GN=GPR83 PE=2 SV=2
+MVPHLLLLCLLPLVRATEPHEGRADEQSAEAALAVPNASHFFSWNNYTFSDWQNFVGRRRYGAESQNPTVKALLIVAYSFIIVFSLFGNVLVCHVIFKNQRMHSATSLFIVNLAVADIMITLLNTPFTLVRFVNSTWIFGKGMCHVSRFAQYCSLHVSALTLTAIAVDRHQVIMHPLKPRISITKGVIYIAVIWTMATFFSLPHAICQKLFTFKYSEDIVRSLCLPDFPEPADLFWKYLDLATFILLYILPLLIISVAYARVAKKLWLCNMIGDVTTEQYFALRRKKKKTIKMLMLVVVLFALCWFPLNCYVLLLSSKVIRTNNALYFAFHWFAMSSTCYNPFIYCWLNENFRIELKALLSMCQRPPKPQEDRPPSPVPSFRVAWTEKNDGQRAPLANNLLPTSQLQSGKTDLSSVEPIVTMS
+>DECOY_sp|Q9NYM4|GPR83_HUMAN Probable G-protein coupled receptor 83 OS=Homo sapiens OX=9606 GN=GPR83 PE=2 SV=2
+SMTVIPEVSSLDTKGSQLQSTPLLNNALPARQGDNKETWAVRFSPVPSPPRDEQPKPPRQCMSLLAKLEIRFNENLWCYIFPNYCTSSMAFWHFAFYLANNTRIVKSSLLLVYCNLPFWCLAFLVVVLMLMKITKKKKRRLAFYQETTVDGIMNCLWLKKAVRAYAVSIILLPLIYLLIFTALDLYKWFLDAPEPFDPLCLSRVIDESYKFTFLKQCIAHPLSFFTAMTWIVAIYIVGKTISIRPKLPHMIVQHRDVAIATLTLASVHLSCYQAFRSVHCMGKGFIWTSNVFRVLTFPTNLLTIMIDAVALNVIFLSTASHMRQNKFIVHCVLVNGFLSFVIIFSYAVILLAKVTPNQSEAGYRRRGVFNQWDSFTYNNWSFFHSANPVALAAEASQEDARGEHPETARVLPLLCLLLLHPVM
+>sp|Q9BY21|GPR87_HUMAN G-protein coupled receptor 87 OS=Homo sapiens OX=9606 GN=GPR87 PE=2 SV=1
+MGFNLTLAKLPNNELHGQESHNSGNRSDGPGKNTTLHNEFDTIVLPVLYLIIFVASILLNGLAVWIFFHIRNKTSFIFYLKNIVVADLIMTLTFPFRIVHDAGFGPWYFKFILCRYTSVLFYANMYTSIVFLGLISIDRYLKVVKPFGDSRMYSITFTKVLSVCVWVIMAVLSLPNIILTNGQPTEDNIHDCSKLKSPLGVKWHTAVTYVNSCLFVAVLVILIGCYIAISRYIHKSSRQFISQSSRKRKHNQSIRVVVAVFFTCFLPYHLCRIPFTFSHLDRLLDESAQKILYYCKEITLFLSACNVCLDPIIYFFMCRSFSRRLFKKSNIRTRSESIRSLQSVRRSEVRIYYDYTDV
+>DECOY_sp|Q9BY21|GPR87_HUMAN G-protein coupled receptor 87 OS=Homo sapiens OX=9606 GN=GPR87 PE=2 SV=1
+VDTYDYYIRVESRRVSQLSRISESRTRINSKKFLRRSFSRCMFFYIIPDLCVNCASLFLTIEKCYYLIKQASEDLLRDLHSFTFPIRCLHYPLFCTFFVAVVVRISQNHKRKRSSQSIFQRSSKHIYRSIAIYCGILIVLVAVFLCSNVYTVATHWKVGLPSKLKSCDHINDETPQGNTLIINPLSLVAMIVWVCVSLVKTFTISYMRSDGFPKVVKLYRDISILGLFVISTYMNAYFLVSTYRCLIFKFYWPGFGADHVIRFPFTLTMILDAVVINKLYFIFSTKNRIHFFIWVALGNLLISAVFIILYLVPLVITDFENHLTTNKGPGDSRNGSNHSEQGHLENNPLKALTLNFGM
+>sp|Q6UWM5|GPRL1_HUMAN GLIPR1-like protein 1 OS=Homo sapiens OX=9606 GN=GLIPR1L1 PE=1 SV=2
+MALKNKFSCLWILGLCLVATTSSKIPSITDPHFIDNCIEAHNEWRGKVNPPAADMKYMIWDKGLAKMAKAWANQCKFEHNDCLDKSYKCYAAFEYVGENIWLGGIKSFTPRHAITAWYNETQFYDFDSLSCSRVCGHYTQLVWANSFYVGCAVAMCPNLGGASTAIFVCNYGPAGNFANMPPYVRGESCSLCSKEEKCVKNLCRTPQLIIPNQNPFLKPTGRAPQQTAFNPFSLGFLLLRIF
+>DECOY_sp|Q6UWM5|GPRL1_HUMAN GLIPR1-like protein 1 OS=Homo sapiens OX=9606 GN=GLIPR1L1 PE=1 SV=2
+FIRLLLFGLSFPNFATQQPARGTPKLFPNQNPIILQPTRCLNKVCKEEKSCLSCSEGRVYPPMNAFNGAPGYNCVFIATSAGGLNPCMAVACGVYFSNAWVLQTYHGCVRSCSLSDFDYFQTENYWATIAHRPTFSKIGGLWINEGVYEFAAYCKYSKDLCDNHEFKCQNAWAKAMKALGKDWIMYKMDAAPPNVKGRWENHAEICNDIFHPDTISPIKSSTTAVLCLGLIWLCSFKNKLAM
+>sp|Q86YR5|GPSM1_HUMAN G-protein-signaling modulator 1 OS=Homo sapiens OX=9606 GN=GPSM1 PE=1 SV=2
+MAGPAPPVADELPGPAARRLYSRMEASCLELALEGERLCKAGDFKTGVAFFEAAVQVGTEDLKTLSAIYSQLGNAYFYLKEHGRALEYHKHDLLLARTIGDRMGEAKASGNLGNTLKVLGRFDEAAVCCQRHLSIAQEQGDKVGEARALYNIGNVYHAKGKQLSWNAANATQDPGHLPPDVRETLCKASEFYERNLSLVKELGDRAAQGRAYGNLGNTHYLLGNFTEATTFHKERLAIAKEFGDKAAERRAYSNLGNAHVFLGRFDVAAEYYKKTLQLSRQLRDQAVEAQACYSLGNTYTLLQDYERAAEYHLRHLLIAQELADRVGEGRACWSLGNAYVSMGRPAQALTFAKKHLQISQEIGDRHGELTARMNVAQLQLVLGRLTSPAASEKPDLAGYEAQGARPKRTQRLSAETWDLLRLPLEREQNGDSHHSGDWRGPSRDSLPLPVRSRKYQEGPDAERRPREGSHSPLDSADVRVHVPRTSIPRAPSSDEECFFDLLTKFQSSRMDDQRCPLDDGQAGAAEATAAPTLEDRIAQPSMTASPQTEEFFDLIASSQSRRLDDQRASVGSLPGLRITHSNAGHLRGHGEPQEPGDDFFNMLIKYQSSRIDDQRCPPPDVLPRGPTMPDEDFFSLIQRVQAKRMDEQRVDLAGGPEQGAGGPPEPQQQCQPGAS
+>DECOY_sp|Q86YR5|GPSM1_HUMAN G-protein-signaling modulator 1 OS=Homo sapiens OX=9606 GN=GPSM1 PE=1 SV=2
+SAGPQCQQQPEPPGGAGQEPGGALDVRQEDMRKAQVRQILSFFDEDPMTPGRPLVDPPPCRQDDIRSSQYKILMNFFDDGPEQPEGHGRLHGANSHTIRLGPLSGVSARQDDLRRSQSSAILDFFEETQPSATMSPQAIRDELTPAATAEAAGAQGDDLPCRQDDMRSSQFKTLLDFFCEEDSSPARPISTRPVHVRVDASDLPSHSGERPRREADPGEQYKRSRVPLPLSDRSPGRWDGSHHSDGNQERELPLRLLDWTEASLRQTRKPRAGQAEYGALDPKESAAPSTLRGLVLQLQAVNMRATLEGHRDGIEQSIQLHKKAFTLAQAPRGMSVYANGLSWCARGEGVRDALEQAILLHRLHYEAAREYDQLLTYTNGLSYCAQAEVAQDRLQRSLQLTKKYYEAAVDFRGLFVHANGLNSYARREAAKDGFEKAIALREKHFTTAETFNGLLYHTNGLNGYARGQAARDGLEKVLSLNREYFESAKCLTERVDPPLHGPDQTANAANWSLQKGKAHYVNGINYLARAEGVKDGQEQAISLHRQCCVAAEDFRGLVKLTNGLNGSAKAEGMRDGITRALLLDHKHYELARGHEKLYFYANGLQSYIASLTKLDETGVQVAAEFFAVGTKFDGAKCLREGELALELCSAEMRSYLRRAAPGPLEDAVPPAPGAM
+>sp|P81274|GPSM2_HUMAN G-protein-signaling modulator 2 OS=Homo sapiens OX=9606 GN=GPSM2 PE=1 SV=3
+MEENLISMREDHSFHVRYRMEASCLELALEGERLCKSGDCRAGVSFFEAAVQVGTEDLKTLSAIYSQLGNAYFYLHDYAKALEYHHHDLTLARTIGDQLGEAKASGNLGNTLKVLGNFDEAIVCCQRHLDISRELNDKVGEARALYNLGNVYHAKGKSFGCPGPQDVGEFPEEVRDALQAAVDFYEENLSLVTALGDRAAQGRAFGNLGNTHYLLGNFRDAVIAHEQRLLIAKEFGDKAAERRAYSNLGNAYIFLGEFETASEYYKKTLLLARQLKDRAVEAQSCYSLGNTYTLLQDYEKAIDYHLKHLAIAQELNDRIGEGRACWSLGNAYTALGNHDQAMHFAEKHLEISREVGDKSGELTARLNLSDLQMVLGLSYSTNNSIMSENTEIDSSLNGVRPKLGRRHSMENMELMKLTPEKVQNWNSEILAKQKPLIAKPSAKLLFVNRLKGKKYKTNSSTKVLQDASNSIDHRIPNSQRKISADTIGDEGFFDLLSRFQSNRMDDQRCCLQEKNCHTASTTTSSTPPKMMLKTSSVPVVSPNTDEFLDLLASSQSRRLDDQRASFSNLPGLRLTQNSQSVLSHLMTNDNKEADEDFFDILVKCQGSRLDDQRCAPPPATTKGPTVPDEDFFSLILRSQGKRMDEQRVLLQRDQNRDTDFGLKDFLQNNALLEFKNSGKKSADH
+>DECOY_sp|P81274|GPSM2_HUMAN G-protein-signaling modulator 2 OS=Homo sapiens OX=9606 GN=GPSM2 PE=1 SV=3
+HDASKKGSNKFELLANNQLFDKLGFDTDRNQDRQLLVRQEDMRKGQSRLILSFFDEDPVTPGKTTAPPPACRQDDLRSGQCKVLIDFFDEDAEKNDNTMLHSLVSQSNQTLRLGPLNSFSARQDDLRRSQSSALLDLFEDTNPSVVPVSSTKLMMKPPTSSTTTSATHCNKEQLCCRQDDMRNSQFRSLLDFFGEDGITDASIKRQSNPIRHDISNSADQLVKTSSNTKYKKGKLRNVFLLKASPKAILPKQKALIESNWNQVKEPTLKMLEMNEMSHRRGLKPRVGNLSSDIETNESMISNNTSYSLGLVMQLDSLNLRATLEGSKDGVERSIELHKEAFHMAQDHNGLATYANGLSWCARGEGIRDNLEQAIALHKLHYDIAKEYDQLLTYTNGLSYCSQAEVARDKLQRALLLTKKYYESATEFEGLFIYANGLNSYARREAAKDGFEKAILLRQEHAIVADRFNGLLYHTNGLNGFARGQAARDGLATVLSLNEEYFDVAAQLADRVEEPFEGVDQPGPCGFSKGKAHYVNGLNYLARAEGVKDNLERSIDLHRQCCVIAEDFNGLVKLTNGLNGSAKAEGLQDGITRALTLDHHHYELAKAYDHLYFYANGLQSYIASLTKLDETGVQVAAEFFSVGARCDGSKCLREGELALELCSAEMRYRVHFSHDERMSILNEEM
+>sp|P12544|GRAA_HUMAN Granzyme A OS=Homo sapiens OX=9606 GN=GZMA PE=1 SV=2
+MRNSYRFLASSLSVVVSLLLIPEDVCEKIIGGNEVTPHSRPYMVLLSLDRKTICAGALIAKDWVLTAAHCNLNKRSQVILGAHSITREEPTKQIMLVKKEFPYPCYDPATREGDLKLLQLMEKAKINKYVTILHLPKKGDDVKPGTMCQVAGWGRTHNSASWSDTLREVNITIIDRKVCNDRNHYNFNPVIGMNMVCAGSLRGGRDSCNGDSGSPLLCEGVFRGVTSFGLENKCGDPRGPGVYILLSKKHLNWIIMTIKGAV
+>DECOY_sp|P12544|GRAA_HUMAN Granzyme A OS=Homo sapiens OX=9606 GN=GZMA PE=1 SV=2
+VAGKITMIIWNLHKKSLLIYVGPGRPDGCKNELGFSTVGRFVGECLLPSGSDGNCSDRGGRLSGACVMNMGIVPNFNYHNRDNCVKRDIITINVERLTDSWSASNHTRGWGAVQCMTGPKVDDGKKPLHLITVYKNIKAKEMLQLLKLDGERTAPDYCPYPFEKKVLMIQKTPEERTISHAGLIVQSRKNLNCHAATLVWDKAILAGACITKRDLSLLVMYPRSHPTVENGGIIKECVDEPILLLSVVVSLSSALFRYSNRM
+>sp|Q14449|GRB14_HUMAN Growth factor receptor-bound protein 14 OS=Homo sapiens OX=9606 GN=GRB14 PE=1 SV=2
+MTTSLQDGQSAASRAAARDSPLAAQVCGAAQGRGDAHDLAPAPWLHARALLPLPDGTRGCAADRRKKKDLDVPEMPSIPNPFPELCCSPFTSVLSADLFPKANSRKKQVIKVYSEDETSRALDVPSDITARDVCQLLILKNHYIDDHSWTLFEHLPHIGVERTIEDHELVIEVLSNWGIEEENKLYFRKNYAKYEFFKNPMYFFPEHMVSFATETNGEISPTQILQMFLSSSTYPEIHGFLHAKEQGKKSWKKIYFFLRRSGLYFSTKGTSKEPRHLQFFSEFGNSDIYVSLAGKKKHGAPTNYGFCFKPNKAGGPRDLKMLCAEEEQSRTCWVTAIRLLKYGMQLYQNYMHPYQGRSGCSSQSISPMRSISENSLVAMDFSGQKSRVIENPTEALSVAVEEGLAWRKKGCLRLGTHGSPTASSQSSATNMAIHRSQPWFHHKISRDEAQRLIIQQGLVDGVFLVRDSQSNPKTFVLSMSHGQKIKHFQIIPVEDDGEMFHTLDDGHTRFTDLIQLVEFYQLNKGVLPCKLKHYCARIAL
+>DECOY_sp|Q14449|GRB14_HUMAN Growth factor receptor-bound protein 14 OS=Homo sapiens OX=9606 GN=GRB14 PE=1 SV=2
+LAIRACYHKLKCPLVGKNLQYFEVLQILDTFRTHGDDLTHFMEGDDEVPIIQFHKIKQGHSMSLVFTKPNSQSDRVLFVGDVLGQQIILRQAEDRSIKHHFWPQSRHIAMNTASSQSSATPSGHTGLRLCGKKRWALGEEVAVSLAETPNEIVRSKQGSFDMAVLSNESISRMPSISQSSCGSRGQYPHMYNQYLQMGYKLLRIATVWCTRSQEEEACLMKLDRPGGAKNPKFCFGYNTPAGHKKKGALSVYIDSNGFESFFQLHRPEKSTGKTSFYLGSRRLFFYIKKWSKKGQEKAHLFGHIEPYTSSSLFMQLIQTPSIEGNTETAFSVMHEPFFYMPNKFFEYKAYNKRFYLKNEEEIGWNSLVEIVLEHDEITREVGIHPLHEFLTWSHDDIYHNKLILLQCVDRATIDSPVDLARSTEDESYVKIVQKKRSNAKPFLDASLVSTFPSCCLEPFPNPISPMEPVDLDKKKRRDAACGRTGDPLPLLARAHLWPAPALDHADGRGQAAGCVQAALPSDRAAARSAASQGDQLSTTM
+>sp|Q9C091|GRB1L_HUMAN GREB1-like protein OS=Homo sapiens OX=9606 GN=GREB1L PE=1 SV=2
+MGNSYAGQLKSARFEEALHNSIEASLRCSSVVPRPIFSQLYLDPDQHPFSSADVKPKVEDLDKDLVNRYTQNGSLDFSNNLTVNEMEDDEDDEEMSDSNSPPIPYSQKPAPEGSCTTDGFCQAGKDLRLVSLCMEQIDIPAGFLLVGAKSPNLPEHILVCAVDKRFLPDDHGKNALLGFSGNCIGCGERGFRYFTEFSNHINLKLTTQPKKQKHLKYYLVRSSQGVLSKGPLICWKECRSRQSSASCHSIKPSSSVSSTVTPENGTTNGYKSGFTQTDAANGNSSHGGKGSASSSTPAHTGNYSLSPRPSYASGDQATMFISGPPKKRHRGWYPGSPLPQPGLVVPVPTVRPLSRTEPLLSAPVPQTPLTGILQPRPIPAGETVIVPENLLSNSGVRPVILIGYGTLPYFYGNVGDIVVSPLLVNCYKIPQLENKDLEKLGLTGSQFLSVENMILLTIQYLVRLGPDQVPLREEFEQIMLKAMQEFTLRERALQIGAQCVPVSPGQLPWLARLIASVSQDLVHVVVTQNSLAEGISETLRTLSEMRHYQRLPDYVVVICASKIRGNEFCVVVLGQHQSRALAESMLTTSEFLKEISYELITGKVSFLASHFKTTSLGDDLDKLLEKMQQRRGDSVVTPFDGDLNECVSPQEAAAMIPTQNLDLDNETFHIYQPQLTVARKLLSQVCAIADSGSQSLDLGHFSKVDFIIIVPRSEVLVQQTLQRIRQSGVLVDLGLEENGTAHQRAEKYVVRLDNEIQTKFEVFMRRVKQNPYTLFVLVHDNSHVELTSVISGSLSHSEPSHGLADRVINCREVLEAFNLLVLQVSSFPYTLQTQQSRISSSNEVHWIQLDTGEDVGCEEKLYFGLSEYSKSLQWGITSPLLRCDETFEKMVNTLLERYPRLHSMVVRCYLLIQQYSEALMALTTMASLRDHSTPETLSIMDDLISSPGKNKSGRGHMLIIRVPSVQLAMLAKERLQEVRDKLGLQYRFEIILGNPATELSVATHFVARLKSWRGNEPEEWIPRTYQDLDGLPCIVILTGKDPLGETFPRSLKYCDLRLIDSSYLTRTALEQEVGLACCYVSKEVIRGPTVALDLSGKEQERAAVSENDSDELLIDLERPQSNSSAVTGTSGSIMENGVSSSSTADKSQKQSLTPSFQSPATSLGLDEGVSASSAGAGAGETLKQECDSLGPQMASSTTSKPSSSSSGPRTLPWPGQPIRGCRGPQAALPPVVILSKAAYSLLGSQKSGKLPSSSSLLPHADVAWVSSLRPLLNKDMSSEEQSLYYRQWTLARQHHADYSNQLDPASGTRNFHPRRLLLTGPPQVGKTGSYLQFLRILFRMLIRLLEVDVYDEEEINTDHNESSEVSQSEGEPWPDIESFSKMPFDVSVHDPKYSLMSLVYTEKLAGVKQEVIKESKVEEPRKRETVSIMLTKYAAYNTFHHCEQCRQYMDFTSASQMSDSTLHAFTFSSSMLGEEVQLYFIIPKSKESHFVFSKQGKHLESMRLPLVSDKNLNAVKSPIFTPSSGRHEHGLLNLFHAMEGISHLHLLVVKEYEMPLYRKYWPNHIMLVLPGMFNNAGVGAARFLIKELSYHNLELERNRLEELGIKRQCVWPFIVMMDDSCVLWNIHSVQEPSSQPMEVGVSSKNVSLKTVLQHIEATPKIVHYAILGIQKWSSKLTSQSLKAPFSRCHVHDFILLNTDLTQNVQYDFNRYFCEDADFNLRTNSSGLLICRFNNFSLMKKHVQVGGQRDFIIKPKIMVSESLAPILPLQYICAPDSEHTLLAAPAQFLLEKFLQHASYKLFPKAIHNFRSPVLAIDCYLNIGPEVAICYISSRPHSSNVNCEGVFFSGLLLYLCDSFVGADLKKFKFLKGATLCVICQDRSSLRQTIVRLELEDEWQFRLRDEFQTANSSDDKPLYFLTGRHV
+>DECOY_sp|Q9C091|GRB1L_HUMAN GREB1-like protein OS=Homo sapiens OX=9606 GN=GREB1L PE=1 SV=2
+VHRGTLFYLPKDDSSNATQFEDRLRFQWEDELELRVITQRLSSRDQCIVCLTAGKLFKFKKLDAGVFSDCLYLLLGSFFVGECNVNSSHPRSSIYCIAVEPGINLYCDIALVPSRFNHIAKPFLKYSAHQLFKELLFQAPAALLTHESDPACIYQLPLIPALSESVMIKPKIIFDRQGGVQVHKKMLSFNNFRCILLGSSNTRLNFDADECFYRNFDYQVNQTLDTNLLIFDHVHCRSFPAKLSQSTLKSSWKQIGLIAYHVIKPTAEIHQLVTKLSVNKSSVGVEMPQSSPEQVSHINWLVCSDDMMVIFPWVCQRKIGLEELRNRELELNHYSLEKILFRAAGVGANNFMGPLVLMIHNPWYKRYLPMEYEKVVLLHLHSIGEMAHFLNLLGHEHRGSSPTFIPSKVANLNKDSVLPLRMSELHKGQKSFVFHSEKSKPIIFYLQVEEGLMSSSFTFAHLTSDSMQSASTFDMYQRCQECHHFTNYAAYKTLMISVTERKRPEEVKSEKIVEQKVGALKETYVLSMLSYKPDHVSVDFPMKSFSEIDPWPEGESQSVESSENHDTNIEEEDYVDVELLRILMRFLIRLFQLYSGTKGVQPPGTLLLRRPHFNRTGSAPDLQNSYDAHHQRALTWQRYYLSQEESSMDKNLLPRLSSVWAVDAHPLLSSSSPLKGSKQSGLLSYAAKSLIVVPPLAAQPGRCGRIPQGPWPLTRPGSSSSSPKSTTSSAMQPGLSDCEQKLTEGAGAGASSASVGEDLGLSTAPSQFSPTLSQKQSKDATSSSSVGNEMISGSTGTVASSNSQPRELDILLEDSDNESVAAREQEKGSLDLAVTPGRIVEKSVYCCALGVEQELATRTLYSSDILRLDCYKLSRPFTEGLPDKGTLIVICPLGDLDQYTRPIWEEPENGRWSKLRAVFHTAVSLETAPNGLIIEFRYQLGLKDRVEQLREKALMALQVSPVRIILMHGRGSKNKGPSSILDDMISLTEPTSHDRLSAMTTLAMLAESYQQILLYCRVVMSHLRPYRELLTNVMKEFTEDCRLLPSTIGWQLSKSYESLGFYLKEECGVDEGTDLQIWHVENSSSIRSQQTQLTYPFSSVQLVLLNFAELVERCNIVRDALGHSPESHSLSGSIVSTLEVHSNDHVLVFLTYPNQKVRRMFVEFKTQIENDLRVVYKEARQHATGNEELGLDVLVGSQRIRQLTQQVLVESRPVIIIFDVKSFHGLDLSQSGSDAIACVQSLLKRAVTLQPQYIHFTENDLDLNQTPIMAAAEQPSVCENLDGDFPTVVSDGRRQQMKELLKDLDDGLSTTKFHSALFSVKGTILEYSIEKLFESTTLMSEALARSQHQGLVVVCFENGRIKSACIVVVYDPLRQYHRMESLTRLTESIGEALSNQTVVVHVLDQSVSAILRALWPLQGPSVPVCQAGIQLARERLTFEQMAKLMIQEFEERLPVQDPGLRVLYQITLLIMNEVSLFQSGTLGLKELDKNELQPIKYCNVLLPSVVIDGVNGYFYPLTGYGILIVPRVGSNSLLNEPVIVTEGAPIPRPQLIGTLPTQPVPASLLPETRSLPRVTPVPVVLGPQPLPSGPYWGRHRKKPPGSIFMTAQDGSAYSPRPSLSYNGTHAPTSSSASGKGGHSSNGNAADTQTFGSKYGNTTGNEPTVTSSVSSSPKISHCSASSQRSRCEKWCILPGKSLVGQSSRVLYYKLHKQKKPQTTLKLNIHNSFETFYRFGREGCGICNGSFGLLANKGHDDPLFRKDVACVLIHEPLNPSKAGVLLFGAPIDIQEMCLSVLRLDKGAQCFGDTTCSGEPAPKQSYPIPPSNSDSMEEDDEDDEMENVTLNNSFDLSGNQTYRNVLDKDLDEVKPKVDASSFPHQDPDLYLQSFIPRPVVSSCRLSAEISNHLAEEFRASKLQGAYSNGM
+>sp|P62993|GRB2_HUMAN Growth factor receptor-bound protein 2 OS=Homo sapiens OX=9606 GN=GRB2 PE=1 SV=1
+MEAIAKYDFKATADDELSFKRGDILKVLNEECDQNWYKAELNGKDGFIPKNYIEMKPHPWFFGKIPRAKAEEMLSKQRHDGAFLIRESESAPGDFSLSVKFGNDVQHFKVLRDGAGKYFLWVVKFNSLNELVDYHRSTSVSRNQQIFLRDIEQVPQQPTYVQALFDFDPQEDGELGFRRGDFIHVMDNSDPNWWKGACHGQTGMFPRNYVTPVNRNV
+>DECOY_sp|P62993|GRB2_HUMAN Growth factor receptor-bound protein 2 OS=Homo sapiens OX=9606 GN=GRB2 PE=1 SV=1
+VNRNVPTVYNRPFMGTQGHCAGKWWNPDSNDMVHIFDGRRFGLEGDEQPDFDFLAQVYTPQQPVQEIDRLFIQQNRSVSTSRHYDVLENLSNFKVVWLFYKGAGDRLVKFHQVDNGFKVSLSFDGPASESERILFAGDHRQKSLMEEAKARPIKGFFWPHPKMEIYNKPIFGDKGNLEAKYWNQDCEENLVKLIDGRKFSLEDDATAKFDYKAIAEM
+>sp|A8MXD5|GRCR1_HUMAN Glutaredoxin domain-containing cysteine-rich protein 1 OS=Homo sapiens OX=9606 GN=GRXCR1 PE=1 SV=1
+MLKREMKPESDRPRKVRFRIASSHSGRVLKEVYEDGQPSGSLDSECASICGIDGLGDSDGQQNGHIESEGDENENDQDSLLVLARAASEKGFGTRRVNILSKNGTVRGVKYKVSAGQALFNNLTKVLQQPSTDLEFDRVVIYTTCLRVVRTTFERCELVRKIFQNHRVKFEEKNIALNGEYGKELDERCRRVSEAPSLPVVFIDGHYLGGAEKILSMNESGELQDILTKIERVQHPHECPSCGGFGFLPCSVCHGSKMSMFRNCFTDSFKALKCTACNENGLQRCKNCAG
+>DECOY_sp|A8MXD5|GRCR1_HUMAN Glutaredoxin domain-containing cysteine-rich protein 1 OS=Homo sapiens OX=9606 GN=GRXCR1 PE=1 SV=1
+GACNKCRQLGNENCATCKLAKFSDTFCNRFMSMKSGHCVSCPLFGFGGCSPCEHPHQVREIKTLIDQLEGSENMSLIKEAGGLYHGDIFVVPLSPAESVRRCREDLEKGYEGNLAINKEEFKVRHNQFIKRVLECREFTTRVVRLCTTYIVVRDFELDTSPQQLVKTLNNFLAQGASVKYKVGRVTGNKSLINVRRTGFGKESAARALVLLSDQDNENEDGESEIHGNQQGDSDGLGDIGCISACESDLSGSPQGDEYVEKLVRGSHSSAIRFRVKRPRDSEPKMERKLM
+>sp|Q4ZG55|GREB1_HUMAN Protein GREB1 OS=Homo sapiens OX=9606 GN=GREB1 PE=2 SV=1
+MGNSYAGQLKTTRFEEVLHNSIEASLRSNNLVPRPIFSQLYLEAEQQLAALEGGSRVDNEEEEEEGEGGLETNGPPNPFQLHPLPEGCCTTDGFCQAGKDLRLVSISNEPMDVPAGFLLVGVKSPSLPDHLLVCAVDKRFLPDDNGHNALLGFSGNCVGCGKKGFCYFTEFSNHINLKLTTQPKKQKHLKYYLVRNAQGTLTKGPLICWKGSEFRSRQIPASTCSSSLFPALESTAAFPSEPVPGTNPSILMGAQQAGPASDHPSLNAAMGPAVFNGKDSPKCQQLAKNNLLALPRPSALGILSNSGPPKKRHKGWSPESPSAPDGGCPQGGGNRAKYESAGMSCVPQVGLVGPASVTFPVVASGEPVSVPDNLLKICKAKPVIFKGHGNFPYLCGNLNDVVVSPLLYTCYQNSQSVSRAYEQYGASAIQPISEEMQLLLTVYYLVQLAADQVPLMEDLEQIFLRSWRESHLTEIRQYQQAPPQPFPPAPSAAAPVTSAQLPWLASLAASSCNDSVHVIECAYSLAEGLSEMFRLLVEGKLAKTNYVVIICACRSAAIDSCIAVTGKYQARILSESLLTPAEYQKEVNYELVTGKVDSLGAFFSTLCPEGDIDILLDKFHQENQGHISSSLAASSVTKAASLDVSGTPVCTSYNLEPHSIRPFQLAVAQKLLSHVCSIADSSTQNLDLGSFEKVDFLICIPPSEVTYQQTLLHVWHSGVLLELGLKKEHMTKQRVEQYVLKLDTEAQTKFKAFLQNSFQNPHTLFVLIHDHAHWDLVSSTVHNLYSQSDPSVGLVDRLLNCREVKEAPNIVTLHVTSFPYALQTQHTLISPYNEIHWPASCSNGVDLYHENKKYFGLSEFIESTLSGHSLPLLRYDSSFEAMVTALGKRFPRLHSAVIRTFVLVQHYAAALMAVSGLPQMKNYTSVETLEITQNLLNSPKQCPCGHGLMVLLRVPCSPLAVVAYERLAHVRARLALEEHFEIILGSPSSGVTVGKHFVKQLRMWQKIEDVEWRPQTYLELEGLPCILIFSGMDPHGESLPRSLRYCDLRLINSSCLVRTALEQELGLAAYFVSNEVPLEKGARNEALESDAEKLSSTDNEDEELGTEGSTSEKRSPMKRERSRSHDSASSSLSSKASGSALGGESSAQPTALPQGEHARSPQPRGPAEEGRAPGEKQRPRASQGPPSAISRHSPGPTPQPDCSLRTGQRSVQVSVTSSCSQLSSSSGSSSSSVAPAAGTWVLQASQCSLTKACRQPPIVFLPKLVYDMVVSTDSSGLPKAASLLPSPSVMWASSFRPLLSKTMTSTEQSLYYRQWTVPRPSHMDYGNRAEGRVDGFHPRRLLLSGPPQIGKTGAYLQFLSVLSRMLVRLTEVDVYDEEEININLREESDWHYLQLSDPWPDLELFKKLPFDYIIHDPKYEDASLICSHYQGIKSEDRGMSRKPEDLYVRRQTARMRLSKYAAYNTYHHCEQCHQYMGFHPRYQLYESTLHAFAFSYSMLGEEIQLHFIIPKSKEHHFVFSQPGGQLESMRLPLVTDKSHEYIKSPTFTPTTGRHEHGLFNLYHAMDGASHLHVLVVKEYEMAIYKKYWPNHIMLVLPSIFNSAGVGAAHFLIKELSYHNLELERNRQEELGIKPQDIWPFIVISDDSCVMWNVVDVNSAGERSREFSWSERNVSLKHIMQHIEAAPDIMHYALLGLRKWSSKTRASEVQEPFSRCHVHNFIILNVDLTQNVQYNQNRFLCDDVDFNLRVHSAGLLLCRFNRFSVMKKQIVVGGHRSFHITSKVSDNSAAVVPAQYICAPDSKHTFLAAPAQLLLEKFLQHHSHLFFPLSLKNHDHPVLSVDCYLNLGSQISVCYVSSRPHSLNISCSDLLFSGLLLYLCDSFVGASFLKKFHFLKGATLCVICQDRSSLRQTVVRLELEDEWQFRLRDEFQTANAREDRPLFFLTGRHI
+>DECOY_sp|Q4ZG55|GREB1_HUMAN Protein GREB1 OS=Homo sapiens OX=9606 GN=GREB1 PE=2 SV=1
+IHRGTLFFLPRDERANATQFEDRLRFQWEDELELRVVTQRLSSRDQCIVCLTAGKLFHFKKLFSAGVFSDCLYLLLGSFLLDSCSINLSHPRSSVYCVSIQSGLNLYCDVSLVPHDHNKLSLPFFLHSHHQLFKELLLQAPAALFTHKSDPACIYQAPVVAASNDSVKSTIHFSRHGGVVIQKKMVSFRNFRCLLLGASHVRLNFDVDDCLFRNQNYQVNQTLDVNLIIFNHVHCRSFPEQVESARTKSSWKRLGLLAYHMIDPAAEIHQMIHKLSVNRESWSFERSREGASNVDVVNWMVCSDDSIVIFPWIDQPKIGLEEQRNRELELNHYSLEKILFHAAGVGASNFISPLVLMIHNPWYKKYIAMEYEKVVLVHLHSAGDMAHYLNFLGHEHRGTTPTFTPSKIYEHSKDTVLPLRMSELQGGPQSFVFHHEKSKPIIFHLQIEEGLMSYSFAFAHLTSEYLQYRPHFGMYQHCQECHHYTNYAAYKSLRMRATQRRVYLDEPKRSMGRDESKIGQYHSCILSADEYKPDHIIYDFPLKKFLELDPWPDSLQLYHWDSEERLNINIEEEDYVDVETLRVLMRSLVSLFQLYAGTKGIQPPGSLLLRRPHFGDVRGEARNGYDMHSPRPVTWQRYYLSQETSTMTKSLLPRFSSAWMVSPSPLLSAAKPLGSSDTSVVMDYVLKPLFVIPPQRCAKTLSCQSAQLVWTGAAPAVSSSSSGSSSSLQSCSSTVSVQVSRQGTRLSCDPQPTPGPSHRSIASPPGQSARPRQKEGPARGEEAPGRPQPSRAHEGQPLATPQASSEGGLASGSAKSSLSSSASDHSRSRERKMPSRKESTSGETGLEEDENDTSSLKEADSELAENRAGKELPVENSVFYAALGLEQELATRVLCSSNILRLDCYRLSRPLSEGHPDMGSFILICPLGELELYTQPRWEVDEIKQWMRLQKVFHKGVTVGSSPSGLIIEFHEELALRARVHALREYAVVALPSCPVRLLVMLGHGCPCQKPSNLLNQTIELTEVSTYNKMQPLGSVAMLAAAYHQVLVFTRIVASHLRPFRKGLATVMAEFSSDYRLLPLSHGSLTSEIFESLGFYKKNEHYLDVGNSCSAPWHIENYPSILTHQTQLAYPFSTVHLTVINPAEKVERCNLLRDVLGVSPDSQSYLNHVTSSVLDWHAHDHILVFLTHPNQFSNQLFAKFKTQAETDLKLVYQEVRQKTMHEKKLGLELLVGSHWVHLLTQQYTVESPPICILFDVKEFSGLDLNQTSSDAISCVHSLLKQAVALQFPRISHPELNYSTCVPTGSVDLSAAKTVSSAALSSSIHGQNEQHFKDLLIDIDGEPCLTSFFAGLSDVKGTVLEYNVEKQYEAPTLLSESLIRAQYKGTVAICSDIAASRCACIIVVYNTKALKGEVLLRFMESLGEALSYACEIVHVSDNCSSAALSALWPLQASTVPAAASPAPPFPQPPAQQYQRIETLHSERWSRLFIQELDEMLPVQDAALQVLYYVTLLLQMEESIPQIASAGYQEYARSVSQSNQYCTYLLPSVVVDNLNGCLYPFNGHGKFIVPKAKCIKLLNDPVSVPEGSAVVPFTVSAPGVLGVQPVCSMGASEYKARNGGGQPCGGDPASPSEPSWGKHRKKPPGSNSLIGLASPRPLALLNNKALQQCKPSDKGNFVAPGMAANLSPHDSAPGAQQAGMLISPNTGPVPESPFAATSELAPFLSSSCTSAPIQRSRFESGKWCILPGKTLTGQANRVLYYKLHKQKKPQTTLKLNIHNSFETFYCFGKKGCGVCNGSFGLLANHGNDDPLFRKDVACVLLHDPLSPSKVGVLLFGAPVDMPENSISVLRLDKGAQCFGDTTCCGEPLPHLQFPNPPGNTELGGEGEEEEEENDVRSGGELAALQQEAELYLQSFIPRPVLNNSRLSAEISNHLVEEFRTTKLQGAYSNGM
+>sp|O60565|GREM1_HUMAN Gremlin-1 OS=Homo sapiens OX=9606 GN=GREM1 PE=1 SV=1
+MSRTAYTVGALLLLLGTLLPAAEGKKKGSQGAIPPPDKAQHNDSEQTQSPQQPGSRNRGRGQGRGTAMPGEEVLESSQEALHVTERKYLKRDWCKTQPLKQTIHEEGCNSRTIINRFCYGQCNSFYIPRHIRKEEGSFQSCSFCKPKKFTTMMVTLNCPELQPPTKKKRVTRVKQCRCISIDLD
+>DECOY_sp|O60565|GREM1_HUMAN Gremlin-1 OS=Homo sapiens OX=9606 GN=GREM1 PE=1 SV=1
+DLDISICRCQKVRTVRKKKTPPQLEPCNLTVMMTTFKKPKCFSCSQFSGEEKRIHRPIYFSNCQGYCFRNIITRSNCGEEHITQKLPQTKCWDRKLYKRETVHLAEQSSELVEEGPMATGRGQGRGRNRSGPQQPSQTQESDNHQAKDPPPIAGQSGKKKGEAAPLLTGLLLLLAGVTYATRSM
+>sp|Q8TE85|GRHL3_HUMAN Grainyhead-like protein 3 homolog OS=Homo sapiens OX=9606 GN=GRHL3 PE=1 SV=3
+MSNELDFRSVRLLKNDPVNLQKFSYTSEDEAWKTYLENPLTAATKAMMRVNGDDDSVAALSFLYDYYMGPKEKRILSSSTGGRNDQGKRYYHGMEYETDLTPLESPTHLMKFLTENVSGTPEYPDLLKKNNLMSLEGALPTPGKAAPLPAGPSKLEAGSVDSYLLPTTDMYDNGSLNSLFESIHGVPPTQRWQPDSTFKDDPQESMLFPDILKTSPEPPCPEDYPSLKSDFEYTLGSPKAIHIKSGESPMAYLNKGQFYPVTLRTPAGGKGLALSSNKVKSVVMVVFDNEKVPVEQLRFWKHWHSRQPTAKQRVIDVADCKENFNTVEHIEEVAYNALSFVWNVNEEAKVFIGVNCLSTDFSSQKGVKGVPLNLQIDTYDCGLGTERLVHRAVCQIKIFCDKGAERKMRDDERKQFRRKVKCPDSSNSGVKGCLLSGFRGNETTYLRPETDLETPPVLFIPNVHFSSLQRSGGAAPSAGPSSSNRLPLKRTCSPFTEEFEPLPSKQAKEGDLQRVLLYVRRETEEVFDALMLKTPDLKGLRNAISEKYGFPEENIYKVYKKCKRGETSLLHPRLSRHPPPDCLECSHPVTQVRNMGFGDGFWRQRDLDSNPSPTTVNSLHFTVNSE
+>DECOY_sp|Q8TE85|GRHL3_HUMAN Grainyhead-like protein 3 homolog OS=Homo sapiens OX=9606 GN=GRHL3 PE=1 SV=3
+ESNVTFHLSNVTTPSPNSDLDRQRWFGDGFGMNRVQTVPHSCELCDPPPHRSLRPHLLSTEGRKCKKYVKYINEEPFGYKESIANRLGKLDPTKLMLADFVEETERRVYLLVRQLDGEKAQKSPLPEFEETFPSCTRKLPLRNSSSPGASPAAGGSRQLSSFHVNPIFLVPPTELDTEPRLYTTENGRFGSLLCGKVGSNSSDPCKVKRRFQKREDDRMKREAGKDCFIKIQCVARHVLRETGLGCDYTDIQLNLPVGKVGKQSSFDTSLCNVGIFVKAEENVNWVFSLANYAVEEIHEVTNFNEKCDAVDIVRQKATPQRSHWHKWFRLQEVPVKENDFVVMVVSKVKNSSLALGKGGAPTRLTVPYFQGKNLYAMPSEGSKIHIAKPSGLTYEFDSKLSPYDEPCPPEPSTKLIDPFLMSEQPDDKFTSDPQWRQTPPVGHISEFLSNLSGNDYMDTTPLLYSDVSGAELKSPGAPLPAAKGPTPLAGELSMLNNKKLLDPYEPTGSVNETLFKMLHTPSELPTLDTEYEMGHYYRKGQDNRGGTSSSLIRKEKPGMYYDYLFSLAAVSDDDGNVRMMAKTAATLPNELYTKWAEDESTYSFKQLNVPDNKLLRVSRFDLENSM
+>sp|P48058|GRIA4_HUMAN Glutamate receptor 4 OS=Homo sapiens OX=9606 GN=GRIA4 PE=2 SV=2
+MRIISRQIVLLFSGFWGLAMGAFPSSVQIGGLFIRNTDQEYTAFRLAIFLHNTSPNASEAPFNLVPHVDNIETANSFAVTNAFCSQYSRGVFAIFGLYDKRSVHTLTSFCSALHISLITPSFPTEGESQFVLQLRPSLRGALLSLLDHYEWNCFVFLYDTDRGYSILQAIMEKAGQNGWHVSAICVENFNDVSYRQLLEELDRRQEKKFVIDCEIERLQNILEQIVSVGKHVKGYHYIIANLGFKDISLERFIHGGANVTGFQLVDFNTPMVIKLMDRWKKLDQREYPGSETPPKYTSALTYDGVLVMAETFRSLRRQKIDISRRGNAGDCLANPAAPWGQGIDMERTLKQVRIQGLTGNVQFDHYGRRVNYTMDVFELKSTGPRKVGYWNDMDKLVLIQDVPTLGNDTAAIENRTVVVTTIMESPYVMYKKNHEMFEGNDKYEGYCVDLASEIAKHIGIKYKIAIVPDGKYGARDADTKIWNGMVGELVYGKAEIAIAPLTITLVREEVIDFSKPFMSLGISIMIKKPQKSKPGVFSFLDPLAYEIWMCIVFAYIGVSVVLFLVSRFSPYEWHTEEPEDGKEGPSDQPPNEFGIFNSLWFSLGAFMQQGCDISPRSLSGRIVGGVWWFFTLIIISSYTANLAAFLTVERMVSPIESAEDLAKQTEIAYGTLDSGSTKEFFRRSKIAVYEKMWTYMRSAEPSVFTRTTAEGVARVRKSKGKFAFLLESTMNEYIEQRKPCDTMKVGGNLDSKGYGVATPKGSSLRTPVNLAVLKLSEAGVLDKLKNKWWYDKGECGPKDSGSKDKTSALSLSNVAGVFYILVGGLGLAMLVALIEFCYKSRAEAKRMKLTFSEAIRNKARLSITGSVGENGRVLTPDCPKAVHTGTAIRQSSGLAVIASDLP
+>DECOY_sp|P48058|GRIA4_HUMAN Glutamate receptor 4 OS=Homo sapiens OX=9606 GN=GRIA4 PE=2 SV=2
+PLDSAIVALGSSQRIATGTHVAKPCDPTLVRGNEGVSGTISLRAKNRIAESFTLKMRKAEARSKYCFEILAVLMALGLGGVLIYFVGAVNSLSLASTKDKSGSDKPGCEGKDYWWKNKLKDLVGAESLKLVALNVPTRLSSGKPTAVGYGKSDLNGGVKMTDCPKRQEIYENMTSELLFAFKGKSKRVRAVGEATTRTFVSPEASRMYTWMKEYVAIKSRRFFEKTSGSDLTGYAIETQKALDEASEIPSVMREVTLFAALNATYSSIIILTFFWWVGGVIRGSLSRPSIDCGQQMFAGLSFWLSNFIGFENPPQDSPGEKGDEPEETHWEYPSFRSVLFLVVSVGIYAFVICMWIEYALPDLFSFVGPKSKQPKKIMISIGLSMFPKSFDIVEERVLTITLPAIAIEAKGYVLEGVMGNWIKTDADRAGYKGDPVIAIKYKIGIHKAIESALDVCYGEYKDNGEFMEHNKKYMVYPSEMITTVVVTRNEIAATDNGLTPVDQILVLKDMDNWYGVKRPGTSKLEFVDMTYNVRRGYHDFQVNGTLGQIRVQKLTREMDIGQGWPAAPNALCDGANGRRSIDIKQRRLSRFTEAMVLVGDYTLASTYKPPTESGPYERQDLKKWRDMLKIVMPTNFDVLQFGTVNAGGHIFRELSIDKFGLNAIIYHYGKVHKGVSVIQELINQLREIECDIVFKKEQRRDLEELLQRYSVDNFNEVCIASVHWGNQGAKEMIAQLISYGRDTDYLFVFCNWEYHDLLSLLAGRLSPRLQLVFQSEGETPFSPTILSIHLASCFSTLTHVSRKDYLGFIAFVGRSYQSCFANTVAFSNATEINDVHPVLNFPAESANPSTNHLFIALRFATYEQDTNRIFLGGIQVSSPFAGMALGWFGSFLLVIQRSIIRM
+>sp|Q13003|GRIK3_HUMAN Glutamate receptor ionotropic, kainate 3 OS=Homo sapiens OX=9606 GN=GRIK3 PE=2 SV=3
+MTAPWRRLRSLVWEYWAGLLVCAFWIPDSRGMPHVIRIGGIFEYADGPNAQVMNAEEHAFRFSANIINRNRTLLPNTTLTYDIQRIHFHDSFEATKKACDQLALGVVAIFGPSQGSCTNAVQSICNALEVPHIQLRWKHHPLDNKDTFYVNLYPDYASLSHAILDLVQYLKWRSATVVYDDSTGLIRLQELIMAPSRYNIRLKIRQLPIDSDDSRPLLKEMKRGREFRIIFDCSHTMAAQILKQAMAMGMMTEYYHFIFTTLDLYALDLEPYRYSGVNLTGFRILNVDNPHVSAIVEKWSMERLQAAPRSESGLLDGVMMTDAALLYDAVHIVSVCYQRAPQMTVNSLQCHRHKAWRFGGRFMNFIKEAQWEGLTGRIVFNKTSGLRTDFDLDIISLKEDGLEKVGVWSPADGLNITEVAKGRGPNVTDSLTNRSLIVTTVLEEPFVMFRKSDRTLYGNDRFEGYCIDLLKELAHILGFSYEIRLVEDGKYGAQDDKGQWNGMVKELIDHKADLAVAPLTITHVREKAIDFSKPFMTLGVSILYRKPNGTNPSVFSFLNPLSPDIWMYVLLAYLGVSCVLFVIARFSPYEWYDAHPCNPGSEVVENNFTLLNSFWFGMGSLMQQGSELMPKALSTRIIGGIWWFFTLIIISSYTANLAAFLTVERMESPIDSADDLAKQTKIEYGAVKDGATMTFFKKSKISTFEKMWAFMSSKPSALVKNNEEGIQRALTADYALLMESTTIEYVTQRNCNLTQIGGLIDSKGYGIGTPMGSPYRDKITIAILQLQEEDKLHIMKEKWWRGSGCPEEENKEASALGIQKIGGIFIVLAAGLVLSVLVAVGEFVYKLRKTAEREQRSFCSTVADEIRFSLTCQRRVKHKPQPPMMVKTDAVINMHTFNDRRLPGKDSMACSTSLAPVFP
+>DECOY_sp|Q13003|GRIK3_HUMAN Glutamate receptor ionotropic, kainate 3 OS=Homo sapiens OX=9606 GN=GRIK3 PE=2 SV=3
+PFVPALSTSCAMSDKGPLRRDNFTHMNIVADTKVMMPPQPKHKVRRQCTLSFRIEDAVTSCFSRQEREATKRLKYVFEGVAVLVSLVLGAALVIFIGGIKQIGLASAEKNEEEPCGSGRWWKEKMIHLKDEEQLQLIAITIKDRYPSGMPTGIGYGKSDILGGIQTLNCNRQTVYEITTSEMLLAYDATLARQIGEENNKVLASPKSSMFAWMKEFTSIKSKKFFTMTAGDKVAGYEIKTQKALDDASDIPSEMREVTLFAALNATYSSIIILTFFWWIGGIIRTSLAKPMLESGQQMLSGMGFWFSNLLTFNNEVVESGPNCPHADYWEYPSFRAIVFLVCSVGLYALLVYMWIDPSLPNLFSFVSPNTGNPKRYLISVGLTMFPKSFDIAKERVHTITLPAVALDAKHDILEKVMGNWQGKDDQAGYKGDEVLRIEYSFGLIHALEKLLDICYGEFRDNGYLTRDSKRFMVFPEELVTTVILSRNTLSDTVNPGRGKAVETINLGDAPSWVGVKELGDEKLSIIDLDFDTRLGSTKNFVIRGTLGEWQAEKIFNMFRGGFRWAKHRHCQLSNVTMQPARQYCVSVIHVADYLLAADTMMVGDLLGSESRPAAQLREMSWKEVIASVHPNDVNLIRFGTLNVGSYRYPELDLAYLDLTTFIFHYYETMMGMAMAQKLIQAAMTHSCDFIIRFERGRKMEKLLPRSDDSDIPLQRIKLRINYRSPAMILEQLRILGTSDDYVVTASRWKLYQVLDLIAHSLSAYDPYLNVYFTDKNDLPHHKWRLQIHPVELANCISQVANTCSGQSPGFIAVVGLALQDCAKKTAEFSDHFHIRQIDYTLTTNPLLTRNRNIINASFRFAHEEANMVQANPGDAYEFIGGIRIVHPMGRSDPIWFACVLLGAWYEWVLSRLRRWPATM
+>sp|Q16099|GRIK4_HUMAN Glutamate receptor ionotropic, kainate 4 OS=Homo sapiens OX=9606 GN=GRIK4 PE=2 SV=2
+MPRVSAPLVLLPAWLVMVACSPHSLRIAAILDDPMECSRGERLSITLAKNRINRAPERLGKAKVEVDIFELLRDSEYETAETMCQILPKGVVAVLGPSSSPASSSIISNICGEKEVPHFKVAPEEFVKFQFQRFTTLNLHPSNTDISVAVAGILNFFNCTTACLICAKAECLLNLEKLLRQFLISKDTLSVRMLDDTRDPTPLLKEIRDDKTATIIIHANASMSHTILLKAAELGMVSAYYTYIFTNLEFSLQRMDSLVDDRVNILGFSIFNQSHAFFQEFAQSLNQSWQENCDHVPFTGPALSSALLFDAVYAVVTAVQELNRSQEIGVKPLSCGSAQIWQHGTSLMNYLRMVELEGLTGHIEFNSKGQRSNYALKILQFTRNGFRQIGQWHVAEGLSMDSHLYASNISDTLFNTTLVVTTILENPYLMLKGNHQEMEGNDRYEGFCVDMLKELAEILRFNYKIRLVGDGVYGVPEANGTWTGMVGELIARKADLAVAGLTITAEREKVIDFSKPFMTLGISILYRVHMGRKPGYFSFLDPFSPGVWLFMLLAYLAVSCVLFLVARLTPYEWYSPHPCAQGRCNLLVNQYSLGNSLWFPVGGFMQQGSTIAPRALSTRCVSGVWWAFTLIIISSYTANLAAFLTVQRMDVPIESVDDLADQTAIEYGTIHGGSSMTFFQNSRYQTYQRMWNYMYSKQPSVFVKSTEEGIARVLNSNYAFLLESTMNEYYRQRNCNLTQIGGLLDTKGYGIGMPVGSVFRDEFDLAILQLQENNRLEILKRKWWEGGKCPKEEDHRAKGLGMENIGGIFVVLICGLIVAIFMAMLEFLWTLRHSEATEVSVCQEMVTELRSIILCQDSIHPRRRRAAVPPPRPPIPEERRPRGTATLSNGKLCGAGEPDQLAQRLAQEAALVARGCTHIRVCPECRRFQGLRARPSPARSEESLEWEKTTNSSEPE
+>DECOY_sp|Q16099|GRIK4_HUMAN Glutamate receptor ionotropic, kainate 4 OS=Homo sapiens OX=9606 GN=GRIK4 PE=2 SV=2
+EPESSNTTKEWELSEESRAPSPRARLGQFRRCEPCVRIHTCGRAVLAAEQALRQALQDPEGAGCLKGNSLTATGRPRREEPIPPRPPPVAARRRRPHISDQCLIISRLETVMEQCVSVETAESHRLTWLFELMAMFIAVILGCILVVFIGGINEMGLGKARHDEEKPCKGGEWWKRKLIELRNNEQLQLIALDFEDRFVSGVPMGIGYGKTDLLGGIQTLNCNRQRYYENMTSELLFAYNSNLVRAIGEETSKVFVSPQKSYMYNWMRQYTQYRSNQFFTMSSGGHITGYEIATQDALDDVSEIPVDMRQVTLFAALNATYSSIIILTFAWWVGSVCRTSLARPAITSGQQMFGGVPFWLSNGLSYQNVLLNCRGQACPHPSYWEYPTLRAVLFLVCSVALYALLMFLWVGPSFPDLFSFYGPKRGMHVRYLISIGLTMFPKSFDIVKEREATITLGAVALDAKRAILEGVMGTWTGNAEPVGYVGDGVLRIKYNFRLIEALEKLMDVCFGEYRDNGEMEQHNGKLMLYPNELITTVVLTTNFLTDSINSAYLHSDMSLGEAVHWQGIQRFGNRTFQLIKLAYNSRQGKSNFEIHGTLGELEVMRLYNMLSTGHQWIQASGCSLPKVGIEQSRNLEQVATVVAYVADFLLASSLAPGTFPVHDCNEQWSQNLSQAFEQFFAHSQNFISFGLINVRDDVLSDMRQLSFELNTFIYTYYASVMGLEAAKLLITHSMSANAHIIITATKDDRIEKLLPTPDRTDDLMRVSLTDKSILFQRLLKELNLLCEAKACILCATTCNFFNLIGAVAVSIDTNSPHLNLTTFRQFQFKVFEEPAVKFHPVEKEGCINSIISSSAPSSSPGLVAVVGKPLIQCMTEATEYESDRLLEFIDVEVKAKGLREPARNIRNKALTISLREGRSCEMPDDLIAAIRLSHPSCAVMVLWAPLLVLPASVRPM
+>sp|Q16478|GRIK5_HUMAN Glutamate receptor ionotropic, kainate 5 OS=Homo sapiens OX=9606 GN=GRIK5 PE=2 SV=2
+MPAELLLLLIVAFASPSCQVLSSLRMAAILDDQTVCGRGERLALALAREQINGIIEVPAKARVEVDIFELQRDSQYETTDTMCQILPKGVVSVLGPSSSPASASTVSHICGEKEIPHIKVGPEETPRLQYLRFASVSLYPSNEDVSLAVSRILKSFNYPSASLICAKAECLLRLEELVRGFLISKETLSVRMLDDSRDPTPLLKEIRDDKVSTIIIDANASISHLILRKASELGMTSAFYKYILTTMDFPILHLDGIVEDSSNILGFSMFNTSHPFYPEFVRSLNMSWRENCEASTYLGPALSAALMFDAVHVVVSAVRELNRSQEIGVKPLACTSANIWPHGTSLMNYLRMVEYDGLTGRVEFNSKGQRTNYTLRILEKSRQGHREIGVWYSNRTLAMNATTLDINLSQTLANKTLVVTTILENPYVMRRPNFQALSGNERFEGFCVDMLRELAELLRFRYRLRLVEDGLYGAPEPNGSWTGMVGELINRKADLAVAAFTITAEREKVIDFSKPFMTLGISILYRVHMGRKPGYFSFLDPFSPAVWLFMLLAYLAVSCVLFLAARLSPYEWYNPHPCLRARPHILENQYTLGNSLWFPVGGFMQQGSEIMPRALSTRCVSGVWWAFTLIIISSYTANLAAFLTVQRMEVPVESADDLADQTNIEYGTIHAGSTMTFFQNSRYQTYQRMWNYMQSKQPSVFVKSTEEGIARVLNSRYAFLLESTMNEYHRRLNCNLTQIGGLLDTKGYGIGMPLGSPFRDEITLAILQLQENNRLEILKRKWWEGGRCPKEEDHRAKGLGMENIGGIFIVLICGLIIAVFVAVMEFIWSTRRSAESEEVSVCQEMLQELRHAVSCRKTSRSRRRRRPGGPSRALLSLRAVREMRLSNGKLYSAGAGGDAGSAHGGPQRLLDDPGPPSGARPAAPTPCTHVRVCQECRRIQALRASGAGAPPRGLGVPAEATSPPRPRPGPAGPRELAEHE
+>DECOY_sp|Q16478|GRIK5_HUMAN Glutamate receptor ionotropic, kainate 5 OS=Homo sapiens OX=9606 GN=GRIK5 PE=2 SV=2
+EHEALERPGAPGPRPRPPSTAEAPVGLGRPPAGAGSARLAQIRRCEQCVRVHTCPTPAAPRAGSPPGPDDLLRQPGGHASGADGGAGASYLKGNSLRMERVARLSLLARSPGGPRRRRRSRSTKRCSVAHRLEQLMEQCVSVEESEASRRTSWIFEMVAVFVAIILGCILVIFIGGINEMGLGKARHDEEKPCRGGEWWKRKLIELRNNEQLQLIALTIEDRFPSGLPMGIGYGKTDLLGGIQTLNCNLRRHYENMTSELLFAYRSNLVRAIGEETSKVFVSPQKSQMYNWMRQYTQYRSNQFFTMTSGAHITGYEINTQDALDDASEVPVEMRQVTLFAALNATYSSIIILTFAWWVGSVCRTSLARPMIESGQQMFGGVPFWLSNGLTYQNELIHPRARLCPHPNYWEYPSLRAALFLVCSVALYALLMFLWVAPSFPDLFSFYGPKRGMHVRYLISIGLTMFPKSFDIVKEREATITFAAVALDAKRNILEGVMGTWSGNPEPAGYLGDEVLRLRYRFRLLEALERLMDVCFGEFRENGSLAQFNPRRMVYPNELITTVVLTKNALTQSLNIDLTTANMALTRNSYWVGIERHGQRSKELIRLTYNTRQGKSNFEVRGTLGDYEVMRLYNMLSTGHPWINASTCALPKVGIEQSRNLERVASVVVHVADFMLAASLAPGLYTSAECNERWSMNLSRVFEPYFPHSTNFMSFGLINSSDEVIGDLHLIPFDMTTLIYKYFASTMGLESAKRLILHSISANADIIITSVKDDRIEKLLPTPDRSDDLMRVSLTEKSILFGRVLEELRLLCEAKACILSASPYNFSKLIRSVALSVDENSPYLSVSAFRLYQLRPTEEPGVKIHPIEKEGCIHSVTSASAPSSSPGLVSVVGKPLIQCMTDTTEYQSDRQLEFIDVEVRAKAPVEIIGNIQERALALALREGRGCVTQDDLIAAMRLSSLVQCSPSAFAVILLLLLEAPM
+>sp|Q7Z2K8|GRIN1_HUMAN G protein-regulated inducer of neurite outgrowth 1 OS=Homo sapiens OX=9606 GN=GPRIN1 PE=1 SV=2
+MDTAEDPAWLQLLQKDSSPPGPRPTAFFCPQDGSLGAGSSAMRDYCPSQQKASPAPPRHTPDQSPGMESRHRSPSGAGEGASCSDGPRGSLACPSPTCFSPQESPSKETLEAHGASISGTPEATTSGKPEPVSSVKTEPKSSDDRNPMFLEKMDFKSSKQADSTSIGKEDPGSSRKADPMFTGKAEPEILGKGDPVAPGRMDPMTVRKEDLGSLGKVDPLCSSKTYTVSPRKEDPGSLRKVDPVSSDKVDPVFPRKEEPRYSGKEHPVSSEKVAPTSAEKVDLVLSGKRDPGPSGKADPMPLESMDSASTGKTEPGLLGKLIPGSSGKNGPVSSGTGAPGSLGRLDPTCLGMADPASVGNVETVPATKEDSRFLGKMDPASSGEGRPVSGHTDTTASAKTDLTSLKNVDPMSSGKVDPVSLGKMDPMCSGKPELLSPGQAERVSVGKAGTVSPGKEDPVSSRREDPISAGSRKTSSEKVNPESSGKTNPVSSGPGDPRSLGTAGPPSAVKAEPATGGKGDPLSSEKAGLVASGKAAPTASGKAEPLAVGKEDPVSKGKADAGPSGQGDSVSIGKVVSTPGKTVPVPSGKVDPVSLGKAEAIPEGKVGSLPLEKGSPVTTTKADPRASGKAQPQSGGKAETKLPGQEGAAAPGEAGAVCLKKETPQASEKVDPGSCRKAEPLASGKGEPVSLGKADSAPSRKTESPSLGKVVPLSLEKTKPSSSSRQLDRKALGSARSPEGARGSEGRVEPKAEPVSSTEASSLGQKDLEAAGAERSPCPEAAAPPPGPRTRDNFTKAPSWEASAPPPPREDAGTQAGAQACVSVAVSPMSPQDGAGGSAFSFQAAPRAPSPPSRRDAGLQVSLGAAETRSVATGPMTPQAAAPPAFPEVRVRPGSALAAAVAPPEPAEPVRDVSWDEKGMTWEVYGAAMEVEVLGMAIQKHLERQIEEHGRQGAPAPPPAARAGPGRSGSVRTAPPDGAAKRPPGLFRALLQSVRRPRCCSRAGPTAE
+>DECOY_sp|Q7Z2K8|GRIN1_HUMAN G protein-regulated inducer of neurite outgrowth 1 OS=Homo sapiens OX=9606 GN=GPRIN1 PE=1 SV=2
+EATPGARSCCRPRRVSQLLARFLGPPRKAAGDPPATRVSGSRGPGARAAPPPAPAGQRGHEEIQRELHKQIAMGLVEVEMAAGYVEWTMGKEDWSVDRVPEAPEPPAVAAALASGPRVRVEPFAPPAAAQPTMPGTAVSRTEAAGLSVQLGADRRSPPSPARPAAQFSFASGGAGDQPSMPSVAVSVCAQAGAQTGADERPPPPASAEWSPAKTFNDRTRPGPPPAAAEPCPSREAGAAELDKQGLSSAETSSVPEAKPEVRGESGRAGEPSRASGLAKRDLQRSSSSPKTKELSLPVVKGLSPSETKRSPASDAKGLSVPEGKGSALPEAKRCSGPDVKESAQPTEKKLCVAGAEGPAAAGEQGPLKTEAKGGSQPQAKGSARPDAKTTTVPSGKELPLSGVKGEPIAEAKGLSVPDVKGSPVPVTKGPTSVVKGISVSDGQGSPGADAKGKSVPDEKGVALPEAKGSATPAAKGSAVLGAKESSLPDGKGGTAPEAKVASPPGATGLSRPDGPGSSVPNTKGSSEPNVKESSTKRSGASIPDERRSSVPDEKGPSVTGAKGVSVREAQGPSLLEPKGSCMPDMKGLSVPDVKGSSMPDVNKLSTLDTKASATTDTHGSVPRGEGSSAPDMKGLFRSDEKTAPVTEVNGVSAPDAMGLCTPDLRGLSGPAGTGSSVPGNKGSSGPILKGLLGPETKGTSASDMSELPMPDAKGSPGPDRKGSLVLDVKEASTPAVKESSVPHEKGSYRPEEKRPFVPDVKDSSVPDVKRLSGPDEKRPSVTYTKSSCLPDVKGLSGLDEKRVTMPDMRGPAVPDGKGLIEPEAKGTFMPDAKRSSGPDEKGISTSDAQKSSKFDMKELFMPNRDDSSKPETKVSSVPEPKGSTTAEPTGSISAGHAELTEKSPSEQPSFCTPSPCALSGRPGDSCSAGEGAGSPSRHRSEMGPSQDPTHRPPAPSAKQQSPCYDRMASSGAGLSGDQPCFFATPRPGPPSSDKQLLQLWAPDEATDM
+>sp|P32298|GRK4_HUMAN G protein-coupled receptor kinase 4 OS=Homo sapiens OX=9606 GN=GRK4 PE=1 SV=3
+MELENIVANSLLLKARQGGYGKKSGRSKKWKEILTLPPVSQCSELRHSIEKDYSSLCDKQPIGRRLFRQFCDTKPTLKRHIEFLDAVAEYEVADDEDRSDCGLSILDRFFNDKLAAPLPEIPPDVVTECRLGLKEENPSKKAFEECTRVAHNYLRGEPFEEYQESSYFSQFLQWKWLERQPVTKNTFRHYRVLGKGGFGEVCACQVRATGKMYACKKLQKKRIKKRKGEAMALNEKRILEKVQSRFVVSLAYAYETKDALCLVLTIMNGGDLKFHIYNLGNPGFDEQRAVFYAAELCCGLEDLQRERIVYRDLKPENILLDDRGHIRISDLGLATEIPEGQRVRGRVGTVGYMAPEVVNNEKYTFSPDWWGLGCLIYEMIQGHSPFKKYKEKVKWEEVDQRIKNDTEEYSEKFSEDAKSICRMLLTKNPSKRLGCRGEGAAGVKQHPVFKDINFRRLEANMLEPPFCPDPHAVYCKDVLDIEQFSVVKGIYLDTADEDFYARFATGCVSIPWQNEMIESGCFKDINKSESEEALPLDLDKNIHTPVSRPNRGFFYRLFRRGGCLTMVPSEKEVEPKQC
+>DECOY_sp|P32298|GRK4_HUMAN G protein-coupled receptor kinase 4 OS=Homo sapiens OX=9606 GN=GRK4 PE=1 SV=3
+CQKPEVEKESPVMTLCGGRRFLRYFFGRNPRSVPTHINKDLDLPLAEESESKNIDKFCGSEIMENQWPISVCGTAFRAYFDEDATDLYIGKVVSFQEIDLVDKCYVAHPDPCFPPELMNAELRRFNIDKFVPHQKVGAAGEGRCGLRKSPNKTLLMRCISKADESFKESYEETDNKIRQDVEEWKVKEKYKKFPSHGQIMEYILCGLGWWDPSFTYKENNVVEPAMYGVTGVRGRVRQGEPIETALGLDSIRIHGRDDLLINEPKLDRYVIRERQLDELGCCLEAAYFVARQEDFGPNGLNYIHFKLDGGNMITLVLCLADKTEYAYALSVVFRSQVKELIRKENLAMAEGKRKKIRKKQLKKCAYMKGTARVQCACVEGFGGKGLVRYHRFTNKTVPQRELWKWQLFQSFYSSEQYEEFPEGRLYNHAVRTCEEFAKKSPNEEKLGLRCETVVDPPIEPLPAALKDNFFRDLISLGCDSRDEDDAVEYEAVADLFEIHRKLTPKTDCFQRFLRRGIPQKDCLSSYDKEISHRLESCQSVPPLTLIEKWKKSRGSKKGYGGQRAKLLLSNAVINELEM
+>sp|Q3KR37|GRM1B_HUMAN GRAM domain-containing protein 1B OS=Homo sapiens OX=9606 GN=GRAMD1B PE=1 SV=1
+MKGFKLSCTASNSNRSTPACSPILRKRSRSPTPQNQDGDTMVEKGSDHSSDKSPSTPEQGVQRSCSSQSGRSGGKNSKKSQSWYNVLSPTYKQRNEDFRKLFKQLPDTERLIVDYSCALQRDILLQGRLYLSENWICFYSNIFRWETLLTVRLKDICSMTKEKTARLIPNAIQVCTDSEKHFFTSFGARDRTYMMMFRLWQNALLEKPLCPKELWHFVHQCYGNELGLTSDDEDYVPPDDDFNTMGYCEEIPVEENEVNDSSSKSSIETKPDASPQLPKKSITNSTLTSTGSSEAPVSFDGLPLEEEALEGDGSLEKELAIDNIMGEKIEMIAPVNSPSLDFNDNEDIPTELSDSSDTHDEGEVQAFYEDLSGRQYVNEVFNFSVDKLYDLLFTNSPFQRDFMEQRRFSDIIFHPWKKEENGNQSRVILYTITLTNPLAPKTATVRETQTMYKASQESECYVIDAEVLTHDVPYHDYFYTINRYTLTRVARNKSRLRVSTELRYRKQPWGLVKTFIEKNFWSGLEDYFRHLESELAKTESTYLAEMHRQSPKEKASKTTTVRRRKRPHAHLRVPHLEEVMSPVTTPTDEDVGHRIKHVAGSTQTRHIPEDTPNGFHLQSVSKLLLVISCVICFSLVLLVILNMMLFYKLWMLEYTTQTLTAWQGLRLQERLPQSQTEWAQLLESQQKYHDTELQKWREIIKSSVMLLDQMKDSLINLQNGIRSRDYTSESEEKRNRYH
+>DECOY_sp|Q3KR37|GRM1B_HUMAN GRAM domain-containing protein 1B OS=Homo sapiens OX=9606 GN=GRAMD1B PE=1 SV=1
+HYRNRKEESESTYDRSRIGNQLNILSDKMQDLLMVSSKIIERWKQLETDHYKQQSELLQAWETQSQPLREQLRLGQWATLTQTTYELMWLKYFLMMNLIVLLVLSFCIVCSIVLLLKSVSQLHFGNPTDEPIHRTQTSGAVHKIRHGVDEDTPTTVPSMVEELHPVRLHAHPRKRRRVTTTKSAKEKPSQRHMEALYTSETKALESELHRFYDELGSWFNKEIFTKVLGWPQKRYRLETSVRLRSKNRAVRTLTYRNITYFYDHYPVDHTLVEADIVYCESEQSAKYMTQTERVTATKPALPNTLTITYLIVRSQNGNEEKKWPHFIIDSFRRQEMFDRQFPSNTFLLDYLKDVSFNFVENVYQRGSLDEYFAQVEGEDHTDSSDSLETPIDENDNFDLSPSNVPAIMEIKEGMINDIALEKELSGDGELAEEELPLGDFSVPAESSGTSTLTSNTISKKPLQPSADPKTEISSKSSSDNVENEEVPIEECYGMTNFDDDPPVYDEDDSTLGLENGYCQHVFHWLEKPCLPKELLANQWLRFMMMYTRDRAGFSTFFHKESDTCVQIANPILRATKEKTMSCIDKLRVTLLTEWRFINSYFCIWNESLYLRGQLLIDRQLACSYDVILRETDPLQKFLKRFDENRQKYTPSLVNYWSQSKKSNKGGSRGSQSSCSRQVGQEPTSPSKDSSHDSGKEVMTDGDQNQPTPSRSRKRLIPSCAPTSRNSNSATCSLKFGKM
+>sp|Q8IUY3|GRM2A_HUMAN GRAM domain-containing protein 2A OS=Homo sapiens OX=9606 GN=GRAMD2A PE=1 SV=2
+MTALSRSEATEEGGNQQMHRKTASLNSPVSCKEKPDRVEEPPDYSLHWPEGLKGEEIKKCGREGITLNKYNQQYHKLFKDVPLEEVVLKVCSCALQRDFLLQGRLYISPNWLCFHASLFGKDIKVVIPVVSVQMIKKHKMARLLPNGLAITTNTSQKYIFVSLLSRDSVYDLLRRVCTHLQPSSKKSLSVREFSGEPESLEVLIPEMKWRKVCPSSRSLSLPDNIPCIPPSSVDSTDSFFPSRKPPMSEKSRAQVASENGGRWAWPMPGWGPACPKKMPNCSPTAKNAVYEEDELEEEPRSTGELRLWDYRLLKVFFVLICFLVMSSSYLAFRISRLEQQLCSLSWDDPVPGHR
+>DECOY_sp|Q8IUY3|GRM2A_HUMAN GRAM domain-containing protein 2A OS=Homo sapiens OX=9606 GN=GRAMD2A PE=1 SV=2
+RHGPVPDDWSLSCLQQELRSIRFALYSSSMVLFCILVFFVKLLRYDWLRLEGTSRPEEELEDEEYVANKATPSCNPMKKPCAPGWGPMPWAWRGGNESAVQARSKESMPPKRSPFFSDTSDVSSPPICPINDPLSLSRSSPCVKRWKMEPILVELSEPEGSFERVSLSKKSSPQLHTCVRRLLDYVSDRSLLSVFIYKQSTNTTIALGNPLLRAMKHKKIMQVSVVPIVVKIDKGFLSAHFCLWNPSIYLRGQLLFDRQLACSCVKLVVEELPVDKFLKHYQQNYKNLTIGERGCKKIEEGKLGEPWHLSYDPPEEVRDPKEKCSVPSNLSATKRHMQQNGGEETAESRSLATM
+>sp|Q12849|GRSF1_HUMAN G-rich sequence factor 1 OS=Homo sapiens OX=9606 GN=GRSF1 PE=1 SV=3
+MAGTRWVLGALLRGCGCNCSSCRRTGAACLPFYSAAGSIPSGVSGRRRLLLLLGAAAAAASQTRGLQTGPVPPGRLAGPPAVATSAAAAAAASYSALRASLLPQSLAAAAAVPTRSYSQESKTTYLEDLPPPPEYELAPSKLEEEVDDVFLIRAQGLPWSCTMEDVLNFFSDCRIRNGENGIHFLLNRDGKRRGDALIEMESEQDVQKALEKHRMYMGQRYVEVYEINNEDVDALMKSLQVKSSPVVNDGVVRLRGLPYSCNEKDIVDFFAGLNIVDITFVMDYRGRRKTGEAYVQFEEPEMANQALLKHREEIGNRYIEIFPSRRNEVRTHVGSYKGKKIASFPTAKYITEPEMVFEEHEVNEDIQPMTAFESEKEIELPKEVPEKLPEAADFGTTSSLHFVHMRGLPFQANAQDIINFFAPLKPVRITMEYSSSGKATGEADVHFETHEDAVAAMLKDRSHVHHRYIELFLNSCPKGK
+>DECOY_sp|Q12849|GRSF1_HUMAN G-rich sequence factor 1 OS=Homo sapiens OX=9606 GN=GRSF1 PE=1 SV=3
+KGKPCSNLFLEIYRHHVHSRDKLMAAVADEHTEFHVDAEGTAKGSSSYEMTIRVPKLPAFFNIIDQANAQFPLGRMHVFHLSSTTGFDAAEPLKEPVEKPLEIEKESEFATMPQIDENVEHEEFVMEPETIYKATPFSAIKKGKYSGVHTRVENRRSPFIEIYRNGIEERHKLLAQNAMEPEEFQVYAEGTKRRGRYDMVFTIDVINLGAFFDVIDKENCSYPLGRLRVVGDNVVPSSKVQLSKMLADVDENNIEYVEVYRQGMYMRHKELAKQVDQESEMEILADGRRKGDRNLLFHIGNEGNRIRCDSFFNLVDEMTCSWPLGQARILFVDDVEEELKSPALEYEPPPPLDELYTTKSEQSYSRTPVAAAAALSQPLLSARLASYSAAAAAAASTAVAPPGALRGPPVPGTQLGRTQSAAAAAAGLLLLLRRRGSVGSPISGAASYFPLCAAGTRRCSSCNCGCGRLLAGLVWRTGAM
+>sp|Q9BQ67|GRWD1_HUMAN Glutamate-rich WD repeat-containing protein 1 OS=Homo sapiens OX=9606 GN=GRWD1 PE=1 SV=1
+MAARKGRRRTCETGEPMEAESGDTSSEGPAQVYLPGRGPPLREGEELVMDEEAYVLYHRAQTGAPCLSFDIVRDHLGDNRTELPLTLYLCAGTQAESAQSNRLMMLRMHNLHGTKPPPSEGSDEEEEEEDEEDEEERKPQLELAMVPHYGGINRVRVSWLGEEPVAGVWSEKGQVEVFALRRLLQVVEEPQALAAFLRDEQAQMKPIFSFAGHMGEGFALDWSPRVTGRLLTGDCQKNIHLWTPTDGGSWHVDQRPFVGHTRSVEDLQWSPTENTVFASCSADASIRIWDIRAAPSKACMLTTATAHDGDVNVISWSRREPFLLSGGDDGALKIWDLRQFKSGSPVATFKQHVAPVTSVEWHPQDSGVFAASGADHQITQWDLAVERDPEAGDVEADPGLADLPQQLLFVHQGETELKELHWHPQCPGLLVSTALSGFTIFRTISV
+>DECOY_sp|Q9BQ67|GRWD1_HUMAN Glutamate-rich WD repeat-containing protein 1 OS=Homo sapiens OX=9606 GN=GRWD1 PE=1 SV=1
+VSITRFITFGSLATSVLLGPCQPHWHLEKLETEGQHVFLLQQPLDALGPDAEVDGAEPDREVALDWQTIQHDAGSAAFVGSDQPHWEVSTVPAVHQKFTAVPSGSKFQRLDWIKLAGDDGGSLLFPERRSWSIVNVDGDHATATTLMCAKSPAARIDWIRISADASCSAFVTNETPSWQLDEVSRTHGVFPRQDVHWSGGDTPTWLHINKQCDGTLLRGTVRPSWDLAFGEGMHGAFSFIPKMQAQEDRLFAALAQPEEVVQLLRRLAFVEVQGKESWVGAVPEEGLWSVRVRNIGGYHPVMALELQPKREEEDEEDEEEEEEDSGESPPPKTGHLNHMRLMMLRNSQASEAQTGACLYLTLPLETRNDGLHDRVIDFSLCPAGTQARHYLVYAEEDMVLEEGERLPPGRGPLYVQAPGESSTDGSEAEMPEGTECTRRRGKRAAM
+>sp|P48506|GSH1_HUMAN Glutamate--cysteine ligase catalytic subunit OS=Homo sapiens OX=9606 GN=GCLC PE=1 SV=2
+MGLLSQGSPLSWEETKRHADHVRRHGILQFLHIYHAVKDRHKDVLKWGDEVEYMLVSFDHENKKVRLVLSGEKVLETLQEKGERTNPNHPTLWRPEYGSYMIEGTPGQPYGGTMSEFNTVEANMRKRRKEATSILEENQALCTITSFPRLGCPGFTLPEVKPNPVEGGASKSLFFPDEAINKHPRFSTLTRNIRHRRGEKVVINVPIFKDKNTPSPFIETFTEDDEASRASKPDHIYMDAMGFGMGNCCLQVTFQACSISEARYLYDQLATICPIVMALSAASPFYRGYVSDIDCRWGVISASVDDRTREERGLEPLKNNNYRISKSRYDSIDSYLSKCGEKYNDIDLTIDKEIYEQLLQEGIDHLLAQHVAHLFIRDPLTLFEEKIHLDDANESDHFENIQSTNWQTMRFKPPPPNSDIGWRVEFRPMEVQLTDFENSAYVVFVVLLTRVILSYKLDFLIPLSKVDENMKVAQKRDAVLQGMFYFRKDICKGGNAVVDGCGKAQNSTELAAEEYTLMSIDTIINGKEGVFPGLIPILNSYLENMEVDVDTRCSILNYLKLIKKRASGELMTVARWMREFIANHPDYKQDSVITDEMNYSLILKCNQIANELCECPELLGSAFRKVKYSGSKTDSSN
+>DECOY_sp|P48506|GSH1_HUMAN Glutamate--cysteine ligase catalytic subunit OS=Homo sapiens OX=9606 GN=GCLC PE=1 SV=2
+NSSDTKSGSYKVKRFASGLLEPCECLENAIQNCKLILSYNMEDTIVSDQKYDPHNAIFERMWRAVTMLEGSARKKILKLYNLISCRTDVDVEMNELYSNLIPILGPFVGEKGNIITDISMLTYEEAALETSNQAKGCGDVVANGGKCIDKRFYFMGQLVADRKQAVKMNEDVKSLPILFDLKYSLIVRTLLVVFVVYASNEFDTLQVEMPRFEVRWGIDSNPPPPKFRMTQWNTSQINEFHDSENADDLHIKEEFLTLPDRIFLHAVHQALLHDIGEQLLQEYIEKDITLDIDNYKEGCKSLYSDISDYRSKSIRYNNNKLPELGREERTRDDVSASIVGWRCDIDSVYGRYFPSAASLAMVIPCITALQDYLYRAESISCAQFTVQLCCNGMGFGMADMYIHDPKSARSAEDDETFTEIFPSPTNKDKFIPVNIVVKEGRRHRINRTLTSFRPHKNIAEDPFFLSKSAGGEVPNPKVEPLTFGPCGLRPFSTITCLAQNEELISTAEKRRKRMNAEVTNFESMTGGYPQGPTGEIMYSGYEPRWLTPHNPNTREGKEQLTELVKEGSLVLRVKKNEHDFSVLMYEVEDGWKLVDKHRDKVAHYIHLFQLIGHRRVHDAHRKTEEWSLPSGQSLLGM
+>sp|P48637|GSHB_HUMAN Glutathione synthetase OS=Homo sapiens OX=9606 GN=GSS PE=1 SV=1
+MATNWGSLLQDKQQLEELARQAVDRALAEGVLLRTSQEPTSSEVVSYAPFTLFPSLVPSALLEQAYAVQMDFNLLVDAVSQNAAFLEQTLSSTIKQDDFTARLFDIHKQVLKEGIAQTVFLGLNRSDYMFQRSADGSPALKQIEINTISASFGGLASRTPAVHRHVLSVLSKTKEAGKILSNNPSKGLALGIAKAWELYGSPNALVLLIAQEKERNIFDQRAIENELLARNIHVIRRTFEDISEKGSLDQDRRLFVDGQEIAVVYFRDGYMPRQYSLQNWEARLLLERSHAAKCPDIATQLAGTKKVQQELSRPGMLEMLLPGQPEAVARLRATFAGLYSLDVGEEGDQAIAEALAAPSRFVLKPQREGGGNNLYGEEMVQALKQLKDSEERASYILMEKIEPEPFENCLLRPGSPARVVQCISELGIFGVYVRQEKTLVMNKHVGHLLRTKAIEHADGGVAAGVAVLDNPYPV
+>DECOY_sp|P48637|GSHB_HUMAN Glutathione synthetase OS=Homo sapiens OX=9606 GN=GSS PE=1 SV=1
+VPYPNDLVAVGAAVGGDAHEIAKTRLLHGVHKNMVLTKEQRVYVGFIGLESICQVVRAPSGPRLLCNEFPEPEIKEMLIYSAREESDKLQKLAQVMEEGYLNNGGGERQPKLVFRSPAALAEAIAQDGEEGVDLSYLGAFTARLRAVAEPQGPLLMELMGPRSLEQQVKKTGALQTAIDPCKAAHSRELLLRAEWNQLSYQRPMYGDRFYVVAIEQGDVFLRRDQDLSGKESIDEFTRRIVHINRALLENEIARQDFINREKEQAILLVLANPSGYLEWAKAIGLALGKSPNNSLIKGAEKTKSLVSLVHRHVAPTRSALGGFSASITNIEIQKLAPSGDASRQFMYDSRNLGLFVTQAIGEKLVQKHIDFLRATFDDQKITSSLTQELFAANQSVADVLLNFDMQVAYAQELLASPVLSPFLTFPAYSVVESSTPEQSTRLLVGEALARDVAQRALEELQQKDQLLSGWNTAM
+>sp|P49840|GSK3A_HUMAN Glycogen synthase kinase-3 alpha OS=Homo sapiens OX=9606 GN=GSK3A PE=1 SV=2
+MSGGGPSGGGPGGSGRARTSSFAEPGGGGGGGGGGPGGSASGPGGTGGGKASVGAMGGGVGASSSGGGPGGSGGGGSGGPGAGTSFPPPGVKLGRDSGKVTTVVATLGQGPERSQEVAYTDIKVIGNGSFGVVYQARLAETRELVAIKKVLQDKRFKNRELQIMRKLDHCNIVRLRYFFYSSGEKKDELYLNLVLEYVPETVYRVARHFTKAKLTIPILYVKVYMYQLFRSLAYIHSQGVCHRDIKPQNLLVDPDTAVLKLCDFGSAKQLVRGEPNVSYICSRYYRAPELIFGATDYTSSIDVWSAGCVLAELLLGQPIFPGDSGVDQLVEIIKVLGTPTREQIREMNPNYTEFKFPQIKAHPWTKVFKSRTPPEAIALCSSLLEYTPSSRLSPLEACAHSFFDELRCLGTQLPNNRPLPPLFNFSAGELSIQPSLNAILIPPHLRSPAGTTTLTPSSQALTETPTSSDWQSTDATPTLTNSS
+>DECOY_sp|P49840|GSK3A_HUMAN Glycogen synthase kinase-3 alpha OS=Homo sapiens OX=9606 GN=GSK3A PE=1 SV=2
+SSNTLTPTADTSQWDSSTPTETLAQSSPTLTTTGAPSRLHPPILIANLSPQISLEGASFNFLPPLPRNNPLQTGLCRLEDFFSHACAELPSLRSSPTYELLSSCLAIAEPPTRSKFVKTWPHAKIQPFKFETYNPNMERIQERTPTGLVKIIEVLQDVGSDGPFIPQGLLLEALVCGASWVDISSTYDTAGFILEPARYYRSCIYSVNPEGRVLQKASGFDCLKLVATDPDVLLNQPKIDRHCVGQSHIYALSRFLQYMYVKVYLIPITLKAKTFHRAVRYVTEPVYELVLNLYLEDKKEGSSYFFYRLRVINCHDLKRMIQLERNKFRKDQLVKKIAVLERTEALRAQYVVGFSGNGIVKIDTYAVEQSREPGQGLTAVVTTVKGSDRGLKVGPPPFSTGAGPGGSGGGGSGGPGGGSSSAGVGGGMAGVSAKGGGTGGPGSASGGPGGGGGGGGGGPEAFSSTRARGSGGPGGGSPGGGSM
+>sp|P22732|GTR5_HUMAN Solute carrier family 2, facilitated glucose transporter member 5 OS=Homo sapiens OX=9606 GN=SLC2A5 PE=1 SV=1
+MEQQDQSMKEGRLTLVLALATLIAAFGSSFQYGYNVAAVNSPALLMQQFYNETYYGRTGEFMEDFPLTLLWSVTVSMFPFGGFIGSLLVGPLVNKFGRKGALLFNNIFSIVPAILMGCSRVATSFELIIISRLLVGICAGVSSNVVPMYLGELAPKNLRGALGVVPQLFITVGILVAQIFGLRNLLANVDGWPILLGLTGVPAALQLLLLPFFPESPRYLLIQKKDEAAAKKALQTLRGWDSVDREVAEIRQEDEAEKAAGFISVLKLFRMRSLRWQLLSIIVLMGGQQLSGVNAIYYYADQIYLSAGVPEEHVQYVTAGTGAVNVVMTFCAVFVVELLGRRLLLLLGFSICLIACCVLTAALALQDTVSWMPYISIVCVISYVIGHALGPSPIPALLITEIFLQSSRPSAFMVGGSVHWLSNFTVGLIFPFIQEGLGPYSFIVFAVICLLTTIYIFLIVPETKAKTFIEINQIFTKMNKVSEVYPEKEELKELPPVTSEQ
+>DECOY_sp|P22732|GTR5_HUMAN Solute carrier family 2, facilitated glucose transporter member 5 OS=Homo sapiens OX=9606 GN=SLC2A5 PE=1 SV=1
+QESTVPPLEKLEEKEPYVESVKNMKTFIQNIEIFTKAKTEPVILFIYITTLLCIVAFVIFSYPGLGEQIFPFILGVTFNSLWHVSGGVMFASPRSSQLFIETILLAPIPSPGLAHGIVYSIVCVISIYPMWSVTDQLALAATLVCCAILCISFGLLLLLRRGLLEVVFVACFTMVVNVAGTGATVYQVHEEPVGASLYIQDAYYYIANVGSLQQGGMLVIISLLQWRLSRMRFLKLVSIFGAAKEAEDEQRIEAVERDVSDWGRLTQLAKKAAAEDKKQILLYRPSEPFFPLLLLQLAAPVGTLGLLIPWGDVNALLNRLGFIQAVLIGVTIFLQPVVGLAGRLNKPALEGLYMPVVNSSVGACIGVLLRSIIILEFSTAVRSCGMLIAPVISFINNFLLAGKRGFKNVLPGVLLSGIFGGFPFMSVTVSWLLTLPFDEMFEGTRGYYTENYFQQMLLAPSNVAAVNYGYQFSSGFAAILTALALVLTLRGEKMSQDQQEM
+>sp|A0A096LPI5|GVQW2_HUMAN Protein GVQW2 OS=Homo sapiens OX=9606 GN=GVQW2 PE=4 SV=1
+MQYPFRKLLRPSTESCCVAQARVQWCHLGSLQPPLPGFKQFSCHSLPSSWDYRWNLTLSPRLECSGAISAHCNLCLPDLSDSPASTSRVAGTTGAHHHAQEPVVIRKM
+>DECOY_sp|A0A096LPI5|GVQW2_HUMAN Protein GVQW2 OS=Homo sapiens OX=9606 GN=GVQW2 PE=4 SV=1
+MKRIVVPEQAHHHAGTTGAVRSTSAPSDSLDPLCLNCHASIAGSCELRPSLTLNWRYDWSSPLSHCSFQKFGPLPPQLSGLHCWQVRAQAVCCSETSPRLLKRFPYQM
+>sp|Q96GX5|GWL_HUMAN Serine/threonine-protein kinase greatwall OS=Homo sapiens OX=9606 GN=MASTL PE=1 SV=1
+MDPTAGSKKEPGGGAATEEGVNRIAVPKPPSIEEFSIVKPISRGAFGKVYLGQKGGKLYAVKVVKKADMINKNMTHQVQAERDALALSKSPFIVHLYYSLQSANNVYLVMEYLIGGDVKSLLHIYGYFDEEMAVKYISEVALALDYLHRHGIIHRDLKPDNMLISNEGHIKLTDFGLSKVTLNRDINMMDILTTPSMAKPRQDYSRTPGQVLSLISSLGFNTPIAEKNQDPANILSACLSETSQLSQGLVCPMSVDQKDTTPYSSKLLKSCLETVASNPGMPVKCLTSNLLQSRKRLATSSASSQSHTFISSVESECHSSPKWEKDCQESDEALGPTMMSWNAVEKLCAKSANAIETKGFNKKDLELALSPIHNSSALPTTGRSCVNLAKKCFSGEVSWEAVELDVNNINMDTDTSQLGFHQSNQWAVDSGGISEEHLGKRSLKRNFELVDSSPCKKIIQNKKTCVEYKHNEMTNCYTNQNTGLTVEVQDLKLSVHKSQQNDCANKENIVNSFTDKQQTPEKLPIPMIAKNLMCELDEDCEKNSKRDYLSSSFLCSDDDRASKNISMNSDSSFPGISIMESPLESQPLDSDRSIKESSFEESNIEDPLIVTPDCQEKTSPKGVENPAVQESNQKMLGPPLEVLKTLASKRNAVAFRSFNSHINASNNSEPSRMNMTSLDAMDISCAYSGSYPMAITPTQKRRSCMPHQQTPNQIKSGTPYRTPKSVRRGVAPVDDGRILGTPDYLAPELLLGRAHGPAVDWWALGVCLFEFLTGIPPFNDETPQQVFQNILKRDIPWPEGEEKLSDNAQSAVEILLTIDDTKRAGMKELKRHPLFSDVDWENLQHQTMPFIPQPDDETDTSYFEARNTAQHLTVSGFSL
+>DECOY_sp|Q96GX5|GWL_HUMAN Serine/threonine-protein kinase greatwall OS=Homo sapiens OX=9606 GN=MASTL PE=1 SV=1
+LSFGSVTLHQATNRAEFYSTDTEDDPQPIFPMTQHQLNEWDVDSFLPHRKLEKMGARKTDDITLLIEVASQANDSLKEEGEPWPIDRKLINQFVQQPTEDNFPPIGTLFEFLCVGLAWWDVAPGHARGLLLEPALYDPTGLIRGDDVPAVGRRVSKPTRYPTGSKIQNPTQQHPMCSRRKQTPTIAMPYSGSYACSIDMADLSTMNMRSPESNNSANIHSNFSRFAVANRKSALTKLVELPPGLMKQNSEQVAPNEVGKPSTKEQCDPTVILPDEINSEEFSSEKISRDSDLPQSELPSEMISIGPFSSDSNMSINKSARDDDSCLFSSSLYDRKSNKECDEDLECMLNKAIMPIPLKEPTQQKDTFSNVINEKNACDNQQSKHVSLKLDQVEVTLGTNQNTYCNTMENHKYEVCTKKNQIIKKCPSSDVLEFNRKLSRKGLHEESIGGSDVAWQNSQHFGLQSTDTDMNINNVDLEVAEWSVEGSFCKKALNVCSRGTTPLASSNHIPSLALELDKKNFGKTEIANASKACLKEVANWSMMTPGLAEDSEQCDKEWKPSSHCESEVSSIFTHSQSSASSTALRKRSQLLNSTLCKVPMGPNSAVTELCSKLLKSSYPTTDKQDVSMPCVLGQSLQSTESLCASLINAPDQNKEAIPTNFGLSSILSLVQGPTRSYDQRPKAMSPTTLIDMMNIDRNLTVKSLGFDTLKIHGENSILMNDPKLDRHIIGHRHLYDLALAVESIYKVAMEEDFYGYIHLLSKVDGGILYEMVLYVNNASQLSYYLHVIFPSKSLALADREAQVQHTMNKNIMDAKKVVKVAYLKGGKQGLYVKGFAGRSIPKVISFEEISPPKPVAIRNVGEETAAGGGPEKKSGATPDM
+>sp|P13807|GYS1_HUMAN Glycogen [starch] synthase, muscle OS=Homo sapiens OX=9606 GN=GYS1 PE=1 SV=2
+MPLNRTLSMSSLPGLEDWEDEFDLENAVLFEVAWEVANKVGGIYTVLQTKAKVTGDEWGDNYFLVGPYTEQGVRTQVELLEAPTPALKRTLDSMNSKGCKVYFGRWLIEGGPLVVLLDVGASAWALERWKGELWDTCNIGVPWYDREANDAVLFGFLTTWFLGEFLAQSEEKPHVVAHFHEWLAGVGLCLCRARRLPVATIFTTHATLLGRYLCAGAVDFYNNLENFNVDKEAGERQIYHRYCMERAAAHCAHVFTTVSQITAIEAQHLLKRKPDIVTPNGLNVKKFSAMHEFQNLHAQSKARIQEFVRGHFYGHLDFNLDKTLYFFIAGRYEFSNKGADVFLEALARLNYLLRVNGSEQTVVAFFIMPARTNNFNVETLKGQAVRKQLWDTANTVKEKFGRKLYESLLVGSLPDMNKMLDKEDFTMMKRAIFATQRQSFPPVCTHNMLDDSSDPILTTIRRIGLFNSSADRVKVIFHPEFLSSTSPLLPVDYEEFVRGCHLGVFPSYYEPWGYTPAECTVMGIPSISTNLSGFGCFMEEHIADPSAYGIYILDRRFRSLDDSCSQLTSFLYSFCQQSRRQRIIQRNRTERLSDLLDWKYLGRYYMSARHMALSKAFPEHFTYEPNEADAAQGYRYPRPASVPPSPSLSRHSSPHQSEDEEDPRNGPLEEDGERYDEDEEAAKDRRNIRAPEWPRRASCTSSTSGSKRNSVDTATSSSLSTPSEPLSPTSSLGEERN
+>DECOY_sp|P13807|GYS1_HUMAN Glycogen [starch] synthase, muscle OS=Homo sapiens OX=9606 GN=GYS1 PE=1 SV=2
+NREEGLSSTPSLPESPTSLSSSTATDVSNRKSGSTSSTCSARRPWEPARINRRDKAAEEDEDYREGDEELPGNRPDEEDESQHPSSHRSLSPSPPVSAPRPYRYGQAADAENPEYTFHEPFAKSLAMHRASMYYRGLYKWDLLDSLRETRNRQIIRQRRSQQCFSYLFSTLQSCSDDLSRFRRDLIYIGYASPDAIHEEMFCGFGSLNTSISPIGMVTCEAPTYGWPEYYSPFVGLHCGRVFEEYDVPLLPSTSSLFEPHFIVKVRDASSNFLGIRRITTLIPDSSDDLMNHTCVPPFSQRQTAFIARKMMTFDEKDLMKNMDPLSGVLLSEYLKRGFKEKVTNATDWLQKRVAQGKLTEVNFNNTRAPMIFFAVVTQESGNVRLLYNLRALAELFVDAGKNSFEYRGAIFFYLTKDLNFDLHGYFHGRVFEQIRAKSQAHLNQFEHMASFKKVNLGNPTVIDPKRKLLHQAEIATIQSVTTFVHACHAAAREMCYRHYIQREGAEKDVNFNELNNYFDVAGACLYRGLLTAHTTFITAVPLRRARCLCLGVGALWEHFHAVVHPKEESQALFEGLFWTTLFGFLVADNAERDYWPVGINCTDWLEGKWRELAWASAGVDLLVVLPGGEILWRGFYVKCGKSNMSDLTRKLAPTPAELLEVQTRVGQETYPGVLFYNDGWEDGTVKAKTQLVTYIGGVKNAVEWAVEFLVANELDFEDEWDELGPLSSMSLTRNLPM
+>sp|P16403|H12_HUMAN Histone H1.2 OS=Homo sapiens OX=9606 GN=HIST1H1C PE=1 SV=2
+MSETAPAAPAAAPPAEKAPVKKKAAKKAGGTPRKASGPPVSELITKAVAASKERSGVSLAALKKALAAAGYDVEKNNSRIKLGLKSLVSKGTLVQTKGTGASGSFKLNKKAASGEAKPKVKKAGGTKPKKPVGAAKKPKKAAGGATPKKSAKKTPKKAKKPAAATVTKKVAKSPKKAKVAKPKKAAKSAAKAVKPKAAKPKVVKPKKAAPKKK
+>DECOY_sp|P16403|H12_HUMAN Histone H1.2 OS=Homo sapiens OX=9606 GN=HIST1H1C PE=1 SV=2
+KKKPAAKKPKVVKPKAAKPKVAKAASKAAKKPKAVKAKKPSKAVKKTVTAAAPKKAKKPTKKASKKPTAGGAAKKPKKAAGVPKKPKTGGAKKVKPKAEGSAAKKNLKFSGSAGTGKTQVLTGKSVLSKLGLKIRSNNKEVDYGAAALAKKLAALSVGSREKSAAVAKTILESVPPGSAKRPTGGAKKAAKKKVPAKEAPPAAAPAAPATESM
+>sp|P20671|H2A1D_HUMAN Histone H2A type 1-D OS=Homo sapiens OX=9606 GN=HIST1H2AD PE=1 SV=2
+MSGRGKQGGKARAKAKTRSSRAGLQFPVGRVHRLLRKGNYSERVGAGAPVYLAAVLEYLTAEILELAGNAARDNKKTRIIPRHLQLAIRNDEELNKLLGKVTIAQGGVLPNIQAVLLPKKTESHHKAKGK
+>DECOY_sp|P20671|H2A1D_HUMAN Histone H2A type 1-D OS=Homo sapiens OX=9606 GN=HIST1H2AD PE=1 SV=2
+KGKAKHHSETKKPLLVAQINPLVGGQAITVKGLLKNLEEDNRIALQLHRPIIRTKKNDRAANGALELIEATLYELVAALYVPAGAGVRESYNGKRLLRHVRGVPFQLGARSSRTKAKARAKGGQKGRGSM
+>sp|P16104|H2AX_HUMAN Histone H2AX OS=Homo sapiens OX=9606 GN=H2AFX PE=1 SV=2
+MSGRGKTGGKARAKAKSRSSRAGLQFPVGRVHRLLRKGHYAERVGAGAPVYLAAVLEYLTAEILELAGNAARDNKKTRIIPRHLQLAIRNDEELNKLLGGVTIAQGGVLPNIQAVLLPKKTSATVGPKAPSGGKKATQASQEY
+>DECOY_sp|P16104|H2AX_HUMAN Histone H2AX OS=Homo sapiens OX=9606 GN=H2AFX PE=1 SV=2
+YEQSAQTAKKGGSPAKPGVTASTKKPLLVAQINPLVGGQAITVGGLLKNLEEDNRIALQLHRPIIRTKKNDRAANGALELIEATLYELVAALYVPAGAGVREAYHGKRLLRHVRGVPFQLGARSSRSKAKARAKGGTKGRGSM
+>sp|O75367|H2AY_HUMAN Core histone macro-H2A.1 OS=Homo sapiens OX=9606 GN=H2AFY PE=1 SV=4
+MSSRGGKKKSTKTSRSAKAGVIFPVGRMLRYIKKGHPKYRIGVGAPVYMAAVLEYLTAEILELAGNAARDNKKGRVTPRHILLAVANDEELNQLLKGVTIASGGVLPNIHPELLAKKRGSKGKLEAIITPPPAKKAKSPSQKKPVSKKAGGKKGARKSKKKQGEVSKAASADSTTEGTPADGFTVLSTKSLFLGQKLNLIHSEISNLAGFEVEAIINPTNADIDLKDDLGNTLEKKGGKEFVEAVLELRKKNGPLEVAGAAVSAGHGLPAKFVIHCNSPVWGADKCEELLEKTVKNCLALADDKKLKSIAFPSIGSGRNGFPKQTAAQLILKAISSYFVSTMSSSIKTVYFVLFDSESIGIYVQEMAKLDAN
+>DECOY_sp|O75367|H2AY_HUMAN Core histone macro-H2A.1 OS=Homo sapiens OX=9606 GN=H2AFY PE=1 SV=4
+NADLKAMEQVYIGISESDFLVFYVTKISSSMTSVFYSSIAKLILQAATQKPFGNRGSGISPFAISKLKKDDALALCNKVTKELLEECKDAGWVPSNCHIVFKAPLGHGASVAAGAVELPGNKKRLELVAEVFEKGGKKELTNGLDDKLDIDANTPNIIAEVEFGALNSIESHILNLKQGLFLSKTSLVTFGDAPTGETTSDASAAKSVEGQKKKSKRAGKKGGAKKSVPKKQSPSKAKKAPPPTIIAELKGKSGRKKALLEPHINPLVGGSAITVGKLLQNLEEDNAVALLIHRPTVRGKKNDRAANGALELIEATLYELVAAMYVPAGVGIRYKPHGKKIYRLMRGVPFIVGAKASRSTKTSKKKGGRSSM
+>sp|P58876|H2B1D_HUMAN Histone H2B type 1-D OS=Homo sapiens OX=9606 GN=HIST1H2BD PE=1 SV=2
+MPEPTKSAPAPKKGSKKAVTKAQKKDGKKRKRSRKESYSVYVYKVLKQVHPDTGISSKAMGIMNSFVNDIFERIAGEASRLAHYNKRSTITSREIQTAVRLLLPGELAKHAVSEGTKAVTKYTSSK
+>DECOY_sp|P58876|H2B1D_HUMAN Histone H2B type 1-D OS=Homo sapiens OX=9606 GN=HIST1H2BD PE=1 SV=2
+KSSTYKTVAKTGESVAHKALEGPLLLRVATQIERSTITSRKNYHALRSAEGAIREFIDNVFSNMIGMAKSSIGTDPHVQKLVKYVYVSYSEKRSRKRKKGDKKQAKTVAKKSGKKPAPASKTPEPM
+>sp|Q6DN03|H2B2C_HUMAN Putative histone H2B type 2-C OS=Homo sapiens OX=9606 GN=HIST2H2BC PE=5 SV=3
+MPEPAKFAPAPKKGSKKAVTKAQKKDGKKRKRSRKESYSIYVYKVLKRVHPDTGIWCKAMGIMNSFLNDIFERIAGEASRLAHYNKRSTITSRRSRRPCACCCPASWPSTPCPRAPRRSPSTPAPSESLPGPGARSLPPSLPPRVAGCFVSKGSFQGHLTTSVKESFLCCQSQLMFLASRLVNFRRAHNTKHR
+>DECOY_sp|Q6DN03|H2B2C_HUMAN Putative histone H2B type 2-C OS=Homo sapiens OX=9606 GN=HIST2H2BC PE=5 SV=3
+RHKTNHARRFNVLRSALFMLQSQCCLFSEKVSTTLHGQFSGKSVFCGAVRPPLSPPLSRAGPGPLSESPAPTSPSRRPARPCPTSPWSAPCCCACPRRSRRSTITSRKNYHALRSAEGAIREFIDNLFSNMIGMAKCWIGTDPHVRKLVKYVYISYSEKRSRKRKKGDKKQAKTVAKKSGKKPAPAFKAPEPM
+>sp|Q16778|H2B2E_HUMAN Histone H2B type 2-E OS=Homo sapiens OX=9606 GN=HIST2H2BE PE=1 SV=3
+MPEPAKSAPAPKKGSKKAVTKAQKKDGKKRKRSRKESYSIYVYKVLKQVHPDTGISSKAMGIMNSFVNDIFERIAGEASRLAHYNKRSTITSREIQTAVRLLLPGELAKHAVSEGTKAVTKYTSSK
+>DECOY_sp|Q16778|H2B2E_HUMAN Histone H2B type 2-E OS=Homo sapiens OX=9606 GN=HIST2H2BE PE=1 SV=3
+KSSTYKTVAKTGESVAHKALEGPLLLRVATQIERSTITSRKNYHALRSAEGAIREFIDNVFSNMIGMAKSSIGTDPHVQKLVKYVYISYSEKRSRKRKKGDKKQAKTVAKKSGKKPAPASKAPEPM
+>sp|Q8IYK4|GT252_HUMAN Procollagen galactosyltransferase 2 OS=Homo sapiens OX=9606 GN=COLGALT2 PE=1 SV=1
+MAARPAATLAWSLLLLSSALLREGCRARFVAERDSEDDGEEPVVFPESPLQSPTVLVAVLARNAAHTLPHFLGCLERLDYPKSRMAIWAATDHNVDNTTEIFREWLKNVQRLYHYVEWRPMDEPESYPDEIGPKHWPTSRFAHVMKLRQAALRTAREKWSDYILFIDVDNFLTNPQTLNLLIAENKTIVAPMLESRGLYSNFWCGITPKGFYKRTPDYVQIREWKRTGCFPVPMVHSTFLIDLRKEASDKLTFYPPHQDYTWTFDDIIVFAFSSRQAGIQMYLCNREHYGYLPIPLKPHQTLQEDIENLIHVQIEAMIDRPPMEPSQYVSVVPKYPDKMGFDEIFMINLKRRKDRRDRMLRTLYEQEIEVKIVEAVDGKALNTSQLKALNIEMLPGYRDPYSSRPLTRGEIGCFLSHYSVWKEVIDRELEKTLVIEDDVRFEHQFKKKLMKLMDNIDQAQLDWELIYIGRKRMQVKEPEKAVPNVANLVEADYSYWTLGYVISLEGAQKLVGANPFGKMLPVDEFLPVMYNKHPVAEYKEYYESRDLKAFSAEPLLIYPTHYTGQPGYLSDTETSTIWDNETVATDWDRTHAWKSRKQSRIYSNAKNTEALPPPTSLDTVPSRDEL
+>DECOY_sp|Q8IYK4|GT252_HUMAN Procollagen galactosyltransferase 2 OS=Homo sapiens OX=9606 GN=COLGALT2 PE=1 SV=1
+LEDRSPVTDLSTPPPLAETNKANSYIRSQKRSKWAHTRDWDTAVTENDWITSTETDSLYGPQGTYHTPYILLPEASFAKLDRSEYYEKYEAVPHKNYMVPLFEDVPLMKGFPNAGVLKQAGELSIVYGLTWYSYDAEVLNAVNPVAKEPEKVQMRKRGIYILEWDLQAQDINDMLKMLKKKFQHEFRVDDEIVLTKELERDIVEKWVSYHSLFCGIEGRTLPRSSYPDRYGPLMEINLAKLQSTNLAKGDVAEVIKVEIEQEYLTRLMRDRRDKRRKLNIMFIEDFGMKDPYKPVVSVYQSPEMPPRDIMAEIQVHILNEIDEQLTQHPKLPIPLYGYHERNCLYMQIGAQRSSFAFVIIDDFTWTYDQHPPYFTLKDSAEKRLDILFTSHVMPVPFCGTRKWERIQVYDPTRKYFGKPTIGCWFNSYLGRSELMPAVITKNEAILLNLTQPNTLFNDVDIFLIYDSWKERATRLAAQRLKMVHAFRSTPWHKPGIEDPYSEPEDMPRWEVYHYLRQVNKLWERFIETTNDVNHDTAAWIAMRSKPYDLRELCGLFHPLTHAANRALVAVLVTPSQLPSEPFVVPEEGDDESDREAVFRARCGERLLASSLLLLSWALTAAPRAAM
+>sp|Q9UMX6|GUC1B_HUMAN Guanylyl cyclase-activating protein 2 OS=Homo sapiens OX=9606 GN=GUCA1B PE=1 SV=4
+MGQEFSWEEAEAAGEIDVAELQEWYKKFVMECPSGTLFMHEFKRFFKVTDDEEASQYVEGMFRAFDKNGDNTIDFLEYVAALNLVLRGTLEHKLKWTFKIYDKDGNGCIDRLELLNIVEGIYQLKKACRRELQTEQGQLLTPEEVVDRIFLLVDENGDGQLSLNEFVEGARRDKWVMKMLQMDMNPSSWLAQQRRKSAMF
+>DECOY_sp|Q9UMX6|GUC1B_HUMAN Guanylyl cyclase-activating protein 2 OS=Homo sapiens OX=9606 GN=GUCA1B PE=1 SV=4
+FMASKRRQQALWSSPNMDMQLMKMVWKDRRAGEVFENLSLQGDGNEDVLLFIRDVVEEPTLLQGQETQLERRCAKKLQYIGEVINLLELRDICGNGDKDYIKFTWKLKHELTGRLVLNLAAVYELFDITNDGNKDFARFMGEVYQSAEEDDTVKFFRKFEHMFLTGSPCEMVFKKYWEQLEAVDIEGAAEAEEWSFEQGM
+>sp|Q16661|GUC2B_HUMAN Guanylate cyclase activator 2B OS=Homo sapiens OX=9606 GN=GUCA2B PE=1 SV=1
+MGCRAASGLLPGVAVVLLLLLQSTQSVYIQYQGFRVQLESMKKLSDLEAQWAPSPRLQAQSLLPAVCHHPALPQDLQPVCASQEASSIFKTLRTIANDDCELCVNVACTGCL
+>DECOY_sp|Q16661|GUC2B_HUMAN Guanylate cyclase activator 2B OS=Homo sapiens OX=9606 GN=GUCA2B PE=1 SV=1
+LCGTCAVNVCLECDDNAITRLTKFISSAEQSACVPQLDQPLAPHHCVAPLLSQAQLRPSPAWQAELDSLKKMSELQVRFGQYQIYVSQTSQLLLLLVVAVGPLLGSAARCGM
+>sp|P25092|GUC2C_HUMAN Heat-stable enterotoxin receptor OS=Homo sapiens OX=9606 GN=GUCY2C PE=1 SV=2
+MKTLLLDLALWSLLFQPGWLSFSSQVSQNCHNGSYEISVLMMGNSAFAEPLKNLEDAVNEGLEIVRGRLQNAGLNVTVNATFMYSDGLIHNSGDCRSSTCEGLDLLRKISNAQRMGCVLIGPSCTYSTFQMYLDTELSYPMISAGSFGLSCDYKETLTRLMSPARKLMYFLVNFWKTNDLPFKTYSWSTSYVYKNGTETEDCFWYLNALEASVSYFSHELGFKVVLRQDKEFQDILMDHNRKSNVIIMCGGPEFLYKLKGDRAVAEDIVIILVDLFNDQYFEDNVTAPDYMKNVLVLTLSPGNSLLNSSFSRNLSPTKRDFALAYLNGILLFGHMLKIFLENGENITTPKFAHAFRNLTFEGYDGPVTLDDWGDVDSTMVLLYTSVDTKKYKVLLTYDTHVNKTYPVDMSPTFTWKNSKLPNDITGRGPQILMIAVFTLTGAVVLLLLVALLMLRKYRKDYELRQKKWSHIPPENIFPLETNETNHVSLKIDDDKRRDTIQRLRQCKYDKKRVILKDLKHNDGNFTEKQKIELNKLLQIDYYNLTKFYGTVKLDTMIFGVIEYCERGSLREVLNDTISYPDGTFMDWEFKISVLYDIAKGMSYLHSSKTEVHGRLKSTNCVVDSRMVVKITDFGCNSILPPKKDLWTAPEHLRQANISQKGDVYSYGIIAQEIILRKETFYTLSCRDRNEKIFRVENSNGMKPFRPDLFLETAEEKELEVYLLVKNCWEEDPEKRPDFKKIETTLAKIFGLFHDQKNESYMDTLIRRLQLYSRNLEHLVEERTQLYKAERDRADRLNFMLLPRLVVKSLKEKGFVEPELYEEVTIYFSDIVGFTTICKYSTPMEVVDMLNDIYKSFDHIVDHHDVYKVETIGDAYMVASGLPKRNGNRHAIDIAKMALEILSFMGTFELEHLPGLPIWIRIGVHSGPCAAGVVGIKMPRYCLFGDTVNTASRMESTGLPLRIHVSGSTIAILKRTECQFLYEVRGETYLKGRGNETTYWLTGMKDQKFNLPTPPTVENQQRLQAEFSDMIANSLQKRQAAGIRSQKPRRVASYKKGTLEYLQLNTTDKESTYF
+>DECOY_sp|P25092|GUC2C_HUMAN Heat-stable enterotoxin receptor OS=Homo sapiens OX=9606 GN=GUCY2C PE=1 SV=2
+FYTSEKDTTNLQLYELTGKKYSAVRRPKQSRIGAAQRKQLSNAIMDSFEAQLRQQNEVTPPTPLNFKQDKMGTLWYTTENGRGKLYTEGRVEYLFQCETRKLIAITSGSVHIRLPLGTSEMRSATNVTDGFLCYRPMKIGVVGAACPGSHVGIRIWIPLGPLHELEFTGMFSLIELAMKAIDIAHRNGNRKPLGSAVMYADGITEVKYVDHHDVIHDFSKYIDNLMDVVEMPTSYKCITTFGVIDSFYITVEEYLEPEVFGKEKLSKVVLRPLLMFNLRDARDREAKYLQTREEVLHELNRSYLQLRRILTDMYSENKQDHFLGFIKALTTEIKKFDPRKEPDEEWCNKVLLYVELEKEEATELFLDPRFPKMGNSNEVRFIKENRDRCSLTYFTEKRLIIEQAIIGYSYVDGKQSINAQRLHEPATWLDKKPPLISNCGFDTIKVVMRSDVVCNTSKLRGHVETKSSHLYSMGKAIDYLVSIKFEWDMFTGDPYSITDNLVERLSGRECYEIVGFIMTDLKVTGYFKTLNYYDIQLLKNLEIKQKETFNGDNHKLDKLIVRKKDYKCQRLRQITDRRKDDDIKLSVHNTENTELPFINEPPIHSWKKQRLEYDKRYKRLMLLAVLLLLVVAGTLTFVAIMLIQPGRGTIDNPLKSNKWTFTPSMDVPYTKNVHTDYTLLVKYKKTDVSTYLLVMTSDVDGWDDLTVPGDYGEFTLNRFAHAFKPTTINEGNELFIKLMHGFLLIGNLYALAFDRKTPSLNRSFSSNLLSNGPSLTLVLVNKMYDPATVNDEFYQDNFLDVLIIVIDEAVARDGKLKYLFEPGGCMIIVNSKRNHDMLIDQFEKDQRLVVKFGLEHSFYSVSAELANLYWFCDETETGNKYVYSTSWSYTKFPLDNTKWFNVLFYMLKRAPSMLRTLTEKYDCSLGFSGASIMPYSLETDLYMQFTSYTCSPGILVCGMRQANSIKRLLDLGECTSSRCDGSNHILGDSYMFTANVTVNLGANQLRGRVIELGENVADELNKLPEAFASNGMMLVSIEYSGNHCNQSVQSSFSLWGPQFLLSWLALDLLLTKM
+>sp|Q96NT3|GUCD1_HUMAN Protein GUCD1 OS=Homo sapiens OX=9606 GN=GUCD1 PE=1 SV=2
+MRTEAEAAGPPLEPGDFVQLPVPVIQQLYHWDCGLACSRMVLRYLGQLDDSEFERALQKLQLTRSIWTIDLAYLMHHFGVRHRFCTQTLGVDKGYKNQSFYRKHFDTEETRVNQLFAQAKACKVLVEKCTVSVKDIQAHLAQGHVAIVLVNSGVLHCDLCSSPVKYCCFTPSGHHCFCRTPDYQGHFIVLRGYNRATGCIFYNNPAYADPGMCSTSISNFEEARTSYGTDEDILFVYLDS
+>DECOY_sp|Q96NT3|GUCD1_HUMAN Protein GUCD1 OS=Homo sapiens OX=9606 GN=GUCD1 PE=1 SV=2
+SDLYVFLIDEDTGYSTRAEEFNSISTSCMGPDAYAPNNYFICGTARNYGRLVIFHGQYDPTRCFCHHGSPTFCCYKVPSSCLDCHLVGSNVLVIAVHGQALHAQIDKVSVTCKEVLVKCAKAQAFLQNVRTEETDFHKRYFSQNKYGKDVGLTQTCFRHRVGFHHMLYALDITWISRTLQLKQLAREFESDDLQGLYRLVMRSCALGCDWHYLQQIVPVPLQVFDGPELPPGAAEAETRM
+>sp|Q99878|H2A1J_HUMAN Histone H2A type 1-J OS=Homo sapiens OX=9606 GN=HIST1H2AJ PE=1 SV=3
+MSGRGKQGGKARAKAKTRSSRAGLQFPVGRVHRLLRKGNYAERVGAGAPVYLAAVLEYLTAEILELAGNAARDNKKTRIIPRHLQLAIRNDEELNKLLGKVTIAQGGVLPNIQAVLLPKKTESHHKTK
+>DECOY_sp|Q99878|H2A1J_HUMAN Histone H2A type 1-J OS=Homo sapiens OX=9606 GN=HIST1H2AJ PE=1 SV=3
+KTKHHSETKKPLLVAQINPLVGGQAITVKGLLKNLEEDNRIALQLHRPIIRTKKNDRAANGALELIEATLYELVAALYVPAGAGVREAYNGKRLLRHVRGVPFQLGARSSRTKAKARAKGGQKGRGSM
+>sp|P68431|H31_HUMAN Histone H3.1 OS=Homo sapiens OX=9606 GN=HIST1H3A PE=1 SV=2
+MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRYRPGTVALREIRRYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVMALQEACEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA
+>DECOY_sp|P68431|H31_HUMAN Histone H3.1 OS=Homo sapiens OX=9606 GN=HIST1H3A PE=1 SV=2
+AREGRIRRALQIDKPMITVRKAHIACLNTDEFLGVLYAECAEQLAMVASSQFRLDTKFDQAIERVLRQFPLKRILLETSKQYRRIERLAVTGPRYRHPKKVGGTAPASKRAAKTALQKRPAKGGTSKRATQKTRAM
+>sp|P84243|H33_HUMAN Histone H3.3 OS=Homo sapiens OX=9606 GN=H3F3A PE=1 SV=2
+MARTKQTARKSTGGKAPRKQLATKAARKSAPSTGGVKKPHRYRPGTVALREIRRYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSAAIGALQEASEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA
+>DECOY_sp|P84243|H33_HUMAN Histone H3.3 OS=Homo sapiens OX=9606 GN=H3F3A PE=1 SV=2
+AREGRIRRALQIDKPMITVRKAHIACLNTDEFLGVLYAESAEQLAGIAASQFRLDTKFDQAIERVLRQFPLKRILLETSKQYRRIERLAVTGPRYRHPKKVGGTSPASKRAAKTALQKRPAKGGTSKRATQKTRAM
+>sp|Q6NXT2|H3C_HUMAN Histone H3.3C OS=Homo sapiens OX=9606 GN=H3F3C PE=1 SV=3
+MARTKQTARKSTGGKAPRKQLATKAARKSTPSTCGVKPHRYRPGTVALREIRRYQKSTELLIRKLPFQRLVREIAQDFNTDLRFQSAAVGALQEASEAYLVGLLEDTNLCAIHAKRVTIMPKDIQLARRIRGERA
+>DECOY_sp|Q6NXT2|H3C_HUMAN Histone H3.3C OS=Homo sapiens OX=9606 GN=H3F3C PE=1 SV=3
+AREGRIRRALQIDKPMITVRKAHIACLNTDELLGVLYAESAEQLAGVAASQFRLDTNFDQAIERVLRQFPLKRILLETSKQYRRIERLAVTGPRYRHPKVGCTSPTSKRAAKTALQKRPAKGGTSKRATQKTRAM
+>sp|Q5VWC8|HACD4_HUMAN Very-long-chain (3R)-3-hydroxyacyl-CoA dehydratase 4 OS=Homo sapiens OX=9606 GN=HACD4 PE=1 SV=1
+MGPLALPAWLQPRYRKNAYLFIYYLIQFCGHSWIFTNMTVRFFSFGKDSMVDTFYAIGLVMRLCQSVSLLELLHIYVGIESNHLLPRFLQLTERIIILFVVITSQEEVQEKYVVCVLFVFWNLLDMVRYTYSMLSVIGISYAVLTWLSQTLWMPIYPLCVLAEAFAIYQSLPYFESFGTYSTKLPFDLSIYFPYVLKIYLMMLFIGMYFTYSHLYSERRDILGIFPIKKKKM
+>DECOY_sp|Q5VWC8|HACD4_HUMAN Very-long-chain (3R)-3-hydroxyacyl-CoA dehydratase 4 OS=Homo sapiens OX=9606 GN=HACD4 PE=1 SV=1
+MKKKKIPFIGLIDRRESYLHSYTFYMGIFLMMLYIKLVYPFYISLDFPLKTSYTGFSEFYPLSQYIAFAEALVCLPYIPMWLTQSLWTLVAYSIGIVSLMSYTYRVMDLLNWFVFLVCVVYKEQVEEQSTIVVFLIIIRETLQLFRPLLHNSEIGVYIHLLELLSVSQCLRMVLGIAYFTDVMSDKGFSFFRVTMNTFIWSHGCFQILYYIFLYANKRYRPQLWAPLALPGM
+>sp|Q9UJ83|HACL1_HUMAN 2-hydroxyacyl-CoA lyase 1 OS=Homo sapiens OX=9606 GN=HACL1 PE=1 SV=2
+MPDSNFAERSEEQVSGAKVIAQALKTQDVEYIFGIVGIPVTEIAIAAQQLGIKYIGMRNEQAACYAASAIGYLTSRPGVCLVVSGPGLIHALGGMANANMNCWPLLVIGGSSERNQETMGAFQEFPQVEACRLYTKFSARPSSIEAIPFVIEKAVRSSIYGRPGACYVDIPADFVNLQVNVNSIKYMERCMSPPISMAETSAVCTAASVIRNAKQPLLIIGKGAAYAHAEESIKKLVEQYKLPFLPTPMGKGVVPDNHPYCVGAARSRALQFADVIVLFGARLNWILHFGLPPRYQPDVKFIQVDICAEELGNNVKPAVTLLGNIHAVTKQLLEELDKTPWQYPPESKWWKTLREKMKSNEAASKELASKKSLPMNYYTVFYHVQEQLPRDCFVVSEGANTMDIGRTVLQNYLPRHRLDAGTFGTMGVGLGFAIAAAVVAKDRSPGQWIICVEGDSAFGFSGMEVETICRYNLPIILLVVNNNGIYQGFDTDTWKEMLKFQDATAVVPPMCLLPNSHYEQVMTAFGGKGYFVQTPEELQKSLRQSLADTTKPSLINIMIEPQATRKAQDFHWLTRSNM
+>DECOY_sp|Q9UJ83|HACL1_HUMAN 2-hydroxyacyl-CoA lyase 1 OS=Homo sapiens OX=9606 GN=HACL1 PE=1 SV=2
+MNSRTLWHFDQAKRTAQPEIMINILSPKTTDALSQRLSKQLEEPTQVFYGKGGFATMVQEYHSNPLLCMPPVVATADQFKLMEKWTDTDFGQYIGNNNVVLLIIPLNYRCITEVEMGSFGFASDGEVCIIWQGPSRDKAVVAAAIAFGLGVGMTGFTGADLRHRPLYNQLVTRGIDMTNAGESVVFCDRPLQEQVHYFVTYYNMPLSKKSALEKSAAENSKMKERLTKWWKSEPPYQWPTKDLEELLQKTVAHINGLLTVAPKVNNGLEEACIDVQIFKVDPQYRPPLGFHLIWNLRAGFLVIVDAFQLARSRAAGVCYPHNDPVVGKGMPTPLFPLKYQEVLKKISEEAHAYAAGKGIILLPQKANRIVSAATCVASTEAMSIPPSMCREMYKISNVNVQLNVFDAPIDVYCAGPRGYISSRVAKEIVFPIAEISSPRASFKTYLRCAEVQPFEQFAGMTEQNRESSGGIVLLPWCNMNANAMGGLAHILGPGSVVLCVGPRSTLYGIASAAYCAAQENRMGIYKIGLQQAAIAIETVPIGVIGFIYEVDQTKLAQAIVKAGSVQEESREAFNSDPM
+>sp|Q7Z4H7|HAUS6_HUMAN HAUS augmin-like complex subunit 6 OS=Homo sapiens OX=9606 GN=HAUS6 PE=1 SV=2
+MSSASVTAFEKEHLWMYLQALGFEPGPATIACGKIVSHTHLGVNMFDKLNRDAFHIISYFLFQVLDQSLTKEVFKFCWPPFDQKSDTEFRKHCCEWIKRISGECGSSFPQVVGSLFLSPGGPKFIHLMYHFARFVAMKYIKSNSKNSSHHFVETFNIKPQDLHKCIARCHFARSRFLQILQRQDCVTQKYQENAQLSVKQVRNLRSECIGLENQIKKMEPYDDHSNMEEKIQKVRSLWASVNETLMFLEKEREVVSSVLSLVNQYALDGTNVAINIPRLLLDKIEKQMFQLHIGNVYEAGKLNLLTVIQLLNEVLKVMKYERCQADQARLTVDLHYLEKETKFQKERLSDLKHMRYRIKDDLTTIRHSVVEKQGEWHKKWKEFLGLSPFSLIKGWTPSVDLLPPMSPLSFDPASEEVYAKSILCQYPASLPDAHKQHNQENGCRGDSDTLGALHDLANSPASFLSQSVSSSDRNSVTVLEKDTKMGTPKEKNEAISKKIPEFEVENSPLSDVAKNTESSAFGGSLPAKKSDPFQKEQDHLVEEVARAVLSDSPQLSEGKEIKLEELIDSLGSNPFLTRNQIPRTPENLITEIRSSWRKAIEMEENRTKEPIQMDAEHREVLPESLPVLHNQREFSMADFLLETTVSDFGQSHLTEEKVISDCECVPQKHVLTSHIDEPPTQNQSDLLNKKVICKQDLECLAFTKLSETSRMETFSPAVGNRIDVMGGSEEEFMKILDHLEVSCNKPSTNKTMLWNSFQISSGISSKSFKDNDFGILHETLPEEVGHLSFNSSSSSEANFKLEPNSPMHGGTLLEDVVGGRQTTPESDFNLQALRSRYEALKKSLSKKREESYLSNSQTPERHKPELSPTPQNVQTDDTLNFLDTCDLHTEHIKPSLRTSIGERKRSLSPLIKFSPVEQRLRTTIACSLGELPNLKEEDILNKSLDAKEPPSDLTR
+>DECOY_sp|Q7Z4H7|HAUS6_HUMAN HAUS augmin-like complex subunit 6 OS=Homo sapiens OX=9606 GN=HAUS6 PE=1 SV=2
+RTLDSPPEKADLSKNLIDEEKLNPLEGLSCAITTRLRQEVPSFKILPSLSRKREGISTRLSPKIHETHLDCTDLFNLTDDTQVNQPTPSLEPKHREPTQSNSLYSEERKKSLSKKLAEYRSRLAQLNFDSEPTTQRGGVVDELLTGGHMPSNPELKFNAESSSSSNFSLHGVEEPLTEHLIGFDNDKFSKSSIGSSIQFSNWLMTKNTSPKNCSVELHDLIKMFEEESGGMVDIRNGVAPSFTEMRSTESLKTFALCELDQKCIVKKNLLDSQNQTPPEDIHSTLVHKQPVCECDSIVKEETLHSQGFDSVTTELLFDAMSFERQNHLVPLSEPLVERHEADMQIPEKTRNEEMEIAKRWSSRIETILNEPTRPIQNRTLFPNSGLSDILEELKIEKGESLQPSDSLVARAVEEVLHDQEKQFPDSKKAPLSGGFASSETNKAVDSLPSNEVEFEPIKKSIAENKEKPTGMKTDKELVTVSNRDSSSVSQSLFSAPSNALDHLAGLTDSDGRCGNEQNHQKHADPLSAPYQCLISKAYVEESAPDFSLPSMPPLLDVSPTWGKILSFPSLGLFEKWKKHWEGQKEVVSHRITTLDDKIRYRMHKLDSLREKQFKTEKELYHLDVTLRAQDAQCREYKMVKLVENLLQIVTLLNLKGAEYVNGIHLQFMQKEIKDLLLRPINIAVNTGDLAYQNVLSLVSSVVEREKELFMLTENVSAWLSRVKQIKEEMNSHDDYPEMKKIQNELGICESRLNRVQKVSLQANEQYKQTVCDQRQLIQLFRSRAFHCRAICKHLDQPKINFTEVFHHSSNKSNSKIYKMAVFRAFHYMLHIFKPGGPSLFLSGVVQPFSSGCEGSIRKIWECCHKRFETDSKQDFPPWCFKFVEKTLSQDLVQFLFYSIIHFADRNLKDFMNVGLHTHSVIKGCAITAPGPEFGLAQLYMWLHEKEFATVSASSM
+>sp|Q96EW2|HBAP1_HUMAN HSPB1-associated protein 1 OS=Homo sapiens OX=9606 GN=HSPBAP1 PE=1 SV=1
+MAAGSEATTPVIVAAGAGGEEGEHVKPFKPEKAKEIIMSLQQPAIFCNMVFDWPARHWNAKYLSQVLHGKQIRFRMGMKSMSTVPQFETTCNYVEATLEEFLTWNCDQSSISGPFRDYDHSKFWAYADYKYFVSLFEDKTDLFQDVKWSDFGFPGRNGQESTLWIGSLGAHTPCHLDSYGCNLVFQVQGRKRWHLFPPEDTPFLYPTRIPYEESSVFSKINVVNPDLKRFPQFRKAQRHAVTLSPGQVLFVPRHWWHYVESIDPVTVSINSWIELEEDHLARVEEAITRMLVCALKTAENPQNTRAWLNPTEVEETSHAVNCCYLNAAVSAFFDRCRTSEVVEIQALRTDGEHMKKEELNVCNHMEVGQTGSQNLTTGTDKPEAASPFGPDLVPVAQRSEEPPSERGGIFGSDGKDFVDKDGEHFGKLHCAKRQQIMSNSENAIEEQIASNTTTTPQTFISTDDLLDCLVNPQVTRIVAQLLIQGRSL
+>DECOY_sp|Q96EW2|HBAP1_HUMAN HSPB1-associated protein 1 OS=Homo sapiens OX=9606 GN=HSPBAP1 PE=1 SV=1
+LSRGQILLQAVIRTVQPNVLCDLLDDTSIFTQPTTTTNSAIQEEIANESNSMIQQRKACHLKGFHEGDKDVFDKGDSGFIGGRESPPEESRQAVPVLDPGFPSAAEPKDTGTTLNQSGTQGVEMHNCVNLEEKKMHEGDTRLAQIEVVESTRCRDFFASVAANLYCCNVAHSTEEVETPNLWARTNQPNEATKLACVLMRTIAEEVRALHDEELEIWSNISVTVPDISEVYHWWHRPVFLVQGPSLTVAHRQAKRFQPFRKLDPNVVNIKSFVSSEEYPIRTPYLFPTDEPPFLHWRKRGQVQFVLNCGYSDLHCPTHAGLSGIWLTSEQGNRGPFGFDSWKVDQFLDTKDEFLSVFYKYDAYAWFKSHDYDRFPGSISSQDCNWTLFEELTAEVYNCTTEFQPVTSMSKMGMRFRIQKGHLVQSLYKANWHRAPWDFVMNCFIAPQQLSMIIEKAKEPKFPKVHEGEEGGAGAAVIVPTTAESGAAM
+>sp|P09105|HBAT_HUMAN Hemoglobin subunit theta-1 OS=Homo sapiens OX=9606 GN=HBQ1 PE=1 SV=2
+MALSAEDRALVRALWKKLGSNVGVYTTEALERTFLAFPATKTYFSHLDLSPGSSQVRAHGQKVADALSLAVERLDDLPHALSALSHLHACQLRVDPASFQLLGHCLLVTLARHYPGDFSPALQASLDKFLSHVISALVSEYR
+>DECOY_sp|P09105|HBAT_HUMAN Hemoglobin subunit theta-1 OS=Homo sapiens OX=9606 GN=HBQ1 PE=1 SV=2
+RYESVLASIVHSLFKDLSAQLAPSFDGPYHRALTVLLCHGLLQFSAPDVRLQCAHLHSLASLAHPLDDLREVALSLADAVKQGHARVQSSGPSLDLHSFYTKTAPFALFTRELAETTYVGVNSGLKKWLARVLARDEASLAM
+>sp|P02008|HBAZ_HUMAN Hemoglobin subunit zeta OS=Homo sapiens OX=9606 GN=HBZ PE=1 SV=2
+MSLTKTERTIIVSMWAKISTQADTIGTETLERLFLSHPQTKTYFPHFDLHPGSAQLRAHGSKVVAAVGDAVKSIDDIGGALSKLSELHAYILRVDPVNFKLLSHCLLVTLAARFPADFTAEAHAAWDKFLSVVSSVLTEKYR
+>DECOY_sp|P02008|HBAZ_HUMAN Hemoglobin subunit zeta OS=Homo sapiens OX=9606 GN=HBZ PE=1 SV=2
+RYKETLVSSVVSLFKDWAAHAEATFDAPFRAALTVLLCHSLLKFNVPDVRLIYAHLESLKSLAGGIDDISKVADGVAAVVKSGHARLQASGPHLDFHPFYTKTQPHSLFLRELTETGITDAQTSIKAWMSVIITRETKTLSM
+>sp|Q9UBN7|HDAC6_HUMAN Histone deacetylase 6 OS=Homo sapiens OX=9606 GN=HDAC6 PE=1 SV=2
+MTSTGQDSTTTRQRRSRQNPQSPPQDSSVTSKRNIKKGAVPRSIPNLAEVKKKGKMKKLGQAMEEDLIVGLQGMDLNLEAEALAGTGLVLDEQLNEFHCLWDDSFPEGPERLHAIKEQLIQEGLLDRCVSFQARFAEKEELMLVHSLEYIDLMETTQYMNEGELRVLADTYDSVYLHPNSYSCACLASGSVLRLVDAVLGAEIRNGMAIIRPPGHHAQHSLMDGYCMFNHVAVAARYAQQKHRIRRVLIVDWDVHHGQGTQFTFDQDPSVLYFSIHRYEQGRFWPHLKASNWSTTGFGQGQGYTINVPWNQVGMRDADYIAAFLHVLLPVALEFQPQLVLVAAGFDALQGDPKGEMAATPAGFAQLTHLLMGLAGGKLILSLEGGYNLRALAEGVSASLHTLLGDPCPMLESPGAPCRSAQASVSCALEALEPFWEVLVRSTETVERDNMEEDNVEESEEEGPWEPPVLPILTWPVLQSRTGLVYDQNMMNHCNLWDSHHPEVPQRILRIMCRLEELGLAGRCLTLTPRPATEAELLTCHSAEYVGHLRATEKMKTRELHRESSNFDSIYICPSTFACAQLATGAACRLVEAVLSGEVLNGAAVVRPPGHHAEQDAACGFCFFNSVAVAARHAQTISGHALRILIVDWDVHHGNGTQHMFEDDPSVLYVSLHRYDHGTFFPMGDEGASSQIGRAAGTGFTVNVAWNGPRMGDADYLAAWHRLVLPIAYEFNPELVLVSAGFDAARGDPLGGCQVSPEGYAHLTHLLMGLASGRIILILEGGYNLTSISESMAACTRSLLGDPPPLLTLPRPPLSGALASITETIQVHRRYWRSLRVMKVEDREGPSSSKLVTKKAPQPAKPRLAERMTTREKKVLEAGMGKVTSASFGEESTPGQTNSETAVVALTQDQPSEAATGGATLAQTISEAAIGGAMLGQTTSEEAVGGATPDQTTSEETVGGAILDQTTSEDAVGGATLGQTTSEEAVGGATLAQTTSEAAMEGATLDQTTSEEAPGGTELIQTPLASSTDHQTPPTSPVQGTTPQISPSTLIGSLRTLELGSESQGASESQAPGEENLLGEAAGGQDMADSMLMQGSRGLTDQAIFYAVTPLPWCPHLVAVCPIPAAGLDVTQPCGDCGTIQENWVCLSCYQVYCGRYINGHMLQHHGNSGHPLVLSYIDLSAWCYYCQAYVHHQALLDVKNIAHQNKFGEDMPHPH
+>DECOY_sp|Q9UBN7|HDAC6_HUMAN Histone deacetylase 6 OS=Homo sapiens OX=9606 GN=HDAC6 PE=1 SV=2
+HPHPMDEGFKNQHAINKVDLLAQHHVYAQCYYCWASLDIYSLVLPHGSNGHHQLMHGNIYRGCYVQYCSLCVWNEQITGCDGCPQTVDLGAAPIPCVAVLHPCWPLPTVAYFIAQDTLGRSGQMLMSDAMDQGGAAEGLLNEEGPAQSESAGQSESGLELTRLSGILTSPSIQPTTGQVPSTPPTQHDTSSALPTQILETGGPAEESTTQDLTAGEMAAESTTQALTAGGVAEESTTQGLTAGGVADESTTQDLIAGGVTEESTTQDPTAGGVAEESTTQGLMAGGIAAESITQALTAGGTAAESPQDQTLAVVATESNTQGPTSEEGFSASTVKGMGAELVKKERTTMREALRPKAPQPAKKTVLKSSSPGERDEVKMVRLSRWYRRHVQITETISALAGSLPPRPLTLLPPPDGLLSRTCAAMSESISTLNYGGELILIIRGSALGMLLHTLHAYGEPSVQCGGLPDGRAADFGASVLVLEPNFEYAIPLVLRHWAALYDADGMRPGNWAVNVTFGTGAARGIQSSAGEDGMPFFTGHDYRHLSVYLVSPDDEFMHQTGNGHHVDWDVILIRLAHGSITQAHRAAVAVSNFFCFGCAADQEAHHGPPRVVAAGNLVEGSLVAEVLRCAAGTALQACAFTSPCIYISDFNSSERHLERTKMKETARLHGVYEASHCTLLEAETAPRPTLTLCRGALGLEELRCMIRLIRQPVEPHHSDWLNCHNMMNQDYVLGTRSQLVPWTLIPLVPPEWPGEEESEEVNDEEMNDREVTETSRVLVEWFPELAELACSVSAQASRCPAGPSELMPCPDGLLTHLSASVGEALARLNYGGELSLILKGGALGMLLHTLQAFGAPTAAMEGKPDGQLADFGAAVLVLQPQFELAVPLLVHLFAAIYDADRMGVQNWPVNITYGQGQGFGTTSWNSAKLHPWFRGQEYRHISFYLVSPDQDFTFQTGQGHHVDWDVILVRRIRHKQQAYRAAVAVHNFMCYGDMLSHQAHHGPPRIIAMGNRIEAGLVADVLRLVSGSALCACSYSNPHLYVSDYTDALVRLEGENMYQTTEMLDIYELSHVLMLEEKEAFRAQFSVCRDLLGEQILQEKIAHLREPGEPFSDDWLCHFENLQEDLVLGTGALAEAELNLDMGQLGVILDEEMAQGLKKMKGKKKVEALNPISRPVAGKKINRKSTVSSDQPPSQPNQRSRRQRTTTSDQGTSTM
+>sp|Q9UKV0|HDAC9_HUMAN Histone deacetylase 9 OS=Homo sapiens OX=9606 GN=HDAC9 PE=1 SV=2
+MHSMISSVDVKSEVPVGLEPISPLDLRTDLRMMMPVVDPVVREKQLQQELLLIQQQQQIQKQLLIAEFQKQHENLTRQHQAQLQEHIKELLAIKQQQELLEKEQKLEQQRQEQEVERHRREQQLPPLRGKDRGRERAVASTEVKQKLQEFLLSKSATKDTPTNGKNHSVSRHPKLWYTAAHHTSLDQSSPPLSGTSPSYKYTLPGAQDAKDDFPLRKTASEPNLKVRSRLKQKVAERRSSPLLRRKDGNVVTSFKKRMFEVTESSVSSSSPGSGPSSPNNGPTGSVTENETSVLPPTPHAEQMVSQQRILIHEDSMNLLSLYTSPSLPNITLGLPAVPSQLNASNSLKEKQKCETQTLRQGVPLPGQYGGSIPASSSHPHVTLEGKPPNSSHQALLQHLLLKEQMRQQKLLVAGGVPLHPQSPLATKERISPGIRGTHKLPRHRPLNRTQSAPLPQSTLAQLVIQQQHQQFLEKQKQYQQQIHMNKLLSKSIEQLKQPGSHLEEAEEELQGDQAMQEDRAPSSGNSTRSDSSACVDDTLGQVGAVKVKEEPVDSDEDAQIQEMESGEQAAFMQQPFLEPTHTRALSVRQAPLAAVGMDGLEKHRLVSRTHSSPAASVLPHPAMDRPLQPGSATGIAYDPLMLKHQCVCGNSTTHPEHAGRIQSIWSRLQETGLLNKCERIQGRKASLEEIQLVHSEHHSLLYGTNPLDGQKLDPRILLGDDSQKFFSSLPCGGLGVDSDTIWNELHSSGAARMAVGCVIELASKVASGELKNGFAVVRPPGHHAEESTAMGFCFFNSVAITAKYLRDQLNISKILIVDLDVHHGNGTQQAFYADPSILYISLHRYDEGNFFPGSGAPNEVGTGLGEGYNINIAWTGGLDPPMGDVEYLEAFRTIVKPVAKEFDPDMVLVSAGFDALEGHTPPLGGYKVTAKCFGHLTKQLMTLADGRVVLALEGGHDLTAICDASEACVNALLGNELEPLAEDILHQSPNMNAVISLQKIIEIQSMSLKFS
+>DECOY_sp|Q9UKV0|HDAC9_HUMAN Histone deacetylase 9 OS=Homo sapiens OX=9606 GN=HDAC9 PE=1 SV=2
+SFKLSMSQIEIIKQLSIVANMNPSQHLIDEALPELENGLLANVCAESADCIATLDHGGELALVVRGDALTMLQKTLHGFCKATVKYGGLPPTHGELADFGASVLVMDPDFEKAVPKVITRFAELYEVDGMPPDLGGTWAININYGEGLGTGVENPAGSGPFFNGEDYRHLSIYLISPDAYFAQQTGNGHHVDLDVILIKSINLQDRLYKATIAVSNFFCFGMATSEEAHHGPPRVVAFGNKLEGSAVKSALEIVCGVAMRAAGSSHLENWITDSDVGLGGCPLSSFFKQSDDGLLIRPDLKQGDLPNTGYLLSHHESHVLQIEELSAKRGQIRECKNLLGTEQLRSWISQIRGAHEPHTTSNGCVCQHKLMLPDYAIGTASGPQLPRDMAPHPLVSAAPSSHTRSVLRHKELGDMGVAALPAQRVSLARTHTPELFPQQMFAAQEGSEMEQIQADEDSDVPEEKVKVAGVQGLTDDVCASSDSRTSNGSSPARDEQMAQDGQLEEEAEELHSGPQKLQEISKSLLKNMHIQQQYQKQKELFQQHQQQIVLQALTSQPLPASQTRNLPRHRPLKHTGRIGPSIREKTALPSQPHLPVGGAVLLKQQRMQEKLLLHQLLAQHSSNPPKGELTVHPHSSSAPISGGYQGPLPVGQRLTQTECKQKEKLSNSANLQSPVAPLGLTINPLSPSTYLSLLNMSDEHILIRQQSVMQEAHPTPPLVSTENETVSGTPGNNPSSPGSGPSSSSVSSETVEFMRKKFSTVVNGDKRRLLPSSRREAVKQKLRSRVKLNPESATKRLPFDDKADQAGPLTYKYSPSTGSLPPSSQDLSTHHAATYWLKPHRSVSHNKGNTPTDKTASKSLLFEQLKQKVETSAVARERGRDKGRLPPLQQERRHREVEQEQRQQELKQEKELLEQQQKIALLEKIHEQLQAQHQRTLNEHQKQFEAILLQKQIQQQQQILLLEQQLQKERVVPDVVPMMMRLDTRLDLPSIPELGVPVESKVDVSSIMSHM
+>sp|Q9H0R4|HDHD2_HUMAN Haloacid dehalogenase-like hydrolase domain-containing protein 2 OS=Homo sapiens OX=9606 GN=HDHD2 PE=1 SV=1
+MAACRALKAVLVDLSGTLHIEDAAVPGAQEALKRLRGASVIIRFVTNTTKESKQDLLERLRKLEFDISEDEIFTSLTAARSLLERKQVRPMLLVDDRALPDFKGIQTSDPNAVVMGLAPEHFHYQILNQAFRLLLDGAPLIAIHKARYYKRKDGLALGPGPFVTALEYATDTKATVVGKPEKTFFLEALRGTGCEPEEAVMIGDDCRDDVGGAQDVGMLGILVKTGKYRASDEEKINPPPYLTCESFPHAVDHILQHLL
+>DECOY_sp|Q9H0R4|HDHD2_HUMAN Haloacid dehalogenase-like hydrolase domain-containing protein 2 OS=Homo sapiens OX=9606 GN=HDHD2 PE=1 SV=1
+LLHQLIHDVAHPFSECTLYPPPNIKEEDSARYKGTKVLIGLMGVDQAGGVDDRCDDGIMVAEEPECGTGRLAELFFTKEPKGVVTAKTDTAYELATVFPGPGLALGDKRKYYRAKHIAILPAGDLLLRFAQNLIQYHFHEPALGMVVANPDSTQIGKFDPLARDDVLLMPRVQKRELLSRAATLSTFIEDESIDFELKRLRELLDQKSEKTTNTVFRIIVSAGRLRKLAEQAGPVAADEIHLTGSLDVLVAKLARCAAM
+>sp|P13716|HEM2_HUMAN Delta-aminolevulinic acid dehydratase OS=Homo sapiens OX=9606 GN=ALAD PE=1 SV=1
+MQPQSVLHSGYFHPLLRAWQTATTTLNASNLIYPIFVTDVPDDIQPITSLPGVARYGVKRLEEMLRPLVEEGLRCVLIFGVPSRVPKDERGSAADSEESPAIEAIHLLRKTFPNLLVACDVCLCPYTSHGHCGLLSENGAFRAEESRQRLAEVALAYAKAGCQVVAPSDMMDGRVEAIKEALMAHGLGNRVSVMSYSAKFASCFYGPFRDAAKSSPAFGDRRCYQLPPGARGLALRAVDRDVREGADMLMVKPGMPYLDIVREVKDKHPDLPLAVYHVSGEFAMLWHGAQAGAFDLKAAVLEAMTAFRRAGADIIITYYTPQLLQWLKEE
+>DECOY_sp|P13716|HEM2_HUMAN Delta-aminolevulinic acid dehydratase OS=Homo sapiens OX=9606 GN=ALAD PE=1 SV=1
+EEKLWQLLQPTYYTIIIDAGARRFATMAELVAAKLDFAGAQAGHWLMAFEGSVHYVALPLDPHKDKVERVIDLYPMGPKVMLMDAGERVDRDVARLALGRAGPPLQYCRRDGFAPSSKAADRFPGYFCSAFKASYSMVSVRNGLGHAMLAEKIAEVRGDMMDSPAVVQCGAKAYALAVEALRQRSEEARFAGNESLLGCHGHSTYPCLCVDCAVLLNPFTKRLLHIAEIAPSEESDAASGREDKPVRSPVGFILVCRLGEEVLPRLMEELRKVGYRAVGPLSTIPQIDDPVDTVFIPYILNSANLTTTATQWARLLPHFYGSHLVSQPQM
+>sp|P81172|HEPC_HUMAN Hepcidin OS=Homo sapiens OX=9606 GN=HAMP PE=1 SV=2
+MALSSQIWAACLLLLLLLASLTSGSVFPQQTGQLAELQPQDRAGARASWMPMFQRRRRRDTHFPICIFCCGCCHRSKCGMCCKT
+>DECOY_sp|P81172|HEPC_HUMAN Hepcidin OS=Homo sapiens OX=9606 GN=HAMP PE=1 SV=2
+TKCCMGCKSRHCCGCCFICIPFHTDRRRRRQFMPMWSARAGARDQPQLEALQGTQQPFVSGSTLSALLLLLLLCAAWIQSSLAM
+>sp|Q6WQI6|HEPN1_HUMAN Putative cancer susceptibility gene HEPN1 protein OS=Homo sapiens OX=9606 GN=HEPN1 PE=5 SV=2
+MGNWGLGIAPWVDGESELEFRRLGMQGPLEALRRREWNTQRASFSFSFLIALSPHTVDYCHSYELFNRRWHGHVLATQRPSLFILMLV
+>DECOY_sp|Q6WQI6|HEPN1_HUMAN Putative cancer susceptibility gene HEPN1 protein OS=Homo sapiens OX=9606 GN=HEPN1 PE=5 SV=2
+VLMLIFLSPRQTALVHGHWRRNFLEYSHCYDVTHPSLAILFSFSFSARQTNWERRRLAELPGQMGLRRFELESEGDVWPAIGLGWNGM
+>sp|Q15034|HERC3_HUMAN Probable E3 ubiquitin-protein ligase HERC3 OS=Homo sapiens OX=9606 GN=HERC3 PE=1 SV=1
+MLCWGYWSLGQPGISTNLQGIVAEPQVCGFISDRSVKEVACGGNHSVFLLEDGEVYTCGLNTKGQLGHEREGNKPEQIGALADQHIIHVACGESHSLALSDRGQLFSWGAGSDGQLGLMTTEDSVAVPRLIQKLNQQTILQVSCGNWHCLALAADGQFFTWGKNSHGQLGLGKEFPSQASPQRVRSLEGIPLAQVAAGGAHSFALSLSGAVFGWGMNNAGQLGLSDEKDRESPCHVKLLRTQKVVYISCGEEHTAVLTKSGGVFTFGAGSCGQLGHDSMNDEVNPRRVLELMGSEVTQIACGRQHTLAFVPSSGLIYAFGCGARGQLGTGHTCNVKCPSPVKGYWAAHSGQLSARADRFKYHIVKQIFSGGDQTFVLCSKYENYSPAVDFRTMNQAHYTSLINDETIAVWRQKLSEHNNANTINGVVQILSSAACWNGSFLEKKIDEHFKTSPKIPGIDLNSTRVLFEKLMNSQHSMILEQILNSFESCLIPQLSSSPPDVEAMRIYLILPEFPLLQDSKYYITLTIPLAMAILRLDTNPSKVLDNWWSQVCPKYFMKLVNLYKGAVLYLLRGRKTFLIPVLFNNYITAALKLLEKLYKVNLKVKHVEYDTFYIPEISNLVDIQEDYLMWFLHQAGMKARPSIIQDTVTLCSYPFIFDAQAKTKMLQTDAELQMQVAVNGANLQNVFMLLTLEPLLARSPFLVLHVRRNNLVGDALRELSIHSDIDLKKPLKVIFDGEEAVDAGGVTKEFFLLLLKELLNPIYGMFTYYQDSNLLWFSDTCFVEHNWFHLIGITCGLAIYNSTVVDLHFPLALYKKLLNVKPGLEDLKELSPTEGRSLQELLDYPGEDVEETFCLNFTICRESYGVIEQKKLIPGGDNVTVCKDNRQEFVDAYVNYVFQISVHEWYTAFSSGFLKVCGGKVLELFQPSELRAMMVGNSNYNWEELEETAIYKGDYSATHPTVKLFWETFHEFPLEKKKKFLLFLTGSDRIPIYGMASLQIVIQSTASGEEYLPVAHTCYNLLDLPKYSSKEILSARLTQALDNYEGFSLA
+>DECOY_sp|Q15034|HERC3_HUMAN Probable E3 ubiquitin-protein ligase HERC3 OS=Homo sapiens OX=9606 GN=HERC3 PE=1 SV=1
+ALSFGEYNDLAQTLRASLIEKSSYKPLDLLNYCTHAVPLYEEGSATSQIVIQLSAMGYIPIRDSGTLFLLFKKKKELPFEHFTEWFLKVTPHTASYDGKYIATEELEEWNYNSNGVMMARLESPQFLELVKGGCVKLFGSSFATYWEHVSIQFVYNVYADVFEQRNDKCVTVNDGGPILKKQEIVGYSERCITFNLCFTEEVDEGPYDLLEQLSRGETPSLEKLDELGPKVNLLKKYLALPFHLDVVTSNYIALGCTIGILHFWNHEVFCTDSFWLLNSDQYYTFMGYIPNLLEKLLLLFFEKTVGGADVAEEGDFIVKLPKKLDIDSHISLERLADGVLNNRRVHLVLFPSRALLPELTLLMFVNQLNAGNVAVQMQLEADTQLMKTKAQADFIFPYSCLTVTDQIISPRAKMGAQHLFWMLYDEQIDVLNSIEPIYFTDYEVHKVKLNVKYLKELLKLAATIYNNFLVPILFTKRGRLLYLVAGKYLNVLKMFYKPCVQSWWNDLVKSPNTDLRLIAMALPITLTIYYKSDQLLPFEPLILYIRMAEVDPPSSSLQPILCSEFSNLIQELIMSHQSNMLKEFLVRTSNLDIGPIKPSTKFHEDIKKELFSGNWCAASSLIQVVGNITNANNHESLKQRWVAITEDNILSTYHAQNMTRFDVAPSYNEYKSCLVFTQDGGSFIQKVIHYKFRDARASLQGSHAAWYGKVPSPCKVNCTHGTGLQGRAGCGFAYILGSSPVFALTHQRGCAIQTVESGMLELVRRPNVEDNMSDHGLQGCSGAGFTFVGGSKTLVATHEEGCSIYVVKQTRLLKVHCPSERDKEDSLGLQGANNMGWGFVAGSLSLAFSHAGGAAVQALPIGELSRVRQPSAQSPFEKGLGLQGHSNKGWTFFQGDAALALCHWNGCSVQLITQQNLKQILRPVAVSDETTMLGLQGDSGAGWSFLQGRDSLALSHSEGCAVHIIHQDALAGIQEPKNGEREHGLQGKTNLGCTYVEGDELLFVSHNGGCAVEKVSRDSIFGCVQPEAVIGQLNTSIGPQGLSWYGWCLM
+>sp|Q8IVU3|HERC6_HUMAN Probable E3 ubiquitin-protein ligase HERC6 OS=Homo sapiens OX=9606 GN=HERC6 PE=2 SV=2
+MYFCWGADSRELQRRRTAGSPGAELLQAASGERHSLLLLTNHRVLSCGDNSRGQLGRRGAQRGELPEPIQALETLIVDLVSCGKEHSLAVCHKGRVFAWGAGSEGQLGIGEFKEISFTPKKIMTLNDIKIIQVSCGHYHSLALSKDSQVFSWGKNSHGQLGLGKEFPSQASPQRVRSLEGIPLAQVAAGGAHSFALSLCGTSFGWGSNSAGQLALSGRNVPVQSNKPLSVGALKNLGVVYISCGDAHTAVLTQDGKVFTFGDNRSGQLGYSPTPEKRGPQLVERIDGLVSQIDCGSYHTLAYVHTTGQVVSFGHGPSDTSKPTHPEALTENFDISCLISAEDFVDVQVKHIFAGTYANFVTTHQDTSSTRAPGKTLPEISRISQSMAEKWIAVKRRSTEHEMAKSEIRMIFSSPACLTASFLKKRGTGETTSIDVDLEMARDTFKKLTKKEWISSMITTCLEDDLLRALPCHSPHQEALSVFLLLPECPVMHDSKNWKNLVVPFAKAVCEMSKQSLQVLKKCWAFLQESSLNPLIQMLKAAIISQLLHQTKTEQDHCNVKALLGMMKELHKVNKANCRLPENTFNINELSNLLNFYIDRGRQLFRDNHLIPAETPSPVIFSDFPFIFNSLSKIKLLQADSHIKMQMSEKKAYMLMHETILQKKDEFPPSPRFILRVRRSRLVKDALRQLSQAEATDFCKVLVVEFINEICPESGGVSSEFFHCMFEEMTKPEYGMFMYPEMGSCMWFPAKPKPEKKRYFLFGMLCGLSLFNLNVANLPFPLALYKKLLDQKPSLEDLKELSPRLGKSLQEVLDDAADDIGDALCIRFSIHWDQNDVDLIPNGISIPVDQTNKRDYVSKYIDYIFNVSVKAVYEEFQRGFYRVCEKEILRHFYPEELMTAIIGNTDYDWKQFEQNSKYEQGYQKSHPTIQLFWKAFHKLTLDEKKKFLFFLTGRDRLHARGIQKMEIVFRCPETFSERDHPTSITCHNILSLPKYSTMERMEEALQVAINNNRGFVSPMLTQS
+>DECOY_sp|Q8IVU3|HERC6_HUMAN Probable E3 ubiquitin-protein ligase HERC6 OS=Homo sapiens OX=9606 GN=HERC6 PE=2 SV=2
+SQTLMPSVFGRNNNIAVQLAEEMREMTSYKPLSLINHCTISTPHDRESFTEPCRFVIEMKQIGRAHLRDRGTLFFLFKKKEDLTLKHFAKWFLQITPHSKQYGQEYKSNQEFQKWDYDTNGIIATMLEEPYFHRLIEKECVRYFGRQFEEYVAKVSVNFIYDIYKSVYDRKNTQDVPISIGNPILDVDNQDWHISFRICLADGIDDAADDLVEQLSKGLRPSLEKLDELSPKQDLLKKYLALPFPLNAVNLNFLSLGCLMGFLFYRKKEPKPKAPFWMCSGMEPYMFMGYEPKTMEEFMCHFFESSVGGSEPCIENIFEVVLVKCFDTAEAQSLQRLADKVLRSRRVRLIFRPSPPFEDKKQLITEHMLMYAKKESMQMKIHSDAQLLKIKSLSNFIFPFDSFIVPSPTEAPILHNDRFLQRGRDIYFNLLNSLENINFTNEPLRCNAKNVKHLEKMMGLLAKVNCHDQETKTQHLLQSIIAAKLMQILPNLSSEQLFAWCKKLVQLSQKSMECVAKAFPVVLNKWNKSDHMVPCEPLLLFVSLAEQHPSHCPLARLLDDELCTTIMSSIWEKKTLKKFTDRAMELDVDISTTEGTGRKKLFSATLCAPSSFIMRIESKAMEHETSRRKVAIWKEAMSQSIRSIEPLTKGPARTSSTDQHTTVFNAYTGAFIHKVQVDVFDEASILCSIDFNETLAEPHTPKSTDSPGHGFSVVQGTTHVYALTHYSGCDIQSVLGDIREVLQPGRKEPTPSYGLQGSRNDGFTFVKGDQTLVATHADGCSIYVVGLNKLAGVSLPKNSQVPVNRGSLALQGASNSGWGFSTGCLSLAFSHAGGAAVQALPIGELSRVRQPSAQSPFEKGLGLQGHSNKGWSFVQSDKSLALSHYHGCSVQIIKIDNLTMIKKPTFSIEKFEGIGLQGESGAGWAFVRGKHCVALSHEKGCSVLDVILTELAQIPEPLEGRQAGRRGLQGRSNDGCSLVRHNTLLLLSHREGSAAQLLEAGPSGATRRRQLERSDAGWCFYM
+>sp|P08151|GLI1_HUMAN Zinc finger protein GLI1 OS=Homo sapiens OX=9606 GN=GLI1 PE=1 SV=1
+MFNSMTPPPISSYGEPCCLRPLPSQGAPSVGTEGLSGPPFCHQANLMSGPHSYGPARETNSCTEGPLFSSPRSAVKLTKKRALSISPLSDASLDLQTVIRTSPSSLVAFINSRCTSPGGSYGHLSIGTMSPSLGFPAQMNHQKGPSPSFGVQPCGPHDSARGGMIPHPQSRGPFPTCQLKSELDMLVGKCREEPLEGDMSSPNSTGIQDPLLGMLDGREDLEREEKREPESVYETDCRWDGCSQEFDSQEQLVHHINSEHIHGERKEFVCHWGGCSRELRPFKAQYMLVVHMRRHTGEKPHKCTFEGCRKSYSRLENLKTHLRSHTGEKPYMCEHEGCSKAFSNASDRAKHQNRTHSNEKPYVCKLPGCTKRYTDPSSLRKHVKTVHGPDAHVTKRHRGDGPLPRAPSISTVEPKREREGGPIREESRLTVPEGAMKPQPSPGAQSSCSSDHSPAGSAANTDSGVEMTGNAGGSTEDLSSLDEGPCIAGTGLSTLRRLENLRLDQLHQLRPIGTRGLKLPSLSHTGTTVSRRVGPPVSLERRSSSSSSISSAYTVSRRSSLASPFPPGSPPENGASSLPGLMPAQHYLLRARYASARGGGTSPTAASSLDRIGGLPMPPWRSRAEYPGYNPNAGVTRRASDPAQAADRPAPARVQRFKSLGCVHTPPTVAGGGQNFDPYLPTSVYSPQPPSITENAAMDARGLQEEPEVGTSMVGSGLNPYMDFPPTDTLGYGGPEGAAAEPYGARGPGSLPLGPGPPTNYGPNPCPQQASYPDPTQETWGEFPSHSGLYPGPKALGGTYSQCPRLEHYGQVQVKPEQGCPVGSDSTGLAPCLNAHPSEGPPHPQPLFSHYPQPSPPQYLQSGPYTQPPPDYLPSEPRPCLDFDSPTHSTGQLKAQLVCNYVQSQQELLWEGGGREDAPAQEPSYQSPKFLGGSQVSPSRAKAPVNTYGPGFGPNLPNHKSGSYPTPSPCHENFVVGANRASHRAAAPPRLLPPLPTCYGPLKVGGTNPSCGHPEVGRLGGGPALYPPPEGQVCNPLDSLDLDNTQLDFVAILDEPQGLSPPPSHDQRGSSGHTPPPSGPPNMAVGNMSVLLRSLPGETEFLNSSA
+>DECOY_sp|P08151|GLI1_HUMAN Zinc finger protein GLI1 OS=Homo sapiens OX=9606 GN=GLI1 PE=1 SV=1
+ASSNLFETEGPLSRLLVSMNGVAMNPPGSPPPTHGSSGRQDHSPPPSLGQPEDLIAVFDLQTNDLDLSDLPNCVQGEPPPYLAPGGGLRGVEPHGCSPNTGGVKLPGYCTPLPPLLRPPAAARHSARNAGVVFNEHCPSPTPYSGSKHNPLNPGFGPGYTNVPAKARSPSVQSGGLFKPSQYSPEQAPADERGGGEWLLEQQSQVYNCVLQAKLQGTSHTPSDFDLCPRPESPLYDPPPQTYPGSQLYQPPSPQPYHSFLPQPHPPGESPHANLCPALGTSDSGVPCGQEPKVQVQGYHELRPCQSYTGGLAKPGPYLGSHSPFEGWTEQTPDPYSAQQPCPNPGYNTPPGPGLPLSGPGRAGYPEAAAGEPGGYGLTDTPPFDMYPNLGSGVMSTGVEPEEQLGRADMAANETISPPQPSYVSTPLYPDFNQGGGAVTPPTHVCGLSKFRQVRAPAPRDAAQAPDSARRTVGANPNYGPYEARSRWPPMPLGGIRDLSSAATPSTGGGRASAYRARLLYHQAPMLGPLSSAGNEPPSGPPFPSALSSRRSVTYASSISSSSSSRRELSVPPGVRRSVTTGTHSLSPLKLGRTGIPRLQHLQDLRLNELRRLTSLGTGAICPGEDLSSLDETSGGANGTMEVGSDTNAASGAPSHDSSCSSQAGPSPQPKMAGEPVTLRSEERIPGGERERKPEVTSISPARPLPGDGRHRKTVHADPGHVTKVHKRLSSPDTYRKTCGPLKCVYPKENSHTRNQHKARDSANSFAKSCGEHECMYPKEGTHSRLHTKLNELRSYSKRCGEFTCKHPKEGTHRRMHVVLMYQAKFPRLERSCGGWHCVFEKREGHIHESNIHHVLQEQSDFEQSCGDWRCDTEYVSEPERKEERELDERGDLMGLLPDQIGTSNPSSMDGELPEERCKGVLMDLESKLQCTPFPGRSQPHPIMGGRASDHPGCPQVGFSPSPGKQHNMQAPFGLSPSMTGISLHGYSGGPSTCRSNIFAVLSSPSTRIVTQLDLSADSLPSISLARKKTLKVASRPSSFLPGETCSNTERAPGYSHPGSMLNAQHCFPPGSLGETGVSPAGQSPLPRLCCPEGYSSIPPPTMSNFM
+>sp|P10071|GLI3_HUMAN Transcriptional activator GLI3 OS=Homo sapiens OX=9606 GN=GLI3 PE=1 SV=6
+MEAQSHSSTTTEKKKVENSIVKCSTRTDVSEKAVASSTTSNEDESPGQTYHRERRNAITMQPQNVQGLSKVSEEPSTSSDERASLIKKEIHGSLPHVAEPSVPYRGTVFAMDPRNGYMEPHYHPPHLFPAFHPPVPIDARHHEGRYHYDPSPIPPLHMTSALSSSPTYPDLPFIRISPHRNPTAASESPFSPPHPYINPYMDYIRSLHSSPSLSMISATRGLSPTDAPHAGVSPAEYYHQMALLTGQRSPYADIIPSAATAGTGAIHMEYLHAMDSTRFSSPRLSARPSRKRTLSISPLSDHSFDLQTMIRTSPNSLVTILNNSRSSSSASGSYGHLSASAISPALSFTYSSAPVSLHMHQQILSRQQSLGSAFGHSPPLIHPAPTFPTQRPIPGIPTVLNPVQVSSGPSESSQNKPTSESAVSSTGDPMHNKRSKIKPDEDLPSPGARGQQEQPEGTTLVKEEGDKDESKQEPEVIYETNCHWEGCAREFDTQEQLVHHINNDHIHGEKKEFVCRWLDCSREQKPFKAQYMLVVHMRRHTGEKPHKCTFEGCTKAYSRLENLKTHLRSHTGEKPYVCEHEGCNKAFSNASDRAKHQNRTHSNEKPYVCKIPGCTKRYTDPSSLRKHVKTVHGPEAHVTKKQRGDIHPRPPPPRDSGSHSQSRSPGRPTQGALGEQQDLSNTTSKREECLQVKTVKAEKPMTSQPSPGGQSSCSSQQSPISNYSNSGLELPLTDGGSIGDLSAIDETPIMDSTISTATTALALQARRNPAGTKWMEHVKLERLKQVNGMFPRLNPILPPKAPAVSPLIGNGTQSNNTCSLGGPMTLLPGRSDLSGVDVTMLNMLNRRDSSASTISSAYLSSRRSSGISPCFSSRRSSEASQAEGRPQNVSVADSYDPISTDASRRSSEASQSDGLPSLLSLTPAQQYRLKAKYAAATGGPPPTPLPNMERMSLKTRLALLGDALEPGVALPPVHAPRRCSDGGAHGYGRRHLQPHDAPGHGVRRASDPVRTGSEGLALPRVPRFSSLSSCNPPAMATSAEKRSLVLQNYTRPEGGQSRNFHSSPCPPSITENVTLESLTMDADANLNDEDFLPDDVVQYLNSQNQAGYEQHFPSALPDDSKVPHGPGDFDAPGLPDSHAGQQFHALEQPCPEGSKTDLPIQWNEVSSGSADLSSSKLKCGPRPAVPQTRAFGFCNGMVVHPQNPLRSGPAGGYQTLGENSNPYGGPEHLMLHNSPGSGTSGNAFHEQPCKAPQYGNCLNRQPVAPGALDGACGAGIQASKLKSTPMQGSGGQLNFGLPVAPNESAGSMVNGMQNQDPVGQGYLAHQLLGDSMQHPGAGRPGQQMLGQISATSHINIYQGPESCLPGAHGMGSQPSSLAVVRGYQPCASFGGSRRQAMPRDSLALQSGQLSDTSQTCRVNGIKMEMKGQPHPLCSNLQNYSGQFYDQTVGFSQQDTKAGSFSISDASCLLQGTSAKNSELLSPGANQVTSTVDSLDSHDLEGVQIDFDAIIDDGDHSSLMSGALSPSIIQNLSHSSSRLTTPRASLPFPALSMSTTNMAIGDMSSLLTSLAEESKFLAVMQ
+>DECOY_sp|P10071|GLI3_HUMAN Transcriptional activator GLI3 OS=Homo sapiens OX=9606 GN=GLI3 PE=1 SV=6
+QMVALFKSEEALSTLLSSMDGIAMNTTSMSLAPFPLSARPTTLRSSSHSLNQIISPSLAGSMLSSHDGDDIIADFDIQVGELDHSDLSDVTSTVQNAGPSLLESNKASTGQLLCSADSISFSGAKTDQQSFGVTQDYFQGSYNQLNSCLPHPQGKMEMKIGNVRCTQSTDSLQGSQLALSDRPMAQRRSGGFSACPQYGRVVALSSPQSGMGHAGPLCSEPGQYINIHSTASIQGLMQQGPRGAGPHQMSDGLLQHALYGQGVPDQNQMGNVMSGASENPAVPLGFNLQGGSGQMPTSKLKSAQIGAGCAGDLAGPAVPQRNLCNGYQPAKCPQEHFANGSTGSGPSNHLMLHEPGGYPNSNEGLTQYGGAPGSRLPNQPHVVMGNCFGFARTQPVAPRPGCKLKSSSLDASGSSVENWQIPLDTKSGEPCPQELAHFQQGAHSDPLGPADFDGPGHPVKSDDPLASPFHQEYGAQNQSNLYQVVDDPLFDEDNLNADADMTLSELTVNETISPPCPSSHFNRSQGGEPRTYNQLVLSRKEASTAMAPPNCSSLSSFRPVRPLALGESGTRVPDSARRVGHGPADHPQLHRRGYGHAGGDSCRRPAHVPPLAVGPELADGLLALRTKLSMREMNPLPTPPPGGTAAAYKAKLRYQQAPTLSLLSPLGDSQSAESSRRSADTSIPDYSDAVSVNQPRGEAQSAESSRRSSFCPSIGSSRRSSLYASSITSASSDRRNLMNLMTVDVGSLDSRGPLLTMPGGLSCTNNSQTGNGILPSVAPAKPPLIPNLRPFMGNVQKLRELKVHEMWKTGAPNRRAQLALATTATSITSDMIPTEDIASLDGISGGDTLPLELGSNSYNSIPSQQSSCSSQGGPSPQSTMPKEAKVTKVQLCEERKSTTNSLDQQEGLAGQTPRGPSRSQSHSGSDRPPPPRPHIDGRQKKTVHAEPGHVTKVHKRLSSPDTYRKTCGPIKCVYPKENSHTRNQHKARDSANSFAKNCGEHECVYPKEGTHSRLHTKLNELRSYAKTCGEFTCKHPKEGTHRRMHVVLMYQAKFPKQERSCDLWRCVFEKKEGHIHDNNIHHVLQEQTDFERACGEWHCNTEYIVEPEQKSEDKDGEEKVLTTGEPQEQQGRAGPSPLDEDPKIKSRKNHMPDGTSSVASESTPKNQSSESPGSSVQVPNLVTPIGPIPRQTPFTPAPHILPPSHGFASGLSQQRSLIQQHMHLSVPASSYTFSLAPSIASASLHGYSGSASSSSRSNNLITVLSNPSTRIMTQLDFSHDSLPSISLTRKRSPRASLRPSSFRTSDMAHLYEMHIAGTGATAASPIIDAYPSRQGTLLAMQHYYEAPSVGAHPADTPSLGRTASIMSLSPSSHLSRIYDMYPNIYPHPPSFPSESAATPNRHPSIRIFPLDPYTPSSSLASTMHLPPIPSPDYHYRGEHHRADIPVPPHFAPFLHPPHYHPEMYGNRPDMAFVTGRYPVSPEAVHPLSGHIEKKILSAREDSSTSPEESVKSLGQVNQPQMTIANRRERHYTQGPSEDENSTTSSAVAKESVDTRTSCKVISNEVKKKETTTSSHSQAEM
+>sp|Q92990|GLMN_HUMAN Glomulin OS=Homo sapiens OX=9606 GN=GLMN PE=1 SV=2
+MAVEELQSIIKRCQILEEQDFKEEDFGLFQLAGQRCIEEGHTDQLLEIIQNEKNKVIIKNMGWNLVGPVVRCLLCKDKEDSKRKVYFLIFDLLVKLCNPKELLLGLLELIEEPSGKQISQSILLLLQPLQTVIQKLHNKAYSIGLALSTLWNQLSLLPVPYSKEQIQMDDYGLCQCCKALIEFTKPFVEEVIDNKENSLENEKLKDELLKFCFKSLKCPLLTAQFFEQSEEGGNDPFRYFASEIIGFLSAIGHPFPKMIFNHGRKKRTWNYLEFEEEENKQLADSMASLAYLVFVQGIHIDQLPMVLSPLYLLQFNMGHIEVFLQRTEESVISKGLELLENSLLRIEDNSLLYQYLEIKSFLTVPQGLVKVMTLCPIETLRKKSLAMLQLYINKLDSQGKYTLFRCLLNTSNHSGVEAFIIQNIKNQIDMSLKRTRNNKWFTGPQLISLLDLVLFLPEGAETDLLQNSDRIMASLNLLRYLVIKDNENDNQTGLWTELGNIENNFLKPLHIGLNMSKAHYEAEIKNSQEAQKSKDLCSITVSGEEIPNMPPEMQLKVLHSALFTFDLIESVLARVEELIEIKTKSTSEENIGIK
+>DECOY_sp|Q92990|GLMN_HUMAN Glomulin OS=Homo sapiens OX=9606 GN=GLMN PE=1 SV=2
+KIGINEESTSKTKIEILEEVRALVSEILDFTFLASHLVKLQMEPPMNPIEEGSVTISCLDKSKQAEQSNKIEAEYHAKSMNLGIHLPKLFNNEINGLETWLGTQNDNENDKIVLYRLLNLSAMIRDSNQLLDTEAGEPLFLVLDLLSILQPGTFWKNNRTRKLSMDIQNKINQIIFAEVGSHNSTNLLCRFLTYKGQSDLKNIYLQLMALSKKRLTEIPCLTMVKVLGQPVTLFSKIELYQYLLSNDEIRLLSNELLELGKSIVSEETRQLFVEIHGMNFQLLYLPSLVMPLQDIHIGQVFVLYALSAMSDALQKNEEEEFELYNWTRKKRGHNFIMKPFPHGIASLFGIIESAFYRFPDNGGEESQEFFQATLLPCKLSKFCFKLLEDKLKENELSNEKNDIVEEVFPKTFEILAKCCQCLGYDDMQIQEKSYPVPLLSLQNWLTSLALGISYAKNHLKQIVTQLPQLLLLISQSIQKGSPEEILELLGLLLEKPNCLKVLLDFILFYVKRKSDEKDKCLLCRVVPGVLNWGMNKIIVKNKENQIIELLQDTHGEEICRQGALQFLGFDEEKFDQEELIQCRKIISQLEEVAM
+>sp|Q8WWB7|GLMP_HUMAN Glycosylated lysosomal membrane protein OS=Homo sapiens OX=9606 GN=GLMP PE=1 SV=1
+MRGSVECTWGWGHCAPSPLLLWTLLLFAAPFGLLGEKTRQVSLEVIPNWLGPLQNLLHIRAVGTNSTLHYVWSSLGPLAVVMVATNTPHSTLSVNWSLLLSPEPDGGLMVLPKDSIQFSSALVFTRLLEFDSTNVSDTAAKPLGRPYPPYSLADFSWNNITDSLDPATLSATFQGHPMNDPTRTFANGSLAFRVQAFSRSSRPAQPPRLLHTADTCQLEVALIGASPRGNRSLFGLEVATLGQGPDCPSMQEQHSIDDEYAPAVFQLDQLLWGSLPSGFAQWRPVAYSQKPGGRESALPCQASPLHPALAYSLPQSPIVRAFFGSQNNFCAFNLTFGASTGPGYWDQHYLSWSMLLGVGFPPVDGLSPLVLGIMAVALGAPGLMLLGGGLVLLLHHKKYSEYQSIN
+>DECOY_sp|Q8WWB7|GLMP_HUMAN Glycosylated lysosomal membrane protein OS=Homo sapiens OX=9606 GN=GLMP PE=1 SV=1
+NISQYESYKKHHLLLVLGGGLLMLGPAGLAVAMIGLVLPSLGDVPPFGVGLLMSWSLYHQDWYGPGTSAGFTLNFACFNNQSGFFARVIPSQPLSYALAPHLPSAQCPLASERGGPKQSYAVPRWQAFGSPLSGWLLQDLQFVAPAYEDDISHQEQMSPCDPGQGLTAVELGFLSRNGRPSAGILAVELQCTDATHLLRPPQAPRSSRSFAQVRFALSGNAFTRTPDNMPHGQFTASLTAPDLSDTINNWSFDALSYPPYPRGLPKAATDSVNTSDFELLRTFVLASSFQISDKPLVMLGGDPEPSLLLSWNVSLTSHPTNTAVMVVALPGLSSWVYHLTSNTGVARIHLLNQLPGLWNPIVELSVQRTKEGLLGFPAAFLLLTWLLLPSPACHGWGWTCEVSGRM
+>sp|Q14409|GLPK3_HUMAN Glycerol kinase 3 OS=Homo sapiens OX=9606 GN=GK3P PE=2 SV=2
+MAASKKAVLGPLVGAVDQGTSSTRFLVFNSRTAELLSHHQVEIKQEFPREGWVEQDPKEILHSVYECIEKTCEKLGQLNIGISNIKAIGVSNQRETTVVWDKITGEPLYNAVVWLDLRTQSTVESLSKRIPGNNNFVKSKTGLPLSTYFSAVKLRWLLDNVRKVQKAVEEKRALFGTIDSWLIWSLTGGVNGGVHCTDVTNASRTMLFNIHSLEWDKQLCEFFGIPMEILPHVRSSSEIYGLMKAGALEGVPISGCLGDQSAALVGQMCFQIGQAKNTYGTGCFLLCNTGHKCVFSDHGLLTTVAYKLGRDKPVYYALEGSVAIAGAVIRWLRDNLGIIKTSEEIEKLAKEVGTSYGCYFVPAFSGLYAPYWEPSARGIICGLTQFTNKCHIAFAALEAVCFQTREILDAMNRDCGIPLSHLQVDGGMTSNKILMQLQADILYIPVVKPLMPETTALGAAMAAGAAEGVDVWSLEPEDLSAVTMERFEPQINAEESEIRYSTWKKAVMKSMGWVTTQSPEGGDPSVFCSLPLGFFIVSSMAMLIGARYISGIP
+>DECOY_sp|Q14409|GLPK3_HUMAN Glycerol kinase 3 OS=Homo sapiens OX=9606 GN=GK3P PE=2 SV=2
+PIGSIYRAGILMAMSSVIFFGLPLSCFVSPDGGEPSQTTVWGMSKMVAKKWTSYRIESEEANIQPEFREMTVASLDEPELSWVDVGEAAGAAMAAGLATTEPMLPKVVPIYLIDAQLQMLIKNSTMGGDVQLHSLPIGCDRNMADLIERTQFCVAELAAFAIHCKNTFQTLGCIIGRASPEWYPAYLGSFAPVFYCGYSTGVEKALKEIEESTKIIGLNDRLWRIVAGAIAVSGELAYYVPKDRGLKYAVTTLLGHDSFVCKHGTNCLLFCGTGYTNKAQGIQFCMQGVLAASQDGLCGSIPVGELAGAKMLGYIESSSRVHPLIEMPIGFFECLQKDWELSHINFLMTRSANTVDTCHVGGNVGGTLSWILWSDITGFLARKEEVAKQVKRVNDLLWRLKVASFYTSLPLGTKSKVFNNNGPIRKSLSEVTSQTRLDLWVVANYLPEGTIKDWVVTTERQNSVGIAKINSIGINLQGLKECTKEICEYVSHLIEKPDQEVWGERPFEQKIEVQHHSLLEATRSNFVLFRTSSTGQDVAGVLPGLVAKKSAAM
+>sp|O94925|GLSK_HUMAN Glutaminase kidney isoform, mitochondrial OS=Homo sapiens OX=9606 GN=GLS PE=1 SV=1
+MMRLRGSGMLRDLLLRSPAGVSATLRRAQPLVTLCRRPRGGGRPAAGPAAAARLHPWWGGGGWPAEPLARGLSSSPSEILQELGKGSTHPQPGVSPPAAPAAPGPKDGPGETDAFGNSEGKELVASGENKIKQGLLPSLEDLLFYTIAEGQEKIPVHKFITALKSTGLRTSDPRLKECMDMLRLTLQTTSDGVMLDKDLFKKCVQSNIVLLTQAFRRKFVIPDFMSFTSHIDELYESAKKQSGGKVADYIPQLAKFSPDLWGVSVCTVDGQRHSTGDTKVPFCLQSCVKPLKYAIAVNDLGTEYVHRYVGKEPSGLRFNKLFLNEDDKPHNPMVNAGAIVVTSLIKQGVNNAEKFDYVMQFLNKMAGNEYVGFSNATFQSERESGDRNFAIGYYLKEKKCFPEGTDMVGILDFYFQLCSIEVTCESASVMAATLANGGFCPITGERVLSPEAVRNTLSLMHSCGMYDFSGQFAFHVGLPAKSGVAGGILLVVPNVMGMMCWSPPLDKMGNSVKGIHFCHDLVSLCNFHNYDNLRHFAKKLDPRREGGDQRVKSVINLLFAAYTGDVSALRRFALSAMDMEQRDYDSRTALHVAAAEGHVEVVKFLLEACKVNPFPKDRWNNTPMDEALHFGHHDVFKILQEYQVQYTPQGDSDNGKENQTVHKNLDGLL
+>DECOY_sp|O94925|GLSK_HUMAN Glutaminase kidney isoform, mitochondrial OS=Homo sapiens OX=9606 GN=GLS PE=1 SV=1
+LLGDLNKHVTQNEKGNDSDGQPTYQVQYEQLIKFVDHHGFHLAEDMPTNNWRDKPFPNVKCAELLFKVVEVHGEAAAVHLATRSDYDRQEMDMASLAFRRLASVDGTYAAFLLNIVSKVRQDGGERRPDLKKAFHRLNDYNHFNCLSVLDHCFHIGKVSNGMKDLPPSWCMMGMVNPVVLLIGGAVGSKAPLGVHFAFQGSFDYMGCSHMLSLTNRVAEPSLVREGTIPCFGGNALTAAMVSASECTVEISCLQFYFDLIGVMDTGEPFCKKEKLYYGIAFNRDGSERESQFTANSFGVYENGAMKNLFQMVYDFKEANNVGQKILSTVVIAGANVMPNHPKDDENLFLKNFRLGSPEKGVYRHVYETGLDNVAIAYKLPKVCSQLCFPVKTDGTSHRQGDVTCVSVGWLDPSFKALQPIYDAVKGGSQKKASEYLEDIHSTFSMFDPIVFKRRFAQTLLVINSQVCKKFLDKDLMVGDSTTQLTLRLMDMCEKLRPDSTRLGTSKLATIFKHVPIKEQGEAITYFLLDELSPLLGQKIKNEGSAVLEKGESNGFADTEGPGDKPGPAAPAAPPSVGPQPHTSGKGLEQLIESPSSSLGRALPEAPWGGGGWWPHLRAAAAPGAAPRGGGRPRRCLTVLPQARRLTASVGAPSRLLLDRLMGSGRLRMM
+>sp|Q96FL9|GLT14_HUMAN Polypeptide N-acetylgalactosaminyltransferase 14 OS=Homo sapiens OX=9606 GN=GALNT14 PE=1 SV=1
+MRRLTRRLVLPVFGVLWITVLLFFWVTKRKLEVPTGPEVQTPKPSDADWDDLWDQFDERRYLNAKKWRVGDDPYKLYAFNQRESERISSNRAIPDTRHLRCTLLVYCTDLPPTSIIITFHNEARSTLLRTIRSVLNRTPTHLIREIILVDDFSNDPDDCKQLIKLPKVKCLRNNERQGLVRSRIRGADIAQGTTLTFLDSHCEVNRDWLQPLLHRVKEDYTRVVCPVIDIINLDTFTYIESASELRGGFDWSLHFQWEQLSPEQKARRLDPTEPIRTPIIAGGLFVIDKAWFDYLGKYDMDMDIWGGENFEISFRVWMCGGSLEIVPCSRVGHVFRKKHPYVFPDGNANTYIKNTKRTAEVWMDEYKQYYYAARPFALERPFGNVESRLDLRKNLRCQSFKWYLENIYPELSIPKESSIQKGNIRQRQKCLESQRQNNQETPNLKLSPCAKVKGEDAKSQVWAFTYTQQILQEELCLSVITLFPGAPVVLVLCKNGDDRQQWTKTGSHIEHIASHLCLDTDMFGDGTENGKEIVVNPCESSLMSQHWDMVSS
+>DECOY_sp|Q96FL9|GLT14_HUMAN Polypeptide N-acetylgalactosaminyltransferase 14 OS=Homo sapiens OX=9606 GN=GALNT14 PE=1 SV=1
+SSVMDWHQSMLSSECPNVVIEKGNETGDGFMDTDLCLHSAIHEIHSGTKTWQQRDDGNKCLVLVVPAGPFLTIVSLCLEEQLIQQTYTFAWVQSKADEGKVKACPSLKLNPTEQNNQRQSELCKQRQRINGKQISSEKPISLEPYINELYWKFSQCRLNKRLDLRSEVNGFPRELAFPRAAYYYQKYEDMWVEATRKTNKIYTNANGDPFVYPHKKRFVHGVRSCPVIELSGGCMWVRFSIEFNEGGWIDMDMDYKGLYDFWAKDIVFLGGAIIPTRIPETPDLRRAKQEPSLQEWQFHLSWDFGGRLESASEIYTFTDLNIIDIVPCVVRTYDEKVRHLLPQLWDRNVECHSDLFTLTTGQAIDAGRIRSRVLGQRENNRLCKVKPLKILQKCDDPDNSFDDVLIIERILHTPTRNLVSRITRLLTSRAENHFTIIISTPPLDTCYVLLTCRLHRTDPIARNSSIRESERQNFAYLKYPDDGVRWKKANLYRREDFQDWLDDWDADSPKPTQVEPGTPVELKRKTVWFFLLVTIWLVGFVPLVLRRTLRRM
+>sp|Q8N428|GLT16_HUMAN Polypeptide N-acetylgalactosaminyltransferase 16 OS=Homo sapiens OX=9606 GN=GALNT16 PE=1 SV=2
+MRKIRANAIAILTVAWILGTFYYLWQDNRAHAASSGGRGAQRAGRRSEQLREDRTIPLIVTGTPSKGFDEKAYLSAKQLKAGEDPYRQHAFNQLESDKLSPDRPIRDTRHYSCPSVSYSSDLPATSVIITFHNEARSTLLRTVKSVLNRTPANLIQEIILVDDFSSDPEDCLLLTRIPKVKCLRNDRREGLIRSRVRGADVAAATVLTFLDSHCEVNTEWLPPMLQRVKEDHTRVVSPIIDVISLDNFAYLAASADLRGGFDWSLHFKWEQIPLEQKMTRTDPTRPIRTPVIAGGIFVIDKSWFNHLGKYDAQMDIWGGENFELSFRVWMCGGSLEIVPCSRVGHVFRKRHPYNFPEGNALTYIRNTKRTAEVWMDEYKQYYYEARPSAIGKAFGSVATRIEQRKKMNCKSFRWYLENVYPELTVPVKEALPGIIKQGVNCLESQGQNTAGDFLLGMGICRGSAKNPQPAQAWLFSDHLIQQQGKCLAATSTLMSSPGSPVILQMCNPREGKQKWRRKGSFIQHSVSGLCLETKPAQLVTSKCQADAQAQQWQLLPHT
+>DECOY_sp|Q8N428|GLT16_HUMAN Polypeptide N-acetylgalactosaminyltransferase 16 OS=Homo sapiens OX=9606 GN=GALNT16 PE=1 SV=2
+THPLLQWQQAQADAQCKSTVLQAPKTELCLGSVSHQIFSGKRRWKQKGERPNCMQLIVPSGPSSMLTSTAALCKGQQQILHDSFLWAQAPQPNKASGRCIGMGLLFDGATNQGQSELCNVGQKIIGPLAEKVPVTLEPYVNELYWRFSKCNMKKRQEIRTAVSGFAKGIASPRAEYYYQKYEDMWVEATRKTNRIYTLANGEPFNYPHRKRFVHGVRSCPVIELSGGCMWVRFSLEFNEGGWIDMQADYKGLHNFWSKDIVFIGGAIVPTRIPRTPDTRTMKQELPIQEWKFHLSWDFGGRLDASAALYAFNDLSIVDIIPSVVRTHDEKVRQLMPPLWETNVECHSDLFTLVTAAAVDAGRVRSRILGERRDNRLCKVKPIRTLLLCDEPDSSFDDVLIIEQILNAPTRNLVSKVTRLLTSRAENHFTIIVSTAPLDSSYSVSPCSYHRTDRIPRDPSLKDSELQNFAHQRYPDEGAKLQKASLYAKEDFGKSPTGTVILPITRDERLQESRRGARQAGRGGSSAAHARNDQWLYYFTGLIWAVTLIAIANARIKRM
+>sp|Q49A17|GLTL6_HUMAN Polypeptide N-acetylgalactosaminyltransferase-like 6 OS=Homo sapiens OX=9606 GN=GALNTL6 PE=2 SV=2
+MKRKQKRFLQMTLLFTVALIFLPNVGLWSLYKDKHLVKSAEPGEQQTFPLGLGDGQFYSWTDGLRRKDWHDYESIQKEAMRSGKGEHGKPYPLTEEDHDDSAYRENGFNIFVSNNIALERSLPDIRHANCKHKMYLERLPNTSIIIPFHNEGWTSLLRTIHSIINRTPGSLIAEIILVDDFSEREHLKDKLEEYMARFSKVRIVRTKKREGLIRTRLLGASMARGEVLTFLDSHCEVNVNWLPPLLNQIALNHKTIVCPMIDVIDHNHFGYEAQAGDAMRGAFDWEMYYKRIPIPPELQRADPSDPFESPVMAGGLFAVDRKWFWELGGYDPGLEIWGGEQYEISFKVWMCGGEMFDVPCSRVGHIYRKYVPYKVPSGTSLARNLKRVAETWMDEFAEYIYQRRPEYRHLSTGDISAQKELRKQLKCKDFKWFMAAVAWDVPKYYPPVEPPPAAWGEIRNVAANLCVDSKHGATGTELRLDICVKDGSERTWSHEQLFTFGWREDIRPGEPLHTRKFCFDAISHNSPVTLYDCHGMKGNQLWGYRKDRTLFHPVSNSCMDCNPAEKKIFMARCDPLSETQQWIFEHINMTVLEKFNHHANS
+>DECOY_sp|Q49A17|GLTL6_HUMAN Polypeptide N-acetylgalactosaminyltransferase-like 6 OS=Homo sapiens OX=9606 GN=GALNTL6 PE=2 SV=2
+SNAHHNFKELVTMNIHEFIWQQTESLPDCRAMFIKKEAPNCDMCSNSVPHFLTRDKRYGWLQNGKMGHCDYLTVPSNHSIADFCFKRTHLPEGPRIDERWGFTFLQEHSWTRESGDKVCIDLRLETGTAGHKSDVCLNAAVNRIEGWAAPPPEVPPYYKPVDWAVAAMFWKFDKCKLQKRLEKQASIDGTSLHRYEPRRQYIYEAFEDMWTEAVRKLNRALSTGSPVKYPVYKRYIHGVRSCPVDFMEGGCMWVKFSIEYQEGGWIELGPDYGGLEWFWKRDVAFLGGAMVPSEFPDSPDARQLEPPIPIRKYYMEWDFAGRMADGAQAEYGFHNHDIVDIMPCVITKHNLAIQNLLPPLWNVNVECHSDLFTLVEGRAMSAGLLRTRILGERKKTRVIRVKSFRAMYEELKDKLHERESFDDVLIIEAILSGPTRNIISHITRLLSTWGENHFPIIISTNPLRELYMKHKCNAHRIDPLSRELAINNSVFINFGNERYASDDHDEETLPYPKGHEGKGSRMAEKQISEYDHWDKRRLGDTWSYFQGDGLGLPFTQQEGPEASKVLHKDKYLSWLGVNPLFILAVTFLLTMQLFRKQKRKM
+>sp|Q8WU03|GLYL2_HUMAN Glycine N-acyltransferase-like protein 2 OS=Homo sapiens OX=9606 GN=GLYATL2 PE=1 SV=1
+MLVLHNSQKLQILYKSLEKSIPESIKVYGAIFNIKDKNPFNMEVLVDAWPDYQIVITRPQKQEMKDDQDHYTNTYHIFTKAPDKLEEVLSYSNVISWEQTLQIQGCQEGLDEAIRKVATSKSVQVDYMKTILFIPELPKKHKTSSNDKMELFEVDDDNKEGNFSNMFLDASHAGLVNEHWAFGKNERSLKYIERCLQDFLGFGVLGPEGQLVSWIVMEQSCELRMGYTVPKYRHQGNMLQIGYHLEKYLSQKEIPFYFHVADNNEKSLQALNNLGFKICPCGWHQWKCTPKKYC
+>DECOY_sp|Q8WU03|GLYL2_HUMAN Glycine N-acyltransferase-like protein 2 OS=Homo sapiens OX=9606 GN=GLYATL2 PE=1 SV=1
+CYKKPTCKWQHWGCPCIKFGLNNLAQLSKENNDAVHFYFPIEKQSLYKELHYGIQLMNGQHRYKPVTYGMRLECSQEMVIWSVLQGEPGLVGFGLFDQLCREIYKLSRENKGFAWHENVLGAHSADLFMNSFNGEKNDDDVEFLEMKDNSSTKHKKPLEPIFLITKMYDVQVSKSTAVKRIAEDLGEQCGQIQLTQEWSIVNSYSLVEELKDPAKTFIHYTNTYHDQDDKMEQKQPRTIVIQYDPWADVLVEMNFPNKDKINFIAGYVKISEPISKELSKYLIQLKQSNHLVLM
+>sp|O60547|GMDS_HUMAN GDP-mannose 4,6 dehydratase OS=Homo sapiens OX=9606 GN=GMDS PE=1 SV=1
+MAHAPARCPSARGSGDGEMGKPRNVALITGITGQDGSYLAEFLLEKGYEVHGIVRRSSSFNTGRIEHLYKNPQAHIEGNMKLHYGDLTDSTCLVKIINEVKPTEIYNLGAQSHVKISFDLAEYTADVDGVGTLRLLDAVKTCGLINSVKFYQASTSELYGKVQEIPQKETTPFYPRSPYGAAKLYAYWIVVNFREAYNLFAVNGILFNHESPRRGANFVTRKISRSVAKIYLGQLECFSLGNLDAKRDWGHAKDYVEAMWLMLQNDEPEDFVIATGEVHSVREFVEKSFLHIGKTIVWEGKNENEVGRCKETGKVHVTVDLKYYRPTEVDFLQGDCTKAKQKLNWKPRVAFDELVREMVHADVELMRTNPNA
+>DECOY_sp|O60547|GMDS_HUMAN GDP-mannose 4,6 dehydratase OS=Homo sapiens OX=9606 GN=GMDS PE=1 SV=1
+ANPNTRMLEVDAHVMERVLEDFAVRPKWNLKQKAKTCDGQLFDVETPRYYKLDVTVHVKGTEKCRGVENENKGEWVITKGIHLFSKEVFERVSHVEGTAIVFDEPEDNQLMLWMAEVYDKAHGWDRKADLNGLSFCELQGLYIKAVSRSIKRTVFNAGRRPSEHNFLIGNVAFLNYAERFNVVIWYAYLKAAGYPSRPYFPTTEKQPIEQVKGYLESTSAQYFKVSNILGCTKVADLLRLTGVGDVDATYEALDFSIKVHSQAGLNYIETPKVENIIKVLCTSDTLDGYHLKMNGEIHAQPNKYLHEIRGTNFSSSRRVIGHVEYGKELLFEALYSGDQGTIGTILAVNRPKGMEGDGSGRASPCRAPAHAM
+>sp|Q9UKD1|GMEB2_HUMAN Glucocorticoid modulatory element-binding protein 2 OS=Homo sapiens OX=9606 GN=GMEB2 PE=1 SV=1
+MATPDVSVHMEEVVVVTTPDTAVDGSGVEGVKTVLVTTNLAPHGGDLTEDNMETENAAAAAAAAFTASSQLKEAVLVKMAEEGENLEAEIVYPITCGDSRANLIWRKFVCPGINVKCVQYDEHVISPKEFVHLAGKSTLKDWKRAIRMNGIMLRKIMDSGELDFYQHDKVCSNTCRSTKIDLSGARVSLSSPTSAEYIPLTPAAADVNGSPATITIETCEDPGDWTAAIGDDTFTFWRGLKDAGLLDEVIQEFHQELVETMRGLQQRVQDPPLQLRDAVLLNNIVQNFGMLDLVKKVLASHKCQMDRSREQYARDLAALEQQCDEHRRRAKELKHKSQHLSNVLMTLTPVSLPPPVKRPRLARATSGPAAMASQVLTQSAQLALGPGVPVPQLTSVPLGKVVSTLPSTVLGKGSLQAPPASSPASPLLGGYTVLASSGSTYPSTVEIHPDASSLTVLSTAAVQDGSTVFKVVSPLQLLTLPGLGPTLQNVAQASPGSSTIVTVPAGAAPGPEEHTATIEVAAMAEDHERK
+>DECOY_sp|Q9UKD1|GMEB2_HUMAN Glucocorticoid modulatory element-binding protein 2 OS=Homo sapiens OX=9606 GN=GMEB2 PE=1 SV=1
+KREHDEAMAAVEITATHEEPGPAAGAPVTVITSSGPSAQAVNQLTPGLGPLTLLQLPSVVKFVTSGDQVAATSLVTLSSADPHIEVTSPYTSGSSALVTYGGLLPSAPSSAPPAQLSGKGLVTSPLTSVVKGLPVSTLQPVPVGPGLALQASQTLVQSAMAAPGSTARALRPRKVPPPLSVPTLTMLVNSLHQSKHKLEKARRRHEDCQQELAALDRAYQERSRDMQCKHSALVKKVLDLMGFNQVINNLLVADRLQLPPDQVRQQLGRMTEVLEQHFEQIVEDLLGADKLGRWFTFTDDGIAATWDGPDECTEITITAPSGNVDAAAPTLPIYEASTPSSLSVRAGSLDIKTSRCTNSCVKDHQYFDLEGSDMIKRLMIGNMRIARKWDKLTSKGALHVFEKPSIVHEDYQVCKVNIGPCVFKRWILNARSDGCTIPYVIEAELNEGEEAMKVLVAEKLQSSATFAAAAAAAANETEMNDETLDGGHPALNTTVLVTKVGEVGSGDVATDPTTVVVVEEMHVSVDPTAM
+>sp|Q9Y5P6|GMPPB_HUMAN Mannose-1-phosphate guanyltransferase beta OS=Homo sapiens OX=9606 GN=GMPPB PE=1 SV=2
+MKALILVGGYGTRLRPLTLSTPKPLVDFCNKPILLHQVEALAAAGVDHVILAVSYMSQVLEKEMKAQEQRLGIRISMSHEEEPLGTAGPLALARDLLSETADPFFVLNSDVICDFPFQAMVQFHRHHGQEGSILVTKVEEPSKYGVVVCEADTGRIHRFVEKPQVFVSNKINAGMYILSPAVLQRIQLQPTSIEKEVFPIMAKEGQLYAMELQGFWMDIGQPKDFLTGMCLFLQSLRQKQPERLCSGPGIVGNVLVDPSARIGQNCSIGPNVSLGPGVVVEDGVCIRRCTVLRDARIRSHSWLESCIVGWRCRVGQWVRMENVTVLGEDVIVNDELYLNGASVLPHKSIGESVPEPRIIM
+>DECOY_sp|Q9Y5P6|GMPPB_HUMAN Mannose-1-phosphate guanyltransferase beta OS=Homo sapiens OX=9606 GN=GMPPB PE=1 SV=2
+MIIRPEPVSEGISKHPLVSAGNLYLEDNVIVDEGLVTVNEMRVWQGVRCRWGVICSELWSHSRIRADRLVTCRRICVGDEVVVGPGLSVNPGISCNQGIRASPDVLVNGVIGPGSCLREPQKQRLSQLFLCMGTLFDKPQGIDMWFGQLEMAYLQGEKAMIPFVEKEISTPQLQIRQLVAPSLIYMGANIKNSVFVQPKEVFRHIRGTDAECVVVGYKSPEEVKTVLISGEQGHHRHFQVMAQFPFDCIVDSNLVFFPDATESLLDRALALPGATGLPEEEHSMSIRIGLRQEQAKMEKELVQSMYSVALIVHDVGAAALAEVQHLLIPKNCFDVLPKPTSLTLPRLRTGYGGVLILAKM
+>sp|O95837|GNA14_HUMAN Guanine nucleotide-binding protein subunit alpha-14 OS=Homo sapiens OX=9606 GN=GNA14 PE=1 SV=1
+MAGCCCLSAEEKESQRISAEIERQLRRDKKDARRELKLLLLGTGESGKSTFIKQMRIIHGSGYSDEDRKGFTKLVYQNIFTAMQAMIRAMDTLRIQYVCEQNKENAQIIREVEVDKVSMLSREQVEAIKQLWQDPGIQECYDRRREYQLSDSAKYYLTDIDRIATPSFVPTQQDVLRVRVPTTGIIEYPFDLENIIFRMVDVGGQRSERRKWIHCFESVTSIIFLVALSEYDQVLAECDNENRMEESKALFKTIITYPWFLNSSVILFLNKKDLLEEKIMYSHLISYFPEYTGPKQDVRAARDFILKLYQDQNPDKEKVIYSHFTCATDTDNIRFVFAAVKDTILQLNLREFNLV
+>DECOY_sp|O95837|GNA14_HUMAN Guanine nucleotide-binding protein subunit alpha-14 OS=Homo sapiens OX=9606 GN=GNA14 PE=1 SV=1
+VLNFERLNLQLITDKVAAFVFRINDTDTACTFHSYIVKEKDPNQDQYLKLIFDRAARVDQKPGTYEPFYSILHSYMIKEELLDKKNLFLIVSSNLFWPYTIITKFLAKSEEMRNENDCEALVQDYESLAVLFIISTVSEFCHIWKRRESRQGGVDVMRFIINELDFPYEIIGTTPVRVRLVDQQTPVFSPTAIRDIDTLYYKASDSLQYERRRDYCEQIGPDQWLQKIAEVQERSLMSVKDVEVERIIQANEKNQECVYQIRLTDMARIMAQMATFINQYVLKTFGKRDEDSYGSGHIIRMQKIFTSKGSEGTGLLLLKLERRADKKDRRLQREIEASIRQSEKEEASLCCCGAM
+>sp|Q96EK6|GNA1_HUMAN Glucosamine 6-phosphate N-acetyltransferase OS=Homo sapiens OX=9606 GN=GNPNAT1 PE=1 SV=1
+MKPDETPMFDPSLLKEVDWSQNTATFSPAISPTHPGEGLVLRPLCTADLNRGFFKVLGQLTETGVVSPEQFMKSFEHMKKSGDYYVTVVEDVTLGQIVATATLIIEHKFIHSCAKRGRVEDVVVSDECRGKQLGKLLLSTLTLLSKKLNCYKITLECLPQNVGFYKKFGYTVSEENYMCRRFLK
+>DECOY_sp|Q96EK6|GNA1_HUMAN Glucosamine 6-phosphate N-acetyltransferase OS=Homo sapiens OX=9606 GN=GNPNAT1 PE=1 SV=1
+KLFRRCMYNEESVTYGFKKYFGVNQPLCELTIKYCNLKKSLLTLTSLLLKGLQKGRCEDSVVVDEVRGRKACSHIFKHEIILTATAVIQGLTVDEVVTVYYDGSKKMHEFSKMFQEPSVVGTETLQGLVKFFGRNLDATCLPRLVLGEGPHTPSIAPSFTATNQSWDVEKLLSPDFMPTEDPKM
+>sp|P08754|GNAI3_HUMAN Guanine nucleotide-binding protein G(k) subunit alpha OS=Homo sapiens OX=9606 GN=GNAI3 PE=1 SV=3
+MGCTLSAEDKAAVERSKMIDRNLREDGEKAAKEVKLLLLGAGESGKSTIVKQMKIIHEDGYSEDECKQYKVVVYSNTIQSIIAIIRAMGRLKIDFGEAARADDARQLFVLAGSAEEGVMTPELAGVIKRLWRDGGVQACFSRSREYQLNDSASYYLNDLDRISQSNYIPTQQDVLRTRVKTTGIVETHFTFKDLYFKMFDVGGQRSERKKWIHCFEGVTAIIFCVALSDYDLVLAEDEEMNRMHESMKLFDSICNNKWFTETSIILFLNKKDLFEEKIKRSPLTICYPEYTGSNTYEEAAAYIQCQFEDLNRRKDTKEIYTHFTCATDTKNVQFVFDAVTDVIIKNNLKECGLY
+>DECOY_sp|P08754|GNAI3_HUMAN Guanine nucleotide-binding protein G(k) subunit alpha OS=Homo sapiens OX=9606 GN=GNAI3 PE=1 SV=3
+YLGCEKLNNKIIVDTVADFVFQVNKTDTACTFHTYIEKTDKRRNLDEFQCQIYAAAEEYTNSGTYEPYCITLPSRKIKEEFLDKKNLFLIISTETFWKNNCISDFLKMSEHMRNMEEDEALVLDYDSLAVCFIIATVGEFCHIWKKRESRQGGVDFMKFYLDKFTFHTEVIGTTKVRTRLVDQQTPIYNSQSIRDLDNLYYSASDNLQYERSRSFCAQVGGDRWLRKIVGALEPTMVGEEASGALVFLQRADDARAAEGFDIKLRGMARIIAIISQITNSYVVVKYQKCEDESYGDEHIIKMQKVITSKGSEGAGLLLLKVEKAAKEGDERLNRDIMKSREVAAKDEASLTCGM
+>sp|P50148|GNAQ_HUMAN Guanine nucleotide-binding protein G(q) subunit alpha OS=Homo sapiens OX=9606 GN=GNAQ PE=1 SV=4
+MTLESIMACCLSEEAKEARRINDEIERQLRRDKRDARRELKLLLLGTGESGKSTFIKQMRIIHGSGYSDEDKRGFTKLVYQNIFTAMQAMIRAMDTLKIPYKYEHNKAHAQLVREVDVEKVSAFENPYVDAIKSLWNDPGIQECYDRRREYQLSDSTKYYLNDLDRVADPAYLPTQQDVLRVRVPTTGIIEYPFDLQSVIFRMVDVGGQRSERRKWIHCFENVTSIMFLVALSEYDQVLVESDNENRMEESKALFRTIITYPWFQNSSVILFLNKKDLLEEKIMYSHLVDYFPEYDGPQRDAQAAREFILKMFVDLNPDSDKIIYSHFTCATDTENIRFVFAAVKDTILQLNLKEYNLV
+>DECOY_sp|P50148|GNAQ_HUMAN Guanine nucleotide-binding protein G(q) subunit alpha OS=Homo sapiens OX=9606 GN=GNAQ PE=1 SV=4
+VLNYEKLNLQLITDKVAAFVFRINETDTACTFHSYIIKDSDPNLDVFMKLIFERAAQADRQPGDYEPFYDVLHSYMIKEELLDKKNLFLIVSSNQFWPYTIITRFLAKSEEMRNENDSEVLVQDYESLAVLFMISTVNEFCHIWKRRESRQGGVDVMRFIVSQLDFPYEIIGTTPVRVRLVDQQTPLYAPDAVRDLDNLYYKTSDSLQYERRRDYCEQIGPDNWLSKIADVYPNEFASVKEVDVERVLQAHAKNHEYKYPIKLTDMARIMAQMATFINQYVLKTFGRKDEDSYGSGHIIRMQKIFTSKGSEGTGLLLLKLERRADRKDRRLQREIEDNIRRAEKAEESLCCAMISELTM
+>sp|A8MTJ3|GNAT3_HUMAN Guanine nucleotide-binding protein G(t) subunit alpha-3 OS=Homo sapiens OX=9606 GN=GNAT3 PE=2 SV=2
+MGSGISSESKESAKRSKELEKKLQEDAERDARTVKLLLLGAGESGKSTIVKQMKIIHKNGYSEQECMEFKAVIYSNTLQSILAIVKAMTTLGIDYVNPRSAEDQRQLYAMANTLEDGGMTPQLAEVIKRLWRDPGIQACFERASEYQLNDSAAYYLNDLDRITASGYVPNEQDVLHSRVKTTGIIETQFSFKDLHFRMFDVGGQRSERKKWIHCFEGVTCIIFCAALSAYDMVLVEDEEVNRMHESLHLFNSICNHKYFSTTSIVLFLNKKDIFQEKVTKVHLSICFPEYTGPNTFEDAGNYIKNQFLDLNLKKEDKEIYSHMTCATDTQNVKFVFDAVTDIIIKENLKDCGLF
+>DECOY_sp|A8MTJ3|GNAT3_HUMAN Guanine nucleotide-binding protein G(t) subunit alpha-3 OS=Homo sapiens OX=9606 GN=GNAT3 PE=2 SV=2
+FLGCDKLNEKIIIDTVADFVFKVNQTDTACTMHSYIEKDEKKLNLDLFQNKIYNGADEFTNPGTYEPFCISLHVKTVKEQFIDKKNLFLVISTTSFYKHNCISNFLHLSEHMRNVEEDEVLVMDYASLAACFIICTVGEFCHIWKKRESRQGGVDFMRFHLDKFSFQTEIIGTTKVRSHLVDQENPVYGSATIRDLDNLYYAASDNLQYESAREFCAQIGPDRWLRKIVEALQPTMGGDELTNAMAYLQRQDEASRPNVYDIGLTTMAKVIALISQLTNSYIVAKFEMCEQESYGNKHIIKMQKVITSKGSEGAGLLLLKVTRADREADEQLKKELEKSRKASEKSESSIGSGM
+>sp|P22749|GNLY_HUMAN Granulysin OS=Homo sapiens OX=9606 GN=GNLY PE=1 SV=3
+MATWALLLLAAMLLGNPGLVFSRLSPEYYDLARAHLRDEEKSCPCLAQEGPQGDLLTKTQELGRDYRTCLTIVQKLKKMVDKPTQRSVSNAATRVCRTGRSRWRDVCRNFMRRYQSRVTQGLVAGETAQQICEDLRLCIPSTGPL
+>DECOY_sp|P22749|GNLY_HUMAN Granulysin OS=Homo sapiens OX=9606 GN=GNLY PE=1 SV=3
+LPGTSPICLRLDECIQQATEGAVLGQTVRSQYRRMFNRCVDRWRSRGTRCVRTAANSVSRQTPKDVMKKLKQVITLCTRYDRGLEQTKTLLDGQPGEQALCPCSKEEDRLHARALDYYEPSLRSFVLGPNGLLMAALLLLAWTAM
+>sp|Q14749|GNMT_HUMAN Glycine N-methyltransferase OS=Homo sapiens OX=9606 GN=GNMT PE=1 SV=3
+MVDSVYRTRSLGVAAEGLPDQYADGEAARVWQLYIGDTRSRTAEYKAWLLGLLRQHGCQRVLDVACGTGVDSIMLVEEGFSVTSVDASDKMLKYALKERWNRRHEPAFDKWVIEEANWMTLDKDVPQSAEGGFDAVICLGNSFAHLPDCKGDQSEHRLALKNIASMVRAGGLLVIDHRNYDHILSTGCAPPGKNIYYKSDLTKDVTTSVLIVNNKAHMVTLDYTVQVPGAGQDGSPGLSKFRLSYYPHCLASFTELLQAAFGGKCQHSVLGDFKPYKPGQTYIPCYFIHVLKRTD
+>DECOY_sp|Q14749|GNMT_HUMAN Glycine N-methyltransferase OS=Homo sapiens OX=9606 GN=GNMT PE=1 SV=3
+DTRKLVHIFYCPIYTQGPKYPKFDGLVSHQCKGGFAAQLLETFSALCHPYYSLRFKSLGPSGDQGAGPVQVTYDLTVMHAKNNVILVSTTVDKTLDSKYYINKGPPACGTSLIHDYNRHDIVLLGGARVMSAINKLALRHESQDGKCDPLHAFSNGLCIVADFGGEASQPVDKDLTMWNAEEIVWKDFAPEHRRNWREKLAYKLMKDSADVSTVSFGEEVLMISDVGTGCAVDLVRQCGHQRLLGLLWAKYEATRSRTDGIYLQWVRAAEGDAYQDPLGEAAVGLSRTRYVSDVM
+>sp|O15228|GNPAT_HUMAN Dihydroxyacetone phosphate acyltransferase OS=Homo sapiens OX=9606 GN=GNPAT PE=1 SV=1
+MESSSSSNSYFSVGPTSPSAVVLLYSKELKKWDEFEDILEERRHVSDLKFAMKCYTPLVYKGITPCKPIDIKCSVLNSEEIHYVIKQLSKESLQSVDVLREEVSEILDEMSHKLRLGAIRFCAFTLSKVFKQIFSKVCVNEEGIQKLQRAIQEHPVVLLPSHRSYIDFLMLSFLLYNYDLPVPVIAAGMDFLGMKMVGELLRMSGAFFMRRTFGGNKLYWAVFSEYVKTMLRNGYAPVEFFLEGTRSRSAKTLTPKFGLLNIVMEPFFKREVFDTYLVPISISYDKILEETLYVYELLGVPKPKESTTGLLKARKILSENFGSIHVYFGDPVSLRSLAAGRMSRSSYNLVPRYIPQKQSEDMHAFVTEVAYKMELLQIENMVLSPWTLIVAVLLQNRPSMDFDALVEKTLWLKGLTQAFGGFLIWPDNKPAEEVVPASILLHSNIASLVKDQVILKVDSGDSEVVDGLMLQHITLLMCSAYRNQLLNIFVRPSLVAVALQMTPGFRKEDVYSCFRFLRDVFADEFIFLPGNTLKDFEEGCYLLCKSEAIQVTTKDILVTEKGNTVLEFLVGLFKPFVESYQIICKYLLSEEEDHFSEEQYLAAVRKFTSQLLDQGTSQCYDVLSSDVQKNALAACVRLGVVEKKKINNNCIFNVNEPATTKLEEMLGCKTPIGKPATAKL
+>DECOY_sp|O15228|GNPAT_HUMAN Dihydroxyacetone phosphate acyltransferase OS=Homo sapiens OX=9606 GN=GNPAT PE=1 SV=1
+LKATAPKGIPTKCGLMEELKTTAPENVNFICNNNIKKKEVVGLRVCAALANKQVDSSLVDYCQSTGQDLLQSTFKRVAALYQEESFHDEEESLLYKCIIQYSEVFPKFLGVLFELVTNGKETVLIDKTTVQIAESKCLLYCGEEFDKLTNGPLFIFEDAFVDRLFRFCSYVDEKRFGPTMQLAVAVLSPRVFINLLQNRYASCMLLTIHQLMLGDVVESDGSDVKLIVQDKVLSAINSHLLISAPVVEEAPKNDPWILFGGFAQTLGKLWLTKEVLADFDMSPRNQLLVAVILTWPSLVMNEIQLLEMKYAVETVFAHMDESQKQPIYRPVLNYSSRSMRGAALSRLSVPDGFYVHISGFNESLIKRAKLLGTTSEKPKPVGLLEYVYLTEELIKDYSISIPVLYTDFVERKFFPEMVINLLGFKPTLTKASRSRTGELFFEVPAYGNRLMTKVYESFVAWYLKNGGFTRRMFFAGSMRLLEGVMKMGLFDMGAAIVPVPLDYNYLLFSLMLFDIYSRHSPLLVVPHEQIARQLKQIGEENVCVKSFIQKFVKSLTFACFRIAGLRLKHSMEDLIESVEERLVDVSQLSEKSLQKIVYHIEESNLVSCKIDIPKCPTIGKYVLPTYCKMAFKLDSVHRREELIDEFEDWKKLEKSYLLVVASPSTPGVSFYSNSSSSSEM
+>sp|P15586|GNS_HUMAN N-acetylglucosamine-6-sulfatase OS=Homo sapiens OX=9606 GN=GNS PE=1 SV=3
+MRLLPLAPGRLRRGSPRHLPSCSPALLLLVLGGCLGVFGVAAGTRRPNVVLLLTDDQDEVLGGMTPLKKTKALIGEMGMTFSSAYVPSALCCPSRASILTGKYPHNHHVVNNTLEGNCSSKSWQKIQEPNTFPAILRSMCGYQTFFAGKYLNEYGAPDAGGLEHVPLGWSYWYALEKNSKYYNYTLSINGKARKHGENYSVDYLTDVLANVSLDFLDYKSNFEPFFMMIATPAPHSPWTAAPQYQKAFQNVFAPRNKNFNIHGTNKHWLIRQAKTPMTNSSIQFLDNAFRKRWQTLLSVDDLVEKLVKRLEFTGELNNTYIFYTSDNGYHTGQFSLPIDKRQLYEFDIKVPLLVRGPGIKPNQTSKMLVANIDLGPTILDIAGYDLNKTQMDGMSLLPILRGASNLTWRSDVLVEYQGEGRNVTDPTCPSLSPGVSQCFPDCVCEDAYNNTYACVRTMSALWNLQYCEFDDQEVFVEVYNLTADPDQITNIAKTIDPELLGKMNYRLMMLQSCSGPTCRTPGVFDPGYRFDPRLMFSNRGSVRTRRFSKHLL
+>DECOY_sp|P15586|GNS_HUMAN N-acetylglucosamine-6-sulfatase OS=Homo sapiens OX=9606 GN=GNS PE=1 SV=3
+LLHKSFRRTRVSGRNSFMLRPDFRYGPDFVGPTRCTPGSCSQLMMLRYNMKGLLEPDITKAINTIQDPDATLNYVEVFVEQDDFECYQLNWLASMTRVCAYTNNYADECVCDPFCQSVGPSLSPCTPDTVNRGEGQYEVLVDSRWTLNSAGRLIPLLSMGDMQTKNLDYGAIDLITPGLDINAVLMKSTQNPKIGPGRVLLPVKIDFEYLQRKDIPLSFQGTHYGNDSTYFIYTNNLEGTFELRKVLKEVLDDVSLLTQWRKRFANDLFQISSNTMPTKAQRILWHKNTGHINFNKNRPAFVNQFAKQYQPAATWPSHPAPTAIMMFFPEFNSKYDLFDLSVNALVDTLYDVSYNEGHKRAKGNISLTYNYYKSNKELAYWYSWGLPVHELGGADPAGYENLYKGAFFTQYGCMSRLIAPFTNPEQIKQWSKSSCNGELTNNVVHHNHPYKGTLISARSPCCLASPVYASSFTMGMEGILAKTKKLPTMGGLVEDQDDTLLLVVNPRRTGAAVGFVGLCGGLVLLLLAPSCSPLHRPSGRRLRGPALPLLRM
+>sp|A6NDK9|GOG6C_HUMAN Golgin subfamily A member 6C OS=Homo sapiens OX=9606 GN=GOLGA6C PE=3 SV=1
+MWPQPYLPPHPMMLEESRQNKLAAAKKKLKEYQQRKSPGIPAGAKTKKKKTDSSPETTTSGGGHSPGDSQYQELAVALESSSVTINQLNENIESLKQQKKQVEHQLEEAKKTNNEIHKAQMEQLETINILTLEKADLKTTLYHTKRAARHFEEESKDLAGRLQYSLQRIQELERALSAVSTQQQEEDRSSSCREAVLQRRLQQTIKERALLNAHVTQVTESLKQVQLERDEYAKHIKGERARWQERMWKMSVEARTLKEEKKRDIHRIQELERSLSELKNQMAEPPSLAPPAVTSVVEQLQDEAKHLRQEVEGLEGKLQSQVENNQALSLLSKEQKQRLQEQEEMLREQEAQRVREQERLCEQNERLREQQKTLQEQGERLRKQEQRLRKQEERLRKEEERLQKQEKRLWDQEERLWKKEERLQKQEERLALSQNHKLDKQLAEPQCSFEDLNNEKKSALQLEQQVKELQEKLDEEHLEAASQRNQQLETQLSLVALPGEGDGGQHLDSEEEEAPRPTPNIPEDLESREATSSFMDLPKEKADGTEQVERRELGFVQPSGVTDGMRESFTVYESQGAVPNTRHQEMEDVIRLAQKEEEMKVKLLELQELVLPLVGNHEGHGKFLIAAQNPADEPTPGAPAPQELGAAGEQDDFYEVSLDNNVEPAPGAAREGSPHDNPPVQQIVQLSPVMQDT
+>DECOY_sp|A6NDK9|GOG6C_HUMAN Golgin subfamily A member 6C OS=Homo sapiens OX=9606 GN=GOLGA6C PE=3 SV=1
+TDQMVPSLQVIQQVPPNDHPSGERAAGPAPEVNNDLSVEYFDDQEGAAGLEQPAPAGPTPEDAPNQAAILFKGHGEHNGVLPLVLEQLELLKVKMEEEKQALRIVDEMEQHRTNPVAGQSEYVTFSERMGDTVGSPQVFGLERREVQETGDAKEKPLDMFSSTAERSELDEPINPTPRPAEEEESDLHQGGDGEGPLAVLSLQTELQQNRQSAAELHEEDLKEQLEKVQQELQLASKKENNLDEFSCQPEALQKDLKHNQSLALREEQKQLREEKKWLREEQDWLRKEQKQLREEEKRLREEQKRLRQEQKRLREGQEQLTKQQERLRENQECLREQERVRQAEQERLMEEQEQLRQKQEKSLLSLAQNNEVQSQLKGELGEVEQRLHKAEDQLQEVVSTVAPPALSPPEAMQNKLESLSRELEQIRHIDRKKEEKLTRAEVSMKWMREQWRAREGKIHKAYEDRELQVQKLSETVQTVHANLLAREKITQQLRRQLVAERCSSSRDEEQQQTSVASLARELEQIRQLSYQLRGALDKSEEEFHRAARKTHYLTTKLDAKELTLINITELQEMQAKHIENNTKKAEELQHEVQKKQQKLSEINENLQNITVSSSELAVALEQYQSDGPSHGGGSTTTEPSSDTKKKKTKAGAPIGPSKRQQYEKLKKKAAALKNQRSEELMMPHPPLYPQPWM
+>sp|Q0D2H9|GOG8D_HUMAN Putative golgin subfamily A member 8D OS=Homo sapiens OX=9606 GN=GOLGA8DP PE=5 SV=1
+MEWKLEQSMREQALLKAQLTQLKESLKEVQLERDEYAEHLKGERARWQQRMRKMSQEVCSLKKEKKHDKYRVEKLERSLSKLKNQMAEPLPPEPPAVPSEVELQHLRKELERVAGALQAQVEYNQRISLLNEGQKERLREQEERLQEQQERLPEQEERLQQLAEPQNSFKELNNENKSVLQLEQQVKELQEKLGKERLEAASQQKQQLTAQLSLMALPGEGHGGEHLDSEGEEAPRPMPSVPEDLESREAMSGFMDHLEEKADLSELVEKEELGFFQYYRERCHQKVYHPITKPGGSAKDAAPGGGHHQAGPGQGGDEGEAAGAAGDGVAAGGDYKGHSKFLVTAQNPAHEPSPGAPAPQELGAAHKHGDLCEVSLTDSVEPVQGEAREGSPHDNPTAQPIVQDHQEHPGLGSNCCVPFFCWAWLPRRRR
+>DECOY_sp|Q0D2H9|GOG8D_HUMAN Putative golgin subfamily A member 8D OS=Homo sapiens OX=9606 GN=GOLGA8DP PE=5 SV=1
+RRRRPLWAWCFFPVCCNSGLGPHEQHDQVIPQATPNDHPSGERAEGQVPEVSDTLSVECLDGHKHAAGLEQPAPAGPSPEHAPNQATVLFKSHGKYDGGAAVGDGAAGAAEGEDGGQGPGAQHHGGGPAADKASGGPKTIPHYVKQHCRERYYQFFGLEEKEVLESLDAKEELHDMFGSMAERSELDEPVSPMPRPAEEGESDLHEGGHGEGPLAMLSLQATLQQKQQSAAELREKGLKEQLEKVQQELQLVSKNENNLEKFSNQPEALQQLREEQEPLREQQEQLREEQERLREKQGENLLSIRQNYEVQAQLAGAVRELEKRLHQLEVESPVAPPEPPLPEAMQNKLKSLSRELKEVRYKDHKKEKKLSCVEQSMKRMRQQWRAREGKLHEAYEDRELQVEKLSEKLQTLQAKLLAQERMSQELKWEM
+>sp|P0CJ92|GOG8H_HUMAN Golgin subfamily A member 8H OS=Homo sapiens OX=9606 GN=GOLGA8H PE=3 SV=1
+MAEETQHNKLAAAKKKLKEYWQKNSPRVPAGANRNRKTNGSVPEKATSGGCQPPGDSATGFHREGPTSSATLKDLESPCQERAVVLDSRSVEISQLKNTIKSLKQQKKQVEHQLEEEKKANNKKQKAKRVLEVQIQTLNIQKGKLNTDLYHMKRSLRYFEEKSKDLAVCLQHSLQRKGELESVLSNVMATQKKKANQLSSRSKARTEWKLEQSMREEALLKVQLTQLKESFQQVQLERDECAEHLKGERARWQQRMRKMSQEICTLKKEKQQDMRRVEKLERSLSKLKNQMAEPLPPEPPAVPSEVELQHLRKELERVAGELQAQVKKNQRISLLNQRQEERIQEQEERLRKQEERIQEQHKSLQQLAKPQSVFEEPNNENKNALQLEQQVKELQEKLGEEHLEAASQQNQQLTAQLSLMALPGEGHGGEHLDSEGEEAPRPMPSVPEDPESREAMSSFMDHLEEKADLSELVKKKELCFIHHWRDRCHQKTHHLLSEPGGRAKDAALGGGHHQAGAQGGDEGEAAGAAADGIAAYSNYNNGHRKFLAAAHNSADEPGPGAPAPQELGAADKHGDLCEVSLTSSAQGEAREDPLLDKPTAQPIVQDHQEHPGLGSNCCVPLLCWAWLPRRRR
+>DECOY_sp|P0CJ92|GOG8H_HUMAN Golgin subfamily A member 8H OS=Homo sapiens OX=9606 GN=GOLGA8H PE=3 SV=1
+RRRRPLWAWCLLPVCCNSGLGPHEQHDQVIPQATPKDLLPDERAEGQASSTLSVECLDGHKDAAGLEQPAPAGPGPEDASNHAAALFKRHGNNYNSYAAIGDAAAGAAEGEDGGQAGAQHHGGGLAADKARGGPESLLHHTKQHCRDRWHHIFCLEKKKVLESLDAKEELHDMFSSMAERSEPDEPVSPMPRPAEEGESDLHEGGHGEGPLAMLSLQATLQQNQQSAAELHEEGLKEQLEKVQQELQLANKNENNPEEFVSQPKALQQLSKHQEQIREEQKRLREEQEQIREEQRQNLLSIRQNKKVQAQLEGAVRELEKRLHQLEVESPVAPPEPPLPEAMQNKLKSLSRELKEVRRMDQQKEKKLTCIEQSMKRMRQQWRAREGKLHEACEDRELQVQQFSEKLQTLQVKLLAEERMSQELKWETRAKSRSSLQNAKKKQTAMVNSLVSELEGKRQLSHQLCVALDKSKEEFYRLSRKMHYLDTNLKGKQINLTQIQVELVRKAKQKKNNAKKEEELQHEVQKKQQKLSKITNKLQSIEVSRSDLVVAREQCPSELDKLTASSTPGERHFGTASDGPPQCGGSTAKEPVSGNTKRNRNAGAPVRPSNKQWYEKLKKKAAALKNHQTEEAM
+>sp|D6RF30|GOG8K_HUMAN Golgin subfamily A member 8K OS=Homo sapiens OX=9606 GN=GOLGA8K PE=3 SV=1
+MAEETQHNKLAAAKKKLKEYWQKNSPRVPAGANRNRKTNGSIPEKATSGGCQPPRDSATGFHREGPTSSATLKDLESPCQERAVVLDSRSVEISQLKNTIKSLKQQKKQVEHQLEEEKKANNKKQKAKRVLEVQIQTLNIQKEELNTDLYHMKRSLRYFEEKSKDLAVRLQHSLQRKGELESVLSNVMATQKKKANQLSSRSKARTEWKLEQSMREEALLKVQLTQLKESFQQVQLERDEYSEHLKGERARWQQRMRKMSQEICTLKKEKQQDMRRVEKLERSLSKLKNQMAEPLPPEPPAVPSEVELQHLRKELERVAGELQAQVKKNQRISLLNQRQEERIQEQEERLRKQEERIQEQHKSLQQLAKPQSVFEEPEHLEAASQQNQQLTAQLSLMALPGEGHGEHLDSEGEEAPQPMPSVPEDLESREAMSSFMDHLKEKADLSELVKKELCFIHHWRDRRHQKTHHLLSEPGGCAKDAALGGGHHQAGAQGGDEGEAAGAAADGIAAYSNYNNGHRKFLAAAHNPADEPGPGAPAPQELGAADKHGDLREVSLTSSAQGEAREDPLLDKPTAQPIVQDHKEHPGLGSNCCVPLFCWAWLPRRRR
+>DECOY_sp|D6RF30|GOG8K_HUMAN Golgin subfamily A member 8K OS=Homo sapiens OX=9606 GN=GOLGA8K PE=3 SV=1
+RRRRPLWAWCFLPVCCNSGLGPHEKHDQVIPQATPKDLLPDERAEGQASSTLSVERLDGHKDAAGLEQPAPAGPGPEDAPNHAAALFKRHGNNYNSYAAIGDAAAGAAEGEDGGQAGAQHHGGGLAADKACGGPESLLHHTKQHRRDRWHHIFCLEKKVLESLDAKEKLHDMFSSMAERSELDEPVSPMPQPAEEGESDLHEGHGEGPLAMLSLQATLQQNQQSAAELHEPEEFVSQPKALQQLSKHQEQIREEQKRLREEQEQIREEQRQNLLSIRQNKKVQAQLEGAVRELEKRLHQLEVESPVAPPEPPLPEAMQNKLKSLSRELKEVRRMDQQKEKKLTCIEQSMKRMRQQWRAREGKLHESYEDRELQVQQFSEKLQTLQVKLLAEERMSQELKWETRAKSRSSLQNAKKKQTAMVNSLVSELEGKRQLSHQLRVALDKSKEEFYRLSRKMHYLDTNLEEKQINLTQIQVELVRKAKQKKNNAKKEEELQHEVQKKQQKLSKITNKLQSIEVSRSDLVVAREQCPSELDKLTASSTPGERHFGTASDRPPQCGGSTAKEPISGNTKRNRNAGAPVRPSNKQWYEKLKKKAAALKNHQTEEAM
+>sp|Q3T8J9|GON4L_HUMAN GON-4-like protein OS=Homo sapiens OX=9606 GN=GON4L PE=1 SV=1
+MLPCKKRRTTVTESLQHKGNQEENNVDLESAVKPESDQVKDLSSVSLSWDPSHGRVAGFEVQSLQDAGNQLGMEDTSLSSGMLTQNTNVPILEGVDVAISQGITLPSLESFHPLNIHIGKGKLHATGSKRGKKMTLRPGPVTQEDRCDHLTLKEPFSGEPSEEVKEEGGKPQMNSEGEIPSLPSGSQSAKPVSQPRKSTQPDVCASPQEKPLRTLFHQPEEEIEDGGLFIPMEEQDNEESEKRRKKKKGTKRKRDGRGQEGTLAYDLKLDDMLDRTLEDGAKQHNLTAVNVRNILHEVITNEHVVAMMKAAISETEDMPMFEPKMTRSKLKEVVEKGVVIPTWNISPIKKANEIKPPQFVDIHLEEDDSSDEEYQPDDEEEDETAEESLLESDVESTASSPRGAKKSRLRQSSEMTETDEESGILSEAEKVTTPAIRHISAEVVPMGPPPPPKPKQTRDSTFMEKLHAVDEELASSPVCMDSFQPMDDSLIAFRTRSKMPLKDVPLGQLEAELQAPDITPDMYDPNTADDEDWKMWLGGLMNDDVGNEDEADDDDDPEYNFLEDLDEPDTEDFRTDRAVRITKKEVNELMEELFETFQDEMGFSNMEDDGPEEEECVAEPRPNFNTPQALRFEEPLANLLNEQHRTVKELFEQLKMKKSSAKQLQEVEKVKPQSEKVHQTLILDPAQRKRLQQQMQQHVQLLTQIHLLATCNPNLNPEATTTRIFLKELGTFAQSSIALHHQYNPKFQTLFQPCNLMGAMQLIEDFSTHVSIDCSPHKTVKKTANEFPCLPKQVAWILATSKVFMYPELLPVCSLKAKNPQDKIVFTKAEDNLLALGLKHFEGTEFPNPLISKYLLTCKTAHQLTVRIKNLNMNRAPDNIIKFYKKTKQLPVLGKCCEEIQPHQWKPPIEREEHRLPFWLKASLPSIQEELRHMADGAREVGNMTGTTEINSDRSLEKDNLELGSESRYPLLLPKGVVLKLKPVATRFPRKAWRQKRSSVLKPLLIQPSPSLQPSFNPGKTPARSTHSEAPPSKMVLRIPHPIQPATVLQTVPGVPPLGVSGGESFESPAALPAVPPEARTSFPLSESQTLLSSAPVPKVMLPSLAPSKFRKPYVRRRPSKRRGVKASPCMKPAPVIHHPASVIFTVPATTVKIVSLGGGCNMIQPVNAAVAQSPQTIPITTLLVNPTSFPCPLNQSLVASSVSPLIVSGNSVNLPIPSTPEDKAHVNVDIACAVADGENAFQGLEPKLEPQELSPLSATVFPKVEHSPGPPLADAECQEGLSENSACRWTVVKTEEGRQALEPLPQGIQESLNNPTPGDLEEIVKMEPEEAREEISGSPERDICDDIKVEHAVELDTGAPSEELSSAGEVTKQTVLQKEEERSQPTKTPSSSQEPPDEGTSGTDVNKGSSKNALSSMDPEVRLSSPPGKPEDSSSVDGQSVGTPVGPETGGEKNGPEEEEEEDFDDLTQDEEDEMSSASEESVLSVPELQETMEKLTWLASERRMSQEGESEEENSQEENSEPEEEEEEEAEGMESLQKEDEMTDEAVGDSAEKPPTFASPETAPEVETSRTPPGESIKAAGKGRNNHRARNKRGSRARASKDTSKLLLLYDEDILERDPLREQKDLAFAQAYLTRVREALQHIPGKYEDFLQVIYEFESSTQRRTAVDLYKSLQILLQDWPQLLKDFAAFLLPEQALACGLFEEQQAFEKSRKFLRQLEICFAENPSHHQKIIKVLQGCADCLPQEITELKTQMWQLLKGHDHLQDEFSIFFDHLRPAASRMGDFEEINWTEEKEYEFDGFEEVALPDVEEEEEPPKIPTASKNKRKKEIGVQNHDKETEWPDGAKDCACSCHEGGPDSKLKKSKRRSCSHCSSKVCDSKSYKSKEPHELVGSSPHREASPMPGAKEAGQGKDMMEEEAPEERESTEATQSRTVRTTRKGEMPVSAGLAVGSTLPSPREVTVTERLLLDGPPPHSPETPQFPPTTGAVLYTVKRNQVGPEVRSCPKASPRLQKEREGQKAVSESEALMLVWDASETEKLPGTVEPPASFLSPVSSKTRDAGRRHVSGKPDTQERWLPSSRARVKTRDRTCPVHESPSGIDTSETSPKAPRGGLAKDSGTQAKGPEGEQQPKAAEATVCANNSKVSSTGEKVVLWTREADRVILTMCQEQGAQPQTFNIISQQLGNKTPAEVSHRFRELMQLFHTACEASSEDEDDATSTSNADQLSDHGDLLSEEELDE
+>DECOY_sp|Q3T8J9|GON4L_HUMAN GON-4-like protein OS=Homo sapiens OX=9606 GN=GON4L PE=1 SV=1
+EDLEEESLLDGHDSLQDANSTSTADDEDESSAECATHFLQMLERFRHSVEAPTKNGLQQSIINFTQPQAGQEQCMTLIVRDAERTWLVVKEGTSSVKSNNACVTAEAAKPQQEGEPGKAQTGSDKALGGRPAKPSTESTDIGSPSEHVPCTRDRTKVRARSSPLWREQTDPKGSVHRRGADRTKSSVPSLFSAPPEVTGPLKETESADWVLMLAESESVAKQGEREKQLRPSAKPCSRVEPGVQNRKVTYLVAGTTPPFQPTEPSHPPPGDLLLRETVTVERPSPLTSGVALGASVPMEGKRTTRVTRSQTAETSEREEPAEEEMMDKGQGAEKAGPMPSAERHPSSGVLEHPEKSKYSKSDCVKSSCHSCSRRKSKKLKSDPGGEHCSCACDKAGDPWETEKDHNQVGIEKKRKNKSATPIKPPEEEEEVDPLAVEEFGDFEYEKEETWNIEEFDGMRSAAPRLHDFFISFEDQLHDHGKLLQWMQTKLETIEQPLCDACGQLVKIIKQHHSPNEAFCIELQRLFKRSKEFAQQEEFLGCALAQEPLLFAAFDKLLQPWDQLLIQLSKYLDVATRRQTSSEFEYIVQLFDEYKGPIHQLAERVRTLYAQAFALDKQERLPDRELIDEDYLLLLKSTDKSARARSGRKNRARHNNRGKGAAKISEGPPTRSTEVEPATEPSAFTPPKEASDGVAEDTMEDEKQLSEMGEAEEEEEEEPESNEEQSNEEESEGEQSMRRESALWTLKEMTEQLEPVSLVSEESASSMEDEEDQTLDDFDEEEEEEPGNKEGGTEPGVPTGVSQGDVSSSDEPKGPPSSLRVEPDMSSLANKSSGKNVDTGSTGEDPPEQSSSPTKTPQSREEEKQLVTQKTVEGASSLEESPAGTDLEVAHEVKIDDCIDREPSGSIEERAEEPEMKVIEELDGPTPNNLSEQIGQPLPELAQRGEETKVVTWRCASNESLGEQCEADALPPGPSHEVKPFVTASLPSLEQPELKPELGQFANEGDAVACAIDVNVHAKDEPTSPIPLNVSNGSVILPSVSSAVLSQNLPCPFSTPNVLLTTIPITQPSQAVAANVPQIMNCGGGLSVIKVTTAPVTFIVSAPHHIVPAPKMCPSAKVGRRKSPRRRVYPKRFKSPALSPLMVKPVPASSLLTQSESLPFSTRAEPPVAPLAAPSEFSEGGSVGLPPVGPVTQLVTAPQIPHPIRLVMKSPPAESHTSRAPTKGPNFSPQLSPSPQILLPKLVSSRKQRWAKRPFRTAVPKLKLVVGKPLLLPYRSESGLELNDKELSRDSNIETTGTMNGVERAGDAMHRLEEQISPLSAKLWFPLRHEEREIPPKWQHPQIEECCKGLVPLQKTKKYFKIINDPARNMNLNKIRVTLQHATKCTLLYKSILPNPFETGEFHKLGLALLNDEAKTFVIKDQPNKAKLSCVPLLEPYMFVKSTALIWAVQKPLCPFENATKKVTKHPSCDISVHTSFDEILQMAGMLNCPQFLTQFKPNYQHHLAISSQAFTGLEKLFIRTTTAEPNLNPNCTALLHIQTLLQVHQQMQQQLRKRQAPDLILTQHVKESQPKVKEVEQLQKASSKKMKLQEFLEKVTRHQENLLNALPEEFRLAQPTNFNPRPEAVCEEEEPGDDEMNSFGMEDQFTEFLEEMLENVEKKTIRVARDTRFDETDPEDLDELFNYEPDDDDDAEDENGVDDNMLGGLWMKWDEDDATNPDYMDPTIDPAQLEAELQGLPVDKLPMKSRTRFAILSDDMPQFSDMCVPSSALEEDVAHLKEMFTSDRTQKPKPPPPPGMPVVEASIHRIAPTTVKEAESLIGSEEDTETMESSQRLRSKKAGRPSSATSEVDSELLSEEATEDEEEDDPQYEEDSSDDEELHIDVFQPPKIENAKKIPSINWTPIVVGKEVVEKLKSRTMKPEFMPMDETESIAAKMMAVVHENTIVEHLINRVNVATLNHQKAGDELTRDLMDDLKLDYALTGEQGRGDRKRKTGKKKKRRKESEENDQEEMPIFLGGDEIEEEPQHFLTRLPKEQPSACVDPQTSKRPQSVPKASQSGSPLSPIEGESNMQPKGGEEKVEESPEGSFPEKLTLHDCRDEQTVPGPRLTMKKGRKSGTAHLKGKGIHINLPHFSELSPLTIGQSIAVDVGELIPVNTNQTLMGSSLSTDEMGLQNGADQLSQVEFGAVRGHSPDWSLSVSSLDKVQDSEPKVASELDVNNEEQNGKHQLSETVTTRRKKCPLM
+>sp|Q9HD26|GOPC_HUMAN Golgi-associated PDZ and coiled-coil motif-containing protein OS=Homo sapiens OX=9606 GN=GOPC PE=1 SV=1
+MSAGGPCPAAAGGGPGGASCSVGAPGGVSMFRWLEVLEKEFDKAFVDVDLLLGEIDPDQADITYEGRQKMTSLSSCFAQLCHKAQSVSQINHKLEAQLVDLKSELTETQAEKVVLEKEVHDQLLQLHSIQLQLHAKTGQSADSGTIKAKLSGPSVEELERELEANKKEKMKEAQLEAEVKLLRKENEALRRHIAVLQAEVYGARLAAKYLDKELAGRVQQIQLLGRDMKGPAHDKLWNQLEAEIHLHRHKTVIRACRGRNDLKRPMQAPPGHDQDSLKKSQGVGPIRKVLLLKEDHEGLGISITGGKEHGVPILISEIHPGQPADRCGGLHVGDAILAVNGVNLRDTKHKEAVTILSQQRGEIEFEVVYVAPEVDSDDENVEYEDESGHRYRLYLDELEGGGNPGASCKDTSGEIKVLQGFNKKAVTDTHENGDLGTASETPLDDGASKLDDLHTLYHKKSY
+>DECOY_sp|Q9HD26|GOPC_HUMAN Golgi-associated PDZ and coiled-coil motif-containing protein OS=Homo sapiens OX=9606 GN=GOPC PE=1 SV=1
+YSKKHYLTHLDDLKSAGDDLPTESATGLDGNEHTDTVAKKNFGQLVKIEGSTDKCSAGPNGGGELEDLYLRYRHGSEDEYEVNEDDSDVEPAVYVVEFEIEGRQQSLITVAEKHKTDRLNVGNVALIADGVHLGGCRDAPQGPHIESILIPVGHEKGGTISIGLGEHDEKLLLVKRIPGVGQSKKLSDQDHGPPAQMPRKLDNRGRCARIVTKHRHLHIEAELQNWLKDHAPGKMDRGLLQIQQVRGALEKDLYKAALRAGYVEAQLVAIHRRLAENEKRLLKVEAELQAEKMKEKKNAELERELEEVSPGSLKAKITGSDASQGTKAHLQLQISHLQLLQDHVEKELVVKEAQTETLESKLDVLQAELKHNIQSVSQAKHCLQAFCSSLSTMKQRGEYTIDAQDPDIEGLLLDVDVFAKDFEKELVELWRFMSVGGPAGVSCSAGGPGGGAAAPCPGGASM
+>sp|Q8IX06|GOR_HUMAN Putative exonuclease GOR OS=Homo sapiens OX=9606 GN=REXO1L1P PE=5 SV=2
+MLRATAPCWFPPGYPEAKKVAEEAALEASRHLGGEQSQAGAPEGSKMLRATAPCWFRPGYPEAKKVAKEAAPEASRHLGAEQSPAGAPEGSKMLRATAPCWFPPGYPEAKKVAEEAALEAPEFPLPSHQPAQSFGLWVPQMHKQASAFVDIQAEPQNRGPAVPPAWPKMVTESCYFPAQRGSACRLPAAPRLTERPSGVRISAPRKRKTIAHSSSPCLVTGYTDAKRTRVASSSQRSRGSKVGRQPGKTRNRSGMACKTTATTSSKRIVRRASLPSLSLKKPIILRSSGCQVPTVLRRGYLQLFTEECLKFCASKQEAEEKALNEEKVAYDCSPNKNRYLNVVLNTLKRLKGLTPSSMPGLSRAALYSRLQEFLLTQDQLKENGYPFPHPERPGGAVLFTGQGKGPGDSSCRVCCRCGTEYLVSSSGRCVRDQLCYYHWGRVRSSQVAGGRVSQYTCCAAAPGSVGCQVAKQHVRDGRKESLDGFVETFKKELSRDAYPGIYALDCEMCYTTHGLELTRVTVVDADMRVVYDTFVKPDNEIVDYNTRFSGVTEADVAKTSITLPQVQAILLSFFSAQTILIGHSLESDLLALKLIHSTVVDTAVLFPHYLGFPYKRSLRNLAADYLAQIIQDSQDGHNSSEDASACLQLVMWKVRQRAQIQPRHRSASPAALACP
+>DECOY_sp|Q8IX06|GOR_HUMAN Putative exonuclease GOR OS=Homo sapiens OX=9606 GN=REXO1L1P PE=5 SV=2
+PCALAAPSASRHRPQIQARQRVKWMVLQLCASADESSNHGDQSDQIIQALYDAALNRLSRKYPFGLYHPFLVATDVVTSHILKLALLDSELSHGILITQASFFSLLIAQVQPLTISTKAVDAETVGSFRTNYDVIENDPKVFTDYVVRMDADVVTVRTLELGHTTYCMECDLAYIGPYADRSLEKKFTEVFGDLSEKRGDRVHQKAVQCGVSGPAAACCTYQSVRGGAVQSSRVRGWHYYCLQDRVCRGSSSVLYETGCRCCVRCSSDGPGKGQGTFLVAGGPREPHPFPYGNEKLQDQTLLFEQLRSYLAARSLGPMSSPTLGKLRKLTNLVVNLYRNKNPSCDYAVKEENLAKEEAEQKSACFKLCEETFLQLYGRRLVTPVQCGSSRLIIPKKLSLSPLSARRVIRKSSTTATTKCAMGSRNRTKGPQRGVKSGRSRQSSSAVRTRKADTYGTVLCPSSSHAITKRKRPASIRVGSPRETLRPAAPLRCASGRQAPFYCSETVMKPWAPPVAPGRNQPEAQIDVFASAQKHMQPVWLGFSQAPQHSPLPFEPAELAAEEAVKKAEPYGPPFWCPATARLMKSGEPAGAPSQEAGLHRSAEPAAEKAVKKAEPYGPRFWCPATARLMKSGEPAGAQSQEGGLHRSAELAAEEAVKKAEPYGPPFWCPATARLM
+>sp|A6NFK2|GRCR2_HUMAN Glutaredoxin domain-containing cysteine-rich protein 2 OS=Homo sapiens OX=9606 GN=GRXCR2 PE=3 SV=1
+MEDPEKKLNQKSDGKPRKVRFKISSSYSGRVLKQVFEDGQELESPKEEYPHSFLQESLETMDGVYGSGEVPRPQMCSPKLTAQRISVFREGNAYTLAGGQPRFNDYKANDHKPLPIIDFGKIIIYTNNLKIIRTPMDKRDFVRKILQKEEEAEEESLMNKEESYGGRDQHDRPLVEAESTLPQNRYTQEGDIPEDSCFHCRGSGSATCSLCHGSKFSMLANRFKESYRALRCPACNENGLQPCQICNQ
+>DECOY_sp|A6NFK2|GRCR2_HUMAN Glutaredoxin domain-containing cysteine-rich protein 2 OS=Homo sapiens OX=9606 GN=GRXCR2 PE=3 SV=1
+QNCIQCPQLGNENCAPCRLARYSEKFRNALMSFKSGHCLSCTASGSGRCHFCSDEPIDGEQTYRNQPLTSEAEVLPRDHQDRGGYSEEKNMLSEEEAEEEKQLIKRVFDRKDMPTRIIKLNNTYIIIKGFDIIPLPKHDNAKYDNFRPQGGALTYANGERFVSIRQATLKPSCMQPRPVEGSGYVGDMTELSEQLFSHPYEEKPSELEQGDEFVQKLVRGSYSSSIKFRVKRPKGDSKQNLKKEPDEM
+>sp|Q9P0R6|GSKIP_HUMAN GSK3B-interacting protein OS=Homo sapiens OX=9606 GN=GSKIP PE=1 SV=2
+METDCNPMELSSMSGFEEGSELNGFEGTDMKDMRLEAEAVVNDVLFAVNNMFVSKSLRCADDVAYINVETKERNRYCLELTEAGLKVVGYAFDQVDDHLQTPYHETVYSLLDTLSPAYREAFGNALLQRLEALKRDGQS
+>DECOY_sp|Q9P0R6|GSKIP_HUMAN GSK3B-interacting protein OS=Homo sapiens OX=9606 GN=GSKIP PE=1 SV=2
+SQGDRKLAELRQLLANGFAERYAPSLTDLLSYVTEHYPTQLHDDVQDFAYGVVKLGAETLELCYRNREKTEVNIYAVDDACRLSKSVFMNNVAFLVDNVVAEAELRMDKMDTGEFGNLESGEEFGSMSSLEMPNCDTEM
+>sp|Q92896|GSLG1_HUMAN Golgi apparatus protein 1 OS=Homo sapiens OX=9606 GN=GLG1 PE=1 SV=2
+MAACGRVRRMFRLSAALHLLLLFAAGAEKLPGQGVHSQGQGPGANFVSFVGQAGGGGPAGQQLPQLPQSSQLQQQQQQQQQQQQPQPPQPPFPAGGPPARRGGAGAGGGWKLAEEESCREDVTRVCPKHTWSNNLAVLECLQDVREPENEISSDCNHLLWNYKLNLTTDPKFESVAREVCKSTITEIKECADEPVGKGYMVSCLVDHRGNITEYQCHQYITKMTAIIFSDYRLICGFMDDCKNDINILKCGSIRLGEKDAHSQGEVVSCLEKGLVKEAEEREPKIQVSELCKKAILRVAELSSDDFHLDRHLYFACRDDRERFCENTQAGEGRVYKCLFNHKFEESMSEKCREALTTRQKLIAQDYKVSYSLAKSCKSDLKKYRCNVENLPRSREARLSYLLMCLESAVHRGRQVSSECQGEMLDYRRMLMEDFSLSPEIILSCRGEIEHHCSGLHRKGRTLHCLMKVVRGEKGNLGMNCQQALQTLIQETDPGADYRIDRALNEACESVIQTACKHIRSGDPMILSCLMEHLYTEKMVEDCEHRLLELQYFISRDWKLDPVLYRKCQGDASRLCHTHGWNETSEFMPQGAVFSCLYRHAYRTEEQGRRLSRECRAEVQRILHQRAMDVKLDPALQDKCLIDLGKWCSEKTETGQELECLQDHLDDLVVECRDIVGNLTELESEDIQIEALLMRACEPIIQNFCHDVADNQIDSGDLMECLIQNKHQKDMNEKCAIGVTHFQLVQMKDFRFSYKFKMACKEDVLKLCPNIKKKVDVVICLSTTVRNDTLQEAKEHRVSLKCRRQLRVEELEMTEDIRLEPDLYEACKSDIKNFCSAVQYGNAQIIECLKENKKQLSTRCHQKVFKLQETEMMDPELDYTLMRVCKQMIKRFCPEADSKTMLQCLKQNKNSELMDPKCKQMITKRQITQNTDYRLNPMLRKACKADIPKFCHGILTKAKDDSELEGQVISCLKLRYADQRLSSDCEDQIRIIIQESALDYRLDPQLQLHCSDEISSLCAEEAAAQEQTGQVEECLKVNLLKIKTELCKKEVLNMLKESKADIFVDPVLHTACALDIKHHCAAITPGRGRQMSCLMEALEDKRVRLQPECKKRLNDRIEMWSYAAKVAPADGFSDLAMQVMTSPSKNYILSVISGSICILFLIGLMCGRITKRVTRELKDR
+>DECOY_sp|Q92896|GSLG1_HUMAN Golgi apparatus protein 1 OS=Homo sapiens OX=9606 GN=GLG1 PE=1 SV=2
+RDKLERTVRKTIRGCMLGILFLICISGSIVSLIYNKSPSTMVQMALDSFGDAPAVKAAYSWMEIRDNLRKKCEPQLRVRKDELAEMLCSMQRGRGPTIAACHHKIDLACATHLVPDVFIDAKSEKLMNLVEKKCLETKIKLLNVKLCEEVQGTQEQAAAEEACLSSIEDSCHLQLQPDLRYDLASEQIIIRIQDECDSSLRQDAYRLKLCSIVQGELESDDKAKTLIGHCFKPIDAKCAKRLMPNLRYDTNQTIQRKTIMQKCKPDMLESNKNQKLCQLMTKSDAEPCFRKIMQKCVRMLTYDLEPDMMETEQLKFVKQHCRTSLQKKNEKLCEIIQANGYQVASCFNKIDSKCAEYLDPELRIDETMELEEVRLQRRCKLSVRHEKAEQLTDNRVTTSLCIVVDVKKKINPCLKLVDEKCAMKFKYSFRFDKMQVLQFHTVGIACKENMDKQHKNQILCEMLDGSDIQNDAVDHCFNQIIPECARMLLAEIQIDESELETLNGVIDRCEVVLDDLHDQLCELEQGTETKESCWKGLDILCKDQLAPDLKVDMARQHLIRQVEARCERSLRRGQEETRYAHRYLCSFVAGQPMFESTENWGHTHCLRSADGQCKRYLVPDLKWDRSIFYQLELLRHECDEVMKETYLHEMLCSLIMPDGSRIHKCATQIVSECAENLARDIRYDAGPDTEQILTQLAQQCNMGLNGKEGRVVKMLCHLTRGKRHLGSCHHEIEGRCSLIIEPSLSFDEMLMRRYDLMEGQCESSVQRGRHVASELCMLLYSLRAERSRPLNEVNCRYKKLDSKCSKALSYSVKYDQAILKQRTTLAERCKESMSEEFKHNFLCKYVRGEGAQTNECFRERDDRCAFYLHRDLHFDDSSLEAVRLIAKKCLESVQIKPEREEAEKVLGKELCSVVEGQSHADKEGLRISGCKLINIDNKCDDMFGCILRYDSFIIATMKTIYQHCQYETINGRHDVLCSVMYGKGVPEDACEKIETITSKCVERAVSEFKPDTTLNLKYNWLLHNCDSSIENEPERVDQLCELVALNNSWTHKPCVRTVDERCSEEEALKWGGGAGAGGRRAPPGGAPFPPQPPQPQQQQQQQQQQQQLQSSQPLQPLQQGAPGGGGAQGVFSVFNAGPGQGQSHVGQGPLKEAGAAFLLLLHLAASLRFMRRVRGCAAM
+>sp|Q99704|DOK1_HUMAN Docking protein 1 OS=Homo sapiens OX=9606 GN=DOK1 PE=1 SV=1
+MDGAVMEGPLFLQSQRFGTKRWRKTWAVLYPASPHGVARLEFFDHKGSSSGGGRGSSRRLDCKVIRLAECVSVAPVTVETPPEPGATAFRLDTAQRSHLLAADAPSSAAWVQTLCRNAFPKGSWTLAPTDNPPKLSALEMLENSLYSPTWEGSQFWVTVQRTEAAERCGLHGSYVLRVEAERLTLLTVGAQSQILEPLLSWPYTLLRRYGRDKVMFSFEAGRRCPSGPGTFTFQTAQGNDIFQAVETAIHRQKAQGKAGQGHDVLRADSHEGEVAEGKLPSPPGPQELLDSPPALYAEPLDSLRIAPCPSQDSLYSDPLDSTSAQAGEGVQRKKPLYWDLYEHAQQQLLKAKLTDPKEDPIYDEPEGLAPVPPQGLYDLPREPKDAWWCQARVKEEGYELPYNPATDDYAVPPPRSTKPLLAPKPQGPAFPEPGTATGSGIKSHNSALYSQVQKSGASGSWDCGLSRVGTDKTGVKSEGST
+>DECOY_sp|Q99704|DOK1_HUMAN Docking protein 1 OS=Homo sapiens OX=9606 GN=DOK1 PE=1 SV=1
+TSGESKVGTKDTGVRSLGCDWSGSAGSKQVQSYLASNHSKIGSGTATGPEPFAPGQPKPALLPKTSRPPPVAYDDTAPNYPLEYGEEKVRAQCWWADKPERPLDYLGQPPVPALGEPEDYIPDEKPDTLKAKLLQQQAHEYLDWYLPKKRQVGEGAQASTSDLPDSYLSDQSPCPAIRLSDLPEAYLAPPSDLLEQPGPPSPLKGEAVEGEHSDARLVDHGQGAKGQAKQRHIATEVAQFIDNGQATQFTFTGPGSPCRRGAEFSFMVKDRGYRRLLTYPWSLLPELIQSQAGVTLLTLREAEVRLVYSGHLGCREAAETRQVTVWFQSGEWTPSYLSNELMELASLKPPNDTPALTWSGKPFANRCLTQVWAASSPADAALLHSRQATDLRFATAGPEPPTEVTVPAVSVCEALRIVKCDLRRSSGRGGGSSSGKHDFFELRAVGHPSAPYLVAWTKRWRKTGFRQSQLFLPGEMVAGDM
+>sp|Q86YN1|DOPP1_HUMAN Dolichyldiphosphatase 1 OS=Homo sapiens OX=9606 GN=DOLPP1 PE=2 SV=1
+MAADGQCSLPASWRPVTLTHVEYPAGDLSGHLLAYLSLSPVFVIVGFVTLIIFKRELHTISFLGGLALNEGVNWLIKNVIQEPRPCGGPHTAVGTKYGMPSSHSQFMWFFSVYSFLFLYLRMHQTNNARFLDLLWRHVLSLGLLAVAFLVSYSRVYLLYHTWSQVLYGGIAGGLMAIAWFIFTQEVLTPLFPRIAAWPVSEFFLIRDTSLIPNVLWFEYTVTRAEARNRQRKLGTKLQ
+>DECOY_sp|Q86YN1|DOPP1_HUMAN Dolichyldiphosphatase 1 OS=Homo sapiens OX=9606 GN=DOLPP1 PE=2 SV=1
+QLKTGLKRQRNRAEARTVTYEFWLVNPILSTDRILFFESVPWAAIRPFLPTLVEQTFIFWAIAMLGGAIGGYLVQSWTHYLLYVRSYSVLFAVALLGLSLVHRWLLDLFRANNTQHMRLYLFLFSYVSFFWMFQSHSSPMGYKTGVATHPGGCPRPEQIVNKILWNVGENLALGGLFSITHLERKFIILTVFGVIVFVPSLSLYALLHGSLDGAPYEVHTLTVPRWSAPLSCQGDAAM
+>sp|Q9UHL4|DPP2_HUMAN Dipeptidyl peptidase 2 OS=Homo sapiens OX=9606 GN=DPP7 PE=1 SV=3
+MGSAPWAPVLLLALGLRGLQAGARRAPDPGFQERFFQQRLDHFNFERFGNKTFPQRFLVSDRFWVRGEGPIFFYTGNEGDVWAFANNSAFVAELAAERGALLVFAEHRYYGKSLPFGAQSTQRGHTELLTVEQALADFAELLRALRRDLGAQDAPAIAFGGSYGGMLSAYLRMKYPHLVAGALAASAPVLAVAGLGDSNQFFRDVTADFEGQSPKCTQGVREAFRQIKDLFLQGAYDTVRWEFGTCQPLSDEKDLTQLFMFARNAFTVLAMMDYPYPTDFLGPLPANPVKVGCDRLLSEAQRITGLRALAGLVYNASGSEHCYDIYRLYHSCADPTGCGTGPDARAWDYQACTEINLTFASNNVTDMFPDLPFTDELRQRYCLDTWGVWPRPDWLLTSFWGGDLRAASNIIFSNGNLDPWAGGGIRRNLSASVIAVTIQGGAHHLDLRASHPEDPASVVEARKLEATIIGEWVKAARREQQPALRGGPRLSL
+>DECOY_sp|Q9UHL4|DPP2_HUMAN Dipeptidyl peptidase 2 OS=Homo sapiens OX=9606 GN=DPP7 PE=1 SV=3
+LSLRPGGRLAPQQERRAAKVWEGIITAELKRAEVVSAPDEPHSARLDLHHAGGQITVAIVSASLNRRIGGGAWPDLNGNSFIINSAARLDGGWFSTLLWDPRPWVGWTDLCYRQRLEDTFPLDPFMDTVNNSAFTLNIETCAQYDWARADPGTGCGTPDACSHYLRYIDYCHESGSANYVLGALARLGTIRQAESLLRDCGVKVPNAPLPGLFDTPYPYDMMALVTFANRAFMFLQTLDKEDSLPQCTGFEWRVTDYAGQLFLDKIQRFAERVGQTCKPSQGEFDATVDRFFQNSDGLGAVALVPASAALAGAVLHPYKMRLYASLMGGYSGGFAIAPADQAGLDRRLARLLEAFDALAQEVTLLETHGRQTSQAGFPLSKGYYRHEAFVLLAGREAALEAVFASNNAFAWVDGENGTYFFIPGEGRVWFRDSVLFRQPFTKNGFREFNFHDLRQQFFREQFGPDPARRAGAQLGRLGLALLLVPAWPASGM
+>sp|P42658|DPP6_HUMAN Dipeptidyl aminopeptidase-like protein 6 OS=Homo sapiens OX=9606 GN=DPP6 PE=1 SV=2
+MASLYQRFTGKINTSRSFPAPPEASHLLGGQGPEEDGGAGAKPLGPRAQAAAPRERGGGGGGAGGRPRFQYQARSDGDEEDELVGSNPPQRNWKGIAIALLVILVICSLIVTSVILLTPAEDNSLSQKKKVTVEDLFSEDFKIHDPEAKWISDTEFIYREQKGTVRLWNVETNTSTVLIEGKKIESLRAIRYEISPDREYALFSYNVEPIYQHSYTGYYVLSKIPHGDPQSLDPPEVSNAKLQYAGWGPKGQQLIFIFENNIYYCAHVGKQAIRVVSTGKEGVIYNGLSDWLYEEEILKTHIAHWWSPDGTRLAYAAINDSRVPIMELPTYTGSIYPTVKPYHYPKAGSENPSISLHVIGLNGPTHDLEMMPPDDPRMREYYITMVKWATSTKVAVTWLNRAQNVSILTLCDATTGVCTKKHEDESEAWLHRQNEEPVFSKDGRKFFFIRAIPQGGRGKFYHITVSSSQPNSSNDNIQSITSGDWDVTKILAYDEKGNKIYFLSTEDLPRRRQLYSANTVGNFNRQCLSCDLVENCTYFSASFSHSMDFFLLKCEGPGVPMVTVHNTTDKKKMFDLETNEHVKKAINDRQMPKVEYRDIEIDDYNLPMQILKPATFTDTTHYPLLLVVDGTPGSQSVAEKFEVSWETVMVSSHGAVVVKCDGRGSGFQGTKLLHEVRRRLGLLEEKDQMEAVRTMLKEQYIDRTRVAVFGKDYGGYLSTYILPAKGENQGQTFTCGSALSPITDFKLYASAFSERYLGLHGLDNRAYEMTKVAHRVSALEEQQFLIIHPTADEKIHFQHTAELITQLIRGKANYSLQIYPDESHYFTSSSLKQHLYRSIINFFVECFRIQDKLLTVTAKEDEEED
+>DECOY_sp|P42658|DPP6_HUMAN Dipeptidyl aminopeptidase-like protein 6 OS=Homo sapiens OX=9606 GN=DPP6 PE=1 SV=2
+DEEEDEKATVTLLKDQIRFCEVFFNIISRYLHQKLSSSTFYHSEDPYIQLSYNAKGRILQTILEATHQFHIKEDATPHIILFQQEELASVRHAVKTMEYARNDLGHLGLYRESFASAYLKFDTIPSLASGCTFTQGQNEGKAPLIYTSLYGGYDKGFVAVRTRDIYQEKLMTRVAEMQDKEELLGLRRRVEHLLKTGQFGSGRGDCKVVVAGHSSVMVTEWSVEFKEAVSQSGPTGDVVLLLPYHTTDTFTAPKLIQMPLNYDDIEIDRYEVKPMQRDNIAKKVHENTELDFMKKKDTTNHVTVMPVGPGECKLLFFDMSHSFSASFYTCNEVLDCSLCQRNFNGVTNASYLQRRRPLDETSLFYIKNGKEDYALIKTVDWDGSTISQINDNSSNPQSSSVTIHYFKGRGGQPIARIFFFKRGDKSFVPEENQRHLWAESEDEHKKTCVGTTADCLTLISVNQARNLWTVAVKTSTAWKVMTIYYERMRPDDPPMMELDHTPGNLGIVHLSISPNESGAKPYHYPKVTPYISGTYTPLEMIPVRSDNIAAYALRTGDPSWWHAIHTKLIEEEYLWDSLGNYIVGEKGTSVVRIAQKGVHACYYINNEFIFILQQGKPGWGAYQLKANSVEPPDLSQPDGHPIKSLVYYGTYSHQYIPEVNYSFLAYERDPSIEYRIARLSEIKKGEILVTSTNTEVNWLRVTGKQERYIFETDSIWKAEPDHIKFDESFLDEVTVKKKQSLSNDEAPTLLIVSTVILSCIVLIVLLAIAIGKWNRQPPNSGVLEDEEDGDSRAQYQFRPRGGAGGGGGGRERPAAAQARPGLPKAGAGGDEEPGQGGLLHSAEPPAPFSRSTNIKGTFRQYLSAM
+>sp|Q6V1X1|DPP8_HUMAN Dipeptidyl peptidase 8 OS=Homo sapiens OX=9606 GN=DPP8 PE=1 SV=1
+MWKRSEQMKIKSGKCNMAAAMETEQLGVEIFETADCEENIESQDRPKLEPFYVERYSWSQLKKLLADTRKYHGYMMAKAPHDFMFVKRNDPDGPHSDRIYYLAMSGENRENTLFYSEIPKTINRAAVLMLSWKPLLDLFQATLDYGMYSREEELLRERKRIGTVGIASYDYHQGSGTFLFQAGSGIYHVKDGGPQGFTQQPLRPNLVETSCPNIRMDPKLCPADPDWIAFIHSNDIWISNIVTREERRLTYVHNELANMEEDARSAGVATFVLQEEFDRYSGYWWCPKAETTPSGGKILRILYEENDESEVEIIHVTSPMLETRRADSFRYPKTGTANPKVTFKMSEIMIDAEGRIIDVIDKELIQPFEILFEGVEYIARAGWTPEGKYAWSILLDRSQTRLQIVLISPELFIPVEDDVMERQRLIESVPDSVTPLIIYEETTDIWINIHDIFHVFPQSHEEEIEFIFASECKTGFRHLYKITSILKESKYKRSSGGLPAPSDFKCPIKEEIAITSGEWEVLGRHGSNIQVDEVRRLVYFEGTKDSPLEHHLYVVSYVNPGEVTRLTDRGYSHSCCISQHCDFFISKYSNQKNPHCVSLYKLSSPEDDPTCKTKEFWATILDSAGPLPDYTPPEIFSFESTTGFTLYGMLYKPHDLQPGKKYPTVLFIYGGPQVQLVNNRFKGVKYFRLNTLASLGYVVVVIDNRGSCHRGLKFEGAFKYKMGQIEIDDQVEGLQYLASRYDFIDLDRVGIHGWSYGGYLSLMALMQRSDIFRVAIAGAPVTLWIFYDTGYTERYMGHPDQNEQGYYLGSVAMQAEKFPSEPNRLLLLHGFLDENVHFAHTSILLSFLVRAGKPYDLQIYPQERHSIRVPESGEHYELHLLHYLQENLGSRIAALKVI
+>DECOY_sp|Q6V1X1|DPP8_HUMAN Dipeptidyl peptidase 8 OS=Homo sapiens OX=9606 GN=DPP8 PE=1 SV=1
+IVKLAAIRSGLNEQLYHLLHLEYHEGSEPVRISHREQPYIQLDYPKGARVLFSLLISTHAFHVNEDLFGHLLLLRNPESPFKEAQMAVSGLYYGQENQDPHGMYRETYGTDYFIWLTVPAGAIAVRFIDSRQMLAMLSLYGGYSWGHIGVRDLDIFDYRSALYQLGEVQDDIEIQGMKYKFAGEFKLGRHCSGRNDIVVVVYGLSALTNLRFYKVGKFRNNVLQVQPGGYIFLVTPYKKGPQLDHPKYLMGYLTFGTTSEFSFIEPPTYDPLPGASDLITAWFEKTKCTPDDEPSSLKYLSVCHPNKQNSYKSIFFDCHQSICCSHSYGRDTLRTVEGPNVYSVVYLHHELPSDKTGEFYVLRRVEDVQINSGHRGLVEWEGSTIAIEEKIPCKFDSPAPLGGSSRKYKSEKLISTIKYLHRFGTKCESAFIFEIEEEHSQPFVHFIDHINIWIDTTEEYIILPTVSDPVSEILRQREMVDDEVPIFLEPSILVIQLRTQSRDLLISWAYKGEPTWGARAIYEVGEFLIEFPQILEKDIVDIIRGEADIMIESMKFTVKPNATGTKPYRFSDARRTELMPSTVHIIEVESEDNEEYLIRLIKGGSPTTEAKPCWWYGSYRDFEEQLVFTAVGASRADEEMNALENHVYTLRREERTVINSIWIDNSHIFAIWDPDAPCLKPDMRINPCSTEVLNPRLPQQTFGQPGGDKVHYIGSGAQFLFTGSGQHYDYSAIGVTGIRKRERLLEEERSYMGYDLTAQFLDLLPKWSLMLVAARNITKPIESYFLTNERNEGSMALYYIRDSHPGDPDNRKVFMFDHPAKAMMYGHYKRTDALLKKLQSWSYREVYFPELKPRDQSEINEECDATEFIEVGLQETEMAAAMNCKGSKIKMQESRKWM
+>sp|Q6PKH6|DR4L2_HUMAN Dehydrogenase/reductase SDR family member 4-like 2 OS=Homo sapiens OX=9606 GN=DHRS4L2 PE=2 SV=1
+MARLLGLCAWARKSVRLASSRMTRRDPLTNKVALVTASTDGIGFAIARRLAQDRAHVVVSSRKQQNVDQAVATLQGEGLSVTGTVCHVGKAEDRERLVAMAVKLHGGIDILVSNAAVNPFFGSLMDVTEEVWDKTLDINVKAPALMTKAVVPEMEKRGGGSVVIVSSIAAFSPSPGFSPYNVSKTALLGLNNTLAIELAPRNIRVNCLHLDLSRLASAGCSGWTRKKRKA
+>DECOY_sp|Q6PKH6|DR4L2_HUMAN Dehydrogenase/reductase SDR family member 4-like 2 OS=Homo sapiens OX=9606 GN=DHRS4L2 PE=2 SV=1
+AKRKKRTWGSCGASALRSLDLHLCNVRINRPALEIALTNNLGLLATKSVNYPSFGPSPSFAAISSVIVVSGGGRKEMEPVVAKTMLAPAKVNIDLTKDWVEETVDMLSGFFPNVAANSVLIDIGGHLKVAMAVLRERDEAKGVHCVTGTVSLGEGQLTAVAQDVNQQKRSSVVVHARDQALRRAIAFGIGDTSATVLAVKNTLPDRRTMRSSALRVSKRAWACLGLLRAM
+>sp|Q8TDB6|DTX3L_HUMAN E3 ubiquitin-protein ligase DTX3L OS=Homo sapiens OX=9606 GN=DTX3L PE=1 SV=1
+MASHLRPPSPLLVRVYKSGPRVRRKLESYFQSSKSSGGGECTVSTQEHEAPGTFRVEFSERAAKERVLKKGEHQILVDEKPVPIFLVPTENSIKKNTRPQISSLTQSQAETPSGDMHQHEGHIPNAVDSCLQKIFLTVTADLNCNLFSKEQRAYITTLCPSIRKMEGHDGIEKVCGDFQDIERIHQFLSEQFLESEQKQQFSPSMTERKPLSQQERDSCISPSEPETKAEQKSNYFEVPLPYFEYFKYICPDKINSIEKRFGVNIEIQESSPNMVCLDFTSSRSGDLEAARESFASEFQKNTEPLKQECVSLADSKQANKFKQELNHQFTKLLIKEKGGELTLLGTQDDISAAKQKISEAFVKIPVKLFAANYMMNVIEVDSAHYKLLETELLQEISEIEKRYDICSKVSEKGQKTCILFESKDRQVDLSVHAYASFIDAFQHASCQLMREVLLLKSLGKERKHLHQTKFADDFRKRHPNVHFVLNQESMTLTGLPNHLAKAKQYVLKGGGMSSLAGKKLKEGHETPMDIDSDDSKAASPPLKGSVSSEASELDKKEKGICVICMDTISNKKVLPKCKHEFCAPCINKAMSYKPICPTCQTSYGIQKGNQPEGSMVFTVSRDSLPGYESFGTIVITYSMKAGIQTEEHPNPGKRYPGIQRTAYLPDNKEGRKVLKLLYRAFDQKLIFTVGYSRVLGVSDVITWNDIHHKTSRFGGPEMYGYPDPSYLKRVKEELKAKGIE
+>DECOY_sp|Q8TDB6|DTX3L_HUMAN E3 ubiquitin-protein ligase DTX3L OS=Homo sapiens OX=9606 GN=DTX3L PE=1 SV=1
+EIGKAKLEEKVRKLYSPDPYGYMEPGGFRSTKHHIDNWTIVDSVGLVRSYGVTFILKQDFARYLLKLVKRGEKNDPLYATRQIGPYRKGPNPHEETQIGAKMSYTIVITGFSEYGPLSDRSVTFVMSGEPQNGKQIGYSTQCTPCIPKYSMAKNICPACFEHKCKPLVKKNSITDMCIVCIGKEKKDLESAESSVSGKLPPSAAKSDDSDIDMPTEHGEKLKKGALSSMGGGKLVYQKAKALHNPLGTLTMSEQNLVFHVNPHRKRFDDAFKTQHLHKREKGLSKLLLVERMLQCSAHQFADIFSAYAHVSLDVQRDKSEFLICTKQGKESVKSCIDYRKEIESIEQLLETELLKYHASDVEIVNMMYNAAFLKVPIKVFAESIKQKAASIDDQTGLLTLEGGKEKILLKTFQHNLEQKFKNAQKSDALSVCEQKLPETNKQFESAFSERAAELDGSRSSTFDLCVMNPSSEQIEINVGFRKEISNIKDPCIYKFYEFYPLPVEFYNSKQEAKTEPESPSICSDREQQSLPKRETMSPSFQQKQESELFQESLFQHIREIDQFDGCVKEIGDHGEMKRISPCLTTIYARQEKSFLNCNLDATVTLFIKQLCSDVANPIHGEHQHMDGSPTEAQSQTLSSIQPRTNKKISNETPVLFIPVPKEDVLIQHEGKKLVREKAARESFEVRFTGPAEHEQTSVTCEGGGSSKSSQFYSELKRRVRPGSKYVRVLLPSPPRLHSAM
+>sp|Q9NRD9|DUOX1_HUMAN Dual oxidase 1 OS=Homo sapiens OX=9606 GN=DUOX1 PE=1 SV=1
+MGFCLALAWTLLVGAWTPLGAQNPISWEVQRFDGWYNNLMEHRWGSKGSRLQRLVPASYADGVYQPLGEPHLPNPRDLSNTISRGPAGLASLRNRTVLGVFFGYHVLSDLVSVETPGCPAEFLNIRIPPGDPMFDPDQRGDVVLPFQRSRWDPETGRSPSNPRDPANQVTGWLDGSAIYGSSHSWSDALRSFSRGQLASGPDPAFPRDSQNPLLMWAAPDPATGQNGPRGLYAFGAERGNREPFLQALGLLWFRYHNLWAQRLARQHPDWEDEELFQHARKRVIATYQNIAVYEWLPSFLQKTLPEYTGYRPFLDPSISSEFVAASEQFLSTMVPPGVYMRNASCHFQGVINRNSSVSRALRVCNSYWSREHPSLQSAEDVDALLLGMASQIAEREDHVLVEDVRDFWPGPLKFSRTDHLASCLQRGRDLGLPSYTKARAALGLSPITRWQDINPALSRSNDTVLEATAALYNQDLSWLELLPGGLLESHRDPGPLFSTIVLEQFVRLRDGDRYWFENTRNGLFSKKEIEEIRNTTLQDVLVAVINIDPSALQPNVFVWHKGDPCPQPRQLSTEGLPACAPSVVRDYFEGSGFGFGVTIGTLCCFPLVSLLSAWIVARLRMRNFKRLQGQDRQSIVSEKLVGGMEALEWQGHKEPCRPVLVYLQPGQIRVVDGRLTVLRTIQLQPPQKVNFVLSSNRGRRTLLLKIPKEYDLVLLFNLEEERQALVENLRGALKESGLSIQEWELREQELMRAAVTREQRRHLLETFFRHLFSQVLDINQADAGTLPLDSSQKVREALTCELSRAEFAESLGLKPQDMFVESMFSLADKDGNGYLSFREFLDILVVFMKGSPEEKSRLMFRMYDFDGNGLISKDEFIRMLRSFIEISNNCLSKAQLAEVVESMFRESGFQDKEELTWEDFHFMLRDHNSELRFTQLCVKGVEVPEVIKDLCRRASYISQDMICPSPRVSARCSRSDIETELTPQRLQCPMDTDPPQEIRRRFGKKVTSFQPLLFTEAHREKFQRSCLHQTVQQFKRFIENYRRHIGCVAVFYAIAGGLFLERAYYYAFAAHHTGITDTTRVGIILSRGTAASISFMFSYILLTMCRNLITFLRETFLNRYVPFDAAVDFHRLIASTAIVLTVLHSVGHVVNVYLFSISPLSVLSCLFPGLFHDDGSELPQKYYWWFFQTVPGLTGVVLLLILAIMYVFASHHFRRRSFRGFWLTHHLYILLYVLLIIHGSFALIQLPRFHIFFLVPAIIYGGDKLVSLSRKKVEISVVKAELLPSGVTHLRFQRPQGFEYKSGQWVRIACLALGTTEYHPFTLTSAPHEDTLSLHIRAAGPWTTRLREIYSAPTGDRCARYPKLYLDGPFGEGHQEWHKFEVSVLVGGGIGVTPFASILKDLVFKSSVSCQVFCKKIYFIWVTRTQRQFEWLADIIREVEENDHQDLVSVHIYITQLAEKFDLRTTMLYICERHFQKVLNRSLFTGLRSITHFGRPPFEPFFNSLQEVHPQVRKIGVFSCGPPGMTKNVEKACQLINRQDRTHFSHHYENF
+>DECOY_sp|Q9NRD9|DUOX1_HUMAN Dual oxidase 1 OS=Homo sapiens OX=9606 GN=DUOX1 PE=1 SV=1
+FNEYHHSFHTRDQRNILQCAKEVNKTMGPPGCSFVGIKRVQPHVEQLSNFFPEFPPRGFHTISRLGTFLSRNLVKQFHRECIYLMTTRLDFKEALQTIYIHVSVLDQHDNEEVERIIDALWEFQRQTRTVWIFYIKKCFVQCSVSSKFVLDKLISAFPTVGIGGGVLVSVEFKHWEQHGEGFPGDLYLKPYRACRDGTPASYIERLRTTWPGAARIHLSLTDEHPASTLTFPHYETTGLALCAIRVWQGSKYEFGQPRQFRLHTVGSPLLEAKVVSIEVKKRSLSVLKDGGYIIAPVLFFIHFRPLQILAFSGHIILLVYLLIYLHHTLWFGRFSRRRFHHSAFVYMIALILLLVVGTLGPVTQFFWWYYKQPLESGDDHFLGPFLCSLVSLPSISFLYVNVVHGVSHLVTLVIATSAILRHFDVAADFPVYRNLFTERLFTILNRCMTLLIYSFMFSISAATGRSLIIGVRTTDTIGTHHAAFAYYYARELFLGGAIAYFVAVCGIHRRYNEIFRKFQQVTQHLCSRQFKERHAETFLLPQFSTVKKGFRRRIEQPPDTDMPCQLRQPTLETEIDSRSCRASVRPSPCIMDQSIYSARRCLDKIVEPVEVGKVCLQTFRLESNHDRLMFHFDEWTLEEKDQFGSERFMSEVVEALQAKSLCNNSIEIFSRLMRIFEDKSILGNGDFDYMRFMLRSKEEPSGKMFVVLIDLFERFSLYGNGDKDALSFMSEVFMDQPKLGLSEAFEARSLECTLAERVKQSSDLPLTGADAQNIDLVQSFLHRFFTELLHRRQERTVAARMLEQERLEWEQISLGSEKLAGRLNEVLAQREEELNFLLVLDYEKPIKLLLTRRGRNSSLVFNVKQPPQLQITRLVTLRGDVVRIQGPQLYVLVPRCPEKHGQWELAEMGGVLKESVISQRDQGQLRKFNRMRLRAVIWASLLSVLPFCCLTGITVGFGFGSGEFYDRVVSPACAPLGETSLQRPQPCPDGKHWVFVNPQLASPDINIVAVLVDQLTTNRIEEIEKKSFLGNRTNEFWYRDGDRLRVFQELVITSFLPGPDRHSELLGGPLLELWSLDQNYLAATAELVTDNSRSLAPNIDQWRTIPSLGLAARAKTYSPLGLDRGRQLCSALHDTRSFKLPGPWFDRVDEVLVHDEREAIQSAMGLLLADVDEASQLSPHERSWYSNCVRLARSVSSNRNIVGQFHCSANRMYVGPPVMTSLFQESAAVFESSISPDLFPRYGTYEPLTKQLFSPLWEYVAINQYTAIVRKRAHQFLEEDEWDPHQRALRQAWLNHYRFWLLGLAQLFPERNGREAGFAYLGRPGNQGTAPDPAAWMLLPNQSDRPFAPDPGSALQGRSFSRLADSWSHSSGYIASGDLWGTVQNAPDRPNSPSRGTEPDWRSRQFPLVVDGRQDPDFMPDGPPIRINLFEAPCGPTEVSVLDSLVHYGFFVGLVTRNRLSALGAPGRSITNSLDRPNPLHPEGLPQYVGDAYSAPVLRQLRSGKSGWRHEMLNNYWGDFRQVEWSIPNQAGLPTWAGVLLTWALALCFGM
+>sp|Q9UNI6|DUS12_HUMAN Dual specificity protein phosphatase 12 OS=Homo sapiens OX=9606 GN=DUSP12 PE=1 SV=1
+MLEAPGPSDGCELSNPSASRVSCAGQMLEVQPGLYFGGAAAVAEPDHLREAGITAVLTVDSEEPSFKAGPGVEDLWRLFVPALDKPETDLLSHLDRCVAFIGQARAEGRAVLVHCHAGVSRSVAIITAFLMKTDQLPFEKAYEKLQILKPEAKMNEGFEWQLKLYQAMGYEVDTSSAIYKQYRLQKVTEKYPELQNLPQELFAVDPTTVSQGLKDEVLYKCRKCRRSLFRSSSILDHREGSGPIAFAHKRMTPSSMLTTGRQAQCTSYFIEPVQWMESALLGVMDGQLLCPKCSAKLGSFNWYGEQCSCGRWITPAFQIHKNRVDEMKILPVLGSQTGKI
+>DECOY_sp|Q9UNI6|DUS12_HUMAN Dual specificity protein phosphatase 12 OS=Homo sapiens OX=9606 GN=DUSP12 PE=1 SV=1
+IKGTQSGLVPLIKMEDVRNKHIQFAPTIWRGCSCQEGYWNFSGLKASCKPCLLQGDMVGLLASEMWQVPEIFYSTCQAQRGTTLMSSPTMRKHAFAIPGSGERHDLISSSRFLSRRCKRCKYLVEDKLGQSVTTPDVAFLEQPLNQLEPYKETVKQLRYQKYIASSTDVEYGMAQYLKLQWEFGENMKAEPKLIQLKEYAKEFPLQDTKMLFATIIAVSRSVGAHCHVLVARGEARAQGIFAVCRDLHSLLDTEPKDLAPVFLRWLDEVGPGAKFSPEESDVTLVATIGAERLHDPEAVAAAGGFYLGPQVELMQGACSVRSASPNSLECGDSPGPAELM
+>sp|Q8WTR2|DUS19_HUMAN Dual specificity protein phosphatase 19 OS=Homo sapiens OX=9606 GN=DUSP19 PE=1 SV=1
+MYSLNQEIKAFSRNNLRKQCTRVTTLTGKKIIETWKDARIHVVEEVEPSSGGGCGYVQDLSSDLQVGVIKPWLLLGSQDAAHDLDTLKKNKVTHILNVAYGVENAFLSDFTYKSISILDLPETNILSYFPECFEFIEEAKRKDGVVLVHCNAGVSRAAAIVIGFLMNSEQTSFTSAFSLVKNARPSICPNSGFMEQLRTYQEGKESNKCDRIQENSS
+>DECOY_sp|Q8WTR2|DUS19_HUMAN Dual specificity protein phosphatase 19 OS=Homo sapiens OX=9606 GN=DUSP19 PE=1 SV=1
+SSNEQIRDCKNSEKGEQYTRLQEMFGSNPCISPRANKVLSFASTFSTQESNMLFGIVIAAARSVGANCHVLVVGDKRKAEEIFEFCEPFYSLINTEPLDLISISKYTFDSLFANEVGYAVNLIHTVKNKKLTDLDHAADQSGLLLWPKIVGVQLDSSLDQVYGCGGGSSPEVEEVVHIRADKWTEIIKKGTLTTVRTCQKRLNNRSFAKIEQNLSYM
+>sp|P33316|DUT_HUMAN Deoxyuridine 5'-triphosphate nucleotidohydrolase, mitochondrial OS=Homo sapiens OX=9606 GN=DUT PE=1 SV=4
+MTPLCPRPALCYHFLTSLLRSAMQNARGARQRAEAAVLSGPGPPLGRAAQHGIPRPLSSAGRLSQGCRGASTVGAAGWKGELPKAGGSPAPGPETPAISPSKRARPAEVGGMQLRFARLSEHATAPTRGSARAAGYDLYSAYDYTIPPMEKAVVKTDIQIALPSGCYGRVAPRSGLAAKHFIDVGAGVIDEDYRGNVGVVLFNFGKEKFEVKKGDRIAQLICERIFYPEIEEVQALDDTERGSGGFGSTGKN
+>DECOY_sp|P33316|DUT_HUMAN Deoxyuridine 5'-triphosphate nucleotidohydrolase, mitochondrial OS=Homo sapiens OX=9606 GN=DUT PE=1 SV=4
+NKGTSGFGGSGRETDDLAQVEEIEPYFIRECILQAIRDGKKVEFKEKGFNFLVVGVNGRYDEDIVGAGVDIFHKAALGSRPAVRGYCGSPLAIQIDTKVVAKEMPPITYDYASYLDYGAARASGRTPATAHESLRAFRLQMGGVEAPRARKSPSIAPTEPGPAPSGGAKPLEGKWGAAGVTSAGRCGQSLRGASSLPRPIGHQAARGLPPGPGSLVAAEARQRAGRANQMASRLLSTLFHYCLAPRPCLPTM
+>sp|O43812|DUX1_HUMAN Double homeobox protein 1 OS=Homo sapiens OX=9606 GN=DUX1 PE=1 SV=1
+MALLTALDDTLPEEAQGPGRRMILLSTPSQSDALRACFERNLYPGIATKEELAQGIDIPEPRVQIWFQNERSCQLRQHRRQSRPWPGRRDPQKGRRKRTAITGSQTALLLRAFEKDRFPGIAAREELARETGLPESRIQIWFQNRRARHRGQSGRAPTQASIRCNAAPIG
+>DECOY_sp|O43812|DUX1_HUMAN Double homeobox protein 1 OS=Homo sapiens OX=9606 GN=DUX1 PE=1 SV=1
+GIPAANCRISAQTPARGSQGRHRARRNQFWIQIRSEPLGTERALEERAAIGPFRDKEFARLLLATQSGTIATRKRRGKQPDRRGPWPRSQRRHQRLQCSRENQFWIQVRPEPIDIGQALEEKTAIGPYLNREFCARLADSQSPTSLLIMRRGPGQAEEPLTDDLATLLAM
+>sp|Q6ZR08|DYH12_HUMAN Dynein heavy chain 12, axonemal OS=Homo sapiens OX=9606 GN=DNAH12 PE=2 SV=2
+MSDANKAAIAAEKEALNLKLPPIVHLPENIGVDTPTQSKLLKYRRSKEQQQKINQLVIDGAKRNLDRTLGKRTPLLPPPDYPQTMTSEMKKKGFNYIYMKQCVESSPLVPIQQEWLDHMLRLIPESLKEGKEREELLESLINEVSSDFENSMKRYLVQSVLVKPPVKSLEDEGGPLPESPVGLDYSNPWHSSYVQARNQIFSNLHIIHPTMKMLLDLGYTTFADTVLLDFTGIRAKGPIDCESLKTDLSIQTRNAEEKIMNTWYPKVINLFTKKEALEGVKPEKLDAFYSCVSTLMSNQLKDLLRRTVEGFVKLFDPKDQQRLPIFKIELTFDDDKMEFYPTFQDLEDNVLSLVERIAEALQNVQTIPSWLSGTSTPVNLDTELPEHVLHWAVDTLKAAVHRNLEGARKHYETYVEKYNWLLDGTAVENIETFQTEDHTFDEYTEFIEKFLSLASEIMLLPQWIHYTMVRLDCEDLKTGLTNKAKAFANILLNDIASKYRKENECICSEFEAIKEHALKVPETTEEMMDLISYVEKARTVGIEELILRIQESKRQMSYFLDVFLFPQEDLALNATVLMWPRKINPIFDENDELIENAKHKKENELMAKREKLILEIEKESRRMEEFTEFAELERMQQYVTDVRQLQKRIQESEEAVQFINKEEELFKWELTKYPELDKLKVNIEPYQKFFNFVLKWQRSEKRWMDGGFLDLNGESMEADVEEFSREIFKTLKFFQTKLKKELQEKRKAARKRSLEEEKIEEEPKDNATITMCRMRARHWKQISEIVGYDLTPDSGTTLRKVLKLNLTPYLEQFEVISAGASKEFSLEKAMNTMIGTWEDIAFHISLYRDTGVCILSSVDEIQAILDDQIIKTQTMRGSPFIKPFEHEIKAWEDRLIRIQETIDEWLKVQAQWLYLEPIFCSEDIMQQMPEEGRQFQTVDRHWRDIMKFCAKDPKVLAATSLTGLLEKLQNCNELLEKIMKGLNAYLEKKRLFFPRFFFLSNDEMLEILSETKDPLRVQPHLKKCFEGIAKLEFLPNLDIKAMYSSEGERVELIALISTSAARGAVEKWLIQVEDLMLRSVHDVIAAARLAYPESARRDWVREWPGQVVLCISQMFWTSETQEVISGGTEGLKKYYKELQNQLNEIVELVRGKLSKQTRTTLGALVTIDVHARDVVMDMIKMGVSHDTDFLWLAQLRYYWENENARVRIINCNVKYAYEYLGNSPRLVITPLTDRCYRTLIGAFYLNLGGAPEGPAGTGKTETTKDLAKALAVQCVVFNCSDGLDYLAMGKFFKGLASSGAWACFDEFNRIELEVLSVVAQQILCIQRAIQQKLVVFVFEGTELKLNPNCFVAITMNPGYAGRSELPDNLKVLFRTVAMMVPNYALIAEISLYSYGFLNARPLSVKIVMTYRLCSEQLSSQFHYDYGMRAVKAVLVAAGNLKLKYPNENEDILLLRSIKDVNEPKFLSHDIPLFNGITSDLFPGIKLPEADYHEFLECAHEACNVHNLQPVKFFLEKIIQTYEMMIVRHGFMLVGEPFAAKTKVLHVLADTLTLMNEHGYGEEEKVIYRTVNPKSITMGQLFGQFDPVSHEWTDGIVANTFREFALSETPDRKWVVFDGPIDTLWIESMNTVLDDNKKLCLMSGEIIQMSPQMSLIFETMDLSQASPATVSRCGMIYLEPSQLGWEPLVSSWLNSLKGPLCEPEYQALLRGLFAWLIPPSLNQRVELFQLNYLYTTIVSKILKILITFRISNYFKYVPLKTQCTFIKFFLHQQACFIFSLIWSIGGSCDTDGRRVFDTFIRLIILGKDDENPVPDSVGKWECPFDEKGLVYDYMYELKNKGRWVHWNELIKNTNLGDKQIKIQDIIVPTMDTIRYTFLMDLSITYAKPLLFVGPTGTGKSVYVKDKLMNHLEKDQYFPFYINLSARTSANQVQNIIMARLDKRRKGVFGPPMGKKCIIFIDDMNMPALEKYGAQPPIELLRQFFDCGHWYDLKDTSKITLVDIELIAAMGPPGGGRNPVTPRCIRHFNICSINSFSDETMVRIFSSIVAFYLRTHEFPPEYFVIGNQIVNGTMEIYKQSVENLLPTPTKSHYTFNLRDFSRVIRGCLLIERDAVANKHTMIRLFVHEVLRVFYDRLINDDDRRWLFQLTKTVIKDHFKESFHSIFSHLRKQNAPVTEEDLRNLMFGDYMNPDLEGDDRVYIEIPNIHHFSDVVDQCLDEYNQTHKTRMNLVIFRYVLEHLSRICRVLKQSGGNALLVGLGGSGRQSLTRLATSMAKMHIFQPEISKSYGMNEWREDMKSFIAVPVTNRIVDNKSKILEKRLRYLNDHFTYNLYCNICRSLFEKDKLLFSFLLCANLLLARKEIEYQELMFLLTGGVSLKSAEKNPDPTWLQDKSWEEICRASEFPAFRGLRQHFCEHIYEWREIYDSKEPHNAKFPAPMDKNLNELQKIIILRCLRPDKITPAITNYVTDKLGKKFVEPPPFDLTKSYLDSNCTIPLIFVLSPGADPMASLLKFANDKSMSGNKFQAISLGQGQGPIAAKMIKAAIEEGTWVCLQNCHLAVSWMPMLEKICEDFTSETCNSSFRLWLTSYPSSKFPVTILQNGVKMTNEPPTGLRLNLLQSYLTDPVSDPEFFKGCRGKELAWEKLLFGVCFFHALVQERKKFGPLGWNIPYGFNESDLRISIRQLQLFINEYDTIPFEAISYLTGECNYGGRVTDDWDRRLLLTMLADFYNLYIVENPHYKFSPSGNYFAPPKGTYEDYIEFIKKLPFTQHPEIFGLHENVDISKDLQQTKTLFESLLLTQGGSKQTGASGSTDQILLEITKDILNKLPSDFDIEMALRKYPVRYEESMNTVLVQEMERFNNLIITIRNTLRDLEKAIKGVVVMDSALEALSGSLLVGKVPEIWAKRSYPSLKPLGSYITDFLARLNFLQDWYNSGKPCVFWLSGFFFTQAFLTGAMQNYARKYTTPIDLLGYEFEVIPSDTSDTSPEDGVYIHGLYLDGARWDRESGLLAEQYPKLLFDLMPIIWIKPTQKSRIIKSDAYVCPLYKTSERKGTLSTTGHSTNFVIAMLLKTDQPTRHWIKRGVALLCQLDD
+>DECOY_sp|Q6ZR08|DYH12_HUMAN Dynein heavy chain 12, axonemal OS=Homo sapiens OX=9606 GN=DNAH12 PE=2 SV=2
+DDLQCLLAVGRKIWHRTPQDTKLLMAIVFNTSHGTTSLTGKRESTKYLPCVYADSKIIRSKQTPKIWIIPMLDFLLKPYQEALLGSERDWRAGDLYLGHIYVGDEPSTDSTDSPIVEFEYGLLDIPTTYKRAYNQMAGTLFAQTFFFGSLWFVCPKGSNYWDQLFNLRALFDTIYSGLPKLSPYSRKAWIEPVKGVLLSGSLAELASDMVVVGKIAKELDRLTNRITIILNNFREMEQVLVTNMSEEYRVPYKRLAMEIDFDSPLKNLIDKTIELLIQDTSGSAGTQKSGGQTLLLSEFLTKTQQLDKSIDVNEHLGFIEPHQTFPLKKIFEIYDEYTGKPPAFYNGSPSFKYHPNEVIYLNYFDALMTLLLRRDWDDTVRGGYNCEGTLYSIAEFPITDYENIFLQLQRISIRLDSENFGYPINWGLPGFKKREQVLAHFFCVGFLLKEWALEKGRCGKFFEPDSVPDTLYSQLLNLRLGTPPENTMKVGNQLITVPFKSSPYSTLWLRFSSNCTESTFDECIKELMPMWSVALHCNQLCVWTGEEIAAKIMKAAIPGQGQGLSIAQFKNGSMSKDNAFKLLSAMPDAGPSLVFILPITCNSDLYSKTLDFPPPEVFKKGLKDTVYNTIAPTIKDPRLCRLIIIKQLENLNKDMPAPFKANHPEKSDYIERWEYIHECFHQRLGRFAPFESARCIEEWSKDQLWTPDPNKEASKLSVGGTLLFMLEQYEIEKRALLLNACLLFSFLLKDKEFLSRCINCYLNYTFHDNLYRLRKELIKSKNDVIRNTVPVAIFSKMDERWENMGYSKSIEPQFIHMKAMSTALRTLSQRGSGGLGVLLANGGSQKLVRCIRSLHELVYRFIVLNMRTKHTQNYEDLCQDVVDSFHHINPIEIYVRDDGELDPNMYDGFMLNRLDEETVPANQKRLHSFISHFSEKFHDKIVTKTLQFLWRRDDDNILRDYFVRLVEHVFLRIMTHKNAVADREILLCGRIVRSFDRLNFTYHSKTPTPLLNEVSQKYIEMTGNVIQNGIVFYEPPFEHTRLYFAVISSFIRVMTEDSFSNISCINFHRICRPTVPNRGGGPPGMAAILEIDVLTIKSTDKLDYWHGCDFFQRLLEIPPQAGYKELAPMNMDDIFIICKKGMPPGFVGKRRKDLRAMIINQVQNASTRASLNIYFPFYQDKELHNMLKDKVYVSKGTGTPGVFLLPKAYTISLDMLFTYRITDMTPVIIDQIKIQKDGLNTNKILENWHVWRGKNKLEYMYDYVLGKEDFPCEWKGVSDPVPNEDDKGLIILRIFTDFVRRGDTDCSGGISWILSFIFCAQQHLFFKIFTCQTKLPVYKFYNSIRFTILIKLIKSVITTYLYNLQFLEVRQNLSPPILWAFLGRLLAQYEPECLPGKLSNLWSSVLPEWGLQSPELYIMGCRSVTAPSAQSLDMTEFILSMQPSMQIIEGSMLCLKKNDDLVTNMSEIWLTDIPGDFVVWKRDPTESLAFERFTNAVIGDTWEHSVPDFQGFLQGMTISKPNVTRYIVKEEEGYGHENMLTLTDALVHLVKTKAAFPEGVLMFGHRVIMMEYTQIIKELFFKVPQLNHVNCAEHACELFEHYDAEPLKIGPFLDSTIGNFLPIDHSLFKPENVDKISRLLLIDENENPYKLKLNGAAVLVAKVARMGYDYHFQSSLQESCLRYTMVIKVSLPRANLFGYSYLSIEAILAYNPVMMAVTRFLVKLNDPLESRGAYGPNMTIAVFCNPNLKLETGEFVFVVLKQQIARQICLIQQAVVSLVELEIRNFEDFCAWAGSSALGKFFKGMALYDLGDSCNFVVCQVALAKALDKTTETKGTGAPGEPAGGLNLYFAGILTRYCRDTLPTIVLRPSNGLYEYAYKVNCNIIRVRANENEWYYRLQALWLFDTDHSVGMKIMDMVVDRAHVDITVLAGLTTRTQKSLKGRVLEVIENLQNQLEKYYKKLGETGGSIVEQTESTWFMQSICLVVQGPWERVWDRRASEPYALRAAAIVDHVSRLMLDEVQILWKEVAGRAASTSILAILEVREGESSYMAKIDLNPLFELKAIGEFCKKLHPQVRLPDKTESLIELMEDNSLFFFRPFFLRKKELYANLGKMIKELLENCNQLKELLGTLSTAALVKPDKACFKMIDRWHRDVTQFQRGEEPMQQMIDESCFIPELYLWQAQVKLWEDITEQIRILRDEWAKIEHEFPKIFPSGRMTQTKIIQDDLIAQIEDVSSLICVGTDRYLSIHFAIDEWTGIMTNMAKELSFEKSAGASIVEFQELYPTLNLKLVKRLTTGSDPTLDYGVIESIQKWHRARMRCMTITANDKPEEEIKEEELSRKRAAKRKEQLEKKLKTQFFKLTKFIERSFEEVDAEMSEGNLDLFGGDMWRKESRQWKLVFNFFKQYPEINVKLKDLEPYKTLEWKFLEEEKNIFQVAEESEQIRKQLQRVDTVYQQMRELEAFETFEEMRRSEKEIELILKERKAMLENEKKHKANEILEDNEDFIPNIKRPWMLVTANLALDEQPFLFVDLFYSMQRKSEQIRLILEEIGVTRAKEVYSILDMMEETTEPVKLAHEKIAEFESCICENEKRYKSAIDNLLINAFAKAKNTLGTKLDECDLRVMTYHIWQPLLMIESALSLFKEIFETYEDFTHDETQFTEINEVATGDLLWNYKEVYTEYHKRAGELNRHVAAKLTDVAWHLVHEPLETDLNVPTSTGSLWSPITQVNQLAEAIREVLSLVNDELDQFTPYFEMKDDDFTLEIKFIPLRQQDKPDFLKVFGEVTRRLLDKLQNSMLTSVCSYFADLKEPKVGELAEKKTFLNIVKPYWTNMIKEEANRTQISLDTKLSECDIPGKARIGTFDLLVTDAFTTYGLDLLMKMTPHIIHLNSFIQNRAQVYSSHWPNSYDLGVPSEPLPGGEDELSKVPPKVLVSQVLYRKMSNEFDSSVENILSELLEEREKGEKLSEPILRLMHDLWEQQIPVLPSSEVCQKMYIYNFGKKKMESTMTQPYDPPPLLPTRKGLTRDLNRKAGDIVLQNIKQQQEKSRRYKLLKSQTPTDVGINEPLHVIPPLKLNLAEKEAAIAAKNADSM
+>sp|Q0VDD8|DYH14_HUMAN Dynein heavy chain 14, axonemal OS=Homo sapiens OX=9606 GN=DNAH14 PE=2 SV=3
+MGRRSQWIWAMRCQMLVPVLSLHIGLARALGCGCIASKIECLIRCNAPFPLLDAVGSVASQELQSLTGTVGVTSGAAAYPRWNLARARAPPHLPGTQDPLRRVRDPTPIVASSPGRRRGSWSGGYGQEASEPGVVGLCCAVLPIHPSLALAGVGGPDLRRFQEGPQRPSDHGAAEKHMETFIPIDLTTENQEMDKEETKTKPRLLRYEEKKYEDVKPLETQPAEIAEKETLEYKTVRTFSESLKSEKTEEPKDDDVIRNIIRLREKLGWQTILPQHSLKYGSSKIAIQKITLKKPLEDDGEFVYCLPRKSPKSLYNPYDLQVVSAHTAKHCKEFWVITASFISKLDSSRTYSLDEFCEEQLQQATQALKQLEDIRNKAISEMKSTFLKVAEKNEIKEYFESKLSEDDTTHFKLPKYRRLLETFFKFVMLVDYIFQELIRQLMNTAVTLLLELFNGSAGMPFSVEKKNENLIRTFKDNSFPTGKTTNDCEELVDNSKLHAISVQKSEVKTDTDINEILNSVEVGKDLRKTYAPIFEVNLCLRIPAESDSSENSKENFHESDQCPEECVMFEDEMSENKDNCVKKHSSEELLPKAKKSKEISYNLEDIISATITPLCQDPQLSIFIDLVSIMDLPNKTGSIIHYKEQTRWPDCHILFETDPAYQNIIVNLLTIIGNSMGLVNAYSHKFIKYCTMTEKAKIMSMKISSMGELTSKEFEAILNRFRNYFRHIVNMAIEKRIGIFNVVVESSLQQLECDPTEIEEFLEHFIFLNAISSKISKLEKEFLTMSQLYSVAKHHQIHISEEQIAIFQVLLLKFSQLKSSMKLSKINKDTAITKFRDNLEACISGLHVDVGNLKAKIRTPLLLCAGTQVSTAMEMIQTLSGEAASLTNKAKAYSHYQDCFSDSQSHMHSVNVEEITQIVLSEISDIEGDLTLRKKLWEAQEEWKRASWEWRNSSLQSIDVESVQRNVSKLMHIISVLEKEIYSIFIIPSIDDISAQLEESQVILATIKGSPHIGPIKSQIMFYNDCVKSFVSSYSREKLEKVHAGLMCHLEEVADLVVLDTSNSRTKAILGALLILYVHCRDIVINLLLKNIFNAEDFEWTRHLQYKWNEKQKLCYVSQGNASFTYGYEYLGCTSRLVITPLTDRCWLTLMEALHLNLGGCPAGPAGTGKTETVKDLAKCALFAFKCNTALIKLPNSLIVLTCFGLEKTVLVMNTVMSFRFVLEGKEIRINMSCAVFITMNPRYGGGVELPDNLKSLFRPVAMMVPHYQMIAEIILFSFGFKSANSLSGKLTNLYELARKQLSQQDHYNFGLRSLKIVLIMAGTKKREFKCDTSDSLSEADETLIVIEAIREASLPKCPPEDVPLFENIIGDIFPEVTVLKVNQLALEKVIYTATQQLGLQNWSSQKEKIIQFYNQLQVCVGVMLVGPTGGGKTTVRRILEKALTLLPIADFLSVAERKSASKISERKGKVDICVLNPKCVTLSELYGQLDPNTMEWTDGLLSATIRSYVYFNTPKNTKKDIDLRLKSRISDLSNVFKLDSSDTTETDDNIFEEIEKVVKIPENHNFDWQWIILDGPVDTFWVENLNSVLDDTRTLCLANSERIALTNKIRVIFEVDNLSQASPATVSRCAMVYMDPVDLGWEPYVKSWLLKTSKIISQSGVDCLEFMIKNSVTDGLQFIRNRQKFQPYPMEDITVVITLCRILDAFFDFMGKNGGFEQSDDLNDTSSKEANSQRESVTFKDIEKRDENTWYPEKNPDKLTKIIQKLFVFAFTWAFGGALNREDEHRENIPFCPSLEPDSLAKVTYDFDKLVHELFGNSSQVGINLPTGECSIFGYFVDIEQCEFIPWSDLVPNDQTLIQRDNPTKKPEVRTNKKLLKNNDHKGVVVSTINFSTNVTAAKTKEMILKKLIRRTKDTLGAPKNNRILIFIDDMNMPVSDMYGAQPPLELIRQLLDLGGVYDTEKNTWKNIQDLSIVAACVPVVNDISPRLLKHFSMLVLPHPSQDILCTIFQIGIDGCGKKTCATLACYLTDNKLYRVPISHKCAYIEFKEVFKKVFIHAGLKGKPTVLMVPNLNIEQDSFLEDLNYIISSGRIPDLFENVELDSIAMKIRYLTEQSGHMDNRQSLLSFFQKRIYKNLHIFVIMSPEGPSFRQNCRVYPSMISSCTIDWYERWPEEALLIVANSFLKEKVNFENRENLKEKLAPTCVQIHKSMKDLNRKYFEETGRFYYTTPNSYLQFMETFAHILRAREEEMQTKRDRFHMGLSTILEATTLVTEMQEELLILGPQVEQKTKETETLMEKLRKDSQVVEKVQMLVKQDEEIVAEEVRIVEDYAQKTANELKSVLPAFDKAIVALNALDKADVAELRVYTRPPFLVLTVMNAVCILLQKKPNWATAKLLLSETGFLKKLINLDKDSIPDKVFVKLKKIVTLPDFNPHKISLVSVACCSLCQWVIALNNYHEVQKVVGPKQIQVAEAQNVLKIARQRLAEKQRGLQLISRWHNQGLPHGQYSVENAILIKNGQQWPLLIDPHRQAHKWIRQMEGSRLQKLSIEDSNYTKKIENAMKTGGSVLLQSCQASTWRKKLYLSTEIDNPHFLPSVYNFVTMINFTVTFQGLQDQLLSTVVTHEVPHLEDQRSKLLESISLDAITLEELEEKTLNLLQKALGSILDDDKIVDTLRKSKMTSNEISKRIEATKKAESEIQAIRKNYLPIATRGALLYFLVADLTQINYMYQFSLDWFHQVFVSSVVSKSKEQEHSFKREKVSPKEVHEFISISKEPNLENEKNLLDKHIKSAIDMLTKSIFKVVSSALFNEDKLCFSFRLCTVIMQNNANGNLIQDDIGFLPEEEWNIFLYSGILINIKSALSQSRLTSTFEIGESQHLQWLSDSRWRQCQYVSTHLEPFSLLCKSLLSNVSQWDTFKNSKAVYSLISTPFSSENASLEENTKPPEEKHTFCLLLRAINHTGTDLGPVGRGQWLTSTACDRHTDVCSFSFALNDGVPDVEHVQDIFYGHCVDKYHVKVLRPESLNNSVRKFITEKMGNKYLQRTGVNLKDAYKGSNARTPLILIQTHGSASIKDYIHIIQSLPDDDLPEVLGIHPEAIRSCWETQGEKFIENLIAMQPKTTTANLMIRPEQSKDELVMEILSDLLKRLPLTVEKEEIAVGTPSTLKSMMSSSIWESLSKNLKDHDPLIHCVLLTFLKQEIKRFDKLLFVIHKSLKDLQLAIKGEIILTQELEEIFNSFLNMRVPTLWQKHAYRSCKPLSSWIDDLIQRLNFFNTWAKVAYTAIQRRYMRFVTVWKQSIPSTSQKCKHPEDSENNFFEGFPSRYWLPAFFFPQAFLAAVLQDYGRSRGIAVDALTFTHHVISNTTDKDEKFSVFMPKKLNIVRRAFKGSASSHTGVYIFGLFIEGARWNREQKILEDSLPLEMCCDFPDIYFLPTKISTKTPNASNQTDSELYAFECPVYQTPERSRILATTGLPTNFLTSVYLSTKKPPSHWITMRVALLCEKNEK
+>DECOY_sp|Q0VDD8|DYH14_HUMAN Dynein heavy chain 14, axonemal OS=Homo sapiens OX=9606 GN=DNAH14 PE=2 SV=3
+KENKECLLAVRMTIWHSPPKKTSLYVSTLFNTPLGTTALIRSREPTQYVPCEFAYLESDTQNSANPTKTSIKTPLFYIDPFDCCMELPLSDELIKQERNWRAGEIFLGFIYVGTHSSASGKFARRVINLKKPMFVSFKEDKDTTNSIVHHTFTLADVAIGRSRGYDQLVAALFAQPFFFAPLWYRSPFGEFFNNESDEPHKCKQSTSPISQKWVTVFRMYRRQIATYAVKAWTNFFNLRQILDDIWSSLPKCSRYAHKQWLTPVRMNLFSNFIEELEQTLIIEGKIALQLDKLSKHIVFLLKDFRKIEQKLFTLLVCHILPDHDKLNKSLSEWISSSMMSKLTSPTGVAIEEKEVTLPLRKLLDSLIEMVLEDKSQEPRIMLNATTTKPQMAILNEIFKEGQTEWCSRIAEPHIGLVEPLDDDPLSQIIHIYDKISASGHTQILILPTRANSGKYADKLNVGTRQLYKNGMKETIFKRVSNNLSEPRLVKVHYKDVCHGYFIDQVHEVDPVGDNLAFSFSCVDTHRDCATSTLWQGRGVPGLDTGTHNIARLLLCFTHKEEPPKTNEELSANESSFPTSILSYVAKSNKFTDWQSVNSLLSKCLLSFPELHTSVYQCQRWRSDSLWQLHQSEGIEFTSTLRSQSLASKINILIGSYLFINWEEEPLFGIDDQILNGNANNQMIVTCLRFSFCLKDENFLASSVVKFISKTLMDIASKIHKDLLNKENELNPEKSISIFEHVEKPSVKERKFSHEQEKSKSVVSSVFVQHFWDLSFQYMYNIQTLDAVLFYLLAGRTAIPLYNKRIAQIESEAKKTAEIRKSIENSTMKSKRLTDVIKDDDLISGLAKQLLNLTKEELEELTIADLSISELLKSRQDELHPVEHTVVTSLLQDQLGQFTVTFNIMTVFNYVSPLFHPNDIETSLYLKKRWTSAQCSQLLVSGGTKMANEIKKTYNSDEISLKQLRSGEMQRIWKHAQRHPDILLPWQQGNKILIANEVSYQGHPLGQNHWRSILQLGRQKEALRQRAIKLVNQAEAVQIQKPGVVKQVEHYNNLAIVWQCLSCCAVSVLSIKHPNFDPLTVIKKLKVFVKDPISDKDLNILKKLFGTESLLLKATAWNPKKQLLICVANMVTLVLFPPRTYVRLEAVDAKDLANLAVIAKDFAPLVSKLENATKQAYDEVIRVEEAVIEEDQKVLMQVKEVVQSDKRLKEMLTETEKTKQEVQPGLILLEEQMETVLTTAELITSLGMHFRDRKTQMEEERARLIHAFTEMFQLYSNPTTYYFRGTEEFYKRNLDKMSKHIQVCTPALKEKLNERNEFNVKEKLFSNAVILLAEEPWREYWDITCSSIMSPYVRCNQRFSPGEPSMIVFIHLNKYIRKQFFSLLSQRNDMHGSQETLYRIKMAISDLEVNEFLDPIRGSSIIYNLDELFSDQEINLNPVMLVTPKGKLGAHIFVKKFVEKFEIYACKHSIPVRYLKNDTLYCALTACTKKGCGDIGIQFITCLIDQSPHPLVLMSFHKLLRPSIDNVVPVCAAVISLDQINKWTNKETDYVGGLDLLQRILELPPQAGYMDSVPMNMDDIFILIRNNKPAGLTDKTRRILKKLIMEKTKAATVNTSFNITSVVVGKHDNNKLLKKNTRVEPKKTPNDRQILTQDNPVLDSWPIFECQEIDVFYGFISCEGTPLNIGVQSSNGFLEHVLKDFDYTVKALSDPELSPCFPINERHEDERNLAGGFAWTFAFVFLKQIIKTLKDPNKEPYWTNEDRKEIDKFTVSERQSNAEKSSTDNLDDSQEFGGNKGMFDFFADLIRCLTIVVTIDEMPYPQFKQRNRIFQLGDTVSNKIMFELCDVGSQSIIKSTKLLWSKVYPEWGLDVPDMYVMACRSVTAPSAQSLNDVEFIVRIKNTLAIRESNALCLTRTDDLVSNLNEVWFTDVPGDLIIWQWDFNHNEPIKVVKEIEEFINDDTETTDSSDLKFVNSLDSIRSKLRLDIDKKTNKPTNFYVYSRITASLLGDTWEMTNPDLQGYLESLTVCKPNLVCIDVKGKRESIKSASKREAVSLFDAIPLLTLAKELIRRVTTKGGGTPGVLMVGVCVQLQNYFQIIKEKQSSWNQLGLQQTATYIVKELALQNVKLVTVEPFIDGIINEFLPVDEPPCKPLSAERIAEIVILTEDAESLSDSTDCKFERKKTGAMILVIKLSRLGFNYHDQQSLQKRALEYLNTLKGSLSNASKFGFSFLIIEAIMQYHPVMMAVPRFLSKLNDPLEVGGGYRPNMTIFVACSMNIRIEKGELVFRFSMVTNMVLVTKELGFCTLVILSNPLKILATNCKFAFLACKALDKVTETKGTGAPGAPCGGLNLHLAEMLTLWCRDTLPTIVLRSTCGLYEYGYTFSANGQSVYCLKQKENWKYQLHRTWEFDEANFINKLLLNIVIDRCHVYLILLAGLIAKTRSNSTDLVVLDAVEELHCMLGAHVKELKERSYSSVFSKVCDNYFMIQSKIPGIHPSGKITALIVQSEELQASIDDISPIIFISYIEKELVSIIHMLKSVNRQVSEVDISQLSSNRWEWSARKWEEQAEWLKKRLTLDGEIDSIESLVIQTIEEVNVSHMHSQSDSFCDQYHSYAKAKNTLSAAEGSLTQIMEMATSVQTGACLLLPTRIKAKLNGVDVHLGSICAELNDRFKTIATDKNIKSLKMSSKLQSFKLLLVQFIAIQEESIHIQHHKAVSYLQSMTLFEKELKSIKSSIANLFIFHELFEEIETPDCELQQLSSEVVVNFIGIRKEIAMNVIHRFYNRFRNLIAEFEKSTLEGMSSIKMSMIKAKETMTCYKIFKHSYANVLGMSNGIITLLNVIINQYAPDTEFLIHCDPWRTQEKYHIISGTKNPLDMISVLDIFISLQPDQCLPTITASIIDELNYSIEKSKKAKPLLEESSHKKVCNDKNESMEDEFMVCEEPCQDSEHFNEKSNESSDSEAPIRLCLNVEFIPAYTKRLDKGVEVSNLIENIDTDTKVESKQVSIAHLKSNDVLEECDNTTKGTPFSNDKFTRILNENKKEVSFPMGASGNFLELLLTVATNMLQRILEQFIYDVLMVFKFFTELLRRYKPLKFHTTDDESLKSEFYEKIENKEAVKLFTSKMESIAKNRIDELQKLAQTAQQLQEECFEDLSYTRSSDLKSIFSATIVWFEKCHKATHASVVQLDYPNYLSKPSKRPLCYVFEGDDELPKKLTIKQIAIKSSGYKLSHQPLITQWGLKERLRIINRIVDDDKPEETKESKLSESFTRVTKYELTEKEAIEAPQTELPKVDEYKKEEYRLLRPKTKTEEKDMEQNETTLDIPIFTEMHKEAAGHDSPRQPGEQFRRLDPGGVGALALSPHIPLVACCLGVVGPESAEQGYGGSWSGRRRGPSSAVIPTPDRVRRLPDQTGPLHPPARARALNWRPYAAAGSTVGVTGTLSQLEQSAVSGVADLLPFPANCRILCEIKSAICGCGLARALGIHLSLVPVLMQCRMAWIWQSRRGM
+>sp|Q9C0G6|DYH6_HUMAN Dynein heavy chain 6, axonemal OS=Homo sapiens OX=9606 GN=DNAH6 PE=2 SV=3
+MTFRATDSEFDLTNIEEYAENSALSRLNNIKAKQRVSYVTSTENESDTQILTFRHITKAQEKTRKRQQPIKLEPLPVLKVYQDHKQPEYIHEQNRFQLMTAGIIKRPVSIAKKSFATSSTQFLEHQDAVKKMQIHRPYVEVFSPSPPKLPHTGIGKRGLFGTRSSAYPKYTFHDREEVVKANIRDPLQIIKIIRENEHLGFLYMIPAVPRSSIEYDTYNLKVVSYENINKNDYYTISQRAVTHIYNEDIEFIEIDRWEQEYLYHRELTKIPIFSLFRKWKAFSVWRKNVRSKKITGCQKSLQKNLFIVNPHLRPALLKINELCYHLSFMGLCYIEKCHTYTLQEFKAAQVIRLAEVTERLGEFRNEAKYVVRRACRFALRAAGFVPDDCAFGPFEDYHKVQSSGSFINTPHELPTYGDSEKMTYTEQASKRHYCMRLTCFIRLNDYLIENTMHILTVNAVNSLLNHLTDKLKRTPSADVIQKWITEEKPEVPDKKGTLMVEKQEEDESLIPMFLTELMLTVQSLLFEPSLEDFLDGILGAVNHCQNTVLSVPNLVPDSYFDAFTSPYINNKLEGKTCGTGPSLAAVFEDDKNFHTIISQIKETIQAAFESARIYAATFEKFQIFFKENESLDLQALKLQEPDINFFSEQLEKYHKQHKDAVALRPTRNVGLLLIDTRLLREKLIPSPLRCLEVLNFMLPRQSKKKVDAIIFEAQDAEYKLEFVPTTTTEYVHSLLFLDEIQERIESLEDEGNIVTQMYKLMEQYQVPTPPEDFAVFATMKPSIVAVRNAIDKSVGDRESSIKQFCVHLGSDLEELNNEVNEVKLQAQDPQILDISADQDKIRLILNNLQSVLADLQKRAFQYKSYQKNFKVEVSKFEALEEVSAELKLKQLLWDSFSEWDKLQQEWLKSKFDCLDPEVLNGQVSKYAKFVTQLEKGLPPNSVVPQLKYKVEKMKEKLPVIIDLRNPTLKARHWAAIEQTVDATLVDAEIPLTLERLSQLHVFDFGQEIQDISGQASGEAALEAILKKVEDSWKTTEFVILPHRDSKDVFILGGTDDIQVLLDDSTINVATLASSRYLGPLKTRVDEWQKQLALFNQTLEEWLTCQRNWLYLESIFNAPDIQRQLPAEAKMFLQVDKSWKEIMRKVNRLPNALRAATQPGLLETFQNNNALLDQIQKCLEAYLESKRVIFPRFYFLSNDELLEILAQTRNPQAVQPHLRKCFDSISKLEFALMPPAEGKIPGIDGEPEKVYTNDILAMLSPEGERVSLGKGLKARGNVEEWLGKVEEAMFTSLRRLCKAAIADYQGKLRTDWVVAGHPSQVILTVSQIMWCRDLTECLETEHSNHIQALKNFEKVNFERLNALAAIVQGSLPKLHRNILTALITIDVHARDIVTELVQSKVETVESFDWQRQLRYYWDIDLDNCVARMALSQYTYGYEYLGACPRLVITPLTDRCYLCLMGALQLDLGGAPAGPAGTGKTETTKDLAKALAIQCVVFNCSDGLDYKMMGRFFSGLAQSGAWCCFDEFNRIDIEVLSVIAQQLITIRNAKAAKLSRFMFEGREIKLVMTCAAFITMNPGYAGRTELPDNLKALFRPFAMMVPNYALIAEVILYSEGFESSKILARKMTQMYKLCSEQLSQQDHYDFGMRAVKSVLVMAGSLKRENPDLNEDVVLIRALQDSNLPKFLTDDALLFSGIISDLFPGVQIPEHDYGILQSTIVDVMNRQNLQPEMCMVRKVIQFYETMLVRHGVMLVGPTGGGKTTVYRILAETLGNLQKLGIENSFYQAVKTYVLNPKSITMGELYGEVNNLTLEWKDGLMALSVRAAVNDTSEDHKWIISDGPVDALWIENMNTVLDDNKMLCLANSERIKLTPQIHMLFEVQDLRVASPATVSRCGMVFVDPEELKWMPYVKTWMKGISKKLTEETQEYILNLFQRYVDEGLHFINKKCSQAIPQVDISKVTTLCCLLESLILGKDGVNLAMEQTKLNTILCQTFVFCYLWSLGGNLTENYYDSFDTFIRTQFDDNPDARLPNSGDLWSIHMDFDTKRLDPWERIIPTFKYNRDVPFFEMLVPTTDTVRYGYLMEKLLAVKHSVLFTGITGVGKSVIAKGLLNKIQESAGYVPVYLNFSAQTSSARTQEIIESKLERKRKNILGAPGNKRIVIFVDDLNMPRLDRYGSQPPIELLRQYQDFGGFYDRNKLFWKEIQDVTIISACAPPGGGRNPVTPRFIRHFSMLCLPMPSEHSLKQIFQAILNGFLSDFPPAVKQTASSIVEASVEIYNKMSVDLLPTPAKSHYVFNLRDLSKCVQGILQCDPGTIREEIQIFRLFCHECQRVFHDRLINNEDKHYFHVILTEMANKHFGIAIDLEYFLNKPIIFGDFIKFGADKADRIYDDMPDIEKTANVLQDYLDDYNLTNPKEVKLVFFQDAIEHVSRIARMIRQERGNALLVGVGGTGKQSLTRLAAHICGYKCLQIELSRGYNYDSFHEDLRKLYKMAGVEDKNMVFLFTDTQIVVEEFLEDINNILNSGEVPNLFEKDELEQVLAATRPRAKEVGISEGNRDEVFQYFISKVRQKLHIVLCMSPVGEAFRSRCRMFPSLVNCCTIDWFVQWPREALLSVSKTFFSQVDAGNEELKEKLPLMCVNVHLSVSSMAERYYNELRRRYYTTPTSYLELINLYLSMLSEKRKQIISARDRVKNGLTKLLETNILVDKMKLDLSALEPVLLAKSEDVEALMEKLAVDQESADQVRNTVQEDEATAKVKAEETQAIADDAQRDLDEALPALDAANKALDSLDKADISEIRVFTKPPDLVMTVMEAISILLNAKPDWPSAKQLLGDSNFLKRLLEYDKENIKPQILAKLQKYINNPDFVPEKVEKVSKACKSMCMWVRAMDLYSRVVKVVEPKRQKLRAAQAELDITMATLREKQALLRQVEDQIQALQDEYDKGVNEKESLAKTMALTKARLVRAGKLTAALEDEQVRWEESIQKFEEEISNITGNVFIAAACVAYYGAFTAQYRQSLIECWIQDCQSLEIPIDPSFSLINILGDPYEIRQWNTDGLPRDLISTENGILVTQGRRWPLMIDPQDQANRWIRNKESKSGLKIIKLTDSNFLRILENSIRLGLPVLLEELKETLDPALEPILLKQIFISGGRLLIRLGDSDIDYDKNFRFYMTTKMPNPHYLPEVCIKVTIINFTVTKSGLEDQLLSDVVRLEKPRLEEQRIKLIVRINTDKNQLKTIEEKILRMLFTSEGNILDNEELIDTLQDSKITSGAIKTRLEEAESTEQMINVAREKYRPVATQGSVMYFVIASLSEIDPMYQYSLKYFKQLFNTTIETSVKTENLQQRLDVLLEQTLLTAYVNVSRGLFEQHKLIYSFMLCVEMMRQQGTLSDAEWNFFLRGSAGLEKERPPKPEAPWLPTATWFACCDLEESFPVFHGLTQNILSHPISIRLGSFETYINPQKWEGYSKMKHEDKHMRQEKEAAHQDPWSAGLSSFHKLILIKCCKEEKVVFALTDFVIENLGKQFIETPPVDLPTLYQDMSCNTPLVFILSTGSDPMGAFQRFARESGYSERVQSISLGQGQGPIAEKMVKDAMKSGNWVFLQNCHLAVSWMLAMEELIKTFTDPDSAIKDTFRLFLSSMPSNTFPVTVLQNSVKVTNEPPKGLRANIRRAFTEMTPSFFEENILGKKWRQIIFGICFFHAIIQERKKFGPLGWNICYEFNDSDRECALLNLKLYCKEGKIPWDALIYITGEITYGGRVTDSWDQRCLRTILKRFFSPETLEEDYKYSESGIYFAPMADSLQEFKDYIENLPLIDDPEIFGMHENANLVFQYKETSTLINTILEVQPRSSTGGEGKSNDEIVQELVASVQTRVPEKLEMEGASESLFVKDLQGRLNSLTTVLGQEVDRFNNLLKLIHTSLETLNKAIAGFVVMSEEMEKVYNSFLNNQVPALWSNTAYPSLKPLGSWVKDLILRTSFVDLWLKRGQPKSYWISGFFFPQGFLTGTLQNHARKYNLPIDELSFKYSVIPTYRDQAAVIEAAKTVQFGQELPMDMELPSPEDGVLVHGMFMDASRWDDKEMVIEDALPGQMNPVLPVVHFEPQQNYKPSPTLYHCPLYKTGARAGTLSTTGHSTNFVVTVLLPSKRSKDYWIAKGSALLCQLSE
+>DECOY_sp|Q9C0G6|DYH6_HUMAN Dynein heavy chain 6, axonemal OS=Homo sapiens OX=9606 GN=DNAH6 PE=2 SV=3
+ESLQCLLASGKAIWYDKSRKSPLLVTVVFNTSHGTTSLTGARAGTKYLPCHYLTPSPKYNQQPEFHVVPLVPNMQGPLADEIVMEKDDWRSADMFMGHVLVGDEPSPLEMDMPLEQGFQVTKAAEIVAAQDRYTPIVSYKFSLEDIPLNYKRAHNQLTGTLFGQPFFFGSIWYSKPQGRKLWLDVFSTRLILDKVWSGLPKLSPYATNSWLAPVQNNLFSNYVKEMEESMVVFGAIAKNLTELSTHILKLLNNFRDVEQGLVTTLSNLRGQLDKVFLSESAGEMELKEPVRTQVSAVLEQVIEDNSKGEGGTSSRPQVELITNILTSTEKYQFVLNANEHMGFIEPDDILPLNEIYDKFEQLSDAMPAFYIGSESYKYDEELTEPSFFRKLITRLCRQDWSDTVRGGYTIEGTIYILADWPIKGEKCYLKLNLLACERDSDNFEYCINWGLPGFKKREQIIAHFFCIGFIIQRWKKGLINEEFFSPTMETFARRINARLGKPPENTVKVSNQLVTVPFTNSPMSSLFLRFTDKIASDPDTFTKILEEMALMWSVALHCNQLFVWNGSKMADKVMKEAIPGQGQGLSISQVRESYGSERAFRQFAGMPDSGTSLIFVLPTNCSMDQYLTPLDVPPTEIFQKGLNEIVFDTLAFVVKEEKCCKILILKHFSSLGASWPDQHAAEKEQRMHKDEHKMKSYGEWKQPNIYTEFSGLRISIPHSLINQTLGHFVPFSEELDCCAFWTATPLWPAEPKPPREKELGASGRLFFNWEADSLTGQQRMMEVCLMFSYILKHQEFLGRSVNVYATLLTQELLVDLRQQLNETKVSTEITTNFLQKFYKLSYQYMPDIESLSAIVFYMVSGQTAVPRYKERAVNIMQETSEAEELRTKIAGSTIKSDQLTDILEENDLINGESTFLMRLIKEEITKLQNKDTNIRVILKIRQEELRPKELRVVDSLLQDELGSKTVTFNIITVKICVEPLYHPNPMKTTMYFRFNKDYDIDSDGLRILLRGGSIFIQKLLIPELAPDLTEKLEELLVPLGLRISNELIRLFNSDTLKIIKLGSKSEKNRIWRNAQDQPDIMLPWRRGQTVLIGNETSILDRPLGDTNWQRIEYPDGLINILSFSPDIPIELSQCDQIWCEILSQRYQATFAGYYAVCAAAIFVNGTINSIEEEFKQISEEWRVQEDELAATLKGARVLRAKTLAMTKALSEKENVGKDYEDQLAQIQDEVQRLLAQKERLTAMTIDLEAQAARLKQRKPEVVKVVRSYLDMARVWMCMSKCAKSVKEVKEPVFDPNNIYKQLKALIQPKINEKDYELLRKLFNSDGLLQKASPWDPKANLLISIAEMVTMVLDPPKTFVRIESIDAKDLSDLAKNAADLAPLAEDLDRQADDAIAQTEEAKVKATAEDEQVTNRVQDASEQDVALKEMLAEVDESKALLVPELASLDLKMKDVLINTELLKTLGNKVRDRASIIQKRKESLMSLYLNILELYSTPTTYYRRRLENYYREAMSSVSLHVNVCMLPLKEKLEENGADVQSFFTKSVSLLAERPWQVFWDITCCNVLSPFMRCRSRFAEGVPSMCLVIHLKQRVKSIFYQFVEDRNGESIGVEKARPRTAALVQELEDKEFLNPVEGSNLINNIDELFEEVVIQTDTFLFVMNKDEVGAMKYLKRLDEHFSDYNYGRSLEIQLCKYGCIHAALRTLSQKGTGGVGVLLANGREQRIMRAIRSVHEIADQFFVLKVEKPNTLNYDDLYDQLVNATKEIDPMDDYIRDAKDAGFKIFDGFIIPKNLFYELDIAIGFHKNAMETLIVHFYHKDENNILRDHFVRQCEHCFLRFIQIEERITGPDCQLIGQVCKSLDRLNFVYHSKAPTPLLDVSMKNYIEVSAEVISSATQKVAPPFDSLFGNLIAQFIQKLSHESPMPLCLMSFHRIFRPTVPNRGGGPPACASIITVDQIEKWFLKNRDYFGGFDQYQRLLEIPPQSGYRDLRPMNLDDVFIVIRKNGPAGLINKRKRELKSEIIEQTRASSTQASFNLYVPVYGASEQIKNLLGKAIVSKGVGTIGTFLVSHKVALLKEMLYGYRVTDTTPVLMEFFPVDRNYKFTPIIREWPDLRKTDFDMHISWLDGSNPLRADPNDDFQTRIFTDFSDYYNETLNGGLSWLYCFVFTQCLITNLKTQEMALNVGDKGLILSELLCCLTTVKSIDVQPIAQSCKKNIFHLGEDVYRQFLNLIYEQTEETLKKSIGKMWTKVYPMWKLEEPDVFVMGCRSVTAPSAVRLDQVEFLMHIQPTLKIRESNALCLMKNDDLVTNMNEIWLADVPGDSIIWKHDESTDNVAARVSLAMLGDKWELTLNNVEGYLEGMTISKPNLVYTKVAQYFSNEIGLKQLNGLTEALIRYVTTKGGGTPGVLMVGHRVLMTEYFQIVKRVMCMEPQLNQRNMVDVITSQLIGYDHEPIQVGPFLDSIIGSFLLADDTLFKPLNSDQLARILVVDENLDPNERKLSGAMVLVSKVARMGFDYHDQQSLQESCLKYMQTMKRALIKSSEFGESYLIVEAILAYNPVMMAFPRFLAKLNDPLETRGAYGPNMTIFAACTMVLKIERGEFMFRSLKAAKANRITILQQAIVSLVEIDIRNFEDFCCWAGSQALGSFFRGMMKYDLGDSCNFVVCQIALAKALDKTTETKGTGAPGAPAGGLDLQLAGMLCLYCRDTLPTIVLRPCAGLYEYGYTYQSLAMRAVCNDLDIDWYYRLQRQWDFSEVTEVKSQVLETVIDRAHVDITILATLINRHLKPLSGQVIAALANLREFNVKEFNKLAQIHNSHETELCETLDRCWMIQSVTLIVQSPHGAVVWDTRLKGQYDAIAAKCLRRLSTFMAEEVKGLWEEVNGRAKLGKGLSVREGEPSLMALIDNTYVKEPEGDIGPIKGEAPPMLAFELKSISDFCKRLHPQVAQPNRTQALIELLEDNSLFYFRPFIVRKSELYAELCKQIQDLLANNNQFTELLGPQTAARLANPLRNVKRMIEKWSKDVQLFMKAEAPLQRQIDPANFISELYLWNRQCTLWEELTQNFLALQKQWEDVRTKLPGLYRSSALTAVNITSDDLLVQIDDTGGLIFVDKSDRHPLIVFETTKWSDEVKKLIAELAAEGSAQGSIDQIEQGFDFVHLQSLRELTLPIEADVLTADVTQEIAAWHRAKLTPNRLDIIVPLKEKMKEVKYKLQPVVSNPPLGKELQTVFKAYKSVQGNLVEPDLCDFKSKLWEQQLKDWESFSDWLLQKLKLEASVEELAEFKSVEVKFNKQYSKYQFARKQLDALVSQLNNLILRIKDQDASIDLIQPDQAQLKVENVENNLEELDSGLHVCFQKISSERDGVSKDIANRVAVISPKMTAFVAFDEPPTPVQYQEMLKYMQTVINGEDELSEIREQIEDLFLLSHVYETTTTPVFELKYEADQAEFIIADVKKKSQRPLMFNLVELCRLPSPILKERLLRTDILLLGVNRTPRLAVADKHQKHYKELQESFFNIDPEQLKLAQLDLSENEKFFIQFKEFTAAYIRASEFAAQITEKIQSIITHFNKDDEFVAALSPGTGCTKGELKNNIYPSTFADFYSDPVLNPVSLVTNQCHNVAGLIGDLFDELSPEFLLSQVTLMLETLFMPILSEDEEQKEVMLTGKKDPVEPKEETIWKQIVDASPTRKLKDTLHNLLSNVANVTLIHMTNEILYDNLRIFCTLRMCYHRKSAQETYTMKESDGYTPLEHPTNIFSGSSQVKHYDEFPGFACDDPVFGAARLAFRCARRVVYKAENRFEGLRETVEALRIVQAAKFEQLTYTHCKEIYCLGMFSLHYCLENIKLLAPRLHPNVIFLNKQLSKQCGTIKKSRVNKRWVSFAKWKRFLSFIPIKTLERHYLYEQEWRDIEIFEIDENYIHTVARQSITYYDNKNINEYSVVKLNYTDYEISSRPVAPIMYLFGLHENERIIKIIQLPDRINAKVVEERDHFTYKPYASSRTGFLGRKGIGTHPLKPPSPSFVEVYPRHIQMKKVADQHELFQTSSTAFSKKAISVPRKIIGATMLQFRNQEHIYEPQKHDQYVKLVPLPELKIPQQRKRTKEQAKTIHRFTLIQTDSENETSTVYSVRQKAKINNLRSLASNEAYEEINTLDFESDTARFTM
+>sp|Q9NYC9|DYH9_HUMAN Dynein heavy chain 9, axonemal OS=Homo sapiens OX=9606 GN=DNAH9 PE=1 SV=3
+MRLAEERAALAAENADGEPGADRRLRLLGTYVAMSLRPAAGAWERCAGSAEAEQLLQAFLGRDAAEGPRPLLVVRPGPRGLAIRPGLEVGPESGLAGAKALFFLRTGPEPPGPDSFRGAVVCGDLPAAPLEHLAALFSEVVLPVLANEKNRLNWPHMICEDVRRHAHSLQCDLSVILEQVKGKTLLPLPAGSEKMEFADSKSETVLDSIDKSVIYAIESAVIKWSYQVQVVLKRESSQPLLQGENPTPKVELEFWKSRYEDLKYIYNQLRTITVRGMAKLLDKLQSSYFPAFKAMYRDVVAALAEAQDIHVHLIPLQRHLEALENAEFPEVKPQLRPLLHVVCLIWATCKSYRSPGRLTVLLQEICNLLIQQASNYLSPEDLLRSEVEESQRKLQVVSDTLSFFKQEFQDRRENLHTYFKENQEVKEWDFQSSLVFVRLDGFLGQLHVVEGLLKTALDFHKLGKVEFSGVRGNALSQQVQQMHEEFQEMYRLLSGSSSDCLYLQSTDFENDVSEFNQKVEDLDRRLGTIFIQAFDDAPGLEHAFKLLDIAGNLLERPLVARDTSDKYLVLIQMFNKDLDAVRMIYSQHVQEEAELGFSPVHKNMPTVAGGLRWAQELRQRIQGPFSNFGRITHPCMESAEGKRMQQKYEDMLSLLEKYETRLYEDWCRTVSEKSQYNLSQPLLKRDPETKEITINFNPQLISVLKEMSYLEPREMKHMPETAAAMFSSRDFYRQLVANLELMANWYNKVMKTLLEVEFPLVEEELQNIDLRLRAAEETLNWKTEGICDYVTEITSSIHDLEQRIQKTKDNVEEIQNIMKTWVTPIFKTKDGKRESLLSLDDRHDRMEKYYNLIKESGLKIHALVQENLGLFSADPTSNIWKTYVNSIDNLLLNGFFLAIECSLKYLLENTECKAGLTPIFEAQLSLAIPELVFYPSLESGVKGGFCDIVEGLITSIFRIPSLVPRLSPQNGSPHYQVDLDGIPDLANMRRTLMERVQRMMGLCCGYQSTFSQYSYLYVEDRKEVLGQFLLYGHILTPEEIEDHVEDGIPENPPLLSQFKVQIDSYETLYEEVCRLEPIKVFDGWMKIDIRPFKASLLNIIKRWSLLFKQHLVDHVTHSLANLDAFIKKSESGLLKKVEKGDFQGLVEIMGHLMAVKERQSNTDEMFEPLKQTIELLKTYEQELPETVFKQLEELPEKWNNIKKVAITVKQQVAPLQANEVTLLRQRCTAFDAEQQQFWEQFHKEAPFRFDSIHPHQMLDARHIEIQQMESTMASISESASLFEVNVPDYKQLRQCRKEVCQLKELWDTIGMVTSSIHAWETTPWRNINVEAMELECKQFARHIRNLDKEVRAWDAFTGLESTVWNTLSSLRAVAELQNPAIRERHWRQLMQATGVSFTMDQDTTLAHLLQLQLHHYEDEVRGIVDKAAKEMGMEKTLKELQTTWAGMEFQYEPHPRTNVPLLCSDEDLIEVLEDNQVQLQNLVMSKYVAFFLEEVSGWQKKLSTVDAVISIWFEVQRTWTHLESIFTGSEDIRAQLPQDSKRFEGIDIDFKELAYDAQKIPNVVQTTNKPGLYEKLEDIQGRLCLCEKALAEYLDTKRLAFPRFYFLSSSDLLDILSNGTAPQQVQRHLSKLFDNMAKMRFQLDASGEPTKTSLGMYSKEEEYVAFSEPCDCSGQVEIWLNHVLGHMKATVRHEMTEGVTAYEEKPREQWLFDHPAQVALTCTQIWWTTEVGMAFARLEEGYESAMKDYYKKQVAQLKTLITMLIGQLSKGDRQKIMTICTIDVHARDVVAKMIAQKVDNAQAFLWLSQLRHRWDDEVKHCFANICDAQFLYSYEYLGNTPRLVITPLTDRCYITLTQSLHLTMSGAPAGPAGTGKTETTKDLGRALGILVYVFNCSEQMDYKSCGNIYKGLAQTGAWGCFDEFNRISVEVLSVVAVQVKSIQDAIRDKKQWFSFLGEEISLNPSVGIFITMNPGYAGRTELPENLKSLFRPCAMVVPDFELICEIMLVAEGFIEAQSLARKFITLYQLCKELLSKQDHYDWGLRAIKSVLVVAGSLKRGDPDRPEDQVLMRSLRDFNIPKIVTDDMPIFMGLIGDLFPALDVPRRRDPNFEALVRKAIVDLKLQAEDNFVLKVVQLEELLAVRHSVFVVGGAGTGKSQVLRSLHKTYQIMKRRPVWTDLNPKAVTNDELFGIINPATGEWKDGLFSSIMRELANITHDGPKWILLDGDIDPMWIESLNTVMDDNKVLTLASNERIPLNPTMKLLFEISHLRTATPATVSRAGILYINPADLGWNPPVSSWIEKREIQTERANLTILFDKYLPTCLDTLRTRFKKIIPIPEQSMVQMVCHLLECLLTTEDIPADCPKEIYEHYFVFAAIWAFGGAMVQDQLVDYRAEFSKWWLTEFKTVKFPSQGTIFDYYIDPETKKFEPWSKLVPQFEFDPEMPLQACLVHTSETIRVCYFMERLMARQRPVMLVGTAGTGKSVLVGAKLASLDPEAYLVKNVPFNYYTTSAMLQAVLEKPLEKKAGRNYGPPGNKKLIYFIDDMNMPEVDAYGTVQPHTIIRQHLDYGHWYDRSKLSLKEITNVQYVSCMNPTAGSFTINPRLQRHFSVFVLSFPGADALSSIYSIILTQHLKLGNFPASLQKSIPPLIDLALAFHQKIATTFLPTGIKFHYIFNLRDFANIFQGILFSSVECVKSTWDLIRLYLHESNRVYRDKMVEEKDFDLFDKIQTEVLKKTFDDIEDPVEQTQSPNLYCHFANGIGEPKYMPVQSWELLTQTLVEALENHNEVNTVMDLVLFEDAMRHVCHINRILESPRGNALLVGVGGSGKQSLTRLAAFISSMDVFQITLRKGYQIQDFKMDLASLCLKAGVKNLNTVFLMTDAQVADERFLVLINDLLASGEIPDLYSDDEVENIISNVRNEVKSQGLVDNRENCWKFFIDRIRRQLKVTLCFSPVGNKLRVRSRKFPAIVNCTAIHWFHEWPQQALESVSLRFLQNTEGIEPTVKQSISKFMAFVHTSVNQTSQSYLSNEQRYNYTTPKSFLEFIRLYQSLLHRHRKELKCKTERLENGLLKLHSTSAQVDDLKAKLAAQEVELKQKNEDADKLIQVVGVETDKVSREKAMADEEEQKVAVIMLEVKQKQKDCEEDLAKAEPALTAAQAALNTLNKTNLTELKSFGSPPLAVSNVSAAVMVLMAPRGRVPKDRSWKAAKVTMAKVDGFLDSLINFNKENIHENCLKAIRPYLQDPEFNPEFVATKSYAAAGLCSWVINIVRFYEVFCDVEPKRQALNKATADLTAAQEKLAAIKAKIAHLNENLAKLTARFEKATADKLKCQQEAEVTAVTISLANRLVGGLASENVRWADAVQNFKQQERTLCGDILLITAFISYLGFFTKKYRQSLLDRTWRPYLSQLKTPIPVTPALDPLRMLMDDADVAAWQNEGLPADRMSVENATILINCERWPLMVDPQLQGIKWIKNKYGEDLRVTQIGQKGYLQIIEQALEAGAVVLIENLEESIDPVLGPLLGREVIKKGRFIKIGDKECEYNPKFRLILHTKLANPHYQPELQAQATLINFTVTRDGLEDQLLAAVVSMERPDLEQLKSDLTKQQNGFKITLKTLEDSLLSRLSSASGNFLGETVLVENLEITKQTAAEVEKKVQEAKVTEVKINEAREHYRPAAARASLLYFIMNDLSKIHPMYQFSLKAFSIVFQKAVERAAPDESLRERVANLIDSITFSVYQYTIRGLFECDKLTYLAQLTFQILLMNREVNAVELDFLLRSPVQTGTASPVEFLSHQAWGAVKVLSSMEEFSNLDRDIEGSAKSWKKFVESECPEKEKLPQEWKNKTALQRLCMLRAMRPDRMTYALRDFVEEKLGSKYVVGRALDFATSFEESGPATPMFFILSPGVDPLKDVESQGRKLGYTFNNQNFHNVSLGQGQEVVAEAALDLAAKKGHWVILQNIHLVAKWLSTLEKKLEEHSENSHPEFRVFMSAEPAPSPEGHIIPQGILENSIKITNEPPTGMHANLHKALDNFTQDTLEMCSRETEFKSILFALCYFHAVVAERRKFGPQGWNRSYPFNTGDLTISVNVLYNFLEANAKVPYDDLRYLFGEIMYGGHITDDWDRRLCRTYLGEFIRPEMLEGELSLAPGFPLPGNMDYNGYHQYIDAELPPESPYLYGLHPNAEIGFLTQTSEKLFRTVLELQPRDSQARDGAGATREEKVKALLEEILERVTDEFNIPELMAKVEERTPYIVVAFQECGRMNILTREIQRSLRELELGLKGELTMTSHMENLQNALYFDMVPESWARRAYPSTAGLAAWFPDLLNRIKELEAWTGDFTMPSTVWLTGFFNPQSFLTAIMQSTARKNEWPLDQMALQCDMTKKNREEFRSPPREGAYIHGLFMEGACWDTQAGIITEAKLKDLTPPMPVMFIKAIPADKQDCRSVYSCPVYKTSQRGPTYVWTFNLKTKENPSKWVLAGVALLLQI
+>DECOY_sp|Q9NYC9|DYH9_HUMAN Dynein heavy chain 9, axonemal OS=Homo sapiens OX=9606 GN=DNAH9 PE=1 SV=3
+IQLLLAVGALVWKSPNEKTKLNFTWVYTPGRQSTKYVPCSYVSRCDQKDAPIAKIFMVPMPPTLDKLKAETIIGAQTDWCAGEMFLGHIYAGERPPSRFEERNKKTMDCQLAMQDLPWENKRATSQMIATLFSQPNFFGTLWVTSPMTFDGTWAELEKIRNLLDPFWAALGATSPYARRAWSEPVMDFYLANQLNEMHSTMTLEGKLGLELERLSRQIERTLINMRGCEQFAVVIYPTREEVKAMLEPINFEDTVRELIEELLAKVKEERTAGAGDRAQSDRPQLELVTRFLKESTQTLFGIEANPHLGYLYPSEPPLEADIYQHYGNYDMNGPLPFGPALSLEGELMEPRIFEGLYTRCLRRDWDDTIHGGYMIEGFLYRLDDYPVKANAELFNYLVNVSITLDGTNFPYSRNWGQPGFKRREAVVAHFYCLAFLISKFETERSCMELTDQTFNDLAKHLNAHMGTPPENTIKISNELIGQPIIHGEPSPAPEASMFVRFEPHSNESHEELKKELTSLWKAVLHINQLIVWHGKKAALDLAAEAVVEQGQGLSVNHFNQNNFTYGLKRGQSEVDKLPDVGPSLIFFMPTAPGSEEFSTAFDLARGVVYKSGLKEEVFDRLAYTMRDPRMARLMCLRQLATKNKWEQPLKEKEPCESEVFKKWSKASGEIDRDLNSFEEMSSLVKVAGWAQHSLFEVPSATGTQVPSRLLFDLEVANVERNMLLIQFTLQALYTLKDCEFLGRITYQYVSFTISDILNAVRERLSEDPAAREVAKQFVISFAKLSFQYMPHIKSLDNMIFYLLSARAAAPRYHERAENIKVETVKAEQVKKEVEAATQKTIELNEVLVTEGLFNGSASSLRSLLSDELTKLTIKFGNQQKTLDSKLQELDPREMSVVAALLQDELGDRTVTFNILTAQAQLEPQYHPNALKTHLILRFKPNYECEKDGIKIFRGKKIVERGLLPGLVPDISEELNEILVVAGAELAQEIIQLYGKQGIQTVRLDEGYKNKIWKIGQLQPDVMLPWRECNILITANEVSMRDAPLGENQWAAVDADDMLMRLPDLAPTVPIPTKLQSLYPRWTRDLLSQRYKKTFFGLYSIFATILLIDGCLTREQQKFNQVADAWRVNESALGGVLRNALSITVATVEAEQQCKLKDATAKEFRATLKALNENLHAIKAKIAALKEQAATLDATAKNLAQRKPEVDCFVEYFRVINIVWSCLGAAAYSKTAVFEPNFEPDQLYPRIAKLCNEHINEKNFNILSDLFGDVKAMTVKAAKWSRDKPVRGRPAMLVMVAASVNSVALPPSGFSKLETLNTKNLTNLAAQAATLAPEAKALDEECDKQKQKVELMIVAVKQEEEDAMAKERSVKDTEVGVVQILKDADENKQKLEVEQAALKAKLDDVQASTSHLKLLGNELRETKCKLEKRHRHLLSQYLRIFELFSKPTTYNYRQENSLYSQSTQNVSTHVFAMFKSISQKVTPEIGETNQLFRLSVSELAQQPWEHFWHIATCNVIAPFKRSRVRLKNGVPSFCLTVKLQRRIRDIFFKWCNERNDVLGQSKVENRVNSIINEVEDDSYLDPIEGSALLDNILVLFREDAVQADTMLFVTNLNKVGAKLCLSALDMKFDQIQYGKRLTIQFVDMSSIFAALRTLSQKGSGGVGVLLANGRPSELIRNIHCVHRMADEFLVLDMVTNVENHNELAEVLTQTLLEWSQVPMYKPEGIGNAFHCYLNPSQTQEVPDEIDDFTKKLVETQIKDFLDFDKEEVMKDRYVRNSEHLYLRILDWTSKVCEVSSFLIGQFINAFDRLNFIYHFKIGTPLFTTAIKQHFALALDILPPISKQLSAPFNGLKLHQTLIISYISSLADAGPFSLVFVSFHRQLRPNITFSGATPNMCSVYQVNTIEKLSLKSRDYWHGYDLHQRIITHPQVTGYADVEPMNMDDIFYILKKNGPPGYNRGAKKELPKELVAQLMASTTYYNFPVNKVLYAEPDLSALKAGVLVSKGTGATGVLMVPRQRAMLREMFYCVRITESTHVLCAQLPMEPDFEFQPVLKSWPEFKKTEPDIYYDFITGQSPFKVTKFETLWWKSFEARYDVLQDQVMAGGFAWIAAFVFYHEYIEKPCDAPIDETTLLCELLHCVMQVMSQEPIPIIKKFRTRLTDLCTPLYKDFLITLNARETQIERKEIWSSVPPNWGLDAPNIYLIGARSVTAPTATRLHSIEFLLKMTPNLPIRENSALTLVKNDDMVTNLSEIWMPDIDGDLLIWKPGDHTINALERMISSFLGDKWEGTAPNIIGFLEDNTVAKPNLDTWVPRRKMIQYTKHLSRLVQSKGTGAGGVVFVSHRVALLEELQVVKLVFNDEAQLKLDVIAKRVLAEFNPDRRRPVDLAPFLDGILGMFIPMDDTVIKPINFDRLSRMLVQDEPRDPDGRKLSGAVVLVSKIARLGWDYHDQKSLLEKCLQYLTIFKRALSQAEIFGEAVLMIECILEFDPVVMACPRFLSKLNEPLETRGAYGPNMTIFIGVSPNLSIEEGLFSFWQKKDRIADQISKVQVAVVSLVEVSIRNFEDFCGWAGTQALGKYINGCSKYDMQESCNFVYVLIGLARGLDKTTETKGTGAPGAPAGSMTLHLSQTLTIYCRDTLPTIVLRPTNGLYEYSYLFQADCINAFCHKVEDDWRHRLQSLWLFAQANDVKQAIMKAVVDRAHVDITCITMIKQRDGKSLQGILMTILTKLQAVQKKYYDKMASEYGEELRAFAMGVETTWWIQTCTLAVQAPHDFLWQERPKEEYATVGETMEHRVTAKMHGLVHNLWIEVQGSCDCPESFAVYEEEKSYMGLSTKTPEGSADLQFRMKAMNDFLKSLHRQVQQPATGNSLIDLLDSSSLFYFRPFALRKTDLYEALAKECLCLRGQIDELKEYLGPKNTTQVVNPIKQADYALEKFDIDIGEFRKSDQPLQARIDESGTFISELHTWTRQVEFWISIVADVTSLKKQWGSVEELFFAVYKSMVLNQLQVQNDELVEILDEDSCLLPVNTRPHPEYQFEMGAWTTQLEKLTKEMGMEKAAKDVIGRVEDEYHHLQLQLLHALTTDQDMTFSVGTAQMLQRWHRERIAPNQLEAVARLSSLTNWVTSELGTFADWARVEKDLNRIHRAFQKCELEMAEVNINRWPTTEWAHISSTVMGITDWLEKLQCVEKRCQRLQKYDPVNVEFLSASESISAMTSEMQQIEIHRADLMQHPHISDFRFPAEKHFQEWFQQQEADFATCRQRLLTVENAQLPAVQQKVTIAVKKINNWKEPLEELQKFVTEPLEQEYTKLLEITQKLPEFMEDTNSQREKVAMLHGMIEVLGQFDGKEVKKLLGSESKKIFADLNALSHTVHDVLHQKFLLSWRKIINLLSAKFPRIDIKMWGDFVKIPELRCVEEYLTEYSDIQVKFQSLLPPNEPIGDEVHDEIEEPTLIHGYLLFQGLVEKRDEVYLYSYQSFTSQYGCCLGMMRQVREMLTRRMNALDPIGDLDVQYHPSGNQPSLRPVLSPIRFISTILGEVIDCFGGKVGSELSPYFVLEPIALSLQAEFIPTLGAKCETNELLYKLSCEIALFFGNLLLNDISNVYTKWINSTPDASFLGLNEQVLAHIKLGSEKILNYYKEMRDHRDDLSLLSERKGDKTKFIPTVWTKMINQIEEVNDKTKQIRQELDHISSTIETVYDCIGETKWNLTEEAARLRLDINQLEEEVLPFEVELLTKMVKNYWNAMLELNAVLQRYFDRSSFMAAATEPMHKMERPELYSMEKLVSILQPNFNITIEKTEPDRKLLPQSLNYQSKESVTRCWDEYLRTEYKELLSLMDEYKQQMRKGEASEMCPHTIRGFNSFPGQIRQRLEQAWRLGGAVTPMNKHVPSFGLEAEEQVHQSYIMRVADLDKNFMQILVLYKDSTDRAVLPRELLNGAIDLLKFAHELGPADDFAQIFITGLRRDLDEVKQNFESVDNEFDTSQLYLCDSSSGSLLRYMEQFEEHMQQVQQSLANGRVGSFEVKGLKHFDLATKLLGEVVHLQGLFGDLRVFVLSSQFDWEKVEQNEKFYTHLNERRDQFEQKFFSLTDSVVQLKRQSEEVESRLLDEPSLYNSAQQILLNCIEQLLVTLRGPSRYSKCTAWILCVVHLLPRLQPKVEPFEANELAELHRQLPILHVHIDQAEALAAVVDRYMAKFAPFYSSQLKDLLKAMGRVTITRLQNYIYKLDEYRSKWFELEVKPTPNEGQLLPQSSERKLVVQVQYSWKIVASEIAYIVSKDISDLVTESKSDAFEMKESGAPLPLLTKGKVQELIVSLDCQLSHAHRRVDECIMHPWNLRNKENALVPLVVESFLAALHELPAAPLDGCVVAGRFSDPGPPEPGTRLFFLAKAGALGSEPGVELGPRIALGRPGPRVVLLPRPGEAADRGLFAQLLQEAEASGACREWAGAAPRLSMAVYTGLLRLRRDAGPEGDANEAALAAREEALRM
+>sp|Q14204|DYHC1_HUMAN Cytoplasmic dynein 1 heavy chain 1 OS=Homo sapiens OX=9606 GN=DYNC1H1 PE=1 SV=5
+MSEPGGGGGEDGSAGLEVSAVQNVADVSVLQKHLRKLVPLLLEDGGEAPAALEAALEEKSALEQMRKFLSDPQVHTVLVERSTLKEDVGDEGEEEKEFISYNINIDIHYGVKSNSLAFIKRTPVIDADKPVSSQLRVLTLSEDSPYETLHSFISNAVAPFFKSYIRESGKADRDGDKMAPSVEKKIAELEMGLLHLQQNIEIPEISLPIHPMITNVAKQCYERGEKPKVTDFGDKVEDPTFLNQLQSGVNRWIREIQKVTKLDRDPASGTALQEISFWLNLERALYRIQEKRESPEVLLTLDILKHGKRFHATVSFDTDTGLKQALETVNDYNPLMKDFPLNDLLSATELDKIRQALVAIFTHLRKIRNTKYPIQRALRLVEAISRDLSSQLLKVLGTRKLMHVAYEEFEKVMVACFEVFQTWDDEYEKLQVLLRDIVKRKREENLKMVWRINPAHRKLQARLDQMRKFRRQHEQLRAVIVRVLRPQVTAVAQQNQGEVPEPQDMKVAEVLFDAADANAIEEVNLAYENVKEVDGLDVSKEGTEAWEAAMKRYDERIDRVETRITARLRDQLGTAKNANEMFRIFSRFNALFVRPHIRGAIREYQTQLIQRVKDDIESLHDKFKVQYPQSQACKMSHVRDLPPVSGSIIWAKQIDRQLTAYMKRVEDVLGKGWENHVEGQKLKQDGDSFRMKLNTQEIFDDWARKVQQRNLGVSGRIFTIESTRVRGRTGNVLKLKVNFLPEIITLSKEVRNLKWLGFRVPLAIVNKAHQANQLYPFAISLIESVRTYERTCEKVEERNTISLLVAGLKKEVQALIAEGIALVWESYKLDPYVQRLAETVFNFQEKVDDLLIIEEKIDLEVRSLETCMYDHKTFSEILNRVQKAVDDLNLHSYSNLPIWVNKLDMEIERILGVRLQAGLRAWTQVLLGQAEDKAEVDMDTDAPQVSHKPGGEPKIKNVVHELRITNQVIYLNPPIEECRYKLYQEMFAWKMVVLSLPRIQSQRYQVGVHYELTEEEKFYRNALTRMPDGPVALEESYSAVMGIVSEVEQYVKVWLQYQCLWDMQAENIYNRLGEDLNKWQALLVQIRKARGTFDNAETKKEFGPVVIDYGKVQSKVNLKYDSWHKEVLSKFGQMLGSNMTEFHSQISKSRQELEQHSVDTASTSDAVTFITYVQSLKRKIKQFEKQVELYRNGQRLLEKQRFQFPPSWLYIDNIEGEWGAFNDIMRRKDSAIQQQVANLQMKIVQEDRAVESRTTDLLTDWEKTKPVTGNLRPEEALQALTIYEGKFGRLKDDREKCAKAKEALELTDTGLLSGSEERVQVALEELQDLKGVWSELSKVWEQIDQMKEQPWVSVQPRKLRQNLDALLNQLKSFPARLRQYASYEFVQRLLKGYMKINMLVIELKSEALKDRHWKQLMKRLHVNWVVSELTLGQIWDVDLQKNEAIVKDVLLVAQGEMALEEFLKQIREVWNTYELDLVNYQNKCRLIRGWDDLFNKVKEHINSVSAMKLSPYYKVFEEDALSWEDKLNRIMALFDVWIDVQRRWVYLEGIFTGSADIKHLLPVETQRFQSISTEFLALMKKVSKSPLVMDVLNIQGVQRSLERLADLLGKIQKALGEYLERERSSFPRFYFVGDEDLLEIIGNSKNVAKLQKHFKKMFAGVSSIILNEDNSVVLGISSREGEEVMFKTPVSITEHPKINEWLTLVEKEMRVTLAKLLAESVTEVEIFGKATSIDPNTYITWIDKYQAQLVVLSAQIAWSENVETALSSMGGGGDAAPLHSVLSNVEVTLNVLADSVLMEQPPLRRRKLEHLITELVHQRDVTRSLIKSKIDNAKSFEWLSQMRFYFDPKQTDVLQQLSIQMANAKFNYGFEYLGVQDKLVQTPLTDRCYLTMTQALEARLGGSPFGPAGTGKTESVKALGHQLGRFVLVFNCDETFDFQAMGRIFVGLCQVGAWGCFDEFNRLEERMLSAVSQQVQCIQEALREHSNPNYDKTSAPITCELLNKQVKVSPDMAIFITMNPGYAGRSNLPDNLKKLFRSLAMTKPDRQLIAQVMLYSQGFRTAEVLANKIVPFFKLCDEQLSSQSHYDFGLRALKSVLVSAGNVKRERIQKIKREKEERGEAVDEGEIAENLPEQEILIQSVCETMVPKLVAEDIPLLFSLLSDVFPGVQYHRGEMTALREELKKVCQEMYLTYGDGEEVGGMWVEKVLQLYQITQINHGLMMVGPSGSGKSMAWRVLLKALERLEGVEGVAHIIDPKAISKDHLYGTLDPNTREWTDGLFTHVLRKIIDSVRGELQKRQWIVFDGDVDPEWVENLNSVLDDNKLLTLPNGERLSLPPNVRIMFEVQDLKYATLATVSRCGMVWFSEDVLSTDMIFNNFLARLRSIPLDEGEDEAQRRRKGKEDEGEEAASPMLQIQRDAATIMQPYFTSNGLVTKALEHAFQLEHIMDLTRLRCLGSLFSMLHQACRNVAQYNANHPDFPMQIEQLERYIQRYLVYAILWSLSGDSRLKMRAELGEYIRRITTVPLPTAPNIPIIDYEVSISGEWSPWQAKVPQIEVETHKVAAPDVVVPTLDTVRHEALLYTWLAEHKPLVLCGPPGSGKTMTLFSALRALPDMEVVGLNFSSATTPELLLKTFDHYCEYRRTPNGVVLAPVQLGKWLVLFCDEINLPDMDKYGTQRVISFIRQMVEHGGFYRTSDQTWVKLERIQFVGACNPPTDPGRKPLSHRFLRHVPVVYVDYPGPASLTQIYGTFNRAMLRLIPSLRTYAEPLTAAMVEFYTMSQERFTQDTQPHYIYSPREMTRWVRGIFEALRPLETLPVEGLIRIWAHEALRLFQDRLVEDEERRWTDENIDTVALKHFPNIDREKAMSRPILYSNWLSKDYIPVDQEELRDYVKARLKVFYEEELDVPLVLFNEVLDHVLRIDRIFRQPQGHLLLIGVSGAGKTTLSRFVAWMNGLSVYQIKVHRKYTGEDFDEDLRTVLRRSGCKNEKIAFIMDESNVLDSGFLERMNTLLANGEVPGLFEGDEYATLMTQCKEGAQKEGLMLDSHEELYKWFTSQVIRNLHVVFTMNPSSEGLKDRAATSPALFNRCVLNWFGDWSTEALYQVGKEFTSKMDLEKPNYIVPDYMPVVYDKLPQPPSHREAIVNSCVFVHQTLHQANARLAKRGGRTMAITPRHYLDFINHYANLFHEKRSELEEQQMHLNVGLRKIKETVDQVEELRRDLRIKSQELEVKNAAANDKLKKMVKDQQEAEKKKVMSQEIQEQLHKQQEVIADKQMSVKEDLDKVEPAVIEAQNAVKSIKKQHLVEVRSMANPPAAVKLALESICLLLGESTTDWKQIRSIIMRENFIPTIVNFSAEEISDAIREKMKKNYMSNPSYNYEIVNRASLACGPMVKWAIAQLNYADMLKRVEPLRNELQKLEDDAKDNQQKANEVEQMIRDLEASIARYKEEYAVLISEAQAIKADLAAVEAKVNRSTALLKSLSAERERWEKTSETFKNQMSTIAGDCLLSAAFIAYAGYFDQQMRQNLFTTWSHHLQQANIQFRTDIARTEYLSNADERLRWQASSLPADDLCTENAIMLKRFNRYPLIIDPSGQATEFIMNEYKDRKITRTSFLDDAFRKNLESALRFGNPLLVQDVESYDPVLNPVLNREVRRTGGRVLITLGDQDIDLSPSFVIFLSTRDPTVEFPPDLCSRVTFVNFTVTRSSLQSQCLNEVLKAERPDVDEKRSDLLKLQGEFQLRLRQLEKSLLQALNEVKGRILDDDTIITTLENLKREAAEVTRKVEETDIVMQEVETVSQQYLPLSTACSSIYFTMESLKQIHFLYQYSLQFFLDIYHNVLYENPNLKGVTDHTQRLSIITKDLFQVAFNRVARGMLHQDHITFAMLLARIKLKGTVGEPTYDAEFQHFLRGNEIVLSAGSTPRIQGLTVEQAEAVVRLSCLPAFKDLIAKVQADEQFGIWLDSSSPEQTVPYLWSEETPATPIGQAIHRLLLIQAFRPDRLLAMAHMFVSTNLGESFMSIMEQPLDLTHIVGTEVKPNTPVLMCSVPGYDASGHVEDLAAEQNTQITSIAIGSAEGFNQADKAINTAVKSGRWVMLKNVHLAPGWLMQLEKKLHSLQPHACFRLFLTMEINPKVPVNLLRAGRIFVFEPPPGVKANMLRTFSSIPVSRICKSPNERARLYFLLAWFHAIIQERLRYAPLGWSKKYEFGESDLRSACDTVDTWLDDTAKGRQNISPDKIPWSALKTLMAQSIYGGRVDNEFDQRLLNTFLERLFTTRSFDSEFKLACKVDGHKDIQMPDGIRREEFVQWVELLPDTQTPSWLGLPNNAERVLLTTQGVDMISKMLKMQMLEDEDDLAYAETEKKTRTDSTSDGRPAWMRTLHTTASNWLHLIPQTLSHLKRTVENIKDPLFRFFEREVKMGAKLLQDVRQDLADVVQVCEGKKKQTNYLRTLINELVKGILPRSWSHYTVPAGMTVIQWVSDFSERIKQLQNISLAAASGGAKELKNIHVCLGGLFVPEAYITATRQYVAQANSWSLEELCLEVNVTTSQGATLDACSFGVTGLKLQGATCNNNKLSLSNAISTALPLTQLRWVKQTNTEKKASVVTLPVYLNFTRADLIFTVDFEIATKEDPRSFYERGVAVLCTE
+>DECOY_sp|Q14204|DYHC1_HUMAN Cytoplasmic dynein 1 heavy chain 1 OS=Homo sapiens OX=9606 GN=DYNC1H1 PE=1 SV=5
+ETCLVAVGREYFSRPDEKTAIEFDVTFILDARTFNLYVPLTVVSAKKETNTQKVWRLQTLPLATSIANSLSLKNNNCTAGQLKLGTVGFSCADLTAGQSTTVNVELCLEELSWSNAQAVYQRTATIYAEPVFLGGLCVHINKLEKAGGSAAALSINQLQKIRESFDSVWQIVTMGAPVTYHSWSRPLIGKVLENILTRLYNTQKKKGECVQVVDALDQRVDQLLKAGMKVEREFFRFLPDKINEVTRKLHSLTQPILHLWNSATTHLTRMWAPRGDSTSDTRTKKETEAYALDDEDELMQMKLMKSIMDVGQTTLLVREANNPLGLWSPTQTDPLLEVWQVFEERRIGDPMQIDKHGDVKCALKFESDFSRTTFLRELFTNLLRQDFENDVRGGYISQAMLTKLASWPIKDPSINQRGKATDDLWTDVTDCASRLDSEGFEYKKSWGLPAYRLREQIIAHFWALLFYLRARENPSKCIRSVPISSFTRLMNAKVGPPPEFVFIRGARLLNVPVKPNIEMTLFLRFCAHPQLSHLKKELQMLWGPALHVNKLMVWRGSKVATNIAKDAQNFGEASGIAISTIQTNQEAALDEVHGSADYGPVSCMLVPTNPKVETGVIHTLDLPQEMISMFSEGLNTSVFMHAMALLRDPRFAQILLLRHIAQGIPTAPTEESWLYPVTQEPSSSDLWIGFQEDAQVKAILDKFAPLCSLRVVAEAQEVTLGQIRPTSGASLVIENGRLFHQFEADYTPEGVTGKLKIRALLMAFTIHDQHLMGRAVRNFAVQFLDKTIISLRQTHDTVGKLNPNEYLVNHYIDLFFQLSYQYLFHIQKLSEMTFYISSCATSLPLYQQSVTEVEQMVIDTEEVKRTVEAAERKLNELTTIITDDDLIRGKVENLAQLLSKELQRLRLQFEGQLKLLDSRKEDVDPREAKLVENLCQSQLSSRTVTFNVFTVRSCLDPPFEVTPDRTSLFIVFSPSLDIDQDGLTILVRGGTRRVERNLVPNLVPDYSEVDQVLLPNGFRLASELNKRFADDLFSTRTIKRDKYENMIFETAQGSPDIILPYRNFRKLMIANETCLDDAPLSSAQWRLREDANSLYETRAIDTRFQINAQQLHHSWTTFLNQRMQQDFYGAYAIFAASLLCDGAITSMQNKFTESTKEWREREASLSKLLATSRNVKAEVAALDAKIAQAESILVAYEEKYRAISAELDRIMQEVENAKQQNDKADDELKQLENRLPEVRKLMDAYNLQAIAWKVMPGCALSARNVIEYNYSPNSMYNKKMKERIADSIEEASFNVITPIFNERMIISRIQKWDTTSEGLLLCISELALKVAAPPNAMSRVEVLHQKKISKVANQAEIVAPEVKDLDEKVSMQKDAIVEQQKHLQEQIEQSMVKKKEAEQQDKVMKKLKDNAAANKVELEQSKIRLDRRLEEVQDVTEKIKRLGVNLHMQQEELESRKEHFLNAYHNIFDLYHRPTIAMTRGGRKALRANAQHLTQHVFVCSNVIAERHSPPQPLKDYVVPMYDPVIYNPKELDMKSTFEKGVQYLAETSWDGFWNLVCRNFLAPSTAARDKLGESSPNMTFVVHLNRIVQSTFWKYLEEHSDLMLGEKQAGEKCQTMLTAYEDGEFLGPVEGNALLTNMRELFGSDLVNSEDMIFAIKENKCGSRRLVTRLDEDFDEGTYKRHVKIQYVSLGNMWAVFRSLTTKGAGSVGILLLHGQPQRFIRDIRLVHDLVENFLVLPVDLEEEYFVKLRAKVYDRLEEQDVPIYDKSLWNSYLIPRSMAKERDINPFHKLAVTDINEDTWRREEDEVLRDQFLRLAEHAWIRILGEVPLTELPRLAEFIGRVWRTMERPSYIYHPQTDQTFREQSMTYFEVMAATLPEAYTRLSPILRLMARNFTGYIQTLSAPGPYDVYVVPVHRLFRHSLPKRGPDTPPNCAGVFQIRELKVWTQDSTRYFGGHEVMQRIFSIVRQTGYKDMDPLNIEDCFLVLWKGLQVPALVVGNPTRRYECYHDFTKLLLEPTTASSFNLGVVEMDPLARLASFLTMTKGSGPPGCLVLPKHEALWTYLLAEHRVTDLTPVVVDPAAVKHTEVEIQPVKAQWPSWEGSISVEYDIIPINPATPLPVTTIRRIYEGLEARMKLRSDGSLSWLIAYVLYRQIYRELQEIQMPFDPHNANYQAVNRCAQHLMSFLSGLCRLRTLDMIHELQFAHELAKTVLGNSTFYPQMITAADRQIQLMPSAAEEGEDEKGKRRRQAEDEGEDLPISRLRALFNNFIMDTSLVDESFWVMGCRSVTALTAYKLDQVEFMIRVNPPLSLREGNPLTLLKNDDLVSNLNEVWEPDVDGDFVIWQRKQLEGRVSDIIKRLVHTFLGDTWERTNPDLTGYLHDKSIAKPDIIHAVGEVGELRELAKLLVRWAMSKGSGSPGVMMLGHNIQTIQYLQLVKEVWMGGVEEGDGYTLYMEQCVKKLEERLATMEGRHYQVGPFVDSLLSFLLPIDEAVLKPVMTECVSQILIEQEPLNEAIEGEDVAEGREEKERKIKQIRERKVNGASVLVSKLARLGFDYHSQSSLQEDCLKFFPVIKNALVEATRFGQSYLMVQAILQRDPKTMALSRFLKKLNDPLNSRGAYGPNMTIFIAMDPSVKVQKNLLECTIPASTKDYNPNSHERLAEQICQVQQSVASLMREELRNFEDFCGWAGVQCLGVFIRGMAQFDFTEDCNFVLVFRGLQHGLAKVSETKGTGAPGFPSGGLRAELAQTMTLYCRDTLPTQVLKDQVGLYEFGYNFKANAMQISLQQLVDTQKPDFYFRMQSLWEFSKANDIKSKILSRTVDRQHVLETILHELKRRRLPPQEMLVSDALVNLTVEVNSLVSHLPAADGGGGMSSLATEVNESWAIQASLVVLQAQYKDIWTIYTNPDISTAKGFIEVETVSEALLKALTVRMEKEVLTLWENIKPHETISVPTKFMVEEGERSSIGLVVSNDENLIISSVGAFMKKFHKQLKAVNKSNGIIELLDEDGVFYFRPFSSRERELYEGLAKQIKGLLDALRELSRQVGQINLVDMVLPSKSVKKMLALFETSISQFRQTEVPLLHKIDASGTFIGELYVWRRQVDIWVDFLAMIRNLKDEWSLADEEFVKYYPSLKMASVSNIHEKVKNFLDDWGRILRCKNQYNVLDLEYTNWVERIQKLFEELAMEGQAVLLVDKVIAENKQLDVDWIQGLTLESVVWNVHLRKMLQKWHRDKLAESKLEIVLMNIKMYGKLLRQVFEYSAYQRLRAPFSKLQNLLADLNQRLKRPQVSVWPQEKMQDIQEWVKSLESWVGKLDQLEELAVQVREESGSLLGTDTLELAEKAKACKERDDKLRGFKGEYITLAQLAEEPRLNGTVPKTKEWDTLLDTTRSEVARDEQVIKMQLNAVQQQIASDKRRMIDNFAGWEGEINDIYLWSPPFQFRQKELLRQGNRYLEVQKEFQKIKRKLSQVYTIFTVADSTSATDVSHQELEQRSKSIQSHFETMNSGLMQGFKSLVEKHWSDYKLNVKSQVKGYDIVVPGFEKKTEANDFTGRAKRIQVLLAQWKNLDEGLRNYINEAQMDWLCQYQLWVKVYQEVESVIGMVASYSEELAVPGDPMRTLANRYFKEEETLEYHVGVQYRQSQIRPLSLVVMKWAFMEQYLKYRCEEIPPNLYIVQNTIRLEHVVNKIKPEGGPKHSVQPADTDMDVEAKDEAQGLLVQTWARLGAQLRVGLIREIEMDLKNVWIPLNSYSHLNLDDVAKQVRNLIESFTKHDYMCTELSRVELDIKEEIILLDDVKEQFNFVTEALRQVYPDLKYSEWVLAIGEAILAQVEKKLGAVLLSITNREEVKECTREYTRVSEILSIAFPYLQNAQHAKNVIALPVRFGLWKLNRVEKSLTIIEPLFNVKLKLVNGTRGRVRTSEITFIRGSVGLNRQQVKRAWDDFIEQTNLKMRFSDGDQKLKQGEVHNEWGKGLVDEVRKMYATLQRDIQKAWIISGSVPPLDRVHSMKCAQSQPYQVKFKDHLSEIDDKVRQILQTQYERIAGRIHPRVFLANFRSFIRFMENANKATGLQDRLRATIRTEVRDIREDYRKMAAEWAETGEKSVDLGDVEKVNEYALNVEEIANADAADFLVEAVKMDQPEPVEGQNQQAVATVQPRLVRVIVARLQEHQRRFKRMQDLRAQLKRHAPNIRWVMKLNEERKRKVIDRLLVQLKEYEDDWTQFVEFCAVMVKEFEEYAVHMLKRTGLVKLLQSSLDRSIAEVLRLARQIPYKTNRIKRLHTFIAVLAQRIKDLETASLLDNLPFDKMLPNYDNVTELAQKLGTDTDFSVTAHFRKGHKLIDLTLLVEPSERKEQIRYLARELNLWFSIEQLATGSAPDRDLKTVKQIERIWRNVGSQLQNLFTPDEVKDGFDTVKPKEGREYCQKAVNTIMPHIPLSIEPIEINQQLHLLGMELEAIKKEVSPAMKDGDRDAKGSERIYSKFFPAVANSIFSHLTEYPSDESLTLVRLQSSVPKDADIVPTRKIFALSNSKVGYHIDININYSIFEKEEEGEDGVDEKLTSREVLVTHVQPDSLFKRMQELASKEELAAELAAPAEGGDELLLPVLKRLHKQLVSVDAVNQVASVELGASGDEGGGGGPESM
+>sp|Q56P03|EAPP_HUMAN E2F-associated phosphoprotein OS=Homo sapiens OX=9606 GN=EAPP PE=1 SV=4
+MNRLPDDYDPYAVEEPSDEEPALSSSEDEVDVLLHGTPDQKRKLIRECLTGESESSSEDEFEKEMEAELNSTMKTMEDKLSSLGTGSSSGNGKVATAPTRYYDDIYFDSDSEDEDRAVQVTKKKKKKQHKIPTNDELLYDPEKDNRDQAWVDAQRRGYHGLGPQRSRQQQPVPNSDAVLNCPACMTTLCLDCQRHESYKTQYRAMFVMNCSINKEEVLRYKASENRKKRRVHKKMRSNREDAAEKAETDVEEIYHPVMCTECSTEVAVYDKDEVFHFFNVLASHS
+>DECOY_sp|Q56P03|EAPP_HUMAN E2F-associated phosphoprotein OS=Homo sapiens OX=9606 GN=EAPP PE=1 SV=4
+SHSALVNFFHFVEDKDYVAVETSCETCMVPHYIEEVDTEAKEAADERNSRMKKHVRRKKRNESAKYRLVEEKNISCNMVFMARYQTKYSEHRQCDLCLTTMCAPCNLVADSNPVPQQQRSRQPGLGHYGRRQADVWAQDRNDKEPDYLLEDNTPIKHQKKKKKKTVQVARDEDESDSDFYIDDYYRTPATAVKGNGSSSGTGLSSLKDEMTKMTSNLEAEMEKEFEDESSSESEGTLCERILKRKQDPTGHLLVDVEDESSSLAPEEDSPEEVAYPDYDDPLRNM
+>sp|P0CF75|EBLN1_HUMAN Endogenous Bornavirus-like nucleoprotein 1 OS=Homo sapiens OX=9606 GN=EBLN1 PE=2 SV=2
+MSRPRNNPQTSSPQDSTKDGSSFHYFQGRFELSGKSRQYPADALEPQPGIGDVKVIEKATKSMLDPAQRSHFYLVTPSLVFLCFIFDGLHKALLSVGVSKRSNIVIGNENKETGTLYASKFEDVLPTFTALEMSSILRHCCDLIGIAAGSSDPICTNSLQVQRQFKAMMISIGRPLHSESADLLISYNAGPAIDWINSRPWVGGLMFTFLFGEFESPACELLDQVKVVASKAQMMTYYTVRMFLDQCVDGSTALPAVVLEIPVFEQKKPLAKKVLGDFFEFGGVLRHPVIGVLSPQMFPNLATAANYWAKRRNSTFSGFEALDIIPGSTITFPVLQMASAQKISRGSDMDPYTLNILRGYGISGFE
+>DECOY_sp|P0CF75|EBLN1_HUMAN Endogenous Bornavirus-like nucleoprotein 1 OS=Homo sapiens OX=9606 GN=EBLN1 PE=2 SV=2
+EFGSIGYGRLINLTYPDMDSGRSIKQASAMQLVPFTITSGPIIDLAEFGSFTSNRRKAWYNAATALNPFMQPSLVGIVPHRLVGGFEFFDGLVKKALPKKQEFVPIELVVAPLATSGDVCQDLFMRVTYYTMMQAKSAVVKVQDLLECAPSEFEGFLFTFMLGGVWPRSNIWDIAPGANYSILLDASESHLPRGISIMMAKFQRQVQLSNTCIPDSSGAAIGILDCCHRLISSMELATFTPLVDEFKSAYLTGTEKNENGIVINSRKSVGVSLLAKHLGDFIFCLFVLSPTVLYFHSRQAPDLMSKTAKEIVKVDGIGPQPELADAPYQRSKGSLEFRGQFYHFSSGDKTSDQPSSTQPNNRPRSM
+>sp|P24534|EF1B_HUMAN Elongation factor 1-beta OS=Homo sapiens OX=9606 GN=EEF1B2 PE=1 SV=3
+MGFGDLKSPAGLQVLNDYLADKSYIEGYVPSQADVAVFEAVSSPPPADLCHALRWYNHIKSYEKEKASLPGVKKALGKYGPADVEDTTGSGATDSKDDDDIDLFGSDDEEESEEAKRLREERLAQYESKKAKKPALVAKSSILLDVKPWDDETDMAKLEECVRSIQADGLVWGSSKLVPVGYGIKKLQIQCVVEDDKVGTDMLEEQITAFEDYVQSMDVAAFNKI
+>DECOY_sp|P24534|EF1B_HUMAN Elongation factor 1-beta OS=Homo sapiens OX=9606 GN=EEF1B2 PE=1 SV=3
+IKNFAAVDMSQVYDEFATIQEELMDTGVKDDEVVCQIQLKKIGYGVPVLKSSGWVLGDAQISRVCEELKAMDTEDDWPKVDLLISSKAVLAPKKAKKSEYQALREERLRKAEESEEEDDSGFLDIDDDDKSDTAGSGTTDEVDAPGYKGLAKKVGPLSAKEKEYSKIHNYWRLAHCLDAPPPSSVAEFVAVDAQSPVYGEIYSKDALYDNLVQLGAPSKLDGFGM
+>sp|Q9ULW2|FZD10_HUMAN Frizzled-10 OS=Homo sapiens OX=9606 GN=FZD10 PE=1 SV=1
+MQRPGPRLWLVLQVMGSCAAISSMDMERPGDGKCQPIEIPMCKDIGYNMTRMPNLMGHENQREAAIQLHEFAPLVEYGCHGHLRFFLCSLYAPMCTEQVSTPIPACRVMCEQARLKCSPIMEQFNFKWPDSLDCRKLPNKNDPNYLCMEAPNNGSDEPTRGSGLFPPLFRPQRPHSAQEHPLKDGGPGRGGCDNPGKFHHVEKSASCAPLCTPGVDVYWSREDKRFAVVWLAIWAVLCFFSSAFTVLTFLIDPARFRYPERPIIFLSMCYCVYSVGYLIRLFAGAESIACDRDSGQLYVIQEGLESTGCTLVFLVLYYFGMASSLWWVVLTLTWFLAAGKKWGHEAIEANSSYFHLAAWAIPAVKTILILVMRRVAGDELTGVCYVGSMDVNALTGFVLIPLACYLVIGTSFILSGFVALFHIRRVMKTGGENTDKLEKLMVRIGLFSVLYTVPATCVIACYFYERLNMDYWKILAAQHKCKMNNQTKTLDCLMAASIPAVEIFMVKIFMLLVVGITSGMWIWTSKTLQSWQQVCSRRLKKKSRRKPASVITSGGIYKKAQHPQKTHHGKYEIPAQSPTCV
+>DECOY_sp|Q9ULW2|FZD10_HUMAN Frizzled-10 OS=Homo sapiens OX=9606 GN=FZD10 PE=1 SV=1
+VCTPSQAPIEYKGHHTKQPHQAKKYIGGSTIVSAPKRRSKKKLRRSCVQQWSQLTKSTWIWMGSTIGVVLLMFIKVMFIEVAPISAAMLCDLTKTQNNMKCKHQAALIKWYDMNLREYFYCAIVCTAPVTYLVSFLGIRVMLKELKDTNEGGTKMVRRIHFLAVFGSLIFSTGIVLYCALPILVFGTLANVDMSGVYCVGTLEDGAVRRMVLILITKVAPIAWAALHFYSSNAEIAEHGWKKGAALFWTLTLVVWWLSSAMGFYYLVLFVLTCGTSELGEQIVYLQGSDRDCAISEAGAFLRILYGVSYVCYCMSLFIIPREPYRFRAPDILFTLVTFASSFFCLVAWIALWVVAFRKDERSWYVDVGPTCLPACSASKEVHHFKGPNDCGGRGPGGDKLPHEQASHPRQPRFLPPFLGSGRTPEDSGNNPAEMCLYNPDNKNPLKRCDLSDPWKFNFQEMIPSCKLRAQECMVRCAPIPTSVQETCMPAYLSCLFFRLHGHCGYEVLPAFEHLQIAAERQNEHGMLNPMRTMNYGIDKCMPIEIPQCKGDGPREMDMSSIAACSGMVQLVLWLRPGPRQM
+>sp|O75084|FZD7_HUMAN Frizzled-7 OS=Homo sapiens OX=9606 GN=FZD7 PE=1 SV=2
+MRDPGAAAPLSSLGLCALVLALLGALSAGAGAQPYHGEKGISVPDHGFCQPISIPLCTDIAYNQTILPNLLGHTNQEDAGLEVHQFYPLVKVQCSPELRFFLCSMYAPVCTVLDQAIPPCRSLCERARQGCEALMNKFGFQWPERLRCENFPVHGAGEICVGQNTSDGSGGPGGGPTAYPTAPYLPDLPFTALPPGASDGRGRPAFPFSCPRQLKVPPYLGYRFLGERDCGAPCEPGRANGLMYFKEEERRFARLWVGVWSVLCCASTLFTVLTYLVDMRRFSYPERPIIFLSGCYFMVAVAHVAGFLLEDRAVCVERFSDDGYRTVAQGTKKEGCTILFMVLYFFGMASSIWWVILSLTWFLAAGMKWGHEAIEANSQYFHLAAWAVPAVKTITILAMGQVDGDLLSGVCYVGLSSVDALRGFVLAPLFVYLFIGTSFLLAGFVSLFRIRTIMKHDGTKTEKLEKLMVRIGVFSVLYTVPATIVLACYFYEQAFREHWERTWLLQTCKSYAVPCPPGHFPPMSPDFTVFMIKYLMTMIVGITTGFWIWSGKTLQSWRRFYHRLSHSSKGETAV
+>DECOY_sp|O75084|FZD7_HUMAN Frizzled-7 OS=Homo sapiens OX=9606 GN=FZD7 PE=1 SV=2
+VATEGKSSHSLRHYFRRWSQLTKGSWIWFGTTIGVIMTMLYKIMFVTFDPSMPPFHGPPCPVAYSKCTQLLWTREWHERFAQEYFYCALVITAPVTYLVSFVGIRVMLKELKETKTGDHKMITRIRFLSVFGALLFSTGIFLYVFLPALVFGRLADVSSLGVYCVGSLLDGDVQGMALITITKVAPVAWAALHFYQSNAEIAEHGWKMGAALFWTLSLIVWWISSAMGFFYLVMFLITCGEKKTGQAVTRYGDDSFREVCVARDELLFGAVHAVAVMFYCGSLFIIPREPYSFRRMDVLYTLVTFLTSACCLVSWVGVWLRAFRREEEKFYMLGNARGPECPAGCDREGLFRYGLYPPVKLQRPCSFPFAPRGRGDSAGPPLATFPLDPLYPATPYATPGGGPGGSGDSTNQGVCIEGAGHVPFNECRLREPWQFGFKNMLAECGQRARECLSRCPPIAQDLVTCVPAYMSCLFFRLEPSCQVKVLPYFQHVELGADEQNTHGLLNPLITQNYAIDTCLPISIPQCFGHDPVSIGKEGHYPQAGAGASLAGLLALVLACLGLSSLPAAAGPDRM
+>sp|Q9H461|FZD8_HUMAN Frizzled-8 OS=Homo sapiens OX=9606 GN=FZD8 PE=1 SV=1
+MEWGYLLEVTSLLAALALLQRSSGAAAASAKELACQEITVPLCKGIGYNYTYMPNQFNHDTQDEAGLEVHQFWPLVEIQCSPDLKFFLCSMYTPICLEDYKKPLPPCRSVCERAKAGCAPLMRQYGFAWPDRMRCDRLPEQGNPDTLCMDYNRTDLTTAAPSPPRRLPPPPPGEQPPSGSGHGRPPGARPPHRGGGRGGGGGDAAAPPARGGGGGGKARPPGGGAAPCEPGCQCRAPMVSVSSERHPLYNRVKTGQIANCALPCHNPFFSQDERAFTVFWIGLWSVLCFVSTFATVSTFLIDMERFKYPERPIIFLSACYLFVSVGYLVRLVAGHEKVACSGGAPGAGGAGGAGGAAAGAGAAGAGAGGPGGRGEYEELGAVEQHVRYETTGPALCTVVFLLVYFFGMASSIWWVILSLTWFLAAGMKWGNEAIAGYSQYFHLAAWLVPSVKSIAVLALSSVDGDPVAGICYVGNQSLDNLRGFVLAPLVIYLFIGTMFLLAGFVSLFRIRSVIKQQDGPTKTHKLEKLMIRLGLFTVLYTVPAAVVVACLFYEQHNRPRWEATHNCPCLRDLQPDQARRPDYAVFMLKYFMCLVVGITSGVWVWSGKTLESWRSLCTRCCWASKGAAVGGGAGATAAGGGGGPGGGGGGGPGGGGGPGGGGGSLYSDVSTGLTWRSGTASSVSYPKQMPLSQV
+>DECOY_sp|Q9H461|FZD8_HUMAN Frizzled-8 OS=Homo sapiens OX=9606 GN=FZD8 PE=1 SV=1
+VQSLPMQKPYSVSSATGSRWTLGTSVDSYLSGGGGGPGGGGGPGGGGGGGPGGGGGAATAGAGGGVAAGKSAWCCRTCLSRWSELTKGSWVWVGSTIGVVLCMFYKLMFVAYDPRRAQDPQLDRLCPCNHTAEWRPRNHQEYFLCAVVVAAPVTYLVTFLGLRIMLKELKHTKTPGDQQKIVSRIRFLSVFGALLFMTGIFLYIVLPALVFGRLNDLSQNGVYCIGAVPDGDVSSLALVAISKVSPVLWAALHFYQSYGAIAENGWKMGAALFWTLSLIVWWISSAMGFFYVLLFVVTCLAPGTTEYRVHQEVAGLEEYEGRGGPGGAGAGAAGAGAAAGGAGGAGGAGPAGGSCAVKEHGAVLRVLYGVSVFLYCASLFIIPREPYKFREMDILFTSVTAFTSVFCLVSWLGIWFVTFAREDQSFFPNHCPLACNAIQGTKVRNYLPHRESSVSVMPARCQCGPECPAAGGGPPRAKGGGGGGRAPPAAADGGGGGRGGGRHPPRAGPPRGHGSGSPPQEGPPPPPLRRPPSPAATTLDTRNYDMCLTDPNGQEPLRDCRMRDPWAFGYQRMLPACGAKARECVSRCPPLPKKYDELCIPTYMSCLFFKLDPSCQIEVLPWFQHVELGAEDQTDHNFQNPMYTYNYGIGKCLPVTIEQCALEKASAAAAGSSRQLLALAALLSTVELLYGWEM
+>sp|P27469|G0S2_HUMAN G0/G1 switch protein 2 OS=Homo sapiens OX=9606 GN=G0S2 PE=1 SV=1
+METVQELIPLAKEMMAQKRKGKMVKLYVLGSVLALFGVVLGLMETVCSPFTAARRLRDQEAAVAELQAALERQALQKQALQEKGKQQDTVLGGRALSNRQHAS
+>DECOY_sp|P27469|G0S2_HUMAN G0/G1 switch protein 2 OS=Homo sapiens OX=9606 GN=G0S2 PE=1 SV=1
+SAHQRNSLARGGLVTDQQKGKEQLAQKQLAQRELAAQLEAVAAEQDRLRRAATFPSCVTEMLGLVVGFLALVSGLVYLKVMKGKRKQAMMEKALPILEQVTEM
+>sp|Q9H3Q3|G3ST2_HUMAN Galactose-3-O-sulfotransferase 2 OS=Homo sapiens OX=9606 GN=GAL3ST2 PE=1 SV=2
+MMSMLGGLQRYFRVILLLLLALTLLLLAGFLHSDLELDTPLFGGQAEGPPVTNIMFLKTHKTASSTVLNILYRFAETHNLSVALPAGSRVHLGYPWLFLARYVEGVGSQQRFNIMCNHLRFNLPQVQKVMPNDTFYFSILRNPVFQLESSFIYYKTYAPAFRGAPSLDAFLASPRTFYNDSRHLRNVYAKNNMWFDFGFDPNAQCEEGYVRARIAEVERRFRLVLIAEHLDESLVLLRRRLRWALDDVVAFRLNSRSARSVARLSPETRERARSWCALDWRLYEHFNRTLWAQLRAELGPRRLRGEVERLRARRRELASLCLQDGGALKNHTQIRDPRLRPYQSGKADILGYNLRPGLDNQTLGVCQRLVMPELQYMARLYALQFPEKPLKNIPFLGA
+>DECOY_sp|Q9H3Q3|G3ST2_HUMAN Galactose-3-O-sulfotransferase 2 OS=Homo sapiens OX=9606 GN=GAL3ST2 PE=1 SV=2
+AGLFPINKLPKEPFQLAYLRAMYQLEPMVLRQCVGLTQNDLGPRLNYGLIDAKGSQYPRLRPDRIQTHNKLAGGDQLCLSALERRRARLREVEGRLRRPGLEARLQAWLTRNFHEYLRWDLACWSRARERTEPSLRAVSRASRSNLRFAVVDDLAWRLRRRLLVLSEDLHEAILVLRFRREVEAIRARVYGEECQANPDFGFDFWMNNKAYVNRLHRSDNYFTRPSALFADLSPAGRFAPAYTKYYIFSSELQFVPNRLISFYFTDNPMVKQVQPLNFRLHNCMINFRQQSGVGEVYRALFLWPYGLHVRSGAPLAVSLNHTEAFRYLINLVTSSATKHTKLFMINTVPPGEAQGGFLPTDLELDSHLFGALLLLTLALLLLLIVRFYRQLGGLMSMM
+>sp|Q9BUM1|G6PC3_HUMAN Glucose-6-phosphatase 3 OS=Homo sapiens OX=9606 GN=G6PC3 PE=1 SV=2
+MESTLGAGIVIAEALQNQLAWLENVWLWITFLGDPKILFLFYFPAAYYASRRVGIAVLWISLITEWLNLIFKWFLFGDRPFWWVHESGYYSQAPAQVHQFPSSCETGPGSPSGHCMITGAALWPIMTALSSQVATRARSRWVRVMPSLAYCTFLLAVGLSRIFILAHFPHQVLAGLITGAVLGWLMTPRVPMERELSFYGLTALALMLGTSLIYWTLFTLGLDLSWSISLAFKWCERPEWIHVDSRPFASLSRDSGAALGLGIALHSPCYAQVRRAQLGNGQKIACLVLAMGLLGPLDWLGHPPQISLFYIFNFLKYTLWPCLVLALVPWAVHMFSAQEAPPIHSS
+>DECOY_sp|Q9BUM1|G6PC3_HUMAN Glucose-6-phosphatase 3 OS=Homo sapiens OX=9606 GN=G6PC3 PE=1 SV=2
+SSHIPPAEQASFMHVAWPVLALVLCPWLTYKLFNFIYFLSIQPPHGLWDLPGLLGMALVLCAIKQGNGLQARRVQAYCPSHLAIGLGLAAGSDRSLSAFPRSDVHIWEPRECWKFALSISWSLDLGLTFLTWYILSTGLMLALATLGYFSLEREMPVRPTMLWGLVAGTILGALVQHPFHALIFIRSLGVALLFTCYALSPMVRVWRSRARTAVQSSLATMIPWLAAGTIMCHGSPSGPGTECSSPFQHVQAPAQSYYGSEHVWWFPRDGFLFWKFILNLWETILSIWLVAIGVRRSAYYAAPFYFLFLIKPDGLFTIWLWVNELWALQNQLAEAIVIGAGLTSEM
+>sp|O43826|G6PT1_HUMAN Glucose-6-phosphate exchanger SLC37A4 OS=Homo sapiens OX=9606 GN=SLC37A4 PE=1 SV=1
+MAAQGYGYYRTVIFSAMFGGYSLYYFNRKTFSFVMPSLVEEIPLDKDDLGFITSSQSAAYAISKFVSGVLSDQMSARWLFSSGLLLVGLVNIFFAWSSTVPVFAALWFLNGLAQGLGWPPCGKVLRKWFEPSQFGTWWAILSTSMNLAGGLGPILATILAQSYSWRSTLALSGALCVVVSFLCLLLIHNEPADVGLRNLDPMPSEGKKGSLKEESTLQELLLSPYLWVLSTGYLVVFGVKTCCTDWGQFFLIQEKGQSALVGSSYMSALEVGGLVGSIAAGYLSDRAMAKAGLSNYGNPRHGLLLFMMAGMTVSMYLFRVTVTSDSPKLWILVLGAVFGFSSYGPIALFGVIANESAPPNLCGTSHAIVGLMANVGGFLAGLPFSTIAKHYSWSTAFWVAEVICAASTAAFFLLRNIRTKMGRVSKKAE
+>DECOY_sp|O43826|G6PT1_HUMAN Glucose-6-phosphate exchanger SLC37A4 OS=Homo sapiens OX=9606 GN=SLC37A4 PE=1 SV=1
+EAKKSVRGMKTRINRLLFFAATSAACIVEAVWFATSWSYHKAITSFPLGALFGGVNAMLGVIAHSTGCLNPPASENAIVGFLAIPGYSSFGFVAGLVLIWLKPSDSTVTVRFLYMSVTMGAMMFLLLGHRPNGYNSLGAKAMARDSLYGAAISGVLGGVELASMYSSGVLASQGKEQILFFQGWDTCCTKVGFVVLYGTSLVWLYPSLLLEQLTSEEKLSGKKGESPMPDLNRLGVDAPENHILLLCLFSVVVCLAGSLALTSRWSYSQALITALIPGLGGALNMSTSLIAWWTGFQSPEFWKRLVKGCPPWGLGQALGNLFWLAAFVPVTSSWAFFINVLGVLLLGSSFLWRASMQDSLVGSVFKSIAYAASQSSTIFGLDDKDLPIEEVLSPMVFSFTKRNFYYLSYGGFMASFIVTRYYGYGQAAM
+>sp|Q8TED4|G6PT3_HUMAN Glucose-6-phosphate exchanger SLC37A2 OS=Homo sapiens OX=9606 GN=SLC37A2 PE=2 SV=2
+MRSSLAPGVWFFRAFSRDSWFRGLILLLTFLIYACYHMSRKPISIVKSRLHQNCSEQIKPINDTHSLNDTMWCSWAPFDKDNYKELLGGVDNAFLIAYAIGMFISGVFGERLPLRYYLSAGMLLSGLFTSLFGLGYFWNIHELWYFVVIQVCNGLVQTTGWPSVVTCVGNWFGKGKRGFIMGIWNSHTSVGNILGSLIAGIWVNGQWGLSFIVPGIITAVMGVITFLFLIEHPEDVDCAPPQHHGEPAENQDNPEDPGNSPCSIRESGLETVAKCSKGPCEEPAAISFFGALRIPGVVEFSLCLLFAKLVSYTFLYWLPLYIANVAHFSAKEAGDLSTLFDVGGIIGGIVAGLVSDYTNGRATTCCVMLILAAPMMFLYNYIGQDGIASSIVMLIICGGLVNGPYALITTAVSADLGTHKSLKGNAKALSTVTAIIDGTGSIGAALGPLLAGLISPTGWNNVFYMLISADVLACLLLCRLVYKEILAWKVSLSRGSGYKEI
+>DECOY_sp|Q8TED4|G6PT3_HUMAN Glucose-6-phosphate exchanger SLC37A2 OS=Homo sapiens OX=9606 GN=SLC37A2 PE=2 SV=2
+IEKYGSGRSLSVKWALIEKYVLRCLLLCALVDASILMYFVNNWGTPSILGALLPGLAAGISGTGDIIATVTSLAKANGKLSKHTGLDASVATTILAYPGNVLGGCIILMVISSAIGDQGIYNYLFMMPAALILMVCCTTARGNTYDSVLGAVIGGIIGGVDFLTSLDGAEKASFHAVNAIYLPLWYLFTYSVLKAFLLCLSFEVVGPIRLAGFFSIAAPEECPGKSCKAVTELGSERISCPSNGPDEPNDQNEAPEGHHQPPACDVDEPHEILFLFTIVGMVATIIGPVIFSLGWQGNVWIGAILSGLINGVSTHSNWIGMIFGRKGKGFWNGVCTVVSPWGTTQVLGNCVQIVVFYWLEHINWFYGLGFLSTFLGSLLMGASLYYRLPLREGFVGSIFMGIAYAILFANDVGGLLEKYNDKDFPAWSCWMTDNLSHTDNIPKIQESCNQHLRSKVISIPKRSMHYCAYILFTLLLILGRFWSDRSFARFFWVGPALSSRM
+>sp|Q9UBA6|G8_HUMAN Protein G8 OS=Homo sapiens OX=9606 GN=C6orf48 PE=4 SV=2
+MERSFVWLSCLDSDSCNLTFRLGEVESHACSPSLLWNLLTQYLPPGAGHILRTYNFPVLSCVSSCHLIGGKMPEN
+>DECOY_sp|Q9UBA6|G8_HUMAN Protein G8 OS=Homo sapiens OX=9606 GN=C6orf48 PE=4 SV=2
+NEPMKGGILHCSSVCSLVPFNYTRLIHGAGPPLYQTLLNWLLSPSCAHSEVEGLRFTLNCSDSDLCSLWVFSREM
+>sp|Q8NHY3|GA2L2_HUMAN GAS2-like protein 2 OS=Homo sapiens OX=9606 GN=GAS2L2 PE=1 SV=1
+MSQPAGGRRKPRTLGPPVCSIRPFKSSEQYLEAMKEDLAEWLRDLYGLDIDAANFLQVLETGLVLCQHANVVTDAALAFLAEAPAQAQKIPMPRVGVSCNGAAQPGTFQARDNVSNFIQWCRKEMGIQEVLMFETEDLVLRKNVKNVVLCLLELGRRAWRFGVAAPTLVQLEEEIEEEVRRELALPPPDPSPPAPPRRQPCHFRNLDQMVQSLVSHCTCPVQFSMVKVSEGKYRVGDSNTLIFIRILRNHVMVRVGGGWDTLGHYLDKHDPCRCTSLSHKPGSFLKPPAPPVQHEVRVQDGPSQTQPTMTISRSQSPPPPVDWKTYTSSDRRLRPPTPSSPRPRRERGAGTGASREMAPFLRCQERSLIPSWRQPTAGDSPPSPQSSSTQKGRDPQCTSSGKREERYPPELPRGRIPTSWVHEETDSWGTDAGNPTPQRLRAIEATTKGISARGPSPLPRSFGPAECLGLRLPLRDEAKGAFFQFREPESVRSPTPVQGLTKIPIRLPPARPPTPGRSFPGATSGSPRTELGRDPIPLRAVTVDLAGSTHGDCSVEVRQEDQQLDIQVMAEARESWDLGLQEQEGRYTPLPLGGNKEQAIYCSLEEEILGNMKLLEVRSACPQGTRSGVIPRSGVYIPRLAGQWPEPGGPYDKAIQELAQGSPSLLKVDLEAWKAAPTGSPKPAVTPGPGSLKGKLGARQSGPRTKASLSAKGTHMRKVPPQGGQDCSASTVSASPEAPTPSPLDPNSDKAKACLSKGRRTLRKPKRVPSIYKLKLRPRIRPRRDHRPEKQPSRIPRPLAYVFLGPARQPPKDRLLRAVLGSKGGEASRVDGASVGEEEEEGKEEKEPAAPLESSPQPPEGLQPHWLNQAPLPPEEESWV
+>DECOY_sp|Q8NHY3|GA2L2_HUMAN GAS2-like protein 2 OS=Homo sapiens OX=9606 GN=GAS2L2 PE=1 SV=1
+VWSEEEPPLPAQNLWHPQLGEPPQPSSELPAAPEKEEKGEEEEEGVSAGDVRSAEGGKSGLVARLLRDKPPQRAPGLFVYALPRPIRSPQKEPRHDRRPRIRPRLKLKYISPVRKPKRLTRRGKSLCAKAKDSNPDLPSPTPAEPSASVTSASCDQGGQPPVKRMHTGKASLSAKTRPGSQRAGLKGKLSGPGPTVAPKPSGTPAAKWAELDVKLLSPSGQALEQIAKDYPGGPEPWQGALRPIYVGSRPIVGSRTGQPCASRVELLKMNGLIEEELSCYIAQEKNGGLPLPTYRGEQEQLGLDWSERAEAMVQIDLQQDEQRVEVSCDGHTSGALDVTVARLPIPDRGLETRPSGSTAGPFSRGPTPPRAPPLRIPIKTLGQVPTPSRVSEPERFQFFAGKAEDRLPLRLGLCEAPGFSRPLPSPGRASIGKTTAEIARLRQPTPNGADTGWSDTEEHVWSTPIRGRPLEPPYREERKGSSTCQPDRGKQTSSSQPSPPSDGATPQRWSPILSREQCRLFPAMERSAGTGAGRERRPRPSSPTPPRLRRDSSTYTKWDVPPPPSQSRSITMTPQTQSPGDQVRVEHQVPPAPPKLFSGPKHSLSTCRCPDHKDLYHGLTDWGGGVRVMVHNRLIRIFILTNSDGVRYKGESVKVMSFQVPCTCHSVLSQVMQDLNRFHCPQRRPPAPPSPDPPPLALERRVEEEIEEELQVLTPAAVGFRWARRGLELLCLVVNKVNKRLVLDETEFMLVEQIGMEKRCWQIFNSVNDRAQFTGPQAAGNCSVGVRPMPIKQAQAPAEALFALAADTVVNAHQCLVLGTELVQLFNAADIDLGYLDRLWEALDEKMAELYQESSKFPRISCVPPGLTRPKRRGGAPQSM
+>sp|O95177|GAAS1_HUMAN Uncharacterized protein GAS8-AS1 OS=Homo sapiens OX=9606 GN=GAS8-AS1 PE=2 SV=1
+MKLSSAAGQESPGHPHPSPPAWTLKKPSESVAQRAMCSARACPVACPVGCPAACPVGCPIACPVSCPVACPVGCPVGSMATAPQGLSPQEWEADRETGSSSHAGTTQCSIHSPSSSSRHLSRTQT
+>DECOY_sp|O95177|GAAS1_HUMAN Uncharacterized protein GAS8-AS1 OS=Homo sapiens OX=9606 GN=GAS8-AS1 PE=2 SV=1
+TQTRSLHRSSSSPSHISCQTTGAHSSSGTERDAEWEQPSLGQPATAMSGVPCGVPCAVPCSVPCAIPCGVPCAAPCGVPCAVPCARASCMARQAVSESPKKLTWAPPSPHPHGPSEQGAASSLKM
+>sp|Q8WWW8|GAB3_HUMAN GRB2-associated-binding protein 3 OS=Homo sapiens OX=9606 GN=GAB3 PE=1 SV=1
+MSAGDAVCTGWLVKSPPERKLQRYAWRKRWFVLRRGRMSGNPDVLEYYRNKHSSKPIRVIDLSECAVWKHVGPSFVRKEFQNNFVFIVKTTSRTFYLVAKTEQEMQVWVHSISQVCNLGHLEDGADSMESLSYTPSSLQPSSASSLLTAHAASSSLPRDDPNTNAVATEETRSESELLFLPDYLVLSNCETGRLHHTSLPTRCDSWSNSDRSLEQASFDDVFVDCLQPLPSSHLVHPSCHGSGAQEVPSSRPQAALIWSREINGPPRDHLSSSPLLESSLSSTIQVDKNQGSLPCGAKELDIMSNTPPPRPPKPSHLSERRQEEWSTHSGSKKPECTLVPRRISLSGLDNMRTWKADVEGQSLRHRDKRLSLNLPCRFSPMYPTASASIEDSYVPMSPQAGASGLGPHCSPDDYIPMNSGSISSPLPELPANLEPPPVNRDLKPQRKSRPPPLDLRNLSIIREHASLTRTRTVPCSRTSFLSPERNGINSARFFANPVSREDEESYIEMEEHRTASSLSSGALTWTKKFSLDYLALDFNSASPAPMQQKLLLSEEQRVDYVQVDEQKTQALQSTKQEWTDERQSKV
+>DECOY_sp|Q8WWW8|GAB3_HUMAN GRB2-associated-binding protein 3 OS=Homo sapiens OX=9606 GN=GAB3 PE=1 SV=1
+VKSQREDTWEQKTSQLAQTKQEDVQVYDVRQEESLLLKQQMPAPSASNFDLALYDLSFKKTWTLAGSSLSSATRHEEMEIYSEEDERSVPNAFFRASNIGNREPSLFSTRSCPVTRTRTLSAHERIISLNRLDLPPPRSKRQPKLDRNVPPPELNAPLEPLPSSISGSNMPIYDDPSCHPGLGSAGAQPSMPVYSDEISASATPYMPSFRCPLNLSLRKDRHRLSQGEVDAKWTRMNDLGSLSIRRPVLTCEPKKSGSHTSWEEQRRESLHSPKPPRPPPTNSMIDLEKAGCPLSGQNKDVQITSSLSSELLPSSSLHDRPPGNIERSWILAAQPRSSPVEQAGSGHCSPHVLHSSPLPQLCDVFVDDFSAQELSRDSNSWSDCRTPLSTHHLRGTECNSLVLYDPLFLLESESRTEETAVANTNPDDRPLSSSAAHATLLSSASSPQLSSPTYSLSEMSDAGDELHGLNCVQSISHVWVQMEQETKAVLYFTRSTTKVIFVFNNQFEKRVFSPGVHKWVACESLDIVRIPKSSHKNRYYELVDPNGSMRGRRLVFWRKRWAYRQLKREPPSKVLWGTCVADGASM
+>sp|Q06547|GABP1_HUMAN GA-binding protein subunit beta-1 OS=Homo sapiens OX=9606 GN=GABPB1 PE=1 SV=2
+MSLVDLGKKLLEAARAGQDDEVRILMANGAPFTTDWLGTSPLHLAAQYGHYSTTEVLLRAGVSRDARTKVDRTPLHMAASEGHASIVEVLLKHGADVNAKDMLKMTALHWATEHNHQEVVELLIKYGADVHTQSKFCKTAFDISIDNGNEDLAEILQIAMQNQINTNPESPDTVTIHAATPQFIIGPGGVVNLTGLVSSENSSKATDETGVSAVQFGNSSTSVLATLAALAEASAPLSNSSETPVVATEEVVTAESVDGAIQQVVSSGGQQVITIVTDGIQLGNLHSIPTSGIGQPIIVTMPDGQQVLTVPATDIAEETVISEEPPAKRQCIEIIENRVESAEIEEREALQKQLDEANREAQKYRQQLLKKEQEAEAYRQKLEAMTRLQTNKEAV
+>DECOY_sp|Q06547|GABP1_HUMAN GA-binding protein subunit beta-1 OS=Homo sapiens OX=9606 GN=GABPB1 PE=1 SV=2
+VAEKNTQLRTMAELKQRYAEAEQEKKLLQQRYKQAERNAEDLQKQLAEREEIEASEVRNEIIEICQRKAPPEESIVTEEAIDTAPVTLVQQGDPMTVIIPQGIGSTPISHLNGLQIGDTVITIVQQGGSSVVQQIAGDVSEATVVEETAVVPTESSNSLPASAEALAALTALVSTSSNGFQVASVGTEDTAKSSNESSVLGTLNVVGGPGIIFQPTAAHITVTDPSEPNTNIQNQMAIQLIEALDENGNDISIDFATKCFKSQTHVDAGYKILLEVVEQHNHETAWHLATMKLMDKANVDAGHKLLVEVISAHGESAAMHLPTRDVKTRADRSVGARLLVETTSYHGYQAALHLPSTGLWDTTFPAGNAMLIRVEDDQGARAAELLKKGLDVLSM
+>sp|Q13066|GAG2B_HUMAN G antigen 2B/2C OS=Homo sapiens OX=9606 GN=GAGE2B PE=1 SV=1
+MSWRGRSTYRPRPRRYVEPPEMIGPMRPEQFSDEVEPATPEEGEPATQRQDPAAAQEGEDEGASAGQGPKPEAHSQEQGHPQTGCECEDGPDGQEMDPPNPEEVKTPEEGEKQSQC
+>DECOY_sp|Q13066|GAG2B_HUMAN G antigen 2B/2C OS=Homo sapiens OX=9606 GN=GAGE2B PE=1 SV=1
+CQSQKEGEEPTKVEEPNPPDMEQGDPGDECECGTQPHGQEQSHAEPKPGQGASAGEDEGEQAAAPDQRQTAPEGEEPTAPEVEDSFQEPRMPGIMEPPEVYRRPRPRYTSRGRWSM
+>sp|Q13068|GAGE4_HUMAN G antigen 4 OS=Homo sapiens OX=9606 GN=GAGE4 PE=1 SV=2
+MSWRGRSTYYWPRPRRYVQPPEMIGPMRPEQFSDEVEPATPEEGEPATQRQDPAAAQEGEDEGASAGQGPKPEADSQEQGHPQTGCECEDGPDGQEMDPPNPEEVKTPEEGEGQSQC
+>DECOY_sp|Q13068|GAGE4_HUMAN G antigen 4 OS=Homo sapiens OX=9606 GN=GAGE4 PE=1 SV=2
+CQSQGEGEEPTKVEEPNPPDMEQGDPGDECECGTQPHGQEQSDAEPKPGQGASAGEDEGEQAAAPDQRQTAPEGEEPTAPEVEDSFQEPRMPGIMEPPQVYRRPRPWYYTSRGRWSM
+>sp|P87889|GAK10_HUMAN Endogenous retrovirus group K member 10 Gag polyprotein OS=Homo sapiens OX=9606 GN=ERVK-10 PE=1 SV=4
+MGQTKSKIKSKYASYLSFIKILLKRGGVKVSTKNLIKLFQIIEQFCPWFPEQGTSDLKDWKRIGKELKQAGRKGNIIPLTVWNDWAIIKAALEPFQTEEDSISVSDAPGSCLIDCNENTRKKSQKETESLHCEYVAEPVMAQSTQNVDYNQLQEVIYPETLKLEGKGPELMGPSESKPRGTSPLPAGQVLVRLQPQKQVKENKTQPQVAYQYWPLAELQYRPPPESQYGYPGMPPAPQGRAPYHQPPTRRLNPMAPPSRQGSELHEIIDKSRKEGDTEAWQFPVTLEPMPPGEGAQEGEPPTVEARYKSFSIKMLKDMKEGVKQYGPNSPYMRTLLDSIAYGHRLIPYDWEILAKSSLSPSQFLQFKTWWIDGVQEQVRRNRAANPPVNIDADQLLGIGQNWSTISQQALMQNEAIEQVRAICLRAWEKIQDPGSTCPSFNTVRQGSKEPYPDFVARLQDVAQKSIADEKAGKVIVELMAYENANPECQSAIKPLKGKVPAGSDVISEYVKACDGIGGAMHKAMLMAQAITGVVLGGQVRTFGGKCYNCGQIGHLKKNCPVLNKQNITIQATTTGREPPDLCPRCKKGKHWASQCRSKFDKNGQPLSGNEQRGQPQAPQQTGAFPIQPFVPQGFQGQQPPLSQVFQGISQLPQYNNCPSPQAAVQQ
+>DECOY_sp|P87889|GAK10_HUMAN Endogenous retrovirus group K member 10 Gag polyprotein OS=Homo sapiens OX=9606 GN=ERVK-10 PE=1 SV=4
+QQVAAQPSPCNNYQPLQSIGQFVQSLPPQQGQFGQPVFPQIPFAGTQQPAQPQGRQENGSLPQGNKDFKSRCQSAWHKGKKCRPCLDPPERGTTTAQITINQKNLVPCNKKLHGIQGCNYCKGGFTRVQGGLVVGTIAQAMLMAKHMAGGIGDCAKVYESIVDSGAPVKGKLPKIASQCEPNANEYAMLEVIVKGAKEDAISKQAVDQLRAVFDPYPEKSGQRVTNFSPCTSGPDQIKEWARLCIARVQEIAENQMLAQQSITSWNQGIGLLQDADINVPPNAARNRRVQEQVGDIWWTKFQLFQSPSLSSKALIEWDYPILRHGYAISDLLTRMYPSNPGYQKVGEKMDKLMKISFSKYRAEVTPPEGEQAGEGPPMPELTVPFQWAETDGEKRSKDIIEHLESGQRSPPAMPNLRRTPPQHYPARGQPAPPMGPYGYQSEPPPRYQLEALPWYQYAVQPQTKNEKVQKQPQLRVLVQGAPLPSTGRPKSESPGMLEPGKGELKLTEPYIVEQLQNYDVNQTSQAMVPEAVYECHLSETEKQSKKRTNENCDILCSGPADSVSISDEETQFPELAAKIIAWDNWVTLPIINGKRGAQKLEKGIRKWDKLDSTGQEPFWPCFQEIIQFLKILNKTSVKVGGRKLLIKIFSLYSAYKSKIKSKTQGM
+>sp|P22466|GALA_HUMAN Galanin peptides OS=Homo sapiens OX=9606 GN=GAL PE=1 SV=3
+MARGSALLLASLLLAAALSASAGLWSPAKEKRGWTLNSAGYLLGPHAVGNHRSFSDKNGLTSKRELRPEDDMKPGSFDRSIPENNIMRTIIEFLSFLHLKEAGALDRLLDLPAAASSEDIERS
+>DECOY_sp|P22466|GALA_HUMAN Galanin peptides OS=Homo sapiens OX=9606 GN=GAL PE=1 SV=3
+SREIDESSAAAPLDLLRDLAGAEKLHLFSLFEIITRMINNEPISRDFSGPKMDDEPRLERKSTLGNKDSFSRHNGVAHPGLLYGASNLTWGRKEKAPSWLGASASLAAALLLSALLLASGRAM
+>sp|P54803|GALC_HUMAN Galactocerebrosidase OS=Homo sapiens OX=9606 GN=GALC PE=1 SV=3
+MAEWLLSASWQRRAKAMTAAAGSAGRAAVPLLLCALLAPGGAYVLDDSDGLGREFDGIGAVSGGGATSRLLVNYPEPYRSQILDYLFKPNFGASLHILKVEIGGDGQTTDGTEPSHMHYALDENYFRGYEWWLMKEAKKRNPNITLIGLPWSFPGWLGKGFDWPYVNLQLTAYYVVTWIVGAKRYHDLDIDYIGIWNERSYNANYIKILRKMLNYQGLQRVKIIASDNLWESISASMLLDAELFKVVDVIGAHYPGTHSAKDAKLTGKKLWSSEDFSTLNSDMGAGCWGRILNQNYINGYMTSTIAWNLVASYYEQLPYGRCGLMTAQEPWSGHYVVESPVWVSAHTTQFTQPGWYYLKTVGHLEKGGSYVALTDGLGNLTIIIETMSHKHSKCIRPFLPYFNVSQQFATFVLKGSFSEIPELQVWYTKLGKTSERFLFKQLDSLWLLDSDGSFTLSLHEDELFTLTTLTTGRKGSYPLPPKSQPFPSTYKDDFNVDYPFFSEAPNFADQTGVFEYFTNIEDPGEHHFTLRQVLNQRPITWAADASNTISIIGDYNWTNLTIKCDVYIETPDTGGVFIAGRVNKGGILIRSARGIFFWIFANGSYRVTGDLAGWIIYALGRVEVTAKKWYTLTLTIKGHFTSGMLNDKSLWTDIPVNFPKNGWAAIGTHSFEFAQFDNFLVEATR
+>DECOY_sp|P54803|GALC_HUMAN Galactocerebrosidase OS=Homo sapiens OX=9606 GN=GALC PE=1 SV=3
+RTAEVLFNDFQAFEFSHTGIAAWGNKPFNVPIDTWLSKDNLMGSTFHGKITLTLTYWKKATVEVRGLAYIIWGALDGTVRYSGNAFIWFFIGRASRILIGGKNVRGAIFVGGTDPTEIYVDCKITLNTWNYDGIISITNSADAAWTIPRQNLVQRLTFHHEGPDEINTFYEFVGTQDAFNPAESFFPYDVNFDDKYTSPFPQSKPPLPYSGKRGTTLTTLTFLEDEHLSLTFSGDSDLLWLSDLQKFLFRESTKGLKTYWVQLEPIESFSGKLVFTAFQQSVNFYPLFPRICKSHKHSMTEIIITLNGLGDTLAVYSGGKELHGVTKLYYWGPQTFQTTHASVWVPSEVVYHGSWPEQATMLGCRGYPLQEYYSAVLNWAITSTMYGNIYNQNLIRGWCGAGMDSNLTSFDESSWLKKGTLKADKASHTGPYHAGIVDVVKFLEADLLMSASISEWLNDSAIIKVRQLGQYNLMKRLIKIYNANYSRENWIGIYDIDLDHYRKAGVIWTVVYYATLQLNVYPWDFGKGLWGPFSWPLGILTINPNRKKAEKMLWWEYGRFYNEDLAYHMHSPETGDTTQGDGGIEVKLIHLSAGFNPKFLYDLIQSRYPEPYNVLLRSTAGGGSVAGIGDFERGLGDSDDLVYAGGPALLACLLLPVAARGASGAAATMAKARRQWSASLLWEAM
+>sp|O60755|GALR3_HUMAN Galanin receptor type 3 OS=Homo sapiens OX=9606 GN=GALR3 PE=1 SV=1
+MADAQNISLDSPGSVGAVAVPVVFALIFLLGTVGNGLVLAVLLQPGPSAWQEPGSTTDLFILNLAVADLCFILCCVPFQATIYTLDAWLFGALVCKAVHLLIYLTMYASSFTLAAVSVDRYLAVRHPLRSRALRTPRNARAAVGLVWLLAALFSAPYLSYYGTVRYGALELCVPAWEDARRRALDVATFAAGYLLPVAVVSLAYGRTLRFLWAAVGPAGAAAAEARRRATGRAGRAMLAVAALYALCWGPHHALILCFWYGRFAFSPATYACRLASHCLAYANSCLNPLVYALASRHFRARFRRLWPCGRRRRHRARRALRRVRPASSGPPGCPGDARPSGRLLAGGGQGPEPREGPVHGGEAARGPE
+>DECOY_sp|O60755|GALR3_HUMAN Galanin receptor type 3 OS=Homo sapiens OX=9606 GN=GALR3 PE=1 SV=1
+EPGRAAEGGHVPGERPEPGQGGGALLRGSPRADGPCGPPGSSAPRVRRLARRARHRRRRGCPWLRRFRARFHRSALAYVLPNLCSNAYALCHSALRCAYTAPSFAFRGYWFCLILAHHPGWCLAYLAAVALMARGARGTARRRAEAAAAGAPGVAAWLFRLTRGYALSVVAVPLLYGAAFTAVDLARRRADEWAPVCLELAGYRVTGYYSLYPASFLAALLWVLGVAARANRPTRLARSRLPHRVALYRDVSVAALTFSSAYMTLYILLHVAKCVLAGFLWADLTYITAQFPVCCLIFCLDAVALNLIFLDTTSGPEQWASPGPQLLVALVLGNGVTGLLFILAFVVPVAVAGVSGPSDLSINQADAM
+>sp|Q8NCL4|GALT6_HUMAN Polypeptide N-acetylgalactosaminyltransferase 6 OS=Homo sapiens OX=9606 GN=GALNT6 PE=2 SV=2
+MRLLRRRHMPLRLAMVGCAFVLFLFLLHRDVSSREEATEKPWLKSLVSRKDHVLDLMLEAMNNLRDSMPKLQIRAPEAQQTLFSINQSCLPGFYTPAELKPFWERPPQDPNAPGADGKAFQKSKWTPLETQEKEEGYKKHCFNAFASDRISLQRSLGPDTRPPECVDQKFRRCPPLATTSVIIVFHNEAWSTLLRTVYSVLHTTPAILLKEIILVDDASTEEHLKEKLEQYVKQLQVVRVVRQEERKGLITARLLGASVAQAEVLTFLDAHCECFHGWLEPLLARIAEDKTVVVSPDIVTIDLNTFEFAKPVQRGRVHSRGNFDWSLTFGWETLPPHEKQRRKDETYPIKSPTFAGGLFSISKSYFEHIGTYDNQMEIWGGENVEMSFRVWQCGGQLEIIPCSVVGHVFRTKSPHTFPKGTSVIARNQVRLAEVWMDSYKKIFYRRNLQAAKMAQEKSFGDISERLQLREQLHCHNFSWYLHNVYPEMFVPDLTPTFYGAIKNLGTNQCLDVGENNRGGKPLIMYSCHGLGGNQYFEYTTQRDLRHNIAKQLCLHVSKGALGLGSCHFTGKNSQVPKDEEWELAQDQLIRNSGSGTCLTSQDKKPAMAPCNPSDPHQLWLFV
+>DECOY_sp|Q8NCL4|GALT6_HUMAN Polypeptide N-acetylgalactosaminyltransferase 6 OS=Homo sapiens OX=9606 GN=GALNT6 PE=2 SV=2
+VFLWLQHPDSPNCPAMAPKKDQSTLCTGSGSNRILQDQALEWEEDKPVQSNKGTFHCSGLGLAGKSVHLCLQKAINHRLDRQTTYEFYQNGGLGHCSYMILPKGGRNNEGVDLCQNTGLNKIAGYFTPTLDPVFMEPYVNHLYWSFNHCHLQERLQLRESIDGFSKEQAMKAAQLNRRYFIKKYSDMWVEALRVQNRAIVSTGKPFTHPSKTRFVHGVVSCPIIELQGGCQWVRFSMEVNEGGWIEMQNDYTGIHEFYSKSISFLGGAFTPSKIPYTEDKRRQKEHPPLTEWGFTLSWDFNGRSHVRGRQVPKAFEFTNLDITVIDPSVVVTKDEAIRALLPELWGHFCECHADLFTLVEAQAVSAGLLRATILGKREEQRVVRVVQLQKVYQELKEKLHEETSADDVLIIEKLLIAPTTHLVSYVTRLLTSWAENHFVIIVSTTALPPCRRFKQDVCEPPRTDPGLSRQLSIRDSAFANFCHKKYGEEKEQTELPTWKSKQFAKGDAGPANPDQPPREWFPKLEAPTYFGPLCSQNISFLTQQAEPARIQLKPMSDRLNNMAELMLDLVHDKRSVLSKLWPKETAEERSSVDRHLLFLFLVFACGVMALRLPMHRRRLLRM
+>sp|Q14C86|GAPD1_HUMAN GTPase-activating protein and VPS9 domain-containing protein 1 OS=Homo sapiens OX=9606 GN=GAPVD1 PE=1 SV=2
+MVKLDIHTLAHHLKQERLYVNSEKQLIQRLNADVLKTAEKLYRTAWIAKQQRINLDRLIITSAEASPAECCQHAKILEDTQFVDGYKQLGFQETAYGEFLSRLRENPRLIASSLVAGEKLNQENTQSVIYTVFTSLYGNCIMQEDESYLLQVLRYLIEFELKESDNPRRLLRRGTCAFSILFKLFSEGLFSAKLFLTATLHEPIMQLLVEDEDHLETDPNKLIERFSPSQQEKLFGEKGSDRFRQKVQEMVESNEAKLVALVNKFIGYLKQNTYCFPHSLRWIVSQMYKTLSCVDRLEVGEVRAMCTDLLLACFICPAVVNPEQYGIISDAPINEVARFNLMQVGRLLQQLAMTGSEEGDPRTKSSLGKFDKSCVAAFLDVVIGGRAVETPPLSSVNLLEGLSRTVVYITYSQLITLVNFMKSVMSGDQLREDRMALDNLLANLPPAKPGKSSSLEMTPYNTPQLSPATTPANKKNRLPIATRSRSRTNMLMDLHMDHEGSSQETIQEVQPEEVLVISLGTGPQLTPGMMSENEVLNMQLSDGGQGDVPVDENKLHGKPDKTLRFSLCSDNLEGISEGPSNRSNSVSSLDLEGESVSELGAGPSGSNGVEALQLLEHEQATTQDNLDDKLRKFEIRDMMGLTDDRDISETVSETWSTDVLGSDFDPNIDEDRLQEIAGAAAENMLGSLLCLPGSGSVLLDPCTGSTISETTSEAWSVEVLPSDSEAPDLKQEERLQELESCSGLGSTSDDTDVREVSSRPSTPGLSVVSGISATSEDIPNKIEDLRSECSSDFGGKDSVTSPDMDEITHGAHQLTSPPSQSESLLAMFDPLSSHEGASAVVRPKVHYARPSHPPPDPPILEGAVGGNEARLPNFGSHVLTPAEMEAFKQRHSYPERLVRSRSSDIVSSVRRPMSDPSWNRRPGNEERELPPAAAIGATSLVAAPHSSSSSPSKDSSRGETEERKDSDDEKSDRNRPWWRKRFVSAMPKAPIPFRKKEKQEKDKDDLGPDRFSTLTDDPSPRLSAQAQVAEDILDKYRNAIKRTSPSDGAMANYESTGDNHDRDLSSKLLYHSDKEVMGDGESAHDSPRDEALQNISADDLPDSASQAAHPQDSAFSYRDAKKKLRLALCSADSVAFPVLTHSTRNGLPDHTDPEDNEIVCFLKVQIAEAINLQDKNLMAQLQETMRCVCRFDNRTCRKLLASIAEDYRKRAPYIAYLTRCRQGLQTTQAHLERLLQRVLRDKEVANRYFTTVCVRLLLESKEKKIREFIQDFQKLTAADDKTAQVEDFLQFLYGAMAQDVIWQNASEEQLQDAQLAIERSVMNRIFKLAFYPNQDGDILRDQVLHEHIQRLSKVVTANHRALQIPEVYLREAPWPSAQSEIRTISAYKTPRDKVQCILRMCSTIMNLLSLANEDSVPGADDFVPVLVFVLIKANPPCLLSTVQYISSFYASCLSGEESYWWMQFTAAVEFIKTIDDRK
+>DECOY_sp|Q14C86|GAPD1_HUMAN GTPase-activating protein and VPS9 domain-containing protein 1 OS=Homo sapiens OX=9606 GN=GAPVD1 PE=1 SV=2
+KRDDITKIFEVAATFQMWWYSEEGSLCSAYFSSIYQVTSLLCPPNAKILVFVLVPVFDDAGPVSDENALSLLNMITSCMRLICQVKDRPTKYASITRIESQASPWPAERLYVEPIQLARHNATVVKSLRQIHEHLVQDRLIDGDQNPYFALKFIRNMVSREIALQADQLQEESANQWIVDQAMAGYLFQLFDEVQATKDDAATLKQFDQIFERIKKEKSELLLRVCVTTFYRNAVEKDRLVRQLLRELHAQTTQLGQRCRTLYAIYPARKRYDEAISALLKRCTRNDFRCVCRMTEQLQAMLNKDQLNIAEAIQVKLFCVIENDEPDTHDPLGNRTSHTLVPFAVSDASCLALRLKKKADRYSFASDQPHAAQSASDPLDDASINQLAEDRPSDHASEGDGMVEKDSHYLLKSSLDRDHNDGTSEYNAMAGDSPSTRKIANRYKDLIDEAVQAQASLRPSPDDTLTSFRDPGLDDKDKEQKEKKRFPIPAKPMASVFRKRWWPRNRDSKEDDSDKREETEGRSSDKSPSSSSSHPAAVLSTAGIAAAPPLEREENGPRRNWSPDSMPRRVSSVIDSSRSRVLREPYSHRQKFAEMEAPTLVHSGFNPLRAENGGVAGELIPPDPPPHSPRAYHVKPRVVASAGEHSSLPDFMALLSESQSPPSTLQHAGHTIEDMDPSTVSDKGGFDSSCESRLDEIKNPIDESTASIGSVVSLGPTSPRSSVERVDTDDSTSGLGSCSELEQLREEQKLDPAESDSPLVEVSWAESTTESITSGTCPDLLVSGSGPLCLLSGLMNEAAAGAIEQLRDEDINPDFDSGLVDTSWTESVTESIDRDDTLGMMDRIEFKRLKDDLNDQTTAQEHELLQLAEVGNSGSPGAGLESVSEGELDLSSVSNSRNSPGESIGELNDSCLSFRLTKDPKGHLKNEDVPVDGQGGDSLQMNLVENESMMGPTLQPGTGLSIVLVEEPQVEQITEQSSGEHDMHLDMLMNTRSRSRTAIPLRNKKNAPTTAPSLQPTNYPTMELSSSKGPKAPPLNALLNDLAMRDERLQDGSMVSKMFNVLTILQSYTIYVVTRSLGELLNVSSLPPTEVARGGIVVDLFAAVCSKDFKGLSSKTRPDGEESGTMALQQLLRGVQMLNFRAVENIPADSIIGYQEPNVVAPCIFCALLLDTCMARVEGVELRDVCSLTKYMQSVIWRLSHPFCYTNQKLYGIFKNVLAVLKAENSEVMEQVKQRFRDSGKEGFLKEQQSPSFREILKNPDTELHDEDEVLLQMIPEHLTATLFLKASFLGESFLKFLISFACTGRRLLRRPNDSEKLEFEILYRLVQLLYSEDEQMICNGYLSTFVTYIVSQTNEQNLKEGAVLSSAILRPNERLRSLFEGYATEQFGLQKYGDVFQTDELIKAHQCCEAPSAEASTIILRDLNIRQQKAIWATRYLKEATKLVDANLRQILQKESNVYLREQKLHHALTHIDLKVM
+>sp|Q5VVW2|GARL3_HUMAN GTPase-activating Rap/Ran-GAP domain-like protein 3 OS=Homo sapiens OX=9606 GN=GARNL3 PE=2 SV=2
+MVVDFCRRFVARSLCIILMKHFCSSSVSEDLGCRRGDFSRKHYGSVELLISSDADGAIQRAGRFRVENGSSDENATALPGTWRRTDVHLENPEYHTRWYFKYFLGQVHQNYIGNDAEKSPFFLSVTLSDQNNQRVPQYRAILWRKTGTQKICLPYSPTKTLSVKSILSAMNLDKFEKGPREIFHPEIQKDLLVLEEQEGSVNFKFGVLFAKDGQLTDDEMFSNEIGSEPFQKFLNLLGDTITLKGWTGYRGGLDTKNDTTGIHSVYTVYQGHEIMFHVSTMLPYSKENKQQVERKRHIGNDIVTIVFQEGEESSPAFKPSMIRSHFTHIFALVRYNQQNDNYRLKIFSEESVPLFGPPLPTPPVFTDHQEFRDFLLVKLINGEKATLETPTFAQKRRRTLDMLIRSLHQDLMPDLHKNMLNRRSFSDVLPESPKSARKKEEARQAEFVRIGQALKLKSIVRGDAPSSLAASGICKKEPWEPQCFCSNFPHEAVCADPWGQALLVSTDAGVLLVDDDLPSVPVFDRTLPVKQMHVLETLDLLVLRADKGKDARLFVFRLSALQKGLEGKQAGKSRSDCRENKLEKTKGCHLYAINTHHSRELRIVVAIRNKLLLITRKHNKPSGVTSTSLLSPLSESPVEEFQYIREICLSDSPMVMTLVDGPAEESDNLICVAYRHQFDVVNESTGEAFRLHHVEANRVNFVAAIDVYEDGEAGLLLCYNYSCIYKKVCPFNGGSFLVQPSASDFQFCWNQAPYAIVCAFPYLLAFTTDSMEIRLVVNGNLVHTAVVPQLQLVASRSDIYFTATAAVNEVSSGGSSKGASARNSPQTPPGRDTPVFPSSLGEGEIQSKNLYKIPLRNLVGRSIERPLKSPLVSKVITPPTPISVGLAAIPVTHSLSLSRMEIKEIASRTRRELLGLSDEGGPKSEGAPKAKSKPRKRLEESQGGPKPGAVRSSSSDRIPSGSLESASTSEANPEGHSASSDQDPVADREGSPVSGSSPFQLTAFSDEDIIDLK
+>DECOY_sp|Q5VVW2|GARL3_HUMAN GTPase-activating Rap/Ran-GAP domain-like protein 3 OS=Homo sapiens OX=9606 GN=GARNL3 PE=2 SV=2
+KLDIIDEDSFATLQFPSSGSVPSGERDAVPDQDSSASHGEPNAESTSASELSGSPIRDSSSSRVAGPKPGGQSEELRKRPKSKAKPAGESKPGGEDSLGLLERRTRSAIEKIEMRSLSLSHTVPIAALGVSIPTPPTIVKSVLPSKLPREISRGVLNRLPIKYLNKSQIEGEGLSSPFVPTDRGPPTQPSNRASAGKSSGGSSVENVAATATFYIDSRSAVLQLQPVVATHVLNGNVVLRIEMSDTTFALLYPFACVIAYPAQNWCFQFDSASPQVLFSGGNFPCVKKYICSYNYCLLLGAEGDEYVDIAAVFNVRNAEVHHLRFAEGTSENVVDFQHRYAVCILNDSEEAPGDVLTMVMPSDSLCIERIYQFEEVPSESLPSLLSTSTVGSPKNHKRTILLLKNRIAVVIRLERSHHTNIAYLHCGKTKELKNERCDSRSKGAQKGELGKQLASLRFVFLRADKGKDARLVLLDLTELVHMQKVPLTRDFVPVSPLDDDVLLVGADTSVLLAQGWPDACVAEHPFNSCFCQPEWPEKKCIGSAALSSPADGRVISKLKLAQGIRVFEAQRAEEKKRASKPSEPLVDSFSRRNLMNKHLDPMLDQHLSRILMDLTRRRKQAFTPTELTAKEGNILKVLLFDRFEQHDTFVPPTPLPPGFLPVSEESFIKLRYNDNQQNYRVLAFIHTFHSRIMSPKFAPSSEEGEQFVITVIDNGIHRKREVQQKNEKSYPLMTSVHFMIEHGQYVTYVSHIGTTDNKTDLGGRYGTWGKLTITDGLLNLFKQFPESGIENSFMEDDTLQGDKAFLVGFKFNVSGEQEELVLLDKQIEPHFIERPGKEFKDLNMASLISKVSLTKTPSYPLCIKQTGTKRWLIARYQPVRQNNQDSLTVSLFFPSKEADNGIYNQHVQGLFYKFYWRTHYEPNELHVDTRRWTGPLATANEDSSGNEVRFRGARQIAGDADSSILLEVSGYHKRSFDGRRCGLDESVSSSCFHKMLIICLSRAVFRRCFDVVM
+>sp|P41250|GARS_HUMAN Glycine--tRNA ligase OS=Homo sapiens OX=9606 GN=GARS PE=1 SV=3
+MPSPRPVLLRGARAALLLLLPPRLLARPSLLLRRSLSAASCPPISLPAAASRSSMDGAGAEEVLAPLRLAVRQQGDLVRKLKEDKAPQVDVDKAVAELKARKRVLEAKELALQPKDDIVDRAKMEDTLKRRFFYDQAFAIYGGVSGLYDFGPVGCALKNNIIQTWRQHFIQEEQILEIDCTMLTPEPVLKTSGHVDKFADFMVKDVKNGECFRADHLLKAHLQKLMSDKKCSVEKKSEMESVLAQLDNYGQQELADLFVNYNVKSPITGNDLSPPVSFNLMFKTFIGPGGNMPGYLRPETAQGIFLNFKRLLEFNQGKLPFAAAQIGNSFRNEISPRSGLIRVREFTMAEIEHFVDPSEKDHPKFQNVADLHLYLYSAKAQVSGQSARKMRLGDAVEQGVINNTVLGYFIGRIYLYLTKVGISPDKLRFRQHMENEMAHYACDCWDAESKTSYGWIEIVGCADRSCYDLSCHARATKVPLVAEKPLKEPKTVNVVQFEPSKGAIGKAYKKDAKLVMEYLAICDECYITEMEMLLNEKGEFTIETEGKTFQLTKDMINVKRFQKTLYVEEVVPNVIEPSFGLGRIMYTVFEHTFHVREGDEQRTFFSFPAVVAPFKCSVLPLSQNQEFMPFVKELSEALTRHGVSHKVDDSSGSIGRRYARTDEIGVAFGVTIDFDTVNKTPHTATLRDRDSMRQIRAEISELPSIVQDLANGNITWADVEARYPLFEGQETGKKETIEE
+>DECOY_sp|P41250|GARS_HUMAN Glycine--tRNA ligase OS=Homo sapiens OX=9606 GN=GARS PE=1 SV=3
+EEITEKKGTEQGEFLPYRAEVDAWTINGNALDQVISPLESIEARIQRMSDRDRLTATHPTKNVTDFDITVGFAVGIEDTRAYRRGISGSSDDVKHSVGHRTLAESLEKVFPMFEQNQSLPLVSCKFPAVVAPFSFFTRQEDGERVHFTHEFVTYMIRGLGFSPEIVNPVVEEVYLTKQFRKVNIMDKTLQFTKGETEITFEGKENLLMEMETIYCEDCIALYEMVLKADKKYAKGIAGKSPEFQVVNVTKPEKLPKEAVLPVKTARAHCSLDYCSRDACGVIEIWGYSTKSEADWCDCAYHAMENEMHQRFRLKDPSIGVKTLYLYIRGIFYGLVTNNIVGQEVADGLRMKRASQGSVQAKASYLYLHLDAVNQFKPHDKESPDVFHEIEAMTFERVRILGSRPSIENRFSNGIQAAAFPLKGQNFELLRKFNLFIGQATEPRLYGPMNGGPGIFTKFMLNFSVPPSLDNGTIPSKVNYNVFLDALEQQGYNDLQALVSEMESKKEVSCKKDSMLKQLHAKLLHDARFCEGNKVDKVMFDAFKDVHGSTKLVPEPTLMTCDIELIQEEQIFHQRWTQIINNKLACGVPGFDYLGSVGGYIAFAQDYFFRRKLTDEMKARDVIDDKPQLALEKAELVRKRAKLEAVAKDVDVQPAKDEKLKRVLDGQQRVALRLPALVEEAGAGDMSSRSAAAPLSIPPCSAASLSRRLLLSPRALLRPPLLLLLAARAGRLLVPRPSPM
+>sp|Q14393|GAS6_HUMAN Growth arrest-specific protein 6 OS=Homo sapiens OX=9606 GN=GAS6 PE=1 SV=2
+MAPSLSPGPAALRRAPQLLLLLLAAECALAALLPAREATQFLRPRQRRAFQVFEEAKQGHLERECVEELCSREEAREVFENDPETDYFYPRYLDCINKYGSPYTKNSGFATCVQNLPDQCTPNPCDRKGTQACQDLMGNFFCLCKAGWGGRLCDKDVNECSQENGGCLQICHNKPGSFHCSCHSGFELSSDGRTCQDIDECADSEACGEARCKNLPGSYSCLCDEGFAYSSQEKACRDVDECLQGRCEQVCVNSPGSYTCHCDGRGGLKLSQDMDTCELEAGWPCPRHRRDGSPAARPGRGAQGSRSEGHIPDRRGPRPWQDILPCVPFSVAKSVKSLYLGRMFSGTPVIRLRFKRLQPTRLVAEFDFRTFDPEGILLFAGGHQDSTWIVLALRAGRLELQLRYNGVGRVTSSGPVINHGMWQTISVEELARNLVIKVNRDAVMKIAVAGDLFQPERGLYHLNLTVGGIPFHEKDLVQPINPRLDGCMRSWNWLNGEDTTIQETVKVNTRMQCFSVTERGSFYPGSGFAFYSLDYMRTPLDVGTESTWEVEVVAHIRPAADTGVLFALWAPDLRAVPLSVALVDYHSTKKLKKQLVVLAVEHTALALMEIKVCDGQEHVVTVSLRDGEATLEVDGTRGQSEVSAAQLQERLAVLERHLRSPVLTFAGGLPDVPVTSAPVTAFYRGCMTLEVNRRLLDLDEAAYKHSDITAHSCPPVEPAAA
+>DECOY_sp|Q14393|GAS6_HUMAN Growth arrest-specific protein 6 OS=Homo sapiens OX=9606 GN=GAS6 PE=1 SV=2
+AAAPEVPPCSHATIDSHKYAAEDLDLLRRNVELTMCGRYFATVPASTVPVDPLGGAFTLVPSRLHRELVALREQLQAASVESQGRTGDVELTAEGDRLSVTVVHEQGDCVKIEMLALATHEVALVVLQKKLKKTSHYDVLAVSLPVARLDPAWLAFLVGTDAAPRIHAVVEVEWTSETGVDLPTRMYDLSYFAFGSGPYFSGRETVSFCQMRTNVKVTEQITTDEGNLWNWSRMCGDLRPNIPQVLDKEHFPIGGVTLNLHYLGREPQFLDGAVAIKMVADRNVKIVLNRALEEVSITQWMGHNIVPGSSTVRGVGNYRLQLELRGARLALVIWTSDQHGGAFLLIGEPDFTRFDFEAVLRTPQLRKFRLRIVPTGSFMRGLYLSKVSKAVSFPVCPLIDQWPRPGRRDPIHGESRSGQAGRGPRAAPSGDRRHRPCPWGAELECTDMDQSLKLGGRGDCHCTYSGPSNVCVQECRGQLCEDVDRCAKEQSSYAFGEDCLCSYSGPLNKCRAEGCAESDACEDIDQCTRGDSSLEFGSHCSCHFSGPKNHCIQLCGGNEQSCENVDKDCLRGGWGAKCLCFFNGMLDQCAQTGKRDCPNPTCQDPLNQVCTAFGSNKTYPSGYKNICDLYRPYFYDTEPDNEFVERAEERSCLEEVCERELHGQKAEEFVQFARRQRPRLFQTAERAPLLAALACEAALLLLLLQPARRLAAPGPSLSPAM
+>sp|P50440|GATM_HUMAN Glycine amidinotransferase, mitochondrial OS=Homo sapiens OX=9606 GN=GATM PE=1 SV=1
+MLRVRCLRGGSRGAEAVHYIGSRLGRTLTGWVQRTFQSTQAATASSRNSCAADDKATEPLPKDCPVSSYNEWDPLEEVIVGRAENACVPPFTIEVKANTYEKYWPFYQKQGGHYFPKDHLKKAVAEIEEMCNILKTEGVTVRRPDPIDWSLKYKTPDFESTGLYSAMPRDILIVVGNEIIEAPMAWRSRFFEYRAYRSIIKDYFHRGAKWTTAPKPTMADELYNQDYPIHSVEDRHKLAAQGKFVTTEFEPCFDAADFIRAGRDIFAQRSQVTNYLGIEWMRRHLAPDYRVHIISFKDPNPMHIDATFNIIGPGIVLSNPDRPCHQIDLFKKAGWTIITPPTPIIPDDHPLWMSSKWLSMNVLMLDEKRVMVDANEVPIQKMFEKLGITTIKVNIRNANSLGGGFHCWTCDVRRRGTLQSYLD
+>DECOY_sp|P50440|GATM_HUMAN Glycine amidinotransferase, mitochondrial OS=Homo sapiens OX=9606 GN=GATM PE=1 SV=1
+DLYSQLTGRRRVDCTWCHFGGGLSNANRINVKITTIGLKEFMKQIPVENADVMVRKEDLMLVNMSLWKSSMWLPHDDPIIPTPPTIITWGAKKFLDIQHCPRDPNSLVIGPGIINFTADIHMPNPDKFSIIHVRYDPALHRRMWEIGLYNTVQSRQAFIDRGARIFDAADFCPEFETTVFKGQAALKHRDEVSHIPYDQNYLEDAMTPKPATTWKAGRHFYDKIISRYARYEFFRSRWAMPAEIIENGVVILIDRPMASYLGTSEFDPTKYKLSWDIPDPRRVTVGETKLINCMEEIEAVAKKLHDKPFYHGGQKQYFPWYKEYTNAKVEITFPPVCANEARGVIVEELPDWENYSSVPCDKPLPETAKDDAACSNRSSATAAQTSQFTRQVWGTLTRGLRSGIYHVAEAGRSGGRLCRVRLM
+>sp|Q9UBI6|GBG12_HUMAN Guanine nucleotide-binding protein G(I)/G(S)/G(O) subunit gamma-12 OS=Homo sapiens OX=9606 GN=GNG12 PE=1 SV=3
+MSSKTASTNNIAQARRTVQQLRLEASIERIKVSKASADLMSYCEEHARSDPLLIGIPTSENPFKDKKTCIIL
+>DECOY_sp|Q9UBI6|GBG12_HUMAN Guanine nucleotide-binding protein G(I)/G(S)/G(O) subunit gamma-12 OS=Homo sapiens OX=9606 GN=GNG12 PE=1 SV=3
+LIICTKKDKFPNESTPIGILLPDSRAHEECYSMLDASAKSVKIREISAELRLQQVTRRAQAINNTSATKSSM
+>sp|Q9H0R5|GBP3_HUMAN Guanylate-binding protein 3 OS=Homo sapiens OX=9606 GN=GBP3 PE=1 SV=3
+MAPEIHMTGPMCLIENTNGELVANPEALKILSAITQPVVVVAIVGLYRTGKSYLMNKLAGKNKGFSLGSTVKSHTKGIWMWCVPHPKKPEHTLVLLDTEGLGDVKKGDNQNDSWIFTLAVLLSSTLVYNSMGTINQQAMDQLYYVTELTHRIRSKSSPDENENEDSADFVSFFPDFVWTLRDFSLDLEADGQPLTPDEYLEYSLKLTQGTSQKDKNFNLPRLCIRKFFPKKKCFVFDLPIHRRKLAQLEKLQDEELDPEFVQQVADFCSYIFSNSKTKTLSGGIKVNGPRLESLVLTYINAISRGDLPCMENAVLALAQIENSAAVQKAIAHYDQQMGQKVQLPAETLQELLDLHRVSEREATEVYMKNSFKDVDHLFQKKLAAQLDKKRDDFCKQNQEASSDRCSALLQVIFSPLEEEVKAGIYSKPGGYCLFIQKLQDLEKKYYEEPRKGIQAEEILQTYLKSKESVTDAILQTDQILTEKEKEIEVECVKAESAQASAKMVEEMQIKYQQMMEEKEKSYQEHVKQLTEKMERERAQLLEEQEKTLTSKLQEQARVLKERCQGESTQLQNEIQKLQKTLKKKTKRYMSHKLKI
+>DECOY_sp|Q9H0R5|GBP3_HUMAN Guanylate-binding protein 3 OS=Homo sapiens OX=9606 GN=GBP3 PE=1 SV=3
+IKLKHSMYRKTKKKLTKQLKQIENQLQTSEGQCREKLVRAQEQLKSTLTKEQEELLQAREREMKETLQKVHEQYSKEKEEMMQQYKIQMEEVMKASAQASEAKVCEVEIEKEKETLIQDTQLIADTVSEKSKLYTQLIEEAQIGKRPEEYYKKELDQLKQIFLCYGGPKSYIGAKVEEELPSFIVQLLASCRDSSAEQNQKCFDDRKKDLQAALKKQFLHDVDKFSNKMYVETAERESVRHLDLLEQLTEAPLQVKQGMQQDYHAIAKQVAASNEIQALALVANEMCPLDGRSIANIYTLVLSELRPGNVKIGGSLTKTKSNSFIYSCFDAVQQVFEPDLEEDQLKELQALKRRHIPLDFVFCKKKPFFKRICLRPLNFNKDKQSTGQTLKLSYELYEDPTLPQGDAELDLSFDRLTWVFDPFFSVFDASDENENEDPSSKSRIRHTLETVYYLQDMAQQNITGMSNYVLTSSLLVALTFIWSDNQNDGKKVDGLGETDLLVLTHEPKKPHPVCWMWIGKTHSKVTSGLSFGKNKGALKNMLYSKGTRYLGVIAVVVVPQTIASLIKLAEPNAVLEGNTNEILCMPGTMHIEPAM
+>sp|P34903|GBRA3_HUMAN Gamma-aminobutyric acid receptor subunit alpha-3 OS=Homo sapiens OX=9606 GN=GABRA3 PE=1 SV=1
+MIITQTSHCYMTSLGILFLINILPGTTGQGESRRQEPGDFVKQDIGGLSPKHAPDIPDDSTDNITIFTRILDRLLDGYDNRLRPGLGDAVTEVKTDIYVTSFGPVSDTDMEYTIDVFFRQTWHDERLKFDGPMKILPLNNLLASKIWTPDTFFHNGKKSVAHNMTTPNKLLRLVDNGTLLYTMRLTIHAECPMHLEDFPMDVHACPLKFGSYAYTTAEVVYSWTLGKNKSVEVAQDGSRLNQYDLLGHVVGTEIIRSSTGEYVVMTTHFHLKRKIGYFVIQTYLPCIMTVILSQVSFWLNRESVPARTVFGVTTVLTMTTLSISARNSLPKVAYATAMDWFIAVCYAFVFSALIEFATVNYFTKRSWAWEGKKVPEALEMKKKTPAAPAKKTSTTFNIVGTTYPINLAKDTEFSTISKGAAPSASSTPTIIASPKATYVQDSPTETKTYNSVSKVDKISRIIFPVLFAIFNLVYWATYVNRESAIKGMIRKQ
+>DECOY_sp|P34903|GBRA3_HUMAN Gamma-aminobutyric acid receptor subunit alpha-3 OS=Homo sapiens OX=9606 GN=GABRA3 PE=1 SV=1
+QKRIMGKIASERNVYTAWYVLNFIAFLVPFIIRSIKDVKSVSNYTKTETPSDQVYTAKPSAIITPTSSASPAAGKSITSFETDKALNIPYTTGVINFTTSTKKAPAAPTKKKMELAEPVKKGEWAWSRKTFYNVTAFEILASFVFAYCVAIFWDMATAYAVKPLSNRASISLTTMTLVTTVGFVTRAPVSERNLWFSVQSLIVTMICPLYTQIVFYGIKRKLHFHTTMVVYEGTSSRIIETGVVHGLLDYQNLRSGDQAVEVSKNKGLTWSYVVEATTYAYSGFKLPCAHVDMPFDELHMPCEAHITLRMTYLLTGNDVLRLLKNPTTMNHAVSKKGNHFFTDPTWIKSALLNNLPLIKMPGDFKLREDHWTQRFFVDITYEMDTDSVPGFSTVYIDTKVETVADGLGPRLRNDYGDLLRDLIRTFITINDTSDDPIDPAHKPSLGGIDQKVFDGPEQRRSEGQGTTGPLINILFLIGLSTMYCHSTQTIIM
+>sp|P48169|GBRA4_HUMAN Gamma-aminobutyric acid receptor subunit alpha-4 OS=Homo sapiens OX=9606 GN=GABRA4 PE=2 SV=2
+MVSAKKVPAIALSAGVSFALLRFLCLAVCLNESPGQNQKEEKLCTENFTRILDSLLDGYDNRLRPGFGGPVTEVKTDIYVTSFGPVSDVEMEYTMDVFFRQTWIDKRLKYDGPIEILRLNNMMVTKVWTPDTFFRNGKKSVSHNMTAPNKLFRIMRNGTILYTMRLTISAECPMRLVDFPMDGHACPLKFGSYAYPKSEMIYTWTKGPEKSVEVPKESSSLVQYDLIGQTVSSETIKSITGEYIVMTVYFHLRRKMGYFMIQTYIPCIMTVILSQVSFWINKESVPARTVFGITTVLTMTTLSISARHSLPKVSYATAMDWFIAVCFAFVFSALIEFAAVNYFTNIQMEKAKRKTSKPPQEVPAAPVQREKHPEAPLQNTNANLNMRKRTNALVHSESDVGNRTEVGNHSSKSSTVVQESSKGTPRSYLASSPNPFSRANAAETISAARALPSASPTSIRTGYMPRKASVGSASTRHVFGSRLQRIKTTVNTIGATGKLSATPPPSAPPPSGSGTSKIDKYARILFPVTFGAFNMVYWVVYLSKDTMEKSESLM
+>DECOY_sp|P48169|GBRA4_HUMAN Gamma-aminobutyric acid receptor subunit alpha-4 OS=Homo sapiens OX=9606 GN=GABRA4 PE=2 SV=2
+MLSESKEMTDKSLYVVWYVMNFAGFTVPFLIRAYKDIKSTGSGSPPPASPPPTASLKGTAGITNVTTKIRQLRSGFVHRTSASGVSAKRPMYGTRISTPSASPLARAASITEAANARSFPNPSSALYSRPTGKSSEQVVTSSKSSHNGVETRNGVDSESHVLANTRKRMNLNANTNQLPAEPHKERQVPAAPVEQPPKSTKRKAKEMQINTFYNVAAFEILASFVFAFCVAIFWDMATAYSVKPLSHRASISLTTMTLVTTIGFVTRAPVSEKNIWFSVQSLIVTMICPIYTQIMFYGMKRRLHFYVTMVIYEGTISKITESSVTQGILDYQVLSSSEKPVEVSKEPGKTWTYIMESKPYAYSGFKLPCAHGDMPFDVLRMPCEASITLRMTYLITGNRMIRFLKNPATMNHSVSKKGNRFFTDPTWVKTVMMNNLRLIEIPGDYKLRKDIWTQRFFVDMTYEMEVDSVPGFSTVYIDTKVETVPGGFGPRLRNDYGDLLSDLIRTFNETCLKEEKQNQGPSENLCVALCLFRLLAFSVGASLAIAPVKKASVM
+>sp|O95166|GBRAP_HUMAN Gamma-aminobutyric acid receptor-associated protein OS=Homo sapiens OX=9606 GN=GABARAP PE=1 SV=1
+MKFVYKEEHPFEKRRSEGEKIRKKYPDRVPVIVEKAPKARIGDLDKKKYLVPSDLTVGQFYFLIRKRIHLRAEDALFFFVNNVIPPTSATMGQLYQEHHEEDFFLYIAYSDESVYGL
+>DECOY_sp|O95166|GBRAP_HUMAN Gamma-aminobutyric acid receptor-associated protein OS=Homo sapiens OX=9606 GN=GABARAP PE=1 SV=1
+LGYVSEDSYAIYLFFDEEHHEQYLQGMTASTPPIVNNVFFFLADEARLHIRKRILFYFQGVTLDSPVLYKKKDLDGIRAKPAKEVIVPVRDPYKKRIKEGESRRKEFPHEEKYVFKM
+>sp|O00591|GBRP_HUMAN Gamma-aminobutyric acid receptor subunit pi OS=Homo sapiens OX=9606 GN=GABRP PE=2 SV=1
+MNYSLHLAFVCLSLFTERMCIQGSQFNVEVGRSDKLSLPGFENLTAGYNKFLRPNFGGEPVQIALTLDIASISSISESNMDYTATIYLRQRWMDQRLVFEGNKSFTLDARLVEFLWVPDTYIVESKKSFLHEVTVGNRLIRLFSNGTVLYALRITTTVACNMDLSKYPMDTQTCKLQLESWGYDGNDVEFTWLRGNDSVRGLEHLRLAQYTIERYFTLVTRSQQETGNYTRLVLQFELRRNVLYFILETYVPSTFLVVLSWVSFWISLDSVPARTCIGVTTVLSMTTLMIGSRTSLPNTNCFIKAIDVYLGICFSFVFGALLEYAVAHYSSLQQMAAKDRGTTKEVEEVSITNIINSSISSFKRKISFASIEISSDNVDYSDLTMKTSDKFKFVFREKMGRIVDYFTIQNPSNVDHYSKLLFPLIFMLANVFYWAYYMYF
+>DECOY_sp|O00591|GBRP_HUMAN Gamma-aminobutyric acid receptor subunit pi OS=Homo sapiens OX=9606 GN=GABRP PE=2 SV=1
+FYMYYAWYFVNALMFILPFLLKSYHDVNSPNQITFYDVIRGMKERFVFKFKDSTKMTLDSYDVNDSSIEISAFSIKRKFSSISSNIINTISVEEVEKTTGRDKAAMQQLSSYHAVAYELLAGFVFSFCIGLYVDIAKIFCNTNPLSTRSGIMLTTMSLVTTVGICTRAPVSDLSIWFSVWSLVVLFTSPVYTELIFYLVNRRLEFQLVLRTYNGTEQQSRTVLTFYREITYQALRLHELGRVSDNGRLWTFEVDNGDYGWSELQLKCTQTDMPYKSLDMNCAVTTTIRLAYLVTGNSFLRILRNGVTVEHLFSKKSEVIYTDPVWLFEVLRADLTFSKNGEFVLRQDMWRQRLYITATYDMNSESISSISAIDLTLAIQVPEGGFNPRLFKNYGATLNEFGPLSLKDSRGVEVNFQSGQICMRETFLSLCVFALHLSYNM
+>sp|Q14397|GCKR_HUMAN Glucokinase regulatory protein OS=Homo sapiens OX=9606 GN=GCKR PE=1 SV=6
+MPGTKRFQHVIETPEPGKWELSGYEAAVPITEKSNPLTQDLDKADAENIVRLLGQCDAEIFQEEGQALSTYQRLYSESILTTMVQVAGKVQEVLKEPDGGLVVLSGGGTSGRMAFLMSVSFNQLMKGLGQKPLYTYLIAGGDRSVVASREGTEDSALHGIEELKKVAAGKKRVIVIGISVGLSAPFVAGQMDCCMNNTAVFLPVLVGFNPVSMARNDPIEDWSSTFRQVAERMQKMQEKQKAFVLNPAIGPEGLSGSSRMKGGSATKILLETLLLAAHKTVDQGIAASQRCLLEILRTFERAHQVTYSQSPKIATLMKSVSTSLEKKGHVYLVGWQTLGIIAIMDGVECIHTFGADFRDVRGFLIGDHSDMFNQKAELTNQGPQFTFSQEDFLTSILPSLTEIDTVVFIFTLDDNLTEVQTIVEQVKEKTNHIQALAHSTVGQTLPIPLKKLFPSIISITWPLLFFEYEGNFIQKFQRELSTKWVLNTVSTGAHVLLGKILQNHMLDLRISNSKLFWRALAMLQRFSGQSKARCIESLLRAIHFPQPLSDDIRAAPISCHVQVAHEKEQVIPIALLSLLFRCSITEAQAHLAAAPSVCEAVRSALAGPGQKRTADPLEILEPDVQ
+>DECOY_sp|Q14397|GCKR_HUMAN Glucokinase regulatory protein OS=Homo sapiens OX=9606 GN=GCKR PE=1 SV=6
+QVDPELIELPDATRKQGPGALASRVAECVSPAAALHAQAETISCRFLLSLLAIPIVQEKEHAVQVHCSIPAARIDDSLPQPFHIARLLSEICRAKSQGSFRQLMALARWFLKSNSIRLDLMHNQLIKGLLVHAGTSVTNLVWKTSLERQFKQIFNGEYEFFLLPWTISIISPFLKKLPIPLTQGVTSHALAQIHNTKEKVQEVITQVETLNDDLTFIFVVTDIETLSPLISTLFDEQSFTFQPGQNTLEAKQNFMDSHDGILFGRVDRFDAGFTHICEVGDMIAIIGLTQWGVLYVHGKKELSTSVSKMLTAIKPSQSYTVQHAREFTRLIELLCRQSAAIGQDVTKHAALLLTELLIKTASGGKMRSSGSLGEPGIAPNLVFAKQKEQMKQMREAVQRFTSSWDEIPDNRAMSVPNFGVLVPLFVATNNMCCDMQGAVFPASLGVSIGIVIVRKKGAAVKKLEEIGHLASDETGERSAVVSRDGGAILYTYLPKQGLGKMLQNFSVSMLFAMRGSTGGGSLVVLGGDPEKLVEQVKGAVQVMTTLISESYLRQYTSLAQGEEQFIEADCQGLLRVINEADAKDLDQTLPNSKETIPVAAEYGSLEWKGPEPTEIVHQFRKTGPM
+>sp|O95395|GCNT3_HUMAN Beta-1,3-galactosyl-O-glycosyl-glycoprotein beta-1,6-N-acetylglucosaminyltransferase 3 OS=Homo sapiens OX=9606 GN=GCNT3 PE=2 SV=1
+MVQWKRLCQLHYLWALGCYMLLATVALKLSFRLKCDSDHLGLESRESQSQYCRNILYNFLKLPAKRSINCSGVTRGDQEAVLQAILNNLEVKKKREPFTDTHYLSLTRDCEHFKAERKFIQFPLSKEEVEFPIAYSMVIHEKIENFERLLRAVYAPQNIYCVHVDEKSPETFKEAVKAIISCFPNVFIASKLVRVVYASWSRVQADLNCMEDLLQSSVPWKYFLNTCGTDFPIKSNAEMVQALKMLNGRNSMESEVPPKHKETRWKYHFEVVRDTLHLTNKKKDPPPYNLTMFTGNAYIVASRDFVQHVLKNPKSQQLIEWVKDTYSPDEHLWATLQRARWMPGSVPNHPKYDISDMTSIARLVKWQGHEGDIDKGAPYAPCSGIHQRAICVYGAGDLNWMLQNHHLLANKFDPKVDDNALQCLEEYLRYKAIYGTEL
+>DECOY_sp|O95395|GCNT3_HUMAN Beta-1,3-galactosyl-O-glycosyl-glycoprotein beta-1,6-N-acetylglucosaminyltransferase 3 OS=Homo sapiens OX=9606 GN=GCNT3 PE=2 SV=1
+LETGYIAKYRLYEELCQLANDDVKPDFKNALLHHNQLMWNLDGAGYVCIARQHIGSCPAYPAGKDIDGEHGQWKVLRAISTMDSIDYKPHNPVSGPMWRARQLTAWLHEDPSYTDKVWEILQQSKPNKLVHQVFDRSAVIYANGTFMTLNYPPPDKKKNTLHLTDRVVEFHYKWRTEKHKPPVESEMSNRGNLMKLAQVMEANSKIPFDTGCTNLFYKWPVSSQLLDEMCNLDAQVRSWSAYVVRVLKSAIFVNPFCSIIAKVAEKFTEPSKEDVHVCYINQPAYVARLLREFNEIKEHIVMSYAIPFEVEEKSLPFQIFKREAKFHECDRTLSLYHTDTFPERKKKVELNNLIAQLVAEQDGRTVGSCNISRKAPLKLFNYLINRCYQSQSERSELGLHDSDCKLRFSLKLAVTALLMYCGLAWLYHLQCLRKWQVM
+>sp|Q96CW5|GCP3_HUMAN Gamma-tubulin complex component 3 OS=Homo sapiens OX=9606 GN=TUBGCP3 PE=1 SV=2
+MATPDQKSPNVLLQNLCCRILGRSEADVAQQFQYAVRVIGSNFAPTVERDEFLVAEKIKKELIRQRREADAALFSELHRKLHSQGVLKNKWSILYLLLSLSEDPRRQPSKVSSYATLFAQALPRDAHSTPYYYARPQTLPLSYQDRSAQSAQSSGSVGSSGISSIGLCALSGPAPAPQSLLPGQSNQAPGVGDCLRQQLGSRLAWTLTANQPSSQATTSKGVPSAVSRNMTRSRREGDTGGTMEITEAALVRDILYVFQGIDGKNIKMNNTENCYKVEGKANLSRSLRDTAVRLSELGWLHNKIRRYTDQRSLDRSFGLVGQSFCAALHQELREYYRLLSVLHSQLQLEDDQGVNLGLESSLTLRRLLVWTYDPKIRLKTLAALVDHCQGRKGGELASAVHAYTKTGDPYMRSLVQHILSLVSHPVLSFLYRWIYDGELEDTYHEFFVASDPTVKTDRLWHDKYTLRKSMIPSFMTMDQSRKVLLIGKSINFLHQVCHDQTPTTKMIAVTKSAESPQDAADLFTDLENAFQGKIDAAYFETSKYLLDVLNKKYSLLDHMQAMRRYLLLGQGDFIRHLMDLLKPELVRPATTLYQHNLTGILETAVRATNAQFDSPEILRRLDVRLLEVSPGDTGWDVFSLDYHVDGPIATVFTRECMSHYLRVFNFLWRAKRMEYILTDIRKGHMCNAKLLRNMPEFSGVLHQCHILASEMVHFIHQMQYYITFEVLECSWDELWNKVQQAQDLDHIIAAHEVFLDTIISRCLLDSDSRALLNQLRAVFDQIIELQNAQDAIYRAALEELQRRLQFEEKKKQREIEGQWGVTAAEEEEENKRIGEFKESIPKMCSQLRILTHFYQGIVQQFLVLLTTSSDESLRFLSFRLDFNEHYKAREPRLRVSLGTRGRRSSHT
+>DECOY_sp|Q96CW5|GCP3_HUMAN Gamma-tubulin complex component 3 OS=Homo sapiens OX=9606 GN=TUBGCP3 PE=1 SV=2
+THSSRRGRTGLSVRLRPERAKYHENFDLRFSLFRLSEDSSTTLLVLFQQVIGQYFHTLIRLQSCMKPISEKFEGIRKNEEEEEAATVGWQGEIERQKKKEEFQLRRQLEELAARYIADQANQLEIIQDFVARLQNLLARSDSDLLCRSIITDLFVEHAAIIHDLDQAQQVKNWLEDWSCELVEFTIYYQMQHIFHVMESALIHCQHLVGSFEPMNRLLKANCMHGKRIDTLIYEMRKARWLFNFVRLYHSMCERTFVTAIPGDVHYDLSFVDWGTDGPSVELLRVDLRRLIEPSDFQANTARVATELIGTLNHQYLTTAPRVLEPKLLDMLHRIFDGQGLLLYRRMAQMHDLLSYKKNLVDLLYKSTEFYAADIKGQFANELDTFLDAADQPSEASKTVAIMKTTPTQDHCVQHLFNISKGILLVKRSQDMTMFSPIMSKRLTYKDHWLRDTKVTPDSAVFFEHYTDELEGDYIWRYLFSLVPHSVLSLIHQVLSRMYPDGTKTYAHVASALEGGKRGQCHDVLAALTKLRIKPDYTWVLLRRLTLSSELGLNVGQDDELQLQSHLVSLLRYYERLEQHLAACFSQGVLGFSRDLSRQDTYRRIKNHLWGLESLRVATDRLSRSLNAKGEVKYCNETNNMKINKGDIGQFVYLIDRVLAAETIEMTGGTDGERRSRTMNRSVASPVGKSTTAQSSPQNATLTWALRSGLQQRLCDGVGPAQNSQGPLLSQPAPAPGSLACLGISSIGSSGVSGSSQASQASRDQYSLPLTQPRAYYYPTSHADRPLAQAFLTAYSSVKSPQRRPDESLSLLLYLISWKNKLVGQSHLKRHLESFLAADAERRQRILEKKIKEAVLFEDREVTPAFNSGIVRVAYQFQQAVDAESRGLIRCCLNQLLVNPSKQDPTAM
+>sp|Q9H3P7|GCP60_HUMAN Golgi resident protein GCP60 OS=Homo sapiens OX=9606 GN=ACBD3 PE=1 SV=4
+MAAVLNAERLEVSVDGLTLSPDPEERPGAEGAPLLPPPLPPPSPPGSGRGPGASGEQPEPGEAAAGGAAEEARRLEQRWGFGLEELYGLALRFFKEKDGKAFHPTYEEKLKLVALHKQVLMGPYNPDTCPEVGFFDVLGNDRRREWAALGNMSKEDAMVEFVKLLNRCCHLFSTYVASHKIEKEEQEKKRKEEEERRRREEEERERLQKEEEKRRREEEERLRREEEERRRIEEERLRLEQQKQQIMAALNSQTAVQFQQYAAQQYPGNYEQQQILIRQLQEQHYQQYMQQLYQVQLAQQQAALQKQQEVVVAGSSLPTSSKVNATVPSNMMSVNGQAKTHTDSSEKELEPEAAEEALENGPKESLPVIAAPSMWTRPQIKDFKEKIQQDADSVITVGRGEVVTVRVPTHEEGSYLFWEFATDNYDIGFGVYFEWTDSPNTAVSVHVSESSDDDEEEEENIGCEEKAKKNANKPLLDEIVPVYRRDCHEEVYAGSHQYPGRGVYLLKFDNSYSLWRSKSVYYRVYYTR
+>DECOY_sp|Q9H3P7|GCP60_HUMAN Golgi resident protein GCP60 OS=Homo sapiens OX=9606 GN=ACBD3 PE=1 SV=4
+RTYYVRYYVSKSRWLSYSNDFKLLYVGRGPYQHSGAYVEEHCDRRYVPVIEDLLPKNANKKAKEECGINEEEEEDDDSSESVHVSVATNPSDTWEFYVGFGIDYNDTAFEWFLYSGEEHTPVRVTVVEGRGVTIVSDADQQIKEKFDKIQPRTWMSPAAIVPLSEKPGNELAEEAAEPELEKESSDTHTKAQGNVSMMNSPVTANVKSSTPLSSGAVVVEQQKQLAAQQQALQVQYLQQMYQQYHQEQLQRILIQQQEYNGPYQQAAYQQFQVATQSNLAAMIQQKQQELRLREEEIRRREEEERRLREEEERRRKEEEKQLREREEEERRRREEEEKRKKEQEEKEIKHSAVYTSFLHCCRNLLKVFEVMADEKSMNGLAAWERRRDNGLVDFFGVEPCTDPNYPGMLVQKHLAVLKLKEEYTPHFAKGDKEKFFRLALGYLEELGFGWRQELRRAEEAAGGAAAEGPEPQEGSAGPGRGSGPPSPPPLPPPLLPAGEAGPREEPDPSLTLGDVSVELREANLVAAM
+>sp|P04150|GCR_HUMAN Glucocorticoid receptor OS=Homo sapiens OX=9606 GN=NR3C1 PE=1 SV=1
+MDSKESLTPGREENPSSVLAQERGDVMDFYKTLRGGATVKVSASSPSLAVASQSDSKQRRLLVDFPKGSVSNAQQPDLSKAVSLSMGLYMGETETKVMGNDLGFPQQGQISLSSGETDLKLLEESIANLNRSTSVPENPKSSASTAVSAAPTEKEFPKTHSDVSSEQQHLKGQTGTNGGNVKLYTTDQSTFDILQDLEFSSGSPGKETNESPWRSDLLIDENCLLSPLAGEDDSFLLEGNSNEDCKPLILPDTKPKIKDNGDLVLSSPSNVTLPQVKTEKEDFIELCTPGVIKQEKLGTVYCQASFPGANIIGNKMSAISVHGVSTSGGQMYHYDMNTASLSQQQDQKPIFNVIPPIPVGSENWNRCQGSGDDNLTSLGTLNFPGRTVFSNGYSSPSMRPDVSSPPSSSSTATTGPPPKLCLVCSDEASGCHYGVLTCGSCKVFFKRAVEGQHNYLCAGRNDCIIDKIRRKNCPACRYRKCLQAGMNLEARKTKKKIKGIQQATTGVSQETSENPGNKTIVPATLPQLTPTLVSLLEVIEPEVLYAGYDSSVPDSTWRIMTTLNMLGGRQVIAAVKWAKAIPGFRNLHLDDQMTLLQYSWMFLMAFALGWRSYRQSSANLLCFAPDLIINEQRMTLPCMYDQCKHMLYVSSELHRLQVSYEEYLCMKTLLLLSSVPKDGLKSQELFDEIRMTYIKELGKAIVKREGNSSQNWQRFYQLTKLLDSMHEVVENLLNYCFQTFLDKTMSIEFPEMLAEIITNQIPKYSNGNIKKLLFHQK
+>DECOY_sp|P04150|GCR_HUMAN Glucocorticoid receptor OS=Homo sapiens OX=9606 GN=NR3C1 PE=1 SV=1
+KQHFLLKKINGNSYKPIQNTIIEALMEPFEISMTKDLFTQFCYNLLNEVVEHMSDLLKTLQYFRQWNQSSNGERKVIAKGLEKIYTMRIEDFLEQSKLGDKPVSSLLLLTKMCLYEEYSVQLRHLESSVYLMHKCQDYMCPLTMRQENIILDPAFCLLNASSQRYSRWGLAFAMLFMWSYQLLTMQDDLHLNRFGPIAKAWKVAAIVQRGGLMNLTTMIRWTSDPVSSDYGAYLVEPEIVELLSVLTPTLQPLTAPVITKNGPNESTEQSVGTTAQQIGKIKKKTKRAELNMGAQLCKRYRCAPCNKRRIKDIICDNRGACLYNHQGEVARKFFVKCSGCTLVGYHCGSAEDSCVLCLKPPPGTTATSSSSPPSSVDPRMSPSSYGNSFVTRGPFNLTGLSTLNDDGSGQCRNWNESGVPIPPIVNFIPKQDQQQSLSATNMDYHYMQGGSTSVGHVSIASMKNGIINAGPFSAQCYVTGLKEQKIVGPTCLEIFDEKETKVQPLTVNSPSSLVLDGNDKIKPKTDPLILPKCDENSNGELLFSDDEGALPSLLCNEDILLDSRWPSENTEKGPSGSSFELDQLIDFTSQDTTYLKVNGGNTGTQGKLHQQESSVDSHTKPFEKETPAASVATSASSKPNEPVSTSRNLNAISEELLKLDTEGSSLSIQGQQPFGLDNGMVKTETEGMYLGMSLSVAKSLDPQQANSVSGKPFDVLLRRQKSDSQSAVALSPSSASVKVTAGGRLTKYFDMVDGREQALVSSPNEERGPTLSEKSDM
+>sp|Q8TB36|GDAP1_HUMAN Ganglioside-induced differentiation-associated protein 1 OS=Homo sapiens OX=9606 GN=GDAP1 PE=1 SV=3
+MAERQEEQRGSPPLRAEGKADAEVKLILYHWTHSFSSQKVRLVIAEKALKCEEHDVSLPLSEHNEPWFMRLNSTGEVPVLIHGENIICEATQIIDYLEQTFLDERTPRLMPDKESMYYPRVQHYRELLDSLPMDAYTHGCILHPELTVDSMIPAYATTRIRSQIGNTESELKKLAEENPDLQEAYIAKQKRLKSKLLDHDNVKYLKKILDELEKVLDQVETELQRRNEETPEEGQQPWLCGESFTLADVSLAVTLHRLKFLGFARRNWGNGKRPNLETYYERVLKRKTFNKVLGHVNNILISAVLPTAFRVAKKRAPKVLGTTLVVGLLAGVGYFAFMLFRKRLGSMILAFRPRPNYF
+>DECOY_sp|Q8TB36|GDAP1_HUMAN Ganglioside-induced differentiation-associated protein 1 OS=Homo sapiens OX=9606 GN=GDAP1 PE=1 SV=3
+FYNPRPRFALIMSGLRKRFLMFAFYGVGALLGVVLTTGLVKPARKKAVRFATPLVASILINNVHGLVKNFTKRKLVREYYTELNPRKGNGWNRRAFGLFKLRHLTVALSVDALTFSEGCLWPQQGEEPTEENRRQLETEVQDLVKELEDLIKKLYKVNDHDLLKSKLRKQKAIYAEQLDPNEEALKKLESETNGIQSRIRTTAYAPIMSDVTLEPHLICGHTYADMPLSDLLERYHQVRPYYMSEKDPMLRPTREDLFTQELYDIIQTAECIINEGHILVPVEGTSNLRMFWPENHESLPLSVDHEECKLAKEAIVLRVKQSSFSHTWHYLILKVEADAKGEARLPPSGRQEEQREAM
+>sp|Q6KF10|GDF6_HUMAN Growth/differentiation factor 6 OS=Homo sapiens OX=9606 GN=GDF6 PE=1 SV=1
+MDTPRVLLSAVFLISFLWDLPGFQQASISSSSSSAELGSTKGMRSRKEGKMQRAPRDSDAGREGQEPQPRPQDEPRAQQPRAQEPPGRGPRVVPHEYMLSIYRTYSIAEKLGINASFFQSSKSANTITSFVDRGLDDLSHTPLRRQKYLFDVSMLSDKEELVGAELRLFRQAPSAPWGPPAGPLHVQLFPCLSPLLLDARTLDPQGAPPAGWEVFDVWQGLRHQPWKQLCLELRAAWGELDAGEAEARARGPQQPPPPDLRSLGFGRRVRPPQERALLVVFTRSQRKNLFAEMREQLGSAEAAGPGAGAEGSWPPPSGAPDARPWLPSPGRRRRRTAFASRHGKRHGKKSRLRCSKKPLHVNFKELGWDDWIIAPLEYEAYHCEGVCDFPLRSHLEPTNHAIIQTLMNSMDPGSTPPSCCVPTKLTPISILYIDAGNNVVYKQYEDMVVESCGCR
+>DECOY_sp|Q6KF10|GDF6_HUMAN Growth/differentiation factor 6 OS=Homo sapiens OX=9606 GN=GDF6 PE=1 SV=1
+RCGCSEVVMDEYQKYVVNNGADIYLISIPTLKTPVCCSPPTSGPDMSNMLTQIIAHNTPELHSRLPFDCVGECHYAEYELPAIIWDDWGLEKFNVHLPKKSCRLRSKKGHRKGHRSAFATRRRRRGPSPLWPRADPAGSPPPWSGEAGAGPGAAEASGLQERMEAFLNKRQSRTFVVLLAREQPPRVRRGFGLSRLDPPPPQQPGRARAEAEGADLEGWAARLELCLQKWPQHRLGQWVDFVEWGAPPAGQPDLTRADLLLPSLCPFLQVHLPGAPPGWPASPAQRFLRLEAGVLEEKDSLMSVDFLYKQRRLPTHSLDDLGRDVFSTITNASKSSQFFSANIGLKEAISYTRYISLMYEHPVVRPGRGPPEQARPQQARPEDQPRPQPEQGERGADSDRPARQMKGEKRSRMGKTSGLEASSSSSSISAQQFGPLDWLFSILFVASLLVRPTDM
+>sp|Q9H840|GEMI7_HUMAN Gem-associated protein 7 OS=Homo sapiens OX=9606 GN=GEMIN7 PE=1 SV=1
+MQTPVNIPVPVLRLPRGPDGFSRGFAPDGRRAPLRPEVPEIQECPIAQESLESQEQRARAALRERYLRSLLAMVGHQVSFTLHEGVRVAAHFGATDLDVANFYVSQLQTPIGVQAEALLRCSDIISYTFKP
+>DECOY_sp|Q9H840|GEMI7_HUMAN Gem-associated protein 7 OS=Homo sapiens OX=9606 GN=GEMIN7 PE=1 SV=1
+PKFTYSIIDSCRLLAEAQVGIPTQLQSVYFNAVDLDTAGFHAAVRVGEHLTFSVQHGVMALLSRLYRERLAARARQEQSELSEQAIPCEQIEPVEPRLPARRGDPAFGRSFGDPGRPLRLVPVPINVPTQM
+>sp|Q9NXC2|GFOD1_HUMAN Glucose-fructose oxidoreductase domain-containing protein 1 OS=Homo sapiens OX=9606 GN=GFOD1 PE=1 SV=1
+MLPGVGVFGTSLTARVIIPLLKDEGFAVKALWGRTQEEAEELAKEMSVPFYTSRIDEVLLHQDVDLVCINLPPPLTRQIAVKTLGIGKNVICDRTATPLDAFRMTSAAHYYPKLMSIMGNVLRFLPAFVRMKQLIEEGYVGEPLVCEVQVHGGSLLGKKYNWSCDDLMGGGGLHSVGTYIIDLLTFLTGQKAVKVHGLLKTFVKQTDHIKGIRQITSDDFCTFQMVLEGGVCCTVTLNFNVPGEFKQDVTVVGSAGRLLAVGTDLYGQRNSAPEQELLVQDATPVSNSLLPEKAFSDIPSPYLRGTIKMMQAVRQAFQDQDDRRTWDGRPLTMAATFDDCLYALCVVDTIKRSSQTGEWQNIAIMTEEPELSPAYLISEAMRRSRMSLYC
+>DECOY_sp|Q9NXC2|GFOD1_HUMAN Glucose-fructose oxidoreductase domain-containing protein 1 OS=Homo sapiens OX=9606 GN=GFOD1 PE=1 SV=1
+CYLSMRSRRMAESILYAPSLEPEETMIAINQWEGTQSSRKITDVVCLAYLCDDFTAAMTLPRGDWTRRDDQDQFAQRVAQMMKITGRLYPSPIDSFAKEPLLSNSVPTADQVLLEQEPASNRQGYLDTGVALLRGASGVVTVDQKFEGPVNFNLTVTCCVGGELVMQFTCFDDSTIQRIGKIHDTQKVFTKLLGHVKVAKQGTLFTLLDIIYTGVSHLGGGGMLDDCSWNYKKGLLSGGHVQVECVLPEGVYGEEILQKMRVFAPLFRLVNGMISMLKPYYHAASTMRFADLPTATRDCIVNKGIGLTKVAIQRTLPPPLNICVLDVDQHLLVEDIRSTYFPVSMEKALEEAEEQTRGWLAKVAFGEDKLLPIIVRATLSTGFVGVGPLM
+>sp|A6NEY3|GG6L3_HUMAN Putative golgin subfamily A member 6-like protein 3 OS=Homo sapiens OX=9606 GN=GOLGA6L3 PE=5 SV=3
+MWPQPRLPPHPAMSEKTQQGKLAAAKKKLKAYWQRKSPGIPAGANRKKKINGSSPDTATSGGYHSPGDSATGIYGEGRASSTTLEDLESQYQELAVALDSSSAIISQLTENINSLVRTSKEEKKHEIHLVQKLGRSLFKLKNQTAEPLAPEPPAGPSKVEQLQDETNHLRKELESVGRQLQAEVENNQMLSLLNRRQEERLREQEERLREQEERLREQEERLCEQEERLREQEERLREQEERLCEQEERLREHEERLCEQEERLCEQEERLREQEERLHEQEERLCEQEERLREQEERLCEQEERLREQEERLCEQEERLREQEERLCEQEKLPGQERLLEEVEKLLEQERRQEEQERLLERERLLEEVEKLLEQERRQEEQERLLEREELLDEVEELLEQERLRQQDERLWQQETLQELERLRELERMLELGWEALYEQRAEPRSGFEELVRCPTWGGCPLP
+>DECOY_sp|A6NEY3|GG6L3_HUMAN Putative golgin subfamily A member 6-like protein 3 OS=Homo sapiens OX=9606 GN=GOLGA6L3 PE=5 SV=3
+PLPCGGWTPCRVLEEFGSRPEARQEYLAEWGLELMRELERLRELEQLTEQQWLREDQQRLREQELLEEVEDLLEERELLREQEEQRREQELLKEVEELLRERELLREQEEQRREQELLKEVEELLREQGPLKEQECLREEQERLREEQECLREEQERLREEQECLREEQERLREEQECLREEQEHLREEQERLREEQECLREEQECLREEHERLREEQECLREEQERLREEQERLREEQECLREEQERLREEQERLREEQERLREEQRRNLLSLMQNNEVEAQLQRGVSELEKRLHNTEDQLQEVKSPGAPPEPALPEATQNKLKFLSRGLKQVLHIEHKKEEKSTRVLSNINETLQSIIASSSDLAVALEQYQSELDELTTSSARGEGYIGTASDGPSHYGGSTATDPSSGNIKKKRNAGAPIGPSKRQWYAKLKKKAAALKGQQTKESMAPHPPLRPQPWM
+>sp|H0YKK7|GG6LS_HUMAN Putative golgin subfamily A member 6-like protein 19 OS=Homo sapiens OX=9606 GN=GOLGA6L19 PE=5 SV=1
+MWPQPRLPPHPAMSEKTQQGKLAAAKKKLKAYWQRKSPGIPAGANRKKKVNGSSPDTATSGGYHSPGDSATGVYGEGRASSTTLQDLESQYQELAVALDSSSAIISQLTENINSLVRTSKEEKKHEIHLVQKLGRSLFKLKNQTAEPLAPEPPAGPSKVEQLQDETNHLRKELESVGRQLQAEVENNQMLSLLNRRQEERLREQEERLHEQEERLHEQEERLCEQEERLREQEERLCEQEERLREQEERLCEQEERLREQEERLCEQEERLREQEERLCEQEERLREQEERLCEQEERLREQEERLCEQEERLREQEERLCEQEERLCEQEERLCEQEERLCEQEERLCEQEKLPGQERLLEEVEKLLEQERRQEEQERLLERERLLDEVEELLEQERLRQQDERLWQQETLRELERLRELERLRELERMLELGWEALYEQRAEPRSGFEELNNENKSTLQLEQQVKELEKSGGAEEPRGSESAAAARPVPGAPVPQGAWMCGQAGWTPQEHPGLSGEAVGTGEAAGGAEEAACHSFRAAENRELNITII
+>DECOY_sp|H0YKK7|GG6LS_HUMAN Putative golgin subfamily A member 6-like protein 19 OS=Homo sapiens OX=9606 GN=GOLGA6L19 PE=5 SV=1
+IITINLERNEAARFSHCAAEEAGGAAEGTGVAEGSLGPHEQPTWGAQGCMWAGQPVPAGPVPRAAAASESGRPEEAGGSKELEKVQQELQLTSKNENNLEEFGSRPEARQEYLAEWGLELMRELERLRELERLRELERLTEQQWLREDQQRLREQELLEEVEDLLRERELLREQEEQRREQELLKEVEELLREQGPLKEQECLREEQECLREEQECLREEQECLREEQECLREEQERLREEQECLREEQERLREEQECLREEQERLREEQECLREEQERLREEQECLREEQERLREEQECLREEQERLREEQECLREEQERLREEQECLREEQEHLREEQEHLREEQERLREEQRRNLLSLMQNNEVEAQLQRGVSELEKRLHNTEDQLQEVKSPGAPPEPALPEATQNKLKFLSRGLKQVLHIEHKKEEKSTRVLSNINETLQSIIASSSDLAVALEQYQSELDQLTTSSARGEGYVGTASDGPSHYGGSTATDPSSGNVKKKRNAGAPIGPSKRQWYAKLKKKAAALKGQQTKESMAPHPPLRPQPWM
+>sp|Q5JRK9|GGEE3_HUMAN Putative G antigen family E member 3 OS=Homo sapiens OX=9606 GN=PAGE2B PE=3 SV=1
+MSEHVRTRSQSSERGNDQESSQPVGSVIVQEPTEEKRQEEEPPTDNQGIAPSGEIENEGAPAVQGPDMEAFQQELALLKIEDEPGDGPDVREGIMPTFDLTKVLEAGDAQP
+>DECOY_sp|Q5JRK9|GGEE3_HUMAN Putative G antigen family E member 3 OS=Homo sapiens OX=9606 GN=PAGE2B PE=3 SV=1
+PQADGAELVKTLDFTPMIGERVDPGDGPEDEIKLLALEQQFAEMDPGQVAPAGENEIEGSPAIGQNDTPPEEEQRKEETPEQVIVSGVPQSSEQDNGRESSQSRTRVHESM
+>sp|Q9H3C7|GGNB2_HUMAN Gametogenetin-binding protein 2 OS=Homo sapiens OX=9606 GN=GGNBP2 PE=1 SV=1
+MARLVAVCRDGEEEFPFERRQIPLYIDDTLTMVMEFPDNVLNLDGHQNNGAQLKQFIQRHGMLKQQDLSIAMVVTSREVLSALSQLVPCVGCRRSVERLFSQLVESGNPALEPLTVGPKGVLSVTRSCMTDAKKLYTLFYVHGSKLNDMIDAIPKSKKNKRCQLHSLDTHKPKPLGGCWMDVWELMSQECRDEVVLIDSSCLLETLETYLRKHRFCTDCKNKVLRAYNILIGELDCSKEKGYCAALYEGLRCCPHERHIHVCCETDFIAHLLGRAEPEFAGGRRERHAKTIDIAQEEVLTCLGIHLYERLHRIWQKLRAEEQTWQMLFYLGVDALRKSFEMTVEKVQGISRLEQLCEEFSEEERVRELKQEKKRQKRKNRRKNKCVCDIPTPLQTADEKEVSQEKETDFIENSSCKACGSTEDGNTCVEVIVTNENTSCTCPSSGNLLGSPKIKKGLSPHCNGSDCGYSSSMEGSETGSREGSDVACTEGICNHDEHGDDSCVHHCEDKEDDGDSCVECWANSEENDTKGKNKKKKKKSKILKCDEHIQKLGSCITDPGNRETSGNTMHTVFHRDKTKDTHPESCCSSEKGGQPLPWFEHRKNVPQFAEPTETLFGPDSGKGAKSLVELLDESECTSDEEIFISQDEIQSFMANNQSFYSNREQYRQHLKEKFNKYCRLNDHKRPICSGWLTTAGAN
+>DECOY_sp|Q9H3C7|GGNB2_HUMAN Gametogenetin-binding protein 2 OS=Homo sapiens OX=9606 GN=GGNBP2 PE=1 SV=1
+NAGATTLWGSCIPRKHDNLRCYKNFKEKLHQRYQERNSYFSQNNAMFSQIEDQSIFIEEDSTCESEDLLEVLSKAGKGSDPGFLTETPEAFQPVNKRHEFWPLPQGGKESSCCSEPHTDKTKDRHFVTHMTNGSTERNGPDTICSGLKQIHEDCKLIKSKKKKKKNKGKTDNEESNAWCEVCSDGDDEKDECHHVCSDDGHEDHNCIGETCAVDSGERSGTESGEMSSSYGCDSGNCHPSLGKKIKPSGLLNGSSPCTCSTNENTVIVEVCTNGDETSGCAKCSSNEIFDTEKEQSVEKEDATQLPTPIDCVCKNKRRNKRKQRKKEQKLERVREEESFEECLQELRSIGQVKEVTMEFSKRLADVGLYFLMQWTQEEARLKQWIRHLREYLHIGLCTLVEEQAIDITKAHRERRGGAFEPEARGLLHAIFDTECCVHIHREHPCCRLGEYLAACYGKEKSCDLEGILINYARLVKNKCDTCFRHKRLYTELTELLCSSDILVVEDRCEQSMLEWVDMWCGGLPKPKHTDLSHLQCRKNKKSKPIADIMDNLKSGHVYFLTYLKKADTMCSRTVSLVGKPGVTLPELAPNGSEVLQSFLREVSRRCGVCPVLQSLASLVERSTVVMAISLDQQKLMGHRQIFQKLQAGNNQHGDLNLVNDPFEMVMTLTDDIYLPIQRREFPFEEEGDRCVAVLRAM
+>sp|Q9UJ14|GGT7_HUMAN Glutathione hydrolase 7 OS=Homo sapiens OX=9606 GN=GGT7 PE=1 SV=2
+MAAENEASQESALGAYSPVDYMSITSFPRLPEDEPAPAAPLRGRKDEDAFLGDPDTDPDSFLKSARLQRLPSSSSEMGSQDGSPLRETRKDPFSAAAAECSCRQDGLTVIVTACLTFATGVTVALVMQIYFGDPQIFQQGAVVTDAARCTSLGIEVLSKQGSSVDAAVAAALCLGIVAPHSSGLGGGGVMLVHDIRRNESHLIDFRESAPGALREETLQRSWETKPGLLVGVPGMVKGLHEAHQLYGRLPWSQVLAFAAAVAQDGFNVTHDLARALAEQLPPNMSERFRETFLPSGRPPLPGSLLHRPDLAEVLDVLGTSGPAAFYAGGNLTLEMVAEAQHAGGVITEEDFSNYSALVEKPVCGVYRGHLVLSPPPPHTGPALISALNILEGFNLTSLVSREQALHWVAETLKIALALASRLGDPVYDSTITESMDDMLSKVEAAYLRGHINDSQAAPAPLLPVYELDGAPTAAQVLIMGPDDFIVAMVSSLNQPFGSGLITPSGILLNSQMLDFSWPNRTANHSAPSLENSVQPGKRPLSFLLPTVVRPAEGLCGTYLALGANGAARGLSGLTQVLLNVLTLNRNLSDSLARGRLHPDLQSNLLQVDSEFTEEEIEFLEARGHHVEKVDVLSWVHGSRRTNNFIIAVKDPRSPDAAGATIL
+>DECOY_sp|Q9UJ14|GGT7_HUMAN Glutathione hydrolase 7 OS=Homo sapiens OX=9606 GN=GGT7 PE=1 SV=2
+LITAGAADPSRPDKVAIIFNNTRRSGHVWSLVDVKEVHHGRAELFEIEEETFESDVQLLNSQLDPHLRGRALSDSLNRNLTLVNLLVQTLGSLGRAAGNAGLALYTGCLGEAPRVVTPLLFSLPRKGPQVSNELSPASHNATRNPWSFDLMQSNLLIGSPTILGSGFPQNLSSVMAVIFDDPGMILVQAATPAGDLEYVPLLPAPAAQSDNIHGRLYAAEVKSLMDDMSETITSDYVPDGLRSALALAIKLTEAVWHLAQERSVLSTLNFGELINLASILAPGTHPPPPSLVLHGRYVGCVPKEVLASYNSFDEETIVGGAHQAEAVMELTLNGGAYFAAPGSTGLVDLVEALDPRHLLSGPLPPRGSPLFTERFRESMNPPLQEALARALDHTVNFGDQAVAAAFALVQSWPLRGYLQHAEHLGKVMGPVGVLLGPKTEWSRQLTEERLAGPASERFDILHSENRRIDHVLMVGGGGLGSSHPAVIGLCLAAAVAADVSSGQKSLVEIGLSTCRAADTVVAGQQFIQPDGFYIQMVLAVTVGTAFTLCATVIVTLGDQRCSCEAAAASFPDKRTERLPSGDQSGMESSSSPLRQLRASKLFSDPDTDPDGLFADEDKRGRLPAAPAPEDEPLRPFSTISMYDVPSYAGLASEQSAENEAAM
+>sp|Q9BX51|GGTL1_HUMAN Glutathione hydrolase light chain 1 OS=Homo sapiens OX=9606 GN=GGTLC1 PE=2 SV=2
+MTSEFFSAQLRAQISDDTTHPISYYKPEFYMPDDGGTAHLSVVAEDGSAVSATSTINLYFGSKVRSPVSGILLNNEMDDFSSTSITNEFGVPPSPANFIQPGKQPLSSMCPTIMVGQDGQVRMVVGAAGGTQITMATALAIIYNLWFGYDVKWAVEEPRLHNQLLPNVTTVERNIDQEVTAALETRHHHTQITSTFIAVVQAIVRMAGGWAAASDSRKGGEPAGY
+>DECOY_sp|Q9BX51|GGTL1_HUMAN Glutathione hydrolase light chain 1 OS=Homo sapiens OX=9606 GN=GGTLC1 PE=2 SV=2
+YGAPEGGKRSDSAAAWGGAMRVIAQVVAIFTSTIQTHHHRTELAATVEQDINREVTTVNPLLQNHLRPEEVAWKVDYGFWLNYIIALATAMTIQTGGAAGVVMRVQGDQGVMITPCMSSLPQKGPQIFNAPSPPVGFENTISTSSFDDMENNLLIGSVPSRVKSGFYLNITSTASVASGDEAVVSLHATGGDDPMYFEPKYYSIPHTTDDSIQARLQASFFESTM
+>sp|Q7Z7B0|FLIP1_HUMAN Filamin-A-interacting protein 1 OS=Homo sapiens OX=9606 GN=FILIP1 PE=1 SV=1
+MRSRNQGGESASDGHISCPKPSIIGNAGEKSLSEDAKKKKKSNRKEDDVMASGTVKRHLKTSGECERKTKKSLELSKEDLIQLLSIMEGELQAREDVIHMLKTEKTKPEVLEAHYGSAEPEKVLRVLHRDAILAQEKSIGEDVYEKPISELDRLEEKQKETYRRMLEQLLLAEKCHRRTVYELENEKHKHTDYMNKSDDFTNLLEQERERLKKLLEQEKAYQARKEKENAKRLNKLRDELVKLKSFALMLVDERQMHIEQLGLQSQKVQDLTQKLREEEEKLKAITSKSKEDRQKLLKLEVDFEHKASRFSQEHEEMNAKLANQESHNRQLRLKLVGLTQRIEELEETNKNLQKAEEELQELRDKIAKGECGNSSLMAEVENLRKRVLEMEGKDEEITKTESQCRELRKKLQEEEHHSKELRLEVEKLQKRMSELEKLEEAFSKSKSECTQLHLNLEKEKNLTKDLLNELEVVKSRVKELECSESRLEKAELSLKDDLTKLKSFTVMLVDERKNMMEKIKQEERKVDGLNKNFKVEQGKVMDVTEKLIEESKKLLKLKSEMEEKVYNLTRERDELIGKLKSEEEKSSELSCSVDLLKKRLDGIEEVEREITRGRSRKGSELTCPEDNKIKELTLEIERLKKRLQQLEVVEGDLMKTEDEYDQLEQKFRTEQDKANFLSQQLEEIKHQIAKNKAIEKGEVVSQEAELRHRFRLEEAKSRDLKAEVQALKEKIHELMNKEDQLSQLQVDYSVLQQRFMEEENKNKNMGQEVLNLTKELELSKRYSRALRPSVNGRRMVDVPVTSTGVQTDAVSGEAAEEETPAVFIRKSFQEENHIMSNLRQVGLKKPVERSSVLDRYPPAANELTMRKSWIPWMRKRENGPSITQEKGPRTNSSPGHPGEVVLSPKQGQPLHIRVTPDHENSTATLEITSPTSEEFFSSTTVIPTLGNQKPRITIIPSPNVMPQKQKSGDTTLGPERAMSPVTITTFSREKTPESGRGAFADRPTSPIQIMTVSTSAAPAEIAVSPESQEMPMGRTILKVTPEKQTVPTPVRKYNSNANIITTEDNKIHIHLGSQFKRSPGTSGEGVSPVITVRPVNVTAEKEVSTGTVLRSPRNHLSSRPGASKVTSTITITPVTTSSARGTQSVSGQDGSSQRPTPTRIPMSKGMKAGKPVVAAPGAGNLTKFEPRAETQSMKIELKKSAASSTTSLGGGKG
+>DECOY_sp|Q7Z7B0|FLIP1_HUMAN Filamin-A-interacting protein 1 OS=Homo sapiens OX=9606 GN=FILIP1 PE=1 SV=1
+GKGGGLSTTSSAASKKLEIKMSQTEARPEFKTLNGAGPAAVVPKGAKMGKSMPIRTPTPRQSSGDQGSVSQTGRASSTTVPTITITSTVKSAGPRSSLHNRPSRLVTGTSVEKEATVNVPRVTIVPSVGEGSTGPSRKFQSGLHIHIKNDETTIINANSNYKRVPTPVTQKEPTVKLITRGMPMEQSEPSVAIEAPAASTSVTMIQIPSTPRDAFAGRGSEPTKERSFTTITVPSMAREPGLTTDGSKQKQPMVNPSPIITIRPKQNGLTPIVTTSSFFEESTPSTIELTATSNEHDPTVRIHLPQGQKPSLVVEGPHGPSSNTRPGKEQTISPGNERKRMWPIWSKRMTLENAAPPYRDLVSSREVPKKLGVQRLNSMIHNEEQFSKRIFVAPTEEEAAEGSVADTQVGTSTVPVDVMRRGNVSPRLARSYRKSLELEKTLNLVEQGMNKNKNEEEMFRQQLVSYDVQLQSLQDEKNMLEHIKEKLAQVEAKLDRSKAEELRFRHRLEAEQSVVEGKEIAKNKAIQHKIEELQQSLFNAKDQETRFKQELQDYEDETKMLDGEVVELQQLRKKLREIELTLEKIKNDEPCTLESGKRSRGRTIEREVEEIGDLRKKLLDVSCSLESSKEEESKLKGILEDRERTLNYVKEEMESKLKLLKKSEEILKETVDMVKGQEVKFNKNLGDVKREEQKIKEMMNKREDVLMVTFSKLKTLDDKLSLEAKELRSESCELEKVRSKVVELENLLDKTLNKEKELNLHLQTCESKSKSFAEELKELESMRKQLKEVELRLEKSHHEEEQLKKRLERCQSETKTIEEDKGEMELVRKRLNEVEAMLSSNGCEGKAIKDRLEQLEEEAKQLNKNTEELEEIRQTLGVLKLRLQRNHSEQNALKANMEEHEQSFRSAKHEFDVELKLLKQRDEKSKSTIAKLKEEEERLKQTLDQVKQSQLGLQEIHMQREDVLMLAFSKLKVLEDRLKNLRKANEKEKRAQYAKEQELLKKLREREQELLNTFDDSKNMYDTHKHKENELEYVTRRHCKEALLLQELMRRYTEKQKEELRDLESIPKEYVDEGISKEQALIADRHLVRLVKEPEASGYHAELVEPKTKETKLMHIVDERAQLEGEMISLLQILDEKSLELSKKTKRECEGSTKLHRKVTGSAMVDDEKRNSKKKKKADESLSKEGANGIISPKPCSIHGDSASEGGQNRSRM
+>sp|O75955|FLOT1_HUMAN Flotillin-1 OS=Homo sapiens OX=9606 GN=FLOT1 PE=1 SV=3
+MFFTCGPNEAMVVSGFCRSPPVMVAGGRVFVLPCIQQIQRISLNTLTLNVKSEKVYTRHGVPISVTGIAQVKIQGQNKEMLAAACQMFLGKTEAEIAHIALETLEGHQRAIMAHMTVEEIYKDRQKFSEQVFKVASSDLVNMGISVVSYTLKDIHDDQDYLHSLGKARTAQVQKDARIGEAEAKRDAGIREAKAKQEKVSAQYLSEIEMAKAQRDYELKKAAYDIEVNTRRAQADLAYQLQVAKTKQQIEEQRVQVQVVERAQQVAVQEQEIARREKELEARVRKPAEAERYKLERLAEAEKSQLIMQAEAEAASVRMRGEAEAFAIGARARAEAEQMAKKAEAFQLYQEAAQLDMLLEKLPQVAEEISGPLTSANKITLVSSGSGTMGAAKVTGEVLDILTRLPESVERLTGVSISQVNHKPLRTA
+>DECOY_sp|O75955|FLOT1_HUMAN Flotillin-1 OS=Homo sapiens OX=9606 GN=FLOT1 PE=1 SV=3
+ATRLPKHNVQSISVGTLREVSEPLRTLIDLVEGTVKAAGMTGSGSSVLTIKNASTLPGSIEEAVQPLKELLMDLQAAEQYLQFAEAKKAMQEAEARARAGIAFAEAEGRMRVSAAEAEAQMILQSKEAEALRELKYREAEAPKRVRAELEKERRAIEQEQVAVQQAREVVQVQVRQEEIQQKTKAVQLQYALDAQARRTNVEIDYAAKKLEYDRQAKAMEIESLYQASVKEQKAKAERIGADRKAEAEGIRADKQVQATRAKGLSHLYDQDDHIDKLTYSVVSIGMNVLDSSAVKFVQESFKQRDKYIEEVTMHAMIARQHGELTELAIHAIEAETKGLFMQCAAALMEKNQGQIKVQAIGTVSIPVGHRTYVKESKVNLTLTNLSIRQIQQICPLVFVRGGAVMVPPSRCFGSVVMAENPGCTFFM
+>sp|Q68DA7|FMN1_HUMAN Formin-1 OS=Homo sapiens OX=9606 GN=FMN1 PE=1 SV=3
+MEGTHCTLQLHKPITELCYISFCLPKGEVRGFSYKGTVTLDRSNKGFHNCYQVREESDIISLSQEPDEHPGDIFFKQTPTKDILTELYKLTTERERLLTNLLSSDHILGITMGNQEGKLQELSVSLAPEDDCFQSAGDWQGELPVGPLNKRSTHGNKKPRRSSGRRESFGALPQKRTKRKGRGGRESAPLMGKDKICSSHSLPLSRTRPNLWVLEEKGNLLPNGALACSLQRRESCPPDIPKTPDTDLGFGSFETAFKDTGLGREVLPPDCSSTEAGGDGIRRPPSGLEHQQTGLSESHQDPEKHPEAEKDEMEKPAKRTCKQKPVSKVVAKVQDLSSQVQRVVKTHSKGKETIAIRPAAHAEFVPKADLLTLPGAEAGAHGSRRQGKERQGDRSSQSPAGETASISSVSASAEGAVNKVPLKVIESEKLDEAPEGKRLGFPVHTSVPHTRPETRNKRRAGLPLGGHKSLFLDLPHKVGPDSSQPRGDKKKPSPPAPAALGKVFNNSASQSSTHKQTSPVPSPLSPRLPSPQQHHRILRLPALPGEREAALNDSPCRKSRVFSGCVSADTLEPPSSAKVTETKGASPAFLRAGQPRLVPGETLEKSLGPGKTTAEPQHQSPPGISSEGFPWDGFNEQTPKDLPNRDGGAWVLGYRAGPACPFLLHEEREKSNRSELYLDLHPDHSLTEQDDRTPGRLQAVWPPPKTKDTEEKVGLKYTEAEYQAAILHLKREHKEEIENLQAQFELRAFHIRGEHAMITARLEETIENLKHELEHRWRGGCEERKDVCISTDDDCPPKTFRNVCVQTDRETFLKPCESESKTTRSNQLVPKKLNISSLSQLSPPNDHKDIHAALQPMEGMASNQQKALPPPPASIPPPPPLPSGLGSLSPAPPMPPVSAGPPLPPPPPPPPPLPPPSSAGPPPPPPPPPLPNSPAPPNPGGPPPAPPPPGLAPPPPPGLFFGLGSSSSQCPRKPAIEPSCPMKPLYWTRIQISDRSQNATPTLWDSLEEPDIRDPSEFEYLFSKDTTQQKKKPLSETYEKKNKVKKIIKLLDGKRSQTVGILISSLHLEMKDIQQAIFNVDDSVVDLETLAALYENRAQEDELVKIRKYYETSKEEELKLLDKPEQFLHELAQIPNFAERAQCIIFRSVFSEGITSLHRKVEIITRASKDLLHVKSVKDILALILAFGNYMNGGNRTRGQADGYSLEILPKLKDVKSRDNGINLVDYVVKYYLRYYDQEAGTEKSVFPLPEPQDFFLASQVKFEDLIKDLRKLKRQLEASEKQMVVVCKESPKEYLQPFKDKLEEFFQKAKKEHKMEESHLENAQKSFETTVRYFGMKPKSGEKEITPSYVFMVWYEFCSDFKTIWKRESKNISKERLKMAQESVSKLTSEKKVETKKINPTASLKERLRQKEASVTTN
+>DECOY_sp|Q68DA7|FMN1_HUMAN Formin-1 OS=Homo sapiens OX=9606 GN=FMN1 PE=1 SV=3
+NTTVSAEKQRLREKLSATPNIKKTEVKKESTLKSVSEQAMKLREKSINKSERKWITKFDSCFEYWVMFVYSPTIEKEGSKPKMGFYRVTTEFSKQANELHSEEMKHEKKAKQFFEELKDKFPQLYEKPSEKCVVVMQKESAELQRKLKRLDKILDEFKVQSALFFDQPEPLPFVSKETGAEQDYYRLYYKVVYDVLNIGNDRSKVDKLKPLIELSYGDAQGRTRNGGNMYNGFALILALIDKVSKVHLLDKSARTIIEVKRHLSTIGESFVSRFIICQAREAFNPIQALEHLFQEPKDLLKLEEEKSTEYYKRIKVLEDEQARNEYLAALTELDVVSDDVNFIAQQIDKMELHLSSILIGVTQSRKGDLLKIIKKVKNKKEYTESLPKKKQQTTDKSFLYEFESPDRIDPEELSDWLTPTANQSRDSIQIRTWYLPKMPCSPEIAPKRPCQSSSSGLGFFLGPPPPPALGPPPPAPPPGGPNPPAPSNPLPPPPPPPPPGASSPPPLPPPPPPPPPLPPGASVPPMPPAPSLSGLGSPLPPPPPISAPPPPLAKQQNSAMGEMPQLAAHIDKHDNPPSLQSLSSINLKKPVLQNSRTTKSESECPKLFTERDTQVCVNRFTKPPCDDDTSICVDKREECGGRWRHELEHKLNEITEELRATIMAHEGRIHFARLEFQAQLNEIEEKHERKLHLIAAQYEAETYKLGVKEETDKTKPPPWVAQLRGPTRDDQETLSHDPHLDLYLESRNSKEREEHLLFPCAPGARYGLVWAGGDRNPLDKPTQENFGDWPFGESSIGPPSQHQPEATTKGPGLSKELTEGPVLRPQGARLFAPSAGKTETVKASSPPELTDASVCGSFVRSKRCPSDNLAAEREGPLAPLRLIRHHQQPSPLRPSLPSPVPSTQKHTSSQSASNNFVKGLAAPAPPSPKKKDGRPQSSDPGVKHPLDLFLSKHGGLPLGARRKNRTEPRTHPVSTHVPFGLRKGEPAEDLKESEIVKLPVKNVAGEASASVSSISATEGAPSQSSRDGQREKGQRRSGHAGAEAGPLTLLDAKPVFEAHAAPRIAITEKGKSHTKVVRQVQSSLDQVKAVVKSVPKQKCTRKAPKEMEDKEAEPHKEPDQHSESLGTQQHELGSPPRRIGDGGAETSSCDPPLVERGLGTDKFATEFSGFGLDTDPTKPIDPPCSERRQLSCALAGNPLLNGKEELVWLNPRTRSLPLSHSSCIKDKGMLPASERGGRGKRKTRKQPLAGFSERRGSSRRPKKNGHTSRKNLPGVPLEGQWDGASQFCDDEPALSVSLEQLKGEQNGMTIGLIHDSSLLNTLLRERETTLKYLETLIDKTPTQKFFIDGPHEDPEQSLSIIDSEERVQYCNHFGKNSRDLTVTGKYSFGRVEGKPLCFSIYCLETIPKHLQLTCHTGEM
+>sp|Q9NZ56|FMN2_HUMAN Formin-2 OS=Homo sapiens OX=9606 GN=FMN2 PE=1 SV=4
+MGNQDGKLKRSAGDALHEGGGGAEDALGPRDVEATKKGSGGKKALGKHGKGGGGGGGGGESGKKKSKSDSRASVFSNLRIRKNLSKGKGAGGSREDVLDSQALQTGELDSAHSLLTKTPDLSLSADEAGLSDTECADPFEVTGPGGPGPAEARVGGRPIAEDVETAAGAQDGQRTSSGSDTDIYSFHSATEQEDLLSDIQQAIRLQQQQQQQLQLQLQQQQQQQQLQGAEEPAAPPTAVSPQPGAFLGLDRFLLGPSGGAGEAPGSPDTEQALSALSDLPESLAAEPREPQQPPSPGGLPVSEAPSLPAAQPAAKDSPSSTAFPFPEAGPGEEAAGAPVRGAGDTDEEGEEDAFEDAPRGSPGEEWAPEVGEDAPQRLGEEPEEEAQGPDAPAAASLPGSPAPSQRCFKPYPLITPCYIKTTTRQLSSPNHSPSQSPNQSPRIKRRPEPSLSRGSRTALASVAAPAKKHRADGGLAAGLSRSADWTEELGARTPRVGGSAHLLERGVASDSGGGVSPALAAKASGAPAAADGFQNVFTGRTLLEKLFSQQENGPPEEAEKFCSRIIAMGLLLPFSDCFREPCNQNAQTNAASFDQDQLYTWAAVSQPTHSLDYSEGQFPRRVPSMGPPSKPPDEEHRLEDAETESQSAVSETPQKRSDAVQKEVVDMKSEGQATVIQQLEQTIEDLRTKIAELERQYPALDTEVASGHQGLENGVTASGDVCLEALRLEEKEVRHHRILEAKSIQTSPTEEGGVLTLPPVDGLPGRPPCPPGAESGPQTKFCSEISLIVSPRRISVQLDSHQPTQSISQPPPPPSLLWSAGQGQPGSQPPHSISTEFQTSHEHSVSSAFKNSCNIPSPPPLPCTESSSSMPGLGMVPPPPPPLPGMTVPTLPSTAIPQPPPLQGTEMLPPPPPPLPGAGIPPPPPLPGAGILPLPPLPGAGIPPPPPLPGAAIPPPPPLPGAGIPLPPPLPGAGIPPPPPLPGAGIPPPPPLPGAGIPPPPPLPGAGIPPPPPLPGAGIPPPPPLPGAGIPPPPPLPGAGIPPPPPLPGAGIPPPPPLPGAGIPPPPPLPGAGIPPPPPLPGAGIPPPPPLPGAGIPPPPPLPGVGIPPPPPLPGAGIPPPPPLPGAGIPPPPPLPGAGIPPPPPLPRVGIPPPPPLPGAGIPPPPPLPGAGIPPPPPLPGVGIPPPPPLPGVGIPPPPPLPGAGIPPPPPLPGMGIPPAPAPPLPPPGTGIPPPPLLPVSGPPLLPQVGSSTLPTPQVCGFLPPPLPSGLFGLGMNQDKGSRKQPIEPCRPMKPLYWTRIQLHSKRDSSTSLIWEKIEEPSIDCHEFEELFSKTAVKERKKPISDTISKTKAKQVVKLLSNKRSQAVGILMSSLHLDMKDIQHAVVNLDNSVVDLETLQALYENRAQSDELEKIEKHGRSSKDKENAKSLDKPEQFLYELSLIPNFSERVFCILFQSTFSESICSIRRKLELLQKLCETLKNGPGVMQVLGLVLAFGNYMNGGNKTRGQADGFGLDILPKLKDVKSSDNSRSLLSYIVSYYLRNFDEDAGKEQCLFPLPEPQDLFQASQMKFEDFQKDLRKLKKDLKACEVEAGKVYQVSSKEHMQPFKENMEQFIIQAKIDQEAEENSLTETHKCFLETTAYFFMKPKLGEKEVSPNAFFSIWHEFSSDFKDFWKKENKLLLQERVKEAEEVCRQKKGKSLYKIKPRHDSGIKAKISMKT
+>DECOY_sp|Q9NZ56|FMN2_HUMAN Formin-2 OS=Homo sapiens OX=9606 GN=FMN2 PE=1 SV=4
+TKMSIKAKIGSDHRPKIKYLSKGKKQRCVEEAEKVREQLLLKNEKKWFDKFDSSFEHWISFFANPSVEKEGLKPKMFFYATTELFCKHTETLSNEEAEQDIKAQIIFQEMNEKFPQMHEKSSVQYVKGAEVECAKLDKKLKRLDKQFDEFKMQSAQFLDQPEPLPFLCQEKGADEDFNRLYYSVIYSLLSRSNDSSKVDKLKPLIDLGFGDAQGRTKNGGNMYNGFALVLGLVQMVGPGNKLTECLKQLLELKRRISCISESFTSQFLICFVRESFNPILSLEYLFQEPKDLSKANEKDKSSRGHKEIKELEDSQARNEYLAQLTELDVVSNDLNVVAHQIDKMDLHLSSMLIGVAQSRKNSLLKVVQKAKTKSITDSIPKKREKVATKSFLEEFEHCDISPEEIKEWILSTSSDRKSHLQIRTWYLPKMPRCPEIPQKRSGKDQNMGLGFLGSPLPPPLFGCVQPTPLTSSGVQPLLPPGSVPLLPPPPIGTGPPPLPPAPAPPIGMGPLPPPPPIGAGPLPPPPPIGVGPLPPPPPIGVGPLPPPPPIGAGPLPPPPPIGAGPLPPPPPIGVRPLPPPPPIGAGPLPPPPPIGAGPLPPPPPIGAGPLPPPPPIGVGPLPPPPPIGAGPLPPPPPIGAGPLPPPPPIGAGPLPPPPPIGAGPLPPPPPIGAGPLPPPPPIGAGPLPPPPPIGAGPLPPPPPIGAGPLPPPPPIGAGPLPPPPPIGAGPLPPPPPIGAGPLPPPPPIGAGPLPPPLPIGAGPLPPPPPIAAGPLPPPPPIGAGPLPPLPLIGAGPLPPPPPIGAGPLPPPPPPLMETGQLPPPQPIATSPLTPVTMGPLPPPPPPVMGLGPMSSSSETCPLPPPSPINCSNKFASSVSHEHSTQFETSISHPPQSGPQGQGASWLLSPPPPPQSISQTPQHSDLQVSIRRPSVILSIESCFKTQPGSEAGPPCPPRGPLGDVPPLTLVGGEETPSTQISKAELIRHHRVEKEELRLAELCVDGSATVGNELGQHGSAVETDLAPYQRELEAIKTRLDEITQELQQIVTAQGESKMDVVEKQVADSRKQPTESVASQSETEADELRHEEDPPKSPPGMSPVRRPFQGESYDLSHTPQSVAAWTYLQDQDFSAANTQANQNCPERFCDSFPLLLGMAIIRSCFKEAEEPPGNEQQSFLKELLTRGTFVNQFGDAAAPAGSAKAALAPSVGGGSDSAVGRELLHASGGVRPTRAGLEETWDASRSLGAALGGDARHKKAPAAVSALATRSGRSLSPEPRRKIRPSQNPSQSPSHNPSSLQRTTTKIYCPTILPYPKFCRQSPAPSGPLSAAAPADPGQAEEEPEEGLRQPADEGVEPAWEEGPSGRPADEFADEEGEEDTDGAGRVPAGAAEEGPGAEPFPFATSSPSDKAAPQAAPLSPAESVPLGGPSPPQQPERPEAALSEPLDSLASLAQETDPSGPAEGAGGSPGLLFRDLGLFAGPQPSVATPPAAPEEAGQLQQQQQQQQLQLQLQQQQQQQLRIAQQIDSLLDEQETASHFSYIDTDSGSSTRQGDQAGAATEVDEAIPRGGVRAEAPGPGGPGTVEFPDACETDSLGAEDASLSLDPTKTLLSHASDLEGTQLAQSDLVDERSGGAGKGKSLNKRIRLNSFVSARSDSKSKKKGSEGGGGGGGGGKGHKGLAKKGGSGKKTAEVDRPGLADEAGGGGEHLADGASRKLKGDQNGM
+>sp|Q96PY5|FMNL2_HUMAN Formin-like protein 2 OS=Homo sapiens OX=9606 GN=FMNL2 PE=1 SV=3
+MGNAGSMDSQQTDFRAHNVPLKLPMPEPGELEERFAIVLNAMNLPPDKARLLRQYDNEKKWELICDQERFQVKNPPHTYIQKLKGYLDPAVTRKKFRRRVQESTQVLRELEISLRTNHIGWVREFLNEENKGLDVLVEYLSFAQYAVTFDFESVESTVESSVDKSKPWSRSIEDLHRGSNLPSPVGNSVSRSGRHSALRYNTLPSRRTLKNSRLVSKKDDVHVCIMCLRAIMNYQYGFNMVMSHPHAVNEIALSLNNKNPRTKALVLELLAAVCLVRGGHEIILSAFDNFKEVCGEKQRFEKLMEHFRNEDNNIDFMVASMQFINIVVHSVEDMNFRVHLQYEFTKLGLDEYLDKLKHTESDKLQVQIQAYLDNVFDVGALLEDAETKNAALERVEELEENISHLSEKLQDTENEAMSKIVELEKQLMQRNKELDVVREIYKDANTQVHTLRKMVKEKEEAIQRQSTLEKKIHELEKQGTIKIQKKGDGDIAILPVVASGTLSMGSEVVAGNSVGPTMGAASSGPLPPPPPPLPPSSDTPETVQNGPVTPPMPPPPPPPPPPPPPPPPPPPPPLPGPAAETVPAPPLAPPLPSAPPLPGTSSPTVVFNSGLAAVKIKKPIKTKFRMPVFNWVALKPNQINGTVFNEIDDERILEDLNVDEFEEIFKTKAQGPAIDLSSSKQKIPQKGSNKVTLLEANRAKNLAITLRKAGKTADEICKAIHVFDLKTLPVDFVECLMRFLPTENEVKVLRLYERERKPLENLSDEDRFMMQFSKIERLMQKMTIMAFIGNFAESIQMLTPQLHAIIAASVSIKSSQKLKKILEIILALGNYMNSSKRGAVYGFKLQSLDLLLDTKSTDRKQTLLHYISNVVKEKYHQVSLFYNELHYVEKAAAVSLENVLLDVKELQRGMDLTKREYTMHDHNTLLKEFILNNEGKLKKLQDDAKIAQDAFDDVVKYFGENPKTTPPSVFFPVFVRFVKAYKQAEEENELRKKQEQALMEKLLEQEALMEQQDPKSPSHKSKRQQQELIAELRRRQVKDNRHVYEGKDGAIEDIITVLKTVPFTARTAKRGSRFFCEPVLTEEYHY
+>DECOY_sp|Q96PY5|FMNL2_HUMAN Formin-like protein 2 OS=Homo sapiens OX=9606 GN=FMNL2 PE=1 SV=3
+YHYEETLVPECFFRSGRKATRATFPVTKLVTIIDEIAGDKGEYVHRNDKVQRRRLEAILEQQQRKSKHSPSKPDQQEMLAEQELLKEMLAQEQKKRLENEEEAQKYAKVFRVFVPFFVSPPTTKPNEGFYKVVDDFADQAIKADDQLKKLKGENNLIFEKLLTNHDHMTYERKTLDMGRQLEKVDLLVNELSVAAAKEVYHLENYFLSVQHYKEKVVNSIYHLLTQKRDTSKTDLLLDLSQLKFGYVAGRKSSNMYNGLALIIELIKKLKQSSKISVSAAIIAHLQPTLMQISEAFNGIFAMITMKQMLREIKSFQMMFRDEDSLNELPKREREYLRLVKVENETPLFRMLCEVFDVPLTKLDFVHIAKCIEDATKGAKRLTIALNKARNAELLTVKNSGKQPIKQKSSSLDIAPGQAKTKFIEEFEDVNLDELIREDDIENFVTGNIQNPKLAVWNFVPMRFKTKIPKKIKVAALGSNFVVTPSSTGPLPPASPLPPALPPAPVTEAAPGPLPPPPPPPPPPPPPPPPPPPPPMPPTVPGNQVTEPTDSSPPLPPPPPPLPGSSAAGMTPGVSNGAVVESGMSLTGSAVVPLIAIDGDGKKQIKITGQKELEHIKKELTSQRQIAEEKEKVMKRLTHVQTNADKYIERVVDLEKNRQMLQKELEVIKSMAENETDQLKESLHSINEELEEVRELAANKTEADELLAGVDFVNDLYAQIQVQLKDSETHKLKDLYEDLGLKTFEYQLHVRFNMDEVSHVVINIFQMSAVMFDINNDENRFHEMLKEFRQKEGCVEKFNDFASLIIEHGGRVLCVAALLELVLAKTRPNKNNLSLAIENVAHPHSMVMNFGYQYNMIARLCMICVHVDDKKSVLRSNKLTRRSPLTNYRLASHRGSRSVSNGVPSPLNSGRHLDEISRSWPKSKDVSSEVTSEVSEFDFTVAYQAFSLYEVLVDLGKNEENLFERVWGIHNTRLSIELERLVQTSEQVRRRFKKRTVAPDLYGKLKQIYTHPPNKVQFREQDCILEWKKENDYQRLLRAKDPPLNMANLVIAFREELEGPEPMPLKLPVNHARFDTQQSDMSGANGM
+>sp|P49326|FMO5_HUMAN Dimethylaniline monooxygenase [N-oxide-forming] 5 OS=Homo sapiens OX=9606 GN=FMO5 PE=1 SV=2
+MTKKRIAVIGGGVSGLSSIKCCVEEGLEPVCFERTDDIGGLWRFQENPEEGRASIYKSVIINTSKEMMCFSDYPIPDHYPNFMHNAQVLEYFRMYAKEFDLLKYIRFKTTVCSVKKQPDFATSGQWEVVTESEGKKEMNVFDGVMVCTGHHTNAHLPLESFPGIEKFKGQYFHSRDYKNPEGFTGKRVIIIGIGNSGGDLAVEISQTAKQVFLSTRRGAWILNRVGDYGYPADVLFSSRLTHFIWKICGQSLANKYLEKKINQRFDHEMFGLKPKHRALSQHPTLNDDLPNRIISGLVKVKGNVKEFTETAAIFEDGSREDDIDAVIFATGYSFDFPFLEDSVKVVKNKISLYKKVFPPNLERPTLAIIGLIQPLGAIMPISELQGRWATQVFKGLKTLPSQSEMMAEISKAQEEIDKRYVESQRHTIQGDYIDTMEELADLVGVRPNLLSLAFTDPKLALHLLLGPCTPIHYRVQGPGKWDGARKAILTTDDRIRKPLMTRVVERSSSMTSTMTIGKFMLALAFFAIIIAYF
+>DECOY_sp|P49326|FMO5_HUMAN Dimethylaniline monooxygenase [N-oxide-forming] 5 OS=Homo sapiens OX=9606 GN=FMO5 PE=1 SV=2
+FYAIIIAFFALALMFKGITMTSTMSSSREVVRTMLPKRIRDDTTLIAKRAGDWKGPGQVRYHIPTCPGLLLHLALKPDTFALSLLNPRVGVLDALEEMTDIYDGQITHRQSEVYRKDIEEQAKSIEAMMESQSPLTKLGKFVQTAWRGQLESIPMIAGLPQILGIIALTPRELNPPFVKKYLSIKNKVVKVSDELFPFDFSYGTAFIVADIDDERSGDEFIAATETFEKVNGKVKVLGSIIRNPLDDNLTPHQSLARHKPKLGFMEHDFRQNIKKELYKNALSQGCIKWIFHTLRSSFLVDAPYGYDGVRNLIWAGRRTSLFVQKATQSIEVALDGGSNGIGIIIVRKGTFGEPNKYDRSHFYQGKFKEIGPFSELPLHANTHHGTCVMVGDFVNMEKKGESETVVEWQGSTAFDPQKKVSCVTTKFRIYKLLDFEKAYMRFYELVQANHMFNPYHDPIPYDSFCMMEKSTNIIVSKYISARGEEPNEQFRWLGGIDDTREFCVPELGEEVCCKISSLGSVGGGIVAIRKKTM
+>sp|Q06787|FMR1_HUMAN Synaptic functional regulator FMR1 OS=Homo sapiens OX=9606 GN=FMR1 PE=1 SV=1
+MEELVVEVRGSNGAFYKAFVKDVHEDSITVAFENNWQPDRQIPFHDVRFPPPVGYNKDINESDEVEVYSRANEKEPCCWWLAKVRMIKGEFYVIEYAACDATYNEIVTIERLRSVNPNKPATKDTFHKIKLDVPEDLRQMCAKEAAHKDFKKAVGAFSVTYDPENYQLVILSINEVTSKRAHMLIDMHFRSLRTKLSLIMRNEEASKQLESSRQLASRFHEQFIVREDLMGLAIGTHGANIQQARKVPGVTAIDLDEDTCTFHIYGEDQDAVKKARSFLEFAEDVIQVPRNLVGKVIGKNGKLIQEIVDKSGVVRVRIEAENEKNVPQEEEIMPPNSLPSNNSRVGPNAPEEKKHLDIKENSTHFSQPNSTKVQRVLVASSVVAGESQKPELKAWQGMVPFVFVGTKDSIANATVLLDYHLNYLKEVDQLRLERLQIDEQLRQIGASSRPPPNRTDKEKSYVTDDGQGMGRGSRPYRNRGHGRRGPGYTSGTNSEASNASETESDHRDELSDWSLAPTEEERESFLRRGDGRRRGGGGRGQGGRGRGGGFKGNDDHSRTDNRPRNPREAKGRTTDGSLQIRVDCNNERSVHTKTLQNTSSEGSRLRTGKDRNQKKEKPDSVDGQQPLVNGVP
+>DECOY_sp|Q06787|FMR1_HUMAN Synaptic functional regulator FMR1 OS=Homo sapiens OX=9606 GN=FMR1 PE=1 SV=1
+PVGNVLPQQGDVSDPKEKKQNRDKGTRLRSGESSTNQLTKTHVSRENNCDVRIQLSGDTTRGKAERPNRPRNDTRSHDDNGKFGGGRGRGGQGRGGGGRRRGDGRRLFSEREEETPALSWDSLEDRHDSETESANSAESNTGSTYGPGRRGHGRNRYPRSGRGMGQGDDTVYSKEKDTRNPPPRSSAGIQRLQEDIQLRELRLQDVEKLYNLHYDLLVTANAISDKTGVFVFPVMGQWAKLEPKQSEGAVVSSAVLVRQVKTSNPQSFHTSNEKIDLHKKEEPANPGVRSNNSPLSNPPMIEEEQPVNKENEAEIRVRVVGSKDVIEQILKGNKGIVKGVLNRPVQIVDEAFELFSRAKKVADQDEGYIHFTCTDEDLDIATVGPVKRAQQINAGHTGIALGMLDERVIFQEHFRSALQRSSELQKSAEENRMILSLKTRLSRFHMDILMHARKSTVENISLIVLQYNEPDYTVSFAGVAKKFDKHAAEKACMQRLDEPVDLKIKHFTDKTAPKNPNVSRLREITVIENYTADCAAYEIVYFEGKIMRVKALWWCCPEKENARSYVEVEDSENIDKNYGVPPPFRVDHFPIQRDPQWNNEFAVTISDEHVDKVFAKYFAGNSGRVEVVLEEM
+>sp|Q53EP0|FND3B_HUMAN Fibronectin type III domain-containing protein 3B OS=Homo sapiens OX=9606 GN=FNDC3B PE=1 SV=2
+MYVTMMMTDQIPLELPPLLNGEVAMMPHLVNGDAAQQVILVQVNPGETFTIRAEDGTLQCIQGPAEVPMMSPNGSIPPIHVPPGYISQVIEDSTGVRRVVVTPQSPECYPPSYPSAMSPTHHLPPYLTHHPHFIHNSHTAYYPPVTGPGDMPPQFFPQHHLPHTIYGEQEIIPFYGMSTYITREDQYSKPPHKKLKDRQIDRQNRLNSPPSSIYKSSCTTVYNGYGKGHSGGSGGGGSGSGPGIKKTERRARSSPKSNDSDLQEYELEVKRVQDILSGIEKPQVSNIQARAVVLSWAPPVGLSCGPHSGLSFPYSYEVALSDKGRDGKYKIIYSGEELECNLKDLRPATDYHVRVYAMYNSVKGSCSEPVSFTTHSCAPECPFPPKLAHRSKSSLTLQWKAPIDNGSKITNYLLEWDEGKRNSGFRQCFFGSQKHCKLTKLCPAMGYTFRLAARNDIGTSGYSQEVVCYTLGNIPQMPSAPRLVRAGITWVTLQWSKPEGCSPEEVITYTLEIQEDENDNLFHPKYTGEDLTCTVKNLKRSTQYKFRLTASNTEGKSCPSEVLVCTTSPDRPGPPTRPLVKGPVTSHGFSVKWDPPKDNGGSEILKYLLEITDGNSEANQWEVAYSGSATEYTFTHLKPGTLYKLRACCISTGGHSQCSESLPVRTLSIAPGQCRPPRVLGRPKHKEVHLEWDVPASESGCEVSEYSVEMTEPEDVASEVYHGPELECTVGNLLPGTVYRFRVRALNDGGYGPYSDVSEITTAAGPPGQCKAPCISCTPDGCVLVGWESPDSSGADISEYRLEWGEDEESLELIYHGTDTRFEIRDLLPAAQYCCRLQAFNQAGAGPYSELVLCQTPASAPDPVSTLCVLEEEPLDAYPDSPSACLVLNWEEPCNNGSEILAYTIDLGDTSITVGNTTMHVMKDLLPETTYRIRIQAINEIGAGPFSQFIKAKTRPLPPLPPRLECAAAGPQSLKLKWGDSNSKTHAAEDIVYTLQLEDRNKRFISIYRGPSHTYKVQRLTEFTCYSFRIQAASEAGEGPFSETYTFSTTKSVPPTIKAPRVTQLEGNSCEILWETVPSMKGDPVNYILQVLVGRESEYKQVYKGEEATFQISGLQTNTDYRFRVCACRRCLDTSQELSGAFSPSAAFVLQRSEVMLTGDMGSLDDPKMKSMMPTDEQFAAIIVLGFATLSILFAFILQYFLMK
+>DECOY_sp|Q53EP0|FND3B_HUMAN Fibronectin type III domain-containing protein 3B OS=Homo sapiens OX=9606 GN=FNDC3B PE=1 SV=2
+KMLFYQLIFAFLISLTAFGLVIIAAFQEDTPMMSKMKPDDLSGMDGTLMVESRQLVFAASPSFAGSLEQSTDLCRRCACVRFRYDTNTQLGSIQFTAEEGKYVQKYESERGVLVQLIYNVPDGKMSPVTEWLIECSNGELQTVRPAKITPPVSKTTSFTYTESFPGEGAESAAQIRFSYCTFETLRQVKYTHSPGRYISIFRKNRDELQLTYVIDEAAHTKSNSDGWKLKLSQPGAAACELRPPLPPLPRTKAKIFQSFPGAGIENIAQIRIRYTTEPLLDKMVHMTTNGVTISTDGLDITYALIESGNNCPEEWNLVLCASPSDPYADLPEEELVCLTSVPDPASAPTQCLVLESYPGAGAQNFAQLRCCYQAAPLLDRIEFRTDTGHYILELSEEDEGWELRYESIDAGSSDPSEWGVLVCGDPTCSICPAKCQGPPGAATTIESVDSYPGYGGDNLARVRFRYVTGPLLNGVTCELEPGHYVESAVDEPETMEVSYESVECGSESAPVDWELHVEKHKPRGLVRPPRCQGPAISLTRVPLSESCQSHGGTSICCARLKYLTGPKLHTFTYETASGSYAVEWQNAESNGDTIELLYKLIESGGNDKPPDWKVSFGHSTVPGKVLPRTPPGPRDPSTTCVLVESPCSKGETNSATLRFKYQTSRKLNKVTCTLDEGTYKPHFLNDNEDEQIELTYTIVEEPSCGEPKSWQLTVWTIGARVLRPASPMQPINGLTYCVVEQSYGSTGIDNRAALRFTYGMAPCLKTLKCHKQSGFFCQRFGSNRKGEDWELLYNTIKSGNDIPAKWQLTLSSKSRHALKPPFPCEPACSHTTFSVPESCSGKVSNYMAYVRVHYDTAPRLDKLNCELEEGSYIIKYKGDRGKDSLAVEYSYPFSLGSHPGCSLGVPPAWSLVVARAQINSVQPKEIGSLIDQVRKVELEYEQLDSDNSKPSSRARRETKKIGPGSGSGGGGSGGSHGKGYGNYVTTCSSKYISSPPSNLRNQRDIQRDKLKKHPPKSYQDERTIYTSMGYFPIIEQEGYITHPLHHQPFFQPPMDGPGTVPPYYATHSNHIFHPHHTLYPPLHHTPSMASPYSPPYCEPSQPTVVVRRVGTSDEIVQSIYGPPVHIPPISGNPSMMPVEAPGQICQLTGDEARITFTEGPNVQVLIVQQAADGNVLHPMMAVEGNLLPPLELPIQDTMMMTVYM
+>sp|Q8TBE3|FNDC9_HUMAN Fibronectin type III domain-containing protein 9 OS=Homo sapiens OX=9606 GN=FNDC9 PE=2 SV=2
+MNIEVGNISYTGAIISWSSSEPCLEDYYHIMYRPNWNSIFSGYLRYSFHHEEKVPRTISSVVLEHLAPSTLYFLCISCKKAAFPYRHYCTMFHTLDKSPLAPGSSLVDPQISLWVLMAILLACFTAVLAFICLQFWCVRCHEPRWSYRAGHMEEANGLVRWPEEAPDLGQREEDLQGLPLVEMPRKNSRDGAELDPEANQDAPDAGALQRGGGDPPAILPHCGE
+>DECOY_sp|Q8TBE3|FNDC9_HUMAN Fibronectin type III domain-containing protein 9 OS=Homo sapiens OX=9606 GN=FNDC9 PE=2 SV=2
+EGCHPLIAPPDGGGRQLAGADPADQNAEPDLEAGDRSNKRPMEVLPLGQLDEERQGLDPAEEPWRVLGNAEEMHGARYSWRPEHCRVCWFQLCIFALVATFCALLIAMLVWLSIQPDVLSSGPALPSKDLTHFMTCYHRYPFAAKKCSICLFYLTSPALHELVVSSITRPVKEEHHFSYRLYGSFISNWNPRYMIHYYDELCPESSSWSIIAGTYSINGVEINM
+>sp|P41439|FOLR3_HUMAN Folate receptor gamma OS=Homo sapiens OX=9606 GN=FOLR3 PE=1 SV=1
+MAWQMMQLLLLALVTAAGSAQPRSARARTDLLNVCMNAKHHKTQPSPEDELYGQCSPWKKNACCTASTSQELHKDTSRLYNFNWDHCGKMEPTCKRHFIQDSCLYECSPNLGPWIRQVNQSWRKERILNVPLCKEDCERWWEDCRTSYTCKSNWHKGWNWTSGINECPAGALCSTFESYFPTPAALCEGLWSHSFKVSNYSRGSGRCIQMWFDSAQGNPNEEVAKFYAAAMNAGAPSRGIIDS
+>DECOY_sp|P41439|FOLR3_HUMAN Folate receptor gamma OS=Homo sapiens OX=9606 GN=FOLR3 PE=1 SV=1
+SDIIGRSPAGANMAAAYFKAVEENPNGQASDFWMQICRGSGRSYNSVKFSHSWLGECLAAPTPFYSEFTSCLAGAPCENIGSTWNWGKHWNSKCTYSTRCDEWWRECDEKCLPVNLIREKRWSQNVQRIWPGLNPSCEYLCSDQIFHRKCTPEMKGCHDWNFNYLRSTDKHLEQSTSATCCANKKWPSCQGYLEDEPSPQTKHHKANMCVNLLDTRARASRPQASGAATVLALLLLQMMQWAM
+>sp|Q96NB1|FOPNL_HUMAN LisH domain-containing protein FOPNL OS=Homo sapiens OX=9606 GN=FOPNL PE=1 SV=1
+MATVAELKAVLKDTLEKKGVLGHLKARIRAEVFNALDDDREPRPSLSHENLLINELIREYLEFNKYKYTASVLIAESGQPVVPLDRQFLIHELNAFEESKDNTIPLLYGILAHFLRGTKDGIQNAFLKGPSLQPSDPSLGRQPSRRKPMDDHLRKEEQKSTNIEDLHVSQAVNR
+>DECOY_sp|Q96NB1|FOPNL_HUMAN LisH domain-containing protein FOPNL OS=Homo sapiens OX=9606 GN=FOPNL PE=1 SV=1
+RNVAQSVHLDEINTSKQEEKRLHDDMPKRRSPQRGLSPDSPQLSPGKLFANQIGDKTGRLFHALIGYLLPITNDKSEEFANLEHILFQRDLPVVPQGSEAILVSATYKYKNFELYERILENILLNEHSLSPRPERDDDLANFVEARIRAKLHGLVGKKELTDKLVAKLEAVTAM
+>sp|P53539|FOSB_HUMAN Protein fosB OS=Homo sapiens OX=9606 GN=FOSB PE=1 SV=1
+MFQAFPGDYDSGSRCSSSPSAESQYLSSVDSFGSPPTAAASQECAGLGEMPGSFVPTVTAITTSQDLQWLVQPTLISSMAQSQGQPLASQPPVVDPYDMPGTSYSTPGMSGYSSGGASGSGGPSTSGTTSGPGPARPARARPRRPREETLTPEEEEKRRVRRERNKLAAAKCRNRRRELTDRLQAETDQLEEEKAELESEIAELQKEKERLEFVLVAHKPGCKIPYEEGPGPGPLAEVRDLPGSAPAKEDGFSWLLPPPPPPPLPFQTSQDAPPNLTASLFTHSEVQVLGDPFPVVNPSYTSSFVLTCPEVSAFAGAQRTSGSDQPSDPLNSPSLLAL
+>DECOY_sp|P53539|FOSB_HUMAN Protein fosB OS=Homo sapiens OX=9606 GN=FOSB PE=1 SV=1
+LALLSPSNLPDSPQDSGSTRQAGAFASVEPCTLVFSSTYSPNVVPFPDGLVQVESHTFLSATLNPPADQSTQFPLPPPPPPPLLWSFGDEKAPASGPLDRVEALPGPGPGEEYPIKCGPKHAVLVFELREKEKQLEAIESELEAKEEELQDTEAQLRDTLERRRNRCKAAALKNRERRVRRKEEEEPTLTEERPRRPRARAPRAPGPGSTTGSTSPGGSGSAGGSSYGSMGPTSYSTGPMDYPDVVPPQSALPQGQSQAMSSILTPQVLWQLDQSTTIATVTPVFSGPMEGLGACEQSAAATPPSGFSDVSSLYQSEASPSSSCRSGSDYDGPFAQFM
+>sp|Q99853|FOXB1_HUMAN Forkhead box protein B1 OS=Homo sapiens OX=9606 GN=FOXB1 PE=1 SV=3
+MPRPGRNTYSDQKPPYSYISLTAMAIQSSPEKMLPLSEIYKFIMDRFPYYRENTQRWQNSLRHNLSFNDCFIKIPRRPDQPGKGSFWALHPSCGDMFENGSFLRRRKRFKVLKSDHLAPSKPADAAQYLQQQAKLRLSALAASGTHLPQMPAAAYNLGGVAQPSGFKHPFAIENIIAREYKMPGGLAFSAMQPVPAAYPLPNQLTTMGSSLGTGWPHVYGSAGMIDSATPISMASGDYSAYGVPLKPLCHAAGQTLPAIPVPIKPTPAAVPALPALPAPIPTLLSNSPPSLSPTSSQTATSQSSPATPSETLTSPASALHSVAVH
+>DECOY_sp|Q99853|FOXB1_HUMAN Forkhead box protein B1 OS=Homo sapiens OX=9606 GN=FOXB1 PE=1 SV=3
+HVAVSHLASAPSTLTESPTAPSSQSTATQSSTPSLSPPSNSLLTPIPAPLAPLAPVAAPTPKIPVPIAPLTQGAAHCLPKLPVGYASYDGSAMSIPTASDIMGASGYVHPWGTGLSSGMTTLQNPLPYAAPVPQMASFALGGPMKYERAIINEIAFPHKFGSPQAVGGLNYAAAPMQPLHTGSAALASLRLKAQQQLYQAADAPKSPALHDSKLVKFRKRRRLFSGNEFMDGCSPHLAWFSGKGPQDPRRPIKIFCDNFSLNHRLSNQWRQTNERYYPFRDMIFKYIESLPLMKEPSSQIAMATLSIYSYPPKQDSYTNRGPRPM
+>sp|Q99958|FOXC2_HUMAN Forkhead box protein C2 OS=Homo sapiens OX=9606 GN=FOXC2 PE=1 SV=1
+MQARYSVSDPNALGVVPYLSEQNYYRAAGSYGGMASPMGVYSGHPEQYSAGMGRSYAPYHHHQPAAPKDLVKPPYSYIALITMAIQNAPEKKITLNGIYQFIMDRFPFYRENKQGWQNSIRHNLSLNECFVKVPRDDKKPGKGSYWTLDPDSYNMFENGSFLRRRRRFKKKDVSKEKEERAHLKEPPPAASKGAPATPHLADAPKEAEKKVVIKSEAASPALPVITKVETLSPESALQGSPRSAASTPAGSPDGSLPEHHAAAPNGLPGFSVENIMTLRTSPPGGELSPGAGRAGLVVPPLALPYAAAPPAAYGQPCAQGLEAGAAGGYQCSMRAMSLYTGAERPAHMCVPPALDEALSDHPSGPTSPLSALNLAAGQEGALAATGHHHQHHGHHHPQAPPPPPAPQPQPTPQPGAAAAQAASWYLNHSGDLNHLPGHTFAAQQQTFPNVREMFNSHRLGIENSTLGESQVSGNASCQLPYRSTPPLYRHAAPYSYDCTKY
+>DECOY_sp|Q99958|FOXC2_HUMAN Forkhead box protein C2 OS=Homo sapiens OX=9606 GN=FOXC2 PE=1 SV=1
+YKTCDYSYPAAHRYLPPTSRYPLQCSANGSVQSEGLTSNEIGLRHSNFMERVNPFTQQQAAFTHGPLHNLDGSHNLYWSAAQAAAAGPQPTPQPQPAPPPPPAQPHHHGHHQHHHGTAALAGEQGAALNLASLPSTPGSPHDSLAEDLAPPVCMHAPREAGTYLSMARMSCQYGGAAGAELGQACPQGYAAPPAAAYPLALPPVVLGARGAGPSLEGGPPSTRLTMINEVSFGPLGNPAAAHHEPLSGDPSGAPTSAASRPSGQLASEPSLTEVKTIVPLAPSAAESKIVVKKEAEKPADALHPTAPAGKSAAPPPEKLHAREEKEKSVDKKKFRRRRRLFSGNEFMNYSDPDLTWYSGKGPKKDDRPVKVFCENLSLNHRISNQWGQKNERYFPFRDMIFQYIGNLTIKKEPANQIAMTILAIYSYPPKVLDKPAAPQHHHYPAYSRGMGASYQEPHGSYVGMPSAMGGYSGAARYYNQESLYPVVGLANPDSVSYRAQM
+>sp|O00358|FOXE1_HUMAN Forkhead box protein E1 OS=Homo sapiens OX=9606 GN=FOXE1 PE=1 SV=3
+MTAESGPPPPQPEVLATVKEERGETAAGAGVPGEATGRGAGGRRRKRPLQRGKPPYSYIALIAMAIAHAPERRLTLGGIYKFITERFPFYRDNPKKWQNSIRHNLTLNDCFLKIPREAGRPGKGNYWALDPNAEDMFESGSFLRRRKRFKRSDLSTYPAYMHDAAAAAAAAAAAAAAAAIFPGAVPAARPPYPGAVYAGYAPPSLAAPPPVYYPAASPGPCRVFGLVPERPLSPELGPAPSGPGGSCAFASAGAPATTTGYQPAGCTGARPANPSAYAAAYAGPDGAYPQGAGSAIFAAAGRLAGPASPPAGGSSGGVETTVDFYGRTSPGQFGALGACYNPGGQLGGASAGAYHARHAAAYPGGIDRFVSAM
+>DECOY_sp|O00358|FOXE1_HUMAN Forkhead box protein E1 OS=Homo sapiens OX=9606 GN=FOXE1 PE=1 SV=3
+MASVFRDIGGPYAAAHRAHYAGASAGGLQGGPNYCAGLAGFQGPSTRGYFDVTTEVGGSSGGAPPSAPGALRGAAAFIASGAGQPYAGDPGAYAAAYASPNAPRAGTCGAPQYGTTTAPAGASAFACSGGPGSPAPGLEPSLPREPVLGFVRCPGPSAAPYYVPPPAALSPPAYGAYVAGPYPPRAAPVAGPFIAAAAAAAAAAAAAAAADHMYAPYTSLDSRKFRKRRRLFSGSEFMDEANPDLAWYNGKGPRGAERPIKLFCDNLTLNHRISNQWKKPNDRYFPFRETIFKYIGGLTLRREPAHAIAMAILAIYSYPPKGRQLPRKRRRGGAGRGTAEGPVGAGAATEGREEKVTALVEPQPPPPGSEATM
+>sp|P85037|FOXK1_HUMAN Forkhead box protein K1 OS=Homo sapiens OX=9606 GN=FOXK1 PE=1 SV=1
+MAEVGEDSGARALLALRSAPCSPVLCAAAAAAAFPAAAPPPAPAQPQPPPGPPPPPPPPLPPGAIAGAGSSGGSSGVSGDSAVAGAAPALVAAAAASVRQSPGPALARLEGREFEFLMRQPSVTIGRNSSQGSVDLSMGLSSFISRRHLQLSFQEPHFYLRCLGKNGVFVDGAFQRRGAPALQLPKQCTFRFPSTAIKIQFTSLYHKEEAPASPLRPLYPQISPLKIHIPEPDLRSMVSPVPSPTGTISVPNSCPASPRGAGSSSYRFVQNVTSDLQLAAEFAAKAASEQQADTSGGDSPKDESKPPFSYAQLIVQAISSAQDRQLTLSGIYAHITKHYPYYRTADKGWQNSIRHNLSLNRYFIKVPRSQEEPGKGSFWRIDPASEAKLVEQAFRKRRQRGVSCFRTPFGPLSSRSAPASPTHPGLMSPRSGGLQTPECLSREGSPIPHDPEFGSKLASVPEYRYSQSAPGSPVSAQPVIMAVPPRPSSLVAKPVAYMPASIVTSQQPAGHAIHVVQQAPTVTMVRVVTTSANSANGYILTSQGAAGGSHDAAGAAVLDLGSEARGLEEKPTIAFATIPAAGGVIQTVASQMAPGVPGHTVTILQPATPVTLGQHHLPVRAVTQNGKHAVPTNSLAGNAYALTSPLQLLATQASSSAPVVVTRVCEVGPKEPAAAVAATATTTPATATTASASASSTGEPEVKRSRVEEPSGAVTTPAGVIAAAGPQGPGTGE
+>DECOY_sp|P85037|FOXK1_HUMAN Forkhead box protein K1 OS=Homo sapiens OX=9606 GN=FOXK1 PE=1 SV=1
+EGTGPGQPGAAAIVGAPTTVAGSPEEVRSRKVEPEGTSSASASATTATAPTTTATAAVAAAPEKPGVECVRTVVVPASSSAQTALLQLPSTLAYANGALSNTPVAHKGNQTVARVPLHHQGLTVPTAPQLITVTHGPVGPAMQSAVTQIVGGAAPITAFAITPKEELGRAESGLDLVAAGAADHSGGAAGQSTLIYGNASNASTTVVRVMTVTPAQQVVHIAHGAPQQSTVISAPMYAVPKAVLSSPRPPVAMIVPQASVPSGPASQSYRYEPVSALKSGFEPDHPIPSGERSLCEPTQLGGSRPSMLGPHTPSAPASRSSLPGFPTRFCSVGRQRRKRFAQEVLKAESAPDIRWFSGKGPEEQSRPVKIFYRNLSLNHRISNQWGKDATRYYPYHKTIHAYIGSLTLQRDQASSIAQVILQAYSFPPKSEDKPSDGGSTDAQQESAAKAAFEAALQLDSTVNQVFRYSSSGAGRPSAPCSNPVSITGTPSPVPSVMSRLDPEPIHIKLPSIQPYLPRLPSAPAEEKHYLSTFQIKIATSPFRFTCQKPLQLAPAGRRQFAGDVFVGNKGLCRLYFHPEQFSLQLHRRSIFSSLGMSLDVSGQSSNRGITVSPQRMLFEFERGELRALAPGPSQRVSAAAAAVLAPAAGAVASDGSVGSSGGSSGAGAIAGPPLPPPPPPPPGPPPQPQAPAPPPAAAPFAAAAAAACLVPSCPASRLALLARAGSDEGVEAM
+>sp|Q6PIV2|FOXR1_HUMAN Forkhead box protein R1 OS=Homo sapiens OX=9606 GN=FOXR1 PE=1 SV=2
+MGNELFLAFTTSHLPLAEQKLARYKLRIVKPPKLPLEKKPNPDKDGPDYEPNLWMWVNPNIVYPPGKLEVSGRRKREDLTSTLPSSQPPQKEEDASCSEAAGVESLSQSSSKRSPPRKRFAFSPSTWELTEEEEAEDQEDSSSMALPSPHKRAPLQSRRLRQASSQAGRLWSRPPLNYFHLIALALRNSSPCGLNVQQIYSFTRKHFPFFRTAPEGWKNTVRHNLCFRDSFEKVPVSMQGGASTRPRSCLWKLTEEGHRRFAEEARALASTRLESIQQCMSQPDVMPFLFDL
+>DECOY_sp|Q6PIV2|FOXR1_HUMAN Forkhead box protein R1 OS=Homo sapiens OX=9606 GN=FOXR1 PE=1 SV=2
+LDFLFPMVDPQSMCQQISELRTSALARAEEAFRRHGEETLKWLCSRPRTSAGGQMSVPVKEFSDRFCLNHRVTNKWGEPATRFFPFHKRTFSYIQQVNLGCPSSNRLALAILHFYNLPPRSWLRGAQSSAQRLRRSQLPARKHPSPLAMSSSDEQDEAEEEETLEWTSPSFAFRKRPPSRKSSSQSLSEVGAAESCSADEEKQPPQSSPLTSTLDERKRRGSVELKGPPYVINPNVWMWLNPEYDPGDKDPNPKKELPLKPPKVIRLKYRALKQEALPLHSTTFALFLENGM
+>sp|P21462|FPR1_HUMAN fMet-Leu-Phe receptor OS=Homo sapiens OX=9606 GN=FPR1 PE=1 SV=3
+METNSSLPTNISGGTPAVSAGYLFLDIITYLVFAVTFVLGVLGNGLVIWVAGFRMTHTVTTISYLNLAVADFCFTSTLPFFMVRKAMGGHWPFGWFLCKFVFTIVDINLFGSVFLIALIALDRCVCVLHPVWTQNHRTVSLAKKVIIGPWVMALLLTLPVIIRVTTVPGKTGTVACTFNFSPWTNDPKERINVAVAMLTVRGIIRFIIGFSAPMSIVAVSYGLIATKIHKQGLIKSSRPLRVLSFVAAAFFLCWSPYQVVALIATVRIRELLQGMYKEIGIAVDVTSALAFFNSCLNPMLYVFMGQDFRERLIHALPASLERALTEDSTQTSDTATNSTLPSAEVELQAK
+>DECOY_sp|P21462|FPR1_HUMAN fMet-Leu-Phe receptor OS=Homo sapiens OX=9606 GN=FPR1 PE=1 SV=3
+KAQLEVEASPLTSNTATDSTQTSDETLARELSAPLAHILRERFDQGMFVYLMPNLCSNFFALASTVDVAIGIEKYMGQLLERIRVTAILAVVQYPSWCLFFAAAVFSLVRLPRSSKILGQKHIKTAILGYSVAVISMPASFGIIFRIIGRVTLMAVAVNIREKPDNTWPSFNFTCAVTGTKGPVTTVRIIVPLTLLLAMVWPGIIVKKALSVTRHNQTWVPHLVCVCRDLAILAILFVSGFLNIDVITFVFKCLFWGFPWHGGMAKRVMFFPLTSTFCFDAVALNLYSITTVTHTMRFGAVWIVLGNGLVGLVFTVAFVLYTIIDLFLYGASVAPTGGSINTPLSSNTEM
+>sp|Q9P2B2|FPRP_HUMAN Prostaglandin F2 receptor negative regulator OS=Homo sapiens OX=9606 GN=PTGFRN PE=1 SV=2
+MGRLASRPLLLALLSLALCRGRVVRVPTATLVRVVGTELVIPCNVSDYDGPSEQNFDWSFSSLGSSFVELASTWEVGFPAQLYQERLQRGEILLRRTANDAVELHIKNVQPSDQGHYKCSTPSTDATVQGNYEDTVQVKVLADSLHVGPSARPPPSLSLREGEPFELRCTAASASPLHTHLALLWEVHRGPARRSVLALTHEGRFHPGLGYEQRYHSGDVRLDTVGSDAYRLSVSRALSADQGSYRCIVSEWIAEQGNWQEIQEKAVEVATVVIQPSVLRAAVPKNVSVAEGKELDLTCNITTDRADDVRPEVTWSFSRMPDSTLPGSRVLARLDRDSLVHSSPHVALSHVDARSYHLLVRDVSKENSGYYYCHVSLWAPGHNRSWHKVAEAVSSPAGVGVTWLEPDYQVYLNASKVPGFADDPTELACRVVDTKSGEANVRFTVSWYYRMNRRSDNVVTSELLAVMDGDWTLKYGERSKQRAQDGDFIFSKEHTDTFNFRIQRTTEEDRGNYYCVVSAWTKQRNNSWVKSKDVFSKPVNIFWALEDSVLVVKARQPKPFFAAGNTFEMTCKVSSKNIKSPRYSVLIMAEKPVGDLSSPNETKYIISLDQDSVVKLENWTDASRVDGVVLEKVQEDEFRYRMYQTQVSDAGLYRCMVTAWSPVRGSLWREAATSLSNPIEIDFQTSGPIFNASVHSDTPSVIRGDLIKLFCIITVEGAALDPDDMAFDVSWFAVHSFGLDKAPVLLSSLDRKGIVTTSRRDWKSDLSLERVSVLEFLLQVHGSEDQDFGNYYCSVTPWVKSPTGSWQKEAEIHSKPVFITVKMDVLNAFKYPLLIGVGLSTVIGLLSCLIGYCSSHWCCKKEVQETRRERRRLMSMEMD
+>DECOY_sp|Q9P2B2|FPRP_HUMAN Prostaglandin F2 receptor negative regulator OS=Homo sapiens OX=9606 GN=PTGFRN PE=1 SV=2
+DMEMSMLRRRERRTEQVEKKCCWHSSCYGILCSLLGIVTSLGVGILLPYKFANLVDMKVTIFVPKSHIEAEKQWSGTPSKVWPTVSCYYNGFDQDESGHVQLLFELVSVRELSLDSKWDRRSTTVIGKRDLSSLLVPAKDLGFSHVAFWSVDFAMDDPDLAAGEVTIICFLKILDGRIVSPTDSHVSANFIPGSTQFDIEIPNSLSTAAERWLSGRVPSWATVMCRYLGADSVQTQYMRYRFEDEQVKELVVGDVRSADTWNELKVVSDQDLSIIYKTENPSSLDGVPKEAMILVSYRPSKINKSSVKCTMEFTNGAAFFPKPQRAKVVLVSDELAWFINVPKSFVDKSKVWSNNRQKTWASVVCYYNGRDEETTRQIRFNFTDTHEKSFIFDGDQARQKSREGYKLTWDGDMVALLESTVVNDSRRNMRYYWSVTFRVNAEGSKTDVVRCALETPDDAFGPVKSANLYVQYDPELWTVGVGAPSSVAEAVKHWSRNHGPAWLSVHCYYYGSNEKSVDRVLLHYSRADVHSLAVHPSSHVLSDRDLRALVRSGPLTSDPMRSFSWTVEPRVDDARDTTINCTLDLEKGEAVSVNKPVAARLVSPQIVVTAVEVAKEQIEQWNGQEAIWESVICRYSGQDASLARSVSLRYADSGVTDLRVDGSHYRQEYGLGPHFRGEHTLALVSRRAPGRHVEWLLALHTHLPSASAATCRLEFPEGERLSLSPPPRASPGVHLSDALVKVQVTDEYNGQVTADTSPTSCKYHGQDSPQVNKIHLEVADNATRRLLIEGRQLREQYLQAPFGVEWTSALEVFSSGLSSFSWDFNQESPGDYDSVNCPIVLETGVVRVLTATPVRVVRGRCLALSLLALLLPRSALRGM
+>sp|A9Z1Z3|FR1L4_HUMAN Fer-1-like protein 4 OS=Homo sapiens OX=9606 GN=FER1L4 PE=2 SV=1
+MFSPLKSRARALAHGDPFQVSRAQDFQVGVTVLEAQKLVGVNINPYVAVQVGGQRRVTATQRGTSCPFYNEYFLFEFHDTRLRLQDLLLEITAFHSQTLPFMATRIGTFRMDLGIILDQPDGQFYQRWVPLHDPRDTRAGTKGFIKVTLSVRARGDLPPPMLPPAPGHCSDIEKNLLLPRGVPAERPWARLRVRLYRAEGLPALRLGLLGSLVRALHDQRVLVEPYVRVSFLGQEGETSVSAEAAAPEWNEQLSFVELFPPLTRSLRLQLRDDAPLVDAALATHVPDLRRISHPGRAAGFNPTFGPAWVPLYGSPPGAGLRDSLQGLNEGVGQGIWFRGRLLLAVSMQVLEGRAEPEPPQAQQGSTLSRLTRKKKKKARRDQTPKAVPQHLDASPGAEGPEIPRAMEVEVEELLPLPENVLAPCEDFLLFGVLFEATMIDPTVASQPISFEISIGRAGRLEEQLGRGSRAGEGTEGAAVEAQPLLGARPEEEKEEEELGTHAQRPEPMDGSGPYFCLPLCHCKPCMHVWSCWEDHTWRLQSSNCVRKVAERLDQGLQEVERLQRKPGPGACAQLKQALEVLVAGSRQFCHGAERRTMTRPNALDRCRGKLLVHSLNLLAKQGLRLLRGLRRRNVQKKVALAKKLLAKLRFLAEEPQPPLPDVLVWMLSGQRRVAWARIPAQDVLFSVVEEERGRDCGKIQSLMLTAPGAAPGEVCAKLELFLRLGLGKQAKACTSELPPDLLPEPSAGLPSSLHRDDFSYFQLRAHLYQARGVLAADDSGLSDPFARVLISTQCQTTRVLEQTLSPLWDELLVFEQLIVDGRREHLQEEPPLVIINVFDHNKFGPPVFLGRALAAPRVKLMEDPYQRPELQFFPLRKGPWAAGELIAAFQLIELDYSGRLEPSVPSEVEPQDLAPLVEPHSGRLSLPPNVCPVLREFRVEVLFWGLRGLGRVHLLEVEQPQVVLEVAGQGVESEVLASYRESPNFTELVRHLTVDLPEQPYLQPPLSILVIERRAFGHTVLVGSHIVPHMLRFTFRGHEDPPEEEGEMEETGDMMPKGPQGQKSLDPFLAEAGISRQLLKPPLKKLPLGGLLNQGPGLEEDIPDPEELDWGSKYYASLQELQGQHNFDEDEMDDPGDSDGVNLISMVGEIQDQGEAEVKGTVSPKKAVATLKIYNRSLKEEFNHFEDWLNVFPLYRGQGGQDGGGEEEGSGHLVGKFKGSFLIYPESEAVLFSEPQISRGIPQNRPIKLLVRVYVVKATNLAPADPNGKADPYVVVSAGRERQDTKERYIPKQLNPIFGEILELSISLPAETELTVAVFDHDLVGSDDLIGETHIDLENRFYSHHRANCGLASQYEVDGYNAWRDAFWPSQILAGLCQRCGLPAPEYRAGAVKVGSKVFLTPPETLPPGSSSPTVASGDPEEAQALLVLRRWQEMPGFGIQLVPEHVETRPLYHPHSPGLLQGSLHMWIDIFPQDVPAPPPVDIKPRQPISYELRVVIWNTEDVVLDDENPLTGEMSSDIYVKSWVKGLEHDKQETDVHFNSLTGEGNFNWRFVFRFDYLPTEREVSVWRRSGPFALEEAEFRQPAVLVLQVWDYDRISANDFLGSLELQLPDMVRGARGPELCSVQLARNGAGPRCNLFRCRRLRGWWPVVKLKEAEDVEREAQEAQAGKKKRKQRRRKGRPEDLEFTDMGGNVYILTGKVEAEFELLTVEEAEKRPVGKGRKQPEPLEKPSRPKTSFNWFVNPLKTFVFFIWRRYWRTLVLLLLVLLTVFLLLVFYTIPGQISQVIFRPLHK
+>DECOY_sp|A9Z1Z3|FR1L4_HUMAN Fer-1-like protein 4 OS=Homo sapiens OX=9606 GN=FER1L4 PE=2 SV=1
+KHLPRFIVQSIQGPITYFVLLLFVTLLVLLLLVLTRWYRRWIFFVFTKLPNVFWNFSTKPRSPKELPEPQKRGKGVPRKEAEEVTLLEFEAEVKGTLIYVNGGMDTFELDEPRGKRRRQKRKKKGAQAEQAEREVDEAEKLKVVPWWGRLRRCRFLNCRPGAGNRALQVSCLEPGRAGRVMDPLQLELSGLFDNASIRDYDWVQLVLVAPQRFEAEELAFPGSRRWVSVERETPLYDFRFVFRWNFNGEGTLSNFHVDTEQKDHELGKVWSKVYIDSSMEGTLPNEDDLVVDETNWIVVRLEYSIPQRPKIDVPPPAPVDQPFIDIWMHLSGQLLGPSHPHYLPRTEVHEPVLQIGFGPMEQWRRLVLLAQAEEPDGSAVTPSSSGPPLTEPPTLFVKSGVKVAGARYEPAPLGCRQCLGALIQSPWFADRWANYGDVEYQSALGCNARHHSYFRNELDIHTEGILDDSGVLDHDFVAVTLETEAPLSISLELIEGFIPNLQKPIYREKTDQRERGASVVVYPDAKGNPDAPALNTAKVVYVRVLLKIPRNQPIGRSIQPESFLVAESEPYILFSGKFKGVLHGSGEEEGGGDQGGQGRYLPFVNLWDEFHNFEEKLSRNYIKLTAVAKKPSVTGKVEAEGQDQIEGVMSILNVGDSDGPDDMEDEDFNHQGQLEQLSAYYKSGWDLEEPDPIDEELGPGQNLLGGLPLKKLPPKLLQRSIGAEALFPDLSKQGQPGKPMMDGTEEMEGEEEPPDEHGRFTFRLMHPVIHSGVLVTHGFARREIVLISLPPQLYPQEPLDVTLHRVLETFNPSERYSALVESEVGQGAVELVVQPQEVELLHVRGLGRLGWFLVEVRFERLVPCVNPPLSLRGSHPEVLPALDQPEVESPVSPELRGSYDLEILQFAAILEGAAWPGKRLPFFQLEPRQYPDEMLKVRPAALARGLFVPPGFKNHDFVNIIVLPPEEQLHERRGDVILQEFVLLEDWLPSLTQELVRTTQCQTSILVRAFPDSLGSDDAALVGRAQYLHARLQFYSFDDRHLSSPLGASPEPLLDPPLESTCAKAQKGLGLRLFLELKACVEGPAAGPATLMLSQIKGCDRGREEEVVSFLVDQAPIRAWAVRRQGSLMWVLVDPLPPQPEEALFRLKALLKKALAVKKQVNRRRLGRLLRLGQKALLNLSHVLLKGRCRDLANPRTMTRREAGHCFQRSGAVLVELAQKLQACAGPGPKRQLREVEQLGQDLREAVKRVCNSSQLRWTHDEWCSWVHMCPKCHCLPLCFYPGSGDMPEPRQAHTGLEEEEKEEEPRAGLLPQAEVAAGETGEGARSGRGLQEELRGARGISIEFSIPQSAVTPDIMTAEFLVGFLLFDECPALVNEPLPLLEEVEVEMARPIEPGEAGPSADLHQPVAKPTQDRRAKKKKKRTLRSLTSGQQAQPPEPEARGELVQMSVALLLRGRFWIGQGVGENLGQLSDRLGAGPPSGYLPVWAPGFTPNFGAARGPHSIRRLDPVHTALAADVLPADDRLQLRLSRTLPPFLEVFSLQENWEPAAAEASVSTEGEQGLFSVRVYPEVLVRQDHLARVLSGLLGLRLAPLGEARYLRVRLRAWPREAPVGRPLLLNKEIDSCHGPAPPLMPPPLDGRARVSLTVKIFGKTGARTDRPDHLPVWRQYFQGDPQDLIIGLDMRFTGIRTAMFPLTQSHFATIELLLDQLRLRTDHFEFLFYENYFPCSTGRQTATVRRQGGVQVAVYPNINVGVLKQAELVTVGVQFDQARSVQFPDGHALARARSKLPSFM
+>sp|Q14331|FRG1_HUMAN Protein FRG1 OS=Homo sapiens OX=9606 GN=FRG1 PE=1 SV=1
+MAEYSYVKSTKLVLKGTKTKSKKKKSKDKKRKREEDEETQLDIVGIWWTVTNFGEISGTIAIEMDKGTYIHALDNGLFTLGAPHKEVDEGPSPPEQFTAVKLSDSRIALKSGYGKYLGINSDGLVVGRSDAIGPREQWEPVFQNGKMALLASNSCFIRCNEAGDIEAKSKTAGEEEMIKIRSCAERETKKKDDIPEEDKGNVKQCEINYVKKFQSFQDHKLKISKEDSKILKKARKDGFLHETLLDRRAKLKADRYCK
+>DECOY_sp|Q14331|FRG1_HUMAN Protein FRG1 OS=Homo sapiens OX=9606 GN=FRG1 PE=1 SV=1
+KCYRDAKLKARRDLLTEHLFGDKRAKKLIKSDEKSIKLKHDQFSQFKKVYNIECQKVNGKDEEPIDDKKKTEREACSRIKIMEEEGATKSKAEIDGAENCRIFCSNSALLAMKGNQFVPEWQERPGIADSRGVVLGDSNIGLYKGYGSKLAIRSDSLKVATFQEPPSPGEDVEKHPAGLTFLGNDLAHIYTGKDMEIAITGSIEGFNTVTWWIGVIDLQTEEDEERKRKKDKSKKKKSKTKTGKLVLKTSKVYSYEAM
+>sp|Q64ET8|FRG2_HUMAN Protein FRG2 OS=Homo sapiens OX=9606 GN=FRG2 PE=2 SV=1
+MGKGNEDSDLHCSSIQCSTDQPPFQQISFTEKGSDEKKPFKEKGKTAFSHSSEKHIQRQGSEPNPNKENSEETKLKAGNSTAGSEPESSSYRENCRKRKMSSKDSCQDTAGNCPEKECSLSLNKKSRSSTAVHNSEIQETCDAHHRGHSRACTGHSKRHRSRALGVQTPSIRKSLVTSVRAMSEAVYQDLAQVWAQQIHSPLTCEQLTLLTRLRGPLCAQVQTLYSMATQAAYVFPAESWLVPATLPGPGESALDREAHPFPGQEITETVSGSDEAKL
+>DECOY_sp|Q64ET8|FRG2_HUMAN Protein FRG2 OS=Homo sapiens OX=9606 GN=FRG2 PE=2 SV=1
+LKAEDSGSVTETIEQGPFPHAERDLASEGPGPLTAPVLWSEAPFVYAAQTAMSYLTQVQACLPGRLRTLLTLQECTLPSHIQQAWVQALDQYVAESMARVSTVLSKRISPTQVGLARSRHRKSHGTCARSHGRHHADCTEQIESNHVATSSRSKKNLSLSCEKEPCNGATDQCSDKSSMKRKRCNERYSSSEPESGATSNGAKLKTEESNEKNPNPESGQRQIHKESSHSFATKGKEKFPKKEDSGKETFSIQQFPPQDTSCQISSCHLDSDENGKGM
+>sp|Q7Z6J6|FRMD5_HUMAN FERM domain-containing protein 5 OS=Homo sapiens OX=9606 GN=FRMD5 PE=1 SV=1
+MLSRLMSGSSRSLEREYSCTVRLLDDSEYTCTIQRDAKGQYLFDLLCHHLNLLEKDYFGIRFVDPDKQRHWLEFTKSVVKQLRSQPPFTMCFRVKFYPADPAALKEEITRYLVFLQIKRDLYHGRLLCKTSDAALLAAYILQAEIGDYDSGKHPEGYSSKFQFFPKHSEKLERKIAEIHKTELSGQTPATSELNFLRKAQTLETYGVDPHPCKDVSGNAAFLAFTPFGFVVLQGNKRVHFIKWNEVTKLKFEGKTFYLYVSQKEEKKIILTYFAPTPEACKHLWKCGIENQAFYKLEKSSQVRTVSSSNLFFKGSRFRYSGRVAKEVMESSAKIKREPPEIHRAGMVPSRSCPSITHGPRLSSVPRTRRRAVHISIMEGLESLRDSAHSTPVRSTSHGDTFLPHVRSSRTDSNERVAVIADEAYSPADSVLPTPVAEHSLELMLLSRQINGATCSIEEEKESEASTPTATEVEALGGELRALCQGHSGPEEEQVNKFVLSVLRLLLVTMGLLFVLLLLLIILTESDLDIAFFRDIRQTPEFEQFHYQYFCPLRRWFACKIRSVVSLLIDT
+>DECOY_sp|Q7Z6J6|FRMD5_HUMAN FERM domain-containing protein 5 OS=Homo sapiens OX=9606 GN=FRMD5 PE=1 SV=1
+TDILLSVVSRIKCAFWRRLPCFYQYHFQEFEPTQRIDRFFAIDLDSETLIILLLLLVFLLGMTVLLLRLVSLVFKNVQEEEPGSHGQCLARLEGGLAEVETATPTSAESEKEEEISCTAGNIQRSLLMLELSHEAVPTPLVSDAPSYAEDAIVAVRENSDTRSSRVHPLFTDGHSTSRVPTSHASDRLSELGEMISIHVARRRTRPVSSLRPGHTISPCSRSPVMGARHIEPPERKIKASSEMVEKAVRGSYRFRSGKFFLNSSSVTRVQSSKELKYFAQNEIGCKWLHKCAEPTPAFYTLIIKKEEKQSVYLYFTKGEFKLKTVENWKIFHVRKNGQLVVFGFPTFALFAANGSVDKCPHPDVGYTELTQAKRLFNLESTAPTQGSLETKHIEAIKRELKESHKPFFQFKSSYGEPHKGSDYDGIEAQLIYAALLAADSTKCLLRGHYLDRKIQLFVLYRTIEEKLAAPDAPYFKVRFCMTFPPQSRLQKVVSKTFELWHRQKDPDVFRIGFYDKELLNLHHCLLDFLYQGKADRQITCTYESDDLLRVTCSYERELSRSSGSMLRSLM
+>sp|Q6ZNA5|FRRS1_HUMAN Ferric-chelate reductase 1 OS=Homo sapiens OX=9606 GN=FRRS1 PE=2 SV=2
+MAVSGFTLGTCILLLHISYVANYPNGKVTQSCHGMIPEHGHSPQSVPVHDIYVSQMTFRPGDQIEVTLSGHPFKGFLLEARNAEDLNGPPIGSFTLIDSEVSQLLTCEDIQGSAVSHRSASKKTEIKVYWNAPSSAPNHTQFLVTVVEKYKIYWVKIPGPIISQPNAFPFTTPKATVVPLPTLPPVSHLTKPFSASDCGNKKFCIRSPLNCDPEKEASCVFLSFTRDDQSVMVEMSGPSKGYLSFALSHDQWMGDDDAYLCIHEDQTVYIQPSHLTGRSHPVMDSRDTLEDMAWRLADGVMQCSFRRNITLPGVKNRFDLNTSYYIFLADGAANDGRIYKHSQQPLITYEKYDVTDSPKNIGGSHSVLLLKVHGALMFVAWMTTVSIGVLVARFFKPVWSKAFLLGEAAWFQVHRMLMFTTTVLTCIAFVMPFIYRGGWSRHAGYHPYLGCIVMTLAVLQPLLAVFRPPLHDPRRQMFNWTHWSMGTAARIIAVAAMFLGMDLPGLNLPDSWKTYAMTGFVAWHVGTEVVLEVHAYRLSRKVEILDDDRIQILQSFTAVETEGHAFKKAVLAIYVCGNVTFLIIFLSAINHL
+>DECOY_sp|Q6ZNA5|FRRS1_HUMAN Ferric-chelate reductase 1 OS=Homo sapiens OX=9606 GN=FRRS1 PE=2 SV=2
+LHNIASLFIILFTVNGCVYIALVAKKFAHGETEVATFSQLIQIRDDDLIEVKRSLRYAHVELVVETGVHWAVFGTMAYTKWSDPLNLGPLDMGLFMAAVAIIRAATGMSWHTWNFMQRRPDHLPPRFVALLPQLVALTMVICGLYPHYGAHRSWGGRYIFPMVFAICTLVTTTFMLMRHVQFWAAEGLLFAKSWVPKFFRAVLVGISVTTMWAVFMLAGHVKLLLVSHSGGINKPSDTVDYKEYTILPQQSHKYIRGDNAAGDALFIYYSTNLDFRNKVGPLTINRRFSCQMVGDALRWAMDELTDRSDMVPHSRGTLHSPQIYVTQDEHICLYADDDGMWQDHSLAFSLYGKSPGSMEVMVSQDDRTFSLFVCSAEKEPDCNLPSRICFKKNGCDSASFPKTLHSVPPLTPLPVVTAKPTTFPFANPQSIIPGPIKVWYIKYKEVVTVLFQTHNPASSPANWYVKIETKKSASRHSVASGQIDECTLLQSVESDILTFSGIPPGNLDEANRAELLFGKFPHGSLTVEIQDGPRFTMQSVYIDHVPVSQPSHGHEPIMGHCSQTVKGNPYNAVYSIHLLLICTGLTFGSVAM
+>sp|O94915|FRYL_HUMAN Protein furry homolog-like OS=Homo sapiens OX=9606 GN=FRYL PE=1 SV=2
+MSNITIDPDVKPGEYVIKSLFAEFAVQAEKKIEVVMAEPLEKLLSRSLQRGEDLQFDQLISSMSSVAEHCLPSLLRTLFDWYRRQNGTEDESYEYRPRSSTKSKGDEQQRERDYLLERRDLAVDFIFCLVLVEVLKQIPVHPVPDPLVHEVLNLAFKHFKHKEGYSGTNTGNVHIIADLYAEVIGVLAQSKFQAVRKKFVTELKELRQKEQSPHVVQSVISLIMGMKFFRVKMYPVEDFEASFQFMQECAQYFLEVKDKDIKHALAGLFVEILIPVAAAVKNEVNVPCLKNFVEMLYQTTFELSSRKKHSLALYPLITCLLCVSQKQFFLNNWHIFLQNCLSHLKNKDPKMSRVALESLYRLLWVYVIRIKCESNTVTQSRLMSIVSALFPKGSRSVVPRDTPLNIFVKIIQFIAQERLDFAMKEIIFDLLSVGKSTKTFTINPERMNIGLRVFLVIADSLQQKDGEPPMPTTGVILPSGNTLRVKKIFLNKTLTDEEAKVIGMSVYYPQVRKALDSILRHLDKEVGRPMCMTSVQMSNKEPEDMITGERKPKIDLFRTCIAAIPRLIPDGMSRTDLIELLARLTIHMDEELRALAFNTLQALMLDFPDWREDVLSGFVYFIVREVTDVHPTLLDNAVKMLVQLINQWKQAAQMHNKNQDTQHGVANGASHPPPLERSPYSNVFHVVEGFALVILCSSRPATRRLAVSVLREIRALFALLEIPKGDDELAIDVMDRLSPSILESFIHLTGADQTTLLYCPSSIDLQTLAEWNSSPISHQFDVISPSHIWIFAHVTQGQDPWIISLSSFLKQENLPKHCSTAVSYAWMFAYTRLQLLSPQVDINSPINAKKVNTTTSSDSYIGLWRNYLILCCSAATSSSSTSAGSVRCSPPETLASTPDSGYSIDSKIIGIPSPSSLFKHIVPMMRSESMEITESLVLGLGRTNPGAFRELIEELHPIIKEALERRPENMKRRRRRDILRVQLVRIFELLADAGVISHSASGGLDNETHFLNNTLLEYVDLTRQLLEAENEKDSDTLKDIRCHFSALVANIIQNVPVHQRRSIFPQQSLRHSLFMLFSHWAGPFSIMFTPLDRYSDRNMQINRHQYCALKAMSAVLCCGPVADNVGLSSDGYLYKWLDNILDSLDKKVHQLGCEAVTLLLELNPDQSNLMYWAVDRCYTGSGRVAAGCFKAIANVFQNRDYQCDTVMLLNLILFKAADSSRSIYEVAMQLLQILEPKMFRYAHKLEVQRTDGVLSQLSPLPHLYSVSYYQLSEELARAYPELTLAIFSEISQRIQTAHPAGRQVMLHYLLPWMNNIELVDLKPLPTARRHDEDEDDSLKDRELMVTSRRWLRGEGWGSPQATAMVLNNLMYMTAKYGDELAWSEVENVWTTLADGWPKNLKIILHFLISICGVNSEPSLLPYVKKVIVYLGRDKTMQLLEELVSELQLTDPVSSGVTHMDNPPYYRITSSYKIPSVTSGTTSSSNTMVAPTDGNPDNKPIKENIEESYVHLDIYSGLNSHLNRQHHRLESRYSSSSGGSYEEEKSDSMPLYSNWRLKVMEHNQGEPLPFPPAGGCWSPLVDYVPETSSPGLPLHRCNIAVILLTDLIIDHSVKVEWGSYLHLLLHAIFIGFDHCHPEVYEHCKRLLLHLLIVMGPNSNIRTVASVLLRNKEFNEPRVLTVKQVAHLDYNFTAGINDFIPDYQPSPMTDSGLSSSSTSSSISLGNNSAAISHLHTTILNEVDISVEQDGKVKTLMEFITSRKRGPLWNHEDVSAKNPSIKSAEQLTTFLKHVVSVFKQSSSEGIHLEHHLSEVALQTALSCSSRHYAGRSFQIFRALKQPLTATTLSDVLSRLVETVGDPGEDAQGFVIELLLTLESAIDTLAETMKHYDLLSALSQTSYHDPIMGNKYAANRKSTGQLNLSTSPINSSSYLGYNSNARSNSLRLSLIGDRRGDRRRSNTLDIMDGRINHSSSLARTRSLSSLREKGMYDVQSTTEPTNLMATIFWIAASLLESDYEYEYLLALRLLNKLLIHLPLDKSESREKIENVQSKLKWTNFPGLQQLFLKGFTSASTQEMTVHLLSKLISVSKHTLVDPSQLSGFPLNILCLLPHLIQHFDSPTQFCKETASRIAKVCAEEKCPTLVNLAHMMSLYSTHTYSRDCSNWINVVCRYLHDSFSDTTFNLVTYLAELLEKGLSSMQQSLLQIIYSLLSHIDLSAAPAKQFNLEIIKIIGKYVQSPYWKEALNILKLVVSRSASLVVPSDIPKTYGGDTGSPEISFTKIFNNVSKELPGKTLDFHFDISETPIIGNKYGDQHSAAGRNGKPKVIAVTRSTSSTSSGSNSNALVPVSWKRPQLSQRRTREKLMNVLSLCGPESGLPKNPSVVFSSNEDLEVGDQQTSLISTTEDINQEEEVAVEDNSSEQQFGVFKDFDFLDVELEDAEGESMDNFNWGVRRRSLDSIDKGDTPSLQEYQCSSSTPSLNLTNQEDTDESSEEEAALTASQILSRTQMLNSDSATDETIPDHPDLLLQSEDSTGSITTEEVLQIRDETPTLEASLDNANSRLPEDTTSVLKEEHVTTFEDEGSYIIQEQQESLVCQGILDLEETEMPEPLAPESYPESVCEEDVTLALKELDERCEEEEADFSGLSSQDEEEQDGFPEVQTSPLPSPFLSAIIAAFQPVAYDDEEEAWRCHVNQMLSDTDGSSAVFTFHVFSRLFQTIQRKFGEITNEAVSFLGDSLQRIGTKFKSSLEVMMLCSECPTVFVDAETLMSCGLLETLKFGVLELQEHLDTYNVKREAAEQWLDDCKRTFGAKEDMYRINTDAQQMEILAELELCRRLYKLHFQLLLLFQAYCKLINQVNTIKNEAEVINMSEELAQLESILKEAESASENEEIDISKAAQTTIETAIHSLIETLKNKEFISAVAQVKAFRSLWPSDIFGSCEDDPVQTLLHIYFHHQTLGQTGSFAVIGSNLDMSEANYKLMELNLEIRESLRMVQSYQLLAQAKPMGNMVSTGF
+>DECOY_sp|O94915|FRYL_HUMAN Protein furry homolog-like OS=Homo sapiens OX=9606 GN=FRYL PE=1 SV=2
+FGTSVMNGMPKAQALLQYSQVMRLSERIELNLEMLKYNAESMDLNSGIVAFSGTQGLTQHHFYIHLLTQVPDDECSGFIDSPWLSRFAKVQAVASIFEKNKLTEILSHIATEITTQAAKSIDIEENESASEAEKLISELQALEESMNIVEAENKITNVQNILKCYAQFLLLLQFHLKYLRRCLELEALIEMQQADTNIRYMDEKAGFTRKCDDLWQEAAERKVNYTDLHEQLELVGFKLTELLGCSMLTEADVFVTPCESCLMMVELSSKFKTGIRQLSDGLFSVAENTIEGFKRQITQFLRSFVHFTFVASSGDTDSLMQNVHCRWAEEEDDYAVPQFAAIIASLFPSPLPSTQVEPFGDQEEEDQSSLGSFDAEEEECREDLEKLALTVDEECVSEPYSEPALPEPMETEELDLIGQCVLSEQQEQIIYSGEDEFTTVHEEKLVSTTDEPLRSNANDLSAELTPTEDRIQLVEETTISGTSDESQLLLDPHDPITEDTASDSNLMQTRSLIQSATLAAEEESSEDTDEQNTLNLSPTSSSCQYEQLSPTDGKDISDLSRRRVGWNFNDMSEGEADELEVDLFDFDKFVGFQQESSNDEVAVEEEQNIDETTSILSTQQDGVELDENSSFVVSPNKPLGSEPGCLSLVNMLKERTRRQSLQPRKWSVPVLANSNSGSSTSSTSRTVAIVKPKGNRGAASHQDGYKNGIIPTESIDFHFDLTKGPLEKSVNNFIKTFSIEPSGTDGGYTKPIDSPVVLSASRSVVLKLINLAEKWYPSQVYKGIIKIIELNFQKAPAASLDIHSLLSYIIQLLSQQMSSLGKELLEALYTVLNFTTDSFSDHLYRCVVNIWNSCDRSYTHTSYLSMMHALNVLTPCKEEACVKAIRSATEKCFQTPSDFHQILHPLLCLINLPFGSLQSPDVLTHKSVSILKSLLHVTMEQTSASTFGKLFLQQLGPFNTWKLKSQVNEIKERSESKDLPLHILLKNLLRLALLYEYEYDSELLSAAIWFITAMLNTPETTSQVDYMGKERLSSLSRTRALSSSHNIRGDMIDLTNSRRRDGRRDGILSLRLSNSRANSNYGLYSSSNIPSTSLNLQGTSKRNAAYKNGMIPDHYSTQSLASLLDYHKMTEALTDIASELTLLLEIVFGQADEGPDGVTEVLRSLVDSLTTATLPQKLARFIQFSRGAYHRSSCSLATQLAVESLHHELHIGESSSQKFVSVVHKLFTTLQEASKISPNKASVDEHNWLPGRKRSTIFEMLTKVKGDQEVSIDVENLITTHLHSIAASNNGLSISSSTSSSSLGSDTMPSPQYDPIFDNIGATFNYDLHAVQKVTLVRPENFEKNRLLVSAVTRINSNPGMVILLHLLLRKCHEYVEPHCHDFGIFIAHLLLHLYSGWEVKVSHDIILDTLLIVAINCRHLPLGPSSTEPVYDVLPSWCGGAPPFPLPEGQNHEMVKLRWNSYLPMSDSKEEEYSGGSSSSYRSELRHHQRNLHSNLGSYIDLHVYSEEINEKIPKNDPNGDTPAVMTNSSSTTGSTVSPIKYSSTIRYYPPNDMHTVGSSVPDTLQLESVLEELLQMTKDRGLYVIVKKVYPLLSPESNVGCISILFHLIIKLNKPWGDALTTWVNEVESWALEDGYKATMYMLNNLVMATAQPSGWGEGRLWRRSTVMLERDKLSDDEDEDHRRATPLPKLDVLEINNMWPLLYHLMVQRGAPHATQIRQSIESFIALTLEPYARALEESLQYYSVSYLHPLPSLQSLVGDTRQVELKHAYRFMKPELIQLLQMAVEYISRSSDAAKFLILNLLMVTDCQYDRNQFVNAIAKFCGAAVRGSGTYCRDVAWYMLNSQDPNLELLLTVAECGLQHVKKDLSDLINDLWKYLYGDSSLGVNDAVPGCCLVASMAKLACYQHRNIQMNRDSYRDLPTFMISFPGAWHSFLMFLSHRLSQQPFISRRQHVPVNQIINAVLASFHCRIDKLTDSDKENEAELLQRTLDVYELLTNNLFHTENDLGGSASHSIVGADALLEFIRVLQVRLIDRRRRRKMNEPRRELAEKIIPHLEEILERFAGPNTRGLGLVLSETIEMSESRMMPVIHKFLSSPSPIGIIKSDISYGSDPTSALTEPPSCRVSGASTSSSSTAASCCLILYNRWLGIYSDSSTTTNVKKANIPSNIDVQPSLLQLRTYAFMWAYSVATSCHKPLNEQKLFSSLSIIWPDQGQTVHAFIWIHSPSIVDFQHSIPSSNWEALTQLDISSPCYLLTTQDAGTLHIFSELISPSLRDMVDIALEDDGKPIELLAFLARIERLVSVALRRTAPRSSCLIVLAFGEVVHFVNSYPSRELPPPHSAGNAVGHQTDQNKNHMQAAQKWQNILQVLMKVANDLLTPHVDTVERVIFYVFGSLVDERWDPFDLMLAQLTNFALARLEEDMHITLRALLEILDTRSMGDPILRPIAAICTRFLDIKPKREGTIMDEPEKNSMQVSTMCMPRGVEKDLHRLISDLAKRVQPYYVSMGIVKAEEDTLTKNLFIKKVRLTNGSPLIVGTTPMPPEGDKQQLSDAIVLFVRLGINMREPNITFTKTSKGVSLLDFIIEKMAFDLREQAIFQIIKVFINLPTDRPVVSRSGKPFLASVISMLRSQTVTNSECKIRIVYVWLLRYLSELAVRSMKPDKNKLHSLCNQLFIHWNNLFFQKQSVCLLCTILPYLALSHKKRSSLEFTTQYLMEVFNKLCPVNVENKVAAAVPILIEVFLGALAHKIDKDKVELFYQACEQMFQFSAEFDEVPYMKVRFFKMGMILSIVSQVVHPSQEKQRLEKLETVFKKRVAQFKSQALVGIVEAYLDAIIHVNGTNTGSYGEKHKFHKFALNLVEHVLPDPVPHVPIQKLVEVLVLCFIFDVALDRRELLYDRERQQEDGKSKTSSRPRYEYSEDETGNQRRYWDFLTRLLSPLCHEAVSSMSSILQDFQLDEGRQLSRSLLKELPEAMVVEIKKEAQVAFEAFLSKIVYEGPKVDPDITINSM
+>sp|Q9BWH2|FUND2_HUMAN FUN14 domain-containing protein 2 OS=Homo sapiens OX=9606 GN=FUNDC2 PE=1 SV=2
+METSAPRAGSQVVATTARHSAAYRADPLRVSSRDKLTEMAASSQGNFEGNFESLDLAEFAKKQPWWRKLFGQESGPSAEKYSVATQLFIGGVTGWCTGFIFQKVGKLAATAVGGGFFLLQLANHTGYIKVDWQRVEKDMKKAKEQLKIRKSNQIPTEVRSKAEEVVSFVKKNVLVTGGFFGGFLLGMAS
+>DECOY_sp|Q9BWH2|FUND2_HUMAN FUN14 domain-containing protein 2 OS=Homo sapiens OX=9606 GN=FUNDC2 PE=1 SV=2
+SAMGLLFGGFFGGTVLVNKKVFSVVEEAKSRVETPIQNSKRIKLQEKAKKMDKEVRQWDVKIYGTHNALQLLFFGGGVATAALKGVKQFIFGTCWGTVGGIFLQTAVSYKEASPGSEQGFLKRWWPQKKAFEALDLSEFNGEFNGQSSAAMETLKDRSSVRLPDARYAASHRATTAVVQSGARPASTEM
+>sp|Q3SYB3|FX4L6_HUMAN Forkhead box protein D4-like 6 OS=Homo sapiens OX=9606 GN=FOXD4L6 PE=1 SV=2
+MNLPRAERLRSTPQRSLRDSDGEDGKIDVLGEEEDEDEVEDEEEAASQQFLEQSLQPGLQVARWGGVALPREHIEGGGGPSDPSEFGTKFRAPPRSAAASEDARQPAKPPYSYIALITMAILQNPHKRLTLSGICAFISGRFPYYRRKFPAWQNSIRHNLSLNDCFVKIPREPGHPGKGNYWSLDPASQDMFDNGSFLRRRKRFKRHQLTPGAHLPHPFPLPAAHAALHNPHPGPLLGAPAPPQPVPGAYPNTAPGRRPYALLHPHPLRYLLLSAPVYAGAPKKAEGAALATPAPFPCCSPHLVLSLGRRARVWRRHREADASLSALRVLCKGSGERVQGLRRVCPRPRGATATCSSDHQACCIPRPLPLCCKCPPPPLLGQFCSNSSSIRRRTAPTAALPPRARCWAGTCRPRRPC
+>DECOY_sp|Q3SYB3|FX4L6_HUMAN Forkhead box protein D4-like 6 OS=Homo sapiens OX=9606 GN=FOXD4L6 PE=1 SV=2
+CPRRPRCTGAWCRARPPLAATPATRRRISSSNSCFQGLLPPPPCKCCLPLPRPICCAQHDSSCTATAGRPRPCVRRLGQVREGSGKCLVRLASLSADAERHRRWVRARRGLSLVLHPSCCPFPAPTALAAGEAKKPAGAYVPASLLLYRLPHPHLLAYPRRGPATNPYAGPVPQPPAPAGLLPGPHPNHLAAHAAPLPFPHPLHAGPTLQHRKFRKRRRLFSGNDFMDQSAPDLSWYNGKGPHGPERPIKVFCDNLSLNHRISNQWAPFKRRYYPFRGSIFACIGSLTLRKHPNQLIAMTILAIYSYPPKAPQRADESAAASRPPARFKTGFESPDSPGGGGEIHERPLAVGGWRAVQLGPQLSQELFQQSAAEEEDEVEDEDEEEGLVDIKGDEGDSDRLSRQPTSRLREARPLNM
+>sp|Q9NXK8|FXL12_HUMAN F-box/LRR-repeat protein 12 OS=Homo sapiens OX=9606 GN=FBXL12 PE=1 SV=1
+MATLVELPDSVLLEIFSYLPVRDRIRISRVCHRWKRLVDDRWLWRHVDLTLYTMRPKVMWHLLRRYMASRLHSLRMGGYLFSGSQAPQLSPALLRALGQKCPNLKRLCLHVADLSMVPITSLPSTLRTLELHSCEISMAWLHKQQDPTVLPLLECIVLDRVPAFRDEHLQGLTRFRALRSLVLGGTYRVTETGLDAGLQELSYLQRLEVLGCTLSADSTLLAISRHLRDVRKIRLTVRGLSAPGLAVLEGMPALESLCLQGPLVTPEMPSPTEILSSCLTMPKLRVLELQGLGWEGQEAEKILCKGLPHCMVIVRACPKESMDWWM
+>DECOY_sp|Q9NXK8|FXL12_HUMAN F-box/LRR-repeat protein 12 OS=Homo sapiens OX=9606 GN=FBXL12 PE=1 SV=1
+MWWDMSEKPCARVIVMCHPLGKCLIKEAEQGEWGLGQLELVRLKPMTLCSSLIETPSPMEPTVLPGQLCLSELAPMGELVALGPASLGRVTLRIKRVDRLHRSIALLTSDASLTCGLVELRQLYSLEQLGADLGTETVRYTGGLVLSRLARFRTLGQLHEDRFAPVRDLVICELLPLVTPDQQKHLWAMSIECSHLELTRLTSPLSTIPVMSLDAVHLCLRKLNPCKQGLARLLAPSLQPAQSGSFLYGGMRLSHLRSAMYRRLLHWMVKPRMTYLTLDVHRWLWRDDVLRKWRHCVRSIRIRDRVPLYSFIELLVSDPLEVLTAM
+>sp|Q9UF56|FXL17_HUMAN F-box/LRR-repeat protein 17 OS=Homo sapiens OX=9606 GN=FBXL17 PE=2 SV=3
+MGHLLSKEPRNRPSQKRPRCCSWCRRRRPLLRLPRRTPAKVPPQPAAPRSRDCFFRGPCMLCFIVHSPGAPAPAGPEEEPPLSPPPRDGAYAAASSSQHLARRYAALAAEDCAAAARRFLLSSAAAAAAAAASASSPASCCKELGLAAAAAWEQQGRSLFLASLGPVRFLGPPAAVQLFRGPTPSPAELPTPPEMVCKRKGAGVPACTPCKQPRCGGGGCGGGGGGGGGGGPAGGGASPPRPPDAGCCQAPEQPPQPLCPPPSSPTSEGAPTEAGGDAVRAGGTAPLSAQQQHECGDADCRESPENPCDCHREPPPETPDINQLPPSILLKIFSNLSLDERCLSASLVCKYWRDLCLDFQFWKQLDLSSRQQVTDELLEKIASRSQNIIEINISDCRSMSDNGVCVLAFKCPGLLRYTAYRCKQLSDTSIIAVASHCPLLQKVHVGNQDKLTDEGLKQLGSKCRELKDIHFGQCYKISDEGMIVIAKGCLKLQRIYMQENKLVTDQSVKAFAEHCPELQYVGFMGCSVTSKGVIHLTKLRNLSSLDLRHITELDNETVMEIVKRCKNLSSLNLCLNWIINDRCVEVIAKEGQNLKELYLVSCKITDYALIAIGRYSMTIETVDVGWCKEITDQGATLIAQSSKSLRYLGLMRCDKVNEVTVEQLVQQYPHITFSTVLQDCKRTLERAYQMGWTPNMSAASS
+>DECOY_sp|Q9UF56|FXL17_HUMAN F-box/LRR-repeat protein 17 OS=Homo sapiens OX=9606 GN=FBXL17 PE=2 SV=3
+SSAASMNPTWGMQYARELTRKCDQLVTSFTIHPYQQVLQEVTVENVKDCRMLGLYRLSKSSQAILTAGQDTIEKCWGVDVTEITMSYRGIAILAYDTIKCSVLYLEKLNQGEKAIVEVCRDNIIWNLCLNLSSLNKCRKVIEMVTENDLETIHRLDLSSLNRLKTLHIVGKSTVSCGMFGVYQLEPCHEAFAKVSQDTVLKNEQMYIRQLKLCGKAIVIMGEDSIKYCQGFHIDKLERCKSGLQKLGEDTLKDQNGVHVKQLLPCHSAVAIISTDSLQKCRYATYRLLGPCKFALVCVGNDSMSRCDSINIEIINQSRSAIKELLEDTVQQRSSLDLQKWFQFDLCLDRWYKCVLSASLCREDLSLNSFIKLLISPPLQNIDPTEPPPERHCDCPNEPSERCDADGCEHQQQASLPATGGARVADGGAETPAGESTPSSPPPCLPQPPQEPAQCCGADPPRPPSAGGGAPGGGGGGGGGGGCGGGGCRPQKCPTCAPVGAGKRKCVMEPPTPLEAPSPTPGRFLQVAAPPGLFRVPGLSALFLSRGQQEWAAAAALGLEKCCSAPSSASAAAAAAAAASSLLFRRAAAACDEAALAAYRRALHQSSSAAAYAGDRPPPSLPPEEEPGAPAPAGPSHVIFCLMCPGRFFCDRSRPAAPQPPVKAPTRRPLRLLPRRRRCWSCCRPRKQSPRNRPEKSLLHGM
+>sp|Q6PCT2|FXL19_HUMAN F-box/LRR-repeat protein 19 OS=Homo sapiens OX=9606 GN=FBXL19 PE=1 SV=3
+MGMKVPGKGESGPSALLTPPMSSSSRGPGAGARRRRTRCRRCRACVRTECGDCHFCRDMKKFGGPGRMKQSCLLRQCTAPVLPHTAVCLLCGEAGKEDTVEGEEEKFGLSLMECTICNEIVHPGCLKMGKAEGVINAEIPNCWECPRCTQEGRTSKDSGEGPGRRRADNGEEGASLGSGWKLTEEPPLPPPPPRRKGPLPAGPPPEDVPGPPKRKEREAGNEPPTPRKKVKGGRERHLKKVGGDACLLRGSDPGGPGLLPPRVLNPSQAFSSCHPGLPPENWEKPKPPLASAEGPAVPSPSPQREKLERFKRMCQLLERVPDTSSSSSDSDSDSDSSGTSLSEDEAPGEARNGRRPARGSSGEKENRGGRRAVRPGSGGPLLSWPLGPAPPPRPPQLERHVVRPPPRSPEPDTLPLAAGSDHPLPRAAWLRVFQHLGPRELCICMRVCRTWSRWCYDKRLWPRMDLSRRKSLTPPMLSGVVRRQPRALDLSWTGVSKKQLMWLLNRLQGLQELVLSGCSWLSVSALGSAPLPALRLLDLRWIEDVKDSQLRELLLPPPDTKPGQTESRGRLQGVAELRLAGLELTDASLRLLLRHAPQLSALDLSHCAHVGDPSVHLLTAPTSPLRETLVHLNLAGCHRLTDHCLPLFRRCPRLRRLDLRSCRQLSPEACARLAAAGPPGPFRCPEEKLLLKDS
+>DECOY_sp|Q6PCT2|FXL19_HUMAN F-box/LRR-repeat protein 19 OS=Homo sapiens OX=9606 GN=FBXL19 PE=1 SV=3
+SDKLLLKEEPCRFPGPPGAAALRACAEPSLQRCSRLDLRRLRPCRRFLPLCHDTLRHCGALNLHVLTERLPSTPATLLHVSPDGVHACHSLDLASLQPAHRLLLRLSADTLELGALRLEAVGQLRGRSETQGPKTDPPPLLLERLQSDKVDEIWRLDLLRLAPLPASGLASVSLWSCGSLVLEQLGQLRNLLWMLQKKSVGTWSLDLARPQRRVVGSLMPPTLSKRRSLDMRPWLRKDYCWRSWTRCVRMCICLERPGLHQFVRLWAARPLPHDSGAALPLTDPEPSRPPPRVVHRELQPPRPPPAPGLPWSLLPGGSGPRVARRGGRNEKEGSSGRAPRRGNRAEGPAEDESLSTGSSDSDSDSDSSSSSTDPVRELLQCMRKFRELKERQPSPSPVAPGEASALPPKPKEWNEPPLGPHCSSFAQSPNLVRPPLLGPGGPDSGRLLCADGGVKKLHRERGGKVKKRPTPPENGAEREKRKPPGPVDEPPPGAPLPGKRRPPPPPLPPEETLKWGSGLSAGEEGNDARRRGPGEGSDKSTRGEQTCRPCEWCNPIEANIVGEAKGMKLCGPHVIENCITCEMLSLGFKEEEGEVTDEKGAEGCLLCVATHPLVPATCQRLLCSQKMRGPGGFKKMDRCFHCDGCETRVCARCRRCRTRRRRAGAGPGRSSSSMPPTLLASPGSEGKGPVKMGM
+>sp|Q6P050|FXL22_HUMAN F-box and leucine-rich protein 22 OS=Homo sapiens OX=9606 GN=FBXL22 PE=1 SV=2
+MWPLLTMHITQLNRECLLHLFSFLDKDSRKSLARTCSQLHDVFEDPALWSLLHFRSLTELQKDNFLLGPALRSLSICWHSSRVQVCSIEDWLKSAFQRSICSRHESLVNDFLLRVCDRLSAVRSPRRREAPAPSSGTPIAVGPKSPRWGGPDHSEFADLRSGVTGARAAARRGLGSLRAERPSETPPAPGVSWGPPPPGAPVVISVKQEEGKQGRTGRRSHRAAPPCGFARTRVCPPTFPGADAFPQ
+>DECOY_sp|Q6P050|FXL22_HUMAN F-box and leucine-rich protein 22 OS=Homo sapiens OX=9606 GN=FBXL22 PE=1 SV=2
+QPFADAGPFTPPCVRTRAFGCPPAARHSRRGTRGQKGEEQKVSIVVPAGPPPPGWSVGPAPPTESPREARLSGLGRRAAARAGTVGSRLDAFESHDPGGWRPSKPGVAIPTGSSPAPAERRRPSRVASLRDCVRLLFDNVLSEHRSCISRQFASKLWDEISCVQVRSSHWCISLSRLAPGLLFNDKQLETLSRFHLLSWLAPDEFVDHLQSCTRALSKRSDKDLFSFLHLLCERNLQTIHMTLLPWM
+>sp|Q96CU9|FXRD1_HUMAN FAD-dependent oxidoreductase domain-containing protein 1 OS=Homo sapiens OX=9606 GN=FOXRED1 PE=1 SV=2
+MIRRVLPHGMGRGLLTRRPGTRRGGFSLDWDGKVSEIKKKIKSILPGRSCDLLQDTSHLPPEHSDVVIVGGGVLGLSVAYWLKKLESRRGAIRVLVVERDHTYSQASTGLSVGGICQQFSLPENIQLSLFSASFLRNINEYLAVVDAPPLDLRFNPSGYLLLASEKDAAAMESNVKVQRQEGAKVSLMSPDQLRNKFPWINTEGVALASYGMEDEGWFDPWCLLQGLRRKVQSLGVLFCQGEVTRFVSSSQRMLTTDDKAVVLKRIHEVHVKMDRSLEYQPVECAIVINAAGAWSAQIAALAGVGEGPPGTLQGTKLPVEPRKRYVYVWHCPQGPGLETPLVADTSGAYFRREGLGSNYLGGRSPTEQEEPDPANLEVDHDFFQDKVWPHLALRVPAFETLKVQSAWAGYYDYNTFDQNGVVGPHPLVVNMYFATGFSGHGLQQAPGIGRAVAEMVLKGRFQTIDLSPFLFTRFYLGEKIQENNII
+>DECOY_sp|Q96CU9|FXRD1_HUMAN FAD-dependent oxidoreductase domain-containing protein 1 OS=Homo sapiens OX=9606 GN=FOXRED1 PE=1 SV=2
+IINNEQIKEGLYFRTFLFPSLDITQFRGKLVMEAVARGIGPAQQLGHGSFGTAFYMNVVLPHPGVVGNQDFTNYDYYGAWASQVKLTEFAPVRLALHPWVKDQFFDHDVELNAPDPEEQETPSRGGLYNSGLGERRFYAGSTDAVLPTELGPGQPCHWVYVYRKRPEVPLKTGQLTGPPGEGVGALAAIQASWAGAANIVIACEVPQYELSRDMKVHVEHIRKLVVAKDDTTLMRQSSSVFRTVEGQCFLVGLSQVKRRLGQLLCWPDFWGEDEMGYSALAVGETNIWPFKNRLQDPSMLSVKAGEQRQVKVNSEMAAADKESALLLYGSPNFRLDLPPADVVALYENINRLFSASFLSLQINEPLSFQQCIGGVSLGTSAQSYTHDREVVLVRIAGRRSELKKLWYAVSLGLVGGGVIVVDSHEPPLHSTDQLLDCSRGPLISKIKKKIESVKGDWDLSFGGRRTGPRRTLLGRGMGHPLVRRIM
+>sp|Q14802|FXYD3_HUMAN FXYD domain-containing ion transport regulator 3 OS=Homo sapiens OX=9606 GN=FXYD3 PE=1 SV=1
+MQKVTLGLLVFLAGFPVLDANDLEDKNSPFYYDWHSLQVGGLICAGVLCAMGIIIVMSAKCKCKFGQKSGHHPGETPPLITPGSAQS
+>DECOY_sp|Q14802|FXYD3_HUMAN FXYD domain-containing ion transport regulator 3 OS=Homo sapiens OX=9606 GN=FXYD3 PE=1 SV=1
+SQASGPTILPPTEGPHHGSKQGFKCKCKASMVIIIGMACLVGACILGGVQLSHWDYYFPSNKDELDNADLVPFGALFVLLGLTVKQM
+>sp|Q9H0Q3|FXYD6_HUMAN FXYD domain-containing ion transport regulator 6 OS=Homo sapiens OX=9606 GN=FXYD6 PE=1 SV=1
+MELVLVFLCSLLAPMVLASAAEKEKEMDPFHYDYQTLRIGGLVFAVVLFSVGILLILSRRCKCSFNQKPRAPGDEEAQVENLITANATEPQKAEN
+>DECOY_sp|Q9H0Q3|FXYD6_HUMAN FXYD domain-containing ion transport regulator 6 OS=Homo sapiens OX=9606 GN=FXYD6 PE=1 SV=1
+NEAKQPETANATILNEVQAEEDGPARPKQNFSCKCRRSLILLIGVSFLVVAFVLGGIRLTQYDYHFPDMEKEKEAASALVMPALLSCLFVLVLEM
+>sp|Q9UN86|G3BP2_HUMAN Ras GTPase-activating protein-binding protein 2 OS=Homo sapiens OX=9606 GN=G3BP2 PE=1 SV=2
+MVMEKPSPLLVGREFVRQYYTLLNKAPEYLHRFYGRNSSYVHGGVDASGKPQEAVYGQNDIHHKVLSLNFSECHTKIRHVDAHATLSDGVVVQVMGLLSNSGQPERKFMQTFVLAPEGSVPNKFYVHNDMFRYEDEVFGDSEPELDEESEDEVEEEQEERQPSPEPVQENANSGYYEAHPVTNGIEEPLEESSHEPEPEPESETKTEELKPQVEEKNLEELEEKSTTPPPAEPVSLPQEPPKAFSWASVTSKNLPPSGTVSSSGIPPHVKAPVSQPRVEAKPEVQSQPPRVREQRPRERPGFPPRGPRPGRGDMEQNDSDNRRIIRYPDSHQLFVGNLPHDIDENELKEFFMSFGNVVELRINTKGVGGKLPNFGFVVFDDSEPVQRILIAKPIMFRGEVRLNVEEKKTRAARERETRGGGDDRRDIRRNDRGPGGPRGIVGGGMMRDRDGRGPPPRGGMAQKLGSGRGTGQMEGRFTGQRR
+>DECOY_sp|Q9UN86|G3BP2_HUMAN Ras GTPase-activating protein-binding protein 2 OS=Homo sapiens OX=9606 GN=G3BP2 PE=1 SV=2
+RRQGTFRGEMQGTGRGSGLKQAMGGRPPPGRGDRDRMMGGGVIGRPGGPGRDNRRIDRRDDGGGRTERERAARTKKEEVNLRVEGRFMIPKAILIRQVPESDDFVVFGFNPLKGGVGKTNIRLEVVNGFSMFFEKLENEDIDHPLNGVFLQHSDPYRIIRRNDSDNQEMDGRGPRPGRPPFGPRERPRQERVRPPQSQVEPKAEVRPQSVPAKVHPPIGSSSVTGSPPLNKSTVSAWSFAKPPEQPLSVPEAPPPTTSKEELEELNKEEVQPKLEETKTESEPEPEPEHSSEELPEEIGNTVPHAEYYGSNANEQVPEPSPQREEQEEEVEDESEEDLEPESDGFVEDEYRFMDNHVYFKNPVSGEPALVFTQMFKREPQGSNSLLGMVQVVVGDSLTAHADVHRIKTHCESFNLSLVKHHIDNQGYVAEQPKGSADVGGHVYSSNRGYFRHLYEPAKNLLTYYQRVFERGVLLPSPKEMVM
+>sp|Q96A11|G3ST3_HUMAN Galactose-3-O-sulfotransferase 3 OS=Homo sapiens OX=9606 GN=GAL3ST3 PE=1 SV=1
+MPPILQRLQQATKMMSRRKILLLVLGCSTVSLLIHQGAQLSWYPKLFPLSCPPLRNSPPRPKHMTVAFLKTHKTAGTTVQNILFRFAERHNLTVALPHPSCEHQFCYPRNFSAHFVHPATRPPHVLASHLRFDRAELERLMPPSTVYVTILREPAAMFESLFSYYNQYCPAFRRVPNASLEAFLRAPEAYYRAGEHFAMFAHNTLAYDLGGDNERSPRDDAAYLAGLIRQVEEVFSLVMIAEYFDESLVLLRRLLAWDLDDVLYAKLNARAASSRLAAIPAALARAARTWNALDAGLYDHFNATFWRHVARAGRACVEREARELREARQRLLRRCFGDEPLLRPAAQIRTKQLQPWQPSRKVDIMGYDLPGGGAGPATEACLKLAMPEVQYSNYLLRKQKRRGGARARPEPVLDNPPPRPIRVLPRGPQGP
+>DECOY_sp|Q96A11|G3ST3_HUMAN Galactose-3-O-sulfotransferase 3 OS=Homo sapiens OX=9606 GN=GAL3ST3 PE=1 SV=1
+PGQPGRPLVRIPRPPPNDLVPEPRARAGGRRKQKRLLYNSYQVEPMALKLCAETAPGAGGGPLDYGMIDVKRSPQWPQLQKTRIQAAPRLLPEDGFCRRLLRQRAERLERAEREVCARGARAVHRWFTANFHDYLGADLANWTRAARALAAPIAALRSSAARANLKAYLVDDLDWALLRRLLVLSEDFYEAIMVLSFVEEVQRILGALYAADDRPSRENDGGLDYALTNHAFMAFHEGARYYAEPARLFAELSANPVRRFAPCYQNYYSFLSEFMAAPERLITVYVTSPPMLRELEARDFRLHSALVHPPRTAPHVFHASFNRPYCFQHECSPHPLAVTLNHREAFRFLINQVTTGATKHTKLFAVTMHKPRPPSNRLPPCSLPFLKPYWSLQAGQHILLSVTSCGLVLLLIKRRSMMKTAQQLRQLIPPM
+>sp|Q8TAE8|G45IP_HUMAN Growth arrest and DNA damage-inducible proteins-interacting protein 1 OS=Homo sapiens OX=9606 GN=GADD45GIP1 PE=1 SV=1
+MAASVRQARSLLGVAATLAPGSRGYRARPPPRRRPGPRWPDPEDLLTPRWQLGPRYAAKQFARYGAASGVVPGSLWPSPEQLRELEAEEREWYPSLATMQESLRVKQLAEEQKRREREQHIAECMAKMPQMIVNWQQQQRENWEKAQADKERRARLQAEAQELLGYQVDPRSARFQELLQDLEKKERKRLKEEKQKRKKEARAAALAAAVAQDPAASGAPSS
+>DECOY_sp|Q8TAE8|G45IP_HUMAN Growth arrest and DNA damage-inducible proteins-interacting protein 1 OS=Homo sapiens OX=9606 GN=GADD45GIP1 PE=1 SV=1
+SSPAGSAAPDQAVAAALAAARAEKKRKQKEEKLRKREKKELDQLLEQFRASRPDVQYGLLEQAEAQLRARREKDAQAKEWNERQQQQWNVIMQPMKAMCEAIHQERERRKQEEALQKVRLSEQMTALSPYWEREEAELERLQEPSPWLSGPVVGSAAGYRAFQKAAYRPGLQWRPTLLDEPDPWRPGPRRRPPPRARYGRSGPALTAAVGLLSRAQRVSAAM
+>sp|O95866|G6B_HUMAN Megakaryocyte and platelet inhibitory receptor G6b OS=Homo sapiens OX=9606 GN=MPIG6B PE=1 SV=1
+MAVFLQLLPLLLSRAQGNPGASLDGRPGDRVNLSCGGVSHPIRWVWAPSFPACKGLSKGRRPILWASSSGTPTVPPLQPFVGRLRSLDSGIRRLELLLSAGDSGTFFCKGRHEDESRTVLHVLGDRTYCKAPGPTHGSVYPQLLIPLLGAGLVLGLGALGLVWWLHRRLPPQPIRPLPRFAPLVKTEPQRPVKEEEPKIPGDLDQEPSLLYADLDHLALSRPRRLSTADPADASTIYAVVV
+>DECOY_sp|O95866|G6B_HUMAN Megakaryocyte and platelet inhibitory receptor G6b OS=Homo sapiens OX=9606 GN=MPIG6B PE=1 SV=1
+VVVAYITSADAPDATSLRRPRSLALHDLDAYLLSPEQDLDGPIKPEEEKVPRQPETKVLPAFRPLPRIPQPPLRRHLWWVLGLAGLGLVLGAGLLPILLQPYVSGHTPGPAKCYTRDGLVHLVTRSEDEHRGKCFFTGSDGASLLLELRRIGSDLSRLRGVFPQLPPVTPTGSSSAWLIPRRGKSLGKCAPFSPAWVWRIPHSVGGCSLNVRDGPRGDLSAGPNGQARSLLLPLLQLFVAM
+>sp|P06744|G6PI_HUMAN Glucose-6-phosphate isomerase OS=Homo sapiens OX=9606 GN=GPI PE=1 SV=4
+MAALTRDPQFQKLQQWYREHRSELNLRRLFDANKDRFNHFSLTLNTNHGHILVDYSKNLVTEDVMRMLVDLAKSRGVEAARERMFNGEKINYTEGRAVLHVALRNRSNTPILVDGKDVMPEVNKVLDKMKSFCQRVRSGDWKGYTGKTITDVINIGIGGSDLGPLMVTEALKPYSSGGPRVWYVSNIDGTHIAKTLAQLNPESSLFIIASKTFTTQETITNAETAKEWFLQAAKDPSAVAKHFVALSTNTTKVKEFGIDPQNMFEFWDWVGGRYSLWSAIGLSIALHVGFDNFEQLLSGAHWMDQHFRTTPLEKNAPVLLALLGIWYINCFGCETHAMLPYDQYLHRFAAYFQQGDMESNGKYITKSGTRVDHQTGPIVWGEPGTNGQHAFYQLIHQGTKMIPCDFLIPVQTQHPIRKGLHHKILLANFLAQTEALMRGKSTEEARKELQAAGKSPEDLERLLPHKVFEGNRPTNSIVFTKLTPFMLGALVAMYEHKIFVQGIIWDINSFDQWGVELGKQLAKKIEPELDGSAQVTSHDASTNGLINFIKQQREARVQ
+>DECOY_sp|P06744|G6PI_HUMAN Glucose-6-phosphate isomerase OS=Homo sapiens OX=9606 GN=GPI PE=1 SV=4
+QVRAERQQKIFNILGNTSADHSTVQASGDLEPEIKKALQKGLEVGWQDFSNIDWIIGQVFIKHEYMAVLAGLMFPTLKTFVISNTPRNGEFVKHPLLRELDEPSKGAAQLEKRAEETSKGRMLAETQALFNALLIKHHLGKRIPHQTQVPILFDCPIMKTGQHILQYFAHQGNTGPEGWVIPGTQHDVRTGSKTIYKGNSEMDGQQFYAAFRHLYQDYPLMAHTECGFCNIYWIGLLALLVPANKELPTTRFHQDMWHAGSLLQEFNDFGVHLAISLGIASWLSYRGGVWDWFEFMNQPDIGFEKVKTTNTSLAVFHKAVASPDKAAQLFWEKATEANTITEQTTFTKSAIIFLSSEPNLQALTKAIHTGDINSVYWVRPGGSSYPKLAETVMLPGLDSGGIGINIVDTITKGTYGKWDGSRVRQCFSKMKDLVKNVEPMVDKGDVLIPTNSRNRLAVHLVARGETYNIKEGNFMRERAAEVGRSKALDVLMRMVDETVLNKSYDVLIHGHNTNLTLSFHNFRDKNADFLRRLNLESRHERYWQQLKQFQPDRTLAAM
+>sp|Q13480|GAB1_HUMAN GRB2-associated-binding protein 1 OS=Homo sapiens OX=9606 GN=GAB1 PE=1 SV=2
+MSGGEVVCSGWLRKSPPEKKLKRYAWKRRWFVLRSGRLTGDPDVLEYYKNDHAKKPIRIIDLNLCQQVDAGLTFNKKEFENSYIFDINTIDRIFYLVADSEEEMNKWVRCICDICGFNPTEEDPVKPPGSSLQAPADLPLAINTAPPSTQADSSSATLPPPYQLINVPPHLETLGIQEDPQDYLLLINCQSKKPEPTRTHADSAKSTSSETDCNDNVPSHKNPASSQSKHGMNGFFQQQMIYDSPPSRAPSASVDSSLYNLPRSYSHDVLPKVSPSSTEADGELYVFNTPSGTSSVETQMRHVSISYDIPPTPGNTYQIPRTFPEGTLGQTSKLDTIPDIPPPRPPKPHPAHDRSPVETCSIPRTASDTDSSYCIPTAGMSPSRSNTISTVDLNKLRKDASSQDCYDIPRAFPSDRSSSLEGFHNHFKVKNVLTVGSVSSEELDENYVPMNPNSPPRQHSSSFTEPIQEANYVPMTPGTFDFSSFGMQVPPPAHMGFRSSPKTPPRRPVPVADCEPPPVDRNLKPDRKVKPAPLEIKPLPEWEELQAPVRSPITRSFARDSSRFPMSPRPDSVHSTTSSSDSHDSEENYVPMNPNLSSEDPNLFGSNSLDGGSSPMIKPKGDKQVEYLDLDLDSGKSTPPRKQKSSGSGSSVADERVDYVVVDQQKTLALKSTREAWTDGRQSTESETPAKSVK
+>DECOY_sp|Q13480|GAB1_HUMAN GRB2-associated-binding protein 1 OS=Homo sapiens OX=9606 GN=GAB1 PE=1 SV=2
+KVSKAPTESETSQRGDTWAERTSKLALTKQQDVVVYDVREDAVSSGSGSSKQKRPPTSKGSDLDLDLYEVQKDGKPKIMPSSGGDLSNSGFLNPDESSLNPNMPVYNEESDHSDSSSTTSHVSDPRPSMPFRSSDRAFSRTIPSRVPAQLEEWEPLPKIELPAPKVKRDPKLNRDVPPPECDAVPVPRRPPTKPSSRFGMHAPPPVQMGFSSFDFTGPTMPVYNAEQIPETFSSSHQRPPSNPNMPVYNEDLEESSVSGVTLVNKVKFHNHFGELSSSRDSPFARPIDYCDQSSADKRLKNLDVTSITNSRSPSMGATPICYSSDTDSATRPISCTEVPSRDHAPHPKPPRPPPIDPITDLKSTQGLTGEPFTRPIQYTNGPTPPIDYSISVHRMQTEVSSTGSPTNFVYLEGDAETSSPSVKPLVDHSYSRPLNYLSSDVSASPARSPPSDYIMQQQFFGNMGHKSQSSAPNKHSPVNDNCDTESSTSKASDAHTRTPEPKKSQCNILLLYDQPDEQIGLTELHPPVNILQYPPPLTASSSDAQTSPPATNIALPLDAPAQLSSGPPKVPDEETPNFGCIDCICRVWKNMEEESDAVLYFIRDITNIDFIYSNEFEKKNFTLGADVQQCLNLDIIRIPKKAHDNKYYELVDPDGTLRGSRLVFWRRKWAYRKLKKEPPSKRLWGSCVVEGGSM
+>sp|P06028|GLPB_HUMAN Glycophorin-B OS=Homo sapiens OX=9606 GN=GYPB PE=1 SV=3
+MYGKIIFVLLLSEIVSISALSTTEVAMHTSTSSSVTKSYISSQTNGETGQLVHRFTVPAPVVIILIILCVMAGIIGTILLISYSIRRLIKA
+>DECOY_sp|P06028|GLPB_HUMAN Glycophorin-B OS=Homo sapiens OX=9606 GN=GYPB PE=1 SV=3
+AKILRRISYSILLITGIIGAMVCLIILIIVVPAPVTFRHVLQGTEGNTQSSIYSKTVSSSTSTHMAVETTSLASISVIESLLLVFIIKGYM
+>sp|Q9UI32|GLSL_HUMAN Glutaminase liver isoform, mitochondrial OS=Homo sapiens OX=9606 GN=GLS2 PE=1 SV=2
+MRSMKALQKALSRAGSHCGRGGWGHPSRSPLLGGGVRHHLSEAAAQGRETPHSHQPQHQDHDSSESGMLSRLGDLLFYTIAEGQERIPIHKFTTALKATGLQTSDPRLRDCMSEMHRVVQESSSGGLLDRDLFRKCVSSNIVLLTQAFRKKFVIPDFEEFTGHVDRIFEDVKELTGGKVAAYIPQLAKSNPDLWGVSLCTVDGQRHSVGHTKIPFCLQSCVKPLTYAISISTLGTDYVHKFVGKEPSGLRYNKLSLNEEGIPHNPMVNAGAIVVSSLIKMDCNKAEKFDFVLQYLNKMAGNEYMGFSNATFQSEKETGDRNYAIGYYLKEKKCFPKGVDMMAALDLYFQLCSVEVTCESGSVMAATLANGGICPITGESVLSAEAVRNTLSLMHSCGMYDFSGQFAFHVGLPAKSAVSGAILLVVPNVMGMMCLSPPLDKLGNSHRGTSFCQKLVSLFNFHNYDNLRHCARKLDPRREGAEIRNKTVVNLLFAAYSGDVSALRRFALSAMDMEQKDYDSRTALHVAAAEGHIEVVKFLIEACKVNPFAKDRWGNIPLDDAVQFNHLEVVKLLQDYQDSYTLSETQAEAAAEALSKENLESMV
+>DECOY_sp|Q9UI32|GLSL_HUMAN Glutaminase liver isoform, mitochondrial OS=Homo sapiens OX=9606 GN=GLS2 PE=1 SV=2
+VMSELNEKSLAEAAAEAQTESLTYSDQYDQLLKVVELHNFQVADDLPINGWRDKAFPNVKCAEILFKVVEIHGEAAAVHLATRSDYDKQEMDMASLAFRRLASVDGSYAAFLLNVVTKNRIEAGERRPDLKRACHRLNDYNHFNFLSVLKQCFSTGRHSNGLKDLPPSLCMMGMVNPVVLLIAGSVASKAPLGVHFAFQGSFDYMGCSHMLSLTNRVAEASLVSEGTIPCIGGNALTAAMVSGSECTVEVSCLQFYLDLAAMMDVGKPFCKKEKLYYGIAYNRDGTEKESQFTANSFGMYENGAMKNLYQLVFDFKEAKNCDMKILSSVVIAGANVMPNHPIGEENLSLKNYRLGSPEKGVFKHVYDTGLTSISIAYTLPKVCSQLCFPIKTHGVSHRQGDVTCLSVGWLDPNSKALQPIYAAVKGGTLEKVDEFIRDVHGTFEEFDPIVFKKRFAQTLLVINSSVCKRFLDRDLLGGSSSEQVVRHMESMCDRLRPDSTQLGTAKLATTFKHIPIREQGEAITYFLLDGLRSLMGSESSDHDQHQPQHSHPTERGQAAAESLHHRVGGGLLPSRSPHGWGGRGCHSGARSLAKQLAKMSRM
+>sp|Q7Z4T8|GLTL5_HUMAN Inactive polypeptide N-acetylgalactosaminyltransferase-like protein 5 OS=Homo sapiens OX=9606 GN=GALNTL5 PE=2 SV=3
+MRNAIIQGLFYGSLTFGIWTALLFIYLHHNHVSSWQKKSQEPLSAWSPGKKVHQQIIYGSEQIPKPHVIVKRTDEDKAKSMLGTDFNHTNPELHKELLKYGFNVIISRSLGIEREVPDTRSKMCLQKHYPARLPTASIVICFYNEECNALFQTMSSVTNLTPHYFLEEIILVDDMSKVDDLKEKLDYHLETFRGKVKIIRNKKREGLIRARLIGASHASGDVLVFLDSHCEVNRVWLEPLLHAIAKDPKMVVCPLIDVIDDRTLEYKPSPLVRGTFDWNLQFKWDNVFSYEMDGPEGSTKPIRSPAMSGGIFAIRRHYFNEIGQYDKDMDFWGRENLELSLRIWMCGGQLFIIPCSRVGHISKKQTGKPSTIISAMTHNYLRLVHVWLDEYKEQFFLRKPGLKYVTYGNIRERVELRKRLGCKSFQWYLDNVFPELEASVNSL
+>DECOY_sp|Q7Z4T8|GLTL5_HUMAN Inactive polypeptide N-acetylgalactosaminyltransferase-like protein 5 OS=Homo sapiens OX=9606 GN=GALNTL5 PE=2 SV=3
+LSNVSAELEPFVNDLYWQFSKCGLRKRLEVRERINGYTVYKLGPKRLFFQEKYEDLWVHVLRLYNHTMASIITSPKGTQKKSIHGVRSCPIIFLQGGCMWIRLSLELNERGWFDMDKDYQGIENFYHRRIAFIGGSMAPSRIPKTSGEPGDMEYSFVNDWKFQLNWDFTGRVLPSPKYELTRDDIVDILPCVVMKPDKAIAHLLPELWVRNVECHSDLFVLVDGSAHSAGILRARILGERKKNRIIKVKGRFTELHYDLKEKLDDVKSMDDVLIIEELFYHPTLNTVSSMTQFLANCEENYFCIVISATPLRAPYHKQLCMKSRTDPVEREIGLSRSIIVNFGYKLLEKHLEPNTHNFDTGLMSKAKDEDTRKVIVHPKPIQESGYIIQQHVKKGPSWASLPEQSKKQWSSVHNHHLYIFLLATWIGFTLSGYFLGQIIANRM
+>sp|Q9P107|GMIP_HUMAN GEM-interacting protein OS=Homo sapiens OX=9606 GN=GMIP PE=1 SV=2
+MDAAEPGLPPGPEGRKRYSDIFRSLDNLEISLGNVTLEMLAGDPLLSEDPEPDKTPTATVTNEASCWSGPSPEGPVPLTGEELDLRLIRTKGGVDAALEYAKTWSRYAKELLAWTEKRASYELEFAKSTMKIAEAGKVSIQQQSHMPLQYIYTLFLEHDLSLGTLAMETVAQQKRDYYQPLAAKRTEIEKWRKEFKEQWMKEQKRMNEAVQALRRAQLQYVQRSEDLRARSQGSPEDSAPQASPGPSKQQERRRRSREEAQAKAQEAEALYQACVREANARQQDLEIAKQRIVSHVRKLVFQGDEVLRRVTLSLFGLRGAQAERGPRAFAALAECCAPFEPGQRYQEFVRALRPEAPPPPPPAFSFQEFLPSLNSSPLDIRKKLSGPLPPRLDENSAEPGPWEDPGTGWRWQGTPGPTPGSDVDSVGGGSESRSLDSPTSSPGAGTRQLVKASSTGTESSDDFEERDPDLGDGLENGLGSPFGKWTLSSAAQTHQLRRLRGPAKCRECEAFMVSGTECEECFLTCHKRCLETLLILCGHRRLPARTPLFGVDFLQLPRDFPEEVPFVVTKCTAEIEHRALDVQGIYRVSGSRVRVERLCQAFENGRALVELSGNSPHDVSSVLKRFLQELTEPVIPFHLYDAFISLAKTLHADPGDDPGTPSPSPEVIRSLKTLLVQLPDSNYNTLRHLVAHLFRVAARFMENKMSANNLGIVFGPTLLRPPDGPRAASAIPVTCLLDSGHQAQLVEFLIVHYEQIFGMDELPQATEPPPQDSSPAPGPLTTSSQPPPPHLDPDSQPPVLASDPGPDPQHHSTLEQHPTATPTEIPTPQSDQREDVAEDTKDGGGEVSSQGPEDSLLGTQSRGHFSRQPVKYPRGGVRPVTHQLSSLALVASKLCEETPITSVPRGSLRGRGPSPAAASPEGSPLRRTPLPKHFEITQETARLLSKLDSEAVPRATCCPDVQPEEAEDHL
+>DECOY_sp|Q9P107|GMIP_HUMAN GEM-interacting protein OS=Homo sapiens OX=9606 GN=GMIP PE=1 SV=2
+LHDEAEEPQVDPCCTARPVAESDLKSLLRATEQTIEFHKPLPTRRLPSGEPSAAAPSPGRGRLSGRPVSTIPTEECLKSAVLALSSLQHTVPRVGGRPYKVPQRSFHGRSQTGLLSDEPGQSSVEGGGDKTDEAVDERQDSQPTPIETPTATPHQELTSHHQPDPGPDSALVPPQSDPDLHPPPPQSSTTLPGPAPSSDQPPPETAQPLEDMGFIQEYHVILFEVLQAQHGSDLLCTVPIASAARPGDPPRLLTPGFVIGLNNASMKNEMFRAAVRFLHAVLHRLTNYNSDPLQVLLTKLSRIVEPSPSPTGPDDGPDAHLTKALSIFADYLHFPIVPETLEQLFRKLVSSVDHPSNGSLEVLARGNEFAQCLREVRVRSGSVRYIGQVDLARHEIEATCKTVVFPVEEPFDRPLQLFDVGFLPTRAPLRRHGCLILLTELCRKHCTLFCEECETGSVMFAECERCKAPGRLRRLQHTQAASSLTWKGFPSGLGNELGDGLDPDREEFDDSSETGTSSAKVLQRTGAGPSSTPSDLSRSESGGGVSDVDSGPTPGPTGQWRWGTGPDEWPGPEASNEDLRPPLPGSLKKRIDLPSSNLSPLFEQFSFAPPPPPPAEPRLARVFEQYRQGPEFPACCEALAAFARPGREAQAGRLGFLSLTVRRLVEDGQFVLKRVHSVIRQKAIELDQQRANAERVCAQYLAEAEQAKAQAEERSRRRREQQKSPGPSAQPASDEPSGQSRARLDESRQVYQLQARRLAQVAENMRKQEKMWQEKFEKRWKEIETRKAALPQYYDRKQQAVTEMALTGLSLDHELFLTYIYQLPMHSQQQISVKGAEAIKMTSKAFELEYSARKETWALLEKAYRSWTKAYELAADVGGKTRILRLDLEEGTLPVPGEPSPGSWCSAENTVTATPTKDPEPDESLLPDGALMELTVNGLSIELNDLSRFIDSYRKRGEPGPPLGPEAADM
+>sp|P30679|GNA15_HUMAN Guanine nucleotide-binding protein subunit alpha-15 OS=Homo sapiens OX=9606 GN=GNA15 PE=1 SV=2
+MARSLTWRCCPWCLTEDEKAAARVDQEINRILLEQKKQDRGELKLLLLGPGESGKSTFIKQMRIIHGAGYSEEERKGFRPLVYQNIFVSMRAMIEAMERLQIPFSRPESKHHASLVMSQDPYKVTTFEKRYAAAMQWLWRDAGIRAYYERRREFHLLDSAVYYLSHLERITEEGYVPTAQDVLRSRMPTTGINEYCFSVQKTNLRIVDVGGQKSERKKWIHCFENVIALIYLASLSEYDQCLEENNQENRMKESLALFGTILELPWFKSTSVILFLNKTDILEEKIPTSHLATYFPSFQGPKQDAEAAKRFILDMYTRMYTGCVDGPEGSKKGARSRRLFSHYTCATDTQNIRKVFKDVRDSVLARYLDEINLL
+>DECOY_sp|P30679|GNA15_HUMAN Guanine nucleotide-binding protein subunit alpha-15 OS=Homo sapiens OX=9606 GN=GNA15 PE=1 SV=2
+LLNIEDLYRALVSDRVDKFVKRINQTDTACTYHSFLRRSRAGKKSGEPGDVCGTYMRTYMDLIFRKAAEADQKPGQFSPFYTALHSTPIKEELIDTKNLFLIVSTSKFWPLELITGFLALSEKMRNEQNNEELCQDYESLSALYILAIVNEFCHIWKKRESKQGGVDVIRLNTKQVSFCYENIGTTPMRSRLVDQATPVYGEETIRELHSLYYVASDLLHFERRREYYARIGADRWLWQMAAAYRKEFTTVKYPDQSMVLSAHHKSEPRSFPIQLREMAEIMARMSVFINQYVLPRFGKREEESYGAGHIIRMQKIFTSKGSEGPGLLLLKLEGRDQKKQELLIRNIEQDVRAAAKEDETLCWPCCRWTLSRAM
+>sp|P11488|GNAT1_HUMAN Guanine nucleotide-binding protein G(t) subunit alpha-1 OS=Homo sapiens OX=9606 GN=GNAT1 PE=1 SV=5
+MGAGASAEEKHSRELEKKLKEDAEKDARTVKLLLLGAGESGKSTIVKQMKIIHQDGYSLEECLEFIAIIYGNTLQSILAIVRAMTTLNIQYGDSARQDDARKLMHMADTIEEGTMPKEMSDIIQRLWKDSGIQACFERASEYQLNDSAGYYLSDLERLVTPGYVPTEQDVLRSRVKTTGIIETQFSFKDLNFRMFDVGGQRSERKKWIHCFEGVTCIIFIAALSAYDMVLVEDDEVNRMHESLHLFNSICNHRYFATTSIVLFLNKKDVFFEKIKKAHLSICFPDYDGPNTYEDAGNYIKVQFLELNMRRDVKEIYSHMTCATDTQNVKFVFDAVTDIIIKENLKDCGLF
+>DECOY_sp|P11488|GNAT1_HUMAN Guanine nucleotide-binding protein G(t) subunit alpha-1 OS=Homo sapiens OX=9606 GN=GNAT1 PE=1 SV=5
+FLGCDKLNEKIIIDTVADFVFKVNQTDTACTMHSYIEKVDRRMNLELFQVKIYNGADEYTNPGDYDPFCISLHAKKIKEFFVDKKNLFLVISTTAFYRHNCISNFLHLSEHMRNVEDDEVLVMDYASLAAIFIICTVGEFCHIWKKRESRQGGVDFMRFNLDKFSFQTEIIGTTKVRSRLVDQETPVYGPTVLRELDSLYYGASDNLQYESAREFCAQIGSDKWLRQIIDSMEKPMTGEEITDAMHMLKRADDQRASDGYQINLTTMARVIALISQLTNGYIIAIFELCEELSYGDQHIIKMQKVITSKGSEGAGLLLLKVTRADKEADEKLKKELERSHKEEASAGAGM
+>sp|Q9BYB4|GNB1L_HUMAN Guanine nucleotide-binding protein subunit beta-like protein 1 OS=Homo sapiens OX=9606 GN=GNB1L PE=1 SV=2
+MTAPCPPPPPDPQFVLRGTQSPVHALHFCEGAQAQGRPLLFSGSQSGLVHIWSLQTRRAVTTLDGHGGQCVTWLQTLPQGRQLLSQGRDLKLCLWDLAEGRSAVVDSVCLESVGFCRSSILAGGQPRWTLAVPGRGSDEVQILEMPSKTSVCALKPKADAKLGMPMCLRLWQADCSSRPLLLAGYEDGSVVLWDVSEQKVCSRIACHEEPVMDLDFDSQKARGISGSAGKALAVWSLDWQQALQVRGTHELTNPGIAEVTIRPDRKILATAGWDHRIRVFHWRTMQPLAVLAFHSAAVQCVAFTADGLLAAGSKDQRISLWSLYPRA
+>DECOY_sp|Q9BYB4|GNB1L_HUMAN Guanine nucleotide-binding protein subunit beta-like protein 1 OS=Homo sapiens OX=9606 GN=GNB1L PE=1 SV=2
+ARPYLSWLSIRQDKSGAALLGDATFAVCQVAASHFALVALPQMTRWHFVRIRHDWGATALIKRDPRITVEAIGPNTLEHTGRVQLAQQWDLSWVALAKGASGSIGRAKQSDFDLDMVPEEHCAIRSCVKQESVDWLVVSGDEYGALLLPRSSCDAQWLRLCMPMGLKADAKPKLACVSTKSPMELIQVEDSGRGPVALTWRPQGGALISSRCFGVSELCVSDVVASRGEALDWLCLKLDRGQSLLQRGQPLTQLWTVCQGGHGDLTTVARRTQLSWIHVLGSQSGSFLLPRGQAQAGECFHLAHVPSQTGRLVFQPDPPPPPCPATM
+>sp|Q9UJJ9|GNPTG_HUMAN N-acetylglucosamine-1-phosphotransferase subunit gamma OS=Homo sapiens OX=9606 GN=GNPTG PE=1 SV=1
+MAAGLARLLLLLGLSAGGPAPAGAAKMKVVEEPNAFGVNNPFLPQASRLQAKRDPSPVSGPVHLFRLSGKCFSLVESTYKYEFCPFHNVTQHEQTFRWNAYSGILGIWHEWEIANNTFTGMWMRDGDACRSRSRQSKVELACGKSNRLAHVSEPSTCVYALTFETPLVCHPHALLVYPTLPEALQRQWDQVEQDLADELITPQGHEKLLRTLFEDAGYLKTPEENEPTQLEGGPDSLGFETLENCRKAHKELSKEIKRLKGLLTQHGIPYTRPTETSNLEHLGHETPRAKSPEQLRGDPGLRGSL
+>DECOY_sp|Q9UJJ9|GNPTG_HUMAN N-acetylglucosamine-1-phosphotransferase subunit gamma OS=Homo sapiens OX=9606 GN=GNPTG PE=1 SV=1
+LSGRLGPDGRLQEPSKARPTEHGLHELNSTETPRTYPIGHQTLLGKLRKIEKSLEKHAKRCNELTEFGLSDPGGELQTPENEEPTKLYGADEFLTRLLKEHGQPTILEDALDQEVQDWQRQLAEPLTPYVLLAHPHCVLPTEFTLAYVCTSPESVHALRNSKGCALEVKSQRSRSRCADGDRMWMGTFTNNAIEWEHWIGLIGSYANWRFTQEHQTVNHFPCFEYKYTSEVLSFCKGSLRFLHVPGSVPSPDRKAQLRSAQPLFPNNVGFANPEEVVKMKAAGAPAPGGASLGLLLLLRALGAAM
+>sp|Q96P88|GNRR2_HUMAN Putative gonadotropin-releasing hormone II receptor OS=Homo sapiens OX=9606 GN=GNRHR2 PE=5 SV=4
+MSAGNGTPWDATWNITVQWLAVDIACRTLMFLKLMATYSAAFLPVVIGLDRQAAVLNPLGSRSGVRKLLGAAWGLSFLLAFPQLFLFHTVHCAGPVPFTQCVTKGSFKAQWQETTYNLFTFCCLFLLPLTAMAICYSRIVLSVSRPQTRKGSHAPAGEFALPRSFDNCPRVRLRALRLALLILLTFILCWTPYYLLGMWYWFSPTMLTEVPPSLSHILFLLGLLNAPLDPLLYGAFTLGCRRGHQELSIDSSKEGSGRMLQEEIHAFRQLEVQKTVTSRRAGETKGISITSI
+>DECOY_sp|Q96P88|GNRR2_HUMAN Putative gonadotropin-releasing hormone II receptor OS=Homo sapiens OX=9606 GN=GNRHR2 PE=5 SV=4
+ISTISIGKTEGARRSTVTKQVELQRFAHIEEQLMRGSGEKSSDISLEQHGRRCGLTFAGYLLPDLPANLLGLLFLIHSLSPPVETLMTPSFWYWMGLLYYPTWCLIFTLLILLALRLARLRVRPCNDFSRPLAFEGAPAHSGKRTQPRSVSLVIRSYCIAMATLPLLFLCCFTFLNYTTEQWQAKFSGKTVCQTFPVPGACHVTHFLFLQPFALLFSLGWAAGLLKRVGSRSGLPNLVAAQRDLGIVVPLFAASYTAMLKLFMLTRCAIDVALWQVTINWTADWPTGNGASM
+>sp|Q9NYA3|GOG6A_HUMAN Golgin subfamily A member 6A OS=Homo sapiens OX=9606 GN=GOLGA6A PE=1 SV=3
+MWPQPYLPPHPMMLEESRQNKLAAAKKKLKEYQQRKSPGIPAGAKTKKKKTDSSPETTTSGGCHSPGDSQYQELAVALESSSVTISQLNENIESLKQQKKQVEHQLEEAKKTNNEIHKAQMERLETINILTLEKADLKTTLYHTKRAARHFEEESKDLAGRLQYSLQRIQELERALCAVSTQQQEEDRSSSCREAVLQRWLQQTIKERALLNAHVTQVTESLKQVQLERDEYAKHIKGERARWQERMWKMSVEARTLKEEKKRDIHRIQELERSLSELKNQMAEPPSLAPPAVTSVVEQLQDEAKHLRQEVEGLEGKLQSQVENNQALSLLSKEQKQRLQEQEEMLREQEAQRVREQERLCEQNERLREQQKTLQEQGERLRKQEQRLRKQEERLRKEEERLQKQEKRLWDQEERLWKKEERLQKQEERLALSQNHKLDKQLAEPQCSFEDLNNEKKSALQLEQQVKELQEKLDEEHLEAASHQNQQLETQLSLVALPGEGDGGQHLDSEEEEAPRPTPNIPEDLESREATSSFMDLPKEKADGTEQVERRELGFVQPSGVTDGMRESFTVYESQGAVPNTRHQEMEDVIRLAQKEEEMKVKLLELQELVLPLVGNHEGHGKFLIAAQNPADEPTPGAPAPQELGAAGEQDVFYEVSLDNNVEPAPGAAREGSPHDNPTVQQIVQLSPVMQDT
+>DECOY_sp|Q9NYA3|GOG6A_HUMAN Golgin subfamily A member 6A OS=Homo sapiens OX=9606 GN=GOLGA6A PE=1 SV=3
+TDQMVPSLQVIQQVTPNDHPSGERAAGPAPEVNNDLSVEYFVDQEGAAGLEQPAPAGPTPEDAPNQAAILFKGHGEHNGVLPLVLEQLELLKVKMEEEKQALRIVDEMEQHRTNPVAGQSEYVTFSERMGDTVGSPQVFGLERREVQETGDAKEKPLDMFSSTAERSELDEPINPTPRPAEEEESDLHQGGDGEGPLAVLSLQTELQQNQHSAAELHEEDLKEQLEKVQQELQLASKKENNLDEFSCQPEALQKDLKHNQSLALREEQKQLREEKKWLREEQDWLRKEQKQLREEEKRLREEQKRLRQEQKRLREGQEQLTKQQERLRENQECLREQERVRQAEQERLMEEQEQLRQKQEKSLLSLAQNNEVQSQLKGELGEVEQRLHKAEDQLQEVVSTVAPPALSPPEAMQNKLESLSRELEQIRHIDRKKEEKLTRAEVSMKWMREQWRAREGKIHKAYEDRELQVQKLSETVQTVHANLLAREKITQQLWRQLVAERCSSSRDEEQQQTSVACLARELEQIRQLSYQLRGALDKSEEEFHRAARKTHYLTTKLDAKELTLINITELREMQAKHIENNTKKAEELQHEVQKKQQKLSEINENLQSITVSSSELAVALEQYQSDGPSHCGGSTTTEPSSDTKKKKTKAGAPIGPSKRQQYEKLKKKAAALKNQRSEELMMPHPPLYPQPWM
+>sp|Q08AF8|GOG8F_HUMAN Putative golgin subfamily A member 8F/8G OS=Homo sapiens OX=9606 GN=GOLGA8F PE=5 SV=1
+MEWKLEQSMREQALLKAQLTQLKESLKEVQLERDEYAEHLKGERARWQQRMRKMSQEVCSLKKEKKHDKYRVEKLERSLSKLKHQMAEPLPPEPPAVPSEVELQHLRKELERVAGELQAQVEYNQRISLLNEGQKERLREQEERLQEQQERLPEQEERLQQLAEPQNSFKELNNENKSVLQLEQQVKELQEKLGKERLEAASQQKQQLTAQLSLMALPGEGDGGGHLDSEGEEAPRPIPSIPQDLESREAMSGFMDHLEEKADLSELVEKEELGFFQYYRERCHQKVYHPITKPGGSAKDAAPGGGHHQAGPGQGGDEGEAAGAAGDGVAAGGDYKGHSKFLVTAQNPAHEPSPGAPAPQELGAAHKHGDLCEVSLTDSVEPVQGEAREGSPHDNPTAQPIVQDHQEHPGLGSNCCVPFFCWAWLPRRRR
+>DECOY_sp|Q08AF8|GOG8F_HUMAN Putative golgin subfamily A member 8F/8G OS=Homo sapiens OX=9606 GN=GOLGA8F PE=5 SV=1
+RRRRPLWAWCFFPVCCNSGLGPHEQHDQVIPQATPNDHPSGERAEGQVPEVSDTLSVECLDGHKHAAGLEQPAPAGPSPEHAPNQATVLFKSHGKYDGGAAVGDGAAGAAEGEDGGQGPGAQHHGGGPAADKASGGPKTIPHYVKQHCRERYYQFFGLEEKEVLESLDAKEELHDMFGSMAERSELDQPISPIPRPAEEGESDLHGGGDGEGPLAMLSLQATLQQKQQSAAELREKGLKEQLEKVQQELQLVSKNENNLEKFSNQPEALQQLREEQEPLREQQEQLREEQERLREKQGENLLSIRQNYEVQAQLEGAVRELEKRLHQLEVESPVAPPEPPLPEAMQHKLKSLSRELKEVRYKDHKKEKKLSCVEQSMKRMRQQWRAREGKLHEAYEDRELQVEKLSEKLQTLQAKLLAQERMSQELKWEM
+>sp|F8WBI6|GOG8N_HUMAN Golgin subfamily A member 8N OS=Homo sapiens OX=9606 GN=GOLGA8N PE=3 SV=1
+MAEETQHNKLAAAKKKLKEYWQKNRPRVPAGVNRNRKTNGSIPETATSGGCQPPGDSATGFHREGPTSSATLKDLESPCQERAVVLDSTSVKISRLKNTIKSLKQQKKQVEHQLEEEKKANNERQKAERELEVQIQTLIIQKEELNTDLYHMERSLRYFEEESKDLAVRLQHSLQCKGELESALSAVIATEKKKANQLSSCSKAHTEWELEQSLQDQALLKAQLTQLKESFQQLQLERDECAEHIEGERARWHQRMSKMSQEICTLKKEKQQDMRRVEELERSLSKLKNQMAEPLPPEPPAVPSEVELQHLRKELERVAGELQSQVKNNQHISLLNRRQEERIREQEERLRKQEERLQEQHEKLRQLAKPQSVFEELNNENKSTLQLEQQVKELQEKLGEEHLEAASQQNQQLTAQLSLMALPGEGHGGEHLDSEGEEAPQPMPSVPEDLESREAMSSFMDHLKEKADLSELVKKQELRFIQYWQERCHQKIHHLLSEPGGRAKDAALGGGHHQAGAQGGDEGEAAGAAADGIAAYSNYNNGHRKFLAAAHNSADEPGPGAPAPQELGAADKHGDLREVTLTSSAQGEAREDPLLDKPTAQPIVQDHQEHPGLGSNCCVPLFCWAWLPRRRR
+>DECOY_sp|F8WBI6|GOG8N_HUMAN Golgin subfamily A member 8N OS=Homo sapiens OX=9606 GN=GOLGA8N PE=3 SV=1
+RRRRPLWAWCFLPVCCNSGLGPHEQHDQVIPQATPKDLLPDERAEGQASSTLTVERLDGHKDAAGLEQPAPAGPGPEDASNHAAALFKRHGNNYNSYAAIGDAAAGAAEGEDGGQAGAQHHGGGLAADKARGGPESLLHHIKQHCREQWYQIFRLEQKKVLESLDAKEKLHDMFSSMAERSELDEPVSPMPQPAEEGESDLHEGGHGEGPLAMLSLQATLQQNQQSAAELHEEGLKEQLEKVQQELQLTSKNENNLEEFVSQPKALQRLKEHQEQLREEQKRLREEQERIREEQRRNLLSIHQNNKVQSQLEGAVRELEKRLHQLEVESPVAPPEPPLPEAMQNKLKSLSRELEEVRRMDQQKEKKLTCIEQSMKSMRQHWRAREGEIHEACEDRELQLQQFSEKLQTLQAKLLAQDQLSQELEWETHAKSCSSLQNAKKKETAIVASLASELEGKCQLSHQLRVALDKSEEEFYRLSREMHYLDTNLEEKQIILTQIQVELEREAKQRENNAKKEEELQHEVQKKQQKLSKITNKLRSIKVSTSDLVVAREQCPSELDKLTASSTPGERHFGTASDGPPQCGGSTATEPISGNTKRNRNVGAPVRPRNKQWYEKLKKKAAALKNHQTEEAM
+>sp|I6L899|GOG8R_HUMAN Golgin subfamily A member 8R OS=Homo sapiens OX=9606 GN=GOLGA8R PE=3 SV=1
+MAEETQHNKLAAAKKKLKEYWQKNRPRVPAGVNRNRKTNGSIPETATSGGCQPPGDSATGFHREGPTSSATLKDLESPCQERAVVLDSTSVKISRLKNTIKSLKQQKKQVEHQLEEEKKANNERQKAERVLEVQIQTLIIQKEELNTDLYHMERSLRYFEEESKDLAVRLQHSLQCKGELERALSAVIATEKKKANQLSSCSKAHTEWELEQSLQDQALLKAQLTQLKESFQQLQLERDECAEHIEGERARWHQRMSKMSQEICTLKKEKQDMRWVEQLEWSLSKLKNQTAEPLPPEPPAVPSEVELQHLRKELERVAGELQSQVKNNQHISLLNRRQEERIREQEERLRKQEERLQEQHEKLRQLAKPQSVFEELNNENKSTLQLEQQVKELQEKLGEEHLEVASQQNQQLTAQLSLMALPGEGHGGEHLDSEGEEAPQPMPSVPEDPESREAMSSFMDHLKEKADLSELLKKQELRFIQYWQERCHQKIHHLLSEPGGRAKDAALGGGHHQAGAQGGDEGEAAGAAADGIAAYSNYNNGHRKFLAAAHNSADEPGPGAPAPQELGAADKHGDLREVTLTSSAQGEAREDPLLDKPTAQPIVQDHQEHPGLGSNCCVPLFCWAWLPRRRR
+>DECOY_sp|I6L899|GOG8R_HUMAN Golgin subfamily A member 8R OS=Homo sapiens OX=9606 GN=GOLGA8R PE=3 SV=1
+RRRRPLWAWCFLPVCCNSGLGPHEQHDQVIPQATPKDLLPDERAEGQASSTLTVERLDGHKDAAGLEQPAPAGPGPEDASNHAAALFKRHGNNYNSYAAIGDAAAGAAEGEDGGQAGAQHHGGGLAADKARGGPESLLHHIKQHCREQWYQIFRLEQKKLLESLDAKEKLHDMFSSMAERSEPDEPVSPMPQPAEEGESDLHEGGHGEGPLAMLSLQATLQQNQQSAVELHEEGLKEQLEKVQQELQLTSKNENNLEEFVSQPKALQRLKEHQEQLREEQKRLREEQERIREEQRRNLLSIHQNNKVQSQLEGAVRELEKRLHQLEVESPVAPPEPPLPEATQNKLKSLSWELQEVWRMDQKEKKLTCIEQSMKSMRQHWRAREGEIHEACEDRELQLQQFSEKLQTLQAKLLAQDQLSQELEWETHAKSCSSLQNAKKKETAIVASLARELEGKCQLSHQLRVALDKSEEEFYRLSREMHYLDTNLEEKQIILTQIQVELVREAKQRENNAKKEEELQHEVQKKQQKLSKITNKLRSIKVSTSDLVVAREQCPSELDKLTASSTPGERHFGTASDGPPQCGGSTATEPISGNTKRNRNVGAPVRPRNKQWYEKLKKKAAALKNHQTEEAM
+>sp|Q8NBJ4|GOLM1_HUMAN Golgi membrane protein 1 OS=Homo sapiens OX=9606 GN=GOLM1 PE=1 SV=1
+MMGLGNGRRSMKSPPLVLAALVACIIVLGFNYWIASSRSVDLQTRIMELEGRVRRAAAERGAVELKKNEFQGELEKQREQLDKIQSSHNFQLESVNKLYQDEKAVLVNNITTGERLIRVLQDQLKTLQRNYGRLQQDVLQFQKNQTNLERKFSYDLSQCINQMKEVKEQCEERIEEVTKKGNEAVASRDLSENNDQRQQLQALSEPQPRLQAAGLPHTEVPQGKGNVLGNSKSQTPAPSSEVVLDSKRQVEKEETNEIQVVNEEPQRDRLPQEPGREQVVEDRPVGGRGFGGAGELGQTPQVQAALSVSQENPEMEGPERDQLVIPDGQEEEQEAAGEGRNQQKLRGEDDYNMDENEAESETDKQAALAGNDRNIDVFNVEDQKRDTINLLDQREKRNHTL
+>DECOY_sp|Q8NBJ4|GOLM1_HUMAN Golgi membrane protein 1 OS=Homo sapiens OX=9606 GN=GOLM1 PE=1 SV=1
+LTHNRKERQDLLNITDRKQDEVNFVDINRDNGALAAQKDTESEAENEDMNYDDEGRLKQQNRGEGAAEQEEEQGDPIVLQDREPGEMEPNEQSVSLAAQVQPTQGLEGAGGFGRGGVPRDEVVQERGPEQPLRDRQPEENVVQIENTEEKEVQRKSDLVVESSPAPTQSKSNGLVNGKGQPVETHPLGAAQLRPQPESLAQLQQRQDNNESLDRSAVAENGKKTVEEIREECQEKVEKMQNICQSLDYSFKRELNTQNKQFQLVDQQLRGYNRQLTKLQDQLVRILREGTTINNVLVAKEDQYLKNVSELQFNHSSQIKDLQERQKELEGQFENKKLEVAGREAAARRVRGELEMIRTQLDVSRSSAIWYNFGLVIICAVLAALVLPPSKMSRRGNGLGMM
+>sp|Q9Y3E0|GOT1B_HUMAN Vesicle transport protein GOT1B OS=Homo sapiens OX=9606 GN=GOLT1B PE=1 SV=1
+MISLTDTQKIGMGLTGFGVFFLFFGMILFFDKALLAIGNVLFVAGLAFVIGLERTFRFFFQKHKMKATGFFLGGVFVVLIGWPLIGMIFEIYGFFLLFRGFFPVVVGFIRRVPVLGSLLNLPGIRSFVDKVGESNNMV
+>DECOY_sp|Q9Y3E0|GOT1B_HUMAN Vesicle transport protein GOT1B OS=Homo sapiens OX=9606 GN=GOLT1B PE=1 SV=1
+VMNNSEGVKDVFSRIGPLNLLSGLVPVRRIFGVVVPFFGRFLLFFGYIEFIMGILPWGILVVFVGGLFFGTAKMKHKQFFFRFTRELGIVFALGAVFLVNGIALLAKDFFLIMGFFLFFVGFGTLGMGIKQTDTLSIM
+>sp|Q9NPR9|GP108_HUMAN Protein GPR108 OS=Homo sapiens OX=9606 GN=GPR108 PE=2 SV=3
+MAVSERRGLGRGSPAEWGQRLLLVLLLGGCSGRIHQLALTGEKRADIQLNSFGFYTNGSLEVELSVLRLGLREAEEKSLLVGFSLSRVRSGRVRSYSTRDFQDCPLQKNSSSFLVLFLINTKDLQVQVRKYGEQKTLFIFPGLLPEAPSKPGLPKPQATVPRKVDGGGTSAASKPKSTPAVIQGPSGKDKDLVLGLSHLNNSYNFSFHVVIGSQAEEGQYSLNFHNCNNSVPGKEHPFDITVMIREKNPDGFLSAAEMPLFKLYMVMSACFLAAGIFWVSILCRNTYSVFKIHWLMAALAFTKSISLLFHSINYYFINSQGHPIEGLAVMYYIAHLLKGALLFITIALIGSGWAFIKYVLSDKEKKVFGIVIPMQVLANVAYIIIESREEGASDYVLWKEILFLVDLICCGAILFPVVWSIRHLQDASGTDGKVAVNLAKLKLFRHYYVMVICYVYFTRIIAILLQVAVPFQWQWLYQLLVEGSTLAFFVLTGYKFQPTGNNPYLQLPQEDEEDVQMEQVMTDSGFREGLSKVNKTASGRELL
+>DECOY_sp|Q9NPR9|GP108_HUMAN Protein GPR108 OS=Homo sapiens OX=9606 GN=GPR108 PE=2 SV=3
+LLERGSATKNVKSLGERFGSDTMVQEMQVDEEDEQPLQLYPNNGTPQFKYGTLVFFALTSGEVLLQYLWQWQFPVAVQLLIAIIRTFYVYCIVMVYYHRFLKLKALNVAVKGDTGSADQLHRISWVVPFLIAGCCILDVLFLIEKWLVYDSAGEERSEIIIYAVNALVQMPIVIGFVKKEKDSLVYKIFAWGSGILAITIFLLAGKLLHAIYYMVALGEIPHGQSNIFYYNISHFLLSISKTFALAAMLWHIKFVSYTNRCLISVWFIGAALFCASMVMYLKFLPMEAASLFGDPNKERIMVTIDFPHEKGPVSNNCNHFNLSYQGEEAQSGIVVHFSFNYSNNLHSLGLVLDKDKGSPGQIVAPTSKPKSAASTGGGDVKRPVTAQPKPLGPKSPAEPLLGPFIFLTKQEGYKRVQVQLDKTNILFLVLFSSSNKQLPCDQFDRTSYSRVRGSRVRSLSFGVLLSKEEAERLGLRLVSLEVELSGNTYFGFSNLQIDARKEGTLALQHIRGSCGGLLLVLLLRQGWEAPSGRGLGRRESVAM
+>sp|Q6DWJ6|GP139_HUMAN Probable G-protein coupled receptor 139 OS=Homo sapiens OX=9606 GN=GPR139 PE=2 SV=1
+MEHTHAHLAANSSLSWWSPGSACGLGFVPVVYYSLLLCLGLPANILTVIILSQLVARRQKSSYNYLLALAAADILVLFFIVFVDFLLEDFILNMQMPQVPDKIIEVLEFSSIHTSIWITVPLTIDRYIAVCHPLKYHTVSYPARTRKVIVSVYITCFLTSIPYYWWPNIWTEDYISTSVHHVLIWIHCFTVYLVPCSIFFILNSIIVYKLRRKSNFRLRGYSTGKTTAILFTITSIFATLWAPRIIMILYHLYGAPIQNRWLVHIMSDIANMLALLNTAINFFLYCFISKRFRTMAAATLKAFFKCQKQPVQFYTNHNFSITSSPWISPANSHCIKMLVYQYDKNGKPIKVSP
+>DECOY_sp|Q6DWJ6|GP139_HUMAN Probable G-protein coupled receptor 139 OS=Homo sapiens OX=9606 GN=GPR139 PE=2 SV=1
+PSVKIPKGNKDYQYVLMKICHSNAPSIWPSSTISFNHNTYFQVPQKQCKFFAKLTAAAMTRFRKSIFCYLFFNIATNLLALMNAIDSMIHVLWRNQIPAGYLHYLIMIIRPAWLTAFISTITFLIATTKGTSYGRLRFNSKRRLKYVIISNLIFFISCPVLYVTFCHIWILVHHVSTSIYDETWINPWWYYPISTLFCTIYVSVIVKRTRAPYSVTHYKLPHCVAIYRDITLPVTIWISTHISSFELVEIIKDPVQPMQMNLIFDELLFDVFVIFFLVLIDAAALALLYNYSSKQRRAVLQSLIIVTLINAPLGLCLLLSYYVVPVFGLGCASGPSWWSLSSNAALHAHTHEM
+>sp|Q7Z601|GP142_HUMAN Probable G-protein coupled receptor 142 OS=Homo sapiens OX=9606 GN=GPR142 PE=2 SV=1
+MSIMMLPMEQKIQWVPTSLQDITAVLGTEAYTEEDKSMVSHAQKSQHSCLSHSRWLRSPQVTGGSWDLRIRPSKDSSSFRQAQCLRKDPGANNHLESQGVRGTAGDADRELRGPSEKATAGQPRVTLLPTPHVSGLSQEFESHWPEIAERSPCVAGVIPVIYYSVLLGLGLPVSLLTAVALARLATRTRRPSYYYLLALTASDIIIQVVIVFAGFLLQGAVLARQVPQAVVRTANILEFAANHASVWIAILLTVDRYTALCHPLHHRAASSPGRTRRAIAAVLSAALLTGIPFYWWLDMWRDTDSPRTLDEVLKWAHCLTVYFIPCGVFLVTNSAIIHRLRRRGRSGLQPRVGKSTAILLGITTLFTLLWAPRVFVMLYHMYVAPVHRDWRVHLALDVANMVAMLHTAANFGLYCFVSKTFRATVRQVIHDAYLPCTLASQPEGMAAKPVMEPPGLPTGAEV
+>DECOY_sp|Q7Z601|GP142_HUMAN Probable G-protein coupled receptor 142 OS=Homo sapiens OX=9606 GN=GPR142 PE=2 SV=1
+VEAGTPLGPPEMVPKAAMGEPQSALTCPLYADHIVQRVTARFTKSVFCYLGFNAATHLMAVMNAVDLALHVRWDRHVPAVYMHYLMVFVRPAWLLTFLTTIGLLIATSKGVRPQLGSRGRRRLRHIIASNTVLFVGCPIFYVTLCHAWKLVEDLTRPSDTDRWMDLWWYFPIGTLLAASLVAAIARRTRGPSSAARHHLPHCLATYRDVTLLIAIWVSAHNAAFELINATRVVAQPVQRALVAGQLLFGAFVIVVQIIIDSATLALLYYYSPRRTRTALRALAVATLLSVPLGLGLLVSYYIVPIVGAVCPSREAIEPWHSEFEQSLGSVHPTPLLTVRPQGATAKESPGRLERDADGATGRVGQSELHNNAGPDKRLCQAQRFSSSDKSPRIRLDWSGGTVQPSRLWRSHSLCSHQSKQAHSVMSKDEETYAETGLVATIDQLSTPVWQIKQEMPLMMISM
+>sp|Q96CH1|GP146_HUMAN Probable G-protein coupled receptor 146 OS=Homo sapiens OX=9606 GN=GPR146 PE=2 SV=1
+MWSCSWFNGTGLVEELPACQDLQLGLSLLSLLGLVVGVPVGLCYNALLVLANLHSKASMTMPDVYFVNMAVAGLVLSALAPVHLLGPPSSRWALWSVGGEVHVALQIPFNVSSLVAMYSTALLSLDHYIERALPRTYMASVYNTRHVCGFVWGGALLTSFSSLLFYICSHVSTRALECAKMQNAEAADATLVFIGYVVPALATLYALVLLSRVRREDTPLDRDTGRLEPSAHRLLVATVCTQFGLWTPHYLILLGHTVIISRGKPVDAHYLGLLHFVKDFSKLLAFSSSFVTPLLYRYMNQSFPSKLQRLMKKLPCGDRHCSPDHMGVQQVLA
+>DECOY_sp|Q96CH1|GP146_HUMAN Probable G-protein coupled receptor 146 OS=Homo sapiens OX=9606 GN=GPR146 PE=2 SV=1
+ALVQQVGMHDPSCHRDGCPLKKMLRQLKSPFSQNMYRYLLPTVFSSSFALLKSFDKVFHLLGLYHADVPKGRSIIVTHGLLILYHPTWLGFQTCVTAVLLRHASPELRGTDRDLPTDERRVRSLLVLAYLTALAPVVYGIFVLTADAAEANQMKACELARTSVHSCIYFLLSSFSTLLAGGWVFGCVHRTNYVSAMYTRPLAREIYHDLSLLATSYMAVLSSVNFPIQLAVHVEGGVSWLAWRSSPPGLLHVPALASLVLGAVAMNVFYVDPMTMSAKSHLNALVLLANYCLGVPVGVVLGLLSLLSLGLQLDQCAPLEEVLGTGNFWSCSWM
+>sp|Q8TDV2|GP148_HUMAN Probable G-protein coupled receptor 148 OS=Homo sapiens OX=9606 GN=GPR148 PE=2 SV=2
+MGDELAPCPVGTTAWPALIQLISKTPCMPQAASNTSLGLGDLRVPSSMLYWLFLPSSLLAAATLAVSPLLLVTILRNQRLRQEPHYLLPANILLSDLAYILLHMLISSSSLGGWELGRMACGILTDAVFAACTSTILSFTAIVLHTYLAVIHPLRYLSFMSHGAAWKAVALIWLVACCFPTFLIWLSKWQDAQLEEQGASYILPPSMGTQPGCGLLVIVTYTSILCVLFLCTALIANCFWRIYAEAKTSGIWGQGYSRARGTLLIHSVLITLYVSTGVVFSLDMVLTRYHHIDSGTHTWLLAANSEVLMMLPRAMLTYLYLLRYRQLLGMVRGHLPSRRHQAIFTIS
+>DECOY_sp|Q8TDV2|GP148_HUMAN Probable G-protein coupled receptor 148 OS=Homo sapiens OX=9606 GN=GPR148 PE=2 SV=2
+SITFIAQHRRSPLHGRVMGLLQRYRLLYLYTLMARPLMMLVESNAALLWTHTGSDIHHYRTLVMDLSFVVGTSVYLTILVSHILLTGRARSYGQGWIGSTKAEAYIRWFCNAILATCLFLVCLISTYTVIVLLGCGPQTGMSPPLIYSAGQEELQADQWKSLWILFTPFCCAVLWILAVAKWAAGHSMFSLYRLPHIVALYTHLVIATFSLITSTCAAFVADTLIGCAMRGLEWGGLSSSSILMHLLIYALDSLLINAPLLYHPEQRLRQNRLITVLLLPSVALTAAALLSSPLFLWYLMSSPVRLDGLGLSTNSAAQPMCPTKSILQILAPWATTGVPCPALEDGM
+>sp|Q8NGU9|GP150_HUMAN Probable G-protein coupled receptor 150 OS=Homo sapiens OX=9606 GN=GPR150 PE=2 SV=1
+MEDLFSPSILPPAPNISVPILLGWGLNLTLGQGAPASGPPSRRVRLVFLGVILVVAVAGNTTVLCRLCGGGGPWAGPKRRKMDFLLVQLALADLYACGGTALSQLAWELLGEPRAATGDLACRFLQLLQASGRGASAHLVVLIALERRRAVRLPHGRPLPARALAALGWLLALLLALPPAFVVRGDSPSPLPPPPPPTSLQPGAPPAARAWPGERRCHGIFAPLPRWHLQVYAFYEAVAGFVAPVTVLGVACGHLLSVWWRHRPQAPAAAAPWSASPGRAPAPSALPRAKVQSLKMSLLLALLFVGCELPYFAARLAAAWSSGPAGDWEGEGLSAALRVVAMANSALNPFVYLFFQAGDCRLRRQLRKRLGSLCCAPQGGAEDEEGPRGHQALYRQRWPHPHYHHARREPLDEGGLRPPPPRPRPLPCSCESAF
+>DECOY_sp|Q8NGU9|GP150_HUMAN Probable G-protein coupled receptor 150 OS=Homo sapiens OX=9606 GN=GPR150 PE=2 SV=1
+FASECSCPLPRPRPPPPRLGGEDLPERRAHHYHPHPWRQRYLAQHGRPGEEDEAGGQPACCLSGLRKRLQRRLRCDGAQFFLYVFPNLASNAMAVVRLAASLGEGEWDGAPGSSWAAALRAAFYPLECGVFLLALLLSMKLSQVKARPLASPAPARGPSASWPAAAAPAQPRHRWWVSLLHGCAVGLVTVPAVFGAVAEYFAYVQLHWRPLPAFIGHCRREGPWARAAPPAGPQLSTPPPPPPLPSPSDGRVVFAPPLALLLALLWGLAALARAPLPRGHPLRVARRRELAILVVLHASAGRGSAQLLQLFRCALDGTAARPEGLLEWALQSLATGGCAYLDALALQVLLFDMKRRKPGAWPGGGGCLRCLVTTNGAVAVVLIVGLFVLRVRRSPPGSAPAGQGLTLNLGWGLLIPVSINPAPPLISPSFLDEM
+>sp|Q8TDV0|GP151_HUMAN Probable G-protein coupled receptor 151 OS=Homo sapiens OX=9606 GN=GPR151 PE=2 SV=1
+MLAAAFADSNSSSMNVSFAHLHFAGGYLPSDSQDWRTIIPALLVAVCLVGFVGNLCVIGILLHNAWKGKPSMIHSLILNLSLADLSLLLFSAPIRATAYSKSVWDLGWFVCKSSDWFIHTCMAAKSLTIVVVAKVCFMYASDPAKQVSIHNYTIWSVLVAIWTVASLLPLPEWFFSTIRHHEGVEMCLVDVPAVAEEFMSMFGKLYPLLAFGLPLFFASFYFWRAYDQCKKRGTKTQNLRNQIRSKQVTVMLLSIAIISALLWLPEWVAWLWVWHLKAAGPAPPQGFIALSQVLMFSISSANPLIFLVMSEEFREGLKGVWKWMITKKPPTVSESQETPAGNSEGLPDKVPSPESPASIPEKEKPSSPSSGKGKTEKAEIPILPDVEQFWHERDTVPSVQDNDPIPWEHEDQETGEGVK
+>DECOY_sp|Q8TDV0|GP151_HUMAN Probable G-protein coupled receptor 151 OS=Homo sapiens OX=9606 GN=GPR151 PE=2 SV=1
+KVGEGTEQDEHEWPIPDNDQVSPVTDREHWFQEVDPLIPIEAKETKGKGSSPSSPKEKEPISAPSEPSPVKDPLGESNGAPTEQSESVTPPKKTIMWKWVGKLGERFEESMVLFILPNASSISFMLVQSLAIFGQPPAPGAAKLHWVWLWAVWEPLWLLASIIAISLLMVTVQKSRIQNRLNQTKTGRKKCQDYARWFYFSAFFLPLGFALLPYLKGFMSMFEEAVAPVDVLCMEVGEHHRITSFFWEPLPLLSAVTWIAVLVSWITYNHISVQKAPDSAYMFCVKAVVVITLSKAAMCTHIFWDSSKCVFWGLDWVSKSYATARIPASFLLLSLDALSLNLILSHIMSPKGKWANHLLIGIVCLNGVFGVLCVAVLLAPIITRWDQSDSPLYGGAFHLHAFSVNMSSSNSDAFAAALM
+>sp|Q7Z3F1|GP155_HUMAN Integral membrane protein GPR155 OS=Homo sapiens OX=9606 GN=GPR155 PE=2 SV=2
+MNSNLPAENLTIAVNMTKTLPTAVTHGFNSTNDPPSMSITRLFPALLECFGIVLCGYIAGRANVITSTQAKGLGNFVSRFALPALLFKNMVVLNFSNVDWSFLYSILIAKASVFFIVCVLTLLVASPDSRFSKAGLFPIFATQSNDFALGYPIVEALYQTTYPEYLQYIYLVAPISLMMLNPIGFIFCEIQKWKDTQNASQNKIKIVGLGLLRVLQNPIVFMVFIGIAFNFILDRKVPVYVENFLDGLGNSFSGSALFYLGLTMVGKIKRLKKSAFVVLILLITAKLLVLPLLCREMVELLDKGDSVVNHTSLSNYAFLYGVFPVAPGVAIFATQFNMEVEIITSGMVISTFVSAPIMYVSAWLLTFPTMDPKPLAYAIQNVSFDISIVSLISLIWSLAILLLSKKYKQLPHMLTTNLLIAQSIVCAGMMIWNFVKEKNFVGQILVFVLLYSSLYSTYLWTGLLAISLFLLKKRERVQIPVGIIIISGWGIPALLVGVLLITGKHNGDSIDSAFFYGKEQMITTAVTLFCSILIAGISLMCMNQTAQAGSYEGFDQSQSHKVVEPGNTAFEESPAPVNEPELFTSSIPETSCCSCSMGNGELHCPSIEPIANTSTSEPVIPSFEKNNHCVSRCNSQSCILAQEEEQYLQSGDQQLTRHVLLCLLLIIGLFANLSSCLWWLFNQEPGRLYVELQFFCAVFNFGQGFISFGIFGLDKHLIILPFKRRLEFLWNNKDTAENRDSPVSEEIKMTCQQFIHYHRDLCIRNIVKERRCGAKTSAGTFCGCDLVSWLIEVGLASDRGEAVIYGDRLVQGGVIQHITNEYEFRDEYLFYRFLQKSPEQSPPAINANTLQQERYKEIEHSSPPSHSPKT
+>DECOY_sp|Q7Z3F1|GP155_HUMAN Integral membrane protein GPR155 OS=Homo sapiens OX=9606 GN=GPR155 PE=2 SV=2
+TKPSHSPPSSHEIEKYREQQLTNANIAPPSQEPSKQLFRYFLYEDRFEYENTIHQIVGGQVLRDGYIVAEGRDSALGVEILWSVLDCGCFTGASTKAGCRREKVINRICLDRHYHIFQQCTMKIEESVPSDRNEATDKNNWLFELRRKFPLIILHKDLGFIGFSIFGQGFNFVACFFQLEVYLRGPEQNFLWWLCSSLNAFLGIILLLCLLVHRTLQQDGSQLYQEEEQALICSQSNCRSVCHNNKEFSPIVPESTSTNAIPEISPCHLEGNGMSCSCCSTEPISSTFLEPENVPAPSEEFATNGPEVVKHSQSQDFGEYSGAQATQNMCMLSIGAILISCFLTVATTIMQEKGYFFASDISDGNHKGTILLVGVLLAPIGWGSIIIIGVPIQVRERKKLLFLSIALLGTWLYTSYLSSYLLVFVLIQGVFNKEKVFNWIMMGACVISQAILLNTTLMHPLQKYKKSLLLIALSWILSILSVISIDFSVNQIAYALPKPDMTPFTLLWASVYMIPASVFTSIVMGSTIIEVEMNFQTAFIAVGPAVPFVGYLFAYNSLSTHNVVSDGKDLLEVMERCLLPLVLLKATILLILVVFASKKLRKIKGVMTLGLYFLASGSFSNGLGDLFNEVYVPVKRDLIFNFAIGIFVMFVIPNQLVRLLGLGVIKIKNQSANQTDKWKQIECFIFGIPNLMMLSIPAVLYIYQLYEPYTTQYLAEVIPYGLAFDNSQTAFIPFLGAKSFRSDPSAVLLTLVCVIFFVSAKAILISYLFSWDVNSFNLVVMNKFLLAPLAFRSVFNGLGKAQTSTIVNARGAIYGCLVIGFCELLAPFLRTISMSPPDNTSNFGHTVATPLTKTMNVAITLNEAPLNSNM
+>sp|Q5UAW9|GP157_HUMAN G-protein coupled receptor 157 OS=Homo sapiens OX=9606 GN=GPR157 PE=2 SV=2
+MQPSPPPTELVPSERAVVLLSCALSALGSGLLVATHALWPDLRSRARRLLLFLSLADLLSAASYFYGVLQNFAGPSWDCVLQGALSTFANTSSFFWTVAIALYLYLSIVRAARGPRTDRLLWAFHVVSWGVPLVITVAAVALKKIGYDASDVSVGWCWIDLEAKDHVLWMLLTGKLWEMLAYVLLPLLYLLVRKHINRAHTALSEYRPILSQEHRLLRHSSMADKKLVLIPLIFIGLRVWSTVRFVLTLCGSPAVQTPVLVVLHGIGNTFQGGANCIMFVLCTRAVRTRLFSLCCCCCSSQPPTKSPAGTPKAPAPSKPGESQESQGTPGELPST
+>DECOY_sp|Q5UAW9|GP157_HUMAN G-protein coupled receptor 157 OS=Homo sapiens OX=9606 GN=GPR157 PE=2 SV=2
+TSPLEGPTGQSEQSEGPKSPAPAKPTGAPSKTPPQSSCCCCCLSFLRTRVARTCLVFMICNAGGQFTNGIGHLVVLVPTQVAPSGCLTLVFRVTSWVRLGIFILPILVLKKDAMSSHRLLRHEQSLIPRYESLATHARNIHKRVLLYLLPLLVYALMEWLKGTLLMWLVHDKAELDIWCWGVSVDSADYGIKKLAVAAVTIVLPVGWSVVHFAWLLRDTRPGRAARVISLYLYLAIAVTWFFSSTNAFTSLAGQLVCDWSPGAFNQLVGYFYSAASLLDALSLFLLLRRARSRLDPWLAHTAVLLGSGLASLACSLLVVARESPVLETPPPSPQM
+>sp|Q6UWK7|GP15L_HUMAN Protein GPR15L OS=Homo sapiens OX=9606 GN=GPR15L PE=1 SV=1
+MRLLVLSSLLCILLLCFSIFSTEGKRRPAKAWSGRRTRLCCHRVPSPNSTNLKGHHVRLCKPCKLEPEPRLWVVPGALPQV
+>DECOY_sp|Q6UWK7|GP15L_HUMAN Protein GPR15L OS=Homo sapiens OX=9606 GN=GPR15L PE=1 SV=1
+VQPLAGPVVWLRPEPELKCPKCLRVHHGKLNTSNPSPVRHCCLRTRRGSWAKAPRRKGETSFISFCLLLICLLSSLVLLRM
+>sp|Q9UJ42|GP160_HUMAN Probable G-protein coupled receptor 160 OS=Homo sapiens OX=9606 GN=GPR160 PE=2 SV=1
+MTALSSENCSFQYQLRQTNQPLDVNYLLFLIILGKILLNILTLGMRRKNTCQNFMEYFCISLAFVDLLLLVNISIILYFRDFVLLSIRFTKYHICLFTQIISFTYGFLHYPVFLTACIDYCLNFSKTTKLSFKCQKLFYFFTVILIWISVLAYVLGDPAIYQSLKAQNAYSRHCPFYVSIQSYWLSFFMVMILFVAFITCWEEVTTLVQAIRITSYMNETILYFPFSSHSSYTVRSKKIFLSKLIVCFLSTWLPFVLLQVIIVLLKVQIPAYIEMNIPWLYFVNSFLIATVYWFNCHKLNLKDIGLPLDPFVNWKCCFIPLTIPNLEQIEKPISIMIC
+>DECOY_sp|Q9UJ42|GP160_HUMAN Probable G-protein coupled receptor 160 OS=Homo sapiens OX=9606 GN=GPR160 PE=2 SV=1
+CIMISIPKEIQELNPITLPIFCCKWNVFPDLPLGIDKLNLKHCNFWYVTAILFSNVFYLWPINMEIYAPIQVKLLVIIVQLLVFPLWTSLFCVILKSLFIKKSRVTYSSHSSFPFYLITENMYSTIRIAQVLTTVEEWCTIFAVFLIMVMFFSLWYSQISVYFPCHRSYANQAKLSQYIAPDGLVYALVSIWILIVTFFYFLKQCKFSLKTTKSFNLCYDICATLFVPYHLFGYTFSIIQTFLCIHYKTFRISLLVFDRFYLIISINVLLLLDVFALSICFYEMFNQCTNKRRMGLTLINLLIKGLIILFLLYNVDLPQNTQRLQYQFSCNESSLATM
+>sp|O14626|GP171_HUMAN Probable G-protein coupled receptor 171 OS=Homo sapiens OX=9606 GN=GPR171 PE=2 SV=1
+MTNSSFFCPVYKDLEPFTYFFYLVFLVGIIGSCFATWAFIQKNTNHRCVSIYLINLLTADFLLTLALPVKIVVDLGVAPWKLKIFHCQVTACLIYINMYLSIIFLAFVSIDRCLQLTHSCKIYRIQEPGFAKMISTVVWLMVLLIMVPNMMIPIKDIKEKSNVGCMEFKKEFGRNWHLLTNFICVAIFLNFSAIILISNCLVIRQLYRNKDNENYPNVKKALINILLVTTGYIICFVPYHIVRIPYTLSQTEVITDCSTRISLFKAKEATLLLAVSNLCFDPILYYHLSKAFRSKVTETFASPKETKAQKEKLRCENNA
+>DECOY_sp|O14626|GP171_HUMAN Probable G-protein coupled receptor 171 OS=Homo sapiens OX=9606 GN=GPR171 PE=2 SV=1
+ANNECRLKEKQAKTEKPSAFTETVKSRFAKSLHYYLIPDFCLNSVALLLTAEKAKFLSIRTSCDTIVETQSLTYPIRVIHYPVFCIIYGTTVLLINILAKKVNPYNENDKNRYLQRIVLCNSILIIASFNLFIAVCIFNTLLHWNRGFEKKFEMCGVNSKEKIDKIPIMMNPVMILLVMLWVVTSIMKAFGPEQIRYIKCSHTLQLCRDISVFALFIISLYMNIYILCATVQCHFIKLKWPAVGLDVVIKVPLALTLLFDATLLNILYISVCRHNTNKQIFAWTAFCSGIIGVLFVLYFFYTFPELDKYVPCFFSSNTM
+>sp|Q9BXC1|GP174_HUMAN Probable G-protein coupled receptor 174 OS=Homo sapiens OX=9606 GN=GPR174 PE=2 SV=1
+MPANYTCTRPDGDNTDFRYFIYAVTYTVILVPGLIGNILALWVFYGYMKETKRAVIFMINLAIADLLQVLSLPLRIFYYLNHDWPFGPGLCMFCFYLKYVNMYASIYFLVCISVRRFWFLMYPFRFHDCKQKYDLYISIAGWLIICLACVLFPLLRTSDDTSGNRTKCFVDLPTRNVNLAQSVVMMTIGELIGFVTPLLIVLYCTWKTVLSLQDKYPMAQDLGEKQKALKMILTCAGVFLICFAPYHFSFPLDFLVKSNEIKSCLARRVILIFHSVALCLASLNSCLDPVIYYFSTNEFRRRLSRQDLHDSIQLHAKSFVSNHTASTMTPELC
+>DECOY_sp|Q9BXC1|GP174_HUMAN Probable G-protein coupled receptor 174 OS=Homo sapiens OX=9606 GN=GPR174 PE=2 SV=1
+CLEPTMTSATHNSVFSKAHLQISDHLDQRSLRRRFENTSFYYIVPDLCSNLSALCLAVSHFILIVRRALCSKIENSKVLFDLPFSFHYPAFCILFVGACTLIMKLAKQKEGLDQAMPYKDQLSLVTKWTCYLVILLPTVFGILEGITMMVVSQALNVNRTPLDVFCKTRNGSTDDSTRLLPFLVCALCIILWGAISIYLDYKQKCDHFRFPYMLFWFRRVSICVLFYISAYMNVYKLYFCFMCLGPGFPWDHNLYYFIRLPLSLVQLLDAIALNIMFIVARKTEKMYGYFVWLALINGILGPVLIVTYTVAYIFYRFDTNDGDPRTCTYNAPM
+>sp|Q6PRD1|GP179_HUMAN Probable G-protein coupled receptor 179 OS=Homo sapiens OX=9606 GN=GPR179 PE=1 SV=2
+MGTRGAVMPPPMWGLLGCCFVCAWALGGPRPIRSLPPLSSQVKPGSVPMQVPLEGAEAALAYLYSGDAQQLSQVNCSERYEARGAGAMPGLPPSLQGAAGTLAQAANFLNMLLQANDIRESSVEEDVEWYQALVRSVAEGDPRVYRALLTFNPPPGASHLQLALQATRTGEETILQDLSGNWVQEENPPGDLDTPALKKRVLTNDLGSLGSPKWPQADGYVGDTQQVRLSPPFLECQEGRLRPGWLITLSATFYGLKPDLSPEVRGQVQMDVDLQSVDINQCASGPGWYSNTHLCDLNSTQCVPLESQGFVLGRYLCRCRPGFYGASPSGGLEESDFQTTGQFGFPEGRSGRLLQCLPCPEGCTSCMDATPCLVEEAAVLRAAVLACQACCMLAIFLSMLVSYRCRRNKRIWASGVVLLETVLFGFLLLYFPVFILYFKPSVFRCIALRWVRLLGFAIVYGTIILKLYRVLQLFLSRTAQRSALLSSGRLLRRLGLLLLPVLGFLAVWTVGALERGIQHAPLVIRGHTPSGRHFYLCHHDRWDYIMVVAELLLLCWGSFLCYATRAVLSAFHEPRYMGIALHNELLLSAAFHTARFVLVPSLHPDWTLLLFFFHTHSTVTTTLALIFIPKFWKLGAPPREEMVDEVCEDELDLQHSGSYLGSSIASAWSEHSLDPGDIRDELKKLYAQLEVHKTKEMAANNPHLPKKRGSSCQGLGRSFMRYLAEFPEALARQHSRDSGSPGHGSLPGSSRRRLLSSSLQEPEGTPALHKSRSTYDQRREQDPPLLDSLLRRKLAKKASRTESRESVEGPPALGFRSASAHNLTVGERLPRARPASLQKSLSVASSREKALLMASQAYLEETYRQAKEREERKKAKAAMASLVRRPSARRLERPRGAPLSAPPSPAKSSSVDSSHTSGRLHEEARRRLPHPPIRHQVSTPILALSGGLGEPRMLSPTSTLAPALLPALAPTPAPALAPVPVSPQSPNLLTYICPWENAELPAKQENVPQEGPSGPERGHHSPAPARARLWRALSVAVEKSRAGENEMDAEDAHHQREANDVDEDRPKIFPKSHSLKAPVQQGSMRSLGLAIKALTRSRSTYREKESVEESPEGQNSGTAGESMGAPSRSPRLGRPKAVSKQAALIPSDDKESLQNQQNAHTSRMLQVCQREGSREQEDRGRRMTQGLGERKAERAGKTGLAMLRQVSRDKNIKQSKETPVGWQELPKAGLQSLGSADHRVAEVCPWEVTESETRQPDSGNKAEICPWETSEGAPESRALRQDPGDSQKKRGEARGKSEPIDVVPMMRKKPERLVREQEAVCPWESADRGGLSPGSAPQDPGRIRDKSEAGDSVEARKVEKPGWEAAGPEAHTPDITKAEPCPWEASEGGEDGKPAQEAVKDLPQEKQKTRKATFWKEQKPGGDLESLCPWESTDFRGPSAVSIQAPGSSECSGSLGSGIAEVCLWEAGDAPAIQKAEICPWELDDNVMGQEMLSLGTGRESLQEKEKASRKGSFGEMGEQTVKAVQKLSQQQESVCPRESTVPGHSSPCLDNSSSKAGSQFLCNGGSRATQVCPQEDLRPEAQEATPAKTEICPWEVNERTREEWTSAQVPRGGESQKDKEKMPGKSEIEDVTAWEKPEGQIQKQEAVGPWESVDPGSFSPQPRPQDTERPQTLLQMSGSVGSKAADICPLDVEENLTAGKAEICPWEVGAGAGEERALGAEAIRKSPNDTGKVSADLGPRERAVTAPEKPQKPTPEWEVACPWGSVGPGACSQHPGTLDADGPKAGFQELDHMGCRPGEVCPWEAQEAATSEKAKICPWEVSEGTTGKGLDQKAGSESAEQREKALEKGRLTSLGEDVSKGMAKLCQQQETICIWENKDLRESPAQAPKISDLPSSMSSEVAEGHSLEATEKGDLRQDPKTGSFPEHITQEKAPAADTEEFTTEDGEKTSHELQSVCPWETTAPADSVSHLDRQRPDQPKASSQRLVSTGGRAADVCPWDVPDAGVYKSDSSAKAETCPWEVTERIPVKGVSRQDGKGDSQEEKGRAPEKSEPKGVPVQKKPEMADFRQQEAVCPWESQDGKGLSPQPAPDASDRSRGSSEAAGSVETRVAEVCLWEVVEAPSAKKAEICPWEAGGGAAEEGEQERESQGQGEMFLQKAGPGGTEEHFSKAAAKPREQEAVCPGEGTGSGGLLPQSGALDPELKVSPKEAGSMGSRMAELCQWEITDPEGNKIKGTMADICPGEETGVPSEESGLLALTATRREFFPTAPEKPLCLLVHGPLDHFFPESKIPCPKVSRPASTFTLEGVRELQGPSGLEPRTSLAPEPSLQEAESQSSSLTEDSGQVAFEAQYEEFTPPTVYPWDWE
+>DECOY_sp|Q6PRD1|GP179_HUMAN Probable G-protein coupled receptor 179 OS=Homo sapiens OX=9606 GN=GPR179 PE=1 SV=2
+EWDWPYVTPPTFEEYQAEFAVQGSDETLSSSQSEAEQLSPEPALSTRPELGSPGQLERVGELTFTSAPRSVKPCPIKSEPFFHDLPGHVLLCLPKEPATPFFERRTATLALLGSEESPVGTEEGPCIDAMTGKIKNGEPDTIEWQCLEAMRSGMSGAEKPSVKLEPDLAGSQPLLGGSGTGEGPCVAEQERPKAAAKSFHEETGGPGAKQLFMEGQGQSEREQEGEEAAGGGAEWPCIEAKKASPAEVVEWLCVEAVRTEVSGAAESSGRSRDSADPAPQPSLGKGDQSEWPCVAEQQRFDAMEPKKQVPVGKPESKEPARGKEEQSDGKGDQRSVGKVPIRETVEWPCTEAKASSDSKYVGADPVDWPCVDAARGGTSVLRQSSAKPQDPRQRDLHSVSDAPATTEWPCVSQLEHSTKEGDETTFEETDAAPAKEQTIHEPFSGTKPDQRLDGKETAELSHGEAVESSMSSPLDSIKPAQAPSERLDKNEWICITEQQQCLKAMGKSVDEGLSTLRGKELAKERQEASESGAKQDLGKGTTGESVEWPCIKAKESTAAEQAEWPCVEGPRCGMHDLEQFGAKPGDADLTGPHQSCAGPGVSGWPCAVEWEPTPKQPKEPATVARERPGLDASVKGTDNPSKRIAEAGLAREEGAGAGVEWPCIEAKGATLNEEVDLPCIDAAKSGVSGSMQLLTQPRETDQPRPQPSFSGPDVSEWPGVAEQKQIQGEPKEWATVDEIESKGPMKEKDKQSEGGRPVQASTWEERTRENVEWPCIETKAPTAEQAEPRLDEQPCVQTARSGGNCLFQSGAKSSSNDLCPSSHGPVTSERPCVSEQQQSLKQVAKVTQEGMEGFSGKRSAKEKEQLSERGTGLSLMEQGMVNDDLEWPCIEAKQIAPADGAEWLCVEAIGSGLSGSCESSGPAQISVASPGRFDTSEWPCLSELDGGPKQEKWFTAKRTKQKEQPLDKVAEQAPKGDEGGESAEWPCPEAKTIDPTHAEPGAAEWGPKEVKRAEVSDGAESKDRIRGPDQPASGPSLGGRDASEWPCVAEQERVLREPKKRMMPVVDIPESKGRAEGRKKQSDGPDQRLARSEPAGESTEWPCIEAKNGSDPQRTESETVEWPCVEAVRHDASGLSQLGAKPLEQWGVPTEKSQKINKDRSVQRLMALGTKGAREAKREGLGQTMRRGRDEQERSGERQCVQLMRSTHANQQNQLSEKDDSPILAAQKSVAKPRGLRPSRSPAGMSEGATGSNQGEPSEEVSEKERYTSRSRTLAKIALGLSRMSGQQVPAKLSHSKPFIKPRDEDVDNAERQHHADEADMENEGARSKEVAVSLARWLRARAPAPSHHGREPGSPGEQPVNEQKAPLEANEWPCIYTLLNPSQPSVPVPALAPAPTPALAPLLAPALTSTPSLMRPEGLGGSLALIPTSVQHRIPPHPLRRRAEEHLRGSTHSSDVSSSKAPSPPASLPAGRPRELRRASPRRVLSAMAAKAKKREEREKAQRYTEELYAQSAMLLAKERSSAVSLSKQLSAPRARPLREGVTLNHASASRFGLAPPGEVSERSETRSAKKALKRRLLSDLLPPDQERRQDYTSRSKHLAPTGEPEQLSSSLLRRRSSGPLSGHGPSGSDRSHQRALAEPFEALYRMFSRGLGQCSSGRKKPLHPNNAAMEKTKHVELQAYLKKLEDRIDGPDLSHESWASAISSGLYSGSHQLDLEDECVEDVMEERPPAGLKWFKPIFILALTTTVTSHTHFFFLLLTWDPHLSPVLVFRATHFAASLLLENHLAIGMYRPEHFASLVARTAYCLFSGWCLLLLEAVVMIYDWRDHHCLYFHRGSPTHGRIVLPAHQIGRELAGVTWVALFGLVPLLLLGLRRLLRGSSLLASRQATRSLFLQLVRYLKLIITGYVIAFGLLRVWRLAICRFVSPKFYLIFVPFYLLLFGFLVTELLVVGSAWIRKNRRCRYSVLMSLFIALMCCAQCALVAARLVAAEEVLCPTADMCSTCGEPCPLCQLLRGSRGEPFGFQGTTQFDSEELGGSPSAGYFGPRCRCLYRGLVFGQSELPVCQTSNLDCLHTNSYWGPGSACQNIDVSQLDVDMQVQGRVEPSLDPKLGYFTASLTILWGPRLRGEQCELFPPSLRVQQTDGVYGDAQPWKPSGLSGLDNTLVRKKLAPTDLDGPPNEEQVWNGSLDQLITEEGTRTAQLALQLHSAGPPPNFTLLARYVRPDGEAVSRVLAQYWEVDEEVSSERIDNAQLLMNLFNAAQALTGAAGQLSPPLGPMAGAGRAEYRESCNVQSLQQADGSYLYALAAEAGELPVQMPVSGPKVQSSLPPLSRIPRPGGLAWACVFCCGLLGWMPPPMVAGRTGM
+>sp|O15218|GP182_HUMAN G-protein coupled receptor 182 OS=Homo sapiens OX=9606 GN=GPR182 PE=2 SV=1
+MSVKPSWGPGPSEGVTAVPTSDLGEIHNWTELLDLFNHTLSECHVELSQSTKRVVLFALYLAMFVVGLVENLLVICVNWRGSGRAGLMNLYILNMAIADLGIVLSLPVWMLEVTLDYTWLWGSFSCRFTHYFYFVNMYSSIFFLVCLSVDRYVTLTSASPSWQRYQHRVRRAMCAGIWVLSAIIPLPEVVHIQLVEGPEPMCLFMAPFETYSTWALAVALSTTILGFLLPFPLITVFNVLTACRLRQPGQPKSRRHCLLLCAYVAVFVMCWLPYHVTLLLLTLHGTHISLHCHLVHLLYFFYDVIDCFSMLHCVINPILYNFLSPHFRGRLLNAVVHYLPKDQTKAGTCASSSSCSTQHSIIITKGDSQPAAAAPHPEPSLSFQAHHLLPNTSPISPTQPLTPS
+>DECOY_sp|O15218|GP182_HUMAN G-protein coupled receptor 182 OS=Homo sapiens OX=9606 GN=GPR182 PE=2 SV=1
+SPTLPQTPSIPSTNPLLHHAQFSLSPEPHPAAAAPQSDGKTIIISHQTSCSSSSACTGAKTQDKPLYHVVANLLRGRFHPSLFNYLIPNIVCHLMSFCDIVDYFFYLLHVLHCHLSIHTGHLTLLLLTVHYPLWCMVFVAVYACLLLCHRRSKPQGPQRLRCATLVNFVTILPFPLLFGLITTSLAVALAWTSYTEFPAMFLCMPEPGEVLQIHVVEPLPIIASLVWIGACMARRVRHQYRQWSPSASTLTVYRDVSLCVLFFISSYMNVFYFYHTFRCSFSGWLWTYDLTVELMWVPLSLVIGLDAIAMNLIYLNMLGARGSGRWNVCIVLLNEVLGVVFMALYLAFLVVRKTSQSLEVHCESLTHNFLDLLETWNHIEGLDSTPVATVGESPGPGWSPKVSM
+>sp|Q9HCL2|GPAT1_HUMAN Glycerol-3-phosphate acyltransferase 1, mitochondrial OS=Homo sapiens OX=9606 GN=GPAM PE=1 SV=3
+MDESALTLGTIDVSYLPHSSEYSVGRCKHTSEEWGECGFRPTIFRSATLKWKESLMSRKRPFVGRCCYSCTPQSWDKFFNPSIPSLGLRNVIYINETHTRHRGWLARRLSYVLFIQERDVHKGMFATNVTENVLNSSRVQEAIAEVAAELNPDGSAQQQSKAVNKVKKKAKRILQEMVATVSPAMIRLTGWVLLKLFNSFFWNIQIHKGQLEMVKAATETNLPLLFLPVHRSHIDYLLLTFILFCHNIKAPYIASGNNLNIPIFSTLIHKLGGFFIRRRLDETPDGRKDVLYRALLHGHIVELLRQQQFLEIFLEGTRSRSGKTSCARAGLLSVVVDTLSTNVIPDILIIPVGISYDRIIEGHYNGEQLGKPKKNESLWSVARGVIRMLRKNYGCVRVDFAQPFSLKEYLESQSQKPVSALLSLEQALLPAILPSRPSDAADEGRDTSINESRNATDESLRRRLIANLAEHILFTASKSCAIMSTHIVACLLLYRHRQGIDLSTLVEDFFVMKEEVLARDFDLGFSGNSEDVVMHAIQLLGNCVTITHTSRNDEFFITPSTTVPSVFELNFYSNGVLHVFIMEAIIACSLYAVLNKRGLGGPTSTPPNLISQEQLVRKAASLCYLLSNEGTISLPCQTFYQVCHETVGKFIQYGILTVAEHDDQEDISPSLAEQQWDKKLPEPLSWRSDEEDEDSDFGEEQRDCYLKVSQSKEHQQFITFLQRLLGPLLEAYSSAAIFVHNFSGPVPEPEYLQKLHKYLITRTERNVAVYAESATYCLVKNAVKMFKDIGVFKETKQKRVSVLELSSTFLPQCNRQKLLEYILSFVVL
+>DECOY_sp|Q9HCL2|GPAT1_HUMAN Glycerol-3-phosphate acyltransferase 1, mitochondrial OS=Homo sapiens OX=9606 GN=GPAM PE=1 SV=3
+LVVFSLIYELLKQRNCQPLFTSSLELVSVRKQKTEKFVGIDKFMKVANKVLCYTASEAYVAVNRETRTILYKHLKQLYEPEPVPGSFNHVFIAASSYAELLPGLLRQLFTIFQQHEKSQSVKLYCDRQEEGFDSDEDEEDSRWSLPEPLKKDWQQEALSPSIDEQDDHEAVTLIGYQIFKGVTEHCVQYFTQCPLSITGENSLLYCLSAAKRVLQEQSILNPPTSTPGGLGRKNLVAYLSCAIIAEMIFVHLVGNSYFNLEFVSPVTTSPTIFFEDNRSTHTITVCNGLLQIAHMVVDESNGSFGLDFDRALVEEKMVFFDEVLTSLDIGQRHRYLLLCAVIHTSMIACSKSATFLIHEALNAILRRRLSEDTANRSENISTDRGEDAADSPRSPLIAPLLAQELSLLASVPKQSQSELYEKLSFPQAFDVRVCGYNKRLMRIVGRAVSWLSENKKPKGLQEGNYHGEIIRDYSIGVPIILIDPIVNTSLTDVVVSLLGARACSTKGSRSRTGELFIELFQQQRLLEVIHGHLLARYLVDKRGDPTEDLRRRIFFGGLKHILTSFIPINLNNGSAIYPAKINHCFLIFTLLLYDIHSRHVPLFLLPLNTETAAKVMELQGKHIQINWFFSNFLKLLVWGTLRIMAPSVTAVMEQLIRKAKKKVKNVAKSQQQASGDPNLEAAVEAIAEQVRSSNLVNETVNTAFMGKHVDREQIFLVYSLRRALWGRHRTHTENIYIVNRLGLSPISPNFFKDWSQPTCSYCCRGVFPRKRSMLSEKWKLTASRFITPRFGCEGWEESTHKCRGVSYESSHPLYSVDITGLTLASEDM
+>sp|Q6NUI2|GPAT2_HUMAN Glycerol-3-phosphate acyltransferase 2, mitochondrial OS=Homo sapiens OX=9606 GN=GPAT2 PE=2 SV=2
+MATMLEGRCQTQPRSSPSGREASLWSSGFGMKLEAVTPFLGKYRPFVGRCCQTCTPKSWESLFHRSITDLGFCNVILVKEENTRFRGWLVRRLCYFLWSLEQHIPPCQDVPQKIMESTGVQNLLSGRVPGGTGEGQVPDLVKKEVQRILGHIQAPPRPFLVRLFSWALLRFLNCLFLNVQLHKGQMKMVQKAAQAGLPLVLLSTHKTLLDGILLPFMLLSQGLGVLRVAWDSRACSPALRALLRKLGGLFLPPEASLSLDSSEGLLARAVVQAVIEQLLVSGQPLLIFLEEPPGALGPRLSALGQAWVGFVVQAVQVGIVPDALLVPVAVTYDLVPDAPCDIDHASAPLGLWTGALAVLRSLWSRWGCSHRICSRVHLAQPFSLQEYIVSARSCWGGRQTLEQLLQPIVLGQCTAVPDTEKEQEWTPITGPLLALKEEDQLLVRRLSCHVLSASVGSSAVMSTAIMATLLLFKHQKLLGEFSWLTEEILLRGFDVGFSGQLRSLLQHSLSLLRAHVALLRIRQGDLLVVPQPGPGLTHLAQLSAELLPVFLSEAVGACAVRGLLAGRVPPQGPWELQGILLLSQNELYRQILLLMHLLPQDLLLLKPCQSSYCYCQEVLDRLIQCGLLVAEETPGSRPACDTGRQRLSRKLLWKPSGDFTDSDSDDFGEADGRYFRLSQQSHCPDFFLFLCRLLSPLLKAFAQAAAFLRQGQLPDTELGYTEQLFQFLQATAQEEGIFECADPKLAISAVWTFRDLGVLQQTPSPAGPRLHLSPTFASLDNQEKLEQFIRQFICS
+>DECOY_sp|Q6NUI2|GPAT2_HUMAN Glycerol-3-phosphate acyltransferase 2, mitochondrial OS=Homo sapiens OX=9606 GN=GPAT2 PE=2 SV=2
+SCIFQRIFQELKEQNDLSAFTPSLHLRPGAPSPTQQLVGLDRFTWVASIALKPDACEFIGEEQATAQLFQFLQETYGLETDPLQGQRLFAAAQAFAKLLPSLLRCLFLFFDPCHSQQSLRFYRGDAEGFDDSDSDTFDGSPKWLLKRSLRQRGTDCAPRSGPTEEAVLLGCQILRDLVEQCYCYSSQCPKLLLLDQPLLHMLLLIQRYLENQSLLLIGQLEWPGQPPVRGALLGRVACAGVAESLFVPLLEASLQALHTLGPGPQPVVLLDGQRIRLLAVHARLLSLSHQLLSRLQGSFGVDFGRLLIEETLWSFEGLLKQHKFLLLTAMIATSMVASSGVSASLVHCSLRRVLLQDEEKLALLPGTIPTWEQEKETDPVATCQGLVIPQLLQELTQRGGWCSRASVIYEQLSFPQALHVRSCIRHSCGWRSWLSRLVALAGTWLGLPASAHDIDCPADPVLDYTVAVPVLLADPVIGVQVAQVVFGVWAQGLASLRPGLAGPPEELFILLPQGSVLLQEIVAQVVARALLGESSDLSLSAEPPLFLGGLKRLLARLAPSCARSDWAVRLVGLGQSLLMFPLLIGDLLTKHTSLLVLPLGAQAAKQVMKMQGKHLQVNLFLCNLFRLLAWSFLRVLFPRPPAQIHGLIRQVEKKVLDPVQGEGTGGPVRGSLLNQVGTSEMIKQPVDQCPPIHQELSWLFYCLRRVLWGRFRTNEEKVLIVNCFGLDTISRHFLSEWSKPTCTQCCRGVFPRYKGLFPTVAELKMGFGSSWLSAERGSPSSRPQTQCRGELMTAM
+>sp|P35052|GPC1_HUMAN Glypican-1 OS=Homo sapiens OX=9606 GN=GPC1 PE=1 SV=2
+MELRARGWWLLCAAAALVACARGDPASKSRSCGEVRQIYGAKGFSLSDVPQAEISGEHLRICPQGYTCCTSEMEENLANRSHAELETALRDSSRVLQAMLATQLRSFDDHFQHLLNDSERTLQATFPGAFGELYTQNARAFRDLYSELRLYYRGANLHLEETLAEFWARLLERLFKQLHPQLLLPDDYLDCLGKQAEALRPFGEAPRELRLRATRAFVAARSFVQGLGVASDVVRKVAQVPLGPECSRAVMKLVYCAHCLGVPGARPCPDYCRNVLKGCLANQADLDAEWRNLLDSMVLITDKFWGTSGVESVIGSVHTWLAEAINALQDNRDTLTAKVIQGCGNPKVNPQGPGPEEKRRRGKLAPRERPPSGTLEKLVSEAKAQLRDVQDFWISLPGTLCSEKMALSTASDDRCWNGMARGRYLPEVMGDGLANQINNPEVEVDITKPDMTIRQQIMQLKIMTNRLRSAYNGNDVDFQDASDDGSGSGSGDGCLDDLCSRKVSRKSSSSRTPLTHALPGLSEQEGQKTSAASCPQPPTFLLPLLLFLALTVARPRWR
+>DECOY_sp|P35052|GPC1_HUMAN Glypican-1 OS=Homo sapiens OX=9606 GN=GPC1 PE=1 SV=2
+RWRPRAVTLALFLLLPLLFTPPQPCSAASTKQGEQESLGPLAHTLPTRSSSSKRSVKRSCLDDLCGDGSGSGSGDDSADQFDVDNGNYASRLRNTMIKLQMIQQRITMDPKTIDVEVEPNNIQNALGDGMVEPLYRGRAMGNWCRDDSATSLAMKESCLTGPLSIWFDQVDRLQAKAESVLKELTGSPPRERPALKGRRRKEEPGPGQPNVKPNGCGQIVKATLTDRNDQLANIAEALWTHVSGIVSEVGSTGWFKDTILVMSDLLNRWEADLDAQNALCGKLVNRCYDPCPRAGPVGLCHACYVLKMVARSCEPGLPVQAVKRVVDSAVGLGQVFSRAAVFARTARLRLERPAEGFPRLAEAQKGLCDLYDDPLLLQPHLQKFLRELLRAWFEALTEELHLNAGRYYLRLESYLDRFARANQTYLEGFAGPFTAQLTRESDNLLHQFHDDFSRLQTALMAQLVRSSDRLATELEAHSRNALNEEMESTCCTYGQPCIRLHEGSIEAQPVDSLSFGKAGYIQRVEGCSRSKSAPDGRACAVLAAAACLLWWGRARLEM
+>sp|P51654|GPC3_HUMAN Glypican-3 OS=Homo sapiens OX=9606 GN=GPC3 PE=1 SV=1
+MAGTVRTACLVVAMLLSLDFPGQAQPPPPPPDATCHQVRSFFQRLQPGLKWVPETPVPGSDLQVCLPKGPTCCSRKMEEKYQLTARLNMEQLLQSASMELKFLIIQNAAVFQEAFEIVVRHAKNYTNAMFKNNYPSLTPQAFEFVGEFFTDVSLYILGSDINVDDMVNELFDSLFPVIYTQLMNPGLPDSALDINECLRGARRDLKVFGNFPKLIMTQVSKSLQVTRIFLQALNLGIEVINTTDHLKFSKDCGRMLTRMWYCSYCQGLMMVKPCGGYCNVVMQGCMAGVVEIDKYWREYILSLEELVNGMYRIYDMENVLLGLFSTIHDSIQYVQKNAGKLTTTIGKLCAHSQQRQYRSAYYPEDLFIDKKVLKVAHVEHEETLSSRRRELIQKLKSFISFYSALPGYICSHSPVAENDTLCWNGQELVERYSQKAARNGMKNQFNLHELKMKGPEPVVSQIIDKLKHINQLLRTMSMPKGRVLDKNLDEEGFESGDCGDDEDECIGGSGDGMIKVKNQLRFLAELAYDLDVDDAPGNSQQATPKDNEISTFHNLGNVHSPLKLLTSMAISVVCFFFLVH
+>DECOY_sp|P51654|GPC3_HUMAN Glypican-3 OS=Homo sapiens OX=9606 GN=GPC3 PE=1 SV=1
+HVLFFFCVVSIAMSTLLKLPSHVNGLNHFTSIENDKPTAQQSNGPADDVDLDYALEALFRLQNKVKIMGDGSGGICEDEDDGCDGSEFGEEDLNKDLVRGKPMSMTRLLQNIHKLKDIIQSVVPEPGKMKLEHLNFQNKMGNRAAKQSYREVLEQGNWCLTDNEAVPSHSCIYGPLASYFSIFSKLKQILERRRSSLTEEHEVHAVKLVKKDIFLDEPYYASRYQRQQSHACLKGITTTLKGANKQVYQISDHITSFLGLLVNEMDYIRYMGNVLEELSLIYERWYKDIEVVGAMCGQMVVNCYGGCPKVMMLGQCYSCYWMRTLMRGCDKSFKLHDTTNIVEIGLNLAQLFIRTVQLSKSVQTMILKPFNGFVKLDRRAGRLCENIDLASDPLGPNMLQTYIVPFLSDFLENVMDDVNIDSGLIYLSVDTFFEGVFEFAQPTLSPYNNKFMANTYNKAHRVVIEFAEQFVAANQIILFKLEMSASQLLQEMNLRATLQYKEEMKRSCCTPGKPLCVQLDSGPVPTEPVWKLGPQLRQFFSRVQHCTADPPPPPPQAQGPFDLSLLMAVVLCATRVTGAM
+>sp|O75487|GPC4_HUMAN Glypican-4 OS=Homo sapiens OX=9606 GN=GPC4 PE=1 SV=4
+MARFGLPALLCTLAVLSAALLAAELKSKSCSEVRRLYVSKGFNKNDAPLHEINGDHLKICPQGSTCCSQEMEEKYSLQSKDDFKSVVSEQCNHLQAVFASRYKKFDEFFKELLENAEKSLNDMFVKTYGHLYMQNSELFKDLFVELKRYYVVGNVNLEEMLNDFWARLLERMFRLVNSQYHFTDEYLECVSKYTEQLKPFGDVPRKLKLQVTRAFVAARTFAQGLAVAGDVVSKVSVVNPTAQCTHALLKMIYCSHCRGLVTVKPCYNYCSNIMRGCLANQGDLDFEWNNFIDAMLMVAERLEGPFNIESVMDPIDVKISDAIMNMQDNSVQVSQKVFQGCGPPKPLPAGRISRSISESAFSARFRPHHPEERPTTAAGTSLDRLVTDVKEKLKQAKKFWSSLPSNVCNDERMAAGNGNEDDCWNGKGKSRYLFAVTGNGLANQGNNPEVQVDTSKPDILILRQIMALRVMTSKMKNAYNGNDVDFFDISDESSGEGSGSGCEYQQCPSEFDYNATDHAGKSANEKADSAGVRPGAQAYLLTVFCILFLVMQREWR
+>DECOY_sp|O75487|GPC4_HUMAN Glypican-4 OS=Homo sapiens OX=9606 GN=GPC4 PE=1 SV=4
+RWERQMVLFLICFVTLLYAQAGPRVGASDAKENASKGAHDTANYDFESPCQQYECGSGSGEGSSEDSIDFFDVDNGNYANKMKSTMVRLAMIQRLILIDPKSTDVQVEPNNGQNALGNGTVAFLYRSKGKGNWCDDENGNGAAMREDNCVNSPLSSWFKKAQKLKEKVDTVLRDLSTGAATTPREEPHHPRFRASFASESISRSIRGAPLPKPPGCGQFVKQSVQVSNDQMNMIADSIKVDIPDMVSEINFPGELREAVMLMADIFNNWEFDLDGQNALCGRMINSCYNYCPKVTVLGRCHSCYIMKLLAHTCQATPNVVSVKSVVDGAVALGQAFTRAAVFARTVQLKLKRPVDGFPKLQETYKSVCELYEDTFHYQSNVLRFMRELLRAWFDNLMEELNVNGVVYYRKLEVFLDKFLESNQMYLHGYTKVFMDNLSKEANELLEKFFEDFKKYRSAFVAQLHNCQESVVSKFDDKSQLSYKEEMEQSCCTSGQPCIKLHDGNIEHLPADNKNFGKSVYLRRVESCSKSKLEAALLAASLVALTCLLAPLGFRAM
+>sp|Q9NQ84|GPC5C_HUMAN G-protein coupled receptor family C group 5 member C OS=Homo sapiens OX=9606 GN=GPRC5C PE=1 SV=2
+MAIHKALVMCLGLPLFLFPGAWAQGHVPPGCSQGLNPLYYNLCDRSGAWGIVLEAVAGAGIVTTFVLTIILVASLPFVQDTKKRSLLGTQVFFLLGTLGLFCLVFACVVKPDFSTCASRRFLFGVLFAICFSCLAAHVFALNFLARKNHGPRGWVIFTVALLLTLVEVIINTEWLIITLVRGSGEGGPQGNSSAGWAVASPCAIANMDFVMALIYVMLLLLGAFLGAWPALCGRYKRWRKHGVFVLLTTATSVAIWVVWIVMYTYGNKQHNSPTWDDPTLAIALAANAWAFVLFYVIPEVSQVTKSSPEQSYQGDMYPTRGVGYETILKEQKGQSMFVENKAFSMDEPVAAKRPVSPYSGYNGQLLTSVYQPTEMALMHKVPSEGAYDIILPRATANSQVMGSANSTLRAEDMYSAQSHQAATPPKDGKNSQVFRNPYVWD
+>DECOY_sp|Q9NQ84|GPC5C_HUMAN G-protein coupled receptor family C group 5 member C OS=Homo sapiens OX=9606 GN=GPRC5C PE=1 SV=2
+DWVYPNRFVQSNKGDKPPTAAQHSQASYMDEARLTSNASGMVQSNATARPLIIDYAGESPVKHMLAMETPQYVSTLLQGNYGSYPSVPRKAAVPEDMSFAKNEVFMSQGKQEKLITEYGVGRTPYMDGQYSQEPSSKTVQSVEPIVYFLVFAWANAALAIALTPDDWTPSNHQKNGYTYMVIWVVWIAVSTATTLLVFVGHKRWRKYRGCLAPWAGLFAGLLLLMVYILAMVFDMNAIACPSAVAWGASSNGQPGGEGSGRVLTIILWETNIIVEVLTLLLAVTFIVWGRPGHNKRALFNLAFVHAALCSFCIAFLVGFLFRRSACTSFDPKVVCAFVLCFLGLTGLLFFVQTGLLSRKKTDQVFPLSAVLIITLVFTTVIGAGAVAELVIGWAGSRDCLNYYLPNLGQSCGPPVHGQAWAGPFLFLPLGLCMVLAKHIAM
+>sp|Q9NZD1|GPC5D_HUMAN G-protein coupled receptor family C group 5 member D OS=Homo sapiens OX=9606 GN=GPRC5D PE=2 SV=1
+MYKDCIESTGDYFLLCDAEGPWGIILESLAILGIVVTILLLLAFLFLMRKIQDCSQWNVLPTQLLFLLSVLGLFGLAFAFIIELNQQTAPVRYFLFGVLFALCFSCLLAHASNLVKLVRGCVSFSWTTILCIAIGCSLLQIIIATEYVTLIMTRGMMFVNMTPCQLNVDFVVLLVYVLFLMALTFFVSKATFCGPCENWKQHGRLIFITVLFSIIIWVVWISMLLRGNPQFQRQPQWDDPVVCIALVTNAWVFLLLYIVPELCILYRSCRQECPLQGNACPVTAYQHSFQVENQELSRARDSDGAEEDVALTSYGTPIQPQTVDPTQECFIPQAKLSPQQDAGGV
+>DECOY_sp|Q9NZD1|GPC5D_HUMAN G-protein coupled receptor family C group 5 member D OS=Homo sapiens OX=9606 GN=GPRC5D PE=2 SV=1
+VGGADQQPSLKAQPIFCEQTPDVTQPQIPTGYSTLAVDEEAGDSDRARSLEQNEVQFSHQYATVPCANGQLPCEQRCSRYLICLEPVIYLLLFVWANTVLAICVVPDDWQPQRQFQPNGRLLMSIWVVWIIISFLVTIFILRGHQKWNECPGCFTAKSVFFTLAMLFLVYVLLVVFDVNLQCPTMNVFMMGRTMILTVYETAIIIQLLSCGIAICLITTWSFSVCGRVLKVLNSAHALLCSFCLAFLVGFLFYRVPATQQNLEIIFAFALGFLGLVSLLFLLQTPLVNWQSCDQIKRMLFLFALLLLITVVIGLIALSELIIGWPGEADCLLFYDGTSEICDKYM
+>sp|P78333|GPC5_HUMAN Glypican-5 OS=Homo sapiens OX=9606 GN=GPC5 PE=2 SV=1
+MDAQTWPVGFRCLLLLALVGSARSEGVQTCEEVRKLFQWRLLGAVRGLPDSPRAGPDLQVCISKKPTCCTRKMEERYQIAARQDMQQFLQTSSSTLKFLISRNAAAFQETLETLIKQAENYTSILFCSTYRNMALEAAASVQEFFTDVGLYLFGADVNPEEFVNRFFDSLFPLVYNHLINPGVTDSSLEYSECIRMARRDVSPFGNIPQRVMGQMGRSLLPSRTFLQALNLGIEVINTTDYLHFSKECSRALLKMQYCPHCQGLALTKPCMGYCLNVMRGCLAHMAELNPHWHAYIRSLEELSDAMHGTYDIGHVLLNFHLLVNDAVLQAHLNGQKLLEQVNRICGRPVRTPTQSPRCSFDQSKEKHGMKTTTRNSEETLANRRKEFINSLRLYRSFYGGLADQLCANELAAADGLPCWNGEDIVKSYTQRVVGNGIKAQSGNPEVKVKGIDPVINQIIDKLKHVVQLLQGRSPKPDKWELLQLGSGGGMVEQVSGDCDDEDGCGGSGSGEVKRTLKITDWMPDDMNFSDVKQIHQTDTGSTLDTTGAGCAVATESMTFTLISVVMLLPGIW
+>DECOY_sp|P78333|GPC5_HUMAN Glypican-5 OS=Homo sapiens OX=9606 GN=GPC5 PE=2 SV=1
+WIGPLLMVVSILTFTMSETAVACGAGTTDLTSGTDTQHIQKVDSFNMDDPMWDTIKLTRKVEGSGSGGCGDEDDCDGSVQEVMGGGSGLQLLEWKDPKPSRGQLLQVVHKLKDIIQNIVPDIGKVKVEPNGSQAKIGNGVVRQTYSKVIDEGNWCPLGDAAALENACLQDALGGYFSRYLRLSNIFEKRRNALTEESNRTTTKMGHKEKSQDFSCRPSQTPTRVPRGCIRNVQELLKQGNLHAQLVADNVLLHFNLLVHGIDYTGHMADSLEELSRIYAHWHPNLEAMHALCGRMVNLCYGMCPKTLALGQCHPCYQMKLLARSCEKSFHLYDTTNIVEIGLNLAQLFTRSPLLSRGMQGMVRQPINGFPSVDRRAMRICESYELSSDTVGPNILHNYVLPFLSDFFRNVFEEPNVDAGFLYLGVDTFFEQVSAAAELAMNRYTSCFLISTYNEAQKILTELTEQFAAANRSILFKLTSSSTQLFQQMDQRAAIQYREEMKRTCCTPKKSICVQLDPGARPSDPLGRVAGLLRWQFLKRVEECTQVGESRASGVLALLLLCRFGVPWTQADM
+>sp|Q9Y625|GPC6_HUMAN Glypican-6 OS=Homo sapiens OX=9606 GN=GPC6 PE=1 SV=1
+MPSWIGAVILPLLGLLLSLPAGADVKARSCGEVRQAYGAKGFSLADIPYQEIAGEHLRICPQEYTCCTTEMEDKLSQQSKLEFENLVEETSHFVRTTFVSRHKKFDEFFRELLENAEKSLNDMFVRTYGMLYMQNSEVFQDLFTELKRYYTGGNVNLEEMLNDFWARLLERMFQLINPQYHFSEDYLECVSKYTDQLKPFGDVPRKLKIQVTRAFIAARTFVQGLTVGREVANRVSKVSPTPGCIRALMKMLYCPYCRGLPTVRPCNNYCLNVMKGCLANQADLDTEWNLFIDAMLLVAERLEGPFNIESVMDPIDVKISEAIMNMQENSMQVSAKVFQGCGQPKPAPALRSARSAPENFNTRFRPYNPEERPTTAAGTSLDRLVTDIKEKLKLSKKVWSALPYTICKDESVTAGTSNEEECWNGHSKARYLPEIMNDGLTNQINNPEVDVDITRPDTFIRQQIMALRVMTNKLKNAYNGNDVNFQDTSDESSGSGSGSGCMDDVCPTEFEFVTTEAPAVDPDRREVDSSAAQRGHSLLSWSLTCIVLALQRLCR
+>DECOY_sp|Q9Y625|GPC6_HUMAN Glypican-6 OS=Homo sapiens OX=9606 GN=GPC6 PE=1 SV=1
+RCLRQLALVICTLSWSLLSHGRQAASSDVERRDPDVAPAETTVFEFETPCVDDMCGSGSGSGSSEDSTDQFNVDNGNYANKLKNTMVRLAMIQQRIFTDPRTIDVDVEPNNIQNTLGDNMIEPLYRAKSHGNWCEEENSTGATVSEDKCITYPLASWVKKSLKLKEKIDTVLRDLSTGAATTPREEPNYPRFRTNFNEPASRASRLAPAPKPQGCGQFVKASVQMSNEQMNMIAESIKVDIPDMVSEINFPGELREAVLLMADIFLNWETDLDAQNALCGKMVNLCYNNCPRVTPLGRCYPCYLMKMLARICGPTPSVKSVRNAVERGVTLGQVFTRAAIFARTVQIKLKRPVDGFPKLQDTYKSVCELYDESFHYQPNILQFMRELLRAWFDNLMEELNVNGGTYYRKLETFLDQFVESNQMYLMGYTRVFMDNLSKEANELLERFFEDFKKHRSVFTTRVFHSTEEVLNEFELKSQQSLKDEMETTCCTYEQPCIRLHEGAIEQYPIDALSFGKAGYAQRVEGCSRAKVDAGAPLSLLLGLLPLIVAGIWSPM
+>sp|Q8N335|GPD1L_HUMAN Glycerol-3-phosphate dehydrogenase 1-like protein OS=Homo sapiens OX=9606 GN=GPD1L PE=1 SV=1
+MAAAPLKVCIVGSGNWGSAVAKIIGNNVKKLQKFASTVKMWVFEETVNGRKLTDIINNDHENVKYLPGHKLPENVVAMSNLSEAVQDADLLVFVIPHQFIHRICDEITGRVPKKALGITLIKGIDEGPEGLKLISDIIREKMGIDISVLMGANIANEVAAEKFCETTIGSKVMENGLLFKELLQTPNFRITVVDDADTVELCGALKNIVAVGAGFCDGLRCGDNTKAAVIRLGLMEMIAFARIFCKGQVSTATFLESCGVADLITTCYGGRNRRVAEAFARTGKTIEELEKEMLNGQKLQGPQTSAEVYRILKQKGLLDKFPLFTAVYQICYESRPVQEMLSCLQSHPEHT
+>DECOY_sp|Q8N335|GPD1L_HUMAN Glycerol-3-phosphate dehydrogenase 1-like protein OS=Homo sapiens OX=9606 GN=GPD1L PE=1 SV=1
+THEPHSQLCSLMEQVPRSEYCIQYVATFLPFKDLLGKQKLIRYVEASTQPGQLKQGNLMEKELEEITKGTRAFAEAVRRNRGGYCTTILDAVGCSELFTATSVQGKCFIRAFAIMEMLGLRIVAAKTNDGCRLGDCFGAGVAVINKLAGCLEVTDADDVVTIRFNPTQLLEKFLLGNEMVKSGITTECFKEAAVENAINAGMLVSIDIGMKERIIDSILKLGEPGEDIGKILTIGLAKKPVRGTIEDCIRHIFQHPIVFVLLDADQVAESLNSMAVVNEPLKHGPLYKVNEHDNNIIDTLKRGNVTEEFVWMKVTSAFKQLKKVNNGIIKAVASGWNGSGVICVKLPAAAM
+>sp|Q99527|GPER1_HUMAN G-protein coupled estrogen receptor 1 OS=Homo sapiens OX=9606 GN=GPER1 PE=1 SV=1
+MDVTSQARGVGLEMYPGTAQPAAPNTTSPELNLSHPLLGTALANGTGELSEHQQYVIGLFLSCLYTIFLFPIGFVGNILILVVNISFREKMTIPDLYFINLAVADLILVADSLIEVFNLHERYYDIAVLCTFMSLFLQVNMYSSVFFLTWMSFDRYIALARAMRCSLFRTKHHARLSCGLIWMASVSATLVPFTAVHLQHTDEACFCFADVREVQWLEVTLGFIVPFAIIGLCYSLIVRVLVRAHRHRGLRPRRQKALRMILAVVLVFFVCWLPENVFISVHLLQRTQPGAAPCKQSFRHAHPLTGHIVNLAAFSNSCLNPLIYSFLGETFRDKLRLYIEQKTNLPALNRFCHAALKAVIPDSTEQSDVRFSSAV
+>DECOY_sp|Q99527|GPER1_HUMAN G-protein coupled estrogen receptor 1 OS=Homo sapiens OX=9606 GN=GPER1 PE=1 SV=1
+VASSFRVDSQETSDPIVAKLAAHCFRNLAPLNTKQEIYLRLKDRFTEGLFSYILPNLCSNSFAALNVIHGTLPHAHRFSQKCPAAGPQTRQLLHVSIFVNEPLWCVFFVLVVALIMRLAKQRRPRLGRHRHARVLVRVILSYCLGIIAFPVIFGLTVELWQVERVDAFCFCAEDTHQLHVATFPVLTASVSAMWILGCSLRAHHKTRFLSCRMARALAIYRDFSMWTLFFVSSYMNVQLFLSMFTCLVAIDYYREHLNFVEILSDAVLILDAVALNIFYLDPITMKERFSINVVLILINGVFGIPFLFITYLCSLFLGIVYQQHESLEGTGNALATGLLPHSLNLEPSTTNPAAPQATGPYMELGVGRAQSTVDM
+>sp|P18283|GPX2_HUMAN Glutathione peroxidase 2 OS=Homo sapiens OX=9606 GN=GPX2 PE=1 SV=3
+MAFIAKSFYDLSAISLDGEKVDFNTFRGRAVLIENVASLUGTTTRDFTQLNELQCRFPRRLVVLGFPCNQFGHQENCQNEEILNSLKYVRPGGGYQPTFTLVQKCEVNGQNEHPVFAYLKDKLPYPYDDPFSLMTDPKLIIWSPVRRSDVAWNFEKFLIGPEGEPFRRYSRTFPTINIEPDIKRLLKVAI
+>DECOY_sp|P18283|GPX2_HUMAN Glutathione peroxidase 2 OS=Homo sapiens OX=9606 GN=GPX2 PE=1 SV=3
+IAVKLLRKIDPEINITPFTRSYRRFPEGEPGILFKEFNWAVDSRRVPSWIILKPDTMLSFPDDYPYPLKDKLYAFVPHENQGNVECKQVLTFTPQYGGGPRVYKLSNLIEENQCNEQHGFQNCPFGLVVLRRPFRCQLENLQTFDRTTTGULSAVNEILVARGRFTNFDVKEGDLSIASLDYFSKAIFAM
+>sp|P59796|GPX6_HUMAN Glutathione peroxidase 6 OS=Homo sapiens OX=9606 GN=GPX6 PE=2 SV=2
+MFQQFQASCLVLFFLVGFAQQTLKPQNRKVDCNKGVTGTIYEYGALTLNGEEYIQFKQFAGKHVLFVNVAAYUGLAAQYPELNALQEELKNFGVIVLAFPCNQFGKQEPGTNSEILLGLKYVCPGSGFVPSFQLFEKGDVNGEKEQKVFTFLKNSCPPTSDLLGSSSQLFWEPMKVHDIRWNFEKFLVGPDGVPVMHWFHQAPVSTVKSDILEYLKQFNTH
+>DECOY_sp|P59796|GPX6_HUMAN Glutathione peroxidase 6 OS=Homo sapiens OX=9606 GN=GPX6 PE=2 SV=2
+HTNFQKLYELIDSKVTSVPAQHFWHMVPVGDPGVLFKEFNWRIDHVKMPEWFLQSSSGLLDSTPPCSNKLFTFVKQEKEGNVDGKEFLQFSPVFGSGPCVYKLGLLIESNTGPEQKGFQNCPFALVIVGFNKLEEQLANLEPYQAALGUYAAVNVFLVHKGAFQKFQIYEEGNLTLAGYEYITGTVGKNCDVKRNQPKLTQQAFGVLFFLVLCSAQFQQFM
+>sp|Q8TED1|GPX8_HUMAN Probable glutathione peroxidase 8 OS=Homo sapiens OX=9606 GN=GPX8 PE=1 SV=2
+MEPLAAYPLKCSGPRAKVFAVLLSIVLCTVTLFLLQLKFLKPKINSFYAFEVKDAKGRTVSLEKYKGKVSLVVNVASDCQLTDRNYLGLKELHKEFGPSHFSVLAFPCNQFGESEPRPSKEVESFARKNYGVTFPIFHKIKILGSEGEPAFRFLVDSSKKEPRWNFWKYLVNPEGQVVKFWKPEEPIEVIRPDIAALVRQVIIKKKEDL
+>DECOY_sp|Q8TED1|GPX8_HUMAN Probable glutathione peroxidase 8 OS=Homo sapiens OX=9606 GN=GPX8 PE=1 SV=2
+LDEKKKIIVQRVLAAIDPRIVEIPEEPKWFKVVQGEPNVLYKWFNWRPEKKSSDVLFRFAPEGESGLIKIKHFIPFTVGYNKRAFSEVEKSPRPESEGFQNCPFALVSFHSPGFEKHLEKLGLYNRDTLQCDSAVNVVLSVKGKYKELSVTRGKADKVEFAYFSNIKPKLFKLQLLFLTVTCLVISLLVAFVKARPGSCKLPYAALPEM
+>sp|P49863|GRAK_HUMAN Granzyme K OS=Homo sapiens OX=9606 GN=GZMK PE=1 SV=1
+MTKFSSFSLFFLIVGAYMTHVCFNMEIIGGKEVSPHSRPFMASIQYGGHHVCGGVLIDPQWVLTAAHCQYRFTKGQSPTVVLGAHSLSKNEASKQTLEIKKFIPFSRVTSDPQSNDIMLVKLQTAAKLNKHVKMLHIRSKTSLRSGTKCKVTGWGATDPDSLRPSDTLREVTVTVLSRKLCNSQSYYNGDPFITKDMVCAGDAKGQKDSCKGDSGGPLICKGVFHAIVSGGHECGVATKPGIYTLLTKKYQTWIKSNLVPPHTN
+>DECOY_sp|P49863|GRAK_HUMAN Granzyme K OS=Homo sapiens OX=9606 GN=GZMK PE=1 SV=1
+NTHPPVLNSKIWTQYKKTLLTYIGPKTAVGCEHGGSVIAHFVGKCILPGGSDGKCSDKQGKADGACVMDKTIFPDGNYYSQSNCLKRSLVTVTVERLTDSPRLSDPDTAGWGTVKCKTGSRLSTKSRIHLMKVHKNLKAATQLKVLMIDNSQPDSTVRSFPIFKKIELTQKSAENKSLSHAGLVVTPSQGKTFRYQCHAATLVWQPDILVGGCVHHGGYQISAMFPRSHPSVEKGGIIEMNFCVHTMYAGVILFFLSFSSFKTM
+>sp|P51124|GRAM_HUMAN Granzyme M OS=Homo sapiens OX=9606 GN=GZMM PE=1 SV=2
+MEACVSSLLVLALGALSVGSSFGTQIIGGREVIPHSRPYMASLQRNGSHLCGGVLVHPKWVLTAAHCLAQRMAQLRLVLGLHTLDSPGLTFHIKAAIQHPRYKPVPALENDLALLQLDGKVKPSRTIRPLALPSKRQVVAAGTRCSMAGWGLTHQGGRLSRVLRELDLQVLDTRMCNNSRFWNGSLSPSMVCLAADSKDQAPCKGDSGGPLVCGKGRVLARVLSFSSRVCTDIFKPPVATAVAPYVSWIRKVTGRSA
+>DECOY_sp|P51124|GRAM_HUMAN Granzyme M OS=Homo sapiens OX=9606 GN=GZMM PE=1 SV=2
+ASRGTVKRIWSVYPAVATAVPPKFIDTCVRSSFSLVRALVRGKGCVLPGGSDGKCPAQDKSDAALCVMSPSLSGNWFRSNNCMRTDLVQLDLERLVRSLRGGQHTLGWGAMSCRTGAAVVQRKSPLALPRITRSPKVKGDLQLLALDNELAPVPKYRPHQIAAKIHFTLGPSDLTHLGLVLRLQAMRQALCHAATLVWKPHVLVGGCLHSGNRQLSAMYPRSHPIVERGGIIQTGFSSGVSLAGLALVLLSSVCAEM
+>sp|Q4V328|GRAP1_HUMAN GRIP1-associated protein 1 OS=Homo sapiens OX=9606 GN=GRIPAP1 PE=1 SV=1
+MAQALSEEEFQRMQAQLLELRTNNYQLSDELRKNGVELTSLRQKVAYLDKEFSKAQKALSKSKKAQEVEVLLSENEMLQAKLHSQEEDFRLQNSTLMAEFSKLCSQMEQLEQENQQLKEGAAGAGVAQAGPLVDGELLRLQAENTALQKNVAALQERYGKEAGKFSAVSEGQGDPPGGPAPTVLAPMPLAEVELKWEMEKEEKRLLWEQLQGLESSKQAETSRLQEELAKLSEKLKKKQESFCRLQTEKETLFNDSRNKIEELQQRKEADHKAQLARTQKLQQELEAANQSLAELRDQRQGERLEHAAALRALQDQVSIQSADAQEQVEGLLAENNALRTSLAALEQIQTAKTQELNMLREQTTGLAAELQQQQAEYEDLMGQKDDLNSQLQESLRANSRLLEQLQEIGQEKEQLTQELQEARKSAEKRKAMLDELAMETLQEKSQHKEELGAVRLRHEKEVLGVRARYERELRELHEDKKRQEEELRGQIREEKARTRELETLQQTVEELQAQVHSMDGAKGWFERRLKEAEESLQQQQQEQEEALKQCREQHAAELKGKEEELQDVRDQLEQAQEERDCHLKTISSLKQEVKDTVDGQRILEKKGSAALKDLKRQLHLERKRADKLQERLQDILTNSKSRSGLEELVLSEMNSPSRTQTGDSSSISSFSYREILREKESSAVPARSLSSSPQAQPPRPAELSDEEVAELFQRLAETQQEKWMLEEKVKHLEVSSASMAEDLCRKSAIIETYVMDSRIDVSVAAGHTDRSGLGSVLRDLVKPGDENLREMNKKLQNMLEEQLTKNMHLHKDMEVLSQEIVRLSKECVGPPDPDLEPGETS
+>DECOY_sp|Q4V328|GRAP1_HUMAN GRIP1-associated protein 1 OS=Homo sapiens OX=9606 GN=GRIPAP1 PE=1 SV=1
+STEGPELDPDPPGVCEKSLRVIEQSLVEMDKHLHMNKTLQEELMNQLKKNMERLNEDGPKVLDRLVSGLGSRDTHGAAVSVDIRSDMVYTEIIASKRCLDEAMSASSVELHKVKEELMWKEQQTEALRQFLEAVEEDSLEAPRPPQAQPSSSLSRAPVASSEKERLIERYSFSSISSSDGTQTRSPSNMESLVLEELGSRSKSNTLIDQLREQLKDARKRELHLQRKLDKLAASGKKELIRQGDVTDKVEQKLSSITKLHCDREEQAQELQDRVDQLEEEKGKLEAAHQERCQKLAEEQEQQQQQLSEEAEKLRREFWGKAGDMSHVQAQLEEVTQQLTELERTRAKEERIQGRLEEEQRKKDEHLERLEREYRARVGLVEKEHRLRVAGLEEKHQSKEQLTEMALEDLMAKRKEASKRAEQLEQTLQEKEQGIEQLQELLRSNARLSEQLQSNLDDKQGMLDEYEAQQQQLEAALGTTQERLMNLEQTKATQIQELAALSTRLANNEALLGEVQEQADASQISVQDQLARLAAAHELREGQRQDRLEALSQNAAELEQQLKQTRALQAKHDAEKRQQLEEIKNRSDNFLTEKETQLRCFSEQKKKLKESLKALEEQLRSTEAQKSSELGQLQEWLLRKEEKEMEWKLEVEALPMPALVTPAPGGPPDGQGESVASFKGAEKGYREQLAAVNKQLATNEAQLRLLEGDVLPGAQAVGAGAAGEKLQQNEQELQEMQSCLKSFEAMLTSNQLRFDEEQSHLKAQLMENESLLVEVEQAKKSKSLAKQAKSFEKDLYAVKQRLSTLEVGNKRLEDSLQYNNTRLELLQAQMRQFEEESLAQAM
+>sp|P09341|GROA_HUMAN Growth-regulated alpha protein OS=Homo sapiens OX=9606 GN=CXCL1 PE=1 SV=1
+MARAALSAAPSNPRLLRVALLLLLLVAAGRRAAGASVATELRCQCLQTLQGIHPKNIQSVNVKSPGPHCAQTEVIATLKNGRKACLNPASPIVKKIIEKMLNSDKSN
+>DECOY_sp|P09341|GROA_HUMAN Growth-regulated alpha protein OS=Homo sapiens OX=9606 GN=CXCL1 PE=1 SV=1
+NSKDSNLMKEIIKKVIPSAPNLCAKRGNKLTAIVETQACHPGPSKVNVSQINKPHIGQLTQLCQCRLETAVSAGAARRGAAVLLLLLLAVRLLRPNSPAASLAARAM
+>sp|Q7LDG7|GRP2_HUMAN RAS guanyl-releasing protein 2 OS=Homo sapiens OX=9606 GN=RASGRP2 PE=1 SV=1
+MAGTLDLDKGCTVEELLRGCIEAFDDSGKVRDPQLVRMFLMMHPWYIPSSQLAAKLLHIYQQSRKDNSNSLQVKTCHLVRYWISAFPAEFDLNPELAEQIKELKALLDQEGNRRHSSLIDIDSVPTYKWKRQVTQRNPVGQKKRKMSLLFDHLEPMELAEHLTYLEYRSFCKILFQDYHSFVTHGCTVDNPVLERFISLFNSVSQWVQLMILSKPTAPQRALVITHFVHVAEKLLQLQNFNTLMAVVGGLSHSSISRLKETHSHVSPETIKLWEGLTELVTATGNYGNYRRRLAACVGFRFPILGVHLKDLVALQLALPDWLDPARTRLNGAKMKQLFSILEELAMVTSLRPPVQANPDLLSLLTVSLDQYQTEDELYQLSLQREPRSKSSPTSPTSCTPPPRPPVLEEWTSAAKPKLDQALVVEHIEKMVESVFRNFDVDGDGHISQEEFQIIRGNFPYLSAFGDLDQNQDGCISREEMVSYFLRSSSVLGGRMGFVHNFQESNSLRPVACRHCKALILGIYKQGLKCRACGVNCHKQCKDRLSVECRRRAQSVSLEGSAPSPSPMHSHHHRAFSFSLPRPGRRGSRPPEIREEEVQTVEDGVFDIHL
+>DECOY_sp|Q7LDG7|GRP2_HUMAN RAS guanyl-releasing protein 2 OS=Homo sapiens OX=9606 GN=RASGRP2 PE=1 SV=1
+LHIDFVGDEVTQVEEERIEPPRSGRRGPRPLSFSFARHHHSHMPSPSPASGELSVSQARRRCEVSLRDKCQKHCNVGCARCKLGQKYIGLILAKCHRCAVPRLSNSEQFNHVFGMRGGLVSSSRLFYSVMEERSICGDQNQDLDGFASLYPFNGRIIQFEEQSIHGDGDVDFNRFVSEVMKEIHEVVLAQDLKPKAASTWEELVPPRPPPTCSTPSTPSSKSRPERQLSLQYLEDETQYQDLSVTLLSLLDPNAQVPPRLSTVMALEELISFLQKMKAGNLRTRAPDLWDPLALQLAVLDKLHVGLIPFRFGVCAALRRRYNGYNGTATVLETLGEWLKITEPSVHSHTEKLRSISSHSLGGVVAMLTNFNQLQLLKEAVHVFHTIVLARQPATPKSLIMLQVWQSVSNFLSIFRELVPNDVTCGHTVFSHYDQFLIKCFSRYELYTLHEALEMPELHDFLLSMKRKKQGVPNRQTVQRKWKYTPVSDIDILSSHRRNGEQDLLAKLEKIQEALEPNLDFEAPFASIWYRVLHCTKVQLSNSNDKRSQQYIHLLKAALQSSPIYWPHMMLFMRVLQPDRVKGSDDFAEICGRLLEEVTCGKDLDLTGAM
+>sp|Q8IV61|GRP3_HUMAN Ras guanyl-releasing protein 3 OS=Homo sapiens OX=9606 GN=RASGRP3 PE=1 SV=1
+MGSSGLGKAATLDELLCTCIEMFDDNGELDNSYLPRIVLLMHRWYLSSTELAEKLLCMYRNATGESCNEFRLKICYFMRYWILKFPAEFNLDLGLIRMTEEFREVASQLGYEKHVSLIDISSIPSYDWMRRVTQRKKVSKKGKACLLFDHLEPIELAEHLTFLEHKSFRRISFTDYQSYVIHGCLENNPTLERSIALFNGISKWVQLMVLSKPTPQQRAEVITKFINVAKKLLQLKNFNTLMAVVGGLSHSSISRLKETHSHLSSEVTKNWNEMTELVSSNGNYCNYRKAFADCDGFKIPILGVHLKDLIAVHVIFPDWTEENKVNIVKMHQLSVTLSELVSLQNASHHLEPNMDLINLLTLSLDLYHTEDDIYKLSLVLEPRNSKSQPTSPTTPNKPVVPLEWALGVMPKPDPTVINKHIRKLVESVFRNYDHDHDGYISQEDFESIAANFPFLDSFCVLDKDQDGLISKDEMMAYFLRAKSQLHCKMGPGFIHNFQEMTYLKPTFCEHCAGFLWGIIKQGYKCKDCGANCHKQCKDLLVLACRRFARAPSLSSGHGSLPGSPSLPPAQDEVFEFPGVTAGHRDLDSRAITLVTGSSRKISVRLQRATTSQATQTEPVWSEAGWGDSGSHTFPKMKSKFHDKAAKDKGFAKWENEKPRVHAGVDVVDRGTEFELDQDEGEETRQDGEDG
+>DECOY_sp|Q8IV61|GRP3_HUMAN Ras guanyl-releasing protein 3 OS=Homo sapiens OX=9606 GN=RASGRP3 PE=1 SV=1
+GDEGDQRTEEGEDQDLEFETGRDVVDVGAHVRPKENEWKAFGKDKAAKDHFKSKMKPFTHSGSDGWGAESWVPETQTAQSTTARQLRVSIKRSSGTVLTIARSDLDRHGATVGPFEFVEDQAPPLSPSGPLSGHGSSLSPARAFRRCALVLLDKCQKHCNAGCDKCKYGQKIIGWLFGACHECFTPKLYTMEQFNHIFGPGMKCHLQSKARLFYAMMEDKSILGDQDKDLVCFSDLFPFNAAISEFDEQSIYGDHDHDYNRFVSEVLKRIHKNIVTPDPKPMVGLAWELPVVPKNPTTPSTPQSKSNRPELVLSLKYIDDETHYLDLSLTLLNILDMNPELHHSANQLSVLESLTVSLQHMKVINVKNEETWDPFIVHVAILDKLHVGLIPIKFGDCDAFAKRYNCYNGNSSVLETMENWNKTVESSLHSHTEKLRSISSHSLGGVVAMLTNFNKLQLLKKAVNIFKTIVEARQQPTPKSLVMLQVWKSIGNFLAISRELTPNNELCGHIVYSQYDTFSIRRFSKHELFTLHEALEIPELHDFLLCAKGKKSVKKRQTVRRMWDYSPISSIDILSVHKEYGLQSAVERFEETMRILGLDLNFEAPFKLIWYRMFYCIKLRFENCSEGTANRYMCLLKEALETSSLYWRHMLLVIRPLYSNDLEGNDDFMEICTCLLEDLTAAKGLGSSGM
+>sp|Q8TDF6|GRP4_HUMAN RAS guanyl-releasing protein 4 OS=Homo sapiens OX=9606 GN=RASGRP4 PE=1 SV=2
+MNRKDSKRKSHQECTGKIGGRGRPRQVRRHKTCPSPREISKVMASMNLGLLSEGGCSEDELLEKCIQSFDSAGSLCHEDHMLNMVLAMHSWVLPSADLAARLLTSYQKATGDTQELRRLQICHLVRYWLMRHPEVMHQDPQLEEVIGRFWATVAREGNSAQRRLGDSSDLLSPGGPGPPLPMSSPGLGKKRKVSLLFDHLETGELAQHLTYLEFRSFQAITPQDLRSYVLQGSVRGCPALEGSVGLSNSVSRWVQVMVLSRPGPLQRAQVLDKFIHVAQRLHQLQNFNTLMAVTGGLCHSAISRLKDSHAHLSPDSTKALLELTELLASHNNYARYRRTWAGCAGFRLPVLGVHLKDLVSLHEAQPDRLPDGRLHLPKLNNLYLRLQELVALQGQHPPCSANEDLLHLLTLSLDLFYTEDEIYELSYAREPRCPKSLPPSPFNAPLVVEWAPGVTPKPDRVTLGRHVEQLVESVFKNYDPEGRGTISQEDFERLSGNFPFACHGLHPPPRQGRGSFSREELTGYLLRASAICSKLGLAFLHTFHEVTFRKPTFCDSCSGFLWGVTKQGYRCRECGLCCHKHCRDQVKVECKKRPGAKGDAGPPGAPVPSTPAPHASCGSEENHSYTLSLEPETGCQLRHAWTQTESPHPSWETDTVPCPVMDPPSTASSKLDS
+>DECOY_sp|Q8TDF6|GRP4_HUMAN RAS guanyl-releasing protein 4 OS=Homo sapiens OX=9606 GN=RASGRP4 PE=1 SV=2
+SDLKSSATSPPDMVPCPVTDTEWSPHPSETQTWAHRLQCGTEPELSLTYSHNEESGCSAHPAPTSPVPAGPPGADGKAGPRKKCEVKVQDRCHKHCCLGCERCRYGQKTVGWLFGSCSDCFTPKRFTVEHFTHLFALGLKSCIASARLLYGTLEERSFSGRGQRPPPHLGHCAFPFNGSLREFDEQSITGRGEPDYNKFVSEVLQEVHRGLTVRDPKPTVGPAWEVVLPANFPSPPLSKPCRPERAYSLEYIEDETYFLDLSLTLLHLLDENASCPPHQGQLAVLEQLRLYLNNLKPLHLRGDPLRDPQAEHLSVLDKLHVGLVPLRFGACGAWTRRYRAYNNHSALLETLELLAKTSDPSLHAHSDKLRSIASHCLGGTVAMLTNFNQLQHLRQAVHIFKDLVQARQLPGPRSLVMVQVWRSVSNSLGVSGELAPCGRVSGQLVYSRLDQPTIAQFSRFELYTLHQALEGTELHDFLLSVKRKKGLGPSSMPLPPGPGGPSLLDSSDGLRRQASNGERAVTAWFRGIVEELQPDQHMVEPHRMLWYRVLHCIQLRRLEQTDGTAKQYSTLLRAALDASPLVWSHMALVMNLMHDEHCLSGASDFSQICKELLEDESCGGESLLGLNMSAMVKSIERPSPCTKHRRVQRPRGRGGIKGTCEQHSKRKSDKRNM
+>sp|Q9NY12|GAR1_HUMAN H/ACA ribonucleoprotein complex subunit 1 OS=Homo sapiens OX=9606 GN=GAR1 PE=1 SV=1
+MSFRGGGRGGFNRGGGGGGFNRGGSSNHFRGGGGGGGGGNFRGGGRGGFGRGGGRGGFNKGQDQGPPERVVLLGEFLHPCEDDIVCKCTTDENKVPYFNAPVYLENKEQIGKVDEIFGQLRDFYFSVKLSENMKASSFKKLQKFYIDPYKLLPLQRFLPRPPGEKGPPRGGGRGGRGGGRGGGGRGGGRGGGFRGGRGGGGGGFRGGRGGGFRGRGH
+>DECOY_sp|Q9NY12|GAR1_HUMAN H/ACA ribonucleoprotein complex subunit 1 OS=Homo sapiens OX=9606 GN=GAR1 PE=1 SV=1
+HGRGRFGGGRGGRFGGGGGGRGGRFGGGRGGGRGGGGRGGGRGGRGGGRPPGKEGPPRPLFRQLPLLKYPDIYFKQLKKFSSAKMNESLKVSFYFDRLQGFIEDVKGIQEKNELYVPANFYPVKNEDTTCKCVIDDECPHLFEGLLVVREPPGQDQGKNFGGRGGGRGFGGRGGGRFNGGGGGGGGGRFHNSSGGRNFGGGGGGRNFGGRGGGRFSM
+>sp|Q75VX8|GARE2_HUMAN GRB2-associated and regulator of MAPK protein 2 OS=Homo sapiens OX=9606 GN=GAREM2 PE=1 SV=3
+MEKLAAGLAGLRWSMGAFPLDLIVSRCRLPTLACLGPGEYAEGVSERDILLIHSCRQWTTVTAHTLEEGHYVIGPKIDIPLQYPGKFKLLEQARDVREPVRYFSSVEEVASVFPDRIFVMEAITFSVKVVSGEFSEDSEVYNFTLHAGDELTLMGQAEILCAKTTKERSRFTTLLRKLGRAGALAGVGGGGPASAGAAGGTGGGGARPVKGKMPCLICMNHRTNESLSLPFQCQGRFSTRSPLELQMQEGEHTVRAIIERVRLPVNVLVPSRPPRNPYDLHPVREGHCYKLVSIISKTVVLGLALRREGPAPLHFLLLTDTPRFALPQGLLAGDPRVERLVRDSASYCRERFDPDEYSTAVREAPAELAEDCASPRRARLCLPAPRAPGLARAPGPLAPAPAGEGDQEYVSPDWAAAPEPAAPPAEIPYEELWAHQGPEGLVRPPPGLDLISFGAAGPPRREPEAPPPPVPPKSEAVKEECRLLNAPPVPPRGGNGSGRLSSSPPVPPRFPKLQPVHSPSSSLSYYSSGLQDGAGSRSGSGSPSPDTYSLYCYPCTWGDCKVGESSSRPAPGPLPSTTQPSQASRALTEPLSGRAASLLGADTPVKTYHSCPPLFKPSHPQKRFAPFGALNPFSGPAYPSGPSAALSSGPRTTSGPVATSGPAYSPGPASPGQAYSAAPPSSCAPSSSSSSEWQEPVLEPFDPFELGQGSSPEPELLRSQEPRAVGTPGPGPRLSPLGPSKAFEPEGLVLHQVPTPLSPAALQGPEAGGALFLTQGRLEGPPASPRDGATGFGVRDASSWQPPADLSALSLEEVSRSLRFIGLSEDVVSFFARERIDGSIFVQLSEDILADDFHLTKLQVKKIMQFIKGWRPKI
+>DECOY_sp|Q75VX8|GARE2_HUMAN GRB2-associated and regulator of MAPK protein 2 OS=Homo sapiens OX=9606 GN=GAREM2 PE=1 SV=3
+IKPRWGKIFQMIKKVQLKTLHFDDALIDESLQVFISGDIRERAFFSVVDESLGIFRLSRSVEELSLASLDAPPQWSSADRVGFGTAGDRPSAPPGELRGQTLFLAGGAEPGQLAAPSLPTPVQHLVLGEPEFAKSPGLPSLRPGPGPTGVARPEQSRLLEPEPSSGQGLEFPDFPELVPEQWESSSSSSPACSSPPAASYAQGPSAPGPSYAPGSTAVPGSTTRPGSSLAASPGSPYAPGSFPNLAGFPAFRKQPHSPKFLPPCSHYTKVPTDAGLLSAARGSLPETLARSAQSPQTTSPLPGPAPRSSSEGVKCDGWTCPYCYLSYTDPSPSGSGSRSGAGDQLGSSYYSLSSSPSHVPQLKPFRPPVPPSSSLRGSGNGGRPPVPPANLLRCEEKVAESKPPVPPPPAEPERRPPGAAGFSILDLGPPPRVLGEPGQHAWLEEYPIEAPPAAPEPAAAWDPSVYEQDGEGAPAPALPGPARALGPARPAPLCLRARRPSACDEALEAPAERVATSYEDPDFRERCYSASDRVLREVRPDGALLGQPLAFRPTDTLLLFHLPAPGERRLALGLVVTKSIISVLKYCHGERVPHLDYPNRPPRSPVLVNVPLRVREIIARVTHEGEQMQLELPSRTSFRGQCQFPLSLSENTRHNMCILCPMKGKVPRAGGGGTGGAAGASAPGGGGVGALAGARGLKRLLTTFRSREKTTKACLIEAQGMLTLEDGAHLTFNYVESDESFEGSVVKVSFTIAEMVFIRDPFVSAVEEVSSFYRVPERVDRAQELLKFKGPYQLPIDIKPGIVYHGEELTHATVTTWQRCSHILLIDRESVGEAYEGPGLCALTPLRCRSVILDLPFAGMSWRLGALGAALKEM
+>sp|Q9HCG7|GBA2_HUMAN Non-lysosomal glucosylceramidase OS=Homo sapiens OX=9606 GN=GBA2 PE=1 SV=2
+MGTQDPGNMGTGVPASEQISCAKEDPQVYCPEETGGTKDVQVTDCKSPEDSRPPKETDCCNPEDSGQLMVSYEGKAMGYQVPPFGWRICLAHEFTEKRKPFQANNVSLSNMIKHIGMGLRYLQWWYRKTHVEKKTPFIDMINSVPLRQIYGCPLGGIGGGTITRGWRGQFCRWQLNPGMYQHRTVIADQFTVCLRREGQTVYQQVLSLERPSVLRSWNWGLCGYFAFYHALYPRAWTVYQLPGQNVTLTCRQITPILPHDYQDSSLPVGVFVWDVENEGDEALDVSIMFSMRNGLGGGDDAPGGLWNEPFCLERSGETVRGLLLHHPTLPNPYTMAVAARVTAATTVTHITAFDPDSTGQQVWQDLLQDGQLDSPTGQSTPTQKGVGIAGAVCVSSKLRPRGQCRLEFSLAWDMPRIMFGAKGQVHYRRYTRFFGQDGDAAPALSHYALCRYAEWEERISAWQSPVLDDRSLPAWYKSALFNELYFLADGGTVWLEVLEDSLPEELGRNMCHLRPTLRDYGRFGYLEGQEYRMYNTYDVHFYASFALIMLWPKLELSLQYDMALATLREDLTRRRYLMSGVMAPVKRRNVIPHDIGDPDDEPWLRVNAYLIHDTADWKDLNLKFVLQVYRDYYLTGDQNFLKDMWPVCLAVMESEMKFDKDHDGLIENGGYADQTYDGWVTTGPSAYCGGLWLAAVAVMVQMAALCGAQDIQDKFSSILSRGQEAYERLLWNGRYYNYDSSSRPQSRSVMSDQCAGQWFLKACGLGEGDTEVFPTQHVVRALQTIFELNVQAFAGGAMGAVNGMQPHGVPDKSSVQSDEVWVGVVYGLAATMIQEGLTWEGFQTAEGCYRTVWERLGLAFQTPEAYCQQRVFRSLAYMRPLSIWAMQLALQQQQHKKASWPKVKQGTGLRTGPMFGPKEAMANLSPE
+>DECOY_sp|Q9HCG7|GBA2_HUMAN Non-lysosomal glucosylceramidase OS=Homo sapiens OX=9606 GN=GBA2 PE=1 SV=2
+EPSLNAMAEKPGFMPGTRLGTGQKVKPWSAKKHQQQQLALQMAWISLPRMYALSRFVRQQCYAEPTQFALGLREWVTRYCGEATQFGEWTLGEQIMTAALGYVVGVWVEDSQVSSKDPVGHPQMGNVAGMAGGAFAQVNLEFITQLARVVHQTPFVETDGEGLGCAKLFWQGACQDSMVSRSQPRSSSDYNYYRGNWLLREYAEQGRSLISSFKDQIDQAGCLAAMQVMVAVAALWLGGCYASPGTTVWGDYTQDAYGGNEILGDHDKDFKMESEMVALCVPWMDKLFNQDGTLYYDRYVQLVFKLNLDKWDATDHILYANVRLWPEDDPDGIDHPIVNRRKVPAMVGSMLYRRRTLDERLTALAMDYQLSLELKPWLMILAFSAYFHVDYTNYMRYEQGELYGFRGYDRLTPRLHCMNRGLEEPLSDELVELWVTGGDALFYLENFLASKYWAPLSRDDLVPSQWASIREEWEAYRCLAYHSLAPAADGDQGFFRTYRRYHVQGKAGFMIRPMDWALSFELRCQGRPRLKSSVCVAGAIGVGKQTPTSQGTPSDLQGDQLLDQWVQQGTSDPDFATIHTVTTAATVRAAVAMTYPNPLTPHHLLLGRVTEGSRELCFPENWLGGPADDGGGLGNRMSFMISVDLAEDGENEVDWVFVGVPLSSDQYDHPLIPTIQRCTLTVNQGPLQYVTWARPYLAHYFAFYGCLGWNWSRLVSPRELSLVQQYVTQGERRLCVTFQDAIVTRHQYMGPNLQWRCFQGRWGRTITGGGIGGLPCGYIQRLPVSNIMDIFPTKKEVHTKRYWWQLYRLGMGIHKIMNSLSVNNAQFPKRKETFEHALCIRWGFPPVQYGMAKGEYSVMLQGSDEPNCCDTEKPPRSDEPSKCDTVQVDKTGGTEEPCYVQPDEKACSIQESAPVGTGMNGPDQTGM
+>sp|Q9H227|GBA3_HUMAN Cytosolic beta-glucosidase OS=Homo sapiens OX=9606 GN=GBA3 PE=1 SV=2
+MAFPAGFGWAAATAAYQVEGGWDADGKGPCVWDTFTHQGGERVFKNQTGDVACGSYTLWEEDLKCIKQLGLTHYRFSLSWSRLLPDGTTGFINQKGIDYYNKIIDDLLKNGVTPIVTLYHFDLPQTLEDQGGWLSEAIIESFDKYAQFCFSTFGDRVKQWITINEANVLSVMSYDLGMFPPGIPHFGTGGYQAAHNLIKAHARSWHSYDSLFRKKQKGMVSLSLFAVWLEPADPNSVSDQEAAKRAITFHLDLFAKPIFIDGDYPEVVKSQIASMSQKQGYPSSRLPEFTEEEKKMIKGTADFFAVQYYTTRLIKYQENKKGELGILQDAEIEFFPDPSWKNVDWIYVVPWGVCKLLKYIKDTYNNPVIYITENGFPQSDPAPLDDTQRWEYFRQTFQELFKAIQLDKVNLQVYCAWSLLDNFEWNQGYSSRFGLFHVDFEDPARPRVPYTSAKEYAKIIRNNGLEAHL
+>DECOY_sp|Q9H227|GBA3_HUMAN Cytosolic beta-glucosidase OS=Homo sapiens OX=9606 GN=GBA3 PE=1 SV=2
+LHAELGNNRIIKAYEKASTYPVRPRAPDEFDVHFLGFRSSYGQNWEFNDLLSWACYVQLNVKDLQIAKFLEQFTQRFYEWRQTDDLPAPDSQPFGNETIYIVPNNYTDKIYKLLKCVGWPVVYIWDVNKWSPDPFFEIEADQLIGLEGKKNEQYKILRTTYYQVAFFDATGKIMKKEEETFEPLRSSPYGQKQSMSAIQSKVVEPYDGDIFIPKAFLDLHFTIARKAAEQDSVSNPDAPELWVAFLSLSVMGKQKKRFLSDYSHWSRAHAKILNHAAQYGGTGFHPIGPPFMGLDYSMVSLVNAENITIWQKVRDGFTSFCFQAYKDFSEIIAESLWGGQDELTQPLDFHYLTVIPTVGNKLLDDIIKNYYDIGKQNIFGTTGDPLLRSWSLSFRYHTLGLQKICKLDEEWLTYSGCAVDGTQNKFVREGGQHTFTDWVCPGKGDADWGGEVQYAATAAAWGFGAPFAM
+>sp|Q9HAV0|GBB4_HUMAN Guanine nucleotide-binding protein subunit beta-4 OS=Homo sapiens OX=9606 GN=GNB4 PE=1 SV=3
+MSELEQLRQEAEQLRNQIQDARKACNDATLVQITSNMDSVGRIQMRTRRTLRGHLAKIYAMHWGYDSRLLVSASQDGKLIIWDSYTTNKMHAIPLRSSWVMTCAYAPSGNYVACGGLDNICSIYNLKTREGNVRVSRELPGHTGYLSCCRFLDDSQIVTSSGDTTCALWDIETAQQTTTFTGHSGDVMSLSLSPDMRTFVSGACDASSKLWDIRDGMCRQSFTGHVSDINAVSFFPNGYAFATGSDDATCRLFDLRADQELLLYSHDNIICGITSVAFSKSGRLLLAGYDDFNCNVWDTLKGDRAGVLAGHDNRVSCLGVTDDGMAVATGSWDSFLRIWN
+>DECOY_sp|Q9HAV0|GBB4_HUMAN Guanine nucleotide-binding protein subunit beta-4 OS=Homo sapiens OX=9606 GN=GNB4 PE=1 SV=3
+NWIRLFSDWSGTAVAMGDDTVGLCSVRNDHGALVGARDGKLTDWVNCNFDDYGALLLRGSKSFAVSTIGCIINDHSYLLLEQDARLDFLRCTADDSGTAFAYGNPFFSVANIDSVHGTFSQRCMGDRIDWLKSSADCAGSVFTRMDPSLSLSMVDGSHGTFTTTQQATEIDWLACTTDGSSTVIQSDDLFRCCSLYGTHGPLERSVRVNGERTKLNYISCINDLGGCAVYNGSPAYACTMVWSSRLPIAHMKNTTYSDWIILKGDQSASVLLRSDYGWHMAYIKALHGRLTRRTRMQIRGVSDMNSTIQVLTADNCAKRADQIQNRLQEAEQRLQELESM
+>sp|Q9UK08|GBG8_HUMAN Guanine nucleotide-binding protein G(I)/G(S)/G(O) subunit gamma-8 OS=Homo sapiens OX=9606 GN=GNG8 PE=1 SV=1
+MSNNMAKIAEARKTVEQLKLEVNIDRMKVSQAAAELLAFCETHAKDDPLVTPVPAAENPFRDKRLFCVLL
+>DECOY_sp|Q9UK08|GBG8_HUMAN Guanine nucleotide-binding protein G(I)/G(S)/G(O) subunit gamma-8 OS=Homo sapiens OX=9606 GN=GNG8 PE=1 SV=1
+LLVCFLRKDRFPNEAAPVPTVLPDDKAHTECFALLEAAAQSVKMRDINVELKLQEVTKRAEAIKAMNNSM
+>sp|P32456|GBP2_HUMAN Guanylate-binding protein 2 OS=Homo sapiens OX=9606 GN=GBP2 PE=1 SV=3
+MAPEINLPGPMSLIDNTKGQLVVNPEALKILSAITQPVVVVAIVGLYRTGKSYLMNKLAGKKNGFSLGSTVKSHTKGIWMWCVPHPKKPEHTLVLLDTEGLGDIEKGDNENDSWIFALAILLSSTFVYNSMGTINQQAMDQLHYVTELTDRIKANSSPGNNSVDDSADFVSFFPAFVWTLRDFTLELEVDGEPITADDYLELSLKLRKGTDKKSKSFNDPRLCIRKFFPKRKCFVFDWPAPKKYLAHLEQLKEEELNPDFIEQVAEFCSYILSHSNVKTLSGGIPVNGPRLESLVLTYVNAISSGDLPCMENAVLALAQIENSAAVEKAIAHYEQQMGQKVQLPTETLQELLDLHRDSEREAIEVFMKNSFKDVDQMFQRKLGAQLEARRDDFCKQNSKASSDCCMALLQDIFGPLEEDVKQGTFSKPGGYRLFTQKLQELKNKYYQVPRKGIQAKEVLKKYLESKEDVADALLQTDQSLSEKEKAIEVERIKAESAEAAKKMLEEIQKKNEEMMEQKEKSYQEHVKQLTEKMERDRAQLMAEQEKTLALKLQEQERLLKEGFENESKRLQKDIWDIQMRSKSLEPICNIL
+>DECOY_sp|P32456|GBP2_HUMAN Guanylate-binding protein 2 OS=Homo sapiens OX=9606 GN=GBP2 PE=1 SV=3
+LINCIPELSKSRMQIDWIDKQLRKSENEFGEKLLREQEQLKLALTKEQEAMLQARDREMKETLQKVHEQYSKEKQEMMEENKKQIEELMKKAAEASEAKIREVEIAKEKESLSQDTQLLADAVDEKSELYKKLVEKAQIGKRPVQYYKNKLEQLKQTFLRYGGPKSFTGQKVDEELPGFIDQLLAMCCDSSAKSNQKCFDDRRAELQAGLKRQFMQDVDKFSNKMFVEIAERESDRHLDLLEQLTETPLQVKQGMQQEYHAIAKEVAASNEIQALALVANEMCPLDGSSIANVYTLVLSELRPGNVPIGGSLTKVNSHSLIYSCFEAVQEIFDPNLEEEKLQELHALYKKPAPWDFVFCKRKPFFKRICLRPDNFSKSKKDTGKRLKLSLELYDDATIPEGDVELELTFDRLTWVFAPFFSVFDASDDVSNNGPSSNAKIRDTLETVYHLQDMAQQNITGMSNYVFTSSLLIALAFIWSDNENDGKEIDGLGETDLLVLTHEPKKPHPVCWMWIGKTHSKVTSGLSFGNKKGALKNMLYSKGTRYLGVIAVVVVPQTIASLIKLAEPNVVLQGKTNDILSMPGPLNIEPAM
+>sp|Q96PP9|GBP4_HUMAN Guanylate-binding protein 4 OS=Homo sapiens OX=9606 GN=GBP4 PE=2 SV=2
+MGERTLHAAVPTPGYPESESIMMAPICLVENQEEQLTVNSKALEILDKISQPVVVVAIVGLYRTGKSYLMNRLAGKRNGFPLGSTVQSETKGIWMWCVPHLSKPNHTLVLLDTEGLGDVEKSNPKNDSWIFALAVLLSSSFVYNSVSTINHQALEQLHYVTELAELIRAKSCPRPDEAEDSSEFASFFPDFIWTVRDFTLELKLDGNPITEDEYLENALKLIPGKNPKIQNSNMPRECIRHFFRKRKCFVFDRPTNDKQYLNHMDEVPEENLERHFLMQSDNFCSYIFTHAKTKTLREGIIVTGKRLGTLVVTYVDAINSGAVPCLENAVTALAQLENPAAVQRAADHYSQQMAQQLRLPTDTLQELLDVHAACEREAIAVFMEHSFKDENHEFQKKLVDTIEKKKGDFVLQNEEASAKYCQAELKRLSEHLTESILRGIFSVPGGHNLYLEEKKQVEWDYKLVPRKGVKANEVLQNFLQSQVVVEESILQSDKALTAGEKAIAAERAMKEAAEKEQELLREKQKEQQQMMEAQERSFQEYMAQMEKKLEEERENLLREHERLLKHKLKVQEEMLKEEFQKKSEQLNKEINQLKEKIESTKNEQLRLLKILDMASNIMIVTLPGASKLLGVGTKYLGSRI
+>DECOY_sp|Q96PP9|GBP4_HUMAN Guanylate-binding protein 4 OS=Homo sapiens OX=9606 GN=GBP4 PE=2 SV=2
+IRSGLYKTGVGLLKSAGPLTVIMINSAMDLIKLLRLQENKTSEIKEKLQNIEKNLQESKKQFEEKLMEEQVKLKHKLLREHERLLNEREEELKKEMQAMYEQFSREQAEMMQQQEKQKERLLEQEKEAAEKMAREAAIAKEGATLAKDSQLISEEVVVQSQLFNQLVENAKVGKRPVLKYDWEVQKKEELYLNHGGPVSFIGRLISETLHESLRKLEAQCYKASAEENQLVFDGKKKEITDVLKKQFEHNEDKFSHEMFVAIAERECAAHVDLLEQLTDTPLRLQQAMQQSYHDAARQVAAPNELQALATVANELCPVAGSNIADVYTVVLTGLRKGTVIIGERLTKTKAHTFIYSCFNDSQMLFHRELNEEPVEDMHNLYQKDNTPRDFVFCKRKRFFHRICERPMNSNQIKPNKGPILKLANELYEDETIPNGDLKLELTFDRVTWIFDPFFSAFESSDEAEDPRPCSKARILEALETVYHLQELAQHNITSVSNYVFSSSLLVALAFIWSDNKPNSKEVDGLGETDLLVLTHNPKSLHPVCWMWIGKTESQVTSGLPFGNRKGALRNMLYSKGTRYLGVIAVVVVPQSIKDLIELAKSNVTLQEEQNEVLCIPAMMISESEPYGPTPVAAHLTREGM
+>sp|Q6ZN66|GBP6_HUMAN Guanylate-binding protein 6 OS=Homo sapiens OX=9606 GN=GBP6 PE=2 SV=1
+MESGPKMLAPVCLVENNNEQLLVNQQAIQILEKISQPVVVVAIVGLYRTGKSYLMNHLAGQNHGFPLGSTVQSETKGIWMWCVPHPSKPNHTLVLLDTEGLGDVEKGDPKNDSWIFALAVLLCSTFVYNSMSTINHQALEQLHYVTELTELIKAKSSPRPDGVEDSTEFVSFFPDFLWTVRDFTLELKLNGHPITEDEYLENALKLIQGNNPRVQTSNFPRECIRRFFPKRKCFVFDRPTNDKDLLANIEKVSEKQLDPKFQEQTNIFCSYIFTHARTKTLREGITVTGNRLGTLAVTYVEAINSGAVPCLENAVITLAQRENSAAVQRAADYYSQQMAQRVKLPTDTLQELLDMHAACEREAIAIFMEHSFKDENQEFQKKFMETTMNKKGDFLLQNEESSVQYCQAKLNELSKGLMESISAGSFSVPGGHKLYMETKERIEQDYWQVPRKGVKAKEVFQRFLESQMVIEESILQSDKALTDREKAVAVDRAKKEAAEKEQELLKQKLQEQQQQMEAQDKSRKENIAQLKEKLQMEREHLLREQIMMLEHTQKVQNDWLHEGFKKKYEEMNAEISQFKRMIDTTKNDDTPWIARTLDNLADELTAILSAPAKLIGHGVKGVSSLFKKHKLPF
+>DECOY_sp|Q6ZN66|GBP6_HUMAN Guanylate-binding protein 6 OS=Homo sapiens OX=9606 GN=GBP6 PE=2 SV=1
+FPLKHKKFLSSVGKVGHGILKAPASLIATLEDALNDLTRAIWPTDDNKTTDIMRKFQSIEANMEEYKKKFGEHLWDNQVKQTHELMMIQERLLHEREMQLKEKLQAINEKRSKDQAEMQQQQEQLKQKLLEQEKEAAEKKARDVAVAKERDTLAKDSQLISEEIVMQSELFRQFVEKAKVGKRPVQWYDQEIREKTEMYLKHGGPVSFSGASISEMLGKSLENLKAQCYQVSSEENQLLFDGKKNMTTEMFKKQFEQNEDKFSHEMFIAIAERECAAHMDLLEQLTDTPLKVRQAMQQSYYDAARQVAASNERQALTIVANELCPVAGSNIAEVYTVALTGLRNGTVTIGERLTKTRAHTFIYSCFINTQEQFKPDLQKESVKEINALLDKDNTPRDFVFCKRKPFFRRICERPFNSTQVRPNNGQILKLANELYEDETIPHGNLKLELTFDRVTWLFDPFFSVFETSDEVGDPRPSSKAKILETLETVYHLQELAQHNITSMSNYVFTSCLLVALAFIWSDNKPDGKEVDGLGETDLLVLTHNPKSPHPVCWMWIGKTESQVTSGLPFGHNQGALHNMLYSKGTRYLGVIAVVVVPQSIKELIQIAQQNVLLQENNNEVLCVPALMKPGSEM
+>sp|P47870|GBRB2_HUMAN Gamma-aminobutyric acid receptor subunit beta-2 OS=Homo sapiens OX=9606 GN=GABRB2 PE=1 SV=2
+MWRVRKRGYFGIWSFPLIIAAVCAQSVNDPSNMSLVKETVDRLLKGYDIRLRPDFGGPPVAVGMNIDIASIDMVSEVNMDYTLTMYFQQAWRDKRLSYNVIPLNLTLDNRVADQLWVPDTYFLNDKKSFVHGVTVKNRMIRLHPDGTVLYGLRITTTAACMMDLRRYPLDEQNCTLEIESYGYTTDDIEFYWRGDDNAVTGVTKIELPQFSIVDYKLITKKVVFSTGSYPRLSLSFKLKRNIGYFILQTYMPSILITILSWVSFWINYDASAARVALGITTVLTMTTINTHLRETLPKIPYVKAIDMYLMGCFVFVFMALLEYALVNYIFFGRGPQRQKKAAEKAASANNEKMRLDVNKIFYKDIKQNGTQYRSLWDPTGNLSPTRRTTNYDFSLYTMDPHENILLSTLEIKNEMATSEAVMGLGDPRSTMLAYDASSIQYRKAGLPRHSFGRNALERHVAQKKSRLRRRASQLKITIPDLTDVNAIDRWSRIFFPVVFSFFNIVYWLYYVN
+>DECOY_sp|P47870|GBRB2_HUMAN Gamma-aminobutyric acid receptor subunit beta-2 OS=Homo sapiens OX=9606 GN=GABRB2 PE=1 SV=2
+NVYYLWYVINFFSFVVPFFIRSWRDIANVDTLDPITIKLQSARRRLRSKKQAVHRELANRGFSHRPLGAKRYQISSADYALMTSRPDGLGMVAESTAMENKIELTSLLINEHPDMTYLSFDYNTTRRTPSLNGTPDWLSRYQTGNQKIDKYFIKNVDLRMKENNASAAKEAAKKQRQPGRGFFIYNVLAYELLAMFVFVFCGMLYMDIAKVYPIKPLTERLHTNITTMTLVTTIGLAVRAASADYNIWFSVWSLITILISPMYTQLIFYGINRKLKFSLSLRPYSGTSFVVKKTILKYDVISFQPLEIKTVGTVANDDGRWYFEIDDTTYGYSEIELTCNQEDLPYRRLDMMCAATTTIRLGYLVTGDPHLRIMRNKVTVGHVFSKKDNLFYTDPVWLQDAVRNDLTLNLPIVNYSLRKDRWAQQFYMTLTYDMNVESVMDISAIDINMGVAVPPGGFDPRLRIDYGKLLRDVTEKVLSMNSPDNVSQACVAAIILPFSWIGFYGRKRVRWM
+>sp|O14764|GBRD_HUMAN Gamma-aminobutyric acid receptor subunit delta OS=Homo sapiens OX=9606 GN=GABRD PE=1 SV=2
+MDAPARLLAPLLLLCAQQLRGTRAMNDIGDYVGSNLEISWLPNLDGLIAGYARNFRPGIGGPPVNVALALEVASIDHISEANMEYTMTVFLHQSWRDSRLSYNHTNETLGLDSRFVDKLWLPDTFIVNAKSAWFHDVTVENKLIRLQPDGVILYSIRITSTVACDMDLAKYPMDEQECMLDLESYGYSSEDIVYYWSESQEHIHGLDKLQLAQFTITSYRFTTELMNFKSAGQFPRLSLHFHLRRNRGVYIIQSYMPSVLLVAMSWVSFWISQAAVPARVSLGITTVLTMTTLMVSARSSLPRASAIKALDVYFWICYVFVFAALVEYAFAHFNADYRKKQKAKVKVSRPRAEMDVRNAIVLFSLSAAGVTQELAISRRQRRVPGNLMGSYRSVGVETGETKKEGAARSGGQGGIRARLRPIDADTIDIYARAVFPAAFAAVNVIYWAAYAM
+>DECOY_sp|O14764|GBRD_HUMAN Gamma-aminobutyric acid receptor subunit delta OS=Homo sapiens OX=9606 GN=GABRD PE=1 SV=2
+MAYAAWYIVNVAAFAAPFVARAYIDITDADIPRLRARIGGQGGSRAAGEKKTEGTEVGVSRYSGMLNGPVRRQRRSIALEQTVGAASLSFLVIANRVDMEARPRSVKVKAKQKKRYDANFHAFAYEVLAAFVFVYCIWFYVDLAKIASARPLSSRASVMLTTMTLVTTIGLSVRAPVAAQSIWFSVWSMAVLLVSPMYSQIIYVGRNRRLHFHLSLRPFQGASKFNMLETTFRYSTITFQALQLKDLGHIHEQSESWYYVIDESSYGYSELDLMCEQEDMPYKALDMDCAVTSTIRISYLIVGDPQLRILKNEVTVDHFWASKANVIFTDPLWLKDVFRSDLGLTENTHNYSLRSDRWSQHLFVTMTYEMNAESIHDISAVELALAVNVPPGGIGPRFNRAYGAILGDLNPLWSIELNSGVYDGIDNMARTGRLQQACLLLLPALLRAPADM
+>sp|Q8N1C3|GBRG1_HUMAN Gamma-aminobutyric acid receptor subunit gamma-1 OS=Homo sapiens OX=9606 GN=GABRG1 PE=2 SV=2
+MGPLKAFLFSPFLLRSQSRGVRLVFLLLTLHLGNCVDKADDEDDEDLTVNKTWVLAPKIHEGDITQILNSLLQGYDNKLRPDIGVRPTVIETDVYVNSIGPVDPINMEYTIDIIFAQTWFDSRLKFNSTMKVLMLNSNMVGKIWIPDTFFRNSRKSDAHWITTPNRLLRIWNDGRVLYTLRLTINAECYLQLHNFPMDEHSCPLEFSSYGYPKNEIEYKWKKPSVEVADPKYWRLYQFAFVGLRNSTEITHTISGDYVIMTIFFDLSRRMGYFTIQTYIPCILTVVLSWVSFWINKDAVPARTSLGITTVLTMTTLSTIARKSLPKVSYVTAMDLFVSVCFIFVFAALMEYGTLHYFTSNQKGKTATKDRKLKNKASMTPGLHPGSTLIPMNNISVPQEDDYGYQCLEGKDCASFFCCFEDCRTGSWREGRIHIRIAKIDSYSRIFFPTAFALFNLVYWVGYLYL
+>DECOY_sp|Q8N1C3|GBRG1_HUMAN Gamma-aminobutyric acid receptor subunit gamma-1 OS=Homo sapiens OX=9606 GN=GABRG1 PE=2 SV=2
+LYLYGVWYVLNFLAFATPFFIRSYSDIKAIRIHIRGERWSGTRCDEFCCFFSACDKGELCQYGYDDEQPVSINNMPILTSGPHLGPTMSAKNKLKRDKTATKGKQNSTFYHLTGYEMLAAFVFIFCVSVFLDMATVYSVKPLSKRAITSLTTMTLVTTIGLSTRAPVADKNIWFSVWSLVVTLICPIYTQITFYGMRRSLDFFITMIVYDGSITHTIETSNRLGVFAFQYLRWYKPDAVEVSPKKWKYEIENKPYGYSSFELPCSHEDMPFNHLQLYCEANITLRLTYLVRGDNWIRLLRNPTTIWHADSKRSNRFFTDPIWIKGVMNSNLMLVKMTSNFKLRSDFWTQAFIIDITYEMNIPDVPGISNVYVDTEIVTPRVGIDPRLKNDYGQLLSNLIQTIDGEHIKPALVWTKNVTLDEDDEDDAKDVCNGLHLTLLLFVLRVGRSQSRLLFPSFLFAKLPGM
+>sp|P18507|GBRG2_HUMAN Gamma-aminobutyric acid receptor subunit gamma-2 OS=Homo sapiens OX=9606 GN=GABRG2 PE=1 SV=2
+MSSPNIWSTGSSVYSTPVFSQKMTVWILLLLSLYPGFTSQKSDDDYEDYASNKTWVLTPKVPEGDVTVILNNLLEGYDNKLRPDIGVKPTLIHTDMYVNSIGPVNAINMEYTIDIFFAQTWYDRRLKFNSTIKVLRLNSNMVGKIWIPDTFFRNSKKADAHWITTPNRMLRIWNDGRVLYTLRLTIDAECQLQLHNFPMDEHSCPLEFSSYGYPREEIVYQWKRSSVEVGDTRSWRLYQFSFVGLRNTTEVVKTTSGDYVVMSVYFDLSRRMGYFTIQTYIPCTLIVVLSWVSFWINKDAVPARTSLGITTVLTMTTLSTIARKSLPKVSYVTAMDLFVSVCFIFVFSALVEYGTLHYFVSNRKPSKDKDKKKKNPAPTIDIRPRSATIQMNNATHLQERDEEYGYECLDGKDCASFFCCFEDCRTGAWRHGRIHIRIAKMDSYARIFFPTAFCLFNLVYWVSYLYL
+>DECOY_sp|P18507|GBRG2_HUMAN Gamma-aminobutyric acid receptor subunit gamma-2 OS=Homo sapiens OX=9606 GN=GABRG2 PE=1 SV=2
+LYLYSVWYVLNFLCFATPFFIRAYSDMKAIRIHIRGHRWAGTRCDEFCCFFSACDKGDLCEYGYEEDREQLHTANNMQITASRPRIDITPAPNKKKKDKDKSPKRNSVFYHLTGYEVLASFVFIFCVSVFLDMATVYSVKPLSKRAITSLTTMTLVTTIGLSTRAPVADKNIWFSVWSLVVILTCPIYTQITFYGMRRSLDFYVSMVVYDGSTTKVVETTNRLGVFSFQYLRWSRTDGVEVSSRKWQYVIEERPYGYSSFELPCSHEDMPFNHLQLQCEADITLRLTYLVRGDNWIRLMRNPTTIWHADAKKSNRFFTDPIWIKGVMNSNLRLVKITSNFKLRRDYWTQAFFIDITYEMNIANVPGISNVYMDTHILTPKVGIDPRLKNDYGELLNNLIVTVDGEPVKPTLVWTKNSAYDEYDDDSKQSTFGPYLSLLLLIWVTMKQSFVPTSYVSSGTSWINPSSM
+>sp|A8MPY1|GBRR3_HUMAN Gamma-aminobutyric acid receptor subunit rho-3 OS=Homo sapiens OX=9606 GN=GABRR3 PE=3 SV=2
+MVLAFQLVSFTYIWIILKPNVCAASNIKMTHQRCSSSMKQTCKQETRMKKDDSTKARPQKYEQLLHIEDNDFAMRPGFGGSPVPVGIDVHVESIDSISETNMDFTMTFYLRHYWKDERLSFPSTANKSMTFDHRLTRKIWVPDIFFVHSKRSFIHDTTMENIMLRVHPDGNVLLSLRITVSAMCFMDFSRFPLDTQNCSLELESYAYNEDDLMLYWKHGNKSLNTEEHMSLSQFFIEDFSASSGLAFYSSTGWYNRLFINFVLRRHVFFFVLQTYFPAILMVMLSWVSFWIDRRAVPARVSLGITTVLTMSTIITAVSASMPQVSYLKAVDVYLWVSSLFVFLSVIEYAAVNYLTTVEERKQFKKTGKISRMYNIDAVQAMAFDGCYHDSEIDMDQTSLSLNSEDFMRRKSICSPSTDSSRIKRRKSLGGHVGRIILENNHVIDTYSRILFPIVYILFNLFYWGVYV
+>DECOY_sp|A8MPY1|GBRR3_HUMAN Gamma-aminobutyric acid receptor subunit rho-3 OS=Homo sapiens OX=9606 GN=GABRR3 PE=3 SV=2
+VYVGWYFLNFLIYVIPFLIRSYTDIVHNNELIIRGVHGGLSKRRKIRSSDTSPSCISKRRMFDESNLSLSTQDMDIESDHYCGDFAMAQVADINYMRSIKGTKKFQKREEVTTLYNVAAYEIVSLFVFLSSVWLYVDVAKLYSVQPMSASVATIITSMTLVTTIGLSVRAPVARRDIWFSVWSLMVMLIAPFYTQLVFFFVHRRLVFNIFLRNYWGTSSYFALGSSASFDEIFFQSLSMHEETNLSKNGHKWYLMLDDENYAYSELELSCNQTDLPFRSFDMFCMASVTIRLSLLVNGDPHVRLMINEMTTDHIFSRKSHVFFIDPVWIKRTLRHDFTMSKNATSPFSLREDKWYHRLYFTMTFDMNTESISDISEVHVDIGVPVPSGGFGPRMAFDNDEIHLLQEYKQPRAKTSDDKKMRTEQKCTQKMSSSCRQHTMKINSAACVNPKLIIWIYTFSVLQFALVM
+>sp|Q8WZA8|GC224_HUMAN Putative gastric cancer-related gene 224 protein OS=Homo sapiens OX=9606 GN=GCRG224 PE=5 SV=1
+MIPGNPSPGADLAVSKHFFSLSWFCGLLLLESKQK
+>DECOY_sp|Q8WZA8|GC224_HUMAN Putative gastric cancer-related gene 224 protein OS=Homo sapiens OX=9606 GN=GCRG224 PE=5 SV=1
+KQKSELLLLGCFWSLSFFHKSVALDAGPSPNGPIM
+>sp|Q96CN9|GCC1_HUMAN GRIP and coiled-coil domain-containing protein 1 OS=Homo sapiens OX=9606 GN=GCC1 PE=1 SV=1
+MEKFGMNFGGGPSKKDLLETIETQKKQLLQYQARLKDVVRAYKSLLKEKEALEASIKVLSVSHEADVGLAGVQLPGLTFPDSVDDRCSTHSEDSTGTATSLDTAASLTSTKGEFGVEDDRPARGPPPPKSEEASWSESGVSSSSGDGPFAGGEVDKRLHQLKTQLATLTSSLATVTQEKSRMEASYLADKKKMKQDLEDASNKAEEERARLEGELKGLQEQIAETKARLITQQHDRAQEQSDHALMLRELQKLLQEERTQRQDLELRLEETREALAGRAYAAEQMEGFELQTKQLTREVEELKSELQAIRDEKNQPDPRLQELQEEAARLKSHFQAQLQQEMRKTALAEDQLRQQSQVEEQRVAALENQISEVSELLGTYEKAKQKDQLAIQKLKERILQLDLENKTLALAASSRSPLDSHGEESSLDVNVLKDKMEKLKRLLQVAARKSQVTLDVEKLCDLEIMPSSEAADGEKATALYYQQELKQLKEEFERYKMRAQVVLKSKNTKDGNLGKELEAAQEQLAELKEKYISLRLSCEELEHQHQQEADDWKQELARLQQLHRQELERCQLDFRDRTLKLEEELHKQRDRALAVLTEKDLELEQLRSVALASGLPGRRSPVGGGGPGDPADTSSSDSLTQALQLAAANEPTFFLYAEQLARKEVEITSLRKQKHRLEVEVHQLQDRLLEEGERHREEVAALQSHIEKNIRDQSREGANLEYLKNIIYRFLTLPDSLGRQQTLTAILTILHFSPEEKQVIMRLPTSASWWPSGKR
+>DECOY_sp|Q96CN9|GCC1_HUMAN GRIP and coiled-coil domain-containing protein 1 OS=Homo sapiens OX=9606 GN=GCC1 PE=1 SV=1
+RKGSPWWSASTPLRMIVQKEEPSFHLITLIATLTQQRGLSDPLTLFRYIINKLYELNAGERSQDRINKEIHSQLAAVEERHREGEELLRDQLQHVEVELRHKQKRLSTIEVEKRALQEAYLFFTPENAAALQLAQTLSDSSSTDAPDGPGGGGVPSRRGPLGSALAVSRLQELELDKETLVALARDRQKHLEEELKLTRDRFDLQCRELEQRHLQQLRALEQKWDDAEQQHQHELEECSLRLSIYKEKLEALQEQAAELEKGLNGDKTNKSKLVVQARMKYREFEEKLQKLEQQYYLATAKEGDAAESSPMIELDCLKEVDLTVQSKRAAVQLLRKLKEMKDKLVNVDLSSEEGHSDLPSRSSAALALTKNELDLQLIREKLKQIALQDKQKAKEYTGLLESVESIQNELAAVRQEEVQSQQRLQDEALATKRMEQQLQAQFHSKLRAAEEQLEQLRPDPQNKEDRIAQLESKLEEVERTLQKTQLEFGEMQEAAYARGALAERTEELRLELDQRQTREEQLLKQLERLMLAHDSQEQARDHQQTILRAKTEAIQEQLGKLEGELRAREEEAKNSADELDQKMKKKDALYSAEMRSKEQTVTALSSTLTALQTKLQHLRKDVEGGAFPGDGSSSSVGSESWSAEESKPPPPGRAPRDDEVGFEGKTSTLSAATDLSTATGTSDESHTSCRDDVSDPFTLGPLQVGALGVDAEHSVSLVKISAELAEKEKLLSKYARVVDKLRAQYQLLQKKQTEITELLDKKSPGGGFNMGFKEM
+>sp|P16383|GCFC2_HUMAN GC-rich sequence DNA-binding factor 2 OS=Homo sapiens OX=9606 GN=GCFC2 PE=1 SV=2
+MAHRPKRTFRQRAADSSDSDGAEESPAEPGAPRELPVPGSAEEEPPSGGGRAQVAGLPHRVRGPRGRGRVWASSRRATKAAPRADEGSESRTLDVSTDEEDKIHHSSESKDDQGLSSDSSSSLGEKELSSTVKIPDAAFIQAARRKRELARAQDDYISLDVQHTSSISGMKRESEDDPESEPDDHEKRIPFTLRPQTLRQRMAEESISRNEETSEESQEDEKQDTWEQQQMRKAVKIIEERDIDLSCGNGSSKVKKFDTSISFPPVNLEIIKKQLNTRLTLLQETHRSHLREYEKYVQDVKSSKSTIQNLESSSNQALNCKFYKSMKIYVENLIDCLNEKIINIQEIESSMHALLLKQAMTFMKRRQDELKHESTYLQQLSRKDETSTSGNFSVDEKTQWILEEIESRRTKRRQARVLSGNCNHQEGTSSDDELPSAEMIDFQKSQGDILQKQKKVFEEVQDDFCNIQNILLKFQQWREKFPDSYYEAFISLCIPKLLNPLIRVQLIDWNPLKLESTGLKEMPWFKSVEEFMDSSVEDSKKESSSDKKVLSAIINKTIIPRLTDFVEFLWDPLSTSQTTSLITHCRVILEEHSTCENEVSKSRQDLLKSIVSRMKKAVEDDVFIPLYPKSAVENKTSPHSKFQERQFWSGLKLFRNILLWNGLLTDDTLQELGLGKLLNRYLIIALLNATPGPDVVKKCNQVAACLPEKWFENSAMRTSIPQLENFIQFLLQSAHKLSRSEFRDEVEEIILILVKIKALNQAESFIGEHHLDHLKSLIKED
+>DECOY_sp|P16383|GCFC2_HUMAN GC-rich sequence DNA-binding factor 2 OS=Homo sapiens OX=9606 GN=GCFC2 PE=1 SV=2
+DEKILSKLHDLHHEGIFSEAQNLAKIKVLILIIEEVEDRFESRSLKHASQLLFQIFNELQPISTRMASNEFWKEPLCAAVQNCKKVVDPGPTANLLAIILYRNLLKGLGLEQLTDDTLLGNWLLINRFLKLGSWFQREQFKSHPSTKNEVASKPYLPIFVDDEVAKKMRSVISKLLDQRSKSVENECTSHEELIVRCHTILSTTQSTSLPDWLFEVFDTLRPIITKNIIASLVKKDSSSEKKSDEVSSDMFEEVSKFWPMEKLGTSELKLPNWDILQVRILPNLLKPICLSIFAEYYSDPFKERWQQFKLLINQINCFDDQVEEFVKKQKQLIDGQSKQFDIMEASPLEDDSSTGEQHNCNGSLVRAQRRKTRRSEIEELIWQTKEDVSFNGSTSTEDKRSLQQLYTSEHKLEDQRRKMFTMAQKLLLAHMSSEIEQINIIKENLCDILNEVYIKMSKYFKCNLAQNSSSELNQITSKSSKVDQVYKEYERLHSRHTEQLLTLRTNLQKKIIELNVPPFSISTDFKKVKSSGNGCSLDIDREEIIKVAKRMQQQEWTDQKEDEQSEESTEENRSISEEAMRQRLTQPRLTFPIRKEHDDPESEPDDESERKMGSISSTHQVDLSIYDDQARALERKRRAAQIFAADPIKVTSSLEKEGLSSSSDSSLGQDDKSESSHHIKDEEDTSVDLTRSESGEDARPAAKTARRSSAWVRGRGRPGRVRHPLGAVQARGGGSPPEEEASGPVPLERPAGPEAPSEEAGDSDSSDAARQRFTRKPRHAM
+>sp|Q02742|GCNT1_HUMAN Beta-1,3-galactosyl-O-glycosyl-glycoprotein beta-1,6-N-acetylglucosaminyltransferase OS=Homo sapiens OX=9606 GN=GCNT1 PE=1 SV=2
+MLRTLLRRRLFSYPTKYYFMVLVLSLITFSVLRIHQKPEFVSVRHLELAGENPSSDINCTKVLQGDVNEIQKVKLEILTVKFKKRPRWTPDDYINMTSDCSSFIKRRKYIVEPLSKEEAEFPIAYSIVVHHKIEMLDRLLRAIYMPQNFYCIHVDTKSEDSYLAAVMGIASCFSNVFVASRLESVVYASWSRVQADLNCMKDLYAMSANWKYLINLCGMDFPIKTNLEIVRKLKLLMGENNLETERMPSHKEERWKKRYEVVNGKLTNTGTVKMLPPLETPLFSGSAYFVVSREYVGYVLQNEKIQKLMEWAQDTYSPDEYLWATIQRIPEVPGSLPASHKYDLSDMQAVARFVKWQYFEGDVSKGAPYPPCDGVHVRSVCIFGAGDLNWMLRKHHLFANKFDVDVDLFAIQCLDEHLRHKALETLKH
+>DECOY_sp|Q02742|GCNT1_HUMAN Beta-1,3-galactosyl-O-glycosyl-glycoprotein beta-1,6-N-acetylglucosaminyltransferase OS=Homo sapiens OX=9606 GN=GCNT1 PE=1 SV=2
+HKLTELAKHRLHEDLCQIAFLDVDVDFKNAFLHHKRLMWNLDGAGFICVSRVHVGDCPPYPAGKSVDGEFYQWKVFRAVAQMDSLDYKHSAPLSGPVEPIRQITAWLYEDPSYTDQAWEMLKQIKENQLVYGVYERSVVFYASGSFLPTELPPLMKVTGTNTLKGNVVEYRKKWREEKHSPMRETELNNEGMLLKLKRVIELNTKIPFDMGCLNILYKWNASMAYLDKMCNLDAQVRSWSAYVVSELRSAVFVNSFCSAIGMVAALYSDESKTDVHICYFNQPMYIARLLRDLMEIKHHVVISYAIPFEAEEKSLPEVIYKRRKIFSSCDSTMNIYDDPTWRPRKKFKVTLIELKVKQIENVDGQLVKTCNIDSSPNEGALELHRVSVFEPKQHIRLVSFTILSLVLVMFYYKTPYSFLRRRLLTRLM
+>sp|P23434|GCSH_HUMAN Glycine cleavage system H protein, mitochondrial OS=Homo sapiens OX=9606 GN=GCSH PE=1 SV=2
+MALRVVRSVRALLCTLRAVPSPAAPCPPRPWQLGVGAVRTLRTGPALLSVRKFTEKHEWVTTENGIGTVGISNFAQEALGDVVYCSLPEVGTKLNKQDEFGALESVKAASELYSPLSGEVTEINEALAENPGLVNKSCYEDGWLIKMTLSNPSELDELMSEEAYEKYIKSIEE
+>DECOY_sp|P23434|GCSH_HUMAN Glycine cleavage system H protein, mitochondrial OS=Homo sapiens OX=9606 GN=GCSH PE=1 SV=2
+EEISKIYKEYAEESMLEDLESPNSLTMKILWGDEYCSKNVLGPNEALAENIETVEGSLPSYLESAAKVSELAGFEDQKNLKTGVEPLSCYVVDGLAEQAFNSIGVTGIGNETTVWEHKETFKRVSLLAPGTRLTRVAGVGLQWPRPPCPAAPSPVARLTCLLARVSRVVRLAM
+>sp|P23378|GCSP_HUMAN Glycine dehydrogenase (decarboxylating), mitochondrial OS=Homo sapiens OX=9606 GN=GLDC PE=1 SV=2
+MQSCARAWGLRLGRGVGGGRRLAGGSGPCWAPRSRDSSSGGGDSAAAGASRLLERLLPRHDDFARRHIGPGDKDQREMLQTLGLASIDELIEKTVPANIRLKRPLKMEDPVCENEILATLHAISSKNQIWRSYIGMGYYNCSVPQTILRNLLENSGWITQYTPYQPEVSQGRLESLLNYQTMVCDITGLDMANASLLDEGTAAAEALQLCYRHNKRRKFLVDPRCHPQTIAVVQTRAKYTGVLTELKLPCEMDFSGKDVSGVLFQYPDTEGKVEDFTELVERAHQSGSLACCATDLLALCILRPPGEFGVDIALGSSQRFGVPLGYGGPHAAFFAVRESLVRMMPGRMVGVTRDATGKEVYRLALQTREQHIRRDKATSNICTAQALLANMAAMFAIYHGSHGLEHIARRVHNATLILSEGLKRAGHQLQHDLFFDTLKIQCGCSVKEVLGRAAQRQINFRLFEDGTLGISLDETVNEKDLDDLLWIFGCESSAELVAESMGEECRGIPGSVFKRTSPFLTHQVFNSYHSETNIVRYMKKLENKDISLVHSMIPLGSCTMKLNSSSELAPITWKEFANIHPFVPLDQAQGYQQLFRELEKDLCELTGYDQVCFQPNSGAQGEYAGLATIRAYLNQKGEGHRTVCLIPKSAHGTNPASAHMAGMKIQPVEVDKYGNIDAVHLKAMVDKHKENLAAIMITYPSTNGVFEENISDVCDLIHQHGGQVYLDGANMNAQVGICRPGDFGSDVSHLNLHKTFCIPHGGGGPGMGPIGVKKHLAPFLPNHPVISLKRNEDACPVGTVSAAPWGSSSILPISWAYIKMMGGKGLKQATETAILNANYMAKRLETHYRILFRGARGYVGHEFILDTRPFKKSANIEAVDVAKRLQDYGFHAPTMSWPVAGTLMVEPTESEDKAELDRFCDAMISIRQEIADIEEGRIDPRVNPLKMSPHSLTCVTSSHWDRPYSREVAAFPLPFVKPENKFWPTIARIDDIYGDQHLVCTCPPMEVYESPFSEQKRASS
+>DECOY_sp|P23378|GCSP_HUMAN Glycine dehydrogenase (decarboxylating), mitochondrial OS=Homo sapiens OX=9606 GN=GLDC PE=1 SV=2
+SSARKQESFPSEYVEMPPCTCVLHQDGYIDDIRAITPWFKNEPKVFPLPFAAVERSYPRDWHSSTVCTLSHPSMKLPNVRPDIRGEEIDAIEQRISIMADCFRDLEAKDESETPEVMLTGAVPWSMTPAHFGYDQLRKAVDVAEINASKKFPRTDLIFEHGVYGRAGRFLIRYHTELRKAMYNANLIATETAQKLGKGGMMKIYAWSIPLISSSGWPAASVTGVPCADENRKLSIVPHNPLFPALHKKVGIPGMGPGGGGHPICFTKHLNLHSVDSGFDGPRCIGVQANMNAGDLYVQGGHQHILDCVDSINEEFVGNTSPYTIMIAALNEKHKDVMAKLHVADINGYKDVEVPQIKMGAMHASAPNTGHASKPILCVTRHGEGKQNLYARITALGAYEGQAGSNPQFCVQDYGTLECLDKELERFLQQYGQAQDLPVFPHINAFEKWTIPALESSSNLKMTCSGLPIMSHVLSIDKNELKKMYRVINTESHYSNFVQHTLFPSTRKFVSGPIGRCEEGMSEAVLEASSECGFIWLLDDLDKENVTEDLSIGLTGDEFLRFNIQRQAARGLVEKVSCGCQIKLTDFFLDHQLQHGARKLGESLILTANHVRRAIHELGHSGHYIAFMAAMNALLAQATCINSTAKDRRIHQERTQLALRYVEKGTADRTVGVMRGPMMRVLSERVAFFAAHPGGYGLPVGFRQSSGLAIDVGFEGPPRLICLALLDTACCALSGSQHAREVLETFDEVKGETDPYQFLVGSVDKGSFDMECPLKLETLVGTYKARTQVVAITQPHCRPDVLFKRRKNHRYCLQLAEAAATGEDLLSANAMDLGTIDCVMTQYNLLSELRGQSVEPQYPTYQTIWGSNELLNRLITQPVSCNYYGMGIYSRWIQNKSSIAHLTALIENECVPDEMKLPRKLRINAPVTKEILEDISALGLTQLMERQDKDGPGIHRRAFDDHRPLLRELLRSAGAAASDGGGSSSDRSRPAWCPGSGGALRRGGGVGRGLRLGWARACSQM
+>sp|Q9NXN4|GDAP2_HUMAN Ganglioside-induced differentiation-associated protein 2 OS=Homo sapiens OX=9606 GN=GDAP2 PE=1 SV=1
+MDPLGAPSQFVDVDTLPSWGDSCQDELNSSDTTAEIFQEDTVRSPFLYNKDVNGKVVLWKGDVALLNCTAIVNTSNESLTDKNPVSESIFMLAGPDLKEDLQKLKGCRTGEAKLTKGFNLAARFIIHTVGPKYKSRYRTAAESSLYSCYRNVLQLAKEQSMSSVGFCVINSAKRGYPLEDATHIALRTVRRFLEIHGETIEKVVFAVSDLEEGTYQKLLPLYFPRSLKEENRSLPYLPADIGNAEGEPVVPERQIRISEKPGAPEDNQEEEDEGLGVDLSFIGSHAFARMEGDIDKQRKLILQGQLSEAALQKQHQRNYNRWLCQARSEDLSDIASLKALYQTGVDNCGRTVMVVVGRNIPVTLIDMDKALLYFIHVMDHIAVKEYVLVYFHTLTSEYNHLDSDFLKKLYDVVDVKYKRNLKAVYFVHPTFRSKVSTWFFTTFSVSGLKDKIHHVDSLHQLFSAISPEQIDFPPFVLEYDARENGPYYTSYPPSPDL
+>DECOY_sp|Q9NXN4|GDAP2_HUMAN Ganglioside-induced differentiation-associated protein 2 OS=Homo sapiens OX=9606 GN=GDAP2 PE=1 SV=1
+LDPSPPYSTYYPGNERADYELVFPPFDIQEPSIASFLQHLSDVHHIKDKLGSVSFTTFFWTSVKSRFTPHVFYVAKLNRKYKVDVVDYLKKLFDSDLHNYESTLTHFYVLVYEKVAIHDMVHIFYLLAKDMDILTVPINRGVVVMVTRGCNDVGTQYLAKLSAIDSLDESRAQCLWRNYNRQHQKQLAAESLQGQLILKRQKDIDGEMRAFAHSGIFSLDVGLGEDEEEQNDEPAGPKESIRIQREPVVPEGEANGIDAPLYPLSRNEEKLSRPFYLPLLKQYTGEELDSVAFVVKEITEGHIELFRRVTRLAIHTADELPYGRKASNIVCFGVSSMSQEKALQLVNRYCSYLSSEAATRYRSKYKPGVTHIIFRAALNFGKTLKAEGTRCGKLKQLDEKLDPGALMFISESVPNKDTLSENSTNVIATCNLLAVDGKWLVVKGNVDKNYLFPSRVTDEQFIEATTDSSNLEDQCSDGWSPLTDVDVFQSPAGLPDM
+>sp|P35573|GDE_HUMAN Glycogen debranching enzyme OS=Homo sapiens OX=9606 GN=AGL PE=1 SV=3
+MGHSKQIRILLLNEMEKLEKTLFRLEQGYELQFRLGPTLQGKAVTVYTNYPFPGETFNREKFRSLDWENPTEREDDSDKYCKLNLQQSGSFQYYFLQGNEKSGGGYIVVDPILRVGADNHVLPLDCVTLQTFLAKCLGPFDEWESRLRVAKESGYNMIHFTPLQTLGLSRSCYSLANQLELNPDFSRPNRKYTWNDVGQLVEKLKKEWNVICITDVVYNHTAANSKWIQEHPECAYNLVNSPHLKPAWVLDRALWRFSCDVAEGKYKEKGIPALIENDHHMNSIRKIIWEDIFPKLKLWEFFQVDVNKAVEQFRRLLTQENRRVTKSDPNQHLTIIQDPEYRRFGCTVDMNIALTTFIPHDKGPAAIEECCNWFHKRMEELNSEKHRLINYHQEQAVNCLLGNVFYERLAGHGPKLGPVTRKHPLVTRYFTFPFEEIDFSMEESMIHLPNKACFLMAHNGWVMGDDPLRNFAEPGSEVYLRRELICWGDSVKLRYGNKPEDCPYLWAHMKKYTEITATYFQGVRLDNCHSTPLHVAEYMLDAARNLQPNLYVVAELFTGSEDLDNVFVTRLGISSLIREAMSAYNSHEEGRLVYRYGGEPVGSFVQPCLRPLMPAIAHALFMDITHDNECPIVHRSAYDALPSTTIVSMACCASGSTRGYDELVPHQISVVSEERFYTKWNPEALPSNTGEVNFQSGIIAARCAISKLHQELGAKGFIQVYVDQVDEDIVAVTRHSPSIHQSVVAVSRTAFRNPKTSFYSKEVPQMCIPGKIEEVVLEARTIERNTKPYRKDENSINGTPDITVEIREHIQLNESKIVKQAGVATKGPNEYIQEIEFENLSPGSVIIFRVSLDPHAQVAVGILRNHLTQFSPHFKSGSLAVDNADPILKIPFASLASRLTLAELNQILYRCESEEKEDGGGCYDIPNWSALKYAGLQGLMSVLAEIRPKNDLGHPFCNNLRSGDWMIDYVSNRLISRSGTIAEVGKWLQAMFFYLKQIPRYLIPCYFDAILIGAYTTLLDTAWKQMSSFVQNGSTFVKHLSLGSVQLCGVGKFPSLPILSPALMDVPYRLNEITKEKEQCCVSLAAGLPHFSSGIFRCWGRDTFIALRGILLITGRYVEARNIILAFAGTLRHGLIPNLLGEGIYARYNCRDAVWWWLQCIQDYCKMVPNGLDILKCPVSRMYPTDDSAPLPAGTLDQPLFEVIQEAMQKHMQGIQFRERNAGPQIDRNMKDEGFNITAGVDEETGFVYGGNRFNCGTWMDKMGESDRARNRGIPATPRDGSAVEIVGLSKSAVRWLLELSKKNIFPYHEVTVKRHGKAIKVSYDEWNRKIQDNFEKLFHVSEDPSDLNEKHPNLVHKRGIYKDSYGASSPWCDYQLRPNFTIAMVVAPELFTTEKAWKALEIAEKKLLGPLGMKTLDPDDMVYCGIYDNALDNDNYNLAKGFNYHQGPEWLWPIGYFLRAKLYFSRLMGPETTAKTIVLVKNVLSRHYVHLERSPWKGLPELTNENAQYCPFSCETQAWSIATILETLYDL
+>DECOY_sp|P35573|GDE_HUMAN Glycogen debranching enzyme OS=Homo sapiens OX=9606 GN=AGL PE=1 SV=3
+LDYLTELITAISWAQTECSFPCYQANENTLEPLGKWPSRELHVYHRSLVNKVLVITKATTEPGMLRSFYLKARLFYGIPWLWEPGQHYNFGKALNYNDNDLANDYIGCYVMDDPDLTKMGLPGLLKKEAIELAKWAKETTFLEPAVVMAITFNPRLQYDCWPSSAGYSDKYIGRKHVLNPHKENLDSPDESVHFLKEFNDQIKRNWEDYSVKIAKGHRKVTVEHYPFINKKSLELLWRVASKSLGVIEVASGDRPTAPIGRNRARDSEGMKDMWTGCNFRNGGYVFGTEEDVGATINFGEDKMNRDIQPGANRERFQIGQMHKQMAEQIVEFLPQDLTGAPLPASDDTPYMRSVPCKLIDLGNPVMKCYDQICQLWWWVADRCNYRAYIGEGLLNPILGHRLTGAFALIINRAEVYRGTILLIGRLAIFTDRGWCRFIGSSFHPLGAALSVCCQEKEKTIENLRYPVDMLAPSLIPLSPFKGVGCLQVSGLSLHKVFTSGNQVFSSMQKWATDLLTTYAGILIADFYCPILYRPIQKLYFFMAQLWKGVEAITGSRSILRNSVYDIMWDGSRLNNCFPHGLDNKPRIEALVSMLGQLGAYKLASWNPIDYCGGGDEKEESECRYLIQNLEALTLRSALSAFPIKLIPDANDVALSGSKFHPSFQTLHNRLIGVAVQAHPDLSVRFIIVSGPSLNEFEIEQIYENPGKTAVGAQKVIKSENLQIHERIEVTIDPTGNISNEDKRYPKTNREITRAELVVEEIKGPICMQPVEKSYFSTKPNRFATRSVAVVSQHISPSHRTVAVIDEDVQDVYVQIFGKAGLEQHLKSIACRAAIIGSQFNVEGTNSPLAEPNWKTYFREESVVSIQHPVLEDYGRTSGSACCAMSVITTSPLADYASRHVIPCENDHTIDMFLAHAIAPMLPRLCPQVFSGVPEGGYRYVLRGEEHSNYASMAERILSSIGLRTVFVNDLDESGTFLEAVVYLNPQLNRAADLMYEAVHLPTSHCNDLRVGQFYTATIETYKKMHAWLYPCDEPKNGYRLKVSDGWCILERRLYVESGPEAFNRLPDDGMVWGNHAMLFCAKNPLHIMSEEMSFDIEEFPFTFYRTVLPHKRTVPGLKPGHGALREYFVNGLLCNVAQEQHYNILRHKESNLEEMRKHFWNCCEEIAAPGKDHPIFTTLAINMDVTCGFRRYEPDQIITLHQNPDSKTVRRNEQTLLRRFQEVAKNVDVQFFEWLKLKPFIDEWIIKRISNMHHDNEILAPIGKEKYKGEAVDCSFRWLARDLVWAPKLHPSNVLNYACEPHEQIWKSNAATHNYVVDTICIVNWEKKLKEVLQGVDNWTYKRNPRSFDPNLELQNALSYCSRSLGLTQLPTFHIMNYGSEKAVRLRSEWEDFPGLCKALFTQLTVCDLPLVHNDAGVRLIPDVVIYGGGSKENGQLFYYQFSGSQQLNLKCYKDSDDERETPNEWDLSRFKERNFTEGPFPYNTYVTVAKGQLTPGLRFQLEYGQELRFLTKELKEMENLLLIRIQKSHGM
+>sp|Q9NR23|GDF3_HUMAN Growth/differentiation factor 3 OS=Homo sapiens OX=9606 GN=GDF3 PE=1 SV=3
+MLRFLPDLAFSFLLILALGQAVQFQEYVFLQFLGLDKAPSPQKFQPVPYILKKIFQDREAAATTGVSRDLCYVKELGVRGNVLRFLPDQGFFLYPKKISQASSCLQKLLYFNLSAIKEREQLTLAQLGLDLGPNSYYNLGPELELALFLVQEPHVWGQTTPKPGKMFVLRSVPWPQGAVHFNLLDVAKDWNDNPRKNFGLFLEILVKEDRDSGVNFQPEDTCARLRCSLHASLLVVTLNPDQCHPSRKRRAAIPVPKLSCKNLCHRHQLFINFRDLGWHKWIIAPKGFMANYCHGECPFSLTISLNSSNYAFMQALMHAVDPEIPQAVCIPTKLSPISMLYQDNNDNVILRHYEDMVVDECGCG
+>DECOY_sp|Q9NR23|GDF3_HUMAN Growth/differentiation factor 3 OS=Homo sapiens OX=9606 GN=GDF3 PE=1 SV=3
+GCGCEDVVMDEYHRLIVNDNNDQYLMSIPSLKTPICVAQPIEPDVAHMLAQMFAYNSSNLSITLSFPCEGHCYNAMFGKPAIIWKHWGLDRFNIFLQHRHCLNKCSLKPVPIAARRKRSPHCQDPNLTVVLLSAHLSCRLRACTDEPQFNVGSDRDEKVLIELFLGFNKRPNDNWDKAVDLLNFHVAGQPWPVSRLVFMKGPKPTTQGWVHPEQVLFLALELEPGLNYYSNPGLDLGLQALTLQEREKIASLNFYLLKQLCSSAQSIKKPYLFFGQDPLFRLVNGRVGLEKVYCLDRSVGTTAAAERDQFIKKLIYPVPQFKQPSPAKDLGLFQLFVYEQFQVAQGLALILLFSFALDPLFRLM
+>sp|Q8WXD5|GEMI6_HUMAN Gem-associated protein 6 OS=Homo sapiens OX=9606 GN=GEMIN6 PE=1 SV=1
+MSEWMKKGPLEWQDYIYKEVRVTASEKNEYKGWVLTTDPVSANIVLVNFLEDGSMSVTGIMGHAVQTVETMNEGDHRVREKLMHLFTSGDCKAYSPEDLEERKNSLKKWLEKNHIPITEQGDAPRTLCVAGVLTIDPPYGPENCSSSNEIILSRVQDLIEGHLTASQ
+>DECOY_sp|Q8WXD5|GEMI6_HUMAN Gem-associated protein 6 OS=Homo sapiens OX=9606 GN=GEMIN6 PE=1 SV=1
+QSATLHGEILDQVRSLIIENSSSCNEPGYPPDITLVGAVCLTRPADGQETIPIHNKELWKKLSNKREELDEPSYAKCDGSTFLHMLKERVRHDGENMTEVTQVAHGMIGTVSMSGDELFNVLVINASVPDTTLVWGKYENKESATVRVEKYIYDQWELPGKKMWESM
+>sp|Q99684|GFI1_HUMAN Zinc finger protein Gfi-1 OS=Homo sapiens OX=9606 GN=GFI1 PE=1 SV=2
+MPRSFLVKSKKAHSYHQPRSPGPDYSLRLENVPAPSRADSTSNAGGAKAEPRDRLSPESQLTEAPDRASASPDSCEGSVCERSSEFEDFWRPPSPSASPASEKSMCPSLDEAQPFPLPFKPYSWSGLAGSDLRHLVQSYRPCGALERGAGLGLFCEPAPEPGHPAALYGPKRAAGGAGAGAPGSCSAGAGATAGPGLGLYGDFGSAAAGLYERPTAAAGLLYPERGHGLHADKGAGVKVESELLCTRLLLGGGSYKCIKCSKVFSTPHGLEVHVRRSHSGTRPFACEMCGKTFGHAVSLEQHKAVHSQERSFDCKICGKSFKRSSTLSTHLLIHSDTRPYPCQYCGKRFHQKSDMKKHTFIHTGEKPHKCQVCGKAFSQSSNLITHSRKHTGFKPFGCDLCGKGFQRKVDLRRHRETQHGLK
+>DECOY_sp|Q99684|GFI1_HUMAN Zinc finger protein Gfi-1 OS=Homo sapiens OX=9606 GN=GFI1 PE=1 SV=2
+KLGHQTERHRRLDVKRQFGKGCLDCGFPKFGTHKRSHTILNSSQSFAKGCVQCKHPKEGTHIFTHKKMDSKQHFRKGCYQCPYPRTDSHILLHTSLTSSRKFSKGCIKCDFSREQSHVAKHQELSVAHGFTKGCMECAFPRTGSHSRRVHVELGHPTSFVKSCKICKYSGGGLLLRTCLLESEVKVGAGKDAHLGHGREPYLLGAAATPREYLGAAASGFDGYLGLGPGATAGAGASCSGPAGAGAGGAARKPGYLAAPHGPEPAPECFLGLGAGRELAGCPRYSQVLHRLDSGALGSWSYPKFPLPFPQAEDLSPCMSKESAPSASPSPPRWFDEFESSRECVSGECSDPSASARDPAETLQSEPSLRDRPEAKAGGANSTSDARSPAPVNELRLSYDPGPSRPQHYSHAKKSKVLFSRPM
+>sp|O75223|GGCT_HUMAN Gamma-glutamylcyclotransferase OS=Homo sapiens OX=9606 GN=GGCT PE=1 SV=1
+MANSGCKDVTGPDEESFLYFAYGSNLLTERIHLRNPSAAFFCVARLQDFKLDFGNSQGKTSQTWHGGIATIFQSPGDEVWGVVWKMNKSNLNSLDEQEGVKSGMYVVIEVKVATQEGKEITCRSYLMTNYESAPPSPQYKKIICMGAKENGLPLEYQEKLKAIEPNDYTGKVSEEIEDIIKKGETQTL
+>DECOY_sp|O75223|GGCT_HUMAN Gamma-glutamylcyclotransferase OS=Homo sapiens OX=9606 GN=GGCT PE=1 SV=1
+LTQTEGKKIIDEIEESVKGTYDNPEIAKLKEQYELPLGNEKAGMCIIKKYQPSPPASEYNTMLYSRCTIEKGEQTAVKVEIVVYMGSKVGEQEDLSNLNSKNMKWVVGWVEDGPSQFITAIGGHWTQSTKGQSNGFDLKFDQLRAVCFFAASPNRLHIRETLLNSGYAFYLFSEEDPGTVDKCGSNAM
+>sp|A6NGU5|GGT3_HUMAN Putative glutathione hydrolase 3 proenzyme OS=Homo sapiens OX=9606 GN=GGT3P PE=5 SV=2
+MKKKLVVLGLLAVVLVLVIVGLCLWLPSASKEPDNHVYTRAAVAADAKQCLEIGRDTLRDGGSAVDAAIAALLCVGLMNAHSMGIGVGLFLTIYNSTTRKAEVINAREVAPRLAFASMFNSSEQSQKGGLSVAVPGEIRGYELAHQRHGRLPWARLFQPSIQLARQGFPVGKGLAAVLENKRTVIEQQPVLCEVFCRDRKVLREGERLTLPRLADTYEMLAIEGAQAFYNGSLMAQIVKDIQAAGGIVTAEDLNNYCAELIEHPLNISLGDAVLYMPSARLSGPVLALILNILKGYNFSRESVETPEQKGLTYHRIVEAFRFAYAKRTLLGDPKFVDVTEVVRNMTSEFFAAQLRSQISDHTTHPISYYKPEFYTPDDGGTAHLSVVAEDGSAVSATSTINLYFGSKVCSPVSGILFNNMDDFSSPSITNEFGAPPSPANFIQPGKQPLLSMCPTIMVGQDGQVRMVVGAAGGTQITTDTALAIIYNLWFGYDVKRAVEEPRLHNKLLPNVTTVERNIDQAVTAALETRHHHTQIASTFIAVVQAIVRTAGGWAAASDSRKGGEPAGY
+>DECOY_sp|A6NGU5|GGT3_HUMAN Putative glutathione hydrolase 3 proenzyme OS=Homo sapiens OX=9606 GN=GGT3P PE=5 SV=2
+YGAPEGGKRSDSAAAWGGATRVIAQVVAIFTSAIQTHHHRTELAATVAQDINREVTTVNPLLKNHLRPEEVARKVDYGFWLNYIIALATDTTIQTGGAAGVVMRVQGDQGVMITPCMSLLPQKGPQIFNAPSPPAGFENTISPSSFDDMNNFLIGSVPSCVKSGFYLNITSTASVASGDEAVVSLHATGGDDPTYFEPKYYSIPHTTHDSIQSRLQAAFFESTMNRVVETVDVFKPDGLLTRKAYAFRFAEVIRHYTLGKQEPTEVSERSFNYGKLINLILALVPGSLRASPMYLVADGLSINLPHEILEACYNNLDEATVIGGAAQIDKVIQAMLSGNYFAQAGEIALMEYTDALRPLTLREGERLVKRDRCFVECLVPQQEIVTRKNELVAALGKGVPFGQRALQISPQFLRAWPLRGHRQHALEYGRIEGPVAVSLGGKQSQESSNFMSAFALRPAVERANIVEAKRTTSNYITLFLGVGIGMSHANMLGVCLLAAIAADVASGGDRLTDRGIELCQKADAAVAARTYVHNDPEKSASPLWLCLGVIVLVLVVALLGLVVLKKKM
+>sp|Q6Y7W6|GGYF2_HUMAN GRB10-interacting GYF protein 2 OS=Homo sapiens OX=9606 GN=GIGYF2 PE=1 SV=1
+MAAETQTLNFGPEWLRALSSGGSITSPPLSPALPKYKLADYRYGREEMLALFLKDNKIPSDLLDKEFLPILQEEPLPPLALVPFTEEEQRNFSMSVNSAAVLRLTGRGGGGTVVGAPRGRSSSRGRGRGRGECGFYQRSFDEVEGVFGRGGGREMHRSQSWEERGDRRFEKPGRKDVGRPNFEEGGPTSVGRKHEFIRSESENWRIFREEQNGEDEDGGWRLAGSRRDGERWRPHSPDGPRSAGWREHMERRRRFEFDFRDRDDERGYRRVRSGSGSIDDDRDSLPEWCLEDAEEEMGTFDSSGAFLSLKKVQKEPIPEEQEMDFRPVDEGEECSDSEGSHNEEAKEPDKTNKKEGEKTDRVGVEASEETPQTSSSSARPGTPSDHQSQEASQFERKDEPKTEQTEKAEEETRMENSLPAKVPSRGDEMVADVQQPLSQIPSDTASPLLILPPPVPNPSPTLRPVETPVVGAPGMGSVSTEPDDEEGLKHLEQQAEKMVAYLQDSALDDERLASKLQEHRAKGVSIPLMHEAMQKWYYKDPQGEIQGPFNNQEMAEWFQAGYFTMSLLVKRACDESFQPLGDIMKMWGRVPFSPGPAPPPHMGELDQERLTRQQELTALYQMQHLQYQQFLIQQQYAQVLAQQQKAALSSQQQQQLALLLQQFQTLKMRISDQNIIPSVTRSVSVPDTGSIWELQPTASQPTVWEGGSVWDLPLDTTTPGPALEQLQQLEKAKAAKLEQERREAEMRAKREEEERKRQEELRRQQEEILRRQQEEERKRREEEELARRKQEEALRRQREQEIALRRQREEEERQQQEEALRRLEERRREEEERRKQEELLRKQEEEAAKWAREEEEAQRRLEENRLRMEEEAARLRHEEEERKRKELEVQRQKELMRQRQQQQEALRRLQQQQQQQQLAQMKLPSSSTWGQQSNTTACQSQATLSLAEIQKLEEERERQLREEQRRQQRELMKALQQQQQQQQQKLSGWGNVSKPSGTTKSLLEIQQEEARQMQKQQQQQQQHQQPNRARNNTHSNLHTSIGNSVWGSINTGPPNQWASDLVSSIWSNADTKNSNMGFWDDAVKEVGPRNSTNKNKNNASLSKSVGVSNRQNKKVEEEEKLLKLFQGVNKAQDGFTQWCEQMLHALNTANNLDVPTFVSFLKEVESPYEVHDYIRAYLGDTSEAKEFAKQFLERRAKQKANQQRQQQQLPQQQQQQPPQQPPQQPQQQDSVWGMNHSTLHSVFQTNQSNNQQSNFEAVQSGKKKKKQKMVRADPSLLGFSVNASSERLNMGEIETLDDY
+>DECOY_sp|Q6Y7W6|GGYF2_HUMAN GRB10-interacting GYF protein 2 OS=Homo sapiens OX=9606 GN=GIGYF2 PE=1 SV=1
+YDDLTEIEGMNLRESSANVSFGLLSPDARVMKQKKKKKGSQVAEFNSQQNNSQNTQFVSHLTSHNMGWVSDQQQPQQPPQQPPQQQQQQPLQQQQRQQNAKQKARRELFQKAFEKAESTDGLYARIYDHVEYPSEVEKLFSVFTPVDLNNATNLAHLMQECWQTFGDQAKNVGQFLKLLKEEEEVKKNQRNSVGVSKSLSANNKNKNTSNRPGVEKVADDWFGMNSNKTDANSWISSVLDSAWQNPPGTNISGWVSNGISTHLNSHTNNRARNPQQHQQQQQQQKQMQRAEEQQIELLSKTTGSPKSVNGWGSLKQQQQQQQQQLAKMLERQQRRQEERLQREREEELKQIEALSLTAQSQCATTNSQQGWTSSSPLKMQALQQQQQQQQLRRLAEQQQQRQRMLEKQRQVELEKRKREEEEHRLRAAEEEMRLRNEELRRQAEEEERAWKAAEEEQKRLLEEQKRREEEERRREELRRLAEEQQQREEEERQRRLAIEQERQRRLAEEQKRRALEEEERRKREEEQQRRLIEEQQRRLEEQRKREEEERKARMEAERREQELKAAKAKELQQLQELAPGPTTTDLPLDWVSGGEWVTPQSATPQLEWISGTDPVSVSRTVSPIINQDSIRMKLTQFQQLLLALQQQQQSSLAAKQQQALVQAYQQQILFQQYQLHQMQYLATLEQQRTLREQDLEGMHPPPAPGPSFPVRGWMKMIDGLPQFSEDCARKVLLSMTFYGAQFWEAMEQNNFPGQIEGQPDKYYWKQMAEHMLPISVGKARHEQLKSALREDDLASDQLYAVMKEAQQELHKLGEEDDPETSVSGMGPAGVVPTEVPRLTPSPNPVPPPLILLPSATDSPIQSLPQQVDAVMEDGRSPVKAPLSNEMRTEEEAKETQETKPEDKREFQSAEQSQHDSPTGPRASSSSTQPTEESAEVGVRDTKEGEKKNTKDPEKAEENHSGESDSCEEGEDVPRFDMEQEEPIPEKQVKKLSLFAGSSDFTGMEEEADELCWEPLSDRDDDISGSGSRVRRYGREDDRDRFDFEFRRRREMHERWGASRPGDPSHPRWREGDRRSGALRWGGDEDEGNQEERFIRWNESESRIFEHKRGVSTPGGEEFNPRGVDKRGPKEFRRDGREEWSQSRHMERGGGRGFVGEVEDFSRQYFGCEGRGRGRGRSSSRGRPAGVVTGGGGRGTLRLVAASNVSMSFNRQEEETFPVLALPPLPEEQLIPLFEKDLLDSPIKNDKLFLALMEERGYRYDALKYKPLAPSLPPSTISGGSSLARLWEPGFNLTQTEAAM
+>sp|Q8N2G8|GHDC_HUMAN GH3 domain-containing protein OS=Homo sapiens OX=9606 GN=GHDC PE=1 SV=2
+MLLWPLLLLLLLLPTLALLRQQRSQDARLSWLAGLQHRVAWGALVWAATWQRRRLEQSTLHVHQSQQQALRWCLQGAQRPHCSLRRSTDISTFRNHLPLTKASQTQQEDSGEQPLPPTSNQDLGEASLQATLLGLAALNKAYPEVLAQGRTARVTLTSPWPRPLPWPGNTLGQVGTPGTKDPRALLLDALRSPGLRALEAGTAVELLDVFLGLETDGEELAGAIAAGNPGAPLRERAAELREALEQGPRGLALRLWPKLQVVVTLDAGGQAEAVAALGALWCQGLAFFSPAYAASGGVLGLNLQPEQPHGLYLLPPGAPFIELLPVKEGTQEEAASTLLLAEAQQGKEYELVLTDRASLTRCRLGDVVRVVGAYNQCPVVRFICRLDQTLSVRGEDIGEDLFSEALGRAVGQWAGAKLLDHGCVESSILDSSAGSAPHYEVFVALRGLRNLSEENRDKLDHCLQEASPRYKSLRFWGSVGPARVHLVGQGAFRALRAALAACPSSPFPPAMPRVLRHRHLAQCLQERVVS
+>DECOY_sp|Q8N2G8|GHDC_HUMAN GH3 domain-containing protein OS=Homo sapiens OX=9606 GN=GHDC PE=1 SV=2
+SVVREQLCQALHRHRLVRPMAPPFPSSPCAALAARLARFAGQGVLHVRAPGVSGWFRLSKYRPSAEQLCHDLKDRNEESLNRLGRLAVFVEYHPASGASSDLISSEVCGHDLLKAGAWQGVARGLAESFLDEGIDEGRVSLTQDLRCIFRVVPCQNYAGVVRVVDGLRCRTLSARDTLVLEYEKGQQAEALLLTSAAEEQTGEKVPLLEIFPAGPPLLYLGHPQEPQLNLGLVGGSAAYAPSFFALGQCWLAGLAAVAEAQGGADLTVVVQLKPWLRLALGRPGQELAERLEAARERLPAGPNGAAIAGALEEGDTELGLFVDLLEVATGAELARLGPSRLADLLLARPDKTGPTGVQGLTNGPWPLPRPWPSTLTVRATRGQALVEPYAKNLAALGLLTAQLSAEGLDQNSTPPLPQEGSDEQQTQSAKTLPLHNRFTSIDTSRRLSCHPRQAGQLCWRLAQQQSQHVHLTSQELRRRQWTAAWVLAGWAVRHQLGALWSLRADQSRQQRLLALTPLLLLLLLLPWLLM
+>sp|Q02643|GHRHR_HUMAN Growth hormone-releasing hormone receptor OS=Homo sapiens OX=9606 GN=GHRHR PE=1 SV=2
+MDRRMWGAHVFCVLSPLPTVLGHMHPECDFITQLREDESACLQAAEEMPNTTLGCPATWDGLLCWPTAGSGEWVTLPCPDFFSHFSSESGAVKRDCTITGWSEPFPPYPVACPVPLELLAEEESYFSTVKIIYTVGHSISIVALFVAITILVALRRLHCPRNYVHTQLFTTFILKAGAVFLKDAALFHSDDTDHCSFSTVLCKVSVAASHFATMTNFSWLLAEAVYLNCLLASTSPSSRRAFWWLVLAGWGLPVLFTGTWVSCKLAFEDIACWDLDDTSPYWWIIKGPIVLSVGVNFGLFLNIIRILVRKLEPAQGSLHTQSQYWRLSKSTLFLIPLFGIHYIIFNFLPDNAGLGIRLPLELGLGSFQGFIVAILYCFLNQEVRTEISRKWHGHDPELLPAWRTRAKWTTPSRSAAKVLTSMC
+>DECOY_sp|Q02643|GHRHR_HUMAN Growth hormone-releasing hormone receptor OS=Homo sapiens OX=9606 GN=GHRHR PE=1 SV=2
+CMSTLVKAASRSPTTWKARTRWAPLLEPDHGHWKRSIETRVEQNLFCYLIAVIFGQFSGLGLELPLRIGLGANDPLFNFIIYHIGFLPILFLTSKSLRWYQSQTHLSGQAPELKRVLIRIINLFLGFNVGVSLVIPGKIIWWYPSTDDLDWCAIDEFALKCSVWTGTFLVPLGWGALVLWWFARRSSPSTSALLCNLYVAEALLWSFNTMTAFHSAAVSVKCLVTSFSCHDTDDSHFLAADKLFVAGAKLIFTTFLQTHVYNRPCHLRRLAVLITIAVFLAVISISHGVTYIIKVTSFYSEEEALLELPVPCAVPYPPFPESWGTITCDRKVAGSESSFHSFFDPCPLTVWEGSGATPWCLLGDWTAPCGLTTNPMEEAAQLCASEDERLQTIFDCEPHMHGLVTPLPSLVCFVHAGWMRRDM
+>sp|Q9NWU2|GID8_HUMAN Glucose-induced degradation protein 8 homolog OS=Homo sapiens OX=9606 GN=GID8 PE=1 SV=1
+MSYAEKPDEITKDEWMEKLNNLHVQRADMNRLIMNYLVTEGFKEAAEKFRMESGIEPSVDLETLDERIKIREMILKGQIQEAIALINSLHPELLDTNRYLYFHLQQQHLIELIRQRETEAALEFAQTQLAEQGEESRECLTEMERTLALLAFDSPEESPFGDLLHTMQRQKVWSEVNQAVLDYENRESTPKLAKLLKLLLWAQNELDQKKVKYPKMTDLSKGVIEEPK
+>DECOY_sp|Q9NWU2|GID8_HUMAN Glucose-induced degradation protein 8 homolog OS=Homo sapiens OX=9606 GN=GID8 PE=1 SV=1
+KPEEIVGKSLDTMKPYKVKKQDLENQAWLLLKLLKALKPTSERNEYDLVAQNVESWVKQRQMTHLLDGFPSEEPSDFALLALTREMETLCERSEEGQEALQTQAFELAAETERQRILEILHQQQLHFYLYRNTDLLEPHLSNILAIAEQIQGKLIMERIKIREDLTELDVSPEIGSEMRFKEAAEKFGETVLYNMILRNMDARQVHLNNLKEMWEDKTIEDPKEAYSM
+>sp|P09681|GIP_HUMAN Gastric inhibitory polypeptide OS=Homo sapiens OX=9606 GN=GIP PE=1 SV=1
+MVATKTFALLLLSLFLAVGLGEKKEGHFSALPSLPVGSHAKVSSPQPRGPRYAEGTFISDYSIAMDKIHQQDFVNWLLAQKGKKNDWKHNITQREARALELASQANRKEEEAVEPQSSPAKNPSDEDLLRDLLIQELLACLLDQTNLCRLRSR
+>DECOY_sp|P09681|GIP_HUMAN Gastric inhibitory polypeptide OS=Homo sapiens OX=9606 GN=GIP PE=1 SV=1
+RSRLRCLNTQDLLCALLEQILLDRLLDEDSPNKAPSSQPEVAEEEKRNAQSALELARAERQTINHKWDNKKGKQALLWNVFDQQHIKDMAISYDSIFTGEAYRPGRPQPSSVKAHSGVPLSPLASFHGEKKEGLGVALFLSLLLLAFTKTAVM
+>sp|Q86VQ1|GLCI1_HUMAN Glucocorticoid-induced transcript 1 protein OS=Homo sapiens OX=9606 GN=GLCCI1 PE=1 SV=1
+MSTASSSSSSSSSQTPHPPSQRMRRSAAGSPPAVAAAGSGNGAGGGGGVGCAPAAGAGRLLQPIRATVPYQLLRGSQHSPTRPPVAAAAASLGSLPGPGAARGPSPSSPTPPAAAAPAEQAPRAKGRPRRSPESHRRSSSPERRSPGSPVCRADKAKSQQVRTSSTIRRTSSLDTITGPYLTGQWPRDPHVHYPSCMKDKATQTPSCWAEEGAEKRSHQRSASWGSADQLKEQIAKLRQQLQRSKQSSRHSKEKDRQSPLHGNHITISHTQATGSRSVPMPLSNISVPKSSVSRVPCNVEGISPELEKVFIKENNGKEEVSKPLDIPDGRRAPLPAHYRSSSTRSIDTQTPSVQERSSSCSSHSPCVSPFCPPESQDGSPCSTEDLLYDRDKDSGSSSPLPKYASSPKPNNSYMFKREPPEGCERVKVFEEMASRQPISAPLFSCPDKNKVNFIPTGSAFCPVKLLGPLLPASDLMLKNSPNSGQSSALATLTVEQLSSRVSFTSLSDDTSTAGSMEASVQQPSQQQQLLQELQGEDHISAQNYVII
+>DECOY_sp|Q86VQ1|GLCI1_HUMAN Glucocorticoid-induced transcript 1 protein OS=Homo sapiens OX=9606 GN=GLCCI1 PE=1 SV=1
+IIVYNQASIHDEGQLEQLLQQQQSPQQVSAEMSGATSTDDSLSTFSVRSSLQEVTLTALASSQGSNPSNKLMLDSAPLLPGLLKVPCFASGTPIFNVKNKDPCSFLPASIPQRSAMEEFVKVRECGEPPERKFMYSNNPKPSSAYKPLPSSSGSDKDRDYLLDETSCPSGDQSEPPCFPSVCPSHSSCSSSREQVSPTQTDISRTSSSRYHAPLPARRGDPIDLPKSVEEKGNNEKIFVKELEPSIGEVNCPVRSVSSKPVSINSLPMPVSRSGTAQTHSITIHNGHLPSQRDKEKSHRSSQKSRQLQQRLKAIQEKLQDASGWSASRQHSRKEAGEEAWCSPTQTAKDKMCSPYHVHPDRPWQGTLYPGTITDLSSTRRITSSTRVQQSKAKDARCVPSGPSRREPSSSRRHSEPSRRPRGKARPAQEAPAAAAPPTPSSPSPGRAAGPGPLSGLSAAAAAVPPRTPSHQSGRLLQYPVTARIPQLLRGAGAAPACGVGGGGGAGNGSGAAAVAPPSGAASRRMRQSPPHPTQSSSSSSSSSATSM
+>sp|A6NK44|GLOD5_HUMAN Glyoxalase domain-containing protein 5 OS=Homo sapiens OX=9606 GN=GLOD5 PE=1 SV=3
+MLRHLPSRLPVKMWGRTLEKQSWRDSSQTPPPCLIRRLDHIVMTVKSIKDTTMFYSKILGMEVMTFKEDRKALCFGDQKFNLHEVGKEFEPKAAHPVPGSLDICLITEVPLEEMIQHLKACDVPIEEGPVPRTGAKGPIMSIYFRDPDRNLIEVSNYISS
+>DECOY_sp|A6NK44|GLOD5_HUMAN Glyoxalase domain-containing protein 5 OS=Homo sapiens OX=9606 GN=GLOD5 PE=1 SV=3
+SSIYNSVEILNRDPDRFYISMIPGKAGTRPVPGEEIPVDCAKLHQIMEELPVETILCIDLSGPVPHAAKPEFEKGVEHLNFKQDGFCLAKRDEKFTMVEMGLIKSYFMTTDKISKVTMVIHDLRRILCPPPTQSSDRWSQKELTRGWMKVPLRSPLHRLM
+>sp|P43220|GLP1R_HUMAN Glucagon-like peptide 1 receptor OS=Homo sapiens OX=9606 GN=GLP1R PE=1 SV=2
+MAGAPGPLRLALLLLGMVGRAGPRPQGATVSLWETVQKWREYRRQCQRSLTEDPPPATDLFCNRTFDEYACWPDGEPGSFVNVSCPWYLPWASSVPQGHVYRFCTAEGLWLQKDNSSLPWRDLSECEESKRGERSSPEEQLLFLYIIYTVGYALSFSALVIASAILLGFRHLHCTRNYIHLNLFASFILRALSVFIKDAALKWMYSTAAQQHQWDGLLSYQDSLSCRLVFLLMQYCVAANYYWLLVEGVYLYTLLAFSVLSEQWIFRLYVSIGWGVPLLFVVPWGIVKYLYEDEGCWTRNSNMNYWLIIRLPILFAIGVNFLIFVRVICIVVSKLKANLMCKTDIKCRLAKSTLTLIPLLGTHEVIFAFVMDEHARGTLRFIKLFTELSFTSFQGLMVAILYCFVNNEVQLEFRKSWERWRLEHLHIQRDSSMKPLKCPTSSLSSGATAGSSMYTATCQASCS
+>DECOY_sp|P43220|GLP1R_HUMAN Glucagon-like peptide 1 receptor OS=Homo sapiens OX=9606 GN=GLP1R PE=1 SV=2
+SCSAQCTATYMSSGATAGSSLSSTPCKLPKMSSDRQIHLHELRWREWSKRFELQVENNVFCYLIAVMLGQFSTFSLETFLKIFRLTGRAHEDMVFAFIVEHTGLLPILTLTSKALRCKIDTKCMLNAKLKSVVICIVRVFILFNVGIAFLIPLRIILWYNMNSNRTWCGEDEYLYKVIGWPVVFLLPVGWGISVYLRFIWQESLVSFALLTYLYVGEVLLWYYNAAVCYQMLLFVLRCSLSDQYSLLGDWQHQQAATSYMWKLAADKIFVSLARLIFSAFLNLHIYNRTCHLHRFGLLIASAIVLASFSLAYGVTYIIYLFLLQEEPSSREGRKSEECESLDRWPLSSNDKQLWLGEATCFRYVHGQPVSSAWPLYWPCSVNVFSGPEGDPWCAYEDFTRNCFLDTAPPPDETLSRQCQRRYERWKQVTEWLSVTAGQPRPGARGVMGLLLLALRLPGPAGAM
+>sp|P47871|GLR_HUMAN Glucagon receptor OS=Homo sapiens OX=9606 GN=GCGR PE=1 SV=1
+MPPCQPQRPLLLLLLLLACQPQVPSAQVMDFLFEKWKLYGDQCHHNLSLLPPPTELVCNRTFDKYSCWPDTPANTTANISCPWYLPWHHKVQHRFVFKRCGPDGQWVRGPRGQPWRDASQCQMDGEEIEVQKEVAKMYSSFQVMYTVGYSLSLGALLLALAILGGLSKLHCTRNAIHANLFASFVLKASSVLVIDGLLRTRYSQKIGDDLSVSTWLSDGAVAGCRVAAVFMQYGIVANYCWLLVEGLYLHNLLGLATLPERSFFSLYLGIGWGAPMLFVVPWAVVKCLFENVQCWTSNDNMGFWWILRFPVFLAILINFFIFVRIVQLLVAKLRARQMHHTDYKFRLAKSTLTLIPLLGVHEVVFAFVTDEHAQGTLRSAKLFFDLFLSSFQGLLVAVLYCFLNKEVQSELRRRWHRWRLGKVLWEERNTSNHRASSSPGHGPPSKELQFGRGGGSQDSSAETPLAGGLPRLAESPF
+>DECOY_sp|P47871|GLR_HUMAN Glucagon receptor OS=Homo sapiens OX=9606 GN=GCGR PE=1 SV=1
+FPSEALRPLGGALPTEASSDQSGGGRGFQLEKSPPGHGPSSSARHNSTNREEWLVKGLRWRHWRRRLESQVEKNLFCYLVAVLLGQFSSLFLDFFLKASRLTGQAHEDTVFAFVVEHVGLLPILTLTSKALRFKYDTHHMQRARLKAVLLQVIRVFIFFNILIALFVPFRLIWWFGMNDNSTWCQVNEFLCKVVAWPVVFLMPAGWGIGLYLSFFSREPLTALGLLNHLYLGEVLLWCYNAVIGYQMFVAAVRCGAVAGDSLWTSVSLDDGIKQSYRTRLLGDIVLVSSAKLVFSAFLNAHIANRTCHLKSLGGLIALALLLAGLSLSYGVTYMVQFSSYMKAVEKQVEIEEGDMQCQSADRWPQGRPGRVWQGDPGCRKFVFRHQVKHHWPLYWPCSINATTNAPTDPWCSYKDFTRNCVLETPPPLLSLNHHCQDGYLKWKEFLFDMVQASPVQPQCALLLLLLLLPRQPQCPPM
+>sp|Q6IB77|GLYAT_HUMAN Glycine N-acyltransferase OS=Homo sapiens OX=9606 GN=GLYAT PE=1 SV=3
+MMLPLQGAQMLQMLEKSLRKSLPASLKVYGTVFHINHGNPFNLKAVVDKWPDFNTVVVCPQEQDMTDDLDHYTNTYQIYSKDPQNCQEFLGSPELINWKQHLQIQSSQPSLNEAIQNLAAIKSFKVKQTQRILYMAAETAKELTPFLLKSKILSPNGGKPKAINQEMFKLSSMDVTHAHLVNKFWHFGGNERSQRFIERCIQTFPTCCLLGPEGTPVCWDLMDQTGEMRMAGTLPEYRLHGLVTYVIYSHAQKLGKLGFPVYSHVDYSNEAMQKMSYTLQHVPIPRSWNQWNCVPL
+>DECOY_sp|Q6IB77|GLYAT_HUMAN Glycine N-acyltransferase OS=Homo sapiens OX=9606 GN=GLYAT PE=1 SV=3
+LPVCNWQNWSRPIPVHQLTYSMKQMAENSYDVHSYVPFGLKGLKQAHSYIVYTVLGHLRYEPLTGAMRMEGTQDMLDWCVPTGEPGLLCCTPFTQICREIFRQSRENGGFHWFKNVLHAHTVDMSSLKFMEQNIAKPKGGNPSLIKSKLLFPTLEKATEAAMYLIRQTQKVKFSKIAALNQIAENLSPQSSQIQLHQKWNILEPSGLFEQCNQPDKSYIQYTNTYHDLDDTMDQEQPCVVVTNFDPWKDVVAKLNFPNGHNIHFVTGYVKLSAPLSKRLSKELMQLMQAGQLPLMM
+>sp|Q9BWX5|GATA5_HUMAN Transcription factor GATA-5 OS=Homo sapiens OX=9606 GN=GATA5 PE=1 SV=1
+MYQSLALAASPRQAAYADSGSFLHAPGAGSPMFVPPARVPSMLSYLSGCEPSPQPPELAARPGWAQTATADSSAFGPGSPHPPAAHPPGATAFPFAHSPSGPGSGGSAGGRDGSAYQGALLPREQFAAPLGRPVGTSYSATYPAYVSPDVAQSWTAGPFDGSVLHGLPGRRPTFVSDFLEEFPGEGRECVNCGALSTPLWRRDGTGHYLCNACGLYHKMNGVNRPLVRPQKRLSSSRRAGLCCTNCHTTNTTLWRRNSEGEPVCNACGLYMKLHGVPRPLAMKKESIQTRKRKPKTIAKARGSSGSTRNASASPSAVASTDSSAATSKAKPSLASPVCPGPSMAPQASGQEDDSLAPGHLEFKFEPEDFAFPSTAPSPQAGLRGALRQEAWCALALA
+>DECOY_sp|Q9BWX5|GATA5_HUMAN Transcription factor GATA-5 OS=Homo sapiens OX=9606 GN=GATA5 PE=1 SV=1
+ALALACWAEQRLAGRLGAQPSPATSPFAFDEPEFKFELHGPALSDDEQGSAQPAMSPGPCVPSALSPKAKSTAASSDTSAVASPSASANRTSGSSGRAKAITKPKRKRTQISEKKMALPRPVGHLKMYLGCANCVPEGESNRRWLTTNTTHCNTCCLGARRSSSLRKQPRVLPRNVGNMKHYLGCANCLYHGTGDRRWLPTSLAGCNVCERGEGPFEELFDSVFTPRRGPLGHLVSGDFPGATWSQAVDPSVYAPYTASYSTGVPRGLPAAFQERPLLAGQYASGDRGGASGGSGPGSPSHAFPFATAGPPHAAPPHPSGPGFASSDATATQAWGPRAALEPPQPSPECGSLYSLMSPVRAPPVFMPSGAGPAHLFSGSDAYAAQRPSAALALSQYM
+>sp|Q8N6F7|GCSAM_HUMAN Germinal center-associated signaling and motility protein OS=Homo sapiens OX=9606 GN=GCSAM PE=1 SV=1
+MGNSLLRENRRQQNTQEMPWNVRMQSPKQRTSRCWDHHIAEGCFCLPWKKILIFEKRQDSQNENERMSSTPIQDNVDQTYSEELCYTLINHRVLCTRPSGNSAEEYYENVPCKAERPRESLGGTETEYSLLHMPSTDPRHARSPEDEYELLMPHRISSHFLQQPRPLMAPSETQFSHL
+>DECOY_sp|Q8N6F7|GCSAM_HUMAN Germinal center-associated signaling and motility protein OS=Homo sapiens OX=9606 GN=GCSAM PE=1 SV=1
+LHSFQTESPAMLPRPQQLFHSSIRHPMLLEYEDEPSRAHRPDTSPMHLLSYETETGGLSERPREAKCPVNEYYEEASNGSPRTCLVRHNILTYCLEESYTQDVNDQIPTSSMRENENQSDQRKEFILIKKWPLCFCGEAIHHDWCRSTRQKPSQMRVNWPMEQTNQQRRNERLLSNGM
+>sp|Q02108|GCYA1_HUMAN Guanylate cyclase soluble subunit alpha-1 OS=Homo sapiens OX=9606 GN=GUCY1A1 PE=1 SV=2
+MFCTKLKDLKITGECPFSLLAPGQVPNESSEEAAGSSESCKATVPICQDIPEKNIQESLPQRKTSRSRVYLHTLAESICKLIFPEFERLNVALQRTLAKHKIKESRKSLEREDFEKTIAEQAVAAGVPVEVIKESLGEEVFKICYEEDENILGVVGGTLKDFLNSFSTLLKQSSHCQEAGKRGRLEDASILCLDKEDDFLHVYYFFPKRTTSLILPGIIKAAAHVLYETEVEVSLMPPCFHNDCSEFVNQPYLLYSVHMKSTKPSLSPSKPQSSLVIPTSLFCKTFPFHFMFDKDMTILQFGNGIRRLMNRRDFQGKPNFEEYFEILTPKINQTFSGIMTMLNMQFVVRVRRWDNSVKKSSRVMDLKGQMIYIVESSAILFLGSPCVDRLEDFTGRGLYLSDIPIHNALRDVVLIGEQARAQDGLKKRLGKLKATLEQAHQALEEEKKKTVDLLCSIFPCEVAQQLWQGQVVQAKKFSNVTMLFSDIVGFTAICSQCSPLQVITMLNALYTRFDQQCGELDVYKVETIGDAYCVAGGLHKESDTHAVQIALMALKMMELSDEVMSPHGEPIKMRIGLHSGSVFAGVVGVKMPRYCLFGNNVTLANKFESCSVPRKINVSPTTYRLLKDCPGFVFTPRSREELPPNFPSEIPGICHFLDAYQQGTNSKPCFQKKDVEDGNANFLGKASGID
+>DECOY_sp|Q02108|GCYA1_HUMAN Guanylate cyclase soluble subunit alpha-1 OS=Homo sapiens OX=9606 GN=GUCY1A1 PE=1 SV=2
+DIGSAKGLFNANGDEVDKKQFCPKSNTGQQYADLFHCIGPIESPFNPPLEERSRPTFVFGPCDKLLRYTTPSVNIKRPVSCSEFKNALTVNNGFLCYRPMKVGVVGAFVSGSHLGIRMKIPEGHPSMVEDSLEMMKLAMLAIQVAHTDSEKHLGGAVCYADGITEVKYVDLEGCQQDFRTYLANLMTIVQLPSCQSCIATFGVIDSFLMTVNSFKKAQVVQGQWLQQAVECPFISCLLDVTKKKEEELAQHAQELTAKLKGLRKKLGDQARAQEGILVVDRLANHIPIDSLYLGRGTFDELRDVCPSGLFLIASSEVIYIMQGKLDMVRSSKKVSNDWRRVRVVFQMNLMTMIGSFTQNIKPTLIEFYEEFNPKGQFDRRNMLRRIGNGFQLITMDKDFMFHFPFTKCFLSTPIVLSSQPKSPSLSPKTSKMHVSYLLYPQNVFESCDNHFCPPMLSVEVETEYLVHAAAKIIGPLILSTTRKPFFYYVHLFDDEKDLCLISADELRGRKGAEQCHSSQKLLTSFSNLFDKLTGGVVGLINEDEEYCIKFVEEGLSEKIVEVPVGAAVAQEAITKEFDERELSKRSEKIKHKALTRQLAVNLREFEPFILKCISEALTHLYVRSRSTKRQPLSEQINKEPIDQCIPVTAKCSESSGAAEESSENPVQGPALLSFPCEGTIKLDKLKTCFM
+>sp|O75343|GCYB2_HUMAN Guanylate cyclase soluble subunit beta-2 OS=Homo sapiens OX=9606 GN=GUCY1B2 PE=5 SV=2
+MSGYDRMLRTLGGNLMEFIENLDALHSYLALSYQEMNAPSFRVERGADGKMFLHYYSDRSGLCHIVPGIIEAVAKDFFDIDVIMDILDMNEEVERTGKKEHVVFLIVQKAHRKMRKTKPKRLQDSQGMERDQEALQAAFLKMKEKYLNVSACPVKKSHWDVVRSIVMFGKGHLMNTFEPIYPERLWIEEKTFCNAFPFHIVFDESLQVKQARVNIQKYVPGLQTQNIQLDEYFSIIHPQVTFNIFSIRRFINSQFVLKTRREMMPVAWQSRTTLKLQGQMIWMESMWCMVYLCSPKLRSLQELEELNMHLSDIAPNDTTRDLILLNQQRLAEIELSNQLERKKEELQVLSKHLAIEKKKTETLLYAMLPKHVANQLREGKKVAAGEFKSCTILFSDVVTFTNICTACEPIQIVNVLNSMYSKFDRLTSVHAVYKVETIGDAYMVVGGVPVPIGNHAQRVANFALGMRISAKEVTNPVTGEPIQLRVGIHTGPVLADVVGDKMPRYCLFGDTVNTASRMESHGLPNKVHLSPTAYRALKNQGFKIIERGEIEVKGKGRMTTYFLIQNLNATEDEIMGRSKTPVDHKGSTQKASLPTTKLQGSVQPSCPEHSSLASWLL
+>DECOY_sp|O75343|GCYB2_HUMAN Guanylate cyclase soluble subunit beta-2 OS=Homo sapiens OX=9606 GN=GUCY1B2 PE=5 SV=2
+LLWSALSSHEPCSPQVSGQLKTTPLSAKQTSGKHDVPTKSRGMIEDETANLNQILFYTTMRGKGKVEIEGREIIKFGQNKLARYATPSLHVKNPLGHSEMRSATNVTDGFLCYRPMKDGVVDALVPGTHIGVRLQIPEGTVPNTVEKASIRMGLAFNAVRQAHNGIPVPVGGVVMYADGITEVKYVAHVSTLRDFKSYMSNLVNVIQIPECATCINTFTVVDSFLITCSKFEGAAVKKGERLQNAVHKPLMAYLLTETKKKEIALHKSLVQLEEKKRELQNSLEIEALRQQNLLILDRTTDNPAIDSLHMNLEELEQLSRLKPSCLYVMCWMSEMWIMQGQLKLTTRSQWAVPMMERRTKLVFQSNIFRRISFINFTVQPHIISFYEDLQINQTQLGPVYKQINVRAQKVQLSEDFVIHFPFANCFTKEEIWLREPYIPEFTNMLHGKGFMVISRVVDWHSKKVPCASVNLYKEKMKLFAAQLAEQDREMGQSDQLRKPKTKRMKRHAKQVILFVVHEKKGTREVEENMDLIDMIVDIDFFDKAVAEIIGPVIHCLGSRDSYYHLFMKGDAGREVRFSPANMEQYSLALYSHLADLNEIFEMLNGGLTRLMRDYGSM
+>sp|Q7Z4P5|GDF7_HUMAN Growth/differentiation factor 7 OS=Homo sapiens OX=9606 GN=GDF7 PE=2 SV=2
+MDLSAAAALCLWLLSACRPRDGLEAAAVLRAAGAGPVRSPGGGGGGGGGGRTLAQAAGAAAVPAAAVPRARAARRAAGSGFRNGSVVPHHFMMSLYRSLAGRAPAGAAAVSASGHGRADTITGFTDQATQDESAAETGQSFLFDVSSLNDADEVVGAELRVLRRGSPESGPGSWTSPPLLLLSTCPGAARAPRLLYSRAAEPLVGQRWEAFDVADAMRRHRREPRPPRAFCLLLRAVAGPVPSPLALRRLGFGWPGGGGSAAEERAVLVVSSRTQRKESLFREIRAQARALGAALASEPLPDPGTGTASPRAVIGGRRRRRTALAGTRTAQGSGGGAGRGHGRRGRSRCSRKPLHVDFKELGWDDWIIAPLDYEAYHCEGLCDFPLRSHLEPTNHAIIQTLLNSMAPDAAPASCCVPARLSPISILYIDAANNVVYKQYEDMVVEACGCR
+>DECOY_sp|Q7Z4P5|GDF7_HUMAN Growth/differentiation factor 7 OS=Homo sapiens OX=9606 GN=GDF7 PE=2 SV=2
+RCGCAEVVMDEYQKYVVNNAADIYLISIPSLRAPVCCSAPAADPAMSNLLTQIIAHNTPELHSRLPFDCLGECHYAEYDLPAIIWDDWGLEKFDVHLPKRSCRSRGRRGHGRGAGGGSGQATRTGALATRRRRRGGIVARPSATGTGPDPLPESALAAGLARAQARIERFLSEKRQTRSSVVLVAREEAASGGGGPWGFGLRRLALPSPVPGAVARLLLCFARPPRPERRHRRMADAVDFAEWRQGVLPEAARSYLLRPARAAGPCTSLLLLPPSTWSGPGSEPSGRRLVRLEAGVVEDADNLSSVDFLFSQGTEAASEDQTAQDTFGTITDARGHGSASVAAAGAPARGALSRYLSMMFHHPVVSGNRFGSGAARRAARARPVAAAPVAAAGAAQALTRGGGGGGGGGGPSRVPGAGAARLVAAAELGDRPRCASLLWLCLAAAASLDM
+>sp|Q9HCC8|GDPD2_HUMAN Glycerophosphoinositol inositolphosphodiesterase GDPD2 OS=Homo sapiens OX=9606 GN=GDPD2 PE=2 SV=1
+MAESPGCCSVWARCLHCLYSCHWRKCPRERMQTSKCDCIWFGLLFLTFLLSLSWLYIGLVLLNDLHNFNEFLFRRWGHWMDWSLAFLLVISLLVTYASLLLVLALLLRLCRQPLHLHSLHKVLLLLIMLLVAAGLVGLDIQWQQEWHSLRVSLQATAPFLHIGAAAGIALLAWPVADTFYRIHRRGPKILLLLLFFGVVLVIYLAPLCISSPCIMEPRDLPPKPGLVGHRGAPMLAPENTLMSLRKTAECGATVFETDVMVSSDGVPFLMHDEHLSRTTNVASVFPTRITAHSSDFSWTELKRLNAGSWFLERRPFWGAKPLAGPDQKEAESQTVPALEELLEEAAALNLSIMFDLRRPPQNHTYYDTFVIQTLETVLNARVPQAMVFWLPDEDRANVQRRAPGMRQIYGRQGGNRTERPQFLNLPYQDLPLLDIKALHKDNVSVNLFVVNKPWLFSLLWCAGVDSVTTNDCQLLQQMRYPIWLITPQTYLIIWVITNCVSTMLLLWTFLLQRRFVKKRGKTGLETAVLLTRINNFMME
+>DECOY_sp|Q9HCC8|GDPD2_HUMAN Glycerophosphoinositol inositolphosphodiesterase GDPD2 OS=Homo sapiens OX=9606 GN=GDPD2 PE=2 SV=1
+EMMFNNIRTLLVATELGTKGRKKVFRRQLLFTWLLLMTSVCNTIVWIILYTQPTILWIPYRMQQLLQCDNTTVSDVGACWLLSFLWPKNVVFLNVSVNDKHLAKIDLLPLDQYPLNLFQPRETRNGGQRGYIQRMGPARRQVNARDEDPLWFVMAQPVRANLVTELTQIVFTDYYTHNQPPRRLDFMISLNLAAAEELLEELAPVTQSEAEKQDPGALPKAGWFPRRELFWSGANLRKLETWSFDSSHATIRTPFVSAVNTTRSLHEDHMLFPVGDSSVMVDTEFVTAGCEATKRLSMLTNEPALMPAGRHGVLGPKPPLDRPEMICPSSICLPALYIVLVVGFFLLLLLIKPGRRHIRYFTDAVPWALLAIGAAAGIHLFPATAQLSVRLSHWEQQWQIDLGVLGAAVLLMILLLLVKHLSHLHLPQRCLRLLLALVLLLSAYTVLLSIVLLFALSWDMWHGWRRFLFENFNHLDNLLVLGIYLWSLSLLFTLFLLGFWICDCKSTQMRERPCKRWHCSYLCHLCRAWVSCCGPSEAM
+>sp|Q6W3E5|GDPD4_HUMAN Glycerophosphodiester phosphodiesterase domain-containing protein 4 OS=Homo sapiens OX=9606 GN=GDPD4 PE=2 SV=1
+MLLFLWIETSSEYFNFDWVTFLGTGYWFFWSIFILSLARILTAYSSLLLLLGFLLLWERIELYLHLCHKILILLVILLCVILMFIICKFWKERWLVAGLSMQIFAPYVHLVSITVMVILFWPVAFYVACLEREVRMRRYRMTHSEKKRLKQCNVITRLRGLQVPVGLPFLLILLGLYLMPLGIYSPCIQEKENLGPKPTIFGHRGAPMLGPENTMMSFEKAVEHGAHGLETDIHLSYDHVPFLMHDFDLKRTTNIGEVQPESACENPAFFNWDFLSTLNAGKWFVKPELRPFYNMKPLSEADKERARNQSIPTLADLLTLAEKERKFVIFDLHRPPPKHPLRHTFVRQVVSVILASKIEQHLIFWLPAHDRQYVRSVAPGFQHVGRLVSIETLAKNNISIINVDYKKLFPNGLRDYKAANIHINVYTVNEPWLFSLAWCSRINSVTTDNIGLLSQLDHPHFFMTPKFYVFMWLLADIISVLFIVAIFCFHWRRETEKEKLFETSSTRTDTQSGNLHIAMKPPVRVVEGPWTLAALYPALPKSGKEHQGHFNFAAPSKKLLPIKNAVTPLKPGKHEIQPPMPTVVFELTQAPTRQATSEATFQTTLPTLKVDKPTMPSIEVPYP
+>DECOY_sp|Q6W3E5|GDPD4_HUMAN Glycerophosphodiester phosphodiesterase domain-containing protein 4 OS=Homo sapiens OX=9606 GN=GDPD4 PE=2 SV=1
+PYPVEISPMTPKDVKLTPLTTQFTAESTAQRTPAQTLEFVVTPMPPQIEHKGPKLPTVANKIPLLKKSPAAFNFHGQHEKGSKPLAPYLAALTWPGEVVRVPPKMAIHLNGSQTDTRTSSTEFLKEKETERRWHFCFIAVIFLVSIIDALLWMFVYFKPTMFFHPHDLQSLLGINDTTVSNIRSCWALSFLWPENVTYVNIHINAAKYDRLGNPFLKKYDVNIISINNKALTEISVLRGVHQFGPAVSRVYQRDHAPLWFILHQEIKSALIVSVVQRVFTHRLPHKPPPRHLDFIVFKREKEALTLLDALTPISQNRAREKDAESLPKMNYFPRLEPKVFWKGANLTSLFDWNFFAPNECASEPQVEGINTTRKLDFDHMLFPVHDYSLHIDTELGHAGHEVAKEFSMMTNEPGLMPAGRHGFITPKPGLNEKEQICPSYIGLPMLYLGLLILLFPLGVPVQLGRLRTIVNCQKLRKKESHTMRYRRMRVERELCAVYFAVPWFLIVMVTISVLHVYPAFIQMSLGAVLWREKWFKCIIFMLIVCLLIVLLILIKHCLHLYLEIREWLLLFGLLLLLSSYATLIRALSLIFISWFFWYGTGLFTVWDFNFYESSTEIWLFLLM
+>sp|Q8WTR4|GDPD5_HUMAN Glycerophosphodiester phosphodiesterase domain-containing protein 5 OS=Homo sapiens OX=9606 GN=GDPD5 PE=1 SV=2
+MVRHQPLQYYEPQLCLSCLTGIYGCRWKRYQRSHDDTTPWERLWFLLLTFTFGLTLTWLYFWWEVHNDYDEFNWYLYNRMGYWSDWPVPILVTTAAAFAYIAGLLVLALCHIAVGQQMNLHWLHKIGLVVILASTVVAMSAVAQLWEDEWEVLLISLQGTAPFLHVGAVAAVTMLSWIVAGQFARAERTSSQVTILCTFFTVVFALYLAPLTISSPCIMEKKDLGPKPALIGHRGAPMLAPEHTLMSFRKALEQKLYGLQADITISLDGVPFLMHDTTLRRTTNVEEEFPELARRPASMLNWTTLQRLNAGQWFLKTDPFWTASSLSPSDHREAQNQSICSLAELLELAKGNATLLLNLRDPPREHPYRSSFINVTLEAVLHSGFPQHQVMWLPSRQRPLVRKVAPGFQQTSGSKEAVASLRRGHIQRLNLRYTQVSRQELRDYASWNLSVNLYTVNAPWLFSLLWCAGVPSVTSDNSHALSQVPSPLWIMPPDEYCLMWVTADLVSFTLIVGIFVLQKWRLGGIRSYNPEQIMLSAAVRRTSRDVSIMKEKLIFSEISDGVEVSDVLSVCSDNSYDTYANSTATPVGPRGGGSHTKTLIERSGR
+>DECOY_sp|Q8WTR4|GDPD5_HUMAN Glycerophosphodiester phosphodiesterase domain-containing protein 5 OS=Homo sapiens OX=9606 GN=GDPD5 PE=1 SV=2
+RGSREILTKTHSGGGRPGVPTATSNAYTDYSNDSCVSLVDSVEVGDSIESFILKEKMISVDRSTRRVAASLMIQEPNYSRIGGLRWKQLVFIGVILTFSVLDATVWMLCYEDPPMIWLPSPVQSLAHSNDSTVSPVGACWLLSFLWPANVTYLNVSLNWSAYDRLEQRSVQTYRLNLRQIHGRRLSAVAEKSGSTQQFGPAVKRVLPRQRSPLWMVQHQPFGSHLVAELTVNIFSSRYPHERPPDRLNLLLTANGKALELLEALSCISQNQAERHDSPSLSSATWFPDTKLFWQGANLRQLTTWNLMSAPRRALEPFEEEVNTTRRLTTDHMLFPVGDLSITIDAQLGYLKQELAKRFSMLTHEPALMPAGRHGILAPKPGLDKKEMICPSSITLPALYLAFVVTFFTCLITVQSSTREARAFQGAVIWSLMTVAAVAGVHLFPATGQLSILLVEWEDEWLQAVASMAVVTSALIVVLGIKHLWHLNMQQGVAIHCLALVLLGAIYAFAAATTVLIPVPWDSWYGMRNYLYWNFEDYDNHVEWWFYLWTLTLGFTFTLLLFWLREWPTTDDHSRQYRKWRCGYIGTLCSLCLQPEYYQLPQHRVM
+>sp|Q6ZNW5|GDPP1_HUMAN GDP-D-glucose phosphorylase 1 OS=Homo sapiens OX=9606 GN=GDPGP1 PE=1 SV=2
+MALPHDSNETSYLLPPNNEDWGRQTIPDFVYGQKDLMAEGIQWPRNAPGIPDALPQSPFDAALCSAWKQRVELGLFRYRLRELQTQILPGAVGFVAQLNVERGVQRRPPQTIKSVRQAFDPVQFNFNKIRPGEVLFRLHREPDLPGTLLQEDILVVINVSPLEWGHVLLVPEPARQLPQRLLPGALRAGIEAVLLSLHPGFRVGFNSLGGLASVNHLHLHGYYLAHRLPVEQAPSEPLDPGGHLHLLQDLPAPGFLFYTRGPGPDLESLISRVCRATDYLTDHEIAHNLFVTRGAPPGKTSPSSALTGVRVILWARKSSFGIKDGEAFNVALCELAGHLPVKTSQDFSSLTEAAAVALIQDCRLPPSQAEDVQAALVALMSQEEQ
+>DECOY_sp|Q6ZNW5|GDPP1_HUMAN GDP-D-glucose phosphorylase 1 OS=Homo sapiens OX=9606 GN=GDPGP1 PE=1 SV=2
+QEEQSMLAVLAAQVDEAQSPPLRCDQILAVAAAETLSSFDQSTKVPLHGALECLAVNFAEGDKIGFSSKRAWLIVRVGTLASSPSTKGPPAGRTVFLNHAIEHDTLYDTARCVRSILSELDPGPGRTYFLFGPAPLDQLLHLHGGPDLPESPAQEVPLRHALYYGHLHLHNVSALGGLSNFGVRFGPHLSLLVAEIGARLAGPLLRQPLQRAPEPVLLVHGWELPSVNIVVLIDEQLLTGPLDPERHLRFLVEGPRIKNFNFQVPDFAQRVSKITQPPRRQVGREVNLQAVFGVAGPLIQTQLERLRYRFLGLEVRQKWASCLAADFPSQPLADPIGPANRPWQIGEAMLDKQGYVFDPITQRGWDENNPPLLYSTENSDHPLAM
+>sp|P52306|GDS1_HUMAN Rap1 GTPase-GDP dissociation stimulator 1 OS=Homo sapiens OX=9606 GN=RAP1GDS1 PE=1 SV=3
+MDNLSDTLKKLKITAVDKTEDSLEGCLDCLLQALAQNNTETSEKIQASGILQLFASLLTPQSSCKAKVANIIAEVAKNEFMRIPCVDAGLISPLVQLLNSKDQEVLLQTGRALGNICYDSHEGRSAVDQAGGAQIVIDHLRSLCSITDPANEKLLTVFCGMLMNYSNENDSLQAQLINMGVIPTLVKLLGIHCQNAALTEMCLVAFGNLAELESSKEQFASTNIAEELVKLFKKQIEHDKREMIFEVLAPLAENDAIKLQLVEAGLVECLLEIVQQKVDSDKEDDITELKTGSDLMVLLLLGDESMQKLFEGGKGSVFQRVLSWIPSNNHQLQLAGALAIANFARNDANCIHMVDNGIVEKLMDLLDRHVEDGNVTVQHAALSALRNLAIPVINKAKMLSAGVTEAVLKFLKSEMPPVQFKLLGTLRMLIDAQAEAAEQLGKNVKLVERLVEWCEAKDHAGVMGESNRLLSALIRHSKSKDVIKTIVQSGGIKHLVTMATSEHVIMQNEALVALALIAALELGTAEKDLESAKLVQILHRLLADERSAPEIKYNSMVLICALMGSECLHKEVQDLAFLDVVSKLRSHENKSVAQQASLTEQRLTVES
+>DECOY_sp|P52306|GDS1_HUMAN Rap1 GTPase-GDP dissociation stimulator 1 OS=Homo sapiens OX=9606 GN=RAP1GDS1 PE=1 SV=3
+SEVTLRQETLSAQQAVSKNEHSRLKSVVDLFALDQVEKHLCESGMLACILVMSNYKIEPASREDALLRHLIQVLKASELDKEATGLELAAILALAVLAENQMIVHESTAMTVLHKIGGSQVITKIVDKSKSHRILASLLRNSEGMVGAHDKAECWEVLREVLKVNKGLQEAAEAQADILMRLTGLLKFQVPPMESKLFKLVAETVGASLMKAKNIVPIALNRLASLAAHQVTVNGDEVHRDLLDMLKEVIGNDVMHICNADNRAFNAIALAGALQLQHNNSPIWSLVRQFVSGKGGEFLKQMSEDGLLLLVMLDSGTKLETIDDEKDSDVKQQVIELLCEVLGAEVLQLKIADNEALPALVEFIMERKDHEIQKKFLKVLEEAINTSAFQEKSSELEALNGFAVLCMETLAANQCHIGLLKVLTPIVGMNILQAQLSDNENSYNMLMGCFVTLLKENAPDTISCLSRLHDIVIQAGGAQDVASRGEHSDYCINGLARGTQLLVEQDKSNLLQVLPSILGADVCPIRMFENKAVEAIINAVKAKCSSQPTLLSAFLQLIGSAQIKESTETNNQALAQLLCDLCGELSDETKDVATIKLKKLTDSLNDM
+>sp|Q17RS7|GEN_HUMAN Flap endonuclease GEN homolog 1 OS=Homo sapiens OX=9606 GN=GEN1 PE=1 SV=2
+MGVNDLWQILEPVKQHIPLRNLGGKTIAVDLSLWVCEAQTVKKMMGSVMKPHLRNLFFRISYLTQMDVKLVFVMEGEPPKLKADVISKRNQSRYGSSGKSWSQKTGRSHFKSVLRECLHMLECLGIPWVQAAGEAEAMCAYLNAGGHVDGCLTNDGDTFLYGAQTVYRNFTMNTKDPHVDCYTMSSIKSKLGLDRDALVGLAILLGCDYLPKGVPGVGKEQALKLIQILKGQSLLQRFNRWNETSCNSSPQLLVTKKLAHCSVCSHPGSPKDHERNGCRLCKSDKYCEPHDYEYCCPCEWHRTEHDRQLSEVENNIKKKACCCEGFPFHEVIQEFLLNKDKLVKVIRYQRPDLLLFQRFTLEKMEWPNHYACEKLLVLLTHYDMIERKLGSRNSNQLQPIRIVKTRIRNGVHCFEIEWEKPEHYAMEDKQHGEFALLTIEEESLFEAAYPEIVAVYQKQKLEIKGKKQKRIKPKENNLPEPDEVMSFQSHMTLKPTCEIFHKQNSKLNSGISPDPTLPQESISASLNSLLLPKNTPCLNAQEQFMSSLRPLAIQQIKAVSKSLISESSQPNTSSHNISVIADLHLSTIDWEGTSFSNSPAIQRNTFSHDLKSEVESELSAIPDGFENIPEQLSCESERYTANIKKVLDEDSDGISPEEHLLSGITDLCLQDLPLKERIFTKLSYPQDNLQPDVNLKTLSILSVKESCIANSGSDCTSHLSKDLPGIPLQNESRDSKILKGDQLLQEDYKVNTSVPYSVSNTVVKTCNVRPPNTALDHSRKVDMQTTRKILMKKSVCLDRHSSDEQSAPVFGKAKYTTQRMKHSSQKHNSSHFKESGHNKLSSPKIHIKETEQCVRSYETAENEESCFPDSTKSSLSSLQCHKKENNSGTCLDSPLPLRQRLKLRFQST
+>DECOY_sp|Q17RS7|GEN_HUMAN Flap endonuclease GEN homolog 1 OS=Homo sapiens OX=9606 GN=GEN1 PE=1 SV=2
+TSQFRLKLRQRLPLPSDLCTGSNNEKKHCQLSSLSSKTSDPFCSEENEATEYSRVCQETEKIHIKPSSLKNHGSEKFHSSNHKQSSHKMRQTTYKAKGFVPASQEDSSHRDLCVSKKMLIKRTTQMDVKRSHDLATNPPRVNCTKVVTNSVSYPVSTNVKYDEQLLQDGKLIKSDRSENQLPIGPLDKSLHSTCDSGSNAICSEKVSLISLTKLNVDPQLNDQPYSLKTFIREKLPLDQLCLDTIGSLLHEEPSIGDSDEDLVKKINATYRESECSLQEPINEFGDPIASLESEVESKLDHSFTNRQIAPSNSFSTGEWDITSLHLDAIVSINHSSTNPQSSESILSKSVAKIQQIALPRLSSMFQEQANLCPTNKPLLLSNLSASISEQPLTPDPSIGSNLKSNQKHFIECTPKLTMHSQFSMVEDPEPLNNEKPKIRKQKKGKIELKQKQYVAVIEPYAAEFLSEEEITLLAFEGHQKDEMAYHEPKEWEIEFCHVGNRIRTKVIRIPQLQNSNRSGLKREIMDYHTLLVLLKECAYHNPWEMKELTFRQFLLLDPRQYRIVKVLKDKNLLFEQIVEHFPFGECCCAKKKINNEVESLQRDHETRHWECPCCYEYDHPECYKDSKCLRCGNREHDKPSGPHSCVSCHALKKTVLLQPSSNCSTENWRNFRQLLSQGKLIQILKLAQEKGVGPVGKPLYDCGLLIALGVLADRDLGLKSKISSMTYCDVHPDKTNMTFNRYVTQAGYLFTDGDNTLCGDVHGGANLYACMAEAEGAAQVWPIGLCELMHLCERLVSKFHSRGTKQSWSKGSSGYRSQNRKSIVDAKLKPPEGEMVFVLKVDMQTLYSIRFFLNRLHPKMVSGMMKKVTQAECVWLSLDVAITKGGLNRLPIHQKVPELIQWLDNVGM
+>sp|P56159|GFRA1_HUMAN GDNF family receptor alpha-1 OS=Homo sapiens OX=9606 GN=GFRA1 PE=2 SV=2
+MFLATLYFALPLLDLLLSAEVSGGDRLDCVKASDQCLKEQSCSTKYRTLRQCVAGKETNFSLASGLEAKDECRSAMEALKQKSLYNCRCKRGMKKEKNCLRIYWSMYQSLQGNDLLEDSPYEPVNSRLSDIFRVVPFISDVFQQVEHIPKGNNCLDAAKACNLDDICKKYRSAYITPCTTSVSNDVCNRRKCHKALRQFFDKVPAKHSYGMLFCSCRDIACTERRRQTIVPVCSYEEREKPNCLNLQDSCKTNYICRSRLADFFTNCQPESRSVSSCLKENYADCLLAYSGLIGTVMTPNYIDSSSLSVAPWCDCSNSGNDLEECLKFLNFFKDNTCLKNAIQAFGNGSDVTVWQPAFPVQTTTATTTTALRVKNKPLGPAGSENEIPTHVLPPCANLQAQKLKSNVSGNTHLCISNGNYEKEGLGASSHITTKSMAAPPSCGLSPLLVLVVTALSTLLSLTETS
+>DECOY_sp|P56159|GFRA1_HUMAN GDNF family receptor alpha-1 OS=Homo sapiens OX=9606 GN=GFRA1 PE=2 SV=2
+STETLSLLTSLATVVLVLLPSLGCSPPAAMSKTTIHSSAGLGEKEYNGNSICLHTNGSVNSKLKQAQLNACPPLVHTPIENESGAPGLPKNKVRLATTTTATTTQVPFAPQWVTVDSGNGFAQIANKLCTNDKFFNLFKLCEELDNGSNSCDCWPAVSLSSSDIYNPTMVTGILGSYALLCDAYNEKLCSSVSRSEPQCNTFFDALRSRCIYNTKCSDQLNLCNPKEREEYSCVPVITQRRRETCAIDRCSCFLMGYSHKAPVKDFFQRLAKHCKRRNCVDNSVSTTCPTIYASRYKKCIDDLNCAKAADLCNNGKPIHEVQQFVDSIFPVVRFIDSLRSNVPEYPSDELLDNGQLSQYMSWYIRLCNKEKKMGRKCRCNYLSKQKLAEMASRCEDKAELGSALSFNTEKGAVCQRLTRYKTSCSQEKLCQDSAKVCDLRDGGSVEASLLLDLLPLAFYLTALFM
+>sp|O00451|GFRA2_HUMAN GDNF family receptor alpha-2 OS=Homo sapiens OX=9606 GN=GFRA2 PE=1 SV=2
+MILANVFCLFFFLDETLRSLASPSSLQGPELHGWRPPVDCVRANELCAAESNCSSRYRTLRQCLAGRDRNTMLANKECQAALEVLQESPLYDCRCKRGMKKELQCLQIYWSIHLGLTEGEEFYEASPYEPVTSRLSDIFRLASIFSGTGADPVVSAKSNHCLDAAKACNLNDNCKKLRSSYISICNREISPTERCNRRKCHKALRQFFDRVPSEYTYRMLFCSCQDQACAERRRQTILPSCSYEDKEKPNCLDLRGVCRTDHLCRSRLADFHANCRASYQTVTSCPADNYQACLGSYAGMIGFDMTPNYVDSSPTGIVVSPWCSCRGSGNMEEECEKFLRDFTENPCLRNAIQAFGNGTDVNVSPKGPSFQATQAPRVEKTPSLPDDLSDSTSLGTSVITTCTSVQEQGLKANNSKELSMCFTELTTNIIPGSNKVIKPNSGPSRARPSAALTVLSVLMLKLAL
+>DECOY_sp|O00451|GFRA2_HUMAN GDNF family receptor alpha-2 OS=Homo sapiens OX=9606 GN=GFRA2 PE=1 SV=2
+LALKLMLVSLVTLAASPRARSPGSNPKIVKNSGPIINTTLETFCMSLEKSNNAKLGQEQVSTCTTIVSTGLSTSDSLDDPLSPTKEVRPAQTAQFSPGKPSVNVDTGNGFAQIANRLCPNETFDRLFKECEEEMNGSGRCSCWPSVVIGTPSSDVYNPTMDFGIMGAYSGLCAQYNDAPCSTVTQYSARCNAHFDALRSRCLHDTRCVGRLDLCNPKEKDEYSCSPLITQRRREACAQDQCSCFLMRYTYESPVRDFFQRLAKHCKRRNCRETPSIERNCISIYSSRLKKCNDNLNCAKAADLCHNSKASVVPDAGTGSFISALRFIDSLRSTVPEYPSAEYFEEGETLGLHISWYIQLCQLEKKMGRKCRCDYLPSEQLVELAAQCEKNALMTNRDRGALCQRLTRYRSSCNSEAACLENARVCDVPPRWGHLEPGQLSSPSALSRLTEDLFFFLCFVNALIM
+>sp|I3L273|GFY_HUMAN Golgi-associated olfactory signaling regulator OS=Homo sapiens OX=9606 GN=GFY PE=3 SV=1
+MKSFSRILFLVFLLAGLRSKAAPSAPLPLGCGFPDMAHPSETSPLKGASENSKRDRLNPEFPGTPYPEPSKLPHTVSLETFPLDFTEPLNPDLRETPHPESPETPKADSLTTSISESLDMPKTNLSKMAHPESSETPTPGPTEMPHPGSPETPKPNFSKTSRPEFPETPNTDLMQTTPQESPEILQLNATEVSQAELPETSNTNPTKTPDPKSPEKHDLNSTETPNSEFLQALHPDPSKTPHPESHVTHNPSPTEISQTEFPTTYYQNATDVPRTSDPQISTSLYPETPVPFKDDATALNELSLNPKPGTPAAIQPDSPKLPTSDSPGMVELKAPQNSGPKESNVPPPSARIAGPPALPGRPSQLAPATLRAPQRHSRGEGVNTIIVVERVKETGVTLVGRPRGAAGGALCLFFAGTALLIGIFVLLWCLYRRAARQRPFAHHRLPDDGDEPVLHLDAPKDPYDLYFYAPDTWVPSHIATKQPPPTPPLPPKLPPPPRGGRPQRLEALSPATLPNNFV
+>DECOY_sp|I3L273|GFY_HUMAN Golgi-associated olfactory signaling regulator OS=Homo sapiens OX=9606 GN=GFY PE=3 SV=1
+VFNNPLTAPSLAELRQPRGGRPPPPLKPPLPPTPPPQKTAIHSPVWTDPAYFYLDYPDKPADLHLVPEDGDDPLRHHAFPRQRAARRYLCWLLVFIGILLATGAFFLCLAGGAAGRPRGVLTVGTEKVREVVIITNVGEGRSHRQPARLTAPALQSPRGPLAPPGAIRASPPPVNSEKPGSNQPAKLEVMGPSDSTPLKPSDPQIAAPTGPKPNLSLENLATADDKFPVPTEPYLSTSIQPDSTRPVDTANQYYTTPFETQSIETPSPNHTVHSEPHPTKSPDPHLAQLFESNPTETSNLDHKEPSKPDPTKTPNTNSTEPLEAQSVETANLQLIEPSEQPTTQMLDTNPTEPFEPRSTKSFNPKPTEPSGPHPMETPGPTPTESSEPHAMKSLNTKPMDLSESISTTLSDAKPTEPSEPHPTERLDPNLPETFDLPFTELSVTHPLKSPEPYPTGPFEPNLRDRKSNESAGKLPSTESPHAMDPFGCGLPLPASPAAKSRLGALLFVLFLIRSFSKM
+>sp|A6NDE8|GG12H_HUMAN G antigen 12H OS=Homo sapiens OX=9606 GN=GAGE12H PE=3 SV=1
+MSWRGRSTYYWPRPRRYVQPPEMIGPMRPEQFSDEVEPATPEEGEPATQCQDPAAAQKGEDEGASAGQGPKPEAHSQEQGHPQTGCECEDGPDGQEMDPPNPEEVKTPEEGEKQSQC
+>DECOY_sp|A6NDE8|GG12H_HUMAN G antigen 12H OS=Homo sapiens OX=9606 GN=GAGE12H PE=3 SV=1
+CQSQKEGEEPTKVEEPNPPDMEQGDPGDECECGTQPHGQEQSHAEPKPGQGASAGEDEGKQAAAPDQCQTAPEGEEPTAPEVEDSFQEPRMPGIMEPPQVYRRPRPWYYTSRGRWSM
+>sp|A0A1B0GV03|GG6L7_HUMAN Golgin subfamily A member 6-like protein 7 OS=Homo sapiens OX=9606 GN=GOLGA6L7 PE=3 SV=1
+MMSEKTQQRKLAGTKKKFTDYHQWNSAGVGTGATDTKKKKINHGANPETTTSGGCHSPEDKQQNRAQLKEENKASHQHQQALRRQLEAQDHTIRILMCQKTELETALHDSQDAARKFEEDSKDLAARLHHSWHFAGELQRALSAMSAEHERADKYIKELTKEREAMSLELFRNIITNKELKEKNAELQEKLRLVETEKSEIQLHIKELKRKLETDKIPLPQVQTNTLQEKMWRQEEELRDQEELRDQEKLRKHEEKMWRQEQRLRDQEKELREQEQQMQEQEEQMRKQEEQMRKQEEQMRKQEEQMRKQEEQMRKQEEQMRKQEEQMGKQEEQMGEQEEQMRKQEKQMLKQKEQMRKQEEQMWKQEEQIGEQEEQMRKQEEQMWKQEEQIGEQEEQMRKQEEQMWKQEEQMGEQMRKQEEQMGEQEEQIRKQEEQMGEQEEQMRKQEEQMGEQEEQMRKQEEQMGEQEEQMRKQEEQMGEQEEQMGEQEEQMRKQVERLQFKEERLWDEYEKMQEEEEKIRRQVEKRREKKERMGEQEKTQEERCSEPCLPPSKYPSDMSHPGSLEPAREAGKGYSHDNRTAQIMQLPPGMKNAQERPGLGSTSCIPFFYGGDKKKIKIISI
+>DECOY_sp|A0A1B0GV03|GG6L7_HUMAN Golgin subfamily A member 6-like protein 7 OS=Homo sapiens OX=9606 GN=GOLGA6L7 PE=3 SV=1
+ISIIKIKKKDGGYFFPICSTSGLGPREQANKMGPPLQMIQATRNDHSYGKGAERAPELSGPHSMDSPYKSPPLCPESCREEQTKEQEGMREKKERRKEVQRRIKEEEEQMKEYEDWLREEKFQLREVQKRMQEEQEGMQEEQEGMQEEQKRMQEEQEGMQEEQKRMQEEQEGMQEEQKRMQEEQEGMQEEQKRIQEEQEGMQEEQKRMQEGMQEEQKWMQEEQKRMQEEQEGIQEEQKWMQEEQKRMQEEQEGIQEEQKWMQEEQKRMQEKQKLMQKEQKRMQEEQEGMQEEQKGMQEEQKRMQEEQKRMQEEQKRMQEEQKRMQEEQKRMQEEQKRMQEEQEQMQQEQERLEKEQDRLRQEQRWMKEEHKRLKEQDRLEEQDRLEEEQRWMKEQLTNTQVQPLPIKDTELKRKLEKIHLQIESKETEVLRLKEQLEANKEKLEKNTIINRFLELSMAEREKTLEKIYKDAREHEASMASLARQLEGAFHWSHHLRAALDKSDEEFKRAADQSDHLATELETKQCMLIRITHDQAELQRRLAQQHQHSAKNEEKLQARNQQKDEPSHCGGSTTTEPNAGHNIKKKKTDTAGTGVGASNWQHYDTFKKKTGALKRQQTKESMM
+>sp|O95749|GGPPS_HUMAN Geranylgeranyl pyrophosphate synthase OS=Homo sapiens OX=9606 GN=GGPS1 PE=1 SV=1
+MEKTQETVQRILLEPYKYLLQLPGKQVRTKLSQAFNHWLKVPEDKLQIIIEVTEMLHNASLLIDDIEDNSKLRRGFPVAHSIYGIPSVINSANYVYFLGLEKVLTLDHPDAVKLFTRQLLELHQGQGLDIYWRDNYTCPTEEEYKAMVLQKTGGLFGLAVGLMQLFSDYKEDLKPLLNTLGLFFQIRDDYANLHSKEYSENKSFCEDLTEGKFSFPTIHAIWSRPESTQVQNILRQRTENIDIKKYCVHYLEDVGSFEYTRNTLKELEAKAYKQIDARGGNPELVALVKHLSKMFKEENE
+>DECOY_sp|O95749|GGPPS_HUMAN Geranylgeranyl pyrophosphate synthase OS=Homo sapiens OX=9606 GN=GGPS1 PE=1 SV=1
+ENEEKFMKSLHKVLAVLEPNGGRADIQKYAKAELEKLTNRTYEFSGVDELYHVCYKKIDINETRQRLINQVQTSEPRSWIAHITPFSFKGETLDECFSKNESYEKSHLNAYDDRIQFFLGLTNLLPKLDEKYDSFLQMLGVALGFLGGTKQLVMAKYEEETPCTYNDRWYIDLGQGQHLELLQRTFLKVADPHDLTLVKELGLFYVYNASNIVSPIGYISHAVPFGRRLKSNDEIDDILLSANHLMETVEIIIQLKDEPVKLWHNFAQSLKTRVQKGPLQLLYKYPELLIRQVTEQTKEM
+>sp|P36269|GGT5_HUMAN Glutathione hydrolase 5 proenzyme OS=Homo sapiens OX=9606 GN=GGT5 PE=1 SV=2
+MARGYGATVSLVLLGLGLALAVIVLAVVLSRHQAPCGPQAFAHAAVAADSKVCSDIGRAILQQQGSPVDATIAALVCTSVVNPQSMGLGGGVIFTIYNVTTGKVEVINARETVPASHAPSLLDQCAQALPLGTGAQWIGVPGELRGYAEAHRRHGRLPWAQLFQPTIALLRGGHVVAPVLSRFLHNSILRPSLQASTLRQLFFNGTEPLRPQDPLPWPALATTLETVATEGVEVFYTGRLGQMLVEDIAKEGSQLTLQDLAKFQPEVVDALEVPLGDYTLYSPPPPAGGAILSFILNVLRGFNFSTESMARPEGRVNVYHHLVETLKFAKGQRWRLGDPRSHPKLQNASRDLLGETLAQLIRQQIDGRGDHQLSHYSLAEAWGHGTGTSHVSVLGEDGSAVAATSTINTPFGAMVYSPRTGIILNNELLDLCERCPRGSGTTPSPVSGDRVGGAPGRCWPPVPGERSPSSMVPSILINKAQGSKLVIGGAGGELIISAVAQAIMSKLWLGFDLRAAIAAPILHVNSKGCVEYEPNFSQEVQRGLQDRGQNQTQRPFFLNVVQAVSQEGACVYAVSDLRKSGEAAGY
+>DECOY_sp|P36269|GGT5_HUMAN Glutathione hydrolase 5 proenzyme OS=Homo sapiens OX=9606 GN=GGT5 PE=1 SV=2
+YGAAEGSKRLDSVAYVCAGEQSVAQVVNLFFPRQTQNQGRDQLGRQVEQSFNPEYEVCGKSNVHLIPAAIAARLDFGLWLKSMIAQAVASIILEGGAGGIVLKSGQAKNILISPVMSSPSREGPVPPWCRGPAGGVRDGSVPSPTTGSGRPCRECLDLLENNLIIGTRPSYVMAGFPTNITSTAAVASGDEGLVSVHSTGTGHGWAEALSYHSLQHDGRGDIQQRILQALTEGLLDRSANQLKPHSRPDGLRWRQGKAFKLTEVLHHYVNVRGEPRAMSETSFNFGRLVNLIFSLIAGGAPPPPSYLTYDGLPVELADVVEPQFKALDQLTLQSGEKAIDEVLMQGLRGTYFVEVGETAVTELTTALAPWPLPDQPRLPETGNFFLQRLTSAQLSPRLISNHLFRSLVPAVVHGGRLLAITPQFLQAWPLRGHRRHAEAYGRLEGPVGIWQAGTGLPLAQACQDLLSPAHSAPVTERANIVEVKGTTVNYITFIVGGGLGMSQPNVVSTCVLAAITADVPSGQQQLIARGIDSCVKSDAAVAAHAFAQPGCPAQHRSLVVALVIVALALGLGLLVLSVTAGYGRAM
+>sp|Q4G0N0|GGTA1_HUMAN Inactive N-acetyllactosaminide alpha-1,3-galactosyltransferase OS=Homo sapiens OX=9606 GN=GGTA1P PE=1 SV=2
+MNVKGKVILSMLVVSTVIIVFWEFINSTEGSFLWIYHSKNPEVDDSSAQKGWWFLSWFNNGIHNYQQGEEDIDKEKGREETKGRKMTQQSFGYGTGLIQT
+>DECOY_sp|Q4G0N0|GGTA1_HUMAN Inactive N-acetyllactosaminide alpha-1,3-galactosyltransferase OS=Homo sapiens OX=9606 GN=GGTA1P PE=1 SV=2
+TQILGTGYGFSQQTMKRGKTEERGKEKDIDEEGQQYNHIGNNFWSLFWWGKQASSDDVEPNKSHYIWLFSGETSNIFEWFVIIVTSVVLMSLIVKGKVNM
+>sp|Q9H1K4|GHC2_HUMAN Mitochondrial glutamate carrier 2 OS=Homo sapiens OX=9606 GN=SLC25A18 PE=1 SV=1
+MTHQDLSITAKLINGGVAGLVGVTCVFPIDLAKTRLQNQHGKAMYKGMIDCLMKTARAEGFFGMYRGAAVNLTLVTPEKAIKLAANDFFRRLLMEDGMQRNLKMEMLAGCGAGMCQVVVTCPMEMLKIQLQDAGRLAVHHQGSASAPSTSRSYTTGSASTHRRPSATLIAWELLRTQGLAGLYRGLGATLLRDIPFSIIYFPLFANLNNLGFNELAGKASFAHSFVSGCVAGSIAAVAVTPLDVLKTRIQTLKKGLGEDMYSGITDCARKLWIQEGPSAFMKGAGCRALVIAPLFGIAQGVYFIGIGERILKCFD
+>DECOY_sp|Q9H1K4|GHC2_HUMAN Mitochondrial glutamate carrier 2 OS=Homo sapiens OX=9606 GN=SLC25A18 PE=1 SV=1
+DFCKLIREGIGIFYVGQAIGFLPAIVLARCGAGKMFASPGEQIWLKRACDTIGSYMDEGLGKKLTQIRTKLVDLPTVAVAAISGAVCGSVFSHAFSAKGALENFGLNNLNAFLPFYIISFPIDRLLTAGLGRYLGALGQTRLLEWAILTASPRRHTSASGTTYSRSTSPASASGQHHVALRGADQLQIKLMEMPCTVVVQCMGAGCGALMEMKLNRQMGDEMLLRRFFDNAALKIAKEPTVLTLNVAAGRYMGFFGEARATKMLCDIMGKYMAKGHQNQLRTKALDIPFVCTVGVLGAVGGNILKATISLDQHTM
+>sp|P10912|GHR_HUMAN Growth hormone receptor OS=Homo sapiens OX=9606 GN=GHR PE=1 SV=1
+MDLWQLLLTLALAGSSDAFSGSEATAAILSRAPWSLQSVNPGLKTNSSKEPKFTKCRSPERETFSCHWTDEVHHGTKNLGPIQLFYTRRNTQEWTQEWKECPDYVSAGENSCYFNSSFTSIWIPYCIKLTSNGGTVDEKCFSVDEIVQPDPPIALNWTLLNVSLTGIHADIQVRWEAPRNADIQKGWMVLEYELQYKEVNETKWKMMDPILTTSVPVYSLKVDKEYEVRVRSKQRNSGNYGEFSEVLYVTLPQMSQFTCEEDFYFPWLLIIIFGIFGLTVMLFVFLFSKQQRIKMLILPPVPVPKIKGIDPDLLKEGKLEEVNTILAIHDSYKPEFHSDDSWVEFIELDIDEPDEKTEESDTDRLLSSDHEKSHSNLGVKDGDSGRTSCCEPDILETDFNANDIHEGTSEVAQPQRLKGEADLLCLDQKNQNNSPYHDACPATQQPSVIQAEKNKPQPLPTEGAESTHQAAHIQLSNPSSLSNIDFYAQVSDITPAGSVVLSPGQKNKAGMSQCDMHPEMVSLCQENFLMDNAYFCEADAKKCIPVAPHIKVESHIQPSLNQEDIYITTESLTTAAGRPGTGEHVPGSEMPVPDYTSIHIVQSPQGLILNATALPLPDKEFLSSCGYVSTDQLNKIMP
+>DECOY_sp|P10912|GHR_HUMAN Growth hormone receptor OS=Homo sapiens OX=9606 GN=GHR PE=1 SV=1
+PMIKNLQDTSVYGCSSLFEKDPLPLATANLILGQPSQVIHISTYDPVPMESGPVHEGTGPRGAATTLSETTIYIDEQNLSPQIHSEVKIHPAVPICKKADAECFYANDMLFNEQCLSVMEPHMDCQSMGAKNKQGPSLVVSGAPTIDSVQAYFDINSLSSPNSLQIHAAQHTSEAGETPLPQPKNKEAQIVSPQQTAPCADHYPSNNQNKQDLCLLDAEGKLRQPQAVESTGEHIDNANFDTELIDPECCSTRGSDGDKVGLNSHSKEHDSSLLRDTDSEETKEDPEDIDLEIFEVWSDDSHFEPKYSDHIALITNVEELKGEKLLDPDIGKIKPVPVPPLILMKIRQQKSFLFVFLMVTLGFIGFIIILLWPFYFDEECTFQSMQPLTVYLVESFEGYNGSNRQKSRVRVEYEKDVKLSYVPVSTTLIPDMMKWKTENVEKYQLEYELVMWGKQIDANRPAEWRVQIDAHIGTLSVNLLTWNLAIPPDPQVIEDVSFCKEDVTGGNSTLKICYPIWISTFSSNFYCSNEGASVYDPCEKWEQTWEQTNRRTYFLQIPGLNKTGHHVEDTWHCSFTEREPSRCKTFKPEKSSNTKLGPNVSQLSWPARSLIAATAESGSFADSSGALALTLLLQWLDM
+>sp|Q8ND71|GIMA8_HUMAN GTPase IMAP family member 8 OS=Homo sapiens OX=9606 GN=GIMAP8 PE=2 SV=2
+MSEQSCQMSELRLLLLGKCRSGKSATGNAILGKHVFKSKFSDQTVIKMCQRESWVLRERKVVVIDTPDLFSSIACAEDKQRNIQHCLELSAPSLHALLLVIAIGHFTREDEETAKGIQQVFGAEARRHIIIVFTRKDDLGDDLLQDFIEKNKPLKQLVQDYEGRYCIFNNKTNSKDEQITQVLELLRKVESLVNTNGGPYHVNFKTEGSRFQDCVNEAASQEGDKPQGPRERQLQSTGPEQNPGTSELTVLLVGKRGAGKSAAGNSILGRQAFQTGFSEQSVTQSFLSESRSWRKKKVSIIDAPDISSLKNIDSEVRKHICTGPHAFLLVTPLGFYTKNDEAVLSTIQNNFGEKFFEYMIILLTRKEDLGDQDLDTFLRNSNKALYGLIQKCKNRYSAFNYRATGEEEQRQADELLEKIESMVHQNGNKHCVFREKETLNIVLVGRSGTGKSATGNSILGSLVFTSRLRAQPVTKTSQSGRRTWDGQEVVVVDTPSFNQMLDVEKDPSRLEEEVKRCLSCCEKGDTFFVLVFQLGRFTEEDKTAVAKLEAIFGADFTKYAIMLFTRKEDLGAGNLEDFMKNSDNKALRRIFKKCGRRVCAFNNKETGQAQETQVKALLTKVNDLRKESGWSGYPHTQENVSKLIKNVQEMSQAEKLLKNLIGILQ
+>DECOY_sp|Q8ND71|GIMA8_HUMAN GTPase IMAP family member 8 OS=Homo sapiens OX=9606 GN=GIMAP8 PE=2 SV=2
+QLIGILNKLLKEAQSMEQVNKILKSVNEQTHPYGSWGSEKRLDNVKTLLAKVQTEQAQGTEKNNFACVRRGCKKFIRRLAKNDSNKMFDELNGAGLDEKRTFLMIAYKTFDAGFIAELKAVATKDEETFRGLQFVLVFFTDGKECCSLCRKVEEELRSPDKEVDLMQNFSPTDVVVVEQGDWTRRGSQSTKTVPQARLRSTFVLSGLISNGTASKGTGSRGVLVINLTEKERFVCHKNGNQHVMSEIKELLEDAQRQEEEGTARYNFASYRNKCKQILGYLAKNSNRLFTDLDQDGLDEKRTLLIIMYEFFKEGFNNQITSLVAEDNKTYFGLPTVLLFAHPGTCIHKRVESDINKLSSIDPADIISVKKKRWSRSESLFSQTVSQESFGTQFAQRGLISNGAASKGAGRKGVLLVTLESTGPNQEPGTSQLQRERPGQPKDGEQSAAENVCDQFRSGETKFNVHYPGGNTNVLSEVKRLLELVQTIQEDKSNTKNNFICYRGEYDQVLQKLPKNKEIFDQLLDDGLDDKRTFVIIIHRRAEAGFVQQIGKATEEDERTFHGIAIVLLLAHLSPASLELCHQINRQKDEACAISSFLDPTDIVVVKRERLVWSERQCMKIVTQDSFKSKFVHKGLIANGTASKGSRCKGLLLLRLESMQCSQESM
+>sp|O14908|GIPC1_HUMAN PDZ domain-containing protein GIPC1 OS=Homo sapiens OX=9606 GN=GIPC1 PE=1 SV=2
+MPLGLGRRKKAPPLVENEEAEPGRGGLGVGEPGPLGGGGSGGPQMGLPPPPPALRPRLVFHTQLAHGSPTGRIEGFTNVKELYGKIAEAFRLPTAEVMFCTLNTHKVDMDKLLGGQIGLEDFIFAHVKGQRKEVEVFKSEDALGLTITDNGAGYAFIKRIKEGSVIDHIHLISVGDMIEAINGQSLLGCRHYEVARLLKELPRGRTFTLKLTEPRKAFDMISQRSAGGRPGSGPQLGTGRGTLRLRSRGPATVEDLPSAFEEKAIEKVDDLLESYMGIRDTELAATMVELGKDKRNPDELAEALDERLGDFAFPDEFVFDVWGAIGDAKVGRY
+>DECOY_sp|O14908|GIPC1_HUMAN PDZ domain-containing protein GIPC1 OS=Homo sapiens OX=9606 GN=GIPC1 PE=1 SV=2
+YRGVKADGIAGWVDFVFEDPFAFDGLREDLAEALEDPNRKDKGLEVMTAALETDRIGMYSELLDDVKEIAKEEFASPLDEVTAPGRSRLRLTGRGTGLQPGSGPRGGASRQSIMDFAKRPETLKLTFTRGRPLEKLLRAVEYHRCGLLSQGNIAEIMDGVSILHIHDIVSGEKIRKIFAYGAGNDTITLGLADESKFVEVEKRQGKVHAFIFDELGIQGGLLKDMDVKHTNLTCFMVEATPLRFAEAIKGYLEKVNTFGEIRGTPSGHALQTHFVLRPRLAPPPPPLGMQPGGSGGGGLPGPEGVGLGGRGPEAEENEVLPPAKKRRGLGLPM
+>sp|Q96MS3|GL1D1_HUMAN Glycosyltransferase 1 domain-containing protein 1 OS=Homo sapiens OX=9606 GN=GLT1D1 PE=2 SV=2
+MRLLFLAVLRPHTGNAVTAQRVRAHLEAAGHVCVLKDAFDFESRSEIANLILAENCEAALALHLYRGGRLLQGHRIPFGVIFGGTDVNEDANQAEKNTVMGRVLEEARFAVAFTESMKEMAQAQWPHAKGKVYVQSQGIATTPNAAFNWNTFLQRSEINQSADNLHIFLLICGLRQVKDPLYLVDAFSAWHQEEPNVHLVIVGPEVDPVFTREVKAKVKRAAGVRLIGEMPQEDLHAVVKNCFAVVNSSVSEGMSAAILEAMDLEVPVLARNIPGNAAVVKHEVTGLLFSNPQEFVHLAKRLVSDPALEKEIVVNGREYVRMYHSWQVERDTYQQLIRKLEGSTED
+>DECOY_sp|Q96MS3|GL1D1_HUMAN Glycosyltransferase 1 domain-containing protein 1 OS=Homo sapiens OX=9606 GN=GLT1D1 PE=2 SV=2
+DETSGELKRILQQYTDREVQWSHYMRVYERGNVVIEKELAPDSVLRKALHVFEQPNSFLLGTVEHKVVAANGPINRALVPVELDMAELIAASMGESVSSNVVAFCNKVVAHLDEQPMEGILRVGAARKVKAKVERTFVPDVEPGVIVLHVNPEEQHWASFADVLYLPDKVQRLGCILLFIHLNDASQNIESRQLFTNWNFAANPTTAIGQSQVYVKGKAHPWQAQAMEKMSETFAVAFRAEELVRGMVTNKEAQNADENVDTGGFIVGFPIRHGQLLRGGRYLHLALAAECNEALILNAIESRSEFDFADKLVCVHGAAELHARVRQATVANGTHPRLVALFLLRM
+>sp|Q6PIY7|GLD2_HUMAN Poly(A) RNA polymerase GLD2 OS=Homo sapiens OX=9606 GN=PAPD4 PE=1 SV=1
+MFPNSILGRPPFTPNHQQHNNFFTLSPTVYSHQQLIDAQFNFQNADLSRAVSLQQLTYGNVSPIQTSASPLFRGRKRLSDEKNLPLDGKRQRFHSPHQEPTVVNQIVPLSGERRYSMPPLFHTHYVPDIVRCVPPFREIAFLEPREITLPEAKDKLSQQILELFETCQQQISDLKKKELCRTQLQREIQLLFPQSRLFLVGSSLNGFGTRSSDGDLCLVVKEEPCFFQVNQKTEARHILTLVHKHFCTRLSGYIERPQLIRAKVPIVKFRDKVSCVEFDLNVNNIVGIRNTFLLRTYAYLENRVRPLVLVIKKWASHHQINDASRGTLSSYSLVLMVLHYLQTLPEPILPSLQKIYPESFSPAIQLHLVHQAPCNVPPYLSKNESNLGDLLLGFLKYYATEFDWNSQMISVREAKAIPRPDGIEWRNKYICVEEPFDGTNTARAVHEKQKFDMIKDQFLKSWHRLKNKRDLNSILPVRAAVLKR
+>DECOY_sp|Q6PIY7|GLD2_HUMAN Poly(A) RNA polymerase GLD2 OS=Homo sapiens OX=9606 GN=PAPD4 PE=1 SV=1
+RKLVAARVPLISNLDRKNKLRHWSKLFQDKIMDFKQKEHVARATNTGDFPEEVCIYKNRWEIGDPRPIAKAERVSIMQSNWDFETAYYKLFGLLLDGLNSENKSLYPPVNCPAQHVLHLQIAPSFSEPYIKQLSPLIPEPLTQLYHLVMLVLSYSSLTGRSADNIQHHSAWKKIVLVLPRVRNELYAYTRLLFTNRIGVINNVNLDFEVCSVKDRFKVIPVKARILQPREIYGSLRTCFHKHVLTLIHRAETKQNVQFFCPEEKVVLCLDGDSSRTGFGNLSSGVLFLRSQPFLLQIERQLQTRCLEKKKLDSIQQQCTEFLELIQQSLKDKAEPLTIERPELFAIERFPPVCRVIDPVYHTHFLPPMSYRREGSLPVIQNVVTPEQHPSHFRQRKGDLPLNKEDSLRKRGRFLPSASTQIPSVNGYTLQQLSVARSLDANQFNFQADILQQHSYVTPSLTFFNNHQQHNPTFPPRGLISNPFM
+>sp|Q6ZMI3|GLDN_HUMAN Gliomedin OS=Homo sapiens OX=9606 GN=GLDN PE=1 SV=1
+MARGAEGGRGDAGWGLRGALAAVALLSALNAAGTVFALCQWRGLSSALRALEAQRGREQREDSALRSFLAELSRAPRGASAPPQDPASSARNKRSHSGEPAPHIRAESHDMLMMMTYSMVPIRVMVDLCNSTKGICLTGPSGPPGPPGAGGLPGHNGLDGQPGPQGPKGEKGANGKRGKMGIPGAAGNPGERGEKGDHGELGLQGNEGPPGQKGEKGDKGDVSNDVLLAGAKGDQGPPGPPGPPGPPGPPGPPGSRRAKGPRQPSMFNGQCPGETCAIPNDDTLVGKADEKASEHHSPQAESMITSIGNPVQVLKVTETFGTWIRESANKSDDRIWVTEHFSGIMVKEFKDQPSLLNGSYTFIHLPYYFHGCGHVVYNNSLYYHKGGSNTLVRFEFGQETSQTLKLENALYFDRKYLFANSKTYFNLAVDEKGLWIIYASSVDGSSILVAQLDERTFSVVQHVNTTYPKSKAGNAFIARGILYVTDTKDMRVTFAFDLLGGKQINANFDLRTSQSVLAMLAYNMRDQHLYSWEDGHLMLYPVQFLSTTLNQ
+>DECOY_sp|Q6ZMI3|GLDN_HUMAN Gliomedin OS=Homo sapiens OX=9606 GN=GLDN PE=1 SV=1
+QNLTTSLFQVPYLMLHGDEWSYLHQDRMNYALMALVSQSTRLDFNANIQKGGLLDFAFTVRMDKTDTVYLIGRAIFANGAKSKPYTTNVHQVVSFTREDLQAVLISSGDVSSAYIIWLGKEDVALNFYTKSNAFLYKRDFYLANELKLTQSTEQGFEFRVLTNSGGKHYYLSNNYVVHGCGHFYYPLHIFTYSGNLLSPQDKFEKVMIGSFHETVWIRDDSKNASERIWTGFTETVKLVQVPNGISTIMSEAQPSHHESAKEDAKGVLTDDNPIACTEGPCQGNFMSPQRPGKARRSGPPGPPGPPGPPGPPGPPGQDGKAGALLVDNSVDGKDGKEGKQGPPGENGQLGLEGHDGKEGREGPNGAAGPIGMKGRKGNAGKEGKPGQPGPQGDLGNHGPLGGAGPPGPPGSPGTLCIGKTSNCLDVMVRIPVMSYTMMMLMDHSEARIHPAPEGSHSRKNRASSAPDQPPASAGRPARSLEALFSRLASDERQERGRQAELARLASSLGRWQCLAFVTGAANLASLLAVAALAGRLGWGADGRGGEAGRAM
+>sp|P48060|GLIP1_HUMAN Glioma pathogenesis-related protein 1 OS=Homo sapiens OX=9606 GN=GLIPR1 PE=1 SV=3
+MRVTLATIAWMVSFVSNYSHTANILPDIENEDFIKDCVRIHNKFRSEVKPTASDMLYMTWDPALAQIAKAWASNCQFSHNTRLKPPHKLHPNFTSLGENIWTGSVPIFSVSSAITNWYDEIQDYDFKTRICKKVCGHYTQVVWADSYKVGCAVQFCPKVSGFDALSNGAHFICNYGPGGNYPTWPYKRGATCSACPNNDKCLDNLCVNRQRDQVKRYYSVVYPGWPIYPRNRYTSLFLIVNSVILILSVIITILVQHKYPNLVLLD
+>DECOY_sp|P48060|GLIP1_HUMAN Glioma pathogenesis-related protein 1 OS=Homo sapiens OX=9606 GN=GLIPR1 PE=1 SV=3
+DLLVLNPYKHQVLITIIVSLILIVSNVILFLSTYRNRPYIPWGPYVVSYYRKVQDRQRNVCLNDLCKDNNPCASCTAGRKYPWTPYNGGPGYNCIFHAGNSLADFGSVKPCFQVACGVKYSDAWVVQTYHGCVKKCIRTKFDYDQIEDYWNTIASSVSFIPVSGTWINEGLSTFNPHLKHPPKLRTNHSFQCNSAWAKAIQALAPDWTMYLMDSATPKVESRFKNHIRVCDKIFDENEIDPLINATHSYNSVFSVMWAITALTVRM
+>sp|Q8NEA6|GLIS3_HUMAN Zinc finger protein GLIS3 OS=Homo sapiens OX=9606 GN=GLIS3 PE=2 SV=5
+MMVQRLGLISPPASQVSTACNQISPSLQRAMNAANLNIPPSDTRSLISRESLASTTLSLTESQSASSMKQEWSQGYRALPSLSNHGSQNGLDLGDLLSLPPGTSMSSNSVSNSLPSYLFGTESSHSPYPSPRHSSTRSHSARSKKRALSLSPLSDGIGIDFNTIIRTSPTSLVAYINGSRASPANLSPQPEVYGHFLGVRGSCIPQPRPVPGSQKGVLVAPGGLALPAYGEDGALEHERMQQLEHGGLQPGLVNHMVVQHGLPGPDSQSAGLFKTERLEEFPGSTVDLPPAPPLPPLPPPPGPPPPYHAHAHLHHPELGPHAQQLALPQATLDDDGEMDGIGGKHCCRWIDCSALYDQQEELVRHIEKVHIDQRKGEDFTCFWAGCPRRYKPFNARYKLLIHMRVHSGEKPNKCTFEGCEKAFSRLENLKIHLRSHTGEKPYLCQHPGCQKAFSNSSDRAKHQRTHLDTKPYACQIPGCTKRYTDPSSLRKHVKAHSSKEQQARKKLRSSTELHPDLLTDCLTVQSLQPATSPRDAAAEGTVGRSPGPGPDLYSAPIFSSNYSSRSGTAAGAVPPPHPVSHPSPGHNVQGSPHNPSSQLPPLTAVDAGAERFAPSAPSPHHISPRRVPAPSSILQRTQPPYTQQPSGSHLKSYQPETNSSFQPNGIHVHGFYGQLQKFCPPHYPDSQRIVPPVSSCSVVPSFEDCLVPTSMGQASFDVFHRAFSTHSGITVYDLPSSSSSLFGESLRSGAEDATFLQISTVDRCPSQLSSVYTEG
+>DECOY_sp|Q8NEA6|GLIS3_HUMAN Zinc finger protein GLIS3 OS=Homo sapiens OX=9606 GN=GLIS3 PE=2 SV=5
+GETYVSSLQSPCRDVTSIQLFTADEAGSRLSEGFLSSSSSPLDYVTIGSHTSFARHFVDFSAQGMSTPVLCDEFSPVVSCSSVPPVIRQSDPYHPPCFKQLQGYFGHVHIGNPQFSSNTEPQYSKLHSGSPQQTYPPQTRQLISSPAPVRRPSIHHPSPASPAFREAGADVATLPPLQSSPNHPSGQVNHGPSPHSVPHPPPVAGAATGSRSSYNSSFIPASYLDPGPGPSRGVTGEAAADRPSTAPQLSQVTLCDTLLDPHLETSSRLKKRAQQEKSSHAKVHKRLSSPDTYRKTCGPIQCAYPKTDLHTRQHKARDSSNSFAKQCGPHQCLYPKEGTHSRLHIKLNELRSFAKECGEFTCKNPKEGSHVRMHILLKYRANFPKYRRPCGAWFCTFDEGKRQDIHVKEIHRVLEEQQDYLASCDIWRCCHKGGIGDMEGDDDLTAQPLALQQAHPGLEPHHLHAHAHYPPPPGPPPPLPPLPPAPPLDVTSGPFEELRETKFLGASQSDPGPLGHQVVMHNVLGPQLGGHELQQMREHELAGDEGYAPLALGGPAVLVGKQSGPVPRPQPICSGRVGLFHGYVEPQPSLNAPSARSGNIYAVLSTPSTRIITNFDIGIGDSLPSLSLARKKSRASHSRTSSHRPSPYPSHSSETGFLYSPLSNSVSNSSMSTGPPLSLLDGLDLGNQSGHNSLSPLARYGQSWEQKMSSASQSETLSLTTSALSERSILSRTDSPPINLNAANMARQLSPSIQNCATSVQSAPPSILGLRQVMM
+>sp|P10075|GLI4_HUMAN Zinc finger protein GLI4 OS=Homo sapiens OX=9606 GN=GLI4 PE=1 SV=2
+MAALGDIQESPSVPSPVSLSSPGTPGTQHHEPQLHLHGHQHGSPGSSPKVLSQPSDLDLQDVEEVEIGRDTFWPDSEPKPEQAPRSPGSQAPDEGAGGALRSLLRSLPRRARCSAGFGPESSAERPAGQPPGAVPCAQPRGAWRVTLVQQAAAGPEGAPERAAELGVNFGRSRQGSARGAKPHRCEACGKSFKYNSLLLKHQRIHTGEKPYACHECGKRFRGWSGFIQHHRIHTGEKPYECGQCGRAFSHSSHFTQHLRIHNGEKPYKCGECGQAFSQSSNLVRHQRLHTGEKPYACSQCGKAFIWSSVLIEHQRIHTGEKPYECSDCGKAFRGRSHFFRHLRTHTGEKPFACGACGKAFGQSSQLIQHQRVHYRE
+>DECOY_sp|P10075|GLI4_HUMAN Zinc finger protein GLI4 OS=Homo sapiens OX=9606 GN=GLI4 PE=1 SV=2
+ERYHVRQHQILQSSQGFAKGCAGCAFPKEGTHTRLHRFFHSRGRFAKGCDSCEYPKEGTHIRQHEILVSSWIFAKGCQSCAYPKEGTHLRQHRVLNSSQSFAQGCEGCKYPKEGNHIRLHQTFHSSHSFARGCQGCEYPKEGTHIRHHQIFGSWGRFRKGCEHCAYPKEGTHIRQHKLLLSNYKFSKGCAECRHPKAGRASGQRSRGFNVGLEAAREPAGEPGAAAQQVLTVRWAGRPQACPVAGPPQGAPREASSEPGFGASCRARRPLSRLLSRLAGGAGEDPAQSGPSRPAQEPKPESDPWFTDRGIEVEEVDQLDLDSPQSLVKPSSGPSGHQHGHLHLQPEHHQTGPTGPSSLSVPSPVSPSEQIDGLAAM
+>sp|P02724|GLPA_HUMAN Glycophorin-A OS=Homo sapiens OX=9606 GN=GYPA PE=1 SV=2
+MYGKIIFVLLLSEIVSISASSTTGVAMHTSTSSSVTKSYISSQTNDTHKRDTYAATPRAHEVSEISVRTVYPPEEETGERVQLAHHFSEPEITLIIFGVMAGVIGTILLISYGIRRLIKKSPSDVKPLPSPDTDVPLSSVEIENPETSDQ
+>DECOY_sp|P02724|GLPA_HUMAN Glycophorin-A OS=Homo sapiens OX=9606 GN=GYPA PE=1 SV=2
+QDSTEPNEIEVSSLPVDTDPSPLPKVDSPSKKILRRIGYSILLITGIVGAMVGFIILTIEPESFHHALQVREGTEEEPPYVTRVSIESVEHARPTAAYTDRKHTDNTQSSIYSKTVSSSTSTHMAVGTTSSASISVIESLLLVFIIKGYM
+>sp|P23416|GLRA2_HUMAN Glycine receptor subunit alpha-2 OS=Homo sapiens OX=9606 GN=GLRA2 PE=1 SV=1
+MNRQLVNILTALFAFFLETNHFRTAFCKDHDSRSGKQPSQTLSPSDFLDKLMGRTSGYDARIRPNFKGPPVNVTCNIFINSFGSVTETTMDYRVNIFLRQQWNDSRLAYSEYPDDSLDLDPSMLDSIWKPDLFFANEKGANFHDVTTDNKLLRISKNGKVLYSIRLTLTLSCPMDLKNFPMDVQTCTMQLESFGYTMNDLIFEWLSDGPVQVAEGLTLPQFILKEEKELGYCTKHYNTGKFTCIEVKFHLERQMGYYLIQMYIPSLLIVILSWVSFWINMDAAPARVALGITTVLTMTTQSSGSRASLPKVSYVKAIDIWMAVCLLFVFAALLEYAAVNFVSRQHKEFLRLRRRQKRQNKEEDVTRESRFNFSGYGMGHCLQVKDGTAVKATPANPLPQPPKDGDAIKKKFVDRAKRIDTISRAAFPLAFLIFNIFYWITYKIIRHEDVHKK
+>DECOY_sp|P23416|GLRA2_HUMAN Glycine receptor subunit alpha-2 OS=Homo sapiens OX=9606 GN=GLRA2 PE=1 SV=1
+KKHVDEHRIIKYTIWYFINFILFALPFAARSITDIRKARDVFKKKIADGDKPPQPLPNAPTAKVATGDKVQLCHGMGYGSFNFRSERTVDEEKNQRKQRRRLRLFEKHQRSVFNVAAYELLAAFVFLLCVAMWIDIAKVYSVKPLSARSGSSQTTMTLVTTIGLAVRAPAADMNIWFSVWSLIVILLSPIYMQILYYGMQRELHFKVEICTFKGTNYHKTCYGLEKEEKLIFQPLTLGEAVQVPGDSLWEFILDNMTYGFSELQMTCTQVDMPFNKLDMPCSLTLTLRISYLVKGNKSIRLLKNDTTVDHFNAGKENAFFLDPKWISDLMSPDLDLSDDPYESYALRSDNWQQRLFINVRYDMTTETVSGFSNIFINCTVNVPPGKFNPRIRADYGSTRGMLKDLFDSPSLTQSPQKGSRSDHDKCFATRFHNTELFFAFLATLINVLQRNM
+>sp|P14314|GLU2B_HUMAN Glucosidase 2 subunit beta OS=Homo sapiens OX=9606 GN=PRKCSH PE=1 SV=2
+MLLPLLLLLPMCWAVEVKRPRGVSLTNHHFYDESKPFTCLDGSATIPFDQVNDDYCDCKDGSDEPGTAACPNGSFHCTNTGYKPLYIPSNRVNDGVCDCCDGTDEYNSGVICENTCKEKGRKERESLQQMAEVTREGFRLKKILIEDWKKAREEKQKKLIELQAGKKSLEDQVEMLRTVKEEAEKPEREAKEQHQKLWEEQLAAAKAQQEQELAADAFKELDDDMDGTVSVTELQTHPELDTDGDGALSEAEAQALLSGDTQTDATSFYDRVWAAIRDKYRSEALPTDLPAPSAPDLTEPKEEQPPVPSSPTEEEEEEEEEEEEEAEEEEEEEDSEEAPPPLSPPQPASPAEEDKMPPYDEQTQAFIDAAQEARNKFEEAERSLKDMEESIRNLEQEISFDFGPNGEFAYLYSQCYELTTNEYVYRLCPFKLVSQKPKLGGSPTSLGTWGSWIGPDHDKFSAMKYEQGTGCWQGPNRSTTVRLLCGKETMVTSTTEPSRCEYLMELMTPAACPEPPPEAPTEDDHDEL
+>DECOY_sp|P14314|GLU2B_HUMAN Glucosidase 2 subunit beta OS=Homo sapiens OX=9606 GN=PRKCSH PE=1 SV=2
+LEDHDDETPAEPPPEPCAAPTMLEMLYECRSPETTSTVMTEKGCLLRVTTSRNPGQWCGTGQEYKMASFKDHDPGIWSGWTGLSTPSGGLKPKQSVLKFPCLRYVYENTTLEYCQSYLYAFEGNPGFDFSIEQELNRISEEMDKLSREAEEFKNRAEQAADIFAQTQEDYPPMKDEEAPSAPQPPSLPPPAEESDEEEEEEEAEEEEEEEEEEEEETPSSPVPPQEEKPETLDPASPAPLDTPLAESRYKDRIAAWVRDYFSTADTQTDGSLLAQAEAESLAGDGDTDLEPHTQLETVSVTGDMDDDLEKFADAALEQEQQAKAAALQEEWLKQHQEKAEREPKEAEEKVTRLMEVQDELSKKGAQLEILKKQKEERAKKWDEILIKKLRFGERTVEAMQQLSEREKRGKEKCTNECIVGSNYEDTGDCCDCVGDNVRNSPIYLPKYGTNTCHFSGNPCAATGPEDSGDKCDCYDDNVQDFPITASGDLCTFPKSEDYFHHNTLSVGRPRKVEVAWCMPLLLLLPLLM
+>sp|P34896|GLYC_HUMAN Serine hydroxymethyltransferase, cytosolic OS=Homo sapiens OX=9606 GN=SHMT1 PE=1 SV=1
+MTMPVNGAHKDADLWSSHDKMLAQPLKDSDVEVYNIIKKESNRQRVGLELIASENFASRAVLEALGSCLNNKYSEGYPGQRYYGGTEFIDELETLCQKRALQAYKLDPQCWGVNVQPYSGSPANFAVYTALVEPHGRIMGLDLPDGGHLTHGFMTDKKKISATSIFFESMPYKVNPDTGYINYDQLEENARLFHPKLIIAGTSCYSRNLEYARLRKIADENGAYLMADMAHISGLVAAGVVPSPFEHCHVVTTTTHKTLRGCRAGMIFYRKGVKSVDPKTGKEILYNLESLINSAVFPGLQGGPHNHAIAGVAVALKQAMTLEFKVYQHQVVANCRALSEALTELGYKIVTGGSDNHLILVDLRSKGTDGGRAEKVLEACSIACNKNTCPGDRSALRPSGLRLGTPALTSRGLLEKDFQKVAHFIHRGIELTLQIQSDTGVRATLKEFKERLAGDKYQAAVQALREEVESFASLFPLPGLPDF
+>DECOY_sp|P34896|GLYC_HUMAN Serine hydroxymethyltransferase, cytosolic OS=Homo sapiens OX=9606 GN=SHMT1 PE=1 SV=1
+FDPLGPLPFLSAFSEVEERLAQVAAQYKDGALREKFEKLTARVGTDSQIQLTLEIGRHIFHAVKQFDKELLGRSTLAPTGLRLGSPRLASRDGPCTNKNCAISCAELVKEARGGDTGKSRLDVLILHNDSGGTVIKYGLETLAESLARCNAVVQHQYVKFELTMAQKLAVAVGAIAHNHPGGQLGPFVASNILSELNYLIEKGTKPDVSKVGKRYFIMGARCGRLTKHTTTTVVHCHEFPSPVVGAAVLGSIHAMDAMLYAGNEDAIKRLRAYELNRSYCSTGAIILKPHFLRANEELQDYNIYGTDPNVKYPMSEFFISTASIKKKDTMFGHTLHGGDPLDLGMIRGHPEVLATYVAFNAPSGSYPQVNVGWCQPDLKYAQLARKQCLTELEDIFETGGYYRQGPYGESYKNNLCSGLAELVARSAFNESAILELGVRQRNSEKKIINYVEVDSDKLPQALMKDHSSWLDADKHAGNVPMTM
+>sp|Q5SZD4|GLYL3_HUMAN Glycine N-acyltransferase-like protein 3 OS=Homo sapiens OX=9606 GN=GLYATL3 PE=2 SV=3
+MLVLNCSTKLLILEKMLKSCFPESLKVYGAVMNINRGNPFQKEVVLDSWPDFKAVITRRQREAETDNLDHYTNAYAVFYKDVRAYRQLLEECDVFNWDQVFQIQGLQSELYDVSKAVANSKQLNIKLTSFKAVHFSPVSSLPDTSFLKGPSPRLTYLSVANADLLNRTWSRGGNEQCLRYIANLISCFPSVCVRDEKGNPVSWSITDQFATMCHGYTLPEHRRKGYSRLVALTLARKLQSRGFPSQGNVLDDNTASISLLKSLHAEFLPCRFHRLILTPATFSGLPHL
+>DECOY_sp|Q5SZD4|GLYL3_HUMAN Glycine N-acyltransferase-like protein 3 OS=Homo sapiens OX=9606 GN=GLYATL3 PE=2 SV=3
+LHPLGSFTAPTLILRHFRCPLFEAHLSKLLSISATNDDLVNGQSPFGRSQLKRALTLAVLRSYGKRRHEPLTYGHCMTAFQDTISWSVPNGKEDRVCVSPFCSILNAIYRLCQENGGRSWTRNLLDANAVSLYTLRPSPGKLFSTDPLSSVPSFHVAKFSTLKINLQKSNAVAKSVDYLESQLGQIQFVQDWNFVDCEELLQRYARVDKYFVAYANTYHDLNDTEAERQRRTIVAKFDPWSDLVVEKQFPNGRNINMVAGYVKLSEPFCSKLMKELILLKTSCNLVLM
+>sp|Q8NEA9|GMCL2_HUMAN Germ cell-less protein-like 2 OS=Homo sapiens OX=9606 GN=GMCL2 PE=1 SV=2
+MGSSSSRVLGQPRRALAQQEQGARARGSARRPDTGDDAASYGFCYCPGSHKRKRSSGACRYCDPDSHREEHEEEGDKQQPLLNTPARKKLRSTSKYIYQTLFLNGENSDIKICALGEEWRLHKIYLCQSGYFSSMFSGSWKESSMNIIELEIPDQNIDVDALQVAFGSLYRDDVLIKPSRVVAILAAACMLQLDGLIQQCGETMKETINVKTVCGYYTSVEIYGLDSVKKKCLEWLLNNLMTHQNVKLFKELGINVMKQLIGSSNLFVMQVEMDVYTTLKKWMFLQLVPSWNGSLKQLLTETDVWFSKQRKDFEGMAFLETEPGKPFVSVFRHLRLQYIISDLASARIIEQDGIVPSEWLSSVYKQQWFAMLRAEQDREVGPQEINKEDLEGNSMRCGRKLAKDGEYYWCWTGFNFGFDLLVIYTNGYIIFKRNTLNQPRSGSVSLRPRRSIAFRLRLASFDSSGKLVCSRTTGYQILILKKDQEQVVMNLDSRFLTFPLYICCNFLYISPEKGIENNRHPENPEN
+>DECOY_sp|Q8NEA9|GMCL2_HUMAN Germ cell-less protein-like 2 OS=Homo sapiens OX=9606 GN=GMCL2 PE=1 SV=2
+NEPNEPHRNNEIGKEPSIYLFNCCIYLPFTLFRSDLNMVVQEQDKKLILIQYGTTRSCVLKGSSDFSALRLRFAISRRPRLSVSGSRPQNLTNRKFIIYGNTYIVLLDFGFNFGTWCWYYEGDKALKRGCRMSNGELDEKNIEQPGVERDQEARLMAFWQQKYVSSLWESPVIGDQEIIRASALDSIIYQLRLHRFVSVFPKGPETELFAMGEFDKRQKSFWVDTETLLQKLSGNWSPVLQLFMWKKLTTYVDMEVQMVFLNSSGILQKMVNIGLEKFLKVNQHTMLNNLLWELCKKKVSDLGYIEVSTYYGCVTKVNITEKMTEGCQQILGDLQLMCAAALIAVVRSPKILVDDRYLSGFAVQLADVDINQDPIELEIINMSSEKWSGSFMSSFYGSQCLYIKHLRWEEGLACIKIDSNEGNLFLTQYIYKSTSRLKKRAPTNLLPQQKDGEEEHEERHSDPDCYRCAGSSRKRKHSGPCYCFGYSAADDGTDPRRASGRARAGQEQQALARRPQGLVRSSSSGM
+>sp|P60983|GMFB_HUMAN Glia maturation factor beta OS=Homo sapiens OX=9606 GN=GMFB PE=1 SV=2
+MSESLVVCDVAEDLVEKLRKFRFRKETNNAAIIMKIDKDKRLVVLDEELEGISPDELKDELPERQPRFIVYSYKYQHDDGRVSYPLCFIFSSPVGCKPEQQMMYAGSKNKLVQTAELTKVFEIRNTEDLTEEWLREKLGFFH
+>DECOY_sp|P60983|GMFB_HUMAN Glia maturation factor beta OS=Homo sapiens OX=9606 GN=GMFB PE=1 SV=2
+HFFGLKERLWEETLDETNRIEFVKTLEATQVLKNKSGAYMMQQEPKCGVPSSFIFCLPYSVRGDDHQYKYSYVIFRPQREPLEDKLEDPSIGELEEDLVVLRKDKDIKMIIAANNTEKRFRFKRLKEVLDEAVDCVVLSESM
+>sp|Q96IJ6|GMPPA_HUMAN Mannose-1-phosphate guanyltransferase alpha OS=Homo sapiens OX=9606 GN=GMPPA PE=1 SV=1
+MLKAVILIGGPQKGTRFRPLSFEVPKPLFPVAGVPMIQHHIEACAQVPGMQEILLIGFYQPDEPLTQFLEAAQQEFNLPVRYLQEFAPLGTGGGLYHFRDQILAGSPEAFFVLNADVCSDFPLSAMLEAHRRQRHPFLLLGTTANRTQSLNYGCIVENPQTHEVLHYVEKPSTFISDIINCGIYLFSPEALKPLRDVFQRNQQDGQLEDSPGLWPGAGTIRLEQDVFSALAGQGQIYVHLTDGIWSQIKSAGSALYASRLYLSRYQDTHPERLAKHTPGGPWIRGNVYIHPTAKVAPSAVLGPNVSIGKGVTVGEGVRLRESIVLHGATLQEHTCVLHSIVGWGSTVGRWARVEGTPSDPNPNDPRARMDSESLFKDGKLLPAITILGCRVRIPAEVLILNSIVLPHKELSRSFTNQIIL
+>DECOY_sp|Q96IJ6|GMPPA_HUMAN Mannose-1-phosphate guanyltransferase alpha OS=Homo sapiens OX=9606 GN=GMPPA PE=1 SV=1
+LIIQNTFSRSLEKHPLVISNLILVEAPIRVRCGLITIAPLLKGDKFLSESDMRARPDNPNPDSPTGEVRAWRGVTSGWGVISHLVCTHEQLTAGHLVISERLRVGEGVTVGKGISVNPGLVASPAVKATPHIYVNGRIWPGGPTHKALREPHTDQYRSLYLRSAYLASGASKIQSWIGDTLHVYIQGQGALASFVDQELRITGAGPWLGPSDELQGDQQNRQFVDRLPKLAEPSFLYIGCNIIDSIFTSPKEVYHLVEHTQPNEVICGYNLSQTRNATTGLLLFPHRQRRHAELMASLPFDSCVDANLVFFAEPSGALIQDRFHYLGGGTGLPAFEQLYRVPLNFEQQAAELFQTLPEDPQYFGILLIEQMGPVQACAEIHHQIMPVGAVPFLPKPVEFSLPRFRTGKQPGGILIVAKLM
+>sp|P29992|GNA11_HUMAN Guanine nucleotide-binding protein subunit alpha-11 OS=Homo sapiens OX=9606 GN=GNA11 PE=1 SV=2
+MTLESMMACCLSDEVKESKRINAEIEKQLRRDKRDARRELKLLLLGTGESGKSTFIKQMRIIHGAGYSEEDKRGFTKLVYQNIFTAMQAMIRAMETLKILYKYEQNKANALLIREVDVEKVTTFEHQYVSAIKTLWEDPGIQECYDRRREYQLSDSAKYYLTDVDRIATLGYLPTQQDVLRVRVPTTGIIEYPFDLENIIFRMVDVGGQRSERRKWIHCFENVTSIMFLVALSEYDQVLVESDNENRMEESKALFRTIITYPWFQNSSVILFLNKKDLLEDKILYSHLVDYFPEFDGPQRDAQAAREFILKMFVDLNPDSDKIIYSHFTCATDTENIRFVFAAVKDTILQLNLKEYNLV
+>DECOY_sp|P29992|GNA11_HUMAN Guanine nucleotide-binding protein subunit alpha-11 OS=Homo sapiens OX=9606 GN=GNA11 PE=1 SV=2
+VLNYEKLNLQLITDKVAAFVFRINETDTACTFHSYIIKDSDPNLDVFMKLIFERAAQADRQPGDFEPFYDVLHSYLIKDELLDKKNLFLIVSSNQFWPYTIITRFLAKSEEMRNENDSEVLVQDYESLAVLFMISTVNEFCHIWKRRESRQGGVDVMRFIINELDFPYEIIGTTPVRVRLVDQQTPLYGLTAIRDVDTLYYKASDSLQYERRRDYCEQIGPDEWLTKIASVYQHEFTTVKEVDVERILLANAKNQEYKYLIKLTEMARIMAQMATFINQYVLKTFGRKDEESYGAGHIIRMQKIFTSKGSEGTGLLLLKLERRADRKDRRLQKEIEANIRKSEKVEDSLCCAMMSELTM
+>sp|P04899|GNAI2_HUMAN Guanine nucleotide-binding protein G(i) subunit alpha-2 OS=Homo sapiens OX=9606 GN=GNAI2 PE=1 SV=3
+MGCTVSAEDKAAAERSKMIDKNLREDGEKAAREVKLLLLGAGESGKSTIVKQMKIIHEDGYSEEECRQYRAVVYSNTIQSIMAIVKAMGNLQIDFADPSRADDARQLFALSCTAEEQGVLPDDLSGVIRRLWADHGVQACFGRSREYQLNDSAAYYLNDLERIAQSDYIPTQQDVLRTRVKTTGIVETHFTFKDLHFKMFDVGGQRSERKKWIHCFEGVTAIIFCVALSAYDLVLAEDEEMNRMHESMKLFDSICNNKWFTDTSIILFLNKKDLFEEKITHSPLTICFPEYTGANKYDEAASYIQSKFEDLNKRKDTKEIYTHFTCATDTKNVQFVFDAVTDVIIKNNLKDCGLF
+>DECOY_sp|P04899|GNAI2_HUMAN Guanine nucleotide-binding protein G(i) subunit alpha-2 OS=Homo sapiens OX=9606 GN=GNAI2 PE=1 SV=3
+FLGCDKLNNKIIVDTVADFVFQVNKTDTACTFHTYIEKTDKRKNLDEFKSQIYSAAEDYKNAGTYEPFCITLPSHTIKEEFLDKKNLFLIISTDTFWKNNCISDFLKMSEHMRNMEEDEALVLDYASLAVCFIIATVGEFCHIWKKRESRQGGVDFMKFHLDKFTFHTEVIGTTKVRTRLVDQQTPIYDSQAIRELDNLYYAASDNLQYERSRGFCAQVGHDAWLRRIVGSLDDPLVGQEEATCSLAFLQRADDARSPDAFDIQLNGMAKVIAMISQITNSYVVARYQRCEEESYGDEHIIKMQKVITSKGSEGAGLLLLKVERAAKEGDERLNKDIMKSREAAAKDEASVTCGM
+>sp|Q6ZVE7|GOT1A_HUMAN Vesicle transport protein GOT1A OS=Homo sapiens OX=9606 GN=GOLT1A PE=2 SV=1
+MISITEWQKIGVGITGFGIFFILFGTLLYFDSVLLAFGNLLFLTGLSLIIGLRKTFWFFFQRHKLKGTSFLLGGVVIVLLRWPLLGMFLETYGFFSLFKGFFPVAFGFLGNVCNIPFLGALFRRLQGTSSMV
+>DECOY_sp|Q6ZVE7|GOT1A_HUMAN Vesicle transport protein GOT1A OS=Homo sapiens OX=9606 GN=GOLT1A PE=2 SV=1
+VMSSTGQLRRFLAGLFPINCVNGLFGFAVPFFGKFLSFFGYTELFMGLLPWRLLVIVVGGLLFSTGKLKHRQFFFWFTKRLGIILSLGTLFLLNGFALLVSDFYLLTGFLIFFIGFGTIGVGIKQWETISIM
+>sp|Q96P66|GP101_HUMAN Probable G-protein coupled receptor 101 OS=Homo sapiens OX=9606 GN=GPR101 PE=1 SV=1
+MTSTCTNSTRESNSSHTCMPLSKMPISLAHGIIRSTVLVIFLAASFVGNIVLALVLQRKPQLLQVTNRFIFNLLVTDLLQISLVAPWVVATSVPLFWPLNSHFCTALVSLTHLFAFASVNTIVVVSVDRYLSIIHPLSYPSKMTQRRGYLLLYGTWIVAILQSTPPLYGWGQAAFDERNALCSMIWGASPSYTILSVVSFIVIPLIVMIACYSVVFCAARRQHALLYNVKRHSLEVRVKDCVENEDEEGAEKKEEFQDESEFRRQHEGEVKAKEGRMEAKDGSLKAKEGSTGTSESSVEARGSEEVRESSTVASDGSMEGKEGSTKVEENSMKADKGRTEVNQCSIDLGEDDMEFGEDDINFSEDDVEAVNIPESLPPSRRNSNSNPPLPRCYQCKAAKVIFIIIFSYVLSLGPYCFLAVLAVWVDVETQVPQWVITIIIWLFFLQCCIHPYVYGYMHKTIKKEIQDMLKKFFCKEKPPKEDSHPDLPGTEGGTEGKIVPSYDSATFP
+>DECOY_sp|Q96P66|GP101_HUMAN Probable G-protein coupled receptor 101 OS=Homo sapiens OX=9606 GN=GPR101 PE=1 SV=1
+PFTASDYSPVIKGETGGETGPLDPHSDEKPPKEKCFFKKLMDQIEKKITKHMYGYVYPHICCQLFFLWIIITIVWQPVQTEVDVWVALVALFCYPGLSLVYSFIIIFIVKAAKCQYCRPLPPNSNSNRRSPPLSEPINVAEVDDESFNIDDEGFEMDDEGLDISCQNVETRGKDAKMSNEEVKTSGEKGEMSGDSAVTSSERVEESGRAEVSSESTGTSGEKAKLSGDKAEMRGEKAKVEGEHQRRFESEDQFEEKKEAGEEDENEVCDKVRVELSHRKVNYLLAHQRRAACFVVSYCAIMVILPIVIFSVVSLITYSPSAGWIMSCLANREDFAAQGWGYLPPTSQLIAVIWTGYLLLYGRRQTMKSPYSLPHIISLYRDVSVVVITNVSAFAFLHTLSVLATCFHSNLPWFLPVSTAVVWPAVLSIQLLDTVLLNFIFRNTVQLLQPKRQLVLALVINGVFSAALFIVLVTSRIIGHALSIPMKSLPMCTHSSNSERTSNTCTSTM
+>sp|Q9NS66|GP173_HUMAN Probable G-protein coupled receptor 173 OS=Homo sapiens OX=9606 GN=GPR173 PE=2 SV=1
+MANTTGEPEEVSGALSPPSASAYVKLVLLGLIMCVSLAGNAILSLLVLKERALHKAPYYFLLDLCLADGIRSAVCFPFVLASVRHGSSWTFSALSCKIVAFMAVLFCFHAAFMLFCISVTRYMAIAHHRFYAKRMTLWTCAAVICMAWTLSVAMAFPPVFDVGTYKFIREEDQCIFEHRYFKANDTLGFMLMLAVLMAATHAVYGKLLLFEYRHRKMKPVQMVPAISQNWTFHGPGATGQAAANWIAGFGRGPMPPTLLGIRQNGHAASRRLLGMDEVKGEKQLGRMFYAITLLFLLLWSPYIVACYWRVFVKACAVPHRYLATAVWMSFAQAAVNPIVCFLLNKDLKKCLRTHAPCWGTGGAPAPREPYCVM
+>DECOY_sp|Q9NS66|GP173_HUMAN Probable G-protein coupled receptor 173 OS=Homo sapiens OX=9606 GN=GPR173 PE=2 SV=1
+MVCYPERPAPAGGTGWCPAHTRLCKKLDKNLLFCVIPNVAAQAFSMWVATALYRHPVACAKVFVRWYCAVIYPSWLLLFLLTIAYFMRGLQKEGKVEDMGLLRRSAAHGNQRIGLLTPPMPGRGFGAIWNAAAQGTAGPGHFTWNQSIAPVMQVPKMKRHRYEFLLLKGYVAHTAAMLVALMLMFGLTDNAKFYRHEFICQDEERIFKYTGVDFVPPFAMAVSLTWAMCIVAACTWLTMRKAYFRHHAIAMYRTVSICFLMFAAHFCFLVAMFAVIKCSLASFTWSSGHRVSALVFPFCVASRIGDALCLDLLFYYPAKHLAREKLVLLSLIANGALSVCMILGLLVLKVYASASPPSLAGSVEEPEGTTNAM
+>sp|Q53EU6|GPAT3_HUMAN Glycerol-3-phosphate acyltransferase 3 OS=Homo sapiens OX=9606 GN=GPAT3 PE=1 SV=2
+MEGAELAGKILSTWLTLVLGFILLPSVFGVSLGISEIYMKILVKTLEWATIRIEKGTPKESILKNSASVGIIQRDESPMEKGLSGLRGRDFELSDVFYFSKKGLEAIVEDEVTQRFSSEELVSWNLLTRTNVNFQYISLRLTMVWVLGVIVRYCVLLPLRVTLAFIGISLLVIGTTLVGQLPDSSLKNWLSELVHLTCCRICVRALSGTIHYHNKQYRPQKGGICVANHTSPIDVLILTTDGCYAMVGQVHGGLMGIIQRAMVKACPHVWFERSEMKDRHLVTKRLKEHIADKKKLPILIFPEGTCINNTSVMMFKKGSFEIGGTIHPVAIKYNPQFGDAFWNSSKYNMVSYLLRMMTSWAIVCDVWYMPPMTREEGEDAVQFANRVKSAIAIQGGLTELPWDGGLKRAKVKDIFKEEQQKNYSKMIVGNGSLS
+>DECOY_sp|Q53EU6|GPAT3_HUMAN Glycerol-3-phosphate acyltransferase 3 OS=Homo sapiens OX=9606 GN=GPAT3 PE=1 SV=2
+SLSGNGVIMKSYNKQQEEKFIDKVKARKLGGDWPLETLGGQIAIASKVRNAFQVADEGEERTMPPMYWVDCVIAWSTMMRLLYSVMNYKSSNWFADGFQPNYKIAVPHITGGIEFSGKKFMMVSTNNICTGEPFILIPLKKKDAIHEKLRKTVLHRDKMESREFWVHPCAKVMARQIIGMLGGHVQGVMAYCGDTTLILVDIPSTHNAVCIGGKQPRYQKNHYHITGSLARVCIRCCTLHVLESLWNKLSSDPLQGVLTTGIVLLSIGIFALTVRLPLLVCYRVIVGLVWVMTLRLSIYQFNVNTRTLLNWSVLEESSFRQTVEDEVIAELGKKSFYFVDSLEFDRGRLGSLGKEMPSEDRQIIGVSASNKLISEKPTGKEIRITAWELTKVLIKMYIESIGLSVGFVSPLLIFGLVLTLWTSLIKGALEAGEM
+>sp|Q8N158|GPC2_HUMAN Glypican-2 OS=Homo sapiens OX=9606 GN=GPC2 PE=2 SV=1
+MSALRPLLLLLLPLCPGPGPGPGSEAKVTRSCAETRQVLGARGYSLNLIPPALISGEHLRVCPQEYTCCSSETEQRLIRETEATFRGLVEDSGSFLVHTLAARHRKFDEFFLEMLSVAQHSLTQLFSHSYGRLYAQHALIFNGLFSRLRDFYGESGEGLDDTLADFWAQLLERVFPLLHPQYSFPPDYLLCLSRLASSTDGSLQPFGDSPRRLRLQITRTLVAARAFVQGLETGRNVVSEALKVPVSEGCSQALMRLIGCPLCRGVPSLMPCQGFCLNVVRGCLSSRGLEPDWGNYLDGLLILADKLQGPFSFELTAESIGVKISEGLMYLQENSAKVSAQVFQECGPPDPVPARNRRAPPPREEAGRLWSMVTEEERPTTAAGTNLHRLVWELRERLARMRGFWARLSLTVCGDSRMAADASLEAAPCWTGAGRGRYLPPVVGGSPAEQVNNPELKVDASGPDVPTRRRRLQLRAATARMKTAALGHDLDGQDADEDASGSGGGQQYADDWMAGAVAPPARPPRPPYPPRRDGSGGKGGGGSARYNQGRSRSGGASIGFHTQTILILSLSALALLGPR
+>DECOY_sp|Q8N158|GPC2_HUMAN Glypican-2 OS=Homo sapiens OX=9606 GN=GPC2 PE=2 SV=1
+RPGLLALASLSLILITQTHFGISAGGSRSRGQNYRASGGGGKGGSGDRRPPYPPRPPRAPPAVAGAMWDDAYQQGGGSGSADEDADQGDLDHGLAATKMRATAARLQLRRRRTPVDPGSADVKLEPNNVQEAPSGGVVPPLYRGRGAGTWCPAAELSADAAMRSDGCVTLSLRAWFGRMRALRERLEWVLRHLNTGAATTPREEETVMSWLRGAEERPPPARRNRAPVPDPPGCEQFVQASVKASNEQLYMLGESIKVGISEATLEFSFPGQLKDALILLGDLYNGWDPELGRSSLCGRVVNLCFGQCPMLSPVGRCLPCGILRMLAQSCGESVPVKLAESVVNRGTELGQVFARAAVLTRTIQLRLRRPSDGFPQLSGDTSSALRSLCLLYDPPFSYQPHLLPFVRELLQAWFDALTDDLGEGSEGYFDRLRSFLGNFILAHQAYLRGYSHSFLQTLSHQAVSLMELFFEDFKRHRAALTHVLFSGSDEVLGRFTAETERILRQETESSCCTYEQPCVRLHEGSILAPPILNLSYGRAGLVQRTEACSRTVKAESGPGPGPGPCLPLLLLLLPRLASM
+>sp|Q9NZH0|GPC5B_HUMAN G-protein coupled receptor family C group 5 member B OS=Homo sapiens OX=9606 GN=GPRC5B PE=2 SV=2
+MFVASERKMRAHQVLTFLLLFVITSVASENASTSRGCGLDLLPQYVSLCDLDAIWGIVVEAVAGAGALITLLLMLILLVRLPFIKEKEKKSPVGLHFLFLLGTLGLFGLTFAFIIQEDETICSVRRFLWGVLFALCFSCLLSQAWRVRRLVRHGTGPAGWQLVGLALCLMLVQVIIAVEWLVLTVLRDTRPACAYEPMDFVMALIYDMVLLVVTLGLALFTLCGKFKRWKLNGAFLLITAFLSVLIWVAWMTMYLFGNVKLQQGDAWNDPTLAITLAASGWVFVIFHAIPEIHCTLLPALQENTPNYFDTSQPRMRETAFEEDVQLPRAYMENKAFSMDEHNAALRTAGFPNGSLGKRPSGSLGKRPSAPFRSNVYQPTEMAVVLNGGTIPTAPPSHTGRHLW
+>DECOY_sp|Q9NZH0|GPC5B_HUMAN G-protein coupled receptor family C group 5 member B OS=Homo sapiens OX=9606 GN=GPRC5B PE=2 SV=2
+WLHRGTHSPPATPITGGNLVVAMETPQYVNSRFPASPRKGLSGSPRKGLSGNPFGATRLAANHEDMSFAKNEMYARPLQVDEEFATERMRPQSTDFYNPTNEQLAPLLTCHIEPIAHFIVFVWGSAALTIALTPDNWADGQQLKVNGFLYMTMWAVWILVSLFATILLFAGNLKWRKFKGCLTFLALGLTVVLLVMDYILAMVFDMPEYACAPRTDRLVTLVLWEVAIIVQVLMLCLALGVLQWGAPGTGHRVLRRVRWAQSLLCSFCLAFLVGWLFRRVSCITEDEQIIFAFTLGFLGLTGLLFLFHLGVPSKKEKEKIFPLRVLLILMLLLTILAGAGAVAEVVIGWIADLDCLSVYQPLLDLGCGRSTSANESAVSTIVFLLLFTLVQHARMKRESAVFM
+>sp|Q96T91|GPHA2_HUMAN Glycoprotein hormone alpha-2 OS=Homo sapiens OX=9606 GN=GPHA2 PE=1 SV=1
+MPMASPQTLVLYLLVLAVTEAWGQEAVIPGCHLHPFNVTVRSDRQGTCQGSHVAQACVGHCESSAFPSRYSVLVASGYRHNITSVSQCCTISGLKKVKVQLQCVGSRREELEIFTARACQCDMCRLSRY
+>DECOY_sp|Q96T91|GPHA2_HUMAN Glycoprotein hormone alpha-2 OS=Homo sapiens OX=9606 GN=GPHA2 PE=1 SV=1
+YRSLRCMDCQCARATFIELEERRSGVCQLQVKVKKLGSITCCQSVSTINHRYGSAVLVSYRSPFASSECHGVCAQAVHSGQCTGQRDSRVTVNFPHLHCGPIVAEQGWAETVALVLLYLVLTQPSAMPM
+>sp|P49685|GPR15_HUMAN G-protein coupled receptor 15 OS=Homo sapiens OX=9606 GN=GPR15 PE=2 SV=1
+MDPEETSVYLDYYYATSPNSDIRETHSHVPYTSVFLPVFYTAVFLTGVLGNLVLMGALHFKPGSRRLIDIFIINLAASDFIFLVTLPLWVDKEASLGLWRTGSFLCKGSSYMISVNMHCSVLLLTCMSVDRYLAIVWPVVSRKFRRTDCAYVVCASIWFISCLLGLPTLLSRELTLIDDKPYCAEKKATPIKLIWSLVALIFTFFVPLLSIVTCYCCIARKLCAHYQQSGKHNKKLKKSIKIIFIVVAAFLVSWLPFNTFKFLAIVSGLRQEHYLPSAILQLGMEVSGPLAFANSCVNPFIYYIFDSYIRRAIVHCLCPCLKNYDFGSSTETSDSHLTKALSTFIHAEDFARRRKRSVSL
+>DECOY_sp|P49685|GPR15_HUMAN G-protein coupled receptor 15 OS=Homo sapiens OX=9606 GN=GPR15 PE=2 SV=1
+LSVSRKRRRAFDEAHIFTSLAKTLHSDSTETSSGFDYNKLCPCLCHVIARRIYSDFIYYIFPNVCSNAFALPGSVEMGLQLIASPLYHEQRLGSVIALFKFTNFPLWSVLFAAVVIFIIKISKKLKKNHKGSQQYHACLKRAICCYCTVISLLPVFFTFILAVLSWILKIPTAKKEACYPKDDILTLERSLLTPLGLLCSIFWISACVVYACDTRRFKRSVVPWVIALYRDVSMCTLLLVSCHMNVSIMYSSGKCLFSGTRWLGLSAEKDVWLPLTVLFIFDSAALNIIFIDILRRSGPKFHLAGMLVLNGLVGTLFVATYFVPLFVSTYPVHSHTERIDSNPSTAYYYDLYVSTEEPDM
+>sp|Q14330|GPR18_HUMAN N-arachidonyl glycine receptor OS=Homo sapiens OX=9606 GN=GPR18 PE=1 SV=2
+MITLNNQDQPVPFNSSHPDEYKIAALVFYSCIFIIGLFVNITALWVFSCTTKKRTTVTIYMMNVALVDLIFIMTLPFRMFYYAKDEWPFGEYFCQILGALTVFYPSIALWLLAFISADRYMAIVQPKYAKELKNTCKAVLACVGVWIMTLTTTTPLLLLYKDPDKDSTPATCLKISDIIYLKAVNVLNLTRLTFFFLIPLFIMIGCYLVIIHNLLHGRTSKLKPKVKEKSIRIIITLLVQVLVCFMPFHICFAFLMLGTGENSYNPWGAFTTFLMNLSTCLDVILYYIVSKQFQARVISVMLYRNYLRSMRRKSFRSGSLRSLSNINSEML
+>DECOY_sp|Q14330|GPR18_HUMAN N-arachidonyl glycine receptor OS=Homo sapiens OX=9606 GN=GPR18 PE=1 SV=2
+LMESNINSLSRLSGSRFSKRRMSRLYNRYLMVSIVRAQFQKSVIYYLIVDLCTSLNMLFTTFAGWPNYSNEGTGLMLFAFCIHFPMFCVLVQVLLTIIIRISKEKVKPKLKSTRGHLLNHIIVLYCGIMIFLPILFFFTLRTLNLVNVAKLYIIDSIKLCTAPTSDKDPDKYLLLLPTTTTLTMIWVGVCALVAKCTNKLEKAYKPQVIAMYRDASIFALLWLAISPYFVTLAGLIQCFYEGFPWEDKAYYFMRFPLTMIFILDVLAVNMMYITVTTRKKTTCSFVWLATINVFLGIIFICSYFVLAAIKYEDPHSSNFPVPQDQNNLTIM
+>sp|P46091|GPR1_HUMAN G-protein coupled receptor 1 OS=Homo sapiens OX=9606 GN=GPR1 PE=1 SV=2
+MEDLEETLFEEFENYSYDLDYYSLESDLEEKVQLGVVHWVSLVLYCLAFVLGIPGNAIVIWFTGFKWKKTVTTLWFLNLAIADFIFLLFLPLYISYVAMNFHWPFGIWLCKANSFTAQLNMFASVFFLTVISLDHYIHLIHPVLSHRHRTLKNSLIVIIFIWLLASLIGGPALYFRDTVEFNNHTLCYNNFQKHDPDLTLIRHHVLTWVKFIIGYLFPLLTMSICYLCLIFKVKKRSILISSRHFWTILVVVVAFVVCWTPYHLFSIWELTIHHNSYSHHVMQAGIPLSTGLAFLNSCLNPILYVLISKKFQARFRSSVAEILKYTLWEVSCSGTVSEQLRNSETKNLCLLETAQ
+>DECOY_sp|P46091|GPR1_HUMAN G-protein coupled receptor 1 OS=Homo sapiens OX=9606 GN=GPR1 PE=1 SV=2
+QATELLCLNKTESNRLQESVTGSCSVEWLTYKLIEAVSSRFRAQFKKSILVYLIPNLCSNLFALGTSLPIGAQMVHHSYSNHHITLEWISFLHYPTWCVVFAVVVVLITWFHRSSILISRKKVKFILCLYCISMTLLPFLYGIIFKVWTLVHHRILTLDPDHKQFNNYCLTHNNFEVTDRFYLAPGGILSALLWIFIIVILSNKLTRHRHSLVPHILHIYHDLSIVTLFFVSAFMNLQATFSNAKCLWIGFPWHFNMAVYSIYLPLFLLFIFDAIALNLFWLTTVTKKWKFGTFWIVIANGPIGLVFALCYLVLSVWHVVGLQVKEELDSELSYYDLDYSYNEFEEFLTEELDEM
+>sp|Q9NWQ4|GPT2L_HUMAN G patch domain-containing protein 2-like OS=Homo sapiens OX=9606 GN=GPATCH2L PE=1 SV=3
+MDELVHDLASALEQTSEQNKLGELWEEMALSPRQQRRQLRKRRGRKRRSDFTHLAEHTCCYSEASESSLDEATKDCREVAPVTNFSDSDDTMVAKRHPALNAIVKSKQHSWHESDSFTENAPCRPLRRRRKVKRVTSEVAASLQQKLKVSDWSYERGCRFKSAKKQRLSRWKENTPWTSSGHGLCESAENRTFLSKTGRKERMECETDEQKQGSDENMSECETSSVCSSSDTGLFTNDEGRQGDDEQSDWFYEGECVPGFTVPNLLPKWAPDHCSEVERMDSGLDKFSDSTFLLPSRPAQRGYHTRLNRLPGAAARCLRKGRRRLVGKETSINTLGTERISHIISDPRQKEKNKALASDFPHISACAHEFNPLSPLYSLDVLADASHRRCSPAHCSARQANVHWGPPCSRDIKRKRKPVATASLSSPSAVHMDAVEPTTPASQAPKSPSSEWLVRTSAAEKATDATTATFFKMPQEKSPGYS
+>DECOY_sp|Q9NWQ4|GPT2L_HUMAN G patch domain-containing protein 2-like OS=Homo sapiens OX=9606 GN=GPATCH2L PE=1 SV=3
+SYGPSKEQPMKFFTATTADTAKEAASTRVLWESSPSKPAQSAPTTPEVADMHVASPSSLSATAVPKRKRKIDRSCPPGWHVNAQRASCHAPSCRRHSADALVDLSYLPSLPNFEHACASIHPFDSALAKNKEKQRPDSIIHSIRETGLTNISTEKGVLRRRGKRLCRAAAGPLRNLRTHYGRQAPRSPLLFTSDSFKDLGSDMREVESCHDPAWKPLLNPVTFGPVCEGEYFWDSQEDDGQRGEDNTFLGTDSSSCVSSTECESMNEDSGQKQEDTECEMREKRGTKSLFTRNEASECLGHGSSTWPTNEKWRSLRQKKASKFRCGREYSWDSVKLKQQLSAAVESTVRKVKRRRRLPRCPANETFSDSEHWSHQKSKVIANLAPHRKAVMTDDSDSFNTVPAVERCDKTAEDLSSESAESYCCTHEALHTFDSRRKRGRRKRLQRRQQRPSLAMEEWLEGLKNQESTQELASALDHVLEDM
+>sp|Q5T3I0|GPTC4_HUMAN G patch domain-containing protein 4 OS=Homo sapiens OX=9606 GN=GPATCH4 PE=1 SV=2
+MNVTPEVKSRGMKFAEEQLLKHGWTQGKGLGRKENGITQALRVTLKQDTHGVGHDPAKEFTNHWWNELFNKTAANLVVETGQDGVQIRSLSKETTRYNHPKPNLLYQKFVKMATLTSGGEKPNKDLESCSDDDNQGSKSPKILTDEMLLQACEGRTAHKAARLGITMKAKLARLEAQEQAFLARLKGQDPGAPQLQSESKPPKKKKKKRRQKEEEEATASERNDADEKHPEHAEQNIRKSKKKKRRHQEGKVSDEREGTTKGNEKEDAAGTSGLGELNSREQTNQSLRKGKKKKRWHHEEEKMGVLEEGGKGKEAAGSVRTEEVESRAYADPCSRRKKRQQQEEEDLNLEDRGEETVLGGGTREAESRACSDGRSRKSKKKRQQHQEEEDILDVRDEKDGGAREAESRAHTGSSSRGKRKRQQHPKKERAGVSTVQKAKKKQKKRD
+>DECOY_sp|Q5T3I0|GPTC4_HUMAN G patch domain-containing protein 4 OS=Homo sapiens OX=9606 GN=GPATCH4 PE=1 SV=2
+DRKKQKKKAKQVTSVGAREKKPHQQRKRKGRSSSGTHARSEAERAGGDKEDRVDLIDEEEQHQQRKKKSKRSRGDSCARSEAERTGGGLVTEEGRDELNLDEEEQQQRKKRRSCPDAYARSEVEETRVSGAAEKGKGGEELVGMKEEEHHWRKKKKGKRLSQNTQERSNLEGLGSTGAADEKENGKTTGEREDSVKGEQHRRKKKKSKRINQEAHEPHKEDADNRESATAEEEEKQRRKKKKKKPPKSESQLQPAGPDQGKLRALFAQEQAELRALKAKMTIGLRAAKHATRGECAQLLMEDTLIKPSKSGQNDDDSCSELDKNPKEGGSTLTAMKVFKQYLLNPKPHNYRTTEKSLSRIQVGDQGTEVVLNAATKNFLENWWHNTFEKAPDHGVGHTDQKLTVRLAQTIGNEKRGLGKGQTWGHKLLQEEAFKMGRSKVEPTVNM
+>sp|Q9UKJ3|GPTC8_HUMAN G patch domain-containing protein 8 OS=Homo sapiens OX=9606 GN=GPATCH8 PE=1 SV=2
+MADRFSRFNEDRDFQGNHFDQYEEGHLEIEQASLDKPIESDNIGHRLLQKHGWKLGQGLGKSLQGRTDPIPIVVKYDVMGMGRMEMELDYAEDATERRRVLEVEKEDTEELRQKYKDYVDKEKAIAKALEDLRANFYCELCDKQYQKHQEFDNHINSYDHAHKQRLKDLKQREFARNVSSRSRKDEKKQEKALRRLHELAEQRKQAECAPGSGPMFKPTTVAVDEEGGEDDKDESATNSGTGATASCGLGSEFSTDKGGPFTAVQITNTTGLAQAPGLASQGISFGIKNNLGTPLQKLGVSFSFAKKAPVKLESIASVFKDHAEEGTSEDGTKPDEKSSDQGLQKVGDSDGSSNLDGKKEDEDPQDGGSLASTLSKLKRMKREEGAGATEPEYYHYIPPAHCKVKPNFPFLLFMRASEQMDGDNTTHPKNAPESKKGSSPKPKSCIKAAASQGAEKTVSEVSEQPKETSMTEPSEPGSKAEAKKALGGDVSDQSLESHSQKVSETQMCESNSSKETSLATPAGKESQEGPKHPTGPFFPVLSKDESTALQWPSELLIFTKAEPSISYSCNPLYFDFKLSRNKDARTKGTEKPKDIGSSSKDHLQGLDPGEPNKSKEVGGEKIVRSSGGRMDAPASGSACSGLNKQEPGGSHGSETEDTGRSLPSKKERSGKSHRHKKKKKHKKSSKHKRKHKADTEEKSSKAESGEKSKKRKKRKRKKNKSSAPADSERGPKPEPPGSGSPAPPRRRRRAQDDSQRRSLPAEEGSSGKKDEGGGGSSSQDHGGRKHKGELPPSSCQRRAGTKRSSRSSHRSQPSSGDEDSDDASSHRLHQKSPSQYSEEEEEEDSGSEHSRSRSRSGRRHSSHRSSRRSYSSSSDASSDQSCYSRQRSYSDDSYSDYSDRSRRHSKRSHDSDDSDYASSKHRSKRHKYSSSDDDYSLSCSQSRSRSRSHTRERSRSRGRSRSSSCSRSRSKRRSRSTTAHSWQRSRSYSRDRSRSTRSPSQRSGSRKRSWGHESPEERHSGRRDFIRSKIYRSQSPHYFRSGRGEGPGKKDDGRGDDSKATGPPSQNSNIGTGRGSEGDCSPEDKNSVTAKLLLEKIQSRKVERKPSVSEEVQATPNKAGPKLKDPPQGYFGPKLPPSLGNKPVLPLIGKLPATRKPNKKCEESGLERGEEQEQSETEEGPPGSSDALFGHQFPSEETTGPLLDPPPEESKSGEATADHPVAPLGTPAHSDCYPGDPTISHNYLPDPSDGDTLESLDSSSQPGPVESSLLPIAPDLEHFPSYAPPSGDPSIESTDGAEDASLAPLESQPITFTPEEMEKYSKLQQAAQQHIQQQLLAKQVKAFPASAALAPATPALQPIHIQQPATASATSITTVQHAILQHHAAAAAAAIGIHPHPHPQPLAQVHHIPQPHLTPISLSHLTHSIIPGHPATFLASHPIHIIPASAIHPGPFTFHPVPHAALYPTLLAPRPAAAAATALHLHPLLHPIFSGQDLQHPPSHGT
+>DECOY_sp|Q9UKJ3|GPTC8_HUMAN G patch domain-containing protein 8 OS=Homo sapiens OX=9606 GN=GPATCH8 PE=1 SV=2
+TGHSPPHQLDQGSFIPHLLPHLHLATAAAAAPRPALLTPYLAAHPVPHFTFPGPHIASAPIIHIPHSALFTAPHGPIISHTLHSLSIPTLHPQPIHHVQALPQPHPHPHIGIAAAAAAAHHQLIAHQVTTISTASATAPQQIHIPQLAPTAPALAASAPFAKVQKALLQQQIHQQAAQQLKSYKEMEEPTFTIPQSELPALSADEAGDTSEISPDGSPPAYSPFHELDPAIPLLSSEVPGPQSSSDLSELTDGDSPDPLYNHSITPDGPYCDSHAPTGLPAVPHDATAEGSKSEEPPPDLLPGTTEESPFQHGFLADSSGPPGEETESQEQEEGRELGSEECKKNPKRTAPLKGILPLVPKNGLSPPLKPGFYGQPPDKLKPGAKNPTAQVEESVSPKREVKRSQIKELLLKATVSNKDEPSCDGESGRGTGINSNQSPPGTAKSDDGRGDDKKGPGEGRGSRFYHPSQSRYIKSRIFDRRGSHREEPSEHGWSRKRSGSRQSPSRTSRSRDRSYSRSRQWSHATTSRSRRKSRSRSCSSSRSRGRSRSRERTHSRSRSRSQSCSLSYDDDSSSYKHRKSRHKSSAYDSDDSDHSRKSHRRSRDSYDSYSDDSYSRQRSYCSQDSSADSSSSYSRRSSRHSSHRRGSRSRSRSHESGSDEEEEEESYQSPSKQHLRHSSADDSDEDGSSPQSRHSSRSSRKTGARRQCSSPPLEGKHKRGGHDQSSSGGGGEDKKGSSGEEAPLSRRQSDDQARRRRRPPAPSGSGPPEPKPGRESDAPASSKNKKRKRKKRKKSKEGSEAKSSKEETDAKHKRKHKSSKKHKKKKKHRHSKGSREKKSPLSRGTDETESGHSGGPEQKNLGSCASGSAPADMRGGSSRVIKEGGVEKSKNPEGPDLGQLHDKSSSGIDKPKETGKTRADKNRSLKFDFYLPNCSYSISPEAKTFILLESPWQLATSEDKSLVPFFPGTPHKPGEQSEKGAPTALSTEKSSNSECMQTESVKQSHSELSQDSVDGGLAKKAEAKSGPESPETMSTEKPQESVESVTKEAGQSAAAKICSKPKPSSGKKSEPANKPHTTNDGDMQESARMFLLFPFNPKVKCHAPPIYHYYEPETAGAGEERKMRKLKSLTSALSGGDQPDEDEKKGDLNSSGDSDGVKQLGQDSSKEDPKTGDESTGEEAHDKFVSAISELKVPAKKAFSFSVGLKQLPTGLNNKIGFSIGQSALGPAQALGTTNTIQVATFPGGKDTSFESGLGCSATAGTGSNTASEDKDDEGGEEDVAVTTPKFMPGSGPACEAQKRQEALEHLRRLAKEQKKEDKRSRSSVNRAFERQKLDKLRQKHAHDYSNIHNDFEQHKQYQKDCLECYFNARLDELAKAIAKEKDVYDKYKQRLEETDEKEVELVRRRETADEAYDLEMEMRGMGMVDYKVVIPIPDTRGQLSKGLGQGLKWGHKQLLRHGINDSEIPKDLSAQEIELHGEEYQDFHNGQFDRDENFRSFRDAM
+>sp|P40197|GPV_HUMAN Platelet glycoprotein V OS=Homo sapiens OX=9606 GN=GP5 PE=1 SV=1
+MLRGTLLCAVLGLLRAQPFPCPPACKCVFRDAAQCSGGDVARISALGLPTNLTHILLFGMGRGVLQSQSFSGMTVLQRLMISDSHISAVAPGTFSDLIKLKTLRLSRNKITHLPGALLDKMVLLEQLFLDHNALRGIDQNMFQKLVNLQELALNQNQLDFLPASLFTNLENLKLLDLSGNNLTHLPKGLLGAQAKLERLLLHSNRLVSLDSGLLNSLGALTELQFHRNHIRSIAPGAFDRLPNLSSLTLSRNHLAFLPSALFLHSHNLTLLTLFENPLAELPGVLFGEMGGLQELWLNRTQLRTLPAAAFRNLSRLRYLGVTLSPRLSALPQGAFQGLGELQVLALHSNGLTALPDGLLRGLGKLRQVSLRRNRLRALPRALFRNLSSLESVQLDHNQLETLPGDVFGALPRLTEVLLGHNSWRCDCGLGPFLGWLRQHLGLVGGEEPPRCAGPGAHAGLPLWALPGGDAECPGPRGPPPRPAADSSSEAPVHPALAPNSSEPWVWAQPVTTGKGQDHSPFWGFYFLLLAVQAMITVIIVFAMIKIGQLFRKLIRERALG
+>DECOY_sp|P40197|GPV_HUMAN Platelet glycoprotein V OS=Homo sapiens OX=9606 GN=GP5 PE=1 SV=1
+GLARERILKRFLQGIKIMAFVIIVTIMAQVALLLFYFGWFPSHDQGKGTTVPQAWVWPESSNPALAPHVPAESSSDAAPRPPPGRPGPCEADGGPLAWLPLGAHAGPGACRPPEEGGVLGLHQRLWGLFPGLGCDCRWSNHGLLVETLRPLAGFVDGPLTELQNHDLQVSELSSLNRFLARPLARLRNRRLSVQRLKGLGRLLGDPLATLGNSHLALVQLEGLGQFAGQPLASLRPSLTVGLYRLRSLNRFAAAPLTRLQTRNLWLEQLGGMEGFLVGPLEALPNEFLTLLTLNHSHLFLASPLFALHNRSLTLSSLNPLRDFAGPAISRIHNRHFQLETLAGLSNLLGSDLSVLRNSHLLLRELKAQAGLLGKPLHTLNNGSLDLLKLNELNTFLSAPLFDLQNQNLALEQLNVLKQFMNQDIGRLANHDLFLQELLVMKDLLAGPLHTIKNRSLRLTKLKILDSFTGPAVASIHSDSIMLRQLVTMGSFSQSQLVGRGMGFLLIHTLNTPLGLASIRAVDGGSCQAADRFVCKCAPPCPFPQARLLGLVACLLTGRLM
+>sp|P07203|GPX1_HUMAN Glutathione peroxidase 1 OS=Homo sapiens OX=9606 GN=GPX1 PE=1 SV=4
+MCAARLAAAAAAAQSVYAFSARPLAGGEPVSLGSLRGKVLLIENVASLUGTTVRDYTQMNELQRRLGPRGLVVLGFPCNQFGHQENAKNEEILNSLKYVRPGGGFEPNFMLFEKCEVNGAGAHPLFAFLREALPAPSDDATALMTDPKLITWSPVCRNDVAWNFEKFLVGPDGVPLRRYSRRFQTIDIEPDIEALLSQGPSCA
+>DECOY_sp|P07203|GPX1_HUMAN Glutathione peroxidase 1 OS=Homo sapiens OX=9606 GN=GPX1 PE=1 SV=4
+ACSPGQSLLAEIDPEIDITQFRRSYRRLPVGDPGVLFKEFNWAVDNRCVPSWTILKPDTMLATADDSPAPLAERLFAFLPHAGAGNVECKEFLMFNPEFGGGPRVYKLSNLIEENKANEQHGFQNCPFGLVVLGRPGLRRQLENMQTYDRVTTGULSAVNEILLVKGRLSGLSVPEGGALPRASFAYVSQAAAAAAALRAACM
+>sp|O75715|GPX5_HUMAN Epididymal secretory glutathione peroxidase OS=Homo sapiens OX=9606 GN=GPX5 PE=1 SV=1
+MTTQLRVVHLLPLLLACFVQTSPKQEKMKMDCHKDEKGTIYDYEAIALNKNEYVSFKQYVGKHILFVNVATYCGLTAQYPELNALQEELKPYGLVVLGFPCNQFGKQEPGDNKEILPGLKYVRPGGGFVPSFQLFEKGDVNGEKEQKVFSFLKHSCPHPSEILGTFKSISWDPVKVHDIRWNFEKFLVGPDGIPVMRWSHRATVSSVKTDILAYLKQFKTK
+>DECOY_sp|O75715|GPX5_HUMAN Epididymal secretory glutathione peroxidase OS=Homo sapiens OX=9606 GN=GPX5 PE=1 SV=1
+KTKFQKLYALIDTKVSSVTARHSWRMVPIGDPGVLFKEFNWRIDHVKVPDWSISKFTGLIESPHPCSHKLFSFVKQEKEGNVDGKEFLQFSPVFGGGPRVYKLGPLIEKNDGPEQKGFQNCPFGLVVLGYPKLEEQLANLEPYQATLGCYTAVNVFLIHKGVYQKFSVYENKNLAIAEYDYITGKEDKHCDMKMKEQKPSTQVFCALLLPLLHVVRLQTTM
+>sp|O15544|GR6_HUMAN Protein GR6 OS=Homo sapiens OX=9606 GN=LINC01565 PE=2 SV=1
+MKEALHQIVVRCSELVSSTSLPRLSVSRLQGPPDSQPLGTLGQGGWKLLGIVGSLAPETLGGLGTEFGPCTHPLPFDMVRERERDDELRQGWLLQCPQCARTLLCHCGPFLTPPSQTSSSGFQLCSLKPSGSLVTATEPLSNFAFSYFP
+>DECOY_sp|O15544|GR6_HUMAN Protein GR6 OS=Homo sapiens OX=9606 GN=LINC01565 PE=2 SV=1
+PFYSFAFNSLPETATVLSGSPKLSCLQFGSSSTQSPPTLFPGCHCLLTRACQPCQLLWGQRLEDDRERERVMDFPLPHTCPGFETGLGGLTEPALSGVIGLLKWGGQGLTGLPQSDPPGQLRSVSLRPLSTSSVLESCRVVIQHLAEKM
+>sp|P10144|GRAB_HUMAN Granzyme B OS=Homo sapiens OX=9606 GN=GZMB PE=1 SV=2
+MQPILLLLAFLLLPRADAGEIIGGHEAKPHSRPYMAYLMIWDQKSLKRCGGFLIRDDFVLTAAHCWGSSINVTLGAHNIKEQEPTQQFIPVKRPIPHPAYNPKNFSNDIMLLQLERKAKRTRAVQPLRLPSNKAQVKPGQTCSVAGWGQTAPLGKHSHTLQEVKMTVQEDRKCESDLRHYYDSTIELCVGDPEIKKTSFKGDSGGPLVCNKVAQGIVSYGRNNGMPPRACTKVSSFVHWIKKTMKRY
+>DECOY_sp|P10144|GRAB_HUMAN Granzyme B OS=Homo sapiens OX=9606 GN=GZMB PE=1 SV=2
+YRKMTKKIWHVFSSVKTCARPPMGNNRGYSVIGQAVKNCVLPGGSDGKFSTKKIEPDGVCLEITSDYYHRLDSECKRDEQVTMKVEQLTHSHKGLPATQGWGAVSCTQGPKVQAKNSPLRLPQVARTRKAKRELQLLMIDNSFNKPNYAPHPIPRKVPIFQQTPEQEKINHAGLTVNISSGWCHAATLVFDDRILFGGCRKLSKQDWIMLYAMYPRSHPKAEHGGIIEGADARPLLLFALLLLIPQM
+>sp|P28676|GRAN_HUMAN Grancalcin OS=Homo sapiens OX=9606 GN=GCA PE=1 SV=2
+MAYPGYGGGFGNFSIQVPGMQMGQPVPETGPAILLDGYSGPAYSDTYSSAGDSVYTYFSAVAGQDGEVDAEELQRCLTQSGINGTYSPFSLETCRIMIAMLDRDHTGKMGFNAFKELWAALNAWKENFMTVDQDGSGTVEHHELRQAIGLMGYRLSPQTLTTIVKRYSKNGRIFFDDYVACCVKLRALTDFFRKRDHLQQGSANFIYDDFLQGTMAI
+>DECOY_sp|P28676|GRAN_HUMAN Grancalcin OS=Homo sapiens OX=9606 GN=GCA PE=1 SV=2
+IAMTGQLFDDYIFNASGQQLHDRKRFFDTLARLKVCCAVYDDFFIRGNKSYRKVITTLTQPSLRYGMLGIAQRLEHHEVTGSGDQDVTMFNEKWANLAAWLEKFANFGMKGTHDRDLMAIMIRCTELSFPSYTGNIGSQTLCRQLEEADVEGDQGAVASFYTYVSDGASSYTDSYAPGSYGDLLIAPGTEPVPQGMQMGPVQISFNGFGGGYGPYAM
+>sp|Q14451|GRB7_HUMAN Growth factor receptor-bound protein 7 OS=Homo sapiens OX=9606 GN=GRB7 PE=1 SV=2
+MELDLSPPHLSSSPEDLCPAPGTPPGTPRPPDTPLPEEVKRSQPLLIPTTGRKLREEERRATSLPSIPNPFPELCSPPSQSPILGGPSSARGLLPRDASRPHVVKVYSEDGACRSVEVAAGATARHVCEMLVQRAHALSDETWGLVECHPHLALERGLEDHESVVEVQAAWPVGGDSRFVFRKNFAKYELFKSSPHSLFPEKMVSSCLDAHTGISHEDLIQNFLNAGSFPEIQGFLQLRGSGRKLWKRFFCFLRRSGLYYSTKGTSKDPRHLQYVADVNESNVYVVTQGRKLYGMPTDFGFCVKPNKLRNGHKGLRIFCSEDEQSRTCWLAAFRLFKYGVQLYKNYQQAQSRHLHPSCLGSPPLRSASDNTLVAMDFSGHAGRVIENPREALSVALEEAQAWRKKTNHRLSLPMPASGTSLSAAIHRTQLWFHGRISREESQRLIGQQGLVDGLFLVRESQRNPQGFVLSLCHLQKVKHYLILPSEEEGRLYFSMDDGQTRFTDLLQLVEFHQLNRGILPCLLRHCCTRVAL
+>DECOY_sp|Q14451|GRB7_HUMAN Growth factor receptor-bound protein 7 OS=Homo sapiens OX=9606 GN=GRB7 PE=1 SV=2
+LAVRTCCHRLLCPLIGRNLQHFEVLQLLDTFRTQGDDMSFYLRGEEESPLILYHKVKQLHCLSLVFGQPNRQSERVLFLGDVLGQQGILRQSEERSIRGHFWLQTRHIAASLSTGSAPMPLSLRHNTKKRWAQAEELAVSLAERPNEIVRGAHGSFDMAVLTNDSASRLPPSGLCSPHLHRSQAQQYNKYLQVGYKFLRFAALWCTRSQEDESCFIRLGKHGNRLKNPKVCFGFDTPMGYLKRGQTVVYVNSENVDAVYQLHRPDKSTGKTSYYLGSRRLFCFFRKWLKRGSGRLQLFGQIEPFSGANLFNQILDEHSIGTHADLCSSVMKEPFLSHPSSKFLEYKAFNKRFVFRSDGGVPWAAQVEVVSEHDELGRELALHPHCEVLGWTEDSLAHARQVLMECVHRATAGAAVEVSRCAGDESYVKVVHPRSADRPLLGRASSPGGLIPSQSPPSCLEPFPNPISPLSTARREEERLKRGTTPILLPQSRKVEEPLPTDPPRPTGPPTGPAPCLDEPSSSLHPPSLDLEM
+>sp|Q3V6T2|GRDN_HUMAN Girdin OS=Homo sapiens OX=9606 GN=CCDC88A PE=1 SV=2
+MENEIFTPLLEQFMTSPLVTWVKTFGPLAAGNGTNLDEYVALVDGVFLNQVMLQINPKLESQRVNKKVNNDASLRMHNLSILVRQIKFYYQETLQQLIMMSLPNVLIIGKNPFSEQGTEEVKKLLLLLLGCAVQCQKKEEFIERIQGLDFDTKAAVAAHIQEVTHNQENVFDLQWMEVTDMSQEDIEPLLKNMALHLKRLIDERDEHSETIIELSEERDGLHFLPHASSSAQSPCGSPGMKRTESRQHLSVELADAKAKIRRLRQELEEKTEQLLDCKQELEQMEIELKRLQQENMNLLSDARSARMYRDELDALREKAVRVDKLESEVSRYKERLHDIEFYKARVEELKEDNQVLLETKTMLEDQLEGTRARSDKLHELEKENLQLKAKLHDMEMERDMDRKKIEELMEENMTLEMAQKQSMDESLHLGWELEQISRTSELSEAPQKSLGHEVNELTSSRLLKLEMENQSLTKTVEELRTTVDSVEGNASKILKMEKENQRLSKKVEILENEIVQEKQSLQNCQNLSKDLMKEKAQLEKTIETLRENSERQIKILEQENEHLNQTVSSLRQRSQISAEARVKDIEKENKILHESIKETSSKLSKIEFEKRQIKKELEHYKEKGERAEELENELHHLEKENELLQKKITNLKITCEKIEALEQENSELERENRKLKKTLDSFKNLTFQLESLEKENSQLDEENLELRRNVESLKCASMKMAQLQLENKELESEKEQLKKGLELLKASFKKTERLEVSYQGLDIENQRLQKTLENSNKKIQQLESELQDLEMENQTLQKNLEELKISSKRLEQLEKENKSLEQETSQLEKDKKQLEKENKRLRQQAEIKDTTLEENNVKIGNLEKENKTLSKEIGIYKESCVRLKELEKENKELVKRATIDIKTLVTLREDLVSEKLKTQQMNNDLEKLTHELEKIGLNKERLLHDEQSTDDSRYKLLESKLESTLKKSLEIKEEKIAALEARLEESTNYNQQLRQELKTVKKNYEALKQRQDEERMVQSSPPISGEDNKWERESQETTRELLKVKDRLIEVERNNATLQAEKQALKTQLKQLETQNNNLQAQILALQRQTVSLQEQNTTLQTQNAKLQVENSTLNSQSTSLMNQNAQLLIQQSSLENENESVIKEREDLKSLYDSLIKDHEKLELLHERQASEYESLISKHGTLKSAHKNLEVEHRDLEDRYNQLLKQKGQLEDLEKMLKVEQEKMLLENKNHETVAAEYKKLCGENDRLNHTYSQLLKETEVLQTDHKNLKSLLNNSKLEQTRLEAEFSKLKEQYQQLDITSTKLNNQCELLSQLKGNLEEENRHLLDQIQTLMLQNRTLLEQNMESKDLFHVEQRQYIDKLNELRRQKEKLEEKIMDQYKFYDPSPPRRRGNWITLKMRKLIKSKKDINRERQKSLTLTPTRSDSSEGFLQLPHQDSQDSSSVGSNSLEDGQTLGTKKSSMVALKRLPFLRNRPKDKDKMKACYRRSMSMNDLVQSMVLAGQWTGSTENLEVPDDISTGKRRKELGAMAFSTTAINFSTVNSSAGFRSKQLVNNKDTTSFEDISPQGVSDDSSTGSRVHASRPASLDSGRTSTSNSNNNASLHEVKAGAVNNQSRPQSHSSGEFSLLHDHEAWSSSGSSPIQYLKRQTRSSPVLQHKISETLESRHHKIKTGSPGSEVVTLQQFLEESNKLTSVQIKSSSQENLLDEVMKSLSVSSDFLGKDKPVSCGLARSVSGKTPGDFYDRRTTKPEFLRPGPRKTEDTYFISSAGKPTPGTQGKIKLVKESSLSRQSKDSNPYATLPRASSVISTAEGTTRRTSIHDFLTKDSRLPISVDSPPAAADSNTTAASNVDKVQESRNSKSRSREQQSS
+>DECOY_sp|Q3V6T2|GRDN_HUMAN Girdin OS=Homo sapiens OX=9606 GN=CCDC88A PE=1 SV=2
+SSQQERSRSKSNRSEQVKDVNSAATTNSDAAAPPSDVSIPLRSDKTLFDHISTRRTTGEATSIVSSARPLTAYPNSDKSQRSLSSEKVLKIKGQTGPTPKGASSIFYTDETKRPGPRLFEPKTTRRDYFDGPTKGSVSRALGCSVPKDKGLFDSSVSLSKMVEDLLNEQSSSKIQVSTLKNSEELFQQLTVVESGPSGTKIKHHRSELTESIKHQLVPSSRTQRKLYQIPSSGSSSWAEHDHLLSFEGSSHSQPRSQNNVAGAKVEHLSANNNSNSTSTRGSDLSAPRSAHVRSGTSSDDSVGQPSIDEFSTTDKNNVLQKSRFGASSNVTSFNIATTSFAMAGLEKRRKGTSIDDPVELNETSGTWQGALVMSQVLDNMSMSRRYCAKMKDKDKPRNRLFPLRKLAVMSSKKTGLTQGDELSNSGVSSSDQSDQHPLQLFGESSDSRTPTLTLSKQRERNIDKKSKILKRMKLTIWNGRRRPPSPDYFKYQDMIKEELKEKQRRLENLKDIYQRQEVHFLDKSEMNQELLTRNQLMLTQIQDLLHRNEEELNGKLQSLLECQNNLKTSTIDLQQYQEKLKSFEAELRTQELKSNNLLSKLNKHDTQLVETEKLLQSYTHNLRDNEGCLKKYEAAVTEHNKNELLMKEQEVKLMKELDELQGKQKLLQNYRDELDRHEVELNKHASKLTGHKSILSEYESAQREHLLELKEHDKILSDYLSKLDEREKIVSENENELSSQQILLQANQNMLSTSQSNLTSNEVQLKANQTQLTTNQEQLSVTQRQLALIQAQLNNNQTELQKLQTKLAQKEAQLTANNREVEILRDKVKLLERTTEQSEREWKNDEGSIPPSSQVMREEDQRQKLAEYNKKVTKLEQRLQQNYNTSEELRAELAAIKEEKIELSKKLTSELKSELLKYRSDDTSQEDHLLREKNLGIKELEHTLKELDNNMQQTKLKESVLDERLTVLTKIDITARKVLEKNEKELEKLRVCSEKYIGIEKSLTKNEKELNGIKVNNEELTTDKIEAQQRLRKNEKELQKKDKELQSTEQELSKNEKELQELRKSSIKLEELNKQLTQNEMELDQLESELQQIKKNSNELTKQLRQNEIDLGQYSVELRETKKFSAKLLELGKKLQEKESELEKNELQLQAMKMSACKLSEVNRRLELNEEDLQSNEKELSELQFTLNKFSDLTKKLKRNERELESNEQELAEIKECTIKLNTIKKQLLENEKELHHLENELEEAREGKEKYHELEKKIQRKEFEIKSLKSSTEKISEHLIKNEKEIDKVRAEASIQSRQRLSSVTQNLHENEQELIKIQRESNERLTEITKELQAKEKMLDKSLNQCNQLSQKEQVIENELIEVKKSLRQNEKEMKLIKSANGEVSDVTTRLEEVTKTLSQNEMELKLLRSSTLENVEHGLSKQPAESLESTRSIQELEWGLHLSEDMSQKQAMELTMNEEMLEEIKKRDMDREMEMDHLKAKLQLNEKELEHLKDSRARTGELQDELMTKTELLVQNDEKLEEVRAKYFEIDHLREKYRSVESELKDVRVAKERLADLEDRYMRASRADSLLNMNEQQLRKLEIEMQELEQKCDLLQETKEELEQRLRRIKAKADALEVSLHQRSETRKMGPSGCPSQASSSAHPLFHLGDREESLEIITESHEDREDILRKLHLAMNKLLPEIDEQSMDTVEMWQLDFVNEQNHTVEQIHAAVAAKTDFDLGQIREIFEEKKQCQVACGLLLLLLKKVEETGQESFPNKGIILVNPLSMMILQQLTEQYYFKIQRVLISLNHMRLSADNNVKKNVRQSELKPNIQLMVQNLFVGDVLAVYEDLNTGNGAALPGFTKVWTVLPSTMFQELLPTFIENEM
+>sp|P23771|GATA3_HUMAN Trans-acting T-cell-specific transcription factor GATA-3 OS=Homo sapiens OX=9606 GN=GATA3 PE=1 SV=1
+MEVTADQPRWVSHHHPAVLNGQHPDTHHPGLSHSYMDAAQYPLPEEVDVLFNIDGQGNHVPPYYGNSVRATVQRYPPTHHGSQVCRPPLLHGSLPWLDGGKALGSHHTASPWNLSPFSKTSIHHGSPGPLSVYPPASSSSLSGGHASPHLFTFPPTPPKDVSPDPSLSTPGSAGSARQDEKECLKYQVPLPDSMKLESSHSRGSMTALGGASSSTHHPITTYPPYVPEYSSGLFPPSSLLGGSPTGFGCKSRPKARSSTGRECVNCGATSTPLWRRDGTGHYLCNACGLYHKMNGQNRPLIKPKRRLSAARRAGTSCANCQTTTTTLWRRNANGDPVCNACGLYYKLHNINRPLTMKKEGIQTRNRKMSSKSKKCKKVHDSLEDFPKNSSFNPAALSRHMSSLSHISPFSHSSHMLTTPTPMHPPSSLSFGPHHPSSMVTAMG
+>DECOY_sp|P23771|GATA3_HUMAN Trans-acting T-cell-specific transcription factor GATA-3 OS=Homo sapiens OX=9606 GN=GATA3 PE=1 SV=1
+GMATVMSSPHHPGFSLSSPPHMPTPTTLMHSSHSFPSIHSLSSMHRSLAAPNFSSNKPFDELSDHVKKCKKSKSSMKRNRTQIGEKKMTLPRNINHLKYYLGCANCVPDGNANRRWLTTTTTQCNACSTGARRAASLRRKPKILPRNQGNMKHYLGCANCLYHGTGDRRWLPTSTAGCNVCERGTSSRAKPRSKCGFGTPSGGLLSSPPFLGSSYEPVYPPYTTIPHHTSSSAGGLATMSGRSHSSELKMSDPLPVQYKLCEKEDQRASGASGPTSLSPDPSVDKPPTPPFTFLHPSAHGGSLSSSSAPPYVSLPGPSGHHISTKSFPSLNWPSATHHSGLAKGGDLWPLSGHLLPPRCVQSGHHTPPYRQVTARVSNGYYPPVHNGQGDINFLVDVEEPLPYQAADMYSHSLGPHHTDPHQGNLVAPHHHSVWRPQDATVEM
+>sp|Q8WUU5|GATD1_HUMAN GATA zinc finger domain-containing protein 1 OS=Homo sapiens OX=9606 GN=GATAD1 PE=1 SV=1
+MPLGLKPTCSVCKTTSSSMWKKGAQGEILCHHCTGRGGAGSGGAGSGAAGGTGGSGGGGFGAATFASTSATPPQSNGGGGGKQSKQEIHRRSARLRNTKYKSAPAAEKKVSTKGKGRRHIFKLKNPIKAPESVSTIITAESIFYKGVYYQIGDVVSVIDEQDGKPYYAQIRGFIQDQYCEKSAALTWLIPTLSSPRDQFDPASYIIGPEEDLPRKMEYLEFVCHAPSEYFKSRSSPFPTVPTRPEKGYIWTHVGPTPAITIKESVANHL
+>DECOY_sp|Q8WUU5|GATD1_HUMAN GATA zinc finger domain-containing protein 1 OS=Homo sapiens OX=9606 GN=GATAD1 PE=1 SV=1
+LHNAVSEKITIAPTPGVHTWIYGKEPRTPVTPFPSSRSKFYESPAHCVFELYEMKRPLDEEPGIIYSAPDFQDRPSSLTPILWTLAASKECYQDQIFGRIQAYYPKGDQEDIVSVVDGIQYYVGKYFISEATIITSVSEPAKIPNKLKFIHRRGKGKTSVKKEAAPASKYKTNRLRASRRHIEQKSQKGGGGGNSQPPTASTSAFTAAGFGGGGSGGTGGAAGSGAGGSGAGGRGTCHHCLIEGQAGKKWMSSSTTKCVSCTPKLGLPM
+>sp|P62873|GBB1_HUMAN Guanine nucleotide-binding protein G(I)/G(S)/G(T) subunit beta-1 OS=Homo sapiens OX=9606 GN=GNB1 PE=1 SV=3
+MSELDQLRQEAEQLKNQIRDARKACADATLSQITNNIDPVGRIQMRTRRTLRGHLAKIYAMHWGTDSRLLVSASQDGKLIIWDSYTTNKVHAIPLRSSWVMTCAYAPSGNYVACGGLDNICSIYNLKTREGNVRVSRELAGHTGYLSCCRFLDDNQIVTSSGDTTCALWDIETGQQTTTFTGHTGDVMSLSLAPDTRLFVSGACDASAKLWDVREGMCRQTFTGHESDINAICFFPNGNAFATGSDDATCRLFDLRADQELMTYSHDNIICGITSVSFSKSGRLLLAGYDDFNCNVWDALKADRAGVLAGHDNRVSCLGVTDDGMAVATGSWDSFLKIWN
+>DECOY_sp|P62873|GBB1_HUMAN Guanine nucleotide-binding protein G(I)/G(S)/G(T) subunit beta-1 OS=Homo sapiens OX=9606 GN=GNB1 PE=1 SV=3
+NWIKLFSDWSGTAVAMGDDTVGLCSVRNDHGALVGARDAKLADWVNCNFDDYGALLLRGSKSFSVSTIGCIINDHSYTMLEQDARLDFLRCTADDSGTAFANGNPFFCIANIDSEHGTFTQRCMGERVDWLKASADCAGSVFLRTDPALSLSMVDGTHGTFTTTQQGTEIDWLACTTDGSSTVIQNDDLFRCCSLYGTHGALERSVRVNGERTKLNYISCINDLGGCAVYNGSPAYACTMVWSSRLPIAHVKNTTYSDWIILKGDQSASVLLRSDTGWHMAYIKALHGRLTRRTRMQIRGVPDINNTIQSLTADACAKRADRIQNKLQEAEQRLQDLESM
+>sp|P16520|GBB3_HUMAN Guanine nucleotide-binding protein G(I)/G(S)/G(T) subunit beta-3 OS=Homo sapiens OX=9606 GN=GNB3 PE=1 SV=1
+MGEMEQLRQEAEQLKKQIADARKACADVTLAELVSGLEVVGRVQMRTRRTLRGHLAKIYAMHWATDSKLLVSASQDGKLIVWDSYTTNKVHAIPLRSSWVMTCAYAPSGNFVACGGLDNMCSIYNLKSREGNVKVSRELSAHTGYLSCCRFLDDNNIVTSSGDTTCALWDIETGQQKTVFVGHTGDCMSLAVSPDFNLFISGACDASAKLWDVREGTCRQTFTGHESDINAICFFPNGEAICTGSDDASCRLFDLRADQELICFSHESIICGITSVAFSLSGRLLFAGYDDFNCNVWDSMKSERVGILSGHDNRVSCLGVTADGMAVATGSWDSFLKIWN
+>DECOY_sp|P16520|GBB3_HUMAN Guanine nucleotide-binding protein G(I)/G(S)/G(T) subunit beta-3 OS=Homo sapiens OX=9606 GN=GNB3 PE=1 SV=1
+NWIKLFSDWSGTAVAMGDATVGLCSVRNDHGSLIGVRESKMSDWVNCNFDDYGAFLLRGSLSFAVSTIGCIISEHSFCILEQDARLDFLRCSADDSGTCIAEGNPFFCIANIDSEHGTFTQRCTGERVDWLKASADCAGSIFLNFDPSVALSMCDGTHGVFVTKQQGTEIDWLACTTDGSSTVINNDDLFRCCSLYGTHASLERSVKVNGERSKLNYISCMNDLGGCAVFNGSPAYACTMVWSSRLPIAHVKNTTYSDWVILKGDQSASVLLKSDTAWHMAYIKALHGRLTRRTRMQVRGVVELGSVLEALTVDACAKRADAIQKKLQEAEQRLQEMEGM
+>sp|Q8N5D6|GBGT1_HUMAN Globoside alpha-1,3-N-acetylgalactosaminyltransferase 1 OS=Homo sapiens OX=9606 GN=GBGT1 PE=2 SV=2
+MHRRRLALGLGFCLLAGTSLSVLWVYLENWLPVSYVPYYLPCPEIFNMKLHYKREKPLQPVVWSQYPQPKLLEHRPTQLLTLTPWLAPIVSEGTFNPELLQHIYQPLNLTIGVTVFAVGKYTHFIQSFLESAEEFFMRGYRVHYYIFTDNPAAVPGVPLGPHRLLSSIPIQGHSHWEETSMRRMETISQHIAKRAHREVDYLFCLDVDMVFRNPWGPETLGDLVAAIHPSYYAVPRQQFPYERRRVSTAFVADSEGDFYYGGAVFGGQVARVYEFTRGCHMAILADKANGIMAAWREESHLNRHFISNKPSKVLSPEYLWDDRKPQPPSLKLIRFSTLDKDISCLRS
+>DECOY_sp|Q8N5D6|GBGT1_HUMAN Globoside alpha-1,3-N-acetylgalactosaminyltransferase 1 OS=Homo sapiens OX=9606 GN=GBGT1 PE=2 SV=2
+SRLCSIDKDLTSFRILKLSPPQPKRDDWLYEPSLVKSPKNSIFHRNLHSEERWAAMIGNAKDALIAMHCGRTFEYVRAVQGGFVAGGYYFDGESDAVFATSVRRREYPFQQRPVAYYSPHIAAVLDGLTEPGWPNRFVMDVDLCFLYDVERHARKAIHQSITEMRRMSTEEWHSHGQIPISSLLRHPGLPVGPVAAPNDTFIYYHVRYGRMFFEEASELFSQIFHTYKGVAFVTVGITLNLPQYIHQLLEPNFTGESVIPALWPTLTLLQTPRHELLKPQPYQSWVVPQLPKERKYHLKMNFIEPCPLYYPVYSVPLWNELYVWLVSLSTGALLCFGLGLALRRRHM
+>sp|Q8N8V2|GBP7_HUMAN Guanylate-binding protein 7 OS=Homo sapiens OX=9606 GN=GBP7 PE=2 SV=2
+MASEIHMPGPVCLTENTKGHLVVNSEALEILSAITQPVVVVAIVGLYRTGKSYLMNKLAGKNKGFPLGCTVKSETKGIWMWCVPHPSKPNHTLILLDTEGLGDMEKSDPKSDSWIFALAVLLSSSFVYNSMGTINHQALEQLHYVTELTELIRAKSCPRPDEVEDSSEFVSFFPDFIWTVRDFTLELKLDGHPITEDEYLENALKLISGKNPQIQNSNKPREWIRHFFPKQKCFVFDRPINDKKLLLHVEEVREDQLDSNFQMQSENFCSYIFTHAKTKTLREGILVTGNRLGMLVETYLDAINSGATPCLENAMAVLAQCENSAAVQRAANHYSQQMAQQVRFPTDTLQELLDVHAVCEREAIAVFMEYSFKDKSQEFQKKLVDTMEKKKEDFVLQNEEASAKYCQAELKRLSELLTESISRGTFFVPGGHNIYLEAKKKIEQDYTLVPRKGVKADEVLQSFLQSQVVIEESILQSDKALTAGEKAIAAKQAKKEAAEKEQELLRQKQKEQQQMMEAQERSFQENIAQLKKKMERERENYMRELRKMLSHKMKVLEELLTEGFKEIFESLNEEINRLKEQIEAAENEEPSVFSQILDVAGSIFIAALPGAAKLVDLGMKILSSLCNRLRNPGKKIIS
+>DECOY_sp|Q8N8V2|GBP7_HUMAN Guanylate-binding protein 7 OS=Homo sapiens OX=9606 GN=GBP7 PE=2 SV=2
+SIIKKGPNRLRNCLSSLIKMGLDVLKAAGPLAAIFISGAVDLIQSFVSPEENEAAEIQEKLRNIEENLSEFIEKFGETLLEELVKMKHSLMKRLERMYNEREREMKKKLQAINEQFSREQAEMMQQQEKQKQRLLEQEKEAAEKKAQKAAIAKEGATLAKDSQLISEEIVVQSQLFSQLVEDAKVGKRPVLTYDQEIKKKAELYINHGGPVFFTGRSISETLLESLRKLEAQCYKASAEENQLVFDEKKKEMTDVLKKQFEQSKDKFSYEMFVAIAERECVAHVDLLEQLTDTPFRVQQAMQQSYHNAARQVAASNECQALVAMANELCPTAGSNIADLYTEVLMGLRNGTVLIGERLTKTKAHTFIYSCFNESQMQFNSDLQDERVEEVHLLLKKDNIPRDFVFCKQKPFFHRIWERPKNSNQIQPNKGSILKLANELYEDETIPHGDLKLELTFDRVTWIFDPFFSVFESSDEVEDPRPCSKARILETLETVYHLQELAQHNITGMSNYVFSSSLLVALAFIWSDSKPDSKEMDGLGETDLLILTHNPKSPHPVCWMWIGKTESKVTCGLPFGKNKGALKNMLYSKGTRYLGVIAVVVVPQTIASLIELAESNVVLHGKTNETLCVPGPMHIESAM
+>sp|P31644|GBRA5_HUMAN Gamma-aminobutyric acid receptor subunit alpha-5 OS=Homo sapiens OX=9606 GN=GABRA5 PE=1 SV=1
+MDNGMFSGFIMIKNLLLFCISMNLSSHFGFSQMPTSSVKDETNDNITIFTRILDGLLDGYDNRLRPGLGERITQVRTDIYVTSFGPVSDTEMEYTIDVFFRQSWKDERLRFKGPMQRLPLNNLLASKIWTPDTFFHNGKKSIAHNMTTPNKLLRLEDDGTLLYTMRLTISAECPMQLEDFPMDAHACPLKFGSYAYPNSEVVYVWTNGSTKSVVVAEDGSRLNQYHLMGQTVGTENISTSTGEYTIMTAHFHLKRKIGYFVIQTYLPCIMTVILSQVSFWLNRESVPARTVFGVTTVLTMTTLSISARNSLPKVAYATAMDWFIAVCYAFVFSALIEFATVNYFTKRGWAWDGKKALEAAKIKKKREVILNKSTNAFTTGKMSHPPNIPKEQTPAGTSNTTSVSVKPSEEKTSESKKTYNSISKIDKMSRIVFPVLFGTFNLVYWATYLNREPVIKGAASPK
+>DECOY_sp|P31644|GBRA5_HUMAN Gamma-aminobutyric acid receptor subunit alpha-5 OS=Homo sapiens OX=9606 GN=GABRA5 PE=1 SV=1
+KPSAAGKIVPERNLYTAWYVLNFTGFLVPFVIRSMKDIKSISNYTKKSESTKEESPKVSVSTTNSTGAPTQEKPINPPHSMKGTTFANTSKNLIVERKKKIKAAELAKKGDWAWGRKTFYNVTAFEILASFVFAYCVAIFWDMATAYAVKPLSNRASISLTTMTLVTTVGFVTRAPVSERNLWFSVQSLIVTMICPLYTQIVFYGIKRKLHFHATMITYEGTSTSINETGVTQGMLHYQNLRSGDEAVVVSKTSGNTWVYVVESNPYAYSGFKLPCAHADMPFDELQMPCEASITLRMTYLLTGDDELRLLKNPTTMNHAISKKGNHFFTDPTWIKSALLNNLPLRQMPGKFRLREDKWSQRFFVDITYEMETDSVPGFSTVYIDTRVQTIREGLGPRLRNDYGDLLGDLIRTFITINDNTEDKVSSTPMQSFGFHSSLNMSICFLLLNKIMIFGSFMGNDM
+>sp|P78334|GBRE_HUMAN Gamma-aminobutyric acid receptor subunit epsilon OS=Homo sapiens OX=9606 GN=GABRE PE=2 SV=2
+MLSKVLPVLLGILLILQSRVEGPQTESKNEASSRDVVYGPQPQPLENQLLSEETKSTETETGSRVGKLPEASRILNTILSNYDHKLRPGIGEKPTVVTVEISVNSLGPLSILDMEYTIDIIFSQTWYDERLCYNDTFESLVLNGNVVSQLWIPDTFFRNSKRTHEHEITMPNQMVRIYKDGKVLYTIRMTIDAGCSLHMLRFPMDSHSCPLSFSSFSYPENEMIYKWENFKLEINEKNSWKLFQFDFTGVSNKTEIITTPVGDFMVMTIFFNVSRRFGYVAFQNYVPSSVTTMLSWVSFWIKTESAPARTSLGITSVLTMTTLGTFSRKNFPRVSYITALDFYIAICFVFCFCALLEFAVLNFLIYNQTKAHASPKLRHPRINSRAHARTRARSRACARQHQEAFVCQIVTTEGSDGEERPSCSAQQPPSPGSPEGPRSLCSKLACCEWCKRFKKYFCMVPDCEGSTWQQGRLCIHVYRLDNYSRVVFPVTFFFFNVLYWLVCLNL
+>DECOY_sp|P78334|GBRE_HUMAN Gamma-aminobutyric acid receptor subunit epsilon OS=Homo sapiens OX=9606 GN=GABRE PE=2 SV=2
+LNLCVLWYLVNFFFFTVPFVVRSYNDLRYVHICLRGQQWTSGECDPVMCFYKKFRKCWECCALKSCLSRPGEPSGPSPPQQASCSPREEGDSGETTVIQCVFAEQHQRACARSRARTRAHARSNIRPHRLKPSAHAKTQNYILFNLVAFELLACFCFVFCIAIYFDLATIYSVRPFNKRSFTGLTTMTLVSTIGLSTRAPASETKIWFSVWSLMTTVSSPVYNQFAVYGFRRSVNFFITMVMFDGVPTTIIETKNSVGTFDFQFLKWSNKENIELKFNEWKYIMENEPYSFSSFSLPCSHSDMPFRLMHLSCGADITMRITYLVKGDKYIRVMQNPMTIEHEHTRKSNRFFTDPIWLQSVVNGNLVLSEFTDNYCLREDYWTQSFIIDITYEMDLISLPGLSNVSIEVTVVTPKEGIGPRLKHDYNSLITNLIRSAEPLKGVRSGTETETSKTEESLLQNELPQPQPGYVVDRSSAENKSETQPGEVRSQLILLIGLLVPLVKSLM
+>sp|P60520|GBRL2_HUMAN Gamma-aminobutyric acid receptor-associated protein-like 2 OS=Homo sapiens OX=9606 GN=GABARAPL2 PE=1 SV=1
+MKWMFKEDHSLEHRCVESAKIRAKYPDRVPVIVEKVSGSQIVDIDKRKYLVPSDITVAQFMWIIRKRIQLPSEKAIFLFVDKTVPQSSLTMGQLYEKEKDEDGFLYVAYSGENTFGF
+>DECOY_sp|P60520|GBRL2_HUMAN Gamma-aminobutyric acid receptor-associated protein-like 2 OS=Homo sapiens OX=9606 GN=GABARAPL2 PE=1 SV=1
+FGFTNEGSYAVYLFGDEDKEKEYLQGMTLSSQPVTKDVFLFIAKESPLQIRKRIIWMFQAVTIDSPVLYKRKDIDVIQSGSVKEVIVPVRDPYKARIKASEVCRHELSHDEKFMWKM
+>sp|O75603|GCM2_HUMAN Chorion-specific transcription factor GCMb OS=Homo sapiens OX=9606 GN=GCM2 PE=1 SV=1
+MPAAAVQEAVGVCSYGMQLSWDINDPQMPQELALFDQFREWPDGYVRFIYSSDEKKAQRHLSGWAMRNTNNHNGHILKKSCLGVVVCTQACTLPDGSRLQLRPAICDKARLKQQKKACPNCHSALELIPCRGHSGYPVTNFWRLDGNAIFFQAKGVHDHPRPESKSETEARRSAIKRQMASFYQPQKKRIRESEAEENQDSSGHFSNIPPLENPEDFDIVTETSFPIPGQPCPSFPKSDVYKATCDLATFQGDKMPPFQKYSSPRIYLPRPPCSYELANPGYTNSSPYPTLYKDSTSIPNDTDWVHLNTLQCNVNSYSSYERSFDFTNKQHGWKPALGKPSLVERTNHGQFQAMATRPYYNPELPCRYLTTPPPGAPALQTVITTTTKVSYQAYQPPAMKYSDSVREVKSLSSCNYAPEDTGMSVYPEPWGPPVTVTRAASPSGPPPMKIAGDCRAIRPTVAIPHEPVSSRTDEAETWDVCLSGLGSAVSYSDRVGPFFTYNNEDF
+>DECOY_sp|O75603|GCM2_HUMAN Chorion-specific transcription factor GCMb OS=Homo sapiens OX=9606 GN=GCM2 PE=1 SV=1
+FDENNYTFFPGVRDSYSVASGLGSLCVDWTEAEDTRSSVPEHPIAVTPRIARCDGAIKMPPPGSPSAARTVTVPPGWPEPYVSMGTDEPAYNCSSLSKVERVSDSYKMAPPQYAQYSVKTTTTIVTQLAPAGPPPTTLYRCPLEPNYYPRTAMAQFQGHNTREVLSPKGLAPKWGHQKNTFDFSREYSSYSNVNCQLTNLHVWDTDNPISTSDKYLTPYPSSNTYGPNALEYSCPPRPLYIRPSSYKQFPPMKDGQFTALDCTAKYVDSKPFSPCPQGPIPFSTETVIDFDEPNELPPINSFHGSSDQNEEAESERIRKKQPQYFSAMQRKIASRRAETESKSEPRPHDHVGKAQFFIANGDLRWFNTVPYGSHGRCPILELASHCNPCAKKQQKLRAKDCIAPRLQLRSGDPLTCAQTCVVVGLCSKKLIHGNHNNTNRMAWGSLHRQAKKEDSSYIFRVYGDPWERFQDFLALEQPMQPDNIDWSLQMGYSCVGVAEQVAAAPM
+>sp|Q6ZNI0|GCNT7_HUMAN Beta-1,3-galactosyl-O-glycosyl-glycoprotein beta-1,6-N-acetylglucosaminyltransferase 7 OS=Homo sapiens OX=9606 GN=GCNT7 PE=2 SV=2
+MSQLRATKSGLVVRAVICIFIFLYLRNPTPAESEEEPAQPEVVECGFYPDELCSALFEGKGAAPQIAKFCKTPHKSEIHAHLHTPGNCSRISRGLHFITRPLSAEEGDFSLAYIITIHKELAMFVQLLRAIYVPQNVYCIHVDEKAPMKYKTAVQTLVNCFENVFISSKTEKVAYAGFTRLQADINCMKVLVHSKFQWNYVINLCGQDFPIKTNREIIHYIRSKWSDKNITPGVIQPLHIKSKTSQSHLEFVPKGSIYAPPNNRFKDKPPHNLTIYFGSAYYVLTRKFVEFILTDIHAKDMLQWSKDIRSPEQHYWVTLNRLKDAPGATPNAGWEGNVRAIKRKSEEGNVHDGCKGRYVEDICVYGPGDLPWLIQSPSLFANKFEPSTDPLVVTCLERRHRLQVLRQAEVPIEPHWHFQQQSHFNMRLNR
+>DECOY_sp|Q6ZNI0|GCNT7_HUMAN Beta-1,3-galactosyl-O-glycosyl-glycoprotein beta-1,6-N-acetylglucosaminyltransferase 7 OS=Homo sapiens OX=9606 GN=GCNT7 PE=2 SV=2
+RNLRMNFHSQQQFHWHPEIPVEAQRLVQLRHRRELCTVVLPDTSPEFKNAFLSPSQILWPLDGPGYVCIDEVYRGKCGDHVNGEESKRKIARVNGEWGANPTAGPADKLRNLTVWYHQEPSRIDKSWQLMDKAHIDTLIFEVFKRTLVYYASGFYITLNHPPKDKFRNNPPAYISGKPVFELHSQSTKSKIHLPQIVGPTINKDSWKSRIYHIIERNTKIPFDQGCLNIVYNWQFKSHVLVKMCNIDAQLRTFGAYAVKETKSSIFVNEFCNVLTQVATKYKMPAKEDVHICYVNQPVYIARLLQVFMALEKHITIIYALSFDGEEASLPRTIFHLGRSIRSCNGPTHLHAHIESKHPTKCFKAIQPAAGKGEFLASCLEDPYFGCEVVEPQAPEEESEAPTPNRLYLFIFICIVARVVLGSKTARLQSM
+>sp|Q9BZD3|GCOM2_HUMAN Putative GRINL1B complex locus protein 2 OS=Homo sapiens OX=9606 GN=GCOM2 PE=5 SV=2
+MCLLPRGFEPQAPEDLAQRSLVELREMLKLQERLLRNEKFICKLPDKGKKIFDSFAKLKAAIAECEEVRRKNELFHPVSLDCKLRQKAIAEVDVGTDKARNSDPILDTSSLVPGCSSVDNIKSSQTSQNQGLGRPTLEGDEETSEVEYTVNKGPASSNRDRVPPSSEASEHHPQHRVSSQAEDTSSSFDNLFIDRLQRITIADQGEQQSEENASTKNLTGLSSGTQKKPHYMEVLEMRAKNPGPQLRKFKTNVLPFRQNDSSSHCQKSGSPISSKERRRRDKQHLDDITAARLLPLHHMPTQLLSIEESLALQKQQKQNYEEMQAKLAAQKLAERPNIKMRSYNPEGESSGRYREVRDEDDDWSSDEF
+>DECOY_sp|Q9BZD3|GCOM2_HUMAN Putative GRINL1B complex locus protein 2 OS=Homo sapiens OX=9606 GN=GCOM2 PE=5 SV=2
+FEDSSWDDDEDRVERYRGSSEGEPNYSRMKINPREALKQAALKAQMEEYNQKQQKQLALSEEISLLQTPMHHLPLLRAATIDDLHQKDRRRREKSSIPSGSKQCHSSSDNQRFPLVNTKFKRLQPGPNKARMELVEMYHPKKQTGSSLGTLNKTSANEESQQEGQDAITIRQLRDIFLNDFSSSTDEAQSSVRHQPHHESAESSPPVRDRNSSAPGKNVTYEVESTEEDGELTPRGLGQNQSTQSSKINDVSSCGPVLSSTDLIPDSNRAKDTGVDVEAIAKQRLKCDLSVPHFLENKRRVEECEAIAAKLKAFSDFIKKGKDPLKCIFKENRLLREQLKLMERLEVLSRQALDEPAQPEFGRPLLCM
+>sp|Q9BSJ2|GCP2_HUMAN Gamma-tubulin complex component 2 OS=Homo sapiens OX=9606 GN=TUBGCP2 PE=1 SV=2
+MSEFRIHHDVNELLSLLRVHGGDGAEVYIDLLQKNRTPYVTTTVSAHSAKVKIAEFSRTPEDFLKKYDELKSKNTRNLDPLVYLLSKLTEDKETLQYLQQNAKERAELAAAAVGSSTTSINVPAAASKISMQELEELRKQLGSVATGSTLQQSLELKRKMLRDKQNKKNSGQHLPIFPAWVYERPALIGDFLIGAGISTDTALPIGTLPLASQESAVVEDLLYVLVGVDGRYVSAQPLAGRQSRTFLVDPNLDLSIRELVHRILPVAASYSAVTRFIEEKSSFEYGQVNHALAAAMRTLVKEHLILVSQLEQLHRQGLLSLQKLWFYIQPAMRTMDILASLATSVDKGECLGGSTLSLLHDRSFSYTGDSQAQELCLYLTKAASAPYFEVLEKWIYRGIIHDPYSEFMVEEHELRKERIQEDYNDKYWDQRYTIVQQQIPSFLQKMADKILSTGKYLNVVRECGHDVTCPVAKEIIYTLKERAYVEQIEKAFNYASKVLLDFLMEEKELVAHLRSIKRYFLMDQGDFFVHFMDLAEEELRKPVEDITPPRLEALLELALRMSTANTDPFKDDLKIDLMPHDLITQLLRVLAIETKQEKAMAHADPTELALSGLEAFSFDYIVKWPLSLIINRKALTRYQMLFRHMFYCKHVERQLCSVWISNKTAKQHSLHSAQWFAGAFTLRQRMLNFVQNIQYYMMFEVMEPTWHILEKNLKSASNIDDVLGHHTGFLDTCLKDCMLTNPELLKVFSKLMSVCVMFTNCMQKFTQSMKLDGELGGQTLEHSTVLGLPAGAEERARKELARKHLAEHADTVQLVSGFEATINKFDKNFSAHLLDLLARLSIYSTSDCEHGMASVISRLDFNGFYTERLERLSAERSQKATPQVPVLRGPPAPAPRVAVTAQ
+>DECOY_sp|Q9BSJ2|GCP2_HUMAN Gamma-tubulin complex component 2 OS=Homo sapiens OX=9606 GN=TUBGCP2 PE=1 SV=2
+QATVAVRPAPAPPGRLVPVQPTAKQSREASLRELRETYFGNFDLRSIVSAMGHECDSTSYISLRALLDLLHASFNKDFKNITAEFGSVLQVTDAHEALHKRALEKRAREEAGAPLGLVTSHELTQGGLEGDLKMSQTFKQMCNTFMVCVSMLKSFVKLLEPNTLMCDKLCTDLFGTHHGLVDDINSASKLNKELIHWTPEMVEFMMYYQINQVFNLMRQRLTFAGAFWQASHLSHQKATKNSIWVSCLQREVHKCYFMHRFLMQYRTLAKRNIILSLPWKVIYDFSFAELGSLALETPDAHAMAKEQKTEIALVRLLQTILDHPMLDIKLDDKFPDTNATSMRLALELLAELRPPTIDEVPKRLEEEALDMFHVFFDGQDMLFYRKISRLHAVLEKEEMLFDLLVKSAYNFAKEIQEVYAREKLTYIIEKAVPCTVDHGCERVVNLYKGTSLIKDAMKQLFSPIQQQVITYRQDWYKDNYDEQIREKRLEHEEVMFESYPDHIIGRYIWKELVEFYPASAAKTLYLCLEQAQSDGTYSFSRDHLLSLTSGGLCEGKDVSTALSALIDMTRMAPQIYFWLKQLSLLGQRHLQELQSVLILHEKVLTRMAAALAHNVQGYEFSSKEEIFRTVASYSAAVPLIRHVLERISLDLNPDVLFTRSQRGALPQASVYRGDVGVLVYLLDEVVASEQSALPLTGIPLATDTSIGAGILFDGILAPREYVWAPFIPLHQGSNKKNQKDRLMKRKLELSQQLTSGTAVSGLQKRLEELEQMSIKSAAAPVNISTTSSGVAAAALEAREKANQQLYQLTEKDETLKSLLYVLPDLNRTNKSKLEDYKKLFDEPTRSFEAIKVKASHASVTTTVYPTRNKQLLDIYVEAGDGGHVRLLSLLENVDHHIRFESM
+>sp|Q9UGJ1|GCP4_HUMAN Gamma-tubulin complex component 4 OS=Homo sapiens OX=9606 GN=TUBGCP4 PE=1 SV=1
+MIHELLLALSGYPGSIFTWNKRSGLQVSQDFPFLHPSETSVLNRLCRLGTDYIRFTEFIEQYTGHVQQQDHHPSQQGQGGLHGIYLRAFCTGLDSVLQPYRQALLDLEQEFLGDPHLSISHVNYFLDQFQLLFPSVMVVVEQIKSQKIHGCQILETVYKHSCGGLPPVRSALEKILAVCHGVMYKQLSAWMLHGLLLDQHEEFFIKQGPSSGNVSAQPEEDEEDLGIGGLTGKQLRELQDLRLIEEENMLAPSLKQFSLRVEILPSYIPVRVAEKILFVGESVQMFENQNVNLTRKGSILKNQEDTFAAELHRLKQQPLFSLVDFEQVVDRIRSTVAEHLWKLMVEESDLLGQLKIIKDFYLLGRGELFQAFIDTAQHMLKTPPTAVTEHDVNVAFQQSAHKVLLDDDNLLPLLHLTIEYHGKEHKADATQAREGPSRETSPREAPASGWAALGLSYKVQWPLHILFTPAVLEKYNVVFKYLLSVRRVQAELQHCWALQMQRKHLKSNQTDAIKWRLRNHMAFLVDNLQYYLQVDVLESQFSQLLHQINSTRDFESIRLAHDHFLSNLLAQSFILLKPVFHCLNEILDLCHSFCSLVSQNLGPLDERGAAQLSILVKGFSRQSSLLFKILSSVRNHQINSDLAQLLLRLDYNKYYTQAGGTLGSFGM
+>DECOY_sp|Q9UGJ1|GCP4_HUMAN Gamma-tubulin complex component 4 OS=Homo sapiens OX=9606 GN=TUBGCP4 PE=1 SV=1
+MGFSGLTGGAQTYYKNYDLRLLLQALDSNIQHNRVSSLIKFLLSSQRSFGKVLISLQAAGREDLPGLNQSVLSCFSHCLDLIENLCHFVPKLLIFSQALLNSLFHDHALRISEFDRTSNIQHLLQSFQSELVDVQLYYQLNDVLFAMHNRLRWKIADTQNSKLHKRQMQLAWCHQLEAQVRRVSLLYKFVVNYKELVAPTFLIHLPWQVKYSLGLAAWGSAPAERPSTERSPGERAQTADAKHEKGHYEITLHLLPLLNDDDLLVKHASQQFAVNVDHETVATPPTKLMHQATDIFAQFLEGRGLLYFDKIIKLQGLLDSEEVMLKWLHEAVTSRIRDVVQEFDVLSFLPQQKLRHLEAAFTDEQNKLISGKRTLNVNQNEFMQVSEGVFLIKEAVRVPIYSPLIEVRLSFQKLSPALMNEEEILRLDQLERLQKGTLGGIGLDEEDEEPQASVNGSSPGQKIFFEEHQDLLLGHLMWASLQKYMVGHCVALIKELASRVPPLGGCSHKYVTELIQCGHIKQSKIQEVVVMVSPFLLQFQDLFYNVHSISLHPDGLFEQELDLLAQRYPQLVSDLGTCFARLYIGHLGGQGQQSPHHDQQQVHGTYQEIFETFRIYDTGLRCLRNLVSTESPHLFPFDQSVQLGSRKNWTFISGPYGSLALLLEHIM
+>sp|Q96RT7|GCP6_HUMAN Gamma-tubulin complex component 6 OS=Homo sapiens OX=9606 GN=TUBGCP6 PE=1 SV=3
+MASITQLFDDLCEALLPAAKTHLGQRSVNRKRAKRSLKKVAYNALFTNLFQDETQQLQPDMSKLPARNKILMLSFDLRVGGLGPKADRLEELVEELEAAPCCPLLEVGSVLDLLVQLAGSGPPQVLPRKRDYFLNNKHVGRNVPYSGYDCDDLSVFEMDVQSLISREECLCHSMIQETLQVMEAAPGTGLPTVGLFSFGDPCGDRFERDTRVSLFGALVHSRTYDMDVRLGLPPVPDNADLSGLAIKVPPSVDQWEDEGFQSASNLTPDSQSEPSVTPDVDLWEAALTYEASKRRCWERVGCPPGHREEPYLTEAGRDAFDKFCRLHQGELQLLAGGVLQAPQPVLVKECELVKDVLNVLIGVVSATFSLCQPAQAFVVKRGVHVSGASPESISSLLSEVAEYGTCYTRLSHFSLQPVLDSLYSKGLVFQAFTSGLRRYLQYYRACVLSTPPTLSLLTIGFLFKKLGRQLRYLAELCGVGAVLPGTCGGGPRAAFPTGVKLLSYLYQEALHNCSNEHYPVLLSLLKTSCEPYTRFIHDWVYSGVFRDAYGEFMIQVNHEYLSFRDKLYWTHGYVLISKEVEDCVPVFLKHIAHDIYVCGKTINLLKLCCPRHYLCWSDVPVPRISVIFSLEELKEIEKDCAVYVGRMERVARHSSVSKEEKELRMEIAKQELIAHAREAASRVLSALSDRQMSERMALDARKREQFQRLKEQFVKDQERRQAARQEELDDDFSYARELRDRERRLKSLEEELERKARQALVDHYSKLSAEAARREQKALWRIQRHRLESARLRFLLEDEKHIQEMLKAVSEAHQPQEPPDVLLSVHPQVTSPGPEHPEGGQGCDSGSAEQHSPAWDGWNRPGLLTPQPLKPLAVGAGGRGLQQAEGARPFSDSLSIGDFLPVGPGAEPSVQTGMVPLLEVALQTINLDLPPSAPGEAPAAASTQPSRPQEYDFSTVLRPAVATSPAPGPLQAAECSLGSSGLQLWEDSCGKMDACGSASRETLLPSHPPRRAALEEGSSQPTERLFGQVSGGGLPTGDYASEIAPTRPRWNTHGHVSDASIRVGENVSDVAPTQPRWNTHGHVSNASISLGESVSDVAPTRPRWNIHGHVSNASIRVGENVSDVAPTRPRWNTHGHVSNASIRVGENVSDVAPTRPRWNTHGHVSDASISLGESVSDMAPARPRWNTHGHVSDASISLGESVSDMAPTRPRWNTHGHVSDTSIRVGENVSDVAPIRSRCNTHGHVSDASISLGEPVSDVVSTRPRWNTHVPIPPPHMVLGALSPEAEPNTPRPQQSPPGHTSQSALSLGAQSTVLDCGPRLPVEVGPSLSSPSSGCGEGSISVGENVSDVAPTQPWWPNTPGDSVSEELGPGRSGDTEDLSPNWPLNSQEDTAAQSSPGRGEEAEASAAEAQGGEQAYLAGLAGQYHLERYPDSYESMSEPPIAHLLRPVLPRAFAFPVDPQVQSAADETAVQLSELLTLPVLMKRSITAPLAAHISLVNKAAVDYFFVELHLEAHYEALRHFLLMEDGEFAQSLSDLLFEKLGAGQTPGELLNPLVLNSVLSKALQCSLHGDTPHASNLSLALKYLPEVFAPNAPDVLSCLELRYKVDWPLNIVITEGCVSKYSGVFSFLLQLKLMMWALKDVCFHLKRTALLSHMAGSVQFRQLQLFKHEMQHFVKVIQGYIANQILHVTWCEFRARLATVGDLEEIQRAHAEYLHKAVFRGLLTEKAAPVMNVIHSIFSLVLKFRSQLISQAWGPPGGPRGAEHPNFALMQQSYNTFKYYSHFLFKVVTKLVNRGYQPHLEDFLLRINFNNYYQDA
+>DECOY_sp|Q96RT7|GCP6_HUMAN Gamma-tubulin complex component 6 OS=Homo sapiens OX=9606 GN=TUBGCP6 PE=1 SV=3
+ADQYYNNFNIRLLFDELHPQYGRNVLKTVVKFLFHSYYKFTNYSQQMLAFNPHEAGRPGGPPGWAQSILQSRFKLVLSFISHIVNMVPAAKETLLGRFVAKHLYEAHARQIEELDGVTALRARFECWTVHLIQNAIYGQIVKVFHQMEHKFLQLQRFQVSGAMHSLLATRKLHFCVDKLAWMMLKLQLLFSFVGSYKSVCGETIVINLPWDVKYRLELCSLVDPANPAFVEPLYKLALSLNSAHPTDGHLSCQLAKSLVSNLVLPNLLEGPTQGAGLKEFLLDSLSQAFEGDEMLLFHRLAEYHAELHLEVFFYDVAAKNVLSIHAALPATISRKMLVPLTLLESLQVATEDAASQVQPDVPFAFARPLVPRLLHAIPPESMSEYSDPYRELHYQGALGALYAQEGGQAEAASAEAEEGRGPSSQAATDEQSNLPWNPSLDETDGSRGPGLEESVSDGPTNPWWPQTPAVDSVNEGVSISGEGCGSSPSSLSPGVEVPLRPGCDLVTSQAGLSLASQSTHGPPSQQPRPTNPEAEPSLAGLVMHPPPIPVHTNWRPRTSVVDSVPEGLSISADSVHGHTNCRSRIPAVDSVNEGVRISTDSVHGHTNWRPRTPAMDSVSEGLSISADSVHGHTNWRPRAPAMDSVSEGLSISADSVHGHTNWRPRTPAVDSVNEGVRISANSVHGHTNWRPRTPAVDSVNEGVRISANSVHGHINWRPRTPAVDSVSEGLSISANSVHGHTNWRPQTPAVDSVNEGVRISADSVHGHTNWRPRTPAIESAYDGTPLGGGSVQGFLRETPQSSGEELAARRPPHSPLLTERSASGCADMKGCSDEWLQLGSSGLSCEAAQLPGPAPSTAVAPRLVTSFDYEQPRSPQTSAAAPAEGPASPPLDLNITQLAVELLPVMGTQVSPEAGPGVPLFDGISLSDSFPRAGEAQQLGRGGAGVALPKLPQPTLLGPRNWGDWAPSHQEASGSDCGQGGEPHEPGPSTVQPHVSLLVDPPEQPQHAESVAKLMEQIHKEDELLFRLRASELRHRQIRWLAKQERRAAEASLKSYHDVLAQRAKRELEEELSKLRRERDRLERAYSFDDDLEEQRAAQRREQDKVFQEKLRQFQERKRADLAMRESMQRDSLASLVRSAAERAHAILEQKAIEMRLEKEEKSVSSHRAVREMRGVYVACDKEIEKLEELSFIVSIRPVPVDSWCLYHRPCCLKLLNITKGCVYIDHAIHKLFVPVCDEVEKSILVYGHTWYLKDRFSLYEHNVQIMFEGYADRFVGSYVWDHIFRTYPECSTKLLSLLVPYHENSCNHLAEQYLYSLLKVGTPFAARPGGGCTGPLVAGVGCLEALYRLQRGLKKFLFGITLLSLTPPTSLVCARYYQLYRRLGSTFAQFVLGKSYLSDLVPQLSFHSLRTYCTGYEAVESLLSSISEPSAGSVHVGRKVVFAQAPQCLSFTASVVGILVNLVDKVLECEKVLVPQPAQLVGGALLQLEGQHLRCFKDFADRGAETLYPEERHGPPCGVREWCRRKSAEYTLAAEWLDVDPTVSPESQSDPTLNSASQFGEDEWQDVSPPVKIALGSLDANDPVPPLGLRVDMDYTRSHVLAGFLSVRTDREFRDGCPDGFSFLGVTPLGTGPAAEMVQLTEQIMSHCLCEERSILSQVDMEFVSLDDCDYGSYPVNRGVHKNNLFYDRKRPLVQPPGSGALQVLLDLVSGVELLPCCPAAELEEVLEELRDAKPGLGGVRLDFSLMLIKNRAPLKSMDPQLQQTEDQFLNTFLANYAVKKLSRKARKRNVSRQGLHTKAAPLLAECLDDFLQTISAM
+>sp|O14793|GDF8_HUMAN Growth/differentiation factor 8 OS=Homo sapiens OX=9606 GN=MSTN PE=1 SV=1
+MQKLQLCVYIYLFMLIVAGPVDLNENSEQKENVEKEGLCNACTWRQNTKSSRIEAIKIQILSKLRLETAPNISKDVIRQLLPKAPPLRELIDQYDVQRDDSSDGSLEDDDYHATTETIITMPTESDFLMQVDGKPKCCFFKFSSKIQYNKVVKAQLWIYLRPVETPTTVFVQILRLIKPMKDGTRYTGIRSLKLDMNPGTGIWQSIDVKTVLQNWLKQPESNLGIEIKALDENGHDLAVTFPGPGEDGLNPFLEVKVTDTPKRSRRDFGLDCDEHSTESRCCRYPLTVDFEAFGWDWIIAPKRYKANYCSGECEFVFLQKYPHTHLVHQANPRGSAGPCCTPTKMSPINMLYFNGKEQIIYGKIPAMVVDRCGCS
+>DECOY_sp|O14793|GDF8_HUMAN Growth/differentiation factor 8 OS=Homo sapiens OX=9606 GN=MSTN PE=1 SV=1
+SCGCRDVVMAPIKGYIIQEKGNFYLMNIPSMKTPTCCPGASGRPNAQHVLHTHPYKQLFVFECEGSCYNAKYRKPAIIWDWGFAEFDVTLPYRCCRSETSHEDCDLGFDRRSRKPTDTVKVELFPNLGDEGPGPFTVALDHGNEDLAKIEIGLNSEPQKLWNQLVTKVDISQWIGTGPNMDLKLSRIGTYRTGDKMPKILRLIQVFVTTPTEVPRLYIWLQAKVVKNYQIKSSFKFFCCKPKGDVQMLFDSETPMTIITETTAHYDDDELSGDSSDDRQVDYQDILERLPPAKPLLQRIVDKSINPATELRLKSLIQIKIAEIRSSKTNQRWTCANCLGEKEVNEKQESNENLDVPGAVILMFLYIYVCLQLKQM
+>sp|P31150|GDIA_HUMAN Rab GDP dissociation inhibitor alpha OS=Homo sapiens OX=9606 GN=GDI1 PE=1 SV=2
+MDEEYDVIVLGTGLTECILSGIMSVNGKKVLHMDRNPYYGGESSSITPLEELYKRFQLLEGPPESMGRGRDWNVDLIPKFLMANGQLVKMLLYTEVTRYLDFKVVEGSFVYKGGKIYKVPSTETEALASNLMGMFEKRRFRKFLVFVANFDENDPKTFEGVDPQTTSMRDVYRKFDLGQDVIDFTGHALALYRTDDYLDQPCLETVNRIKLYSESLARYGKSPYLYPLYGLGELPQGFARLSAIYGGTYMLNKPVDDIIMENGKVVGVKSEGEVARCKQLICDPSYIPDRVRKAGQVIRIICILSHPIKNTNDANSCQIIIPQNQVNRKSDIYVCMISYAHNVAAQGKYIAIASTTVETTDPEKEVEPALELLEPIDQKFVAISDLYEPIDDGCESQVFCSCSYDATTHFETTCNDIKDIYKRMAGTAFDFENMKRKQNDVFGEAEQ
+>DECOY_sp|P31150|GDIA_HUMAN Rab GDP dissociation inhibitor alpha OS=Homo sapiens OX=9606 GN=GDI1 PE=1 SV=2
+QEAEGFVDNQKRKMNEFDFATGAMRKYIDKIDNCTTEFHTTADYSCSCFVQSECGDDIPEYLDSIAVFKQDIPELLELAPEVEKEPDTTEVTTSAIAIYKGQAAVNHAYSIMCVYIDSKRNVQNQPIIIQCSNADNTNKIPHSLICIIRIVQGAKRVRDPIYSPDCILQKCRAVEGESKVGVVKGNEMIIDDVPKNLMYTGGYIASLRAFGQPLEGLGYLPYLYPSKGYRALSESYLKIRNVTELCPQDLYDDTRYLALAHGTFDIVDQGLDFKRYVDRMSTTQPDVGEFTKPDNEDFNAVFVLFKRFRRKEFMGMLNSALAETETSPVKYIKGGKYVFSGEVVKFDLYRTVETYLLMKVLQGNAMLFKPILDVNWDRGRGMSEPPGELLQFRKYLEELPTISSSEGGYYPNRDMHLVKKGNVSMIGSLICETLGTGLVIVDYEEDM
+>sp|P52565|GDIR1_HUMAN Rho GDP-dissociation inhibitor 1 OS=Homo sapiens OX=9606 GN=ARHGDIA PE=1 SV=3
+MAEQEPTAEQLAQIAAENEEDEHSVNYKPPAQKSIQEIQELDKDDESLRKYKEALLGRVAVSADPNVPNVVVTGLTLVCSSAPGPLELDLTGDLESFKKQSFVLKEGVEYRIKISFRVNREIVSGMKYIQHTYRKGVKIDKTDYMVGSYGPRAEEYEFLTPVEEAPKGMLARGSYSIKSRFTDDDKTDHLSWEWNLTIKKDWKD
+>DECOY_sp|P52565|GDIR1_HUMAN Rho GDP-dissociation inhibitor 1 OS=Homo sapiens OX=9606 GN=ARHGDIA PE=1 SV=3
+DKWDKKITLNWEWSLHDTKDDDTFRSKISYSGRALMGKPAEEVPTLFEYEEARPGYSGVMYDTKDIKVGKRYTHQIYKMGSVIERNVRFSIKIRYEVGEKLVFSQKKFSELDGTLDLELPGPASSCVLTLGTVVVNPVNPDASVAVRGLLAEKYKRLSEDDKDLEQIEQISKQAPPKYNVSHEDEENEAAIQALQEATPEQEAM
+>sp|Q99819|GDIR3_HUMAN Rho GDP-dissociation inhibitor 3 OS=Homo sapiens OX=9606 GN=ARHGDIG PE=1 SV=2
+MLGLDACELGAQLLELLRLALCARVLLADKEGGPPAVDEVLDEAVPEYRAPGRKSLLEIRQLDPDDRSLAKYKRVLLGPLPPAVDPSLPNVQVTRLTLLSEQAPGPVVMDLTGDLAVLKDQVFVLKEGVDYRVKISFKVHREIVSGLKCLHHTYRRGLRVDKTVYMVGSYGPSAQEYEFVTPVEEAPRGALVRGPYLVVSLFTDDDRTHHLSWEWGLCICQDWKD
+>DECOY_sp|Q99819|GDIR3_HUMAN Rho GDP-dissociation inhibitor 3 OS=Homo sapiens OX=9606 GN=ARHGDIG PE=1 SV=2
+DKWDQCICLGWEWSLHHTRDDDTFLSVVLYPGRVLAGRPAEEVPTVFEYEQASPGYSGVMYVTKDVRLGRRYTHHLCKLGSVIERHVKFSIKVRYDVGEKLVFVQDKLVALDGTLDMVVPGPAQESLLTLRTVQVNPLSPDVAPPLPGLLVRKYKALSRDDPDLQRIELLSKRGPARYEPVAEDLVEDVAPPGGEKDALLVRACLALRLLELLQAGLECADLGLM
+>sp|Q8N9F7|GDPD1_HUMAN Lysophospholipase D GDPD1 OS=Homo sapiens OX=9606 GN=GDPD1 PE=1 SV=2
+MSSTAAFYLLSTLGGYLVTSFLLLKYPTLLHQRKKQRFLSKHISHRGGAGENLENTMAAFQHAVKIGTDMLELDCHITKDEQVVVSHDENLKRATGVNVNISDLKYCELPPYLGKLDVSFQRACQCEGKDNRIPLLKEVFEAFPNTPINIDIKVNNNVLIKKVSELVKRYNREHLTVWGNANYEIVEKCYKENSDIPILFSLQRVLLILGLFFTGLLPFVPIREQFFEIPMPSIILKLKEPHTMSRSQKFLIWLSDLLLMRKALFDHLTARGIQVYIWVLNEEQEYKRAFDLGATGVMTDYPTKLRDFLHNFSA
+>DECOY_sp|Q8N9F7|GDPD1_HUMAN Lysophospholipase D GDPD1 OS=Homo sapiens OX=9606 GN=GDPD1 PE=1 SV=2
+ASFNHLFDRLKTPYDTMVGTAGLDFARKYEQEENLVWIYVQIGRATLHDFLAKRMLLLDSLWILFKQSRSMTHPEKLKLIISPMPIEFFQERIPVFPLLGTFFLGLILLVRQLSFLIPIDSNEKYCKEVIEYNANGWVTLHERNYRKVLESVKKILVNNNVKIDINIPTNPFAEFVEKLLPIRNDKGECQCARQFSVDLKGLYPPLECYKLDSINVNVGTARKLNEDHSVVVQEDKTIHCDLELMDTGIKVAHQFAAMTNELNEGAGGRHSIHKSLFRQKKRQHLLTPYKLLLFSTVLYGGLTSLLYFAATSSM
+>sp|O75496|GEMI_HUMAN Geminin OS=Homo sapiens OX=9606 GN=GMNN PE=1 SV=1
+MNPSMKQKQEEIKENIKNSSVPRRTLKMIQPSASGSLVGRENELSAGLSKRKHRNDHLTSTTSSPGVIVPESSENKNLGGVTQESFDLMIKENPSSQYWKEVAEKRRKALYEALKENEKLHKEIEQKDNEIARLKKENKELAEVAEHVQYMAELIERLNGEPLDNFESLDNQEFDSEEETVEDSLVEDSEIGTCAEGTVSSSTDAKPCI
+>DECOY_sp|O75496|GEMI_HUMAN Geminin OS=Homo sapiens OX=9606 GN=GMNN PE=1 SV=1
+ICPKADTSSSVTGEACTGIESDEVLSDEVTEEESDFEQNDLSEFNDLPEGNLREILEAMYQVHEAVEALEKNEKKLRAIENDKQEIEKHLKENEKLAEYLAKRRKEAVEKWYQSSPNEKIMLDFSEQTVGGLNKNESSEPVIVGPSSTTSTLHDNRHKRKSLGASLENERGVLSGSASPQIMKLTRRPVSSNKINEKIEEQKQKMSPNM
+>sp|O60609|GFRA3_HUMAN GDNF family receptor alpha-3 OS=Homo sapiens OX=9606 GN=GFRA3 PE=1 SV=2
+MVRPLNPRPLPPVVLMLLLLLPPSPLPLAAGDPLPTESRLMNSCLQARRKCQADPTCSAAYHHLDSCTSSISTPLPSEEPSVPADCLEAAQQLRNSSLIGCMCHRRMKNQVACLDIYWTVHRARSLGNYELDVSPYEDTVTSKPWKMNLSKLNMLKPDSDLCLKFAMLCTLNDKCDRLRKAYGEACSGPHCQRHVCLRQLLTFFEKAAEPHAQGLLLCPCAPNDRGCGERRRNTIAPNCALPPVAPNCLELRRLCFSDPLCRSRLVDFQTHCHPMDILGTCATEQSRCLRAYLGLIGTAMTPNFVSNVNTSVALSCTCRGSGNLQEECEMLEGFFSHNPCLTEAIAAKMRFHSQLFSQDWPHPTFAVMAHQNENPAVRPQPWVPSLFSCTLPLILLLSLW
+>DECOY_sp|O60609|GFRA3_HUMAN GDNF family receptor alpha-3 OS=Homo sapiens OX=9606 GN=GFRA3 PE=1 SV=2
+WLSLLLILPLTCSFLSPVWPQPRVAPNENQHAMVAFTPHPWDQSFLQSHFRMKAAIAETLCPNHSFFGELMECEEQLNGSGRCTCSLAVSTNVNSVFNPTMATGILGLYARLCRSQETACTGLIDMPHCHTQFDVLRSRCLPDSFCLRRLELCNPAVPPLACNPAITNRRREGCGRDNPACPCLLLGQAHPEAAKEFFTLLQRLCVHRQCHPGSCAEGYAKRLRDCKDNLTCLMAFKLCLDSDPKLMNLKSLNMKWPKSTVTDEYPSVDLEYNGLSRARHVTWYIDLCAVQNKMRRHCMCGILSSNRLQQAAELCDAPVSPEESPLPTSISSTCSDLHHYAASCTPDAQCKRRAQLCSNMLRSETPLPDGAALPLPSPPLLLLLMLVVPPLPRPNLPRVM
+>sp|A1L429|GG12C_HUMAN G antigen 12B/C/D/E OS=Homo sapiens OX=9606 GN=GAGE12B PE=1 SV=1
+MSWRGRSTYYWPRPRRYVQPPEMIGPMRPEQFSDEVEPATPEEGEPATQCQDPAAAQEGEDEGASAGQGPKPEAHSQEQGHPQTGCECEDGPDGQEMDPPNPEEVKTPEEGEKQSQC
+>DECOY_sp|A1L429|GG12C_HUMAN G antigen 12B/C/D/E OS=Homo sapiens OX=9606 GN=GAGE12B PE=1 SV=1
+CQSQKEGEEPTKVEEPNPPDMEQGDPGDECECGTQPHGQEQSHAEPKPGQGASAGEDEGEQAAAPDQCQTAPEGEEPTAPEVEDSFQEPRMPGIMEPPQVYRRPRPWYYTSRGRWSM
+>sp|Q8N7Z2|GG6L1_HUMAN Golgin subfamily A member 6-like protein 1 OS=Homo sapiens OX=9606 GN=GOLGA6L1 PE=2 SV=3
+MLMWPQPHLPTHPHLPTHPHLPTHPHLPTHPHLPTHPHLPTHPHLPTHPMMSKETRQSKLAEAKEQLTDHHPQTNPSVGTAASDTKKKKINNGTNPETTTSGGCHSPEDEQKASHQHQEALRRELEAQVHTIRILTCQKTELQMALYYSQHAVKQLEGEARDLISRLHDSWKFAGELEQALSAVATQKKKADRYIEELTKERDALSLELYRNTITDEELKEKNAKLQEKLQLVESEKSEIQLNVKELKRKLERAKLLLPQQQLQAEADHLGKELQSVSAKLQAQVEENELWNRLNQQQEEKMWRQEEKIQEWEEKIQEQEEKIREQEEKIREQEEKMRRQEEMMWEKEEKMRRQEEMMWEKEEKMRRLEEMMWEKEEKIRELEEKMHEQEKIREQEEKRQEEEKIREQEKRQEQEAKMWRQEEKIREQEEKIREQEKKMWRQEEKIHEQEKIREEEKRQEQEEMWRQEEKIREQEEIWRQKEKMHEQEKIRKQEEKVWRQEEKMHDQEEKIREQEEKMWRQEEKIREQEEKIREQEEKIREQEEMMQEQEEKMGEQEEKMQEQEKMRRQEEKIREQEEKIREQKEKIREQEEKIWEQEEKIREQEEMMQEQEEKMWEQEEKMCEQEEKMQEQEEKMRRQEEKMWEQEVRLRQQEEKMQEHQEHLEAAI
+>DECOY_sp|Q8N7Z2|GG6L1_HUMAN Golgin subfamily A member 6-like protein 1 OS=Homo sapiens OX=9606 GN=GOLGA6L1 PE=2 SV=3
+IAAELHEQHEQMKEEQQRLRVEQEWMKEEQRRMKEEQEQMKEEQECMKEEQEWMKEEQEQMMEEQERIKEEQEWIKEEQERIKEKQERIKEEQERIKEEQRRMKEQEQMKEEQEGMKEEQEQMMEEQERIKEEQERIKEEQERIKEEQRWMKEEQERIKEEQDHMKEEQRWVKEEQKRIKEQEHMKEKQRWIEEQERIKEEQRWMEEQEQRKEEERIKEQEHIKEEQRWMKKEQERIKEEQERIKEEQRWMKAEQEQRKEQERIKEEEQRKEEQERIKEQEHMKEELERIKEEKEWMMEELRRMKEEKEWMMEEQRRMKEEKEWMMEEQRRMKEEQERIKEEQERIKEEQEQIKEEWEQIKEEQRWMKEEQQQNLRNWLENEEVQAQLKASVSQLEKGLHDAEAQLQQQPLLLKARELKRKLEKVNLQIESKESEVLQLKEQLKANKEKLEEDTITNRYLELSLADREKTLEEIYRDAKKKQTAVASLAQELEGAFKWSDHLRSILDRAEGELQKVAHQSYYLAMQLETKQCTLIRITHVQAELERRLAEQHQHSAKQEDEPSHCGGSTTTEPNTGNNIKKKKTDSAATGVSPNTQPHHDTLQEKAEALKSQRTEKSMMPHTPLHPHTPLHPHTPLHPHTPLHPHTPLHPHTPLHPHTPLHPQPWMLM
+>sp|A8MZA4|GG6L6_HUMAN Golgin subfamily A member 6-like protein 6 OS=Homo sapiens OX=9606 GN=GOLGA6L6 PE=3 SV=4
+MLMWPQPHLPTHPHLPTHPHLPTHPHLPTHPHLPTHPMMSKETRQSKLAEAKEQLTDHHPQTNPSVGTAASDTKKKKINNGTNPETTTSGGCHSPEDEQKASHQHQEALRRELEAQVHTIRILTCQKTELQMALYYSQHAVKQLEGEARDLISRLHDSWKFAGELEQALSAVATQKKKADRYIEELTKERDALSLELYRNTITDEELKEKNAELQEKLQLVESEKSEIQLNVKELKRKLERAKLLLPQQQLQAEADHLGKELQSVSAKLQAQVEENELWNRLNQQQEEKMWRQEEKIQEWEEKIQEQEEKIREQEEKIREQEEKMRRQEEMMWEKEEKMRRQEEMMWEKEEKMRRQEEMMWEKEEKIRELEEKMHEQEKIREQEEKRQEEEKIREQEKRQEQEAKMWRQEEKIREQEEKIREQEKKMWRQEEKIHEQEKIREEEKRQEQEEMWRQEEKIREQEEIWRQKEKMHEQEEKIRKQEEKVWRQEEKIREQEEKIREQEEKMWRQEEKIREQEEMWREEEKMHEQEKIWEEEKRQEQEDKMWRQEEKIREQEEKVWRQEEKIREQEEKRQEQEEKMWKQEEKIREQEEKIREQEEKIREQEEKIREQEEMTQEQEEKMGEQEEKMCEQEEKMQEQEETMWRQEEKIREQEKKIREQEEKIREQEEMMQEQEEKMWEQEEKMCEQEEKMQEQEEKMRRQEEKMWEQEVRLRQQEEKMQEH
+>DECOY_sp|A8MZA4|GG6L6_HUMAN Golgin subfamily A member 6-like protein 6 OS=Homo sapiens OX=9606 GN=GOLGA6L6 PE=3 SV=4
+HEQMKEEQQRLRVEQEWMKEEQRRMKEEQEQMKEEQECMKEEQEWMKEEQEQMMEEQERIKEEQERIKKEQERIKEEQRWMTEEQEQMKEEQECMKEEQEGMKEEQEQTMEEQERIKEEQERIKEEQERIKEEQERIKEEQKWMKEEQEQRKEEQERIKEEQRWVKEEQERIKEEQRWMKDEQEQRKEEEWIKEQEHMKEEERWMEEQERIKEEQRWMKEEQERIKEEQERIKEEQRWVKEEQKRIKEEQEHMKEKQRWIEEQERIKEEQRWMEEQEQRKEEERIKEQEHIKEEQRWMKKEQERIKEEQERIKEEQRWMKAEQEQRKEQERIKEEEQRKEEQERIKEQEHMKEELERIKEEKEWMMEEQRRMKEEKEWMMEEQRRMKEEKEWMMEEQRRMKEEQERIKEEQERIKEEQEQIKEEWEQIKEEQRWMKEEQQQNLRNWLENEEVQAQLKASVSQLEKGLHDAEAQLQQQPLLLKARELKRKLEKVNLQIESKESEVLQLKEQLEANKEKLEEDTITNRYLELSLADREKTLEEIYRDAKKKQTAVASLAQELEGAFKWSDHLRSILDRAEGELQKVAHQSYYLAMQLETKQCTLIRITHVQAELERRLAEQHQHSAKQEDEPSHCGGSTTTEPNTGNNIKKKKTDSAATGVSPNTQPHHDTLQEKAEALKSQRTEKSMMPHTPLHPHTPLHPHTPLHPHTPLHPHTPLHPQPWMLM
+>sp|A6NI86|GG6LA_HUMAN Golgin subfamily A member 6-like protein 10 OS=Homo sapiens OX=9606 GN=GOLGA6L10 PE=3 SV=4
+MWPQPRLPPHPAMSEKTQQGKLAAAKKKLKAYWQRKSPGIPAGANRKKKVNGSSPDTATSGGYHSPGDSATGIYGEGRASSTTLQDLESQYQELAVALDSSSAIISQLTENINSLVRTSKEEKKHEIHLVQKLGRSLFKLKNQTAEPLAPEPPAGPSKVEQLQDETNHLRKELESVGRQLQAEVENNQMLSLLNRRQEERLREQEERLHEQEERLHEQEERLCEQEERLREQEERLCEQEERLREQEERLCEQEERLREQEERLCEQEERLREQEERLREQEERLCEQEERLCEQEERLREQEERLCEQEERLCEQEERLCEQEKLPGQERLLEEVEKLLEQERRQEEQERLLERERLLDEVEELLEQERLRQQDERLWQQETLRELERLRELERLRELERMLELGWEALYEQRAEPRSGFEELNNENKSTLQLEQQVKELEKSGGAEEPRGSESAAAARPVPGAPVPQGAWMCGQAGWTPQEHPGLSGEAVGTGEAAGGAEEAACHSFRAAENRELNITII
+>DECOY_sp|A6NI86|GG6LA_HUMAN Golgin subfamily A member 6-like protein 10 OS=Homo sapiens OX=9606 GN=GOLGA6L10 PE=3 SV=4
+IITINLERNEAARFSHCAAEEAGGAAEGTGVAEGSLGPHEQPTWGAQGCMWAGQPVPAGPVPRAAAASESGRPEEAGGSKELEKVQQELQLTSKNENNLEEFGSRPEARQEYLAEWGLELMRELERLRELERLRELERLTEQQWLREDQQRLREQELLEEVEDLLRERELLREQEEQRREQELLKEVEELLREQGPLKEQECLREEQECLREEQECLREEQERLREEQECLREEQECLREEQERLREEQERLREEQECLREEQERLREEQECLREEQERLREEQECLREEQERLREEQECLREEQEHLREEQEHLREEQERLREEQRRNLLSLMQNNEVEAQLQRGVSELEKRLHNTEDQLQEVKSPGAPPEPALPEATQNKLKFLSRGLKQVLHIEHKKEEKSTRVLSNINETLQSIIASSSDLAVALEQYQSELDQLTTSSARGEGYIGTASDGPSHYGGSTATDPSSGNVKKKRNAGAPIGPSKRQWYAKLKKKAAALKGQQTKESMAPHPPLRPQPWM
+>sp|Q9NZ52|GGA3_HUMAN ADP-ribosylation factor-binding protein GGA3 OS=Homo sapiens OX=9606 GN=GGA3 PE=1 SV=1
+MAEAEGESLESWLNKATNPSNRQEDWEYIIGFCDQINKELEGPQIAVRLLAHKIQSPQEWEALQALTVLEACMKNCGRRFHNEVGKFRFLNELIKVVSPKYLGDRVSEKVKTKVIELLYSWTMALPEEAKIKDAYHMLKRQGIVQSDPPIPVDRTLIPSPPPRPKNPVFDDEEKSKLLAKLLKSKNPDDLQEANKLIKSMVKEDEARIQKVTKRLHTLEEVNNNVRLLSEMLLHYSQEDSSDGDRELMKELFDQCENKRRTLFKLASETEDNDNSLGDILQASDNLSRVINSYKTIIEGQVINGEVATLTLPDSEGNSQCSNQGTLIDLAELDTTNSLSSVLAPAPTPPSSGIPILPPPPQASGPPRSRSSSQAEATLGPSSTSNALSWLDEELLCLGLADPAPNVPPKESAGNSQWHLLQREQSDLDFFSPRPGTAACGASDAPLLQPSAPSSSSSQAPLPPPFPAPVVPASVPAPSAGSSLFSTGVAPALAPKVEPAVPGHHGLALGNSALHHLDALDQLLEEAKVTSGLVKPTTSPLIPTTTPARPLLPFSTGPGSPLFQPLSFQSQGSPPKGPELSLASIHVPLESIKPSSALPVTAYDKNGFRILFHFAKECPPGRPDVLVVVVSMLNTAPLPVKSIVLQAAVPKSMKVKLQPPSGTELSPFSPIQPPAAITQVMLLANPLKEKVRLRYKLTFALGEQLSTEVGEVDQFPPVEQWGNL
+>DECOY_sp|Q9NZ52|GGA3_HUMAN ADP-ribosylation factor-binding protein GGA3 OS=Homo sapiens OX=9606 GN=GGA3 PE=1 SV=1
+LNGWQEVPPFQDVEGVETSLQEGLAFTLKYRLRVKEKLPNALLMVQTIAAPPQIPSFPSLETGSPPQLKVKMSKPVAAQLVISKVPLPATNLMSVVVVLVDPRGPPCEKAFHFLIRFGNKDYATVPLASSPKISELPVHISALSLEPGKPPSGQSQFSLPQFLPSGPGTSFPLLPRAPTTTPILPSTTPKVLGSTVKAEELLQDLADLHHLASNGLALGHHGPVAPEVKPALAPAVGTSFLSSGASPAPVSAPVVPAPFPPPLPAQSSSSSPASPQLLPADSAGCAATGPRPSFFDLDSQERQLLHWQSNGASEKPPVNPAPDALGLCLLEEDLWSLANSTSSPGLTAEAQSSSRSRPPGSAQPPPPLIPIGSSPPTPAPALVSSLSNTTDLEALDILTGQNSCQSNGESDPLTLTAVEGNIVQGEIITKYSNIVRSLNDSAQLIDGLSNDNDETESALKFLTRRKNECQDFLEKMLERDGDSSDEQSYHLLMESLLRVNNNVEELTHLRKTVKQIRAEDEKVMSKILKNAEQLDDPNKSKLLKALLKSKEEDDFVPNKPRPPPSPILTRDVPIPPDSQVIGQRKLMHYADKIKAEEPLAMTWSYLLEIVKTKVKESVRDGLYKPSVVKILENLFRFKGVENHFRRGCNKMCAELVTLAQLAEWEQPSQIKHALLRVAIQPGELEKNIQDCFGIIYEWDEQRNSPNTAKNLWSELSEGEAEAM
+>sp|Q92820|GGH_HUMAN Gamma-glutamyl hydrolase OS=Homo sapiens OX=9606 GN=GGH PE=1 SV=2
+MASPGCLLCVLGLLLCGAASLELSRPHGDTAKKPIIGILMQKCRNKVMKNYGRYYIAASYVKYLESAGARVVPVRLDLTEKDYEILFKSINGILFPGGSVDLRRSDYAKVAKIFYNLSIQSFDDGDYFPVWGTCLGFEELSLLISGECLLTATDTVDVAMPLNFTGGQLHSRMFQNFPTELLLSLAVEPLTANFHKWSLSVKNFTMNEKLKKFFNVLTTNTDGKIEFISTMEGYKYPVYGVQWHPEKAPYEWKNLDGISHAPNAVKTAFYLAEFFVNEARKNNHHFKSESEEEKALIYQFSPIYTGNISSFQQCYIFD
+>DECOY_sp|Q92820|GGH_HUMAN Gamma-glutamyl hydrolase OS=Homo sapiens OX=9606 GN=GGH PE=1 SV=2
+DFIYCQQFSSINGTYIPSFQYILAKEEESESKFHHNNKRAENVFFEALYFATKVANPAHSIGDLNKWEYPAKEPHWQVGYVPYKYGEMTSIFEIKGDTNTTLVNFFKKLKENMTFNKVSLSWKHFNATLPEVALSLLLETPFNQFMRSHLQGGTFNLPMAVDVTDTATLLCEGSILLSLEEFGLCTGWVPFYDGDDFSQISLNYFIKAVKAYDSRRLDVSGGPFLIGNISKFLIEYDKETLDLRVPVVRAGASELYKVYSAAIYYRGYNKMVKNRCKQMLIGIIPKKATDGHPRSLELSAAGCLLLGLVCLLCGPSAM
+>sp|Q9UBU3|GHRL_HUMAN Appetite-regulating hormone OS=Homo sapiens OX=9606 GN=GHRL PE=1 SV=1
+MPSPGTVCSLLLLGMLWLDLAMAGSSFLSPEHQRVQQRKESKKPPAKLQPRALAGWLRPEDGGQAEGAEDELEVRFNAPFDVGIKLSGVQYQQHSQALGKFLQDILWEEAKEAPADK
+>DECOY_sp|Q9UBU3|GHRL_HUMAN Appetite-regulating hormone OS=Homo sapiens OX=9606 GN=GHRL PE=1 SV=1
+KDAPAEKAEEWLIDQLFKGLAQSHQQYQVGSLKIGVDFPANFRVELEDEAGEAQGGDEPRLWGALARPQLKAPPKKSEKRQQVRQHEPSLFSSGAMALDLWLMGLLLLSCVTGPSPM
+>sp|Q9UG22|GIMA2_HUMAN GTPase IMAP family member 2 OS=Homo sapiens OX=9606 GN=GIMAP2 PE=1 SV=2
+MDQNEHSHWGPHAKGQCASRSELRIILVGKTGTGKSAAGNSILRKQAFESKLGSQTLTKTCSKSQGSWGNREIVIIDTPDMFSWKDHCEALYKEVQRCYLLSAPGPHVLLLVTQLGRYTSQDQQAAQRVKEIFGEDAMGHTIVLFTHKEDLNGGSLMDYMHDSDNKALSKLVAACGGRICAFNNRAEGSNQDDQVKELMDCIEDLLMEKNGDHYTNGLYSLIQRSKCGPVGSDERVKEFKQSLIKYMETQRSYTALAEANCLKGALIKTQLCVLFCIQLFLRLIILWLCILHSMCNLFCCLLFSMCNLFCSLLFIIPKKLMIFLRTVIRLERKTPRL
+>DECOY_sp|Q9UG22|GIMA2_HUMAN GTPase IMAP family member 2 OS=Homo sapiens OX=9606 GN=GIMAP2 PE=1 SV=2
+LRPTKRELRIVTRLFIMLKKPIIFLLSCFLNCMSFLLCCFLNCMSHLICLWLIILRLFLQICFLVCLQTKILAGKLCNAEALATYSRQTEMYKILSQKFEKVREDSGVPGCKSRQILSYLGNTYHDGNKEMLLDEICDMLEKVQDDQNSGEARNNFACIRGGCAAVLKSLAKNDSDHMYDMLSGGNLDEKHTFLVITHGMADEGFIEKVRQAAQQDQSTYRGLQTVLLLVHPGPASLLYCRQVEKYLAECHDKWSFMDPTDIIVIERNGWSGQSKSCTKTLTQSGLKSEFAQKRLISNGAASKGTGTKGVLIIRLESRSACQGKAHPGWHSHENQDM
+>sp|Q8NHV1|GIMA7_HUMAN GTPase IMAP family member 7 OS=Homo sapiens OX=9606 GN=GIMAP7 PE=1 SV=1
+MAESEDRSLRIVLVGKTGSGKSATANTILGEEIFDSRIAAQAVTKNCQKASREWQGRDLLVVDTPGLFDTKESLDTTCKEISRCIISSCPGPHAIVLVLLLGRYTEEEQKTVALIKAVFGKSAMKHMVILFTRKEELEGQSFHDFIADADVGLKSIVKECGNRCCAFSNSKKTSKAEKESQVQELVELIEKMVQCNEGAYFSDDIYKDTEERLKQREEVLRKIYTDQLNEEIKLVEEDKHKSEEEKEKEIKLLKLKYDEKIKNIREEAERNIFKDVFNRIWKMLSEIWHRFLSKCKFYSS
+>DECOY_sp|Q8NHV1|GIMA7_HUMAN GTPase IMAP family member 7 OS=Homo sapiens OX=9606 GN=GIMAP7 PE=1 SV=1
+SSYFKCKSLFRHWIESLMKWIRNFVDKFINREAEERINKIKEDYKLKLLKIEKEKEEESKHKDEEVLKIEENLQDTYIKRLVEERQKLREETDKYIDDSFYAGENCQVMKEILEVLEQVQSEKEAKSTKKSNSFACCRNGCEKVISKLGVDADAIFDHFSQGELEEKRTFLIVMHKMASKGFVAKILAVTKQEEETYRGLLLVLVIAHPGPCSSIICRSIEKCTTDLSEKTDFLGPTDVVLLDRGQWERSAKQCNKTVAQAAIRSDFIEEGLITNATASKGSGTKGVLVIRLSRDESEAM
+>sp|P0DJR0|GIMD1_HUMAN GTPase IMAP family member GIMD1 OS=Homo sapiens OX=9606 GN=GIMD1 PE=2 SV=1
+MTDPNKMIINLALFGMTQSGKSSAGNILLGSTDFHSSFAPCSVTTCCSLGRSCHLHSFMRRGGLEVALQVQVLDTPGYPHSRLSKKYVKQEVKEALAHHFGQGGLHLALLVQRADVPFCGQEVTDPVQMIQELLGHAWMNYTAILFTHAEKIEEAGLTEDKYLHEASDTLKTLLNSIQHKYVFQYKKGKSLNEQRMKILERIMEFIKENCYQVLTFK
+>DECOY_sp|P0DJR0|GIMD1_HUMAN GTPase IMAP family member GIMD1 OS=Homo sapiens OX=9606 GN=GIMD1 PE=2 SV=1
+KFTLVQYCNEKIFEMIRELIKMRQENLSKGKKYQFVYKHQISNLLTKLTDSAEHLYKDETLGAEEIKEAHTFLIATYNMWAHGLLEQIMQVPDTVEQGCFPVDARQVLLALHLGGQGFHHALAEKVEQKVYKKSLRSHPYGPTDLVQVQLAVELGGRRMFSHLHCSRGLSCCTTVSCPAFSSHFDTSGLLINGASSKGSQTMGFLALNIIMKNPDTM
+>sp|Q9NXP7|GIN1_HUMAN Gypsy retrotransposon integrase-like protein 1 OS=Homo sapiens OX=9606 GN=GIN1 PE=1 SV=3
+MVRSGKNGDLHLKQIAYYKRTGEYHSTTLPSERSGIRRAAKKFVFKEKKLFYVGKDRKQNRLVIVSEEEKKKVLRECHENDSGAHHGISRTLTLVESNYYWTSVTNDVKQWVYACQHCQVAKNTVIVAPKQHLLKVENPWSLVTVDLMGPFHTSNRSHVYAIIMTDLFTKWIVILPLCDVSASEVSKAIINIFFLYGPPQKIIMDQRDEFIQQINIELYRLFGIKQIVISHTSGTVNPTESTPNTIKAFLSKHCADHPNNWDDHLSAVSFAFNVTHLEPTKNTPYFQMFSRNPYMPETSDSLHEVDGDNTSMFAKILDAIKEADKIMENKTTSLGQMENNNLDELNKSKIIVKKKPKQLNPFHLKVGHEVLRQRKNWWKDGRFQSEWVGPCVIDYITESGCAVLRDNTGVRLKRPIKMSHLKPYIRESSEQESLYLLQGSVVADHDYIGLPEIPIGAYQANILVEDATIGIVDNELLTSSKDRELLEYRNTKISPLIDDHSSLEKQTFSLLDSSNQVLEYLS
+>DECOY_sp|Q9NXP7|GIN1_HUMAN Gypsy retrotransposon integrase-like protein 1 OS=Homo sapiens OX=9606 GN=GIN1 PE=1 SV=3
+SLYELVQNSSDLLSFTQKELSSHDDILPSIKTNRYELLERDKSSTLLENDVIGITADEVLINAQYAGIPIEPLGIYDHDAVVSGQLLYLSEQESSERIYPKLHSMKIPRKLRVGTNDRLVACGSETIYDIVCPGVWESQFRGDKWWNKRQRLVEHGVKLHFPNLQKPKKKVIIKSKNLEDLNNNEMQGLSTTKNEMIKDAEKIADLIKAFMSTNDGDVEHLSDSTEPMYPNRSFMQFYPTNKTPELHTVNFAFSVASLHDDWNNPHDACHKSLFAKITNPTSETPNVTGSTHSIVIQKIGFLRYLEINIQQIFEDRQDMIIKQPPGYLFFINIIAKSVESASVDCLPLIVIWKTFLDTMIIAYVHSRNSTHFPGMLDVTVLSWPNEVKLLHQKPAVIVTNKAVQCHQCAYVWQKVDNTVSTWYYNSEVLTLTRSIGHHAGSDNEHCERLVKKKEEESVIVLRNQKRDKGVYFLKKEKFVFKKAARRIGSRESPLTTSHYEGTRKYYAIQKLHLDGNKGSRVM
+>sp|Q8TF65|GIPC2_HUMAN PDZ domain-containing protein GIPC2 OS=Homo sapiens OX=9606 GN=GIPC2 PE=1 SV=1
+MPLKLRGKKKAKSKETAGLVEGEPTGAGGGSLSASRAPARRLVFHAQLAHGSATGRVEGFSSIQELYAQIAGAFEISPSEILYCTLNTPKIDMERLLGGQLGLEDFIFAHVKGIEKEVNVYKSEDSLGLTITDNGVGYAFIKRIKDGGVIDSVKTICVGDHIESINGENIVGWRHYDVAKKLKELKKEELFTMKLIEPKKAFEIELRSKAGKSSGEKIGCGRATLRLRSKGPATVEEMPSETKAKAIEKIDDVLELYMGIRDIDLATTMFEAGKDKVNPDEFAVALDETLGDFAFPDEFVFDVWGVIGDAKRRGL
+>DECOY_sp|Q8TF65|GIPC2_HUMAN PDZ domain-containing protein GIPC2 OS=Homo sapiens OX=9606 GN=GIPC2 PE=1 SV=1
+LGRRKADGIVGWVDFVFEDPFAFDGLTEDLAVAFEDPNVKDKGAEFMTTALDIDRIGMYLELVDDIKEIAKAKTESPMEEVTAPGKSRLRLTARGCGIKEGSSKGAKSRLEIEFAKKPEILKMTFLEEKKLEKLKKAVDYHRWGVINEGNISEIHDGVCITKVSDIVGGDKIRKIFAYGVGNDTITLGLSDESKYVNVEKEIGKVHAFIFDELGLQGGLLREMDIKPTNLTCYLIESPSIEFAGAIQAYLEQISSFGEVRGTASGHALQAHFVLRRAPARSASLSGGGAGTPEGEVLGATEKSKAKKKGRLKLPM
+>sp|Q8TF64|GIPC3_HUMAN PDZ domain-containing protein GIPC3 OS=Homo sapiens OX=9606 GN=GIPC3 PE=1 SV=1
+MEGAAAREARGTETPRASAPPPAPSEPPAAPRARPRLVFRTQLAHGSPTGKIEGFTNVRELYAKIAEAFGIAPTEILFCTLNSHKVDMQKLLGGQIGLEDFIFAHVRGETKEVEVTKTEDALGLTITDNGAGYAFIKRIKEGSIINRIEAVCVGDSIEAINDHSIVGCRHYEVAKMLRELPKSQPFTLRLVQPKRAFDMIGQRSRSSKCPVEAKVTSGRETLRLRSGGAATVEEAPSEFEEEASRKVDDLLESYMGIRDPELASTMVETSKKTASAQEFARCLDSVLGEFAFPDEFVVEVWAAIGEAREACG
+>DECOY_sp|Q8TF64|GIPC3_HUMAN PDZ domain-containing protein GIPC3 OS=Homo sapiens OX=9606 GN=GIPC3 PE=1 SV=1
+GCAERAEGIAAWVEVVFEDPFAFEGLVSDLCRAFEQASATKKSTEVMTSALEPDRIGMYSELLDDVKRSAEEEFESPAEEVTAAGGSRLRLTERGSTVKAEVPCKSSRSRQGIMDFARKPQVLRLTFPQSKPLERLMKAVEYHRCGVISHDNIAEISDGVCVAEIRNIISGEKIRKIFAYGAGNDTITLGLADETKTVEVEKTEGRVHAFIFDELGIQGGLLKQMDVKHSNLTCFLIETPAIGFAEAIKAYLERVNTFGEIKGTPSGHALQTRFVLRPRARPAAPPESPAPPPASARPTETGRAERAAAGEM
+>sp|P48546|GIPR_HUMAN Gastric inhibitory polypeptide receptor OS=Homo sapiens OX=9606 GN=GIPR PE=1 SV=1
+MTTSPILQLLLRLSLCGLLLQRAETGSKGQTAGELYQRWERYRRECQETLAAAEPPSGLACNGSFDMYVCWDYAAPNATARASCPWYLPWHHHVAAGFVLRQCGSDGQWGLWRDHTQCENPEKNEAFLDQRLILERLQVMYTVGYSLSLATLLLALLILSLFRRLHCTRNYIHINLFTSFMLRAAAILSRDRLLPRPGPYLGDQALALWNQALAACRTAQIVTQYCVGANYTWLLVEGVYLHSLLVLVGGSEEGHFRYYLLLGWGAPALFVIPWVIVRYLYENTQCWERNEVKAIWWIIRTPILMTILINFLIFIRILGILLSKLRTRQMRCRDYRLRLARSTLTLVPLLGVHEVVFAPVTEEQARGALRFAKLGFEIFLSSFQGFLVSVLYCFINKEVQSEIRRGWHHCRLRRSLGEEQRQLPERAFRALPSGSGPGEVPTSRGLSSGTLPGPGNEASRELESYC
+>DECOY_sp|P48546|GIPR_HUMAN Gastric inhibitory polypeptide receptor OS=Homo sapiens OX=9606 GN=GIPR PE=1 SV=1
+CYSELERSAENGPGPLTGSSLGRSTPVEGPGSGSPLARFAREPLQRQEEGLSRRLRCHHWGRRIESQVEKNIFCYLVSVLFGQFSSLFIEFGLKAFRLAGRAQEETVPAFVVEHVGLLPVLTLTSRALRLRYDRCRMQRTRLKSLLIGLIRIFILFNILITMLIPTRIIWWIAKVENREWCQTNEYLYRVIVWPIVFLAPAGWGLLLYYRFHGEESGGVLVLLSHLYVGEVLLWTYNAGVCYQTVIQATRCAALAQNWLALAQDGLYPGPRPLLRDRSLIAAARLMFSTFLNIHIYNRTCHLRRFLSLILLALLLTALSLSYGVTYMVQLRELILRQDLFAENKEPNECQTHDRWLGWQGDSGCQRLVFGAAVHHHWPLYWPCSARATANPAAYDWCVYMDFSGNCALGSPPEAAALTEQCERRYREWRQYLEGATQGKSGTEARQLLLGCLSLRLLLQLIPSTTM
+>sp|Q9Y2X7|GIT1_HUMAN ARF GTPase-activating protein GIT1 OS=Homo sapiens OX=9606 GN=GIT1 PE=1 SV=2
+MSRKGPRAEVCADCSAPDPGWASISRGVLVCDECCSVHRSLGRHISIVKHLRHSAWPPTLLQMVHTLASNGANSIWEHSLLDPAQVQSGRRKANPQDKVHPIKSEFIRAKYQMLAFVHKLPCRDDDGVTAKDLSKQLHSSVRTGNLETCLRLLSLGAQANFFHPEKGTTPLHVAAKAGQTLQAELLVVYGADPGSPDVNGRTPIDYARQAGHHELAERLVECQYELTDRLAFYLCGRKPDHKNGHYIIPQMADSLDLSELAKAAKKKLQALSNRLFEELAMDVYDEVDRRENDAVWLATQNHSTLVTERSAVPFLPVNPEYSATRNQGRQKLARFNAREFATLIIDILSEAKRRQQGKSLSSPTDNLELSLRSQSDLDDQHDYDSVASDEDTDQEPLRSTGATRSNRARSMDSSDLSDGAVTLQEYLELKKALATSEAKVQQLMKVNSSLSDELRRLQREIHKLQAENLQLRQPPGPVPTPPLPSERAEHTPMAPGGSTHRRDRQAFSMYEPGSALKPFGGPPGDELTTRLQPFHSTELEDDAIYSVHVPAGLYRIRKGVSASAVPFTPSSPLLSCSQEGSRHTSKLSRHGSGADSDYENTQSGDPLLGLEGKRFLELGKEEDFHPELESLDGDLDPGLPSTEDVILKTEQVTKNIQELLRAAQEFKHDSFVPCSEKIHLAVTEMASLFPKRPALEPVRSSLRLLNASAYRLQSECRKTVPPEPGAPVDFQLLTQQVIQCAYDIAKAAKQLVTITTREKKQ
+>DECOY_sp|Q9Y2X7|GIT1_HUMAN ARF GTPase-activating protein GIT1 OS=Homo sapiens OX=9606 GN=GIT1 PE=1 SV=2
+QKKERTTITVLQKAAKAIDYACQIVQQTLLQFDVPAGPEPPVTKRCESQLRYASANLLRLSSRVPELAPRKPFLSAMETVALHIKESCPVFSDHKFEQAARLLEQINKTVQETKLIVDETSPLGPDLDGDLSELEPHFDEEKGLELFRKGELGLLPDGSQTNEYDSDAGSGHRSLKSTHRSGEQSCSLLPSSPTFPVASASVGKRIRYLGAPVHVSYIADDELETSHFPQLRTTLEDGPPGGFPKLASGPEYMSFAQRDRRHTSGGPAMPTHEARESPLPPTPVPGPPQRLQLNEAQLKHIERQLRRLEDSLSSNVKMLQQVKAESTALAKKLELYEQLTVAGDSLDSSDMSRARNSRTAGTSRLPEQDTDEDSAVSDYDHQDDLDSQSRLSLELNDTPSSLSKGQQRRKAESLIDIILTAFERANFRALKQRGQNRTASYEPNVPLFPVASRETVLTSHNQTALWVADNERRDVEDYVDMALEEFLRNSLAQLKKKAAKALESLDLSDAMQPIIYHGNKHDPKRGCLYFALRDTLEYQCEVLREALEHHGAQRAYDIPTRGNVDPSGPDAGYVVLLEAQLTQGAKAAVHLPTTGKEPHFFNAQAGLSLLRLCTELNGTRVSSHLQKSLDKATVGDDDRCPLKHVFALMQYKARIFESKIPHVKDQPNAKRRGSQVQAPDLLSHEWISNAGNSALTHVMQLLTPPWASHRLHKVISIHRGLSRHVSCCEDCVLVGRSISAWGPDPASCDACVEARPGKRSM
+>sp|Q14161|GIT2_HUMAN ARF GTPase-activating protein GIT2 OS=Homo sapiens OX=9606 GN=GIT2 PE=1 SV=2
+MSKRLRSSEVCADCSGPDPSWASVNRGTFLCDECCSVHRSLGRHISQVRHLKHTPWPPTLLQMVETLYNNGANSIWEHSLLDPASIMSGRRKANPQDKVHPNKAEFIRAKYQMLAFVHRLPCRDDDSVTAKDLSKQLHSSVRTGNLETCLRLLSLGAQANFFHPEKGNTPLHVASKAGQILQAELLAVYGADPGTQDSSGKTPVDYARQGGHHELAERLVEIQYELTDRLAFYLCGRKPDHKNGQHFIIPQMADSSLDLSELAKAAKKKLQSLSNHLFEELAMDVYDEVDRRETDAVWLATQNHSALVTETTVVPFLPVNPEYSSTRNQGRQKLARFNAHEFATLVIDILSDAKRRQQGSSLSGSKDNVELILKTINNQHSVESQDNDQPDYDSVASDEDTDLETTASKTNRQKSLDSDLSDGPVTVQEFMEVKNALVASEAKIQQLMKVNNNLSDELRIMQKKLQTLQSENSNLRKQATTNVYQVQTGSEYTDTSNHSSLKRRPSARGSRPMSMYETGSGQKPYLPMGEASRPEESRMRLQPFPAHIGRSALVTSSSSLPSFPSTLSWSRDESARRASRLEKQNSTPESDYDNTPNDMEPDGMGSSRKGRQRSMVWPGDGLVPDTAEPHVAPSPTLPSTEDVIRKTEQITKNIQELLRAAQENKHDSYIPCSERIHVAVTEMAALFPKKPKSDMVRTSLRLLTSSAYRLQSECKKTLPGDPGSPTDVQLVTQQVIQCAYDIAKAAKQLVTITTKENNN
+>DECOY_sp|Q14161|GIT2_HUMAN ARF GTPase-activating protein GIT2 OS=Homo sapiens OX=9606 GN=GIT2 PE=1 SV=2
+NNNEKTTITVLQKAAKAIDYACQIVQQTVLQVDTPSGPDGPLTKKCESQLRYASSTLLRLSTRVMDSKPKKPFLAAMETVAVHIRESCPIYSDHKNEQAARLLEQINKTIQETKRIVDETSPLTPSPAVHPEATDPVLGDGPWVMSRQRGKRSSGMGDPEMDNPTNDYDSEPTSNQKELRSARRASEDRSWSLTSPFSPLSSSSTVLASRGIHAPFPQLRMRSEEPRSAEGMPLYPKQGSGTEYMSMPRSGRASPRRKLSSHNSTDTYESGTQVQYVNTTAQKRLNSNESQLTQLKKQMIRLEDSLNNNVKMLQQIKAESAVLANKVEMFEQVTVPGDSLDSDLSKQRNTKSATTELDTDEDSAVSDYDPQDNDQSEVSHQNNITKLILEVNDKSGSLSSGQQRRKADSLIDIVLTAFEHANFRALKQRGQNRTSSYEPNVPLFPVVTTETVLASHNQTALWVADTERRDVEDYVDMALEEFLHNSLSQLKKKAAKALESLDLSSDAMQPIIFHQGNKHDPKRGCLYFALRDTLEYQIEVLREALEHHGGQRAYDVPTKGSSDQTGPDAGYVALLEAQLIQGAKSAVHLPTNGKEPHFFNAQAGLSLLRLCTELNGTRVSSHLQKSLDKATVSDDDRCPLRHVFALMQYKARIFEAKNPHVKDQPNAKRRGSMISAPDLLSHEWISNAGNNYLTEVMQLLTPPWPTHKLHRVQSIHRGLSRHVSCCEDCLFTGRNVSAWSPDPGSCDACVESSRLRKSM
+>sp|Q9NS71|GKN1_HUMAN Gastrokine-1 OS=Homo sapiens OX=9606 GN=GKN1 PE=2 SV=3
+MLAYSSVHCFREDKMKFTIVFAGLLGVFLAPALANYNINVNDDNNNAGSGQQSVSVNNEHNVANVDNNNGWDSWNSIWDYGNGFAATRLFQKKTCIVHKMNKEVMPSIQSLDALVKEKKLQGKGPGGPPPKGLMYSVNPNKVDDLSKFGKNIANMCRGIPTYMAEEMQEASLFFYSGTCYTTSVLWIVDISFCGDTVEN
+>DECOY_sp|Q9NS71|GKN1_HUMAN Gastrokine-1 OS=Homo sapiens OX=9606 GN=GKN1 PE=2 SV=3
+NEVTDGCFSIDVIWLVSTTYCTGSYFFLSAEQMEEAMYTPIGRCMNAINKGFKSLDDVKNPNVSYMLGKPPPGGPGKGQLKKEKVLADLSQISPMVEKNMKHVICTKKQFLRTAAFGNGYDWISNWSDWGNNNDVNAVNHENNVSVSQQGSGANNNDDNVNINYNALAPALFVGLLGAFVITFKMKDERFCHVSSYALM
+>sp|Q8IVK1|GLCM1_HUMAN Putative glycosylation-dependent cell adhesion molecule 1 OS=Homo sapiens OX=9606 GN=GLYCAM1 PE=5 SV=1
+MKFFMVLLPASLASTSLAILDVESGLLPQLSVLLSNRLRGKTCQTGP
+>DECOY_sp|Q8IVK1|GLCM1_HUMAN Putative glycosylation-dependent cell adhesion molecule 1 OS=Homo sapiens OX=9606 GN=GLYCAM1 PE=5 SV=1
+PGTQCTKGRLRNSLLVSLQPLLGSEVDLIALSTSALSAPLLVMFFKM
+>sp|Q9Y223|GLCNE_HUMAN Bifunctional UDP-N-acetylglucosamine 2-epimerase/N-acetylmannosamine kinase OS=Homo sapiens OX=9606 GN=GNE PE=1 SV=1
+MEKNGNNRKLRVCVATCNRADYSKLAPIMFGIKTEPEFFELDVVVLGSHLIDDYGNTYRMIEQDDFDINTRLHTIVRGEDEAAMVESVGLALVKLPDVLNRLKPDIMIVHGDRFDALALATSAALMNIRILHIEGGEVSGTIDDSIRHAITKLAHYHVCCTRSAEQHLISMCEDHDRILLAGCPSYDKLLSAKNKDYMSIIRMWLGDDVKSKDYIVALQHPVTTDIKHSIKMFELTLDALISFNKRTLVLFPNIDAGSKEMVRVMRKKGIEHHPNFRAVKHVPFDQFIQLVAHAGCMIGNSSCGVREVGAFGTPVINLGTRQIGRETGENVLHVRDADTQDKILQALHLQFGKQYPCSKIYGDGNAVPRILKFLKSIDLQEPLQKKFCFPPVKENISQDIDHILETLSALAVDLGGTNLRVAIVSMKGEIVKKYTQFNPKTYEERINLILQMCVEAAAEAVKLNCRILGVGISTGGRVNPREGIVLHSTKLIQEWNSVDLRTPLSDTLHLPVWVDNDGNCAALAERKFGQGKGLENFVTLITGTGIGGGIIHQHELIHGSSFCAAELGHLVVSLDGPDCSCGSHGCIEAYASGMALQREAKKLHDEDLLLVEGMSVPKDEAVGALHLIQAAKLGNAKAQSILRTAGTALGLGVVNILHTMNPSLVILSGVLASHYIHIVKDVIRQQALSSVQDVDVVVSDLVDPALLGAASMVLDYTTRRIY
+>DECOY_sp|Q9Y223|GLCNE_HUMAN Bifunctional UDP-N-acetylglucosamine 2-epimerase/N-acetylmannosamine kinase OS=Homo sapiens OX=9606 GN=GNE PE=1 SV=1
+YIRRTTYDLVMSAAGLLAPDVLDSVVVDVDQVSSLAQQRIVDKVIHIYHSALVGSLIVLSPNMTHLINVVGLGLATGATRLISQAKANGLKAAQILHLAGVAEDKPVSMGEVLLLDEDHLKKAERQLAMGSAYAEICGHSGCSCDPGDLSVVLHGLEAACFSSGHILEHQHIIGGGIGTGTILTVFNELGKGQGFKREALAACNGDNDVWVPLHLTDSLPTRLDVSNWEQILKTSHLVIGERPNVRGGTSIGVGLIRCNLKVAEAAAEVCMQLILNIREEYTKPNFQTYKKVIEGKMSVIAVRLNTGGLDVALASLTELIHDIDQSINEKVPPFCFKKQLPEQLDISKLFKLIRPVANGDGYIKSCPYQKGFQLHLAQLIKDQTDADRVHLVNEGTERGIQRTGLNIVPTGFAGVERVGCSSNGIMCGAHAVLQIFQDFPVHKVARFNPHHEIGKKRMVRVMEKSGADINPFLVLTRKNFSILADLTLEFMKISHKIDTTVPHQLAVIYDKSKVDDGLWMRIISMYDKNKASLLKDYSPCGALLIRDHDECMSILHQEASRTCCVHYHALKTIAHRISDDITGSVEGGEIHLIRINMLAASTALALADFRDGHVIMIDPKLRNLVDPLKVLALGVSEVMAAEDEGRVITHLRTNIDFDDQEIMRYTNGYDDILHSGLVVVDLEFFEPETKIGFMIPALKSYDARNCTAVCVRLKRNNGNKEM
+>sp|Q8NBF1|GLIS1_HUMAN Zinc finger protein GLIS1 OS=Homo sapiens OX=9606 GN=GLIS1 PE=2 SV=2
+MAEARTSLSAHCRGPLATGLHPDLDLPGRSLATPAPSCYLLGSEPSSGLGLQPETHLPEGSLKRCCVLGLPPTSPASSSPCASSDVTSIIRSSQTSLVTCVNGLRSPPLTGDLGGPSKRARPGPASTDSHEGSLQLEACRKASFLKQEPADEFSELFGPHQQGLPPPYPLSQLPPGPSLGGLGLGLAGRVVAGRQACRWVDCCAAYEQQEELVRHIEKSHIDQRKGEDFTCFWAGCVRRYKPFNARYKLLIHMRVHSGEKPNKCMFEGCSKAFSRLENLKIHLRSHTGEKPYLCQHPGCQKAFSNSSDRAKHQRTHLDTKPYACQIPGCSKRYTDPSSLRKHVKAHSAKEQQVRKKLHAGPDTEADVLTECLVLQQLHTSTQLAASDGKGGCGLGQELLPGVYPGSITPHNGLASGLLPPAHDVPSRHHPLDATTSSHHHLSPLPMAESTRDGLGPGLLSPIVSPLKGLGPPPLPPSSQSHSPGGQPFPTLPSKPSYPPFQSPPPPPLPSPQGYQGSFHSIQSCFPYGDCYRMAEPAAGGDGLVGETHGFNPLRPNGYHSLSTPLPATGYEALAEASCPTALPQQPSEDVVSSGPEDCGFFPNGAFDHCLGHIPSIYTDT
+>DECOY_sp|Q8NBF1|GLIS1_HUMAN Zinc finger protein GLIS1 OS=Homo sapiens OX=9606 GN=GLIS1 PE=2 SV=2
+TDTYISPIHGLCHDFAGNPFFGCDEPGSSVVDESPQQPLATPCSAEALAEYGTAPLPTSLSHYGNPRLPNFGHTEGVLGDGGAAPEAMRYCDGYPFCSQISHFSGQYGQPSPLPPPPPSQFPPYSPKSPLTPFPQGGPSHSQSSPPLPPPGLGKLPSVIPSLLGPGLGDRTSEAMPLPSLHHHSSTTADLPHHRSPVDHAPPLLGSALGNHPTISGPYVGPLLEQGLGCGGKGDSAALQTSTHLQQLVLCETLVDAETDPGAHLKKRVQQEKASHAKVHKRLSSPDTYRKSCGPIQCAYPKTDLHTRQHKARDSSNSFAKQCGPHQCLYPKEGTHSRLHIKLNELRSFAKSCGEFMCKNPKEGSHVRMHILLKYRANFPKYRRVCGAWFCTFDEGKRQDIHSKEIHRVLEEQQEYAACCDVWRCAQRGAVVRGALGLGLGGLSPGPPLQSLPYPPPLGQQHPGFLESFEDAPEQKLFSAKRCAELQLSGEHSDTSAPGPRARKSPGGLDGTLPPSRLGNVCTVLSTQSSRIISTVDSSACPSSSAPSTPPLGLVCCRKLSGEPLHTEPQLGLGSSPESGLLYCSPAPTALSRGPLDLDPHLGTALPGRCHASLSTRAEAM
+>sp|Q16775|GLO2_HUMAN Hydroxyacylglutathione hydrolase, mitochondrial OS=Homo sapiens OX=9606 GN=HAGH PE=1 SV=2
+MVVGRGLLGRRSLAALGAACARRGLGPALLGVFCHTDLRKNLTVDEGTMKVEVLPALTDNYMYLVIDDETKEAAIVDPVQPQKVVDAARKHGVKLTTVLTTHHHWDHAGGNEKLVKLESGLKVYGGDDRIGALTHKITHLSTLQVGSLNVKCLATPCHTSGHICYFVSKPGGSEPPAVFTGDTLFVAGCGKFYEGTADEMCKALLEVLGRLPPDTRVYCGHEYTINNLKFARHVEPGNAAIREKLAWAKEKYSIGEPTVPSTLAEEFTYNPFMRVREKTVQQHAGETDPVTTMRAVRREKDQFKMPRD
+>DECOY_sp|Q16775|GLO2_HUMAN Hydroxyacylglutathione hydrolase, mitochondrial OS=Homo sapiens OX=9606 GN=HAGH PE=1 SV=2
+DRPMKFQDKERRVARMTTVPDTEGAHQQVTKERVRMFPNYTFEEALTSPVTPEGISYKEKAWALKERIAANGPEVHRAFKLNNITYEHGCYVRTDPPLRGLVELLAKCMEDATGEYFKGCGAVFLTDGTFVAPPESGGPKSVFYCIHGSTHCPTALCKVNLSGVQLTSLHTIKHTLAGIRDDGGYVKLGSELKVLKENGGAHDWHHHTTLVTTLKVGHKRAADVVKQPQVPDVIAAEKTEDDIVLYMYNDTLAPLVEVKMTGEDVTLNKRLDTHCFVGLLAPGLGRRACAAGLAALSRRGLLGRGVVM
+>sp|P15421|GLPE_HUMAN Glycophorin-E OS=Homo sapiens OX=9606 GN=GYPE PE=2 SV=2
+MYGKIIFVLLLSGIVSISASSTTGVAMHTSTSSSVTKSYISSQTNGITLINWWAMARVIFEVMLVVVGMIILISYCIR
+>DECOY_sp|P15421|GLPE_HUMAN Glycophorin-E OS=Homo sapiens OX=9606 GN=GYPE PE=2 SV=2
+RICYSILIIMGVVVLMVEFIVRAMAWWNILTIGNTQSSIYSKTVSSSTSTHMAVGTTSSASISVIGSLLLVFIIKGYM
+>sp|P04921|GLPC_HUMAN Glycophorin-C OS=Homo sapiens OX=9606 GN=GYPC PE=1 SV=1
+MWSTRSPNSTAWPLSLEPDPGMASASTTMHTTTIAEPDPGMSGWPDGRMETSTPTIMDIVVIAGVIAAVAIVLVSLLFVMLRYMYRHKGTYHTNEAKGTEFAESADAALQGDPALQDAGDSSRKEYFI
+>DECOY_sp|P04921|GLPC_HUMAN Glycophorin-C OS=Homo sapiens OX=9606 GN=GYPC PE=1 SV=1
+IFYEKRSSDGADQLAPDGQLAADASEAFETGKAENTHYTGKHRYMYRLMVFLLSVLVIAVAAIVGAIVVIDMITPTSTEMRGDPWGSMGPDPEAITTTHMTTSASAMGPDPELSLPWATSNPSRTSWM
+>sp|Q6ZS86|GLPK5_HUMAN Putative glycerol kinase 5 OS=Homo sapiens OX=9606 GN=GK5 PE=2 SV=2
+MSGLLTDPEQRAQEPRYPGFVLGLDVGSSVIRCHVYDRAARVCGSSVQKVENLYPQIGWVEIDPDVLWIQFVAVIKEAVKAAGIQMNQIVGLGISTQRATFITWNKKTGNHFHNFISWQDLRAVELVKSWNNSLLMKIFHSSCRVLHFFTRSKRLFTASLFTFTTQQTSLRLVWILQNLTEVQKAVEEENCCFGTIDTWLLYKLTKGSVYATDFSNASTTGLFDPYKMCWSGMITSLISIPLSLLPPVRDTSHNFGSVDEEIFGVPIPIVALVADQQSAMFGECCFQTGDVKLTMGTGTFLDINTGNSLQQTTGGFYPLIGWKIGQEVVCLAESNAGDTGTAIKWAQQLDLFTDAAETEKMAKSLEDSEGVCFVPSFSGLQAPLNDPWACASFMGLKPSTSKYHLVRAILESIAFRNKQLYEMMKKEIHIPVRKIRADGGVCKNGFVMQMTSDLINENIDRPADIDMSCLGAASLAGLAVGFWTDKEELKKLRQSEVVFKPQKKCQEYEMSLENWAKAVKRSMNWYNKT
+>DECOY_sp|Q6ZS86|GLPK5_HUMAN Putative glycerol kinase 5 OS=Homo sapiens OX=9606 GN=GK5 PE=2 SV=2
+TKNYWNMSRKVAKAWNELSMEYEQCKKQPKFVVESQRLKKLEEKDTWFGVALGALSAAGLCSMDIDAPRDINENILDSTMQMVFGNKCVGGDARIKRVPIHIEKKMMEYLQKNRFAISELIARVLHYKSTSPKLGMFSACAWPDNLPAQLGSFSPVFCVGESDELSKAMKETEAADTFLDLQQAWKIATGTDGANSEALCVVEQGIKWGILPYFGGTTQQLSNGTNIDLFTGTGMTLKVDGTQFCCEGFMASQQDAVLAVIPIPVGFIEEDVSGFNHSTDRVPPLLSLPISILSTIMGSWCMKYPDFLGTTSANSFDTAYVSGKTLKYLLWTDITGFCCNEEEVAKQVETLNQLIWVLRLSTQQTTFTFLSATFLRKSRTFFHLVRCSSHFIKMLLSNNWSKVLEVARLDQWSIFNHFHNGTKKNWTIFTARQTSIGLGVIQNMQIGAAKVAEKIVAVFQIWLVDPDIEVWGIQPYLNEVKQVSSGCVRAARDYVHCRIVSSGVDLGLVFGPYRPEQARQEPDTLLGSM
+>sp|P35754|GLRX1_HUMAN Glutaredoxin-1 OS=Homo sapiens OX=9606 GN=GLRX PE=1 SV=2
+MAQEFVNCKIQPGKVVVFIKPTCPYCRRAQEILSQLPIKQGLLEFVDITATNHTNEIQDYLQQLTGARTVPRVFIGKDCIGGCSDLVSLQQSGELLTRLKQIGALQ
+>DECOY_sp|P35754|GLRX1_HUMAN Glutaredoxin-1 OS=Homo sapiens OX=9606 GN=GLRX PE=1 SV=2
+QLAGIQKLRTLLEGSQQLSVLDSCGGICDKGIFVRPVTRAGTLQQLYDQIENTHNTATIDVFELLGQKIPLQSLIEQARRCYPCTPKIFVVVKGPQIKCNVFEQAM
+>sp|Q86SX6|GLRX5_HUMAN Glutaredoxin-related protein 5, mitochondrial OS=Homo sapiens OX=9606 GN=GLRX5 PE=1 SV=2
+MSGSLGRAAAALLRWGRGAGGGGLWGPGVRAAGSGAGGGGSAEQLDALVKKDKVVVFLKGTPEQPQCGFSNAVVQILRLHGVRDYAAYNVLDDPELRQGIKDYSNWPTIPQVYLNGEFVGGCDILLQMHQNGDLVEELKKLGIHSALLDEKKDQDSK
+>DECOY_sp|Q86SX6|GLRX5_HUMAN Glutaredoxin-related protein 5, mitochondrial OS=Homo sapiens OX=9606 GN=GLRX5 PE=1 SV=2
+KSDQDKKEDLLASHIGLKKLEEVLDGNQHMQLLIDCGGVFEGNLYVQPITPWNSYDKIGQRLEPDDLVNYAAYDRVGHLRLIQVVANSFGCQPQEPTGKLFVVVKDKKVLADLQEASGGGGAGSGAARVGPGWLGGGGAGRGWRLLAAAARGLSGSM
+>sp|Q8N3T1|GLT15_HUMAN Polypeptide N-acetylgalactosaminyltransferase 15 OS=Homo sapiens OX=9606 GN=GALNT15 PE=2 SV=2
+MLLRKRYRHRPCRLQFLLLLLMLGCVLMMVAMLHPPHHTLHQTVTAQASKHSPEARYRLDFGESQDWVLEAEDEGEEYSPLEGLPPFISLREDQLLVAVALPQARRNQSQGRRGGSYRLIKQPRRQDKEAPKRDWGADEDGEVSEEEELTPFSLDPRGLQEALSARIPLQRALPEVRHPLCLQQHPQDSLPTASVILCFHDEAWSTLLRTVHSILDTVPRAFLKEIILVDDLSQQGQLKSALSEYVARLEGVKLLRSNKRLGAIRARMLGATRATGDVLVFMDAHCECHPGWLEPLLSRIAGDRSRVVSPVIDVIDWKTFQYYPSKDLQRGVLDWKLDFHWEPLPEHVRKALQSPISPIRSPVVPGEVVAMDRHYFQNTGAYDSLMSLRGGENLELSFKAWLCGGSVEILPCSRVGHIYQNQDSHSPLDQEATLRNRVRIAETWLGSFKETFYKHSPEAFSLSKAEKPDCMERLQLQRRLGCRTFHWFLANVYPELYPSEPRPSFSGKLHNTGLGLCADCQAEGDILGCPMVLAPCSDSRQQQYLQHTSRKEIHFGSPQHLCFAVRQEQVILQNCTEEGLAIHQQHWDFQENGMIVHILSGKCMEAVVQENNKDLYLRPCDGKARQQWRFDQINAVDER
+>DECOY_sp|Q8N3T1|GLT15_HUMAN Polypeptide N-acetylgalactosaminyltransferase 15 OS=Homo sapiens OX=9606 GN=GALNT15 PE=2 SV=2
+REDVANIQDFRWQQRAKGDCPRLYLDKNNEQVVAEMCKGSLIHVIMGNEQFDWHQQHIALGEETCNQLIVQEQRVAFCLHQPSGFHIEKRSTHQLYQQQRSDSCPALVMPCGLIDGEAQCDACLGLGTNHLKGSFSPRPESPYLEPYVNALFWHFTRCGLRRQLQLREMCDPKEAKSLSFAEPSHKYFTEKFSGLWTEAIRVRNRLTAEQDLPSHSDQNQYIHGVRSCPLIEVSGGCLWAKFSLELNEGGRLSMLSDYAGTNQFYHRDMAVVEGPVVPSRIPSIPSQLAKRVHEPLPEWHFDLKWDLVGRQLDKSPYYQFTKWDIVDIVPSVVRSRDGAIRSLLPELWGPHCECHADMFVLVDGTARTAGLMRARIAGLRKNSRLLKVGELRAVYESLASKLQGQQSLDDVLIIEKLFARPVTDLISHVTRLLTSWAEDHFCLIVSATPLSDQPHQQLCLPHRVEPLARQLPIRASLAEQLGRPDLSFPTLEEEESVEGDEDAGWDRKPAEKDQRRPQKILRYSGGRRGQSQNRRAQPLAVAVLLQDERLSIFPPLGELPSYEEGEDEAELVWDQSEGFDLRYRAEPSHKSAQATVTQHLTHHPPHLMAVMMLVCGLMLLLLLFQLRCPRHRYRKRLLM
+>sp|Q7Z3D6|GLUCM_HUMAN D-glutamate cyclase, mitochondrial OS=Homo sapiens OX=9606 GN=DGLUCY PE=1 SV=2
+MPFTLHLRSRLPSAIRSLILQKKPNIRNTSSMAGELRPASLVVLPRSLAPAFERFCQVNTGPLPLLGQSEPEKWMLPPQGAISETRMGHPQFWKYEFGACTGSLASLEQYSEQLKDMVAFFLGCSFSLEEALEKAGLPRRDPAGHSQTTVPCVTHAGFCCPLVVTMRPIPKDKLEGLVRACCSLGGEQGQPVHMGDPELLGIKELSKPAYGDAMVCPPGEVPVFWPSPLTSLGAVSSCETPLAFASIPGCTVMTDLKDAKAPPGCLTPERIPEVHHISQDPLHYSIASVSASQKIRELESMIGIDPGNRGIGHLLCKDELLKASLSLSHARSVLITTGFPTHFNHEPPEETDGPPGAVALVAFLQALEKEVAIIVDQRAWNLHQKIVEDAVEQGVLKTQIPILTYQGGSVEAAQAFLCKNGDPQTPRFDHLVAIERAGRAADGNYYNARKMNIKHLVDPIDDLFLAAKKIPGISSTGVGDGGNELGMGKVKEAVRRHIRHGDVIACDVEADFAVIAGVSNWGGYALACALYILYSCAVHSQYLRKAVGPSRAPGDQAWTQALPSVIKEEKMLGILVQHKVRSGVSGIVGMEVDGLPFHNTHAEMIQKLVDVTTAQV
+>DECOY_sp|Q7Z3D6|GLUCM_HUMAN D-glutamate cyclase, mitochondrial OS=Homo sapiens OX=9606 GN=DGLUCY PE=1 SV=2
+VQATTVDVLKQIMEAHTNHFPLGDVEMGVIGSVGSRVKHQVLIGLMKEEKIVSPLAQTWAQDGPARSPGVAKRLYQSHVACSYLIYLACALAYGGWNSVGAIVAFDAEVDCAIVDGHRIHRRVAEKVKGMGLENGGDGVGTSSIGPIKKAALFLDDIPDVLHKINMKRANYYNGDAARGAREIAVLHDFRPTQPDGNKCLFAQAAEVSGGQYTLIPIQTKLVGQEVADEVIKQHLNWARQDVIIAVEKELAQLFAVLAVAGPPGDTEEPPEHNFHTPFGTTILVSRAHSLSLSAKLLEDKCLLHGIGRNGPDIGIMSELERIKQSASVSAISYHLPDQSIHHVEPIREPTLCGPPAKADKLDTMVTCGPISAFALPTECSSVAGLSTLPSPWFVPVEGPPCVMADGYAPKSLEKIGLLEPDGMHVPQGQEGGLSCCARVLGELKDKPIPRMTVVLPCCFGAHTVCPVTTQSHGAPDRRPLGAKELAEELSFSCGLFFAVMDKLQESYQELSALSGTCAGFEYKWFQPHGMRTESIAGQPPLMWKEPESQGLLPLPGTNVQCFREFAPALSRPLVVLSAPRLEGAMSSTNRINPKKQLILSRIASPLRSRLHLTFPM
+>sp|Q969I3|GLYL1_HUMAN Glycine N-acyltransferase-like protein 1 OS=Homo sapiens OX=9606 GN=GLYATL1 PE=1 SV=1
+MILLNNSHKLLALYKSLARSIPESLKVYGSVYHINHGNPFNMEVLVDSWPEYQMVIIRPQKQEMTDDMDSYTNVYRMFSKEPQKSEEVLKNCEIVNWKQRLQIQGLQESLGEGIRVATFSKSVKVEHSRALLLVTEDILKLNASSKSKLGSWAETGHPDDEFESETPNFKYAQLDVSYSGLVNDNWKRGKNERSLHYIKRCIEDLPAACMLGPEGVPVSWVTMDPSCEVGMAYSMEKYRRTGNMARVMVRYMKYLRQKNIPFYISVLEENEDSRRFVGQFGFFEASCEWHQWTCYPQNLVPF
+>DECOY_sp|Q969I3|GLYL1_HUMAN Glycine N-acyltransferase-like protein 1 OS=Homo sapiens OX=9606 GN=GLYATL1 PE=1 SV=1
+FPVLNQPYCTWQHWECSAEFFGFQGVFRRSDENEELVSIYFPINKQRLYKMYRVMVRAMNGTRRYKEMSYAMGVECSPDMTVWSVPVGEPGLMCAAPLDEICRKIYHLSRENKGRKWNDNVLGSYSVDLQAYKFNPTESEFEDDPHGTEAWSGLKSKSSANLKLIDETVLLLARSHEVKVSKSFTAVRIGEGLSEQLGQIQLRQKWNVIECNKLVEESKQPEKSFMRYVNTYSDMDDTMEQKQPRIIVMQYEPWSDVLVEMNFPNGHNIHYVSGYVKLSEPISRALSKYLALLKHSNNLLIM
+>sp|O60234|GMFG_HUMAN Glia maturation factor gamma OS=Homo sapiens OX=9606 GN=GMFG PE=1 SV=1
+MSDSLVVCEVDPELTEKLRKFRFRKETDNAAIIMKVDKDRQMVVLEEEFQNISPEELKMELPERQPRFVVYSYKYVHDDGRVSYPLCFIFSSPVGCKPEQQMMYAGSKNRLVQTAELTKVFEIRTTDDLTEAWLQEKLSFFR
+>DECOY_sp|O60234|GMFG_HUMAN Glia maturation factor gamma OS=Homo sapiens OX=9606 GN=GMFG PE=1 SV=1
+RFFSLKEQLWAETLDDTTRIEFVKTLEATQVLRNKSGAYMMQQEPKCGVPSSFIFCLPYSVRGDDHVYKYSYVVFRPQREPLEMKLEEPSINQFEEELVVMQRDKDVKMIIAANDTEKRFRFKRLKETLEPDVECVVLSDSM
+>sp|Q03113|GNA12_HUMAN Guanine nucleotide-binding protein subunit alpha-12 OS=Homo sapiens OX=9606 GN=GNA12 PE=1 SV=4
+MSGVVRTLSRCLLPAEAGGARERRAGSGARDAEREARRRSRDIDALLARERRAVRRLVKILLLGAGESGKSTFLKQMRIIHGREFDQKALLEFRDTIFDNILKGSRVLVDARDKLGIPWQYSENEKHGMFLMAFENKAGLPVEPATFQLYVPALSALWRDSGIREAFSRRSEFQLGESVKYFLDNLDRIGQLNYFPSKQDILLARKATKGIVEHDFVIKKIPFKMVDVGGQRSQRQKWFQCFDGITSILFMVSSSEYDQVLMEDRRTNRLVESMNIFETIVNNKLFFNVSIILFLNKMDLLVEKVKTVSIKKHFPDFRGDPHRLEDVQRYLVQCFDRKRRNRSKPLFHHFTTAIDTENVRFVFHAVKDTILQENLKDIMLQ
+>DECOY_sp|Q03113|GNA12_HUMAN Guanine nucleotide-binding protein subunit alpha-12 OS=Homo sapiens OX=9606 GN=GNA12 PE=1 SV=4
+QLMIDKLNEQLITDKVAHFVFRVNETDIATTFHHFLPKSRNRRKRDFCQVLYRQVDELRHPDGRFDPFHKKISVTKVKEVLLDMKNLFLIISVNFFLKNNVITEFINMSEVLRNTRRDEMLVQDYESSSVMFLISTIGDFCQFWKQRQSRQGGVDVMKFPIKKIVFDHEVIGKTAKRALLIDQKSPFYNLQGIRDLNDLFYKVSEGLQFESRRSFAERIGSDRWLASLAPVYLQFTAPEVPLGAKNEFAMLFMGHKENESYQWPIGLKDRADVLVRSGKLINDFITDRFELLAKQDFERGHIIRMQKLFTSKGSEGAGLLLIKVLRRVARRERALLADIDRSRRRAEREADRAGSGARRERAGGAEAPLLCRSLTRVVGSM
+>sp|Q14344|GNA13_HUMAN Guanine nucleotide-binding protein subunit alpha-13 OS=Homo sapiens OX=9606 GN=GNA13 PE=1 SV=2
+MADFLPSRSVLSVCFPGCLLTSGEAEQQRKSKEIDKCLSREKTYVKRLVKILLLGAGESGKSTFLKQMRIIHGQDFDQRAREEFRPTIYSNVIKGMRVLVDAREKLHIPWGDNSNQQHGDKMMSFDTRAPMAAQGMVETRVFLQYLPAIRALWADSGIQNAYDRRREFQLGESVKYFLDNLDKLGEPDYIPSQQDILLARRPTKGIHEYDFEIKNVPFKMVDVGGQRSERKRWFECFDSVTSILFLVSSSEFDQVLMEDRLTNRLTESLNIFETIVNNRVFSNVSIILFLNKTDLLEEKVQIVSIKDYFLEFEGDPHCLRDVQKFLVECFRNKRRDQQQKPLYHHFTTAINTENIRLVFRDVKDTILHDNLKQLMLQ
+>DECOY_sp|Q14344|GNA13_HUMAN Guanine nucleotide-binding protein subunit alpha-13 OS=Homo sapiens OX=9606 GN=GNA13 PE=1 SV=2
+QLMLQKLNDHLITDKVDRFVLRINETNIATTFHHYLPKQQQDRRKNRFCEVLFKQVDRLCHPDGEFELFYDKISVIQVKEELLDTKNLFLIISVNSFVRNNVITEFINLSETLRNTLRDEMLVQDFESSSVLFLISTVSDFCEFWRKRESRQGGVDVMKFPVNKIEFDYEHIGKTPRRALLIDQQSPIYDPEGLKDLNDLFYKVSEGLQFERRRDYANQIGSDAWLARIAPLYQLFVRTEVMGQAAMPARTDFSMMKDGHQQNSNDGWPIHLKERADVLVRMGKIVNSYITPRFEERARQDFDQGHIIRMQKLFTSKGSEGAGLLLIKVLRKVYTKERSLCKDIEKSKRQQEAEGSTLLCGPFCVSLVSRSPLFDAM
+>sp|P63096|GNAI1_HUMAN Guanine nucleotide-binding protein G(i) subunit alpha-1 OS=Homo sapiens OX=9606 GN=GNAI1 PE=1 SV=2
+MGCTLSAEDKAAVERSKMIDRNLREDGEKAAREVKLLLLGAGESGKSTIVKQMKIIHEAGYSEEECKQYKAVVYSNTIQSIIAIIRAMGRLKIDFGDSARADDARQLFVLAGAAEEGFMTAELAGVIKRLWKDSGVQACFNRSREYQLNDSAAYYLNDLDRIAQPNYIPTQQDVLRTRVKTTGIVETHFTFKDLHFKMFDVGGQRSERKKWIHCFEGVTAIIFCVALSDYDLVLAEDEEMNRMHESMKLFDSICNNKWFTDTSIILFLNKKDLFEEKIKKSPLTICYPEYAGSNTYEEAAAYIQCQFEDLNKRKDTKEIYTHFTCATDTKNVQFVFDAVTDVIIKNNLKDCGLF
+>DECOY_sp|P63096|GNAI1_HUMAN Guanine nucleotide-binding protein G(i) subunit alpha-1 OS=Homo sapiens OX=9606 GN=GNAI1 PE=1 SV=2
+FLGCDKLNNKIIVDTVADFVFQVNKTDTACTFHTYIEKTDKRKNLDEFQCQIYAAAEEYTNSGAYEPYCITLPSKKIKEEFLDKKNLFLIISTDTFWKNNCISDFLKMSEHMRNMEEDEALVLDYDSLAVCFIIATVGEFCHIWKKRESRQGGVDFMKFHLDKFTFHTEVIGTTKVRTRLVDQQTPIYNPQAIRDLDNLYYAASDNLQYERSRNFCAQVGSDKWLRKIVGALEATMFGEEAAGALVFLQRADDARASDGFDIKLRGMARIIAIISQITNSYVVAKYQKCEEESYGAEHIIKMQKVITSKGSEGAGLLLLKVERAAKEGDERLNRDIMKSREVAAKDEASLTCGM
+>sp|P63092|GNAS2_HUMAN Guanine nucleotide-binding protein G(s) subunit alpha isoforms short OS=Homo sapiens OX=9606 GN=GNAS PE=1 SV=1
+MGCLGNSKTEDQRNEEKAQREANKKIEKQLQKDKQVYRATHRLLLLGAGESGKSTIVKQMRILHVNGFNGEGGEEDPQAARSNSDGEKATKVQDIKNNLKEAIETIVAAMSNLVPPVELANPENQFRVDYILSVMNVPDFDFPPEFYEHAKALWEDEGVRACYERSNEYQLIDCAQYFLDKIDVIKQADYVPSDQDLLRCRVLTSGIFETKFQVDKVNFHMFDVGGQRDERRKWIQCFNDVTAIIFVVASSSYNMVIREDNQTNRLQEALNLFKSIWNNRWLRTISVILFLNKQDLLAEKVLAGKSKIEDYFPEFARYTTPEDATPEPGEDPRVTRAKYFIRDEFLRISTASGDGRHYCYPHFTCAVDTENIRRVFNDCRDIIQRMHLRQYELL
+>DECOY_sp|P63092|GNAS2_HUMAN Guanine nucleotide-binding protein G(s) subunit alpha isoforms short OS=Homo sapiens OX=9606 GN=GNAS PE=1 SV=1
+LLEYQRLHMRQIIDRCDNFVRRINETDVACTFHPYCYHRGDGSATSIRLFEDRIFYKARTVRPDEGPEPTADEPTTYRAFEPFYDEIKSKGALVKEALLDQKNLFLIVSITRLWRNNWISKFLNLAEQLRNTQNDERIVMNYSSSAVVFIIATVDNFCQIWKRREDRQGGVDFMHFNVKDVQFKTEFIGSTLVRCRLLDQDSPVYDAQKIVDIKDLFYQACDILQYENSREYCARVGEDEWLAKAHEYFEPPFDFDPVNMVSLIYDVRFQNEPNALEVPPVLNSMAAVITEIAEKLNNKIDQVKTAKEGDSNSRAAQPDEEGGEGNFGNVHLIRMQKVITSKGSEGAGLLLLRHTARYVQKDKQLQKEIKKNAERQAKEENRQDETKSNGLCGM
+>sp|P19086|GNAZ_HUMAN Guanine nucleotide-binding protein G(z) subunit alpha OS=Homo sapiens OX=9606 GN=GNAZ PE=1 SV=3
+MGCRQSSEEKEAARRSRRIDRHLRSESQRQRREIKLLLLGTSNSGKSTIVKQMKIIHSGGFNLEACKEYKPLIIYNAIDSLTRIIRALAALRIDFHNPDRAYDAVQLFALTGPAESKGEITPELLGVMRRLWADPGAQACFSRSSEYHLEDNAAYYLNDLERIAAADYIPTVEDILRSRDMTTGIVENKFTFKELTFKMVDVGGQRSERKKWIHCFEGVTAIIFCVELSGYDLKLYEDNQTSRMAESLRLFDSICNNNWFINTSLILFLNKKDLLAEKIRRIPLTICFPEYKGQNTYEEAAVYIQRQFEDLNRNKETKEIYSHFTCATDTSNIQFVFDAVTDVIIQNNLKYIGLC
+>DECOY_sp|P19086|GNAZ_HUMAN Guanine nucleotide-binding protein G(z) subunit alpha OS=Homo sapiens OX=9606 GN=GNAZ PE=1 SV=3
+CLGIYKLNNQIIVDTVADFVFQINSTDTACTFHSYIEKTEKNRNLDEFQRQIYVAAEEYTNQGKYEPFCITLPIRRIKEALLDKKNLFLILSTNIFWNNNCISDFLRLSEAMRSTQNDEYLKLDYGSLEVCFIIATVGEFCHIWKKRESRQGGVDVMKFTLEKFTFKNEVIGTTMDRSRLIDEVTPIYDAAAIRELDNLYYAANDELHYESSRSFCAQAGPDAWLRRMVGLLEPTIEGKSEAPGTLAFLQVADYARDPNHFDIRLAALARIIRTLSDIANYIILPKYEKCAELNFGGSHIIKMQKVITSKGSNSTGLLLLKIERRQRQSESRLHRDIRRSRRAAEKEESSQRCGM
+>sp|O14775|GNB5_HUMAN Guanine nucleotide-binding protein subunit beta-5 OS=Homo sapiens OX=9606 GN=GNB5 PE=1 SV=2
+MCDQTFLVNVFGSCDKCFKQRALRPVFKKSQQLSYCSTCAEIMATEGLHENETLASLKSEAESLKGKLEEERAKLHDVELHQVAERVEALGQFVMKTRRTLKGHGNKVLCMDWCKDKRRIVSSSQDGKVIVWDSFTTNKEHAVTMPCTWVMACAYAPSGCAIACGGLDNKCSVYPLTFDKNENMAAKKKSVAMHTNYLSACSFTNSDMQILTASGDGTCALWDVESGQLLQSFHGHGADVLCLDLAPSETGNTFVSGGCDKKAMVWDMRSGQCVQAFETHESDINSVRYYPSGDAFASGSDDATCRLYDLRADREVAIYSKESIIFGASSVDFSLSGRLLFAGYNDYTINVWDVLKGSRVSILFGHENRVSTLRVSPDGTAFCSGSWDHTLRVWA
+>DECOY_sp|O14775|GNB5_HUMAN Guanine nucleotide-binding protein subunit beta-5 OS=Homo sapiens OX=9606 GN=GNB5 PE=1 SV=2
+AWVRLTHDWSGSCFATGDPSVRLTSVRNEHGFLISVRSGKLVDWVNITYDNYGAFLLRGSLSFDVSSAGFIISEKSYIAVERDARLDYLRCTADDSGSAFADGSPYYRVSNIDSEHTEFAQVCQGSRMDWVMAKKDCGGSVFTNGTESPALDLCLVDAGHGHFSQLLQGSEVDWLACTGDGSATLIQMDSNTFSCASLYNTHMAVSKKKAAMNENKDFTLPYVSCKNDLGGCAIACGSPAYACAMVWTCPMTVAHEKNTTFSDWVIVKGDQSSSVIRRKDKCWDMCLVKNGHGKLTRRTKMVFQGLAEVREAVQHLEVDHLKAREEELKGKLSEAESKLSALTENEHLGETAMIEACTSCYSLQQSKKFVPRLARQKFCKDCSGFVNVLFTQDCM
+>sp|Q9BVP2|GNL3_HUMAN Guanine nucleotide-binding protein-like 3 OS=Homo sapiens OX=9606 GN=GNL3 PE=1 SV=2
+MKRPKLKKASKRMTCHKRYKIQKKVREHHRKLRKEAKKRGHKKPRKDPGVPNSAPFKEALLREAELRKQRLEELKQQQKLDRQKELEKKRKLETNPDIKPSNVEPMEKEFGLCKTENKAKSGKQNSKKLYCQELKKVIEASDVVLEVLDARDPLGCRCPQVEEAIVQSGQKKLVLILNKSDLVPKENLESWLNYLKKELPTVVFRASTKPKDKGKITKRVKAKKNAAPFRSEVCFGKEGLWKLLGGFQETCSKAIRVGVIGFPNVGKSSIINSLKQEQMCNVGVSMGLTRSMQVVPLDKQITIIDSPSFIVSPLNSSSALALRSPASIEVVKPMEAASAILSQADARQVVLKYTVPGYRNSLEFFTVLAQRRGMHQKGGIPNVEGAAKLLWSEWTGASLAYYCHPPTSWTPPPYFNESIVVDMKSGFNLEELEKNNAQSIRAIKGPHLANSILFQSSGLTNGIIEEKDIHEELPKRKERKQEEREDDKDSDQETVDEEVDENSSGMFAAEETGEALSEETTAGEQSTRSFILDKIIEEDDAYDFSTDYV
+>DECOY_sp|Q9BVP2|GNL3_HUMAN Guanine nucleotide-binding protein-like 3 OS=Homo sapiens OX=9606 GN=GNL3 PE=1 SV=2
+VYDTSFDYADDEEIIKDLIFSRTSQEGATTEESLAEGTEEAAFMGSSNEDVEEDVTEQDSDKDDEREEQKREKRKPLEEHIDKEEIIGNTLGSSQFLISNALHPGKIARISQANNKELEELNFGSKMDVVISENFYPPPTWSTPPHCYYALSAGTWESWLLKAAGEVNPIGGKQHMGRRQALVTFFELSNRYGPVTYKLVVQRADAQSLIASAAEMPKVVEISAPSRLALASSSNLPSVIFSPSDIITIQKDLPVVQMSRTLGMSVGVNCMQEQKLSNIISSKGVNPFGIVGVRIAKSCTEQFGGLLKWLGEKGFCVESRFPAANKKAKVRKTIKGKDKPKTSARFVVTPLEKKLYNLWSELNEKPVLDSKNLILVLKKQGSQVIAEEVQPCRCGLPDRADLVELVVDSAEIVKKLEQCYLKKSNQKGSKAKNETKCLGFEKEMPEVNSPKIDPNTELKRKKELEKQRDLKQQQKLEELRQKRLEAERLLAEKFPASNPVGPDKRPKKHGRKKAEKRLKRHHERVKKQIKYRKHCTMRKSAKKLKPRKM
+>sp|P46926|GNPI1_HUMAN Glucosamine-6-phosphate isomerase 1 OS=Homo sapiens OX=9606 GN=GNPDA1 PE=1 SV=1
+MKLIILEHYSQASEWAAKYIRNRIIQFNPGPEKYFTLGLPTGSTPLGCYKKLIEYYKNGDLSFKYVKTFNMDEYVGLPRDHPESYHSFMWNNFFKHIDIHPENTHILDGNAVDLQAECDAFEEKIKAAGGIELFVGGIGPDGHIAFNEPGSSLVSRTRVKTLAMDTILANARFFDGELTKVPTMALTVGVGTVMDAREVMILITGAHKAFALYKAIEEGVNHMWTVSAFQQHPRTVFVCDEDATLELKVKTVKYFKGLMLVHNKLVDPLYSIKEKETEKSQSSKKPYSD
+>DECOY_sp|P46926|GNPI1_HUMAN Glucosamine-6-phosphate isomerase 1 OS=Homo sapiens OX=9606 GN=GNPDA1 PE=1 SV=1
+DSYPKKSSQSKETEKEKISYLPDVLKNHVLMLGKFYKVTKVKLELTADEDCVFVTRPHQQFASVTWMHNVGEEIAKYLAFAKHAGTILIMVERADMVTGVGVTLAMTPVKTLEGDFFRANALITDMALTKVRTRSVLSSGPENFAIHGDPGIGGVFLEIGGAAKIKEEFADCEAQLDVANGDLIHTNEPHIDIHKFFNNWMFSHYSEPHDRPLGVYEDMNFTKVYKFSLDGNKYYEILKKYCGLPTSGTPLGLTFYKEPGPNFQIIRNRIYKAAWESAQSYHELIILKM
+>sp|Q8TDQ7|GNPI2_HUMAN Glucosamine-6-phosphate isomerase 2 OS=Homo sapiens OX=9606 GN=GNPDA2 PE=1 SV=1
+MRLVILDNYDLASEWAAKYICNRIIQFKPGQDRYFTLGLPTGSTPLGCYKKLIEYHKNGHLSFKYVKTFNMDEYVGLPRNHPESYHSYMWNNFFKHIDIDPNNAHILDGNAADLQAECDAFENKIKEAGGIDLFVGGIGPDGHIAFNEPGSSLVSRTRLKTLAMDTILANAKYFDGDLSKVPTMALTVGVGTVMDAREVMILITGAHKAFALYKAIEEGVNHMWTVSAFQQHPRTIFVCDEDATLELRVKTVKYFKGLMHVHNKLVDPLFSMKDGN
+>DECOY_sp|Q8TDQ7|GNPI2_HUMAN Glucosamine-6-phosphate isomerase 2 OS=Homo sapiens OX=9606 GN=GNPDA2 PE=1 SV=1
+NGDKMSFLPDVLKNHVHMLGKFYKVTKVRLELTADEDCVFITRPHQQFASVTWMHNVGEEIAKYLAFAKHAGTILIMVERADMVTGVGVTLAMTPVKSLDGDFYKANALITDMALTKLRTRSVLSSGPENFAIHGDPGIGGVFLDIGGAEKIKNEFADCEAQLDAANGDLIHANNPDIDIHKFFNNWMYSHYSEPHNRPLGVYEDMNFTKVYKFSLHGNKHYEILKKYCGLPTSGTPLGLTFYRDQGPKFQIIRNCIYKAAWESALDYNDLIVLRM
+>sp|Q5T6J7|GNTK_HUMAN Probable gluconokinase OS=Homo sapiens OX=9606 GN=IDNK PE=1 SV=1
+MAAPGALLVMGVSGSGKSTVGALLASELGWKFYDADDYHPEENRRKMGKGIPLNDQDRIPWLCNLHDILLRDVASGQRVVLACSALKKTYRDILTQGKDGVALKCEESGKEAKQAEMQLLVVHLSGSFEVISGRLLKREGHFMPPELLQSQFETLEPPAAPENFIQISVDKNVSEIIATIMETLKMK
+>DECOY_sp|Q5T6J7|GNTK_HUMAN Probable gluconokinase OS=Homo sapiens OX=9606 GN=IDNK PE=1 SV=1
+KMKLTEMITAIIESVNKDVSIQIFNEPAAPPELTEFQSQLLEPPMFHGERKLLRGSIVEFSGSLHVVLLQMEAQKAEKGSEECKLAVGDKGQTLIDRYTKKLASCALVVRQGSAVDRLLIDHLNCLWPIRDQDNLPIGKGMKRRNEEPHYDDADYFKWGLESALLAGVTSKGSGSVGMVLLAGPAAM
+>sp|A6NC78|GOG8I_HUMAN Putative golgin subfamily A member 8I OS=Homo sapiens OX=9606 GN=GOLGA8IP PE=5 SV=2
+MAEETQHNKLAAAKKKLKEYWQKNSPRVPAGANRNRKTNGSIPQTATSGGCQPPGDSATGFHREGPTSSATLKDLESPCQERAVVLDSRSVEISQLKNTIKSLKQQKKQVEHQLEEEKKANIKKQKAKRVLEVQIQTLNIQKEELNTDLYHMKRSLRYFEEKSKDLAVRLQHSLQRKGELESVLSDVMATQKKKANQLSSPSKAGTEWKLEQSMREEALLKVQLTQLKESFQQLQLERHEYAEHLKGERARWQQRMRKMSQEICTLKKEKQQDMRRVEKLERSLSKLKNQMAEPLPPEPPAVPSEVELQHLRKELERVAGALQAQVKNNQRISLLNRGQEERIREQEERLRKQEERIQEQHKSLQQLAKPQSVFEEPNNENKSALQLEQQVKELQEKLGEEHLEAASQQNQQLTAQLSLMALPGEGHGGEHLDSEGEEAPQPMPSVPEDLESREAMSSFMDHLEEKADLSELVKKKELCFIHHWRERCHQKTHHLLSEPGGRAKDAALGGGHHQAGAQGGDEGEAAGAAADGIAAYSNYNNGHRKFLAAAHNPADEPGPGAPAPQELGAADKHGDLCEVSLTSSAQGEAREDPLLDKPTAQPIVQDHQEHPGLGSNCCVPFFCWAWLPRRRR
+>DECOY_sp|A6NC78|GOG8I_HUMAN Putative golgin subfamily A member 8I OS=Homo sapiens OX=9606 GN=GOLGA8IP PE=5 SV=2
+RRRRPLWAWCFFPVCCNSGLGPHEQHDQVIPQATPKDLLPDERAEGQASSTLSVECLDGHKDAAGLEQPAPAGPGPEDAPNHAAALFKRHGNNYNSYAAIGDAAAGAAEGEDGGQAGAQHHGGGLAADKARGGPESLLHHTKQHCRERWHHIFCLEKKKVLESLDAKEELHDMFSSMAERSELDEPVSPMPQPAEEGESDLHEGGHGEGPLAMLSLQATLQQNQQSAAELHEEGLKEQLEKVQQELQLASKNENNPEEFVSQPKALQQLSKHQEQIREEQKRLREEQERIREEQGRNLLSIRQNNKVQAQLAGAVRELEKRLHQLEVESPVAPPEPPLPEAMQNKLKSLSRELKEVRRMDQQKEKKLTCIEQSMKRMRQQWRAREGKLHEAYEHRELQLQQFSEKLQTLQVKLLAEERMSQELKWETGAKSPSSLQNAKKKQTAMVDSLVSELEGKRQLSHQLRVALDKSKEEFYRLSRKMHYLDTNLEEKQINLTQIQVELVRKAKQKKINAKKEEELQHEVQKKQQKLSKITNKLQSIEVSRSDLVVAREQCPSELDKLTASSTPGERHFGTASDGPPQCGGSTATQPISGNTKRNRNAGAPVRPSNKQWYEKLKKKAAALKNHQTEEAM
+>sp|H3BSY2|GOG8M_HUMAN Golgin subfamily A member 8M OS=Homo sapiens OX=9606 GN=GOLGA8M PE=3 SV=1
+MAEETQHNKLAAAKKKLKEYWQKNSPRVPAGANRNRKTNGSIPQTATSGGCQPPGDSATGFHREGPTSSATLKDLESPCQERAVVLDSRSVEISQLKNTIKSLKQQKKQVEHQLEEEKKANNKKQKAKRVLEVQLQTLNIQKEELNTDLYHMKRSLRYFEEKSKDLAVRLQHSLQRKGELESVLSDVMATQKKKANQLSSPSKAGTEWKLEQSMREEALLKVQLTQLKESFQQVQLERDEYSEHLKGERARWQQRMRKMSQEICTLKKEKQQDMRRVEKLERSLSKLKNQMAEPLPPEPPAVPSEVELQHLRKELERVAGELQAQVKNNQRISLLNQRQEERIREQEERLRKQEERIQEQHKSLQQLAKPQSVFEEPNNENKSTLQLEQQVKELQEKLGEEHLEAASQQNQQLTAQLSLMALPGEGHGGEHLDSEGEEAPQPMPSVPEDPESREAMSSFMDHLEEKADLSELVKKQELRFIQYWQERCHQKIHHLLSEPGGRAKDAALGGGHHQAGAQGGDEGEAAGAAADGIAAYSNYNNGHRKFLAAAHNSADEPGPGAPAPQELGAADKHGDLCEVSLTSSAQGEAREDPLLDKPTAQPIVQDHQEHPGLGSNCCVPFFCWAWLPRRRR
+>DECOY_sp|H3BSY2|GOG8M_HUMAN Golgin subfamily A member 8M OS=Homo sapiens OX=9606 GN=GOLGA8M PE=3 SV=1
+RRRRPLWAWCFFPVCCNSGLGPHEQHDQVIPQATPKDLLPDERAEGQASSTLSVECLDGHKDAAGLEQPAPAGPGPEDASNHAAALFKRHGNNYNSYAAIGDAAAGAAEGEDGGQAGAQHHGGGLAADKARGGPESLLHHIKQHCREQWYQIFRLEQKKVLESLDAKEELHDMFSSMAERSEPDEPVSPMPQPAEEGESDLHEGGHGEGPLAMLSLQATLQQNQQSAAELHEEGLKEQLEKVQQELQLTSKNENNPEEFVSQPKALQQLSKHQEQIREEQKRLREEQERIREEQRQNLLSIRQNNKVQAQLEGAVRELEKRLHQLEVESPVAPPEPPLPEAMQNKLKSLSRELKEVRRMDQQKEKKLTCIEQSMKRMRQQWRAREGKLHESYEDRELQVQQFSEKLQTLQVKLLAEERMSQELKWETGAKSPSSLQNAKKKQTAMVDSLVSELEGKRQLSHQLRVALDKSKEEFYRLSRKMHYLDTNLEEKQINLTQLQVELVRKAKQKKNNAKKEEELQHEVQKKQQKLSKITNKLQSIEVSRSDLVVAREQCPSELDKLTASSTPGERHFGTASDGPPQCGGSTATQPISGNTKRNRNAGAPVRPSNKQWYEKLKKKAAALKNHQTEEAM
+>sp|A6NCC3|GOG8O_HUMAN Golgin subfamily A member 8O OS=Homo sapiens OX=9606 GN=GOLGA8O PE=1 SV=3
+MAEETQHNKLAAAKKKLKEYWQKNRPRVPAGVNRNRKTNGSIPETATSGGCQPPGDSATGFHREGPTSSATLKDLESPCQERAVVLDSTSVKISRLKNTIKSLKQQKKQVEHQLEEEKKANNERQKAERELEVQIQTLIIQKEELNTDLYHMERSLRYFEEESKDLAVRLQHSLQCKGELESALSAVIATEKKKANQLSSCSKAHTEWELEQSLQDQALLKAQLTQLKESFQQLQLERDECAEHIEGERARWHQRMSKMSQEICTLKKEKQQDMRRVEELERSLSKLKNQMAEPLPPEPPAVPSEVELQHLRKELERVAGELQSQVKNNQHISLLNRRQEERIREQEERLRKQEERLQEQHEKLRQLAKPQSVFEELNNENKSTLQLEQQVKELQEKLGEEHLEAASQQNQQLTAQLSLMALPGEGHGGEHLDSEGEEAPRPMPSVPEDPESREAMSSFMDHLKEKADLSELVKKQELRFIQYWQERCHQKIHHLLSEPGGRAKDAALGGGHHQAGAQGGDEGEAAGAAADGIAAYSNYNNGHRKFLAAAHNSADEPGPGAPAPQELGAADKHGDLREVTLTSSAQGEAREDPLLDKPTAQPIVQDHQEHPGLGSNCCVPLFCWAWLPRRRR
+>DECOY_sp|A6NCC3|GOG8O_HUMAN Golgin subfamily A member 8O OS=Homo sapiens OX=9606 GN=GOLGA8O PE=1 SV=3
+RRRRPLWAWCFLPVCCNSGLGPHEQHDQVIPQATPKDLLPDERAEGQASSTLTVERLDGHKDAAGLEQPAPAGPGPEDASNHAAALFKRHGNNYNSYAAIGDAAAGAAEGEDGGQAGAQHHGGGLAADKARGGPESLLHHIKQHCREQWYQIFRLEQKKVLESLDAKEKLHDMFSSMAERSEPDEPVSPMPRPAEEGESDLHEGGHGEGPLAMLSLQATLQQNQQSAAELHEEGLKEQLEKVQQELQLTSKNENNLEEFVSQPKALQRLKEHQEQLREEQKRLREEQERIREEQRRNLLSIHQNNKVQSQLEGAVRELEKRLHQLEVESPVAPPEPPLPEAMQNKLKSLSRELEEVRRMDQQKEKKLTCIEQSMKSMRQHWRAREGEIHEACEDRELQLQQFSEKLQTLQAKLLAQDQLSQELEWETHAKSCSSLQNAKKKETAIVASLASELEGKCQLSHQLRVALDKSEEEFYRLSREMHYLDTNLEEKQIILTQIQVELEREAKQRENNAKKEEELQHEVQKKQQKLSKITNKLRSIKVSTSDLVVAREQCPSELDKLTASSTPGERHFGTASDGPPQCGGSTATEPISGNTKRNRNVGAPVRPRNKQWYEKLKKKAAALKNHQTEEAM
+>sp|Q92805|GOGA1_HUMAN Golgin subfamily A member 1 OS=Homo sapiens OX=9606 GN=GOLGA1 PE=1 SV=3
+MFAKLKKKIAEETAVAQRPGGATRIPRSVSKESVASMGADSGDDFASDGSSSREDLSSQLLRRNEQIRKLEARLSDYAEQVRNLQKIKEKLEIALEKHQDSSMRKFQEQNETFQANRAKMAEGLALALARKDQEWSEKMDQLEKEKNILTAQLQEMKNQSMNLFQRRDEMDELEGFQQQELSKIKHMLLKKEESLGKMEQELEARTRELSRTQEELMNSNQMSSDLSQKLEELQRHYSTLEEQRDHVIASKTGAESKITALEQKEQELQALIQQLSIDLQKVTAETQEKEDVITHLQEKVASLEKRLEQNLSGEEHLQELLKEKTLAEQNLEDTRQQLLAARSSQAKAINTLETRVRELEQTLQASEEQLQQSKGIVAAQETQIQELAAANQESSHVQQQALALEQQFLERTQALEAQIVALERTRAADQTTAEQGMRQLEQENAALKECRNEYERSLQNHQFELKKLKEEWSQREIVSVAMAQALEEVRKQREEFQQQAANLTAIIDEKEQNLREKTEVLLQKEQEILQLERGHNSALLQIHQLQAELEALRTLKAEEAAVVAEQEDLLRLRGPLQAEALSVNESHVTSRAMQDPVFQLPTAGRTPNGEVGAMDLTQLQKEKQDLEQQLLEKNKTIKQMQQRMLELRKTLQKELKIRPDNELFEVREKPGPEMANMAPSVTNNTDLTDAREINFEYLKHVVLKFMSCRESEAFHLIKAVSVLLNFSQEEENMLKETLEYKMSWFGSKPAPKGSIRPSISNPRIPWS
+>DECOY_sp|Q92805|GOGA1_HUMAN Golgin subfamily A member 1 OS=Homo sapiens OX=9606 GN=GOLGA1 PE=1 SV=3
+SWPIRPNSISPRISGKPAPKSGFWSMKYELTEKLMNEEEQSFNLLVSVAKILHFAESERCSMFKLVVHKLYEFNIERADTLDTNNTVSPAMNAMEPGPKERVEFLENDPRIKLEKQLTKRLELMRQQMQKITKNKELLQQELDQKEKQLQTLDMAGVEGNPTRGATPLQFVPDQMARSTVHSENVSLAEAQLPGRLRLLDEQEAVVAAEEAKLTRLAELEAQLQHIQLLASNHGRELQLIEQEKQLLVETKERLNQEKEDIIATLNAAQQQFEERQKRVEELAQAMAVSVIERQSWEEKLKKLEFQHNQLSREYENRCEKLAANEQELQRMGQEATTQDAARTRELAVIQAELAQTRELFQQELALAQQQVHSSEQNAAALEQIQTEQAAVIGKSQQLQEESAQLTQELERVRTELTNIAKAQSSRAALLQQRTDELNQEALTKEKLLEQLHEEGSLNQELRKELSAVKEQLHTIVDEKEQTEATVKQLDISLQQILAQLEQEKQELATIKSEAGTKSAIVHDRQEELTSYHRQLEELKQSLDSSMQNSNMLEEQTRSLERTRAELEQEMKGLSEEKKLLMHKIKSLEQQQFGELEDMEDRRQFLNMSQNKMEQLQATLINKEKELQDMKESWEQDKRALALALGEAMKARNAQFTENQEQFKRMSSDQHKELAIELKEKIKQLNRVQEAYDSLRAELKRIQENRRLLQSSLDERSSSGDSAFDDGSDAGMSAVSEKSVSRPIRTAGGPRQAVATEEAIKKKLKAFM
+>sp|Q08379|GOGA2_HUMAN Golgin subfamily A member 2 OS=Homo sapiens OX=9606 GN=GOLGA2 PE=1 SV=3
+MWPQPRLPPRPAMSEETRQSKLAAAKKKLREYQQRNSPGVPTGAKKKKKIKNGSNPETTTSGGCHSPEDTPKDNAATLQPSDDTVLPGGVPSPGASLTSMAASQNHDADNVPNLMDETKTFSSTESLRQLSQQLNGLVCESATCVNGEGPASSANLKDLESRYQQLAVALDSSYVTNKQLNITIEKLKQQNQEITDQLEEEKKECHQKQGALREQLQVHIQTIGILVSEKAELQTALAHTQHAARQKEGESEDLASRLQYSRRRVGELERALSAVSTQQKKADRYNKELTKERDALRLELYKNTQSNEDLKQEKSELEEKLRVLVTEKAGMQLNLEELQKKLEMTELLLQQFSSRCEAPDANQQLQQAMEERAQLEAHLGQVMESVRQLQMERDKYAENLKGESAMWRQRMQQMSEQVHTLREEKECSMSRVQELETSLAELRNQMAEPPPPEPPAGPSEVEQQLQAEAEHLRKELEGLAGQLQAQVQDNEGLSRLNREQEERLLELERAAELWGEQAEARRQILETMQNDRTTISRALSQNRELKEQLAELQSGFVKLTNENMEITSALQSEQHVKRELGKKLGELQEKLSELKETVELKSQEAQSLQQQRDQYLGHLQQYVAAYQQLTSEKEVLHNQLLLQTQLVDQLQQQEAQGKAVAEMARQELQETQERLEAATQQNQQLRAQLSLMAHPGEGDGLDREEEEDEEEEEEEAVAVPQPMPSIPEDLESREAMVAFFNSAVASAEEEQARLRGQLKEQRVRCRRLAHLLASAQKEPEAAAPAPGTGGDSVCGETHRALQGAMEKLQSRFMELMQEKADLKERVEELEHRCIQLSGETDTIGEYIALYQSQRAVLKERHREKEEYISRLAQDKEEMKVKLLELQELVLRLVGDRNEWHGRFLAAAQNPADEPTSGAPAPQELGAANQQGDLCEVSLAGSVEPAQGEAREGSPRDNPTAQQIMQLLREMQNPRERPGLGSNPCIPFFYRADENDEVKITVI
+>DECOY_sp|Q08379|GOGA2_HUMAN Golgin subfamily A member 2 OS=Homo sapiens OX=9606 GN=GOLGA2 PE=1 SV=3
+IVTIKVEDNEDARYFFPICPNSGLGPRERPNQMERLLQMIQQATPNDRPSGERAEGQAPEVSGALSVECLDGQQNAAGLEQPAPAGSTPEDAPNQAAALFRGHWENRDGVLRLVLEQLELLKVKMEEKDQALRSIYEEKERHREKLVARQSQYLAIYEGITDTEGSLQICRHELEEVREKLDAKEQMLEMFRSQLKEMAGQLARHTEGCVSDGGTGPAPAAAEPEKQASALLHALRRCRVRQEKLQGRLRAQEEEASAVASNFFAVMAERSELDEPISPMPQPVAVAEEEEEEEDEEEERDLGDGEGPHAMLSLQARLQQNQQTAAELREQTEQLEQRAMEAVAKGQAEQQQLQDVLQTQLLLQNHLVEKESTLQQYAAVYQQLHGLYQDRQQQLSQAEQSKLEVTEKLESLKEQLEGLKKGLERKVHQESQLASTIEMNENTLKVFGSQLEALQEKLERNQSLARSITTRDNQMTELIQRRAEAQEGWLEAARELELLREEQERNLRSLGENDQVQAQLQGALGELEKRLHEAEAQLQQEVESPGAPPEPPPPEAMQNRLEALSTELEQVRSMSCEKEERLTHVQESMQQMRQRWMASEGKLNEAYKDREMQLQRVSEMVQGLHAELQAREEMAQQLQQNADPAECRSSFQQLLLETMELKKQLEELNLQMGAKETVLVRLKEELESKEQKLDENSQTNKYLELRLADREKTLEKNYRDAKKQQTSVASLARELEGVRRRSYQLRSALDESEGEKQRAAHQTHALATQLEAKESVLIGITQIHVQLQERLAGQKQHCEKKEEELQDTIEQNQQKLKEITINLQKNTVYSSDLAVALQQYRSELDKLNASSAPGEGNVCTASECVLGNLQQSLQRLSETSSFTKTEDMLNPVNDADHNQSAAMSTLSAGPSPVGGPLVTDDSPQLTAANDKPTDEPSHCGGSTTTEPNSGNKIKKKKKAGTPVGPSNRQQYERLKKKAAALKSQRTEESMAPRPPLRPQPWM
+>sp|Q9BQQ3|GORS1_HUMAN Golgi reassembly-stacking protein 1 OS=Homo sapiens OX=9606 GN=GORASP1 PE=1 SV=3
+MGLGVSAEQPAGGAEGFHLHGVQENSPAQQAGLEPYFDFIITIGHSRLNKENDTLKALLKANVEKPVKLEVFNMKTMRVREVEVVPSNMWGGQGLLGASVRFCSFRRASEQVWHVLDVEPSSPAALAGLRPYTDYVVGSDQILQESEDFFTLIESHEGKPLKLMVYNSKSDSCREVTVTPNAAWGGEGSLGCGIGYGYLHRIPTQPPSYHKKPPGTPPPSALPLGAPPPDALPPGPTPEDSPSLETGSRQSDYMEALLQAPGSSMEDPLPGPGSPSHSAPDPDGLPHFMETPLQPPPPVQRVMDPGFLDVSGISLLDNSNASVWPSLPSSTELTTTAVSTSGPEDICSSSSSHERGGEATWSGSEFEVSFLDSPGAQAQADHLPQLTLPDSLTSAASPEDGLSAELLEAQAEEEPASTEGLDTGTEAEGLDSQAQISTTE
+>DECOY_sp|Q9BQQ3|GORS1_HUMAN Golgi reassembly-stacking protein 1 OS=Homo sapiens OX=9606 GN=GORASP1 PE=1 SV=3
+ETTSIQAQSDLGEAETGTDLGETSAPEEEAQAELLEASLGDEPSAASTLSDPLTLQPLHDAQAQAGPSDLFSVEFESGSWTAEGGREHSSSSSCIDEPGSTSVATTTLETSSPLSPWVSANSNDLLSIGSVDLFGPDMVRQVPPPPQLPTEMFHPLGDPDPASHSPSGPGPLPDEMSSGPAQLLAEMYDSQRSGTELSPSDEPTPGPPLADPPPAGLPLASPPPTGPPKKHYSPPQTPIRHLYGYGIGCGLSGEGGWAANPTVTVERCSDSKSNYVMLKLPKGEHSEILTFFDESEQLIQDSGVVYDTYPRLGALAAPSSPEVDLVHWVQESARRFSCFRVSAGLLGQGGWMNSPVVEVERVRMTKMNFVELKVPKEVNAKLLAKLTDNEKNLRSHGITIIFDFYPELGAQQAPSNEQVGHLHFGEAGGAPQEASVGLGM
+>sp|Q9H8Y8|GORS2_HUMAN Golgi reassembly-stacking protein 2 OS=Homo sapiens OX=9606 GN=GORASP2 PE=1 SV=3
+MGSSQSVEIPGGGTEGYHVLRVQENSPGHRAGLEPFFDFIVSINGSRLNKDNDTLKDLLKANVEKPVKMLIYSSKTLELRETSVTPSNLWGGQGLLGVSIRFCSFDGANENVWHVLEVESNSPAALAGLRPHSDYIIGADTVMNESEDLFSLIETHEAKPLKLYVYNTDTDNCREVIITPNSAWGGEGSLGCGIGYGYLHRIPTRPFEEGKKISLPGQMAGTPITPLKDGFTEVQLSSVNPPSLSPPGTTGIEQSLTGLSISSTPPAVSSVLSTGVPTVPLLPPQVNQSLTSVPPMNPATTLPGLMPLPAGLPNLPNLNLNLPAPHIMPGVGLPELVNPGLPPLPSMPPRNLPGIAPLPLPSEFLPSFPLVPESSSAASSGELLSSLPPTSNAPSDPATTTAKADAASSLTVDVTPPTAKAPTTVEDRVGDSTPVSEKPVSAAVDANASESP
+>DECOY_sp|Q9H8Y8|GORS2_HUMAN Golgi reassembly-stacking protein 2 OS=Homo sapiens OX=9606 GN=GORASP2 PE=1 SV=3
+PSESANADVAASVPKESVPTSDGVRDEVTTPAKATPPTVDVTLSSAADAKATTTAPDSPANSTPPLSSLLEGSSAASSSEPVLPFSPLFESPLPLPAIGPLNRPPMSPLPPLGPNVLEPLGVGPMIHPAPLNLNLNPLNPLGAPLPMLGPLTTAPNMPPVSTLSQNVQPPLLPVTPVGTSLVSSVAPPTSSISLGTLSQEIGTTGPPSLSPPNVSSLQVETFGDKLPTIPTGAMQGPLSIKKGEEFPRTPIRHLYGYGIGCGLSGEGGWASNPTIIVERCNDTDTNYVYLKLPKAEHTEILSFLDESENMVTDAGIIYDSHPRLGALAAPSNSEVELVHWVNENAGDFSCFRISVGLLGQGGWLNSPTVSTERLELTKSSYILMKVPKEVNAKLLDKLTDNDKNLRSGNISVIFDFFPELGARHGPSNEQVRLVHYGETGGGPIEVSQSSGM
+>sp|Q8TDV5|GP119_HUMAN Glucose-dependent insulinotropic receptor OS=Homo sapiens OX=9606 GN=GPR119 PE=1 SV=1
+MESSFSFGVILAVLASLIIATNTLVAVAVLLLIHKNDGVSLCFTLNLAVADTLIGVAISGLLTDQLSSPSRPTQKTLCSLRMAFVTSSAAASVLTVMLITFDRYLAIKQPFRYLKIMSGFVAGACIAGLWLVSYLIGFLPLGIPMFQQTAYKGQCSFFAVFHPHFVLTLSCVGFFPAMLLFVFFYCDMLKIASMHSQQIRKMEHAGAMAGGYRSPRTPSDFKALRTVSVLIGSFALSWTPFLITGIVQVACQECHLYLVLERYLWLLGVGNSLLNPLIYAYWQKEVRLQLYHMALGVKKVLTSFLLFLSARNCGPERPRESSCHIVTISSSEFDG
+>DECOY_sp|Q8TDV5|GP119_HUMAN Glucose-dependent insulinotropic receptor OS=Homo sapiens OX=9606 GN=GPR119 PE=1 SV=1
+GDFESSSITVIHCSSERPREPGCNRASLFLLFSTLVKKVGLAMHYLQLRVEKQWYAYILPNLLSNGVGLLWLYRELVLYLHCEQCAVQVIGTILFPTWSLAFSGILVSVTRLAKFDSPTRPSRYGGAMAGAHEMKRIQQSHMSAIKLMDCYFFVFLLMAPFFGVCSLTLVFHPHFVAFFSCQGKYATQQFMPIGLPLFGILYSVLWLGAICAGAVFGSMIKLYRFPQKIALYRDFTILMVTLVSAAASSTVFAMRLSCLTKQTPRSPSSLQDTLLGSIAVGILTDAVALNLTFCLSVGDNKHILLLVAVAVLTNTAIILSALVALIVGFSFSSEM
+>sp|Q9UNW8|GP132_HUMAN Probable G-protein coupled receptor 132 OS=Homo sapiens OX=9606 GN=GPR132 PE=1 SV=1
+MCPMLLKNGYNGNATPVTTTAPWASLGLSAKTCNNVSFEESRIVLVVVYSAVCTLGVPANCLTAWLALLQVLQGNVLAVYLLCLALCELLYTGTLPLWVIYIRNQHRWTLGLLACKVTAYIFFCNIYVSILFLCCISCDRFVAVVYALESRGRRRRRTAILISACIFILVGIVHYPVFQTEDKETCFDMLQMDSRIAGYYYARFTVGFAIPLSIIAFTNHRIFRSIKQSMGLSAAQKAKVKHSAIAVVVIFLVCFAPYHLVLLVKAAAFSYYRGDRNAMCGLEERLYTASVVFLCLSTVNGVADPIIYVLATDHSRQEVSRIHKGWKEWSMKTDVTRLTHSRDTEELQSPVALADHYTFSRPVHPPGSPCPAKRLIEESC
+>DECOY_sp|Q9UNW8|GP132_HUMAN Probable G-protein coupled receptor 132 OS=Homo sapiens OX=9606 GN=GPR132 PE=1 SV=1
+CSEEILRKAPCPSGPPHVPRSFTYHDALAVPSQLEETDRSHTLRTVDTKMSWEKWGKHIRSVEQRSHDTALVYIIPDAVGNVTSLCLFVVSATYLREELGCMANRDGRYYSFAAAKVLLVLHYPAFCVLFIVVVAIASHKVKAKQAASLGMSQKISRFIRHNTFAIISLPIAFGVTFRAYYYGAIRSDMQLMDFCTEKDETQFVPYHVIGVLIFICASILIATRRRRRGRSELAYVVAVFRDCSICCLFLISVYINCFFIYATVKCALLGLTWRHQNRIYIVWLPLTGTYLLECLALCLLYVALVNGQLVQLLALWATLCNAPVGLTCVASYVVVLVIRSEEFSVNNCTKASLGLSAWPATTTVPTANGNYGNKLLMPCM
+>sp|P51810|GP143_HUMAN G-protein coupled receptor 143 OS=Homo sapiens OX=9606 GN=GPR143 PE=1 SV=2
+MASPRLGTFCCPTRDAATQLVLSFQPRAFHALCLGSGGLRLALGLLQLLPGRRPAGPGSPATSPPASVRILRAAAACDLLGCLGMVIRSTVWLGFPNFVDSVSDMNHTEIWPAAFCVGSAMWIQLLYSACFWWLFCYAVDAYLVIRRSAGLSTILLYHIMAWGLATLLCVEGAAMLYYPSVSRCERGLDHAIPHYVTMYLPLLLVLVANPILFQKTVTAVASLLKGRQGIYTENERRMGAVIKIRFFKIMLVLIICWLSNIINESLLFYLEMQTDINGGSLKPVRTAAKTTWFIMGILNPAQGFLLSLAFYGWTGCSLGFQSPRKEIQWESLTTSAAEGAHPSPLMPHENPASGKVSQVGGQTSDEALSMLSEGSDASTIEIHTASESCNKNEGDPALPTHGDL
+>DECOY_sp|P51810|GP143_HUMAN G-protein coupled receptor 143 OS=Homo sapiens OX=9606 GN=GPR143 PE=1 SV=2
+LDGHTPLAPDGENKNCSESATHIEITSADSGESLMSLAEDSTQGGVQSVKGSAPNEHPMLPSPHAGEAASTTLSEWQIEKRPSQFGLSCGTWGYFALSLLFGQAPNLIGMIFWTTKAATRVPKLSGGNIDTQMELYFLLSENIINSLWCIILVLMIKFFRIKIVAGMRRENETYIGQRGKLLSAVATVTKQFLIPNAVLVLLLPLYMTVYHPIAHDLGRECRSVSPYYLMAAGEVCLLTALGWAMIHYLLITSLGASRRIVLYADVAYCFLWWFCASYLLQIWMASGVCFAAPWIETHNMDSVSDVFNPFGLWVTSRIVMGLCGLLDCAAAARLIRVSAPPSTAPSGPGAPRRGPLLQLLGLALRLGGSGLCLAHFARPQFSLVLQTAADRTPCCFTGLRPSAM
+>sp|Q8TDT2|GP152_HUMAN Probable G-protein coupled receptor 152 OS=Homo sapiens OX=9606 GN=GPR152 PE=2 SV=1
+MDTTMEADLGATGHRPRTELDDEDSYPQGGWDTVFLVALLLLGLPANGLMAWLAGSQARHGAGTRLALLLLSLALSDFLFLAAAAFQILEIRHGGHWPLGTAACRFYYFLWGVSYSSGLFLLAALSLDRCLLALCPHWYPGHRPVRLPLWVCAGVWVLATLFSVPWLVFPEAAVWWYDLVICLDFWDSEELSLRMLEVLGGFLPFLLLLVCHVLTQATACRTCHRQQQPAACRGFARVARTILSAYVVLRLPYQLAQLLYLAFLWDVYSGYLLWEALVYSDYLILLNSCLSPFLCLMASADLRTLLRSVLSSFAAALCEERPGSFTPTEPQTQLDSEGPTLPEPMAEAQSQMDPVAQPQVNPTLQPRSDPTAQPQLNPTAQPQSDPTAQPQLNLMAQPQSDSVAQPQADTNVQTPAPAASSVPSPCDEASPTPSSHPTPGALEDPATPPASEGESPSSTPPEAAPGAGPT
+>DECOY_sp|Q8TDT2|GP152_HUMAN Probable G-protein coupled receptor 152 OS=Homo sapiens OX=9606 GN=GPR152 PE=2 SV=1
+TPGAGPAAEPPTSSPSEGESAPPTAPDELAGPTPHSSPTPSAEDCPSPVSSAAPAPTQVNTDAQPQAVSDSQPQAMLNLQPQATPDSQPQATPNLQPQATPDSRPQLTPNVQPQAVPDMQSQAEAMPEPLTPGESDLQTQPETPTFSGPREECLAAAFSSLVSRLLTRLDASAMLCLFPSLCSNLLILYDSYVLAEWLLYGSYVDWLFALYLLQALQYPLRLVVYASLITRAVRAFGRCAAPQQQRHCTRCATAQTLVHCVLLLLFPLFGGLVELMRLSLEESDWFDLCIVLDYWWVAAEPFVLWPVSFLTALVWVGACVWLPLRVPRHGPYWHPCLALLCRDLSLAALLFLGSSYSVGWLFYYFRCAATGLPWHGGHRIELIQFAAAALFLFDSLALSLLLLALRTGAGHRAQSGALWAMLGNAPLGLLLLAVLFVTDWGGQPYSDEDDLETRPRHGTAGLDAEMTTDM
+>sp|Q6NV75|GP153_HUMAN Probable G-protein coupled receptor 153 OS=Homo sapiens OX=9606 GN=GPR153 PE=2 SV=2
+MSDERRLPGSAVGWLVCGGLSLLANAWGILSVGAKQKKWKPLEFLLCTLAATHMLNVAVPIATYSVVQLRRQRPDFEWNEGLCKVFVSTFYTLTLATCFSVTSLSYHRMWMVCWPVNYRLSNAKKQAVHTVMGIWMVSFILSALPAVGWHDTSERFYTHGCRFIVAEIGLGFGVCFLLLVGGSVAMGVICTAIALFQTLAVQVGRQADRRAFTVPTIVVEDAQGKRRSSIDGSEPAKTSLQTTGLVTTIVFIYDCLMGFPVLVVSFSSLRADASAPWMALCVLWCSVAQALLLPVFLWACDRYRADLKAVREKCMALMANDEESDDETSLEGGISPDLVLERSLDYGYGGDFVALDRMAKYEISALEGGLPQLYPLRPLQEDKMQYLQVPPTRRFSHDDADVWAAVPLPAFLPRWGSGEDLAALAHLVLPAGPERRRASLLAFAEDAPPSRARRRSAESLLSLRPSALDSGPRGARDSPPGSPRRRPGPGPRSASASLLPDAFALTAFECEPQALRRPPGPFPAAPAAPDGADPGEAPTPPSSAQRSPGPRPSAHSHAGSLRPGLSASWGEPGGLRAAGGGGSTSSFLSSPSESSGYATLHSDSLGSAS
+>DECOY_sp|Q6NV75|GP153_HUMAN Probable G-protein coupled receptor 153 OS=Homo sapiens OX=9606 GN=GPR153 PE=2 SV=2
+SASGLSDSHLTAYGSSESPSSLFSSTSGGGGAARLGGPEGWSASLGPRLSGAHSHASPRPGPSRQASSPPTPAEGPDAGDPAAPAAPFPGPPRRLAQPECEFATLAFADPLLSASASRPGPGPRRRPSGPPSDRAGRPGSDLASPRLSLLSEASRRRARSPPADEAFALLSARRREPGAPLVLHALAALDEGSGWRPLFAPLPVAAWVDADDHSFRRTPPVQLYQMKDEQLPRLPYLQPLGGELASIEYKAMRDLAVFDGGYGYDLSRELVLDPSIGGELSTEDDSEEDNAMLAMCKERVAKLDARYRDCAWLFVPLLLAQAVSCWLVCLAMWPASADARLSSFSVVLVPFGMLCDYIFVITTVLGTTQLSTKAPESGDISSRRKGQADEVVITPVTFARRDAQRGVQVALTQFLAIATCIVGMAVSGGVLLLFCVGFGLGIEAVIFRCGHTYFRESTDHWGVAPLASLIFSVMWIGMVTHVAQKKANSLRYNVPWCVMWMRHYSLSTVSFCTALTLTYFTSVFVKCLGENWEFDPRQRRLQVVSYTAIPVAVNLMHTAALTCLLFELPKWKKQKAGVSLIGWANALLSLGGCVLWGVASGPLRREDSM
+>sp|Q5T848|GP158_HUMAN Probable G-protein coupled receptor 158 OS=Homo sapiens OX=9606 GN=GPR158 PE=1 SV=1
+MGAMAYPLLLCLLLAQLGLGAVGASRDPQGRPDSPRERTPKGKPHAQQPGRASASDSSAPWSRSTDGTILAQKLAEEVPMDVASYLYTGDSHQLKRANCSGRYELAGLPGKWPALASAHPSLHRALDTLTHATNFLNVMLQSNKSREQNLQDDLDWYQALVWSLLEGEPSISRAAITFSTDSLSAPAPQVFLQATREESRILLQDLSSSAPHLANATLETEWFHGLRRKWRPHLHRRGPNQGPRGLGHSWRRKDGLGGDKSHFKWSPPYLECENGSYKPGWLVTLSSAIYGLQPNLVPEFRGVMKVDINLQKVDIDQCSSDGWFSGTHKCHLNNSECMPIKGLGFVLGAYECICKAGFYHPGVLPVNNFRRRGPDQHISGSTKDVSEEAYVCLPCREGCPFCADDSPCFVQEDKYLRLAIISFQALCMLLDFVSMLVVYHFRKAKSIRASGLILLETILFGSLLLYFPVVILYFEPSTFRCILLRWARLLGFATVYGTVTLKLHRVLKVFLSRTAQRIPYMTGGRVMRMLAVILLVVFWFLIGWTSSVCQNLEKQISLIGQGKTSDHLIFNMCLIDRWDYMTAVAEFLFLLWGVYLCYAVRTVPSAFHEPRYMAVAVHNELIISAIFHTIRFVLASRLQSDWMLMLYFAHTHLTVTVTIGLLLIPKFSHSSNNPRDDIATEAYEDELDMGRSGSYLNSSINSAWSEHSLDPEDIRDELKKLYAQLEIYKRKKMITNNPHLQKKRCSKKGLGRSIMRRITEIPETVSRQCSKEDKEGADHGTAKGTALIRKNPPESSGNTGKSKEETLKNRVFSLKKSHSTYDHVRDQTEESSSLPTESQEEETTENSTLESLSGKKLTQKLKEDSEAESTESVPLVCKSASAHNLSSEKKTGHPRTSMLQKSLSVIASAKEKTLGLAGKTQTAGVEERTKSQKPLPKDKETNRNHSNSDNTETKDPAPQNSNPAEEPRKPQKSGIMKQQRVNPTTANSDLNPGTTQMKDNFDIGEVCPWEVYDLTPGPVPSESKVQKHVSIVASEMEKNPTFSLKEKSHHKPKAAEVCQQSNQKRIDKAEVCLWESQGQSILEDEKLLISKTPVLPERAKEENGGQPRAANVCAGQSEELPPKAVASKTENENLNQIGHQEKKTSSSEENVRGSYNSSNNFQQPLTSRAEVCPWEFETPAQPNAGRSVALPASSALSANKIAGPRKEEIWDSFKV
+>DECOY_sp|Q5T848|GP158_HUMAN Probable G-protein coupled receptor 158 OS=Homo sapiens OX=9606 GN=GPR158 PE=1 SV=1
+VKFSDWIEEKRPGAIKNASLASSAPLAVSRGANPQAPTEFEWPCVEARSTLPQQFNNSSNYSGRVNEESSSTKKEQHGIQNLNENETKSAVAKPPLEESQGACVNAARPQGGNEEKAREPLVPTKSILLKEDELISQGQSEWLCVEAKDIRKQNSQQCVEAAKPKHHSKEKLSFTPNKEMESAVISVHKQVKSESPVPGPTLDYVEWPCVEGIDFNDKMQTTGPNLDSNATTPNVRQQKMIGSKQPKRPEEAPNSNQPAPDKTETNDSNSHNRNTEKDKPLPKQSKTREEVGATQTKGALGLTKEKASAIVSLSKQLMSTRPHGTKKESSLNHASASKCVLPVSETSEAESDEKLKQTLKKGSLSELTSNETTEEEQSETPLSSSEETQDRVHDYTSHSKKLSFVRNKLTEEKSKGTNGSSEPPNKRILATGKATGHDAGEKDEKSCQRSVTEPIETIRRMISRGLGKKSCRKKQLHPNNTIMKKRKYIELQAYLKKLEDRIDEPDLSHESWASNISSNLYSGSRGMDLEDEYAETAIDDRPNNSSHSFKPILLLGITVTVTLHTHAFYLMLMWDSQLRSALVFRITHFIASIILENHVAVAMYRPEHFASPVTRVAYCLYVGWLLFLFEAVATMYDWRDILCMNFILHDSTKGQGILSIQKELNQCVSSTWGILFWFVVLLIVALMRMVRGGTMYPIRQATRSLFVKLVRHLKLTVTGYVTAFGLLRAWRLLICRFTSPEFYLIVVPFYLLLSGFLITELLILGSARISKAKRFHYVVLMSVFDLLMCLAQFSIIALRLYKDEQVFCPSDDACFPCGERCPLCVYAEESVDKTSGSIHQDPGRRRFNNVPLVGPHYFGAKCICEYAGLVFGLGKIPMCESNNLHCKHTGSFWGDSSCQDIDVKQLNIDVKMVGRFEPVLNPQLGYIASSLTVLWGPKYSGNECELYPPSWKFHSKDGGLGDKRRWSHGLGRPGQNPGRRHLHPRWKRRLGHFWETELTANALHPASSSLDQLLIRSEERTAQLFVQPAPASLSDTSFTIAARSISPEGELLSWVLAQYWDLDDQLNQERSKNSQLMVNLFNTAHTLTDLARHLSPHASALAPWKGPLGALEYRGSCNARKLQHSDGTYLYSAVDMPVEEALKQALITGDTSRSWPASSDSASARGPQQAHPKGKPTRERPSDPRGQPDRSAGVAGLGLQALLLCLLLPYAMAGM
+>sp|P07359|GP1BA_HUMAN Platelet glycoprotein Ib alpha chain OS=Homo sapiens OX=9606 GN=GP1BA PE=1 SV=2
+MPLLLLLLLLPSPLHPHPICEVSKVASHLEVNCDKRNLTALPPDLPKDTTILHLSENLLYTFSLATLMPYTRLTQLNLDRCELTKLQVDGTLPVLGTLDLSHNQLQSLPLLGQTLPALTVLDVSFNRLTSLPLGALRGLGELQELYLKGNELKTLPPGLLTPTPKLEKLSLANNNLTELPAGLLNGLENLDTLLLQENSLYTIPKGFFGSHLLPFAFLHGNPWLCNCEILYFRRWLQDNAENVYVWKQGVDVKAMTSNVASVQCDNSDKFPVYKYPGKGCPTLGDEGDTDLYDYYPEEDTEGDKVRATRTVVKFPTKAHTTPWGLFYSWSTASLDSQMPSSLHPTQESTKEQTTFPPRWTPNFTLHMESITFSKTPKSTTEPTPSPTTSEPVPEPAPNMTTLEPTPSPTTPEPTSEPAPSPTTPEPTSEPAPSPTTPEPTSEPAPSPTTPEPTPIPTIATSPTILVSATSLITPKSTFLTTTKPVSLLESTKKTIPELDQPPKLRGVLQGHLESSRNDPFLHPDFCCLLPLGFYVLGLFWLLFASVVLILLLSWVGHVKPQALDSGQGAALTTATQTTHLELQRGRQVTVPRAWLLFLRGSLPTFRSSLFLWVRPNGRVGPLVAGRRPSALSQGRGQDLLSTVSIRYSGHSL
+>DECOY_sp|P07359|GP1BA_HUMAN Platelet glycoprotein Ib alpha chain OS=Homo sapiens OX=9606 GN=GP1BA PE=1 SV=2
+LSHGSYRISVTSLLDQGRGQSLASPRRGAVLPGVRGNPRVWLFLSSRFTPLSGRLFLLWARPVTVQRGRQLELHTTQTATTLAAGQGSDLAQPKVHGVWSLLLILVVSAFLLWFLGLVYFGLPLLCCFDPHLFPDNRSSELHGQLVGRLKPPQDLEPITKKTSELLSVPKTTTLFTSKPTILSTASVLITPSTAITPIPTPEPTTPSPAPESTPEPTTPSPAPESTPEPTTPSPAPESTPEPTTPSPTPELTTMNPAPEPVPESTTPSPTPETTSKPTKSFTISEMHLTFNPTWRPPFTTQEKTSEQTPHLSSPMQSDLSATSWSYFLGWPTTHAKTPFKVVTRTARVKDGETDEEPYYDYLDTDGEDGLTPCGKGPYKYVPFKDSNDCQVSAVNSTMAKVDVGQKWVYVNEANDQLWRRFYLIECNCLWPNGHLFAFPLLHSGFFGKPITYLSNEQLLLTDLNELGNLLGAPLETLNNNALSLKELKPTPTLLGPPLTKLENGKLYLEQLEGLGRLAGLPLSTLRNFSVDLVTLAPLTQGLLPLSQLQNHSLDLTGLVPLTGDVQLKTLECRDLNLQTLRTYPMLTALSFTYLLNESLHLITTDKPLDPPLATLNRKDCNVELHSAVKSVECIPHPHLPSPLLLLLLLLPM
+>sp|Q8IXQ4|GPAM1_HUMAN GPALPP motifs-containing protein 1 OS=Homo sapiens OX=9606 GN=GPALPP1 PE=1 SV=1
+MARDLIGPALPPGFKARGTAEDEERDPSPVAGPALPPNYKSSSSDSSDSDEDSSSLYEEGNQESEEDDSGPTARKQRKNQDDDDDDDDGFFGPALPPGFKKQDDSPPRPIIGPALPPGFIKSTQKSDKGRDDPGQQETDSSEDEDIIGPMPAKGPVNYNVTTEFEKRAQRMKEKLTKGDDDSSKPIVRESWMTELPPEMKDFGLGPRTFKRRADDTSGDRSIWTDTPADRERKAKETQEARKSSSKKDEEHILSGRDKRLAEQVSSYNESKRSESLMDIHHKKLKSKAAEDKNKPQERIPFDRDKDLKVNRFDEAQKKALIKKSRELNTRFSHGKGNMFL
+>DECOY_sp|Q8IXQ4|GPAM1_HUMAN GPALPP motifs-containing protein 1 OS=Homo sapiens OX=9606 GN=GPALPP1 PE=1 SV=1
+LFMNGKGHSFRTNLERSKKILAKKQAEDFRNVKLDKDRDFPIREQPKNKDEAAKSKLKKHHIDMLSESRKSENYSSVQEALRKDRGSLIHEEDKKSSSKRAEQTEKAKRERDAPTDTWISRDGSTDDARRKFTRPGLGFDKMEPPLETMWSERVIPKSSDDDGKTLKEKMRQARKEFETTVNYNVPGKAPMPGIIDEDESSDTEQQGPDDRGKDSKQTSKIFGPPLAPGIIPRPPSDDQKKFGPPLAPGFFGDDDDDDDDQNKRQKRATPGSDDEESEQNGEEYLSSSDEDSDSSDSSSSKYNPPLAPGAVPSPDREEDEATGRAKFGPPLAPGILDRAM
+>sp|Q8TDU6|GPBAR_HUMAN G-protein coupled bile acid receptor 1 OS=Homo sapiens OX=9606 GN=GPBAR1 PE=2 SV=1
+MTPNSTGEVPSPIPKGALGLSLALASLIITANLLLALGIAWDRRLRSPPAGCFFLSLLLAGLLTGLALPTLPGLWNQSRRGYWSCLLVYLAPNFSFLSLLANLLLVHGERYMAVLRPLQPPGSIRLALLLTWAGPLLFASLPALGWNHWTPGANCSSQAIFPAPYLYLEVYGLLLPAVGAAAFLSVRVLATAHRQLQDICRLERAVCRDEPSALARALTWRQARAQAGAMLLFGLCWGPYVATLLLSVLAYEQRPPLGPGTLLSLLSLGSASAAAVPVAMGLGDQRYTAPWRAAAQRCLQGLWGRASRDSPGPSIAYHPSSQSSVDLDLN
+>DECOY_sp|Q8TDU6|GPBAR_HUMAN G-protein coupled bile acid receptor 1 OS=Homo sapiens OX=9606 GN=GPBAR1 PE=2 SV=1
+NLDLDVSSQSSPHYAISPGPSDRSARGWLGQLCRQAAARWPATYRQDGLGMAVPVAAASASGLSLLSLLTGPGLPPRQEYALVSLLLTAVYPGWCLGFLLMAGAQARAQRWTLARALASPEDRCVARELRCIDQLQRHATALVRVSLFAAAGVAPLLLGYVELYLYPAPFIAQSSCNAGPTWHNWGLAPLSAFLLPGAWTLLLALRISGPPQLPRLVAMYREGHVLLLNALLSLFSFNPALYVLLCSWYGRRSQNWLGPLTPLALGTLLGALLLSLFFCGAPPSRLRRDWAIGLALLLNATIILSALALSLGLAGKPIPSPVEGTSNPTM
+>sp|A6NER3|GG12J_HUMAN G antigen 12J OS=Homo sapiens OX=9606 GN=GAGE12J PE=3 SV=1
+MSWRGRSTYYWPRPRPYVQPPEMIGPMRPEQFSDEVEPATPEEGEPATQRQDPAAAQEGEDEGASAGQGPKPEADSQEQGHPQTGCECEDGPDGQEMDPPNPEEVKTPEEGKKQSQC
+>DECOY_sp|A6NER3|GG12J_HUMAN G antigen 12J OS=Homo sapiens OX=9606 GN=GAGE12J PE=3 SV=1
+CQSQKKGEEPTKVEEPNPPDMEQGDPGDECECGTQPHGQEQSDAEPKPGQGASAGEDEGEQAAAPDQRQTAPEGEEPTAPEVEDSFQEPRMPGIMEPPQVYPRPRPWYYTSRGRWSM
+>sp|A6NEF3|GG6L4_HUMAN Golgin subfamily A member 6-like protein 4 OS=Homo sapiens OX=9606 GN=GOLGA6L4 PE=3 SV=3
+MWPQPRFPPHPAMSEKTQQGKLAAAKKKLKAYWQRKSPGIPAGANRKKKINGSSPDTATSGGYHSPGDSATGIYGEGRASSTTLEDLESQYQELAVALDSSSAIISQLTENINSLVRTSKEEKKHEIHLVQKLGRSLFKLKNQTAEPLAPEPPAGPSKVEQLQDETNHLRKELESVGRQLQAEVENNQMLSLLNRRQEERLREQEERLREQEERLREQEDRLHEQEERLREQEERLCEQEERLREHEERLCEQEERLCEQEERLREQEERLHEQEERLREQEERLCEQEERLREQEERLCEQEERLREQEERLCEQEKLPGQERLLEEVEKLLEQERRQEEQERLLERERLLEEVEKLLEQERQQEEQERLLERERLLEEVEKLLEQERRQEEQERLLERERLLDEVEELLDEVEELLEQERLRQQDERLWQQETLQELERLRELERLRELERMLELGWEALYEQRAEPRSGFEELNNENKSTLQLEQQVKELKKSGGAEEPRGSESAAAARPVAGAPVPQGAWMCGQAGWTPQEHPGLSGEAVGTGEAAGGAGEAACHSFRAAENRELNITII
+>DECOY_sp|A6NEF3|GG6L4_HUMAN Golgin subfamily A member 6-like protein 4 OS=Homo sapiens OX=9606 GN=GOLGA6L4 PE=3 SV=3
+IITINLERNEAARFSHCAAEGAGGAAEGTGVAEGSLGPHEQPTWGAQGCMWAGQPVPAGAVPRAAAASESGRPEEAGGSKKLEKVQQELQLTSKNENNLEEFGSRPEARQEYLAEWGLELMRELERLRELERLRELEQLTEQQWLREDQQRLREQELLEEVEDLLEEVEDLLRERELLREQEEQRREQELLKEVEELLRERELLREQEEQQREQELLKEVEELLRERELLREQEEQRREQELLKEVEELLREQGPLKEQECLREEQERLREEQECLREEQERLREEQECLREEQERLREEQEHLREEQERLREEQECLREEQECLREEHERLREEQECLREEQERLREEQEHLRDEQERLREEQERLREEQERLREEQRRNLLSLMQNNEVEAQLQRGVSELEKRLHNTEDQLQEVKSPGAPPEPALPEATQNKLKFLSRGLKQVLHIEHKKEEKSTRVLSNINETLQSIIASSSDLAVALEQYQSELDELTTSSARGEGYIGTASDGPSHYGGSTATDPSSGNIKKKRNAGAPIGPSKRQWYAKLKKKAAALKGQQTKESMAPHPPFRPQPWM
+>sp|A6NEM1|GG6L9_HUMAN Golgin subfamily A member 6-like protein 9 OS=Homo sapiens OX=9606 GN=GOLGA6L9 PE=1 SV=4
+MWPQPRLPPHPAMSEKTQQGKLAAAKKKLKAYWQRKSPGIPAGANRKKKINGSSPDTFTSGGYHSPGDSATGIYGEGRASSTTLQDLESQYQELAVALDSSSAIISQLTENINSLVRTSKEEKKHEIHLVQKLGRSLFKLKNQTAEPLAPQPPAGPSKMEQLQDETNHLRKELESVGRQLQAEVENNQMLSLLNRRQEERLREQEERLREQEERLCEQEERLCEQEERLREQEERLCEQEKLPGQERLLEEVEKLLEQERRQEEQERLLERERLLDEVEELLEQERLRQQDERLWQQETLRELERLRELERMLELGWEALYEQRAEPRSGFEELNNENKSTLQLEQQVKELEKSGGAEEPRGSESAAAARPVAGAPVPQGAWMCGQAGWTPQEHPGLSGEAVGTGEAAGGAGEAACHSFRAAENRELNITII
+>DECOY_sp|A6NEM1|GG6L9_HUMAN Golgin subfamily A member 6-like protein 9 OS=Homo sapiens OX=9606 GN=GOLGA6L9 PE=1 SV=4
+IITINLERNEAARFSHCAAEGAGGAAEGTGVAEGSLGPHEQPTWGAQGCMWAGQPVPAGAVPRAAAASESGRPEEAGGSKELEKVQQELQLTSKNENNLEEFGSRPEARQEYLAEWGLELMRELERLRELERLTEQQWLREDQQRLREQELLEEVEDLLRERELLREQEEQRREQELLKEVEELLREQGPLKEQECLREEQERLREEQECLREEQECLREEQERLREEQERLREEQRRNLLSLMQNNEVEAQLQRGVSELEKRLHNTEDQLQEMKSPGAPPQPALPEATQNKLKFLSRGLKQVLHIEHKKEEKSTRVLSNINETLQSIIASSSDLAVALEQYQSELDQLTTSSARGEGYIGTASDGPSHYGGSTFTDPSSGNIKKKRNAGAPIGPSKRQWYAKLKKKAAALKGQQTKESMAPHPPLRPQPWM
+>sp|Q9HBQ8|GGA2B_HUMAN Putative golgin subfamily A member 2B OS=Homo sapiens OX=9606 GN=GOLGA2P5 PE=5 SV=1
+MDSEEEEEVPQPMPSIPEDLESQKAMVAFFNSAVASAEEEQARLCGQLKECTASAWLICWPRPRRNLRQQPQPQELGVIPCVGRPTRPCRGPWRSCGRVHRTVPEPEGSAEGGGVHQQAGPGQGRGEGEAAGAGVACGRLQQVA
+>DECOY_sp|Q9HBQ8|GGA2B_HUMAN Putative golgin subfamily A member 2B OS=Homo sapiens OX=9606 GN=GOLGA2P5 PE=5 SV=1
+AVQQLRGCAVGAGAAEGEGRGQGPGAQQHVGGGEASGEPEPVTRHVRGCSRWPGRCPRTPRGVCPIVGLEQPQPQQRLNRRPRPWCILWASATCEKLQGCLRAQEEEASAVASNFFAVMAKQSELDEPISPMPQPVEEEEESDM
+>sp|Q9UJY4|GGA2_HUMAN ADP-ribosylation factor-binding protein GGA2 OS=Homo sapiens OX=9606 GN=GGA2 PE=1 SV=3
+MAATAVAAAVAGTESAQGPPGPAASLELWLNKATDPSMSEQDWSAIQNFCEQVNTDPNGPTHAPWLLAHKIQSPQEKEALYALTVLEMCMNHCGEKFHSEVAKFRFLNELIKVLSPKYLGSWATGKVKGRVIEILFSWTVWFPEDIKIRDAYQMLKKQGIIKQDPKLPVDKILPPPSPWPKSSIFDADEEKSKLLTRLLKSNHPEDLQAANRLIKNLVKEEQEKSEKVSKRVSAVEEVRSHVKVLQEMLSMYRRPGQAPPDQEALQVVYERCEKLRPTLFRLASDTTDDDDALAEILQANDLLTQGVLLYKQVMEGRVTFGNRVTSSLGDIPVSRVFQNPAGCMKTCPLIDLEVDNGPAQMGTVVPSLLHQDLAALGISDAPVTGMVSGQNCCEEKRNPSSSTLPGGGVQNPSADRNLLDLLSAQPAPCPLNYVSQKSVPKEVPPGTKSSPGWSWEAGPLAPSPSSQNTPLAQVFVPLESVKPSSLPPLIVYDRNGFRILLHFSQTGAPGHPEVQVLLLTMMSTAPQPVWDIMFQVAVPKSMRVKLQPASSSKLPAFSPLMPPAVISQMLLLDNPHKEPIRLRYKLTFNQGGQPFSEVGEVKDFPDLAVLGAA
+>DECOY_sp|Q9UJY4|GGA2_HUMAN ADP-ribosylation factor-binding protein GGA2 OS=Homo sapiens OX=9606 GN=GGA2 PE=1 SV=3
+AAGLVALDPFDKVEGVESFPQGGQNFTLKYRLRIPEKHPNDLLLMQSIVAPPMLPSFAPLKSSSAPQLKVRMSKPVAVQFMIDWVPQPATSMMTLLLVQVEPHGPAGTQSFHLLIRFGNRDYVILPPLSSPKVSELPVFVQALPTNQSSPSPALPGAEWSWGPSSKTGPPVEKPVSKQSVYNLPCPAPQASLLDLLNRDASPNQVGGGPLTSSSPNRKEECCNQGSVMGTVPADSIGLAALDQHLLSPVVTGMQAPGNDVELDILPCTKMCGAPNQFVRSVPIDGLSSTVRNGFTVRGEMVQKYLLVGQTLLDNAQLIEALADDDDTTDSALRFLTPRLKECREYVVQLAEQDPPAQGPRRYMSLMEQLVKVHSRVEEVASVRKSVKESKEQEEKVLNKILRNAAQLDEPHNSKLLRTLLKSKEEDADFISSKPWPSPPPLIKDVPLKPDQKIIGQKKLMQYADRIKIDEPFWVTWSFLIEIVRGKVKGTAWSGLYKPSLVKILENLFRFKAVESHFKEGCHNMCMELVTLAYLAEKEQPSQIKHALLWPAHTPGNPDTNVQECFNQIASWDQESMSPDTAKNLWLELSAAPGPPGQASETGAVAAAVATAAM
+>sp|Q8WWP7|GIMA1_HUMAN GTPase IMAP family member 1 OS=Homo sapiens OX=9606 GN=GIMAP1 PE=1 SV=1
+MGGRKMATDEENVYGLEENAQSRQESTRRLILVGRTGAGKSATGNSILGQRRFFSRLGATSVTRACTTGSRRWDKCHVEVVDTPDIFSSQVSKTDPGCEERGHCYLLSAPGPHALLLVTQLGRFTAQDQQAVRQVRDMFGEDVLKWMVIVFTRKEDLAGGSLHDYVSNTENRALRELVAECGGRVCAFDNRATGREQEAQVEQLLGMVEGLVLEHKGAHYSNEVYELAQVLRWAGPEERLRRVAERVAARVQRRPWGAWLSARLWKWLKSPRSWRLGLALLLGGALLFWVLLHRRWSEAVAEVGPD
+>DECOY_sp|Q8WWP7|GIMA1_HUMAN GTPase IMAP family member 1 OS=Homo sapiens OX=9606 GN=GIMAP1 PE=1 SV=1
+DPGVEAVAESWRRHLLVWFLLAGGLLLALGLRWSRPSKLWKWLRASLWAGWPRRQVRAAVREAVRRLREEPGAWRLVQALEYVENSYHAGKHELVLGEVMGLLQEVQAEQERGTARNDFACVRGGCEAVLERLARNETNSVYDHLSGGALDEKRTFVIVMWKLVDEGFMDRVQRVAQQDQATFRGLQTVLLLAHPGPASLLYCHGREECGPDTKSVQSSFIDPTDVVEVHCKDWRRSGTTCARTVSTAGLRSFFRRQGLISNGTASKGAGTRGVLILRRTSEQRSQANEELGYVNEEDTAMKRGGM
+>sp|Q9NUV9|GIMA4_HUMAN GTPase IMAP family member 4 OS=Homo sapiens OX=9606 GN=GIMAP4 PE=1 SV=1
+MAAQYGSMSFNPSTPGASYGPGRQEPRNSQLRIVLVGKTGAGKSATGNSILGRKVFHSGTAAKSITKKCEKRSSSWKETELVVVDTPGIFDTEVPNAETSKEIIRCILLTSPGPHALLLVVPLGRYTEEEHKATEKILKMFGERARSFMILIFTRKDDLGDTNLHDYLREAPEDIQDLMDIFGDRYCALNNKATGAEQEAQRAQLLGLIQRVVRENKEGCYTNRMYQRAEEEIQKQTQAMQELHRVELEREKARIREEYEEKIRKLEDKVEQEKRKKQMEKKLAEQEAHYAVRQQRARTEVESKDGILELIMTALQIASFILLRLFAED
+>DECOY_sp|Q9NUV9|GIMA4_HUMAN GTPase IMAP family member 4 OS=Homo sapiens OX=9606 GN=GIMAP4 PE=1 SV=1
+DEAFLRLLIFSAIQLATMILELIGDKSEVETRARQQRVAYHAEQEALKKEMQKKRKEQEVKDELKRIKEEYEERIRAKERELEVRHLEQMAQTQKQIEEEARQYMRNTYCGEKNERVVRQILGLLQARQAEQEAGTAKNNLACYRDGFIDMLDQIDEPAERLYDHLNTDGLDDKRTFILIMFSRAREGFMKLIKETAKHEEETYRGLPVVLLLAHPGPSTLLICRIIEKSTEANPVETDFIGPTDVVVLETEKWSSSRKECKKTISKAATGSHFVKRGLISNGTASKGAGTKGVLVIRLQSNRPEQRGPGYSAGPTSPNFSMSGYQAAM
+>sp|Q9NU53|GINM1_HUMAN Glycoprotein integral membrane protein 1 OS=Homo sapiens OX=9606 GN=GINM1 PE=2 SV=1
+MEGAPPGSLALRLLLFVALPASGWLTTGAPEPPPLSGAPQDGIRINVTTLKDDGDISKQQVVLNITYESGQVYVNDLPVNSGVTRISCQTLIVKNENLENLEEKEYFGIVSVRILVHEWPMTSGSSLQLIVIQEEVVEIDGKQVQQKDVTEIDILVKNRGVLRHSNYTLPLEESMLYSISRDSDILFTLPNLSKKESVSSLQTTSQYLIRNVETTVDEDVLPGKLPETPLRAEPPSSYKVMCQWMEKFRKDLCRFWSNVFPVFFQFLNIMVVGITGAAVVITILKVFFPVSEYKGILQLDKVDVIPVTAINLYPDGPEKRAENLEDKTCI
+>DECOY_sp|Q9NU53|GINM1_HUMAN Glycoprotein integral membrane protein 1 OS=Homo sapiens OX=9606 GN=GINM1 PE=2 SV=1
+ICTKDELNEARKEPGDPYLNIATVPIVDVKDLQLIGKYESVPFFVKLITIVVAAGTIGVVMINLFQFFVPFVNSWFRCLDKRFKEMWQCMVKYSSPPEARLPTEPLKGPLVDEDVTTEVNRILYQSTTQLSSVSEKKSLNPLTFLIDSDRSISYLMSEELPLTYNSHRLVGRNKVLIDIETVDKQQVQKGDIEVVEEQIVILQLSSGSTMPWEHVLIRVSVIGFYEKEELNELNENKVILTQCSIRTVGSNVPLDNVYVQGSEYTINLVVQQKSIDGDDKLTTVNIRIGDQPAGSLPPPEPAGTTLWGSAPLAVFLLLRLALSGPPAGEM
+>sp|Q5VSY0|GKAP1_HUMAN G kinase-anchoring protein 1 OS=Homo sapiens OX=9606 GN=GKAP1 PE=1 SV=2
+MASAVLSSVPTTASRFALLQVDSGSGSDSEPGKGKGRNTGKSQTLGSKSTTNEKKREKRRKKKEQQQSEANELRNLAFKKIPQKSSHAVCNAQHDLPLSNPVQKDSREENWQEWRQRDEQLTSEMFEADLEKALLLSKLEYEEHKKEYEDAENTSTQSKVMNKKDKRKNHQGKDRPLTVSLKDFHSEDHISKKTEELSSSQTLSHDGGFFNRLEDDVHKILIREKRREQLTEYNGTDNCTAHEHNQEVVLKDGRIERLKLELERKDAEIQKLKNVITQWEAKYKEVKARNAQLLKMLQEGEMKDKAEILLQVDESQSIKNELTIQVTSLHAALEQERSKVKVLQAELAKYQGGRKGKRNSESDQCR
+>DECOY_sp|Q5VSY0|GKAP1_HUMAN G kinase-anchoring protein 1 OS=Homo sapiens OX=9606 GN=GKAP1 PE=1 SV=2
+RCQDSESNRKGKRGGQYKALEAQLVKVKSREQELAAHLSTVQITLENKISQSEDVQLLIEAKDKMEGEQLMKLLQANRAKVEKYKAEWQTIVNKLKQIEADKRELELKLREIRGDKLVVEQNHEHATCNDTGNYETLQERRKERILIKHVDDELRNFFGGDHSLTQSSSLEETKKSIHDESHFDKLSVTLPRDKGQHNKRKDKKNMVKSQTSTNEADEYEKKHEEYELKSLLLAKELDAEFMESTLQEDRQRWEQWNEERSDKQVPNSLPLDHQANCVAHSSKQPIKKFALNRLENAESQQQEKKKRRKERKKENTTSKSGLTQSKGTNRGKGKGPESDSGSGSDVQLLAFRSATTPVSSLVASAM
+>sp|Q86XP6|GKN2_HUMAN Gastrokine-2 OS=Homo sapiens OX=9606 GN=GKN2 PE=1 SV=2
+MKILVAFLVVLTIFGIQSHGYEVFNIISPSNNGGNVQETVTIDNEKNTAIINIHAGSCSSTTIFDYKHGYIASRVLSRRACFILKMDHQNIPPLNNLQWYIYEKQALDNMFSSKYTWVKYNPLESLIKDVDWFLLGSPIEKLCKHIPLYKGEVVENTHNVGAGGCAKAGLLGILGISICADIHV
+>DECOY_sp|Q86XP6|GKN2_HUMAN Gastrokine-2 OS=Homo sapiens OX=9606 GN=GKN2 PE=1 SV=2
+VHIDACISIGLIGLLGAKACGGAGVNHTNEVVEGKYLPIHKCLKEIPSGLLFWDVDKILSELPNYKVWTYKSSFMNDLAQKEYIYWQLNNLPPINQHDMKLIFCARRSLVRSAIYGHKYDFITTSSCSGAHINIIATNKENDITVTEQVNGGNNSPSIINFVEYGHSQIGFITLVVLFAVLIKM
+>sp|P0CG01|GKN3_HUMAN Gastrokine-3 OS=Homo sapiens OX=9606 GN=GKN3P PE=3 SV=1
+MKHLVASSILGVFVLTPSLAMMNIRFNHPLYGSFGTQIIHIGAFQGMVSIRDNNIFSEWDGILDYKNALLVAKVFNKMACVLARMDKAVFPSLDDISKALDKQAFKYYPSTRGLTYTVLPSWVKNLAQYGKPIKNMCRDDPTYFAQQQKEGTALAIDSNSCFEIQLLSFMGLFICGETPGL
+>DECOY_sp|P0CG01|GKN3_HUMAN Gastrokine-3 OS=Homo sapiens OX=9606 GN=GKN3P PE=3 SV=1
+LGPTEGCIFLGMFSLLQIEFCSNSDIALATGEKQQQAFYTPDDRCMNKIPKGYQALNKVWSPLVTYTLGRTSPYYKFAQKDLAKSIDDLSPFVAKDMRALVCAMKNFVKAVLLANKYDLIGDWESFINNDRISVMGQFAGIHIIQTGFSGYLPHNFRINMMALSPTLVFVGLISSAVLHKM
+>sp|Q6EEV4|GL1AD_HUMAN DNA-directed RNA polymerase II subunit GRINL1A, isoforms 4/5 OS=Homo sapiens OX=9606 GN=POLR2M PE=1 SV=1
+MATPARAPESPPSADPALVAGPAEEAECPPPRQPQPAQNVLAAPRLRAPSSRGLGAAEFGGAAGNVEAPGETFAQRVSWGPAESPPGSFSSSSLGAPLPSRTLFPSLEGDFDSVTFASVLRASGRRACCGRAVPLPGQKIHLQIARQR
+>DECOY_sp|Q6EEV4|GL1AD_HUMAN DNA-directed RNA polymerase II subunit GRINL1A, isoforms 4/5 OS=Homo sapiens OX=9606 GN=POLR2M PE=1 SV=1
+RQRAIQLHIKQGPLPVARGCCARRGSARLVSAFTVSDFDGELSPFLTRSPLPAGLSSSSFSGPPSEAPGWSVRQAFTEGPAEVNGAAGGFEAAGLGRSSPARLRPAALVNQAPQPQRPPPCEAEEAPGAVLAPDASPPSEPARAPTAM
+>sp|Q6UWU2|GLB1L_HUMAN Beta-galactosidase-1-like protein OS=Homo sapiens OX=9606 GN=GLB1L PE=2 SV=1
+MAPKKLSCLRSLLLPLSLTLLLPQADTRSFVVDRGHDRFLLDGAPFRYVSGSLHYFRVPRVLWADRLLKMRWSGLNAIQFYVPWNYHEPQPGVYNFNGSRDLIAFLNEAALANLLVILRPGPYICAEWEMGGLPSWLLRKPEIHLRTSDPDFLAAVDSWFKVLLPKIYPWLYHNGGNIISIQVENEYGSYRACDFSYMRHLAGLFRALLGEKILLFTTDGPEGLKCGSLRGLYTTVDFGPADNMTKIFTLLRKYEPHGPLVNSEYYTGWLDYWGQNHSTRSVSAVTKGLENMLKLGASVNMYMFHGGTNFGYWNGADKKGRFLPITTSYDYDAPISEAGDPTPKLFALRDVISKFQEVPLGPLPPPSPKMMLGPVTLHLVGHLLAFLDLLCPRGPIHSILPMTFEAVKQDHGFMLYRTYMTHTIFEPTPFWVPNNGVHDRAYVMVDGVFQGVVERNMRDKLFLTGKLGSKLDILVENMGRLSFGSNSSDFKGLLKPPILGQTILTQWMMFPLKIDNLVKWWFPLQLPKWPYPQAPSGPTFYSKTFPILGSVGDTFLYLPGWTKGQVWINGFNLGRYWTKQGPQQTLYVPRFLLFPRGALNKITLLELEDVPLQPQVQFLDKPILNSTSTLHRTHINSLSADTLSASEPMELSGH
+>DECOY_sp|Q6UWU2|GLB1L_HUMAN Beta-galactosidase-1-like protein OS=Homo sapiens OX=9606 GN=GLB1L PE=2 SV=1
+HGSLEMPESASLTDASLSNIHTRHLTSTSNLIPKDLFQVQPQLPVDELELLTIKNLAGRPFLLFRPVYLTQQPGQKTWYRGLNFGNIWVQGKTWGPLYLFTDGVSGLIPFTKSYFTPGSPAQPYPWKPLQLPFWWKVLNDIKLPFMMWQTLITQGLIPPKLLGKFDSSNSGFSLRGMNEVLIDLKSGLKGTLFLKDRMNREVVGQFVGDVMVYARDHVGNNPVWFPTPEFITHTMYTRYLMFGHDQKVAEFTMPLISHIPGRPCLLDLFALLHGVLHLTVPGLMMKPSPPPLPGLPVEQFKSIVDRLAFLKPTPDGAESIPADYDYSTTIPLFRGKKDAGNWYGFNTGGHFMYMNVSAGLKLMNELGKTVASVSRTSHNQGWYDLWGTYYESNVLPGHPEYKRLLTFIKTMNDAPGFDVTTYLGRLSGCKLGEPGDTTFLLIKEGLLARFLGALHRMYSFDCARYSGYENEVQISIINGGNHYLWPYIKPLLVKFWSDVAALFDPDSTRLHIEPKRLLWSPLGGMEWEACIYPGPRLIVLLNALAAENLFAILDRSGNFNYVGPQPEHYNWPVYFQIANLGSWRMKLLRDAWLVRPVRFYHLSGSVYRFPAGDLLFRDHGRDVVFSRTDAQPLLLTLSLPLLLSRLCSLKKPAM
+>sp|O94923|GLCE_HUMAN D-glucuronyl C5-epimerase OS=Homo sapiens OX=9606 GN=GLCE PE=1 SV=3
+MRCLAARVNYKTLIIICALFTLVTVLLWNKCSSDKAIQFPRRSSSGFRVDGFEKRAAASESNNYMNHVAKQQSEEAFPQEQQKAPPVVGGFNSNVGSKVLGLKYEEIDCLINDEHTIKGRREGNEVFLPFTWVEKYFDVYGKVVQYDGYDRFEFSHSYSKVYAQRAPYHPDGVFMSFEGYNVEVRDRVKCISGVEGVPLSTQWGPQGYFYPIQIAQYGLSHYSKNLTEKPPHIEVYETAEDRDKNKPNDWTVPKGCFMANVADKSRFTNVKQFIAPETSEGVSLQLGNTKDFIISFDLKFLTNGSVSVVLETTEKNQLFTIHYVSNAQLIAFKERDIYYGIGPRTSWSTVTRDLVTDLRKGVGLSNTKAVKPTKIMPKKVVRLIAKGKGFLDNITISTTAHMAAFFAASDWLVRNQDEKGGWPIMVTRKLGEGFKSLEPGWYSAMAQGQAISTLVRAYLLTKDHIFLNSALRATAPYKFLSEQHGVKAVFMNKHDWYEEYPTTPSSFVLNGFMYSLIGLYDLKETAGEKLGKEARSLYERGMESLKAMLPLYDTGSGTIYDLRHFMLGIAPNLARWDYHTTHINQLQLLSTIDESPVFKEFVKRWKSYLKGSRAKHN
+>DECOY_sp|O94923|GLCE_HUMAN D-glucuronyl C5-epimerase OS=Homo sapiens OX=9606 GN=GLCE PE=1 SV=3
+NHKARSGKLYSKWRKVFEKFVPSEDITSLLQLQNIHTTHYDWRALNPAIGLMFHRLDYITGSGTDYLPLMAKLSEMGREYLSRAEKGLKEGATEKLDYLGILSYMFGNLVFSSPTTPYEEYWDHKNMFVAKVGHQESLFKYPATARLASNLFIHDKTLLYARVLTSIAQGQAMASYWGPELSKFGEGLKRTVMIPWGGKEDQNRVLWDSAAFFAAMHATTSITINDLFGKGKAILRVVKKPMIKTPKVAKTNSLGVGKRLDTVLDRTVTSWSTRPGIGYYIDREKFAILQANSVYHITFLQNKETTELVVSVSGNTLFKLDFSIIFDKTNGLQLSVGESTEPAIFQKVNTFRSKDAVNAMFCGKPVTWDNPKNKDRDEATEYVEIHPPKETLNKSYHSLGYQAIQIPYFYGQPGWQTSLPVGEVGSICKVRDRVEVNYGEFSMFVGDPHYPARQAYVKSYSHSFEFRDYGDYQVVKGYVDFYKEVWTFPLFVENGERRGKITHEDNILCDIEEYKLGLVKSGVNSNFGGVVPPAKQQEQPFAEESQQKAVHNMYNNSESAAARKEFGDVRFGSSSRRPFQIAKDSSCKNWLLVTVLTFLACIIILTKYNVRAALCRM
+>sp|Q9HC38|GLOD4_HUMAN Glyoxalase domain-containing protein 4 OS=Homo sapiens OX=9606 GN=GLOD4 PE=1 SV=1
+MAARRALHFVFKVGNRFQTARFYRDVLGMKVESCSVARLECSGAISAHCSDYTRITEDSFSKPYDGKWSKTMVGFGPEDDHFVAELTYNYGVGDYKLGNDFMGITLASSQAVSNARKLEWPLTEVAEGVFETEAPGGYKFYLQNRSLPQSDPVLKVTLAVSDLQKSLNYWCNLLGMKIYEKDEEKQRALLGYADNQCKLELQGVKGGVDHAAAFGRIAFSCPQKELPDLEDLMKRENQKILTPLVSLDTPGKATVQVVILADPDGHEICFVGDEAFRELSKMDPEGSKLLDDAMAADKSDEWFAKHNKPKASG
+>DECOY_sp|Q9HC38|GLOD4_HUMAN Glyoxalase domain-containing protein 4 OS=Homo sapiens OX=9606 GN=GLOD4 PE=1 SV=1
+GSAKPKNHKAFWEDSKDAAMADDLLKSGEPDMKSLERFAEDGVFCIEHGDPDALIVVQVTAKGPTDLSVLPTLIKQNERKMLDELDPLEKQPCSFAIRGFAAAHDVGGKVGQLELKCQNDAYGLLARQKEEDKEYIKMGLLNCWYNLSKQLDSVALTVKLVPDSQPLSRNQLYFKYGGPAETEFVGEAVETLPWELKRANSVAQSSALTIGMFDNGLKYDGVGYNYTLEAVFHDDEPGFGVMTKSWKGDYPKSFSDETIRTYDSCHASIAGSCELRAVSCSEVKMGLVDRYFRATQFRNGVKFVFHLARRAAM
+>sp|O95838|GLP2R_HUMAN Glucagon-like peptide 2 receptor OS=Homo sapiens OX=9606 GN=GLP2R PE=2 SV=1
+MKLGSSRAGPGRGSAGLLPGVHELPMGIPAPWGTSPLSFHRKCSLWAPGRPFLTLVLLVSIKQVTGSLLEETTRKWAQYKQACLRDLLKEPSGIFCNGTFDQYVCWPHSSPGNVSVPCPSYLPWWSEESSGRAYRHCLAQGTWQTIENATDIWQDDSECSENHSFKQNVDRYALLSTLQLMYTVGYSFSLISLFLALTLLLFLRKLHCTRNYIHMNLFASFILRTLAVLVKDVVFYNSYSKRPDNENGWMSYLSEMSTSCRSVQVLLHYFVGANYLWLLVEGLYLHTLLEPTVLPERRLWPRYLLLGWAFPVLFVVPWGFARAHLENTGCWTTNGNKKIWWIIRGPMMLCVTVNFFIFLKILKLLISKLKAHQMCFRDYKYRLAKSTLVLIPLLGVHEILFSFITDDQVEGFAKLIRLFIQLTLSSFHGFLVALQYGFANGEVKAELRKYWVRFLLARHSGCRACVLGKDFRFLGKCPKKLSEGDGAEKLRKLQPSLNSGRLLHLAMRGLGELGAQPQQDHARWPRGSSLSECSEGDVTMANTMEEILEESEI
+>DECOY_sp|O95838|GLP2R_HUMAN Glucagon-like peptide 2 receptor OS=Homo sapiens OX=9606 GN=GLP2R PE=2 SV=1
+IESEELIEEMTNAMTVDGESCESLSSGRPWRAHDQQPQAGLEGLGRMALHLLRGSNLSPQLKRLKEAGDGESLKKPCKGLFRFDKGLVCARCGSHRALLFRVWYKRLEAKVEGNAFGYQLAVLFGHFSSLTLQIFLRILKAFGEVQDDTIFSFLIEHVGLLPILVLTSKALRYKYDRFCMQHAKLKSILLKLIKLFIFFNVTVCLMMPGRIIWWIKKNGNTTWCGTNELHARAFGWPVVFLVPFAWGLLLYRPWLRREPLVTPELLTHLYLGEVLLWLYNAGVFYHLLVQVSRCSTSMESLYSMWGNENDPRKSYSNYFVVDKVLVALTRLIFSAFLNMHIYNRTCHLKRLFLLLTLALFLSILSFSYGVTYMLQLTSLLAYRDVNQKFSHNESCESDDQWIDTANEITQWTGQALCHRYARGSSEESWWPLYSPCPVSVNGPSSHPWCVYQDFTGNCFIGSPEKLLDRLCAQKYQAWKRTTEELLSGTVQKISVLLVLTLFPRGPAWLSCKRHFSLPSTGWPAPIGMPLEHVGPLLGASGRGPGARSSGLKM
+>sp|Q9H4A5|GLP3L_HUMAN Golgi phosphoprotein 3-like OS=Homo sapiens OX=9606 GN=GOLPH3L PE=1 SV=1
+MTTLTHRARRTEISKNSEKKMESEEDSNWEKSPDNEDSGDSKDIRLTLMEEVLLLGLKDKEGYTSFWNDCISSGLRGGILIELAMRGRIYLEPPTMRKKRLLDRKVLLKSDSPTGDVLLDETLKHIKATEPTETVQTWIELLTGETWNPFKLQYQLRNVRERIAKNLVEKGILTTEKQNFLLFDMTTHPVTNTTEKQRLVKKLQDSVLERWVNDPQRMDKRTLALLVLAHSSDVLENVFSSLTDDKYDVAMNRAKDLVELDPEVEGTKPSATEMIWAVLAAFNKS
+>DECOY_sp|Q9H4A5|GLP3L_HUMAN Golgi phosphoprotein 3-like OS=Homo sapiens OX=9606 GN=GOLPH3L PE=1 SV=1
+SKNFAALVAWIMETASPKTGEVEPDLEVLDKARNMAVDYKDDTLSSFVNELVDSSHALVLLALTRKDMRQPDNVWRELVSDQLKKVLRQKETTNTVPHTTMDFLLFNQKETTLIGKEVLNKAIRERVNRLQYQLKFPNWTEGTLLEIWTQVTETPETAKIHKLTEDLLVDGTPSDSKLLVKRDLLRKKRMTPPELYIRGRMALEILIGGRLGSSICDNWFSTYGEKDKLGLLLVEEMLTLRIDKSDGSDENDPSKEWNSDEESEMKKESNKSIETRRARHTLTTM
+>sp|Q14410|GLPK2_HUMAN Glycerol kinase 2 OS=Homo sapiens OX=9606 GN=GK2 PE=2 SV=2
+MAAPKTAAVGPLVGAVVQGTNSTRFLVFNSKTAELLSHHKVELTQEFPKEGWVEQDPKEILQSVYECIARTCEKLDELNIDISNIKAVGVSNQRETTVIWDKLTGEPLYNAVVWLDLRTQTTVEDLSKKIPGNSNFVKSKTGLPLSTYFSAVKLRWMLDNVRNVQKAVEEGRALFGTIDSWLIWSLTGGVNGGVHCTDVTNASRTMLFNIHSLEWDKELCDFFEIPMDLLPNVFSSSEIYGLIKTGALEGVPISGCLGDQCAALVGQMCFQEGQAKNTYGTGCFLLCNTGRKCVFSEHGLLTTVAYKLGREKPAYYALEGSVAIAGAVIRWLRDNLGIIETSGDIERLAKEVGTSYGCYFVPAFSGLYAPYWEPSARGILCGLTQFTNKCHIAFAALEAVCFQTREILEAMNRDCGIPLRHLQVDGGMTNNKVLMQLQADILHIPVIKPFMPETTALGAAMAAGAAEGVSVWSLEPQALSVLRMERFEPQIQATESEIRYATWKKAVMKSMGWVTSQSPEGGDPSIFSSLPLGFFIVSSMVMLIGARYISGVP
+>DECOY_sp|Q14410|GLPK2_HUMAN Glycerol kinase 2 OS=Homo sapiens OX=9606 GN=GK2 PE=2 SV=2
+PVGSIYRAGILMVMSSVIFFGLPLSSFISPDGGEPSQSTVWGMSKMVAKKWTAYRIESETAQIQPEFREMRLVSLAQPELSWVSVGEAAGAAMAAGLATTEPMFPKIVPIHLIDAQLQMLVKNNTMGGDVQLHRLPIGCDRNMAELIERTQFCVAELAAFAIHCKNTFQTLGCLIGRASPEWYPAYLGSFAPVFYCGYSTGVEKALREIDGSTEIIGLNDRLWRIVAGAIAVSGELAYYAPKERGLKYAVTTLLGHESFVCKRGTNCLLFCGTGYTNKAQGEQFCMQGVLAACQDGLCGSIPVGELAGTKILGYIESSSFVNPLLDMPIEFFDCLEKDWELSHINFLMTRSANTVDTCHVGGNVGGTLSWILWSDITGFLARGEEVAKQVNRVNDLMWRLKVASFYTSLPLGTKSKVFNSNGPIKKSLDEVTTQTRLDLWVVANYLPEGTLKDWIVTTERQNSVGVAKINSIDINLEDLKECTRAICEYVSQLIEKPDQEVWGEKPFEQTLEVKHHSLLEATKSNFVLFRTSNTGQVVAGVLPGVAATKPAAM
+>sp|P23415|GLRA1_HUMAN Glycine receptor subunit alpha-1 OS=Homo sapiens OX=9606 GN=GLRA1 PE=1 SV=2
+MYSFNTLRLYLWETIVFFSLAASKEAEAARSAPKPMSPSDFLDKLMGRTSGYDARIRPNFKGPPVNVSCNIFINSFGSIAETTMDYRVNIFLRQQWNDPRLAYNEYPDDSLDLDPSMLDSIWKPDLFFANEKGAHFHEITTDNKLLRISRNGNVLYSIRITLTLACPMDLKNFPMDVQTCIMQLESFGYTMNDLIFEWQEQGAVQVADGLTLPQFILKEEKDLRYCTKHYNTGKFTCIEARFHLERQMGYYLIQMYIPSLLIVILSWISFWINMDAAPARVGLGITTVLTMTTQSSGSRASLPKVSYVKAIDIWMAVCLLFVFSALLEYAAVNFVSRQHKELLRFRRKRRHHKSPMLNLFQEDEAGEGRFNFSAYGMGPACLQAKDGISVKGANNSNTTNPPPAPSKSPEEMRKLFIQRAKKIDKISRIGFPMAFLIFNMFYWIIYKIVRREDVHNQ
+>DECOY_sp|P23415|GLRA1_HUMAN Glycine receptor subunit alpha-1 OS=Homo sapiens OX=9606 GN=GLRA1 PE=1 SV=2
+QNHVDERRVIKYIIWYFMNFILFAMPFGIRSIKDIKKARQIFLKRMEEPSKSPAPPPNTTNSNNAGKVSIGDKAQLCAPGMGYASFNFRGEGAEDEQFLNLMPSKHHRRKRRFRLLEKHQRSVFNVAAYELLASFVFLLCVAMWIDIAKVYSVKPLSARSGSSQTTMTLVTTIGLGVRAPAADMNIWFSIWSLIVILLSPIYMQILYYGMQRELHFRAEICTFKGTNYHKTCYRLDKEEKLIFQPLTLGDAVQVAGQEQWEFILDNMTYGFSELQMICTQVDMPFNKLDMPCALTLTIRISYLVNGNRSIRLLKNDTTIEHFHAGKENAFFLDPKWISDLMSPDLDLSDDPYENYALRPDNWQQRLFINVRYDMTTEAISGFSNIFINCSVNVPPGKFNPRIRADYGSTRGMLKDLFDSPSMPKPASRAAEAEKSAALSFFVITEWLYLRLTNFSYM
+>sp|P48167|GLRB_HUMAN Glycine receptor subunit beta OS=Homo sapiens OX=9606 GN=GLRB PE=1 SV=1
+MKFLLTTAFLILISLWVEEAYSKEKSSKKGKGKKKQYLCPSQQSAEDLARVPANSTSNILNRLLVSYDPRIRPNFKGIPVDVVVNIFINSFGSIQETTMDYRVNIFLRQKWNDPRLKLPSDFRGSDALTVDPTMYKCLWKPDLFFANEKSANFHDVTQENILLFIFRDGDVLVSMRLSITLSCPLDLTLFPMDTQRCKMQLESFGYTTDDLRFIWQSGDPVQLEKIALPQFDIKKEDIEYGNCTKYYKGTGYYTCVEVIFTLRRQVGFYMMGVYAPTLLIVVLSWLSFWINPDASAARVPLGIFSVLSLASECTTLAAELPKVSYVKALDVWLIACLLFGFASLVEYAVVQVMLNNPKRVEAEKARIAKAEQADGKGGNVAKKNTVNGTGTPVHISTLQVGETRCKKVCTSKSDLRSNDFSIVGSLPRDFELSNYDCYGKPIEVNNGLGKSQAKNNKKPPPAKPVIPTAAKRIDLYARALFPFCFLFFNVIYWSIYL
+>DECOY_sp|P48167|GLRB_HUMAN Glycine receptor subunit beta OS=Homo sapiens OX=9606 GN=GLRB PE=1 SV=1
+LYISWYIVNFFLFCFPFLARAYLDIRKAATPIVPKAPPPKKNNKAQSKGLGNNVEIPKGYCDYNSLEFDRPLSGVISFDNSRLDSKSTCVKKCRTEGVQLTSIHVPTGTGNVTNKKAVNGGKGDAQEAKAIRAKEAEVRKPNNLMVQVVAYEVLSAFGFLLCAILWVDLAKVYSVKPLEAALTTCESALSLVSFIGLPVRAASADPNIWFSLWSLVVILLTPAYVGMMYFGVQRRLTFIVEVCTYYGTGKYYKTCNGYEIDEKKIDFQPLAIKELQVPDGSQWIFRLDDTTYGFSELQMKCRQTDMPFLTLDLPCSLTISLRMSVLVDGDRFIFLLINEQTVDHFNASKENAFFLDPKWLCKYMTPDVTLADSGRFDSPLKLRPDNWKQRLFINVRYDMTTEQISGFSNIFINVVVDVPIGKFNPRIRPDYSVLLRNLINSTSNAPVRALDEASQQSPCLYQKKKGKGKKSSKEKSYAEEVWLSILILFATTLLFKM
+>sp|Q9NS18|GLRX2_HUMAN Glutaredoxin-2, mitochondrial OS=Homo sapiens OX=9606 GN=GLRX2 PE=1 SV=1
+MIWRRAALAGTRLVWSRSGSAGWLDRAAGAAGAAAAAASGMESNTSSSLENLATAPVNQIQETISDNCVVIFSKTSCSYCTMAKKLFHDMNVNYKVVELDLLEYGNQFQDALYKMTGERTVPRIFVNGTFIGGATDTHRLHKEGKLLPLVHQCYLKKSKRKEFQ
+>DECOY_sp|Q9NS18|GLRX2_HUMAN Glutaredoxin-2, mitochondrial OS=Homo sapiens OX=9606 GN=GLRX2 PE=1 SV=1
+QFEKRKSKKLYCQHVLPLLKGEKHLRHTDTAGGIFTGNVFIRPVTREGTMKYLADQFQNGYELLDLEVVKYNVNMDHFLKKAMTCYSCSTKSFIVVCNDSITEQIQNVPATALNELSSSTNSEMGSAAAAAAGAAGAARDLWGASGSRSWVLRTGALAARRWIM
+>sp|O76003|GLRX3_HUMAN Glutaredoxin-3 OS=Homo sapiens OX=9606 GN=GLRX3 PE=1 SV=2
+MAAGAAEAAVAAVEEVGSAGQFEELLRLKAKSLLVVHFWAPWAPQCAQMNEVMAELAKELPQVSFVKLEAEGVPEVSEKYEISSVPTFLFFKNSQKIDRLDGAHAPELTKKVQRHASSGSFLPSANEHLKEDLNLRLKKLTHAAPCMLFMKGTPQEPRCGFSKQMVEILHKHNIQFSSFDIFSDEEVRQGLKAYSSWPTYPQLYVSGELIGGLDIIKELEASEELDTICPKAPKLEERLKVLTNKASVMLFMKGNKQEAKCGFSKQILEILNSTGVEYETFDILEDEEVRQGLKAYSNWPTYPQLYVKGELVGGLDIVKELKENGELLPILRGEN
+>DECOY_sp|O76003|GLRX3_HUMAN Glutaredoxin-3 OS=Homo sapiens OX=9606 GN=GLRX3 PE=1 SV=2
+NEGRLIPLLEGNEKLEKVIDLGGVLEGKVYLQPYTPWNSYAKLGQRVEEDELIDFTEYEVGTSNLIELIQKSFGCKAEQKNGKMFLMVSAKNTLVKLREELKPAKPCITDLEESAELEKIIDLGGILEGSVYLQPYTPWSSYAKLGQRVEEDSFIDFSSFQINHKHLIEVMQKSFGCRPEQPTGKMFLMCPAAHTLKKLRLNLDEKLHENASPLFSGSSAHRQVKKTLEPAHAGDLRDIKQSNKFFLFTPVSSIEYKESVEPVGEAELKVFSVQPLEKALEAMVENMQACQPAWPAWFHVVLLSKAKLRLLEEFQGASGVEEVAAVAAEAAGAAM
+>sp|O15488|GLYG2_HUMAN Glycogenin-2 OS=Homo sapiens OX=9606 GN=GYG2 PE=1 SV=2
+MSETEFHHGAQAGLELLRSSNSPTSASQSAGMTVTDQAFVTLATNDIYCQGALVLGQSLRRHRLTRKLVVLITPQVSSLLRVILSKVFDEVIEVNLIDSADYIHLAFLKRPELGLTLTKLHCWTLTHYSKCVFLDADTLVLSNVDELFDRGEFSAAPDPGWPDCFNSGVFVFQPSLHTHKLLLQHAMEHGSFDGADQGLLNSFFRNWSTTDIHKHLPFIYNLSSNTMYTYSPAFKQFGSSAKVVHFLGSMKPWNYKYNPQSGSVLEQGSASSSQHQAAFLHLWWTVYQNNVLPLYKSVQAGEARASPGHTLCHSDVGGPCADSASGVGEPCENSTPSAGVPCANSPLGSNQPAQGLPEPTQIVDETLSLPEGRRSEDMIACPETETPAVITCDPLSQPSPQPADFTETETILQPANKVESVSSEETFEPSQELPAEALRDPSLQDALEVDLAVSVSQISIEEKVKELSPEEERRKWEEGRIDYMGKDAFARIQEKLDRFLQ
+>DECOY_sp|O15488|GLYG2_HUMAN Glycogenin-2 OS=Homo sapiens OX=9606 GN=GYG2 PE=1 SV=2
+QLFRDLKEQIRAFADKGMYDIRGEEWKRREEEPSLEKVKEEISIQSVSVALDVELADQLSPDRLAEAPLEQSPEFTEESSVSEVKNAPQLITETETFDAPQPSPQSLPDCTIVAPTETEPCAIMDESRRGEPLSLTEDVIQTPEPLGQAPQNSGLPSNACPVGASPTSNECPEGVGSASDACPGGVDSHCLTHGPSARAEGAQVSKYLPLVNNQYVTWWLHLFAAQHQSSSASGQELVSGSQPNYKYNWPKMSGLFHVVKASSGFQKFAPSYTYMTNSSLNYIFPLHKHIDTTSWNRFFSNLLGQDAGDFSGHEMAHQLLLKHTHLSPQFVFVGSNFCDPWGPDPAASFEGRDFLEDVNSLVLTDADLFVCKSYHTLTWCHLKTLTLGLEPRKLFALHIYDASDILNVEIVEDFVKSLIVRLLSSVQPTILVVLKRTLRHRRLSQGLVLAGQCYIDNTALTVFAQDTVTMGASQSASTPSNSSRLLELGAQAGHHFETESM
+>sp|P46976|GLYG_HUMAN Glycogenin-1 OS=Homo sapiens OX=9606 GN=GYG1 PE=1 SV=4
+MTDQAFVTLTTNDAYAKGALVLGSSLKQHRTTRRLVVLATPQVSDSMRKVLETVFDEVIMVDVLDSGDSAHLTLMKRPELGVTLTKLHCWSLTQYSKCVFMDADTLVLANIDDLFDREELSAAPDPGWPDCFNSGVFVYQPSVETYNQLLHLASEQGSFDGGDQGILNTFFSSWATTDIRKHLPFIYNLSSISIYSYLPAFKVFGASAKVVHFLGRVKPWNYTYDPKTKSVKSEAHDPNMTHPEFLILWWNIFTTNVLPLLQQFGLVKDTCSYVNVLSDLVYTLAFSCGFCRKEDVSGAISHLSLGEIPAMAQPFVSSEERKERWEQGQADYMGADSFDNIKRKLDTYLQ
+>DECOY_sp|P46976|GLYG_HUMAN Glycogenin-1 OS=Homo sapiens OX=9606 GN=GYG1 PE=1 SV=4
+QLYTDLKRKINDFSDAGMYDAQGQEWREKREESSVFPQAMAPIEGLSLHSIAGSVDEKRCFGCSFALTYVLDSLVNVYSCTDKVLGFQQLLPLVNTTFINWWLILFEPHTMNPDHAESKVSKTKPDYTYNWPKVRGLFHVVKASAGFVKFAPLYSYISISSLNYIFPLHKRIDTTAWSSFFTNLIGQDGGDFSGQESALHLLQNYTEVSPQYVFVGSNFCDPWGPDPAASLEERDFLDDINALVLTDADMFVCKSYQTLSWCHLKTLTVGLEPRKMLTLHASDGSDLVDVMIVEDFVTELVKRMSDSVQPTALVVLRRTTRHQKLSSGLVLAGKAYADNTTLTVFAQDTM
+>sp|P09471|GNAO_HUMAN Guanine nucleotide-binding protein G(o) subunit alpha OS=Homo sapiens OX=9606 GN=GNAO1 PE=1 SV=4
+MGCTLSAEERAALERSKAIEKNLKEDGISAAKDVKLLLLGAGESGKSTIVKQMKIIHEDGFSGEDVKQYKPVVYSNTIQSLAAIVRAMDTLGIEYGDKERKADAKMVCDVVSRMEDTEPFSAELLSAMMRLWGDSGIQECFNRSREYQLNDSAKYYLDSLDRIGAADYQPTEQDILRTRVKTTGIVETHFTFKNLHFRLFDVGGQRSERKKWIHCFEDVTAIIFCVALSGYDQVLHEDETTNRMHESLMLFDSICNNKFFIDTSIILFLNKKDLFGEKIKKSPLTICFPEYTGPNTYEDAAAYIQAQFESKNRSPNKEIYCHMTCATDTNNIQVVFDAVTDIIIANNLRGCGLY
+>DECOY_sp|P09471|GNAO_HUMAN Guanine nucleotide-binding protein G(o) subunit alpha OS=Homo sapiens OX=9606 GN=GNAO1 PE=1 SV=4
+YLGCGRLNNAIIIDTVADFVVQINNTDTACTMHCYIEKNPSRNKSEFQAQIYAAADEYTNPGTYEPFCITLPSKKIKEGFLDKKNLFLIISTDIFFKNNCISDFLMLSEHMRNTTEDEHLVQDYGSLAVCFIIATVDEFCHIWKKRESRQGGVDFLRFHLNKFTFHTEVIGTTKVRTRLIDQETPQYDAAGIRDLSDLYYKASDNLQYERSRNFCEQIGSDGWLRMMASLLEASFPETDEMRSVVDCVMKADAKREKDGYEIGLTDMARVIAALSQITNSYVVPKYQKVDEGSFGDEHIIKMQKVITSKGSEGAGLLLLKVDKAASIGDEKLNKEIAKSRELAAREEASLTCGM
+>sp|P19087|GNAT2_HUMAN Guanine nucleotide-binding protein G(t) subunit alpha-2 OS=Homo sapiens OX=9606 GN=GNAT2 PE=2 SV=4
+MGSGASAEDKELAKRSKELEKKLQEDADKEAKTVKLLLLGAGESGKSTIVKQMKIIHQDGYSPEECLEFKAIIYGNVLQSILAIIRAMTTLGIDYAEPSCADDGRQLNNLADSIEEGTMPPELVEVIRRLWKDGGVQACFERAAEYQLNDSASYYLNQLERITDPEYLPSEQDVLRSRVKTTGIIETKFSVKDLNFRMFDVGGQRSERKKWIHCFEGVTCIIFCAALSAYDMVLVEDDEVNRMHESLHLFNSICNHKFFAATSIVLFLNKKDLFEEKIKKVHLSICFPEYDGNNSYDDAGNYIKSQFLDLNMRKDVKEIYSHMTCATDTQNVKFVFDAVTDIIIKENLKDCGLF
+>DECOY_sp|P19087|GNAT2_HUMAN Guanine nucleotide-binding protein G(t) subunit alpha-2 OS=Homo sapiens OX=9606 GN=GNAT2 PE=2 SV=4
+FLGCDKLNEKIIIDTVADFVFKVNQTDTACTMHSYIEKVDKRMNLDLFQSKIYNGADDYSNNGDYEPFCISLHVKKIKEEFLDKKNLFLVISTAAFFKHNCISNFLHLSEHMRNVEDDEVLVMDYASLAACFIICTVGEFCHIWKKRESRQGGVDFMRFNLDKVSFKTEIIGTTKVRSRLVDQESPLYEPDTIRELQNLYYSASDNLQYEAAREFCAQVGGDKWLRRIVEVLEPPMTGEEISDALNNLQRGDDACSPEAYDIGLTTMARIIALISQLVNGYIIAKFELCEEPSYGDQHIIKMQKVITSKGSEGAGLLLLKVTKAEKDADEQLKKELEKSRKALEKDEASAGSGM
+>sp|Q3T906|GNPTA_HUMAN N-acetylglucosamine-1-phosphotransferase subunits alpha/beta OS=Homo sapiens OX=9606 GN=GNPTAB PE=1 SV=1
+MLFKLLQRQTYTCLSHRYGLYVCFLGVVVTIVSAFQFGEVVLEWSRDQYHVLFDSYRDNIAGKSFQNRLCLPMPIDVVYTWVNGTDLELLKELQQVREQMEEEQKAMREILGKNTTEPTKKSEKQLECLLTHCIKVPMLVLDPALPANITLKDLPSLYPSFHSASDIFNVAKPKNPSTNVSVVVFDSTKDVEDAHSGLLKGNSRQTVWRGYLTTDKEVPGLVLMQDLAFLSGFPPTFKETNQLKTKLPENLSSKVKLLQLYSEASVALLKLNNPKDFQELNKQTKKNMTIDGKELTISPAYLLWDLSAISQSKQDEDISASRFEDNEELRYSLRSIERHAPWVRNIFIVTNGQIPSWLNLDNPRVTIVTHQDVFRNLSHLPTFSSPAIESHIHRIEGLSQKFIYLNDDVMFGKDVWPDDFYSHSKGQKVYLTWPVPNCAEGCPGSWIKDGYCDKACNNSACDWDGGDCSGNSGGSRYIAGGGGTGSIGVGQPWQFGGGINSVSYCNQGCANSWLADKFCDQACNVLSCGFDAGDCGQDHFHELYKVILLPNQTHYIIPKGECLPYFSFAEVAKRGVEGAYSDNPIIRHASIANKWKTIHLIMHSGMNATTIHFNLTFQNTNDEEFKMQITVEVDTREGPKLNSTAQKGYENLVSPITLLPEAEILFEDIPKEKRFPKFKRHDVNSTRRAQEEVKIPLVNISLLPKDAQLSLNTLDLQLEHGDITLKGYNLSKSALLRSFLMNSQHAKIKNQAIITDETNDSLVAPQEKQVHKSILPNSLGVSERLQRLTFPAVSVKVNGHDQGQNPPLDLETTARFRVETHTQKTIGGNVTKEKPPSLIVPLESQMTKEKKITGKEKENSRMEENAENHIGVTEVLLGRKLQHYTDSYLGFLPWEKKKYFQDLLDEEESLKTQLAYFTDSKNTGRQLKDTFADSLRYVNKILNSKFGFTSRKVPAHMPHMIDRIVMQELQDMFPEEFDKTSFHKVRHSEDMQFAFSYFYYLMSAVQPLNISQVFDEVDTDQSGVLSDREIRTLATRIHELPLSLQDLTGLEHMLINCSKMLPADITQLNNIPPTQESYYDPNLPPVTKSLVTNCKPVTDKIHKAYKDKNKYRFEIMGEEEIAFKMIRTNVSHVVGQLDDIRKNPRKFVCLNDNIDHNHKDAQTVKAVLRDFYESMFPIPSQFELPREYRNRFLHMHELQEWRAYRDKLKFWTHCVLATLIMFTIFSFFAEQLIALKRKIFPRRRIHKEASPNRIRV
+>DECOY_sp|Q3T906|GNPTA_HUMAN N-acetylglucosamine-1-phosphotransferase subunits alpha/beta OS=Homo sapiens OX=9606 GN=GNPTAB PE=1 SV=1
+VRIRNPSAEKHIRRRPFIKRKLAILQEAFFSFITFMILTALVCHTWFKLKDRYARWEQLEHMHLFRNRYERPLEFQSPIPFMSEYFDRLVAKVTQADKHNHDINDNLCVFKRPNKRIDDLQGVVHSVNTRIMKFAIEEEGMIEFRYKNKDKYAKHIKDTVPKCNTVLSKTVPPLNPDYYSEQTPPINNLQTIDAPLMKSCNILMHELGTLDQLSLPLEHIRTALTRIERDSLVGSQDTDVEDFVQSINLPQVASMLYYFYSFAFQMDESHRVKHFSTKDFEEPFMDQLEQMVIRDIMHPMHAPVKRSTFGFKSNLIKNVYRLSDAFTDKLQRGTNKSDTFYALQTKLSEEEDLLDQFYKKKEWPLFGLYSDTYHQLKRGLLVETVGIHNEANEEMRSNEKEKGTIKKEKTMQSELPVILSPPKEKTVNGGITKQTHTEVRFRATTELDLPPNQGQDHGNVKVSVAPFTLRQLRESVGLSNPLISKHVQKEQPAVLSDNTEDTIIAQNKIKAHQSNMLFSRLLASKSLNYGKLTIDGHELQLDLTNLSLQADKPLLSINVLPIKVEEQARRTSNVDHRKFKPFRKEKPIDEFLIEAEPLLTIPSVLNEYGKQATSNLKPGERTDVEVTIQMKFEEDNTNQFTLNFHITTANMGSHMILHITKWKNAISAHRIIPNDSYAGEVGRKAVEAFSFYPLCEGKPIIYHTQNPLLIVKYLEHFHDQGCDGADFGCSLVNCAQDCFKDALWSNACGQNCYSVSNIGGGFQWPQGVGISGTGGGGAIYRSGGSNGSCDGGDWDCASNNCAKDCYGDKIWSGPCGEACNPVPWTLYVKQGKSHSYFDDPWVDKGFMVDDNLYIFKQSLGEIRHIHSEIAPSSFTPLHSLNRFVDQHTVITVRPNDLNLWSPIQGNTVIFINRVWPAHREISRLSYRLEENDEFRSASIDEDQKSQSIASLDWLLYAPSITLEKGDITMNKKTQKNLEQFDKPNNLKLLAVSAESYLQLLKVKSSLNEPLKTKLQNTEKFTPPFGSLFALDQMLVLGPVEKDTTLYGRWVTQRSNGKLLGSHADEVDKTSDFVVVSVNTSPNKPKAVNFIDSASHFSPYLSPLDKLTINAPLAPDLVLMPVKICHTLLCELQKESKKTPETTNKGLIERMAKQEEEMQERVQQLEKLLELDTGNVWTYVVDIPMPLCLRNQFSKGAINDRYSDFLVHYQDRSWELVVEGFQFASVITVVVGLFCVYLGYRHSLCTYTQRQLLKFLM
+>sp|P30968|GNRHR_HUMAN Gonadotropin-releasing hormone receptor OS=Homo sapiens OX=9606 GN=GNRHR PE=1 SV=1
+MANSASPEQNQNHCSAINNSIPLMQGNLPTLTLSGKIRVTVTFFLFLLSATFNASFLLKLQKWTQKKEKGKKLSRMKLLLKHLTLANLLETLIVMPLDGMWNITVQWYAGELLCKVLSYLKLFSMYAPAFMMVVISLDRSLAITRPLALKSNSKVGQSMVGLAWILSSVFAGPQLYIFRMIHLADSSGQTKVFSQCVTHCSFSQWWHQAFYNFFTFSCLFIIPLFIMLICNAKIIFTLTRVLHQDPHELQLNQSKNNIPRARLKTLKMTVAFATSFTVCWTPYYVLGIWYWFDPEMLNRLSDPVNHFFFLFAFLNPCFDPLIYGYFSL
+>DECOY_sp|P30968|GNRHR_HUMAN Gonadotropin-releasing hormone receptor OS=Homo sapiens OX=9606 GN=GNRHR PE=1 SV=1
+LSFYGYILPDFCPNLFAFLFFFHNVPDSLRNLMEPDFWYWIGLVYYPTWCVTFSTAFAVTMKLTKLRARPINNKSQNLQLEHPDQHLVRTLTFIIKANCILMIFLPIIFLCSFTFFNYFAQHWWQSFSCHTVCQSFVKTQGSSDALHIMRFIYLQPGAFVSSLIWALGVMSQGVKSNSKLALPRTIALSRDLSIVVMMFAPAYMSFLKLYSLVKCLLEGAYWQVTINWMGDLPMVILTELLNALTLHKLLLKMRSLKKGKEKKQTWKQLKLLFSANFTASLLFLFFTVTVRIKGSLTLTPLNGQMLPISNNIASCHNQNQEPSASNAM
+>sp|P0CG33|GOG6D_HUMAN Golgin subfamily A member 6D OS=Homo sapiens OX=9606 GN=GOLGA6D PE=3 SV=1
+MWPQPYLPPHPMMLEESRQNKLAAAKKKLKEYQQRKSPGIPAGAKTKKKKTDSSPETTTSGGGHSPGDSQYQELAVALESSSVTINQLNENIESLKQQKKQVEHQLEEAKKTNNEIHKAQMEQLETINILTLEKADLKTTLYHTKRAARHFEEESKDLAGRLQYSLQHIQELERALCAVSTQQQEEDRSSSCREAVLQRRLQQTIKERALLNAHVTQVTESLKQVQLERDEYAKHIKGERARWQERMWKMSVEARTLKEEKKRDIHRIQELERSLSELKNQMAEPPSLAPPAVTSVVEQLQDEAKHLRQEVEGLEGKLQSQVENNQALSLLSKEQKQRLQEQEEMLREQEAQRVREQERLCEQNERLREQQKTLQEQGERLRKQEQRLRKQEERLRKEEERLQKQEKRLWDQEERLWKKEERLQKQEERLALSQNHKLDKQLAEPQCSFEDLNNEKKSALQLEQQVKELQEKLDEEHLEAASQRNQQLETQLSLVALPGEGDGGQHLDSEEEEAPRPTPNIPEDLESREATSSFMDLPKEKADGTEQVERRELGFVQPSGVTDGMRESFTVYESQGAVPNTRHQEMEDVIRLAQKEEEMKVKLLELQELVLPLVGNHEGHGKFLIAAQNPADEPTPGAPAPQELGAAGEQDVFYEVSLDNNVEPAPGVAREGSPHNNPTVQQIVQLSPVMQDT
+>DECOY_sp|P0CG33|GOG6D_HUMAN Golgin subfamily A member 6D OS=Homo sapiens OX=9606 GN=GOLGA6D PE=3 SV=1
+TDQMVPSLQVIQQVTPNNHPSGERAVGPAPEVNNDLSVEYFVDQEGAAGLEQPAPAGPTPEDAPNQAAILFKGHGEHNGVLPLVLEQLELLKVKMEEEKQALRIVDEMEQHRTNPVAGQSEYVTFSERMGDTVGSPQVFGLERREVQETGDAKEKPLDMFSSTAERSELDEPINPTPRPAEEEESDLHQGGDGEGPLAVLSLQTELQQNRQSAAELHEEDLKEQLEKVQQELQLASKKENNLDEFSCQPEALQKDLKHNQSLALREEQKQLREEKKWLREEQDWLRKEQKQLREEEKRLREEQKRLRQEQKRLREGQEQLTKQQERLRENQECLREQERVRQAEQERLMEEQEQLRQKQEKSLLSLAQNNEVQSQLKGELGEVEQRLHKAEDQLQEVVSTVAPPALSPPEAMQNKLESLSRELEQIRHIDRKKEEKLTRAEVSMKWMREQWRAREGKIHKAYEDRELQVQKLSETVQTVHANLLAREKITQQLRRQLVAERCSSSRDEEQQQTSVACLARELEQIHQLSYQLRGALDKSEEEFHRAARKTHYLTTKLDAKELTLINITELQEMQAKHIENNTKKAEELQHEVQKKQQKLSEINENLQNITVSSSELAVALEQYQSDGPSHGGGSTTTEPSSDTKKKKTKAGAPIGPSKRQQYEKLKKKAAALKNQRSEELMMPHPPLYPQPWM
+>sp|Q2TAP0|GOG7B_HUMAN Golgin subfamily A member 7B OS=Homo sapiens OX=9606 GN=GOLGA7B PE=2 SV=2
+MATEVHNLQELRRSASLATKVFIQRDYSDGTICQFQTKFPPELDSRIERQLFEETVKTLNGFYAEAEKIGGSSYLEGCLACATAYFIFLCMETHYEKVLKKISRYIQEQNEKIFAPRGLLLTDPVERGMRVIEISIYEDRCSSGSSSSGSSSGSGSSSGGGGGAGAR
+>DECOY_sp|Q2TAP0|GOG7B_HUMAN Golgin subfamily A member 7B OS=Homo sapiens OX=9606 GN=GOLGA7B PE=2 SV=2
+RAGAGGGGGSSSGSGSSSGSSSSGSSCRDEYISIEIVRMGREVPDTLLLGRPAFIKENQEQIYRSIKKLVKEYHTEMCLFIFYATACALCGELYSSGGIKEAEAYFGNLTKVTEEFLQREIRSDLEPPFKTQFQCITGDSYDRQIFVKTALSASRRLEQLNHVETAM
+>sp|A8MQT2|GOG8B_HUMAN Golgin subfamily A member 8B OS=Homo sapiens OX=9606 GN=GOLGA8B PE=2 SV=2
+MAEETGQSKLAAAKKKFKEYWQRNRPGVPAAAKRNTKANGSSPETAASGGCHSSEASSSASSSLHARQSPCQEQAAVLNSRSIKISRLNDTIKSLKQQKKQVEHQLEEEKKANNEKQKAERELEGQIQRLNTEKKKLNTDLYHMKHSLRYFEEESKDLAGRLQRSSQRIGELEWSLCAVAATQKKKPDGFSSRSKALLKRQLEQSIREQILLKGHVTQLKESLKEVQLERDQYAEQIKGERAQWQQRMRKMSQEVCTLKEEKKHDTHRVEELERSLSRLKNQMAEPLPPDAPAVSSEVELQDLRKELERVAGELQAQVENNQCISLLNRGQKERLREQEERLQEQQERLREREKRLQQLAEPQSDLEELKHENKSALQLEQQVKELQEKLGQVMETLTSAEKEPEAAVPASGTGGESSGLMDLLEEKADLREHVEKLELGFIQYRRERCHQKVHRLLTEPGDSAKDASPGGGHHQAGPGQGGEEGEAAGAAGDGVAACGSYSEGHGKFLAAARNPAAEPSPGAPAPQELGAADKHGDLCEASLTNSVEPAQGEAREGSSQDNPTAQPVLQLLGEMQDHQEHPGLGSNCCVPCFCWAWLPRRRR
+>DECOY_sp|A8MQT2|GOG8B_HUMAN Golgin subfamily A member 8B OS=Homo sapiens OX=9606 GN=GOLGA8B PE=2 SV=2
+RRRRPLWAWCFCPVCCNSGLGPHEQHDQMEGLLQLVPQATPNDQSSGERAEGQAPEVSNTLSAECLDGHKDAAGLEQPAPAGPSPEAAPNRAAALFKGHGESYSGCAAVGDGAAGAAEGEEGGQGPGAQHHGGGPSADKASDGPETLLRHVKQHCRERRYQIFGLELKEVHERLDAKEELLDMLGSSEGGTGSAPVAAEPEKEASTLTEMVQGLKEQLEKVQQELQLASKNEHKLEELDSQPEALQQLRKERERLREQQEQLREEQERLREKQGRNLLSICQNNEVQAQLEGAVRELEKRLDQLEVESSVAPADPPLPEAMQNKLRSLSRELEEVRHTDHKKEEKLTCVEQSMKRMRQQWQAREGKIQEAYQDRELQVEKLSEKLQTVHGKLLIQERISQELQRKLLAKSRSSFGDPKKKQTAAVACLSWELEGIRQSSRQLRGALDKSEEEFYRLSHKMHYLDTNLKKKETNLRQIQGELEREAKQKENNAKKEEELQHEVQKKQQKLSKITDNLRSIKISRSNLVAAQEQCPSQRAHLSSSASSSAESSHCGGSAATEPSSGNAKTNRKAAAPVGPRNRQWYEKFKKKAAALKSQGTEEAM
+>sp|O00461|GOLI4_HUMAN Golgi integral membrane protein 4 OS=Homo sapiens OX=9606 GN=GOLIM4 PE=1 SV=1
+MGNGMCSRKQKRIFQTLLLLTVVFGFLYGAMLYYELQTQLRKAEAVALKYQQHQESLSAQLQVVYEHRSRLEKSLQKERLEHKKAKEDFLVYKLEAQETLNKGRQDSNSRYSALNVQHQMLKSQHEELKKQHSDLEEEHRKQGEDFSRTFNDHKQKYLQLQQEKEQELSKLKETVYNLREENRQLRKAHQDIHTQLQDVKQQHKNLLSEHEQLVVTLEDHKSALAAAQTQVAEYKQLKDTLNRIPSLRKPDPAEQQNVTQVAHSPQGYNTAREKPTREVQEVSRNNDVWQNHEAVPGRAEDTKLYAPTHKEAEFQAPPEPIQQEVERREPEEHQVEEEHRKALEEEEMEQVGQAEHLEEEHDPSPEEQDREWKEQHEQREAANLLEGHARAEVYPSAKPMIKFQSPYEEQLEQQRLAVQQVEEAQQLREHQEALHQQRLQGHLLRQQEQQQQQVAREMALQRQAELEEGRPQHQEQLRQQAHYDAMDNDIVQGAEDQGIQGEEGAYERDNQHQDEAEGDPGNRHEPREQGPREADPESEADRAAVEDINPADDPNNQGEDEFEEAEQVREENLPDENEEQKQSNQKQENTEVEEHLVMAGNPDQQEDNVDEQYQEEAEEEVQEDLTEEKKRELEHNAEETYGENDENTDDKNNDGEEQEVRDDNRPKGREEHYEEEEEEEEDGAAVAEKSHRRAEM
+>DECOY_sp|O00461|GOLI4_HUMAN Golgi integral membrane protein 4 OS=Homo sapiens OX=9606 GN=GOLIM4 PE=1 SV=1
+MEARRHSKEAVAAGDEEEEEEEEYHEERGKPRNDDRVEQEEGDNNKDDTNEDNEGYTEEANHELERKKEETLDEQVEEEAEEQYQEDVNDEQQDPNGAMVLHEEVETNEQKQNSQKQEENEDPLNEERVQEAEEFEDEGQNNPDDAPNIDEVAARDAESEPDAERPGQERPEHRNGPDGEAEDQHQNDREYAGEEGQIGQDEAGQVIDNDMADYHAQQRLQEQHQPRGEELEAQRQLAMERAVQQQQQEQQRLLHGQLRQQHLAEQHERLQQAEEVQQVALRQQELQEEYPSQFKIMPKASPYVEARAHGELLNAAERQEHQEKWERDQEEPSPDHEEELHEAQGVQEMEEEELAKRHEEEVQHEEPERREVEQQIPEPPAQFEAEKHTPAYLKTDEARGPVAEHNQWVDNNRSVEQVERTPKERATNYGQPSHAVQTVNQQEAPDPKRLSPIRNLTDKLQKYEAVQTQAAALASKHDELTVVLQEHESLLNKHQQKVDQLQTHIDQHAKRLQRNEERLNYVTEKLKSLEQEKEQQLQLYKQKHDNFTRSFDEGQKRHEEELDSHQKKLEEHQSKLMQHQVNLASYRSNSDQRGKNLTEQAELKYVLFDEKAKKHELREKQLSKELRSRHEYVVQLQASLSEQHQQYKLAVAEAKRLQTQLEYYLMAGYLFGFVVTLLLLTQFIRKQKRSCMGNGM
+>sp|Q86XS8|GOLI_HUMAN E3 ubiquitin-protein ligase RNF130 OS=Homo sapiens OX=9606 GN=RNF130 PE=1 SV=1
+MSCAGRAGPARLAALALLTCSLWPARADNASQEYYTALINVTVQEPGRGAPLTFRIDRGRYGLDSPKAEVRGQVLAPLPLHGVADHLGCDPQTRFFVPPNIKQWIALLQRGNCTFKEKISRAAFHNAVAVVIYNNKSKEEPVTMTHPGTGDIIAVMITELRGKDILSYLEKNISVQMTIAVGTRMPPKNFSRGSLVFVSISFIVLMIISSAWLIFYFIQKIRYTNARDRNQRRLGDAAKKAISKLTTRTVKKGDKETDPDFDHCAVCIESYKQNDVVRILPCKHVFHKSCVDPWLSEHCTCPMCKLNILKALGIVPNLPCTDNVAFDMERLTRTQAVNRRSALGDLAGDNSLGLEPLRTSGISPLPQDGELTPRTGEINIAVTKEWFIIASFGLLSALTLCYMIIRATASLNANEVEWF
+>DECOY_sp|Q86XS8|GOLI_HUMAN E3 ubiquitin-protein ligase RNF130 OS=Homo sapiens OX=9606 GN=RNF130 PE=1 SV=1
+FWEVENANLSATARIIMYCLTLASLLGFSAIIFWEKTVAINIEGTRPTLEGDQPLPSIGSTRLPELGLSNDGALDGLASRRNVAQTRTLREMDFAVNDTCPLNPVIGLAKLINLKCMPCTCHESLWPDVCSKHFVHKCPLIRVVDNQKYSEICVACHDFDPDTEKDGKKVTRTTLKSIAKKAADGLRRQNRDRANTYRIKQIFYFILWASSIIMLVIFSISVFVLSGRSFNKPPMRTGVAITMQVSINKELYSLIDKGRLETIMVAIIDGTGPHTMTVPEEKSKNNYIVVAVANHFAARSIKEKFTCNGRQLLAIWQKINPPVFFRTQPDCGLHDAVGHLPLPALVQGRVEAKPSDLGYRGRDIRFTLPAGRGPEQVTVNILATYYEQSANDARAPWLSCTLLALAALRAPGARGACSM
+>sp|O43555|GON2_HUMAN Progonadoliberin-2 OS=Homo sapiens OX=9606 GN=GNRH2 PE=2 SV=1
+MASSRRGLLLLLLLTAHLGPSEAQHWSHGWYPGGKRALSSAQDPQNALRPPGRALDTAAGSPVQTAHGLPSDALAPLDDSMPWEGRTTAQWSLHRKRHLARTLLTAAREPRPAPPSSNKV
+>DECOY_sp|O43555|GON2_HUMAN Progonadoliberin-2 OS=Homo sapiens OX=9606 GN=GNRH2 PE=2 SV=1
+VKNSSPPAPRPERAATLLTRALHRKRHLSWQATTRGEWPMSDDLPALADSPLGHATQVPSGAATDLARGPPRLANQPDQASSLARKGGPYWGHSWHQAESPGLHATLLLLLLLGRRSSAM
+>sp|O95249|GOSR1_HUMAN Golgi SNAP receptor complex member 1 OS=Homo sapiens OX=9606 GN=GOSR1 PE=1 SV=1
+MAAGTSSYWEDLRKQARQLENELDLKLVSFSKLCTSYSHSSTRDGRRDRYSSDTTPLLNGSSQDRMFETMAIEIEQLLARLTGVNDKMAEYTNSAGVPSLNAALMHTLQRHRDILQDYTHEFHKTKANFMAIRERENLMGSVRKDIESYKSGSGVNNRRTELFLKEHDHLRNSDRLIEETISIAMATKENMTSQRGMLKSIHSKMNTLANRFPAVNSLIQRINLRKRRDSLILGGVIGICTILLLLYAFH
+>DECOY_sp|O95249|GOSR1_HUMAN Golgi SNAP receptor complex member 1 OS=Homo sapiens OX=9606 GN=GOSR1 PE=1 SV=1
+HFAYLLLLITCIGIVGGLILSDRRKRLNIRQILSNVAPFRNALTNMKSHISKLMGRQSTMNEKTAMAISITEEILRDSNRLHDHEKLFLETRRNNVGSGSKYSEIDKRVSGMLNERERIAMFNAKTKHFEHTYDQLIDRHRQLTHMLAANLSPVGASNTYEAMKDNVGTLRALLQEIEIAMTEFMRDQSSGNLLPTTDSSYRDRRGDRTSSHSYSTCLKSFSVLKLDLENELQRAQKRLDEWYSSTGAAM
+>sp|Q7Z602|GP141_HUMAN Probable G-protein coupled receptor 141 OS=Homo sapiens OX=9606 GN=GPR141 PE=2 SV=1
+MPGHNTSRNSSCDPIVTPHLISLYFIVLIGGLVGVISILFLLVKMNTRSVTTMAVINLVVVHSVFLLTVPFRLTYLIKKTWMFGLPFCKFVSAMLHIHMYLTFLFYVVILVTRYLIFFKCKDKVEFYRKLHAVAASAGMWTLVIVIVVPLVVSRYGIHEEYNEEHCFKFHKELAYTYVKIINYMIVIFVIAVAVILLVFQVFIIMLMVQKLRHSLLSHQEFWAQLKNLFFIGVILVCFLPYQFFRIYYLNVVTHSNACNSKVAFYNEIFLSVTAISCYDLLLFVFGGSHWFKQKIIGLWNCVLCR
+>DECOY_sp|Q7Z602|GP141_HUMAN Probable G-protein coupled receptor 141 OS=Homo sapiens OX=9606 GN=GPR141 PE=2 SV=1
+RCLVCNWLGIIKQKFWHSGGFVFLLLDYCSIATVSLFIENYFAVKSNCANSHTVVNLYYIRFFQYPLFCVLIVGIFFLNKLQAWFEQHSLLSHRLKQVMLMIIFVQFVLLIVAVAIVFIVIMYNIIKVYTYALEKHFKFCHEENYEEHIGYRSVVLPVVIVIVLTWMGASAAVAHLKRYFEVKDKCKFFILYRTVLIVVYFLFTLYMHIHLMASVFKCFPLGFMWTKKILYTLRFPVTLLFVSHVVVLNIVAMTTVSRTNMKVLLFLISIVGVLGGILVIFYLSILHPTVIPDCSSNRSTNHGPM
+>sp|Q86SP6|GP149_HUMAN Probable G-protein coupled receptor 149 OS=Homo sapiens OX=9606 GN=GPR149 PE=2 SV=2
+MSLFLSNLSTNDSSLWKENHNSTDLLNPPGTLNIYLFCLTCLMTFAALVGSIYSLISLLKMQNRTVVSMLVASWSVDDLMSVLSVTIFMFLQWPNEVPGYFQFLCTTSALMYLCQGLSSNLKATLLVSYNFYTMHRGVGSQTASRRSGQVLGVVLTVWAASLLLSALPLCGWGAFVRTPWGCLVDCSSSYVLFLSIVYALAFGLLVGLSVPLTHRLLCSEEPPRLHSNYQEISRGASIPGTPPTAGRVVSLSPEDAPGPSLRRSGGCSPSSDTVFGPGAPAAAGAEACRRENRGTLYGTRSFTVSVAQKRFALILALTKVVLWLPMMMHMVVQNVVGFQSLPLETFSFLLTLLATTVTPVFVLSKRWTHLPCGCIINCRQNAYAVASDGKKIKRKGFEFNLSFQKSYGIYKIAHEDYYDDDENSIFYHNLMNSECETTKDPQRDNRNIFNAIKVEISTTPSLDSSTQRGINKCTNTDITEAKQDSNNKKDAFSDKTGGDINYEETTFSEGPERRLSHEESQKPDLSDWEWCRSKSERTPRQRSGYALAIPLCAFQGTVSLHAPTGKTLSLSTYEVSAEGQKITPASKKIEVYRSKSVGHEPNSEDSSSTFVDTSVKIHLEVLEICDNEEALDTVSIISNISQSSTQVRSPSLRYSRKENRFVSCDLGETASYSLFLPTSNPDGDINISIPDTVEAHRQNSKRQHQERDGYQEEIQLLNKAYRKREEESKGS
+>DECOY_sp|Q86SP6|GP149_HUMAN Probable G-protein coupled receptor 149 OS=Homo sapiens OX=9606 GN=GPR149 PE=2 SV=2
+SGKSEEERKRYAKNLLQIEEQYGDREQHQRKSNQRHAEVTDPISINIDGDPNSTPLFLSYSATEGLDCSVFRNEKRSYRLSPSRVQTSSQSINSIISVTDLAEENDCIELVELHIKVSTDVFTSSSDESNPEHGVSKSRYVEIKKSAPTIKQGEASVEYTSLSLTKGTPAHLSVTGQFACLPIALAYGSRQRPTRESKSRCWEWDSLDPKQSEEHSLRREPGESFTTEEYNIDGGTKDSFADKKNNSDQKAETIDTNTCKNIGRQTSSDLSPTTSIEVKIANFINRNDRQPDKTTECESNMLNHYFISNEDDDYYDEHAIKYIGYSKQFSLNFEFGKRKIKKGDSAVAYANQRCNIICGCPLHTWRKSLVFVPTVTTALLTLLFSFTELPLSQFGVVNQVVMHMMMPLWLVVKTLALILAFRKQAVSVTFSRTGYLTGRNERRCAEAGAAAPAGPGFVTDSSPSCGGSRRLSPGPADEPSLSVVRGATPPTGPISAGRSIEQYNSHLRPPEESCLLRHTLPVSLGVLLGFALAYVISLFLVYSSSCDVLCGWPTRVFAGWGCLPLASLLLSAAWVTLVVGLVQGSRRSATQSGVGRHMTYFNYSVLLTAKLNSSLGQCLYMLASTTCLFQFYGPVENPWQLFMFITVSLVSMLDDVSWSAVLMSVVTRNQMKLLSILSYISGVLAAFTMLCTLCFLYINLTGPPNLLDTSNHNEKWLSSDNTSLNSLFLSM
+>sp|Q5VTD9|GFI1B_HUMAN Zinc finger protein Gfi-1b OS=Homo sapiens OX=9606 GN=GFI1B PE=1 SV=1
+MPRSFLVKSKKAHTYHQPRVQEDEPLWPPALTPVPRDQAPSNSPVLSTLFPNQCLDWTNLKREPELEQDQNLARMAPAPEGPIVLSRPQDGDSPLSDSPPFYKPSFSWDTLATTYGHSYRQAPSTMQSAFLEHSVSLYGSPLVPSTEPALDFSLRYSPGMDAYHCVKCNKVFSTPHGLEVHVRRSHSGTRPFACDICGKTFGHAVSLEQHTHVHSQERSFECRMCGKAFKRSSTLSTHLLIHSDTRPYPCQFCGKRFHQKSDMKKHTYIHTGEKPHKCQVCGKAFSQSSNLITHSRKHTGFKPFSCELCTKGFQRKVDLRRHRESQHNLK
+>DECOY_sp|Q5VTD9|GFI1B_HUMAN Zinc finger protein Gfi-1b OS=Homo sapiens OX=9606 GN=GFI1B PE=1 SV=1
+KLNHQSERHRRLDVKRQFGKTCLECSFPKFGTHKRSHTILNSSQSFAKGCVQCKHPKEGTHIYTHKKMDSKQHFRKGCFQCPYPRTDSHILLHTSLTSSRKFAKGCMRCEFSREQSHVHTHQELSVAHGFTKGCIDCAFPRTGSHSRRVHVELGHPTSFVKNCKVCHYADMGPSYRLSFDLAPETSPVLPSGYLSVSHELFASQMTSPAQRYSHGYTTALTDWSFSPKYFPPSDSLPSDGDQPRSLVIPGEPAPAMRALNQDQELEPERKLNTWDLCQNPFLTSLVPSNSPAQDRPVPTLAPPWLPEDEQVRPQHYTHAKKSKVLFSRPM
+>sp|O94808|GFPT2_HUMAN Glutamine--fructose-6-phosphate aminotransferase [isomerizing] 2 OS=Homo sapiens OX=9606 GN=GFPT2 PE=1 SV=3
+MCGIFAYMNYRVPRTRKEIFETLIKGLQRLEYRGYDSAGVAIDGNNHEVKERHIQLVKKRGKVKALDEELYKQDSMDLKVEFETHFGIAHTRWATHGVPSAVNSHPQRSDKGNEFVVIHNGIITNYKDLRKFLESKGYEFESETDTETIAKLIKYVFDNRETEDITFSTLVERVIQQLEGAFALVFKSVHYPGEAVATRRGSPLLIGVRSKYKLSTEQIPILYRTCTLENVKNICKTRMKRLDSSACLHAVGDKAVEFFFASDASAIIEHTNRVIFLEDDDIAAVADGKLSIHRVKRSASDDPSRAIQTLQMELQQIMKGNFSAFMQKEIFEQPESVFNTMRGRVNFETNTVLLGGLKDHLKEIRRCRRLIVIGCGTSYHAAVATRQVLEELTELPVMVELASDFLDRNTPVFRDDVCFFISQSGETADTLLALRYCKDRGALTVGVTNTVGSSISRETDCGVHINAGPEIGVASTKAYTSQFISLVMFGLMMSEDRISLQNRRQEIIRGLRSLPELIKEVLSLEEKIHDLALELYTQRSLLVMGRGYNYATCLEGALKIKEITYMHSEGILAGELKHGPLALIDKQMPVIMVIMKDPCFAKCQNALQQVTARQGRPIILCSKDDTESSKFAYKTIELPHTVDCLQGILSVIPLQLLSFHLAVLRGYDVDFPRNLAKSVTVE
+>DECOY_sp|O94808|GFPT2_HUMAN Glutamine--fructose-6-phosphate aminotransferase [isomerizing] 2 OS=Homo sapiens OX=9606 GN=GFPT2 PE=1 SV=3
+EVTVSKALNRPFDVDYGRLVALHFSLLQLPIVSLIGQLCDVTHPLEITKYAFKSSETDDKSCLIIPRGQRATVQQLANQCKAFCPDKMIVMIVPMQKDILALPGHKLEGALIGESHMYTIEKIKLAGELCTAYNYGRGMVLLSRQTYLELALDHIKEELSLVEKILEPLSRLGRIIEQRRNQLSIRDESMMLGFMVLSIFQSTYAKTSAVGIEPGANIHVGCDTERSISSGVTNTVGVTLAGRDKCYRLALLTDATEGSQSIFFCVDDRFVPTNRDLFDSALEVMVPLETLEELVQRTAVAAHYSTGCGIVILRRCRRIEKLHDKLGGLLVTNTEFNVRGRMTNFVSEPQEFIEKQMFASFNGKMIQQLEMQLTQIARSPDDSASRKVRHISLKGDAVAAIDDDELFIVRNTHEIIASADSAFFFEVAKDGVAHLCASSDLRKMRTKCINKVNELTCTRYLIPIQETSLKYKSRVGILLPSGRRTAVAEGPYHVSKFVLAFAGELQQIVREVLTSFTIDETERNDFVYKILKAITETDTESEFEYGKSELFKRLDKYNTIIGNHIVVFENGKDSRQPHSNVASPVGHTAWRTHAIGFHTEFEVKLDMSDQKYLEEDLAKVKGRKKVLQIHREKVEHNNGDIAVGASDYGRYELRQLGKILTEFIEKRTRPVRYNMYAFIGCM
+>sp|Q8N9W4|GG6L2_HUMAN Golgin subfamily A member 6-like protein 2 OS=Homo sapiens OX=9606 GN=GOLGA6L2 PE=1 SV=2
+MWPQPHLPPHPMMSEKTRQNKLAEAKKKFTDYRQWNIAGVGTRATDTKKKKINNGTNPETTTSEGCHSPEDTQQNRAQLKEEKKASHQHQEALRREIEAQDHTIRILTCQKTELETALYYSQDAARKFEDGNLGTPSSFNLALSQAFRGSPLGCVSTSLIPGESKDLAGRLHHSWHFAGELQRALSAVSTWHKKADRYIEELTKERDALSLELYRNTITNEELKKKNAELQEKLRLAESEKSEIQLNVKELKRKLERAKFLLPQVQTNTLQEEMWRQEEELREQEKKIRKQEEKMWRQEERLREQEGKMREQEEKMRRQEKRLREQEKELREQEKELREQKKLREQEEQMQEQEEKMWEQEEKMREQEEKMWRQEERLWEQEKQMREQEQKMRDQEERMWEQDERLREKEERMREQEKMWEQVEKMREEKKMQEQEKKTRDQEEKMQEEERIREREKKMREEEETMREQEEKMQKQEENMWEQEEKEWQQQRLPEQKEKLWEQEKMQEQEEKIWEQEEKIRDQEEMWGQEKKMWRQEKMREQEEEMREKEERIRDQKEKMQERLPEHEERCSEPCLPPSKVLCNMSHTGSVEPAGGEAGEGSPQDNPTAQEIMQLFCGMKNAQQCPGLGSTSCIPFFYRGDKRKMKIINI
+>DECOY_sp|Q8N9W4|GG6L2_HUMAN Golgin subfamily A member 6-like protein 2 OS=Homo sapiens OX=9606 GN=GOLGA6L2 PE=1 SV=2
+INIIKMKRKDGRYFFPICSTSGLGPCQQANKMGCFLQMIEQATPNDQPSGEGAEGGAPEVSGTHSMNCLVKSPPLCPESCREEHEPLREQMKEKQDRIREEKERMEEEQERMKEQRWMKKEQGWMEEQDRIKEEQEWIKEEQEQMKEQEWLKEKQEPLRQQQWEKEEQEWMNEEQKQMKEEQERMTEEEERMKKERERIREEEQMKEEQDRTKKEQEQMKKEERMKEVQEWMKEQERMREEKERLREDQEWMREEQDRMKQEQERMQKEQEWLREEQRWMKEEQERMKEEQEWMKEEQEQMQEEQERLKKQERLEKEQERLEKEQERLRKEQRRMKEEQERMKGEQERLREEQRWMKEEQKRIKKEQERLEEEQRWMEEQLTNTQVQPLLFKARELKRKLEKVNLQIESKESEALRLKEQLEANKKKLEENTITNRYLELSLADREKTLEEIYRDAKKHWTSVASLARQLEGAFHWSHHLRGALDKSEGPILSTSVCGLPSGRFAQSLALNFSSPTGLNGDEFKRAADQSYYLATELETKQCTLIRITHDQAEIERRLAEQHQHSAKKEEKLQARNQQTDEPSHCGESTTTEPNTGNNIKKKKTDTARTGVGAINWQRYDTFKKKAEALKNQRTKESMMPHPPLHPQPWM
+>sp|H0YM25|GG6LV_HUMAN Golgin subfamily A member 6-like protein 22 OS=Homo sapiens OX=9606 GN=GOLGA6L22 PE=3 SV=2
+MMSKETRQSKLAEAKEQLTDHHPQTNPSVGTAASDTKKKKINNGTSPETTTSGGCHSPEDEQKASHQHQEALRRELEAQVHTIRILTCQKTELQMALYYSQHAVKQLEGEARDLISRLHDSWKFAGELEQALSAVTTQKKKADRYIEELTKERDALSLELYRNTITDEELKEKNAKLQEKLQLVESEKSEIQLNVKELKRKLERAKLLLPQQLQAEADHLGKELQSVSAKLQAQVEENELWNRLNQQQEEKMWRQEEKIQEWEEKIQEQEEKIREQEEKIREQEEKMRRQEEMMWEKEEKMRRQEEMMWEKEEKIRELEEKMHEQEKIREQEEKRQEEEKIREQEKRQEQEAKMWRQEEKIREQEEKIREQEKKMWRQEEKIHEQEKIREEEKRQEQEEMWRQEEKIREQEEIWRQKEKMHEQEEKIRKQEEKVWRQEEKMHDQEEKIREQEEKVWRQEEKIREQEKKREQEEKMWRQEEKIREQEEKIREQEEMWREEEKMHEQEKIWEEEKRQEQEDKMWRQEEKIREQEEKVWRQEEKIREQEEKRQEQEEKMWKQEEKIREQEEKIREQEEKIREQEEKIREQEEMMQEQEEKMGEQEEKMQEQEKMRRQEEKIREQEEKIREQKEKIREQEEKIWEQEEKIREQEEMMQEQEEKMGEQEEKIWEQEEKMQEQEEKMRRQEEKIREQEKKIREQEEKIREQEEMMQEQEEKMGEQEEKMQEQEEKMRRQEEKIREQEKKIREQEEKIREQEEMMQEQEEKMWEQEEKMCEQEEKMQEQEEKMRRQEEKMWEQEVRLRQQEEKMQEH
+>DECOY_sp|H0YM25|GG6LV_HUMAN Golgin subfamily A member 6-like protein 22 OS=Homo sapiens OX=9606 GN=GOLGA6L22 PE=3 SV=2
+HEQMKEEQQRLRVEQEWMKEEQRRMKEEQEQMKEEQECMKEEQEWMKEEQEQMMEEQERIKEEQERIKKEQERIKEEQRRMKEEQEQMKEEQEGMKEEQEQMMEEQERIKEEQERIKKEQERIKEEQRRMKEEQEQMKEEQEWIKEEQEGMKEEQEQMMEEQERIKEEQEWIKEEQERIKEKQERIKEEQERIKEEQRRMKEQEQMKEEQEGMKEEQEQMMEEQERIKEEQERIKEEQERIKEEQERIKEEQKWMKEEQEQRKEEQERIKEEQRWVKEEQERIKEEQRWMKDEQEQRKEEEWIKEQEHMKEEERWMEEQERIKEEQERIKEEQRWMKEEQERKKEQERIKEEQRWVKEEQERIKEEQDHMKEEQRWVKEEQKRIKEEQEHMKEKQRWIEEQERIKEEQRWMEEQEQRKEEERIKEQEHIKEEQRWMKKEQERIKEEQERIKEEQRWMKAEQEQRKEQERIKEEEQRKEEQERIKEQEHMKEELERIKEEKEWMMEEQRRMKEEKEWMMEEQRRMKEEQERIKEEQERIKEEQEQIKEEWEQIKEEQRWMKEEQQQNLRNWLENEEVQAQLKASVSQLEKGLHDAEAQLQQPLLLKARELKRKLEKVNLQIESKESEVLQLKEQLKANKEKLEEDTITNRYLELSLADREKTLEEIYRDAKKKQTTVASLAQELEGAFKWSDHLRSILDRAEGELQKVAHQSYYLAMQLETKQCTLIRITHVQAELERRLAEQHQHSAKQEDEPSHCGGSTTTEPSTGNNIKKKKTDSAATGVSPNTQPHHDTLQEKAEALKSQRTEKSMM
+>sp|Q9UJY5|GGA1_HUMAN ADP-ribosylation factor-binding protein GGA1 OS=Homo sapiens OX=9606 GN=GGA1 PE=1 SV=1
+MEPAMEPETLEARINRATNPLNKELDWASINGFCEQLNEDFEGPPLATRLLAHKIQSPQEWEAIQALTVLETCMKSCGKRFHDEVGKFRFLNELIKVVSPKYLGSRTSEKVKNKILELLYSWTVGLPEEVKIAEAYQMLKKQGIVKSDPKLPDDTTFPLPPPRPKNVIFEDEEKSKMLARLLKSSHPEDLRAANKLIKEMVQEDQKRMEKISKRVNAIEEVNNNVKLLTEMVMSHSQGGAAAGSSEDLMKELYQRCERMRPTLFRLASDTEDNDEALAEILQANDNLTQVINLYKQLVRGEEVNGDATAGSIPGSTSALLDLSGLDLPPAGTTYPAMPTRPGEQASPEQPSASVSLLDDELMSLGLSDPTPPSGPSLDGTGWNSFQSSDATEPPAPALAQAPSMESRPPAQTSLPASSGLDDLDLLGKTLLQQSLPPESQQVRWEKQQPTPRLTLRDLQNKSSSCSSPSSSATSLLHTVSPEPPRPPQQPVPTELSLASITVPLESIKPSNILPVTVYDQHGFRILFHFARDPLPGRSDVLVVVVSMLSTAPQPIRNIVFQSAVPKVMKVKLQPPSGTELPAFNPIVHPSAITQVLLLANPQKEKVRLRYKLTFTMGDQTYNEMGDVDQFPPPETWGSL
+>DECOY_sp|Q9UJY5|GGA1_HUMAN ADP-ribosylation factor-binding protein GGA1 OS=Homo sapiens OX=9606 GN=GGA1 PE=1 SV=1
+LSGWTEPPPFQDVDGMENYTQDGMTFTLKYRLRVKEKQPNALLLVQTIASPHVIPNFAPLETGSPPQLKVKMVKPVASQFVINRIPQPATSLMSVVVVLVDSRGPLPDRAFHFLIRFGHQDYVTVPLINSPKISELPVTISALSLETPVPQQPPRPPEPSVTHLLSTASSSPSSCSSSKNQLDRLTLRPTPQQKEWRVQQSEPPLSQQLLTKGLLDLDDLGSSAPLSTQAPPRSEMSPAQALAPAPPETADSSQFSNWGTGDLSPGSPPTPDSLGLSMLEDDLLSVSASPQEPSAQEGPRTPMAPYTTGAPPLDLGSLDLLASTSGPISGATADGNVEEGRVLQKYLNIVQTLNDNAQLIEALAEDNDETDSALRFLTPRMRECRQYLEKMLDESSGAAAGGQSHSMVMETLLKVNNNVEEIANVRKSIKEMRKQDEQVMEKILKNAARLDEPHSSKLLRALMKSKEEDEFIVNKPRPPPLPFTTDDPLKPDSKVIGQKKLMQYAEAIKVEEPLGVTWSYLLELIKNKVKESTRSGLYKPSVVKILENLFRFKGVEDHFRKGCSKMCTELVTLAQIAEWEQPSQIKHALLRTALPPGEFDENLQECFGNISAWDLEKNLPNTARNIRAELTEPEMAPEM
+>sp|Q9H936|GHC1_HUMAN Mitochondrial glutamate carrier 1 OS=Homo sapiens OX=9606 GN=SLC25A22 PE=1 SV=1
+MADKQISLPAKLINGGIAGLIGVTCVFPIDLAKTRLQNQQNGQRVYTSMSDCLIKTVRSEGYFGMYRGAAVNLTLVTPEKAIKLAANDFFRHQLSKDGQKLTLLKEMLAGCGAGTCQVIVTTPMEMLKIQLQDAGRIAAQRKILAAQGQLSAQGGAQPSVEAPAAPRPTATQLTRDLLRSRGIAGLYKGLGATLLRDVPFSVVYFPLFANLNQLGRPASEEKSPFYVSFLAGCVAGSAAAVAVNPCDVVKTRLQSLQRGVNEDTYSGILDCARKILRHEGPSAFLKGAYCRALVIAPLFGIAQVVYFLGIAESLLGLLQDPQA
+>DECOY_sp|Q9H936|GHC1_HUMAN Mitochondrial glutamate carrier 1 OS=Homo sapiens OX=9606 GN=SLC25A22 PE=1 SV=1
+AQPDQLLGLLSEAIGLFYVVQAIGFLPAIVLARCYAGKLFASPGEHRLIKRACDLIGSYTDENVGRQLSQLRTKVVDCPNVAVAAASGAVCGALFSVYFPSKEESAPRGLQNLNAFLPFYVVSFPVDRLLTAGLGKYLGAIGRSRLLDRTLQTATPRPAAPAEVSPQAGGQASLQGQAALIKRQAAIRGADQLQIKLMEMPTTVIVQCTGAGCGALMEKLLTLKQGDKSLQHRFFDNAALKIAKEPTVLTLNVAAGRYMGFYGESRVTKILCDSMSTYVRQGNQQNQLRTKALDIPFVCTVGILGAIGGNILKAPLSIQKDAM
+>sp|Q9H3K2|GHITM_HUMAN Growth hormone-inducible transmembrane protein OS=Homo sapiens OX=9606 GN=GHITM PE=1 SV=2
+MLAARLVCLRTLPSRVFHPAFTKASPVVKNSITKNQWLLTPSREYATKTRIGIRRGRTGQELKEAALEPSMEKIFKIDQMGRWFVAGGAAVGLGALCYYGLGLSNEIGAIEKAVIWPQYVKDRIHSTYMYLAGSIGLTALSAIAISRTPVLMNFMMRGSWVTIGVTFAAMVGAGMLVRSIPYDQSPGPKHLAWLLHSGVMGAVVAPLTILGGPLLIRAAWYTAGIVGGLSTVAMCAPSEKFLNMGAPLGVGLGLVFVSSLGSMFLPPTTVAGATLYSVAMYGGLVLFSMFLLYDTQKVIKRAEVSPMYGVQKYDPINSMLSIYMDTLNIFMRVATMLATGGNRKK
+>DECOY_sp|Q9H3K2|GHITM_HUMAN Growth hormone-inducible transmembrane protein OS=Homo sapiens OX=9606 GN=GHITM PE=1 SV=2
+KKRNGGTALMTAVRMFINLTDMYISLMSNIPDYKQVGYMPSVEARKIVKQTDYLLFMSFLVLGGYMAVSYLTAGAVTTPPLFMSGLSSVFVLGLGVGLPAGMNLFKESPACMAVTSLGGVIGATYWAARILLPGGLITLPAVVAGMVGSHLLWALHKPGPSQDYPISRVLMGAGVMAAFTVGITVWSGRMMFNMLVPTRSIAIASLATLGISGALYMYTSHIRDKVYQPWIVAKEIAGIENSLGLGYYCLAGLGVAAGGAVFWRGMQDIKFIKEMSPELAAEKLEQGTRGRRIGIRTKTAYERSPTLLWQNKTISNKVVPSAKTFAPHFVRSPLTRLCVLRAALM
+>sp|P13284|GILT_HUMAN Gamma-interferon-inducible lysosomal thiol reductase OS=Homo sapiens OX=9606 GN=IFI30 PE=1 SV=3
+MTLSPLLLFLPPLLLLLDVPTAAVQASPLQALDFFGNGPPVNYKTGNLYLRGPLKKSNAPLVNVTLYYEALCGGCRAFLIRELFPTWLLVMEILNVTLVPYGNAQEQNVSGRWEFKCQHGEEECKFNKVEACVLDELDMELAFLTIVCMEEFEDMERSLPLCLQLYAPGLSPDTIMECAMGDRGMQLMHANAQRTDALQPPHEYVPWVTVNGKPLEDQTQLLTLVCQLYQGKKPDVCPSSTSSLRSVCFK
+>DECOY_sp|P13284|GILT_HUMAN Gamma-interferon-inducible lysosomal thiol reductase OS=Homo sapiens OX=9606 GN=IFI30 PE=1 SV=3
+KFCVSRLSSTSSPCVDPKKGQYLQCVLTLLQTQDELPKGNVTVWPVYEHPPQLADTRQANAHMLQMGRDGMACEMITDPSLGPAYLQLCLPLSREMDEFEEMCVITLFALEMDLEDLVCAEVKNFKCEEEGHQCKFEWRGSVNQEQANGYPVLTVNLIEMVLLWTPFLERILFARCGGCLAEYYLTVNVLPANSKKLPGRLYLNGTKYNVPPGNGFFDLAQLPSAQVAATPVDLLLLLPPLFLLLPSLTM
+>sp|Q96F15|GIMA5_HUMAN GTPase IMAP family member 5 OS=Homo sapiens OX=9606 GN=GIMAP5 PE=1 SV=1
+MGGFQRGKYGTMAEGRSEDNLSATPPALRIILVGKTGCGKSATGNSILGQPVFESKLRAQSVTRTCQVKTGTWNGRKVLVVDTPSIFESQADTQELYKNIGDCYLLSAPGPHVLLLVIQLGRFTAQDTVAIRKVKEVFGTGAMRHVVILFTHKEDLGGQALDDYVANTDNCSLKDLVRECERRYCAFNNWGSVEEQRQQQAELLAVIERLGREREGSFHSNDLFLDAQLLQRTGAGACQEDYRQYQAKVEWQVEKHKQELRENESNWAYKALLRVKHLMLLHYEIFVFLLLCSILFFIIFLFIFHYI
+>DECOY_sp|Q96F15|GIMA5_HUMAN GTPase IMAP family member 5 OS=Homo sapiens OX=9606 GN=GIMAP5 PE=1 SV=1
+IYHFIFLFIIFFLISCLLLFVFIEYHLLMLHKVRLLAKYAWNSENERLEQKHKEVQWEVKAQYQRYDEQCAGAGTRQLLQADLFLDNSHFSGERERGLREIVALLEAQQQRQEEVSGWNNFACYRRECERVLDKLSCNDTNAVYDDLAQGGLDEKHTFLIVVHRMAGTGFVEKVKRIAVTDQATFRGLQIVLLLVHPGPASLLYCDGINKYLEQTDAQSEFISPTDVVLVKRGNWTGTKVQCTRTVSQARLKSEFVPQGLISNGTASKGCGTKGVLIIRLAPPTASLNDESRGEAMTGYKGRQFGGM
+>sp|Q6P9H5|GIMA6_HUMAN GTPase IMAP family member 6 OS=Homo sapiens OX=9606 GN=GIMAP6 PE=2 SV=1
+MEEEEYEQIPQENPPEELSQDPVLELSGGLREKEQKTPRRLRLILMGKTGSGKSATGNSILGRDVFESKLSTRPVTKTSQRRSREWAGKELEVIDTPNILSPQVSPEVADAICQAIVLSAPGPHAVLLVTQLGRFTDEDQQVVRRLQEVFGVGVLGHTILVFTRKEDLAGGSLEDYVRETNNQALAWLDVTLARRHCGFNNRAQGEEQEAQLRELMEKVEAIMWENEGDYYSNKAYQYTQQNFRLKELQERQVSQGQGSEDVPGEESWLEGLSQIQKESEEAHRCLLGKADL
+>DECOY_sp|Q6P9H5|GIMA6_HUMAN GTPase IMAP family member 6 OS=Homo sapiens OX=9606 GN=GIMAP6 PE=2 SV=1
+LDAKGLLCRHAEESEKQIQSLGELWSEEGPVDESGQGQSVQREQLEKLRFNQQTYQYAKNSYYDGENEWMIAEVKEMLERLQAEQEEGQARNNFGCHRRALTVDLWALAQNNTERVYDELSGGALDEKRTFVLITHGLVGVGFVEQLRRVVQQDEDTFRGLQTVLLVAHPGPASLVIAQCIADAVEPSVQPSLINPTDIVELEKGAWERSRRQSTKTVPRTSLKSEFVDRGLISNGTASKGSGTKGMLILRLRRPTKQEKERLGGSLELVPDQSLEEPPNEQPIQEYEEEEM
+>sp|Q68CQ7|GL8D1_HUMAN Glycosyltransferase 8 domain-containing protein 1 OS=Homo sapiens OX=9606 GN=GLT8D1 PE=1 SV=2
+MSFRKVNIIILVLAVALFLLVLHHNFLSLSSLLRNEVTDSGIVGPQPIDFVPNALRHAVDGRQEEIPVVIAASEDRLGGAIAAINSIQHNTRSNVIFYIVTLNNTADHLRSWLNSDSLKSIRYKIVNFDPKLLEGKVKEDPDQGESMKPLTFARFYLPILVPSAKKAIYMDDDVIVQGDILALYNTALKPGHAAAFSEDCDSASTKVVIRGAGNQYNYIGYLDYKKERIRKLSMKASTCSFNPGVFVANLTEWKRQNITNQLEKWMKLNVEEGLYSRTLAGSITTPPLLIVFYQQHSTIDPMWNVRHLGSSAGKRYSPQFVKAAKLLHWNGHLKPWGRTASYTDVWEKWYIPDPTGKFNLIRRYTEISNIK
+>DECOY_sp|Q68CQ7|GL8D1_HUMAN Glycosyltransferase 8 domain-containing protein 1 OS=Homo sapiens OX=9606 GN=GLT8D1 PE=1 SV=2
+KINSIETYRRILNFKGTPDPIYWKEWVDTYSATRGWPKLHGNWHLLKAAKVFQPSYRKGASSGLHRVNWMPDITSHQQYFVILLPPTTISGALTRSYLGEEVNLKMWKELQNTINQRKWETLNAVFVGPNFSCTSAKMSLKRIREKKYDLYGIYNYQNGAGRIVVKTSASDCDESFAAAHGPKLATNYLALIDGQVIVDDDMYIAKKASPVLIPLYFRAFTLPKMSEGQDPDEKVKGELLKPDFNVIKYRISKLSDSNLWSRLHDATNNLTVIYFIVNSRTNHQISNIAAIAGGLRDESAAIVVPIEEQRGDVAHRLANPVFDIPQPGVIGSDTVENRLLSSLSLFNHHLVLLFLAVALVLIIINVKRFSM
+>sp|Q9H1C3|GL8D2_HUMAN Glycosyltransferase 8 domain-containing protein 2 OS=Homo sapiens OX=9606 GN=GLT8D2 PE=2 SV=1
+MALLRKINQVLLFLLIVTLCVILYKKVHKGTVPKNDADDESETPEELEEEIPVVICAAAGRMGATMAAINSIYSNTDANILFYVVGLRNTLTRIRKWIEHSKLREINFKIVEFNPMVLKGKIRPDSSRPELLQPLNFVRFYLPLLIHQHEKVIYLDDDVIVQGDIQELYDTTLALGHAAAFSDDCDLPSAQDINRLVGLQNTYMGYLDYRKKAIKDLGISPSTCSFNPGVIVANMTEWKHQRITKQLEKWMQKNVEENLYSSSLGGGVATSPMLIVFHGKYSTINPLWHIRHLGWNPDARYSEHFLQEAKLLHWNGRHKPWDFPSVHNDLWESWFVPDPAGIFKLNHHS
+>DECOY_sp|Q9H1C3|GL8D2_HUMAN Glycosyltransferase 8 domain-containing protein 2 OS=Homo sapiens OX=9606 GN=GLT8D2 PE=2 SV=1
+SHHNLKFIGAPDPVFWSEWLDNHVSPFDWPKHRGNWHLLKAEQLFHESYRADPNWGLHRIHWLPNITSYKGHFVILMPSTAVGGGLSSSYLNEEVNKQMWKELQKTIRQHKWETMNAVIVGPNFSCTSPSIGLDKIAKKRYDLYGMYTNQLGVLRNIDQASPLDCDDSFAAAHGLALTTDYLEQIDGQVIVDDDLYIVKEHQHILLPLYFRVFNLPQLLEPRSSDPRIKGKLVMPNFEVIKFNIERLKSHEIWKRIRTLTNRLGVVYFLINADTNSYISNIAAMTAGMRGAAACIVVPIEEELEEPTESEDDADNKPVTGKHVKKYLIVCLTVILLFLLVQNIKRLLAM
+>sp|Q8NCI6|GLBL3_HUMAN Beta-galactosidase-1-like protein 3 OS=Homo sapiens OX=9606 GN=GLB1L3 PE=2 SV=3
+MKSPPLLSPCLSWKRMAGIFFLPFISSGFAPRFKQEENFMLGRAHPSQPRFNWSHLTPLELKNRSVGLGTESTGRGKPHFTLEGHKFLIFGGSIHYFRVPREYWRDRLLKLKACGFNTVTTYVPWNLHEPERGKFDFSGNLDLEAFVLMAAEIGLWVILRPGRYICSEMDLGGLPSWLLQDPRLLLRTTNKSFIEAVEKYFDHLIPRVIPLQYRQAGPVIAVQVENEYGSFNKDKTYMPYLHKALLRRGIVELLLTSDGEKHVLSGHTKGVLAAINLQKLHQDTFNQLHKVQRDKPLLIMEYWVGWFDRWGDKHHVKDAKEVEHAVSEFIKYEISFNVYMFHGGTNFGFMNGATYFGKHSGIVTSYDYDAVLTEAGDYTEKYLKLQKLFQSVSATPLPRVPKLPPKAVYPPVRPSLYLPLWDALSYLNEPVRSRQPVNMENLPINNGSGQSYGLVLYEKSICSGGRLRAHAHDVAQVFLDETMIGILNENNKDLHIPELRDCRYLRILVENQGRVNFSWQIQNEQKGITGSVSINNSSLEGFTIYSLEMKMSFFERLRSATWKPVPDSHQGPAFYCGTLKAGPSPKDTFLSLLNWNYGFVFINGRNLGRYWNIGPQKTLYLPGVWLHPEDNEVILFEKMMSGSDIKSTDKPTL
+>DECOY_sp|Q8NCI6|GLBL3_HUMAN Beta-galactosidase-1-like protein 3 OS=Homo sapiens OX=9606 GN=GLB1L3 PE=2 SV=3
+LTPKDTSKIDSGSMMKEFLIVENDEPHLWVGPLYLTKQPGINWYRGLNRGNIFVFGYNWNLLSLFTDKPSPGAKLTGCYFAPGQHSDPVPKWTASRLREFFSMKMELSYITFGELSSNNISVSGTIGKQENQIQWSFNVRGQNEVLIRLYRCDRLEPIHLDKNNENLIGIMTEDLFVQAVDHAHARLRGGSCISKEYLVLGYSQGSGNNIPLNEMNVPQRSRVPENLYSLADWLPLYLSPRVPPYVAKPPLKPVRPLPTASVSQFLKQLKLYKETYDGAETLVADYDYSTVIGSHKGFYTAGNMFGFNTGGHFMYVNFSIEYKIFESVAHEVEKADKVHHKDGWRDFWGVWYEMILLPKDRQVKHLQNFTDQHLKQLNIAALVGKTHGSLVHKEGDSTLLLEVIGRRLLAKHLYPMYTKDKNFSGYENEVQVAIVPGAQRYQLPIVRPILHDFYKEVAEIFSKNTTRLLLRPDQLLWSPLGGLDMESCIYRGPRLIVWLGIEAAMLVFAELDLNGSFDFKGREPEHLNWPVYTTVTNFGCAKLKLLRDRWYERPVRFYHISGGFILFKHGELTFHPKGRGTSETGLGVSRNKLELPTLHSWNFRPQSPHARGLMFNEEQKFRPAFGSSIFPLFFIGAMRKWSLCPSLLPPSKM
+>sp|P04062|GLCM_HUMAN Glucosylceramidase OS=Homo sapiens OX=9606 GN=GBA PE=1 SV=3
+MEFSSPSREECPKPLSRVSIMAGSLTGLLLLQAVSWASGARPCIPKSFGYSSVVCVCNATYCDSFDPPTFPALGTFSRYESTRSGRRMELSMGPIQANHTGTGLLLTLQPEQKFQKVKGFGGAMTDAAALNILALSPPAQNLLLKSYFSEEGIGYNIIRVPMASCDFSIRTYTYADTPDDFQLHNFSLPEEDTKLKIPLIHRALQLAQRPVSLLASPWTSPTWLKTNGAVNGKGSLKGQPGDIYHQTWARYFVKFLDAYAEHKLQFWAVTAENEPSAGLLSGYPFQCLGFTPEHQRDFIARDLGPTLANSTHHNVRLLMLDDQRLLLPHWAKVVLTDPEAAKYVHGIAVHWYLDFLAPAKATLGETHRLFPNTMLFASEACVGSKFWEQSVRLGSWDRGMQYSHSIITNLLYHVVGWTDWNLALNPEGGPNWVRNFVDSPIIVDITKDTFYKQPMFYHLGHFSKFIPEGSQRVGLVASQKNDLDAVALMHPDGSAVVVVLNRSSKDVPLTIKDPAVGFLETISPGYSIHTYLWRRQ
+>DECOY_sp|P04062|GLCM_HUMAN Glucosylceramidase OS=Homo sapiens OX=9606 GN=GBA PE=1 SV=3
+QRRWLYTHISYGPSITELFGVAPDKITLPVDKSSRNLVVVVASGDPHMLAVADLDNKQSAVLGVRQSGEPIFKSFHGLHYFMPQKYFTDKTIDVIIPSDVFNRVWNPGGEPNLALNWDTWGVVHYLLNTIISHSYQMGRDWSGLRVSQEWFKSGVCAESAFLMTNPFLRHTEGLTAKAPALFDLYWHVAIGHVYKAAEPDTLVVKAWHPLLLRQDDLMLLRVNHHTSNALTPGLDRAIFDRQHEPTFGLCQFPYGSLLGASPENEATVAWFQLKHEAYADLFKVFYRAWTQHYIDGPQGKLSGKGNVAGNTKLWTPSTWPSALLSVPRQALQLARHILPIKLKTDEEPLSFNHLQFDDPTDAYTYTRISFDCSAMPVRIINYGIGEESFYSKLLLNQAPPSLALINLAAADTMAGGFGKVKQFKQEPQLTLLLGTGTHNAQIPGMSLEMRRGSRTSEYRSFTGLAPFTPPDFSDCYTANCVCVVSSYGFSKPICPRAGSAWSVAQLLLLGTLSGAMISVRSLPKPCEERSPSSFEM
+>sp|P15104|GLNA_HUMAN Glutamine synthetase OS=Homo sapiens OX=9606 GN=GLUL PE=1 SV=4
+MTTSASSHLNKGIKQVYMSLPQGEKVQAMYIWIDGTGEGLRCKTRTLDSEPKCVEELPEWNFDGSSTLQSEGSNSDMYLVPAAMFRDPFRKDPNKLVLCEVFKYNRRPAETNLRHTCKRIMDMVSNQHPWFGMEQEYTLMGTDGHPFGWPSNGFPGPQGPYYCGVGADRAYGRDIVEAHYRACLYAGVKIAGTNAEVMPAQWEFQIGPCEGISMGDHLWVARFILHRVCEDFGVIATFDPKPIPGNWNGAGCHTNFSTKAMREENGLKYIEEAIEKLSKRHQYHIRAYDPKGGLDNARRLTGFHETSNINDFSAGVANRSASIRIPRTVGQEKKGYFEDRRPSANCDPFSVTEALIRTCLLNETGDEPFQYKN
+>DECOY_sp|P15104|GLNA_HUMAN Glutamine synthetase OS=Homo sapiens OX=9606 GN=GLUL PE=1 SV=4
+NKYQFPEDGTENLLCTRILAETVSFPDCNASPRRDEFYGKKEQGVTRPIRISASRNAVGASFDNINSTEHFGTLRRANDLGGKPDYARIHYQHRKSLKEIAEEIYKLGNEERMAKTSFNTHCGAGNWNGPIPKPDFTAIVGFDECVRHLIFRAVWLHDGMSIGECPGIQFEWQAPMVEANTGAIKVGAYLCARYHAEVIDRGYARDAGVGCYYPGQPGPFGNSPWGFPHGDTGMLTYEQEMGFWPHQNSVMDMIRKCTHRLNTEAPRRNYKFVECLVLKNPDKRFPDRFMAAPVLYMDSNSGESQLTSSGDFNWEPLEEVCKPESDLTRTKCRLGEGTGDIWIYMAQVKEGQPLSMYVQKIGKNLHSSASTTM
+>sp|Q86SR1|GLT10_HUMAN Polypeptide N-acetylgalactosaminyltransferase 10 OS=Homo sapiens OX=9606 GN=GALNT10 PE=1 SV=2
+MRRKEKRLLQAVALVLAALVLLPNVGLWALYRERQPDGTPGGSGAAVAPAAGQGSHSRQKKTFFLGDGQKLKDWHDKEAIRRDAQRVGNGEQGRPYPMTDAERVDQAYRENGFNIYVSDKISLNRSLPDIRHPNCNSKRYLETLPNTSIIIPFHNEGWSSLLRTVHSVLNRSPPELVAEIVLVDDFSDREHLKKPLEDYMALFPSVRILRTKKREGLIRTRMLGASVATGDVITFLDSHCEANVNWLPPLLDRIARNRKTIVCPMIDVIDHDDFRYETQAGDAMRGAFDWEMYYKRIPIPPELQKADPSDPFESPVMAGGLFAVDRKWFWELGGYDPGLEIWGGEQYEISFKVWMCGGRMEDIPCSRVGHIYRKYVPYKVPAGVSLARNLKRVAEVWMDEYAEYIYQRRPEYRHLSAGDVAVQKKLRSSLNCKSFKWFMTKIAWDLPKFYPPVEPPAAAWGEIRNVGTGLCADTKHGALGSPLRLEGCVRGRGEAAWNNMQVFTFTWREDIRPGDPQHTKKFCFDAISHTSPVTLYDCHSMKGNQLWKYRKDKTLYHPVSGSCMDCSESDHRIFMNTCNPSSLTQQWLFEHTNSTVLEKFNRN
+>DECOY_sp|Q86SR1|GLT10_HUMAN Polypeptide N-acetylgalactosaminyltransferase 10 OS=Homo sapiens OX=9606 GN=GALNT10 PE=1 SV=2
+NRNFKELVTSNTHEFLWQQTLSSPNCTNMFIRHDSESCDMCSGSVPHYLTKDKRYKWLQNGKMSHCDYLTVPSTHSIADFCFKKTHQPDGPRIDERWTFTFVQMNNWAAEGRGRVCGELRLPSGLAGHKTDACLGTGVNRIEGWAAAPPEVPPYFKPLDWAIKTMFWKFSKCNLSSRLKKQVAVDGASLHRYEPRRQYIYEAYEDMWVEAVRKLNRALSVGAPVKYPVYKRYIHGVRSCPIDEMRGGCMWVKFSIEYQEGGWIELGPDYGGLEWFWKRDVAFLGGAMVPSEFPDSPDAKQLEPPIPIRKYYMEWDFAGRMADGAQTEYRFDDHDIVDIMPCVITKRNRAIRDLLPPLWNVNAECHSDLFTIVDGTAVSAGLMRTRILGERKKTRLIRVSPFLAMYDELPKKLHERDSFDDVLVIEAVLEPPSRNLVSHVTRLLSSWGENHFPIIISTNPLTELYRKSNCNPHRIDPLSRNLSIKDSVYINFGNERYAQDVREADTMPYPRGQEGNGVRQADRRIAEKDHWDKLKQGDGLFFTKKQRSHSGQGAAPAVAAGSGGPTGDPQRERYLAWLGVNPLLVLAALVLAVAQLLRKEKRRM
+>sp|Q8IUC8|GLT13_HUMAN Polypeptide N-acetylgalactosaminyltransferase 13 OS=Homo sapiens OX=9606 GN=GALNT13 PE=2 SV=2
+MRRFVYCKVVLATSLMWVLVDVFLLLYFSECNKCDDKKERSLLPALRAVISRNQEGPGEMGKAVLIPKDDQEKMKELFKINQFNLMASDLIALNRSLPDVRLEGCKTKVYPDELPNTSVVIVFHNEAWSTLLRTVYSVINRSPHYLLSEVILVDDASERDFLKLTLENYVKNLEVPVKIIRMEERSGLIRARLRGAAASKGQVITFLDAHCECTLGWLEPLLARIKEDRKTVVCPIIDVISDDTFEYMAGSDMTYGGFNWKLNFRWYPVPQREMDRRKGDRTLPVRTPTMAGGLFSIDRNYFEEIGTYDAGMDIWGGENLEMSFRIWQCGGSLEIVTCSHVGHVFRKATPYTFPGGTGHVINKNNRRLAEVWMDEFKDFFYIISPGVVKVDYGDVSVRKTLRENLKCKPFSWYLENIYPDSQIPRRYYSLGEIRNVETNQCLDNMGRKENEKVGIFNCHGMGGNQVFSYTADKEIRTDDLCLDVSRLNGPVIMLKCHHMRGNQLWEYDAERLTLRHVNSNQCLDEPSEEDKMVPTMQDCSGSRSQQWLLRNMTLGT
+>DECOY_sp|Q8IUC8|GLT13_HUMAN Polypeptide N-acetylgalactosaminyltransferase 13 OS=Homo sapiens OX=9606 GN=GALNT13 PE=2 SV=2
+TGLTMNRLLWQQSRSGSCDQMTPVMKDEESPEDLCQNSNVHRLTLREADYEWLQNGRMHHCKLMIVPGNLRSVDLCLDDTRIEKDATYSFVQNGGMGHCNFIGVKENEKRGMNDLCQNTEVNRIEGLSYYRRPIQSDPYINELYWSFPKCKLNERLTKRVSVDGYDVKVVGPSIIYFFDKFEDMWVEALRRNNKNIVHGTGGPFTYPTAKRFVHGVHSCTVIELSGGCQWIRFSMELNEGGWIDMGADYTGIEEFYNRDISFLGGAMTPTRVPLTRDGKRRDMERQPVPYWRFNLKWNFGGYTMDSGAMYEFTDDSIVDIIPCVVTKRDEKIRALLPELWGLTCECHADLFTIVQGKSAAAGRLRARILGSREEMRIIKVPVELNKVYNELTLKLFDRESADDVLIVESLLYHPSRNIVSYVTRLLTSWAENHFVIVVSTNPLEDPYVKTKCGELRVDPLSRNLAILDSAMLNFQNIKFLEKMKEQDDKPILVAKGMEGPGEQNRSIVARLAPLLSREKKDDCKNCESFYLLLFVDVLVWMLSTALVVKCYVFRRM
+>sp|Q9NZD2|GLTP_HUMAN Glycolipid transfer protein OS=Homo sapiens OX=9606 GN=GLTP PE=1 SV=3
+MALLAEHLLKPLPADKQIETGPFLEAVSHLPPFFDCLGSPVFTPIKADISGNITKIKAVYDTNPAKFRTLQNILEVEKEMYGAEWPKVGATLALMWLKRGLRFIQVFLQSICDGERDENHPNLIRVNATKAYEMALKKYHGWIVQKIFQAALYAAPYKSDFLKALSKGQNVTEEECLEKIRLFLVNYTATIDVIYEMYTQMNAELNYKV
+>DECOY_sp|Q9NZD2|GLTP_HUMAN Glycolipid transfer protein OS=Homo sapiens OX=9606 GN=GLTP PE=1 SV=3
+VKYNLEANMQTYMEYIVDITATYNVLFLRIKELCEEETVNQGKSLAKLFDSKYPAAYLAAQFIKQVIWGHYKKLAMEYAKTANVRILNPHNEDREGDCISQLFVQIFRLGRKLWMLALTAGVKPWEAGYMEKEVELINQLTRFKAPNTDYVAKIKTINGSIDAKIPTFVPSGLCDFFPPLHSVAELFPGTEIQKDAPLPKLLHEALLAM
+>sp|A0A0U1RQE8|GLYLB_HUMAN Putative glycine N-acyltransferase-like protein 1B OS=Homo sapiens OX=9606 GN=GLYATL1B PE=3 SV=1
+MILLNNSERLLALFKSLARSIPESLKVYGSLFHINHGNPFNMEVLVDSWPEYQMVIIRPQKQEMTDDMDSYTNVYRVFSKDPQKSQEVLKNSEIINWKQKLQIQGFQESLGEGIRAAAFSNSVKVEHSRALLFVTEDILKLYATNKSKLGSWAETGHPDDELESETPNFKYAQLNVSYSGLVNDNWKLGMNKRSLRYIKRCLGALPAACMLGPEGVPVSWVTMDPSCEIGMGYSVEKYRRRGNGTRLIMRCMKYLCQKNIPFYGSVLEENQGVIRKTSALGFLEASCQWHQWNCYPQNLVPL
+>DECOY_sp|A0A0U1RQE8|GLYLB_HUMAN Putative glycine N-acyltransferase-like protein 1B OS=Homo sapiens OX=9606 GN=GLYATL1B PE=3 SV=1
+LPVLNQPYCNWQHWQCSAELFGLASTKRIVGQNEELVSGYFPINKQCLYKMCRMILRTGNGRRRYKEVSYGMGIECSPDMTVWSVPVGEPGLMCAAPLAGLCRKIYRLSRKNMGLKWNDNVLGSYSVNLQAYKFNPTESELEDDPHGTEAWSGLKSKNTAYLKLIDETVFLLARSHEVKVSNSFAAARIGEGLSEQFGQIQLKQKWNIIESNKLVEQSKQPDKSFVRYVNTYSDMDDTMEQKQPRIIVMQYEPWSDVLVEMNFPNGHNIHFLSGYVKLSEPISRALSKFLALLRESNNLLIM
+>sp|P36959|GMPR1_HUMAN GMP reductase 1 OS=Homo sapiens OX=9606 GN=GMPR PE=1 SV=1
+MPRIDADLKLDFKDVLLRPKRSSLKSRAEVDLERTFTFRNSKQTYSGIPIIVANMDTVGTFEMAAVMSQHSMFTAIHKHYSLDDWKLFATNHPECLQNVAVSSGSGQNDLEKMTSILEAVPQVKFICLDVANGYSEHFVEFVKLVRAKFPEHTIMAGNVVTGEMVEELILSGADIIKVGVGPGSVCTTRTKTGVGYPQLSAVIECADSAHGLKGHIISDGGCTCPGDVAKAFGAGADFVMLGGMFSGHTECAGEVFERNGRKLKLFYGMSSDTAMNKHAGGVAEYRASEGKTVEVPYKGDVENTILDILGGLRSTCTYVGAAKLKELSRRATFIRVTQQHNTVFS
+>DECOY_sp|P36959|GMPR1_HUMAN GMP reductase 1 OS=Homo sapiens OX=9606 GN=GMPR PE=1 SV=1
+SFVTNHQQTVRIFTARRSLEKLKAAGVYTCTSRLGGLIDLITNEVDGKYPVEVTKGESARYEAVGGAHKNMATDSSMGYFLKLKRGNREFVEGACETHGSFMGGLMVFDAGAGFAKAVDGPCTCGGDSIIHGKLGHASDACEIVASLQPYGVGTKTRTTCVSGPGVGVKIIDAGSLILEEVMEGTVVNGAMITHEPFKARVLKVFEVFHESYGNAVDLCIFKVQPVAELISTMKELDNQGSGSSVAVNQLCEPHNTAFLKWDDLSYHKHIATFMSHQSMVAAMEFTGVTDMNAVIIPIGSYTQKSNRFTFTRELDVEARSKLSSRKPRLLVDKFDLKLDADIRPM
+>sp|Q9P2T1|GMPR2_HUMAN GMP reductase 2 OS=Homo sapiens OX=9606 GN=GMPR2 PE=1 SV=1
+MPHIDNDVKLDFKDVLLRPKRSTLKSRSEVDLTRSFSFRNSKQTYSGVPIIAANMDTVGTFEMAKVLCKFSLFTAVHKHYSLVQWQEFAGQNPDCLEHLAASSGTGSSDFEQLEQILEAIPQVKYICLDVANGYSEHFVEFVKDVRKRFPQHTIMAGNVVTGEMVEELILSGADIIKVGIGPGSVCTTRKKTGVGYPQLSAVMECADAAHGLKGHIISDGGCSCPGDVAKAFGAGADFVMLGGMLAGHSESGGELIERDGKKYKLFYGMSSEMAMKKYAGGVAEYRASEGKTVEVPFKGDVEHTIRDILGGIRSTCTYVGAAKLKELSRRTTFIRVTQQVNPIFSEAC
+>DECOY_sp|Q9P2T1|GMPR2_HUMAN GMP reductase 2 OS=Homo sapiens OX=9606 GN=GMPR2 PE=1 SV=1
+CAESFIPNVQQTVRIFTTRRSLEKLKAAGVYTCTSRIGGLIDRITHEVDGKFPVEVTKGESARYEAVGGAYKKMAMESSMGYFLKYKKGDREILEGGSESHGALMGGLMVFDAGAGFAKAVDGPCSCGGDSIIHGKLGHAADACEMVASLQPYGVGTKKRTTCVSGPGIGVKIIDAGSLILEEVMEGTVVNGAMITHQPFRKRVDKVFEVFHESYGNAVDLCIYKVQPIAELIQELQEFDSSGTGSSAALHELCDPNQGAFEQWQVLSYHKHVATFLSFKCLVKAMEFTGVTDMNAAIIPVGSYTQKSNRFSFSRTLDVESRSKLTSRKPRLLVDKFDLKVDNDIHPM
+>sp|O75145|LIPA3_HUMAN Liprin-alpha-3 OS=Homo sapiens OX=9606 GN=PPFIA3 PE=1 SV=3
+MMCEVMPTISEDGRRGSALGPDEAGGELERLMVTMLTERERLLETLREAQDGLATAQLRLRELGHEKDSLQRQLSIALPQEFAALTKELNLCREQLLEREEEIAELKAERNNTRLLLEHLECLVSRHERSLRMTVVKRQAQSPGGVSSEVEVLKALKSLFEHHKALDEKVRERLRMALERVAVLEEELELSNQETLNLREQLSRRRSGLEEPGKDGDGQTLANGLGPGGDSNRRTAELEEALERQRAEVCQLRERLAVLCRQMSQLEEELGTAHRELGKAEEANSKLQRDLKEALAQREDMEERITTLEKRYLSAQREATSLHDANDKLENELASKESLYRQSEEKSRQLAEWLDDAKQKLQQTLQKAETLPEIEAQLAQRVAALNKAEERHGNFEERLRQLEAQLEEKNQELQRARQREKMNDDHNKRLSETVDKLLSESNERLQLHLKERMGALEEKNSLSEEIANMKKLQDELLLNKEQLLAEMERMQMEIDQLRGRPPSSYSRSLPGSALELRYSQAPTLPSGAHLDPYVAGSGRAGKRGRWSGVKEEPSKDWERSAPAGSIPPPFPGELDGSDEEEAEGMFGAELLSPSGQADVQTLAIMLQEQLEAINKEIKLIQEEKETTEQRAEELESRVSSSGLDSLGRYRSSCSLPPSLTTSTLASPSPPSSGHSTPRLAPPSPAREGTDKANHVPKEEAGAPRGEGPAIPGDTPPPTPRSARLERMTQALALQAGSLEDGGPPRGSEGTPDSLHKAPKKKSIKSSIGRLFGKKEKGRMGPPGRDSSSLAGTPSDETLATDPLGLAKLTGPGDKDRRNKRKHELLEEACRQGLPFAAWDGPTVVSWLELWVGMPAWYVAACRANVKSGAIMANLSDTEIQREIGISNPLHRLKLRLAIQEMVSLTSPSAPASSRTSTGNVWMTHEEMESLTATTKPETKEISWEQILAYGDMNHEWVGNDWLPSLGLPQYRSYFMESLVDARMLDHLNKKELRGQLKMVDSFHRVSLHYGIMCLKRLNYDRKDLERRREESQTQIRDVMVWSNERVMGWVSGLGLKEFATNLTESGVHGALLALDETFDYSDLALLLQIPTQNAQARQLLEKEFSNLISLGTDRRLDEDSAKSFSRSPSWRKMFREKDLRGVTPDSAEMLPPNFRSAAAGALGSPGLPLRKLQPEGQTSGSSRADGVSVRTYSC
+>DECOY_sp|O75145|LIPA3_HUMAN Liprin-alpha-3 OS=Homo sapiens OX=9606 GN=PPFIA3 PE=1 SV=3
+CSYTRVSVGDARSSGSTQGEPQLKRLPLGPSGLAGAAASRFNPPLMEASDPTVGRLDKERFMKRWSPSRSFSKASDEDLRRDTGLSILNSFEKELLQRAQANQTPIQLLLALDSYDFTEDLALLAGHVGSETLNTAFEKLGLGSVWGMVRENSWVMVDRIQTQSEERRRELDKRDYNLRKLCMIGYHLSVRHFSDVMKLQGRLEKKNLHDLMRADVLSEMFYSRYQPLGLSPLWDNGVWEHNMDGYALIQEWSIEKTEPKTTATLSEMEEHTMWVNGTSTRSSAPASPSTLSVMEQIALRLKLRHLPNSIGIERQIETDSLNAMIAGSKVNARCAAVYWAPMGVWLELWSVVTPGDWAAFPLGQRCAEELLEHKRKNRRDKDGPGTLKALGLPDTALTEDSPTGALSSSDRGPPGMRGKEKKGFLRGISSKISKKKPAKHLSDPTGESGRPPGGDELSGAQLALAQTMRELRASRPTPPPTDGPIAPGEGRPAGAEEKPVHNAKDTGERAPSPPALRPTSHGSSPPSPSALTSTTLSPPLSCSSRYRGLSDLGSSSVRSELEEARQETTEKEEQILKIEKNIAELQEQLMIALTQVDAQGSPSLLEAGFMGEAEEEDSGDLEGPFPPPISGAPASREWDKSPEEKVGSWRGRKGARGSGAVYPDLHAGSPLTPAQSYRLELASGPLSRSYSSPPRGRLQDIEMQMREMEALLQEKNLLLEDQLKKMNAIEESLSNKEELAGMREKLHLQLRENSESLLKDVTESLRKNHDDNMKERQRARQLEQNKEELQAELQRLREEFNGHREEAKNLAAVRQALQAEIEPLTEAKQLTQQLKQKADDLWEALQRSKEESQRYLSEKSALENELKDNADHLSTAERQASLYRKELTTIREEMDERQALAEKLDRQLKSNAEEAKGLERHATGLEEELQSMQRCLVALRERLQCVEARQRELAEELEATRRNSDGGPGLGNALTQGDGDKGPEELGSRRRSLQERLNLTEQNSLELEEELVAVRELAMRLRERVKEDLAKHHEFLSKLAKLVEVESSVGGPSQAQRKVVTMRLSREHRSVLCELHELLLRTNNREAKLEAIEEERELLQERCLNLEKTLAAFEQPLAISLQRQLSDKEHGLERLRLQATALGDQAERLTELLRERETLMTVMLRELEGGAEDPGLASGRRGDESITPMVECMM
+>sp|Q6PI73|LIRA6_HUMAN Leukocyte immunoglobulin-like receptor subfamily A member 6 OS=Homo sapiens OX=9606 GN=LILRA6 PE=2 SV=2
+MTPALTALLCLGLSLGPRTRVQAGPFPKPTLWAEPGSVISWGSPVTIWCQGSLEAQEYQLDKEGSPEPLDRNNPLEPKNKARFSIPSMTQHHAGRYRCHYYSSAGWSEPSDPLELVMTGFYNKPTLSALPSPVVASGGNMTLRCGSQKGYHHFVLMKEGEHQLPRTLDSQQLHSGGFQALFPVGPVTPSHRWRFTCYYYYTNTPRVWSHPSDPLEILPSGVSRKPSLLTLQGPVLAPGQSLTLQCGSDVGYDRFVLYKEGERDFLQRPGQQPQAGLSQANFTLGPVSPSHGGQYRCYGAHNLSSEWSAPSDPLNILMAGQIYDTVSLSAQPGPTVASGENVTLLCQSRGYFDTFLLTKEGAAHPPLRLRSMYGAHKYQAEFPMSPVTSAHAGTYRCYGSYSSNPHLLSFPSEPLELMVSGHSGGSSLPPTGPPSTPASHAKDYTVENLIRMGMAGLVLVFLGILLFEAQHSQRNPQDAAGR
+>DECOY_sp|Q6PI73|LIRA6_HUMAN Leukocyte immunoglobulin-like receptor subfamily A member 6 OS=Homo sapiens OX=9606 GN=LILRA6 PE=2 SV=2
+RGAADQPNRQSHQAEFLLIGLFVLVLGAMGMRILNEVTYDKAHSAPTSPPGTPPLSSGGSHGSVMLELPESPFSLLHPNSSYSGYCRYTGAHASTVPSMPFEAQYKHAGYMSRLRLPPHAAGEKTLLFTDFYGRSQCLLTVNEGSAVTPGPQASLSVTDYIQGAMLINLPDSPASWESSLNHAGYCRYQGGHSPSVPGLTFNAQSLGAQPQQGPRQLFDREGEKYLVFRDYGVDSGCQLTLSQGPALVPGQLTLLSPKRSVGSPLIELPDSPHSWVRPTNTYYYYCTFRWRHSPTVPGVPFLAQFGGSHLQQSDLTRPLQHEGEKMLVFHHYGKQSGCRLTMNGGSAVVPSPLASLTPKNYFGTMVLELPDSPESWGASSYYHCRYRGAHHQTMSPISFRAKNKPELPNNRDLPEPSGEKDLQYEQAELSGQCWITVPSGWSIVSGPEAWLTPKPFPGAQVRTRPGLSLGLCLLATLAPTM
+>sp|O75022|LIRB3_HUMAN Leukocyte immunoglobulin-like receptor subfamily B member 3 OS=Homo sapiens OX=9606 GN=LILRB3 PE=1 SV=3
+MTPALTALLCLGLSLGPRTRVQAGPFPKPTLWAEPGSVISWGSPVTIWCQGSQEAQEYRLHKEGSPEPLDRNNPLEPKNKARFSIPSMTEHHAGRYRCHYYSSAGWSEPSDPLEMVMTGAYSKPTLSALPSPVVASGGNMTLRCGSQKGYHHFVLMKEGEHQLPRTLDSQQLHSRGFQALFPVGPVTPSHRWRFTCYYYYTNTPWVWSHPSDPLEILPSGVSRKPSLLTLQGPVLAPGQSLTLQCGSDVGYNRFVLYKEGERDFLQRPGQQPQAGLSQANFTLGPVSPSNGGQYRCYGAHNLSSEWSAPSDPLNILMAGQIYDTVSLSAQPGPTVASGENVTLLCQSWWQFDTFLLTKEGAAHPPLRLRSMYGAHKYQAEFPMSPVTSAHAGTYRCYGSYSSNPHLLSHPSEPLELVVSGHSGGSSLPPTGPPSTPGLGRYLEVLIGVSVAFVLLLFLLLFLLLRRQRHSKHRTSDQRKTDFQRPAGAAETEPKDRGLLRRSSPAADVQEENLYAAVKDTQSEDRVELDSQSPHDEDPQAVTYAPVKHSSPRREMASPPSSLSGEFLDTKDRQVEEDRQMDTEAAASEASQDVTYAQLHSLTLRRKATEPPPSQEGEPPAEPSIYATLAIH
+>DECOY_sp|O75022|LIRB3_HUMAN Leukocyte immunoglobulin-like receptor subfamily B member 3 OS=Homo sapiens OX=9606 GN=LILRB3 PE=1 SV=3
+HIALTAYISPEAPPEGEQSPPPETAKRRLTLSHLQAYTVDQSAESAAAETDMQRDEEVQRDKTDLFEGSLSSPPSAMERRPSSHKVPAYTVAQPDEDHPSQSDLEVRDESQTDKVAAYLNEEQVDAAPSSRRLLGRDKPETEAAGAPRQFDTKRQDSTRHKSHRQRRLLLFLLLFLLLVFAVSVGILVELYRGLGPTSPPGTPPLSSGGSHGSVVLELPESPHSLLHPNSSYSGYCRYTGAHASTVPSMPFEAQYKHAGYMSRLRLPPHAAGEKTLLFTDFQWWSQCLLTVNEGSAVTPGPQASLSVTDYIQGAMLINLPDSPASWESSLNHAGYCRYQGGNSPSVPGLTFNAQSLGAQPQQGPRQLFDREGEKYLVFRNYGVDSGCQLTLSQGPALVPGQLTLLSPKRSVGSPLIELPDSPHSWVWPTNTYYYYCTFRWRHSPTVPGVPFLAQFGRSHLQQSDLTRPLQHEGEKMLVFHHYGKQSGCRLTMNGGSAVVPSPLASLTPKSYAGTMVMELPDSPESWGASSYYHCRYRGAHHETMSPISFRAKNKPELPNNRDLPEPSGEKHLRYEQAEQSGQCWITVPSGWSIVSGPEAWLTPKPFPGAQVRTRPGLSLGLCLLATLAPTM
+>sp|O75023|LIRB5_HUMAN Leukocyte immunoglobulin-like receptor subfamily B member 5 OS=Homo sapiens OX=9606 GN=LILRB5 PE=1 SV=1
+MTLTLSVLICLGLSVGPRTCVQAGTLPKPTLWAEPASVIARGKPVTLWCQGPLETEEYRLDKEGLPWARKRQNPLEPGAKAKFHIPSTVYDSAGRYRCYYETPAGWSEPSDPLELVATGFYAEPTLLALPSPVVASGGNVTLQCDTLDGLLTFVLVEEEQKLPRTLYSQKLPKGPSQALFPVGPVTPSCRWRFRCYYYYRKNPQVWSNPSDLLEILVPGVSRKPSLLIPQGSVVARGGSLTLQCRSDVGYDIFVLYKEGEHDLVQGSGQQPQAGLSQANFTLGPVSRSHGGQYRCYGAHNLSPRWSAPSDPLDILIAGLIPDIPALSVQPGPKVASGENVTLLCQSWHQIDTFFLTKEGAAHPPLCLKSKYQSYRHQAEFSMSPVTSAQGGTYRCYSAIRSYPYLLSSPSYPQELVVSGPSGDPSLSPTGSTPTPGPEDQPLTPTGLDPQSGLGRHLGVVTGVSVAFVLLLFLLLFLLLRHRHQSKHRTSAHFYRPAGAAGPEPKDQGLQKRASPVADIQEEILNAAVKDTQPKDGVEMDARAAASEAPQDVTYAQLHSLTLRREATEPPPSQEREPPAEPSIYAPLAIH
+>DECOY_sp|O75023|LIRB5_HUMAN Leukocyte immunoglobulin-like receptor subfamily B member 5 OS=Homo sapiens OX=9606 GN=LILRB5 PE=1 SV=1
+HIALPAYISPEAPPEREQSPPPETAERRLTLSHLQAYTVDQPAESAAARADMEVGDKPQTDKVAANLIEEQIDAVPSARKQLGQDKPEPGAAGAPRYFHASTRHKSQHRHRLLLFLLLFLLLVFAVSVGTVVGLHRGLGSQPDLGTPTLPQDEPGPTPTSGTPSLSPDGSPGSVVLEQPYSPSSLLYPYSRIASYCRYTGGQASTVPSMSFEAQHRYSQYKSKLCLPPHAAGEKTLFFTDIQHWSQCLLTVNEGSAVKPGPQVSLAPIDPILGAILIDLPDSPASWRPSLNHAGYCRYQGGHSRSVPGLTFNAQSLGAQPQQGSGQVLDHEGEKYLVFIDYGVDSRCQLTLSGGRAVVSGQPILLSPKRSVGPVLIELLDSPNSWVQPNKRYYYYCRFRWRCSPTVPGVPFLAQSPGKPLKQSYLTRPLKQEEEVLVFTLLGDLTDCQLTVNGGSAVVPSPLALLTPEAYFGTAVLELPDSPESWGAPTEYYCRYRGASDYVTSPIHFKAKAGPELPNQRKRAWPLGEKDLRYEETELPGQCWLTVPKGRAIVSAPEAWLTPKPLTGAQVCTRPGVSLGLCILVSLTLTM
+>sp|P43034|LIS1_HUMAN Platelet-activating factor acetylhydrolase IB subunit alpha OS=Homo sapiens OX=9606 GN=PAFAH1B1 PE=1 SV=2
+MVLSQRQRDELNRAIADYLRSNGYEEAYSVFKKEAELDVNEELDKKYAGLLEKKWTSVIRLQKKVMELESKLNEAKEEFTSGGPLGQKRDPKEWIPRPPEKYALSGHRSPVTRVIFHPVFSVMVSASEDATIKVWDYETGDFERTLKGHTDSVQDISFDHSGKLLASCSADMTIKLWDFQGFECIRTMHGHDHNVSSVAIMPNGDHIVSASRDKTIKMWEVQTGYCVKTFTGHREWVRMVRPNQDGTLIASCSNDQTVRVWVVATKECKAELREHEHVVECISWAPESSYSSISEATGSETKKSGKPGPFLLSGSRDKTIKMWDVSTGMCLMTLVGHDNWVRGVLFHSGGKFILSCADDKTLRVWDYKNKRCMKTLNAHEHFVTSLDFHKTAPYVVTGSVDQTVKVWECR
+>DECOY_sp|P43034|LIS1_HUMAN Platelet-activating factor acetylhydrolase IB subunit alpha OS=Homo sapiens OX=9606 GN=PAFAH1B1 PE=1 SV=2
+RCEWVKVTQDVSGTVVYPATKHFDLSTVFHEHANLTKMCRKNKYDWVRLTKDDACSLIFKGGSHFLVGRVWNDHGVLTMLCMGTSVDWMKITKDRSGSLLFPGPKGSKKTESGTAESISSYSSEPAWSICEVVHEHERLEAKCEKTAVVWVRVTQDNSCSAILTGDQNPRVMRVWERHGTFTKVCYGTQVEWMKITKDRSASVIHDGNPMIAVSSVNHDHGHMTRICEFGQFDWLKITMDASCSALLKGSHDFSIDQVSDTHGKLTREFDGTEYDWVKITADESASVMVSFVPHFIVRTVPSRHGSLAYKEPPRPIWEKPDRKQGLPGGSTFEEKAENLKSELEMVKKQLRIVSTWKKELLGAYKKDLEENVDLEAEKKFVSYAEEYGNSRLYDAIARNLEDRQRQSLVM
+>sp|A0A0A0MT76|LJ01_HUMAN Immunoglobulin lambda joining 1 OS=Homo sapiens OX=9606 GN=IGLJ1 PE=4 SV=1
+PSRLLLQPSPQRADPRCWPRGFWSEPQSLCYVFGTGTKVTVL
+>DECOY_sp|A0A0A0MT76|LJ01_HUMAN Immunoglobulin lambda joining 1 OS=Homo sapiens OX=9606 GN=IGLJ1 PE=4 SV=1
+LVTVKTGTGFVYCLSQPESWFGRPWCRPDARQPSPQLLLRSP
+>sp|Q96L50|LLR1_HUMAN Leucine-rich repeat protein 1 OS=Homo sapiens OX=9606 GN=LRR1 PE=1 SV=2
+MKLHCEVEVISRHLPALGLRNRGKGVRAVLSLCQQTSRSQPPVRAFLLISTLKDKRGTRYELRENIEQFFTKFVDEGKATVRLKEPPVDICLSKAISSSLKGFLSAMRLAHRGCNVDTPVSTLTPVKTSEFENFKTKMVITSKKDYPLSKNFPYSLEHLQTSYCGLVRVDMRMLCLKSLRKLDLSHNHIKKLPATIGDLIHLQELNLNDNHLESFSVALCHSTLQKSLRSLDLSKNKIKALPVQFCQLQELKNLKLDDNELIQFPCKIGQLINLRFLSAARNKLPFLPSEFRNLSLEYLDLFGNTFEQPKVLPVIKLQAPLTLLESSARTILHNRIPYGSHIIPFHLCQDLDTAKICVCGRFCLNSFIQGTTTMNLHSVAHTVVLVDNLGGTEAPIISYFCSLGCYVNSSDMLK
+>DECOY_sp|Q96L50|LLR1_HUMAN Leucine-rich repeat protein 1 OS=Homo sapiens OX=9606 GN=LRR1 PE=1 SV=2
+KLMDSSNVYCGLSCFYSIIPAETGGLNDVLVVTHAVSHLNMTTTGQIFSNLCFRGCVCIKATDLDQCLHFPIIHSGYPIRNHLITRASSELLTLPAQLKIVPLVKPQEFTNGFLDLYELSLNRFESPLFPLKNRAASLFRLNILQGIKCPFQILENDDLKLNKLEQLQCFQVPLAKIKNKSLDLSRLSKQLTSHCLAVSFSELHNDNLNLEQLHILDGITAPLKKIHNHSLDLKRLSKLCLMRMDVRVLGCYSTQLHELSYPFNKSLPYDKKSTIVMKTKFNEFESTKVPTLTSVPTDVNCGRHALRMASLFGKLSSSIAKSLCIDVPPEKLRVTAKGEDVFKTFFQEINERLEYRTGRKDKLTSILLFARVPPQSRSTQQCLSLVARVGKGRNRLGLAPLHRSIVEVECHLKM
+>sp|Q12907|LMAN2_HUMAN Vesicular integral-membrane protein VIP36 OS=Homo sapiens OX=9606 GN=LMAN2 PE=1 SV=1
+MAAEGWIWRWGWGRRCLGRPGLLGPGPGPTTPLFLLLLLGSVTADITDGNSEHLKREHSLIKPYQGVGSSSMPLWDFQGSTMLTSQYVRLTPDERSKEGSIWNHQPCFLKDWEMHVHFKVHGTGKKNLHGDGIALWYTRDRLVPGPVFGSKDNFHGLAIFLDTYPNDETTERVFPYISVMVNNGSLSYDHSKDGRWTELAGCTADFRNRDHDTFLAVRYSRGRLTVMTDLEDKNEWKNCIDITGVRLPTGYYFGASAGTGDLSDNHDIISMKLFQLMVEHTPDEESIDWTKIEPSVNFLKSPKDNVDDPTGNFRSGPLTGWRVFLLLLCALLGIVVCAVVGAVVFQKRQERNKRFY
+>DECOY_sp|Q12907|LMAN2_HUMAN Vesicular integral-membrane protein VIP36 OS=Homo sapiens OX=9606 GN=LMAN2 PE=1 SV=1
+YFRKNREQRKQFVVAGVVACVVIGLLACLLLLFVRWGTLPGSRFNGTPDDVNDKPSKLFNVSPEIKTWDISEEDPTHEVMLQFLKMSIIDHNDSLDGTGASAGFYYGTPLRVGTIDICNKWENKDELDTMVTLRGRSYRVALFTDHDRNRFDATCGALETWRGDKSHDYSLSGNNVMVSIYPFVRETTEDNPYTDLFIALGHFNDKSGFVPGPVLRDRTYWLAIGDGHLNKKGTGHVKFHVHMEWDKLFCPQHNWISGEKSREDPTLRVYQSTLMTSGQFDWLPMSSSGVGQYPKILSHERKLHESNGDTIDATVSGLLLLLFLPTTPGPGPGLLGPRGLCRRGWGWRWIWGEAAM
+>sp|Q9NUN5|LMBD1_HUMAN Probable lysosomal cobalamin transporter OS=Homo sapiens OX=9606 GN=LMBRD1 PE=1 SV=1
+MATSGAASAELVIGWCIFGLLLLAILAFCWIYVRKYQSRRESEVVSTITAIFSLAIALITSALLPVDIFLVSYMKNQNGTFKDWANANVSRQIEDTVLYGYYTLYSVILFCVFFWIPFVYFYYEEKDDDDTSKCTQIKTALKYTLGFVVICALLLLVGAFVPLNVPNNKNSTEWEKVKSLFEELGSSHGLAALSFSISSLTLIGMLAAITYTAYGMSALPLNLIKGTRSAAYERLENTEDIEEVEQHIQTIKSKSKDGRPLPARDKRALKQFEERLRTLKKRERHLEFIENSWWTKFCGALRPLKIVWGIFFILVALLFVISLFLSNLDKALHSAGIDSGFIIFGANLSNPLNMLLPLLQTVFPLDYILITIIIMYFIFTSMAGIRNIGIWFFWIRLYKIRRGRTRPQALLFLCMILLLIVLHTSYMIYSLAPQYVMYGSQNYLIETNITSDNHKGNSTLSVPKRCDADAPEDQCTVTRTYLFLHKFWFFSAAYYFGNWAFLGVFLIGLIVSCCKGKKSVIEGVDEDSDISDDEPSVYSA
+>DECOY_sp|Q9NUN5|LMBD1_HUMAN Probable lysosomal cobalamin transporter OS=Homo sapiens OX=9606 GN=LMBRD1 PE=1 SV=1
+ASYVSPEDDSIDSDEDVGEIVSKKGKCCSVILGILFVGLFAWNGFYYAASFFWFKHLFLYTRTVTCQDEPADADCRKPVSLTSNGKHNDSTINTEILYNQSGYMVYQPALSYIMYSTHLVILLLIMCLFLLAQPRTRGRRIKYLRIWFFWIGINRIGAMSTFIFYMIIITILIYDLPFVTQLLPLLMNLPNSLNAGFIIFGSDIGASHLAKDLNSLFLSIVFLLAVLIFFIGWVIKLPRLAGCFKTWWSNEIFELHRERKKLTRLREEFQKLARKDRAPLPRGDKSKSKITQIHQEVEEIDETNELREYAASRTGKILNLPLASMGYATYTIAALMGILTLSSISFSLAALGHSSGLEEFLSKVKEWETSNKNNPVNLPVFAGVLLLLACIVVFGLTYKLATKIQTCKSTDDDDKEEYYFYVFPIWFFVCFLIVSYLTYYGYLVTDEIQRSVNANAWDKFTGNQNKMYSVLFIDVPLLASTILAIALSFIATITSVVESERRSQYKRVYIWCFALIALLLLGFICWGIVLEASAAGSTAM
+>sp|Q96JM7|LMBL3_HUMAN Lethal(3)malignant brain tumor-like protein 3 OS=Homo sapiens OX=9606 GN=L3MBTL3 PE=1 SV=2
+MTESASSTSGQEFDVFSVMDWKDGVGTLPGSDLKFRVNEFGALEVITDENEMENVKKATATTTWMVPTAQEAPTSPPSSRPVFPPAYWTSPPGCPTVFSEKTGMPFRLKDPVKVEGLQFCENCCQYGNVDECLSGGNYCSQNCARHIKDKDQKEERDVEEDNEEEDPKCSRKKKPKLSLKADTKEDGEERDDEMENKQDVRILRGSQRARRKRRGDSAVLKQGLPPKGKKAWCWASYLEEEKAVAVPAKLFKEHQSFPYNKNGFKVGMKLEGVDPEHQSVYCVLTVAEVCGYRIKLHFDGYSDCYDFWVNADALDIHPVGWCEKTGHKLHPPKGYKEEEFNWQTYLKTCKAQAAPKSLFENQNITVIPSGFRVGMKLEAVDKKNPSFICVATVTDMVDNRFLVHFDNWDESYDYWCEASSPHIHPVGWCKEHRRTLITPPGYPNVKHFSWDKYLEETNSLPAPARAFKVKPPHGFQKKMKLEVVDKRNPMFIRVATVADTDDHRVKVHFDGWNNCYDYWIDADSPDIHPVGWCSKTGHPLQPPLSPLELMEASEHGGCSTPGCKGIGHFKRARHLGPHSAANCPYSEINLNKDRIFPDRLSGEMPPASPSFPRNKRTDANESSSSPEIRDQHADDVKEDFEERTESEMRTSHEARGAREEPTVQQAQRRSAVFLSFKSPIPCLPLRWEQQSKLLPTVAGIPASKVSKWSTDEVSEFIQSLPGCEEHGKVFKDEQIDGEAFLLMTQTDIVKIMSIKLGPALKIFNSILMFKAAEKNSHNEL
+>DECOY_sp|Q96JM7|LMBL3_HUMAN Lethal(3)malignant brain tumor-like protein 3 OS=Homo sapiens OX=9606 GN=L3MBTL3 PE=1 SV=2
+LENHSNKEAAKFMLISNFIKLAPGLKISMIKVIDTQTMLLFAEGDIQEDKFVKGHEECGPLSQIFESVEDTSWKSVKSAPIGAVTPLLKSQQEWRLPLCPIPSKFSLFVASRRQAQQVTPEERAGRAEHSTRMESETREEFDEKVDDAHQDRIEPSSSSENADTRKNRPFSPSAPPMEGSLRDPFIRDKNLNIESYPCNAASHPGLHRARKFHGIGKCGPTSCGGHESAEMLELPSLPPQLPHGTKSCWGVPHIDPSDADIWYDYCNNWGDFHVKVRHDDTDAVTAVRIFMPNRKDVVELKMKKQFGHPPKVKFARAPAPLSNTEELYKDWSFHKVNPYGPPTILTRRHEKCWGVPHIHPSSAECWYDYSEDWNDFHVLFRNDVMDTVTAVCIFSPNKKDVAELKMGVRFGSPIVTINQNEFLSKPAAQAKCTKLYTQWNFEEEKYGKPPHLKHGTKECWGVPHIDLADANVWFDYCDSYGDFHLKIRYGCVEAVTLVCYVSQHEPDVGELKMGVKFGNKNYPFSQHEKFLKAPVAVAKEEELYSAWCWAKKGKPPLGQKLVASDGRRKRRARQSGRLIRVDQKNEMEDDREEGDEKTDAKLSLKPKKKRSCKPDEEENDEEVDREEKQDKDKIHRACNQSCYNGGSLCEDVNGYQCCNECFQLGEVKVPDKLRFPMGTKESFVTPCGPPSTWYAPPFVPRSSPPSTPAEQATPVMWTTTATAKKVNEMENEDTIVELAGFENVRFKLDSGPLTGVGDKWDMVSFVDFEQGSTSSASETM
+>sp|Q8TAP4|LMO3_HUMAN LIM domain only protein 3 OS=Homo sapiens OX=9606 GN=LMO3 PE=1 SV=1
+MLSVQPDTKPKGCAGCNRKIKDRYLLKALDKYWHEDCLKCACCDCRLGEVGSTLYTKANLILCRRDYLRLFGVTGNCAACSKLIPAFEMVMRAKDNVYHLDCFACQLCNQRFCVGDKFFLKNNMILCQTDYEEGLMKEGYAPQVR
+>DECOY_sp|Q8TAP4|LMO3_HUMAN LIM domain only protein 3 OS=Homo sapiens OX=9606 GN=LMO3 PE=1 SV=1
+RVQPAYGEKMLGEEYDTQCLIMNNKLFFKDGVCFRQNCLQCAFCDLHYVNDKARMVMEFAPILKSCAACNGTVGFLRLYDRRCLILNAKTYLTSGVEGLRCDCCACKLCDEHWYKDLAKLLYRDKIKRNCGACGKPKTDPQVSLM
+>sp|Q8IXW0|LMTD2_HUMAN Lamin tail domain-containing protein 2 OS=Homo sapiens OX=9606 GN=LMNTD2 PE=1 SV=2
+MRWLRPAGRRREQESVSGHLGPPAGAPAAPETPTCLPDTTPHPAPVVCSADPQLALESLDPRTLRLLWRQRELEIQALRWAIQNGEDARLCHILEEVAGLPPKRSSHSQEKLLQNQVQKLIQELKEQKERAQWEKEHLEERLLQTTRTLQEMEAELQNLQKSCLLQLARSSWVGRMLRSQTGSVEVVTAETLMDPSDLSENIQAPTGEGFRLEDVDWNSVARRYPNLFTNMEPSSKQKQPRPWPQLDTGSPESSGKHSERHHKTVEWGSLPCLNTSSSGGADSDSSSCRPGLPSFVQVIGHPPRDHRASSEQALVQAGSYSRDSEDLQKTHSPRHGEPVLSPQPCTDPDHWSPELLQSPTGLKIVAVSCREKFVRIFNPSQESTADLSGMVLKQLVRGFPERLYRFPPGTLLAPRHHVTVWGEATRSAKKPLRASSSREPVPLLSIRGCATLLLSPKGEVLSEHRIPRRETPAPRVFADGTDLSIDRFPLPEAGPGADTRKPPRPPRPLRKGRVREPRVSRRRPGTRGLLPPVSSGKLFHAREGPARPENPEIPAPQHLPAIPGDPTLPSPPAEAGLGLEDCRLQKEHRVRVCRKSVDRSCPLVALSVQNTAESRFGFRFLSCLPVTADTCRGA
+>DECOY_sp|Q8IXW0|LMTD2_HUMAN Lamin tail domain-containing protein 2 OS=Homo sapiens OX=9606 GN=LMNTD2 PE=1 SV=2
+AGRCTDATVPLCSLFRFGFRSEATNQVSLAVLPCSRDVSKRCVRVRHEKQLRCDELGLGAEAPPSPLTPDGPIAPLHQPAPIEPNEPRAPGERAHFLKGSSVPPLLGRTGPRRRSVRPERVRGKRLPRPPRPPKRTDAGPGAEPLPFRDISLDTGDAFVRPAPTERRPIRHESLVEGKPSLLLTACGRISLLPVPERSSSARLPKKASRTAEGWVTVHHRPALLTGPPFRYLREPFGRVLQKLVMGSLDATSEQSPNFIRVFKERCSVAVIKLGTPSQLLEPSWHDPDTCPQPSLVPEGHRPSHTKQLDESDRSYSGAQVLAQESSARHDRPPHGIVQVFSPLGPRCSSSDSDAGGSSSTNLCPLSGWEVTKHHRESHKGSSEPSGTDLQPWPRPQKQKSSPEMNTFLNPYRRAVSNWDVDELRFGEGTPAQINESLDSPDMLTEATVVEVSGTQSRLMRGVWSSRALQLLCSKQLNQLEAEMEQLTRTTQLLREELHEKEWQAREKQEKLEQILKQVQNQLLKEQSHSSRKPPLGAVEELIHCLRADEGNQIAWRLAQIELERQRWLLRLTRPDLSELALQPDASCVVPAPHPTTDPLCTPTEPAAPAGAPPGLHGSVSEQERRRGAPRLWRM
+>sp|Q6ZN17|LN28B_HUMAN Protein lin-28 homolog B OS=Homo sapiens OX=9606 GN=LIN28B PE=1 SV=1
+MAEGGASKGGGEEPGKLPEPAEEESQVLRGTGHCKWFNVRMGFGFISMINREGSPLDIPVDVFVHQSKLFMEGFRSLKEGEPVEFTFKKSSKGLESIRVTGPGGSPCLGSERRPKGKTLQKRKPKGDRCYNCGGLDHHAKECSLPPQPKKCHYCQSIMHMVANCPHKNVAQPPASSQGRQEAESQPCTSTLPREVGGGHGCTSPPFPQEARAEISERSGRSPQEASSTKSSIAPEEQSKKGPSVQKRKKT
+>DECOY_sp|Q6ZN17|LN28B_HUMAN Protein lin-28 homolog B OS=Homo sapiens OX=9606 GN=LIN28B PE=1 SV=1
+TKKRKQVSPGKKSQEEPAISSKTSSAEQPSRGSRESIEARAEQPFPPSTCGHGGGVERPLTSTCPQSEAEQRGQSSAPPQAVNKHPCNAVMHMISQCYHCKKPQPPLSCEKAHHDLGGCNYCRDGKPKRKQLTKGKPRRESGLCPSGGPGTVRISELGKSSKKFTFEVPEGEKLSRFGEMFLKSQHVFVDVPIDLPSGERNIMSIFGFGMRVNFWKCHGTGRLVQSEEEAPEPLKGPEEGGGKSAGGEAM
+>sp|P16050|LOX15_HUMAN Arachidonate 15-lipoxygenase OS=Homo sapiens OX=9606 GN=ALOX15 PE=1 SV=3
+MGLYRIRVSTGASLYAGSNNQVQLWLVGQHGEAALGKRLWPARGKETELKVEVPEYLGPLLFVKLRKRHLLKDDAWFCNWISVQGPGAGDEVRFPCYRWVEGNGVLSLPEGTGRTVGEDPQGLFQKHREEELEERRKLYRWGNWKDGLILNMAGAKLYDLPVDERFLEDKRVDFEVSLAKGLADLAIKDSLNVLTCWKDLDDFNRIFWCGQSKLAERVRDSWKEDALFGYQFLNGANPVVLRRSAHLPARLVFPPGMEELQAQLEKELEGGTLFEADFSLLDGIKANVILCSQQHLAAPLVMLKLQPDGKLLPMVIQLQLPRTGSPPPPLFLPTDPPMAWLLAKCWVRSSDFQLHELQSHLLRGHLMAEVIVVATMRCLPSIHPIFKLIIPHLRYTLEINVRARTGLVSDMGIFDQIMSTGGGGHVQLLKQAGAFLTYSSFCPPDDLADRGLLGVKSSFYAQDALRLWEIIYRYVEGIVSLHYKTDVAVKDDPELQTWCREITEIGLQGAQDRGFPVSLQARDQVCHFVTMCIFTCTGQHASVHLGQLDWYSWVPNAPCTMRLPPPTTKDATLETVMATLPNFHQASLQMSITWQLGRRQPVMVAVGQHEEEYFSGPEPKAVLKKFREELAALDKEIEIRNAKLDMPYEYLRPSVVENSVAI
+>DECOY_sp|P16050|LOX15_HUMAN Arachidonate 15-lipoxygenase OS=Homo sapiens OX=9606 GN=ALOX15 PE=1 SV=3
+IAVSNEVVSPRLYEYPMDLKANRIEIEKDLAALEERFKKLVAKPEPGSFYEEEHQGVAVMVPQRRGLQWTISMQLSAQHFNPLTAMVTELTADKTTPPPLRMTCPANPVWSYWDLQGLHVSAHQGTCTFICMTVFHCVQDRAQLSVPFGRDQAGQLGIETIERCWTQLEPDDKVAVDTKYHLSVIGEVYRYIIEWLRLADQAYFSSKVGLLGRDALDDPPCFSSYTLFAGAQKLLQVHGGGGTSMIQDFIGMDSVLGTRARVNIELTYRLHPIILKFIPHISPLCRMTAVVIVEAMLHGRLLHSQLEHLQFDSSRVWCKALLWAMPPDTPLFLPPPPSGTRPLQLQIVMPLLKGDPQLKLMVLPAALHQQSCLIVNAKIGDLLSFDAEFLTGGELEKELQAQLEEMGPPFVLRAPLHASRRLVVPNAGNLFQYGFLADEKWSDRVREALKSQGCWFIRNFDDLDKWCTLVNLSDKIALDALGKALSVEFDVRKDELFREDVPLDYLKAGAMNLILGDKWNGWRYLKRREELEEERHKQFLGQPDEGVTRGTGEPLSLVGNGEVWRYCPFRVEDGAGPGQVSIWNCFWADDKLLHRKRLKVFLLPGLYEPVEVKLETEKGRAPWLRKGLAAEGHQGVLWLQVQNNSGAYLSAGTSVRIRYLGM
+>sp|P58215|LOXL3_HUMAN Lysyl oxidase homolog 3 OS=Homo sapiens OX=9606 GN=LOXL3 PE=1 SV=1
+MRPVSVWQWSPWGLLLCLLCSSCLGSPSPSTGPEKKAGSQGLRFRLAGFPRKPYEGRVEIQRAGEWGTICDDDFTLQAAHILCRELGFTEATGWTHSAKYGPGTGRIWLDNLSCSGTEQSVTECASRGWGNSDCTHDEDAGVICKDQRLPGFSDSNVIEVEHHLQVEEVRIRPAVGWGRRPLPVTEGLVEVRLPDGWSQVCDKGWSAHNSHVVCGMLGFPSEKRVNAAFYRLLAQRQQHSFGLHGVACVGTEAHLSLCSLEFYRANDTARCPGGGPAVVSCVPGPVYAASSGQKKQQQSKPQGEARVRLKGGAHPGEGRVEVLKASTWGTVCDRKWDLHAASVVCRELGFGSAREALSGARMGQGMGAIHLSEVRCSGQELSLWKCPHKNITAEDCSHSQDAGVRCNLPYTGAETRIRLSGGRSQHEGRVEVQIGGPGPLRWGLICGDDWGTLEAMVACRQLGLGYANHGLQETWYWDSGNITEVVMSGVRCTGTELSLDQCAHHGTHITCKRTGTRFTAGVICSETASDLLLHSALVQETAYIEDRPLHMLYCAAEENCLASSARSANWPYGHRRLLRFSSQIHNLGRADFRPKAGRHSWVWHECHGHYHSMDIFTHYDILTPNGTKVAEGHKASFCLEDTECQEDVSKRYECANFGEQGITVGCWDLYRHDIDCQWIDITDVKPGNYILQVVINPNFEVAESDFTNNAMKCNCKYDGHRIWVHNCHIGDAFSEEANRRFERYPGQTSNQII
+>DECOY_sp|P58215|LOXL3_HUMAN Lysyl oxidase homolog 3 OS=Homo sapiens OX=9606 GN=LOXL3 PE=1 SV=1
+IIQNSTQGPYREFRRNAEESFADGIHCNHVWIRHGDYKCNCKMANNTFDSEAVEFNPNIVVQLIYNGPKVDTIDIWQCDIDHRYLDWCGVTIGQEGFNACEYRKSVDEQCETDELCFSAKHGEAVKTGNPTLIDYHTFIDMSHYHGHCEHWVWSHRGAKPRFDARGLNHIQSSFRLLRRHGYPWNASRASSALCNEEAACYLMHLPRDEIYATEQVLASHLLLDSATESCIVGATFRTGTRKCTIHTGHHACQDLSLETGTCRVGSMVVETINGSDWYWTEQLGHNAYGLGLQRCAVMAELTGWDDGCILGWRLPGPGGIQVEVRGEHQSRGGSLRIRTEAGTYPLNCRVGADQSHSCDEATINKHPCKWLSLEQGSCRVESLHIAGMGQGMRAGSLAERASGFGLERCVVSAAHLDWKRDCVTGWTSAKLVEVRGEGPHAGGKLRVRAEGQPKSQQQKKQGSSAAYVPGPVCSVVAPGGGPCRATDNARYFELSCLSLHAETGVCAVGHLGFSHQQRQALLRYFAANVRKESPFGLMGCVVHSNHASWGKDCVQSWGDPLRVEVLGETVPLPRRGWGVAPRIRVEEVQLHHEVEIVNSDSFGPLRQDKCIVGADEDHTCDSNGWGRSACETVSQETGSCSLNDLWIRGTGPGYKASHTWGTAETFGLERCLIHAAQLTFDDDCITGWEGARQIEVRGEYPKRPFGALRFRLGQSGAKKEPGTSPSPSGLCSSCLLCLLLGWPSWQWVSVPRM
+>sp|Q9HCC9|LST2_HUMAN Lateral signaling target protein 2 homolog OS=Homo sapiens OX=9606 GN=ZFYVE28 PE=1 SV=3
+MMNRFRKWLYKPKRSDPQLLARFYYADEELNQVAAELDSLDGRKDPQRCTLLVSQFRSCQDNVLNIINQIMDECIPQDRAPRDFCVKFPEEIRHDNLAGQLWFGAECLAAGSIIMNRELESMAMRPLAKELTRSLEDVRGALRDQALRDLNTYTEKMREALRHFDVLFAEFELSYVSAMVPVKSPREYYVQQEVIVLFCETVERALDFGYLTQDMIDDYEPALMFSIPRLAIVCGLVVYADGPLNLDRKVEDMSELFRPFHTLLRKIRDLLQTLTEEELHTLERNLCISQDVEFPIRADVQGPAALAPALSAPLPPEGPLSAKAKDPDAELACSMQYDDQELEQLSRMVHRAGDEMSSLLSPPIACQSPAHRPGAEGSPGGEASPGRPRLRSGSDEEERVFFMDDVEGTAEALARPESPAGPFGWAGSTWADPQEKGQGGPGGAAGISLPASEKEEDLSNNNLEAEGTDGASLAGTSSCSCLDSRLHLDGWEVGADDAETAEMIAHRTGGMKLSATVIFNPKSPTSLDSAVATQEAASEPVAEGMDGGPHKLSTGATNCLLHSCVCCGSCGDSREDVVERLREKCSPGGVIGASYAAGLAKASDRAPERQEEAPPPSEDASNGREPKAPTSDKCLPHTSGSQVDTASGLQGEAGVAGQQEPEARELHAGSPSAHEAPQALSGSSSSTAGSCSSDKMGPEAAPAATHAAPQATREKIRSRFHGSHDLIHRLFVCISGVADQLQTNYASDLRSILKTLFEVMATKPETDDKEKLRKVTQTLRSAALEDCALCQETLSSSELAAKTRDGDFEDPPEWVPDEACGFCTACKAPFTVIRRKHHCRSCGKIFCSRCSSHSAPLPRYGQVKPVRVCTHCYMFHVTPFYSDKAGL
+>DECOY_sp|Q9HCC9|LST2_HUMAN Lateral signaling target protein 2 homolog OS=Homo sapiens OX=9606 GN=ZFYVE28 PE=1 SV=3
+LGAKDSYFPTVHFMYCHTCVRVPKVQGYRPLPASHSSCRSCFIKGCSRCHHKRRIVTFPAKCATCFGCAEDPVWEPPDEFDGDRTKAALESSSLTEQCLACDELAASRLTQTVKRLKEKDDTEPKTAMVEFLTKLISRLDSAYNTQLQDAVGSICVFLRHILDHSGHFRSRIKERTAQPAAHTAAPAAEPGMKDSSCSGATSSSSGSLAQPAEHASPSGAHLERAEPEQQGAVGAEGQLGSATDVQSGSTHPLCKDSTPAKPERGNSADESPPPAEEQREPARDSAKALGAAYSAGIVGGPSCKERLREVVDERSDGCSGCCVCSHLLCNTAGTSLKHPGGDMGEAVPESAAEQTAVASDLSTPSKPNFIVTASLKMGGTRHAIMEATEADDAGVEWGDLHLRSDLCSCSSTGALSAGDTGEAELNNNSLDEEKESAPLSIGAAGGPGGQGKEQPDAWTSGAWGFPGAPSEPRALAEATGEVDDMFFVREEEDSGSRLRPRGPSAEGGPSGEAGPRHAPSQCAIPPSLLSSMEDGARHVMRSLQELEQDDYQMSCALEADPDKAKASLPGEPPLPASLAPALAAPGQVDARIPFEVDQSICLNRELTHLEEETLTQLLDRIKRLLTHFPRFLESMDEVKRDLNLPGDAYVVLGCVIALRPISFMLAPEYDDIMDQTLYGFDLAREVTECFLVIVEQQVYYERPSKVPVMASVYSLEFEAFLVDFHRLAERMKETYTNLDRLAQDRLAGRVDELSRTLEKALPRMAMSELERNMIISGAALCEAGFWLQGALNDHRIEEPFKVCFDRPARDQPICEDMIQNIINLVNDQCSRFQSVLLTCRQPDKRGDLSDLEAAVQNLEEDAYYFRALLQPDSRKPKYLWKRFRNMM
+>sp|Q9NPC1|LT4R2_HUMAN Leukotriene B4 receptor 2 OS=Homo sapiens OX=9606 GN=LTB4R2 PE=2 SV=1
+MAPSHRASQVGFCPTPERPLWRLPPTCRPRRMSVCYRPPGNETLLSWKTSRATGTAFLLLAALLGLPGNGFVVWSLAGWRPARGRPLAATLVLHLALADGAVLLLTPLFVAFLTRQAWPLGQAGCKAVYYVCALSMYASVLLTGLLSLQRCLAVTRPFLAPRLRSPALARRLLLAVWLAALLLAVPAAVYRHLWRDRVCQLCHPSPVHAAAHLSLETLTAFVLPFGLMLGCYSVTLARLRGARWGSGRHGARVGRLVSAIVLAFGLLWAPYHAVNLLQAVAALAPPEGALAKLGGAGQAARAGTTALAFFSSSVNPVLYVFTAGDLLPRAGPRFLTRLFEGSGEARGGGRSREGTMELRTTPQLKVVGQGRGNGDPGGGMEKDGPEWDL
+>DECOY_sp|Q9NPC1|LT4R2_HUMAN Leukotriene B4 receptor 2 OS=Homo sapiens OX=9606 GN=LTB4R2 PE=2 SV=1
+LDWEPGDKEMGGGPDGNGRGQGVVKLQPTTRLEMTGERSRGGGRAEGSGEFLRTLFRPGARPLLDGATFVYLVPNVSSSFFALATTGARAAQGAGGLKALAGEPPALAAVAQLLNVAHYPAWLLGFALVIASVLRGVRAGHRGSGWRAGRLRALTVSYCGLMLGFPLVFATLTELSLHAAAHVPSPHCLQCVRDRWLHRYVAAPVALLLAALWVALLLRRALAPSRLRPALFPRTVALCRQLSLLGTLLVSAYMSLACVYYVAKCGAQGLPWAQRTLFAVFLPTLLLVAGDALALHLVLTAALPRGRAPRWGALSWVVFGNGPLGLLAALLLFATGTARSTKWSLLTENGPPRYCVSMRRPRCTPPLRWLPREPTPCFGVQSARHSPAM
+>sp|Q14766|LTBP1_HUMAN Latent-transforming growth factor beta-binding protein 1 OS=Homo sapiens OX=9606 GN=LTBP1 PE=1 SV=4
+MAGAWLRWGLLLWAGLLASSAHGRLRRITYVVHPGPGLAAGALPLSGPPRSRTFNVALNARYSRSSAAAGAPSRASPGVPSERTRRTSKPGGAALQGLRPPPPPPPEPARPAVPGGQLHPNPGGHPAAAPFTKQGRQVVRSKVPQETQSGGGSRLQVHQKQQLQGVNVCGGRCCHGWSKAPGSQRCTKPSCVPPCQNGGMCLRPQLCVCKPGTKGKACETIAAQDTSSPVFGGQSPGAASSWGPPEQAAKHTSSKKADTLPRVSPVAQMTLTLKPKPSVGLPQQIHSQVTPLSSQSVVIHHGQTQEYVLKPKYFPAQKGISGEQSTEGSFPLRYVQDQVAAPFQLSNHTGRIKVVFTPSICKVTCTKGSCQNSCEKGNTTTLISENGHAADTLTATNFRVVICHLPCMNGGQCSSRDKCQCPPNFTGKLCQIPVHGASVPKLYQHSQQPGKALGTHVIHSTHTLPLTVTSQQGVKVKFPPNIVNIHVKHPPEASVQIHQVSRIDGPTGQKTKEAQPGQSQVSYQGLPVQKTQTIHSTYSHQQVIPHVYPVAAKTQLGRCFQETIGSQCGKALPGLSKQEDCCGTVGTSWGFNKCQKCPKKPSYHGYNQMMECLPGYKRVNNTFCQDINECQLQGVCPNGECLNTMGSYRCTCKIGFGPDPTFSSCVPDPPVISEEKGPCYRLVSSGRQCMHPLSVHLTKQLCCCSVGKAWGPHCEKCPLPGTAAFKEICPGGMGYTVSGVHRRRPIHHHVGKGPVFVKPKNTQPVAKSTHPPPLPAKEEPVEALTFSREHGPGVAEPEVATAPPEKEIPSLDQEKTKLEPGQPQLSPGISTIHLHPQFPVVIEKTSPPVPVEVAPEASTSSASQVIAPTQVTEINECTVNPDICGAGHCINLPVRYTCICYEGYRFSEQQRKCVDIDECTQVQHLCSQGRCENTEGSFLCICPAGFMASEEGTNCIDVDECLRPDVCGEGHCVNTVGAFRCEYCDSGYRMTQRGRCEDIDECLNPSTCPDEQCVNSPGSYQCVPCTEGFRGWNGQCLDVDECLEPNVCANGDCSNLEGSYMCSCHKGYTRTPDHKHCRDIDECQQGNLCVNGQCKNTEGSFRCTCGQGYQLSAAKDQCEDIDECQHRHLCAHGQCRNTEGSFQCVCDQGYRASGLGDHCEDINECLEDKSVCQRGDCINTAGSYDCTCPDGFQLDDNKTCQDINECEHPGLCGPQGECLNTEGSFHCVCQQGFSISADGRTCEDIDECVNNTVCDSHGFCDNTAGSFRCLCYQGFQAPQDGQGCVDVNECELLSGVCGEAFCENVEGSFLCVCADENQEYSPMTGQCRSRTSTDLDVDVDQPKEEKKECYYNLNDASLCDNVLAPNVTKQECCCTSGVGWGDNCEIFPCPVLGTAEFTEMCPKGKGFVPAGESSSEAGGENYKDADECLLFGQEICKNGFCLNTRPGYECYCKQGTYYDPVKLQCFDMDECQDPSSCIDGQCVNTEGSYNCFCTHPMVLDASEKRCIRPAESNEQIEETDVYQDLCWEHLSDEYVCSRPLVGKQTTYTECCCLYGEAWGMQCALCPLKDSDDYAQLCNIPVTGRRQPYGRDALVDFSEQYTPEADPYFIQDRFLNSFEELQAEECGILNGCENGRCVRVQEGYTCDCFDGYHLDTAKMTCVDVNECDELNNRMSLCKNAKCINTDGSYKCLCLPGYVPSDKPNYCTPLNTALNLEKDSDLE
+>DECOY_sp|Q14766|LTBP1_HUMAN Latent-transforming growth factor beta-binding protein 1 OS=Homo sapiens OX=9606 GN=LTBP1 PE=1 SV=4
+ELDSDKELNLATNLPTCYNPKDSPVYGPLCLCKYSGDTNICKANKCLSMRNNLEDCENVDVCTMKATDLHYGDFCDCTYGEQVRVCRGNECGNLIGCEEAQLEEFSNLFRDQIFYPDAEPTYQESFDVLADRGYPQRRGTVPINCLQAYDDSDKLPCLACQMGWAEGYLCCCETYTTQKGVLPRSCVYEDSLHEWCLDQYVDTEEIQENSEAPRICRKESADLVMPHTCFCNYSGETNVCQGDICSSPDQCEDMDFCQLKVPDYYTGQKCYCEYGPRTNLCFGNKCIEQGFLLCEDADKYNEGGAESSSEGAPVFGKGKPCMETFEATGLVPCPFIECNDGWGVGSTCCCEQKTVNPALVNDCLSADNLNYYCEKKEEKPQDVDVDLDTSTRSRCQGTMPSYEQNEDACVCLFSGEVNECFAEGCVGSLLECENVDVCGQGDQPAQFGQYCLCRFSGATNDCFGHSDCVTNNVCEDIDECTRGDASISFGQQCVCHFSGETNLCEGQPGCLGPHECENIDQCTKNDDLQFGDPCTCDYSGATNICDGRQCVSKDELCENIDECHDGLGSARYGQDCVCQFSGETNRCQGHACLHRHQCEDIDECQDKAASLQYGQGCTCRFSGETNKCQGNVCLNGQQCEDIDRCHKHDPTRTYGKHCSCMYSGELNSCDGNACVNPELCEDVDLCQGNWGRFGETCPVCQYSGPSNVCQEDPCTSPNLCEDIDECRGRQTMRYGSDCYECRFAGVTNVCHGEGCVDPRLCEDVDICNTGEESAMFGAPCICLFSGETNECRGQSCLHQVQTCEDIDVCKRQQESFRYGEYCICTYRVPLNICHGAGCIDPNVTCENIETVQTPAIVQSASSTSAEPAVEVPVPPSTKEIVVPFQPHLHITSIGPSLQPQGPELKTKEQDLSPIEKEPPATAVEPEAVGPGHERSFTLAEVPEEKAPLPPPHTSKAVPQTNKPKVFVPGKGVHHHIPRRRHVGSVTYGMGGPCIEKFAATGPLPCKECHPGWAKGVSCCCLQKTLHVSLPHMCQRGSSVLRYCPGKEESIVPPDPVCSSFTPDPGFGIKCTCRYSGMTNLCEGNPCVGQLQCENIDQCFTNNVRKYGPLCEMMQNYGHYSPKKPCKQCKNFGWSTGVTGCCDEQKSLGPLAKGCQSGITEQFCRGLQTKAAVPYVHPIVQQHSYTSHITQTKQVPLGQYSVQSQGPQAEKTKQGTPGDIRSVQHIQVSAEPPHKVHINVINPPFKVKVGQQSTVTLPLTHTSHIVHTGLAKGPQQSHQYLKPVSAGHVPIQCLKGTFNPPCQCKDRSSCQGGNMCPLHCIVVRFNTATLTDAAHGNESILTTTNGKECSNQCSGKTCTVKCISPTFVVKIRGTHNSLQFPAAVQDQVYRLPFSGETSQEGSIGKQAPFYKPKLVYEQTQGHHIVVSQSSLPTVQSHIQQPLGVSPKPKLTLTMQAVPSVRPLTDAKKSSTHKAAQEPPGWSSAAGPSQGGFVPSSTDQAAITECAKGKTGPKCVCLQPRLCMGGNQCPPVCSPKTCRQSGPAKSWGHCCRGGCVNVGQLQQKQHVQLRSGGGSQTEQPVKSRVVQRGQKTFPAAAPHGGPNPHLQGGPVAPRAPEPPPPPPPRLGQLAAGGPKSTRRTRESPVGPSARSPAGAAASSRSYRANLAVNFTRSRPPGSLPLAGAALGPGPHVVYTIRRLRGHASSALLGAWLLLGWRLWAGAM
+>sp|Q8N2S1|LTBP4_HUMAN Latent-transforming growth factor beta-binding protein 4 OS=Homo sapiens OX=9606 GN=LTBP4 PE=1 SV=2
+MPRPGTSGRRPLLLVLLLPLFAAATSAASPSPSPSQVVEVPGVPSRPASVAVCRCCPGQTSRRSRCIRAFCRVRSCQPKKCAGPQRCLNPVPAVPSPSPSVRKRQVSLNWQPLTLQEARALLKRRRPRGPGGRGLLRRRPPQRAPAGKAPVLCPLICHNGGVCVKPDRCLCPPDFAGKFCQLHSSGARPPAPAVPGLTRSVYTMPLANHRDDEHGVASMVSVHVEHPQEASVVVHQVERVSGPWEEADAEAVARAEAAARAEAAAPYTVLAQSAPREDGYSDASGFGYCFRELRGGECASPLPGLRTQEVCCRGAGLAWGVHDCQLCSERLGNSERVSAPDGPCPTGFERVNGSCEDVDECATGGRCQHGECANTRGGYTCVCPDGFLLDSSRSSCISQHVISEAKGPCFRVLRDGGCSLPILRNITKQICCCSRVGKAWGRGCQLCPPFGSEGFREICPAGPGYHYSASDLRYNTRPLGQEPPRVSLSQPRTLPATSRPSAGFLPTHRLEPRPEPRPDPRPGPELPLPSIPAWTGPEIPESGPSSGMCQRNPQVCGPGRCISRPSGYTCACDSGFRLSPQGTRCIDVDECRRVPPPCAPGRCENSPGSFRCVCGPGFRAGPRAAECLDVDECHRVPPPCDLGRCENTPGSFLCVCPAGYQAAPHGASCQDVDECTQSPGLCGRGACKNLPGSFRCVCPAGFRGSACEEDVDECAQEPPPCGPGRCDNTAGSFHCACPAGFRSRGPGAPCQDVDECARSPPPCTYGRCENTEGSFQCVCPMGFQPNTAGSECEDVDECENHLACPGQECVNSPGSFQCRTCPSGHHLHRGRCTDVDECSSGAPPCGPHGHCTNTEGSFRCSCAPGYRAPSGRPGPCADVNECLEGDFCFPHGECLNTDGSFACTCAPGYRPGPRGASCLDVDECSEEDLCQSGICTNTDGSFECICPPGHRAGPDLASCLDVDECRERGPALCGSQRCENSPGSYRCVRDCDPGYHAGPEGTCDDVDECQEYGPEICGAQRCENTPGSYRCTPACDPGYQPTPGGGCQDVDECRNRSFCGAHAVCQNLPGSFQCLCDQGYEGARDGRHCVDVNECETLQGVCGAALCENVEGSFLCVCPNSPEEFDPMTGRCVPPRTSAGTFPGSQPQAPASPVLPARPPPPPLPRRPSTPRQGPVGSGRRECYFDTAAPDACDNILARNVTWQECCCTVGEGWGSGCRIQQCPGTETAEYQSLCPHGRGYLAPSGDLSLRRDVDECQLFRDQVCKSGVCVNTAPGYSCYCSNGYYYHTQRLECIDNDECADEEPACEGGRCVNTVGSYHCTCEPPLVLDGSQRRCVSNESQSLDDNLGVCWQEVGADLVCSHPRLDRQATYTECCCLYGEAWGMDCALCPAQDSDDFEALCNVLRPPAYSPPRPGGFGLPYEYGPDLGPPYQGLPYGPELYPPPALPYDPYPPPPGPFARREAPYGAPRFDMPDFEDDGGPYGESEAPAPPGPGTRWPYRSRDTRRSFPEPEEPPEGGSYAGSLAEPYEELEAEECGILDGCTNGRCVRVPEGFTCRCFDGYRLDMTRMACVDINECDEAEAASPLCVNARCLNTDGSFRCICRPGFAPTHQPHHCAPARPRA
+>DECOY_sp|Q8N2S1|LTBP4_HUMAN Latent-transforming growth factor beta-binding protein 4 OS=Homo sapiens OX=9606 GN=LTBP4 PE=1 SV=2
+ARPRAPACHHPQHTPAFGPRCICRFSGDTNLCRANVCLPSAAEAEDCENIDVCAMRTMDLRYGDFCRCTFGEPVRVCRGNTCGDLIGCEEAELEEYPEALSGAYSGGEPPEEPEPFSRRTDRSRYPWRTGPGPPAPAESEGYPGGDDEFDPMDFRPAGYPAERRAFPGPPPPYPDYPLAPPPYLEPGYPLGQYPPGLDPGYEYPLGFGGPRPPSYAPPRLVNCLAEFDDSDQAPCLACDMGWAEGYLCCCETYTAQRDLRPHSCVLDAGVEQWCVGLNDDLSQSENSVCRRQSGDLVLPPECTCHYSGVTNVCRGGECAPEEDACEDNDICELRQTHYYYGNSCYCSYGPATNVCVGSKCVQDRFLQCEDVDRRLSLDGSPALYGRGHPCLSQYEATETGPCQQIRCGSGWGEGVTCCCEQWTVNRALINDCADPAATDFYCERRGSGVPGQRPTSPRRPLPPPPPRAPLVPSAPAQPQSGPFTGASTRPPVCRGTMPDFEEPSNPCVCLFSGEVNECLAAGCVGQLTECENVDVCHRGDRAGEYGQDCLCQFSGPLNQCVAHAGCFSRNRCEDVDQCGGGPTPQYGPDCAPTCRYSGPTNECRQAGCIEPGYEQCEDVDDCTGEPGAHYGPDCDRVCRYSGPSNECRQSGCLAPGRERCEDVDLCSALDPGARHGPPCICEFSGDTNTCIGSQCLDEESCEDVDLCSAGRPGPRYGPACTCAFSGDTNLCEGHPFCFDGELCENVDACPGPRGSPARYGPACSCRFSGETNTCHGHPGCPPAGSSCEDVDTCRGRHLHHGSPCTRCQFSGPSNVCEQGPCALHNECEDVDECESGATNPQFGMPCVCQFSGETNECRGYTCPPPSRACEDVDQCPAGPGRSRFGAPCACHFSGATNDCRGPGCPPPEQACEDVDEECASGRFGAPCVCRFSGPLNKCAGRGCLGPSQTCEDVDQCSAGHPAAQYGAPCVCLFSGPTNECRGLDCPPPVRHCEDVDLCEAARPGARFGPGCVCRFSGPSNECRGPACPPPVRRCEDVDICRTGQPSLRFGSDCACTYGSPRSICRGPGCVQPNRQCMGSSPGSEPIEPGTWAPISPLPLEPGPRPDPRPEPRPELRHTPLFGASPRSTAPLTRPQSLSVRPPEQGLPRTNYRLDSASYHYGPGAPCIERFGESGFPPCLQCGRGWAKGVRSCCCIQKTINRLIPLSCGGDRLVRFCPGKAESIVHQSICSSRSSDLLFGDPCVCTYGGRTNACEGHQCRGGTACEDVDECSGNVREFGTPCPGDPASVRESNGLRESCLQCDHVGWALGAGRCCVEQTRLGPLPSACEGGRLERFCYGFGSADSYGDERPASQALVTYPAAAEARAAAEARAVAEADAEEWPGSVREVQHVVVSAEQPHEVHVSVMSAVGHEDDRHNALPMTYVSRTLGPVAPAPPRAGSSHLQCFKGAFDPPCLCRDPKVCVGGNHCILPCLVPAKGAPARQPPRRRLLGRGGPGRPRRRKLLARAEQLTLPQWNLSVQRKRVSPSPSPVAPVPNLCRQPGACKKPQCSRVRCFARICRSRRSTQGPCCRCVAVSAPRSPVGPVEVVQSPSPSPSAASTAAAFLPLLLVLLLPRRGSTGPRPM
+>sp|O94822|LTN1_HUMAN E3 ubiquitin-protein ligase listerin OS=Homo sapiens OX=9606 GN=LTN1 PE=1 SV=6
+MGGKNKQRTKGNLRPSNSGRAAELLAKEQGTVPGFIGFGTSQSDLGYVPAIQGAEEIDSLVDSDFRMVLRKLSKKDVTTKLKAMQEFGTMCTERDTETVKGVLPYWPRIFCKISLDHDRRVREATQQAFEKLILKVKKQLAPYLKSLMGYWLMAQCDTYTPAAFAAKDAFEAAFPPSKQPEAIAFCKDEITSVLQDHLIKETPDTLSDPQTVPEEEREAKFYRVVTCSLLALKRLLCLLPDNELDSLEEKFKSLLSQNKFWKYGKHSVPQIRSAYFELVSALCQRIPQLMKEEASKVSPSVLLSIDDSDPIVCPALWEAVLYTLTTIEDCWLHVNAKKSVFPKLSTVIREGGRGLATVIYPYLLPFISKLPQSITNPKLDFFKNFLTSLVAGLSTERTKTSSLESSAVISAFFECLRFIMQQNLGEEEIEQMLVNDQLIPFIDAVLKDPGLQHGQLFNHLAETLSSWEAKADTEKDEKTAHNLENVLIHFWERLSEICVAKISEPEADVESVLGVSNLLQVLQKPKSSLKSSKKKNGKVRFADEILESNKENEKCVSSEGEKIEGWELTTEPSLTHNSSGLLSPLRKKPLEDLVCKLADISINYVNERKSEQHLRFLSTLLDSFSSSRVFKMLLGDEKQSIVQAKPLEIAKLVQKNPAVQFLYQKLIGWLNEDQRKDFGFLVDILYSALRCCDNDMERKKVLDDLTKVDLKWNSLLKIIEKACPSSDKHALVTPWLKGDILGEKLVNLADCLCNEDLESRVSSESHFSERWTLLSLVLSQHVKNDYLIGDVYVERIIVRLHETLFKTKKLSEAESSDSSVSFICDVAYNYFSSAKGCLLMPSSEDLLLTLFQLCAQSKEKTHLPDFLICKLKNTWLSGVNLLVHQTDSSYKESTFLHLSALWLKNQVQASSLDINSLQVLLSAVDDLLNTLLESEDSYLMGVYIGSVMPNDSEWEKMRQSLPMQWLHRPLLEGRLSLNYECFKTDFKEQDIKTLPSHLCTSALLSKMVLIALRKETVLENNELEKIIAELLYSLQWCEELDNPPIFLIGFCEILQKMNITYDNLRVLGNTSGLLQLLFNRSREHGTLWSLIIAKLILSRSISSDEVKPHYKRKESFFPLTEGNLHTIQSLCPFLSKEEKKEFSAQCIPALLGWTKKDLCSTNGGFGHLAIFNSCLQTKSIDDGELLHGILKIIISWKKEHEDIFLFSCNLSEASPEVLGVNIEIIRFLSLFLKYCSSPLAESEWDFIMCSMLAWLETTSENQALYSIPLVQLFACVSCDLACDLSAFFDSTTLDTIGNLPVNLISEWKEFFSQGIHSLLLPILVTVTGENKDVSETSFQNAMLKPMCETLTYISKEQLLSHKLPARLVADQKTNLPEYLQTLLNTLAPLLLFRARPVQIAVYHMLYKLMPELPQYDQDNLKSYGDEEEEPALSPPAALMSLLSIQEDLLENVLGCIPVGQIVTIKPLSEDFCYVLGYLLTWKLILTFFKAASSQLRALYSMYLRKTKSLNKLLYHLFRLMPENPTYAETAVEVPNKDPKTFFTEELQLSIRETTMLPYHIPHLACSVYHMTLKDLPAMVRLWWNSSEKRVFNIVDRFTSKYVSSVLSFQEISSVQTSTQLFNGMTVKARATTREVMATYTIEDIVIELIIQLPSNYPLGSIIVESGKRVGVAVQQWRNWMLQLSTYLTHQNGSIMEGLALWKNNVDKRFEGVEDCMICFSVIHGFNYSLPKKACRTCKKKFHSACLYKWFTSSNKSTCPLCRETFF
+>DECOY_sp|O94822|LTN1_HUMAN E3 ubiquitin-protein ligase listerin OS=Homo sapiens OX=9606 GN=LTN1 PE=1 SV=6
+FFTERCLPCTSKNSSTFWKYLCASHFKKKCTRCAKKPLSYNFGHIVSFCIMCDEVGEFRKDVNNKWLALGEMISGNQHTLYTSLQLMWNRWQQVAVGVRKGSEVIISGLPYNSPLQIILEIVIDEITYTAMVERTTARAKVTMGNFLQTSTQVSSIEQFSLVSSVYKSTFRDVINFVRKESSNWWLRVMAPLDKLTMHYVSCALHPIHYPLMTTERISLQLEETFFTKPDKNPVEVATEAYTPNEPMLRFLHYLLKNLSKTKRLYMSYLARLQSSAAKFFTLILKWTLLYGLVYCFDESLPKITVIQGVPICGLVNELLDEQISLLSMLAAPPSLAPEEEEDGYSKLNDQDYQPLEPMLKYLMHYVAIQVPRARFLLLPALTNLLTQLYEPLNTKQDAVLRAPLKHSLLQEKSIYTLTECMPKLMANQFSTESVDKNEGTVTVLIPLLLSHIGQSFFEKWESILNVPLNGITDLTTSDFFASLDCALDCSVCAFLQVLPISYLAQNESTTELWALMSCMIFDWESEALPSSCYKLFLSLFRIIEINVGLVEPSAESLNCSFLFIDEHEKKWSIIIKLIGHLLEGDDISKTQLCSNFIALHGFGGNTSCLDKKTWGLLAPICQASFEKKEEKSLFPCLSQITHLNGETLPFFSEKRKYHPKVEDSSISRSLILKAIILSWLTGHERSRNFLLQLLGSTNGLVRLNDYTINMKQLIECFGILFIPPNDLEECWQLSYLLEAIIKELENNELVTEKRLAILVMKSLLASTCLHSPLTKIDQEKFDTKFCEYNLSLRGELLPRHLWQMPLSQRMKEWESDNPMVSGIYVGMLYSDESELLTNLLDDVASLLVQLSNIDLSSAQVQNKLWLASLHLFTSEKYSSDTQHVLLNVGSLWTNKLKCILFDPLHTKEKSQACLQFLTLLLDESSPMLLCGKASSFYNYAVDCIFSVSSDSSEAESLKKTKFLTEHLRVIIREVYVDGILYDNKVHQSLVLSLLTWRESFHSESSVRSELDENCLCDALNVLKEGLIDGKLWPTVLAHKDSSPCAKEIIKLLSNWKLDVKTLDDLVKKREMDNDCCRLASYLIDVLFGFDKRQDENLWGILKQYLFQVAPNKQVLKAIELPKAQVISQKEDGLLMKFVRSSSFSDLLTSLFRLHQESKRENVYNISIDALKCVLDELPKKRLPSLLGSSNHTLSPETTLEWGEIKEGESSVCKENEKNSELIEDAFRVKGNKKKSSKLSSKPKQLVQLLNSVGLVSEVDAEPESIKAVCIESLREWFHILVNELNHATKEDKETDAKAEWSSLTEALHNFLQGHQLGPDKLVADIFPILQDNVLMQEIEEEGLNQQMIFRLCEFFASIVASSELSSTKTRETSLGAVLSTLFNKFFDLKPNTISQPLKSIFPLLYPYIVTALGRGGERIVTSLKPFVSKKANVHLWCDEITTLTYLVAEWLAPCVIPDSDDISLLVSPSVKSAEEKMLQPIRQCLASVLEFYASRIQPVSHKGYKWFKNQSLLSKFKEELSDLENDPLLCLLRKLALLSCTVVRYFKAEREEEPVTQPDSLTDPTEKILHDQLVSTIEDKCFAIAEPQKSPPFAAEFADKAAFAAPTYTDCQAMLWYGMLSKLYPALQKKVKLILKEFAQQTAERVRRDHDLSIKCFIRPWYPLVGKVTETDRETCMTGFEQMAKLKTTVDKKSLKRLVMRFDSDVLSDIEEAGQIAPVYGLDSQSTGFGIFGPVTGQEKALLEAARGSNSPRLNGKTRQKNKGGM
+>sp|Q538Z0|LUZP6_HUMAN Leucine zipper protein 6 OS=Homo sapiens OX=9606 GN=LUZP6 PE=2 SV=1
+MKSVISYALYQVQTGSLPVYSSVLTKSPLQLQTVIYRLIVQIQHLNIPSSSSTHSSPF
+>DECOY_sp|Q538Z0|LUZP6_HUMAN Leucine zipper protein 6 OS=Homo sapiens OX=9606 GN=LUZP6 PE=2 SV=1
+FPSSHTSSSSPINLHQIQVILRYIVTQLQLPSKTLVSSYVPLSGTQVQYLAYSIVSKM
+>sp|P01699|LV144_HUMAN Immunoglobulin lambda variable 1-44 OS=Homo sapiens OX=9606 GN=IGLV1-44 PE=1 SV=2
+MASFPLLLTLLTHCAGSWAQSVLTQPPSASGTPGQRVTISCSGSSSNIGSNTVNWYQQLPGTAPKLLIYSNNQRPSGVPDRFSGSKSGTSASLAISGLQSEDEADYYCAAWDDSLNG
+>DECOY_sp|P01699|LV144_HUMAN Immunoglobulin lambda variable 1-44 OS=Homo sapiens OX=9606 GN=IGLV1-44 PE=1 SV=2
+GNLSDDWAACYYDAEDESQLGSIALSASTGSKSGSFRDPVGSPRQNNSYILLKPATGPLQQYWNVTNSGINSSSGSCSITVRQGPTGSASPPQTLVSQAWSGACHTLLTLLLPFSAM
+>sp|P01701|LV151_HUMAN Immunoglobulin lambda variable 1-51 OS=Homo sapiens OX=9606 GN=IGLV1-51 PE=1 SV=2
+MTCSPLLLTLLIHCTGSWAQSVLTQPPSVSAAPGQKVTISCSGSSSNIGNNYVSWYQQLPGTAPKLLIYDNNKRPSGIPDRFSGSKSGTSATLGITGLQTGDEADYYCGTWDSSLSA
+>DECOY_sp|P01701|LV151_HUMAN Immunoglobulin lambda variable 1-51 OS=Homo sapiens OX=9606 GN=IGLV1-51 PE=1 SV=2
+ASLSSDWTGCYYDAEDGTQLGTIGLTASTGSKSGSFRDPIGSPRKNNDYILLKPATGPLQQYWSVYNNGINSSSGSCSITVKQGPAASVSPPQTLVSQAWSGTCHILLTLLLPSCTM
+>sp|A0A075B6J9|LV218_HUMAN Immunoglobulin lambda variable 2-18 OS=Homo sapiens OX=9606 GN=IGLV2-18 PE=3 SV=2
+MAWALLLLTLLTQGTGSWAQSALTQPPSVSGSPGQSVTISCTGTSSDVGSYNRVSWYQQPPGTAPKLMIYEVSNRPSGVPDRFSGSKSGNTASLTISGLQAEDEADYYCSLYTSSSTF
+>DECOY_sp|A0A075B6J9|LV218_HUMAN Immunoglobulin lambda variable 2-18 OS=Homo sapiens OX=9606 GN=IGLV2-18 PE=3 SV=2
+FTSSSTYLSCYYDAEDEAQLGSITLSATNGSKSGSFRDPVGSPRNSVEYIMLKPATGPPQQYWSVRNYSGVDSSTGTCSITVSQGPSGSVSPPQTLASQAWSGTGQTLLTLLLLAWAM
+>sp|A0A075B6K0|LV316_HUMAN Immunoglobulin lambda variable 3-16 OS=Homo sapiens OX=9606 GN=IGLV3-16 PE=3 SV=2
+MAWIPLLLPLLTLCTGSEASYELTQPPSVSVSLGQMARITCSGEALPKKYAYWYQQKPGQFPVLVIYKDSERPSGIPERFSGSSSGTIVTLTISGVQAEDEADYYCLSADSSGTY
+>DECOY_sp|A0A075B6K0|LV316_HUMAN Immunoglobulin lambda variable 3-16 OS=Homo sapiens OX=9606 GN=IGLV3-16 PE=3 SV=2
+YTGSSDASLCYYDAEDEAQVGSITLTVITGSSSGSFREPIGSPRESDKYIVLVPFQGPKQQYWYAYKKPLAEGSCTIRAMQGLSVSVSPPQTLEYSAESGTCLTLLPLLLPIWAM
+>sp|A0A075B6J6|LV322_HUMAN Immunoglobulin lambda variable 3-22 OS=Homo sapiens OX=9606 GN=IGLV3-22 PE=3 SV=1
+MAWATLLLPLLNLYTGSVASYELTQLPSVSVSPGQTARITCSGDVLGENYADWYQQKPGQAPELVIYEDSERYPGIPERFSGSTSGNTTTLTISRVLTEDEADYYCLSGDEDNPS
+>DECOY_sp|A0A075B6J6|LV322_HUMAN Immunoglobulin lambda variable 3-22 OS=Homo sapiens OX=9606 GN=IGLV3-22 PE=3 SV=1
+SPNDEDGSLCYYDAEDETLVRSITLTTTNGSTSGSFREPIGPYRESDEYIVLEPAQGPKQQYWDAYNEGLVDGSCTIRATQGPSVSVSPLQTLEYSAVSGTYLNLLPLLLTAWAM
+>sp|P01718|LV327_HUMAN Immunoglobulin lambda variable 3-27 OS=Homo sapiens OX=9606 GN=IGLV3-27 PE=1 SV=2
+MAWIPLLLPLLILCTVSVASYELTQPSSVSVSPGQTARITCSGDVLAKKYARWFQQKPGQAPVLVIYKDSERPSGIPERFSGSSSGTTVTLTISGAQVEDEADYYCYSAADNN
+>DECOY_sp|P01718|LV327_HUMAN Immunoglobulin lambda variable 3-27 OS=Homo sapiens OX=9606 GN=IGLV3-27 PE=1 SV=2
+NNDAASYCYYDAEDEVQAGSITLTVTTGSSSGSFREPIGSPRESDKYIVLVPAQGPKQQFWRAYKKALVDGSCTIRATQGPSVSVSSPQTLEYSAVSVTCLILLPLLLPIWAM
+>sp|A0A0G2JS06|LV539_HUMAN Immunoglobulin lambda variable 5-39 OS=Homo sapiens OX=9606 GN=IGLV5-39 PE=3 SV=1
+MAWTPLLLLLLSHCTGSLSQPVLTQPTSLSASPGASARFTCTLRSGINVGTYRIYWYQQKPGSLPRYLLRYKSDSDKQQGSGVPSRFSGSKDASTNAGLLLISGLQSEDEADYYCAIWYSSTS
+>DECOY_sp|A0A0G2JS06|LV539_HUMAN Immunoglobulin lambda variable 5-39 OS=Homo sapiens OX=9606 GN=IGLV5-39 PE=3 SV=1
+STSSYWIACYYDAEDESQLGSILLLGANTSADKSGSFRSPVGSGQQKDSDSKYRLLYRPLSGPKQQYWYIRYTGVNIGSRLTCTFRASAGPSASLSTPQTLVPQSLSGTCHSLLLLLLPTWAM
+>sp|A0A075B6I9|LV746_HUMAN Immunoglobulin lambda variable 7-46 OS=Homo sapiens OX=9606 GN=IGLV7-46 PE=3 SV=4
+MAWTPLFLFLLTCCPGSNSQAVVTQEPSLTVSPGGTVTLTCGSSTGAVTSGHYPYWFQQKPGQAPRTLIYDTSNKHSWTPARFSGSLLGGKAALTLLGAQPEDEAEYYCLLSYSGAR
+>DECOY_sp|A0A075B6I9|LV746_HUMAN Immunoglobulin lambda variable 7-46 OS=Homo sapiens OX=9606 GN=IGLV7-46 PE=3 SV=4
+RAGSYSLLCYYEAEDEPQAGLLTLAAKGGLLSGSFRAPTWSHKNSTDYILTRPAQGPKQQFWYPYHGSTVAGTSSGCTLTVTGGPSVTLSPEQTVVAQSNSGPCCTLLFLFLPTWAM
+>sp|O95711|LY86_HUMAN Lymphocyte antigen 86 OS=Homo sapiens OX=9606 GN=LY86 PE=1 SV=1
+MKGFTATLFLWTLIFPSCSGGGGGKAWPTHVVCSDSGLEVLYQSCDPLQDFGFSVEKCSKQLKSNINIRFGIILREDIKELFLDLALMSQGSSVLNFSYPICEAALPKFSFCGRRKGEQIYYAGPVNNPEFTIPQGEYQVLLELYTEKRSTVACANATIMCS
+>DECOY_sp|O95711|LY86_HUMAN Lymphocyte antigen 86 OS=Homo sapiens OX=9606 GN=LY86 PE=1 SV=1
+SCMITANACAVTSRKETYLELLVQYEGQPITFEPNNVPGAYYIQEGKRRGCFSFKPLAAECIPYSFNLVSSGQSMLALDLFLEKIDERLIIGFRININSKLQKSCKEVSFGFDQLPDCSQYLVELGSDSCVVHTPWAKGGGGGSCSPFILTWLFLTATFGKM
+>sp|P14151|LYAM1_HUMAN L-selectin OS=Homo sapiens OX=9606 GN=SELL PE=1 SV=2
+MIFPWKCQSTQRDLWNIFKLWGWTMLCCDFLAHHGTDCWTYHYSEKPMNWQRARRFCRDNYTDLVAIQNKAEIEYLEKTLPFSRSYYWIGIRKIGGIWTWVGTNKSLTEEAENWGDGEPNNKKNKEDCVEIYIKRNKDAGKWNDDACHKLKAALCYTASCQPWSCSGHGECVEIINNYTCNCDVGYYGPQCQFVIQCEPLEAPELGTMDCTHPLGNFSFSSQCAFSCSEGTNLTGIEETTCGPFGNWSSPEPTCQVIQCEPLSAPDLGIMNCSHPLASFSFTSACTFICSEGTELIGKKKTICESSGIWSNPSPICQKLDKSFSMIKEGDYNPLFIPVAVMVTAFSGLAFIIWLARRLKKGKKSKRSMNDPY
+>DECOY_sp|P14151|LYAM1_HUMAN L-selectin OS=Homo sapiens OX=9606 GN=SELL PE=1 SV=2
+YPDNMSRKSKKGKKLRRALWIIFALGSFATVMVAVPIFLPNYDGEKIMSFSKDLKQCIPSPNSWIGSSECITKKKGILETGESCIFTCASTFSFSALPHSCNMIGLDPASLPECQIVQCTPEPSSWNGFPGCTTEEIGTLNTGESCSFACQSSFSFNGLPHTCDMTGLEPAELPECQIVFQCQPGYYGVDCNCTYNNIIEVCEGHGSCSWPQCSATYCLAAKLKHCADDNWKGADKNRKIYIEVCDEKNKKNNPEGDGWNEAEETLSKNTGVWTWIGGIKRIGIWYYSRSFPLTKELYEIEAKNQIAVLDTYNDRCFRRARQWNMPKESYHYTWCDTGHHALFDCCLMTWGWLKFINWLDRQTSQCKWPFIM
+>sp|Q9HD34|LYRM4_HUMAN LYR motif-containing protein 4 OS=Homo sapiens OX=9606 GN=LYRM4 PE=1 SV=1
+MAASSRAQVLSLYRAMLRESKRFSAYNYRTYAVRRIRDAFRENKNVKDPVEIQTLVNKAKRDLGVIRRQVHIGQLYSTDKLIIENRDMPRT
+>DECOY_sp|Q9HD34|LYRM4_HUMAN LYR motif-containing protein 4 OS=Homo sapiens OX=9606 GN=LYRM4 PE=1 SV=1
+TRPMDRNEIILKDTSYLQGIHVQRRIVGLDRKAKNVLTQIEVPDKVNKNERFADRIRRVAYTRYNYASFRKSERLMARYLSLVQARSSAAM
+>sp|Q9BRK4|LZTS2_HUMAN Leucine zipper putative tumor suppressor 2 OS=Homo sapiens OX=9606 GN=LZTS2 PE=1 SV=2
+MAIVQTLPVPLEPAPEAATAPQAPVMGSVSSLISGRPCPGGPAPPRHHGPPGPTFFRQQDGLLRGGYEAQEPLCPAVPPRKAVPVTSFTYINEDFRTESPPSPSSDVEDAREQRAHNAHLRGPPPKLIPVSGKLEKNMEKILIRPTAFKPVLPKPRGAPSLPSFMGPRATGLSGSQGSLTQLFGGPASSSSSSSSSSAADKPLAFSGWASGCPSGTLSDSGRNSLSSLPTYSTGGAEPTTSSPGGHLPSHGSGRGALPGPARGVPTGPSHSDSGRSSSSKSTGSLGGRVAGGLLGSGTRASPDSSSCGERSPPPPPPPPSDEALLHCVLEGKLRDREAELQQLRDSLDENEATMCQAYEERQRHWQREREALREDCAAQAQRAQRAQQLLQLQVFQLQQEKRQLQDDFAQLLQEREQLERRCATLEREQRELGPRLEETKWEVCQKSGEISLLKQQLKESQAELVQKGSELVALRVALREARATLRVSEGRARGLQEAARARELELEACSQELQRHRQEAEQLREKAGQLDAEAAGLREPPVPPATADPFLLAESDEAKVQRAAAGVGGSLRAQVERLRVELQRERRRGEEQRDSFEGERLAWQAEKEQVIRYQKQLQHNYIQMYRRNRQLEQELQQLSLELEARELADLGLAEQAPCICLEEITATEI
+>DECOY_sp|Q9BRK4|LZTS2_HUMAN Leucine zipper putative tumor suppressor 2 OS=Homo sapiens OX=9606 GN=LZTS2 PE=1 SV=2
+IETATIEELCICPAQEALGLDALERAELELSLQQLEQELQRNRRYMQIYNHQLQKQYRIVQEKEAQWALREGEFSDRQEEGRRRERQLEVRLREVQARLSGGVGAAARQVKAEDSEALLFPDATAPPVPPERLGAAEADLQGAKERLQEAEQRHRQLEQSCAELELERARAAEQLGRARGESVRLTARAERLAVRLAVLESGKQVLEAQSEKLQQKLLSIEGSKQCVEWKTEELRPGLERQERELTACRRELQEREQLLQAFDDQLQRKEQQLQFVQLQLLQQARQARQAQAACDERLAERERQWHRQREEYAQCMTAENEDLSDRLQQLEAERDRLKGELVCHLLAEDSPPPPPPPPSREGCSSSDPSARTGSGLLGGAVRGGLSGTSKSSSSRGSDSHSPGTPVGRAPGPLAGRGSGHSPLHGGPSSTTPEAGGTSYTPLSSLSNRGSDSLTGSPCGSAWGSFALPKDAASSSSSSSSSSAPGGFLQTLSGQSGSLGTARPGMFSPLSPAGRPKPLVPKFATPRILIKEMNKELKGSVPILKPPPGRLHANHARQERADEVDSSPSPPSETRFDENIYTFSTVPVAKRPPVAPCLPEQAEYGGRLLGDQQRFFTPGPPGHHRPPAPGGPCPRGSILSSVSGMVPAQPATAAEPAPELPVPLTQVIAM
+>sp|P0DM35|M1BL1_HUMAN Metallothionein 1H-like protein 1 OS=Homo sapiens OX=9606 GN=MT1HL1 PE=3 SV=1
+MDPNCSCAAGGSYACAGSCKCKKCKCTSCKKSCCSCCPLGCAKCAQGCIRKGASEKCSCCA
+>DECOY_sp|P0DM35|M1BL1_HUMAN Metallothionein 1H-like protein 1 OS=Homo sapiens OX=9606 GN=MT1HL1 PE=3 SV=1
+ACCSCKESAGKRICGQACKACGLPCCSCCSKKCSTCKCKKCKCSGACAYSGGAACSCNPDM
+>sp|Q96PG2|M4A10_HUMAN Membrane-spanning 4-domains subfamily A member 10 OS=Homo sapiens OX=9606 GN=MS4A10 PE=2 SV=3
+MKAEATVIPSRCARGLPSWQVLSPVQPWQTSAPQNTTQPKLLAPHQHEKSQKKSSLLKELGAFHITIALLHLVFGGYLASIVKNLHLVVLKSWYPFWGAASFLISGILAITMKTFSKTYLKMLCLMTNLISLFCVLSGLFVISKDLFLESPFESPIWRMYPNSTVHIQRLELALLCFTVLELFLPVPTAVTAWRGDCPSAKNDDACLVPNTPLHLKGLPVEPPPSYQSVIQGDAQHKQHQRLREVKQVAPDTWIVTDGAAIWTQTAN
+>DECOY_sp|Q96PG2|M4A10_HUMAN Membrane-spanning 4-domains subfamily A member 10 OS=Homo sapiens OX=9606 GN=MS4A10 PE=2 SV=3
+NATQTWIAAGDTVIWTDPAVQKVERLRQHQKHQADGQIVSQYSPPPEVPLGKLHLPTNPVLCADDNKASPCDGRWATVATPVPLFLELVTFCLLALELRQIHVTSNPYMRWIPSEFPSELFLDKSIVFLGSLVCFLSILNTMLCLMKLYTKSFTKMTIALIGSILFSAAGWFPYWSKLVVLHLNKVISALYGGFVLHLLAITIHFAGLEKLLSSKKQSKEHQHPALLKPQTTNQPASTQWPQVPSLVQWSPLGRACRSPIVTAEAKM
+>sp|Q3C1V0|M4A18_HUMAN Membrane-spanning 4-domains subfamily A member 18 OS=Homo sapiens OX=9606 GN=MS4A18 PE=2 SV=2
+MTEQVIGANSVPGIIAPDNVHVIQPSNPVASGNHLQPSEVTTYPISPKVIHCDTGRANLQNLLVVNQNSAAGVQSQPIGYQRQYPVGTASLQTVPGVIQYTQGTTNLQTWPGDLQNPLNANPGLTHTSNSSQWNTSFASFTSFNPKKFINEEVRTLGAIQILIGLTHIFSAINPVLYYYPFVTWLSGYPLWGGLSYIVSGSLSVWAAKDPSPCVVNSSISFNIISALFAFAGIFIIITDLSLYYVTTYSKAVSGGLLPFALLEFILTCVVSHFGCQATCCRQFENVAVIPTVFSFNPANTTTSPVNATTGPVNAATGPVSATN
+>DECOY_sp|Q3C1V0|M4A18_HUMAN Membrane-spanning 4-domains subfamily A member 18 OS=Homo sapiens OX=9606 GN=MS4A18 PE=2 SV=2
+NTASVPGTAANVPGTTANVPSTTTNAPNFSFVTPIVAVNEFQRCCTAQCGFHSVVCTLIFELLAFPLLGGSVAKSYTTVYYLSLDTIIIFIGAFAFLASIINFSISSNVVCPSPDKAAWVSLSGSVIYSLGGWLPYGSLWTVFPYYYLVPNIASFIHTLGILIQIAGLTRVEENIFKKPNFSTFSAFSTNWQSSNSTHTLGPNANLPNQLDGPWTQLNTTGQTYQIVGPVTQLSATGVPYQRQYGIPQSQVGAASNQNVVLLNQLNARGTDCHIVKPSIPYTTVESPQLHNGSAVPNSPQIVHVNDPAIIGPVSNAGIVQETM
+>sp|Q96PG1|M4A4E_HUMAN Putative membrane-spanning 4-domains subfamily A member 4E OS=Homo sapiens OX=9606 GN=MS4A4E PE=2 SV=2
+MTTMQGMEQTTPGAGPDVPQLGNIDVIHSYLCKGLQEKFFKRKPKVLGVVRILIALMSLSMGIIMMCVAFSSYEEHPIFVYVAYTIWGSVMYPYQLQQELEQQKVWNYLKNLSWRIMGSYLCFGERSELKPL
+>DECOY_sp|Q96PG1|M4A4E_HUMAN Putative membrane-spanning 4-domains subfamily A member 4E OS=Homo sapiens OX=9606 GN=MS4A4E PE=2 SV=2
+LPKLESREGFCLYSGMIRWSLNKLYNWVKQQELEQQLQYPYMVSGWITYAVYVFIPHEEYSSFAVCMMIIGMSLSMLAILIRVVGLVKPKRKFFKEQLGKCLYSHIVDINGLQPVDPGAGPTTQEMGQMTTM
+>sp|Q9H2W1|M4A6A_HUMAN Membrane-spanning 4-domains subfamily A member 6A OS=Homo sapiens OX=9606 GN=MS4A6A PE=2 SV=1
+MTSQPVPNETIIVLPSNVINFSQAEKPEPTNQGQDSLKKHLHAEIKVIGTIQILCGMMVLSLGIILASASFSPNFTQVTSTLLNSAYPFIGPFFFIISGSLSIATEKRLTKLLVHSSLVGSILSALSALVGFIILSVKQATLNPASLQCELDKNNIPTRSYVSYFYHDSLYTTDCYTAKASLAGTLSLMLICTLLEFCLAVLTAVLRWKQAYSDFPGSVLFLPHSYIGNSGMSSKMTHDCGYEELLTS
+>DECOY_sp|Q9H2W1|M4A6A_HUMAN Membrane-spanning 4-domains subfamily A member 6A OS=Homo sapiens OX=9606 GN=MS4A6A PE=2 SV=1
+STLLEEYGCDHTMKSSMGSNGIYSHPLFLVSGPFDSYAQKWRLVATLVALCFELLTCILMLSLTGALSAKATYCDTTYLSDHYFYSVYSRTPINNKDLECQLSAPNLTAQKVSLIIFGVLASLASLISGVLSSHVLLKTLRKETAISLSGSIIFFFPGIFPYASNLLTSTVQTFNPSFSASALIIGLSLVMMGCLIQITGIVKIEAHLHKKLSDQGQNTPEPKEAQSFNIVNSPLVIITENPVPQSTM
+>sp|Q96DS6|M4A6E_HUMAN Membrane-spanning 4-domains subfamily A member 6E OS=Homo sapiens OX=9606 GN=MS4A6E PE=2 SV=1
+MTSQPISNETIIMLPSNVINFSQAEKPEPTNQGQDSLKKRLQAKVKVIGVHSSLAGSILSALSALVGFILLSVNPAALNPASLQCKLDEKDIPTRLLLSYDYHSPYTMDCHRAKASLAGTLSLMLVSTVLEFCLAVLTAVLQWKQTV
+>DECOY_sp|Q96DS6|M4A6E_HUMAN Membrane-spanning 4-domains subfamily A member 6E OS=Homo sapiens OX=9606 GN=MS4A6E PE=2 SV=1
+VTQKWQLVATLVALCFELVTSVLMLSLTGALSAKARHCDMTYPSHYDYSLLLRTPIDKEDLKCQLSAPNLAAPNVSLLIFGVLASLASLISGALSSHVGIVKVKAQLRKKLSDQGQNTPEPKEAQSFNIVNSPLMIITENSIPQSTM
+>sp|Q12851|M4K2_HUMAN Mitogen-activated protein kinase kinase kinase kinase 2 OS=Homo sapiens OX=9606 GN=MAP4K2 PE=1 SV=2
+MALLRDVSLQDPRDRFELLQRVGAGTYGDVYKARDTVTSELAAVKIVKLDPGDDISSLQQEITILRECRHPNVVAYIGSYLRNDRLWICMEFCGGGSLQEIYHATGPLEERQIAYVCREALKGLHHLHSQGKIHRDIKGANLLLTLQGDVKLADFGVSGELTASVAKRRSFIGTPYWMAPEVAAVERKGGYNELCDVWALGITAIELGELQPPLFHLHPMRALMLMSKSSFQPPKLRDKTRWTQNFHHFLKLALTKNPKKRPTAEKLLQHPFTTQQLPRALLTQLLDKASDPHLGTPSPEDCELETYDMFPDTIHSRGQHGPAERTPSEIQFHQVKFGAPRRKETDPLNEPWEEEWTLLGKEELSGSLLQSVQEALEERSLTIRSASEFQELDSPDDTMGTIKRAPFLGPLPTDPPAEEPLSSPPGTLPPPPSGPNSSPLLPTAWATMKQREDPERSSCHGLPPTPKVHMGACFSKVFNGCPLRIHAAVTWIHPVTRDQFLVVGAEEGIYTLNLHELHEDTLEKLISHRCSWLYCVNNVLLSLSGKSTHIWAHDLPGLFEQRRLQQQVPLSIPTNRLTQRIIPRRFALSTKIPDTKGCLQCRVVRNPYTGATFLLAALPTSLLLLQWYEPLQKFLLLKNFSSPLPSPAGMLEPLVLDGKELPQVCVGAEGPEGPGCRVLFHVLPLEAGLTPDILIPPEGIPGSAQQVIQVDRDTILVSFERCVRIVNMQGEPTATLAPELTFDFPIETVVCLQDSVLAFWSHGMQGRSLDTNEVTQEITDETRIFRVLGAHRDIILESIPTDNPEAHSNLYILTGHQSTY
+>DECOY_sp|Q12851|M4K2_HUMAN Mitogen-activated protein kinase kinase kinase kinase 2 OS=Homo sapiens OX=9606 GN=MAP4K2 PE=1 SV=2
+YTSQHGTLIYLNSHAEPNDTPISELIIDRHAGLVRFIRTEDTIEQTVENTDLSRGQMGHSWFALVSDQLCVVTEIPFDFTLEPALTATPEGQMNVIRVCREFSVLITDRDVQIVQQASGPIGEPPILIDPTLGAELPLVHFLVRCGPGEPGEAGVCVQPLEKGDLVLPELMGAPSPLPSSFNKLLLFKQLPEYWQLLLLSTPLAALLFTAGTYPNRVVRCQLCGKTDPIKTSLAFRRPIIRQTLRNTPISLPVQQQLRRQEFLGPLDHAWIHTSKGSLSLLVNNVCYLWSCRHSILKELTDEHLEHLNLTYIGEEAGVVLFQDRTVPHIWTVAAHIRLPCGNFVKSFCAGMHVKPTPPLGHCSSREPDERQKMTAWATPLLPSSNPGSPPPPLTGPPSSLPEEAPPDTPLPGLFPARKITGMTDDPSDLEQFESASRITLSREELAEQVSQLLSGSLEEKGLLTWEEEWPENLPDTEKRRPAGFKVQHFQIESPTREAPGHQGRSHITDPFMDYTELECDEPSPTGLHPDSAKDLLQTLLARPLQQTTFPHQLLKEATPRKKPNKTLALKLFHHFNQTWRTKDRLKPPQFSSKSMLMLARMPHLHFLPPQLEGLEIATIGLAWVDCLENYGGKREVAAVEPAMWYPTGIFSRRKAVSATLEGSVGFDALKVDGQLTLLLNAGKIDRHIKGQSHLHHLGKLAERCVYAIQREELPGTAHYIEQLSGGGCFEMCIWLRDNRLYSGIYAVVNPHRCERLITIEQQLSSIDDGPDLKVIKVAALESTVTDRAKYVDGYTGAGVRQLLEFRDRPDQLSVDRLLAM
+>sp|P49641|MA2A2_HUMAN Alpha-mannosidase 2x OS=Homo sapiens OX=9606 GN=MAN2A2 PE=2 SV=3
+MKLKKQVTVCGAAIFCVAVFSLYLMLDRVQHDPTRHQNGGNFPRSQISVLQNRIEQLEQLLEENHEIISHIKDSVLELTANAEGPPAMLPYYTVNGSWVVPPEPRPSFFSISPQDCQFALGGRGQKPELQMLTVSEELPFDNVDGGVWRQGFDISYDPHDWDAEDLQVFVVPHSHNDPGWIKTFDKYYTEQTQHILNSMVSKLQEDPRRRFLWAEVSFFAKWWDNINVQKRAAVRRLVGNGQLEIATGGWVMPDEANSHYFALIDQLIEGHQWLERNLGATPRSGWAVDPFGYSSTMPYLLRRANLTSMLIQRVHYAIKKHFAATHSLEFMWRQTWDSDSSTDIFCHMMPFYSYDVPHTCGPDPKICCQFDFKRLPGGRINCPWKVPPRAITEANVAERAALLLDQYRKKSQLFRSNVLLVPLGDDFRYDKPQEWDAQFFNYQRLFDFFNSRPNLHVQAQFGTLSDYFDALYKRTGVEPGARPPGFPVLSGDFFSYADREDHYWTGYYTSRPFYKSLDRVLEAHLRGAEVLYSLAAAHARRSGLAGRYPLSDFTLLTEARRTLGLFQHHDAITGTAKEAVVVDYGVRLLRSLVNLKQVIIHAAHYLVLGDKETYHFDPEAPFLQVDDTRLSHDALPERTVIQLDSSPRFVVLFNPLEQERFSMVSLLVNSPRVRVLSEEGQPLAVQISAHWSSATEAVPDVYQVSVPVRLPALGLGVLQLQLGLDGHRTLPSSVRIYLHGRQLSVSRHEAFPLRVIDSGTSDFALSNRYMQVWFSGLTGLLKSIRRVDEEHEQQVDMQVLVYGTRTSKDKSGAYLFLPDGEAKPYVPKEPPVLRVTEGPFFSEVVAYYEHIHQAVRLYNLPGVEGLSLDISSLVDIRDYVNKELALHIHTDIDSQGIFFTDLNGFQVQPRRYLKKLPLQANFYPMPVMAYIQDAQKRLTLHTAQALGVSSLKDGQLEVILDRRLMQDDNRGLGQGLKDNKRTCNRFRLLLERRTVGSEVQDSHSTSYPSLLSHLTSMYLNAPALALPVARMQLPGPGLRSFHPLASSLPCDFHLLNLRTLQAEEDTLPSAETALILHRKGFDCGLEAKNLGFNCTTSQGKVALGSLFHGLDVVFLQPTSLTLLYPLASPSNSTDVYLEPMEIATFRLRLG
+>DECOY_sp|P49641|MA2A2_HUMAN Alpha-mannosidase 2x OS=Homo sapiens OX=9606 GN=MAN2A2 PE=2 SV=3
+GLRLRFTAIEMPELYVDTSNSPSALPYLLTLSTPQLFVVDLGHFLSGLAVKGQSTTCNFGLNKAELGCDFGKRHLILATEASPLTDEEAQLTRLNLLHFDCPLSSALPHFSRLGPGPLQMRAVPLALAPANLYMSTLHSLLSPYSTSHSDQVESGVTRRELLLRFRNCTRKNDKLGQGLGRNDDQMLRRDLIVELQGDKLSSVGLAQATHLTLRKQADQIYAMVPMPYFNAQLPLKKLYRRPQVQFGNLDTFFIGQSDIDTHIHLALEKNVYDRIDVLSSIDLSLGEVGPLNYLRVAQHIHEYYAVVESFFPGETVRLVPPEKPVYPKAEGDPLFLYAGSKDKSTRTGYVLVQMDVQQEHEEDVRRISKLLGTLGSFWVQMYRNSLAFDSTGSDIVRLPFAEHRSVSLQRGHLYIRVSSPLTRHGDLGLQLQLVGLGLAPLRVPVSVQYVDPVAETASSWHASIQVALPQGEESLVRVRPSNVLLSVMSFREQELPNFLVVFRPSSDLQIVTREPLADHSLRTDDVQLFPAEPDFHYTEKDGLVLYHAAHIIVQKLNVLSRLLRVGYDVVVAEKATGTIADHHQFLGLTRRAETLLTFDSLPYRGALGSRRAHAAALSYLVEAGRLHAELVRDLSKYFPRSTYYGTWYHDERDAYSFFDGSLVPFGPPRAGPEVGTRKYLADFYDSLTGFQAQVHLNPRSNFFDFLRQYNFFQADWEQPKDYRFDDGLPVLLVNSRFLQSKKRYQDLLLAAREAVNAETIARPPVKWPCNIRGGPLRKFDFQCCIKPDPGCTHPVDYSYFPMMHCFIDTSSDSDWTQRWMFELSHTAAFHKKIAYHVRQILMSTLNARRLLYPMTSSYGFPDVAWGSRPTAGLNRELWQHGEILQDILAFYHSNAEDPMVWGGTAIELQGNGVLRRVAARKQVNINDWWKAFFSVEAWLFRRRPDEQLKSVMSNLIHQTQETYYKDFTKIWGPDNHSHPVVFVQLDEADWDHPDYSIDFGQRWVGGDVNDFPLEESVTLMQLEPKQGRGGLAFQCDQPSISFFSPRPEPPVVWSGNVTYYPLMAPPGEANATLELVSDKIHSIIEHNEELLQELQEIRNQLVSIQSRPFNGGNQHRTPDHQVRDLMLYLSFVAVCFIAAGCVTVQKKLKM
+>sp|Q96T17|MA7D2_HUMAN MAP7 domain-containing protein 2 OS=Homo sapiens OX=9606 GN=MAP7D2 PE=1 SV=2
+MERGGGGSGTGSRPEGTARGTSLPGKIAEPGAVRTSQPNYRPQGMEGFLKSDERQRLAKERREEREKCLAAREQQILEKQKRARLQYEKQMEERWRKLEEQRQREDQKRAAVEEKRKQKLREEEERLEAMMRRSLERTQQLELKKKYSWGAPLAIGPGGHDACDKLSTSTMSLPKPTEPPMNKRLSSSTVAISYSPDRVFHVCPRLAPLGPLNPSYKSSPTRNIEKKKATSTSTSGAGDVGKEALSGGEASLVEKVKRGQRTATSLPVVNFGSPLRRCEFSGGIPKRPSSPVISKTATKAYPQSPKTTKPPYPGSPVKYRLPALSGQDMPKRKAEKEKSNKEREGTLAQQAAGPQGEEALEKHVVDKHASEKHAAAAGGKAENSAALGKPTAGTTDAGEAAKILAEKRRQARLQKEQEEQERLEKEEQDRLEREELKRKAEEERLRLEEEARKQEEERKRQEEEKKKQEGEEKRKAGEEAKRKAEEELLLKEKQEQEKQEKAMIEKQKEAAETKAREVAEQMRLEREQIMLQIEQERLERKKRIDEIMKRTRKSDVSPQVKKEDPKVGVQPAVCVEKKTKLVVPNKMEINGLNTCQEVNGVDHAAPETYPQDIFSNGLKPAGGLIHLDALDGKSNSLDDSTEEVQSMDVSPVSKEELISIPEFSPVSEMIPGVSLDQNGTGNARALQDLLDFTGPPTFPKRSSENLSLDDCNKNLIEGFNSPGQETPLNTFC
+>DECOY_sp|Q96T17|MA7D2_HUMAN MAP7 domain-containing protein 2 OS=Homo sapiens OX=9606 GN=MAP7D2 PE=1 SV=2
+CFTNLPTEQGPSNFGEILNKNCDDLSLNESSRKPFTPPGTFDLLDQLARANGTGNQDLSVGPIMESVPSFEPISILEEKSVPSVDMSQVEETSDDLSNSKGDLADLHILGGAPKLGNSFIDQPYTEPAAHDVGNVEQCTNLGNIEMKNPVVLKTKKEVCVAPQVGVKPDEKKVQPSVDSKRTRKMIEDIRKKRELREQEIQLMIQERELRMQEAVERAKTEAAEKQKEIMAKEQKEQEQKEKLLLEEEAKRKAEEGAKRKEEGEQKKKEEEQRKREEEQKRAEEELRLREEEAKRKLEERELRDQEEKELREQEEQEKQLRAQRRKEALIKAAEGADTTGATPKGLAASNEAKGGAAAAHKESAHKDVVHKELAEEGQPGAAQQALTGEREKNSKEKEAKRKPMDQGSLAPLRYKVPSGPYPPKTTKPSQPYAKTATKSIVPSSPRKPIGGSFECRRLPSGFNVVPLSTATRQGRKVKEVLSAEGGSLAEKGVDGAGSTSTSTAKKKEINRTPSSKYSPNLPGLPALRPCVHFVRDPSYSIAVTSSSLRKNMPPETPKPLSMTSTSLKDCADHGGPGIALPAGWSYKKKLELQQTRELSRRMMAELREEEERLKQKRKEEVAARKQDERQRQEELKRWREEMQKEYQLRARKQKELIQQERAALCKEREERREKALRQREDSKLFGEMGQPRYNPQSTRVAGPEAIKGPLSTGRATGEPRSGTGSGGGGREM
+>sp|O60336|MABP1_HUMAN Mitogen-activated protein kinase-binding protein 1 OS=Homo sapiens OX=9606 GN=MAPKBP1 PE=1 SV=4
+MAVEGSTITSRIKNLLRSPSIKLRRSKAGNRREDLSSKVTLEKVLGITVSGGRGLACDPRSGLVAYPAGCVVVLFNPRKHKQHHILNSSRKTITALAFSPDGKYLVTGESGHMPAVRVWDVAEHSQVAELQEHKYGVACVAFSPSAKYIVSVGYQHDMIVNVWAWKKNIVVASNKVSSRVTAVSFSEDCSYFVTAGNRHIKFWYLDDSKTSKVNATVPLLGRSGLLGELRNNLFTDVACGRGKKADSTFCITSSGLLCEFSDRRLLDKWVELRNIDSFTTTVAHCISVSQDYIFCGCADGTVRLFNPSNLHFLSTLPRPHALGTDIASVTEASRLFSGVANARYPDTIALTFDPTNQWLSCVYNDHSIYVWDVRDPKKVGKVYSALYHSSCVWSVEVYPEVKDSNQACLPPSSFITCSSDNTIRLWNTESSGVHGSTLHRNILSSDLIKIIYVDGNTQALLDTELPGGDKADASLLDPRVGIRSVCVSPNGQHLASGDRMGTLRVHELQSLSEMLKVEAHDSEILCLEYSKPDTGLKLLASASRDRLIHVLDAGREYSLQQTLDEHSSSITAVKFAASDGQVRMISCGADKSIYFRTAQKSGDGVQFTRTHHVVRKTTLYDMDVEPSWKYTAIGCQDRNIRIFNISSGKQKKLFKGSQGEDGTLIKVQTDPSGIYIATSCSDKNLSIFDFSSGECVATMFGHSEIVTGMKFSNDCKHLISVSGDSCIFVWRLSSEMTISMRQRLAELRQRQRGGKQQGPSSPQRASGPNRHQAPSMLSPGPALSSDSDKEGEDEGTEEELPALPVLAKSTKKALASVPSPALPRSLSHWEMSRAQESVGFLDPAPAANPGPRRRGRWVQPGVELSVRSMLDLRQLETLAPSLQDPSQDSLAIIPSGPRKHGQEALETSLTSQNEKPPRPQASQPCSYPHIIRLLSQEEGVFAQDLEPAPIEDGIVYPEPSDNPTMDTSEFQVQAPARGTLGRVYPGSRSSEKHSPDSACSVDYSSSCLSSPEHPTEDSESTEPLSVDGISSDLEEPAEGDEEEEEEEGGMGPYGLQEGSPQTPDQEQFLKQHFETLASGAAPGAPVQVPERSESRSISSRFLLQVQTRPLREPSPSSSSLALMSRPAQVPQASGEQPRGNGANPPGAPPEVEPSSGNPSPQQAASVLLPRCRLNPDSSWAPKRVATASPFSGLQKAQSVHSLVPQERHEASLQAPSPGALLSREIEAQDGLGSLPPADGRPSRPHSYQNPTTSSMAKISRSISVGENLGLVAEPQAHAPIRVSPLSKLALPSRAHLVLDIPKPLPDRPTLAAFSPVTKGRAPGEAEKPGFPVGLGKAHSTTERWACLGEGTTPKPRTECQAHPGPSSPCAQQLPVSSLFQGPENLQPPPPEKTPNPMECTKPGAALSQDSEPAVSLEQCEQLVAELRGSVRQAVRLYHSVAGCKMPSAEQSRIAQLLRDTFSSVRQELEAVAGAVLSSPGSSPGAVGAEQTQALLEQYSELLLRAVERRMERKL
+>DECOY_sp|O60336|MABP1_HUMAN Mitogen-activated protein kinase-binding protein 1 OS=Homo sapiens OX=9606 GN=MAPKBP1 PE=1 SV=4
+LKREMRREVARLLLESYQELLAQTQEAGVAGPSSGPSSLVAGAVAELEQRVSSFTDRLLQAIRSQEASPMKCGAVSHYLRVAQRVSGRLEAVLQECQELSVAPESDQSLAAGPKTCEMPNPTKEPPPPQLNEPGQFLSSVPLQQACPSSPGPHAQCETRPKPTTGEGLCAWRETTSHAKGLGVPFGPKEAEGPARGKTVPSFAALTPRDPLPKPIDLVLHARSPLALKSLPSVRIPAHAQPEAVLGLNEGVSISRSIKAMSSTTPNQYSHPRSPRGDAPPLSGLGDQAEIERSLLAGPSPAQLSAEHREQPVLSHVSQAKQLGSFPSATAVRKPAWSSDPNLRCRPLLVSAAQQPSPNGSSPEVEPPAGPPNAGNGRPQEGSAQPVQAPRSMLALSSSSPSPERLPRTQVQLLFRSSISRSESREPVQVPAGPAAGSALTEFHQKLFQEQDPTQPSGEQLGYPGMGGEEEEEEEDGEAPEELDSSIGDVSLPETSESDETPHEPSSLCSSSYDVSCASDPSHKESSRSGPYVRGLTGRAPAQVQFESTDMTPNDSPEPYVIGDEIPAPELDQAFVGEEQSLLRIIHPYSCPQSAQPRPPKENQSTLSTELAEQGHKRPGSPIIALSDQSPDQLSPALTELQRLDLMSRVSLEVGPQVWRGRRRPGPNAAPAPDLFGVSEQARSMEWHSLSRPLAPSPVSALAKKTSKALVPLAPLEEETGEDEGEKDSDSSLAPGPSLMSPAQHRNPGSARQPSSPGQQKGGRQRQRLEALRQRMSITMESSLRWVFICSDGSVSILHKCDNSFKMGTVIESHGFMTAVCEGSSFDFISLNKDSCSTAIYIGSPDTQVKILTGDEGQSGKFLKKQKGSSINFIRINRDQCGIATYKWSPEVDMDYLTTKRVVHHTRTFQVGDGSKQATRFYISKDAGCSIMRVQGDSAAFKVATISSSHEDLTQQLSYERGADLVHILRDRSASALLKLGTDPKSYELCLIESDHAEVKLMESLSQLEHVRLTGMRDGSALHQGNPSVCVSRIGVRPDLLSADAKDGGPLETDLLAQTNGDVYIIKILDSSLINRHLTSGHVGSSETNWLRITNDSSCTIFSSPPLCAQNSDKVEPYVEVSWVCSSHYLASYVKGVKKPDRVDWVYISHDNYVCSLWQNTPDFTLAITDPYRANAVGSFLRSAETVSAIDTGLAHPRPLTSLFHLNSPNFLRVTGDACGCFIYDQSVSICHAVTTTFSDINRLEVWKDLLRRDSFECLLGSSTICFTSDAKKGRGCAVDTFLNNRLEGLLGSRGLLPVTANVKSTKSDDLYWFKIHRNGATVFYSCDESFSVATVRSSVKNSAVVINKKWAWVNVIMDHQYGVSVIYKASPSFAVCAVGYKHEQLEAVQSHEAVDWVRVAPMHGSEGTVLYKGDPSFALATITKRSSNLIHHQKHKRPNFLVVVCGAPYAVLGSRPDCALGRGGSVTIGLVKELTVKSSLDERRNGAKSRRLKISPSRLLNKIRSTITSGEVAM
+>sp|Q14582|MAD4_HUMAN Max dimerization protein 4 OS=Homo sapiens OX=9606 GN=MXD4 PE=1 SV=2
+MELNSLLILLEAAEYLERRDREAEHGYASVLPFDGDFAREKTKAAGLVRKAPNNRSSHNELEKHRRAKLRLYLEQLKQLVPLGPDSTRHTTLSLLKRAKVHIKKLEEQDRRALSIKEQLQQEHRFLKRRLEQLSVQSVERVRTDSTGSAVSTDDSEQEVDIEGMEFGPGELDSVGSSSDADDHYSLQSGTGGDSGFGPHCRRLGRPALS
+>DECOY_sp|Q14582|MAD4_HUMAN Max dimerization protein 4 OS=Homo sapiens OX=9606 GN=MXD4 PE=1 SV=2
+SLAPRGLRRCHPGFGSDGGTGSQLSYHDDADSSSGVSDLEGPGFEMGEIDVEQESDDTSVASGTSDTRVREVSQVSLQELRRKLFRHEQQLQEKISLARRDQEELKKIHVKARKLLSLTTHRTSDPGLPVLQKLQELYLRLKARRHKELENHSSRNNPAKRVLGAAKTKERAFDGDFPLVSAYGHEAERDRRELYEAAELLILLSNLEM
+>sp|Q8NHW3|MAFA_HUMAN Transcription factor MafA OS=Homo sapiens OX=9606 GN=MAFA PE=1 SV=2
+MAAELAMGAELPSSPLAIEYVNDFDLMKFEVKKEPPEAERFCHRLPPGSLSSTPLSTPCSSVPSSPSFCAPSPGTGGGGGAGGGGGSSQAGGAPGPPSGGPGAVGGTSGKPALEDLYWMSGYQHHLNPEALNLTPEDAVEALIGSGHHGAHHGAHHPAAAAAYEAFRGPGFAGGGGADDMGAGHHHGAHHAAHHHHAAHHHHHHHHHHGGAGHGGGAGHHVRLEERFSDDQLVSMSVRELNRQLRGFSKEEVIRLKQKRRTLKNRGYAQSCRFKRVQQRHILESEKCQLQSQVEQLKLEVGRLAKERDLYKEKYEKLAGRGGPGSAGGAGFPREPSPPQAGPGGAKGTADFFL
+>DECOY_sp|Q8NHW3|MAFA_HUMAN Transcription factor MafA OS=Homo sapiens OX=9606 GN=MAFA PE=1 SV=2
+LFFDATGKAGGPGAQPPSPERPFGAGGASGPGGRGALKEYKEKYLDREKALRGVELKLQEVQSQLQCKESELIHRQQVRKFRCSQAYGRNKLTRRKQKLRIVEEKSFGRLQRNLERVSMSVLQDDSFREELRVHHGAGGGHGAGGHHHHHHHHHHAAHHHHAAHHAGHHHGAGMDDAGGGGAFGPGRFAEYAAAAAPHHAGHHAGHHGSGILAEVADEPTLNLAEPNLHHQYGSMWYLDELAPKGSTGGVAGPGGSPPGPAGGAQSSGGGGGAGGGGGTGPSPACFSPSSPVSSCPTSLPTSSLSGPPLRHCFREAEPPEKKVEFKMLDFDNVYEIALPSSPLEAGMALEAAM
+>sp|Q9Y5Q3|MAFB_HUMAN Transcription factor MafB OS=Homo sapiens OX=9606 GN=MAFB PE=1 SV=2
+MAAELSMGPELPTSPLAMEYVNDFDLLKFDVKKEPLGRAERPGRPCTRLQPAGSVSSTPLSTPCSSVPSSPSFSPTEQKTHLEDLYWMASNYQQMNPEALNLTPEDAVEALIGSHPVPQPLQSFDSFRGAHHHHHHHHPHPHHAYPGAGVAHDELGPHAHPHHHHHHQASPPPSSAASPAQQLPTSHPGPGPHATASATAAGGNGSVEDRFSDDQLVSMSVRELNRHLRGFTKDEVIRLKQKRRTLKNRGYAQSCRYKRVQQKHHLENEKTQLIQQVEQLKQEVSRLARERDAYKVKCEKLANSGFREAGSTSDSPSSPEFFL
+>DECOY_sp|Q9Y5Q3|MAFB_HUMAN Transcription factor MafB OS=Homo sapiens OX=9606 GN=MAFB PE=1 SV=2
+LFFEPSSPSDSTSGAERFGSNALKECKVKYADRERALRSVEQKLQEVQQILQTKENELHHKQQVRKYRCSQAYGRNKLTRRKQKLRIVEDKTFGRLHRNLERVSMSVLQDDSFRDEVSGNGGAATASATAHPGPGPHSTPLQQAPSAASSPPPSAQHHHHHHPHAHPGLEDHAVGAGPYAHHPHPHHHHHHHHAGRFSDFSQLPQPVPHSGILAEVADEPTLNLAEPNMQQYNSAMWYLDELHTKQETPSFSPSSPVSSCPTSLPTSSVSGAPQLRTCPRGPREARGLPEKKVDFKLLDFDNVYEMALPSTPLEPGMSLEAAM
+>sp|Q9ULX9|MAFF_HUMAN Transcription factor MafF OS=Homo sapiens OX=9606 GN=MAFF PE=1 SV=2
+MSVDPLSSKALKIKRELSENTPHLSDEALMGLSVRELNRHLRGLSAEEVTRLKQRRRTLKNRGYAASCRVKRVCQKEELQKQKSELEREVDKLARENAAMRLELDALRGKCEALQGFARSVAAARGPATLVAPASVITIVKSTPGSGSGPAHGPDPAHGPASCS
+>DECOY_sp|Q9ULX9|MAFF_HUMAN Transcription factor MafF OS=Homo sapiens OX=9606 GN=MAFF PE=1 SV=2
+SCSAPGHAPDPGHAPGSGSGPTSKVITIVSAPAVLTAPGRAAAVSRAFGQLAECKGRLADLELRMAANERALKDVERELESKQKQLEEKQCVRKVRCSAAYGRNKLTRRRQKLRTVEEASLGRLHRNLERVSLGMLAEDSLHPTNESLERKIKLAKSSLPDVSM
+>sp|P43359|MAGA5_HUMAN Melanoma-associated antigen 5 OS=Homo sapiens OX=9606 GN=MAGEA5 PE=2 SV=1
+MSLEQKSQHCKPEEGLDTQEEALGLVGVQAATTEEQEAVSSSSPLVPGTLGEVPAAGSPGPLKSPQGASAIPTAIDFTLWRQSIKGSSNQEEEGPSTSPDPESVFRAALSKKVADLIHFLLLKY
+>DECOY_sp|P43359|MAGA5_HUMAN Melanoma-associated antigen 5 OS=Homo sapiens OX=9606 GN=MAGEA5 PE=2 SV=1
+YKLLLFHILDAVKKSLAARFVSEPDPSTSPGEEEQNSSGKISQRWLTFDIATPIASAGQPSKLPGPSGAAPVEGLTGPVLPSSSSVAEQEETTAAQVGVLGLAEEQTDLGEEPKCHQSKQELSM
+>sp|P43360|MAGA6_HUMAN Melanoma-associated antigen 6 OS=Homo sapiens OX=9606 GN=MAGEA6 PE=1 SV=1
+MPLEQRSQHCKPEEGLEARGEALGLVGAQAPATEEQEAASSSSTLVEVTLGEVPAAESPDPPQSPQGASSLPTTMNYPLWSQSYEDSSNQEEEGPSTFPDLESEFQAALSRKVAKLVHFLLLKYRAREPVTKAEMLGSVVGNWQYFFPVIFSKASDSLQLVFGIELMEVDPIGHVYIFATCLGLSYDGLLGDNQIMPKTGFLIIILAIIAKEGDCAPEEKIWEELSVLEVFEGREDSIFGDPKKLLTQYFVQENYLEYRQVPGSDPACYEFLWGPRALIETSYVKVLHHMVKISGGPRISYPLLHEWALREGEE
+>DECOY_sp|P43360|MAGA6_HUMAN Melanoma-associated antigen 6 OS=Homo sapiens OX=9606 GN=MAGEA6 PE=1 SV=1
+EEGERLAWEHLLPYSIRPGGSIKVMHHLVKVYSTEILARPGWLFEYCAPDSGPVQRYELYNEQVFYQTLLKKPDGFISDERGEFVELVSLEEWIKEEPACDGEKAIIALIIILFGTKPMIQNDGLLGDYSLGLCTAFIYVHGIPDVEMLEIGFVLQLSDSAKSFIVPFFYQWNGVVSGLMEAKTVPERARYKLLLFHVLKAVKRSLAAQFESELDPFTSPGEEEQNSSDEYSQSWLPYNMTTPLSSAGQPSQPPDPSEAAPVEGLTVEVLTSSSSAAEQEETAPAQAGVLGLAEGRAELGEEPKCHQSRQELPM
+>sp|P43366|MAGB1_HUMAN Melanoma-associated antigen B1 OS=Homo sapiens OX=9606 GN=MAGEB1 PE=1 SV=2
+MPRGQKSKLRAREKRRKAREETQGLKVAHATAAEKEECPSSSPVLGDTPTSSPAAGIPQKPQGAPPTTTAAAAVSCTESDEGAKCQGEENASFSQATTSTESSVKDPVAWEAGMLMHFILRKYKMREPIMKADMLKVVDEKYKDHFTEILNGASRRLELVFGLDLKEDNPSGHTYTLVSKLNLTNDGNLSNDWDFPRNGLLMPLLGVIFLKGNSATEEEIWKFMNVLGAYDGEEHLIYGEPRKFITQDLVQEKYLKYEQVPNSDPPRYQFLWGPRAYAETTKMKVLEFLAKMNGATPRDFPSHYEEALRDEEERAQVRSSVRARRRTTATTFRARSRAPFSRSSHPM
+>DECOY_sp|P43366|MAGB1_HUMAN Melanoma-associated antigen B1 OS=Homo sapiens OX=9606 GN=MAGEB1 PE=1 SV=2
+MPHSSRSFPARSRARFTTATTRRRARVSSRVQAREEEDRLAEEYHSPFDRPTAGNMKALFELVKMKTTEAYARPGWLFQYRPPDSNPVQEYKLYKEQVLDQTIFKRPEGYILHEEGDYAGLVNMFKWIEEETASNGKLFIVGLLPMLLGNRPFDWDNSLNGDNTLNLKSVLTYTHGSPNDEKLDLGFVLELRRSAGNLIETFHDKYKEDVVKLMDAKMIPERMKYKRLIFHMLMGAEWAVPDKVSSETSTTAQSFSANEEGQCKAGEDSETCSVAAAATTTPPAGQPKQPIGAAPSSTPTDGLVPSSSPCEEKEAATAHAVKLGQTEERAKRRKERARLKSKQGRPM
+>sp|Q9BZ81|MAGB5_HUMAN Melanoma-associated antigen B5 OS=Homo sapiens OX=9606 GN=MAGEB5 PE=2 SV=2
+MTSAGVFNAGSDERANSRDEEYPCSSEVSPSTESSCSNFINIKVGLLEQFLLYKFKMKQRILKEDMLKIVNPRYQNQFAEIHRRASEHIEVVFAVDLKEVNPTCHLYDLVSKLKLPNNGRIHVGKVLPKTGLLMTFLVVIFLKGNCANKEDTWKFLDMMQIYDGKKYYIYGEPRKLITQDFVRLTYLEYHQVPCSYPAHYQFLWGPRAYTETSKMKVLEYLAKVNDIAPGAFSSQYEEALQDEEESPSQRCSRNWHYCSGQDCLRAKFSSFSQPY
+>DECOY_sp|Q9BZ81|MAGB5_HUMAN Melanoma-associated antigen B5 OS=Homo sapiens OX=9606 GN=MAGEB5 PE=2 SV=2
+YPQSFSSFKARLCDQGSCYHWNRSCRQSPSEEEDQLAEEYQSSFAGPAIDNVKALYELVKMKSTETYARPGWLFQYHAPYSCPVQHYELYTLRVFDQTILKRPEGYIYYKKGDYIQMMDLFKWTDEKNACNGKLFIVVLFTMLLGTKPLVKGVHIRGNNPLKLKSVLDYLHCTPNVEKLDVAFVVEIHESARRHIEAFQNQYRPNVIKLMDEKLIRQKMKFKYLLFQELLGVKINIFNSCSSETSPSVESSCPYEEDRSNAREDSGANFVGASTM
+>sp|Q9H213|MAGH1_HUMAN Melanoma-associated antigen H1 OS=Homo sapiens OX=9606 GN=MAGEH1 PE=1 SV=1
+MPRGRKSRRRRNARAAEENRNNRKIQASEASETPMAASVVASTPEDDLSGPEEDPSTPEEASTTPEEASSTAQAQKPSVPRSNFQGTKKSLLMSILALIFIMGNSAKEALVWKVLGKLGMQPGRQHSIFGDPKKIVTEEFVRRGYLIYKPVPRSSPVEYEFFWGPRAHVESSKLKVMHFVARVRNRCSKDWPCNYDWDSDDDAEVEAILNSGARGYSAP
+>DECOY_sp|Q9H213|MAGH1_HUMAN Melanoma-associated antigen H1 OS=Homo sapiens OX=9606 GN=MAGEH1 PE=1 SV=1
+PASYGRAGSNLIAEVEADDDSDWDYNCPWDKSCRNRVRAVFHMVKLKSSEVHARPGWFFEYEVPSSRPVPKYILYGRRVFEETVIKKPDGFISHQRGPQMGLKGLVKWVLAEKASNGMIFILALISMLLSKKTGQFNSRPVSPKQAQATSSAEEPTTSAEEPTSPDEEPGSLDDEPTSAVVSAAMPTESAESAQIKRNNRNEEAARANRRRRSKRGRPM
+>sp|Q96QZ7|MAGI1_HUMAN Membrane-associated guanylate kinase, WW and PDZ domain-containing protein 1 OS=Homo sapiens OX=9606 GN=MAGI1 PE=1 SV=3
+MSKVIQKKNHWTSRVHECTVKRGPQGELGVTVLGGAEHGEFPYVGAVAAVEAAGLPGGGEGPRLGEGELLLEVQGVRVSGLPRYDVLGVIDSCKEAVTFKAVRQGGRLNKDLRHFLNQRFQKGSPDHELQQTIRDNLYRHAVPCTTRSPREGEVPGVDYNFLTVKEFLDLEQSGTLLEVGTYEGNYYGTPKPPSQPVSGKVITTDALHSLQSGSKQSTPKRTKSYNDMQNAGIVHAENEEEDDVPEMNSSFTADSGEQEEHTLQETALPPVNSSIIAAPITDPSQKFPQYLPLSAEDNLGPLPENWEMAYTENGEVYFIDHNTKTTSWLDPRCLNKQQKPLEECEDDEGVHTEELDSELELPAGWEKIEDPVYGIYYVDHINRKTQYENPVLEAKRKKQLEQQQQQQQQQQQQQQQQQQQQTEEWTEDHSALVPPVIPNHPPSNPEPAREVPLQGKPFFTRNPSELKGKFIHTKLRKSSRGFGFTVVGGDEPDEFLQIKSLVLDGPAALDGKMETGDVIVSVNDTCVLGHTHAQVVKIFQSIPIGASVDLELCRGYPLPFDPDDPNTSLVTSVAILDKEPIIVNGQETYDSPASHSSKTGKVNGMKDARPSSPADVASNSSHGYPNDTVSLASSIATQPELITVHIVKGPMGFGFTIADSPGGGGQRVKQIVDSPRCRGLKEGDLIVEVNKKNVQALTHNQVVDMLVECPKGSEVTLLVQRGGLPVPKKSPKSQPLERKDSQNSSQHSVSSHRSLHTASPSHSTQVLPEFPPAEAQAPDQTDSSGQKKPDPFKIWAQSRSMYENRPMSPSPASGLSKGEREREINSTNFGECPIPDYQEQDIFLWRKETGFGFRILGGNEPGEPIYIGHIVPLGAADTDGRLRSGDELICVDGTPVIGKSHQLVVQLMQQAAKQGHVNLTVRRKVVFAVPKTENEVPSPASSHHSSNQPASLTEEKRTPQGSQNSLNTVSSGSGSTSGIGSGGGGGSGVVSTVVQPYDVEIRRGENEGFGFVIVSSVSRPEAGTTFAGNACVAMPHKIGRIIEGSPADRCGKLKVGDRILAVNGCSITNKSHSDIVNLIKEAGNTVTLRIIPGDESSNATLLTNAEKIATITTTHTPSQQGTQETRNTTKPKQESQFEFKAPQATQEQDFYTVELERGAKGFGFSLRGGREYNMDLYVLRLAEDGPAERCGKMRIGDEILEINGETTKNMKHSRAIELIKNGGRRVRLFLKRGDGSVPEYDPSSDRHGPATGPQGVPEVRAGPDRRQHPSLESSYPPDLHKSSPHGEKRAHARDPKGSREYSRQPNEHHTWNGTSRKPDSGACRPKDRAPEGRRDAQAERAAAANGPKRRSPEKRREGTRSADNTLERREKHEKRRDVSPERRRERSPTRRRDGSPSRRRRSLERLLEQRRSPERRRGGSPERRAKSTDRRRARSPERRRERSLDKRNREDRASHREREEANLKQDAGRSSRHPPEQRRRPYKECSTDLSI
+>DECOY_sp|Q96QZ7|MAGI1_HUMAN Membrane-associated guanylate kinase, WW and PDZ domain-containing protein 1 OS=Homo sapiens OX=9606 GN=MAGI1 PE=1 SV=3
+ISLDTSCEKYPRRRQEPPHRSSRGADQKLNAEERERHSARDERNRKDLSRERRREPSRARRRDTSKARREPSGGRRREPSRRQELLRELSRRRRSPSGDRRRTPSRERRREPSVDRRKEHKERRELTNDASRTGERRKEPSRRKPGNAAAAREAQADRRGEPARDKPRCAGSDPKRSTGNWTHHENPQRSYERSGKPDRAHARKEGHPSSKHLDPPYSSELSPHQRRDPGARVEPVGQPGTAPGHRDSSPDYEPVSGDGRKLFLRVRRGGNKILEIARSHKMNKTTEGNIELIEDGIRMKGCREAPGDEALRLVYLDMNYERGGRLSFGFGKAGRELEVTYFDQEQTAQPAKFEFQSEQKPKTTNRTEQTGQQSPTHTTTITAIKEANTLLTANSSEDGPIIRLTVTNGAEKILNVIDSHSKNTISCGNVALIRDGVKLKGCRDAPSGEIIRGIKHPMAVCANGAFTTGAEPRSVSSVIVFGFGENEGRRIEVDYPQVVTSVVGSGGGGGSGIGSTSGSGSSVTNLSNQSGQPTRKEETLSAPQNSSHHSSAPSPVENETKPVAFVVKRRVTLNVHGQKAAQQMLQVVLQHSKGIVPTGDVCILEDGSRLRGDTDAAGLPVIHGIYIPEGPENGGLIRFGFGTEKRWLFIDQEQYDPIPCEGFNTSNIEREREGKSLGSAPSPSMPRNEYMSRSQAWIKFPDPKKQGSSDTQDPAQAEAPPFEPLVQTSHSPSATHLSRHSSVSHQSSNQSDKRELPQSKPSKKPVPLGGRQVLLTVESGKPCEVLMDVVQNHTLAQVNKKNVEVILDGEKLGRCRPSDVIQKVRQGGGGPSDAITFGFGMPGKVIHVTILEPQTAISSALSVTDNPYGHSSNSAVDAPSSPRADKMGNVKGTKSSHSAPSDYTEQGNVIIPEKDLIAVSTVLSTNPDDPDFPLPYGRCLELDVSAGIPISQFIKVVQAHTHGLVCTDNVSVIVDGTEMKGDLAAPGDLVLSKIQLFEDPEDGGVVTFGFGRSSKRLKTHIFKGKLESPNRTFFPKGQLPVERAPEPNSPPHNPIVPPVLASHDETWEETQQQQQQQQQQQQQQQQQQQQELQKKRKAELVPNEYQTKRNIHDVYYIGYVPDEIKEWGAPLELESDLEETHVGEDDECEELPKQQKNLCRPDLWSTTKTNHDIFYVEGNETYAMEWNEPLPGLNDEASLPLYQPFKQSPDTIPAAIISSNVPPLATEQLTHEEQEGSDATFSSNMEPVDDEEENEAHVIGANQMDNYSKTRKPTSQKSGSQLSHLADTTIVKGSVPQSPPKPTGYYNGEYTGVELLTGSQELDLFEKVTLFNYDVGPVEGERPSRTTCPVAHRYLNDRITQQLEHDPSGKQFRQNLFHRLDKNLRGGQRVAKFTVAEKCSDIVGLVDYRPLGSVRVGQVELLLEGEGLRPGEGGGPLGAAEVAAVAGVYPFEGHEAGGLVTVGLEGQPGRKVTCEHVRSTWHNKKQIVKSM
+>sp|Q86UL8|MAGI2_HUMAN Membrane-associated guanylate kinase, WW and PDZ domain-containing protein 2 OS=Homo sapiens OX=9606 GN=MAGI2 PE=1 SV=3
+MSKSLKKKSHWTSKVHESVIGRNPEGQLGFELKGGAENGQFPYLGEVKPGKVAYESGSKLVSEELLLEVNETPVAGLTIRDVLAVIKHCKDPLRLKCVKQGGIVDKDLRHYLNLRFQKGSVDHELQQIIRDNLYLRTVPCTTRPHKEGEVPGVDYIFITVEDFMELEKSGALLESGTYEDNYYGTPKPPAEPAPLLLNVTDQILPGATPSAEGKRKRNKSVSNMEKASIEPPEEEEEERPVVNGNGVVVTPESSEHEDKSAGASGEMPSQPYPAPVYSQPEELKEQMDDTKPTKPEDNEEPDPLPDNWEMAYTEKGEVYFIDHNTKTTSWLDPRLAKKAKPPEECKENELPYGWEKIDDPIYGTYYVDHINRRTQFENPVLEAKRKLQQHNMPHTELGTKPLQAPGFREKPLFTRDASQLKGTFLSTTLKKSNMGFGFTIIGGDEPDEFLQVKSVIPDGPAAQDGKMETGDVIVYINEVCVLGHTHADVVKLFQSVPIGQSVNLVLCRGYPLPFDPEDPANSMVPPLAIMERPPPVMVNGRHNYETYLEYISRTSQSVPDITDRPPHSLHSMPTDGQLDGTYPPPVHDDNVSMASSGATQAELMTLTIVKGAQGFGFTIADSPTGQRVKQILDIQGCPGLCEGDLIVEINQQNVQNLSHTEVVDILKDCPIGSETSLIIHRGGFFSPWKTPKPIMDRWENQGSPQTSLSAPAIPQNLPFPPALHRSSFPDSTEAFDPRKPDPYELYEKSRAIYESRQQVPPRTSFRMDSSGPDYKELDVHLRRMESGFGFRILGGDEPGQPILIGAVIAMGSADRDGRLHPGDELVYVDGIPVAGKTHRYVIDLMHHAARNGQVNLTVRRKVLCGGEPCPENGRSPGSVSTHHSSPRSDYATYTNSNHAAPSSNASPPEGFASHSLQTSDVVIHRKENEGFGFVIISSLNRPESGSTITVPHKIGRIIDGSPADRCAKLKVGDRILAVNGQSIINMPHADIVKLIKDAGLSVTLRIIPQEELNSPTSAPSSEKQSPMAQQSPLAQQSPLAQPSPATPNSPIAQPAPPQPLQLQGHENSYRSEVKARQDVKPDIRQPPFTDYRQPPLDYRQPPGGDYQQPPPLDYRQPPLLDYRQHSPDTRQYPLSDYRQPQDFDYFTVDMEKGAKGFGFSIRGGREYKMDLYVLRLAEDGPAIRNGRMRVGDQIIEINGESTRDMTHARAIELIKSGGRRVRLLLKRGTGQVPEYDEPAPWSSPAAAAPGLPEVGVSLDDGLAPFSPSHPAPPSDPSHQISPGPTWDIKREHDVRKPKELSACGQKKQRLGEQRERSASPQRAARPRLEEAPGGQGRPEAGRPASEARAPGLAAADAADAARAGGKEAPRAAAGSELCRREGPGAAPAFAGPGGGGSGALEAEGRAGARAGPRPGPRPPGGAPARKAAVAPGPWKVPGSDKLPSVLKPGASAASR
+>DECOY_sp|Q86UL8|MAGI2_HUMAN Membrane-associated guanylate kinase, WW and PDZ domain-containing protein 2 OS=Homo sapiens OX=9606 GN=MAGI2 PE=1 SV=3
+RSAASAGPKLVSPLKDSGPVKWPGPAVAAKRAPAGGPPRPGPRPGARAGARGEAELAGSGGGGPGAFAPAAGPGERRCLESGAAARPAEKGGARAADAADAAALGPARAESAPRGAEPRGQGGPAEELRPRAARQPSASRERQEGLRQKKQGCASLEKPKRVDHERKIDWTPGPSIQHSPDSPPAPHSPSFPALGDDLSVGVEPLGPAAAAPSSWPAPEDYEPVQGTGRKLLLRVRRGGSKILEIARAHTMDRTSEGNIEIIQDGVRMRGNRIAPGDEALRLVYLDMKYERGGRISFGFGKAGKEMDVTFYDFDQPQRYDSLPYQRTDPSHQRYDLLPPQRYDLPPPQQYDGGPPQRYDLPPQRYDTFPPQRIDPKVDQRAKVESRYSNEHGQLQLPQPPAPQAIPSNPTAPSPQALPSQQALPSQQAMPSQKESSPASTPSNLEEQPIIRLTVSLGADKILKVIDAHPMNIISQGNVALIRDGVKLKACRDAPSGDIIRGIKHPVTITSGSEPRNLSSIIVFGFGENEKRHIVVDSTQLSHSAFGEPPSANSSPAAHNSNTYTAYDSRPSSHHTSVSGPSRGNEPCPEGGCLVKRRVTLNVQGNRAAHHMLDIVYRHTKGAVPIGDVYVLEDGPHLRGDRDASGMAIVAGILIPQGPEDGGLIRFGFGSEMRRLHVDLEKYDPGSSDMRFSTRPPVQQRSEYIARSKEYLEYPDPKRPDFAETSDPFSSRHLAPPFPLNQPIAPASLSTQPSGQNEWRDMIPKPTKWPSFFGGRHIILSTESGIPCDKLIDVVETHSLNQVNQQNIEVILDGECLGPCGQIDLIQKVRQGTPSDAITFGFGQAGKVITLTMLEAQTAGSSAMSVNDDHVPPPYTGDLQGDTPMSHLSHPPRDTIDPVSQSTRSIYELYTEYNHRGNVMVPPPREMIALPPVMSNAPDEPDFPLPYGRCLVLNVSQGIPVSQFLKVVDAHTHGLVCVENIYVIVDGTEMKGDQAAPGDPIVSKVQLFEDPEDGGIITFGFGMNSKKLTTSLFTGKLQSADRTFLPKERFGPAQLPKTGLETHPMNHQQLKRKAELVPNEFQTRRNIHDVYYTGYIPDDIKEWGYPLENEKCEEPPKAKKALRPDLWSTTKTNHDIFYVEGKETYAMEWNDPLPDPEENDEPKTPKTDDMQEKLEEPQSYVPAPYPQSPMEGSAGASKDEHESSEPTVVVGNGNVVPREEEEEEPPEISAKEMNSVSKNRKRKGEASPTAGPLIQDTVNLLLPAPEAPPKPTGYYNDEYTGSELLAGSKELEMFDEVTIFIYDVGPVEGEKHPRTTCPVTRLYLNDRIIQQLEHDVSGKQFRLNLYHRLDKDVIGGQKVCKLRLPDKCHKIVALVDRITLGAVPTENVELLLEESVLKSGSEYAVKGPKVEGLYPFQGNEAGGKLEFGLQGEPNRGIVSEHVKSTWHSKKKLSKSM
+>sp|Q5TCQ9|MAGI3_HUMAN Membrane-associated guanylate kinase, WW and PDZ domain-containing protein 3 OS=Homo sapiens OX=9606 GN=MAGI3 PE=1 SV=3
+MSKTLKKKKHWLSKVQECAVSWAGPPGDFGAEIRGGAERGEFPYLGRLREEPGGGTCCVVSGKAPSPGDVLLEVNGTPVSGLTNRDTLAVIRHFREPIRLKTVKPGKVINKDLRHYLSLQFQKGSIDHKLQQVIRDNLYLRTIPCTTRAPRDGEVPGVDYNFISVEQFKALEESGALLESGTYDGNFYGTPKPPAEPSPFQPDPVDQVLFDNEFDAESQRKRTTSVSKMERMDSSLPEEEEDEDKEAINGSGNAENRERHSESSDWMKTVPSYNQTNSSMDFRNYMMRDETLEPLPKNWEMAYTDTGMIYFIDHNTKTTTWLDPRLCKKAKAPEDCEDGELPYGWEKIEDPQYGTYYVDHLNQKTQFENPVEEAKRKKQLGQVEIGSSKPDMEKSHFTRDPSQLKGVLVRASLKKSTMGFGFTIIGGDRPDEFLQVKNVLKDGPAAQDGKIAPGDVIVDINGNCVLGHTHADVVQMFQLVPVNQYVNLTLCRGYPLPDDSEDPVVDIVAATPVINGQSLTKGETCMNPQDFKPGAMVLEQNGKSGHTLTGDGLNGPSDASEQRVSMASSGSSQPELVTIPLIKGPKGFGFAIADSPTGQKVKMILDSQWCQGLQKGDIIKEIYHQNVQNLTHLQVVEVLKQFPVGADVPLLILRGGPPSPTKTAKMKTDKKENAGSLEAINEPIPQPMPFPPSIIRSGSPKLDPSEVYLKSKTLYEDKPPNTKDLDVFLRKQESGFGFRVLGGDGPDQSIYIGAIIPLGAAEKDGRLRAADELMCIDGIPVKGKSHKQVLDLMTTAARNGHVLLTVRRKIFYGEKQPEDDSSQAFISTQNGSPRLNRAEVPARPAPQEPYDVVLQRKENEGFGFVILTSKNKPPPGVIPHKIGRVIEGSPADRCGKLKVGDHISAVNGQSIVELSHDNIVQLIKDAGVTVTLTVIAEEEHHGPPSGTNSARQSPALQHRPMGQSQANHIPGDRSALEGEIGKDVSTSYRHSWSDHKHLAQPDTAVISVVGSRHNQNLGCYPVELERGPRGFGFSLRGGKEYNMGLFILRLAEDGPAIKDGRIHVGDQIVEINGEPTQGITHTRAIELIQAGGNKVLLLLRPGTGLIPDHGDWDINNPSSSNVIYDEQSPLPPSSHFASIFEESHVPVIEESLRVQICEKAEELKDIVPEKKSTLNENQPEIKHQSLLQKNVSKRDPPSSHGHSNKKNLLKVENGVTRRGRSVSPKKPASQHSEEHLDKIPSPLKNNPKRRPRDQSLSPSKGENKSCQVSTRAGSGQDQCRKSRGRSASPKKQQKIEGSKAPSNAEAKLLEGKSRRIAGYTGSNAEQIPDGKEKSDVIRKDAKQNQLEKSRTRSPEKKIKRMVEKSLPSKMTNKTTSKEVSENEKGKKVTTGETSSSNDKIGENVQLSEKRLKQEPEEKVVSNKTEDHKGKELEAADKNKETGRFKPESSSPVKKTLITPGPWKVPSGNKVTGTIGMAEKRQ
+>DECOY_sp|Q5TCQ9|MAGI3_HUMAN Membrane-associated guanylate kinase, WW and PDZ domain-containing protein 3 OS=Homo sapiens OX=9606 GN=MAGI3 PE=1 SV=3
+QRKEAMGITGTVKNGSPVKWPGPTILTKKVPSSSEPKFRGTEKNKDAAELEKGKHDETKNSVVKEEPEQKLRKESLQVNEGIKDNSSSTEGTTVKKGKENESVEKSTTKNTMKSPLSKEVMRKIKKEPSRTRSKELQNQKADKRIVDSKEKGDPIQEANSGTYGAIRRSKGELLKAEANSPAKSGEIKQQKKPSASRGRSKRCQDQGSGARTSVQCSKNEGKSPSLSQDRPRRKPNNKLPSPIKDLHEESHQSAPKKPSVSRGRRTVGNEVKLLNKKNSHGHSSPPDRKSVNKQLLSQHKIEPQNENLTSKKEPVIDKLEEAKECIQVRLSEEIVPVHSEEFISAFHSSPPLPSQEDYIVNSSSPNNIDWDGHDPILGTGPRLLLLVKNGGAQILEIARTHTIGQTPEGNIEVIQDGVHIRGDKIAPGDEALRLIFLGMNYEKGGRLSFGFGRPGRELEVPYCGLNQNHRSGVVSIVATDPQALHKHDSWSHRYSTSVDKGIEGELASRDGPIHNAQSQGMPRHQLAPSQRASNTGSPPGHHEEEAIVTLTVTVGADKILQVINDHSLEVISQGNVASIHDGVKLKGCRDAPSGEIVRGIKHPIVGPPPKNKSTLIVFGFGENEKRQLVVDYPEQPAPRAPVEARNLRPSGNQTSIFAQSSDDEPQKEGYFIKRRVTLLVHGNRAATTMLDLVQKHSKGKVPIGDICMLEDAARLRGDKEAAGLPIIAGIYISQDPGDGGLVRFGFGSEQKRLFVDLDKTNPPKDEYLTKSKLYVESPDLKPSGSRIISPPFPMPQPIPENIAELSGANEKKDTKMKATKTPSPPGGRLILLPVDAGVPFQKLVEVVQLHTLNQVNQHYIEKIIDGKQLGQCWQSDLIMKVKQGTPSDAIAFGFGKPGKILPITVLEPQSSGSSAMSVRQESADSPGNLGDGTLTHGSKGNQELVMAGPKFDQPNMCTEGKTLSQGNIVPTAAVIDVVPDESDDPLPYGRCLTLNVYQNVPVLQFMQVVDAHTHGLVCNGNIDVIVDGPAIKGDQAAPGDKLVNKVQLFEDPRDGGIITFGFGMTSKKLSARVLVGKLQSPDRTFHSKEMDPKSSGIEVQGLQKKRKAEEVPNEFQTKQNLHDVYYTGYQPDEIKEWGYPLEGDECDEPAKAKKCLRPDLWTTTKTNHDIFYIMGTDTYAMEWNKPLPELTEDRMMYNRFDMSSNTQNYSPVTKMWDSSESHRERNEANGSGNIAEKDEDEEEEPLSSDMREMKSVSTTRKRQSEADFENDFLVQDVPDPQFPSPEAPPKPTGYFNGDYTGSELLAGSEELAKFQEVSIFNYDVGPVEGDRPARTTCPITRLYLNDRIVQQLKHDISGKQFQLSLYHRLDKNIVKGPKVTKLRIPERFHRIVALTDRNTLGSVPTGNVELLVDGPSPAKGSVVCCTGGGPEERLRGLYPFEGREAGGRIEAGFDGPPGAWSVACEQVKSLWHKKKKLTKSM
+>sp|Q3KP22|MAJIN_HUMAN Membrane-anchored junction protein OS=Homo sapiens OX=9606 GN=MAJIN PE=2 SV=2
+MSLKPFTYPFPETRFLHAGPNVYKFKIRYGKSIRGEEIENKEVITQELEVPVEKKAVGAVMRKRKHMDEPSSPSRPGLDRAKIGTSSQGPSKKKPPVETRRNRERKTQQGLQETLASDITDVQKQDSEWGHSLPGRIVPPLQHNSPPPKERAATGFFGFLSSLFPFRYFFRKSSHS
+>DECOY_sp|Q3KP22|MAJIN_HUMAN Membrane-anchored junction protein OS=Homo sapiens OX=9606 GN=MAJIN PE=2 SV=2
+SHSSKRFFYRFPFLSSLFGFFGTAAREKPPPSNHQLPPVIRGPLSHGWESDQKQVDTIDSALTEQLGQQTKRERNRRTEVPPKKKSPGQSSTGIKARDLGPRSPSSPEDMHKRKRMVAGVAKKEVPVELEQTIVEKNEIEEGRISKGYRIKFKYVNPGAHLFRTEPFPYTFPKLSM
+>sp|Q9P2G4|MAP10_HUMAN Microtubule-associated protein 10 OS=Homo sapiens OX=9606 GN=MAP10 PE=1 SV=2
+MAASLSERLFSLELLVDWVRLEARLLPSPAAAVEQEEEEEEKEQGEASSPRGLCPAVAFRLLDFPTLLVYPPDGPGAPAAEPWPGVIRFGRGKSCLFRLQPATLHCRLLRTPLATLLLQLPPGRPTPTPQLLGACDISLATAAHRVVGPAASGCSHRHRGRFPLHNRVGERTGDIALAYRLTDLGSRLLSQLERPLTFTRTGGGAEVSPQTQQERQQLQQPASQPSPKEADKPLGELEIPEAQKDLKEMVKSKAECDNVGSVENGKTNSVVTCSGAGNGRNVSSLNEEVTELDMETNIFCPPPLYYTNLTQEKPPPAQAKITIEPQMNAPEEMDDASPEKKRVNPPAHRSCLKHPSSAAHEHPPMLVNPPHIQNIGATNQTCQTEQNRINTIRQLPLLNALLVELSLLYDQPVTSPAHIHPHLAWLYRTEDKKSPESSAKSTCRSEAKKDKRSVGGCEKSVSLQYKKNQIENYKEDKYSEKSSGALHKRVPKGRLLYGLTNTLRLRLKLTNPDMLVVHEKRELYRKRQSQMLGTKFRIPSSKVKLLSSAEQSQKPQLPEDKYLDSDASFTENSDTSRQISGVFDEPSTSKETKLKYATEKKTVDCSKNRINNVSLEEVVSPANSIIPERLTPTNILGGNVEMKIQSPCVFQQDAVVDRIVDKEIDIRQVKTTDNDILMADISDKRTGKNSCYENISELKYSDDLSSPCYSEDFCTSEDTSRSFKAHDSSSRTENPKHSQYTSKSSDTGVSKKKNSSDRSSILSPPFSAGSPVHSYRKFHISKTQDKSLEEASSISASDLSSTHWTEQKENQIDQNSMHNSEITKRAQDISVKTRSSWKSLEKSQSPQTSQVSSYLPSNVSELNVLDSSTSDHFEEGNDDVGSLNISKQCKDICELVINKLPGYTM
+>DECOY_sp|Q9P2G4|MAP10_HUMAN Microtubule-associated protein 10 OS=Homo sapiens OX=9606 GN=MAP10 PE=1 SV=2
+MTYGPLKNIVLECIDKCQKSINLSGVDDNGEEFHDSTSSDLVNLESVNSPLYSSVQSTQPSQSKELSKWSSRTKVSIDQARKTIESNHMSNQDIQNEKQETWHTSSLDSASISSAEELSKDQTKSIHFKRYSHVPSGASFPPSLISSRDSSNKKKSVGTDSSKSTYQSHKPNETRSSSDHAKFSRSTDESTCFDESYCPSSLDDSYKLESINEYCSNKGTRKDSIDAMLIDNDTTKVQRIDIEKDVIRDVVADQQFVCPSQIKMEVNGGLINTPTLREPIISNAPSVVEELSVNNIRNKSCDVTKKETAYKLKTEKSTSPEDFVGSIQRSTDSNETFSADSDLYKDEPLQPKQSQEASSLLKVKSSPIRFKTGLMQSQRKRYLERKEHVVLMDPNTLKLRLRLTNTLGYLLRGKPVRKHLAGSSKESYKDEKYNEIQNKKYQLSVSKECGGVSRKDKKAESRCTSKASSEPSKKDETRYLWALHPHIHAPSTVPQDYLLSLEVLLANLLPLQRITNIRNQETQCTQNTAGINQIHPPNVLMPPHEHAASSPHKLCSRHAPPNVRKKEPSADDMEEPANMQPEITIKAQAPPPKEQTLNTYYLPPPCFINTEMDLETVEENLSSVNRGNGAGSCTVVSNTKGNEVSGVNDCEAKSKVMEKLDKQAEPIELEGLPKDAEKPSPQSAPQQLQQREQQTQPSVEAGGGTRTFTLPRELQSLLRSGLDTLRYALAIDGTREGVRNHLPFRGRHRHSCGSAAPGVVRHAATALSIDCAGLLQPTPTPRGPPLQLLLTALPTRLLRCHLTAPQLRFLCSKGRGFRIVGPWPEAAPAGPGDPPYVLLTPFDLLRFAVAPCLGRPSSAEGQEKEEEEEEQEVAAAPSPLLRAELRVWDVLLELSFLRESLSAAM
+>sp|Q96JE9|MAP6_HUMAN Microtubule-associated protein 6 OS=Homo sapiens OX=9606 GN=MAP6 PE=1 SV=2
+MAWPCITRACCIARFWNQLDKADIAVPLVFTKYSEATEHPGAPPQPPPPQQQAQPALAPPSARAVAIETQPAQGELDAVARATGPAPGPTGEREPAAGPGRSGPGPGLGSGSTSGPADSVMRQDYRAWKVQRPEPSCRPRSEYQPSDAPFERETQYQKDFRAWPLPRRGDHPWIPKPVQISAASQASAPILGAPKRRPQSQERWPVQAAAEAREQEAAPGGAGGLAAGKASGADERDTRRKAGPAWIVRRAEGLGHEQTPLPAAQAQVQATGPEAGRGRAAADALNRQIREEVASAVSSSYRNEFRAWTDIKPVKPIKAKPQYKPPDDKMVHETSYSAQFKGEASKPTTADNKVIDRRRIRSLYSEPFKEPPKVEKPSVQSSKPKKTSASHKPTRKAKDKQAVSGQAAKKKSAEGPSTTKPDDKEQSKEMNNKLAEAKESLAQPVSDSSKTQGPVATEPDKDQGSVVPGLLKGQGPMVQEPLKKQGSVVPGPPKDLGPMIPLPVKDQDHTVPEPLKNESPVISAPVKDQGPSVPVPPKNQSPMVPAKVKDQGSVVPESLKDQGPRIPEPVKNQAPMVPAPVKDEGPMVSASVKDQGPMVSAPVKDQGPIVPAPVKGEGPIVPAPVKDEGPMVSAPIKDQDPMVPEHPKDESAMATAPIKNQGSMVSEPVKNQGLVVSGPVKDQDVVVPEHAKVHDSAVVAPVKNQGPVVPESVKNQDPILPVLVKDQGPTVLQPPKNQGRIVPEPLKNQVPIVPVPLKDQDPLVPVPAKDQGPAVPEPLKTQGPRDPQLPTVSPLPRVMIPTAPHTEYIESSP
+>DECOY_sp|Q96JE9|MAP6_HUMAN Microtubule-associated protein 6 OS=Homo sapiens OX=9606 GN=MAP6 PE=1 SV=2
+PSSEIYETHPATPIMVRPLPSVTPLQPDRPGQTKLPEPVAPGQDKAPVPVLPDQDKLPVPVIPVQNKLPEPVIRGQNKPPQLVTPGQDKVLVPLIPDQNKVSEPVVPGQNKVPAVVASDHVKAHEPVVVDQDKVPGSVVLGQNKVPESVMSGQNKIPATAMASEDKPHEPVMPDQDKIPASVMPGEDKVPAPVIPGEGKVPAPVIPGQDKVPASVMPGQDKVSASVMPGEDKVPAPVMPAQNKVPEPIRPGQDKLSEPVVSGQDKVKAPVMPSQNKPPVPVSPGQDKVPASIVPSENKLPEPVTHDQDKVPLPIMPGLDKPPGPVVSGQKKLPEQVMPGQGKLLGPVVSGQDKDPETAVPGQTKSSDSVPQALSEKAEALKNNMEKSQEKDDPKTTSPGEASKKKAAQGSVAQKDKAKRTPKHSASTKKPKSSQVSPKEVKPPEKFPESYLSRIRRRDIVKNDATTPKSAEGKFQASYSTEHVMKDDPPKYQPKAKIPKVPKIDTWARFENRYSSSVASAVEERIQRNLADAAARGRGAEPGTAQVQAQAAPLPTQEHGLGEARRVIWAPGAKRRTDREDAGSAKGAALGGAGGPAAEQERAEAAAQVPWREQSQPRRKPAGLIPASAQSAASIQVPKPIWPHDGRRPLPWARFDKQYQTEREFPADSPQYESRPRCSPEPRQVKWARYDQRMVSDAPGSTSGSGLGPGPGSRGPGAAPEREGTPGPAPGTARAVADLEGQAPQTEIAVARASPPALAPQAQQQPPPPQPPAGPHETAESYKTFVLPVAIDAKDLQNWFRAICCARTICPWAM
+>sp|Q14244|MAP7_HUMAN Ensconsin OS=Homo sapiens OX=9606 GN=MAP7 PE=1 SV=1
+MAELGAGGDGHRGGDGAVRSETAPDSYKVQDKKNASSRPASAISGQNNNHSGNKPDPPPVLRVDDRQRLARERREEREKQLAAREIVWLEREERARQHYEKHLEERKKRLEEQRQKEERRRAAVEEKRRQRLEEDKERHEAVVRRTMERSQKPKQKHNRWSWGGSLHGSPSIHSADPDRRSVSTMNLSKYVDPVISKRLSSSSATLLNSPDRARRLQLSPWESSVVNRLLTPTHSFLARSKSTAALSGEAASCSPIIMPYKAAHSRNSMDRPKLFVTPPEGSSRRRIIHGTASYKKERERENVLFLTSGTRRAVSPSNPKARQPARSRLWLPSKSLPHLPGTPRPTSSLPPGSVKAAPAQVRPPSPGNIRPVKREVKVEPEKKDPEKEPQKVANEPSLKGRAPLVKVEEATVEERTPAEPEVGPAAPAMAPAPASAPAPASAPAPAPVPTPAMVSAPSSTVNASASVKTSAGTTDPEEATRLLAEKRRLAREQREKEERERREQEELERQKREELAQRVAEERTTRREEESRRLEAEQAREKEEQLQRQAEERALREREEAERAQRQKEEEARVREEAERVRQEREKHFQREEQERLERKKRLEEIMKRTRRTEATDKKTSDQRNGDIAKGALTGGTEVSALPCTTNAPGNGKPVGSPHVVTSHQSKVTVESTPDLEKQPNENGVSVQNENFEEIINLPIGSKPSRLDVTNSESPEIPLNPILAFDDEGTLGPLPQVDGVQTQQTAEVI
+>DECOY_sp|Q14244|MAP7_HUMAN Ensconsin OS=Homo sapiens OX=9606 GN=MAP7 PE=1 SV=1
+IVEATQQTQVGDVQPLPGLTGEDDFALIPNLPIEPSESNTVDLRSPKSGIPLNIIEEFNENQVSVGNENPQKELDPTSEVTVKSQHSTVVHPSGVPKGNGPANTTCPLASVETGGTLAGKAIDGNRQDSTKKDTAETRRTRKMIEELRKKRELREQEERQFHKEREQRVREAEERVRAEEEKQRQAREAEERERLAREEAQRQLQEEKERAQEAELRRSEEERRTTREEAVRQALEERKQRELEEQERREREEKERQERALRRKEALLRTAEEPDTTGASTKVSASANVTSSPASVMAPTPVPAPAPASAPAPASAPAPAMAPAAPGVEPEAPTREEVTAEEVKVLPARGKLSPENAVKQPEKEPDKKEPEVKVERKVPRINGPSPPRVQAPAAKVSGPPLSSTPRPTGPLHPLSKSPLWLRSRAPQRAKPNSPSVARRTGSTLFLVNEREREKKYSATGHIIRRRSSGEPPTVFLKPRDMSNRSHAAKYPMIIPSCSAAEGSLAATSKSRALFSHTPTLLRNVVSSEWPSLQLRRARDPSNLLTASSSSLRKSIVPDVYKSLNMTSVSRRDPDASHISPSGHLSGGWSWRNHKQKPKQSREMTRRVVAEHREKDEELRQRRKEEVAARRREEKQRQEELRKKREELHKEYHQRAREERELWVIERAALQKEREERRERALRQRDDVRLVPPPDPKNGSHNNNQGSIASAPRSSANKKDQVKYSDPATESRVAGDGGRHGDGGAGLEAM
+>sp|P49137|MAPK2_HUMAN MAP kinase-activated protein kinase 2 OS=Homo sapiens OX=9606 GN=MAPKAPK2 PE=1 SV=1
+MLSNSQGQSPPVPFPAPAPPPQPPTPALPHPPAQPPPPPPQQFPQFHVKSGLQIKKNAIIDDYKVTSQVLGLGINGKVLQIFNKRTQEKFALKMLQDCPKARREVELHWRASQCPHIVRIVDVYENLYAGRKCLLIVMECLDGGELFSRIQDRGDQAFTEREASEIMKSIGEAIQYLHSINIAHRDVKPENLLYTSKRPNAILKLTDFGFAKETTSHNSLTTPCYTPYYVAPEVLGPEKYDKSCDMWSLGVIMYILLCGYPPFYSNHGLAISPGMKTRIRMGQYEFPNPEWSEVSEEVKMLIRNLLKTEPTQRMTITEFMNHPWIMQSTKVPQTPLHTSRVLKEDKERWEDVKEEMTSALATMRVDYEQIKIKKIEDASNPLLLKRRKKARALEAAALAH
+>DECOY_sp|P49137|MAPK2_HUMAN MAP kinase-activated protein kinase 2 OS=Homo sapiens OX=9606 GN=MAPKAPK2 PE=1 SV=1
+HALAAAELARAKKRRKLLLPNSADEIKKIKIQEYDVRMTALASTMEEKVDEWREKDEKLVRSTHLPTQPVKTSQMIWPHNMFETITMRQTPETKLLNRILMKVEESVESWEPNPFEYQGMRIRTKMGPSIALGHNSYFPPYGCLLIYMIVGLSWMDCSKDYKEPGLVEPAVYYPTYCPTTLSNHSTTEKAFGFDTLKLIANPRKSTYLLNEPKVDRHAINISHLYQIAEGISKMIESAERETFAQDGRDQIRSFLEGGDLCEMVILLCKRGAYLNEYVDVIRVIHPCQSARWHLEVERRAKPCDQLMKLAFKEQTRKNFIQLVKGNIGLGLVQSTVKYDDIIANKKIQLGSKVHFQPFQQPPPPPPQAPPHPLAPTPPQPPPAPAPFPVPPSQGQSNSLM
+>sp|Q16655|MAR1_HUMAN Melanoma antigen recognized by T-cells 1 OS=Homo sapiens OX=9606 GN=MLANA PE=1 SV=1
+MPREDAHFIYGYPKKGHGHSYTTAEEAAGIGILTVILGVLLLIGCWYCRRRNGYRALMDKSLHVGTQCALTRRCPQEGFDHRDSKVSLQEKNCEPVVPNAPPAYEKLSAEQSPPPYSP
+>DECOY_sp|Q16655|MAR1_HUMAN Melanoma antigen recognized by T-cells 1 OS=Homo sapiens OX=9606 GN=MLANA PE=1 SV=1
+PSYPPPSQEASLKEYAPPANPVVPECNKEQLSVKSDRHDFGEQPCRRTLACQTGVHLSKDMLARYGNRRRCYWCGILLLVGLIVTLIGIGAAEEATTYSHGHGKKPYGYIFHADERPM
+>sp|Q8TCQ1|MARH1_HUMAN E3 ubiquitin-protein ligase MARCH1 OS=Homo sapiens OX=9606 GN=MARCH1 PE=1 SV=1
+MLGWCEAIARNPHRIPNNTRTPEISGDLADASQTSTLNEKSPGRSASRSSNISKASSPTTGTAPRSQSRLSVCPSTQDICRICHCEGDEESPLITPCRCTGTLRFVHQSCLHQWIKSSDTRCCELCKYDFIMETKLKPLRKWEKLQMTTSERRKIFCSVTFHVIAITCVVWSLYVLIDRTAEEIKQGNDNGVLEWPFWTKLVVVAIGFTGGLVFMYVQCKVYVQLWRRLKAYNRVIFVQNCPDTAKKLEKNFSCNVNTDIKDAVVVPVPQTGANSLPSAEGGPPEVVSV
+>DECOY_sp|Q8TCQ1|MARH1_HUMAN E3 ubiquitin-protein ligase MARCH1 OS=Homo sapiens OX=9606 GN=MARCH1 PE=1 SV=1
+VSVVEPPGGEASPLSNAGTQPVPVVVADKIDTNVNCSFNKELKKATDPCNQVFIVRNYAKLRRWLQVYVKCQVYMFVLGGTFGIAVVVLKTWFPWELVGNDNGQKIEEATRDILVYLSWVVCTIAIVHFTVSCFIKRRESTTMQLKEWKRLPKLKTEMIFDYKCLECCRTDSSKIWQHLCSQHVFRLTGTCRCPTILPSEEDGECHCIRCIDQTSPCVSLRSQSRPATGTTPSSAKSINSSRSASRGPSKENLTSTQSADALDGSIEPTRTNNPIRHPNRAIAECWGLM
+>sp|Q86UD3|MARH3_HUMAN E3 ubiquitin-protein ligase MARCH3 OS=Homo sapiens OX=9606 GN=MARCH3 PE=1 SV=1
+MTTSRCSHLPEVLPDCTSSAAPVVKTVEDCGSLVNGQPQYVMQVSAKDGQLLSTVVRTLATQSPFNDRPMCRICHEGSSQEDLLSPCECTGTLGTIHRSCLEHWLSSSNTSYCELCHFRFAVERKPRPLVEWLRNPGPQHEKRTLFGDMVCFLFITPLATISGWLCLRGAVDHLHFSSRLEAVGLIALTVALFTIYLFWTLVSFRYHCRLYNEWRRTNQRVILLIPKSVNVPSNQPSLLGLHSVKRNSKETVV
+>DECOY_sp|Q86UD3|MARH3_HUMAN E3 ubiquitin-protein ligase MARCH3 OS=Homo sapiens OX=9606 GN=MARCH3 PE=1 SV=1
+VVTEKSNRKVSHLGLLSPQNSPVNVSKPILLIVRQNTRRWENYLRCHYRFSVLTWFLYITFLAVTLAILGVAELRSSFHLHDVAGRLCLWGSITALPTIFLFCVMDGFLTRKEHQPGPNRLWEVLPRPKREVAFRFHCLECYSTNSSSLWHELCSRHITGLTGTCECPSLLDEQSSGEHCIRCMPRDNFPSQTALTRVVTSLLQGDKASVQMVYQPQGNVLSGCDEVTKVVPAASSTCDPLVEPLHSCRSTTM
+>sp|Q9H992|MARH7_HUMAN E3 ubiquitin-protein ligase MARCH7 OS=Homo sapiens OX=9606 GN=MARCH7 PE=1 SV=1
+MESKPSRIPRRISVQPSSSLSARMMSGSRGSSLNDTYHSRDSSFRLDSEYQSTSASASASPFQSAWYSESEITQGARSRSQNQQRDHDSKRPKLSCTNCTTSAGRNVGNGLNTLSDSSWRHSQVPRSSSMVLGSFGTDLMRERRDLERRTDSSISNLMDYSHRSGDFTTSSYVQDRVPSYSQGARPKENSMSTLQLNTSSTNHQLPSEHQTILSSRDSRNSLRSNFSSRESESSRSNTQPGFSYSSSRDEAPIISNSERVVSSQRPFQESSDNEGRRTTRRLLSRIASSMSSTFFSRRSSQDSLNTRSLNSENSYVSPRILTASQSRSNVPSASEVPDNRASEASQGFRFLRRRWGLSSLSHNHSSESDSENFNQESEGRNTGPWLSSSLRNRCTPLFSRRRREGRDESSRIPTSDTSSRSHIFRRESNEVVHLEAQNDPLGAAANRPQASAASSSATTGGSTSDSAQGGRNTGISGILPGSLFRFAVPPALGSNLTDNVMITVDIIPSGWNSADGKSDKTKSAPSRDPERLQKIKESLLLEDSEEEEGDLCRICQMAAASSSNLLIEPCKCTGSLQYVHQDCMKKWLQAKINSGSSLEAVTTCELCKEKLELNLEDFDIHELHRAHANEQAEYEFISSGLYLVVLLHLCEQSFSDMMGNTNEPSTRVRFINLARTLQAHMEDLETSEDDSEEDGDHNRTFDIA
+>DECOY_sp|Q9H992|MARH7_HUMAN E3 ubiquitin-protein ligase MARCH7 OS=Homo sapiens OX=9606 GN=MARCH7 PE=1 SV=1
+AIDFTRNHDGDEESDDESTELDEMHAQLTRALNIFRVRTSPENTNGMMDSFSQECLHLLVVLYLGSSIFEYEAQENAHARHLEHIDFDELNLELKEKCLECTTVAELSSGSNIKAQLWKKMCDQHVYQLSGTCKCPEILLNSSSAAAMQCIRCLDGEEEESDELLLSEKIKQLREPDRSPASKTKDSKGDASNWGSPIIDVTIMVNDTLNSGLAPPVAFRFLSGPLIGSIGTNRGGQASDSTSGGTTASSSAASAQPRNAAAGLPDNQAELHVVENSERRFIHSRSSTDSTPIRSSEDRGERRRRSFLPTCRNRLSSSLWPGTNRGESEQNFNESDSESSHNHSLSSLGWRRRLFRFGQSAESARNDPVESASPVNSRSQSATLIRPSVYSNESNLSRTNLSDQSSRRSFFTSSMSSAIRSLLRRTTRRGENDSSEQFPRQSSVVRESNSIIPAEDRSSSYSFGPQTNSRSSESERSSFNSRLSNRSDRSSLITQHESPLQHNTSSTNLQLTSMSNEKPRAGQSYSPVRDQVYSSTTFDGSRHSYDMLNSISSDTRRELDRRERMLDTGFSGLVMSSSRPVQSHRWSSDSLTNLGNGVNRGASTTCNTCSLKPRKSDHDRQQNQSRSRAGQTIESESYWASQFPSASASASTSQYESDLRFSSDRSHYTDNLSSGRSGSMMRASLSSSPQVSIRRPIRSPKSEM
+>sp|Q86YJ5|MARH9_HUMAN E3 ubiquitin-protein ligase MARCH9 OS=Homo sapiens OX=9606 GN=MARCH9 PE=1 SV=2
+MLKSRLRMFLNELKLLVLTGGGRPRAEPQPRGGRGGGCGWAPFAGCSTRDGDGDEEEYYGSEPRARGLAGDKEPRAGPLPPPAPPLPPPGALDALSLSSSLDSGLRTPQCRICFQGPEQGELLSPCRCDGSVRCTHQPCLIRWISERGSWSCELCYFKYQVLAISTKNPLQWQAISLTVIEKVQIAAIVLGSLFLVASISWLIWSSLSPSAKWQRQDLLFQICYGMYGFMDVVCIGLIIHEGSSVYRIFKRWQAVNQQWKVLNYDKTKDIGGDAGGGTAGKSGPRNSRTGPTSGATSRPPAAQRMRTLLPQRCGYTILHLLGQLRPPDARSSSHSGREVVMRVTTV
+>DECOY_sp|Q86YJ5|MARH9_HUMAN E3 ubiquitin-protein ligase MARCH9 OS=Homo sapiens OX=9606 GN=MARCH9 PE=1 SV=2
+VTTVRMVVERGSHSSSRADPPRLQGLLHLITYGCRQPLLTRMRQAAPPRSTAGSTPGTRSNRPGSKGATGGGADGGIDKTKDYNLVKWQQNVAQWRKFIRYVSSGEHIILGICVVDMFGYMGYCIQFLLDQRQWKASPSLSSWILWSISAVLFLSGLVIAAIQVKEIVTLSIAQWQLPNKTSIALVQYKFYCLECSWSGRESIWRILCPQHTCRVSGDCRCPSLLEGQEPGQFCIRCQPTRLGSDLSSSLSLADLAGPPPLPPAPPPLPGARPEKDGALGRARPESGYYEEEDGDGDRTSCGAFPAWGCGGGRGGRPQPEARPRGGGTLVLLKLENLFMRLRSKLM
+>sp|O00187|MASP2_HUMAN Mannan-binding lectin serine protease 2 OS=Homo sapiens OX=9606 GN=MASP2 PE=1 SV=4
+MRLLTLLGLLCGSVATPLGPKWPEPVFGRLASPGFPGEYANDQERRWTLTAPPGYRLRLYFTHFDLELSHLCEYDFVKLSSGAKVLATLCGQESTDTERAPGKDTFYSLGSSLDITFRSDYSNEKPFTGFEAFYAAEDIDECQVAPGEAPTCDHHCHNHLGGFYCSCRAGYVLHRNKRTCSALCSGQVFTQRSGELSSPEYPRPYPKLSSCTYSISLEEGFSVILDFVESFDVETHPETLCPYDFLKIQTDREEHGPFCGKTLPHRIETKSNTVTITFVTDESGDHTGWKIHYTSTAQPCPYPMAPPNGHVSPVQAKYILKDSFSIFCETGYELLQGHLPLKSFTAVCQKDGSWDRPMPACSIVDCGPPDDLPSGRVEYITGPGVTTYKAVIQYSCEETFYTMKVNDGKYVCEADGFWTSSKGEKSLPVCEPVCGLSARTTGGRIYGGQKAKPGDFPWQVLILGGTTAAGALLYDNWVLTAAHAVYEQKHDASALDIRMGTLKRLSPHYTQAWSEAVFIHEGYTHDAGFDNDIALIKLNNKVVINSNITPICLPRKEAESFMRTDDIGTASGWGLTQRGFLARNLMYVDIPIVDHQKCTAAYEKPPYPRGSVTANMLCAGLESGGKDSCRGDSGGALVFLDSETERWFVGGIVSWGSMNCGEAGQYGVYTKVINYIPWIENIISDF
+>DECOY_sp|O00187|MASP2_HUMAN Mannan-binding lectin serine protease 2 OS=Homo sapiens OX=9606 GN=MASP2 PE=1 SV=4
+FDSIINEIWPIYNIVKTYVGYQGAEGCNMSGWSVIGGVFWRETESDLFVLAGGSDGRCSDKGGSELGACLMNATVSGRPYPPKEYAATCKQHDVIPIDVYMLNRALFGRQTLGWGSATGIDDTRMFSEAEKRPLCIPTINSNIVVKNNLKILAIDNDFGADHTYGEHIFVAESWAQTYHPSLRKLTGMRIDLASADHKQEYVAHAATLVWNDYLLAGAATTGGLILVQWPFDGPKAKQGGYIRGGTTRASLGCVPECVPLSKEGKSSTWFGDAECVYKGDNVKMTYFTEECSYQIVAKYTTVGPGTIYEVRGSPLDDPPGCDVISCAPMPRDWSGDKQCVATFSKLPLHGQLLEYGTECFISFSDKLIYKAQVPSVHGNPPAMPYPCPQATSTYHIKWGTHDGSEDTVFTITVTNSKTEIRHPLTKGCFPGHEERDTQIKLFDYPCLTEPHTEVDFSEVFDLIVSFGEELSISYTCSSLKPYPRPYEPSSLEGSRQTFVQGSCLASCTRKNRHLVYGARCSCYFGGLHNHCHHDCTPAEGPAVQCEDIDEAAYFAEFGTFPKENSYDSRFTIDLSSGLSYFTDKGPARETDTSEQGCLTALVKAGSSLKVFDYECLHSLELDFHTFYLRLRYGPPATLTWRREQDNAYEGPFGPSALRGFVPEPWKPGLPTAVSGCLLGLLTLLRM
+>sp|P43243|MATR3_HUMAN Matrin-3 OS=Homo sapiens OX=9606 GN=MATR3 PE=1 SV=2
+MSKSFQQSSLSRDSQGHGRDLSAAGIGLLAAATQSLSMPASLGRMNQGTARLASLMNLGMSSSLNQQGAHSALSSASTSSHNLQSIFNIGSRGPLPLSSQHRGDADQASNILASFGLSARDLDELSRYPEDKITPENLPQILLQLKRRRTEEGPTLSYGRDGRSATREPPYRVPRDDWEEKRHFRRDSFDDRGPSLNPVLDYDHGSRSQESGYYDRMDYEDDRLRDGERCRDDSFFGETSHNYHKFDSEYERMGRGPGPLQERSLFEKKRGAPPSSNIEDFHGLLPKGYPHLCSICDLPVHSNKEWSQHINGASHSRRCQLLLEIYPEWNPDNDTGHTMGDPFMLQQSTNPAPGILGPPPPSFHLGGPAVGPRGNLGAGNGNLQGPRHMQKGRVETSRVVHIMDFQRGKNLRYQLLQLVEPFGVISNHLILNKINEAFIEMATTEDAQAAVDYYTTTPALVFGKPVRVHLSQKYKRIKKPEGKPDQKFDQKQELGRVIHLSNLPHSGYSDSAVLKLAEPYGKIKNYILMRMKSQAFIEMETREDAMAMVDHCLKKALWFQGRCVKVDLSEKYKKLVLRIPNRGIDLLKKDKSRKRSYSPDGKESPSDKKSKTDGSQKTESSTEGKEQEEKSGEDGEKDTKDDQTEQEPNMLLESEDELLVDEEEAAALLESGSSVGDETDLANLGDVASDGKKEPSDKAVKKDGSASAAAKKKLKKVDKIEELDQENEAALENGIKNEENTEPGAESSENADDPNKDTSENADGQSDENKDDYTIPDEYRIGPYQPNVPVGIDYVIPKTGFYCKLCSLFYTNEEVAKNTHCSSLPHYQKLKKFLNKLAEERRQKKET
+>DECOY_sp|P43243|MATR3_HUMAN Matrin-3 OS=Homo sapiens OX=9606 GN=MATR3 PE=1 SV=2
+TEKKQRREEALKNLFKKLKQYHPLSSCHTNKAVEENTYFLSCLKCYFGTKPIVYDIGVPVNPQYPGIRYEDPITYDDKNEDSQGDANESTDKNPDDANESSEAGPETNEENKIGNELAAENEQDLEEIKDVKKLKKKAAASASGDKKVAKDSPEKKGDSAVDGLNALDTEDGVSSGSELLAAAEEEDVLLEDESELLMNPEQETQDDKTDKEGDEGSKEEQEKGETSSETKQSGDTKSKKDSPSEKGDPSYSRKRSKDKKLLDIGRNPIRLVLKKYKESLDVKVCRGQFWLAKKLCHDVMAMADERTEMEIFAQSKMRMLIYNKIKGYPEALKLVASDSYGSHPLNSLHIVRGLEQKQDFKQDPKGEPKKIRKYKQSLHVRVPKGFVLAPTTTYYDVAAQADETTAMEIFAENIKNLILHNSIVGFPEVLQLLQYRLNKGRQFDMIHVVRSTEVRGKQMHRPGQLNGNGAGLNGRPGVAPGGLHFSPPPPGLIGPAPNTSQQLMFPDGMTHGTDNDPNWEPYIELLLQCRRSHSAGNIHQSWEKNSHVPLDCISCLHPYGKPLLGHFDEINSSPPAGRKKEFLSREQLPGPGRGMREYESDFKHYNHSTEGFFSDDRCREGDRLRDDEYDMRDYYGSEQSRSGHDYDLVPNLSPGRDDFSDRRFHRKEEWDDRPVRYPPERTASRGDRGYSLTPGEETRRRKLQLLIQPLNEPTIKDEPYRSLEDLDRASLGFSALINSAQDADGRHQSSLPLPGRSGINFISQLNHSSTSASSLASHAGQQNLSSSMGLNMLSALRATGQNMRGLSAPMSLSQTAAALLGIGAASLDRGHGQSDRSLSSQQFSKSM
+>sp|Q7Z434|MAVS_HUMAN Mitochondrial antiviral-signaling protein OS=Homo sapiens OX=9606 GN=MAVS PE=1 SV=2
+MPFAEDKTYKYICRNFSNFCNVDVVEILPYLPCLTARDQDRLRATCTLSGNRDTLWHLFNTLQRRPGWVEYFIAALRGCELVDLADEVASVYQSYQPRTSDRPPDPLEPPSLPAERPGPPTPAAAHSIPYNSCREKEPSYPMPVQETQAPESPGENSEQALQTLSPRAIPRNPDGGPLESSSDLAALSPLTSSGHQEQDTELGSTHTAGATSSLTPSRGPVSPSVSFQPLARSTPRASRLPGPTGSVVSTGTSFSSSSPGLASAGAAEGKQGAESDQAEPIICSSGAEAPANSLPSKVPTTLMPVNTVALKVPANPASVSTVPSKLPTSSKPPGAVPSNALTNPAPSKLPINSTRAGMVPSKVPTSMVLTKVSASTVPTDGSSRNEETPAAPTPAGATGGSSAWLDSSSENRGLGSELSKPGVLASQVDSPFSGCFEDLAISASTSLGMGPCHGPEENEYKSEGTFGIHVAENPSIQLLEGNPGPPADPDGGPRPQADRKFQEREVPCHRPSPGALWLQVAVTGVLVVTLLVVLYRRRLH
+>DECOY_sp|Q7Z434|MAVS_HUMAN Mitochondrial antiviral-signaling protein OS=Homo sapiens OX=9606 GN=MAVS PE=1 SV=2
+HLRRRYLVVLLTVVLVGTVAVQLWLAGPSPRHCPVEREQFKRDAQPRPGGDPDAPPGPNGELLQISPNEAVHIGFTGESKYENEEPGHCPGMGLSTSASIALDEFCGSFPSDVQSALVGPKSLESGLGRNESSSDLWASSGGTAGAPTPAAPTEENRSSGDTPVTSASVKTLVMSTPVKSPVMGARTSNIPLKSPAPNTLANSPVAGPPKSSTPLKSPVTSVSAPNAPVKLAVTNVPMLTTPVKSPLSNAPAEAGSSCIIPEAQDSEAGQKGEAAGASALGPSSSSFSTGTSVVSGTPGPLRSARPTSRALPQFSVSPSVPGRSPTLSSTAGATHTSGLETDQEQHGSSTLPSLAALDSSSELPGGDPNRPIARPSLTQLAQESNEGPSEPAQTEQVPMPYSPEKERCSNYPISHAAAPTPPGPREAPLSPPELPDPPRDSTRPQYSQYVSAVEDALDVLECGRLAAIFYEVWGPRRQLTNFLHWLTDRNGSLTCTARLRDQDRATLCPLYPLIEVVDVNCFNSFNRCIYKYTKDEAFPM
+>sp|Q8WWY6|MB3L1_HUMAN Methyl-CpG-binding domain protein 3-like 1 OS=Homo sapiens OX=9606 GN=MBD3L1 PE=1 SV=2
+MAKSSQRKQRDCVNQCKSKPGLSTSIPLRMSSYTFKRPVTRITPHPGNEVRYHQWEESLEKPQQVCWQRRLQGLQAYSSAGELSSTLDLANTLQKLVPSYTGGSLLEDLASGLEHSCPMPHLACSSDAVEIIPAEGVGISQLLCKQFLVTEEDIRKQEGKVKTVRERLAIALIADGLANEAEKVRDQEGRPEKR
+>DECOY_sp|Q8WWY6|MB3L1_HUMAN Methyl-CpG-binding domain protein 3-like 1 OS=Homo sapiens OX=9606 GN=MBD3L1 PE=1 SV=2
+RKEPRGEQDRVKEAENALGDAILAIALRERVTKVKGEQKRIDEETVLFQKCLLQSIGVGEAPIIEVADSSCALHPMPCSHELGSALDELLSGGTYSPVLKQLTNALDLTSSLEGASSYAQLGQLRRQWCVQQPKELSEEWQHYRVENGPHPTIRTVPRKFTYSSMRLPISTSLGPKSKCQNVCDRQKRQSSKAM
+>sp|A4D2B0|MBLC1_HUMAN Metallo-beta-lactamase domain-containing protein 1 OS=Homo sapiens OX=9606 GN=MBLAC1 PE=1 SV=1
+MRTEPLCGASPLLVPGDPYSVVVLLQGYAEPEGVGDAVRADGSVTLVLPQTRGPASSHRESPRGSGGAEAALEEAARGPILVDTGGPWAREALLGALAGQGVAPGDVTLVVGTHGHSDHIGNLGLFPGAALLVSHDFCLPGGRYLPHGLGEGQPLRLGPGLEVWATPGHGGQRDVSVVVAGTALGTVVVAGDVFERDGDEDSWQALSEDPAAQERSRKRVLVVADVVVPGHGPPFRVLREASQPETEGGGNSQQEPVVGDEEPALH
+>DECOY_sp|A4D2B0|MBLC1_HUMAN Metallo-beta-lactamase domain-containing protein 1 OS=Homo sapiens OX=9606 GN=MBLAC1 PE=1 SV=1
+HLAPEEDGVVPEQQSNGGGETEPQSAERLVRFPPGHGPVVVDAVVLVRKRSREQAAPDESLAQWSDEDGDREFVDGAVVVTGLATGAVVVSVDRQGGHGPTAWVELGPGLRLPQGEGLGHPLYRGGPLCFDHSVLLAAGPFLGLNGIHDSHGHTGVVLTVDGPAVGQGALAGLLAERAWPGGTDVLIPGRAAEELAAEAGGSGRPSERHSSAPGRTQPLVLTVSGDARVADGVGEPEAYGQLLVVVSYPDGPVLLPSAGCLPETRM
+>sp|Q68D91|MBLC2_HUMAN Metallo-beta-lactamase domain-containing protein 2 OS=Homo sapiens OX=9606 GN=MBLAC2 PE=1 SV=3
+MSALEWYAHKSLGDGIFWIQERFYESGNRANIWLVRGSEQDVVIDTGLGLRSLPEYLYSSGLLQDREAKEDAARRPLLAVATHVHFDHSGGLYQFDRVAVHHAEAEALARGDNFETVTWLSDSEVVRTPSPGWRARQFRVQAVQPTLILQDGDVINLGDRQLTVMHMPGHSRGSICLHDKDRKILFSGDVVYDGSLIDWLPYSRISDYVGTCERLIELVDRGLVEKVLPGHFNTFGAERLFRLASNYISKAGICHKVSTFAMRSLASLALRVTNSRTSP
+>DECOY_sp|Q68D91|MBLC2_HUMAN Metallo-beta-lactamase domain-containing protein 2 OS=Homo sapiens OX=9606 GN=MBLAC2 PE=1 SV=3
+PSTRSNTVRLALSALSRMAFTSVKHCIGAKSIYNSALRFLREAGFTNFHGPLVKEVLGRDVLEILRECTGVYDSIRSYPLWDILSGDYVVDGSFLIKRDKDHLCISGRSHGPMHMVTLQRDGLNIVDGDQLILTPQVAQVRFQRARWGPSPTRVVESDSLWTVTEFNDGRALAEAEAHHVAVRDFQYLGGSHDFHVHTAVALLPRRAADEKAERDQLLGSSYLYEPLSRLGLGTDIVVDQESGRVLWINARNGSEYFREQIWFIGDGLSKHAYWELASM
+>sp|Q5VZF2|MBNL2_HUMAN Muscleblind-like protein 2 OS=Homo sapiens OX=9606 GN=MBNL2 PE=1 SV=2
+MALNVAPVRDTKWLTLEVCRQFQRGTCSRSDEECKFAHPPKSCQVENGRVIACFDSLKGRCSRENCKYLHPPTHLKTQLEINGRNNLIQQKTAAAMLAQQMQFMFPGTPLHPVPTFPVGPAIGTNTAISFAPYLAPVTPGVGLVPTEILPTTPVIVPGSPPVTVPGSTATQKLLRTDKLEVCREFQRGNCARGETDCRFAHPADSTMIDTSDNTVTVCMDYIKGRCMREKCKYFHPPAHLQAKIKAAQHQANQAAVAAQAAAAAATVMAFPPGALHPLPKRQALEKSNGTSAVFNPSVLHYQQALTSAQLQQHAAFIPTGSVLCMTPATSIDNSEIISRNGMECQESALRITKHCYCTYYPVSSSIELPQTAC
+>DECOY_sp|Q5VZF2|MBNL2_HUMAN Muscleblind-like protein 2 OS=Homo sapiens OX=9606 GN=MBNL2 PE=1 SV=2
+CATQPLEISSSVPYYTCYCHKTIRLASEQCEMGNRSIIESNDISTAPTMCLVSGTPIFAAHQQLQASTLAQQYHLVSPNFVASTGNSKELAQRKPLPHLAGPPFAMVTAAAAAAQAAVAAQNAQHQAAKIKAQLHAPPHFYKCKERMCRGKIYDMCVTVTNDSTDIMTSDAPHAFRCDTEGRACNGRQFERCVELKDTRLLKQTATSGPVTVPPSGPVIVPTTPLIETPVLGVGPTVPALYPAFSIATNTGIAPGVPFTPVPHLPTGPFMFQMQQALMAAATKQQILNNRGNIELQTKLHTPPHLYKCNERSCRGKLSDFCAIVRGNEVQCSKPPHAFKCEEDSRSCTGRQFQRCVELTLWKTDRVPAVNLAM
+>sp|Q9NUK0|MBNL3_HUMAN Muscleblind-like protein 3 OS=Homo sapiens OX=9606 GN=MBNL3 PE=1 SV=2
+MTAVNVALIRDTKWLTLEVCREFQRGTCSRADADCKFAHPPRVCHVENGRVVACFDSLKGRCTRENCKYLHPPPHLKTQLEINGRNNLIQQKTAAAMFAQQMQLMLQNAQMSSLGSFPMTPSIPANPPMAFNPYIPHPGMGLVPAELVPNTPVLIPGNPPLAMPGAVGPKLMRSDKLEVCREFQRGNCTRGENDCRYAHPTDASMIEASDNTVTICMDYIKGRCSREKCKYFHPPAHLQARLKAAHHQMNHSAASAMALQPGTLQLIPKRSALEKPNGATPVFNPTVFHCQQALTNLQLPQPAFIPAGPILCMAPASNIVPMMHGATPTTVSAATTPATSVPFAAPTTGNQLKF
+>DECOY_sp|Q9NUK0|MBNL3_HUMAN Muscleblind-like protein 3 OS=Homo sapiens OX=9606 GN=MBNL3 PE=1 SV=2
+FKLQNGTTPAAFPVSTAPTTAASVTTPTAGHMMPVINSAPAMCLIPGAPIFAPQPLQLNTLAQQCHFVTPNFVPTAGNPKELASRKPILQLTGPQLAMASAASHNMQHHAAKLRAQLHAPPHFYKCKERSCRGKIYDMCITVTNDSAEIMSADTPHAYRCDNEGRTCNGRQFERCVELKDSRMLKPGVAGPMALPPNGPILVPTNPVLEAPVLGMGPHPIYPNFAMPPNAPISPTMPFSGLSSMQANQLMLQMQQAFMAAATKQQILNNRGNIELQTKLHPPPHLYKCNERTCRGKLSDFCAVVRGNEVHCVRPPHAFKCDADARSCTGRQFERCVELTLWKTDRILAVNVATM
+>sp|Q96N66|MBOA7_HUMAN Lysophospholipid acyltransferase 7 OS=Homo sapiens OX=9606 GN=MBOAT7 PE=1 SV=2
+MSPEEWTYLVVLLISIPIGFLFKKAGPGLKRWGAAAVGLGLTLFTCGPHTLHSLVTILGTWALIQAQPCSCHALALAWTFSYLLFFRALSLLGLPTPTPFTNAVQLLLTLKLVSLASEVQDLHLAQRKEMASGFSKGPTLGLLPDVPSLMETLSYSYCYVGIMTGPFFRYRTYLDWLEQPFPGAVPSLRPLLRRAWPAPLFGLLFLLSSHLFPLEAVREDAFYARPLPARLFYMIPVFFAFRMRFYVAWIAAECGCIAAGFGAYPVAAKARAGGGPTLQCPPPSSPEKAASLEYDYETIRNIDCYSTDFCVRVRDGMRYWNMTVQWWLAQYIYKSAPARSYVLRSAWTMLLSAYWHGLHPGYYLSFLTIPLCLAAEGRLESALRGRLSPGGQKAWDWVHWFLKMRAYDYMCMGFVLLSLADTLRYWASIYFCIHFLALAALGLGLALGGGSPSRRKAASQPTSLAPEKLREE
+>DECOY_sp|Q96N66|MBOA7_HUMAN Lysophospholipid acyltransferase 7 OS=Homo sapiens OX=9606 GN=MBOAT7 PE=1 SV=2
+EERLKEPALSTPQSAAKRRSPSGGGLALGLGLAALALFHICFYISAWYRLTDALSLLVFGMCMYDYARMKLFWHVWDWAKQGGPSLRGRLASELRGEAALCLPITLFSLYYGPHLGHWYASLLMTWASRLVYSRAPASKYIYQALWWQVTMNWYRMGDRVRVCFDTSYCDINRITEYDYELSAAKEPSSPPPCQLTPGGGARAKAAVPYAGFGAAICGCEAAIWAVYFRMRFAFFVPIMYFLRAPLPRAYFADERVAELPFLHSSLLFLLGFLPAPWARRLLPRLSPVAGPFPQELWDLYTRYRFFPGTMIGVYCYSYSLTEMLSPVDPLLGLTPGKSFGSAMEKRQALHLDQVESALSVLKLTLLLQVANTFPTPTPLGLLSLARFFLLYSFTWALALAHCSCPQAQILAWTGLITVLSHLTHPGCTFLTLGLGVAAAGWRKLGPGAKKFLFGIPISILLVVLYTWEEPSM
+>sp|Q93052|LPP_HUMAN Lipoma-preferred partner OS=Homo sapiens OX=9606 GN=LPP PE=1 SV=1
+MSHPSWLPPKSTGEPLGHVPARMETTHSFGNPSISVSTQQPPKKFAPVVAPKPKYNPYKQPGGEGDFLPPPPPPLDDSSALPSISGNFPPPPPLDEEAFKVQGNPGGKTLEERRSSLDAEIDSLTSILADLECSSPYKPRPPQSSTGSTASPPVSTPVTGHKRMVIPNQPPLTATKKSTLKPQPAPQAGPIPVAPIGTLKPQPQPVPASYTTASTSSRPTFNVQVKSAQPSPHYMAAPSSGQIYGSGPQGYNTQPVPVSGQCPPPSTRGGMDYAYIPPPGLQPEPGYGYAPNQGRYYEGYYAAGPGYGGRNDSDPTYGQQGHPNTWKREPGYTPPGAGNQNPPGMYPVTGPKKTYITDPVSAPCAPPLQPKGGHSGQLGPSSVAPSFRPEDELEHLTKKMLYDMENPPADEYFGRCARCGENVVGEGTGCTAMDQVFHVDCFTCIICNNKLRGQPFYAVEKKAYCEPCYINTLEQCNVCSKPIMERILRATGKAYHPHCFTCVMCHRSLDGIPFTVDAGGLIHCIEDFHKKFAPRCSVCKEPIMPAPGQEETVRIVALDRDFHVHCYRCEDCGGLLSEGDNQGCYPLDGHILCKTCNSARIRVLTAKASTDL
+>DECOY_sp|Q93052|LPP_HUMAN Lipoma-preferred partner OS=Homo sapiens OX=9606 GN=LPP PE=1 SV=1
+LDTSAKATLVRIRASNCTKCLIHGDLPYCGQNDGESLLGGCDECRYCHVHFDRDLAVIRVTEEQGPAPMIPEKCVSCRPAFKKHFDEICHILGGADVTFPIGDLSRHCMVCTFCHPHYAKGTARLIREMIPKSCVNCQELTNIYCPECYAKKEVAYFPQGRLKNNCIICTFCDVHFVQDMATCGTGEGVVNEGCRACRGFYEDAPPNEMDYLMKKTLHELEDEPRFSPAVSSPGLQGSHGGKPQLPPACPASVPDTIYTKKPGTVPYMGPPNQNGAGPPTYGPERKWTNPHGQQGYTPDSDNRGGYGPGAAYYGEYYRGQNPAYGYGPEPQLGPPPIYAYDMGGRTSPPPCQGSVPVPQTNYGQPGSGYIQGSSPAAMYHPSPQASKVQVNFTPRSSTSATTYSAPVPQPQPKLTGIPAVPIPGAQPAPQPKLTSKKTATLPPQNPIVMRKHGTVPTSVPPSATSGTSSQPPRPKYPSSCELDALISTLSDIEADLSSRREELTKGGPNGQVKFAEEDLPPPPPFNGSISPLASSDDLPPPPPPLFDGEGGPQKYPNYKPKPAVVPAFKKPPQQTSVSISPNGFSHTTEMRAPVHGLPEGTSKPPLWSPHSM
+>sp|Q6ZQY2|LR74B_HUMAN Leucine-rich repeat-containing protein 74B OS=Homo sapiens OX=9606 GN=LRRC74B PE=2 SV=3
+MRGSCERSGEDEEQKEEAMVACGRLSGVPEAEQGPEANWDSDLETEGTDGLGELVRDTLYLRSCRAHSVVPISCFLRQGSAQELNLRHRGLGPQGARALASSLSSNPYVKRLDLRDNGLCGAGAEALAGALSKSSSIHDVDLSENQLGVAGAQALCAALTVNQAMRKMQLSGNGLEEQAAQHLAELLLAHTDLKSLDLSYNQLNDQAGETLGPALAENTGLTELNVSWNHLRGPGAVAFARGLEANIFLKVLDISYNGFGDPGASAVGEALKANNVLEELNMSNNRISAMGALSLGLGLRVNQTLRILVVSRNPMRSEGCFGLLKSVQDNPASALELLDFSDIQVNAEFDGLASSVRGILPELCIKTGACRVEYKKELLPVFRSALPASVPK
+>DECOY_sp|Q6ZQY2|LR74B_HUMAN Leucine-rich repeat-containing protein 74B OS=Homo sapiens OX=9606 GN=LRRC74B PE=2 SV=3
+KPVSAPLASRFVPLLEKKYEVRCAGTKICLEPLIGRVSSALGDFEANVQIDSFDLLELASAPNDQVSKLLGFCGESRMPNRSVVLIRLTQNVRLGLGLSLAGMASIRNNSMNLEELVNNAKLAEGVASAGPDGFGNYSIDLVKLFINAELGRAFAVAGPGRLHNWSVNLETLGTNEALAPGLTEGAQDNLQNYSLDLSKLDTHALLLEALHQAAQEELGNGSLQMKRMAQNVTLAACLAQAGAVGLQNESLDVDHISSSKSLAGALAEAGAGCLGNDRLDLRKVYPNSSLSSALARAGQPGLGRHRLNLEQASGQRLFCSIPVVSHARCSRLYLTDRVLEGLGDTGETELDSDWNAEPGQEAEPVGSLRGCAVMAEEKQEEDEGSRECSGRM
+>sp|Q86YD5|LRAD3_HUMAN Low-density lipoprotein receptor class A domain-containing protein 3 OS=Homo sapiens OX=9606 GN=LDLRAD3 PE=2 SV=3
+MWLLGPLCLLLSSAAESQLLPGNNFTNECNIPGNFMCSNGRCIPGAWQCDGLPDCFDKSDEKECPKAKSKCGPTFFPCASGIHCIIGRFRCNGFEDCPDGSDEENCTANPLLCSTARYHCKNGLCIDKSFICDGQNNCQDNSDEESCESSQEPGSGQVFVTSENQLVYYPSITYAIIGSSVIFVLVVALLALVLHHQRKRNNLMTLPVHRLQHPVLLSRLVVLDHPHHCNVTYNVNNGIQYVASQAEQNASEVGSPPSYSEALLDQRPAWYDLPPPPYSSDTESLNQADLPPYRSRSGSANSASSQAASSLLSVEDTSHSPGQPGPQEGTAEPRDSEPSQGTEEV
+>DECOY_sp|Q86YD5|LRAD3_HUMAN Low-density lipoprotein receptor class A domain-containing protein 3 OS=Homo sapiens OX=9606 GN=LDLRAD3 PE=2 SV=3
+VEETGQSPESDRPEATGEQPGPQGPSHSTDEVSLLSSAAQSSASNASGSRSRYPPLDAQNLSETDSSYPPPPLDYWAPRQDLLAESYSPPSGVESANQEAQSAVYQIGNNVNYTVNCHHPHDLVVLRSLLVPHQLRHVPLTMLNNRKRQHHLVLALLAVVLVFIVSSGIIAYTISPYYVLQNESTVFVQGSGPEQSSECSEEDSNDQCNNQGDCIFSKDICLGNKCHYRATSCLLPNATCNEEDSGDPCDEFGNCRFRGIICHIGSACPFFTPGCKSKAKPCEKEDSKDFCDPLGDCQWAGPICRGNSCMFNGPINCENTFNNGPLLQSEAASSLLLCLPGLLWM
+>sp|Q5BKY1|LRC10_HUMAN Leucine-rich repeat-containing protein 10 OS=Homo sapiens OX=9606 GN=LRRC10 PE=2 SV=1
+MGNTIRALVAFIPADRCQNYVVRDLREMPLDKMVDLSGSQLRRFPLHVCSFRELVKLYLSDNHLNSLPPELGQLQNLQILALDFNNFKALPQVVCTLKQLCILYLGNNKLCDLPSELSLLQNLRTLWIEANCLTQLPDVVCELSLLKTLHAGSNALRLLPGQLRRLQELRTIWLSGNRLTDFPTVLLHMPFLEVIDVDWNSIRYFPSLAHLSSLKLVIYDHNPCRNAPKVAKGVRRVGRWAEETPEPDPRKARRYALVREESQELQAPVPLLPPTNS
+>DECOY_sp|Q5BKY1|LRC10_HUMAN Leucine-rich repeat-containing protein 10 OS=Homo sapiens OX=9606 GN=LRRC10 PE=2 SV=1
+SNTPPLLPVPAQLEQSEERVLAYRRAKRPDPEPTEEAWRGVRRVGKAVKPANRCPNHDYIVLKLSSLHALSPFYRISNWDVDIVELFPMHLLVTPFDTLRNGSLWITRLEQLRRLQGPLLRLANSGAHLTKLLSLECVVDPLQTLCNAEIWLTRLNQLLSLESPLDCLKNNGLYLICLQKLTCVVQPLAKFNNFDLALIQLNQLQGLEPPLSNLHNDSLYLKVLERFSCVHLPFRRLQSGSLDVMKDLPMERLDRVVYNQCRDAPIFAVLARITNGM
+>sp|Q8N6Y2|LRC17_HUMAN Leucine-rich repeat-containing protein 17 OS=Homo sapiens OX=9606 GN=LRRC17 PE=2 SV=1
+MRVVTIVILLCFCKAAELRKASPGSVRSRVNHGRAGGGRRGSNPVKRYAPGLPCDVYTYLHEKYLDCQERKLVYVLPGWPQDLLHMLLARNKIRTLKNNMFSKFKKLKSLDLQQNEISKIESEAFFGLNKLTTLLLQHNQIKVLTEEVFIYTPLLSYLRLYDNPWHCTCEIETLISMLQIPRNRNLGNYAKCESPQEQKNKKLRQIKSEQLCNEEEKEQLDPKPQVSGRPPVIKPEVDSTFCHNYVFPIQTLDCKRKELKKVPNNIPPDIVKLDLSYNKINQLRPKEFEDVHELKKLNLSSNGIEFIDPAAFLGLTHLEELDLSNNSLQNFDYGVLEDLYFLKLLWLRDNPWRCDYNIHYLYYWLKHHYNVHFNGLECKTPEEYKGWSVGKYIRSYYEECPKDKLPAYPESFDQDTEDDEWEKKHRDHTAKKQSVIITIVG
+>DECOY_sp|Q8N6Y2|LRC17_HUMAN Leucine-rich repeat-containing protein 17 OS=Homo sapiens OX=9606 GN=LRRC17 PE=2 SV=1
+GVITIIVSQKKATHDRHKKEWEDDETDQDFSEPYAPLKDKPCEEYYSRIYKGVSWGKYEEPTKCELGNFHVNYHHKLWYYLYHINYDCRWPNDRLWLLKLFYLDELVGYDFNQLSNNSLDLEELHTLGLFAAPDIFEIGNSSLNLKKLEHVDEFEKPRLQNIKNYSLDLKVIDPPINNPVKKLEKRKCDLTQIPFVYNHCFTSDVEPKIVPPRGSVQPKPDLQEKEEENCLQESKIQRLKKNKQEQPSECKAYNGLNRNRPIQLMSILTEIECTCHWPNDYLRLYSLLPTYIFVEETLVKIQNHQLLLTTLKNLGFFAESEIKSIENQQLDLSKLKKFKSFMNNKLTRIKNRALLMHLLDQPWGPLVYVLKREQCDLYKEHLYTYVDCPLGPAYRKVPNSGRRGGGARGHNVRSRVSGPSAKRLEAAKCFCLLIVITVVRM
+>sp|Q8N386|LRC25_HUMAN Leucine-rich repeat-containing protein 25 OS=Homo sapiens OX=9606 GN=LRRC25 PE=1 SV=2
+MGGTLAWTLLLPLLLRESDSLEPSCTVSSADVDWNAEFSATCLNFSGLSLSLPHNQSLRASNVILLDLSGNGLRELPVTFFAHLQKLEVLNVLRNPLSRVDGALAARCDLDLQADCNCALESWHDIRRDNCSGQKPLLCWDTTSSQHNLSAFLEVSCAPGLASATIGAVVVSGCLLLGLAIAGPVLAWRLWRCRVARSRELNKPWAAQDGPKPGLGLQPRYGSRSAPKPQVAVPSCPSTPDYENMFVGQPAAEHQWDEQGAHPSEDNDFYINYKDIDLASQPVYCNLQSLGQAPMDEEEYVIPGH
+>DECOY_sp|Q8N386|LRC25_HUMAN Leucine-rich repeat-containing protein 25 OS=Homo sapiens OX=9606 GN=LRRC25 PE=1 SV=2
+HGPIVYEEEDMPAQGLSQLNCYVPQSALDIDKYNIYFDNDESPHAGQEDWQHEAAPQGVFMNEYDPTSPCSPVAVQPKPASRSGYRPQLGLGPKPGDQAAWPKNLERSRAVRCRWLRWALVPGAIALGLLLCGSVVVAGITASALGPACSVELFASLNHQSSTTDWCLLPKQGSCNDRRIDHWSELACNCDAQLDLDCRAALAGDVRSLPNRLVNLVELKQLHAFFTVPLERLGNGSLDLLIVNSARLSQNHPLSLSLGSFNLCTASFEANWDVDASSVTCSPELSDSERLLLPLLLTWALTGGM
+>sp|Q8WV35|LRC29_HUMAN Leucine-rich repeat-containing protein 29 OS=Homo sapiens OX=9606 GN=LRRC29 PE=1 SV=1
+MYSSGWPAGAAEPRHGRGRELAQALGCMHGAPSQLASLSLAHCSSLKSRPELEHQASGTKDACPEPQGPSLLTLRALQELDLTACSKLTDASLAKVLQFLQLRQLSLSLLPELTDNGLVAVARGCPSLEHLALSHCSRLSDKGWAQAASSWPRLQHLNLSSCSQLIEQTLDAIGQACRQLRVLDVATCPGINMAAVRRFQAQLPQVSCVQSRFVGGADLTLTL
+>DECOY_sp|Q8WV35|LRC29_HUMAN Leucine-rich repeat-containing protein 29 OS=Homo sapiens OX=9606 GN=LRRC29 PE=1 SV=1
+LTLTLDAGGVFRSQVCSVQPLQAQFRRVAAMNIGPCTAVDLVRLQRCAQGIADLTQEILQSCSSLNLHQLRPWSSAAQAWGKDSLRSCHSLALHELSPCGRAVAVLGNDTLEPLLSLSLQRLQLFQLVKALSADTLKSCATLDLEQLARLTLLSPGQPEPCADKTGSAQHELEPRSKLSSCHALSLSALQSPAGHMCGLAQALERGRGHRPEAAGAPWGSSYM
+>sp|Q6UY01|LRC31_HUMAN Leucine-rich repeat-containing protein 31 OS=Homo sapiens OX=9606 GN=LRRC31 PE=2 SV=1
+MSQTRKKTSSEGETKPQTSTVNKFLRGSNAESRKEDNDLKTSDSQPSDWIQKTATSETAKPLSSEMEWRSSMEKNEHFLQKLGKKAVNKCLDLNNCGLTTADMKEMVALLPFLPDLEELDISWNGFVGGTLLSITQQMHLVSKLKILRLGSCRLTTDDVQALGEAFEMIPELEELNLSWNSKVGGNLPLILQKFQKGSKIQMIELVDCSLTSEDGTFLGQLLPMLQSLEVLDLSINRDIVGSLNSIAQGLKSTSNLKVLKLHSCGLSQKSVKILDAAFRYLGELRKLDLSCNKDLGGGFEDSPAQLVMLKHLQVLDLHQCSLTADDVMSLTQVIPLLSNLQELDLSANKKMGSSSENLLSRLRFLPALKSLVINNCALESETFTALAEASVHLSALEVFNLSWNKCVGGNLKLLLETLKLSMSLQVLRLSSCSLVTEDVALLASVIQTGHLAKLQKLDLSYNDSICDAGWTMFCQNVRFLKELIELDISLRPSNFRDCGQWFRHLLYAVTKLPQITEIGMKRWILPASQEEELECFDQDKKRSIHFDHGGFQ
+>DECOY_sp|Q6UY01|LRC31_HUMAN Leucine-rich repeat-containing protein 31 OS=Homo sapiens OX=9606 GN=LRRC31 PE=2 SV=1
+QFGGHDFHISRKKDQDFCELEEEQSAPLIWRKMGIETIQPLKTVAYLLHRFWQGCDRFNSPRLSIDLEILEKLFRVNQCFMTWGADCISDNYSLDLKQLKALHGTQIVSALLAVDETVLSCSSLRLVQLSMSLKLTELLLKLNGGVCKNWSLNFVELASLHVSAEALATFTESELACNNIVLSKLAPLFRLRSLLNESSSGMKKNASLDLEQLNSLLPIVQTLSMVDDATLSCQHLDLVQLHKLMVLQAPSDEFGGGLDKNCSLDLKRLEGLYRFAADLIKVSKQSLGCSHLKLVKLNSTSKLGQAISNLSGVIDRNISLDLVELSQLMPLLQGLFTGDESTLSCDVLEIMQIKSGKQFKQLILPLNGGVKSNWSLNLEELEPIMEFAEGLAQVDDTTLRCSGLRLIKLKSVLHMQQTISLLTGGVFGNWSIDLEELDPLFPLLAVMEKMDATTLGCNNLDLCKNVAKKGLKQLFHENKEMSSRWEMESSLPKATESTATKQIWDSPQSDSTKLDNDEKRSEANSGRLFKNVTSTQPKTEGESSTKKRTQSM
+>sp|Q9Y546|LRC42_HUMAN Leucine-rich repeat-containing protein 42 OS=Homo sapiens OX=9606 GN=LRRC42 PE=1 SV=1
+MSYYLSSENHLDPGPIYMRENGQLHMVNLALDGVRSSLQKPRPFRLFPKGFSVELCMNREDDTARKEKTDHFIFTYTREGNLRYSAKSLFSLVLGFISDNVDHIDSLIGFPEQIAEKLFSAAEARQKFTEPGAGLRALQKFTEAYGSLVLCSLCLRNRYLVISEKLEEIKSFRELTCLDLSCCKLGDEHELLEHLTNEALSSVTQLHLKDNCLSDAGVRKMTAPVRVMKRGLENLTLLDLSCNPEITDAGIGYLFSFRKLNCLDISGTGLKDIKTVKHKLQTHIGLVHSKVPLKEFDHSNCKTEGWADQIVLQWERVTAEAVKPRETSEPRAAAQRFYGKRSRAEAPLKCPLADTHMNSSEKLQFYKEKAPDCHGPVLKHEAISSQESKKSKKRPFEESETEQNNSSQPSKQKYVCLAVEDWDLLNSY
+>DECOY_sp|Q9Y546|LRC42_HUMAN Leucine-rich repeat-containing protein 42 OS=Homo sapiens OX=9606 GN=LRRC42 PE=1 SV=1
+YSNLLDWDEVALCVYKQKSPQSSNNQETESEEFPRKKSKKSEQSSIAEHKLVPGHCDPAKEKYFQLKESSNMHTDALPCKLPAEARSRKGYFRQAAARPESTERPKVAEATVREWQLVIQDAWGETKCNSHDFEKLPVKSHVLGIHTQLKHKVTKIDKLGTGSIDLCNLKRFSFLYGIGADTIEPNCSLDLLTLNELGRKMVRVPATMKRVGADSLCNDKLHLQTVSSLAENTLHELLEHEDGLKCCSLDLCTLERFSKIEELKESIVLYRNRLCLSCLVLSGYAETFKQLARLGAGPETFKQRAEAASFLKEAIQEPFGILSDIHDVNDSIFGLVLSFLSKASYRLNGERTYTFIFHDTKEKRATDDERNMCLEVSFGKPFLRFPRPKQLSSRVGDLALNVMHLQGNERMYIPGPDLHNESSLYYSM
+>sp|Q96FV0|LRC46_HUMAN Leucine-rich repeat-containing protein 46 OS=Homo sapiens OX=9606 GN=LRRC46 PE=2 SV=1
+MSGGKSAQGPEEGGVCITEALITKRNLTFPEDGELSEKMFHTLDELQTVRLDREGITTIRNLEGLQNLHSLYLQGNKIQQIENLACIPSLRFLSLAGNQIRQVENLLDLPCLQFLDLSENLIETLKLDEFPQSLLILNLSGNSCTNQDGYRELVTEALPLLLDLDGQPVVERWISDEEDEASSDEEFPELSGPFCSERGFLKELEQELSRHREHRQQTALTEHLLRMEMQPTLTDLPLLPGVPMAGDSSPSATPAQGEETVPEAVSSPQASSPTKKPCSLIPRGHQSSFWGRKGARAATAPKASVAEAPSTTKTTAKRSKK
+>DECOY_sp|Q96FV0|LRC46_HUMAN Leucine-rich repeat-containing protein 46 OS=Homo sapiens OX=9606 GN=LRRC46 PE=2 SV=1
+KKSRKATTKTTSPAEAVSAKPATAARAGKRGWFSSQHGRPILSCPKKTPSSAQPSSVAEPVTEEGQAPTASPSSDGAMPVGPLLPLDTLTPQMEMRLLHETLATQQRHERHRSLEQELEKLFGRESCFPGSLEPFEEDSSAEDEEDSIWREVVPQGDLDLLLPLAETVLERYGDQNTCSNGSLNLILLSQPFEDLKLTEILNESLDLFQLCPLDLLNEVQRIQNGALSLFRLSPICALNEIQQIKNGQLYLSHLNQLGELNRITTIGERDLRVTQLEDLTHFMKESLEGDEPFTLNRKTILAETICVGGEEPGQASKGGSM
+>sp|Q8IUZ0|LRC49_HUMAN Leucine-rich repeat-containing protein 49 OS=Homo sapiens OX=9606 GN=LRRC49 PE=2 SV=2
+MIPGKYRSVSGRAANNVNCGLHLVIQTSSLPEKNKVEFKLNKDTSSFPGRLLQHDLERNYSSRQGDHINLVSSSLSSFPILQRSSEEKILYSDRLSLERQKLTVCPIINGEDHLRLLNFQHNFITRIQNISNLQKLISLDLYDNQIEEISGLSTLRCLRVLLLGKNRIKKISNLENLKSLDVLDLHGNQITKIENINHLCELRVLNLARNFLSHVDNLNGLDSLTELNLRHNQITFVRDVDNLPCLQHLFLSFNNISSFDSVSCLADSSSLSDITFDGNPIAQESWYKHTVLQNMMQLRQLDMKRITEEERRMASVLAKKEEEKKRESHKQSLLKEKKRLTINNVARQWDLQQQRVANIATNEDRKDSDSPQDPCQIDGSTLSAFPEETGPLDSGLNNALQGLSVIDTYLVEVDGDTLSLYGSGALESLDRNWSVQTAGMITTVSFTFIEFDEIVQVLPKLKIKFPNSLHLKFKETNLVMLQQFNALAQLRRIDQLTIDPQGNPVVNFTLWKYYVLFRLSHFSMQKINGTEVTQNDMIMAERLFGILAHVASSELPQYRLISILGDARKKQFRYLLESKGKKPGIINEENNDSKRLVGENTNRATLNYTTRDFYNEKLEEIKEKKKFCKTYIEDLVKEATEINMKNEALQKLWPQMFIELVRDAVIEIRNKNSYMKLCLQQITDQK
+>DECOY_sp|Q8IUZ0|LRC49_HUMAN Leucine-rich repeat-containing protein 49 OS=Homo sapiens OX=9606 GN=LRRC49 PE=2 SV=2
+KQDTIQQLCLKMYSNKNRIEIVADRVLEIFMQPWLKQLAENKMNIETAEKVLDEIYTKCFKKKEKIEELKENYFDRTTYNLTARNTNEGVLRKSDNNEENIIGPKKGKSELLYRFQKKRADGLISILRYQPLESSAVHALIGFLREAMIMDNQTVETGNIKQMSFHSLRFLVYYKWLTFNVVPNGQPDITLQDIRRLQALANFQQLMVLNTEKFKLHLSNPFKIKLKPLVQVIEDFEIFTFSVTTIMGATQVSWNRDLSELAGSGYLSLTDGDVEVLYTDIVSLGQLANNLGSDLPGTEEPFASLTSGDIQCPDQPSDSDKRDENTAINAVRQQQLDWQRAVNNITLRKKEKLLSQKHSERKKEEEKKALVSAMRREEETIRKMDLQRLQMMNQLVTHKYWSEQAIPNGDFTIDSLSSSDALCSVSDFSSINNFSLFLHQLCPLNDVDRVFTIQNHRLNLETLSDLGNLNDVHSLFNRALNLVRLECLHNINEIKTIQNGHLDLVDLSKLNELNSIKKIRNKGLLLVRLCRLTSLGSIEEIQNDYLDLSILKQLNSINQIRTIFNHQFNLLRLHDEGNIIPCVTLKQRELSLRDSYLIKEESSRQLIPFSSLSSSVLNIHDGQRSSYNRELDHQLLRGPFSSTDKNLKFEVKNKEPLSSTQIVLHLGCNVNNAARGSVSRYKGPIM
+>sp|Q8IYG6|LRC56_HUMAN Leucine-rich repeat-containing protein 56 OS=Homo sapiens OX=9606 GN=LRRC56 PE=2 SV=1
+MDLGWDRSRGPRRSTSSVRVRELSWQGLHNPCPQSKGPGSQRDRLGEQLVEEYLSPARLQALARVDDLRLVRTLEMCVDTREGSLGNFGVHLPNLDQLKLNGSHLGSLRDLGTSLGHLQVLWLARCGLADLDGIASLPALKELYASYNNISDLSPLCLLEQLEVLDLEGNSVEDLGQVRYLQLCPRLAMLTLEGNLVCLQPAPGPTNKVPRGYNYRAEVRKLIPQLQVLDEVPAAHTGPPAPPRLSQDWLAVKEAIKKGNGLPPLDCPRGAPIRRLDPELSLPETQSRASRPWPFSLLVRGGPLPEGLLSEDLAPEDNTSSLTHGAGQVLCGNPTKGLRERRHQCQAREPPEQLPQHRPGDPAASTSTPEPDPADSSDFLALAGLRAWREHGVRPLPYRHPESQQEGAVAPWGPRRVPEEQVHQAEPKTPSSPPSLASEPSGTSSQHLVPSPPKHPRPRDSGSSSPRWSTDLQSRGRRLRVLGSWGPGLGDGVAAVPVLRALEVASRLSPRAQGCPGPKPAPDAAARPPRAAELSHPSPVPT
+>DECOY_sp|Q8IYG6|LRC56_HUMAN Leucine-rich repeat-containing protein 56 OS=Homo sapiens OX=9606 GN=LRRC56 PE=2 SV=1
+TPVPSPHSLEAARPPRAAADPAPKPGPCGQARPSLRSAVELARLVPVAAVGDGLGPGWSGLVRLRRGRSQLDTSWRPSSSGSDRPRPHKPPSPVLHQSSTGSPESALSPPSSPTKPEAQHVQEEPVRRPGWPAVAGEQQSEPHRYPLPRVGHERWARLGALALFDSSDAPDPEPTSTSAAPDGPRHQPLQEPPERAQCQHRRERLGKTPNGCLVQGAGHTLSSTNDEPALDESLLGEPLPGGRVLLSFPWPRSARSQTEPLSLEPDLRRIPAGRPCDLPPLGNGKKIAEKVALWDQSLRPPAPPGTHAAPVEDLVQLQPILKRVEARYNYGRPVKNTPGPAPQLCVLNGELTLMALRPCLQLYRVQGLDEVSNGELDLVELQELLCLPSLDSINNYSAYLEKLAPLSAIGDLDALGCRALWLVQLHGLSTGLDRLSGLHSGNLKLQDLNPLHVGFNGLSGERTDVCMELTRVLRLDDVRALAQLRAPSLYEEVLQEGLRDRQSGPGKSQPCPNHLGQWSLERVRVSSTSRRPGRSRDWGLDM
+>sp|Q8ND94|LRN4L_HUMAN LRRN4 C-terminal-like protein OS=Homo sapiens OX=9606 GN=LRRN4CL PE=2 SV=1
+MLGSPCLLWLLAVTFLVPRAQPLAPQDFEEEEADETETAWPPLPAVPCDYDHCRHLQVPCKELQRVGPAACLCPGLSSPAQPPDPPRMGEVRIAAEEGRAVVHWCAPFSPVLHYWLLLWDGSEAAQKGPPLNATVRRAELKGLKPGGIYVVCVVAANEAGASRVPQAGGEGLEGADIPAFGPCSRLAVPPNPRTLVHAAVGVGTALALLSCAALVWHFCLRDRWGCPRRAAARAAGAL
+>DECOY_sp|Q8ND94|LRN4L_HUMAN LRRN4 C-terminal-like protein OS=Homo sapiens OX=9606 GN=LRRN4CL PE=2 SV=1
+LAGAARAAARRPCGWRDRLCFHWVLAACSLLALATGVGVAAHVLTRPNPPVALRSCPGFAPIDAGELGEGGAQPVRSAGAENAAVVCVVYIGGPKLGKLEARRVTANLPPGKQAAESGDWLLLWYHLVPSFPACWHVVARGEEAAIRVEGMRPPDPPQAPSSLGPCLCAAPGVRQLEKCPVQLHRCHDYDCPVAPLPPWATETEDAEEEEFDQPALPQARPVLFTVALLWLLCPSGLM
+>sp|Q86VZ4|LRP11_HUMAN Low-density lipoprotein receptor-related protein 11 OS=Homo sapiens OX=9606 GN=LRP11 PE=2 SV=2
+MASVAQESAGSQRRLPPRHGALRGLLLLCLWLPSGRAALPPAAPLSELHAQLSGVEQLLEEFRRQLQQERPQEELELELRAGGGPQEDCPGPGSGGYSAMPDAIIRTKDSLAAGASFLRAPAAVRGWRQCVAACCSEPRCSVAVVELPRRPAPPAAVLGCYLFNCTARGRNVCKFALHSGYSSYSLSRAPDGAALATARASPRQEKDAPPLSKAGQDVVLHLPTDGVVLDGRESTDDHAIVQYEWALLQGDPSVDMKVPQSGTLKLSHLQEGTYTFQLTVTDTAGQRSSDNVSVTVLRAAYSTGGCLHTCSRYHFFCDDGCCIDITLACDGVQQCPDGSDEDFCQNLGLDRKMVTHTAASPALPRTTGPSEDAGGDSLVEKSQKATAPNKPPALSNTEKRNHSAFWGPESQIIPVMPDSSSSGKNRKEESYIFESKGDGGGGEHPAPETGAVLPLALGLAITALLLLMVACRLRLVKQKLKKARPITSEESDYLINGMYL
+>DECOY_sp|Q86VZ4|LRP11_HUMAN Low-density lipoprotein receptor-related protein 11 OS=Homo sapiens OX=9606 GN=LRP11 PE=2 SV=2
+LYMGNILYDSEESTIPRAKKLKQKVLRLRCAVMLLLLATIALGLALPLVAGTEPAPHEGGGGDGKSEFIYSEEKRNKGSSSSDPMVPIIQSEPGWFASHNRKETNSLAPPKNPATAKQSKEVLSDGGADESPGTTRPLAPSAATHTVMKRDLGLNQCFDEDSGDPCQQVGDCALTIDICCGDDCFFHYRSCTHLCGGTSYAARLVTVSVNDSSRQGATDTVTLQFTYTGEQLHSLKLTGSQPVKMDVSPDGQLLAWEYQVIAHDDTSERGDLVVGDTPLHLVVDQGAKSLPPADKEQRPSARATALAAGDPARSLSYSSYGSHLAFKCVNRGRATCNFLYCGLVAAPPAPRRPLEVVAVSCRPESCCAAVCQRWGRVAAPARLFSAGAALSDKTRIIADPMASYGGSGPGPCDEQPGGGARLELELEEQPREQQLQRRFEELLQEVGSLQAHLESLPAAPPLAARGSPLWLCLLLLGRLAGHRPPLRRQSGASEQAVSAM
+>sp|P98164|LRP2_HUMAN Low-density lipoprotein receptor-related protein 2 OS=Homo sapiens OX=9606 GN=LRP2 PE=1 SV=3
+MDRGPAAVACTLLLALVACLAPASGQECDSAHFRCGSGHCIPADWRCDGTKDCSDDADEIGCAVVTCQQGYFKCQSEGQCIPNSWVCDQDQDCDDGSDERQDCSQSTCSSHQITCSNGQCIPSEYRCDHVRDCPDGADENDCQYPTCEQLTCDNGACYNTSQKCDWKVDCRDSSDEINCTEICLHNEFSCGNGECIPRAYVCDHDNDCQDGSDEHACNYPTCGGYQFTCPSGRCIYQNWVCDGEDDCKDNGDEDGCESGPHDVHKCSPREWSCPESGRCISIYKVCDGILDCPGREDENNTSTGKYCSMTLCSALNCQYQCHETPYGGACFCPPGYIINHNDSRTCVEFDDCQIWGICDQKCESRPGRHLCHCEEGYILERGQYCKANDSFGEASIIFSNGRDLLIGDIHGRSFRILVESQNRGVAVGVAFHYHLQRVFWTDTVQNKVFSVDINGLNIQEVLNVSVETPENLAVDWVNNKIYLVETKVNRIDMVNLDGSYRVTLITENLGHPRGIAVDPTVGYLFFSDWESLSGEPKLERAFMDGSNRKDLVKTKLGWPAGVTLDMISKRVYWVDSRFDYIETVTYDGIQRKTVVHGGSLIPHPFGVSLFEGQVFFTDWTKMAVLKANKFTETNPQVYYQASLRPYGVTVYHSLRQPYATNPCKDNNGGCEQVCVLSHRTDNDGLGFRCKCTFGFQLDTDERHCIAVQNFLIFSSQVAIRGIPFTLSTQEDVMVPVSGNPSFFVGIDFDAQDSTIFFSDMSKHMIFKQKIDGTGREILAANRVENVESLAFDWISKNLYWTDSHYKSISVMRLADKTRRTVVQYLNNPRSVVVHPFAGYLFFTDWFRPAKIMRAWSDGSHLLPVINTTLGWPNGLAIDWAASRLYWVDAYFDKIEHSTFDGLDRRRLGHIEQMTHPFGLAIFGEHLFFTDWRLGAIIRVRKADGGEMTVIRSGIAYILHLKSYDVNIQTGSNACNQPTHPNGDCSHFCFPVPNFQRVCGCPYGMRLASNHLTCEGDPTNEPPTEQCGLFSFPCKNGRCVPNYYLCDGVDDCHDNSDEQLCGTLNNTCSSSAFTCGHGECIPAHWRCDKRNDCVDGSDEHNCPTHAPASCLDTQYTCDNHQCISKNWVCDTDNDCGDGSDEKNCNSTETCQPSQFNCPNHRCIDLSFVCDGDKDCVDGSDEVGCVLNCTASQFKCASGDKCIGVTNRCDGVFDCSDNSDEAGCPTRPPGMCHSDEFQCQEDGICIPNFWECDGHPDCLYGSDEHNACVPKTCPSSYFHCDNGNCIHRAWLCDRDNDCGDMSDEKDCPTQPFRCPSWQWQCLGHNICVNLSVVCDGIFDCPNGTDESPLCNGNSCSDFNGGCTHECVQEPFGAKCLCPLGFLLANDSKTCEDIDECDILGSCSQHCYNMRGSFRCSCDTGYMLESDGRTCKVTASESLLLLVASQNKIIADSVTSQVHNIYSLVENGSYIVAVDFDSISGRIFWSDATQGKTWSAFQNGTDRRVVFDSSIILTETIAIDWVGRNLYWTDYALETIEVSKIDGSHRTVLISKNLTNPRGLALDPRMNEHLLFWSDWGHHPRIERASMDGSMRTVIVQDKIFWPCGLTIDYPNRLLYFMDSYLDYMDFCDYNGHHRRQVIASDLIIRHPYALTLFEDSVYWTDRATRRVMRANKWHGGNQSVVMYNIQWPLGIVAVHPSKQPNSVNPCAFSRCSHLCLLSSQGPHFYSCVCPSGWSLSPDLLNCLRDDQPFLITVRQHIIFGISLNPEVKSNDAMVPIAGIQNGLDVEFDDAEQYIYWVENPGEIHRVKTDGTNRTVFASISMVGPSMNLALDWISRNLYSTNPRTQSIEVLTLHGDIRYRKTLIANDGTALGVGFPIGITVDPARGKLYWSDQGTDSGVPAKIASANMDGTSVKTLFTGNLEHLECVTLDIEEQKLYWAVTGRGVIERGNVDGTDRMILVHQLSHPWGIAVHDSFLYYTDEQYEVIERVDKATGANKIVLRDNVPNLRGLQVYHRRNAAESSNGCSNNMNACQQICLPVPGGLFSCACATGFKLNPDNRSCSPYNSFIVVSMLSAIRGFSLELSDHSETMVPVAGQGRNALHVDVDVSSGFIYWCDFSSSVASDNAIRRIKPDGSSLMNIVTHGIGENGVRGIAVDWVAGNLYFTNAFVSETLIEVLRINTTYRRVLLKVTVDMPRHIVVDPKNRYLFWADYGQRPKIERSFLDCTNRTVLVSEGIVTPRGLAVDRSDGYVYWVDDSLDIIARIRINGENSEVIRYGSRYPTPYGITVFENSIIWVDRNLKKIFQASKEPENTEPPTVIRDNINWLRDVTIFDKQVQPRSPAEVNNNPCLENNGGCSHLCFALPGLHTPKCDCAFGTLQSDGKNCAISTENFLIFALSNSLRSLHLDPENHSPPFQTINVERTVMSLDYDSVSDRIYFTQNLASGVGQISYATLSSGIHTPTVIASGIGTADGIAFDWITRRIYYSDYLNQMINSMAEDGSNRTVIARVPKPRAIVLDPCQGYLYWADWDTHAKIERATLGGNFRVPIVNSSLVMPSGLTLDYEEDLLYWVDASLQRIERSTLTGVDREVIVNAAVHAFGLTLYGQYIYWTDLYTQRIYRANKYDGSGQIAMTTNLLSQPRGINTVVKNQKQQCNNPCEQFNGGCSHICAPGPNGAECQCPHEGNWYLANNRKHCIVDNGERCGASSFTCSNGRCISEEWKCDNDNDCGDGSDEMESVCALHTCSPTAFTCANGRCVQYSYRCDYYNDCGDGSDEAGCLFRDCNATTEFMCNNRRCIPREFICNGVDNCHDNNTSDEKNCPDRTCQSGYTKCHNSNICIPRVYLCDGDNDCGDNSDENPTYCTTHTCSSSEFQCASGRCIPQHWYCDQETDCFDASDEPASCGHSERTCLADEFKCDGGRCIPSEWICDGDNDCGDMSDEDKRHQCQNQNCSDSEFLCVNDRPPDRRCIPQSWVCDGDVDCTDGYDENQNCTRRTCSENEFTCGYGLCIPKIFRCDRHNDCGDYSDERGCLYQTCQQNQFTCQNGRCISKTFVCDEDNDCGDGSDELMHLCHTPEPTCPPHEFKCDNGRCIEMMKLCNHLDDCLDNSDEKGCGINECHDPSISGCDHNCTDTLTSFYCSCRPGYKLMSDKRTCVDIDECTEMPFVCSQKCENVIGSYICKCAPGYLREPDGKTCRQNSNIEPYLIFSNRYYLRNLTIDGYFYSLILEGLDNVVALDFDRVEKRLYWIDTQRQVIERMFLNKTNKETIINHRLPAAESLAVDWVSRKLYWLDARLDGLFVSDLNGGHRRMLAQHCVDANNTFCFDNPRGLALHPQYGYLYWADWGHRAYIGRVGMDGTNKSVIISTKLEWPNGITIDYTNDLLYWADAHLGYIEYSDLEGHHRHTVYDGALPHPFAITIFEDTIYWTDWNTRTVEKGNKYDGSNRQTLVNTTHRPFDIHVYHPYRQPIVSNPCGTNNGGCSHLCLIKPGGKGFTCECPDDFRTLQLSGSTYCMPMCSSTQFLCANNEKCIPIWWKCDGQKDCSDGSDELALCPQRFCRLGQFQCSDGNCTSPQTLCNAHQNCPDGSDEDRLLCENHHCDSNEWQCANKRCIPESWQCDTFNDCEDNSDEDSSHCASRTCRPGQFRCANGRCIPQAWKCDVDNDCGDHSDEPIEECMSSAHLCDNFTEFSCKTNYRCIPKWAVCNGVDDCRDNSDEQGCEERTCHPVGDFRCKNHHCIPLRWQCDGQNDCGDNSDEENCAPRECTESEFRCVNQQCIPSRWICDHYNDCGDNSDERDCEMRTCHPEYFQCTSGHCVHSELKCDGSADCLDASDEADCPTRFPDGAYCQATMFECKNHVCIPPYWKCDGDDDCGDGSDEELHLCLDVPCNSPNRFRCDNNRCIYSHEVCNGVDDCGDGTDETEEHCRKPTPKPCTEYEYKCGNGHCIPHDNVCDDADDCGDWSDELGCNKGKERTCAENICEQNCTQLNEGGFICSCTAGFETNVFDRTSCLDINECEQFGTCPQHCRNTKGSYECVCADGFTSMSDRPGKRCAAEGSSPLLLLPDNVRIRKYNLSSERFSEYLQDEEYIQAVDYDWDPKDIGLSVVYYTVRGEGSRFGAIKRAYIPNFESGRNNLVQEVDLKLKYVMQPDGIAVDWVGRHIYWSDVKNKRIEVAKLDGRYRKWLISTDLDQPAAIAVNPKLGLMFWTDWGKEPKIESAWMNGEDRNILVFEDLGWPTGLSIDYLNNDRIYWSDFKEDVIETIKYDGTDRRVIAKEAMNPYSLDIFEDQLYWISKEKGEVWKQNKFGQGKKEKTLVVNPWLTQVRIFHQLRYNKSVPNLCKQICSHLCLLRPGGYSCACPQGSSFIEGSTTECDAAIELPINLPPPCRCMHGGNCYFDETDLPKCKCPSGYTGKYCEMAFSKGISPGTTAVAVLLTILLIVVIGALAIAGFFHYRRTGSLLPALPKLPSLSSLVKPSENGNGVTFRSGADLNMDIGVSGFGPETAIDRSMAMSEDFVMEMGKQPIIFENPMYSARDSAVKVVQPIQVTVSENVDNKNYGSPINPSEIVPETNPTSPAADGTQVTKWNLFKRKSKQTTNFENPIYAQMENEQKESVAATPPPSPSLPAKPKPPSRRDPTPTYSATEDTFKDTANLVKEDSEV
+>DECOY_sp|P98164|LRP2_HUMAN Low-density lipoprotein receptor-related protein 2 OS=Homo sapiens OX=9606 GN=LRP2 PE=1 SV=3
+VESDEKVLNATDKFTDETASYTPTPDRRSPPKPKAPLSPSPPPTAAVSEKQENEMQAYIPNEFNTTQKSKRKFLNWKTVQTGDAAPSTPNTEPVIESPNIPSGYNKNDVNESVTVQIPQVVKVASDRASYMPNEFIIPQKGMEMVFDESMAMSRDIATEPGFGSVGIDMNLDAGSRFTVGNGNESPKVLSSLSPLKPLAPLLSGTRRYHFFGAIALAGIVVILLITLLVAVATTGPSIGKSFAMECYKGTYGSPCKCKPLDTEDFYCNGGHMCRCPPPLNIPLEIAADCETTSGEIFSSGQPCACSYGGPRLLCLHSCIQKCLNPVSKNYRLQHFIRVQTLWPNVVLTKEKKGQGFKNQKWVEGKEKSIWYLQDEFIDLSYPNMAEKAIVRRDTGDYKITEIVDEKFDSWYIRDNNLYDISLGTPWGLDEFVLINRDEGNMWASEIKPEKGWDTWFMLGLKPNVAIAAPQDLDTSILWKRYRGDLKAVEIRKNKVDSWYIHRGVWDVAIGDPQMVYKLKLDVEQVLNNRGSEFNPIYARKIAGFRSGEGRVTYYVVSLGIDKPDWDYDVAQIYEEDQLYESFRESSLNYKRIRVNDPLLLLPSSGEAACRKGPRDSMSTFGDACVCEYSGKTNRCHQPCTGFQECENIDLCSTRDFVNTEFGATCSCIFGGENLQTCNQECINEACTREKGKNCGLEDSWDGCDDADDCVNDHPICHGNGCKYEYETCPKPTPKRCHEETEDTGDGCDDVGNCVEHSYICRNNDCRFRNPSNCPVDLCLHLEEDSGDGCDDDGDCKWYPPICVHNKCEFMTAQCYAGDPFRTPCDAEDSADLCDASGDCKLESHVCHGSTCQFYEPHCTRMECDREDSNDGCDNYHDCIWRSPICQQNVCRFESETCERPACNEEDSNDGCDNQGDCQWRLPICHHNKCRFDGVPHCTREECGQEDSNDRCDDVGNCVAWKPICRYNTKCSFETFNDCLHASSMCEEIPEDSHDGCDNDVDCKWAQPICRGNACRFQGPRCTRSACHSSDEDSNDECDNFTDCQWSEPICRKNACQWENSDCHHNECLLRDEDSGDPCNQHANCLTQPSTCNGDSCQFQGLRCFRQPCLALEDSGDSCDKQGDCKWWIPICKENNACLFQTSSCMPMCYTSGSLQLTRFDDPCECTFGKGGPKILCLHSCGGNNTGCPNSVIPQRYPHYVHIDFPRHTTNVLTQRNSGDYKNGKEVTRTNWDTWYITDEFITIAFPHPLAGDYVTHRHHGELDSYEIYGLHADAWYLLDNTYDITIGNPWELKTSIIVSKNTGDMGVRGIYARHGWDAWYLYGYQPHLALGRPNDFCFTNNADVCHQALMRRHGGNLDSVFLGDLRADLWYLKRSVWDVALSEAAPLRHNIITEKNTKNLFMREIVQRQTDIWYLRKEVRDFDLAVVNDLGELILSYFYGDITLNRLYYRNSFILYPEINSNQRCTKGDPERLYGPACKCIYSGIVNECKQSCVFPMETCEDIDVCTRKDSMLKYGPRCSCYFSTLTDTCNHDCGSISPDHCENIGCGKEDSNDLCDDLHNCLKMMEICRGNDCKFEHPPCTPEPTHCLHMLEDSGDGCDNDEDCVFTKSICRGNQCTFQNQQCTQYLCGREDSYDGCDNHRDCRFIKPICLGYGCTFENESCTRRTCNQNEDYGDTCDVDGDCVWSQPICRRDPPRDNVCLFESDSCNQNQCQHRKDEDSMDGCDNDGDCIWESPICRGGDCKFEDALCTRESHGCSAPEDSADFCDTEQDCYWHQPICRGSACQFESSSCTHTTCYTPNEDSNDGCDNDGDCLYVRPICINSNHCKTYGSQCTRDPCNKEDSTNNDHCNDVGNCIFERPICRRNNCMFETTANCDRFLCGAEDSGDGCDNYYDCRYSYQVCRGNACTFATPSCTHLACVSEMEDSGDGCDNDNDCKWEESICRGNSCTFSSAGCREGNDVICHKRNNALYWNGEHPCQCEAGNPGPACIHSCGGNFQECPNNCQQKQNKVVTNIGRPQSLLNTTMAIQGSGDYKNARYIRQTYLDTWYIYQGYLTLGFAHVAANVIVERDVGTLTSREIRQLSADVWYLLDEEYDLTLGSPMVLSSNVIPVRFNGGLTAREIKAHTDWDAWYLYGQCPDLVIARPKPVRAIVTRNSGDEAMSNIMQNLYDSYYIRRTIWDFAIGDATGIGSAIVTPTHIGSSLTAYSIQGVGSALNQTFYIRDSVSDYDLSMVTREVNITQFPPSHNEPDLHLSRLSNSLAFILFNETSIACNKGDSQLTGFACDCKPTHLGPLAFCLHSCGGNNELCPNNNVEAPSRPQVQKDFITVDRLWNINDRIVTPPETNEPEKSAQFIKKLNRDVWIISNEFVTIGYPTPYRSGYRIVESNEGNIRIRAIIDLSDDVWYVYGDSRDVALGRPTVIGESVLVTRNTCDLFSREIKPRQGYDAWFLYRNKPDVVIHRPMDVTVKLLVRRYTTNIRLVEILTESVFANTFYLNGAVWDVAIGRVGNEGIGHTVINMLSSGDPKIRRIANDSAVSSSFDCWYIFGSSVDVDVHLANRGQGAVPVMTESHDSLELSFGRIASLMSVVIFSNYPSCSRNDPNLKFGTACACSFLGGPVPLCIQQCANMNNSCGNSSEAANRRHYVQLGRLNPVNDRLVIKNAGTAKDVREIVEYQEDTYYLFSDHVAIGWPHSLQHVLIMRDTGDVNGREIVGRGTVAWYLKQEEIDLTVCELHELNGTFLTKVSTGDMNASAIKAPVGSDTGQDSWYLKGRAPDVTIGIPFGVGLATGDNAILTKRYRIDGHLTLVEISQTRPNTSYLNRSIWDLALNMSPGVMSISAFVTRNTGDTKVRHIEGPNEVWYIYQEADDFEVDLGNQIGAIPVMADNSKVEPNLSIGFIIHQRVTILFPQDDRLCNLLDPSLSWGSPCVCSYFHPGQSSLLCLHSCRSFACPNVSNPQKSPHVAVIGLPWQINYMVVSQNGGHWKNARMVRRTARDTWYVSDEFLTLAYPHRIILDSAIVQRRHHGNYDCFDMYDLYSDMFYLLRNPYDITLGCPWFIKDQVIVTRMSGDMSAREIRPHHGWDSWFLLHENMRPDLALGRPNTLNKSILVTRHSGDIKSVEITELAYDTWYLNRGVWDIAITETLIISSDFVVRRDTGNQFASWTKGQTADSWFIRGSISDFDVAVIYSGNEVLSYINHVQSTVSDAIIKNQSAVLLLLSESATVKCTRGDSELMYGTDCSCRFSGRMNYCHQSCSGLIDCEDIDECTKSDNALLFGLPCLCKAGFPEQVCEHTCGGNFDSCSNGNCLPSEDTGNPCDFIGDCVVSLNVCINHGLCQWQWSPCRFPQTPCDKEDSMDGCDNDRDCLWARHICNGNDCHFYSSPCTKPVCANHEDSGYLCDPHGDCEWFNPICIGDEQCQFEDSHCMGPPRTPCGAEDSNDSCDFVGDCRNTVGICKDGSACKFQSATCNLVCGVEDSGDVCDKDGDCVFSLDICRHNPCNFQSPQCTETSNCNKEDSGDGCDNDTDCVWNKSICQHNDCTYQTDLCSAPAHTPCNHEDSGDVCDNRKDCRWHAPICEGHGCTFASSSCTNNLTGCLQEDSNDHCDDVGDCLYYNPVCRGNKCPFSFLGCQETPPENTPDGECTLHNSALRMGYPCGCVRQFNPVPFCFHSCDGNPHTPQNCANSGTQINVDYSKLHLIYAIGSRIVTMEGGDAKRVRIIAGLRWDTFFLHEGFIALGFPHTMQEIHGLRRRDLGDFTSHEIKDFYADVWYLRSAAWDIALGNPWGLTTNIVPLLHSGDSWARMIKAPRFWDTFFLYGAFPHVVVSRPNNLYQVVTRRTKDALRMVSISKYHSDTWYLNKSIWDFALSEVNEVRNAALIERGTGDIKQKFIMHKSMDSFFITSDQADFDIGVFFSPNGSVPVMVDEQTSLTFPIGRIAVQSSFILFNQVAICHREDTDLQFGFTCKCRFGLGDNDTRHSLVCVQECGGNNDKCPNTAYPQRLSHYVTVGYPRLSAQYYVQPNTETFKNAKLVAMKTWDTFFVQGEFLSVGFPHPILSGGHVVTKRQIGDYTVTEIYDFRSDVWYVRKSIMDLTVGAPWGLKTKVLDKRNSGDMFARELKPEGSLSEWDSFFLYGVTPDVAIGRPHGLNETILTVRYSGDLNVMDIRNVKTEVLYIKNNVWDVALNEPTEVSVNLVEQINLGNIDVSFVKNQVTDTWFVRQLHYHFAVGVAVGRNQSEVLIRFSRGHIDGILLDRGNSFIISAEGFSDNAKCYQGRELIYGEECHCLHRGPRSECKQDCIGWIQCDDFEVCTRSDNHNIIYGPPCFCAGGYPTEHCQYQCNLASCLTMSCYKGTSTNNEDERGPCDLIGDCVKYISICRGSEPCSWERPSCKHVDHPGSECGDEDGNDKCDDEGDCVWNQYICRGSPCTFQYGGCTPYNCAHEDSGDQCDNDHDCVYARPICEGNGCSFENHLCIETCNIEDSSDRCDVKWDCKQSTNYCAGNDCTLQECTPYQCDNEDAGDPCDRVHDCRYESPICQGNSCTIQHSSCTSQSCDQREDSGDDCDQDQDCVWSNPICQGESQCKFYGQQCTVVACGIEDADDSCDKTGDCRWDAPICHGSGCRFHASDCEQGSAPALCAVLALLLTCAVAAPGRDM
+>sp|O75074|LRP3_HUMAN Low-density lipoprotein receptor-related protein 3 OS=Homo sapiens OX=9606 GN=LRP3 PE=2 SV=2
+MEKRAAAGLEGAPGARAQLAVVCLVNIFLTGRLSSAVPALAACSGKLEQHTERRGVIYSPAWPLNYPPGTNCSWYIQGDRGDMITISFRNFDVEESHQCSLDWLLLGPAAPPRQEAFRLCGSAIPPAFISARDHVWIFFHSDASSSGQAQGFRLSYIRGKLGQASCQADEFRCDNGKCLPGPWQCNTVDECGDGSDEGNCSAPASEPPGSLCPGGTFPCSGARSTRCLPVERRCDGLQDCGDGSDEAGCPDLACGRRLGSFYGSFASPDLFGAARGPSDLHCTWLVDTQDSRRVLLQLELRLGYDDYVQVYEGLGERGDRLLQTLSYRSNHRPVSLEAAQGRLTVAYHARARSAGHGFNATYQVKGYCLPWEQPCGSSSDSDGGSLGDQGCFSEPQRCDGWWHCASGRDEQGCPACPPDQYPCEGGSGLCYTPADRCNNQKSCPDGADEKNCFSCQPGTFHCGTNLCIFETWRCDGQEDCQDGSDEHGCLAAVPRKVITAALIGSLVCGLLLVIALGCAFKLYSLRTQEYRAFETQMTRLEAEFVRREAPPSYGQLIAQGLIPPVEDFPVYSASQASVLQNLRTAMRRQMRRHASRRGPSRRRLGRLWNRLFHRPRAPRGQIPLLTAARPSQTVLGDGFLQPAPGAAPDPPAPLMDTGSTRAAGDRPPSAPGRAPEVGPSGPPLPSGLRDPECRPVDKDRKVCREPLVDGPAPADAPREPCSAQDPHPQVSTASSTLGPHSPEPLGVCRNPPPPCSPMLEASDDEALLVC
+>DECOY_sp|O75074|LRP3_HUMAN Low-density lipoprotein receptor-related protein 3 OS=Homo sapiens OX=9606 GN=LRP3 PE=2 SV=2
+CVLLAEDDSAELMPSCPPPPNRCVGLPEPSHPGLTSSATSVQPHPDQASCPERPADAPAPGDVLPERCVKRDKDVPRCEPDRLGSPLPPGSPGVEPARGPASPPRDGAARTSGTDMLPAPPDPAAGPAPQLFGDGLVTQSPRAATLLPIQGRPARPRHFLRNWLRGLRRRSPGRRSAHRRMQRRMATRLNQLVSAQSASYVPFDEVPPILGQAILQGYSPPAERRVFEAELRTMQTEFARYEQTRLSYLKFACGLAIVLLLGCVLSGILAATIVKRPVAALCGHEDSGDQCDEQGDCRWTEFICLNTGCHFTGPQCSFCNKEDAGDPCSKQNNCRDAPTYCLGSGGECPYQDPPCAPCGQEDRGSACHWWGDCRQPESFCGQDGLSGGDSDSSSGCPQEWPLCYGKVQYTANFGHGASRARAHYAVTLRGQAAELSVPRHNSRYSLTQLLRDGREGLGEYVQVYDDYGLRLELQLLVRRSDQTDVLWTCHLDSPGRAAGFLDPSAFSGYFSGLRRGCALDPCGAEDSGDGCDQLGDCRREVPLCRTSRAGSCPFTGGPCLSGPPESAPASCNGEDSGDGCEDVTNCQWPGPLCKGNDCRFEDAQCSAQGLKGRIYSLRFGQAQGSSSADSHFFIWVHDRASIFAPPIASGCLRFAEQRPPAAPGLLLWDLSCQHSEEVDFNRFSITIMDGRDGQIYWSCNTGPPYNLPWAPSYIVGRRETHQELKGSCAALAPVASSLRGTLFINVLCVVALQARAGPAGELGAAARKEM
+>sp|A4QPB2|LRP5L_HUMAN Low-density lipoprotein receptor-related protein 5-like protein OS=Homo sapiens OX=9606 GN=LRP5L PE=2 SV=2
+MEGHVYWTDDEVWAIRRAYLDGSGAQTLINTKINDPDDIAVNWVARSLYWTHTGTEHIEVTCLNSTSHKILVSEDMDEPRAIALHPEMGLTYWIDWGENPEIKRANLDRQELRVLVNASLGWPNGLALDLQEGKLYWGDAKTDKIEAISVDETKRQTLLKDKLPHIFRFTLLGDFIYWTAWQHHSIKRVHKVKANRDVIIDQLPDLMGLKAVNVDKVVGTNPHADRNGGAATCASSRPTQPGLAAPSRAWNC
+>DECOY_sp|A4QPB2|LRP5L_HUMAN Low-density lipoprotein receptor-related protein 5-like protein OS=Homo sapiens OX=9606 GN=LRP5L PE=2 SV=2
+CNWARSPAALGPQTPRSSACTAAGGNRDAHPNTGVVKDVNVAKLGMLDPLQDIIVDRNAKVKHVRKISHHQWATWYIFDGLLTFRFIHPLKDKLLTQRKTEDVSIAEIKDTKADGWYLKGEQLDLALGNPWGLSANVLVRLEQRDLNARKIEPNEGWDIWYTLGMEPHLAIARPEDMDESVLIKHSTSNLCTVEIHETGTHTWYLSRAVWNVAIDDPDNIKTNILTQAGSGDLYARRIAWVEDDTWYVHGEM
+>sp|Q14114|LRP8_HUMAN Low-density lipoprotein receptor-related protein 8 OS=Homo sapiens OX=9606 GN=LRP8 PE=1 SV=4
+MGLPEPGPLRLLALLLLLLLLLLLQLQHLAAAAADPLLGGQGPAKDCEKDQFQCRNERCIPSVWRCDEDDDCLDHSDEDDCPKKTCADSDFTCDNGHCIHERWKCDGEEECPDGSDESEATCTKQVCPAEKLSCGPTSHKCVPASWRCDGEKDCEGGADEAGCATLCAPHEFQCGNRSCLAAVFVCDGDDDCGDGSDERGCADPACGPREFRCGGDGGGACIPERWVCDRQFDCEDRSDEAAELCGRPGPGATSAPAACATASQFACRSGECVHLGWRCDGDRDCKDKSDEADCPLGTCRGDEFQCGDGTCVLAIKHCNQEQDCPDGSDEAGCLQGLNECLHNNGGCSHICTDLKIGFECTCPAGFQLLDQKTCGDIDECKDPDACSQICVNYKGYFKCECYPGYEMDLLTKNCKAAAGKSPSLIFTNRHEVRRIDLVKRNYSRLIPMLKNVVALDVEVATNRIYWCDLSYRKIYSAYMDKASDPKEQEVLIDEQLHSPEGLAVDWVHKHIYWTDSGNKTISVATVDGGRRRTLFSRNLSEPRAIAVDPLRGFMYWSDWGDQAKIEKSGLNGVDRQTLVSDNIEWPNGITLDLLSQRLYWVDSKLHQLSSIDFSGGNRKTLISSTDFLSHPFGIAVFEDKVFWTDLENEAIFSANRLNGLEISILAENLNNPHDIVIFHELKQPRAPDACELSVQPNGGCEYLCLPAPQISSHSPKYTCACPDTMWLGPDMKRCYRAPQSTSTTTLASTMTRTVPATTRAPGTTVHRSTYQNHSTETPSLTAAVPSSVSVPRAPSISPSTLSPATSNHSQHYANEDSKMGSTVTAAVIGIIVPIVVIALLCMSGYLIWRNWKRKNTKSMNFDNPVYRKTTEEEDEDELHIGRTAQIGHVYPAAISSFDRPLWAEPCLGETREPEDPAPALKELFVLPGEPRSQLHQLPKNPLSELPVVKSKRVALSLEDDGLP
+>DECOY_sp|Q14114|LRP8_HUMAN Low-density lipoprotein receptor-related protein 8 OS=Homo sapiens OX=9606 GN=LRP8 PE=1 SV=4
+PLGDDELSLAVRKSKVVPLESLPNKPLQHLQSRPEGPLVFLEKLAPAPDEPERTEGLCPEAWLPRDFSSIAAPYVHGIQATRGIHLEDEDEEETTKRYVPNDFNMSKTNKRKWNRWILYGSMCLLAIVVIPVIIGIVAATVTSGMKSDENAYHQSHNSTAPSLTSPSISPARPVSVSSPVAATLSPTETSHNQYTSRHVTTGPARTTAPVTRTMTSALTTTSTSQPARYCRKMDPGLWMTDPCACTYKPSHSSIQPAPLCLYECGGNPQVSLECADPARPQKLEHFIVIDHPNNLNEALISIELGNLRNASFIAENELDTWFVKDEFVAIGFPHSLFDTSSILTKRNGGSFDISSLQHLKSDVWYLRQSLLDLTIGNPWEINDSVLTQRDVGNLGSKEIKAQDGWDSWYMFGRLPDVAIARPESLNRSFLTRRRGGDVTAVSITKNGSDTWYIHKHVWDVALGEPSHLQEDILVEQEKPDSAKDMYASYIKRYSLDCWYIRNTAVEVDLAVVNKLMPILRSYNRKVLDIRRVEHRNTFILSPSKGAAAKCNKTLLDMEYGPYCECKFYGKYNVCIQSCADPDKCEDIDGCTKQDLLQFGAPCTCEFGIKLDTCIHSCGGNNHLCENLGQLCGAEDSGDPCDQEQNCHKIALVCTGDGCQFEDGRCTGLPCDAEDSKDKCDRDGDCRWGLHVCEGSRCAFQSATACAAPASTAGPGPRGCLEAAEDSRDECDFQRDCVWREPICAGGGDGGCRFERPGCAPDACGREDSGDGCDDDGDCVFVAALCSRNGCQFEHPACLTACGAEDAGGECDKEGDCRWSAPVCKHSTPGCSLKEAPCVQKTCTAESEDSGDPCEEEGDCKWREHICHGNDCTFDSDACTKKPCDDEDSHDLCDDDEDCRWVSPICRENRCQFQDKECDKAPGQGGLLPDAAAAALHQLQLLLLLLLLLLLALLRLPGPEPLGM
+>sp|Q7Z2Q7|LRR70_HUMAN Leucine-rich repeat-containing protein 70 OS=Homo sapiens OX=9606 GN=LRRC70 PE=2 SV=1
+MCGLQFSLPCLRLFLVVTCYLLLLLHKEILGCSSVCQLCTGRQINCRNLGLSSIPKNFPESTVFLYLTGNNISYINESELTGLHSLVALYLDNSNILYVYPKAFVQLRHLYFLFLNNNFIKRLDPGIFKGLLNLRNLYLQYNQVSFVPRGVFNDLVSVQYLNLQRNRLTVLGSGTFVGMVALRILDLSNNNILRISESGFQHLENLACLYLGSNNLTKVPSNAFEVLKSLRRLSLSHNPIEAIQPFAFKGLANLEYLLLKNSRIRNVTRDGFSGINNLKHLILSHNDLENLNSDTFSLLKNLIYLKLDRNRIISIDNDTFENMGASLKILNLSFNNLTALHPRVLKPLSSLIHLQANSNPWECNCKLLGLRDWLASSAITLNIYCQNPPSMRGRALRYINITNCVTSSINVSRAWAVVKSPHIHHKTTALMMAWHKVTTNGSPLENTETENITFWERIPTSPAGRFFQENAFGNPLETTAVLPVQIQLTTSVTLNLEKNSALPNDAASMSGKTSLICTQEVEKLNEAFDILLAFFILACVLIIFLIYKVVQFKQKLKASENSRENRLEYYSFYQSARYNVTASICNTSPNSLESPGLEQIRLHKQIVPENEAQVILFEHSAL
+>DECOY_sp|Q7Z2Q7|LRR70_HUMAN Leucine-rich repeat-containing protein 70 OS=Homo sapiens OX=9606 GN=LRRC70 PE=2 SV=1
+LASHEFLIVQAENEPVIQKHLRIQELGPSELSNPSTNCISATVNYRASQYFSYYELRNERSNESAKLKQKFQVVKYILFIILVCALIFFALLIDFAENLKEVEQTCILSTKGSMSAADNPLASNKELNLTVSTTLQIQVPLVATTELPNGFANEQFFRGAPSTPIREWFTINETETNELPSGNTTVKHWAMMLATTKHHIHPSKVVAWARSVNISSTVCNTINIYRLARGRMSPPNQCYINLTIASSALWDRLGLLKCNCEWPNSNAQLHILSSLPKLVRPHLATLNNFSLNLIKLSAGMNEFTDNDISIIRNRDLKLYILNKLLSFTDSNLNELDNHSLILHKLNNIGSFGDRTVNRIRSNKLLLYELNALGKFAFPQIAEIPNHSLSLRRLSKLVEFANSPVKTLNNSGLYLCALNELHQFGSESIRLINNNSLDLIRLAVMGVFTGSGLVTLRNRQLNLYQVSVLDNFVGRPVFSVQNYQLYLNRLNLLGKFIGPDLRKIFNNNLFLFYLHRLQVFAKPYVYLINSNDLYLAVLSHLGTLESENIYSINNGTLYLFVTSEPFNKPISSLGLNRCNIQRGTCLQCVSSCGLIEKHLLLLLYCTVVLFLRLCPLSFQLGCM
+>sp|Q9BYS8|LRRC2_HUMAN Leucine-rich repeat-containing protein 2 OS=Homo sapiens OX=9606 GN=LRRC2 PE=2 SV=2
+MGHKVVVFDISVIRALWETRVKKHKAWQKKEVERLEKSALEKIKEEWNFVAECRRKGIPQAVYCKNGFIDTSVRLLDKIERNTLTRQSSLPKDRGKRSSAFVFELSGEHWTELPDSLKEQTHLREWYISNTLIQIIPTYIQLFQAMRILDLPKNQISHLPAEIGCLKNLKELNVGFNYLKSIPPELGDCENLERLDCSGNLELMELPFELSNLKQVTFVDISANKFSSVPICVLRMSNLQWLDISSNNLTDLPQDIDRLEELQSFLLYKNKLTYLPYSMLNLKKLTLLVVSGDHLVELPTALCDSSTPLKFVSLMDNPIDNAQCEDGNEIMESERDRQHFDKEVMKAYIEDLKERESVPSYTTKVSFSLQL
+>DECOY_sp|Q9BYS8|LRRC2_HUMAN Leucine-rich repeat-containing protein 2 OS=Homo sapiens OX=9606 GN=LRRC2 PE=2 SV=2
+LQLSFSVKTTYSPVSEREKLDEIYAKMVEKDFHQRDRESEMIENGDECQANDIPNDMLSVFKLPTSSDCLATPLEVLHDGSVVLLTLKKLNLMSYPLYTLKNKYLLFSQLEELRDIDQPLDTLNNSSIDLWQLNSMRLVCIPVSSFKNASIDVFTVQKLNSLEFPLEMLELNGSCDLRELNECDGLEPPISKLYNFGVNLEKLNKLCGIEAPLHSIQNKPLDLIRMAQFLQIYTPIIQILTNSIYWERLHTQEKLSDPLETWHEGSLEFVFASSRKGRDKPLSSQRTLTNREIKDLLRVSTDIFGNKCYVAQPIGKRRCEAVFNWEEKIKELASKELREVEKKQWAKHKKVRTEWLARIVSIDFVVVKHGM
+>sp|Q5S007|LRRK2_HUMAN Leucine-rich repeat serine/threonine-protein kinase 2 OS=Homo sapiens OX=9606 GN=LRRK2 PE=1 SV=2
+MASGSCQGCEEDEETLKKLIVRLNNVQEGKQIETLVQILEDLLVFTYSERASKLFQGKNIHVPLLIVLDSYMRVASVQQVGWSLLCKLIEVCPGTMQSLMGPQDVGNDWEVLGVHQLILKMLTVHNASVNLSVIGLKTLDLLLTSGKITLLILDEESDIFMLIFDAMHSFPANDEVQKLGCKALHVLFERVSEEQLTEFVENKDYMILLSALTNFKDEEEIVLHVLHCLHSLAIPCNNVEVLMSGNVRCYNIVVEAMKAFPMSERIQEVSCCLLHRLTLGNFFNILVLNEVHEFVVKAVQQYPENAALQISALSCLALLTETIFLNQDLEEKNENQENDDEGEEDKLFWLEACYKALTWHRKNKHVQEAACWALNNLLMYQNSLHEKIGDEDGHFPAHREVMLSMLMHSSSKEVFQASANALSTLLEQNVNFRKILLSKGIHLNVLELMQKHIHSPEVAESGCKMLNHLFEGSNTSLDIMAAVVPKILTVMKRHETSLPVQLEALRAILHFIVPGMPEESREDTEFHHKLNMVKKQCFKNDIHKLVLAALNRFIGNPGIQKCGLKVISSIVHFPDALEMLSLEGAMDSVLHTLQMYPDDQEIQCLGLSLIGYLITKKNVFIGTGHLLAKILVSSLYRFKDVAEIQTKGFQTILAILKLSASFSKLLVHHSFDLVIFHQMSSNIMEQKDQQFLNLCCKCFAKVAMDDYLKNVMLERACDQNNSIMVECLLLLGADANQAKEGSSLICQVCEKESSPKLVELLLNSGSREQDVRKALTISIGKGDSQIISLLLRRLALDVANNSICLGGFCIGKVEPSWLGPLFPDKTSNLRKQTNIASTLARMVIRYQMKSAVEEGTASGSDGNFSEDVLSKFDEWTFIPDSSMDSVFAQSDDLDSEGSEGSFLVKKKSNSISVGEFYRDAVLQRCSPNLQRHSNSLGPIFDHEDLLKRKRKILSSDDSLRSSKLQSHMRHSDSISSLASEREYITSLDLSANELRDIDALSQKCCISVHLEHLEKLELHQNALTSFPQQLCETLKSLTHLDLHSNKFTSFPSYLLKMSCIANLDVSRNDIGPSVVLDPTVKCPTLKQFNLSYNQLSFVPENLTDVVEKLEQLILEGNKISGICSPLRLKELKILNLSKNHISSLSENFLEACPKVESFSARMNFLAAMPFLPPSMTILKLSQNKFSCIPEAILNLPHLRSLDMSSNDIQYLPGPAHWKSLNLRELLFSHNQISILDLSEKAYLWSRVEKLHLSHNKLKEIPPEIGCLENLTSLDVSYNLELRSFPNEMGKLSKIWDLPLDELHLNFDFKHIGCKAKDIIRFLQQRLKKAVPYNRMKLMIVGNTGSGKTTLLQQLMKTKKSDLGMQSATVGIDVKDWPIQIRDKRKRDLVLNVWDFAGREEFYSTHPHFMTQRALYLAVYDLSKGQAEVDAMKPWLFNIKARASSSPVILVGTHLDVSDEKQRKACMSKITKELLNKRGFPAIRDYHFVNATEESDALAKLRKTIINESLNFKIRDQLVVGQLIPDCYVELEKIILSERKNVPIEFPVIDRKRLLQLVRENQLQLDENELPHAVHFLNESGVLLHFQDPALQLSDLYFVEPKWLCKIMAQILTVKVEGCPKHPKGIISRRDVEKFLSKKRKFPKNYMSQYFKLLEKFQIALPIGEEYLLVPSSLSDHRPVIELPHCENSEIIIRLYEMPYFPMGFWSRLINRLLEISPYMLSGRERALRPNRMYWRQGIYLNWSPEAYCLVGSEVLDNHPESFLKITVPSCRKGCILLGQVVDHIDSLMEEWFPGLLEIDICGEGETLLKKWALYSFNDGEEHQKILLDDLMKKAEEGDLLVNPDQPRLTIPISQIAPDLILADLPRNIMLNNDELEFEQAPEFLLGDGSFGSVYRAAYEGEEVAVKIFNKHTSLRLLRQELVVLCHLHHPSLISLLAAGIRPRMLVMELASKGSLDRLLQQDKASLTRTLQHRIALHVADGLRYLHSAMIIYRDLKPHNVLLFTLYPNAAIIAKIADYGIAQYCCRMGIKTSEGTPGFRAPEVARGNVIYNQQADVYSFGLLLYDILTTGGRIVEGLKFPNEFDELEIQGKLPDPVKEYGCAPWPMVEKLIKQCLKENPQERPTSAQVFDILNSAELVCLTRRILLPKNVIVECMVATHHNSRNASIWLGCGHTDRGQLSFLDLNTEGYTSEEVADSRILCLALVHLPVEKESWIVSGTQSGTLLVINTEDGKKRHTLEKMTDSVTCLYCNSFSKQSKQKNFLLVGTADGKLAIFEDKTVKLKGAAPLKILNIGNVSTPLMCLSESTNSTERNVMWGGCGTKIFSFSNDFTIQKLIETRTSQLFSYAAFSDSNIITVVVDTALYIAKQNSPVVEVWDKKTEKLCGLIDCVHFLREVMVKENKESKHKMSYSGRVKTLCLQKNTALWIGTGGGHILLLDLSTRRLIRVIYNFCNSVRVMMTAQLGSLKNVMLVLGYNRKNTEGTQKQKEIQSCLTVWDINLPHEVQNLEKHIEVRKELAEKMRRTSVE
+>DECOY_sp|Q5S007|LRRK2_HUMAN Leucine-rich repeat serine/threonine-protein kinase 2 OS=Homo sapiens OX=9606 GN=LRRK2 PE=1 SV=2
+EVSTRRMKEALEKRVEIHKELNQVEHPLNIDWVTLCSQIEKQKQTGETNKRNYGLVLMVNKLSGLQATMMVRVSNCFNYIVRILRRTSLDLLLIHGGGTGIWLATNKQLCLTKVRGSYSMKHKSEKNEKVMVERLFHVCDILGCLKETKKDWVEVVPSNQKAIYLATDVVVTIINSDSFAAYSFLQSTRTEILKQITFDNSFSFIKTGCGGWMVNRETSNTSESLCMLPTSVNGINLIKLPAAGKLKVTKDEFIALKGDATGVLLFNKQKSQKSFSNCYLCTVSDTMKELTHRKKGDETNIVLLTGSQTGSVIWSEKEVPLHVLALCLIRSDAVEESTYGETNLDLFSLQGRDTHGCGLWISANRSNHHTAVMCEVIVNKPLLIRRTLCVLEASNLIDFVQASTPREQPNEKLCQKILKEVMPWPACGYEKVPDPLKGQIELEDFENPFKLGEVIRGGTTLIDYLLLGFSYVDAQQNYIVNGRAVEPARFGPTGESTKIGMRCCYQAIGYDAIKAIIAANPYLTFLLVNHPKLDRYIIMASHLYRLGDAVHLAIRHQLTRTLSAKDQQLLRDLSGKSALEMVLMRPRIGAALLSILSPHHLHCLVVLEQRLLRLSTHKNFIKVAVEEGEYAARYVSGFSGDGLLFEPAQEFELEDNNLMINRPLDALILDPAIQSIPITLRPQDPNVLLDGEEAKKMLDDLLIKQHEEGDNFSYLAWKKLLTEGEGCIDIELLGPFWEEMLSDIHDVVQGLLICGKRCSPVTIKLFSEPHNDLVESGVLCYAEPSWNLYIGQRWYMRNPRLARERGSLMYPSIELLRNILRSWFGMPFYPMEYLRIIIESNECHPLEIVPRHDSLSSPVLLYEEGIPLAIQFKELLKFYQSMYNKPFKRKKSLFKEVDRRSIIGKPHKPCGEVKVTLIQAMIKCLWKPEVFYLDSLQLAPDQFHLLVGSENLFHVAHPLENEDLQLQNERVLQLLRKRDIVPFEIPVNKRESLIIKELEVYCDPILQGVVLQDRIKFNLSENIITKRLKALADSEETANVFHYDRIAPFGRKNLLEKTIKSMCAKRQKEDSVDLHTGVLIVPSSSARAKINFLWPKMADVEAQGKSLDYVALYLARQTMFHPHTSYFEERGAFDWVNLVLDRKRKDRIQIPWDKVDIGVTASQMGLDSKKTKMLQQLLTTKGSGTNGVIMLKMRNYPVAKKLRQQLFRIIDKAKCGIHKFDFNLHLEDLPLDWIKSLKGMENPFSRLELNYSVDLSTLNELCGIEPPIEKLKNHSLHLKEVRSWLYAKESLDLISIQNHSFLLERLNLSKWHAPGPLYQIDNSSMDLSRLHPLNLIAEPICSFKNQSLKLITMSPPLFPMAALFNMRASFSEVKPCAELFNESLSSIHNKSLNLIKLEKLRLPSCIGSIKNGELILQELKEVVDTLNEPVFSLQNYSLNFQKLTPCKVTPDLVVSPGIDNRSVDLNAICSMKLLYSPFSTFKNSHLDLHTLSKLTECLQQPFSTLANQHLELKELHELHVSICCKQSLADIDRLENASLDLSTIYERESALSSISDSHRMHSQLKSSRLSDDSSLIKRKRKLLDEHDFIPGLSNSHRQLNPSCRQLVADRYFEGVSISNSKKKVLFSGESGESDLDDSQAFVSDMSSDPIFTWEDFKSLVDESFNGDSGSATGEEVASKMQYRIVMRALTSAINTQKRLNSTKDPFLPGLWSPEVKGICFGGLCISNNAVDLALRRLLLSIIQSDGKGISITLAKRVDQERSGSNLLLEVLKPSSEKECVQCILSSGEKAQNADAGLLLLCEVMISNNQDCARELMVNKLYDDMAVKAFCKCCLNLFQQDKQEMINSSMQHFIVLDFSHHVLLKSFSASLKLIALITQFGKTQIEAVDKFRYLSSVLIKALLHGTGIFVNKKTILYGILSLGLCQIEQDDPYMQLTHLVSDMAGELSLMELADPFHVISSIVKLGCKQIGPNGIFRNLAALVLKHIDNKFCQKKVMNLKHHFETDERSEEPMGPVIFHLIARLAELQVPLSTEHRKMVTLIKPVVAAMIDLSTNSGEFLHNLMKCGSEAVEPSHIHKQMLELVNLHIGKSLLIKRFNVNQELLTSLANASAQFVEKSSSHMLMSLMVERHAPFHGDEDGIKEHLSNQYMLLNNLAWCAAEQVHKNKRHWTLAKYCAELWFLKDEEGEDDNEQNENKEELDQNLFITETLLALCSLASIQLAANEPYQQVAKVVFEHVENLVLINFFNGLTLRHLLCCSVEQIRESMPFAKMAEVVINYCRVNGSMLVEVNNCPIALSHLCHLVHLVIEEEDKFNTLASLLIMYDKNEVFETLQEESVREFLVHLAKCGLKQVEDNAPFSHMADFILMFIDSEEDLILLTIKGSTLLLDLTKLGIVSLNVSANHVTLMKLILQHVGLVEWDNGVDQPGMLSQMTGPCVEILKCLLSWGVQQVSAVRMYSDLVILLPVHINKGQFLKSARESYTFVLLDELIQVLTEIQKGEQVNNLRVILKKLTEEDEECGQCSGSAM
+>sp|Q9BX40|LS14B_HUMAN Protein LSM14 homolog B OS=Homo sapiens OX=9606 GN=LSM14B PE=1 SV=1
+MSGSSGTPYLGSKISLISKAQIRYEGILYTIDTDNSTVALAKVRSFGTEDRPTDRPAPPREEIYEYIIFRGSDIKDITVCEPPKAQHTLPQDPAIVQSSLGSASASPFQPHVPYSPFRGMAPYGPLAASSLLSQQYAASLGLGAGFPSIPVGKSPMVEQAVQTGSADNLNAKKLLPGKGTTGTQLNGRQAQPSSKTASDVVQPAAVQAQGQVNDENRRPQRRRSGNRRTRNRSRGQNRPTNVKENTIKFEGDFDFESANAQFNREELDKEFKKKLNFKDDKAEKGEEKDLAVVTQSAEAPAEEDLLGPNCYYDKSKSFFDNISSELKTSSRRTTWAEERKLNTETFGVSGRFLRGRSSRGGFRGGRGNGTTRRNPTSHRAGTGRV
+>DECOY_sp|Q9BX40|LS14B_HUMAN Protein LSM14 homolog B OS=Homo sapiens OX=9606 GN=LSM14B PE=1 SV=1
+VRGTGARHSTPNRRTTGNGRGGRFGGRSSRGRLFRGSVGFTETNLKREEAWTTRRSSTKLESSINDFFSKSKDYYCNPGLLDEEAPAEASQTVVALDKEEGKEAKDDKFNLKKKFEKDLEERNFQANASEFDFDGEFKITNEKVNTPRNQGRSRNRTRRNGSRRRQPRRNEDNVQGQAQVAAPQVVDSATKSSPQAQRGNLQTGTTGKGPLLKKANLNDASGTQVAQEVMPSKGVPISPFGAGLGLSAAYQQSLLSSAALPGYPAMGRFPSYPVHPQFPSASASGLSSQVIAPDQPLTHQAKPPECVTIDKIDSGRFIIYEYIEERPPAPRDTPRDETGFSRVKALAVTSNDTDITYLIGEYRIQAKSILSIKSGLYPTGSSGSM
+>sp|Q13449|LSAMP_HUMAN Limbic system-associated membrane protein OS=Homo sapiens OX=9606 GN=LSAMP PE=1 SV=2
+MVRRVQPDRKQLPLVLLRLLCLLPTGLPVRSVDFNRGTDNITVRQGDTAILRCVVEDKNSKVAWLNRSGIIFAGHDKWSLDPRVELEKRHSLEYSLRIQKVDVYDEGSYTCSVQTQHEPKTSQVYLIVQVPPKISNISSDVTVNEGSNVTLVCMANGRPEPVITWRHLTPTGREFEGEEEYLEILGITREQSGKYECKAANEVSSADVKQVKVTVNYPPTITESKSNEATTGRQASLKCEASAVPAPDFEWYRDDTRINSANGLEIKSTEGQSSLTVTNVTEEHYGNYTCVAANKLGVTNASLVLFRPGSVRGINGSISLAVPLWLLAASLLCLLSKC
+>DECOY_sp|Q13449|LSAMP_HUMAN Limbic system-associated membrane protein OS=Homo sapiens OX=9606 GN=LSAMP PE=1 SV=2
+CKSLLCLLSAALLWLPVALSISGNIGRVSGPRFLVLSANTVGLKNAAVCTYNGYHEETVNTVTLSSQGETSKIELGNASNIRTDDRYWEFDPAPVASAECKLSAQRGTTAENSKSETITPPYNVTVKVQKVDASSVENAAKCEYKGSQERTIGLIELYEEEGEFERGTPTLHRWTIVPEPRGNAMCVLTVNSGENVTVDSSINSIKPPVQVILYVQSTKPEHQTQVSCTYSGEDYVDVKQIRLSYELSHRKELEVRPDLSWKDHGAFIIGSRNLWAVKSNKDEVVCRLIATDGQRVTINDTGRNFDVSRVPLGTPLLCLLRLLVLPLQKRDPQVRRVM
+>sp|Q9H089|LSG1_HUMAN Large subunit GTPase 1 homolog OS=Homo sapiens OX=9606 GN=LSG1 PE=1 SV=2
+MGRRRAPAGGSLGRALMRHQTQRSRSHRHTDSWLHTSELNDGYDWGRLNLQSVTEQSSLDDFLATAELAGTEFVAEKLNIKFVPAEARTGLLSFEESQRIKKLHEENKQFLCIPRRPNWNQNTTPEELKQAEKDNFLEWRRQLVRLEEEQKLILTPFERNLDFWRQLWRVIERSDIVVQIVDARNPLLFRCEDLECYVKEMDANKENVILINKADLLTAEQRSAWAMYFEKEDVKVIFWSALAGAIPLNGDSEEEANRDDRQSNTTKFGHSSFDQAEISHSESEHLPARDSPSLSENPTTDEDDSEYEDCPEEEEDDWQTCSEEDGPKEEDCSQDWKESSTADSEARSRKTPQKRQIHNFSHLVSKQELLELFKELHTGRKVKDGQLTVGLVGYPNVGKSSTINTIMGNKKVSVSATPGHTKHFQTLYVEPGLCLCDCPGLVMPSFVSTKAEMTCSGILPIDQMRDHVPPVSLVCQNIPRHVLEATYGINIITPREDEDPHRPPTSEELLTAYGYMRGFMTAHGQPDQPRSARYILKDYVSGKLLYCHPPPGRDPVTFQHQHQRLLENKMNSDEIKMQLGRNKKAKQIENIVDKTFFHQENVRALTKGVQAVMGYKPGSGVVTASTASSENGAGKPWKKHGNRNKKEKSRRLYKHLDM
+>DECOY_sp|Q9H089|LSG1_HUMAN Large subunit GTPase 1 homolog OS=Homo sapiens OX=9606 GN=LSG1 PE=1 SV=2
+MDLHKYLRRSKEKKNRNGHKKWPKGAGNESSATSATVVGSGPKYGMVAQVGKTLARVNEQHFFTKDVINEIQKAKKNRGLQMKIEDSNMKNELLRQHQHQFTVPDRGPPPHCYLLKGSVYDKLIYRASRPQDPQGHATMFGRMYGYATLLEESTPPRHPDEDERPTIINIGYTAELVHRPINQCVLSVPPVHDRMQDIPLIGSCTMEAKTSVFSPMVLGPCDCLCLGPEVYLTQFHKTHGPTASVSVKKNGMITNITSSKGVNPYGVLGVTLQGDKVKRGTHLEKFLELLEQKSVLHSFNHIQRKQPTKRSRAESDATSSEKWDQSCDEEKPGDEESCTQWDDEEEEPCDEYESDDEDTTPNESLSPSDRAPLHESESHSIEAQDFSSHGFKTTNSQRDDRNAEEESDGNLPIAGALASWFIVKVDEKEFYMAWASRQEATLLDAKNILIVNEKNADMEKVYCELDECRFLLPNRADVIQVVIDSREIVRWLQRWFDLNREFPTLILKQEEELRVLQRRWELFNDKEAQKLEEPTTNQNWNPRRPICLFQKNEEHLKKIRQSEEFSLLGTRAEAPVFKINLKEAVFETGALEATALFDDLSSQETVSQLNLRGWDYGDNLESTHLWSDTHRHSRSRQTQHRMLARGLSGGAPARRRGM
+>sp|P33241|LSP1_HUMAN Lymphocyte-specific protein 1 OS=Homo sapiens OX=9606 GN=LSP1 PE=1 SV=1
+MAEASSDPGAEEREELLGPTAQWSVEDEEEAVHEQCQHERDRQLQAQDEEGGGHVPERPKQEMLLSLKPSEAPELDEDEGFGDWSQRPEQRQQHEGAQGALDSGEPPQCRSPEGEQEDRPGLHAYEKEDSDEVHLEELSLSKEGPGPEDTVQDNLGAAGAEEEQEEHQKCQQPRTPSPLVLEGTIEQSSPPLSPTTKLIDRTESLNRSIEKSNSVKKSQPDLPISKIDQWLEQYTQAIETAGRTPKLARQASIELPSMAVASTKSRWETGEVQAQSAAKTPSCKDIVAGDMSKKSLWEQKGGSKTSSTIKSTPSGKRYKFVATGHGKYEKVLVEGGPAP
+>DECOY_sp|P33241|LSP1_HUMAN Lymphocyte-specific protein 1 OS=Homo sapiens OX=9606 GN=LSP1 PE=1 SV=1
+PAPGGEVLVKEYKGHGTAVFKYRKGSPTSKITSSTKSGGKQEWLSKKSMDGAVIDKCSPTKAASQAQVEGTEWRSKTSAVAMSPLEISAQRALKPTRGATEIAQTYQELWQDIKSIPLDPQSKKVSNSKEISRNLSETRDILKTTPSLPPSSQEITGELVLPSPTRPQQCKQHEEQEEEAGAAGLNDQVTDEPGPGEKSLSLEELHVEDSDEKEYAHLGPRDEQEGEPSRCQPPEGSDLAGQAGEHQQRQEPRQSWDGFGEDEDLEPAESPKLSLLMEQKPREPVHGGGEEDQAQLQRDREHQCQEHVAEEEDEVSWQATPGLLEEREEAGPDSSAEAM
+>sp|O00453|LST1_HUMAN Leukocyte-specific transcript 1 protein OS=Homo sapiens OX=9606 GN=LST1 PE=1 SV=2
+MLSRNDDICIYGGLGLGGLLLLAVVLLSACLCWLHRRVKRLERSWAQGSSEQELHYASLQRLPVPSSEGPDLRGRDKRGTKEDPRADYACIAENKPT
+>DECOY_sp|O00453|LST1_HUMAN Leukocyte-specific transcript 1 protein OS=Homo sapiens OX=9606 GN=LST1 PE=1 SV=2
+TPKNEAICAYDARPDEKTGRKDRGRLDPGESSPVPLRQLSAYHLEQESSGQAWSRELRKVRRHLWCLCASLLVVALLLLGGLGLGGYICIDDNRSLM
+>sp|P29376|LTK_HUMAN Leukocyte tyrosine kinase receptor OS=Homo sapiens OX=9606 GN=LTK PE=1 SV=3
+MGCWGQLLVWFGAAGAILCSSPGSQETFLRSSPLPLASPSPRDPKVSAPPSILEPASPLNSPGTEGSWLFSTCGASGRHGPTQTQCDGAYAGTSVVVTVGAAGQLRGVQLWRVPGPGQYLISAYGAAGGKGAKNHLSRAHGVFVSAIFSLGLGESLYILVGQQGEDACPGGSPESQLVCLGESRAVEEHAAMDGSEGVPGSRRWAGGGGGGGGATYVFRVRAGELEPLLVAAGGGGRAYLRPRDRGRTQASPEKLENRSEAPGSGGRGGAAGGGGGWTSRAPSPQAGRSLQEGAEGGQGCSEAWATLGWAAAGGFGGGGGACTAGGGGGGYRGGDASETDNLWADGEDGVSFIHPSSELFLQPLAVTENHGEVEIRRHLNCSHCPLRDCQWQAELQLAECLCPEGMELAVDNVTCMDLHKPPGPLVLMVAVVATSTLSLLMVCGVLILVKQKKWQGLQEMRLPSPELELSKLRTSAIRTAPNPYYCQVGLGPAQSWPLPPGVTEVSPANVTLLRALGHGAFGEVYEGLVIGLPGDSSPLQVAIKTLPELCSPQDELDFLMEALIISKFRHQNIVRCVGLSLRATPRLILLELMSGGDMKSFLRHSRPHLGQPSPLVMRDLLQLAQDIAQGCHYLEENHFIHRDIAARNCLLSCAGPSRVAKIGDFGMARDIYRASYYRRGDRALLPVKWMPPEAFLEGIFTSKTDSWSFGVLLWEIFSLGYMPYPGRTNQEVLDFVVGGGRMDPPRGCPGPVYRIMTQCWQHEPELRPSFASILERLQYCTQDPDVLNSLLPMELGPTPEEEGTSGLGNRSLECLRPPQPQELSPEKLKSWGGSPLGPWLSSGLKPLKSRGLQPQNLWNPTYRS
+>DECOY_sp|P29376|LTK_HUMAN Leukocyte tyrosine kinase receptor OS=Homo sapiens OX=9606 GN=LTK PE=1 SV=3
+SRYTPNWLNQPQLGRSKLPKLGSSLWPGLPSGGWSKLKEPSLEQPQPPRLCELSRNGLGSTGEEEPTPGLEMPLLSNLVDPDQTCYQLRELISAFSPRLEPEHQWCQTMIRYVPGPCGRPPDMRGGGVVFDLVEQNTRGPYPMYGLSFIEWLLVGFSWSDTKSTFIGELFAEPPMWKVPLLARDGRRYYSARYIDRAMGFDGIKAVRSPGACSLLCNRAAIDRHIFHNEELYHCGQAIDQALQLLDRMVLPSPQGLHPRSHRLFSKMDGGSMLELLILRPTARLSLGVCRVINQHRFKSIILAEMLFDLEDQPSCLEPLTKIAVQLPSSDGPLGIVLGEYVEGFAGHGLARLLTVNAPSVETVGPPLPWSQAPGLGVQCYYPNPATRIASTRLKSLELEPSPLRMEQLGQWKKQKVLILVGCVMLLSLTSTAVVAVMLVLPGPPKHLDMCTVNDVALEMGEPCLCEALQLEAQWQCDRLPCHSCNLHRRIEVEGHNETVALPQLFLESSPHIFSVGDEGDAWLNDTESADGGRYGGGGGGATCAGGGGGFGGAAAWGLTAWAESCGQGGEAGEQLSRGAQPSPARSTWGGGGGAAGGRGGSGPAESRNELKEPSAQTRGRDRPRLYARGGGGAAVLLPELEGARVRFVYTAGGGGGGGGAWRRSGPVGESGDMAAHEEVARSEGLCVLQSEPSGGPCADEGQQGVLIYLSEGLGLSFIASVFVGHARSLHNKAGKGGAAGYASILYQGPGPVRWLQVGRLQGAAGVTVVVSTGAYAGDCQTQTPGHRGSAGCTSFLWSGETGPSNLPSAPELISPPASVKPDRPSPSALPLPSSRLFTEQSGPSSCLIAGAAGFWVLLQGWCGM
+>sp|P28300|LYOX_HUMAN Protein-lysine 6-oxidase OS=Homo sapiens OX=9606 GN=LOX PE=1 SV=2
+MRFAWTVLLLGPLQLCALVHCAPPAAGQQQPPREPPAAPGAWRQQIQWENNGQVFSLLSLGSQYQPQRRRDPGAAVPGAANASAQQPRTPILLIRDNRTAAARTRTAGSSGVTAGRPRPTARHWFQAGYSTSRAREAGASRAENQTAPGEVPALSNLRPPSRVDGMVGDDPYNPYKYSDDNPYYNYYDTYERPRPGGRYRPGYGTGYFQYGLPDLVADPYYIQASTYVQKMSMYNLRCAAEENCLASTAYRADVRDYDHRVLLRFPQRVKNQGTSDFLPSRPRYSWEWHSCHQHYHSMDEFSHYDLLDANTQRRVAEGHKASFCLEDTSCDYGYHRRFACTAHTQGLSPGCYDTYGADIDCQWIDITDVKPGNYILKVSVNPSYLVPESDYTNNVVRCDIRYTGHHAYASGCTISPY
+>DECOY_sp|P28300|LYOX_HUMAN Protein-lysine 6-oxidase OS=Homo sapiens OX=9606 GN=LOX PE=1 SV=2
+YPSITCGSAYAHHGTYRIDCRVVNNTYDSEPVLYSPNVSVKLIYNGPKVDTIDIWQCDIDAGYTDYCGPSLGQTHATCAFRRHYGYDCSTDELCFSAKHGEAVRRQTNADLLDYHSFEDMSHYHQHCSHWEWSYRPRSPLFDSTGQNKVRQPFRLLVRHDYDRVDARYATSALCNEEAACRLNYMSMKQVYTSAQIYYPDAVLDPLGYQFYGTGYGPRYRGGPRPREYTDYYNYYPNDDSYKYPNYPDDGVMGDVRSPPRLNSLAPVEGPATQNEARSAGAERARSTSYGAQFWHRATPRPRGATVGSSGATRTRAAATRNDRILLIPTRPQQASANAAGPVAAGPDRRRQPQYQSGLSLLSFVQGNNEWQIQQRWAGPAAPPERPPQQQGAAPPACHVLACLQLPGLLLVTWAFRM
+>sp|O75608|LYPA1_HUMAN Acyl-protein thioesterase 1 OS=Homo sapiens OX=9606 GN=LYPLA1 PE=1 SV=1
+MCGNNMSTPLPAIVPAARKATAAVIFLHGLGDTGHGWAEAFAGIRSSHIKYICPHAPVRPVTLNMNVAMPSWFDIIGLSPDSQEDESGIKQAAENIKALIDQEVKNGIPSNRIILGGFSQGGALSLYTALTTQQKLAGVTALSCWLPLRASFPQGPIGGANRDISILQCHGDCDPLVPLMFGSLTVEKLKTLVNPANVTFKTYEGMMHSSCQQEMMDVKQFIDKLLPPID
+>DECOY_sp|O75608|LYPA1_HUMAN Acyl-protein thioesterase 1 OS=Homo sapiens OX=9606 GN=LYPLA1 PE=1 SV=1
+DIPPLLKDIFQKVDMMEQQCSSHMMGEYTKFTVNAPNVLTKLKEVTLSGFMLPVLPDCDGHCQLISIDRNAGGIPGQPFSARLPLWCSLATVGALKQQTTLATYLSLAGGQSFGGLIIRNSPIGNKVEQDILAKINEAAQKIGSEDEQSDPSLGIIDFWSPMAVNMNLTVPRVPAHPCIYKIHSSRIGAFAEAWGHGTDGLGHLFIVAATAKRAAPVIAPLPTSMNNGCM
+>sp|O95274|LYPD3_HUMAN Ly6/PLAUR domain-containing protein 3 OS=Homo sapiens OX=9606 GN=LYPD3 PE=1 SV=2
+MDPARKAGAQAMIWTAGWLLLLLLRGGAQALECYSCVQKADDGCSPNKMKTVKCAPGVDVCTEAVGAVETIHGQFSLAVRGCGSGLPGKNDRGLDLHGLLAFIQLQQCAQDRCNAKLNLTSRALDPAGNESAYPPNGVECYSCVGLSREACQGTSPPVVSCYNASDHVYKGCFDGNVTLTAANVTVSLPVRGCVQDEFCTRDGVTGPGFTLSGSCCQGSRCNSDLRNKTYFSPRIPPLVRLPPPEPTTVASTTSVTTSTSAPVRPTSTTKPMPAPTSQTPRQGVEHEASRDEEPRLTGGAAGHQDRSNSGQYPAKGGPQQPHNKGCVAPTAGLAALLLAVAAGVLL
+>DECOY_sp|O95274|LYPD3_HUMAN Ly6/PLAUR domain-containing protein 3 OS=Homo sapiens OX=9606 GN=LYPD3 PE=1 SV=2
+LLVGAAVALLLAALGATPAVCGKNHPQQPGGKAPYQGSNSRDQHGAAGGTLRPEEDRSAEHEVGQRPTQSTPAPMPKTTSTPRVPASTSTTVSTTSAVTTPEPPPLRVLPPIRPSFYTKNRLDSNCRSGQCCSGSLTFGPGTVGDRTCFEDQVCGRVPLSVTVNAATLTVNGDFCGKYVHDSANYCSVVPPSTGQCAERSLGVCSYCEVGNPPYASENGAPDLARSTLNLKANCRDQACQQLQIFALLGHLDLGRDNKGPLGSGCGRVALSFQGHITEVAGVAETCVDVGPACKVTKMKNPSCGDDAKQVCSYCELAQAGGRLLLLLLWGATWIMAQAGAKRAPDM
+>sp|Q86Y78|LYPD6_HUMAN Ly6/PLAUR domain-containing protein 6 OS=Homo sapiens OX=9606 GN=LYPD6 PE=1 SV=1
+MEPGPALAWLLLLSLLADCLKAAQSRDFTVKDIIYLHPSTTPYPGGFKCFTCEKAADNYECNRWAPDIYCPRETRYCYTQHTMEVTGNSISVTKRCVPLEECLSTGCRDSEHEGHKVCTSCCEGNICNLPLPRNETDATFATTSPINQTNGHPRCMSVIVSCLWLWLGLML
+>DECOY_sp|Q86Y78|LYPD6_HUMAN Ly6/PLAUR domain-containing protein 6 OS=Homo sapiens OX=9606 GN=LYPD6 PE=1 SV=1
+LMLGLWLWLCSVIVSMCRPHGNTQNIPSTTAFTADTENRPLPLNCINGECCSTCVKHGEHESDRCGTSLCEELPVCRKTVSISNGTVEMTHQTYCYRTERPCYIDPAWRNCEYNDAAKECTFCKFGGPYPTTSPHLYIIDKVTFDRSQAAKLCDALLSLLLLWALAPGPEM
+>sp|Q86UE4|LYRIC_HUMAN Protein LYRIC OS=Homo sapiens OX=9606 GN=MTDH PE=1 SV=2
+MAARSWQDELAQQAEEGSARLREMLSVGLGFLRTELGLDLGLEPKRYPGWVILVGTGALGLLLLFLLGYGWAAACAGARKKRRSPPRKREEAAAVPAAAPDDLALLKNLRSEEQKKKNRKKLSEKPKPNGRTVEVAEGEAVRTPQSVTAKQPPEIDKKNEKSKKNKKKSKSDAKAVQNSSRHDGKEVDEGAWETKISHREKRQQRKRDKVLTDSGSLDSTIPGIENTITVTTEQLTTASFPVGSKKNKGDSHLNVQVSNFKSGKGDSTLQVSSGLNENLTVNGGGWNEKSVKLSSQISAGEEKWNSVSPASAGKRKTEPSAWSQDTGDANTNGKDWGRSWSDRSIFSGIGSTAEPVSQSTTSDYQWDVSRNQPYIDDEWSGLNGLSSADPNSDWNAPAEEWGNWVDEERASLLKSQEPIPDDQKVSDDDKEKGEGALPTGKSKKKKKKKKKQGEDNSTAQDTEELEKEIREDLPVNTSKTRPKQEKAFSLKTISTSDPAEVLVKNSQPIKTLPPATSTEPSVILSKSDSDKSSSQVPPILQETDKSKSNTKQNSVPPSQTKSETSWESPKQIKKKKKARRET
+>DECOY_sp|Q86UE4|LYRIC_HUMAN Protein LYRIC OS=Homo sapiens OX=9606 GN=MTDH PE=1 SV=2
+TERRAKKKKKIQKPSEWSTESKTQSPPVSNQKTNSKSKDTEQLIPPVQSSSKDSDSKSLIVSPETSTAPPLTKIPQSNKVLVEAPDSTSITKLSFAKEQKPRTKSTNVPLDERIEKELEETDQATSNDEGQKKKKKKKKKSKGTPLAGEGKEKDDDSVKQDDPIPEQSKLLSAREEDVWNGWEEAPANWDSNPDASSLGNLGSWEDDIYPQNRSVDWQYDSTTSQSVPEATSGIGSFISRDSWSRGWDKGNTNADGTDQSWASPETKRKGASAPSVSNWKEEGASIQSSLKVSKENWGGGNVTLNENLGSSVQLTSDGKGSKFNSVQVNLHSDGKNKKSGVPFSATTLQETTVTITNEIGPITSDLSGSDTLVKDRKRQQRKERHSIKTEWAGEDVEKGDHRSSNQVAKADSKSKKKNKKSKENKKDIEPPQKATVSQPTRVAEGEAVEVTRGNPKPKESLKKRNKKKQEESRLNKLLALDDPAAAPVAAAEERKRPPSRRKKRAGACAAAWGYGLLFLLLLGLAGTGVLIVWGPYRKPELGLDLGLETRLFGLGVSLMERLRASGEEAQQALEDQWSRAAM
+>sp|Q9Y5Y7|LYVE1_HUMAN Lymphatic vessel endothelial hyaluronic acid receptor 1 OS=Homo sapiens OX=9606 GN=LYVE1 PE=1 SV=2
+MARCFSLVLLLTSIWTTRLLVQGSLRAEELSIQVSCRIMGITLVSKKANQQLNFTEAKEACRLLGLSLAGKDQVETALKASFETCSYGWVGDGFVVISRISPNPKCGKNGVGVLIWKVPVSRQFAAYCYNSSDTWTNSCIPEIITTKDPIFNTQTATQTTEFIVSDSTYSVASPYSTIPAPTTTPPAPASTSIPRRKKLICVTEVFMETSTMSTETEPFVENKAAFKNEAAGFGGVPTALLVLALLFFGAAAGLGFCYVKRYVKAFPFTNKNQQKEMIETKVVKEEKANDSNPNEESKKTDKNPEESKSPSKTTVRCLEAEV
+>DECOY_sp|Q9Y5Y7|LYVE1_HUMAN Lymphatic vessel endothelial hyaluronic acid receptor 1 OS=Homo sapiens OX=9606 GN=LYVE1 PE=1 SV=2
+VEAELCRVTTKSPSKSEEPNKDTKKSEENPNSDNAKEEKVVKTEIMEKQQNKNTFPFAKVYRKVYCFGLGAAAGFFLLALVLLATPVGGFGAAENKFAAKNEVFPETETSMTSTEMFVETVCILKKRRPISTSAPAPPTTTPAPITSYPSAVSYTSDSVIFETTQTATQTNFIPDKTTIIEPICSNTWTDSSNYCYAAFQRSVPVKWILVGVGNKGCKPNPSIRSIVVFGDGVWGYSCTEFSAKLATEVQDKGALSLGLLRCAEKAETFNLQQNAKKSVLTIGMIRCSVQISLEEARLSGQVLLRTTWISTLLLVLSFCRAM
+>sp|Q96KX0|LYZL4_HUMAN Lysozyme-like protein 4 OS=Homo sapiens OX=9606 GN=LYZL4 PE=2 SV=1
+MKASVVLSLLGYLVVPSGAYILGRCTVAKKLHDGGLDYFEGYSLENWVCLAYFESKFNPMAIYENTREGYTGFGLFQMRGSDWCGDHGRNRCHMSCSALLNPNLEKTIKCAKTIVKGKEGMGAWPTWSRYCQYSDTLARWLDGCKL
+>DECOY_sp|Q96KX0|LYZL4_HUMAN Lysozyme-like protein 4 OS=Homo sapiens OX=9606 GN=LYZL4 PE=2 SV=1
+LKCGDLWRALTDSYQCYRSWTPWAGMGEKGKVITKACKITKELNPNLLASCSMHCRNRGHDGCWDSGRMQFLGFGTYGERTNEYIAMPNFKSEFYALCVWNELSYGEFYDLGGDHLKKAVTCRGLIYAGSPVVLYGLLSLVVSAKM
+>sp|O75951|LYZL6_HUMAN Lysozyme-like protein 6 OS=Homo sapiens OX=9606 GN=LYZL6 PE=1 SV=1
+MTKALLIYLVSSFLALNQASLISRCDLAQVLQLEDLDGFEGYSLSDWLCLAFVESKFNISKINENADGSFDYGLFQINSHYWCNDYKSYSENLCHVDCQDLLNPNLLAGIHCAKRIVSGARGMNNWVEWRLHCSGRPLFYWLTGCRLR
+>DECOY_sp|O75951|LYZL6_HUMAN Lysozyme-like protein 6 OS=Homo sapiens OX=9606 GN=LYZL6 PE=1 SV=1
+RLRCGTLWYFLPRGSCHLRWEVWNNMGRAGSVIRKACHIGALLNPNLLDQCDVHCLNESYSKYDNCWYHSNIQFLGYDFSGDANENIKSINFKSEVFALCLWDSLSYGEFGDLDELQLVQALDCRSILSAQNLALFSSVLYILLAKTM
+>sp|Q8WZA0|LZIC_HUMAN Protein LZIC OS=Homo sapiens OX=9606 GN=LZIC PE=1 SV=1
+MASRGKTETSKLKQNLEEQLDRLMQQLQDLEECREELDTDEYEETKKETLEQLSEFNDSLKKIMSGNMTLVDELSGMQLAIQAAISQAFKTPEVIRLFAKKQPGQLRTRLAEMDRDLMVGKLERDLYTQQKVEILTALRKLGEKLTADDEAFLSANAGAILSQFEKVSTDLGSGDKILALASFEVEKTKK
+>DECOY_sp|Q8WZA0|LZIC_HUMAN Protein LZIC OS=Homo sapiens OX=9606 GN=LZIC PE=1 SV=1
+KKTKEVEFSALALIKDGSGLDTSVKEFQSLIAGANASLFAEDDATLKEGLKRLATLIEVKQQTYLDRELKGVMLDRDMEALRTRLQGPQKKAFLRIVEPTKFAQSIAAQIALQMGSLEDVLTMNGSMIKKLSDNFESLQELTEKKTEEYEDTDLEERCEELDQLQQMLRDLQEELNQKLKSTETKGRSAM
+>sp|Q9Y250|LZTS1_HUMAN Leucine zipper putative tumor suppressor 1 OS=Homo sapiens OX=9606 GN=LZTS1 PE=1 SV=3
+MGSVSSLISGHSFHSKHCRASQYKLRKSSHLKKLNRYSDGLLRFGFSQDSGHGKSSSKMGKSEDFFYIKVSQKARGSHHPDYTALSSGDLGGQAGVDFDPSTPPKLMPFSNQLEMGSEKGAVRPTAFKPVLPRSGAILHSSPESASHQLHPAPPDKPKEQELKPGLCSGALSDSGRNSMSSLPTHSTSSSYQLDPLVTPVGPTSRFGGSAHNITQGIVLQDSNMMSLKALSFSDGGSKLGHSNKADKGPSCVRSPISTDECSIQELEQKLLEREGALQKLQRSFEEKELASSLAYEERPRRCRDELEGPEPKGGNKLKQASQKSQRAQQVLHLQVLQLQQEKRQLRQELESLMKEQDLLETKLRSYEREKTSFGPALEETQWEVCQKSGEISLLKQQLKESQTEVNAKASEILGLKAQLKDTRGKLEGLELRTQDLEGALRTKGLELEVCENELQRKKNEAELLREKVNLLEQELQELRAQAALARDMGPPTFPEDVPALQRELERLRAELREERQGHDQMSSGFQHERLVWKEEKEKVIQYQKQLQQSYVAMYQRNQRLEKALQQLARGDSAGEPLEVDLEGADIPYEDIIATEI
+>DECOY_sp|Q9Y250|LZTS1_HUMAN Leucine zipper putative tumor suppressor 1 OS=Homo sapiens OX=9606 GN=LZTS1 PE=1 SV=3
+IETAIIDEYPIDAGELDVELPEGASDGRALQQLAKELRQNRQYMAVYSQQLQKQYQIVKEKEEKWVLREHQFGSSMQDHGQREERLEARLRELERQLAPVDEPFTPPGMDRALAAQARLEQLEQELLNVKERLLEAENKKRQLENECVELELGKTRLAGELDQTRLELGELKGRTDKLQAKLGLIESAKANVETQSEKLQQKLLSIEGSKQCVEWQTEELAPGFSTKEREYSRLKTELLDQEKMLSELEQRLQRKEQQLQLVQLHLVQQARQSKQSAQKLKNGGKPEPGELEDRCRRPREEYALSSALEKEEFSRQLKQLAGERELLKQELEQISCEDTSIPSRVCSPGKDAKNSHGLKSGGDSFSLAKLSMMNSDQLVIGQTINHASGGFRSTPGVPTVLPDLQYSSSTSHTPLSSMSNRGSDSLAGSCLGPKLEQEKPKDPPAPHLQHSASEPSSHLIAGSRPLVPKFATPRVAGKESGMELQNSFPMLKPPTSPDFDVGAQGGLDGSSLATYDPHHSGRAKQSVKIYFFDESKGMKSSSKGHGSDQSFGFRLLGDSYRNLKKLHSSKRLKYQSARCHKSHFSHGSILSSVSGM
+>sp|Q9BXT6|M10L1_HUMAN RNA helicase Mov10l1 OS=Homo sapiens OX=9606 GN=MOV10L1 PE=2 SV=1
+MLSLAAKLVAFFWRTADTPREEAGQLEPELAEGDTKLKTVRGVVTRYCSDYGMIDDMIYFSSDAVTSRVLLNVGQEVIAVVEENKVSNGLKAIRVEAVSDKWEDDSRNHGSPSDCGPRVLIGCVTSLVEGAGCISQTTYFSLESVCEGFEPCKGDWVEAEYRIRPGTWSSEATSVKPLRYKRVDKVCISSLCGRNGVLEESIFFTLDSLKLPDGYTPRRGDVVNAVVVESSQSCYVWRALCMTLVKRRDAAPVHEATHFYGTILLKNKGDIEVTQVTHFGTLKEGRSKTMVIWIENKGDIPQNLVSCKLAGWDKSKQFRFQMLDKDQMCPVVSFVSVPEKENSSDENINSLNSHTKNKTSQMSESSLVNNRGISPGDCTCKGENGEKDNILSRKQMTEPEPGGLVPPGGKTFIVVICDGKNPGRCKELLLLCFSDFLIGRYLEVNVISGEESLIAAREPFSWKKLKSSQALTSAKTTVVVTAQKRNSRRQLPSFLPQYPIPDRLRKCVEQKIDILTFQPLLAELLNMSNYKEKFSTLLWLEEIYAEMELKEYNMSGIILRRNGDLLVLEVPGLAEGRPSLYAGDKLILKTQEYNGHAIEYISYVTEIHEEDVTLKINPEFEQAYNFEPMDVEFTYNRTTSRRCHFALEHVIHLGVKVLFPEEIILQSPQVTGNWNHAQDTKSSGQSTSKKNRKTMTDQAEHGTEERRVGDKDLPVLAPFTAEMSDWVDEIQTPKARKMEFFNPVLNENQKLAVKRILSGDCRPLPYILFGPPGTGKTVTIIEAVLQVHFALPDSRILVCAPSNSAADLVCLRLHESKVLQPATMVRVNATCRFEEIVIDAVKPYCRDGEDIWKASRFRIIITTCSSSGLFYQIGVRVGHFTHVFVDEAGQASEPECLIPLGLMSDISGQIVLAGDPMQLGPVIKSRLAMAYGLNVSFLERLMSRPAYQRDENAFGACGAHNPLLVTKLVKNYRSHEALLMLPSRLFYHRELEVCADPTVVTSLLGWEKLPKKGFPLIFHGVRGSEAREGKSPSWFNPAEAVQVLRYCCLLAHSISSQVSASDIGVITPYRKQVEKIRILLRNVDLMDIKVGSVEEFQGQEYLVIIISTVRSNEDRFEDDRYFLGFLSNSKRFNVAITRPKALLIVLGNPHVLVRDPCFGALLEYSITNGVYMGCDLPPALQSLQNCGEGVADPSYPVVPESTGPEKHQEPS
+>DECOY_sp|Q9BXT6|M10L1_HUMAN RNA helicase Mov10l1 OS=Homo sapiens OX=9606 GN=MOV10L1 PE=2 SV=1
+SPEQHKEPGTSEPVVPYSPDAVGEGCNQLSQLAPPLDCGMYVGNTISYELLAGFCPDRVLVHPNGLVILLAKPRTIAVNFRKSNSLFGLFYRDDEFRDENSRVTSIIIVLYEQGQFEEVSGVKIDMLDVNRLLIRIKEVQKRYPTIVGIDSASVQSSISHALLCCYRLVQVAEAPNFWSPSKGERAESGRVGHFILPFGKKPLKEWGLLSTVVTPDACVELERHYFLRSPLMLLAEHSRYNKVLKTVLLPNHAGCAGFANEDRQYAPRSMLRELFSVNLGYAMALRSKIVPGLQMPDGALVIQGSIDSMLGLPILCEPESAQGAEDVFVHTFHGVRVGIQYFLGSSSCTTIIIRFRSAKWIDEGDRCYPKVADIVIEEFRCTANVRVMTAPQLVKSEHLRLCVLDAASNSPACVLIRSDPLAFHVQLVAEIITVTKGTGPPGFLIYPLPRCDGSLIRKVALKQNENLVPNFFEMKRAKPTQIEDVWDSMEATFPALVPLDKDGVRREETGHEAQDTMTKRNKKSTSQGSSKTDQAHNWNGTVQPSQLIIEEPFLVKVGLHIVHELAFHCRRSTTRNYTFEVDMPEFNYAQEFEPNIKLTVDEEHIETVYSIYEIAHGNYEQTKLILKDGAYLSPRGEALGPVELVLLDGNRRLIIGSMNYEKLEMEAYIEELWLLTSFKEKYNSMNLLEALLPQFTLIDIKQEVCKRLRDPIPYQPLFSPLQRRSNRKQATVVVTTKASTLAQSSKLKKWSFPERAAILSEEGSIVNVELYRGILFDSFCLLLLEKCRGPNKGDCIVVIFTKGGPPVLGGPEPETMQKRSLINDKEGNEGKCTCDGPSIGRNNVLSSESMQSTKNKTHSNLSNINEDSSNEKEPVSVFSVVPCMQDKDLMQFRFQKSKDWGALKCSVLNQPIDGKNEIWIVMTKSRGEKLTGFHTVQTVEIDGKNKLLITGYFHTAEHVPAADRRKVLTMCLARWVYCSQSSEVVVANVVDGRRPTYGDPLKLSDLTFFISEELVGNRGCLSSICVKDVRKYRLPKVSTAESSWTGPRIRYEAEVWDGKCPEFGECVSELSFYTTQSICGAGEVLSTVCGILVRPGCDSPSGHNRSDDEWKDSVAEVRIAKLGNSVKNEEVVAIVEQGVNLLVRSTVADSSFYIMDDIMGYDSCYRTVVGRVTKLKTDGEALEPELQGAEERPTDATRWFFAVLKAALSLM
+>sp|P43355|MAGA1_HUMAN Melanoma-associated antigen 1 OS=Homo sapiens OX=9606 GN=MAGEA1 PE=1 SV=1
+MSLEQRSLHCKPEEALEAQQEALGLVCVQAATSSSSPLVLGTLEEVPTAGSTDPPQSPQGASAFPTTINFTRQRQPSEGSSSREEEGPSTSCILESLFRAVITKKVADLVGFLLLKYRAREPVTKAEMLESVIKNYKHCFPEIFGKASESLQLVFGIDVKEADPTGHSYVLVTCLGLSYDGLLGDNQIMPKTGFLIIVLVMIAMEGGHAPEEEIWEELSVMEVYDGREHSAYGEPRKLLTQDLVQEKYLEYRQVPDSDPARYEFLWGPRALAETSYVKVLEYVIKVSARVRFFFPSLREAALREEEEGV
+>DECOY_sp|P43355|MAGA1_HUMAN Melanoma-associated antigen 1 OS=Homo sapiens OX=9606 GN=MAGEA1 PE=1 SV=1
+VGEEEERLAAERLSPFFFRVRASVKIVYELVKVYSTEALARPGWLFEYRAPDSDPVQRYELYKEQVLDQTLLKRPEGYASHERGDYVEMVSLEEWIEEEPAHGGEMAIMVLVIILFGTKPMIQNDGLLGDYSLGLCTVLVYSHGTPDAEKVDIGFVLQLSESAKGFIEPFCHKYNKIVSELMEAKTVPERARYKLLLFGVLDAVKKTIVARFLSELICSTSPGEEERSSSGESPQRQRTFNITTPFASAGQPSQPPDTSGATPVEELTGLVLPSSSSTAAQVCVLGLAEQQAELAEEPKCHLSRQELSM
+>sp|P43361|MAGA8_HUMAN Melanoma-associated antigen 8 OS=Homo sapiens OX=9606 GN=MAGEA8 PE=1 SV=2
+MLLGQKSQRYKAEEGLQAQGEAPGLMDVQIPTAEEQKAASSSSTLIMGTLEEVTDSGSPSPPQSPEGASSSLTVTDSTLWSQSDEGSSSNEEEGPSTSPDPAHLESLFREALDEKVAELVRFLLRKYQIKEPVTKAEMLESVIKNYKNHFPDIFSKASECMQVIFGIDVKEVDPAGHSYILVTCLGLSYDGLLGDDQSTPKTGLLIIVLGMILMEGSRAPEEAIWEALSVMGLYDGREHSVYWKLRKLLTQEWVQENYLEYRQAPGSDPVRYEFLWGPRALAETSYVKVLEHVVRVNARVRISYPSLHEEALGEEKGV
+>DECOY_sp|P43361|MAGA8_HUMAN Melanoma-associated antigen 8 OS=Homo sapiens OX=9606 GN=MAGEA8 PE=1 SV=2
+VGKEEGLAEEHLSPYSIRVRANVRVVHELVKVYSTEALARPGWLFEYRVPDSGPAQRYELYNEQVWEQTLLKRLKWYVSHERGDYLGMVSLAEWIAEEPARSGEMLIMGLVIILLGTKPTSQDDGLLGDYSLGLCTVLIYSHGAPDVEKVDIGFIVQMCESAKSFIDPFHNKYNKIVSELMEAKTVPEKIQYKRLLFRVLEAVKEDLAERFLSELHAPDPSTSPGEEENSSSGEDSQSWLTSDTVTLSSSAGEPSQPPSPSGSDTVEELTGMILTSSSSAAKQEEATPIQVDMLGPAEGQAQLGEEAKYRQSKQGLLM
+>sp|P43364|MAGAB_HUMAN Melanoma-associated antigen 11 OS=Homo sapiens OX=9606 GN=MAGEA11 PE=1 SV=2
+METQFRRGGLGCSPASIKRKKKREDSGDFGLQVSTMFSEDDFQSTERAPYGPQLQWSQDLPRVQVFREQANLEDRSPRRTQRITGGEQVLWGPITQIFPTVRPADLTRVIMPLEQRSQHCKPEEGLQAQEEDLGLVGAQALQAEEQEAAFFSSTLNVGTLEELPAAESPSPPQSPQEESFSPTAMDAIFGSLSDEGSGSQEKEGPSTSPDLIDPESFSQDILHDKIIDLVHLLLRKYRVKGLITKAEMLGSVIKNYEDYFPEIFREASVCMQLLFGIDVKEVDPTSHSYVLVTSLNLSYDGIQCNEQSMPKSGLLIIVLGVIFMEGNCIPEEVMWEVLSIMGVYAGREHFLFGEPKRLLTQNWVQEKYLVYRQVPGTDPACYEFLWGPRAHAETSKMKVLEYIANANGRDPTSYPSLYEDALREEGEGV
+>DECOY_sp|P43364|MAGAB_HUMAN Melanoma-associated antigen 11 OS=Homo sapiens OX=9606 GN=MAGEA11 PE=1 SV=2
+VGEGEERLADEYLSPYSTPDRGNANAIYELVKMKSTEAHARPGWLFEYCAPDTGPVQRYVLYKEQVWNQTLLRKPEGFLFHERGAYVGMISLVEWMVEEPICNGEMFIVGLVIILLGSKPMSQENCQIGDYSLNLSTVLVYSHSTPDVEKVDIGFLLQMCVSAERFIEPFYDEYNKIVSGLMEAKTILGKVRYKRLLLHVLDIIKDHLIDQSFSEPDILDPSTSPGEKEQSGSGEDSLSGFIADMATPSFSEEQPSQPPSPSEAAPLEELTGVNLTSSFFAAEQEEAQLAQAGVLGLDEEQAQLGEEPKCHQSRQELPMIVRTLDAPRVTPFIQTIPGWLVQEGGTIRQTRRPSRDELNAQERFVQVRPLDQSWQLQPGYPARETSQFDDESFMTSVQLGFDGSDERKKKRKISAPSCGLGGRRFQTEM
+>sp|O15480|MAGB3_HUMAN Melanoma-associated antigen B3 OS=Homo sapiens OX=9606 GN=MAGEB3 PE=2 SV=2
+MPRGQKSTLHAREKRQQTRGQTQDHQGAQITATNKKKVSFSSPLILGATIQKKSAGRSRSALKKPQRALSTTTSVDVSYKKSYKGANSKIEKKQSFSQGLSSTVQSRTDPLIMKTNMLVQFLMEMYKMKKPIMKADMLKIVQKSHKNCFPEILKKASFNMEVVFGVDLKKVDSTKDSYVLVSKMDLPNNGTVTRGRGFPKTGLLLNLLGVIFMKGNCATEEKIWEFLNKMRIYDGKKHFIFGEPRKLITQDLVKLKYLEYRQVPNSNPARYEFLWGPRAHAETSKMKVLEFWAKVNKTVPSAFQFWYEEALRDEEERVQAAAMLNDGSSAMGRKCSKAKASSSSHA
+>DECOY_sp|O15480|MAGB3_HUMAN Melanoma-associated antigen B3 OS=Homo sapiens OX=9606 GN=MAGEB3 PE=2 SV=2
+AHSSSSAKAKSCKRGMASSGDNLMAAAQVREEEDRLAEEYWFQFASPVTKNVKAWFELVKMKSTEAHARPGWLFEYRAPNSNPVQRYELYKLKVLDQTILKRPEGFIFHKKGDYIRMKNLFEWIKEETACNGKMFIVGLLNLLLGTKPFGRGRTVTGNNPLDMKSVLVYSDKTSDVKKLDVGFVVEMNFSAKKLIEPFCNKHSKQVIKLMDAKMIPKKMKYMEMLFQVLMNTKMILPDTRSQVTSSLGQSFSQKKEIKSNAGKYSKKYSVDVSTTTSLARQPKKLASRSRGASKKQITAGLILPSSFSVKKKNTATIQAGQHDQTQGRTQQRKERAHLTSKQGRPM
+>sp|Q96LZ2|MAGBA_HUMAN Melanoma-associated antigen B10 OS=Homo sapiens OX=9606 GN=MAGEB10 PE=1 SV=4
+MPRGQKSKLRAREKRRQARGGLEDLIDALDILEEEEESPPSASACLKDVFQSSLDGASNNPHGLREAQSTSTSATAASHTRHPEGVNDQMEERPICTQDLEATDSFPRGPVDEKVIILVHYLLYKYQMKEPITKADMLRNVTQMSKSQFPVILSRASEHLELIFGLDLKEVEPNKHIYVLVNKLDLGCDAKLSDETGVPKTGLLMTVLGIIFTNGNCVAEEEVWKVFNTMGLYDGIEHFMFGEPRKLLTKDLVKENYLEYQQVPNSDPPRYQFLWGPRAHAETSKMKVLEFLAKVNDTAPSEFSNWYTEALQDEEERARARVAAKARVSATAGARSKVKSSKSSQLQ
+>DECOY_sp|Q96LZ2|MAGBA_HUMAN Melanoma-associated antigen B10 OS=Homo sapiens OX=9606 GN=MAGEB10 PE=1 SV=4
+QLQSSKSSKVKSRAGATASVRAKAAVRARAREEEDQLAETYWNSFESPATDNVKALFELVKMKSTEAHARPGWLFQYRPPDSNPVQQYELYNEKVLDKTLLKRPEGFMFHEIGDYLGMTNFVKWVEEEAVCNGNTFIIGLVTMLLGTKPVGTEDSLKADCGLDLKNVLVYIHKNPEVEKLDLGFILELHESARSLIVPFQSKSMQTVNRLMDAKTIPEKMQYKYLLYHVLIIVKEDVPGRPFSDTAELDQTCIPREEMQDNVGEPHRTHSAATASTSTSQAERLGHPNNSAGDLSSQFVDKLCASASPPSEEEEELIDLADILDELGGRAQRRKERARLKSKQGRPM
+>sp|O60732|MAGC1_HUMAN Melanoma-associated antigen C1 OS=Homo sapiens OX=9606 GN=MAGEC1 PE=1 SV=3
+MGDKDMPTAGMPSLLQSSSESPQSCPEGEDSQSPLQIPQSSPESDDTLYPLQSPQSRSEGEDSSDPLQRPPEGKDSQSPLQIPQSSPEGDDTQSPLQNSQSSPEGKDSLSPLEISQSPPEGEDVQSPLQNPASSFFSSALLSIFQSSPESTQSPFEGFPQSVLQIPVSAASSSTLVSIFQSSPESTQSPFEGFPQSPLQIPVSRSFSSTLLSIFQSSPERTQSTFEGFAQSPLQIPVSPSSSSTLLSLFQSFSERTQSTFEGFAQSSLQIPVSPSFSSTLVSLFQSSPERTQSTFEGFPQSPLQIPVSSSSSSTLLSLFQSSPERTHSTFEGFPQSLLQIPMTSSFSSTLLSIFQSSPESAQSTFEGFPQSPLQIPGSPSFSSTLLSLFQSSPERTHSTFEGFPQSPLQIPMTSSFSSTLLSILQSSPESAQSAFEGFPQSPLQIPVSSSFSYTLLSLFQSSPERTHSTFEGFPQSPLQIPVSSSSSSSTLLSLFQSSPECTQSTFEGFPQSPLQIPQSPPEGENTHSPLQIVPSLPEWEDSLSPHYFPQSPPQGEDSLSPHYFPQSPPQGEDSLSPHYFPQSPQGEDSLSPHYFPQSPPQGEDSMSPLYFPQSPLQGEEFQSSLQSPVSICSSSTPSSLPQSFPESSQSPPEGPVQSPLHSPQSPPEGMHSQSPLQSPESAPEGEDSLSPLQIPQSPLEGEDSLSSLHFPQSPPEWEDSLSPLHFPQFPPQGEDFQSSLQSPVSICSSSTSLSLPQSFPESPQSPPEGPAQSPLQRPVSSFFSYTLASLLQSSHESPQSPPEGPAQSPLQSPVSSFPSSTSSSLSQSSPVSSFPSSTSSSLSKSSPESPLQSPVISFSSSTSLSPFSEESSSPVDEYTSSSDTLLESDSLTDSESLIESEPLFTYTLDEKVDELARFLLLKYQVKQPITKAEMLTNVISRYTGYFPVIFRKAREFIEILFGISLREVDPDDSYVFVNTLDLTSEGCLSDEQGMSQNRLLILILSIIFIKGTYASEEVIWDVLSGIGVRAGREHFAFGEPRELLTKVWVQEHYLEYREVPNSSPPRYEFLWGPRAHSEVIKRKVVEFLAMLKNTVPITFPSSYKDALKDVEERAQAIIDTTDDSTATESASSSVMSPSFSSE
+>DECOY_sp|O60732|MAGC1_HUMAN Melanoma-associated antigen C1 OS=Homo sapiens OX=9606 GN=MAGEC1 PE=1 SV=3
+ESSFSPSMVSSSASETATSDDTTDIIAQAREEVDKLADKYSSPFTIPVTNKLMALFEVVKRKIVESHARPGWLFEYRPPSSNPVERYELYHEQVWVKTLLERPEGFAFHERGARVGIGSLVDWIVEESAYTGKIFIISLILILLRNQSMGQEDSLCGESTLDLTNVFVYSDDPDVERLSIGFLIEIFERAKRFIVPFYGTYRSIVNTLMEAKTIPQKVQYKLLLFRALEDVKEDLTYTFLPESEILSESDTLSDSELLTDSSSTYEDVPSSSEESFPSLSTSSSFSIVPSQLPSEPSSKSLSSSTSSPFSSVPSSQSLSSSTSSPFSSVPSQLPSQAPGEPPSQPSEHSSQLLSALTYSFFSSVPRQLPSQAPGEPPSQPSEPFSQPLSLSTSSSCISVPSQLSSQFDEGQPPFQPFHLPSLSDEWEPPSQPFHLSSLSDEGELPSQPIQLPSLSDEGEPASEPSQLPSQSHMGEPPSQPSHLPSQVPGEPPSQSSEPFSQPLSSPTSSSCISVPSQLSSQFEEGQLPSQPFYLPSMSDEGQPPSQPFYHPSLSDEGQPSQPFYHPSLSDEGQPPSQPFYHPSLSDEGQPPSQPFYHPSLSDEWEPLSPVIQLPSHTNEGEPPSQPIQLPSQPFGEFTSQTCEPSSQFLSLLTSSSSSSSVPIQLPSQPFGEFTSHTREPSSQFLSLLTYSFSSSVPIQLPSQPFGEFASQASEPSSQLISLLTSSFSSTMPIQLPSQPFGEFTSHTREPSSQFLSLLTSSFSPSGPIQLPSQPFGEFTSQASEPSSQFISLLTSSFSSTMPIQLLSQPFGEFTSHTREPSSQFLSLLTSSSSSSVPIQLPSQPFGEFTSQTREPSSQFLSVLTSSFSPSVPIQLSSQAFGEFTSQTRESFSQFLSLLTSSSSPSVPIQLPSQAFGEFTSQTREPSSQFISLLTSSFSRSVPIQLPSQPFGEFPSQTSEPSSQFISVLTSSSAASVPIQLVSQPFGEFPSQTSEPSSQFISLLASSFFSSAPNQLPSQVDEGEPPSQSIELPSLSDKGEPSSQSNQLPSQTDDGEPSSQPIQLPSQSDKGEPPRQLPDSSDEGESRSQPSQLPYLTDDSEPSSQPIQLPSQSDEGEPCSQPSESSSQLLSPMGATPMDKDGM
+>sp|Q96JG8|MAGD4_HUMAN Melanoma-associated antigen D4 OS=Homo sapiens OX=9606 GN=MAGED4 PE=1 SV=3
+MAEGSFSVQSESYSVEDMDEGSDEVGEEEMVEGNDYEEFGAFGGYGTLTSFDIHILRAFGSLGPGLRILSNEPWELENPVLAQTLVEALQLDPETLANETAARAANVARAAASNRAARAAAAAARTAFSQVVASHRVATPQVSGEDTQPTTYAAEAQGPTPEPPLASPQTSQMLVTSKMAAPEAPATSAQSQTGSPAQEAATEGPSSACAFSQAPCAREVDANRPSTAFLGQNDVFDFTQPAGVSGMAFPRPKRPAPAQEAATEGPSAASGVPQTGPGREVAATRPKTTKSGKALAKTRWVEPQNVVAAAAAKAKMATSIPEPEGAAAATAQHSAEPWARMGGKRTKKSKHLDDEYESSEEERETPAVPPTWRASQPSLTVRAQLAPRPPMAPRSQIPSRHVLCLPPRNVTLLQERANKLVKYLMIKDYKKIPIKRADMLKDVIREYDEHFPEIIERATYTLEKKFGIHLKEIDKEEHLYILVCTRDSSARLLGKTKDTPRLSLLLVILGVIFMNGNRASEAVLWEALRKMGLRPGVRHPFLGDLRKLITDDFVKQKYLEYKKIPNSNPPEYEFLWGLRARHETSKMRVLRFIAQNQNRDPREWKAHFLEAVDDAFKTMDVDMAEEHARAQMRAQMNIGDEALIGRWSWDDIQVELLTWDEDGDFGDAWARIPFAFWARYHQYILNSNRANRRATWRAGVSSGTNGGASTSVLDGPSTSSTIRTRNAARAGASFFSWIQHR
+>DECOY_sp|Q96JG8|MAGD4_HUMAN Melanoma-associated antigen D4 OS=Homo sapiens OX=9606 GN=MAGED4 PE=1 SV=3
+RHQIWSFFSAGARAANRTRITSSTSPGDLVSTSAGGNTGSSVGARWTARRNARNSNLIYQHYRAWFAFPIRAWADGFDGDEDWTLLEVQIDDWSWRGILAEDGINMQARMQARAHEEAMDVDMTKFADDVAELFHAKWERPDRNQNQAIFRLVRMKSTEHRARLGWLFEYEPPNSNPIKKYELYKQKVFDDTILKRLDGLFPHRVGPRLGMKRLAEWLVAESARNGNMFIVGLIVLLLSLRPTDKTKGLLRASSDRTCVLIYLHEEKDIEKLHIGFKKELTYTAREIIEPFHEDYERIVDKLMDARKIPIKKYDKIMLYKVLKNAREQLLTVNRPPLCLVHRSPIQSRPAMPPRPALQARVTLSPQSARWTPPVAPTEREEESSEYEDDLHKSKKTRKGGMRAWPEASHQATAAAAGEPEPISTAMKAKAAAAAVVNQPEVWRTKALAKGSKTTKPRTAAVERGPGTQPVGSAASPGETAAEQAPAPRKPRPFAMGSVGAPQTFDFVDNQGLFATSPRNADVERACPAQSFACASSPGETAAEQAPSGTQSQASTAPAEPAAMKSTVLMQSTQPSALPPEPTPGQAEAAYTTPQTDEGSVQPTAVRHSAVVQSFATRAAAAAARAARNSAAARAVNAARAATENALTEPDLQLAEVLTQALVPNELEWPENSLIRLGPGLSGFARLIHIDFSTLTGYGGFAGFEEYDNGEVMEEEGVEDSGEDMDEVSYSESQVSFSGEAM
+>sp|Q7Z304|MAMC2_HUMAN MAM domain-containing protein 2 OS=Homo sapiens OX=9606 GN=MAMDC2 PE=2 SV=3
+MLLRGVLLALQALQLAGALDLPAGSCAFEESTCGFDSVLASLPWILNEEGHYIYVDTSFGKQGEKAVLLSPDLQAEEWSCLRLVYQITTSSESLSDPSQLNLYMRFEDESFDRLLWSAKEPSDSWLIASLDLQNSSKKFKILIEGVLGQGNTASIALFEIKMTTGYCIECDFEENHLCGFVNRWNPNVNWFVGGGSIRNVHSILPQDHTFKSELGHYMYVDSVYVKHFQEVAQLISPLTTAPMAGCLSFYYQIQQGNDNVFSLYTRDVAGLYEEIWKADRPGNAAWNLAEVEFSAPYPMEVIFEVAFNGPKGGYVALDDISFSPVHCQNQTELLFSAVEASCNFEQDLCNFYQDKEGPGWTRVKVKPNMYRAGDHTTGLGYYLLANTKFTSQPGYIGRLYGPSLPGNLQYCLRFHYAIYGFLKMSDTLAVYIFEENHVVQEKIWSVLESPRGVWMQAEITFKKPMPTKVVFMSLCKSFWDCGLVALDDITIQLGSCSSSEKLPPPPGECTFEQDECTFTQEKRNRSSWHRRRGETPTSYTGPKGDHTTGVGYYMYIEASHMVYGQKARLLSRPLRGVSGKHCLTFFYHMYGGGTGLLSVYLKKEEDSEESLLWRRRGEQSISWLRALIEYSCERQHQIIFEAIRGVSIRSDIAIDDVKFQAGPCGEMEDTTQQSSGYSEDLNEIEY
+>DECOY_sp|Q7Z304|MAMC2_HUMAN MAM domain-containing protein 2 OS=Homo sapiens OX=9606 GN=MAMDC2 PE=2 SV=3
+YEIENLDESYGSSQQTTDEMEGCPGAQFKVDDIAIDSRISVGRIAEFIIQHQRECSYEILARLWSISQEGRRRWLLSEESDEEKKLYVSLLGTGGGYMHYFFTLCHKGSVGRLPRSLLRAKQGYVMHSAEIYMYYGVGTTHDGKPGTYSTPTEGRRRHWSSRNRKEQTFTCEDQEFTCEGPPPPLKESSSCSGLQITIDDLAVLGCDWFSKCLSMFVVKTPMPKKFTIEAQMWVGRPSELVSWIKEQVVHNEEFIYVALTDSMKLFGYIAYHFRLCYQLNGPLSPGYLRGIYGPQSTFKTNALLYYGLGTTHDGARYMNPKVKVRTWGPGEKDQYFNCLDQEFNCSAEVASFLLETQNQCHVPSFSIDDLAVYGGKPGNFAVEFIVEMPYPASFEVEALNWAANGPRDAKWIEEYLGAVDRTYLSFVNDNGQQIQYYFSLCGAMPATTLPSILQAVEQFHKVYVSDVYMYHGLESKFTHDQPLISHVNRISGGGVFWNVNPNWRNVFGCLHNEEFDCEICYGTTMKIEFLAISATNGQGLVGEILIKFKKSSNQLDLSAILWSDSPEKASWLLRDFSEDEFRMYLNLQSPDSLSESSTTIQYVLRLCSWEEAQLDPSLLVAKEGQKGFSTDVYIYHGEENLIWPLSALVSDFGCTSEEFACSGAPLDLAGALQLAQLALLVGRLLM
+>sp|Q8IZL2|MAML2_HUMAN Mastermind-like protein 2 OS=Homo sapiens OX=9606 GN=MAML2 PE=1 SV=2
+MGDTAPPQAPAGGLGGASGAGLLGGGSVTPRVHSAIVERLRARIAVCRQHHLSCEGRYERGRAESSDRERESTLQLLSLVQHGQGARKAGKHTKATATAATTTAPPPPPAAPPAASQAAATAAPPPPPDYHHHHQQHLLNSSNNGGSGGINGEQQPPASTPGDQRNSALIALQGSLKRKQVVNLSPANSKRPNGFVDNSFLDIKRIRVGENLSAGQGGLQINNGQSQIMSGTLPMSQAPLRKTNTLPSHTHSPGNGLFNMGLKEVKKEPGETLSCSKHMDGQMTQENIFPNRYGDDPGEQLMDPELQELFNELTNISVPPMSDLELENMINATIKQDDPFNIDLGQQSQRSTPRPSLPMEKIVIKSEYSPGLTQGPSGSPQLRPPSAGPAFSMANSALSTSSPIPSVPQSQAQPQTGSGASRALPSWQEVSHAQQLKQIAANRQQHARMQQHQQQHQPTNWSALPSSAGPSPGPFGQEKIPSPSFGQQTFSPQSSPMPGVAGGSGQSKVMANYMYKAGPSAQGGHLDVLMQQKPQDLSRSFINNPHPAMEPRQGNTKPLFHFNSDQANQQMPSVLPSQNKPSLLHYTQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQSSISAQQQQQQQSSISAQQQQQQQQQQQQQQQQQQQQQQQQQQQPSSQPAQSLPSQPLLRSPLPLQQKLLLQQMQNQPIAGMGYQVSQQQRQDQHSVVGQNTGPSPSPNPCSNPNTGSGYMNSQQSLLNQQLMGKKQTLQRQIMEQKQQLLLQQQMLADAEKIAPQDQINRHLSRPPPDYKDQRRNVGNMQPTAQYSGGSSTISLNSNQALANPVSTHTILTPNSSLLSTSHGTRMPSLSTAVQNMGMYGNLPCNQPNTYSVTSGMNQLTQQRNPKQLLANQNNPMMPRPPTLGPSNNNNVATFGAGSVGNSQQLRPNLTHSMASMPPQRTSNVMITSNTTAPNWASQEGTSKQQEALTSAGVRFPTGTPAAYTPNQSLQQAVGSQQFSQRAVAPPNQLTPAVQMRPMNQMSQTLNGQTMGPLRGLNLRPNQLSTQILPNLNQSGTGLNQSRTGINQPPSLTPSNFPSPNQSSRAFQGTDHSSDLAFDFLSQQNDNMGPALNSDADFIDSLLKTEPGNDDWMKDINLDEILGNNS
+>DECOY_sp|Q8IZL2|MAML2_HUMAN Mastermind-like protein 2 OS=Homo sapiens OX=9606 GN=MAML2 PE=1 SV=2
+SNNGLIEDLNIDKMWDDNGPETKLLSDIFDADSNLAPGMNDNQQSLFDFALDSSHDTGQFARSSQNPSPFNSPTLSPPQNIGTRSQNLGTGSQNLNPLIQTSLQNPRLNLGRLPGMTQGNLTQSMQNMPRMQVAPTLQNPPAVARQSFQQSGVAQQLSQNPTYAAPTGTPFRVGASTLAEQQKSTGEQSAWNPATTNSTIMVNSTRQPPMSAMSHTLNPRLQQSNGVSGAGFTAVNNNNSPGLTPPRPMMPNNQNALLQKPNRQQTLQNMGSTVSYTNPQNCPLNGYMGMNQVATSLSPMRTGHSTSLLSSNPTLITHTSVPNALAQNSNLSITSSGGSYQATPQMNGVNRRQDKYDPPPRSLHRNIQDQPAIKEADALMQQQLLLQQKQEMIQRQLTQKKGMLQQNLLSQQSNMYGSGTNPNSCPNPSPSPGTNQGVVSHQDQRQQQSVQYGMGAIPQNQMQQLLLKQQLPLPSRLLPQSPLSQAPQSSPQQQQQQQQQQQQQQQQQQQQQQQQQQQASISSQQQQQQQASISSQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQTYHLLSPKNQSPLVSPMQQNAQDSNFHFLPKTNGQRPEMAPHPNNIFSRSLDQPKQQMLVDLHGGQASPGAKYMYNAMVKSQGSGGAVGPMPSSQPSFTQQGFSPSPIKEQGFPGPSPGASSPLASWNTPQHQQQHQQMRAHQQRNAAIQKLQQAHSVEQWSPLARSAGSGTQPQAQSQPVSPIPSSTSLASNAMSFAPGASPPRLQPSGSPGQTLGPSYESKIVIKEMPLSPRPTSRQSQQGLDINFPDDQKITANIMNELELDSMPPVSINTLENFLEQLEPDMLQEGPDDGYRNPFINEQTMQGDMHKSCSLTEGPEKKVEKLGMNFLGNGPSHTHSPLTNTKRLPAQSMPLTGSMIQSQGNNIQLGGQGASLNEGVRIRKIDLFSNDVFGNPRKSNAPSLNVVQKRKLSGQLAILASNRQDGPTSAPPQQEGNIGGSGGNNSSNLLHQQHHHHYDPPPPPAATAAAQSAAPPAAPPPPPATTTAATATAKTHKGAKRAGQGHQVLSLLQLTSERERDSSEARGREYRGECSLHHQRCVAIRARLREVIASHVRPTVSGGGLLGAGSAGGLGGAPAQPPATDGM
+>sp|Q15555|MARE2_HUMAN Microtubule-associated protein RP/EB family member 2 OS=Homo sapiens OX=9606 GN=MAPRE2 PE=1 SV=1
+MPGPTQTLSPNGENNNDIIQDNNGTIIPFRKHTVRGERSYSWGMAVNVYSTSITQETMSRHDIIAWVNDIVSLNYTKVEQLCSGAAYCQFMDMLFPGCISLKKVKFQAKLEHEYIHNFKLLQASFKRMNVDKVIPVEKLVKGRFQDNLDFIQWFKKFYDANYDGKEYDPVEARQGQDAIPPPDPGEQIFNLPKKSHHANSPTAGAAKSSPAAKPGSTPSRPSSAKRASSSGSASKSDKDLETQVIQLNEQVHSLKLALEGVEKERDFYFGKLREIELLCQEHGQENDDLVQRLMDILYASEEHEGHTEEPEAEEQAHEQQPPQQEEY
+>DECOY_sp|Q15555|MARE2_HUMAN Microtubule-associated protein RP/EB family member 2 OS=Homo sapiens OX=9606 GN=MAPRE2 PE=1 SV=1
+YEEQQPPQQEHAQEEAEPEETHGEHEESAYLIDMLRQVLDDNEQGHEQCLLEIERLKGFYFDREKEVGELALKLSHVQENLQIVQTELDKDSKSASGSSSARKASSPRSPTSGPKAAPSSKAAGATPSNAHHSKKPLNFIQEGPDPPPIADQGQRAEVPDYEKGDYNADYFKKFWQIFDLNDQFRGKVLKEVPIVKDVNMRKFSAQLLKFNHIYEHELKAQFKVKKLSICGPFLMDMFQCYAAGSCLQEVKTYNLSVIDNVWAIIDHRSMTEQTISTSYVNVAMGWSYSREGRVTHKRFPIITGNNDQIIDNNNEGNPSLTQTPGPM
+>sp|Q9P0L2|MARK1_HUMAN Serine/threonine-protein kinase MARK1 OS=Homo sapiens OX=9606 GN=MARK1 PE=1 SV=2
+MSARTPLPTVNERDTENHTSVDGYTEPHIQPTKSSSRQNIPRCRNSITSATDEQPHIGNYRLQKTIGKGNFAKVKLARHVLTGREVAVKIIDKTQLNPTSLQKLFREVRIMKILNHPNIVKLFEVIETEKTLYLVMEYASGGEVFDYLVAHGRMKEKEARAKFRQIVSAVQYCHQKYIVHRDLKAENLLLDGDMNIKIADFGFSNEFTVGNKLDTFCGSPPYAAPELFQGKKYDGPEVDVWSLGVILYTLVSGSLPFDGQNLKELRERVLRGKYRIPFYMSTDCENLLKKLLVLNPIKRGSLEQIMKDRWMNVGHEEEELKPYTEPDPDFNDTKRIDIMVTMGFARDEINDALINQKYDEVMATYILLGRKPPEFEGGESLSSGNLCQRSRPSSDLNNSTLQSPAHLKVQRSISANQKQRRFSDHAGPSIPPAVSYTKRPQANSVESEQKEEWDKDVARKLGSTTVGSKSEMTASPLVGPERKKSSTIPSNNVYSGGSMARRNTYVCERTTDRYVALQNGKDSSLTEMSVSSISSAGSSVASAVPSARPRHQKSMSTSGHPIKVTLPTIKDGSEAYRPGTTQRVPAASPSAHSISTATPDRTRFPRGSSSRSTFHGEQLRERRSVAYNGPPASPSHETGAFAHARRGTSTGIISKITSKFVRRDPSEGEASGRTDTSRSTSGEPKERDKEEGKDSKPRSLRFTWSMKTTSSMDPNDMMREIRKVLDANNCDYEQKERFLLFCVHGDARQDSLVQWEMEVCKLPRLSLNGVRFKRISGTSIAFKNIASKIANELKL
+>DECOY_sp|Q9P0L2|MARK1_HUMAN Serine/threonine-protein kinase MARK1 OS=Homo sapiens OX=9606 GN=MARK1 PE=1 SV=2
+LKLENAIKSAINKFAISTGSIRKFRVGNLSLRPLKCVEMEWQVLSDQRADGHVCFLLFREKQEYDCNNADLVKRIERMMDNPDMSSTTKMSWTFRLSRPKSDKGEEKDREKPEGSTSRSTDTRGSAEGESPDRRVFKSTIKSIIGTSTGRRAHAFAGTEHSPSAPPGNYAVSRRERLQEGHFTSRSSSGRPFRTRDPTATSISHASPSAAPVRQTTGPRYAESGDKITPLTVKIPHGSTSMSKQHRPRASPVASAVSSGASSISSVSMETLSSDKGNQLAVYRDTTRECVYTNRRAMSGGSYVNNSPITSSKKREPGVLPSATMESKSGVTTSGLKRAVDKDWEEKQESEVSNAQPRKTYSVAPPISPGAHDSFRRQKQNASISRQVKLHAPSQLTSNNLDSSPRSRQCLNGSSLSEGGEFEPPKRGLLIYTAMVEDYKQNILADNIEDRAFGMTVMIDIRKTDNFDPDPETYPKLEEEEHGVNMWRDKMIQELSGRKIPNLVLLKKLLNECDTSMYFPIRYKGRLVRERLEKLNQGDFPLSGSVLTYLIVGLSWVDVEPGDYKKGQFLEPAAYPPSGCFTDLKNGVTFENSFGFDAIKINMDGDLLLNEAKLDRHVIYKQHCYQVASVIQRFKARAEKEKMRGHAVLYDFVEGGSAYEMVLYLTKETEIVEFLKVINPHNLIKMIRVERFLKQLSTPNLQTKDIIKVAVERGTLVHRALKVKAFNGKGITKQLRYNGIHPQEDTASTISNRCRPINQRSSSKTPQIHPETYGDVSTHNETDRENVTPLPTRASM
+>sp|Q7KZI7|MARK2_HUMAN Serine/threonine-protein kinase MARK2 OS=Homo sapiens OX=9606 GN=MARK2 PE=1 SV=2
+MSSARTPLPTLNERDTEQPTLGHLDSKPSSKSNMIRGRNSATSADEQPHIGNYRLLKTIGKGNFAKVKLARHILTGKEVAVKIIDKTQLNSSSLQKLFREVRIMKVLNHPNIVKLFEVIETEKTLYLVMEYASGGEVFDYLVAHGRMKEKEARAKFRQIVSAVQYCHQKFIVHRDLKAENLLLDADMNIKIADFGFSNEFTFGNKLDTFCGSPPYAAPELFQGKKYDGPEVDVWSLGVILYTLVSGSLPFDGQNLKELRERVLRGKYRIPFYMSTDCENLLKKFLILNPSKRGTLEQIMKDRWMNVGHEDDELKPYVEPLPDYKDPRRTELMVSMGYTREEIQDSLVGQRYNEVMATYLLLGYKSSELEGDTITLKPRPSADLTNSSAPSPSHKVQRSVSANPKQRRFSDQAAGPAIPTSNSYSKKTQSNNAENKRPEEDRESGRKASSTAKVPASPLPGLERKKTTPTPSTNSVLSTSTNRSRNSPLLERASLGQASIQNGKDSLTMPGSRASTASASAAVSAARPRQHQKSMSASVHPNKASGLPPTESNCEVPRPSTAPQRVPVASPSAHNISSSGGAPDRTNFPRGVSSRSTFHAGQLRQVRDQQNLPYGVTPASPSGHSQGRRGASGSIFSKFTSKFVRRNLSFRFARRNLNEPESKDRVETLRPHVVGSGGNDKEKEEFREAKPRSLRFTWSMKTTSSMEPNEMMREIRKVLDANSCQSELHEKYMLLCMHGTPGHEDFVQWEMEVCKLPRLSLNGVRFKRISGTSMAFKNIASKIANELKL
+>DECOY_sp|Q7KZI7|MARK2_HUMAN Serine/threonine-protein kinase MARK2 OS=Homo sapiens OX=9606 GN=MARK2 PE=1 SV=2
+LKLENAIKSAINKFAMSTGSIRKFRVGNLSLRPLKCVEMEWQVFDEHGPTGHMCLLMYKEHLESQCSNADLVKRIERMMENPEMSSTTKMSWTFRLSRPKAERFEEKEKDNGGSGVVHPRLTEVRDKSEPENLNRRAFRFSLNRRVFKSTFKSFISGSAGRRGQSHGSPSAPTVGYPLNQQDRVQRLQGAHFTSRSSVGRPFNTRDPAGGSSSINHASPSAVPVRQPATSPRPVECNSETPPLGSAKNPHVSASMSKQHQRPRAASVAASASATSARSGPMTLSDKGNQISAQGLSARELLPSNRSRNTSTSLVSNTSPTPTTKKRELGPLPSAPVKATSSAKRGSERDEEPRKNEANNSQTKKSYSNSTPIAPGAAQDSFRRQKPNASVSRQVKHSPSPASSNTLDASPRPKLTITDGELESSKYGLLLYTAMVENYRQGVLSDQIEERTYGMSVMLETRRPDKYDPLPEVYPKLEDDEHGVNMWRDKMIQELTGRKSPNLILFKKLLNECDTSMYFPIRYKGRLVRERLEKLNQGDFPLSGSVLTYLIVGLSWVDVEPGDYKKGQFLEPAAYPPSGCFTDLKNGFTFENSFGFDAIKINMDADLLLNEAKLDRHVIFKQHCYQVASVIQRFKARAEKEKMRGHAVLYDFVEGGSAYEMVLYLTKETEIVEFLKVINPHNLVKMIRVERFLKQLSSSNLQTKDIIKVAVEKGTLIHRALKVKAFNGKGITKLLRYNGIHPQEDASTASNRGRIMNSKSSPKSDLHGLTPQETDRENLTPLPTRASSM
+>sp|Q9NZL9|MAT2B_HUMAN Methionine adenosyltransferase 2 subunit beta OS=Homo sapiens OX=9606 GN=MAT2B PE=1 SV=1
+MVGREKELSIHFVPGSCRLVEEEVNIPNRRVLVTGATGLLGRAVHKEFQQNNWHAVGCGFRRARPKFEQVNLLDSNAVHHIIHDFQPHVIVHCAAERRPDVVENQPDAASQLNVDASGNLAKEAAAVGAFLIYISSDYVFDGTNPPYREEDIPAPLNLYGKTKLDGEKAVLENNLGAAVLRIPILYGEVEKLEESAVTVMFDKVQFSNKSANMDHWQQRFPTHVKDVATVCRQLAEKRMLDPSIKGTFHWSGNEQMTKYEMACAIADAFNLPSSHLRPITDSPVLGAQRPRNAQLDCSKLETLGIGQRTPFRIGIKESLWPFLIDKRWRQTVFH
+>DECOY_sp|Q9NZL9|MAT2B_HUMAN Methionine adenosyltransferase 2 subunit beta OS=Homo sapiens OX=9606 GN=MAT2B PE=1 SV=1
+HFVTQRWRKDILFPWLSEKIGIRFPTRQGIGLTELKSCDLQANRPRQAGLVPSDTIPRLHSSPLNFADAIACAMEYKTMQENGSWHFTGKISPDLMRKEALQRCVTAVDKVHTPFRQQWHDMNASKNSFQVKDFMVTVASEELKEVEGYLIPIRLVAAGLNNELVAKEGDLKTKGYLNLPAPIDEERYPPNTGDFVYDSSIYILFAGVAAAEKALNGSADVNLQSAADPQNEVVDPRREAACHVIVHPQFDHIIHHVANSDLLNVQEFKPRARRFGCGVAHWNNQQFEKHVARGLLGTAGTVLVRRNPINVEEEVLRCSGPVFHISLEKERGVM
+>sp|Q8N8X9|MB213_HUMAN Protein mab-21-like 3 OS=Homo sapiens OX=9606 GN=MAB21L3 PE=1 SV=2
+MKYLTVGDLEDCLLNKVDLRRQQISQAVEEVQKVVHHLTTNISNQDIRFQAVPYSDTYNENIKVLAPSQFLVTVPIKGLAGYREAREQHWRYYTLQGTRLPCPLRDPEGLQQWLEVEQFMKSLWQWHETDVNIDGDIVPAKVLLVFRKLVENAVRTCHLSGKVSLLGNRSAVWVAVETSAYQVELELVPAVEIPTTWSKKARWPRCLQRWPSQERVECIKSFGFNLLACSNYHWQLSFLRAEQVLLEQLDEDGGCRRKCFQVMRHLKEDIWCPGNRPVITSHHLQTVLFWTCEKYPHFKDWQVFSKAFLRLVRKLHKCVSQHFLKHYFVRNSNLFQCTNPTELDTVAQKLATFLKNPQIGPP
+>DECOY_sp|Q8N8X9|MB213_HUMAN Protein mab-21-like 3 OS=Homo sapiens OX=9606 GN=MAB21L3 PE=1 SV=2
+PPGIQPNKLFTALKQAVTDLETPNTCQFLNSNRVFYHKLFHQSVCKHLKRVLRLFAKSFVQWDKFHPYKECTWFLVTQLHHSTIVPRNGPCWIDEKLHRMVQFCKRRCGGDEDLQELLVQEARLFSLQWHYNSCALLNFGFSKICEVREQSPWRQLCRPWRAKKSWTTPIEVAPVLELEVQYASTEVAVWVASRNGLLSVKGSLHCTRVANEVLKRFVLLVKAPVIDGDINVDTEHWQWLSKMFQEVELWQQLGEPDRLPCPLRTGQLTYYRWHQERAERYGALGKIPVTVLFQSPALVKINENYTDSYPVAQFRIDQNSINTTLHHVVKQVEEVAQSIQQRRLDVKNLLCDELDGVTLYKM
+>sp|Q9UIS9|MBD1_HUMAN Methyl-CpG-binding domain protein 1 OS=Homo sapiens OX=9606 GN=MBD1 PE=1 SV=2
+MAEDWLDCPALGPGWKRREVFRKSGATCGRSDTYYQSPTGDRIRSKVELTRYLGPACDLTLFDFKQGILCYPAPKAHPVAVASKKRKKPSRPAKTRKRQVGPQSGEVRKEAPRDETKADTDTAPASFPAPGCCENCGISFSGDGTQRQRLKTLCKDCRAQRIAFNREQRMFKRVGCGECAACQVTEDCGACSTCLLQLPHDVASGLFCKCERRRCLRIVERSRGCGVCRGCQTQEDCGHCPICLRPPRPGLRRQWKCVQRRCLRGKHARRKGGCDSKMAARRRPGAQPLPPPPPSQSPEPTEPHPRALAPSPPAEFIYYCVDEDELQPYTNRRQNRKCGACAACLRRMDCGRCDFCCDKPKFGGSNQKRQKCRWRQCLQFAMKRLLPSVWSESEDGAGSPPPYRRRKRPSSARRHHLGPTLKPTLATRTAQPDHTQAPTKQEAGGGFVLPPPGTDLVFLREGASSPVQVPGPVAASTEALLQEAQCSGLSWVVALPQVKQEKADTQDEWTPGTAVLTSPVLVPGCPSKAVDPGLPSVKQEPPDPEEDKEENKDDSASKLAPEEEAGGAGTPVITEIFSLGGTRFRDTAVWLPRSKDLKKPGARKQ
+>DECOY_sp|Q9UIS9|MBD1_HUMAN Methyl-CpG-binding domain protein 1 OS=Homo sapiens OX=9606 GN=MBD1 PE=1 SV=2
+QKRAGPKKLDKSRPLWVATDRFRTGGLSFIETIVPTGAGGAEEEPALKSASDDKNEEKDEEPDPPEQKVSPLGPDVAKSPCGPVLVPSTLVATGPTWEDQTDAKEQKVQPLAVVWSLGSCQAEQLLAETSAAVPGPVQVPSSAGERLFVLDTGPPPLVFGGGAEQKTPAQTHDPQATRTALTPKLTPGLHHRRASSPRKRRRYPPPSGAGDESESWVSPLLRKMAFQLCQRWRCKQRKQNSGGFKPKDCCFDCRGCDMRRLCAACAGCKRNQRRNTYPQLEDEDVCYYIFEAPPSPALARPHPETPEPSQSPPPPPLPQAGPRRRAAMKSDCGGKRRAHKGRLCRRQVCKWQRRLGPRPPRLCIPCHGCDEQTQCGRCVGCGRSREVIRLCRRRECKCFLGSAVDHPLQLLCTSCAGCDETVQCAACEGCGVRKFMRQERNFAIRQARCDKCLTKLRQRQTGDGSFSIGCNECCGPAPFSAPATDTDAKTEDRPAEKRVEGSQPGVQRKRTKAPRSPKKRKKSAVAVPHAKPAPYCLIGQKFDFLTLDCAPGLYRTLEVKSRIRDGTPSQYYTDSRGCTAGSKRFVERRKWGPGLAPCDLWDEAM
+>sp|O95243|MBD4_HUMAN Methyl-CpG-binding domain protein 4 OS=Homo sapiens OX=9606 GN=MBD4 PE=1 SV=1
+MGTTGLESLSLGDRGAAPTVTSSERLVPDPPNDLRKEDVAMELERVGEDEEQMMIKRSSECNPLLQEPIASAQFGATAGTECRKSVPCGWERVVKQRLFGKTAGRFDVYFISPQGLKFRSKSSLANYLHKNGETSLKPEDFDFTVLSKRGIKSRYKDCSMAALTSHLQNQSNNSNWNLRTRSKCKKDVFMPPSSSSELQESRGLSNFTSTHLLLKEDEGVDDVNFRKVRKPKGKVTILKGIPIKKTKKGCRKSCSGFVQSDSKRESVCNKADAESEPVAQKSQLDRTVCISDAGACGETLSVTSEENSLVKKKERSLSSGSNFCSEQKTSGIINKFCSAKDSEHNEKYEDTFLESEEIGTKVEVVERKEHLHTDILKRGSEMDNNCSPTRKDFTGEKIFQEDTIPRTQIERRKTSLYFSSKYNKEALSPPRRKAFKKWTPPRSPFNLVQETLFHDPWKLLIATIFLNRTSGKMAIPVLWKFLEKYPSAEVARTADWRDVSELLKPLGLYDLRAKTIVKFSDEYLTKQWKYPIELHGIGKYGNDSYRIFCVNEWKQVHPEDHKLNKYHDWLWENHEKLSLS
+>DECOY_sp|O95243|MBD4_HUMAN Methyl-CpG-binding domain protein 4 OS=Homo sapiens OX=9606 GN=MBD4 PE=1 SV=1
+SLSLKEHNEWLWDHYKNLKHDEPHVQKWENVCFIRYSDNGYKGIGHLEIPYKWQKTLYEDSFKVITKARLDYLGLPKLLESVDRWDATRAVEASPYKELFKWLVPIAMKGSTRNLFITAILLKWPDHFLTEQVLNFPSRPPTWKKFAKRRPPSLAEKNYKSSFYLSTKRREIQTRPITDEQFIKEGTFDKRTPSCNNDMESGRKLIDTHLHEKREVVEVKTGIEESELFTDEYKENHESDKASCFKNIIGSTKQESCFNSGSSLSREKKKVLSNEESTVSLTEGCAGADSICVTRDLQSKQAVPESEADAKNCVSERKSDSQVFGSCSKRCGKKTKKIPIGKLITVKGKPKRVKRFNVDDVGEDEKLLLHTSTFNSLGRSEQLESSSSPPMFVDKKCKSRTRLNWNSNNSQNQLHSTLAAMSCDKYRSKIGRKSLVTFDFDEPKLSTEGNKHLYNALSSKSRFKLGQPSIFYVDFRGATKGFLRQKVVREWGCPVSKRCETGATAGFQASAIPEQLLPNCESSRKIMMQEEDEGVRELEMAVDEKRLDNPPDPVLRESSTVTPAAGRDGLSLSELGTTGM
+>sp|Q9NR56|MBNL1_HUMAN Muscleblind-like protein 1 OS=Homo sapiens OX=9606 GN=MBNL1 PE=1 SV=2
+MAVSVTPIRDTKWLTLEVCREFQRGTCSRPDTECKFAHPSKSCQVENGRVIACFDSLKGRCSRENCKYLHPPPHLKTQLEINGRNNLIQQKNMAMLAQQMQLANAMMPGAPLQPVPMFSVAPSLATNASAAAFNPYLGPVSPSLVPAEILPTAPMLVTGNPGVPVPAAAAAAAQKLMRTDRLEVCREYQRGNCNRGENDCRFAHPADSTMIDTNDNTVTVCMDYIKGRCSREKCKYFHPPAHLQAKIKAAQYQVNQAAAAQAAATAAAMTQSAVKSLKRPLEATFDLGIPQAVLPPLPKRPALEKTNGATAVFNTGIFQYQQALANMQLQQHTAFLPPVPMVHGATPATVSAATTSATSVPFAATATANQIPIISAEHLTSHKYVTQM
+>DECOY_sp|Q9NR56|MBNL1_HUMAN Muscleblind-like protein 1 OS=Homo sapiens OX=9606 GN=MBNL1 PE=1 SV=2
+MQTVYKHSTLHEASIIPIQNATATAAFPVSTASTTAASVTAPTAGHVMPVPPLFATHQQLQMNALAQQYQFIGTNFVATAGNTKELAPRKPLPPLVAQPIGLDFTAELPRKLSKVASQTMAAATAAAQAAAAQNVQYQAAKIKAQLHAPPHFYKCKERSCRGKIYDMCVTVTNDNTDIMTSDAPHAFRCDNEGRNCNGRQYERCVELRDTRMLKQAAAAAAAPVPVGPNGTVLMPATPLIEAPVLSPSVPGLYPNFAAASANTALSPAVSFMPVPQLPAGPMMANALQMQQALMAMNKQQILNNRGNIELQTKLHPPPHLYKCNERSCRGKLSDFCAIVRGNEVQCSKSPHAFKCETDPRSCTGRQFERCVELTLWKTDRIPTVSVAM
+>sp|Q6ZWT7|MBOA2_HUMAN Lysophospholipid acyltransferase 2 OS=Homo sapiens OX=9606 GN=MBOAT2 PE=2 SV=2
+MATTSTTGSTLLQPLSNAVQLPIDQVNFVVCQLFALLAAIWFRTYLHSSKTSSFIRHVVATLLGLYLALFCFGWYALHFLVQSGISYCIMIIIGVENMHNYCFVFALGYLTVCQVTRVYIFDYGQYSADFSGPMMIITQKITSLACEIHDGMFRKDEELTSSQRDLAVRRMPSLLEYLSYNCNFMGILAGPLCSYKDYITFIEGRSYHITQSGENGKEETQYERTEPSPNTAVVQKLLVCGLSLLFHLTICTTLPVEYNIDEHFQATASWPTKIIYLYISLLAARPKYYFAWTLADAINNAAGFGFRGYDENGAARWDLISNLRIQQIEMSTSFKMFLDNWNIQTALWLKRVCYERTSFSPTIQTFILSAIWHGVYPGYYLTFLTGVLMTLAARAMRNNFRHYFIEPSQLKLFYDVITWIVTQVAISYTVVPFVLLSIKPSLTFYSSWYYCLHILGILVLLLLPVKKTQRRKNTHENIQLSQSKKFDEGENSLGQNSFSTTNNVCNQNQEIASRHSSLKQ
+>DECOY_sp|Q6ZWT7|MBOA2_HUMAN Lysophospholipid acyltransferase 2 OS=Homo sapiens OX=9606 GN=MBOAT2 PE=2 SV=2
+QKLSSHRSAIEQNQNCVNNTTSFSNQGLSNEGEDFKKSQSLQINEHTNKRRQTKKVPLLLLVLIGLIHLCYYWSSYFTLSPKISLLVFPVVTYSIAVQTVIWTIVDYFLKLQSPEIFYHRFNNRMARAALTMLVGTLFTLYYGPYVGHWIASLIFTQITPSFSTREYCVRKLWLATQINWNDLFMKFSTSMEIQQIRLNSILDWRAAGNEDYGRFGFGAANNIADALTWAFYYKPRAALLSIYLYIIKTPWSATAQFHEDINYEVPLTTCITLHFLLSLGCVLLKQVVATNPSPETREYQTEEKGNEGSQTIHYSRGEIFTIYDKYSCLPGALIGMFNCNYSLYELLSPMRRVALDRQSSTLEEDKRFMGDHIECALSTIKQTIIMMPGSFDASYQGYDFIYVRTVQCVTLYGLAFVFCYNHMNEVGIIIMICYSIGSQVLFHLAYWGFCFLALYLGLLTAVVHRIFSSTKSSHLYTRFWIAALLAFLQCVVFNVQDIPLQVANSLPQLLTSGTTSTTAM
+>sp|P02686|MBP_HUMAN Myelin basic protein OS=Homo sapiens OX=9606 GN=MBP PE=1 SV=3
+MGNHAGKRELNAEKASTNSETNRGESEKKRNLGELSRTTSEDNEVFGEADANQNNGTSSQDTAVTDSKRTADPKNAWQDAHPADPGSRPHLIRLFSRDAPGREDNTFKDRPSESDELQTIQEDSAATSESLDVMASQKRPSQRHGSKYLATASTMDHARHGFLPRHRDTGILDSIGRFFGGDRGAPKRGSGKDSHHPARTAHYGSLPQKSHGRTQDENPVVHFFKNIVTPRTPPPSQGKGRGLSLSRFSWGAEGQRPGFGYGGRASDYKSAHKGFKGVDAQGTLSKIFKLGGRDSRSGSPMARR
+>DECOY_sp|P02686|MBP_HUMAN Myelin basic protein OS=Homo sapiens OX=9606 GN=MBP PE=1 SV=3
+RRAMPSGSRSDRGGLKFIKSLTGQADVGKFGKHASKYDSARGGYGFGPRQGEAGWSFRSLSLGRGKGQSPPPTRPTVINKFFHVVPNEDQTRGHSKQPLSGYHATRAPHHSDKGSGRKPAGRDGGFFRGISDLIGTDRHRPLFGHRAHDMTSATALYKSGHRQSPRKQSAMVDLSESTAASDEQITQLEDSESPRDKFTNDERGPADRSFLRILHPRSGPDAPHADQWANKPDATRKSDTVATDQSSTGNNQNADAEGFVENDESTTRSLEGLNRKKESEGRNTESNTSAKEANLERKGAHNGM
+>sp|P32245|MC4R_HUMAN Melanocortin receptor 4 OS=Homo sapiens OX=9606 GN=MC4R PE=1 SV=2
+MVNSTHRGMHTSLHLWNRSSYRLHSNASESLGKGYSDGGCYEQLFVSPEVFVTLGVISLLENILVIVAIAKNKNLHSPMYFFICSLAVADMLVSVSNGSETIVITLLNSTDTDAQSFTVNIDNVIDSVICSSLLASICSLLSIAVDRYFTIFYALQYHNIMTVKRVGIIISCIWAACTVSGILFIIYSDSSAVIICLITMFFTMLALMASLYVHMFLMARLHIKRIAVLPGTGAIRQGANMKGAITLTILIGVFVVCWAPFFLHLIFYISCPQNPYCVCFMSHFNLYLILIMCNSIIDPLIYALRSQELRKTFKEIICCYPLGGLCDLSSRY
+>DECOY_sp|P32245|MC4R_HUMAN Melanocortin receptor 4 OS=Homo sapiens OX=9606 GN=MC4R PE=1 SV=2
+YRSSLDCLGGLPYCCIIEKFTKRLEQSRLAYILPDIISNCMILILYLNFHSMFCVCYPNQPCSIYFILHLFFPAWCVVFVGILITLTIAGKMNAGQRIAGTGPLVAIRKIHLRAMLFMHVYLSAMLALMTFFMTILCIIVASSDSYIIFLIGSVTCAAWICSIIIGVRKVTMINHYQLAYFITFYRDVAISLLSCISALLSSCIVSDIVNDINVTFSQADTDTSNLLTIVITESGNSVSVLMDAVALSCIFFYMPSHLNKNKAIAVIVLINELLSIVGLTVFVEPSVFLQEYCGGDSYGKGLSESANSHLRYSSRNWLHLSTHMGRHTSNVM
+>sp|O15068|MCF2L_HUMAN Guanine nucleotide exchange factor DBS OS=Homo sapiens OX=9606 GN=MCF2L PE=1 SV=2
+MFDCWRFILCKRPGSNSYSSPQRPNEAKKEETDHQIDVSDVIRLVQDTPEATAMATDEIMHQDIVPLCAADIQDQLKKRFAYLSGGRGQDGSPVITFPDYPAFSEIPDKEFQNVMTYLTSIPSLQDAGIGFILVIDRRRDKWTSVKASVLRIAASFPANLQLVLVLRPTGFFQRTLSDIAFKFNRDDFKMKVPVIMLSSVPDLHGYIDKSQLTEDLGGTLDYCHSRWLCQRTAIESFALMVKQTAQMLQSFGTELAETELPNDVQSTSSVLCAHTEKKDKAKEDLRLALKEGHSVLESLRELQAEGSEPSVNQDQLDNQATVQRLLAQLNETEAAFDEFWAKHQQKLEQCLQLRHFEQGFREVKAILDAASQKIATFTDIGNSLAHVEHLLRDLASFEEKSGVAVERARALSLDGEQLIGNKHYAVDSIRPKCQELRHLCDQFSAEIARRRGLLSKSLELHRRLETSMKWCDEGIYLLASQPVDKCQSQDGAEAALQEIEKFLETGAENKIQELNAIYKEYESILNQDLMEHVRKVFQKQASMEEVFHRRQASLKKLAARQTRPVQPVAPRPEALAKSPCPSPGIRRGSENSSSEGGALRRGPYRRAKSEMSESRQGRGSAGEEEESLAILRRHVMSELLDTERAYVEELLCVLEGYAAEMDNPLMAHLLSTGLHNKKDVLFGNMEEIYHFHNRIFLRELENYTDCPELVGRCFLERMEDFQIYEKYCQNKPRSESLWRQCSDCPFFQECQRKLDHKLSLDSYLLKPVQRITKYQLLLKEMLKYSRNCEGAEDLQEALSSILGILKAVNDSMHLIAITGYDGNLGDLGKLLMQGSFSVWTDHKRGHTKVKELARFKPMQRHLFLHEKAVLFCKKREENGEGYEKAPSYSYKQSLNMAAVGITENVKGDAKKFEIWYNAREEVYIVQAPTPEIKAAWVNEIRKVLTSQLQACREASQHRALEQSQSLPLPAPTSTSPSRGNSRNIKKLEERKTDPLSLEGYVSSAPLTKPPEKGKGWSKTSHSLEAPEDDGGWSSAEEQINSSDAEEDGGLGPKKLVPGKYTVVADHEKGGPDALRVRSGDVVELVQEGDEGLWYVRDPTTGKEGWVPASSLSVRLGPSGSAQCLSSSGKAHVPRAHP
+>DECOY_sp|O15068|MCF2L_HUMAN Guanine nucleotide exchange factor DBS OS=Homo sapiens OX=9606 GN=MCF2L PE=1 SV=2
+PHARPVHAKGSSSLCQASGSPGLRVSLSSAPVWGEKGTTPDRVYWLGEDGEQVLEVVDGSRVRLADPGGKEHDAVVTYKGPVLKKPGLGGDEEADSSNIQEEASSWGGDDEPAELSHSTKSWGKGKEPPKTLPASSVYGELSLPDTKREELKKINRSNGRSPSTSTPAPLPLSQSQELARHQSAERCAQLQSTLVKRIENVWAAKIEPTPAQVIYVEERANYWIEFKKADGKVNETIGVAAMNLSQKYSYSPAKEYGEGNEERKKCFLVAKEHLFLHRQMPKFRALEKVKTHGRKHDTWVSFSGQMLLKGLDGLNGDYGTIAILHMSDNVAKLIGLISSLAEQLDEAGECNRSYKLMEKLLLQYKTIRQVPKLLYSDLSLKHDLKRQCEQFFPCDSCQRWLSESRPKNQCYKEYIQFDEMRELFCRGVLEPCDTYNELERLFIRNHFHYIEEMNGFLVDKKNHLGTSLLHAMLPNDMEAAYGELVCLLEEVYARETDLLESMVHRRLIALSEEEEGASGRGQRSESMESKARRYPGRRLAGGESSSNESGRRIGPSPCPSKALAEPRPAVPQVPRTQRAALKKLSAQRRHFVEEMSAQKQFVKRVHEMLDQNLISEYEKYIANLEQIKNEAGTELFKEIEQLAAEAGDQSQCKDVPQSALLYIGEDCWKMSTELRRHLELSKSLLGRRRAIEASFQDCLHRLEQCKPRISDVAYHKNGILQEGDLSLARAREVAVGSKEEFSALDRLLHEVHALSNGIDTFTAIKQSAADLIAKVERFGQEFHRLQLCQELKQQHKAWFEDFAAETENLQALLRQVTAQNDLQDQNVSPESGEAQLERLSELVSHGEKLALRLDEKAKDKKETHACLVSSTSQVDNPLETEALETGFSQLMQATQKVMLAFSEIATRQCLWRSHCYDLTGGLDETLQSKDIYGHLDPVSSLMIVPVKMKFDDRNFKFAIDSLTRQFFGTPRLVLVLQLNAPFSAAIRLVSAKVSTWKDRRRDIVLIFGIGADQLSPISTLYTMVNQFEKDPIESFAPYDPFTIVPSGDQGRGGSLYAFRKKLQDQIDAACLPVIDQHMIEDTAMATAEPTDQVLRIVDSVDIQHDTEEKKAENPRQPSSYSNSGPRKCLIFRWCDFM
+>sp|Q16048|MCHL1_HUMAN Putative pro-MCH-like protein 1 OS=Homo sapiens OX=9606 GN=PMCHL1 PE=5 SV=2
+MLSQKPKKKHNFLNHGLSLNLVIKPYLALEGSVAFPAENGVQDTESTQEKRETGDEENSAKFPVGRRDFDTLSCMLGRVYQSCWQV
+>DECOY_sp|Q16048|MCHL1_HUMAN Putative pro-MCH-like protein 1 OS=Homo sapiens OX=9606 GN=PMCHL1 PE=5 SV=2
+VQWCSQYVRGLMCSLTDFDRRGVPFKASNEEDGTERKEQTSETDQVGNEAPFAVSGELALYPKIVLNLSLGHNLFNHKKKPKQSLM
+>sp|Q07820|MCL1_HUMAN Induced myeloid leukemia cell differentiation protein Mcl-1 OS=Homo sapiens OX=9606 GN=MCL1 PE=1 SV=3
+MFGLKRNAVIGLNLYCGGAGLGAGSGGATRPGGRLLATEKEASARREIGGGEAGAVIGGSAGASPPSTLTPDSRRVARPPPIGAEVPDVTATPARLLFFAPTRRAAPLEEMEAPAADAIMSPEEELDGYEPEPLGKRPAVLPLLELVGESGNNTSTDGSLPSTPPPAEEEEDELYRQSLEIISRYLREQATGAKDTKPMGRSGATSRKALETLRRVGDGVQRNHETAFQGMLRKLDIKNEDDVKSLSRVMIHVFSDGVTNWGRIVTLISFGAFVAKHLKTINQESCIEPLAESITDVLVRTKRDWLVKQRGWDGFVEFFHVEDLEGGIRNVLLAFAGVAGVGAGLAYLIR
+>DECOY_sp|Q07820|MCL1_HUMAN Induced myeloid leukemia cell differentiation protein Mcl-1 OS=Homo sapiens OX=9606 GN=MCL1 PE=1 SV=3
+RILYALGAGVGAVGAFALLVNRIGGELDEVHFFEVFGDWGRQKVLWDRKTRVLVDTISEALPEICSEQNITKLHKAVFAGFSILTVIRGWNTVGDSFVHIMVRSLSKVDDENKIDLKRLMGQFATEHNRQVGDGVRRLTELAKRSTAGSRGMPKTDKAGTAQERLYRSIIELSQRYLEDEEEEAPPPTSPLSGDTSTNNGSEGVLELLPLVAPRKGLPEPEYGDLEEEPSMIADAAPAEMEELPAARRTPAFFLLRAPTATVDPVEAGIPPPRAVRRSDPTLTSPPSAGASGGIVAGAEGGGIERRASAEKETALLRGGPRTAGGSGAGLGAGGCYLNLGIVANRKLGFM
+>sp|Q9GZU1|MCLN1_HUMAN Mucolipin-1 OS=Homo sapiens OX=9606 GN=MCOLN1 PE=1 SV=1
+MTAPAGPRGSETERLLTPNPGYGTQAGPSPAPPTPPEEEDLRRRLKYFFMSPCDKFRAKGRKPCKLMLQVVKILVVTVQLILFGLSNQLAVTFREENTIAFRHLFLLGYSDGADDTFAAYTREQLYQAIFHAVDQYLALPDVSLGRYAYVRGGGDPWTNGSGLALCQRYYHRGHVDPANDTFDIDPMVVTDCIQVDPPERPPPPPSDDLTLLESSSSYKNLTLKFHKLVNVTIHFRLKTINLQSLINNEIPDCYTFSVLITFDNKAHSGRIPISLETQAHIQECKHPSVFQHGDNSFRLLFDVVVILTCSLSFLLCARSLLRGFLLQNEFVGFMWRQRGRVISLWERLEFVNGWYILLVTSDVLTISGTIMKIGIEAKNLASYDVCSILLGTSTLLVWVGVIRYLTFFHNYNILIATLRVALPSVMRFCCCVAVIYLGYCFCGWIVLGPYHVKFRSLSMVSECLFSLINGDDMFVTFAAMQAQQGRSSLVWLFSQLYLYSFISLFIYMVLSLFIALITGAYDTIKHPGGAGAEESELQAYIAQCQDSPTSGKFRRGSGSACSLLCCCGRDPSEEHSLLVN
+>DECOY_sp|Q9GZU1|MCLN1_HUMAN Mucolipin-1 OS=Homo sapiens OX=9606 GN=MCOLN1 PE=1 SV=1
+NVLLSHEESPDRGCCCLLSCASGSGRRFKGSTPSDQCQAIYAQLESEEAGAGGPHKITDYAGTILAIFLSLVMYIFLSIFSYLYLQSFLWVLSSRGQQAQMAAFTVFMDDGNILSFLCESVMSLSRFKVHYPGLVIWGCFCYGLYIVAVCCCFRMVSPLAVRLTAILINYNHFFTLYRIVGVWVLLTSTGLLISCVDYSALNKAEIGIKMITGSITLVDSTVLLIYWGNVFELREWLSIVRGRQRWMFGVFENQLLFGRLLSRACLLFSLSCTLIVVVDFLLRFSNDGHQFVSPHKCEQIHAQTELSIPIRGSHAKNDFTILVSFTYCDPIENNILSQLNITKLRFHITVNVLKHFKLTLNKYSSSSELLTLDDSPPPPPREPPDVQICDTVVMPDIDFTDNAPDVHGRHYYRQCLALGSGNTWPDGGGRVYAYRGLSVDPLALYQDVAHFIAQYLQERTYAAFTDDAGDSYGLLFLHRFAITNEERFTVALQNSLGFLILQVTVVLIKVVQLMLKCPKRGKARFKDCPSMFFYKLRRRLDEEEPPTPPAPSPGAQTGYGPNPTLLRETESGRPGAPATM
+>sp|Q8TDD5|MCLN3_HUMAN Mucolipin-3 OS=Homo sapiens OX=9606 GN=MCOLN3 PE=1 SV=1
+MADPEVVVSSCSSHEEENRCNFNQQTSPSEELLLEDQMRRKLKFFFMNPCEKFWARGRKPWKLAIQILKIAMVTIQLVLFGLSNQMVVAFKEENTIAFKHLFLKGYMDRMDDTYAVYTQSDVYDQLIFAVNQYLQLYNVSVGNHAYENKGTKQSAMAICQHFYKRGNIYPGNDTFDIDPEIETECFFVEPDEPFHIGTPAENKLNLTLDFHRLLTVELQFKLKAINLQTVRHQELPDCYDFTLTITFDNKAHSGRIKISLDNDISIRECKDWHVSGSIQKNTHYMMIFDAFVILTCLVSLILCIRSVIRGLQLQQEFVNFFLLHYKKEVSVSDQMEFVNGWYIMIIISDILTIIGSILKMEIQAKSLTSYDVCSILLGTSTMLVWLGVIRYLGFFAKYNLLILTLQAALPNVIRFCCCAAMIYLGYCFCGWIVLGPYHDKFRSLNMVSECLFSLINGDDMFATFAKMQQKSYLVWLFSRIYLYSFISLFIYMILSLFIALITDTYETIKQYQQDGFPETELRTFISECKDLPNSGKYRLEDDPPVSLFCCCKK
+>DECOY_sp|Q8TDD5|MCLN3_HUMAN Mucolipin-3 OS=Homo sapiens OX=9606 GN=MCOLN3 PE=1 SV=1
+KKCCCFLSVPPDDELRYKGSNPLDKCESIFTRLETEPFGDQQYQKITEYTDTILAIFLSLIMYIFLSIFSYLYIRSFLWVLYSKQQMKAFTAFMDDGNILSFLCESVMNLSRFKDHYPGLVIWGCFCYGLYIMAACCCFRIVNPLAAQLTLILLNYKAFFGLYRIVGLWVLMTSTGLLISCVDYSTLSKAQIEMKLISGIITLIDSIIIMIYWGNVFEMQDSVSVEKKYHLLFFNVFEQQLQLGRIVSRICLILSVLCTLIVFADFIMMYHTNKQISGSVHWDKCERISIDNDLSIKIRGSHAKNDFTITLTFDYCDPLEQHRVTQLNIAKLKFQLEVTLLRHFDLTLNLKNEAPTGIHFPEDPEVFFCETEIEPDIDFTDNGPYINGRKYFHQCIAMASQKTGKNEYAHNGVSVNYLQLYQNVAFILQDYVDSQTYVAYTDDMRDMYGKLFLHKFAITNEEKFAVVMQNSLGFLVLQITVMAIKLIQIALKWPKRGRAWFKECPNMFFFKLKRRMQDELLLEESPSTQQNFNCRNEEEHSSCSSVVVEPDAM
+>sp|Q14566|MCM6_HUMAN DNA replication licensing factor MCM6 OS=Homo sapiens OX=9606 GN=MCM6 PE=1 SV=1
+MDLAAAAEPGAGSQHLEVRDEVAEKCQKLFLDFLEEFQSSDGEIKYLQLAEELIRPERNTLVVSFVDLEQFNQQLSTTIQEEFYRVYPYLCRALKTFVKDRKEIPLAKDFYVAFQDLPTRHKIRELTSSRIGLLTRISGQVVRTHPVHPELVSGTFLCLDCQTVIRDVEQQFKYTQPNICRNPVCANRRRFLLDTNKSRFVDFQKVRIQETQAELPRGSIPRSLEVILRAEAVESAQAGDKCDFTGTLIVVPDVSKLSTPGARAETNSRVSGVDGYETEGIRGLRALGVRDLSYRLVFLACCVAPTNPRFGGKELRDEEQTAESIKNQMTVKEWEKVFEMSQDKNLYHNLCTSLFPTIHGNDEVKRGVLLMLFGGVPKTTGEGTSLRGDINVCIVGDPSTAKSQFLKHVEEFSPRAVYTSGKASSAAGLTAAVVRDEESHEFVIEAGALMLADNGVCCIDEFDKMDVRDQVAIHEAMEQQTISITKAGVKATLNARTSILAAANPISGHYDRSKSLKQNINLSAPIMSRFDLFFILVDECNEVTDYAIARRIVDLHSRIEESIDRVYSLDDIRRYLLFARQFKPKISKESEDFIVEQYKHLRQRDGSGVTKSSWRITVRQLESMIRLSEAMARMHCCDEVQPKHVKEAFRLLNKSIIRVETPDVNLDQEEEIQMEVDEGAGGINGHADSPAPVNGINGYNEDINQESAPKASLRLGFSEYCRISNLIVLHLRKVEEEEDESALKRSELVNWYLKEIESEIDSEEELINKKRIIEKVIHRLTHYDHVLIELTQAGLKGSTEGSESYEEDPYLVVNPNYLLED
+>DECOY_sp|Q14566|MCM6_HUMAN DNA replication licensing factor MCM6 OS=Homo sapiens OX=9606 GN=MCM6 PE=1 SV=1
+DELLYNPNVVLYPDEEYSESGETSGKLGAQTLEILVHDYHTLRHIVKEIIRKKNILEEESDIESEIEKLYWNVLESRKLASEDEEEEVKRLHLVILNSIRCYESFGLRLSAKPASEQNIDENYGNIGNVPAPSDAHGNIGGAGEDVEMQIEEEQDLNVDPTEVRIISKNLLRFAEKVHKPQVEDCCHMRAMAESLRIMSELQRVTIRWSSKTVGSGDRQRLHKYQEVIFDESEKSIKPKFQRAFLLYRRIDDLSYVRDISEEIRSHLDVIRRAIAYDTVENCEDVLIFFLDFRSMIPASLNINQKLSKSRDYHGSIPNAAALISTRANLTAKVGAKTISITQQEMAEHIAVQDRVDMKDFEDICCVGNDALMLAGAEIVFEHSEEDRVVAATLGAASSAKGSTYVARPSFEEVHKLFQSKATSPDGVICVNIDGRLSTGEGTTKPVGGFLMLLVGRKVEDNGHITPFLSTCLNHYLNKDQSMEFVKEWEKVTMQNKISEATQEEDRLEKGGFRPNTPAVCCALFVLRYSLDRVGLARLGRIGETEYGDVGSVRSNTEARAGPTSLKSVDPVVILTGTFDCKDGAQASEVAEARLIVELSRPISGRPLEAQTEQIRVKQFDVFRSKNTDLLFRRRNACVPNRCINPQTYKFQQEVDRIVTQCDLCLFTGSVLEPHVPHTRVVQGSIRTLLGIRSSTLERIKHRTPLDQFAVYFDKALPIEKRDKVFTKLARCLYPYVRYFEEQITTSLQQNFQELDVFSVVLTNREPRILEEALQLYKIEGDSSQFEELFDLFLKQCKEAVEDRVELHQSGAGPEAAAALDM
+>sp|Q8NDX9|LY65B_HUMAN Lymphocyte antigen 6 complex locus protein G5b OS=Homo sapiens OX=9606 GN=LY6G5B PE=1 SV=1
+MKVHMLVGVLVMVGFTVGKVPVPDIRTCHFCLVEDPSVGCISGSEKCTISSSSLCMVITIYYDVKVRFIVRGCGQYISYRCQEKRNTYFAEYWYQAQCCQYDYCNSWSSPQLQSSLPEPHDRPLALPLSDSQIQWFYQALNLSLPLPNFHAGTEPDGLDPMVTLSLNLGLSFAELRRMYLFLNSSGLLVLPQAGLLTPHPS
+>DECOY_sp|Q8NDX9|LY65B_HUMAN Lymphocyte antigen 6 complex locus protein G5b OS=Homo sapiens OX=9606 GN=LY6G5B PE=1 SV=1
+SPHPTLLGAQPLVLLGSSNLFLYMRRLEAFSLGLNLSLTVMPDLGDPETGAHFNPLPLSLNLAQYFWQIQSDSLPLALPRDHPEPLSSQLQPSSWSNCYDYQCCQAQYWYEAFYTNRKEQCRYSIYQGCGRVIFRVKVDYYITIVMCLSSSSITCKESGSICGVSPDEVLCFHCTRIDPVPVKGVTFGVMVLVGVLMHVKM
+>sp|H3BQJ8|LY6L_HUMAN Lymphocyte antigen 6L OS=Homo sapiens OX=9606 GN=LY6L PE=3 SV=1
+MERLVLTLCTLPLAVASAGCATTPARNLSCYQCFKVSSWTECPPTWCSPLDQVCISNEVVVSFKWSVRVLLSKRCAPRCPNDNMKFEWSPAPMVQGVITRRCCSWALCNRALTPQEGRWALRGGLLLQVGLSLLRALL
+>DECOY_sp|H3BQJ8|LY6L_HUMAN Lymphocyte antigen 6L OS=Homo sapiens OX=9606 GN=LY6L PE=3 SV=1
+LLARLLSLGVQLLLGGRLAWRGEQPTLARNCLAWSCCRRTIVGQVMPAPSWEFKMNDNPCRPACRKSLLVRVSWKFSVVVENSICVQDLPSCWTPPCETWSSVKFCQYCSLNRAPTTACGASAVALPLTCLTLVLREM
+>sp|Q9HBG7|LY9_HUMAN T-lymphocyte surface antigen Ly-9 OS=Homo sapiens OX=9606 GN=LY9 PE=1 SV=3
+MVAPKSHTDDWAPGPFSSKPQRSQLQIFSSVLQTSLLFLLMGLRASGKDSAPTVVSGILGGSVTLPLNISVDTEIENVIWIGPKNALAFARPKENVTIMVKSYLGRLDITKWSYSLCISNLTLNDAGSYKAQINQRNFEVTTEEEFTLFVYEQLQEPQVTMKSVKVSENFSCNITLMCSVKGAEKSVLYSWTPREPHASESNGGSILTVSRTPCDPDLPYICTAQNPVSQRSSLPVHVGQFCTDPGASRGGTTGETVVGVLGEPVTLPLALPACRDTEKVVWLFNTSIISKEREEAATADPLIKSRDPYKNRVWVSSQDCSLKISQLKIEDAGPYHAYVCSEASSVTSMTHVTLLIYRRLRKPKITWSLRHSEDGICRISLTCSVEDGGNTVMYTWTPLQKEAVVSQGESHLNVSWRSSENHPNLTCTASNPVSRSSHQFLSENICSGPERNTKLWIGLFLMVCLLCVGIFSWCIWKRKGRCSVPAFCSSQAEAPADTPEPTAGHTLYSVLSQGYEKLDTPLRPARQQPTPTSDSSSDSNLTTEEDEDRPEVHKPISGRYEVFDQVTQEGAGHDPAPEGQADYDPVTPYVTEVESVVGENTMYAQVFNLQGKTPVSQKEESSATIYCSIRKPQVVPPPQQNDLEIPESPTYENFT
+>DECOY_sp|Q9HBG7|LY9_HUMAN T-lymphocyte surface antigen Ly-9 OS=Homo sapiens OX=9606 GN=LY9 PE=1 SV=3
+TFNEYTPSEPIELDNQQPPPVVQPKRISCYITASSEEKQSVPTKGQLNFVQAYMTNEGVVSEVETVYPTVPDYDAQGEPAPDHGAGEQTVQDFVEYRGSIPKHVEPRDEDEETTLNSDSSSDSTPTPQQRAPRLPTDLKEYGQSLVSYLTHGATPEPTDAPAEAQSSCFAPVSCRGKRKWICWSFIGVCLLCVMLFLGIWLKTNREPGSCINESLFQHSSRSVPNSATCTLNPHNESSRWSVNLHSEGQSVVAEKQLPTWTYMVTNGGDEVSCTLSIRCIGDESHRLSWTIKPKRLRRYILLTVHTMSTVSSAESCVYAHYPGADEIKLQSIKLSCDQSSVWVRNKYPDRSKILPDATAAEEREKSIISTNFLWVVKETDRCAPLALPLTVPEGLVGVVTEGTTGGRSAGPDTCFQGVHVPLSSRQSVPNQATCIYPLDPDCPTRSVTLISGGNSESAHPERPTWSYLVSKEAGKVSCMLTINCSFNESVKVSKMTVQPEQLQEYVFLTFEEETTVEFNRQNIQAKYSGADNLTLNSICLSYSWKTIDLRGLYSKVMITVNEKPRAFALANKPGIWIVNEIETDVSINLPLTVSGGLIGSVVTPASDKGSARLGMLLFLLSTQLVSSFIQLQSRQPKSSFPGPAWDDTHSKPAVM
+>sp|P16109|LYAM3_HUMAN P-selectin OS=Homo sapiens OX=9606 GN=SELP PE=1 SV=3
+MANCQIAILYQRFQRVVFGISQLLCFSALISELTNQKEVAAWTYHYSTKAYSWNISRKYCQNRYTDLVAIQNKNEIDYLNKVLPYYSSYYWIGIRKNNKTWTWVGTKKALTNEAENWADNEPNNKRNNEDCVEIYIKSPSAPGKWNDEHCLKKKHALCYTASCQDMSCSKQGECLETIGNYTCSCYPGFYGPECEYVRECGELELPQHVLMNCSHPLGNFSFNSQCSFHCTDGYQVNGPSKLECLASGIWTNKPPQCLAAQCPPLKIPERGNMTCLHSAKAFQHQSSCSFSCEEGFALVGPEVVQCTASGVWTAPAPVCKAVQCQHLEAPSEGTMDCVHPLTAFAYGSSCKFECQPGYRVRGLDMLRCIDSGHWSAPLPTCEAISCEPLESPVHGSMDCSPSLRAFQYDTNCSFRCAEGFMLRGADIVRCDNLGQWTAPAPVCQALQCQDLPVPNEARVNCSHPFGAFRYQSVCSFTCNEGLLLVGASVLQCLATGNWNSVPPECQAIPCTPLLSPQNGTMTCVQPLGSSSYKSTCQFICDEGYSLSGPERLDCTRSGRWTDSPPMCEAIKCPELFAPEQGSLDCSDTRGEFNVGSTCHFSCDNGFKLEGPNNVECTTSGRWSATPPTCKGIASLPTPGLQCPALTTPGQGTMYCRHHPGTFGFNTTCYFGCNAGFTLIGDSTLSCRPSGQWTAVTPACRAVKCSELHVNKPIAMNCSNLWGNFSYGSICSFHCLEGQLLNGSAQTACQENGHWSTTVPTCQAGPLTIQEALTYFGGAVASTIGLIMGGTLLALLRKRFRQKDDGKCPLNPHSHLGTYGVFTNAAFDPSP
+>DECOY_sp|P16109|LYAM3_HUMAN P-selectin OS=Homo sapiens OX=9606 GN=SELP PE=1 SV=3
+PSPDFAANTFVGYTGLHSHPNLPCKGDDKQRFRKRLLALLTGGMILGITSAVAGGFYTLAEQITLPGAQCTPVTTSWHGNEQCATQASGNLLQGELCHFSCISGYSFNGWLNSCNMAIPKNVHLESCKVARCAPTVATWQGSPRCSLTSDGILTFGANCGFYCTTNFGFTGPHHRCYMTGQGPTTLAPCQLGPTPLSAIGKCTPPTASWRGSTTCEVNNPGELKFGNDCSFHCTSGVNFEGRTDSCDLSGQEPAFLEPCKIAECMPPSDTWRGSRTCDLREPGSLSYGEDCIFQCTSKYSSSGLPQVCTMTGNQPSLLPTCPIAQCEPPVSNWNGTALCQLVSAGVLLLGENCTFSCVSQYRFAGFPHSCNVRAENPVPLDQCQLAQCVPAPATWQGLNDCRVIDAGRLMFGEACRFSCNTDYQFARLSPSCDMSGHVPSELPECSIAECTPLPASWHGSDICRLMDLGRVRYGPQCEFKCSSGYAFATLPHVCDMTGESPAELHQCQVAKCVPAPATWVGSATCQVVEPGVLAFGEECSFSCSSQHQFAKASHLCTMNGREPIKLPPCQAALCQPPKNTWIGSALCELKSPGNVQYGDTCHFSCQSNFSFNGLPHSCNMLVHQPLELEGCERVYECEPGYFGPYCSCTYNGITELCEGQKSCSMDQCSATYCLAHKKKLCHEDNWKGPASPSKIYIEVCDENNRKNNPENDAWNEAENTLAKKTGVWTWTKNNKRIGIWYYSSYYPLVKNLYDIENKNQIAVLDTYRNQCYKRSINWSYAKTSYHYTWAAVEKQNTLESILASFCLLQSIGFVVRQFRQYLIAIQCNAM
+>sp|Q9NX58|LYAR_HUMAN Cell growth-regulating nucleolar protein OS=Homo sapiens OX=9606 GN=LYAR PE=1 SV=2
+MVFFTCNACGESVKKIQVEKHVSVCRNCECLSCIDCGKDFWGDDYKNHVKCISEDQKYGGKGYEGKTHKGDIKQQAWIQKISELIKRPNVSPKVRELLEQISAFDNVPRKKAKFQNWMKNSLKVHNESILDQVWNIFSEASNSEPVNKEQDQRPLHPVANPHAEISTKVPASKVKDAVEQQGEVKKNKRERKEERQKKRKREKKELKLENHQENSRNQKPKKRKKGQEADLEAGGEEVPEANGSAGKRSKKKKQRKDSASEEEAHVGAGKRKRRHSEVETDSKKKKMKLPEHPEGGEPEDDEAPAKGKFNWKGTIKAILKQAPDNEITIKKLRKKVLAQYYTVTDEHHRSEEELLVIFNKKISKNPTFKLLKDKVKLVK
+>DECOY_sp|Q9NX58|LYAR_HUMAN Cell growth-regulating nucleolar protein OS=Homo sapiens OX=9606 GN=LYAR PE=1 SV=2
+KVLKVKDKLLKFTPNKSIKKNFIVLLEEESRHHEDTVTYYQALVKKRLKKITIENDPAQKLIAKITGKWNFKGKAPAEDDEPEGGEPHEPLKMKKKKSDTEVESHRRKRKGAGVHAEEESASDKRQKKKKSRKGASGNAEPVEEGGAELDAEQGKKRKKPKQNRSNEQHNELKLEKKERKRKKQREEKRERKNKKVEGQQEVADKVKSAPVKTSIEAHPNAVPHLPRQDQEKNVPESNSAESFINWVQDLISENHVKLSNKMWNQFKAKKRPVNDFASIQELLERVKPSVNPRKILESIKQIWAQQKIDGKHTKGEYGKGGYKQDESICKVHNKYDDGWFDKGCDICSLCECNRCVSVHKEVQIKKVSEGCANCTFFVM
+>sp|Q5U5X0|LYRM7_HUMAN Complex III assembly factor LYRM7 OS=Homo sapiens OX=9606 GN=LYRM7 PE=1 SV=1
+MGRAVKVLQLFKTLHRTRQQVFKNDARALEAARIKINEEFKNNKSETSSKKIEELMKIGSDVELLLRTSVIQGIHTDHNTLKLVPRKDLLVENVPYCDAPTQKQ
+>DECOY_sp|Q5U5X0|LYRM7_HUMAN Complex III assembly factor LYRM7 OS=Homo sapiens OX=9606 GN=LYRM7 PE=1 SV=1
+QKQTPADCYPVNEVLLDKRPVLKLTNHDTHIGQIVSTRLLLEVDSGIKMLEEIKKSSTESKNNKFEENIKIRAAELARADNKFVQQRTRHLTKFLQLVKVARGM
+>sp|Q96S90|LYSM1_HUMAN LysM and putative peptidoglycan-binding domain-containing protein 1 OS=Homo sapiens OX=9606 GN=LYSMD1 PE=1 SV=1
+MASPSRQPPPGGSGLLQGSRARSYGSLVQSACSPVRERRLEHQLEPGDTLAGLALKYGVTMEQIKRANRLYTNDSIFLKKTLYIPILTEPRDLFNGLDSEEEKDGEEKVHPSNSEVWPHSTERKKQETGAGRANGEVLPTPGQETPTPIHDLSASDFLKKLDSQISLSKKAAAQKLKKGENGVPGEDAGLHLSSPWMQQRAVLGPVPLTRTSRTRTLRDQEDEIFKL
+>DECOY_sp|Q96S90|LYSM1_HUMAN LysM and putative peptidoglycan-binding domain-containing protein 1 OS=Homo sapiens OX=9606 GN=LYSMD1 PE=1 SV=1
+LKFIEDEQDRLTRTRSTRTLPVPGLVARQQMWPSSLHLGADEGPVGNEGKKLKQAAAKKSLSIQSDLKKLFDSASLDHIPTPTEQGPTPLVEGNARGAGTEQKKRETSHPWVESNSPHVKEEGDKEEESDLGNFLDRPETLIPIYLTKKLFISDNTYLRNARKIQEMTVGYKLALGALTDGPELQHELRRERVPSCASQVLSGYSRARSGQLLGSGGPPPQRSPSAM
+>sp|Q8IV50|LYSM2_HUMAN LysM and putative peptidoglycan-binding domain-containing protein 2 OS=Homo sapiens OX=9606 GN=LYSMD2 PE=1 SV=1
+MADSSPALSLREGGPRAPRPSAPSPPPRSRSGSESEEAELSLSLARTKTRSYGSTASVRAPLGAGVIERHVEHRVRAGDTLQGIALKYGVTMEQIKRANKLFTNDCIFLKKTLNIPVISEKPLLFNGLNSIDSPENETADNSFSQEEEPVVAGEDLPPPSPQESDVQPVQPEEVSARDFLQRLDLQIKLSTQAAKKLKEESRDEESPYATSLYHS
+>DECOY_sp|Q8IV50|LYSM2_HUMAN LysM and putative peptidoglycan-binding domain-containing protein 2 OS=Homo sapiens OX=9606 GN=LYSMD2 PE=1 SV=1
+SHYLSTAYPSEEDRSEEKLKKAAQTSLKIQLDLRQLFDRASVEEPQVPQVDSEQPSPPPLDEGAVVPEEEQSFSNDATENEPSDISNLGNFLLPKESIVPINLTKKLFICDNTFLKNARKIQEMTVGYKLAIGQLTDGARVRHEVHREIVGAGLPARVSATSGYSRTKTRALSLSLEAEESESGSRSRPPPSPASPRPARPGGERLSLAPSSDAM
+>sp|Q99698|LYST_HUMAN Lysosomal-trafficking regulator OS=Homo sapiens OX=9606 GN=LYST PE=1 SV=3
+MSTDSNSLAREFLTDVNRLCNAVVQRVEAREEEEEETHMATLGQYLVHGRGFLLLTKLNSIIDQALTCREELLTLLLSLLPLVWKIPVQEEKATDFNLPLSADIILTKEKNSSSQRSTQEKLHLEGSALSSQVSAKVNVFRKSRRQRKITHRYSVRDARKTQLSTSDSEANSDEKGIAMNKHRRPHLLHHFLTSFPKQDHPKAKLDRLATKEQTPPDAMALENSREIIPRQGSNTDILSEPAALSVISNMNNSPFDLCHVLLSLLEKVCKFDVTLNHNSPLAASVVPTLTEFLAGFGDCCSLSDNLESRVVSAGWTEEPVALIQRMLFRTVLHLLSVDVSTAEMMPENLRKNLTELLRAALKIRICLEKQPDPFAPRQKKTLQEVQEDFVFSKYRHRALLLPELLEGVLQILICCLQSAASNPFYFSQAMDLVQEFIQHHGFNLFETAVLQMEWLVLRDGVPPEASEHLKALINSVMKIMSTVKKVKSEQLHHSMCTRKRHRRCEYSHFMHHHRDLSGLLVSAFKNQVSKNPFEETADGDVYYPERCCCIAVCAHQCLRLLQQASLSSTCVQILSGVHNIGICCCMDPKSVIIPLLHAFKLPALKNFQQHILNILNKLILDQLGGAEISPKIKKAACNICTVDSDQLAQLEETLQGNLCDAELSSSLSSPSYRFQGILPSSGSEDLLWKWDALKAYQNFVFEEDRLHSIQIANHICNLIQKGNIVVQWKLYNYIFNPVLQRGVELAHHCQHLSVTSAQSHVCSHHNQCLPQDVLQIYVKTLPILLKSRVIRDLFLSCNGVSQIIELNCLNGIRSHSLKAFETLIISLGEQQKDASVPDIDGIDIEQKELSSVHVGTSFHHQQAYSDSPQSLSKFYAGLKEAYPKRRKTVNQDVHINTINLFLCVAFLCVSKEAESDRESANDSEDTSGYDSTASEPLSHMLPCISLESLVLPSPEHMHQAADIWSMCRWIYMLSSVFQKQFYRLGGFRVCHKLIFMIIQKLFRSHKEEQGKKEGDTSVNENQDLNRISQPKRTMKEDLLSLAIKSDPIPSELGSLKKSADSLGKLELQHISSINVEEVSATEAAPEEAKLFTSQESETSLQSIRLLEALLAICLHGARTSQQKMELELPNQNLSVESILFEMRDHLSQSKVIETQLAKPLFDALLRVALGNYSADFEHNDAMTEKSHQSAEELSSQPGDFSEEAEDSQCCSFKLLVEEEGYEADSESNPEDGETQDDGVDLKSETEGFSASSSPNDLLENLTQGEIIYPEICMLELNLLSASKAKLDVLAHVFESFLKIIRQKEKNVFLLMQQGTVKNLLGGFLSILTQDDSDFQACQRVLVDLLVSLMSSRTCSEELTLLLRIFLEKSPCTKILLLGILKIIESDTTMSPSQYLTFPLLHAPNLSNGVSSQKYPGILNSKAMGLLRRARVSRSKKEADRESFPHRLLSSWHIAPVHLPLLGQNCWPHLSEGFSVSLWFNVECIHEAESTTEKGKKIKKRNKSLILPDSSFDGTESDRPEGAEYINPGERLIEEGCIHIISLGSKALMIQVWADPHNATLIFRVCMDSNDDMKAVLLAQVESQENIFLPSKWQHLVLTYLQQPQGKRRIHGKISIWVSGQRKPDVTLDFMLPRKTSLSSDSNKTFCMIGHCLSSQEEFLQLAGKWDLGNLLLFNGAKVGSQEAFYLYACGPNHTSVMPCKYGKPVNDYSKYINKEILRCEQIRELFMTKKDVDIGLLIESLSVVYTTYCPAQYTIYEPVIRLKGQMKTQLSQRPFSSKEVQSILLEPHHLKNLQPTEYKTIQGILHEIGGTGIFVFLFARVVELSSCEETQALALRVILSLIKYNQQRVHELENCNGLSMIHQVLIKQKCIVGFYILKTLLEGCCGEDIIYMNENGEFKLDVDSNAIIQDVKLLEELLLDWKIWSKAEQGVWETLLAALEVLIRADHHQQMFNIKQLLKAQVVHHFLLTCQVLQEYKEGQLTPMPREVCRSFVKIIAEVLGSPPDLELLTIIFNFLLAVHPPTNTYVCHNPTNFYFSLHIDGKIFQEKVRSIMYLRHSSSGGRSLMSPGFMVISPSGFTASPYEGENSSNIIPQQMAAHMLRSRSLPAFPTSSLLTQSQKLTGSLGCSIDRLQNIADTYVATQSKKQNSLGSSDTLKKGKEDAFISSCESAKTVCEMEAVLSAQVSVSDVPKGVLGFPVVKADHKQLGAEPRSEDDSPGDESCPRRPDYLKGLASFQRSHSTIASLGLAFPSQNGSAAVGRWPSLVDRNTDDWENFAYSLGYEPNYNRTASAHSVTEDCLVPICCGLYELLSGVLLILPDVLLEDVMDKLIQADTLLVLVNHPSPAIQQGVIKLLDAYFARASKEQKDKFLKNRGFSLLANQLYLHRGTQELLECFIEMFFGRHIGLDEEFDLEDVRNMGLFQKWSVIPILGLIETSLYDNILLHNALLLLLQILNSCSKVADMLLDNGLLYVLCNTVAALNGLEKNIPMSEYKLLACDIQQLFIAVTIHACSSSGSQYFRVIEDLIVMLGYLQNSKNKRTQNMAVALQLRVLQAAMEFIRTTANHDSENLTDSLQSPSAPHHAVVQKRKSIAGPRKFPLAQTESLLMKMRSVANDELHVMMQRRMSQENPSQATETELAQRLQRLTVLAVNRIIYQEFNSDIIDILRTPENVTQSKTSVFQTEISEENIHHEQSSVFNPFQKEIFTYLVEGFKVSIGSSKASGSKQQWTKILWSCKETFRMQLGRLLVHILSPAHAAQERKQIFEIVHEPNHQEILRDCLSPSLQHGAKLVLYLSELIHNHQGELTEEELGTAELLMNALKLCGHKCIPPSASTKADLIKMIKEEQKKYETEEGVNKAAWQKTVNNNQQSLFQRLDSKSKDISKIAADITQAVSLSQGNERKKVIQHIRGMYKVDLSASRHWQELIQQLTHDRAVWYDPIYYPTSWQLDPTEGPNRERRRLQRCYLTIPNKYLLRDRQKSEDVVKPPLSYLFEDKTHSSFSSTVKDKAASESIRVNRRCISVAPSRETAGELLLGKCGMYFVEDNASDTVESSSLQGELEPASFSWTYEEIKEVHKRWWQLRDNAVEIFLTNGRTLLLAFDNTKVRDDVYHNILTNNLPNLLEYGNITALTNLWYTGQITNFEYLTHLNKHAGRSFNDLMQYPVFPFILADYVSETLDLNDLLIYRNLSKPIAVQYKEKEDRYVDTYKYLEEEYRKGAREDDPMPPVQPYHYGSHYSNSGTVLHFLVRMPPFTKMFLAYQDQSFDIPDRTFHSTNTTWRLSSFESMTDVKELIPEFFYLPEFLVNREGFDFGVRQNGERVNHVNLPPWARNDPRLFILIHRQALESDYVSQNICQWIDLVFGYKQKGKASVQAINVFHPATYFGMDVSAVEDPVQRRALETMIKTYGQTPRQLFHMAHVSRPGAKLNIEGELPAAVGLLVQFAFRETREQVKEITYPSPLSWIKGLKWGEYVGSPSAPVPVVCFSQPHGERFGSLQALPTRAICGLSRNFCLLMTYSKEQGVRSMNSTDIQWSAILSWGYADNILRLKSKQSEPPVNFIQSSQQYQVTSCAWVPDSCQLFTGSKCGVITAYTNRFTSSTPSEIEMETQIHLYGHTEEITSLFVCKPYSILISVSRDGTCIIWDLNRLCYVQSLAGHKSPVTAVSASETSGDIATVCDSAGGGSDLRLWTVNGDLVGHVHCREIICSVAFSNQPEGVSINVIAGGLENGIVRLWSTWDLKPVREITFPKSNKPIISLTFSCDGHHLYTANSDGTVIAWCRKDQQRLKQPMFYSFLSSYAAG
+>DECOY_sp|Q99698|LYST_HUMAN Lysosomal-trafficking regulator OS=Homo sapiens OX=9606 GN=LYST PE=1 SV=3
+GAAYSSLFSYFMPQKLRQQDKRCWAIVTGDSNATYLHHGDCSFTLSIIPKNSKPFTIERVPKLDWTSWLRVIGNELGGAIVNISVGEPQNSFAVSCIIERCHVHGVLDGNVTWLRLDSGGGASDCVTAIDGSTESASVATVPSKHGALSQVYCLRNLDWIICTGDRSVSILISYPKCVFLSTIEETHGYLHIQTEMEIESPTSSTFRNTYATIVGCKSGTFLQCSDPVWACSTVQYQQSSQIFNVPPESQKSKLRLINDAYGWSLIASWQIDTSNMSRVGQEKSYTMLLCFNRSLGCIARTPLAQLSGFREGHPQSFCVVPVPASPSGVYEGWKLGKIWSLPSPYTIEKVQERTERFAFQVLLGVAAPLEGEINLKAGPRSVHAMHFLQRPTQGYTKIMTELARRQVPDEVASVDMGFYTAPHFVNIAQVSAKGKQKYGFVLDIWQCINQSVYDSELAQRHILIFLRPDNRAWPPLNVHNVREGNQRVGFDFGERNVLFEPLYFFEPILEKVDTMSEFSSLRWTTNTSHFTRDPIDFSQDQYALFMKTFPPMRVLFHLVTGSNSYHSGYHYPQVPPMPDDERAGKRYEEELYKYTDVYRDEKEKYQVAIPKSLNRYILLDNLDLTESVYDALIFPFVPYQMLDNFSRGAHKNLHTLYEFNTIQGTYWLNTLATINGYELLNPLNNTLINHYVDDRVKTNDFALLLTRGNTLFIEVANDRLQWWRKHVEKIEEYTWSFSAPELEGQLSSSEVTDSANDEVFYMGCKGLLLEGATERSPAVSICRRNVRISESAAKDKVTSSFSSHTKDEFLYSLPPKVVDESKQRDRLLYKNPITLYCRQLRRRERNPGETPDLQWSTPYYIPDYWVARDHTLQQILEQWHRSASLDVKYMGRIHQIVKKRENGQSLSVAQTIDAAIKSIDKSKSDLRQFLSQQNNNVTKQWAAKNVGEETEYKKQEEKIMKILDAKTSASPPICKHGCLKLANMLLEATGLEEETLEGQHNHILESLYLVLKAGHQLSPSLCDRLIEQHNPEHVIEFIQKREQAAHAPSLIHVLLRGLQMRFTEKCSWLIKTWQQKSGSAKSSGISVKFGEVLYTFIEKQFPNFVSSQEHHINEESIETQFVSTKSQTVNEPTRLIDIIDSNFEQYIIRNVALVTLRQLRQALETETAQSPNEQSMRRQMMVHLEDNAVSRMKMLLSETQALPFKRPGAISKRKQVVAHHPASPSQLSDTLNESDHNATTRIFEMAAQLVRLQLAVAMNQTRKNKSNQLYGLMVILDEIVRFYQSGSSSCAHITVAIFLQQIDCALLKYESMPINKELGNLAAVTNCLVYLLGNDLLMDAVKSCSNLIQLLLLLANHLLINDYLSTEILGLIPIVSWKQFLGMNRVDELDFEEDLGIHRGFFMEIFCELLEQTGRHLYLQNALLSFGRNKLFKDKQEKSARAFYADLLKIVGQQIAPSPHNVLVLLTDAQILKDMVDELLVDPLILLVGSLLEYLGCCIPVLCDETVSHASATRNYNPEYGLSYAFNEWDDTNRDVLSPWRGVAASGNQSPFALGLSAITSHSRQFSALGKLYDPRRPCSEDGPSDDESRPEAGLQKHDAKVVPFGLVGKPVDSVSVQASLVAEMECVTKASECSSIFADEKGKKLTDSSGLSNQKKSQTAVYTDAINQLRDISCGLSGTLKQSQTLLSSTPFAPLSRSRLMHAAMQQPIINSSNEGEYPSATFGSPSIVMFGPSMLSRGGSSSHRLYMISRVKEQFIKGDIHLSFYFNTPNHCVYTNTPPHVALLFNFIITLLELDPPSGLVEAIIKVFSRCVERPMPTLQGEKYEQLVQCTLLFHHVVQAKLLQKINFMQQHHDARILVELAALLTEWVGQEAKSWIKWDLLLEELLKVDQIIANSDVDLKFEGNENMYIIDEGCCGELLTKLIYFGVICKQKILVQHIMSLGNCNELEHVRQQNYKILSLIVRLALAQTEECSSLEVVRAFLFVFIGTGGIEHLIGQITKYETPQLNKLHHPELLISQVEKSSFPRQSLQTKMQGKLRIVPEYITYQAPCYTTYVVSLSEILLGIDVDKKTMFLERIQECRLIEKNIYKSYDNVPKGYKCPMVSTHNPGCAYLYFAEQSGVKAGNFLLLNGLDWKGALQLFEEQSSLCHGIMCFTKNSDSSLSTKRPLMFDLTVDPKRQGSVWISIKGHIRRKGQPQQLYTLVLHQWKSPLFINEQSEVQALLVAKMDDNSDMCVRFILTANHPDAWVQIMLAKSGLSIIHICGEEILREGPNIYEAGEPRDSETGDFSSDPLILSKNRKKIKKGKETTSEAEHICEVNFWLSVSFGESLHPWCNQGLLPLHVPAIHWSSLLRHPFSERDAEKKSRSVRARRLLGMAKSNLIGPYKQSSVGNSLNPAHLLPFTLYQSPSMTTDSEIIKLIGLLLIKTCPSKELFIRLLLTLEESCTRSSMLSVLLDVLVRQCAQFDSDDQTLISLFGGLLNKVTGQQMLLFVNKEKQRIIKLFSEFVHALVDLKAKSASLLNLELMCIEPYIIEGQTLNELLDNPSSSASFGETESKLDVGDDQTEGDEPNSESDAEYGEEEVLLKFSCCQSDEAEESFDGPQSSLEEASQHSKETMADNHEFDASYNGLAVRLLADFLPKALQTEIVKSQSLHDRMEFLISEVSLNQNPLELEMKQQSTRAGHLCIALLAELLRISQLSTESEQSTFLKAEEPAAETASVEEVNISSIHQLELKGLSDASKKLSGLESPIPDSKIALSLLDEKMTRKPQSIRNLDQNENVSTDGEKKGQEEKHSRFLKQIIMFILKHCVRFGGLRYFQKQFVSSLMYIWRCMSWIDAAQHMHEPSPLVLSELSICPLMHSLPESATSDYGSTDESDNASERDSEAEKSVCLFAVCLFLNITNIHVDQNVTKRRKPYAEKLGAYFKSLSQPSDSYAQQHHFSTGVHVSSLEKQEIDIGDIDPVSADKQQEGLSIILTEFAKLSHSRIGNLCNLEIIQSVGNCSLFLDRIVRSKLLIPLTKVYIQLVDQPLCQNHHSCVHSQASTVSLHQCHHALEVGRQLVPNFIYNYLKWQVVINGKQILNCIHNAIQISHLRDEEFVFNQYAKLADWKWLLDESGSSPLIGQFRYSPSSLSSSLEADCLNGQLTEELQALQDSDVTCINCAAKKIKPSIEAGGLQDLILKNLINLIHQQFNKLAPLKFAHLLPIIVSKPDMCCCIGINHVGSLIQVCTSSLSAQQLLRLCQHACVAICCCREPYYVDGDATEEFPNKSVQNKFASVLLGSLDRHHHMFHSYECRRHRKRTCMSHHLQESKVKKVTSMIKMVSNILAKLHESAEPPVGDRLVLWEMQLVATEFLNFGHHQIFEQVLDMAQSFYFPNSAASQLCCILIQLVGELLEPLLLARHRYKSFVFDEQVEQLTKKQRPAFPDPQKELCIRIKLAARLLETLNKRLNEPMMEATSVDVSLLHLVTRFLMRQILAVPEETWGASVVRSELNDSLSCCDGFGALFETLTPVVSAALPSNHNLTVDFKCVKELLSLLVHCLDFPSNNMNSIVSLAAPESLIDTNSGQRPIIERSNELAMADPPTQEKTALRDLKAKPHDQKPFSTLFHHLLHPRRHKNMAIGKEDSNAESDSTSLQTKRADRVSYRHTIKRQRRSKRFVNVKASVQSSLASGELHLKEQTSRQSSSNKEKTLIIDASLPLNFDTAKEEQVPIKWVLPLLSLLLTLLEERCTLAQDIISNLKTLLLFGRGHVLYQGLTAMHTEEEEEERAEVRQVVANCLRNVDTLFERALSNSDTSM
+>sp|Q96QH8|LYZL5_HUMAN Sperm acrosome-associated protein 5 OS=Homo sapiens OX=9606 GN=SPACA5 PE=2 SV=1
+MKAWGTVVVTLATLMVVTVDAKIYERCELAARLERAGLNGYKGYGVGDWLCMAHYESGFDTAFVDHNPDGSSEYGIFQLNSAWWCDNGITPTKNLCHMDCHDLLNRHILDDIRCAKQIVSSQNGLSAWTSWRLHCSGHDLSEWLKGCDMHVKIDPKIHP
+>DECOY_sp|Q96QH8|LYZL5_HUMAN Sperm acrosome-associated protein 5 OS=Homo sapiens OX=9606 GN=SPACA5 PE=2 SV=1
+PHIKPDIKVHMDCGKLWESLDHGSCHLRWSTWASLGNQSSVIQKACRIDDLIHRNLLDHCDMHCLNKTPTIGNDCWWASNLQFIGYESSGDPNHDVFATDFGSEYHAMCLWDGVGYGKYGNLGARELRAALECREYIKADVTVVMLTALTVVVTGWAKM
+>sp|O43283|M3K13_HUMAN Mitogen-activated protein kinase kinase kinase 13 OS=Homo sapiens OX=9606 GN=MAP3K13 PE=1 SV=1
+MANFQEHLSCSSSPHLPFSESKTFNGLQDELTAMGNHPSPKLLEDQQEKGMVRTELIESVHSPVTTTVLTSVSEDSRDQFENSVLQLREHDESETAVSQGNSNTVDGESTSGTEDIKIQFSRSGSGSGGFLEGLFGCLRPVWNIIGKAYSTDYKLQQQDTWEVPFEEISELQWLGSGAQGAVFLGKFRAEEVAIKKVREQNETDIKHLRKLKHPNIIAFKGVCTQAPCYCIIMEYCAHGQLYEVLRAGRKITPRLLVDWSTGIASGMNYLHLHKIIHRDLKSPNVLVTHTDAVKISDFGTSKELSDKSTKMSFAGTVAWMAPEVIRNEPVSEKVDIWSFGVVLWELLTGEIPYKDVDSSAIIWGVGSNSLHLPVPSTCPDGFKILMKQTWQSKPRNRPSFRQTLMHLDIASADVLATPQETYFKSQAEWREEVKKHFEKIKSEGTCIHRLDEELIRRRREELRHALDIREHYERKLERANNLYMELSAIMLQLEMREKELIKREQAVEKKYPGTYKRHPVRPIIHPNAMEKLMKRKGVPHKSGMQTKRPDLLRSEGIPTTEVAPTASPLSGSPKMSTSSSKSRYRSKPRHRRGNSRGSHSDFAAILKNQPAQENSPHPTYLHQAQSQYPSLHHHNSLQQQYQQPPPAMSQSHHPRLNMHGQDIATCANNLRYFGPAAALRSPLSNHAQRQLPGSSPDLISTAMAADCWRSSEPDKGQAGPWGCCQADAYDPCLQCRPEQYGSLDIPSAEPVGRSPDLSKSPAHNPLLENAQSSEKTEENEFSGCRSESSLGTSHLGTPPALPRKTRPLQKSGDDSSEEEEGEVDSEVEFPRRQRPHRCISSCQSYSTFSSENFSVSDGEEGNTSDHSNSPDELADKLEDRLAEKLDDLLSQTPEIPIDISSHSDGLSDKECAVRRVKTQMSLGKLCVEERGYENPMQFEESDCDSSDGECSDATVRTNKHYSSATW
+>DECOY_sp|O43283|M3K13_HUMAN Mitogen-activated protein kinase kinase kinase 13 OS=Homo sapiens OX=9606 GN=MAP3K13 PE=1 SV=1
+WTASSYHKNTRVTADSCEGDSSDCDSEEFQMPNEYGREEVCLKGLSMQTKVRRVACEKDSLGDSHSSIDIPIEPTQSLLDDLKEALRDELKDALEDPSNSHDSTNGEEGDSVSFNESSFTSYSQCSSICRHPRQRRPFEVESDVEGEEEESSDDGSKQLPRTKRPLAPPTGLHSTGLSSESRCGSFENEETKESSQANELLPNHAPSKSLDPSRGVPEASPIDLSGYQEPRCQLCPDYADAQCCGWPGAQGKDPESSRWCDAAMATSILDPSSGPLQRQAHNSLPSRLAAAPGFYRLNNACTAIDQGHMNLRPHHSQSMAPPPQQYQQQLSNHHHLSPYQSQAQHLYTPHPSNEQAPQNKLIAAFDSHSGRSNGRRHRPKSRYRSKSSSTSMKPSGSLPSATPAVETTPIGESRLLDPRKTQMGSKHPVGKRKMLKEMANPHIIPRVPHRKYTGPYKKEVAQERKILEKERMELQLMIASLEMYLNNARELKREYHERIDLAHRLEERRRRILEEDLRHICTGESKIKEFHKKVEERWEAQSKFYTEQPTALVDASAIDLHMLTQRFSPRNRPKSQWTQKMLIKFGDPCTSPVPLHLSNSGVGWIIASSDVDKYPIEGTLLEWLVVGFSWIDVKESVPENRIVEPAMWAVTGAFSMKTSKDSLEKSTGFDSIKVADTHTVLVNPSKLDRHIIKHLHLYNMGSAIGTSWDVLLRPTIKRGARLVEYLQGHACYEMIICYCPAQTCVGKFAIINPHKLKRLHKIDTENQERVKKIAVEEARFKGLFVAGQAGSGLWQLESIEEFPVEWTDQQQLKYDTSYAKGIINWVPRLCGFLGELFGGSGSGSRSFQIKIDETGSTSEGDVTNSNGQSVATESEDHERLQLVSNEFQDRSDESVSTLVTTTVPSHVSEILETRVMGKEQQDELLKPSPHNGMATLEDQLGNFTKSESFPLHPSSSCSLHEQFNAM
+>sp|Q9NYL2|M3K20_HUMAN Mitogen-activated protein kinase kinase kinase 20 OS=Homo sapiens OX=9606 GN=MAP3K20 PE=1 SV=3
+MSSLGASFVQIKFDDLQFFENCGGGSFGSVYRAKWISQDKEVAVKKLLKIEKEAEILSVLSHRNIIQFYGVILEPPNYGIVTEYASLGSLYDYINSNRSEEMDMDHIMTWATDVAKGMHYLHMEAPVKVIHRDLKSRNVVIAADGVLKICDFGASRFHNHTTHMSLVGTFPWMAPEVIQSLPVSETCDTYSYGVVLWEMLTREVPFKGLEGLQVAWLVVEKNERLTIPSSCPRSFAELLHQCWEADAKKRPSFKQIISILESMSNDTSLPDKCNSFLHNKAEWRCEIEATLERLKKLERDLSFKEQELKERERRLKMWEQKLTEQSNTPLLPSFEIGAWTEDDVYCWVQQLVRKGDSSAEMSVYASLFKENNITGKRLLLLEEEDLKDMGIVSKGHIIHFKSAIEKLTHDYINLFHFPPLIKDSGGEPEENEEKIVNLELVFGFHLKPGTGPQDCKWKMYMEMDGDEIAITYIKDVTFNTNLPDAEILKMTKPPFVMEKWIVGIAKSQTVECTVTYESDVRTPKSTKHVHSIQWSRTKPQDEVKAVQLAIQTLFTNSDGNPGSRSDSSADCQWLDTLRMRQIASNTSLQRSQSNPILGSPFFSHFDGQDSYAAAVRRPQVPIKYQQITPVNQSRSSSPTQYGLTKNFSSLHLNSRDSGFSSGNTDTSSERGRYSDRSRNKYGRGSISLNSSPRGRYSGKSQHSTPSRGRYPGKFYRVSQSALNPHQSPDFKRSPRDLHQPNTIPGMPLHPETDSRASEEDSKVSEGGWTKVEYRKKPHRPSPAKTNKERARGDHRGWRNF
+>DECOY_sp|Q9NYL2|M3K20_HUMAN Mitogen-activated protein kinase kinase kinase 20 OS=Homo sapiens OX=9606 GN=MAP3K20 PE=1 SV=3
+FNRWGRHDGRAREKNTKAPSPRHPKKRYEVKTWGGESVKSDEESARSDTEPHLPMGPITNPQHLDRPSRKFDPSQHPNLASQSVRYFKGPYRGRSPTSHQSKGSYRGRPSSNLSISGRGYKNRSRDSYRGRESSTDTNGSSFGSDRSNLHLSSFNKTLGYQTPSSSRSQNVPTIQQYKIPVQPRRVAAAYSDQGDFHSFFPSGLIPNSQSRQLSTNSAIQRMRLTDLWQCDASSDSRSGPNGDSNTFLTQIALQVAKVEDQPKTRSWQISHVHKTSKPTRVDSEYTVTCEVTQSKAIGVIWKEMVFPPKTMKLIEADPLNTNFTVDKIYTIAIEDGDMEMYMKWKCDQPGTGPKLHFGFVLELNVIKEENEEPEGGSDKILPPFHFLNIYDHTLKEIASKFHIIHGKSVIGMDKLDEEELLLLRKGTINNEKFLSAYVSMEASSDGKRVLQQVWCYVDDETWAGIEFSPLLPTNSQETLKQEWMKLRREREKLEQEKFSLDRELKKLRELTAEIECRWEAKNHLFSNCKDPLSTDNSMSELISIIQKFSPRKKADAEWCQHLLEAFSRPCSSPITLRENKEVVLWAVQLGELGKFPVERTLMEWLVVGYSYTDCTESVPLSQIVEPAMWPFTGVLSMHTTHNHFRSAGFDCIKLVGDAAIVVNRSKLDRHIVKVPAEMHLYHMGKAVDTAWTMIHDMDMEESRNSNIYDYLSGLSAYETVIGYNPPELIVGYFQIINRHSLVSLIEAEKEIKLLKKVAVEKDQSIWKARYVSGFSGGGCNEFFQLDDFKIQVFSAGLSSM
+>sp|Q99759|M3K3_HUMAN Mitogen-activated protein kinase kinase kinase 3 OS=Homo sapiens OX=9606 GN=MAP3K3 PE=1 SV=2
+MDEQEALNSIMNDLVALQMNRRHRMPGYETMKNKDTGHSNRQSDVRIKFEHNGERRIIAFSRPVKYEDVEHKVTTVFGQPLDLHYMNNELSILLKNQDDLDKAIDILDRSSSMKSLRILLLSQDRNHNSSSPHSGVSRQVRIKASQSAGDINTIYQPPEPRSRHLSVSSQNPGRSSPPPGYVPERQQHIARQGSYTSINSEGEFIPETSEQCMLDPLSSAENSLSGSCQSLDRSADSPSFRKSRMSRAQSFPDNRQEYSDRETQLYDKGVKGGTYPRRYHVSVHHKDYSDGRRTFPRIRRHQGNLFTLVPSSRSLSTNGENMGLAVQYLDPRGRLRSADSENALSVQERNVPTKSPSAPINWRRGKLLGQGAFGRVYLCYDVDTGRELASKQVQFDPDSPETSKEVSALECEIQLLKNLQHERIVQYYGCLRDRAEKTLTIFMEYMPGGSVKDQLKAYGALTESVTRKYTRQILEGMSYLHSNMIVHRDIKGANILRDSAGNVKLGDFGASKRLQTICMSGTGMRSVTGTPYWMSPEVISGEGYGRKADVWSLGCTVVEMLTEKPPWAEYEAMAAIFKIATQPTNPQLPSHISEHGRDFLRRIFVEARQRPSAEELLTHHFAQLMY
+>DECOY_sp|Q99759|M3K3_HUMAN Mitogen-activated protein kinase kinase kinase 3 OS=Homo sapiens OX=9606 GN=MAP3K3 PE=1 SV=2
+YMLQAFHHTLLEEASPRQRAEVFIRRLFDRGHESIHSPLQPNTPQTAIKFIAAMAEYEAWPPKETLMEVVTCGLSWVDAKRGYGEGSIVEPSMWYPTGTVSRMGTGSMCITQLRKSAGFDGLKVNGASDRLINAGKIDRHVIMNSHLYSMGELIQRTYKRTVSETLAGYAKLQDKVSGGPMYEMFITLTKEARDRLCGYYQVIREHQLNKLLQIECELASVEKSTEPSDPDFQVQKSALERGTDVDYCLYVRGFAGQGLLKGRRWNIPASPSKTPVNREQVSLANESDASRLRGRPDLYQVALGMNEGNTSLSRSSPVLTFLNGQHRRIRPFTRRGDSYDKHHVSVHYRRPYTGGKVGKDYLQTERDSYEQRNDPFSQARSMRSKRFSPSDASRDLSQCSGSLSNEASSLPDLMCQESTEPIFEGESNISTYSGQRAIHQQREPVYGPPPSSRGPNQSSVSLHRSRPEPPQYITNIDGASQSAKIRVQRSVGSHPSSSNHNRDQSLLLIRLSKMSSSRDLIDIAKDLDDQNKLLISLENNMYHLDLPQGFVTTVKHEVDEYKVPRSFAIIRREGNHEFKIRVDSQRNSHGTDKNKMTEYGPMRHRRNMQLAVLDNMISNLAEQEDM
+>sp|Q9NXJ0|M4A12_HUMAN Membrane-spanning 4-domains subfamily A member 12 OS=Homo sapiens OX=9606 GN=MS4A12 PE=1 SV=2
+MMSSKPTSHAEVNETIPNPYPPSSFMAPGFQQPLGSINLENQAQGAQRAQPYGITSPGIFASSQPGQGNIQMINPSVGTAVMNFKEEAKALGVIQIMVGLMHIGFGIVLCLISFSFREVLGFASTAVIGGYPFWGGLSFIISGSLSVSASKELSRCLVKGSLGMNIVSSILAFIGVILLLVDMCINGVAGQDYWAVLSGKGISATLMIFSLLEFFVACATAHFANQANTTTNMSVLVIPNMYESNPVTPASSSAPPRCNNYSANAPK
+>DECOY_sp|Q9NXJ0|M4A12_HUMAN Membrane-spanning 4-domains subfamily A member 12 OS=Homo sapiens OX=9606 GN=MS4A12 PE=1 SV=2
+KPANASYNNCRPPASSSAPTVPNSEYMNPIVLVSMNTTTNAQNAFHATACAVFFELLSFIMLTASIGKGSLVAWYDQGAVGNICMDVLLLIVGIFALISSVINMGLSGKVLCRSLEKSASVSLSGSIIFSLGGWFPYGGIVATSAFGLVERFSFSILCLVIGFGIHMLGVMIQIVGLAKAEEKFNMVATGVSPNIMQINGQGPQSSAFIGPSTIGYPQARQAGQAQNELNISGLPQQFGPAMFSSPPYPNPITENVEAHSTPKSSMM
+>sp|Q8N5U1|M4A15_HUMAN Membrane-spanning 4-domains subfamily A member 15 OS=Homo sapiens OX=9606 GN=MS4A15 PE=2 SV=2
+MSAAPASNGVFVVIPPNNASGLCPPPAILPTSMCQPPGIMQFEEPPLGAQTPRATQPPDLRPVETFLTGEPKVLGTVQILIGLIHLGFGSVLLMVRRGHVGIFFIEGGVPFWGGACFIISGSLSVAAEKNHTSCLVRSSLGTNILSVMAAFAGTAILLMDFGVTNRDVDRGYLAVLTIFTVLEFFTAVIAMHFGCQAIHAQASAPVIFLPNAFSADFNIPSPAASAPPAYDNVAYAQGVV
+>DECOY_sp|Q8N5U1|M4A15_HUMAN Membrane-spanning 4-domains subfamily A member 15 OS=Homo sapiens OX=9606 GN=MS4A15 PE=2 SV=2
+VVGQAYAVNDYAPPASAAPSPINFDASFANPLFIVPASAQAHIAQCGFHMAIVATFFELVTFITLVALYGRDVDRNTVGFDMLLIATGAFAAMVSLINTGLSSRVLCSTHNKEAAVSLSGSIIFCAGGWFPVGGEIFFIGVHGRRVMLLVSGFGLHILGILIQVTGLVKPEGTLFTEVPRLDPPQTARPTQAGLPPEEFQMIGPPQCMSTPLIAPPPCLGSANNPPIVVFVGNSAPAASM
+>sp|Q9BY19|M4A8_HUMAN Membrane-spanning 4-domains subfamily A member 8 OS=Homo sapiens OX=9606 GN=MS4A8 PE=2 SV=1
+MNSMTSAVPVANSVLVVAPHNGYPVTPGIMSHVPLYPNSQPQVHLVPGNPPSLVSNVNGQPVQKALKEGKTLGAIQIIIGLAHIGLGSIMATVLVGEYLSISFYGGFPFWGGLWFIISGSLSVAAENQPYSYCLLSGSLGLNIVSAICSAVGVILFITDLSIPHPYAYPDYYPYAWGVNPGMAISGVLLVFCLLEFGIACASSHFGCQLVCCQSSNVSVIYPNIYAANPVITPEPVTSPPSYSSEIQANK
+>DECOY_sp|Q9BY19|M4A8_HUMAN Membrane-spanning 4-domains subfamily A member 8 OS=Homo sapiens OX=9606 GN=MS4A8 PE=2 SV=1
+KNAQIESSYSPPSTVPEPTIVPNAAYINPYIVSVNSSQCCVLQCGFHSSACAIGFELLCFVLLVGSIAMGPNVGWAYPYYDPYAYPHPISLDTIFLIVGVASCIASVINLGLSGSLLCYSYPQNEAAVSLSGSIIFWLGGWFPFGGYFSISLYEGVLVTAMISGLGIHALGIIIQIAGLTKGEKLAKQVPQGNVNSVLSPPNGPVLHVQPQSNPYLPVHSMIGPTVPYGNHPAVVLVSNAVPVASTMSNM
+>sp|O60476|MA1A2_HUMAN Mannosyl-oligosaccharide 1,2-alpha-mannosidase IB OS=Homo sapiens OX=9606 GN=MAN1A2 PE=1 SV=1
+MTTPALLPLSGRRIPPLNLGPPSFPHHRATLRLSEKFILLLILSAFITLCFGAFFFLPDSSKHKRFDLGLEDVLIPHVDAGKGAKNPGVFLIHGPDEHRHREEEERLRNKIRADHEKALEEAKEKLRKSREEIRAEIQTEKNKVVQEMKIKENKPLPPVPIPNLVGIRGGDPEDNDIREKREKIKEMMKHAWDNYRTYGWGHNELRPIARKGHSPNIFGSSQMGATIVDALDTLYIMGLHDEFLDGQRWIEDNLDFSVNSEVSVFEVNIRFIGGLLAAYYLSGEEIFKIKAVQLAEKLLPAFNTPTGIPWAMVNLKSGVGRNWGWASAGSSILAEFGTLHMEFIHLSYLTGDLTYYKKVMHIRKLLQKMDRPNGLYPNYLNPRTGRWGQYHTSVGGLGDSFYEYLLKAWLMSDKTDHEARKMYDDAIEAIEKHLIKKSRGGLTFIGEWKNGHLEKKMGHLACFAGGMFALGADGSRADKAGHYLELGAEIARTCHESYDRTALKLGPESFKFDGAVEAVAVRQAEKYYILRPEVIETYWYLWRFTHDPRYRQWGWEAALAIEKYCRVNGGFSGVKDVYSSTPTHDDVQQSFFLAETLKYLYLLFSGDDLLPLDHWVFNTEAHPLPVLHLANTTLSGNPAVR
+>DECOY_sp|O60476|MA1A2_HUMAN Mannosyl-oligosaccharide 1,2-alpha-mannosidase IB OS=Homo sapiens OX=9606 GN=MAN1A2 PE=1 SV=1
+RVAPNGSLTTNALHLVPLPHAETNFVWHDLPLLDDGSFLLYLYKLTEALFFSQQVDDHTPTSSYVDKVGSFGGNVRCYKEIALAAEWGWQRYRPDHTFRWLYWYTEIVEPRLIYYKEAQRVAVAEVAGDFKFSEPGLKLATRDYSEHCTRAIEAGLELYHGAKDARSGDAGLAFMGGAFCALHGMKKELHGNKWEGIFTLGGRSKKILHKEIAEIADDYMKRAEHDTKDSMLWAKLLYEYFSDGLGGVSTHYQGWRGTRPNLYNPYLGNPRDMKQLLKRIHMVKKYYTLDGTLYSLHIFEMHLTGFEALISSGASAWGWNRGVGSKLNVMAWPIGTPTNFAPLLKEALQVAKIKFIEEGSLYYAALLGGIFRINVEFVSVESNVSFDLNDEIWRQGDLFEDHLGMIYLTDLADVITAGMQSSGFINPSHGKRAIPRLENHGWGYTRYNDWAHKMMEKIKERKERIDNDEPDGGRIGVLNPIPVPPLPKNEKIKMEQVVKNKETQIEARIEERSKRLKEKAEELAKEHDARIKNRLREEEERHRHEDPGHILFVGPNKAGKGADVHPILVDELGLDFRKHKSSDPLFFFAGFCLTIFASLILLLIFKESLRLTARHHPFSPPGLNLPPIRRGSLPLLAPTTM
+>sp|Q9UKM7|MA1B1_HUMAN Endoplasmic reticulum mannosyl-oligosaccharide 1,2-alpha-mannosidase OS=Homo sapiens OX=9606 GN=MAN1B1 PE=1 SV=2
+MAACEGRRSGALGSSQSDFLTPPVGGAPWAVATTVVMYPPPPPPPHRDFISVTLSFGENYDNSKSWRRRSCWRKWKQLSRLQRNMILFLLAFLLFCGLLFYINLADHWKALAFRLEEEQKMRPEIAGLKPANPPVLPAPQKADTDPENLPEISSQKTQRHIQRGPPHLQIRPPSQDLKDGTQEEATKRQEAPVDPRPEGDPQRTVISWRGAVIEPEQGTELPSRRAEVPTKPPLPPARTQGTPVHLNYRQKGVIDVFLHAWKGYRKFAWGHDELKPVSRSFSEWFGLGLTLIDALDTMWILGLRKEFEEARKWVSKKLHFEKDVDVNLFESTIRILGGLLSAYHLSGDSLFLRKAEDFGNRLMPAFRTPSKIPYSDVNIGTGVAHPPRWTSDSTVAEVTSIQLEFRELSRLTGDKKFQEAVEKVTQHIHGLSGKKDGLVPMFINTHSGLFTHLGVFTLGARADSYYEYLLKQWIQGGKQETQLLEDYVEAIEGVRTHLLRHSEPSKLTFVGELAHGRFSAKMDHLVCFLPGTLALGVYHGLPASHMELAQELMETCYQMNRQMETGLSPEIVHFNLYPQPGRRDVEVKPADRHNLLRPETVESLFYLYRVTGDRKYQDWGWEILQSFSRFTRVPSGGYSSINNVQDPQKPEPRDKMESFFLGETLKYLFLLFSDDPNLLSLDAYVFNTEAHPLPIWTPA
+>DECOY_sp|Q9UKM7|MA1B1_HUMAN Endoplasmic reticulum mannosyl-oligosaccharide 1,2-alpha-mannosidase OS=Homo sapiens OX=9606 GN=MAN1B1 PE=1 SV=2
+APTWIPLPHAETNFVYADLSLLNPDDSFLLFLYKLTEGLFFSEMKDRPEPKQPDQVNNISSYGGSPVRTFRSFSQLIEWGWDQYKRDGTVRYLYFLSEVTEPRLLNHRDAPKVEVDRRGPQPYLNFHVIEPSLGTEMQRNMQYCTEMLEQALEMHSAPLGHYVGLALTGPLFCVLHDMKASFRGHALEGVFTLKSPESHRLLHTRVGEIAEVYDELLQTEQKGGQIWQKLLYEYYSDARAGLTFVGLHTFLGSHTNIFMPVLGDKKGSLGHIHQTVKEVAEQFKKDGTLRSLERFELQISTVEAVTSDSTWRPPHAVGTGINVDSYPIKSPTRFAPMLRNGFDEAKRLFLSDGSLHYASLLGGLIRITSEFLNVDVDKEFHLKKSVWKRAEEFEKRLGLIWMTDLADILTLGLGFWESFSRSVPKLEDHGWAFKRYGKWAHLFVDIVGKQRYNLHVPTGQTRAPPLPPKTPVEARRSPLETGQEPEIVAGRWSIVTRQPDGEPRPDVPAEQRKTAEEQTGDKLDQSPPRIQLHPPGRQIHRQTKQSSIEPLNEPDTDAKQPAPLVPPNAPKLGAIEPRMKQEEELRFALAKWHDALNIYFLLGCFLLFALLFLIMNRQLRSLQKWKRWCSRRRWSKSNDYNEGFSLTVSIFDRHPPPPPPPYMVVTTAVAWPAGGVPPTLFDSQSSGLAGSRRGECAAM
+>sp|O00754|MA2B1_HUMAN Lysosomal alpha-mannosidase OS=Homo sapiens OX=9606 GN=MAN2B1 PE=1 SV=3
+MGAYARASGVCARGCLDSAGPWTMSRALRPPLPPLCFFLLLLAAAGARAGGYETCPTVQPNMLNVHLLPHTHDDVGWLKTVDQYFYGIKNDIQHAGVQYILDSVISALLADPTRRFIYVEIAFFSRWWHQQTNATQEVVRDLVRQGRLEFANGGWVMNDEAATHYGAIVDQMTLGLRFLEDTFGNDGRPRVAWHIDPFGHSREQASLFAQMGFDGFFFGRLDYQDKWVRMQKLEMEQVWRASTSLKPPTADLFTGVLPNGYNPPRNLCWDVLCVDQPLVEDPRSPEYNAKELVDYFLNVATAQGRYYRTNHTVMTMGSDFQYENANMWFKNLDKLIRLVNAQQAKGSSVHVLYSTPACYLWELNKANLTWSVKHDDFFPYADGPHQFWTGYFSSRPALKRYERLSYNFLQVCNQLEALVGLAANVGPYGSGDSAPLNEAMAVLQHHDAVSGTSRQHVANDYARQLAAGWGPCEVLLSNALARLRGFKDHFTFCQQLNISICPLSQTAARFQVIVYNPLGRKVNWMVRLPVSEGVFVVKDPNGRTVPSDVVIFPSSDSQAHPPELLFSASLPALGFSTYSVAQVPRWKPQARAPQPIPRRSWSPALTIENEHIRATFDPDTGLLMEIMNMNQQLLLPVRQTFFWYNASIGDNESDQASGAYIFRPNQQKPLPVSRWAQIHLVKTPLVQEVHQNFSAWCSQVVRLYPGQRHLELEWSVGPIPVGDTWGKEVISRFDTPLETKGRFYTDSNGREILERRRDYRPTWKLNQTEPVAGNYYPVNTRIYITDGNMQLTVLTDRSQGGSSLRDGSLELMVHRRLLKDDGRGVSEPLMENGSGAWVRGRHLVLLDTAQAAAAGHRLLAEQEVLAPQVVLAPGGGAAYNLGAPPRTQFSGLRRDLPPSVHLLTLASWGPEMVLLRLEHQFAVGEDSGRNLSAPVTLNLRDLFSTFTITRLQETTLVANQLREAASRLKWTTNTGPTPHQTPYQLDPANITLEPMEIRTFLASVQWKEVDG
+>DECOY_sp|O00754|MA2B1_HUMAN Lysosomal alpha-mannosidase OS=Homo sapiens OX=9606 GN=MAN2B1 PE=1 SV=3
+GDVEKWQVSALFTRIEMPELTINAPDLQYPTQHPTPGTNTTWKLRSAAERLQNAVLTTEQLRTITFTSFLDRLNLTVPASLNRGSDEGVAFQHELRLLVMEPGWSALTLLHVSPPLDRRLGSFQTRPPAGLNYAAGGGPALVVQPALVEQEALLRHGAAAAQATDLLVLHRGRVWAGSGNEMLPESVGRGDDKLLRRHVMLELSGDRLSSGGQSRDTLVTLQMNGDTIYIRTNVPYYNGAVPETQNLKWTPRYDRRRELIERGNSDTYFRGKTELPTDFRSIVEKGWTDGVPIPGVSWELELHRQGPYLRVVQSCWASFNQHVEQVLPTKVLHIQAWRSVPLPKQQNPRFIYAGSAQDSENDGISANYWFFTQRVPLLLQQNMNMIEMLLGTDPDFTARIHENEITLAPSWSRRPIPQPARAQPKWRPVQAVSYTSFGLAPLSASFLLEPPHAQSDSSPFIVVDSPVTRGNPDKVVFVGESVPLRVMWNVKRGLPNYVIVQFRAATQSLPCISINLQQCFTFHDKFGRLRALANSLLVECPGWGAALQRAYDNAVHQRSTGSVADHHQLVAMAENLPASDGSGYPGVNAALGVLAELQNCVQLFNYSLREYRKLAPRSSFYGTWFQHPGDAYPFFDDHKVSWTLNAKNLEWLYCAPTSYLVHVSSGKAQQANVLRILKDLNKFWMNANEYQFDSGMTMVTHNTRYYRGQATAVNLFYDVLEKANYEPSRPDEVLPQDVCLVDWCLNRPPNYGNPLVGTFLDATPPKLSTSARWVQEMELKQMRVWKDQYDLRGFFFGDFGMQAFLSAQERSHGFPDIHWAVRPRGDNGFTDELFRLGLTMQDVIAGYHTAAEDNMVWGGNAFELRGQRVLDRVVEQTANTQQHWWRSFFAIEVYIFRRTPDALLASIVSDLIYQVGAHQIDNKIGYFYQDVTKLWGVDDHTHPLLHVNLMNPQVTPCTEYGGARAGAAALLLLFFCLPPLPPRLARSMTWPGASDLCGRACVGSARAYAGM
+>sp|Q8N5G2|MACOI_HUMAN Macoilin OS=Homo sapiens OX=9606 GN=MACO1 PE=1 SV=1
+MKRRNADCSKLRRPLKRNRITEGIYGSTFLYLKFLVVWALVLLADFVLEFRFEYLWPFWLFIRSVYDSFRYQGLAFSVFFVCVAFTSNIICLLFIPIQWLFFAASTYVWVQYVWHTERGVCLPTVSLWILFVYIEAAIRFKDLKNFHVDLCRPFAAHCIGYPVVTLGFGFKSYVSYKMRLRKQKEVQKENEFYMQLLQQALPPEQQMLQKQEKEAEEAAKGLPDMDSSILIHHNGGIPANKKLSTTLPEIEYREKGKEKDKDAKKHNLGINNNNILQPVDSKIQEIEYMENHINSKRLNNDLVGSTENLLKEDSCTASSKNYKNASGVVNSSPRSHSATNGSIPSSSSKNEKKQKCTSKSPSTHKDLMENCIPNNQLSKPDALVRLEQDIKKLKADLQASRQVEQELRSQISSLSSTERGIRSEMGQLRQENELLQNKLHNAVQMKQKDKQNISQLEKKLKAEQEARSFVEKQLMEEKKRKKLEEATAARAVAFAAASRGECTETLRNRIRELEAEGKKLTMDMKVKEDQIRELELKVQELRKYKENEKDTEVLMSALSAMQDKTQHLENSLSAETRIKLDLFSALGDAKRQLEIAQGQILQKDQEIKDLKQKIAEVMAVMPSITYSAATSPLSPVSPHYSSKFVETSPSGLDPNASVYQPLKK
+>DECOY_sp|Q8N5G2|MACOI_HUMAN Macoilin OS=Homo sapiens OX=9606 GN=MACO1 PE=1 SV=1
+KKLPQYVSANPDLGSPSTEVFKSSYHPSVPSLPSTAASYTISPMVAMVEAIKQKLDKIEQDKQLIQGQAIELQRKADGLASFLDLKIRTEASLSNELHQTKDQMASLASMLVETDKENEKYKRLEQVKLELERIQDEKVKMDMTLKKGEAELERIRNRLTETCEGRSAAAFAVARAATAEELKKRKKEEMLQKEVFSRAEQEAKLKKELQSINQKDKQKMQVANHLKNQLLENEQRLQGMESRIGRETSSLSSIQSRLEQEVQRSAQLDAKLKKIDQELRVLADPKSLQNNPICNEMLDKHTSPSKSTCKQKKENKSSSSPISGNTASHSRPSSNVVGSANKYNKSSATCSDEKLLNETSGVLDNNLRKSNIHNEMYEIEQIKSDVPQLINNNNIGLNHKKADKDKEKGKERYEIEPLTTSLKKNAPIGGNHHILISSDMDPLGKAAEEAEKEQKQLMQQEPPLAQQLLQMYFENEKQVEKQKRLRMKYSVYSKFGFGLTVVPYGICHAAFPRCLDVHFNKLDKFRIAAEIYVFLIWLSVTPLCVGRETHWVYQVWVYTSAAFFLWQIPIFLLCIINSTFAVCVFFVSFALGQYRFSDYVSRIFLWFPWLYEFRFELVFDALLVLAWVVLFKLYLFTSGYIGETIRNRKLPRRLKSCDANRRKM
+>sp|Q8WXG6|MADD_HUMAN MAP kinase-activating death domain protein OS=Homo sapiens OX=9606 GN=MADD PE=1 SV=2
+MVQKKKFCPRLLDYLVIVGARHPSSDSVAQTPELLRRYPLEDHTEFPLPPDVVFFCQPEGCLSVRQRRMSLRDDTSFVFTLTDKDTGVTRYGICVNFYRSFQKRISKEKGEGGAGSRGKEGTHATCASEEGGTESSESGSSLQPLSADSTPDVNQSPRGKRRAKAGSRSRNSTLTSLCVLSHYPFFSTFRECLYTLKRLVDCCSERLLGKKLGIPRGVQRDTMWRIFTGSLLVEEKSSALLHDLREIEAWIYRLLRSPVPVSGQKRVDIEVLPQELQPALTFALPDPSRFTLVDFPLHLPLELLGVDACLQVLTCILLEHKVVLQSRDYNALSMSVMAFVAMIYPLEYMFPVIPLLPTCMASAEQLLLAPTPYIIGVPASFFLYKLDFKMPDDVWLVDLDSNRVIAPTNAEVLPILPEPESLELKKHLKQALASMSLNTQPILNLEKFHEGQEIPLLLGRPSNDLQSTPSTEFNPLIYGNDVDSVDVATRVAMVRFFNSANVLQGFQMHTRTLRLFPRPVVAFQAGSFLASRPRQTPFAEKLARTQAVEYFGEWILNPTNYAFQRIHNNMFDPALIGDKPKWYAHQLQPIHYRVYDSNSQLAEALSVPPERDSDSEPTDDSGSDSMDYDDSSSSYSSLGDFVSEMMKCDINGDTPNVDPLTHAALGDASEVEIDELQNQKEAEEPGPDSENSQENPPLRSSSSTTASSSPSTVIHGANSEPADSTEMDDKAAVGVSKPLPSVPPSIGKSNVDRRQAEIGEGSVRRRIYDNPYFEPQYGFPPEEDEDEQGESYTPRFSQHVSGNRAQKLLRPNSLRLASDSDAESDSRASSPNSTVSNTSTEGFGGIMSFASSLYRNHSTSFSLSNLTLPTKGAREKATPFPSLKVFGLNTLMEIVTEAGPGSGEGNRRALVDQKSSVIKHSPTVKREPPSPQGRSSNSSENQQFLKEVVHSVLDGQGVGWLNMKKVRRLLESEQLRVFVLSKLNRMVQSEDDARQDIIPDVEISRKVYKGMLDLLKCTVLSLEQSYAHAGLGGMASIFGLLEIAQTHYYSKEPDKRKRSPTESVNTPVGKDPGLAGRGDPKAMAQLRVPQLGPRAPSATGKGPKELDTRSLKEENFIASIELWNKHQEVKKQKALEKQRPEVIKPVFDLGETEEKKSQISADSGVSLTSSSQRTDQDSVIGVSPAVMIRSSSQDSEVSTVVSNSSGETLGADSDLSSNAGDGPGGEGSVHLASSRGTLSDSEIETNSATSTIFGKAHSLKPSIKEKLAGSPIRTSEDVSQRVYLYEGLLGRDKGSMWDQLEDAAMETFSISKERSTLWDQMQFWEDAFLDAVMLEREGMGMDQGPQEMIDRYLSLGEHDRKRLEDDEDRLLATLLHNLISYMLLMKVNKNDIRKKVRRLMGKSHIGLVYSQQINEVLDQLANLNGRDLSIWSSGSRHMKKQTFVVHAGTDTNGDIFFMEVCDDCVVLRSNIGTVYERWWYEKLINMTYCPKTKVLCLWRRNGSETQLNKFYTKKCRELYYCVKDSMERAAARQQSIKPGPELGGEFPVQDLKTGEGGLLQVTLEGINLKFMHNQVFIELNHIKKCNTVRGVFVLEEFVPEIKEVVSHKYKTPMAHEICYSVLCLFSYVAAVHSSEEDLRTPPRPVSS
+>DECOY_sp|Q8WXG6|MADD_HUMAN MAP kinase-activating death domain protein OS=Homo sapiens OX=9606 GN=MADD PE=1 SV=2
+SSVPRPPTRLDEESSHVAAVYSFLCLVSYCIEHAMPTKYKHSVVEKIEPVFEELVFVGRVTNCKKIHNLEIFVQNHMFKLNIGELTVQLLGGEGTKLDQVPFEGGLEPGPKISQQRAAAREMSDKVCYYLERCKKTYFKNLQTESGNRRWLCLVKTKPCYTMNILKEYWWREYVTGINSRLVVCDDCVEMFFIDGNTDTGAHVVFTQKKMHRSGSSWISLDRGNLNALQDLVENIQQSYVLGIHSKGMLRRVKKRIDNKNVKMLLMYSILNHLLTALLRDEDDELRKRDHEGLSLYRDIMEQPGQDMGMGERELMVADLFADEWFQMQDWLTSREKSISFTEMAADELQDWMSGKDRGLLGEYLYVRQSVDESTRIPSGALKEKISPKLSHAKGFITSTASNTEIESDSLTGRSSALHVSGEGGPGDGANSSLDSDAGLTEGSSNSVVTSVESDQSSSRIMVAPSVGIVSDQDTRQSSSTLSVGSDASIQSKKEETEGLDFVPKIVEPRQKELAKQKKVEQHKNWLEISAIFNEEKLSRTDLEKPGKGTASPARPGLQPVRLQAMAKPDGRGALGPDKGVPTNVSETPSRKRKDPEKSYYHTQAIELLGFISAMGGLGAHAYSQELSLVTCKLLDLMGKYVKRSIEVDPIIDQRADDESQVMRNLKSLVFVRLQESELLRRVKKMNLWGVGQGDLVSHVVEKLFQQNESSNSSRGQPSPPERKVTPSHKIVSSKQDVLARRNGEGSGPGAETVIEMLTNLGFVKLSPFPTAKERAGKTPLTLNSLSFSTSHNRYLSSAFSMIGGFGETSTNSVTSNPSSARSDSEADSDSALRLSNPRLLKQARNGSVHQSFRPTYSEGQEDEDEEPPFGYQPEFYPNDYIRRRVSGEGIEAQRRDVNSKGISPPVSPLPKSVGVAAKDDMETSDAPESNAGHIVTSPSSSATTSSSSRLPPNEQSNESDPGPEEAEKQNQLEDIEVESADGLAAHTLPDVNPTDGNIDCKMMESVFDGLSSYSSSSDDYDMSDSGSDDTPESDSDREPPVSLAEALQSNSDYVRYHIPQLQHAYWKPKDGILAPDFMNNHIRQFAYNTPNLIWEGFYEVAQTRALKEAFPTQRPRSALFSGAQFAVVPRPFLRLTRTHMQFGQLVNASNFFRVMAVRTAVDVSDVDNGYILPNFETSPTSQLDNSPRGLLLPIEQGEHFKELNLIPQTNLSMSALAQKLHKKLELSEPEPLIPLVEANTPAIVRNSDLDVLWVDDPMKFDLKYLFFSAPVGIIYPTPALLLQEASAMCTPLLPIVPFMYELPYIMAVFAMVSMSLANYDRSQLVVKHELLICTLVQLCADVGLLELPLHLPFDVLTFRSPDPLAFTLAPQLEQPLVEIDVRKQGSVPVPSRLLRYIWAEIERLDHLLASSKEEVLLSGTFIRWMTDRQVGRPIGLKKGLLRESCCDVLRKLTYLCERFTSFFPYHSLVCLSTLTSNRSRSGAKARRKGRPSQNVDPTSDASLPQLSSGSESSETGGEESACTAHTGEKGRSGAGGEGKEKSIRKQFSRYFNVCIGYRTVGTDKDTLTFVFSTDDRLSMRRQRVSLCGEPQCFFVVDPPLPFETHDELPYRRLLEPTQAVSDSSPHRAGVIVLYDLLRPCFKKKQVM
+>sp|O15525|MAFG_HUMAN Transcription factor MafG OS=Homo sapiens OX=9606 GN=MAFG PE=1 SV=1
+MTTPNKGNKALKVKREPGENGTSLTDEELVTMSVRELNQHLRGLSKEEIVQLKQRRRTLKNRGYAASCRVKRVTQKEELEKQKAELQQEVEKLASENASMKLELDALRSKYEALQTFARTVARSPVAPARGPLAAGLGPLVPGKVAATSVITIVKSKTDARS
+>DECOY_sp|O15525|MAFG_HUMAN Transcription factor MafG OS=Homo sapiens OX=9606 GN=MAFG PE=1 SV=1
+SRADTKSKVITIVSTAAVKGPVLPGLGAALPGRAPAVPSRAVTRAFTQLAEYKSRLADLELKMSANESALKEVEQQLEAKQKELEEKQTVRKVRCSAAYGRNKLTRRRQKLQVIEEKSLGRLHQNLERVSMTVLEEDTLSTGNEGPERKVKLAKNGKNPTTM
+>sp|O60675|MAFK_HUMAN Transcription factor MafK OS=Homo sapiens OX=9606 GN=MAFK PE=1 SV=1
+MTTNPKPNKALKVKKEAGENAPVLSDDELVSMSVRELNQHLRGLTKEEVTRLKQRRRTLKNRGYAASCRIKRVTQKEELERQRVELQQEVEKLARENSSMRLELDALRSKYEALQTFARTVARGPVAPSKVATTSVITIVKSTELSSTSVPFSAAS
+>DECOY_sp|O60675|MAFK_HUMAN Transcription factor MafK OS=Homo sapiens OX=9606 GN=MAFK PE=1 SV=1
+SAASFPVSTSSLETSKVITIVSTTAVKSPAVPGRAVTRAFTQLAEYKSRLADLELRMSSNERALKEVEQQLEVRQRELEEKQTVRKIRCSAAYGRNKLTRRRQKLRTVEEKTLGRLHQNLERVSMSVLEDDSLVPANEGAEKKVKLAKNPKPNTTM
+>sp|O75444|MAF_HUMAN Transcription factor Maf OS=Homo sapiens OX=9606 GN=MAF PE=1 SV=2
+MASELAMSNSDLPTSPLAMEYVNDFDLMKFEVKKEPVETDRIISQCGRLIAGGSLSSTPMSTPCSSVPPSPSFSAPSPGSGSEQKAHLEDYYWMTGYPQQLNPEALGFSPEDAVEALISNSHQLQGGFDGYARGAQQLAAAAGAGAGASLGGSGEEMGPAAAVVSAVIAAAAAQSGAGPHYHHHHHHAAGHHHHPTAGAPGAAGSAAASAGGAGGAGGGGPASAGGGGGGGGGGGGGGAAGAGGALHPHHAAGGLHFDDRFSDEQLVTMSVRELNRQLRGVSKEEVIRLKQKRRTLKNRGYAQSCRFKRVQQRHVLESEKNQLLQQVDHLKQEISRLVRERDAYKEKYEKLVSSGFRENGSSSDNPSSPEFFM
+>DECOY_sp|O75444|MAF_HUMAN Transcription factor Maf OS=Homo sapiens OX=9606 GN=MAF PE=1 SV=2
+MFFEPSSPNDSSSGNERFGSSVLKEYKEKYADRERVLRSIEQKLHDVQQLLQNKESELVHRQQVRKFRCSQAYGRNKLTRRKQKLRIVEEKSVGRLQRNLERVSMTVLQEDSFRDDFHLGGAAHHPHLAGGAGAAGGGGGGGGGGGGGGASAPGGGGAGGAGGASAAASGAAGPAGATPHHHHGAAHHHHHHYHPGAGSQAAAAAIVASVVAAAPGMEEGSGGLSAGAGAGAAAALQQAGRAYGDFGGQLQHSNSILAEVADEPSFGLAEPNLQQPYGTMWYYDELHAKQESGSGPSPASFSPSPPVSSCPTSMPTSSLSGGAILRGCQSIIRDTEVPEKKVEFKMLDFDNVYEMALPSTPLDSNSMALESAM
+>sp|P43356|MAGA2_HUMAN Melanoma-associated antigen 2 OS=Homo sapiens OX=9606 GN=MAGEA2 PE=1 SV=1
+MPLEQRSQHCKPEEGLEARGEALGLVGAQAPATEEQQTASSSSTLVEVTLGEVPAADSPSPPHSPQGASSFSTTINYTLWRQSDEGSSNQEEEGPRMFPDLESEFQAAISRKMVELVHFLLLKYRAREPVTKAEMLESVLRNCQDFFPVIFSKASEYLQLVFGIEVVEVVPISHLYILVTCLGLSYDGLLGDNQVMPKTGLLIIVLAIIAIEGDCAPEEKIWEELSMLEVFEGREDSVFAHPRKLLMQDLVQENYLEYRQVPGSDPACYEFLWGPRALIETSYVKVLHHTLKIGGEPHISYPPLHERALREGEE
+>DECOY_sp|P43356|MAGA2_HUMAN Melanoma-associated antigen 2 OS=Homo sapiens OX=9606 GN=MAGEA2 PE=1 SV=1
+EEGERLAREHLPPYSIHPEGGIKLTHHLVKVYSTEILARPGWLFEYCAPDSGPVQRYELYNEQVLDQMLLKRPHAFVSDERGEFVELMSLEEWIKEEPACDGEIAIIALVIILLGTKPMVQNDGLLGDYSLGLCTVLIYLHSIPVVEVVEIGFVLQLYESAKSFIVPFFDQCNRLVSELMEAKTVPERARYKLLLFHVLEVMKRSIAAQFESELDPFMRPGEEEQNSSGEDSQRWLTYNITTSFSSAGQPSHPPSPSDAAPVEGLTVEVLTSSSSATQQEETAPAQAGVLGLAEGRAELGEEPKCHQSRQELPM
+>sp|A2A368|MAGBG_HUMAN Melanoma-associated antigen B16 OS=Homo sapiens OX=9606 GN=MAGEB16 PE=4 SV=2
+MSQDQESPRCTHDQHLQTFSETQSLEVAQVSKALEKTLLSSSHPLVPGKLKEAPAAKAESPLEVPQSFCSSSIAVTTTSSSESDEASSNQEEEDSPSSSEDTSDPRNVPADALDQKVAFLVNFMLHKCQMKKPITKADMLKIIIKDDESHFSEILLRASEHLEMIFGLDVVEVDPTTHCYGLFIKLGLTYDGMLSGEKGVPKTGLLIIVLGVIFMKGNRATEEEVWEVLNLTGVYSGKKHFIFGEPRMLITKDFVKEKYLEYQQVANSDPARYEFLWGPRAKAETSKMKVLEFVAKVHGSYPHSFPSQYAEALKEEEERARARI
+>DECOY_sp|A2A368|MAGBG_HUMAN Melanoma-associated antigen B16 OS=Homo sapiens OX=9606 GN=MAGEB16 PE=4 SV=2
+IRARAREEEEKLAEAYQSPFSHPYSGHVKAVFELVKMKSTEAKARPGWLFEYRAPDSNAVQQYELYKEKVFDKTILMRPEGFIFHKKGSYVGTLNLVEWVEEETARNGKMFIVGLVIILLGTKPVGKEGSLMGDYTLGLKIFLGYCHTTPDVEVVDLGFIMELHESARLLIESFHSEDDKIIIKLMDAKTIPKKMQCKHLMFNVLFAVKQDLADAPVNRPDSTDESSSPSDEEEQNSSAEDSESSSTTTVAISSSCFSQPVELPSEAKAAPAEKLKGPVLPHSSSLLTKELAKSVQAVELSQTESFTQLHQDHTCRPSEQDQSM
+>sp|Q8TD91|MAGC3_HUMAN Melanoma-associated antigen C3 OS=Homo sapiens OX=9606 GN=MAGEC3 PE=1 SV=1
+MLLPCHWVLDATFSDGSLGQWVKNTCATYALSPVVLPPQPQPRKKATDKDYSAFHLGHLREVRLFLRGGTSDQRMDSLVLCPTYFKLWRTLSGSPGLQLSDLHFGSQPEGKFSLRRAVSVKQREEPQDWPLNEKRTLWKDSDLPTWRRGTGYTLSLPAVSPGKRLWGEKAGSLPESEPLFTYTLDEKVDKLVQFLLLKYQAKEPLTRAEMQMNVINTYTGYFPMIFRKAREFIEILFGISLTEVDPDHFYVFVNTLDLTCEGSLSDEQGMPQNRLLILILSVIFIKGNCASEEVIWEVLNAIGPWSALAGFADVLSRLALWESEGPEAFCEESGLRSAEGSVLDLANPQGLAGHRQEDGRRGLTEASPQQKKGGEDEDMPAAGMPPLPQSPPEIPPQGPPKISPQGPPQSPPQSPLDSCSSPLLWTRLDEESSSEEEDTATWHALPESESLPRYALDEKVAELVQFLLLKYQTKEPVTKAEMLTTVIKKYKDYFPMIFGKAHEFIELIFGIALTDMDPDNHSYFFEDTLDLTYEGSLIDDQGMPKNCLLILILSMIFIKGSCVPEEVIWEVLSAIGPIQRPAREVLEFLSKLSSIIPSAFPSWYMDALKDMEDRAQAIIDTTDDATAMASASPSVMSTNFCPE
+>DECOY_sp|Q8TD91|MAGC3_HUMAN Melanoma-associated antigen C3 OS=Homo sapiens OX=9606 GN=MAGEC3 PE=1 SV=1
+EPCFNTSMVSPSASAMATADDTTDIIAQARDEMDKLADMYWSPFASPIISSLKSLFELVERAPRQIPGIASLVEWIVEEPVCSGKIFIMSLILILLCNKPMGQDDILSGEYTLDLTDEFFYSHNDPDMDTLAIGFILEIFEHAKGFIMPFYDKYKKIVTTLMEAKTVPEKTQYKLLLFQVLEAVKEDLAYRPLSESEPLAHWTATDEEESSSEEDLRTWLLPSSCSDLPSQPPSQPPGQPSIKPPGQPPIEPPSQPLPPMGAAPMDEDEGGKKQQPSAETLGRRGDEQRHGALGQPNALDLVSGEASRLGSEECFAEPGESEWLALRSLVDAFGALASWPGIANLVEWIVEESACNGKIFIVSLILILLRNQPMGQEDSLSGECTLDLTNVFVYFHDPDVETLSIGFLIEIFERAKRFIMPFYGTYTNIVNMQMEARTLPEKAQYKLLLFQVLKDVKEDLTYTFLPESEPLSGAKEGWLRKGPSVAPLSLTYGTGRRWTPLDSDKWLTRKENLPWDQPEERQKVSVARRLSFKGEPQSGFHLDSLQLGPSGSLTRWLKFYTPCLVLSDMRQDSTGGRLFLRVERLHGLHFASYDKDTAKKRPQPQPPLVVPSLAYTACTNKVWQGLSGDSFTADLVWHCPLLM
+>sp|Q9Y5V3|MAGD1_HUMAN Melanoma-associated antigen D1 OS=Homo sapiens OX=9606 GN=MAGED1 PE=1 SV=3
+MAQKMDCGAGLLGFQAEASVEDSALLMQTLMEAIQISEAPPTNQATAAASPQSSQPPTANEMADIQVSAAAARPKSAFKVQNATTKGPNGVYDFSQAHNAKDVPNTQPKAAFKSQNATPKGPNAAYDFSQAATTGELAANKSEMAFKAQNATTKVGPNATYNFSQSLNANDLANSRPKTPFKAWNDTTKAPTADTQTQNVNQAKMATSQADIETDPGISEPDGATAQTSADGSQAQNLESRTIIRGKRTRKINNLNVEENSSGDQRRAPLAAGTWRSAPVPVTTQNPPGAPPNVLWQTPLAWQNPSGWQNQTARQTPPARQSPPARQTPPAWQNPVAWQNPVIWPNPVIWQNPVIWPNPIVWPGPVVWPNPLAWQNPPGWQTPPGWQTPPGWQGPPDWQGPPDWPLPPDWPLPPDWPLPTDWPLPPDWIPADWPIPPDWQNLRPSPNLRPSPNSRASQNPGAAQPRDVALLQERANKLVKYLMLKDYTKVPIKRSEMLRDIIREYTDVYPEIIERACFVLEKKFGIQLKEIDKEEHLYILISTPESLAGILGTTKDTPKLGLLLVILGVIFMNGNRASEAVLWEALRKMGLRPGVRHPLLGDLRKLLTYEFVKQKYLDYRRVPNSNPPEYEFLWGLRSYHETSKMKVLRFIAEVQKRDPRDWTAQFMEAADEALDALDAAAAEAEARAEARTRMGIGDEAVSGPWSWDDIEFELLTWDEEGDFGDPWSRIPFTFWARYHQNARSRFPQTFAGPIIGPGGTASANFAANFGAIGFFWVE
+>DECOY_sp|Q9Y5V3|MAGD1_HUMAN Melanoma-associated antigen D1 OS=Homo sapiens OX=9606 GN=MAGED1 PE=1 SV=3
+EVWFFGIAGFNAAFNASATGGPGIIPGAFTQPFRSRANQHYRAWFTFPIRSWPDGFDGEEDWTLLEFEIDDWSWPGSVAEDGIGMRTRAEARAEAEAAAADLADLAEDAAEMFQATWDRPDRKQVEAIFRLVKMKSTEHYSRLGWLFEYEPPNSNPVRRYDLYKQKVFEYTLLKRLDGLLPHRVGPRLGMKRLAEWLVAESARNGNMFIVGLIVLLLGLKPTDKTTGLIGALSEPTSILIYLHEEKDIEKLQIGFKKELVFCAREIIEPYVDTYERIIDRLMESRKIPVKTYDKLMLYKVLKNAREQLLAVDRPQAAGPNQSARSNPSPRLNPSPRLNQWDPPIPWDAPIWDPPLPWDTPLPWDPPLPWDPPLPWDPPGQWDPPGQWGPPTQWGPPTQWGPPNQWALPNPWVVPGPWVIPNPWIVPNQWIVPNPWIVPNQWAVPNQWAPPTQRAPPSQRAPPTQRATQNQWGSPNQWALPTQWLVNPPAGPPNQTTVPVPASRWTGAALPARRQDGSSNEEVNLNNIKRTRKGRIITRSELNQAQSGDASTQATAGDPESIGPDTEIDAQSTAMKAQNVNQTQTDATPAKTTDNWAKFPTKPRSNALDNANLSQSFNYTANPGVKTTANQAKFAMESKNAALEGTTAAQSFDYAANPGKPTANQSKFAAKPQTNPVDKANHAQSFDYVGNPGKTTANQVKFASKPRAAAASVQIDAMENATPPQSSQPSAAATAQNTPPAESIQIAEMLTQMLLASDEVSAEAQFGLLGAGCDMKQAM
+>sp|Q9HCI5|MAGE1_HUMAN Melanoma-associated antigen E1 OS=Homo sapiens OX=9606 GN=MAGEE1 PE=1 SV=2
+MSLVSQNSRRRRRRVAKATAHNSSWGEMQAPNAPGLPADVPGSDVPQGPSDSQILQGLCASEGPSTSVLPTSAEGPSTFVPPTISEASSASGQPTISEGPGTSVLPTPSEGLSTSGPPTISKGLCTSVTLAASEGRNTSRPPTSSEEPSTSVPPTASEVPSTSLPPTPGEGTSTSVPPTAYEGPSTSVVPTPDEGPSTSVLPTPGEGPGTSVPLAATEGLSTSVQATPDEGPSTSVPPTATEGLSTPVPPTRDEGPSTSVPATPGEGPSTSVLPAASDGQSISLVPTRGKGSSTSVPPTATEGLSTSVQPTAGEGSSTSVPPTPGGGLSTSVPPTATEELSTSVPPTPGEGPSTSVLPIPGEGLSTSVPPTASDGSDTSVPPTPGEGASTLVQPTAPDGPGSSVLPNPGEGPSTLFSSSASVDRNPSKCSLVLPSPRVTKASVDSDSEGPKGAEGPIEFEVLRDCESPNSISIMGLNTSRVAITLKPQDPMEQNVAELLQFLLVKDQSKYPIRESEMREYIVKEYRNQFPEILRRAAAHLECIFRFELRELDPEAHTYILLNKLGPVPFEGLEESPNGPKMGLLMMILGQIFLNGNQAKEAEIWEMLWRMGVQRERRLSIFGNPKRLLSVEFVWQRYLDYRPVTDCKPVEYEFFWGPRSHLETTKMKILKFMAKIYNKDPMDWPEKYNEALEEDAARAFAEGWQALPHFRRPFFEEAAAEVPSPDSEVSSYSSKYAPHSWPESRLESKARKLVQLFLLMDSTKLPIPKKGILYYIGRECSKVFPDLLNRAARTLNHVYGTELVVLDPRNHSYTLYNRREMEETEEIVDSPNRPGNNFLMQVLSFIFIMGNHARESAVWAFLRGLGVQAGRKHVITCRYLSQRYIDSLRVPDSDPVQYEFVWGPRARLETSKMKALRYVARIHRKEPQDWPQQYREAMEDEANRADVGHRQIFVHNFR
+>DECOY_sp|Q9HCI5|MAGE1_HUMAN Melanoma-associated antigen E1 OS=Homo sapiens OX=9606 GN=MAGEE1 PE=1 SV=2
+RFNHVFIQRHGVDARNAEDEMAERYQQPWDQPEKRHIRAVYRLAKMKSTELRARPGWVFEYQVPDSDPVRLSDIYRQSLYRCTIVHKRGAQVGLGRLFAWVASERAHNGMIFIFSLVQMLFNNGPRNPSDVIEETEEMERRNYLTYSHNRPDLVVLETGYVHNLTRAARNLLDPFVKSCERGIYYLIGKKPIPLKTSDMLLFLQVLKRAKSELRSEPWSHPAYKSSYSSVESDPSPVEAAAEEFFPRRFHPLAQWGEAFARAADEELAENYKEPWDMPDKNYIKAMFKLIKMKTTELHSRPGWFFEYEVPKCDTVPRYDLYRQWVFEVSLLRKPNGFISLRRERQVGMRWLMEWIEAEKAQNGNLFIQGLIMMLLGMKPGNPSEELGEFPVPGLKNLLIYTHAEPDLERLEFRFICELHAAARRLIEPFQNRYEKVIYERMESERIPYKSQDKVLLFQLLEAVNQEMPDQPKLTIAVRSTNLGMISISNPSECDRLVEFEIPGEAGKPGESDSDVSAKTVRPSPLVLSCKSPNRDVSASSSFLTSPGEGPNPLVSSGPGDPATPQVLTSAGEGPTPPVSTDSGDSATPPVSTSLGEGPIPLVSTSPGEGPTPPVSTSLEETATPPVSTSLGGGPTPPVSTSSGEGATPQVSTSLGETATPPVSTSSGKGRTPVLSISQGDSAAPLVSTSPGEGPTAPVSTSPGEDRTPPVPTSLGETATPPVSTSPGEDPTAQVSTSLGETAALPVSTGPGEGPTPLVSTSPGEDPTPVVSTSPGEYATPPVSTSTGEGPTPPLSTSPVESATPPVSTSPEESSTPPRSTNRGESAALTVSTCLGKSITPPGSTSLGESPTPLVSTGPGESITPQGSASSAESITPPVFTSPGEASTPLVSTSPGESACLGQLIQSDSPGQPVDSGPVDAPLGPANPAQMEGWSSNHATAKAVRRRRRRSNQSVLSM
+>sp|P20916|MAG_HUMAN Myelin-associated glycoprotein OS=Homo sapiens OX=9606 GN=MAG PE=1 SV=1
+MIFLTALPLFWIMISASRGGHWGAWMPSSISAFEGTCVSIPCRFDFPDELRPAVVHGVWYFNSPYPKNYPPVVFKSRTQVVHESFQGRSRLLGDLGLRNCTLLLSNVSPELGGKYYFRGDLGGYNQYTFSEHSVLDIVNTPNIVVPPEVVAGTEVEVSCMVPDNCPELRPELSWLGHEGLGEPAVLGRLREDEGTWVQVSLLHFVPTREANGHRLGCQASFPNTTLQFEGYASMDVKYPPVIVEMNSSVEAIEGSHVSLLCGADSNPPPLLTWMRDGTVLREAVAESLLLELEEVTPAEDGVYACLAENAYGQDNRTVGLSVMYAPWKPTVNGTMVAVEGETVSILCSTQSNPDPILTIFKEKQILSTVIYESELQLELPAVSPEDDGEYWCVAENQYGQRATAFNLSVEFAPVLLLESHCAAARDTVQCLCVVKSNPEPSVAFELPSRNVTVNESEREFVYSERSGLVLTSILTLRGQAQAPPRVICTARNLYGAKSLELPFQGAHRLMWAKIGPVGAVVAFAILIAIVCYITQTRRKKNVTESPSFSAGDNPPVLFSSDFRISGAPEKYESERRLGSERRLLGLRGEPPELDLSYSHSDLGKRPTKDSYTLTEELAEYAEIRVK
+>DECOY_sp|P20916|MAG_HUMAN Myelin-associated glycoprotein OS=Homo sapiens OX=9606 GN=MAG PE=1 SV=1
+KVRIEAYEALEETLTYSDKTPRKGLDSHSYSLDLEPPEGRLGLLRRESGLRRESEYKEPAGSIRFDSSFLVPPNDGASFSPSETVNKKRRTQTIYCVIAILIAFAVVAGVPGIKAWMLRHAGQFPLELSKAGYLNRATCIVRPPAQAQGRLTLISTLVLGSRESYVFERESENVTVNRSPLEFAVSPEPNSKVVCLCQVTDRAAACHSELLLVPAFEVSLNFATARQGYQNEAVCWYEGDDEPSVAPLELQLESEYIVTSLIQKEKFITLIPDPNSQTSCLISVTEGEVAVMTGNVTPKWPAYMVSLGVTRNDQGYANEALCAYVGDEAPTVEELELLLSEAVAERLVTGDRMWTLLPPPNSDAGCLLSVHSGEIAEVSSNMEVIVPPYKVDMSAYGEFQLTTNPFSAQCGLRHGNAERTPVFHLLSVQVWTGEDERLRGLVAPEGLGEHGLWSLEPRLEPCNDPVMCSVEVETGAVVEPPVVINPTNVIDLVSHESFTYQNYGGLDGRFYYKGGLEPSVNSLLLTCNRLGLDGLLRSRGQFSEHVVQTRSKFVVPPYNKPYPSNFYWVGHVVAPRLEDPFDFRCPISVCTGEFASISSPMWAGWHGGRSASIMIWFLPLATLFIM
+>sp|Q8WWC4|MAIP1_HUMAN m-AAA protease-interacting protein 1, mitochondrial OS=Homo sapiens OX=9606 GN=MAIP1 PE=1 SV=1
+MALAARLLPQFLHSRSLPCGAVRLRTPAVAEVRLPSATLCYFCRCRLGLGAALFPRSARALAASALPAQGSRWPVLSSPGLPAAFASFPACPQRSYSTEEKPQQHQKTKMIVLGFSNPINWVRTRIKAFLIWAYFDKEFSITEFSEGAKQAFAHVSKLLSQCKFDLLEELVAKEVLHALKEKVTSLPDNHKNALAANIDEIVFTSTGDISIYYDEKGRKFVNILMCFWYLTSANIPSETLRGASVFQVKLGNQNVETKQLLSASYEFQREFTQGVKPDWTIARIEHSKLLE
+>DECOY_sp|Q8WWC4|MAIP1_HUMAN m-AAA protease-interacting protein 1, mitochondrial OS=Homo sapiens OX=9606 GN=MAIP1 PE=1 SV=1
+ELLKSHEIRAITWDPKVGQTFERQFEYSASLLQKTEVNQNGLKVQFVSAGRLTESPINASTLYWFCMLINVFKRGKEDYYISIDGTSTFVIEDINAALANKHNDPLSTVKEKLAHLVEKAVLEELLDFKCQSLLKSVHAFAQKAGESFETISFEKDFYAWILFAKIRTRVWNIPNSFGLVIMKTKQHQQPKEETSYSRQPCAPFSAFAAPLGPSSLVPWRSGQAPLASAALARASRPFLAAGLGLRCRCFYCLTASPLRVEAVAPTRLRVAGCPLSRSHLFQPLLRAALAM
+>sp|Q9BXY0|MAK16_HUMAN Protein MAK16 homolog OS=Homo sapiens OX=9606 GN=MAK16 PE=1 SV=2
+MQSDDVIWDTLGNKQFCSFKIRTKTQSFCRNEYSLTGLCNRSSCPLANSQYATIKEEKGQCYLYMKVIERAAFPRRLWERVRLSKNYEKALEQIDENLIYWPRFIRHKCKQRFTKITQYLIRIRKLTLKRQRKLVPLSKKVERREKRREEKALIAAQLDNAIEKELLERLKQDTYGDIYNFPIHAFDKALEQQEAESDSSDTEEKDDDDDDEEDVGKREFVEDGEVDESDISDFEDMDKLDASSDEDQDGKSSSEEEEEKALSAKHKGKMPLRGPLQRKRAYVEIEYEQETEPVAKAKTT
+>DECOY_sp|Q9BXY0|MAK16_HUMAN Protein MAK16 homolog OS=Homo sapiens OX=9606 GN=MAK16 PE=1 SV=2
+TTKAKAVPETEQEYEIEVYARKRQLPGRLPMKGKHKASLAKEEEEESSSKGDQDEDSSADLKDMDEFDSIDSEDVEGDEVFERKGVDEEDDDDDDKEETDSSDSEAEQQELAKDFAHIPFNYIDGYTDQKLRELLEKEIANDLQAAILAKEERRKERREVKKSLPVLKRQRKLTLKRIRILYQTIKTFRQKCKHRIFRPWYILNEDIQELAKEYNKSLRVREWLRRPFAAREIVKMYLYCQGKEEKITAYQSNALPCSSRNCLGTLSYENRCFSQTKTRIKFSCFQKNGLTDWIVDDSQM
+>sp|Q13021|MALL_HUMAN MAL-like protein OS=Homo sapiens OX=9606 GN=MALL PE=1 SV=2
+MASPDPPATSYAPSDVPSGVALFLTIPFAFFLPELIFGFLVWTMVAATHIVYPLLQGWVMYVSLTSFLISLMFLLSYLFGFYKRFESWRVLDSLYHGTTGILYMSAAVLQVHATIVSEKLLDPRIYYINSAASFFAFIATLLYILHAFSIYYH
+>DECOY_sp|Q13021|MALL_HUMAN MAL-like protein OS=Homo sapiens OX=9606 GN=MALL PE=1 SV=2
+HYYISFAHLIYLLTAIFAFFSAASNIYYIRPDLLKESVITAHVQLVAASMYLIGTTGHYLSDLVRWSEFRKYFGFLYSLLFMLSILFSTLSVYMVWGQLLPYVIHTAAVMTWVLFGFILEPLFFAFPITLFLAVGSPVDSPAYSTAPPDPSAM
+>sp|Q6UB28|MAP12_HUMAN Methionine aminopeptidase 1D, mitochondrial OS=Homo sapiens OX=9606 GN=METAP1D PE=1 SV=1
+MAAPSGVHLLVRRGSHRIFSSPLNHIYLHKQSSSQQRRNFFFRRQRDISHSIVLPAAVSSAHPVPKHIKKPDYVTTGIVPDWGDSIEVKNEDQIQGLHQACQLARHVLLLAGKSLKVDMTTEEIDALVHREIISHNAYPSPLGYGGFPKSVCTSVNNVLCHGIPDSRPLQDGDIINIDVTVYYNGYHGDTSETFLVGNVDECGKKLVEVARRCRDEAIAACRAGAPFSVIGNTISHITHQNGFQVCPHFVGHGIGSYFHGHPEIWHHANDSDLPMEEGMAFTIEPIITEGSPEFKVLEDAWTVVSLDNQRSAQFEHTVLITSRGAQILTKLPHEA
+>DECOY_sp|Q6UB28|MAP12_HUMAN Methionine aminopeptidase 1D, mitochondrial OS=Homo sapiens OX=9606 GN=METAP1D PE=1 SV=1
+AEHPLKTLIQAGRSTILVTHEFQASRQNDLSVVTWADELVKFEPSGETIIPEITFAMGEEMPLDSDNAHHWIEPHGHFYSGIGHGVFHPCVQFGNQHTIHSITNGIVSFPAGARCAAIAEDRCRRAVEVLKKGCEDVNGVLFTESTDGHYGNYYVTVDINIIDGDQLPRSDPIGHCLVNNVSTCVSKPFGGYGLPSPYANHSIIERHVLADIEETTMDVKLSKGALLLVHRALQCAQHLGQIQDENKVEISDGWDPVIGTTVYDPKKIHKPVPHASSVAAPLVISHSIDRQRRFFFNRRQQSSSQKHLYIHNLPSSFIRHSGRRVLLHVGSPAAM
+>sp|P78559|MAP1A_HUMAN Microtubule-associated protein 1A OS=Homo sapiens OX=9606 GN=MAP1A PE=1 SV=6
+MDGVAEFSEYVSETVDVPSPFDLLEPPTSGGFLKLSKPCCYIFPGGRGDSALFAVNGFNILVDGGSDRKSCFWKLVRHLDRIDSVLLTHIGADNLPGINGLLQRKVAELEEEQSQGSSSYSDWVKNLISPELGVVFFNVPEKLRLPDASRKAKRSIEEACLTLQHLNRLGIQAEPLYRVVSNTIEPLTLFHKMGVGRLDMYVLNPVKDSKEMQFLMQKWAGNSKAKTGIVLPNGKEAEISVPYLTSITALVVWLPANPTEKIVRVLFPGNAPQNKILEGLEKLRHLDFLRYPVATQKDLASGAVPTNLKPSKIKQRADSKESLKATTKTAVSKLAKREEVVEEGAKEARSELAKELAKTEKKAKESSEKPPEKPAKPERVKTESSEALKAEKRKLIKDKVGKKHLKEKISKLEEKKDKEKKEIKKERKELKKDEGRKEEKKDAKKEEKRKDTKPELKKISKPDLKPFTPEVRKTLYKAKVPGRVKIDRSRAIRGEKELSSEPQTPPAQKGTVPLPTISGHRELVLSSPEDLTQDFEEMKREERALLAEQRDTGLGDKPFPLDTAEEGPPSTAIQGTPPSVPGLGQEEHVMKEKELVPEVPEEQGSKDRGLDSGAETEEEKDTWEEKKQREAERLPDRTEAREESEPEVKEDVIEKAELEEMEEVHPSDEEEEDATKAEGFYQKHMQEPLKVTPRSREAFGGRELGLQGKAPEKETSLFLSSLTTPAGATEHVSYIQDETIPGYSETEQTISDEEIHDEPEERPAPPRFHTSTYDLPGPEGAGPFEASQPADSAVPATSGKVYGTPETELTYPTNIVAAPLAEEEHVSSATSITECDKLSSFATSVAEDQSVASLTAPQTEETGKSSLLLDTVTSIPSSRTEATQGLDYVPSAGTISPTSSLEEDKGFKSPPCEDFSVTGESEKRGEIIGKGLSGERAVEEEEEETANVEMSEKLCSQYGTPVFSAPGHALHPGEPALGEAEERCLSPDDSTVKMASPPPSGPPSATHTPFHQSPVEEKSEPQDFQEADSWGDTKRTPGVGKEDAAEETVKPGPEEGTLEKEEKVPPPRSPQAQEAPVNIDEGLTGCTIQLLPAQDKAIVFEIMEAGEPTGPILGAEALPGGLRTLPQEPGKPQKDEVLRYPDRSLSPEDAESLSVLSVPSPDTANQEPTPKSPCGLTEQYLHKDRWPEVSPEDTQSLSLSEESPSKETSLDVSSKQLSPESLGTLQFGELNLGKEEMGHLMQAEDTSHHTAPMSVPEPHAATASPPTDGTTRYSAQTDITDDSLDRKSPASSFSHSTPSGNGKYLPGAITSPDEHILTPDSSFSKSPESLPGPALEDIAIKWEDKVPGLKDRTSEQKKEPEPKDEVLQQKDKTLEHKEVVEPKDTAIYQKDEALHVKNEAVKQQDKALEQKGRDLEQKDTALEQKDKALEPKDKDLEEKDKALEQKDKIPEEKDKALEQKDTALEQKDKALEPKDKDLEQKDRVLEQKEKIPEEKDKALDQKVRSVEHKAPEDTVAEMKDRDLEQTDKAPEQKHQAQEQKDKVSEKKDQALEQKYWALGQKDEALEQNIQALEENHQTQEQESLVQEDKTRKPKMLEEKSPEKVKAMEEKLEALLEKTKALGLEESLVQEGRAREQEEKYWRGQDVVQEWQETSPTREEPAGEQKELAPAWEDTSPEQDNRYWRGREDVALEQDTYWRELSCERKVWFPHELDGQGARPHYTEERESTFLDEGPDDEQEVPLREHATRSPWASDFKDFQESSPQKGLEVERWLAESPVGLPPEEEDKLTRSPFEIISPPASPPEMVGQRVPSAPGQESPIPDPKLMPHMKNEPTTPSWLADIPPWVPKDRPLPPAPLSPAPGPPTPAPESHTPAPFSWGTAEYDSVVAAVQEGAAELEGGPYSPLGKDYRKAEGEREEEGRAEAPDKSSHSSKVPEASKSHATTEPEQTEPEQREPTPYPDERSFQYADIYEQMMLTGLGPACPTREPPLGAAGDWPPCLSTKEAAAGRNTSAEKELSSPISPKSLQSDTPTFSYAALAGPTVPPRPEPGPSMEPSLTPPAVPPRAPILSKGPSPPLNGNILSCSPDRRSPSPKESGRSHWDDSTSDSELEKGAREQPEKEAQSPSPPHPIPMGSPTLWPETEAHVSPPLDSHLGPARPSLDFPASAFGFSSLQPAPPQLPSPAEPRSAPCGSLAFSGDRALALAPGPPTRTRHDEYLEVTKAPSLDSSLPQLPSPSSPGAPLLSNLPRPASPALSEGSSSEATTPVISSVAERFSPSLEAAEQESGELDPGMEPAAHSLWDLTPLSPAPPASLDLALAPAPSLPGDMGDGILPCHLECSEAATEKPSPFQVPSEDCAANGPTETSPNPPGPAPAKAENEEAAACPAWERGAWPEGAERSSRPDTLLSPEQPVCPAGGSGGPPSSASPEVEAGPQGCATEPRPHRGELSPSFLNPPLPPSIDDRDLSTEEVRLVGRGGRRRVGGPGTTGGPCPVTDETPPTSASDSGSSQSDSDVPPETEECPSITAEAALDSDEDGDFLPVDKAGGVSGTHHPRPGHDPPPLPQPDPRPSPPRPDVCMADPEGLSSESGRVERLREKEKVQGRVGRRAPGKAKPASPARRLDLRGKRSPTPGKGPADRASRAPPRPRSTTSQVTPAEEKDGHSPMSKGLVNGLKAGPMALSSKGSSGAPVYVDLAYIPNHCSGKTADLDFFRRVRASYYVVSGNDPANGEPSRAVLDALLEGKAQWGENLQVTLIPTHDTEVTREWYQQTHEQQQQLNVLVLASSSTVVMQDESFPACKIEF
+>DECOY_sp|P78559|MAP1A_HUMAN Microtubule-associated protein 1A OS=Homo sapiens OX=9606 GN=MAP1A PE=1 SV=6
+FEIKCAPFSEDQMVVTSSSALVLVNLQQQQEHTQQYWERTVETDHTPILTVQLNEGWQAKGELLADLVARSPEGNAPDNGSVVYYSARVRRFFDLDATKGSCHNPIYALDVYVPAGSSGKSSLAMPGAKLGNVLGKSMPSHGDKEEAPTVQSTTSRPRPPARSARDAPGKGPTPSRKGRLDLRRAPSAPKAKGPARRGVRGQVKEKERLREVRGSESSLGEPDAMCVDPRPPSPRPDPQPLPPPDHGPRPHHTGSVGGAKDVPLFDGDEDSDLAAEATISPCEETEPPVDSDSQSSGSDSASTPPTEDTVPCPGGTTGPGGVRRRGGRGVLRVEETSLDRDDISPPLPPNLFSPSLEGRHPRPETACGQPGAEVEPSASSPPGGSGGAPCVPQEPSLLTDPRSSREAGEPWAGREWAPCAAAEENEAKAPAPGPPNPSTETPGNAACDESPVQFPSPKETAAESCELHCPLIGDGMDGPLSPAPALALDLSAPPAPSLPTLDWLSHAAPEMGPDLEGSEQEAAELSPSFREAVSSIVPTTAESSSGESLAPSAPRPLNSLLPAGPSSPSPLQPLSSDLSPAKTVELYEDHRTRTPPGPALALARDGSFALSGCPASRPEAPSPLQPPAPQLSSFGFASAPFDLSPRAPGLHSDLPPSVHAETEPWLTPSGMPIPHPPSPSQAEKEPQERAGKELESDSTSDDWHSRGSEKPSPSRRDPSCSLINGNLPPSPGKSLIPARPPVAPPTLSPEMSPGPEPRPPVTPGALAAYSFTPTDSQLSKPSIPSSLEKEASTNRGAAAEKTSLCPPWDGAAGLPPERTPCAPGLGTLMMQEYIDAYQFSREDPYPTPERQEPETQEPETTAHSKSAEPVKSSHSSKDPAEARGEEEREGEAKRYDKGLPSYPGGELEAAGEQVAAVVSDYEATGWSFPAPTHSEPAPTPPGPAPSLPAPPLPRDKPVWPPIDALWSPTTPENKMHPMLKPDPIPSEQGPASPVRQGVMEPPSAPPSIIEFPSRTLKDEEEPPLGVPSEALWREVELGKQPSSEQFDKFDSAWPSRTAHERLPVEQEDDPGEDLFTSEREETYHPRAGQGDLEHPFWVKRECSLERWYTDQELAVDERGRWYRNDQEPSTDEWAPALEKQEGAPEERTPSTEQWEQVVDQGRWYKEEQERARGEQVLSEELGLAKTKELLAELKEEMAKVKEPSKEELMKPKRTKDEQVLSEQEQTQHNEELAQINQELAEDKQGLAWYKQELAQDKKESVKDKQEQAQHKQEPAKDTQELDRDKMEAVTDEPAKHEVSRVKQDLAKDKEEPIKEKQELVRDKQELDKDKPELAKDKQELATDKQELAKDKEEPIKDKQELAKDKEELDKDKPELAKDKQELATDKQELDRGKQELAKDQQKVAENKVHLAEDKQYIATDKPEVVEKHELTKDKQQLVEDKPEPEKKQESTRDKLGPVKDEWKIAIDELAPGPLSEPSKSFSSDPTLIHEDPSTIAGPLYKGNGSPTSHSFSSAPSKRDLSDDTIDTQASYRTTGDTPPSATAAHPEPVSMPATHHSTDEAQMLHGMEEKGLNLEGFQLTGLSEPSLQKSSVDLSTEKSPSEESLSLSQTDEPSVEPWRDKHLYQETLGCPSKPTPEQNATDPSPVSLVSLSEADEPSLSRDPYRLVEDKQPKGPEQPLTRLGGPLAEAGLIPGTPEGAEMIEFVIAKDQAPLLQITCGTLGEDINVPAEQAQPSRPPPVKEEKELTGEEPGPKVTEEAADEKGVGPTRKTDGWSDAEQFDQPESKEEVPSQHFPTHTASPPGSPPPSAMKVTSDDPSLCREEAEGLAPEGPHLAHGPASFVPTGYQSCLKESMEVNATEEEEEEVAREGSLGKGIIEGRKESEGTVSFDECPPSKFGKDEELSSTPSITGASPVYDLGQTAETRSSPISTVTDLLLSSKGTEETQPATLSAVSQDEAVSTAFSSLKDCETISTASSVHEEEALPAAVINTPYTLETEPTGYVKGSTAPVASDAPQSAEFPGAGEPGPLDYTSTHFRPPAPREEPEDHIEEDSITQETESYGPITEDQIYSVHETAGAPTTLSSLFLSTEKEPAKGQLGLERGGFAERSRPTVKLPEQMHKQYFGEAKTADEEEEDSPHVEEMEELEAKEIVDEKVEPESEERAETRDPLREAERQKKEEWTDKEEETEAGSDLGRDKSGQEEPVEPVLEKEKMVHEEQGLGPVSPPTGQIATSPPGEEATDLPFPKDGLGTDRQEALLAREERKMEEFDQTLDEPSSLVLERHGSITPLPVTGKQAPPTQPESSLEKEGRIARSRDIKVRGPVKAKYLTKRVEPTFPKLDPKSIKKLEPKTDKRKEEKKADKKEEKRGEDKKLEKREKKIEKKEKDKKEELKSIKEKLHKKGVKDKILKRKEAKLAESSETKVREPKAPKEPPKESSEKAKKETKALEKALESRAEKAGEEVVEERKALKSVATKTTAKLSEKSDARQKIKSPKLNTPVAGSALDKQTAVPYRLFDLHRLKELGELIKNQPANGPFLVRVIKETPNAPLWVVLATISTLYPVSIEAEKGNPLVIGTKAKSNGAWKQMLFQMEKSDKVPNLVYMDLRGVGMKHFLTLPEITNSVVRYLPEAQIGLRNLHQLTLCAEEISRKAKRSADPLRLKEPVNFFVVGLEPSILNKVWDSYSSSGQSQEEELEAVKRQLLGNIGPLNDAGIHTLLVSDIRDLHRVLKWFCSKRDSGGDVLINFGNVAFLASDGRGGPFIYCCPKSLKLFGGSTPPELLDFPSPVDVTESVYESFEAVGDM
+>sp|P27816|MAP4_HUMAN Microtubule-associated protein 4 OS=Homo sapiens OX=9606 GN=MAP4 PE=1 SV=3
+MADLSLADALTEPSPDIEGEIKRDFIATLEAEAFDDVVGETVGKTDYIPLLDVDEKTGNSESKKKPCSETSQIEDTPSSKPTLLANGGHGVEGSDTTGSPTEFLEEKMAYQEYPNSQNWPEDTNFCFQPEQVVDPIQTDPFKMYHDDDLADLVFPSSATADTSIFAGQNDPLKDSYGMSPCNTAVVPQGWSVEALNSPHSESFVSPEAVAEPPQPTAVPLELAKEIEMASEERPPAQALEIMMGLKTTDMAPSKETEMALAKDMALATKTEVALAKDMESPTKLDVTLAKDMQPSMESDMALVKDMELPTEKEVALVKDVRWPTETDVSSAKNVVLPTETEVAPAKDVTLLKETERASPIKMDLAPSKDMGPPKENKKETERASPIKMDLAPSKDMGPPKENKIVPAKDLVLLSEIEVAQANDIISSTEISSAEKVALSSETEVALARDMTLPPETNVILTKDKALPLEAEVAPVKDMAQLPETEIAPAKDVAPSTVKEVGLLKDMSPLSETEMALGKDVTPPPETEVVLIKNVCLPPEMEVALTEDQVPALKTEAPLAKDGVLTLANNVTPAKDVPPLSETEATPVPIKDMEIAQTQKGISEDSHLESLQDVGQSAAPTFMISPETVTGTGKKCSLPAEEDSVLEKLGERKPCNSQPSELSSETSGIARPEEGRPVVSGTGNDITTPPNKELPPSPEKKTKPLATTQPAKTSTSKAKTQPTSLPKQPAPTTIGGLNKKPMSLASGLVPAAPPKRPAVASARPSILPSKDVKPKPIADAKAPEKRASPSKPASAPASRSGSKSTQTVAKTTTAAAVASTGPSSRSPSTLLPKKPTAIKTEGKPAEVKKMTAKSVPADLSRPKSTSTSSMKKTTTLSGTAPAAGVVPSRVKATPMPSRPSTTPFIDKKPTSAKPSSTTPRLSRLATNTSAPDLKNVRSKVGSTENIKHQPGGGRAKVEKKTEAAATTRKPESNAVTKTAGPIASAQKQPAGKVQIVSKKVSYSHIQSKCGSKDNIKHVPGGGNVQIQNKKVDISKVSSKCGSKANIKHKPGGGDVKIESQKLNFKEKAQAKVGSLDNVGHLPAGGAVKTEGGGSEAPLCPGPPAGEEPAISEAAPEAGAPTSASGLNGHPTLSGGGDQREAQTLDSQIQETSI
+>DECOY_sp|P27816|MAP4_HUMAN Microtubule-associated protein 4 OS=Homo sapiens OX=9606 GN=MAP4 PE=1 SV=3
+ISTEQIQSDLTQAERQDGGGSLTPHGNLGSASTPAGAEPAAESIAPEEGAPPGPCLPAESGGGETKVAGGAPLHGVNDLSGVKAQAKEKFNLKQSEIKVDGGGPKHKINAKSGCKSSVKSIDVKKNQIQVNGGGPVHKINDKSGCKSQIHSYSVKKSVIQVKGAPQKQASAIPGATKTVANSEPKRTTAAAETKKEVKARGGGPQHKINETSGVKSRVNKLDPASTNTALRSLRPTTSSPKASTPKKDIFPTTSPRSPMPTAKVRSPVVGAAPATGSLTTTKKMSSTSTSKPRSLDAPVSKATMKKVEAPKGETKIATPKKPLLTSPSRSSPGTSAVAAATTTKAVTQTSKSGSRSAPASAPKSPSARKEPAKADAIPKPKVDKSPLISPRASAVAPRKPPAAPVLGSALSMPKKNLGGITTPAPQKPLSTPQTKAKSTSTKAPQTTALPKTKKEPSPPLEKNPPTTIDNGTGSVVPRGEEPRAIGSTESSLESPQSNCPKREGLKELVSDEEAPLSCKKGTGTVTEPSIMFTPAASQGVDQLSELHSDESIGKQTQAIEMDKIPVPTAETESLPPVDKAPTVNNALTLVGDKALPAETKLAPVQDETLAVEMEPPLCVNKILVVETEPPPTVDKGLAMETESLPSMDKLLGVEKVTSPAVDKAPAIETEPLQAMDKVPAVEAELPLAKDKTLIVNTEPPLTMDRALAVETESSLAVKEASSIETSSIIDNAQAVEIESLLVLDKAPVIKNEKPPGMDKSPALDMKIPSARETEKKNEKPPGMDKSPALDMKIPSARETEKLLTVDKAPAVETETPLVVNKASSVDTETPWRVDKVLAVEKETPLEMDKVLAMDSEMSPQMDKALTVDLKTPSEMDKALAVETKTALAMDKALAMETEKSPAMDTTKLGMMIELAQAPPREESAMEIEKALELPVATPQPPEAVAEPSVFSESHPSNLAEVSWGQPVVATNCPSMGYSDKLPDNQGAFISTDATASSPFVLDALDDDHYMKFPDTQIPDVVQEPQFCFNTDEPWNQSNPYEQYAMKEELFETPSGTTDSGEVGHGGNALLTPKSSPTDEIQSTESCPKKKSESNGTKEDVDLLPIYDTKGVTEGVVDDFAEAELTAIFDRKIEGEIDPSPETLADALSLDAM
+>sp|Q969Z3|MARC2_HUMAN Mitochondrial amidoxime reducing component 2 OS=Homo sapiens OX=9606 GN=MARC2 PE=1 SV=1
+MGASSSSALARLGLPARPWPRWLGVAALGLAAVALGTVAWRRAWPRRRRRLQQVGTVAKLWIYPVKSCKGVPVSEAECTAMGLRSGNLRDRFWLVIKEDGHMVTARQEPRLVLISIIYENNCLIFRAPDMDQLVLPSKQPSSNKLHNCRIFGLDIKGRDCGNEAAKWFTNFLKTEAYRLVQFETNMKGRTSRKLLPTLDQNFQVAYPDYCPLLIMTDASLVDLNTRMEKKMKMENFRPNIVVTGCDAFEEDTWDELLIGSVEVKKVMACPRCILTTVDPDTGVIDRKQPLDTLKSYRLCDPSERELYKLSPLFGIYYSVEKIGSLRVGDPVYRMV
+>DECOY_sp|Q969Z3|MARC2_HUMAN Mitochondrial amidoxime reducing component 2 OS=Homo sapiens OX=9606 GN=MARC2 PE=1 SV=1
+VMRYVPDGVRLSGIKEVSYYIGFLPSLKYLERESPDCLRYSKLTDLPQKRDIVGTDPDVTTLICRPCAMVKKVEVSGILLEDWTDEEFADCGTVVINPRFNEMKMKKEMRTNLDVLSADTMILLPCYDPYAVQFNQDLTPLLKRSTRGKMNTEFQVLRYAETKLFNTFWKAAENGCDRGKIDLGFIRCNHLKNSSPQKSPLVLQDMDPARFILCNNEYIISILVLRPEQRATVMHGDEKIVLWFRDRLNGSRLGMATCEAESVPVGKCSKVPYIWLKAVTGVQQLRRRRRPWARRWAVTGLAVAALGLAAVGLWRPWPRAPLGLRALASSSSAGM
+>sp|Q9UEW3|MARCO_HUMAN Macrophage receptor MARCO OS=Homo sapiens OX=9606 GN=MARCO PE=1 SV=1
+MRNKKILKEDELLSETQQAAFHQIAMEPFEINVPKPKRRNGVNFSLAVVVIYLILLTAGAGLLVVQVLNLQARLRVLEMYFLNDTLAAEDSPSFSLLQSAHPGEHLAQGASRLQVLQAQLTWVRVSHEHLLQRVDNFTQNPGMFRIKGEQGAPGLQGHKGAMGMPGAPGPPGPPAEKGAKGAMGRDGATGPSGPQGPPGVKGEAGLQGPQGAPGKQGATGTPGPQGEKGSKGDGGLIGPKGETGTKGEKGDLGLPGSKGDRGMKGDAGVMGPPGAQGSKGDFGRPGPPGLAGFPGAKGDQGQPGLQGVPGPPGAVGHPGAKGEPGSAGSPGRAGLPGSPGSPGATGLKGSKGDTGLQGQQGRKGESGVPGPAGVKGEQGSPGLAGPKGAPGQAGQKGDQGVKGSSGEQGVKGEKGERGENSVSVRIVGSSNRGRAEVYYSGTWGTICDDEWQNSDAIVFCRMLGYSKGRALYKVGAGTGQIWLDNVQCRGTESTLWSCTKNSWGHHDCSHEEDAGVECSV
+>DECOY_sp|Q9UEW3|MARCO_HUMAN Macrophage receptor MARCO OS=Homo sapiens OX=9606 GN=MARCO PE=1 SV=1
+VSCEVGADEEHSCDHHGWSNKTCSWLTSETGRCQVNDLWIQGTGAGVKYLARGKSYGLMRCFVIADSNQWEDDCITGWTGSYYVEARGRNSSGVIRVSVSNEGREGKEGKVGQEGSSGKVGQDGKQGAQGPAGKPGALGPSGQEGKVGAPGPVGSEGKRGQQGQLGTDGKSGKLGTAGPSGPSGPLGARGPSGASGPEGKAGPHGVAGPPGPVGQLGPQGQDGKAGPFGALGPPGPRGFDGKSGQAGPPGMVGADGKMGRDGKSGPLGLDGKEGKTGTEGKPGILGGDGKSGKEGQPGPTGTAGQKGPAGQPGQLGAEGKVGPPGQPGSPGTAGDRGMAGKAGKEAPPGPPGPAGPMGMAGKHGQLGPAGQEGKIRFMGPNQTFNDVRQLLHEHSVRVWTLQAQLVQLRSAGQALHEGPHASQLLSFSPSDEAALTDNLFYMELVRLRAQLNLVQVVLLGAGATLLILYIVVVALSFNVGNRRKPKPVNIEFPEMAIQHFAAQQTESLLEDEKLIKKNRM
+>sp|P29966|MARCS_HUMAN Myristoylated alanine-rich C-kinase substrate OS=Homo sapiens OX=9606 GN=MARCKS PE=1 SV=4
+MGAQFSKTAAKGEAAAERPGEAAVASSPSKANGQENGHVKVNGDASPAAAESGAKEELQANGSAPAADKEEPAAAGSGAASPSAAEKGEPAAAAAPEAGASPVEKEAPAEGEAAEPGSPTAAEGEAASAASSTSSPKAEDGATPSPSNETPKKKKKRFSFKKSFKLSGFSFKKNKKEAGEGGEAEAPAAEGGKDEAAGGAAAAAAEAGAASGEQAAAPGEEAAAGEEGAAGGDPQEAKPQEAAVAPEKPPASDETKAAEEPSKVEEKKAEEAGASAAACEAPSAAGPGAPPEQEAAPAEEPAAAAASSACAAPSQEAQPECSPEAPPAEAAE
+>DECOY_sp|P29966|MARCS_HUMAN Myristoylated alanine-rich C-kinase substrate OS=Homo sapiens OX=9606 GN=MARCKS PE=1 SV=4
+EAAEAPPAEPSCEPQAEQSPAACASSAAAAAPEEAPAAEQEPPAGPGAASPAECAAASAGAEEAKKEEVKSPEEAAKTEDSAPPKEPAVAAEQPKAEQPDGGAAGEEGAAAEEGPAAAQEGSAAGAEAAAAAAGGAAEDKGGEAAPAEAEGGEGAEKKNKKFSFGSLKFSKKFSFRKKKKKPTENSPSPTAGDEAKPSSTSSAASAAEGEAATPSGPEAAEGEAPAEKEVPSAGAEPAAAAAPEGKEAASPSAAGSGAAAPEEKDAAPASGNAQLEEKAGSEAAAPSADGNVKVHGNEQGNAKSPSSAVAAEGPREAAAEGKAATKSFQAGM
+>sp|Q15691|MARE1_HUMAN Microtubule-associated protein RP/EB family member 1 OS=Homo sapiens OX=9606 GN=MAPRE1 PE=1 SV=3
+MAVNVYSTSVTSDNLSRHDMLAWINESLQLNLTKIEQLCSGAAYCQFMDMLFPGSIALKKVKFQAKLEHEYIQNFKILQAGFKRMGVDKIIPVDKLVKGKFQDNFEFVQWFKKFFDANYDGKDYDPVAARQGQETAVAPSLVAPALNKPKKPLTSSSAAPQRPISTQRTAAAPKAGPGVVRKNPGVGNGDDEAAELMQQVNVLKLTVEDLEKERDFYFGKLRNIELICQENEGENDPVLQRIVDILYATDEGFVIPDEGGPQEEQEEY
+>DECOY_sp|Q15691|MARE1_HUMAN Microtubule-associated protein RP/EB family member 1 OS=Homo sapiens OX=9606 GN=MAPRE1 PE=1 SV=3
+YEEQEEQPGGEDPIVFGEDTAYLIDVIRQLVPDNEGENEQCILEINRLKGFYFDREKELDEVTLKLVNVQQMLEAAEDDGNGVGPNKRVVGPGAKPAAATRQTSIPRQPAASSSTLPKKPKNLAPAVLSPAVATEQGQRAAVPDYDKGDYNADFFKKFWQVFEFNDQFKGKVLKDVPIIKDVGMRKFGAQLIKFNQIYEHELKAQFKVKKLAISGPFLMDMFQCYAAGSCLQEIKTLNLQLSENIWALMDHRSLNDSTVSTSYVNVAM
+>sp|Q9UPY8|MARE3_HUMAN Microtubule-associated protein RP/EB family member 3 OS=Homo sapiens OX=9606 GN=MAPRE3 PE=1 SV=1
+MAVNVYSTSVTSENLSRHDMLAWVNDSLHLNYTKIEQLCSGAAYCQFMDMLFPGCVHLRKVKFQAKLEHEYIHNFKVLQAAFKKMGVDKIIPVEKLVKGKFQDNFEFIQWFKKFFDANYDGKDYNPLLARQGQDVAPPPNPGDQIFNKSKKLIGTAVPQRTSPTGPKNMQTSGRLSNVAPPCILRKNPPSARNGGHETDAQILELNQQLVDLKLTVDGLEKERDFYFSKLRDIELICQEHESENSPVISGIIGILYATEEGFAPPEDDEIEEHQQEDQDEY
+>DECOY_sp|Q9UPY8|MARE3_HUMAN Microtubule-associated protein RP/EB family member 3 OS=Homo sapiens OX=9606 GN=MAPRE3 PE=1 SV=1
+YEDQDEQQHEEIEDDEPPAFGEETAYLIGIIGSIVPSNESEHEQCILEIDRLKSFYFDREKELGDVTLKLDVLQQNLELIQADTEHGGNRASPPNKRLICPPAVNSLRGSTQMNKPGTPSTRQPVATGILKKSKNFIQDGPNPPPAVDQGQRALLPNYDKGDYNADFFKKFWQIFEFNDQFKGKVLKEVPIIKDVGMKKFAAQLVKFNHIYEHELKAQFKVKRLHVCGPFLMDMFQCYAAGSCLQEIKTYNLHLSDNVWALMDHRSLNESTVSTSYVNVAM
+>sp|P35410|MAS1L_HUMAN Mas-related G-protein coupled receptor MRG OS=Homo sapiens OX=9606 GN=MAS1L PE=2 SV=1
+MVWGKICWFSQRAGWTVFAESQISLSCSLCLHSGDQEAQNPNLVSQLCGVFLQNETNETIHMQMSMAVGQQALPLNIIAPKAVLVSLCGVLLNGTVFWLLCCGATNPYMVYILHLVAADVIYLCCSAVGFLQVTLLTYHGVVFFIPDFLAILSPFSFEVCLCLLVAISTERCVCVLFPIWYRCHRPKYTSNVVCTLIWGLPFCINIVKSLFLTYWKHVKACVIFLKLSGLFHAILSLVMCVSSLTLLIRFLCCSQQQKATRVYAVVQISAPMFLLWALPLSVAPLITDFKMFVTTSYLISLFLIINSSANPIIYFFVGSLRKKRLKESLRVILQRALADKPEVGRNKKAAGIDPMEQPHSTQHVENLLPREHRVDVET
+>DECOY_sp|P35410|MAS1L_HUMAN Mas-related G-protein coupled receptor MRG OS=Homo sapiens OX=9606 GN=MAS1L PE=2 SV=1
+TEVDVRHERPLLNEVHQTSHPQEMPDIGAAKKNRGVEPKDALARQLIVRLSEKLRKKRLSGVFFYIIPNASSNIILFLSILYSTTVFMKFDTILPAVSLPLAWLLFMPASIQVVAYVRTAKQQQSCCLFRILLTLSSVCMVLSLIAHFLGSLKLFIVCAKVHKWYTLFLSKVINICFPLGWILTCVVNSTYKPRHCRYWIPFLVCVCRETSIAVLLCLCVEFSFPSLIALFDPIFFVVGHYTLLTVQLFGVASCCLYIVDAAVLHLIYVMYPNTAGCCLLWFVTGNLLVGCLSVLVAKPAIINLPLAQQGVAMSMQMHITENTENQLFVGCLQSVLNPNQAEQDGSHLCLSCSLSIQSEAFVTWGARQSFWCIKGWVM
+>sp|P48740|MASP1_HUMAN Mannan-binding lectin serine protease 1 OS=Homo sapiens OX=9606 GN=MASP1 PE=1 SV=3
+MRWLLLYYALCFSLSKASAHTVELNNMFGQIQSPGYPDSYPSDSEVTWNITVPDGFRIKLYFMHFNLESSYLCEYDYVKVETEDQVLATFCGRETTDTEQTPGQEVVLSPGSFMSITFRSDFSNEERFTGFDAHYMAVDVDECKEREDEELSCDHYCHNYIGGYYCSCRFGYILHTDNRTCRVECSDNLFTQRTGVITSPDFPNPYPKSSECLYTIELEEGFMVNLQFEDIFDIEDHPEVPCPYDYIKIKVGPKVLGPFCGEKAPEPISTQSHSVLILFHSDNSGENRGWRLSYRAAGNECPELQPPVHGKIEPSQAKYFFKDQVLVSCDTGYKVLKDNVEMDTFQIECLKDGTWSNKIPTCKIVDCRAPGELEHGLITFSTRNNLTTYKSEIKYSCQEPYYKMLNNNTGIYTCSAQGVWMNKVLGRSLPTCLPVCGLPKFSRKLMARIFNGRPAQKGTTPWIAMLSHLNGQPFCGGSLLGSSWIVTAAHCLHQSLDPEDPTLRDSDLLSPSDFKIILGKHWRLRSDENEQHLGVKHTTLHPQYDPNTFENDVALVELLESPVLNAFVMPICLPEGPQQEGAMVIVSGWGKQFLQRFPETLMEIEIPIVDHSTCQKAYAPLKKKVTRDMICAGEKEGGKDACAGDSGGPMVTLNRERGQWYLVGTVSWGDDCGKKDRYGVYSYIHHNKDWIQRVTGVRN
+>DECOY_sp|P48740|MASP1_HUMAN Mannan-binding lectin serine protease 1 OS=Homo sapiens OX=9606 GN=MASP1 PE=1 SV=3
+NRVGTVRQIWDKNHHIYSYVGYRDKKGCDDGWSVTGVLYWQGRERNLTVMPGGSDGACADKGGEKEGACIMDRTVKKKLPAYAKQCTSHDVIPIEIEMLTEPFRQLFQKGWGSVIVMAGEQQPGEPLCIPMVFANLVPSELLEVLAVDNEFTNPDYQPHLTTHKVGLHQENEDSRLRWHKGLIIKFDSPSLLDSDRLTPDEPDLSQHLCHAATVIWSSGLLSGGCFPQGNLHSLMAIWPTTGKQAPRGNFIRAMLKRSFKPLGCVPLCTPLSRGLVKNMWVGQASCTYIGTNNNLMKYYPEQCSYKIESKYTTLNNRTSFTILGHELEGPARCDVIKCTPIKNSWTGDKLCEIQFTDMEVNDKLVKYGTDCSVLVQDKFFYKAQSPEIKGHVPPQLEPCENGAARYSLRWGRNEGSNDSHFLILVSHSQTSIPEPAKEGCFPGLVKPGVKIKIYDYPCPVEPHDEIDFIDEFQLNVMFGEELEITYLCESSKPYPNPFDPSTIVGTRQTFLNDSCEVRCTRNDTHLIYGFRCSCYYGGIYNHCYHDCSLEEDEREKCEDVDVAMYHADFGTFREENSFDSRFTISMFSGPSLVVEQGPTQETDTTERGCFTALVQDETEVKVYDYECLYSSELNFHMFYLKIRFGDPVTINWTVESDSPYSDPYGPSQIQGFMNNLEVTHASAKSLSFCLAYYLLLWRM
+>sp|Q6P0Q8|MAST2_HUMAN Microtubule-associated serine/threonine-protein kinase 2 OS=Homo sapiens OX=9606 GN=MAST2 PE=1 SV=2
+MKRSRCRDRPQPPPPDRREDGVQRAAELSQSLPPRRRAPPGRQRLEERTGPAGPEGKEQDVVTGVSPLLFRKLSNPDIFSSTGKVKLQRQLSQDDCKLWRGNLASSLSGKQLLPLSSSVHSSVGQVTWQSSGEASNLVRMRNQSLGQSAPSLTAGLKELSLPRRGSFCRTSNRKSLIVTSSTSPTLPRPHSPLHGHTGNSPLDSPRNFSPNAPAHFSFVPARRTDGRRWSLASLPSSGYGTNTPSSTVSSSCSSQEKLHQLPFQPTADELHFLTKHFSTESVPDEEGRQSPAMRPRSRSLSPGRSPVSFDSEIIMMNHVYKERFPKATAQMEERLAEFISSNTPDSVLPLADGALSFIHHQVIEMARDCLDKSRSGLITSQYFYELQDNLEKLLQDAHERSESSEVAFVMQLVKKLMIIIARPARLLECLEFDPEEFYHLLEAAEGHAKEGQGIKCDIPRYIVSQLGLTRDPLEEMAQLSSCDSPDTPETDDSIEGHGASLPSKKTPSEEDFETIKLISNGAYGAVFLVRHKSTRQRFAMKKINKQNLILRNQIQQAFVERDILTFAENPFVVSMFCSFDTKRHLCMVMEYVEGGDCATLLKNIGALPVDMVRLYFAETVLALEYLHNYGIVHRDLKPDNLLITSMGHIKLTDFGLSKIGLMSLTTNLYEGHIEKDAREFLDKQVCGTPEYIAPEVILRQGYGKPVDWWAMGIILYEFLVGCVPFFGDTPEELFGQVISDEIVWPEGDEALPPDAQDLTSKLLHQNPLERLGTGSAYEVKQHPFFTGLDWTGLLRQKAEFIPQLESEDDTSYFDTRSERYHHMDSEDEEEVSEDGCLEIRQFSSCSPRFNKVYSSMERLSLLEERRTPPPTKRSLSEEKEDHSDGLAGLKGRDRSWVIGSPEILRKRLSVSESSHTESDSSPPMTVRRRCSGLLDAPRFPEGPEEASSTLRRQPQEGIWVLTPPSGEGVSGPVTEHSGEQRPKLDEEAVGRSSGSSPAMETRGRGTSQLAEGATAKAISDLAVRRARHRLLSGDSTEKRTARPVNKVIKSASATALSLLIPSEHHTCSPLASPMSPHSQSSNPSSRDSSPSRDFLPALGSMRPPIIIHRAGKKYGFTLRAIRVYMGDSDVYTVHHMVWHVEDGGPASEAGLRQGDLITHVNGEPVHGLVHTEVVELILKSGNKVAISTTPLENTSIKVGPARKGSYKAKMARRSKRSRGKDGQESRKRSSLFRKITKQASLLHTSRSLSSLNRSLSSGESGPGSPTHSHSLSPRSPTQGYRVTPDAVHSVGGNSSQSSSPSSSVPSSPAGSGHTRPSSLHGLAPKLQRQYRSPRRKSAGSIPLSPLAHTPSPPPPTASPQRSPSPLSGHVAQAFPTKLHLSPPLGRQLSRPKSAEPPRSPLLKRVQSAEKLAAALAASEKKLATSRKHSLDLPHSELKKELPPREVSPLEVVGARSVLSGKGALPGKGVLQPAPSRALGTLRQDRAERRESLQKQEAIREVDSSEDDTEEGPENSQGAQELSLAPHPEVSQSVAPKGAGESGEEDPFPSRDPRSLGPMVPSLLTGITLGPPRMESPSGPHRRLGSPQAIEEAASSSSAGPNLGQSGATDPIPPEGCWKAQHLHTQALTALSPSTSGLTPTSSCSPPSSTSGKLSMWSWKSLIEGPDRASPSRKATMAGGLANLQDLENTTPAQPKNLSPREQGKTQPPSAPRLAHPSYEDPSQGWLWESECAQAVKEDPALSITQVPDASGDRRQDVPCRGCPLTQKSEPSLRRGQEPGGHQKHRDLALVPDELLKQT
+>DECOY_sp|Q6P0Q8|MAST2_HUMAN Microtubule-associated serine/threonine-protein kinase 2 OS=Homo sapiens OX=9606 GN=MAST2 PE=1 SV=2
+TQKLLEDPVLALDRHKQHGGPEQGRRLSPESKQTLPCGRCPVDQRRDGSADPVQTISLAPDEKVAQACESEWLWGQSPDEYSPHALRPASPPQTKGQERPSLNKPQAPTTNELDQLNALGGAMTAKRSPSARDPGEILSKWSWMSLKGSTSSPPSCSSTPTLGSTSPSLATLAQTHLHQAKWCGEPPIPDTAGSQGLNPGASSSSAAEEIAQPSGLRRHPGSPSEMRPPGLTIGTLLSPVMPGLSRPDRSPFPDEEGSEGAGKPAVSQSVEPHPALSLEQAGQSNEPGEETDDESSDVERIAEQKQLSERREARDQRLTGLARSPAPQLVGKGPLAGKGSLVSRAGVVELPSVERPPLEKKLESHPLDLSHKRSTALKKESAALAAALKEASQVRKLLPSRPPEASKPRSLQRGLPPSLHLKTPFAQAVHGSLPSPSRQPSATPPPPSPTHALPSLPISGASKRRPSRYQRQLKPALGHLSSPRTHGSGAPSSPVSSSPSSSQSSNGGVSHVADPTVRYGQTPSRPSLSHSHTPSGPGSEGSSLSRNLSSLSRSTHLLSAQKTIKRFLSSRKRSEQGDKGRSRKSRRAMKAKYSGKRAPGVKISTNELPTTSIAVKNGSKLILEVVETHVLGHVPEGNVHTILDGQRLGAESAPGGDEVHWVMHHVTYVDSDGMYVRIARLTFGYKKGARHIIIPPRMSGLAPLFDRSPSSDRSSPNSSQSHPSMPSALPSCTHHESPILLSLATASASKIVKNVPRATRKETSDGSLLRHRARRVALDSIAKATAGEALQSTGRGRTEMAPSSGSSRGVAEEDLKPRQEGSHETVPGSVGEGSPPTLVWIGEQPQRRLTSSAEEPGEPFRPADLLGSCRRRVTMPPSSDSETHSSESVSLRKRLIEPSGIVWSRDRGKLGALGDSHDEKEESLSRKTPPPTRREELLSLREMSSYVKNFRPSCSSFQRIELCGDESVEEEDESDMHHYRESRTDFYSTDDESELQPIFEAKQRLLGTWDLGTFFPHQKVEYASGTGLRELPNQHLLKSTLDQADPPLAEDGEPWVIEDSIVQGFLEEPTDGFFPVCGVLFEYLIIGMAWWDVPKGYGQRLIVEPAIYEPTGCVQKDLFERADKEIHGEYLNTTLSMLGIKSLGFDTLKIHGMSTILLNDPKLDRHVIGYNHLYELALVTEAFYLRVMDVPLAGINKLLTACDGGEVYEMVMCLHRKTDFSCFMSVVFPNEAFTLIDREVFAQQIQNRLILNQKNIKKMAFRQRTSKHRVLFVAGYAGNSILKITEFDEESPTKKSPLSAGHGEISDDTEPTDPSDCSSLQAMEELPDRTLGLQSVIYRPIDCKIGQGEKAHGEAAELLHYFEEPDFELCELLRAPRAIIIMLKKVLQMVFAVESSESREHADQLLKELNDQLEYFYQSTILGSRSKDLCDRAMEIVQHHIFSLAGDALPLVSDPTNSSIFEALREEMQATAKPFREKYVHNMMIIESDFSVPSRGPSLSRSRPRMAPSQRGEEDPVSETSFHKTLFHLEDATPQFPLQHLKEQSSCSSSVTSSPTNTGYGSSPLSALSWRRGDTRRAPVFSFHAPANPSFNRPSDLPSNGTHGHLPSHPRPLTPSTSSTVILSKRNSTRCFSGRRPLSLEKLGATLSPASQGLSQNRMRVLNSAEGSSQWTVQGVSSHVSSSLPLLQKGSLSSALNGRWLKCDDQSLQRQLKVKGTSSFIDPNSLKRFLLPSVGTVVDQEKGEPGAPGTREELRQRGPPARRRPPLSQSLEAARQVGDERRDPPPPQPRDRCRSRKM
+>sp|O60307|MAST3_HUMAN Microtubule-associated serine/threonine-protein kinase 3 OS=Homo sapiens OX=9606 GN=MAST3 PE=1 SV=2
+MDESSLLRRRGLQKELSLPRRGRGCRSGNRKSLVVGTPSPTLSRPLSPLSVPTAGSSPLDSPRNFSAASALNFPFARRADGRRWSLASLPSSGYGTNTPSSTLSSSSSSRERLHQLPFQPTPDELHFLSKHFRSSENVLDEEGGRSPRLRPRSRSLSPGRATGTFDNEIVMMNHVYRERFPKATAQMEGRLQEFLTAYAPGARLALADGVLGFIHHQIVELARDCLAKSGENLVTSRYFLEMQEKLERLLQDAHERSDSEEVSFIVQLVRKLLIIISRPARLLECLEFDPEEFYHLLEAAEGHAREGQGIKTDLPQYIIGQLGLAKDPLEEMVPLSHLEEEQPPAPESPESRALVGQSRRKPCESDFETIKLISNGAYGAVYLVRHRDTRQRFAIKKINKQNLILRNQIQQVFVERDILTFAENPFVVSMFCSFETRRHLCMVMEYVEGGDCATLLKNMGPLPVDMARLYFAETVLALEYLHNYGIVHRDLKPDNLLITSLGHIKLTDFGLSKIGLMSMATNLYEGHIEKDAREFIDKQVCGTPEYIAPEVIFRQGYGKPVDWWAMGVVLYEFLVGCVPFFGDTPEELFGQVVSDEIMWPEGDEALPADAQDLITRLLRQSPLDRLGTGGTHEVKQHPFFLALDWAGLLRHKAEFVPQLEAEDDTSYFDTRSERYRHLGSEDDETNDEESSTEIPQFSSCSHRFSKVYSSSEFLAVQPTPTFAERSFSEDREEGWERSEVDYGRRLSADIRLRSWTSSGSSCQSSSSQPERGPSPSLLNTISLDTMPKFAFSSEDEGVGPGPAGPKRPVFILGEPDPPPAATPVMPKPSSLSADTAALSHARLRSNSIGARHSTPRPLDAGRGRRLGGPRDPAPEKSRASSSGGSGGGSGGRVPKSASVSALSLIITADDGSGGPLMSPLSPRSLSSNPSSRDSSPSRDPSPVCGSLRPPIVIHSSGKKYGFSLRAIRVYMGDSDVYTVHHVVWSVEDGSPAQEAGLRAGDLITHINGESVLGLVHMDVVELLLKSGNKISLRTTALENTSIKVGPARKNVAKGRMARRSKRSRRRETQDRRKSLFKKISKQTSVLHTSRSFSSGLHHSLSSSESLPGSPTHSLSPSPTTPCRSPAPDVPADTTASPPSASPSSSSPASPAAAGHTRPSSLHGLAAKLGPPRPKTGRRKSTSSIPPSPLACPPISAPPPRSPSPLPGHPPAPARSPRLRRGQSADKLGTGERLDGEAGRRTRGPEAELVVMRRLHLSERRDSFKKQEAVQEVSFDEPQEEATGLPTSVPQIAVEGEEAVPVALGPTGRD
+>DECOY_sp|O60307|MAST3_HUMAN Microtubule-associated serine/threonine-protein kinase 3 OS=Homo sapiens OX=9606 GN=MAST3 PE=1 SV=2
+DRGTPGLAVPVAEEGEVAIQPVSTPLGTAEEQPEDFSVEQVAEQKKFSDRRESLHLRRMVVLEAEPGRTRRGAEGDLREGTGLKDASQGRRLRPSRAPAPPHGPLPSPSRPPPASIPPCALPSPPISSTSKRRGTKPRPPGLKAALGHLSSPRTHGAAAPSAPSSSSPSASPPSATTDAPVDPAPSRCPTTPSPSLSHTPSGPLSESSSLSHHLGSSFSRSTHLVSTQKSIKKFLSKRRDQTERRRSRKSRRAMRGKAVNKRAPGVKISTNELATTRLSIKNGSKLLLEVVDMHVLGLVSEGNIHTILDGARLGAEQAPSGDEVSWVVHHVTYVDSDGMYVRIARLSFGYKKGSSHIVIPPRLSGCVPSPDRSPSSDRSSPNSSLSRPSLPSMLPGGSGDDATIILSLASVSASKPVRGGSGGGSGGSSSARSKEPAPDRPGGLRRGRGADLPRPTSHRAGISNSRLRAHSLAATDASLSSPKPMVPTAAPPPDPEGLIFVPRKPGAPGPGVGEDESSFAFKPMTDLSITNLLSPSPGREPQSSSSQCSSGSSTWSRLRIDASLRRGYDVESREWGEERDESFSREAFTPTPQVALFESSSYVKSFRHSCSSFQPIETSSEEDNTEDDESGLHRYRESRTDFYSTDDEAELQPVFEAKHRLLGAWDLALFFPHQKVEHTGGTGLRDLPSQRLLRTILDQADAPLAEDGEPWMIEDSVVQGFLEEPTDGFFPVCGVLFEYLVVGMAWWDVPKGYGQRFIVEPAIYEPTGCVQKDIFERADKEIHGEYLNTAMSMLGIKSLGFDTLKIHGLSTILLNDPKLDRHVIGYNHLYELALVTEAFYLRAMDVPLPGMNKLLTACDGGEVYEMVMCLHRRTEFSCFMSVVFPNEAFTLIDREVFVQQIQNRLILNQKNIKKIAFRQRTDRHRVLYVAGYAGNSILKITEFDSECPKRRSQGVLARSEPSEPAPPQEEELHSLPVMEELPDKALGLQGIIYQPLDTKIGQGERAHGEAAELLHYFEEPDFELCELLRAPRSIIILLKRVLQVIFSVEESDSREHADQLLRELKEQMELFYRSTVLNEGSKALCDRALEVIQHHIFGLVGDALALRAGPAYATLFEQLRGEMQATAKPFRERYVHNMMVIENDFTGTARGPSLSRSRPRLRPSRGGEEDLVNESSRFHKSLFHLEDPTPQFPLQHLRERSSSSSSLTSSPTNTGYGSSPLSALSWRRGDARRAFPFNLASAASFNRPSDLPSSGATPVSLPSLPRSLTPSPTGVVLSKRNGSRCGRGRRPLSLEKQLGRRRLLSSEDM
+>sp|Q9H7P6|MB12B_HUMAN Multivesicular body subunit 12B OS=Homo sapiens OX=9606 GN=MVB12B PE=1 SV=2
+MRSCFCVRRSRDPPPPQPPPPPPQRGTDQSTMPEVKDLSEALPETSMDPITGVGVVASRNRAPTGYDVVAQTADGVDADLWKDGLFKSKVTRYLCFTRSFSKENSHLGNVLVDMKLIDIKDTLPVGFIPIQETVDTQEVAFRKKRLCIKFIPRDSTEAAICDIRIMGRTKQAPPQYTFIGELNSMGIWYRMGRVPRNHDSSQPTTPSQSSAASTPAPNLPRHISLTLPATFRGRNSTRTDYEYQHSNLYAISAMDGVPFMISEKFSCVPESMQPFDLLGITIKSLAEIEKEYEYSFRTEQSAAARLPPSPTRCQQIPQS
+>DECOY_sp|Q9H7P6|MB12B_HUMAN Multivesicular body subunit 12B OS=Homo sapiens OX=9606 GN=MVB12B PE=1 SV=2
+SQPIQQCRTPSPPLRAAASQETRFSYEYEKEIEALSKITIGLLDFPQMSEPVCSFKESIMFPVGDMASIAYLNSHQYEYDTRTSNRGRFTAPLTLSIHRPLNPAPTSAASSQSPTTPQSSDHNRPVRGMRYWIGMSNLEGIFTYQPPAQKTRGMIRIDCIAAETSDRPIFKICLRKKRFAVEQTDVTEQIPIFGVPLTDKIDILKMDVLVNGLHSNEKSFSRTFCLYRTVKSKFLGDKWLDADVGDATQAVVDYGTPARNRSAVVGVGTIPDMSTEPLAESLDKVEPMTSQDTGRQPPPPPPQPPPPDRSRRVCFCSRM
+>sp|A6NE82|MB3L3_HUMAN Putative methyl-CpG-binding domain protein 3-like 3 OS=Homo sapiens OX=9606 GN=MBD3L3 PE=5 SV=1
+MGEPAFTSFPSPPVLGKLKRNMMPWALQKKREIHMAKAHRRRAARSALPMRLTSCIFRRPVTRIRSHPDNQVRRRKGDEHLEKPQQLCAYRRLQALQPCSSQGEGSSPLHLESVLSILAPGTAGESLDRAGAERVRSPLEPTPGRFPAVAGGPTPGMGCQLPPPLSGQLVTPADIRRQARRVKKARERLAKALQADRLARQAEMLTCR
+>DECOY_sp|A6NE82|MB3L3_HUMAN Putative methyl-CpG-binding domain protein 3-like 3 OS=Homo sapiens OX=9606 GN=MBD3L3 PE=5 SV=1
+RCTLMEAQRALRDAQLAKALRERAKKVRRAQRRIDAPTVLQGSLPPPLQCGMGPTPGGAVAPFRGPTPELPSRVREAGARDLSEGATGPALISLVSELHLPSSGEGQSSCPQLAQLRRYACLQQPKELHEDGKRRRVQNDPHSRIRTVPRRFICSTLRMPLASRAARRRHAKAMHIERKKQLAWPMMNRKLKGLVPPSPFSTFAPEGM
+>sp|Q9UBB5|MBD2_HUMAN Methyl-CpG-binding domain protein 2 OS=Homo sapiens OX=9606 GN=MBD2 PE=1 SV=1
+MRAHPGGGRCCPEQEEGESAAGGSGAGGDSAIEQGGQGSALAPSPVSGVRREGARGGGRGRGRWKQAGRGGGVCGRGRGRGRGRGRGRGRGRGRGRPPSGGSGLGGDGGGCGGGGSGGGGAPRREPVPFPSGSAGPGPRGPRATESGKRMDCPALPPGWKKEEVIRKSGLSAGKSDVYYFSPSGKKFRSKPQLARYLGNTVDLSSFDFRTGKMMPSKLQKNKQRLRNDPLNQNKGKPDLNTTLPIRQTASIFKQPVTKVTNHPSNKVKSDPQRMNEQPRQLFWEKRLQGLSASDVTEQIIKTMELPKGLQGVGPGSNDETLLSAVASALHTSSAPITGQVSAAVEKNPAVWLNTSQPLCKAFIVTDEDIRKQEERVQQVRKKLEEALMADILSRAADTEEMDIEMDSGDEA
+>DECOY_sp|Q9UBB5|MBD2_HUMAN Methyl-CpG-binding domain protein 2 OS=Homo sapiens OX=9606 GN=MBD2 PE=1 SV=1
+AEDGSDMEIDMEETDAARSLIDAMLAEELKKRVQQVREEQKRIDEDTVIFAKCLPQSTNLWVAPNKEVAASVQGTIPASSTHLASAVASLLTEDNSGPGVGQLGKPLEMTKIIQETVDSASLGQLRKEWFLQRPQENMRQPDSKVKNSPHNTVKTVPQKFISATQRIPLTTNLDPKGKNQNLPDNRLRQKNKQLKSPMMKGTRFDFSSLDVTNGLYRALQPKSRFKKGSPSFYYVDSKGASLGSKRIVEEKKWGPPLAPCDMRKGSETARPGRPGPGASGSPFPVPERRPAGGGGSGGGGCGGGDGGLGSGGSPPRGRGRGRGRGRGRGRGRGRGRGCVGGGRGAQKWRGRGRGGGRAGERRVGSVPSPALASGQGGQEIASDGGAGSGGAASEGEEQEPCCRGGGPHARM
+>sp|Q96DN6|MBD6_HUMAN Methyl-CpG-binding domain protein 6 OS=Homo sapiens OX=9606 GN=MBD6 PE=1 SV=2
+MNGGNESSGADRAGGPVATSVPIGWQRCVREGAVLYISPSGTELSSLEQTRSYLLSDGTCKCGLECPLNVPKVFNFDPLAPVTPGGAGVGPASEEDMTKLCNHRRKAVAMATLYRSMETTCSHSSPGEGASPQMFHTVSPGPPSARPPCRVPPTTPLNGGPGSLPPEPPSVSQAFPTLAGPGGLFPPRLADPVPSGGSSSPRFLPRGNAPSPAPPPPPAISLNAPSYNWGAALRSSLVPSDLGSPPAPHASSSPPSDPPLFHCSDALTPPPLPPSNNLPAHPGPASQPPVSSATMHLPLVLGPLGGAPTVEGPGAPPFLASSLLSAAAKAQHPPLPPPSTLQGRRPRAQAPSASHSSSLRPSQRRPRRPPTVFRLLEGRGPQTPRRSRPRAPAPVPQPFSLPEPSQPILPSVLSLLGLPTPGPSHSDGSFNLLGSDAHLPPPPTLSSGSPPQPRHPIQPSLPGTTSGSLSSVPGAPAPPAASKAPVVPSPVLQSPSEGLGMGAGPACPLPPLAGGEAFPFPSPEQGLALSGAGFPGMLGALPLPLSLGQPPPSPLLNHSLFGVLTGGGGQPPPEPLLPPPGGPGPPLAPGEPEGPSLLVASLLPPPPSDLLPPPSAPPSNLLASFLPLLALGPTAGDGEGSAEGAGGPSGEPFSGLGDLSPLLFPPLSAPPTLIALNSALLAATLDPPSGTPPQPCVLSAPQPGPPTSSVTTATTDPGASSLGKAPSNSGRPPQLLSPLLGASLLGDLSSLTSSPGALPSLLQPPGPLLSGQLGLQLLPGGGAPPPLSEASSPLACLLQSLQIPPEQPEAPCLPPESPASALEPEPARPPLSALAPPHGSPDPPVPELLTGRGSGKRGRRGGGGLRGINGEARPARGRKPGSRREPGRLALKWGTRGGFNGQMERSPRRTHHWQHNGELAEGGAEPKDPPPPGPHSEDLKVPPGVVRKSRRGRRRKYNPTRNSNSSRQDITLEPSPTARAAVPLPPRARPGRPAKNKRRKLAP
+>DECOY_sp|Q96DN6|MBD6_HUMAN Methyl-CpG-binding domain protein 6 OS=Homo sapiens OX=9606 GN=MBD6 PE=1 SV=2
+PALKRRKNKAPRGPRARPPLPVAARATPSPELTIDQRSSNSNRTPNYKRRRGRRSKRVVGPPVKLDESHPGPPPPDKPEAGGEALEGNHQWHHTRRPSREMQGNFGGRTGWKLALRGPERRSGPKRGRAPRAEGNIGRLGGGGRRGRKGSGRGTLLEPVPPDPSGHPPALASLPPRAPEPELASAPSEPPLCPAEPQEPPIQLSQLLCALPSSAESLPPPAGGGPLLQLGLQGSLLPGPPQLLSPLAGPSSTLSSLDGLLSAGLLPSLLQPPRGSNSPAKGLSSAGPDTTATTVSSTPPGPQPASLVCPQPPTGSPPDLTAALLASNLAILTPPASLPPFLLPSLDGLGSFPEGSPGGAGEASGEGDGATPGLALLPLFSALLNSPPASPPPLLDSPPPPLLSAVLLSPGEPEGPALPPGPGGPPPLLPEPPPQGGGGTLVGFLSHNLLPSPPPQGLSLPLPLAGLMGPFGAGSLALGQEPSPFPFAEGGALPPLPCAPGAGMGLGESPSQLVPSPVVPAKSAAPPAPAGPVSSLSGSTTGPLSPQIPHRPQPPSGSSLTPPPPLHADSGLLNFSGDSHSPGPTPLGLLSLVSPLIPQSPEPLSFPQPVPAPARPRSRRPTQPGRGELLRFVTPPRRPRRQSPRLSSSHSASPAQARPRRGQLTSPPPLPPHQAKAAASLLSSALFPPAGPGEVTPAGGLPGLVLPLHMTASSVPPQSAPGPHAPLNNSPPLPPPTLADSCHFLPPDSPPSSSAHPAPPSGLDSPVLSSRLAAGWNYSPANLSIAPPPPPAPSPANGRPLFRPSSSGGSPVPDALRPPFLGGPGALTPFAQSVSPPEPPLSGPGGNLPTTPPVRCPPRASPPGPSVTHFMQPSAGEGPSSHSCTTEMSRYLTAMAVAKRRHNCLKTMDEESAPGVGAGGPTVPALPDFNFVKPVNLPCELGCKCTGDSLLYSRTQELSSLETGSPSIYLVAGERVCRQWGIPVSTAVPGGARDAGSSENGGNM
+>sp|Q9NS73|MBIP1_HUMAN MAP3K12-binding inhibitory protein 1 OS=Homo sapiens OX=9606 GN=MBIP PE=1 SV=2
+MAAATELNRPSSGDRNLERRCRPNLSREVLYEIFRSLHTLVGQLDLRDDVVKITIDWNKLQSLSAFQPALLFSALEQHILYLQPFLAKLQSPIKEENTTAVEEIGRTEMGNKNEVNDKFSIGDLQEEEKHKESDLRDVKKTQIHFDPEVVQIKAGKAEIDRRISAFIERKQAEINENNVREFCNVIDCNQENSCARTDAIFTPYPGFKSHVKVSRVVNTYGPQTRPEGIPGSGHKPNSMLRDCGNQAVEERLQNIEAHLRLQTGGPVPRDIYQRIKKLEDKILELEGISPEYFQSVSFSGKRRKVQPPQQNYSLAELDEKISALKQALLRKSREAESMATHHLP
+>DECOY_sp|Q9NS73|MBIP1_HUMAN MAP3K12-binding inhibitory protein 1 OS=Homo sapiens OX=9606 GN=MBIP PE=1 SV=2
+PLHHTAMSEAERSKRLLAQKLASIKEDLEALSYNQQPPQVKRRKGSFSVSQFYEPSIGELELIKDELKKIRQYIDRPVPGGTQLRLHAEINQLREEVAQNGCDRLMSNPKHGSGPIGEPRTQPGYTNVVRSVKVHSKFGPYPTFIADTRACSNEQNCDIVNCFERVNNENIEAQKREIFASIRRDIEAKGAKIQVVEPDFHIQTKKVDRLDSEKHKEEEQLDGISFKDNVENKNGMETRGIEEVATTNEEKIPSQLKALFPQLYLIHQELASFLLAPQFASLSQLKNWDITIKVVDDRLDLQGVLTHLSRFIEYLVERSLNPRCRRELNRDGSSPRNLETAAAM
+>sp|P11226|MBL2_HUMAN Mannose-binding protein C OS=Homo sapiens OX=9606 GN=MBL2 PE=1 SV=2
+MSLFPSLPLLLLSMVAASYSETVTCEDAQKTCPAVIACSSPGINGFPGKDGRDGTKGEKGEPGQGLRGLQGPPGKLGPPGNPGPSGSPGPKGQKGDPGKSPDGDSSLAASERKALQTEMARIKKWLTFSLGKQVGNKFFLTNGEIMTFEKVKALCVKFQASVATPRNAAENGAIQNLIKEEAFLGITDEKTEGQFVDLTGNRLTYTNWNEGEPNNAGSDEDCVLLLKNGQWNDVPCSTSHLAVCEFPI
+>DECOY_sp|P11226|MBL2_HUMAN Mannose-binding protein C OS=Homo sapiens OX=9606 GN=MBL2 PE=1 SV=2
+IPFECVALHSTSCPVDNWQGNKLLLVCDEDSGANNPEGENWNTYTLRNGTLDVFQGETKEDTIGLFAEEKILNQIAGNEAANRPTAVSAQFKVCLAKVKEFTMIEGNTLFFKNGVQKGLSFTLWKKIRAMETQLAKRESAALSSDGDPSKGPDGKQGKPGPSGSPGPNGPPGLKGPPGQLGRLGQGPEGKEGKTGDRGDKGPFGNIGPSSCAIVAPCTKQADECTVTESYSAAVMSLLLLPLSPFLSM
+>sp|Q6ZNC8|MBOA1_HUMAN Lysophospholipid acyltransferase 1 OS=Homo sapiens OX=9606 GN=MBOAT1 PE=1 SV=1
+MAAEPQPSSLSYRTTGSTYLHPLSELLGIPLDQVNFVVCQLVALFAAFWFRIYLRPGTTSSDVRHAVATIFGIYFVIFCFGWYSVHLFVLVLMCYAIMVTASVSNIHRYSFFVAMGYLTICHISRIYIFHYGILTTDFSGPLMIVTQKITTLAFQVHDGLGRRAEDLSAEQHRLAIKVKPSFLEYLSYLLNFMSVIAGPCNNFKDYIAFIEGKHIHMKLLEVNWKRKGFHSLPEPSPTGAVIHKLGITLVSLLLFLTLTKTFPVTCLVDDWFVHKASFPARLCYLYVVMQASKPKYYFAWTLADAVNNAAGFGFSGVDKNGNFCWDLLSNLNIWKIETATSFKMYLENWNIQTATWLKCVCYQRVPWYPTVLTFILSALWHGVYPGYYFTFLTGILVTLAARAVRNNYRHYFLSSRALKAVYDAGTWAVTQLAVSYTVAPFVMLAVEPTISLYKSMYFYLHIISLLIILFLPMKPQAHTQRRPQTLNSINKRKTD
+>DECOY_sp|Q6ZNC8|MBOA1_HUMAN Lysophospholipid acyltransferase 1 OS=Homo sapiens OX=9606 GN=MBOAT1 PE=1 SV=1
+DTKRKNISNLTQPRRQTHAQPKMPLFLIILLSIIHLYFYMSKYLSITPEVALMVFPAVTYSVALQTVAWTGADYVAKLARSSLFYHRYNNRVARAALTVLIGTLFTFYYGPYVGHWLASLIFTLVTPYWPVRQYCVCKLWTATQINWNELYMKFSTATEIKWINLNSLLDWCFNGNKDVGSFGFGAANNVADALTWAFYYKPKSAQMVVYLYCLRAPFSAKHVFWDDVLCTVPFTKTLTLFLLLSVLTIGLKHIVAGTPSPEPLSHFGKRKWNVELLKMHIHKGEIFAIYDKFNNCPGAIVSMFNLLYSLYELFSPKVKIALRHQEASLDEARRGLGDHVQFALTTIKQTVIMLPGSFDTTLIGYHFIYIRSIHCITLYGMAVFFSYRHINSVSATVMIAYCMLVLVFLHVSYWGFCFIVFYIGFITAVAHRVDSSTTGPRLYIRFWFAAFLAVLQCVVFNVQDLPIGLLESLPHLYTSGTTRYSLSSPQPEAAM
+>sp|Q6P1A2|MBOA5_HUMAN Lysophospholipid acyltransferase 5 OS=Homo sapiens OX=9606 GN=LPCAT3 PE=1 SV=1
+MASSAEGDEGTVVALAGVLQSGFQELSLNKLATSLGASEQALRLIISIFLGYPFALFYRHYLFYKETYLIHLFHTFTGLSIAYFNFGNQLYHSLLCIVLQFLILRLMGRTITAVLTTFCFQMAYLLAGYYYTATGNYDIKWTMPHCVLTLKLIGLAVDYFDGGKDQNSLSSEQQKYAIRGVPSLLEVAGFSYFYGAFLVGPQFSMNHYMKLVQGELIDIPGKIPNSIIPALKRLSLGLFYLVGYTLLSPHITEDYLLTEDYDNHPFWFRCMYMLIWGKFVLYKYVTCWLVTEGVCILTGLGFNGFEEKGKAKWDACANMKVWLFETNPRFTGTIASFNINTNAWVARYIFKRLKFLGNKELSQGLSLLFLALWHGLHSGYLVCFQMEFLIVIVERQAARLIQESPTLSKLAAITVLQPFYYLVQQTIHWLFMGYSMTAFCLFTWDKWLKVYKSIYFLGHIFFLSLLFILPYIHKAMVPRKEKLKKME
+>DECOY_sp|Q6P1A2|MBOA5_HUMAN Lysophospholipid acyltransferase 5 OS=Homo sapiens OX=9606 GN=LPCAT3 PE=1 SV=1
+EMKKLKEKRPVMAKHIYPLIFLLSLFFIHGLFYISKYVKLWKDWTFLCFATMSYGMFLWHITQQVLYYFPQLVTIAALKSLTPSEQILRAAQREVIVILFEMQFCVLYGSHLGHWLALFLLSLGQSLEKNGLFKLRKFIYRAVWANTNINFSAITGTFRPNTEFLWVKMNACADWKAKGKEEFGNFGLGTLICVGETVLWCTVYKYLVFKGWILMYMCRFWFPHNDYDETLLYDETIHPSLLTYGVLYFLGLSLRKLAPIISNPIKGPIDILEGQVLKMYHNMSFQPGVLFAGYFYSFGAVELLSPVGRIAYKQQESSLSNQDKGGDFYDVALGILKLTLVCHPMTWKIDYNGTATYYYGALLYAMQFCFTTLVATITRGMLRLILFQLVICLLSHYLQNGFNFYAISLGTFTHFLHILYTEKYFLYHRYFLAFPYGLFISIILRLAQESAGLSTALKNLSLEQFGSQLVGALAVVTGEDGEASSAM
+>sp|A2RUH7|MBPHL_HUMAN Myosin-binding protein H-like OS=Homo sapiens OX=9606 GN=MYBPHL PE=1 SV=2
+MEAATAPEVAAGSKLKVKEASPADAEPPQASPGQGAGSPTPQLLPPIEEHPKIWLPRALRQTYIRKVGDTVNLLIPFQGKPKPQAIWTHDGCALDTRRVSVRNGEQDSILFIREAQRADSGRYQLRVQLGGLEATATIDILVIERPGPPQSIKLVDVWGFSATLEWTPPQDTGNTALLGYTVQKADTKSGLWFTVLEHYHRTSCIVSDLIIGNSYAFRVFAENQCGLSETAPITTDLAHIQKAATVYKTKGFAQRDFSEAPKFTQPLADCTTVTGYNTQLFCCVRASPRPKIIWLKNKMDIQGNPKYRALTHLGICSLEIRKPGPFDGGIYTCKAVNPLGEASVDCRVDVKVPN
+>DECOY_sp|A2RUH7|MBPHL_HUMAN Myosin-binding protein H-like OS=Homo sapiens OX=9606 GN=MYBPHL PE=1 SV=2
+NPVKVDVRCDVSAEGLPNVAKCTYIGGDFPGPKRIELSCIGLHTLARYKPNGQIDMKNKLWIIKPRPSARVCCFLQTNYGTVTTCDALPQTFKPAESFDRQAFGKTKYVTAAKQIHALDTTIPATESLGCQNEAFVRFAYSNGIILDSVICSTRHYHELVTFWLGSKTDAKQVTYGLLATNGTDQPPTWELTASFGWVDVLKISQPPGPREIVLIDITATAELGGLQVRLQYRGSDARQAERIFLISDQEGNRVSVRRTDLACGDHTWIAQPKPKGQFPILLNVTDGVKRIYTQRLARPLWIKPHEEIPPLLQPTPSGAGQGPSAQPPEADAPSAEKVKLKSGAAVEPATAAEM
+>sp|Q4ZIN3|MBRL_HUMAN Membralin OS=Homo sapiens OX=9606 GN=TMEM259 PE=1 SV=1
+MSEHVEPAAPGPGPNGGGGGPAPARGPRTPNLNPNPLINVRDRLFHALFFKMAVTYSRLFPPAFRRLFEFFVLLKALFVLFVLAYIHIVFSRSPINCLEHVRDKWPREGILRVEVRHNSSRAPVFLQFCDSGGRGSFPGLAVEPGSNLDMEDEEEEELTMEMFGNSSIKFELDIEPKVFKPPSSTEALNDSQEFPFPETPTKVWPQDEYIVEYSLEYGFLRLSQATRQRLSIPVMVVTLDPTRDQCFGDRFSRLLLDEFLGYDDILMSSVKGLAENEENKGFLRNVVSGEHYRFVSMWMARTSYLAAFAIMVIFTLSVSMLLRYSHHQIFVFIVDLLQMLEMNMAIAFPAAPLLTVILALVGMEAIMSEFFNDTTTAFYIILIVWLADQYDAICCHTSTSKRHWLRFFYLYHFAFYAYHYRFNGQYSSLALVTSWLFIQHSMIYFFHHYELPAILQQVRIQEMLLQAPPLGPGTPTALPDDMNNNSGAPATAPDSAGQPPALGPVSPGASGSPGPVAAAPSSLVAAAASVAAAAGGDLGWMAETAAIITDASFLSGLSASLLERRPASPLGPAGGLPHAPQDSVPPSDSAASDTTPLGAAVGGPSPASMAPTEAPSEVGS
+>DECOY_sp|Q4ZIN3|MBRL_HUMAN Membralin OS=Homo sapiens OX=9606 GN=TMEM259 PE=1 SV=1
+SGVESPAETPAMSAPSPGGVAAGLPTTDSAASDSPPVSDQPAHPLGGAPGLPSAPRRELLSASLGSLFSADTIIAATEAMWGLDGGAAAAVSAAAAVLSSPAAAVPGPSGSAGPSVPGLAPPQGASDPATAPAGSNNNMDDPLATPTGPGLPPAQLLMEQIRVQQLIAPLEYHHFFYIMSHQIFLWSTVLALSSYQGNFRYHYAYFAFHYLYFFRLWHRKSTSTHCCIADYQDALWVILIIYFATTTDNFFESMIAEMGVLALIVTLLPAAPFAIAMNMELMQLLDVIFVFIQHHSYRLLMSVSLTFIVMIAFAALYSTRAMWMSVFRYHEGSVVNRLFGKNEENEALGKVSSMLIDDYGLFEDLLLRSFRDGFCQDRTPDLTVVMVPISLRQRTAQSLRLFGYELSYEVIYEDQPWVKTPTEPFPFEQSDNLAETSSPPKFVKPEIDLEFKISSNGFMEMTLEEEEEDEMDLNSGPEVALGPFSGRGGSDCFQLFVPARSSNHRVEVRLIGERPWKDRVHELCNIPSRSFVIHIYALVFLVFLAKLLVFFEFLRRFAPPFLRSYTVAMKFFLAHFLRDRVNILPNPNLNPTRPGRAPAPGGGGGNPGPGPAAPEVHESM
+>sp|P41968|MC3R_HUMAN Melanocortin receptor 3 OS=Homo sapiens OX=9606 GN=MC3R PE=1 SV=3
+MNASCCLPSVQPTLPNGSEHLQAPFFSNQSSSAFCEQVFIKPEVFLSLGIVSLLENILVILAVVRNGNLHSPMYFFLCSLAVADMLVSVSNALETIMIAIVHSDYLTFEDQFIQHMDNIFDSMICISLVASICNLLAIAVDRYVTIFYALRYHSIMTVRKALTLIVAIWVCCGVCGVVFIVYSESKMVIVCLITMFFAMMLLMGTLYVHMFLFARLHVKRIAALPPADGVAPQQHSCMKGAVTITILLGVFIFCWAPFFLHLVLIITCPTNPYCICYTAHFNTYLVLIMCNSVIDPLIYAFRSLELRNTFREILCGCNGMNLG
+>DECOY_sp|P41968|MC3R_HUMAN Melanocortin receptor 3 OS=Homo sapiens OX=9606 GN=MC3R PE=1 SV=3
+GLNMGNCGCLIERFTNRLELSRFAYILPDIVSNCMILVLYTNFHATYCICYPNTPCTIILVLHLFFPAWCFIFVGLLITITVAGKMCSHQQPAVGDAPPLAAIRKVHLRAFLFMHVYLTGMLLMMAFFMTILCVIVMKSESYVIFVVGCVGCCVWIAVILTLAKRVTMISHYRLAYFITVYRDVAIALLNCISAVLSICIMSDFINDMHQIFQDEFTLYDSHVIAIMITELANSVSVLMDAVALSCLFFYMPSHLNGNRVVALIVLINELLSVIGLSLFVEPKIFVQECFASSSQNSFFPAQLHESGNPLTPQVSPLCCSANM
+>sp|P33032|MC5R_HUMAN Melanocortin receptor 5 OS=Homo sapiens OX=9606 GN=MC5R PE=1 SV=3
+MNSSFHLHFLDLNLNATEGNLSGPNVKNKSSPCEDMGIAVEVFLTLGVISLLENILVIGAIVKNKNLHSPMYFFVCSLAVADMLVSMSSAWETITIYLLNNKHLVIADAFVRHIDNVFDSMICISVVASMCSLLAIAVDRYVTIFYALRYHHIMTARRSGAIIAGIWAFCTGCGIVFILYSESTYVILCLISMFFAMLFLLVSLYIHMFLLARTHVKRIAALPGASSARQRTSMQGAVTVTMLLGVFTVCWAPFFLHLTLMLSCPQNLYCSRFMSHFNMYLILIMCNSVMDPLIYAFRSQEMRKTFKEIICCRGFRIACSFPRRD
+>DECOY_sp|P33032|MC5R_HUMAN Melanocortin receptor 5 OS=Homo sapiens OX=9606 GN=MC5R PE=1 SV=3
+DRRPFSCAIRFGRCCIIEKFTKRMEQSRFAYILPDMVSNCMILILYMNFHSMFRSCYLNQPCSLMLTLHLFFPAWCVTFVGLLMTVTVAGQMSTRQRASSAGPLAAIRKVHTRALLFMHIYLSVLLFLMAFFMSILCLIVYTSESYLIFVIGCGTCFAWIGAIIAGSRRATMIHHYRLAYFITVYRDVAIALLSCMSAVVSICIMSDFVNDIHRVFADAIVLHKNNLLYITITEWASSMSVLMDAVALSCVFFYMPSHLNKNKVIAGIVLINELLSIVGLTLFVEVAIGMDECPSSKNKVNPGSLNGETANLNLDLFHLHFSSNM
+>sp|Q9HCC0|MCCB_HUMAN Methylcrotonoyl-CoA carboxylase beta chain, mitochondrial OS=Homo sapiens OX=9606 GN=MCCC2 PE=1 SV=1
+MWAVLRLALRPCARASPAGPRAYHGDSVASLGTQPDLGSALYQENYKQMKALVNQLHERVEHIKLGGGEKARALHISRGKLLPRERIDNLIDPGSPFLELSQFAGYQLYDNEEVPGGGIITGIGRVSGVECMIIANDATVKGGAYYPVTVKKQLRAQEIAMQNRLPCIYLVDSGGAYLPRQADVFPDRDHFGRTFYNQAIMSSKNIAQIAVVMGSCTAGGAYVPAMADENIIVRKQGTIFLAGPPLVKAATGEEVSAEDLGGADLHCRKSGVSDHWALDDHHALHLTRKVVRNLNYQKKLDVTIEPSEEPLFPADELYGIVGANLKRSFDVREVIARIVDGSRFTEFKAFYGDTLVTGFARIFGYPVGIVGNNGVLFSESAKKGTHFVQLCCQRNIPLLFLQNITGFMVGREYEAEGIAKDGAKMVAAVACAQVPKITLIIGGSYGAGNYGMCGRAYSPRFLYIWPNARISVMGGEQAANVLATITKDQRAREGKQFSSADEAALKEPIIKKFEEEGNPYYSSARVWDDGIIDPADTRLVLGLSFSAALNAPIEKTDFGIFRM
+>DECOY_sp|Q9HCC0|MCCB_HUMAN Methylcrotonoyl-CoA carboxylase beta chain, mitochondrial OS=Homo sapiens OX=9606 GN=MCCC2 PE=1 SV=1
+MRFIGFDTKEIPANLAASFSLGLVLRTDAPDIIGDDWVRASSYYPNGEEEFKKIIPEKLAAEDASSFQKGERARQDKTITALVNAAQEGGMVSIRANPWIYLFRPSYARGCMGYNGAGYSGGIILTIKPVQACAVAAVMKAGDKAIGEAEYERGVMFGTINQLFLLPINRQCCLQVFHTGKKASESFLVGNNGVIGVPYGFIRAFGTVLTDGYFAKFETFRSGDVIRAIVERVDFSRKLNAGVIGYLEDAPFLPEESPEITVDLKKQYNLNRVVKRTLHLAHHDDLAWHDSVGSKRCHLDAGGLDEASVEEGTAAKVLPPGALFITGQKRVIINEDAMAPVYAGGATCSGMVVAIQAINKSSMIAQNYFTRGFHDRDPFVDAQRPLYAGGSDVLYICPLRNQMAIEQARLQKKVTVPYYAGGKVTADNAIIMCEVGSVRGIGTIIGGGPVEENDYLQYGAFQSLELFPSGPDILNDIRERPLLKGRSIHLARAKEGGGLKIHEVREHLQNVLAKMQKYNEQYLASGLDPQTGLSAVSDGHYARPGAPSARACPRLALRLVAWM
+>sp|O43148|MCES_HUMAN mRNA cap guanine-N7 methyltransferase OS=Homo sapiens OX=9606 GN=RNMT PE=1 SV=1
+MANSAKAEEYEKMSLEQAKASVNSETESSFNINENTTASGTGLSEKTSVCRQVDIARKRKEFEDDLVKESSSCGKDTPSKKRKLDPEIVPEEKDCGDAEGNSKKRKRETEDVPKDKSSTGDGTQNKRKIALEDVPEKQKNLEEGHSSTVAAHYNELQEVGLEKRSQSRIFYLRNFNNWMKSVLIGEFLEKVRQKKKRDITVLDLGCGKGGDLLKWKKGRINKLVCTDIADVSVKQCQQRYEDMKNRRDSEYIFSAEFITADSSKELLIDKFRDPQMCFDICSCQFVCHYSFESYEQADMMLRNACERLSPGGYFIGTTPNSFELIRRLEASETESFGNEIYTVKFQKKGDYPLFGCKYDFNLEGVVDVPEFLVYFPLLNEMAKKYNMKLVYKKTFLEFYEEKIKNNENKMLLKRMQALEPYPANESSKLVSEKVDDYEHAAKYMKNSQVRLPLGTLSKSEWEATSIYLVFAFEKQQ
+>DECOY_sp|O43148|MCES_HUMAN mRNA cap guanine-N7 methyltransferase OS=Homo sapiens OX=9606 GN=RNMT PE=1 SV=1
+QQKEFAFVLYISTAEWESKSLTGLPLRVQSNKMYKAAHEYDDVKESVLKSSENAPYPELAQMRKLLMKNENNKIKEEYFELFTKKYVLKMNYKKAMENLLPFYVLFEPVDVVGELNFDYKCGFLPYDGKKQFKVTYIENGFSETESAELRRILEFSNPTTGIFYGGPSLRECANRLMMDAQEYSEFSYHCVFQCSCIDFCMQPDRFKDILLEKSSDATIFEASFIYESDRRNKMDEYRQQCQKVSVDAIDTCVLKNIRGKKWKLLDGGKGCGLDLVTIDRKKKQRVKELFEGILVSKMWNNFNRLYFIRSQSRKELGVEQLENYHAAVTSSHGEELNKQKEPVDELAIKRKNQTGDGTSSKDKPVDETERKRKKSNGEADGCDKEEPVIEPDLKRKKSPTDKGCSSSEKVLDDEFEKRKRAIDVQRCVSTKESLGTGSATTNENINFSSETESNVSAKAQELSMKEYEEAKASNAM
+>sp|P10911|MCF2_HUMAN Proto-oncogene DBL OS=Homo sapiens OX=9606 GN=MCF2 PE=1 SV=3
+MAEANPRRGKMRFRRNAASFPGNLHLVLVLRPTSFLQRTFTDIGFWFSQEDFMLKLPVVMLSSVSDLLTYIDDKQLTPELGGTLQYCHSEWIIFRNAIENFALTVKEMAQMLQSFGTELAETELPDDIPSIEEILAIRAERYHLLKNDITAVTKEGKILLTNLEVPDTEGAVSSRLECHRQISGDWQTINKLLTQVHDMETAFDGFWEKHQLKMEQYLQLWKFEQDFQQLVTEVEFLLNQQAELADVTGTIAQVKQKIKKLENLDENSQELLSKAQFVILHGHKLAANHHYALDLICQRCNELRYLSDILVNEIKAKRIQLSRTFKMHKLLQQARQCCDEGECLLANQEIDKFQSKEDAQKALQDIENFLEMALPFINYEPETLQYEFDVILSPELKVQMKTIQLKLENIRSIFENQQAGFRNLADKHVRPIQFVVPTPENLVTSGTPFFSSKQGKKTWRQNQSNLKIEVVPDCQEKRSSGPSSSLDNGNSLDVLKNHVLNELIQTERVYVRELYTVLLGYRAEMDNPEMFDLMPPLLRNKKDILFGNMAEIYEFHNDIFLSSLENCAHAPERVGPCFLERKDDFQMYAKYCQNKPRSETIWRKYSECAFFQECQRKLKHRLRLDSYLLKPVQRITKYQLLLKELLKYSKDCEGSALLKKALDAMLDLLKSVNDSMHQIAINGYIGNLNELGKMIMQGGFSVWIGHKKGATKMKDLARFKPMQRHLFLYEKAIVFCKRRVESGEGSDRYPSYSFKHCWKMDEVGITEYVKGDNRKFEIWYGEKEEVYIVQASNVDVKMTWLKEIRNILLKQQELLTVKKRKQQDQLTERDKFQISLQQNDEKQQGAFISTEETELEHTSTVVEVCEAIASVQAEANTVWTEASQSAEISEEPAEWSSNYFYPTYDENEEENRPLMRPVSEMALLY
+>DECOY_sp|P10911|MCF2_HUMAN Proto-oncogene DBL OS=Homo sapiens OX=9606 GN=MCF2 PE=1 SV=3
+YLLAMESVPRMLPRNEEENEDYTPYFYNSSWEAPEESIEASQSAETWVTNAEAQVSAIAECVEVVTSTHELETEETSIFAGQQKEDNQQLSIQFKDRETLQDQQKRKKVTLLEQQKLLINRIEKLWTMKVDVNSAQVIYVEEKEGYWIEFKRNDGKVYETIGVEDMKWCHKFSYSPYRDSGEGSEVRRKCFVIAKEYLFLHRQMPKFRALDKMKTAGKKHGIWVSFGGQMIMKGLENLNGIYGNIAIQHMSDNVSKLLDLMADLAKKLLASGECDKSYKLLEKLLLQYKTIRQVPKLLYSDLRLRHKLKRQCEQFFACESYKRWITESRPKNQCYKAYMQFDDKRELFCPGVREPAHACNELSSLFIDNHFEYIEAMNGFLIDKKNRLLPPMLDFMEPNDMEARYGLLVTYLERVYVRETQILENLVHNKLVDLSNGNDLSSSPGSSRKEQCDPVVEIKLNSQNQRWTKKGQKSSFFPTGSTVLNEPTPVVFQIPRVHKDALNRFGAQQNEFISRINELKLQITKMQVKLEPSLIVDFEYQLTEPEYNIFPLAMELFNEIDQLAKQADEKSQFKDIEQNALLCEGEDCCQRAQQLLKHMKFTRSLQIRKAKIENVLIDSLYRLENCRQCILDLAYHHNAALKHGHLIVFQAKSLLEQSNEDLNELKKIKQKVQAITGTVDALEAQQNLLFEVETVLQQFDQEFKWLQLYQEMKLQHKEWFGDFATEMDHVQTLLKNITQWDGSIQRHCELRSSVAGETDPVELNTLLIKGEKTVATIDNKLLHYREARIALIEEISPIDDPLETEALETGFSQLMQAMEKVTLAFNEIANRFIIWESHCYQLTGGLEPTLQKDDIYTLLDSVSSLMVVPLKLMFDEQSFWFGIDTFTRQLFSTPRLVLVLHLNGPFSAANRRFRMKGRRPNAEAM
+>sp|Q969V1|MCHR2_HUMAN Melanin-concentrating hormone receptor 2 OS=Homo sapiens OX=9606 GN=MCHR2 PE=1 SV=1
+MNPFHASCWNTSAELLNKSWNKEFAYQTASVVDTVILPSMIGIICSTGLVGNILIVFTIIRSRKKTVPDIYICNLAVADLVHIVGMPFLIHQWARGGEWVFGGPLCTIITSLDTCNQFACSAIMTVMSVDRYFALVQPFRLTRWRTRYKTIRINLGLWAASFILALPVWVYSKVIKFKDGVESCAFDLTSPDDVLWYTLYLTITTFFFPLPLILVCYILILCYTWEMYQQNKDARCCNPSVPKQRVMKLTKMVLVLVVVFILSAAPYHVIQLVNLQMEQPTLAFYVGYYLSICLSYASSSINPFLYILLSGNFQKRLPQIQRRATEKEINNMGNTLKSHF
+>DECOY_sp|Q969V1|MCHR2_HUMAN Melanin-concentrating hormone receptor 2 OS=Homo sapiens OX=9606 GN=MCHR2 PE=1 SV=1
+FHSKLTNGMNNIEKETARRQIQPLRKQFNGSLLIYLFPNISSSAYSLCISLYYGVYFALTPQEMQLNVLQIVHYPAASLIFVVVLVLVMKTLKMVRQKPVSPNCCRADKNQQYMEWTYCLILIYCVLILPLPFFFTTITLYLTYWLVDDPSTLDFACSEVGDKFKIVKSYVWVPLALIFSAAWLGLNIRITKYRTRWRTLRFPQVLAFYRDVSMVTMIASCAFQNCTDLSTIITCLPGGFVWEGGRAWQHILFPMGVIHVLDAVALNCIYIDPVTKKRSRIITFVILINGVLGTSCIIGIMSPLIVTDVVSATQYAFEKNWSKNLLEASTNWCSAHFPNM
+>sp|P08235|MCR_HUMAN Mineralocorticoid receptor OS=Homo sapiens OX=9606 GN=NR3C2 PE=1 SV=1
+METKGYHSLPEGLDMERRWGQVSQAVERSSLGPTERTDENNYMEIVNVSCVSGAIPNNSTQGSSKEKQELLPCLQQDNNRPGILTSDIKTELESKELSATVAESMGLYMDSVRDADYSYEQQNQQGSMSPAKIYQNVEQLVKFYKGNGHRPSTLSCVNTPLRSFMSDSGSSVNGGVMRAIVKSPIMCHEKSPSVCSPLNMTSSVCSPAGINSVSSTTASFGSFPVHSPITQGTPLTCSPNAENRGSRSHSPAHASNVGSPLSSPLSSMKSSISSPPSHCSVKSPVSSPNNVTLRSSVSSPANINNSRCSVSSPSNTNNRSTLSSPAASTVGSICSPVNNAFSYTASGTSAGSSTLRDVVPSPDTQEKGAQEVPFPKTEEVESAISNGVTGQLNIVQYIKPEPDGAFSSSCLGGNSKINSDSSFSVPIKQESTKHSCSGTSFKGNPTVNPFPFMDGSYFSFMDDKDYYSLSGILGPPVPGFDGNCEGSGFPVGIKQEPDDGSYYPEASIPSSAIVGVNSGGQSFHYRIGAQGTISLSRSARDQSFQHLSSFPPVNTLVESWKSHGDLSSRRSDGYPVLEYIPENVSSSTLRSVSTGSSRPSKICLVCGDEASGCHYGVVTCGSCKVFFKRAVEGQHNYLCAGRNDCIIDKIRRKNCPACRLQKCLQAGMNLGARKSKKLGKLKGIHEEQPQQQQPPPPPPPPQSPEEGTTYIAPAKEPSVNTALVPQLSTISRALTPSPVMVLENIEPEIVYAGYDSSKPDTAENLLSTLNRLAGKQMIQVVKWAKVLPGFKNLPLEDQITLIQYSWMCLSSFALSWRSYKHTNSQFLYFAPDLVFNEEKMHQSAMYELCQGMHQISLQFVRLQLTFEEYTIMKVLLLLSTIPKDGLKSQAAFEEMRTNYIKELRKMVTKCPNNSGQSWQRFYQLTKLLDSMHDLVSDLLEFCFYTFRESHALKVEFPAMLVEIISDQLPKVESGNAKPLYFHRK
+>DECOY_sp|P08235|MCR_HUMAN Mineralocorticoid receptor OS=Homo sapiens OX=9606 GN=NR3C2 PE=1 SV=1
+KRHFYLPKANGSEVKPLQDSIIEVLMAPFEVKLAHSERFTYFCFELLDSVLDHMSDLLKTLQYFRQWSQGSNNPCKTVMKRLEKIYNTRMEEFAAQSKLGDKPITSLLLLVKMITYEEFTLQLRVFQLSIQHMGQCLEYMASQHMKEENFVLDPAFYLFQSNTHKYSRWSLAFSSLCMWSYQILTIQDELPLNKFGPLVKAWKVVQIMQKGALRNLTSLLNEATDPKSSDYGAYVIEPEINELVMVPSPTLARSITSLQPVLATNVSPEKAPAIYTTGEEPSQPPPPPPPPQQQQPQEEHIGKLKGLKKSKRAGLNMGAQLCKQLRCAPCNKRRIKDIICDNRGACLYNHQGEVARKFFVKCSGCTVVGYHCGSAEDGCVLCIKSPRSSGTSVSRLTSSSVNEPIYELVPYGDSRRSSLDGHSKWSEVLTNVPPFSSLHQFSQDRASRSLSITGQAGIRYHFSQGGSNVGVIASSPISAEPYYSGDDPEQKIGVPFGSGECNGDFGPVPPGLIGSLSYYDKDDMFSFYSGDMFPFPNVTPNGKFSTGSCSHKTSEQKIPVSFSSDSNIKSNGGLCSSSFAGDPEPKIYQVINLQGTVGNSIASEVEETKPFPVEQAGKEQTDPSPVVDRLTSSGASTGSATYSFANNVPSCISGVTSAAPSSLTSRNNTNSPSSVSCRSNNINAPSSVSSRLTVNNPSSVPSKVSCHSPPSSISSKMSSLPSSLPSGVNSAHAPSHSRSGRNEANPSCTLPTGQTIPSHVPFSGFSATTSSVSNIGAPSCVSSTMNLPSCVSPSKEHCMIPSKVIARMVGGNVSSGSDSMFSRLPTNVCSLTSPRHGNGKYFKVLQEVNQYIKAPSMSGQQNQQEYSYDADRVSDMYLGMSEAVTASLEKSELETKIDSTLIGPRNNDQQLCPLLEQKEKSSGQTSNNPIAGSVCSVNVIEMYNNEDTRETPGLSSREVAQSVQGWRREMDLGEPLSHYGKTEM
+>sp|P49901|MCSP_HUMAN Sperm mitochondrial-associated cysteine-rich protein OS=Homo sapiens OX=9606 GN=SMCP PE=1 SV=2
+MCDQTKHSKCCPAKGNQCCPPQQNQCCQSKGNQCCPPKQNQCCQPKGSQCCPPKHNHCCQPKPPCCIQARCCGLETKPEVSPLNMESEPNSPQTQDKGCQTQQQPHSPQNESRPSK
+>DECOY_sp|P49901|MCSP_HUMAN Sperm mitochondrial-associated cysteine-rich protein OS=Homo sapiens OX=9606 GN=SMCP PE=1 SV=2
+KSPRSENQPSHPQQQTQCGKDQTQPSNPESEMNLPSVEPKTELGCCRAQICCPPKPQCCHNHKPPCCQSGKPQCCQNQKPPCCQNGKSQCCQNQQPPCCQNGKAPCCKSHKTQDCM
+>sp|Q96AQ8|MCUR1_HUMAN Mitochondrial calcium uniporter regulator 1 OS=Homo sapiens OX=9606 GN=MCUR1 PE=1 SV=1
+MDCGSVGGQRTQRLPGRQRLLFLPVGLSGRPGGSETSARRCLSALSDGLGALRPRAPAARGGVSRASPLLLLLLVPSPRLAAAAPRRQLGDWERSRLGYAAPPAGRSSAWRCSPGVAAAAGALPQYHGPAPALVSCRRELSLSAGSLQLERKRRDFTSSGSRKLYFDTHALVCLLEDNGFATQQAEIIVSALVKILEANMDIVYKDMVTKMQQEITFQQVMSQIANVKKDMIILEKSEFSALRAENEKIKLELHQLKQQVMDEVIKVRTDTKLDFNLEKSRVKELYSLNEKKLLELRTEIVALHAQQDRALTQTDRKIETEVAGLKTMLESHKLDNIKYLAGSIFTCLTVALGFYRLWI
+>DECOY_sp|Q96AQ8|MCUR1_HUMAN Mitochondrial calcium uniporter regulator 1 OS=Homo sapiens OX=9606 GN=MCUR1 PE=1 SV=1
+IWLRYFGLAVTLCTFISGALYKINDLKHSELMTKLGAVETEIKRDTQTLARDQQAHLAVIETRLELLKKENLSYLEKVRSKELNFDLKTDTRVKIVEDMVQQKLQHLELKIKENEARLASFESKELIIMDKKVNAIQSMVQQFTIEQQMKTVMDKYVIDMNAELIKVLASVIIEAQQTAFGNDELLCVLAHTDFYLKRSGSSTFDRRKRELQLSGASLSLERRCSVLAPAPGHYQPLAGAAAAVGPSCRWASSRGAPPAAYGLRSREWDGLQRRPAAAALRPSPVLLLLLLPSARSVGGRAAPARPRLAGLGDSLASLCRRASTESGGPRGSLGVPLFLLRQRGPLRQTRQGGVSGCDM
+>sp|Q15013|MD2BP_HUMAN MAD2L1-binding protein OS=Homo sapiens OX=9606 GN=MAD2L1BP PE=1 SV=1
+MAAPEAEVLSSAAVPDLEWYEKSEETHASQIELLETSSTQEPLNASEAFCPRDCMVPVVFPGPVSQEGCCQFTCELLKHIMYQRQQLPLPYEQLKHFYRKPSPQAEEMLKKKPRATTEVSSRKCQQALAELESVLSHLEDFFARTLVPRVLILLGGNALSPKEFYELDLSLLAPYSVDQSLSTAACLRRLFRAIFMADAFSELQAPPLMGTVVMAQGHRNCGEDWFRPKLNYRVPSRGHKLTVTLSCGRPSIRTTAWEDYIWFQAPVTFKGFRE
+>DECOY_sp|Q15013|MD2BP_HUMAN MAD2L1-binding protein OS=Homo sapiens OX=9606 GN=MAD2L1BP PE=1 SV=1
+ERFGKFTVPAQFWIYDEWATTRISPRGCSLTVTLKHGRSPVRYNLKPRFWDEGCNRHGQAMVVTGMLPPAQLESFADAMFIARFLRRLCAATSLSQDVSYPALLSLDLEYFEKPSLANGGLLILVRPVLTRAFFDELHSLVSELEALAQQCKRSSVETTARPKKKLMEEAQPSPKRYFHKLQEYPLPLQQRQYMIHKLLECTFQCCGEQSVPGPFVVPVMCDRPCFAESANLPEQTSSTELLEIQSAHTEESKEYWELDPVAASSLVEAEPAAM
+>sp|Q02080|MEF2B_HUMAN Myocyte-specific enhancer factor 2B OS=Homo sapiens OX=9606 GN=MEF2B PE=1 SV=2
+MGRKKIQISRILDQRNRQVTFTKRKFGLMKKAYELSVLCDCEIALIIFNSANRLFQYASTDMDRVLLKYTEYSEPHESRTNTDILETLKRRGIGLDGPELEPDEGPEEPGEKFRRLAGEGGDPALPRPRLYPAAPAMPSPDVVYGALPPPGCDPSGLGEALPAQSRPSPFRPAAPKAGPPGLVHPLFSPSHLTSKTPPPLYLPTEGRRSDLPGGLAGPRGGLNTSRSLYSGLQNPCSTATPGPPLGSFPFLPGGPPVGAEAWARRVPQPAAPPRRPPQSASSLSASLRPPGAPATFLRPSPIPCSSPGPWQSLCGLGPPCAGCPWPTAGPGRRSPGGTSPERSPGTARARGDPTSLQASSEKTQQ
+>DECOY_sp|Q02080|MEF2B_HUMAN Myocyte-specific enhancer factor 2B OS=Homo sapiens OX=9606 GN=MEF2B PE=1 SV=2
+QQTKESSAQLSTPDGRARATGPSREPSTGGPSRRGPGATPWPCGACPPGLGCLSQWPGPSSCPIPSPRLFTAPAGPPRLSASLSSASQPPRRPPAAPQPVRRAWAEAGVPPGGPLFPFSGLPPGPTATSCPNQLGSYLSRSTNLGGRPGALGGPLDSRRGETPLYLPPPTKSTLHSPSFLPHVLGPPGAKPAAPRFPSPRSQAPLAEGLGSPDCGPPPLAGYVVDPSPMAPAAPYLRPRPLAPDGGEGALRRFKEGPEEPGEDPELEPGDLGIGRRKLTELIDTNTRSEHPESYETYKLLVRDMDTSAYQFLRNASNFIILAIECDCLVSLEYAKKMLGFKRKTFTVQRNRQDLIRSIQIKKRGM
+>sp|O15553|MEFV_HUMAN Pyrin OS=Homo sapiens OX=9606 GN=MEFV PE=1 SV=1
+MAKTPSDHLLSTLEELVPYDFEKFKFKLQNTSVQKEHSRIPRSQIQRARPVKMATLLVTYYGEEYAVQLTLQVLRAINQRLLAEELHRAAIQEYSTQENGTDDSAASSSLGENKPRSLKTPDHPEGNEGNGPRPYGGGAASLRCSQPEAGRGLSRKPLSKRREKASEGLDAQGKPRTRSPALPGGRSPGPCRALEGGQAEVRLRRNASSAGRLQGLAGGAPGQKECRPFEVYLPSGKMRPRSLEVTISTGEKAPANPEILLTLEEKTAANLDSATEPRARPTPDGGASADLKEGPGNPEHSVTGRPPDTAASPRCHAQEGDPVDGTCVRDSCSFPEAVSGHPQASGSRSPGCPRCQDSHERKSPGSLSPQPLPQCKRHLKQVQLLFCEDHDEPICLICSLSQEHQGHRVRPIEEVALEHKKKIQKQLEHLKKLRKSGEEQRSYGEEKAVSFLKQTEALKQRVQRKLEQVYYFLEQQEHFFVASLEDVGQMVGQIRKAYDTRVSQDIALLDALIGELEAKECQSEWELLQDIGDILHRAKTVPVPEKWTTPQEIKQKIQLLHQKSEFVEKSTKYFSETLRSEMEMFNVPELIGAQAHAVNVILDAETAYPNLIFSDDLKSVRLGNKWERLPDGPQRFDSCIIVLGSPSFLSGRRYWEVEVGDKTAWILGACKTSISRKGNMTLSPENGYWVVIMMKENEYQASSVPPTRLLIKEPPKRVGIFVDYRVGSISFYNVTARSHIYTFASCSFSGPLQPIFSPGTRDGGKNTAPLTICPVGGQGPD
+>DECOY_sp|O15553|MEFV_HUMAN Pyrin OS=Homo sapiens OX=9606 GN=MEFV PE=1 SV=1
+DPGQGGVPCITLPATNKGGDRTGPSFIPQLPGSFSCSAFTYIHSRATVNYFSISGVRYDVFIGVRKPPEKILLRTPPVSSAQYENEKMMIVVWYGNEPSLTMNGKRSISTKCAGLIWATKDGVEVEWYRRGSLFSPSGLVIICSDFRQPGDPLREWKNGLRVSKLDDSFILNPYATEADLIVNVAHAQAGILEPVNFMEMESRLTESFYKTSKEVFESKQHLLQIKQKIEQPTTWKEPVPVTKARHLIDGIDQLLEWESQCEKAELEGILADLLAIDQSVRTDYAKRIQGVMQGVDELSAVFFHEQQELFYYVQELKRQVRQKLAETQKLFSVAKEEGYSRQEEGSKRLKKLHELQKQIKKKHELAVEEIPRVRHGQHEQSLSCILCIPEDHDECFLLQVQKLHRKCQPLPQPSLSGPSKREHSDQCRPCGPSRSGSAQPHGSVAEPFSCSDRVCTGDVPDGEQAHCRPSAATDPPRGTVSHEPNGPGEKLDASAGGDPTPRARPETASDLNAATKEELTLLIEPNAPAKEGTSITVELSRPRMKGSPLYVEFPRCEKQGPAGGALGQLRGASSANRRLRVEAQGGELARCPGPSRGGPLAPSRTRPKGQADLGESAKERRKSLPKRSLGRGAEPQSCRLSAAGGGYPRPGNGENGEPHDPTKLSRPKNEGLSSSAASDDTGNEQTSYEQIAARHLEEALLRQNIARLVQLTLQVAYEEGYYTVLLTAMKVPRARQIQSRPIRSHEKQVSTNQLKFKFKEFDYPVLEELTSLLHDSPTKAM
+>sp|A6BM72|MEG11_HUMAN Multiple epidermal growth factor-like domains protein 11 OS=Homo sapiens OX=9606 GN=MEGF11 PE=2 SV=3
+MVLSLTGLIAFSFLQATLALNPEDPNVCSHWESYAVTVQESYAHPFDQIYYTRCTDILNWFKCTRHRISYKTAYRRGLRTMYRRRSQCCPGYYESGDFCIPLCTEECVHGRCVSPDTCHCEPGWGGPDCSSGCDSDHWGPHCSNRCQCQNGALCNPITGACVCAAGFRGWRCEELCAPGTHGKGCQLPCQCRHGASCDPRAGECLCAPGYTGVYCEELCPPGSHGAHCELRCPCQNGGTCHHITGECACPPGWTGAVCAQPCPPGTFGQNCSQDCPCHHGGQCDHVTGQCHCTAGYMGDRCQEECPFGSFGFQCSQHCDCHNGGQCSPTTGACECEPGYKGPRCQERLCPEGLHGPGCTLPCPCDADNTISCHPVTGACTCQPGWSGHHCNESCPVGYYGDGCQLPCTCQNGADCHSITGGCTCAPGFMGEVCAVSCAAGTYGPNCSSICSCNNGGTCSPVDGSCTCKEGWQGLDCTLPCPSGTWGLNCNESCTCANGAACSPIDGSCSCTPGWLGDTCELPCPDGTFGLNCSEHCDCSHADGCDPVTGHCCCLAGWTGIRCDSTCPPGRWGPNCSVSCSCENGGSCSPEDGSCECAPGFRGPLCQRICPPGFYGHGCAQPCPLCVHSSRPCHHISGICECLPGFSGALCNQVCAGGYFGQDCAQLCSCANNGTCSPIDGSCQCFPGWIGKDCSQACPPGFWGPACFHACSCHNGASCSAEDGACHCTPGWTGLFCTQRCPAAFFGKDCGRVCQCQNGASCDHISGKCTCRTGFTGQHCEQRCAPGTFGYGCQQLCECMNNSTCDHVTGTCYCSPGFKGIRCDQAALMMEELNPYTKISPALGAERHSVGAVTGIMLLLFLIVVLLGLFAWHRRRQKEKGRDLAPRVSYTPAMRMTSTDYSLSGACGMDRRQNTYIMDKGFKDYMKESVCSSSTCSLNSSENPYATIKDPPILTCKLPESSYVEMKSPVHMGSPYTDVPSLSTSNKNIYEVEPTVSVVQEGCGHNSSYIQNAYDLPRNSHIPGHYDLLPVRQSPANGPSQDKQS
+>DECOY_sp|A6BM72|MEG11_HUMAN Multiple epidermal growth factor-like domains protein 11 OS=Homo sapiens OX=9606 GN=MEGF11 PE=2 SV=3
+SQKDQSPGNAPSQRVPLLDYHGPIHSNRPLDYANQIYSSNHGCGEQVVSVTPEVEYINKNSTSLSPVDTYPSGMHVPSKMEVYSSEPLKCTLIPPDKITAYPNESSNLSCTSSSCVSEKMYDKFGKDMIYTNQRRDMGCAGSLSYDTSTMRMAPTYSVRPALDRGKEKQRRRHWAFLGLLVVILFLLLMIGTVAGVSHREAGLAPSIKTYPNLEEMMLAAQDCRIGKFGPSCYCTGTVHDCTSNNMCECLQQCGYGFTGPACRQECHQGTFGTRCTCKGSIHDCSAGNQCQCVRGCDKGFFAAPCRQTCFLGTWGPTCHCAGDEASCSAGNHCSCAHFCAPGWFGPPCAQSCDKGIWGPFCQCSGDIPSCTGNNACSCLQACDQGFYGGACVQNCLAGSFGPLCECIGSIHHCPRSSHVCLPCPQACGHGYFGPPCIRQCLPGRFGPACECSGDEPSCSGGNECSCSVSCNPGWRGPPCTSDCRIGTWGALCCCHGTVPDCGDAHSCDCHESCNLGFTGDPCPLECTDGLWGPTCSCSGDIPSCAAGNACTCSENCNLGWTGSPCPLTCDLGQWGEKCTCSGDVPSCTGGNNCSCISSCNPGYTGAACSVACVEGMFGPACTCGGTISHCDAGNQCTCPLQCGDGYYGVPCSENCHHGSWGPQCTCAGTVPHCSITNDADCPCPLTCGPGHLGEPCLREQCRPGKYGPECECAGTTPSCQGGNHCDCHQSCQFGFSGFPCEEQCRDGMYGATCHCQGTVHDCQGGHHCPCDQSCNQGFTGPPCPQACVAGTWGPPCACEGTIHHCTGGNQCPCRLECHAGHSGPPCLEECYVGTYGPACLCEGARPDCSAGHRCQCPLQCGKGHTGPACLEECRWGRFGAACVCAGTIPNCLAGNQCQCRNSCHPGWHDSDCGSSCDPGGWGPECHCTDPSVCRGHVCEETCLPICFDGSEYYGPCCQSRRRYMTRLGRRYATKYSIRHRTCKFWNLIDTCRTYYIQDFPHAYSEQVTVAYSEWHSCVNPDEPNLALTAQLFSFAILGTLSLVM
+>sp|A8MW99|MEI4_HUMAN Meiosis-specific protein MEI4 OS=Homo sapiens OX=9606 GN=MEI4 PE=3 SV=2
+MDVQKWYLRTSKLALALAIIRSKPADKSSREYTEHLAMLLSEEQSKWRSKVEILEAEVMQLRQKLLVSRLCSGSFKSGYVSSQLEAQEPKSSESTLTSMEDSGCDLSNEQRTESSDLSQHFVESCTPTHFPPLPLVKRPCAILQNPLSSHMQFLQYLLELKNLTESGNLKRDLTHFEKDSSTVSDSVFQLLDGLITFYRNPKLPFSRFWTEAVGTLASLISDYNLSSHILKKCSKKLEEFEKTLLHAILGNNHINQFQVQHYVSQSLVTLGNCSLLRKSIISLLLSEVNGFADDLGAINQEQASYDVSRYENIFYLFWVLEQLLQKETEEGNTSSIGHDDQEIKKFLQKHDETIFQLSDAFPLFTFYLWRVGILLSSAQIETLRK
+>DECOY_sp|A8MW99|MEI4_HUMAN Meiosis-specific protein MEI4 OS=Homo sapiens OX=9606 GN=MEI4 PE=3 SV=2
+KRLTEIQASSLLIGVRWLYFTFLPFADSLQFITEDHKQLFKKIEQDDHGISSTNGEETEKQLLQELVWFLYFINEYRSVDYSAQEQNIAGLDDAFGNVESLLLSIISKRLLSCNGLTVLSQSVYHQVQFQNIHNNGLIAHLLTKEFEELKKSCKKLIHSSLNYDSILSALTGVAETWFRSFPLKPNRYFTILGDLLQFVSDSVTSSDKEFHTLDRKLNGSETLNKLELLYQLFQMHSSLPNQLIACPRKVLPLPPFHTPTCSEVFHQSLDSSETRQENSLDCGSDEMSTLTSESSKPEQAELQSSVYGSKFSGSCLRSVLLKQRLQMVEAELIEVKSRWKSQEESLLMALHETYERSSKDAPKSRIIALALALKSTRLYWKQVDM
+>sp|Q5JSS6|MEIG1_HUMAN Meiosis expressed gene 1 protein homolog OS=Homo sapiens OX=9606 GN=MEIG1 PE=3 SV=1
+MASSDVKPKSVSHAKKWSEEIENLYRFQQAGYRDETEYRQVKQVSMVDRWPETGYVKKLQRRDNTFYYYNKQRECDDKEVHKVKIYAY
+>DECOY_sp|Q5JSS6|MEIG1_HUMAN Meiosis expressed gene 1 protein homolog OS=Homo sapiens OX=9606 GN=MEIG1 PE=3 SV=1
+YAYIKVKHVEKDDCERQKNYYYFTNDRRQLKKVYGTEPWRDVMSVQKVQRYETEDRYGAQQFRYLNEIEESWKKAHSVSKPKVDSSAM
+>sp|A2RUB1|MEIOC_HUMAN Meiosis-specific coiled-coil domain-containing protein MEIOC OS=Homo sapiens OX=9606 GN=MEIOC PE=2 SV=3
+MEVRRGDTCPRPHPSGLREEGLEPKVAFPGGANRCWNLGADAGSRLTDVFGSVMLTGSASFYDCYTSQSEDNVDLRQTYTPFSSTEYSSSVDSSLFCAPWSTYGDDIKQPSNSQISIKNRIQTERNDYGSETDLYGLVSNILEEQDKSQPYFAEGTCSSNLKSVWPMNTSRFADHHDLLTETKRPIDTVISQQAFYSDESVSAMEKQYLRNSNLTPQQKIDELHHGFTGLDLEEQWMYPSRSDHSNCHNIQTNDTAKTTFQEYPLIKNCFTPQTGLSDIMKESGVDIYHYGRDRICTKGLEAPLQQKRAEMFLSQFNRYNENVDYCRYPEYVHPNKAKLNKCSNFSVQDSKKLANGTPETPTVEADTYTKLFQVKPANQKKMEETIPDQQNFTFPKTTPHLTEKQFAKEAVFTADFGLTSEYGLKPHTACPANDFANVTEKQQFAKPDPPHSEYFKSVNLLSNSATSSGGINLNRPTWMNVQTKNNTPIPYRNQGNLMKLNSHLSAASKGSNHSSDFPQLSSTNLTPNSNLFQKYCQENPSAFSSFDFSYSGAERIQSVNHIEGLTKPGEENLFKLVTDKKIKQPNGFCDNYSAQKYGIIENVNKHNFQAKPQSGHYDPEEGPKHLDGLSQNTYQDLLESQGHSNSHRTRGGDNSRVNRTQVSCFSNNYMMGDLRHNQCFQQLGSNGFPLRSTHPFGHSVVPLLDSYDLLSYDDLSHLYPYFNMMYGDNSFSGLMPTFGFQRPIKTRSGPASELHIRLEECCEQWRALEKERKKTELALAKNYPGKKVSSTNNTPVPRLTSNPSRVDRLIVDELRELARVVTLLGKMERLRSSLLHASISTALDRHLESIHIVQSRRKDEIVNASNRQRQGVPRCQDDRDVFALASAIKEMCVATRKTRTALWCALQMTLPKTASTADVVKPLQDTVNCEDKVHESINSSNPMNQRGETNKH
+>DECOY_sp|A2RUB1|MEIOC_HUMAN Meiosis-specific coiled-coil domain-containing protein MEIOC OS=Homo sapiens OX=9606 GN=MEIOC PE=2 SV=3
+HKNTEGRQNMPNSSNISEHVKDECNVTDQLPKVVDATSATKPLTMQLACWLATRTKRTAVCMEKIASALAFVDRDDQCRPVGQRQRNSANVIEDKRRSQVIHISELHRDLATSISAHLLSSRLREMKGLLTVVRALERLEDVILRDVRSPNSTLRPVPTNNTSSVKKGPYNKALALETKKREKELARWQECCEELRIHLESAPGSRTKIPRQFGFTPMLGSFSNDGYMMNFYPYLHSLDDYSLLDYSDLLPVVSHGFPHTSRLPFGNSGLQQFCQNHRLDGMMYNNSFCSVQTRNVRSNDGGRTRHSNSHGQSELLDQYTNQSLGDLHKPGEEPDYHGSQPKAQFNHKNVNEIIGYKQASYNDCFGNPQKIKKDTVLKFLNEEGPKTLGEIHNVSQIREAGSYSFDFSSFASPNEQCYKQFLNSNPTLNTSSLQPFDSSHNSGKSAASLHSNLKMLNGQNRYPIPTNNKTQVNMWTPRNLNIGGSSTASNSLLNVSKFYESHPPDPKAFQQKETVNAFDNAPCATHPKLGYESTLGFDATFVAEKAFQKETLHPTTKPFTFNQQDPITEEMKKQNAPKVQFLKTYTDAEVTPTEPTGNALKKSDQVSFNSCKNLKAKNPHVYEPYRCYDVNENYRNFQSLFMEARKQQLPAELGKTCIRDRGYHYIDVGSEKMIDSLGTQPTFCNKILPYEQFTTKATDNTQINHCNSHDSRSPYMWQEELDLGTFGHHLEDIKQQPTLNSNRLYQKEMASVSEDSYFAQQSIVTDIPRKTETLLDHHDAFRSTNMPWVSKLNSSCTGEAFYPQSKDQEELINSVLGYLDTESGYDNRETQIRNKISIQSNSPQKIDDGYTSWPACFLSSDVSSSYETSSFPTYTQRLDVNDESQSTYCDYFSASGTLMVSGFVDTLRSGADAGLNWCRNAGGPFAVKPELGEERLGSPHPRPCTDGRRVEM
+>sp|Q5TCX8|M3K21_HUMAN Mitogen-activated protein kinase kinase kinase 21 OS=Homo sapiens OX=9606 GN=MAP3K21 PE=1 SV=3
+MALRGAAGATDTPVSSAGGAPGGSASSSSTSSGGSASAGAGLWAALYDYEARGEDELSLRRGQLVEVLSQDAAVSGDEGWWAGQVQRRLGIFPANYVAPCRPAASPAPPPSRPSSPVHVAFERLELKELIGAGGFGQVYRATWQGQEVAVKAARQDPEQDAAAAAESVRREARLFAMLRHPNIIELRGVCLQQPHLCLVLEFARGGALNRALAAANAAPDPRAPGPRRARRIPPHVLVNWAVQIARGMLYLHEEAFVPILHRDLKSSNILLLEKIEHDDICNKTLKITDFGLAREWHRTTKMSTAGTYAWMAPEVIKSSLFSKGSDIWSYGVLLWELLTGEVPYRGIDGLAVAYGVAVNKLTLPIPSTCPEPFAKLMKECWQQDPHIRPSFALILEQLTAIEGAVMTEMPQESFHSMQDDWKLEIQQMFDELRTKEKELRSREEELTRAALQQKSQEELLKRREQQLAEREIDVLERELNILIFQLNQEKPKVKKRKGKFKRSRLKLKDGHRISLPSDFQHKITVQASPNLDKRRSLNSSSSSPPSSPTMMPRLRAIQLTSDESNKTWGRNTVFRQEEFEDVKRNFKKKGCTWGPNSIQMKDRTDCKERIRPLSDGNSPWSTILIKNQKTMPLASLFVDQPGSCEEPKLSPDGLEHRKPKQIKLPSQAYIDLPLGKDAQRENPAEAESWEEAASANAATVSIEMTPTNSLSRSPQRKKTESALYGCTVLLASVALGLDLRELHKAQAAEEPLPKEEKKKREGIFQRASKSRRSASPPTSLPSTCGEASSPPSLPLSSALGILSTPSFSTKCLLQMDSEDPLVDSAPVTCDSEMLTPDFCPTAPGSGREPALMPRLDTDCSVSRNLPSSFLQQTCGNVPYCASSKHRPSHHRRTMSDGNPTPTGATIISATGASALPLCPSPAPHSHLPREVSPKKHSTVHIVPQRRPASLRSRSDLPQAYPQTAVSQLAQTACVVGRPGPHPTQFLAAKERTKSHVPSLLDADVEGQSRDYTVPLCRMRSKTSRPSIYELEKEFLS
+>DECOY_sp|Q5TCX8|M3K21_HUMAN Mitogen-activated protein kinase kinase kinase 21 OS=Homo sapiens OX=9606 GN=MAP3K21 PE=1 SV=3
+SLFEKELEYISPRSTKSRMRCLPVTYDRSQGEVDADLLSPVHSKTREKAALFQTPHPGPRGVVCATQALQSVATQPYAQPLDSRSRLSAPRRQPVIHVTSHKKPSVERPLHSHPAPSPCLPLASAGTASIITAGTPTPNGDSMTRRHHSPRHKSSACYPVNGCTQQLFSSPLNRSVSCDTDLRPMLAPERGSGPATPCFDPTLMESDCTVPASDVLPDESDMQLLCKTSFSPTSLIGLASSLPLSPPSSAEGCTSPLSTPPSASRRSKSARQFIGERKKKEEKPLPEEAAQAKHLERLDLGLAVSALLVTCGYLASETKKRQPSRSLSNTPTMEISVTAANASAAEEWSEAEAPNERQADKGLPLDIYAQSPLKIQKPKRHELGDPSLKPEECSGPQDVFLSALPMTKQNKILITSWPSNGDSLPRIREKCDTRDKMQISNPGWTCGKKKFNRKVDEFEEQRFVTNRGWTKNSEDSTLQIARLRPMMTPSSPPSSSSSNLSRRKDLNPSAQVTIKHQFDSPLSIRHGDKLKLRSRKFKGKRKKVKPKEQNLQFILINLERELVDIEREALQQERRKLLEEQSKQQLAARTLEEERSRLEKEKTRLEDFMQQIELKWDDQMSHFSEQPMETMVAGEIATLQELILAFSPRIHPDQQWCEKMLKAFPEPCTSPIPLTLKNVAVGYAVALGDIGRYPVEGTLLEWLLVGYSWIDSGKSFLSSKIVEPAMWAYTGATSMKTTRHWERALGFDTIKLTKNCIDDHEIKELLLINSSKLDRHLIPVFAEEHLYLMGRAIQVAWNVLVHPPIRRARRPGPARPDPAANAAALARNLAGGRAFELVLCLHPQQLCVGRLEIINPHRLMAFLRAERRVSEAAAAADQEPDQRAAKVAVEQGQWTARYVQGFGGAGILEKLELREFAVHVPSSPRSPPPAPSAAPRCPAVYNAPFIGLRRQVQGAWWGEDGSVAADQSLVEVLQGRRLSLEDEGRAEYDYLAAWLGAGASASGGSSTSSSSASGGPAGGASSVPTDTAGAAGRLAM
+>sp|Q9Y6R4|M3K4_HUMAN Mitogen-activated protein kinase kinase kinase 4 OS=Homo sapiens OX=9606 GN=MAP3K4 PE=1 SV=2
+MREAAAALVPPPAFAVTPAAAMEEPPPPPPPPPPPPEPETESEPECCLAARQEGTLGDSACKSPESDLEDFSDETNTENLYGTSPPSTPRQMKRMSTKHQRNNVGRPASRSNLKEKMNAPNQPPHKDTGKTVENVEEYSYKQEKKIRAALRTTERDRKKNVQCSFMLDSVGGSLPKKSIPDVDLNKPYLSLGCSNAKLPVSVPMPIARPARQTSRTDCPADRLKFFETLRLLLKLTSVSKKKDREQRGQENTSGFWLNRSNELIWLELQAWHAGRTINDQDFFLYTARQAIPDIINEILTFKVDYGSFAFVRDRAGFNGTSVEGQCKATPGTKIVGYSTHHEHLQRQRVSFEQVKRIMELLEYIEALYPSLQALQKDYEKYAAKDFQDRVQALCLWLNITKDLNQKLRIMGTVLGIKNLSDIGWPVFEIPSPRPSKGNEPEYEGDDTEGELKELESSTDESEEEQISDPRVPEIRQPIDNSFDIQSRDCISKKLERLESEDDSLGWGAPDWSTEAGFSRHCLTSIYRPFVDKALKQMGLRKLILRLHKLMDGSLQRARIALVKNDRPVEFSEFPDPMWGSDYVQLSRTPPSSEEKCSAVSWEELKAMDLPSFEPAFLVLCRVLLNVIHECLKLRLEQRPAGEPSLLSIKQLVRECKEVLKGGLLMKQYYQFMLQEVLEDLEKPDCNIDAFEEDLHKMLMVYFDYMRSWIQMLQQLPQASHSLKNLLEEEWNFTKEITHYIRGGEAQAGKLFCDIAGMLLKSTGSFLEFGLQESCAEFWTSADDSSASDEIRRSVIEISRALKELFHEARERASKALGFAKMLRKDLEIAAEFRLSAPVRDLLDVLKSKQYVKVQIPGLENLQMFVPDTLAEEKSIILQLLNAAAGKDCSKDSDDVLIDAYLLLTKHGDRARDSEDSWGTWEAQPVKVVPQVETVDTLRSMQVDNLLLVVMQSAHLTIQRKAFQQSIEGLMTLCQEQTSSQPVIAKALQQLKNDALELCNRISNAIDRVDHMFTSEFDAEVDESESVTLQQYYREAMIQGYNFGFEYHKEVVRLMSGEFRQKIGDKYISFARKWMNYVLTKCESGRGTRPRWATQGFDFLQAIEPAFISALPEDDFLSLQALMNECIGHVIGKPHSPVTGLYLAIHRNSPRPMKVPRCHSDPPNPHLIIPTPEGFSTRSMPSDARSHGSPAAAAAAAAAAVAASRPSPSGGDSVLPKSISSAHDTRGSSVPENDRLASIAAELQFRSLSRHSSPTEERDEPAYPRGDSSGSTRRSWELRTLISQSKDTASKLGPIEAIQKSVRLFEEKRYREMRRKNIIGQVCDTPKSYDNVMHVGLRKVTFKWQRGNKIGEGQYGKVYTCISVDTGELMAMKEIRFQPNDHKTIKETADELKIFEGIKHPNLVRYFGVELHREEMYIFMEYCDEGTLEEVSRLGLQEHVIRLYSKQITIAINVLHEHGIVHRDIKGANIFLTSSGLIKLGDFGCSVKLKNNAQTMPGEVNSTLGTAAYMAPEVITRAKGEGHGRAADIWSLGCVVIEMVTGKRPWHEYEHNFQIMYKVGMGHKPPIPERLSPEGKDFLSHCLESDPKMRWTASQLLDHSFVKVCTDEE
+>DECOY_sp|Q9Y6R4|M3K4_HUMAN Mitogen-activated protein kinase kinase kinase 4 OS=Homo sapiens OX=9606 GN=MAP3K4 PE=1 SV=2
+EEDTCVKVFSHDLLQSATWRMKPDSELCHSLFDKGEPSLREPIPPKHGMGVKYMIQFNHEYEHWPRKGTVMEIVVCGLSWIDAARGHGEGKARTIVEPAMYAATGLTSNVEGPMTQANNKLKVSCGFDGLKILGSSTLFINAGKIDRHVIGHEHLVNIAITIQKSYLRIVHEQLGLRSVEELTGEDCYEMFIYMEERHLEVGFYRVLNPHKIGEFIKLEDATEKITKHDNPQFRIEKMAMLEGTDVSICTYVKGYQGEGIKNGRQWKFTVKRLGVHMVNDYSKPTDCVQGIINKRRMERYRKEEFLRVSKQIAEIPGLKSATDKSQSILTRLEWSRRTSGSSDGRPYAPEDREETPSSHRSLSRFQLEAAISALRDNEPVSSGRTDHASSISKPLVSDGGSPSPRSAAVAAAAAAAAAAPSGHSRADSPMSRTSFGEPTPIILHPNPPDSHCRPVKMPRPSNRHIALYLGTVPSHPKGIVHGICENMLAQLSLFDDEPLASIFAPEIAQLFDFGQTAWRPRTGRGSECKTLVYNMWKRAFSIYKDGIKQRFEGSMLRVVEKHYEFGFNYGQIMAERYYQQLTVSESEDVEADFESTFMHDVRDIANSIRNCLELADNKLQQLAKAIVPQSSTQEQCLTMLGEISQQFAKRQITLHASQMVVLLLNDVQMSRLTDVTEVQPVVKVPQAEWTGWSDESDRARDGHKTLLLYADILVDDSDKSCDKGAAANLLQLIISKEEALTDPVFMQLNELGPIQVKVYQKSKLVDLLDRVPASLRFEAAIELDKRLMKAFGLAKSARERAEHFLEKLARSIEIVSRRIEDSASSDDASTWFEACSEQLGFELFSGTSKLLMGAIDCFLKGAQAEGGRIYHTIEKTFNWEEELLNKLSHSAQPLQQLMQIWSRMYDFYVMLMKHLDEEFADINCDPKELDELVEQLMFQYYQKMLLGGKLVEKCERVLQKISLLSPEGAPRQELRLKLCEHIVNLLVRCLVLFAPEFSPLDMAKLEEWSVASCKEESSPPTRSLQVYDSGWMPDPFESFEVPRDNKVLAIRARQLSGDMLKHLRLILKRLGMQKLAKDVFPRYISTLCHRSFGAETSWDPAGWGLSDDESELRELKKSICDRSQIDFSNDIPQRIEPVRPDSIQEEESEDTSSELEKLEGETDDGEYEPENGKSPRPSPIEFVPWGIDSLNKIGLVTGMIRLKQNLDKTINLWLCLAQVRDQFDKAAYKEYDKQLAQLSPYLAEIYELLEMIRKVQEFSVRQRQLHEHHTSYGVIKTGPTAKCQGEVSTGNFGARDRVFAFSGYDVKFTLIENIIDPIAQRATYLFFDQDNITRGAHWAQLELWILENSRNLWFGSTNEQGRQERDKKKSVSTLKLLLRLTEFFKLRDAPCDTRSTQRAPRAIPMPVSVPLKANSCGLSLYPKNLDVDPISKKPLSGGVSDLMFSCQVNKKRDRETTRLAARIKKEQKYSYEEVNEVTKGTDKHPPQNPANMKEKLNSRSAPRGVNNRQHKTSMRKMQRPTSPPSTGYLNETNTEDSFDELDSEPSKCASDGLTGEQRAALCCEPESETEPEPPPPPPPPPPPPEEMAAAPTVAFAPPPVLAAAAERM
+>sp|O95382|M3K6_HUMAN Mitogen-activated protein kinase kinase kinase 6 OS=Homo sapiens OX=9606 GN=MAP3K6 PE=1 SV=3
+MAGPCPRSGAERAGSCWQDPLAVALSRGRQLAAPPGRGCARSRPLSVVYVLTREPQPGLEPREGTEAEPLPLRCLREACAQVPRPRPPPQLRSLPFGTLELGDTAALDAFYNADVVVLEVSSSLVQPSLFYHLGVRESFSMTNNVLLCSQADLPDLQALREDVFQKNSDCVGSYTLIPYVVTATGRVLCGDAGLLRGLADGLVQAGVGTEALLTPLVGRLARLLEATPTDSCGYFRETIRRDIRQARERFSGPQLRQELARLQRRLDSVELLSPDIIMNLLLSYRDVQDYSAIIELVETLQALPTCDVAEQHNVCFHYTFALNRRNRPGDRAKALSVLLPLVQLEGSVAPDLYCMCGRIYKDMFFSSGFQDAGHREQAYHWYRKAFDVEPSLHSGINAAVLLIAAGQHFEDSKELRLIGMKLGCLLARKGCVEKMQYYWDVGFYLGAQILANDPTQVVLAAEQLYKLNAPIWYLVSVMETFLLYQHFRPTPEPPGGPPRRAHFWLHFLLQSCQPFKTACAQGDQCLVLVLEMNKVLLPAKLEVRGTDPVSTVTLSLLEPETQDIPSSWTFPVASICGVSASKRDERCCFLYALPPAQDVQLCFPSVGHCQWFCGLIQAWVTNPDSTAPAEEAEGAGEMLEFDYEYTETGERLVLGKGTYGVVYAGRDRHTRVRIAIKEIPERDSRFSQPLHEEIALHRRLRHKNIVRYLGSASQGGYLKIFMEEVPGGSLSSLLRSVWGPLKDNESTISFYTRQILQGLGYLHDNHIVHRDIKGDNVLINTFSGLLKISDFGTSKRLAGITPCTETFTGTLQYMAPEIIDQGPRGYGKAADIWSLGCTVIEMATGRPPFHELGSPQAAMFQVGMYKVHPPMPSSLSAEAQAFLLRTFEPDPRLRASAQTLLGDPFLQPGKRSRSPSSPRHAPRPSDAPSASPTPSANSTTQSQTFPCPQAPSQHPPSPPKRCLSYGGTSQLRVPEEPAAEEPASPEESSGLSLLHQESKRRAMLAAVLEQELPALAENLHQEQKQEQGARLGRNHVEELLRCLGAHIHTPNRRQLAQELRALQGRLRAQGLGPALLHRPLFAFPDAVKQILRKRQIRPHWMFVLDSLLSRAVRAALGVLGPEVEKEAVSPRSEELSNEGDSQQSPGQQSPLPVEPEQGPAPLMVQLSLLRAETDRLREILAGKEREYQALVQRALQRLNEEARTYVLAPEPPTALSTDQGLVQWLQELNVDSGTIQMLLNHSFTLHTLLTYATRDDLIYTRIRGGMVCRIWRAILAQRAGSTPVTSGP
+>DECOY_sp|O95382|M3K6_HUMAN Mitogen-activated protein kinase kinase kinase 6 OS=Homo sapiens OX=9606 GN=MAP3K6 PE=1 SV=3
+PGSTVPTSGARQALIARWIRCVMGGRIRTYILDDRTAYTLLTHLTFSHNLLMQITGSDVNLEQLWQVLGQDTSLATPPEPALVYTRAEENLRQLARQVLAQYEREKGALIERLRDTEARLLSLQVMLPAPGQEPEVPLPSQQGPSQQSDGENSLEESRPSVAEKEVEPGLVGLAARVARSLLSDLVFMWHPRIQRKRLIQKVADPFAFLPRHLLAPGLGQARLRGQLARLEQALQRRNPTHIHAGLCRLLEEVHNRGLRAGQEQKQEQHLNEALAPLEQELVAALMARRKSEQHLLSLGSSEEPSAPEEAAPEEPVRLQSTGGYSLCRKPPSPPHQSPAQPCPFTQSQTTSNASPTPSASPADSPRPAHRPSSPSRSRKGPQLFPDGLLTQASARLRPDPEFTRLLFAQAEASLSSPMPPHVKYMGVQFMAAQPSGLEHFPPRGTAMEIVTCGLSWIDAAKGYGRPGQDIIEPAMYQLTGTFTETCPTIGALRKSTGFDSIKLLGSFTNILVNDGKIDRHVIHNDHLYGLGQLIQRTYFSITSENDKLPGWVSRLLSSLSGGPVEEMFIKLYGGQSASGLYRVINKHRLRRHLAIEEHLPQSFRSDREPIEKIAIRVRTHRDRGAYVVGYTGKGLVLREGTETYEYDFELMEGAGEAEEAPATSDPNTVWAQILGCFWQCHGVSPFCLQVDQAPPLAYLFCCREDRKSASVGCISAVPFTWSSPIDQTEPELLSLTVTSVPDTGRVELKAPLLVKNMELVLVLCQDGQACATKFPQCSQLLFHLWFHARRPPGGPPEPTPRFHQYLLFTEMVSVLYWIPANLKYLQEAALVVQTPDNALIQAGLYFGVDWYYQMKEVCGKRALLCGLKMGILRLEKSDEFHQGAAILLVAANIGSHLSPEVDFAKRYWHYAQERHGADQFGSSFFMDKYIRGCMCYLDPAVSGELQVLPLLVSLAKARDGPRNRRNLAFTYHFCVNHQEAVDCTPLAQLTEVLEIIASYDQVDRYSLLLNMIIDPSLLEVSDLRRQLRALEQRLQPGSFRERAQRIDRRITERFYGCSDTPTAELLRALRGVLPTLLAETGVGAQVLGDALGRLLGADGCLVRGTATVVYPILTYSGVCDSNKQFVDERLAQLDPLDAQSCLLVNNTMSFSERVGLHYFLSPQVLSSSVELVVVDANYFADLAATDGLELTGFPLSRLQPPPRPRPVQACAERLCRLPLPEAETGERPELGPQPERTLVYVVSLPRSRACGRGPPAALQRGRSLAVALPDQWCSGAREAGSRPCPGAM
+>sp|Q9H9H5|MA6D1_HUMAN MAP6 domain-containing protein 1 OS=Homo sapiens OX=9606 GN=MAP6D1 PE=1 SV=1
+MAWPCISRLCCLARRWNQLDRSDVAVPLTLHGYSDLDSEEPGTGGAASRRGQPPAGARDSGRDVPLTQYQRDFGLWTTPAGPKDPPPGRGPGAGGRRGKSSAQSSAPPAPGARGVYVLPIGDADAAAAVTTSYRQEFQAWTGVKPSRSTKTKPARVITTHTSGWDSSPGAGFQVPEVRKKFTPNPSAIFQASAPRILNV
+>DECOY_sp|Q9H9H5|MA6D1_HUMAN MAP6 domain-containing protein 1 OS=Homo sapiens OX=9606 GN=MAP6D1 PE=1 SV=1
+VNLIRPASAQFIASPNPTFKKRVEPVQFGAGPSSDWGSTHTTIVRAPKTKTSRSPKVGTWAQFEQRYSTTVAAAADADGIPLVYVGRAGPAPPASSQASSKGRRGGAGPGRGPPPDKPGAPTTWLGFDRQYQTLPVDRGSDRAGAPPQGRRSAAGGTGPEESDLDSYGHLTLPVAVDSRDLQNWRRALCCLRSICPWAM
+>sp|Q3KQU3|MA7D1_HUMAN MAP7 domain-containing protein 1 OS=Homo sapiens OX=9606 GN=MAP7D1 PE=1 SV=1
+MESGPRAELGAGAPPAVVARTPPEPRPSPEGDPSPPPPPMSALVPDTPPDTPPAMKNATSSKQLPLEPESPSGQVGPRPAPPQEESPSSEAKSRGPTPPAMGPRDARPPRRSSQPSPTAVPASDSPPTKQEVKKAGERHKLAKERREERAKYLAAKKAVWLEKEEKAKALREKQLQERRRRLEEQRLKAEQRRAALEERQRQKLEKNKERYEAAIQRSVKKTWAEIRQQRWSWAGALHHSSPGHKTSGSRCSVSAVNLPKHVDSIINKRLSKSSATLWNSPSRNRSLQLSAWESSIVDRLMTPTLSFLARSRSAVTLPRNGRDQGRGCDPGRGPTWGRAGASLARGPQPDRTHPSAAVPVCPRSASASPLTPCSVTRSVHRCAPAGERGERRKPNAGGSPAPVRRRPEASPVQKKEKKDKERENEKEKSALARERSLKKRQSLPASPRARLSASTASELSPKSKARPSSPSTSWHRPASPCPSPGPGHTLPPKPPSPRGTTASPKGRVRRKEEAKESPSAAGPEDKSQSKRRASNEKESAAPASPAPSPAPSPTPAPPQKEQPPAETPTDAAVLTSPPAPAPPVTPSKPMAGTTDREEATRLLAEKRRQAREQREREEQERRLQAERDKRMREEQLAREAEARAEREAEARRREEQEAREKAQAEQEEQERLQKQKEEAEARSREEAERQRLEREKHFQQQEQERQERRKRLEEIMKRTRKSEVSETKQKQDSKEANANGSSPEPVKAVEARSPGLQKEAVQKEEPIPQEPQWSLPSKELPASLVNGLQPLPAHQENGFSTNGPSGDKSLSRTPETLLPFAEAEAFLKKAVVQSPQVTEVL
+>DECOY_sp|Q3KQU3|MA7D1_HUMAN MAP7 domain-containing protein 1 OS=Homo sapiens OX=9606 GN=MAP7D1 PE=1 SV=1
+LVETVQPSQVVAKKLFAEAEAFPLLTEPTRSLSKDGSPGNTSFGNEQHAPLPQLGNVLSAPLEKSPLSWQPEQPIPEEKQVAEKQLGPSRAEVAKVPEPSSGNANAEKSDQKQKTESVESKRTRKMIEELRKRREQREQEQQQFHKERELRQREAEERSRAEAEEKQKQLREQEEQEAQAKERAEQEERRRAEAEREARAEAERALQEERMRKDREAQLRREQEERERQERAQRRKEALLRTAEERDTTGAMPKSPTVPPAPAPPSTLVAADTPTEAPPQEKQPPAPTPSPAPSPAPSAPAASEKENSARRKSQSKDEPGAASPSEKAEEKRRVRGKPSATTGRPSPPKPPLTHGPGPSPCPSAPRHWSTSPSSPRAKSKPSLESATSASLRARPSAPLSQRKKLSRERALASKEKENEREKDKKEKKQVPSAEPRRRVPAPSGGANPKRREGREGAPACRHVSRTVSCPTLPSASASRPCVPVAASPHTRDPQPGRALSAGARGWTPGRGPDCGRGQDRGNRPLTVASRSRALFSLTPTMLRDVISSEWASLQLSRNRSPSNWLTASSKSLRKNIISDVHKPLNVASVSCRSGSTKHGPSSHHLAGAWSWRQQRIEAWTKKVSRQIAAEYREKNKELKQRQREELAARRQEAKLRQEELRRRREQLQKERLAKAKEEKELWVAKKAALYKAREERREKALKHREGAKKVEQKTPPSDSAPVATPSPQSSRRPPRADRPGMAPPTPGRSKAESSPSEEQPPAPRPGVQGSPSEPELPLQKSSTANKMAPPTDPPTDPVLASMPPPPPSPDGEPSPRPEPPTRAVVAPPAGAGLEARPGSEM
+>sp|Q8IWC1|MA7D3_HUMAN MAP7 domain-containing protein 3 OS=Homo sapiens OX=9606 GN=MAP7D3 PE=1 SV=2
+MMADGAAAGAGGSPSLRELRARMVAAANEIAKERRKQDVVNRVATHSSNIRSTFKPVIDGSMLKNDIKQRLARERREEKRRQQDANKETQLLEKERKTKLQYEKQMEERQRKLKERKEKEEQRRIAAEEKRHQKDEAQKEKFTAILYRTLERRRLADDYQQKRWSWGGSAMANSESKTANKRSASTEKLEQGTSALIRQMPLSSAGLQNSVAKRKTDKERSSSLNRRDSNLHSSTDKEQAERKPRVTGVTNYVMQYVTVPLRKCTSDELRAVMFPMSTMKIPPQTKVEESPLEKVETPPKASVDAPPQVNVEVFCNTSMEASPKAGVGMAPEVSTDSFPVVSVDVSPVVSTYDSEMSMDASPELSIEALPKVDLETVPKVSIVASPEASLEAPPEVSLEALPEVSVEAAPEGSLEAPPKGSAEVAPKESVKGSPKESMEASPEAMVKASPKTSLEASMEASPKAKARDAPKKSEMDKQALIPIAKKRLSSYTECYKWSSSPENACGLPSPISTNRQIQKNCPPSPLPLISKQSPQTSFPYKIMPIQHTLSVQSASSTVKKKKETVSKTTNRCEALSQRHMIYEESGNKSTAGIMNAEAATKILTELRRLAREQREKEEEERQREEMQQRVIKKSKDMAKEAVGGQAEDHLKLKDGQQQNETKKKKGWLDQEDQEAPLQKGDAKIKAQEEADKRKKEHERIMLQNLQERLERKKRIEEIMKRTRKTDVNASKVTETSSHDIYEEAEADNEESDKDSLNEMFPSAILNGTGSPTKFKMPFNNAKKMTHKLVFLEDGTSQVRKEPKTYFNGDLKNFRQKSMKDTSIQEVVSRPSSKRMTSHTTKTRKADETNTTSRSSAQTKSEGFHDILPKSSDTFRQ
+>DECOY_sp|Q8IWC1|MA7D3_HUMAN MAP7 domain-containing protein 3 OS=Homo sapiens OX=9606 GN=MAP7D3 PE=1 SV=2
+QRFTDSSKPLIDHFGESKTQASSRSTTNTEDAKRTKTTHSTMRKSSPRSVVEQISTDKMSKQRFNKLDGNFYTKPEKRVQSTGDELFVLKHTMKKANNFPMKFKTPSGTGNLIASPFMENLSDKDSEENDAEAEEYIDHSSTETVKSANVDTKRTRKMIEEIRKKRELREQLNQLMIREHEKKRKDAEEQAKIKADGKQLPAEQDEQDLWGKKKKTENQQQGDKLKLHDEAQGGVAEKAMDKSKKIVRQQMEERQREEEEKERQERALRRLETLIKTAAEANMIGATSKNGSEEYIMHRQSLAECRNTTKSVTEKKKKVTSSASQVSLTHQIPMIKYPFSTQPSQKSILPLPSPPCNKQIQRNTSIPSPLGCANEPSSSWKYCETYSSLRKKAIPILAQKDMESKKPADRAKAKPSAEMSAELSTKPSAKVMAEPSAEMSEKPSGKVSEKPAVEASGKPPAELSGEPAAEVSVEPLAELSVEPPAELSAEPSAVISVKPVTELDVKPLAEISLEPSADMSMESDYTSVVPSVDVSVVPFSDTSVEPAMGVGAKPSAEMSTNCFVEVNVQPPADVSAKPPTEVKELPSEEVKTQPPIKMTSMPFMVARLEDSTCKRLPVTVYQMVYNTVGTVRPKREAQEKDTSSHLNSDRRNLSSSREKDTKRKAVSNQLGASSLPMQRILASTGQELKETSASRKNATKSESNAMASGGWSWRKQQYDDALRRRELTRYLIATFKEKQAEDKQHRKEEAAIRRQEEKEKREKLKRQREEMQKEYQLKTKREKELLQTEKNADQQRRKEERRERALRQKIDNKLMSGDIVPKFTSRINSSHTAVRNVVDQKRREKAIENAAAVMRARLERLSPSGGAGAAAGDAMM
+>sp|A1Z1Q3|MACD2_HUMAN O-acetyl-ADP-ribose deacetylase MACROD2 OS=Homo sapiens OX=9606 GN=MACROD2 PE=1 SV=1
+MYPSNKKKKVWREEKERLLKMTLEERRKEYLRDYIPLNSILSWKEEMKGKGQNDEENTQETSQVKKSLTEKVSLYRGDITLLEVDAIVNAANASLLGGGGVDGCIHRAAGPCLLAECRNLNGCDTGHAKITCGYDLPAKYVIHTVGPIARGHINGSHKEDLANCYKSSLKLVKENNIRSVAFPCISTGIYGFPNEPAAVIALNTIKEWLAKNHHEVDRIIFCVFLEVDFKIYKKKMNEFFSVDDNNEEEEDVEMKEDSDENGPEEKQSVEEMEEQSQDADGVNTVTVPGPASEEAVEDCKDEDFAKDENITKGGEVTDHSVRDQDHPDGQENDSTKNEIKIETESQSSYMETEELSSNQEDAVIVEQPEVIPLTEDQEEKEGEKAPGEDTPRMPGKSEGSSDLENTPGPDVEMNSQVDKVNDPTESQQEDQLIAGAQDEAKEQRNGTK
+>DECOY_sp|A1Z1Q3|MACD2_HUMAN O-acetyl-ADP-ribose deacetylase MACROD2 OS=Homo sapiens OX=9606 GN=MACROD2 PE=1 SV=1
+KTGNRQEKAEDQAGAILQDEQQSETPDNVKDVQSNMEVDPGPTNELDSSGESKGPMRPTDEGPAKEGEKEEQDETLPIVEPQEVIVADEQNSSLEETEMYSSQSETEIKIENKTSDNEQGDPHDQDRVSHDTVEGGKTINEDKAFDEDKCDEVAEESAPGPVTVTNVGDADQSQEEMEEVSQKEEPGNEDSDEKMEVDEEEENNDDVSFFENMKKKYIKFDVELFVCFIIRDVEHHNKALWEKITNLAIVAAPENPFGYIGTSICPFAVSRINNEKVLKLSSKYCNALDEKHSGNIHGRAIPGVTHIVYKAPLDYGCTIKAHGTDCGNLNRCEALLCPGAARHICGDVGGGGLLSANAANVIADVELLTIDGRYLSVKETLSKKVQSTEQTNEEDNQGKGKMEEKWSLISNLPIYDRLYEKRREELTMKLLREKEERWVKKKKNSPYM
+>sp|Q9UPN3|MACF1_HUMAN Microtubule-actin cross-linking factor 1, isoforms 1/2/3/5 OS=Homo sapiens OX=9606 GN=MACF1 PE=1 SV=4
+MSSSDEETLSERSCRSERSCRSERSYRSERSGSLSPCPPGDTLPWNLPLHEQKKRKSQDSVLDPAERAVVRVADERDRVQKKTFTKWVNKHLMKVRKHINDLYEDLRDGHNLISLLEVLSGIKLPREKGRMRFHRLQNVQIALDFLKQRQVKLVNIRNDDITDGNPKLTLGLIWTIILHFQISDIYISGESGDMSAKEKLLLWTQKVTAGYTGIKCTNFSSCWSDGKMFNALIHRYRPDLVDMERVQIQSNRENLEQAFEVAERLGVTRLLDAEDVDVPSPDEKSVITYVSSIYDAFPKVPEGGEGISATEVDSRWQEYQSRVDSLIPWIKQHTILMSDKTFPQNPVELKALYNQYIHFKETEILAKEREKGRIEELYKLLEVWIEFGRIKLPQGYHPNDVEEEWGKLIIEMLEREKSLRPAVERLELLLQIANKIQNGALNCEEKLTLAKNTLQADAAHLESGQPVQCESDVIMYIQECEGLIRQLQVDLQILRDENYYQLEELAFRVMRLQDELVTLRLECTNLYRKGHFTSLELVPPSTLTTTHLKAEPLTKATHSSSTSWFRKPMTRAELVAISSSEDEGNLRFVYELLSWVEEMQMKLERAEWGNDLPSVELQLETQQHIHTSVEELGSSVKEARLYEGKMSQNFHTSYAETLGKLETQYCKLKETSSFRMRHLQSLHKFVSRATAELIWLNEKEEEELAYDWSDNNSNISAKRNYFSELTMELEEKQDVFRSLQDTAELLSLENHPAKQTVEAYSAAVQSQLQWMKQLCLCVEQHVKENTAYFQFFSDARELESFLRNLQDSIKRKYSCDHNTSLSRLEDLLQDSMDEKEQLIQSKSSVASLVGRSKTIVQLKPRSPDHVLKNTISVKAVCDYRQIEITICKNDECVLEDNSQRTKWKVISPTGNEAMVPSVCFLIPPPNKDAIEMASRVEQSYQKVMALWHQLHVNTKSLISWNYLRKDLDLVQTWNLEKLRSSAPGECHQIMKNLQAHYEDFLQDSRDSVLFSVADRLRLEEEVEACKARFQHLMKSMENEDKEETVAKMYISELKNIRLRLEEYEQRVVKRIQSLASSRTDRDAWQDNALRIAEQEHTQEDLQQLRSDLDAVSMKCDSFLHQSPSSSSVPTLRSELNLLVEKMDHVYGLSTVYLNKLKTVDVIVRSIQDAELLVKGYEIKLSQEEVVLADLSALEAHWSTLRHWLSDVKDKNSVFSVLDEEIAKAKVVAEQMSRLTPERNLDLERYQEKGSQLQERWHRVIAQLEIRQSELESIQEVLGDYRACHGTLIKWIEETTAQQEMMKPGQAEDSRVLSEQLSQQTALFAEIERNQTKLDQCQKFSQQYSTIVKDYELQLMTYKAFVESQQKSPGKRRRMLSSSDAITQEFMDLRTRYTALVTLTTQHVKYISDALRRLEEEEKVVEEEKQEHVEKVKELLGWVSTLARNTQGKATSSETKESTDIEKAILEQQVLSEELTTKKEQVSEAIKTSQIFLAKHGHKLSEKEKKQISEQLNALNKAYHDLCDGSANQLQQLQSQLAHQTEQKECRAVAGVIDLGTVEIFPIFKAMQKGLLDQDTGLVLLESQVIMSGLIAPETGENLSLEEGIARNLINPQMYQQLRELQDALALISRLTESRGPLSVVEAIEKRIISETVGLKILEAHLATGGFSLSPSENCINLEEAFHQGLISAWLHSVLESYLRTSKNLIDPNTAEKIGLLDLMQRCIVHQESGFKLLPVKQLAGGMVSLKSGRKVSIFRAVQEGLIDRQVTVRLLEAQLFAGGIVDPRTGHRLTVEEAVRHNLIDQDMACAILIRQLQTGGIIDTVTGQRLTIDEAVSNDLVAAKIALVILESLWSFMGLLWPESGEILPITDALEQGIVSTELAHKILSNRQHIKALFLPATTEILSWKKAIESGILDRDLANNLKSICIPDVMPHMQLADSAEQNINPGAAVLPCSKSHPKATASQSENLLFQLMTHSYINVQNGQRLLLLDKELMETLTSRDEYQTSPPKVVEIGHQRQKTPEGLQESANVKISGTFSSGWTVRLPEFQFSSQNKEYPDREDCTTEKGKKTTVETEDSSVENPEQDLFVEQKERNPNIDALKVINKVKLEVQRQLIGTQREDQTAVSVRENASRGHLLTIPPAEAEGVPLVVDKDVFSVETPKKEHQPLRNTSFTCQNEQAHTLETEYIHDETGGSHIKPQSKKLQVQVKKTLGIKLELKSETDGNVHPLDKKEMLKKTFLAKDDHKESQEAQNIAGGSMMMSEKTDEEDSGREIFLSCSHPLELLEEATLNVLSAQLLDGGIFHEQTGQKLLLNEAISRGIVPSHTAVKLMEKLNMFQGFFDSQTCESLTTEEVINEGLMDEKLLHNVLMADKAISGVLDPRTQTLCSVKDAVTVGLLDKETATRILERQVVTGGIIDLKRGKKVSVTLASTLGLVDVADQPELINLEKASKGRDAEKTVRERLISLQMETTGLIDPDSKAPLTVVQSIDRGLLEREEAVRLLTKQVVDGGIIHHISGMRLSVDNAFRHGLIGEDLAEKLKRVENLNIHQIFNPETKENISLPKAIKLDLITSDLKREIQEVQAFTGNFVDLISGQRLTLAEAKKEGLLTNEAVLSPGMMHGIVDPENCRIVPYSELVKKCKIDIESGQRYLEVIPFSDIKDGVSDKVLTLSQAIQLGKVDFASTLKVLEAQANTGGIIDTATGKRLTLASALEEKLVDENMVRIIASHQVLNGGIVDIFSDQRVTLVEAIEKRLISPELANMIQIDSSEFSDHRAQIEKQEGIEVCALQNEFLGKDMLIACNQTAEMSCNKVEESERLFQVENQSAQEKVKVRVSDGEQAKKSREISLKEFGCKDQRKPRMSSDAKEFISIINPHNLKGKSLGQVSLTHPYSECDFKLKEVARNNMGNDTNEEQEKAVTKIEIISHMKQSTSCLDSEEIRENQGEVILEVQETYCETSGKLPSEQVLQQPMNARVKSKREKREVIVEESIRTCKPAFLSEEKLYQETAIRDEHDSHIKSQPREMTSSEKGKEADTEMGFSITFKIEESSSQVVPQGISVKHLDALTLFSSKQANEGKVNNLSLCLTLKPEENLSREIACGAQSEPFPCMTPRPEGLHYQESDGKAQVTGPSQISKTDKSFQGTTRQETNYQDSWVTSKTKETKHQISSSNECKEKSYQEVSFDPARGLKLEEITVSRPDSKEVRYLEFSDRKDLHHQGSKSDDKLCGTLKSEIATQELTGEKFLEMANPNVAGLEAGSIEDIVTQRGSRVLGSFLPEKLFKGVSQKENTGQQNAIISPTVLETSEEKTVSLTVCSAVKTEKTPQEKLRESPGSEQTPFMTAPEGKGNGGVNPEPFRATQNVFTRQLCLEHDEKLVSYLSLLRNIEMRTKQIQPLELNLAELQDLLCQAKVLERELKDLTTLVSQELECVNQIIISQPQEVPAQLLKALEKDAKNLQKSLSSVSDTWNSRLLHFQNAVEIEKTKVLNQHTQLEGRLQDLRAWVGNKNLILNSKGSNSEIDVDSLNLCLQQYEDLKQPMAERKAQLDALAFDIQFFISEHAQDLSPQQNRQMLRLLNELQRSFQDILEQTAAQVDALQGHLQQMEQEALVKTLQKQQNTCHQQLEDLCSWVGQAERALAGHQGRTTQQDLSALQKNQSDLKDLQDDIQNRATSFATVVKDIEGFMEENQTKLSPRELTALREKLHQAKEQYEALQEETRVAQKELEEAVTSALQQETEKSKAAKELAENKKKIDALLDWVTSVGSSGGQLLTNLPGMEQLSGASLEKGALDTTDGYMGVNQAPEKLDKQCEMMKARHQELLSQQQNFILATQSAQAFLDQHGHNLTPEEQQMLQQKLGELKEQYSTSLAQSEAELKQVQTLQDELQKFLQDHKEFESWLERSEKELENMHKGGSSPETLPSLLKRQGSFSEDVISHKGDLRFVTISGQKVLDMENSFKEGKEPSEIGNLVKDKLKDATERYTALHSKCTRLGSHLNMLLGQYHQFQNSADSLQAWMQACEANVEKLLSDTVASDPGVLQEQLATTKQLQEELAEHQVPVEKLQKVARDIMEIEGEPAPDHRHVQETTDSILSHFQSLSYSLAERSSLLQKAIAQSQSVQESLESLLQSIGEVEQNLEGKQVSSLSSGVIQEALATNMKLKQDIARQKSSLEATREMVTRFMETADSTTAAVLQGKLAEVSQRFEQLCLQQQEKESSLKKLLPQAEMFEHLSGKLQQFMENKSRMLASGNQPDQDITHFFQQIQELNLEMEDQQENLDTLEHLVTELSSCGFALDLCQHQDRVQNLRKDFTELQKTVKEREKDASSCQEQLDEFRKLVRTFQKWLKETEGSIPPTETSMSAKELEKQIEHLKSLLDDWASKGTLVEEINCKGTSLENLIMEITAPDSQGKTGSILPSVGSSVGSVNGYHTCKDLTEIQCDMSDVNLKYEKLGGVLHERQESLQAILNRMEEVHKEANSVLQWLESKEEVLKSMDAMSSPTKTETVKAQAESNKAFLAELEQNSPKIQKVKEALAGLLVTYPNSQEAENWKKIQEELNSRWERATEVTVARQRQLEESASHLACFQAAESQLRPWLMEKELMMGVLGPLSIDPNMLNAQKQQVQFMLKEFEARRQQHEQLNEAAQGILTGPGDVSLSTSQVQKELQSINQKWVELTDKLNSRSSQIDQAIVKSTQYQELLQDLSEKVRAVGQRLSVQSAISTQPEAVKQQLEETSEIRSDLEQLDHEVKEAQTLCDELSVLIGEQYLKDELKKRLETVALPLQGLEDLAADRINRLQAALASTQQFQQMFDELRTWLDDKQSQQAKNCPISAKLERLQSQLQENEEFQKSLNQHSGSYEVIVAEGESLLLSVPPGEEKRTLQNQLVELKNHWEELSKKTADRQSRLKDCMQKAQKYQWHVEDLVPWIEDCKAKMSELRVTLDPVQLESSLLRSKAMLNEVEKRRSLLEILNSAADILINSSEADEDGIRDEKAGINQNMDAVTEELQAKTGSLEEMTQRLREFQESFKNIEKKVEGAKHQLEIFDALGSQACSNKNLEKLRAQQEVLQALEPQVDYLRNFTQGLVEDAPDGSDASQLLHQAEVAQQEFLEVKQRVNSGCVMMENKLEGIGQFHCRVREMFSQLADLDDELDGMGAIGRDTDSLQSQIEDVRLFLNKIHVLKLDIEASEAECRHMLEEEGTLDLLGLKRELEALNKQCGKLTERGKARQEQLELTLGRVEDFYRKLKGLNDATTAAEEAEALQWVVGTEVEIINQQLADFKMFQKEQVDPLQMKLQQVNGLGQGLIQSAGKDCDVQGLEHDMEEINARWNTLNKKVAQRIAQLQEALLHCGKFQDALEPLLSWLADTEELIANQKPPSAEYKVVKAQIQEQKLLQRLLDDRKATVDMLQAEGGRIAQSAELADREKITGQLESLESRWTELLSKAAARQKQLEDILVLAKQFHETAEPISDFLSVTEKKLANSEPVGTQTAKIQQQIIRHKALNEEIVNRKKNVDQAIKNGQALLKQTTGEEVLLIQEKLDGIKTRYADITVTSSKALRTLEQARQLATKFQSTYEELTGWLREVEEELATSGGQSPTGEQIPQFQQRQKELKKEVMEHRLVLDTVNEVSRALLELVPWRAREGLDKLVSDANEQYKLVSDTIGQRVDEIDAAIQRSQQYEQAADAELAWVAETKRKLMALGPIRLEQDQTTAQLQVQKAFSIDIIRHKDSMDELFSHRSEIFGTCGEEQKTVLQEKTESLIQQYEAISLLNSERYARLERAQVLVNQFWETYEELSPWIEETRALIAQLPSPAIDHEQLRQQQEEMRQLRESIAEHKPHIDKLLKIGPQLKELNPEEGEMVEEKYQKAENMYAQIKEEVRQRALALDEAVSQSTQITEFHDKIEPMLETLENLSSRLRMPPLIPAEVDKIRECISDNKSATVELEKLQPSFEALKRRGEELIGRSQGADKDLAAKEIQDKLDQMVFFWEDIKARAEEREIKFLDVLELAEKFWYDMAALLTTIKDTQDIVHDLESPGIDPSIIKQQVEAAETIKEETDGLHEELEFIRILGADLIFACGETEKPEVRKSIDEMNNAWENLNKTWKERLEKLEDAMQAAVQYQDTLQAMFDWLDNTVIKLCTMPPVGTDLNTVKDQLNEMKEFKVEVYQQQIEMEKLNHQGELMLKKATDETDRDIIREPLTELKHLWENLGEKIAHRQHKLEGALLALGQFQHALEELMSWLTHTEELLDAQRPISGDPKVIEVELAKHHVLKNDVLAHQATVETVNKAGNELLESSAGDDASSLRSRLEAMNQCWESVLQKTEEREQQLQSTLQQAQGFHSEIEDFLLELTRMESQLSASKPTGGLPETAREQLDTHMELYSQLKAKEETYNQLLDKGRLMLLSRDDSGSGSKTEQSVALLEQKWHVVSSKMEERKSKLEEALNLATEFQNSLQEFINWLTLAEQSLNIASPPSLILNTVLSQIEEHKVFANEVNAHRDQIIELDQTGNQLKFLSQKQDVVLIKNLLVSVQSRWEKVVQRSIERGRSLDDARKRAKQFHEAWKKLIDWLEDAESHLDSELEISNDPDKIKLQLSKHKEFQKTLGGKQPVYDTTIRTGRALKEKTLLPEDSQKLDNFLGEVRDKWDTVCGKSVERQHKLEEALLFSGQFMDALQALVDWLYKVEPQLAEDQPVHGDLDLVMNLMDAHKVFQKELGKRTGTVQVLKRSGRELIENSRDDTTWVKGQLQELSTRWDTVCKLSVSKQSRLEQALKQAEVFRDTVHMLLEWLSEAEQTLRFRGALPDDTEALQSLIDTHKEFMKKVEEKRVDVNSAVAMGEVILAVCHPDCITTIKHWITIIRARFEEVLTWAKQHQQRLETALSELVANAELLEELLAWIQWAETTLIQRDQEPIPQNIDRVKALIAEHQTFMEEMTRKQPDVDRVTKTYKRKNIEPTHAPFIEKSRSGGRKSLSQPTPPPMPILSQSEAKNPRINQLSARWQQVWLLALERQRKLNDALDRLEELKEFANFDFDVWRKKYMRWMNHKKSRVMDFFRRIDKDQDGKITRQEFIDGILASKFPTTKLEMTAVADIFDRDGDGYIDYYEFVAALHPNKDAYRPTTDADKIEDEVTRQVAQCKCAKRFQVEQIGENKYRFGDSQQLRLVRILRSTVMVRVGGGWMALDEFLVKNDPCRARGRTNIELREKFILPEGASQGMTPFRSRGRRSKPSSRAASPTRSSSSASQSNHSCTSMPSSPATPASGTKVIPSSGSKLKRPTPTFHSSRTSLAGDTSNSSSPASTGAKTNRADPKKSASRPGSRAGSRAGSRASSRRGSDASDFDLLETQSACSDTSESSAAGGQGNSRRGLNKPSKIPTMSKKTTTASPRTPGPKR
+>DECOY_sp|Q9UPN3|MACF1_HUMAN Microtubule-actin cross-linking factor 1, isoforms 1/2/3/5 OS=Homo sapiens OX=9606 GN=MACF1 PE=1 SV=4
+RKPGPTRPSATTTKKSMTPIKSPKNLGRRSNGQGGAASSESTDSCASQTELLDFDSADSGRRSSARSGARSGARSGPRSASKKPDARNTKAGTSAPSSSNSTDGALSTRSSHFTPTPRKLKSGSSPIVKTGSAPTAPSSPMSTCSHNSQSASSSSRTPSAARSSPKSRRGRSRFPTMGQSAGEPLIFKERLEINTRGRARCPDNKVLFEDLAMWGGGVRVMVTSRLIRVLRLQQSDGFRYKNEGIQEVQFRKACKCQAVQRTVEDEIKDADTTPRYADKNPHLAAVFEYYDIYGDGDRDFIDAVATMELKTTPFKSALIGDIFEQRTIKGDQDKDIRRFFDMVRSKKHNMWRMYKKRWVDFDFNAFEKLEELRDLADNLKRQRELALLWVQQWRASLQNIRPNKAESQSLIPMPPPTPQSLSKRGGSRSKEIFPAHTPEINKRKYTKTVRDVDPQKRTMEEMFTQHEAILAKVRDINQPIPEQDRQILTTEAWQIWALLEELLEANAVLESLATELRQQHQKAWTLVEEFRARIITIWHKITTICDPHCVALIVEGMAVASNVDVRKEEVKKMFEKHTDILSQLAETDDPLAGRFRLTQEAESLWELLMHVTDRFVEAQKLAQELRSQKSVSLKCVTDWRTSLEQLQGKVWTTDDRSNEILERGSRKLVQVTGTRKGLEKQFVKHADMLNMVLDLDGHVPQDEALQPEVKYLWDVLAQLADMFQGSFLLAEELKHQREVSKGCVTDWKDRVEGLFNDLKQSDEPLLTKEKLARGTRITTDYVPQKGGLTKQFEKHKSLQLKIKDPDNSIELESDLHSEADELWDILKKWAEHFQKARKRADDLSRGREISRQVVKEWRSQVSVLLNKILVVDQKQSLFKLQNGTQDLEIIQDRHANVENAFVKHEEIQSLVTNLILSPPSAINLSQEALTLWNIFEQLSNQFETALNLAEELKSKREEMKSSVVHWKQELLAVSQETKSGSGSDDRSLLMLRGKDLLQNYTEEKAKLQSYLEMHTDLQERATEPLGGTPKSASLQSEMRTLELLFDEIESHFGQAQQLTSQLQQEREETKQLVSEWCQNMAELRSRLSSADDGASSELLENGAKNVTEVTAQHALVDNKLVHHKALEVEIVKPDGSIPRQADLLEETHTLWSMLEELAHQFQGLALLAGELKHQRHAIKEGLNEWLHKLETLPERIIDRDTEDTAKKLMLEGQHNLKEMEIQQQYVEVKFEKMENLQDKVTNLDTGVPPMTCLKIVTNDLWDFMAQLTDQYQVAAQMADELKELREKWTKNLNEWANNMEDISKRVEPKETEGCAFILDAGLIRIFELEEHLGDTEEKITEAAEVQQKIISPDIGPSELDHVIDQTDKITTLLAAMDYWFKEALELVDLFKIEREEARAKIDEWFFVMQDLKDQIEKAALDKDAGQSRGILEEGRRKLAEFSPQLKELEVTASKNDSICERIKDVEAPILPPMRLRSSLNELTELMPEIKDHFETIQTSQSVAEDLALARQRVEEKIQAYMNEAKQYKEEVMEGEEPNLEKLQPGIKLLKDIHPKHEAISERLQRMEEQQQRLQEHDIAPSPLQAILARTEEIWPSLEEYTEWFQNVLVQARELRAYRESNLLSIAEYQQILSETKEQLVTKQEEGCTGFIESRHSFLEDMSDKHRIIDISFAKQVQLQATTQDQELRIPGLAMLKRKTEAVWALEADAAQEYQQSRQIAADIEDVRQGITDSVLKYQENADSVLKDLGERARWPVLELLARSVENVTDLVLRHEMVEKKLEKQRQQFQPIQEGTPSQGGSTALEEEVERLWGTLEEYTSQFKTALQRAQELTRLAKSSTVTIDAYRTKIGDLKEQILLVEEGTTQKLLAQGNKIAQDVNKKRNVIEENLAKHRIIQQQIKATQTGVPESNALKKETVSLFDSIPEATEHFQKALVLIDELQKQRAAAKSLLETWRSELSELQGTIKERDALEASQAIRGGEAQLMDVTAKRDDLLRQLLKQEQIQAKVVKYEASPPKQNAILEETDALWSLLPELADQFKGCHLLAEQLQAIRQAVKKNLTNWRANIEEMDHELGQVDCDKGASQILGQGLGNVQQLKMQLPDVQEKQFMKFDALQQNIIEVETGVVWQLAEAEEAATTADNLGKLKRYFDEVRGLTLELQEQRAKGRETLKGCQKNLAELERKLGLLDLTGEEELMHRCEAESAEIDLKLVHIKNLFLRVDEIQSQLSDTDRGIAGMGDLEDDLDALQSFMERVRCHFQGIGELKNEMMVCGSNVRQKVELFEQQAVEAQHLLQSADSGDPADEVLGQTFNRLYDVQPELAQLVEQQARLKELNKNSCAQSGLADFIELQHKAGEVKKEINKFSEQFERLRQTMEELSGTKAQLEETVADMNQNIGAKEDRIGDEDAESSNILIDAASNLIELLSRRKEVENLMAKSRLLSSELQVPDLTVRLESMKAKCDEIWPVLDEVHWQYKQAKQMCDKLRSQRDATKKSLEEWHNKLEVLQNQLTRKEEGPPVSLLLSEGEAVIVEYSGSHQNLSKQFEENEQLQSQLRELKASIPCNKAQQSQKDDLWTRLEDFMQQFQQTSALAAQLRNIRDAALDELGQLPLAVTELRKKLEDKLYQEGILVSLEDCLTQAEKVEHDLQELDSRIESTEELQQKVAEPQTSIASQVSLRQGVARVKESLDQLLEQYQTSKVIAQDIQSSRSNLKDTLEVWKQNISQLEKQVQSTSLSVDGPGTLIGQAAENLQEHQQRRAEFEKLMFQVQQKQANLMNPDISLPGLVGMMLEKEMLWPRLQSEAAQFCALHSASEELQRQRAVTVETAREWRSNLEEQIKKWNEAEQSNPYTVLLGALAEKVKQIKPSNQELEALFAKNSEAQAKVTETKTPSSMADMSKLVEEKSELWQLVSNAEKHVEEMRNLIAQLSEQREHLVGGLKEYKLNVDSMDCQIETLDKCTHYGNVSGVSSGVSPLISGTKGQSDPATIEMILNELSTGKCNIEEVLTGKSAWDDLLSKLHEIQKELEKASMSTETPPISGETEKLWKQFTRVLKRFEDLQEQCSSADKEREKVTKQLETFDKRLNQVRDQHQCLDLAFGCSSLETVLHELTDLNEQQDEMELNLEQIQQFFHTIDQDPQNGSALMRSKNEMFQQLKGSLHEFMEAQPLLKKLSSEKEQQQLCLQEFRQSVEALKGQLVAATTSDATEMFRTVMERTAELSSKQRAIDQKLKMNTALAEQIVGSSLSSVQKGELNQEVEGISQLLSELSEQVSQSQAIAKQLLSSREALSYSLSQFHSLISDTTEQVHRHDPAPEGEIEMIDRAVKQLKEVPVQHEALEEQLQKTTALQEQLVGPDSAVTDSLLKEVNAECAQMWAQLSDASNQFQHYQGLLMNLHSGLRTCKSHLATYRETADKLKDKVLNGIESPEKGEKFSNEMDLVKQGSITVFRLDGKHSIVDESFSGQRKLLSPLTEPSSGGKHMNELEKESRELWSEFEKHDQLFKQLEDQLTQVQKLEAESQALSTSYQEKLEGLKQQLMQQEEPTLNHGHQDLFAQASQTALIFNQQQSLLEQHRAKMMECQKDLKEPAQNVGMYGDTTDLAGKELSAGSLQEMGPLNTLLQGGSSGVSTVWDLLADIKKKNEALEKAAKSKETEQQLASTVAEELEKQAVRTEEQLAEYQEKAQHLKERLATLERPSLKTQNEEMFGEIDKVVTAFSTARNQIDDQLDKLDSQNKQLASLDQQTTRGQHGALAREAQGVWSCLDELQQHCTNQQKQLTKVLAEQEMQQLHGQLADVQAATQELIDQFSRQLENLLRLMQRNQQPSLDQAHESIFFQIDFALADLQAKREAMPQKLDEYQQLCLNLSDVDIESNSGKSNLILNKNGVWARLDQLRGELQTHQNLVKTKEIEVANQFHLLRSNWTDSVSSLSKQLNKADKELAKLLQAPVEQPQSIIIQNVCELEQSVLTTLDKLERELVKAQCLLDQLEALNLELPQIQKTRMEINRLLSLYSVLKEDHELCLQRTFVNQTARFPEPNVGGNGKGEPATMFPTQESGPSERLKEQPTKETKVASCVTLSVTKEESTELVTPSIIANQQGTNEKQSVGKFLKEPLFSGLVRSGRQTVIDEISGAELGAVNPNAMELFKEGTLEQTAIESKLTGCLKDDSKSGQHHLDKRDSFELYRVEKSDPRSVTIEELKLGRAPDFSVEQYSKEKCENSSSIQHKTEKTKSTVWSDQYNTEQRTTGQFSKDTKSIQSPGTVQAKGDSEQYHLGEPRPTMCPFPESQAGCAIERSLNEEPKLTLCLSLNNVKGENAQKSSFLTLADLHKVSIGQPVVQSSSEEIKFTISFGMETDAEKGKESSTMERPQSKIHSDHEDRIATEQYLKEESLFAPKCTRISEEVIVERKERKSKVRANMPQQLVQESPLKGSTECYTEQVELIVEGQNERIEESDLCSTSQKMHSIIEIKTVAKEQEENTDNGMNNRAVEKLKFDCESYPHTLSVQGLSKGKLNHPNIISIFEKADSSMRPKRQDKCGFEKLSIERSKKAQEGDSVRVKVKEQASQNEVQFLRESEEVKNCSMEATQNCAILMDKGLFENQLACVEIGEQKEIQARHDSFESSDIQIMNALEPSILRKEIAEVLTVRQDSFIDVIGGNLVQHSAIIRVMNEDVLKEELASALTLRKGTATDIIGGTNAQAELVKLTSAFDVKGLQIAQSLTLVKDSVGDKIDSFPIVELYRQGSEIDIKCKKVLESYPVIRCNEPDVIGHMMGPSLVAENTLLGEKKAEALTLRQGSILDVFNGTFAQVEQIERKLDSTILDLKIAKPLSINEKTEPNFIQHINLNEVRKLKEALDEGILGHRFANDVSLRMGSIHHIIGGDVVQKTLLRVAEERELLGRDISQVVTLPAKSDPDILGTTEMQLSILRERVTKEADRGKSAKELNILEPQDAVDVLGLTSALTVSVKKGRKLDIIGGTVVQRELIRTATEKDLLGVTVADKVSCLTQTRPDLVGSIAKDAMLVNHLLKEDMLGENIVEETTLSECTQSDFFGQFMNLKEMLKVATHSPVIGRSIAENLLLKQGTQEHFIGGDLLQASLVNLTAEELLELPHSCSLFIERGSDEEDTKESMMMSGGAINQAEQSEKHDDKALFTKKLMEKKDLPHVNGDTESKLELKIGLTKKVQVQLKKSQPKIHSGGTEDHIYETELTHAQENQCTFSTNRLPQHEKKPTEVSFVDKDVVLPVGEAEAPPITLLHGRSANERVSVATQDERQTGILQRQVELKVKNIVKLADINPNREKQEVFLDQEPNEVSSDETEVTTKKGKETTCDERDPYEKNQSSFQFEPLRVTWGSSFTGSIKVNASEQLGEPTKQRQHGIEVVKPPSTQYEDRSTLTEMLEKDLLLLRQGNQVNIYSHTMLQFLLNESQSATAKPHSKSCPLVAAGPNINQEASDALQMHPMVDPICISKLNNALDRDLIGSEIAKKWSLIETTAPLFLAKIHQRNSLIKHALETSVIGQELADTIPLIEGSEPWLLGMFSWLSELIVLAIKAAVLDNSVAEDITLRQGTVTDIIGGTQLQRILIACAMDQDILNHRVAEEVTLRHGTRPDVIGGAFLQAELLRVTVQRDILGEQVARFISVKRGSKLSVMGGALQKVPLLKFGSEQHVICRQMLDLLGIKEATNPDILNKSTRLYSELVSHLWASILGQHFAEELNICNESPSLSFGGTALHAELIKLGVTESIIRKEIAEVVSLPGRSETLRSILALADQLERLQQYMQPNILNRAIGEELSLNEGTEPAILGSMIVQSELLVLGTDQDLLGKQMAKFIPFIEVTGLDIVGAVARCEKQETQHALQSQLQQLQNASGDCLDHYAKNLANLQESIQKKEKESLKHGHKALFIQSTKIAESVQEKKTTLEESLVQQELIAKEIDTSEKTESSTAKGQTNRALTSVWGLLEKVKEVHEQKEEEVVKEEEELRRLADSIYKVHQTTLTVLATYRTRLDMFEQTIADSSSLMRRRKGPSKQQSEVFAKYTMLQLEYDKVITSYQQSFKQCQDLKTQNREIEAFLATQQSLQESLVRSDEAQGPKMMEQQATTEEIWKILTGHCARYDGLVEQISELESQRIELQAIVRHWREQLQSGKEQYRELDLNREPTLRSMQEAVVKAKAIEEDLVSFVSNKDKVDSLWHRLTSWHAELASLDALVVEEQSLKIEYGKVLLEADQISRVIVDVTKLKNLYVTSLGYVHDMKEVLLNLESRLTPVSSSSPSQHLFSDCKMSVADLDSRLQQLDEQTHEQEAIRLANDQWADRDTRSSALSQIRKVVRQEYEELRLRINKLESIYMKAVTEEKDENEMSKMLHQFRAKCAEVEEELRLRDAVSFLVSDRSDQLFDEYHAQLNKMIQHCEGPASSRLKELNWTQVLDLDKRLYNWSILSKTNVHLQHWLAMVKQYSQEVRSAMEIADKNPPPILFCVSPVMAENGTPSIVKWKTRQSNDELVCEDNKCITIEIQRYDCVAKVSITNKLVHDPSRPKLQVITKSRGVLSAVSSKSQILQEKEDMSDQLLDELRSLSTNHDCSYKRKISDQLNRLFSELERADSFFQFYATNEKVHQEVCLCLQKMWQLQSQVAASYAEVTQKAPHNELSLLEATDQLSRFVDQKEELEMTLESFYNRKASINSNNDSWDYALEEEEKENLWILEATARSVFKHLSQLHRMRFSSTEKLKCYQTELKGLTEAYSTHFNQSMKGEYLRAEKVSSGLEEVSTHIHQQTELQLEVSPLDNGWEARELKMQMEEVWSLLEYVFRLNGEDESSSIAVLEARTMPKRFWSTSSSHTAKTLPEAKLHTTTLTSPPVLELSTFHGKRYLNTCELRLTVLEDQLRMVRFALEELQYYNEDRLIQLDVQLQRILGECEQIYMIVDSECQVPQGSELHAADAQLTNKALTLKEECNLAGNQIKNAIQLLLELREVAPRLSKERELMEIILKGWEEEVDNPHYGQPLKIRGFEIWVELLKYLEEIRGKEREKALIETEKFHIYQNYLAKLEVPNQPFTKDSMLITHQKIWPILSDVRSQYEQWRSDVETASIGEGGEPVKPFADYISSVYTIVSKEDPSPVDVDEADLLRTVGLREAVEFAQELNERNSQIQVREMDVLDPRYRHILANFMKGDSWCSSFNTCKIGTYGATVKQTWLLLKEKASMDGSEGSIYIDSIQFHLIITWILGLTLKPNGDTIDDNRINVLKVQRQKLFDLAIQVNQLRHFRMRGKERPLKIGSLVELLSILNHGDRLDEYLDNIHKRVKMLHKNVWKTFTKKQVRDREDAVRVVAREAPDLVSDQSKRKKQEHLPLNWPLTDGPPCPSLSGSRESRYSRESRCSRESRCSRESLTEEDSSSM
+>sp|Q7L5Y9|MAEA_HUMAN Macrophage erythroblast attacher OS=Homo sapiens OX=9606 GN=MAEA PE=1 SV=1
+MAVQESAAQLSMTLKVQEYPTLKVPYETLNKRFRAAQKNIDRETSHVTMVVAELEKTLSGCPAVDSVVSLLDGVVEKLSVLKRKAVESIQAEDESAKLCKRRIEHLKEHSSDQPAAASVWKRKRMDRMMVEHLLRCGYYNTAVKLARQSGIEDLVNIEMFLTAKEVEESLERRETATCLAWCHDNKSRLRKMKSCLEFSLRIQEFIELIRQNKRLDAVRHARKHFSQAEGSQLDEVRQAMGMLAFPPDTHISPYKDLLDPARWRMLIQQFRYDNYRLHQLGNNSVFTLTLQAGLSAIKTPQCYKEDGSSKSPDCPVCSRSLNKLAQPLPMAHCANSRLVCKISGDVMNENNPPMMLPNGYVYGYNSLLSIRQDDKVVCPRTKEVFHFSQAEKVYIM
+>DECOY_sp|Q7L5Y9|MAEA_HUMAN Macrophage erythroblast attacher OS=Homo sapiens OX=9606 GN=MAEA PE=1 SV=1
+MIYVKEAQSFHFVEKTRPCVVKDDQRISLLSNYGYVYGNPLMMPPNNENMVDGSIKCVLRSNACHAMPLPQALKNLSRSCVPCDPSKSSGDEKYCQPTKIASLGAQLTLTFVSNNGLQHLRYNDYRFQQILMRWRAPDLLDKYPSIHTDPPFALMGMAQRVEDLQSGEAQSFHKRAHRVADLRKNQRILEIFEQIRLSFELCSKMKRLRSKNDHCWALCTATERRELSEEVEKATLFMEINVLDEIGSQRALKVATNYYGCRLLHEVMMRDMRKRKWVSAAAPQDSSHEKLHEIRRKCLKASEDEAQISEVAKRKLVSLKEVVGDLLSVVSDVAPCGSLTKELEAVVMTVHSTERDINKQAARFRKNLTEYPVKLTPYEQVKLTMSLQAASEQVAM
+>sp|Q13495|MAMD1_HUMAN Mastermind-like domain-containing protein 1 OS=Homo sapiens OX=9606 GN=MAMLD1 PE=1 SV=2
+MDDWKSRLVIKSMLPHFAMVGNRQEPRKLQESGKKPSWMEEEDLSFLYKSSPGRKHQGTVKRRQEEDHFQFPDMADGGYPNKIKRPCLEDVTLAMGPGAHPSTACAELQVPPLTINPSPAAMGVAGQSLLLENNPMNGNIMGSPFVVPQTTEVGLKGPTVPYYEKINSVPAVDQELQELLEELTKIQDPSPNELDLEKILGTKPEEPLVLDHPQATLSTTPKPSVQMSHLESLASSKEFASSCSQVTGMSLQIPSSSTGISYSIPSTSKQIVSPSSSMAQSKSQVQAMLPVALPPLPVPQWHHAHQLKALAASKQGSATKQQGPTPSWSGLPPPGLSPPYRPVPSPHPPPLPLPPPPPPFSPQSLMVSCMSSNTLSGSTLRGSPNALLSSMTSSSNAALGPAMPYAPEKLPSPALTQQPQFGPQSSILANLMSSTIKTPQGHLMSALPASNPGPSPPYRPEKLSSPGLPQQSFTPQCSLIRSLTPTSNLLSQQQQQQQQQQQANVIFKPISSNSSKTLSMIMQQGMASSSPGATEPFTFGNTKPLSHFVSEPGPQKMPSMPTTSRQPSLLHYLQQPTPTQASSATASSTATATLQLQQQQQQQQQQPDHSSFLLQQMMQQPQRFQRSVASDSMPALPRQGCCHLFAWTSAASSVKPQHQHGNSFTSRQDPQPGDVSPSNITHVDKACKLGEARHPQVSLGRQPPSCQALGSESFLPGSSFAHELARVTSSYSTSEAAPWGSWDPKAWRQVPAPLLPSCDATARGTEIRSYGNDP
+>DECOY_sp|Q13495|MAMD1_HUMAN Mastermind-like domain-containing protein 1 OS=Homo sapiens OX=9606 GN=MAMLD1 PE=1 SV=2
+PDNGYSRIETGRATADCSPLLPAPVQRWAKPDWSGWPAAESTSYSSTVRALEHAFSSGPLFSESGLAQCSPPQRGLSVQPHRAEGLKCAKDVHTINSPSVDGPQPDQRSTFSNGHQHQPKVSSAASTWAFLHCCGQRPLAPMSDSAVSRQFRQPQQMMQQLLFSSHDPQQQQQQQQQQLQLTATATSSATASSAQTPTPQQLYHLLSPQRSTTPMSPMKQPGPESVFHSLPKTNGFTFPETAGPSSSAMGQQMIMSLTKSSNSSIPKFIVNAQQQQQQQQQQQSLLNSTPTLSRILSCQPTFSQQPLGPSSLKEPRYPPSPGPNSAPLASMLHGQPTKITSSMLNALISSQPGFQPQQTLAPSPLKEPAYPMAPGLAANSSSTMSSLLANPSGRLTSGSLTNSSMCSVMLSQPSFPPPPPPLPLPPPHPSPVPRYPPSLGPPPLGSWSPTPGQQKTASGQKSAALAKLQHAHHWQPVPLPPLAVPLMAQVQSKSQAMSSSPSVIQKSTSPISYSIGTSSSPIQLSMGTVQSCSSAFEKSSALSELHSMQVSPKPTTSLTAQPHDLVLPEEPKTGLIKELDLENPSPDQIKTLEELLEQLEQDVAPVSNIKEYYPVTPGKLGVETTQPVVFPSGMINGNMPNNELLLSQGAVGMAAPSPNITLPPVQLEACATSPHAGPGMALTVDELCPRKIKNPYGGDAMDPFQFHDEEQRRKVTGQHKRGPSSKYLFSLDEEEMWSPKKGSEQLKRPEQRNGVMAFHPLMSKIVLRSKWDDM
+>sp|P55145|MANF_HUMAN Mesencephalic astrocyte-derived neurotrophic factor OS=Homo sapiens OX=9606 GN=MANF PE=1 SV=3
+MRRMWATQGLAVALALSVLPGSRALRPGDCEVCISYLGRFYQDLKDRDVTFSPATIENELIKFCREARGKENRLCYYIGATDDAATKIINEVSKPLAHHIPVEKICEKLKKKDSQICELKYDKQIDLSTVDLKKLRVKELKKILDDWGETCKGCAEKSDYIRKINELMPKYAPKAASARTDL
+>DECOY_sp|P55145|MANF_HUMAN Mesencephalic astrocyte-derived neurotrophic factor OS=Homo sapiens OX=9606 GN=MANF PE=1 SV=3
+LDTRASAAKPAYKPMLENIKRIYDSKEACGKCTEGWDDLIKKLEKVRLKKLDVTSLDIQKDYKLECIQSDKKKLKECIKEVPIHHALPKSVENIIKTAADDTAGIYYCLRNEKGRAERCFKILENEITAPSFTVDRDKLDQYFRGLYSICVECDGPRLARSGPLVSLALAVALGQTAWMRRM
+>sp|P53582|MAP11_HUMAN Methionine aminopeptidase 1 OS=Homo sapiens OX=9606 GN=METAP1 PE=1 SV=2
+MAAVETRVCETDGCSSEAKLQCPTCIKLGIQGSYFCSQECFKGSWATHKLLHKKAKDEKAKREVSSWTVEGDINTDPWAGYRYTGKLRPHYPLMPTRPVPSYIQRPDYADHPLGMSESEQALKGTSQIKLLSSEDIEGMRLVCRLAREVLDVAAGMIKPGVTTEEIDHAVHLACIARNCYPSPLNYYNFPKSCCTSVNEVICHGIPDRRPLQEGDIVNVDITLYRNGYHGDLNETFFVGEVDDGARKLVQTTYECLMQAIDAVKPGVRYRELGNIIQKHAQANGFSVVRSYCGHGIHKLFHTAPNVPHYAKNKAVGVMKSGHVFTIEPMICEGGWQDETWPDGWTAVTRDGKRSAQFEHTLLVTDTGCEILTRRLDSARPHFMSQF
+>DECOY_sp|P53582|MAP11_HUMAN Methionine aminopeptidase 1 OS=Homo sapiens OX=9606 GN=METAP1 PE=1 SV=2
+FQSMFHPRASDLRRTLIECGTDTVLLTHEFQASRKGDRTVATWGDPWTEDQWGGECIMPEITFVHGSKMVGVAKNKAYHPVNPATHFLKHIGHGCYSRVVSFGNAQAHKQIINGLERYRVGPKVADIAQMLCEYTTQVLKRAGDDVEGVFFTENLDGHYGNRYLTIDVNVIDGEQLPRRDPIGHCIVENVSTCCSKPFNYYNLPSPYCNRAICALHVAHDIEETTVGPKIMGAAVDLVERALRCVLRMGEIDESSLLKIQSTGKLAQESESMGLPHDAYDPRQIYSPVPRTPMLPYHPRLKGTYRYGAWPDTNIDGEVTWSSVERKAKEDKAKKHLLKHTAWSGKFCEQSCFYSGQIGLKICTPCQLKAESSCGDTECVRTEVAAM
+>sp|P50579|MAP2_HUMAN Methionine aminopeptidase 2 OS=Homo sapiens OX=9606 GN=METAP2 PE=1 SV=1
+MAGVEEVAASGSHLNGDLDPDDREEGAASTAEEAAKKKRRKKKKSKGPSAAGEQEPDKESGASVDEVARQLERSALEDKERDEDDEDGDGDGDGATGKKKKKKKKKRGPKVQTDPPSVPICDLYPNGVFPKGQECEYPPTQDGRTAAWRTTSEEKKALDQASEEIWNDFREAAEAHRQVRKYVMSWIKPGMTMIEICEKLEDCSRKLIKENGLNAGLAFPTGCSLNNCAAHYTPNAGDTTVLQYDDICKIDFGTHISGRIIDCAFTVTFNPKYDTLLKAVKDATNTGIKCAGIDVRLCDVGEAIQEVMESYEVEIDGKTYQVKPIRNLNGHSIGQYRIHAGKTVPIVKGGEATRMEEGEVYAIETFGSTGKGVVHDDMECSHYMKNFDVGHVPIRLPRTKHLLNVINENFGTLAFCRRWLDRLGESKYLMALKNLCDLGIVDPYPPLCDIKGSYTAQFEHTILLRPTCKEVVSRGDDY
+>DECOY_sp|P50579|MAP2_HUMAN Methionine aminopeptidase 2 OS=Homo sapiens OX=9606 GN=METAP2 PE=1 SV=1
+YDDGRSVVEKCTPRLLITHEFQATYSGKIDCLPPYPDVIGLDCLNKLAMLYKSEGLRDLWRRCFALTGFNENIVNLLHKTRPLRIPVHGVDFNKMYHSCEMDDHVVGKGTSGFTEIAYVEGEEMRTAEGGKVIPVTKGAHIRYQGISHGNLNRIPKVQYTKGDIEVEYSEMVEQIAEGVDCLRVDIGACKIGTNTADKVAKLLTDYKPNFTVTFACDIIRGSIHTGFDIKCIDDYQLVTTDGANPTYHAACNNLSCGTPFALGANLGNEKILKRSCDELKECIEIMTMGPKIWSMVYKRVQRHAEAAERFDNWIEESAQDLAKKEESTTRWAATRGDQTPPYECEQGKPFVGNPYLDCIPVSPPDTQVKPGRKKKKKKKKKGTAGDGDGDGDEDDEDREKDELASRELQRAVEDVSAGSEKDPEQEGAASPGKSKKKKRRKKKAAEEATSAAGEERDDPDLDGNLHSGSAAVEEVGAM
+>sp|Q9Y4F3|MARF1_HUMAN Meiosis regulator and mRNA stability factor 1 OS=Homo sapiens OX=9606 GN=MARF1 PE=1 SV=6
+MMEGNGTENSCSRTRGWLQQDNDAKPWLWKFSNCFSRPEQTLPHSPQTKEYMENKKVAVELKDVPSPLHAGSKLFPAVPLPDIRSLQQPKIQLSSVPKVSCCAHCPNEPSTSPMRFGGGGGGSGGTSSLIHPGALLDSQSTRTITCQVGSGFAFQSASSLQNASARNNLAGIASDFPSMCLESNLSSCKHLPCCGKLHFQSCHGNVHKLHQFPSLQGCTSAGYFPCSDFTSGAPGHLEEHISQSELTPHLCTNSLHLNVVPPVCLKGSLYCEDCLNKPARNSIIDAAKVWPNIPPPNTQPAPLAVPLCNGCGTKGTGKETTLLLATSLGKAASKFGSPEVAVAGQVLENLPPIGVFWDIENCSVPSGRSATAVVQRIREKFFKGHREAEFICVCDISKENKEVIQELNNCQVTVAHINATAKNAADDKLRQSLRRFANTHTAPATVVLVSTDVNFALELSDLRHRHGFHIILVHKNQASEALLHHANELIRFEEFISDLPPRLPLKMPQCHTLLYVYNLPANKDGKSVSNRLRRLSDNCGGKVLSITGCSAILRFINQDSAERAQKRMENEDVFGNRIIVSFTPKNRELCETKSSNAIADKVKSPKKLKNPKLCLIKDASEQSSSAKATPGKGSQANSGSATKNTNVKSLQELCRMESKTGHRNSEHQQGHLRLVVPTHGNSSAAVSTPKNSGVAEPVYKTSQKKENLSARSVTSSPVEKKDKEETVFQVSYPSAFSKLVASRQVSPLLASQSWSSRSMSPNLLNRASPLAFNIANSSSEADCPDPFANGADVQVSNIDYRLSRKELQQLLQEAFARHGKVKSVELSPHTDYQLKAVVQMENLQDAIGAVNSLHRYKIGSKKILVSLATGAASKSLSLLSAETMSVLQDAPACCLPLFKFTDIYEKKFGHKLNVSDLYKLTDTVAIREQGNGRLVCLLPSSQARQSPLGSSQSHDGSSTNCSPIIFEELEYHEPVCRQHCSNKDFSEHEFDPDSYKIPFVILSLKTFAPQVHSLLQTHEGTVPLLSFPDCYIAEFGDLEVVQENQGGVPLEHFITCVPGVNIATAQNGIKVVKWIHNKPPPPNTDPWLLRSKSPVGNPQLIQFSREVIDLLKSQPSCVIPISHFIPSYHHHFAKQCRVSDYGYSKLIELLEAVPHVLQILGMGSKRLLTLTHRAQVKRFTQDLLKLLKSQASKQVIVREFSQAYHWCFSKDWDVTEYGVCELIDIVSEIPDTTICLSQQDNEMVICIPKRERTQDEIERTKQFSKDVVDLLRHQPHFRMPFNKFIPSYHHHFGRQCKLAYYGFTKLLELFEAIPDTLQVLECGEEKILTLTEVERFKALAAQFVKLLRSQKDNCLMMTDLLTEYAKTFGYTFRLQDYDVSSISALTQKLCHVVKVADIESGRQIQLINRKSLRSLTAQLLVLLMSWEGTTHLSVEELKRHYESTHNTPLNPCEYGFMTLTELLKSLPYLVEVFTNDKMEECVKLTSLYLFAKNVRSLLHTYHYQQIFLHEFSMAYTKYVGETLQPKTYGHSSVEELLGAIPQVVWIKGHGHKRIVVLKNDMKSRLSSLSLSPANHENQPSEGERILEVPESHTASELKLGADGSGPSHTEQELLRLTDDSPVDLLCAPVPSCLPSPQLRPDPVILQSADLIQFEERPQEPSEIMILNQEEKMEIPIPGKSKTLTSDSSSSCISAAVPVPPCPSSETSESLLSKDPVESPAKKQPKNRVKLAANFSLAPITKL
+>DECOY_sp|Q9Y4F3|MARF1_HUMAN Meiosis regulator and mRNA stability factor 1 OS=Homo sapiens OX=9606 GN=MARF1 PE=1 SV=6
+LKTIPALSFNAALKVRNKPQKKAPSEVPDKSLLSESTESSPCPPVPVAASICSSSSDSTLTKSKGPIPIEMKEEQNLIMIESPEQPREEFQILDASQLIVPDPRLQPSPLCSPVPACLLDVPSDDTLRLLEQETHSPGSGDAGLKLESATHSEPVELIREGESPQNEHNAPSLSLSSLRSKMDNKLVVIRKHGHGKIWVVQPIAGLLEEVSSHGYTKPQLTEGVYKTYAMSFEHLFIQQYHYTHLLSRVNKAFLYLSTLKVCEEMKDNTFVEVLYPLSKLLETLTMFGYECPNLPTNHTSEYHRKLEEVSLHTTGEWSMLLVLLQATLSRLSKRNILQIQRGSEIDAVKVVHCLKQTLASISSVDYDQLRFTYGFTKAYETLLDTMMLCNDKQSRLLKVFQAALAKFREVETLTLIKEEGCELVQLTDPIAEFLELLKTFGYYALKCQRGFHHHYSPIFKNFPMRFHPQHRLLDVVDKSFQKTREIEDQTRERKPICIVMENDQQSLCITTDPIESVIDILECVGYETVDWDKSFCWHYAQSFERVIVQKSAQSKLLKLLDQTFRKVQARHTLTLLRKSGMGLIQLVHPVAELLEILKSYGYDSVRCQKAFHHHYSPIFHSIPIVCSPQSKLLDIVERSFQILQPNGVPSKSRLLWPDTNPPPPKNHIWKVVKIGNQATAINVGPVCTIFHELPVGGQNEQVVELDGFEAIYCDPFSLLPVTGEHTQLLSHVQPAFTKLSLIVFPIKYSDPDFEHESFDKNSCHQRCVPEHYELEEFIIPSCNTSSGDHSQSSGLPSQRAQSSPLLCVLRGNGQERIAVTDTLKYLDSVNLKHGFKKEYIDTFKFLPLCCAPADQLVSMTEASLLSLSKSAAGTALSVLIKKSGIKYRHLSNVAGIADQLNEMQVVAKLQYDTHPSLEVSKVKGHRAFAEQLLQQLEKRSLRYDINSVQVDAGNAFPDPCDAESSSNAINFALPSARNLLNPSMSRSSWSQSALLPSVQRSAVLKSFASPYSVQFVTEEKDKKEVPSSTVSRASLNEKKQSTKYVPEAVGSNKPTSVAASSNGHTPVVLRLHGQQHESNRHGTKSEMRCLEQLSKVNTNKTASGSNAQSGKGPTAKASSSQESADKILCLKPNKLKKPSKVKDAIANSSKTECLERNKPTFSVIIRNGFVDENEMRKQAREASDQNIFRLIASCGTISLVKGGCNDSLRRLRNSVSKGDKNAPLNYVYLLTHCQPMKLPLRPPLDSIFEEFRILENAHHLLAESAQNKHVLIIHFGHRHRLDSLELAFNVDTSVLVVTAPATHTNAFRRLSQRLKDDAANKATANIHAVTVQCNNLEQIVEKNEKSIDCVCIFEAERHGKFFKERIRQVVATASRGSPVSCNEIDWFVGIPPLNELVQGAVAVEPSGFKSAAKGLSTALLLTTEKGTGKTGCGNCLPVALPAPQTNPPPINPWVKAADIISNRAPKNLCDECYLSGKLCVPPVVNLHLSNTCLHPTLESQSIHEELHGPAGSTFDSCPFYGASTCGQLSPFQHLKHVNGHCSQFHLKGCCPLHKCSSLNSELCMSPFDSAIGALNNRASANQLSSASQFAFGSGVQCTITRTSQSDLLAGPHILSSTGGSGGGGGGFRMPSTSPENPCHACCSVKPVSSLQIKPQQLSRIDPLPVAPFLKSGAHLPSPVDKLEVAVKKNEMYEKTQPSHPLTQEPRSFCNSFKWLWPKADNDQQLWGRTRSCSNETGNGEMM
+>sp|Q9P0N8|MARH2_HUMAN E3 ubiquitin-protein ligase MARCH2 OS=Homo sapiens OX=9606 GN=MARCH2 PE=1 SV=1
+MTTGDCCHLPGSLCDCSGSPAFSKVVEATGLGPPQYVAQVTSRDGRLLSTVIRALDTPSDGPFCRICHEGANGECLLSPCGCTGTLGAVHKSCLEKWLSSSNTSYCELCHTEFAVEKRPRPLTEWLKDPGPRTEKRTLCCDMVCFLFITPLAAISGWLCLRGAQDHLRLHSQLEAVGLIALTIALFTIYVLWTLVSFRYHCQLYSEWRKTNQKVRLKIREADSPEGPQHSPLAAGLLKKVAEETPV
+>DECOY_sp|Q9P0N8|MARH2_HUMAN E3 ubiquitin-protein ligase MARCH2 OS=Homo sapiens OX=9606 GN=MARCH2 PE=1 SV=1
+VPTEEAVKKLLGAALPSHQPGEPSDAERIKLRVKQNTKRWESYLQCHYRFSVLTWLVYITFLAITLAILGVAELQSHLRLHDQAGRLCLWGSIAALPTIFLFCVMDCCLTRKETRPGPDKLWETLPRPRKEVAFETHCLECYSTNSSSLWKELCSKHVAGLTGTCGCPSLLCEGNAGEHCIRCFPGDSPTDLARIVTSLLRGDRSTVQAVYQPPGLGTAEVVKSFAPSGSCDCLSGPLHCCDGTTM
+>sp|O60337|MARH6_HUMAN E3 ubiquitin-protein ligase MARCH6 OS=Homo sapiens OX=9606 GN=MARCH6 PE=1 SV=2
+MDTAEEDICRVCRSEGTPEKPLYHPCVCTGSIKFIHQECLVQWLKHSRKEYCELCKHRFAFTPIYSPDMPSRLPIQDIFAGLVTSIGTAIRYWFHYTLVAFAWLGVVPLTACRIYKCLFTGSVSSLLTLPLDMLSTENLLADCLQGCFVVTCTLCAFISLVWLREQIVHGGAPIWLEHAAPPFNAAGHHQNEAPAGGNGAENVAADQPANPPAENAVVGENPDAQDDQAEEEEEDNEEEDDAGVEDAADANNGAQDDMNWNALEWDRAAEELTWERMLGLDGSLVFLEHVFWVVSLNTLFILVFAFCPYHIGHFSLVGLGFEEHVQASHFEGLITTIVGYILLAITLIICHGLATLVKFHRSRRLLGVCYIVVKVSLLVVVEIGVFPLICGWWLDICSLEMFDATLKDRELSFQSAPGTTMFLHWLVGMVYVFYFASFILLLREVLRPGVLWFLRNLNDPDFNPVQEMIHLPIYRHLRRFILSVIVFGSIVLLMLWLPIRIIKSVLPNFLPYNVMLYSDAPVSELSLELLLLQVVLPALLEQGHTRQWLKGLVRAWTVTAGYLLDLHSYLLGDQEENENSANQQVNNNQHARNNNAIPVVGEGLHAAHQAILQQGGPVGFQPYRRPLNFPLRIFLLIVFMCITLLIASLICLTLPVFAGRWLMSFWTGTAKIHELYTAACGLYVCWLTIRAVTVMVAWMPQGRRVIFQKVKEWSLMIMKTLIVAVLLAGVVPLLLGLLFELVIVAPLRVPLDQTPLFYPWQDWALGVLHAKIIAAITLMGPQWWLKTVIEQVYANGIRNIDLHYIVRKLAAPVISVLLLSLCVPYVIASGVVPLLGVTAEMQNLVHRRIYPFLLMVVVLMAILSFQVRQFKRLYEHIKNDKYLVGQRLVNYERKSGKQGSSPPPPQSSQE
+>DECOY_sp|O60337|MARH6_HUMAN E3 ubiquitin-protein ligase MARCH6 OS=Homo sapiens OX=9606 GN=MARCH6 PE=1 SV=2
+EQSSQPPPPSSGQKGSKREYNVLRQGVLYKDNKIHEYLRKFQRVQFSLIAMLVVVMLLFPYIRRHVLNQMEATVGLLPVVGSAIVYPVCLSLLLVSIVPAALKRVIYHLDINRIGNAYVQEIVTKLWWQPGMLTIAAIIKAHLVGLAWDQWPYFLPTQDLPVRLPAVIVLEFLLGLLLPVVGALLVAVILTKMIMLSWEKVKQFIVRRGQPMWAVMVTVARITLWCVYLGCAATYLEHIKATGTWFSMLWRGAFVPLTLCILSAILLTICMFVILLFIRLPFNLPRRYPQFGVPGGQQLIAQHAAHLGEGVVPIANNNRAHQNNNVQQNASNENEEQDGLLYSHLDLLYGATVTWARVLGKLWQRTHGQELLAPLVVQLLLLELSLESVPADSYLMVNYPLFNPLVSKIIRIPLWLMLLVISGFVIVSLIFRRLHRYIPLHIMEQVPNFDPDNLNRLFWLVGPRLVERLLLIFSAFYFVYVMGVLWHLFMTTGPASQFSLERDKLTADFMELSCIDLWWGCILPFVGIEVVVLLSVKVVIYCVGLLRRSRHFKVLTALGHCIILTIALLIYGVITTILGEFHSAQVHEEFGLGVLSFHGIHYPCFAFVLIFLTNLSVVWFVHELFVLSGDLGLMREWTLEEAARDWELANWNMDDQAGNNADAADEVGADDEEENDEEEEEAQDDQADPNEGVVANEAPPNAPQDAAVNEAGNGGAPAENQHHGAANFPPAAHELWIPAGGHVIQERLWVLSIFACLTCTVVFCGQLCDALLNETSLMDLPLTLLSSVSGTFLCKYIRCATLPVVGLWAFAVLTYHFWYRIATGISTVLGAFIDQIPLRSPMDPSYIPTFAFRHKCLECYEKRSHKLWQVLCEQHIFKISGTCVCPHYLPKEPTGESRCVRCIDEEATDM
+>sp|A6NDZ8|MB3L4_HUMAN Putative methyl-CpG-binding domain protein 3-like 4 OS=Homo sapiens OX=9606 GN=MBD3L4 PE=5 SV=1
+MGEPAFTSFPSPPVLGKLKRNMMPWALQKKREIHMAKAHRRRAARSALPMRLTSCIFRRPVTRIRSHPDNQVRRRKGDEHLEKPQQLCAYRRLQALQPCSSQGEGSSPLHLESVLSILAPGTAGESLDRAGAERVRIPLEPTPGRFPAVAGGPTPGMGCQLPPPLSGQLVTPADIRRQARRVKKARERLAKALQADRLARQAEMLTCR
+>DECOY_sp|A6NDZ8|MB3L4_HUMAN Putative methyl-CpG-binding domain protein 3-like 4 OS=Homo sapiens OX=9606 GN=MBD3L4 PE=5 SV=1
+RCTLMEAQRALRDAQLAKALRERAKKVRRAQRRIDAPTVLQGSLPPPLQCGMGPTPGGAVAPFRGPTPELPIRVREAGARDLSEGATGPALISLVSELHLPSSGEGQSSCPQLAQLRRYACLQQPKELHEDGKRRRVQNDPHSRIRTVPRRFICSTLRMPLASRAARRRHAKAMHIERKKQLAWPMMNRKLKGLVPPSPFSTFAPEGM
+>sp|Q96T53|MBOA4_HUMAN Ghrelin O-acyltransferase OS=Homo sapiens OX=9606 GN=MBOAT4 PE=1 SV=2
+MEWLWLFFLHPISFYQGAAFPFALLFNYLCIMDSFSTRARYLFLLTGGGALAVAAMGSYAVLVFTPAVCAVALLCSLAPQQVHRWTFCFQMSWQTLCHLGLHYTEYYLHEPPSVRFCITLSSLMLLTQRVTSLSLDICEGKVKAASGGFRSRSSLSEHVCKALPYFSYLLFFPALLGGSLCSFQRFQARVQGSSALHPRHSFWALSWRGLQILGLECLNVAVSRVVDAGAGLTDCQQFECIYVVWTTAGLFKLTYYSHWILDDSLLHAAGFGPELGQSPGEEGYVPDADIWTLERTHRISVFSRKWNQSTARWLRRLVFQHSRAWPLLQTFAFSAWWHGLHPGQVFGFVCWAVMVEADYLIHSFANEFIRSWPMRLFYRTLTWAHTQLIIAYIMLAVEVRSLSSLWLLCNSYNSVFPMVYCILLLLLAKRKHKCN
+>DECOY_sp|Q96T53|MBOA4_HUMAN Ghrelin O-acyltransferase OS=Homo sapiens OX=9606 GN=MBOAT4 PE=1 SV=2
+NCKHKRKALLLLLICYVMPFVSNYSNCLLWLSSLSRVEVALMIYAIILQTHAWTLTRYFLRMPWSRIFENAFSHILYDAEVMVAWCVFGFVQGPHLGHWWASFAFTQLLPWARSHQFVLRRLWRATSQNWKRSFVSIRHTRELTWIDADPVYGEEGPSQGLEPGFGAAHLLSDDLIWHSYYTLKFLGATTWVVYICEFQQCDTLGAGADVVRSVAVNLCELGLIQLGRWSLAWFSHRPHLASSGQVRAQFRQFSCLSGGLLAPFFLLYSFYPLAKCVHESLSSRSRFGGSAAKVKGECIDLSLSTVRQTLLMLSSLTICFRVSPPEHLYYETYHLGLHCLTQWSMQFCFTWRHVQQPALSCLLAVACVAPTFVLVAYSGMAAVALAGGGTLLFLYRARTSFSDMICLYNFLLAFPFAAGQYFSIPHLFFLWLWEM
+>sp|Q6VMQ6|MCAF1_HUMAN Activating transcription factor 7-interacting protein 1 OS=Homo sapiens OX=9606 GN=ATF7IP PE=1 SV=3
+MDSLEEPQKKVFKARKTMRVSDRQQLEAVYKVKEELLKTDVKLLNGNHENGDLDPTSPLENMDYIKDKEEVNGIEEICFDPEGSKAEWKETPCILSVNVKNKQDDDLNCEPLSPHNITPEPVSKLPAEPVSGDPAPGDLDAGDPASGVLASGDSTSGDPTSSEPSSSDAASGDATSGDAPSGDVSPGDATSGDATADDLSSGDPTSSDPIPGEPVPVEPISGDCAADDIASSEITSVDLASGAPASTDPASDDLASGDLSSSELASDDLATGELASDELTSESTFDRTFEPKSVPVCEPVPEIDNIEPSSNKDDDFLEKNGADEKLEQIQSKDSLDEKNKADNNIDANEETLETDDTTICSDRPPENEKKVEEDIITELALGEDAISSSMEIDQGEKNEDETSADLVETINENVIEDNKSENILENTDSMETDEIIPILEKLAPSEDELTCFSKTSLLPIDETNPDLEEKMESSFGSPSKQESSESLPKEAFLVLSDEEDISGEKDESEVISQNETCSPAEVESNEKDNKPEEEEQVIHEDDERPSEKNEFSRRKRSKSEDMDNVQSKRRRYMEEEYEAEFQVKITAKGDINQKLQKVIQWLLEEKLCALQCAVFDKTLAELKTRVEKIECNKRHKTVLTELQAKIARLTKRFEAAKEDLKKRHEHPPNPPVSPGKTVNDVNSNNNMSYRNAGTVRQMLESKRNVSESAPPSFQTPVNTVSSTNLVTPPAVVSSQPKLQTPVTSGSLTATSVLPAPNTATVVATTQVPSGNPQPTISLQPLPVILHVPVAVSSQPQLLQSHPGTLVTNQPSGNVEFISVQSPPTVSGLTKNPVSLPSLPNPTKPNNVPSVPSPSIQRNPTASAAPLGTTLAVQAVPTAHSIVQATRTSLPTVGPSGLYSPSTNRGPIQMKIPISAFSTSSAAEQNSNTTPRIENQTNKTIDASVSKKAADSTSQCGKATGSDSSGVIDLTMDDEESGASQDPKKLNHTPVSTMSSSQPVSRPLQPIQPAPPLQPSGVPTSGPSQTTIHLLPTAPTTVNVTHRPVTQVTTRLPVPRAPANHQVVYTTLPAPPAQAPLRGTVMQAPAVRQVNPQNSVTVRVPQTTTYVVNNGLTLGSTGPQLTVHHRPPQVHTEPPRPVHPAPLPEAPQPQRLPPEAASTSLPQKPHLKLARVQSQNGIVLSWSVLEVDRSCATVDSYHLYAYHEEPSATVPSQWKKIGEVKALPLPMACTLTQFVSGSKYYFAVRAKDIYGRFGPFCDPQSTDVISSTQSS
+>DECOY_sp|Q6VMQ6|MCAF1_HUMAN Activating transcription factor 7-interacting protein 1 OS=Homo sapiens OX=9606 GN=ATF7IP PE=1 SV=3
+SSQTSSIVDTSQPDCFPGFRGYIDKARVAFYYKSGSVFQTLTCAMPLPLAKVEGIKKWQSPVTASPEEHYAYLHYSDVTACSRDVELVSWSLVIGNQSQVRALKLHPKQPLSTSAAEPPLRQPQPAEPLPAPHVPRPPETHVQPPRHHVTLQPGTSGLTLGNNVVYTTTQPVRVTVSNQPNVQRVAPAQMVTGRLPAQAPPAPLTTYVVQHNAPARPVPLRTTVQTVPRHTVNVTTPATPLLHITTQSPGSTPVGSPQLPPAPQIPQLPRSVPQSSSMTSVPTHNLKKPDQSAGSEEDDMTLDIVGSSDSGTAKGCQSTSDAAKKSVSADITKNTQNEIRPTTNSNQEAASSTSFASIPIKMQIPGRNTSPSYLGSPGVTPLSTRTAQVISHATPVAQVALTTGLPAASATPNRQISPSPVSPVNNPKTPNPLSPLSVPNKTLGSVTPPSQVSIFEVNGSPQNTVLTGPHSQLLQPQSSVAVPVHLIVPLPQLSITPQPNGSPVQTTAVVTATNPAPLVSTATLSGSTVPTQLKPQSSVVAPPTVLNTSSVTNVPTQFSPPASESVNRKSELMQRVTGANRYSMNNNSNVDNVTKGPSVPPNPPHEHRKKLDEKAAEFRKTLRAIKAQLETLVTKHRKNCEIKEVRTKLEALTKDFVACQLACLKEELLWQIVKQLKQNIDGKATIKVQFEAEYEEEMYRRRKSQVNDMDESKSRKRRSFENKESPREDDEHIVQEEEEPKNDKENSEVEAPSCTENQSIVESEDKEGSIDEEDSLVLFAEKPLSESSEQKSPSGFSSEMKEELDPNTEDIPLLSTKSFCTLEDESPALKELIPIIEDTEMSDTNELINESKNDEIVNENITEVLDASTEDENKEGQDIEMSSSIADEGLALETIIDEEVKKENEPPRDSCITTDDTELTEENADINNDAKNKEDLSDKSQIQELKEDAGNKELFDDDKNSSPEINDIEPVPECVPVSKPEFTRDFTSESTLEDSALEGTALDDSALESSSLDGSALDDSAPDTSAPAGSALDVSTIESSAIDDAACDGSIPEVPVPEGPIPDSSTPDGSSLDDATADGSTADGPSVDGSPADGSTADGSAADSSSPESSTPDGSTSDGSALVGSAPDGADLDGPAPDGSVPEAPLKSVPEPTINHPSLPECNLDDDQKNKVNVSLICPTEKWEAKSGEPDFCIEEIGNVEEKDKIYDMNELPSTPDLDGNEHNGNLLKVDTKLLEEKVKYVAELQQRDSVRMTKRAKFVKKQPEELSDM
+>sp|Q9UJA3|MCM8_HUMAN DNA helicase MCM8 OS=Homo sapiens OX=9606 GN=MCM8 PE=1 SV=2
+MNGEYRGRGFGRGRFQSWKRGRGGGNFSGKWREREHRPDLSKTTGKRTSEQTPQFLLSTKTPQSMQSTLDRFIPYKGWKLYFSEVYSDSSPLIEKIQAFEKFFTRHIDLYDKDEIERKGSILVDFKELTEGGEVTNLIPDIATELRDAPEKTLACMGLAIHQVLTKDLERHAAELQAQEGLSNDGETMVNVPHIHARVYNYEPLTQLKNVRANYYGKYIALRGTVVRVSNIKPLCTKMAFLCAACGEIQSFPLPDGKYSLPTKCPVPVCRGRSFTALRSSPLTVTMDWQSIKIQELMSDDQREAGRIPRTIECELVHDLVDSCVPGDTVTITGIVKVSNAEEGSRNKNDKCMFLLYIEANSISNSKGQKTKSSEDGCKHGMLMEFSLKDLYAIQEIQAEENLFKLIVNSLCPVIFGHELVKAGLALALFGGSQKYADDKNRIPIRGDPHILVVGDPGLGKSQMLQAACNVAPRGVYVCGNTTTTSGLTVTLSKDSSSGDFALEAGALVLGDQGICGIDEFDKMGNQHQALLEAMEQQSISLAKAGVVCSLPARTSIIAAANPVGGHYNKAKTVSENLKMGSALLSRFDLVFILLDTPNEHHDHLLSEHVIAIRAGKQRTISSATVARMNSQDSNTSVLEVVSEKPLSERLKVVPGETIDPIPHQLLRKYIGYARQYVYPRLSTEAARVLQDFYLELRKQSQRLNSSPITTRQLESLIRLTEARARLELREEATKEDAEDIVEIMKYSMLGTYSDEFGNLDFERSQHGSGMSNRSTAKRFISALNNVAERTYNNIFQFHQLRQIAKELNIQVADFENFIGSLNDQGYLLKKGPKVYQLQTM
+>DECOY_sp|Q9UJA3|MCM8_HUMAN DNA helicase MCM8 OS=Homo sapiens OX=9606 GN=MCM8 PE=1 SV=2
+MTQLQYVKPGKKLLYGQDNLSGIFNEFDAVQINLEKAIQRLQHFQFINNYTREAVNNLASIFRKATSRNSMGSGHQSREFDLNGFEDSYTGLMSYKMIEVIDEADEKTAEERLELRARAETLRILSELQRTTIPSSNLRQSQKRLELYFDQLVRAAETSLRPYVYQRAYGIYKRLLQHPIPDITEGPVVKLRESLPKESVVELVSTNSDQSNMRAVTASSITRQKGARIAIVHESLLHDHHENPTDLLIFVLDFRSLLASGMKLNESVTKAKNYHGGVPNAAAIISTRAPLSCVVGAKALSISQQEMAELLAQHQNGMKDFEDIGCIGQDGLVLAGAELAFDGSSSDKSLTVTLGSTTTTNGCVYVGRPAVNCAAQLMQSKGLGPDGVVLIHPDGRIPIRNKDDAYKQSGGFLALALGAKVLEHGFIVPCLSNVILKFLNEEAQIEQIAYLDKLSFEMLMGHKCGDESSKTKQGKSNSISNAEIYLLFMCKDNKNRSGEEANSVKVIGTITVTDGPVCSDVLDHVLECEITRPIRGAERQDDSMLEQIKISQWDMTVTLPSSRLATFSRGRCVPVPCKTPLSYKGDPLPFSQIEGCAACLFAMKTCLPKINSVRVVTGRLAIYKGYYNARVNKLQTLPEYNYVRAHIHPVNVMTEGDNSLGEQAQLEAAHRELDKTLVQHIALGMCALTKEPADRLETAIDPILNTVEGGETLEKFDVLISGKREIEDKDYLDIHRTFFKEFAQIKEILPSSDSYVESFYLKWGKYPIFRDLTSQMSQPTKTSLLFQPTQESTRKGTTKSLDPRHERERWKGSFNGGGRGRKWSQFRGRGFGRGRYEGNM
+>sp|Q9ULC4|MCTS1_HUMAN Malignant T-cell-amplified sequence 1 OS=Homo sapiens OX=9606 GN=MCTS1 PE=1 SV=1
+MFKKFDEKENVSNCIQLKTSVIKGIKNQLIEQFPGIEPWLNQIMPKKDPVKIVRCHEHIEILTVNGELLFFRQREGPFYPTLRLLHKYPFILPHQQVDKGAIKFVLSGANIMCPGLTSPGAKLYPAAVDTIVAIMAEGKQHALCVGVMKMSAEDIEKVNKGIGIENIHYLNDGLWHMKTYK
+>DECOY_sp|Q9ULC4|MCTS1_HUMAN Malignant T-cell-amplified sequence 1 OS=Homo sapiens OX=9606 GN=MCTS1 PE=1 SV=1
+KYTKMHWLGDNLYHINEIGIGKNVKEIDEASMKMVGVCLAHQKGEAMIAVITDVAAPYLKAGPSTLGPCMINAGSLVFKIAGKDVQQHPLIFPYKHLLRLTPYFPGERQRFFLLEGNVTLIEIHEHCRVIKVPDKKPMIQNLWPEIGPFQEILQNKIGKIVSTKLQICNSVNEKEDFKKFM
+>sp|Q9NWR8|MCUB_HUMAN Calcium uniporter regulatory subunit MCUb, mitochondrial OS=Homo sapiens OX=9606 GN=MCUB PE=1 SV=2
+MLQRGLWPWRTRLLPTPGTWRPARPWPLPPPPQVLRVKLCGNVKYYQSHHYSTVVPPDEITVIYRHGLPLVTLTLPSRKERCQFVVKPMLSTVGSFLQDLQNEDKGIKTAAIFTADGNMISASTLMDILLMNDFKLVINKIAYDVQCPKREKPSNEHTAEMEHMKSLVHRLFTILHLEESQKKREHHLLEKIDHLKEQLQPLEQVKAGIEAHSEAKTSGLLWAGLALLSIQGGALAWLTWWVYSWDIMEPVTYFITFANSMVFFAYFIVTRQDYTYSAVKSRQFLQFFHKKSKQQHFDVQQYNKLKEDLAKAKESLKQARHSLCLQMQVEELNEKN
+>DECOY_sp|Q9NWR8|MCUB_HUMAN Calcium uniporter regulatory subunit MCUb, mitochondrial OS=Homo sapiens OX=9606 GN=MCUB PE=1 SV=2
+NKENLEEVQMQLCLSHRAQKLSEKAKALDEKLKNYQQVDFHQQKSKKHFFQLFQRSKVASYTYDQRTVIFYAFFVMSNAFTIFYTVPEMIDWSYVWWTLWALAGGQISLLALGAWLLGSTKAESHAEIGAKVQELPQLQEKLHDIKELLHHERKKQSEELHLITFLRHVLSKMHEMEATHENSPKERKPCQVDYAIKNIVLKFDNMLLIDMLTSASIMNGDATFIAATKIGKDENQLDQLFSGVTSLMPKVVFQCREKRSPLTLTVLPLGHRYIVTIEDPPVVTSYHHSQYYKVNGCLKVRLVQPPPPLPWPRAPRWTGPTPLLRTRWPWLGRQLM
+>sp|Q71F56|MD13L_HUMAN Mediator of RNA polymerase II transcription subunit 13-like OS=Homo sapiens OX=9606 GN=MED13L PE=1 SV=1
+MTAAANWVANGASLEDCHSNLFSLAELTGIKWRRYNFGGHGDCGPIISAPAQDDPILLSFIRCLQANLLCVWRRDVKPDCKELWIFWWGDEPNLVGVIHHELQVVEEGLWENGLSYECRTLLFKAIHNLLERCLMDKNFVRIGKWFVRPYEKDEKPVNKSEHLSCAFTFFLHGESNVCTSVEIAQHQPIYLINEEHIHMAQSSPAPFQVLVSPYGLNGTLTGQAYKMSDPATRKLIEEWQYFYPMVLKKKEESKEEDELGYDDDFPVAVEVIVGGVRMVYPSAFVLISQNDIPVPQSVASAGGHIAVGQQGLGSVKDPSNCGMPLTPPTSPEQAILGESGGMQSAASHLVSQDGGMITMHSPKRSGKIPPKLHNHMVHRVWKECILNRTQSKRSQMSTPTLEEEPASNPATWDFVDPTQRVSCSCSRHKLLKRCAVGPNRPPTVSQPGFSAGPSSSSSLPPPASSKHKTAERQEKGDKLQKRPLIPFHHRPSVAEELCMEQDTPGQKLGLAGIDSSLEVSSSRKYDKQMAVPSRNTSKQMNLNPMDSPHSPISPLPPTLSPQPRGQETESLDPPSVPVNPALYGNGLELQQLSTLDDRTVLVGQRLPLMAEVSETALYCGIRPSNPESSEKWWHSYRLPPSDDAEFRPPELQGERCDAKMEVNSESTALQRLLAQPNKRFKIWQDKQPQLQPLHFLDPLPLSQQPGDSLGEVNDPYTFEDGDIKYIFTANKKCKQGTEKDSLKKNKSEDGFGTKDVTTPGHSTPVPDGKNAMSIFSSATKTDVRQDNAAGRAGSSSLTQVTDLAPSLHDLDNIFDNSDDDELGAVSPALRSSKMPAVGTEDRPLGKDGRAAVPYPPTVADLQRMFPTPPSLEQHPAFSPVMNYKDGISSETVTALGMMESPMVSMVSTQLTEFKMEVEDGLGSPKPEEIKDFSYVHKVPSFQPFVGSSMFAPLKMLPSHCLLPLKIPDACLFRPSWAIPPKIEQLPMPPAATFIRDGYNNVPSVGSLADPDYLNTPQMNTPVTLNSAAPASNSGAGVLPSPATPRFSVPTPRTPRTPRTPRGGGTASGQGSVKYDSTDQGSPASTPSTTRPLNSVEPATMQPIPEAHSLYVTLILSDSVMNIFKDRNFDSCCICACNMNIKGADVGLYIPDSSNEDQYRCTCGFSAIMNRKLGYNSGLFLEDELDIFGKNSDIGQAAERRLMMCQSTFLPQVEGTKKPQEPPISLLLLLQNQHTQPFASLNFLDYISSNNRQTLPCVSWSYDRVQADNNDYWTECFNALEQGRQYVDNPTGGKVDEALVRSATVHSWPHSNVLDISMLSSQDVVRMLLSLQPFLQDAIQKKRTGRTWENIQHVQGPLTWQQFHKMAGRGTYGSEESPEPLPIPTLLVGYDKDFLTISPFSLPFWERLLLDPYGGHRDVAYIVVCPENEALLEGAKTFFRDLSAVYEMCRLGQHKPICKVLRDGIMRVGKTVAQKLTDELVSEWFNQPWSGEENDNHSRLKLYAQVCRHHLAPYLATLQLDSSLLIPPKYQTPPAAAQGQATPGNAGPLAPNGSAAPPAGSAFNPTSNSSSTNPAASSSASGSSVPPVSSSASAPGISQISTTSSSGFSGSVGGQNPSTGGISADRTQGNIGCGGDTDPGQSSSQPSQDGQESVTERERIGIPTEPDSADSHAHPPAVVIYMVDPFTYAAEEDSTSGNFWLLSLMRCYTEMLDNLPEHMRNSFILQIVPCQYMLQTMKDEQVFYIQYLKSMAFSVYCQCRRPLPTQIHIKSLTGFGPAASIEMTLKNPERPSPIQLYSPPFILAPIKDKQTELGETFGEASQKYNVLFVGYCLSHDQRWLLASCTDLHGELLETCVVNIALPNRSRRSKVSARKIGLQKLWEWCIGIVQMTSLPWRVVIGRLGRLGHGELKDWSILLGECSLQTISKKLKDVCRMCGISAADSPSILSACLVAMEPQGSFVVMPDAVTMGSVFGRSTALNMQSSQLNTPQDASCTHILVFPTSSTIQVAPANYPNEDGFSPNNDDMFVDLPFPDDMDNDIGILMTGNLHSSPNSSPVPSPGSPSGIGVGSHFQHSRSQGERLLSREAPEELKQQPLALGYFVSTAKAENLPQWFWSSCPQAQNQCPLFLKASLHHHISVAQTDELLPARNSQRVPHPLDSKTTSDVLRFVLEQYNALSWLTCNPATQDRTSCLPVHFVVLTQLYNAIMNIL
+>DECOY_sp|Q71F56|MD13L_HUMAN Mediator of RNA polymerase II transcription subunit 13-like OS=Homo sapiens OX=9606 GN=MED13L PE=1 SV=1
+LINMIANYLQTLVVFHVPLCSTRDQTAPNCTLWSLANYQELVFRLVDSTTKSDLPHPVRQSNRAPLLEDTQAVSIHHHLSAKLFLPCQNQAQPCSSWFWQPLNEAKATSVFYGLALPQQKLEEPAERSLLREGQSRSHQFHSGVGIGSPSGPSPVPSSNPSSHLNGTMLIGIDNDMDDPFPLDVFMDDNNPSFGDENPYNAPAVQITSSTPFVLIHTCSADQPTNLQSSQMNLATSRGFVSGMTVADPMVVFSGQPEMAVLCASLISPSDAASIGCMRCVDKLKKSITQLSCEGLLISWDKLEGHGLRGLRGIVVRWPLSTMQVIGICWEWLKQLGIKRASVKSRRSRNPLAINVVCTELLEGHLDTCSALLWRQDHSLCYGVFLVNYKQSAEGFTEGLETQKDKIPALIFPPSYLQIPSPREPNKLTMEISAAPGFGTLSKIHIQTPLPRRCQCYVSFAMSKLYQIYFVQEDKMTQLMYQCPVIQLIFSNRMHEPLNDLMETYCRMLSLLWFNGSTSDEEAAYTFPDVMYIVVAPPHAHSDASDPETPIGIRERETVSEQGDQSPQSSSQGPDTDGGCGINGQTRDASIGGTSPNQGGVSGSFGSSSTTSIQSIGPASASSSVPPVSSGSASSSAAPNTSSSNSTPNFASGAPPAASGNPALPGANGPTAQGQAAAPPTQYKPPILLSSDLQLTALYPALHHRCVQAYLKLRSHNDNEEGSWPQNFWESVLEDTLKQAVTKGVRMIGDRLVKCIPKHQGLRCMEYVASLDRFFTKAGELLAENEPCVVIYAVDRHGGYPDLLLREWFPLSFPSITLFDKDYGVLLTPIPLPEPSEESGYTGRGAMKHFQQWTLPGQVHQINEWTRGTRKKQIADQLFPQLSLLMRVVDQSSLMSIDLVNSHPWSHVTASRVLAEDVKGGTPNDVYQRGQELANFCETWYDNNDAQVRDYSWSVCPLTQRNNSSIYDLFNLSAFPQTHQNQLLLLLSIPPEQPKKTGEVQPLFTSQCMMLRREAAQGIDSNKGFIDLEDELFLGSNYGLKRNMIASFGCTCRYQDENSSDPIYLGVDAGKINMNCACICCSDFNRDKFINMVSDSLILTVYLSHAEPIPQMTAPEVSNLPRTTSPTSAPSGQDTSDYKVSGQGSATGGGRPTRPTRPTRPTPVSFRPTAPSPLVGAGSNSAPAASNLTVPTNMQPTNLYDPDALSGVSPVNNYGDRIFTAAPPMPLQEIKPPIAWSPRFLCADPIKLPLLCHSPLMKLPAFMSSGVFPQFSPVKHVYSFDKIEEPKPSGLGDEVEMKFETLQTSVMSVMPSEMMGLATVTESSIGDKYNMVPSFAPHQELSPPTPFMRQLDAVTPPYPVAARGDKGLPRDETGVAPMKSSRLAPSVAGLEDDDSNDFINDLDHLSPALDTVQTLSSSGARGAANDQRVDTKTASSFISMANKGDPVPTSHGPTTVDKTGFGDESKNKKLSDKETGQKCKKNATFIYKIDGDEFTYPDNVEGLSDGPQQSLPLPDLFHLPQLQPQKDQWIKFRKNPQALLRQLATSESNVEMKADCREGQLEPPRFEADDSPPLRYSHWWKESSEPNSPRIGCYLATESVEAMLPLRQGVLVTRDDLTSLQQLELGNGYLAPNVPVSPPDLSETEQGRPQPSLTPPLPSIPSHPSDMPNLNMQKSTNRSPVAMQKDYKRSSSVELSSDIGALGLKQGPTDQEMCLEEAVSPRHHFPILPRKQLKDGKEQREATKHKSSAPPPLSSSSSPGASFGPQSVTPPRNPGVACRKLLKHRSCSCSVRQTPDVFDWTAPNSAPEEELTPTSMQSRKSQTRNLICEKWVRHVMHNHLKPPIKGSRKPSHMTIMGGDQSVLHSAASQMGGSEGLIAQEPSTPPTLPMGCNSPDKVSGLGQQGVAIHGGASAVSQPVPIDNQSILVFASPYVMRVGGVIVEVAVPFDDDYGLEDEEKSEEKKKLVMPYFYQWEEILKRTAPDSMKYAQGTLTGNLGYPSVLVQFPAPSSQAMHIHEENILYIPQHQAIEVSTCVNSEGHLFFTFACSLHESKNVPKEDKEYPRVFWKGIRVFNKDMLCRELLNHIAKFLLTRCEYSLGNEWLGEEVVQLEHHIVGVLNPEDGWWFIWLEKCDPKVDRRWVCLLNAQLCRIFSLLIPDDQAPASIIPGCDGHGGFNYRRWKIGTLEALSFLNSHCDELSAGNAVWNAAATM
+>sp|Q9Y6D9|MD1L1_HUMAN Mitotic spindle assembly checkpoint protein MAD1 OS=Homo sapiens OX=9606 GN=MAD1L1 PE=1 SV=2
+MEDLGENTMVLSTLRSLNNFISQRVEGGSGLDISTSAPGSLQMQYQQSMQLEERAEQIRSKSHLIQVEREKMQMELSHKRARVELERAASTSARNYEREVDRNQELLTRIRQLQEREAGAEEKMQEQLERNRQCQQNLDAASKRLREKEDSLAQAGETINALKGRISELQWSVMDQEMRVKRLESEKQELQEQLDLQHKKCQEANQKIQELQASQEARADHEQQIKDLEQKLSLQEQDAAIVKNMKSELVRLPRLERELKQLREESAHLREMRETNGLLQEELEGLQRKLGRQEKMQETLVGLELENERLLAKLQSWERLDQTMGLSIRTPEDLSRFVVELQQRELALKDKNSAVTSSARGLEKARQQLQEELRQVSGQLLEERKKRETHEALARRLQKRVLLLTKERDGMRAILGSYDSELTPAEYSPQLTRRMREAEDMVQKVHSHSAEMEAQLSQALEELGGQKQRADMLEMELKMLKSQSSSAEQSFLFSREEADTLRLKVEELEGERSRLEEEKRMLEAQLERRALQGDYDQSRTKVLHMSLNPTSVARQRLREDHSQLQAECERLRGLLRAMERGGTVPADLEAAAASLPSSKEVAELKKQVESAELKNQRLKEVFQTKIQEFRKACYTLTGYQIDITTENQYRLTSLYAEHPGDCLIFKATSPSGSKMQLLETEFSHTVGELIEVHLRRQDSIPAFLSSLTLELFSRQTVA
+>DECOY_sp|Q9Y6D9|MD1L1_HUMAN Mitotic spindle assembly checkpoint protein MAD1 OS=Homo sapiens OX=9606 GN=MAD1L1 PE=1 SV=2
+AVTQRSFLELTLSSLFAPISDQRRLHVEILEGVTHSFETELLQMKSGSPSTAKFILCDGPHEAYLSTLRYQNETTIDIQYGTLTYCAKRFEQIKTQFVEKLRQNKLEASEVQKKLEAVEKSSPLSAAAAELDAPVTGGREMARLLGRLRECEAQLQSHDERLRQRAVSTPNLSMHLVKTRSQDYDGQLARRELQAELMRKEEELRSREGELEEVKLRLTDAEERSFLFSQEASSSQSKLMKLEMELMDARQKQGGLEELAQSLQAEMEASHSHVKQVMDEAERMRRTLQPSYEAPTLESDYSGLIARMGDREKTLLLVRKQLRRALAEHTERKKREELLQGSVQRLEEQLQQRAKELGRASSTVASNKDKLALERQQLEVVFRSLDEPTRISLGMTQDLREWSQLKALLRENELELGVLTEQMKEQRGLKRQLGELEEQLLGNTERMERLHASEERLQKLERELRPLRVLESKMNKVIAADQEQLSLKQELDKIQQEHDARAEQSAQLEQIKQNAEQCKKHQLDLQEQLEQKESELRKVRMEQDMVSWQLESIRGKLANITEGAQALSDEKERLRKSAADLNQQCQRNRELQEQMKEEAGAEREQLQRIRTLLEQNRDVEREYNRASTSAARELEVRARKHSLEMQMKEREVQILHSKSRIQEAREELQMSQQYQMQLSGPASTSIDLGSGGEVRQSIFNNLSRLTSLVMTNEGLDEM
+>sp|Q13257|MD2L1_HUMAN Mitotic spindle assembly checkpoint protein MAD2A OS=Homo sapiens OX=9606 GN=MAD2L1 PE=1 SV=1
+MALQLSREQGITLRGSAEIVAEFFSFGINSILYQRGIYPSETFTRVQKYGLTLLVTTDLELIKYLNNVVEQLKDWLYKCSVQKLVVVISNIESGEVLERWQFDIECDKTAKDDSAPREKSQKAIQDEIRSVIRQITATVTFLPLLEVSCSFDLLIYTDKDLVVPEKWEESGPQFITNSEEVRLRSFTTTIHKVNSMVAYKIPVND
+>DECOY_sp|Q13257|MD2L1_HUMAN Mitotic spindle assembly checkpoint protein MAD2A OS=Homo sapiens OX=9606 GN=MAD2L1 PE=1 SV=1
+DNVPIKYAVMSNVKHITTTFSRLRVEESNTIFQPGSEEWKEPVVLDKDTYILLDFSCSVELLPLFTVTATIQRIVSRIEDQIAKQSKERPASDDKATKDCEIDFQWRELVEGSEINSIVVVLKQVSCKYLWDKLQEVVNNLYKILELDTTVLLTLGYKQVRTFTESPYIGRQYLISNIGFSFFEAVIEASGRLTIGQERSLQLAM
+>sp|Q14676|MDC1_HUMAN Mediator of DNA damage checkpoint protein 1 OS=Homo sapiens OX=9606 GN=MDC1 PE=1 SV=3
+MEDTQAIDWDVEEEEETEQSSESLRCNVEPVGRLHIFSGAHGPEKDFPLHLGKNVVGRMPDCSVALPFPSISKQHAEIEILAWDKAPILRDCGSLNGTQILRPPKVLSPGVSHRLRDQELILFADLLCQYHRLDVSLPFVSRGPLTVEETPRVQGETQPQRLLLAEDSEEEVDFLSERRMVKKSRTTSSSVIVPESDEEGHSPVLGGLGPPFAFNLNSDTDVEEGQQPATEEASSAARRGATVEAKQSEAEVVTEIQLEKDQPLVKERDNDTKVKRGAGNGVVPAGVILERSQPPGEDSDTDVDDDSRPPGRPAEVHLERAQPFGFIDSDTDAEEERIPATPVVIPMKKRKIFHGVGTRGPGAPGLAHLQESQAGSDTDVEEGKAPQAVPLEKSQASMVINSDTDDEEEVSAALTLAHLKESQPAIWNRDAEEDMPQRVVLLQRSQTTTERDSDTDVEEEELPVENREAVLKDHTKIRALVRAHSEKDQPPFGDSDDSVEADKSSPGIHLERSQASTTVDINTQVEKEVPPGSAIIHIKKHQVSVEGTNQTDVKAVGGPAKLLVVSLEEAWPLHGDCETDAEEGTSLTASVVADVRKSQLPAEGDAGAEWAAAVLKQERAHEVGAQGGPPVAQVEQDLPISRENLTDLVVDTDTLGESTQPQREGAQVPTGREREQHVGGTKDSEDNYGDSEDLDLQATQCFLENQGLEAVQSMEDEPTQAFMLTPPQELGPSHCSFQTTGTLDEPWEVLATQPFCLRESEDSETQPFDTHLEAYGPCLSPPRAIPGDQHPESPVHTEPMGIQGRGRQTVDKVMGIPKETAERVGPERGPLERETEKLLPERQTDVTGEEELTKGKQDREQKQLLARDTQRQESDKNGESASPERDRESLKVEIETSEEIQEKQVQKQTLPSKAFEREVERPVANRECDPAELEEKVPKVILERDTQRGEPEGGSQDQKGQASSPTPEPGVGAGDLPGPTSAPVPSGSQSGGRGSPVSPRRHQKGLLNCKMPPAEKASRIRAAEKVSRGDQESPDACLPPTVPEAPAPPQKPLNSQSQKHLAPPPLLSPLLPSIKPTVRKTRQDGSQEAPEAPLSSELEPFHPKPKIRTRKSSRMTPFPATSAAPEPHPSTSTAQPVTPKPTSQATRSRTNRSSVKTPEPVVPTAPELQPSTSTDQPVTSEPTSQVTRGRKSRSSVKTPETVVPTALELQPSTSTDRPVTSEPTSQATRGRKNRSSVKTPEPVVPTAPELQPSTSTDQPVTSEPTYQATRGRKNRSSVKTPEPVVPTAPELRPSTSTDRPVTPKPTSRTTRSRTNMSSVKTPETVVPTAPELQISTSTDQPVTPKPTSRTTRSRTNMSSVKNPESTVPIAPELPPSTSTEQPVTPEPTSRATRGRKNRSSGKTPETLVPTAPKLEPSTSTDQPVTPEPTSQATRGRTNRSSVKTPETVVPTAPELQPSTSTDQPVTPEPTSQATRGRTDRSSVKTPETVVPTAPELQASASTDQPVTSEPTSRTTRGRKNRSSVKTPETVVPAAPELQPSTSTDQPVTPEPTSRATRGRTNRSSVKTPESIVPIAPELQPSTSRNQLVTPEPTSRATRCRTNRSSVKTPEPVVPTAPEPHPTTSTDQPVTPKLTSRATRRKTNRSSVKTPKPVEPAASDLEPFTPTDQSVTPEAIAQGGQSKTLRSSTVRAMPVPTTPEFQSPVTTDQPISPEPITQPSCIKRQRAAGNPGSLAAPIDHKPCSAPLEPKSQASRNQRWGAVRAAESLTAIPEPASPQLLETPIHASQIQKVEPAGRSRFTPELQPKASQSRKRSLATMDSPPHQKQPQRGEVSQKTVIIKEEEEDTAEKPGKEEDVVTPKPGKRKRDQAEEEPNRIPSRSLRRTKLNQESTAPKVLFTGVVDARGERAVLALGGSLAGSAAEASHLVTDRIRRTVKFLCALGRGIPILSLDWLHQSRKAGFFLPPDEYVVTDPEQEKNFGFSLQDALSRARERRLLEGYEIYVTPGVQPPPPQMGEIISCCGGTYLPSMPRSYKPQRVVITCPQDFPHCSIPLRVGLPLLSPEFLLTGVLKQEAKPEAFVLSPLEMSST
+>DECOY_sp|Q14676|MDC1_HUMAN Mediator of DNA damage checkpoint protein 1 OS=Homo sapiens OX=9606 GN=MDC1 PE=1 SV=3
+TSSMELPSLVFAEPKAEQKLVGTLLFEPSLLPLGVRLPISCHPFDQPCTIVVRQPKYSRPMSPLYTGGCCSIIEGMQPPPPQVGPTVYIEYGELLRRERARSLADQLSFGFNKEQEPDTVVYEDPPLFFGAKRSQHLWDLSLIPIGRGLACLFKVTRRIRDTVLHSAEAASGALSGGLALVAREGRADVVGTFLVKPATSEQNLKTRRLSRSPIRNPEEEAQDRKRKGPKPTVVDEEKGPKEATDEEEEKIIVTKQSVEGRQPQKQHPPSDMTALSRKRSQSAKPQLEPTFRSRGAPEVKQIQSAHIPTELLQPSAPEPIATLSEAARVAGWRQNRSAQSKPELPASCPKHDIPAALSGPNGAARQRKICSPQTIPEPSIPQDTTVPSQFEPTTPVPMARVTSSRLTKSQGGQAIAEPTVSQDTPTFPELDSAAPEVPKPTKVSSRNTKRRTARSTLKPTVPQDTSTTPHPEPATPVVPEPTKVSSRNTRCRTARSTPEPTVLQNRSTSPQLEPAIPVISEPTKVSSRNTRGRTARSTPEPTVPQDTSTSPQLEPAAPVVTEPTKVSSRNKRGRTTRSTPESTVPQDTSASAQLEPATPVVTEPTKVSSRDTRGRTAQSTPEPTVPQDTSTSPQLEPATPVVTEPTKVSSRNTRGRTAQSTPEPTVPQDTSTSPELKPATPVLTEPTKGSSRNKRGRTARSTPEPTVPQETSTSPPLEPAIPVTSEPNKVSSMNTRSRTTRSTPKPTVPQDTSTSIQLEPATPVVTEPTKVSSMNTRSRTTRSTPKPTVPRDTSTSPRLEPATPVVPEPTKVSSRNKRGRTAQYTPESTVPQDTSTSPQLEPATPVVPEPTKVSSRNKRGRTAQSTPESTVPRDTSTSPQLELATPVVTEPTKVSSRSKRGRTVQSTPESTVPQDTSTSPQLEPATPVVPEPTKVSSRNTRSRTAQSTPKPTVPQATSTSPHPEPAASTAPFPTMRSSKRTRIKPKPHFPELESSLPAEPAEQSGDQRTKRVTPKISPLLPSLLPPPALHKQSQSNLPKQPPAPAEPVTPPLCADPSEQDGRSVKEAARIRSAKEAPPMKCNLLGKQHRRPSVPSGRGGSQSGSPVPASTPGPLDGAGVGPEPTPSSAQGKQDQSGGEPEGRQTDRELIVKPVKEELEAPDCERNAVPREVEREFAKSPLTQKQVQKEQIEESTEIEVKLSERDREPSASEGNKDSEQRQTDRALLQKQERDQKGKTLEEEGTVDTQREPLLKETERELPGREPGVREATEKPIGMVKDVTQRGRGQIGMPETHVPSEPHQDGPIARPPSLCPGYAELHTDFPQTESDESERLCFPQTALVEWPEDLTGTTQFSCHSPGLEQPPTLMFAQTPEDEMSQVAELGQNELFCQTAQLDLDESDGYNDESDKTGGVHQERERGTPVQAGERQPQTSEGLTDTDVVLDTLNERSIPLDQEVQAVPPGGQAGVEHAREQKLVAAAWEAGADGEAPLQSKRVDAVVSATLSTGEEADTECDGHLPWAEELSVVLLKAPGGVAKVDTQNTGEVSVQHKKIHIIASGPPVEKEVQTNIDVTTSAQSRELHIGPSSKDAEVSDDSDGFPPQDKESHARVLARIKTHDKLVAERNEVPLEEEEVDTDSDRETTTQSRQLLVVRQPMDEEADRNWIAPQSEKLHALTLAASVEEEDDTDSNIVMSAQSKELPVAQPAKGEEVDTDSGAQSEQLHALGPAGPGRTGVGHFIKRKKMPIVVPTAPIREEEADTDSDIFGFPQARELHVEAPRGPPRSDDDVDTDSDEGPPQSRELIVGAPVVGNGAGRKVKTDNDREKVLPQDKELQIETVVEAESQKAEVTAGRRAASSAEETAPQQGEEVDTDSNLNFAFPPGLGGLVPSHGEEDSEPVIVSSSTTRSKKVMRRESLFDVEEESDEALLLRQPQTEGQVRPTEEVTLPGRSVFPLSVDLRHYQCLLDAFLILEQDRLRHSVGPSLVKPPRLIQTGNLSGCDRLIPAKDWALIEIEAHQKSISPFPLAVSCDPMRGVVNKGLHLPFDKEPGHAGSFIHLRGVPEVNCRLSESSQETEEEEEVDWDIAQTDEM
+>sp|Q99750|MDFI_HUMAN MyoD family inhibitor OS=Homo sapiens OX=9606 GN=MDFI PE=1 SV=1
+MYQVSGQRPSGCDAPYGAPSAAPGPAQTLSLLPGLEVVTGSTHPAEAAPEEGSLEEAATPMPQGNGPGIPQGLDSTDLDVPTEAVTCQPQGNPLGCTPLLPNDSGHPSELGGTRRAGNGALGGPKAHRKLQTHPSLASQGSKKSKSSSKSTTSQIPLQAQEDCCVHCILSCLFCEFLTLCNIVLDCATCGSCSSEDSCLCCCCCGSGECADCDLPCDLDCGILDACCESADCLEICMECCGLCFSS
+>DECOY_sp|Q99750|MDFI_HUMAN MyoD family inhibitor OS=Homo sapiens OX=9606 GN=MDFI PE=1 SV=1
+SSFCLGCCEMCIELCDASECCADLIGCDLDCPLDCDACEGSGCCCCCLCSDESSCSGCTACDLVINCLTLFECFLCSLICHVCCDEQAQLPIQSTTSKSSSKSKKSGQSALSPHTQLKRHAKPGGLAGNGARRTGGLESPHGSDNPLLPTCGLPNGQPQCTVAETPVDLDTSDLGQPIGPGNGQPMPTAAEELSGEEPAAEAPHTSGTVVELGPLLSLTQAPGPAASPAGYPADCGSPRQGSVQYM
+>sp|Q8NDY4|MDS2_HUMAN Myelodysplastic syndrome 2 translocation-associated protein OS=Homo sapiens OX=9606 GN=MDS2 PE=2 SV=1
+MLQAADFIERTETAGELSRGLIGVLSSQISWCLLNVNLSKLPTRLQRLSCSVLNSSPAMRGGARGRPQLTLERPLRPGCRLHSCSEAEKGGFVRRKEIILFPPCEDPARGWLSANPGREPSPGICWHLNLGLPSLHNCEE
+>DECOY_sp|Q8NDY4|MDS2_HUMAN Myelodysplastic syndrome 2 translocation-associated protein OS=Homo sapiens OX=9606 GN=MDS2 PE=2 SV=1
+EECNHLSPLGLNLHWCIGPSPERGPNASLWGRAPDECPPFLIIEKRRVFGGKEAESCSHLRCGPRLPRELTLQPRGRAGGRMAPSSNLVSCSLRQLRTPLKSLNVNLLCWSIQSSLVGILGRSLEGATETREIFDAAQLM
+>sp|P0C7V9|ME15P_HUMAN Putative methyltransferase-like protein 15P1 OS=Homo sapiens OX=9606 GN=METTL15P1 PE=5 SV=1
+MLRYPYFCRMYKECLSCWLESGIPNLGVWPKRIHTTAEKYREYEAREQTDQTQVQELHRSQDRDFETMAKLHIPVMVDEVVHCLSPQKGQIFLDMTFGSGGHTKAILQKESDIVLYALDRDPTAYALAEHLSELYPKQIRAMLGQFSQAEALLMKAGVQPGTFDGVLMDLGCSSMQLDTPERGSSLRKDGPLDIRMDGGRNISSLCYLYTERLTTAIYLYCHQDFPGSSHICEQ
+>DECOY_sp|P0C7V9|ME15P_HUMAN Putative methyltransferase-like protein 15P1 OS=Homo sapiens OX=9606 GN=METTL15P1 PE=5 SV=1
+QECIHSSGPFDQHCYLYIATTLRETYLYCLSSINRGGDMRIDLPGDKRLSSGREPTDLQMSSCGLDMLVGDFTGPQVGAKMLLAEAQSFQGLMARIQKPYLESLHEALAYATPDRDLAYLVIDSEKQLIAKTHGGSGFTMDLFIQGKQPSLCHVVEDVMVPIHLKAMTEFDRDQSRHLEQVQTQDTQERAEYERYKEATTHIRKPWVGLNPIGSELWCSLCEKYMRCFYPYRLM
+>sp|O95402|MED26_HUMAN Mediator of RNA polymerase II transcription subunit 26 OS=Homo sapiens OX=9606 GN=MED26 PE=1 SV=2
+MTAAPASPQQIRDRLLQAIDPQSNIRNMVAVLEVISSLEKYPITKEALEETRLGKLINDVRKKTKNEELAKRAKKLLRSWQKLIEPAHQHEAALRGLAGATGSANGGAHNCRPEVGAAGPPRSIHDLKSRNDLQRLPGQRLDRLGSRKRRGDQRDLGHPGPPPKVSKASHDPLVPNSSPLPTNGISGSPESFASSLDGSGHAGPEGSRLERDENDKHSGKIPVNAVRPHTSSPGLGKPPGPCLQPKASVLQQLDRVDETPGPPHPKGPPRCSFSPRNSRHEGSFARQQSLYAPKGSVPSPSPRPQALDATQVPSPLPLAQPSTPPVRRLELLPSAESPVCWLEQPESHQRLAGPGCKAGLSPAEPLLSRAGFSPDSSKADSDAASSGGSDSKKKKRYRPRDYTVNLDGQVAEAGVKPVRLKERKLTFDPMTRQIKPLTQKEPVRADSPVHMEQQSRTELDKQEAKASLQSPFEQTNWKELSRNEIIQSYLSRQSSLLSSSGAQTPGAHHFMSEYLKQEESTRQGARQLHVLVPQSPPTDLPGLTREVTQDDLDRIQASQWPGVNGCQDTQGNWYDWTQCISLDPHGDDGRLNILPYVCLD
+>DECOY_sp|O95402|MED26_HUMAN Mediator of RNA polymerase II transcription subunit 26 OS=Homo sapiens OX=9606 GN=MED26 PE=1 SV=2
+DLCVYPLINLRGDDGHPDLSICQTWDYWNGQTDQCGNVGPWQSAQIRDLDDQTVERTLGPLDTPPSQPVLVHLQRAGQRTSEEQKLYESMFHHAGPTQAGSSSLLSSQRSLYSQIIENRSLEKWNTQEFPSQLSAKAEQKDLETRSQQEMHVPSDARVPEKQTLPKIQRTMPDFTLKREKLRVPKVGAEAVQGDLNVTYDRPRYRKKKKSDSGGSSAADSDAKSSDPSFGARSLLPEAPSLGAKCGPGALRQHSEPQELWCVPSEASPLLELRRVPPTSPQALPLPSPVQTADLAQPRPSPSPVSGKPAYLSQQRAFSGEHRSNRPSFSCRPPGKPHPPGPTEDVRDLQQLVSAKPQLCPGPPKGLGPSSTHPRVANVPIKGSHKDNEDRELRSGEPGAHGSGDLSSAFSEPSGSIGNTPLPSSNPVLPDHSAKSVKPPPGPHGLDRQDGRRKRSGLRDLRQGPLRQLDNRSKLDHISRPPGAAGVEPRCNHAGGNASGTAGALGRLAAEHQHAPEILKQWSRLLKKARKALEENKTKKRVDNILKGLRTEELAEKTIPYKELSSIVELVAVMNRINSQPDIAQLLRDRIQQPSAPAATM
+>sp|Q9Y3C7|MED31_HUMAN Mediator of RNA polymerase II transcription subunit 31 OS=Homo sapiens OX=9606 GN=MED31 PE=1 SV=1
+MAAAVAMETDDAGNRLRFQLELEFVQCLANPNYLNFLAQRGYFKDKAFVNYLKYLLYWKDPEYAKYLKYPQCLHMLELLQYEHFRKELVNAQCAKFIDEQQILHWQHYSRKRMRLQQALAEQQQQNNTSGK
+>DECOY_sp|Q9Y3C7|MED31_HUMAN Mediator of RNA polymerase II transcription subunit 31 OS=Homo sapiens OX=9606 GN=MED31 PE=1 SV=1
+KGSTNNQQQQEALAQQLRMRKRSYHQWHLIQQEDIFKACQANVLEKRFHEYQLLELMHLCQPYKLYKAYEPDKWYLLYKLYNVFAKDKFYGRQALFNLYNPNALCQVFELELQFRLRNGADDTEMAVAAAM
+>sp|Q02078|MEF2A_HUMAN Myocyte-specific enhancer factor 2A OS=Homo sapiens OX=9606 GN=MEF2A PE=1 SV=1
+MGRKKIQITRIMDERNRQVTFTKRKFGLMKKAYELSVLCDCEIALIIFNSSNKLFQYASTDMDKVLLKYTEYNEPHESRTNSDIVEALNKKEHRGCDSPDPDTSYVLTPHTEEKYKKINEEFDNMMRNHKIAPGLPPQNFSMSVTVPVTSPNALSYTNPGSSLVSPSLAASSTLTDSSMLSPPQTTLHRNVSPGAPQRPPSTGNAGGMLSTTDLTVPNGAGSSPVGNGFVNSRASPNLIGATGANSLGKVMPTKSPPPPGGGNLGMNSRKPDLRVVIPPSSKGMMPPLSEEEELELNTQRISSSQATQPLATPVVSVTTPSLPPQGLVYSAMPTAYNTDYSLTSADLSALQGFNSPGMLSLGQVSAWQQHHLGQAALSSLVAGGQLSQGSNLSINTNQNISIKSEPISPPRDRMTPSGFQQQQQQQQQQQPPPPPQPQPQPPQPQPRQEMGRSPVDSLSSSSSSYDGSDREDPRGDFHSPIVLGRPPNTEDRESPSVKRMRMDAWVT
+>DECOY_sp|Q02078|MEF2A_HUMAN Myocyte-specific enhancer factor 2A OS=Homo sapiens OX=9606 GN=MEF2A PE=1 SV=1
+TVWADMRMRKVSPSERDETNPPRGLVIPSHFDGRPDERDSGDYSSSSSSLSDVPSRGMEQRPQPQPPQPQPQPPPPPQQQQQQQQQQQFGSPTMRDRPPSIPESKISINQNTNISLNSGQSLQGGAVLSSLAAQGLHHQQWASVQGLSLMGPSNFGQLASLDASTLSYDTNYATPMASYVLGQPPLSPTTVSVVPTALPQTAQSSSIRQTNLELEEEESLPPMMGKSSPPIVVRLDPKRSNMGLNGGGPPPPSKTPMVKGLSNAGTAGILNPSARSNVFGNGVPSSGAGNPVTLDTTSLMGGANGTSPPRQPAGPSVNRHLTTQPPSLMSSDTLTSSAALSPSVLSSGPNTYSLANPSTVPVTVSMSFNQPPLGPAIKHNRMMNDFEENIKKYKEETHPTLVYSTDPDPSDCGRHEKKNLAEVIDSNTRSEHPENYETYKLLVKDMDTSAYQFLKNSSNFIILAIECDCLVSLEYAKKMLGFKRKTFTVQRNREDMIRTIQIKKRGM
+>sp|Q06413|MEF2C_HUMAN Myocyte-specific enhancer factor 2C OS=Homo sapiens OX=9606 GN=MEF2C PE=1 SV=1
+MGRKKIQITRIMDERNRQVTFTKRKFGLMKKAYELSVLCDCEIALIIFNSTNKLFQYASTDMDKVLLKYTEYNEPHESRTNSDIVETLRKKGLNGCDSPDPDADDSVGHSPESEDKYRKINEDIDLMISRQRLCAVPPPNFEMPVSIPVSSHNSLVYSNPVSSLGNPNLLPLAHPSLQRNSMSPGVTHRPPSAGNTGGLMGGDLTSGAGTSAGNGYGNPRNSPGLLVSPGNLNKNMQAKSPPPMNLGMNNRKPDLRVLIPPGSKNTMPSVSEDVDLLLNQRINNSQSAQSLATPVVSVATPTLPGQGMGGYPSAISTTYGTEYSLSSADLSSLSGFNTASALHLGSVTGWQQQHLHNMPPSALSQLGACTSTHLSQSSNLSLPSTQSLNIKSEPVSPPRDRTTTPSRYPQHTRHEAGRSPVDSLSSCSSSYDGSDREDHRNEFHSPIGLTRPSPDERESPSVKRMRLSEGWAT
+>DECOY_sp|Q06413|MEF2C_HUMAN Myocyte-specific enhancer factor 2C OS=Homo sapiens OX=9606 GN=MEF2C PE=1 SV=1
+TAWGESLRMRKVSPSEREDPSPRTLGIPSHFENRHDERDSGDYSSSCSSLSDVPSRGAEHRTHQPYRSPTTTRDRPPSVPESKINLSQTSPLSLNSSQSLHTSTCAGLQSLASPPMNHLHQQQWGTVSGLHLASATNFGSLSSLDASSLSYETGYTTSIASPYGGMGQGPLTPTAVSVVPTALSQASQSNNIRQNLLLDVDESVSPMTNKSGPPILVRLDPKRNNMGLNMPPPSKAQMNKNLNGPSVLLGPSNRPNGYGNGASTGAGSTLDGGMLGGTNGASPPRHTVGPSMSNRQLSPHALPLLNPNGLSSVPNSYVLSNHSSVPISVPMEFNPPPVACLRQRSIMLDIDENIKRYKDESEPSHGVSDDADPDPSDCGNLGKKRLTEVIDSNTRSEHPENYETYKLLVKDMDTSAYQFLKNTSNFIILAIECDCLVSLEYAKKMLGFKRKTFTVQRNREDMIRTIQIKKRGM
+>sp|O75095|MEGF6_HUMAN Multiple epidermal growth factor-like domains protein 6 OS=Homo sapiens OX=9606 GN=MEGF6 PE=1 SV=4
+MSFLEEARAAGRAVVLALVLLLLPAVPVGASVPPRPLLPLQPGMPHVCAEQELTLVGRRQPCVQALSHTVPVWKAGCGWQAWCVGHERRTVYYMGYRQVYTTEARTVLRCCRGWMQQPDEEGCLSAECSASLCFHGGRCVPGSAQPCHCPPGFQGPRCQYDVDECRTHNGGCQHRCVNTPGSYLCECKPGFRLHTDSRTCLAINSCALGNGGCQHHCVQLTITRHRCQCRPGFQLQEDGRHCVRRSPCANRNGSCMHRCQVVRGLARCECHVGYQLAADGKACEDVDECAAGLAQCAHGCLNTQGSFKCVCHAGYELGADGRQCYRIEMEIVNSCEANNGGCSHGCSHTSAGPLCTCPRGYELDTDQRTCIDVDDCADSPCCQQVCTNNPGGYECGCYAGYRLSADGCGCEDVDECASSRGGCEHHCTNLAGSFQCSCEAGYRLHEDRRGCSPLEEPMVDLDGELPFVRPLPHIAVLQDELPQLFQDDDVGADEEEAELRGEHTLTEKFVCLDDSFGHDCSLTCDDCRNGGTCLLGLDGCDCPEGWTGLICNETCPPDTFGKNCSFSCSCQNGGTCDSVTGACRCPPGVSGTNCEDGCPKGYYGKHCRKKCNCANRGRCHRLYGACLCDPGLYGRFCHLTCPPWAFGPGCSEECQCVQPHTQSCDKRDGSCSCKAGFRGERCQAECELGYFGPGCWQACTCPVGVACDSVSGECGKRCPAGFQGEDCGQECPVGTFGVNCSSSCSCGGAPCHGVTGQCRCPPGRTGEDCEADCPEGRWGLGCQEICPACQHAARCDPETGACLCLPGFVGSRCQDVCPAGWYGPSCQTRCSCANDGHCHPATGHCSCAPGWTGFSCQRACDTGHWGPDCSHPCNCSAGHGSCDAISGLCLCEAGYVGPRCEQQCPQGHFGPGCEQRCQCQHGAACDHVSGACTCPAGWRGTFCEHACPAGFFGLDCRSACNCTAGAACDAVNGSCLCPAGRRGPRCAETCPAHTYGHNCSQACACFNGASCDPVHGQCHCAPGWMGPSCLQACPAGLYGDNCRHSCLCQNGGTCDPVSGHCACPEGWAGLACEKECLPRDVRAGCRHSGGCLNGGLCDPHTGRCLCPAGWTGDKCQSPCLRGWFGEACAQRCSCPPGAACHHVTGACRCPPGFTGSGCEQACPPGSFGEDCAQMCQCPGENPACHPATGTCSCAAGYHGPSCQQRCPPGRYGPGCEQLCGCLNGGSCDAATGACRCPTGFLGTDCNLTCPQGRFGPNCTHVCGCGQGAACDPVTGTCLCPPGRAGVRCERGCPQNRFGVGCEHTCSCRNGGLCHASNGSCSCGLGWTGRHCELACPPGRYGAACHLECSCHNNSTCEPATGTCRCGPGFYGQACEHPCPPGFHGAGCQGLCWCQHGAPCDPISGRCLCPAGFHGHFCERGCEPGSFGEGCHQRCDCDGGAPCDPVTGLCLCPPGRSGATCNLDCRRGQFGPSCTLHCDCGGGADCDPVSGQCHCVDGYMGPTCREGGPLRLPENPSLAQGSAGTLPASSRPTSRSGGPARH
+>DECOY_sp|O75095|MEGF6_HUMAN Multiple epidermal growth factor-like domains protein 6 OS=Homo sapiens OX=9606 GN=MEGF6 PE=1 SV=4
+HRAPGGSRSTPRSSAPLTGASGQALSPNEPLRLPGGERCTPGMYGDVCHCQGSVPDCDAGGGCDCHLTCSPGFQGRRCDLNCTAGSRGPPCLCLGTVPDCPAGGDCDCRQHCGEGFSGPECGRECFHGHFGAPCLCRGSIPDCPAGHQCWCLGQCGAGHFGPPCPHECAQGYFGPGCRCTGTAPECTSNNHCSCELHCAAGYRGPPCALECHRGTWGLGCSCSGNSAHCLGGNRCSCTHECGVGFRNQPCGRECRVGARGPPCLCTGTVPDCAAGQGCGCVHTCNPGFRGQPCTLNCDTGLFGTPCRCAGTAADCSGGNLCGCLQECGPGYRGPPCRQQCSPGHYGAACSCTGTAPHCAPNEGPCQCMQACDEGFSGPPCAQECGSGTFGPPCRCAGTVHHCAAGPPCSCRQACAEGFWGRLCPSQCKDGTWGAPCLCRGTHPDCLGGNLCGGSHRCGARVDRPLCEKECALGAWGEPCACHGSVPDCTGGNQCLCSHRCNDGYLGAPCAQLCSPGMWGPACHCQGHVPDCSAGNFCACAQSCNHGYTHAPCTEACRPGRRGAPCLCSGNVADCAAGATCNCASRCDLGFFGAPCAHECFTGRWGAPCTCAGSVHDCAAGHQCQCRQECGPGFHGQPCQQECRPGVYGAECLCLGSIADCSGHGASCNCPHSCDPGWHGTDCARQCSFGTWGPACSCHGTAPHCHGDNACSCRTQCSPGYWGAPCVDQCRSGVFGPLCLCAGTEPDCRAAHQCAPCIEQCGLGWRGEPCDAECDEGTRGPPCRCQGTVGHCPAGGCSCSSSCNVGFTGVPCEQGCDEGQFGAPCRKGCEGSVSDCAVGVPCTCAQWCGPGFYGLECEAQCREGRFGAKCSCSGDRKDCSQTHPQVCQCEESCGPGFAWPPCTLHCFRGYLGPDCLCAGYLRHCRGRNACNCKKRCHKGYYGKPCGDECNTGSVGPPCRCAGTVSDCTGGNQCSCSFSCNKGFTDPPCTENCILGTWGEPCDCGDLGLLCTGGNRCDDCTLSCDHGFSDDLCVFKETLTHEGRLEAEEEDAGVDDDQFLQPLEDQLVAIHPLPRVFPLEGDLDVMPEELPSCGRRDEHLRYGAECSCQFSGALNTCHHECGGRSSACEDVDECGCGDASLRYGAYCGCEYGGPNNTCVQQCCPSDACDDVDICTRQDTDLEYGRPCTCLPGASTHSCGHSCGGNNAECSNVIEMEIRYCQRGDAGLEYGAHCVCKFSGQTNLCGHACQALGAACEDVDECAKGDAALQYGVHCECRALGRVVQCRHMCSGNRNACPSRRVCHRGDEQLQFGPRCQCRHRTITLQVCHHQCGGNGLACSNIALCTRSDTHLRFGPKCECLYSGPTNVCRHQCGGNHTRCEDVDYQCRPGQFGPPCHCPQASGPVCRGGHFCLSASCEASLCGEEDPQQMWGRCCRLVTRAETTYVQRYGMYYVTRREHGVCWAQWGCGAKWVPVTHSLAQVCPQRRGVLTLEQEACVHPMGPQLPLLPRPPVSAGVPVAPLLLLVLALVVARGAARAEELFSM
+>sp|Q7Z7M0|MEGF8_HUMAN Multiple epidermal growth factor-like domains protein 8 OS=Homo sapiens OX=9606 GN=MEGF8 PE=1 SV=2
+MALGKVLAMALVLALAVLGSLSPGARAGDCKGQRQVLREAPGFVTDGAGNYSVNGNCEWLIEAPSPQHRILLDFLFLDTECTYDYLFVYDGDSPRGPLLASLSGSTRPPPIEASSGKMLLHLFSDANYNLLGFNASFRFSLCPGGCQSHGQCQPPGVCACEPGWGGPDCGLQECSAYCGSHGTCASPLGPCRCEPGFLGRACDLHLWENQGAGWWHNVSARDPAFSARIGAAGAFLSPPGLLAVFGGQDLNNALGDLVLYNFSANTWESWDLSPAPAARHSHVAVAWAGSLVLMGGELADGSLTNDVWAFSPLGRGHWELLAPPASSSSGPPGLAGHAAALVDDVWLYVSGGRTPHDLFSSGLFRFRLDSTSGGYWEQVIPAGGRPPAATGHSMVFHAPSRALLVHGGHRPSTARFSVRVNSTELFHVDRHVWTTLKGRDGLQGPRERAFHTASVLGNYMVVYGGNVHTHYQEEKCYEDGIFFYHLGCHQWVSGAELAPPGTPEGRAAPPSGRYSHVAAVLGGSVLLVAGGYSGRPRGDLMAYKVPPFVFQAPAPDYHLDYCSMYTDHSVCSRDPECSWCQGACQAAPPPGTPLGACPAASCLGLGRLLGDCQACLAFSSPTAPPRGPGTLGWCVHNESCLPRPEQARCRGEQISGTVGWWGPAPVFVTSLEACVTQSFLPGLHLLTFQQPPNTSQPDKVSIVRSTTITLTPSAETDVSLVYRGFIYPMLPGGPGGPGAEDVAVWTRAQRLHVLARMARGPDTENMEEVGRWVAHQEKETRRLQRPGSARLFPLPGRDHKYAVEIQGQLNGSAGPGHSELTLLWDRTGVPGGSEISFFFLEPYRSSSCTSYSSCLGCLADQGCGWCLTSATCHLRQGGAHCGDDGAGGSLLVLVPTLCPLCEEHRDCHACTQDPFCEWHQSTSRKGDAACSRRGRGRGALKSPEECPPLCSQRLTCEDCLANSSQCAWCQSTHTCFLFAAYLARYPHGGCRGWDDSVHSEPRCRSCDGFLTCHECLQSHECGWCGNEDNPTLGRCLQGDFSGPLGGGNCSLWVGEGLGLPVALPARWAYARCPDVDECRLGLARCHPRATCLNTPLSYECHCQRGYQGDGISHCNRTCLEDCGHGVCSGPPDFTCVCDLGWTSDLPPPTPAPGPPAPRCSRDCGCSFHSHCRKRGPGFCDECQDWTWGEHCERCRPGSFGNATGSRGCRPCQCNGHGDPRRGHCDNLSGLCFCQDHTEGAHCQLCSPGYYGDPRAGGSCFRECGGRALLTNVSSVALGSRRVGGLLPPGGGAARAGPGLSYCVWVVSATEELQPCAPGTLCPPLTLTFSPDSSTPCTLSYVLAFDGFPRFLDTGVVQSDRSLIAAFCGQRRDRPLTVQALSGLLVLHWEANGSSSWGFNASVGSARCGSGGPGSCPVPQECVPQDGAAGAGLCRCPQGWAGPHCRMALCPENCNAHTGAGTCNQSLGVCICAEGFGGPDCATKLDGGQLVWETLMDSRLSADTASRFLHRLGHTMVDGPDATLWMFGGLGLPQGLLGNLYRYSVSERRWTQMLAGAEDGGPGPSPRSFHAAAYVPAGRGAMYLLGGLTAGGVTRDFWVLNLTTLQWRQEKAPQTVELPAVAGHTLTARRGLSLLLVGGYSPENGFNQQLLEYQLATGTWVSGAQSGTPPTGLYGHSAVYHEATDSLYVFGGFRFHVELAAPSPELYSLHCPDRTWSLLAPSQGAKRDRMRNVRGSSRGLGQVPGEQPGSWGFREVRKKMALWAALAGTGGFLEEISPHLKEPRPRLFHASALLGDTMVVLGGRSDPDEFSSDVLLYQVNCNAWLLPDLTRSASVGPPMEESVAHAVAAVGSRLYISGGFGGVALGRLLALTLPPDPCRLLSSPEACNQSGACTWCHGACLSGDQAHRLGCGGSPCSPMPRSPEECRRLRTCSECLARHPRTLQPGDGEASTPRCKWCTNCPEGACIGRNGSCTSENDCRINQREVFWAGNCSEAACGAADCEQCTREGKCMWTRQFKRTGETRRILSVQPTYDWTCFSHSLLNVSPMPVESSPPLPCPTPCHLLPNCTSCLDSKGADGGWQHCVWSSSLQQCLSPSYLPLRCMAGGCGRLLRGPESCSLGCAQATQCALCLRRPHCGWCAWGGQDGGGRCMEGGLSGPRDGLTCGRPGASWAFLSCPPEDECANGHHDCNETQNCHDQPHGYECSCKTGYTMDNMTGLCRPVCAQGCVNGSCVEPDHCRCHFGFVGRNCSTECRCNRHSECAGVGARDHCLLCRNHTKGSHCEQCLPLFVGSAVGGGTCRPCHAFCRGNSHICISRKELQMSKGEPKKYSLDPEEIENWVTEGPSEDEAVCVNCQNNSYGEKCESCLQGYFLLDGKCTKCQCNGHADTCNEQDGTGCPCQNNTETGTCQGSSPSDRRDCYKYQCAKCRESFHGSPLGGQQCYRLISVEQECCLDPTSQTNCFHEPKRRALGPGRTVLFGVQPKFTNVDIRLTLDVTFGAVDLYVSTSYDTFVVRVAPDTGVHTVHIQPPPAPPPPPPPADGGPRGAGDPGGAGASSGPGAPAEPRVREVWPRGLITYVTVTEPSAVLVVRGVRDRLVITYPHEHHALKSSRFYLLLLGVGDPSGPGANGSADSQGLLFFRQDQAHIDLFVFFSVFFSCFFLFLSLCVLLWKAKQALDQRQEQRRHLQEMTKMASRPFAKVTVCFPPDPTAPASAWKPAGLPPPAFRRSEPFLAPLLLTGAGGPWGPMGGGCCPPAIPATTAGLRAGPITLEPTEDGMAGVATLLLQLPGGPHAPNGACLGSALVTLRHRLHEYCGGGGGAGGSGHGTGAGRKGLLSQDNLTSMSL
+>DECOY_sp|Q7Z7M0|MEGF8_HUMAN Multiple epidermal growth factor-like domains protein 8 OS=Homo sapiens OX=9606 GN=MEGF8 PE=1 SV=2
+LSMSTLNDQSLLGKRGAGTGHGSGGAGGGGGCYEHLRHRLTVLASGLCAGNPAHPGGPLQLLLTAVGAMGDETPELTIPGARLGATTAPIAPPCCGGGMPGWPGGAGTLLLPALFPESRRFAPPPLGAPKWASAPATPDPPFCVTVKAFPRSAMKTMEQLHRRQEQRQDLAQKAKWLLVCLSLFLFFCSFFVSFFVFLDIHAQDQRFFLLGQSDASGNAGPGSPDGVGLLLLYFRSSKLAHHEHPYTIVLRDRVGRVVLVASPETVTVYTILGRPWVERVRPEAPAGPGSSAGAGGPDGAGRPGGDAPPPPPPPAPPPQIHVTHVGTDPAVRVVFTDYSTSVYLDVAGFTVDLTLRIDVNTFKPQVGFLVTRGPGLARRKPEHFCNTQSTPDLCCEQEVSILRYCQQGGLPSGHFSERCKACQYKYCDRRDSPSSGQCTGTETNNQCPCGTGDQENCTDAHGNCQCKTCKGDLLFYGQLCSECKEGYSNNQCNVCVAEDESPGETVWNEIEEPDLSYKKPEGKSMQLEKRSICIHSNGRCFAHCPRCTGGGVASGVFLPLCQECHSGKTHNRCLLCHDRAGVGACESHRNCRCETSCNRGVFGFHCRCHDPEVCSGNVCGQACVPRCLGTMNDMTYGTKCSCEYGHPQDHCNQTENCDHHGNACEDEPPCSLFAWSAGPRGCTLGDRPGSLGGEMCRGGGDQGGWACWGCHPRRLCLACQTAQACGLSCSEPGRLLRGCGGAMCRLPLYSPSLCQQLSSSWVCHQWGGDAGKSDLCSTCNPLLHCPTPCPLPPSSEVPMPSVNLLSHSFCTWDYTPQVSLIRRTEGTRKFQRTWMCKGERTCQECDAAGCAAESCNGAWFVERQNIRCDNESTCSGNRGICAGEPCNTCWKCRPTSAEGDGPQLTRPHRALCESCTRLRRCEEPSRPMPSCPSGGCGLRHAQDGSLCAGHCWTCAGSQNCAEPSSLLRCPDPPLTLALLRGLAVGGFGGSIYLRSGVAAVAHAVSEEMPPGVSASRTLDPLLWANCNVQYLLVDSSFEDPDSRGGLVVMTDGLLASAHFLRPRPEKLHPSIEELFGGTGALAAWLAMKKRVERFGWSGPQEGPVQGLGRSSGRVNRMRDRKAGQSPALLSWTRDPCHLSYLEPSPAALEVHFRFGGFVYLSDTAEHYVASHGYLGTPPTGSQAGSVWTGTALQYELLQQNFGNEPSYGGVLLLSLGRRATLTHGAVAPLEVTQPAKEQRWQLTTLNLVWFDRTVGGATLGGLLYMAGRGAPVYAAAHFSRPSPGPGGDEAGALMQTWRRESVSYRYLNGLLGQPLGLGGFMWLTADPGDVMTHGLRHLFRSATDASLRSDMLTEWVLQGGDLKTACDPGGFGEACICVGLSQNCTGAGTHANCNEPCLAMRCHPGAWGQPCRCLGAGAAGDQPVCEQPVPCSGPGGSGCRASGVSANFGWSSSGNAEWHLVLLGSLAQVTLPRDRRQGCFAAILSRDSQVVGTDLFRPFGDFALVYSLTCPTSSDPSFTLTLPPCLTGPACPQLEETASVVWVCYSLGPGARAAGGGPPLLGGVRRSGLAVSSVNTLLARGGCERFCSGGARPDGYYGPSCLQCHAGETHDQCFCLGSLNDCHGRRPDGHGNCQCPRCGRSGTANGFSGPRCRECHEGWTWDQCEDCFGPGRKRCHSHFSCGCDRSCRPAPPGPAPTPPPLDSTWGLDCVCTFDPPGSCVGHGCDELCTRNCHSIGDGQYGRQCHCEYSLPTNLCTARPHCRALGLRCEDVDPCRAYAWRAPLAVPLGLGEGVWLSCNGGGLPGSFDGQLCRGLTPNDENGCWGCEHSQLCEHCTLFGDCSRCRPESHVSDDWGRCGGHPYRALYAAFLFCTHTSQCWACQSSNALCDECTLRQSCLPPCEEPSKLAGRGRGRRSCAADGKRSTSQHWECFPDQTCAHCDRHEECLPCLTPVLVLLSGGAGDDGCHAGGQRLHCTASTLCWGCGQDALCGLCSSYSTCSSSRYPELFFFSIESGGPVGTRDWLLTLESHGPGASGNLQGQIEVAYKHDRGPLPFLRASGPRQLRRTEKEQHAVWRGVEEMNETDPGRAMRALVHLRQARTWVAVDEAGPGGPGGPLMPYIFGRYVLSVDTEASPTLTITTSRVISVKDPQSTNPPQQFTLLHLGPLFSQTVCAELSTVFVPAPGWWGVTGSIQEGRCRAQEPRPLCSENHVCWGLTGPGRPPATPSSFALCAQCDGLLRGLGLCSAAPCAGLPTGPPPAAQCAGQCWSCEPDRSCVSHDTYMSCYDLHYDPAPAQFVFPPVKYAMLDGRPRGSYGGAVLLVSGGLVAAVHSYRGSPPAARGEPTGPPALEAGSVWQHCGLHYFFIGDEYCKEEQYHTHVNGGYVVMYNGLVSATHFARERPGQLGDRGKLTTWVHRDVHFLETSNVRVSFRATSPRHGGHVLLARSPAHFVMSHGTAAPPRGGAPIVQEWYGGSTSDLRFRFLGSSFLDHPTRGGSVYLWVDDVLAAAHGALGPPGSSSSAPPALLEWHGRGLPSFAWVDNTLSGDALEGGMLVLSGAWAVAVHSHRAAPAPSLDWSEWTNASFNYLVLDGLANNLDQGGFVALLGPPSLFAGAAGIRASFAPDRASVNHWWGAGQNEWLHLDCARGLFGPECRCPGLPSACTGHSGCYASCEQLGCDPGGWGPECACVGPPQCQGHSQCGGPCLSFRFSANFGLLNYNADSFLHLLMKGSSAEIPPPRTSGSLSALLPGRPSDGDYVFLYDYTCETDLFLFDLLIRHQPSPAEILWECNGNVSYNGAGDTVFGPAERLVQRQGKCDGARAGPSLSGLVALALVLAMALVKGLAM
+>sp|Q9BV36|MELPH_HUMAN Melanophilin OS=Homo sapiens OX=9606 GN=MLPH PE=1 SV=1
+MGKKLDLSKLTDEEAQHVLEVVQRDFDLRRKEEERLEALKGKIKKESSKRELLSDTAHLNETHCARCLQPYQLLVNSKRQCLECGLFTCKSCGRVHPEEQGWICDPCHLARVVKIGSLEWYYEHVKARFKRFGSAKVIRSLHGRLQGGAGPELISEERSGDSDQTDEDGEPGSEAQAQAQPFGSKKKRLLSVHDFDFEGDSDDSTQPQGHSLHLSSVPEARDSPQSLTDESCSEKAAPHKAEGLEEADTGASGCHSHPEEQPTSISPSRHGALAELCPPGGSHRMALGTAAALGSNVIRNEQLPLQYLADVDTSDEESIRAHVMASHHSKRRGRASSESQIFELNKHISAVECLLTYLENTVVPPLAKGLGAGVRTEADVEEEALRRKLEELTSNVSDQETSSEEEEAKDEKAEPNRDKSVGPLPQADPEVGTAAHQTNRQEKSPQDPGDPVQYNRTTDEELSELEDRVAVTASEVQQAESEVSDIESRIAALRAAGLTVKPSGKPRRKSNLPIFLPRVAGKLGKRPEDPNADPSSEAKAMAVPYLLRRKFSNSLKSQGKDDDSFDRKSVYRGSLTQRNPNARKGMASHTFAKPVVAHQS
+>DECOY_sp|Q9BV36|MELPH_HUMAN Melanophilin OS=Homo sapiens OX=9606 GN=MLPH PE=1 SV=1
+SQHAVVPKAFTHSAMGKRANPNRQTLSGRYVSKRDFSDDDKGQSKLSNSFKRRLLYPVAMAKAESSPDANPDEPRKGLKGAVRPLFIPLNSKRRPKGSPKVTLGAARLAAIRSEIDSVESEAQQVESATVAVRDELESLEEDTTRNYQVPDGPDQPSKEQRNTQHAATGVEPDAQPLPGVSKDRNPEAKEDKAEEEESSTEQDSVNSTLEELKRRLAEEEVDAETRVGAGLGKALPPVVTNELYTLLCEVASIHKNLEFIQSESSARGRRKSHHSAMVHARISEEDSTDVDALYQLPLQENRIVNSGLAAATGLAMRHSGGPPCLEALAGHRSPSISTPQEEPHSHCGSAGTDAEELGEAKHPAAKESCSEDTLSQPSDRAEPVSSLHLSHGQPQTSDDSDGEFDFDHVSLLRKKKSGFPQAQAQAESGPEGDEDTQDSDGSREESILEPGAGGQLRGHLSRIVKASGFRKFRAKVHEYYWELSGIKVVRALHCPDCIWGQEEPHVRGCSKCTFLGCELCQRKSNVLLQYPQLCRACHTENLHATDSLLERKSSEKKIKGKLAELREEEKRRLDFDRQVVELVHQAEEDTLKSLDLKKGM
+>sp|Q14D04|MELT_HUMAN Ventricular zone-expressed PH domain-containing protein homolog 1 OS=Homo sapiens OX=9606 GN=VEPH1 PE=1 SV=1
+MHQLFRLVLGQKDLSRAGDLFSLDDSEIEDSLTEALEQIKIISSSSDYQTNNNDQAVVEICITRITTAIRETESIEKHAKALVGLWDSCLEHNLRPFGKDEDTPHAKIASDIMSCILQNYNRPPVMALAIPIAVKFLHRGNKELCRNMSNYLSLAAITKADLLADHTEVIVKSILQGNTMLLRVLPAVYEKQPQPINRHLTELLALMSQLEQPEQYHLLRLLHVAAKKKQLEVVQKCIPFLIGHLKDSTHNDIILNILIEIAVYEPVALNSFLPMLKEIGERFPYLTGQMARIYGAVGHVDEERARSCLTYLVSQLANMEHSFHHILLLEIKSITDTFSSILGPQSRDIFRMSNSFTAIAKLLTRQLENTKAGSGRRKISTEIEFPEKLEETKLIVTENEDHEKLQVKIQAFEDKINAGSNTPGSIRRYSLGQVSKEERKNIRFNRSKSLAFHTMLTKGVGSDDGEDENRGDIPASISLSEIDPLGQGNDKLPFKTDTERSQLGESSVSYPNIIHIDSENLSETVKENSQEETPETTASPIEYQDKLYLHLKKNLSKVKAYAMEIGKKIPVPDQCTIEDTVRSCVAKLFFTCSLKGHYCLYSKSSFILISQEPQPWIQIMFLFQQSLFPEPLSIQSHSVQFLRALWEKTQAGGAHSFETAMMESTFPQQKDLDQVQLHLEEVRFFDVFGFSETAGAWQCFMCNNPEKATVVNQDGQPLIEGKLKEKQVRWKFIKRWKTRYFTLAGNQLLFQKGKSKDDPDDCPIELSKVQSVKAVAKKRRDRSLPRAFEIFTDNKTYVFKAKDEKNAEEWLQCINVAVAQAKERESREVTTYL
+>DECOY_sp|Q14D04|MELT_HUMAN Ventricular zone-expressed PH domain-containing protein homolog 1 OS=Homo sapiens OX=9606 GN=VEPH1 PE=1 SV=1
+LYTTVERSEREKAQAVAVNICQLWEEANKEDKAKFVYTKNDTFIEFARPLSRDRRKKAVAKVSQVKSLEIPCDDPDDKSKGKQFLLQNGALTFYRTKWRKIFKWRVQKEKLKGEILPQGDQNVVTAKEPNNCMFCQWAGATESFGFVDFFRVEELHLQVQDLDKQQPFTSEMMATEFSHAGGAQTKEWLARLFQVSHSQISLPEPFLSQQFLFMIQIWPQPEQSILIFSSKSYLCYHGKLSCTFFLKAVCSRVTDEITCQDPVPIKKGIEMAYAKVKSLNKKLHLYLKDQYEIPSATTEPTEEQSNEKVTESLNESDIHIINPYSVSSEGLQSRETDTKFPLKDNGQGLPDIESLSISAPIDGRNEDEGDDSGVGKTLMTHFALSKSRNFRINKREEKSVQGLSYRRISGPTNSGANIKDEFAQIKVQLKEHDENETVILKTEELKEPFEIETSIKRRGSGAKTNELQRTLLKAIATFSNSMRFIDRSQPGLISSFTDTISKIELLLIHHFSHEMNALQSVLYTLCSRAREEDVHGVAGYIRAMQGTLYPFREGIEKLMPLFSNLAVPEYVAIEILINLIIDNHTSDKLHGILFPICKQVVELQKKKAAVHLLRLLHYQEPQELQSMLALLETLHRNIPQPQKEYVAPLVRLLMTNGQLISKVIVETHDALLDAKTIAALSLYNSMNRCLEKNGRHLFKVAIPIALAMVPPRNYNQLICSMIDSAIKAHPTDEDKGFPRLNHELCSDWLGVLAKAHKEISETERIATTIRTICIEVVAQDNNNTQYDSSSSIIKIQELAETLSDEIESDDLSFLDGARSLDKQGLVLRFLQHM
+>sp|Q7L2J0|MEPCE_HUMAN 7SK snRNA methylphosphate capping enzyme OS=Homo sapiens OX=9606 GN=MEPCE PE=1 SV=1
+MIEMAAEKEPFLVPAPPPPLKDESGGGGGPTVPPHQEAASGELRGGTERGPGRCAPSAGSPAAAVGRESPGAAATSSSGPQAQQHRGGGPQAQSHGEARLSDPPGRAAPPDVGEERRGGGGTELGPPAPPRPRNGYQPHRPPGGGGGKRRNSCNVGGGGGGFKHPAFKRRRRVNSDCDSVLPSNFLLGGNIFDPLNLNSLLDEEVSRTLNAETPKSSPLPAKGRDPVEILIPKDITDPLSLNTCTDEGHVVLASPLKTGRKRHRHRGQHHQQQQAAGGSESHPVPPTAPLTPLLHGEGASQQPRHRGQNRDAPQPYELNTAINCRDEVVSPLPSALQGPSGSLSAPPAASVISAPPSSSSRHRKRRRTSSKSEAGARGGGQGSKEKGRGSWGGRHHHHHPLPAAGFKKQQRKFQYGNYCKYYGYRNPSCEDGRLRVLKPEWFRGRDVLDLGCNVGHLTLSIACKWGPSRMVGLDIDSRLIHSARQNIRHYLSEELRLPPQTLEGDPGAEGEEGTTTVRKRSCFPASLTASRGPIAAPQVPLDGADTSVFPNNVVFVTGNYVLDRDDLVEAQTPEYDVVLCLSLTKWVHLNWGDEGLKRMFRRIYRHLRPGGILVLEPQPWSSYGKRKTLTETIYKNYYRIQLKPEQFSSYLTSPDVGFSSYELVATPHNTSKGFQRPVYLFHKARSPSH
+>DECOY_sp|Q7L2J0|MEPCE_HUMAN 7SK snRNA methylphosphate capping enzyme OS=Homo sapiens OX=9606 GN=MEPCE PE=1 SV=1
+HSPSRAKHFLYVPRQFGKSTNHPTAVLEYSSFGVDPSTLYSSFQEPKLQIRYYNKYITETLTKRKGYSSWPQPELVLIGGPRLHRYIRRFMRKLGEDGWNLHVWKTLSLCLVVDYEPTQAEVLDDRDLVYNGTVFVVNNPFVSTDAGDLPVQPAAIPGRSATLSAPFCSRKRVTTTGEEGEAGPDGELTQPPLRLEESLYHRINQRASHILRSDIDLGVMRSPGWKCAISLTLHGVNCGLDLVDRGRFWEPKLVRLRGDECSPNRYGYYKCYNGYQFKRQQKKFGAAPLPHHHHHRGGWSGRGKEKSGQGGGRAGAESKSSTRRRKRHRSSSSPPASIVSAAPPASLSGSPGQLASPLPSVVEDRCNIATNLEYPQPADRNQGRHRPQQSAGEGHLLPTLPATPPVPHSESGGAAQQQQHHQGRHRHRKRGTKLPSALVVHGEDTCTNLSLPDTIDKPILIEVPDRGKAPLPSSKPTEANLTRSVEEDLLSNLNLPDFINGGLLFNSPLVSDCDSNVRRRRKFAPHKFGGGGGGVNCSNRRKGGGGGPPRHPQYGNRPRPPAPPGLETGGGGRREEGVDPPAARGPPDSLRAEGHSQAQPGGGRHQQAQPGSSSTAAAGPSERGVAAAPSGASPACRGPGRETGGRLEGSAAEQHPPVTPGGGGGSEDKLPPPPAPVLFPEKEAAMEIM
+>sp|Q9H9K5|MER34_HUMAN Endogenous retroviral envelope protein HEMO OS=Homo sapiens OX=9606 GN=ERVMER34-1 PE=1 SV=1
+MGSLSNYALLQLTLTAFLTILVQPQHLLAPVFRTLSILTNQSNCWLCEHLDNAEQPELVFVPASASTWWTYSGQWMYERVWYPQAEVQNHSTSSYRKVTWHWEASMEAQGLSFAQVRLLEGNFSLCVENKNGSGPFLGNIPKQYCNQILWFDSTDGTFMPSIDVTNESRNDDDDTSVCLGTRQCSWFAGCTNRTWNSSAVPLIGLPNTQDYKWVDRNSGLTWSGNDTCLYSCQNQTKGLLYQLFRNLFCSYGLTEAHGKWRCADASITNDKGHDGHRTPTWWLTGSNLTLSVNNSGLFFLCGNGVYKGFPPKWSGRCGLGYLVPSLTRYLTLNASQITNLRSFIHKVTPHRCTQGDTDNPPLYCNPKDNSTIRALFPSLGTYDLEKAILNISKAMEQEFSATKQTLEAHQSKVSSLASASRKDHVLDIPTTQRQTACGTVGKQCCLYINYSEEIKSNIQRLHEASENLKNVPLLDWQGIFAKVGDWFRSWGYVLLIVLFCLFIFVLIYVRVFRKSRRSLNSQPLNLALSPQQSAQLLVSETSCQVSNRAMKGLTTHQYDTSLL
+>DECOY_sp|Q9H9K5|MER34_HUMAN Endogenous retroviral envelope protein HEMO OS=Homo sapiens OX=9606 GN=ERVMER34-1 PE=1 SV=1
+LLSTDYQHTTLGKMARNSVQCSTESVLLQASQQPSLALNLPQSNLSRRSKRFVRVYILVFIFLCFLVILLVYGWSRFWDGVKAFIGQWDLLPVNKLNESAEHLRQINSKIEESYNIYLCCQKGVTGCATQRQTTPIDLVHDKRSASALSSVKSQHAELTQKTASFEQEMAKSINLIAKELDYTGLSPFLARITSNDKPNCYLPPNDTDGQTCRHPTVKHIFSRLNTIQSANLTLYRTLSPVLYGLGCRGSWKPPFGKYVGNGCLFFLGSNNVSLTLNSGTLWWTPTRHGDHGKDNTISADACRWKGHAETLGYSCFLNRFLQYLLGKTQNQCSYLCTDNGSWTLGSNRDVWKYDQTNPLGILPVASSNWTRNTCGAFWSCQRTGLCVSTDDDDNRSENTVDISPMFTGDTSDFWLIQNCYQKPINGLFPGSGNKNEVCLSFNGELLRVQAFSLGQAEMSAEWHWTVKRYSSTSHNQVEAQPYWVREYMWQGSYTWWTSASAPVFVLEPQEANDLHECLWCNSQNTLISLTRFVPALLHQPQVLITLFATLTLQLLAYNSLSGM
+>sp|Q14696|MESD_HUMAN LRP chaperone MESD OS=Homo sapiens OX=9606 GN=MESD PE=1 SV=2
+MAASRWARKAVVLLCASDLLLLLLLLPPPGSCAAEGSPGTPDESTPPPRKKKKDIRDYNDADMARLLEQWEKDDDIEEGDLPEHKRPSAPVDFSKIDPSKPESILKMTKKGKTLMMFVTVSGSPTEKETEEITSLWQGSLFNANYDVQRFIVGSDRAIFMLRDGSYAWEIKDFLVGQDRCADVTLEGQVYPGKGGGSKEKNKTKQDKGKKKKEGDLKSRSSKEENRAGNKREDL
+>DECOY_sp|Q14696|MESD_HUMAN LRP chaperone MESD OS=Homo sapiens OX=9606 GN=MESD PE=1 SV=2
+LDERKNGARNEEKSSRSKLDGEKKKKGKDQKTKNKEKSGGGKGPYVQGELTVDACRDQGVLFDKIEWAYSGDRLMFIARDSGVIFRQVDYNANFLSGQWLSTIEETEKETPSGSVTVFMMLTKGKKTMKLISEPKSPDIKSFDVPASPRKHEPLDGEEIDDDKEWQELLRAMDADNYDRIDKKKKRPPPTSEDPTGPSGEAACSGPPPLLLLLLLLDSACLLVVAKRAWRSAAM
+>sp|Q0VG99|MESP2_HUMAN Mesoderm posterior protein 2 OS=Homo sapiens OX=9606 GN=MESP2 PE=1 SV=2
+MAQSPPPQSLLGHDHWIFAQGWGWAGHWDSTSPASSSDSSGSCPCDGARGLPQPQPPSCSSRAAEAAATTPRRARTGPAGGQRQSASEREKLRMRTLARALHELRRFLPPSLAPAGQSLTKIETLRLAIRYIGHLSAVLGLSEESLQCRRRQRGDAGSPWGCPLCPDRGPAEAQTQAEGQGQGQGQGQGQGQGQGQGQGQGQGQGRRPGLVSAVLAEASWGSPSACPGAQAAPERLGRGVHDTDPWATPPYCPKIQSPPYSSQGTTSDASLWTPPQGCPWTQSSPEPRNPPVPWTAAPATLELAAVYQGLSVSPEPCLSLGAPSLLPHPSCQRLQPQTPGRCWSHSAEVVPNSEDQGPGAAFQLSEASPPQSSGLRFSGCPELWQEDLEGARLGIFY
+>DECOY_sp|Q0VG99|MESP2_HUMAN Mesoderm posterior protein 2 OS=Homo sapiens OX=9606 GN=MESP2 PE=1 SV=2
+YFIGLRAGELDEQWLEPCGSFRLGSSQPPSAESLQFAAGPGQDESNPVVEASHSWCRGPTQPQLRQCSPHPLLSPAGLSLCPEPSVSLGQYVAALELTAPAATWPVPPNRPEPSSQTWPCGQPPTWLSADSTTGQSSYPPSQIKPCYPPTAWPDTDHVGRGLREPAAQAGPCASPSGWSAEALVASVLGPRRGQGQGQGQGQGQGQGQGQGQGQGQGQGEAQTQAEAPGRDPCLPCGWPSGADGRQRRRCQLSEESLGLVASLHGIYRIALRLTEIKTLSQGAPALSPPLFRRLEHLARALTRMRLKERESASQRQGGAPGTRARRPTTAAAEAARSSCSPPQPQPLGRAGDCPCSGSSDSSSAPSTSDWHGAWGWGQAFIWHDHGLLSQPPPSQAM
+>sp|Q8N6R0|MET13_HUMAN Methyltransferase-like protein 13 OS=Homo sapiens OX=9606 GN=METTL13 PE=1 SV=1
+MNLLPKSSREFGSVDYWEKFFQQRGKKAFEWYGTYLELCGVLHKYIKPREKVLVIGCGNSELSEQLYDVGYRDIVNIDISEVVIKQMKECNATRRPQMSFLKMDMTQMEFPDASFQVVLDKGTLDAVLTDEEEKTLQQVDRMLAEVGRVLQVGGRYLCISLAQAHILKKAVGHFSREGWMVRVHQVANSQDQVLEAEPQFSLPVFAFIMTKFRPVPGSALQIFELCAQEQRKPVRLESAERLAEAVQERQQYAWLCSQLRRKARLGSVSLDLCDGDTGEPRYTLHVVDSPTVKPSRDNHFAIFIIPQGRETEWLFGMDEGRKQLAASAGFRRLITVALHRGQQYESMDHIQAELSARVMELAPAGMPTQQQVPFLSVGGDIGVRTVQHQDCSPLSGDYVIEDVQGDDKRYFRRLIFLSNRNVVQSEARLLKDVSHKAQKKRKKDRKKQRPADAEDLPAAPGQSIDKSYLCCEHHKAMIAGLALLRNPELLLEIPLALLVVGLGGGSLPLFVHDHFPKSCIDAVEIDPSMLEVATQWFGFSQSDRMKVHIADGLDYIASLAGGGEARPCYDVIMFDVDSKDPTLGMSCPPPAFVEQSFLQKVKSILTPEGVFILNLVCRDLGLKDSVLAGLKAVFPLLYVRRIEGEVNEILFCQLHPEQKLATPELLETAQALERTLRKPGRGWDDTYVLSDMLKTVKIV
+>DECOY_sp|Q8N6R0|MET13_HUMAN Methyltransferase-like protein 13 OS=Homo sapiens OX=9606 GN=METTL13 PE=1 SV=1
+VIKVTKLMDSLVYTDDWGRGPKRLTRELAQATELLEPTALKQEPHLQCFLIENVEGEIRRVYLLPFVAKLGALVSDKLGLDRCVLNLIFVGEPTLISKVKQLFSQEVFAPPPCSMGLTPDKSDVDFMIVDYCPRAEGGGALSAIYDLGDAIHVKMRDSQSFGFWQTAVELMSPDIEVADICSKPFHDHVFLPLSGGGLGVVLLALPIELLLEPNRLLALGAIMAKHHECCLYSKDISQGPAAPLDEADAPRQKKRDKKRKKQAKHSVDKLLRAESQVVNRNSLFILRRFYRKDDGQVDEIVYDGSLPSCDQHQVTRVGIDGGVSLFPVQQQTPMGAPALEMVRASLEAQIHDMSEYQQGRHLAVTILRRFGASAALQKRGEDMGFLWETERGQPIIFIAFHNDRSPKVTPSDVVHLTYRPEGTDGDCLDLSVSGLRAKRRLQSCLWAYQQREQVAEALREASELRVPKRQEQACLEFIQLASGPVPRFKTMIFAFVPLSFQPEAELVQDQSNAVQHVRVMWGERSFHGVAKKLIHAQALSICLYRGGVQLVRGVEALMRDVQQLTKEEEDTLVADLTGKDLVVQFSADPFEMQTMDMKLFSMQPRRTANCEKMQKIVVESIDINVIDRYGVDYLQESLESNGCGIVLVKERPKIYKHLVGCLELYTGYWEFAKKGRQQFFKEWYDVSGFERSSKPLLNM
+>sp|Q6UX53|MET7B_HUMAN Methyltransferase-like protein 7B OS=Homo sapiens OX=9606 GN=METTL7B PE=1 SV=2
+MDILVPLLQLLVLLLTLPLHLMALLGCWQPLCKSYFPYLMAVLTPKSNRKMESKKRELFSQIKGLTGASGKVALLELGCGTGANFQFYPPGCRVTCLDPNPHFEKFLTKSMAENRHLQYERFVVAPGEDMRQLADGSMDVVVCTLVLCSVQSPRKVLQEVRRVLRPGGVLFFWEHVAEPYGSWAFMWQQVFEPTWKHIGDGCCLTRETWKDLENAQFSEIQMERQPPPLKWLPVGPHIMGKAVK
+>DECOY_sp|Q6UX53|MET7B_HUMAN Methyltransferase-like protein 7B OS=Homo sapiens OX=9606 GN=METTL7B PE=1 SV=2
+KVAKGMIHPGVPLWKLPPPQREMQIESFQANELDKWTERTLCCGDGIHKWTPEFVQQWMFAWSGYPEAVHEWFFLVGGPRLVRRVEQLVKRPSQVSCLVLTCVVVDMSGDALQRMDEGPAVVFREYQLHRNEAMSKTLFKEFHPNPDLCTVRCGPPYFQFNAGTGCGLELLAVKGSAGTLGKIQSFLERKKSEMKRNSKPTLVAMLYPFYSKCLPQWCGLLAMLHLPLTLLLVLLQLLPVLIDM
+>sp|F2Z398|LMO7D_HUMAN LMO7 downstream neighbor protein OS=Homo sapiens OX=9606 GN=LMO7DN PE=2 SV=1
+MTWLDKGVWTQEDENSCSFSESDFPGCRDQINPSIPSIWTAVSGMMISLEVRWWIKGKQGYVISLGHALSPRLECSGTFSAHCILGLPGGSSYPPASVSQVVGTTALYLVEEAWAEAGKMRS
+>DECOY_sp|F2Z398|LMO7D_HUMAN LMO7 downstream neighbor protein OS=Homo sapiens OX=9606 GN=LMO7DN PE=2 SV=1
+SRMKGAEAWAEEVLYLATTGVVQSVSAPPYSSGGPLGLICHASFTGSCELRPSLAHGLSIVYGQKGKIWWRVELSIMMGSVATWISPISPNIQDRCGPFDSESFSCSNEDEQTWVGKDLWTM
+>sp|Q8TE12|LMX1A_HUMAN LIM homeobox transcription factor 1-alpha OS=Homo sapiens OX=9606 GN=LMX1A PE=1 SV=1
+MLDGLKMEENFQSAIDTSASFSSLLGRAVSPKSVCEGCQRVILDRFLLRLNDSFWHEQCVQCASCKEPLETTCFYRDKKLYCKYDYEKLFAVKCGGCFEAIAPNEFVMRAQKSVYHLSCFCCCVCERQLQKGDEFVLKEGQLLCKGDYEKERELLSLVSPAASDSGKSDDEESLCKSAHGAGKGTAEEGKDHKRPKRPRTILTTQQRRAFKASFEVSSKPCRKVRETLAAETGLSVRVVQVWFQNQRAKMKKLARRQQQQQQDQQNTQRLSSAQTNGGGSAGMEGIMNPYTALPTPQQLLAIEQSVYSSDPFRQGLTPPQMPGDHMHPYGAEPLFHDLDSDDTSLSNLGDCFLATSEAGPLQSRVGNPIDHLYSMQNSYFTS
+>DECOY_sp|Q8TE12|LMX1A_HUMAN LIM homeobox transcription factor 1-alpha OS=Homo sapiens OX=9606 GN=LMX1A PE=1 SV=1
+STFYSNQMSYLHDIPNGVRSQLPGAESTALFCDGLNSLSTDDSDLDHFLPEAGYPHMHDGPMQPPTLGQRFPDSSYVSQEIALLQQPTPLATYPNMIGEMGASGGGNTQASSLRQTNQQDQQQQQQRRALKKMKARQNQFWVQVVRVSLGTEAALTERVKRCPKSSVEFSAKFARRQQTTLITRPRKPRKHDKGEEATGKGAGHASKCLSEEDDSKGSDSAAPSVLSLLEREKEYDGKCLLQGEKLVFEDGKQLQRECVCCCFCSLHYVSKQARMVFENPAIAEFCGGCKVAFLKEYDYKCYLKKDRYFCTTELPEKCSACQVCQEHWFSDNLRLLFRDLIVRQCGECVSKPSVARGLLSSFSASTDIASQFNEEMKLGDLM
+>sp|Q8TBB1|LNX1_HUMAN E3 ubiquitin-protein ligase LNX OS=Homo sapiens OX=9606 GN=LNX1 PE=1 SV=1
+MNQPESANDPEPLCAVCGQAHSLEENHFYSYPEEVDDDLICHICLQALLDPLDTPCGHTYCTLCLTNFLVEKDFCPMDRKPLVLQHCKKSSILVNKLLNKLLVTCPFREHCTQVLQRCDLEHHFQTSCKGASHYGLTKDRKRRSQDGCPDGCASLTATAPSPEVSAAATISLMTDEPGLDNPAYVSSAEDGQPAISPVDSGRSNRTRARPFERSTIRSRSFKKINRALSVLRRTKSGSAVANHADQGRENSENTTAPEVFPRLYHLIPDGEITSIKINRVDPSESLSIRLVGGSETPLVHIIIQHIYRDGVIARDGRLLPGDIILKVNGMDISNVPHNYAVRLLRQPCQVLWLTVMREQKFRSRNNGQAPDAYRPRDDSFHVILNKSSPEEQLGIKLVRKVDEPGVFIFNVLDGGVAYRHGQLEENDRVLAINGHDLRYGSPESAAHLIQASERRVHLVVSRQVRQRSPDIFQEAGWNSNGSWSPGPGERSNTPKPLHPTITCHEKVVNIQKDPGESLGMTVAGGASHREWDLPIYVISVEPGGVISRDGRIKTGDILLNVDGVELTEVSRSEAVALLKRTSSSIVLKALEVKEYEPQEDCSSPAALDSNHNMAPPSDWSPSWVMWLELPRCLYNCKDIVLRRNTAGSLGFCIVGGYEEYNGNKPFFIKSIVEGTPAYNDGRIRCGDILLAVNGRSTSGMIHACLARLLKELKGRITLTIVSWPGTFL
+>DECOY_sp|Q8TBB1|LNX1_HUMAN E3 ubiquitin-protein ligase LNX OS=Homo sapiens OX=9606 GN=LNX1 PE=1 SV=1
+LFTGPWSVITLTIRGKLEKLLRALCAHIMGSTSRGNVALLIDGCRIRGDNYAPTGEVISKIFFPKNGNYEEYGGVICFGLSGATNRRLVIDKCNYLCRPLELWMVWSPSWDSPPAMNHNSDLAAPSSCDEQPEYEKVELAKLVISSSTRKLLAVAESRSVETLEVGDVNLLIDGTKIRGDRSIVGGPEVSIVYIPLDWERHSAGGAVTMGLSEGPDKQINVVKEHCTITPHLPKPTNSREGPGPSWSGNSNWGAEQFIDPSRQRVQRSVVLHVRRESAQILHAASEPSGYRLDHGNIALVRDNEELQGHRYAVGGDLVNFIFVGPEDVKRVLKIGLQEEPSSKNLIVHFSDDRPRYADPAQGNNRSRFKQERMVTLWLVQCPQRLLRVAYNHPVNSIDMGNVKLIIDGPLLRGDRAIVGDRYIHQIIIHVLPTESGGVLRISLSESPDVRNIKISTIEGDPILHYLRPFVEPATTNESNERGQDAHNAVASGSKTRRLVSLARNIKKFSRSRITSREFPRARTRNSRGSDVPSIAPQGDEASSVYAPNDLGPEDTMLSITAAASVEPSPATATLSACGDPCGDQSRRKRDKTLGYHSAGKCSTQFHHELDCRQLVQTCHERFPCTVLLKNLLKNVLISSKKCHQLVLPKRDMPCFDKEVLFNTLCLTCYTHGCPTDLPDLLAQLCIHCILDDDVEEPYSYFHNEELSHAQGCVACLPEPDNASEPQNM
+>sp|Q17RB8|LONF1_HUMAN LON peptidase N-terminal domain and RING finger protein 1 OS=Homo sapiens OX=9606 GN=LONRF1 PE=1 SV=2
+MSSPAVARTSPGGSREMAPAPQGRGRFWEVGGGSGHRLERAAAESERWELLLRRGELLALGGHLKGALEAFAAALRRGAPARPECLGALVDCLVFNYRLRHGLGWSAAPVAGADGGAGGLLRCLGCRGFLSEPVTVPCGHSYCRRCLRRELRARCRLCRDRLPPATASATDAEGTAPRPPPLAAAIAASDFRTSVVLNHLAEKWFPGQRERARAAGRLGELLHQGRYREALAAACEALRAEPSDLIVKIYRAESYAGLQEFKAAIEDLNAVLFQLPDWPEVYFRKGKVLCDAGFLGDALQLFLQCLALDEDFAPAKLQVQKILCDLLLPENLKEGLKESSWSSLPCTKNRPFDFHSVMEESQSLNEPSPKQSEEIPEVTSEPVKGSLNRAQSAQSINSTEMPAREDCLKRVSSEPVLSVQEKGVLLKRKLSLLEQDVIVNEDGRNKLKKQGETPNEVCMFSLAYGDIPEELIDVSDFECSLCMRLFFEPVTTPCGHSFCKNCLERCLDHAPYCPLCKESLKEYLADRRYCVTQLLEELIVKYLPDELSERKKIYDEETAELSHLTKNVPIFVCTMAYPTVPCPLHVFEPRYRLMIRRSIQTGTKQFGMCVSDTQNSFADYGCMLQIRNVHFLPDGRSVVDTVGGKRFRVLKRGMKDGYCTADIEYLEDVKVENEDEIKNLRELHDLVYSQACSWFQNLRDRFRSQILQHFGSMPEREENLQAAPNGPAWCWWLLAVLPVDPRYQLSVLSMKSLKERLTKIQHILTYFSRDQSK
+>DECOY_sp|Q17RB8|LONF1_HUMAN LON peptidase N-terminal domain and RING finger protein 1 OS=Homo sapiens OX=9606 GN=LONRF1 PE=1 SV=2
+KSQDRSFYTLIHQIKTLREKLSKMSLVSLQYRPDVPLVALLWWCWAPGNPAAQLNEEREPMSGFHQLIQSRFRDRLNQFWSCAQSYVLDHLERLNKIEDENEVKVDELYEIDATCYGDKMGRKLVRFRKGGVTDVVSRGDPLFHVNRIQLMCGYDAFSNQTDSVCMGFQKTGTQISRRIMLRYRPEFVHLPCPVTPYAMTCVFIPVNKTLHSLEATEEDYIKKRESLEDPLYKVILEELLQTVCYRRDALYEKLSEKCLPCYPAHDLCRELCNKCFSHGCPTTVPEFFLRMCLSCEFDSVDILEEPIDGYALSFMCVENPTEGQKKLKNRGDENVIVDQELLSLKRKLLVGKEQVSLVPESSVRKLCDERAPMETSNISQASQARNLSGKVPESTVEPIEESQKPSPENLSQSEEMVSHFDFPRNKTCPLSSWSSEKLGEKLNEPLLLDCLIKQVQLKAPAFDEDLALCQLFLQLADGLFGADCLVKGKRFYVEPWDPLQFLVANLDEIAAKFEQLGAYSEARYIKVILDSPEARLAECAAALAERYRGQHLLEGLRGAARARERQGPFWKEALHNLVVSTRFDSAAIAAALPPPRPATGEADTASATAPPLRDRCLRCRARLERRLCRRCYSHGCPVTVPESLFGRCGLCRLLGGAGGDAGAVPAASWGLGHRLRYNFVLCDVLAGLCEPRAPAGRRLAAAFAELAGKLHGGLALLEGRRLLLEWRESEAAARELRHGSGGGVEWFRGRGQPAPAMERSGGPSTRAVAPSSM
+>sp|P23490|LORI_HUMAN Loricrin OS=Homo sapiens OX=9606 GN=LOR PE=1 SV=2
+MSYQKKQPTPQPPVDCVKTSGGGGGGGGSGGGGCGFFGGGGSGGGSSGSGCGYSGGGGYSGGGCGGGSSGGGGGGGIGGCGGGSGGSVKYSGGGGSSGGGSGCFSSGGGGSGCFSSGGGGSSGGGSGCFSSGGGGSSGGGSGCFSSGGGGFSGQAVQCQSYGGVSSGGSSGGGSGCFSSGGGGGSVCGYSGGGSGCGGGSSGGSGSGYVSSQQVTQTSCAPQPSYGGGSSGGGGSGGSGCFSSGGGGGSSGCGGGSSGIGSGCIISGGGSVCGGGSSGGGGGGSSVGGSGSGKGVPICHQTQQKQAPTWPSK
+>DECOY_sp|P23490|LORI_HUMAN Loricrin OS=Homo sapiens OX=9606 GN=LOR PE=1 SV=2
+KSPWTPAQKQQTQHCIPVGKGSGSGGVSSGGGGGGSSGGGCVSGGGSIICGSGIGSSGGGCGSSGGGGGSSFCGSGGSGGGGSSGGGYSPQPACSTQTVQQSSVYGSGSGGSSGGGCGSGGGSYGCVSGGGGGSSFCGSGGGSSGGSSVGGYSQCQVAQGSFGGGGSSFCGSGGGSSGGGGSSFCGSGGGSSGGGGSSFCGSGGGGSSFCGSGGGSSGGGGSYKVSGGSGGGCGGIGGGGGGGSSGGGCGGGSYGGGGSYGCGSGSSGGGSGGGGFFGCGGGGSGGGGGGGGSTKVCDVPPQPTPQKKQYSM
+>sp|Q08397|LOXL1_HUMAN Lysyl oxidase homolog 1 OS=Homo sapiens OX=9606 GN=LOXL1 PE=1 SV=2
+MALARGSRQLGALVWGACLCVLVHGQQAQPGQGSDPARWRQLIQWENNGQVYSLLNSGSEYVPAGPQRSESSSRVLLAGAPQAQQRRSHGSPRRRQAPSLPLPGRVGSDTVRGQARHPFGFGQVPDNWREVAVGDSTGMARARTSVSQQRHGGSASSVSASAFASTYRQQPSYPQQFPYPQAPFVSQYENYDPASRTYDQGFVYYRPAGGGVGAGAAAVASAGVIYPYQPRARYEEYGGGEELPEYPPQGFYPAPERPYVPPPPPPPDGLDRRYSHSLYSEGTPGFEQAYPDPGPEAAQAHGGDPRLGWYPPYANPPPEAYGPPRALEPPYLPVRSSDTPPPGGERNGAQQGRLSVGSVYRPNQNGRGLPDLVPDPNYVQASTYVQRAHLYSLRCAAEEKCLASTAYAPEATDYDVRVLLRFPQRVKNQGTADFLPNRPRHTWEWHSCHQHYHSMDEFSHYDLLDAATGKKVAEGHKASFCLEDSTCDFGNLKRYACTSHTQGLSPGCYDTYNADIDCQWIDITDVQPGNYILKVHVNPKYIVLESDFTNNVVRCNIHYTGRYVSATNCKIVQS
+>DECOY_sp|Q08397|LOXL1_HUMAN Lysyl oxidase homolog 1 OS=Homo sapiens OX=9606 GN=LOXL1 PE=1 SV=2
+SQVIKCNTASVYRGTYHINCRVVNNTFDSELVIYKPNVHVKLIYNGPQVDTIDIWQCDIDANYTDYCGPSLGQTHSTCAYRKLNGFDCTSDELCFSAKHGEAVKKGTAADLLDYHSFEDMSHYHQHCSHWEWTHRPRNPLFDATGQNKVRQPFRLLVRVDYDTAEPAYATSALCKEEAACRLSYLHARQVYTSAQVYNPDPVLDPLGRGNQNPRYVSGVSLRGQQAGNREGGPPPTDSSRVPLYPPELARPPGYAEPPPNAYPPYWGLRPDGGHAQAAEPGPDPYAQEFGPTGESYLSHSYRRDLGDPPPPPPPVYPREPAPYFGQPPYEPLEEGGGYEEYRARPQYPYIVGASAVAAAGAGVGGGAPRYYVFGQDYTRSAPDYNEYQSVFPAQPYPFQQPYSPQQRYTSAFASASVSSASGGHRQQSVSTRARAMGTSDGVAVERWNDPVQGFGFPHRAQGRVTDSGVRGPLPLSPAQRRRPSGHSRRQQAQPAGALLVRSSSESRQPGAPVYESGSNLLSYVQGNNEWQILQRWRAPDSGQGPQAQQGHVLVCLCAGWVLAGLQRSGRALAM
+>sp|Q16609|LPAL2_HUMAN Putative apolipoprotein(a)-like protein 2 OS=Homo sapiens OX=9606 GN=LPAL2 PE=5 SV=1
+MEHKEVVLLLLLFLKSAPTETGPSVQECYHSNGQSYRGTYFTTVTGRTCQAWSSMTPHQHSRTPEKYPNDGLISNYCRNPDCSAGPWCYTTDPNVRWEYCNLTRCSDDEGTVFVPLTVIPVPSLEDSFIQVA
+>DECOY_sp|Q16609|LPAL2_HUMAN Putative apolipoprotein(a)-like protein 2 OS=Homo sapiens OX=9606 GN=LPAL2 PE=5 SV=1
+AVQIFSDELSPVPIVTLPVFVTGEDDSCRTLNCYEWRVNPDTTYCWPGASCDPNRCYNSILGDNPYKEPTRSHQHPTMSSWAQCTRGTVTTFYTGRYSQGNSHYCEQVSPGTETPASKLFLLLLLVVEKHEM
+>sp|Q92633|LPAR1_HUMAN Lysophosphatidic acid receptor 1 OS=Homo sapiens OX=9606 GN=LPAR1 PE=1 SV=3
+MAAISTSIPVISQPQFTAMNEPQCFYNESIAFFYNRSGKHLATEWNTVSKLVMGLGITVCIFIMLANLLVMVAIYVNRRFHFPIYYLMANLAAADFFAGLAYFYLMFNTGPNTRRLTVSTWLLRQGLIDTSLTASVANLLAIAIERHITVFRMQLHTRMSNRRVVVVIVVIWTMAIVMGAIPSVGWNCICDIENCSNMAPLYSDSYLVFWAIFNLVTFVVMVVLYAHIFGYVRQRTMRMSRHSSGPRRNRDTMMSLLKTVVIVLGAFIICWTPGLVLLLLDVCCPQCDVLAYEKFFLLLAEFNSAMNPIIYSYRDKEMSATFRQILCCQRSENPTGPTEGSDRSASSLNHTILAGVHSNDHSVV
+>DECOY_sp|Q92633|LPAR1_HUMAN Lysophosphatidic acid receptor 1 OS=Homo sapiens OX=9606 GN=LPAR1 PE=1 SV=3
+VVSHDNSHVGALITHNLSSASRDSGETPGTPNESRQCCLIQRFTASMEKDRYSYIIPNMASNFEALLLFFKEYALVDCQPCCVDLLLLVLGPTWCIIFAGLVIVVTKLLSMMTDRNRRPGSSHRSMRMTRQRVYGFIHAYLVVMVVFTVLNFIAWFVLYSDSYLPAMNSCNEIDCICNWGVSPIAGMVIAMTWIVVIVVVVRRNSMRTHLQMRFVTIHREIAIALLNAVSATLSTDILGQRLLWTSVTLRRTNPGTNFMLYFYALGAFFDAAALNAMLYYIPFHFRRNVYIAVMVLLNALMIFICVTIGLGMVLKSVTNWETALHKGSRNYFFAISENYFCQPENMATFQPQSIVPISTSIAAM
+>sp|Q9H1C0|LPAR5_HUMAN Lysophosphatidic acid receptor 5 OS=Homo sapiens OX=9606 GN=LPAR5 PE=2 SV=1
+MLANSSSTNSSVLPCPDYRPTHRLHLVVYSLVLAAGLPLNALALWVFLRALRVHSVVSVYMCNLAASDLLFTLSLPVRLSYYALHHWPFPDLLCQTTGAIFQMNMYGSCIFLMLINVDRYAAIVHPLRLRHLRRPRVARLLCLGVWALILVFAVPAARVHRPSRCRYRDLEVRLCFESFSDELWKGRLLPLVLLAEALGFLLPLAAVVYSSGRVFWTLARPDATQSQRRRKTVRLLLANLVIFLLCFVPYNSTLAVYGLLRSKLVAASVPARDRVRGVLMVMVLLAGANCVLDPLVYYFSAEGFRNTLRGLGTPHRARTSATNGTRAALAQSERSAVTTDATRPDAASQGLLRPSDSHSLSSFTQCPQDSAL
+>DECOY_sp|Q9H1C0|LPAR5_HUMAN Lysophosphatidic acid receptor 5 OS=Homo sapiens OX=9606 GN=LPAR5 PE=2 SV=1
+LASDQPCQTFSSLSHSDSPRLLGQSAADPRTADTTVASRESQALAARTGNTASTRARHPTGLGRLTNRFGEASFYYVLPDLVCNAGALLVMVMLVGRVRDRAPVSAAVLKSRLLGYVALTSNYPVFCLLFIVLNALLLRVTKRRRQSQTADPRALTWFVRGSSYVVAALPLLFGLAEALLVLPLLRGKWLEDSFSEFCLRVELDRYRCRSPRHVRAAPVAFVLILAWVGLCLLRAVRPRRLHRLRLPHVIAAYRDVNILMLFICSGYMNMQFIAGTTQCLLDPFPWHHLAYYSLRVPLSLTFLLDSAALNCMYVSVVSHVRLARLFVWLALANLPLGAALVLSYVVLHLRHTPRYDPCPLVSSNTSSSNALM
+>sp|Q14693|LPIN1_HUMAN Phosphatidate phosphatase LPIN1 OS=Homo sapiens OX=9606 GN=LPIN1 PE=1 SV=2
+MNYVGQLAGQVFVTVKELYKGLNPATLSGCIDIIVIRQPNGNLQCSPFHVRFGKMGVLRSREKVVDIEINGESVDLHMKLGDNGEAFFVQETDNDQEVIPMHLATSPILSEGASRMECQLKRGSVDRMRGLDPSTPAQVIAPSETPSSSSVVKKRRKRRRKSQLDSLKRDDNMNTSEDEDMFPIEMSSDEAMELLESSRTLPNDIPPFQDDIPEENLSLAVIYPQSASYPNSDREWSPTPSPSGSRPSTPKSDSELVSKSTERTGQKNPEMLWLWGELPQAAKSSSPHKMKESSPLSSRKICDKSHFQAIHSESSDTFSDQSPTLVGGALLDQNKPQTEMQFVNEEDLETLGAAAPLLPMIEELKPPSASVVQTANKTDSPSRKRDKRSRHLGADGVYLDDLTDMDPEVAALYFPKNGDPSGLAKHASDNGARSANQSPQSVGSSGVDSGVESTSDGLRDLPSIAISLCGGLSDHREITKDAFLEQAVSYQQFVDNPAIIDDPNLVVKIGSKYYNWTTAAPLLLAMQAFQKPLPKATVESIMRDKMPKKGGRWWFSWRGRNTTIKEESKPEQCLAGKAHSTGEQPPQLSLATRVKHESSSSDEERAAAKPSNAGHLPLLPNVSYKKTLRLTSEQLKSLKLKNGPNDVVFSVTTQYQGTCRCEGTIYLWNWDDKVIISDIDGTITRSDTLGHILPTLGKDWTHQGIAKLYHKVSQNGYKFLYCSARAIGMADMTRGYLHWVNERGTVLPQGPLLLSPSSLFSALHREVIEKKPEKFKVQCLTDIKNLFFPNTEPFYAAFGNRPADVYSYKQVGVSLNRIFTVNPKGELVQEHAKTNISSYVRLCEVVDHVFPLLKRSHSSDFPCSDTFSNFTFWREPLPPFENQDIHSASA
+>DECOY_sp|Q14693|LPIN1_HUMAN Phosphatidate phosphatase LPIN1 OS=Homo sapiens OX=9606 GN=LPIN1 PE=1 SV=2
+ASASHIDQNEFPPLPERWFTFNSFTDSCPFDSSHSRKLLPFVHDVVECLRVYSSINTKAHEQVLEGKPNVTFIRNLSVGVQKYSYVDAPRNGFAAYFPETNPFFLNKIDTLCQVKFKEPKKEIVERHLASFLSSPSLLLPGQPLVTGRENVWHLYGRTMDAMGIARASCYLFKYGNQSVKHYLKAIGQHTWDKGLTPLIHGLTDSRTITGDIDSIIVKDDWNWLYITGECRCTGQYQTTVSFVVDNPGNKLKLSKLQESTLRLTKKYSVNPLLPLHGANSPKAAAREEDSSSSEHKVRTALSLQPPQEGTSHAKGALCQEPKSEEKITTNRGRWSFWWRGGKKPMKDRMISEVTAKPLPKQFAQMALLLPAATTWNYYKSGIKVVLNPDDIIAPNDVFQQYSVAQELFADKTIERHDSLGGCLSIAISPLDRLGDSTSEVGSDVGSSGVSQPSQNASRAGNDSAHKALGSPDGNKPFYLAAVEPDMDTLDDLYVGDAGLHRSRKDRKRSPSDTKNATQVVSASPPKLEEIMPLLPAAAGLTELDEENVFQMETQPKNQDLLAGGVLTPSQDSFTDSSESHIAQFHSKDCIKRSSLPSSEKMKHPSSSKAAQPLEGWLWLMEPNKQGTRETSKSVLESDSKPTSPRSGSPSPTPSWERDSNPYSASQPYIVALSLNEEPIDDQFPPIDNPLTRSSELLEMAEDSSMEIPFMDEDESTNMNDDRKLSDLQSKRRRKRRKKVVSSSSPTESPAIVQAPTSPDLGRMRDVSGRKLQCEMRSAGESLIPSTALHMPIVEQDNDTEQVFFAEGNDGLKMHLDVSEGNIEIDVVKERSRLVGMKGFRVHFPSCQLNGNPQRIVIIDICGSLTAPNLGKYLEKVTVFVQGALQGVYNM
+>sp|P62312|LSM6_HUMAN U6 snRNA-associated Sm-like protein LSm6 OS=Homo sapiens OX=9606 GN=LSM6 PE=1 SV=1
+MSLRKQTPSDFLKQIIGRPVVVKLNSGVDYRGVLACLDGYMNIALEQTEEYVNGQLKNKYGDAFIRGNNVLYISTQKRRM
+>DECOY_sp|P62312|LSM6_HUMAN U6 snRNA-associated Sm-like protein LSm6 OS=Homo sapiens OX=9606 GN=LSM6 PE=1 SV=1
+MRRKQTSIYLVNNGRIFADGYKNKLQGNVYEETQELAINMYGDLCALVGRYDVGSNLKVVVPRGIIQKLFDSPTQKRLSM
+>sp|Q16873|LTC4S_HUMAN Leukotriene C4 synthase OS=Homo sapiens OX=9606 GN=LTC4S PE=1 SV=1
+MKDEVALLAAVTLLGVLLQAYFSLQVISARRAFRVSPPLTTGPPEFERVYRAQVNCSEYFPLFLATLWVAGIFFHEGAAALCGLVYLFARLRYFQGYARSAQLRLAPLYASARALWLLVALAALGLLAHFLPAALRAALLGRLRTLLPWA
+>DECOY_sp|Q16873|LTC4S_HUMAN Leukotriene C4 synthase OS=Homo sapiens OX=9606 GN=LTC4S PE=1 SV=1
+AWPLLTRLRGLLAARLAAPLFHALLGLAALAVLLWLARASAYLPALRLQASRAYGQFYRLRAFLYVLGCLAAAGEHFFIGAVWLTALFLPFYESCNVQARYVREFEPPGTTLPPSVRFARRASIVQLSFYAQLLVGLLTVAALLAVEDKM
+>sp|Q9UHA4|LTOR3_HUMAN Ragulator complex protein LAMTOR3 OS=Homo sapiens OX=9606 GN=LAMTOR3 PE=1 SV=1
+MADDLKRFLYKKLPSVEGLHAIVVSDRDGVPVIKVANDNAPEHALRPGFLSTFALATDQGSKLGLSKNKSIICYYNTYQVVQFNRLPLVVSFIASSSANTGLIVSLEKELAPLFEELRQVVEVS
+>DECOY_sp|Q9UHA4|LTOR3_HUMAN Ragulator complex protein LAMTOR3 OS=Homo sapiens OX=9606 GN=LAMTOR3 PE=1 SV=1
+SVEVVQRLEEFLPALEKELSVILGTNASSSAIFSVVLPLRNFQVVQYTNYYCIISKNKSLGLKSGQDTALAFTSLFGPRLAHEPANDNAVKIVPVGDRDSVVIAHLGEVSPLKKYLFRKLDDAM
+>sp|A0A075B6I1|LV460_HUMAN Immunoglobulin lambda variable 4-60 OS=Homo sapiens OX=9606 GN=IGLV4-60 PE=3 SV=1
+MAWTPLLLLFPLLLHCTGSLSQPVLTQSSSASASLGSSVKLTCTLSSGHSSYIIAWHQQQPGKAPRYLMKLEGSGSYNKGSGVPDRFSGSSSGADRYLTISNLQFEDEADYYCETWDSNT
+>DECOY_sp|A0A075B6I1|LV460_HUMAN Immunoglobulin lambda variable 4-60 OS=Homo sapiens OX=9606 GN=IGLV4-60 PE=3 SV=1
+TNSDWTECYYDAEDEFQLNSITLYRDAGSSSGSFRDPVGSGKNYSGSGELKMLYRPAKGPQQQHWAIIYSSHGSSLTCTLKVSSGLSASASSSQTLVPQSLSGTCHLLLPFLLLLPTWAM
+>sp|A0A075B6I0|LV861_HUMAN Immunoglobulin lambda variable 8-61 OS=Homo sapiens OX=9606 GN=IGLV8-61 PE=3 SV=7
+MSVPTMAWMMLLLGLLAYGSGVDSQTVVTQEPSFSVSPGGTVTLTCGLSSGSVSTSYYPSWYQQTPGQAPRTLIYSTNTRSSGVPDRFSGSILGNKAALTITGAQADDESDYYCVLYMGSGI
+>DECOY_sp|A0A075B6I0|LV861_HUMAN Immunoglobulin lambda variable 8-61 OS=Homo sapiens OX=9606 GN=IGLV8-61 PE=3 SV=7
+IGSGMYLVCYYDSEDDAQAGTITLAAKNGLISGSFRDPVGSSRTNTSYILTRPAQGPTQQYWSPYYSTSVSGSSLGCTLTVTGGPSVSFSPEQTVVTQSDVGSGYALLGLLLMMWAMTPVSM
+>sp|Q9BS40|LXN_HUMAN Latexin OS=Homo sapiens OX=9606 GN=LXN PE=1 SV=2
+MEIPPTNYPASRAALVAQNYINYQQGTPHRVFEVQKVKQASMEDIPGRGHKYHLKFAVEEIIQKQVKVNCTAEVLYPSTGQETAPEVNFTFEGETGKNPDEEDNTFYQRLKSMKEPLEAQNIPDNFGNVSPEMTLVLHLAWVACGYIIWQNSTEDTWYKMVKIQTVKQVQRNDDFIELDYTILLHNIASQEIIPWQMQVLWHPQYGTKVKHNSRLPKEVQLE
+>DECOY_sp|Q9BS40|LXN_HUMAN Latexin OS=Homo sapiens OX=9606 GN=LXN PE=1 SV=2
+ELQVEKPLRSNHKVKTGYQPHWLVQMQWPIIEQSAINHLLITYDLEIFDDNRQVQKVTQIKVMKYWTDETSNQWIIYGCAVWALHLVLTMEPSVNGFNDPINQAELPEKMSKLRQYFTNDEEDPNKGTEGEFTFNVEPATEQGTSPYLVEATCNVKVQKQIIEEVAFKLHYKHGRGPIDEMSAQKVKQVEFVRHPTGQQYNIYNQAVLAARSAPYNTPPIEM
+>sp|Q16553|LY6E_HUMAN Lymphocyte antigen 6E OS=Homo sapiens OX=9606 GN=LY6E PE=2 SV=1
+MKIFLPVLLAALLGVERASSLMCFSCLNQKSNLYCLKPTICSDQDNYCVTVSASAGIGNLVTFGHSLSKTCSPACPIPEGVNVGVASMGISCCQSFLCNFSAADGGLRASVTLLGAGLLLSLLPALLRFGP
+>DECOY_sp|Q16553|LY6E_HUMAN Lymphocyte antigen 6E OS=Homo sapiens OX=9606 GN=LY6E PE=2 SV=1
+PGFRLLAPLLSLLLGAGLLTVSARLGGDAASFNCLFSQCCSIGMSAVGVNVGEPIPCAPSCTKSLSHGFTVLNGIGASASVTVCYNDQDSCITPKLCYLNSKQNLCSFCMLSSAREVGLLAALLVPLFIKM
+>sp|O94772|LY6H_HUMAN Lymphocyte antigen 6H OS=Homo sapiens OX=9606 GN=LY6H PE=1 SV=1
+MLPAAMKGLGLALLAVLLCSAPAHGLWCQDCTLTTNSSHCTPKQCQPSDTVCASVRITDPSSSRKDHSVNKMCASSCDFVKRHFFSDYLMGFINSGILKVDVDCCEKDLCNGAAGAGHSPWALAGGLLLSLGPALLWAGP
+>DECOY_sp|O94772|LY6H_HUMAN Lymphocyte antigen 6H OS=Homo sapiens OX=9606 GN=LY6H PE=1 SV=1
+PGAWLLAPGLSLLLGGALAWPSHGAGAAGNCLDKECCDVDVKLIGSNIFGMLYDSFFHRKVFDCSSACMKNVSHDKRSSSPDTIRVSACVTDSPQCQKPTCHSSNTTLTCDQCWLGHAPASCLLVALLALGLGKMAAPLM
+>sp|Q6UX82|LYPD8_HUMAN Ly6/PLAUR domain-containing protein 8 OS=Homo sapiens OX=9606 GN=LYPD8 PE=1 SV=2
+MKGILVAGITAVLVAAVESLSCVQCNSWEKSCVNSIASECPSHANTSCISSSASSSLETPVRLYQNMFCSAENCSEETHITAFTVHVSAEEHFHFVSQCCQGKECSNTSDALDPPLKNVSSNAECPACYESNGTSCHGKPWKCYEEEQCVFLVAELKNDIESKSLVLKGCSNVSNATCQFLSGENKTLGGVIFRKFECANVNSLTPTSAPTTSHNVGSKASLYLLALASLLLRGLLP
+>DECOY_sp|Q6UX82|LYPD8_HUMAN Ly6/PLAUR domain-containing protein 8 OS=Homo sapiens OX=9606 GN=LYPD8 PE=1 SV=2
+PLLGRLLLSALALLYLSAKSGVNHSTTPASTPTLSNVNACEFKRFIVGGLTKNEGSLFQCTANSVNSCGKLVLSKSEIDNKLEAVLFVCQEEEYCKWPKGHCSTGNSEYCAPCEANSSVNKLPPDLADSTNSCEKGQCCQSVFHFHEEASVHVTFATIHTEESCNEASCFMNQYLRVPTELSSSASSSICSTNAHSPCESAISNVCSKEWSNCQVCSLSEVAAVLVATIGAVLIGKM
+>sp|Q5VWZ2|LYPL1_HUMAN Lysophospholipase-like protein 1 OS=Homo sapiens OX=9606 GN=LYPLAL1 PE=1 SV=3
+MAAASGSVLQRCIVSPAGRHSASLIFLHGSGDSGQGLRMWIKQVLNQDLTFQHIKIIYPTAPPRSYTPMKGGISNVWFDRFKITNDCPEHLESIDVMCQVLTDLIDEEVKSGIKKNRILIGGFSMGGCMAIHLAYRNHQDVAGVFALSSFLNKASAVYQALQKSNGVLPELFQCHGTADELVLHSWAEETNSMLKSLGVTTKFHSFPNVYHELSKTELDILKLWILTKLPGEMEKQK
+>DECOY_sp|Q5VWZ2|LYPL1_HUMAN Lysophospholipase-like protein 1 OS=Homo sapiens OX=9606 GN=LYPLAL1 PE=1 SV=3
+KQKEMEGPLKTLIWLKLIDLETKSLEHYVNPFSHFKTTVGLSKLMSNTEEAWSHLVLEDATGHCQFLEPLVGNSKQLAQYVASAKNLFSSLAFVGAVDQHNRYALHIAMCGGMSFGGILIRNKKIGSKVEEDILDTLVQCMVDISELHEPCDNTIKFRDFWVNSIGGKMPTYSRPPATPYIIKIHQFTLDQNLVQKIWMRLGQGSDGSGHLFILSASHRGAPSVICRQLVSGSAAAM
+>sp|A8MSI8|LYRM9_HUMAN LYR motif-containing protein 9 OS=Homo sapiens OX=9606 GN=LYRM9 PE=3 SV=2
+MAPLPGAELVRRPLQLYRYLLRCCQQLPTKGIQQHYKHAVRQSFRVHSDEDNPERIQQIIKRAIEDADWIMNKYKKQN
+>DECOY_sp|A8MSI8|LYRM9_HUMAN LYR motif-containing protein 9 OS=Homo sapiens OX=9606 GN=LYRM9 PE=3 SV=2
+NQKKYKNMIWDADEIARKIIQQIREPNDEDSHVRFSQRVAHKYHQQIGKTPLQQCCRLLYRYLQLPRRVLEAGPLPAM
+>sp|Q6UWQ5|LYZL1_HUMAN Lysozyme-like protein 1 OS=Homo sapiens OX=9606 GN=LYZL1 PE=2 SV=2
+MKAAGILTLIGCLVTGAESKIYTRCKLAKIFSRAGLDNYWGFSLGNWICMAYYESGYNTTAQTVLDDGSIDYGIFQINSFAWCRRGKLKENNHCHVACSALITDDLTDAIICARKIVKETQGMNYWQGWKKHCEGRDLSEWKKGCEVS
+>DECOY_sp|Q6UWQ5|LYZL1_HUMAN Lysozyme-like protein 1 OS=Homo sapiens OX=9606 GN=LYZL1 PE=2 SV=2
+SVECGKKWESLDRGECHKKWGQWYNMGQTEKVIKRACIIADTLDDTILASCAVHCHNNEKLKGRRCWAFSNIQFIGYDISGDDLVTQATTNYGSEYYAMCIWNGLSFGWYNDLGARSFIKALKCRTYIKSEAGTVLCGILTLIGAAKM
+>sp|Q567V2|M17L2_HUMAN Mpv17-like protein 2 OS=Homo sapiens OX=9606 GN=MPV17L2 PE=1 SV=2
+MARGGWRRLRRLLSAGQLLFQGRALLVTNTLGCGALMAAGDGVRQSWEIRARPGQVFDPRRSASMFAVGCSMGPFLHYWYLSLDRLFPASGLRGFPNVLKKVLVDQLVASPLLGVWYFLGLGCLEGQTVGESCQELREKFWEFYKADWCVWPAAQFVNFLFVPPQFRVTYINGLTLGWDTYLSYLKYRSPVPLTPPGCVALDTRAD
+>DECOY_sp|Q567V2|M17L2_HUMAN Mpv17-like protein 2 OS=Homo sapiens OX=9606 GN=MPV17L2 PE=1 SV=2
+DARTDLAVCGPPTLPVPSRYKLYSLYTDWGLTLGNIYTVRFQPPVFLFNVFQAAPWVCWDAKYFEWFKERLEQCSEGVTQGELCGLGLFYWVGLLPSAVLQDVLVKKLVNPFGRLGSAPFLRDLSLYWYHLFPGMSCGVAFMSASRRPDFVQGPRARIEWSQRVGDGAAMLAGCGLTNTVLLARGQFLLQGASLLRRLRRWGGRAM
+>sp|Q02978|M2OM_HUMAN Mitochondrial 2-oxoglutarate/malate carrier protein OS=Homo sapiens OX=9606 GN=SLC25A11 PE=1 SV=3
+MAATASAGAGGIDGKPRTSPKSVKFLFGGLAGMGATVFVQPLDLVKNRMQLSGEGAKTREYKTSFHALTSILKAEGLRGIYTGLSAGLLRQATYTTTRLGIYTVLFERLTGADGTPPGFLLKAVIGMTAGATGAFVGTPAEVALIRMTADGRLPADQRRGYKNVFNALIRITREEGVLTLWRGCIPTMARAVVVNAAQLASYSQSKQFLLDSGYFSDNILCHFCASMISGLVTTAASMPVDIAKTRIQNMRMIDGKPEYKNGLDVLFKVVRYEGFFSLWKGFTPYYARLGPHTVLTFIFLEQMNKAYKRLFLSG
+>DECOY_sp|Q02978|M2OM_HUMAN Mitochondrial 2-oxoglutarate/malate carrier protein OS=Homo sapiens OX=9606 GN=SLC25A11 PE=1 SV=3
+GSLFLRKYAKNMQELFIFTLVTHPGLRAYYPTFGKWLSFFGEYRVVKFLVDLGNKYEPKGDIMRMNQIRTKAIDVPMSAATTVLGSIMSACFHCLINDSFYGSDLLFQKSQSYSALQAANVVVARAMTPICGRWLTLVGEERTIRILANFVNKYGRRQDAPLRGDATMRILAVEAPTGVFAGTAGATMGIVAKLLFGPPTGDAGTLREFLVTYIGLRTTTYTAQRLLGASLGTYIGRLGEAKLISTLAHFSTKYERTKAGEGSLQMRNKVLDLPQVFVTAGMGALGGFLFKVSKPSTRPKGDIGGAGASATAAM
+>sp|Q99558|M3K14_HUMAN Mitogen-activated protein kinase kinase kinase 14 OS=Homo sapiens OX=9606 GN=MAP3K14 PE=1 SV=2
+MAVMEMACPGAPGSAVGQQKELPKAKEKTPPLGKKQSSVYKLEAVEKSPVFCGKWEILNDVITKGTAKEGSEAGPAAISIIAQAECENSQEFSPTFSERIFIAGSKQYSQSESLDQIPNNVAHATEGKMARVCWKGKRRSKARKKRKKKSSKSLAHAGVALAKPLPRTPEQESCTIPVQEDESPLGAPYVRNTPQFTKPLKEPGLGQLCFKQLGEGLRPALPRSELHKLISPLQCLNHVWKLHHPQDGGPLPLPTHPFPYSRLPHPFPFHPLQPWKPHPLESFLGKLACVDSQKPLPDPHLSKLACVDSPKPLPGPHLEPSCLSRGAHEKFSVEEYLVHALQGSVSSGQAHSLTSLAKTWAARGSRSREPSPKTEDNEGVLLTEKLKPVDYEYREEVHWATHQLRLGRGSFGEVHRMEDKQTGFQCAVKKVRLEVFRAEELMACAGLTSPRIVPLYGAVREGPWVNIFMELLEGGSLGQLVKEQGCLPEDRALYYLGQALEGLEYLHSRRILHGDVKADNVLLSSDGSHAALCDFGHAVCLQPDGLGKSLLTGDYIPGTETHMAPEVVLGRSCDAKVDVWSSCCMMLHMLNGCHPWTQFFRGPLCLKIASEPPPVREIPPSCAPLTAQAIQEGLRKEPIHRVSAAELGGKVNRALQQVGGLKSPWRGEYKEPRHPPPNQANYHQTLHAQPRELSPRAPGPRPAEETTGRAPKLQPPLPPEPPEPNKSPPLTLSKEESGMWEPLPLSSLEPAPARNPSSPERKATVPEQELQQLEIELFLNSLSQPFSLEEQEQILSCLSIDSLSLSDDSEKNPSKASQSSRDTLSSGVHSWSSQAEARSSSWNMVLARGRPTDTPSYFNGVKVQIQSLNGEHLHIREFHRVKVGDIATGISSQIPAAAFSLVTKDGQPVRYDMEVPDSGIDLQCTLAPDGSFAWSWRVKHGQLENRP
+>DECOY_sp|Q99558|M3K14_HUMAN Mitogen-activated protein kinase kinase kinase 14 OS=Homo sapiens OX=9606 GN=MAP3K14 PE=1 SV=2
+PRNELQGHKVRWSWAFSGDPALTCQLDIGSDPVEMDYRVPQGDKTVLSFAAAPIQSSIGTAIDGVKVRHFERIHLHEGNLSQIQVKVGNFYSPTDTPRGRALVMNWSSSRAEAQSSWSHVGSSLTDRSSQSAKSPNKESDDSLSLSDISLCSLIQEQEELSFPQSLSNLFLEIELQQLEQEPVTAKREPSSPNRAPAPELSSLPLPEWMGSEEKSLTLPPSKNPEPPEPPLPPQLKPARGTTEEAPRPGPARPSLERPQAHLTQHYNAQNPPPHRPEKYEGRWPSKLGGVQQLARNVKGGLEAASVRHIPEKRLGEQIAQATLPACSPPIERVPPPESAIKLCLPGRFFQTWPHCGNLMHLMMCCSSWVDVKADCSRGLVVEPAMHTETGPIYDGTLLSKGLGDPQLCVAHGFDCLAAHSGDSSLLVNDAKVDGHLIRRSHLYELGELAQGLYYLARDEPLCGQEKVLQGLSGGELLEMFINVWPGERVAGYLPVIRPSTLGACAMLEEARFVELRVKKVACQFGTQKDEMRHVEGFSGRGLRLQHTAWHVEERYEYDVPKLKETLLVGENDETKPSPERSRSGRAAWTKALSTLSHAQGSSVSGQLAHVLYEEVSFKEHAGRSLCSPELHPGPLPKPSDVCALKSLHPDPLPKQSDVCALKGLFSELPHPKWPQLPHFPFPHPLRSYPFPHTPLPLPGGDQPHHLKWVHNLCQLPSILKHLESRPLAPRLGEGLQKFCLQGLGPEKLPKTFQPTNRVYPAGLPSEDEQVPITCSEQEPTRPLPKALAVGAHALSKSSKKKRKKRAKSRRKGKWCVRAMKGETAHAVNNPIQDLSESQSYQKSGAIFIRESFTPSFEQSNECEAQAIISIAAPGAESGEKATGKTIVDNLIEWKGCFVPSKEVAELKYVSSQKKGLPPTKEKAKPLEKQQGVASGPAGPCAMEMVAM
+>sp|P41279|M3K8_HUMAN Mitogen-activated protein kinase kinase kinase 8 OS=Homo sapiens OX=9606 GN=MAP3K8 PE=1 SV=2
+MEYMSTGSDNKEEIDLLIKHLNVSDVIDIMENLYASEEPAVYEPSLMTMCQDSNQNDERSKSLLLSGQEVPWLSSVRYGTVEDLLAFANHISNTAKHFYGQRPQESGILLNMVITPQNGRYQIDSDVLLIPWKLTYRNIGSDFIPRGAFGKVYLAQDIKTKKRMACKLIPVDQFKPSDVEIQACFRHENIAELYGAVLWGETVHLFMEAGEGGSVLEKLESCGPMREFEIIWVTKHVLKGLDFLHSKKVIHHDIKPSNIVFMSTKAVLVDFGLSVQMTEDVYFPKDLRGTEIYMSPEVILCRGHSTKADIYSLGATLIHMQTGTPPWVKRYPRSAYPSYLYIIHKQAPPLEDIADDCSPGMRELIEASLERNPNHRPRAADLLKHEALNPPREDQPRCQSLDSALLERKRLLSRKELELPENIADSSCTGSTEESEMLKRQRSLYIDLGALAGYFNLVRGPPTLEYG
+>DECOY_sp|P41279|M3K8_HUMAN Mitogen-activated protein kinase kinase kinase 8 OS=Homo sapiens OX=9606 GN=MAP3K8 PE=1 SV=2
+GYELTPPGRVLNFYGALAGLDIYLSRQRKLMESEETSGTCSSDAINEPLELEKRSLLRKRELLASDLSQCRPQDERPPNLAEHKLLDAARPRHNPNRELSAEILERMGPSCDDAIDELPPAQKHIIYLYSPYASRPYRKVWPPTGTQMHILTAGLSYIDAKTSHGRCLIVEPSMYIETGRLDKPFYVDETMQVSLGFDVLVAKTSMFVINSPKIDHHIVKKSHLFDLGKLVHKTVWIIEFERMPGCSELKELVSGGEGAEMFLHVTEGWLVAGYLEAINEHRFCAQIEVDSPKFQDVPILKCAMRKKTKIDQALYVKGFAGRPIFDSGINRYTLKWPILLVDSDIQYRGNQPTIVMNLLIGSEQPRQGYFHKATNSIHNAFALLDEVTGYRVSSLWPVEQGSLLLSKSREDNQNSDQCMTMLSPEYVAPEESAYLNEMIDIVDSVNLHKILLDIEEKNDSGTSMYEM
+>sp|P57077|M3KCL_HUMAN MAP3K7 C-terminal-like protein OS=Homo sapiens OX=9606 GN=MAP3K7CL PE=1 SV=1
+MVQLIAPLEVMWNEAADLKPLALSRRLECSGGIMAHYSPDLLGPEMESRYFAQVGLEHLASSSPPAFGFLKCLDYSISVLCSATSLAMLEDNPKVSKLATGDWMLTLKPKSITVPVEIPSSPLDDTPPEDSIPLVFPELDQQLQPLPPCHDSEESMEVFKQHCQIAEEYHEVKKEITLLEQRKKELIAKLDQAEKEKVDAAELVREFEALTEENRTLRLAQSQCVEQLEKLRIQYQKRQGSS
+>DECOY_sp|P57077|M3KCL_HUMAN MAP3K7 C-terminal-like protein OS=Homo sapiens OX=9606 GN=MAP3K7CL PE=1 SV=1
+SSGQRKQYQIRLKELQEVCQSQALRLTRNEETLAEFERVLEAADVKEKEAQDLKAILEKKRQELLTIEKKVEHYEEAIQCHQKFVEMSEESDHCPPLPQLQQDLEPFVLPISDEPPTDDLPSSPIEVPVTISKPKLTLMWDGTALKSVKPNDELMALSTASCLVSISYDLCKLFGFAPPSSSALHELGVQAFYRSEMEPGLLDPSYHAMIGGSCELRRSLALPKLDAAENWMVELPAILQVM
+>sp|Q9Y4K4|M4K5_HUMAN Mitogen-activated protein kinase kinase kinase kinase 5 OS=Homo sapiens OX=9606 GN=MAP4K5 PE=1 SV=2
+MEAPLRPAADILRRNPQQDYELVQRVGSGTYGDVYKARNVHTGELAAVKIIKLEPGDDFSLIQQEIFMVKECKHCNIVAYFGSYLSREKLWICMEYCGGGSLQDIYHVTGPLSELQIAYVCRETLQGLAYLHTKGKMHRDIKGANILLTDHGDVKLADFGVAAKITATIAKRKSFIGTPYWMAPEVAAVEKNGGYNQLCDIWAVGITAIELGELQPPMFDLHPMRALFLMSKSNFQPPKLKDKTKWSSTFHNFVKIALTKNPKKRPTAERLLTHTFVAQPGLSRALAVELLDKVNNPDNHAHYTEADDDDFEPHAIIRHTIRSTNRNARAERTASEINFDKLQFEPPLRKETEARDEMGLSSDPNFMLQWNPFVDGANTGKSTSKRAIPPPLPPKPRISSYPEDNFPDEEKASTIKHCPDSESRAPQILRRQSSPSCGPVAETSSIGNGDGISKLMSENTEGSAQAPQLPRKKDKRDFPKPAINGLPPTPKVLMGACFSKVFDGCPLKINCATSWIHPDTKDQYIIFGTEDGIYTLNLNELHEATMEQLFPRKCTWLYVINNTLMSLSVGKTFQLYSHNLIALFEHAKKPGLAAHIQTHRFPDRILPRKFALTTKIPDTKGCHKCCIVRNPYTGHKYLCGALQSGIVLLQWYEPMQKFMLIKHFDFPLPSPLNVFEMLVIPEQEYPMVCVAISKGTESNQVVQFETINLNSASSWFTEIGAGSQQLDSIHVTQLERDTVLVCLDKFVKIVNLQGKLKSSKKLASELSFDFRIESVVCLQDSVLAFWKHGMQGKSFKSDEVTQEISDETRVFRLLGSDRVVVLESRPTENPTAHSNLYILAGHENSY
+>DECOY_sp|Q9Y4K4|M4K5_HUMAN Mitogen-activated protein kinase kinase kinase kinase 5 OS=Homo sapiens OX=9606 GN=MAP4K5 PE=1 SV=2
+YSNEHGALIYLNSHATPNETPRSELVVVRDSGLLRFVRTEDSIEQTVEDSKFSKGQMGHKWFALVSDQLCVVSEIRFDFSLESALKKSSKLKGQLNVIKVFKDLCVLVTDRELQTVHISDLQQSGAGIETFWSSASNLNITEFQVVQNSETGKSIAVCVMPYEQEPIVLMEFVNLPSPLPFDFHKILMFKQMPEYWQLLVIGSQLAGCLYKHGTYPNRVICCKHCGKTDPIKTTLAFKRPLIRDPFRHTQIHAALGPKKAHEFLAILNHSYLQFTKGVSLSMLTNNIVYLWTCKRPFLQEMTAEHLENLNLTYIGDETGFIIYQDKTDPHIWSTACNIKLPCGDFVKSFCAGMLVKPTPPLGNIAPKPFDRKDKKRPLQPAQASGETNESMLKSIGDGNGISSTEAVPGCSPSSQRRLIQPARSESDPCHKITSAKEEDPFNDEPYSSIRPKPPLPPPIARKSTSKGTNAGDVFPNWQLMFNPDSSLGMEDRAETEKRLPPEFQLKDFNIESATREARANRNTSRITHRIIAHPEFDDDDAETYHAHNDPNNVKDLLEVALARSLGPQAVFTHTLLREATPRKKPNKTLAIKVFNHFTSSWKTKDKLKPPQFNSKSMLFLARMPHLDFMPPQLEGLEIATIGVAWIDCLQNYGGNKEVAAVEPAMWYPTGIFSKRKAITATIKAAVGFDALKVDGHDTLLINAGKIDRHMKGKTHLYALGQLTERCVYAIQLESLPGTVHYIDQLSGGGCYEMCIWLKERSLYSGFYAVINCHKCEKVMFIEQQILSFDDGPELKIIKVAALEGTHVNRAKYVDGYTGSGVRQVLEYDQQPNRRLIDAAPRLPAEM
+>sp|Q16706|MA2A1_HUMAN Alpha-mannosidase 2 OS=Homo sapiens OX=9606 GN=MAN2A1 PE=1 SV=2
+MKLSRQFTVFGSAIFCVVIFSLYLMLDRGHLDYPRNPRREGSFPQGQLSMLQEKIDHLERLLAENNEIISNIRDSVINLSESVEDGPKSSQSNFSQGAGSHLLPSQLSLSVDTADCLFASQSGSHNSDVQMLDVYSLISFDNPDGGVWKQGFDITYESNEWDTEPLQVFVVPHSHNDPGWLKTFNDYFRDKTQYIFNNMVLKLKEDSRRKFIWSEISYLSKWWDIIDIQKKDAVKSLIENGQLEIVTGGWVMPDEATPHYFALIDQLIEGHQWLENNIGVKPRSGWAIDPFGHSPTMAYLLNRAGLSHMLIQRVHYAVKKHFALHKTLEFFWRQNWDLGSVTDILCHMMPFYSYDIPHTCGPDPKICCQFDFKRLPGGRFGCPWGVPPETIHPGNVQSRARMLLDQYRKKSKLFRTKVLLAPLGDDFRYCEYTEWDLQFKNYQQLFDYMNSQSKFKVKIQFGTLSDFFDALDKADETQRDKGQSMFPVLSGDFFTYADRDDHYWSGYFTSRPFYKRMDRIMESHLRAAEILYYFALRQAHKYKINKFLSSSLYTALTEARRNLGLFQHHDAITGTAKDWVVVDYGTRLFHSLMVLEKIIGNSAFLLILKDKLTYDSYSPDTFLEMDLKQKSQDSLPQKNIIRLSAEPRYLVVYNPLEQDRISLVSVYVSSPTVQVFSASGKPVEVQVSAVWDTANTISETAYEISFRAHIPPLGLKVYKILESASSNSHLADYVLYKNKVEDSGIFTIKNMINTEEGITLENSFVLLRFDQTGLMKQMMTKEDGKHHEVNVQFSWYGTTIKRDKSGAYLFLPDGNAKPYVYTTPPFVRVTHGRIYSEVTCFFDHVTHRVRLYHIQGIEGQSVEVSNIVDIRKVYNREIAMKISSDIKSQNRFYTDLNGYQIQPRMTLSKLPLQANVYPMTTMAYIQDAKHRLTLLSAQSLGVSSLNSGQIEVIMDRRLMQDDNRGLEQGIQDNKITANLFRILLEKRSAVNTEEEKKSVSYPSLLSHITSSLMNHPVIPMANKFSSPTLELQGEFSPLQSSLPCDIHLVNLRTIQSKVGNGHSNEAALILHRKGFDCRFSSKGTGLFCSTTQGKILVQKLLNKFIVESLTPSSLSLMHSPPGTQNISEINLSPMEISTFRIQLR
+>DECOY_sp|Q16706|MA2A1_HUMAN Alpha-mannosidase 2 OS=Homo sapiens OX=9606 GN=MAN2A1 PE=1 SV=2
+RLQIRFTSIEMPSLNIESINQTGPPSHMLSLSSPTLSEVIFKNLLKQVLIKGQTTSCFLGTGKSSFRCDFGKRHLILAAENSHGNGVKSQITRLNVLHIDCPLSSQLPSFEGQLELTPSSFKNAMPIVPHNMLSSTIHSLLSPYSVSKKEEETNVASRKELLIRFLNATIKNDQIGQELGRNDDQMLRRDMIVEIQGSNLSSVGLSQASLLTLRHKADQIYAMTTMPYVNAQLPLKSLTMRPQIQYGNLDTYFRNQSKIDSSIKMAIERNYVKRIDVINSVEVSQGEIGQIHYLRVRHTVHDFFCTVESYIRGHTVRVFPPTTYVYPKANGDPLFLYAGSKDRKITTGYWSFQVNVEHHKGDEKTMMQKMLGTQDFRLLVFSNELTIGEETNIMNKITFIGSDEVKNKYLVYDALHSNSSASELIKYVKLGLPPIHARFSIEYATESITNATDWVASVQVEVPKGSASFVQVTPSSVYVSVLSIRDQELPNYVVLYRPEASLRIINKQPLSDQSKQKLDMELFTDPSYSDYTLKDKLILLFASNGIIKELVMLSHFLRTGYDVVVWDKATGTIADHHQFLGLNRRAETLATYLSSSLFKNIKYKHAQRLAFYYLIEAARLHSEMIRDMRKYFPRSTFYGSWYHDDRDAYTFFDGSLVPFMSQGKDRQTEDAKDLADFFDSLTGFQIKVKFKSQSNMYDFLQQYNKFQLDWETYECYRFDDGLPALLVKTRFLKSKKRYQDLLMRARSQVNGPHITEPPVGWPCGFRGGPLRKFDFQCCIKPDPGCTHPIDYSYFPMMHCLIDTVSGLDWNQRWFFELTKHLAFHKKVAYHVRQILMHSLGARNLLYAMTPSHGFPDIAWGSRPKVGINNELWQHGEILQDILAFYHPTAEDPMVWGGTVIELQGNEILSKVADKKQIDIIDWWKSLYSIESWIFKRRSDEKLKLVMNNFIYQTKDRFYDNFTKLWGPDNHSHPVVFVQLPETDWENSEYTIDFGQKWVGGDPNDFSILSYVDLMQVDSNHSGSQSAFLCDATDVSLSLQSPLLHSGAGQSFNSQSSKPGDEVSESLNIVSDRINSIIENNEALLRELHDIKEQLMSLQGQPFSGERRPNRPYDLHGRDLMLYLSFIVVCFIASGFVTFQRSLKM
+>sp|Q9Y2E5|MA2B2_HUMAN Epididymis-specific alpha-mannosidase OS=Homo sapiens OX=9606 GN=MAN2B2 PE=1 SV=4
+MGQLCWLPLLAPLLLLRPPGVQSAGPIRAFVVPHSHMDVGWVYTVQESMRAYAANVYTSVVEELARGQQRRFIAVEQEFFRLWWDGVASDQQKYQVRQLLEEGRLEFVIGGQVMHDEAVTHLDDQILQLTEGHGFLYETFGIRPQFSWHVDPFGASATTPTLFALAGFNAHLGSRIDYDLKAAMQEARGLQFVWRGSPSLSERQEIFTHIMDQYSYCTPSHIPFSNRSGFYWNGVAVFPKPPQDGVYPNMSEPVTPANINLYAEALVANVKQRAAWFRTPHVLWPWGCDKQFFNASVQFANMDPLLDHINSHAAELGVSVQYATLGDYFRALHALNVTWRVRDHHDFLPYSTEPFQAWTGFYTSRSSLKGLARRASALLYAGESMFTRYLWPAPRGHLDPTWALQQLQQLRWAVSEVQHHDAITGTESPKVRDMYATHLASGMLGMRKLMASIVLDELQPQAPMAASSDAGPAGHFASVYNPLAWTVTTIVTLTVGFPGVRVTDEAGHPVPSQIQNSTETPSAYDLLILTTIPGLSYRHYNIRPTAGAQEGTQEPAATVASTLQFGRRLRRRTSHAGRYLVPVANDCYIVLLDQDTNLMHSIWERQSNRTVRVTQEFLEYHVNGDVKQGPISDNYLFTPGKAAVPAWEAVEMEIVAGQLVTEIRQYFYRNMTAQNYTYAIRSRLTHVPQGHDGELLCHRIEQEYQAGPLELNREAVLRTSTNLNSQQVIYSDNNGYQMQRRPYVSYVNNSIARNYYPMVQSAFMEDGKSRLVLLSERAHGISSQGNGQVEVMLHRRLWNNFDWDLGYNLTLNDTSVVHPVLWLLLGSWSLTTALRQRSALALQHRPVVLFGDLAGTAPKLPGPQQQEAVTLPPNLHLQILSIPGWRYSSNHTEHSQNLRKGHRGEAQADLRRVLLRLYHLYEVGEDPVLSQPVTVNLEAVLQALGSVVAVEERSLTGTWDLSMLHRWSWRTGPGRHRGDTTSPSRPPGGPIITVHPKEIRTFFIHFQQQ
+>DECOY_sp|Q9Y2E5|MA2B2_HUMAN Epididymis-specific alpha-mannosidase OS=Homo sapiens OX=9606 GN=MAN2B2 PE=1 SV=4
+QQQFHIFFTRIEKPHVTIIPGGPPRSPSTTDGRHRGPGTRWSWRHLMSLDWTGTLSREEVAVVSGLAQLVAELNVTVPQSLVPDEGVEYLHYLRLLVRRLDAQAEGRHGKRLNQSHETHNSSYRWGPISLIQLHLNPPLTVAEQQQPGPLKPATGALDGFLVVPRHQLALASRQRLATTLSWSGLLLWLVPHVVSTDNLTLNYGLDWDFNNWLRRHLMVEVQGNGQSSIGHARESLLVLRSKGDEMFASQVMPYYNRAISNNVYSVYPRRQMQYGNNDSYIVQQSNLNTSTRLVAERNLELPGAQYEQEIRHCLLEGDHGQPVHTLRSRIAYTYNQATMNRYFYQRIETVLQGAVIEMEVAEWAPVAAKGPTFLYNDSIPGQKVDGNVHYELFEQTVRVTRNSQREWISHMLNTDQDLLVIYCDNAVPVLYRGAHSTRRRLRRGFQLTSAVTAAPEQTGEQAGATPRINYHRYSLGPITTLILLDYASPTETSNQIQSPVPHGAEDTVRVGPFGVTLTVITTVTWALPNYVSAFHGAPGADSSAAMPAQPQLEDLVISAMLKRMGLMGSALHTAYMDRVKPSETGTIADHHQVESVAWRLQQLQQLAWTPDLHGRPAPWLYRTFMSEGAYLLASARRALGKLSSRSTYFGTWAQFPETSYPLFDHHDRVRWTVNLAHLARFYDGLTAYQVSVGLEAAHSNIHDLLPDMNAFQVSANFFQKDCGWPWLVHPTRFWAARQKVNAVLAEAYLNINAPTVPESMNPYVGDQPPKPFVAVGNWYFGSRNSFPIHSPTCYSYQDMIHTFIEQRESLSPSGRWVFQLGRAEQMAAKLDYDIRSGLHANFGALAFLTPTTASAGFPDVHWSFQPRIGFTEYLFGHGETLQLIQDDLHTVAEDHMVQGGIVFELRGEELLQRVQYKQQDSAVGDWWLRFFEQEVAIFRRQQGRALEEVVSTYVNAAYARMSEQVTYVWGVDMHSHPVVFARIPGASQVGPPRLLLLPALLPLWCLQGM
+>sp|Q9NTJ4|MA2C1_HUMAN Alpha-mannosidase 2C1 OS=Homo sapiens OX=9606 GN=MAN2C1 PE=1 SV=1
+MAAAPALKHWRTTLERVEKFVSPLYFTDCNLRGRLFGASCPVAVLSSFLTPERLPYQEAVQRDFRPAQVGDSFGPTWWTCWFRVELTIPEAWVGQEVHLCWESDGEGLVWRDGEPVQGLTKEGEKTSYVLTDRLGERDPRSLTLYVEVACNGLLGAGKGSMIAAPDPEKMFQLSRAELAVFHRDVHMLLVDLELLLGIAKGLGKDNQRSFQALYTANQMVNVCDPAQPETFPVAQALASRFFGQHGGESQHTIHATGHCHIDTAWLWPFKETVRKCARSWVTALQLMERNPEFIFACSQAQQLEWVKSRYPGLYSRIQEFACRGQFVPVGGTWVEMDGNLPSGEAMVRQFLQGQNFFLQEFGKMCSEFWLPDTFGYSAQLPQIMHGCGIRRFLTQKLSWNLVNSFPHHTFFWEGLDGSRVLVHFPPGDSYGMQGSVEEVLKTVANNRDKGRANHSAFLFGFGDGGGGPTQTMLDRLKRLSNTDGLPRVQLSSPRQLFSALESDSEQLCTWVGELFLELHNGTYTTHAQIKKGNRECERILHDVELLSSLALARSAQFLYPAAQLQHLWRLLLLNQFHDVVTGSCIQMVAEEAMCHYEDIRSHGNTLLSAAAAALCAGEPGPEGLLIVNTLPWKRIEVMALPKPGGAHSLALVTVPSMGYAPVPPPTSLQPLLPQQPVFVVQETDGSVTLDNGIIRVKLDPTGRLTSLVLVASGREAIAEGAVGNQFVLFDDVPLYWDAWDVMDYHLETRKPVLGQAGTLAVGTEGGLRGSAWFLLQISPNSRLSQEVVLDVGCPYVRFHTEVHWHEAHKFLKVEFPARVRSSQATYEIQFGHLQRPTHYNTSWDWARFEVWAHRWMDLSEHGFGLALLNDCKYGASVRGSILSLSLLRAPKAPDATADTGRHEFTYALMPHKGSFQDAGVIQAAYSLNFPLLALPAPSPAPATSWSAFSVSSPAVVLETVKQAESSPQRRSLVLRLYEAHGSHVDCWLHLSLPVQEAILCDLLERPDPAGHLTLRDNRLKLTFSPFQVLSLLLVLQPPPH
+>DECOY_sp|Q9NTJ4|MA2C1_HUMAN Alpha-mannosidase 2C1 OS=Homo sapiens OX=9606 GN=MAN2C1 PE=1 SV=1
+HPPPQLVLLLSLVQFPSFTLKLRNDRLTLHGAPDPRELLDCLIAEQVPLSLHLWCDVHSGHAEYLRLVLSRRQPSSEAQKVTELVVAPSSVSFASWSTAPAPSPAPLALLPFNLSYAAQIVGADQFSGKHPMLAYTFEHRGTDATADPAKPARLLSLSLISGRVSAGYKCDNLLALGFGHESLDMWRHAWVEFRAWDWSTNYHTPRQLHGFQIEYTAQSSRVRAPFEVKLFKHAEHWHVETHFRVYPCGVDLVVEQSLRSNPSIQLLFWASGRLGGETGVALTGAQGLVPKRTELHYDMVDWADWYLPVDDFLVFQNGVAGEAIAERGSAVLVLSTLRGTPDLKVRIIGNDLTVSGDTEQVVFVPQQPLLPQLSTPPPVPAYGMSPVTVLALSHAGGPKPLAMVEIRKWPLTNVILLGEPGPEGACLAAAAASLLTNGHSRIDEYHCMAEEAVMQICSGTVVDHFQNLLLLRWLHQLQAAPYLFQASRALALSSLLEVDHLIRECERNGKKIQAHTTYTGNHLELFLEGVWTCLQESDSELASFLQRPSSLQVRPLGDTNSLRKLRDLMTQTPGGGGDGFGFLFASHNARGKDRNNAVTKLVEEVSGQMGYSDGPPFHVLVRSGDLGEWFFTHHPFSNVLNWSLKQTLFRRIGCGHMIQPLQASYGFTDPLWFESCMKGFEQLFFNQGQLFQRVMAEGSPLNGDMEVWTGGVPVFQGRCAFEQIRSYLGPYRSKVWELQQAQSCAFIFEPNREMLQLATVWSRACKRVTEKFPWLWATDIHCHGTAHITHQSEGGHQGFFRSALAQAVPFTEPQAPDCVNVMQNATYLAQFSRQNDKGLGKAIGLLLELDVLLMHVDRHFVALEARSLQFMKEPDPAAIMSGKGAGLLGNCAVEVYLTLSRPDREGLRDTLVYSTKEGEKTLGQVPEGDRWVLGEGDSEWCLHVEQGVWAEPITLEVRFWCTWWTPGFSDGVQAPRFDRQVAEQYPLREPTLFSSLVAVPCSAGFLRGRLNCDTFYLPSVFKEVRELTTRWHKLAPAAAM
+>sp|O43708|MAAI_HUMAN Maleylacetoacetate isomerase OS=Homo sapiens OX=9606 GN=GSTZ1 PE=1 SV=3
+MQAGKPILYSYFRSSCSWRVRIALALKGIDYKTVPINLIKDRGQQFSKDFQALNPMKQVPTLKIDGITIHQSLAIIEYLEEMRPTPRLLPQDPKKRASVRMISDLIAGGIQPLQNLSVLKQVGEEMQLTWAQNAITCGFNALEQILQSTAGIYCVGDEVTMADLCLVPQVANAERFKVDLTPYPTISSINKRLLVLEAFQVSHPCRQPDTPTELRA
+>DECOY_sp|O43708|MAAI_HUMAN Maleylacetoacetate isomerase OS=Homo sapiens OX=9606 GN=GSTZ1 PE=1 SV=3
+ARLETPTDPQRCPHSVQFAELVLLRKNISSITPYPTLDVKFREANAVQPVLCLDAMTVEDGVCYIGATSQLIQELANFGCTIANQAWTLQMEEGVQKLVSLNQLPQIGGAILDSIMRVSARKKPDQPLLRPTPRMEELYEIIALSQHITIGDIKLTPVQKMPNLAQFDKSFQQGRDKILNIPVTKYDIGKLALAIRVRWSCSSRFYSYLIPKGAQM
+>sp|Q05195|MAD1_HUMAN Max dimerization protein 1 OS=Homo sapiens OX=9606 GN=MXD1 PE=1 SV=1
+MAAAVRMNIQMLLEAADYLERREREAEHGYASMLPYNNKDRDALKRRNKSKKNNSSSRSTHNEMEKNRRAHLRLCLEKLKGLVPLGPESSRHTTLSLLTKAKLHIKKLEDCDRKAVHQIDQLQREQRHLKRQLEKLGIERIRMDSIGSTVSSERSDSDREEIDVDVESTDYLTGDLDWSSSSVSDSDERGSMQSLGSDEGYSSTSIKRIKLQDSHKACLGL
+>DECOY_sp|Q05195|MAD1_HUMAN Max dimerization protein 1 OS=Homo sapiens OX=9606 GN=MXD1 PE=1 SV=1
+LGLCAKHSDQLKIRKISTSSYGEDSGLSQMSGREDSDSVSSSSWDLDGTLYDTSEVDVDIEERDSDSRESSVTSGISDMRIREIGLKELQRKLHRQERQLQDIQHVAKRDCDELKKIHLKAKTLLSLTTHRSSEPGLPVLGKLKELCLRLHARRNKEMENHTSRSSSNNKKSKNRRKLADRDKNNYPLMSAYGHEAERERRELYDAAELLMQINMRVAAAM
+>sp|Q13477|MADCA_HUMAN Mucosal addressin cell adhesion molecule 1 OS=Homo sapiens OX=9606 GN=MADCAM1 PE=1 SV=2
+MDFGLALLLAGLLGLLLGQSLQVKPLQVEPPEPVVAVALGASRQLTCRLACADRGASVQWRGLDTSLGAVQSDTGRSVLTVRNASLSAAGTRVCVGSCGGRTFQHTVQLLVYAFPDQLTVSPAALVPGDPEVACTAHKVTPVDPNALSFSLLVGGQELEGAQALGPEVQEEEEEPQGDEDVLFRVTERWRLPPLGTPVPPALYCQATMRLPGLELSHRQAIPVLHSPTSPEPPDTTSPESPDTTSPESPDTTSQEPPDTTSPEPPDKTSPEPAPQQGSTHTPRSPGSTRTRRPEISQAGPTQGEVIPTGSSKPAGDQLPAALWTSSAVLGLLLLALPTYHLWKRCRHLAEDDTHPPASLRLLPQVSAWAGLRGTGQVGISPS
+>DECOY_sp|Q13477|MADCA_HUMAN Mucosal addressin cell adhesion molecule 1 OS=Homo sapiens OX=9606 GN=MADCAM1 PE=1 SV=2
+SPSIGVQGTGRLGAWASVQPLLRLSAPPHTDDEALHRCRKWLHYTPLALLLLGLVASSTWLAAPLQDGAPKSSGTPIVEGQTPGAQSIEPRRTRTSGPSRPTHTSGQQPAPEPSTKDPPEPSTTDPPEQSTTDPSEPSTTDPSEPSTTDPPEPSTPSHLVPIAQRHSLELGPLRMTAQCYLAPPVPTGLPPLRWRETVRFLVDEDGQPEEEEEQVEPGLAQAGELEQGGVLLSFSLANPDVPTVKHATCAVEPDGPVLAAPSVTLQDPFAYVLLQVTHQFTRGGCSGVCVRTGAASLSANRVTLVSRGTDSQVAGLSTDLGRWQVSAGRDACALRCTLQRSAGLAVAVVPEPPEVQLPKVQLSQGLLLGLLGALLLALGFDM
+>sp|Q96JY0|MAEL_HUMAN Protein maelstrom homolog OS=Homo sapiens OX=9606 GN=MAEL PE=1 SV=1
+MPNRKASRNAYYFFVQEKIPELRRRGLPVARVADAIPYCSSDWALLREEEKEKYAEMAREWRAAQGKDPGPSEKQKPVFTPLRRPGMLVPKQNVSPPDMSALSLKGDQALLGGIFYFLNIFSHGELPPHCEQRFLPCEIGCVKYSLQEGIMADFHSFINPGEIPRGFRFHCQAASDSSHKIPISNFERGHNQATVLQNLYRFIHPNPGNWPPIYCKSDDRTRVNWCLKHMAKASEIRQDLQLLTVEDLVVGIYQQKFLKEPSKTWIRSLLDVAMWDYSSNTRCKWHEENDILFCALAVCKKIAYCISNSLATLFGIQLTEAHVPLQDYEASNSVTPKMVVLDAGRYQKLRVGSSGFSHFNSSNEEQRSNTPIGDYPSRAKISGQNSSVRGRGITRLLESISNSSSNIHKFSNCDTSLSPYMSQKDGYKSFSSLS
+>DECOY_sp|Q96JY0|MAEL_HUMAN Protein maelstrom homolog OS=Homo sapiens OX=9606 GN=MAEL PE=1 SV=1
+SLSSFSKYGDKQSMYPSLSTDCNSFKHINSSSNSISELLRTIGRGRVSSNQGSIKARSPYDGIPTNSRQEENSSNFHSFGSSGVRLKQYRGADLVVMKPTVSNSAEYDQLPVHAETLQIGFLTALSNSICYAIKKCVALACFLIDNEEHWKCRTNSSYDWMAVDLLSRIWTKSPEKLFKQQYIGVVLDEVTLLQLDQRIESAKAMHKLCWNVRTRDDSKCYIPPWNGPNPHIFRYLNQLVTAQNHGREFNSIPIKHSSDSAAQCHFRFGRPIEGPNIFSHFDAMIGEQLSYKVCGIECPLFRQECHPPLEGHSFINLFYFIGGLLAQDGKLSLASMDPPSVNQKPVLMGPRRLPTFVPKQKESPGPDKGQAARWERAMEAYKEKEEERLLAWDSSCYPIADAVRAVPLGRRRLEPIKEQVFFYYANRSAKRNPM
+>sp|P43357|MAGA3_HUMAN Melanoma-associated antigen 3 OS=Homo sapiens OX=9606 GN=MAGEA3 PE=1 SV=1
+MPLEQRSQHCKPEEGLEARGEALGLVGAQAPATEEQEAASSSSTLVEVTLGEVPAAESPDPPQSPQGASSLPTTMNYPLWSQSYEDSSNQEEEGPSTFPDLESEFQAALSRKVAELVHFLLLKYRAREPVTKAEMLGSVVGNWQYFFPVIFSKASSSLQLVFGIELMEVDPIGHLYIFATCLGLSYDGLLGDNQIMPKAGLLIIVLAIIAREGDCAPEEKIWEELSVLEVFEGREDSILGDPKKLLTQHFVQENYLEYRQVPGSDPACYEFLWGPRALVETSYVKVLHHMVKISGGPHISYPPLHEWVLREGEE
+>DECOY_sp|P43357|MAGA3_HUMAN Melanoma-associated antigen 3 OS=Homo sapiens OX=9606 GN=MAGEA3 PE=1 SV=1
+EEGERLVWEHLPPYSIHPGGSIKVMHHLVKVYSTEVLARPGWLFEYCAPDSGPVQRYELYNEQVFHQTLLKKPDGLISDERGEFVELVSLEEWIKEEPACDGERAIIALVIILLGAKPMIQNDGLLGDYSLGLCTAFIYLHGIPDVEMLEIGFVLQLSSSAKSFIVPFFYQWNGVVSGLMEAKTVPERARYKLLLFHVLEAVKRSLAAQFESELDPFTSPGEEEQNSSDEYSQSWLPYNMTTPLSSAGQPSQPPDPSEAAPVEGLTVEVLTSSSSAAEQEETAPAQAGVLGLAEGRAELGEEPKCHQSRQELPM
+>sp|P43363|MAGAA_HUMAN Melanoma-associated antigen 10 OS=Homo sapiens OX=9606 GN=MAGEA10 PE=2 SV=2
+MPRAPKRQRCMPEEDLQSQSETQGLEGAQAPLAVEEDASSSTSTSSSFPSSFPSSSSSSSSSCYPLIPSTPEEVSADDETPNPPQSAQIACSSPSVVASLPLDQSDEGSSSQKEESPSTLQVLPDSESLPRSEIDEKVTDLVQFLLFKYQMKEPITKAEILESVIRNYEDHFPLLFSEASECMLLVFGIDVKEVDPTGHSFVLVTSLGLTYDGMLSDVQSMPKTGILILILSIVFIEGYCTPEEVIWEALNMMGLYDGMEHLIYGEPRKLLTQDWVQENYLEYRQVPGSDPARYEFLWGPRAHAEIRKMSLLKFLAKVNGSDPRSFPLWYEEALKDEEERAQDRIATTDDTTAMASASSSATGSFSYPE
+>DECOY_sp|P43363|MAGAA_HUMAN Melanoma-associated antigen 10 OS=Homo sapiens OX=9606 GN=MAGEA10 PE=2 SV=2
+EPYSFSGTASSSASAMATTDDTTAIRDQAREEEDKLAEEYWLPFSRPDSGNVKALFKLLSMKRIEAHARPGWLFEYRAPDSGPVQRYELYNEQVWDQTLLKRPEGYILHEMGDYLGMMNLAEWIVEEPTCYGEIFVISLILILIGTKPMSQVDSLMGDYTLGLSTVLVFSHGTPDVEKVDIGFVLLMCESAESFLLPFHDEYNRIVSELIEAKTIPEKMQYKFLLFQVLDTVKEDIESRPLSESDPLVQLTSPSEEKQSSSGEDSQDLPLSAVVSPSSCAIQASQPPNPTEDDASVEEPTSPILPYCSSSSSSSSSPFSSPFSSSTSTSSSADEEVALPAQAGELGQTESQSQLDEEPMCRQRKPARPM
+>sp|O15479|MAGB2_HUMAN Melanoma-associated antigen B2 OS=Homo sapiens OX=9606 GN=MAGEB2 PE=1 SV=3
+MPRGQKSKLRAREKRRKARDETRGLNVPQVTEAEEEEAPCCSSSVSGGAASSSPAAGIPQEPQRAPTTAAAAAAGVSSTKSKKGAKSHQGEKNASSSQASTSTKSPSEDPLTRKSGSLVQFLLYKYKIKKSVTKGEMLKIVGKRFREHFPEILKKASEGLSVVFGLELNKVNPNGHTYTFIDKVDLTDEESLLSSWDFPRRKLLMPLLGVIFLNGNSATEEEIWEFLNMLGVYDGEEHSVFGEPWKLITKDLVQEKYLEYKQVPSSDPPRFQFLWGPRAYAETSKMKVLEFLAKVNGTTPCAFPTHYEEALKDEEKAGV
+>DECOY_sp|O15479|MAGB2_HUMAN Melanoma-associated antigen B2 OS=Homo sapiens OX=9606 GN=MAGEB2 PE=1 SV=3
+VGAKEEDKLAEEYHTPFACPTTGNVKALFELVKMKSTEAYARPGWLFQFRPPDSSPVQKYELYKEQVLDKTILKWPEGFVSHEEGDYVGLMNLFEWIEEETASNGNLFIVGLLPMLLKRRPFDWSSLLSEEDTLDVKDIFTYTHGNPNVKNLELGFVVSLGESAKKLIEPFHERFRKGVIKLMEGKTVSKKIKYKYLLFQVLSGSKRTLPDESPSKTSTSAQSSSANKEGQHSKAGKKSKTSSVGAAAAAATTPARQPEQPIGAAPSSSAAGGSVSSSCCPAEEEEAETVQPVNLGRTEDRAKRRKERARLKSKQGRPM
+>sp|Q8N7X4|MAGB6_HUMAN Melanoma-associated antigen B6 OS=Homo sapiens OX=9606 GN=MAGEB6 PE=1 SV=2
+MPRGHKSKLRTCEKRQETNGQPQGLTGPQATAEKQEESHSSSSSSRACLGDCRRSSDASIPQESQGVSPTGSPDAVVSYSKSDVAANGQDEKSPSTSRDASVPQESQGASPTGSPDAGVSGSKYDVAANGQDEKSPSTSHDVSVPQESQGASPTGSPDAGVSGSKYDVAAEGEDEESVSASQKAIIFKRLSKDAVKKKACTLAQFLQKKFEKKESILKADMLKCVRREYKPYFPQILNRTSQHLVVAFGVELKEMDSSGESYTLVSKLGLPSEGILSGDNALPKSGLLMSLLVVIFMNGNCATEEEVWEFLGLLGIYDGILHSIYGDARKIITEDLVQDKYVVYRQVCNSDPPCYEFLWGPRAYAETTKMRVLRVLADSSNTSPGLYPHLYEDALIDEVERALRLRA
+>DECOY_sp|Q8N7X4|MAGB6_HUMAN Melanoma-associated antigen B6 OS=Homo sapiens OX=9606 GN=MAGEB6 PE=1 SV=2
+ARLRLAREVEDILADEYLHPYLGPSTNSSDALVRLVRMKTTEAYARPGWLFEYCPPDSNCVQRYVVYKDQVLDETIIKRADGYISHLIGDYIGLLGLFEWVEEETACNGNMFIVVLLSMLLGSKPLANDGSLIGESPLGLKSVLTYSEGSSDMEKLEVGFAVVLHQSTRNLIQPFYPKYERRVCKLMDAKLISEKKEFKKQLFQALTCAKKKVADKSLRKFIIAKQSASVSEEDEGEAAVDYKSGSVGADPSGTPSAGQSEQPVSVDHSTSPSKEDQGNAAVDYKSGSVGADPSGTPSAGQSEQPVSADRSTSPSKEDQGNAAVDSKSYSVVADPSGTPSVGQSEQPISADSSRRCDGLCARSSSSSSHSEEQKEATAQPGTLGQPQGNTEQRKECTRLKSKHGRPM
+>sp|Q8TD90|MAGE2_HUMAN Melanoma-associated antigen E2 OS=Homo sapiens OX=9606 GN=MAGEE2 PE=2 SV=1
+MSLVSQNARHCSAEITADYGDGRGEIQATNASGSPTSMLVVDAPQCPQAPINSQCVNTSQAVQDPNDLEVLIDEQSRRLGALRVHDPLEDRSIALVNFMRMKSQTEGSIQQSEMLEFLREYSDQFPEILRRASAHLDQVFGLNLRVIDPQADTYNLVSKRGFQITDRIAESLDMPKASLLALVLGHILLNGNRAREASIWDLLLKVDMWDKPQRINNLFGNTRNLLTTDFVCMRFLEYWPVYGTNPLEFEFLWGSRAHREITKMEALKFVSDAHDEEPWSWPEEYNKALEGDKTKERSLTAGLEFWSEDTMNDKANDLVQLAISVTEEMLPIHQDELLAHTGKEFEDVFPNILNRATLILDMFYGLSLIEVDTSEHIYLLVQQPESEEEQVMLESLGRPTQEYVMPILGLIFLMGNRVKEANVWNLLRRFSVDVGRKHSITRKLMRQRYLECRPLSYSNPVEYELLWGPRAHHETIKMKVLEYMARLYRKRPQNWPEQYREAVEDEEARAKSEATIMFFLDPT
+>DECOY_sp|Q8TD90|MAGE2_HUMAN Melanoma-associated antigen E2 OS=Homo sapiens OX=9606 GN=MAGEE2 PE=2 SV=1
+TPDLFFMITAESKARAEEDEVAERYQEPWNQPRKRYLRAMYELVKMKITEHHARPGWLLEYEVPNSYSLPRCELYRQRMLKRTISHKRGVDVSFRRLLNWVNAEKVRNGMLFILGLIPMVYEQTPRGLSELMVQEEESEPQQVLLYIHESTDVEILSLGYFMDLILTARNLINPFVDEFEKGTHALLEDQHIPLMEETVSIALQVLDNAKDNMTDESWFELGATLSREKTKDGELAKNYEEPWSWPEEDHADSVFKLAEMKTIERHARSGWLFEFELPNTGYVPWYELFRMCVFDTTLLNRTNGFLNNIRQPKDWMDVKLLLDWISAERARNGNLLIHGLVLALLSAKPMDLSEAIRDTIQFGRKSVLNYTDAQPDIVRLNLGFVQDLHASARRLIEPFQDSYERLFELMESQQISGETQSKMRMFNVLAISRDELPDHVRLAGLRRSQEDILVELDNPDQVAQSTNVCQSNIPAQPCQPADVVLMSTPSGSANTAQIEGRGDGYDATIEASCHRANQSVLSM
+>sp|Q9H6Y5|MAGIX_HUMAN PDZ domain-containing protein MAGIX OS=Homo sapiens OX=9606 GN=MAGIX PE=1 SV=4
+MEPRTGDAADPRGSRGGRGPSPLAGPSARQLLARLDARPLAARAAVDVAALVRRAGATLRLRRKEAVSVLDSADIEVTDSRLPHATIVDHRPQHRWLETCNAPPQLIQGKARSAPKPSQASGHFSVELVRGYAGFGLTLGGGRDVAGDTPLAVRGLLKDGPAQRCGRLEVGDLVLHINGESTQGLTHAQAVERIRAGGPQLHLVIRRPLETHPGKPRGVGEPRKGVVPSWPDRSPDPGGPEVTGSRSSSTSLVQHPPSRTTLKKTRGSPEPSPEAAADGPTVSPPERRAEDPNDQIPGSPGPWLVPSEERLSRALGVRGAAQLAQEMAAGRRRH
+>DECOY_sp|Q9H6Y5|MAGIX_HUMAN PDZ domain-containing protein MAGIX OS=Homo sapiens OX=9606 GN=MAGIX PE=1 SV=4
+HRRRGAAMEQALQAAGRVGLARSLREESPVLWPGPSGPIQDNPDEARREPPSVTPGDAAAEPSPEPSGRTKKLTTRSPPHQVLSTSSSRSGTVEPGGPDPSRDPWSPVVGKRPEGVGRPKGPHTELPRRIVLHLQPGGARIREVAQAHTLGQTSEGNIHLVLDGVELRGCRQAPGDKLLGRVALPTDGAVDRGGGLTLGFGAYGRVLEVSFHGSAQSPKPASRAKGQILQPPANCTELWRHQPRHDVITAHPLRSDTVEIDASDLVSVAEKRRLRLTAGARRVLAAVDVAARAALPRADLRALLQRASPGALPSPGRGGRSGRPDAADGTRPEM
+>sp|P20794|MAK_HUMAN Serine/threonine-protein kinase MAK OS=Homo sapiens OX=9606 GN=MAK PE=1 SV=2
+MNRYTTMRQLGDGTYGSVLMGKSNESGELVAIKRMKRKFYSWDECMNLREVKSLKKLNHANVIKLKEVIRENDHLYFIFEYMKENLYQLMKDRNKLFPESVIRNIMYQILQGLAFIHKHGFFHRDMKPENLLCMGPELVKIADFGLARELRSQPPYTDYVSTRWYRAPEVLLRSSVYSSPIDVWAVGSIMAELYMLRPLFPGTSEVDEIFKICQVLGTPKKSDWPEGYQLASSMNFRFPQCVPINLKTLIPNASNEAIQLMTEMLNWDPKKRPTASQALKHPYFQVGQVLGPSSNHLESKQSLNKQLQPLESKPSLVEVEPKPLPDIIDQVVGQPQPKTSQQPLQPIQPPQNLSVQQPPKQQSQEKPPQTLFPSIVKNMPTKPNGTLSHKSGRRRWGQTIFKSGDSWEELEDYDFGASHSKKPSMGVFKEKRKKDSPFRLPEPVPSGSNHSTGENKSLPAVTSLKSDSELSTAPTSKQYYLKQSRYLPGVNPKKVSLIASGKEINPHTWSNQLFPKSLGPVGAELAFKRSNAGNLGSYATYNQSGYIPSFLKKEVQSAGQRIHLAPLNATASEYTWNTKTGRGQFSGRTYNPTAKNLNIVNRAQPIPSVHGRTDWVAKYGGHR
+>DECOY_sp|P20794|MAK_HUMAN Serine/threonine-protein kinase MAK OS=Homo sapiens OX=9606 GN=MAK PE=1 SV=2
+RHGGYKAVWDTRGHVSPIPQARNVINLNKATPNYTRGSFQGRGTKTNWTYESATANLPALHIRQGASQVEKKLFSPIYGSQNYTAYSGLNGANSRKFALEAGVPGLSKPFLQNSWTHPNIEKGSAILSVKKPNVGPLYRSQKLYYQKSTPATSLESDSKLSTVAPLSKNEGTSHNSGSPVPEPLRFPSDKKRKEKFVGMSPKKSHSAGFDYDELEEWSDGSKFITQGWRRRGSKHSLTGNPKTPMNKVISPFLTQPPKEQSQQKPPQQVSLNQPPQIPQLPQQSTKPQPQGVVQDIIDPLPKPEVEVLSPKSELPQLQKNLSQKSELHNSSPGLVQGVQFYPHKLAQSATPRKKPDWNLMETMLQIAENSANPILTKLNIPVCQPFRFNMSSALQYGEPWDSKKPTGLVQCIKFIEDVESTGPFLPRLMYLEAMISGVAWVDIPSSYVSSRLLVEPARYWRTSVYDTYPPQSRLERALGFDAIKVLEPGMCLLNEPKMDRHFFGHKHIFALGQLIQYMINRIVSEPFLKNRDKMLQYLNEKMYEFIFYLHDNERIVEKLKIVNAHNLKKLSKVERLNMCEDWSYFKRKMRKIAVLEGSENSKGMLVSGYTGDGLQRMTTYRNM
+>sp|Q969L2|MAL2_HUMAN Protein MAL2 OS=Homo sapiens OX=9606 GN=MAL2 PE=1 SV=1
+MSAGGASVPPPPNPAVSFPPPRVTLPAGPDILRTYSGAFVCLEILFGGLVWILVASSNVPLPLLQGWVMFVSVTAFFFSLLFLGMFLSGMVAQIDANWNFLDFAYHFTVFVFYFGAFLLEAAATSLHDLHCNTTITGQPLLSDNQYNINVAASIFAFMTTACYGCSLGLALRRWRP
+>DECOY_sp|Q969L2|MAL2_HUMAN Protein MAL2 OS=Homo sapiens OX=9606 GN=MAL2 PE=1 SV=1
+PRWRRLALGLSCGYCATTMFAFISAAVNINYQNDSLLPQGTITTNCHLDHLSTAAAELLFAGFYFVFVTFHYAFDLFNWNADIQAVMGSLFMGLFLLSFFFATVSVFMVWGQLLPLPVNSSAVLIWVLGGFLIELCVFAGSYTRLIDPGAPLTVRPPPFSVAPNPPPPVSAGGASM
+>sp|Q8N4S9|MALD2_HUMAN MARVEL domain-containing protein 2 OS=Homo sapiens OX=9606 GN=MARVELD2 PE=1 SV=2
+MSNDGRSRNRDRRYDEVPSDLPYQDTTIRTHPTLHDSERAVSADPLPPPPLPLQPPFGPDFYSSDTEEPAIAPDLKPVRRFVPDSWKNFFRGKKKDPEWDKPVSDIRYISDGVECSPPASPARPNHRSPLNSCKDPYGGSEGTFSSRKEADAVFPRDPYGSLDRHTQTVRTYSEKVEEYNLRYSYMKSWAGLLRILGVVELLLGAGVFACVTAYIHKDSEWYNLFGYSQPYGMGGVGGLGSMYGGYYYTGPKTPFVLVVAGLAWITTIIILVLGMSMYYRTILLDSNWWPLTEFGINVALFILYMAAAIVYVNDTNRGGLCYYPLFNTPVNAVFCRVEGGQIAAMIFLFVTMIVYLISALVCLKLWRHEAARRHREYMEQQEINEPSLSSKRKMCEMATSGDRQRDSEVNFKELRTAKMKPELLSGHIPPGHIPKPIVMPDYVAKYPVIQTDDERERYKAVFQDQFSEYKELSAEVQAVLRKFDELDAVMSRLPHHSESRQEHERISRIHEEFKKKKNDPTFLEKKERCDYLKNKLSHIKQRIQEYDKVMNWDVQGYS
+>DECOY_sp|Q8N4S9|MALD2_HUMAN MARVEL domain-containing protein 2 OS=Homo sapiens OX=9606 GN=MARVELD2 PE=1 SV=2
+SYGQVDWNMVKDYEQIRQKIHSLKNKLYDCREKKELFTPDNKKKKFEEHIRSIREHEQRSESHHPLRSMVADLEDFKRLVAQVEASLEKYESFQDQFVAKYREREDDTQIVPYKAVYDPMVIPKPIHGPPIHGSLLEPKMKATRLEKFNVESDRQRDGSTAMECMKRKSSLSPENIEQQEMYERHRRAAEHRWLKLCVLASILYVIMTVFLFIMAAIQGGEVRCFVANVPTNFLPYYCLGGRNTDNVYVIAAAMYLIFLAVNIGFETLPWWNSDLLITRYYMSMGLVLIIITTIWALGAVVLVFPTKPGTYYYGGYMSGLGGVGGMGYPQSYGFLNYWESDKHIYATVCAFVGAGLLLEVVGLIRLLGAWSKMYSYRLNYEEVKESYTRVTQTHRDLSGYPDRPFVADAEKRSSFTGESGGYPDKCSNLPSRHNPRAPSAPPSCEVGDSIYRIDSVPKDWEPDKKKGRFFNKWSDPVFRRVPKLDPAIAPEETDSSYFDPGFPPQLPLPPPPLPDASVARESDHLTPHTRITTDQYPLDSPVEDYRRDRNRSRGDNSM
+>sp|Q5VYJ5|MALR1_HUMAN MAM and LDL-receptor class A domain-containing protein 1 OS=Homo sapiens OX=9606 GN=MALRD1 PE=1 SV=4
+MLFFLDRMLAFPMNETFCCLWIACVFNSTLAQQGTESFQCDNGVSLPPDSICDFTDQCGDSSDERHCLNYERCDFEDGLCHMTQDQSLQPSWTKRSGMIGLSPPFYDHNGDVSAHFLSLVSRVDSISSSLRSRVFLPTNDQHDCQITFYYFSCQVSGKLMVGLQTACGGPIQHLWQNTAALPNQWERNVIKIQSSQRFQVVFEGQMASTYEQDEVIAIDDISFSSGCLPANDGILLCQEALNAERELCHPDTDLCRFDATDEELRLCQACGFEFDMCEWTSEASAGQISWMRTKAREIPAFESTPQQDQGGDDEGYYVWVGAKHGFTLNHLDSRAYLNSSVCHCLGKSCHLQFYYAMESSVLRVRLYNNKEEEIFWTYNISTHSQWVKADVLIPEDLKTFKIIFEGTLLSQRSFIALDHLWVYACGQTQSRKLCSADEFPCTSGQCIAKESVCDSRQDCSDESDEDPATCSKHLTCDFESGFCGWEPFLTEDSHWKLMKGLNNGEHHFPAADHTANINHGSFIYLEAQRSPGVAKLGSPVLTKLLTASTPCQVQFWYHLSQHSNLSVFTRTSLDGNLQKQGKIIRFSESQWSHAKIDLIAEAGESTLPFQLILEATVLSSNATVALDDISVSQECEISYKSLPRTSTQSKFSKCDFEANSCDWFEAISGDHFDWIRSSQSELSADFEHQAPPRDHSLNASQGHFMFILKKSSSLWQVAKLQSPTFSQTGPGCILSFWFYNYGLSVGAAELQLHMENSHDSTVIWRVLYNQGKQWLEATIQLGRLSQPFHLSLDKVSLGIYDGVSAIDDIRFENCTLPLPAESCEGLDHFWCRHTRACIEKLRLCDLVDDCGDRTDEVNCAPELQCNFETGICNWEQDAKDDFDWTRSQGPTPTLNTGPMKDNTLGTAKGHYLYIESSEPQAFQDSAALLSPILNATDTKGCTFRFYYHMFGKRIYRLAIYQRIWSDSRGQLLWQIFGNQGNRWIRKHLNISSRQPFQILVEASVGDGFTGDIAIDDLSFMDCTLYPGNLPADLPTPPETSVPVTLPPHNCTDNEFICRSDGHCIEKMQKCDFKYDCPDKSDEASCVMEVCSFEKRSLCKWYQPIPVHLLQDSNTFRWGLGNGISIHHGEENHRPSVDHTQNTTDGWYLYADSSNGKFGDTADILTPIISLTGPKCTLVFWTHMNGATVGSLQVLIKKDNVTSKLWAQTGQQGAQWKRAEVFLGIRSHTQIVFRAKRGISYIGDVAVDDISFQDCSPLLSPERKCTDHEFMCANKHCIAKDKLCDFVNDCADNSDETTFICRTSSGRCDFEFDLCSWKQEKDEDFDWNLKASSIPAAGTEPAADHTLGNSSGHYIFIKSLFPQQPMRAARISSPVISKRSKNCKIIFHYHMYGNGIGALTLMQVSVTNQTKVLLNLTVEQGNFWRREELSLFGDEDFQLKFEGRVGKGQRGDIALDDIVLTENCLSLHDSVQEELAVPLPTGFCPLGYRECHNGKCYRLEQSCNFVDNCGDNTDENECGSSCTFEKGWCGWQNSQADNFDWVLGVGSHQSLRPPKDHTLGNENGHFMYLEATAVGLRGDKAHFRSTMWRESSAACTMSFWYFVSAKATGSIQILIKTEKGLSKVWQESKQNPGNHWQKADILLGKLRNFEVIFQGIRTRDLGGGAAIDDIEFKNCTTVGEISELCPEITDFLCRDKKCIASHLLCDYKPDCSDRSDEAHCAHYTSTTGSCNFETSSGNWTTACSLTQDSEDDLDWAIGSRIPAKALIPDSDHTPGSGQHFLYVNSSGSKEGSVARITTSKSFPASLGMCTVRFWFYMIDPRSMGILKVYTIEESGLNILVWSVIGNKRTGWTYGSVPLSSNSPFKVAFEADLDGNEDIFIALDDISFTPECVTGGPVPVQPSPCEADQFSCIYTLQCVPLSGKCDGHEDCIDGSDEMDCPLSPTPPLCSNMEFPCSTDECIPSLLLCDGVPDCHFNEDELICSNKSCSNGALVCASSNSCIPAHQRCDGFADCMDFQLDESSCSECPLNYCRNGGTCVVEKNGPMCRCRQGWKGNRCHIKFNPPATDFTYAQNNTWTLLGIGLAFLMTHITVAVLCFLANRKVPIRKTEGSGNCAFVNPVYGNWSNPEKTESSVYSFSNPLYGTTSGSLETLSHHLK
+>DECOY_sp|Q5VYJ5|MALR1_HUMAN MAM and LDL-receptor class A domain-containing protein 1 OS=Homo sapiens OX=9606 GN=MALRD1 PE=1 SV=4
+KLHHSLTELSGSTTGYLPNSFSYVSSETKEPNSWNGYVPNVFACNGSGETKRIPVKRNALFCLVAVTIHTMLFALGIGLLTWTNNQAYTFDTAPPNFKIHCRNGKWGQRCRCMPGNKEVVCTGGNRCYNLPCESCSSEDLQFDMCDAFGDCRQHAPICSNSSACVLAGNSCSKNSCILEDENFHCDPVGDCLLLSPICEDTSCPFEMNSCLPPTPSLPCDMEDSGDICDEHGDCKGSLPVCQLTYICSFQDAECPSPQVPVPGGTVCEPTFSIDDLAIFIDENGDLDAEFAVKFPSNSSLPVSGYTWGTRKNGIVSWVLINLGSEEITYVKLIGMSRPDIMYFWFRVTCMGLSAPFSKSTTIRAVSGEKSGSSNVYLFHQGSGPTHDSDPILAKAPIRSGIAWDLDDESDQTLSCATTWNGSSTEFNCSGTTSTYHACHAEDSRDSCDPKYDCLLHSAICKKDRCLFDTIEPCLESIEGVTTCNKFEIDDIAAGGGLDRTRIGQFIVEFNRLKGLLIDAKQWHNGPNQKSEQWVKSLGKETKILIQISGTAKASVFYWFSMTCAASSERWMTSRFHAKDGRLGVATAELYMFHGNENGLTHDKPPRLSQHSGVGLVWDFNDAQSNQWGCWGKEFTCSSGCENEDTNDGCNDVFNCSQELRYCKGNHCERYGLPCFGTPLPVALEEQVSDHLSLCNETLVIDDLAIDGRQGKGVRGEFKLQFDEDGFLSLEERRWFNGQEVTLNLLVKTQNTVSVQMLTLAGIGNGYMHYHFIIKCNKSRKSIVPSSIRAARMPQQPFLSKIFIYHGSSNGLTHDAAPETGAAPISSAKLNWDFDEDKEQKWSCLDFEFDCRGSSTRCIFTTEDSNDACDNVFDCLKDKAICHKNACMFEHDTCKREPSLLPSCDQFSIDDVAVDGIYSIGRKARFVIQTHSRIGLFVEARKWQAGQQGTQAWLKSTVNDKKILVQLSGVTAGNMHTWFVLTCKPGTLSIIPTLIDATDGFKGNSSDAYLYWGDTTNQTHDVSPRHNEEGHHISIGNGLGWRFTNSDQLLHVPIPQYWKCLSRKEFSCVEMVCSAEDSKDPCDYKFDCKQMKEICHGDSRCIFENDTCNHPPLTVPVSTEPPTPLDAPLNGPYLTCDMFSLDDIAIDGTFGDGVSAEVLIQFPQRSSINLHKRIWRNGQNGFIQWLLQGRSDSWIRQYIALRYIRKGFMHYYFRFTCGKTDTANLIPSLLAASDQFAQPESSEIYLYHGKATGLTNDKMPGTNLTPTPGQSRTWDFDDKADQEWNCIGTEFNCQLEPACNVEDTRDGCDDVLDCLRLKEICARTHRCWFHDLGECSEAPLPLTCNEFRIDDIASVGDYIGLSVKDLSLHFPQSLRGLQITAELWQKGQNYLVRWIVTSDHSNEMHLQLEAAGVSLGYNYFWFSLICGPGTQSFTPSQLKAVQWLSSSKKLIFMFHGQSANLSHDRPPAQHEFDASLESQSSRIWDFHDGSIAEFWDCSNAEFDCKSFKSQTSTRPLSKYSIECEQSVSIDDLAVTANSSLVTAELILQFPLTSEGAEAILDIKAHSWQSESFRIIKGQKQLNGDLSTRTFVSLNSHQSLHYWFQVQCPTSATLLKTLVPSGLKAVGPSRQAELYIFSGHNINATHDAAPFHHEGNNLGKMLKWHSDETLFPEWGCFGSEFDCTLHKSCTAPDEDSEDSCDQRSDCVSEKAICQGSTCPFEDASCLKRSQTQGCAYVWLHDLAIFSRQSLLTGEFIIKFTKLDEPILVDAKVWQSHTSINYTWFIEEEKNNYLRVRLVSSEMAYYFQLHCSKGLCHCVSSNLYARSDLHNLTFGHKAGVWVYYGEDDGGQDQQPTSEFAPIERAKTRMWSIQGASAESTWECMDFEFGCAQCLRLEEDTADFRCLDTDPHCLEREANLAEQCLLIGDNAPLCGSSFSIDDIAIVEDQEYTSAMQGEFVVQFRQSSQIKIVNREWQNPLAATNQWLHQIPGGCATQLGVMLKGSVQCSFYYFTIQCDHQDNTPLFVRSRLSSSISDVRSVLSLFHASVDGNHDYFPPSLGIMGSRKTWSPQLSQDQTMHCLGDEFDCREYNLCHREDSSDGCQDTFDCISDPPLSVGNDCQFSETGQQALTSNFVCAIWLCCFTENMPFALMRDLFFLM
+>sp|Q92585|MAML1_HUMAN Mastermind-like protein 1 OS=Homo sapiens OX=9606 GN=MAML1 PE=1 SV=3
+MVLPTCPMAEFALPRHSAVMERLRRRIELCRRHHSTCEARYEAVSPERLELERQHTFALHQRCIQAKAKRAGKHRQPPAATAPAPAAPAPRLDAADGPEHGRPATHLHDTVKRNLDSATSPQNGDQQNGYGDLFPGHKKTRREAPLGVAISSNGLPPASPLGQSDKPSGADALQSSGKHSLGLDSLNKKRLADSSLHLNGGSNPSESFPLSLNKELKQEPVEDLPCMITGTVGSISQSNLMPDLNLNEQEWKELIEELNRSVPDEDMKDLFNEDFEEKKDPESSGSATQTPLAQDINIKTEFSPAAFEQEQLGSPQVRAGSAGQTFLGPSSAPVSTDSPSLGGSQTLFHTSGQPRADNPSPNLMPASAQAQNAQRALAGVVLPSQGPGGASELSSAHQLQQIAAKQKREQMLQNPQQATPAPAPGQMSTWQQTGPSHSSLDVPYPMEKPASPSSYKQDFTNSKLLMMPSVNKSSPRPGGPYLQPSHVNLLSHQPPSNLNQNSANNQGSVLDYGNTKPLSHYKADCGQGSPGSGQSKPALMAYLPQQLSHISHEQNSLFLMKPKPGNMPFRSLVPPGQEQNPSSVPVQAQATSVGTQPPAVSVASSHNSSPYLSSQQQAAVMKQHQLLLDQQKQREQQQKHLQQQQFLQRQQHLLAEQEKQQFQRHLTRPPPQYQDPTQGSFPQQVGQFTGSSAAVPGMNTLGPSNSSCPRVFPQAGNLMPMGPGHASVSSLPTNSGQQDRGVAQFPGSQNMPQSSLYGMASGITQIVAQPPPQATNGHAHIPRQTNVGQNTSVSAAYGQNSLGSSGLSQQHNKGTLNPGLTKPPVPRVSPAMGGQNSSWQHQGMPNLSGQTPGNSNVSPFTAASSFHMQQQAHLKMSSPQFSQAVPNRPMAPMSSAAAVGSLLPPVSAQQRTSAPAPAPPPTAPQQGLPGLSPAGPELGAFSQSPASQMGGRAGLHCTQAYPVRTAGQELPFAYSGQPGGSGLSSVAGHTDLIDSLLKNRTSEEWMSDLDDLLGSQ
+>DECOY_sp|Q92585|MAML1_HUMAN Mastermind-like protein 1 OS=Homo sapiens OX=9606 GN=MAML1 PE=1 SV=3
+QSGLLDDLDSMWEESTRNKLLSDILDTHGAVSSLGSGGPQGSYAFPLEQGATRVPYAQTCHLGARGGMQSAPSQSFAGLEPGAPSLGPLGQQPATPPPAPAPASTRQQASVPPLLSGVAAASSMPAMPRNPVAQSFQPSSMKLHAQQQMHFSSAATFPSVNSNGPTQGSLNPMGQHQWSSNQGGMAPSVRPVPPKTLGPNLTGKNHQQSLGSSGLSNQGYAASVSTNQGVNTQRPIHAHGNTAQPPPQAVIQTIGSAMGYLSSQPMNQSGPFQAVGRDQQGSNTPLSSVSAHGPGMPMLNGAQPFVRPCSSNSPGLTNMGPVAASSGTFQGVQQPFSGQTPDQYQPPPRTLHRQFQQKEQEALLHQQRQLFQQQQLHKQQQERQKQQDLLLQHQKMVAAQQQSSLYPSSNHSSAVSVAPPQTGVSTAQAQVPVSSPNQEQGPPVLSRFPMNGPKPKMLFLSNQEHSIHSLQQPLYAMLAPKSQGSGPSGQGCDAKYHSLPKTNGYDLVSGQNNASNQNLNSPPQHSLLNVHSPQLYPGGPRPSSKNVSPMMLLKSNTFDQKYSSPSAPKEMPYPVDLSSHSPGTQQWTSMQGPAPAPTAQQPNQLMQERKQKAAIQQLQHASSLESAGGPGQSPLVVGALARQANQAQASAPMLNPSPNDARPQGSTHFLTQSGGLSPSDTSVPASSPGLFTQGASGARVQPSGLQEQEFAAPSFETKINIDQALPTQTASGSSEPDKKEEFDENFLDKMDEDPVSRNLEEILEKWEQENLNLDPMLNSQSISGVTGTIMCPLDEVPEQKLEKNLSLPFSESPNSGGNLHLSSDALRKKNLSDLGLSHKGSSQLADAGSPKDSQGLPSAPPLGNSSIAVGLPAERRTKKHGPFLDGYGNQQDGNQPSTASDLNRKVTDHLHTAPRGHEPGDAADLRPAPAAPAPATAAPPQRHKGARKAKAQICRQHLAFTHQRELELREPSVAEYRAECTSHHRRCLEIRRRLREMVASHRPLAFEAMPCTPLVM
+>sp|Q96JK9|MAML3_HUMAN Mastermind-like protein 3 OS=Homo sapiens OX=9606 GN=MAML3 PE=1 SV=4
+MGDFAAPAAAANGSSICINSSLNSSLGGAGIGVNNTPNSTPAAPSSNHPAAGGCGGSGGPGGGSAAVPKHSTVVERLRQRIEGCRRHHVNCENRYQQAQVEQLELERRDTVSLYQRTLEQRAKKSGAGTGKQQHPSKPQQDAEAASAEQRNHTLIMLQETVKRKLEGARSPLNGDQQNGACDGNFSPTSKRIRKDISAGMEAINNLPSNMPLPSASPLHQLDLKPSLPLQNSGTHTPGLLEDLSKNGRLPEIKLPVNGCSDLEDSFTILQSKDLKQEPLDDPTCIDTSETSLSNQNKLFSDINLNDQEWQELIDELANTVPEDDIQDLFNEDFEEKKEPEFSQPATETPLSQESASVKSDPSHSPFAHVSMGSPQARPSSSGPPFSTVSTATSLPSVASTPAAPNPASSPANCAVQSPQTPNQAHTPGQAPPRPGNGYLLNPAAVTVAGSASGPVAVPSSDMSPAEQLKQMAAQQQQRAKLMQQKQQQQQQQQQQQQQQQQQQQQQQQQQHSNQTSNWSPLGPPSSPYGAAFTAEKPNSPMMYPQAFNNQNPIVPPMANNLQKTTMNNYLPQNHMNMINQQPNNLGTNSLNKQHNILTYGNTKPLTHFNADLSQRMTPPVANPNKNPLMPYIQQQQQQQQQQQQQQQQQQPPPPQLQAPRAHLSEDQKRLLLMKQKGVMNQPMAYAALPSHGQEQHPVGLPRTTGPMQSSVPPGSGGMVSGASPAGPGFLGSQPQAAIMKQMLIDQRAQLIEQQKQQFLREQRQQQQQQQQQILAEQQLQQSHLPRQHLQPQRNPYPVQQVNQFQGSPQDIAAVRSQAALQSMRTSRLMAQNAGMMGIGPSQNPGTMATAAAQSEMGLAPYSTTPTSQPGMYNMSTGMTQMLQHPNQSGMSITHNQAQGPRQPASGQGVGMVSGFGQSMLVNSAITQQHPQMKGPVGQALPRPQAPPRLQSLMGTVQQGAQSWQQRSLQGMPGRTSGELGPFNNGASYPLQAGQPRLTKQHFPQGLSQSVVDANTGTVRTLNPAAMGRQMMPSLPGQQGTSQARPMVMSGLSQGVPGMPAFSQPPAQQQIPSGSFAPSSQSQAYERNAPQDVSYNYSGDGAGGSFPGLPDGADLVDSIIKGGPGDEWMQELDELFGNP
+>DECOY_sp|Q96JK9|MAML3_HUMAN Mastermind-like protein 3 OS=Homo sapiens OX=9606 GN=MAML3 PE=1 SV=4
+PNGFLEDLEQMWEDGPGGKIISDVLDAGDPLGPFSGGAGDGSYNYSVDQPANREYAQSQSSPAFSGSPIQQQAPPQSFAPMGPVGQSLGSMVMPRAQSTGQQGPLSPMMQRGMAAPNLTRVTGTNADVVSQSLGQPFHQKTLRPQGAQLPYSAGNNFPGLEGSTRGPMGQLSRQQWSQAGQQVTGMLSQLRPPAQPRPLAQGVPGKMQPHQQTIASNVLMSQGFGSVMGVGQGSAPQRPGQAQNHTISMGSQNPHQLMQTMGTSMNYMGPQSTPTTSYPALGMESQAAATAMTGPNQSPGIGMMGANQAMLRSTRMSQLAAQSRVAAIDQPSGQFQNVQQVPYPNRQPQLHQRPLHSQQLQQEALIQQQQQQQQQRQERLFQQKQQEILQARQDILMQKMIAAQPQSGLFGPGAPSAGSVMGGSGPPVSSQMPGTTRPLGVPHQEQGHSPLAAYAMPQNMVGKQKMLLLRKQDESLHARPAQLQPPPPQQQQQQQQQQQQQQQQQQIYPMLPNKNPNAVPPTMRQSLDANFHTLPKTNGYTLINHQKNLSNTGLNNPQQNIMNMHNQPLYNNMTTKQLNNAMPPVIPNQNNFAQPYMMPSNPKEATFAAGYPSSPPGLPSWNSTQNSHQQQQQQQQQQQQQQQQQQQQQQQQQKQQMLKARQQQQAAMQKLQEAPSMDSSPVAVPGSASGAVTVAAPNLLYGNGPRPPAQGPTHAQNPTQPSQVACNAPSSAPNPAAPTSAVSPLSTATSVTSFPPGSSSPRAQPSGMSVHAFPSHSPDSKVSASEQSLPTETAPQSFEPEKKEEFDENFLDQIDDEPVTNALEDILEQWEQDNLNIDSFLKNQNSLSTESTDICTPDDLPEQKLDKSQLITFSDELDSCGNVPLKIEPLRGNKSLDELLGPTHTGSNQLPLSPKLDLQHLPSASPLPMNSPLNNIAEMGASIDKRIRKSTPSFNGDCAGNQQDGNLPSRAGELKRKVTEQLMILTHNRQEASAAEADQQPKSPHQQKGTGAGSKKARQELTRQYLSVTDRRELELQEVQAQQYRNECNVHHRRCGEIRQRLREVVTSHKPVAASGGGPGGSGGCGGAAPHNSSPAAPTSNPTNNVGIGAGGLSSNLSSNICISSGNAAAAPAAFDGM
+>sp|Q9Y2U8|MAN1_HUMAN Inner nuclear membrane protein Man1 OS=Homo sapiens OX=9606 GN=LEMD3 PE=1 SV=2
+MAAAAASAPQQLSDEELFSQLRRYGLSPGPVTESTRPVYLKKLKKLREEEQQQHRSGGRGNKTRNSNNNNTAAATVAAAGPAAAAAAGMGVRPVSGDLSYLRTPGGLCRISASGPESLLGGPGGASAAPAAGSKVLLGFSSDESDVEASPRDQAGGGGRKDRASLQYRGLKAPPAPLAASEVTNSNSAERRKPHSWWGARRPAGPELQTPPGKDGAVEDEEGEGEDGEERDPETEEPLWASRTVNGSRLVPYSCRENYSDSEEEDDDDVASSRQVLKDDSLSRHRPRRTHSKPLPPLTAKSAGGRLETSVQGGGGLAMNDRAAAAGSLDRSRNLEEAAAAEQGGGCDQVDSSPVPRYRVNAKKLTPLLPPPLTDMDSTLDSSTGSLLKTNNHIGGGAFSVDSPRIYSNSLPPSAAVAASSSLRINHANHTGSNHTYLKNTYNKPKLSEPEEELLQQFKREEVSPTGSFSAHYLSMFLLTAACLFFLILGLTYLGMRGTGVSEDGELSIENPFGETFGKIQESEKTLMMNTLYKLHDRLAQLAGDHECGSSSQRTLSVQEAAAYLKDLGPEYEGIFNTSLQWILENGKDVGIRCVGFGPEEELTNITDVQFLQSTRPLMSFWCRFRRAFVTVTHRLLLLCLGVVMVCVVLRYMKYRWTKEEEETRQMYDMVVKIIDVLRSHNEACQENKDLQPYMPIPHVRDSLIQPHDRKKMKKVWDRAVDFLAANESRVRTETRRIGGADFLVWRWIQPSASCDKILVIPSKVWQGQAFHLDRRNSPPNSLTPCLKIRNMFDPVMEIGDQWHLAIQEAILEKCSDNDGIVHIAVDKNSREGCVYVKCLSPEYAGKAFKALHGSWFDGKLVTVKYLRLDRYHHRFPQALTSNTPLKPSNKHMNSMSHLRLRTGLTNSQGSS
+>DECOY_sp|Q9Y2U8|MAN1_HUMAN Inner nuclear membrane protein Man1 OS=Homo sapiens OX=9606 GN=LEMD3 PE=1 SV=2
+SSGQSNTLGTRLRLHSMSNMHKNSPKLPTNSTLAQPFRHHYRDLRLYKVTVLKGDFWSGHLAKFAKGAYEPSLCKVYVCGERSNKDVAIHVIGDNDSCKELIAEQIALHWQDGIEMVPDFMNRIKLCPTLSNPPSNRRDLHFAQGQWVKSPIVLIKDCSASPQIWRWVLFDAGGIRRTETRVRSENAALFDVARDWVKKMKKRDHPQILSDRVHPIPMYPQLDKNEQCAENHSRLVDIIKVVMDYMQRTEEEEKTWRYKMYRLVVCVMVVGLCLLLLRHTVTVFARRFRCWFSMLPRTSQLFQVDTINTLEEEPGFGVCRIGVDKGNELIWQLSTNFIGEYEPGLDKLYAAAEQVSLTRQSSSGCEHDGALQALRDHLKYLTNMMLTKESEQIKGFTEGFPNEISLEGDESVGTGRMGLYTLGLILFFLCAATLLFMSLYHASFSGTPSVEERKFQQLLEEEPESLKPKNYTNKLYTHNSGTHNAHNIRLSSSAAVAASPPLSNSYIRPSDVSFAGGGIHNNTKLLSGTSSDLTSDMDTLPPPLLPTLKKANVRYRPVPSSDVQDCGGGQEAAAAEELNRSRDLSGAAAARDNMALGGGGQVSTELRGGASKATLPPLPKSHTRRPRHRSLSDDKLVQRSSAVDDDDEEESDSYNERCSYPVLRSGNVTRSAWLPEETEPDREEGDEGEGEEDEVAGDKGPPTQLEPGAPRRAGWWSHPKRREASNSNTVESAALPAPPAKLGRYQLSARDKRGGGGAQDRPSAEVDSEDSSFGLLVKSGAAPAASAGGPGGLLSEPGSASIRCLGGPTRLYSLDGSVPRVGMGAAAAAAPGAAAVTAAATNNNNSNRTKNGRGGSRHQQQEEERLKKLKKLYVPRTSETVPGPSLGYRRLQSFLEEDSLQQPASAAAAAM
+>sp|Q5SRI9|MANEA_HUMAN Glycoprotein endo-alpha-1,2-mannosidase OS=Homo sapiens OX=9606 GN=MANEA PE=1 SV=1
+MAKFRRRTCIILALFILFIFSLMMGLKMLRPNTATFGAPFGLDLLPELHQRTIHLGKNFDFQKSDRINSETNTKNLKSVEITMKPSKASELNLDELPPLNNYLHVFYYSWYGNPQFDGKYIHWNHPVLEHWDPRIAKNYPQGRHNPPDDIGSSFYPELGSYSSRDPSVIETHMRQMRSASIGVLALSWYPPDVNDENGEPTDNLVPTILDKAHKYNLKVTFHIEPYSNRDDQNMYKNVKYIIDKYGNHPAFYRYKTKTGNALPMFYVYDSYITKPEKWANLLTTSGSRSIRNSPYDGLFIALLVEEKHKYDILQSGFDGIYTYFATNGFTYGSSHQNWASLKLFCDKYNLIFIPSVGPGYIDTSIRPWNTQNTRNRINGKYYEIGLSAALQTRPSLISITSFNEWHEGTQIEKAVPKRTSNTVYLDYRPHKPGLYLELTRKWSEKYSKERATYALDRQLPVS
+>DECOY_sp|Q5SRI9|MANEA_HUMAN Glycoprotein endo-alpha-1,2-mannosidase OS=Homo sapiens OX=9606 GN=MANEA PE=1 SV=1
+SVPLQRDLAYTAREKSYKESWKRTLELYLGPKHPRYDLYVTNSTRKPVAKEIQTGEHWENFSTISILSPRTQLAASLGIEYYKGNIRNRTNQTNWPRISTDIYGPGVSPIFILNYKDCFLKLSAWNQHSSGYTFGNTAFYTYIGDFGSQLIDYKHKEEVLLAIFLGDYPSNRISRSGSTTLLNAWKEPKTIYSDYVYFMPLANGTKTKYRYFAPHNGYKDIIYKVNKYMNQDDRNSYPEIHFTVKLNYKHAKDLITPVLNDTPEGNEDNVDPPYWSLALVGISASRMQRMHTEIVSPDRSSYSGLEPYFSSGIDDPPNHRGQPYNKAIRPDWHELVPHNWHIYKGDFQPNGYWSYYFVHLYNNLPPLEDLNLESAKSPKMTIEVSKLNKTNTESNIRDSKQFDFNKGLHITRQHLEPLLDLGFPAGFTATNPRLMKLGMMLSFIFLIFLALIICTRRRFKAM
+>sp|Q5VSG8|MANEL_HUMAN Glycoprotein endo-alpha-1,2-mannosidase-like protein OS=Homo sapiens OX=9606 GN=MANEAL PE=2 SV=1
+MARRRRRACIALFLVLLFAFGTLMGLRTLKAPDGLPALGPGLELAPFERRPEGAPAPAARAPAAPAAPPPPPPPPRTADPGGSPGPAPAEAEPAPVQSLRVYSDLHAFYYSWYGSPRREGHYIHWDHVMVPHWDPKISASYPRGRHSPPDDLGSSFYPELGPYSSRDPEVLREHMTQLKEAAIGVLVLSWYPPGMADDNGEPSDDLVPAILDTAHQYSIQVAFHIQPYKGRDDITVHDNIKYIIDTYGSHGAFYRYKNSMGKSLPLFYIYDSYLTSPEAWAHLLTPNGPHSIRNTPYDGVFIALLVEEGHTHDILAAGFDGMYTYFASNGFSFGSSHQNWKAVKNFCDANNLMFIPSVGPGYIDTSIRPWNNHNTRNRVNGKYYETALQAALTVRPEIVSITSFNEWHEGTQIEKAIPKKTPTRLYLDYLPHQPSLYLELTRRWAEHFIKEKEQWLM
+>DECOY_sp|Q5VSG8|MANEL_HUMAN Glycoprotein endo-alpha-1,2-mannosidase-like protein OS=Homo sapiens OX=9606 GN=MANEAL PE=2 SV=1
+MLWQEKEKIFHEAWRRTLELYLSPQHPLYDLYLRTPTKKPIAKEIQTGEHWENFSTISVIEPRVTLAAQLATEYYKGNVRNRTNHNNWPRISTDIYGPGVSPIFMLNNADCFNKVAKWNQHSSGFSFGNSAFYTYMGDFGAALIDHTHGEEVLLAIFVGDYPTNRISHPGNPTLLHAWAEPSTLYSDYIYFLPLSKGMSNKYRYFAGHSGYTDIIYKINDHVTIDDRGKYPQIHFAVQISYQHATDLIAPVLDDSPEGNDDAMGPPYWSLVLVGIAAEKLQTMHERLVEPDRSSYPGLEPYFSSGLDDPPSHRGRPYSASIKPDWHPVMVHDWHIYHGERRPSGYWSYYFAHLDSYVRLSQVPAPEAEAPAPGPSGGPDATRPPPPPPPPAAPAAPARAAPAPAGEPRREFPALELGPGLAPLGDPAKLTRLGMLTGFAFLLVLFLAICARRRRRAM
+>sp|Q9H8J5|MANS1_HUMAN MANSC domain-containing protein 1 OS=Homo sapiens OX=9606 GN=MANSC1 PE=2 SV=1
+MFFGGEGSLTYTLVIICFLTLRLSASQNCLKKSLEDVVIDIQSSLSKGIRGNEPVYTSTQEDCINSCCSTKNISGDKACNLMIFDTRKTARQPNCYLFFCPNEEACPLKPAKGLMSYRIITDFPSLTRNLPSQELPQEDSLLHGQFSQAVTPLAHHHTDYSKPTDISWRDTLSQKFGSSDHLEKLFKMDEASAQLLAYKEKGHSQSSQFSSDQEIAHLLPENVSALPATVAVASPHTTSATPKPATLLPTNASVTPSGTSQPQLATTAPPVTTVTSQPPTTLISTVFTRAAATLQAMATTAVLTTTFQAPTDSKGSLETIPFTEISNLTLNTGNVYNPTALSMSNVESSTMNKTASWEGREASPGSSSQGSVPENQYGLPFEKWLLIGSLLFGVLFLVIGLVLLGRILSESLRRKRYSRLDYLINGIYVDI
+>DECOY_sp|Q9H8J5|MANS1_HUMAN MANSC domain-containing protein 1 OS=Homo sapiens OX=9606 GN=MANSC1 PE=2 SV=1
+IDVYIGNILYDLRSYRKRRLSESLIRGLLVLGIVLFLVGFLLSGILLWKEFPLGYQNEPVSGQSSSGPSAERGEWSATKNMTSSEVNSMSLATPNYVNGTNLTLNSIETFPITELSGKSDTPAQFTTTLVATTAMAQLTAAARTFVTSILTTPPQSTVTTVPPATTALQPQSTGSPTVSANTPLLTAPKPTASTTHPSAVAVTAPLASVNEPLLHAIEQDSSFQSSQSHGKEKYALLQASAEDMKFLKELHDSSGFKQSLTDRWSIDTPKSYDTHHHALPTVAQSFQGHLLSDEQPLEQSPLNRTLSPFDTIIRYSMLGKAPKLPCAEENPCFFLYCNPQRATKRTDFIMLNCAKDGSINKTSCCSNICDEQTSTYVPENGRIGKSLSSQIDIVVDELSKKLCNQSASLRLTLFCIIVLTYTLSGEGGFFM
+>sp|Q66K74|MAP1S_HUMAN Microtubule-associated protein 1S OS=Homo sapiens OX=9606 GN=MAP1S PE=1 SV=2
+MAAVAGSGAAAAPSSLLLVVGSEFGSPGLLTYVLEELERGIRSWDVDPGVCNLDEQLKVFVSRHSATFSSIVKGQRSLHHRGDNLETLVLLNPSDKSLYDELRNLLLDPASHKLLVLAGPCLEETGELLLQTGGFSPHHFLQVLKDREIRDILATTPPPVQPPILTITCPTFGDWAQLAPAVPGLQGALRLQLRLNPPAQLPNSEGLCEFLEYVAESLEPPSPFELLEPPTSGGFLRLGRPCCYIFPGGLGDAAFFAVNGFTVLVNGGSNPKSSFWKLVRHLDRVDAVLVTHPGADSLPGLNSLLRRKLAERSEVAAGGGSWDDRLRRLISPNLGVVFFNACEAASRLARGEDEAELALSLLAQLGITPLPLSRGPVPAKPTVLFEKMGVGRLDMYVLHPPSAGAERTLASVCALLVWHPAGPGEKVVRVLFPGCTPPACLLDGLVRLQHLRFLREPVVTPQDLEGPGRAESKESVGSRDSSKREGLLATHPRPGQERPGVARKEPARAEAPRKTEKEAKTPRELKKDPKPSVSRTQPREVRRAASSVPNLKKTNAQAAPKPRKAPSTSHSGFPPVANGPRSPPSLRCGEASPPSAACGSPASQLVATPSLELGPIPAGEEKALELPLAASSIPRPRTPSPESHRSPAEGSERLSLSPLRGGEAGPDASPTVTTPTVTTPSLPAEVGSPHSTEVDESLSVSFEQVLPPSAPTSEAGLSLPLRGPRARRSASPHDVDLCLVSPCEFEHRKAVPMAPAPASPGSSNDSSARSQERAGGLGAEETPPTSVSESLPTLSDSDPVPLAPGAADSDEDTEGFGVPRHDPLPDPLKVPPPLPDPSSICMVDPEMLPPKTARQTENVSRTRKPLARPNSRAAAPKATPVAAAKTKGLAGGDRASRPLSARSEPSEKGGRAPLSRKSSTPKTATRGPSGSASSRPGVSATPPKSPVYLDLAYLPSGSSAHLVDEEFFQRVRALCYVISGQDQRKEEGMRAVLDALLASKQHWDRDLQVTLIPTFDSVAMHTWYAETHARHQALGITVLGSNSMVSMQDDAFPACKVEF
+>DECOY_sp|Q66K74|MAP1S_HUMAN Microtubule-associated protein 1S OS=Homo sapiens OX=9606 GN=MAP1S PE=1 SV=2
+FEVKCAPFADDQMSVMSNSGLVTIGLAQHRAHTEAYWTHMAVSDFTPILTVQLDRDWHQKSALLADLVARMGEEKRQDQGSIVYCLARVRQFFEEDVLHASSGSPLYALDLYVPSKPPTASVGPRSSASGSPGRTATKPTSSKRSLPARGGKESPESRASLPRSARDGGALGKTKAAAVPTAKPAAARSNPRALPKRTRSVNETQRATKPPLMEPDVMCISSPDPLPPPVKLPDPLPDHRPVGFGETDEDSDAAGPALPVPDSDSLTPLSESVSTPPTEEAGLGGAREQSRASSDNSSGPSAPAPAMPVAKRHEFECPSVLCLDVDHPSASRRARPGRLPLSLGAESTPASPPLVQEFSVSLSEDVETSHPSGVEAPLSPTTVTPTTVTPSADPGAEGGRLPSLSLRESGEAPSRHSEPSPTRPRPISSAALPLELAKEEGAPIPGLELSPTAVLQSAPSGCAASPPSAEGCRLSPPSRPGNAVPPFGSHSTSPAKRPKPAAQANTKKLNPVSSAARRVERPQTRSVSPKPDKKLERPTKAEKETKRPAEARAPEKRAVGPREQGPRPHTALLGERKSSDRSGVSEKSEARGPGELDQPTVVPERLFRLHQLRVLGDLLCAPPTCGPFLVRVVKEGPGAPHWVLLACVSALTREAGASPPHLVYMDLRGVGMKEFLVTPKAPVPGRSLPLPTIGLQALLSLALEAEDEGRALRSAAECANFFVVGLNPSILRRLRDDWSGGGAAVESREALKRRLLSNLGPLSDAGPHTVLVADVRDLHRVLKWFSSKPNSGGNVLVTFGNVAFFAADGLGGPFIYCCPRGLRLFGGSTPPELLEFPSPPELSEAVYELFECLGESNPLQAPPNLRLQLRLAGQLGPVAPALQAWDGFTPCTITLIPPQVPPPTTALIDRIERDKLVQLFHHPSFGGTQLLLEGTEELCPGALVLLKHSAPDLLLNRLEDYLSKDSPNLLVLTELNDGRHHLSRQGKVISSFTASHRSVFVKLQEDLNCVGPDVDWSRIGRELEELVYTLLGPSGFESGVVLLLSSPAAAAGSGAVAAM
+>sp|Q8NA82|MARHA_HUMAN Probable E3 ubiquitin-protein ligase MARCH10 OS=Homo sapiens OX=9606 GN=MARCH10 PE=1 SV=3
+MLHDARDRQKFFSDVQYLRDMQHKVDSEYQACLRRQEYRRDPNEKKRDQFWGQETSFERSRFSSRSSSKQSSSEEDALTEPRSSIKISAFKCDSKLPAIDQTSVKQKHKSTMTVRKAEKVDPSEPSPADQAPMVLLRKRKPNLRRFTVSPESHSPRASGDRSRQKQQWPAKVPVPRGADQVVQQEGLMCNTKLKRPNQERRNLVPSSQPMTENAPDRAKKGDPSAPSQSELHPALSQAFQGKNSPQVLSEFSGPPLTPTTVGGPRKASFRFRDEDFYSILSLNSRRESDDTEEETQSEECLWVGVRSPCSPSHHKRSRFGGTSTPQAKNKNFEENAENCRGHSSRRSEPSHGSLRISNAMEPATERPSAGQRLSQDPGLPDRESATEKDRGGSENAKKSPLSWDTKSEPRQEVGVNAENVWSDCISVEHRPGTHDSEGYWKDYLNSSQNSLDYFISGRPISPRSSVNSSYNPPASFMHSALRDDIPVDLSMSSTSVHSSDSEGNSGFHVCQPLSPIRNRTPFASAENHNYFPVNSAHEFAVREAEDTTLTSQPQGAPLYTDLLLNPQGNLSLVDSSSSSPSRMNSEGHLHVSGSLQENTPFTFFAVSHFPNQNDNGSRMAASGFTDEKETSKIKADPEKLKKLQESLLEEDSEEEGDLCRICQIAGGSPSNPLLEPCGCVGSLQFVHQECLKKWLKVKITSGADLGAVKTCEMCKQGLLVDLGDFNMIEFYQKHQQSQAQNELMNSGLYLVLLLHLYEQRFAELMRLNHNQVERERLSRNYPQPRTEENENSELGDGNEGSISQSQVV
+>DECOY_sp|Q8NA82|MARHA_HUMAN Probable E3 ubiquitin-protein ligase MARCH10 OS=Homo sapiens OX=9606 GN=MARCH10 PE=1 SV=3
+VVQSQSISGENGDGLESNENEETRPQPYNRSLREREVQNHNLRMLEAFRQEYLHLLLVLYLGSNMLENQAQSQQHKQYFEIMNFDGLDVLLGQKCMECTKVAGLDAGSTIKVKLWKKLCEQHVFQLSGVCGCPELLPNSPSGGAIQCIRCLDGEEESDEELLSEQLKKLKEPDAKIKSTEKEDTFGSAAMRSGNDNQNPFHSVAFFTFPTNEQLSGSVHLHGESNMRSPSSSSSDVLSLNGQPNLLLDTYLPAGQPQSTLTTDEAERVAFEHASNVPFYNHNEASAFPTRNRIPSLPQCVHFGSNGESDSSHVSTSSMSLDVPIDDRLASHMFSAPPNYSSNVSSRPSIPRGSIFYDLSNQSSNLYDKWYGESDHTGPRHEVSICDSWVNEANVGVEQRPESKTDWSLPSKKANESGGRDKETASERDPLGPDQSLRQGASPRETAPEMANSIRLSGHSPESRRSSHGRCNEANEEFNKNKAQPTSTGGFRSRKHHSPSCPSRVGVWLCEESQTEEETDDSERRSNLSLISYFDEDRFRFSAKRPGGVTTPTLPPGSFESLVQPSNKGQFAQSLAPHLESQSPASPDGKKARDPANETMPQSSPVLNRREQNPRKLKTNCMLGEQQVVQDAGRPVPVKAPWQQKQRSRDGSARPSHSEPSVTFRRLNPKRKRLLVMPAQDAPSPESPDVKEAKRVTMTSKHKQKVSTQDIAPLKSDCKFASIKISSRPETLADEESSSQKSSSRSSFRSREFSTEQGWFQDRKKENPDRRYEQRRLCAQYESDVKHQMDRLYQVDSFFKQRDRADHLM
+>sp|Q96L34|MARK4_HUMAN MAP/microtubule affinity-regulating kinase 4 OS=Homo sapiens OX=9606 GN=MARK4 PE=1 SV=1
+MSSRTVLAPGNDRNSDTHGTLGSGRSSDKGPSWSSRSLGARCRNSIASCPEEQPHVGNYRLLRTIGKGNFAKVKLARHILTGREVAIKIIDKTQLNPSSLQKLFREVRIMKGLNHPNIVKLFEVIETEKTLYLVMEYASAGEVFDYLVSHGRMKEKEARAKFRQIVSAVHYCHQKNIVHRDLKAENLLLDAEANIKIADFGFSNEFTLGSKLDTFCGSPPYAAPELFQGKKYDGPEVDIWSLGVILYTLVSGSLPFDGHNLKELRERVLRGKYRVPFYMSTDCESILRRFLVLNPAKRCTLEQIMKDKWINIGYEGEELKPYTEPEEDFGDTKRIEVMVGMGYTREEIKESLTSQKYNEVTATYLLLGRKTEEGGDRGAPGLALARVRAPSDTTNGTSSSKGTSHSKGQRSSSSTYHRQRRHSDFCGPSPAPLHPKRSPTSTGEAELKEERLPGRKASCSTAGSGSRGLPPSSPMVSSAHNPNKAEIPERRKDSTSTPNNLPPSMMTRRNTYVCTERPGAERPSLLPNGKENSSGTPRVPPASPSSHSLAPPSGERSRLARGSTIRSTFHGGQVRDRRAGGGGGGGVQNGPPASPTLAHEAAPLPAGRPRPTTNLFTKLTSKLTRRVADEPERIGGPEVTSCHLPWDQTETAPRLLRFPWSVKLTSSRPPEALMAALRQATAAARCRCRQPQPFLLACLHGGAGGPEPLSHFEVEVCQLPRPGLRGVLFRRVAGTALAFRTLVTRISNDLEL
+>DECOY_sp|Q96L34|MARK4_HUMAN MAP/microtubule affinity-regulating kinase 4 OS=Homo sapiens OX=9606 GN=MARK4 PE=1 SV=1
+LELDNSIRTVLTRFALATGAVRRFLVGRLGPRPLQCVEVEFHSLPEPGGAGGHLCALLFPQPQRCRCRAAATAQRLAAMLAEPPRSSTLKVSWPFRLLRPATETQDWPLHCSTVEPGGIREPEDAVRRTLKSTLKTFLNTTPRPRGAPLPAAEHALTPSAPPGNQVGGGGGGGARRDRVQGGHFTSRITSGRALRSREGSPPALSHSSPSAPPVRPTGSSNEKGNPLLSPREAGPRETCVYTNRRTMMSPPLNNPTSTSDKRREPIEAKNPNHASSVMPSSPPLGRSGSGATSCSAKRGPLREEKLEAEGTSTPSRKPHLPAPSPGCFDSHRRQRHYTSSSSRQGKSHSTGKSSSTGNTTDSPARVRALALGPAGRDGGEETKRGLLLYTATVENYKQSTLSEKIEERTYGMGVMVEIRKTDGFDEEPETYPKLEEGEYGINIWKDKMIQELTCRKAPNLVLFRRLISECDTSMYFPVRYKGRLVRERLEKLNHGDFPLSGSVLTYLIVGLSWIDVEPGDYKKGQFLEPAAYPPSGCFTDLKSGLTFENSFGFDAIKINAEADLLLNEAKLDRHVINKQHCYHVASVIQRFKARAEKEKMRGHSVLYDFVEGASAYEMVLYLTKETEIVEFLKVINPHNLGKMIRVERFLKQLSSPNLQTKDIIKIAVERGTLIHRALKVKAFNGKGITRLLRYNGVHPQEEPCSAISNRCRAGLSRSSWSPGKDSSRGSGLTGHTDSNRDNGPALVTRSSM
+>sp|O15021|MAST4_HUMAN Microtubule-associated serine/threonine-protein kinase 4 OS=Homo sapiens OX=9606 GN=MAST4 PE=1 SV=4
+MGEKVSEAPEPVPRGCSGHGSRTPASALVAASSPGASSAESSSGSETLSEEGEPGGFSREHQPPPPPPLGGTLGARAPAAWAPASVLLERGVLALPPPLPGGAVPPAPRGSSASQEEQDEELDHILSPPPMPFRKCSNPDVASGPGKSLKYKRQLSEDGRQLRRGSLGGALTGRYLLPNPVAGQAWPASAETSNLVRMRSQALGQSAPSLTASLKELSLPRRGSFCRTSNRKSLIGNGQSPALPRPHSPLSAHAGNSPQDSPRNFSPSASAHFSFARRTDGRRWSLASLPSSGYGTNTPSSTVSSSCSSQEKLHQLPYQPTPDELHFLSKHFCTTESIATENRCRNTPMRPRSRSLSPGRSPACCDHEIIMMNHVYKERFPKATAQMEERLKEIITSYSPDNVLPLADGVLSFTHHQIIELARDCLDKSHQGLITSRYFLELQHKLDKLLQEAHDRSESGELAFIKQLVRKILIVIARPARLLECLEFDPEEFYYLLEAAEGHAKEGQGIKTDIPRYIISQLGLNKDPLEEMAHLGNYDSGTAETPETDESVSSSNASLKLRRKPRESDFETIKLISNGAYGAVYFVRHKESRQRFAMKKINKQNLILRNQIQQAFVERDILTFAENPFVVSMYCSFETRRHLCMVMEYVEGGDCATLMKNMGPLPVDMARMYFAETVLALEYLHNYGIVHRDLKPDNLLVTSMGHIKLTDFGLSKVGLMSMTTNLYEGHIEKDAREFLDKQVCGTPEYIAPEVILRQGYGKPVDWWAMGIILYEFLVGCVPFFGDTPEELFGQVISDEINWPEKDEAPPPDAQDLITLLLRQNPLERLGTGGAYEVKQHRFFRSLDWNSLLRQKAEFIPQLESEDDTSYFDTRSEKYHHMETEEEDDTNDEDFNVEIRQFSSCSHRFSKVFSSIDRITQNSAEEKEDSVDKTKSTTLPSTETLSWSSEYSEMQQLSTSNSSDTESNRHKLSSGLLPKLAISTEGEQDEAASCPGDPHEEPGKPALPPEECAQEEPEVTTPASTISSSTLSVGSFSEHLDQINGRSECVDSTDNSSKPSSEPASHMARQRLESTEKKKISGKVTKSLSASALSLMIPGDMFAVSPLGSPMSPHSLSSDPSSSRDSSPSRDSSAASASPHQPIVIHSSGKNYGFTIRAIRVYVGDSDIYTVHHIVWNVEEGSPACQAGLKAGDLITHINGEPVHGLVHTEVIELLLKSGNKVSITTTPFENTSIKTGPARRNSYKSRMVRRSKKSKKKESLERRRSLFKKLAKQPSPLLHTSRSFSCLNRSLSSGESLPGSPTHSLSPRSPTPSYRSTPDFPSGTNSSQSSSPSSSAPNSPAGSGHIRPSTLHGLAPKLGGQRYRSGRRKSAGNIPLSPLARTPSPTPQPTSPQRSPSPLLGHSLGNSKIAQAFPSKMHSPPTIVRHIVRPKSAEPPRSPLLKRVQSEEKLSPSYGSDKKHLCSRKHSLEVTQEEVQREQSQREAPLQSLDENVCDVPPLSRARPVEQGCLKRPVSRKVGRQESVDDLDRDKLKAKVVVKKADGFPEKQESHQKSHGPGSDLENFALFKLEEREKKVYPKAVERSSTFENKASMQEAPPLGSLLKDALHKQASVRASEGAMSDGRVPAEHRQGGGDFRRAPAPGTLQDGLCHSLDRGISGKGEGTEKSSQAKELLRCEKLDSKLANIDYLRKKMSLEDKEDNLCPVLKPKMTAGSHECLPGNPVRPTGGQQEPPPASESRAFVSSTHAAQMSAVSFVPLKALTGRVDSGTEKPGLVAPESPVRKSPSEYKLEGRSVSCLKPIEGTLDIALLSGPQASKTELPSPESAQSPSPSGDVRASVPPVLPSSSGKKNDTTSARELSPSSLKMNKSYLLEPWFLPPSRGLQNSPAVSLPDPEFKRDRKGPHPTARSPGTVMESNPQQREGSSPKHQDHTTDPKLLTCLGQNLHSPDLARPRCPLPPEASPSREKPGLRESSERGPPTARSERSAARADTCREPSMELCFPETAKTSDNSKNLLSVGRTHPDFYTQTQAMEKAWAPGGKTNHKDGPGEARPPPRDNSSLHSAGIPCEKELGKVRRGVEPKPEALLARRSLQPPGIESEKSEKLSSFPSLQKDGAKEPERKEQPLQRHPSSIPPPPLTAKDLSSPAARQHCSSPSHASGREPGAKPSTAEPSSSPQDPPKPVAAHSESSSHKPRPGPDPGPPKTKHPDRSLSSQKPSVGATKGKEPATQSLGGSSREGKGHSKSGPDVFPATPGSQNKASDGIGQGEGGPSVPLHTDRAPLDAKPQPTSGGRPLEVLEKPVHLPRPGHPGPSEPADQKLSAVGEKQTLSPKHPKPSTVKDCPTLCKQTDNRQTDKSPSQPAANTDRRAEGKKCTEALYAPAEGDKLEAGLSFVHSENRLKGAERPAAGVGKGFPEARGKGPGPQKPPTEADKPNGMKRSPSATGQSSFRSTALPEKSLSCSSSFPETRAGVREASAASSDTSSAKAAGGMLELPAPSNRDHRKAQPAGEGRTHMTKSDSLPSFRVSTLPLESHHPDPNTMGGASHRDRALSVTATVGETKGKDPAPAQPPPARKQNVGRDVTKPSPAPNTDRPISLSNEKDFVVRQRRGKESLRSSPHKKAL
+>DECOY_sp|O15021|MAST4_HUMAN Microtubule-associated serine/threonine-protein kinase 4 OS=Homo sapiens OX=9606 GN=MAST4 PE=1 SV=4
+LAKKHPSSRLSEKGRRQRVVFDKENSLSIPRDTNPAPSPKTVDRGVNQKRAPPPQAPAPDKGKTEGVTATVSLARDRHSAGGMTNPDPHHSELPLTSVRFSPLSDSKTMHTRGEGAPQAKRHDRNSPAPLELMGGAAKASSTDSSAASAERVGARTEPFSSSCSLSKEPLATSRFSSQGTASPSRKMGNPKDAETPPKQPGPGKGRAEPFGKGVGAAPREAGKLRNESHVFSLGAELKDGEAPAYLAETCKKGEARRDTNAAPQSPSKDTQRNDTQKCLTPCDKVTSPKPHKPSLTQKEGVASLKQDAPESPGPHGPRPLHVPKELVELPRGGSTPQPKADLPARDTHLPVSPGGEGQGIGDSAKNQSGPTAPFVDPGSKSHGKGERSSGGLSQTAPEKGKTAGVSPKQSSLSRDPHKTKPPGPDPGPRPKHSSSESHAAVPKPPDQPSSSPEATSPKAGPERGSAHSPSSCHQRAAPSSLDKATLPPPPISSPHRQLPQEKREPEKAGDKQLSPFSSLKESKESEIGPPQLSRRALLAEPKPEVGRRVKGLEKECPIGASHLSSNDRPPPRAEGPGDKHNTKGGPAWAKEMAQTQTYFDPHTRGVSLLNKSNDSTKATEPFCLEMSPERCTDARAASRESRATPPGRESSERLGPKERSPSAEPPLPCRPRALDPSHLNQGLCTLLKPDTTHDQHKPSSGERQQPNSEMVTGPSRATPHPGKRDRKFEPDPLSVAPSNQLGRSPPLFWPELLYSKNMKLSSPSLERASTTDNKKGSSSPLVPPVSARVDGSPSPSQASEPSPLETKSAQPGSLLAIDLTGEIPKLCSVSRGELKYESPSKRVPSEPAVLGPKETGSDVRGTLAKLPVFSVASMQAAHTSSVFARSESAPPPEQQGGTPRVPNGPLCEHSGATMKPKLVPCLNDEKDELSMKKRLYDINALKSDLKECRLLEKAQSSKETGEGKGSIGRDLSHCLGDQLTGPAPARRFDGGGQRHEAPVRGDSMAGESARVSAQKHLADKLLSGLPPAEQMSAKNEFTSSREVAKPYVKKEREELKFLAFNELDSGPGHSKQHSEQKEPFGDAKKVVVKAKLKDRDLDDVSEQRGVKRSVPRKLCGQEVPRARSLPPVDCVNEDLSQLPAERQSQERQVEEQTVELSHKRSCLHKKDSGYSPSLKEESQVRKLLPSRPPEASKPRVIHRVITPPSHMKSPFAQAIKSNGLSHGLLPSPSRQPSTPQPTPSPTRALPSLPINGASKRRGSRYRQGGLKPALGHLTSPRIHGSGAPSNPASSSPSSSQSSNTGSPFDPTSRYSPTPSRPSLSHTPSGPLSEGSSLSRNLCSFSRSTHLLPSPQKALKKFLSRRRELSEKKKSKKSRRVMRSKYSNRRAPGTKISTNEFPTTTISVKNGSKLLLEIVETHVLGHVPEGNIHTILDGAKLGAQCAPSGEEVNWVIHHVTYIDSDGVYVRIARITFGYNKGSSHIVIPQHPSASAASSDRSPSSDRSSSPDSSLSHPSMPSGLPSVAFMDGPIMLSLASASLSKTVKGSIKKKETSELRQRAMHSAPESSPKSSNDTSDVCESRGNIQDLHESFSGVSLTSSSITSAPTTVEPEEQACEEPPLAPKGPEEHPDGPCSAAEDQEGETSIALKPLLGSSLKHRNSETDSSNSTSLQQMESYESSWSLTETSPLTTSKTKDVSDEKEEASNQTIRDISSFVKSFRHSCSSFQRIEVNFDEDNTDDEEETEMHHYKESRTDFYSTDDESELQPIFEAKQRLLSNWDLSRFFRHQKVEYAGGTGLRELPNQRLLLTILDQADPPPAEDKEPWNIEDSIVQGFLEEPTDGFFPVCGVLFEYLIIGMAWWDVPKGYGQRLIVEPAIYEPTGCVQKDLFERADKEIHGEYLNTTMSMLGVKSLGFDTLKIHGMSTVLLNDPKLDRHVIGYNHLYELALVTEAFYMRAMDVPLPGMNKMLTACDGGEVYEMVMCLHRRTEFSCYMSVVFPNEAFTLIDREVFAQQIQNRLILNQKNIKKMAFRQRSEKHRVFYVAGYAGNSILKITEFDSERPKRRLKLSANSSSVSEDTEPTEATGSDYNGLHAMEELPDKNLGLQSIIYRPIDTKIGQGEKAHGEAAELLYYFEEPDFELCELLRAPRAIVILIKRVLQKIFALEGSESRDHAEQLLKDLKHQLELFYRSTILGQHSKDLCDRALEIIQHHTFSLVGDALPLVNDPSYSTIIEKLREEMQATAKPFREKYVHNMMIIEHDCCAPSRGPSLSRSRPRMPTNRCRNETAISETTCFHKSLFHLEDPTPQYPLQHLKEQSSCSSSVTSSPTNTGYGSSPLSALSWRRGDTRRAFSFHASASPSFNRPSDQPSNGAHASLPSHPRPLAPSQGNGILSKRNSTRCFSGRRPLSLEKLSATLSPASQGLAQSRMRVLNSTEASAPWAQGAVPNPLLYRGTLAGGLSGRRLQRGDESLQRKYKLSKGPGSAVDPNSCKRFPMPPPSLIHDLEEDQEEQSASSGRPAPPVAGGPLPPPLALVGRELLVSAPAWAAPARAGLTGGLPPPPPPQHERSFGGPEGEESLTESGSSSEASSAGPSSAAVLASAPTRSGHGSCGRPVPEPAESVKEGM
+>sp|Q6ZN01|MASTR_HUMAN MEF2-activating motif and SAP domain-containing transcriptional regulator OS=Homo sapiens OX=9606 GN=MAMSTR PE=2 SV=1
+MTLAASSQRSQIIRSKFRSVLQLRIHRRNQEQISDPDPWISASDPPLAPALPSGTAPFLFSPGVLLPEPEYCPPWRSPKKESPKISQRWRESKPRGNLTYHQYMPPEPRQGSRADPQAEGSALGPPGPSLWEGTDSQQPHPRMKPSPLTPCPPGVPSPSPPPHKLELQTLKLEELTVSELRQQLRLRGLPVSGTKSMLLERMRGGAPPRERPKPRREDSPAGAPWPRLKPKALAAARRQGSVKPSAASHRPPLPRAADTPGTAPAPTPTPAPAAAPALTPSSGPGSAALTLEEELQEAIRRAQLLPNRGIDDILEDQVEPDDPLPPIPLDFPGSFDVLSPSPDSEGLSSVFSSSLPSPTNSSSPSPRDPTDSLDWLEALSGGPPLGSGPPPPSIFSADLSDSSSSRLWDLLEDPW
+>DECOY_sp|Q6ZN01|MASTR_HUMAN MEF2-activating motif and SAP domain-containing transcriptional regulator OS=Homo sapiens OX=9606 GN=MAMSTR PE=2 SV=1
+WPDELLDWLRSSSSDSLDASFISPPPPGSGLPPGGSLAELWDLSDTPDRPSPSSSNTPSPLSSSFVSSLGESDPSPSLVDFSGPFDLPIPPLPDDPEVQDELIDDIGRNPLLQARRIAEQLEEELTLAASGPGSSPTLAPAAAPAPTPTPAPATGPTDAARPLPPRHSAASPKVSGQRRAAALAKPKLRPWPAGAPSDERRPKPRERPPAGGRMRELLMSKTGSVPLGRLRLQQRLESVTLEELKLTQLELKHPPPSPSPVGPPCPTLPSPKMRPHPQQSDTGEWLSPGPPGLASGEAQPDARSGQRPEPPMYQHYTLNGRPKSERWRQSIKPSEKKPSRWPPCYEPEPLLVGPSFLFPATGSPLAPALPPDSASIWPDPDSIQEQNRRHIRLQLVSRFKSRIIQSRQSSAALTM
+>sp|Q96EH3|MASU1_HUMAN Mitochondrial assembly of ribosomal large subunit protein 1 OS=Homo sapiens OX=9606 GN=MALSU1 PE=1 SV=1
+MGPGGRVARLLAPLMWRRAVSSVAGSAVGAEPGLRLLAVQRLPVGAAFCRACQTPNFVRGLHSEPGLEERAEGTVNEGRPESDAADHTGPKFDIDMMVSLLRQENARDICVIQVPPEMRYTDYFVIVSGTSTRHLHAMAFYVVKMYKHLKCKRDPHVKIEGKDTDDWLCVDFGSMVIHLMLPETREIYELEKLWTLRSYDDQLAQIAPETVPEDFILGIEDDTSSVTPVELKCE
+>DECOY_sp|Q96EH3|MASU1_HUMAN Mitochondrial assembly of ribosomal large subunit protein 1 OS=Homo sapiens OX=9606 GN=MALSU1 PE=1 SV=1
+ECKLEVPTVSSTDDEIGLIFDEPVTEPAIQALQDDYSRLTWLKELEYIERTEPLMLHIVMSGFDVCLWDDTDKGEIKVHPDRKCKLHKYMKVVYFAMAHLHRTSTGSVIVFYDTYRMEPPVQIVCIDRANEQRLLSVMMDIDFKPGTHDAADSEPRGENVTGEAREELGPESHLGRVFNPTQCARCFAAGVPLRQVALLRLGPEAGVASGAVSSVARRWMLPALLRAVRGGPGM
+>sp|P04201|MAS_HUMAN Proto-oncogene Mas OS=Homo sapiens OX=9606 GN=MAS1 PE=1 SV=1
+MDGSNVTSFVVEEPTNISTGRNASVGNAHRQIPIVHWVIMSISPVGFVENGILLWFLCFRMRRNPFTVYITHLSIADISLLFCIFILSIDYALDYELSSGHYYTIVTLSVTFLFGYNTGLYLLTAISVERCLSVLYPIWYRCHRPKYQSALVCALLWALSCLVTTMEYVMCIDREEESHSRNDCRAVIIFIAILSFLVFTPLMLVSSTILVVKIRKNTWASHSSKLYIVIMVTIIIFLIFAMPMRLLYLLYYEYWSTFGNLHHISLLFSTINSSANPFIYFFVGSSKKKRFKESLKVVLTRAFKDEMQPRRQKDNCNTVTVETVV
+>DECOY_sp|P04201|MAS_HUMAN Proto-oncogene Mas OS=Homo sapiens OX=9606 GN=MAS1 PE=1 SV=1
+VVTEVTVTNCNDKQRRPQMEDKFARTLVVKLSEKFRKKKSSGVFFYIFPNASSNITSFLLSIHHLNGFTSWYEYYLLYLLRMPMAFILFIIITVMIVIYLKSSHSAWTNKRIKVVLITSSVLMLPTFVLFSLIAIFIIVARCDNRSHSEEERDICMVYEMTTVLCSLAWLLACVLASQYKPRHCRYWIPYLVSLCREVSIATLLYLGTNYGFLFTVSLTVITYYHGSSLEYDLAYDISLIFICFLLSIDAISLHTIYVTFPNRRMRFCLFWLLIGNEVFGVPSISMIVWHVIPIQRHANGVSANRGTSINTPEEVVFSTVNSGDM
+>sp|Q86V48|LUZP1_HUMAN Leucine zipper protein 1 OS=Homo sapiens OX=9606 GN=LUZP1 PE=1 SV=2
+MAEFTSYKETASSRHLRFKLQSLSRRLDELEEATKNLQKAEDELLDLQDKVIQAEGSNSSMLAEIEVLRQRVLRIEGKDEEIKRAEDLCRLMKEKLEEEENLTRELKSEIERLQKRMAELEKLEEAFSRSKNDCTQLCLSLNEERNLTKKISSELEMLRVKVKELESSEDRLDKTEQSLASELEKLKSLTLSFVSERKYLNEKEKENEKLIKELTQKLEQNKKMNRDYTRNASNLERNDLRIEDGISSTLPSKESRRKGGLDYLKQVENETRNKSENEKNRNQEDNKVKDLNQEIEKLKTQIKHFESLEEELKKMKSKNNDLQDNYLSEQNKNKLLASQLEEIKLQIKKQKELENGEVEGEDAFLSSKGRHERTKFRGHGSEASVSKHTARELSPQHKRERLRNREFALNNENYSLSNRQVSSPSFTNRRAAKASHMGVSTDSGTQETKKTEDRFVPGSSQSEGKKSREQPSVLSRYPPAAQEHSKAWKGTSKPGTESGLKGKVEKTTRTFSDTTHGSVPSDPLGRADKASDTSSETVFGKRGHVLGNGSQVTQAANSGCSKAIGALASSRRSSSEGLSKGKKAANGLEADNSCPNSKAPVLSKYPYSCRSQENILQGFSTSHKEGVNQPAAVVMEDSSPHEALRCRVIKSSGREKPDSDDDLDIASLVTAKLVNTTITPEPEPKPQPNSREKAKTRGAPRTSLFENDKDAGMENESVKSVRASTNTMELPDTNGAGVKSQRPFSPREALRSRAIIKPVIVDKDVKKIMGGSGTETTLEKQKPVSKPGPNKVTSSITIYPSDSSSPRAAPGEALRERHTSTSNIQVGLAELTSVSNHVSSPFELSIHKHDITLQLAEAERMADGPLKDRPETVVSRSSIIIKPSDPVERNSHAPPAETIRWKSHSAPSEVGFSDARHVTVRNAWKSRRDLKSLEDPPTRIGKNVESTNSNAYTQRSSTDFSELEQPRSCLFEQGTRRVGPSSGDAPEPSSRRTQSSLTVSEVLTRRNRVGDTITVAAWNHSASMEEEGEDCTLSVYRQLHNSLDPSELPGKQGLPESGRVRAEERLRPTRPCAEEN
+>DECOY_sp|Q86V48|LUZP1_HUMAN Leucine zipper protein 1 OS=Homo sapiens OX=9606 GN=LUZP1 PE=1 SV=2
+NEEACPRTPRLREEARVRGSEPLGQKGPLESPDLSNHLQRYVSLTCDEGEEEMSASHNWAAVTITDGVRNRRTLVESVTLSSQTRRSSPEPADGSSPGVRRTGQEFLCSRPQELESFDTSSRQTYANSNTSEVNKGIRTPPDELSKLDRRSKWANRVTVHRADSFGVESPASHSKWRITEAPPAHSNREVPDSPKIIISSRSVVTEPRDKLPGDAMREAEALQLTIDHKHISLEFPSSVHNSVSTLEALGVQINSTSTHRERLAEGPAARPSSSDSPYITISSTVKNPGPKSVPKQKELTTETGSGGMIKKVDKDVIVPKIIARSRLAERPSFPRQSKVGAGNTDPLEMTNTSARVSKVSENEMGADKDNEFLSTRPAGRTKAKERSNPQPKPEPEPTITTNVLKATVLSAIDLDDDSDPKERGSSKIVRCRLAEHPSSDEMVVAAPQNVGEKHSTSFGQLINEQSRCSYPYKSLVPAKSNPCSNDAELGNAAKKGKSLGESSSRRSSALAGIAKSCGSNAAQTVQSGNGLVHGRKGFVTESSTDSAKDARGLPDSPVSGHTTDSFTRTTKEVKGKLGSETGPKSTGKWAKSHEQAAPPYRSLVSPQERSKKGESQSSGPVFRDETKKTEQTGSDTSVGMHSAKAARRNTFSPSSVQRNSLSYNENNLAFERNRLRERKHQPSLERATHKSVSAESGHGRFKTREHRGKSSLFADEGEVEGNELEKQKKIQLKIEELQSALLKNKNQESLYNDQLDNNKSKMKKLEEELSEFHKIQTKLKEIEQNLDKVKNDEQNRNKENESKNRTENEVQKLYDLGGKRRSEKSPLTSSIGDEIRLDNRELNSANRTYDRNMKKNQELKQTLEKILKENEKEKENLYKRESVFSLTLSKLKELESALSQETKDLRDESSELEKVKVRLMELESSIKKTLNREENLSLCLQTCDNKSRSFAEELKELEAMRKQLREIESKLERTLNEEEELKEKMLRCLDEARKIEEDKGEIRLVRQRLVEIEALMSSNSGEAQIVKDQLDLLEDEAKQLNKTAEELEDLRRSLSQLKFRLHRSSATEKYSTFEAM
+>sp|Q86TE4|LUZP2_HUMAN Leucine zipper protein 2 OS=Homo sapiens OX=9606 GN=LUZP2 PE=2 SV=2
+MKFSPAHYLLPLLPALVLSTRQDYEELEKQLKEVFKERSTILRQLTKTSRELDGIKVNLQSLKNDEQSAKTDVQKLLELGQKQREEMKSLQEALQNQLKETSEKAEKHQATINFLKTEVERKSKMIRDLQNENKSLKNKLLSGNKLCGIHAEESKKIQAQLKELRYGKKDLLFKAQQLTDLEQKLAVAKNELEKAALDRESQMKAMKETVQLCLTSVFRDQPPPPLSLITSNPTRMLLPPRNIASKLPDAAAKSKPQQSASGNNESSQVESTKEGNPSTTACDSQDEGRPCSMKHKESPPSNATAETEPIPQKLQMPPCSECEVKKAPEKPLTSFEGMAAREEKIL
+>DECOY_sp|Q86TE4|LUZP2_HUMAN Leucine zipper protein 2 OS=Homo sapiens OX=9606 GN=LUZP2 PE=2 SV=2
+LIKEERAAMGEFSTLPKEPAKKVECESCPPMQLKQPIPETEATANSPPSEKHKMSCPRGEDQSDCATTSPNGEKTSEVQSSENNGSASQQPKSKAAADPLKSAINRPPLLMRTPNSTILSLPPPPQDRFVSTLCLQVTEKMAKMQSERDLAAKELENKAVALKQELDTLQQAKFLLDKKGYRLEKLQAQIKKSEEAHIGCLKNGSLLKNKLSKNENQLDRIMKSKREVETKLFNITAQHKEAKESTEKLQNQLAEQLSKMEERQKQGLELLKQVDTKASQEDNKLSQLNVKIGDLERSTKTLQRLITSREKFVEKLQKELEEYDQRTSLVLAPLLPLLYHAPSFKM
+>sp|A0A0B4J1U3|LV136_HUMAN Immunoglobulin lambda variable 1-36 OS=Homo sapiens OX=9606 GN=IGLV1-36 PE=3 SV=5
+MAWSPLFLTLITHCAGSWAQSVLTQPPSVSEAPRQRVTISCSGSSSNIGNNAVNWYQQLPGKAPKLLIYYDDLLPSGVSDRFSGSKSGTSASLAISGLQSEDEADYYCAAWDDSLNG
+>DECOY_sp|A0A0B4J1U3|LV136_HUMAN Immunoglobulin lambda variable 1-36 OS=Homo sapiens OX=9606 GN=IGLV1-36 PE=3 SV=5
+GNLSDDWAACYYDAEDESQLGSIALSASTGSKSGSFRDSVGSPLLDDYYILLKPAKGPLQQYWNVANNGINSSSGSCSITVRQRPAESVSPPQTLVSQAWSGACHTILTLFLPSWAM
+>sp|P01700|LV147_HUMAN Immunoglobulin lambda variable 1-47 OS=Homo sapiens OX=9606 GN=IGLV1-47 PE=1 SV=2
+MAGFPLLLTLLTHCAGSWAQSVLTQPPSASGTPGQRVTISCSGSSSNIGSNYVYWYQQLPGTAPKLLIYSNNQRPSGVPDRFSGSKSGTSASLAISGLRSEDEADYYCAAWDDSLSG
+>DECOY_sp|P01700|LV147_HUMAN Immunoglobulin lambda variable 1-47 OS=Homo sapiens OX=9606 GN=IGLV1-47 PE=1 SV=2
+GSLSDDWAACYYDAEDESRLGSIALSASTGSKSGSFRDPVGSPRQNNSYILLKPATGPLQQYWYVYNSGINSSSGSCSITVRQGPTGSASPPQTLVSQAWSGACHTLLTLLLPFGAM
+>sp|P01705|LV223_HUMAN Immunoglobulin lambda variable 2-23 OS=Homo sapiens OX=9606 GN=IGLV2-23 PE=1 SV=2
+MAWALLLLTLLTQDTGSWAQSALTQPASVSGSPGQSITISCTGTSSDVGSYNLVSWYQQHPGKAPKLMIYEGSKRPSGVSNRFSGSKSGNTASLTISGLQAEDEADYYCCSYA
+>DECOY_sp|P01705|LV223_HUMAN Immunoglobulin lambda variable 2-23 OS=Homo sapiens OX=9606 GN=IGLV2-23 PE=1 SV=2
+AYSCCYYDAEDEAQLGSITLSATNGSKSGSFRNSVGSPRKSGEYIMLKPAKGPHQQYWSVLNYSGVDSSTGTCSITISQGPSGSVSAPQTLASQAWSGTDQTLLTLLLLAWAM
+>sp|A0A075B6K2|LV312_HUMAN Immunoglobulin lambda variable 3-12 OS=Homo sapiens OX=9606 GN=IGLV3-12 PE=3 SV=2
+MAWTPLLLSLLAHCTGSATSYELTQPHSVSVATAQMARITCGGNNIGSKAVHWYQQKPGQDPVLVIYSDSNRPSGIPERFSGSNPGNTATLTISRIEAGDEADYYCQVWDSSSDH
+>DECOY_sp|A0A075B6K2|LV312_HUMAN Immunoglobulin lambda variable 3-12 OS=Homo sapiens OX=9606 GN=IGLV3-12 PE=3 SV=2
+HDSSSDWVQCYYDAEDGAEIRSITLTATNGPNSGSFREPIGSPRNSDSYIVLVPDQGPKQQYWHVAKSGINNGGCTIRAMQATAVSVSHPQTLEYSTASGTCHALLSLLLPTWAM
+>sp|P80748|LV321_HUMAN Immunoglobulin lambda variable 3-21 OS=Homo sapiens OX=9606 GN=IGLV3-21 PE=1 SV=2
+MAWTVLLLGLLSHCTGSVTSYVLTQPPSVSVAPGQTARITCGGNNIGSKSVHWYQQKPGQAPVLVVYDDSDRPSGIPERFSGSNSGNTATLTISRVEAGDEADYYCQVWDSSSDHPT
+>DECOY_sp|P80748|LV321_HUMAN Immunoglobulin lambda variable 3-21 OS=Homo sapiens OX=9606 GN=IGLV3-21 PE=1 SV=2
+TPHDSSSDWVQCYYDAEDGAEVRSITLTATNGSNSGSFREPIGSPRDSDDYVVLVPAQGPKQQYWHVSKSGINNGGCTIRATQGPAVSVSPPQTLVYSTVSGTCHSLLGLLLVTWAM
+>sp|A0A075B6K6|LV403_HUMAN Immunoglobulin lambda variable 4-3 OS=Homo sapiens OX=9606 GN=IGLV4-3 PE=3 SV=1
+MAWVSFYLLPFIFSTGLCALPVLTQPPSASALLGASIKLTCTLSSEHSTYTIEWYQQRPGRSPQYIMKVKSDGSHSKGDGIPDRFMGSSSGADRYLTFSNLQSDDEAEYHCGESHTIDGQVG
+>DECOY_sp|A0A075B6K6|LV403_HUMAN Immunoglobulin lambda variable 4-3 OS=Homo sapiens OX=9606 GN=IGLV4-3 PE=3 SV=1
+GVQGDITHSEGCHYEAEDDSQLNSFTLYRDAGSSSGMFRDPIGDGKSHSGDSKVKMIYQPSRGPRQQYWEITYTSHESSLTCTLKISAGLLASASPPQTLVPLACLGTSFIFPLLYFSVWAM
+>sp|A0A075B6J1|LV537_HUMAN Immunoglobulin lambda variable 5-37 OS=Homo sapiens OX=9606 GN=IGLV5-37 PE=3 SV=1
+MAWTPLLLLLLSHCTGSLSQPVLTQPPSSSASPGESARLTCTLPSDINVGSYNIYWYQQKPGSPPRYLLYYYSDSDKGQGSGVPSRFSGSKDASANTGILLISGLQSEDEADYYCMIWPSNAS
+>DECOY_sp|A0A075B6J1|LV537_HUMAN Immunoglobulin lambda variable 5-37 OS=Homo sapiens OX=9606 GN=IGLV5-37 PE=3 SV=1
+SANSPWIMCYYDAEDESQLGSILLIGTNASADKSGSFRSPVGSGQGKDSDSYYYLLYRPPSGPKQQYWYINYSGVNIDSPLTCTLRASEGPSASSSPPQTLVPQSLSGTCHSLLLLLLPTWAM
+>sp|A0A0A0MRZ9|LV552_HUMAN Immunoglobulin lambda variable 5-52 OS=Homo sapiens OX=9606 GN=IGLV5-52 PE=3 SV=1
+MAWTLLLLVLLSHCTGSLSQPVLTQPSSHSASSGASVRLTCMLSSGFSVGDFWIRWYQQKPGNPPRYLLYYHSDSNKGQGSGVPSRFSGSNDASANAGILRISGLQPEDEADYYCGTWHSNSKT
+>DECOY_sp|A0A0A0MRZ9|LV552_HUMAN Immunoglobulin lambda variable 5-52 OS=Homo sapiens OX=9606 GN=IGLV5-52 PE=3 SV=1
+TKSNSHWTGCYYDAEDEPQLGSIRLIGANASADNSGSFRSPVGSGQGKNSDSHYYLLYRPPNGPKQQYWRIWFDGVSFGSSLMCTLRVSAGSSASHSSPQTLVPQSLSGTCHSLLVLLLLTWAM
+>sp|P01721|LV657_HUMAN Immunoglobulin lambda variable 6-57 OS=Homo sapiens OX=9606 GN=IGLV6-57 PE=1 SV=2
+MAWAPLLLTLLAHCTGSWANFMLTQPHSVSESPGKTVTISCTGSSGSIASNYVQWYQQRPGSAPTTVIYEDNQRPSGVPDRFSGSIDSSSNSASLTISGLKTEDEADYYCQSYDSSN
+>DECOY_sp|P01721|LV657_HUMAN Immunoglobulin lambda variable 6-57 OS=Homo sapiens OX=9606 GN=IGLV6-57 PE=1 SV=2
+NSSDYSQCYYDAEDETKLGSITLSASNSSSDISGSFRDPVGSPRQNDEYIVTTPASGPRQQYWQVYNSAISGSSGTCSITVTKGPSESVSHPQTLMFNAWSGTCHALLTLLLPAWAM
+>sp|A0A0B4J1Y8|LV949_HUMAN Immunoglobulin lambda variable 9-49 OS=Homo sapiens OX=9606 GN=IGLV9-49 PE=1 SV=1
+MAWAPLLLTLLSLLTGSLSQPVLTQPPSASASLGASVTLTCTLSSGYSNYKVDWYQQRPGKGPRFVMRVGTGGIVGSKGDGIPDRFSVLGSGLNRYLTIKNIQEEDESDYHCGADHGSGSNFV
+>DECOY_sp|A0A0B4J1Y8|LV949_HUMAN Immunoglobulin lambda variable 9-49 OS=Homo sapiens OX=9606 GN=IGLV9-49 PE=1 SV=1
+VFNSGSGHDAGCHYDSEDEEQINKITLYRNLGSGLVSFRDPIGDGKSGVIGGTGVRMVFRPGKGPRQQYWDVKYNSYGSSLTCTLTVSAGLSASASPPQTLVPQSLSGTLLSLLTLLLPAWAM
+>sp|O15296|LX15B_HUMAN Arachidonate 15-lipoxygenase B OS=Homo sapiens OX=9606 GN=ALOX15B PE=1 SV=3
+MAEFRVRVSTGEAFGAGTWDKVSVSIVGTRGESPPLPLDNLGKEFTAGAEEDFQVTLPEDVGRVLLLRVHKAPPVLPLLGPLAPDAWFCRWFQLTPPRGGHLLFPCYQWLEGAGTLVLQEGTAKVSWADHHPVLQQQRQEELQARQEMYQWKAYNPGWPHCLDEKTVEDLELNIKYSTAKNANFYLQAGSAFAEMKIKGLLDRKGLWRSLNEMKRIFNFRRTPAAEHAFEHWQEDAFFASQFLNGLNPVLIRRCHYLPKNFPVTDAMVASVLGPGTSLQAELEKGSLFLVDHGILSGIQTNVINGKPQFSAAPMTLLYQSPGCGPLLPLAIQLSQTPGPNSPIFLPTDDKWDWLLAKTWVRNAEFSFHEALTHLLHSHLLPEVFTLATLRQLPHCHPLFKLLIPHTRYTLHINTLARELLIVPGQVVDRSTGIGIEGFSELIQRNMKQLNYSLLCLPEDIRTRGVEDIPGYYYRDDGMQIWGAVERFVSEIIGIYYPSDESVQDDRELQAWVREIFSKGFLNQESSGIPSSLETREALVQYVTMVIFTCSAKHAAVSAGQFDSCAWMPNLPPSMQLPPPTSKGLATCEGFIATLPPVNATCDVILALWLLSKEPGDQRPLGTYPDEHFTEEAPRRSIATFQSRLAQISRGIQERNQGLVLPYTYLDPPLIENSVSI
+>DECOY_sp|O15296|LX15B_HUMAN Arachidonate 15-lipoxygenase B OS=Homo sapiens OX=9606 GN=ALOX15B PE=1 SV=3
+ISVSNEILPPDLYTYPLVLGQNREQIGRSIQALRSQFTAISRRPAEETFHEDPYTGLPRQDGPEKSLLWLALIVDCTANVPPLTAIFGECTALGKSTPPPLQMSPPLNPMWACSDFQGASVAAHKASCTFIVMTVYQVLAERTELSSPIGSSEQNLFGKSFIERVWAQLERDDQVSEDSPYYIGIIESVFREVAGWIQMGDDRYYYGPIDEVGRTRIDEPLCLLSYNLQKMNRQILESFGEIGIGTSRDVVQGPVILLERALTNIHLTYRTHPILLKFLPHCHPLQRLTALTFVEPLLHSHLLHTLAEHFSFEANRVWTKALLWDWKDDTPLFIPSNPGPTQSLQIALPLLPGCGPSQYLLTMPAASFQPKGNIVNTQIGSLIGHDVLFLSGKELEAQLSTGPGLVSAVMADTVPFNKPLYHCRRILVPNLGNLFQSAFFADEQWHEFAHEAAPTRRFNFIRKMENLSRWLGKRDLLGKIKMEAFASGAQLYFNANKATSYKINLELDEVTKEDLCHPWGPNYAKWQYMEQRAQLEEQRQQQLVPHHDAWSVKATGEQLVLTGAGELWQYCPFLLHGGRPPTLQFWRCFWADPALPGLLPLVPPAKHVRLLLVRGVDEPLTVQFDEEAGATFEKGLNDLPLPPSEGRTGVISVSVKDWTGAGFAEGTSVRVRFEAM
+>sp|Q5SRR4|LY65C_HUMAN Lymphocyte antigen 6 complex locus protein G5c OS=Homo sapiens OX=9606 GN=LY6G5C PE=1 SV=1
+MRFMAGPAGSQSLGPLCFHSSPQALYTVLLIVLVMMSLVFGKFVPVNWEPPQPLPFPKYLRCYRCLLETKELGCLLGSDICLTPAGSSCITLHKKNSSGSDVMVSDCRSKEQMSDCSNTRTSPVSGFWIFSQYCFLDFCNDPQNRGLYTP
+>DECOY_sp|Q5SRR4|LY65C_HUMAN Lymphocyte antigen 6 complex locus protein G5c OS=Homo sapiens OX=9606 GN=LY6G5C PE=1 SV=1
+PTYLGRNQPDNCFDLFCYQSFIWFGSVPSTRTNSCDSMQEKSRCDSVMVDSGSSNKKHLTICSSGAPTLCIDSGLLCGLEKTELLCRYCRLYKPFPLPQPPEWNVPVFKGFVLSMMVLVILLVTYLAQPSSHFCLPGLSQSGAPGAMFRM
+>sp|O95868|LY66D_HUMAN Lymphocyte antigen 6 complex locus protein G6d OS=Homo sapiens OX=9606 GN=LY6G6D PE=1 SV=1
+MKPQFVGILLSSLLGAALGNRMRCYNCGGSPSSSCKEAVTTCGEGRPQPGLEQIKLPGNPPVTLIHQHPACVAAHHCNQVETESVGDVTYPAHRDCYLGDLCNSAVASHVAPAGILAAAATALTCLLPGLWSG
+>DECOY_sp|O95868|LY66D_HUMAN Lymphocyte antigen 6 complex locus protein G6d OS=Homo sapiens OX=9606 GN=LY6G6D PE=1 SV=1
+GSWLGPLLCTLATAAAALIGAPAVHSAVASNCLDGLYCDRHAPYTVDGVSETEVQNCHHAAVCAPHQHILTVPPNGPLKIQELGPQPRGEGCTTVAEKCSSSPSGGCNYCRMRNGLAAGLLSSLLIGVFQPKM
+>sp|Q14210|LY6D_HUMAN Lymphocyte antigen 6D OS=Homo sapiens OX=9606 GN=LY6D PE=1 SV=1
+MRTALLLLAALAVATGPALTLRCHVCTSSSNCKHSVVCPASSRFCKTTNTVEPLRGNLVKKDCAESCTPSYTLQGQVSSGTSSTQCCQEDLCNEKLHNAAPTRTALAHSALSLGLALSLLAVILAPSL
+>DECOY_sp|Q14210|LY6D_HUMAN Lymphocyte antigen 6D OS=Homo sapiens OX=9606 GN=LY6D PE=1 SV=1
+LSPALIVALLSLALGLSLASHALATRTPAANHLKENCLDEQCCQTSSTGSSVQGQLTYSPTCSEACDKKVLNGRLPEVTNTTKCFRSSAPCVVSHKCNSSSTCVHCRLTLAPGTAVALAALLLLATRM
+>sp|P16581|LYAM2_HUMAN E-selectin OS=Homo sapiens OX=9606 GN=SELE PE=1 SV=1
+MIASQFLSALTLVLLIKESGAWSYNTSTEAMTYDEASAYCQQRYTHLVAIQNKEEIEYLNSILSYSPSYYWIGIRKVNNVWVWVGTQKPLTEEAKNWAPGEPNNRQKDEDCVEIYIKREKDVGMWNDERCSKKKLALCYTAACTNTSCSGHGECVETINNYTCKCDPGFSGLKCEQIVNCTALESPEHGSLVCSHPLGNFSYNSSCSISCDRGYLPSSMETMQCMSSGEWSAPIPACNVVECDAVTNPANGFVECFQNPGSFPWNTTCTFDCEEGFELMGAQSLQCTSSGNWDNEKPTCKAVTCRAVRQPQNGSVRCSHSPAGEFTFKSSCNFTCEEGFMLQGPAQVECTTQGQWTQQIPVCEAFQCTALSNPERGYMNCLPSASGSFRYGSSCEFSCEQGFVLKGSKRLQCGPTGEWDNEKPTCEAVRCDAVHQPPKGLVRCAHSPIGEFTYKSSCAFSCEEGFELHGSTQLECTSQGQWTEEVPSCQVVKCSSLAVPGKINMSCSGEPVFGTVCKFACPEGWTLNGSAARTCGATGHWSGLLPTCEAPTESNIPLVAGLSAAGLSLLTLAPFLLWLRKCLRKAKKFVPASSCQSLESDGSYQKPSYIL
+>DECOY_sp|P16581|LYAM2_HUMAN E-selectin OS=Homo sapiens OX=9606 GN=SELE PE=1 SV=1
+LIYSPKQYSGDSELSQCSSAPVFKKAKRLCKRLWLLFPALTLLSLGAASLGAVLPINSETPAECTPLLGSWHGTAGCTRAASGNLTWGEPCAFKCVTGFVPEGSCSMNIKGPVALSSCKVVQCSPVEETWQGQSTCELQTSGHLEFGEECSFACSSKYTFEGIPSHACRVLGKPPQHVADCRVAECTPKENDWEGTPGCQLRKSGKLVFGQECSFECSSGYRFSGSASPLCNMYGREPNSLATCQFAECVPIQQTWQGQTTCEVQAPGQLMFGEECTFNCSSKFTFEGAPSHSCRVSGNQPQRVARCTVAKCTPKENDWNGSSTCQLSQAGMLEFGEECDFTCTTNWPFSGPNQFCEVFGNAPNTVADCEVVNCAPIPASWEGSSMCQMTEMSSPLYGRDCSISCSSNYSFNGLPHSCVLSGHEPSELATCNVIQECKLGSFGPDCKCTYNNITEVCEGHGSCSTNTCAATYCLALKKKSCREDNWMGVDKERKIYIEVCDEDKQRNNPEGPAWNKAEETLPKQTGVWVWVNNVKRIGIWYYSPSYSLISNLYEIEEKNQIAVLHTYRQQCYASAEDYTMAETSTNYSWAGSEKILLVLTLASLFQSAIM
+>sp|Q8N1E2|LYG1_HUMAN Lysozyme g-like protein 1 OS=Homo sapiens OX=9606 GN=LYG1 PE=2 SV=1
+MSALWLLLGLLALMDLSESSNWGCYGNIQSLDTPGASCGIGRRHGLNYCGVRASERLAEIDMPYLLKYQPMMQTIGQKYCMDPAVIAGVLSRKSPGDKILVNMGDRTSMVQDPGSQAPTSWISESQVSQTTEVLTTRIKEIQRRFPTWTPDQYLRGGLCAYSGGAGYVRSSQDLSCDFCNDVLARAKYLKRHGF
+>DECOY_sp|Q8N1E2|LYG1_HUMAN Lysozyme g-like protein 1 OS=Homo sapiens OX=9606 GN=LYG1 PE=2 SV=1
+FGHRKLYKARALVDNCFDCSLDQSSRVYGAGGSYACLGGRLYQDPTWTPFRRQIEKIRTTLVETTQSVQSESIWSTPAQSGPDQVMSTRDGMNVLIKDGPSKRSLVGAIVAPDMCYKQGITQMMPQYKLLYPMDIEALRESARVGCYNLGHRRGIGCSAGPTDLSQINGYCGWNSSESLDMLALLGLLLWLASM
+>sp|P0DP58|LYNX1_HUMAN Ly-6/neurotoxin-like protein 1 OS=Homo sapiens OX=9606 GN=LYNX1 PE=1 SV=1
+MTPLLTLILVVLMGLPLAQALDCHVCAYNGDNCFNPMRCPAMVAYCMTTRTYYTPTRMKVSKSCVPRCFETVYDGYSKHASTTSCCQYDLCNGTGLATPATLALAPILLATLWGLL
+>DECOY_sp|P0DP58|LYNX1_HUMAN Ly-6/neurotoxin-like protein 1 OS=Homo sapiens OX=9606 GN=LYNX1 PE=1 SV=1
+LLGWLTALLIPALALTAPTALGTGNCLDYQCCSTTSAHKSYGDYVTEFCRPVCSKSVKMRTPTYYTRTTMCYAVMAPCRMPNFCNDGNYACVHCDLAQALPLGMLVVLILTLLPTM
+>sp|Q6P0N0|M18BP_HUMAN Mis18-binding protein 1 OS=Homo sapiens OX=9606 GN=MIS18BP1 PE=1 SV=1
+MIATPLKHSRIYLPPEASSQRRNLPMDAIFFDSIPSGTLTPVKDLVKYQNSSLKLNDHKKNQFLKMTTFNNKNIFQSTMLTEATTSNSSLDISAIKPNKDGLKNKANYESPGKIFLRMKEKVLRDKQEQPSRNSSLLEPQKSGNNETFTPNRVEKKKLQHTYLCEEKENNKSFQSDDSSLRASVQGVPLESSNNDIFLPVKQKIQCQQEKKAPLHNLTYELPTLNQEQENFLAVEARNKTLTRAQLAKQIFHSKESIVATTKSKKDTFVLESVDSADEQFQNTNAETLSTNCIPIKNGSLLMVSDSERTTEGTSQQKVKEGNGKTVPGETGLPGSMKDTCKIVLATPRLHITIPRRSKRNISKLSPPRIFQTVTNGLKKNQVVQLQEWMIKSINNNTAICVEGKLIDVTNIYWHSNVIIERIEHNKLRTISGNVYILKGMIDQISMKEAGYPNYLIRKFMFGFPENWKEHIDNFLEQLRAGEKNREKTKQKQKTGRSVRDIRKSMKNDARENQTDTAQRATTTYDFDCDNLELKSNKHSESPGATELNMCHSNCQNKPTLRFPDDQVNNTIQNGGGDDLSNQELIGKKEYKMSSKKLKIGERTNERIIKSQKQETTEELDVSIDILTSREQFFSDEERKYMAINQKKAYILVTPLKSRKVIEQRCMRYNLSAGTIKAVTDFVIPECQKKSPISKSMGTLENTFEGHKSKNKEDCDERDLLTVNRKIKISNLEKEQMLTSDFKKNTRLLPKLKKIENQVAMSFYKHQSSPDLSSEESETEKEIKRKAEVKKTKAGNTKEAVVHLRKSTRNTSNIPVILEPETEESENEFYIKQKKARPSVKETLQKSGVRKEFPITEAVGSDKTNRHPLECLPGLIQDKEWNEKELQKLHCAFASLPKHKPGFWSEVAAAVGSRSPEECQRKYMENPRGKGSQKHVTKKKPANSKGQNGKRGDADQKQTIKITAKVGTLKRKQQMREFLEQLPKDDHDDFFSTTPLQHQRILLPSFQDSEDDDDILPNMDKNPTTPSSVIFPLVKTPQCQHVSPGMLGSINRNDCDKYVFRMQKYHKSNGGIVWGNIKKKLVETDFSTPTPRRKTPFNTDLGENSGIGKLFTNAVESLDEEEKDYYFSNSDSA
+>DECOY_sp|Q6P0N0|M18BP_HUMAN Mis18-binding protein 1 OS=Homo sapiens OX=9606 GN=MIS18BP1 PE=1 SV=1
+ASDSNSFYYDKEEEDLSEVANTFLKGIGSNEGLDTNFPTKRRPTPTSFDTEVLKKKINGWVIGGNSKHYKQMRFVYKDCDNRNISGLMGPSVHQCQPTKVLPFIVSSPTTPNKDMNPLIDDDDESDQFSPLLIRQHQLPTTSFFDDHDDKPLQELFERMQQKRKLTGVKATIKITQKQDADGRKGNQGKSNAPKKKTVHKQSGKGRPNEMYKRQCEEPSRSGVAAAVESWFGPKHKPLSAFACHLKQLEKENWEKDQILGPLCELPHRNTKDSGVAETIPFEKRVGSKQLTEKVSPRAKKQKIYFENESEETEPELIVPINSTNRTSKRLHVVAEKTNGAKTKKVEAKRKIEKETESEESSLDPSSQHKYFSMAVQNEIKKLKPLLRTNKKFDSTLMQEKELNSIKIKRNVTLLDREDCDEKNKSKHGEFTNELTGMSKSIPSKKQCEPIVFDTVAKITGASLNYRMCRQEIVKRSKLPTVLIYAKKQNIAMYKREEDSFFQERSTLIDISVDLEETTEQKQSKIIRENTREGIKLKKSSMKYEKKGILEQNSLDDGGGNQITNNVQDDPFRLTPKNQCNSHCMNLETAGPSESHKNSKLELNDCDFDYTTTARQATDTQNERADNKMSKRIDRVSRGTKQKQKTKERNKEGARLQELFNDIHEKWNEPFGFMFKRILYNPYGAEKMSIQDIMGKLIYVNGSITRLKNHEIREIIVNSHWYINTVDILKGEVCIATNNNISKIMWEQLQVVQNKKLGNTVTQFIRPPSLKSINRKSRRPITIHLRPTALVIKCTDKMSGPLGTEGPVTKGNGEKVKQQSTGETTRESDSVMLLSGNKIPICNTSLTEANTNQFQEDASDVSELVFTDKKSKTTAVISEKSHFIQKALQARTLTKNRAEVALFNEQEQNLTPLEYTLNHLPAKKEQQCQIKQKVPLFIDNNSSELPVGQVSARLSSDDSQFSKNNEKEECLYTHQLKKKEVRNPTFTENNGSKQPELLSSNRSPQEQKDRLVKEKMRLFIKGPSEYNAKNKLGDKNPKIASIDLSSNSTTAETLMTSQFINKNNFTTMKLFQNKKHDNLKLSSNQYKVLDKVPTLTGSPISDFFIADMPLNRRQSSAEPPLYIRSHKLPTAIM
+>sp|Q9NPA3|M1IP1_HUMAN Mid1-interacting protein 1 OS=Homo sapiens OX=9606 GN=MID1IP1 PE=1 SV=1
+MMQICDTYNQKHSLFNAMNRFIGAVNNMDQTVMVPSLLRDVPLADPGLDNDVGVEVGGSGGCLEERTPPVPDSGSANGSFFAPSRDMYSHYVLLKSIRNDIEWGVLHQPPPPAGSEEGSAWKSKDILVDLGHLEGADAGEEDLEQQFHYHLRGLHTVLSKLTRKANILTNRYKQEIGFGNWGH
+>DECOY_sp|Q9NPA3|M1IP1_HUMAN Mid1-interacting protein 1 OS=Homo sapiens OX=9606 GN=MID1IP1 PE=1 SV=1
+HGWNGFGIEQKYRNTLINAKRTLKSLVTHLGRLHYHFQQELDEEGADAGELHGLDVLIDKSKWASGEESGAPPPPQHLVGWEIDNRISKLLVYHSYMDRSPAFFSGNASGSDPVPPTREELCGGSGGVEVGVDNDLGPDALPVDRLLSPVMVTQDMNNVAGIFRNMANFLSHKQNYTDCIQMM
+>sp|Q12852|M3K12_HUMAN Mitogen-activated protein kinase kinase kinase 12 OS=Homo sapiens OX=9606 GN=MAP3K12 PE=1 SV=2
+MACLHETRTPSPSFGGFVSTLSEASMRKLDPDTSDCTPEKDLTPTHVLQLHEQDAGGPGGAAGSPESRASRVRADEVRLQCQSGSGFLEGLFGCLRPVWTMIGKAYSTEHKQQQEDLWEVPFEEILDLQWVGSGAQGAVFLGRFHGEEVAVKKVRDLKETDIKHLRKLKHPNIITFKGVCTQAPCYCILMEFCAQGQLYEVLRAGRPVTPSLLVDWSMGIAGGMNYLHLHKIIHRDLKSPNMLITYDDVVKISDFGTSKELSDKSTKMSFAGTVAWMAPEVIRNEPVSEKVDIWSFGVVLWELLTGEIPYKDVDSSAIIWGVGSNSLHLPVPSSCPDGFKILLRQCWNSKPRNRPSFRQILLHLDIASADVLSTPQETYFKSQAEWREEVKLHFEKIKSEGTCLHRLEEELVMRRREELRHALDIREHYERKLERANNLYMELNALMLQLELKERELLRREQALERRCPGLLKPHPSRGLLHGNTMEKLIKKRNVPQKLSPHSKRPDILKTESLLPKLDAALSGVGLPGCPKGPPSPGRSRRGKTRHRKASAKGSCGDLPGLRTAVPPHEPGGPGSPGGLGGGPSAWEACPPALRGLHHDLLLRKMSSSSPDLLSAALGSRGRGATGGAGDPGSPPPARGDTPPSEGSAPGSTSPDSPGGAKGEPPPPVGPGEGVGLLGTGREGTSGRGGSRAGSQHLTPAALLYRAAVTRSQKRGISSEEEEGEVDSEVELTSSQRWPQSLNMRQSLSTFSSENPSDGEEGTASEPSPSGTPEVGSTNTDERPDERSDDMCSQGSEIPLDPPPSEVIPGPEPSSLPIPHQELLRERGPPNSEDSDCDSTELDNSNSVDALRPPASLPP
+>DECOY_sp|Q12852|M3K12_HUMAN Mitogen-activated protein kinase kinase kinase 12 OS=Homo sapiens OX=9606 GN=MAP3K12 PE=1 SV=2
+PPLSAPPRLADVSNSNDLETSDCDSDESNPPGRERLLEQHPIPLSSPEPGPIVESPPPDLPIESGQSCMDDSREDPREDTNTSGVEPTGSPSPESATGEEGDSPNESSFTSLSQRMNLSQPWRQSSTLEVESDVEGEEEESSIGRKQSRTVAARYLLAAPTLHQSGARSGGRGSTGERGTGLLGVGEGPGVPPPPEGKAGGPSDPSTSGPASGESPPTDGRAPPPSGPDGAGGTAGRGRSGLAASLLDPSSSSMKRLLLDHHLGRLAPPCAEWASPGGGLGGPSGPGGPEHPPVATRLGPLDGCSGKASAKRHRTKGRRSRGPSPPGKPCGPLGVGSLAADLKPLLSETKLIDPRKSHPSLKQPVNRKKILKEMTNGHLLGRSPHPKLLGPCRRELAQERRLLEREKLELQLMLANLEMYLNNARELKREYHERIDLAHRLEERRRMVLEEELRHLCTGESKIKEFHLKVEERWEAQSKFYTEQPTSLVDASAIDLHLLIQRFSPRNRPKSNWCQRLLIKFGDPCSSPVPLHLSNSGVGWIIASSDVDKYPIEGTLLEWLVVGFSWIDVKESVPENRIVEPAMWAVTGAFSMKTSKDSLEKSTGFDSIKVVDDYTILMNPSKLDRHIIKHLHLYNMGGAIGMSWDVLLSPTVPRGARLVEYLQGQACFEMLICYCPAQTCVGKFTIINPHKLKRLHKIDTEKLDRVKKVAVEEGHFRGLFVAGQAGSGVWQLDLIEEFPVEWLDEQQQKHETSYAKGIMTWVPRLCGFLGELFGSGSQCQLRVEDARVRSARSEPSGAAGGPGGADQEHLQLVHTPTLDKEPTCDSTDPDLKRMSAESLTSVFGGFSPSPTRTEHLCAM
+>sp|Q6ZN16|M3K15_HUMAN Mitogen-activated protein kinase kinase kinase 15 OS=Homo sapiens OX=9606 GN=MAP3K15 PE=1 SV=2
+MESGGGNAPAGALGAASESPQCPPPPGVEGAAGPAEPDGAAEGAAGGSGEGESGGGPRRALRAVYVRSESSQGGAAGGPEAGARQCLLRACEAEGAHLTSVPFGELDFGETAVLDAFYDADVAVVDMSDVSRQPSLFYHLGVRESFDMANNVILYHDTDADTALSLKDMVTQKNTASSGNYYFIPYIVTPCADYFCCESDAQRRASEYMQPNWDNILGPLCMPLVDRFISLLKDIHVTSCVYYKETLLNDIRKAREKYQGEELAKELARIKLRMDNTEVLTSDIIINLLLSYRDIQDYDAMVKLVETLEMLPTCDLADQHNIKFHYAFALNRRNSTGDREKALQIMLQVLQSCDHPGPDMFCLCGRIYKDIFLDSDCKDDTSRDSAIEWYRKGFELQSSLYSGINLAVLLIVAGQQFETSLELRKIGVRLNSLLGRKGSLEKMNNYWDVGQFFSVSMLAHDVGKAVQAAERLFKLKPPVWYLRSLVQNLLLIRRFKKTIIEHSPRQERLNFWLDIIFEATNEVTNGLRFPVLVIEPTKVYQPSYVSINNEAEERTVSLWHVSPTEMKQMHEWNFTASSIKGISLSKFDERCCFLYVHDNSDDFQIYFSTEEQCSRFFSLVKEMITNTAGSTVELEGETDGDTLEYEYDHDANGERVVLGKGTYGIVYAGRDLSNQVRIAIKEIPERDSRYSQPLHEEIALHKYLKHRNIVQYLGSVSENGYIKIFMEQVPGGSLSALLRSKWGPMKEPTIKFYTKQILEGLKYLHENQIVHRDIKGDNVLVNTYSGVVKISDFGTSKRLAGVNPCTETFTGTLQYMAPEIIDQGPRGYGAPADIWSLGCTIIEMATSKPPFHELGEPQAAMFKVGMFKIHPEIPEALSAEARAFILSCFEPDPHKRATTAELLREGFLRQVNKGKKNRIAFKPSEGPRGVVLALPTQGEPMATSSSEHGSVSPDSDAQPDALFERTRAPRHHLGHLLSVPDESSALEDRGLASSPEDRDQGLFLLRKDSERRAILYKILWEEQNQVASNLQECVAQSSEELHLSVGHIKQIIGILRDFIRSPEHRVMATTISKLKVDLDFDSSSISQIHLVLFGFQDAVNKILRNHLIRPHWMFAMDNIIRRAVQAAVTILIPELRAHFEPTCETEGVDKDMDEAEEGYPPATGPGQEAQPHQQHLSLQLGELRQETNRLLEHLVEKEREYQNLLRQTLEQKTQELYHLQLKLKSNCITENPAGPYGQRTDKELIDWLRLQGADAKTIEKIVEEGYTLSDILNEITKEDLRYLRLRGGLLCRLWSAVSQYRRAQEASETKDKA
+>DECOY_sp|Q6ZN16|M3K15_HUMAN Mitogen-activated protein kinase kinase kinase 15 OS=Homo sapiens OX=9606 GN=MAP3K15 PE=1 SV=2
+AKDKTESAEQARRYQSVASWLRCLLGGRLRLYRLDEKTIENLIDSLTYGEEVIKEITKADAGQLRLWDILEKDTRQGYPGAPNETICNSKLKLQLHYLEQTKQELTQRLLNQYEREKEVLHELLRNTEQRLEGLQLSLHQQHPQAEQGPGTAPPYGEEAEDMDKDVGETECTPEFHARLEPILITVAAQVARRIINDMAFMWHPRILHNRLIKNVADQFGFLVLHIQSISSSDFDLDVKLKSITTAMVRHEPSRIFDRLIGIIQKIHGVSLHLEESSQAVCEQLNSAVQNQEEWLIKYLIARRESDKRLLFLGQDRDEPSSALGRDELASSEDPVSLLHGLHHRPARTREFLADPQADSDPSVSGHESSSTAMPEGQTPLALVVGRPGESPKFAIRNKKGKNVQRLFGERLLEATTARKHPDPEFCSLIFARAEASLAEPIEPHIKFMGVKFMAAQPEGLEHFPPKSTAMEIITCGLSWIDAPAGYGRPGQDIIEPAMYQLTGTFTETCPNVGALRKSTGFDSIKVVGSYTNVLVNDGKIDRHVIQNEHLYKLGELIQKTYFKITPEKMPGWKSRLLASLSGGPVQEMFIKIYGNESVSGLYQVINRHKLYKHLAIEEHLPQSYRSDREPIEKIAIRVQNSLDRGAYVIGYTGKGLVVREGNADHDYEYELTDGDTEGELEVTSGATNTIMEKVLSFFRSCQEETSFYIQFDDSNDHVYLFCCREDFKSLSIGKISSATFNWEHMQKMETPSVHWLSVTREEAENNISVYSPQYVKTPEIVLVPFRLGNTVENTAEFIIDLWFNLREQRPSHEIITKKFRRILLLNQVLSRLYWVPPKLKFLREAAQVAKGVDHALMSVSFFQGVDWYNNMKELSGKRGLLSNLRVGIKRLELSTEFQQGAVILLVALNIGSYLSSQLEFGKRYWEIASDRSTDDKCDSDLFIDKYIRGCLCFMDPGPHDCSQLVQLMIQLAKERDGTSNRRNLAFAYHFKINHQDALDCTPLMELTEVLKVMADYDQIDRYSLLLNIIIDSTLVETNDMRLKIRALEKALEEGQYKERAKRIDNLLTEKYYVCSTVHIDKLLSIFRDVLPMCLPGLINDWNPQMYESARRQADSECCFYDACPTVIYPIFYYNGSSATNKQTVMDKLSLATDADTDHYLIVNNAMDFSERVGLHYFLSPQRSVDSMDVVAVDADYFADLVATEGFDLEGFPVSTLHAGEAECARLLCQRAGAEPGGAAGGQSSESRVYVARLARRPGGGSEGEGSGGAAGEAAGDPEAPGAAGEVGPPPPCQPSESAAGLAGAPANGGGSEM
+>sp|Q56UN5|M3K19_HUMAN Mitogen-activated protein kinase kinase kinase 19 OS=Homo sapiens OX=9606 GN=MAP3K19 PE=2 SV=1
+MSSMPKPERHAESLLDICHDTNSSPTDLMTVTKNQNIILQSISRSEEFDQDGDCSHSTLVNEEEDPSGGRQDWQPRTEGVEITVTFPRDVSPPQEMSQEDLKEKNLINSSLQEWAQAHAVSHPNEIETVELRKKKLTMRPLVLQKEESSRELCNVNLGFLLPRSCLELNISKSVTREDAPHFLKEQQRKSEEFSTSHMKYSGRSIKFLLPPLSLLPTRSGVLTIPQNHKFPKEKERNIPSLTSFVPKLSVSVRQSDELSPSNEPPGALVKSLMDPTLRSSDGFIWSRNMCSFPKTNHHRQCLEKEENWKSKEIEECNKIEITHFEKGQSLVSFENLKEGNIPAVREEDIDCHGSKTRKPEEENSQYLSSRKNESSVAKNYEQDPEIVCTIPSKFQETQHSEITPSQDEEMRNNKAASKRVSLHKNEAMEPNNILEECTVLKSLSSVVFDDPIDKLPEGCSSMETNIKISIAERAKPEMSRMVPLIHITFPVDGSPKEPVIAKPSLQTRKGTIHNNHSVNIPVHQENDKHKMNSHRSKLDSKTKTSKKTPQNFVISTEGPIKPTMHKTSIKTQIFPALGLVDPRPWQLPRFQKKMPQIAKKQSTHRTQKPKKQSFPCICKNPGTQKSCVPLSVQPTEPRLNYLDLKYSDMFKEINSTANGPGIYEMFGTPVYCHVRETERDENTYYREICSAPSGRRITNKCRSSHSERKSNIRTRLSQKKTHMKCPKTSFGIKQEHKVLISKEKSSKAVHSNLHDIENGDGISEPDWQIKSSGNEFLSSKDEIHPMNLAQTPEQSMKQNEFPPVSDLSIVEEVSMEESTGDRDISNNQILTTSLRDLQELEELHHQIPFIPSEDSWAVPSEKNSNKYVQQEKQNTASLSKVNASRILTNDLEFDSVSDHSKTLTNFSFQAKQESASSQTYQYWVHYLDHDSLANKSITYQMFGKTLSGTNSISQEIMDSVNNEELTDELLGCLAAELLALDEKDNNSCQKMANETDPENLNLVLRWRGSTPKEMGRETTKVKIQRHSSGLRIYDREEKFLISNEKKIFSENSLKSEEPILWTKGEILGKGAYGTVYCGLTSQGQLIAVKQVALDTSNKLAAEKEYRKLQEEVDLLKALKHVNIVAYLGTCLQENTVSIFMEFVPGGSISSIINRFGPLPEMVFCKYTKQILQGVAYLHENCVVHRDIKGNNVMLMPTGIIKLIDFGCARRLAWAGLNGTHSDMLKSMHGTPYWMAPEVINESGYGRKSDIWSIGCTVFEMATGKPPLASMDRMAAMFYIGAHRGLMPPLPDHFSENAADFVRMCLTRDQHERPSALQLLKHSFLERSH
+>DECOY_sp|Q56UN5|M3K19_HUMAN Mitogen-activated protein kinase kinase kinase 19 OS=Homo sapiens OX=9606 GN=MAP3K19 PE=2 SV=1
+HSRELFSHKLLQLASPREHQDRTLCMRVFDAANESFHDPLPPMLGRHAGIYFMAAMRDMSALPPKGTAMEFVTCGISWIDSKRGYGSENIVEPAMWYPTGHMSKLMDSHTGNLGAWALRRACGFDILKIIGTPMLMVNNGKIDRHVVCNEHLYAVGQLIQKTYKCFVMEPLPGFRNIISSISGGPVFEMFISVTNEQLCTGLYAVINVHKLAKLLDVEEQLKRYEKEAALKNSTDLAVQKVAILQGQSTLGCYVTGYAGKGLIEGKTWLIPEESKLSNESFIKKENSILFKEERDYIRLGSSHRQIKVKTTERGMEKPTSGRWRLVLNLNEPDTENAMKQCSNNDKEDLALLEAALCGLLEDTLEENNVSDMIEQSISNTGSLTKGFMQYTISKNALSDHDLYHVWYQYTQSSASEQKAQFSFNTLTKSHDSVSDFELDNTLIRSANVKSLSATNQKEQQVYKNSNKESPVAWSDESPIFPIQHHLEELEQLDRLSTTLIQNNSIDRDGTSEEMSVEEVISLDSVPPFENQKMSQEPTQALNMPHIEDKSSLFENGSSKIQWDPESIGDGNEIDHLNSHVAKSSKEKSILVKHEQKIGFSTKPCKMHTKKQSLRTRINSKRESHSSRCKNTIRRGSPASCIERYYTNEDRETERVHCYVPTGFMEYIGPGNATSNIEKFMDSYKLDLYNLRPETPQVSLPVCSKQTGPNKCICPFSQKKPKQTRHTSQKKAIQPMKKQFRPLQWPRPDVLGLAPFIQTKISTKHMTPKIPGETSIVFNQPTKKSTKTKSDLKSRHSNMKHKDNEQHVPINVSHNNHITGKRTQLSPKAIVPEKPSGDVPFTIHILPVMRSMEPKAREAISIKINTEMSSCGEPLKDIPDDFVVSSLSKLVTCEELINNPEMAENKHLSVRKSAAKNNRMEEDQSPTIESHQTEQFKSPITCVIEPDQEYNKAVSSENKRSSLYQSNEEEPKRTKSGHCDIDEERVAPINGEKLNEFSVLSQGKEFHTIEIKNCEEIEKSKWNEEKELCQRHHNTKPFSCMNRSWIFGDSSRLTPDMLSKVLAGPPENSPSLEDSQRVSVSLKPVFSTLSPINREKEKPFKHNQPITLVGSRTPLLSLPPLLFKISRGSYKMHSTSFEESKRQQEKLFHPADERTVSKSINLELCSRPLLFGLNVNCLERSSEEKQLVLPRMTLKKKRLEVTEIENPHSVAHAQAWEQLSSNILNKEKLDEQSMEQPPSVDRPFTVTIEVGETRPQWDQRGGSPDEEENVLTSHSCDGDQDFEESRSISQLIINQNKTVTMLDTPSSNTDHCIDLLSEAHREPKPMSSM
+>sp|Q13233|M3K1_HUMAN Mitogen-activated protein kinase kinase kinase 1 OS=Homo sapiens OX=9606 GN=MAP3K1 PE=1 SV=4
+MAAAAGNRASSSGFPGARATSPEAGGGGGALKASSAPAAAAGLLREAGSGGRERADWRRRQLRKVRSVELDQLPEQPLFLAASPPASSTSPSPEPADAAGSGTGFQPVAVPPPHGAASRGGAHLTESVAAPDSGASSPAAAEPGEKRAPAAEPSPAAAPAGREMENKETLKGLHKMDDRPEERMIREKLKATCMPAWKHEWLERRNRRGPVVVKPIPVKGDGSEMNHLAAESPGEVQASAASPASKGRRSPSPGNSPSGRTVKSESPGVRRKRVSPVPFQSGRITPPRRAPSPDGFSPYSPEETNRRVNKVMRARLYLLQQIGPNSFLIGGDSPDNKYRVFIGPQNCSCARGTFCIHLLFVMLRVFQLEPSDPMLWRKTLKNFEVESLFQKYHSRRSSRIKAPSRNTIQKFVSRMSNSHTLSSSSTSTSSSENSIKDEEEQMCPICLLGMLDEESLTVCEDGCRNKLHHHCMSIWAEECRRNREPLICPLCRSKWRSHDFYSHELSSPVDSPSSLRAAQQQTVQQQPLAGSRRNQESNFNLTHYGTQQIPPAYKDLAEPWIQVFGMELVGCLFSRNWNVREMALRRLSHDVSGALLLANGESTGNSGGSSGSSPSGGATSGSSQTSISGDVVEACCSVLSMVCADPVYKVYVAALKTLRAMLVYTPCHSLAERIKLQRLLQPVVDTILVKCADANSRTSQLSISTLLELCKGQAGELAVGREILKAGSIGIGGVDYVLNCILGNQTESNNWQELLGRLCLIDRLLLEFPAEFYPHIVSTDVSQAEPVEIRYKKLLSLLTFALQSIDNSHSMVGKLSRRIYLSSARMVTTVPHVFSKLLEMLSVSSSTHFTRMRRRLMAIADEVEIAEAIQLGVEDTLDGQQDSFLQASVPNNYLETTENSSPECTVHLEKTGKGLCATKLSASSEDISERLASISVGPSSSTTTTTTTTEQPKPMVQTKGRPHSQCLNSSPLSHHSQLMFPALSTPSSSTPSVPAGTATDVSKHRLQGFIPCRIPSASPQTQRKFSLQFHRNCPENKDSDKLSPVFTQSRPLPSSNIHRPKPSRPTPGNTSKQGDPSKNSMTLDLNSSSKCDDSFGCSSNSSNAVIPSDETVFTPVEEKCRLDVNTELNSSIEDLLEASMPSSDTTVTFKSEVAVLSPEKAENDDTYKDDVNHNQKCKEKMEAEEEEALAIAMAMSASQDALPIVPQLQVENGEDIIIIQQDTPETLPGHTKAKQPYREDTEWLKGQQIGLGAFSSCYQAQDVGTGTLMAVKQVTYVRNTSSEQEEVVEALREEIRMMSHLNHPNIIRMLGATCEKSNYNLFIEWMAGGSVAHLLSKYGAFKESVVINYTEQLLRGLSYLHENQIIHRDVKGANLLIDSTGQRLRIADFGAAARLASKGTGAGEFQGQLLGTIAFMAPEVLRGQQYGRSCDVWSVGCAIIEMACAKPPWNAEKHSNHLALIFKIASATTAPSIPSHLSPGLRDVALRCLELQPQDRPPSRELLKHPVFRTTW
+>DECOY_sp|Q13233|M3K1_HUMAN Mitogen-activated protein kinase kinase kinase 1 OS=Homo sapiens OX=9606 GN=MAP3K1 PE=1 SV=4
+WTTRFVPHKLLERSPPRDQPQLELCRLAVDRLGPSLHSPISPATTASAIKFILALHNSHKEANWPPKACAMEIIACGVSWVDCSRGYQQGRLVEPAMFAITGLLQGQFEGAGTGKSALRAAAGFDAIRLRQGTSDILLNAGKVDRHIIQNEHLYSLGRLLQETYNIVVSEKFAGYKSLLHAVSGGAMWEIFLNYNSKECTAGLMRIINPHNLHSMMRIEERLAEVVEEQESSTNRVYTVQKVAMLTGTGVDQAQYCSSFAGLGIQQGKLWETDERYPQKAKTHGPLTEPTDQQIIIIDEGNEVQLQPVIPLADQSASMAMAIALAEEEEAEMKEKCKQNHNVDDKYTDDNEAKEPSLVAVESKFTVTTDSSPMSAELLDEISSNLETNVDLRCKEEVPTFVTEDSPIVANSSNSSCGFSDDCKSSSNLDLTMSNKSPDGQKSTNGPTPRSPKPRHINSSPLPRSQTFVPSLKDSDKNEPCNRHFQLSFKRQTQPSASPIRCPIFGQLRHKSVDTATGAPVSPTSSSPTSLAPFMLQSHHSLPSSNLCQSHPRGKTQVMPKPQETTTTTTTTSSSPGVSISALRESIDESSASLKTACLGKGTKELHVTCEPSSNETTELYNNPVSAQLFSDQQGDLTDEVGLQIAEAIEVEDAIAMLRRRMRTFHTSSSVSLMELLKSFVHPVTTVMRASSLYIRRSLKGVMSHSNDISQLAFTLLSLLKKYRIEVPEAQSVDTSVIHPYFEAPFELLLRDILCLRGLLEQWNNSETQNGLICNLVYDVGGIGISGAKLIERGVALEGAQGKCLELLTSISLQSTRSNADACKVLITDVVPQLLRQLKIREALSHCPTYVLMARLTKLAAVYVKYVPDACVMSLVSCCAEVVDGSISTQSSGSTAGGSPSSGSSGGSNGTSEGNALLLAGSVDHSLRRLAMERVNWNRSFLCGVLEMGFVQIWPEALDKYAPPIQQTGYHTLNFNSEQNRRSGALPQQQVTQQQAARLSSPSDVPSSLEHSYFDHSRWKSRCLPCILPERNRRCEEAWISMCHHHLKNRCGDECVTLSEEDLMGLLCIPCMQEEEDKISNESSSTSTSSSSLTHSNSMRSVFKQITNRSPAKIRSSRRSHYKQFLSEVEFNKLTKRWLMPDSPELQFVRLMVFLLHICFTGRACSCNQPGIFVRYKNDPSDGGILFSNPGIQQLLYLRARMVKNVRRNTEEPSYPSFGDPSPARRPPTIRGSQFPVPSVRKRRVGPSESKVTRGSPSNGPSPSRRGKSAPSAASAQVEGPSEAALHNMESGDGKVPIPKVVVPGRRNRRELWEHKWAPMCTAKLKERIMREEPRDDMKHLGKLTEKNEMERGAPAAAPSPEAAPARKEGPEAAAPSSAGSDPAAVSETLHAGGRSAAGHPPPVAVPQFGTGSGAADAPEPSPSTSSAPPSAALFLPQEPLQDLEVSRVKRLQRRRWDARERGGSGAERLLGAAAAPASSAKLAGGGGGAEPSTARAGPFGSSSARNGAAAAM
+>sp|Q9Y2U5|M3K2_HUMAN Mitogen-activated protein kinase kinase kinase 2 OS=Homo sapiens OX=9606 GN=MAP3K2 PE=1 SV=2
+MDDQQALNSIMQDLAVLHKASRPALSLQETRKAKSSSPKKQNDVRVKFEHRGEKRILQFPRPVKLEDLRSKAKIAFGQSMDLHYTNNELVIPLTTQDDLDKAVELLDRSIHMKSLKILLVINGSTQATNLEPLPSLEDLDNTVFGAERKKRLSIIGPTSRDRSSPPPGYIPDELHQVARNGSFTSINSEGEFIPESMDQMLDPLSLSSPENSGSGSCPSLDSPLDGESYPKSRMPRAQSYPDNHQEFSDYDNPIFEKFGKGGTYPRRYHVSYHHQEYNDGRKTFPRARRTQGTSLRSPVSFSPTDHSLSTSSGSSIFTPEYDDSRIRRRGSDIDNPTLTVMDISPPSRSPRAPTNWRLGKLLGQGAFGRVYLCYDVDTGRELAVKQVQFDPDSPETSKEVNALECEIQLLKNLLHERIVQYYGCLRDPQEKTLSIFMEYMPGGSIKDQLKAYGALTENVTRKYTRQILEGVHYLHSNMIVHRDIKGANILRDSTGNVKLGDFGASKRLQTICLSGTGMKSVTGTPYWMSPEVISGEGYGRKADIWSVACTVVEMLTEKPPWAEFEAMAAIFKIATQPTNPKLPPHVSDYTRDFLKRIFVEAKLRPSADELLRHMFVHYH
+>DECOY_sp|Q9Y2U5|M3K2_HUMAN Mitogen-activated protein kinase kinase kinase 2 OS=Homo sapiens OX=9606 GN=MAP3K2 PE=1 SV=2
+HYHVFMHRLLEDASPRLKAEVFIRKLFDRTYDSVHPPLKPNTPQTAIKFIAAMAEFEAWPPKETLMEVVTCAVSWIDAKRGYGEGSIVEPSMWYPTGTVSKMGTGSLCITQLRKSAGFDGLKVNGTSDRLINAGKIDRHVIMNSHLYHVGELIQRTYKRTVNETLAGYAKLQDKISGGPMYEMFISLTKEQPDRLCGYYQVIREHLLNKLLQIECELANVEKSTEPSDPDFQVQKVALERGTDVDYCLYVRGFAGQGLLKGLRWNTPARPSRSPPSIDMVTLTPNDIDSGRRRIRSDDYEPTFISSGSSTSLSHDTPSFSVPSRLSTGQTRRARPFTKRGDNYEQHHYSVHYRRPYTGGKGFKEFIPNDYDSFEQHNDPYSQARPMRSKPYSEGDLPSDLSPCSGSGSNEPSSLSLPDLMQDMSEPIFEGESNISTFSGNRAVQHLEDPIYGPPPSSRDRSTPGIISLRKKREAGFVTNDLDELSPLPELNTAQTSGNIVLLIKLSKMHISRDLLEVAKDLDDQTTLPIVLENNTYHLDMSQGFAIKAKSRLDELKVPRPFQLIRKEGRHEFKVRVDNQKKPSSSKAKRTEQLSLAPRSAKHLVALDQMISNLAQQDDM
+>sp|O43318|M3K7_HUMAN Mitogen-activated protein kinase kinase kinase 7 OS=Homo sapiens OX=9606 GN=MAP3K7 PE=1 SV=1
+MSTASAASSSSSSSAGEMIEAPSQVLNFEEIDYKEIEVEEVVGRGAFGVVCKAKWRAKDVAIKQIESESERKAFIVELRQLSRVNHPNIVKLYGACLNPVCLVMEYAEGGSLYNVLHGAEPLPYYTAAHAMSWCLQCSQGVAYLHSMQPKALIHRDLKPPNLLLVAGGTVLKICDFGTACDIQTHMTNNKGSAAWMAPEVFEGSNYSEKCDVFSWGIILWEVITRRKPFDEIGGPAFRIMWAVHNGTRPPLIKNLPKPIESLMTRCWSKDPSQRPSMEEIVKIMTHLMRYFPGADEPLQYPCQYSDEGQSNSATSTGSFMDIASTNTSNKSDTNMEQVPATNDTIKRLESKLLKNQAKQQSESGRLSLGASRGSSVESLPPTSEGKRMSADMSEIEARIAATTAYSKPKRGHRKTASFGNILDVPEIVISGNGQPRRRSIQDLTVTGTEPGQVSSRSSSPSVRMITTSGPTSEKPTRSHPWTPDDSTDTNGSDNSIPMAYLTLDHQLQPLAPCPNSKESMAVFEQHCKMAQEYMKVQTEIALLLQRKQELVAELDQDEKDQQNTSRLVQEHKKLLDENKSLSTYYQQCKKQLEVIRSQQQKRQGTS
+>DECOY_sp|O43318|M3K7_HUMAN Mitogen-activated protein kinase kinase kinase 7 OS=Homo sapiens OX=9606 GN=MAP3K7 PE=1 SV=1
+STGQRKQQQSRIVELQKKCQQYYTSLSKNEDLLKKHEQVLRSTNQQDKEDQDLEAVLEQKRQLLLAIETQVKMYEQAMKCHQEFVAMSEKSNPCPALPQLQHDLTLYAMPISNDSGNTDTSDDPTWPHSRTPKESTPGSTTIMRVSPSSSRSSVQGPETGTVTLDQISRRRPQGNGSIVIEPVDLINGFSATKRHGRKPKSYATTAAIRAEIESMDASMRKGESTPPLSEVSSGRSAGLSLRGSESQQKAQNKLLKSELRKITDNTAPVQEMNTDSKNSTNTSAIDMFSGTSTASNSQGEDSYQCPYQLPEDAGPFYRMLHTMIKVIEEMSPRQSPDKSWCRTMLSEIPKPLNKILPPRTGNHVAWMIRFAPGGIEDFPKRRTIVEWLIIGWSFVDCKESYNSGEFVEPAMWAASGKNNTMHTQIDCATGFDCIKLVTGGAVLLLNPPKLDRHILAKPQMSHLYAVGQSCQLCWSMAHAATYYPLPEAGHLVNYLSGGEAYEMVLCVPNLCAGYLKVINPHNVRSLQRLEVIFAKRESESEIQKIAVDKARWKAKCVVGFAGRGVVEEVEIEKYDIEEFNLVQSPAEIMEGASSSSSSSAASATSM
+>sp|Q5J8X5|M4A13_HUMAN Membrane-spanning 4-domains subfamily A member 13 OS=Homo sapiens OX=9606 GN=MS4A13 PE=2 SV=2
+MIGIFHIFMWYFLLVLYMGQIKGAFGTYEPVTYKTGCTLWGIFFIIAGVFLIRVTKYPTRSGIISTLIINIICIITTITAVTLTIIELSHFNSVSYRNYGQAKLGREVSRILLFFYGLEFSIALTHSIYSCSNLFRRQNDLTSVTEEAESTP
+>DECOY_sp|Q5J8X5|M4A13_HUMAN Membrane-spanning 4-domains subfamily A member 13 OS=Homo sapiens OX=9606 GN=MS4A13 PE=2 SV=2
+PTSEAEETVSTLDNQRRFLNSCSYISHTLAISFELGYFFLLIRSVERGLKAQGYNRYSVSNFHSLEIITLTVATITTIICIINIILTSIIGSRTPYKTVRILFVGAIIFFIGWLTCGTKYTVPEYTGFAGKIQGMYLVLLFYWMFIHFIGIM
+>sp|Q96JA4|M4A14_HUMAN Membrane-spanning 4-domains subfamily A member 14 OS=Homo sapiens OX=9606 GN=MS4A14 PE=2 SV=2
+MESTSQDRRATHVITIKPNETVLTAFPYRPHSSLLDFLKGEPRVLGATQILLALIIVGFGTIFALNYIGFSQRLPLVVLTGYPFWGALIFILTGYLTVTDKKSKLLGQGVTGMNVISSLVAITGITFTILSYRHQDKYCQMPSFEEICVFSRTLFIVLFFLPSDVTQNSEQPAPEENDQLQFVLQEEFSSDDSTTNAQSVIFGGYAFFKLTLSRSPLVSQPGNKGREFVPDEQKQSILPSPKFSEEEIEPLPPTLEKKPSENMSIQLDSTFKQMKDEDLQSAIVQPSQMQTKLLQDQAASLQVFPSHSALKLEDISPEDLPSQALPVEGLSEQTMPSKSTSSHVKQSSNLTANDLPPQGILSQDTSSQDMLFHDMTSQDMQSLDMLSQDTPSHAMPPQDIPSQDMLSQALSAHAILPEASTSHIVQFPEIQHLLQQPPDLQPENTEPQNQQILQMSYQDIRSEVMEETKEWKSEEELHRRKSSRRHSLNQQTKALQYLRRHSLDVQAKGQKSSKRHSLDQQSKGWQSPKQKSLDQQIKDWLSPKRHSVDKQAQLNQTKEQLPDQQAEDQQAKGEQYPEGQSKDGQVKDQQTDKEQNSKKQTQDQQTEDQPAQEKKSPKGQFQNVQAEGQQAQVEKVPKLLCQDSESQIQQYQFWQFHKGNLQAGQPRTVNLLAKNPLTG
+>DECOY_sp|Q96JA4|M4A14_HUMAN Membrane-spanning 4-domains subfamily A member 14 OS=Homo sapiens OX=9606 GN=MS4A14 PE=2 SV=2
+GTLPNKALLNVTRPQGAQLNGKHFQWFQYQQIQSESDQCLLKPVKEVQAQQGEAQVNQFQGKPSKKEQAPQDETQQDQTQKKSNQEKDTQQDKVQGDKSQGEPYQEGKAQQDEAQQDPLQEKTQNLQAQKDVSHRKPSLWDKIQQDLSKQKPSQWGKSQQDLSHRKSSKQGKAQVDLSHRRLYQLAKTQQNLSHRRSSKRRHLEEESKWEKTEEMVESRIDQYSMQLIQQNQPETNEPQLDPPQQLLHQIEPFQVIHSTSAEPLIAHASLAQSLMDQSPIDQPPMAHSPTDQSLMDLSQMDQSTMDHFLMDQSSTDQSLIGQPPLDNATLNSSQKVHSSTSKSPMTQESLGEVPLAQSPLDEPSIDELKLASHSPFVQLSAAQDQLLKTQMQSPQVIASQLDEDKMQKFTSDLQISMNESPKKELTPPLPEIEEESFKPSPLISQKQEDPVFERGKNGPQSVLPSRSLTLKFFAYGGFIVSQANTTSDDSSFEEQLVFQLQDNEEPAPQESNQTVDSPLFFLVIFLTRSFVCIEEFSPMQCYKDQHRYSLITFTIGTIAVLSSIVNMGTVGQGLLKSKKDTVTLYGTLIFILAGWFPYGTLVVLPLRQSFGIYNLAFITGFGVIILALLIQTAGLVRPEGKLFDLLSSHPRYPFATLVTENPKITIVHTARRDQSTSEM
+>sp|Q96JQ5|M4A4A_HUMAN Membrane-spanning 4-domains subfamily A member 4A OS=Homo sapiens OX=9606 GN=MS4A4A PE=1 SV=1
+MHQTYSRHCRPEESTFSAAMTTMQGMEQAMPGAGPGVPQLGNMAVIHSHLWKGLQEKFLKGEPKVLGVVQILTALMSLSMGITMMCMASNTYGSNPISVYIGYTIWGSVMFIISGSLSIAAGIRTTKGLVRGSLGMNITSSVLAASGILINTFSLAFYSFHHPYCNYYGNSNNCHGTMSILMGLDGMVLLLSVLEFCIAVSLSAFGCKVLCCTPGGVVLILPSHSHMAETASPTPLNEV
+>DECOY_sp|Q96JQ5|M4A4A_HUMAN Membrane-spanning 4-domains subfamily A member 4A OS=Homo sapiens OX=9606 GN=MS4A4A PE=1 SV=1
+VENLPTPSATEAMHSHSPLILVVGGPTCCLVKCGFASLSVAICFELVSLLLVMGDLGMLISMTGHCNNSNGYYNCYPHHFSYFALSFTNILIGSAALVSSTINMGLSGRVLGKTTRIGAAISLSGSIIFMVSGWITYGIYVSIPNSGYTNSAMCMMTIGMSLSMLATLIQVVGLVKPEGKLFKEQLGKWLHSHIVAMNGLQPVGPGAGPMAQEMGQMTTMAASFTSEEPRCHRSYTQHM
+>sp|P43358|MAGA4_HUMAN Melanoma-associated antigen 4 OS=Homo sapiens OX=9606 GN=MAGEA4 PE=1 SV=2
+MSSEQKSQHCKPEEGVEAQEEALGLVGAQAPTTEEQEAAVSSSSPLVPGTLEEVPAAESAGPPQSPQGASALPTTISFTCWRQPNEGSSSQEEEGPSTSPDAESLFREALSNKVDELAHFLLRKYRAKELVTKAEMLERVIKNYKRCFPVIFGKASESLKMIFGIDVKEVDPASNTYTLVTCLGLSYDGLLGNNQIFPKTGLLIIVLGTIAMEGDSASEEEIWEELGVMGVYDGREHTVYGEPRKLLTQDWVQENYLEYRQVPGSNPARYEFLWGPRALAETSYVKVLEHVVRVNARVRIAYPSLREAALLEEEEGV
+>DECOY_sp|P43358|MAGA4_HUMAN Melanoma-associated antigen 4 OS=Homo sapiens OX=9606 GN=MAGEA4 PE=1 SV=2
+VGEEEELLAAERLSPYAIRVRANVRVVHELVKVYSTEALARPGWLFEYRAPNSGPVQRYELYNEQVWDQTLLKRPEGYVTHERGDYVGMVGLEEWIEEESASDGEMAITGLVIILLGTKPFIQNNGLLGDYSLGLCTVLTYTNSAPDVEKVDIGFIMKLSESAKGFIVPFCRKYNKIVRELMEAKTVLEKARYKRLLFHALEDVKNSLAERFLSEADPSTSPGEEEQSSSGENPQRWCTFSITTPLASAGQPSQPPGASEAAPVEELTGPVLPSSSSVAAEQEETTPAQAGVLGLAEEQAEVGEEPKCHQSKQESSM
+>sp|O15481|MAGB4_HUMAN Melanoma-associated antigen B4 OS=Homo sapiens OX=9606 GN=MAGEB4 PE=1 SV=1
+MPRGQKSKLRAREKRQRTRGQTQDLKVGQPTAAEKEESPSSSSSVLRDTASSSLAFGIPQEPQREPPTTSAAAAMSCTGSDKGDESQDEENASSSQASTSTERSLKDSLTRKTKMLVQFLLYKYKMKEPTTKAEMLKIISKKYKEHFPEIFRKVSQRTELVFGLALKEVNPTTHSYILVSMLGPNDGNQSSAWTLPRNGLLMPLLSVIFLNGNCAREEEIWEFLNMLGIYDGKRHLIFGEPRKLITQDLVQEKYLEYQQVPNSDPPRYQFLWGPRAHAETSKMKVLEFLAKVNDTTPNNFPLLYEEALRDEEERAGARPRVAARRGTTAMTSAYSRATSSSSSQPM
+>DECOY_sp|O15481|MAGB4_HUMAN Melanoma-associated antigen B4 OS=Homo sapiens OX=9606 GN=MAGEB4 PE=1 SV=1
+MPQSSSSSTARSYASTMATTGRRAAVRPRAGAREEEDRLAEEYLLPFNNPTTDNVKALFELVKMKSTEAHARPGWLFQYRPPDSNPVQQYELYKEQVLDQTILKRPEGFILHRKGDYIGLMNLFEWIEEERACNGNLFIVSLLPMLLGNRPLTWASSQNGDNPGLMSVLIYSHTTPNVEKLALGFVLETRQSVKRFIEPFHEKYKKSIIKLMEAKTTPEKMKYKYLLFQVLMKTKRTLSDKLSRETSTSAQSSSANEEDQSEDGKDSGTCSMAAAASTTPPERQPEQPIGFALSSSATDRLVSSSSSPSEEKEAATPQGVKLDQTQGRTRQRKERARLKSKQGRPM
+>sp|Q96M61|MAGBI_HUMAN Melanoma-associated antigen B18 OS=Homo sapiens OX=9606 GN=MAGEB18 PE=1 SV=2
+MPRGQKSKLRAREKRHQARCENQDLGATQATVAEGESPSPAYLLFGDRPQNLPAAETPSIPEALQGAPSTTNAIAPVSCSSNEGASSQDEKSLGSSREAEGWKEDPLNKKVVSLVHFLLQKYETKEPITKGDMIKFVIRKDKCHFNEILKRASEHMELALGVDLKEVDPIRHYYAFFSKLDLTYDETTSDEEKIPKTGLLMIALGVIFLNGNRAPEEAVWEIMNMMGVYADRKHFLYGDPRKVMTKDLVQLKYLEYQQVPNSDPPRYEFLWGPRAHAETSKMKVLEFVAKIHDTVPSAFPSCYEEALRDEEQRTQARAAARAHTAAMANARSRTTSSSFSHAK
+>DECOY_sp|Q96M61|MAGBI_HUMAN Melanoma-associated antigen B18 OS=Homo sapiens OX=9606 GN=MAGEB18 PE=1 SV=2
+KAHSFSSSTTRSRANAMAATHARAAARAQTRQEEDRLAEEYCSPFASPVTDHIKAVFELVKMKSTEAHARPGWLFEYRPPDSNPVQQYELYKLQVLDKTMVKRPDGYLFHKRDAYVGMMNMIEWVAEEPARNGNLFIVGLAIMLLGTKPIKEEDSTTEDYTLDLKSFFAYYHRIPDVEKLDVGLALEMHESARKLIENFHCKDKRIVFKIMDGKTIPEKTEYKQLLFHVLSVVKKNLPDEKWGEAERSSGLSKEDQSSAGENSSCSVPAIANTTSPAGQLAEPISPTEAAPLNQPRDGFLLYAPSPSEGEAVTAQTAGLDQNECRAQHRKERARLKSKQGRPM
+>sp|Q9UBF1|MAGC2_HUMAN Melanoma-associated antigen C2 OS=Homo sapiens OX=9606 GN=MAGEC2 PE=1 SV=1
+MPPVPGVPFRNVDNDSPTSVELEDWVDAQHPTDEEEEEASSASSTLYLVFSPSSFSTSSSLILGGPEEEEVPSGVIPNLTESIPSSPPQGPPQGPSQSPLSSCCSSFSWSSFSEESSSQKGEDTGTCQGLPDSESSFTYTLDEKVAELVEFLLLKYEAEEPVTEAEMLMIVIKYKDYFPVILKRAREFMELLFGLALIEVGPDHFCVFANTVGLTDEGSDDEGMPENSLLIIILSVIFIKGNCASEEVIWEVLNAVGVYAGREHFVYGEPRELLTKVWVQGHYLEYREVPHSSPPYYEFLWGPRAHSESIKKKVLEFLAKLNNTVPSSFPSWYKDALKDVEERVQATIDTADDATVMASESLSVMSSNVSFSE
+>DECOY_sp|Q9UBF1|MAGC2_HUMAN Melanoma-associated antigen C2 OS=Homo sapiens OX=9606 GN=MAGEC2 PE=1 SV=1
+ESFSVNSSMVSLSESAMVTADDATDITAQVREEVDKLADKYWSPFSSPVTNNLKALFELVKKKISESHARPGWLFEYYPPSSHPVERYELYHGQVWVKTLLERPEGYVFHERGAYVGVANLVEWIVEESACNGKIFIVSLIIILLSNEPMGEDDSGEDTLGVTNAFVCFHDPGVEILALGFLLEMFERARKLIVPFYDKYKIVIMLMEAETVPEEAEYKLLLFEVLEAVKEDLTYTFSSESDPLGQCTGTDEGKQSSSEESFSSWSFSSCCSSLPSQSPGQPPGQPPSSPISETLNPIVGSPVEEEEPGGLILSSSTSFSSPSFVLYLTSSASSAEEEEEDTPHQADVWDELEVSTPSDNDVNRFPVGPVPPM
+>sp|Q9UNF1|MAGD2_HUMAN Melanoma-associated antigen D2 OS=Homo sapiens OX=9606 GN=MAGED2 PE=1 SV=2
+MSDTSESGAGLTRFQAEASEKDSSSMMQTLLTVTQNVEVPETPKASKALEVSEDVKVSKASGVSKATEVSKTPEAREAPATQASSTTQLTDTQVLAAENKSLAADTKKQNADPQAVTMPATETKKVSHVADTKVNTKAQETEAAPSQAPADEPEPESAAAQSQENQDTRPKVKAKKARKVKHLDGEEDGSSDQSQASGTTGGRRVSKALMASMARRASRGPIAFWARRASRTRLAAWARRALLSLRSPKARRGKARRRAAKLQSSQEPEAPPPRDVALLQGRANDLVKYLLAKDQTKIPIKRSDMLKDIIKEYTDVYPEIIERAGYSLEKVFGIQLKEIDKNDHLYILLSTLEPTDAGILGTTKDSPKLGLLMVLLSIIFMNGNRSSEAVIWEVLRKLGLRPGIHHSLFGDVKKLITDEFVKQKYLDYARVPNSNPPEYEFFWGLRSYYETSKMKVLKFACKVQKKDPKEWAAQYREAMEADLKAAAEAAAEAKARAEIRARMGIGLGSENAAGPCNWDEADIGPWAKARIQAGAEAKAKAQESGSASTGASTSTNNSASASASTSGGFSAGASLTATLTFGLFAGLGGAGASTSGSSGACGFSYK
+>DECOY_sp|Q9UNF1|MAGD2_HUMAN Melanoma-associated antigen D2 OS=Homo sapiens OX=9606 GN=MAGED2 PE=1 SV=2
+KYSFGCAGSSGSTSAGAGGLGAFLGFTLTATLSAGASFGGSTSASASASNNTSTSAGTSASGSEQAKAKAEAGAQIRAKAWPGIDAEDWNCPGAANESGLGIGMRARIEARAKAEAAAEAAAKLDAEMAERYQAAWEKPDKKQVKCAFKLVKMKSTEYYSRLGWFFEYEPPNSNPVRAYDLYKQKVFEDTILKKVDGFLSHHIGPRLGLKRLVEWIVAESSRNGNMFIISLLVMLLGLKPSDKTTGLIGADTPELTSLLIYLHDNKDIEKLQIGFVKELSYGAREIIEPYVDTYEKIIDKLMDSRKIPIKTQDKALLYKVLDNARGQLLAVDRPPPAEPEQSSQLKAARRRAKGRRAKPSRLSLLARRAWAALRTRSARRAWFAIPGRSARRAMSAMLAKSVRRGGTTGSAQSQDSSGDEEGDLHKVKRAKKAKVKPRTDQNEQSQAAASEPEPEDAPAQSPAAETEQAKTNVKTDAVHSVKKTETAPMTVAQPDANQKKTDAALSKNEAALVQTDTLQTTSSAQTAPAERAEPTKSVETAKSVGSAKSVKVDESVELAKSAKPTEPVEVNQTVTLLTQMMSSSDKESAEAQFRTLGAGSESTDSM
+>sp|Q9BSK0|MALD1_HUMAN MARVEL domain-containing protein 1 OS=Homo sapiens OX=9606 GN=MARVELD1 PE=1 SV=1
+MLPPPPRQPPPQARAARGAVRLQRPFLRSPLGVLRLLQLLAGAAFWITIATSKYQGPVHFALFVSVLFWLLTLGLYFLTLLGKHELVPVLGSRWLMVNVAHDVLAAALYGAATGIMSDQMQRHSYCNLKDYPLPCAYHAFLAAAVCGGVCHGLYLLSALYGCGRRCQGKQEVA
+>DECOY_sp|Q9BSK0|MALD1_HUMAN MARVEL domain-containing protein 1 OS=Homo sapiens OX=9606 GN=MARVELD1 PE=1 SV=1
+AVEQKGQCRRGCGYLASLLYLGHCVGGCVAAALFAHYACPLPYDKLNCYSHRQMQDSMIGTAAGYLAAALVDHAVNVMLWRSGLVPVLEHKGLLTLFYLGLTLLWFLVSVFLAFHVPGQYKSTAITIWFAAGALLQLLRLVGLPSRLFPRQLRVAGRAARAQPPPQRPPPPLM
+>sp|Q96A59|MALD3_HUMAN MARVEL domain-containing protein 3 OS=Homo sapiens OX=9606 GN=MARVELD3 PE=2 SV=3
+MEDPSGAREPRARPRERDPGRRPHPDQGRTHDRPRDRPGDPRRKRSSDGNRRRDGDRDPERDQERDGNRDRNRDRERERERERDPDRGPRRDTHRDAGPRAGEHGVWEKPRQSRTRDGARGLTWDAAAPPGPAPWEAPEPPQPQRKGDPGRRRPESEPPSERYLPSTPRPGREEVEYYQSEAEGLLECHKCKYLCTGRACCQMLEVLLNLLILACSSVSYSSTGGYTGITSLGGIYYYQFGGAYSGFDGADGEKAQQLDVQFYQLKLPMVTVAMACSGALTALCCLFVAMGVLRVPWHCPLLLVTEGLLDMLIAGGYIPALYFYFHYLSAAYGSPVCKERQALYQSKGYSGFGCSFHGADIGAGIFAALGIVVFALGAVLAIKGYRKVRKLKEKPAEMFEF
+>DECOY_sp|Q96A59|MALD3_HUMAN MARVEL domain-containing protein 3 OS=Homo sapiens OX=9606 GN=MARVELD3 PE=2 SV=3
+FEFMEAPKEKLKRVKRYGKIALVAGLAFVVIGLAAFIGAGIDAGHFSCGFGSYGKSQYLAQREKCVPSGYAASLYHFYFYLAPIYGGAILMDLLGETVLLLPCHWPVRLVGMAVFLCCLATLAGSCAMAVTVMPLKLQYFQVDLQQAKEGDAGDFGSYAGGFQYYYIGGLSTIGTYGGTSSYSVSSCALILLNLLVELMQCCARGTCLYKCKHCELLGEAESQYYEVEERGPRPTSPLYRESPPESEPRRRGPDGKRQPQPPEPAEWPAPGPPAAADWTLGRAGDRTRSQRPKEWVGHEGARPGADRHTDRRPGRDPDRERERERERDRNRDRNGDREQDREPDRDGDRRRNGDSSRKRRPDGPRDRPRDHTRGQDPHPRRGPDRERPRARPERAGSPDEM
+>sp|Q9UDY8|MALT1_HUMAN Mucosa-associated lymphoid tissue lymphoma translocation protein 1 OS=Homo sapiens OX=9606 GN=MALT1 PE=1 SV=1
+MSLLGDPLQALPPSAAPTGPLLAPPAGATLNRLREPLLRRLSELLDQAPEGRGWRRLAELAGSRGRLRLSCLDLEQCSLKVLEPEGSPSLCLLKLMGEKGCTVTELSDFLQAMEHTEVLQLLSPPGIKITVNPESKAVLAGQFVKLCCRATGHPFVQYQWFKMNKEIPNGNTSELIFNAVHVKDAGFYVCRVNNNFTFEFSQWSQLDVCDIPESFQRSVDGVSESKLQICVEPTSQKLMPGSTLVLQCVAVGSPIPHYQWFKNELPLTHETKKLYMVPYVDLEHQGTYWCHVYNDRDSQDSKKVEIIIGRTDEAVECTEDELNNLGHPDNKEQTTDQPLAKDKVALLIGNMNYREHPKLKAPLVDVYELTNLLRQLDFKVVSLLDLTEYEMRNAVDEFLLLLDKGVYGLLYYAGHGYENFGNSFMVPVDAPNPYRSENCLCVQNILKLMQEKETGLNVFLLDMCRKRNDYDDTIPILDALKVTANIVFGYATCQGAEAFEIQHSGLANGIFMKFLKDRLLEDKKITVLLDEVAEDMGKCHLTKGKQALEIRSSLSEKRALTDPIQGTEYSAESLVRNLQWAKAHELPESMCLKFDCGVQIQLGFAAEFSNVMIIYTSIVYKPPEIIMCDAYVTDFPLDLDIDPKDANKGTPEETGSYLVSKDLPKHCLYTRLSSLQKLKEHLVFTVCLSYQYSGLEDTVEDKQEVNVGKPLIAKLDMHRGLGRKTCFQTCLMSNGPYQSSAATSGGAGHYHSLQDPFHGVYHSHPGNPSNVTPADSCHCSRTPDAFISSFAHHASCHFSRSNVPVETTDEIPFSFSDRLRISEK
+>DECOY_sp|Q9UDY8|MALT1_HUMAN Mucosa-associated lymphoid tissue lymphoma translocation protein 1 OS=Homo sapiens OX=9606 GN=MALT1 PE=1 SV=1
+KESIRLRDSFSFPIEDTTEVPVNSRSFHCSAHHAFSSIFADPTRSCHCSDAPTVNSPNGPHSHYVGHFPDQLSHYHGAGGSTAASSQYPGNSMLCTQFCTKRGLGRHMDLKAILPKGVNVEQKDEVTDELGSYQYSLCVTFVLHEKLKQLSSLRTYLCHKPLDKSVLYSGTEEPTGKNADKPDIDLDLPFDTVYADCMIIEPPKYVISTYIIMVNSFEAAFGLQIQVGCDFKLCMSEPLEHAKAWQLNRVLSEASYETGQIPDTLARKESLSSRIELAQKGKTLHCKGMDEAVEDLLVTIKKDELLRDKLFKMFIGNALGSHQIEFAEAGQCTAYGFVINATVKLADLIPITDDYDNRKRCMDLLFVNLGTEKEQMLKLINQVCLCNESRYPNPADVPVMFSNGFNEYGHGAYYLLGYVGKDLLLLFEDVANRMEYETLDLLSVVKFDLQRLLNTLEYVDVLPAKLKPHERYNMNGILLAVKDKALPQDTTQEKNDPHGLNNLEDETCEVAEDTRGIIIEVKKSDQSDRDNYVHCWYTGQHELDVYPVMYLKKTEHTLPLENKFWQYHPIPSGVAVCQLVLTSGPMLKQSTPEVCIQLKSESVGDVSRQFSEPIDCVDLQSWQSFEFTFNNNVRCVYFGADKVHVANFILESTNGNPIEKNMKFWQYQVFPHGTARCCLKVFQGALVAKSEPNVTIKIGPPSLLQLVETHEMAQLFDSLETVTCGKEGMLKLLCLSPSGEPELVKLSCQELDLCSLRLRGRSGALEALRRWGRGEPAQDLLESLRRLLPERLRNLTAGAPPALLPGTPAASPPLAQLPDGLLSM
+>sp|O00462|MANBA_HUMAN Beta-mannosidase OS=Homo sapiens OX=9606 GN=MANBA PE=2 SV=3
+MRLHLLLLLALCGAGTTAAELSYSLRGNWSICNGNGSLELPGAVPGCVHSALFQQGLIQDSYYRFNDLNYRWVSLDNWTYSKEFKIPFEISKWQKVNLILEGVDTVSKILFNEVTIGETDNMFNRYSFDITNVVRDVNSIELRFQSAVLYAAQQSKAHTRYQVPPDCPPLVQKGECHVNFVRKEQCSFSWDWGPSFPTQGIWKDVRIEAYNICHLNYFTFSPIYDKSAQEWNLEIESTFDVVSSKPVGGQVIVAIPKLQTQQTYSIELQPGKRIVELFVNISKNITVETWWPHGHGNQTGYNMTVLFELDGGLNIEKSAKVYFRTVELIEEPIKGSPGLSFYFKINGFPIFLKGSNWIPADSFQDRVTSELLRLLLQSVVDANMNTLRVWGGGIYEQDEFYELCDELGIMVWQDFMFACALYPTDQGFLDSVTAEVAYQIKRLKSHPSIIIWSGNNENEEALMMNWYHISFTDRPIYIKDYVTLYVKNIRELVLAGDKSRPFITSSPTNGAETVAEAWVSQNPNSNYFGDVHFYDYISDCWNWKVFPKARFASEYGYQSWPSFSTLEKVSSTEDWSFNSKFSLHRQHHEGGNKQMLYQAGLHFKLPQSTDPLRTFKDTIYLTQVMQAQCVKTETEFYRRSRSEIVDQQGHTMGALYWQLNDIWQAPSWASLEYGGKWKMLHYFAQNFFAPLLPVGFENENTFYIYGVSDLHSDYSMTLSVRVHTWSSLEPVCSRVTERFVMKGGEAVCLYEEPVSELLRRCGNCTRESCVVSFYLSADHELLSPTNYHFLSSPKEAVGLCKAQITAIISQQGDIFVFDLETSAVAPFVWLDVGSIPGRFSDNGFLMTEKTRTILFYPWEPTSKNELEQSFHVTSLTDIY
+>DECOY_sp|O00462|MANBA_HUMAN Beta-mannosidase OS=Homo sapiens OX=9606 GN=MANBA PE=2 SV=3
+YIDTLSTVHFSQELENKSTPEWPYFLITRTKETMLFGNDSFRGPISGVDLWVFPAVASTELDFVFIDGQQSIIATIQAKCLGVAEKPSSLFHYNTPSLLEHDASLYFSVVCSERTCNGCRRLLESVPEEYLCVAEGGKMVFRETVRSCVPELSSWTHVRVSLTMSYDSHLDSVGYIYFTNENEFGVPLLPAFFNQAFYHLMKWKGGYELSAWSPAQWIDNLQWYLAGMTHGQQDVIESRSRRYFETETKVCQAQMVQTLYITDKFTRLPDTSQPLKFHLGAQYLMQKNGGEHHQRHLSFKSNFSWDETSSVKELTSFSPWSQYGYESAFRAKPFVKWNWCDSIYDYFHVDGFYNSNPNQSVWAEAVTEAGNTPSSTIFPRSKDGALVLERINKVYLTVYDKIYIPRDTFSIHYWNMMLAEENENNGSWIIISPHSKLRKIQYAVEATVSDLFGQDTPYLACAFMFDQWVMIGLEDCLEYFEDQEYIGGGWVRLTNMNADVVSQLLLRLLESTVRDQFSDAPIWNSGKLFIPFGNIKFYFSLGPSGKIPEEILEVTRFYVKASKEINLGGDLEFLVTMNYGTQNGHGHPWWTEVTINKSINVFLEVIRKGPQLEISYTQQTQLKPIAVIVQGGVPKSSVVDFTSEIELNWEQASKDYIPSFTFYNLHCINYAEIRVDKWIGQTPFSPGWDWSFSCQEKRVFNVHCEGKQVLPPCDPPVQYRTHAKSQQAAYLVASQFRLEISNVDRVVNTIDFSYRNFMNDTEGITVENFLIKSVTDVGELILNVKQWKSIEFPIKFEKSYTWNDLSVWRYNLDNFRYYSDQILGQQFLASHVCGPVAGPLELSGNGNCISWNGRLSYSLEAATTGAGCLALLLLLHLRM
+>sp|Q16798|MAON_HUMAN NADP-dependent malic enzyme, mitochondrial OS=Homo sapiens OX=9606 GN=ME3 PE=2 SV=2
+MGAALGTGTRLAPWPGRACGALPRWTPTAPAQGCHSKPGPARPVPLKKRGYDVTRNPHLNKGMAFTLEERLQLGIHGLIPPCFLSQDVQLLRIMRYYERQQSDLDKYIILMTLQDRNEKLFYRVLTSDVEKFMPIVYTPTVGLACQHYGLTFRRPRGLFITIHDKGHLATMLNSWPEDNIKAVVVTDGERILGLGDLGCYGMGIPVGKLALYTACGGVNPQQCLPVLLDVGTNNEELLRDPLYIGLKHQRVHGKAYDDLLDEFMQAVTDKFGINCLIQFEDFANANAFRLLNKYRNKYCMFNDDIQGTASVAVAGILAALRITKNKLSNHVFVFQGAGEAAMGIAHLLVMALEKEGVPKAEATRKIWMVDSKGLIVKGRSHLNHEKEMFAQDHPEVNSLEEVVRLVKPTAIIGVAAIAGAFTEQILRDMASFHERPIIFALSNPTSKAECTAEKCYRVTEGRGIFASGSPFKSVTLEDGKTFIPGQGNNAYVFPGVALGVIAGGIRHIPDEIFLLTAEQIAQEVSEQHLSQGRLYPPLSTIRDVSLRIAIKVLDYAYKHNLASYYPEPKDKEAFVRSLVYTPDYDSFTLDSYTWPKEAMNVQTV
+>DECOY_sp|Q16798|MAON_HUMAN NADP-dependent malic enzyme, mitochondrial OS=Homo sapiens OX=9606 GN=ME3 PE=2 SV=2
+VTQVNMAEKPWTYSDLTFSDYDPTYVLSRVFAEKDKPEPYYSALNHKYAYDLVKIAIRLSVDRITSLPPYLRGQSLHQESVEQAIQEATLLFIEDPIHRIGGAIVGLAVGPFVYANNGQGPIFTKGDELTVSKFPSGSAFIGRGETVRYCKEATCEAKSTPNSLAFIIPREHFSAMDRLIQETFAGAIAAVGIIATPKVLRVVEELSNVEPHDQAFMEKEHNLHSRGKVILGKSDVMWIKRTAEAKPVGEKELAMVLLHAIGMAAEGAGQFVFVHNSLKNKTIRLAALIGAVAVSATGQIDDNFMCYKNRYKNLLRFANANAFDEFQILCNIGFKDTVAQMFEDLLDDYAKGHVRQHKLGIYLPDRLLEENNTGVDLLVPLCQQPNVGGCATYLALKGVPIGMGYCGLDGLGLIREGDTVVVAKINDEPWSNLMTALHGKDHITIFLGRPRRFTLGYHQCALGVTPTYVIPMFKEVDSTLVRYFLKENRDQLTMLIIYKDLDSQQREYYRMIRLLQVDQSLFCPPILGHIGLQLREELTFAMGKNLHPNRTVDYGRKKLPVPRAPGPKSHCGQAPATPTWRPLAGCARGPWPALRTGTGLAAGM
+>sp|P48163|MAOX_HUMAN NADP-dependent malic enzyme OS=Homo sapiens OX=9606 GN=ME1 PE=1 SV=1
+MEPEAPRRRHTHQRGYLLTRNPHLNKDLAFTLEERQQLNIHGLLPPSFNSQEIQVLRVVKNFEHLNSDFDRYLLLMDLQDRNEKLFYRVLTSDIEKFMPIVYTPTVGLACQQYSLVFRKPRGLFITIHDRGHIASVLNAWPEDVIKAIVVTDGERILGLGDLGCNGMGIPVGKLALYTACGGMNPQECLPVILDVGTENEELLKDPLYIGLRQRRVRGSEYDDFLDEFMEAVSSKYGMNCLIQFEDFANVNAFRLLNKYRNQYCTFNDDIQGTASVAVAGLLAALRITKNKLSDQTILFQGAGEAALGIAHLIVMALEKEGLPKEKAIKKIWLVDSKGLIVKGRASLTQEKEKFAHEHEEMKNLEAIVQEIKPTALIGVAAIGGAFSEQILKDMAAFNERPIIFALSNPTSKAECSAEQCYKITKGRAIFASGSPFDPVTLPNGQTLYPGQGNNSYVFPGVALGVVACGLRQITDNIFLTTAEVIAQQVSDKHLEEGRLYPPLNTIRDVSLKIAEKIVKDAYQEKTATVYPEPQNKEAFVRSQMYSTDYDQILPDCYSWPEEVQKIQTKVDQ
+>DECOY_sp|P48163|MAOX_HUMAN NADP-dependent malic enzyme OS=Homo sapiens OX=9606 GN=ME1 PE=1 SV=1
+QDVKTQIKQVEEPWSYCDPLIQDYDTSYMQSRVFAEKNQPEPYVTATKEQYADKVIKEAIKLSVDRITNLPPYLRGEELHKDSVQQAIVEATTLFINDTIQRLGCAVVGLAVGPFVYSNNGQGPYLTQGNPLTVPDFPSGSAFIARGKTIKYCQEASCEAKSTPNSLAFIIPRENFAAMDKLIQESFAGGIAAVGILATPKIEQVIAELNKMEEHEHAFKEKEQTLSARGKVILGKSDVLWIKKIAKEKPLGEKELAMVILHAIGLAAEGAGQFLITQDSLKNKTIRLAALLGAVAVSATGQIDDNFTCYQNRYKNLLRFANVNAFDEFQILCNMGYKSSVAEMFEDLFDDYESGRVRRQRLGIYLPDKLLEENETGVDLIVPLCEQPNMGGCATYLALKGVPIGMGNCGLDGLGLIREGDTVVIAKIVDEPWANLVSAIHGRDHITIFLGRPKRFVLSYQQCALGVTPTYVIPMFKEIDSTLVRYFLKENRDQLDMLLLYRDFDSNLHEFNKVVRLVQIEQSNFSPPLLGHINLQQREELTFALDKNLHPNRTLLYGRQHTHRRRPAEPEM
+>sp|P46821|MAP1B_HUMAN Microtubule-associated protein 1B OS=Homo sapiens OX=9606 GN=MAP1B PE=1 SV=2
+MATVVVEATEPEPSGSIANPAASTSPSLSHRFLDSKFYLLVVVGEIVTEEHLRRAIGNIELGIRSWDTNLIECNLDQELKLFVSRHSARFSPEVPGQKILHHRSDVLETVVLINPSDEAVSTEVRLMITDAARHKLLVLTGQCFENTGELILQSGSFSFQNFIEIFTDQEIGELLSTTHPANKASLTLFCPEEGDWKNSNLDRHNLQDFINIKLNSASILPEMEGLSEFTEYLSESVEVPSPFDILEPPTSGGFLKLSKPCCYIFPGGRGDSALFAVNGFNMLINGGSERKSCFWKLIRHLDRVDSILLTHIGDDNLPGINSMLQRKIAELEEEQSQGSTTNSDWMKNLISPDLGVVFLNVPENLKNPEPNIKMKRSIEEACFTLQYLNKLSMKPEPLFRSVGNTIDPVILFQKMGVGKLEMYVLNPVKSSKEMQYFMQQWTGTNKDKAEFILPNGQEVDLPISYLTSVSSLIVWHPANPAEKIIRVLFPGNSTQYNILEGLEKLKHLDFLKQPLATQKDLTGQVPTPVVKQTKLKQRADSRESLKPAAKPLPSKSVRKESKEETPEVTKVNHVEKPPKVESKEKVMVKKDKPIKTETKPSVTEKEVPSKEEPSPVKAEVAEKQATDVKPKAAKEKTVKKETKVKPEDKKEEKEKPKKEVAKKEDKTPIKKEEKPKKEEVKKEVKKEIKKEEKKEPKKEVKKETPPKEVKKEVKKEEKKEVKKEEKEPKKEIKKLPKDAKKSSTPLSEAKKPAALKPKVPKKEESVKKDSVAAGKPKEKGKIKVIKKEGKAAEAVAAAVGTGATTAAVMAAAGIAAIGPAKELEAERSLMSSPEDLTKDFEELKAEEVDVTKDIKPQLELIEDEEKLKETEPVEAYVIQKEREVTKGPAESPDEGITTTEGEGECEQTPEELEPVEKQGVDDIEKFEDEGAGFEESSETGDYEEKAETEEAEEPEEDGEEHVCVSASKHSPTEDEESAKAEADAYIREKRESVASGDDRAEEDMDEAIEKGEAEQSEEEADEEDKAEDAREEEYEPEKMEAEDYVMAVVDKAAEAGGAEEQYGFLTTPTKQLGAQSPGREPASSIHDETLPGGSESEATASDEENREDQPEEFTATSGYTQSTIEISSEPTPMDEMSTPRDVMSDETNNEETESPSQEFVNITKYESSLYSQEYSKPADVTPLNGFSEGSKTDATDGKDYNASASTISPPSSMEEDKFSRSALRDAYCSEVKASTTLDIKDSISAVSSEKVSPSKSPSLSPSPPSPLEKTPLGERSVNFSLTPNEIKVSAEAEVAPVSPEVTQEVVEEHCASPEDKTLEVVSPSQSVTGSAGHTPYYQSPTDEKSSHLPTEVIEKPPAVPVSFEFSDAKDENERASVSPMDEPVPDSESPIEKVLSPLRSPPLIGSESAYESFLSADDKASGRGAESPFEEKSGKQGSPDQVSPVSEMTSTSLYQDKQEGKSTDFAPIKEDFGQEKKTDDVEAMSSQPALALDERKLGDVSPTQIDVSQFGSFKEDTKMSISEGTVSDKSATPVDEGVAEDTYSHMEGVASVSTASVATSSFPEPTTDDVSPSLHAEVGSPHSTEVDDSLSVSVVQTPTTFQETEMSPSKEECPRPMSISPPDFSPKTAKSRTPVQDHRSEQSSMSIEFGQESPEQSLAMDFSRQSPDHPTVGAGVLHITENGPTEVDYSPSDMQDSSLSHKIPPMEEPSYTQDNDLSELISVSQVEASPSTSSAHTPSQIASPLQEDTLSDVAPPRDMSLYASLTSEKVQSLEGEKLSPKSDISPLTPRESSPLYSPTFSDSTSAVKEKTATCHSSSSPPIDAASAEPYGFRASVLFDTMQHHLALNRDLSTPGLEKDSGGKTPGDFSYAYQKPEETTRSPDEEDYDYESYEKTTRTSDVGGYYYEKIERTTKSPSDSGYSYETIGKTTKTPEDGDYSYEIIEKTTRTPEEGGYSYDISEKTTSPPEVSGYSYEKTERSRRLLDDISNGYDDSEDGGHTLGDPSYSYETTEKITSFPESEGYSYETSTKTTRTPDTSTYCYETAEKITRTPQASTYSYETSDLCYTAEKKSPSEARQDVDLCLVSSCEYKHPKTELSPSFINPNPLEWFASEEPTEESEKPLTQSGGAPPPPGGKQQGRQCDETPPTSVSESAPSQTDSDVPPETEECPSITADANIDSEDESETIPTDKTVTYKHMDPPPAPVQDRSPSPRHPDVSMVDPEALAIEQNLGKALKKDLKEKTKTKKPGTKTKSSSPVKKSDGKSKPLAASPKPAGLKESSDKVSRVASPKKKESVEKAAKPTTTPEVKAARGEEKDKETKNAANASASKSAKTATAGPGTTKTTKSSAVPPGLPVYLDLCYIPNHSNSKNVDVEFFKRVRSSYYVVSGNDPAAEEPSRAVLDALLEGKAQWGSNMQVTLIPTHDSEVMREWYQETHEKQQDLNIMVLASSSTVVMQDESFPACKIEL
+>DECOY_sp|P46821|MAP1B_HUMAN Microtubule-associated protein 1B OS=Homo sapiens OX=9606 GN=MAP1B PE=1 SV=2
+LEIKCAPFSEDQMVVTSSSALVMINLDQQKEHTEQYWERMVESDHTPILTVQMNSGWQAKGELLADLVARSPEEAAPDNGSVVYYSSRVRKFFEVDVNKSNSHNPIYCLDLYVPLGPPVASSKTTKTTGPGATATKASKSASANAANKTEKDKEEGRAAKVEPTTTPKAAKEVSEKKKPSAVRSVKDSSEKLGAPKPSAALPKSKGDSKKVPSSSKTKTGPKKTKTKEKLDKKLAKGLNQEIALAEPDVMSVDPHRPSPSRDQVPAPPPDMHKYTVTKDTPITESEDESDINADATISPCEETEPPVDSDTQSPASESVSTPPTEDCQRGQQKGGPPPPAGGSQTLPKESEETPEESAFWELPNPNIFSPSLETKPHKYECSSVLCLDVDQRAESPSKKEATYCLDSTEYSYTSAQPTRTIKEATEYCYTSTDPTRTTKTSTEYSYGESEPFSTIKETTEYSYSPDGLTHGGDESDDYGNSIDDLLRRSRETKEYSYGSVEPPSTTKESIDYSYGGEEPTRTTKEIIEYSYDGDEPTKTTKGITEYSYGSDSPSKTTREIKEYYYGGVDSTRTTKEYSEYDYDEEDPSRTTEEPKQYAYSFDGPTKGGSDKELGPTSLDRNLALHHQMTDFLVSARFGYPEASAADIPPSSSSHCTATKEKVASTSDSFTPSYLPSSERPTLPSIDSKPSLKEGELSQVKESTLSAYLSMDRPPAVDSLTDEQLPSAIQSPTHASSTSPSAEVQSVSILESLDNDQTYSPEEMPPIKHSLSSDQMDSPSYDVETPGNETIHLVGAGVTPHDPSQRSFDMALSQEPSEQGFEISMSSQESRHDQVPTRSKATKPSFDPPSISMPRPCEEKSPSMETEQFTTPTQVVSVSLSDDVETSHPSGVEAHLSPSVDDTTPEPFSSTAVSATSVSAVGEMHSYTDEAVGEDVPTASKDSVTGESISMKTDEKFSGFQSVDIQTPSVDGLKREDLALAPQSSMAEVDDTKKEQGFDEKIPAFDTSKGEQKDQYLSTSTMESVPSVQDPSGQKGSKEEFPSEAGRGSAKDDASLFSEYASESGILPPSRLPSLVKEIPSESDPVPEDMPSVSARENEDKADSFEFSVPVAPPKEIVETPLHSSKEDTPSQYYPTHGASGTVSQSPSVVELTKDEPSACHEEVVEQTVEPSVPAVEAEASVKIENPTLSFNVSREGLPTKELPSPPSPSLSPSKSPSVKESSVASISDKIDLTTSAKVESCYADRLASRSFKDEEMSSPPSITSASANYDKGDTADTKSGESFGNLPTVDAPKSYEQSYLSSEYKTINVFEQSPSETEENNTEDSMVDRPTSMEDMPTPESSIEITSQTYGSTATFEEPQDERNEEDSATAESESGGPLTEDHISSAPERGPSQAGLQKTPTTLFGYQEEAGGAEAAKDVVAMVYDEAEMKEPEYEEERADEAKDEEDAEEESQEAEGKEIAEDMDEEARDDGSAVSERKERIYADAEAKASEEDETPSHKSASVCVHEEGDEEPEEAEETEAKEEYDGTESSEEFGAGEDEFKEIDDVGQKEVPELEEPTQECEGEGETTTIGEDPSEAPGKTVEREKQIVYAEVPETEKLKEEDEILELQPKIDKTVDVEEAKLEEFDKTLDEPSSMLSREAELEKAPGIAAIGAAAMVAATTAGTGVAAAVAEAAKGEKKIVKIKGKEKPKGAAVSDKKVSEEKKPVKPKLAAPKKAESLPTSSKKADKPLKKIEKKPEKEEKKVEKKEEKKVEKKVEKPPTEKKVEKKPEKKEEKKIEKKVEKKVEEKKPKEEKKIPTKDEKKAVEKKPKEKEEKKDEPKVKTEKKVTKEKAAKPKVDTAQKEAVEAKVPSPEEKSPVEKETVSPKTETKIPKDKKVMVKEKSEVKPPKEVHNVKTVEPTEEKSEKRVSKSPLPKAAPKLSERSDARQKLKTQKVVPTPVQGTLDKQTALPQKLFDLHKLKELGELINYQTSNGPFLVRIIKEAPNAPHWVILSSVSTLYSIPLDVEQGNPLIFEAKDKNTGTWQQMFYQMEKSSKVPNLVYMELKGVGMKQFLIVPDITNGVSRFLPEPKMSLKNLYQLTFCAEEISRKMKINPEPNKLNEPVNLFVVGLDPSILNKMWDSNTTSGQSQEEELEAIKRQLMSNIGPLNDDGIHTLLISDVRDLHRILKWFCSKRESGGNILMNFGNVAFLASDGRGGPFIYCCPKSLKLFGGSTPPELIDFPSPVEVSESLYETFESLGEMEPLISASNLKINIFDQLNHRDLNSNKWDGEEPCFLTLSAKNAPHTTSLLEGIEQDTFIEIFNQFSFSGSQLILEGTNEFCQGTLVLLKHRAADTIMLRVETSVAEDSPNILVVTELVDSRHHLIKQGPVEPSFRASHRSVFLKLEQDLNCEILNTDWSRIGLEINGIARRLHEETVIEGVVVLLYFKSDLFRHSLSPSTSAAPNAISGSPEPETAEVVVTAM
+>sp|Q49MG5|MAP9_HUMAN Microtubule-associated protein 9 OS=Homo sapiens OX=9606 GN=MAP9 PE=1 SV=3
+MSDEVFSTTLAYTKSPKVTKRTTFQDELIRAITARSARQRSSEYSDDFDSDEIVSLGDFSDTSADENSVNKKMNDFHISDDEEKNPSKLLFLKTNKSNGNITKDEPVCAIKNEEEMAPDGCEDIVVKSFSESQNKDEEFEKDKIKMKPKPRILSIKSTSSAENNSLDTDDHFKPSPRPRSMLKKKSHMEEKDGLEDKETALSEELELHSAPSSLPTPNGIQLEAEKKAFSENLDPEDSCLTSLASSSLKQILGDSFSPGSEGNASGKDPNEEITENHNSLKSDENKENSFSADHVTTAVEKSKESQVTADDLEEEKAKAELIMDDDRTVDPLLSKSQSILISTSATASSKKTIEDRNIKNKKSTNNRASSASARLMTSEFLKKSSSKRRTPSTTTSSHYLGTLKVLDQKPSQKQSIEPDRADNIRAAVYQEWLEKKNVYLHEMHRIKRIESENLRIQNEQKKAAKREEALASFEAWKAMKEKEAKKIAAKKRLEEKNKKKTEEENAARKGEALQAFEKWKEKKMEYLKEKNRKEREYERAKKQKEEETVAEKKKDNLTAVEKWNEKKEAFFKQKEKEKINEKRKEELKRAEKKDKDKQAINEYEKWLENKEKQERIERKQKKRHSFLESEALPPWSPPSRTVFAKVF
+>DECOY_sp|Q49MG5|MAP9_HUMAN Microtubule-associated protein 9 OS=Homo sapiens OX=9606 GN=MAP9 PE=1 SV=3
+FVKAFVTRSPPSWPPLAESELFSHRKKQKREIREQKEKNELWKEYENIAQKDKDKKEARKLEEKRKENIKEKEKQKFFAEKKENWKEVATLNDKKKEAVTEEEKQKKAREYEREKRNKEKLYEMKKEKWKEFAQLAEGKRAANEEETKKKNKEELRKKAAIKKAEKEKMAKWAEFSALAEERKAAKKQENQIRLNESEIRKIRHMEHLYVNKKELWEQYVAARINDARDPEISQKQSPKQDLVKLTGLYHSSTTTSPTRRKSSSKKLFESTMLRASASSARNNTSKKNKINRDEITKKSSATASTSILISQSKSLLPDVTRDDDMILEAKAKEEELDDATVQSEKSKEVATTVHDASFSNEKNEDSKLSNHNETIEENPDKGSANGESGPSFSDGLIQKLSSSALSTLCSDEPDLNESFAKKEAELQIGNPTPLSSPASHLELEESLATEKDELGDKEEMHSKKKLMSRPRPSPKFHDDTDLSNNEASSTSKISLIRPKPKMKIKDKEFEEDKNQSESFSKVVIDECGDPAMEEENKIACVPEDKTINGNSKNTKLFLLKSPNKEEDDSIHFDNMKKNVSNEDASTDSFDGLSVIEDSDFDDSYESSRQRASRATIARILEDQFTTRKTVKPSKTYALTTSFVEDSM
+>sp|Q16644|MAPK3_HUMAN MAP kinase-activated protein kinase 3 OS=Homo sapiens OX=9606 GN=MAPKAPK3 PE=1 SV=1
+MDGETAEEQGGPVPPPVAPGGPGLGGAPGGRREPKKYAVTDDYQLSKQVLGLGVNGKVLECFHRRTGQKCALKLLYDSPKARQEVDHHWQASGGPHIVCILDVYENMHHGKRCLLIIMECMEGGELFSRIQERGDQAFTEREAAEIMRDIGTAIQFLHSHNIAHRDVKPENLLYTSKEKDAVLKLTDFGFAKETTQNALQTPCYTPYYVAPEVLGPEKYDKSCDMWSLGVIMYILLCGFPPFYSNTGQAISPGMKRRIRLGQYGFPNPEWSEVSEDAKQLIRLLLKTDPTERLTITQFMNHPWINQSMVVPQTPLHTARVLQEDKDHWDEVKEEMTSALATMRVDYDQVKIKDLKTSNNRLLNKRRKKQAGSSSASQGCNNQ
+>DECOY_sp|Q16644|MAPK3_HUMAN MAP kinase-activated protein kinase 3 OS=Homo sapiens OX=9606 GN=MAPKAPK3 PE=1 SV=1
+QNNCGQSASSSGAQKKRRKNLLRNNSTKLDKIKVQDYDVRMTALASTMEEKVEDWHDKDEQLVRATHLPTQPVVMSQNIWPHNMFQTITLRETPDTKLLLRILQKADESVESWEPNPFGYQGLRIRRKMGPSIAQGTNSYFPPFGCLLIYMIVGLSWMDCSKDYKEPGLVEPAVYYPTYCPTQLANQTTEKAFGFDTLKLVADKEKSTYLLNEPKVDRHAINHSHLFQIATGIDRMIEAAERETFAQDGREQIRSFLEGGEMCEMIILLCRKGHHMNEYVDLICVIHPGGSAQWHHDVEQRAKPSDYLLKLACKQGTRRHFCELVKGNVGLGLVQKSLQYDDTVAYKKPERRGGPAGGLGPGGPAVPPPVPGGQEEATEGDM
+>sp|Q8IW41|MAPK5_HUMAN MAP kinase-activated protein kinase 5 OS=Homo sapiens OX=9606 GN=MAPKAPK5 PE=1 SV=2
+MSEESDMDKAIKETSILEEYSINWTQKLGAGISGPVRVCVKKSTQERFALKILLDRPKARNEVRLHMMCATHPNIVQIIEVFANSVQFPHESSPRARLLIVMEMMEGGELFHRISQHRHFTEKQASQVTKQIALALRHCHLLNIAHRDLKPENLLFKDNSLDAPVKLCDFGFAKIDQGDLMTPQFTPYYVAPQVLEAQRRHQKEKSGIIPTSPTPYTYNKSCDLWSLGVIIYVMLCGYPPFYSKHHSRTIPKDMRRKIMTGSFEFPEEEWSQISEMAKDVVRKLLKVKPEERLTIEGVLDHPWLNSTEALDNVLPSAQLMMDKAVVAGIQQAHAEQLANMRIQDLKVSLKPLHSVNNPILRKRKLLGTKPKDSVYIHDHENGAEDSNVALEKLRDVIAQCILPQAGKGENEDEKLNEVMQEAWKYNRECKLLRDTLQSFSWNGRGFTDKVDRLKLAEIVKQVIEEQTTSHESQ
+>DECOY_sp|Q8IW41|MAPK5_HUMAN MAP kinase-activated protein kinase 5 OS=Homo sapiens OX=9606 GN=MAPKAPK5 PE=1 SV=2
+QSEHSTTQEEIVQKVIEALKLRDVKDTFGRGNWSFSQLTDRLLKCERNYKWAEQMVENLKEDENEGKGAQPLICQAIVDRLKELAVNSDEAGNEHDHIYVSDKPKTGLLKRKRLIPNNVSHLPKLSVKLDQIRMNALQEAHAQQIGAVVAKDMMLQASPLVNDLAETSNLWPHDLVGEITLREEPKVKLLKRVVDKAMESIQSWEEEPFEFSGTMIKRRMDKPITRSHHKSYFPPYGCLMVYIIVGLSWLDCSKNYTYPTPSTPIIGSKEKQHRRQAELVQPAVYYPTFQPTMLDGQDIKAFGFDCLKVPADLSNDKFLLNEPKLDRHAINLLHCHRLALAIQKTVQSAQKETFHRHQSIRHFLEGGEMMEMVILLRARPSSEHPFQVSNAFVEIIQVINPHTACMMHLRVENRAKPRDLLIKLAFREQTSKKVCVRVPGSIGAGLKQTWNISYEELISTEKIAKDMDSEESM
+>sp|Q5VT66|MARC1_HUMAN Mitochondrial amidoxime-reducing component 1 OS=Homo sapiens OX=9606 GN=MARC1 PE=1 SV=1
+MGAAGSSALARFVLLAQSRPGWLGVAALGLTAVALGAVAWRRAWPTRRRRLLQQVGTVAQLWIYPVKSCKGVPVSEAECTAMGLRSGNLRDRFWLVINQEGNMVTARQEPRLVLISLTCDGDTLTLSAAYTKDLLLPIKTPTTNAVHKCRVHGLEIEGRDCGEATAQWITSFLKSQPYRLVHFEPHMRPRRPHQIADLFRPKDQIAYSDTSPFLILSEASLADLNSRLEKKVKATNFRPNIVISGCDVYAEDSWDELLIGDVELKRVMACSRCILTTVDPDTGVMSRKEPLETLKSYRQCDPSERKLYGKSPLFGQYFVLENPGTIKVGDPVYLLGQ
+>DECOY_sp|Q5VT66|MARC1_HUMAN Mitochondrial amidoxime-reducing component 1 OS=Homo sapiens OX=9606 GN=MARC1 PE=1 SV=1
+QGLLYVPDGVKITGPNELVFYQGFLPSKGYLKRESPDCQRYSKLTELPEKRSMVGTDPDVTTLICRSCAMVRKLEVDGILLEDWSDEAYVDCGSIVINPRFNTAKVKKELRSNLDALSAESLILFPSTDSYAIQDKPRFLDAIQHPRRPRMHPEFHVLRYPQSKLFSTIWQATAEGCDRGEIELGHVRCKHVANTTPTKIPLLLDKTYAASLTLTDGDCTLSILVLRPEQRATVMNGEQNIVLWFRDRLNGSRLGMATCEAESVPVGKCSKVPYIWLQAVTGVQQLLRRRRTPWARRWAVAGLAVATLGLAAVGLWGPRSQALLVFRALASSGAAGM
+>sp|Q9P2E8|MARH4_HUMAN E3 ubiquitin-protein ligase MARCH4 OS=Homo sapiens OX=9606 GN=MARCH4 PE=2 SV=2
+MLMPLCGLLWWWWCCCSGWYCYGLCAPAPQMLRHQGLLKCRCRMLFNDLKVFLLRRPPQAPLPMHGDPQPPGLAANNTLPALGAGGWAGWRGPREVVGREPPPVPPPPPLPPSSVEDDWGGPATEPPASLLSSASSDDFCKEKTEDRYSLGSSLDSGMRTPLCRICFQGPEQGELLSPCRCDGSVKCTHQPCLIKWISERGCWSCELCYYKYHVIAISTKNPLQWQAISLTVIEKVQVAAAILGSLFLIASISWLIWSTFSPSARWQRQDLLFQICYGMYGFMDVVCIGLIIHEGPSVYRIFKRWQAVNQQWKVLNYDKTKDLEDQKAGGRTNPRTSSSTQANIPSSEEETAGTPAPEQGPAQAAGHPSGPLSHHHCAYTILHILSHLRPHEQRSPPGSSRELVMRVTTV
+>DECOY_sp|Q9P2E8|MARH4_HUMAN E3 ubiquitin-protein ligase MARCH4 OS=Homo sapiens OX=9606 GN=MARCH4 PE=2 SV=2
+VTTVRMVLERSSGPPSRQEHPRLHSLIHLITYACHHHSLPGSPHGAAQAPGQEPAPTGATEEESSPINAQTSSSTRPNTRGGAKQDELDKTKDYNLVKWQQNVAQWRKFIRYVSPGEHIILGICVVDMFGYMGYCIQFLLDQRQWRASPSFTSWILWSISAILFLSGLIAAAVQVKEIVTLSIAQWQLPNKTSIAIVHYKYYCLECSWCGRESIWKILCPQHTCKVSGDCRCPSLLEGQEPGQFCIRCLPTRMGSDLSSGLSYRDETKEKCFDDSSASSLLSAPPETAPGGWDDEVSSPPLPPPPPVPPPERGVVERPGRWGAWGGAGLAPLTNNAALGPPQPDGHMPLPAQPPRRLLFVKLDNFLMRCRCKLLGQHRLMQPAPACLGYCYWGSCCCWWWWLLGCLPMLM
+>sp|Q9NX47|MARH5_HUMAN E3 ubiquitin-protein ligase MARCH5 OS=Homo sapiens OX=9606 GN=MARCH5 PE=1 SV=1
+MPDQALQQMLDRSCWVCFATDEDDRTAEWVRPCRCRGSTKWVHQACLQRWVDEKQRGNSTARVACPQCNAEYLIVFPKLGPVVYVLDLADRLISKACPFAAAGIMVGSIYWTAVTYGAVTVMQVVGHKEGLDVMERADPLFLLIGLPTIPVMLILGKMIRWEDYVLRLWRKYSNKLQILNSIFPGIGCPVPRIPAEANPLADHVSATRILCGALVFPTIATIVGKLMFSSVNSNLQRTILGGIAFVAIKGAFKVYFKQQQYLRQAHRKILNYPEQEEA
+>DECOY_sp|Q9NX47|MARH5_HUMAN E3 ubiquitin-protein ligase MARCH5 OS=Homo sapiens OX=9606 GN=MARCH5 PE=1 SV=1
+AEEQEPYNLIKRHAQRLYQQQKFYVKFAGKIAVFAIGGLITRQLNSNVSSFMLKGVITAITPFVLAGCLIRTASVHDALPNAEAPIRPVPCGIGPFISNLIQLKNSYKRWLRLVYDEWRIMKGLILMVPITPLGILLFLPDAREMVDLGEKHGVVQMVTVAGYTVATWYISGVMIGAAAFPCAKSILRDALDLVYVVPGLKPFVILYEANCQPCAVRATSNGRQKEDVWRQLCAQHVWKTSGRCRCPRVWEATRDDEDTAFCVWCSRDLMQQLAQDPM
+>sp|Q5T0T0|MARH8_HUMAN E3 ubiquitin-protein ligase MARCH8 OS=Homo sapiens OX=9606 GN=MARCH8 PE=1 SV=1
+MSMPLHQISAIPSQDAISARVYRSKTKEKEREEQNEKTLGHFMSHSSNISKAGSPPSASAPAPVSSFSRTSITPSSQDICRICHCEGDDESPLITPCHCTGSLHFVHQACLQQWIKSSDTRCCELCKYEFIMETKLKPLRKWEKLQMTSSERRKIMCSVTFHVIAITCVVWSLYVLIDRTAEEIKQGQATGILEWPFWTKLVVVAIGFTGGLLFMYVQCKVYVQLWKRLKAYNRVIYVQNCPETSKKNIFEKSPLTEPNFENKHGYGICHSDTNSSCCTEPEDTGAEIIHV
+>DECOY_sp|Q5T0T0|MARH8_HUMAN E3 ubiquitin-protein ligase MARCH8 OS=Homo sapiens OX=9606 GN=MARCH8 PE=1 SV=1
+VHIIEAGTDEPETCCSSNTDSHCIGYGHKNEFNPETLPSKEFINKKSTEPCNQVYIVRNYAKLRKWLQVYVKCQVYMFLLGGTFGIAVVVLKTWFPWELIGTAQGQKIEEATRDILVYLSWVVCTIAIVHFTVSCMIKRRESSTMQLKEWKRLPKLKTEMIFEYKCLECCRTDSSKIWQQLCAQHVFHLSGTCHCPTILPSEDDGECHCIRCIDQSSPTISTRSFSSVPAPASASPPSGAKSINSSHSMFHGLTKENQEEREKEKTKSRYVRASIADQSPIASIQHLPMSM
+>sp|A6NNE9|MARHB_HUMAN E3 ubiquitin-protein ligase MARCH11 OS=Homo sapiens OX=9606 GN=MARCH11 PE=2 SV=3
+MSFEGGHGGSRCRGAESGDAEPPPQPPPPPPPTPPPGEPAPVPAAPRYLPPLPASPETPERAAGPSEPLGEVAPRCRGADELPPPPLPLQPAGQEVAAAGDSGEGPRRLPEAAAAKGGPGESEAGAGGERERRGAGDQPETRSVCSSRSSSSGGGDQRAGHQHQHHQPICKICFQGAEQGELLNPCRCDGSVRYTHQLCLLKWISERGSWTCELCCYRYHVIAIKMKQPCQWQSISITLVEKVQMIAVILGSLFLIASVTWLLWSAFSPYAVWQRKDILFQICYGMYGFMDLVCIGLIVHEGAAVYRVFKRWRAVNLHWDVLNYDKATDIEESSRGESSTSRTLWLPLTALRNRNLVHPTQLTSPRFQCGYVLLHLFNRMRPHEDLSEDNSSGEVVMRVTSV
+>DECOY_sp|A6NNE9|MARHB_HUMAN E3 ubiquitin-protein ligase MARCH11 OS=Homo sapiens OX=9606 GN=MARCH11 PE=2 SV=3
+VSTVRMVVEGSSNDESLDEHPRMRNFLHLLVYGCQFRPSTLQTPHVLNRNRLATLPLWLTRSTSSEGRSSEEIDTAKDYNLVDWHLNVARWRKFVRYVAAGEHVILGICVLDMFGYMGYCIQFLIDKRQWVAYPSFASWLLWTVSAILFLSGLIVAIMQVKEVLTISISQWQCPQKMKIAIVHYRYCCLECTWSGRESIWKLLCLQHTYRVSGDCRCPNLLEGQEAGQFCIKCIPQHHQHQHGARQDGGGSSSSRSSCVSRTEPQDGAGRREREGGAGAESEGPGGKAAAAEPLRRPGEGSDGAAAVEQGAPQLPLPPPPLEDAGRCRPAVEGLPESPGAAREPTEPSAPLPPLYRPAAPVPAPEGPPPTPPPPPPPQPPPEADGSEAGRCRSGGHGGEFSM
+>sp|P27448|MARK3_HUMAN MAP/microtubule affinity-regulating kinase 3 OS=Homo sapiens OX=9606 GN=MARK3 PE=1 SV=5
+MSTRTPLPTVNERDTENHTSHGDGRQEVTSRTSRSGARCRNSIASCADEQPHIGNYRLLKTIGKGNFAKVKLARHILTGREVAIKIIDKTQLNPTSLQKLFREVRIMKILNHPNIVKLFEVIETEKTLYLIMEYASGGEVFDYLVAHGRMKEKEARSKFRQIVSAVQYCHQKRIVHRDLKAENLLLDADMNIKIADFGFSNEFTVGGKLDTFCGSPPYAAPELFQGKKYDGPEVDVWSLGVILYTLVSGSLPFDGQNLKELRERVLRGKYRIPFYMSTDCENLLKRFLVLNPIKRGTLEQIMKDRWINAGHEEDELKPFVEPELDISDQKRIDIMVGMGYSQEEIQESLSKMKYDEITATYLLLGRKSSELDASDSSSSSNLSLAKVRPSSDLNNSTGQSPHHKVQRSVSSSQKQRRYSDHAGPAIPSVVAYPKRSQTSTADSDLKEDGISSRKSSGSAVGGKGIAPASPMLGNASNPNKADIPERKKSSTVPSSNTASGGMTRRNTYVCSERTTADRHSVIQNGKENSTIPDQRTPVASTHSISSAATPDRIRFPRGTASRSTFHGQPRERRTATYNGPPASPSLSHEATPLSQTRSRGSTNLFSKLTSKLTRRNMSFRFIKRLPTEYERNGRYEGSSRNVSAEQKDENKEAKPRSLRFTWSMKTTSSMDPGDMMREIRKVLDANNCDYEQRERFLLFCVHGDGHAENLVQWEMEVCKLPRLSLNGVRFKRISGTSIAFKNIASKIANELKL
+>DECOY_sp|P27448|MARK3_HUMAN MAP/microtubule affinity-regulating kinase 3 OS=Homo sapiens OX=9606 GN=MARK3 PE=1 SV=5
+LKLENAIKSAINKFAISTGSIRKFRVGNLSLRPLKCVEMEWQVLNEAHGDGHVCFLLFRERQEYDCNNADLVKRIERMMDGPDMSSTTKMSWTFRLSRPKAEKNEDKQEASVNRSSGEYRGNREYETPLRKIFRFSMNRRTLKSTLKSFLNTSGRSRTQSLPTAEHSLSPSAPPGNYTATRRERPQGHFTSRSATGRPFRIRDPTAASSISHTSAVPTRQDPITSNEKGNQIVSHRDATTRESCVYTNRRTMGGSATNSSPVTSSKKREPIDAKNPNSANGLMPSAPAIGKGGVASGSSKRSSIGDEKLDSDATSTQSRKPYAVVSPIAPGAHDSYRRQKQSSSVSRQVKHHPSQGTSNNLDSSPRVKALSLNSSSSSDSADLESSKRGLLLYTATIEDYKMKSLSEQIEEQSYGMGVMIDIRKQDSIDLEPEVFPKLEDEEHGANIWRDKMIQELTGRKIPNLVLFRKLLNECDTSMYFPIRYKGRLVRERLEKLNQGDFPLSGSVLTYLIVGLSWVDVEPGDYKKGQFLEPAAYPPSGCFTDLKGGVTFENSFGFDAIKINMDADLLLNEAKLDRHVIRKQHCYQVASVIQRFKSRAEKEKMRGHAVLYDFVEGGSAYEMILYLTKETEIVEFLKVINPHNLIKMIRVERFLKQLSTPNLQTKDIIKIAVERGTLIHRALKVKAFNGKGITKLLRYNGIHPQEDACSAISNRCRAGSRSTRSTVEQRGDGHSTHNETDRENVTPLPTRTSM
+>sp|Q9Y2H9|MAST1_HUMAN Microtubule-associated serine/threonine-protein kinase 1 OS=Homo sapiens OX=9606 GN=MAST1 PE=1 SV=2
+MSDSLWTALSNFSMPSFPGGSMFRRTKSCRTSNRKSLILTSTSPTLPRPHSPLPGHLGSSPLDSPRNFSPNTPAHFSFASSRRADGRRWSLASLPSSGYGTNTPSSTVSSSCSSQERLHQLPYQPTVDELHFLSKHFGSTESITDEDGGRRSPAVRPRSRSLSPGRSPSSYDNEIVMMNHVYKERFPKATAQMEEKLRDFTRAYEPDSVLPLADGVLSFIHHQIIELARDCLTKSRDGLITTVYFYELQENLEKLLQDAYERSESLEVAFVTQLVKKLLIIISRPARLLECLEFNPEEFYHLLEAAEGHAKEGHLVKTDIPRYIIRQLGLTRDPFPDVVHLEEQDSGGSNTPEQDDLSEGRSSKAKKPPGENDFDTIKLISNGAYGAVYLVRHRDTRQRFAMKKINKQNLILRNQIQQAFVERDILTFAENPFVVGMFCSFETRRHLCMVMEYVEGGDCATLLKNIGALPVEMARMYFAETVLALEYLHNYGIVHRDLKPDNLLITSMGHIKLTDFGLSKMGLMSLTTNLYEGHIEKDAREFLDKQVCGTPEYIAPEVILRQGYGKPVDWWAMGIILYEFLVGCVPFFGDTPEELFGQVISDDILWPEGDEALPTEAQLLISSLLQTNPLVRLGAGGAFEVKQHSFFRDLDWTGLLRQKAEFIPHLESEDDTSYFDTRSDRYHHVNSYDEDDTTEEEPVEIRQFSSCSPRFSKVYSSMEQLSQHEPKTPVAAAGSSKREPSTKGPEEKVAGKREGLGGLTLREKTWRGGSPEIKRFSASEASFLEGEASPPLGARRRFSALLEPSRFSAPQEDEDEARLRRPPRPSSDPAGSLDARAPKEETQGEGTSSAGDSEATDRPRPGDLCPPSKDGDASGPRATNDLVLRRARHQQMSGDVAVEKRPSRTGGKVIKSASATALSVMIPAVDPHGSSPLASPMSPRSLSSNPSSRDSSPSRDYSPAVSGLRSPITIQRSGKKYGFTLRAIRVYMGDTDVYSVHHIVWHVEEGGPAQEAGLCAGDLITHVNGEPVHGMVHPEVVELILKSGNKVAVTTTPFENTSIRIGPARRSSYKAKMARRNKRPSAKEGQESKKRSSLFRKITKQSNLLHTSRSLSSLNRSLSSSDSLPGSPTHGLPARSPTHSYRSTPDSAYLGASSQSSSPASSTPNSPASSASHHIRPSTLHGLSPKLHRQYRSARCKSAGNIPLSPLAHTPSPTQASPPPLPGHTVGSSHTTQSFPAKLHSSPPVVRPRPKSAEPPRSPLLKRVQSAEKLGASLSADKKGALRKHSLEVGHPDFRKDFHGELALHSLAESDGETPPVEGLGAPRQVAVRRLGRQESPLSLGADPLLPEGASRPPVSSKEKESPGGAEACTPPRATTPGGRTLERDVGCTRHQSVQTEDGTGGMARAVAKAALSPVQEHETGRRSSSGEAGTPLVPIVVEPARPGAKAVVPQPLGADSKGLQEPAPLAPSVPEAPRGRERWVLEVVEERTTLSGPRSKPASPKLSPEPQTPSLAPAKCSAPSSAVTPVPPASLLGSGTKPQVGLTSRCPAEAVPPAGLTKKGVSSPAPPGP
+>DECOY_sp|Q9Y2H9|MAST1_HUMAN Microtubule-associated serine/threonine-protein kinase 1 OS=Homo sapiens OX=9606 GN=MAST1 PE=1 SV=2
+PGPPAPSSVGKKTLGAPPVAEAPCRSTLGVQPKTGSGLLSAPPVPTVASSPASCKAPALSPTQPEPSLKPSAPKSRPGSLTTREEVVELVWRERGRPAEPVSPALPAPEQLGKSDAGLPQPVVAKAGPRAPEVVIPVLPTGAEGSSSRRGTEHEQVPSLAAKAVARAMGGTGDETQVSQHRTCGVDRELTRGGPTTARPPTCAEAGGPSEKEKSSVPPRSAGEPLLPDAGLSLPSEQRGLRRVAVQRPAGLGEVPPTEGDSEALSHLALEGHFDKRFDPHGVELSHKRLAGKKDASLSAGLKEASQVRKLLPSRPPEASKPRPRVVPPSSHLKAPFSQTTHSSGVTHGPLPPPSAQTPSPTHALPSLPINGASKCRASRYQRHLKPSLGHLTSPRIHHSASSAPSNPTSSAPSSSQSSAGLYASDPTSRYSHTPSRAPLGHTPSGPLSDSSSLSRNLSSLSRSTHLLNSQKTIKRFLSSRKKSEQGEKASPRKNRRAMKAKYSSRRAPGIRISTNEFPTTTVAVKNGSKLILEVVEPHVMGHVPEGNVHTILDGACLGAEQAPGGEEVHWVIHHVSYVDTDGMYVRIARLTFGYKKGSRQITIPSRLGSVAPSYDRSPSSDRSSPNSSLSRPSMPSALPSSGHPDVAPIMVSLATASASKIVKGGTRSPRKEVAVDGSMQQHRARRLVLDNTARPGSADGDKSPPCLDGPRPRDTAESDGASSTGEGQTEEKPARADLSGAPDSSPRPPRRLRAEDEDEQPASFRSPELLASFRRRAGLPPSAEGELFSAESASFRKIEPSGGRWTKERLTLGGLGERKGAVKEEPGKTSPERKSSGAAAVPTKPEHQSLQEMSSYVKSFRPSCSSFQRIEVPEEETTDDEDYSNVHHYRDSRTDFYSTDDESELHPIFEAKQRLLGTWDLDRFFSHQKVEFAGGAGLRVLPNTQLLSSILLQAETPLAEDGEPWLIDDSIVQGFLEEPTDGFFPVCGVLFEYLIIGMAWWDVPKGYGQRLIVEPAIYEPTGCVQKDLFERADKEIHGEYLNTTLSMLGMKSLGFDTLKIHGMSTILLNDPKLDRHVIGYNHLYELALVTEAFYMRAMEVPLAGINKLLTACDGGEVYEMVMCLHRRTEFSCFMGVVFPNEAFTLIDREVFAQQIQNRLILNQKNIKKMAFRQRTDRHRVLYVAGYAGNSILKITDFDNEGPPKKAKSSRGESLDDQEPTNSGGSDQEELHVVDPFPDRTLGLQRIIYRPIDTKVLHGEKAHGEAAELLHYFEEPNFELCELLRAPRSIIILLKKVLQTVFAVELSESREYADQLLKELNEQLEYFYVTTILGDRSKTLCDRALEIIQHHIFSLVGDALPLVSDPEYARTFDRLKEEMQATAKPFREKYVHNMMVIENDYSSPSRGPSLSRSRPRVAPSRRGGDEDTISETSGFHKSLFHLEDVTPQYPLQHLREQSSCSSSVTSSPTNTGYGSSPLSALSWRRGDARRSSAFSFHAPTNPSFNRPSDLPSSGLHGPLPSHPRPLTPSTSTLILSKRNSTRCSKTRRFMSGGPFSPMSFNSLATWLSDSM
+>sp|P51948|MAT1_HUMAN CDK-activating kinase assembly factor MAT1 OS=Homo sapiens OX=9606 GN=MNAT1 PE=1 SV=1
+MDDQGCPRCKTTKYRNPSLKLMVNVCGHTLCESCVDLLFVRGAGNCPECGTPLRKSNFRVQLFEDPTVDKEVEIRKKVLKIYNKREEDFPSLREYNDFLEEVEEIVFNLTNNVDLDNTKKKMEIYQKENKDVIQKNKLKLTREQEELEEALEVERQENEQRRLFIQKEEQLQQILKRKNKQAFLDELESSDLPVALLLAQHKDRSTQLEMQLEKPKPVKPVTFSTGIKMGQHISLAPIHKLEEALYEYQPLQIETYGPHVPELEMLGRLGYLNHVRAASPQDLAGGYTSSLACHRALQDAFSGLFWQPS
+>DECOY_sp|P51948|MAT1_HUMAN CDK-activating kinase assembly factor MAT1 OS=Homo sapiens OX=9606 GN=MNAT1 PE=1 SV=1
+SPQWFLGSFADQLARHCALSSTYGGALDQPSAARVHNLYGLRGLMELEPVHPGYTEIQLPQYEYLAEELKHIPALSIHQGMKIGTSFTVPKVPKPKELQMELQTSRDKHQALLLAVPLDSSELEDLFAQKNKRKLIQQLQEEKQIFLRRQENEQREVELAEELEEQERTLKLKNKQIVDKNEKQYIEMKKKTNDLDVNNTLNFVIEEVEELFDNYERLSPFDEERKNYIKLVKKRIEVEKDVTPDEFLQVRFNSKRLPTGCEPCNGAGRVFLLDVCSECLTHGCVNVMLKLSPNRYKTTKCRPCGQDDM
+>sp|P42679|MATK_HUMAN Megakaryocyte-associated tyrosine-protein kinase OS=Homo sapiens OX=9606 GN=MATK PE=1 SV=1
+MAGRGSLVSWRAFHGCDSAEELPRVSPRFLRAWHPPPVSARMPTRRWAPGTQCITKCEHTRPKPGELAFRKGDVVTILEACENKSWYRVKHHTSGQEGLLAAGALREREALSADPKLSLMPWFHGKISGQEAVQQLQPPEDGLFLVRESARHPGDYVLCVSFGRDVIHYRVLHRDGHLTIDEAVFFCNLMDMVEHYSKDKGAICTKLVRPKRKHGTKSAEEELARAGWLLNLQHLTLGAQIGEGEFGAVLQGEYLGQKVAVKNIKCDVTAQAFLDETAVMTKMQHENLVRLLGVILHQGLYIVMEHVSKGNLVNFLRTRGRALVNTAQLLQFSLHVAEGMEYLESKKLVHRDLAARNILVSEDLVAKVSDFGLAKAERKGLDSSRLPVKWTAPEALKHGKFTSKSDVWSFGVLLWEVFSYGRAPYPKMSLKEVSEAVEKGYRMEPPEGCPGPVHVLMSSCWEAEPARRPPFRKLAEKLARELRSAGAPASVSGQDADGSTSPRSQEP
+>DECOY_sp|P42679|MATK_HUMAN Megakaryocyte-associated tyrosine-protein kinase OS=Homo sapiens OX=9606 GN=MATK PE=1 SV=1
+PEQSRPSTSGDADQGSVSAPAGASRLERALKEALKRFPPRRAPEAEWCSSMLVHVPGPCGEPPEMRYGKEVAESVEKLSMKPYPARGYSFVEWLLVGFSWVDSKSTFKGHKLAEPATWKVPLRSSDLGKREAKALGFDSVKAVLDESVLINRAALDRHVLKKSELYEMGEAVHLSFQLLQATNVLARGRTRLFNVLNGKSVHEMVIYLGQHLIVGLLRVLNEHQMKTMVATEDLFAQATVDCKINKVAVKQGLYEGQLVAGFEGEGIQAGLTLHQLNLLWGARALEEEASKTGHKRKPRVLKTCIAGKDKSYHEVMDMLNCFFVAEDITLHGDRHLVRYHIVDRGFSVCLVYDGPHRASERVLFLGDEPPQLQQVAEQGSIKGHFWPMLSLKPDASLAERERLAGAALLGEQGSTHHKVRYWSKNECAELITVVDGKRFALEGPKPRTHECKTICQTGPAWRRTPMRASVPPPHWARLFRPSVRPLEEASDCGHFARWSVLSGRGAM
+>sp|Q05BQ5|MBTD1_HUMAN MBT domain-containing protein 1 OS=Homo sapiens OX=9606 GN=MBTD1 PE=1 SV=2
+MFDGYDSCSEDTSSSSSSEESEEEVAPLPSNLPIIKNNGQVYTYPDGKSGMATCEMCGMVGVRDAFYSKTKRFCSVSCSRSYSSNSKKASILARLQGKPPTKKAKVLQKQPLVAKLAAYAQYQATLQNQAKTKAAVSMEGFSWGNYINSNSFIAAPVTCFKHAPMGTCWGDISENVRVEVPNTDCSLPTKVFWIAGIVKLAGYNALLRYEGFENDSGLDFWCNICGSDIHPVGWCAASGKPLVPPRTIQHKYTNWKAFLVKRLTGAKTLPPDFSQKVSESMQYPFKPCMRVEVVDKRHLCRTRVAVVESVIGGRLRLVYEESEDRTDDFWCHMHSPLIHHIGWSRSIGHRFKRSDITKKQDGHFDTPPHLFAKVKEVDQSGEWFKEGMKLEAIDPLNLSTICVATIRKVLADGFLMIGIDGSEAADGSDWFCYHATSPSIFPVGFCEINMIELTPPRGYTKLPFKWFDYLRETGSIAAPVKLFNKDVPNHGFRVGMKLEAVDLMEPRLICVATVTRIIHRLLRIHFDGWEEEYDQWVDCESPDLYPVGWCQLTGYQLQPPASQSSRENQSASSKQKKKAKSQQYKGHKKMTTLQLKEELLDGEDYNFLQGASDQESNGSANFYIKQEP
+>DECOY_sp|Q05BQ5|MBTD1_HUMAN MBT domain-containing protein 1 OS=Homo sapiens OX=9606 GN=MBTD1 PE=1 SV=2
+PEQKIYFNASGNSEQDSAGQLFNYDEGDLLEEKLQLTTMKKHGKYQQSKAKKKQKSSASQNERSSQSAPPQLQYGTLQCWGVPYLDPSECDVWQDYEEEWGDFHIRLLRHIIRTVTAVCILRPEMLDVAELKMGVRFGHNPVDKNFLKVPAAISGTERLYDFWKFPLKTYGRPPTLEIMNIECFGVPFISPSTAHYCFWDSGDAAESGDIGIMLFGDALVKRITAVCITSLNLPDIAELKMGEKFWEGSQDVEKVKAFLHPPTDFHGDQKKTIDSRKFRHGISRSWGIHHILPSHMHCWFDDTRDESEEYVLRLRGGIVSEVVAVRTRCLHRKDVVEVRMCPKFPYQMSESVKQSFDPPLTKAGTLRKVLFAKWNTYKHQITRPPVLPKGSAACWGVPHIDSGCINCWFDLGSDNEFGEYRLLANYGALKVIGAIWFVKTPLSCDTNPVEVRVNESIDGWCTGMPAHKFCTVPAAIFSNSNIYNGWSFGEMSVAAKTKAQNQLTAQYQAYAALKAVLPQKQLVKAKKTPPKGQLRALISAKKSNSSYSRSCSVSCFRKTKSYFADRVGVMGCMECTAMGSKGDPYTYVQGNNKIIPLNSPLPAVEEESEESSSSSSTDESCSDYGDFM
+>sp|O43324|MCA3_HUMAN Eukaryotic translation elongation factor 1 epsilon-1 OS=Homo sapiens OX=9606 GN=EEF1E1 PE=1 SV=1
+MAAAAELSLLEKSLGLSKGNKYSAQGERQIPVLQTNNGPSLTGLTTIAAHLVKQANKEYLLGSTAEEKAIVQQWLEYRVTQVDGHSSKNDIHTLLKDLNSYLEDKVYLTGYNFTLADILLYYGLHRFIVDLTVQEKEKYLNVSRWFCHIQHYPGIRQHLSSVVFIKNRLYTNSH
+>DECOY_sp|O43324|MCA3_HUMAN Eukaryotic translation elongation factor 1 epsilon-1 OS=Homo sapiens OX=9606 GN=EEF1E1 PE=1 SV=1
+HSNTYLRNKIFVVSSLHQRIGPYHQIHCFWRSVNLYKEKEQVTLDVIFRHLGYYLLIDALTFNYGTLYVKDELYSNLDKLLTHIDNKSSHGDVQTVRYELWQQVIAKEEATSGLLYEKNAQKVLHAAITTLGTLSPGNNTQLVPIQREGQASYKNGKSLGLSKELLSLEAAAAM
+>sp|Q5U623|MCAF2_HUMAN Activating transcription factor 7-interacting protein 2 OS=Homo sapiens OX=9606 GN=ATF7IP2 PE=1 SV=2
+MASPDRSKRKILKAKKTMPLSCRKQVEMLNKSRNVEALKTAIGSNVPSGNQSFSPSVITRTTEITKCSPSENGASSLDSNKNSISEKSKVFSQNCIKPVEEIVHSETKLEQVVCSYQKPSRTTESPSRVFTEEAKDSLNTSENDSEHQTNVTRSLFEHEGACSLKSSCCPPSVLSGVVQMPESTVTSTVGDKKTDQMVFHLETNSNSESHDKRQSDNILCSEDSGFVPVEKTPNLVNSVTSNNCADDILKTDECSRTSISNCESADSTWQSSLDTNNNSHYQKKRMFSENEENVKRMKTSEQINENICVSLERQTAFLEQVRHLIQQEIYSINYELFDKKLKELNQRIGKTECRNKHEGIADKLLAKIAKLQRRIKTVLLFQRNCLKPNMLSSNGASKVANSEAMILDKNLESVNSPIEKSSVNYEPSNPSEKGSKKINLSSDQNKSVSESNNDDVMLISVESPNLTTPITSNPTDTRKITSGNSSNSPNAEVMAVQKKLDSIIDLTKEGLSNCNTESPVSPLESHSKAASNSKETTPLAQNAVQVPESFEHLPPLPEPPAPLPELVDKTRDTLPPQKPELKVKRVFRPNGIALTWNITKINPKCAPVESYHLFLCHENSNNKLIWKKIGEIKALPLPMACTLSQFLASNRYYFTVQSKDIFGRYGPFCDIKSIPGFSENLT
+>DECOY_sp|Q5U623|MCAF2_HUMAN Activating transcription factor 7-interacting protein 2 OS=Homo sapiens OX=9606 GN=ATF7IP2 PE=1 SV=2
+TLNESFGPISKIDCFPGYRGFIDKSQVTFYYRNSALFQSLTCAMPLPLAKIEGIKKWILKNNSNEHCLFLHYSEVPACKPNIKTINWTLAIGNPRFVRKVKLEPKQPPLTDRTKDVLEPLPAPPEPLPPLHEFSEPVQVANQALPTTEKSNSAAKSHSELPSVPSETNCNSLGEKTLDIISDLKKQVAMVEANPSNSSNGSTIKRTDTPNSTIPTTLNPSEVSILMVDDNNSESVSKNQDSSLNIKKSGKESPNSPEYNVSSKEIPSNVSELNKDLIMAESNAVKSAGNSSLMNPKLCNRQFLLVTKIRRQLKAIKALLKDAIGEHKNRCETKGIRQNLEKLKKDFLEYNISYIEQQILHRVQELFATQRELSVCINENIQESTKMRKVNEENESFMRKKQYHSNNNTDLSSQWTSDASECNSISTRSCEDTKLIDDACNNSTVSNVLNPTKEVPVFGSDESCLINDSQRKDHSESNSNTELHFVMQDTKKDGVTSTVTSEPMQVVGSLVSPPCCSSKLSCAGEHEFLSRTVNTQHESDNESTNLSDKAEETFVRSPSETTRSPKQYSCVVQELKTESHVIEEVPKICNQSFVKSKESISNKNSDLSSAGNESPSCKTIETTRTIVSPSFSQNGSPVNSGIATKLAEVNRSKNLMEVQKRCSLPMTKKAKLIKRKSRDPSAM
+>sp|Q8N8R3|MCATL_HUMAN Mitochondrial basic amino acids transporter OS=Homo sapiens OX=9606 GN=SLC25A29 PE=1 SV=2
+MALDFLAGCAGGVAGVLVGHPFDTVKVRLQVQSVEKPQYRGTLHCFKSIIKQESVLGLYKGLGSPLMGLTFINALVFGVQGNTLRALGHDSPLNQFLAGAAAGAIQCVICCPMELAKTRLQLQDAGPARTYKGSLDCLAQIYGHEGLRGVNRGMVSTLLRETPSFGVYFLTYDALTRALGCEPGDRLLVPKLLLAGGTSGIVSWLSTYPVDVVKSRLQADGLRGAPRYRGILDCVHQSYRAEGWRVFTRGLASTLLRAFPVNAATFATVTVVLTYARGEEAGPEGEAVPAAPAGPALAQPSSL
+>DECOY_sp|Q8N8R3|MCATL_HUMAN Mitochondrial basic amino acids transporter OS=Homo sapiens OX=9606 GN=SLC25A29 PE=1 SV=2
+LSSPQALAPGAPAAPVAEGEPGAEEGRAYTLVVTVTAFTAANVPFARLLTSALGRTFVRWGEARYSQHVCDLIGRYRPAGRLGDAQLRSKVVDVPYTSLWSVIGSTGGALLLKPVLLRDGPECGLARTLADYTLFYVGFSPTERLLTSVMGRNVGRLGEHGYIQALCDLSGKYTRAPGADQLQLRTKALEMPCCIVCQIAGAAAGALFQNLPSDHGLARLTNGQVGFVLANIFTLGMLPSGLGKYLGLVSEQKIISKFCHLTGRYQPKEVSQVQLRVKVTDFPHGVLVGAVGGACGALFDLAM
+>sp|O60942|MCE1_HUMAN mRNA-capping enzyme OS=Homo sapiens OX=9606 GN=RNGTT PE=1 SV=1
+MAHNKIPPRWLNCPRRGQPVAGRFLPLKTMLGPRYDSQVAEENRFHPSMLSNYLKSLKVKMGLLVDLTNTSRFYDRNDIEKEGIKYIKLQCKGHGECPTTENTETFIRLCERFNERNPPELIGVHCTHGFNRTGFLICAFLVEKMDWSIEAAVATFAQARPPGIYKGDYLKELFRRYGDIEEAPPPPLLPDWCFEDDEDEDEDEDGKKESEPGSSASFGKRRKERLKLGAIFLEGVTVKGVTQVTTQPKLGEVQQKCHQFCGWEGSGFPGAQPVSMDKQNIKLLDLKPYKVSWKADGTRYMMLIDGTNEVFMIDRDNSVFHVSNLEFPFRKDLRMHLSNTLLDGEMIIDRVNGQAVPRYLIYDIIKFNSQPVGDCDFNVRLQCIEREIISPRHEKMKTGLIDKTQEPFSVRNKPFFDICTSRKLLEGNFAKEVSHEMDGLIFQPTGKYKPGRCDDILKWKPPSLNSVDFRLKITRMGGEGLLPQNVGLLYVGGYERPFAQIKVTKELKQYDNKIIECKFENNSWVFMRQRTDKSFPNAYNTAMAVCNSISNPVTKEMLFEFIDRCTAASQGQKRKHHLDPDTELMPPPPPKRPRPLT
+>DECOY_sp|O60942|MCE1_HUMAN mRNA-capping enzyme OS=Homo sapiens OX=9606 GN=RNGTT PE=1 SV=1
+TLPRPRKPPPPPMLETDPDLHHKRKQGQSAATCRDIFEFLMEKTVPNSISNCVAMATNYANPFSKDTRQRMFVWSNNEFKCEIIKNDYQKLEKTVKIQAFPREYGGVYLLGVNQPLLGEGGMRTIKLRFDVSNLSPPKWKLIDDCRGPKYKGTPQFILGDMEHSVEKAFNGELLKRSTCIDFFPKNRVSFPEQTKDILGTKMKEHRPSIIEREICQLRVNFDCDGVPQSNFKIIDYILYRPVAQGNVRDIIMEGDLLTNSLHMRLDKRFPFELNSVHFVSNDRDIMFVENTGDILMMYRTGDAKWSVKYPKLDLLKINQKDMSVPQAGPFGSGEWGCFQHCKQQVEGLKPQTTVQTVGKVTVGELFIAGLKLREKRRKGFSASSGPESEKKGDEDEDEDEDDEFCWDPLLPPPPAEEIDGYRRFLEKLYDGKYIGPPRAQAFTAVAAEISWDMKEVLFACILFGTRNFGHTCHVGILEPPNRENFRECLRIFTETNETTPCEGHGKCQLKIYKIGEKEIDNRDYFRSTNTLDVLLGMKVKLSKLYNSLMSPHFRNEEAVQSDYRPGLMTKLPLFRGAVPQGRRPCNLWRPPIKNHAM
+>sp|Q96PE7|MCEE_HUMAN Methylmalonyl-CoA epimerase, mitochondrial OS=Homo sapiens OX=9606 GN=MCEE PE=1 SV=1
+MARVLKAAAANAVGLFSRLQAPIPTVRASSTSQPLDQVTGSVWNLGRLNHVAIAVPDLEKAAAFYKNILGAQVSEAVPLPEHGVSVVFVNLGNTKMELLHPLGRDSPIAGFLQKNKAGGMHHICIEVDNINAAVMDLKKKKIRSLSEEVKIGAHGKPVIFLHPKDCGGVLVELEQA
+>DECOY_sp|Q96PE7|MCEE_HUMAN Methylmalonyl-CoA epimerase, mitochondrial OS=Homo sapiens OX=9606 GN=MCEE PE=1 SV=1
+AQELEVLVGGCDKPHLFIVPKGHAGIKVEESLSRIKKKKLDMVAANINDVEICIHHMGGAKNKQLFGAIPSDRGLPHLLEMKTNGLNVFVVSVGHEPLPVAESVQAGLINKYFAAAKELDPVAIAVHNLRGLNWVSGTVQDLPQSTSSARVTPIPAQLRSFLGVANAAAAKLVRAM
+>sp|Q8IX19|MCEM1_HUMAN Mast cell-expressed membrane protein 1 OS=Homo sapiens OX=9606 GN=MCEMP1 PE=1 SV=1
+MEVEEIYKHQEVKMQAPAFRDKKQGVSAKNQGAHDPDYENITLAFKNQDHAKGGHSRPTSQVPAQCRPPSDSTQVPCWLYRAILSLYILLALAFVLCIILSAFIMVKNAEMSKELLGFKRELWNVSNSVQACEERQKRGWDSVQQSITMVRSKIDRLETTLAGIKNIDTKVQKILEVLQKMPQSSPQ
+>DECOY_sp|Q8IX19|MCEM1_HUMAN Mast cell-expressed membrane protein 1 OS=Homo sapiens OX=9606 GN=MCEMP1 PE=1 SV=1
+QPSSQPMKQLVELIKQVKTDINKIGALTTELRDIKSRVMTISQQVSDWGRKQREECAQVSNSVNWLERKFGLLEKSMEANKVMIFASLIICLVFALALLIYLSLIARYLWCPVQTSDSPPRCQAPVQSTPRSHGGKAHDQNKFALTINEYDPDHAGQNKASVGQKKDRFAPAQMKVEQHKYIEEVEM
+>sp|Q9BQD1|MCHL2_HUMAN Putative pro-MCH-like protein 2 OS=Homo sapiens OX=9606 GN=PMCHL2 PE=5 SV=1
+MLSQKTKKKHNFLNHGLSLNLVIKPYLALEGSVAFPAENGVQDTESTLEKRETGDEENSAKFPIGRRDFDTLRCMLGRVYQRCWQV
+>DECOY_sp|Q9BQD1|MCHL2_HUMAN Putative pro-MCH-like protein 2 OS=Homo sapiens OX=9606 GN=PMCHL2 PE=5 SV=1
+VQWCRQYVRGLMCRLTDFDRRGIPFKASNEEDGTERKELTSETDQVGNEAPFAVSGELALYPKIVLNLSLGHNLFNHKKKTKQSLM
+>sp|Q99705|MCHR1_HUMAN Melanin-concentrating hormone receptor 1 OS=Homo sapiens OX=9606 GN=MCHR1 PE=1 SV=2
+MSVGAMKKGVGRAVGLGGGSGCQATEEDPLPNCGACAPGQGGRRWRLPQPAWVEGSSARLWEQATGTGWMDLEASLLPTGPNASNTSDGPDNLTSAGSPPRTGSISYINIIMPSVFGTICLLGIIGNSTVIFAVVKKSKLHWCNNVPDIFIINLSVVDLLFLLGMPFMIHQLMGNGVWHFGETMCTLITAMDANSQFTSTYILTAMAIDRYLATVHPISSTKFRKPSVATLVICLLWALSFISITPVWLYARLIPFPGGAVGCGIRLPNPDTDLYWFTLYQFFLAFALPFVVITAAYVRILQRMTSSVAPASQRSIRLRTKRVTRTAIAICLVFFVCWAPYYVLQLTQLSISRPTLTFVYLYNAAISLGYANSCLNPFVYIVLCETFRKRLVLSVKPAAQGQLRAVSNAQTADEERTESKGT
+>DECOY_sp|Q99705|MCHR1_HUMAN Melanin-concentrating hormone receptor 1 OS=Homo sapiens OX=9606 GN=MCHR1 PE=1 SV=2
+TGKSETREEDATQANSVARLQGQAAPKVSLVLRKRFTECLVIYVFPNLCSNAYGLSIAANYLYVFTLTPRSISLQTLQLVYYPAWCVFFVLCIAIATRTVRKTRLRISRQSAPAVSSTMRQLIRVYAATIVVFPLAFALFFQYLTFWYLDTDPNPLRIGCGVAGGPFPILRAYLWVPTISIFSLAWLLCIVLTAVSPKRFKTSSIPHVTALYRDIAMATLIYTSTFQSNADMATILTCMTEGFHWVGNGMLQHIMFPMGLLFLLDVVSLNIIFIDPVNNCWHLKSKKVVAFIVTSNGIIGLLCITGFVSPMIINIYSISGTRPPSGASTLNDPGDSTNSANPGTPLLSAELDMWGTGTAQEWLRASSGEVWAPQPLRWRRGGQGPACAGCNPLPDEETAQCGSGGGLGVARGVGKKMAGVSM
+>sp|P20382|MCH_HUMAN Pro-MCH OS=Homo sapiens OX=9606 GN=PMCH PE=1 SV=3
+MAKMNLSSYILILTFSLFSQGILLSASKSIRNLDDDMVFNTFRLGKGFQKEDTAEKSVIAPSLEQYKNDESSFMNEEENKVSKNTGSKHNFLNHGLPLNLAIKPYLALKGSVAFPAENGVQNTESTQEKREIGDEENSAKFPIGRRDFDMLRCMLGRVYRPCWQV
+>DECOY_sp|P20382|MCH_HUMAN Pro-MCH OS=Homo sapiens OX=9606 GN=PMCH PE=1 SV=3
+VQWCPRYVRGLMCRLMDFDRRGIPFKASNEEDGIERKEQTSETNQVGNEAPFAVSGKLALYPKIALNLPLGHNLFNHKSGTNKSVKNEEENMFSSEDNKYQELSPAIVSKEATDEKQFGKGLRFTNFVMDDDLNRISKSASLLIGQSFLSFTLILIYSSLNMKAM
+>sp|P33991|MCM4_HUMAN DNA replication licensing factor MCM4 OS=Homo sapiens OX=9606 GN=MCM4 PE=1 SV=5
+MSSPASTPSRRGSRRGRATPAQTPRSEDARSSPSQRRRGEDSTSTGELQPMPTSPGVDLQSPAAQDVLFSSPPQMHSSAIPLDFDVSSPLTYGTPSSRVEGTPRSGVRGTPVRQRPDLGSAQKGLQVDLQSDGAAAEDIVASEQSLGQKLVIWGTDVNVAACKENFQRFLQRFIDPLAKEEENVGIDITEPLYMQRLGEINVIGEPFLNVNCEHIKSFDKNLYRQLISYPQEVIPTFDMAVNEIFFDRYPDSILEHQIQVRPFNALKTKNMRNLNPEDIDQLITISGMVIRTSQLIPEMQEAFFQCQVCAHTTRVEMDRGRIAEPSVCGRCHTTHSMALIHNRSLFSDKQMIKLQESPEDMPAGQTPHTVILFAHNDLVDKVQPGDRVNVTGIYRAVPIRVNPRVSNVKSVYKTHIDVIHYRKTDAKRLHGLDEEAEQKLFSEKRVELLKELSRKPDIYERLASALAPSIYEHEDIKKGILLQLFGGTRKDFSHTGRGKFRAEINILLCGDPGTSKSQLLQYVYNLVPRGQYTSGKGSSAVGLTAYVMKDPETRQLVLQTGALVLSDNGICCIDEFDKMNESTRSVLHEVMEQQTLSIAKAGIICQLNARTSVLAAANPIESQWNPKKTTIENIQLPHTLLSRFDLIFLLLDPQDEAYDRRLAHHLVALYYQSEEQAEEELLDMAVLKDYIAYAHSTIMPRLSEEASQALIEAYVDMRKIGSSRGMVSAYPRQLESLIRLAEAHAKVRLSNKVEAIDVEEAKRLHREALKQSATDPRTGIVDISILTTGMSATSRKRKEELAEALKKLILSKGKTPALKYQQLFEDIRGQSDIAITKDMFEEALRALADDDFLTVTGKTVRLL
+>DECOY_sp|P33991|MCM4_HUMAN DNA replication licensing factor MCM4 OS=Homo sapiens OX=9606 GN=MCM4 PE=1 SV=5
+LLRVTKGTVTLFDDDALARLAEEFMDKTIAIDSQGRIDEFLQQYKLAPTKGKSLILKKLAEALEEKRKRSTASMGTTLISIDVIGTRPDTASQKLAERHLRKAEEVDIAEVKNSLRVKAHAEALRILSELQRPYASVMGRSSGIKRMDVYAEILAQSAEESLRPMITSHAYAIYDKLVAMDLLEEEAQEESQYYLAVLHHALRRDYAEDQPDLLLFILDFRSLLTHPLQINEITTKKPNWQSEIPNAAALVSTRANLQCIIGAKAISLTQQEMVEHLVSRTSENMKDFEDICCIGNDSLVLAGTQLVLQRTEPDKMVYATLGVASSGKGSTYQGRPVLNYVYQLLQSKSTGPDGCLLINIEARFKGRGTHSFDKRTGGFLQLLIGKKIDEHEYISPALASALREYIDPKRSLEKLLEVRKESFLKQEAEEDLGHLRKADTKRYHIVDIHTKYVSKVNSVRPNVRIPVARYIGTVNVRDGPQVKDVLDNHAFLIVTHPTQGAPMDEPSEQLKIMQKDSFLSRNHILAMSHTTHCRGCVSPEAIRGRDMEVRTTHACVQCQFFAEQMEPILQSTRIVMGSITILQDIDEPNLNRMNKTKLANFPRVQIQHELISDPYRDFFIENVAMDFTPIVEQPYSILQRYLNKDFSKIHECNVNLFPEGIVNIEGLRQMYLPETIDIGVNEEEKALPDIFRQLFRQFNEKCAAVNVDTGWIVLKQGLSQESAVIDEAAAGDSQLDVQLGKQASGLDPRQRVPTGRVGSRPTGEVRSSPTGYTLPSSVDFDLPIASSHMQPPSSFLVDQAAPSQLDVGPSTPMPQLEGTSTSDEGRRRQSPSSRADESRPTQAPTARGRRSGRRSPTSAPSSM
+>sp|P33992|MCM5_HUMAN DNA replication licensing factor MCM5 OS=Homo sapiens OX=9606 GN=MCM5 PE=1 SV=5
+MSGFDDPGIFYSDSFGGDAQADEGQARKSQLQRRFKEFLRQYRVGTDRTGFTFKYRDELKRHYNLGEYWIEVEMEDLASFDEDLADYLYKQPAEHLQLLEEAAKEVADEVTRPRPSGEEVLQDIQVMLKSDASPSSIRSLKSDMMSHLVKIPGIIIAASAVRAKATRISIQCRSCRNTLTNIAMRPGLEGYALPRKCNTDQAGRPKCPLDPYFIMPDKCKCVDFQTLKLQELPDAVPHGEMPRHMQLYCDRYLCDKVVPGNRVTIMGIYSIKKFGLTTSRGRDRVGVGIRSSYIRVLGIQVDTDGSGRSFAGAVSPQEEEEFRRLAALPNVYEVISKSIAPSIFGGTDMKKAIACLLFGGSRKRLPDGLTRRGDINLLMLGDPGTAKSQLLKFVEKCSPIGVYTSGKGSSAAGLTASVMRDPSSRNFIMEGGAMVLADGGVVCIDEFDKMREDDRVAIHEAMEQQTISIAKAGITTTLNSRCSVLAAANSVFGRWDETKGEDNIDFMPTILSRFDMIFIVKDEHNEERDVMLAKHVITLHVSALTQTQAVEGEIDLAKLKKFIAYCRVKCGPRLSAEAAEKLKNRYIIMRSGARQHERDSDRRSSIPITVRQLEAIVRIAEALSKMKLQPFATEADVEEALRLFQVSTLDAALSGTLSGVEGFTSQEDQEMLSRIEKQLKRRFAIGSQVSEHSIIKDFTKQKYPEHAIHKVLQLMLRRGEIQHRMQRKVLYRLK
+>DECOY_sp|P33992|MCM5_HUMAN DNA replication licensing factor MCM5 OS=Homo sapiens OX=9606 GN=MCM5 PE=1 SV=5
+KLRYLVKRQMRHQIEGRRLMLQLVKHIAHEPYKQKTFDKIISHESVQSGIAFRRKLQKEIRSLMEQDEQSTFGEVGSLTGSLAADLTSVQFLRLAEEVDAETAFPQLKMKSLAEAIRVIAELQRVTIPISSRRDSDREHQRAGSRMIIYRNKLKEAAEASLRPGCKVRCYAIFKKLKALDIEGEVAQTQTLASVHLTIVHKALMVDREENHEDKVIFIMDFRSLITPMFDINDEGKTEDWRGFVSNAAALVSCRSNLTTTIGAKAISITQQEMAEHIAVRDDERMKDFEDICVVGGDALVMAGGEMIFNRSSPDRMVSATLGAASSGKGSTYVGIPSCKEVFKLLQSKATGPDGLMLLNIDGRRTLGDPLRKRSGGFLLCAIAKKMDTGGFISPAISKSIVEYVNPLAALRRFEEEEQPSVAGAFSRGSGDTDVQIGLVRIYSSRIGVGVRDRGRSTTLGFKKISYIGMITVRNGPVVKDCLYRDCYLQMHRPMEGHPVADPLEQLKLTQFDVCKCKDPMIFYPDLPCKPRGAQDTNCKRPLAYGELGPRMAINTLTNRCSRCQISIRTAKARVASAAIIIGPIKVLHSMMDSKLSRISSPSADSKLMVQIDQLVEEGSPRPRTVEDAVEKAAEELLQLHEAPQKYLYDALDEDFSALDEMEVEIWYEGLNYHRKLEDRYKFTFGTRDTGVRYQRLFEKFRRQLQSKRAQGEDAQADGGFSDSYFIGPDDFGSM
+>sp|P33993|MCM7_HUMAN DNA replication licensing factor MCM7 OS=Homo sapiens OX=9606 GN=MCM7 PE=1 SV=4
+MALKDYALEKEKVKKFLQEFYQDDELGKKQFKYGNQLVRLAHREQVALYVDLDDVAEDDPELVDSICENARRYAKLFADAVQELLPQYKEREVVNKDVLDVYIEHRLMMEQRSRDPGMVRSPQNQYPAELMRRFELYFQGPSSNKPRVIREVRADSVGKLVTVRGIVTRVSEVKPKMVVATYTCDQCGAETYQPIQSPTFMPLIMCPSQECQTNRSGGRLYLQTRGSRFIKFQEMKMQEHSDQVPVGNIPRSITVLVEGENTRIAQPGDHVSVTGIFLPILRTGFRQVVQGLLSETYLEAHRIVKMNKSEDDESGAGELTREELRQIAEEDFYEKLAASIAPEIYGHEDVKKALLLLLVGGVDQSPRGMKIRGNINICLMGDPGVAKSQLLSYIDRLAPRSQYTTGRGSSGVGLTAAVLRDSVSGELTLEGGALVLADQGVCCIDEFDKMAEADRTAIHEVMEQQTISIAKAGILTTLNARCSILAAANPAYGRYNPRRSLEQNIQLPAALLSRFDLLWLIQDRPDRDNDLRLAQHITYVHQHSRQPPSQFEPLDMKLMRRYIAMCREKQPMVPESLADYITAAYVEMRREAWASKDATYTSARTLLAILRLSTALARLRMVDVVEKEDVNEAIRLMEMSKDSLLGDKGQTARTQRPADVIFATVRELVSGGRSVRFSEAEQRCVSRGFTPAQFQAALDEYEELNVWQVNASRTRITFV
+>DECOY_sp|P33993|MCM7_HUMAN DNA replication licensing factor MCM7 OS=Homo sapiens OX=9606 GN=MCM7 PE=1 SV=4
+VFTIRTRSANVQWVNLEEYEDLAAQFQAPTFGRSVCRQEAESFRVSRGGSVLERVTAFIVDAPRQTRATQGKDGLLSDKSMEMLRIAENVDEKEVVDVMRLRALATSLRLIALLTRASTYTADKSAWAERRMEVYAATIYDALSEPVMPQKERCMAIYRRMLKMDLPEFQSPPQRSHQHVYTIHQALRLDNDRDPRDQILWLLDFRSLLAAPLQINQELSRRPNYRGYAPNAAALISCRANLTTLIGAKAISITQQEMVEHIATRDAEAMKDFEDICCVGQDALVLAGGELTLEGSVSDRLVAATLGVGSSGRGTTYQSRPALRDIYSLLQSKAVGPDGMLCININGRIKMGRPSQDVGGVLLLLLAKKVDEHGYIEPAISAALKEYFDEEAIQRLEERTLEGAGSEDDESKNMKVIRHAELYTESLLGQVVQRFGTRLIPLFIGTVSVHDGPQAIRTNEGEVLVTISRPINGVPVQDSHEQMKMEQFKIFRSGRTQLYLRGGSRNTQCEQSPCMILPMFTPSQIPQYTEAGCQDCTYTAVVMKPKVESVRTVIGRVTVLKGVSDARVERIVRPKNSSPGQFYLEFRRMLEAPYQNQPSRVMGPDRSRQEMMLRHEIYVDLVDKNVVEREKYQPLLEQVADAFLKAYRRANECISDVLEPDDEAVDDLDVYLAVQERHALRVLQNGYKFQKKGLEDDQYFEQLFKKVKEKELAYDKLAM
+>sp|Q9NXL9|MCM9_HUMAN DNA helicase MCM9 OS=Homo sapiens OX=9606 GN=MCM9 PE=1 SV=4
+MNSDQVTLVGQVFESYVSEYHKNDILLILKERDEDAHYPVVVNAMTLFETNMEIGEYFNMFPSEVLTIFDSALRRSALTILQSLSQPEAVSMKQNLHARISGLPVCPELVREHIPKTKDVGHFLSVTGTVIRTSLVKVLEFERDYMCNKCKHVFVIKADFEQYYTFCRPSSCPSLESCDSSKFTCLSGLSSSPTRCRDYQEIKIQEQVQRLSVGSIPRSMKVILEDDLVDSCKSGDDLTIYGIVMQRWKPFQQDVRCEVEIVLKANYIQVNNEQSSGIIMDEEVQKEFEDFWEYYKSDPFAGRNVILASLCPQVFGMYLVKLAVAMVLAGGIQRTDATGTRVRGESHLLLVGDPGTGKSQFLKYAAKITPRSVLTTGIGSTSAGLTVTAVKDSGEWNLEAGALVLADAGLCCIDEFNSLKEHDRTSIHEAMEQQTISVAKAGLVCKLNTRTTILAATNPKGQYDPQESVSVNIALGSPLLSRFDLILVLLDTKNEDWDRIISSFILENKGYPSKSEKLWSMEKMKTYFCLIRNLQPTLSDVGNQVLLRYYQMQRQSDCRNAARTTIRLLESLIRLAEAHARLMFRDTVTLEDAITVVSVMESSMQGGALLGGVNALHTSFPENPGEQYQRQCELILEKLELQSLLSEELRRLERLQNQSVHQSQPRVLEVETTPGSLRNGPGEESNFRTSSQQEINYSTHIFSPGGSPEGSPVLDPPPHLEPNRSTSRKHSAQHKNNRDDSLDWFDFMATHQSEPKNTVVVSPHPKTSGENMASKISNSTSQGKEKSEPGQRSKVDIGLLPSPGETGVPWRADNVESNKKKRLALDSEAAVSADKPDSVLTHHVPRNLQKLCKERAQKLCRNSTRVPAQCTVPSHPQSTPVHSPDRMLDSPKRKRPKSLAQVEEPAIENVKPPGSPVAKLAKFTFKQKSKLIHSFEDHSHVSPGATKIAVHSPKISQRRTRRDAALPVKRPGKLTSTPGNQISSQPQGETKEVSQQPPEKHGPREKVMCAPEKRIIQPELELGNETGCAHLTCEGDKKEEVSGSNKSGKVHACTLARLANFCFTPPSESKSKSPPPERKNRGERGPSSPPTTTAPMRVSKRKSFQLRGSTEKLIVSKESLFTLPELGDEAFDCDWDEEMRKKS
+>DECOY_sp|Q9NXL9|MCM9_HUMAN DNA helicase MCM9 OS=Homo sapiens OX=9606 GN=MCM9 PE=1 SV=4
+SKKRMEEDWDCDFAEDGLEPLTFLSEKSVILKETSGRLQFSKRKSVRMPATTTPPSSPGREGRNKREPPPSKSKSESPPTFCFNALRALTCAHVKGSKNSGSVEEKKDGECTLHACGTENGLELEPQIIRKEPACMVKERPGHKEPPQQSVEKTEGQPQSSIQNGPTSTLKGPRKVPLAADRRTRRQSIKPSHVAIKTAGPSVHSHDEFSHILKSKQKFTFKALKAVPSGPPKVNEIAPEEVQALSKPRKRKPSDLMRDPSHVPTSQPHSPVTCQAPVRTSNRCLKQAREKCLKQLNRPVHHTLVSDPKDASVAAESDLALRKKKNSEVNDARWPVGTEGPSPLLGIDVKSRQGPESKEKGQSTSNSIKSAMNEGSTKPHPSVVVTNKPESQHTAMFDFWDLSDDRNNKHQASHKRSTSRNPELHPPPDLVPSGEPSGGPSFIHTSYNIEQQSSTRFNSEEGPGNRLSGPTTEVELVRPQSQHVSQNQLRELRRLEESLLSQLELKELILECQRQYQEGPNEPFSTHLANVGGLLAGGQMSSEMVSVVTIADELTVTDRFMLRAHAEALRILSELLRITTRAANRCDSQRQMQYYRLLVQNGVDSLTPQLNRILCFYTKMKEMSWLKESKSPYGKNELIFSSIIRDWDENKTDLLVLILDFRSLLPSGLAINVSVSEQPDYQGKPNTAALITTRTNLKCVLGAKAVSITQQEMAEHISTRDHEKLSNFEDICCLGADALVLAGAELNWEGSDKVATVTLGASTSGIGTTLVSRPTIKAAYKLFQSKGTGPDGVLLLHSEGRVRTGTADTRQIGGALVMAVALKVLYMGFVQPCLSALIVNRGAFPDSKYYEWFDEFEKQVEEDMIIGSSQENNVQIYNAKLVIEVECRVDQQFPKWRQMVIGYITLDDGSKCSDVLDDELIVKMSRPISGVSLRQVQEQIKIEQYDRCRTPSSSLGSLCTFKSSDCSELSPCSSPRCFTYYQEFDAKIVFVHKCKNCMYDREFELVKVLSTRIVTGTVSLFHGVDKTKPIHERVLEPCVPLGSIRAHLNQKMSVAEPQSLSQLITLASRRLASDFITLVESPFMNFYEGIEMNTEFLTMANVVVPYHADEDREKLILLIDNKHYESVYSEFVQGVLTVQDSNM
+>sp|Q6DN14|MCTP1_HUMAN Multiple C2 and transmembrane domain-containing protein 1 OS=Homo sapiens OX=9606 GN=MCTP1 PE=2 SV=2
+MEPRAAAAGEPEPPAASSSFQARLWKNLQLGVGRSKGGGGGRAGGPERRTADTPSPSPPPPVGTGNAPARGSGAGSRWSGFKKRKQVLDRVFSSSQPNLCCSSPEPLEPGGAGRAEQGSTLRRRIREHLLPAVKGPAAASGAAGGTPPGGRSPDSAPSSSSASSSLSSSPQPPPRGDRARDEGARRQGPGAHLCHQKSSSLPGTACLEQLLEPPPPPAEPARSPAESRAPETGEEHGSSQKIINTAGTSNAEVPLADPGMYQLDITLRRGQSLAARDRGGTSDPYVKFKIGGKEVFRSKIIHKNLNPVWEEKACILVDHLREPLYIKVFDYDFGLQDDFMGSAFLDLTQLELNRPTDVTLTLKDPHYPDHDLGIILLSVILTPKEGESRDVTMLMRKSWKRSSKELSENEVVGSYFSVKSLFWRTCGRPALPVLGFCRAELQNPYCKNVQFQTQSLRLSDLHRKSHLWRGIVSITLIEGRDLKAMDSNGLSDPYVKFRLGHQKYKSKIMPKTLNPQWREQFDFHLYEERGGVIDITAWDKDAGKRDDFIGRCQVDLSALSREQTHKLELQLEEGEGHLVLLVTLTASATVSISDLSVNSLEDQKEREEILKRYSPLRIFHNLKDVGFLQVKVIRAEGLMAADVTGKSDPFCVVELNNDRLLTHTVYKNLNPEWNKVFTFNIKDIHSVLEVTVYDEDRDRSADFLGKVAIPLLSIQNGEQKAYVLKNKQLTGPTKGVIYLEIDVIFNAVKASLRTLIPKEQKYIEEENRLSKQLLLRNFIRMKRCVMVLVNAAYYVNSCFDWDSPPRSLAAFVLFLFVVWNFELYMIPLVLLLLLTWNYFLIISGKDNRQRDTVVEDMLEDEEEEDDKDDKDSEKKGFINKIYAIQEVCVSVQNILDEVASFGERIKNTFNWTVPFLSWLAIVALCVFTAILYCIPLRYIVLVWGINKFTKKLRSPYAIDNNELLDFLSRVPSDVQVVQYQELKPDPSHSPYKRKKNNLG
+>DECOY_sp|Q6DN14|MCTP1_HUMAN Multiple C2 and transmembrane domain-containing protein 1 OS=Homo sapiens OX=9606 GN=MCTP1 PE=2 SV=2
+GLNNKKRKYPSHSPDPKLEQYQVVQVDSPVRSLFDLLENNDIAYPSRLKKTFKNIGWVLVIYRLPICYLIATFVCLAVIALWSLFPVTWNFTNKIREGFSAVEDLINQVSVCVEQIAYIKNIFGKKESDKDDKDDEEEEDELMDEVVTDRQRNDKGSIILFYNWTLLLLLVLPIMYLEFNWVVFLFLVFAALSRPPSDWDFCSNVYYAANVLVMVCRKMRIFNRLLLQKSLRNEEEIYKQEKPILTRLSAKVANFIVDIELYIVGKTPGTLQKNKLVYAKQEGNQISLLPIAVKGLFDASRDRDEDYVTVELVSHIDKINFTFVKNWEPNLNKYVTHTLLRDNNLEVVCFPDSKGTVDAAMLGEARIVKVQLFGVDKLNHFIRLPSYRKLIEEREKQDELSNVSLDSISVTASATLTVLLVLHGEGEELQLELKHTQERSLASLDVQCRGIFDDRKGADKDWATIDIVGGREEYLHFDFQERWQPNLTKPMIKSKYKQHGLRFKVYPDSLGNSDMAKLDRGEILTISVIGRWLHSKRHLDSLRLSQTQFQVNKCYPNQLEARCFGLVPLAPRGCTRWFLSKVSFYSGVVENESLEKSSRKWSKRMLMTVDRSEGEKPTLIVSLLIIGLDHDPYHPDKLTLTVDTPRNLELQTLDLFASGMFDDQLGFDYDFVKIYLPERLHDVLICAKEEWVPNLNKHIIKSRFVEKGGIKFKVYPDSTGGRDRAALSQGRRLTIDLQYMGPDALPVEANSTGATNIIKQSSGHEEGTEPARSEAPSRAPEAPPPPPELLQELCATGPLSSSKQHCLHAGPGQRRAGEDRARDGRPPPQPSSSLSSSASSSSPASDPSRGGPPTGGAAGSAAAPGKVAPLLHERIRRRLTSGQEARGAGGPELPEPSSCCLNPQSSSFVRDLVQKRKKFGSWRSGAGSGRAPANGTGVPPPPSPSPTDATRREPGGARGGGGGKSRGVGLQLNKWLRAQFSSSAAPPEPEGAAAARPEM
+>sp|Q6DN12|MCTP2_HUMAN Multiple C2 and transmembrane domain-containing protein 2 OS=Homo sapiens OX=9606 GN=MCTP2 PE=1 SV=3
+MDLDKPSVWGSLKQRTRPLLINLSKKKVKKNPSKPPDLRARHHLDRRLSLSVPDLLEAEALAPEGRPYSGPQSSYTSVPSSLSTAGIFPKSSSSSLKQSEEELDWSQEEASHLHVVETDSEEAYASPAERRRVSSNGIFDLQKTSLGGDAPEEPEKLCGSSDLNASMTSQHFEEQSVPGEASDGLSNLPSPFAYLLTIHLKEGRNLVVRDRCGTSDPYVKFKLNGKTLYKSKVIYKNLNPVWDEIVVLPIQSLDQKLRVKVYDRDLTTSDFMGSAFVILSDLELNRTTEHILKLEDPNSLEDDMGVIVLNLNLVVKQGDFKRHRWSNRKRLSASKSSLIRNLRLSESLKKNQLWNGIISITLLEGKNVSGGSMTEMFVQLKLGDQRYKSKTLCKSANPQWQEQFDFHYFSDRMGILDIEVWGKDNKKHEERLGTCKVDISALPLKQANCLELPLDSCLGALLMLVTLTPCAGVSVSDLCVCPLADLSERKQITQRYCLQNSLKDVKDVGILQVKVLKAADLLAADFSGKSDPFCLLELGNDRLQTHTVYKNLNPEWNKVFTFPIKDIHDVLEVTVFDEDGDKPPDFLGKVAIPLLSIRDGQPNCYVLKNKDLEQAFKGVIYLEMDLIYNPVKASIRTFTPREKRFVEDSRKLSKKILSRDVDRVKRITMAIWNTMQFLKSCFQWESTLRSTIAFAVFLITVWNFELYMIPLALLLIFVYNFIRPVKGKVSSIQDSQESTDIDDEEDEDDKESEKKGLIERIYMVQDIVSTVQNVLEEIASFGERIKNTFNWTVPFLSSLACLILAAATIILYFIPLRYIILIWGINKFTKKLRNPYSIDNNELLDFLSRVPSDVQKVQYAELKLCSSHSPLRKKRSAL
+>DECOY_sp|Q6DN12|MCTP2_HUMAN Multiple C2 and transmembrane domain-containing protein 2 OS=Homo sapiens OX=9606 GN=MCTP2 PE=1 SV=3
+LASRKKRLPSHSSCLKLEAYQVKQVDSPVRSLFDLLENNDISYPNRLKKTFKNIGWILIIYRLPIFYLIITAAALILCALSSLFPVTWNFTNKIREGFSAIEELVNQVTSVIDQVMYIREILGKKESEKDDEDEEDDIDTSEQSDQISSVKGKVPRIFNYVFILLLALPIMYLEFNWVTILFVAFAITSRLTSEWQFCSKLFQMTNWIAMTIRKVRDVDRSLIKKSLKRSDEVFRKERPTFTRISAKVPNYILDMELYIVGKFAQELDKNKLVYCNPQGDRISLLPIAVKGLFDPPKDGDEDFVTVELVDHIDKIPFTFVKNWEPNLNKYVTHTQLRDNGLELLCFPDSKGSFDAALLDAAKLVKVQLIGVDKVDKLSNQLCYRQTIQKRESLDALPCVCLDSVSVGACPTLTVLMLLAGLCSDLPLELCNAQKLPLASIDVKCTGLREEHKKNDKGWVEIDLIGMRDSFYHFDFQEQWQPNASKCLTKSKYRQDGLKLQVFMETMSGGSVNKGELLTISIIGNWLQNKKLSESLRLNRILSSKSASLRKRNSWRHRKFDGQKVVLNLNLVIVGMDDELSNPDELKLIHETTRNLELDSLIVFASGMFDSTTLDRDYVKVRLKQDLSQIPLVVIEDWVPNLNKYIVKSKYLTKGNLKFKVYPDSTGCRDRVVLNRGEKLHITLLYAFPSPLNSLGDSAEGPVSQEEFHQSTMSANLDSSGCLKEPEEPADGGLSTKQLDFIGNSSVRRREAPSAYAEESDTEVVHLHSAEEQSWDLEEESQKLSSSSSKPFIGATSLSSPVSTYSSQPGSYPRGEPALAEAELLDPVSLSLRRDLHHRARLDPPKSPNKKVKKKSLNILLPRTRQKLSGWVSPKDLDM
+>sp|O15151|MDM4_HUMAN Protein Mdm4 OS=Homo sapiens OX=9606 GN=MDM4 PE=1 SV=2
+MTSFSTSAQCSTSDSACRISPGQINQVRPKLPLLKILHAAGAQGEMFTVKEVMHYLGQYIMVKQLYDQQEQHMVYCGGDLLGELLGRQSFSVKDPSPLYDMLRKNLVTLATATTDAAQTLALAQDHSMDIPSQDQLKQSAEESSTSRKRTTEDDIPTLPTSEHKCIHSREDEDLIENLAQDETSRLDLGFEEWDVAGLPWWFLGNLRSNYTPRSNGSTDLQTNQDVGTAIVSDTTDDLWFLNESVSEQLGVGIKVEAADTEQTSEEVGKVSDKKVIEVGKNDDLEDSKSLSDDTDVEVTSEDEWQCTECKKFNSPSKRYCFRCWALRKDWYSDCSKLTHSLSTSDITAIPEKENEGNDVPDCRRTISAPVVRPKDAYIKKENSKLFDPCNSVEFLDLAHSSESQETISSMGEQLDNLSEQRTDTENMEDCQNLLKPCSLCEKRPRDGNIIHGRTGHLVTCFHCARRLKKAGASCPICKKEIQLVIKVFIA
+>DECOY_sp|O15151|MDM4_HUMAN Protein Mdm4 OS=Homo sapiens OX=9606 GN=MDM4 PE=1 SV=2
+AIFVKIVLQIEKKCIPCSAGAKKLRRACHFCTVLHGTRGHIINGDRPRKECLSCPKLLNQCDEMNETDTRQESLNDLQEGMSSITEQSESSHALDLFEVSNCPDFLKSNEKKIYADKPRVVPASITRRCDPVDNGENEKEPIATIDSTSLSHTLKSCDSYWDKRLAWCRFCYRKSPSNFKKCETCQWEDESTVEVDTDDSLSKSDELDDNKGVEIVKKDSVKGVEESTQETDAAEVKIGVGLQESVSENLFWLDDTTDSVIATGVDQNTQLDTSGNSRPTYNSRLNGLFWWPLGAVDWEEFGLDLRSTEDQALNEILDEDERSHICKHESTPLTPIDDETTRKRSTSSEEASQKLQDQSPIDMSHDQALALTQAADTTATALTVLNKRLMDYLPSPDKVSFSQRGLLEGLLDGGCYVMHQEQQDYLQKVMIYQGLYHMVEKVTFMEGQAGAAHLIKLLPLKPRVQNIQGPSIRCASDSTSCQASTSFSTM
+>sp|Q03112|MECOM_HUMAN MDS1 and EVI1 complex locus protein OS=Homo sapiens OX=9606 GN=MECOM PE=1 SV=3
+MRSKGRARKLATNNECVYGNYPEIPLEEMPDADGVASTPSLNIQEPCSPATSSEAFTPKEGSPYKAPIYIPDDIPIPAEFELRESNMPGAGLGIWTKRKIEVGEKFGPYVGEQRSNLKDPSYGWEILDEFYNVKFCIDASQPDVGSWLKYIRFAGCYDQHNLVACQINDQIFYRVVADIAPGEELLLFMKSEDYPHETMAPDIHEERQYRCEDCDQLFESKAELADHQKFPCSTPHSAFSMVEEDFQQKLESENDLQEIHTIQECKECDQVFPDLQSLEKHMLSHTEEREYKCDQCPKAFNWKSNLIRHQMSHDSGKHYECENCAKVFTDPSNLQRHIRSQHVGARAHACPECGKTFATSSGLKQHKHIHSSVKPFICEVCHKSYTQFSNLCRHKRMHADCRTQIKCKDCGQMFSTTSSLNKHRRFCEGKNHFAAGGFFGQGISLPGTPAMDKTSMVNMSHANPGLADYFGANRHPAGLTFPTAPGFSFSFPGLFPSGLYHRPPLIPASSPVKGLSSTEQTNKSQSPLMTHPQILPATQDILKALSKHPSVGDNKPVELQPERSSEERPFEKISDQSESSDLDDVSTPSGSDLETTSGSDLESDIESDKEKFKENGKMFKDKVSPLQNLASINNKKEYSNHSIFSPSLEEQTAVSGAVNDSIKAIASIAEKYFGSTGLVGLQDKKVGALPYPSMFPLPFFPAFSQSMYPFPDRDLRSLPLKMEPQSPGEVKKLQKGSSESPFDLTTKRKDEKPLTPVPSKPPVTPATSQDQPLDLSMGSRSRASGTKLTEPRKNHVFGGKKGSNVESRPASDGSLQHARPTPFFMDPIYRVEKRKLTDPLEALKEKYLRPSPGFLFHPQMSAIENMAEKLESFSALKPEASELLQSVPSMFNFRAPPNALPENLLRKGKERYTCRYCGKIFPRSANLTRHLRTHTGEQPYRCKYCDRSFSISSNLQRHVRNIHNKEKPFKCHLCDRCFGQQTNLDRHLKKHENGNMSGTATSSPHSELESTGAILDDKEDAYFTEIRNFIGNSNHGSQSPRNVEERMNGSHFKDEKALVTSQNSDLLDDEEVEDEVLLDEEDEDNDITGKTGKEPVTSNLHEGNPEDDYEETSALEMSCKTSPVRYKEEEYKSGLSALDHIRHFTDSLKMRKMEDNQYSEAELSSFSTSHVPEELKQPLHRKSKSQAYAMMLSLSDKESLHSTSHSSSNVWHSMARAAAESSAIQSISHV
+>DECOY_sp|Q03112|MECOM_HUMAN MDS1 and EVI1 complex locus protein OS=Homo sapiens OX=9606 GN=MECOM PE=1 SV=3
+VHSISQIASSEAAARAMSHWVNSSSHSTSHLSEKDSLSLMMAYAQSKSKRHLPQKLEEPVHSTSFSSLEAESYQNDEMKRMKLSDTFHRIHDLASLGSKYEEEKYRVPSTKCSMELASTEEYDDEPNGEHLNSTVPEKGTKGTIDNDEDEEDLLVEDEVEEDDLLDSNQSTVLAKEDKFHSGNMREEVNRPSQSGHNSNGIFNRIETFYADEKDDLIAGTSELESHPSSTATGSMNGNEHKKLHRDLNTQQGFCRDCLHCKFPKEKNHINRVHRQLNSSISFSRDCYKCRYPQEGTHTRLHRTLNASRPFIKGCYRCTYREKGKRLLNEPLANPPARFNFMSPVSQLLESAEPKLASFSELKEAMNEIASMQPHFLFGPSPRLYKEKLAELPDTLKRKEVRYIPDMFFPTPRAHQLSGDSAPRSEVNSGKKGGFVHNKRPETLKTGSARSRSGMSLDLPQDQSTAPTVPPKSPVPTLPKEDKRKTTLDFPSESSGKQLKKVEGPSQPEMKLPLSRLDRDPFPYMSQSFAPFFPLPFMSPYPLAGVKKDQLGVLGTSGFYKEAISAIAKISDNVAGSVATQEELSPSFISHNSYEKKNNISALNQLPSVKDKFMKGNEKFKEKDSEIDSELDSGSTTELDSGSPTSVDDLDSSESQDSIKEFPREESSREPQLEVPKNDGVSPHKSLAKLIDQTAPLIQPHTMLPSQSKNTQETSSLGKVPSSAPILPPRHYLGSPFLGPFSFSFGPATPFTLGAPHRNAGFYDALGPNAHSMNVMSTKDMAPTGPLSIGQGFFGGAAFHNKGECFRRHKNLSSTTSFMQGCDKCKIQTRCDAHMRKHRCLNSFQTYSKHCVECIFPKVSSHIHKHQKLGSSTAFTKGCEPCAHARAGVHQSRIHRQLNSPDTFVKACNECEYHKGSDHSMQHRILNSKWNFAKPCQDCKYEREETHSLMHKELSQLDPFVQDCEKCEQITHIEQLDNESELKQQFDEEVMSFASHPTSCPFKQHDALEAKSEFLQDCDECRYQREEHIDPAMTEHPYDESKMFLLLEEGPAIDAVVRYFIQDNIQCAVLNHQDYCGAFRIYKLWSGVDPQSADICFKVNYFEDLIEWGYSPDKLNSRQEGVYPGFKEGVEIKRKTWIGLGAGPMNSERLEFEAPIPIDDPIYIPAKYPSGEKPTFAESSTAPSCPEQINLSPTSAVGDADPMEELPIEPYNGYVCENNTALKRARGKSRM
+>sp|Q9UN81|LORF1_HUMAN LINE-1 retrotransposable element ORF1 protein OS=Homo sapiens OX=9606 GN=L1RE1 PE=1 SV=1
+MGKKQNRKTGNSKTQSASPPPKERSSSPATEQSWMENDFDELREEGFRRSNYSELREDIQTKGKEVENFEKNLEECITRITNTEKCLKELMELKTKARELREECRSLRSRCDQLEERVSAMEDEMNEMKREGKFREKRIKRNEQSLQEIWDYVKRPNLRLIGVPESDVENGTKLENTLQDIIQENFPNLARQANVQIQEIQRTPQRYSSRRATPRHIIVRFTKVEMKEKMLRAAREKGRVTLKGKPIRLTADLSAETLQARREWGPIFNILKEKNFQPRISYPAKLSFISEGEIKYFIDKQMLRDFVTTRPALKELLKEALNMERNNRYQPLQNHAKM
+>DECOY_sp|Q9UN81|LORF1_HUMAN LINE-1 retrotransposable element ORF1 protein OS=Homo sapiens OX=9606 GN=L1RE1 PE=1 SV=1
+MKAHNQLPQYRNNREMNLAEKLLEKLAPRTTVFDRLMQKDIFYKIEGESIFSLKAPYSIRPQFNKEKLINFIPGWERRAQLTEASLDATLRIPKGKLTVRGKERAARLMKEKMEVKTFRVIIHRPTARRSSYRQPTRQIEQIQVNAQRALNPFNEQIIDQLTNELKTGNEVDSEPVGILRLNPRKVYDWIEQLSQENRKIRKERFKGERKMENMEDEMASVREELQDCRSRLSRCEERLERAKTKLEMLEKLCKETNTIRTICEELNKEFNEVEKGKTQIDERLESYNSRRFGEERLEDFDNEMWSQETAPSSSREKPPPSASQTKSNGTKRNQKKGM
+>sp|Q8IVV2|LOXH1_HUMAN Lipoxygenase homology domain-containing protein 1 OS=Homo sapiens OX=9606 GN=LOXHD1 PE=2 SV=3
+MMQLTLNTLFPVVSTPAITYIVTVFTGDVRGAGTKSKIYLVMYGARGNKNSGKIFLEGGVFDRGRTDIFHIELAVLLSPLSRVSVGHGNVGVNRGWFCEKVVILCPFTGIQQTFPCSNWLDEKKADGLIERQLYEMVSLRKKRLKKFPWSLWVWTTDLKKAGTNSPIFIQIYGQKGRTDEILLNPNNKWFKPGIIEKFRIELPDLGRFYKIRVWHDKRSSGSGWHLERMTLMNTLNKDKYNFNCNRWLDANEDDNEIVREMTAEGPTVRRIMGMARYHVTVCTGELEGAGTDANVYLCLFGDVGDTGERLLYNCRNNTDLFEKGNADEFTIESVTMRNVRRVRIRHDGKGSGSGWYLDRVLVREEGQPESDNVEFPCLRWLDKDKDDGQLVRELLPSDSSATLKNFRYHISLKTGDVSGASTDSRVYIKLYGDKSDTIKQVLLVSDNNLKDYFERGRVDEFTLETLNIGNINRLVIGHDSTGMHASWFLGSVQIRVPRQGKQYTFPANRWLDKNQADGRLEVELYPSEVVEIQKLVHYEVEIWTGDVGGAGTSARVYMQIYGEKGKTEVLFLSSRSKVFERASKDTFQLEAADVGEVYKLRLGHTGEGFGPSWFVDTVWLRHLVVREVDLTPEEEARKKKEKDKLRQLLKKERLKAKLQRKKKKRKGSDEEDEGEEEESSSSEESSSEEEEMEEEEEEEEFGPGMQEVIEQHKFEAHRWLARGKEDNELVVELVPAGKPGPERNTYEVQVVTGNVPKAGTDANVYLTIYGEEYGDTGERPLKKSDKSNKFEQGQTDTFTIYAIDLGALTKIRIRHDNTGNRAGWFLDRIDITDMNNEITYYFPCQRWLAVEEDDGQLSRELLPVDESYVLPQSEEGRGGGDNNPLDNLALEQKDKSTTFSVTIKTGVKKNAGTDANVFITLFGTQDDTGMTLLKSSKTNSDKFERDSIEIFTVETLDLGDLWKVRLGHDNTGKAPGWFVDWVEVDAPSLGKCMTFPCGRWLAKNEDDGSIIRDLFHAELQTRLYTPFVPYEITLYTSDVFAAGTDANIFIIIYGCDAVCTQQKYLCTNKREQKQFFERKSASRFIVELEDVGEIIEKIRIGHNNTGMNPGWHCSHVDIRRLLPDKDGAETLTFPCDRWLATSEDDKKTIRELVPYDIFTEKYMKDGSLRQVYKEVEEPLDIVLYSVQIFTGNIPGAGTDAKVYITIYGDLGDTGERYLGKSENRTNKFERGTADTFIIEAADLGVIYKIKLRHDNSKWCADWYVEKVEIWNDTNEDEFLFLCGRWLSLKKEDGRLERLFYEKEYTGDRSSNCSSPADFWEIALSSKMADVDISTVTGPMADYVQEGPIIPYYVSVTTGKHKDAATDSRAFIFLIGEDDERSKRIWLDYPRGKRGFSRGSVEEFYVAGLDVGIIKKIELGHDGASPESCWLVEELCLAVPTQGTKYMLNCNCWLAKDRGDGITSRVFDLLDAMVVNIGVKVLYEMTVWTGDVVGGGTDSNIFMTLYGINGSTEEMQLDKKKARFEREQNDTFIMEILDIAPFTKMRIRIDGLGSRPEWFLERILLKNMNTGDLTMFYYGDWLSQRKGKKTLVCEMCAVIDEEEMMEWTSYTVAVKTSDILGAGTDANVFIIIFGENGDSGTLALKQSANWNKFERNNTDTFNFPDMLSLGHLCKLRVWHDNKGIFPGWHLSYVDVKDNSRDETFHFQCDCWLSKSEGDGQTVRDFACANNKICDELEETTYEIVIETGNGGETRENVWLILEGRKNRSKEFLMENSSRQRAFRKGTTDTFEFDSIYLGDIASLCVGHLAREDRFIPKRELAWHVKTITITEMEYGNVYFFNCDCLIPLKRKRKYFKVFEVTKTTESFASKVQSLVPVKYEVIVTTGYEPGAGTDANVFVTIFGANGDTGKRELKQKMRNLFERGSTDRFFLETLELVVTRLGLAAECG
+>DECOY_sp|Q8IVV2|LOXH1_HUMAN Lipoxygenase homology domain-containing protein 1 OS=Homo sapiens OX=9606 GN=LOXHD1 PE=2 SV=3
+GCEAALGLRTVVLELTELFFRDTSGREFLNRMKQKLERKGTDGNAGFITVFVNADTGAGPEYGTTVIVEYKVPVLSQVKSAFSETTKTVEFVKFYKRKRKLPILCDCNFFYVNGYEMETITITKVHWALERKPIFRDERALHGVCLSAIDGLYISDFEFTDTTGKRFARQRSSNEMLFEKSRNKRGELILWVNERTEGGNGTEIVIEYTTEELEDCIKNNACAFDRVTQGDGESKSLWCDCQFHFTEDRSNDKVDVYSLHWGPFIGKNDHWVRLKCLHGLSLMDPFNFTDTNNREFKNWNASQKLALTGSDGNEGFIIIFVNADTGAGLIDSTKVAVTYSTWEMMEEEDIVACMECVLTKKGKRQSLWDGYYFMTLDGTNMNKLLIRELFWEPRSGLGDIRIRMKTFPAIDLIEMIFTDNQEREFRAKKKDLQMEETSGNIGYLTMFINSDTGGGVVDGTWVTMEYLVKVGINVVMADLLDFVRSTIGDGRDKALWCNCNLMYKTGQTPVALCLEEVLWCSEPSAGDHGLEIKKIIGVDLGAVYFEEVSGRSFGRKGRPYDLWIRKSREDDEGILFIFARSDTAADKHKGTTVSVYYPIIPGEQVYDAMPGTVTSIDVDAMKSSLAIEWFDAPSSCNSSRDGTYEKEYFLRELRGDEKKLSLWRGCLFLFEDENTDNWIEVKEVYWDACWKSNDHRLKIKYIVGLDAAEIIFTDATGREFKNTRNESKGLYREGTDGLDGYITIYVKADTGAGPINGTFIQVSYLVIDLPEEVEKYVQRLSGDKMYKETFIDYPVLERITKKDDESTALWRDCPFTLTEAGDKDPLLRRIDVHSCHWGPNMGTNNHGIRIKEIIEGVDELEVIFRSASKREFFQKQERKNTCLYKQQTCVADCGYIIIFINADTGAAFVDSTYLTIEYPVFPTYLRTQLEAHFLDRIISGDDENKALWRGCPFTMCKGLSPADVEVWDVFWGPAKGTNDHGLRVKWLDGLDLTEVTFIEISDREFKDSNTKSSKLLTMGTDDQTGFLTIFVNADTGANKKVGTKITVSFTTSKDKQELALNDLPNNDGGGRGEESQPLVYSEDVPLLERSLQGDDEEVALWRQCPFYYTIENNMDTIDIRDLFWGARNGTNDHRIRIKTLAGLDIAYITFTDTQGQEFKNSKDSKKLPREGTDGYEEGYITLYVNADTGAKPVNGTVVQVEYTNREPGPKGAPVLEVVLENDEKGRALWRHAEFKHQEIVEQMGPGFEEEEEEEEMEEEESSSEESSSSEEEEGEDEEDSGKRKKKKRQLKAKLREKKLLQRLKDKEKKKRAEEEPTLDVERVVLHRLWVTDVFWSPGFGEGTHGLRLKYVEGVDAAELQFTDKSAREFVKSRSSLFLVETKGKEGYIQMYVRASTGAGGVDGTWIEVEYHVLKQIEVVESPYLEVELRGDAQNKDLWRNAPFTYQKGQRPVRIQVSGLFWSAHMGTSDHGIVLRNINGINLTELTFEDVRGREFYDKLNNDSVLLVQKITDSKDGYLKIYVRSDTSAGSVDGTKLSIHYRFNKLTASSDSPLLERVLQGDDKDKDLWRLCPFEVNDSEPQGEERVLVRDLYWGSGSGKGDHRIRVRRVNRMTVSEITFEDANGKEFLDTNNRCNYLLREGTDGVDGFLCLYVNADTGAGELEGTCVTVHYRAMGMIRRVTPGEATMERVIENDDENADLWRNCNFNYKDKNLTNMLTMRELHWGSGSSRKDHWVRIKYFRGLDPLEIRFKEIIGPKFWKNNPNLLIEDTRGKQGYIQIFIPSNTGAKKLDTTWVWLSWPFKKLRKKRLSVMEYLQREILGDAKKEDLWNSCPFTQQIGTFPCLIVVKECFWGRNVGVNGHGVSVRSLPSLLVALEIHFIDTRGRDFVGGELFIKGSNKNGRAGYMVLYIKSKTGAGRVDGTFVTVIYTIAPTSVVPFLTNLTLQMM
+>sp|Q9Y4K0|LOXL2_HUMAN Lysyl oxidase homolog 2 OS=Homo sapiens OX=9606 GN=LOXL2 PE=1 SV=1
+MERPLCSHLCSCLAMLALLSPLSLAQYDSWPHYPEYFQQPAPEYHQPQAPANVAKIQLRLAGQKRKHSEGRVEVYYDGQWGTVCDDDFSIHAAHVVCRELGYVEAKSWTASSSYGKGEGPIWLDNLHCTGNEATLAACTSNGWGVTDCKHTEDVGVVCSDKRIPGFKFDNSLINQIENLNIQVEDIRIRAILSTYRKRTPVMEGYVEVKEGKTWKQICDKHWTAKNSRVVCGMFGFPGERTYNTKVYKMFASRRKQRYWPFSMDCTGTEAHISSCKLGPQVSLDPMKNVTCENGLPAVVSCVPGQVFSPDGPSRFRKAYKPEQPLVRLRGGAYIGEGRVEVLKNGEWGTVCDDKWDLVSASVVCRELGFGSAKEAVTGSRLGQGIGPIHLNEIQCTGNEKSIIDCKFNAESQGCNHEEDAGVRCNTPAMGLQKKLRLNGGRNPYEGRVEVLVERNGSLVWGMVCGQNWGIVEAMVVCRQLGLGFASNAFQETWYWHGDVNSNKVVMSGVKCSGTELSLAHCRHDGEDVACPQGGVQYGAGVACSETAPDLVLNAEMVQQTTYLEDRPMFMLQCAMEENCLSASAAQTDPTTGYRRLLRFSSQIHNNGQSDFRPKNGRHAWIWHDCHRHYHSMEVFTHYDLLNLNGTKVAEGHKASFCLEDTECEGDIQKNYECANFGDQGITMGCWDMYRHDIDCQWVDITDVPPGDYLFQVVINPNFEVAESDYSNNIMKCRSRYDGHRIWMYNCHIGGSFSEETEKKFEHFSGLLNNQLSPQ
+>DECOY_sp|Q9Y4K0|LOXL2_HUMAN Lysyl oxidase homolog 2 OS=Homo sapiens OX=9606 GN=LOXL2 PE=1 SV=1
+QPSLQNNLLGSFHEFKKETEESFSGGIHCNYMWIRHGDYRSRCKMINNSYDSEAVEFNPNIVVQFLYDGPPVDTIDVWQCDIDHRYMDWCGMTIGQDGFNACEYNKQIDGECETDELCFSAKHGEAVKTGNLNLLDYHTFVEMSHYHRHCDHWIWAHRGNKPRFDSQGNNHIQSSFRLLRRYGTTPDTQAASASLCNEEMACQLMFMPRDELYTTQQVMEANLVLDPATESCAVGAGYQVGGQPCAVDEGDHRCHALSLETGSCKVGSMVVKNSNVDGHWYWTEQFANSAFGLGLQRCVVMAEVIGWNQGCVMGWVLSGNREVLVEVRGEYPNRGGNLRLKKQLGMAPTNCRVGADEEHNCGQSEANFKCDIISKENGTCQIENLHIPGIGQGLRSGTVAEKASGFGLERCVVSASVLDWKDDCVTGWEGNKLVEVRGEGIYAGGRLRVLPQEPKYAKRFRSPGDPSFVQGPVCSVVAPLGNECTVNKMPDLSVQPGLKCSSIHAETGTCDMSFPWYRQKRRSAFMKYVKTNYTREGPFGFMGCVVRSNKATWHKDCIQKWTKGEKVEVYGEMVPTRKRYTSLIARIRIDEVQINLNEIQNILSNDFKFGPIRKDSCVVGVDETHKCDTVGWGNSTCAALTAENGTCHLNDLWIPGEGKGYSSSATWSKAEVYGLERCVVHAAHISFDDDCVTGWQGDYYVEVRGESHKRKQGALRLQIKAVNAPAQPQHYEPAPQQFYEPYHPWSDYQALSLPSLLALMALCSCLHSCLPREM
+>sp|Q96JB6|LOXL4_HUMAN Lysyl oxidase homolog 4 OS=Homo sapiens OX=9606 GN=LOXL4 PE=1 SV=1
+MAWSPPATLFLFLLLLGQPPPSRPQSLGTTKLRLVGPESKPEEGRLEVLHQGQWGTVCDDNFAIQEATVACRQLGFEAALTWAHSAKYGQGEGPIWLDNVRCVGTESSLDQCGSNGWGVSDCSHSEDVGVICHPRRHRGYLSETVSNALGPQGRRLEEVRLKPILASAKQHSPVTEGAVEVKYEGHWRQVCDQGWTMNNSRVVCGMLGFPSEVPVDSHYYRKVWDLKMRDPKSRLKSLTNKNSFWIHQVTCLGTEPHMANCQVQVAPARGKLRPACPGGMHAVVSCVAGPHFRPPKTKPQRKGSWAEEPRVRLRSGAQVGEGRVEVLMNRQWGTVCDHRWNLISASVVCRQLGFGSAREALFGARLGQGLGPIHLSEVRCRGYERTLSDCPALEGSQNGCQHENDAAVRCNVPNMGFQNQVRLAGGRIPEEGLLEVQVEVNGVPRWGSVCSENWGLTEAMVACRQLGLGFAIHAYKETWFWSGTPRAQEVVMSGVRCSGTELALQQCQRHGPVHCSHGGGRFLAGVSCMDSAPDLVMNAQLVQETAYLEDRPLSQLYCAHEENCLSKSADHMDWPYGYRRLLRFSTQIYNLGRTDFRPKTGRDSWVWHQCHRHYHSIEVFTHYDLLTLNGSKVAEGHKASFCLEDTNCPTGLQRRYACANFGEQGVTVGCWDTYRHDIDCQWVDITDVGPGNYIFQVIVNPHYEVAESDFSNNMLQCRCKYDGHRVWLHNCHTGNSYPANAELSLEQEQRLRNNLI
+>DECOY_sp|Q96JB6|LOXL4_HUMAN Lysyl oxidase homolog 4 OS=Homo sapiens OX=9606 GN=LOXL4 PE=1 SV=1
+ILNNRLRQEQELSLEANAPYSNGTHCNHLWVRHGDYKCRCQLMNNSFDSEAVEYHPNVIVQFIYNGPGVDTIDVWQCDIDHRYTDWCGVTVGQEGFNACAYRRQLGTPCNTDELCFSAKHGEAVKSGNLTLLDYHTFVEISHYHRHCQHWVWSDRGTKPRFDTRGLNYIQTSFRLLRRYGYPWDMHDASKSLCNEEHACYLQSLPRDELYATEQVLQANMVLDPASDMCSVGALFRGGGHSCHVPGHRQCQQLALETGSCRVGSMVVEQARPTGSWFWTEKYAHIAFGLGLQRCAVMAETLGWNESCVSGWRPVGNVEVQVELLGEEPIRGGALRVQNQFGMNPVNCRVAADNEHQCGNQSGELAPCDSLTREYGRCRVESLHIPGLGQGLRAGFLAERASGFGLQRCVVSASILNWRHDCVTGWQRNMLVEVRGEGVQAGSRLRVRPEEAWSGKRQPKTKPPRFHPGAVCSVVAHMGGPCAPRLKGRAPAVQVQCNAMHPETGLCTVQHIWFSNKNTLSKLRSKPDRMKLDWVKRYYHSDVPVESPFGLMGCVVRSNNMTWGQDCVQRWHGEYKVEVAGETVPSHQKASALIPKLRVEELRRGQPGLANSVTESLYGRHRRPHCIVGVDESHSCDSVGWGNSGCQDLSSETGVCRVNDLWIPGEGQGYKASHAWTLAAEFGLQRCAVTAEQIAFNDDCVTGWQGQHLVELRGEEPKSEPGVLRLKTTGLSQPRSPPPQGLLLLFLFLTAPPSWAM
+>sp|Q8NI32|LPD6B_HUMAN Ly6/PLAUR domain-containing protein 6B OS=Homo sapiens OX=9606 GN=LYPD6B PE=2 SV=1
+MLYKSSDRPAHKVSMLLLCHALAIAVVQIVIFSESWAFAKNINFYNVRPPLDPTPFPNSFKCFTCENAGDNYNCNRWAEDKWCPQNTQYCLTVHHFTSHGRSTSITKKCASRSECHFVGCHHSRDSEHTECRSCCEGMICNVELPTNHTNAVFAVMHAQRTSGSSAPTLYLPVLAWVFVLPLL
+>DECOY_sp|Q8NI32|LPD6B_HUMAN Ly6/PLAUR domain-containing protein 6B OS=Homo sapiens OX=9606 GN=LYPD6B PE=2 SV=1
+LLPLVFVWALVPLYLTPASSGSTRQAHMVAFVANTHNTPLEVNCIMGECCSRCETHESDRSHHCGVFHCESRSACKKTISTSRGHSTFHHVTLCYQTNQPCWKDEAWRNCNYNDGANECTFCKFSNPFPTPDLPPRVNYFNINKAFAWSESFIVIQVVAIALAHCLLLMSVKHAPRDSSKYLM
+>sp|Q92539|LPIN2_HUMAN Phosphatidate phosphatase LPIN2 OS=Homo sapiens OX=9606 GN=LPIN2 PE=1 SV=1
+MNYVGQLAGQVIVTVKELYKGINQATLSGCIDVIVVQQQDGSYQCSPFHVRFGKLGVLRSKEKVIDIEINGSAVDLHMKLGDNGEAFFVEETEEEYEKLPAYLATSPIPTEDQFFKDIDTPLVKSGGDETPSQSSDISHVLETETIFTPSSVKKKKRRRKKYKQDSKKEEQAASAAAEDTCDVGVSSDDDKGAQAARGSSNASLKEEECKEPLLFHSGDHYPLSDGDWSPLETTYPQTACPKSDSELEVKPAESLLRSESHMEWTWGGFPESTKVSKRERSDHHPRTATITPSENTHFRVIPSEDNLISEVEKDASMEDTVCTIVKPKPRALGTQMSDPTSVAELLEPPLESTQISSMLDADHLPNAALAEAPSESKPAAKVDSPSKKKGVHKRSQHQGPDDIYLDDLKGLEPEVAALYFPKSESEPGSRQWPESDTLSGSQSPQSVGSAAADSGTECLSDSAMDLPDVTLSLCGGLSENGEISKEKFMEHIITYHEFAENPGLIDNPNLVIRIYNRYYNWALAAPMILSLQVFQKSLPKATVESWVKDKMPKKSGRWWFWRKRESMTKQLPESKEGKSEAPPASDLPSSSKEPAGARPAENDSSSDEGSQELEESITVDPIPTEPLSHGSTTSYKKSLRLSSDQIAKLKLHDGPNDVVFSITTQYQGTCRCAGTIYLWNWNDKIIISDIDGTITKSDALGQILPQLGKDWTHQGIAKLYHSINENGYKFLYCSARAIGMADMTRGYLHWVNDKGTILPRGPLMLSPSSLFSAFHREVIEKKPEKFKIECLNDIKNLFAPSKQPFYAAFGNRPNDVYAYTQVGVPDCRIFTVNPKGELIQERTKGNKSSYHRLSELVEHVFPLLSKEQNSAFPCPEFSSFCYWRDPIPEVDLDDLS
+>DECOY_sp|Q92539|LPIN2_HUMAN Phosphatidate phosphatase LPIN2 OS=Homo sapiens OX=9606 GN=LPIN2 PE=1 SV=1
+SLDDLDVEPIPDRWYCFSSFEPCPFASNQEKSLLPFVHEVLESLRHYSSKNGKTREQILEGKPNVTFIRCDPVGVQTYAYVDNPRNGFAAYFPQKSPAFLNKIDNLCEIKFKEPKKEIVERHFASFLSSPSLMLPGRPLITGKDNVWHLYGRTMDAMGIARASCYLFKYGNENISHYLKAIGQHTWDKGLQPLIQGLADSKTITGDIDSIIIKDNWNWLYITGACRCTGQYQTTISFVVDNPGDHLKLKAIQDSSLRLSKKYSTTSGHSLPETPIPDVTISEELEQSGEDSSSDNEAPRAGAPEKSSSPLDSAPPAESKGEKSEPLQKTMSERKRWFWWRGSKKPMKDKVWSEVTAKPLSKQFVQLSLIMPAALAWNYYRNYIRIVLNPNDILGPNEAFEHYTIIHEMFKEKSIEGNESLGGCLSLTVDPLDMASDSLCETGSDAAASGVSQPSQSGSLTDSEPWQRSGPESESKPFYLAAVEPELGKLDDLYIDDPGQHQSRKHVGKKKSPSDVKAAPKSESPAEALAANPLHDADLMSSIQTSELPPELLEAVSTPDSMQTGLARPKPKVITCVTDEMSADKEVESILNDESPIVRFHTNESPTITATRPHHDSRERKSVKTSEPFGGWTWEMHSESRLLSEAPKVELESDSKPCATQPYTTELPSWDGDSLPYHDGSHFLLPEKCEEEKLSANSSGRAAQAGKDDDSSVGVDCTDEAAASAAQEEKKSDQKYKKRRRKKKKVSSPTFITETELVHSIDSSQSPTEDGGSKVLPTDIDKFFQDETPIPSTALYAPLKEYEEETEEVFFAEGNDGLKMHLDVASGNIEIDIVKEKSRLVGLKGFRVHFPSCQYSGDQQQVVIVDICGSLTAQNIGKYLEKVTVIVQGALQGVYNM
+>sp|Q86X40|LRC28_HUMAN Leucine-rich repeat-containing protein 28 OS=Homo sapiens OX=9606 GN=LRRC28 PE=2 SV=1
+MASELCKTISVARLEKHKNLFLNYRNLHHFPLELLKDEGLQYLERLYMKRNSLTSLPENLAQKLPNLVELYLHSNNIVVVPEAIGSLVKLQCLDLSDNALEIVCPEIGRLRALRHLRLANNQLQFLPPEVGDLKELQTLDISTNRLLTLPERLHMCLSLQYLTVDRNRLWYVPRHLCQLPSLNELSMAGNRLAFLPLDLGRSRELQYVYVDNNIHLKGLPSYLYNKVIGCSGCGAPIQVSEVKLLSFSSGQRTVFLPAEVKAIGTEHDHVLPLQELAMRGLYHTYHSLLKDLNFLSPISLPRSLLELLHCPLGHCHRCSEPMFTIVYPKLFPLRETPMAGLHQWKTTVSFVAYCCSTQCLQTFDLLS
+>DECOY_sp|Q86X40|LRC28_HUMAN Leucine-rich repeat-containing protein 28 OS=Homo sapiens OX=9606 GN=LRRC28 PE=2 SV=1
+SLLDFTQLCQTSCCYAVFSVTTKWQHLGAMPTERLPFLKPYVITFMPESCRHCHGLPCHLLELLSRPLSIPSLFNLDKLLSHYTHYLGRMALEQLPLVHDHETGIAKVEAPLFVTRQGSSFSLLKVESVQIPAGCGSCGIVKNYLYSPLGKLHINNDVYVYQLERSRGLDLPLFALRNGAMSLENLSPLQCLHRPVYWLRNRDVTLYQLSLCMHLREPLTLLRNTSIDLTQLEKLDGVEPPLFQLQNNALRLHRLARLRGIEPCVIELANDSLDLCQLKVLSGIAEPVVVINNSHLYLEVLNPLKQALNEPLSTLSNRKMYLRELYQLGEDKLLELPFHHLNRYNLFLNKHKELRAVSITKCLESAM
+>sp|Q14392|LRC32_HUMAN Leucine-rich repeat-containing protein 32 OS=Homo sapiens OX=9606 GN=LRRC32 PE=1 SV=1
+MRPQILLLLALLTLGLAAQHQDKVPCKMVDKKVSCQVLGLLQVPSVLPPDTETLDLSGNQLRSILASPLGFYTALRHLDLSTNEISFLQPGAFQALTHLEHLSLAHNRLAMATALSAGGLGPLPRVTSLDLSGNSLYSGLLERLLGEAPSLHTLSLAENSLTRLTRHTFRDMPALEQLDLHSNVLMDIEDGAFEGLPRLTHLNLSRNSLTCISDFSLQQLRVLDLSCNSIEAFQTASQPQAEFQLTWLDLRENKLLHFPDLAALPRLIYLNLSNNLIRLPTGPPQDSKGIHAPSEGWSALPLSAPSGNASGRPLSQLLNLDLSYNEIELIPDSFLEHLTSLCFLNLSRNCLRTFEARRLGSLPCLMLLDLSHNALETLELGARALGSLRTLLLQGNALRDLPPYTFANLASLQRLNLQGNRVSPCGGPDEPGPSGCVAFSGITSLRSLSLVDNEIELLRAGAFLHTPLTELDLSSNPGLEVATGALGGLEASLEVLALQGNGLMVLQVDLPCFICLKRLNLAENRLSHLPAWTQAVSLEVLDLRNNSFSLLPGSAMGGLETSLRRLYLQGNPLSCCGNGWLAAQLHQGRVDVDATQDLICRFSSQEEVSLSHVRPEDCEKGGLKNINLIIILTFILVSAILLTTLAACCCVRRQKFNQQYKA
+>DECOY_sp|Q14392|LRC32_HUMAN Leucine-rich repeat-containing protein 32 OS=Homo sapiens OX=9606 GN=LRRC32 PE=1 SV=1
+AKYQQNFKQRRVCCCAALTTLLIASVLIFTLIIILNINKLGGKECDEPRVHSLSVEEQSSFRCILDQTADVDVRGQHLQAALWGNGCCSLPNGQLYLRRLSTELGGMASGPLLSFSNNRLDLVELSVAQTWAPLHSLRNEALNLRKLCIFCPLDVQLVMLGNGQLALVELSAELGGLAGTAVELGPNSSLDLETLPTHLFAGARLLEIENDVLSLSRLSTIGSFAVCGSPGPEDPGGCPSVRNGQLNLRQLSALNAFTYPPLDRLANGQLLLTRLSGLARAGLELTELANHSLDLLMLCPLSGLRRAEFTRLCNRSLNLFCLSTLHELFSDPILEIENYSLDLNLLQSLPRGSANGSPASLPLASWGESPAHIGKSDQPPGTPLRILNNSLNLYILRPLAALDPFHLLKNERLDLWTLQFEAQPQSATQFAEISNCSLDLVRLQQLSFDSICTLSNRSLNLHTLRPLGEFAGDEIDMLVNSHLDLQELAPMDRFTHRTLRTLSNEALSLTHLSPAEGLLRELLGSYLSNGSLDLSTVRPLPGLGGASLATAMALRNHALSLHELHTLAQFAGPQLFSIENTSLDLHRLATYFGLPSALISRLQNGSLDLTETDPPLVSPVQLLGLVQCSVKKDVMKCPVKDQHQAALGLTLLALLLLIQPRM
+>sp|Q9H9A6|LRC40_HUMAN Leucine-rich repeat-containing protein 40 OS=Homo sapiens OX=9606 GN=LRRC40 PE=1 SV=1
+MSRLKRIAGQDLRAGFKAGGRDCGTSVPQGLLKAARKSGQLNLSGRNLSEVPQCVWRINVDIPEEANQNLSFGATERWWEQTDLTKLIISNNKLQSLTDDLRLLPALTVLDIHDNQLTSLPSAIRELENLQKLNVSHNKLKILPEEITNLRNLKCLYLQHNELTCISEGFEQLSNLEDLDLSNNHLTTVPASFSSLSSLVRLNLSSNELKSLPAEINRMKRLKHLDCNSNLLETIPPELAGMESLELLYLRRNKLRFLPEFPSCSLLKELHVGENQIEMLEAEHLKHLNSILVLDLRDNKLKSVPDEIILLRSLERLDLSNNDISSLPYSLGNLHLKFLALEGNPLRTIRREIISKGTQEVLKYLRSKIKDDGPSQSESATETAMTLPSESRVNIHAIITLKILDYSDKQATLIPDEVFDAVKSNIVTSINFSKNQLCEIPKRMVELKEMVSDVDLSFNKLSFISLELCVLQKLTFLDLRNNFLNSLPEEMESLVRLQTINLSFNRFKMLPEVLYRIFTLETILISNNQVGSVDPQKMKMMENLTTLDLQNNDLLQIPPELGNCVNLRTLLLDGNPFRVPRAAILMKGTAAILEYLRDRIPT
+>DECOY_sp|Q9H9A6|LRC40_HUMAN Leucine-rich repeat-containing protein 40 OS=Homo sapiens OX=9606 GN=LRRC40 PE=1 SV=1
+TPIRDRLYELIAATGKMLIAARPVRFPNGDLLLTRLNVCNGLEPPIQLLDNNQLDLTTLNEMMKMKQPDVSGVQNNSILITELTFIRYLVEPLMKFRNFSLNITQLRVLSEMEEPLSNLFNNRLDLFTLKQLVCLELSIFSLKNFSLDVDSVMEKLEVMRKPIECLQNKSFNISTVINSKVADFVEDPILTAQKDSYDLIKLTIIAHINVRSESPLTMATETASESQSPGDDKIKSRLYKLVEQTGKSIIERRITRLPNGELALFKLHLNGLSYPLSSIDNNSLDLRELSRLLIIEDPVSKLKNDRLDLVLISNLHKLHEAELMEIQNEGVHLEKLLSCSPFEPLFRLKNRRLYLLELSEMGALEPPITELLNSNCDLHKLRKMRNIEAPLSKLENSSLNLRVLSSLSSFSAPVTTLHNNSLDLDELNSLQEFGESICTLENHQLYLCKLNRLNTIEEPLIKLKNHSVNLKQLNELERIASPLSTLQNDHIDLVTLAPLLRLDDTLSQLKNNSIILKTLDTQEWWRETAGFSLNQNAEEPIDVNIRWVCQPVESLNRGSLNLQGSKRAAKLLGQPVSTGCDRGGAKFGARLDQGAIRKLRSM
+>sp|Q15345|LRC41_HUMAN Leucine-rich repeat-containing protein 41 OS=Homo sapiens OX=9606 GN=LRRC41 PE=1 SV=3
+MAAPEAWRARSCWFCEVAAATTMEATSREAAPAKSSASGPNAPPALFELCGRAVSAHMGVLESGVWALPGPILQSILPLLNIYYLERIEETALKKGLSTQAIWRRLWDELMKTRPSSLESVTCWRAKFMEAFFSHVLRGTIDVSSDRRLCDQRFSPLLHSSRHVRQLTICNMLQGATELVAEPNRRVLETLASSLHTLKFRHLLFSDVAAQQSLRQLLHQLIHHGAVSQVSLYSWPVPESALFILILTMSAGFWQPGPGGPPCRLCGEASRGRAPSRDEGSLLLGSRRPRRDAAERCAAALMASRRKSEAKQMPRAAPATRVTRRSTQESLTAGGTDLKRELHPPATSHEAPGTKRSPSAPAATSSASSSTSSYKRAPASSAPQPKPLKRFKRAAGKKGARTRQGPGAESEDLYDFVFIVAGEKEDGEEMEIGEVACGALDGSDPSCLGLPALEASQRFRSISTLELFTVPLSTEAALTLCHLLSSWVSLESLTLSYNGLGSNIFRLLDSLRALSGQAGCRLRALHLSDLFSPLPILELTRAIVRALPLLRVLSIRVDHPSQRDNPGVPGNAGPPSHIIGDEEIPENCLEQLEMGFPRGAQPAPLLCSVLKASGSLQQLSLDSATFASPQDFGLVLQTLKEYNLALKRLSFHDMNLADCQSEVLFLLQNLTLQEITFSFCRLFEKRPAQFLPEMVAAMKGNSTLKGLRLPGNRLGNAGLLALADVFSEDSSSSLCQLDISSNCIKPDGLLEFAKRLERWGRGAFGHLRLFQNWLDQDAVTAREAIRRLRATCHVVSDSWDSSQAFADYVSTM
+>DECOY_sp|Q15345|LRC41_HUMAN Leucine-rich repeat-containing protein 41 OS=Homo sapiens OX=9606 GN=LRRC41 PE=1 SV=3
+MTSVYDAFAQSSDWSDSVVHCTARLRRIAERATVADQDLWNQFLRLHGFAGRGWRELRKAFELLGDPKICNSSIDLQCLSSSSDESFVDALALLGANGLRNGPLRLGKLTSNGKMAAVMEPLFQAPRKEFLRCFSFTIEQLTLNQLLFLVESQCDALNMDHFSLRKLALNYEKLTQLVLGFDQPSAFTASDLSLQQLSGSAKLVSCLLPAPQAGRPFGMELQELCNEPIEEDGIIHSPPGANGPVGPNDRQSPHDVRISLVRLLPLARVIARTLELIPLPSFLDSLHLARLRCGAQGSLARLSDLLRFINSGLGNYSLTLSELSVWSSLLHCLTLAAETSLPVTFLELTSISRFRQSAELAPLGLCSPDSGDLAGCAVEGIEMEEGDEKEGAVIFVFDYLDESEAGPGQRTRAGKKGAARKFRKLPKPQPASSAPARKYSSTSSSASSTAAPASPSRKTGPAEHSTAPPHLERKLDTGGATLSEQTSRRTVRTAPAARPMQKAESKRRSAMLAAACREAADRRPRRSGLLLSGEDRSPARGRSAEGCLRCPPGGPGPQWFGASMTLILIFLASEPVPWSYLSVQSVAGHHILQHLLQRLSQQAAVDSFLLHRFKLTHLSSALTELVRRNPEAVLETAGQLMNCITLQRVHRSSHLLPSFRQDCLRRDSSVDITGRLVHSFFAEMFKARWCTVSELSSPRTKMLEDWLRRWIAQTSLGKKLATEEIRELYYINLLPLISQLIPGPLAWVGSELVGMHASVARGCLEFLAPPANPGSASSKAPAAERSTAEMTTAAAVECFWCSRARWAEPAAM
+>sp|Q96CN5|LRC45_HUMAN Leucine-rich repeat-containing protein 45 OS=Homo sapiens OX=9606 GN=LRRC45 PE=1 SV=1
+MEEFRRSYSRLCRESGAEPQEAVLQQLHQLPRGRLDLATQSLTVETCRALGKLLPRETLCTELVLSDCMLSEEGATLLLRGLCANTVLRFLDLKGNNLRAAGAEALGKLLQQNKSIQSLTLEWNSLGTWDDAFATFCGGLAANGALQRLDLRNNQISHKGAEELALALKGNTTLQQLDLRWNNVGLLGGRALMNCLPSNRTLWRLDLAGNNIPGDVLRAVEQAMGHSQDRLTTFQENQARTHVLSKEVQHLREEKSKQFLDLMETIDKQREEMAKSSRASAARVGQLQEALNERHSIINALKAKLQMTEAALALSEQKAQDLGELLATAEQEQLSLSQRQAKELKLEQQEAAERESKLLRDLSAANEKNLLLQNQVDELERKFRCQQEQLFQTRQEMTSMSAELKMRAIQAEERLDMEKRRCRQSLEDSESLRIKEVEHMTRHLEESEKAMQERVQRLEAARLSLEEELSRVKAAALSERGQAEEELIKAKSQARLEEQQRLAHLEDKLRLLAQARDEAQGACLQQKQVVAEAQTRVSQLGLQVEGLRRRLEELQQELSLKDQERVAEVSRVRVELQEQNGRLQAELAAQEALREKAAALERQLKVMASDHREALLDRESENASLREKLRLREAEIARIRDEEAQRASFLQNAVLAYVQASPVRTLSPPK
+>DECOY_sp|Q96CN5|LRC45_HUMAN Leucine-rich repeat-containing protein 45 OS=Homo sapiens OX=9606 GN=LRRC45 PE=1 SV=1
+KPPSLTRVPSAQVYALVANQLFSARQAEEDRIRAIEAERLRLKERLSANESERDLLAERHDSAMVKLQRELAAAKERLAEQAALEAQLRGNQEQLEVRVRSVEAVREQDKLSLEQQLEELRRRLGEVQLGLQSVRTQAEAVVQKQQLCAGQAEDRAQALLRLKDELHALRQQEELRAQSKAKILEEEAQGRESLAAAKVRSLEEELSLRAAELRQVREQMAKESEELHRTMHEVEKIRLSESDELSQRCRRKEMDLREEAQIARMKLEASMSTMEQRTQFLQEQQCRFKRELEDVQNQLLLNKENAASLDRLLKSEREAAEQQELKLEKAQRQSLSLQEQEATALLEGLDQAKQESLALAAETMQLKAKLANIISHRENLAEQLQGVRAASARSSKAMEERQKDITEMLDLFQKSKEERLHQVEKSLVHTRAQNEQFTTLRDQSHGMAQEVARLVDGPINNGALDLRWLTRNSPLCNMLARGGLLGVNNWRLDLQQLTTNGKLALALEEAGKHSIQNNRLDLRQLAGNAALGGCFTAFADDWTGLSNWELTLSQISKNQQLLKGLAEAGAARLNNGKLDLFRLVTNACLGRLLLTAGEESLMCDSLVLETCLTERPLLKGLARCTEVTLSQTALDLRGRPLQHLQQLVAEQPEAGSERCLRSYSRRFEEM
+>sp|Q8N1G4|LRC47_HUMAN Leucine-rich repeat-containing protein 47 OS=Homo sapiens OX=9606 GN=LRRC47 PE=1 SV=1
+MAAAAVSESWPELELAERERRRELLLTGPGLEERVRAAGGQLPPRLFTLPLLHYLEVSGCGSLRAPGPGLAQGLPQLHSLVLRRNALGPGLSPELGPLPALRVLDLSGNALEALPPGQGLGPAEPPGLPQLQSLNLSGNRLRELPADLARCAPRLQSLNLTGNCLDSFPAELFRPGALPLLSELAAADNCLRELSPDIAHLASLKTLDLSNNQLSEIPAELADCPKLKEINFRGNKLRDKRLEKMVSGCQTRSILEYLRVGGRGGGKGKGRAEGSEKEESRRKRRERKQRREGGDGEEQDVGDAGRLLLRVLHVSENPVPLTVRVSPEVRDVRPYIVGAVVRGMDLQPGNALKRFLTSQTKLHEDLCEKRTAATLATHELRAVKGPLLYCARPPQDLKIVPLGRKEAKAKELVRQLQLEAEEQRKQKKRQSVSGLHRYLHLLDGNENYPCLVDADGDVISFPPITNSEKTKVKKTTSDLFLEVTSATSLQICKDVMDALILKMAEMKKYTLENKEEGSLSDTEADAVSGQLPDPTTNPSAGKDGPSLLVVEQVRVVDLEGSLKVVYPSKADLATAPPHVTVVR
+>DECOY_sp|Q8N1G4|LRC47_HUMAN Leucine-rich repeat-containing protein 47 OS=Homo sapiens OX=9606 GN=LRRC47 PE=1 SV=1
+RVVTVHPPATALDAKSPYVVKLSGELDVVRVQEVVLLSPGDKGASPNTTPDPLQGSVADAETDSLSGEEKNELTYKKMEAMKLILADMVDKCIQLSTASTVELFLDSTTKKVKTKESNTIPPFSIVDGDADVLCPYNENGDLLHLYRHLGSVSQRKKQKRQEEAELQLQRVLEKAKAEKRGLPVIKLDQPPRACYLLPGKVARLEHTALTAATRKECLDEHLKTQSTLFRKLANGPQLDMGRVVAGVIYPRVDRVEPSVRVTLPVPNESVHLVRLLLRGADGVDQEEGDGGERRQKRERRKRRSEEKESGEARGKGKGGGRGGVRLYELISRTQCGSVMKELRKDRLKNGRFNIEKLKPCDALEAPIESLQNNSLDLTKLSALHAIDPSLERLCNDAAALESLLPLAGPRFLEAPFSDLCNGTLNLSQLRPACRALDAPLERLRNGSLNLSQLQPLGPPEAPGLGQGPPLAELANGSLDLVRLAPLPGLEPSLGPGLANRRLVLSHLQPLGQALGPGPARLSGCGSVELYHLLPLTFLRPPLQGGAARVREELGPGTLLLERRREREALELEPWSESVAAAAM
+>sp|Q96E66|LRC51_HUMAN Leucine-rich repeat-containing protein 51 OS=Homo sapiens OX=9606 GN=LRTOMT PE=1 SV=1
+MNKRDYMNTSVQEPPLDYSFRSIHVIQDLVNEEPRTGLRPLKRSKSGKSLTQSLWLNNNVLNDLRDFNQVASQLLEHPENLAWIDLSFNDLTSIDPVLTTFFNLSVLYLHGNSIQRLGEVNKLAVLPRLRSLTLHGNPMEEEKGYRQYVLCTLSRITTFDFSGVTKADRTTAEVWKRMNIKPKKAWTKQNTL
+>DECOY_sp|Q96E66|LRC51_HUMAN Leucine-rich repeat-containing protein 51 OS=Homo sapiens OX=9606 GN=LRTOMT PE=1 SV=1
+LTNQKTWAKKPKINMRKWVEATTRDAKTVGSFDFTTIRSLTCLVYQRYGKEEEMPNGHLTLSRLRPLVALKNVEGLRQISNGHLYLVSLNFFTTLVPDISTLDNFSLDIWALNEPHELLQSAVQNFDRLDNLVNNNLWLSQTLSKGSKSRKLPRLGTRPEENVLDQIVHISRFSYDLPPEQVSTNMYDRKNM
+>sp|A6NM62|LRC53_HUMAN Leucine-rich repeat-containing protein 53 OS=Homo sapiens OX=9606 GN=LRRC53 PE=4 SV=2
+MLRLVAACPESCVVCTKDVTLCHQLTYIVAAPMTTRVLIITDGYLSSIESTNLSLLFNLALLSLSRNGIEDVQEDALHGLTMLRTLLLEHNQISSSSLTDHTFSKLHSLQVLVLSNNALRTLRGSWFRNTSGLTRLQLDGNQITNLTDSSFGGTNLHSLRYLDLSNNFISYIGKDAFRPLPQLQEVDLSRNRLAHMPDVFTPLKQLILLSLDKNQWSCTCDLHPLARFLRNYIKSSAHTLRNAKDLNCQPSTAAVAAAQSVLRLSETNCDSKAPNFTLVLKDRSPLLPGPDVALLTVLGFAGAVGLTCLGLVVFNWKLHQGKANEHTSENLCCRTFDEPLCAHEARNYHTKGYCNCHLTQENEIKVMSTVGSRKEMPLLQENSHQATSASESATLDGSFRNLKKKDRGVGSTLFCQDGRLLHSECSEPPGNMRAFNEAGLLTTYNPRKVQKLWNLEPGEVQPQTLQHHIIRTEDISSDIFRRRYATPASALAGESLEKRLTNESWQPPIEKEDNGLHPHRQRHFITSSSSKPCEPEEHYVQKIVQKNRSKYDDPCGLLKQSKPRYFQPNNSLICKYVPCEQFEDYMKEKKPNRRQHSKPEKEQIQINSAIEKFLMSEDNIDLSGLSTKTKKAYSPKRVIFHDPDLVEINRSMMSPKISTPWKRQKNQSNQLTKLDVKKFSNTGERNKGEKWFTNSWVLKRKRTPQSDLKGKIKGQNLKLNLHPFRKVRVHPEKSLSSLPKQCKQVLLPPKKLSKTSETEAKINTVCSADFLQQSESSNYVRLTSKRLPLKHDSKQTPYYQRNTKRAPLLSANNLRVVNQSSIESSCYSAGHIPDGNTSKLPQPTPTDAEHRHSHSQFSTEQMEDATQLESKVLSYLATTWENTGSDVLPFQHSRRATDQGTTESTEHMGQNVSKTSELNQFSLSPRNQTQLLDAHKTDSYNKEYTLDQNEALQHREQNSSHAQLENKEKTLMTKPQISHQIVENCIMDKEENDVEKKLSKTETYDSSLIPQTQSKNNLSFMKTNSIPYQNRIELPKDISTSPVSSQAVWHLTNSSEKGIDSTNALPRNDGTEALEIKIVGKEEKNMLDESKTDSSMLTQISQMTLKGITKERQQTWENGTSEKYILHDASSAEETITAKDLSITSSHETQNRILCSEVDPEVNSNVHNFREVQNIQPDKDSAHKEGAMTVETHEALSFLPGLKDSFEAENEVFLVPSRINEAENSAPKPVLYPPSAEYATTSPLETE
+>DECOY_sp|A6NM62|LRC53_HUMAN Leucine-rich repeat-containing protein 53 OS=Homo sapiens OX=9606 GN=LRRC53 PE=4 SV=2
+ETELPSTTAYEASPPYLVPKPASNEAENIRSPVLFVENEAEFSDKLGPLFSLAEHTEVTMAGEKHASDKDPQINQVERFNHVNSNVEPDVESCLIRNQTEHSSTISLDKATITEEASSADHLIYKESTGNEWTQQREKTIGKLTMQSIQTLMSSDTKSEDLMNKEEKGVIKIELAETGDNRPLANTSDIGKESSNTLHWVAQSSVPSTSIDKPLEIRNQYPISNTKMFSLNNKSQTQPILSSDYTETKSLKKEVDNEEKDMICNEVIQHSIQPKTMLTKEKNELQAHSSNQERHQLAENQDLTYEKNYSDTKHADLLQTQNRPSLSFQNLESTKSVNQGMHETSETTGQDTARRSHQFPLVDSGTNEWTTALYSLVKSELQTADEMQETSFQSHSHRHEADTPTPQPLKSTNGDPIHGASYCSSEISSQNVVRLNNASLLPARKTNRQYYPTQKSDHKLPLRKSTLRVYNSSESQQLFDASCVTNIKAETESTKSLKKPPLLVQKCQKPLSSLSKEPHVRVKRFPHLNLKLNQGKIKGKLDSQPTRKRKLVWSNTFWKEGKNREGTNSFKKVDLKTLQNSQNKQRKWPTSIKPSMMSRNIEVLDPDHFIVRKPSYAKKTKTSLGSLDINDESMLFKEIASNIQIQEKEPKSHQRRNPKKEKMYDEFQECPVYKCILSNNPQFYRPKSQKLLGCPDDYKSRNKQVIKQVYHEEPECPKSSSSTIFHRQRHPHLGNDEKEIPPQWSENTLRKELSEGALASAPTAYRRRFIDSSIDETRIIHHQLTQPQVEGPELNWLKQVKRPNYTTLLGAENFARMNGPPESCESHLLRGDQCFLTSGVGRDKKKLNRFSGDLTASESASTAQHSNEQLLPMEKRSGVTSMVKIENEQTLHCNCYGKTHYNRAEHACLPEDFTRCCLNESTHENAKGQHLKWNFVVLGLCTLGVAGAFGLVTLLAVDPGPLLPSRDKLVLTFNPAKSDCNTESLRLVSQAAAVAATSPQCNLDKANRLTHASSKIYNRLFRALPHLDCTCSWQNKDLSLLILQKLPTFVDPMHALRNRSLDVEQLQPLPRFADKGIYSIFNNSLDLYRLSHLNTGGFSSDTLNTIQNGDLQLRTLGSTNRFWSGRLTRLANNSLVLVQLSHLKSFTHDTLSSSSIQNHELLLTRLMTLGHLADEQVDEIGNRSLSLLALNFLLSLNTSEISSLYGDTIILVRTTMPAAVIYTLQHCLTVDKTCVVCSEPCAAVLRLM
+>sp|Q05C16|LRC63_HUMAN Leucine-rich repeat-containing protein 63 OS=Homo sapiens OX=9606 GN=LRRC63 PE=2 SV=2
+MQKPPLLLRRPLPPKFTKLSLHEKKTHTAKTGKIESLHVAFTEDETTSIKMDRTRFPDVLRNQSLTPINIQNIFLDHCVQERVTAISSPQKSTKHVREQIPDTATGSIFFPHCNSASTRIFGKQTNKMESSRKFKTMKDVYTEKRLENILILSSKFSKPKSTPGSVIAQKLEKMHPKHQPLPESPGYTYQHISRDLSATVPSPPPMTVSMKPEGQWPEHFKSTATLTLRVTEFPGFVSLPTPVLPRKPHRQSVIETLVTENGNIESVPKQIPPRPPEGLTKTEKIESEIHVVRGEGFKTVAATRYETITAMTNLAIVNCQVYGRNALNLKGFFILNCPDLTPLAFQLIYLNLSFNDLHYFPTEILCLKNLQILKLRNNPIKEIPSEIQQLEFLRIFTIAFNLITVLPIGLFSLSYLEELDVSYNELTFIPNEIQKLRSLEKLTVDGNELSFFPHGILKLNLTKIQFENNFTHPCFWRDNYLNNPQQLTQIISLFIVQNKLHKFYDKIPVEVQKLLKWGAQFLTELAPLSIYSSRKAITEGYIAVELPKFEESKNITEGLLTQKRYEEVMVKCINATTVTS
+>DECOY_sp|Q05C16|LRC63_HUMAN Leucine-rich repeat-containing protein 63 OS=Homo sapiens OX=9606 GN=LRRC63 PE=2 SV=2
+STVTTANICKVMVEEYRKQTLLGETINKSEEFKPLEVAIYGETIAKRSSYISLPALETLFQAGWKLLKQVEVPIKDYFKHLKNQVIFLSIIQTLQQPNNLYNDRWFCPHTFNNEFQIKTLNLKLIGHPFFSLENGDVTLKELSRLKQIENPIFTLENYSVDLEELYSLSFLGIPLVTILNFAITFIRLFELQQIESPIEKIPNNRLKLIQLNKLCLIETPFYHLDNFSLNLYILQFALPTLDPCNLIFFGKLNLANRGYVQCNVIALNTMATITEYRTAAVTKFGEGRVVHIESEIKETKTLGEPPRPPIQKPVSEINGNETVLTEIVSQRHPKRPLVPTPLSVFGPFETVRLTLTATSKFHEPWQGEPKMSVTMPPPSPVTASLDRSIHQYTYGPSEPLPQHKPHMKELKQAIVSGPTSKPKSFKSSLILINELRKETYVDKMTKFKRSSEMKNTQKGFIRTSASNCHPFFISGTATDPIQERVHKTSKQPSSIATVREQVCHDLFINQINIPTLSQNRLVDPFRTRDMKISTTEDETFAVHLSEIKGTKATHTKKEHLSLKTFKPPLPRRLLLPPKQM
+>sp|Q68CR7|LRC66_HUMAN Leucine-rich repeat-containing protein 66 OS=Homo sapiens OX=9606 GN=LRRC66 PE=2 SV=1
+MKNLYFRVITIVIGLYFTGIMTNASRKSNILFNSECQWNEYILTNCSFTGKCDIPVDISQTAATVDVSFNFFRVLLQSHTKKEEWKIKHLDLSNNLISKITLSPFAYLHALEVLNLSNNAIHSLSLDLLSPKSSWVKRHRSSFRNRFPLLKVLILQRNKLSDTPKGLWKLKSLQSLDLSFNGILQIGWSDFHNCLQLENLCLKSNKIFKIPPQAFKDLKKLQVIDLSNNALITILPMMIIALEFPHLVVDLADNNWQCDDSVAVFQNFISESWRKKWNVICNRSIGSEEANGGTPQSRISRETRLPPIHLHRMKSLIRSKAERPQGGRHTGISTLGKKAKAGSGLRKKQRRLPRSVRSTRDVQAAGKKEDAPQDLALAVCLSVFITFLVAFSLGAFTRPYVDRLWQKKCQSKSPGLDNAYSNEGFYDDMEAAGHTPHPETHLRQVFPHLSLYENQTPFWVTQPHPHATVIPDRTLGRSRKDPGSSQSPGQCGDNTGAGSGNDGAVYSILQRHPHAGNRELMSAAQDHIHRNDILGEWTYETVAQEEPLSAHSVGVSSVAGTSHAVSGSSRYDSNELDPSLSGEITASLCKMLTHAEAQRTGDSKERGGTEQSLWDSQMEFSKERQVSSSIDLLSIQQPRLSGARAEEALSAHYSEVPYGDPRDTGPSVFPPRWDSGLDVTPANKEPVQKSTPSDTCCELESDCDSDEGSLFTLSSISSESARSKTEEAVPDEESLQDESSGASKDNVTAVDSLEENVTFQTIPGKCKNQEDPFEKPLISAPDSGMYKTHLENASDTDRSEGLSPWPRSPGNSPLGDEFPGMFTYDYDTALQSKAAEWHCSLRDLEFSNVDVLQQTPPCSAEVPSDPDKAAFHERDSDILK
+>DECOY_sp|Q68CR7|LRC66_HUMAN Leucine-rich repeat-containing protein 66 OS=Homo sapiens OX=9606 GN=LRRC66 PE=2 SV=1
+KLIDSDREHFAAKDPDSPVEASCPPTQQLVDVNSFELDRLSCHWEAAKSQLATDYDYTFMGPFEDGLPSNGPSRPWPSLGESRDTDSANELHTKYMGSDPASILPKEFPDEQNKCKGPITQFTVNEELSDVATVNDKSAGSSEDQLSEEDPVAEETKSRASESSISSLTFLSGEDSDCDSELECCTDSPTSKQVPEKNAPTVDLGSDWRPPFVSPGTDRPDGYPVESYHASLAEEARAGSLRPQQISLLDISSSVQREKSFEMQSDWLSQETGGREKSDGTRQAEAHTLMKCLSATIEGSLSPDLENSDYRSSGSVAHSTGAVSSVGVSHASLPEEQAVTEYTWEGLIDNRHIHDQAASMLERNGAHPHRQLISYVAGDNGSGAGTNDGCQGPSQSSGPDKRSRGLTRDPIVTAHPHPQTVWFPTQNEYLSLHPFVQRLHTEPHPTHGAAEMDDYFGENSYANDLGPSKSQCKKQWLRDVYPRTFAGLSFAVLFTIFVSLCVALALDQPADEKKGAAQVDRTSRVSRPLRRQKKRLGSGAKAKKGLTSIGTHRGGQPREAKSRILSKMRHLHIPPLRTERSIRSQPTGGNAEESGISRNCIVNWKKRWSESIFNQFVAVSDDCQWNNDALDVVLHPFELAIIMMPLITILANNSLDIVQLKKLDKFAQPPIKFIKNSKLCLNELQLCNHFDSWGIQLIGNFSLDLSQLSKLKWLGKPTDSLKNRQLILVKLLPFRNRFSSRHRKVWSSKPSLLDLSLSHIANNSLNLVELAHLYAFPSLTIKSILNNSLDLHKIKWEEKKTHSQLLVRFFNFSVDVTAATQSIDVPIDCKGTFSCNTLIYENWQCESNFLINSKRSANTMIGTFYLGIVITIVRFYLNKM
+>sp|Q8N4P6|LRC71_HUMAN Leucine-rich repeat-containing protein 71 OS=Homo sapiens OX=9606 GN=LRRC71 PE=2 SV=1
+MSSEQSAPGASPRAPRPGTQKSSGAVTKKGERAAKEKPATVLPPVGEEEPKSPEEYQCSGVLETDFAELCTRWGYTDFPKVVNRPRPHPPFVPSASLSEKATLDDPRLSGSCSLNSLESKYVFFRPTIQVELEQEDSKSVKEIYIRGWKVEERILGVFSKCLPPLTQLQAINLWKVGLTDKTLTTFIELLPLCSSTLRKVSLEGNPLPEQSYHKLMALDSTIAHLSLRNNNIDDRGAQLLGQALSTLHSCNRTLVSLNLGFNHIGDEGAGYIADGLRLNRSLLWLSLAHNRIQDKGALKLAEVLRAFELTHTEVVERRRLLLEKGTQERSRSPSSSRHGDSKTDREKSQMVGISNSALVDKTDKTQTMKTPKGLGKKKEKSWELAKKEEKLGSGQSPTQGTPKKEDATKAGKGKVTIPEQKPSRAKGIKIGSREKRSILLESELVVEATEVVNPLLEPVEHRDGKVFMPGNKVLLHLNLIRNRITEVGLEGFLATVQYQMQFSKAKSASKGPVGLLWLSLAKNCFAPQCPAYAIIQELMLPRDPIKAKLREDEAMAFFP
+>DECOY_sp|Q8N4P6|LRC71_HUMAN Leucine-rich repeat-containing protein 71 OS=Homo sapiens OX=9606 GN=LRRC71 PE=2 SV=1
+PFFAMAEDERLKAKIPDRPLMLEQIIAYAPCQPAFCNKALSLWLLGVPGKSASKAKSFQMQYQVTALFGELGVETIRNRILNLHLLVKNGPMFVKGDRHEVPELLPNVVETAEVVLESELLISRKERSGIKIGKARSPKQEPITVKGKGAKTADEKKPTGQTPSQGSGLKEEKKALEWSKEKKKGLGKPTKMTQTKDTKDVLASNSIGVMQSKERDTKSDGHRSSSPSRSREQTGKELLLRRREVVETHTLEFARLVEALKLAGKDQIRNHALSLWLLSRNLRLGDAIYGAGEDGIHNFGLNLSVLTRNCSHLTSLAQGLLQAGRDDINNNRLSLHAITSDLAMLKHYSQEPLPNGELSVKRLTSSCLPLLEIFTTLTKDTLGVKWLNIAQLQTLPPLCKSFVGLIREEVKWGRIYIEKVSKSDEQELEVQITPRFFVYKSELSNLSCSGSLRPDDLTAKESLSASPVFPPHPRPRNVVKPFDTYGWRTCLEAFDTELVGSCQYEEPSKPEEEGVPPLVTAPKEKAAREGKKTVAGSSKQTGPRPARPSAGPASQESSM
+>sp|Q96JA1|LRIG1_HUMAN Leucine-rich repeats and immunoglobulin-like domains protein 1 OS=Homo sapiens OX=9606 GN=LRIG1 PE=1 SV=2
+MARPVRGGLGAPRRSPCLLLLWLLLLRLEPVTAAAGPRAPCAAACTCAGDSLDCGGRGLAALPGDLPSWTRSLNLSYNKLSEIDPAGFEDLPNLQEVYLNNNELTAVPSLGAASSHVVSLFLQHNKIRSVEGSQLKAYLSLEVLDLSLNNITEVRNTCFPHGPPIKELNLAGNRIGTLELGAFDGLSRSLLTLRLSKNRITQLPVRAFKLPRLTQLDLNRNRIRLIEGLTFQGLNSLEVLKLQRNNISKLTDGAFWGLSKMHVLHLEYNSLVEVNSGSLYGLTALHQLHLSNNSIARIHRKGWSFCQKLHELVLSFNNLTRLDEESLAELSSLSVLRLSHNSISHIAEGAFKGLRSLRVLDLDHNEISGTIEDTSGAFSGLDSLSKLTLFGNKIKSVAKRAFSGLEGLEHLNLGGNAIRSVQFDAFVKMKNLKELHISSDSFLCDCQLKWLPPWLIGRMLQAFVTATCAHPESLKGQSIFSVPPESFVCDDFLKPQIITQPETTMAMVGKDIRFTCSAASSSSSPMTFAWKKDNEVLTNADMENFVHVHAQDGEVMEYTTILHLRQVTFGHEGRYQCVITNHFGSTYSHKARLTVNVLPSFTKTPHDITIRTTTMARLECAATGHPNPQIAWQKDGGTDFPAARERRMHVMPDDDVFFITDVKIDDAGVYSCTAQNSAGSISANATLTVLETPSLVVPLEDRVVSVGETVALQCKATGNPPPRITWFKGDRPLSLTERHHLTPDNQLLVVQNVVAEDAGRYTCEMSNTLGTERAHSQLSVLPAAGCRKDGTTVGIFTIAVVSSIVLTSLVWVCIIYQTRKKSEEYSVTNTDETVVPPDVPSYLSSQGTLSDRQETVVRTEGGPQANGHIESNGVCPRDASHFPEPDTHSVACRQPKLCAGSAYHKEPWKAMEKAEGTPGPHKMEHGGRVVCSDCNTEVDCYSRGQAFHPQPVSRDSAQPSAPNGPEPGGSDQEHSPHHQCSRTAAGSCPECQGSLYPSNHDRMLTAVKKKPMASLDGKGDSSWTLARLYHPDSTELQPASSLTSGSPERAEAQYLLVSNGHLPKACDASPESTPLTGQLPGKQRVPLLLAPKS
+>DECOY_sp|Q96JA1|LRIG1_HUMAN Leucine-rich repeats and immunoglobulin-like domains protein 1 OS=Homo sapiens OX=9606 GN=LRIG1 PE=1 SV=2
+SKPALLLPVRQKGPLQGTLPTSEPSADCAKPLHGNSVLLYQAEAREPSGSTLSSAPQLETSDPHYLRALTWSSDGKGDLSAMPKKKVATLMRDHNSPYLSGQCEPCSGAATRSCQHHPSHEQDSGGPEPGNPASPQASDRSVPQPHFAQGRSYCDVETNCDSCVVRGGHEMKHPGPTGEAKEMAKWPEKHYASGACLKPQRCAVSHTDPEPFHSADRPCVGNSEIHGNAQPGGETRVVTEQRDSLTGQSSLYSPVDPPVVTEDTNTVSYEESKKRTQYIICVWVLSTLVISSVVAITFIGVTTGDKRCGAAPLVSLQSHARETGLTNSMECTYRGADEAVVNQVVLLQNDPTLHHRETLSLPRDGKFWTIRPPPNGTAKCQLAVTEGVSVVRDELPVVLSPTELVTLTANASISGASNQATCSYVGADDIKVDTIFFVDDDPMVHMRRERAAPFDTGGDKQWAIQPNPHGTAACELRAMTTTRITIDHPTKTFSPLVNVTLRAKHSYTSGFHNTIVCQYRGEHGFTVQRLHLITTYEMVEGDQAHVHVFNEMDANTLVENDKKWAFTMPSSSSSAASCTFRIDKGVMAMTTEPQTIIQPKLFDDCVFSEPPVSFISQGKLSEPHACTATVFAQLMRGILWPPLWKLQCDCLFSDSSIHLEKLNKMKVFADFQVSRIANGGLNLHELGELGSFARKAVSKIKNGFLTLKSLSDLGSFAGSTDEITGSIENHDLDLVRLSRLGKFAGEAIHSISNHSLRLVSLSSLEALSEEDLRTLNNFSLVLEHLKQCFSWGKRHIRAISNNSLHLQHLATLGYLSGSNVEVLSNYELHLVHMKSLGWFAGDTLKSINNRQLKLVELSNLGQFTLGEILRIRNRNLDLQTLRPLKFARVPLQTIRNKSLRLTLLSRSLGDFAGLELTGIRNGALNLEKIPPGHPFCTNRVETINNLSLDLVELSLYAKLQSGEVSRIKNHQLFLSVVHSSAAGLSPVATLENNNLYVEQLNPLDEFGAPDIESLKNYSLNLSRTWSPLDGPLAALGRGGCDLSDGACTCAAACPARPGAAATVPELRLLLLWLLLLCPSRRPAGLGGRVPRAM
+>sp|Q6UXM1|LRIG3_HUMAN Leucine-rich repeats and immunoglobulin-like domains protein 3 OS=Homo sapiens OX=9606 GN=LRIG3 PE=2 SV=1
+MSAPSLRARAAGLGLLLCAVLGRAGRSDSGGRGELGQPSGVAAERPCPTTCRCLGDLLDCSRKRLARLPEPLPSWVARLDLSHNRLSFIKASSMSHLQSLREVKLNNNELETIPNLGPVSANITLLSLAGNRIVEILPEHLKEFQSLETLDLSSNNISELQTAFPALQLKYLYLNSNRVTSMEPGYFDNLANTLLVLKLNRNRISAIPPKMFKLPQLQHLELNRNKIKNVDGLTFQGLGALKSLKMQRNGVTKLMDGAFWGLSNMEILQLDHNNLTEITKGWLYGLLMLQELHLSQNAINRISPDAWEFCQKLSELDLTFNHLSRLDDSSFLGLSLLNTLHIGNNRVSYIADCAFRGLSSLKTLDLKNNEISWTIEDMNGAFSGLDKLRRLILQGNRIRSITKKAFTGLDALEHLDLSDNAIMSLQGNAFSQMKKLQQLHLNTSSLLCDCQLKWLPQWVAENNFQSFVNASCAHPQLLKGRSIFAVSPDGFVCDDFPKPQITVQPETQSAIKGSNLSFICSAASSSDSPMTFAWKKDNELLHDAEMENYAHLRAQGGEVMEYTTILRLREVEFASEGKYQCVISNHFGSSYSVKAKLTVNMLPSFTKTPMDLTIRAGAMARLECAAVGHPAPQIAWQKDGGTDFPAARERRMHVMPEDDVFFIVDVKIEDIGVYSCTAQNSAGSISANATLTVLETPSFLRPLLDRTVTKGETAVLQCIAGGSPPPKLNWTKDDSPLVVTERHFFAAGNQLLIIVDSDVSDAGKYTCEMSNTLGTERGNVRLSVIPTPTCDSPQMTAPSLDDDGWATVGVVIIAVVCCVVGTSLVWVVIIYHTRRRNEDCSITNTDETNLPADIPSYLSSQGTLADRQDGYVSSESGSHHQFVTSSGAGFFLPQHDSSGTCHIDNSSEADVEAATDLFLCPFLGSTGPMYLKGNVYGSDPFETYHTGCSPDPRTVLMDHYEPSYIKKKECYPCSHPSEESCERSFSNISWPSHVRKLLNTSYSHNEGPGMKNLCLNKSSLDFSANPEPASVASSNSFMGTFGKALRRPHLDAYSSFGQPSDCQPRAFYLKAHSSPDLDSGSEEDGKERTDFQEENHICTFKQTLENYRTPNFQSYDLDT
+>DECOY_sp|Q6UXM1|LRIG3_HUMAN Leucine-rich repeats and immunoglobulin-like domains protein 3 OS=Homo sapiens OX=9606 GN=LRIG3 PE=2 SV=1
+TDLDYSQFNPTRYNELTQKFTCIHNEEQFDTREKGDEESGSDLDPSSHAKLYFARPQCDSPQGFSSYADLHPRRLAKGFTGMFSNSSAVSAPEPNASFDLSSKNLCLNKMGPGENHSYSTNLLKRVHSPWSINSFSRECSEESPHSCPYCEKKKIYSPEYHDMLVTRPDPSCGTHYTEFPDSGYVNGKLYMPGTSGLFPCLFLDTAAEVDAESSNDIHCTGSSDHQPLFFGAGSSTVFQHHSGSESSVYGDQRDALTGQSSLYSPIDAPLNTEDTNTISCDENRRRTHYIIVVWVLSTGVVCCVVAIIVVGVTAWGDDDLSPATMQPSDCTPTPIVSLRVNGRETGLTNSMECTYKGADSVDSDVIILLQNGAAFFHRETVVLPSDDKTWNLKPPPSGGAICQLVATEGKTVTRDLLPRLFSPTELVTLTANASISGASNQATCSYVGIDEIKVDVIFFVDDEPMVHMRRERAAPFDTGGDKQWAIQPAPHGVAACELRAMAGARITLDMPTKTFSPLMNVTLKAKVSYSSGFHNSIVCQYKGESAFEVERLRLITTYEMVEGGQARLHAYNEMEADHLLENDKKWAFTMPSDSSSAASCIFSLNSGKIASQTEPQVTIQPKPFDDCVFGDPSVAFISRGKLLQPHACSANVFSQFNNEAVWQPLWKLQCDCLLSSTNLHLQQLKKMQSFANGQLSMIANDSLDLHELADLGTFAKKTISRIRNGQLILRRLKDLGSFAGNMDEITWSIENNKLDLTKLSSLGRFACDAIYSVRNNGIHLTNLLSLGLFSSDDLRSLHNFTLDLESLKQCFEWADPSIRNIANQSLHLEQLMLLGYLWGKTIETLNNHDLQLIEMNSLGWFAGDMLKTVGNRQMKLSKLAGLGQFTLGDVNKIKNRNLELHQLQPLKFMKPPIASIRNRNLKLVLLTNALNDFYGPEMSTVRNSNLYLYKLQLAPFATQLESINNSSLDLTELSQFEKLHEPLIEVIRNGALSLLTINASVPGLNPITELENNNLKVERLSQLHSMSSAKIFSLRNHSLDLRAVWSPLPEPLRALRKRSCDLLDGLCRCTTPCPREAAVGSPQGLEGRGGSDSRGARGLVACLLLGLGAARARLSPASM
+>sp|A6PVS8|LRIQ3_HUMAN Leucine-rich repeat and IQ domain-containing protein 3 OS=Homo sapiens OX=9606 GN=LRRIQ3 PE=1 SV=1
+MFHGTVTEELTSHEEWSHYNENIREGQKDFVFVKFNGLHLKSMENLQSCISLRVCIFSNNFITDIHPLQSCIKLIKLDLHGNQIKSLPNTKFWNGLKNLKLLYLHDNGFAKLKNICVLSACPTLIALTMFDCPVSLKKGYRHVLVNSIWPLKALDHHVISDEEIIQNWHLPERFKACNHRLFFNFCPALRKGTTYEEEINNIKHITSKINAILAHNSPVLIVQRWIRGFLVRKNLSPVFFHKKKQQEKIIRGYEAKWIYITKGYEDKLLKDLFFKPETNIKGKLAYWKHNIYYPVDLKNSSEHRKHVSSILCELKPKDLGMKSKTSRHLIQKGQESEDEIVDEKLDTSFRISVFKLPIYTSGSLKNNAVLREKKQHFFPAYPQPIYTTHPKPIIKKDIRLERSMKEFFAPQRAGMKLRTFSDIDKYYTEQKKQEYHKEKVRVVAMAQVARERVRVAVNEHLNQKKYATQKLIEENKETIQNSLRQVWQNRFNYLEKARERKALFLKEKSQKASERLLVQNLNNERTLLTRGLLKIDRLEKNEAVLKEKSLIVKQKLKAEKYRKNLLKEMKKVRSQEIYKRHCEEKFVMDMIAFEKACERLQDAKTKVAIVKTNLDFKVPNGLIK
+>DECOY_sp|A6PVS8|LRIQ3_HUMAN Leucine-rich repeat and IQ domain-containing protein 3 OS=Homo sapiens OX=9606 GN=LRRIQ3 PE=1 SV=1
+KILGNPVKFDLNTKVIAVKTKADQLRECAKEFAIMDMVFKEECHRKYIEQSRVKKMEKLLNKRYKEAKLKQKVILSKEKLVAENKELRDIKLLGRTLLTRENNLNQVLLRESAKQSKEKLFLAKRERAKELYNFRNQWVQRLSNQITEKNEEILKQTAYKKQNLHENVAVRVRERAVQAMAVVRVKEKHYEQKKQETYYKDIDSFTRLKMGARQPAFFEKMSRELRIDKKIIPKPHTTYIPQPYAPFFHQKKERLVANNKLSGSTYIPLKFVSIRFSTDLKEDVIEDESEQGKQILHRSTKSKMGLDKPKLECLISSVHKRHESSNKLDVPYYINHKWYALKGKINTEPKFFLDKLLKDEYGKTIYIWKAEYGRIIKEQQKKKHFFVPSLNKRVLFGRIWRQVILVPSNHALIANIKSTIHKINNIEEEYTTGKRLAPCFNFFLRHNCAKFREPLHWNQIIEEDSIVHHDLAKLPWISNVLVHRYGKKLSVPCDFMTLAILTPCASLVCINKLKAFGNDHLYLLKLNKLGNWFKTNPLSKIQNGHLDLKILKICSQLPHIDTIFNNSFICVRLSICSQLNEMSKLHLGNFKVFVFDKQGERINENYHSWEEHSTLEETVTGHFM
+>sp|Q9P2V4|LRIT1_HUMAN Leucine-rich repeat, immunoglobulin-like domain and transmembrane domain-containing protein 1 OS=Homo sapiens OX=9606 GN=LRIT1 PE=2 SV=1
+MRVALGMLWLLALAWPPQARGFCPSQCSCSLHIMGDGSKARTVVCNDPDMTLPPASIPPDTSRLRLERTAIRRVPGEAFRPLGRLEQLWLPYNALSELNALMLRGLRRLRELRLPGNRLAAFPWAALRDAPKLRLLDLQANRLSAVPAEAARFLENLTFLDLSSNQLMRLPQELIVSWAHLETGIFPPGHHPRRVLGLQDNPWACDCRLYDLVHLLDGWAPNLAFIETELRCASPRSLAGVAFSQLELRKCQGPELHPGVASIRSLLGGTALLRCGATGVPGPEMSWRRANGRPLNGTVHQEVSSDGTSWTLLGLPAVSHLDSGDYICQAKNFLGASETVISLIVTEPPTSTEHSGSPGALWARTGGGGEAAAYNNKLVARHVPQIPKPAVLATGPSVPSTKEELTLEHFQMDALGELSDGRAGPSEARMVRSVKVVGDTYHSVSLVWKAPQAKNTTAFSVLYAVFGQHSMRRVIVQPGKTRVTITGLLPKTKYVACVCVQGLVPRKEQCVIFSTNEVVDAENTQQLINVVVISVAIVIALPLTLLVCCSALQKRCRKCFNKDSTEATVTYVNLERLGYSEDGLEELSRHSVSEADRLLSARSSVDFQAFGVKGGRRINEYFC
+>DECOY_sp|Q9P2V4|LRIT1_HUMAN Leucine-rich repeat, immunoglobulin-like domain and transmembrane domain-containing protein 1 OS=Homo sapiens OX=9606 GN=LRIT1 PE=2 SV=1
+CFYENIRRGGKVGFAQFDVSSRASLLRDAESVSHRSLEELGDESYGLRELNVYTVTAETSDKNFCKRCRKQLASCCVLLTLPLAIVIAVSIVVVNILQQTNEADVVENTSFIVCQEKRPVLGQVCVCAVYKTKPLLGTITVRTKGPQVIVRRMSHQGFVAYLVSFATTNKAQPAKWVLSVSHYTDGVVKVSRVMRAESPGARGDSLEGLADMQFHELTLEEKTSPVSPGTALVAPKPIQPVHRAVLKNNYAAAEGGGGTRAWLAGPSGSHETSTPPETVILSIVTESAGLFNKAQCIYDGSDLHSVAPLGLLTWSTGDSSVEQHVTGNLPRGNARRWSMEPGPVGTAGCRLLATGGLLSRISAVGPHLEPGQCKRLELQSFAVGALSRPSACRLETEIFALNPAWGDLLHVLDYLRCDCAWPNDQLGLVRRPHHGPPFIGTELHAWSVILEQPLRMLQNSSLDLFTLNELFRAAEAPVASLRNAQLDLLRLKPADRLAAWPFAALRNGPLRLERLRRLGRLMLANLESLANYPLWLQELRGLPRFAEGPVRRIATRELRLRSTDPPISAPPLTMDPDNCVVTRAKSGDGMIHLSCSCQSPCFGRAQPPWALALLWLMGLAVRM
+>sp|A6NDA9|LRIT2_HUMAN Leucine-rich repeat, immunoglobulin-like domain and transmembrane domain-containing protein 2 OS=Homo sapiens OX=9606 GN=LRIT2 PE=2 SV=1
+MASVFHYFLLVLVFLDTHAAQPFCLPGCTCSEESFGRTLQCTSVSLGKIPGNLSEEFKQVRIENSPLFEMPQGSFINMSTLEYLWLNFNNISVIHLGALEHLPELRELRLEGNKLCSVPWTAFRATPLLRVLDLKRNKIDALPELALQFLVSLTYLDLSSNRLTVVSKSVFLNWPAYQKCRQPDCGAEILSSLVVALHDNPWVCDCRLRGLVQFVKSITLPVILVNSYLICQGPLSKAGQLFHETELSACMKPQISTPSANITIRAGQNVTLRCLAQASPSPSIAWTYPLSMWREFDVLTSSTGEDTALSELAIPAAHLVDSGNYTCMASNSIGKSNLVISLHVQPAQALHAPDSLSIPSEGNAYIDLRVVKQTVHGILLEWLAVADTSKEEWFTLYIASDEAFRKEVVHIGPGINTYAVDDLLPGTKYEACLSLEGQPPHQGQCVAFVTGRDAGGLEAREHLLHVTVVLCVVLLAVPVGAYAWAAQGPCSCSKWVLRGCLHRRKAPSCTPAAPQSKDGSFREHPAVCDDGEGHIDTEGDKEKGGTEDNS
+>DECOY_sp|A6NDA9|LRIT2_HUMAN Leucine-rich repeat, immunoglobulin-like domain and transmembrane domain-containing protein 2 OS=Homo sapiens OX=9606 GN=LRIT2 PE=2 SV=1
+SNDETGGKEKDGETDIHGEGDDCVAPHERFSGDKSQPAAPTCSPAKRRHLCGRLVWKSCSCPGQAAWAYAGVPVALLVVCLVVTVHLLHERAELGGADRGTVFAVCQGQHPPQGELSLCAEYKTGPLLDDVAYTNIGPGIHVVEKRFAEDSAIYLTFWEEKSTDAVALWELLIGHVTQKVVRLDIYANGESPISLSDPAHLAQAPQVHLSIVLNSKGISNSAMCTYNGSDVLHAAPIALESLATDEGTSSTLVDFERWMSLPYTWAISPSPSAQALCRLTVNQGARITINASPTSIQPKMCASLETEHFLQGAKSLPGQCILYSNVLIVPLTISKVFQVLGRLRCDCVWPNDHLAVVLSSLIEAGCDPQRCKQYAPWNLFVSKSVVTLRNSSLDLYTLSVLFQLALEPLADIKNRKLDLVRLLPTARFATWPVSCLKNGELRLERLEPLHELAGLHIVSINNFNLWLYELTSMNIFSGQPMEFLPSNEIRVQKFEESLNGPIKGLSVSTCQLTRGFSEESCTCGPLCFPQAAHTDLFVLVLLFYHFVSAM
+>sp|Q9H2I8|LRMDA_HUMAN Leucine-rich melanocyte differentiation-associated protein OS=Homo sapiens OX=9606 GN=LRMDA PE=1 SV=1
+MEKYLSLSGNHSSNKRSLEGLSAFRSLEELILDNNQLGDDLVLPGLPRLHTLTLNKNRITDLENLLDHLAEVTPALEYLSLLGNVACPNELVSLEKDEEDYKRYRCFVLYKLPNLKFLDAQKVTRQEREEALVRGVFMKVVKPKASSEDVASSPERHYTPLPSASRELTSHQGVLGKCRYVYYGKNSEGNRFIRDDQL
+>DECOY_sp|Q9H2I8|LRMDA_HUMAN Leucine-rich melanocyte differentiation-associated protein OS=Homo sapiens OX=9606 GN=LRMDA PE=1 SV=1
+LQDDRIFRNGESNKGYYVYRCKGLVGQHSTLERSASPLPTYHREPSSAVDESSAKPKVVKMFVGRVLAEEREQRTVKQADLFKLNPLKYLVFCRYRKYDEEDKELSVLENPCAVNGLLSLYELAPTVEALHDLLNELDTIRNKNLTLTHLRPLGPLVLDDGLQNNDLILEELSRFASLGELSRKNSSHNGSLSLYKEM
+>sp|Q12912|LRMP_HUMAN Lymphoid-restricted membrane protein OS=Homo sapiens OX=9606 GN=LRMP PE=1 SV=3
+MESTPFSGVANQIHTLCERPTYGEVKDGALDVKRQHKCPGPTSGPSPGTNLSGCIRMNDDPSMEENGVERVCPESLLQSREYSSLPLPRHTSSTDGTITSSDPGLEILNMASCDLDRNSLCKKEEDTRSASPTIEAQGTSPAHDNIAFQDSTSKDKTILNLEAKEEPETIEEHKKEHASGDSVVSPLPVTTVKSVNLRQSENTSANEKEVEAEFLRLSLGFKCDWFTLEKRVKLEERSRDLAEENLKKEITNCLKLLESLTPLCEDDNQAQEIIKKLEKSIKFLSQCAARVASRAEMLGAINQESRVSKAVEVMIQHVENLKRMYAKEHAELEELKQVLLQNERSFNPLEDDDDCQIKKRSASLNSKPSSLRRVTIASLPRNIGNAGMVAGMENNDRFSRRSSSWRILGSKQSEHRPSLPRFISTYSWADAEEEKCELKTKDDSEPSGEETVERTRKPSLSEKKNNPSKWDVSSVYDTIASWATNLKSSIRKANKALWLSIAFIVLFAALMSFLTGQLFQKSVDAAPTQQEDSWTSLEHILWPFTRLRHNGPPPV
+>DECOY_sp|Q12912|LRMP_HUMAN Lymphoid-restricted membrane protein OS=Homo sapiens OX=9606 GN=LRMP PE=1 SV=3
+VPPPGNHRLRTFPWLIHELSTWSDEQQTPAADVSKQFLQGTLFSMLAAFLVIFAISLWLAKNAKRISSKLNTAWSAITDYVSSVDWKSPNNKKESLSPKRTREVTEEGSPESDDKTKLECKEEEADAWSYTSIFRPLSPRHESQKSGLIRWSSSRRSFRDNNEMGAVMGANGINRPLSAITVRRLSSPKSNLSASRKKIQCDDDDELPNFSRENQLLVQKLEELEAHEKAYMRKLNEVHQIMVEVAKSVRSEQNIAGLMEARSAVRAACQSLFKISKELKKIIEQAQNDDECLPTLSELLKLCNTIEKKLNEEALDRSREELKVRKELTFWDCKFGLSLRLFEAEVEKENASTNESQRLNVSKVTTVPLPSVVSDGSAHEKKHEEITEPEEKAELNLITKDKSTSDQFAINDHAPSTGQAEITPSASRTDEEKKCLSNRDLDCSAMNLIELGPDSSTITGDTSSTHRPLPLSSYERSQLLSEPCVREVGNEEMSPDDNMRICGSLNTGPSPGSTPGPCKHQRKVDLAGDKVEGYTPRECLTHIQNAVGSFPTSEM
+>sp|Q9Y561|LRP12_HUMAN Low-density lipoprotein receptor-related protein 12 OS=Homo sapiens OX=9606 GN=LRP12 PE=1 SV=1
+MACRWSTKESPRWRSALLLLFLAGVYGNGALAEHSENVHISGVSTACGETPEQIRAPSGIITSPGWPSEYPAKINCSWFIRANPGEIITISFQDFDIQGSRRCNLDWLTIETYKNIESYRACGSTIPPPYISSQDHIWIRFHSDDNISRKGFRLAYFSGKSEEPNCACDQFRCGNGKCIPEAWKCNNMDECGDSSDEEICAKEANPPTAAAFQPCAYNQFQCLSRFTKVYTCLPESLKCDGNIDCLDLGDEIDCDVPTCGQWLKYFYGTFNSPNYPDFYPPGSNCTWLIDTGDHRKVILRFTDFKLDGTGYGDYVKIYDGLEENPHKLLRVLTAFDSHAPLTVVSSSGQIRVHFCADKVNAARGFNATYQVDGFCLPWEIPCGGNWGCYTEQQRCDGYWHCPNGRDETNCTMCQKEEFPCSRNGVCYPRSDRCNYQNHCPNGSDEKNCFFCQPGNFHCKNNRCVFESWVCDSQDDCGDGSDEENCPVIVPTRVITAAVIGSLICGLLLVIALGCTCKLYSLRMFERRSFETQLSRVEAELLRREAPPSYGQLIAQGLIPPVEDFPVCSPNQASVLENLRLAVRSQLGFTSVRLPMAGRSSNIWNRIFNFARSRHSGSLALVSADGDEVVPSQSTSREPERNHTHRSLFSVESDDTDTENERRDMAGASGGVAAPLPQKVPPTTAVEATVGACASSSTQSTRGGHADNGRDVTSVEPPSVSPARHQLTSALSRMTQGLRWVRFTLGRSSSLSQNQSPLRQLDNGVSGREDDDDVEMLIPISDGSSDFDVNDCSRPLLDLASDQGQGLRQPYNATNPGVRPSNRDGPCERCGIVHTAQIPDTCLEVTLKNETSDDEALLLC
+>DECOY_sp|Q9Y561|LRP12_HUMAN Low-density lipoprotein receptor-related protein 12 OS=Homo sapiens OX=9606 GN=LRP12 PE=1 SV=1
+CLLLAEDDSTENKLTVELCTDPIQATHVIGCRECPGDRNSPRVGPNTANYPQRLGQGQDSALDLLPRSCDNVDFDSSGDSIPILMEVDDDDERGSVGNDLQRLPSQNQSLSSSRGLTFRVWRLGQTMRSLASTLQHRAPSVSPPEVSTVDRGNDAHGGRTSQTSSSACAGVTAEVATTPPVKQPLPAAVGGSAGAMDRRENETDTDDSEVSFLSRHTHNREPERSTSQSPVVEDGDASVLALSGSHRSRAFNFIRNWINSSRGAMPLRVSTFGLQSRVALRLNELVSAQNPSCVPFDEVPPILGQAILQGYSPPAERRLLEAEVRSLQTEFSRREFMRLSYLKCTCGLAIVLLLGCILSGIVAATIVRTPVIVPCNEEDSGDGCDDQSDCVWSEFVCRNNKCHFNGPQCFFCNKEDSGNPCHNQYNCRDSRPYCVGNRSCPFEEKQCMTCNTEDRGNPCHWYGDCRQQETYCGWNGGCPIEWPLCFGDVQYTANFGRAANVKDACFHVRIQGSSSVVTLPAHSDFATLVRLLKHPNEELGDYIKVYDGYGTGDLKFDTFRLIVKRHDGTDILWTCNSGPPYFDPYNPSNFTGYFYKLWQGCTPVDCDIEDGLDLCDINGDCKLSEPLCTYVKTFRSLCQFQNYACPQFAAATPPNAEKACIEEDSSDGCEDMNNCKWAEPICKGNGCRFQDCACNPEESKGSFYALRFGKRSINDDSHFRIWIHDQSSIYPPPITSGCARYSEINKYTEITLWDLNCRRSGQIDFDQFSITIIEGPNARIFWSCNIKAPYESPWGPSTIIGSPARIQEPTEGCATSVGSIHVNESHEALAGNGYVGALFLLLLASRWRPSEKTSWRCAM
+>sp|Q07954|LRP1_HUMAN Prolow-density lipoprotein receptor-related protein 1 OS=Homo sapiens OX=9606 GN=LRP1 PE=1 SV=2
+MLTPPLLLLLPLLSALVAAAIDAPKTCSPKQFACRDQITCISKGWRCDGERDCPDGSDEAPEICPQSKAQRCQPNEHNCLGTELCVPMSRLCNGVQDCMDGSDEGPHCRELQGNCSRLGCQHHCVPTLDGPTCYCNSSFQLQADGKTCKDFDECSVYGTCSQLCTNTDGSFICGCVEGYLLQPDNRSCKAKNEPVDRPPVLLIANSQNILATYLSGAQVSTITPTSTRQTTAMDFSYANETVCWVHVGDSAAQTQLKCARMPGLKGFVDEHTINISLSLHHVEQMAIDWLTGNFYFVDDIDDRIFVCNRNGDTCVTLLDLELYNPKGIALDPAMGKVFFTDYGQIPKVERCDMDGQNRTKLVDSKIVFPHGITLDLVSRLVYWADAYLDYIEVVDYEGKGRQTIIQGILIEHLYGLTVFENYLYATNSDNANAQQKTSVIRVNRFNSTEYQVVTRVDKGGALHIYHQRRQPRVRSHACENDQYGKPGGCSDICLLANSHKARTCRCRSGFSLGSDGKSCKKPEHELFLVYGKGRPGIIRGMDMGAKVPDEHMIPIENLMNPRALDFHAETGFIYFADTTSYLIGRQKIDGTERETILKDGIHNVEGVAVDWMGDNLYWTDDGPKKTISVARLEKAAQTRKTLIEGKMTHPRAIVVDPLNGWMYWTDWEEDPKDSRRGRLERAWMDGSHRDIFVTSKTVLWPNGLSLDIPAGRLYWVDAFYDRIETILLNGTDRKIVYEGPELNHAFGLCHHGNYLFWTEYRSGSVYRLERGVGGAPPTVTLLRSERPPIFEIRMYDAQQQQVGTNKCRVNNGGCSSLCLATPGSRQCACAEDQVLDADGVTCLANPSYVPPPQCQPGEFACANSRCIQERWKCDGDNDCLDNSDEAPALCHQHTCPSDRFKCENNRCIPNRWLCDGDNDCGNSEDESNATCSARTCPPNQFSCASGRCIPISWTCDLDDDCGDRSDESASCAYPTCFPLTQFTCNNGRCININWRCDNDNDCGDNSDEAGCSHSCSSTQFKCNSGRCIPEHWTCDGDNDCGDYSDETHANCTNQATRPPGGCHTDEFQCRLDGLCIPLRWRCDGDTDCMDSSDEKSCEGVTHVCDPSVKFGCKDSARCISKAWVCDGDNDCEDNSDEENCESLACRPPSHPCANNTSVCLPPDKLCDGNDDCGDGSDEGELCDQCSLNNGGCSHNCSVAPGEGIVCSCPLGMELGPDNHTCQIQSYCAKHLKCSQKCDQNKFSVKCSCYEGWVLEPDGESCRSLDPFKPFIIFSNRHEIRRIDLHKGDYSVLVPGLRNTIALDFHLSQSALYWTDVVEDKIYRGKLLDNGALTSFEVVIQYGLATPEGLAVDWIAGNIYWVESNLDQIEVAKLDGTLRTTLLAGDIEHPRAIALDPRDGILFWTDWDASLPRIEAASMSGAGRRTVHRETGSGGWPNGLTVDYLEKRILWIDARSDAIYSARYDGSGHMEVLRGHEFLSHPFAVTLYGGEVYWTDWRTNTLAKANKWTGHNVTVVQRTNTQPFDLQVYHPSRQPMAPNPCEANGGQGPCSHLCLINYNRTVSCACPHLMKLHKDNTTCYEFKKFLLYARQMEIRGVDLDAPYYNYIISFTVPDIDNVTVLDYDAREQRVYWSDVRTQAIKRAFINGTGVETVVSADLPNAHGLAVDWVSRNLFWTSYDTNKKQINVARLDGSFKNAVVQGLEQPHGLVVHPLRGKLYWTDGDNISMANMDGSNRTLLFSGQKGPVGLAIDFPESKLYWISSGNHTINRCNLDGSGLEVIDAMRSQLGKATALAIMGDKLWWADQVSEKMGTCSKADGSGSVVLRNSTTLVMHMKVYDESIQLDHKGTNPCSVNNGDCSQLCLPTSETTRSCMCTAGYSLRSGQQACEGVGSFLLYSVHEGIRGIPLDPNDKSDALVPVSGTSLAVGIDFHAENDTIYWVDMGLSTISRAKRDQTWREDVVTNGIGRVEGIAVDWIAGNIYWTDQGFDVIEVARLNGSFRYVVISQGLDKPRAITVHPEKGYLFWTEWGQYPRIERSRLDGTERVVLVNVSISWPNGISVDYQDGKLYWCDARTDKIERIDLETGENREVVLSSNNMDMFSVSVFEDFIYWSDRTHANGSIKRGSKDNATDSVPLRTGIGVQLKDIKVFNRDRQKGTNVCAVANGGCQQLCLYRGRGQRACACAHGMLAEDGASCREYAGYLLYSERTILKSIHLSDERNLNAPVQPFEDPEHMKNVIALAFDYRAGTSPGTPNRIFFSDIHFGNIQQINDDGSRRITIVENVGSVEGLAYHRGWDTLYWTSYTTSTITRHTVDQTRPGAFERETVITMSGDDHPRAFVLDECQNLMFWTNWNEQHPSIMRAALSGANVLTLIEKDIRTPNGLAIDHRAEKLYFSDATLDKIERCEYDGSHRYVILKSEPVHPFGLAVYGEHIFWTDWVRRAVQRANKHVGSNMKLLRVDIPQQPMGIIAVANDTNSCELSPCRINNGGCQDLCLLTHQGHVNCSCRGGRILQDDLTCRAVNSSCRAQDEFECANGECINFSLTCDGVPHCKDKSDEKPSYCNSRRCKKTFRQCSNGRCVSNMLWCNGADDCGDGSDEIPCNKTACGVGEFRCRDGTCIGNSSRCNQFVDCEDASDEMNCSATDCSSYFRLGVKGVLFQPCERTSLCYAPSWVCDGANDCGDYSDERDCPGVKRPRCPLNYFACPSGRCIPMSWTCDKEDDCEHGEDETHCNKFCSEAQFECQNHRCISKQWLCDGSDDCGDGSDEAAHCEGKTCGPSSFSCPGTHVCVPERWLCDGDKDCADGADESIAAGCLYNSTCDDREFMCQNRQCIPKHFVCDHDRDCADGSDESPECEYPTCGPSEFRCANGRCLSSRQWECDGENDCHDQSDEAPKNPHCTSQEHKCNASSQFLCSSGRCVAEALLCNGQDDCGDSSDERGCHINECLSRKLSGCSQDCEDLKIGFKCRCRPGFRLKDDGRTCADVDECSTTFPCSQRCINTHGSYKCLCVEGYAPRGGDPHSCKAVTDEEPFLIFANRYYLRKLNLDGSNYTLLKQGLNNAVALDFDYREQMIYWTDVTTQGSMIRRMHLNGSNVQVLHRTGLSNPDGLAVDWVGGNLYWCDKGRDTIEVSKLNGAYRTVLVSSGLREPRALVVDVQNGYLYWTDWGDHSLIGRIGMDGSSRSVIVDTKITWPNGLTLDYVTERIYWADAREDYIEFASLDGSNRHVVLSQDIPHIFALTLFEDYVYWTDWETKSINRAHKTTGTNKTLLISTLHRPMDLHVFHALRQPDVPNHPCKVNNGGCSNLCLLSPGGGHKCACPTNFYLGSDGRTCVSNCTASQFVCKNDKCIPFWWKCDTEDDCGDHSDEPPDCPEFKCRPGQFQCSTGICTNPAFICDGDNDCQDNSDEANCDIHVCLPSQFKCTNTNRCIPGIFRCNGQDNCGDGEDERDCPEVTCAPNQFQCSITKRCIPRVWVCDRDNDCVDGSDEPANCTQMTCGVDEFRCKDSGRCIPARWKCDGEDDCGDGSDEPKEECDERTCEPYQFRCKNNRCVPGRWQCDYDNDCGDNSDEESCTPRPCSESEFSCANGRCIAGRWKCDGDHDCADGSDEKDCTPRCDMDQFQCKSGHCIPLRWRCDADADCMDGSDEEACGTGVRTCPLDEFQCNNTLCKPLAWKCDGEDDCGDNSDENPEECARFVCPPNRPFRCKNDRVCLWIGRQCDGTDNCGDGTDEEDCEPPTAHTTHCKDKKEFLCRNQRCLSSSLRCNMFDDCGDGSDEEDCSIDPKLTSCATNASICGDEARCVRTEKAAYCACRSGFHTVPGQPGCQDINECLRFGTCSQLCNNTKGGHLCSCARNFMKTHNTCKAEGSEYQVLYIADDNEIRSLFPGHPHSAYEQAFQGDESVRIDAMDVHVKAGRVYWTNWHTGTISYRSLPPAAPPTTSNRHRRQIDRGVTHLNISGLKMPRGIAIDWVAGNVYWTDSGRDVIEVAQMKGENRKTLISGMIDEPHAIVVDPLRGTMYWSDWGNHPKIETAAMDGTLRETLVQDNIQWPTGLAVDYHNERLYWADAKLSVIGSIRLNGTDPIVAADSKRGLSHPFSIDVFEDYIYGVTYINNRVFKIHKFGHSPLVNLTGGLSHASDVVLYHQHKQPEVTNPCDRKKCEWLCLLSPSGPVCTCPNGKRLDNGTCVPVPSPTPPPDAPRPGTCNLQCFNGGSCFLNARRQPKCRCQPRYTGDKCELDQCWEHCRNGGTCAASPSGMPTCRCPTGFTGPKCTQQVCAGYCANNSTCTVNQGNQPQCRCLPGFLGDRCQYRQCSGYCENFGTCQMAADGSRQCRCTAYFEGSRCEVNKCSRCLEGACVVNKQSGDVTCNCTDGRVAPSCLTCVGHCSNGGSCTMNSKMMPECQCPPHMTGPRCEEHVFSQQQPGHIASILIPLLLLLLLVLVAGVVFWYKRRVQGAKGFQHQRMTNGAMNVEIGNPTYKMYEGGEPDDVGGLLDADFALDPDKPTNFTNPVYATLYMGGHGSRHSLASTDEKRELLGRGPEDEIGDPLA
+>DECOY_sp|Q07954|LRP1_HUMAN Prolow-density lipoprotein receptor-related protein 1 OS=Homo sapiens OX=9606 GN=LRP1 PE=1 SV=2
+ALPDGIEDEPGRGLLERKEDTSALSHRSGHGGMYLTAYVPNTFNTPKDPDLAFDADLLGGVDDPEGGEYMKYTPNGIEVNMAGNTMRQHQFGKAGQVRRKYWFVVGAVLVLLLLLLLPILISAIHGPQQQSFVHEECRPGTMHPPCQCEPMMKSNMTCSGGNSCHGVCTLCSPAVRGDTCNCTVDGSQKNVVCAGELCRSCKNVECRSGEFYATCRCQRSGDAAMQCTGFNECYGSCQRYQCRDGLFGPLCRCQPQNGQNVTCTSNNACYGACVQQTCKPGTFGTPCRCTPMGSPSAACTGGNRCHEWCQDLECKDGTYRPQCRCKPQRRANLFCSGGNFCQLNCTGPRPADPPPTPSPVPVCTGNDLRKGNPCTCVPGSPSLLCLWECKKRDCPNTVEPQKHQHYLVVDSAHSLGGTLNVLPSHGFKHIKFVRNNIYTVGYIYDEFVDISFPHSLGRKSDAAVIPDTGNLRISGIVSLKADAWYLRENHYDVALGTPWQINDQVLTERLTGDMAATEIKPHNGWDSWYMTGRLPDVVIAHPEDIMGSILTKRNEGKMQAVEIVDRGSDTWYVNGAVWDIAIGRPMKLGSINLHTVGRDIQRRHRNSTTPPAAPPLSRYSITGTHWNTWYVRGAKVHVDMADIRVSEDGQFAQEYASHPHGPFLSRIENDDAIYLVQYESGEAKCTNHTKMFNRACSCLHGGKTNNCLQSCTGFRLCENIDQCGPQGPVTHFGSRCACYAAKETRVCRAEDGCISANTACSTLKPDISCDEEDSGDGCDDFMNCRLSSSLCRQNRCLFEKKDKCHTTHATPPECDEEDTGDGCNDTGDCQRGIWLCVRDNKCRFPRNPPCVFRACEEPNEDSNDGCDDEGDCKWALPKCLTNNCQFEDLPCTRVGTGCAEEDSGDMCDADADCRWRLPICHGSKCQFQDMDCRPTCDKEDSGDACDHDGDCKWRGAICRGNACSFESESCPRPTCSEEDSNDGCDNDYDCQWRGPVCRNNKCRFQYPECTREDCEEKPEDSGDGCDDEGDCKWRAPICRGSDKCRFEDVGCTMQTCNAPEDSGDVCDNDRDCVWVRPICRKTISCQFQNPACTVEPCDREDEGDGCNDQGNCRFIGPICRNTNTCKFQSPLCVHIDCNAEDSNDQCDNDGDCIFAPNTCIGTSCQFQGPRCKFEPCDPPEDSHDGCDDETDCKWWFPICKDNKCVFQSATCNSVCTRGDSGLYFNTPCACKHGGGPSLLCLNSCGGNNVKCPHNPVDPQRLAHFVHLDMPRHLTSILLTKNTGTTKHARNISKTEWDTWYVYDEFLTLAFIHPIDQSLVVHRNSGDLSAFEIYDERADAWYIRETVYDLTLGNPWTIKTDVIVSRSSGDMGIRGILSHDGWDTWYLYGNQVDVVLARPERLGSSVLVTRYAGNLKSVEITDRGKDCWYLNGGVWDVALGDPNSLGTRHLVQVNSGNLHMRRIMSGQTTVDTWYIMQERYDFDLAVANNLGQKLLTYNSGDLNLKRLYYRNAFILFPEEDTVAKCSHPDGGRPAYGEVCLCKYSGHTNICRQSCPFTTSCEDVDACTRGDDKLRFGPRCRCKFGIKLDECDQSCGSLKRSLCENIHCGREDSSDGCDDQGNCLLAEAVCRGSSCLFQSSANCKHEQSTCHPNKPAEDSQDHCDNEGDCEWQRSSLCRGNACRFESPGCTPYECEPSEDSGDACDRDHDCVFHKPICQRNQCMFERDDCTSNYLCGAAISEDAGDACDKDGDCLWREPVCVHTGPCSFSSPGCTKGECHAAEDSGDGCDDSGDCLWQKSICRHNQCEFQAESCFKNCHTEDEGHECDDEKDCTWSMPICRGSPCAFYNLPCRPRKVGPCDREDSYDGCDNAGDCVWSPAYCLSTRECPQFLVGKVGLRFYSSCDTASCNMEDSADECDVFQNCRSSNGICTGDRCRFEGVGCATKNCPIEDSGDGCDDAGNCWLMNSVCRGNSCQRFTKKCRRSNCYSPKEDSKDKCHPVGDCTLSFNICEGNACEFEDQARCSSNVARCTLDDQLIRGGRCSCNVHGQHTLLCLDQCGGNNIRCPSLECSNTDNAVAIIGMPQQPIDVRLLKMNSGVHKNARQVARRVWDTWFIHEGYVALGFPHVPESKLIVYRHSGDYECREIKDLTADSFYLKEARHDIALGNPTRIDKEILTLVNAGSLAARMISPHQENWNTWFMLNQCEDLVFARPHDDGSMTIVTEREFAGPRTQDVTHRTITSTTYSTWYLTDWGRHYALGEVSGVNEVITIRRSGDDNIQQINGFHIDSFFIRNPTGPSTGARYDFALAIVNKMHEPDEFPQVPANLNREDSLHISKLITRESYLLYGAYERCSAGDEALMGHACACARQGRGRYLCLQQCGGNAVACVNTGKQRDRNFVKIDKLQVGIGTRLPVSDTANDKSGRKISGNAHTRDSWYIFDEFVSVSFMDMNNSSLVVERNEGTELDIREIKDTRADCWYLKGDQYDVSIGNPWSISVNVLVVRETGDLRSREIRPYQGWETWFLYGKEPHVTIARPKDLGQSIVVYRFSGNLRAVEIVDFGQDTWYINGAIWDVAIGEVRGIGNTVVDERWTQDRKARSITSLGMDVWYITDNEAHFDIGVALSTGSVPVLADSKDNPDLPIGRIGEHVSYLLFSGVGECAQQGSRLSYGATCMCSRTTESTPLCLQSCDGNNVSCPNTGKHDLQISEDYVKMHMVLTTSNRLVVSGSGDAKSCTGMKESVQDAWWLKDGMIALATAKGLQSRMADIVELGSGDLNCRNITHNGSSIWYLKSEPFDIALGVPGKQGSFLLTRNSGDMNAMSINDGDTWYLKGRLPHVVLGHPQELGQVVANKFSGDLRAVNIQKKNTDYSTWFLNRSVWDVALGHANPLDASVVTEVGTGNIFARKIAQTRVDSWYVRQERADYDLVTVNDIDPVTFSIIYNYYPADLDVGRIEMQRAYLLFKKFEYCTTNDKHLKMLHPCACSVTRNYNILCLHSCPGQGGNAECPNPAMPQRSPHYVQLDFPQTNTRQVVTVNHGTWKNAKALTNTRWDTWYVEGGYLTVAFPHSLFEHGRLVEMHGSGDYRASYIADSRADIWLIRKELYDVTLGNPWGGSGTERHVTRRGAGSMSAAEIRPLSADWDTWFLIGDRPDLAIARPHEIDGALLTTRLTGDLKAVEIQDLNSEVWYINGAIWDVALGEPTALGYQIVVEFSTLAGNDLLKGRYIKDEVVDTWYLASQSLHFDLAITNRLGPVLVSYDGKHLDIRRIEHRNSFIIFPKFPDLSRCSEGDPELVWGEYCSCKVSFKNQDCKQSCKLHKACYSQIQCTHNDPGLEMGLPCSCVIGEGPAVSCNHSCGGNNLSCQDCLEGEDSGDGCDDNGDCLKDPPLCVSTNNACPHSPPRCALSECNEEDSNDECDNDGDCVWAKSICRASDKCGFKVSPDCVHTVGECSKEDSSDMCDTDGDCRWRLPICLGDLRCQFEDTHCGGPPRTAQNTCNAHTEDSYDGCDNDGDCTWHEPICRGSNCKFQTSSCSHSCGAEDSNDGCDNDNDCRWNINICRGNNCTFQTLPFCTPYACSASEDSRDGCDDDLDCTWSIPICRGSACSFQNPPCTRASCTANSEDESNGCDNDGDCLWRNPICRNNECKFRDSPCTHQHCLAPAEDSNDLCDNDGDCKWREQICRSNACAFEGPQCQPPPVYSPNALCTVGDADLVQDEACACQRSGPTALCLSSCGGNNVRCKNTGVQQQQADYMRIEFIPPRESRLLTVTPPAGGVGRELRYVSGSRYETWFLYNGHHCLGFAHNLEPGEYVIKRDTGNLLITEIRDYFADVWYLRGAPIDLSLGNPWLVTKSTVFIDRHSGDMWARELRGRRSDKPDEEWDTWYMWGNLPDVVIARPHTMKGEILTKRTQAAKELRAVSITKKPGDDTWYLNDGMWDVAVGEVNHIGDKLITERETGDIKQRGILYSTTDAFYIFGTEAHFDLARPNMLNEIPIMHEDPVKAGMDMGRIIGPRGKGYVLFLEHEPKKCSKGDSGLSFGSRCRCTRAKHSNALLCIDSCGGPKGYQDNECAHSRVRPQRRQHYIHLAGGKDVRTVVQYETSNFRNVRIVSTKQQANANDSNTAYLYNEFVTLGYLHEILIGQIITQRGKGEYDVVEIYDLYADAWYVLRSVLDLTIGHPFVIKSDVLKTRNQGDMDCREVKPIQGYDTFFVKGMAPDLAIGKPNYLELDLLTVCTDGNRNCVFIRDDIDDVFYFNGTLWDIAMQEVHHLSLSINITHEDVFGKLGPMRACKLQTQAASDGVHVWCVTENAYSFDMATTQRTSTPTITSVQAGSLYTALINQSNAILLVPPRDVPENKAKCSRNDPQLLYGEVCGCIFSGDTNTCLQSCTGYVSCEDFDKCTKGDAQLQFSSNCYCTPGDLTPVCHHQCGLRSCNGQLERCHPGEDSGDMCDQVGNCLRSMPVCLETGLCNHENPQCRQAKSQPCIEPAEDSGDPCDREGDCRWGKSICTIQDRCAFQKPSCTKPADIAAAVLASLLPLLLLLPPTLM
+>sp|O75096|LRP4_HUMAN Low-density lipoprotein receptor-related protein 4 OS=Homo sapiens OX=9606 GN=LRP4 PE=1 SV=4
+MRRQWGALLLGALLCAHGLASSPECACGRSHFTCAVSALGECTCIPAQWQCDGDNDCGDHSDEDGCILPTCSPLDFHCDNGKCIRRSWVCDGDNDCEDDSDEQDCPPRECEEDEFPCQNGYCIRSLWHCDGDNDCGDNSDEQCDMRKCSDKEFRCSDGSCIAEHWYCDGDTDCKDGSDEENCPSAVPAPPCNLEEFQCAYGRCILDIYHCDGDDDCGDWSDESDCSSHQPCRSGEFMCDSGLCINAGWRCDGDADCDDQSDERNCTTSMCTAEQFRCHSGRCVRLSWRCDGEDDCADNSDEENCENTGSPQCALDQFLCWNGRCIGQRKLCNGVNDCGDNSDESPQQNCRPRTGEENCNVNNGGCAQKCQMVRGAVQCTCHTGYRLTEDGHTCQDVNECAEEGYCSQGCTNSEGAFQCWCETGYELRPDRRSCKALGPEPVLLFANRIDIRQVLPHRSEYTLLLNNLENAIALDFHHRRELVFWSDVTLDRILRANLNGSNVEEVVSTGLESPGGLAVDWVHDKLYWTDSGTSRIEVANLDGAHRKVLLWQNLEKPRAIALHPMEGTIYWTDWGNTPRIEASSMDGSGRRIIADTHLFWPNGLTIDYAGRRMYWVDAKHHVIERANLDGSHRKAVISQGLPHPFAITVFEDSLYWTDWHTKSINSANKFTGKNQEIIRNKLHFPMDIHTLHPQRQPAGKNRCGDNNGGCTHLCLPSGQNYTCACPTGFRKISSHACAQSLDKFLLFARRMDIRRISFDTEDLSDDVIPLADVRSAVALDWDSRDDHVYWTDVSTDTISRAKWDGTGQEVVVDTSLESPAGLAIDWVTNKLYWTDAGTDRIEVANTDGSMRTVLIWENLDRPRDIVVEPMGGYMYWTDWGASPKIERAGMDASGRQVIISSNLTWPNGLAIDYGSQRLYWADAGMKTIEFAGLDGSKRKVLIGSQLPHPFGLTLYGERIYWTDWQTKSIQSADRLTGLDRETLQENLENLMDIHVFHRRRPPVSTPCAMENGGCSHLCLRSPNPSGFSCTCPTGINLLSDGKTCSPGMNSFLIFARRIDIRMVSLDIPYFADVVVPINITMKNTIAIGVDPQEGKVYWSDSTLHRISRANLDGSQHEDIITTGLQTTDGLAVDAIGRKVYWTDTGTNRIEVGNLDGSMRKVLVWQNLDSPRAIVLYHEMGFMYWTDWGENAKLERSGMDGSDRAVLINNNLGWPNGLTVDKASSQLLWADAHTERIEAADLNGANRHTLVSPVQHPYGLTLLDSYIYWTDWQTRSIHRADKGTGSNVILVRSNLPGLMDMQAVDRAQPLGFNKCGSRNGGCSHLCLPRPSGFSCACPTGIQLKGDGKTCDPSPETYLLFSSRGSIRRISLDTSDHTDVHVPVPELNNVISLDYDSVDGKVYYTDVFLDVIRRADLNGSNMETVIGRGLKTTDGLAVDWVARNLYWTDTGRNTIEASRLDGSCRKVLINNSLDEPRAIAVFPRKGYLFWTDWGHIAKIERANLDGSERKVLINTDLGWPNGLTLDYDTRRIYWVDAHLDRIESADLNGKLRQVLVSHVSHPFALTQQDRWIYWTDWQTKSIQRVDKYSGRNKETVLANVEGLMDIIVVSPQRQTGTNACGVNNGGCTHLCFARASDFVCACPDEPDSRPCSLVPGLVPPAPRATGMSEKSPVLPNTPPTTLYSSTTRTRTSLEEVEGRCSERDARLGLCARSNDAVPAAPGEGLHISYAIGGLLSILLILVVIAALMLYRHKKSKFTDPGMGNLTYSNPSYRTSTQEVKIEAIPKPAMYNQLCYKKEGGPDHNYTKEKIKIVEGICLLSGDDAEWDDLKQLRSSRGGLLRDHVCMKTDTVSIQASSGSLDDTETEQLLQEEQSECSSVHTAATPERRGSLPDTGWKHERKLSSESQV
+>DECOY_sp|O75096|LRP4_HUMAN Low-density lipoprotein receptor-related protein 4 OS=Homo sapiens OX=9606 GN=LRP4 PE=1 SV=4
+VQSESSLKREHKWGTDPLSGRREPTAATHVSSCESQEEQLLQETETDDLSGSSAQISVTDTKMCVHDRLLGGRSSRLQKLDDWEADDGSLLCIGEVIKIKEKTYNHDPGGEKKYCLQNYMAPKPIAEIKVEQTSTRYSPNSYTLNGMGPDTFKSKKHRYLMLAAIVVLILLISLLGGIAYSIHLGEGPAAPVADNSRACLGLRADRESCRGEVEELSTRTRTTSSYLTTPPTNPLVPSKESMGTARPAPPVLGPVLSCPRSDPEDPCACVFDSARAFCLHTCGGNNVGCANTGTQRQPSVVIIDMLGEVNALVTEKNRGSYKDVRQISKTQWDTWYIWRDQQTLAFPHSVHSVLVQRLKGNLDASEIRDLHADVWYIRRTDYDLTLGNPWGLDTNILVKRESGDLNAREIKAIHGWDTWFLYGKRPFVAIARPEDLSNNILVKRCSGDLRSAEITNRGTDTWYLNRAVWDVALGDTTKLGRGIVTEMNSGNLDARRIVDLFVDTYYVKGDVSDYDLSIVNNLEPVPVHVDTHDSTDLSIRRISGRSSFLLYTEPSPDCTKGDGKLQIGTPCACSFGSPRPLCLHSCGGNRSGCKNFGLPQARDVAQMDMLGPLNSRVLIVNSGTGKDARHISRTQWDTWYIYSDLLTLGYPHQVPSVLTHRNAGNLDAAEIRETHADAWLLQSSAKDVTLGNPWGLNNNILVARDSGDMGSRELKANEGWDTWYMFGMEHYLVIARPSDLNQWVLVKRMSGDLNGVEIRNTGTDTWYVKRGIADVALGDTTQLGTTIIDEHQSGDLNARSIRHLTSDSWYVKGEQPDVGIAITNKMTINIPVVVDAFYPIDLSVMRIDIRRAFILFSNMGPSCTKGDSLLNIGTPCTCSFGSPNPSRLCLHSCGGNEMACPTSVPPRRRHFVHIDMLNELNEQLTERDLGTLRDASQISKTQWDTWYIREGYLTLGFPHPLQSGILVKRKSGDLGAFEITKMGADAWYLRQSGYDIALGNPWTLNSSIIVQRGSADMGAREIKPSAGWDTWYMYGGMPEVVIDRPRDLNEWILVTRMSGDTNAVEIRDTGADTWYLKNTVWDIALGAPSELSTDVVVEQGTGDWKARSITDTSVDTWYVHDDRSDWDLAVASRVDALPIVDDSLDETDFSIRRIDMRRAFLLFKDLSQACAHSSIKRFGTPCACTYNQGSPLCLHTCGGNNDGCRNKGAPQRQPHLTHIDMPFHLKNRIIEQNKGTFKNASNISKTHWDTWYLSDEFVTIAFPHPLGQSIVAKRHSGDLNAREIVHHKADVWYMRRGAYDITLGNPWFLHTDAIIRRGSGDMSSAEIRPTNGWDTWYITGEMPHLAIARPKELNQWLLVKRHAGDLNAVEIRSTGSDTWYLKDHVWDVALGGPSELGTSVVEEVNSGNLNARLIRDLTVDSWFVLERRHHFDLAIANELNNLLLTYESRHPLVQRIDIRNAFLLVPEPGLAKCSRRDPRLEYGTECWCQFAGESNTCGQSCYGEEACENVDQCTHGDETLRYGTHCTCQVAGRVMQCKQACGGNNVNCNEEGTRPRCNQQPSEDSNDGCDNVGNCLKRQGICRGNWCLFQDLACQPSGTNECNEEDSNDACDDEGDCRWSLRVCRGSHCRFQEATCMSTTCNREDSQDDCDADGDCRWGANICLGSDCMFEGSRCPQHSSCDSEDSWDGCDDDGDCHYIDLICRGYACQFEELNCPPAPVASPCNEEDSGDKCDTDGDCYWHEAICSGDSCRFEKDSCKRMDCQEDSNDGCDNDGDCHWLSRICYGNQCPFEDEECERPPCDQEDSDDECDNDGDCVWSRRICKGNDCHFDLPSCTPLICGDEDSHDGCDNDGDCQWQAPICTCEGLASVACTFHSRGCACEPSSALGHACLLAGLLLAGWQRRM
+>sp|O75197|LRP5_HUMAN Low-density lipoprotein receptor-related protein 5 OS=Homo sapiens OX=9606 GN=LRP5 PE=1 SV=2
+MEAAPPGPPWPLLLLLLLLLALCGCPAPAAASPLLLFANRRDVRLVDAGGVKLESTIVVSGLEDAAAVDFQFSKGAVYWTDVSEEAIKQTYLNQTGAAVQNVVISGLVSPDGLACDWVGKKLYWTDSETNRIEVANLNGTSRKVLFWQDLDQPRAIALDPAHGYMYWTDWGETPRIERAGMDGSTRKIIVDSDIYWPNGLTIDLEEQKLYWADAKLSFIHRANLDGSFRQKVVEGSLTHPFALTLSGDTLYWTDWQTRSIHACNKRTGGKRKEILSALYSPMDIQVLSQERQPFFHTRCEEDNGGCSHLCLLSPSEPFYTCACPTGVQLQDNGRTCKAGAEEVLLLARRTDLRRISLDTPDFTDIVLQVDDIRHAIAIDYDPLEGYVYWTDDEVRAIRRAYLDGSGAQTLVNTEINDPDGIAVDWVARNLYWTDTGTDRIEVTRLNGTSRKILVSEDLDEPRAIALHPVMGLMYWTDWGENPKIECANLDGQERRVLVNASLGWPNGLALDLQEGKLYWGDAKTDKIEVINVDGTKRRTLLEDKLPHIFGFTLLGDFIYWTDWQRRSIERVHKVKASRDVIIDQLPDLMGLKAVNVAKVVGTNPCADRNGGCSHLCFFTPHATRCGCPIGLELLSDMKTCIVPEAFLVFTSRAAIHRISLETNNNDVAIPLTGVKEASALDFDVSNNHIYWTDVSLKTISRAFMNGSSVEHVVEFGLDYPEGMAVDWMGKNLYWADTGTNRIEVARLDGQFRQVLVWRDLDNPRSLALDPTKGYIYWTEWGGKPRIVRAFMDGTNCMTLVDKVGRANDLTIDYADQRLYWTDLDTNMIESSNMLGQERVVIADDLPHPFGLTQYSDYIYWTDWNLHSIERADKTSGRNRTLIQGHLDFVMDILVFHSSRQDGLNDCMHNNGQCGQLCLAIPGGHRCGCASHYTLDPSSRNCSPPTTFLLFSQKSAISRMIPDDQHSPDLILPLHGLRNVKAIDYDPLDKFIYWVDGRQNIKRAKDDGTQPFVLTSLSQGQNPDRQPHDLSIDIYSRTLFWTCEATNTINVHRLSGEAMGVVLRGDRDKPRAIVVNAERGYLYFTNMQDRAAKIERAALDGTEREVLFTTGLIRPVALVVDNTLGKLFWVDADLKRIESCDLSGANRLTLEDANIVQPLGLTILGKHLYWIDRQQQMIERVEKTTGDKRTRIQGRVAHLTGIHAVEEVSLEEFSAHPCARDNGGCSHICIAKGDGTPRCSCPVHLVLLQNLLTCGEPPTCSPDQFACATGEIDCIPGAWRCDGFPECDDQSDEEGCPVCSAAQFPCARGQCVDLRLRCDGEADCQDRSDEADCDAICLPNQFRCASGQCVLIKQQCDSFPDCIDGSDELMCEITKPPSDDSPAHSSAIGPVIGIILSLFVMGGVYFVCQRVVCQRYAGANGPFPHEYVSGTPHVPLNFIAPGGSQHGPFTGIACGKSMMSSVSLMGGRGGVPLYDRNHVTGASSSSSSSTKATLYPPILNPPPSPATDPSLYNMDMFYSSNIPATARPYRPYIIRGMAPPTTPCSTDVCDSDYSASRWKASKYYLDLNSDSDPYPPPPTPHSQYLSAEDSCPPSPATERSYFHLFPPPPSPCTDSS
+>DECOY_sp|O75197|LRP5_HUMAN Low-density lipoprotein receptor-related protein 5 OS=Homo sapiens OX=9606 GN=LRP5 PE=1 SV=2
+SSDTCPSPPPPFLHFYSRETAPSPPCSDEASLYQSHPTPPPPYPDSDSNLDLYYKSAKWRSASYDSDCVDTSCPTTPPAMGRIIYPRYPRATAPINSSYFMDMNYLSPDTAPSPPPNLIPPYLTAKTSSSSSSSAGTVHNRDYLPVGGRGGMLSVSSMMSKGCAIGTFPGHQSGGPAIFNLPVHPTGSVYEHPFPGNAGAYRQCVVRQCVFYVGGMVFLSLIIGIVPGIASSHAPSDDSPPKTIECMLEDSGDICDPFSDCQQKILVCQGSACRFQNPLCIADCDAEDSRDQCDAEGDCRLRLDVCQGRACPFQAASCVPCGEEDSQDDCEPFGDCRWAGPICDIEGTACAFQDPSCTPPEGCTLLNQLLVLHVPCSCRPTGDGKAICIHSCGGNDRACPHASFEELSVEEVAHIGTLHAVRGQIRTRKDGTTKEVREIMQQQRDIWYLHKGLITLGLPQVINADELTLRNAGSLDCSEIRKLDADVWFLKGLTNDVVLAVPRILGTTFLVERETGDLAAREIKAARDQMNTFYLYGREANVVIARPKDRDGRLVVGMAEGSLRHVNITNTAECTWFLTRSYIDISLDHPQRDPNQGQSLSTLVFPQTGDDKARKINQRGDVWYIFKDLPDYDIAKVNRLGHLPLILDPSHQDDPIMRSIASKQSFLLFTTPPSCNRSSPDLTYHSACGCRHGGPIALCLQGCQGNNHMCDNLGDQRSSHFVLIDMVFDLHGQILTRNRGSTKDAREISHLNWDTWYIYDSYQTLGFPHPLDDAIVVREQGLMNSSEIMNTDLDTWYLRQDAYDITLDNARGVKDVLTMCNTGDMFARVIRPKGGWETWYIYGKTPDLALSRPNDLDRWVLVQRFQGDLRAVEIRNTGTDAWYLNKGMWDVAMGEPYDLGFEVVHEVSSGNMFARSITKLSVDTWYIHNNSVDFDLASAEKVGTLPIAVDNNNTELSIRHIAARSTFVLFAEPVICTKMDSLLELGIPCGCRTAHPTFFCLHSCGGNRDACPNTGVVKAVNVAKLGMLDPLQDIIVDRSAKVKHVREISRRQWDTWYIFDGLLTFGFIHPLKDELLTRRKTGDVNIVEIKDTKADGWYLKGEQLDLALGNPWGLSANVLVRREQGDLNACEIKPNEGWDTWYMLGMVPHLAIARPEDLDESVLIKRSTGNLRTVEIRDTGTDTWYLNRAVWDVAIGDPDNIETNVLTQAGSGDLYARRIARVEDDTWYVYGELPDYDIAIAHRIDDVQLVIDTFDPTDLSIRRLDTRRALLLVEEAGAKCTRGNDQLQVGTPCACTYFPESPSLLCLHSCGGNDEECRTHFFPQREQSLVQIDMPSYLASLIEKRKGGTRKNCAHISRTQWDTWYLTDGSLTLAFPHTLSGEVVKQRFSGDLNARHIFSLKADAWYLKQEELDITLGNPWYIDSDVIIKRTSGDMGAREIRPTEGWDTWYMYGHAPDLAIARPQDLDQWFLVKRSTGNLNAVEIRNTESDTWYLKKGVWDCALGDPSVLGSIVVNQVAAGTQNLYTQKIAEESVDTWYVAGKSFQFDVAAADELGSVVITSELKVGGADVLRVDRRNAFLLLPSAAAPAPCGCLALLLLLLLLLPWPPGPPAAEM
+>sp|O75581|LRP6_HUMAN Low-density lipoprotein receptor-related protein 6 OS=Homo sapiens OX=9606 GN=LRP6 PE=1 SV=2
+MGAVLRSLLACSFCVLLRAAPLLLYANRRDLRLVDATNGKENATIVVGGLEDAAAVDFVFSHGLIYWSDVSEEAIKRTEFNKTESVQNVVVSGLLSPDGLACDWLGEKLYWTDSETNRIEVSNLDGSLRKVLFWQELDQPRAIALDPSSGFMYWTDWGEVPKIERAGMDGSSRFIIINSEIYWPNGLTLDYEEQKLYWADAKLNFIHKSNLDGTNRQAVVKGSLPHPFALTLFEDILYWTDWSTHSILACNKYTGEGLREIHSDIFSPMDIHAFSQQRQPNATNPCGIDNGGCSHLCLMSPVKPFYQCACPTGVKLLENGKTCKDGATELLLLARRTDLRRISLDTPDFTDIVLQLEDIRHAIAIDYDPVEGYIYWTDDEVRAIRRSFIDGSGSQFVVTAQIAHPDGIAVDWVARNLYWTDTGTDRIEVTRLNGTMRKILISEDLEEPRAIVLDPMVGYMYWTDWGEIPKIERAALDGSDRVVLVNTSLGWPNGLALDYDEGKIYWGDAKTDKIEVMNTDGTGRRVLVEDKIPHIFGFTLLGDYVYWTDWQRRSIERVHKRSAEREVIIDQLPDLMGLKATNVHRVIGSNPCAEENGGCSHLCLYRPQGLRCACPIGFELISDMKTCIVPEAFLLFSRRADIRRISLETNNNNVAIPLTGVKEASALDFDVTDNRIYWTDISLKTISRAFMNGSALEHVVEFGLDYPEGMAVDWLGKNLYWADTGTNRIEVSKLDGQHRQVLVWKDLDSPRALALDPAEGFMYWTEWGGKPKIDRAAMDGSERTTLVPNVGRANGLTIDYAKRRLYWTDLDTNLIESSNMLGLNREVIADDLPHPFGLTQYQDYIYWTDWSRRSIERANKTSGQNRTIIQGHLDYVMDILVFHSSRQSGWNECASSNGHCSHLCLAVPVGGFVCGCPAHYSLNADNRTCSAPTTFLLFSQKSAINRMVIDEQQSPDIILPIHSLRNVRAIDYDPLDKQLYWIDSRQNMIRKAQEDGSQGFTVVVSSVPSQNLEIQPYDLSIDIYSRYIYWTCEATNVINVTRLDGRSVGVVLKGEQDRPRAVVVNPEKGYMYFTNLQERSPKIERAALDGTEREVLFFSGLSKPIALALDSRLGKLFWADSDLRRIESSDLSGANRIVLEDSNILQPVGLTVFENWLYWIDKQQQMIEKIDMTGREGRTKVQARIAQLSDIHAVKELNLQEYRQHPCAQDNGGCSHICLVKGDGTTRCSCPMHLVLLQDELSCGEPPTCSPQQFTCFTGEIDCIPVAWRCDGFTECEDHSDELNCPVCSESQFQCASGQCIDGALRCNGDANCQDKSDEKNCEVLCLIDQFRCANGQCIGKHKKCDHNVDCSDKSDELDCYPTEEPAPQATNTVGSVIGVIVTIFVSGTVYFICQRMLCPRMKGDGETMTNDYVVHGPASVPLGYVPHPSSLSGSLPGMSRGKSMISSLSIMGGSSGPPYDRAHVTGASSSSSSSTKGTYFPAILNPPPSPATERSHYTMEFGYSSNSPSTHRSYSYRPYSYRHFAPPTTPCSTDVCDSDYAPSRRMTSVATAKGYTSDLNYDSEPVPPPPTPRSQYLSAEENYESCPPSPYTERSYSHHLYPPPPSPCTDSS
+>DECOY_sp|O75581|LRP6_HUMAN Low-density lipoprotein receptor-related protein 6 OS=Homo sapiens OX=9606 GN=LRP6 PE=1 SV=2
+SSDTCPSPPPPYLHHSYSRETYPSPPCSEYNEEASLYQSRPTPPPPVPESDYNLDSTYGKATAVSTMRRSPAYDSDCVDTSCPTTPPAFHRYSYPRYSYSRHTSPSNSSYGFEMTYHSRETAPSPPPNLIAPFYTGKTSSSSSSSAGTVHARDYPPGSSGGMISLSSIMSKGRSMGPLSGSLSSPHPVYGLPVSAPGHVVYDNTMTEGDGKMRPCLMRQCIFYVTGSVFITVIVGIVSGVTNTAQPAPEETPYCDLEDSKDSCDVNHDCKKHKGICQGNACRFQDILCLVECNKEDSKDQCNADGNCRLAGDICQGSACQFQSESCVPCNLEDSHDECETFGDCRWAVPICDIEGTFCTFQQPSCTPPEGCSLEDQLLVLHMPCSCRTTGDGKVLCIHSCGGNDQACPHQRYEQLNLEKVAHIDSLQAIRAQVKTRGERGTMDIKEIMQQQKDIWYLWNEFVTLGVPQLINSDELVIRNAGSLDSSEIRRLDSDAWFLKGLRSDLALAIPKSLGSFFLVERETGDLAAREIKPSREQLNTFYMYGKEPNVVVARPRDQEGKLVVGVSRGDLRTVNIVNTAECTWYIYRSYIDISLDYPQIELNQSPVSSVVVTFGQSGDEQAKRIMNQRSDIWYLQKDLPDYDIARVNRLSHIPLIIDPSQQEDIVMRNIASKQSFLLFTTPASCTRNDANLSYHAPCGCVFGGVPVALCLHSCHGNSSACENWGSQRSSHFVLIDMVYDLHGQIITRNQGSTKNAREISRRSWDTWYIYDQYQTLGFPHPLDDAIVERNLGLMNSSEILNTDLDTWYLRRKAYDITLGNARGVNPVLTTRESGDMAARDIKPKGGWETWYMFGEAPDLALARPSDLDKWVLVQRHQGDLKSVEIRNTGTDAWYLNKGLWDVAMGEPYDLGFEVVHELASGNMFARSITKLSIDTWYIRNDTVDFDLASAEKVGTLPIAVNNNNTELSIRRIDARRSFLLFAEPVICTKMDSILEFGIPCACRLGQPRYLCLHSCGGNEEACPNSGIVRHVNTAKLGMLDPLQDIIVEREASRKHVREISRRQWDTWYVYDGLLTFGFIHPIKDEVLVRRGTGDTNMVEIKDTKADGWYIKGEDYDLALGNPWGLSTNVLVVRDSGDLAAREIKPIEGWDTWYMYGVMPDLVIARPEELDESILIKRMTGNLRTVEIRDTGTDTWYLNRAVWDVAIGDPHAIQATVVFQSGSGDIFSRRIARVEDDTWYIYGEVPDYDIAIAHRIDELQLVIDTFDPTDLSIRRLDTRRALLLLETAGDKCTKGNELLKVGTPCACQYFPKVPSMLCLHSCGGNDIGCPNTANPQRQQSFAHIDMPSFIDSHIERLGEGTYKNCALISHTSWDTWYLIDEFLTLAFPHPLSGKVVAQRNTGDLNSKHIFNLKADAWYLKQEEYDLTLGNPWYIESNIIIFRSSGDMGAREIKPVEGWDTWYMFGSSPDLAIARPQDLEQWFLVKRLSGDLNSVEIRNTESDTWYLKEGLWDCALGDPSLLGSVVVNQVSETKNFETRKIAEESVDSWYILGHSFVFDVAAADELGGVVITANEKGNTADVLRLDRRNAYLLLPAARLLVCFSCALLSRLVAGM
+>sp|Q96NW7|LRRC7_HUMAN Leucine-rich repeat-containing protein 7 OS=Homo sapiens OX=9606 GN=LRRC7 PE=1 SV=1
+MTTKRKIIGRLVPCRCFRGEEEIISVLDYSHCSLQQVPKEVFNFERTLEELYLDANQIEELPKQLFNCQALRKLSIPDNDLSNLPTTIASLVNLKELDISKNGVQEFPENIKCCKCLTIIEASVNPISKLPDGFTQLLNLTQLYLNDAFLEFLPANFGRLVKLRILELRENHLKTLPKSMHKLAQLERLDLGNNEFGELPEVLDQIQNLRELWMDNNALQVLPGSIGKLKMLVYLDMSKNRIETVDMDISGCEALEDLLLSSNMLQQLPDSIGLLKKLTTLKVDDNQLTMLPNTIGNLSLLEEFDCSCNELESLPSTIGYLHSLRTLAVDENFLPELPREIGSCKNVTVMSLRSNKLEFLPEEIGQMQKLRVLNLSDNRLKNLPFSFTKLKELAALWLSDNQSKALIPLQTEAHPETKQRVLTNYMFPQQPRGDEDFQSDSDSFNPTLWEEQRQQRMTVAFEFEDKKEDDENAGKVKDLSCQAPWERGQRGITLQPARLSGDCCTPWARCDQQIQDMPVPQNDPQLAWGCISGLQQERSMCTPLPVAAQSTTLPSLSGRQVEINLKRYPTPYPEDLKNMVKSVQNLVGKPSHGVRVENSNPTANTEQTVKEKYEHKWPVAPKEITVEDSFVHPANEMRIGELHPSLAETPLYPPKLVLLGKDKKESTDESEVDKTHCLNNSVSSGTYSDYSPSQASSGSSNTRVKVGSLQTTAKDAVHNSLWGNRIAPSFPQPLDSKPLLSQREAVPPGNIPQRPDRLPMSDTFTDNWTDGSHYDNTGFVAEETTAENANSNPLLSSKSRSTSSHGRRPLIRQDRIVGVPLELEQSTHRHTPETEVPPSNPWQNWTRTPSPFEDRTAFPSKLETTPTTSPLPERKEHIKESTEIPSPFSPGVPWEYHDSNPNRSLSNVFSQIHCRPESSKGVISISKSTERLSPLMKDIKSNKFKKSQSIDEIDIGTYKVYNIPLENYASGSDHLGSHERPDKMLGPEHGMSSMSRSQSVPMLDDEMLTYGSSKGPQQQKASMTKKVYQFDQSFNPQGSVEVKAEKRIPPPFQHNPEYVQQASKNIAKDLISPRAYRGYPPMEQMFSFSQPSVNEDAVVNAQFASQGARAGFLRRADSLVSATEMAMFRRVNEPHELPPTDRYGRPPYRGGLDRQSSVTVTESQFLKRNGRYEDEHPSYQEVKAQAGSFPVKNLTQRRPLSARSYSTESYGASQTRPVSARPTMAALLEKIPSDYNLGNYGDKPSDNSDLKTRPTPVKGEESCGKMPADWRQQLLRHIEARRLDRNAAYKHNTVNLGMLPYGGISAMHAGRSMTLNLQTKSKFDHQELPLQKTPSQQSNILDNGQEDVSPSGQWNPYPLGRRDVPPDTITKKAGSHIQTLMGSQSLQHRSREQQPYEGNINKVTIQQFQSPLPIQIPSSQATRGPQPGRCLIQTKGQRSMDGYPEQFCVRIEKNPGLGFSISGGISGQGNPFKPSDKGIFVTRVQPDGPASNLLQPGDKILQANGHSFVHMEHEKAVLLLKSFQNTVDLVIQRELTV
+>DECOY_sp|Q96NW7|LRRC7_HUMAN Leucine-rich repeat-containing protein 7 OS=Homo sapiens OX=9606 GN=LRRC7 PE=1 SV=1
+VTLERQIVLDVTNQFSKLLLVAKEHEMHVFSHGNAQLIKDGPQLLNSAPGDPQVRTVFIGKDSPKFPNGQGSIGGSISFGLGPNKEIRVCFQEPYGDMSRQGKTQILCRGPQPGRTAQSSPIQIPLPSQFQQITVKNINGEYPQQERSRHQLSQSGMLTQIHSGAKKTITDPPVDRRGLPYPNWQGSPSVDEQGNDLINSQQSPTKQLPLEQHDFKSKTQLNLTMSRGAHMASIGGYPLMGLNVTNHKYAANRDLRRAEIHRLLQQRWDAPMKGCSEEGKVPTPRTKLDSNDSPKDGYNGLNYDSPIKELLAAMTPRASVPRTQSAGYSETSYSRASLPRRQTLNKVPFSGAQAKVEQYSPHEDEYRGNRKLFQSETVTVSSQRDLGGRYPPRGYRDTPPLEHPENVRRFMAMETASVLSDARRLFGARAGQSAFQANVVADENVSPQSFSFMQEMPPYGRYARPSILDKAINKSAQQVYEPNHQFPPPIRKEAKVEVSGQPNFSQDFQYVKKTMSAKQQQPGKSSGYTLMEDDLMPVSQSRSMSSMGHEPGLMKDPREHSGLHDSGSAYNELPINYVKYTGIDIEDISQSKKFKNSKIDKMLPSLRETSKSISIVGKSSEPRCHIQSFVNSLSRNPNSDHYEWPVGPSFPSPIETSEKIHEKREPLPSTTPTTELKSPFATRDEFPSPTRTWNQWPNSPPVETEPTHRHTSQELELPVGVIRDQRILPRRGHSSTSRSKSSLLPNSNANEATTEEAVFGTNDYHSGDTWNDTFTDSMPLRDPRQPINGPPVAERQSLLPKSDLPQPFSPAIRNGWLSNHVADKATTQLSGVKVRTNSSGSSAQSPSYDSYTGSSVSNNLCHTKDVESEDTSEKKDKGLLVLKPPYLPTEALSPHLEGIRMENAPHVFSDEVTIEKPAVPWKHEYKEKVTQETNATPNSNEVRVGHSPKGVLNQVSKVMNKLDEPYPTPYRKLNIEVQRGSLSPLTTSQAAVPLPTCMSREQQLGSICGWALQPDNQPVPMDQIQQDCRAWPTCCDGSLRAPQLTIGRQGREWPAQCSLDKVKGANEDDEKKDEFEFAVTMRQQRQEEWLTPNFSDSDSQFDEDGRPQQPFMYNTLVRQKTEPHAETQLPILAKSQNDSLWLAALEKLKTFSFPLNKLRNDSLNLVRLKQMQGIEEPLFELKNSRLSMVTVNKCSGIERPLEPLFNEDVALTRLSHLYGITSPLSELENCSCDFEELLSLNGITNPLMTLQNDDVKLTTLKKLLGISDPLQQLMNSSLLLDELAECGSIDMDVTEIRNKSMDLYVLMKLKGISGPLVQLANNDMWLERLNQIQDLVEPLEGFENNGLDLRELQALKHMSKPLTKLHNERLELIRLKVLRGFNAPLFELFADNLYLQTLNLLQTFGDPLKSIPNVSAEIITLCKCCKINEPFEQVGNKSIDLEKLNVLSAITTPLNSLDNDPISLKRLAQCNFLQKPLEEIQNADLYLEELTREFNFVEKPVQQLSCHSYDLVSIIEEEGRFCRCPVLRGIIKRKTTM
+>sp|A4D1F6|LRRD1_HUMAN Leucine-rich repeat and death domain-containing protein 1 OS=Homo sapiens OX=9606 GN=LRRD1 PE=2 SV=2
+MSEKEGMSEVLEDTISQFRKESRSQSMKEPGFIKETSNLINEASDYLEGKSSNQIYETHPRQNTLESTSSSGRKSKRNEEQKKNLQFSETSTRTGTSQSLSSLTGRTAEYQALVNFLSHETVGEVSPQVSEENQKQLGLGADNFTVNLEAKGLQEFPKDILKIKYVKYLYLDKNQIKTFQGADSGDLLGLEILSLQENGLSSLPSEIQLLHNLRILNVSHNHISHIPKEISQLGNIRQLFFYNNYIENFPSDLECLGNLEILSLGKNKLRHIPDTLPSLKTLRVLNLEYNQLTTFPKALCFLPKLISLDLTGNLISSLPKEIRELKNLETLLMDHNKLTFLAVEIFQLLKIKELQLADNKLEVISHKIENFRELRILILDKNLLKNIPEKISCCAMLECLSLSDNKLTELPKYIHKLNNLRKLHVNRNNMVKITDCISHLNNICSLEFSGNIITDVPIEIKNCQKIIKIELSYNKIMYFPLGLCALDSLYYLSVNGNYISEIPVDISFSKQLLHLELSENKLLIFSEHFCSLINLKYLDLGKNQIKKIPASISNMISLHVLILCCNKFETFPRELCTLENLQVLDLSENQLQKISSDICNLKGIQKLNFSSNQFIHFPIELCQLQSLEQLNISQIKGRKLTRLPGELSNMTQLKELDISNNAIREIPRNIGELRNLVSLHAYNNQISYLPPSLLSLNDLQQLNLSGNNLTALPSAIYNIFSLKEINFDDNPLLRPPVEICKGKQLYTIARYLQRADERDEKILEKIFKIVANNITETNFEFLCQKLNLANSETDMPTKSTVSLSERAHQALVIWKTQSNKLSLTAAALRDQLIRALTMIGAYEIMDKITALNLFTRAIKF
+>DECOY_sp|A4D1F6|LRRD1_HUMAN Leucine-rich repeat and death domain-containing protein 1 OS=Homo sapiens OX=9606 GN=LRRD1 PE=2 SV=2
+FKIARTFLNLATIKDMIEYAGIMTLARILQDRLAAATLSLKNSQTKWIVLAQHARESLSVTSKTPMDTESNALNLKQCLFEFNTETINNAVIKFIKELIKEDREDARQLYRAITYLQKGKCIEVPPRLLPNDDFNIEKLSFINYIASPLATLNNGSLNLQQLDNLSLLSPPLYSIQNNYAHLSVLNRLEGINRPIERIANNSIDLEKLQTMNSLEGPLRTLKRGKIQSINLQELSQLQCLEIPFHIFQNSSFNLKQIGKLNCIDSSIKQLQNESLDLVQLNELTCLERPFTEFKNCCLILVHLSIMNSISAPIKKIQNKGLDLYKLNILSCFHESFILLKNESLELHLLQKSFSIDVPIESIYNGNVSLYYLSDLACLGLPFYMIKNYSLEIKIIKQCNKIEIPVDTIINGSFELSCINNLHSICDTIKVMNNRNVHLKRLNNLKHIYKPLETLKNDSLSLCELMACCSIKEPINKLLNKDLILIRLERFNEIKHSIVELKNDALQLEKIKLLQFIEVALFTLKNHDMLLTELNKLERIEKPLSSILNGTLDLSILKPLFCLAKPFTTLQNYELNLVRLTKLSPLTDPIHRLKNKGLSLIELNGLCELDSPFNEIYNNYFFLQRINGLQSIEKPIHSIHNHSVNLIRLNHLLQIESPLSSLGNEQLSLIELGLLDGSDAGQFTKIQNKDLYLYKVYKIKLIDKPFEQLGKAELNVTFNDAGLGLQKQNEESVQPSVEGVTEHSLFNVLAQYEATRGTLSSLSQSTGTRTSTESFQLNKKQEENRKSKRGSSSTSELTNQRPHTEYIQNSSKGELYDSAENILNSTEKIFGPEKMSQSRSEKRFQSITDELVESMGEKESM
+>sp|Q86VH5|LRRT3_HUMAN Leucine-rich repeat transmembrane neuronal protein 3 OS=Homo sapiens OX=9606 GN=LRRTM3 PE=2 SV=2
+MGFNVIRLLSGSAVALVIAPTVLLTMLSSAERGCPKGCRCEGKMVYCESQKLQEIPSSISAGCLGLSLRYNSLQKLKYNQFKGLNQLTWLYLDHNHISNIDENAFNGIRRLKELILSSNRISYFLNNTFRPVTNLRNLDLSYNQLHSLGSEQFRGLRKLLSLHLRSNSLRTIPVRIFQDCRNLELLDLGYNRIRSLARNVFAGMIRLKELHLEHNQFSKLNLALFPRLVSLQNLYLQWNKISVIGQTMSWTWSSLQRLDLSGNEIEAFSGPSVFQCVPNLQRLNLDSNKLTFIGQEILDSWISLNDISLAGNIWECSRNICSLVNWLKSFKGLRENTIICASPKELQGVNVIDAVKNYSICGKSTTERFDLARALPKPTFKPKLPRPKHESKPPLPPTVGATEPGPETDADAEHISFHKIIAGSVALFLSVLVILLVIYVSWKRYPASMKQLQQRSLMRRHRKKKRQSLKQMTPSTQEFYVDYKPTNTETSEMLLNGTGPCTYNKSGSRECEIPLSMNVSTFLAYDQPTISYCGVHHELLSHKSFETNAQEDTMETHLETELDLSTITTAGRISDHKQQLA
+>DECOY_sp|Q86VH5|LRRT3_HUMAN Leucine-rich repeat transmembrane neuronal protein 3 OS=Homo sapiens OX=9606 GN=LRRTM3 PE=2 SV=2
+ALQQKHDSIRGATTITSLDLETELHTEMTDEQANTEFSKHSLLEHHVGCYSITPQDYALFTSVNMSLPIECERSGSKNYTCPGTGNLLMESTETNTPKYDVYFEQTSPTMQKLSQRKKKRHRRMLSRQQLQKMSAPYRKWSVYIVLLIVLVSLFLAVSGAIIKHFSIHEADADTEPGPETAGVTPPLPPKSEHKPRPLKPKFTPKPLARALDFRETTSKGCISYNKVADIVNVGQLEKPSACIITNERLGKFSKLWNVLSCINRSCEWINGALSIDNLSIWSDLIEQGIFTLKNSDLNLRQLNPVCQFVSPGSFAEIENGSLDLRQLSSWTWSMTQGIVSIKNWQLYLNQLSVLRPFLALNLKSFQNHELHLEKLRIMGAFVNRALSRIRNYGLDLLELNRCDQFIRVPITRLSNSRLHLSLLKRLGRFQESGLSHLQNYSLDLNRLNTVPRFTNNLFYSIRNSSLILEKLRRIGNFANEDINSIHNHDLYLWTLQNLGKFQNYKLKQLSNYRLSLGLCGASISSPIEQLKQSECYVMKGECRCGKPCGREASSLMTLLVTPAIVLAVASGSLLRIVNFGM
+>sp|Q86VH4|LRRT4_HUMAN Leucine-rich repeat transmembrane neuronal protein 4 OS=Homo sapiens OX=9606 GN=LRRTM4 PE=2 SV=2
+MGFHLITQLKGMSVVLVLLPTLLLVMLTGAQRACPKNCRCDGKIVYCESHAFADIPENISGGSQGLSLRFNSIQKLKSNQFAGLNQLIWLYLDHNYISSVDEDAFQGIRRLKELILSSNKITYLHNKTFHPVPNLRNLDLSYNKLQTLQSEQFKGLRKLIILHLRSNSLKTVPIRVFQDCRNLDFLDLGYNRLRSLSRNAFAGLLKLKELHLEHNQFSKINFAHFPRLFNLRSIYLQWNRIRSISQGLTWTWSSLHNLDLSGNDIQGIEPGTFKCLPNLQKLNLDSNKLTNISQETVNAWISLISITLSGNMWECSRSICPLFYWLKNFKGNKESTMICAGPKHIQGEKVSDAVETYNICSEVQVVNTERSHLVPQTPQKPLIIPRPTIFKPDVTQSTFETPSPSPGFQIPGAEQEYEHVSFHKIIAGSVALFLSVAMILLVIYVSWKRYPASMKQLQQHSLMKRRRKKARESERQMNSPLQEYYVDYKPTNSETMDISVNGSGPCTYTISGSRECEMPHHMKPLPYYSYDQPVIGYCQAHQPLHVTKGYETVSPEQDESPGLELGRDHSFIATIARSAAPAIYLERIAN
+>DECOY_sp|Q86VH4|LRRT4_HUMAN Leucine-rich repeat transmembrane neuronal protein 4 OS=Homo sapiens OX=9606 GN=LRRTM4 PE=2 SV=2
+NAIRELYIAPAASRAITAIFSHDRGLELGPSEDQEPSVTEYGKTVHLPQHAQCYGIVPQDYSYYPLPKMHHPMECERSGSITYTCPGSGNVSIDMTESNTPKYDVYYEQLPSNMQRESERAKKRRRKMLSHQQLQKMSAPYRKWSVYIVLLIMAVSLFLAVSGAIIKHFSVHEYEQEAGPIQFGPSPSPTEFTSQTVDPKFITPRPIILPKQPTQPVLHSRETNVVQVESCINYTEVADSVKEGQIHKPGACIMTSEKNGKFNKLWYFLPCISRSCEWMNGSLTISILSIWANVTEQSINTLKNSDLNLKQLNPLCKFTGPEIGQIDNGSLDLNHLSSWTWTLGQSISRIRNWQLYISRLNFLRPFHAFNIKSFQNHELHLEKLKLLGAFANRSLSRLRNYGLDLFDLNRCDQFVRIPVTKLSNSRLHLIILKRLGKFQESQLTQLKNYSLDLNRLNPVPHFTKNHLYTIKNSSLILEKLRRIGQFADEDVSSIYNHDLYLWILQNLGAFQNSKLKQISNFRLSLGQSGGSINEPIDAFAHSECYVIKGDCRCNKPCARQAGTLMVLLLTPLLVLVVSMGKLQTILHFGM
+>sp|Q9UFC0|LRWD1_HUMAN Leucine-rich repeat and WD repeat-containing protein 1 OS=Homo sapiens OX=9606 GN=LRWD1 PE=1 SV=2
+MGPLSARLLMQRGRPKSDRLGKIRSLDLSGLELLSEHLDPKLLCRLTQLQELDLSNNHLETLPDNLGLSHLRVLRCANNQLGDVTALCQFPKLEELSLEGNPFLTVNDNLKVSFLLPTLRKVNGKDASSTYSQVENLNRELTSRVTAHWEKFMATLGPEEEAEKAQADFVKSAVRDVRYGPESLSEFTQWRVRMISEELVAASRTQVQKANSPEKPPEAGAAHKPRARLAALKRPDDVPLSLSPSKRACASPSAQVEGSPVAGSDGSQPAVKLEPLHFLQCHSKNNSPQDLETQLWACAFEPAWEEGATSQTVATCGGEAVCVIDCQTGIVLHKYKAPGEEFFSVAWTALMVVTQAGHKKRWSVLAAAGLRGLVRLLHVRAGFCCGVIRAHKKAIATLCFSPAHETHLFTASYDKRIILWDIGVPNQDYEFQASQLLTLDTTSIPLRLCPVASCPDARLLAGCEGGCCCWDVRLDQPQKRRVCEVEFVFSEGSEASGRRVDGLAFVNEDIVASKGSGLGTICLWSWRQTWGGRGSQSTVAVVVLARLQWSSTELAYFSLSACPDKGIVLCGDEEGNVWLYDVSNILKQPPLLPAALQAPTQILKWPQPWALGQVVTKTMVNTVVANASFTYLTALTDSNIVAIWGRM
+>DECOY_sp|Q9UFC0|LRWD1_HUMAN Leucine-rich repeat and WD repeat-containing protein 1 OS=Homo sapiens OX=9606 GN=LRWD1 PE=1 SV=2
+MRGWIAVINSDTLATLYTFSANAVVTNVMTKTVVQGLAWPQPWKLIQTPAQLAAPLLPPQKLINSVDYLWVNGEEDGCLVIGKDPCASLSFYALETSSWQLRALVVVAVTSQSGRGGWTQRWSWLCITGLGSGKSAVIDENVFALGDVRRGSAESGESFVFEVECVRRKQPQDLRVDWCCCGGECGALLRADPCSAVPCLRLPISTTDLTLLQSAQFEYDQNPVGIDWLIIRKDYSATFLHTEHAPSFCLTAIAKKHARIVGCCFGARVHLLRVLGRLGAAALVSWRKKHGAQTVVMLATWAVSFFEEGPAKYKHLVIGTQCDIVCVAEGGCTAVTQSTAGEEWAPEFACAWLQTELDQPSNNKSHCQLFHLPELKVAPQSGDSGAVPSGEVQASPSACARKSPSLSLPVDDPRKLAALRARPKHAAGAEPPKEPSNAKQVQTRSAAVLEESIMRVRWQTFESLSEPGYRVDRVASKVFDAQAKEAEEEPGLTAMFKEWHATVRSTLERNLNEVQSYTSSADKGNVKRLTPLLFSVKLNDNVTLFPNGELSLEELKPFQCLATVDGLQNNACRLVRLHSLGLNDPLTELHNNSLDLEQLQTLRCLLKPDLHESLLELGSLDLSRIKGLRDSKPRGRQMLLRASLPGM
+>sp|P01229|LSHB_HUMAN Lutropin subunit beta OS=Homo sapiens OX=9606 GN=LHB PE=1 SV=3
+MEMLQGLLLLLLLSMGGAWASREPLRPWCHPINAILAVEKEGCPVCITVNTTICAGYCPTMMRVLQAVLPPLPQVVCTYRDVRFESIRLPGCPRGVDPVVSFPVALSCRCGPCRRSTSDCGGPKDHPLTCDHPQLSGLLFL
+>DECOY_sp|P01229|LSHB_HUMAN Lutropin subunit beta OS=Homo sapiens OX=9606 GN=LHB PE=1 SV=3
+LFLLGSLQPHDCTLPHDKPGGCDSTSRRCPGCRCSLAVPFSVVPDVGRPCGPLRISEFRVDRYTCVVQPLPPLVAQLVRMMTPCYGACITTNVTICVPCGEKEVALIANIPHCWPRLPERSAWAGGMSLLLLLLLGQLMEM
+>sp|Q969L4|LSM10_HUMAN U7 snRNA-associated Sm-like protein LSm10 OS=Homo sapiens OX=9606 GN=LSM10 PE=1 SV=1
+MAVSHSVKERTISENSLIILLQGLQGRVTTVDLRDESVAHGRIDNVDAFMNIRLAKVTYTDRWGHQVKLDDLFVTGRNVRYVHIPDDVNITSTIEQQLQIIHRVRNFGGKGQGRWEFPPKNCK
+>DECOY_sp|Q969L4|LSM10_HUMAN U7 snRNA-associated Sm-like protein LSm10 OS=Homo sapiens OX=9606 GN=LSM10 PE=1 SV=1
+KCNKPPFEWRGQGKGGFNRVRHIIQLQQEITSTINVDDPIHVYRVNRGTVFLDDLKVQHGWRDTYTVKALRINMFADVNDIRGHAVSEDRLDVTTVRGQLGQLLIILSNESITREKVSHSVAM
+>sp|O15116|LSM1_HUMAN U6 snRNA-associated Sm-like protein LSm1 OS=Homo sapiens OX=9606 GN=LSM1 PE=1 SV=1
+MNYMPGTASLIEDIDKKHLVLLRDGRTLIGFLRSIDQFANLVLHQTVERIHVGKKYGDIPRGIFVVRGENVVLLGEIDLEKESDTPLQQVSIEEILEEQRVEQQTKLEAEKLKVQALKDRGLSIPRADTLDEY
+>DECOY_sp|O15116|LSM1_HUMAN U6 snRNA-associated Sm-like protein LSm1 OS=Homo sapiens OX=9606 GN=LSM1 PE=1 SV=1
+YEDLTDARPISLGRDKLAQVKLKEAELKTQQEVRQEELIEEISVQQLPTDSEKELDIEGLLVVNEGRVVFIGRPIDGYKKGVHIREVTQHLVLNAFQDISRLFGILTRGDRLLVLHKKDIDEILSATGPMYNM
+>sp|Q8N8F7|LSME1_HUMAN Leucine-rich single-pass membrane protein 1 OS=Homo sapiens OX=9606 GN=LSMEM1 PE=1 SV=1
+MTHSSQDTGSCGIQEDGKLYVVDSINDLNKLNLCPAGSQHLFPLEDKIPVLGTNSGNGSRSLFFVGLLIVLIVSLALVFFVIFLIVQTGNKMDDVSRRLTAEGKDIDDLKRINNMIVKRLNQLNQLDSEQN
+>DECOY_sp|Q8N8F7|LSME1_HUMAN Leucine-rich single-pass membrane protein 1 OS=Homo sapiens OX=9606 GN=LSMEM1 PE=1 SV=1
+NQESDLQNLQNLRKVIMNNIRKLDDIDKGEATLRRSVDDMKNGTQVILFIVFFVLALSVILVILLGVFFLSRSGNGSNTGLVPIKDELPFLHQSGAPCLNLKNLDNISDVVYLKGDEQIGCSGTDQSSHTM
+>sp|P01703|LV140_HUMAN Immunoglobulin lambda variable 1-40 OS=Homo sapiens OX=9606 GN=IGLV1-40 PE=1 SV=2
+MAWSPLLLTLLAHCTGSWAQSVLTQPPSVSGAPGQRVTISCTGSSSNIGAGYDVHWYQQLPGTAPKLLIYGNSNRPSGVPDRFSGSKSGTSASLAITGLQAEDEADYYCQSYDSSLSG
+>DECOY_sp|P01703|LV140_HUMAN Immunoglobulin lambda variable 1-40 OS=Homo sapiens OX=9606 GN=IGLV1-40 PE=1 SV=2
+GSLSSDYSQCYYDAEDEAQLGTIALSASTGSKSGSFRDPVGSPRNSNGYILLKPATGPLQQYWHVDYGAGINSSSGTCSITVRQGPAGSVSPPQTLVSQAWSGTCHALLTLLLPSWAM
+>sp|P01706|LV211_HUMAN Immunoglobulin lambda variable 2-11 OS=Homo sapiens OX=9606 GN=IGLV2-11 PE=1 SV=2
+MAWALLLLSLLTQGTGSWAQSALTQPRSVSGSPGQSVTISCTGTSSDVGGYNYVSWYQQHPGKAPKLMIYDVSKRPSGVPDRFSGSKSGNTASLTISGLQAEDEADYYCCSYAGSYTFH
+>DECOY_sp|P01706|LV211_HUMAN Immunoglobulin lambda variable 2-11 OS=Homo sapiens OX=9606 GN=IGLV2-11 PE=1 SV=2
+HFTYSGAYSCCYYDAEDEAQLGSITLSATNGSKSGSFRDPVGSPRKSVDYIMLKPAKGPHQQYWSVYNYGGVDSSTGTCSITVSQGPSGSVSRPQTLASQAWSGTGQTLLSLLLLAWAM
+>sp|P01715|LV301_HUMAN Immunoglobulin lambda variable 3-1 OS=Homo sapiens OX=9606 GN=IGLV3-1 PE=1 SV=2
+MAWIPLFLGVLAYCTGSVASYELTQPPSVSVSPGQTASITCSGDKLGDKYACWYQQKPGQSPVLVIYQDSKRPSGIPERFSGSNSGNTATLTISGTQAMDEADYYCQAWDSSTAH
+>DECOY_sp|P01715|LV301_HUMAN Immunoglobulin lambda variable 3-1 OS=Homo sapiens OX=9606 GN=IGLV3-1 PE=1 SV=2
+HATSSDWAQCYYDAEDMAQTGSITLTATNGSNSGSFREPIGSPRKSDQYIVLVPSQGPKQQYWCAYKDGLKDGSCTISATQGPSVSVSPPQTLEYSAVSGTCYALVGLFLPIWAM
+>sp|P01714|LV319_HUMAN Immunoglobulin lambda variable 3-19 OS=Homo sapiens OX=9606 GN=IGLV3-19 PE=1 SV=2
+MAWTPLWLTLLTLCIGSVVSSELTQDPAVSVALGQTVRITCQGDSLRSYYASWYQQKPGQAPVLVIYGKNNRPSGIPDRFSGSSSGNTASLTITGAQAEDEADYYCNSRDSS
+>DECOY_sp|P01714|LV319_HUMAN Immunoglobulin lambda variable 3-19 OS=Homo sapiens OX=9606 GN=IGLV3-19 PE=1 SV=2
+SSDRSNCYYDAEDEAQAGTITLSATNGSSSGSFRDPIGSPRNNKGYIVLVPAQGPKQQYWSAYYSRLSDGQCTIRVTQGLAVSVAPDQTLESSVVSGICLTLLTLWLPTWAM
+>sp|P01717|LV325_HUMAN Immunoglobulin lambda variable 3-25 OS=Homo sapiens OX=9606 GN=IGLV3-25 PE=1 SV=2
+MAWIPLLLPLLTLCTGSEASYELTQPPSVSVSPGQTARITCSGDALPKQYAYWYQQKPGQAPVLVIYKDSERPSGIPERFSGSSSGTTVTLTISGVQAEDEADYYCQSADSS
+>DECOY_sp|P01717|LV325_HUMAN Immunoglobulin lambda variable 3-25 OS=Homo sapiens OX=9606 GN=IGLV3-25 PE=1 SV=2
+SSDASQCYYDAEDEAQVGSITLTVTTGSSSGSFREPIGSPRESDKYIVLVPAQGPKQQYWYAYQKPLADGSCTIRATQGPSVSVSPPQTLEYSAESGTCLTLLPLLLPIWAM
+>sp|A0A075B6H9|LV469_HUMAN Immunoglobulin lambda variable 4-69 OS=Homo sapiens OX=9606 GN=IGLV4-69 PE=1 SV=1
+MAWTPLLFLTLLLHCTGSLSQLVLTQSPSASASLGASVKLTCTLSSGHSSYAIAWHQQQPEKGPRYLMKLNSDGSHSKGDGIPDRFSGSSSGAERYLTISSLQSEDEADYYCQTWGTGI
+>DECOY_sp|A0A075B6H9|LV469_HUMAN Immunoglobulin lambda variable 4-69 OS=Homo sapiens OX=9606 GN=IGLV4-69 PE=1 SV=1
+IGTGWTQCYYDAEDESQLSSITLYREAGSSSGSFRDPIGDGKSHSGDSNLKMLYRPGKEPQQQHWAIAYSSHGSSLTCTLKVSAGLSASASPSQTLVLQSLSGTCHLLLTLFLLPTWAM
+>sp|A0A087WSX0|LV545_HUMAN Immunoglobulin lambda variable 5-45 OS=Homo sapiens OX=9606 GN=IGLV5-45 PE=3 SV=1
+MAWTPLLLLFLSHCTGSLSQAVLTQPSSLSASPGASASLTCTLCSGINVGTYRIYWYQQKPGSPPQYLLRYKSDSDKQQGSGVPSRFSGSKDASANAGILLISGLQSEDEADYYCMIWHSSAS
+>DECOY_sp|A0A087WSX0|LV545_HUMAN Immunoglobulin lambda variable 5-45 OS=Homo sapiens OX=9606 GN=IGLV5-45 PE=3 SV=1
+SASSHWIMCYYDAEDESQLGSILLIGANASADKSGSFRSPVGSGQQKDSDSKYRLLYQPPSGPKQQYWYIRYTGVNIGSCLTCTLSASAGPSASLSSPQTLVAQSLSGTCHSLFLLLLPTWAM
+>sp|P04211|LV743_HUMAN Immunoglobulin lambda variable 7-43 OS=Homo sapiens OX=9606 GN=IGLV7-43 PE=3 SV=2
+MAWTPLFLFLLTCCPGSNSQTVVTQEPSLTVSPGGTVTLTCASSTGAVTSGYYPNWFQQKPGQAPRALIYSTSNKHSWTPARFSGSLLGGKAALTLSGVQPEDEAEYYCLLYYGGAQ
+>DECOY_sp|P04211|LV743_HUMAN Immunoglobulin lambda variable 7-43 OS=Homo sapiens OX=9606 GN=IGLV7-43 PE=3 SV=2
+QAGGYYLLCYYEAEDEPQVGSLTLAAKGGLLSGSFRAPTWSHKNSTSYILARPAQGPKQQFWNPYYGSTVAGTSSACTLTVTGGPSVTLSPEQTVVTQSNSGPCCTLLFLFLPTWAM
+>sp|A0A075B6I4|LVX54_HUMAN Immunoglobulin lambda variable 10-54 OS=Homo sapiens OX=9606 GN=IGLV10-54 PE=3 SV=1
+MPWALLLLTLLTHSAVSVVQAGLTQPPSVSKGLRQTATLTCTGNSNIVGNQGAAWLQQHQGHPPKLLSYRNNNRPSGISERFSASRSGNTASLTITGLQPEDEADYYCSALDSSLSA
+>DECOY_sp|A0A075B6I4|LVX54_HUMAN Immunoglobulin lambda variable 10-54 OS=Homo sapiens OX=9606 GN=IGLV10-54 PE=3 SV=1
+ASLSSDLASCYYDAEDEPQLGTITLSATNGSRSASFRESIGSPRNNNRYSLLKPPHGQHQQLWAAGQNGVINSNGTCTLTATQRLGKSVSPPQTLGAQVVSVASHTLLTLLLLAWPM
+>sp|O75342|LX12B_HUMAN Arachidonate 12-lipoxygenase, 12R-type OS=Homo sapiens OX=9606 GN=ALOX12B PE=1 SV=1
+MATYKVRVATGTDLLSGTRDSISLTIVGTQGESHKQLLNHFGRDFATGAVGQYTVQCPQDLGELIIIRLHKERYAFFPKDPWYCNYVQICAPNGRIYHFPAYQWMDGYETLALREATGKTTADDSLPVLLEHRKEEIRAKQDFYHWRVFLPGLPSYVHIPSYRPPVRRHRNPNRPEWNGYIPGFPILINFKATKFLNLNLRYSFLKTASFFVRLGPMALAFKVRGLLDCKHSWKRLKDIRKIFPGKKSVVSEYVAEHWAEDTFFGYQYLNGVNPGLIRRCTRIPDKFPVTDDMVAPFLGEGTCLQAELEKGNIYLADYRIMEGIPTVELSGRKQHHCAPLCLLHFGPEGKMMPIAIQLSQTPGPDCPIFLPSDSEWDWLLAKTWVRYAEFYSHEAIAHLLETHLIAEAFCLALLRNLPMCHPLYKLLIPHTRYTVQINSIGRAVLLNEGGLSAKGMSLGVEGFAGVMVRALSELTYDSLYLPNDFVERGVQDLPGYYYRDDSLAVWNALEKYVTEIITYYYPSDAAVEGDPELQSWVQEIFKECLLGRESSGFPRCLRTVPELIRYVTIVIYTCSAKHAAVNTGQMEFTAWMPNFPASMRNPPIQTKGLTTLETFMDTLPDVKTTCITLLVLWTLSREPDDRRPLGHFPDIHFVEEAPRRSIEAFRQRLNQISHDIRQRNKCLPIPYYYLDPVLIENSISI
+>DECOY_sp|O75342|LX12B_HUMAN Arachidonate 12-lipoxygenase, 12R-type OS=Homo sapiens OX=9606 GN=ALOX12B PE=1 SV=1
+ISISNEILVPDLYYYPIPLCKNRQRIDHSIQNLRQRFAEISRRPAEEVFHIDPFHGLPRRDDPERSLTWLVLLTICTTKVDPLTDMFTELTTLGKTQIPPNRMSAPFNPMWATFEMQGTNVAAHKASCTYIVITVYRILEPVTRLCRPFGSSERGLLCEKFIEQVWSQLEPDGEVAADSPYYYTIIETVYKELANWVALSDDRYYYGPLDQVGREVFDNPLYLSDYTLESLARVMVGAFGEVGLSMGKASLGGENLLVARGISNIQVTYRTHPILLKYLPHCMPLNRLLALCFAEAILHTELLHAIAEHSYFEAYRVWTKALLWDWESDSPLFIPCDPGPTQSLQIAIPMMKGEPGFHLLCLPACHHQKRGSLEVTPIGEMIRYDALYINGKELEAQLCTGEGLFPAVMDDTVPFKDPIRTCRRILGPNVGNLYQYGFFTDEAWHEAVYESVVSKKGPFIKRIDKLRKWSHKCDLLGRVKFALAMPGLRVFFSATKLFSYRLNLNLFKTAKFNILIPFGPIYGNWEPRNPNRHRRVPPRYSPIHVYSPLGPLFVRWHYFDQKARIEEKRHELLVPLSDDATTKGTAERLALTEYGDMWQYAPFHYIRGNPACIQVYNCYWPDKPFFAYREKHLRIIILEGLDQPCQVTYQGVAGTAFDRGFHNLLQKHSEGQTGVITLSISDRTGSLLDTGTAVRVKYTAM
+>sp|O95867|LY66C_HUMAN Lymphocyte antigen 6 complex locus protein G6c OS=Homo sapiens OX=9606 GN=LY6G6C PE=1 SV=1
+MKALMLLTLSVLLCWVSADIRCHSCYKVPVLGCVDRQSCRLEPGQQCLTTHAYLGKMWVFSNLRCGTPEEPCQEAFNQTNRKLGLTYNTTCCNKDNCNSAGPRPTPALGLVFLTSLAGLGLWLLH
+>DECOY_sp|O95867|LY66C_HUMAN Lymphocyte antigen 6 complex locus protein G6c OS=Homo sapiens OX=9606 GN=LY6G6C PE=1 SV=1
+HLLWLGLGALSTLFVLGLAPTPRPGASNCNDKNCCTTNYTLGLKRNTQNFAEQCPEEPTGCRLNSFVWMKGLYAHTTLCQQGPELRCSQRDVCGLVPVKYCSHCRIDASVWCLLVSLTLLMLAKM
+>sp|Q5SQ64|LY66F_HUMAN Lymphocyte antigen 6 complex locus protein G6f OS=Homo sapiens OX=9606 GN=LY6G6F PE=1 SV=2
+MAVLFLLLFLCGTPQAADNMQAIYVALGEAVELPCPSPPTLHGDEHLSWFCSPAAGSFTTLVAQVQVGRPAPDPGKPGRESRLRLLGNYSLWLEGSKEEDAGRYWCAVLGQHHNYQNWRVYDVLVLKGSQLSARAADGSPCNVLLCSVVPSRRMDSVTWQEGKGPVRGRVQSFWGSEAALLLVCPGEGLSEPRSRRPRIIRCLMTHNKGVSFSLAASIDASPALCAPSTGWDMPWILMLLLTMGQGVVILALSIVLWRQRVRGAPGRDASIPQFKPEIQVYENIHLARLGPPAHKPR
+>DECOY_sp|Q5SQ64|LY66F_HUMAN Lymphocyte antigen 6 complex locus protein G6f OS=Homo sapiens OX=9606 GN=LY6G6F PE=1 SV=2
+RPKHAPPGLRALHINEYVQIEPKFQPISADRGPAGRVRQRWLVISLALIVVGQGMTLLLMLIWPMDWGTSPACLAPSADISAALSFSVGKNHTMLCRIIRPRRSRPESLGEGPCVLLLAAESGWFSQVRGRVPGKGEQWTVSDMRRSPVVSCLLVNCPSGDAARASLQSGKLVLVDYVRWNQYNHHQGLVACWYRGADEEKSGELWLSYNGLLRLRSERGPKGPDPAPRGVQVQAVLTTFSGAAPSCFWSLHEDGHLTPPSPCPLEVAEGLAVYIAQMNDAAQPTGCLFLLLFLVAM
+>sp|Q17RY6|LY6K_HUMAN Lymphocyte antigen 6K OS=Homo sapiens OX=9606 GN=LY6K PE=1 SV=2
+MALLALLLVVALPRVWTDANLTARQRDPEDSQRTDEGDNRVWCHVCERENTFECQNPRRCKWTEPYCVIAAVKIFPRFFMVAKQCSAGCAAMERPKPEEKRFLLEEPMPFFYLKCCKIRYCNLEGPPINSSVFKEYAGSMGESCGGLWLAILLLLASIAAGLSLS
+>DECOY_sp|Q17RY6|LY6K_HUMAN Lymphocyte antigen 6K OS=Homo sapiens OX=9606 GN=LY6K PE=1 SV=2
+SLSLGAAISALLLLIALWLGGCSEGMSGAYEKFVSSNIPPGELNCYRIKCCKLYFFPMPEELLFRKEEPKPREMAACGASCQKAVMFFRPFIKVAAIVCYPETWKCRRPNQCEFTNERECVHCWVRNDGEDTRQSDEPDRQRATLNADTWVRPLAVVLLLALLAM
+>sp|O60449|LY75_HUMAN Lymphocyte antigen 75 OS=Homo sapiens OX=9606 GN=LY75 PE=1 SV=3
+MRTGWATPRRPAGLLMLLFWFFDLAEPSGRAANDPFTIVHGNTGKCIKPVYGWIVADDCDETEDKLWKWVSQHRLFHLHSQKCLGLDITKSVNELRMFSCDSSAMLWWKCEHHSLYGAARYRLALKDGHGTAISNASDVWKKGGSEESLCDQPYHEIYTRDGNSYGRPCEFPFLIDGTWHHDCILDEDHSGPWCATTLNYEYDRKWGICLKPENGCEDNWEKNEQFGSCYQFNTQTALSWKEAYVSCQNQGADLLSINSAAELTYLKEKEGIAKIFWIGLNQLYSARGWEWSDHKPLNFLNWDPDRPSAPTIGGSSCARMDAESGLWQSFSCEAQLPYVCRKPLNNTVELTDVWTYSDTRCDAGWLPNNGFCYLLVNESNSWDKAHAKCKAFSSDLISIHSLADVEVVVTKLHNEDIKEEVWIGLKNINIPTLFQWSDGTEVTLTYWDENEPNVPYNKTPNCVSYLGELGQWKVQSCEEKLKYVCKRKGEKLNDASSDKMCPPDEGWKRHGETCYKIYEDEVPFGTNCNLTITSRFEQEYLNDLMKKYDKSLRKYFWTGLRDVDSCGEYNWATVGGRRRAVTFSNWNFLEPASPGGCVAMSTGKSVGKWEVKDCRSFKALSICKKMSGPLGPEEASPKPDDPCPEGWQSFPASLSCYKVFHAERIVRKRNWEEAERFCQALGAHLSSFSHVDEIKEFLHFLTDQFSGQHWLWIGLNKRSPDLQGSWQWSDRTPVSTIIMPNEFQQDYDIRDCAAVKVFHRPWRRGWHFYDDREFIYLRPFACDTKLEWVCQIPKGRTPKTPDWYNPDRAGIHGPPLIIEGSEYWFVADLHLNYEEAVLYCASNHSFLATITSFVGLKAIKNKIANISGDGQKWWIRISEWPIDDHFTYSRYPWHRFPVTFGEECLYMSAKTWLIDLGKPTDCSTKLPFICEKYNVSSLEKYSPDSAAKVQCSEQWIPFQNKCFLKIKPVSLTFSQASDTCHSYGGTLPSVLSQIEQDFITSLLPDMEATLWIGLRWTAYEKINKWTDNRELTYSNFHPLLVSGRLRIPENFFEEESRYHCALILNLQKSPFTGTWNFTSCSERHFVSLCQKYSEVKSRQTLQNASETVKYLNNLYKIIPKTLTWHSAKRECLKSNMQLVSITDPYQQAFLSVQALLHNSSLWIGLFSQDDELNFGWSDGKRLHFSRWAETNGQLEDCVVLDTDGFWKTVDCNDNQPGAICYYSGNETEKEVKPVDSVKCPSPVLNTPWIPFQNCCYNFIITKNRHMATTQDEVHTKCQKLNPKSHILSIRDEKENNFVLEQLLYFNYMASWVMLGITYRNKSLMWFDKTPLSYTHWRAGRPTIKNEKFLAGLSTDGFWDIQTFKVIEEAVYFHQHSILACKIEMVDYKEEYNTTLPQFMPYEDGIYSVIQKKVTWYEALNMCSQSGGHLASVHNQNGQLFLEDIVKRDGFPLWVGLSSHDGSESSFEWSDGSTFDYIPWKGQTSPGNCVLLDPKGTWKHEKCNSVKDGAICYKPTKSKKLSRLTYSSRCPAAKENGSRWIQYKGHCYKSDQALHSFSEAKKLCSKHDHSATIVSIKDEDENKFVSRLMRENNNITMRVWLGLSQHSVDQSWSWLDGSEVTFVKWENKSKSGVGRCSMLIASNETWKKVECEHGFGRVVCKVPLGPDYTAIAIIVATLSILVLMGGLIWFLFQRHRLHLAGFSSVRYAQGVNEDEIMLPSFHD
+>DECOY_sp|O60449|LY75_HUMAN Lymphocyte antigen 75 OS=Homo sapiens OX=9606 GN=LY75 PE=1 SV=3
+DHFSPLMIEDENVGQAYRVSSFGALHLRHRQFLFWILGGMLVLISLTAVIIAIATYDPGLPVKCVVRGFGHECEVKKWTENSAILMSCRGVGSKSKNEWKVFTVESGDLWSWSQDVSHQSLGLWVRMTINNNERMLRSVFKNEDEDKISVITASHDHKSCLKKAESFSHLAQDSKYCHGKYQIWRSGNEKAAPCRSSYTLRSLKKSKTPKYCIAGDKVSNCKEHKWTGKPDLLVCNGPSTQGKWPIYDFTSGDSWEFSSESGDHSSLGVWLPFGDRKVIDELFLQGNQNHVSALHGGSQSCMNLAEYWTVKKQIVSYIGDEYPMFQPLTTNYEEKYDVMEIKCALISHQHFYVAEEIVKFTQIDWFGDTSLGALFKENKITPRGARWHTYSLPTKDFWMLSKNRYTIGLMVWSAMYNFYLLQELVFNNEKEDRISLIHSKPNLKQCKTHVEDQTTAMHRNKTIIFNYCCNQFPIWPTNLVPSPCKVSDVPKVEKETENGSYYCIAGPQNDNCDVTKWFGDTDLVVCDELQGNTEAWRSFHLRKGDSWGFNLEDDQSFLGIWLSSNHLLAQVSLFAQQYPDTISVLQMNSKLCERKASHWTLTKPIIKYLNNLYKVTESANQLTQRSKVESYKQCLSVFHRESCSTFNWTGTFPSKQLNLILACHYRSEEEFFNEPIRLRGSVLLPHFNSYTLERNDTWKNIKEYATWRLGIWLTAEMDPLLSTIFDQEIQSLVSPLTGGYSHCTDSAQSFTLSVPKIKLFCKNQFPIWQESCQVKAASDPSYKELSSVNYKECIFPLKTSCDTPKGLDILWTKASMYLCEEGFTVPFRHWPYRSYTFHDDIPWESIRIWWKQGDGSINAIKNKIAKLGVFSTITALFSHNSACYLVAEEYNLHLDAVFWYESGEIILPPGHIGARDPNYWDPTKPTRGKPIQCVWELKTDCAFPRLYIFERDDYFHWGRRWPRHFVKVAACDRIDYDQQFENPMIITSVPTRDSWQWSGQLDPSRKNLGIWLWHQGSFQDTLFHLFEKIEDVHSFSSLHAGLAQCFREAEEWNRKRVIREAHFVKYCSLSAPFSQWGEPCPDDPKPSAEEPGLPGSMKKCISLAKFSRCDKVEWKGVSKGTSMAVCGGPSAPELFNWNSFTVARRRGGVTAWNYEGCSDVDRLGTWFYKRLSKDYKKMLDNLYEQEFRSTITLNCNTGFPVEDEYIKYCTEGHRKWGEDPPCMKDSSADNLKEGKRKCVYKLKEECSQVKWQGLEGLYSVCNPTKNYPVNPENEDWYTLTVETGDSWQFLTPININKLGIWVEEKIDENHLKTVVVEVDALSHISILDSSFAKCKAHAKDWSNSENVLLYCFGNNPLWGADCRTDSYTWVDTLEVTNNLPKRCVYPLQAECSFSQWLGSEADMRACSSGGITPASPRDPDWNLFNLPKHDSWEWGRASYLQNLGIWFIKAIGEKEKLYTLEAASNISLLDAGQNQCSVYAEKWSLATQTNFQYCSGFQENKEWNDECGNEPKLCIGWKRDYEYNLTTACWPGSHDEDLICDHHWTGDILFPFECPRGYSNGDRTYIEHYPQDCLSEESGGKKWVDSANSIATGHGDKLALRYRAAGYLSHHECKWWLMASSDCSFMRLENVSKTIDLGLCKQSHLHFLRHQSVWKWLKDETEDCDDAVIWGYVPKICKGTNGHVITFPDNAARGSPEALDFFWFLLMLLGAPRRPTAWGTRM
+>sp|Q9Y6Y9|LY96_HUMAN Lymphocyte antigen 96 OS=Homo sapiens OX=9606 GN=LY96 PE=1 SV=2
+MLPFLFFSTLFSSIFTEAQKQYWVCNSSDASISYTYCDKMQYPISINVNPCIELKRSKGLLHIFYIPRRDLKQLYFNLYITVNTMNLPKRKEVICRGSDDDYSFCRALKGETVNTTISFSFKGIKFSKGKYKCVVEAISGSPEEMLFCLEFVILHQPNSN
+>DECOY_sp|Q9Y6Y9|LY96_HUMAN Lymphocyte antigen 96 OS=Homo sapiens OX=9606 GN=LY96 PE=1 SV=2
+NSNPQHLIVFELCFLMEEPSGSIAEVVCKYKGKSFKIGKFSFSITTNVTEGKLARCFSYDDDSGRCIVEKRKPLNMTNVTIYLNFYLQKLDRRPIYFIHLLGKSRKLEICPNVNISIPYQMKDCYTYSISADSSNCVWYQKQAETFISSFLTSFFLFPLM
+>sp|P10253|LYAG_HUMAN Lysosomal alpha-glucosidase OS=Homo sapiens OX=9606 GN=GAA PE=1 SV=4
+MGVRHPPCSHRLLAVCALVSLATAALLGHILLHDFLLVPRELSGSSPVLEETHPAHQQGASRPGPRDAQAHPGRPRAVPTQCDVPPNSRFDCAPDKAITQEQCEARGCCYIPAKQGLQGAQMGQPWCFFPPSYPSYKLENLSSSEMGYTATLTRTTPTFFPKDILTLRLDVMMETENRLHFTIKDPANRRYEVPLETPHVHSRAPSPLYSVEFSEEPFGVIVRRQLDGRVLLNTTVAPLFFADQFLQLSTSLPSQYITGLAEHLSPLMLSTSWTRITLWNRDLAPTPGANLYGSHPFYLALEDGGSAHGVFLLNSNAMDVVLQPSPALSWRSTGGILDVYIFLGPEPKSVVQQYLDVVGYPFMPPYWGLGFHLCRWGYSSTAITRQVVENMTRAHFPLDVQWNDLDYMDSRRDFTFNKDGFRDFPAMVQELHQGGRRYMMIVDPAISSSGPAGSYRPYDEGLRRGVFITNETGQPLIGKVWPGSTAFPDFTNPTALAWWEDMVAEFHDQVPFDGMWIDMNEPSNFIRGSEDGCPNNELENPPYVPGVVGGTLQAATICASSHQFLSTHYNLHNLYGLTEAIASHRALVKARGTRPFVISRSTFAGHGRYAGHWTGDVWSSWEQLASSVPEILQFNLLGVPLVGADVCGFLGNTSEELCVRWTQLGAFYPFMRNHNSLLSLPQEPYSFSEPAQQAMRKALTLRYALLPHLYTLFHQAHVAGETVARPLFLEFPKDSSTWTVDHQLLWGEALLITPVLQAGKAEVTGYFPLGTWYDLQTVPVEALGSLPPPPAAPREPAIHSEGQWVTLPAPLDTINVHLRAGYIIPLQGPGLTTTESRQQPMALAVALTKGGEARGELFWDDGESLEVLERGAYTQVIFLARNNTIVNELVRVTSEGAGLQLQKVTVLGVATAPQQVLSNGVPVSNFTYSPDTKVLDICVSLLMGEQFLVSWC
+>DECOY_sp|P10253|LYAG_HUMAN Lysosomal alpha-glucosidase OS=Homo sapiens OX=9606 GN=GAA PE=1 SV=4
+CWSVLFQEGMLLSVCIDLVKTDPSYTFNSVPVGNSLVQQPATAVGLVTVKQLQLGAGESTVRVLENVITNNRALFIVQTYAGRELVELSEGDDWFLEGRAEGGKTLAVALAMPQQRSETTTLGPGQLPIIYGARLHVNITDLPAPLTVWQGESHIAPERPAAPPPPLSGLAEVPVTQLDYWTGLPFYGTVEAKGAQLVPTILLAEGWLLQHDVTWTSSDKPFELFLPRAVTEGAVHAQHFLTYLHPLLAYRLTLAKRMAQQAPESFSYPEQPLSLLSNHNRMFPYFAGLQTWRVCLEESTNGLFGCVDAGVLPVGLLNFQLIEPVSSALQEWSSWVDGTWHGAYRGHGAFTSRSIVFPRTGRAKVLARHSAIAETLGYLNHLNYHTSLFQHSSACITAAQLTGGVVGPVYPPNELENNPCGDESGRIFNSPENMDIWMGDFPVQDHFEAVMDEWWALATPNTFDPFATSGPWVKGILPQGTENTIFVGRRLGEDYPRYSGAPGSSSIAPDVIMMYRRGGQHLEQVMAPFDRFGDKNFTFDRRSDMYDLDNWQVDLPFHARTMNEVVQRTIATSSYGWRCLHFGLGWYPPMFPYGVVDLYQQVVSKPEPGLFIYVDLIGGTSRWSLAPSPQLVVDMANSNLLFVGHASGGDELALYFPHSGYLNAGPTPALDRNWLTIRTWSTSLMLPSLHEALGTIYQSPLSTSLQLFQDAFFLPAVTTNLLVRGDLQRRVIVGFPEESFEVSYLPSPARSHVHPTELPVEYRRNAPDKITFHLRNETEMMVDLRLTLIDKPFFTPTTRTLTATYGMESSSLNELKYSPYSPPFFCWPQGMQAGQLGQKAPIYCCGRAECQEQTIAKDPACDFRSNPPVDCQTPVARPRGPHAQADRPGPRSAGQQHAPHTEELVPSSGSLERPVLLFDHLLIHGLLAATALSVLACVALLRHSCPPHRVGM
+>sp|P07948|LYN_HUMAN Tyrosine-protein kinase Lyn OS=Homo sapiens OX=9606 GN=LYN PE=1 SV=3
+MGCIKSKGKDSLSDDGVDLKTQPVRNTERTIYVRDPTSNKQQRPVPESQLLPGQRFQTKDPEEQGDIVVALYPYDGIHPDDLSFKKGEKMKVLEEHGEWWKAKSLLTKKEGFIPSNYVAKLNTLETEEWFFKDITRKDAERQLLAPGNSAGAFLIRESETLKGSFSLSVRDFDPVHGDVIKHYKIRSLDNGGYYISPRITFPCISDMIKHYQKQADGLCRRLEKACISPKPQKPWDKDAWEIPRESIKLVKRLGAGQFGEVWMGYYNNSTKVAVKTLKPGTMSVQAFLEEANLMKTLQHDKLVRLYAVVTREEPIYIITEYMAKGSLLDFLKSDEGGKVLLPKLIDFSAQIAEGMAYIERKNYIHRDLRAANVLVSESLMCKIADFGLARVIEDNEYTAREGAKFPIKWTAPEAINFGCFTIKSDVWSFGILLYEIVTYGKIPYPGRTNADVMTALSQGYRMPRVENCPDELYDIMKMCWKEKAEERPTFDYLQSVLDDFYTATEGQYQQQP
+>DECOY_sp|P07948|LYN_HUMAN Tyrosine-protein kinase Lyn OS=Homo sapiens OX=9606 GN=LYN PE=1 SV=3
+PQQQYQGETATYFDDLVSQLYDFTPREEAKEKWCMKMIDYLEDPCNEVRPMRYGQSLATMVDANTRGPYPIKGYTVIEYLLIGFSWVDSKITFCGFNIAEPATWKIPFKAGERATYENDEIVRALGFDAIKCMLSESVLVNAARLDRHIYNKREIYAMGEAIQASFDILKPLLVKGGEDSKLFDLLSGKAMYETIIYIPEERTVVAYLRVLKDHQLTKMLNAEELFAQVSMTGPKLTKVAVKTSNNYYGMWVEGFQGAGLRKVLKISERPIEWADKDWPKQPKPSICAKELRRCLGDAQKQYHKIMDSICPFTIRPSIYYGGNDLSRIKYHKIVDGHVPDFDRVSLSFSGKLTESERILFAGASNGPALLQREADKRTIDKFFWEETELTNLKAVYNSPIFGEKKTLLSKAKWWEGHEELVKMKEGKKFSLDDPHIGDYPYLAVVIDGQEEPDKTQFRQGPLLQSEPVPRQQKNSTPDRVYITRETNRVPQTKLDVGDDSLSDKGKSKICGM
+>sp|Q8N2G4|LYPD1_HUMAN Ly6/PLAUR domain-containing protein 1 OS=Homo sapiens OX=9606 GN=LYPD1 PE=2 SV=2
+MWVLGIAATFCGLFLLPGFALQIQCYQCEEFQLNNDCSSPEFIVNCTVNVQDMCQKEVMEQSAGIMYRKSCASSAACLIASAGYQSFCSPGKLNSVCISCCNTPLCNGPRPKKRGSSASALRPGLRTTILFLKLALFSAHC
+>DECOY_sp|Q8N2G4|LYPD1_HUMAN Ly6/PLAUR domain-containing protein 1 OS=Homo sapiens OX=9606 GN=LYPD1 PE=2 SV=2
+CHASFLALKLFLITTRLGPRLASASSGRKKPRPGNCLPTNCCSICVSNLKGPSCFSQYGASAILCAASSACSKRYMIGASQEMVEKQCMDQVNVTCNVIFEPSSCDNNLQFEECQYCQIQLAFGPLLFLGCFTAAIGLVWM
+>sp|Q6UWN0|LYPD4_HUMAN Ly6/PLAUR domain-containing protein 4 OS=Homo sapiens OX=9606 GN=LYPD4 PE=2 SV=2
+MGPQHLRLVQLFCLLGAISTLPRAGALLCYEATASRFRAVAFHNWKWLLMRNMVCKLQEGCEETLVFIETGTARGVVGFKGCSSSSSYPAQISYLVSPPGVSIASYSRVCRSYLCNNLTNLEPFVKLKASTPKSITSASCSCPTCVGEHMKDCLPNFVTTNSCPLAASTCYSSTLKFQAGFLNTTFLLMGCAREHNQLLADFHHIGSIKVTEVLNILEKSQIVGAASSRQDPAWGVVLGLLFAFRD
+>DECOY_sp|Q6UWN0|LYPD4_HUMAN Ly6/PLAUR domain-containing protein 4 OS=Homo sapiens OX=9606 GN=LYPD4 PE=2 SV=2
+DRFAFLLGLVVGWAPDQRSSAAGVIQSKELINLVETVKISGIHHFDALLQNHERACGMLLFTTNLFGAQFKLTSSYCTSAALPCSNTTVFNPLCDKMHEGVCTPCSCSASTISKPTSAKLKVFPELNTLNNCLYSRCVRSYSAISVGPPSVLYSIQAPYSSSSSCGKFGVVGRATGTEIFVLTEECGEQLKCVMNRMLLWKWNHFAVARFRSATAEYCLLAGARPLTSIAGLLCFLQVLRLHQPGM
+>sp|Q9NU23|LYRM2_HUMAN LYR motif-containing protein 2 OS=Homo sapiens OX=9606 GN=LYRM2 PE=1 SV=1
+MAASRLPPATLTLKQFVRRQQVLLLYRRILQTIRQVPNDSDRKYLKDWAREEFRRNKSATEEDTIRMMITQGNMQLKELEKTLALAKS
+>DECOY_sp|Q9NU23|LYRM2_HUMAN LYR motif-containing protein 2 OS=Homo sapiens OX=9606 GN=LYRM2 PE=1 SV=1
+SKALALTKELEKLQMNGQTIMMRITDEETASKNRRFEERAWDKLYKRDSDNPVQRITQLIRRYLLLVQQRRVFQKLTLTAPPLRSAAM
+>sp|P61626|LYSC_HUMAN Lysozyme C OS=Homo sapiens OX=9606 GN=LYZ PE=1 SV=1
+MKALIVLGLVLLSVTVQGKVFERCELARTLKRLGMDGYRGISLANWMCLAKWESGYNTRATNYNAGDRSTDYGIFQINSRYWCNDGKTPGAVNACHLSCSALLQDNIADAVACAKRVVRDPQGIRAWVAWRNRCQNRDVRQYVQGCGV
+>DECOY_sp|P61626|LYSC_HUMAN Lysozyme C OS=Homo sapiens OX=9606 GN=LYZ PE=1 SV=1
+VGCGQVYQRVDRNQCRNRWAVWARIGQPDRVVRKACAVADAINDQLLASCSLHCANVAGPTKGDNCWYRSNIQFIGYDTSRDGANYNTARTNYGSEWKALCMWNALSIGRYGDMGLRKLTRALECREFVKGQVTVSLLVLGLVILAKM
+>sp|Q7Z3D4|LYSM3_HUMAN LysM and putative peptidoglycan-binding domain-containing protein 3 OS=Homo sapiens OX=9606 GN=LYSMD3 PE=1 SV=2
+MAGRHQNRSFPLPGVQSSGQVHAFGNCSDSDILEEDAEVYELRSRGKEKVRRSTSRDRLDDIIVLTKDIQEGDTLNAIALQYCCTVADIKRVNNLISDQDFFALRSIKIPVKKFSSLTETLCPPKGRQTSRHSSVQYSSEQQEILPANDSLAYSDSAGSFLKEVDRDIEQIVKCTDNKRENLNEVVSALTAQQMRFEPDNKNTQRKDPYYGADWGIGWWTAVVIMLIVGIITPVFYLLYYEILAKVDVSHHSTVDSSHLHSKITPPSQQREMENGIVPTKGIHFSQQDDHKLYSQDSQSPAAQQET
+>DECOY_sp|Q7Z3D4|LYSM3_HUMAN LysM and putative peptidoglycan-binding domain-containing protein 3 OS=Homo sapiens OX=9606 GN=LYSMD3 PE=1 SV=2
+TEQQAAPSQSDQSYLKHDDQQSFHIGKTPVIGNEMERQQSPPTIKSHLHSSDVTSHHSVDVKALIEYYLLYFVPTIIGVILMIVVATWWGIGWDAGYYPDKRQTNKNDPEFRMQQATLASVVENLNERKNDTCKVIQEIDRDVEKLFSGASDSYALSDNAPLIEQQESSYQVSSHRSTQRGKPPCLTETLSSFKKVPIKISRLAFFDQDSILNNVRKIDAVTCCYQLAIANLTDGEQIDKTLVIIDDLRDRSTSRRVKEKGRSRLEYVEADEELIDSDSCNGFAHVQGSSQVGPLPFSRNQHRGAM
+>sp|Q5XG99|LYSM4_HUMAN LysM and putative peptidoglycan-binding domain-containing protein 4 OS=Homo sapiens OX=9606 GN=LYSMD4 PE=2 SV=2
+MRHEELLTKTFQGPAVVCGTPTSHVYMFKNGSGDSGDSSEEESHRVVLRPRGKERHKSGVHQPPQAGAGDVVLLQRELAQEDSLNKLALQYGCKVADIKKVNNFIREQDLYALKSVKIPVRNHGILMETHKELKPLLSPSSETTVTVELPEADRAGAGTGAQAGQLMGFFKGIDQDIERAVQSEIFLHESYCMDTSHQPLLPAPPKTPMDGADCGIQWWNAVFIMLLIGIVLPVFYLVYFKIQASGETPNSLNTTVIPNGSMAMGTVPGQAPRLAVAVPAVTSADSQFSQTTQAGS
+>DECOY_sp|Q5XG99|LYSM4_HUMAN LysM and putative peptidoglycan-binding domain-containing protein 4 OS=Homo sapiens OX=9606 GN=LYSMD4 PE=2 SV=2
+SGAQTTQSFQSDASTVAPVAVALRPAQGPVTGMAMSGNPIVTTNLSNPTEGSAQIKFYVLYFVPLVIGILLMIFVANWWQIGCDAGDMPTKPPAPLLPQHSTDMCYSEHLFIESQVAREIDQDIGKFFGMLQGAQAGTGAGARDAEPLEVTVTTESSPSLLPKLEKHTEMLIGHNRVPIKVSKLAYLDQERIFNNVKKIDAVKCGYQLALKNLSDEQALERQLLVVDGAGAQPPQHVGSKHREKGRPRLVVRHSEEESSDGSDGSGNKFMYVHSTPTGCVVAPGQFTKTLLEEHRM
+>sp|Q1L5Z9|LONF2_HUMAN LON peptidase N-terminal domain and RING finger protein 2 OS=Homo sapiens OX=9606 GN=LONRF2 PE=2 SV=3
+MSPEPVPPPPPPQCPGCDRAEPIAQRLEEGDEAFRAGDYEMAAELFRSMLAGLAQPDRGLCLRLGDALARAGRLPEALGAFRGAARLGALRPEELEELAGGLVRAVGLRDRPLSAENPGGEPEAPGEGGPAPEPRAPRDLLGCPRCRRLLHKPVTLPCGLTVCKRCVEPGPARPQVRRVNVVLSGLLEKCFPAECRLRRLAGQARSLQRQQQPEAALLRCDQALELAPDDNSLLLLRAELYLTMKNYEQALQDASAACQNEPLLIKGHQVKAQALSGLGRSKEVLKEFLYCLALNPECNSVKKEAQKVMCEVLFSATANVHENLTSSIQSRLKAQGHSHMNAQALLEEGDAGSSENSSEKSDMLGNTNSSVLYFILGLHFEEDKKALESILPTAPSAGLKRQFPDDVEDAPDLNAPGKIPKKDLSLQRSPNSETEESQGLSLDVTDFECALCMRLLFEPVTTPCGHTFCLKCLERCLDHAPHCPLCKDKLSELLASRNFNITVLAEELIFRYLPDELSDRKRIYDEEMSELSNLTRDVPIFVCAMAFPTVPCPLHVFEPRYRLMIRRCMETGTKRFGMCLSAEHAGLSEYGCMLEIKDVRTFPDGSSVVDAIGISRFRVLSHRHRDGYNTADIEYLEDEKVEGPEYEELAALHDSVHQQSVSWFASLQDRMKEQILSHFGVMPDREPEPQSNPSGPAWSWWILAVLPLERKAQLAILGMTSLKERLLAIRRILVIITRKMNSRQELANARERNN
+>DECOY_sp|Q1L5Z9|LONF2_HUMAN LON peptidase N-terminal domain and RING finger protein 2 OS=Homo sapiens OX=9606 GN=LONRF2 PE=2 SV=3
+NNRERANALEQRSNMKRTIIVLIRRIALLREKLSTMGLIALQAKRELPLVALIWWSWAPGSPNSQPEPERDPMVGFHSLIQEKMRDQLSAFWSVSQQHVSDHLAALEEYEPGEVKEDELYEIDATNYGDRHRHSLVRFRSIGIADVVSSGDPFTRVDKIELMCGYESLGAHEASLCMGFRKTGTEMCRRIMLRYRPEFVHLPCPVTPFAMACVFIPVDRTLNSLESMEEDYIRKRDSLEDPLYRFILEEALVTINFNRSALLESLKDKCLPCHPAHDLCRELCKLCFTHGCPTTVPEFLLRMCLACEFDTVDLSLGQSEETESNPSRQLSLDKKPIKGPANLDPADEVDDPFQRKLGASPATPLISELAKKDEEFHLGLIFYLVSSNTNGLMDSKESSNESSGADGEELLAQANMHSHGQAKLRSQISSTLNEHVNATASFLVECMVKQAEKKVSNCEPNLALCYLFEKLVEKSRGLGSLAQAKVQHGKILLPENQCAASADQLAQEYNKMTLYLEARLLLLSNDDPALELAQDCRLLAAEPQQQRQLSRAQGALRRLRCEAPFCKELLGSLVVNVRRVQPRAPGPEVCRKCVTLGCPLTVPKHLLRRCRPCGLLDRPARPEPAPGGEGPAEPEGGPNEASLPRDRLGVARVLGGALEELEEPRLAGLRAAGRFAGLAEPLRGARALADGLRLCLGRDPQALGALMSRFLEAAMEYDGARFAEDGEELRQAIPEARDCGPCQPPPPPPVPEPSM
+>sp|P36776|LONM_HUMAN Lon protease homolog, mitochondrial OS=Homo sapiens OX=9606 GN=LONP1 PE=1 SV=2
+MAASTGYVRLWGAARCWVLRRPMLAAAGGRVPTAAGAWLLRGQRTCDASPPWALWGRGPAIGGQWRGFWEASSRGGGAFSGGEDASEGGAEEGAGGAGGSAGAGEGPVITALTPMTIPDVFPHLPLIAITRNPVFPRFIKIIEVKNKKLVELLRRKVRLAQPYVGVFLKRDDSNESDVVESLDEIYHTGTFAQIHEMQDLGDKLRMIVMGHRRVHISRQLEVEPEEPEAENKHKPRRKSKRGKKEAEDELSARHPAELAMEPTPELPAEVLMVEVENVVHEDFQVTEEVKALTAEIVKTIRDIIALNPLYRESVLQMMQAGQRVVDNPIYLSDMGAALTGAESHELQDVLEETNIPKRLYKALSLLKKEFELSKLQQRLGREVEEKIKQTHRKYLLQEQLKIIKKELGLEKDDKDAIEEKFRERLKELVVPKHVMDVVDEELSKLGLLDNHSSEFNVTRNYLDWLTSIPWGKYSNENLDLARAQAVLEEDHYGMEDVKKRILEFIAVSQLRGSTQGKILCFYGPPGVGKTSIARSIARALNREYFRFSVGGMTDVAEIKGHRRTYVGAMPGKIIQCLKKTKTENPLILIDEVDKIGRGYQGDPSSALLELLDPEQNANFLDHYLDVPVDLSKVLFICTANVTDTIPEPLRDRMEMINVSGYVAQEKLAIAERYLVPQARALCGLDESKAKLSSDVLTLLIKQYCRESGVRNLQKQVEKVLRKSAYKIVSGEAESVEVTPENLQDFVGKPVFTVERMYDVTPPGVVMGLAWTAMGGSTLFVETSLRRPQDKDAKGDKDGSLEVTGQLGEVMKESARIAYTFARAFLMQHAPANDYLVTSHIHLHVPEGATPKDGPSAGCTIVTALLSLAMGRPVRQNLAMTGEVSLTGKILPVGGIKEKTIAAKRAGVTCIVLPAENKKDFYDLAAFITEGLEVHFVEHYREIFDIAFPDEQAEALAVER
+>DECOY_sp|P36776|LONM_HUMAN Lon protease homolog, mitochondrial OS=Homo sapiens OX=9606 GN=LONP1 PE=1 SV=2
+REVALAEAQEDPFAIDFIERYHEVFHVELGETIFAALDYFDKKNEAPLVICTVGARKAAITKEKIGGVPLIKGTLSVEGTMALNQRVPRGMALSLLATVITCGASPGDKPTAGEPVHLHIHSTVLYDNAPAHQMLFARAFTYAIRASEKMVEGLQGTVELSGDKDGKADKDQPRRLSTEVFLTSGGMATWALGMVVGPPTVDYMREVTFVPKGVFDQLNEPTVEVSEAEGSVIKYASKRLVKEVQKQLNRVGSERCYQKILLTLVDSSLKAKSEDLGCLARAQPVLYREAIALKEQAVYGSVNIMEMRDRLPEPITDTVNATCIFLVKSLDVPVDLYHDLFNANQEPDLLELLASSPDGQYGRGIKDVEDILILPNETKTKKLCQIIKGPMAGVYTRRHGKIEAVDTMGGVSFRFYERNLARAISRAISTKGVGPPGYFCLIKGQTSGRLQSVAIFELIRKKVDEMGYHDEELVAQARALDLNENSYKGWPISTLWDLYNRTVNFESSHNDLLGLKSLEEDVVDMVHKPVVLEKLRERFKEEIADKDDKELGLEKKIIKLQEQLLYKRHTQKIKEEVERGLRQQLKSLEFEKKLLSLAKYLRKPINTEELVDQLEHSEAGTLAAGMDSLYIPNDVVRQGAQMMQLVSERYLPNLAIIDRITKVIEATLAKVEETVQFDEHVVNEVEVMLVEAPLEPTPEMALEAPHRASLEDEAEKKGRKSKRRPKHKNEAEPEEPEVELQRSIHVRRHGMVIMRLKDGLDQMEHIQAFTGTHYIEDLSEVVDSENSDDRKLFVGVYPQALRVKRRLLEVLKKNKVEIIKIFRPFVPNRTIAILPLHPFVDPITMPTLATIVPGEGAGASGGAGGAGEEAGGESADEGGSFAGGGRSSAEWFGRWQGGIAPGRGWLAWPPSADCTRQGRLLWAGAATPVRGGAAALMPRRLVWCRAAGWLRVYGTSAAM
+>sp|O00370|LORF2_HUMAN LINE-1 retrotransposable element ORF2 protein OS=Homo sapiens OX=9606 PE=1 SV=1
+MTGSNSHITILTLNVNGLNSPIKRHRLASWIKSQDPSVCCIQETHLTCRDTHRLKIKGWRKIYQANGKQKKAGVAILVSDKTDFKPTKIKRDKEGHYIMVKGSIQQEELTILNIYAPNTGAPRFIKQVLSDLQRDLDSHTLIMGDFNTPLSILDRSTRQKVNKDTQELNSALHQTDLIDIYRTLHPKSTEYTFFSAPHHTYSKIDHIVGSKALLSKCKRTEIITNYLSDHSAIKLELRIKNLTQSRSTTWKLNNLLLNDYWVHNEMKAEIKMFFETNENKDTTYQNLWDAFKAVCRGKFIALNAYKRKQERSKIDTLTSQLKELEKQEQTHSKASRRQEITKIRAELKEIETQKTLQKINESRSWFFERINKIDRPLARLIKKKREKNQIDTIKNDKGDITTDPTEIQTTIREYYKHLYANKLENLEEMDTFLDTYTLPRLNQEEVESLNRPITGSEIVAIINSLPTKKSPGPDGFTAEFYQRYKEELVPFLLKLFQSIEKEGILPNSFYEASIILIPKPGRDTTKKENFRPISLMNIDAKILNKILANRIQQHIKKLIHHDQVGFIPGMQGWFNIRKSINVIQHINRAKDKNHVIISIDAEKAFDKIQQPFMLKTLNKLGIDGMYLKIIRAIYDKPTANIILNGQKLEAFPLKTGTRQGCPLSPLLFNIVLEVLARAIRQEKEIKGIQLGKEEVKLSLFADDMIVYLENPIVSAQNLLKLISNFSKVSGYKINVQKSQAFLYNNNRQTESQIMGELPFTIASKRIKYLGIQLTRDVKDLFKENYKPLLKEIKEDTNKWKNIPCSWVGRINIVKMAILPKVIYRFNAIPIKLPMTFFTELEKTTLKFIWNQKRARIAKSILSQKNKAGGITLPDFKLYYKATVTKTAWYWYQNRDIDQWNRTEPSEIMPHIYNYLIFDKPEKNKQWGKDSLLNKWCWENWLAICRKLKLDPFLTPYTKINSRWIKDLNVKPKTIKTLEENLGITIQDIGVGKDFMSKTPKAMATKDKIDKWDLIKLKSFCTAKETTIRVNRQPTTWEKIFATYSSDKGLISRIYNELKQIYKKKTNNPIKKWAKDMNRHFSKEDIYAAKKHMKKCSSSLAIREMQIKTTMRYHLTPVRMAIIKKSGNNRCWRGCGEIGTLVHCWWDCKLVQPLWKSVWRFLRDLELEIPFDPAIPLLGIYPKDYKSCCYKDTCTRMFIAALFTIAKTWNQPNCPTMIDWIKKMWHIYTMEYYAAIKNDEFISFVGTWMKLETIILSKLSQEQKTKHRIFSLIGGN
+>DECOY_sp|O00370|LORF2_HUMAN LINE-1 retrotransposable element ORF2 protein OS=Homo sapiens OX=9606 PE=1 SV=1
+NGGILSFIRHKTKQEQSLKSLIITELKMWTGVFSIFEDNKIAAYYEMTYIHWMKKIWDIMTPCNPQNWTKAITFLAAIFMRTCTDKYCCSKYDKPYIGLLPIAPDFPIELELDRLFRWVSKWLPQVLKCDWWCHVLTGIEGCGRWCRNNGSKKIIAMRVPTLHYRMTTKIQMERIALSSSCKKMHKKAAYIDEKSFHRNMDKAWKKIPNNTKKKYIQKLENYIRSILGKDSSYTAFIKEWTTPQRNVRITTEKATCFSKLKILDWKDIKDKTAMAKPTKSMFDKGVGIDQITIGLNEELTKITKPKVNLDKIWRSNIKTYPTLFPDLKLKRCIALWNEWCWKNLLSDKGWQKNKEPKDFILYNYIHPMIESPETRNWQDIDRNQYWYWATKTVTAKYYLKFDPLTIGGAKNKQSLISKAIRARKQNWIFKLTTKELETFFTMPLKIPIANFRYIVKPLIAMKVINIRGVWSCPINKWKNTDEKIEKLLPKYNEKFLDKVDRTLQIGLYKIRKSAITFPLEGMIQSETQRNNNYLFAQSKQVNIKYGSVKSFNSILKLLNQASVIPNELYVIMDDAFLSLKVEEKGLQIGKIEKEQRIARALVELVINFLLPSLPCGQRTGTKLPFAELKQGNLIINATPKDYIARIIKLYMGDIGLKNLTKLMFPQQIKDFAKEADISIIVHNKDKARNIHQIVNISKRINFWGQMGPIFGVQDHHILKKIHQQIRNALIKNLIKADINMLSIPRFNEKKTTDRGPKPILIISAEYFSNPLIGEKEISQFLKLLFPVLEEKYRQYFEATFGDPGPSKKTPLSNIIAVIESGTIPRNLSEVEEQNLRPLTYTDLFTDMEELNELKNAYLHKYYERITTQIETPDTTIDGKDNKITDIQNKERKKKILRALPRDIKNIREFFWSRSENIKQLTKQTEIEKLEARIKTIEQRRSAKSHTQEQKELEKLQSTLTDIKSREQKRKYANLAIFKGRCVAKFADWLNQYTTDKNENTEFFMKIEAKMENHVWYDNLLLNNLKWTTSRSQTLNKIRLELKIASHDSLYNTIIETRKCKSLLAKSGVIHDIKSYTHHPASFFTYETSKPHLTRYIDILDTQHLASNLEQTDKNVKQRTSRDLISLPTNFDGMILTHSDLDRQLDSLVQKIFRPAGTNPAYINLITLEEQQISGKVMIYHGEKDRKIKTPKFDTKDSVLIAVGAKKQKGNAQYIKRWGKIKLRHTDRCTLHTEQICCVSPDQSKIWSALRHRKIPSNLGNVNLTLITIHSNSGTM
+>sp|P18054|LOX12_HUMAN Arachidonate 12-lipoxygenase, 12S-type OS=Homo sapiens OX=9606 GN=ALOX12 PE=1 SV=4
+MGRYRIRVATGAWLFSGSYNRVQLWLVGTRGEAELELQLRPARGEEEEFDHDVAEDLGLLQFVRLRKHHWLVDDAWFCDRITVQGPGACAEVAFPCYRWVQGEDILSLPEGTARLPGDNALDMFQKHREKELKDRQQIYCWATWKEGLPLTIAADRKDDLPPNMRFHEEKRLDFEWTLKAGALEMALKRVYTLLSSWNCLEDFDQIFWGQKSALAEKVRQCWQDDELFSYQFLNGANPMLLRRSTSLPSRLVLPSGMEELQAQLEKELQNGSLFEADFILLDGIPANVIRGEKQYLAAPLVMLKMEPNGKLQPMVIQIQPPNPSSPTPTLFLPSDPPLAWLLAKSWVRNSDFQLHEIQYHLLNTHLVAEVIAVATMRCLPGLHPIFKFLIPHIRYTMEINTRARTQLISDGGIFDKAVSTGGGGHVQLLRRAAAQLTYCSLCPPDDLADRGLLGLPGALYAHDALRLWEIIARYVEGIVHLFYQRDDIVKGDPELQAWCREITEVGLCQAQDRGFPVSFQSQSQLCHFLTMCVFTCTAQHAAINQGQLDWYAWVPNAPCTMRMPPPTTKEDVTMATVMGSLPDVRQACLQMAISWHLSRRQPDMVPLGHHKEKYFSGPKPKAVLNQFRTDLEKLEKEITARNEQLDWPYEYLKPSCIENSVTI
+>DECOY_sp|P18054|LOX12_HUMAN Arachidonate 12-lipoxygenase, 12S-type OS=Homo sapiens OX=9606 GN=ALOX12 PE=1 SV=4
+ITVSNEICSPKLYEYPWDLQENRATIEKELKELDTRFQNLVAKPKPGSFYKEKHHGLPVMDPQRRSLHWSIAMQLCAQRVDPLSGMVTAMTVDEKTTPPPMRMTCPANPVWAYWDLQGQNIAAHQATCTFVCMTLFHCLQSQSQFSVPFGRDQAQCLGVETIERCWAQLEPDGKVIDDRQYFLHVIGEVYRAIIEWLRLADHAYLAGPLGLLGRDALDDPPCLSCYTLQAAARRLLQVHGGGGTSVAKDFIGGDSILQTRARTNIEMTYRIHPILFKFIPHLGPLCRMTAVAIVEAVLHTNLLHYQIEHLQFDSNRVWSKALLWALPPDSPLFLTPTPSSPNPPQIQIVMPQLKGNPEMKLMVLPAALYQKEGRIVNAPIGDLLIFDAEFLSGNQLEKELQAQLEEMGSPLVLRSPLSTSRRLLMPNAGNLFQYSFLEDDQWCQRVKEALASKQGWFIQDFDELCNWSSLLTYVRKLAMELAGAKLTWEFDLRKEEHFRMNPPLDDKRDAAITLPLGEKWTAWCYIQQRDKLEKERHKQFMDLANDGPLRATGEPLSLIDEGQVWRYCPFAVEACAGPGQVTIRDCFWADDVLWHHKRLRVFQLLGLDEAVDHDFEEEEGRAPRLQLELEAEGRTGVLWLQVRNYSGSFLWAGTAVRIRYRGM
+>sp|Q9BYJ1|LOXE3_HUMAN Hydroperoxide isomerase ALOXE3 OS=Homo sapiens OX=9606 GN=ALOXE3 PE=1 SV=1
+MAVYRLCVTTGPYLRAGTLDNISVTLVGTCGESPKQRLDRMGRDFAPGSVQKYKVRCTAELGELLLLRVHKERYAFFRKDSWYCSRICVTEPDGSVSHFPCYQWIEGYCTVELRPGTARTICQDSLPLLLDHRTRELRARQECYRWKIYAPGFPCMVDVNSFQEMESDKKFALTKTTTCVDQGDSSGNRYLPGFPMKIDIPSLMYMEPNVRYSATKTISLLFNAIPASLGMKLRGLLDRKGSWKKLDDMQNIFWCHKTFTTKYVTEHWCEDHFFGYQYLNGVNPVMLHCISSLPSKLPVTNDMVAPLLGQDTCLQTELERGNIFLADYWILAEAPTHCLNGRQQYVAAPLCLLWLSPQGALVPLAIQLSQTPGPDSPIFLPTDSEWDWLLAKTWVRNSEFLVHENNTHFLCTHLLCEAFAMATLRQLPLCHPIYKLLLPHTRYTLQVNTIARATLLNPEGLVDQVTSIGRQGLIYLMSTGLAHFTYTNFCLPDSLRARGVLAIPNYHYRDDGLKIWAAIESFVSEIVGYYYPSDASVQQDSELQAWTGEIFAQAFLGRESSGFPSRLCTPGEMVKFLTAIIFNCSAQHAAVNSGQHDFGAWMPNAPSSMRQPPPQTKGTTTLKTYLDTLPEVNISCNNLLLFWLVSQEPKDQRPLGTYPDEHFTEEAPRRSIAAFQSRLAQISRDIQERNQGLALPYTYLDPPLIENSVSI
+>DECOY_sp|Q9BYJ1|LOXE3_HUMAN Hydroperoxide isomerase ALOXE3 OS=Homo sapiens OX=9606 GN=ALOXE3 PE=1 SV=1
+ISVSNEILPPDLYTYPLALGQNREQIDRSIQALRSQFAAISRRPAEETFHEDPYTGLPRQDKPEQSVLWFLLLNNCSINVEPLTDLYTKLTTTGKTQPPPQRMSSPANPMWAGFDHQGSNVAAHQASCNFIIATLFKVMEGPTCLRSPFGSSERGLFAQAFIEGTWAQLESDQQVSADSPYYYGVIESVFSEIAAWIKLGDDRYHYNPIALVGRARLSDPLCFNTYTFHALGTSMLYILGQRGISTVQDVLGEPNLLTARAITNVQLTYRTHPLLLKYIPHCLPLQRLTAMAFAECLLHTCLFHTNNEHVLFESNRVWTKALLWDWESDTPLFIPSDPGPTQSLQIALPVLAGQPSLWLLCLPAAVYQQRGNLCHTPAEALIWYDALFINGRELETQLCTDQGLLPAVMDNTVPLKSPLSSICHLMVPNVGNLYQYGFFHDECWHETVYKTTFTKHCWFINQMDDLKKWSGKRDLLGRLKMGLSAPIANFLLSITKTASYRVNPEMYMLSPIDIKMPFGPLYRNGSSDGQDVCTTTKTLAFKKDSEMEQFSNVDVMCPFGPAYIKWRYCEQRARLERTRHDLLLPLSDQCITRATGPRLEVTCYGEIWQYCPFHSVSGDPETVCIRSCYWSDKRFFAYREKHVRLLLLEGLEATCRVKYKQVSGPAFDRGMRDLRQKPSEGCTGVLTVSINDLTGARLYPGTTVCLRYVAM
+>sp|Q9UBY5|LPAR3_HUMAN Lysophosphatidic acid receptor 3 OS=Homo sapiens OX=9606 GN=LPAR3 PE=1 SV=1
+MNECHYDKHMDFFYNRSNTDTVDDWTGTKLVIVLCVGTFFCLFIFFSNSLVIAAVIKNRKFHFPFYYLLANLAAADFFAGIAYVFLMFNTGPVSKTLTVNRWFLRQGLLDSSLTASLTNLLVIAVERHMSIMRMRVHSNLTKKRVTLLILLVWAIAIFMGAVPTLGWNCLCNISACSSLAPIYSRSYLVFWTVSNLMAFLIMVVVYLRIYVYVKRKTNVLSPHTSGSISRRRTPMKLMKTVMTVLGAFVVCWTPGLVVLLLDGLNCRQCGVQHVKRWFLLLALLNSVVNPIIYSYKDEDMYGTMKKMICCFSQENPERRPSRIPSTVLSRSDTGSQYIEDSISQGAVCNKSTS
+>DECOY_sp|Q9UBY5|LPAR3_HUMAN Lysophosphatidic acid receptor 3 OS=Homo sapiens OX=9606 GN=LPAR3 PE=1 SV=1
+STSKNCVAGQSISDEIYQSGTDSRSLVTSPIRSPRREPNEQSFCCIMKKMTGYMDEDKYSYIIPNVVSNLLALLLFWRKVHQVGCQRCNLGDLLLVVLGPTWCVVFAGLVTMVTKMLKMPTRRRSISGSTHPSLVNTKRKVYVYIRLYVVVMILFAMLNSVTWFVLYSRSYIPALSSCASINCLCNWGLTPVAGMFIAIAWVLLILLTVRKKTLNSHVRMRMISMHREVAIVLLNTLSATLSSDLLGQRLFWRNVTLTKSVPGTNFMLFVYAIGAFFDAAALNALLYYFPFHFKRNKIVAAIVLSNSFFIFLCFFTGVCLVIVLKTGTWDDVTDTNSRNYFFDMHKDYHCENM
+>sp|O60711|LPXN_HUMAN Leupaxin OS=Homo sapiens OX=9606 GN=LPXN PE=1 SV=1
+MEELDALLEELERSTLQDSDEYSNPAPLPLDQHSRKETNLDETSEILSIQDNTSPLPAQLVYTTNIQELNVYSEAQEPKESPPPSKTSAAAQLDELMAHLTEMQAKVAVRADAGKKHLPDKQDHKASLDSMLGGLEQELQDLGIATVPKGHCASCQKPIAGKVIHALGQSWHPEHFVCTHCKEEIGSSPFFERSGLAYCPNDYHQLFSPRCAYCAAPILDKVLTAMNQTWHPEHFFCSHCGEVFGAEGFHEKDKKPYCRKDFLAMFSPKCGGCNRPVLENYLSAMDTVWHPECFVCGDCFTSFSTGSFFELDGRPFCELHYHHRRGTLCHGCGQPITGRCISAMGYKFHPEHFVCAFCLTQLSKGIFREQNDKTYCQPCFNKLFPL
+>DECOY_sp|O60711|LPXN_HUMAN Leupaxin OS=Homo sapiens OX=9606 GN=LPXN PE=1 SV=1
+LPFLKNFCPQCYTKDNQERFIGKSLQTLCFACVFHEPHFKYGMASICRGTIPQGCGHCLTGRRHHYHLECFPRGDLEFFSGTSFSTFCDGCVFCEPHWVTDMASLYNELVPRNCGGCKPSFMALFDKRCYPKKDKEHFGEAGFVEGCHSCFFHEPHWTQNMATLVKDLIPAACYACRPSFLQHYDNPCYALGSREFFPSSGIEEKCHTCVFHEPHWSQGLAHIVKGAIPKQCSACHGKPVTAIGLDQLEQELGGLMSDLSAKHDQKDPLHKKGADARVAVKAQMETLHAMLEDLQAAASTKSPPPSEKPEQAESYVNLEQINTTYVLQAPLPSTNDQISLIESTEDLNTEKRSHQDLPLPAPNSYEDSDQLTSRELEELLADLEEM
+>sp|Q8TAF5|LQK1_HUMAN Putative uncharacterized protein LQK1 OS=Homo sapiens OX=9606 GN=FLVCR1-AS1 PE=5 SV=1
+MARGLLHLRVGGRRPRGLCCWKKGSRSRPQERVLGSTSGKNWRRVTERSEGSKFIGIYSVRECKSSDCRRRNSRPSVVSLLRGSCEEL
+>DECOY_sp|Q8TAF5|LQK1_HUMAN Putative uncharacterized protein LQK1 OS=Homo sapiens OX=9606 GN=FLVCR1-AS1 PE=5 SV=1
+LEECSGRLLSVVSPRSNRRRCDSSKCERVSYIGIFKSGESRETVRRWNKGSTSGLVREQPRSRSGKKWCCLGRPRRGGVRLHLLGRAM
+>sp|A6NIK2|LR10B_HUMAN Leucine-rich repeat-containing protein 10B OS=Homo sapiens OX=9606 GN=LRRC10B PE=4 SV=2
+MGIAESTPDELPSDAEEQLRSGDQQLELSGRRLRRLPSAVCALSRLQKLYVSGTGLRELPEEIEELRELRILALDFNKLERLPDGLCRLPRLTRLYLGGNRLLALPADFAQLQSLRCLWIEGNFLRRFPRPLLRLVALQSLQMGDNRLRALPAELPRMTGLRGLWLYGNRFEEFPPALLRMGRLHILDLDRNRLGGFPDLHPLRALRVFSYDHNPVTGPPRVADTVFLVGEGAVERMAERDEPTPRPPPRRPARAFEDEEEEDLLIGGAGSRALGAPGGSFRALEAAPGLGT
+>DECOY_sp|A6NIK2|LR10B_HUMAN Leucine-rich repeat-containing protein 10B OS=Homo sapiens OX=9606 GN=LRRC10B PE=4 SV=2
+TGLGPAAELARFSGGPAGLARSGAGGILLDEEEEDEFARAPRRPPPRPTPEDREAMREVAGEGVLFVTDAVRPPGTVPNHDYSFVRLARLPHLDPFGGLRNRDLDLIHLRGMRLLAPPFEEFRNGYLWLGRLGTMRPLEAPLARLRNDGMQLSQLAVLRLLPRPFRRLFNGEIWLCRLSQLQAFDAPLALLRNGGLYLRTLRPLRCLGDPLRELKNFDLALIRLERLEEIEEPLERLGTGSVYLKQLRSLACVASPLRRLRRGSLELQQDGSRLQEEADSPLEDPTSEAIGM
+>sp|A6NHZ5|LR14B_HUMAN Leucine-rich repeat-containing protein 14B OS=Homo sapiens OX=9606 GN=LRRC14B PE=3 SV=3
+MDTMRSLRFISAEALVSHPQVARQSLDSVAHNLYPLLFKASYLLEQAEVTRAVLGRWPLEEFRLGALLGPGADHPQDLRDRTCRACLEALVRGLADHVLQDRSRRRLRVADLTGIRDVQVQRCPCGRALGRWGRTQLLARTCCELQAEPLAAGRPVEVLADLFVTEGNFEAVVQALRPAGPAPLRVHCPSFRADSLSPSQLLHVLRLAGPGALRKLEVVHNVRLHAGHVQQLLAQVGFPRLASLTLPTKAFDAPPTYASTPDGEDPLLASIARELSKMAQLTELSVAFSTLTGKIPTLLGPLQTPLRVLDLANCALNHTDMAFLADCAHAAHLEVLDLSGHNLVSLYPSTFFRLLSQASRTLRILTLEECGIVDSHVGMLILGLSPCHRLRQLKFLGNPLSARALRRLFTALCELPELRCIEFPVPKDCYPEGAAYPQDELAMSKFNQQKYDEIAEELRAVLLRADREDIQVSTPLFGSFDPDIQETSNELGAFLLQAFKTALENFSRALKQIE
+>DECOY_sp|A6NHZ5|LR14B_HUMAN Leucine-rich repeat-containing protein 14B OS=Homo sapiens OX=9606 GN=LRRC14B PE=3 SV=3
+EIQKLARSFNELATKFAQLLFAGLENSTEQIDPDFSGFLPTSVQIDERDARLLVARLEEAIEDYKQQNFKSMALEDQPYAAGEPYCDKPVPFEICRLEPLECLATFLRRLARASLPNGLFKLQRLRHCPSLGLILMGVHSDVIGCEELTLIRLTRSAQSLLRFFTSPYLSVLNHGSLDLVELHAAHACDALFAMDTHNLACNALDLVRLPTQLPGLLTPIKGTLTSFAVSLETLQAMKSLERAISALLPDEGDPTSAYTPPADFAKTPLTLSALRPFGVQALLQQVHGAHLRVNHVVELKRLAGPGALRLVHLLQSPSLSDARFSPCHVRLPAPGAPRLAQVVAEFNGETVFLDALVEVPRGAALPEAQLECCTRALLQTRGWRGLARGCPCRQVQVDRIGTLDAVRLRRRSRDQLVHDALGRVLAELCARCTRDRLDQPHDAGPGLLAGLRFEELPWRGLVARTVEAQELLYSAKFLLPYLNHAVSDLSQRAVQPHSVLAEASIFRLSRMTDM
+>sp|Q96QE4|LR37B_HUMAN Leucine-rich repeat-containing protein 37B OS=Homo sapiens OX=9606 GN=LRRC37B PE=2 SV=3
+MSWLRFWGPWPLLTWQLLSLLVKEAQPLVWVKDPLQLTSNPLGPPEPWSSRSSHLPWESPHAPAPPAAPGDFDYLGPSASSQMSALPQEPTENLAPFLKELDSAGELPLGPEPFLAAHQDLNDKRTPEERLPEVVPLLNRDQNQALVQLPRLKWVQTTDLDRAAGHQADEILVPLDSKVSRPTKFVVSPKNLKKDLAERWSLPEIVGIPHQLSKPQRQKQTLPDDYLSMDTLYPGSLPPELRVNADEPPGPPEQVGLSQFHLEPKSQNPETLEDIQSSSLQEEAPAQLLQLPQEVEPSTQQEAPALPPESSMESLAQTPLNHEVTVQPPGEDQAHYNLPKFTVKPADVEVTMTSEPKNETESTQAQQEAPIQPPEEAEPSSTALRTTDPPPEHPEVTLPPSDKGQAQHSHLTEATVQPLDLELSITTEPTTEVKPSPTTEETSAQPPDPGLAITPEPTTEIGHSTALEKTRAPHPDQVQTLHRSLTEVTGPPTKLESSQDSLVQSETAPEEQKASTSTNICELCTCGDETLSCVGLSPKQRLRQVPVPEPDTYNGIFTTLNFQGNYISYLDGNVWKAYSWTEKLILSENYLTELPKDSFEGLLYLQYLDLSCNKIRYIERQTFESLPFLQYINLGCNLITKLSLGTFQAWHGMQFLHNLILNRNPLTTVEDPYLFELPALKYLDMGTTHITLTTLKNILTMTVELEKLILPSHMACCLCQFKNSIEAVCKTVKLHCNTACLTNSIHCPEEASVGNPEGAFMKMLQARKQHMSTQLTIESEAPSDSSGINLSGFGGDQLEIQLTEQLRSLIPNEDVRKFMSHVIRTLKMECSETHVQGSCAKLMLRTGLLMKLLSEQQEAKALNVEWDTDQQKTNYINENMEQNEQKEQKSSELMKEVPGDDYKNKLIFAISVTVILIILIIIFCLIEVNSHKRASEKYKDNPSISGA
+>DECOY_sp|Q96QE4|LR37B_HUMAN Leucine-rich repeat-containing protein 37B OS=Homo sapiens OX=9606 GN=LRRC37B PE=2 SV=3
+AGSISPNDKYKESARKHSNVEILCFIIILIILIVTVSIAFILKNKYDDGPVEKMLESSKQEKQENQEMNENIYNTKQQDTDWEVNLAKAEQQESLLKMLLGTRLMLKACSGQVHTESCEMKLTRIVHSMFKRVDENPILSRLQETLQIELQDGGFGSLNIGSSDSPAESEITLQTSMHQKRAQLMKMFAGEPNGVSAEEPCHISNTLCATNCHLKVTKCVAEISNKFQCLCCAMHSPLILKELEVTMTLINKLTTLTIHTTGMDLYKLAPLEFLYPDEVTTLPNRNLILNHLFQMGHWAQFTGLSLKTILNCGLNIYQLFPLSEFTQREIYRIKNCSLDLYQLYLLGEFSDKPLETLYNESLILKETWSYAKWVNGDLYSIYNGQFNLTTFIGNYTDPEPVPVQRLRQKPSLGVCSLTEDGCTCLECINTSTSAKQEEPATESQVLSDQSSELKTPPGTVETLSRHLTQVQDPHPARTKELATSHGIETTPEPTIALGPDPPQASTEETTPSPKVETTPETTISLELDLPQVTAETLHSHQAQGKDSPPLTVEPHEPPPDTTRLATSSPEAEEPPQIPAEQQAQTSETENKPESTMTVEVDAPKVTFKPLNYHAQDEGPPQVTVEHNLPTQALSEMSSEPPLAPAEQQTSPEVEQPLQLLQAPAEEQLSSSQIDELTEPNQSKPELHFQSLGVQEPPGPPEDANVRLEPPLSGPYLTDMSLYDDPLTQKQRQPKSLQHPIGVIEPLSWREALDKKLNKPSVVFKTPRSVKSDLPVLIEDAQHGAARDLDTTQVWKLRPLQVLAQNQDRNLLPVVEPLREEPTRKDNLDQHAALFPEPGLPLEGASDLEKLFPALNETPEQPLASMQSSASPGLYDFDGPAAPPAPAHPSEWPLHSSRSSWPEPPGLPNSTLQLPDKVWVLPQAEKVLLSLLQWTLLPWPGWFRLWSM
+>sp|Q0VAA2|LR74A_HUMAN Leucine-rich repeat-containing protein 74A OS=Homo sapiens OX=9606 GN=LRRC74A PE=2 SV=2
+MHIQFPSKPTLPRACWEGRITAGSPGMPPDEIEIEPVRQSSDKMLYCEAESPPTVEKVKPARENSETDLEIEDDEKFFTTGQKELYLEACKLMGVVPVSYFIRNMEESYVNLNHHGLGPRGTKAIAIALVSNMAVTKLELEDNCIMEEGVLSLVEMLQENYYLQEMNISNNHLGLEGARIISDFFERNSSSIWSLELSGNDFKEDSAALLCQALSTNYQIKKLDLSHNQFSDVGGEHLGQMLAINVGLTSLDLSWNNFHTRGAVALCNGLRGNVTLTKLDLSMNGFGNEVALALGEVLRLNRCLVYLDIGGNDIGNEGASKISKGLESNESLRVLKLFLNPINMDGAILLILAIKRNPKSRMEELDISNVLVSEQFMKTLDGVYAVHPQLDVVFKAVQGLSPKKTIFLLTNPMKLIQSYADQHKITIVDFFKSLNPTGTMKMSVDEFQKVMIEQNKVPLNQYQVREVIKKLDEKTGMVNFSFLNTMKP
+>DECOY_sp|Q0VAA2|LR74A_HUMAN Leucine-rich repeat-containing protein 74A OS=Homo sapiens OX=9606 GN=LRRC74A PE=2 SV=2
+PKMTNLFSFNVMGTKEDLKKIVERVQYQNLPVKNQEIMVKQFEDVSMKMTGTPNLSKFFDVITIKHQDAYSQILKMPNTLLFITKKPSLGQVAKFVVDLQPHVAYVGDLTKMFQESVLVNSIDLEEMRSKPNRKIALILLIAGDMNIPNLFLKLVRLSENSELGKSIKSAGENGIDNGGIDLYVLCRNLRLVEGLALAVENGFGNMSLDLKTLTVNGRLGNCLAVAGRTHFNNWSLDLSTLGVNIALMQGLHEGGVDSFQNHSLDLKKIQYNTSLAQCLLAASDEKFDNGSLELSWISSSNREFFDSIIRAGELGLHNNSINMEQLYYNEQLMEVLSLVGEEMICNDELELKTVAMNSVLAIAIAKTGRPGLGHHNLNVYSEEMNRIFYSVPVVGMLKCAELYLEKQGTTFFKEDDEIELDTESNERAPKVKEVTPPSEAECYLMKDSSQRVPEIEIEDPPMGPSGATIRGEWCARPLTPKSPFQIHM
+>sp|Q50LG9|LRC24_HUMAN Leucine-rich repeat-containing protein 24 OS=Homo sapiens OX=9606 GN=LRRC24 PE=2 SV=2
+MALRAPALLPLLLLLLPLRAAGCPAACRCYSATVECGALRLRVVPLGIPPGTQTLFLQDNNIARLEPGALAPLAALRRLYLHNNSLRALEAGAFRAQPRLLELALTSNRLRGLRSGAFVGLAQLRVLYLAGNQLARLLDFTFLHLPRLQELHLQENSIELLEDQALAGLSSLALLDLSRNQLGTISREALQPLASLQVLRLTENPWRCDCALHWLGAWIKEGGQRLLTSRDRKIMCAEPPRLALQSLLDVSHSSLICIPPSVHVQPLELTANLGEDLRVACQASGYPQPLVTWRKVPQPREGRPRAQAQLEGGLLGLGGHSASDTGSGMLFLSNITLAHAGKYECEASNAGGAARVPFRLLVNASRQQPQQPAQPPPPAARPAGSEPRPEAGSMAFRALGVATQTAIAAAIALLALTALLLVAMICRRRRRRKKARGPPGEGALFVNDYLDGPCTFAQLEELRDERGHEMFVINRSKPLFAEGPAEAPADCGPEQGAGPGLRVPPPVAYEIHC
+>DECOY_sp|Q50LG9|LRC24_HUMAN Leucine-rich repeat-containing protein 24 OS=Homo sapiens OX=9606 GN=LRRC24 PE=2 SV=2
+CHIEYAVPPPVRLGPGAGQEPGCDAPAEAPGEAFLPKSRNIVFMEHGREDRLEELQAFTCPGDLYDNVFLAGEGPPGRAKKRRRRRRCIMAVLLLATLALLAIAAAIATQTAVGLARFAMSGAEPRPESGAPRAAPPPPQAPQQPQQRSANVLLRFPVRAAGGANSAECEYKGAHALTINSLFLMGSGTDSASHGGLGLLGGELQAQARPRGERPQPVKRWTVLPQPYGSAQCAVRLDEGLNATLELPQVHVSPPICILSSHSVDLLSQLALRPPEACMIKRDRSTLLRQGGEKIWAGLWHLACDCRWPNETLRLVQLSALPQLAERSITGLQNRSLDLLALSSLGALAQDELLEISNEQLHLEQLRPLHLFTFDLLRALQNGALYLVRLQALGVFAGSRLGRLRNSTLALELLRPQARFAGAELARLSNNHLYLRRLAALPALAGPELRAINNDQLFLTQTGPPIGLPVVRLRLAGCEVTASYCRCAAPCGAARLPLLLLLLPLLAPARLAM
+>sp|Q1X8D7|LRC36_HUMAN Leucine-rich repeat-containing protein 36 OS=Homo sapiens OX=9606 GN=LRRC36 PE=2 SV=2
+MAEQWELDEEGIRRLGALTLEQPELVESLSLQGSYAGKIHSIGDAFRNFKNLRSLDLSRNLITSLKGIQYLCSLQDLNLYYNNIPSLVEVSRLQPLPFLKELDLRLNPVVRKDTDYRLFAVYTLQTLEKLDDRTVREGERKAAKLHFSQLGNSENFLLEVEKSSREKTMKNCVTGESSASKVSANVDSRIEMDSNKGLFIPFPNREIKDSLSTSATQGNGTRDQKLDTFPLGTQTQEVARREMPSDNHQEDEFRHYSPRQSTVRSPEKMTREGYQVSFLDNKSSGSSPEKELIPKPDTFHLTHDASLSKCLDVGDSSQIHPYQLPSDVGLENYDSCYSQTLSLHGSLGKRPQRSKNYQEYSIKPSNDIKTTASHSCGDLLTSLSNPDSSTGRLLKLSSDLYATTHFNSDPAVLVNVEQQLSTSLDDLTPAHGSVPNNAVLGNRTTPLRTLLLSPGTSEHRKIFTKRSLSPSKRGFKWKDNILANLNLKHGFQDATGSEPLSSDLGSLHGLAGNHSPPISARTPHVATVLRQLLELVDKHWNGSGSLLLNKKFLGPARDLLLSLVVPAPSQPRCCSHPEDTMKAFCRRELELKEAAQLVPNDMESLKQKLVRVLEENLILSEKIQQLEEGAAISIVSGQQSHTYDDLLHKNQQLTMQVACLNQELAQLKKLEKTVAILHESQRSLVVTNEYLLQQLNKEPKGYSGKALLPPEKGHHLGRSSPFGKSTLSSSSPVAHETGQYLIQSVLDAAPEPGL
+>DECOY_sp|Q1X8D7|LRC36_HUMAN Leucine-rich repeat-containing protein 36 OS=Homo sapiens OX=9606 GN=LRRC36 PE=2 SV=2
+LGPEPAADLVSQILYQGTEHAVPSSSSLTSKGFPSSRGLHHGKEPPLLAKGSYGKPEKNLQQLLYENTVVLSRQSEHLIAVTKELKKLQALEQNLCAVQMTLQQNKHLLDDYTHSQQGSVISIAAGEELQQIKESLILNEELVRVLKQKLSEMDNPVLQAAEKLELERRCFAKMTDEPHSCCRPQSPAPVVLSLLLDRAPGLFKKNLLLSGSGNWHKDVLELLQRLVTAVHPTRASIPPSHNGALGHLSGLDSSLPESGTADQFGHKLNLNALINDKWKFGRKSPSLSRKTFIKRHESTGPSLLLTRLPTTRNGLVANNPVSGHAPTLDDLSTSLQQEVNVLVAPDSNFHTTAYLDSSLKLLRGTSSDPNSLSTLLDGCSHSATTKIDNSPKISYEQYNKSRQPRKGLSGHLSLTQSYCSDYNELGVDSPLQYPHIQSSDGVDLCKSLSADHTLHFTDPKPILEKEPSSGSSKNDLFSVQYGERTMKEPSRVTSQRPSYHRFEDEQHNDSPMERRAVEQTQTGLPFTDLKQDRTGNGQTASTSLSDKIERNPFPIFLGKNSDMEIRSDVNASVKSASSEGTVCNKMTKERSSKEVELLFNESNGLQSFHLKAAKREGERVTRDDLKELTQLTYVAFLRYDTDKRVVPNLRLDLEKLFPLPQLRSVEVLSPINNYYLNLDQLSCLYQIGKLSTILNRSLDLSRLNKFNRFADGISHIKGAYSGQLSLSEVLEPQELTLAGLRRIGEEDLEWQEAM
+>sp|Q5VT99|LRC38_HUMAN Leucine-rich repeat-containing protein 38 OS=Homo sapiens OX=9606 GN=LRRC38 PE=1 SV=1
+MRPRAPACAAAALGLCSLLLLLAPGHACPAGCACTDPHTVDCRDRGLPSVPDPFPLDVRKLLVAGNRIQRIPEDFFIFYGDLVYLDFRNNSLRSLEEGTFSGSAKLVFLDLSYNNLTQLGAGAFRSAGRLVKLSLANNNLVGVHEDAFETLESLQVLELNDNNLRSLSVAALAALPALRSLRLDGNPWLCDCDFAHLFSWIQENASKLPKGLDEIQCSLPMESRRISLRELSEASFSECRFSLSLTDLCIIIFSGVAVSIAAIISSFFLATVVQCLQRCAPNKDAEDEDEDKDD
+>DECOY_sp|Q5VT99|LRC38_HUMAN Leucine-rich repeat-containing protein 38 OS=Homo sapiens OX=9606 GN=LRRC38 PE=1 SV=1
+DDKDEDEDEADKNPACRQLCQVVTALFFSSIIAAISVAVGSFIIICLDTLSLSFRCESFSAESLERLSIRRSEMPLSCQIEDLGKPLKSANEQIWSFLHAFDCDCLWPNGDLRLSRLAPLAALAAVSLSRLNNDNLELVQLSELTEFADEHVGVLNNNALSLKVLRGASRFAGAGLQTLNNYSLDLFVLKASGSFTGEELSRLSNNRFDLYVLDGYFIFFDEPIRQIRNGAVLLKRVDLPFPDPVSPLGRDRCDVTHPDTCACGAPCAHGPALLLLLSCLGLAAAACAPARPRM
+>sp|Q8N7C0|LRC52_HUMAN Leucine-rich repeat-containing protein 52 OS=Homo sapiens OX=9606 GN=LRRC52 PE=1 SV=2
+MSLASGPGPGWLLFSFGMGLVSGSKCPNNCLCQAQEVICTGKQLTEYPLDIPLNTRRLFLNENRITSLPAMHLGLLSDLVYLDCQNNRIREVMDYTFIGVFKLIYLDLSSNNLTSISPFTFSVLSNLVQLNIANNPHLLSLHKFTFANTTSLRYLDLRNTGLQTLDSAALYHLTTLETLFLSGNPWKCNCSFLDFAIFLIVFHMDPSDDLNATCVEPTELTGWPITRVGNPLRYMCITHLDHKDYIFLLLIGFCIFAAGTVAAWLTGVCAVLYQNTRHKSSEEDEDEAGTRVEVSRRIFQTQTSSVQEFPQLI
+>DECOY_sp|Q8N7C0|LRC52_HUMAN Leucine-rich repeat-containing protein 52 OS=Homo sapiens OX=9606 GN=LRRC52 PE=1 SV=2
+ILQPFEQVSSTQTQFIRRSVEVRTGAEDEDEESSKHRTNQYLVACVGTLWAAVTGAAFICFGILLLFIYDKHDLHTICMYRLPNGVRTIPWGTLETPEVCTANLDDSPDMHFVILFIAFDLFSCNCKWPNGSLFLTELTTLHYLAASDLTQLGTNRLDLYRLSTTNAFTFKHLSLLHPNNAINLQVLNSLVSFTFPSISTLNNSSLDLYILKFVGIFTYDMVERIRNNQCDLYVLDSLLGLHMAPLSTIRNENLFLRRTNLPIDLPYETLQKGTCIVEQAQCLCNNPCKSGSVLGMGFSFLLWGPGPGSALSM
+>sp|Q6ZSA7|LRC55_HUMAN Leucine-rich repeat-containing protein 55 OS=Homo sapiens OX=9606 GN=LRRC55 PE=1 SV=2
+MGSLQHCCCLLPKMGDTWAQLPWPGPPHPAMLLISLLLAAGLMHSDAGTSCPVLCTCRNQVVDCSSQRLFSVPPDLPMDTRNLSLAHNRITAVPPGYLTCYMELQVLDLHNNSLMELPRGLFLHAKRLAHLDLSYNNFSHVPADMFQEAHGLVHIDLSHNPWLRRVHPQAFQGLMQLRDLDLSYGGLAFLSLEALEGLPGLVTLQIGGNPWVCGCTMEPLLKWLRNRIQRCTADSQLAECRGPPEVEGAPLFSLTEESFKACHLTLTLDDYLFIAFVGFVVSIASVATNFLLGITANCCHRWSKASEEEEI
+>DECOY_sp|Q6ZSA7|LRC55_HUMAN Leucine-rich repeat-containing protein 55 OS=Homo sapiens OX=9606 GN=LRRC55 PE=1 SV=2
+IEEEESAKSWRHCCNATIGLLFNTAVSAISVVFGVFAIFLYDDLTLTLHCAKFSEETLSFLPAGEVEPPGRCEALQSDATCRQIRNRLWKLLPEMTCGCVWPNGGIQLTVLGPLGELAELSLFALGGYSLDLDRLQMLGQFAQPHVRRLWPNHSLDIHVLGHAEQFMDAPVHSFNNYSLDLHALRKAHLFLGRPLEMLSNNHLDLVQLEMYCTLYGPPVATIRNHALSLNRTDMPLDPPVSFLRQSSCDVVQNRCTCLVPCSTGADSHMLGAALLLSILLMAPHPPGPWPLQAWTDGMKPLLCCCHQLSGM
+>sp|Q9BV99|LRC61_HUMAN Leucine-rich repeat-containing protein 61 OS=Homo sapiens OX=9606 GN=LRRC61 PE=2 SV=1
+MDPPAEKPGEAGGLQITPQLLKSRTGEFSLESILLLKLRGLGLADLGCLGECLGLEWLDLSGNALTHLGPLASLRQLAVLNVSNNRLTGLEPLATCENLQSLNAAGNLLATPGQLQCLAGLPCLEYLRLRDPLARLSNPLCANPSYWAAVRELLPGLKVIDGERVIGRGSEFYQLCRDLDSSLRPSSSPGPRATEAQPWVEPGYWESWPSRSSSILEEACRQFQDTLQECWDLDRQASDSLAQAEQVLSSAGPTSSFVF
+>DECOY_sp|Q9BV99|LRC61_HUMAN Leucine-rich repeat-containing protein 61 OS=Homo sapiens OX=9606 GN=LRRC61 PE=2 SV=1
+FVFSSTPGASSLVQEAQALSDSAQRDLDWCEQLTDQFQRCAEELISSSRSPWSEWYGPEVWPQAETARPGPSSSPRLSSDLDRCLQYFESGRGIVREGDIVKLGPLLERVAAWYSPNACLPNSLRALPDRLRLYELCPLGALCQLQGPTALLNGAANLSQLNECTALPELGTLRNNSVNLVALQRLSALPGLHTLANGSLDLWELGLCEGLCGLDALGLGRLKLLLISELSFEGTRSKLLQPTIQLGGAEGPKEAPPDM
+>sp|Q6P9F7|LRC8B_HUMAN Volume-regulated anion channel subunit LRRC8B OS=Homo sapiens OX=9606 GN=LRRC8B PE=1 SV=2
+MITLTELKCLADAQSSYHILKPWWDVFWYYITLIMLLVAVLAGALQLTQSRVLCCLPCKVEFDNHCAVPWDILKASMNTSSNPGTPLPLPLRIQNDLHRQQYSYIDAVCYEKQLHWFAKFFPYLVLLHTLIFAACSNFWLHYPSTSSRLEHFVAILHKCFDSPWTTRALSETVAEQSVRPLKLSKSKILLSSSGCSADIDSGKQSLPYPQPGLESAGIESPTSSVLDKKEGEQAKAIFEKVKRFRMHVEQKDIIYRVYLKQIIVKVILFVLIITYVPYFLTHITLEIDCSVDVQAFTGYKRYQCVYSLAEIFKVLASFYVILVILYGLTSSYSLWWMLRSSLKQYSFEALREKSNYSDIPDVKNDFAFILHLADQYDPLYSKRFSIFLSEVSENKLKQINLNNEWTVEKLKSKLVKNAQDKIELHLFMLNGLPDNVFELTEMEVLSLELIPEVKLPSAVSQLVNLKELRVYHSSLVVDHPALAFLEENLKILRLKFTEMGKIPRWVFHLKNLKELYLSGCVLPEQLSTMQLEGFQDLKNLRTLYLKSSLSRIPQVVTDLLPSLQKLSLDNEGSKLVVLNNLKKMVNLKSLELISCDLERIPHSIFSLNNLHELDLRENNLKTVEEIISFQHLQNLSCLKLWHNNIAYIPAQIGALSNLEQLSLDHNNIENLPLQLFLCTKLHYLDLSYNHLTFIPEEIQYLSNLQYFAVTNNNIEMLPDGLFQCKKLQCLLLGKNSLMNLSPHVGELSNLTHLELIGNYLETLPPELEGCQSLKRNCLIVEENLLNTLPLPVTERLQTCLDKC
+>DECOY_sp|Q6P9F7|LRC8B_HUMAN Volume-regulated anion channel subunit LRRC8B OS=Homo sapiens OX=9606 GN=LRRC8B PE=1 SV=2
+CKDLCTQLRETVPLPLTNLLNEEVILCNRKLSQCGELEPPLTELYNGILELHTLNSLEGVHPSLNMLSNKGLLLCQLKKCQFLGDPLMEINNNTVAFYQLNSLYQIEEPIFTLHNYSLDLYHLKTCLFLQLPLNEINNHDLSLQELNSLAGIQAPIYAINNHWLKLCSLNQLHQFSIIEEVTKLNNERLDLEHLNNLSFISHPIRELDCSILELSKLNVMKKLNNLVVLKSGENDLSLKQLSPLLDTVVQPIRSLSSKLYLTRLNKLDQFGELQMTSLQEPLVCGSLYLEKLNKLHFVWRPIKGMETFKLRLIKLNEELFALAPHDVVLSSHYVRLEKLNVLQSVASPLKVEPILELSLVEMETLEFVNDPLGNLMFLHLEIKDQANKVLKSKLKEVTWENNLNIQKLKNESVESLFISFRKSYLPDYQDALHLIFAFDNKVDPIDSYNSKERLAEFSYQKLSSRLMWWLSYSSTLGYLIVLIVYFSALVKFIEALSYVCQYRKYGTFAQVDVSCDIELTIHTLFYPVYTIILVFLIVKVIIQKLYVRYIIDKQEVHMRFRKVKEFIAKAQEGEKKDLVSSTPSEIGASELGPQPYPLSQKGSDIDASCGSSSLLIKSKSLKLPRVSQEAVTESLARTTWPSDFCKHLIAVFHELRSSTSPYHLWFNSCAAFILTHLLVLYPFFKAFWHLQKEYCVADIYSYQQRHLDNQIRLPLPLPTGPNSSTNMSAKLIDWPVACHNDFEVKCPLCCLVRSQTLQLAGALVAVLLMILTIYYWFVDWWPKLIHYSSQADALCKLETLTIM
+>sp|Q8TDW0|LRC8C_HUMAN Volume-regulated anion channel subunit LRRC8C OS=Homo sapiens OX=9606 GN=LRRC8C PE=1 SV=2
+MIPVTEFRQFSEQQPAFRVLKPWWDVFTDYLSVAMLMIGVFGCTLQVMQDKIICLPKRVQPAQNHSSLSNVSQAVASTTPLPPPKPSPANPITVEMKGLKTDLDLQQYSFINQMCYERALHWYAKYFPYLVLIHTLVFMLCSNFWFKFPGSSSKIEHFISILGKCFDSPWTTRALSEVSGEDSEEKDNRKNNMNRSNTIQSGPEDSLVNSQSLKSIPEKFVVDKSTAGALDKKEGEQAKALFEKVKKFRLHVEEGDILYAMYVRQTVLKVIKFLIIIAYNSALVSKVQFTVDCNVDIQDMTGYKNFSCNHTMAHLFSKLSFCYLCFVSIYGLTCLYTLYWLFYRSLREYSFEYVRQETGIDDIPDVKNDFAFMLHMIDQYDPLYSKRFAVFLSEVSENKLKQLNLNNEWTPDKLRQKLQTNAHNRLELPLIMLSGLPDTVFEITELQSLKLEIIKNVMIPATIAQLDNLQELSLHQCSVKIHSAALSFLKENLKVLSVKFDDMRELPPWMYGLRNLEELYLVGSLSHDISRNVTLESLRDLKSLKILSIKSNVSKIPQAVVDVSSHLQKMCIHNDGTKLVMLNNLKKMTNLTELELVHCDLERIPHAVFSLLSLQELDLKENNLKSIEEIVSFQHLRKLTVLKLWHNSITYIPEHIKKLTSLERLSFSHNKIEVLPSHLFLCNKIRYLDLSYNDIRFIPPEIGVLQSLQYFSITCNKVESLPDELYFCKKLKTLKIGKNSLSVLSPKIGNLLFLSYLDVKGNHFEILPPELGDCRALKRAGLVVEDALFETLPSDVREQMKTE
+>DECOY_sp|Q8TDW0|LRC8C_HUMAN Volume-regulated anion channel subunit LRRC8C OS=Homo sapiens OX=9606 GN=LRRC8C PE=1 SV=2
+ETKMQERVDSPLTEFLADEVVLGARKLARCDGLEPPLIEFHNGKVDLYSLFLLNGIKPSLVSLSNKGIKLTKLKKCFYLEDPLSEVKNCTISFYQLSQLVGIEPPIFRIDNYSLDLYRIKNCLFLHSPLVEIKNHSFSLRELSTLKKIHEPIYTISNHWLKLVTLKRLHQFSVIEEISKLNNEKLDLEQLSLLSFVAHPIRELDCHVLELETLNTMKKLNNLMVLKTGDNHICMKQLHSSVDVVAQPIKSVNSKISLIKLSKLDRLSELTVNRSIDHSLSGVLYLEELNRLGYMWPPLERMDDFKVSLVKLNEKLFSLAASHIKVSCQHLSLEQLNDLQAITAPIMVNKIIELKLSQLETIEFVTDPLGSLMILPLELRNHANTQLKQRLKDPTWENNLNLQKLKNESVESLFVAFRKSYLPDYQDIMHLMFAFDNKVDPIDDIGTEQRVYEFSYERLSRYFLWYLTYLCTLGYISVFCLYCFSLKSFLHAMTHNCSFNKYGTMDQIDVNCDVTFQVKSVLASNYAIIILFKIVKLVTQRVYMAYLIDGEEVHLRFKKVKEFLAKAQEGEKKDLAGATSKDVVFKEPISKLSQSNVLSDEPGSQITNSRNMNNKRNDKEESDEGSVESLARTTWPSDFCKGLISIFHEIKSSSGPFKFWFNSCLMFVLTHILVLYPFYKAYWHLAREYCMQNIFSYQQLDLDTKLGKMEVTIPNAPSPKPPPLPTTSAVAQSVNSLSSHNQAPQVRKPLCIIKDQMVQLTCGFVGIMLMAVSLYDTFVDWWPKLVRFAPQQESFQRFETVPIM
+>sp|Q6NSJ5|LRC8E_HUMAN Volume-regulated anion channel subunit LRRC8E OS=Homo sapiens OX=9606 GN=LRRC8E PE=1 SV=2
+MIPVAEFKQFTEQQPAFKVLKPWWDVLAEYLTVAMLMIGVFGCTLQVTQDKIICLPNHELQENLSEAPCQQLLPRGIPEQIGALQEVKGLKNNLDLQQYSFINQLCYETALHWYAKYFPYLVVIHTLIFMVCTSFWFKFPGTSSKIEHFISILGKCFDSPWTTRALSEVSGENQKGPAATERAAATIVAMAGTGPGKAGEGEKEKVLAEPEKVVTEPPVVTLLDKKEGEQAKALFEKVKKFRMHVEEGDILYTMYIRQTVLKVCKFLAILVYNLVYVEKISFLVACRVETSEVTGYASFCCNHTKAHLFSKLAFCYISFVCIYGLTCIYTLYWLFHRPLKEYSFRSVREETGMGDIPDVKNDFAFMLHLIDQYDSLYSKRFAVFLSEVSESRLKQLNLNHEWTPEKLRQKLQRNAAGRLELALCMLPGLPDTVFELSEVESLRLEAICDITFPPGLSQLVHLQELSLLHSPARLPFSLQVFLRDHLKVMRVKCEELREVPLWVFGLRGLEELHLEGLFPQELARAATLESLRELKQLKVLSLRSNAGKVPASVTDVAGHLQRLSLHNDGARLVALNSLKKLAALRELELVACGLERIPHAVFSLGALQELDLKDNHLRSIEEILSFQHCRKLVTLRLWHNQIAYVPEHVRKLRSLEQLYLSYNKLETLPSQLGLCSGLRLLDVSHNGLHSLPPEVGLLQNLQHLALSYNALEALPEELFFCRKLRTLLLGDNQLSQLSPHVGALRALSRLELKGNRLEALPEELGNCGGLKKAGLLVEDTLYQGLPAEVRDKMEEE
+>DECOY_sp|Q6NSJ5|LRC8E_HUMAN Volume-regulated anion channel subunit LRRC8E OS=Homo sapiens OX=9606 GN=LRRC8E PE=1 SV=2
+EEEMKDRVEAPLGQYLTDEVLLGAKKLGGCNGLEEPLAELRNGKLELRSLARLAGVHPSLQSLQNDGLLLTRLKRCFFLEEPLAELANYSLALHQLNQLLGVEPPLSHLGNHSVDLLRLGSCLGLQSPLTELKNYSLYLQELSRLKRVHEPVYAIQNHWLRLTVLKRCHQFSLIEEISRLHNDKLDLEQLAGLSFVAHPIRELGCAVLELERLAALKKLSNLAVLRAGDNHLSLRQLHGAVDTVSAPVKGANSRLSLVKLQKLERLSELTAARALEQPFLGELHLEELGRLGFVWLPVERLEECKVRMVKLHDRLFVQLSFPLRAPSHLLSLEQLHVLQSLGPPFTIDCIAELRLSEVESLEFVTDPLGPLMCLALELRGAANRQLKQRLKEPTWEHNLNLQKLRSESVESLFVAFRKSYLSDYQDILHLMFAFDNKVDPIDGMGTEERVSRFSYEKLPRHFLWYLTYICTLGYICVFSIYCFALKSFLHAKTHNCCFSAYGTVESTEVRCAVLFSIKEVYVLNYVLIALFKCVKLVTQRIYMTYLIDGEEVHMRFKKVKEFLAKAQEGEKKDLLTVVPPETVVKEPEALVKEKEGEGAKGPGTGAMAVITAAARETAAPGKQNEGSVESLARTTWPSDFCKGLISIFHEIKSSTGPFKFWFSTCVMFILTHIVVLYPFYKAYWHLATEYCLQNIFSYQQLDLNNKLGKVEQLAGIQEPIGRPLLQQCPAESLNEQLEHNPLCIIKDQTVQLTCGFVGIMLMAVTLYEALVDWWPKLVKFAPQQETFQKFEAVPIM
+>sp|Q9C099|LRCC1_HUMAN Leucine-rich repeat and coiled-coil domain-containing protein 1 OS=Homo sapiens OX=9606 GN=LRRCC1 PE=1 SV=2
+MEAAAAVVAAEAEVENEDGDSSCGDVCFMDKGLQSISELSLDSTLHAVNLHCNNISKIEAIDHIWNLQHLDLSSNQISRIEGLNTLTKLCTLNLSCNLITKVEGLEELINLTRLNVSYNHIDDLSGLIPLHGIKHKLRYIDLHSNRIDSIHHLLQCMVGLHFLTNLILEKDGDDNPVCRLPGYRAVILQTLPQLRILDCKNIFGEPVNLTEINSSQLQCLEGLLDNLVSSDSPLNISEDEIIDRMPVITAPIDELVPLEQFASTPSDAVLTSFMSVCQSSEPEKNNHENDLQNEIKLQKLDDQILQLLNETSNSIDNVLEKDPRPKRDTDITSESDYGNRKECNRKVPRRSKIPYDAKTIQTIKHHNKNYNSFVSCNRKMKPPYLKELYVSSSLANCPMLQESEKPKTEIIKVDQSHSEDNTYQSLVEQLDQEREKRWRAEQAENKLMDYIDELHKHANEKEDIHSLALLTTDRLKEIIFRERNSKGQLEVMVHKLQNEIKKLTVELMKAKDQQEDHLKHLRTLEKTLEKMERQKRQQQAAQIRLIQEVELKASAADREIYLLRTSLHREREQAQQLHQLLALKEQEHRKELETREFFTDADFQDALAKEIAKEEKKHEQMIKEYQEKIDVLSQQYMDLENEFRIALTVEARRFQDVKDGFENVATELAKSKHALIWAQRKENESSSLIKDLTCMVKEQKTKLAEVSKLKQETAANLQNQINTLEILIEDDKQKSIQIELLKHEKVQLISELAAKESLIFGLRTERKVWGHELAQQGSSLAQNRGKLEAQIESLSRENECLRKTNESDSDALRIKCKIIDDQTETIRKLKDCLQEKDEHIKRLQEKITEIEKCTQEQLDEKSSQLDEVLEKLERHNERKEKLKQQLKGKEVELEEIRKAYSTLNRKWHDKGELLCHLETQVKEVKEKFENKEKKLKAERDKSIELQKNAMEKLHSMDDAFKRQVDAIVEAHQAEIAQLANEKQKCIDSANLKVHQIEKEMRELLEETCKNKKTMEAKIKQLAFALNEIQQDM
+>DECOY_sp|Q9C099|LRCC1_HUMAN Leucine-rich repeat and coiled-coil domain-containing protein 1 OS=Homo sapiens OX=9606 GN=LRRCC1 PE=1 SV=2
+MDQQIENLAFALQKIKAEMTKKNKCTEELLERMEKEIQHVKLNASDICKQKENALQAIEAQHAEVIADVQRKFADDMSHLKEMANKQLEISKDREAKLKKEKNEFKEKVEKVQTELHCLLEGKDHWKRNLTSYAKRIEELEVEKGKLQQKLKEKRENHRELKELVEDLQSSKEDLQEQTCKEIETIKEQLRKIHEDKEQLCDKLKRITETQDDIIKCKIRLADSDSENTKRLCENERSLSEIQAELKGRNQALSSGQQALEHGWVKRETRLGFILSEKAALESILQVKEHKLLEIQISKQKDDEILIELTNIQNQLNAATEQKLKSVEALKTKQEKVMCTLDKILSSSENEKRQAWILAHKSKALETAVNEFGDKVDQFRRAEVTLAIRFENELDMYQQSLVDIKEQYEKIMQEHKKEEKAIEKALADQFDADTFFERTELEKRHEQEKLALLQHLQQAQERERHLSTRLLYIERDAASAKLEVEQILRIQAAQQQRKQREMKELTKELTRLHKLHDEQQDKAKMLEVTLKKIENQLKHVMVELQGKSNRERFIIEKLRDTTLLALSHIDEKENAHKHLEDIYDMLKNEAQEARWRKEREQDLQEVLSQYTNDESHSQDVKIIETKPKESEQLMPCNALSSSVYLEKLYPPKMKRNCSVFSNYNKNHHKITQITKADYPIKSRRPVKRNCEKRNGYDSESTIDTDRKPRPDKELVNDISNSTENLLQLIQDDLKQLKIENQLDNEHNNKEPESSQCVSMFSTLVADSPTSAFQELPVLEDIPATIVPMRDIIEDESINLPSDSSVLNDLLGELCQLQSSNIETLNVPEGFINKCDLIRLQPLTQLIVARYGPLRCVPNDDGDKELILNTLFHLGVMCQLLHHISDIRNSHLDIYRLKHKIGHLPILGSLDDIHNYSVNLRTLNILEELGEVKTILNCSLNLTCLKTLTNLGEIRSIQNSSLDLHQLNWIHDIAEIKSINNCHLNVAHLTSDLSLESISQLGKDMFCVDGCSSDGDENEVEAEAAVVAAAAEM
+>sp|A6NCL2|LRCL1_HUMAN Leucine-rich colipase-like protein 1 OS=Homo sapiens OX=9606 GN=LRCOL1 PE=2 SV=3
+MAGPGWTLLLLLLLLLLLGSMAGYGPQKKLNLSHKGIGEPCRRHEECQSNCCTINSLAPHTLCTPKTIFLQCLPWRKPNGYRCSHDSECQSSCCVRNNSPQELCTPQSVFLQCVPWRKPNGDFCSSHQECHSQCCIQLREYSPFRCIPRTGILAQCLPL
+>DECOY_sp|A6NCL2|LRCL1_HUMAN Leucine-rich colipase-like protein 1 OS=Homo sapiens OX=9606 GN=LRCOL1 PE=2 SV=3
+LPLCQALIGTRPICRFPSYERLQICCQSHCEQHSSCFDGNPKRWPVCQLFVSQPTCLEQPSNNRVCCSSQCESDHSCRYGNPKRWPLCQLFITKPTCLTHPALSNITCCNSQCEEHRRCPEGIGKHSLNLKKQPGYGAMSGLLLLLLLLLLLTWGPGAM
+>sp|Q96NI6|LRFN5_HUMAN Leucine-rich repeat and fibronectin type-III domain-containing protein 5 OS=Homo sapiens OX=9606 GN=LRFN5 PE=1 SV=2
+MEKILFYLFLIGIAVKAQICPKRCVCQILSPNLATLCAKKGLLFVPPNIDRRTVELRLADNFVTNIKRKDFANMTSLVDLTLSRNTISFITPHAFADLRNLRALHLNSNRLTKITNDMFSGLSNLHHLILNNNQLTLISSTAFDDVFALEELDLSYNNLETIPWDAVEKMVSLHTLSLDHNMIDNIPKGTFSHLHKMTRLDVTSNKLQKLPPDPLFQRAQVLATSGIISPSTFALSFGGNPLHCNCELLWLRRLSREDDLETCASPPLLTGRYFWSIPEEEFLCEPPLITRHTHEMRVLEGQRATLRCKARGDPEPAIHWISPEGKLISNATRSLVYDNGTLDILITTVKDTGAFTCIASNPAGEATQIVDLHIIKLPHLLNSTNHIHEPDPGSSDISTSTKSGSNTSSSNGDTKLSQDKIVVAEATSSTALLKFNFQRNIPGIRMFQIQYNGTYDDTLVYRMIPPTSKTFLVNNLAAGTMYDLCVLAIYDDGITSLTATRVVGCIQFTTEQDYVRCHFMQSQFLGGTMIIIIGGIIVASVLVFIIILMIRYKVCNNNGQHKVTKVSNVYSQTNGAQIQGCSVTLPQSVSKQAVGHEENAQCCKATSDNVIQSSETCSSQDSSTTTSALPPSWTSSTSVSQKQKRKTGTKPSTEPQNEAVTNVESQNTNRNNSTALQLASRPPDSVTEGPTSKRAHIKPNALLTNVDQIVQETQRLELI
+>DECOY_sp|Q96NI6|LRFN5_HUMAN Leucine-rich repeat and fibronectin type-III domain-containing protein 5 OS=Homo sapiens OX=9606 GN=LRFN5 PE=1 SV=2
+ILELRQTEQVIQDVNTLLANPKIHARKSTPGETVSDPPRSALQLATSNNRNTNQSEVNTVAENQPETSPKTGTKRKQKQSVSTSSTWSPPLASTTTSSDQSSCTESSQIVNDSTAKCCQANEEHGVAQKSVSQPLTVSCGQIQAGNTQSYVNSVKTVKHQGNNNCVKYRIMLIIIFVLVSAVIIGGIIIIMTGGLFQSQMFHCRVYDQETTFQICGVVRTATLSTIGDDYIALVCLDYMTGAALNNVLFTKSTPPIMRYVLTDDYTGNYQIQFMRIGPINRQFNFKLLATSSTAEAVVIKDQSLKTDGNSSSTNSGSKTSTSIDSSGPDPEHIHNTSNLLHPLKIIHLDVIQTAEGAPNSAICTFAGTDKVTTILIDLTGNDYVLSRTANSILKGEPSIWHIAPEPDGRAKCRLTARQGELVRMEHTHRTILPPECLFEEEPISWFYRGTLLPPSACTELDDERSLRRLWLLECNCHLPNGGFSLAFTSPSIIGSTALVQARQFLPDPPLKQLKNSTVDLRTMKHLHSFTGKPINDIMNHDLSLTHLSVMKEVADWPITELNNYSLDLEELAFVDDFATSSILTLQNNNLILHHLNSLGSFMDNTIKTLRNSNLHLARLNRLDAFAHPTIFSITNRSLTLDVLSTMNAFDKRKINTVFNDALRLEVTRRDINPPVFLLGKKACLTALNPSLIQCVCRKPCIQAKVAIGILFLYFLIKEM
+>sp|A6NIV6|LRIQ4_HUMAN Leucine-rich repeat and IQ domain-containing protein 4 OS=Homo sapiens OX=9606 GN=LRRIQ4 PE=4 SV=2
+MSKDIKSVEHSPKIHQRNDPQHVNDRTFFIDASNQSLTAIPLEIFTFTELEEVHLENNQIEEIPQEIQRLKNIRVLYLDKNNLRSLCPALGLLSSLESLDLSYNPIFSSSLVVVSFLHALRELRLYQTDLKEIPVVIFKNLHHLELLGLTGNHLKCLPKEIVNQTKLREIYLKRNQFEVFPQELCVLYTLEIIDLDENKIGAIPEEIGHLTGLQKFYMASNNLPVLPASLCQCSQLSVLDLSHNLLHSIPKSFAELRKMTEIGLSGNRLEKVPRLICRWTSLHLLYLGNTGLHRLRGSFRCLVNLRFLDLSQNHLHHCPLQICALKNLEVLGLDDNKIGQLPSELGSLSKLKILGLTGNEFLSFPEEVLSLASLEKLYIGQDQGFKLTYVPEHIRKLQSLKELYIENNHLEYLPVSLGSMPNLEVLDCRHNLLKQLPDAICQAQALKELRLEDNLLTHLPENLDSLVNLKVLTLMDNPMEEPPKEVCAEGNEAIWKYLKENRNRNIMATKIQAWWRGTMVQRGFGKFGELLKPQKKGKTSPKDKKGKKDVKGKPGKGKKK
+>DECOY_sp|A6NIV6|LRIQ4_HUMAN Leucine-rich repeat and IQ domain-containing protein 4 OS=Homo sapiens OX=9606 GN=LRRIQ4 PE=4 SV=2
+KKKGKGPKGKVDKKGKKDKPSTKGKKQPKLLEGFKGFGRQVMTGRWWAQIKTAMINRNRNEKLYKWIAENGEACVEKPPEEMPNDMLTLVKLNVLSDLNEPLHTLLNDELRLEKLAQAQCIADPLQKLLNHRCDLVELNPMSGLSVPLYELHNNEIYLEKLSQLKRIHEPVYTLKFGQDQGIYLKELSALSLVEEPFSLFENGTLGLIKLKSLSGLESPLQGIKNDDLGLVELNKLACIQLPCHHLHNQSLDLFRLNVLCRFSGRLRHLGTNGLYLLHLSTWRCILRPVKELRNGSLGIETMKRLEAFSKPISHLLNHSLDLVSLQSCQCLSAPLVPLNNSAMYFKQLGTLHGIEEPIAGIKNEDLDIIELTYLVCLEQPFVEFQNRKLYIERLKTQNVIEKPLCKLHNGTLGLLELHHLNKFIVVPIEKLDTQYLRLERLAHLFSVVVLSSSFIPNYSLDLSELSSLLGLAPCLSRLNNKDLYLVRINKLRQIEQPIEEIQNNELHVEELETFTFIELPIATLSQNSADIFFTRDNVHQPDNRQHIKPSHEVSKIDKSM
+>sp|Q3SXY7|LRIT3_HUMAN Leucine-rich repeat, immunoglobulin-like domain and transmembrane domain-containing protein 3 OS=Homo sapiens OX=9606 GN=LRIT3 PE=1 SV=3
+MHLFACLCIVLSFLEGVGCLCPSQCTCDYHGRNDGSGSRLVLCNDMDMNELPTNLPVDTVKLRIEKTVIRRISAEAFYYLVELQYLWVTYNSVASIDPSSFYNLKQLHELRLDGNSLAAFPWASLLDMPLLRTLDLHNNKITSVPNEALRYLKNLAYLDLSSNRLTTLPPDFLESWTHLVSTPSGVLDLSPSRIILGLQDNPWFCDCHISKMIELSKVVDPAIVLLDPLMTCSEPERLTGILFQRAELEHCLKPSVMTSATKIMSALGSNVLLRCDATGFPTPQITWTRSDSSPVNYTVIQESPEEGVRWSIMSLTGISSKDAGDYKCKAKNLAGMSEAVVTVTVLGITTTPIPPDTSERTGDHPEWDVQPGSGRSTSVSSASSYLWSSSFSPTSSFSASTLSPPSTASFSLSPFSSSTVSSTTTLSTSISASTTMANKRSFQLHQGGKRNLKVAKNGSKLPPASTSKKEELALLDQTMLTETNAAIENLRVVSETKESVTLTWNMINTTHNSAVTVLYSKYGGKDLLLLNADSSKNQVTIDGLEPGGQYMACVCPKGVPPQKDQCITFSTERVEGDDSQWSLLLVVTSTACVVILPLICFLLYKVCKLQCKSEPFWEDDLAKETYIQFETLFPRSQSVGELWTRSHRDDSEKLLLCSRSSVESQVTFKSEGSRPEYYC
+>DECOY_sp|Q3SXY7|LRIT3_HUMAN Leucine-rich repeat, immunoglobulin-like domain and transmembrane domain-containing protein 3 OS=Homo sapiens OX=9606 GN=LRIT3 PE=1 SV=3
+CYYEPRSGESKFTVQSEVSSRSCLLLKESDDRHSRTWLEGVSQSRPFLTEFQIYTEKALDDEWFPESKCQLKCVKYLLFCILPLIVVCATSTVVLLLSWQSDDGEVRETSFTICQDKQPPVGKPCVCAMYQGGPELGDITVQNKSSDANLLLLDKGGYKSYLVTVASNHTTNIMNWTLTVSEKTESVVRLNEIAANTETLMTQDLLALEEKKSTSAPPLKSGNKAVKLNRKGGQHLQFSRKNAMTTSASISTSLTTTSSVTSSSFPSLSFSATSPPSLTSASFSSTPSFSSSWLYSSASSVSTSRGSGPQVDWEPHDGTRESTDPPIPTTTIGLVTVTVVAESMGALNKAKCKYDGADKSSIGTLSMISWRVGEEPSEQIVTYNVPSSDSRTWTIQPTPFGTADCRLLVNSGLASMIKTASTMVSPKLCHELEARQFLIGTLREPESCTMLPDLLVIAPDVVKSLEIMKSIHCDCFWPNDQLGLIIRSPSLDLVGSPTSVLHTWSELFDPPLTTLRNSSLDLYALNKLYRLAENPVSTIKNNHLDLTRLLPMDLLSAWPFAALSNGDLRLEHLQKLNYFSSPDISAVSNYTVWLYQLEVLYYFAEASIRRIVTKEIRLKVTDVPLNTPLENMDMDNCLVLRSGSGDNRGHYDCTCQSPCLCGVGELFSLVICLCAFLHM
+>sp|Q7Z4F1|LRP10_HUMAN Low-density lipoprotein receptor-related protein 10 OS=Homo sapiens OX=9606 GN=LRP10 PE=1 SV=2
+MLLATLLLLLLGGALAHPDRIIFPNHACEDPPAVLLEVQGTLQRPLVRDSRTSPANCTWLILGSKEQTVTIRFQKLHLACGSERLTLRSPLQPLISLCEAPPSPLQLPGGNVTITYSYAGARAPMGQGFLLSYSQDWLMCLQEEFQCLNHRCVSAVQRCDGVDACGDGSDEAGCSSDPFPGLTPRPVPSLPCNVTLEDFYGVFSSPGYTHLASVSHPQSCHWLLDPHDGRRLAVRFTALDLGFGDAVHVYDGPGPPESSRLLRSLTHFSNGKAVTVETLSGQAVVSYHTVAWSNGRGFNATYHVRGYCLPWDRPCGLGSGLGAGEGLGERCYSEAQRCDGSWDCADGTDEEDCPGCPPGHFPCGAAGTSGATACYLPADRCNYQTFCADGADERRCRHCQPGNFRCRDEKCVYETWVCDGQPDCADGSDEWDCSYVLPRKVITAAVIGSLVCGLLLVIALGCTCKLYAIRTQEYSIFAPLSRMEAEIVQQQAPPSYGQLIAQGAIPPVEDFPTENPNDNSVLGNLRSLLQILRQDMTPGGGPGARRRQRGRLMRRLVRRLRRWGLLPRTNTPARASEARSQVTPSAAPLEALDGGTGPAREGGAVGGQDGEQAPPLPIKAPLPSASTSPAPTTVPEAPGPLPSLPLEPSLLSGVVQALRGRLLPSLGPPGPTRSPPGPHTAVLALEDEDDVLLVPLAEPGVWVAEAEDEPLLT
+>DECOY_sp|Q7Z4F1|LRP10_HUMAN Low-density lipoprotein receptor-related protein 10 OS=Homo sapiens OX=9606 GN=LRP10 PE=1 SV=2
+TLLPEDEAEAVWVGPEALPVLLVDDEDELALVATHPGPPSRTPGPPGLSPLLRGRLAQVVGSLLSPELPLSPLPGPAEPVTTPAPSTSASPLPAKIPLPPAQEGDQGGVAGGERAPGTGGDLAELPAASPTVQSRAESARAPTNTRPLLGWRRLRRVLRRMLRGRQRRRAGPGGGPTMDQRLIQLLSRLNGLVSNDNPNETPFDEVPPIAGQAILQGYSPPAQQQVIEAEMRSLPAFISYEQTRIAYLKCTCGLAIVLLLGCVLSGIVAATIVKRPLVYSCDWEDSGDACDPQGDCVWTEYVCKEDRCRFNGPQCHRCRREDAGDACFTQYNCRDAPLYCATAGSTGAAGCPFHGPPCGPCDEEDTGDACDWSGDCRQAESYCREGLGEGAGLGSGLGCPRDWPLCYGRVHYTANFGRGNSWAVTHYSVVAQGSLTEVTVAKGNSFHTLSRLLRSSEPPGPGDYVHVADGFGLDLATFRVALRRGDHPDLLWHCSQPHSVSALHTYGPSSFVGYFDELTVNCPLSPVPRPTLGPFPDSSCGAEDSGDGCADVGDCRQVASVCRHNLCQFEEQLCMLWDQSYSLLFGQGMPARAGAYSYTITVNGGPLQLPSPPAECLSILPQLPSRLTLRESGCALHLKQFRITVTQEKSGLILWTCNAPSTRSDRVLPRQLTGQVELLVAPPDECAHNPFIIRDPHALAGGLLLLLLTALLM
+>sp|Q9NZR2|LRP1B_HUMAN Low-density lipoprotein receptor-related protein 1B OS=Homo sapiens OX=9606 GN=LRP1B PE=1 SV=2
+MSEFLLALLTLSGLLPIARVLTVGADRDQQLCDPGEFLCHDHVTCVSQSWLCDGDPDCPDDSDESLDTCPEEVEIKCPLNHIACLGTNKCVHLSQLCNGVLDCPDGYDEGVHCQELLSNCQQLNCQYKCTMVRNSTRCYCEDGFEITEDGRSCKDQDECAVYGTCSQTCRNTHGSYTCSCVEGYLMQPDNRSCKAKIEPTDRPPILLIANFETIEVFYLNGSKMATLSSVNGNEIHTLDFIYNEDMICWIESRESSNQLKCIQITKAGGLTDEWTINILQSFHNVQQMAIDWLTRNLYFVDHVGDRIFVCNSNGSVCVTLIDLELHNPKAIAVDPIAGKLFFTDYGNVAKVERCDMDGMNRTRIIDSKTEQPAALALDLVNKLVYWVDLYLDYVGVVDYQGKNRHTVIQGRQVRHLYGITVFEDYLYATNSDNYNIVRINRFNGTDIHSLIKIENAWGIRIYQKRTQPTVRSHACEVDPYGMPGGCSHICLLSSSYKTRTCRCRTGFNLGSDGRSCKRPKNELFLFYGKGRPGIVRGMDLNTKIADEYMIPIENLVNPRALDFHAETNYIYFADTTSFLIGRQKIDGTERETILKDDLDNVEGIAVDWIGNNLYWTNDGHRKTINVARLEKASQSRKTLLEGEMSHPRGIVVDPVNGWMYWTDWEEDEIDDSVGRIEKAWMDGFNRQIFVTSKMLWPNGLTLDFHTNTLYWCDAYYDHIEKVFLNGTHRKIVYSGRELNHPFGLSHHGNYVFWTDYMNGSIFQLDLITSEVTLLRHERPPLFGLQIYDPRKQQGDNMCRVNNGGCSTLCLAIPGGRVCACADNQLLDENGTTCTFNPGEALPHICKAGEFRCKNRHCIQARWKCDGDDDCLDGSDEDSVNCFNHSCPDDQFKCQNNRCIPKRWLCDGANDCGSNEDESNQTCTARTCQVDQFSCGNGRCIPRAWLCDREDDCGDQTDEMASCEFPTCEPLTQFVCKSGRCISSKWHCDSDDDCGDGSDEVGCVHSCFDNQFRCSSGRCIPGHWACDGDNDCGDFSDEAQINCTKEEIHSPAGCNGNEFQCHPDGNCVPDLWRCDGEKDCEDGSDEKGCNGTIRLCDHKTKFSCWSTGRCINKAWVCDGDIDCEDQSDEDDCDSFLCGPPKHPCANDTSVCLQPEKLCNGKKDCPDGSDEGYLCDECSLNNGGCSNHCSVVPGRGIVCSCPEGLQLNKDNKTCEIVDYCSNHLKCSQVCEQHKHTVKCSCYEGWKLDVDGESCTSVDPFEAFIIFSIRHEIRRIDLHKRDYSLLVPGLRNTIALDFHFNQSLLYWTDVVEDRIYRGKLSESGGVSAIEVVVEHGLATPEGLTVDWIAGNIYWIDSNLDQIEVAKLDGSLRTTLIAGAMEHPRAIALDPRYGILFWTDWDANFPRIESASMSGAGRKTIYKDMKTGAWPNGLTVDHFEKRIVWTDARSDAIYSALYDGTNMIEIIRGHEYLSHPFAVSLYGSEVYWTDWRTNTLSKANKWTGQNVSVIQKTSAQPFDLQIYHPSRQPQAPNPCAANDGKGPCSHMCLINHNRSAACACPHLMKLSSDKKTCYEMKKFLLYARRSEIRGVDIDNPYFNFITAFTVPDIDDVTVIDFDASEERLYWTDIKTQTIKRAFINGTGLETVISRDIQSIRGLAVDWVSRNLYWISSEFDETQINVARLDGSLKTSIIHGIDKPQCLAAHPVRGKLYWTDGNTINMANMDGSNSKILFQNQKEPVGLSIDYVENKLYWISSGNGTINRCNLDGGNLEVIESMKEELTKATALTIMDKKLWWADQNLAQLGTCSKRDGRNPTILRNKTSGVVHMKVYDKEAQQGSNSCQLNNGGCSQLCLPTSETTRTCMCTVGYYLQKNRMSCQGIESFLMYSVHEGIRGIPLEPSDKMDALMPISGTSFAVGIDFHAENDTIYWTDMGFNKISRAKRDQTWKEDIITNGLGRVEGIAVDWIAGNIYWTDHGFNLIEVARLNGSFRYVIISQGLDQPRSIAVHPEKGLLFWTEWGQMPCIGKARLDGSEKVVLVSMGIAWPNGISIDYEENKLYWCDARTDKIERIDLETGGNREMVLSGSNVDMFSVAVFGAYIYWSDRAHANGSVRRGHKNDATETITMRTGLGVNLKEVKIFNRVREKGTNVCARDNGGCKQLCLYRGNSRRTCACAHGYLAEDGVTCLRHEGYLLYSGRTILKSIHLSDETNLNSPIRPYENPRYFKNVIALAFDYNQRRKGTNRIFYSDAHFGNIQLIKDNWEDRQVIVENVGSVEGLAYHRAWDTLYWTSSTTSSITRHTVDQTRPGAFDREAVITMSEDDHPHVLALDECQNLMFWTNWNEQHPSIMRSTLTGKNAQVVVSTDILTPNGLTIDYRAEKLYFSDGSLGKIERCEYDGSQRHVIVKSGPGTFLSLAVYDNYIFWSDWGRRAILRSNKYTGGDTKILRSDIPHQPMGIIAVANDTNSCELSPCALLNGGCHDLCLLTPNGRVNCSCRGDRILLEDNRCVTKNSSCNAYSEFECGNGECIDYQLTCDGIPHCKDKSDEKLLYCENRSCRRGFKPCYNRRCIPHGKLCDGENDCGDNSDELDCKVSTCATVEFRCADGTCIPRSARCNQNIDCADASDEKNCNNTDCTHFYKLGVKTTGFIRCNSTSLCVLPTWICDGSNDCGDYSDELKCPVQNKHKCEENYFSCPSGRCILNTWICDGQKDCEDGRDEFHCDSSCSWNQFACSAQKCISKHWICDGEDDCGDGLDESDSICGAITCAADMFSCQGSRACVPRHWLCDGERDCPDGSDELSTAGCAPNNTCDENAFMCHNKVCIPKQFVCDHDDDCGDGSDESPQCGYRQCGTEEFSCADGRCLLNTQWQCDGDFDCPDHSDEAPLNPKCKSAEQSCNSSFFMCKNGRCIPSGGLCDNKDDCGDGSDERNCHINECLSKKVSGCSQDCQDLPVSYKCKCWPGFQLKDDGKTCVDIDECSSGFPCSQQCINTYGTYKCLCTDGYEIQPDNPNGCKSLSDEEPFLILADHHEIRKISTDGSNYTLLKQGLNNVIAIDFDYREEFIYWIDSSRPNGSRINRMCLNGSDIKVVHNTAVPNALAVDWIGKNLYWSDTEKRIIEVSKLNGLYPTILVSKRLKFPRDLSLDPQAGYLYWIDCCEYPHIGRVGMDGTNQSVVIETKISRPMALTIDYVNRRLYWADENHIEFSNMDGSHRHKVPNQDIPGVIALTLFEDYIYWTDGKTKSLSRAHKTSGADRLSLIYSWHAITDIQVYHSYRQPDVSKHLCMINNGGCSHLCLLAPGKTHTCACPTNFYLAADNRTCLSNCTASQFRCKTDKCIPFWWKCDTVDDCGDGSDEPDDCPEFRCQPGRFQCGTGLCALPAFICDGENDCGDNSDELNCDTHVCLSGQFKCTKNQKCIPVNLRCNGQDDCGDEEDERDCPENSCSPDYFQCKTTKHCISKLWVCDEDPDCADASDEANCDKKTCGPHEFQCKNNNCIPDHWRCDSQNDCSDNSDEENCKPQTCTLKDFLCANGDCVSSRFWCDGDFDCADGSDERNCETSCSKDQFRCSNGQCIPAKWKCDGHEDCKYGEDEKSCEPASPTCSSREYICASDGCISASLKCNGEYDCADGSDEMDCVTECKEDQFRCKNKAHCIPIRWLCDGIHDCVDGSDEENCERGGNICRADEFLCNNSLCKLHFWVCDGEDDCGDNSDEAPDMCVKFLCPSTRPHRCRNNRICLQSEQMCNGIDECGDNSDEDHCGGKLTYKARPCKKDEFACSNKKCIPMDLQCDRLDDCGDGSDEQGCRIAPTEYTCEDNVNPCGDDAYCNQIKTSVFCRCKPGFQRNMKNRQCEDLNECLVFGTCSHQCINVEGSYKCVCDQNFQERNNTCIAEGSEDQVLYIANDTDILGFIYPFNYSGDHQQISHIEHNSRITGMDVYYQRDMIIWSTQFNPGGIFYKRIHGREKRQANSGLICPEFKRPRDIAVDWVAGNIYWTDHSRMHWFSYYTTHWTSLRYSINVGQLNGPNCTRLLTNMAGEPYAIAVNPKRGMMYWTVVGDHSHIEEAAMDGTLRRILVQKNLQRPTGLAVDYFSERIYWADFELSIIGSVLYDGSNSVVSVSSKQGLLHPHRIDIFEDYIYGAGPKNGVFRVQKFGHGSVEYLALNIDKTKGVLISHRYKQLDLPNPCLDLACEFLCLLNPSGATCVCPEGKYLINGTCNDDSLLDDSCKLTCENGGRCILNEKGDLRCHCWPSYSGERCEVNHCSNYCQNGGTCVPSVLGRPTCSCALGFTGPNCGKTVCEDFCQNGGTCIVTAGNQPYCHCQPEYTGDRCQYYVCHHYCVNSESCTIGDDGSVECVCPTRYEGPKCEVDKCVRCHGGHCIINKDSEDIFCNCTNGKIASSCQLCDGYCYNGGTCQLDPETNVPVCLCSTNWSGTQCERPAPKSSKSDHISTRSIAIIVPLVLLVTLITTLVIGLVLCKRKRRTKTIRRQPIINGGINVEIGNPSYNMYEVDHDHNDGGLLDPGFMIDPTKARYIGGGPSAFKLPHTAPPIYLNSDLKGPLTAGPTNYSNPVYAKLYMDGQNCRNSLGSVDERKELLPKKIEIGIRETVA
+>DECOY_sp|Q9NZR2|LRP1B_HUMAN Low-density lipoprotein receptor-related protein 1B OS=Homo sapiens OX=9606 GN=LRP1B PE=1 SV=2
+AVTERIGIEIKKPLLEKREDVSGLSNRCNQGDMYLKAYVPNSYNTPGATLPGKLDSNLYIPPATHPLKFASPGGGIYRAKTPDIMFGPDLLGGDNHDHDVEYMNYSPNGIEVNIGGNIIPQRRITKTRRKRKCLVLGIVLTTILTVLLVLPVIIAISRTSIHDSKSSKPAPRECQTGSWNTSCLCVPVNTEPDLQCTGGNYCYGDCLQCSSAIKGNTCNCFIDESDKNIICHGGHCRVCKDVECKPGEYRTPCVCEVSGDDGITCSESNVCYHHCVYYQCRDGTYEPQCHCYPQNGATVICTGGNQCFDECVTKGCNPGTFGLACSCTPRGLVSPVCTGGNQCYNSCHNVECREGSYSPWCHCRLDGKENLICRGGNECTLKCSDDLLSDDNCTGNILYKGEPCVCTAGSPNLLCLFECALDLCPNPLDLQKYRHSILVGKTKDINLALYEVSGHGFKQVRFVGNKPGAGYIYDEFIDIRHPHLLGQKSSVSVVSNSGDYLVSGIISLEFDAWYIRESFYDVALGTPRQLNKQVLIRRLTGDMAAEEIHSHDGVVTWYMMGRKPNVAIAYPEGAMNTLLRTCNPGNLQGVNISYRLSTWHTTYYSFWHMRSHDTWYINGAVWDVAIDRPRKFEPCILGSNAQRKERGHIRKYFIGGPNFQTSWIIMDRQYYVDMGTIRSNHEIHSIQQHDGSYNFPYIFGLIDTDNAIYLVQDESGEAICTNNREQFNQDCVCKYSGEVNICQHSCTGFVLCENLDECQRNKMNRQFGPKCRCFVSTKIQNCYADDGCPNVNDECTYETPAIRCGQEDSGDGCDDLRDCQLDMPICKKNSCAFEDKKCPRAKYTLKGGCHDEDSNDGCEDIGNCMQESQLCIRNNRCRHPRTSPCLFKVCMDPAEDSNDGCDDEGDCVWFHLKCLSNNCLFEDARCINGGRECNEEDSGDVCDHIGDCLWRIPICHAKNKCRFQDEKCETVCDMEDSGDACDYEGNCKLSASICGDSACIYERSSCTPSAPECSKEDEGYKCDEHGDCKWKAPICQGNSCRFQDKSCSTECNREDSGDACDFDGDCWFRSSVCDGNACLFDKLTCTQPKCNEEDSNDSCDNQSDCRWHDPICNNNKCQFEHPGCTKKDCNAEDSADACDPDEDCVWLKSICHKTTKCQFYDPSCSNEPCDREDEEDGCDDQGNCRLNVPICKQNKTCKFQGSLCVHTDCNLEDSNDGCDNEGDCIFAPLACLGTGCQFRGPQCRFEPCDDPEDSGDGCDDVTDCKWWFPICKDTKCRFQSATCNSLCTRNDAALYFNTPCACTHTKGPALLCLHSCGGNNIMCLHKSVDPQRYSHYVQIDTIAHWSYILSLRDAGSTKHARSLSKTKGDTWYIYDEFLTLAIVGPIDQNPVKHRHSGDMNSFEIHNEDAWYLRRNVYDITLAMPRSIKTEIVVSQNTGDMGVRGIHPYECCDIWYLYGAQPDLSLDRPFKLRKSVLITPYLGNLKSVEIIRKETDSWYLNKGIWDVALANPVATNHVVKIDSGNLCMRNIRSGNPRSSDIWYIFEERYDFDIAIVNNLGQKLLTYNSGDTSIKRIEHHDALILFPEEDSLSKCGNPNDPQIEYGDTCLCKYTGYTNICQQSCPFGSSCEDIDVCTKGDDKLQFGPWCKCKYSVPLDQCDQSCGSVKKSLCENIHCNREDSGDGCDDKNDCLGGSPICRGNKCMFFSSNCSQEASKCKPNLPAEDSHDPCDFDGDCQWQTNLLCRGDACSFEETGCQRYGCQPSEDSGDGCDDDHDCVFQKPICVKNHCMFANEDCTNNPACGATSLEDSGDPCDREGDCLWHRPVCARSGQCSFMDAACTIAGCISDSEDLGDGCDDEGDCIWHKSICKQASCAFQNWSCSSDCHFEDRGDECDKQGDCIWTNLICRGSPCSFYNEECKHKNQVPCKLEDSYDGCDNSGDCIWTPLVCLSTSNCRIFGTTKVGLKYFHTCDTNNCNKEDSADACDINQNCRASRPICTGDACRFEVTACTSVKCDLEDSNDGCDNEGDCLKGHPICRRNYCPKFGRRCSRNECYLLKEDSKDKCHPIGDCTLQYDICEGNGCEFESYANCSSNKTVCRNDELLIRDGRCSCNVRGNPTLLCLDHCGGNLLACPSLECSNTDNAVAIIGMPQHPIDSRLIKTDGGTYKNSRLIARRGWDSWFIYNDYVALSLFTGPGSKVIVHRQSGDYECREIKGLSGDSFYLKEARYDITLGNPTLIDTSVVVQANKGTLTSRMISPHQENWNTWFMLNQCEDLALVHPHDDESMTIVAERDFAGPRTQDVTHRTISSTTSSTWYLTDWARHYALGEVSGVNEVIVQRDEWNDKILQINGFHADSYFIRNTGKRRQNYDFALAIVNKFYRPNEYPRIPSNLNTEDSLHISKLITRGSYLLYGEHRLCTVGDEALYGHACACTRRSNGRYLCLQKCGGNDRACVNTGKERVRNFIKVEKLNVGLGTRMTITETADNKHGRRVSGNAHARDSWYIYAGFVAVSFMDVNSGSLVMERNGGTELDIREIKDTRADCWYLKNEEYDISIGNPWAIGMSVLVVKESGDLRAKGICPMQGWETWFLLGKEPHVAISRPQDLGQSIIVYRFSGNLRAVEILNFGHDTWYINGAIWDVAIGEVRGLGNTIIDEKWTQDRKARSIKNFGMDTWYITDNEAHFDIGVAFSTGSIPMLADMKDSPELPIGRIGEHVSYMLFSEIGQCSMRNKQLYYGVTCMCTRTTESTPLCLQSCGGNNLQCSNSGQQAEKDYVKMHVVGSTKNRLITPNRGDRKSCTGLQALNQDAWWLKKDMITLATAKTLEEKMSEIVELNGGDLNCRNITGNGSSIWYLKNEVYDISLGVPEKQNQFLIKSNSGDMNAMNITNGDTWYLKGRVPHAALCQPKDIGHIISTKLSGDLRAVNIQTEDFESSIWYLNRSVWDVALGRISQIDRSIVTELGTGNIFARKITQTKIDTWYLREESADFDIVTVDDIDPVTFATIFNFYPNDIDVGRIESRRAYLLFKKMEYCTKKDSSLKMLHPCACAASRNHNILCMHSCPGKGDNAACPNPAQPQRSPHYIQLDFPQASTKQIVSVNQGTWKNAKSLTNTRWDTWYVESGYLSVAFPHSLYEHGRIIEIMNTGDYLASYIADSRADTWVIRKEFHDVTLGNPWAGTKMDKYITKRGAGSMSASEIRPFNADWDTWFLIGYRPDLAIARPHEMAGAILTTRLSGDLKAVEIQDLNSDIWYINGAIWDVTLGEPTALGHEVVVEIASVGGSESLKGRYIRDEVVDTWYLLSQNFHFDLAITNRLGPVLLSYDRKHLDIRRIEHRISFIIFAEFPDVSTCSEGDVDLKWGEYCSCKVTHKHQECVQSCKLHNSCYDVIECTKNDKNLQLGEPCSCVIGRGPVVSCHNSCGGNNLSCEDCLYGEDSGDPCDKKGNCLKEPQLCVSTDNACPHKPPGCLFSDCDDEDSQDECDIDGDCVWAKNICRGTSWCSFKTKHDCLRITGNCGKEDSGDECDKEGDCRWLDPVCNGDPHCQFENGNCGAPSHIEEKTCNIQAEDSFDGCDNDGDCAWHGPICRGSSCRFQNDFCSHVCGVEDSGDGCDDDSDCHWKSSICRGSKCVFQTLPECTPFECSAMEDTQDGCDDERDCLWARPICRGNGCSFQDVQCTRATCTQNSEDENSGCDNAGDCLWRKPICRNNQCKFQDDPCSHNFCNVSDEDSGDLCDDDGDCKWRAQICHRNKCRFEGAKCIHPLAEGPNFTCTTGNEDLLQNDACACVRGGPIALCLTSCGGNNVRCMNDGQQKRPDYIQLGFLPPREHRLLTVESTILDLQFISGNMYDTWFVYNGHHSLGFPHNLERGSYVIKRHTGNLFVKEIHDYYADCWYLTNTHFDLTLGNPWLMKSTVFIQRNFGDMWAKEIRGVSDDIEDEEWDTWYMWGNVPDVVIGRPHSMEGELLTKRSQSAKELRAVNITKRHGDNTWYLNNGIWDVAIGEVNDLDDKLITERETGDIKQRGILFSTTDAFYIYNTEAHFDLARPNVLNEIPIMYEDAIKTNLDMGRVIGPRGKGYFLFLENKPRKCSRGDSGLNFGTRCRCTRTKYSSSLLCIHSCGGPMGYPDVECAHSRVTPQTRKQYIRIGWANEIKILSHIDTGNFRNIRVINYNDSNTAYLYDEFVTIGYLHRVQRGQIVTHRNKGQYDVVGVYDLYLDVWYVLKNVLDLALAAPQETKSDIIRTRNMGDMDCREVKAVNGYDTFFLKGAIPDVAIAKPNHLELDILTVCVSGNSNCVFIRDGVHDVFYLNRTLWDIAMQQVNHFSQLINITWEDTLGGAKTIQICKLQNSSERSEIWCIMDENYIFDLTHIENGNVSSLTAMKSGNLYFVEITEFNAILLIPPRDTPEIKAKCSRNDPQMLYGEVCSCTYSGHTNRCTQSCTGYVACEDQDKCSRGDETIEFGDECYCRTSNRVMTCKYQCNLQQCNSLLEQCHVGEDYGDPCDLVGNCLQSLHVCKNTGLCAIHNLPCKIEVEEPCTDLSEDSDDPCDPDGDCLWSQSVCTVHDHCLFEGPDCLQQDRDAGVTLVRAIPLLGSLTLLALLFESM
+>sp|Q8WUT4|LRRN4_HUMAN Leucine-rich repeat neuronal protein 4 OS=Homo sapiens OX=9606 GN=LRRN4 PE=1 SV=3
+MRQTLPLLLLTVLRPSWADPPQEKVPLFRVTQQGPWGSSGSNATDSPCEGLPAADATALTLANRNLERLPGCLPRTLRSLDASHNLLRALSTSELGHLEQLQVLTLRHNRIAALRWGPGGPAGLHTLDLSYNQLAALPPCTGPALSSLRALALAGNPLRALQPRAFACFPALQLLNLSCTALGRGAQGGIAEAAFAGEDGAPLVTLEVLDLSGTFLERVESGWIRDLPKLTSLYLRKMPRLTTLEGDIFKMTPNLQQLDCQDSPALASVATHIFQDTPHLQVLLFQNCNLSSFPPWTLDSSQVLSINLFGNPLTCSCDLSWLLTDAKRTVLSRAADTMCAPAAGSSGPFSASLSLSQLPGVCQSDQSTTLGASHPPCFNRSTYAQGTTVAPSAAPATRPAGDQQSVSKAPNVGSRTIAAWPHSDAREGTAPSTTNSVAGHSNSSVFPRAASTTRTQHRGEHAPELVLEPDISAASTPLASKLLGPFPTSWDRSISSPQPGQRTHATPQAPNPSLSEGEIPVLLLDDYSEEEEGRKEEVGTPHQDVPCDYHPCKHLQTPCAELQRRWRCRCPGLSGEDTIPDPPRLQGVTETTDTSALVHWCAPNSVVHGYQIRYSAEGWAGNQSVVGVIYATARQHPLYGLSPGTTYRVCVLAANRAGLSQPRSSGWRSPCAAFTTKPSFALLLSGLCAASGLLLASTVVLSACLCRRGQTLGLQRCDTHLVAYKNPAFDDYPLGLQTVS
+>DECOY_sp|Q8WUT4|LRRN4_HUMAN Leucine-rich repeat neuronal protein 4 OS=Homo sapiens OX=9606 GN=LRRN4 PE=1 SV=3
+SVTQLGLPYDDFAPNKYAVLHTDCRQLGLTQGRRCLCASLVVTSALLLGSAACLGSLLLAFSPKTTFAACPSRWGSSRPQSLGARNAALVCVRYTTGPSLGYLPHQRATAYIVGVVSQNGAWGEASYRIQYGHVVSNPACWHVLASTDTTETVGQLRPPDPITDEGSLGPCRCRWRRQLEACPTQLHKCPHYDCPVDQHPTGVEEKRGEEEESYDDLLLVPIEGESLSPNPAQPTAHTRQGPQPSSISRDWSTPFPGLLKSALPTSAASIDPELVLEPAHEGRHQTRTTSAARPFVSSNSHGAVSNTTSPATGERADSHPWAAITRSGVNPAKSVSQQDGAPRTAPAASPAVTTGQAYTSRNFCPPHSAGLTTSQDSQCVGPLQSLSLSASFPGSSGAAPACMTDAARSLVTRKADTLLWSLDCSCTLPNGFLNISLVQSSDLTWPPFSSLNCNQFLLVQLHPTDQFIHTAVSALAPSDQCDLQQLNPTMKFIDGELTTLRPMKRLYLSTLKPLDRIWGSEVRELFTGSLDLVELTVLPAGDEGAFAAEAIGGQAGRGLATCSLNLLQLAPFCAFARPQLARLPNGALALARLSSLAPGTCPPLAALQNYSLDLTHLGAPGGPGWRLAAIRNHRLTLVQLQELHGLESTSLARLLNHSADLSRLTRPLCGPLRELNRNALTLATADAAPLGECPSDTANSGSSGWPGQQTVRFLPVKEQPPDAWSPRLVTLLLLPLTQRM
+>sp|Q3MHD2|LSM12_HUMAN Protein LSM12 homolog OS=Homo sapiens OX=9606 GN=LSM12 PE=1 SV=2
+MAAPPGEYFSVGSQVSCRTCQEQRLQGEVVAFDYQSKMLALKCPSSSGKPNHADILLINLQYVSEVEIINDRTETPPPLASLNVSKLASKARTEKEEKLSQAYAISAGVSLEGQQLFQTIHKTIKDCKWQEKNIVVMEEVVITPPYQVENCKGKEGSALSHVRKIVEKHFRDVESQKILQRSQAQQPQKEAALSS
+>DECOY_sp|Q3MHD2|LSM12_HUMAN Protein LSM12 homolog OS=Homo sapiens OX=9606 GN=LSM12 PE=1 SV=2
+SSLAAEKQPQQAQSRQLIKQSEVDRFHKEVIKRVHSLASGEKGKCNEVQYPPTIVVEEMVVINKEQWKCDKITKHITQFLQQGELSVGASIAYAQSLKEEKETRAKSALKSVNLSALPPPTETRDNIIEVESVYQLNILLIDAHNPKGSSSPCKLALMKSQYDFAVVEGQLRQEQCTRCSVQSGVSFYEGPPAAM
+>sp|P62310|LSM3_HUMAN U6 snRNA-associated Sm-like protein LSm3 OS=Homo sapiens OX=9606 GN=LSM3 PE=1 SV=2
+MADDVDQQQTTNTVEEPLDLIRLSLDERIYVKMRNDRELRGRLHAYDQHLNMILGDVEETVTTIEIDEETYEEIYKSTKRNIPMLFVRGDGVVLVAPPLRVG
+>DECOY_sp|P62310|LSM3_HUMAN U6 snRNA-associated Sm-like protein LSm3 OS=Homo sapiens OX=9606 GN=LSM3 PE=1 SV=2
+GVRLPPAVLVVGDGRVFLMPINRKTSKYIEEYTEEDIEITTVTEEVDGLIMNLHQDYAHLRGRLERDNRMKVYIREDLSLRILDLPEEVTNTTQQQDVDDAM
+>sp|Q9Y4Y9|LSM5_HUMAN U6 snRNA-associated Sm-like protein LSm5 OS=Homo sapiens OX=9606 GN=LSM5 PE=1 SV=3
+MAANATTNPSQLLPLELVDKCIGSRIHIVMKSDKEIVGTLLGFDDFVNMVLEDVTEFEITPEGRRITKLDQILLNGNNITMLVPGGEGPEV
+>DECOY_sp|Q9Y4Y9|LSM5_HUMAN U6 snRNA-associated Sm-like protein LSm5 OS=Homo sapiens OX=9606 GN=LSM5 PE=1 SV=3
+VEPGEGGPVLMTINNGNLLIQDLKTIRRGEPTIEFETVDELVMNVFDDFGLLTGVIEKDSKMVIHIRSGICKDVLELPLLQSPNTTANAAM
+>sp|Q9BRA0|LSMD1_HUMAN N-alpha-acetyltransferase 38, NatC auxiliary subunit OS=Homo sapiens OX=9606 GN=NAA38 PE=1 SV=1
+MAGAGPTMLLREENGCCSRRQSSSSAGDSDGEREDSAAERARQQLEALLNKTMRIRMTDGRTLVGCFLCTDRDCNVILGSAQEFLKPSDSFSAGEPRVLGLAMVPGHHIVSIEVQRESLTGPPYL
+>DECOY_sp|Q9BRA0|LSMD1_HUMAN N-alpha-acetyltransferase 38, NatC auxiliary subunit OS=Homo sapiens OX=9606 GN=NAA38 PE=1 SV=1
+LYPPGTLSERQVEISVIHHGPVMALGLVRPEGASFSDSPKLFEQASGLIVNCDRDTCLFCGVLTRGDTMRIRMTKNLLAELQQRAREAASDEREGDSDGASSSSQRRSCCGNEERLLMTPGAGAM
+>sp|Q0VGL1|LTOR4_HUMAN Ragulator complex protein LAMTOR4 OS=Homo sapiens OX=9606 GN=LAMTOR4 PE=1 SV=1
+MTSALTQGLERIPDQLGYLVLSEGAVLASSGDLENDEQAASAISELVSTACGFRLHRGMNVPFKRLSVVFGEHTLLVTVSGQRVFVVKRQNRGREPIDV
+>DECOY_sp|Q0VGL1|LTOR4_HUMAN Ragulator complex protein LAMTOR4 OS=Homo sapiens OX=9606 GN=LAMTOR4 PE=1 SV=1
+VDIPERGRNQRKVVFVRQGSVTVLLTHEGFVVSLRKFPVNMGRHLRFGCATSVLESIASAAQEDNELDGSSALVAGESLVLYGLQDPIRELGQTLASTM
+>sp|Q8N653|LZTR1_HUMAN Leucine-zipper-like transcriptional regulator 1 OS=Homo sapiens OX=9606 GN=LZTR1 PE=1 SV=2
+MAGPGSTGGQIGAAALAGGARSKVAPSVDFDHSCSDSVEYLTLNFGPFETVHRWRRLPPCDEFVGARRSKHTVVAYKDAIYVFGGDNGKTMLNDLLRFDVKDCSWCRAFTTGTPPAPRYHHSAVVYGSSMFVFGGYTGDIYSNSNLKNKNDLFEYKFATGQWTEWKIEGRLPVARSAHGATVYSDKLWIFAGYDGNARLNDMWTIGLQDRELTCWEEVAQSGEIPPSCCNFPVAVCRDKMFVFSGQSGAKITNNLFQFEFKDKTWTRIPTEHLLRGSPPPPQRRYGHTMVAFDRHLYVFGGAADNTLPNELHCYDVDFQTWEVVQPSSDSEVGGAEVPERACASEEVPTLTYEERVGFKKSRDVFGLDFGTTSAKQPTQPASELPSGRLFHAAAVISDAMYIFGGTVDNNIRSGEMYRFQFSCYPKCTLHEDYGRLWESRQFCDVEFVLGEKEECVQGHVAIVTARSRWLRRKITQARERLAQKLEQEAAPVPREAPGVAAGGARPPLLHVAIREAEARPFEVLMQFLYTDKIKYPRKGHVEDVLLIMDVYKLALSFQLCRLEQLCRQYIEASVDLQNVLVVCESAARLQLSQLKEHCLNFVVKESHFNQVIMMKEFERLSSPLIVEIVRRKQQPPPRTPLDQPVDIGTSLIQDMKAYLEGAGAEFCDITLLLDGHPRPAHKAILAARSSYFEAMFRSFMPEDGQVNISIGEMVPSRQAFESMLRYIYYGEVNMPPEDSLYLFAAPYYYGFYNNRLQAYCKQNLEMNVTVQNVLQILEAADKTQALDMKRHCLHIIVHQFTKVSKLPTLRSLSQQLLLDIIDSLASHISDKQCAELGADI
+>DECOY_sp|Q8N653|LZTR1_HUMAN Leucine-zipper-like transcriptional regulator 1 OS=Homo sapiens OX=9606 GN=LZTR1 PE=1 SV=2
+IDAGLEACQKDSIHSALSDIIDLLLQQSLSRLTPLKSVKTFQHVIIHLCHRKMDLAQTKDAAELIQLVNQVTVNMELNQKCYAQLRNNYFGYYYPAAFLYLSDEPPMNVEGYYIYRLMSEFAQRSPVMEGISINVQGDEPMFSRFMAEFYSSRAALIAKHAPRPHGDLLLTIDCFEAGAGELYAKMDQILSTGIDVPQDLPTRPPPQQKRRVIEVILPSSLREFEKMMIVQNFHSEKVVFNLCHEKLQSLQLRAASECVVLVNQLDVSAEIYQRCLQELRCLQFSLALKYVDMILLVDEVHGKRPYKIKDTYLFQMLVEFPRAEAERIAVHLLPPRAGGAAVGPAERPVPAAEQELKQALRERAQTIKRRLWRSRATVIAVHGQVCEEKEGLVFEVDCFQRSEWLRGYDEHLTCKPYCSFQFRYMEGSRINNDVTGGFIYMADSIVAAAHFLRGSPLESAPQTPQKASTTGFDLGFVDRSKKFGVREEYTLTPVEESACAREPVEAGGVESDSSPQVVEWTQFDVDYCHLENPLTNDAAGGFVYLHRDFAVMTHGYRRQPPPPSGRLLHETPIRTWTKDKFEFQFLNNTIKAGSQGSFVFMKDRCVAVPFNCCSPPIEGSQAVEEWCTLERDQLGITWMDNLRANGDYGAFIWLKDSYVTAGHASRAVPLRGEIKWETWQGTAFKYEFLDNKNKLNSNSYIDGTYGGFVFMSSGYVVASHHYRPAPPTGTTFARCWSCDKVDFRLLDNLMTKGNDGGFVYIADKYAVVTHKSRRAGVFEDCPPLRRWRHVTEFPGFNLTLYEVSDSCSHDFDVSPAVKSRAGGALAAAGIQGGTSGPGAM
+>sp|Q8TC57|M1AP_HUMAN Meiosis 1 arrest protein OS=Homo sapiens OX=9606 GN=M1AP PE=1 SV=1
+MHPGRTTGKGPSTHTQIDQQPPRLLIVHIALPSWADICTNLCEALQNFFSLACSLMGPSRMSLFSLYMVQDQHECILPFVQVKGNFARLQTCISELRMLQREGCFRSQGASLRLAVEDGLQQFKQYSRHVTTRAALTYTSLEITILTSQPGKEVVKQLEEGLKDTDLARVRRFQVVEVTKGILEHVDSASPVEDTSNDESSILGTDIDLQTIDNDIVSMEIFFKAWLHNSGTDQEQIHLLLSSQCFSNISRPRDNPMCLKCDLQERLLCPSLLAGTADGSLRMDDPKGDFITLYQMASQSSASHYKLQVIKALKSSGLCESLTYGLPFILRPTSCWQLDWDELETNQQHFHALCHSLLKREWLLLAKGEPPGPGHSQRIPASTFYVIMPSHSLTLLVKAVATRELMLPSTFPLLPEDPHDDSLKNVESMLDSLELEPTYNPLHVQSHLYSHLSSIYAKPQGRLHPHWESRAPRKHPCKTGQLQTNRARATVAPLPMTPVPGRASKMPAASKSSSDAFFLPSEWEKDPSRP
+>DECOY_sp|Q8TC57|M1AP_HUMAN Meiosis 1 arrest protein OS=Homo sapiens OX=9606 GN=M1AP PE=1 SV=1
+PRSPDKEWESPLFFADSSSKSAAPMKSARGPVPTMPLPAVTARARNTQLQGTKCPHKRPARSEWHPHLRGQPKAYISSLHSYLHSQVHLPNYTPELELSDLMSEVNKLSDDHPDEPLLPFTSPLMLERTAVAKVLLTLSHSPMIVYFTSAPIRQSHGPGPPEGKALLLWERKLLSHCLAHFHQQNTELEDWDLQWCSTPRLIFPLGYTLSECLGSSKLAKIVQLKYHSASSQSAMQYLTIFDGKPDDMRLSGDATGALLSPCLLREQLDCKLCMPNDRPRSINSFCQSSLLLHIQEQDTGSNHLWAKFFIEMSVIDNDITQLDIDTGLISSEDNSTDEVPSASDVHELIGKTVEVVQFRRVRALDTDKLGEELQKVVEKGPQSTLITIELSTYTLAARTTVHRSYQKFQQLGDEVALRLSAGQSRFCGERQLMRLESICTQLRAFNGKVQVFPLICEHQDQVMYLSFLSMRSPGMLSCALSFFNQLAECLNTCIDAWSPLAIHVILLRPPQQDIQTHTSPGKGTTRGPHM
+>sp|Q9UI17|M2GD_HUMAN Dimethylglycine dehydrogenase, mitochondrial OS=Homo sapiens OX=9606 GN=DMGDH PE=1 SV=2
+MLRPGAQLLRGLLLRSCPLQGSPGRPRSVCGREGEEKPPLSAETQWKDRAETVIIGGGCVGVSLAYHLAKAGMKDVVLLEKSELTAGSTWHAAGLTTYFHPGINLKKIHYDSIKLYEKLEEETGQVVGFHQPGSIRLATTPVRVDEFKYQMTRTGWHATEQYLIEPEKIQEMFPLLNMNKVLAGLYNPGDGHIDPYSLTMALAAGARKCGALLKYPAPVTSLKARSDGTWDVETPQGSMRANRIVNAAGFWAREVGKMIGLEHPLIPVQHQYVVTSTISEVKALKRELPVLRDLEGSYYLRQERDGLLFGPYESQEKMKVQDSWVTNGVPPGFGKELFESDLDRIMEHIKAAMEMVPVLKKADIINVVNGPITYSPDILPMVGPHQGVRNYWVAIGFGYGIIHAGGVGKYLSDWILHGEPPFDLIELDPNRYGKWTTTQYTEAKARESYGFNNIVGYPKEERFAGRPTQRVSGLYQRLESKCSMGFHAGWEQPHWFYKPGQDTQYRPSFRRTNWFEPVGSEYKQVMQRVAVTDLSPFGKFNIKGQDSIRLLDHLFANVIPKVGFTNISHMLTPKGRVYAELTVSHQSPGEFLLITGSGSELHDLRWIEEEAVKGGYDVEIKNITDELGVLGVAGPQARKVLQKLTSEDLSDDVFKFLQTKSLKVSNIPVTAIRISYTGELGWELYHRREDSVALYDAIMNAGQEEGIDNFGTYAMNALRLEKAFRAWGLEMNCDTNPLEAGLEYFVKLNKPADFIGKQALKQIKAKGLKRRLVCLTLATDDVDPEGNESIWYNGKVVGNTTSGSYSYSIQKSLAFAYVPVQLSEVGQQVEVELLGKNYPAVIIQEPLVLTEPTRNRLQKKGGKDKT
+>DECOY_sp|Q9UI17|M2GD_HUMAN Dimethylglycine dehydrogenase, mitochondrial OS=Homo sapiens OX=9606 GN=DMGDH PE=1 SV=2
+TKDKGGKKQLRNRTPETLVLPEQIIVAPYNKGLLEVEVQQGVESLQVPVYAFALSKQISYSYSGSTTNGVVKGNYWISENGEPDVDDTALTLCVLRRKLGKAKIQKLAQKGIFDAPKNLKVFYELGAELPNTDCNMELGWARFAKELRLANMAYTGFNDIGEEQGANMIADYLAVSDERRHYLEWGLEGTYSIRIATVPINSVKLSKTQLFKFVDDSLDESTLKQLVKRAQPGAVGLVGLEDTINKIEVDYGGKVAEEEIWRLDHLESGSGTILLFEGPSQHSVTLEAYVRGKPTLMHSINTFGVKPIVNAFLHDLLRISDQGKINFKGFPSLDTVAVRQMVQKYESGVPEFWNTRRFSPRYQTDQGPKYFWHPQEWGAHFGMSCKSELRQYLGSVRQTPRGAFREEKPYGVINNFGYSERAKAETYQTTTWKGYRNPDLEILDFPPEGHLIWDSLYKGVGGAHIIGYGFGIAVWYNRVGQHPGVMPLIDPSYTIPGNVVNIIDAKKLVPVMEMAAKIHEMIRDLDSEFLEKGFGPPVGNTVWSDQVKMKEQSEYPGFLLGDREQRLYYSGELDRLVPLERKLAKVESITSTVVYQHQVPILPHELGIMKGVERAWFGAANVIRNARMSGQPTEVDWTGDSRAKLSTVPAPYKLLAGCKRAGAALAMTLSYPDIHGDGPNYLGALVKNMNLLPFMEQIKEPEILYQETAHWGTRTMQYKFEDVRVPTTALRISGPQHFGVVQGTEEELKEYLKISDYHIKKLNIGPHFYTTLGAAHWTSGATLESKELLVVDKMGAKALHYALSVGVCGGGIIVTEARDKWQTEASLPPKEEGERGCVSRPRGPSGQLPCSRLLLGRLLQAGPRLM
+>sp|Q02779|M3K10_HUMAN Mitogen-activated protein kinase kinase kinase 10 OS=Homo sapiens OX=9606 GN=MAP3K10 PE=1 SV=3
+MEEEEGAVAKEWGTTPAGPVWTAVFDYEAAGDEELTLRRGDRVQVLSQDCAVSGDEGWWTGQLPSGRVGVFPSNYVAPGAPAAPAGLQLPQEIPFHELQLEEIIGVGGFGKVYRALWRGEEVAVKAARLDPEKDPAVTAEQVCQEARLFGALQHPNIIALRGACLNPPHLCLVMEYARGGALSRVLAGRRVPPHVLVNWAVQVARGMNYLHNDAPVPIIHRDLKSINILILEAIENHNLADTVLKITDFGLAREWHKTTKMSAAGTYAWMAPEVIRLSLFSKSSDVWSFGVLLWELLTGEVPYREIDALAVAYGVAMNKLTLPIPSTCPEPFARLLEECWDPDPHGRPDFGSILKRLEVIEQSALFQMPLESFHSLQEDWKLEIQHMFDDLRTKEKELRSREEELLRAAQEQRFQEEQLRRREQELAEREMDIVERELHLLMCQLSQEKPRVRKRKGNFKRSRLLKLREGGSHISLPSGFEHKITVQASPTLDKRKGSDGASPPASPSIIPRLRAIRLTPVDCGGSSSGSSSGGSGTWSRGGPPKKEELVGGKKKGRTWGPSSTLQKERVGGEERLKGLGEGSKQWSSSAPNLGKSPKHTPIAPGFASLNEMEEFAEAEDGGSSVPPSPYSTPSYLSVPLPAEPSPGARAPWEPTPSAPPARWGHGARRRCDLALLGCATLLGAVGLGADVAEARAADGEEQRRWLDGLFFPRAGRFPRGLSPPARPHGRREDVGPGLGLAPSATLVSLSSVSDCNSTRSLLRSDSDEAAPAAPSPPPSPPAPTPTPSPSTNPLVDLELESFKKDPRQSLTPTHVTAACAVSRGHRRTPSDGALGQRGPPEPAGHGPGPRDLLDFPRLPDPQALFPARRRPPEFPGRPTTLTFAPRPRPAASRPRLDPWKLVSFGRTLTISPPSRPDTPESPGPPSVQPTLLDMDMEGQNQDSTVPLCGAHGSH
+>DECOY_sp|Q02779|M3K10_HUMAN Mitogen-activated protein kinase kinase kinase 10 OS=Homo sapiens OX=9606 GN=MAP3K10 PE=1 SV=3
+HSGHAGCLPVTSDQNQGEMDMDLLTPQVSPPGPSEPTDPRSPPSITLTRGFSVLKWPDLRPRSAAPRPRPAFTLTTPRGPFEPPRRRAPFLAQPDPLRPFDLLDRPGPGHGAPEPPGRQGLAGDSPTRRHGRSVACAATVHTPTLSQRPDKKFSELELDVLPNTSPSPTPTPAPPSPPPSPAAPAAEDSDSRLLSRTSNCDSVSSLSVLTASPALGLGPGVDERRGHPRAPPSLGRPFRGARPFFLGDLWRRQEEGDAARAEAVDAGLGVAGLLTACGLLALDCRRRAGHGWRAPPASPTPEWPARAGPSPEAPLPVSLYSPTSYPSPPVSSGGDEAEAFEEMENLSAFGPAIPTHKPSKGLNPASSSWQKSGEGLGKLREEGGVREKQLTSSPGWTRGKKKGGVLEEKKPPGGRSWTGSGGSSSGSSSGGCDVPTLRIARLRPIISPSAPPSAGDSGKRKDLTPSAQVTIKHEFGSPLSIHSGGERLKLLRSRKFNGKRKRVRPKEQSLQCMLLHLEREVIDMEREALEQERRRLQEEQFRQEQAARLLEEERSRLEKEKTRLDDFMHQIELKWDEQLSHFSELPMQFLASQEIVELRKLISGFDPRGHPDPDWCEELLRAFPEPCTSPIPLTLKNMAVGYAVALADIERYPVEGTLLEWLLVGFSWVDSSKSFLSLRIVEPAMWAYTGAASMKTTKHWERALGFDTIKLVTDALNHNEIAELILINISKLDRHIIPVPADNHLYNMGRAVQVAWNVLVHPPVRRGALVRSLAGGRAYEMVLCLHPPNLCAGRLAIINPHQLAGFLRAEQCVQEATVAPDKEPDLRAAKVAVEEGRWLARYVKGFGGVGIIEELQLEHFPIEQPLQLGAPAAPAGPAVYNSPFVGVRGSPLQGTWWGEDGSVACDQSLVQVRDGRRLTLEEDGAAEYDFVATWVPGAPTTGWEKAVAGEEEEM
+>sp|Q16584|M3K11_HUMAN Mitogen-activated protein kinase kinase kinase 11 OS=Homo sapiens OX=9606 GN=MAP3K11 PE=1 SV=1
+MEPLKSLFLKSPLGSWNGSGSGGGGGGGGGRPEGSPKAAGYANPVWTALFDYEPSGQDELALRKGDRVEVLSRDAAISGDEGWWAGQVGGQVGIFPSNYVSRGGGPPPCEVASFQELRLEEVIGIGGFGKVYRGSWRGELVAVKAARQDPDEDISVTAESVRQEARLFAMLAHPNIIALKAVCLEEPNLCLVMEYAAGGPLSRALAGRRVPPHVLVNWAVQIARGMHYLHCEALVPVIHRDLKSNNILLLQPIESDDMEHKTLKITDFGLAREWHKTTQMSAAGTYAWMAPEVIKASTFSKGSDVWSFGVLLWELLTGEVPYRGIDCLAVAYGVAVNKLTLPIPSTCPEPFAQLMADCWAQDPHRRPDFASILQQLEALEAQVLREMPRDSFHSMQEGWKREIQGLFDELRAKEKELLSREEELTRAAREQRSQAEQLRRREHLLAQWELEVFERELTLLLQQVDRERPHVRRRRGTFKRSKLRARDGGERISMPLDFKHRITVQASPGLDRRRNVFEVGPGDSPTFPRFRAIQLEPAEPGQAWGRQSPRRLEDSSNGERRACWAWGPSSPKPGEAQNGRRRSRMDEATWYLDSDDSSPLGSPSTPPALNGNPPRPSLEPEEPKRPVPAERGSSSGTPKLIQRALLRGTALLASLGLGRDLQPPGGPGRERGESPTTPPTPTPAPCPTEPPPSPLICFSLKTPDSPPTPAPLLLDLGIPVGQRSAKSPRREEEPRGGTVSPPPGTSRSAPGTPGTPRSPPLGLISRPRPSPLRSRIDPWSFVSAGPRPSPLPSPQPAPRRAPWTLFPDSDPFWDSPPANPFQGGPQDCRAQTKDMGAQAPWVPEAGP
+>DECOY_sp|Q16584|M3K11_HUMAN Mitogen-activated protein kinase kinase kinase 11 OS=Homo sapiens OX=9606 GN=MAP3K11 PE=1 SV=1
+PGAEPVWPAQAGMDKTQARCDQPGGQFPNAPPSDWFPDSDPFLTWPARRPAPQPSPLPSPRPGASVFSWPDIRSRLPSPRPRSILGLPPSRPTGPTGPASRSTGPPPSVTGGRPEEERRPSKASRQGVPIGLDLLLPAPTPPSDPTKLSFCILPSPPPETPCPAPTPTPPTTPSEGRERGPGGPPQLDRGLGLSALLATGRLLARQILKPTGSSSGREAPVPRKPEEPELSPRPPNGNLAPPTSPSGLPSSDDSDLYWTAEDMRSRRRGNQAEGPKPSSPGWAWCARREGNSSDELRRPSQRGWAQGPEAPELQIARFRPFTPSDGPGVEFVNRRRDLGPSAQVTIRHKFDLPMSIREGGDRARLKSRKFTGRRRRVHPRERDVQQLLLTLEREFVELEWQALLHERRRLQEAQSRQERAARTLEEERSLLEKEKARLEDFLGQIERKWGEQMSHFSDRPMERLVQAELAELQQLISAFDPRRHPDQAWCDAMLQAFPEPCTSPIPLTLKNVAVGYAVALCDIGRYPVEGTLLEWLLVGFSWVDSGKSFTSAKIVEPAMWAYTGAASMQTTKHWERALGFDTIKLTKHEMDDSEIPQLLLINNSKLDRHIVPVLAECHLYHMGRAIQVAWNVLVHPPVRRGALARSLPGGAAYEMVLCLNPEELCVAKLAIINPHALMAFLRAEQRVSEATVSIDEDPDQRAAKVAVLEGRWSGRYVKGFGGIGIVEELRLEQFSAVECPPPGGGRSVYNSPFIGVQGGVQGAWWGEDGSIAADRSLVEVRDGKRLALEDQGSPEYDFLATWVPNAYGAAKPSGEPRGGGGGGGGGSGSGNWSGLPSKLFLSKLPEM
+>sp|Q99683|M3K5_HUMAN Mitogen-activated protein kinase kinase kinase 5 OS=Homo sapiens OX=9606 GN=MAP3K5 PE=1 SV=1
+MSTEADEGITFSVPPFAPSGFCTIPEGGICRRGGAAAVGEGEEHQLPPPPPGSFWNVESAAAPGIGCPAATSSSSATRGRGSSVGGGSRRTTVAYVINEASQGQLVVAESEALQSLREACETVGATLETLHFGKLDFGETTVLDRFYNADIAVVEMSDAFRQPSLFYHLGVRESFSMANNIILYCDTNSDSLQSLKEIICQKNTMCTGNYTFVPYMITPHNKVYCCDSSFMKGLTELMQPNFELLLGPICLPLVDRFIQLLKVAQASSSQYFRESILNDIRKARNLYTGKELAAELARIRQRVDNIEVLTADIVINLLLSYRDIQDYDSIVKLVETLEKLPTFDLASHHHVKFHYAFALNRRNLPGDRAKALDIMIPMVQSEGQVASDMYCLVGRIYKDMFLDSNFTDTESRDHGASWFKKAFESEPTLQSGINYAVLLLAAGHQFESSFELRKVGVKLSSLLGKKGNLEKLQSYWEVGFFLGASVLANDHMRVIQASEKLFKLKTPAWYLKSIVETILIYKHFVKLTTEQPVAKQELVDFWMDFLVEATKTDVTVVRFPVLILEPTKIYQPSYLSINNEVEEKTISIWHVLPDDKKGIHEWNFSASSVRGVSISKFEERCCFLYVLHNSDDFQIYFCTELHCKKFFEMVNTITEEKGRSTEEGDCESDLLEYDYEYDENGDRVVLGKGTYGIVYAGRDLSNQVRIAIKEIPERDSRYSQPLHEEIALHKHLKHKNIVQYLGSFSENGFIKIFMEQVPGGSLSALLRSKWGPLKDNEQTIGFYTKQILEGLKYLHDNQIVHRDIKGDNVLINTYSGVLKISDFGTSKRLAGINPCTETFTGTLQYMAPEIIDKGPRGYGKAADIWSLGCTIIEMATGKPPFYELGEPQAAMFKVGMFKVHPEIPESMSAEAKAFILKCFEPDPDKRACANDLLVDEFLKVSSKKKKTQPKLSALSAGSNEYLRSISLPVPVLVEDTSSSSEYGSVSPDTELKVDPFSFKTRAKSCGERDVKGIRTLFLGIPDENFEDHSAPPSPEEKDSGFFMLRKDSERRATLHRILTEDQDKIVRNLMESLAQGAEEPKLKWEHITTLIASLREFVRSTDRKIIATTLSKLKLELDFDSHGISQVQVVLFGFQDAVNKVLRNHNIKPHWMFALDSIIRKAVQTAITILVPELRPHFSLASESDTADQEDLDVEDDHEEQPSNQTVRRPQAVIEDAVATSGVSTLSSTVSHDSQSAHRSLNVQLGRMKIETNRLLEELVRKEKELQALLHRAIEEKDQEIKHLKLKSQPIEIPELPVFHLNSSGTNTEDSELTDWLRVNGADEDTISRFLAEDYTLLDVLYYVTRDDLKCLRLRGGMLCTLWKAIIDFRNKQT
+>DECOY_sp|Q99683|M3K5_HUMAN Mitogen-activated protein kinase kinase kinase 5 OS=Homo sapiens OX=9606 GN=MAP3K5 PE=1 SV=1
+TQKNRFDIIAKWLTCLMGGRLRLCKLDDRTVYYLVDLLTYDEALFRSITDEDAGNVRLWDTLESDETNTGSSNLHFVPLEPIEIPQSKLKLHKIEQDKEEIARHLLAQLEKEKRVLEELLRNTEIKMRGLQVNLSRHASQSDHSVTSSLTSVGSTAVADEIVAQPRRVTQNSPQEEHDDEVDLDEQDATDSESALSFHPRLEPVLITIATQVAKRIISDLAFMWHPKINHNRLVKNVADQFGFLVVQVQSIGHSDFDLELKLKSLTTAIIKRDTSRVFERLSAILTTIHEWKLKPEEAGQALSEMLNRVIKDQDETLIRHLTARRESDKRLMFFGSDKEEPSPPASHDEFNEDPIGLFLTRIGKVDREGCSKARTKFSFPDVKLETDPSVSGYESSSSTDEVLVPVPLSISRLYENSGASLASLKPQTKKKKSSVKLFEDVLLDNACARKDPDPEFCKLIFAKAEASMSEPIEPHVKFMGVKFMAAQPEGLEYFPPKGTAMEIITCGLSWIDAAKGYGRPGKDIIEPAMYQLTGTFTETCPNIGALRKSTGFDSIKLVGSYTNILVNDGKIDRHVIQNDHLYKLGELIQKTYFGITQENDKLPGWKSRLLASLSGGPVQEMFIKIFGNESFSGLYQVINKHKLHKHLAIEEHLPQSYRSDREPIEKIAIRVQNSLDRGAYVIGYTGKGLVVRDGNEDYEYDYELLDSECDGEETSRGKEETITNVMEFFKKCHLETCFYIQFDDSNHLVYLFCCREEFKSISVGRVSSASFNWEHIGKKDDPLVHWISITKEEVENNISLYSPQYIKTPELILVPFRVVTVDTKTAEVLFDMWFDVLEQKAVPQETTLKVFHKYILITEVISKLYWAPTKLKFLKESAQIVRMHDNALVSAGLFFGVEWYSQLKELNGKKGLLSSLKVGVKRLEFSSEFQHGAALLLVAYNIGSQLTPESEFAKKFWSAGHDRSETDTFNSDLFMDKYIRGVLCYMDSAVQGESQVMPIMIDLAKARDGPLNRRNLAFAYHFKVHHHSALDFTPLKELTEVLKVISDYDQIDRYSLLLNIVIDATLVEINDVRQRIRALEAALEKGTYLNRAKRIDNLISERFYQSSSAQAVKLLQIFRDVLPLCIPGLLLEFNPQMLETLGKMFSSDCCYVKNHPTIMYPVFTYNGTCMTNKQCIIEKLSQLSDSNTDCYLIINNAMSFSERVGLHYFLSPQRFADSMEVVAIDANYFRDLVTTEGFDLKGFHLTELTAGVTECAERLSQLAESEAVVLQGQSAENIVYAVTTRRSGGGVSSGRGRTASSSSTAAPCGIGPAAASEVNWFSGPPPPPLQHEEGEGVAAAGGRRCIGGEPITCFGSPAFPPVSFTIGEDAETSM
+>sp|P80192|M3K9_HUMAN Mitogen-activated protein kinase kinase kinase 9 OS=Homo sapiens OX=9606 GN=MAP3K9 PE=1 SV=3
+MEPSRALLGCLASAAAAAPPGEDGAGAGAEEEEEEEEEAAAAVGPGELGCDAPLPYWTAVFEYEAAGEDELTLRLGDVVEVLSKDSQVSGDEGWWTGQLNQRVGIFPSNYVTPRSAFSSRCQPGGEDPSCYPPIQLLEIDFAELTLEEIIGIGGFGKVYRAFWIGDEVAVKAARHDPDEDISQTIENVRQEAKLFAMLKHPNIIALRGVCLKEPNLCLVMEFARGGPLNRVLSGKRIPPDILVNWAVQIARGMNYLHDEAIVPIIHRDLKSSNILILQKVENGDLSNKILKITDFGLAREWHRTTKMSAAGTYAWMAPEVIRASMFSKGSDVWSYGVLLWELLTGEVPFRGIDGLAVAYGVAMNKLALPIPSTCPEPFAKLMEDCWNPDPHSRPSFTNILDQLTTIEESGFFEMPKDSFHCLQDNWKHEIQEMFDQLRAKEKELRTWEEELTRAALQQKNQEELLRRREQELAEREIDILERELNIIIHQLCQEKPRVKKRKGKFRKSRLKLKDGNRISLPSDFQHKFTVQASPTMDKRKSLINSRSSPPASPTIIPRLRAIQLTPGESSKTWGRSSVVPKEEGEEEEKRAPKKKGRTWGPGTLGQKELASGDEGSPQRREKANGLSTPSESPHFHLGLKSLVDGYKQWSSSAPNLVKGPRSSPALPGFTSLMEMEDEDSEGPGSGESRLQHSPSQSYLCIPFPRGEDGDGPSSDGIHEEPTPVNSATSTPQLTPTNSLKRGGAHHRRCEVALLGCGAVLAATGLGFDLLEAGKCQLLPLEEPEPPAREEKKRREGLFQRSSRPRRSTSPPSRKLFKKEEPMLLLGDPSASLTLLSLSSISECNSTRSLLRSDSDEIVVYEMPVSPVEAPPLSPCTHNPLVNVRVERFKRDPNQSLTPTHVTLTTPSQPSSHRRTPSDGALKPETLLASRSPSSNGLSPSPGAGMLKTPSPSRDPGEFPRLPDPNVVFPPTPRRWNTQQDSTLERPKTLEFLPRPRPSANRQRLDPWWFVSPSHARSTSPANSSSTETPSNLDSCFASSSSTVEERPGLPALLPFQAGPLPPTERTLLDLDAEGQSQDSTVPLCRAELNTHRPAPYEIQQEFWS
+>DECOY_sp|P80192|M3K9_HUMAN Mitogen-activated protein kinase kinase kinase 9 OS=Homo sapiens OX=9606 GN=MAP3K9 PE=1 SV=3
+SWFEQQIEYPAPRHTNLEARCLPVTSDQSQGEADLDLLTRETPPLPGAQFPLLAPLGPREEVTSSSSAFCSDLNSPTETSSSNAPSTSRAHSPSVFWWPDLRQRNASPRPRPLFELTKPRELTSDQQTNWRRPTPPFVVNPDPLRPFEGPDRSPSPTKLMGAGPSPSLGNSSPSRSALLTEPKLAGDSPTRRHSSPQSPTTLTVHTPTLSQNPDRKFREVRVNVLPNHTCPSLPPAEVPSVPMEYVVIEDSDSRLLSRTSNCESISSLSLLTLSASPDGLLLMPEEKKFLKRSPPSTSRRPRSSRQFLGERRKKEERAPPEPEELPLLQCKGAELLDFGLGTAALVAGCGLLAVECRRHHAGGRKLSNTPTLQPTSTASNVPTPEEHIGDSSPGDGDEGRPFPICLYSQSPSHQLRSEGSGPGESDEDEMEMLSTFGPLAPSSRPGKVLNPASSSWQKYGDVLSKLGLHFHPSESPTSLGNAKERRQPSGEDGSALEKQGLTGPGWTRGKKKPARKEEEEGEEKPVVSSRGWTKSSEGPTLQIARLRPIITPSAPPSSRSNILSKRKDMTPSAQVTFKHQFDSPLSIRNGDKLKLRSKRFKGKRKKVRPKEQCLQHIIINLERELIDIEREALEQERRRLLEEQNKQQLAARTLEEEWTRLEKEKARLQDFMEQIEHKWNDQLCHFSDKPMEFFGSEEITTLQDLINTFSPRSHPDPNWCDEMLKAFPEPCTSPIPLALKNMAVGYAVALGDIGRFPVEGTLLEWLLVGYSWVDSGKSFMSARIVEPAMWAYTGAASMKTTRHWERALGFDTIKLIKNSLDGNEVKQLILINSSKLDRHIIPVIAEDHLYNMGRAIQVAWNVLIDPPIRKGSLVRNLPGGRAFEMVLCLNPEKLCVGRLAIINPHKLMAFLKAEQRVNEITQSIDEDPDHRAAKVAVEDGIWFARYVKGFGGIGIIEELTLEAFDIELLQIPPYCSPDEGGPQCRSSFASRPTVYNSPFIGVRQNLQGTWWGEDGSVQSDKSLVEVVDGLRLTLEDEGAAEYEFVATWYPLPADCGLEGPGVAAAAEEEEEEEEEAGAGAGDEGPPAAAAASALCGLLARSPEM
+>sp|Q9BQ69|MACD1_HUMAN O-acetyl-ADP-ribose deacetylase MACROD1 OS=Homo sapiens OX=9606 GN=MACROD1 PE=1 SV=2
+MSLQSRLSGRLAQLRAAGQLLVPPRPRPGHLAGATRTRSSTCGPPAFLGVFGRRARTSAGVGAWGAAAVGRTAGVRTWAPLAMAAKVDLSTSTDWKEAKSFLKGLSDKQREEHYFCKDFVRLKKIPTWKEMAKGVAVKVEEPRYKKDKQLNEKISLLRSDITKLEVDAIVNAANSSLLGGGGVDGCIHRAAGPLLTDECRTLQSCKTGKAKITGGYRLPAKYVIHTVGPIAYGEPSASQAAELRSCYLSSLDLLLEHRLRSVAFPCISTGVFGYPCEAAAEIVLATLREWLEQHKDKVDRLIICVFLEKDEDIYRSRLPHYFPVA
+>DECOY_sp|Q9BQ69|MACD1_HUMAN O-acetyl-ADP-ribose deacetylase MACROD1 OS=Homo sapiens OX=9606 GN=MACROD1 PE=1 SV=2
+AVPFYHPLRSRYIDEDKELFVCIILRDVKDKHQELWERLTALVIEAAAECPYGFVGTSICPFAVSRLRHELLLDLSSLYCSRLEAAQSASPEGYAIPGVTHIVYKAPLRYGGTIKAKGTKCSQLTRCEDTLLPGAARHICGDVGGGGLLSSNAANVIADVELKTIDSRLLSIKENLQKDKKYRPEEVKVAVGKAMEKWTPIKKLRVFDKCFYHEERQKDSLGKLFSKAEKWDTSTSLDVKAAMALPAWTRVGATRGVAAAGWAGVGASTRARRGFVGLFAPPGCTSSRTRTAGALHGPRPRPPVLLQGAARLQALRGSLRSQLSM
+>sp|Q9BW11|MAD3_HUMAN Max dimerization protein 3 OS=Homo sapiens OX=9606 GN=MXD3 PE=1 SV=1
+MEPLASNIQVLLQAAEFLERREREAEHGYASLCPHRSPGPIHRRKKRPPQAPGAQDSGRSVHNELEKRRRAQLKRCLERLKQQMPLGADCARYTTLSLLRRARMHIQKLEDQEQRARQLKERLRSKQQSLQRQLEQLRGLAGAAERERLRADSLDSSGLSSERSDSDQEELEVDVESLVFGGEAELLRGFVAGQEHSYSHGGGAWL
+>DECOY_sp|Q9BW11|MAD3_HUMAN Max dimerization protein 3 OS=Homo sapiens OX=9606 GN=MXD3 PE=1 SV=1
+LWAGGGHSYSHEQGAVFGRLLEAEGGFVLSEVDVELEEQDSDSRESSLGSSDLSDARLREREAAGALGRLQELQRQLSQQKSRLREKLQRARQEQDELKQIHMRARRLLSLTTYRACDAGLPMQQKLRELCRKLQARRRKELENHVSRGSDQAGPAQPPRKKRRHIPGPSRHPCLSAYGHEAERERRELFEAAQLLVQINSALPEM
+>sp|A6NDP7|MADL2_HUMAN Myeloid-associated differentiation marker-like protein 2 OS=Homo sapiens OX=9606 GN=MYADML2 PE=2 SV=3
+MGSTMEPPGGAYLHLGAVTSPVGTARVLQLAFGCTTFSLVAHRGGFAGVQGTFCMAAWGFCFAVSALVVACEFTRLHGCLRLSWGNFTAAFAMLATLLCATAAVLYPLYFARRECSPEPAGCAARDFRLAASVFAGLLFLAYAVEVALTRARPGQVSSYMATVSGLLKIVQAFVACIIFGALVHDSRYGRYVATQWCVAVYSLCFLATVAVVALSVMGHTGGLGCPFDRLVVVYTFLAVLLYLSAAVIWPVFCFDPKYGEPKRPPNCARGSCPWDSQLVVAIFTYVNLLLYVVDLAYSQRIRFVPSL
+>DECOY_sp|A6NDP7|MADL2_HUMAN Myeloid-associated differentiation marker-like protein 2 OS=Homo sapiens OX=9606 GN=MYADML2 PE=2 SV=3
+LSPVFRIRQSYALDVVYLLLNVYTFIAVVLQSDWPCSGRACNPPRKPEGYKPDFCFVPWIVAASLYLLVALFTYVVVLRDFPCGLGGTHGMVSLAVVAVTALFCLSYVAVCWQTAVYRGYRSDHVLAGFIICAVFAQVIKLLGSVTAMYSSVQGPRARTLAVEVAYALFLLGAFVSAALRFDRAACGAPEPSCERRAFYLPYLVAATACLLTALMAFAATFNGWSLRLCGHLRTFECAVVLASVAFCFGWAAMCFTGQVGAFGGRHAVLSFTTCGFALQLVRATGVPSTVAGLHLYAGGPPEMTSGM
+>sp|Q9H063|MAF1_HUMAN Repressor of RNA polymerase III transcription MAF1 homolog OS=Homo sapiens OX=9606 GN=MAF1 PE=1 SV=2
+MKLLENSSFEAINSQLTVETGDAHIIGRIESYSCKMAGDDKHMFKQFCQEGQPHVLEALSPPQTSGLSPSRLSKSQGGEEEGPLSDKCSRKTLFYLIATLNESFRPDYDFSTARSHEFSREPSLSWVVNAVNCSLFSAVREDFKDLKPQLWNAVDEEICLAECDIYSYNPDLDSDPFGEDGSLWSFNYFFYNKRLKRIVFFSCRSISGSTYTPSEAGNELDMELGEEEVEEESRSGGSGAEETSTMEEDRVPVICI
+>DECOY_sp|Q9H063|MAF1_HUMAN Repressor of RNA polymerase III transcription MAF1 homolog OS=Homo sapiens OX=9606 GN=MAF1 PE=1 SV=2
+ICIVPVRDEEMTSTEEAGSGGSRSEEEVEEEGLEMDLENGAESPTYTSGSISRCSFFVIRKLRKNYFFYNFSWLSGDEGFPDSDLDPNYSYIDCEALCIEEDVANWLQPKLDKFDERVASFLSCNVANVVWSLSPERSFEHSRATSFDYDPRFSENLTAILYFLTKRSCKDSLPGEEEGGQSKSLRSPSLGSTQPPSLAELVHPQGEQCFQKFMHKDDGAMKCSYSEIRGIIHADGTEVTLQSNIAEFSSNELLKM
+>sp|P43362|MAGA9_HUMAN Melanoma-associated antigen 9 OS=Homo sapiens OX=9606 GN=MAGEA9 PE=1 SV=1
+MSLEQRSPHCKPDEDLEAQGEDLGLMGAQEPTGEEEETTSSSDSKEEEVSAAGSSSPPQSPQGGASSSISVYYTLWSQFDEGSSSQEEEEPSSSVDPAQLEFMFQEALKLKVAELVHFLLHKYRVKEPVTKAEMLESVIKNYKRYFPVIFGKASEFMQVIFGTDVKEVDPAGHSYILVTALGLSCDSMLGDGHSMPKAALLIIVLGVILTKDNCAPEEVIWEALSVMGVYVGKEHMFYGEPRKLLTQDWVQENYLEYRQVPGSDPAHYEFLWGSKAHAETSYEKVINYLVMLNAREPICYPSLYEEVLGEEQEGV
+>DECOY_sp|P43362|MAGA9_HUMAN Melanoma-associated antigen 9 OS=Homo sapiens OX=9606 GN=MAGEA9 PE=1 SV=1
+VGEQEEGLVEEYLSPYCIPERANLMVLYNIVKEYSTEAHAKSGWLFEYHAPDSGPVQRYELYNEQVWDQTLLKRPEGYFMHEKGVYVGMVSLAEWIVEEPACNDKTLIVGLVIILLAAKPMSHGDGLMSDCSLGLATVLIYSHGAPDVEKVDTGFIVQMFESAKGFIVPFYRKYNKIVSELMEAKTVPEKVRYKHLLFHVLEAVKLKLAEQFMFELQAPDVSSSPEEEEQSSSGEDFQSWLTYYVSISSSAGGQPSQPPSSSGAASVEEEKSDSSSTTEEEEGTPEQAGMLGLDEGQAELDEDPKCHPSRQELSM
+>sp|P43365|MAGAC_HUMAN Melanoma-associated antigen 12 OS=Homo sapiens OX=9606 GN=MAGEA12 PE=1 SV=2
+MPLEQRSQHCKPEEGLEAQGEALGLVGAQAPATEEQETASSSSTLVEVTLREVPAAESPSPPHSPQGASTLPTTINYTLWSQSDEGSSNEEQEGPSTFPDLETSFQVALSRKMAELVHFLLLKYRAREPFTKAEMLGSVIRNFQDFFPVIFSKASEYLQLVFGIEVVEVVRIGHLYILVTCLGLSYDGLLGDNQIVPKTGLLIIVLAIIAKEGDCAPEEKIWEELSVLEASDGREDSVFAHPRKLLTQDLVQENYLEYRQVPGSDPACYEFLWGPRALVETSYVKVLHHLLKISGGPHISYPPLHEWAFREGEE
+>DECOY_sp|P43365|MAGAC_HUMAN Melanoma-associated antigen 12 OS=Homo sapiens OX=9606 GN=MAGEA12 PE=1 SV=2
+EEGERFAWEHLPPYSIHPGGSIKLLHHLVKVYSTEVLARPGWLFEYCAPDSGPVQRYELYNEQVLDQTLLKRPHAFVSDERGDSAELVSLEEWIKEEPACDGEKAIIALVIILLGTKPVIQNDGLLGDYSLGLCTVLIYLHGIRVVEVVEIGFVLQLYESAKSFIVPFFDQFNRIVSGLMEAKTFPERARYKLLLFHVLEAMKRSLAVQFSTELDPFTSPGEQEENSSGEDSQSWLTYNITTPLTSAGQPSHPPSPSEAAPVERLTVEVLTSSSSATEQEETAPAQAGVLGLAEGQAELGEEPKCHQSRQELPM
+>sp|A8MXT2|MAGBH_HUMAN Melanoma-associated antigen B17 OS=Homo sapiens OX=9606 GN=MAGEB17 PE=4 SV=3
+MPRGQASKRRAREKRRQARGEDQCLGGAQATAAEKEKLPSSSSPACQSPPQSFPNAGIPQESQRASYPSSPASAVSLTSSDEGAKGQKGESPNSFHGPSSSESTGRDLLNTKTGELVQFLLNKYIRKEPITREAMLKVINRKYKQHFPEILRRSTENVEVVFGLYLKEMDPSRQSYVLVGKLDFPNQGSLSDGGGFPLSGLLMVLLSTIFMHGNRATEEEMWECLNALGMYKGRKHFIYGEPQELVTKDLVREGYLEYQQVPSSDPPRYEFLWGPRARAETSKMKVLEFVAKLNDTVASTYKSRYEEALREEEEQARARAVARDSARARASRSFQP
+>DECOY_sp|A8MXT2|MAGBH_HUMAN Melanoma-associated antigen B17 OS=Homo sapiens OX=9606 GN=MAGEB17 PE=4 SV=3
+PQFSRSARARASDRAVARARAQEEEERLAEEYRSKYTSAVTDNLKAVFELVKMKSTEARARPGWLFEYRPPDSSPVQQYELYGERVLDKTVLEQPEGYIFHKRGKYMGLANLCEWMEEETARNGHMFITSLLVMLLGSLPFGGGDSLSGQNPFDLKGVLVYSQRSPDMEKLYLGFVVEVNETSRRLIEPFHQKYKRNIVKLMAERTIPEKRIYKNLLFQVLEGTKTNLLDRGTSESSSPGHFSNPSEGKQGKAGEDSSTLSVASAPSSPYSARQSEQPIGANPFSQPPSQCAPSSSSPLKEKEAATAQAGGLCQDEGRAQRRKERARRKSAQGRPM
+>sp|Q9HAY2|MAGF1_HUMAN Melanoma-associated antigen F1 OS=Homo sapiens OX=9606 GN=MAGEF1 PE=1 SV=2
+MLQTPESRGLPVPQAEGEKDGGHDGETRAPTASQERPKEELGAGREEGAAEPALTRKGARALAAKALARRRAYRRLNRTVAELVQFLLVKDKKKSPITRSEMVKYVIGDLKILFPDIIARAAEHLRYVFGFELKQFDRKHHTYILINKLKPLEEEEEEDLGGDGPRLGLLMMILGLIYMRGNSAREAQVWEMLRRLGVQPSKYHFLFGYPKRLIMEDFVQQRYLSYRRVPHTNPPEYEFSWGPRSNLEISKMEVLGFVAKLHKKEPQHWPVQYREALADEADRARAKARAEASMRARASARAGIHLW
+>DECOY_sp|Q9HAY2|MAGF1_HUMAN Melanoma-associated antigen F1 OS=Homo sapiens OX=9606 GN=MAGEF1 PE=1 SV=2
+WLHIGARASARARMSAEARAKARARDAEDALAERYQVPWHQPEKKHLKAVFGLVEMKSIELNSRPGWSFEYEPPNTHPVRRYSLYRQQVFDEMILRKPYGFLFHYKSPQVGLRRLMEWVQAERASNGRMYILGLIMMLLGLRPGDGGLDEEEEEELPKLKNILIYTHHKRDFQKLEFGFVYRLHEAARAIIDPFLIKLDGIVYKVMESRTIPSKKKDKVLLFQVLEAVTRNLRRYARRRALAKAALARAGKRTLAPEAAGEERGAGLEEKPREQSATPARTEGDHGGDKEGEAQPVPLGRSEPTQLM
+>sp|Q9UJ55|MAGL2_HUMAN MAGE-like protein 2 OS=Homo sapiens OX=9606 GN=MAGEL2 PE=1 SV=2
+MSQLSKNLGDSSPPAEAPKPPVYSRPTVLMRAPPASSRAPPVPWDPPPIDLQASLAAWQAPQPAWEAPQGQLPAPVVPMTQPPALGGPIVPAPPLGGPMGKPPTPGVLMVHPPPPGAPMAQPPTPGVLMVHPSAPGAPMAHPPPPGTPMSHPPPPGTPMAHPPPPGTPMAHPPPPGTPMVHPPPPGTPMAHPPPPGTPMAHPPPPGTPMAHPPPPGTPMAHPPPPGTPMAQPPAPGVLMAQPLTPGVLMVQPAAPGAPMVQPPPAAMMTQPQPSGAPMAKPPGPGVLMIHPPGARAPMTQPPASGAPMAQPAAPPAQPMAPPAQPMASWAPQAQPLILQIQSQVIRAPPQVPQGPQAPPAQLATPPGWQATSPGWQATQQGWQATPLTWQTTQVTWQAPAVTWQVPPPMRQGPPPIRPGPPPIRPGPPPVRQAPPLIRQAPPVIRQAPPVIRQAPPVIRQAPAVIRQAPPVIRQAPPVIRQAPPVIRQAPPLIRQAPPPIRPAPQVLATQPPLWQALPPPPPLRQAPQARLPAPQVQAAPQVPTAPPATQVPAAPPAGPQVPQPVLPAPLSAPLSAPQAVHCPSIIWQAPKGQPPVPHEIPTSMEFQEVQQTQALAWQAQKAPTHIWQPLPAQEAQRQAPPLVQLEQPFQGAPPSQKAVQIQLPPQQAQASGPQAEVPTLPLQPSWQAPPAVLQAQPGPPVAAANFPLGSAKSLMTPSGECRASSIDRRGSSKERRTSSKERRAPSKDRMIFAATFCAPKAVSAARAHLPAAWKNLPATPETFAPSSSVFPATSQFQPASLNAFKGPSAASETPKSLPYALQDPFACVEALPAVPWVPQPNMNASKASQAVPTFLMATAAAPQATATTQEASKTSVEPPRRSGKATRKKKHLEAQEDSRGHTLAFHDWQGPRPWENLNLSDWEVQSPIQVSGDWEHPNTPRGLSGWEGPSTSRILSGWEGPSASWALSAWEGPSTSRALGLSESPGSSLPVVVSEVASVSPGSSATQDNSKVEAQPLSPLDERANALVQFLLVKDQAKVPVQRSEMVKVILREYKDECLDIINRANNKLECAFGYQLKEIDTKNHAYIIINKLGYHTGNLVASYLDRPKFGLLMVVLSLIFMKGNCVREDLIFNFLFKLGLDVRETNGLFGNTKKLITEVFVRQKYLEYRRIPYTEPAEYEFLWGPRAFLETSKMLVLRFLAKLHKKDPQSWPFHYLEALAECEWEDTDEDEPDTGDSAHGPTSRPPPR
+>DECOY_sp|Q9UJ55|MAGL2_HUMAN MAGE-like protein 2 OS=Homo sapiens OX=9606 GN=MAGEL2 PE=1 SV=2
+RPPPRSTPGHASDGTDPEDEDTDEWECEALAELYHFPWSQPDKKHLKALFRLVLMKSTELFARPGWLFEYEAPETYPIRRYELYKQRVFVETILKKTNGFLGNTERVDLGLKFLFNFILDERVCNGKMFILSLVVMLLGFKPRDLYSAVLNGTHYGLKNIIIYAHNKTDIEKLQYGFACELKNNARNIIDLCEDKYERLIVKVMESRQVPVKAQDKVLLFQVLANAREDLPSLPQAEVKSNDQTASSGPSVSAVESVVVPLSSGPSESLGLARSTSPGEWASLAWSASPGEWGSLIRSTSPGEWGSLGRPTNPHEWDGSVQIPSQVEWDSLNLNEWPRPGQWDHFALTHGRSDEQAELHKKKRTAKGSRRPPEVSTKSAEQTTATAQPAAATAMLFTPVAQSAKSANMNPQPVWPVAPLAEVCAFPDQLAYPLSKPTESAASPGKFANLSAPQFQSTAPFVSSSPAFTEPTAPLNKWAAPLHARAASVAKPACFTAAFIMRDKSPARREKSSTRREKSSGRRDISSARCEGSPTMLSKASGLPFNAAAVPPGPQAQLVAPPAQWSPQLPLTPVEAQPGSAQAQQPPLQIQVAKQSPPAGQFPQELQVLPPAQRQAEQAPLPQWIHTPAKQAQWALAQTQQVEQFEMSTPIEHPVPPQGKPAQWIISPCHVAQPASLPASLPAPLVPQPVQPGAPPAAPVQTAPPATPVQPAAQVQPAPLRAQPAQRLPPPPPLAQWLPPQTALVQPAPRIPPPAQRILPPAQRIVPPAQRIVPPAQRIVPPAQRIVAPAQRIVPPAQRIVPPAQRIVPPAQRILPPAQRVPPPGPRIPPPGPRIPPPGQRMPPPVQWTVAPAQWTVQTTQWTLPTAQWGQQTAQWGPSTAQWGPPTALQAPPAQPGQPVQPPARIVQSQIQLILPQAQPAWSAMPQAPPAMPQAPPAAPQAMPAGSAPPQTMPARAGPPHIMLVGPGPPKAMPAGSPQPQTMMAAPPPQVMPAGPAAPQVMLVGPTLPQAMLVGPAPPQAMPTGPPPPHAMPTGPPPPHAMPTGPPPPHAMPTGPPPPHAMPTGPPPPHVMPTGPPPPHAMPTGPPPPHAMPTGPPPPHSMPTGPPPPHAMPAGPASPHVMLVGPTPPQAMPAGPPPPHVMLVGPTPPKGMPGGLPPAPVIPGGLAPPQTMPVVPAPLQGQPAEWAPQPAQWAALSAQLDIPPPDWPVPPARSSAPPARMLVTPRSYVPPKPAEAPPSSDGLNKSLQSM
+>sp|Q9H0U3|MAGT1_HUMAN Magnesium transporter protein 1 OS=Homo sapiens OX=9606 GN=MAGT1 PE=1 SV=1
+MAARWRFWCVSVTMVVALLIVCDVPSASAQRKKEMVLSEKVSQLMEWTNKRPVIRMNGDKFRRLVKAPPRNYSVIVMFTALQLHRQCVVCKQADEEFQILANSWRYSSAFTNRIFFAMVDFDEGSDVFQMLNMNSAPTFINFPAKGKPKRGDTYELQVRGFSAEQIARWIADRTDVNIRVIRPPNYAGPLMLGLLLAVIGGLVYLRRSNMEFLFNKTGWAFAALCFVLAMTSGQMWNHIRGPPYAHKNPHTGHVNYIHGSSQAQFVAETHIVLLFNGGVTLGMVLLCEAATSDMDIGKRKIMCVAGIGLVVLFFSWMLSIFRSKYHGYPYSFLMS
+>DECOY_sp|Q9H0U3|MAGT1_HUMAN Magnesium transporter protein 1 OS=Homo sapiens OX=9606 GN=MAGT1 PE=1 SV=1
+SMLFSYPYGHYKSRFISLMWSFFLVVLGIGAVCMIKRKGIDMDSTAAECLLVMGLTVGGNFLLVIHTEAVFQAQSSGHIYNVHGTHPNKHAYPPGRIHNWMQGSTMALVFCLAAFAWGTKNFLFEMNSRRLYVLGGIVALLLGLMLPGAYNPPRIVRINVDTRDAIWRAIQEASFGRVQLEYTDGRKPKGKAPFNIFTPASNMNLMQFVDSGEDFDVMAFFIRNTFASSYRWSNALIQFEEDAQKCVVCQRHLQLATFMVIVSYNRPPAKVLRRFKDGNMRIVPRKNTWEMLQSVKESLVMEKKRQASASPVDCVILLAVVMTVSVCWFRWRAAM
+>sp|A6NHS7|MANS4_HUMAN MANSC domain-containing protein 4 OS=Homo sapiens OX=9606 GN=MANSC4 PE=3 SV=3
+MHVAEVAVNVILLLSMGWTSDSLCSPTIFYRDCWIRRFPGLLINLEESQKLGAQFLKYYSESTGQKCSRSCCLRKDVSCNLAVFYHSPIHDNINCLHVHCPTLESCILEPGTSAILYNITDGIDPDLLVFEQSPTYLNTRSSSNRWDRLRILKAMNLDKQTTTINGMLPSTEAPSSTTHQDLVVNTNSTSYSKELTTDFWARFTSLNESITTKINKVSPSTDFISNPDNKTISPFFEPIDTKLSHMPVPPGLNSSKQLLNKTKGYNSRNHTSANEDEVSVTSKTWLVSVALCTSVIFLGCCIVILASGCCGKQQGQYKPGQRKSGSLQIKNRNHMKENSS
+>DECOY_sp|A6NHS7|MANS4_HUMAN MANSC domain-containing protein 4 OS=Homo sapiens OX=9606 GN=MANSC4 PE=3 SV=3
+SSNEKMHNRNKIQLSGSKRQGPKYQGQQKGCCGSALIVICCGLFIVSTCLAVSVLWTKSTVSVEDENASTHNRSNYGKTKNLLQKSSNLGPPVPMHSLKTDIPEFFPSITKNDPNSIFDTSPSVKNIKTTISENLSTFRAWFDTTLEKSYSTSNTNVVLDQHTTSSPAETSPLMGNITTTQKDLNMAKLIRLRDWRNSSSRTNLYTPSQEFVLLDPDIGDTINYLIASTGPELICSELTPCHVHLCNINDHIPSHYFVALNCSVDKRLCCSRSCKQGTSESYYKLFQAGLKQSEELNILLGPFRRIWCDRYFITPSCLSDSTWGMSLLLIVNVAVEAVHM
+>sp|P23368|MAOM_HUMAN NAD-dependent malic enzyme, mitochondrial OS=Homo sapiens OX=9606 GN=ME2 PE=1 SV=1
+MLSRLRVVSTTCTLACRHLHIKEKGKPLMLNPRTNKGMAFTLQERQMLGLQGLLPPKIETQDIQALRFHRNLKKMTSPLEKYIYIMGIQERNEKLFYRILQDDIESLMPIVYTPTVGLACSQYGHIFRRPKGLFISISDRGHVRSIVDNWPENHVKAVVVTDGERILGLGDLGVYGMGIPVGKLCLYTACAGIRPDRCLPVCIDVGTDNIALLKDPFYMGLYQKRDRTQQYDDLIDEFMKAITDRYGRNTLIQFEDFGNHNAFRFLRKYREKYCTFNDDIQGTAAVALAGLLAAQKVISKPISEHKILFLGAGEAALGIANLIVMSMVENGLSEQEAQKKIWMFDKYGLLVKGRKAKIDSYQEPFTHSAPESIPDTFEDAVNILKPSTIIGVAGAGRLFTPDVIRAMASINERPVIFALSNPTAQAECTAEEAYTLTEGRCLFASGSPFGPVKLTDGRVFTPGQGNNVYIFPGVALAVILCNTRHISDSVFLEAAKALTSQLTDEELAQGRLYPPLANIQEVSINIAIKVTEYLYANKMAFRYPEPEDKAKYVKERTWRSEYDSLLPDVYEWPESASSPPVITE
+>DECOY_sp|P23368|MAOM_HUMAN NAD-dependent malic enzyme, mitochondrial OS=Homo sapiens OX=9606 GN=ME2 PE=1 SV=1
+ETIVPPSSASEPWEYVDPLLSDYESRWTREKVYKAKDEPEPYRFAMKNAYLYETVKIAINISVEQINALPPYLRGQALEEDTLQSTLAKAAELFVSDSIHRTNCLIVALAVGPFIYVNNGQGPTFVRGDTLKVPGFPSGSAFLCRGETLTYAEEATCEAQATPNSLAFIVPRENISAMARIVDPTFLRGAGAVGIITSPKLINVADEFTDPISEPASHTFPEQYSDIKAKRGKVLLGYKDFMWIKKQAEQESLGNEVMSMVILNAIGLAAEGAGLFLIKHESIPKSIVKQAALLGALAVAATGQIDDNFTCYKERYKRLFRFANHNGFDEFQILTNRGYRDTIAKMFEDILDDYQQTRDRKQYLGMYFPDKLLAINDTGVDICVPLCRDPRIGACATYLCLKGVPIGMGYVGLDGLGLIREGDTVVVAKVHNEPWNDVISRVHGRDSISIFLGKPRRFIHGYQSCALGVTPTYVIPMLSEIDDQLIRYFLKENREQIGMIYIYKELPSTMKKLNRHFRLAQIDQTEIKPPLLGQLGLMQREQLTFAMGKNTRPNLMLPKGKEKIHLHRCALTCTTSVVRLRSLM
+>sp|Q9P2M1|LR2BP_HUMAN LRP2-binding protein OS=Homo sapiens OX=9606 GN=LRP2BP PE=1 SV=2
+MKLTSEKLPKNPFYASVSQYAAKNQKFFQWKKEKTDYTHANLVDKALQLLKERILKGDTLAYFLRGQLYFEEGWYEEALEQFEEIKEKDHQATYQLGVMYYDGLGTTLDAEKGVDYMKKILDSPCPKARHLKFAAAYNLGRAYYEGKGVKRSNEEAERLWLIAADNGNPKASVKAQSMLGLYYSTKEPKELEKAFYWHSEACGNGNLESQGALGLMYLYGQGIRQDTEAALQCLREAAERGNVYAQGNLVEYYYKMKFFTKCVAFSKRIADYDEVHDIPMIAQVTDCLPEFIGRGMAMASFYHARCLQLGLGITRDETTAKHYYSKACRLNPALADELHSLLIRQRI
+>DECOY_sp|Q9P2M1|LR2BP_HUMAN LRP2-binding protein OS=Homo sapiens OX=9606 GN=LRP2BP PE=1 SV=2
+IRQRILLSHLEDALAPNLRCAKSYYHKATTEDRTIGLGLQLCRAHYFSAMAMGRGIFEPLCDTVQAIMPIDHVEDYDAIRKSFAVCKTFFKMKYYYEVLNGQAYVNGREAAERLCQLAAETDQRIGQGYLYMLGLAGQSELNGNGCAESHWYFAKELEKPEKTSYYLGLMSQAKVSAKPNGNDAAILWLREAEENSRKVGKGEYYARGLNYAAAFKLHRAKPCPSDLIKKMYDVGKEADLTTGLGDYYMVGLQYTAQHDKEKIEEFQELAEEYWGEEFYLQGRLFYALTDGKLIREKLLQLAKDVLNAHTYDTKEKKWQFFKQNKAAYQSVSAYFPNKPLKESTLKM
+>sp|Q8NAA5|LR75A_HUMAN Leucine-rich repeat-containing protein 75A OS=Homo sapiens OX=9606 GN=LRRC75A PE=2 SV=2
+MGTRQTKGSLAERASPGAAPGPRRERPDFWASLLLRAGDKAGRAGAGMPPYHRRVGMVQELLRMVRQGRREEAGTLLQHLRQDLGMESTSLDDVLYRYASFRNLVDPITHDLIISLARYIHCPKPEGDALGAMEKLCRQLTYHLSPHSQWRRHRGLVKRKPQACLKAVLAGSPPDNTVDLSGIPLTSRDLERVTSYLQRCGEQVDSVELGFTGLTDDMVLQLLPALSTLPRLTTLALNGNRLTRAVLRDLTDILKDPSKFPNVTWIDLGNNVDIFSLPQPFLLSLRKRSPKQGHLPTILELGEGPGSGEEVREGTVGQEDPGGGPVAPAEDHHEGKETVAAAQT
+>DECOY_sp|Q8NAA5|LR75A_HUMAN Leucine-rich repeat-containing protein 75A OS=Homo sapiens OX=9606 GN=LRRC75A PE=2 SV=2
+TQAAAVTEKGEHHDEAPAVPGGGPDEQGVTGERVEEGSGPGEGLELITPLHGQKPSRKRLSLLFPQPLSFIDVNNGLDIWTVNPFKSPDKLIDTLDRLVARTLRNGNLALTTLRPLTSLAPLLQLVMDDTLGTFGLEVSDVQEGCRQLYSTVRELDRSTLPIGSLDVTNDPPSGALVAKLCAQPKRKVLGRHRRWQSHPSLHYTLQRCLKEMAGLADGEPKPCHIYRALSIILDHTIPDVLNRFSAYRYLVDDLSTSEMGLDQRLHQLLTGAEERRGQRVMRLLEQVMGVRRHYPPMGAGARGAKDGARLLLSAWFDPRERRPGPAAGPSAREALSGKTQRTGM
+>sp|Q8TCA0|LRC20_HUMAN Leucine-rich repeat-containing protein 20 OS=Homo sapiens OX=9606 GN=LRRC20 PE=1 SV=1
+MLKKMGEAVARVARKVNETVESGSDTLDLAECKLVSFPIGIYKVLRNVSGQIHLITLANNELKSLTSKFMTTFSQLRELHLEGNFLHRLPSEVSALQHLKAIDLSRNQFQDFPEQLTALPALETINLEENEIVDVPVEKLAAMPALRSINLRFNPLNAEVRVIAPPLIKFDMLMSPEGARAPLP
+>DECOY_sp|Q8TCA0|LRC20_HUMAN Leucine-rich repeat-containing protein 20 OS=Homo sapiens OX=9606 GN=LRRC20 PE=1 SV=1
+PLPARAGEPSMLMDFKILPPAIVRVEANLPNFRLNISRLAPMAALKEVPVDVIENEELNITELAPLATLQEPFDQFQNRSLDIAKLHQLASVESPLRHLFNGELHLERLQSFTTMFKSTLSKLENNALTILHIQGSVNRLVKYIGIPFSVLKCEALDLTDSGSEVTENVKRAVRAVAEGMKKLM
+>sp|A6NM36|LRC30_HUMAN Leucine-rich repeat-containing protein 30 OS=Homo sapiens OX=9606 GN=LRRC30 PE=4 SV=1
+MGARQSRASSKDKGPKRMLFTGRRQKFSPWDDALLSGRDPRSLLKRGMHHVSFSLVTRGMTDIPDFLWGLSEVQKLNLSHNQLRVLPPEVGKLTRIVVLNLCGNRLKSLPREVSLLQCLKVLFVNMNCLTEVPAELSLCRKLEVLSLSHNCLSQLPACFADLSRLRKLNLSNNFFAHIPMCVFSLKELIFLHVGSNRLENIAESIQHLASLQIFIAEGNNIHSFPRSLCLVTSLELLNLNNNDIQTLPSELHLLCRLVRIAWNPMDKGLHISHNPLSKPLPELVEGGLEMLFGYLKDKKHT
+>DECOY_sp|A6NM36|LRC30_HUMAN Leucine-rich repeat-containing protein 30 OS=Homo sapiens OX=9606 GN=LRRC30 PE=4 SV=1
+THKKDKLYGFLMELGGEVLEPLPKSLPNHSIHLGKDMPNWAIRVLRCLLHLESPLTQIDNNNLNLLELSTVLCLSRPFSHINNGEAIFIQLSALHQISEAINELRNSGVHLFILEKLSFVCMPIHAFFNNSLNLKRLRSLDAFCAPLQSLCNHSLSLVELKRCLSLEAPVETLCNMNVFLVKLCQLLSVERPLSKLRNGCLNLVVIRTLKGVEPPLVRLQNHSLNLKQVESLGWLFDPIDTMGRTVLSFSVHHMGRKLLSRPDRGSLLADDWPSFKQRRGTFLMRKPGKDKSSARSQRAGM
+>sp|Q8N309|LRC43_HUMAN Leucine-rich repeat-containing protein 43 OS=Homo sapiens OX=9606 GN=LRRC43 PE=2 SV=2
+MEASYESESESESEAGPGTQRPGTGTVSAAVREHLRKLCLREFPCGAGSWNKSRFLPQTWRTWRELVPREEDVVSPGEETVEALLGLVRSRHSPWALLNNSNAEDSFLRELAIRNPLTITDTFFYSYFRSLRVIDKKVTLVDKDLLKFLKLEELVLSANRIKEVDATNLPPTLKVLELYGNEISSMECLCAHPPAGLQHLGLGHNKLLGPLESLYVTANHWPNLVSLDLGFNDLTDLQSMVTSLRTLRHLRLLVLQGNPLALVPYYRGLTIDSLAQLCVLDDITVSPNEKHLFRGLSLNGDLLAQEAQFVVTIGNIRGVLDTSVLDPEPRPEGPFITYNYYVTYDFVKDEEGEMNESAGVLAEIVKPSPSLELLVEESPEEVVEDVIEDIVEEVTEEVEGSLESEVEESGESELSVISGPSTILQMPRASAEELAKLRLRIDPRLCPSPGTVLFSTAHKPWAEVIPCSYEMQHSLRDLVPLKAFLLAGTTVTIVEEKILSWPVVLPAVDSPLSAKKGKGEKDKKGKEKDRTGKGEKEPAKEWKVLKKKKEPPKELRQDPPILQVLGRGLVILEPLLAGEPLVSTVCNFGVVRTLTSDRLTLARDSKKIKKVAKKEKPKAVIPIYEGDYHPEPLTVEVQIQLNQCRSAEEALRMFAV
+>DECOY_sp|Q8N309|LRC43_HUMAN Leucine-rich repeat-containing protein 43 OS=Homo sapiens OX=9606 GN=LRRC43 PE=2 SV=2
+VAFMRLAEEASRCQNLQIQVEVTLPEPHYDGEYIPIVAKPKEKKAVKKIKKSDRALTLRDSTLTRVVGFNCVTSVLPEGALLPELIVLGRGLVQLIPPDQRLEKPPEKKKKLVKWEKAPEKEGKGTRDKEKGKKDKEGKGKKASLPSDVAPLVVPWSLIKEEVITVTTGALLFAKLPVLDRLSHQMEYSCPIVEAWPKHATSFLVTGPSPCLRPDIRLRLKALEEASARPMQLITSPGSIVSLESEGSEEVESELSGEVEETVEEVIDEIVDEVVEEPSEEVLLELSPSPKVIEALVGASENMEGEEDKVFDYTVYYNYTIFPGEPRPEPDLVSTDLVGRINGITVVFQAEQALLDGNLSLGRFLHKENPSVTIDDLVCLQALSDITLGRYYPVLALPNGQLVLLRLHRLTRLSTVMSQLDTLDNFGLDLSVLNPWHNATVYLSELPGLLKNHGLGLHQLGAPPHACLCEMSSIENGYLELVKLTPPLNTADVEKIRNASLVLEELKLFKLLDKDVLTVKKDIVRLSRFYSYFFTDTITLPNRIALERLFSDEANSNNLLAWPSHRSRVLGLLAEVTEEGPSVVDEERPVLERWTRWTQPLFRSKNWSGAGCPFERLCLKRLHERVAASVTGTGPRQTGPGAESESESESEYSAEM
+>sp|Q9NT99|LRC4B_HUMAN Leucine-rich repeat-containing protein 4B OS=Homo sapiens OX=9606 GN=LRRC4B PE=2 SV=3
+MARARGSPCPPLPPGRMSWPHGALLFLWLFSPPLGAGGGGVAVTSAAGGGSPPATSCPVACSCSNQASRVICTRRDLAEVPASIPVNTRYLNLQENGIQVIRTDTFKHLRHLEILQLSKNLVRKIEVGAFNGLPSLNTLELFDNRLTTVPTQAFEYLSKLRELWLRNNPIESIPSYAFNRVPSLRRLDLGELKRLEYISEAAFEGLVNLRYLNLGMCNLKDIPNLTALVRLEELELSGNRLDLIRPGSFQGLTSLRKLWLMHAQVATIERNAFDDLKSLEELNLSHNNLMSLPHDLFTPLHRLERVHLNHNPWHCNCDVLWLSWWLKETVPSNTTCCARCHAPAGLKGRYIGELDQSHFTCYAPVIVEPPTDLNVTEGMAAELKCRTGTSMTSVNWLTPNGTLMTHGSYRVRISVLHDGTLNFTNVTVQDTGQYTCMVTNSAGNTTASATLNVSAVDPVAAGGTGSGGGGPGGSGGVGGGSGGYTYFTTVTVETLETQPGEEALQPRGTEKEPPGPTTDGVWGGGRPGDAAGPASSSTTAPAPRSSRPTEKAFTVPITDVTENALKDLDDVMKTTKIIIGCFVAITFMAAVMLVAFYKLRKQHQLHKHHGPTRTVEIINVEDELPAASAVSVAAAAAVASGGGVGGDSHLALPALERDHLNHHHYVAAAFKAHYSSNPSGGGCGGKGPPGLNSIHEPLLFKSGSKENVQETQI
+>DECOY_sp|Q9NT99|LRC4B_HUMAN Leucine-rich repeat-containing protein 4B OS=Homo sapiens OX=9606 GN=LRRC4B PE=2 SV=3
+IQTEQVNEKSGSKFLLPEHISNLGPPGKGGCGGGSPNSSYHAKFAAAVYHHHNLHDRELAPLALHSDGGVGGGSAVAAAAAVSVASAAPLEDEVNIIEVTRTPGHHKHLQHQKRLKYFAVLMVAAMFTIAVFCGIIIKTTKMVDDLDKLANETVDTIPVTFAKETPRSSRPAPATTSSSAPGAADGPRGGGWVGDTTPGPPEKETGRPQLAEEGPQTELTEVTVTTFYTYGGSGGGVGGSGGPGGGGSGTGGAAVPDVASVNLTASATTNGASNTVMCTYQGTDQVTVNTFNLTGDHLVSIRVRYSGHTMLTGNPTLWNVSTMSTGTRCKLEAAMGETVNLDTPPEVIVPAYCTFHSQDLEGIYRGKLGAPAHCRACCTTNSPVTEKLWWSLWLVDCNCHWPNHNLHVRELRHLPTFLDHPLSMLNNHSLNLEELSKLDDFANREITAVQAHMLWLKRLSTLGQFSGPRILDLRNGSLELEELRVLATLNPIDKLNCMGLNLYRLNVLGEFAAESIYELRKLEGLDLRRLSPVRNFAYSPISEIPNNRLWLERLKSLYEFAQTPVTTLRNDFLELTNLSPLGNFAGVEIKRVLNKSLQLIELHRLHKFTDTRIVQIGNEQLNLYRTNVPISAPVEALDRRTCIVRSAQNSCSCAVPCSTAPPSGGGAASTVAVGGGGAGLPPSFLWLFLLAGHPWSMRGPPLPPCPSGRARAM
+>sp|Q9HCJ2|LRC4C_HUMAN Leucine-rich repeat-containing protein 4C OS=Homo sapiens OX=9606 GN=LRRC4C PE=1 SV=1
+MLNKMTLHPQQIMIGPRFNRALFDPLLVVLLALQLLVVAGLVRAQTCPSVCSCSNQFSKVICVRKNLREVPDGISTNTRLLNLHENQIQIIKVNSFKHLRHLEILQLSRNHIRTIEIGAFNGLANLNTLELFDNRLTTIPNGAFVYLSKLKELWLRNNPIESIPSYAFNRIPSLRRLDLGELKRLSYISEGAFEGLSNLRYLNLAMCNLREIPNLTPLIKLDELDLSGNHLSAIRPGSFQGLMHLQKLWMIQSQIQVIERNAFDNLQSLVEINLAHNNLTLLPHDLFTPLHHLERIHLHHNPWNCNCDILWLSWWIKDMAPSNTACCARCNTPPNLKGRYIGELDQNYFTCYAPVIVEPPADLNVTEGMAAELKCRASTSLTSVSWITPNGTVMTHGAYKVRIAVLSDGTLNFTNVTVQDTGMYTCMVSNSVGNTTASATLNVTAATTTPFSYFSTVTVETMEPSQDEARTTDNNVGPTPVVDWETTNVTTSLTPQSTRSTEKTFTIPVTDINSGIPGIDEVMKTTKIIIGCFVAITLMAAVMLVIFYKMRKQHHRQNHHAPTRTVEIINVDDEITGDTPMESHLPMPAIEHEHLNHYNSYKSPFNHTTTVNTINSIHSSVHEPLLIRMNSKDNVQETQI
+>DECOY_sp|Q9HCJ2|LRC4C_HUMAN Leucine-rich repeat-containing protein 4C OS=Homo sapiens OX=9606 GN=LRRC4C PE=1 SV=1
+IQTEQVNDKSNMRILLPEHVSSHISNITNVTTTHNFPSKYSNYHNLHEHEIAPMPLHSEMPTDGTIEDDVNIIEVTRTPAHHNQRHHQKRMKYFIVLMVAAMLTIAVFCGIIIKTTKMVEDIGPIGSNIDTVPITFTKETSRTSQPTLSTTVNTTEWDVVPTPGVNNDTTRAEDQSPEMTEVTVTSFYSFPTTTAATVNLTASATTNGVSNSVMCTYMGTDQVTVNTFNLTGDSLVAIRVKYAGHTMVTGNPTIWSVSTLSTSARCKLEAAMGETVNLDAPPEVIVPAYCTFYNQDLEGIYRGKLNPPTNCRACCATNSPAMDKIWWSLWLIDCNCNWPNHHLHIRELHHLPTFLDHPLLTLNNHALNIEVLSQLNDFANREIVQIQSQIMWLKQLHMLGQFSGPRIASLHNGSLDLEDLKILPTLNPIERLNCMALNLYRLNSLGEFAGESIYSLRKLEGLDLRRLSPIRNFAYSPISEIPNNRLWLEKLKSLYVFAGNPITTLRNDFLELTNLNALGNFAGIEITRIHNRSLQLIELHRLHKFSNVKIIQIQNEHLNLLRTNTSIGDPVERLNKRVCIVKSFQNSCSCVSPCTQARVLGAVVLLQLALLVVLLPDFLARNFRPGIMIQQPHLTMKNLM
+>sp|Q8N9N7|LRC57_HUMAN Leucine-rich repeat-containing protein 57 OS=Homo sapiens OX=9606 GN=LRRC57 PE=1 SV=1
+MGNSALRAHVETAQKTGVFQLKDRGLTEFPADLQKLTSNLRTIDLSNNKIESLPPLLIGKFTLLKSLSLNNNKLTVLPDEICNLKKLETLSLNNNHLRELPSTFGQLSALKTLSLSGNQLGALPPQLCSLRHLDVMDLSKNQIRSIPDSVGELQVIELNLNQNQISQISVKISCCPRLKILRLEENCLELSMLPQSILSDSQICLLAVEGNLFEIKKLRELEGYDKYMERFTATKKKFA
+>DECOY_sp|Q8N9N7|LRC57_HUMAN Leucine-rich repeat-containing protein 57 OS=Homo sapiens OX=9606 GN=LRRC57 PE=1 SV=1
+AFKKKTATFREMYKDYGELERLKKIEFLNGEVALLCIQSDSLISQPLMSLELCNEELRLIKLRPCCSIKVSIQSIQNQNLNLEIVQLEGVSDPISRIQNKSLDMVDLHRLSCLQPPLAGLQNGSLSLTKLASLQGFTSPLERLHNNNLSLTELKKLNCIEDPLVTLKNNNLSLSKLLTFKGILLPPLSEIKNNSLDITRLNSTLKQLDAPFETLGRDKLQFVGTKQATEVHARLASNGM
+>sp|Q6ZNQ3|LRC69_HUMAN Leucine-rich repeat-containing protein 69 OS=Homo sapiens OX=9606 GN=LRRC69 PE=2 SV=2
+MTERLLIKALSGGKNTKIITLNGKKMTKMPSALGKLPGLKTLVLQNNLIPKVCPELCNLTQLTTLNLGNNLLEEVPEEMKYLTSLKNLHLSGNRICRFAPGACDGLQNLILLNLNNNHLTQLPQEVSRLKSLTYMSINYNQLASIPRELCFLENLVELQLNYNQLICIPEEIKFLKKLQKLLLARNNIGVLPEELCDLKKLRILDIAGNIIQIFPSGFQDLKLREFYCEGNPLFLQQPVISTQQENVWSLQEITSRFVMNQLAENNPFLMDDIERYPQVRSMISQGKTCAICGQYFITVWLECVRFVPPPKDWKISKNLKLVPLQVLICSYKCFTQRDPNLFGIAQV
+>DECOY_sp|Q6ZNQ3|LRC69_HUMAN Leucine-rich repeat-containing protein 69 OS=Homo sapiens OX=9606 GN=LRRC69 PE=2 SV=2
+VQAIGFLNPDRQTFCKYSCILVQLPVLKLNKSIKWDKPPPVFRVCELWVTIFYQGCIACTKGQSIMSRVQPYREIDDMLFPNNEALQNMVFRSTIEQLSWVNEQQTSIVPQQLFLPNGECYFERLKLDQFGSPFIQIINGAIDLIRLKKLDCLEEPLVGINNRALLLKQLKKLFKIEEPICILQNYNLQLEVLNELFCLERPISALQNYNISMYTLSKLRSVEQPLQTLHNNNLNLLILNQLGDCAGPAFRCIRNGSLHLNKLSTLYKMEEPVEELLNNGLNLTTLQTLNCLEPCVKPILNNQLVLTKLGPLKGLASPMKTMKKGNLTIIKTNKGGSLAKILLRETM
+>sp|A6NJI9|LRC72_HUMAN Leucine-rich repeat-containing protein 72 OS=Homo sapiens OX=9606 GN=LRRC72 PE=2 SV=2
+MSWDPNPVPRTLRCWRLRRASETALQSSRRAVEDQLKICGHRRDADVFELFLSKKELTEVIDLSRFKKLKYLWLHHNKLHGITFLTRNYCLTELYLNNNAIFEIEGLHYLPSLHILLLHHNELTNIDATVKELKGMLNLKILSLYQNPLCQYNLYRLYIIYHLPGVELLDRNQVTEKERRSMITIFNHKKAHIVQSIAFGGKVDASWDPKSPFKQKPAQRVPSDFAFANNVDKTVLDDPEDAVFVRSMKRSVMTLTSMNWDTVPTREERYLEEEGTETAQMLTVTLR
+>DECOY_sp|A6NJI9|LRC72_HUMAN Leucine-rich repeat-containing protein 72 OS=Homo sapiens OX=9606 GN=LRRC72 PE=2 SV=2
+RLTVTLMQATETGEEELYREERTPVTDWNMSTLTMVSRKMSRVFVADEPDDLVTKDVNNAFAFDSPVRQAPKQKFPSKPDWSADVKGGFAISQVIHAKKHNFITIMSRREKETVQNRDLLEVGPLHYIIYLRYLNYQCLPNQYLSLIKLNLMGKLEKVTADINTLENHHLLLIHLSPLYHLGEIEFIANNNLYLETLCYNRTLFTIGHLKNHHLWLYKLKKFRSLDIVETLEKKSLFLEFVDADRRHGCIKLQDEVARRSSQLATESARRLRWCRLTRPVPNPDWSM
+>sp|O75427|LRCH4_HUMAN Leucine-rich repeat and calponin homology domain-containing protein 4 OS=Homo sapiens OX=9606 GN=LRCH4 PE=1 SV=2
+MAAAVAAPLAAGGEEAAATTSVPGSPGLPGRRSAERALEEAVATGTLNLSNRRLKHFPRGAARSYDLSDITQADLSRNRFPEVPEAACQLVSLEGLSLYHNCLRCLNPALGNLTALTYLNLSRNQLSLLPPYICQLPLRVLIVSNNKLGALPPDIGTLGSLRQLDVSSNELQSLPSELCGLSSLRDLNVRRNQLSTLPEELGDLPLVRLDFSCNRVSRIPVSFCRLRHLQVILLDSNPLQSPPAQVCLKGKLHIFKYLSTEAGQRGSALGDLAPSRPPSFSPCPAEDLFPGHRYDGGLDSGFHSVDSGSKRWSGNESTDEFSELSFRISELAREPRGPRERKEDGSADGDPVQIDFIDSHVPGEDEERGTVEEQRPPELSPGAGDRERAPSSRREEPAGEERRRPDTLQLWQERERRQQQQSGAWGAPRKDSLLKPGLRAVVGGAAAVSTQAMHNGSPKSSASQAGAAAGQGAPAPAPASQEPLPIAGPATAPAPRPLGSIQRPNSFLFRSSSQSGSGPSSPDSVLRPRRYPQVPDEKDLMTQLRQVLESRLQRPLPEDLAEALASGVILCQLANQLRPRSVPFIHVPSPAVPKLSALKARKNVESFLEACRKMGVPEADLCSPSDLLQGTARGLRTALEAVKRVGGKALPPLWPPSGLGGFVVFYVVLMLLLYVTYTRLLGS
+>DECOY_sp|O75427|LRCH4_HUMAN Leucine-rich repeat and calponin homology domain-containing protein 4 OS=Homo sapiens OX=9606 GN=LRCH4 PE=1 SV=2
+SGLLRTYTVYLLLMLVVYFVVFGGLGSPPWLPPLAKGGVRKVAELATRLGRATGQLLDSPSCLDAEPVGMKRCAELFSEVNKRAKLASLKPVAPSPVHIFPVSRPRLQNALQCLIVGSALAEALDEPLPRQLRSELVQRLQTMLDKEDPVQPYRRPRLVSDPSSPGSGSQSSSRFLFSNPRQISGLPRPAPATAPGAIPLPEQSAPAPAPAGQGAAAGAQSASSKPSGNHMAQTSVAAAGGVVARLGPKLLSDKRPAGWAGSQQQQRREREQWLQLTDPRRREEGAPEERRSSPARERDGAGPSLEPPRQEEVTGREEDEGPVHSDIFDIQVPDGDASGDEKRERPGRPERALESIRFSLESFEDTSENGSWRKSGSDVSHFGSDLGGDYRHGPFLDEAPCPSFSPPRSPALDGLASGRQGAETSLYKFIHLKGKLCVQAPPSQLPNSDLLIVQLHRLRCFSVPIRSVRNCSFDLRVLPLDGLEEPLTSLQNRRVNLDRLSSLGCLESPLSQLENSSVDLQRLSGLTGIDPPLAGLKNNSVILVRLPLQCIYPPLLSLQNRSLNLYTLATLNGLAPNLCRLCNHYLSLGELSVLQCAAEPVEPFRNRSLDAQTIDSLDYSRAAGRPFHKLRRNSLNLTGTAVAEELAREASRRGPLGPSGPVSTTAAAEEGGAALPAAVAAAM
+>sp|Q9BTT6|LRRC1_HUMAN Leucine-rich repeat-containing protein 1 OS=Homo sapiens OX=9606 GN=LRRC1 PE=1 SV=1
+MFHCIPLWRCNRHVESIDKRHCSLVYVPEEIYRYARSLEELLLDANQLRELPEQFFQLVKLRKLGLSDNEIQRLPPEIANFMQLVELDVSRNEIPEIPESISFCKALQVADFSGNPLTRLPESFPELQNLTCLSVNDISLQSLPENIGNLYNLASLELRENLLTYLPDSLTQLRRLEELDLGNNEIYNLPESIGALLHLKDLWLDGNQLSELPQEIGNLKNLLCLDVSENRLERLPEEISGLTSLTDLVISQNLLETIPDGIGKLKKLSILKVDQNRLTQLPEAVGECESLTELVLTENQLLTLPKSIGKLKKLSNLNADRNKLVSLPKEIGGCCSLTVFCVRDNRLTRIPAEVSQATELHVLDVAGNRLLHLPLSLTALKLKALWLSDNQSQPLLTFQTDTDYTTGEKILTCVLLPQLPSEPTCQENLPRCGALENLVNDVSDEAWNERAVNRVSAIRFVEDEKDEEDNETRTLLRRATPHPGELKHMKKTVENLRNDMNAAKGLDSNKNEVNHAIDRVTTSV
+>DECOY_sp|Q9BTT6|LRRC1_HUMAN Leucine-rich repeat-containing protein 1 OS=Homo sapiens OX=9606 GN=LRRC1 PE=1 SV=1
+VSTTVRDIAHNVENKNSDLGKAANMDNRLNEVTKKMHKLEGPHPTARRLLTRTENDEEDKEDEVFRIASVRNVARENWAEDSVDNVLNELAGCRPLNEQCTPESPLQPLLVCTLIKEGTTYDTDTQFTLLPQSQNDSLWLAKLKLATLSLPLHLLRNGAVDLVHLETAQSVEAPIRTLRNDRVCFVTLSCCGGIEKPLSVLKNRDANLNSLKKLKGISKPLTLLQNETLVLETLSECEGVAEPLQTLRNQDVKLISLKKLKGIGDPITELLNQSIVLDTLSTLGSIEEPLRELRNESVDLCLLNKLNGIEQPLESLQNGDLWLDKLHLLAGISEPLNYIENNGLDLEELRRLQTLSDPLYTLLNERLELSALNYLNGINEPLSQLSIDNVSLCTLNQLEPFSEPLRTLPNGSFDAVQLAKCFSISEPIEPIENRSVDLEVLQMFNAIEPPLRQIENDSLGLKRLKVLQFFQEPLERLQNADLLLEELSRAYRYIEEPVYVLSCHRKDISEVHRNCRWLPICHFM
+>sp|Q9HBW1|LRRC4_HUMAN Leucine-rich repeat-containing protein 4 OS=Homo sapiens OX=9606 GN=LRRC4 PE=1 SV=2
+MKLLWQVTVHHHTWNAILLPFVYLTAQVWILCAAIAAAASAGPQNCPSVCSCSNQFSKVVCTRRGLSEVPQGIPSNTRYLNLMENNIQMIQADTFRHLHHLEVLQLGRNSIRQIEVGAFNGLASLNTLELFDNWLTVIPSGAFEYLSKLRELWLRNNPIESIPSYAFNRVPSLMRLDLGELKKLEYISEGAFEGLFNLKYLNLGMCNIKDMPNLTPLVGLEELEMSGNHFPEIRPGSFHGLSSLKKLWVMNSQVSLIERNAFDGLASLVELNLAHNNLSSLPHDLFTPLRYLVELHLHHNPWNCDCDILWLAWWLREYIPTNSTCCGRCHAPMHMRGRYLVEVDQASFQCSAPFIMDAPRDLNISEGRMAELKCRTPPMSSVKWLLPNGTVLSHASRHPRISVLNDGTLNFSHVLLSDTGVYTCMVTNVAGNSNASAYLNVSTAELNTSNYSFFTTVTVETTEISPEDTTRKYKPVPTTSTGYQPAYTTSTTVLIQTTRVPKQVAVPATDTTDKMQTSLDEVMKTTKIIIGCFVAVTLLAAAMLIVFYKLRKRHQQRSTVTAARTVEIIQVDEDIPAATSAAATAAPSGVSGEGAVVLPTIHDHINYNTYKPAHGAHWTENSLGNSLHPTVTTISEPYIIQTHTKDKVQETQI
+>DECOY_sp|Q9HBW1|LRRC4_HUMAN Leucine-rich repeat-containing protein 4 OS=Homo sapiens OX=9606 GN=LRRC4 PE=1 SV=2
+IQTEQVKDKTHTQIIYPESITTVTPHLSNGLSNETWHAGHAPKYTNYNIHDHITPLVVAGEGSVGSPAATAAASTAAPIDEDVQIIEVTRAATVTSRQQHRKRLKYFVILMAAALLTVAVFCGIIIKTTKMVEDLSTQMKDTTDTAPVAVQKPVRTTQILVTTSTTYAPQYGTSTTPVPKYKRTTDEPSIETTEVTVTTFFSYNSTNLEATSVNLYASANSNGAVNTVMCTYVGTDSLLVHSFNLTGDNLVSIRPHRSAHSLVTGNPLLWKVSSMPPTRCKLEAMRGESINLDRPADMIFPASCQFSAQDVEVLYRGRMHMPAHCRGCCTSNTPIYERLWWALWLIDCDCNWPNHHLHLEVLYRLPTFLDHPLSSLNNHALNLEVLSALGDFANREILSVQSNMVWLKKLSSLGHFSGPRIEPFHNGSMELEELGVLPTLNPMDKINCMGLNLYKLNFLGEFAGESIYELKKLEGLDLRMLSPVRNFAYSPISEIPNNRLWLERLKSLYEFAGSPIVTLWNDFLELTNLSALGNFAGVEIQRISNRGLQLVELHHLHRFTDAQIMQINNEMLNLYRTNSPIGQPVESLGRRTCVVKSFQNSCSCVSPCNQPGASAAAAIAACLIWVQATLYVFPLLIANWTHHHVTVQWLLKM
+>sp|Q9Y608|LRRF2_HUMAN Leucine-rich repeat flightless-interacting protein 2 OS=Homo sapiens OX=9606 GN=LRRFIP2 PE=1 SV=1
+MGTPASGRKRTPVKDRFSAEDEALSNIAREAEARLAAKRAARAEARDIRMRELERQQKEYSLHSFDRKWGQIQKWLEDSERARYSHRSSHHRPYLGVEDALSIRSVGSHRYDMFKDRSSRLSSLNHSYSHSHGMKKRSSDSHKDLLSGLYFDQRNYSSLRHSKPTSAYYTRQSSSLYSDPLATYKSDRASPTANSGLLRSASLASLYNGGLYNPYGPRTPSECSYYSSRISSARSSPGFTNDDTASIVSSDRASRGRRESVVSAADYFSRSNRRGSVVSEVDDISIPDLSSLDEKSDKQYAENYTRPSSRNSASATTPLSGNSSRRGSGDTSSLIDPDTSLSELRDIYDLKDQIQDVEGRYMQGLKELKESLSEVEEKYKKAMVSNAQLDNEKNNLIYQVDTLKDVIEEQEEQMAEFYRENEEKSKELERQKHMCSVLQHKMEELKEGLRQRDELIEEKQRMQQKIDTMTKEVFDLQETLLWKDKKIGALEKQKEYIACLRNERDMLREELADLQETVKTGEKHGLVIIPDGTPNGDVSHEPVAGAITVVSQEAAQVLESAGEGPLDVRLRKLAGEKEELLSQIRKLKLQLEEERQKCSRNDGTVGDLAGLQNGSDLQFIEMQRDANRQISEYKFKLSKAEQDITTLEQSISRLEGQVLRYKTAAENAEKVEDELKAEKRKLQRELRTALDKIEEMEMTNSHLAKRLEKMKANRTALLAQQ
+>DECOY_sp|Q9Y608|LRRF2_HUMAN Leucine-rich repeat flightless-interacting protein 2 OS=Homo sapiens OX=9606 GN=LRRFIP2 PE=1 SV=1
+QQALLATRNAKMKELRKALHSNTMEMEEIKDLATRLERQLKRKEAKLEDEVKEANEAATKYRLVQGELRSISQELTTIDQEAKSLKFKYESIQRNADRQMEIFQLDSGNQLGALDGVTGDNRSCKQREEELQLKLKRIQSLLEEKEGALKRLRVDLPGEGASELVQAAEQSVVTIAGAVPEHSVDGNPTGDPIIVLGHKEGTKVTEQLDALEERLMDRENRLCAIYEKQKELAGIKKDKWLLTEQLDFVEKTMTDIKQQMRQKEEILEDRQRLGEKLEEMKHQLVSCMHKQRELEKSKEENERYFEAMQEEQEEIVDKLTDVQYILNNKENDLQANSVMAKKYKEEVESLSEKLEKLGQMYRGEVDQIQDKLDYIDRLESLSTDPDILSSTDGSGRRSSNGSLPTTASASNRSSPRTYNEAYQKDSKEDLSSLDPISIDDVESVVSGRRNSRSFYDAASVVSERRGRSARDSSVISATDDNTFGPSSRASSIRSSYYSCESPTRPGYPNYLGGNYLSALSASRLLGSNATPSARDSKYTALPDSYLSSSQRTYYASTPKSHRLSSYNRQDFYLGSLLDKHSDSSRKKMGHSHSYSHNLSSLRSSRDKFMDYRHSGVSRISLADEVGLYPRHHSSRHSYRARESDELWKQIQGWKRDFSHLSYEKQQRELERMRIDRAEARAARKAALRAEAERAINSLAEDEASFRDKVPTRKRGSAPTGM
+>sp|Q38SD2|LRRK1_HUMAN Leucine-rich repeat serine/threonine-protein kinase 1 OS=Homo sapiens OX=9606 GN=LRRK1 PE=1 SV=3
+MAGMSQRPPSMYWCVGPEESAVCPERAMETLNGAGDTGGKPSTRGGDPAARSRRTEGIRAAYRRGDRGGARDLLEEACDQCASQLEKGQLLSIPAAYGDLEMVRYLLSKRLVELPTEPTDDNPAVVAAYFGHTAVVQELLESLPGPCSPQRLLNWMLALACQRGHLGVVKLLVLTHGADPESYAVRKNEFPVIVRLPLYAAIKSGNEDIAIFLLRHGAYFCSYILLDSPDPSKHLLRKYFIEASPLPSSYPGKTALRVKWSHLRLPWVDLDWLIDISCQITELDLSANCLATLPSVIPWGLINLRKLNLSDNHLGELPGVQSSDEIICSRLLEIDISSNKLSHLPPGFLHLSKLQKLTASKNCLEKLFEEENATNWIGLRKLQELDISDNKLTELPALFLHSFKSLNSLNVSRNNLKVFPDPWACPLKCCKASRNALECLPDKMAVFWKNHLKDVDFSENALKEVPLGLFQLDALMFLRLQGNQLAALPPQEKWTCRQLKTLDLSRNQLGKNEDGLKTKRIAFFTTRGRQRSGTEAASVLEFPAFLSESLEVLCLNDNHLDTVPPSVCLLKSLSELYLGNNPGLRELPPELGQLGNLWQLDTEDLTISNVPAEIQKEGPKAMLSYLRAQLRKAEKCKLMKMIIVGPPRQGKSTLLEILQTGRAPQVVHGEATIRTTKWELQRPAGSRAKVESVEFNVWDIGGPASMATVNQCFFTDKALYVVVWNLALGEEAVANLQFWLLNIEAKAPNAVVLVVGTHLDLIEAKFRVERIATLRAYVLALCRSPSGSRATGFPDITFKHLHEISCKSLEGQEGLRQLIFHVTCSMKDVGSTIGCQRLAGRLIPRSYLSLQEAVLAEQQRRSRDDDVQYLTDRQLEQLVEQTPDNDIKDYEDLQSAISFLIETGTLLHFPDTSHGLRNLYFLDPIWLSECLQRIFNIKGSRSVAKNGVIRAEDLRMLLVGTGFTQQTEEQYFQFLAKFEIALPVANDSYLLPHLLPSKPGLDTHGMRHPTANTIQRVFKMSFVPVGFWQRFIARMLISLAEMDLQLFENKKNTKSRNRKVTIYSFTGNQRNRCSTFRVKRNQTIYWQEGLLVTFDGGYLSVESSDVNWKKKKSGGMKIVCQSEVRDFSAMAFITDHVNSLIDQWFPALTATESDGTPLMEQYVPCPVCETAWAQHTDPSEKSEDVQYFDMEDCVLTAIERDFISCPRHPDLPVPLQELVPELFMTDFPARLFLENSKLEHSEDEGSVLGQGGSGTVIYRARYQGQPVAVKRFHIKKFKNFANVPADTMLRHLRATDAMKNFSEFRQEASMLHALQHPCIVALIGISIHPLCFALELAPLSSLNTVLSENARDSSFIPLGHMLTQKIAYQIASGLAYLHKKNIIFCDLKSDNILVWSLDVKEHINIKLSDYGISRQSFHEGALGVEGTPGYQAPEIRPRIVYDEKVDMFSYGMVLYELLSGQRPALGHHQLQIAKKLSKGIRPVLGQPEEVQFRRLQALMMECWDTKPEKRPLALSVVSQMKDPTFATFMYELCCGKQTAFFSSQGQEYTVVFWDGKEESRNYTVVNTEKGLMEVQRMCCPGMKVSCQLQVQRSLWTATEDQKIYIYTLKGMCPLNTPQQALDTPAVVTCFLAVPVIKKNSYLVLAGLADGLVAVFPVVRGTPKDSCSYLCSHTANRSKFSIADEDARQNPYPVKAMEVVNSGSEVWYSNGPGLLVIDCASLEICRRLEPYMAPSMVTSVVCSSEGRGEEVVWCLDDKANSLVMYHSTTYQLCARYFCGVPSPLRDMFPVRPLDTEPPAASHTANPKVPEGDSIADVSIMYSEELGTQILIHQESLTDYCSMSSYSSSPPRQAARSPSSLPSSPASSSSVPFSTDCEDSDMLHTPGAASDRSEHDLTPMDGETFSQHLQAVKILAVRDLIWVPRRGGDVIVIGLEKDSGAQRGRVIAVLKARELTPHGVLVDAAVVAKDTVVCTFENENTEWCLAVWRGWGAREFDIFYQSYEELGRLEACTRKRR
+>DECOY_sp|Q38SD2|LRRK1_HUMAN Leucine-rich repeat serine/threonine-protein kinase 1 OS=Homo sapiens OX=9606 GN=LRRK1 PE=1 SV=3
+RRKRTCAELRGLEEYSQYFIDFERAGWGRWVALCWETNENEFTCVVTDKAVVAADVLVGHPTLERAKLVAIVRGRQAGSDKELGIVIVDGGRRPVWILDRVALIKVAQLHQSFTEGDMPTLDHESRDSAAGPTHLMDSDECDTSFPVSSSSAPSSPLSSPSRAAQRPPSSSYSSMSCYDTLSEQHILIQTGLEESYMISVDAISDGEPVKPNATHSAAPPETDLPRVPFMDRLPSPVGCFYRACLQYTTSHYMVLSNAKDDLCWVVEEGRGESSCVVSTVMSPAMYPELRRCIELSACDIVLLGPGNSYWVESGSNVVEMAKVPYPNQRADEDAISFKSRNATHSCLYSCSDKPTGRVVPFVAVLGDALGALVLYSNKKIVPVALFCTVVAPTDLAQQPTNLPCMGKLTYIYIKQDETATWLSRQVQLQCSVKMGPCCMRQVEMLGKETNVVTYNRSEEKGDWFVVTYEQGQSSFFATQKGCCLEYMFTAFTPDKMQSVVSLALPRKEPKTDWCEMMLAQLRRFQVEEPQGLVPRIGKSLKKAIQLQHHGLAPRQGSLLEYLVMGYSFMDVKEDYVIRPRIEPAQYGPTGEVGLAGEHFSQRSIGYDSLKINIHEKVDLSWVLINDSKLDCFIINKKHLYALGSAIQYAIKQTLMHGLPIFSSDRANESLVTNLSSLPALELAFCLPHISIGILAVICPHQLAHLMSAEQRFESFNKMADTARLHRLMTDAPVNAFNKFKKIHFRKVAVPQGQYRARYIVTGSGGQGLVSGEDESHELKSNELFLRAPFDTMFLEPVLEQLPVPLDPHRPCSIFDREIATLVCDEMDFYQVDESKESPDTHQAWATECVPCPVYQEMLPTGDSETATLAPFWQDILSNVHDTIFAMASFDRVESQCVIKMGGSKKKKWNVDSSEVSLYGGDFTVLLGEQWYITQNRKVRFTSCRNRQNGTFSYITVKRNRSKTNKKNEFLQLDMEALSILMRAIFRQWFGVPVFSMKFVRQITNATPHRMGHTDLGPKSPLLHPLLYSDNAVPLAIEFKALFQFYQEETQQTFGTGVLLMRLDEARIVGNKAVSRSGKINFIRQLCESLWIPDLFYLNRLGHSTDPFHLLTGTEILFSIASQLDEYDKIDNDPTQEVLQELQRDTLYQVDDDRSRRQQEALVAEQLSLYSRPILRGALRQCGITSGVDKMSCTVHFILQRLGEQGELSKCSIEHLHKFTIDPFGTARSGSPSRCLALVYARLTAIREVRFKAEILDLHTGVVLVVANPAKAEINLLWFQLNAVAEEGLALNWVVVYLAKDTFFCQNVTAMSAPGGIDWVNFEVSEVKARSGAPRQLEWKTTRITAEGHVVQPARGTQLIELLTSKGQRPPGVIIMKMLKCKEAKRLQARLYSLMAKPGEKQIEAPVNSITLDETDLQWLNGLQGLEPPLERLGPNNGLYLESLSKLLCVSPPVTDLHNDNLCLVELSESLFAPFELVSAAETGSRQRGRTTFFAIRKTKLGDENKGLQNRSLDLTKLQRCTWKEQPPLAALQNGQLRLFMLADLQFLGLPVEKLANESFDVDKLHNKWFVAMKDPLCELANRSAKCCKLPCAWPDPFVKLNNRSVNLSNLSKFSHLFLAPLETLKNDSIDLEQLKRLGIWNTANEEEFLKELCNKSATLKQLKSLHLFGPPLHSLKNSSIDIELLRSCIIEDSSQVGPLEGLHNDSLNLKRLNILGWPIVSPLTALCNASLDLETIQCSIDILWDLDVWPLRLHSWKVRLATKGPYSSPLPSAEIFYKRLLHKSPDPSDLLIYSCFYAGHRLLFIAIDENGSKIAAYLPLRVIVPFENKRVAYSEPDAGHTLVLLKVVGLHGRQCALALMWNLLRQPSCPGPLSELLEQVVATHGFYAAVVAPNDDTPETPLEVLRKSLLYRVMELDGYAAPISLLQGKELQSACQDCAEELLDRAGGRDGRRYAARIGETRRSRAAPDGGRTSPKGGTDGAGNLTEMAREPCVASEEPGVCWYMSPPRQSMGAM
+>sp|Q6UXK5|LRRN1_HUMAN Leucine-rich repeat neuronal protein 1 OS=Homo sapiens OX=9606 GN=LRRN1 PE=1 SV=1
+MARMSFVIAACQLVLGLLMTSLTESSIQNSECPQLCVCEIRPWFTPQSTYREATTVDCNDLRLTRIPSNLSSDTQVLLLQSNNIAKTVDELQQLFNLTELDFSQNNFTNIKEVGLANLTQLTTLHLEENQITEMTDYCLQDLSNLQELYINHNQISTISAHAFAGLKNLLRLHLNSNKLKVIDSRWFDSTPNLEILMIGENPVIGILDMNFKPLANLRSLVLAGMYLTDIPGNALVGLDSLESLSFYDNKLVKVPQLALQKVPNLKFLDLNKNPIHKIQEGDFKNMLRLKELGINNMGELVSVDRYALDNLPELTKLEATNNPKLSYIHRLAFRSVPALESLMLNNNALNAIYQKTVESLPNLREISIHSNPLRCDCVIHWINSNKTNIRFMEPLSMFCAMPPEYKGHQVKEVLIQDSSEQCLPMISHDSFPNRLNVDIGTTVFLDCRAMAEPEPEIYWVTPIGNKITVETLSDKYKLSSEGTLEISNIQIEDSGRYTCVAQNVQGADTRVATIKVNGTLLDGTQVLKIYVKQTESHSILVSWKVNSNVMTSNLKWSSATMKIDNPHITYTARVPVDVHEYNLTHLQPSTDYEVCLTVSNIHQQTQKSCVNVTTKNAAFAVDISDQETSTALAAVMGSMFAVISLASIAVYFAKRFKRKNYHHSLKKYMQKTSSIPLNELYPPLINLWEGDSEKDKDGSADTKPTQVDTSRSYYMW
+>DECOY_sp|Q6UXK5|LRRN1_HUMAN Leucine-rich repeat neuronal protein 1 OS=Homo sapiens OX=9606 GN=LRRN1 PE=1 SV=1
+WMYYSRSTDVQTPKTDASGDKDKESDGEWLNILPPYLENLPISSTKQMYKKLSHHYNKRKFRKAFYVAISALSIVAFMSGMVAALATSTEQDSIDVAFAANKTTVNVCSKQTQQHINSVTLCVEYDTSPQLHTLNYEHVDVPVRATYTIHPNDIKMTASSWKLNSTMVNSNVKWSVLISHSETQKVYIKLVQTGDLLTGNVKITAVRTDAGQVNQAVCTYRGSDEIQINSIELTGESSLKYKDSLTEVTIKNGIPTVWYIEPEPEAMARCDLFVTTGIDVNLRNPFSDHSIMPLCQESSDQILVEKVQHGKYEPPMACFMSLPEMFRINTKNSNIWHIVCDCRLPNSHISIERLNPLSEVTKQYIANLANNNLMLSELAPVSRFALRHIYSLKPNNTAELKTLEPLNDLAYRDVSVLEGMNNIGLEKLRLMNKFDGEQIKHIPNKNLDLFKLNPVKQLALQPVKVLKNDYFSLSELSDLGVLANGPIDTLYMGALVLSRLNALPKFNMDLIGIVPNEGIMLIELNPTSDFWRSDIVKLKNSNLHLRLLNKLGAFAHASITSIQNHNIYLEQLNSLDQLCYDTMETIQNEELHLTTLQTLNALGVEKINTFNNQSFDLETLNFLQQLEDVTKAINNSQLLLVQTDSSLNSPIRTLRLDNCDVTTAERYTSQPTFWPRIECVCLQPCESNQISSETLSTMLLGLVLQCAAIVFSMRAM
+>sp|O75325|LRRN2_HUMAN Leucine-rich repeat neuronal protein 2 OS=Homo sapiens OX=9606 GN=LRRN2 PE=2 SV=2
+MRLLVAPLLLAWVAGATAAVPVVPWHVPCPPQCACQIRPWYTPRSSYREATTVDCNDLFLTAVPPALPAGTQTLLLQSNSIVRVDQSELGYLANLTELDLSQNSFSDARDCDFHALPQLLSLHLEENQLTRLEDHSFAGLASLQELYLNHNQLYRIAPRAFSGLSNLLRLHLNSNLLRAIDSRWFEMLPNLEILMIGGNKVDAILDMNFRPLANLRSLVLAGMNLREISDYALEGLQSLESLSFYDNQLARVPRRALEQVPGLKFLDLNKNPLQRVGPGDFANMLHLKELGLNNMEELVSIDKFALVNLPELTKLDITNNPRLSFIHPRAFHHLPQMETLMLNNNALSALHQQTVESLPNLQEVGLHGNPIRCDCVIRWANATGTRVRFIEPQSTLCAEPPDLQRLPVREVPFREMTDHCLPLISPRSFPPSLQVASGESMVLHCRALAEPEPEIYWVTPAGLRLTPAHAGRRYRVYPEGTLELRRVTAEEAGLYTCVAQNLVGADTKTVSVVVGRALLQPGRDEGQGLELRVQETHPYHILLSWVTPPNTVSTNLTWSSASSLRGQGATALARLPRGTHSYNITRLLQATEYWACLQVAFADAHTQLACVWARTKEATSCHRALGDRPGLIAILALAVLLLAAGLAAHLGTGQPRKGVGGRRPLPPAWAFWGWSAPSVRVVSAPLVLPWNPGRKLPRSSEGETLLPPLSQNS
+>DECOY_sp|O75325|LRRN2_HUMAN Leucine-rich repeat neuronal protein 2 OS=Homo sapiens OX=9606 GN=LRRN2 PE=2 SV=2
+SNQSLPPLLTEGESSRPLKRGPNWPLVLPASVVRVSPASWGWFAWAPPLPRRGGVGKRPQGTGLHAALGAALLLVALALIAILGPRDGLARHCSTAEKTRAWVCALQTHADAFAVQLCAWYETAQLLRTINYSHTGRPLRALATAGQGRLSSASSWTLNTSVTNPPTVWSLLIHYPHTEQVRLELGQGEDRGPQLLARGVVVSVTKTDAGVLNQAVCTYLGAEEATVRRLELTGEPYVRYRRGAHAPTLRLGAPTVWYIEPEPEALARCHLVMSEGSAVQLSPPFSRPSILPLCHDTMERFPVERVPLRQLDPPEACLTSQPEIFRVRTGTANAWRIVCDCRIPNGHLGVEQLNPLSEVTQQHLASLANNNLMLTEMQPLHHFARPHIFSLRPNNTIDLKTLEPLNVLAFKDISVLEEMNNLGLEKLHLMNAFDGPGVRQLPNKNLDLFKLGPVQELARRPVRALQNDYFSLSELSQLGELAYDSIERLNMGALVLSRLNALPRFNMDLIADVKNGGIMLIELNPLMEFWRSDIARLLNSNLHLRLLNSLGSFARPAIRYLQNHNLYLEQLSALGAFSHDELRTLQNEELHLSLLQPLAHFDCDRADSFSNQSLDLETLNALYGLESQDVRVISNSQLLLTQTGAPLAPPVATLFLDNCDVTTAERYSSRPTYWPRIQCACQPPCPVHWPVVPVAATAGAVWALLLPAVLLRM
+>sp|Q8ND56|LS14A_HUMAN Protein LSM14 homolog A OS=Homo sapiens OX=9606 GN=LSM14A PE=1 SV=3
+MSGGTPYIGSKISLISKAEIRYEGILYTIDTENSTVALAKVRSFGTEDRPTDRPIPPRDEVFEYIIFRGSDIKDLTVCEPPKPQCSLPQDPAIVQSSLGSSTSSFQSMGSYGPFGRMPTYSQFSPSSLVGQQFGAVGVAGSSLTSFGTETSNSGTLPQSSAVGSAFTQDTRSLKTQLSQGRSSPQLDPLRKSPTMEQAVQTASAHLPAPAAVGRRSPVSTRPLPSASQKAGENQEHRRAEVHKVSRPENEQLRNDNKRQVAPGAPSAPRRGRGGHRGGRGRFGIRRDGPMKFEKDFDFESANAQFNKEEIDREFHNKLKLKEDKLEKQEKPVNGEDKGDSGVDTQNSEGNADEEDPLGPNCYYDKTKSFFDNISCDDNRERRPTWAEERRLNAETFGIPLRPNRGRGGYRGRGGLGFRGGRGRGGGRGGTFTAPRGFRGGFRGGRGGREFADFEYRKTTAFGP
+>DECOY_sp|Q8ND56|LS14A_HUMAN Protein LSM14 homolog A OS=Homo sapiens OX=9606 GN=LSM14A PE=1 SV=3
+PGFATTKRYEFDAFERGGRGGRFGGRFGRPATFTGGRGGGRGRGGRFGLGGRGRYGGRGRNPRLPIGFTEANLRREEAWTPRRERNDDCSINDFFSKTKDYYCNPGLPDEEDANGESNQTDVGSDGKDEGNVPKEQKELKDEKLKLKNHFERDIEEKNFQANASEFDFDKEFKMPGDRRIGFRGRGGRHGGRGRRPASPAGPAVQRKNDNRLQENEPRSVKHVEARRHEQNEGAKQSASPLPRTSVPSRRGVAAPAPLHASATQVAQEMTPSKRLPDLQPSSRGQSLQTKLSRTDQTFASGVASSQPLTGSNSTETGFSTLSSGAVGVAGFQQGVLSSPSFQSYTPMRGFPGYSGMSQFSSTSSGLSSQVIAPDQPLSCQPKPPECVTLDKIDSGRFIIYEFVEDRPPIPRDTPRDETGFSRVKALAVTSNETDITYLIGEYRIEAKSILSIKSGIYPTGGSM
+>sp|Q9Y333|LSM2_HUMAN U6 snRNA-associated Sm-like protein LSm2 OS=Homo sapiens OX=9606 GN=LSM2 PE=1 SV=1
+MLFYSFFKSLVGKDVVVELKNDLSICGTLHSVDQYLNIKLTDISVTDPEKYPHMLSVKNCFIRGSVVRYVQLPADEVDTQLLQDAARKEALQQKQ
+>DECOY_sp|Q9Y333|LSM2_HUMAN U6 snRNA-associated Sm-like protein LSm2 OS=Homo sapiens OX=9606 GN=LSM2 PE=1 SV=1
+QKQQLAEKRAADQLLQTDVEDAPLQVYRVVSGRIFCNKVSLMHPYKEPDTVSIDTLKINLYQDVSHLTGCISLDNKLEVVVDKGVLSKFFSYFLM
+>sp|Q9Y4Z0|LSM4_HUMAN U6 snRNA-associated Sm-like protein LSm4 OS=Homo sapiens OX=9606 GN=LSM4 PE=1 SV=1
+MLPLSLLKTAQNHPMLVELKNGETYNGHLVSCDNWMNINLREVICTSRDGDKFWRMPECYIRGSTIKYLRIPDEIIDMVKEEVVAKGRGRGGLQQQKQQKGRGMGGAGRGVFGGRGRGGIPGTGRGQPEKKPGRQAGKQ
+>DECOY_sp|Q9Y4Z0|LSM4_HUMAN U6 snRNA-associated Sm-like protein LSm4 OS=Homo sapiens OX=9606 GN=LSM4 PE=1 SV=1
+QKGAQRGPKKEPQGRGTGPIGGRGRGGFVGRGAGGMGRGKQQKQQQLGGRGRGKAVVEEKVMDIIEDPIRLYKITSGRIYCEPMRWFKDGDRSTCIVERLNINMWNDCSVLHGNYTEGNKLEVLMPHNQATKLLSLPLM
+>sp|Q8N112|LSME2_HUMAN Leucine-rich single-pass membrane protein 2 OS=Homo sapiens OX=9606 GN=LSMEM2 PE=1 SV=1
+MPSLAPDCPLLAMPEETQEDSVAPMMPSQRSRGPLAPNHVHEVCLHQVESISDLHSGAGTLRPYLTEEARPWDELLGVLPPSLCAQAGCSPVYRRGGFLLLLALLVLTCLVLALLAVYLSVLQSESLRILAHTLRTQEETLLKLRLASLSQLRRLNSSEAQAPS
+>DECOY_sp|Q8N112|LSME2_HUMAN Leucine-rich single-pass membrane protein 2 OS=Homo sapiens OX=9606 GN=LSMEM2 PE=1 SV=1
+SPAQAESSNLRRLQSLSALRLKLLTEEQTRLTHALIRLSESQLVSLYVALLALVLCTLVLLALLLLFGGRRYVPSCGAQACLSPPLVGLLEDWPRAEETLYPRLTGAGSHLDSISEVQHLCVEHVHNPALPGRSRQSPMMPAVSDEQTEEPMALLPCDPALSPM
+>sp|Q6P1Q0|LTMD1_HUMAN LETM1 domain-containing protein 1 OS=Homo sapiens OX=9606 GN=LETMD1 PE=1 SV=1
+MALSRVCWARSAVWGSAVTPGHFVTRRLQLGRSGLAWGAPRSSKLHLSPKADVKNLMSYVVTKTKAINGKYHRFLGRHFPRFYVLYTIFMKGLQMLWADAKKARRIKTNMWKHNIKFHQLPYREMEHLRQFRQDVTKCLFLGIISIPPFANYLVFLLMYLFPRQLLIRHFWTPKQQTDFLDIYHAFRKQSHPEIISYLEKVIPLISDAGLRWRLTDLCTKIQRGTHPAIHDILALRECFSNHPLGMNQLQALHVKALSRAMLLTSYLPPPLLRHRLKTHTTVIHQLDKALAKLGIGQLTAQEVKSACYLRGLNSTHIGEDRCRTWLGEWLQISCSLKEAELSLLLHNVVLLSTNYLGTRR
+>DECOY_sp|Q6P1Q0|LTMD1_HUMAN LETM1 domain-containing protein 1 OS=Homo sapiens OX=9606 GN=LETMD1 PE=1 SV=1
+RRTGLYNTSLLVVNHLLLSLEAEKLSCSIQLWEGLWTRCRDEGIHTSNLGRLYCASKVEQATLQGIGLKALAKDLQHIVTTHTKLRHRLLPPPLYSTLLMARSLAKVHLAQLQNMGLPHNSFCERLALIDHIAPHTGRQIKTCLDTLRWRLGADSILPIVKELYSIIEPHSQKRFAHYIDLFDTQQKPTWFHRILLQRPFLYMLLFVLYNAFPPISIIGLFLCKTVDQRFQRLHEMERYPLQHFKINHKWMNTKIRRAKKADAWLMQLGKMFITYLVYFRPFHRGLFRHYKGNIAKTKTVVYSMLNKVDAKPSLHLKSSRPAGWALGSRGLQLRRTVFHGPTVASGWVASRAWCVRSLAM
+>sp|Q6IAA8|LTOR1_HUMAN Ragulator complex protein LAMTOR1 OS=Homo sapiens OX=9606 GN=LAMTOR1 PE=1 SV=2
+MGCCYSSENEDSDQDREERKLLLDPSSPPTKALNGAEPNYHSLPSARTDEQALLSSILAKTASNIIDVSAADSQGMEQHEYMDRARQYSTRLAVLSSSLTHWKKLPPLPSLTSQPHQVLASEPIPFSDLQQVSRIAAYAYSALSQIRVDAKEELVVQFGIP
+>DECOY_sp|Q6IAA8|LTOR1_HUMAN Ragulator complex protein LAMTOR1 OS=Homo sapiens OX=9606 GN=LAMTOR1 PE=1 SV=2
+PIGFQVVLEEKADVRIQSLASYAYAAIRSVQQLDSFPIPESALVQHPQSTLSPLPPLKKWHTLSSSLVALRTSYQRARDMYEHQEMGQSDAASVDIINSATKALISSLLAQEDTRASPLSHYNPEAGNLAKTPPSSPDLLLKREERDQDSDENESSYCCGM
+>sp|Q9Y2Q5|LTOR2_HUMAN Ragulator complex protein LAMTOR2 OS=Homo sapiens OX=9606 GN=LAMTOR2 PE=1 SV=1
+MLRPKALTQVLSQANTGGVQSTLLLNNEGSLLAYSGYGDTDARVTAAIASNIWAAYDRNGNQAFNEDNLKFILMDCMEGRVAITRVANLLLCMYAKETVGFGMLKAKAQALVQYLEEPLTQVAAS
+>DECOY_sp|Q9Y2Q5|LTOR2_HUMAN Ragulator complex protein LAMTOR2 OS=Homo sapiens OX=9606 GN=LAMTOR2 PE=1 SV=1
+SAAVQTLPEELYQVLAQAKAKLMGFGVTEKAYMCLLLNAVRTIAVRGEMCDMLIFKLNDENFAQNGNRDYAAWINSAIAATVRADTDGYGSYALLSGENNLLLTSQVGGTNAQSLVQTLAKPRLM
+>sp|O43504|LTOR5_HUMAN Ragulator complex protein LAMTOR5 OS=Homo sapiens OX=9606 GN=LAMTOR5 PE=1 SV=1
+MEATLEQHLEDTMKNPSIVGVLCTDSQGLNLGCRGTLSDEHAGVISVLAQQAAKLTSDPTDIPVVCLESDNGNIMIQKHDGITVAVHKMAS
+>DECOY_sp|O43504|LTOR5_HUMAN Ragulator complex protein LAMTOR5 OS=Homo sapiens OX=9606 GN=LAMTOR5 PE=1 SV=1
+SAMKHVAVTIGDHKQIMINGNDSELCVVPIDTPDSTLKAAQQALVSIVGAHEDSLTGRCGLNLGQSDTCLVGVISPNKMTDELHQELTAEM
+>sp|P51884|LUM_HUMAN Lumican OS=Homo sapiens OX=9606 GN=LUM PE=1 SV=2
+MSLSAFTLFLALIGGTSGQYYDYDFPLSIYGQSSPNCAPECNCPESYPSAMYCDELKLKSVPMVPPGIKYLYLRNNQIDHIDEKAFENVTDLQWLILDHNLLENSKIKGRVFSKLKQLKKLHINHNNLTESVGPLPKSLEDLQLTHNKITKLGSFEGLVNLTFIHLQHNRLKEDAVSAAFKGLKSLEYLDLSFNQIARLPSGLPVSLLTLYLDNNKISNIPDEYFKRFNALQYLRLSHNELADSGIPGNSFNVSSLVELDLSYNKLKNIPTVNENLENYYLEVNQLEKFDIKSFCKILGPLSYSKIKHLRLDGNRISETSLPPDMYECLRVANEVTLN
+>DECOY_sp|P51884|LUM_HUMAN Lumican OS=Homo sapiens OX=9606 GN=LUM PE=1 SV=2
+NLTVENAVRLCEYMDPPLSTESIRNGDLRLHKIKSYSLPGLIKCFSKIDFKELQNVELYYNELNENVTPINKLKNYSLDLEVLSSVNFSNGPIGSDALENHSLRLYQLANFRKFYEDPINSIKNNDLYLTLLSVPLGSPLRAIQNFSLDLYELSKLGKFAASVADEKLRNHQLHIFTLNVLGEFSGLKTIKNHTLQLDELSKPLPGVSETLNNHNIHLKKLQKLKSFVRGKIKSNELLNHDLILWQLDTVNEFAKEDIHDIQNNRLYLYKIGPPVMPVSKLKLEDCYMASPYSEPCNCEPACNPSSQGYISLPFDYDYYQGSTGGILALFLTFASLSM
+>sp|Q8IV03|LUR1L_HUMAN Leucine rich adaptor protein 1-like OS=Homo sapiens OX=9606 GN=LURAP1L PE=1 SV=2
+MEDSPLPDLRDIELKLGRKVPESLVRSLRGEEPVPRERDRDPCGGSGGGGGGGGGGGGCSSSSSYCSFPPSLSSSSSSSPTSGSPRGSHSSALERLETKLHLLRQEMVNLRATDVRLMRQLLVINESIESIKWMIEEKATITSRGSSLSGSLCSLLESQSTSLRGSYNSLHDGSDGLDGISVGSYLDTLADDVPGHQTPSDLDQFSDSSLIEDSQALHKRPKLDSEYYCFG
+>DECOY_sp|Q8IV03|LUR1L_HUMAN Leucine rich adaptor protein 1-like OS=Homo sapiens OX=9606 GN=LURAP1L PE=1 SV=2
+GFCYYESDLKPRKHLAQSDEILSSDSFQDLDSPTQHGPVDDALTDLYSGVSIGDLGDSGDHLSNYSGRLSTSQSELLSCLSGSLSSGRSTITAKEEIMWKISEISENIVLLQRMLRVDTARLNVMEQRLLHLKTELRELASSHSGRPSGSTPSSSSSSSLSPPFSCYSSSSSCGGGGGGGGGGGGSGGCPDRDRERPVPEEGRLSRVLSEPVKRGLKLEIDRLDPLPSDEM
+>sp|Q9P127|LUZP4_HUMAN Leucine zipper protein 4 OS=Homo sapiens OX=9606 GN=LUZP4 PE=1 SV=1
+MASFRKLTLSEKVPPNHPSRKKVNFLDMSLDDIIIYKELEGTNAEEEKNKRQNHSKKESPSRQQSKAHRHRHRRGYSRCRSNSEEGNHDKKPSQKPSGFKSGQHPLNGQPLIEQEKCSDNYEAQAEKNQGQSEGNQHQSEGNPDKSEESQGQPEENHHSERSRNHLERSLSQSDRSQGQLKRHHPQYERSHGQYKRSHGQSERSHGHSERSHGHSERSHGHSERSHGHSKRSRSQGDLVDTQSDLIATQRDLIATQKDLIATQRDLIATQRDLIVTQRDLVATERDLINQSGRSHGQSERHQRYSTGKNTITT
+>DECOY_sp|Q9P127|LUZP4_HUMAN Leucine zipper protein 4 OS=Homo sapiens OX=9606 GN=LUZP4 PE=1 SV=1
+TTITNKGTSYRQHRESQGHSRGSQNILDRETAVLDRQTVILDRQTAILDRQTAILDKQTAILDRQTAILDSQTDVLDGQSRSRKSHGHSRESHGHSRESHGHSRESHGHSRESQGHSRKYQGHSREYQPHHRKLQGQSRDSQSLSRELHNRSRESHHNEEPQGQSEESKDPNGESQHQNGESQGQNKEAQAEYNDSCKEQEILPQGNLPHQGSKFGSPKQSPKKDHNGEESNSRCRSYGRRHRHRHAKSQQRSPSEKKSHNQRKNKEEEANTGELEKYIIIDDLSMDLFNVKKRSPHNPPVKESLTLKRFSAM
+>sp|P01709|LV208_HUMAN Immunoglobulin lambda variable 2-8 OS=Homo sapiens OX=9606 GN=IGLV2-8 PE=1 SV=2
+MAWALLLLTLLTQGTGSWAQSALTQPPSASGSPGQSVTISCTGTSSDVGGYNYVSWYQQHPGKAPKLMIYEVSKRPSGVPDRFSGSKSGNTASLTVSGLQAEDEADYYCSSYAGSNNF
+>DECOY_sp|P01709|LV208_HUMAN Immunoglobulin lambda variable 2-8 OS=Homo sapiens OX=9606 GN=IGLV2-8 PE=1 SV=2
+FNNSGAYSSCYYDAEDEAQLGSVTLSATNGSKSGSFRDPVGSPRKSVEYIMLKPAKGPHQQYWSVYNYGGVDSSTGTCSITVSQGPSGSASPPQTLASQAWSGTGQTLLTLLLLAWAM
+>sp|P01704|LV214_HUMAN Immunoglobulin lambda variable 2-14 OS=Homo sapiens OX=9606 GN=IGLV2-14 PE=1 SV=2
+MAWALLLLTLLTQGTGSWAQSALTQPASVSGSPGQSITISCTGTSSDVGGYNYVSWYQQHPGKAPKLMIYEVSNRPSGVSNRFSGSKSGNTASLTISGLQAEDEADYYCSSYTSSSTLHS
+>DECOY_sp|P01704|LV214_HUMAN Immunoglobulin lambda variable 2-14 OS=Homo sapiens OX=9606 GN=IGLV2-14 PE=1 SV=2
+SHLTSSSTYSSCYYDAEDEAQLGSITLSATNGSKSGSFRNSVGSPRNSVEYIMLKPAKGPHQQYWSVYNYGGVDSSTGTCSITISQGPSGSVSAPQTLASQAWSGTGQTLLTLLLLAWAM
+>sp|A0A075B6K4|LV310_HUMAN Immunoglobulin lambda variable 3-10 OS=Homo sapiens OX=9606 GN=IGLV3-10 PE=3 SV=2
+MAWTPLLLPLLTFCTVSEASYELTQPPSVSVSPGQTARITCSGDALPKKYAYWYQQKSGQAPVLVIYEDSKRPSGIPERFSGSSSGTMATLTISGAQVEDEADYYCYSTDSSGNH
+>DECOY_sp|A0A075B6K4|LV310_HUMAN Immunoglobulin lambda variable 3-10 OS=Homo sapiens OX=9606 GN=IGLV3-10 PE=3 SV=2
+HNGSSDTSYCYYDAEDEVQAGSITLTAMTGSSSGSFREPIGSPRKSDEYIVLVPAQGSKQQYWYAYKKPLADGSCTIRATQGPSVSVSPPQTLEYSAESVTCFTLLPLLLPTWAM
+>sp|A0A075B6K5|LV39_HUMAN Immunoglobulin lambda variable 3-9 OS=Homo sapiens OX=9606 GN=IGLV3-9 PE=3 SV=1
+MAWTALLLSLLAHFTGSVASYELTQPLSVSVALGQTARITCGGNNIGSKNVHWYQQKPGQAPVLVIYRDSNRPSGIPERFSGSNSGNTATLTISRAQAGDEADYYCQVWDSSTAH
+>DECOY_sp|A0A075B6K5|LV39_HUMAN Immunoglobulin lambda variable 3-9 OS=Homo sapiens OX=9606 GN=IGLV3-9 PE=3 SV=1
+HATSSDWVQCYYDAEDGAQARSITLTATNGSNSGSFREPIGSPRNSDRYIVLVPAQGPKQQYWHVNKSGINNGGCTIRATQGLAVSVSLPQTLEYSAVSGTFHALLSLLLATWAM
+>sp|Q86SG7|LYG2_HUMAN Lysozyme g-like protein 2 OS=Homo sapiens OX=9606 GN=LYG2 PE=1 SV=2
+MLSSVVFWGLIALIGTSRGSYPFSHSMKPHLHPRLYHGCYGDIMTMKTSGATCDANSVMNCGIRGSEMFAEMDLRAIKPYQTLIKEVGQRHCVDPAVIAAIISRESHGGSVLQDGWDHRGLKFGLMQLDKQTYHPVGAWDSKEHLSQATGILTERIKAIQKKFPTWSVAQHLKGGLSAFKSGIEAIATPSDIDNDFVNDIIARAKFYKRQSF
+>DECOY_sp|Q86SG7|LYG2_HUMAN Lysozyme g-like protein 2 OS=Homo sapiens OX=9606 GN=LYG2 PE=1 SV=2
+FSQRKYFKARAIIDNVFDNDIDSPTAIAEIGSKFASLGGKLHQAVSWTPFKKQIAKIRETLIGTAQSLHEKSDWAGVPHYTQKDLQMLGFKLGRHDWGDQLVSGGHSERSIIAAIVAPDVCHRQGVEKILTQYPKIARLDMEAFMESGRIGCNMVSNADCTAGSTKMTMIDGYCGHYLRPHLHPKMSHSFPYSGRSTGILAILGWFVVSSLM
+>sp|P12980|LYL1_HUMAN Protein lyl-1 OS=Homo sapiens OX=9606 GN=LYL1 PE=1 SV=3
+MCPPQAQAEVGPTMTEKAEMVCAPSPAPAPPPKPASPGPPQVEEVGHRGGSSPPRLPPGVPVISLGHSRPPGVAMPTTELGTLRPPLLQLSTLGTAPPTLALHYHPHPFLNSVYIGPAGPFSIFPSSRLKRRPSHCELDLAEGHQPQKVARRVFTNSRERWRQQNVNGAFAELRKLLPTHPPDRKLSKNEVLRLAMKYIGFLVRLLRDQAAALAAGPTPPGPRKRPVHRVPDDGARRGSGRRAEAAARSQPAPPADPDGSPGGAARPIKMEQTALSPEVR
+>DECOY_sp|P12980|LYL1_HUMAN Protein lyl-1 OS=Homo sapiens OX=9606 GN=LYL1 PE=1 SV=3
+RVEPSLATQEMKIPRAAGGPSGDPDAPPAPQSRAAAEARRGSGRRAGDDPVRHVPRKRPGPPTPGAALAAAQDRLLRVLFGIYKMALRLVENKSLKRDPPHTPLLKRLEAFAGNVNQQRWRERSNTFVRRAVKQPQHGEALDLECHSPRRKLRSSPFISFPGAPGIYVSNLFPHPHYHLALTPPATGLTSLQLLPPRLTGLETTPMAVGPPRSHGLSIVPVGPPLRPPSSGGRHGVEEVQPPGPSAPKPPPAPAPSPACVMEAKETMTPGVEAQAQPPCM
+>sp|O95372|LYPA2_HUMAN Acyl-protein thioesterase 2 OS=Homo sapiens OX=9606 GN=LYPLA2 PE=1 SV=1
+MCGNTMSVPLLTDAATVSGAERETAAVIFLHGLGDTGHSWADALSTIRLPHVKYICPHAPRIPVTLNMKMVMPSWFDLMGLSPDAPEDEAGIKKAAENIKALIEHEMKNGIPANRIVLGGFSQGGALSLYTALTCPHPLAGIVALSCWLPLHRAFPQAANGSAKDLAILQCHGELDPMVPVRFGALTAEKLRSVVTPARVQFKTYPGVMHSSCPQEMAAVKEFLEKLLPPV
+>DECOY_sp|O95372|LYPA2_HUMAN Acyl-protein thioesterase 2 OS=Homo sapiens OX=9606 GN=LYPLA2 PE=1 SV=1
+VPPLLKELFEKVAAMEQPCSSHMVGPYTKFQVRAPTVVSRLKEATLAGFRVPVMPDLEGHCQLIALDKASGNAAQPFARHLPLWCSLAVIGALPHPCTLATYLSLAGGQSFGGLVIRNAPIGNKMEHEILAKINEAAKKIGAEDEPADPSLGMLDFWSPMVMKMNLTVPIRPAHPCIYKVHPLRITSLADAWSHGTDGLGHLFIVAATEREAGSVTAADTLLPVSMTNGCM
+>sp|Q6UXB3|LYPD2_HUMAN Ly6/PLAUR domain-containing protein 2 OS=Homo sapiens OX=9606 GN=LYPD2 PE=2 SV=1
+MRGTRLALLALVLAACGELAPALRCYVCPEPTGVSDCVTIATCTTNETMCKTTLYSREIVYPFQGDSTVTKSCASKCKPSDVDGIGQTLPVSCCNTELCNVDGAPALNSLHCGALTLLPLLSLRL
+>DECOY_sp|Q6UXB3|LYPD2_HUMAN Ly6/PLAUR domain-containing protein 2 OS=Homo sapiens OX=9606 GN=LYPD2 PE=2 SV=1
+LRLSLLPLLTLAGCHLSNLAPAGDVNCLETNCCSVPLTQGIGDVDSPKCKSACSKTVTSDGQFPYVIERSYLTTKCMTENTTCTAITVCDSVGTPEPCVYCRLAPALEGCAALVLALLALRTGRM
+>sp|Q6UWN5|LYPD5_HUMAN Ly6/PLAUR domain-containing protein 5 OS=Homo sapiens OX=9606 GN=LYPD5 PE=1 SV=2
+MAMGVPRVILLCLFGAALCLTGSQALQCYSFEHTYFGPFDLRAMKLPSISCPHECFEAILSLDTGYRAPVTLVRKGCWTGPPAGQTQSNADALPPDYSVVRGCTTDKCNAHLMTHDALPNLSQAPDPPTLSGAECYACIGVHQDDCAIGRSRRVQCHQDQTACFQGNGRMTVGNFSVPVYIRTCHRPSCTTEGTTSPWTAIDLQGSCCEGYLCNRKSMTQPFTSASATTPPRALQVLALLLPVLLLVGLSA
+>DECOY_sp|Q6UWN5|LYPD5_HUMAN Ly6/PLAUR domain-containing protein 5 OS=Homo sapiens OX=9606 GN=LYPD5 PE=1 SV=2
+ASLGVLLLVPLLLALVQLARPPTTASASTFPQTMSKRNCLYGECCSGQLDIATWPSTTGETTCSPRHCTRIYVPVSFNGVTMRGNGQFCATQDQHCQVRRSRGIACDDQHVGICAYCEAGSLTPPDPAQSLNPLADHTMLHANCKDTTCGRVVSYDPPLADANSQTQGAPPGTWCGKRVLTVPARYGTDLSLIAEFCEHPCSISPLKMARLDFPGFYTHEFSYCQLAQSGTLCLAAGFLCLLIVRPVGMAM
+>sp|O43325|LYRM1_HUMAN LYR motif-containing protein 1 OS=Homo sapiens OX=9606 GN=LYRM1 PE=2 SV=1
+MTTATRQEVLGLYRSIFRLARKWQATSGQMEDTIKEKQYILNEARTLFRKNKNLTDTDLIKQCIDECTARIEIGLHYKIPYPRPIHLPPMGLTPLRGRGLRSQEKLRKLSKPVYLRSHDEVS
+>DECOY_sp|O43325|LYRM1_HUMAN LYR motif-containing protein 1 OS=Homo sapiens OX=9606 GN=LYRM1 PE=2 SV=1
+SVEDHSRLYVPKSLKRLKEQSRLGRGRLPTLGMPPLHIPRPYPIKYHLGIEIRATCEDICQKILDTDTLNKNKRFLTRAENLIYQKEKITDEMQGSTAQWKRALRFISRYLGLVEQRTATTM
+>sp|Q7Z4W2|LYZL2_HUMAN Lysozyme-like protein 2 OS=Homo sapiens OX=9606 GN=LYZL2 PE=2 SV=2
+MKAAGILTLIGCLVTGAESKIYTRCKLAKIFSRAGLDNYWGFSLGNWICMAYYESGYNTTAQTVLDDGSIDYGIFQINSFAWCRRGKLKENNHCHVACSALVTDDLTDAIICAKKIVKETQGMNYWQGWKKHCEGRDLSDWKKDCEVS
+>DECOY_sp|Q7Z4W2|LYZL2_HUMAN Lysozyme-like protein 2 OS=Homo sapiens OX=9606 GN=LYZL2 PE=2 SV=2
+SVECDKKWDSLDRGECHKKWGQWYNMGQTEKVIKKACIIADTLDDTVLASCAVHCHNNEKLKGRRCWAFSNIQFIGYDISGDDLVTQATTNYGSEYYAMCIWNGLSFGWYNDLGARSFIKALKCRTYIKSEAGTVLCGILTLIGAAKM
+>sp|Q9NQ48|LZTL1_HUMAN Leucine zipper transcription factor-like protein 1 OS=Homo sapiens OX=9606 GN=LZTFL1 PE=1 SV=1
+MAELGLNEHHQNEVINYMRFARSKRGLRLKTVDSCFQDLKESRLVEDTFTIDEVSEVLNGLQAVVHSEVESELINTAYTNVLLLRQLFAQAEKWYLKLQTDISELENRELLEQVAEFEKAEITSSNKKPILDVTKPKLAPLNEGGTAELLNKEILRLQEENEKLKSRLKTIEIQATNALDEKSKLEKALQDLQLDQGNQKDFIKAQDLSNLENTVAALKSEFQKTLNDKTENQKSLEENLATAKHDLLRVQEQLHMAEKELEKKFQQTAAYRNMKEILTKKNDQIKDLRKRLAQYEPED
+>DECOY_sp|Q9NQ48|LZTL1_HUMAN Leucine zipper transcription factor-like protein 1 OS=Homo sapiens OX=9606 GN=LZTFL1 PE=1 SV=1
+DEPEYQALRKRLDKIQDNKKTLIEKMNRYAATQQFKKELEKEAMHLQEQVRLLDHKATALNEELSKQNETKDNLTKQFESKLAAVTNELNSLDQAKIFDKQNGQDLQLDQLAKELKSKEDLANTAQIEITKLRSKLKENEEQLRLIEKNLLEATGGENLPALKPKTVDLIPKKNSSTIEAKEFEAVQELLERNELESIDTQLKLYWKEAQAFLQRLLLVNTYATNILESEVESHVVAQLGNLVESVEDITFTDEVLRSEKLDQFCSDVTKLRLGRKSRAFRMYNIVENQHHENLGLEAM
+>sp|O60299|LZTS3_HUMAN Leucine zipper putative tumor suppressor 3 OS=Homo sapiens OX=9606 GN=LZTS3 PE=2 SV=1
+MAKLETLPVRADPGRDPLLAFAPRPSELGPPDPRLAMGSVGSGVAHAQEFAMKSVGTRTGGGGSQGSFPGPRGSGSGASRERPGRYPSEDKGLANSLYLNGELRGSDHTDVCGNVVGSSGGSSSSGGSDKAPPQYREPSHPPKLLATSGKLDQCSEPLVRPSAFKPVVPKNFHSMQNLCPPQTNGTPEGRQGPGGLKGGLDKSRTMTPAGGSGSGLSDSGRNSLTSLPTYSSSYSQHLAPLSASTSHINRIGTASYGSGSGGSSGGGSGYQDLGTSDSGRASSKSGSSSSMGRPGHLGSGEGGGGGLPFAACSPPSPSALIQELEERLWEKEQEVAALRRSLEQSEAAVAQVLEERQKAWERELAELRQGCSGKLQQVARRAQRAQQGLQLQVLRLQQDKKQLQEEAARLMRQREELEDKVAACQKEQADFLPRIEETKWEVCQKAGEISLLKQQLKDSQADVSQKLSEIVGLRSQLREGRASLREKEEQLLSLRDSFSSKQASLELGEGELPAACLKPALTPVDPAEPQDALATCESDEAKMRRQAGVAAAASLVSVDGEAEAGGESGTRALRREVGRLQAELAAERRARERQGASFAEERRVWLEEKEKVIEYQKQLQLSYVEMYQRNQQLERRLRERGAAGGASTPTPQHGEEKKAWTPSRLERIESTEI
+>DECOY_sp|O60299|LZTS3_HUMAN Leucine zipper putative tumor suppressor 3 OS=Homo sapiens OX=9606 GN=LZTS3 PE=2 SV=1
+IETSEIRELRSPTWAKKEEGHQPTPTSAGGAAGRERLRRELQQNRQYMEVYSLQLQKQYEIVKEKEELWVRREEAFSAGQRERARREAALEAQLRGVERRLARTGSEGGAEAEGDVSVLSAAAAVGAQRRMKAEDSECTALADQPEAPDVPTLAPKLCAAPLEGEGLELSAQKSSFSDRLSLLQEEKERLSARGERLQSRLGVIESLKQSVDAQSDKLQQKLLSIEGAKQCVEWKTEEIRPLFDAQEKQCAAVKDELEERQRMLRAAEEQLQKKDQQLRLVQLQLGQQARQARRAVQQLKGSCGQRLEALEREWAKQREELVQAVAAESQELSRRLAAVEQEKEWLREELEQILASPSPPSCAAFPLGGGGGEGSGLHGPRGMSSSSGSKSSARGSDSTGLDQYGSGGGSSGGSGSGYSATGIRNIHSTSASLPALHQSYSSSYTPLSTLSNRGSDSLGSGSGGAPTMTRSKDLGGKLGGPGQRGEPTGNTQPPCLNQMSHFNKPVVPKFASPRVLPESCQDLKGSTALLKPPHSPERYQPPAKDSGGSSSSGGSSGVVNGCVDTHDSGRLEGNLYLSNALGKDESPYRGPRERSAGSGSGRPGPFSGQSGGGGTRTGVSKMAFEQAHAVGSGVSGMALRPDPPGLESPRPAFALLPDRGPDARVPLTELKAM
+>sp|P0DP75|M14OS_HUMAN Putative uncharacterized protein MED14OS OS=Homo sapiens OX=9606 GN=MED14OS PE=5 SV=1
+MRSSSLPGARSPRRNGSGQSRHRLPPGRLRTGSRAPTAEARPHVARSPPTPGTGARGGGRRGWGGSRAAPQRGSCASANAQKQLRQTAATYMLTARGGSRSAERKGDLQRTFRQVGQTMPMVPPLDFTMNAASVE
+>DECOY_sp|P0DP75|M14OS_HUMAN Putative uncharacterized protein MED14OS OS=Homo sapiens OX=9606 GN=MED14OS PE=5 SV=1
+EVSAANMTFDLPPVMPMTQGVQRFTRQLDGKREASRSGGRATLMYTAATQRLQKQANASACSGRQPAARSGGWGRRGGGRAGTGPTPPSRAVHPRAEATPARSGTRLRGPPLRHRSQGSGNRRPSRAGPLSSSRM
+>sp|Q8IYB1|M21D2_HUMAN Protein MB21D2 OS=Homo sapiens OX=9606 GN=MB21D2 PE=1 SV=3
+MKMAAPTANKAASLGCNNKPAFPELDFRSGARVEELNKLIQEFTKHDQREYDDQRALEIHTAKDFIFSMLGMVQKLDQKLPVANEYLLLSGGVREGVVDLDLDELNVYARGTDYDMDFTLLVPALKLHDRNQPVTLDMRHSALCHSWLSLRLFDEGTISKWKDCCTIVDHINGATNYFFSPTKVADWFYDSISIVLSEIQKKPQRGMPKVEKVEKNGTIISIILGVGSSRMLYDIVPVVSFKGWPAVAQSWLMENHFWDGKITEEEVISGFYLVPACSYKGKKDNEWRLSFARSEVQLKKCISSSLMQAYQACKAIIIKLLSRPKAISPYHLRSMMLWACDRLPANYLAQEDYAAHFLLGLIDDLQHCLVNKMCPNYFIPQCNMLEHLSEETVMLHARKLSSVRSDPAEHLRTAIEHVKAANRLTLELQRRGSTTSIPSPQSDGGDPNQPDDRLAKKLQQLVTENPGKSISVFINPDDVTRPHFRIDDKFF
+>DECOY_sp|Q8IYB1|M21D2_HUMAN Protein MB21D2 OS=Homo sapiens OX=9606 GN=MB21D2 PE=1 SV=3
+FFKDDIRFHPRTVDDPNIFVSISKGPNETVLQQLKKALRDDPQNPDGGDSQPSPISTTSGRRQLELTLRNAAKVHEIATRLHEAPDSRVSSLKRAHLMVTEESLHELMNCQPIFYNPCMKNVLCHQLDDILGLLFHAAYDEQALYNAPLRDCAWLMMSRLHYPSIAKPRSLLKIIIAKCAQYAQMLSSSICKKLQVESRAFSLRWENDKKGKYSCAPVLYFGSIVEEETIKGDWFHNEMLWSQAVAPWGKFSVVPVIDYLMRSSGVGLIISIITGNKEVKEVKPMGRQPKKQIESLVISISDYFWDAVKTPSFFYNTAGNIHDVITCCDKWKSITGEDFLRLSLWSHCLASHRMDLTVPQNRDHLKLAPVLLTFDMDYDTGRAYVNLEDLDLDVVGERVGGSLLLYENAVPLKQDLKQVMGLMSFIFDKATHIELARQDDYERQDHKTFEQILKNLEEVRAGSRFDLEPFAPKNNCGLSAAKNATPAAMKM
+>sp|Q92918|M4K1_HUMAN Mitogen-activated protein kinase kinase kinase kinase 1 OS=Homo sapiens OX=9606 GN=MAP4K1 PE=1 SV=1
+MDVVDPDIFNRDPRDHYDLLQRLGGGTYGEVFKARDKVSGDLVALKMVKMEPDDDVSTLQKEILILKTCRHANIVAYHGSYLWLQKLWICMEFCGAGSLQDIYQVTGSLSELQISYVCREVLQGLAYLHSQKKIHRDIKGANILINDAGEVRLADFGISAQIGATLARRLSFIGTPYWMAPEVAAVALKGGYNELCDIWSLGITAIELAELQPPLFDVHPLRVLFLMTKSGYQPPRLKEKGKWSAAFHNFIKVTLTKSPKKRPSATKMLSHQLVSQPGLNRGLILDLLDKLKNPGKGPSIGDIEDEEPELPPAIPRRIRSTHRSSSLGIPDADCCRRHMEFRKLRGMETRPPANTARLQPPRDLRSSSPRKQLSESSDDDYDDVDIPTPAEDTPPPLPPKPKFRSPSDEGPGSMGDDGQLSPGVLVRCASGPPPNSPRPGPPPSTSSPHLTAHSEPSLWNPPSRELDKPPLLPPKKEKMKRKGCALLVKLFNGCPLRIHSTAAWTHPSTKDQHLLLGAEEGIFILNRNDQEATLEMLFPSRTTWVYSINNVLMSLSGKTPHLYSHSILGLLERKETRAGNPIAHISPHRLLARKNMVSTKIQDTKGCRACCVAEGASSGGPFLCGALETSVVLLQWYQPMNKFLLVRQVLFPLPTPLSVFALLTGPGSELPAVCIGVSPGRPGKSVLFHTVRFGALSCWLGEMSTEHRGPVQVTQVEEDMVMVLMDGSVKLVTPEGSPVRGLRTPEIPMTEAVEAVAMVGGQLQAFWKHGVQVWALGSDQLLQELRDPTLTFRLLGSPRLECSGTISPHCNLLLPGSSNSPASASRVAGITGL
+>DECOY_sp|Q92918|M4K1_HUMAN Mitogen-activated protein kinase kinase kinase kinase 1 OS=Homo sapiens OX=9606 GN=MAP4K1 PE=1 SV=1
+LGTIGAVRSASAPSNSSGPLLLNCHPSITGSCELRPSGLLRFTLTPDRLEQLLQDSGLAWVQVGHKWFAQLQGGVMAVAEVAETMPIEPTRLGRVPSGEPTVLKVSGDMLVMVMDEEVQTVQVPGRHETSMEGLWCSLAGFRVTHFLVSKGPRGPSVGICVAPLESGPGTLLAFVSLPTPLPFLVQRVLLFKNMPQYWQLLVVSTELAGCLFPGGSSAGEAVCCARCGKTDQIKTSVMNKRALLRHPSIHAIPNGARTEKRELLGLISHSYLHPTKGSLSMLVNNISYVWTTRSPFLMELTAEQDNRNLIFIGEEAGLLLHQDKTSPHTWAATSHIRLPCGNFLKVLLACGKRKMKEKKPPLLPPKDLERSPPNWLSPESHATLHPSSTSPPPGPRPSNPPPGSACRVLVGPSLQGDDGMSGPGEDSPSRFKPKPPLPPPTDEAPTPIDVDDYDDDSSESLQKRPSSSRLDRPPQLRATNAPPRTEMGRLKRFEMHRRCCDADPIGLSSSRHTSRIRRPIAPPLEPEEDEIDGISPGKGPNKLKDLLDLILGRNLGPQSVLQHSLMKTASPRKKPSKTLTVKIFNHFAASWKGKEKLRPPQYGSKTMLFLVRLPHVDFLPPQLEALEIATIGLSWIDCLENYGGKLAVAAVEPAMWYPTGIFSLRRALTAGIQASIGFDALRVEGADNILINAGKIDRHIKKQSHLYALGQLVERCVYSIQLESLSGTVQYIDQLSGAGCFEMCIWLKQLWLYSGHYAVINAHRCTKLILIEKQLTSVDDDPEMKVMKLAVLDGSVKDRAKFVEGYTGGGLRQLLDYHDRPDRNFIDPDVVDM
+>sp|Q8IVH8|M4K3_HUMAN Mitogen-activated protein kinase kinase kinase kinase 3 OS=Homo sapiens OX=9606 GN=MAP4K3 PE=1 SV=1
+MNPGFDLSRRNPQEDFELIQRIGSGTYGDVYKARNVNTGELAAIKVIKLEPGEDFAVVQQEIIMMKDCKHPNIVAYFGSYLRRDKLWICMEFCGGGSLQDIYHVTGPLSELQIAYVSRETLQGLYYLHSKGKMHRDIKGANILLTDNGHVKLADFGVSAQITATIAKRKSFIGTPYWMAPEVAAVERKGGYNQLCDLWAVGITAIELAELQPPMFDLHPMRALFLMTKSNFQPPKLKDKMKWSNSFHHFVKMALTKNPKKRPTAEKLLQHPFVTQHLTRSLAIELLDKVNNPDHSTYHDFDDDDPEPLVAVPHRIHSTSRNVREEKTRSEITFGQVKFDPPLRKETEPHHELPDSDGFLDSSEEIYYTARSNLDLQLEYGQGHQGGYFLGANKSLLKSVEEELHQRGHVAHLEDDEGDDDESKHSTLKAKIPPPLPPKPKSIFIPQEMHSTEDENQGTIKRCPMSGSPAKPSQVPPRPPPPRLPPHKPVALGNGMSSFQLNGERDGSLCQQQNEHRGTNLSRKEKKDVPKPISNGLPPTPKVHMGACFSKVFNGCPLKIHCASSWINPDTRDQYLIFGAEEGIYTLNLNELHETSMEQLFPRRCTWLYVMNNCLLSISGKASQLYSHNLPGLFDYARQMQKLPVAIPAHKLPDRILPRKFSVSAKIPETKWCQKCCVVRNPYTGHKYLCGALQTSIVLLEWVEPMQKFMLIKHIDFPIPCPLRMFEMLVVPEQEYPLVCVGVSRGRDFNQVVRFETVNPNSTSSWFTESDTPQTNVTHVTQLERDTILVCLDCCIKIVNLQGRLKSSRKLSSELTFDFQIESIVCLQDSVLAFWKHGMQGRSFRSNEVTQEISDSTRIFRLLGSDRVVVLESRPTDNPTANSNLYILAGHENSY
+>DECOY_sp|Q8IVH8|M4K3_HUMAN Mitogen-activated protein kinase kinase kinase kinase 3 OS=Homo sapiens OX=9606 GN=MAP4K3 PE=1 SV=1
+YSNEHGALIYLNSNATPNDTPRSELVVVRDSGLLRFIRTSDSIEQTVENSRFSRGQMGHKWFALVSDQLCVISEIQFDFTLESSLKRSSKLRGQLNVIKICCDLCVLITDRELQTVHTVNTQPTDSETFWSSTSNPNVTEFRVVQNFDRGRSVGVCVLPYEQEPVVLMEFMRLPCPIPFDIHKILMFKQMPEVWELLVISTQLAGCLYKHGTYPNRVVCCKQCWKTEPIKASVSFKRPLIRDPLKHAPIAVPLKQMQRAYDFLGPLNHSYLQSAKGSISLLCNNMVYLWTCRRPFLQEMSTEHLENLNLTYIGEEAGFILYQDRTDPNIWSSACHIKLPCGNFVKSFCAGMHVKPTPPLGNSIPKPVDKKEKRSLNTGRHENQQQCLSGDREGNLQFSSMGNGLAVPKHPPLRPPPPRPPVQSPKAPSGSMPCRKITGQNEDETSHMEQPIFISKPKPPLPPPIKAKLTSHKSEDDDGEDDELHAVHGRQHLEEEVSKLLSKNAGLFYGGQHGQGYELQLDLNSRATYYIEESSDLFGDSDPLEHHPETEKRLPPDFKVQGFTIESRTKEERVNRSTSHIRHPVAVLPEPDDDDFDHYTSHDPNNVKDLLEIALSRTLHQTVFPHQLLKEATPRKKPNKTLAMKVFHHFSNSWKMKDKLKPPQFNSKTMLFLARMPHLDFMPPQLEALEIATIGVAWLDCLQNYGGKREVAAVEPAMWYPTGIFSKRKAITATIQASVGFDALKVHGNDTLLINAGKIDRHMKGKSHLYYLGQLTERSVYAIQLESLPGTVHYIDQLSGGGCFEMCIWLKDRRLYSGFYAVINPHKCDKMMIIEQQVVAFDEGPELKIVKIAALEGTNVNRAKYVDGYTGSGIRQILEFDEQPNRRSLDFGPNM
+>sp|O95819|M4K4_HUMAN Mitogen-activated protein kinase kinase kinase kinase 4 OS=Homo sapiens OX=9606 GN=MAP4K4 PE=1 SV=2
+MANDSPAKSLVDIDLSSLRDPAGIFELVEVVGNGTYGQVYKGRHVKTGQLAAIKVMDVTEDEEEEIKLEINMLKKYSHHRNIATYYGAFIKKSPPGHDDQLWLVMEFCGAGSITDLVKNTKGNTLKEDWIAYISREILRGLAHLHIHHVIHRDIKGQNVLLTENAEVKLVDFGVSAQLDRTVGRRNTFIGTPYWMAPEVIACDENPDATYDYRSDLWSCGITAIEMAEGAPPLCDMHPMRALFLIPRNPPPRLKSKKWSKKFFSFIEGCLVKNYMQRPSTEQLLKHPFIRDQPNERQVRIQLKDHIDRTRKKRGEKDETEYEYSGSEEEEEEVPEQEGEPSSIVNVPGESTLRRDFLRLQQENKERSEALRRQQLLQEQQLREQEEYKRQLLAERQKRIEQQKEQRRRLEEQQRREREARRQQEREQRRREQEEKRRLEELERRRKEEEERRRAEEEKRRVEREQEYIRRQLEEEQRHLEVLQQQLLQEQAMLLECRWREMEEHRQAERLQRQLQQEQAYLLSLQHDHRRPHPQHSQQPPPPQQERSKPSFHAPEPKAHYEPADRAREVEDRFRKTNHSSPEAQSKQTGRVLEPPVPSRSESFSNGNSESVHPALQRPAEPQVPVRTTSRSPVLSRRDSPLQGSGQQNSQAGQRNSTSIEPRLLWERVEKLVPRPGSGSSSGSSNSGSQPGSHPGSQSGSGERFRVRSSSKSEGSPSQRLENAVKKPEDKKEVFRPLKPADLTALAKELRAVEDVRPPHKVTDYSSSSEESGTTDEEDDDVEQEGADESTSGPEDTRAASSLNLSNGETESVKTMIVHDDVESEPAMTPSKEGTLIVRQTQSASSTLQKHKSSSSFTPFIDPRLLQISPSSGTTVTSVVGFSCDGMRPEAIRQDPTRKGSVVNVNPTNTRPQSDTPEIRKYKKRFNSEILCAALWGVNLLVGTESGLMLLDRSGQGKVYPLINRRRFQQMDVLEGLNVLVTISGKKDKLRVYYLSWLRNKILHNDPEVEKKQGWTTVGDLEGCVHYKVVKYERIKFLVIALKSSVEVYAWAPKPYHKFMAFKSFGELVHKPLLVDLTVEEGQRLKVIYGSCAGFHAVDVDSGSVYDIYLPTHIQCSIKPHAIIILPNTDGMELLVCYEDEGVYVNTYGRITKDVVLQWGEMPTSVAYIRSNQTMGWGEKAIEIRSVETGHLDGVFMHKRAQRLKFLCERNDKVFFASVRSGGSSQVYFMTLGRTSLLSW
+>DECOY_sp|O95819|M4K4_HUMAN Mitogen-activated protein kinase kinase kinase kinase 4 OS=Homo sapiens OX=9606 GN=MAP4K4 PE=1 SV=2
+WSLLSTRGLTMFYVQSSGGSRVSAFFVKDNRECLFKLRQARKHMFVGDLHGTEVSRIEIAKEGWGMTQNSRIYAVSTPMEGWQLVVDKTIRGYTNVYVGEDEYCVLLEMGDTNPLIIIAHPKISCQIHTPLYIDYVSGSDVDVAHFGACSGYIVKLRQGEEVTLDVLLPKHVLEGFSKFAMFKHYPKPAWAYVEVSSKLAIVLFKIREYKVVKYHVCGELDGVTTWGQKKEVEPDNHLIKNRLWSLYYVRLKDKKGSITVLVNLGELVDMQQFRRRNILPYVKGQGSRDLLMLGSETGVLLNVGWLAACLIESNFRKKYKRIEPTDSQPRTNTPNVNVVSGKRTPDQRIAEPRMGDCSFGVVSTVTTGSSPSIQLLRPDIFPTFSSSSKHKQLTSSASQTQRVILTGEKSPTMAPESEVDDHVIMTKVSETEGNSLNLSSAARTDEPGSTSEDAGEQEVDDDEEDTTGSEESSSSYDTVKHPPRVDEVARLEKALATLDAPKLPRFVEKKDEPKKVANELRQSPSGESKSSSRVRFREGSGSQSGPHSGPQSGSNSSGSSSGSGPRPVLKEVREWLLRPEISTSNRQGAQSNQQGSGQLPSDRRSLVPSRSTTRVPVQPEAPRQLAPHVSESNGNSFSESRSPVPPELVRGTQKSQAEPSSHNTKRFRDEVERARDAPEYHAKPEPAHFSPKSREQQPPPPQQSHQPHPRRHDHQLSLLYAQEQQLQRQLREAQRHEEMERWRCELLMAQEQLLQQQLVELHRQEEELQRRIYEQEREVRRKEEEARRREEEEKRRRELEELRRKEEQERRRQEREQQRRAERERRQQEELRRRQEKQQEIRKQREALLQRKYEEQERLQQEQLLQQRRLAESREKNEQQLRLFDRRLTSEGPVNVISSPEGEQEPVEEEEEESGSYEYETEDKEGRKKRTRDIHDKLQIRVQRENPQDRIFPHKLLQETSPRQMYNKVLCGEIFSFFKKSWKKSKLRPPPNRPILFLARMPHMDCLPPAGEAMEIATIGCSWLDSRYDYTADPNEDCAIVEPAMWYPTGIFTNRRGVTRDLQASVGFDVLKVEANETLLVNQGKIDRHIVHHIHLHALGRLIERSIYAIWDEKLTNGKTNKVLDTISGAGCFEMVLWLQDDHGPPSKKIFAGYYTAINRHHSYKKLMNIELKIEEEEDETVDMVKIAALQGTKVHRGKYVQGYTGNGVVEVLEFIGAPDRLSSLDIDVLSKAPSDNAM
+>sp|A6NCF6|MA13P_HUMAN Putative MAGE domain-containing protein MAGEA13P OS=Homo sapiens OX=9606 GN=MAGEA13P PE=5 SV=1
+MPHSQKSRHCELEQGLQAPKEAQGLVGVQVAEAEKVNTTASSSPSTLIQGTLEKVSASGTPGTPQSSQRVCSPCTTIKATPWNQSDESSRSQEKKDPGASQALMLEKKVDELVKFLSVKYTTKQPITEAEMLKGVIKEHKDHFPPIFMQAHECMEIVFGTDMKEVDPISHSCVLLKSLDLTYDRRLSDDQGMPKTGLLILTFGVILMEANCASEEKIWEVLNIIRVYAGWKDFIYGEPRKLITRDLVQEKYLECCQVSNSDPPRYKFPWGPRAHAETTKMKVLEFFSRVSGSDASSFPLLYEEALRDEKEKAQAIIATMGGTTLMASAHSWAKSSSFSCPE
+>DECOY_sp|A6NCF6|MA13P_HUMAN Putative MAGE domain-containing protein MAGEA13P OS=Homo sapiens OX=9606 GN=MAGEA13P PE=5 SV=1
+EPCSFSSSKAWSHASAMLTTGGMTAIIAQAKEKEDRLAEEYLLPFSSADSGSVRSFFELVKMKTTEAHARPGWPFKYRPPDSNSVQCCELYKEQVLDRTILKRPEGYIFDKWGAYVRIINLVEWIKEESACNAEMLIVGFTLILLGTKPMGQDDSLRRDYTLDLSKLLVCSHSIPDVEKMDTGFVIEMCEHAQMFIPPFHDKHEKIVGKLMEAETIPQKTTYKVSLFKVLEDVKKELMLAQSAGPDKKEQSRSSEDSQNWPTAKITTCPSCVRQSSQPTGPTGSASVKELTGQILTSPSSSATTNVKEAEAVQVGVLGQAEKPAQLGQELECHRSKQSHPM
+>sp|P33908|MA1A1_HUMAN Mannosyl-oligosaccharide 1,2-alpha-mannosidase IA OS=Homo sapiens OX=9606 GN=MAN1A1 PE=1 SV=3
+MPVGGLLPLFSSPAGGVLGGGLGGGGGRKGSGPAALRLTEKFVLLLVFSAFITLCFGAIFFLPDSSKLLSGVLFHSSPALQPAADHKPGPGARAEDAAEGRARRREEGAPGDPEAALEDNLARIRENHERALREAKETLQKLPEEIQRDILLEKKKVAQDQLRDKAPFRGLPPVDFVPPIGVESREPADAAIREKRAKIKEMMKHAWNNYKGYAWGLNELKPISKGGHSSSLFGNIKGATIVDALDTLFIMEMKHEFEEAKSWVEENLDFNVNAEISVFEVNIRFVGGLLSAYYLSGEEIFRKKAVELGVKLLPAFHTPSGIPWALLNMKSGIGRNWPWASGGSSILAEFGTLHLEFMHLSHLSGNPIFAEKVMNIRTVLNKLEKPQGLYPNYLNPSSGQWGQHHVSVGGLGDSFYEYLLKAWLMSDKTDLEAKKMYFDAVQAIETHLIRKSSSGLTYIAEWKGGLLEHKMGHLTCFAGGMFALGADAAPEGMAQHYLELGAEIARTCHESYNRTFMKLGPEAFRFDGGVEAIATRQNEKYYILRPEVMETYMYMWRLTHDPKYRKWAWEAVEALENHCRVNGGYSGLRDVYLLHESYDDVQQSFFLAETLKYLYLIFSDDDLLPLEHWIFNSEAHLLPILPKDKKEVEIREE
+>DECOY_sp|P33908|MA1A1_HUMAN Mannosyl-oligosaccharide 1,2-alpha-mannosidase IA OS=Homo sapiens OX=9606 GN=MAN1A1 PE=1 SV=3
+EERIEVEKKDKPLIPLLHAESNFIWHELPLLDDDSFILYLYKLTEALFFSQQVDDYSEHLLYVDRLGSYGGNVRCHNELAEVAEWAWKRYKPDHTLRWMYMYTEMVEPRLIYYKENQRTAIAEVGGDFRFAEPGLKMFTRNYSEHCTRAIEAGLELYHQAMGEPAADAGLAFMGGAFCTLHGMKHELLGGKWEAIYTLGSSSKRILHTEIAQVADFYMKKAELDTKDSMLWAKLLYEYFSDGLGGVSVHHQGWQGSSPNLYNPYLGQPKELKNLVTRINMVKEAFIPNGSLHSLHMFELHLTGFEALISSGGSAWPWNRGIGSKMNLLAWPIGSPTHFAPLLKVGLEVAKKRFIEEGSLYYASLLGGVFRINVEFVSIEANVNFDLNEEVWSKAEEFEHKMEMIFLTDLADVITAGKINGFLSSSHGGKSIPKLENLGWAYGKYNNWAHKMMEKIKARKERIAADAPERSEVGIPPVFDVPPLGRFPAKDRLQDQAVKKKELLIDRQIEEPLKQLTEKAERLAREHNERIRALNDELAAEPDGPAGEERRRARGEAADEARAGPGPKHDAAPQLAPSSHFLVGSLLKSSDPLFFIAGFCLTIFASFVLLLVFKETLRLAAPGSGKRGGGGGLGGGLVGGAPSSFLPLLGGVPM
+>sp|Q9NR34|MA1C1_HUMAN Mannosyl-oligosaccharide 1,2-alpha-mannosidase IC OS=Homo sapiens OX=9606 GN=MAN1C1 PE=1 SV=1
+MLMRKVPGFVPASPWGLRLPQKFLFLLFLSGLVTLCFGALFLLPHSSRLKRLFLAPRTQQPGLEVVAEIAGHAPAREQEPPPNPAPAAPAPGEDDPSSWASPRRRKGGLRRTRPTGPREEATAARGNSIPASRPGDEGVPFRFDFNAFRSRLRHPVLGTRADESQEPQSQVRAQREKIKEMMQFAWQSYKRYAMGKNELRPLTKDGYEGNMFGGLSGATVIDSLDTLYLMELKEEFQEAKAWVGESFHLNVSGEASLFEVNIRYIGGLLSAFYLTGEEVFRIKAIRLGEKLLPAFNTPTGIPKGVVSFKSGNWGWATAGSSSILAEFGSLHLEFLHLTELSGNQVFAEKVRNIRKVLRKIEKPFGLYPNFLSPVSGNWVQHHVSVGGLGDSFYEYLIKSWLMSGKTDMEAKNMYYEALEAIETYLLNVSPGGLTYIAEWRGGILDHKMGHLACFSGGMIALGAEDAKEEKRAHYRELAAQITKTCHESYARSDTKLGPEAFWFNSGREAVATQLSESYYILRPEVVESYMYLWRQTHNPIYREWGWEVVLALEKYCRTEAGFSGIQDVYSSTPNHDNKQQSFFLAETLKYLYLLFSEDDLLSLEDWVFNTEAHPLPVNHSDSSGRAWGRH
+>DECOY_sp|Q9NR34|MA1C1_HUMAN Mannosyl-oligosaccharide 1,2-alpha-mannosidase IC OS=Homo sapiens OX=9606 GN=MAN1C1 PE=1 SV=1
+HRGWARGSSDSHNVPLPHAETNFVWDELSLLDDESFLLYLYKLTEALFFSQQKNDHNPTSSYVDQIGSFGAETRCYKELALVVEWGWERYIPNHTQRWLYMYSEVVEPRLIYYSESLQTAVAERGSNFWFAEPGLKTDSRAYSEHCTKTIQAALERYHARKEEKADEAGLAIMGGSFCALHGMKHDLIGGRWEAIYTLGGPSVNLLYTEIAELAEYYMNKAEMDTKGSMLWSKILYEYFSDGLGGVSVHHQVWNGSVPSLFNPYLGFPKEIKRLVKRINRVKEAFVQNGSLETLHLFELHLSGFEALISSSGATAWGWNGSKFSVVGKPIGTPTNFAPLLKEGLRIAKIRFVEEGTLYFASLLGGIYRINVEFLSAEGSVNLHFSEGVWAKAEQFEEKLEMLYLTDLSDIVTAGSLGGFMNGEYGDKTLPRLENKGMAYRKYSQWAFQMMEKIKERQARVQSQPEQSEDARTGLVPHRLRSRFANFDFRFPVGEDGPRSAPISNGRAATAEERPGTPRTRRLGGKRRRPSAWSSPDDEGPAPAAPAPNPPPEQERAPAHGAIEAVVELGPQQTRPALFLRKLRSSHPLLFLAGFCLTVLGSLFLLFLFKQPLRLGWPSAPVFGPVKRMLM
+>sp|Q8N8E1|MAAS1_HUMAN Putative uncharacterized protein encoded by MAPKAPK5-AS1 OS=Homo sapiens OX=9606 GN=MAPKAPK5-AS1 PE=5 SV=1
+MALSMSLSSDILSGAPTAGRGGGCSAALSPRGRGSKGLGTRAPGPRGDGQQPPLGTGGDEDPGAGSASAGGSRLAAAAAAEAAAPGDRSLCWAPRGRLLASSPAGEAGSWGRARRGGPRPGAPCKGLAGPPLRPGLARS
+>DECOY_sp|Q8N8E1|MAAS1_HUMAN Putative uncharacterized protein encoded by MAPKAPK5-AS1 OS=Homo sapiens OX=9606 GN=MAPKAPK5-AS1 PE=5 SV=1
+SRALGPRLPPGALGKCPAGPRPGGRRARGWSGAEGAPSSALLRGRPAWCLSRDGPAAAEAAAAAALRSGGASASGAGPDEDGGTGLPPQQGDGRPGPARTGLGKSGRGRPSLAASCGGGRGATPAGSLIDSSLSMSLAM
+>sp|Q6ZN28|MACC1_HUMAN Metastasis-associated in colon cancer protein 1 OS=Homo sapiens OX=9606 GN=MACC1 PE=1 SV=2
+MLITERKHFRSGRIAQSMSEANLIDMEAGKLSKSCNITECQDPDLLHNWPDAFTLRGNNASKVANPFWNQLSASNPFLDDITQLRNNRKRNNISILKEDPFLFCREIENGNSFDSSGDELDVHQLLRQTSSRNSGRSKSVSELLDILDDTAHAHQSIHNSDQILLHDLEWLKNDREAYKMAWLSQRQLARSCLDLNTISQSPGWAQTQLAEVTIACKVNHQGGSVQLPESDITVHVPQGHVAVGEFQEVSLRAFLDPPHMLNHDLSCTVSPLLEIMLGNLNTMEALLLEMKIGAEVRKDPFSQVMTEMVCLHSLGKEGPFKVLSNCYIYKDTIQVKLIDLSQVMYLVVAAQAKALPSPAATIWDYIHKTTSIGIYGPKYIHPSFTVVLTVCGHNYMPGQLTISDIKKGGKNISPVVFQLWGKQSFLLDKPQDLSISIFSCDPDFEVKTEGERKEIKQKQLEAGEVVHQQFLFSLVEHREMHLFDFCVQVEPPNGEPVAQFSITTPDPTPNLKRLSNLPGYLQKKEEIKSAPLSPKILVKYPTFQDKTLNFSNYGVTLKAVLRQSKIDYFLEYFKGDTIALLGEGKVKAIGQSKVKEWYVGVLRGKIGLVHCKNVKVISKEQVMFMSDSVFTTRNLLEQIVLPLKKLTYIYSVVLTLVSEKVYDWKVLADVLGYSHLSLEDFDQIQADKESEKVSYVIKKLKEDCHTERNTRKFLYELIVALLKMDCQELVARLIQEAAVLTSAVKLGKGWRELAEKLVRLTKQQMEAYEIPHRGNTGDVAVEMMWKPAYDFLYTWSAHYGNNYRDVLQDLQSALDRMKNPVTKHWRELTGVLILVNSLEVLRVTAFSTSEEV
+>DECOY_sp|Q6ZN28|MACC1_HUMAN Metastasis-associated in colon cancer protein 1 OS=Homo sapiens OX=9606 GN=MACC1 PE=1 SV=2
+VEESTSFATVRLVELSNVLILVGTLERWHKTVPNKMRDLASQLDQLVDRYNNGYHASWTYLFDYAPKWMMEVAVDGTNGRHPIEYAEMQQKTLRVLKEALERWGKGLKVASTLVAAEQILRAVLEQCDMKLLAVILEYLFKRTNRETHCDEKLKKIVYSVKESEKDAQIQDFDELSLHSYGLVDALVKWDYVKESVLTLVVSYIYTLKKLPLVIQELLNRTTFVSDSMFMVQEKSIVKVNKCHVLGIKGRLVGVYWEKVKSQGIAKVKGEGLLAITDGKFYELFYDIKSQRLVAKLTVGYNSFNLTKDQFTPYKVLIKPSLPASKIEEKKQLYGPLNSLRKLNPTPDPTTISFQAVPEGNPPEVQVCFDFLHMERHEVLSFLFQQHVVEGAELQKQKIEKREGETKVEFDPDCSFISISLDQPKDLLFSQKGWLQFVVPSINKGGKKIDSITLQGPMYNHGCVTLVVTFSPHIYKPGYIGISTTKHIYDWITAAPSPLAKAQAAVVLYMVQSLDILKVQITDKYIYCNSLVKFPGEKGLSHLCVMETMVQSFPDKRVEAGIKMELLLAEMTNLNGLMIELLPSVTCSLDHNLMHPPDLFARLSVEQFEGVAVHGQPVHVTIDSEPLQVSGGQHNVKCAITVEALQTQAWGPSQSITNLDLCSRALQRQSLWAMKYAERDNKLWELDHLLIQDSNHISQHAHATDDLIDLLESVSKSRGSNRSSTQRLLQHVDLEDGSSDFSNGNEIERCFLFPDEKLISINNRKRNNRLQTIDDLFPNSASLQNWFPNAVKSANNGRLTFADPWNHLLDPDQCETINCSKSLKGAEMDILNAESMSQAIRGSRFHKRETILM
+>sp|Q8WZ33|MAFIP_HUMAN MaFF-interacting protein OS=Homo sapiens OX=9606 GN=MAFIP PE=1 SV=1
+MLCPRAAFLVGSFHGVFPGPPASSHWEFWVPSTPVGAYFCPPQPQLTPPNTPKLVSEVEELYKSITALREKLLQAEQSLRNLKDIHMSLEKDVTAMTNSVFIDRQKCMAHRTCYPTILQLAGYQ
+>DECOY_sp|Q8WZ33|MAFIP_HUMAN MaFF-interacting protein OS=Homo sapiens OX=9606 GN=MAFIP PE=1 SV=1
+QYGALQLITPYCTRHAMCKQRDIFVSNTMATVDKELSMHIDKLNRLSQEAQLLKERLATISKYLEEVESVLKPTNPPTLQPQPPCFYAGVPTSPVWFEWHSSAPPGPFVGHFSGVLFAARPCLM
+>sp|Q9H2C1|LHX5_HUMAN LIM/homeobox protein Lhx5 OS=Homo sapiens OX=9606 GN=LHX5 PE=2 SV=1
+MMVHCAGCERPILDRFLLNVLDRAWHIKCVQCCECKTNLSEKCFSREGKLYCKNDFFRRFGTKCAGCAQGISPSDLVRKARSKVFHLNCFTCMVCNKQLSTGEELYVIDENKFVCKDDYLSSSSLKEGSLNSVSSCTDRSLSPDLQDALQDDPKETDNSTSSDKETANNENEEQNSGTKRRGPRTTIKAKQLETLKAAFAATPKPTRHIREQLAQETGLNMRVIQVWFQNRRSKERRMKQLSALGARRHAFFRSPRRMRPLGGRLDESEMLGSTPYTYYGDYQGDYYAPGSNYDFFAHGPPSQAQSPADSSFLAASGPGSTPLGALEPPLAGPHAADNPRFTDMISHPDTPSPEPGLPGTLHPMPGEVFSGGPSPPFPMSGTSGYSGPLSHPNPELNEAAVW
+>DECOY_sp|Q9H2C1|LHX5_HUMAN LIM/homeobox protein Lhx5 OS=Homo sapiens OX=9606 GN=LHX5 PE=2 SV=1
+WVAAENLEPNPHSLPGSYGSTGSMPFPPSPGGSFVEGPMPHLTGPLGPEPSPTDPHSIMDTFRPNDAAHPGALPPELAGLPTSGPGSAALFSSDAPSQAQSPPGHAFFDYNSGPAYYDGQYDGYYTYPTSGLMESEDLRGGLPRMRRPSRFFAHRRAGLASLQKMRREKSRRNQFWVQIVRMNLGTEQALQERIHRTPKPTAAFAAKLTELQKAKITTRPGRRKTGSNQEENENNATEKDSSTSNDTEKPDDQLADQLDPSLSRDTCSSVSNLSGEKLSSSSLYDDKCVFKNEDIVYLEEGTSLQKNCVMCTFCNLHFVKSRAKRVLDSPSIGQACGACKTGFRRFFDNKCYLKGERSFCKESLNTKCECCQVCKIHWARDLVNLLFRDLIPRECGACHVMM
+>sp|P15018|LIF_HUMAN Leukemia inhibitory factor OS=Homo sapiens OX=9606 GN=LIF PE=1 SV=1
+MKVLAAGVVPLLLVLHWKHGAGSPLPITPVNATCAIRHPCHNNLMNQIRSQLAQLNGSANALFILYYTAQGEPFPNNLDKLCGPNVTDFPPFHANGTEKAKLVELYRIVVYLGTSLGNITRDQKILNPSALSLHSKLNATADILRGLLSNVLCRLCSKYHVGHVDVTYGPDTSGKDVFQKKKLGCQLLGKYKQIIAVLAQAF
+>DECOY_sp|P15018|LIF_HUMAN Leukemia inhibitory factor OS=Homo sapiens OX=9606 GN=LIF PE=1 SV=1
+FAQALVAIIQKYKGLLQCGLKKKQFVDKGSTDPGYTVDVHGVHYKSCLRCLVNSLLGRLIDATANLKSHLSLASPNLIKQDRTINGLSTGLYVVIRYLEVLKAKETGNAHFPPFDTVNPGCLKDLNNPFPEGQATYYLIFLANASGNLQALQSRIQNMLNNHCPHRIACTANVPTIPLPSGAGHKWHLVLLLPVVGAALVKM
+>sp|Q9UPQ0|LIMC1_HUMAN LIM and calponin homology domains-containing protein 1 OS=Homo sapiens OX=9606 GN=LIMCH1 PE=1 SV=4
+MACPALGLEALQPLQPEPPPEPAFSEAQKWIEQVTGRSFGDKDFRTGLENGILLCELLNAIKPGLVKKINRLPTPIAGLDNIILFLRGCKELGLKESQLFDPSDLQDTSNRVTVKSLDYSRKLKNVLVTIYWLGKAANSCTSYSGTTLNLKEFEGLLAQMRKDTDDIESPKRSIRDSGYIDCWDSERSDSLSPPRHGRDDSFDSLDSFGSRSRQTPSPDVVLRGSSDGRGSDSESDLPHRKLPDVKKDDMSARRTSHGEPKSAVPFNQYLPNKSNQTAYVPAPLRKKKAEREEYRKSWSTATSPLGGERPFRYGPRTPVSDDAESTSMFDMRCEEEAAVQPHSRARQEQLQLINNQLREEDDKWQDDLARWKSRRRSVSQDLIKKEEERKKMEKLLAGEDGTSERRKSIKTYREIVQEKERRERELHEAYKNARSQEEAEGILQQYIERFTISEAVLERLEMPKILERSHSTEPNLSSFLNDPNPMKYLRQQSLPPPKFTATVETTIARASVLDTSMSAGSGSPSKTVTPKAVPMLTPKPYSQPKNSQDVLKTFKVDGKVSVNGETVHREEEKERECPTVAPAHSLTKSQMFEGVARVHGSPLELKQDNGSIEINIKKPNSVPQELAATTEKTEPNSQEDKNDGGKSRKGNIELASSEPQHFTTTVTRCSPTVAFVEFPSSPQLKNDVSEEKDQKKPENEMSGKVELVLSQKVVKPKSPEPEATLTFPFLDKMPEANQLHLPNLNSQVDSPSSEKSPVMTPQFKFWAWDPEEERRRQEKWQQEQERLLQERYQKEQDKLKEEWEKAQKEVEEEERRYYEEERKIIEDTVVPFTVSSSSADQLSTSSSMTEGSGTMNKIDLGNCQDEKQDRRWKKSFQGDDSDLLLKTRESDRLEEKGSLTEGALAHSGNPVSKGVHEDHQLDTEAGAPHCGTNPQLAQDPSQNQQTSNPTHSSEDVKPKTLPLDKSINHQIESPSERRKKSPREHFQAGPFSPCSPTPPGQSPNRSISGKKLCSSCGLPLGKGAAMIIETLNLYFHIQCFRCGICKGQLGDAVSGTDVRIRNGLLNCNDCYMRSRSAGQPTTL
+>DECOY_sp|Q9UPQ0|LIMC1_HUMAN LIM and calponin homology domains-containing protein 1 OS=Homo sapiens OX=9606 GN=LIMCH1 PE=1 SV=4
+LTTPQGASRSRMYCDNCNLLGNRIRVDTGSVADGLQGKCIGCRFCQIHFYLNLTEIIMAAGKGLPLGCSSCLKKGSISRNPSQGPPTPSCPSFPGAQFHERPSKKRRESPSEIQHNISKDLPLTKPKVDESSHTPNSTQQNQSPDQALQPNTGCHPAGAETDLQHDEHVGKSVPNGSHALAGETLSGKEELRDSERTKLLLDSDDGQFSKKWRRDQKEDQCNGLDIKNMTGSGETMSSSTSLQDASSSSVTFPVVTDEIIKREEEYYRREEEEVEKQAKEWEEKLKDQEKQYREQLLREQEQQWKEQRRREEEPDWAWFKFQPTMVPSKESSPSDVQSNLNPLHLQNAEPMKDLFPFTLTAEPEPSKPKVVKQSLVLEVKGSMENEPKKQDKEESVDNKLQPSSPFEVFAVTPSCRTVTTTFHQPESSALEINGKRSKGGDNKDEQSNPETKETTAALEQPVSNPKKINIEISGNDQKLELPSGHVRAVGEFMQSKTLSHAPAVTPCEREKEEERHVTEGNVSVKGDVKFTKLVDQSNKPQSYPKPTLMPVAKPTVTKSPSGSGASMSTDLVSARAITTEVTATFKPPPLSQQRLYKMPNPDNLFSSLNPETSHSRELIKPMELRELVAESITFREIYQQLIGEAEEQSRANKYAEHLERERREKEQVIERYTKISKRRESTGDEGALLKEMKKREEEKKILDQSVSRRRSKWRALDDQWKDDEERLQNNILQLQEQRARSHPQVAAEEECRMDFMSTSEADDSVPTRPGYRFPREGGLPSTATSWSKRYEEREAKKKRLPAPVYATQNSKNPLYQNFPVASKPEGHSTRRASMDDKKVDPLKRHPLDSESDSGRGDSSGRLVVDPSPTQRSRSGFSDLSDFSDDRGHRPPSLSDSRESDWCDIYGSDRISRKPSEIDDTDKRMQALLGEFEKLNLTTGSYSTCSNAAKGLWYITVLVNKLKRSYDLSKVTVRNSTDQLDSPDFLQSEKLGLEKCGRLFLIINDLGAIPTPLRNIKKVLGPKIANLLECLLIGNELGTRFDKDGFSRGTVQEIWKQAESFAPEPPPEPQLPQLAELGLAPCAM
+>sp|Q9BT23|LIMD2_HUMAN LIM domain-containing protein 2 OS=Homo sapiens OX=9606 GN=LIMD2 PE=1 SV=1
+MFQAAGAAQATPSHDAKGGGSSTVQRSKSFSLRAQVKETCAACQKTVYPMERLVADKLIFHNSCFCCKHCHTKLSLGSYAALHGEFYCKPHFQQLFKSKGNYDEGFGRKQHKELWAHKEVDPGTKTA
+>DECOY_sp|Q9BT23|LIMD2_HUMAN LIM domain-containing protein 2 OS=Homo sapiens OX=9606 GN=LIMD2 PE=1 SV=1
+ATKTGPDVEKHAWLEKHQKRGFGEDYNGKSKFLQQFHPKCYFEGHLAAYSGLSLKTHCHKCCFCSNHFILKDAVLREMPYVTKQCAACTEKVQARLSFSKSRQVTSSGGGKADHSPTAQAAGAAQFM
+>sp|P0CW20|LIMS4_HUMAN LIM and senescent cell antigen-like-containing domain protein 4 OS=Homo sapiens OX=9606 GN=LIMS4 PE=1 SV=1
+MAFSGRARPCIIPENEEIPRAALNTVHEANGTEDERAVSKLQRRHSDVKVYKEFCDFYAKFNMANALASATCERCKGGFAPAETIVNSNGELYHEQCFVCAQCFQQFPEGLFYEERT
+>DECOY_sp|P0CW20|LIMS4_HUMAN LIM and senescent cell antigen-like-containing domain protein 4 OS=Homo sapiens OX=9606 GN=LIMS4 PE=1 SV=1
+TREEYFLGEPFQQFCQACVFCQEHYLEGNSNVITEAPAFGGKCRECTASALANAMNFKAYFDCFEKYVKVDSHRRQLKSVAREDETGNAEHVTNLAARPIEENEPIICPRARGSFAM
+>sp|Q6MZP7|LIN54_HUMAN Protein lin-54 homolog OS=Homo sapiens OX=9606 GN=LIN54 PE=1 SV=3
+MEVVPAEVNSLLPEEIMDTGITLVDDDSIEAVIVSSPIPMETELEEIVNINSTGDSTATPISTEPITVYSNHTNQVAVNTTITKADSNTTVKPAFPSGLQKLGAQTPVTISANQIILNKVSQTSDLKLGNQTLKPDGQKLILTTLGKSGSPIVLALPHSQLPQAQKVTTQAQSGDAKLPPQQIKVVTIGGRPEVKPVIGVSALTPGSQLINTTTQPSVLQTQQLKTVQIAKKPRTPTSGPVITKLIFAKPINSKAVTGQTTQVSPPVIAGRVLSQSTPGTPSKTITISESGVIGSTLNSTTQTPNKIAISPLKSPNKAVKSTVQTITVGGVSTSQFKTIIPLATAPNVQQIQVPGSKFHYVRLVTATSASSSTQPVSQNPSTNTQPLQQAKPVVVNTTPVRMSVPIVSAQAVKQVVPKPINPTSQIVTTSQPQQRLIMPATPLPQIQPNLTNLPPGTVLAPAPGTGNVGYAVLPAQYVTQLQQSSYVSIASNSTFTGTSGIQTQARLPFNGIIPSESASRPRKPCNCTKSLCLKLYCDCFANGEFCNNCNCTNCYNNLEHENERQKAIKACLDRNPEAFKPKIGKGKEGESDRRHSKGCNCKRSGCLKNYCECYEAKIMCSSICKCIGCKNFEESPERKTLMHLADAAEVRVQQQTAAKTKLSSQISDLLTRPTPALNSGGGKLPFTFVTKEVAEATCNCLLAQAEQADKKGKSKAAAERMILEEFGRCLMSVINSAGKAKSDPCAMNC
+>DECOY_sp|Q6MZP7|LIN54_HUMAN Protein lin-54 homolog OS=Homo sapiens OX=9606 GN=LIN54 PE=1 SV=3
+CNMACPDSKAKGASNIVSMLCRGFEELIMREAAAKSKGKKDAQEAQALLCNCTAEAVEKTVFTFPLKGGGSNLAPTPRTLLDSIQSSLKTKAATQQQVRVEAADALHMLTKREPSEEFNKCGICKCISSCMIKAEYCECYNKLCGSRKCNCGKSHRRDSEGEKGKGIKPKFAEPNRDLCAKIAKQRENEHELNNYCNTCNCNNCFEGNAFCDCYLKLCLSKTCNCPKRPRSASESPIIGNFPLRAQTQIGSTGTFTSNSAISVYSSQQLQTVYQAPLVAYGVNGTGPAPALVTGPPLNTLNPQIQPLPTAPMILRQQPQSTTVIQSTPNIPKPVVQKVAQASVIPVSMRVPTTNVVVPKAQQLPQTNTSPNQSVPQTSSSASTATVLRVYHFKSGPVQIQQVNPATALPIITKFQSTSVGGVTITQVTSKVAKNPSKLPSIAIKNPTQTTSNLTSGIVGSESITITKSPTGPTSQSLVRGAIVPPSVQTTQGTVAKSNIPKAFILKTIVPGSTPTRPKKAIQVTKLQQTQLVSPQTTTNILQSGPTLASVGIVPKVEPRGGITVVKIQQPPLKADGSQAQTTVKQAQPLQSHPLALVIPSGSKGLTTLILKQGDPKLTQNGLKLDSTQSVKNLIIQNASITVPTQAGLKQLGSPFAPKVTTNSDAKTITTNVAVQNTHNSYVTIPETSIPTATSDGTSNINVIEELETEMPIPSSVIVAEISDDDVLTIGTDMIEEPLLSNVEAPVVEM
+>sp|A6NI73|LIRA5_HUMAN Leukocyte immunoglobulin-like receptor subfamily A member 5 OS=Homo sapiens OX=9606 GN=LILRA5 PE=1 SV=1
+MAPWSHPSAQLQPVGGDAVSPALMVLLCLGLSLGPRTHVQAGNLSKATLWAEPGSVISRGNSVTIRCQGTLEAQEYRLVKEGSPEPWDTQNPLEPKNKARFSIPSMTEHHAGRYRCYYYSPAGWSEPSDPLELVVTGFYNKPTLSALPSPVVTSGENVTLQCGSRLRFDRFILTEEGDHKLSWTLDSQLTPSGQFQALFPVGPVTPSHRWMLRCYGSRRHILQVWSEPSDLLEIPVSGAADNLSPSQNKSDSGTASHLQDYAVENLIRMGMAGLILVVLGILIFQDWHSQRSPQAAAGR
+>DECOY_sp|A6NI73|LIRA5_HUMAN Leukocyte immunoglobulin-like receptor subfamily A member 5 OS=Homo sapiens OX=9606 GN=LILRA5 PE=1 SV=1
+RGAAAQPSRQSHWDQFILIGLVVLILGAMGMRILNEVAYDQLHSATGSDSKNQSPSLNDAAGSVPIELLDSPESWVQLIHRRSGYCRLMWRHSPTVPGVPFLAQFQGSPTLQSDLTWSLKHDGEETLIFRDFRLRSGCQLTVNEGSTVVPSPLASLTPKNYFGTVVLELPDSPESWGAPSYYYCRYRGAHHETMSPISFRAKNKPELPNQTDWPEPSGEKVLRYEQAELTGQCRITVSNGRSIVSGPEAWLTAKSLNGAQVHTRPGLSLGLCLLVMLAPSVADGGVPQLQASPHSWPAM
+>sp|Q8NHL6|LIRB1_HUMAN Leukocyte immunoglobulin-like receptor subfamily B member 1 OS=Homo sapiens OX=9606 GN=LILRB1 PE=1 SV=1
+MTPILTVLICLGLSLGPRTHVQAGHLPKPTLWAEPGSVITQGSPVTLRCQGGQETQEYRLYREKKTALWITRIPQELVKKGQFPIPSITWEHAGRYRCYYGSDTAGRSESSDPLELVVTGAYIKPTLSAQPSPVVNSGGNVILQCDSQVAFDGFSLCKEGEDEHPQCLNSQPHARGSSRAIFSVGPVSPSRRWWYRCYAYDSNSPYEWSLPSDLLELLVLGVSKKPSLSVQPGPIVAPEETLTLQCGSDAGYNRFVLYKDGERDFLQLAGAQPQAGLSQANFTLGPVSRSYGGQYRCYGAHNLSSEWSAPSDPLDILIAGQFYDRVSLSVQPGPTVASGENVTLLCQSQGWMQTFLLTKEGAADDPWRLRSTYQSQKYQAEFPMGPVTSAHAGTYRCYGSQSSKPYLLTHPSDPLELVVSGPSGGPSSPTTGPTSTSGPEDQPLTPTGSDPQSGLGRHLGVVIGILVAVILLLLLLLLLFLILRHRRQGKHWTSTQRKADFQHPAGAVGPEPTDRGLQWRSSPAADAQEENLYAAVKHTQPEDGVEMDTRSPHDEDPQAVTYAEVKHSRPRREMASPPSPLSGEFLDTKDRQAEEDRQMDTEAAASEAPQDVTYAQLHSLTLRREATEPPPSQEGPSPAVPSIYATLAIH
+>DECOY_sp|Q8NHL6|LIRB1_HUMAN Leukocyte immunoglobulin-like receptor subfamily B member 1 OS=Homo sapiens OX=9606 GN=LILRB1 PE=1 SV=1
+HIALTAYISPVAPSPGEQSPPPETAERRLTLSHLQAYTVDQPAESAAAETDMQRDEEAQRDKTDLFEGSLPSPPSAMERRPRSHKVEAYTVAQPDEDHPSRTDMEVGDEPQTHKVAAYLNEEQADAAPSSRWQLGRDTPEPGVAGAPHQFDAKRQTSTWHKGQRRHRLILFLLLLLLLLLIVAVLIGIVVGLHRGLGSQPDSGTPTLPQDEPGSTSTPGTTPSSPGGSPGSVVLELPDSPHTLLYPKSSQSGYCRYTGAHASTVPGMPFEAQYKQSQYTSRLRWPDDAAGEKTLLFTQMWGQSQCLLTVNEGSAVTPGPQVSLSVRDYFQGAILIDLPDSPASWESSLNHAGYCRYQGGYSRSVPGLTFNAQSLGAQPQAGALQLFDREGDKYLVFRNYGADSGCQLTLTEEPAVIPGPQVSLSPKKSVGLVLLELLDSPLSWEYPSNSDYAYCRYWWRRSPSVPGVSFIARSSGRAHPQSNLCQPHEDEGEKCLSFGDFAVQSDCQLIVNGGSNVVPSPQASLTPKIYAGTVVLELPDSSESRGATDSGYYCRYRGAHEWTISPIPFQGKKVLEQPIRTIWLATKKERYLRYEQTEQGGQCRLTVPSGQTIVSGPEAWLTPKPLHGAQVHTRPGLSLGLCILVTLIPTM
+>sp|Q99732|LITAF_HUMAN Lipopolysaccharide-induced tumor necrosis factor-alpha factor OS=Homo sapiens OX=9606 GN=LITAF PE=1 SV=2
+MSVPGPYQAATGPSSAPSAPPSYEETVAVNSYYPTPPAPMPGPTTGLVTGPDGKGMNPPSYYTQPAPIPNNNPITVQTVYVQHPITFLDRPIQMCCPSCNKMIVSQLSYNAGALTWLSCGSLCLLGCIAGCCFIPFCVDALQDVDHYCPNCRALLGTYKRL
+>DECOY_sp|Q99732|LITAF_HUMAN Lipopolysaccharide-induced tumor necrosis factor-alpha factor OS=Homo sapiens OX=9606 GN=LITAF PE=1 SV=2
+LRKYTGLLARCNPCYHDVDQLADVCFPIFCCGAICGLLCLSGCSLWTLAGANYSLQSVIMKNCSPCCMQIPRDLFTIPHQVYVTQVTIPNNNPIPAPQTYYSPPNMGKGDPGTVLGTTPGPMPAPPTPYYSNVAVTEEYSPPASPASSPGTAAQYPGPVSM
+>sp|Q9HAT1|LMA1L_HUMAN Protein ERGIC-53-like OS=Homo sapiens OX=9606 GN=LMAN1L PE=2 SV=2
+MPAVSGPGPLFCLLLLLLDPHSPETGCPPLRRFEYKLSFKGPRLALPGAGIPFWSHHGDAILGLEEVRLTPSMRNRSGAVWSRASVPFSAWEVEVQMRVTGLGRRGAQGMAVWYTRGRGHVGSVLGGLASWDGIGIFFDSPAEDTQDSPAIRVLASDGHIPSEQPGDGASQGLGSCHWDFRNRPHPFRARITYWGQRLRMSLNSGLTPSDPGEFCVDVGPLLLVPGGFFGVSAATGTLADDHDVLSFLTFSLSEPSPEVPPQPFLEMQQLRLARQLEGLWARLGLGTREDVTPKSDSEAQGEGERLFDLEETLGRHRRILQALRGLSKQLAQAERQWKKQLGPPGQARPDGGWALDASCQIPSTPGRGGHLSMSLNKDSAKVGALLHGQWTLLQALQEMRDAAVRMAAEAQVSYLPVGIEHHFLELDHILGLLQEELRGPAKAAAKAPRPPGQPPRASSCLQPGIFLFYLLIQTVGFFGYVHFRQELNKSLQECLSTGSLPLGPAPHTPRALGILRRQPLPASMPA
+>DECOY_sp|Q9HAT1|LMA1L_HUMAN Protein ERGIC-53-like OS=Homo sapiens OX=9606 GN=LMAN1L PE=2 SV=2
+APMSAPLPQRRLIGLARPTHPAPGLPLSGTSLCEQLSKNLEQRFHVYGFFGVTQILLYFLFIGPQLCSSARPPQGPPRPAKAAAKAPGRLEEQLLGLIHDLELFHHEIGVPLYSVQAEAAMRVAADRMEQLAQLLTWQGHLLAGVKASDKNLSMSLHGGRGPTSPIQCSADLAWGGDPRAQGPPGLQKKWQREAQALQKSLGRLAQLIRRHRGLTEELDFLREGEGQAESDSKPTVDERTGLGLRAWLGELQRALRLQQMELFPQPPVEPSPESLSFTLFSLVDHDDALTGTAASVGFFGGPVLLLPGVDVCFEGPDSPTLGSNLSMRLRQGWYTIRARFPHPRNRFDWHCSGLGQSAGDGPQESPIHGDSALVRIAPSDQTDEAPSDFFIGIGDWSALGGLVSGVHGRGRTYWVAMGQAGRRGLGTVRMQVEVEWASFPVSARSWVAGSRNRMSPTLRVEELGLIADGHHSWFPIGAGPLALRPGKFSLKYEFRRLPPCGTEPSHPDLLLLLLCFLPGPGSVAPM
+>sp|Q9H0V9|LMA2L_HUMAN VIP36-like protein OS=Homo sapiens OX=9606 GN=LMAN2L PE=1 SV=1
+MAATLGPLGSWQQWRRCLSARDGSRMLLLLLLLGSGQGPQQVGAGQTFEYLKREHSLSKPYQGVGTGSSSLWNLMGNAMVMTQYIRLTPDMQSKQGALWNRVPCFLRDWELQVHFKIHGQGKKNLHGDGLAIWYTKDRMQPGPVFGNMDKFVGLGVFVDTYPNEEKQQERVFPYISAMVNNGSLSYDHERDGRPTELGGCTAIVRNLHYDTFLVIRYVKRHLTIMMDIDGKHEWRDCIEVPGVRLPRGYYFGTSSITGDLSDNHDVISLKLFELTVERTPEEEKLHRDVFLPSVDNMKLPEMTAPLPPLSGLALFLIVFFSLVFSVFAIVIGIILYNKWQEQSRKRFY
+>DECOY_sp|Q9H0V9|LMA2L_HUMAN VIP36-like protein OS=Homo sapiens OX=9606 GN=LMAN2L PE=1 SV=1
+YFRKRSQEQWKNYLIIGIVIAFVSFVLSFFVILFLALGSLPPLPATMEPLKMNDVSPLFVDRHLKEEEPTREVTLEFLKLSIVDHNDSLDGTISSTGFYYGRPLRVGPVEICDRWEHKGDIDMMITLHRKVYRIVLFTDYHLNRVIATCGGLETPRGDREHDYSLSGNNVMASIYPFVREQQKEENPYTDVFVGLGVFKDMNGFVPGPQMRDKTYWIALGDGHLNKKGQGHIKFHVQLEWDRLFCPVRNWLAGQKSQMDPTLRIYQTMVMANGMLNWLSSSGTGVGQYPKSLSHERKLYEFTQGAGVQQPGQGSGLLLLLLLMRSGDRASLCRRWQQWSGLPGLTAAM
+>sp|P49257|LMAN1_HUMAN Protein ERGIC-53 OS=Homo sapiens OX=9606 GN=LMAN1 PE=1 SV=2
+MAGSRQRGLRARVRPLFCALLLSLGRFVRGDGVGGDPAVALPHRRFEYKYSFKGPHLVQSDGTVPFWAHAGNAIPSSDQIRVAPSLKSQRGSVWTKTKAAFENWEVEVTFRVTGRGRIGADGLAIWYAENQGLEGPVFGSADLWNGVGIFFDSFDNDGKKNNPAIVIIGNNGQIHYDHQNDGASQALASCQRDFRNKPYPVRAKITYYQNTLTVMINNGFTPDKNDYEFCAKVENMIIPAQGHFGISAATGGLADDHDVLSFLTFQLTEPGKEPPTPDKEISEKEKEKYQEEFEHFQQELDKKKEEFQKGHPDLQGQPAEEIFESVGDRELRQVFEGQNRIHLEIKQLNRQLDMILDEQRRYVSSLTEEISKRGAGMPGQHGQITQQELDTVVKTQHEILRQVNEMKNSMSETVRLVSGMQHPGSAGGVYETTQHFIDIKEHLHIVKRDIDNLVQRNMPSNEKPKCPELPPFPSCLSTVHFIIFVVVQTVLFIGYIMYRSQQEAAAKKFF
+>DECOY_sp|P49257|LMAN1_HUMAN Protein ERGIC-53 OS=Homo sapiens OX=9606 GN=LMAN1 PE=1 SV=2
+FFKKAAAEQQSRYMIYGIFLVTQVVVFIIFHVTSLCSPFPPLEPCKPKENSPMNRQVLNDIDRKVIHLHEKIDIFHQTTEYVGGASGPHQMGSVLRVTESMSNKMENVQRLIEHQTKVVTDLEQQTIQGHQGPMGAGRKSIEETLSSVYRRQEDLIMDLQRNLQKIELHIRNQGEFVQRLERDGVSEFIEEAPQGQLDPHGKQFEEKKKDLEQQFHEFEEQYKEKEKESIEKDPTPPEKGPETLQFTLFSLVDHDDALGGTAASIGFHGQAPIIMNEVKACFEYDNKDPTFGNNIMVTLTNQYYTIKARVPYPKNRFDRQCSALAQSAGDNQHDYHIQGNNGIIVIAPNNKKGDNDFSDFFIGVGNWLDASGFVPGELGQNEAYWIALGDAGIRGRGTVRFTVEVEWNEFAAKTKTWVSGRQSKLSPAVRIQDSSPIANGAHAWFPVTGDSQVLHPGKFSYKYEFRRHPLAVAPDGGVGDGRVFRGLSLLLACFLPRVRARLGRQRSGAM
+>sp|Q969R5|LMBL2_HUMAN Lethal(3)malignant brain tumor-like protein 2 OS=Homo sapiens OX=9606 GN=L3MBTL2 PE=1 SV=1
+MEKPRSIEETPSSEPMEEEEDDDLELFGGYDSFRSYNSSVGSESSSYLEESSEAENEDREAGELPTSPLHLLSPGTPRSLDGSGSEPAVCEMCGIVGTREAFFSKTKRFCSVSCSRSYSSNSKKASILARLQGKPPTKKAKVLHKAAWSAKIGAFLHSQGTGQLADGTPTGQDALVLGFDWGKFLKDHSYKAAPVSCFKHVPLYDQWEDVMKGMKVEVLNSDAVLPSRVYWIASVIQTAGYRVLLRYEGFENDASHDFWCNLGTVDVHPIGWCAINSKILVPPRTIHAKFTDWKGYLMKRLVGSRTLPVDFHIKMVESMKYPFRQGMRLEVVDKSQVSRTRMAVVDTVIGGRLRLLYEDGDSDDDFWCHMWSPLIHPVGWSRRVGHGIKMSERRSDMAHHPTFRKIYCDAVPYLFKKVRAVYTEGGWFEEGMKLEAIDPLNLGNICVATVCKVLLDGYLMICVDGGPSTDGLDWFCYHASSHAIFPATFCQKNDIELTPPKGYEAQTFNWENYLEKTKSKAAPSRLFNMDCPNHGFKVGMKLEAVDLMEPRLICVATVKRVVHRLLSIHFDGWDSEYDQWVDCESPDIYPVGWCELTGYQLQPPVAAEPATPLKAKEATKKKKKQFGKKRKRIPPTKTRPLRQGSKKPLLEDDPQGARKISSEPVPGEIIAVRVKEEHLDVASPDKASSPELPVSVENIKQETDD
+>DECOY_sp|Q969R5|LMBL2_HUMAN Lethal(3)malignant brain tumor-like protein 2 OS=Homo sapiens OX=9606 GN=L3MBTL2 PE=1 SV=1
+DDTEQKINEVSVPLEPSSAKDPSAVDLHEEKVRVAIIEGPVPESSIKRAGQPDDELLPKKSGQRLPRTKTPPIRKRKKGFQKKKKKTAEKAKLPTAPEAAVPPQLQYGTLECWGVPYIDPSECDVWQDYESDWGDFHISLLRHVVRKVTAVCILRPEMLDVAELKMGVKFGHNPCDMNFLRSPAAKSKTKELYNEWNFTQAEYGKPPTLEIDNKQCFTAPFIAHSSAHYCFWDLGDTSPGGDVCIMLYGDLLVKCVTAVCINGLNLPDIAELKMGEEFWGGETYVARVKKFLYPVADCYIKRFTPHHAMDSRRESMKIGHGVRRSWGVPHILPSWMHCWFDDDSDGDEYLLRLRGGIVTDVVAMRTRSVQSKDVVELRMGQRFPYKMSEVMKIHFDVPLTRSGVLRKMLYGKWDTFKAHITRPPVLIKSNIACWGIPHVDVTGLNCWFDHSADNEFGEYRLLVRYGATQIVSAIWYVRSPLVADSNLVEVKMGKMVDEWQDYLPVHKFCSVPAAKYSHDKLFKGWDFGLVLADQGTPTGDALQGTGQSHLFAGIKASWAAKHLVKAKKTPPKGQLRALISAKKSNSSYSRSCSVSCFRKTKSFFAERTGVIGCMECVAPESGSGDLSRPTGPSLLHLPSTPLEGAERDENEAESSEELYSSSESGVSSNYSRFSDYGGFLELDDDEEEEMPESSPTEEISRPKEM
+>sp|Q03252|LMNB2_HUMAN Lamin-B2 OS=Homo sapiens OX=9606 GN=LMNB2 PE=1 SV=4
+MSPPSPGRRREQRRPRAAATMATPLPGRAGGPATPLSPTRLSRLQEKEELRELNDRLAHYIDRVRALELENDRLLLKISEKEEVTTREVSGIKALYESELADARRVLDETARERARLQIEIGKLRAELDEVNKSAKKREGELTVAQGRVKDLESLFHRSEVELAAALSDKRGLESDVAELRAQLAKAEDGHAVAKKQLEKETLMRVDLENRCQSLQEELDFRKSVFEEEVRETRRRHERRLVEVDSSRQQEYDFKMAQALEELRSQHDEQVRLYKLELEQTYQAKLDSAKLSSDQNDKAASAAREELKEARMRLESLSYQLSGLQKQASAAEDRIRELEEAMAGERDKFRKMLDAKEQEMTEMRDVMQQQLAEYQELLDVKLALDMEINAYRKLLEGEEERLKLSPSPSSRVTVSRATSSSSGSLSATGRLGRSKRKRLEVEEPLGSGPSVLGTGTGGSGGFHLAQQASASGSVSIEEIDLEGKFVQLKNNSDKDQSLGNWRIKRQVLEGEEIAYKFTPKYILRAGQMVTVWAAGAGVAHSPPSTLVWKGQSSWGTGESFRTVLVNADGEEVAMRTVKKSSVMRENENGEEEEEEAEFGEEDLFHQQGDPRTTSRGCYVM
+>DECOY_sp|Q03252|LMNB2_HUMAN Lamin-B2 OS=Homo sapiens OX=9606 GN=LMNB2 PE=1 SV=4
+MVYCGRSTTRPDGQQHFLDEEGFEAEEEEEEGNENERMVSSKKVTRMAVEEGDANVLVTRFSEGTGWSSQGKWVLTSPPSHAVGAGAAWVTVMQGARLIYKPTFKYAIEEGELVQRKIRWNGLSQDKDSNNKLQVFKGELDIEEISVSGSASAQQALHFGGSGGTGTGLVSPGSGLPEEVELRKRKSRGLRGTASLSGSSSSTARSVTVRSSPSPSLKLREEEGELLKRYANIEMDLALKVDLLEQYEALQQQMVDRMETMEQEKADLMKRFKDREGAMAEELERIRDEAASAQKQLGSLQYSLSELRMRAEKLEERAASAAKDNQDSSLKASDLKAQYTQELELKYLRVQEDHQSRLEELAQAMKFDYEQQRSSDVEVLRREHRRRTERVEEEFVSKRFDLEEQLSQCRNELDVRMLTEKELQKKAVAHGDEAKALQARLEAVDSELGRKDSLAAALEVESRHFLSELDKVRGQAVTLEGERKKASKNVEDLEARLKGIEIQLRARERATEDLVRRADALESEYLAKIGSVERTTVEEKESIKLLLRDNELELARVRDIYHALRDNLERLEEKEQLRSLRTPSLPTAPGGARGPLPTAMTAAARPRRQERRRGPSPPSM
+>sp|P42704|LPPRC_HUMAN Leucine-rich PPR motif-containing protein, mitochondrial OS=Homo sapiens OX=9606 GN=LRPPRC PE=1 SV=3
+MAALLRSARWLLRAGAAPRLPLSLRLLPGGPGRLHAASYLPAARAGPVAGGLLSPARLYAIAAKEKDIQEESTFSSRKISNQFDWALMRLDLSVRRTGRIPKKLLQKVFNDTCRSGGLGGSHALLLLRSCGSLLPELKLEERTEFAHRIWDTLQKLGAVYDVSHYNALLKVYLQNEYKFSPTDFLAKMEEANIQPNRVTYQRLIASYCNVGDIEGASKILGFMKTKDLPVTEAVFSALVTGHARAGDMENAENILTVMRDAGIEPGPDTYLALLNAYAEKGDIDHVKQTLEKVEKSELHLMDRDLLQIIFSFSKAGYPQYVSEILEKVTCERRYIPDAMNLILLLVTEKLEDVALQILLACPVSKEDGPSVFGSFFLQHCVTMNTPVEKLTDYCKKLKEVQMHSFPLQFTLHCALLANKTDLAKALMKAVKEEGFPIRPHYFWPLLVGRRKEKNVQGIIEILKGMQELGVHPDQETYTDYVIPCFDSVNSARAILQENGCLSDSDMFSQAGLRSEAANGNLDFVLSFLKSNTLPISLQSIRSSLLLGFRRSMNINLWSEITELLYKDGRYCQEPRGPTEAVGYFLYNLIDSMSDSEVQAKEEHLRQYFHQLEKMNVKIPENIYRGIRNLLESYHVPELIKDAHLLVESKNLDFQKTVQLTSSELESTLETLKAENQPIRDVLKQLILVLCSEENMQKALELKAKYESDMVTGGYAALINLCCRHDKVEDALNLKEEFDRLDSSAVLDTGKYVGLVRVLAKHGKLQDAINILKEMKEKDVLIKDTTALSFFHMLNGAALRGEIETVKQLHEAIVTLGLAEPSTNISFPLVTVHLEKGDLSTALEVAIDCYEKYKVLPRIHDVLCKLVEKGETDLIQKAMDFVSQEQGEMVMLYDLFFAFLQTGNYKEAKKIIETPGIRARSARLQWFCDRCVANNQVETLEKLVELTQKLFECDRDQMYYNLLKLYKINGDWQRADAVWNKIQEENVIPREKTLRLLAEILREGNQEVPFDVPELWYEDEKHSLNSSSASTTEPDFQKDILIACRLNQKKGAYDIFLNAKEQNIVFNAETYSNLIKLLMSEDYFTQAMEVKAFAETHIKGFTLNDAANSRLIITQVRRDYLKEAVTTLKTVLDQQQTPSRLAVTRVIQALAMKGDVENIEVVQKMLNGLEDSIGLSKMVFINNIALAQIKNNNIDAAIENIENMLTSENKVIEPQYFGLAYLFRKVIEEQLEPAVEKISIMAERLANQFAIYKPVTDFFLQLVDAGKVDDARALLQRCGAIAEQTPILLLFLLRNSRKQGKASTVKSVLELIPELNEKEEAYNSLMKSYVSEKDVTSAKALYEHLTAKNTKLDDLFLKRYASLLKYAGEPVPFIEPPESFEFYAQQLRKLRENSS
+>DECOY_sp|P42704|LPPRC_HUMAN Leucine-rich PPR motif-containing protein, mitochondrial OS=Homo sapiens OX=9606 GN=LRPPRC PE=1 SV=3
+SSNERLKRLQQAYFEFSEPPEIFPVPEGAYKLLSAYRKLFLDDLKTNKATLHEYLAKASTVDKESVYSKMLSNYAEEKENLEPILELVSKVTSAKGQKRSNRLLFLLLIPTQEAIAGCRQLLARADDVKGADVLQLFFDTVPKYIAFQNALREAMISIKEVAPELQEEIVKRFLYALGFYQPEIVKNESTLMNEINEIAADINNNKIQALAINNIFVMKSLGISDELGNLMKQVVEINEVDGKMALAQIVRTVALRSPTQQQDLVTKLTTVAEKLYDRRVQTIILRSNAADNLTFGKIHTEAFAKVEMAQTFYDESMLLKILNSYTEANFVINQEKANLFIDYAGKKQNLRCAILIDKQFDPETTSASSSNLSHKEDEYWLEPVDFPVEQNGERLIEALLRLTKERPIVNEEQIKNWVADARQWDGNIKYLKLLNYYMQDRDCEFLKQTLEVLKELTEVQNNAVCRDCFWQLRASRARIGPTEIIKKAEKYNGTQLFAFFLDYLMVMEGQEQSVFDMAKQILDTEGKEVLKCLVDHIRPLVKYKEYCDIAVELATSLDGKELHVTVLPFSINTSPEALGLTVIAEHLQKVTEIEGRLAAGNLMHFFSLATTDKILVDKEKMEKLINIADQLKGHKALVRVLGVYKGTDLVASSDLRDFEEKLNLADEVKDHRCCLNILAAYGGTVMDSEYKAKLELAKQMNEESCLVLILQKLVDRIPQNEAKLTELTSELESSTLQVTKQFDLNKSEVLLHADKILEPVHYSELLNRIGRYINEPIKVNMKELQHFYQRLHEEKAQVESDSMSDILNYLFYGVAETPGRPEQCYRGDKYLLETIESWLNINMSRRFGLLLSSRISQLSIPLTNSKLFSLVFDLNGNAAESRLGAQSFMDSDSLCGNEQLIARASNVSDFCPIVYDTYTEQDPHVGLEQMGKLIEIIGQVNKEKRRGVLLPWFYHPRIPFGEEKVAKMLAKALDTKNALLACHLTFQLPFSHMQVEKLKKCYDTLKEVPTNMTVCHQLFFSGFVSPGDEKSVPCALLIQLAVDELKETVLLLILNMADPIYRRECTVKELIESVYQPYGAKSFSFIIQLLDRDMLHLESKEVKELTQKVHDIDGKEAYANLLALYTDPGPEIGADRMVTLINEANEMDGARAHGTVLASFVAETVPLDKTKMFGLIKSAGEIDGVNCYSAILRQYTVRNPQINAEEMKALFDTPSFKYENQLYVKLLANYHSVDYVAGLKQLTDWIRHAFETREELKLEPLLSGCSRLLLLAHSGGLGGSRCTDNFVKQLLKKPIRGTRRVSLDLRMLAWDFQNSIKRSSFTSEEQIDKEKAAIAYLRAPSLLGGAVPGARAAPLYSAAHLRGPGGPLLRLSLPLRPAAGARLLWRASRLLAAM
+>sp|Q2VPJ9|LR75B_HUMAN Leucine-rich repeat-containing protein 75B OS=Homo sapiens OX=9606 GN=LRRC75B PE=2 SV=1
+MGARLGRRAGPEAGSEAGAAAGCGPAPYERRVRWLREIQSTLRERRPERARQLLRLLRQDLGLERTLLPDILYRDVAFLNPVDPISHDLLVNLARDLQCPKKDYELWKSSDKICRQLIYHLTPHSKQQQGSSLRQRKTQSCLKSSLQKTLLAGETVDLSGIPLSTQDVQHITRYLSSHGAVLAVLDLSFTGLSDELLHLLLPSLWALPRLTQLLLNGNRLTRATARKLTDAIKDTTKFPALAWVDLGNNVDVASLPQPLLVGLRRRLSQRTSLPTIYEGLDLEPEGSAAGATTPASTWDSTAAGLGPEPQACCAR
+>DECOY_sp|Q2VPJ9|LR75B_HUMAN Leucine-rich repeat-containing protein 75B OS=Homo sapiens OX=9606 GN=LRRC75B PE=2 SV=1
+RACCAQPEPGLGAATSDWTSAPTTAGAASGEPELDLGEYITPLSTRQSLRRRLGVLLPQPLSAVDVNNGLDVWALAPFKTTDKIADTLKRATARTLRNGNLLLQTLRPLAWLSPLLLHLLEDSLGTFSLDLVALVAGHSSLYRTIHQVDQTSLPIGSLDVTEGALLTKQLSSKLCSQTKRQRLSSGQQQKSHPTLHYILQRCIKDSSKWLEYDKKPCQLDRALNVLLDHSIPDVPNLFAVDRYLIDPLLTRELGLDQRLLRLLQRAREPRRERLTSQIERLWRVRREYPAPGCGAAAGAESGAEPGARRGLRAGM
+>sp|Q5SZI1|LRAD2_HUMAN Low-density lipoprotein receptor class A domain-containing protein 2 OS=Homo sapiens OX=9606 GN=LDLRAD2 PE=2 SV=1
+MEACCLLQLPQRLLLLGAAALTATALETADLAELCGQTWQGDGLLLRSHAASRRFYFVAPDTDCGLWVQAAAPGDRIRFQFRFFLVYSLTPAPPALNTSSPAPADPCAPGSYLQFYEGPPGAPRPLGSPLCGLNIPVPVASSGPFLGLRLVTRGRQPRVDFVGEVTSFRLGPCGAYFRCQNGRCIPSSLVCDPWGMDNCGDGSDQGSWSPADCRGPSPVPSQTGSTDAHTSRSLTPSPALGSAGSLWIAAERSSPAGRDPTRQDAALEGSTE
+>DECOY_sp|Q5SZI1|LRAD2_HUMAN Low-density lipoprotein receptor class A domain-containing protein 2 OS=Homo sapiens OX=9606 GN=LDLRAD2 PE=2 SV=1
+ETSGELAADQRTPDRGAPSSREAAIWLSGASGLAPSPTLSRSTHADTSGTQSPVPSPGRCDAPSWSGQDSGDGCNDMGWPDCVLSSPICRGNQCRFYAGCPGLRFSTVEGVFDVRPQRGRTVLRLGLFPGSSAVPVPINLGCLPSGLPRPAGPPGEYFQLYSGPACPDAPAPSSTNLAPPAPTLSYVLFFRFQFRIRDGPAAAQVWLGCDTDPAVFYFRRSAAHSRLLLGDGQWTQGCLEALDATELATATLAAAGLLLLRQPLQLLCCAEM
+>sp|O95237|LRAT_HUMAN Lecithin retinol acyltransferase OS=Homo sapiens OX=9606 GN=LRAT PE=1 SV=2
+MKNPMLEVVSLLLEKLLLISNFTLFSSGAAGEDKGRNSFYETSSFHRGDVLEVPRTHLTHYGIYLGDNRVAHMMPDILLALTDDMGRTQKVVSNKRLILGVIVKVASIRVDTVEDFAYGANILVNHLDESLQKKALLNEEVARRAEKLLGFTPYSLLWNNCEHFVTYCRYGTPISPQSDKFCETVKIIIRDQRSVLASAVLGLASIVCTGLVSYTTLPAIFIPFFLWMAG
+>DECOY_sp|O95237|LRAT_HUMAN Lecithin retinol acyltransferase OS=Homo sapiens OX=9606 GN=LRAT PE=1 SV=2
+GAMWLFFPIFIAPLTTYSVLGTCVISALGLVASALVSRQDRIIIKVTECFKDSQPSIPTGYRCYTVFHECNNWLLSYPTFGLLKEARRAVEENLLAKKQLSEDLHNVLINAGYAFDEVTDVRISAVKVIVGLILRKNSVVKQTRGMDDTLALLIDPMMHAVRNDGLYIGYHTLHTRPVELVDGRHFSSTEYFSNRGKDEGAAGSSFLTFNSILLLKELLLSVVELMPNKM
+>sp|Q15048|LRC14_HUMAN Leucine-rich repeat-containing protein 14 OS=Homo sapiens OX=9606 GN=LRRC14 PE=1 SV=1
+MHTLVFLSTRQVLQCQPAACQALPLLPRELFPLLFKVAFMDKKTVVLRELVHTWPFPLLSFQQLLQECAHCSRALLQERPSTESMQAVILGLTARLHTSEPGASTQPLCRKHALRVLDMTGLLDDGVEQDPGTMSMWDCTAAVARTCIAQQQGGAAEPGPAPIPVEVRVDLRVNRASYAFLREALRSSVGSPLRLCCRDLRAEDLPMRNTVALLQLLDAGCLRRVDLRFNNLGLRGLSVIIPHVARFQHLASLRLHYVHGDSRQPSVDGEDNFRYFLAQMGRFTCLRELSMGSSLLSGRLDQLLSTLQSPLESLELAFCALLPEDLRFLARSPHAAHLKKLDLSGNDLSGSQLAPFQGLLQASAATLLHLELTECQLADTQLLATLPILTQCASLRYLGLYGNPLSMAGLKELLRDSVAQAELRTVVHPFPVDCYEGLPWPPPASVLLEASINEEKFARVEAELHQLLLASGRAHVLWTTDIYGRLAADYFSL
+>DECOY_sp|Q15048|LRC14_HUMAN Leucine-rich repeat-containing protein 14 OS=Homo sapiens OX=9606 GN=LRRC14 PE=1 SV=1
+LSFYDAALRGYIDTTWLVHARGSALLLQHLEAEVRAFKEENISAELLVSAPPPWPLGEYCDVPFPHVVTRLEAQAVSDRLLEKLGAMSLPNGYLGLYRLSACQTLIPLTALLQTDALQCETLELHLLTAASAQLLGQFPALQSGSLDNGSLDLKKLHAAHPSRALFRLDEPLLACFALELSELPSQLTSLLQDLRGSLLSSGMSLERLCTFRGMQALFYRFNDEGDVSPQRSDGHVYHLRLSALHQFRAVHPIIVSLGRLGLNNFRLDVRRLCGADLLQLLAVTNRMPLDEARLDRCCLRLPSGVSSRLAERLFAYSARNVRLDVRVEVPIPAPGPEAAGGQQQAICTRAVAATCDWMSMTGPDQEVGDDLLGTMDLVRLAHKRCLPQTSAGPESTHLRATLGLIVAQMSETSPREQLLARSCHACEQLLQQFSLLPFPWTHVLERLVVTKKDMFAVKFLLPFLERPLLPLAQCAAPQCQLVQRTSLFVLTHM
+>sp|Q9H756|LRC19_HUMAN Leucine-rich repeat-containing protein 19 OS=Homo sapiens OX=9606 GN=LRRC19 PE=2 SV=1
+MKVTGITILFWPLSMILLSDKIQSSKREVQCNFTEKNYTLIPADIKKDVTILDLSYNQITLNGTDTRVLQTYFLLTELYLIENKVTILHNNGFGNLSSLEILNICRNSIYVIQQGAFLGLNKLKQLYLCQNKIEQLNADVFVPLRSLKLLNLQGNLISYLDVPPLFHLELITLYGNLWNCSCSLFNLQNWLNTSNVTLENENITMCSYPNSLQSYNIKTVPHKAECHSKFPSSVTEDLYIHFQPISNSIFNSSSNNLTRNSEHEPLGKSWAFLVGVVVTVLTTSLLIFIAIKCPIWYNILLSYNHHRLEEHEAETYEDGFTGNPSSLSQIPETNSEETTVIFEQLHSFVVDDDGFIEDKYIDIHELCEEN
+>DECOY_sp|Q9H756|LRC19_HUMAN Leucine-rich repeat-containing protein 19 OS=Homo sapiens OX=9606 GN=LRRC19 PE=2 SV=1
+NEECLEHIDIYKDEIFGDDDVVFSHLQEFIVTTEESNTEPIQSLSSPNGTFGDEYTEAEHEELRHHNYSLLINYWIPCKIAIFILLSTTLVTVVVGVLFAWSKGLPEHESNRTLNNSSSNFISNSIPQFHIYLDETVSSPFKSHCEAKHPVTKINYSQLSNPYSCMTINENELTVNSTNLWNQLNFLSCSCNWLNGYLTILELHFLPPVDLYSILNGQLNLLKLSRLPVFVDANLQEIKNQCLYLQKLKNLGLFAGQQIVYISNRCINLIELSSLNGFGNNHLITVKNEILYLETLLFYTQLVRTDTGNLTIQNYSLDLITVDKKIDAPILTYNKETFNCQVERKSSQIKDSLLIMSLPWFLITIGTVKM
+>sp|Q9C0I9|LRC27_HUMAN Leucine-rich repeat-containing protein 27 OS=Homo sapiens OX=9606 GN=LRRC27 PE=2 SV=2
+MEGSSSYEVPSVAAADLEEGAGQTRSLPATPSKDVHKGVGGIIFSSSPILDLSESGLCRLEEVFRIPSLQQLHLQRNALCVIPQDFFQLLPNLTWLDLRYNRIKALPSGIGAHQHLKTLLLERNPIKMLPVELGSVTTLKALNLRHCPLEFPPQLVVQKGLVAIQRFLRMWAVEHSLPRNPTSQEAPPVREMTLRDLPSPGLELSGDHASNQGAVNAQDPEGAVMKEKASFLPPVEKPDLSELRKSADSSENWPSEEEIRRFWKLRQEIVEHVKADVLGDQLLTRELPPNLKAALNIEKELPKPRHVFRRKTASSRSILPDLLSPYQMAIRAKRLEESRAAALRELQEKQALMEQQRREKRALQEWRERAQRMRKRKEELSKLLPPRRSMVASKIPSATDLIDNRKVPLNPPGKMKPSKEKSPQASKEMSALQERNLEEKIKQHVLQMREQRRFHGQAPLEEMRKAAEDLEIATELQDEVLKLKLGLTLNKDRRRAALTGNLSLGLPAAQPQNTFFNTKYGESGNVRRYQ
+>DECOY_sp|Q9C0I9|LRC27_HUMAN Leucine-rich repeat-containing protein 27 OS=Homo sapiens OX=9606 GN=LRRC27 PE=2 SV=2
+QYRRVNGSEGYKTNFFTNQPQAAPLGLSLNGTLAARRRDKNLTLGLKLKLVEDQLETAIELDEAAKRMEELPAQGHFRRQERMQLVHQKIKEELNREQLASMEKSAQPSKEKSPKMKGPPNLPVKRNDILDTASPIKSAVMSRRPPLLKSLEEKRKRMRQARERWEQLARKERRQQEMLAQKEQLERLAAARSEELRKARIAMQYPSLLDPLISRSSATKRRFVHRPKPLEKEINLAAKLNPPLERTLLQDGLVDAKVHEVIEQRLKWFRRIEEESPWNESSDASKRLESLDPKEVPPLFSAKEKMVAGEPDQANVAGQNSAHDGSLELGPSPLDRLTMERVPPAEQSTPNRPLSHEVAWMRLFRQIAVLGKQVVLQPPFELPCHRLNLAKLTTVSGLEVPLMKIPNRELLLTKLHQHAGIGSPLAKIRNYRLDLWTLNPLLQFFDQPIVCLANRQLHLQQLSPIRFVEELRCLGSESLDLIPSSSFIIGGVGKHVDKSPTAPLSRTQGAGEELDAAAVSPVEYSSSGEM
+>sp|Q8IZ02|LRC34_HUMAN Leucine-rich repeat-containing protein 34 OS=Homo sapiens OX=9606 GN=LRRC34 PE=2 SV=3
+MAAQPPRPVGERSMGSSREAARAPARSPAWASTQASTPGAALAVQRESPESGLQKHYSNLCMEKSQKINPFILHILQEVDEEIKKGLAAGITLNIAGNNRLVPVERVTGEDFWILSKILKNCLYINGLDVGYNLLCDVGAYYAAKLLQKQLNLIYLNLMFNDIGPEGGELIAKVLHKNRTLKYLRMTGNKIENKGGMFFAAMLQINSSLEKLDLGDCDLGMQSVIAFATVLTQNQAIKAINLNRPILYSEQEESTVHVGRMLKENHCLVALHMCKHDIKNSGIQQLCDALYLNSSLRYLDVSCNKITHDGMVYLADVLKSNTTLEVIDLSFNRIENAGANYLSETLTSHNRSLKALSVVSNNIEGEGLVALSQSMKTNLTFSHIYIWGNKFDEATCIAYSDLIQMGCLKPDNTDVEPFVVDGRVYLAEVSNGLKKHYYWTSTYGESYDHSSNAGFALVPVGQQP
+>DECOY_sp|Q8IZ02|LRC34_HUMAN Leucine-rich repeat-containing protein 34 OS=Homo sapiens OX=9606 GN=LRRC34 PE=2 SV=3
+PQQGVPVLAFGANSSHDYSEGYTSTWYYHKKLGNSVEALYVRGDVVFPEVDTNDPKLCGMQILDSYAICTAEDFKNGWIYIHSFTLNTKMSQSLAVLGEGEINNSVVSLAKLSRNHSTLTESLYNAGANEIRNFSLDIVELTTNSKLVDALYVMGDHTIKNCSVDLYRLSSNLYLADCLQQIGSNKIDHKCMHLAVLCHNEKLMRGVHVTSEEQESYLIPRNLNIAKIAQNQTLVTAFAIVSQMGLDCDGLDLKELSSNIQLMAAFFMGGKNEIKNGTMRLYKLTRNKHLVKAILEGGEPGIDNFMLNLYILNLQKQLLKAAYYAGVDCLLNYGVDLGNIYLCNKLIKSLIWFDEGTVREVPVLRNNGAINLTIGAALGKKIEEDVEQLIHLIFPNIKQSKEMCLNSYHKQLGSEPSERQVALAAGPTSAQTSAWAPSRAPARAAERSSGMSREGVPRPPQAAM
+>sp|Q96DD0|LRC39_HUMAN Leucine-rich repeat-containing protein 39 OS=Homo sapiens OX=9606 GN=LRRC39 PE=1 SV=1
+MTENVVCTGAVNAVKEVWEKRIKKLNEDLKREKEFQHKLVRIWEERVSLTKLREKVTREDGRVILKIEKEEWKTLPSSLLKLNQLQEWQLHRTGLLKIPEFIGRFQNLIVLDLSRNTISEIPPGIGLLTRLQELILSYNKIKTVPKELSNCASLEKLELAVNRDICDLPQELSNLLKLTHLDLSMNDFTTIPLAVLNMPALEWLDMGSNKLEQLPDTIERMQNLHTLWLQRNEITCLPQTISNMKNLGTLVLSNNKLQDIPVCMEEMANLRFVNFRDNPLKLKVSLPPSEGTDEEEERELFGLQFMHTYIQESRRRADHQVNGSTTLPISINTDG
+>DECOY_sp|Q96DD0|LRC39_HUMAN Leucine-rich repeat-containing protein 39 OS=Homo sapiens OX=9606 GN=LRRC39 PE=1 SV=1
+GDTNISIPLTTSGNVQHDARRRSEQIYTHMFQLGFLEREEEEDTGESPPLSVKLKLPNDRFNVFRLNAMEEMCVPIDQLKNNSLVLTGLNKMNSITQPLCTIENRQLWLTHLNQMREITDPLQELKNSGMDLWELAPMNLVALPITTFDNMSLDLHTLKLLNSLEQPLDCIDRNVALELKELSACNSLEKPVTKIKNYSLILEQLRTLLGIGPPIESITNRSLDLVILNQFRGIFEPIKLLGTRHLQWEQLQNLKLLSSPLTKWEEKEIKLIVRGDERTVKERLKTLSVREEWIRVLKHQFEKERKLDENLKKIRKEWVEKVANVAGTCVVNETM
+>sp|Q96PB8|LRC3B_HUMAN Leucine-rich repeat-containing protein 3B OS=Homo sapiens OX=9606 GN=LRRC3B PE=1 SV=1
+MNLVDLWLTRSLSMCLLLQSFVLMILCFHSASMCPKGCLCSSSGGLNVTCSNANLKEIPRDLPPETVLLYLDSNQITSIPNEIFKDLHQLRVLNLSKNGIEFIDEHAFKGVAETLQTLDLSDNRIQSVHKNAFNNLKARARIANNPWHCDCTLQQVLRSMASNHETAHNVICKTSVLDEHAGRPFLNAANDADLCNLPKKTTDYAMLVTMFGWFTMVISYVVYYVRQNQEDARRHLEYLKSLPSRQKKADEPDDISTVV
+>DECOY_sp|Q96PB8|LRC3B_HUMAN Leucine-rich repeat-containing protein 3B OS=Homo sapiens OX=9606 GN=LRRC3B PE=1 SV=1
+VVTSIDDPEDAKKQRSPLSKLYELHRRADEQNQRVYYVVYSIVMTFWGFMTVLMAYDTTKKPLNCLDADNAANLFPRGAHEDLVSTKCIVNHATEHNSAMSRLVQQLTCDCHWPNNAIRARAKLNNFANKHVSQIRNDSLDLTQLTEAVGKFAHEDIFEIGNKSLNLVRLQHLDKFIENPISTIQNSDLYLLVTEPPLDRPIEKLNANSCTVNLGGSSSCLCGKPCMSASHFCLIMLVFSQLLLCMSLSRTLWLDVLNM
+>sp|Q96CX6|LRC58_HUMAN Leucine-rich repeat-containing protein 58 OS=Homo sapiens OX=9606 GN=LRRC58 PE=1 SV=2
+MEEAGAAVVTAGEAELNWSRLSVSTETLESELEARGEERRGAREALLRLLLPHNRLVSLPRALGSGFPHLQLLDVSGNALTALGPELLALRGLRTLLAKNNRLGGPSALPKGLAQSPLCRSLQVLNLSGNCFQEVPASLLELRALQTLSLGGNQLQSIPAEIENLQSLECLYLGGNFIKEIPPELGNLPSLNYLVLCDNKIQSIPPQLSQLHSLRSLSLHNNLLTYLPREILNLIHLEELSLRGNPLVVRFVRDLTYDPPTLLELAARTIKIRNISYTPYDLPGNLLRYLGSASNCPNPKCGGVYFDCCVRQIKFVDFCGKYRLPLMHYLCSPECSSPCSSASHSSTSQSESDSEDEASVAARRMQKVLLG
+>DECOY_sp|Q96CX6|LRC58_HUMAN Leucine-rich repeat-containing protein 58 OS=Homo sapiens OX=9606 GN=LRRC58 PE=1 SV=2
+GLLVKQMRRAAVSAEDESDSESQSTSSHSASSCPSSCEPSCLYHMLPLRYKGCFDVFKIQRVCCDFYVGGCKPNPCNSASGLYRLLNGPLDYPTYSINRIKITRAALELLTPPDYTLDRVFRVVLPNGRLSLEELHILNLIERPLYTLLNNHLSLSRLSHLQSLQPPISQIKNDCLVLYNLSPLNGLEPPIEKIFNGGLYLCELSQLNEIEAPISQLQNGGLSLTQLARLELLSAPVEQFCNGSLNLVQLSRCLPSQALGKPLASPGGLRNNKALLTRLGRLALLEPGLATLANGSVDLLQLHPFGSGLARPLSVLRNHPLLLRLLAERAGRREEGRAELESELTETSVSLRSWNLEAEGATVVAAGAEEM
+>sp|Q96AG4|LRC59_HUMAN Leucine-rich repeat-containing protein 59 OS=Homo sapiens OX=9606 GN=LRRC59 PE=1 SV=1
+MTKAGSKGGNLRDKLDGNELDLSLSDLNEVPVKELAALPKATILDLSCNKLTTLPSDFCGLTHLVKLDLSKNKLQQLPADFGRLVNLQHLDLLNNKLVTLPVSFAQLKNLKWLDLKDNPLDPVLAKVAGDCLDEKQCKQCANKVLQHMKAVQADQERERQRRLEVEREAEKKREAKQRAKEAQERELRKREKAEEKERRRKEYDALKAAKREQEKKPKKEANQAPKSKSGSRPRKPPPRKHTRSWAVLKLLLLLLLFGVAGGLVACRVTELQQQPLCTSVNTIYDNAVQGLRRHEILQWVLQTDSQQ
+>DECOY_sp|Q96AG4|LRC59_HUMAN Leucine-rich repeat-containing protein 59 OS=Homo sapiens OX=9606 GN=LRRC59 PE=1 SV=1
+QQSDTQLVWQLIEHRRLGQVANDYITNVSTCLPQQQLETVRCAVLGGAVGFLLLLLLLKLVAWSRTHKRPPPKRPRSGSKSKPAQNAEKKPKKEQERKAAKLADYEKRRREKEEAKERKRLEREQAEKARQKAERKKEAEREVELRRQREREQDAQVAKMHQLVKNACQKCQKEDLCDGAVKALVPDLPNDKLDLWKLNKLQAFSVPLTVLKNNLLDLHQLNVLRGFDAPLQQLKNKSLDLKVLHTLGCFDSPLTTLKNCSLDLITAKPLAALEKVPVENLDSLSLDLENGDLKDRLNGGKSGAKTM
+>sp|Q7L1W4|LRC8D_HUMAN Volume-regulated anion channel subunit LRRC8D OS=Homo sapiens OX=9606 GN=LRRC8D PE=1 SV=1
+MFTLAEVASLNDIQPTYRILKPWWDVFMDYLAVVMLMVAIFAGTMQLTKDQVVCLPVLPSPVNSKAHTPPGNAEVTTNIPKMEAATNQDQDGRTTNDISFGTSAVTPDIPLRATYPRTDFALPNQEAKKEKKDPTGRKTNLDFQQYVFINQMCYHLALPWYSKYFPYLALIHTIILMVSSNFWFKYPKTCSKVEHFVSILGKCFESPWTTKALSETACEDSEENKQRITGAQTLPKHVSTSSDEGSPSASTPMINKTGFKFSAEKPVIEVPSMTILDKKDGEQAKALFEKVRKFRAHVEDSDLIYKLYVVQTVIKTAKFIFILCYTANFVNAISFEHVCKPKVEHLIGYEVFECTHNMAYMLKKLLISYISIICVYGFICLYTLFWLFRIPLKEYSFEKVREESSFSDIPDVKNDFAFLLHMVDQYDQLYSKRFGVFLSEVSENKLREISLNHEWTFEKLRQHISRNAQDKQELHLFMLSGVPDAVFDLTDLDVLKLELIPEAKIPAKISQMTNLQELHLCHCPAKVEQTAFSFLRDHLRCLHVKFTDVAEIPAWVYLLKNLRELYLIGNLNSENNKMIGLESLRELRHLKILHVKSNLTKVPSNITDVAPHLTKLVIHNDGTKLLVLNSLKKMMNVAELELQNCELERIPHAIFSLSNLQELDLKSNNIRTIEEIISFQHLKRLTCLKLWHNKIVTIPPSITHVKNLESLYFSNNKLESLPVAVFSLQKLRCLDVSYNNISMIPIEIGLLQNLQHLHITGNKVDILPKQLFKCIKLRTLNLGQNCITSLPEKVGQLSQLTQLELKGNCLDRLPAQLGQCRMLKKSGLVVEDHLFDTLPLEVKEALNQDINIPFANGI
+>DECOY_sp|Q7L1W4|LRC8D_HUMAN Volume-regulated anion channel subunit LRRC8D OS=Homo sapiens OX=9606 GN=LRRC8D PE=1 SV=1
+IGNAFPINIDQNLAEKVELPLTDFLHDEVVLGSKKLMRCQGLQAPLRDLCNGKLELQTLQSLQGVKEPLSTICNQGLNLTRLKICKFLQKPLIDVKNGTIHLHQLNQLLGIEIPIMSINNYSVDLCRLKQLSFVAVPLSELKNNSFYLSELNKVHTISPPITVIKNHWLKLCTLRKLHQFSIIEEITRINNSKLDLEQLNSLSFIAHPIRELECNQLELEAVNMMKKLSNLVLLKTGDNHIVLKTLHPAVDTINSPVKTLNSKVHLIKLHRLERLSELGIMKNNESNLNGILYLERLNKLLYVWAPIEAVDTFKVHLCRLHDRLFSFATQEVKAPCHCLHLEQLNTMQSIKAPIKAEPILELKLVDLDTLDFVADPVGSLMFLHLEQKDQANRSIHQRLKEFTWEHNLSIERLKNESVESLFVGFRKSYLQDYQDVMHLLFAFDNKVDPIDSFSSEERVKEFSYEKLPIRFLWFLTYLCIFGYVCIISIYSILLKKLMYAMNHTCEFVEYGILHEVKPKCVHEFSIANVFNATYCLIFIFKATKIVTQVVYLKYILDSDEVHARFKRVKEFLAKAQEGDKKDLITMSPVEIVPKEASFKFGTKNIMPTSASPSGEDSSTSVHKPLTQAGTIRQKNEESDECATESLAKTTWPSEFCKGLISVFHEVKSCTKPYKFWFNSSVMLIITHILALYPFYKSYWPLALHYCMQNIFVYQQFDLNTKRGTPDKKEKKAEQNPLAFDTRPYTARLPIDPTVASTGFSIDNTTRGDQDQNTAAEMKPINTTVEANGPPTHAKSNVPSPLVPLCVVQDKTLQMTGAFIAVMLMVVALYDMFVDWWPKLIRYTPQIDNLSAVEALTFM
+>sp|Q5VUJ6|LRCH2_HUMAN Leucine-rich repeat and calponin homology domain-containing protein 2 OS=Homo sapiens OX=9606 GN=LRCH2 PE=2 SV=2
+MAASQGGGGNSGGGGCGGGGSSGGCGTAGGGGGGAGGGGGGGGGTLVVPIPVPTLFGQPFPNGPPWNPGSLQPQHTVRSLDRALEEAGSSGILSLSGRKLRDFPGSGYDLTDTTQADLSRNRFTEIPSDVWLFAPLETLNLYHNCIKTIPEAIKNLQMLTYLNISRNLLSTLPKYLFDLPLKVLVVSNNKLVSIPEEIGKLKDLMELDISCNEIQVLPQQMGKLHSLRELNIRRNNLHVLPDELGDLPLVKLDFSCNKVTEIPVCYRKLHHLQVIILDNNPLQVPPAQICLKGKVHIFKYLNIQACCRMDKKPDSLDLPSLSKRMPSQPLTDSMEDFYPNKNHGPDSGIGSDNGEKRLSTTEPSDDDTVSLHSQVSESNREQTSRNDSHIIGSKTDSQKDQEVYDFVDPNTEDVAVPEQGNAHIGSFVSFFKGKEKCSEKSRKNEELGDEKRLEKEQLLAEEEDDDLKEVTDLRKIAAQLLQQEQKNRILNHSTSVMRNKPKQTVECEKSVSADEVNSPLSPLTWQPLENQKDQIDEQPWPESHPIIWQSEERRRSKQIRKEYFKYKSMRKSSSGNENDEQDSDNANMSTQSPVSSEEYDRTDGFSHSPFGLKPRSAFSRSSRQEYGAADPGFTMRRKMEHLREEREQIRQLRNNLESRLKVILPDDIGAALMDGVVLCHLANHIRPRSVASIHVPSPAVPKLSMAKCRRNVENFLDACKKLGVSQERLCLPHHILEERGLVKVGVTVQALLELPTTKASQLSVA
+>DECOY_sp|Q5VUJ6|LRCH2_HUMAN Leucine-rich repeat and calponin homology domain-containing protein 2 OS=Homo sapiens OX=9606 GN=LRCH2 PE=2 SV=2
+AVSLQSAKTTPLELLAQVTVGVKVLGREELIHHPLCLREQSVGLKKCADLFNEVNRRCKAMSLKPVAPSPVHISAVSRPRIHNALHCLVVGDMLAAGIDDPLIVKLRSELNNRLQRIQEREERLHEMKRRMTFGPDAAGYEQRSSRSFASRPKLGFPSHSFGDTRDYEESSVPSQTSMNANDSDQEDNENGSSSKRMSKYKFYEKRIQKSRRREESQWIIPHSEPWPQEDIQDKQNELPQWTLPSLPSNVEDASVSKECEVTQKPKNRMVSTSHNLIRNKQEQQLLQAAIKRLDTVEKLDDDEEEALLQEKELRKEDGLEENKRSKESCKEKGKFFSVFSGIHANGQEPVAVDETNPDVFDYVEQDKQSDTKSGIIHSDNRSTQERNSESVQSHLSVTDDDSPETTSLRKEGNDSGIGSDPGHNKNPYFDEMSDTLPQSPMRKSLSPLDLSDPKKDMRCCAQINLYKFIHVKGKLCIQAPPVQLPNNDLIIVQLHHLKRYCVPIETVKNCSFDLKVLPLDGLEDPLVHLNNRRINLERLSHLKGMQQPLVQIENCSIDLEMLDKLKGIEEPISVLKNNSVVLVKLPLDFLYKPLTSLLNRSINLYTLMQLNKIAEPITKICNHYLNLTELPAFLWVDSPIETFRNRSLDAQTTDTLDYGSGPFDRLKRGSLSLIGSSGAEELARDLSRVTHQPQLSGPNWPPGNPFPQGFLTPVPIPVVLTGGGGGGGGGAGGGGGGATGCGGSSGGGGCGGGGSNGGGGQSAAM
+>sp|Q96M69|LRGUK_HUMAN Leucine-rich repeat and guanylate kinase domain-containing protein OS=Homo sapiens OX=9606 GN=LRGUK PE=2 SV=1
+MATSERALLRTRAASLLRGLGRSRTGARSLQFRAEKERQPCWSFPMGQKTKGSSNIASSYLLQQLMHRYQELDSDGDEDQGEGEAGSEESSESEMLNLEEEFDGVLREEAVAKALHHLGRSGSGTEQVYLNLTLSGCNLIDVSILCGYVHLQKLDLSANKIEDLSCVSCMPYLLELNASQNNLTTFFNFKPPKNLKKADFSHNQISEICDLSAYHALTKLILDGNEIEEISGLEMCNNLIHLSLANNKITTINGLNKLPIKILCLSNNQIEMITGLEDLKALQNLDLSHNQISSLQGLENHDLLEVINLEDNKIAELREIEYIKNLPILRVLNLLENPIQEKSEYWFFVIFMLLRLTELDQKKIKVEEKVSAVNKYDPPPEVVAAQDHLTHVVNSVMQPQRIFDSTLPSLDAPYPMLILAGPEACGKRELAHRLCRQFSTYFRYGACHTTRPPYFGEGDRVDYHFISQDVFDEMVNMGKFILTFSYGNHKYGLNRDTVEGIARDGLASCIHMEIEGVRSLKYSYFEPRYILVVPMNKEKYEGYLRRKGLFSRAEIEFAVSRVDLYIKINQNFPGYFDEVINADDLDVAYQKLSQLIREYLGLTEEPAKSLATTADVKTSHLKPEAHPTKYISSNMGDFLHSTDRNYLIKFWAKLSAKKTPAERDSIHRQHEAARQALMGRIRPDHTLLFQRGPVPAPLTSGLHYYTTLEELWKSFDLCEDYFKPPFGPYPEKSGKDSLVSMKCSLFRFCPWSKELPFQPPEGSISSHLGSGASDSETEETRKALPIQSFSHEKESHQHRQHSVPVISRPGSNVKPTLPPIPQGRR
+>DECOY_sp|Q96M69|LRGUK_HUMAN Leucine-rich repeat and guanylate kinase domain-containing protein OS=Homo sapiens OX=9606 GN=LRGUK PE=2 SV=1
+RRGQPIPPLTPKVNSGPRSIVPVSHQRHQHSEKEHSFSQIPLAKRTEETESDSAGSGLHSSISGEPPQFPLEKSWPCFRFLSCKMSVLSDKGSKEPYPGFPPKFYDECLDFSKWLEELTTYYHLGSTLPAPVPGRQFLLTHDPRIRGMLAQRAAEHQRHISDREAPTKKASLKAWFKILYNRDTSHLFDGMNSSIYKTPHAEPKLHSTKVDATTALSKAPEETLGLYERILQSLKQYAVDLDDANIVEDFYGPFNQNIKIYLDVRSVAFEIEARSFLGKRRLYGEYKEKNMPVVLIYRPEFYSYKLSRVGEIEMHICSALGDRAIGEVTDRNLGYKHNGYSFTLIFKGMNVMEDFVDQSIFHYDVRDGEGFYPPRTTHCAGYRFYTSFQRCLRHALERKGCAEPGALILMPYPADLSPLTSDFIRQPQMVSNVVHTLHDQAAVVEPPPDYKNVASVKEEVKIKKQDLETLRLLMFIVFFWYESKEQIPNELLNLVRLIPLNKIYEIERLEAIKNDELNIVELLDHNELGQLSSIQNHSLDLNQLAKLDELGTIMEIQNNSLCLIKIPLKNLGNITTIKNNALSLHILNNCMELGSIEEIENGDLILKTLAHYASLDCIESIQNHSFDAKKLNKPPKFNFFTTLNNQSANLELLYPMCSVCSLDEIKNASLDLKQLHVYGCLISVDILNCGSLTLNLYVQETGSGSRGLHHLAKAVAEERLVGDFEEELNLMESESSEESGAEGEGQDEDGDSDLEQYRHMLQQLLYSSAINSSGKTKQGMPFSWCPQREKEARFQLSRAGTRSRGLGRLLSAARTRLLARESTAM
+>sp|Q5T3J3|LRIF1_HUMAN Ligand-dependent nuclear receptor-interacting factor 1 OS=Homo sapiens OX=9606 GN=LRIF1 PE=1 SV=1
+MSNNLRRVFLKPAEENSGNASRCVSGCMYQVVQTIGSDGKNLLQLLPIPKSSGNLIPLVQSSVMSDALKGNTGKPVQVTFQTQISSSSTSASVQLPIFQPASSSNYFLTRTVDTSEKGRVTSVGTGNFSSSVSKVQSHGVKIDGLTMQTFAVPPSTQKDSSFIVVNTQSLPVTVKSPVLPSGHHLQIPAHAEVKSVPASSLPPSVQQKILATATTSTSGMVEASQMPTVIYVSPVNTVKNVVTKNFQNIYPKPVTEIAKPVILNTTQIPKNVATETQLKGGQHSQAAPVKWIFQDNLQPFTPSLVPVKSSNNVASKILKTFVDRKNLGDNTINMPPLSTIDPSGTRSKNMPIKDNALVMFNGKVYLLAKKGTDVLPSQIDQQNSVSPDTPVRKDTLQTVSSSPVTEISREVVNIVLAKSKSSQMETKSLSNTQLASMANLRAEKNKVEKPSPSTTNPHMNQSSNYLKQSKTLFTNPIFPVGFSTGHNAPRKVTAVIYARKGSVLQSIEKISSSVDATTVTSQQCVFRDQEPKIHNEMASTSDKGAQGRNDKKDSQGRSNKALHLKSDAEFKKIFGLTKDLRVCLTRIPDHLTSGEGFDSFSSLVKSGTYKETEFMVKEGERKQQNFDKKRKAKTNKKMDHIKKRKTENAYNAIINGEANVTGSQLLSSILPTSDVSQHNILTSHSKTRQEKRTEMEYYTHEKQEKGTLNSNAAYEQSHFFNKNYTEDIFPVTPPELEETIRDEKIRRLKQVLREKEAALEEMRKKMHQK
+>DECOY_sp|Q5T3J3|LRIF1_HUMAN Ligand-dependent nuclear receptor-interacting factor 1 OS=Homo sapiens OX=9606 GN=LRIF1 PE=1 SV=1
+KQHMKKRMEELAAEKERLVQKLRRIKEDRITEELEPPTVPFIDETYNKNFFHSQEYAANSNLTGKEQKEHTYYEMETRKEQRTKSHSTLINHQSVDSTPLISSLLQSGTVNAEGNIIANYANETKRKKIHDMKKNTKAKRKKDFNQQKREGEKVMFETEKYTGSKVLSSFSDFGEGSTLHDPIRTLCVRLDKTLGFIKKFEADSKLHLAKNSRGQSDKKDNRGQAGKDSTSAMENHIKPEQDRFVCQQSTVTTADVSSSIKEISQLVSGKRAYIVATVKRPANHGTSFGVPFIPNTFLTKSQKLYNSSQNMHPNTTSPSPKEVKNKEARLNAMSALQTNSLSKTEMQSSKSKALVINVVERSIETVPSSSVTQLTDKRVPTDPSVSNQQDIQSPLVDTGKKALLYVKGNFMVLANDKIPMNKSRTGSPDITSLPPMNITNDGLNKRDVFTKLIKSAVNNSSKVPVLSPTFPQLNDQFIWKVPAAQSHQGGKLQTETAVNKPIQTTNLIVPKAIETVPKPYINQFNKTVVNKVTNVPSVYIVTPMQSAEVMGSTSTTATALIKQQVSPPLSSAPVSKVEAHAPIQLHHGSPLVPSKVTVPLSQTNVVIFSSDKQTSPPVAFTQMTLGDIKVGHSQVKSVSSSFNGTGVSTVRGKESTDVTRTLFYNSSSAPQFIPLQVSASTSSSSIQTQFTVQVPKGTNGKLADSMVSSQVLPILNGSSKPIPLLQLLNKGDSGITQVVQYMCGSVCRSANGSNEEAPKLFVRRLNNSM
+>sp|O94898|LRIG2_HUMAN Leucine-rich repeats and immunoglobulin-like domains protein 2 OS=Homo sapiens OX=9606 GN=LRIG2 PE=2 SV=3
+MAPAPLGVPEEQLLGCRSRVLSRLLFIAQTALLLLPAAGAGLCPAPCSCRIPLLDCSRRKLPAPSWRALSGLLPPDTAILDFSHNRLSNWNISLESQTLQEVKMNYNELTEIPYFGEPTSNITLLSLVHNIIPEINAQALQFYPALESLDLSSNIISEIKTSSFPRMQLKYLNLSNNRITTLEAGCFDNLSSSLLVVKLNRNRMSMIPPKIFKLPHLQFLELKRNRIKIVEGLTFQGLDSLRSLKMQRNGISKLKDGAFFGLNNMEELELEHNNLTRVNKGWLYGLRMLQQLYVSQNAIERISPDAWEFCQRLSELDLSYNQLTRLDESAFVGLSLLERLNLGDNRVTHIADGVFRFLSNLQTLDLRNNEISWAIEDASEAFAGLTSLTKLILQGNQIKSITKKAFIGLESLEHLDLNNNAIMSIQENAFSQTHLKELILNTSSLLCDCHLKWLLQWLVDNNFQHSVNVSCAHPEWLAGQSILNVDLKDFVCDDFLKPQIRTHPETIIALRGMNVTLTCTAVSSSDSPMSTVWRKDSEILYDVDTENFVRYWQQAGEALEYTSILHLFNVNFTDEGKYQCIVTNHFGSNYSQKAKLTVNEMPSFLKTPMDLTIRTGAMARLECAAEGHPAPQISWQKDGGTDFPAARERRMHVMPEDDVFFIANVKIEDMGIYSCMAQNTAGGLSANASLTVLETPSFIRPLEDKTVTRGETAVLQCIAGGSPAPRLNWTKDDGPLLVTERHFFAAANQLLIIVDAGLEDAGKYTCIMSNTLGTERGHIYLNVISSPNCDSSQSSIGHEDDGWTTVGIVIIVVVCCVVGTSLIWVIVIYHMRRKNEDYSITNTEELNLPADIPSYLSSQGTLSEPQEGYSNSEAGSHQQLMPPANGYIHKGTDGGTGTRVICSDCYDNANIYSRTREYCPYTYIAEEDVLDQTLSSLMVQMPKETYLVHPPQDTTALESLIPSANREPSAFPTNHERISEKKLPSTQMSGETLQRPVWNINRELGLPHPPFSQQPVHESPQLHQNEGLAGREPDCSASSMSCHRLQDHAFDFSRTRNIQDGSEGT
+>DECOY_sp|O94898|LRIG2_HUMAN Leucine-rich repeats and immunoglobulin-like domains protein 2 OS=Homo sapiens OX=9606 GN=LRIG2 PE=2 SV=3
+TGESGDQINRTRSFDFAHDQLRHCSMSSASCDPERGALGENQHLQPSEHVPQQSFPPHPLGLERNINWVPRQLTEGSMQTSPLKKESIREHNTPFASPERNASPILSELATTDQPPHVLYTEKPMQVMLSSLTQDLVDEEAIYTYPCYERTRSYINANDYCDSCIVRTGTGGDTGKHIYGNAPPMLQQHSGAESNSYGEQPESLTGQSSLYSPIDAPLNLEETNTISYDENKRRMHYIVIVWILSTGVVCCVVVIIVIGVTTWGDDEHGISSQSSDCNPSSIVNLYIHGRETGLTNSMICTYKGADELGADVIILLQNAAAFFHRETVLLPGDDKTWNLRPAPSGGAICQLVATEGRTVTKDELPRIFSPTELVTLSANASLGGATNQAMCSYIGMDEIKVNAIFFVDDEPMVHMRRERAAPFDTGGDKQWSIQPAPHGEAACELRAMAGTRITLDMPTKLFSPMENVTLKAKQSYNSGFHNTVICQYKGEDTFNVNFLHLISTYELAEGAQQWYRVFNETDVDYLIESDKRWVTSMPSDSSSVATCTLTVNMGRLAIITEPHTRIQPKLFDDCVFDKLDVNLISQGALWEPHACSVNVSHQFNNDVLWQLLWKLHCDCLLSSTNLILEKLHTQSFANEQISMIANNNLDLHELSELGIFAKKTISKIQNGQLILKTLSTLGAFAESADEIAWSIENNRLDLTQLNSLFRFVGDAIHTVRNDGLNLRELLSLGVFASEDLRTLQNYSLDLESLRQCFEWADPSIREIANQSVYLQQLMRLGYLWGKNVRTLNNHELELEEMNNLGFFAGDKLKSIGNRQMKLSRLSDLGQFTLGEVIKIRNRKLELFQLHPLKFIKPPIMSMRNRNLKVVLLSSSLNDFCGAELTTIRNNSLNLYKLQMRPFSSTKIESIINSSLDLSELAPYFQLAQANIEPIINHVLSLLTINSTPEGFYPIETLENYNMKVEQLTQSELSINWNSLRNHSFDLIATDPPLLGSLARWSPAPLKRRSCDLLPIRCSCPAPCLGAGAAPLLLLATQAIFLLRSLVRSRCGLLQEEPVGLPAPAM
+>sp|Q96JM4|LRIQ1_HUMAN Leucine-rich repeat and IQ domain-containing protein 1 OS=Homo sapiens OX=9606 GN=LRRIQ1 PE=2 SV=3
+MDDDDAKLKAEIEAELDKLSISSLEKEDIESDAKSETQSDDSDTDSVELPESVLHCINIIKNRSKAVEELILQDLEDTDILSCSYGAVSNNHMHLRTGLSTEYEESSEQLIKILSEIEKEEFMRSKTDCATPDFVPEPSPHDLPMDEHVLPDDADINFGYCEVEEKCRQSFEAWQEKQKELEDKEKQTLKAQRDREEKQFQEEEEKRHCWMKQFKVEKKKLENIQKQEQDKMNDELYKEEKIWKEKFKQHEEYIRNLHLQMEEERTRFKDQQEKEKNSLLKQQNNAAVKIQAKYKAFVAYQKYGPIIKEQIESKKRKAQEWKEKEAKIRQKEEENRKRLEEEQRIKEERKKQKEEERKRREKEYEEKKNIVKQEREQLISKEKIILREDASQQLIISSALKKSGYNNKHLSLEDISNDKGDIAKNLVDENSKKQEDVLLWLVEESNMKENVDRQTILKESIQVKLKESISSQTILADFKMEEKNENLAKKRCSEELVKQERKYENTDNKTELGNSDLKGNLKEQFPLQELKSDAQKEEKIMKHVINENTGQKTQIILGHNQEISEVKTNEEQKIIKDNQQKKIQKVEKEEIQEQNGLLYKDKDTLVISVKQRSLSLTSENSKDVRENVILQEKEIYSKSKEIEENPKDNAWNSGIVIFNTTDTMINIEGKRNDQDYVLGRHAPCEGLSNYNAESSMVSKEVNSLKSEIRNISEKCHENAPEPDSMTCCVSESTLLYSIEERRLAWIKSFKPWLEIFKQNQQKKIVRRKRPVKCPANMTPALDKLEILRCGPWDTLQQVTTVTFQDLPGCVLSTLAECTNLQFLSLRRCGLTSLHSLSNCKKLKYIDAQENHIEAIECENLENLCVVLLNKNQLTSLHGLDGCTNIQCLELSYNKITRIGYSFFLEEKLVDNAGFCHHLGTSTSYLSLAQVWIPTGLCWSWIPITSLTKNSDCNFLISHLYWNCGLESLKNLQQLILDHNQLINTKGLCDTPTIVYLDCSHNHLTDVEGVENCGLLQILKLQGNYLSELPSLENLVLLRELHLDDNSISTVEAFSSYWLPLLQNITISQNSLTKIVPLFHFVSLEKLDVSHNCLSDLKSAIKWFDACYSLHELSLTGNPLLQETNWRDSLLKVLPALRILNGNILNSNSESRTEEHNQLGSAGFLALCQSQIREFNLLIENYITGKGDVFTLDTAENLCHYFKKLMILSTEYRHAHERGDVTITKKDESEAQKNHLAPTNSDSTLQNGVFYSCAREGEPDSPDIPEKWMDSVSSHSPLSKSATCENMEGRHQEILVCQKREDSKASSIPTIRIPFKEVVMTNSLLRNHQNIEPSEKIMAAVVIQSYWRGYLMRRQTHFSTRLHTAATEGLPNSSIKNQTILKKGKRENIVNIRKQREKAAILIQAVWKGFILRKKLTTALEAIKNEESDEEYREIDLEDFIFDEAALEEEWLALDSTRFPSQTLLLSNQLHWPKIPGNLKWDDTSFNLPSNPAQAWLCNDKENLSSSEHTQFNSRSENKTSSWTPESKTSRKSLLKSEKEKKISEEWGFKDISTAQQMLKRAQKMKSKKLKKKIDSTVRLALFKNNENKVSLPKSPKMVQPRRDGYFEGIEEDPIHKDTTANEKLERNREYTYQWLHTQVGVHETTSSRNMKCNHFLPELDPDVLNGGRVQLVARLVSREDTDLDLFSMTNGSALSVNREKKNQAHRHSAGSSSKLWFPSKLI
+>DECOY_sp|Q96JM4|LRIQ1_HUMAN Leucine-rich repeat and IQ domain-containing protein 1 OS=Homo sapiens OX=9606 GN=LRRIQ1 PE=2 SV=3
+ILKSPFWLKSSSGASHRHAQNKKERNVSLASGNTMSFLDLDTDERSVLRAVLQVRGGNLVDPDLEPLFHNCKMNRSSTTEHVGVQTHLWQYTYERNRELKENATTDKHIPDEEIGEFYGDRRPQVMKPSKPLSVKNENNKFLALRVTSDIKKKLKKSKMKQARKLMQQATSIDKFGWEESIKKEKESKLLSKRSTKSEPTWSSTKNESRSNFQTHESSSLNEKDNCLWAQAPNSPLNFSTDDWKLNGPIKPWHLQNSLLLTQSPFRTSDLALWEEELAAEDFIFDELDIERYEEDSEENKIAELATTLKKRLIFGKWVAQILIAAKERQKRINVINERKGKKLITQNKISSNPLGETAATHLRTSFHTQRRMLYGRWYSQIVVAAMIKESPEINQHNRLLSNTMVVEKFPIRITPISSAKSDERKQCVLIEQHRGEMNECTASKSLPSHSSVSDMWKEPIDPSDPEGERACSYFVGNQLTSDSNTPALHNKQAESEDKKTITVDGREHAHRYETSLIMLKKFYHCLNEATDLTFVDGKGTIYNEILLNFERIQSQCLALFGASGLQNHEETRSESNSNLINGNLIRLAPLVKLLSDRWNTEQLLPNGTLSLEHLSYCADFWKIASKLDSLCNHSVDLKELSVFHFLPVIKTLSNQSITINQLLPLWYSSFAEVTSISNDDLHLERLLVLNELSPLESLYNGQLKLIQLLGCNEVGEVDTLHNHSCDLYVITPTDCLGKTNILQNHDLILQQLNKLSELGCNWYLHSILFNCDSNKTLSTIPIWSWCLGTPIWVQALSLYSTSTGLHHCFGANDVLKEELFFSYGIRTIKNYSLELCQINTCGDLGHLSTLQNKNLLVVCLNELNECEIAEIHNEQADIYKLKKCNSLSHLSTLGCRRLSLFQLNTCEALTSLVCGPLDQFTVTTVQQLTDWPGCRLIELKDLAPTMNAPCKVPRKRRVIKKQQNQKFIELWPKFSKIWALRREEISYLLTSESVCCTMSDPEPANEHCKESINRIESKLSNVEKSVMSSEANYNSLGECPAHRGLVYDQDNRKGEINIMTDTTNFIVIGSNWANDKPNEEIEKSKSYIEKEQLIVNERVDKSNESTLSLSRQKVSIVLTDKDKYLLGNQEQIEEKEVKQIKKQQNDKIIKQEENTKVESIEQNHGLIIQTKQGTNENIVHKMIKEEKQADSKLEQLPFQEKLNGKLDSNGLETKNDTNEYKREQKVLEESCRKKALNENKEEMKFDALITQSSISEKLKVQISEKLITQRDVNEKMNSEEVLWLLVDEQKKSNEDVLNKAIDGKDNSIDELSLHKNNYGSKKLASSIILQQSADERLIIKEKSILQEREQKVINKKEEYEKERRKREEEKQKKREEKIRQEEELRKRNEEEKQRIKAEKEKWEQAKRKKSEIQEKIIPGYKQYAVFAKYKAQIKVAANNQQKLLSNKEKEQQDKFRTREEEMQLHLNRIYEEHQKFKEKWIKEEKYLEDNMKDQEQKQINELKKKEVKFQKMWCHRKEEEEQFQKEERDRQAKLTQKEKDELEKQKEQWAEFSQRCKEEVECYGFNIDADDPLVHEDMPLDHPSPEPVFDPTACDTKSRMFEEKEIESLIKILQESSEEYETSLGTRLHMHNNSVAGYSCSLIDTDELDQLILEEVAKSRNKIINICHLVSEPLEVSDTDSDDSQTESKADSEIDEKELSSISLKDLEAEIEAKLKADDDDM
+>sp|A6NJW4|LRR3C_HUMAN Leucine-rich repeat-containing protein 3C OS=Homo sapiens OX=9606 GN=LRRC3C PE=2 SV=2
+MRMTSSSFVSYCTPGLCQFMAMLPTAGHLLPLLLVIGTGGTVPSPQVPPRGCYVAKEAGERTFRCSQAGLSAVPSGIPNDTRKLYLDANQLASVPAGAFQHLPVLEELDLSHNALAHLSGAAFQGLEGTLRHLDLSANQLASVPVEAFVGLQIQVNLSANPWHCDCALQEVLRQVRLVPGTGTGIVCGSGARPDLVGQEFLLLAGEEELCGSGWGGARRSTDVALLVTMGGWLTLMVAYLVHYVWQNRDETRRSLKRAPVLPVRSEDSSILSTVV
+>DECOY_sp|A6NJW4|LRR3C_HUMAN Leucine-rich repeat-containing protein 3C OS=Homo sapiens OX=9606 GN=LRRC3C PE=2 SV=2
+VVTSLISSDESRVPLVPARKLSRRTEDRNQWVYHVLYAVMLTLWGGMTVLLAVDTSRRAGGWGSGCLEEEGALLLFEQGVLDPRAGSGCVIGTGTGPVLRVQRLVEQLACDCHWPNASLNVQIQLGVFAEVPVSALQNASLDLHRLTGELGQFAAGSLHALANHSLDLEELVPLHQFAGAPVSALQNADLYLKRTDNPIGSPVASLGAQSCRFTREGAEKAVYCGRPPVQPSPVTGGTGIVLLLPLLHGATPLMAMFQCLGPTCYSVFSSSTMRM
+>sp|Q6ZRR7|LRRC9_HUMAN Leucine-rich repeat-containing protein 9 OS=Homo sapiens OX=9606 GN=LRRC9 PE=2 SV=2
+MIESENLNQEEIIKELCLCNGLSYEMVGQEGSDTSKLEMFFLGYPRIVGLSLFPNLTSLTIVAQDIKEISGLEPCLQLKELWIAECCIEKIEGLQECRNLEKLYLYFNKISKIENLEKLIKLKVLWLNHNTIKNIEGLQTLKNLKDLNLAGNLINSIGRCLDSNEQLERLNLSGNQICSFKELTNLTRLPCLKDLCLNDPQYTTNPVCLLCNYSTHVLYHLPCLQRFDTLDVSAKQIKELADTTAMKKIMYYNMRIKTLQRHLKEDLEKLNDQKCKLQKLPEERVKLFSFVKKTLERELAELKGSGKGHSDGSNNSKVTDPETLKSCETVTEEPSLQQKILAKLNALNERVTFWNKKLDEIEAIYHIEVKQKKKSHGLLIPLLLIELETVGNFHFEEGTRSDDWFNFCYELILSRFCAWDFRTYGITGVKVKRIIKVNNRILRLKFEEKFQKFLENEDMHDSESYRRMLECLFYVFDPEVSVKKKHLLQILEKGFKDSETSKLPLKKEAIIVSNSLSISECPRIEFLQQKHKDEKKISLKHELFRHGILLITKVFLGQSVQAHEKESISQSNYPMVNSVFIPRKYLLNSVMGQRNCDCSVRQCKWFVFDHDLVLPEYVVEFEYITMVKAPSLFSVFNNVILEESKKNPEVSVFSKDLKFDDEVIKMEPRIKARPKLISLDDKTILSLAKTSVYSHIVSLNLHGNSLSKLRDLSKLTGLRKLNISFNEFTCLDDVYHLYNLEYLDASHNHVITLEGFRGLMKLKHLDLSWNQLKKSGNEINMLCKHTTSLLTLDIQHNPWQKPATLRLSVIGRLKTLTHLNGVFISEEEATAAMKFIAGTRITQLSLLRHSSTKEERPRILSIWPSAKILTQVSKLGPHLHLSGNCYLKITALNLDGQHLFEITNLEKLENLKWASFSNNNLTKMEGLESCINLEELTLDGNCISKIEGISKMTKLTRLSINNNLLTGWEEHTFDNMLHLHSLSLENNRITSLSGLQKSFTLVELYISNNYIAVNQEMHNLKGLCNLVILDMCGNIIIWNQENYRLFVIFHLPELKALDGIPIEPSETDSAKDLFGGRLTSDMIAERQGHSNFKQMQELNWTSSSIRTVDLIPVDQFRNVCNVNLQNNHLTSFSGLIYLPNVKVLCLNYNHIESIMPRLKPQTHLTSRQLLYQKVPSSGYGQQGISKTNRDIMSSENLPPIMHSLEVLHLGYNGICNLIQLQLNRLRNLKFLFLQGNEISQVEGLDNLVVLQELVVDHNRIRSFNDSAFAKPSSLLALHLEENRLRELGKLQSLVKLEKLFLGYNKIQDITELEKLDVISTLRELTVYGNPICRKMLHRHMLIFRLPNLQMLDGSPVNSDDRAKAEFHLAELQAKKNSLIPVTHSPMDGRSFGQVKTPPIEITNVLLPSGFSHYLGSDVTLTPEVEEFLGATFQDQIECNCLKRNEHTPRNSPV
+>DECOY_sp|Q6ZRR7|LRRC9_HUMAN Leucine-rich repeat-containing protein 9 OS=Homo sapiens OX=9606 GN=LRRC9 PE=2 SV=2
+VPSNRPTHENRKLCNCEIQDQFTAGLFEEVEPTLTVDSGLYHSFGSPLLVNTIEIPPTKVQGFSRGDMPSHTVPILSNKKAQLEALHFEAKARDDSNVPSGDLMQLNPLRFILMHRHLMKRCIPNGYVTLERLTSIVDLKELETIDQIKNYGLFLKELKVLSQLKGLERLRNEELHLALLSSPKAFASDNFSRIRNHDVVLEQLVVLNDLGEVQSIENGQLFLFKLNRLRNLQLQILNCIGNYGLHLVELSHMIPPLNESSMIDRNTKSIGQQGYGSSPVKQYLLQRSTLHTQPKLRPMISEIHNYNLCLVKVNPLYILGSFSTLHNNQLNVNCVNRFQDVPILDVTRISSSTWNLEQMQKFNSHGQREAIMDSTLRGGFLDKASDTESPEIPIGDLAKLEPLHFIVFLRYNEQNWIIINGCMDLIVLNCLGKLNHMEQNVAIYNNSIYLEVLTFSKQLGSLSTIRNNELSLSHLHLMNDFTHEEWGTLLNNNISLRTLKTMKSIGEIKSICNGDLTLEELNICSELGEMKTLNNNSFSAWKLNELKELNTIEFLHQGDLNLATIKLYCNGSLHLHPGLKSVQTLIKASPWISLIRPREEKTSSHRLLSLQTIRTGAIFKMAATAEEESIFVGNLHTLTKLRGIVSLRLTAPKQWPNHQIDLTLLSTTHKCLMNIENGSKKLQNWSLDLHKLKMLGRFGELTIVHNHSADLYELNYLHYVDDLCTFENFSINLKRLGTLKSLDRLKSLSNGHLNLSVIHSYVSTKALSLITKDDLSILKPRAKIRPEMKIVEDDFKLDKSFVSVEPNKKSEELIVNNFVSFLSPAKVMTIYEFEVVYEPLVLDHDFVFWKCQRVSCDCNRQGMVSNLLYKRPIFVSNVMPYNSQSISEKEHAQVSQGLFVKTILLIGHRFLEHKLSIKKEDKHKQQLFEIRPCESISLSNSVIIAEKKLPLKSTESDKFGKELIQLLHKKKVSVEPDFVYFLCELMRRYSESDHMDENELFKQFKEEFKLRLIRNNVKIIRKVKVGTIGYTRFDWACFRSLILEYCFNFWDDSRTGEEFHFNGVTELEILLLPILLGHSKKKQKVEIHYIAEIEDLKKNWFTVRENLANLKALIKQQLSPEETVTECSKLTEPDTVKSNNSGDSHGKGSGKLEALERELTKKVFSFLKVREEPLKQLKCKQDNLKELDEKLHRQLTKIRMNYYMIKKMATTDALEKIQKASVDLTDFRQLCPLHYLVHTSYNCLLCVPNTTYQPDNLCLDKLCPLRTLNTLEKFSCIQNGSLNLRELQENSDLCRGISNILNGALNLDKLNKLTQLGEINKITNHNLWLVKLKILKELNEIKSIKNFYLYLKELNRCEQLGEIKEICCEAIWLEKLQLCPELGSIEKIDQAVITLSTLNPFLSLGVIRPYGLFFMELKSTDSGEQGVMEYSLGNCLCLEKIIEEQNLNESEIM
+>sp|Q32MZ4|LRRF1_HUMAN Leucine-rich repeat flightless-interacting protein 1 OS=Homo sapiens OX=9606 GN=LRRFIP1 PE=1 SV=2
+MTSPAAAQSREIDCLSPEAQKLAEARLAAKRAARAEAREIRMKELERQQKEEDSERYSRRSRRNTSASDEDERMSVGSRGSLRVEERPEKDFTEKGSRNMPGLSAATLASLGGTSSRRGSGDTSISIDTEASIREIKELNELKDQIQDVEGKYMQGLKEMKDSLAEVEEKYKKAMVSNAQLDNEKTNFMYQVDTLKDMLLELEEQLAESRRQYEEKNKEFEREKHAHSILQFQFAEVKEALKQREEMLEKHGIILNSEIATNGETSDTLNNVGYQGPTKMTKEELNALKSTGDGTLGRASEVEVKNEIVANVGKREILHNTEKEQHTEDTVKDCVDIEVFPAGENTEDQKSSEDTAPFLGTLAGATYEEQVQSQILESSSLPENTVQVESNEVMGAPDDRTRTPLEPSNCWSDLDGGNHTENVGEAAVTQVEEQAGTVASCPLGHSDDTVYHDDKCMVEVPQELETSTGHSLEKEFTNQEAAEPKEVPAHSTEVGRDHNEEEGEETGLRDEKPIKTEVPGSPAGTEGNCQEATGPSTVDTQNEPLDMKEPDEEKSDQQGEALDSSQKKTKNKKKKNKKKKSPVPVETLKDVKKELTYQNTDLSEIKEEEQVKSTDRKSAVEAQNEVTENPKQKIAAESSENVDCPENPKIKLDGKLDQEGDDVQTAAEEVLADGDTLDFEDDTVQSSGPRAGGEELDEGVAKDNAKIDGATQSSPAEPKSEDADRCTLPEHESPSQDISDACEAESTERCEMSEHPSQTVRKALDSNSLENDDLSAPGREPGHFNPESREDTRGGNEKGKSKEDCTMS
+>DECOY_sp|Q32MZ4|LRRF1_HUMAN Leucine-rich repeat flightless-interacting protein 1 OS=Homo sapiens OX=9606 GN=LRRFIP1 PE=1 SV=2
+SMTCDEKSKGKENGGRTDERSEPNFHGPERGPASLDDNELSNSDLAKRVTQSPHESMECRETSEAECADSIDQSPSEHEPLTCRDADESKPEAPSSQTAGDIKANDKAVGEDLEEGGARPGSSQVTDDEFDLTDGDALVEEAATQVDDGEQDLKGDLKIKPNEPCDVNESSEAAIKQKPNETVENQAEVASKRDTSKVQEEEKIESLDTNQYTLEKKVDKLTEVPVPSKKKKNKKKKNKTKKQSSDLAEGQQDSKEEDPEKMDLPENQTDVTSPGTAEQCNGETGAPSGPVETKIPKEDRLGTEEGEEENHDRGVETSHAPVEKPEAAEQNTFEKELSHGTSTELEQPVEVMCKDDHYVTDDSHGLPCSAVTGAQEEVQTVAAEGVNETHNGGDLDSWCNSPELPTRTRDDPAGMVENSEVQVTNEPLSSSELIQSQVQEEYTAGALTGLFPATDESSKQDETNEGAPFVEIDVCDKVTDETHQEKETNHLIERKGVNAVIENKVEVESARGLTGDGTSKLANLEEKTMKTPGQYGVNNLTDSTEGNTAIESNLIIGHKELMEERQKLAEKVEAFQFQLISHAHKEREFEKNKEEYQRRSEALQEELELLMDKLTDVQYMFNTKENDLQANSVMAKKYKEEVEALSDKMEKLGQMYKGEVDQIQDKLENLEKIERISAETDISISTDGSGRRSSTGGLSALTAASLGPMNRSGKETFDKEPREEVRLSGRSGVSMREDEDSASTNRRSRRSYRESDEEKQQRELEKMRIERAEARAARKAALRAEALKQAEPSLCDIERSQAAAPSTM
+>sp|O43300|LRRT2_HUMAN Leucine-rich repeat transmembrane neuronal protein 2 OS=Homo sapiens OX=9606 GN=LRRTM2 PE=2 SV=3
+MGLHFKWPLGAPMLAAIYAMSMVLKMLPALGMACPPKCRCEKLLFYCDSQGFHSVPNATDKGSLGLSLRHNHITELERDQFASFSQLTWLHLDHNQISTVKEDAFQGLYKLKELILSSNKIFYLPNTTFTQLINLQNLDLSFNQLSSLHPELFYGLRKLQTLHLRSNSLRTIPVRLFWDCRSLEFLDLSTNRLRSLARNGFAGLIKLRELHLEHNQLTKINFAHFLRLSSLHTLFLQWNKISNLTCGMEWTWGTLEKLDLTGNEIKAIDLTVFETMPNLKILLMDNNKLNSLDSKILNSLRSLTTVGLSGNLWECSARICALASWLGSFQGRWEHSILCHSPDHTQGEDILDAVHGFQLCWNLSTTVTVMATTYRDPTTEYTKRISSSSYHVGDKEIPTTAGIAVTTEEHFPEPDNAIFTQRVITGTMALLFSFFFIIFIVFISRKCCPPTLRRIRQCSMVQNHRQLRSQTRLHMSNMSDQGPYNEYEPTHEGPFIIINGYGQCKCQQLPYKECEV
+>DECOY_sp|O43300|LRRT2_HUMAN Leucine-rich repeat transmembrane neuronal protein 2 OS=Homo sapiens OX=9606 GN=LRRTM2 PE=2 SV=3
+VECEKYPLQQCKCQGYGNIIIFPGEHTPEYENYPGQDSMNSMHLRTQSRLQRHNQVMSCQRIRRLTPPCCKRSIFVIFIIFFFSFLLAMTGTIVRQTFIANDPEPFHEETTVAIGATTPIEKDGVHYSSSSIRKTYETTPDRYTTAMVTVTTSLNWCLQFGHVADLIDEGQTHDPSHCLISHEWRGQFSGLWSALACIRASCEWLNGSLGVTTLSRLSNLIKSDLSNLKNNDMLLIKLNPMTEFVTLDIAKIENGTLDLKELTGWTWEMGCTLNSIKNWQLFLTHLSSLRLFHAFNIKTLQNHELHLERLKILGAFGNRALSRLRNTSLDLFELSRCDWFLRVPITRLSNSRLHLTQLKRLGYFLEPHLSSLQNFSLDLNQLNILQTFTTNPLYFIKNSSLILEKLKYLGQFADEKVTSIQNHDLHLWTLQSFSAFQDRELETIHNHRLSLGLSGKDTANPVSHFGQSDCYFLLKECRCKPPCAMGLAPLMKLVMSMAYIAALMPAGLPWKFHLGM
+>sp|Q9HBL6|LRTM1_HUMAN Leucine-rich repeat and transmembrane domain-containing protein 1 OS=Homo sapiens OX=9606 GN=LRTM1 PE=2 SV=1
+MKGELLLFSSVIVLLQVVCSCPDKCYCQSSTNFVDCSQQGLAEIPSHLPPQTRTLHLQDNQIHHLPAFAFRSVPWLMTLNLSNNSLSNLAPGAFHGLQHLQVLNLTQNSLLSLESRLFHSLPQLRELDLSSNNISHLPTSLGETWENLTILAVQQNQLQQLDRALLESMPSVRLLLLKDNLWKCNCHLLGLKLWLEKFVYKGGLTDGIICESPDTWKGKDLLRIPHELYQPCPLPAPDPVSSQAQWPGSAHGVVLRPPENHNAGERELLECELKPKPRPANLRHAIATVIITGVVCGIVCLMMLAAAIYGCTYAAITAQYHGGPLAQTNDPGKVEEKERFDSSPA
+>DECOY_sp|Q9HBL6|LRTM1_HUMAN Leucine-rich repeat and transmembrane domain-containing protein 1 OS=Homo sapiens OX=9606 GN=LRTM1 PE=2 SV=1
+APSSDFREKEEVKGPDNTQALPGGHYQATIAAYTCGYIAAALMMLCVIGCVVGTIIVTAIAHRLNAPRPKPKLECELLEREGANHNEPPRLVVGHASGPWQAQSSVPDPAPLPCPQYLEHPIRLLDKGKWTDPSECIIGDTLGGKYVFKELWLKLGLLHCNCKWLNDKLLLLRVSPMSELLARDLQQLQNQQVALITLNEWTEGLSTPLHSINNSSLDLERLQPLSHFLRSELSLLSNQTLNLVQLHQLGHFAGPALNSLSNNSLNLTMLWPVSRFAFAPLHHIQNDQLHLTRTQPPLHSPIEALGQQSCDVFNTSSQCYCKDPCSCVVQLLVIVSSFLLLEGKM
+>sp|Q8N967|LRTM2_HUMAN Leucine-rich repeat and transmembrane domain-containing protein 2 OS=Homo sapiens OX=9606 GN=LRTM2 PE=2 SV=1
+MLAPGSSPGQRGRLALQWRQVSWITCWIALYAVEALPTCPFSCKCDSRSLEVDCSGLGLTTVPPDVPAATRTLLLLNNKLSALPSWAFANLSSLQRLDLSNNFLDRLPRSIFGDLTNLTELQLRNNSIRTLDRDLLRHSPLLRHLDLSINGLAQLPPGLFDGLLALRSLSLRSNRLQNLDRLTFEPLANLQLLQVGDNPWECDCNLREFKHWMEWFSYRGGRLDQLACTLPKELRGKDMRMVPMEMFNYCSQLEDENSSAGLDIPGPPCTKASPEPAKPKPGAEPEPEPSTACPQKQRHRPASVRRAMGTVIIAGVVCGVVCIMMVVAAAYGCIYASLMAKYHRELKKRQPLMGDPEGEHEDQKQISSVA
+>DECOY_sp|Q8N967|LRTM2_HUMAN Leucine-rich repeat and transmembrane domain-containing protein 2 OS=Homo sapiens OX=9606 GN=LRTM2 PE=2 SV=1
+AVSSIQKQDEHEGEPDGMLPQRKKLERHYKAMLSAYICGYAAAVVMMICVVGCVVGAIIVTGMARRVSAPRHRQKQPCATSPEPEPEAGPKPKAPEPSAKTCPPGPIDLGASSNEDELQSCYNFMEMPVMRMDKGRLEKPLTCALQDLRGGRYSFWEMWHKFERLNCDCEWPNDGVQLLQLNALPEFTLRDLNQLRNSRLSLSRLALLGDFLGPPLQALGNISLDLHRLLPSHRLLDRDLTRISNNRLQLETLNTLDGFISRPLRDLFNNSLDLRQLSSLNAFAWSPLASLKNNLLLLTRTAAPVDPPVTTLGLGSCDVELSRSDCKCSFPCTPLAEVAYLAIWCTIWSVQRWQLALRGRQGPSSGPALM
+>sp|O95777|LSM8_HUMAN U6 snRNA-associated Sm-like protein LSm8 OS=Homo sapiens OX=9606 GN=LSM8 PE=1 SV=3
+MTSALENYINRTVAVITSDGRMIVGTLKGFDQTINLILDESHERVFSSSQGVEQVVLGLYIVRGDNVAVIGEIDEETDSALDLGNIRAEPLNSVAH
+>DECOY_sp|O95777|LSM8_HUMAN U6 snRNA-associated Sm-like protein LSm8 OS=Homo sapiens OX=9606 GN=LSM8 PE=1 SV=3
+HAVSNLPEARINGLDLASDTEEDIEGIVAVNDGRVIYLGLVVQEVGQSSSFVREHSEDLILNITQDFGKLTGVIMRGDSTIVAVTRNIYNELASTM
+>sp|Q86X29|LSR_HUMAN Lipolysis-stimulated lipoprotein receptor OS=Homo sapiens OX=9606 GN=LSR PE=1 SV=4
+MQQDGLGVGTRNGSGKGRSVHPSWPWCAPRPLRYFGRDARARRAQTAAMALLAGGLSRGLGSHPAAAGRDAVVFVWLLLSTWCTAPARAIQVTVSNPYHVVILFQPVTLPCTYQMTSTPTQPIVIWKYKSFCRDRIADAFSPASVDNQLNAQLAAGNPGYNPYVECQDSVRTVRVVATKQGNAVTLGDYYQGRRITITGNADLTFDQTAWGDSGVYYCSVVSAQDLQGNNEAYAELIVLGRTSGVAELLPGFQAGPIEDWLFVVVVCLAAFLIFLLLGICWCQCCPHTCCCYVRCPCCPDKCCCPEALYAAGKAATSGVPSIYAPSTYAHLSPAKTPPPPAMIPMGPAYNGYPGGYPGDVDRSSSAGGQGSYVPLLRDTDSSVASEVRSGYRIQASQQDDSMRVLYYMEKELANFDPSRPGPPSGRVERAMSEVTSLHEDDWRSRPSRGPALTPIRDEEWGGHSPRSPRGWDQEPAREQAGGGWRARRPRARSVDALDDLTPPSTAESGSRSPTSNGGRSRAYMPPRSRSRDDLYDQDDSRDFPRSRDPHYDDFRSRERPPADPRSHHHRTRDPRDNGSRSGDLPYDGRLLEEAVRKKGSEERRRPHKEEEEEAYYPPAPPPYSETDSQASRERRLKKNLALSRESLVV
+>DECOY_sp|Q86X29|LSR_HUMAN Lipolysis-stimulated lipoprotein receptor OS=Homo sapiens OX=9606 GN=LSR PE=1 SV=4
+VVLSERSLALNKKLRRERSAQSDTESYPPPAPPYYAEEEEEKHPRRREESGKKRVAEELLRGDYPLDGSRSGNDRPDRTRHHHSRPDAPPRERSRFDDYHPDRSRPFDRSDDQDYLDDRSRSRPPMYARSRGGNSTPSRSGSEATSPPTLDDLADVSRARPRRARWGGGAQERAPEQDWGRPSRPSHGGWEEDRIPTLAPGRSPRSRWDDEHLSTVESMAREVRGSPPGPRSPDFNALEKEMYYLVRMSDDQQSAQIRYGSRVESAVSSDTDRLLPVYSGQGGASSSRDVDGPYGGPYGNYAPGMPIMAPPPPTKAPSLHAYTSPAYISPVGSTAAKGAAYLAEPCCCKDPCCPCRVYCCCTHPCCQCWCIGLLLFILFAALCVVVVFLWDEIPGAQFGPLLEAVGSTRGLVILEAYAENNGQLDQASVVSCYYVGSDGWATQDFTLDANGTITIRRGQYYDGLTVANGQKTAVVRVTRVSDQCEVYPNYGPNGAALQANLQNDVSAPSFADAIRDRCFSKYKWIVIPQTPTSTMQYTCPLTVPQFLIVVHYPNSVTVQIARAPATCWTSLLLWVFVVADRGAAAPHSGLGRSLGGALLAMAATQARRARADRGFYRLPRPACWPWSPHVSRGKGSGNRTGVGLGDQQM
+>sp|Q9BVC4|LST8_HUMAN Target of rapamycin complex subunit LST8 OS=Homo sapiens OX=9606 GN=MLST8 PE=1 SV=1
+MNTSPGTVGSDPVILATAGYDHTVRFWQAHSGICTRTVQHQDSQVNALEVTPDRSMIAAAGYQHIRMYDLNSNNPNPIISYDGVNKNIASVGFHEDGRWMYTGGEDCTARIWDLRSRNLQCQRIFQVNAPINCVCLHPNQAELIVGDQSGAIHIWDLKTDHNEQLIPEPEVSITSAHIDPDASYMAAVNSTGNCYVWNLTGGIGDEVTQLIPKTKIPAHTRYALQCRFSPDSTLLATCSADQTCKIWRTSNFSLMTELSIKSGNPGESSRGWMWGCAFSGDSQYIVTASSDNLARLWCVETGEIKREYGGHQKAVVCLAFNDSVLG
+>DECOY_sp|Q9BVC4|LST8_HUMAN Target of rapamycin complex subunit LST8 OS=Homo sapiens OX=9606 GN=MLST8 PE=1 SV=1
+GLVSDNFALCVVAKQHGGYERKIEGTEVCWLRALNDSSATVIYQSDGSFACGWMWGRSSEGPNGSKISLETMLSFNSTRWIKCTQDASCTALLTSDPSFRCQLAYRTHAPIKTKPILQTVEDGIGGTLNWVYCNGTSNVAAMYSADPDIHASTISVEPEPILQENHDTKLDWIHIAGSQDGVILEAQNPHLCVCNIPANVQFIRQCQLNRSRLDWIRATCDEGGTYMWRGDEHFGVSAINKNVGDYSIIPNPNNSNLDYMRIHQYGAAAIMSRDPTVELANVQSDQHQVTRTCIGSHAQWFRVTHDYGATALIVPDSGVTGPSTNM
+>sp|Q15722|LT4R1_HUMAN Leukotriene B4 receptor 1 OS=Homo sapiens OX=9606 GN=LTB4R PE=1 SV=2
+MNTTSSAAPPSLGVEFISLLAIILLSVALAVGLPGNSFVVWSILKRMQKRSVTALMVLNLALADLAVLLTAPFFLHFLAQGTWSFGLAGCRLCHYVCGVSMYASVLLITAMSLDRSLAVARPFVSQKLRTKAMARRVLAGIWVLSFLLATPVLAYRTVVPWKTNMSLCFPRYPSEGHRAFHLIFEAVTGFLLPFLAVVASYSDIGRRLQARRFRRSRRTGRLVVLIILTFAAFWLPYHVVNLAEAGRALAGQAAGLGLVGKRLSLARNVLIALAFLSSSVNPVLYACAGGGLLRSAGVGFVAKLLEGTGSEASSTRRGGSLGQTARSGPAALEPGPSESLTASSPLKLNELN
+>DECOY_sp|Q15722|LT4R1_HUMAN Leukotriene B4 receptor 1 OS=Homo sapiens OX=9606 GN=LTB4R PE=1 SV=2
+NLENLKLPSSATLSESPGPELAAPGSRATQGLSGGRRTSSAESGTGELLKAVFGVGASRLLGGGACAYLVPNVSSSLFALAILVNRALSLRKGVLGLGAAQGALARGAEALNVVHYPLWFAAFTLIILVVLRGTRRSRRFRRAQLRRGIDSYSAVVALFPLLFGTVAEFILHFARHGESPYRPFCLSMNTKWPVVTRYALVPTALLFSLVWIGALVRRAMAKTRLKQSVFPRAVALSRDLSMATILLVSAYMSVGCVYHCLRCGALGFSWTGQALFHLFFPATLLVALDALALNLVMLATVSRKQMRKLISWVVFSNGPLGVALAVSLLIIALLSIFEVGLSPPAASSTTNM
+>sp|Q14767|LTBP2_HUMAN Latent-transforming growth factor beta-binding protein 2 OS=Homo sapiens OX=9606 GN=LTBP2 PE=1 SV=3
+MRPRTKARSPGRALRNPWRGFLPLTLALFVGAGHAQRDPVGRYEPAGGDANRLRRPGGSYPAAAAAKVYSLFREQDAPVAGLQPVERAQPGWGSPRRPTEAEARRPSRAQQSRRVQPPAQTRRSTPLGQQQPAPRTRAAPALPRLGTPQRSGAAPPTPPRGRLTGRNVCGGQCCPGWTTANSTNHCIKPVCEPPCQNRGSCSRPQLCVCRSGFRGARCEEVIPDEEFDPQNSRLAPRRWAERSPNLRRSSAAGEGTLARAQPPAPQSPPAPQSPPAGTLSGLSQTHPSQQHVGLSRTVRLHPTATASSQLSSNALPPGPGLEQRDGTQQAVPLEHPSSPWGLNLTEKIKKIKIVFTPTICKQTCARGHCANSCERGDTTTLYSQGGHGHDPKSGFRIYFCQIPCLNGGRCIGRDECWCPANSTGKFCHLPIPQPDREPPGRGSRPRALLEAPLKQSTFTLPLSNQLASVNPSLVKVHIHHPPEASVQIHQVAQVRGGVEEALVENSVETRPPPWLPASPGHSLWDSNNIPARSGEPPRPLPPAAPRPRGLLGRCYLNTVNGQCANPLLELTTQEDCCGSVGAFWGVTLCAPCPPRPASPVIENGQLECPQGYKRLNLTHCQDINECLTLGLCKDAECVNTRGSYLCTCRPGLMLDPSRSRCVSDKAISMLQGLCYRSLGPGTCTLPLAQRITKQICCCSRVGKAWGSECEKCPLPGTEAFREICPAGHGYTYASSDIRLSMRKAEEEELARPPREQGQRSSGALPGPAERQPLRVVTDTWLEAGTIPDKGDSQAGQVTTSVTHAPAWVTGNATTPPMPEQGIAEIQEEQVTPSTDVLVTLSTPGIDRCAAGATNVCGPGTCVNLPDGYRCVCSPGYQLHPSQAYCTDDNECLRDPCKGKGRCINRVGSYSCFCYPGYTLATSGATQECQDINECEQPGVCSGGQCTNTEGSYHCECDQGYIMVRKGHCQDINECRHPGTCPDGRCVNSPGSYTCLACEEGYRGQSGSCVDVNECLTPGVCAHGKCTNLEGSFRCSCEQGYEVTSDEKGCQDVDECASRASCPTGLCLNTEGSFACSACENGYWVNEDGTACEDLDECAFPGVCPSGVCTNTAGSFSCKDCDGGYRPSPLGDSCEDVDECEDPQSSCLGGECKNTVGSYQCLCPQGFQLANGTVCEDVNECMGEEHCAPHGECLNSHGSFFCLCAPGFVSAEGGTSCQDVDECATTDPCVGGHCVNTEGSFNCLCETGFQPSPESGECVDIDECEDYGDPVCGTWKCENSPGSYRCVLGCQPGFHMAPNGDCIDIDECANDTMCGSHGFCDNTDGSFRCLCDQGFEISPSGWDCVDVNECELMLAVCGAALCENVEGSFLCLCASDLEEYDAQEGHCRPRGAGGQSMSEAPTGDHAPAPTRMDCYSGQKGHAPCSSVLGRNTTQAECCCTQGASWGDACDLCPSEDSAEFSEICPSGKGYIPVEGAWTFGQTMYTDADECVIFGPGLCPNGRCLNTVPGYVCLCNPGFHYDASHKKCEDHDECQDLACENGECVNTEGSFHCFCSPPLTLDLSQQRCMNSTSSTEDLPDHDIHMDICWKKVTNDVCSEPLRGHRTTYTECCCQDGEAWSQQCALCPPRSSEVYAQLCNVARIEAEREAGVHFRPGYEYGPGPDDLHYSIYGPDGAPFYNYLGPEDTVPEPAFPNTAGHSADRTPILESPLQPSELQPHYVASHPEPPAGFEGLQAEECGILNGCENGRCVRVREGYTCDCFEGFQLDAAHMACVDVNECDDLNGPAVLCVHGYCENTEGSYRCHCSPGYVAEAGPPHCTAKE
+>DECOY_sp|Q14767|LTBP2_HUMAN Latent-transforming growth factor beta-binding protein 2 OS=Homo sapiens OX=9606 GN=LTBP2 PE=1 SV=3
+EKATCHPPGAEAVYGPSCHCRYSGETNECYGHVCLVAPGNLDDCENVDVCAMHAADLQFGEFCDCTYGERVRVCRGNECGNLIGCEEAQLGEFGAPPEPHSAVYHPQLESPQLPSELIPTRDASHGATNPFAPEPVTDEPGLYNYFPAGDPGYISYHLDDPGPGYEYGPRFHVGAEREAEIRAVNCLQAYVESSRPPCLACQQSWAEGDQCCCETYTTRHGRLPESCVDNTVKKWCIDMHIDHDPLDETSSTSNMCRQQSLDLTLPPSCFCHFSGETNVCEGNECALDQCEDHDECKKHSADYHFGPNCLCVYGPVTNLCRGNPCLGPGFIVCEDADTYMTQGFTWAGEVPIYGKGSPCIESFEASDESPCLDCADGWSAGQTCCCEAQTTNRGLVSSCPAHGKQGSYCDMRTPAPAHDGTPAESMSQGGAGRPRCHGEQADYEELDSACLCLFSGEVNECLAAGCVALMLECENVDVCDWGSPSIEFGQDCLCRFSGDTNDCFGHSGCMTDNACEDIDICDGNPAMHFGPQCGLVCRYSGPSNECKWTGCVPDGYDECEDIDVCEGSEPSPQFGTECLCNFSGETNVCHGGVCPDTTACEDVDQCSTGGEASVFGPACLCFFSGHSNLCEGHPACHEEGMCENVDECVTGNALQFGQPCLCQYSGVTNKCEGGLCSSQPDECEDVDECSDGLPSPRYGGDCDKCSFSGATNTCVGSPCVGPFACEDLDECATGDENVWYGNECASCAFSGETNLCLGTPCSARSACEDVDQCGKEDSTVEYGQECSCRFSGELNTCKGHACVGPTLCENVDVCSGSQGRYGEECALCTYSGPSNVCRGDPCTGPHRCENIDQCHGKRVMIYGQDCECHYSGETNTCQGGSCVGPQECENIDQCEQTAGSTALTYGPYCFCSYSGVRNICRGKGKCPDRLCENDDTCYAQSPHLQYGPSCVCRYGDPLNVCTGPGCVNTAGAACRDIGPTSLTVLVDTSPTVQEEQIEAIGQEPMPPTTANGTVWAPAHTVSTTVQGAQSDGKDPITGAELWTDTVVRLPQREAPGPLAGSSRQGQERPPRALEEEEAKRMSLRIDSSAYTYGHGAPCIERFAETGPLPCKECESGWAKGVRSCCCIQKTIRQALPLTCTGPGLSRYCLGQLMSIAKDSVCRSRSPDLMLGPRCTCLYSGRTNVCEADKCLGLTLCENIDQCHTLNLRKYGQPCELQGNEIVPSAPRPPCPACLTVGWFAGVSGCCDEQTTLELLPNACQGNVTNLYCRGLLGRPRPAAPPLPRPPEGSRAPINNSDWLSHGPSAPLWPPPRTEVSNEVLAEEVGGRVQAVQHIQVSAEPPHHIHVKVLSPNVSALQNSLPLTFTSQKLPAELLARPRSGRGPPERDPQPIPLHCFKGTSNAPCWCEDRGICRGGNLCPIQCFYIRFGSKPDHGHGGQSYLTTTDGRECSNACHGRACTQKCITPTFVIKIKKIKETLNLGWPSSPHELPVAQQTGDRQELGPGPPLANSSLQSSATATPHLRVTRSLGVHQQSPHTQSLGSLTGAPPSQPAPPSQPAPPQARALTGEGAASSRRLNPSREAWRRPALRSNQPDFEEDPIVEECRAGRFGSRCVCLQPRSCSGRNQCPPECVPKICHNTSNATTWGPCCQGGCVNRGTLRGRPPTPPAAGSRQPTGLRPLAPAARTRPAPQQQGLPTSRRTQAPPQVRRSQQARSPRRAEAETPRRPSGWGPQAREVPQLGAVPADQERFLSYVKAAAAAPYSGGPRRLRNADGGAPEYRGVPDRQAHGAGVFLALTLPLFGRWPNRLARGPSRAKTRPRM
+>sp|Q9NS15|LTBP3_HUMAN Latent-transforming growth factor beta-binding protein 3 OS=Homo sapiens OX=9606 GN=LTBP3 PE=1 SV=4
+MPGPRGAAGGLAPEMRGAGAAGLLALLLLLLLLLLGLGGRVEGGPAGERGAGGGGALARERFKVVFAPVICKRTCLKGQCRDSCQQGSNMTLIGENGHSTDTLTGSGFRVVVCPLPCMNGGQCSSRNQCLCPPDFTGRFCQVPAGGAGGGTGGSGPGLSRTGALSTGALPPLAPEGDSVASKHAIYAVQVIADPPGPGEGPPAQHAAFLVPLGPGQISAEVQAPPPVVNVRVHHPPEASVQVHRIESSNAESAAPSQHLLPHPKPSHPRPPTQKPLGRCFQDTLPKQPCGSNPLPGLTKQEDCCGSIGTAWGQSKCHKCPQLQYTGVQKPGPVRGEVGADCPQGYKRLNSTHCQDINECAMPGVCRHGDCLNNPGSYRCVCPPGHSLGPSRTQCIADKPEEKSLCFRLVSPEHQCQHPLTTRLTRQLCCCSVGKAWGARCQRCPTDGTAAFKEICPAGKGYHILTSHQTLTIQGESDFSLFLHPDGPPKPQQLPESPSQAPPPEDTEEERGVTTDSPVSEERSVQQSHPTATTTPARPYPELISRPSPPTMRWFLPDLPPSRSAVEIAPTQVTETDECRLNQNICGHGECVPGPPDYSCHCNPGYRSHPQHRYCVDVNECEAEPCGPGRGICMNTGGSYNCHCNRGYRLHVGAGGRSCVDLNECAKPHLCGDGGFCINFPGHYKCNCYPGYRLKASRPPVCEDIDECRDPSSCPDGKCENKPGSFKCIACQPGYRSQGGGACRDVNECAEGSPCSPGWCENLPGSFRCTCAQGYAPAPDGRSCLDVDECEAGDVCDNGICSNTPGSFQCQCLSGYHLSRDRSHCEDIDECDFPAACIGGDCINTNGSYRCLCPQGHRLVGGRKCQDIDECSQDPSLCLPHGACKNLQGSYVCVCDEGFTPTQDQHGCEEVEQPHHKKECYLNFDDTVFCDSVLATNVTQQECCCSLGAGWGDHCEIYPCPVYSSAEFHSLCPDGKGYTQDNNIVNYGIPAHRDIDECMLFGSEICKEGKCVNTQPGYECYCKQGFYYDGNLLECVDVDECLDESNCRNGVCENTRGGYRCACTPPAEYSPAQRQCLSPEEMDVDECQDPAACRPGRCVNLPGSYRCECRPPWVPGPSGRDCQLPESPAERAPERRDVCWSQRGEDGMCAGPLAGPALTFDDCCCRQGRGWGAQCRPCPPRGAGSHCPTSQSESNSFWDTSPLLLGKPPRDEDSSEEDSDECRCVSGRCVPRPGGAVCECPGGFQLDASRARCVDIDECRELNQRGLLCKSERCVNTSGSFRCVCKAGFARSRPHGACVPQRRR
+>DECOY_sp|Q9NS15|LTBP3_HUMAN Latent-transforming growth factor beta-binding protein 3 OS=Homo sapiens OX=9606 GN=LTBP3 PE=1 SV=4
+RRRQPVCAGHPRSRAFGAKCVCRFSGSTNVCRESKCLLGRQNLERCEDIDVCRARSADLQFGGPCECVAGGPRPVCRGSVCRCEDSDEESSDEDRPPKGLLLPSTDWFSNSESQSTPCHSGAGRPPCPRCQAGWGRGQRCCCDDFTLAPGALPGACMGDEGRQSWCVDRREPAREAPSEPLQCDRGSPGPVWPPRCECRYSGPLNVCRGPRCAAPDQCEDVDMEEPSLCQRQAPSYEAPPTCACRYGGRTNECVGNRCNSEDLCEDVDVCELLNGDYYFGQKCYCEYGPQTNVCKGEKCIESGFLMCEDIDRHAPIGYNVINNDQTYGKGDPCLSHFEASSYVPCPYIECHDGWGAGLSCCCEQQTVNTALVSDCFVTDDFNLYCEKKHHPQEVEECGHQDQTPTFGEDCVCVYSGQLNKCAGHPLCLSPDQSCEDIDQCKRGGVLRHGQPCLCRYSGNTNICDGGICAAPFDCEDIDECHSRDRSLHYGSLCQCQFSGPTNSCIGNDCVDGAECEDVDLCSRGDPAPAYGQACTCRFSGPLNECWGPSCPSGEACENVDRCAGGGQSRYGPQCAICKFSGPKNECKGDPCSSPDRCEDIDECVPPRSAKLRYGPYCNCKYHGPFNICFGGDGCLHPKACENLDVCSRGGAGVHLRYGRNCHCNYSGGTNMCIGRGPGCPEAECENVDVCYRHQPHSRYGPNCHCSYDPPGPVCEGHGCINQNLRCEDTETVQTPAIEVASRSPPLDPLFWRMTPPSPRSILEPYPRAPTTTATPHSQQVSREESVPSDTTVGREEETDEPPPAQSPSEPLQQPKPPGDPHLFLSFDSEGQITLTQHSTLIHYGKGAPCIEKFAATGDTPCRQCRAGWAKGVSCCCLQRTLRTTLPHQCQHEPSVLRFCLSKEEPKDAICQTRSPGLSHGPPCVCRYSGPNNLCDGHRCVGPMACENIDQCHTSNLRKYGQPCDAGVEGRVPGPKQVGTYQLQPCKHCKSQGWATGISGCCDEQKTLGPLPNSGCPQKPLTDQFCRGLPKQTPPRPHSPKPHPLLHQSPAASEANSSEIRHVQVSAEPPHHVRVNVVPPPAQVEASIQGPGLPVLFAAHQAPPGEGPGPPDAIVQVAYIAHKSAVSDGEPALPPLAGTSLAGTRSLGPGSGGTGGGAGGAPVQCFRGTFDPPCLCQNRSSCQGGNMCPLPCVVVRFGSGTLTDTSHGNEGILTMNSGQQCSDRCQGKLCTRKCIVPAFVVKFRERALAGGGGAGREGAPGGEVRGGLGLLLLLLLLLLALLGAAGAGRMEPALGGAAGRPGPM
+>sp|Q96GA3|LTV1_HUMAN Protein LTV1 homolog OS=Homo sapiens OX=9606 GN=LTV1 PE=1 SV=1
+MPHRKKKPFIEKKKAVSFHLVHRSQRDPLAADESAPQRVLLPTQKIDNEERRAEQRKYGVFFDDDYDYLQHLKEPSGPSELIPSSTFSAHNRREEKEETLVIPSTGIKLPSSVFASEFEEDVGLLNKAAPVSGPRLDFDPDIVAALDDDFDFDDPDNLLEDDFILQANKATGEEEGMDIQKSENEDDSEWEDVDDEKGDSNDDYDSAGLLSDEDCMSVPGKTHRAIADHLFWSEETKSRFTEYSMTSSVMRRNEQLTLHDERFEKFYEQYDDDEIGALDNAELEGSIQVDSNRLQEVLNDYYKEKAENCVKLNTLEPLEDQDLPMNELDESEEEEMITVVLEEAKEKWDCESICSTYSNLYNHPQLIKYQPKPKQIRISSKTGIPLNVLPKKGLTAKQTERIQMINGSDLPKVSTQPRSKNESKEDKRARKQAIKEERKERRVEKKANKLAFKLEKRRQEKELLNLKKNVEGLKL
+>DECOY_sp|Q96GA3|LTV1_HUMAN Protein LTV1 homolog OS=Homo sapiens OX=9606 GN=LTV1 PE=1 SV=1
+LKLGEVNKKLNLLEKEQRRKELKFALKNAKKEVRREKREEKIAQKRARKDEKSENKSRPQTSVKPLDSGNIMQIRETQKATLGKKPLVNLPIGTKSSIRIQKPKPQYKILQPHNYLNSYTSCISECDWKEKAEELVVTIMEEEESEDLENMPLDQDELPELTNLKVCNEAKEKYYDNLVEQLRNSDVQISGELEANDLAGIEDDDYQEYFKEFREDHLTLQENRRMVSSTMSYETFRSKTEESWFLHDAIARHTKGPVSMCDEDSLLGASDYDDNSDGKEDDVDEWESDDENESKQIDMGEEEGTAKNAQLIFDDELLNDPDDFDFDDDLAAVIDPDFDLRPGSVPAAKNLLGVDEEFESAFVSSPLKIGTSPIVLTEEKEERRNHASFTSSPILESPGSPEKLHQLYDYDDDFFVGYKRQEARREENDIKQTPLLVRQPASEDAALPDRQSRHVLHFSVAKKKEIFPKKKRHPM
+>sp|Q9NQ29|LUC7L_HUMAN Putative RNA-binding protein Luc7-like 1 OS=Homo sapiens OX=9606 GN=LUC7L PE=1 SV=1
+MSAQAQMRALLDQLMGTARDGDETRQRVKFTDDRVCKSHLLDCCPHDILAGTRMDLGECTKIHDLALRADYEIASKERDLFFELDAMDHLESFIAECDRRTELAKKRLAETQEEISAEVSAKAEKVHELNEEIGKLLAKAEQLGAEGNVDESQKILMEVEKVRAKKKEAEEEYRNSMPASSFQQQKLRVCEVCSAYLGLHDNDRRLADHFGGKLHLGFIQIREKLDQLRKTVAEKQEKRNQDRLRRREEREREERLSRRSGSRTRDRRRSRSRDRRRRRSRSTSRERRKLSRSRSRDRHRRHRSRSRSHSRGHRRASRDRSAKYKFSRERASREESWESGRSERGPPDWRLESSNGKMASRRSEEKEAGEI
+>DECOY_sp|Q9NQ29|LUC7L_HUMAN Putative RNA-binding protein Luc7-like 1 OS=Homo sapiens OX=9606 GN=LUC7L PE=1 SV=1
+IEGAEKEESRRSAMKGNSSELRWDPPGRESRGSEWSEERSARERSFKYKASRDRSARRHGRSHSRSRSRHRRHRDRSRSRSLKRRERSTSRSRRRRRDRSRSRRRDRTRSGSRRSLREEREREERRRLRDQNRKEQKEAVTKRLQDLKERIQIFGLHLKGGFHDALRRDNDHLGLYASCVECVRLKQQQFSSAPMSNRYEEEAEKKKARVKEVEMLIKQSEDVNGEAGLQEAKALLKGIEENLEHVKEAKASVEASIEEQTEALRKKALETRRDCEAIFSELHDMADLEFFLDREKSAIEYDARLALDHIKTCEGLDMRTGALIDHPCCDLLHSKCVRDDTFKVRQRTEDGDRATGMLQDLLARMQAQASM
+>sp|Q96LR2|LURA1_HUMAN Leucine rich adaptor protein 1 OS=Homo sapiens OX=9606 GN=LURAP1 PE=1 SV=1
+MEGTVESQTPDLRDVEGKVGRKTPEGLLRGLRGECELGTSGALLLPGASSTGHDLGDKIMALKMELAYLRAIDVKILQQLVTLNEGIEAVRWLLEERGTLTSHCSSLTSSQYSLTGGSPGRSRRGSWDSLPDTSTTDRLDSVSIGSFLDTVAPSELDEQGPPGAPRSEMDWAKVIAGGERARTEVDVAATRLGSLRAVWKPPGERLQGGPPESPEDESAKLGFEAHWFWEQCQDDVTFL
+>DECOY_sp|Q96LR2|LURA1_HUMAN Leucine rich adaptor protein 1 OS=Homo sapiens OX=9606 GN=LURAP1 PE=1 SV=1
+LFTVDDQCQEWFWHAEFGLKASEDEPSEPPGGQLREGPPKWVARLSGLRTAAVDVETRAREGGAIVKAWDMESRPAGPPGQEDLESPAVTDLFSGISVSDLRDTTSTDPLSDWSGRRSRGPSGGTLSYQSSTLSSCHSTLTGREELLWRVAEIGENLTVLQQLIKVDIARLYALEMKLAMIKDGLDHGTSSAGPLLLAGSTGLECEGRLGRLLGEPTKRGVKGEVDRLDPTQSEVTGEM
+>sp|P78386|KRT85_HUMAN Keratin, type II cuticular Hb5 OS=Homo sapiens OX=9606 GN=KRT85 PE=1 SV=1
+MSCRSYRISSGCGVTRNFSSCSAVAPKTGNRCCISAAPYRGVSCYRGLTGFGSRSLCNLGSCGPRIAVGGFRAGSCGRSFGYRSGGVCGPSPPCITTVSVNESLLTPLNLEIDPNAQCVKQEEKEQIKSLNSRFAAFIDKVRFLEQQNKLLETKWQFYQNQRCCESNLEPLFSGYIETLRREAECVEADSGRLASELNHVQEVLEGYKKKYEEEVALRATAENEFVVLKKDVDCAYLRKSDLEANVEALVEESSFLRRLYEEEIRVLQAHISDTSVIVKMDNSRDLNMDCIIAEIKAQYDDVASRSRAEAESWYRSKCEEMKATVIRHGETLRRTKEEINELNRMIQRLTAEIENAKCQRAKLEAAVAEAEQQGEAALSDARCKLAELEGALQKAKQDMACLLKEYQEVMNSKLGLDIEIATYRRLLEGEEHRLCEGVGSVNVCVSSSRGGVSCGGLSYSTTPGRQITSGPSAIGGSITVVAPDSCAPCQPRSSSFSCGSSRSVRFA
+>DECOY_sp|P78386|KRT85_HUMAN Keratin, type II cuticular Hb5 OS=Homo sapiens OX=9606 GN=KRT85 PE=1 SV=1
+AFRVSRSSGCSFSSSRPQCPACSDPAVVTISGGIASPGSTIQRGPTTSYSLGGCSVGGRSSSVCVNVSGVGECLRHEEGELLRRYTAIEIDLGLKSNMVEQYEKLLCAMDQKAKQLAGELEALKCRADSLAAEGQQEAEAVAAELKARQCKANEIEATLRQIMRNLENIEEKTRRLTEGHRIVTAKMEECKSRYWSEAEARSRSAVDDYQAKIEAIICDMNLDRSNDMKVIVSTDSIHAQLVRIEEEYLRRLFSSEEVLAEVNAELDSKRLYACDVDKKLVVFENEATARLAVEEEYKKKYGELVEQVHNLESALRGSDAEVCEAERRLTEIYGSFLPELNSECCRQNQYFQWKTELLKNQQELFRVKDIFAAFRSNLSKIQEKEEQKVCQANPDIELNLPTLLSENVSVTTICPPSPGCVGGSRYGFSRGCSGARFGGVAIRPGCSGLNCLSRSGFGTLGRYCSVGRYPAASICCRNGTKPAVASCSSFNRTVGCGSSIRYSRCSM
+>sp|Q15418|KS6A1_HUMAN Ribosomal protein S6 kinase alpha-1 OS=Homo sapiens OX=9606 GN=RPS6KA1 PE=1 SV=2
+MPLAQLKEPWPLMELVPLDPENGQTSGEEAGLQPSKDEGVLKEISITHHVKAGSEKADPSHFELLKVLGQGSFGKVFLVRKVTRPDSGHLYAMKVLKKATLKVRDRVRTKMERDILADVNHPFVVKLHYAFQTEGKLYLILDFLRGGDLFTRLSKEVMFTEEDVKFYLAELALGLDHLHSLGIIYRDLKPENILLDEEGHIKLTDFGLSKEAIDHEKKAYSFCGTVEYMAPEVVNRQGHSHSADWWSYGVLMFEMLTGSLPFQGKDRKETMTLILKAKLGMPQFLSTEAQSLLRALFKRNPANRLGSGPDGAEEIKRHVFYSTIDWNKLYRREIKPPFKPAVAQPDDTFYFDTEFTSRTPKDSPGIPPSAGAHQLFRGFSFVATGLMEDDGKPRAPQAPLHSVVQQLHGKNLVFSDGYVVKETIGVGSYSECKRCVHKATNMEYAVKVIDKSKRDPSEEIEILLRYGQHPNIITLKDVYDDGKHVYLVTELMRGGELLDKILRQKFFSEREASFVLHTIGKTVEYLHSQGVVHRDLKPSNILYVDESGNPECLRICDFGFAKQLRAENGLLMTPCYTANFVAPEVLKRQGYDEGCDIWSLGILLYTMLAGYTPFANGPSDTPEEILTRIGSGKFTLSGGNWNTVSETAKDLVSKMLHVDPHQRLTAKQVLQHPWVTQKDKLPQSQLSHQDLQLVKGAMAATYSALNSSKPTPQLKPIESSILAQRRVRKLPSTTL
+>DECOY_sp|Q15418|KS6A1_HUMAN Ribosomal protein S6 kinase alpha-1 OS=Homo sapiens OX=9606 GN=RPS6KA1 PE=1 SV=2
+LTTSPLKRVRRQALISSEIPKLQPTPKSSNLASYTAAMAGKVLQLDQHSLQSQPLKDKQTVWPHQLVQKATLRQHPDVHLMKSVLDKATESVTNWNGGSLTFKGSGIRTLIEEPTDSPGNAFPTYGALMTYLLIGLSWIDCGEDYGQRKLVEPAVFNATYCPTMLLGNEARLQKAFGFDCIRLCEPNGSEDVYLINSPKLDRHVVGQSHLYEVTKGITHLVFSAERESFFKQRLIKDLLEGGRMLETVLYVHKGDDYVDKLTIINPHQGYRLLIEIEESPDRKSKDIVKVAYEMNTAKHVCRKCESYSGVGITEKVVYGDSFVLNKGHLQQVVSHLPAQPARPKGDDEMLGTAVFSFGRFLQHAGASPPIGPSDKPTRSTFETDFYFTDDPQAVAPKFPPKIERRYLKNWDITSYFVHRKIEEAGDPGSGLRNAPNRKFLARLLSQAETSLFQPMGLKAKLILTMTEKRDKGQFPLSGTLMEFMLVGYSWWDASHSHGQRNVVEPAMYEVTGCFSYAKKEHDIAEKSLGFDTLKIHGEEDLLINEPKLDRYIIGLSHLHDLGLALEALYFKVDEETFMVEKSLRTFLDGGRLFDLILYLKGETQFAYHLKVVFPHNVDALIDREMKTRVRDRVKLTAKKLVKMAYLHGSDPRTVKRVLFVKGFSGQGLVKLLEFHSPDAKESGAKVHHTISIEKLVGEDKSPQLGAEEGSTQGNEPDLPVLEMLPWPEKLQALPM
+>sp|Q8IVT5|KSR1_HUMAN Kinase suppressor of Ras 1 OS=Homo sapiens OX=9606 GN=KSR1 PE=1 SV=3
+MDRAALRAAAMGEKKEGGGGGDAAAAEGGAGAAASRALQQCGQLQKLIDISIGSLRGLRTKCAVSNDLTQQEIRTLEAKLVRYICKQRQCKLSVAPGERTPELNSYPRFSDWLYTFNVRPEVVQEIPRDLTLDALLEMNEAKVKETLRRCGASGDECGRLQYALTCLRKVTGLGGEHKEDSSWSSLDARRESGSGPSTDTLSAASLPWPPGSSQLGRAGNSAQGPRSISVSALPASDSPTPSFSEGLSDTCIPLHASGRLTPRALHSFITPPTTPQLRRHTKLKPPRTPPPPSRKVFQLLPSFPTLTRSKSHESQLGNRIDDVSSMRFDLSHGSPQMVRRDIGLSVTHRFSTKSWLSQVCHVCQKSMIFGVKCKHCRLKCHNKCTKEAPACRISFLPLTRLRRTESVPSDINNPVDRAAEPHFGTLPKALTKKEHPPAMNHLDSSSNPSSTTSSTPSSPAPFPTSSNPSSATTPPNPSPGQRDSRFNFPAAYFIHHRQQFIFPVPSAGHCWKCLLIAESLKENAFNISAFAHAAPLPEAADGTRLDDQPKADVLEAHEAEAEEPEAGKSEAEDDEDEVDDLPSSRRPWRGPISRKASQTSVYLQEWDIPFEQVELGEPIGQGRWGRVHRGRWHGEVAIRLLEMDGHNQDHLKLFKKEVMNYRQTRHENVVLFMGACMNPPHLAIITSFCKGRTLHSFVRDPKTSLDINKTRQIAQEIIKGMGYLHAKGIVHKDLKSKNVFYDNGKVVITDFGLFGISGVVREGRRENQLKLSHDWLCYLAPEIVREMTPGKDEDQLPFSKAADVYAFGTVWYELQARDWPLKNQAAEASIWQIGSGEGMKRVLTSVSLGKEVSEILSACWAFDLQERPSFSLLMDMLEKLPKLNRRLSHPGHFWKSADINSSKVVPRFERFGLGVLESSNPKM
+>DECOY_sp|Q8IVT5|KSR1_HUMAN Kinase suppressor of Ras 1 OS=Homo sapiens OX=9606 GN=KSR1 PE=1 SV=3
+MKPNSSELVGLGFREFRPVVKSSNIDASKWFHGPHSLRRNLKPLKELMDMLLSFSPREQLDFAWCASLIESVEKGLSVSTLVRKMGEGSGIQWISAEAAQNKLPWDRAQLEYWVTGFAYVDAAKSFPLQDEDKGPTMERVIEPALYCLWDHSLKLQNERRGERVVGSIGFLGFDTIVVKGNDYFVNKSKLDKHVIGKAHLYGMGKIIEQAIQRTKNIDLSTKPDRVFSHLTRGKCFSTIIALHPPNMCAGMFLVVNEHRTQRYNMVEKKFLKLHDQNHGDMELLRIAVEGHWRGRHVRGWRGQGIPEGLEVQEFPIDWEQLYVSTQSAKRSIPGRWPRRSSPLDDVEDEDDEAESKGAEPEEAEAEHAELVDAKPQDDLRTGDAAEPLPAAHAFASINFANEKLSEAILLCKWCHGASPVPFIFQQRHHIFYAAPFNFRSDRQGPSPNPPTTASSPNSSTPFPAPSSPTSSTTSSPNSSSDLHNMAPPHEKKTLAKPLTGFHPEAARDVPNNIDSPVSETRRLRTLPLFSIRCAPAEKTCKNHCKLRCHKCKVGFIMSKQCVHCVQSLWSKTSFRHTVSLGIDRRVMQPSGHSLDFRMSSVDDIRNGLQSEHSKSRTLTPFSPLLQFVKRSPPPPTRPPKLKTHRRLQPTTPPTIFSHLARPTLRGSAHLPICTDSLGESFSPTPSDSAPLASVSISRPGQASNGARGLQSSGPPWPLSAASLTDTSPGSGSERRADLSSWSSDEKHEGGLGTVKRLCTLAYQLRGCEDGSAGCRRLTEKVKAENMELLADLTLDRPIEQVVEPRVNFTYLWDSFRPYSNLEPTREGPAVSLKCQRQKCIYRVLKAELTRIEQQTLDNSVACKTRLGRLSGISIDILKQLQGCQQLARSAAAGAGGEAAAADGGGGGEKKEGMAAARLAARDM
+>sp|Q6VAB6|KSR2_HUMAN Kinase suppressor of Ras 2 OS=Homo sapiens OX=9606 GN=KSR2 PE=1 SV=2
+MDEENMTKSEEQQPLSLQKALQQCELVQNMIDLSISNLEGLRTKCATSNDLTQKEIRTLESKLVKYFSRQLSCKKKVALQERNAELDGFPQLRHWFRIVDVRKEVLEEISPGQLSLEDLLEMTDEQVCETVEKYGANREECARLNASLSCLRNVHMSGGNLSKQDWTIQWPTTETGKENNPVCPPEPTPWIRTHLSQSPRVPSKCVQHYCHTSPTPGAPVYTHVDRLTVDAYPGLCPPPPLESGHRSLPPSPRQRHAVRTPPRTPNIVTTVTPPGTPPMRKKNKLKPPGTPPPSSRKLIHLIPGFTALHRSKSHEFQLGHRVDEAHTPKAKKKSKPLNLKIHSSVGSCENIPSQQRSPLLSERSLRSFFVGHAPFLPSTPPVHTEANFSANTLSVPRWSPQIPRRDLGNSIKHRFSTKYWMSQTCTVCGKGMLFGLKCKNCKLKCHNKCTKEAPPCHLLIIHRGDPARLVRTESVPCDINNPLRKPPRYSDLHISQTLPKTNKINKDHIPVPYQPDSSSNPSSTTSSTPSSPAPPLPPSATPPSPLHPSPQCTRQQKNFNLPASHYYKYKQQFIFPDVVPVPETPTRAPQVILHPVTSNPILEGNPLLQIEVEPTSENEEVHDEAEESEDDFEEMNLSLLSARSFPRKASQTSIFLQEWDIPFEQLEIGELIGKGRFGQVYHGRWHGEVAIRLIDIERDNEDQLKAFKREVMAYRQTRHENVVLFMGACMSPPHLAIITSLCKGRTLYSVVRDAKIVLDVNKTRQIAQEIVKGMGYLHAKGILHKDLKSKNVFYDNGKVVITDFGLFSISGVLQAGRREDKLRIQNGWLCHLAPEIIRQLSPDTEEDKLPFSKHSDVFALGTIWYELHAREWPFKTQPAEAIIWQMGTGMKPNLSQIGMGKEISDILLFCWAFEQEERPTFTKLMDMLEKLPKRNRRLSHPGHFWKSAEL
+>DECOY_sp|Q6VAB6|KSR2_HUMAN Kinase suppressor of Ras 2 OS=Homo sapiens OX=9606 GN=KSR2 PE=1 SV=2
+LEASKWFHGPHSLRRNRKPLKELMDMLKTFTPREEQEFAWCFLLIDSIEKGMGIQSLNPKMGTGMQWIIAEAPQTKFPWERAHLEYWITGLAFVDSHKSFPLKDEETDPSLQRIIEPALHCLWGNQIRLKDERRGAQLVGSISFLGFDTIVVKGNDYFVNKSKLDKHLIGKAHLYGMGKVIEQAIQRTKNVDLVIKADRVVSYLTRGKCLSTIIALHPPSMCAGMFLVVNEHRTQRYAMVERKFAKLQDENDREIDILRIAVEGHWRGHYVQGFRGKGILEGIELQEFPIDWEQLFISTQSAKRPFSRASLLSLNMEEFDDESEEAEDHVEENESTPEVEIQLLPNGELIPNSTVPHLIVQPARTPTEPVPVVDPFIFQQKYKYYHSAPLNFNKQQRTCQPSPHLPSPPTASPPLPPAPSSPTSSTTSSPNSSSDPQYPVPIHDKNIKNTKPLTQSIHLDSYRPPKRLPNNIDCPVSETRVLRAPDGRHIILLHCPPAEKTCKNHCKLKCNKCKLGFLMGKGCVTCTQSMWYKTSFRHKISNGLDRRPIQPSWRPVSLTNASFNAETHVPPTSPLFPAHGVFFSRLSRESLLPSRQQSPINECSGVSSHIKLNLPKSKKKAKPTHAEDVRHGLQFEHSKSRHLATFGPILHILKRSSPPPTGPPKLKNKKRMPPTGPPTVTTVINPTRPPTRVAHRQRPSPPLSRHGSELPPPPCLGPYADVTLRDVHTYVPAGPTPSTHCYHQVCKSPVRPSQSLHTRIWPTPEPPCVPNNEKGTETTPWQITWDQKSLNGGSMHVNRLCSLSANLRACEERNAGYKEVTECVQEDTMELLDELSLQGPSIEELVEKRVDVIRFWHRLQPFGDLEANREQLAVKKKCSLQRSFYKVLKSELTRIEKQTLDNSTACKTRLGELNSISLDIMNQVLECQQLAKQLSLPQQEESKTMNEEDM
+>sp|Q14525|KT33B_HUMAN Keratin, type I cuticular Ha3-II OS=Homo sapiens OX=9606 GN=KRT33B PE=1 SV=3
+MPYNFCLPSLSCRTSCSSRPCVPPSCHGYTLPGACNIPANVSNCNWFCEGSFNGSEKETMQFLNDRLASYLEKVRQLERDNAELENLIRERSQQQEPLLCPSYQSYFKTIEELQQKILCSKSENARLVVQIDNAKLAADDFRTKYQTEQSLRQLVESDINSLRRILDELTLCRSDLEAQMESLKEELLSLKQNHEQEVNTLRCQLGDRLNVEVDAAPAVDLNQVLNETRNQYEALVETNRREVEQWFATQTEELNKQVVSSSEQLQSYQAEIIELRRTVNALEIELQAQHNLRYSLENTLTESEARYSSQLSQVQSLITNVESQLAEIRSDLERQNQEYQVLLDVRARLECEINTYRSLLESEDCKLPSNPCATTNACEKPIGSCVTNPCGPRSRCGPCNTFGY
+>DECOY_sp|Q14525|KT33B_HUMAN Keratin, type I cuticular Ha3-II OS=Homo sapiens OX=9606 GN=KRT33B PE=1 SV=3
+YGFTNCPGCRSRPGCPNTVCSGIPKECANTTACPNSPLKCDESELLSRYTNIECELRARVDLLVQYEQNQRELDSRIEALQSEVNTILSQVQSLQSSYRAESETLTNELSYRLNHQAQLEIELANVTRRLEIIEAQYSQLQESSSVVQKNLEETQTAFWQEVERRNTEVLAEYQNRTENLVQNLDVAPAADVEVNLRDGLQCRLTNVEQEHNQKLSLLEEKLSEMQAELDSRCLTLEDLIRRLSNIDSEVLQRLSQETQYKTRFDDAALKANDIQVVLRANESKSCLIKQQLEEITKFYSQYSPCLLPEQQQSRERILNELEANDRELQRVKELYSALRDNLFQMTEKESGNFSGECFWNCNSVNAPINCAGPLTYGHCSPPVCPRSSCSTRCSLSPLCFNYPM
+>sp|Q96EK9|KTI12_HUMAN Protein KTI12 homolog OS=Homo sapiens OX=9606 GN=KTI12 PE=1 SV=1
+MPLVVFCGLPYSGKSRRAEELRVALAAEGRAVYVVDDAAVLGAEDPAVYGDSAREKALRGALRASVERRLSRHDVVILDSLNYIKGFRYELYCLARAARTPLCLVYCVRPGGPIAGPQVAGANENPGRNVSVSWRPRAEEDGRAQAAGSSVLRELHTADSVVNGSAQADVPKELEREESGAAESPALVTPDSEKSAKHGSGAFYSPELLEALTLRFEAPDSRNRWDRPLFTLVGLEEPLPLAGIRSALFENRAPPPHQSTQSQPLASGSFLHQLDQVTSQVLAGLMEAQKSAVPGDLLTLPGTTEHLRFTRPLTMAELSRLRRQFISYTKMHPNNENLPQLANMFLQYLSQSLH
+>DECOY_sp|Q96EK9|KTI12_HUMAN Protein KTI12 homolog OS=Homo sapiens OX=9606 GN=KTI12 PE=1 SV=1
+HLSQSLYQLFMNALQPLNENNPHMKTYSIFQRRLRSLEAMTLPRTFRLHETTGPLTLLDGPVASKQAEMLGALVQSTVQDLQHLFSGSALPQSQTSQHPPPARNEFLASRIGALPLPEELGVLTFLPRDWRNRSDPAEFRLTLAELLEPSYFAGSGHKASKESDPTVLAPSEAAGSEERELEKPVDAQASGNVVSDATHLERLVSSGAAQARGDEEARPRWSVSVNRGPNENAGAVQPGAIPGGPRVCYVLCLPTRAARALCYLEYRFGKIYNLSDLIVVDHRSLRREVSARLAGRLAKERASDGYVAPDEAGLVAADDVVYVARGEAALAVRLEEARRSKGSYPLGCFVVLPM
+>sp|Q8NBH2|KY_HUMAN Kyphoscoliosis peptidase OS=Homo sapiens OX=9606 GN=KY PE=1 SV=2
+MELKKDINAVSIDMLLIVHSEKRRAAQGTLSDQQANPSSLLQRGGGFQGVGNGVRRWQKLEGNDFHENLVEKQHPQQPQVITSYNSQGTQLTVEVHPRDAMPQLLKKFSLAKRLQGDKNGNTRPRQPGGKDAHAYPWDRSSLKSMSLDLQQFEKLDIYASQVTAKSGLDELVSDLLQEAHTDLERVRAIWIWICHHIEYDIAAAQEKDRQAFKPTDILRTQKTNCDGYAGLFERMCRLAGVQCMTVPGYSKGFGYQTGQSFSGEFDHAWNAVYLEGRWHLVDSTWGSGLVDTITSKFTFLYNEFYFLTHPALFIEDHFPDNKNWQLLKPPQSLRQFENNMYHKSEFYNKGMLSAHPETSMIRTVNGKATVTIESCAPTLFMFMLNGKQEHGLLSLRKNGMKLEVYPPTMGTHKLQIFAKGNSDIYSSVLEYTLKCNYVDMGVQLPAELHQPVGPSWFSEQMGIMKPSHPDPIIHTSDGRCSISFSVEEGINVLASLHGDDGPITEETQRRYIFQLHREKQTELKVQLPHAGKFALKIYVMVLENANHNFYSYILKYKVNAQ
+>DECOY_sp|Q8NBH2|KY_HUMAN Kyphoscoliosis peptidase OS=Homo sapiens OX=9606 GN=KY PE=1 SV=2
+QANVKYKLIYSYFNHNANELVMVYIKLAFKGAHPLQVKLETQKERHLQFIYRRQTEETIPGDDGHLSALVNIGEEVSFSISCRGDSTHIIPDPHSPKMIGMQESFWSPGVPQHLEAPLQVGMDVYNCKLTYELVSSYIDSNGKAFIQLKHTGMTPPYVELKMGNKRLSLLGHEQKGNLMFMFLTPACSEITVTAKGNVTRIMSTEPHASLMGKNYFESKHYMNNEFQRLSQPPKLLQWNKNDPFHDEIFLAPHTLFYFENYLFTFKSTITDVLGSGWTSDVLHWRGELYVANWAHDFEGSFSQGTQYGFGKSYGPVTMCQVGALRCMREFLGAYGDCNTKQTRLIDTPKFAQRDKEQAAAIDYEIHHCIWIWIARVRELDTHAEQLLDSVLEDLGSKATVQSAYIDLKEFQQLDLSMSKLSSRDWPYAHADKGGPQRPRTNGNKDGQLRKALSFKKLLQPMADRPHVEVTLQTGQSNYSTIVQPQQPHQKEVLNEHFDNGELKQWRRVGNGVGQFGGGRQLLSSPNAQQDSLTGQAARRKESHVILLMDISVANIDKKLEM
+>sp|P32004|L1CAM_HUMAN Neural cell adhesion molecule L1 OS=Homo sapiens OX=9606 GN=L1CAM PE=1 SV=2
+MVVALRYVWPLLLCSPCLLIQIPEEYEGHHVMEPPVITEQSPRRLVVFPTDDISLKCEASGKPEVQFRWTRDGVHFKPKEELGVTVYQSPHSGSFTITGNNSNFAQRFQGIYRCFASNKLGTAMSHEIRLMAEGAPKWPKETVKPVEVEEGESVVLPCNPPPSAEPLRIYWMNSKILHIKQDERVTMGQNGNLYFANVLTSDNHSDYICHAHFPGTRTIIQKEPIDLRVKATNSMIDRKPRLLFPTNSSSHLVALQGQPLVLECIAEGFPTPTIKWLRPSGPMPADRVTYQNHNKTLQLLKVGEEDDGEYRCLAENSLGSARHAYYVTVEAAPYWLHKPQSHLYGPGETARLDCQVQGRPQPEVTWRINGIPVEELAKDQKYRIQRGALILSNVQPSDTMVTQCEARNRHGLLLANAYIYVVQLPAKILTADNQTYMAVQGSTAYLLCKAFGAPVPSVQWLDEDGTTVLQDERFFPYANGTLGIRDLQANDTGRYFCLAANDQNNVTIMANLKVKDATQITQGPRSTIEKKGSRVTFTCQASFDPSLQPSITWRGDGRDLQELGDSDKYFIEDGRLVIHSLDYSDQGNYSCVASTELDVVESRAQLLVVGSPGPVPRLVLSDLHLLTQSQVRVSWSPAEDHNAPIEKYDIEFEDKEMAPEKWYSLGKVPGNQTSTTLKLSPYVHYTFRVTAINKYGPGEPSPVSETVVTPEAAPEKNPVDVKGEGNETTNMVITWKPLRWMDWNAPQVQYRVQWRPQGTRGPWQEQIVSDPFLVVSNTSTFVPYEIKVQAVNSQGKGPEPQVTIGYSGEDYPQAIPELEGIEILNSSAVLVKWRPVDLAQVKGHLRGYNVTYWREGSQRKHSKRHIHKDHVVVPANTTSVILSGLRPYSSYHLEVQAFNGRGSGPASEFTFSTPEGVPGHPEALHLECQSNTSLLLRWQPPLSHNGVLTGYVLSYHPLDEGGKGQLSFNLRDPELRTHNLTDLSPHLRYRFQLQATTKEGPGEAIVREGGTMALSGISDFGNISATAGENYSVVSWVPKEGQCNFRFHILFKALGEEKGGASLSPQYVSYNQSSYTQWDLQPDTDYEIHLFKERMFRHQMAVKTNGTGRVRLPPAGFATEGWFIGFVSAIILLLLVLLILCFIKRSKGGKYSVKDKEDTQVDSEARPMKDETFGEYRSLESDNEEKAFGSSQPSLNGDIKPLGSDDSLADYGGSVDVQFNEDGSFIGQYSGKKEKEAAGGNDSSGATSPINPAVALE
+>DECOY_sp|P32004|L1CAM_HUMAN Neural cell adhesion molecule L1 OS=Homo sapiens OX=9606 GN=L1CAM PE=1 SV=2
+ELAVAPNIPSTAGSSDNGGAAEKEKKGSYQGIFSGDENFQVDVSGGYDALSDDSGLPKIDGNLSPQSSGFAKEENDSELSRYEGFTEDKMPRAESDVQTDEKDKVSYKGGKSRKIFCLILLVLLLLIIASVFGIFWGETAFGAPPLRVRGTGNTKVAMQHRFMREKFLHIEYDTDPQLDWQTYSSQNYSVYQPSLSAGGKEEGLAKFLIHFRFNCQGEKPVWSVVSYNEGATASINGFDSIGSLAMTGGERVIAEGPGEKTTAQLQFRYRLHPSLDTLNHTRLEPDRLNFSLQGKGGEDLPHYSLVYGTLVGNHSLPPQWRLLLSTNSQCELHLAEPHGPVGEPTSFTFESAPGSGRGNFAQVELHYSSYPRLGSLIVSTTNAPVVVHDKHIHRKSHKRQSGERWYTVNYGRLHGKVQALDVPRWKVLVASSNLIEIGELEPIAQPYDEGSYGITVQPEPGKGQSNVAQVKIEYPVFTSTNSVVLFPDSVIQEQWPGRTGQPRWQVRYQVQPANWDMWRLPKWTIVMNTTENGEGKVDVPNKEPAAEPTVVTESVPSPEGPGYKNIATVRFTYHVYPSLKLTTSTQNGPVKGLSYWKEPAMEKDEFEIDYKEIPANHDEAPSWSVRVQSQTLLHLDSLVLRPVPGPSGVVLLQARSEVVDLETSAVCSYNGQDSYDLSHIVLRGDEIFYKDSDGLEQLDRGDGRWTISPQLSPDFSAQCTFTVRSGKKEITSRPGQTIQTADKVKLNAMITVNNQDNAALCFYRGTDNAQLDRIGLTGNAYPFFREDQLVTTGDEDLWQVSPVPAGFAKCLLYATSGQVAMYTQNDATLIKAPLQVVYIYANALLLGHRNRAECQTVMTDSPQVNSLILAGRQIRYKQDKALEEVPIGNIRWTVEPQPRGQVQCDLRATEGPGYLHSQPKHLWYPAAEVTVYYAHRASGLSNEALCRYEGDDEEGVKLLQLTKNHNQYTVRDAPMPGSPRLWKITPTPFGEAICELVLPQGQLAVLHSSSNTPFLLRPKRDIMSNTAKVRLDIPEKQIITRTGPFHAHCIYDSHNDSTLVNAFYLNGNQGMTVREDQKIHLIKSNMWYIRLPEASPPPNCPLVVSEGEEVEVPKVTEKPWKPAGEAMLRIEHSMATGLKNSAFCRYIGQFRQAFNSNNGTITFSGSHPSQYVTVGLEEKPKFHVGDRTWRFQVEPKGSAECKLSIDDTPFVVLRRPSQETIVPPEMVHHGEYEEPIQILLCPSCLLLPWVYRLAVVM
+>sp|A6NM11|L37A2_HUMAN Leucine-rich repeat-containing protein 37A2 OS=Homo sapiens OX=9606 GN=LRRC37A2 PE=2 SV=2
+MSSAQCPALVCVMSRLRFWGPWPLLMWQLLWLLVKEAQPLEWVKDPLQLTSNPLGPPDSWSSHSSHFPRESPHAPTLPADPWDFDHLGPSASSEMPAPPQESTENLVPFLDTWDSAGEQPLEPEQFLASQQDLKDKLSPQERLPVSPKKLKKDPAQRWSLAEIIGITRQLSTPQSQKQTLQNEYSSTDTPYPGSLPPELRVKSDEPPGPSEQVGPSQFHLEPETQNPETLEDIQSSSLQQEAPAQLPQLLEEEPSSMQQEAPALPPESSMESLTLPNHEVSVQPPGEDQAYYHLPNITVKPADVEVTITSEPTNETESSQAQQETPIQFPEEVEPSATQQEAPIEPPVPPMEHELSISEQQQPVQPSESPREVESSPTQQETPGQPPEHHEVTVSPPGHHQTHHLASPSVSVKPPDVQLTIAAEPSAEVGTSLVHQEATTRLSGSGNDVEPPAIQHGGPPLLPESSEEAGPLAVQQETSFQSPEPINNENPSPTQQEAAAEHPQTAEEGESSLTHQEAPAQTPEFPNVVVAQPPEHSHLTQATVQPLDLGFTITPESKTEVELSPTMKETPTQPPKKVVPQLRVYQGVTNPTPGQDQAQHPVSPSVTVQLLDLGLTITPEPTTEVGHSTPPKRTIVSPKHPEVTLPHPDQVQTQHSHLTRATVQPLDLGFTITPKSMTEVEPSTALMTTAPPPGHPEVTLPPSDKGQAQHSHLTQATVQPLDLELTITTKPTTEVKPSPTTEETSTQPPDLGLAIIPEPTTETRHSTALEKTTAPRPDRVQTLHRSLTEVTGPPTELEPAQDSLVQSESYTQNKALTAPEEHKASTSTNICELCTCGDEMLSCIDLNPEQRLRQVPVPEPNTHNGTFTILNFQGNYISYIDGNVWKAYSWTEKLILRENNLTELHKDSFEGLLSLQYLDLSCNKIQSIERHTFEPLPFLKFINLSCNVITELSFGTFQAWHGMQFLHKLILNHNPLTTVEDPYLFKLPALKYLDMGTTLVPLTTLKNILMMTVELEKLILPSHMACCLCQFKNSIEAVCKTVKLHCNSACLTNTTHCPEEASVGNPEGAFMKVLQARKNYTSTELIVEPEEPSDSSGINLSGFGSEQLDTNDESDFISTLSYILPYFSAVNLDVKSLLLPFIKLPTTGNSLAKIQTVGQNRQRVKRVLMGPRSIQKRHFKEVGRQSIRREQGAQASVENAAEEKRLGSPAPREVEQPHTQQGPEKLAGNAVYTKPSFTQEHKAAVSVLKPFSKGAPSTSSPAKALPQVRDRWKDLTHAISILESAKARVTNTKTSKPIVHARKKYRFHKTRSHVTHRTPKVKKSPKVRKKSYLSRLMLANRLPFSAAKSLINSPSQGAFSSLGDLSPQENPFLEVSAPSEHFIENNNTKHTTARNAFEENDFMENTNMPEGTISENTNYNHPHEADSAGTAFNLGPTVKQTETKWEYNNVGTDLSPEPKSFNYPLLSSPGDQFEIQLTQQLQSLIPNNNVRRLIAHVIRTLKMDCSGAHVQVTCAKLISRTGHLMKLLSGQQEVKASKIEWDTDQWKIENYINESTEAQSEQKEKSLELKKEVPGYGYTDKLILALIVTGILTILIILFCLIVICCHRRSLQEDEEGFSRGIFRFLPWRGCSSRRESQDGLSSFGQPLWFKDMYKPLSATRINNHAWKLHKKSSNEDKILNRDPGDSEAPTEEEESEALP
+>DECOY_sp|A6NM11|L37A2_HUMAN Leucine-rich repeat-containing protein 37A2 OS=Homo sapiens OX=9606 GN=LRRC37A2 PE=2 SV=2
+PLAESEEEETPAESDGPDRNLIKDENSSKKHLKWAHNNIRTASLPKYMDKFWLPQGFSSLGDQSERRSSCGRWPLFRFIGRSFGEEDEQLSRRHCCIVILCFLIILITLIGTVILALILKDTYGYGPVEKKLELSKEKQESQAETSENIYNEIKWQDTDWEIKSAKVEQQGSLLKMLHGTRSILKACTVQVHAGSCDMKLTRIVHAILRRVNNNPILSQLQQTLQIEFQDGPSSLLPYNFSKPEPSLDTGVNNYEWKTETQKVTPGLNFATGASDAEHPHNYNTNESITGEPMNTNEMFDNEEFANRATTHKTNNNEIFHESPASVELFPNEQPSLDGLSSFAGQSPSNILSKAASFPLRNALMLRSLYSKKRVKPSKKVKPTRHTVHSRTKHFRYKKRAHVIPKSTKTNTVRAKASELISIAHTLDKWRDRVQPLAKAPSSTSPAGKSFPKLVSVAAKHEQTFSPKTYVANGALKEPGQQTHPQEVERPAPSGLRKEEAANEVSAQAGQERRISQRGVEKFHRKQISRPGMLVRKVRQRNQGVTQIKALSNGTTPLKIFPLLLSKVDLNVASFYPLIYSLTSIFDSEDNTDLQESGFGSLNIGSSDSPEEPEVILETSTYNKRAQLVKMFAGEPNGVSAEEPCHTTNTLCASNCHLKVTKCVAEISNKFQCLCCAMHSPLILKELEVTMMLINKLTTLPVLTTGMDLYKLAPLKFLYPDEVTTLPNHNLILKHLFQMGHWAQFTGFSLETIVNCSLNIFKLFPLPEFTHREISQIKNCSLDLYQLSLLGEFSDKHLETLNNERLILKETWSYAKWVNGDIYSIYNGQFNLITFTGNHTNPEPVPVQRLRQEPNLDICSLMEDGCTCLECINTSTSAKHEEPATLAKNQTYSESQVLSDQAPELETPPGTVETLSRHLTQVRDPRPATTKELATSHRTETTPEPIIALGLDPPQTSTEETTPSPKVETTPKTTITLELDLPQVTAQTLHSHQAQGKDSPPLTVEPHGPPPATTMLATSPEVETMSKPTITFGLDLPQVTARTLHSHQTQVQDPHPLTVEPHKPSVITRKPPTSHGVETTPEPTITLGLDLLQVTVSPSVPHQAQDQGPTPNTVGQYVRLQPVVKKPPQTPTEKMTPSLEVETKSEPTITFGLDLPQVTAQTLHSHEPPQAVVVNPFEPTQAPAEQHTLSSEGEEATQPHEAAAEQQTPSPNENNIPEPSQFSTEQQVALPGAEESSEPLLPPGGHQIAPPEVDNGSGSLRTTAEQHVLSTGVEASPEAAITLQVDPPKVSVSPSALHHTQHHGPPSVTVEHHEPPQGPTEQQTPSSEVERPSESPQVPQQQESISLEHEMPPVPPEIPAEQQTASPEVEEPFQIPTEQQAQSSETENTPESTITVEVDAPKVTINPLHYYAQDEGPPQVSVEHNPLTLSEMSSEPPLAPAEQQMSSPEEELLQPLQAPAEQQLSSSQIDELTEPNQTEPELHFQSPGVQESPGPPEDSKVRLEPPLSGPYPTDTSSYENQLTQKQSQPTSLQRTIGIIEALSWRQAPDKKLKKPSVPLREQPSLKDKLDQQSALFQEPELPQEGASDWTDLFPVLNETSEQPPAPMESSASPGLHDFDWPDAPLTPAHPSERPFHSSHSSWSDPPGLPNSTLQLPDKVWELPQAEKVLLWLLQWMLLPWPGWFRLRSMVCVLAPCQASSM
+>sp|O00515|LAD1_HUMAN Ladinin-1 OS=Homo sapiens OX=9606 GN=LAD1 PE=1 SV=2
+MAVSRKDWSALSSLARQRTLEDEEEQERERRRRHRNLSSTTDDEAPRLSQNGDRQASASERLPSVEEAEVPKPLPPASKDEDEDIQSILRTRQERRQRRQVVEAAQAPIQERLEAEEGRNSLSPVQATQKPLVSKKELEIPPRRRLSREQRGPWALEEESLVGREPEERKKGVPEKSPVLEKSSMPKKTAPEKSLVSDKTSISEKVLASEKTSLSEKIAVSEKRNSSEKKSVLEKTSVSEKSLAPGMALGSGRRLVSEKASIFEKALASEKSPTADAKPAPKRATASEQPLAQEPPASGGSPATTKEQRGRALPGKNLPSLAKQGASDPPTVASRLPPVTLQVKIPSKEEEADMSSPTQRTYSSSLKRSSPRTISFRMKPKKENSETTLTRSASMKLPDNTVKLGEKLERYHTAIRRSESVKSRGLPCTELFVAPVGVASKRHLFEKELAGQSRAEPASSRKENLRLSGVVTSRLNLWISRTQESGDQDPQEAQKASSATERTQWGQKSDSSLDAEV
+>DECOY_sp|O00515|LAD1_HUMAN Ladinin-1 OS=Homo sapiens OX=9606 GN=LAD1 PE=1 SV=2
+VEADLSSDSKQGWQTRETASSAKQAEQPDQDGSEQTRSIWLNLRSTVVGSLRLNEKRSSAPEARSQGALEKEFLHRKSAVGVPAVFLETCPLGRSKVSESRRIATHYRELKEGLKVTNDPLKMSASRTLTTESNEKKPKMRFSITRPSSRKLSSSYTRQTPSSMDAEEEKSPIKVQLTVPPLRSAVTPPDSAGQKALSPLNKGPLARGRQEKTTAPSGGSAPPEQALPQESATARKPAPKADATPSKESALAKEFISAKESVLRRGSGLAMGPALSKESVSTKELVSKKESSNRKESVAIKESLSTKESALVKESISTKDSVLSKEPATKKPMSSKELVPSKEPVGKKREEPERGVLSEEELAWPGRQERSLRRRPPIELEKKSVLPKQTAQVPSLSNRGEEAELREQIPAQAAEVVQRRQRREQRTRLISQIDEDEDKSAPPLPKPVEAEEVSPLRESASAQRDGNQSLRPAEDDTTSSLNRHRRRREREQEEEDELTRQRALSSLASWDKRSVAM
+>sp|O43813|LANC1_HUMAN LanC-like protein 1 OS=Homo sapiens OX=9606 GN=LANCL1 PE=1 SV=1
+MAQRAFPNPYADYNKSLAEGYFDAAGRLTPEFSQRLTNKIRELLQQMERGLKSADPRDGTGYTGWAGIAVLYLHLYDVFGDPAYLQLAHGYVKQSLNCLTKRSITFLCGDAGPLAVAAVLYHKMNNEKQAEDCITRLIHLNKIDPHAPNEMLYGRIGYIYALLFVNKNFGVEKIPQSHIQQICETILTSGENLARKRNFTAKSPLMYEWYQEYYVGAAHGLAGIYYYLMQPSLQVSQGKLHSLVKPSVDYVCQLKFPSGNYPPCIGDNRDLLVHWCHGAPGVIYMLIQAYKVFREEKYLCDAYQCADVIWQYGLLKKGYGLCHGSAGNAYAFLTLYNLTQDMKYLYRACKFAEWCLEYGEHGCRTPDTPFSLFEGMAGTIYFLADLLVPTKARFPAFEL
+>DECOY_sp|O43813|LANC1_HUMAN LanC-like protein 1 OS=Homo sapiens OX=9606 GN=LANCL1 PE=1 SV=1
+LEFAPFRAKTPVLLDALFYITGAMGEFLSFPTDPTRCGHEGYELCWEAFKCARYLYKMDQTLNYLTLFAYANGASGHCLGYGKKLLGYQWIVDACQYADCLYKEERFVKYAQILMYIVGPAGHCWHVLLDRNDGICPPYNGSPFKLQCVYDVSPKVLSHLKGQSVQLSPQMLYYYIGALGHAAGVYYEQYWEYMLPSKATFNRKRALNEGSTLITECIQQIHSQPIKEVGFNKNVFLLAYIYGIRGYLMENPAHPDIKNLHILRTICDEAQKENNMKHYLVAAVALPGADGCLFTISRKTLCNLSQKVYGHALQLYAPDGFVDYLHLYLVAIGAWGTYGTGDRPDASKLGREMQQLLERIKNTLRQSFEPTLRGAADFYGEALSKNYDAYPNPFARQAM
+>sp|Q9NS86|LANC2_HUMAN LanC-like protein 2 OS=Homo sapiens OX=9606 GN=LANCL2 PE=1 SV=1
+MGETMSKRLKLHLGGEAEMEERAFVNPFPDYEAAAGALLASGAAEETGCVRPPATTDEPGLPFHQDGKIIHNFIRRIQTKIKDLLQQMEEGLKTADPHDCSAYTGWTGIALLYLQLYRVTCDQTYLLRSLDYVKRTLRNLNGRRVTFLCGDAGPLAVGAVIYHKLRSDCESQECVTKLLQLQRSVVCQESDLPDELLYGRAGYLYALLYLNTEIGPGTVCESAIKEVVNAIIESGKTLSREERKTERCPLLYQWHRKQYVGAAHGMAGIYYMLMQPAAKVDQETLTEMVKPSIDYVRHKKFRSGNYPSSLSNETDRLVHWCHGAPGVIHMLMQAYKVFKEEKYLKEAMECSDVIWQRGLLRKGYGICHGTAGNGYSFLSLYRLTQDKKYLYRACKFAEWCLDYGAHGCRIPDRPYSLFEGMAGAIHFLSDVLGPETSRFPAFELDSSKRD
+>DECOY_sp|Q9NS86|LANC2_HUMAN LanC-like protein 2 OS=Homo sapiens OX=9606 GN=LANCL2 PE=1 SV=1
+DRKSSDLEFAPFRSTEPGLVDSLFHIAGAMGEFLSYPRDPIRCGHAGYDLCWEAFKCARYLYKKDQTLRYLSLFSYGNGATGHCIGYGKRLLGRQWIVDSCEMAEKLYKEEKFVKYAQMLMHIVGPAGHCWHVLRDTENSLSSPYNGSRFKKHRVYDISPKVMETLTEQDVKAAPQMLMYYIGAMGHAAGVYQKRHWQYLLPCRETKREERSLTKGSEIIANVVEKIASECVTGPGIETNLYLLAYLYGARGYLLEDPLDSEQCVVSRQLQLLKTVCEQSECDSRLKHYIVAGVALPGADGCLFTVRRGNLNRLTRKVYDLSRLLYTQDCTVRYLQLYLLAIGTWGTYASCDHPDATKLGEEMQQLLDKIKTQIRRIFNHIIKGDQHFPLGPEDTTAPPRVCGTEEAAGSALLAGAAAEYDPFPNVFAREEMEAEGGLHLKLRKSMTEGM
+>sp|P42166|LAP2A_HUMAN Lamina-associated polypeptide 2, isoform alpha OS=Homo sapiens OX=9606 GN=TMPO PE=1 SV=2
+MPEFLEDPSVLTKDKLKSELVANNVTLPAGEQRKDVYVQLYLQHLTARNRPPLPAGTNSKGPPDFSSDEEREPTPVLGSGAAAAGRSRAAVGRKATKKTDKPRQEDKDDLDVTELTNEDLLDQLVKYGVNPGPIVGTTRKLYEKKLLKLREQGTESRSSTPLPTISSSAENTRQNGSNDSDRYSDNEEGKKKEHKKVKSTRDIVPFSELGTTPSGGGFFQGISFPEISTRPPLGSTELQAAKKVHTSKGDLPREPLVATNLPGRGQLQKLASERNLFISCKSSHDRCLEKSSSSSSQPEHSAMLVSTAASPSLIKETTTGYYKDIVENICGREKSGIQPLCPERSHISDQSPLSSKRKALEESESSQLISPPLAQAIRDYVNSLLVQGGVGSLPGTSNSMPPLDVENIQKRIDQSKFQETEFLSPPRKVPRLSEKSVEERDSGSFVAFQNIPGSELMSSFAKTVVSHSLTTLGLEVAKQSQHDKIDASELSFPFHESILKVIEEEWQQVDRQLPSLACKYPVSSREATQILSVPKVDDEILGFISEATPLGGIQAASTESCNQQLDLALCRAYEAAASALQIATHTAFVAKAMQADISQAAQILSSDPSRTHQALGILSKTYDAASYICEAAFDEVKMAAHTMGNATVGRRYLWLKDCKINLASKNKLASTPFKGGTLFGGEVCKVIKKRGNKH
+>DECOY_sp|P42166|LAP2A_HUMAN Lamina-associated polypeptide 2, isoform alpha OS=Homo sapiens OX=9606 GN=TMPO PE=1 SV=2
+HKNGRKKIVKCVEGGFLTGGKFPTSALKNKSALNIKCDKLWLYRRGVTANGMTHAAMKVEDFAAECIYSAADYTKSLIGLAQHTRSPDSSLIQAAQSIDAQMAKAVFATHTAIQLASAAAEYARCLALDLQQNCSETSAAQIGGLPTAESIFGLIEDDVKPVSLIQTAERSSVPYKCALSPLQRDVQQWEEEIVKLISEHFPFSLESADIKDHQSQKAVELGLTTLSHSVVTKAFSSMLESGPINQFAVFSGSDREEVSKESLRPVKRPPSLFETEQFKSQDIRKQINEVDLPPMSNSTGPLSGVGGQVLLSNVYDRIAQALPPSILQSSESEELAKRKSSLPSQDSIHSREPCLPQIGSKERGCINEVIDKYYGTTTEKILSPSAATSVLMASHEPQSSSSSSKELCRDHSSKCSIFLNRESALKQLQGRGPLNTAVLPERPLDGKSTHVKKAAQLETSGLPPRTSIEPFSIGQFFGGGSPTTGLESFPVIDRTSKVKKHEKKKGEENDSYRDSDNSGNQRTNEASSSITPLPTSSRSETGQERLKLLKKEYLKRTTGVIPGPNVGYKVLQDLLDENTLETVDLDDKDEQRPKDTKKTAKRGVAARSRGAAAAGSGLVPTPEREEDSSFDPPGKSNTGAPLPPRNRATLHQLYLQVYVDKRQEGAPLTVNNAVLESKLKDKTLVSPDELFEPM
+>sp|Q8IYD9|LAS2_HUMAN Lung adenoma susceptibility protein 2 OS=Homo sapiens OX=9606 GN=LAS2 PE=1 SV=1
+MAKSKTKHRLCSQESSVSALLASCTLSGSNSSNSDGSFHYKDKLYRSASQALQAYIDDFDLGQIYPGASTGKINIDEDFTNMSQFCNYIYKPNNAFENLDHKKHSNFISCRRHTVNDIDSMSLTTDDLLRLPADGSFSYTYVGPSHRTSKKNKKCRGRLGSLDIEKNPHFQGPYTSMGKDNFVTPVIRSNINGKQCGDKIELLILKAKRNLEQCTEELPKSMKKDDSPCSLDKLEADRSWENIPVTFKSPVPVNSDDSPQQTSRAKSAKGVLEDFLNNDNQSCTLSGGKHHGPVEALKQMLFNLQAVQERFNQNKTTDPKEEIKQVSEDDFSKLQLKESMIPITRSLQKALHHLSRLRDLVDDTNGERSPKM
+>DECOY_sp|Q8IYD9|LAS2_HUMAN Lung adenoma susceptibility protein 2 OS=Homo sapiens OX=9606 GN=LAS2 PE=1 SV=1
+MKPSREGNTDDVLDRLRSLHHLAKQLSRTIPIMSEKLQLKSFDDESVQKIEEKPDTTKNQNFREQVAQLNFLMQKLAEVPGHHKGGSLTCSQNDNNLFDELVGKASKARSTQQPSDDSNVPVPSKFTVPINEWSRDAELKDLSCPSDDKKMSKPLEETCQELNRKAKLILLEIKDGCQKGNINSRIVPTVFNDKGMSTYPGQFHPNKEIDLSGLRGRCKKNKKSTRHSPGVYTYSFSGDAPLRLLDDTTLSMSDIDNVTHRRCSIFNSHKKHDLNEFANNPKYIYNCFQSMNTFDEDINIKGTSAGPYIQGLDFDDIYAQLAQSASRYLKDKYHFSGDSNSSNSGSLTCSALLASVSSEQSCLRHKTKSKAM
+>sp|Q9NRM7|LATS2_HUMAN Serine/threonine-protein kinase LATS2 OS=Homo sapiens OX=9606 GN=LATS2 PE=1 SV=2
+MRPKTFPATTYSGNSRQRLQEIREGLKQPSKSSVQGLPAGPNSDTSLDAKVLGSKDATRQQQQMRATPKFGPYQKALREIRYSLLPFANESGTSAAAEVNRQMLQELVNAGCDQEMAGRALKQTGSRSIEAALEYISKMGYLDPRNEQIVRVIKQTSPGKGLMPTPVTRRPSFEGTGDSFASYHQLSGTPYEGPSFGADGPTALEEMPRPYVDYLFPGVGPHGPGHQHQHPPKGYGASVEAAGAHFPLQGAHYGRPHLLVPGEPLGYGVQRSPSFQSKTPPETGGYASLPTKGQGGPPGAGLAFPPPAAGLYVPHPHHKQAGPAAHQLHVLGSRSQVFASDSPPQSLLTPSRNSLNVDLYELGSTSVQQWPAATLARRDSLQKPGLEAPPRAHVAFRPDCPVPSRTNSFNSHQPRPGPPGKAEPSLPAPNTVTAVTAAHILHPVKSVRVLRPEPQTAVGPSHPAWVPAPAPAPAPAPAPAAEGLDAKEEHALALGGAGAFPLDVEYGGPDRRCPPPPYPKHLLLRSKSEQYDLDSLCAGMEQSLRAGPNEPEGGDKSRKSAKGDKGGKDKKQIQTSPVPVRKNSRDEEKRESRIKSYSPYAFKFFMEQHVENVIKTYQQKVNRRLQLEQEMAKAGLCEAEQEQMRKILYQKESNYNRLKRAKMDKSMFVKIKTLGIGAFGEVCLACKVDTHALYAMKTLRKKDVLNRNQVAHVKAERDILAEADNEWVVKLYYSFQDKDSLYFVMDYIPGGDMMSLLIRMEVFPEHLARFYIAELTLAIESVHKMGFIHRDIKPDNILIDLDGHIKLTDFGLCTGFRWTHNSKYYQKGSHVRQDSMEPSDLWDDVSNCRCGDRLKTLEQRARKQHQRCLAHSLVGTPNYIAPEVLLRKGYTQLCDWWSVGVILFEMLVGQPPFLAPTPTETQLKVINWENTLHIPAQVKLSPEARDLITKLCCSADHRLGRNGADDLKAHPFFSAIDFSSDIRKQPAPYVPTISHPMDTSNFDPVDEESPWNDASEGSTKAWDTLTSPNNKHPEHAFYEFTFRRFFDDNGYPFRCPKPSGAEASQAESSDLESSDLVDQTEGCQPVYV
+>DECOY_sp|Q9NRM7|LATS2_HUMAN Serine/threonine-protein kinase LATS2 OS=Homo sapiens OX=9606 GN=LATS2 PE=1 SV=2
+VYVPQCGETQDVLDSSELDSSEAQSAEAGSPKPCRFPYGNDDFFRRFTFEYFAHEPHKNNPSTLTDWAKTSGESADNWPSEEDVPDFNSTDMPHSITPVYPAPQKRIDSSFDIASFFPHAKLDDAGNRGLRHDASCCLKTILDRAEPSLKVQAPIHLTNEWNIVKLQTETPTPALFPPQGVLMEFLIVGVSWWDCLQTYGKRLLVEPAIYNPTGVLSHALCRQHQKRARQELTKLRDGCRCNSVDDWLDSPEMSDQRVHSGKQYYKSNHTWRFGTCLGFDTLKIHGDLDILINDPKIDRHIFGMKHVSEIALTLEAIYFRALHEPFVEMRILLSMMDGGPIYDMVFYLSDKDQFSYYLKVVWENDAEALIDREAKVHAVQNRNLVDKKRLTKMAYLAHTDVKCALCVEGFAGIGLTKIKVFMSKDMKARKLRNYNSEKQYLIKRMQEQEAECLGAKAMEQELQLRRNVKQQYTKIVNEVHQEMFFKFAYPSYSKIRSERKEEDRSNKRVPVPSTQIQKKDKGGKDGKASKRSKDGGEPENPGARLSQEMGACLSDLDYQESKSRLLLHKPYPPPPCRRDPGGYEVDLPFAGAGGLALAHEEKADLGEAAPAPAPAPAPAPAPVWAPHSPGVATQPEPRLVRVSKVPHLIHAATVATVTNPAPLSPEAKGPPGPRPQHSNFSNTRSPVPCDPRFAVHARPPAELGPKQLSDRRALTAAPWQQVSTSGLEYLDVNLSNRSPTLLSQPPSDSAFVQSRSGLVHLQHAAPGAQKHHPHPVYLGAAPPPFALGAGPPGGQGKTPLSAYGGTEPPTKSQFSPSRQVGYGLPEGPVLLHPRGYHAGQLPFHAGAAEVSAGYGKPPHQHQHGPGHPGVGPFLYDVYPRPMEELATPGDAGFSPGEYPTGSLQHYSAFSDGTGEFSPRRTVPTPMLGKGPSTQKIVRVIQENRPDLYGMKSIYELAAEISRSGTQKLARGAMEQDCGANVLEQLMQRNVEAAASTGSENAFPLLSYRIERLAKQYPGFKPTARMQQQQRTADKSGLVKADLSTDSNPGAPLGQVSSKSPQKLGERIEQLRQRSNGSYTTAPFTKPRM
+>sp|Q6UX15|LAYN_HUMAN Layilin OS=Homo sapiens OX=9606 GN=LAYN PE=2 SV=1
+MRPGTALQAVLLAVLLVGLRAATGRLLSASDLDLRGGQPVCRGGTQRPCYKVIYFHDTSRRLNFEEAKEACRRDGGQLVSIESEDEQKLIEKFIENLLPSDGDFWIGLRRREEKQSNSTACQDLYAWTDGSISQFRNWYVDEPSCGSEVCVVMYHQPSAPAGIGGPYMFQWNDDRCNMKNNFICKYSDEKPAVPSREAEGEETELTTPVLPEETQEEDAKKTFKESREAALNLAYILIPSIPLLLLLVVTTVVCWVWICRKRKREQPDPSTKKQHTIWPSPHQGNSPDLEVYNVIRKQSEADLAETRPDLKNISFRVCSGEATPDDMSCDYDNMAVNPSESGFVTLVSVESGFVTNDIYEFSPDQMGRSKESGWVENEIYGY
+>DECOY_sp|Q6UX15|LAYN_HUMAN Layilin OS=Homo sapiens OX=9606 GN=LAYN PE=2 SV=1
+YGYIENEVWGSEKSRGMQDPSFEYIDNTVFGSEVSVLTVFGSESPNVAMNDYDCSMDDPTAEGSCVRFSINKLDPRTEALDAESQKRIVNYVELDPSNGQHPSPWITHQKKTSPDPQERKRKRCIWVWCVVTTVVLLLLLPISPILIYALNLAAERSEKFTKKADEEQTEEPLVPTTLETEEGEAERSPVAPKEDSYKCIFNNKMNCRDDNWQFMYPGGIGAPASPQHYMVVCVESGCSPEDVYWNRFQSISGDTWAYLDQCATSNSQKEERRRLGIWFDGDSPLLNEIFKEILKQEDESEISVLQGGDRRCAEKAEEFNLRRSTDHFYIVKYCPRQTGGRCVPQGGRLDLDSASLLRGTAARLGVLLVALLVAQLATGPRM
+>sp|Q9Y383|LC7L2_HUMAN Putative RNA-binding protein Luc7-like 2 OS=Homo sapiens OX=9606 GN=LUC7L2 PE=1 SV=2
+MSAQAQMRAMLDQLMGTSRDGDTTRQRIKFSDDRVCKSHLLNCCPHDVLSGTRMDLGECLKVHDLALRADYEIASKEQDFFFELDAMDHLQSFIADCDRRTEVAKKRLAETQEEISAEVAAKAERVHELNEEIGKLLAKVEQLGAEGNVEESQKVMDEVEKARAKKREAEEVYRNSMPASSFQQQKLRVCEVCSAYLGLHDNDRRLADHFGGKLHLGFIEIREKLEELKRVVAEKQEKRNQERLKRREEREREEREKLRRSRSHSKNPKRSRSREHRRHRSRSMSRERKRRTRSKSREKRHRHRSRSSSRSRSRSHQRSRHSSRDRSRERSKRRSSKERFRDQDLASCDRDRSSRDRSPRDRDRKDKKRSYESANGRSEDRRSSEEREAGEI
+>DECOY_sp|Q9Y383|LC7L2_HUMAN Putative RNA-binding protein Luc7-like 2 OS=Homo sapiens OX=9606 GN=LUC7L2 PE=1 SV=2
+IEGAEREESSRRDESRGNASEYSRKKDKRDRDRPSRDRSSRDRDCSALDQDRFREKSSRRKSRERSRDRSSHRSRQHSRSRSRSSSRSRHRHRKERSKSRTRRKRERSMSRSRHRRHERSRSRKPNKSHSRSRRLKEREEREREERRKLREQNRKEQKEAVVRKLEELKERIEIFGLHLKGGFHDALRRDNDHLGLYASCVECVRLKQQQFSSAPMSNRYVEEAERKKARAKEVEDMVKQSEEVNGEAGLQEVKALLKGIEENLEHVREAKAAVEASIEEQTEALRKKAVETRRDCDAIFSQLHDMADLEFFFDQEKSAIEYDARLALDHVKLCEGLDMRTGSLVDHPCCNLLHSKCVRDDSFKIRQRTTDGDRSTGMLQDLMARMQAQASM
+>sp|Q5T7P2|LCE1A_HUMAN Late cornified envelope protein 1A OS=Homo sapiens OX=9606 GN=LCE1A PE=1 SV=1
+MSCQQSQQQCQPPPKCTPKCPPKCPTPKCPPKCPPKCPPVSSCCSVSSGGCCGSSSGGGCSSGGGGCCLSHHRRHRSHRHRLQSSGCCSQPSGGSSCCGGDSGQHSGGCC
+>DECOY_sp|Q5T7P2|LCE1A_HUMAN Late cornified envelope protein 1A OS=Homo sapiens OX=9606 GN=LCE1A PE=1 SV=1
+CCGGSHQGSDGGCCSSGGSPQSCCGSSQLRHRHSRHRRHHSLCCGGGGSSCGGGSSSGCCGGSSVSCCSSVPPCKPPCKPPCKPTPCKPPCKPTCKPPPQCQQQSQQCSM
+>sp|Q5T752|LCE1D_HUMAN Late cornified envelope protein 1D OS=Homo sapiens OX=9606 GN=LCE1D PE=1 SV=1
+MSCQQSQQQCQPPPKCTPKCTPKCPAPKCPPKCPPVSSCCSVSSGGCCGSSSGGGCGSNSGGCCSSGGGGCCLSHHRRHRSHRRRPQSSDCCSQPSGGSSCCGGGSSQHSGGCC
+>DECOY_sp|Q5T752|LCE1D_HUMAN Late cornified envelope protein 1D OS=Homo sapiens OX=9606 GN=LCE1D PE=1 SV=1
+CCGGSHQSSGGGCCSSGGSPQSCCDSSQPRRRHSRHRRHHSLCCGGGGSSCCGGSNSGCGGGSSSGCCGGSSVSCCSSVPPCKPPCKPAPCKPTCKPTCKPPPQCQQQSQQCSM
+>sp|Q5TA81|LCE2C_HUMAN Late cornified envelope protein 2C OS=Homo sapiens OX=9606 GN=LCE2C PE=1 SV=1
+MSCQQNQQQCQPPPKCPPKCTPKCPPKCPPKCPPQCPAPCFPAVSSCCGPSSGSCCGPSSGGCCSSGAGGCSLSHHRPRLFHRRRHQSPDCCESEPSGGSGCCHSSGGCC
+>DECOY_sp|Q5TA81|LCE2C_HUMAN Late cornified envelope protein 2C OS=Homo sapiens OX=9606 GN=LCE2C PE=1 SV=1
+CCGGSSHCCGSGGSPESECCDPSQHRRRHFLRPRHHSLSCGGAGSSCCGGSSPGCCSGSSPGCCSSVAPFCPAPCQPPCKPPCKPPCKPTCKPPCKPPPQCQQQNQQCSM
+>sp|Q9BYE3|LCE3D_HUMAN Late cornified envelope protein 3D OS=Homo sapiens OX=9606 GN=LCE3D PE=1 SV=1
+MSCQQNQQQCQPPPKCPSPKCPPKSPVQCLPPASSGCAPSSGGCGPSSEGGCFLNHHRRHHRCRRQRPNSCDRGSGQQGGGSGCGHGSGGCC
+>DECOY_sp|Q9BYE3|LCE3D_HUMAN Late cornified envelope protein 3D OS=Homo sapiens OX=9606 GN=LCE3D PE=1 SV=1
+CCGGSGHGCGSGGGQQGSGRDCSNPRQRRCRHHRRHHNLFCGGESSPGCGGSSPACGSSAPPLCQVPSKPPCKPSPCKPPPQCQQQNQQCSM
+>sp|A0A183|LCE6A_HUMAN Late cornified envelope protein 6A OS=Homo sapiens OX=9606 GN=LCE6A PE=3 SV=1
+MSQQKQQSWKPPNVPKCSPPQRSNPCLAPYSTPCGAPHSEGCHSSSQRPEVQKPRRARQKLRCLSRGTTYHCKEEECEGD
+>DECOY_sp|A0A183|LCE6A_HUMAN Late cornified envelope protein 6A OS=Homo sapiens OX=9606 GN=LCE6A PE=3 SV=1
+DGECEEEKCHYTTGRSLCRLKQRARRPKQVEPRQSSSHCGESHPAGCPTSYPALCPNSRQPPSCKPVNPPKWSQQKQQSM
+>sp|Q9Y5L5|LENEP_HUMAN Lens epithelial cell protein LEP503 OS=Homo sapiens OX=9606 GN=LENEP PE=2 SV=1
+MQPRTQPLAQTLPFFLGGAPRDTGLRVPVIKMGTGWEGFQRTLKEVAYILLCCWCIKELLD
+>DECOY_sp|Q9Y5L5|LENEP_HUMAN Lens epithelial cell protein LEP503 OS=Homo sapiens OX=9606 GN=LENEP PE=2 SV=1
+DLLEKICWCCLLIYAVEKLTRQFGEWGTGMKIVPVRLGTDRPAGGLFFPLTQALPQTRPQM
+>sp|O95970|LGI1_HUMAN Leucine-rich glioma-inactivated protein 1 OS=Homo sapiens OX=9606 GN=LGI1 PE=1 SV=1
+MESERSKRMGNACIPLKRIAYFLCLLSALLLTEGKKPAKPKCPAVCTCTKDNALCENARSIPRTVPPDVISLSFVRSGFTEISEGSFLFTPSLQLLLFTSNSFDVISDDAFIGLPHLEYLFIENNNIKSISRHTFRGLKSLIHLSLANNNLQTLPKDIFKGLDSLTNVDLRGNSFNCDCKLKWLVEWLGHTNATVEDIYCEGPPEYKKRKINSLSSKDFDCIITEFAKSQDLPYQSLSIDTFSYLNDEYVVIAQPFTGKCIFLEWDHVEKTFRNYDNITGTSTVVCKPIVIETQLYVIVAQLFGGSHIYKRDSFANKFIKIQDIEILKIRKPNDIETFKIENNWYFVVADSSKAGFTTIYKWNGNGFYSHQSLHAWYRDTDVEYLEIVRTPQTLRTPHLILSSSSQRPVIYQWNKATQLFTNQTDIPNMEDVYAVKHFSVKGDVYICLTRFIGDSKVMKWGGSSFQDIQRMPSRGSMVFQPLQINNYQYAILGSDYSFTQVYNWDAEKAKFVKFQELNVQAPRSFTHVSINKRNFLFASSFKGNTQIYKHVIVDLSA
+>DECOY_sp|O95970|LGI1_HUMAN Leucine-rich glioma-inactivated protein 1 OS=Homo sapiens OX=9606 GN=LGI1 PE=1 SV=1
+ASLDVIVHKYIQTNGKFSSAFLFNRKNISVHTFSRPAQVNLEQFKVFKAKEADWNYVQTFSYDSGLIAYQYNNIQLPQFVMSGRSPMRQIDQFSSGGWKMVKSDGIFRTLCIYVDGKVSFHKVAYVDEMNPIDTQNTFLQTAKNWQYIVPRQSSSSLILHPTRLTQPTRVIELYEVDTDRYWAHLSQHSYFGNGNWKYITTFGAKSSDAVVFYWNNEIKFTEIDNPKRIKLIEIDQIKIFKNAFSDRKYIHSGGFLQAVIVYLQTEIVIPKCVVTSTGTINDYNRFTKEVHDWELFICKGTFPQAIVVYEDNLYSFTDISLSQYPLDQSKAFETIICDFDKSSLSNIKRKKYEPPGECYIDEVTANTHGLWEVLWKLKCDCNFSNGRLDVNTLSDLGKFIDKPLTQLNNNALSLHILSKLGRFTHRSISKINNNEIFLYELHPLGIFADDSIVDFSNSTFLLLQLSPTFLFSGESIETFGSRVFSLSIVDPPVTRPISRANECLANDKTCTCVAPCKPKAPKKGETLLLASLLCLFYAIRKLPICANGMRKSRESEM
+>sp|Q8N145|LGI3_HUMAN Leucine-rich repeat LGI family member 3 OS=Homo sapiens OX=9606 GN=LGI3 PE=2 SV=1
+MAGLRARGGPGPGLLALSALGFCLMLQVSAKRPPKTPPCPPSCSCTRDTAFCVDSKAVPRNLPSEVISLTLVNAAFSEIQDGAFSHLPLLQFLLLNSNKFTLIGDNAFTGLSHLQYLFIENNDIWALSKFTFRGLKSLTHLSLANNNLQTLPRDIFRPLDILNDLDLRGNSLNCDCKVKWLVEWLAHTNTTVAPIYCASPPRFQEHKVQDLPLREFDCITTDFVLYQTLAFPAVSAEPFLYSSDLYLALAQPGVSACTILKWDYVERQLRDYDRIPAPSAVHCKPMVVDSQLYVVVAQLFGGSYIYHWDPNTTRFTRLQDIDPQRVRKPNDLEAFRIDGDWYFAVADSSKAGATSLYRWHQNGFYSHQALHPWHRDTDLEFVDGEGKPRLIVSSSSQAPVIYQWSRTQKQFVAQGEVTQVPDAQAVKHFRAGRDSYLCLSRYIGDSKILRWEGTRFSEVQALPSRGSLALQPFLVGGRRYLALGSDFSFTQIYQWDEGRQKFVRFQELAVQAPRAFCYMPAGDAQLLLAPSFKGQTLVYRHIVVDLSA
+>DECOY_sp|Q8N145|LGI3_HUMAN Leucine-rich repeat LGI family member 3 OS=Homo sapiens OX=9606 GN=LGI3 PE=2 SV=1
+ASLDVVIHRYVLTQGKFSPALLLQADGAPMYCFARPAQVALEQFRVFKQRGEDWQYIQTFSFDSGLALYRRGGVLFPQLALSGRSPLAQVESFRTGEWRLIKSDGIYRSLCLYSDRGARFHKVAQADPVQTVEGQAVFQKQTRSWQYIVPAQSSSSVILRPKGEGDVFELDTDRHWPHLAQHSYFGNQHWRYLSTAGAKSSDAVAFYWDGDIRFAELDNPKRVRQPDIDQLRTFRTTNPDWHYIYSGGFLQAVVVYLQSDVVMPKCHVASPAPIRDYDRLQREVYDWKLITCASVGPQALALYLDSSYLFPEASVAPFALTQYLVFDTTICDFERLPLDQVKHEQFRPPSACYIPAVTTNTHALWEVLWKVKCDCNLSNGRLDLDNLIDLPRFIDRPLTQLNNNALSLHTLSKLGRFTFKSLAWIDNNEIFLYQLHSLGTFANDGILTFKNSNLLLFQLLPLHSFAGDQIESFAANVLTLSIVESPLNRPVAKSDVCFATDRTCSCSPPCPPTKPPRKASVQLMLCFGLASLALLGPGPGGRARLGAM
+>sp|Q8N135|LGI4_HUMAN Leucine-rich repeat LGI family member 4 OS=Homo sapiens OX=9606 GN=LGI4 PE=1 SV=1
+MGGAGILLLLLAGAGVVVAWRPPKGKCPLRCSCSKDSALCEGSPDLPVSFSPTLLSLSLVRTGVTQLKAGSFLRIPSLHLLLFTSNSFSVIEDDAFAGLSHLQYLFIEDNEIGSISKNALRGLRSLTHLSLANNHLETLPRFLFRGLDTLTHVDLRGNPFQCDCRVLWLLQWMPTVNASVGTGACAGPASLSHMQLHHLDPKTFKCRAIELSWFQTVGESALSVEPFSYQGEPHIVLAQPFAGRCLILSWDYSLQRFRPEEELPAASVVSCKPLVLGPSLFVLAARLWGGSQLWARPSPGLRLAPTQTLAPRRLLRPNDAELLWLEGQPCFVVADASKAGSTTLLCRDGPGFYPHQSLHAWHRDTDAEALELDGRPHLLLASASQRPVLFHWTGGRFERRTDIPEAEDVYATRHFQAGGDVFLCLTRYIGDSMVMRWDGSMFRLLQQLPSRGAHVFQPLLIARDQLAILGSDFAFSQVLRLEPDKGLLEPLQELGPPALVAPRAFAHITMAGRRFLFAACFKGPTQIYQHHEIDLSA
+>DECOY_sp|Q8N135|LGI4_HUMAN Leucine-rich repeat LGI family member 4 OS=Homo sapiens OX=9606 GN=LGI4 PE=1 SV=1
+ASLDIEHHQYIQTPGKFCAAFLFRRGAMTIHAFARPAVLAPPGLEQLPELLGKDPELRLVQSFAFDSGLIALQDRAILLPQFVHAGRSPLQQLLRFMSGDWRMVMSDGIYRTLCLFVDGGAQFHRTAYVDEAEPIDTRREFRGGTWHFLVPRQSASALLLHPRGDLELAEADTDRHWAHLSQHPYFGPGDRCLLTTSGAKSADAVVFCPQGELWLLEADNPRLLRRPALTQTPALRLGPSPRAWLQSGGWLRAALVFLSPGLVLPKCSVVSAAPLEEEPRFRQLSYDWSLILCRGAFPQALVIHPEGQYSFPEVSLASEGVTQFWSLEIARCKFTKPDLHHLQMHSLSAPGACAGTGVSANVTPMWQLLWLVRCDCQFPNGRLDVHTLTDLGRFLFRPLTELHNNALSLHTLSRLGRLANKSISGIENDEIFLYQLHSLGAFADDEIVSFSNSTFLLLHLSPIRLFSGAKLQTVGTRVLSLSLLTPSFSVPLDPSGECLASDKSCSCRLPCKGKPPRWAVVVGAGALLLLLIGAGGM
+>sp|Q9BXB1|LGR4_HUMAN Leucine-rich repeat-containing G-protein coupled receptor 4 OS=Homo sapiens OX=9606 GN=LGR4 PE=1 SV=2
+MPGPLGLLCFLALGLLGSAGPSGAAPPLCAAPCSCDGDRRVDCSGKGLTAVPEGLSAFTQALDISMNNITQLPEDAFKNFPFLEELQLAGNDLSFIHPKALSGLKELKVLTLQNNQLKTVPSEAIRGLSALQSLRLDANHITSVPEDSFEGLVQLRHLWLDDNSLTEVPVHPLSNLPTLQALTLALNKISSIPDFAFTNLSSLVVLHLHNNKIRSLSQHCFDGLDNLETLDLNYNNLGEFPQAIKALPSLKELGFHSNSISVIPDGAFDGNPLLRTIHLYDNPLSFVGNSAFHNLSDLHSLVIRGASMVQQFPNLTGTVHLESLTLTGTKISSIPNNLCQEQKMLRTLDLSYNNIRDLPSFNGCHALEEISLQRNQIYQIKEGTFQGLISLRILDLSRNLIHEIHSRAFATLGPITNLDVSFNELTSFPTEGLNGLNQLKLVGNFKLKEALAAKDFVNLRSLSVPYAYQCCAFWGCDSYANLNTEDNSLQDHSVAQEKGTADAANVTSTLENEEHSQIIIHCTPSTGAFKPCEYLLGSWMIRLTVWFIFLVALFFNLLVILTTFASCTSLPSSKLFIGLISVSNLFMGIYTGILTFLDAVSWGRFAEFGIWWETGSGCKVAGFLAVFSSESAIFLLMLATVERSLSAKDIMKNGKSNHLKQFRVAALLAFLGATVAGCFPLFHRGEYSASPLCLPFPTGETPSLGFTVTLVLLNSLAFLLMAVIYTKLYCNLEKEDLSENSQSSMIKHVAWLIFTNCIFFCPVAFFSFAPLITAISISPEIMKSVTLIFFPLPACLNPVLYVFFNPKFKEDWKLLKRRVTKKSGSVSVSISSQGGCLEQDFYYDCGMYSHLQGNLTVCDCCESFLLTKPVSCKHLIKSHSCPALAVASCQRPEGYWSDCGTQSAHSDYADEEDSFVSDSSDQVQACGRACFYQSRGFPLVRYAYNLPRVKD
+>DECOY_sp|Q9BXB1|LGR4_HUMAN Leucine-rich repeat-containing G-protein coupled receptor 4 OS=Homo sapiens OX=9606 GN=LGR4 PE=1 SV=2
+DKVRPLNYAYRVLPFGRSQYFCARGCAQVQDSSDSVFSDEEDAYDSHASQTGCDSWYGEPRQCSAVALAPCSHSKILHKCSVPKTLLFSECCDCVTLNGQLHSYMGCDYYFDQELCGGQSSISVSVSGSKKTVRRKLLKWDEKFKPNFFVYLVPNLCAPLPFFILTVSKMIEPSISIATILPAFSFFAVPCFFICNTFILWAVHKIMSSQSNESLDEKELNCYLKTYIVAMLLFALSNLLVLTVTFGLSPTEGTPFPLCLPSASYEGRHFLPFCGAVTAGLFALLAAVRFQKLHNSKGNKMIDKASLSREVTALMLLFIASESSFVALFGAVKCGSGTEWWIGFEAFRGWSVADLFTLIGTYIGMFLNSVSILGIFLKSSPLSTCSAFTTLIVLLNFFLAVLFIFWVTLRIMWSGLLYECPKFAGTSPTCHIIIQSHEENELTSTVNAADATGKEQAVSHDQLSNDETNLNAYSDCGWFACCQYAYPVSLSRLNVFDKAALAEKLKFNGVLKLQNLGNLGETPFSTLENFSVDLNTIPGLTAFARSHIEHILNRSLDLIRLSILGQFTGEKIQYIQNRQLSIEELAHCGNFSPLDRINNYSLDLTRLMKQEQCLNNPISSIKTGTLTLSELHVTGTLNPFQQVMSAGRIVLSHLDSLNHFASNGVFSLPNDYLHITRLLPNGDFAGDPIVSISNSHFGLEKLSPLAKIAQPFEGLNNYNLDLTELNDLGDFCHQSLSRIKNNHLHLVVLSSLNTFAFDPISSIKNLALTLAQLTPLNSLPHVPVETLSNDDLWLHRLQVLGEFSDEPVSTIHNADLRLSQLASLGRIAESPVTKLQNNQLTLVKLEKLGSLAKPHIFSLDNGALQLEELFPFNKFADEPLQTINNMSIDLAQTFASLGEPVATLGKGSCDVRRDGDCSCPAACLPPAAGSPGASGLLGLALFCLLGLPGPM
+>sp|O75473|LGR5_HUMAN Leucine-rich repeat-containing G-protein coupled receptor 5 OS=Homo sapiens OX=9606 GN=LGR5 PE=1 SV=1
+MDTSRLGVLLSLPVLLQLATGGSSPRSGVLLRGCPTHCHCEPDGRMLLRVDCSDLGLSELPSNLSVFTSYLDLSMNNISQLLPNPLPSLRFLEELRLAGNALTYIPKGAFTGLYSLKVLMLQNNQLRHVPTEALQNLRSLQSLRLDANHISYVPPSCFSGLHSLRHLWLDDNALTEIPVQAFRSLSALQAMTLALNKIHHIPDYAFGNLSSLVVLHLHNNRIHSLGKKCFDGLHSLETLDLNYNNLDEFPTAIRTLSNLKELGFHSNNIRSIPEKAFVGNPSLITIHFYDNPIQFVGRSAFQHLPELRTLTLNGASQITEFPDLTGTANLESLTLTGAQISSLPQTVCNQLPNLQVLDLSYNLLEDLPSFSVCQKLQKIDLRHNEIYEIKVDTFQQLLSLRSLNLAWNKIAIIHPNAFSTLPSLIKLDLSSNLLSSFPITGLHGLTHLKLTGNHALQSLISSENFPELKVIEMPYAYQCCAFGVCENAYKISNQWNKGDNSSMDDLHKKDAGMFQAQDERDLEDFLLDFEEDLKALHSVQCSPSPGPFKPCEHLLDGWLIRIGVWTIAVLALTCNALVTSTVFRSPLYISPIKLLIGVIAAVNMLTGVSSAVLAGVDAFTFGSFARHGAWWENGVGCHVIGFLSIFASESSVFLLTLAALERGFSVKYSAKFETKAPFSSLKVIILLCALLALTMAAVPLLGGSKYGASPLCLPLPFGEPSTMGYMVALILLNSLCFLMMTIAYTKLYCNLDKGDLENIWDCSMVKHIALLLFTNCILNCPVAFLSFSSLINLTFISPEVIKFILLVVVPLPACLNPLLYILFNPHFKEDLVSLRKQTYVWTRSKHPSLMSINSDDVEKQSCDSTQALVTFTSSSITYDLPPSSVPSPAYPVTESCHLSSVAFVPCL
+>DECOY_sp|O75473|LGR5_HUMAN Leucine-rich repeat-containing G-protein coupled receptor 5 OS=Homo sapiens OX=9606 GN=LGR5 PE=1 SV=1
+LCPVFAVSSLHCSETVPYAPSPVSSPPLDYTISSSTFTVLAQTSDCSQKEVDDSNISMLSPHKSRTWVYTQKRLSVLDEKFHPNFLIYLLPNLCAPLPVVVLLIFKIVEPSIFTLNILSSFSLFAVPCNLICNTFLLLAIHKVMSCDWINELDGKDLNCYLKTYAITMMLFCLSNLLILAVMYGMTSPEGFPLPLCLPSAGYKSGGLLPVAAMTLALLACLLIIVKLSSFPAKTEFKASYKVSFGRELAALTLLFVSSESAFISLFGIVHCGVGNEWWAGHRAFSGFTFADVGALVASSVGTLMNVAAIVGILLKIPSIYLPSRFVTSTVLANCTLALVAITWVGIRILWGDLLHECPKFPGPSPSCQVSHLAKLDEEFDLLFDELDREDQAQFMGADKKHLDDMSSNDGKNWQNSIKYANECVGFACCQYAYPMEIVKLEPFNESSILSQLAHNGTLKLHTLGHLGTIPFSSLLNSSLDLKILSPLTSFANPHIIAIKNWALNLSRLSLLQQFTDVKIEYIENHRLDIKQLKQCVSFSPLDELLNYSLDLVQLNPLQNCVTQPLSSIQAGTLTLSELNATGTLDPFETIQSAGNLTLTRLEPLHQFASRGVFQIPNDYFHITILSPNGVFAKEPISRINNSHFGLEKLNSLTRIATPFEDLNNYNLDLTELSHLGDFCKKGLSHIRNNHLHLVVLSSLNGFAYDPIHHIKNLALTMAQLASLSRFAQVPIETLANDDLWLHRLSHLGSFCSPPVYSIHNADLRLSQLSRLNQLAETPVHRLQNNQLMLVKLSYLGTFAGKPIYTLANGALRLEELFRLSPLPNPLLQSINNMSLDLYSTFVSLNSPLESLGLDSCDVRLLMRGDPECHCHTPCGRLLVGSRPSSGGTALQLLVPLSLLVGLRSTDM
+>sp|Q86WI0|LHPL1_HUMAN LHFPL tetraspan subfamily member 1 protein OS=Homo sapiens OX=9606 GN=LHFPL1 PE=2 SV=1
+MRSSLTMVGTLWAFLSLVTAVTSSTSYFLPYWLFGSQMGKPVSFSTFRRCNYPVRGEGHSLIMVEECGRYASFNAIPSLAWQMCTVVTGAGCALLLLVALAAVLGCCMEELISRMMGRCMGAAQFVGGLLISSGCALYPLGWNSPEIMQTCGNVSNQFQLGTCRLGWAYYCAGGGAAAAMLICTWLSCFAGRNPKPVILVESIMRNTNSYAMELDHCLKP
+>DECOY_sp|Q86WI0|LHPL1_HUMAN LHFPL tetraspan subfamily member 1 protein OS=Homo sapiens OX=9606 GN=LHFPL1 PE=2 SV=1
+PKLCHDLEMAYSNTNRMISEVLIVPKPNRGAFCSLWTCILMAAAAGGGACYYAWGLRCTGLQFQNSVNGCTQMIEPSNWGLPYLACGSSILLGGVFQAAGMCRGMMRSILEEMCCGLVAALAVLLLLACGAGTVVTCMQWALSPIANFSAYRGCEEVMILSHGEGRVPYNCRRFTSFSVPKGMQSGFLWYPLFYSTSSTVATVLSLFAWLTGVMTLSSRM
+>sp|Q6ZUX7|LHPL2_HUMAN LHFPL tetraspan subfamily member 2 protein OS=Homo sapiens OX=9606 GN=LHFPL2 PE=2 SV=2
+MCHVIVTCRSMLWTLLSIVVAFAELIAFMSADWLIGKARSRGGVEPAGPGGGSPEPYHPTLGIYARCIRNPGVQHFQRDTLCGPYAESFGEIASGFWQATAIFLAVGIFILCMVALVSVFTMCVQSIMKKSIFNVCGLLQGIAGLFLILGLILYPAGWGCQKAIDYCGHYASAYKPGDCSLGWAFYTAIGGTVLTFICAVFSAQAEIATSSDKVQEEIEEGKNLICLL
+>DECOY_sp|Q6ZUX7|LHPL2_HUMAN LHFPL tetraspan subfamily member 2 protein OS=Homo sapiens OX=9606 GN=LHFPL2 PE=2 SV=2
+LLCILNKGEEIEEQVKDSSTAIEAQASFVACIFTLVTGGIATYFAWGLSCDGPKYASAYHGCYDIAKQCGWGAPYLILGLILFLGAIGQLLGCVNFISKKMISQVCMTFVSVLAVMCLIFIGVALFIATAQWFGSAIEGFSEAYPGCLTDRQFHQVGPNRICRAYIGLTPHYPEPSGGGPGAPEVGGRSRAKGILWDASMFAILEAFAVVISLLTWLMSRCTVIVHCM
+>sp|Q6ZQX7|LIAT1_HUMAN Protein LIAT1 OS=Homo sapiens OX=9606 GN=LIAT1 PE=2 SV=2
+METRGPGLAVRAESRRLVGIGPRAPPGRVGLQPSGRLDRRGGAGTMGYKDNDGEEEEREGGAAGPRGSRLPPITGGASELAKRKVKKKKRKKKTKGSGKGDDKHQSQSLKSQPLSSSFHDILSPCKERGPKPEHRQSKVEKKHLPSDSSTVSLPDFAEIENLANRINESLRWDGILADPEAEKERIRIYKLNRRKRYRCLALKGFHPDPEALKGFHPDPDALKGFHPDPEALKGFHPDPEALKGFHPDPEALKGFHPDPEALKGIHPDPEALKGIHPDPEALKGFHPDPEALKGFHPDPEALKGFHTDPEALKGFHIDPEALKGFHPDPKALKGFHPDPKALKGFHTDPEALKGFHPDPKALKGFHPDPEALKGFHPDPEALKGFHPDPEALKGFHTDPNAEEAPENLPYLSDKDGSSSHRQPTSKAECPNLCFEGNLTPKLLHSDLAPTLLE
+>DECOY_sp|Q6ZQX7|LIAT1_HUMAN Protein LIAT1 OS=Homo sapiens OX=9606 GN=LIAT1 PE=2 SV=2
+ELLTPALDSHLLKPTLNGEFCLNPCEAKSTPQRHSSSGDKDSLYPLNEPAEEANPDTHFGKLAEPDPHFGKLAEPDPHFGKLAEPDPHFGKLAKPDPHFGKLAEPDTHFGKLAKPDPHFGKLAKPDPHFGKLAEPDIHFGKLAEPDTHFGKLAEPDPHFGKLAEPDPHFGKLAEPDPHIGKLAEPDPHIGKLAEPDPHFGKLAEPDPHFGKLAEPDPHFGKLAEPDPHFGKLADPDPHFGKLAEPDPHFGKLALCRYRKRRNLKYIRIREKEAEPDALIGDWRLSENIRNALNEIEAFDPLSVTSSDSPLHKKEVKSQRHEPKPGREKCPSLIDHFSSSLPQSKLSQSQHKDDGKGSGKTKKKRKKKKVKRKALESAGGTIPPLRSGRPGAAGGEREEEEGDNDKYGMTGAGGRRDLRGSPQLGVRGPPARPGIGVLRRSEARVALGPGRTEM
+>sp|P0C6S8|LIGO3_HUMAN Leucine-rich repeat and immunoglobulin-like domain-containing nogo receptor-interacting protein 3 OS=Homo sapiens OX=9606 GN=LINGO3 PE=3 SV=1
+MTCWLCVLSLPLLLLPAAPPPAGGCPARCECTVQTRAVACTRRRLTAVPDGIPAETRLLELSRNRIRCLNPGDLAALPALEELDLSENAIAHVEPGAFANLPRLRVLRLRGNQLKLIPPGVFTRLDNLTLLDLSENKLVILLDYTFQDLHSLRRLEVGDNDLVFVSRRAFAGLLALEELTLERCNLTALSGESLGHLRSLGALRLRHLAIASLEDQNFRRLPGLLHLEIDNWPLLEEVAAGSLRGLNLTSLSVTHTNITAVPAAALRHQAHLTCLNLSHNPISTVPRGSFRDLVRLRELHLAGALLAVVEPQAFLGLRQIRLLNLSNNLLSTLEESTFHSVNTLETLRVDGNPLACDCRLLWIVQRRKTLNFDGRLPACATPAEVRGDALRNLPDSVLFEYFVCRKPKIRERRLQRVTATAGEDVRFLCRAEGEPAPTVAWVTPQHRPVTATSAGRARVLPGGTLEIQDARPQDSGTYTCVASNAGGNDTYFATLTVRPEPAANRTPGEAHNETLAALRAPLDLTTILVSTAMGCITFLGVVLFCFVLLFVWSRGRGQHKNNFSVEYSFRKVDGPAAAAGQGGARKFNMKMI
+>DECOY_sp|P0C6S8|LIGO3_HUMAN Leucine-rich repeat and immunoglobulin-like domain-containing nogo receptor-interacting protein 3 OS=Homo sapiens OX=9606 GN=LINGO3 PE=3 SV=1
+IMKMNFKRAGGQGAAAAPGDVKRFSYEVSFNNKHQGRGRSWVFLLVFCFLVVGLFTICGMATSVLITTLDLPARLAALTENHAEGPTRNAAPEPRVTLTAFYTDNGGANSAVCTYTGSDQPRADQIELTGGPLVRARGASTATVPRHQPTVWAVTPAPEGEARCLFRVDEGATATVRQLRRERIKPKRCVFYEFLVSDPLNRLADGRVEAPTACAPLRGDFNLTKRRQVIWLLRCDCALPNGDVRLTELTNVSHFTSEELTSLLNNSLNLLRIQRLGLFAQPEVVALLAGALHLERLRVLDRFSGRPVTSIPNHSLNLCTLHAQHRLAAAPVATINTHTVSLSTLNLGRLSGAAVEELLPWNDIELHLLGPLRRFNQDELSAIALHRLRLAGLSRLHGLSEGSLATLNCRELTLEELALLGAFARRSVFVLDNDGVELRRLSHLDQFTYDLLIVLKNESLDLLTLNDLRTFVGPPILKLQNGRLRLVRLRPLNAFAGPEVHAIANESLDLEELAPLAALDGPNLCRIRNRSLELLRTEAPIGDPVATLRRRTCAVARTQVTCECRAPCGGAPPPAAPLLLLPLSLVCLWCTM
+>sp|Q9UHB6|LIMA1_HUMAN LIM domain and actin-binding protein 1 OS=Homo sapiens OX=9606 GN=LIMA1 PE=1 SV=1
+MESSPFNRRQWTSLSLRVTAKELSLVNKNKSSAIVEIFSKYQKAAEETNMEKKRSNTENLSQHFRKGTLTVLKKKWENPGLGAESHTDSLRNSSTEIRHRADHPPAEVTSHAASGAKADQEEQIHPRSRLRSPPEALVQGRYPHIKDGEDLKDHSTESKKMENCLGESRHEVEKSEISENTDASGKIEKYNVPLNRLKMMFEKGEPTQTKILRAQSRSASGRKISENSYSLDDLEIGPGQLSSSTFDSEKNESRRNLELPRLSETSIKDRMAKYQAAVSKQSSSTNYTNELKASGGEIKIHKMEQKENVPPGPEVCITHQEGEKISANENSLAVRSTPAEDDSRDSQVKSEVQQPVHPKPLSPDSRASSLSESSPPKAMKKFQAPARETCVECQKTVYPMERLLANQQVFHISCFRCSYCNNKLSLGTYASLHGRIYCKPHFNQLFKSKGNYDEGFGHRPHKDLWASKNENEEILERPAQLANARETPHSPGVEDAPIAKVGVLAASMEAKASSQQEKEDKPAETKKLRIAWPPPTELGSSGSALEEGIKMSKPKWPPEDEISKPEVPEDVDLDLKKLRRSSSLKERSRPFTVAASFQSTSVKSPKTVSPPIRKGWSMSEQSEESVGGRVAERKQVENAKASKKNGNVGKTTWQNKESKGETGKRSKEGHSLEMENENLVENGADSDEDDNSFLKQQSPQEPKSLNWSSFVDNTFAEEFTTQNQKSQDVELWEGEVVKELSVEEQIKRNRYYDEDEDEE
+>DECOY_sp|Q9UHB6|LIMA1_HUMAN LIM domain and actin-binding protein 1 OS=Homo sapiens OX=9606 GN=LIMA1 PE=1 SV=1
+EEDEDEDYYRNRKIQEEVSLEKVVEGEWLEVDQSKQNQTTFEEAFTNDVFSSWNLSKPEQPSQQKLFSNDDEDSDAGNEVLNENEMELSHGEKSRKGTEGKSEKNQWTTKGVNGNKKSAKANEVQKREAVRGGVSEESQESMSWGKRIPPSVTKPSKVSTSQFSAAVTFPRSREKLSSSRRLKKLDLDVDEPVEPKSIEDEPPWKPKSMKIGEELASGSSGLETPPPWAIRLKKTEAPKDEKEQQSSAKAEMSAALVGVKAIPADEVGPSHPTERANALQAPRELIEENENKSAWLDKHPRHGFGEDYNGKSKFLQNFHPKCYIRGHLSAYTGLSLKNNCYSCRFCSIHFVQQNALLREMPYVTKQCEVCTERAPAQFKKMAKPPSSESLSSARSDPSLPKPHVPQQVESKVQSDRSDDEAPTSRVALSNENASIKEGEQHTICVEPGPPVNEKQEMKHIKIEGGSAKLENTYNTSSSQKSVAAQYKAMRDKISTESLRPLELNRRSENKESDFTSSSLQGPGIELDDLSYSNESIKRGSASRSQARLIKTQTPEGKEFMMKLRNLPVNYKEIKGSADTNESIESKEVEHRSEGLCNEMKKSETSHDKLDEGDKIHPYRGQVLAEPPSRLRSRPHIQEEQDAKAGSAAHSTVEAPPHDARHRIETSSNRLSDTHSEAGLGPNEWKKKLVTLTGKRFHQSLNETNSRKKEMNTEEAAKQYKSFIEVIASSKNKNVLSLEKATVRLSLSTWQRRNFPSSEM
+>sp|O14910|LIN7A_HUMAN Protein lin-7 homolog A OS=Homo sapiens OX=9606 GN=LIN7A PE=1 SV=2
+MLKPSVTSAPTADMATLTVVQPLTLDRDVARAIELLEKLQESGEVPVHKLQSLKKVLQSEFCTAIREVYQYMHETITVNGCPEFRARATAKATVAAFAASEGHSHPRVVELPKTDEGLGFNVMGGKEQNSPIYISRIIPGGVAERHGGLKRGDQLLSVNGVSVEGEHHEKAVELLKAAKDSVKLVVRYTPKVLEEMEARFEKLRTARRRQQQQLLIQQQQQQQQQQTQQNHMS
+>DECOY_sp|O14910|LIN7A_HUMAN Protein lin-7 homolog A OS=Homo sapiens OX=9606 GN=LIN7A PE=1 SV=2
+SMHNQQTQQQQQQQQQQILLQQQQRRRATRLKEFRAEMEELVKPTYRVVLKVSDKAAKLLEVAKEHHEGEVSVGNVSLLQDGRKLGGHREAVGGPIIRSIYIPSNQEKGGMVNFGLGEDTKPLEVVRPHSHGESAAFAAVTAKATARARFEPCGNVTITEHMYQYVERIATCFESQLVKKLSQLKHVPVEGSEQLKELLEIARAVDRDLTLPQVVTLTAMDATPASTVSPKLM
+>sp|O75335|LIPA4_HUMAN Liprin-alpha-4 OS=Homo sapiens OX=9606 GN=PPFIA4 PE=2 SV=3
+MCEVMPTINEGDRLGPPHGADADANFEQLMVNMLDEREKLLESLRESQETLAATQSRLQDAIHERDQLQRHLNSALPQEFATLTRELSMCREQLLEREEEISELKAERNNTRLLLEHLECLVSRHERSLRMTVVKRQAQSPSGVSSEVEVLKALKSLFEHHKALDEKVRERLRAALERVTTLEEQLAGAHQQVSALQQGAGVRDGAAEEEGTVELGPKRLWKEDTGRVEELQELLEKQNFELSQARERLVTLTTTVTELEEDLGTARRDLIKSEELSSKHQRDLREALAQKEDMEERITTLEKRYLAAQREATSIHDLNDKLENELANKESLHRQCEEKARHLQELLEVAEQKLQQTMRKAETLPEVEAELAQRIAALTKAEERHGNIEEHLRQLEGQLEEKNQELARTAVQVRQREKMNEDHNKRLSDTVDRLLSESNERLQLHLKERMAALEEKNTLIQELESSQRQIEEQHHHKGRLSEEIEKLRQEVDQLKGRGGPFVDGVHSRSHMGSAADVRFSLGTTTHAPPGVHRRYSALREESAKLALPLTVTLRSPTWMRMSQGVCCNLEYHSSGTLCGSSGPLPVPEMIQEEKESTELRAEEIETRVTSGSMEALNLKQLRKRGSIPTSLTALSLASASPPLSGRSTPKLTSRSAAQDLDRMGVMTLPSDLRKHRRKLLSPVSREENREDKATIKCETSPPSSPRTLRLEKLGHPALSQEEGKSALEDQGSNPSSSNSSQDSLHKGAKRKGIKSSIGRLFGKKEKGRLIQLSRDGATGHVLLTDSEFSMQEPMVPAKLGTQAEKDRRLKKKHQLLEDARRKGMPFAQWDGPTVVSWLELWVGMPAWYVAACRANVKSGAIMSALSDTEIQREIGISNALHRLKLRLAIQEMVSLTSPSAPPTSRTSSGNVWVTHEEMETLETSTKTDSEEGSWAQTLAYGDMNHEWIGNEWLPSLGLPQYRSYFMECLVDARMLDHLTKKDLRVHLKMVDSFHRTSLQYGIMCLKRLNYDRKELEKRREESQHEIKDVLVWTNDQVVHWVQSIGLRDYAGNLHESGVHGALLALDENFDHNTLALILQIPTQNTQARQVMEREFNNLLALGTDRKLDDGDDKVFRRAPSWRKRFRPREHHGRGGMLSASAETLPAGFRVSTLGTLQPPPAPPKKIMPEAHSHYLYGHMLSAFRD
+>DECOY_sp|O75335|LIPA4_HUMAN Liprin-alpha-4 OS=Homo sapiens OX=9606 GN=PPFIA4 PE=2 SV=3
+DRFASLMHGYLYHSHAEPMIKKPPAPPPQLTGLTSVRFGAPLTEASASLMGGRGHHERPRFRKRWSPARRFVKDDGDDLKRDTGLALLNNFEREMVQRAQTNQTPIQLILALTNHDFNEDLALLAGHVGSEHLNGAYDRLGISQVWHVVQDNTWVLVDKIEHQSEERRKELEKRDYNLRKLCMIGYQLSTRHFSDVMKLHVRLDKKTLHDLMRADVLCEMFYSRYQPLGLSPLWENGIWEHNMDGYALTQAWSGEESDTKTSTELTEMEEHTVWVNGSSTRSTPPASPSTLSVMEQIALRLKLRHLANSIGIERQIETDSLASMIAGSKVNARCAAVYWAPMGVWLELWSVVTPGDWQAFPMGKRRADELLQHKKKLRRDKEAQTGLKAPVMPEQMSFESDTLLVHGTAGDRSLQILRGKEKKGFLRGISSKIGKRKAGKHLSDQSSNSSSPNSGQDELASKGEEQSLAPHGLKELRLTRPSSPPSTECKITAKDERNEERSVPSLLKRRHKRLDSPLTMVGMRDLDQAASRSTLKPTSRGSLPPSASALSLATLSTPISGRKRLQKLNLAEMSGSTVRTEIEEARLETSEKEEQIMEPVPLPGSSGCLTGSSHYELNCCVGQSMRMWTPSRLTVTLPLALKASEERLASYRRHVGPPAHTTTGLSFRVDAASGMHSRSHVGDVFPGGRGKLQDVEQRLKEIEESLRGKHHHQEEIQRQSSELEQILTNKEELAAMREKLHLQLRENSESLLRDVTDSLRKNHDENMKERQRVQVATRALEQNKEELQGELQRLHEEINGHREEAKTLAAIRQALEAEVEPLTEAKRMTQQLKQEAVELLEQLHRAKEECQRHLSEKNALENELKDNLDHISTAERQAALYRKELTTIREEMDEKQALAERLDRQHKSSLEESKILDRRATGLDEELETVTTTLTVLRERAQSLEFNQKELLEQLEEVRGTDEKWLRKPGLEVTGEEEAAGDRVGAGQQLASVQQHAGALQEELTTVRELAARLRERVKEDLAKHHEFLSKLAKLVEVESSVGSPSQAQRKVVTMRLSREHRSVLCELHELLLRTNNREAKLESIEEERELLQERCMSLERTLTAFEQPLASNLHRQLQDREHIADQLRSQTAALTEQSERLSELLKEREDLMNVMLQEFNADADAGHPPGLRDGENITPMVECM
+>sp|Q14847|LASP1_HUMAN LIM and SH3 domain protein 1 OS=Homo sapiens OX=9606 GN=LASP1 PE=1 SV=2
+MNPNCARCGKIVYPTEKVNCLDKFWHKACFHCETCKMTLNMKNYKGYEKKPYCNAHYPKQSFTMVADTPENLRLKQQSELQSQVRYKEEFEKNKGKGFSVVADTPELQRIKKTQDQISNIKYHEEFEKSRMGPSGGEGMEPERRDSQDGSSYRRPLEQQQPHHIPTSAPVYQQPQQQPVAQSYGGYKEPAAPVSIQRSAPGGGGKRYRAVYDYSAADEDEVSFQDGDTIVNVQQIDDGWMYGTVERTGDTGMLPANYVEAI
+>DECOY_sp|Q14847|LASP1_HUMAN LIM and SH3 domain protein 1 OS=Homo sapiens OX=9606 GN=LASP1 PE=1 SV=2
+IAEVYNAPLMGTDGTREVTGYMWGDDIQQVNVITDGDQFSVEDEDAASYDYVARYRKGGGGPASRQISVPAAPEKYGGYSQAVPQQQPQQYVPASTPIHHPQQQELPRRYSSGDQSDRREPEMGEGGSPGMRSKEFEEHYKINSIQDQTKKIRQLEPTDAVVSFGKGKNKEFEEKYRVQSQLESQQKLRLNEPTDAVMTFSQKPYHANCYPKKEYGKYNKMNLTMKCTECHFCAKHWFKDLCNVKETPYVIKGCRACNPNM
+>sp|Q9GIP4|LAT1L_HUMAN Putative L-type amino acid transporter 1-like protein IMAA OS=Homo sapiens OX=9606 GN=SLC7A5P2 PE=5 SV=2
+MAGAGPKRRALAAPVAEEKEEAREKMMAAKRADGAAPAGEGEGVTLQGNITLLKGVAVIVVAIMSSGIFVTPTGVLKEAGSPGLALVVWAACGVFSIVGALCYAELGTTISKSGGDYAYMLDVYGSLPAFLKLWIELLIIRPSSQYIVALVFATYLLKPLFPTCPVPEEAAKLVACHSVQLIVHQPTQVI
+>DECOY_sp|Q9GIP4|LAT1L_HUMAN Putative L-type amino acid transporter 1-like protein IMAA OS=Homo sapiens OX=9606 GN=SLC7A5P2 PE=5 SV=2
+IVQTPQHVILQVSHCAVLKAAEEPVPCTPFLPKLLYTAFVLAVIYQSSPRIILLEIWLKLFAPLSGYVDLMYAYDGGSKSITTGLEAYCLAGVISFVGCAAWVVLALGPSGAEKLVGTPTVFIGSSMIAVVIVAVGKLLTINGQLTVGEGEGAPAAGDARKAAMMKERAEEKEEAVPAALARRKPGAGAM
+>sp|Q86YQ2|LATH_HUMAN Putative BPIFA4P protein OS=Homo sapiens OX=9606 GN=BPIFA4P PE=5 SV=1
+MLNVSGLFVLLCGLLVSSSAQEVLAGVSSQLLNDLTQGLLRADFLPSLQTTGLQKPLSSAFDGVSGLLDIFGPPLTNEINTVSIQVKNPQLLHVSIESTPQRKEATVQVPFTSELIVQLLTMKPFTANMQSDIKVQIRLEKNVGGRYELAFGNCRLLPEAIWIQTGVQLAPAQNLLWQT
+>DECOY_sp|Q86YQ2|LATH_HUMAN Putative BPIFA4P protein OS=Homo sapiens OX=9606 GN=BPIFA4P PE=5 SV=1
+TQWLLNQAPALQVGTQIWIAEPLLRCNGFALEYRGGVNKELRIQVKIDSQMNATFPKMTLLQVILESTFPVQVTAEKRQPTSEISVHLLQPNKVQISVTNIENTLPPGFIDLLGSVGDFASSLPKQLGTTQLSPLFDARLLGQTLDNLLQSSVGALVEQASSSVLLGCLLVFLGSVNLM
+>sp|O95835|LATS1_HUMAN Serine/threonine-protein kinase LATS1 OS=Homo sapiens OX=9606 GN=LATS1 PE=1 SV=1
+MKRSEKPEGYRQMRPKTFPASNYTVSSRQMLQEIRESLRNLSKPSDAAKAEHNMSKMSTEDPRQVRNPPKFGTHHKALQEIRNSLLPFANETNSSRSTSEVNPQMLQDLQAAGFDEDMVIQALQKTNNRSIEAAIEFISKMSYQDPRREQMAAAAARPINASMKPGNVQQSVNRKQSWKGSKESLVPQRHGPPLGESVAYHSESPNSQTDVGRPLSGSGISAFVQAHPSNGQRVNPPPPPQVRSVTPPPPPRGQTPPPRGTTPPPPSWEPNSQTKRYSGNMEYVISRISPVPPGAWQEGYPPPPLNTSPMNPPNQGQRGISSVPVGRQPIIMQSSSKFNFPSGRPGMQNGTGQTDFMIHQNVVPAGTVNRQPPPPYPLTAANGQSPSALQTGGSAAPSSYTNGSIPQSMMVPNRNSHNMELYNISVPGLQTNWPQSSSAPAQSSPSSGHEIPTWQPNIPVRSNSFNNPLGNRASHSANSQPSATTVTAITPAPIQQPVKSMRVLKPELQTALAPTHPSWIPQPIQTVQPSPFPEGTASNVTVMPPVAEAPNYQGPPPPYPKHLLHQNPSVPPYESISKPSKEDQPSLPKEDESEKSYENVDSGDKEKKQITTSPITVRKNKKDEERRESRIQSYSPQAFKFFMEQHVENVLKSHQQRLHRKKQLENEMMRVGLSQDAQDQMRKMLCQKESNYIRLKRAKMDKSMFVKIKTLGIGAFGEVCLARKVDTKALYATKTLRKKDVLLRNQVAHVKAERDILAEADNEWVVRLYYSFQDKDNLYFVMDYIPGGDMMSLLIRMGIFPESLARFYIAELTCAVESVHKMGFIHRDIKPDNILIDRDGHIKLTDFGLCTGFRWTHDSKYYQSGDHPRQDSMDFSNEWGDPSSCRCGDRLKPLERRAARQHQRCLAHSLVGTPNYIAPEVLLRTGYTQLCDWWSVGVILFEMLVGQPPFLAQTPLETQMKVINWQTSLHIPPQAKLSPEASDLIIKLCRGPEDRLGKNGADEIKAHPFFKTIDFSSDLRQQSASYIPKITHPTDTSNFDPVDPDKLWSDDNEEENVNDTLNGWYKNGKHPEHAFYEFTFRRFFDDNGYPYNYPKPIEYEYINSQGSEQQSDEDDQNTGSEIKNRDLVYV
+>DECOY_sp|O95835|LATS1_HUMAN Serine/threonine-protein kinase LATS1 OS=Homo sapiens OX=9606 GN=LATS1 PE=1 SV=1
+VYVLDRNKIESGTNQDDEDSQQESGQSNIYEYEIPKPYNYPYGNDDFFRRFTFEYFAHEPHKGNKYWGNLTDNVNEEENDDSWLKDPDVPDFNSTDTPHTIKPIYSASQQRLDSSFDITKFFPHAKIEDAGNKGLRDEPGRCLKIILDSAEPSLKAQPPIHLSTQWNIVKMQTELPTQALFPPQGVLMEFLIVGVSWWDCLQTYGTRLLVEPAIYNPTGVLSHALCRQHQRAARRELPKLRDGCRCSSPDGWENSFDMSDQRPHDGSQYYKSDHTWRFGTCLGFDTLKIHGDRDILINDPKIDRHIFGMKHVSEVACTLEAIYFRALSEPFIGMRILLSMMDGGPIYDMVFYLNDKDQFSYYLRVVWENDAEALIDREAKVHAVQNRLLVDKKRLTKTAYLAKTDVKRALCVEGFAGIGLTKIKVFMSKDMKARKLRIYNSEKQCLMKRMQDQADQSLGVRMMENELQKKRHLRQQHSKLVNEVHQEMFFKFAQPSYSQIRSERREEDKKNKRVTIPSTTIQKKEKDGSDVNEYSKESEDEKPLSPQDEKSPKSISEYPPVSPNQHLLHKPYPPPPGQYNPAEAVPPMVTVNSATGEPFPSPQVTQIPQPIWSPHTPALATQLEPKLVRMSKVPQQIPAPTIATVTTASPQSNASHSARNGLPNNFSNSRVPINPQWTPIEHGSSPSSQAPASSSQPWNTQLGPVSINYLEMNHSNRNPVMMSQPISGNTYSSPAASGGTQLASPSQGNAATLPYPPPPQRNVTGAPVVNQHIMFDTQGTGNQMGPRGSPFNFKSSSQMIIPQRGVPVSSIGRQGQNPPNMPSTNLPPPPYGEQWAGPPVPSIRSIVYEMNGSYRKTQSNPEWSPPPPTTGRPPPTQGRPPPPPTVSRVQPPPPPNVRQGNSPHAQVFASIGSGSLPRGVDTQSNPSESHYAVSEGLPPGHRQPVLSEKSGKWSQKRNVSQQVNGPKMSANIPRAAAAAMQERRPDQYSMKSIFEIAAEISRNNTKQLAQIVMDEDFGAAQLDQLMQPNVESTSRSSNTENAFPLLSNRIEQLAKHHTGFKPPNRVQRPDETSMKSMNHEAKAADSPKSLNRLSERIEQLMQRSSVTYNSAPFTKPRMQRYGEPKESRKM
+>sp|Q8IWV1|LAX1_HUMAN Lymphocyte transmembrane adapter 1 OS=Homo sapiens OX=9606 GN=LAX1 PE=1 SV=1
+MDGVTPTLSTIRGRTLESSTLHVTPRSLDRNKDQITNIFSGFAGLLAILLVVAVFCILWNWNKRKKRQVPYLRVTVMPLLTLPQTRQRAKNIYDILPWRQEDLGRHESRSMRIFSTESLLSRNSESPEHVPSQAGNAFQEHTAHIHATEYAVGIYDNAMVPQMCGNLTPSAHCINVRASRDCASISSEDSHDYVNVPTAEEIAETLASTKSPSRNLFVLPSTQKLEFTEERDEGCGDAGDCTSLYSPGAEDSDSLSNGEGSSQISNDYVNMTGLDLSAIQERQLWVAFQCCRDYENVPAADPSGSQQQAEKDVPSSNIGHVEDKTDDPGTHVQCVKRTFLASGDYADFQPFTQSEDSQMKHREEMSNEDSSDYENVLTAKLGGRDSEQGPGTQLLPDE
+>DECOY_sp|Q8IWV1|LAX1_HUMAN Lymphocyte transmembrane adapter 1 OS=Homo sapiens OX=9606 GN=LAX1 PE=1 SV=1
+EDPLLQTGPGQESDRGGLKATLVNEYDSSDENSMEERHKMQSDESQTFPQFDAYDGSALFTRKVCQVHTGPDDTKDEVHGINSSPVDKEAQQQSGSPDAAPVNEYDRCCQFAVWLQREQIASLDLGTMNVYDNSIQSSGEGNSLSDSDEAGPSYLSTCDGADGCGEDREETFELKQTSPLVFLNRSPSKTSALTEAIEEATPVNVYDHSDESSISACDRSARVNICHASPTLNGCMQPVMANDYIGVAYETAHIHATHEQFANGAQSPVHEPSESNRSLLSETSFIRMSRSEHRGLDEQRWPLIDYINKARQRTQPLTLLPMVTVRLYPVQRKKRKNWNWLICFVAVVLLIALLGAFGSFINTIQDKNRDLSRPTVHLTSSELTRGRITSLTPTVGDM
+>sp|A0A0U1RRK4|LBHD2_HUMAN LBH domain-containing protein 2 OS=Homo sapiens OX=9606 GN=LBHD2 PE=4 SV=1
+MSTPRPAPPQPGAAEGAGGPEGKAVAGAWEKGPRLGQRLPSIVVEPSEADPVESGELRWPLESAQRGPSQSRAAAAPSPSLPGEPGKAADNAGSECACSEDPAAPARG
+>DECOY_sp|A0A0U1RRK4|LBHD2_HUMAN LBH domain-containing protein 2 OS=Homo sapiens OX=9606 GN=LBHD2 PE=4 SV=1
+GRAPAAPDESCACESGANDAAKGPEGPLSPSPAAAARSQSPGRQASELPWRLEGSEVPDAESPEVVISPLRQGLRPGKEWAGAVAKGEPGGAGEAAGPQPPAPRPTSM
+>sp|Q53QV2|LBH_HUMAN Protein LBH OS=Homo sapiens OX=9606 GN=LBH PE=1 SV=1
+MSIYFPIHCPDYLRSAKMTEVMMNTQPMEEIGLSPRKDGLSYQIFPDPSDFDRCCKLKDRLPSIVVEPTEGEVESGELRWPPEEFLVQEDEQDNCEETAKENKEQ
+>DECOY_sp|Q53QV2|LBH_HUMAN Protein LBH OS=Homo sapiens OX=9606 GN=LBH PE=1 SV=1
+QEKNEKATEECNDQEDEQVLFEEPPWRLEGSEVEGETPEVVISPLRDKLKCCRDFDSPDPFIQYSLGDKRPSLGIEEMPQTNMMVETMKASRLYDPCHIPFYISM
+>sp|P52954|LBX1_HUMAN Transcription factor LBX1 OS=Homo sapiens OX=9606 GN=LBX1 PE=2 SV=2
+MTSKEDGKAAPGEERRRSPLDHLPPPANSNKPLTPFSIEDILNKPSVRRSYSLCGAAHLLAAADKHAQGGLPLAGRALLSQTSPLCALEELASKTFKGLEVSVLQAAEGRDGMTIFGQRQTPKKRRKSRTAFTNHQIYELEKRFLYQKYLSPADRDQIAQQLGLTNAQVITWFQNRRAKLKRDLEEMKADVESAKKLGPSGQMDIVALAELEQNSEATAGGGGGCGRAKSRPGSPVLPPGAPKAPGAGALQLSPASPLTDQPASSQDCSEDEEDEEIDVDD
+>DECOY_sp|P52954|LBX1_HUMAN Transcription factor LBX1 OS=Homo sapiens OX=9606 GN=LBX1 PE=2 SV=2
+DDVDIEEDEEDESCDQSSAPQDTLPSAPSLQLAGAGPAKPAGPPLVPSGPRSKARGCGGGGGATAESNQELEALAVIDMQGSPGLKKASEVDAKMEELDRKLKARRNQFWTIVQANTLGLQQAIQDRDAPSLYKQYLFRKELEYIQHNTFATRSKRRKKPTQRQGFITMGDRGEAAQLVSVELGKFTKSALEELACLPSTQSLLARGALPLGGQAHKDAAALLHAAGCLSYSRRVSPKNLIDEISFPTLPKNSNAPPPLHDLPSRRREEGPAAKGDEKSTM
+>sp|Q71F78|LCA10_HUMAN Putative lung carcinoma-associated protein 10 OS=Homo sapiens OX=9606 GN=LCA10 PE=2 SV=1
+MSSCPVHDCPSWDPERLEPVETGSRGALRLRGGAPGSAAGFRASIWGPAGYPSPVGLGHPASLPRPAYSPRCPEPDARHGWGSGSNAGYRGPDRAGRTPCPAQDREGRSSSPVPPPRLKAMTSQARKQNGGALIDTVDWTREAPDSDPVMSMQKTQKPQTTVGQ
+>DECOY_sp|Q71F78|LCA10_HUMAN Putative lung carcinoma-associated protein 10 OS=Homo sapiens OX=9606 GN=LCA10 PE=2 SV=1
+QGVTTQPKQTKQMSMVPDSDPAERTWDVTDILAGGNQKRAQSTMAKLRPPPVPSSSRGERDQAPCPTRGARDPGRYGANSGSGWGHRADPEPCRPSYAPRPLSAPHGLGVPSPYGAPGWISARFGAASGPAGGRLRLAGRSGTEVPELREPDWSPCDHVPCSSM
+>sp|Q5T7P3|LCE1B_HUMAN Late cornified envelope protein 1B OS=Homo sapiens OX=9606 GN=LCE1B PE=1 SV=1
+MSCQQNQQQCQPPPKCIPKCPPKCLTPRCPPKCPPKCPPVSSCCSVSSGGCCGSSSGGSCGSSSGGCCSSGGGGCCLSHHRRRRSHCHRPQSSGCCSQPSGGSSCCGGGSGQHSGGCC
+>DECOY_sp|Q5T7P3|LCE1B_HUMAN Late cornified envelope protein 1B OS=Homo sapiens OX=9606 GN=LCE1B PE=1 SV=1
+CCGGSHQGSGGGCCSSGGSPQSCCGSSQPRHCHSRRRRHHSLCCGGGGSSCCGGSSSGCSGGSSSGCCGGSSVSCCSSVPPCKPPCKPPCRPTLCKPPCKPICKPPPQCQQQNQQCSM
+>sp|O14633|LCE2B_HUMAN Late cornified envelope protein 2B OS=Homo sapiens OX=9606 GN=LCE2B PE=1 SV=1
+MSCQQNQQQCQPPPKCPPKCTPKCPPKCPPKCLPQCPAPCSPAVSSCCGPISGGCCGPSSGGCCNSGAGGCCLSHHRPRLFHRRRHQSPDCCESEPSGGSGCCHSSGGCC
+>DECOY_sp|O14633|LCE2B_HUMAN Late cornified envelope protein 2B OS=Homo sapiens OX=9606 GN=LCE2B PE=1 SV=1
+CCGGSSHCCGSGGSPESECCDPSQHRRRHFLRPRHHSLCCGGAGSNCCGGSSPGCCGGSIPGCCSSVAPSCPAPCQPLCKPPCKPPCKPTCKPPCKPPPQCQQQNQQCSM
+>sp|Q5T5B0|LCE3E_HUMAN Late cornified envelope protein 3E OS=Homo sapiens OX=9606 GN=LCE3E PE=1 SV=1
+MSCQQNQKQCQPPPKCPSPKCPPKNPVQCLPPASSGCAPSSGGCGPSSEGGCFLNHHRRHHRCRRQRSNSCDRGSGQQGGGSGCCHGSGGCC
+>DECOY_sp|Q5T5B0|LCE3E_HUMAN Late cornified envelope protein 3E OS=Homo sapiens OX=9606 GN=LCE3E PE=1 SV=1
+CCGGSGHCCGSGGGQQGSGRDCSNSRQRRCRHHRRHHNLFCGGESSPGCGGSSPACGSSAPPLCQVPNKPPCKPSPCKPPPQCQKQNQQCSM
+>sp|Q96L11|LCFC1_HUMAN LLLL and CFNLAS motif-containing protein 1 OS=Homo sapiens OX=9606 GN=LLCFC1 PE=2 SV=1
+MPPLAPQLCRAVFLVPILLLLQVKPLNGSPGPKDGSQTEKTPSADQNQEQFEEHFVASSVGEMWQVVDMAQQEEDQSSKTAAVHKHSFHLSFCFSLASVMVFSGGPLRRTFPNIQLCFMLTH
+>DECOY_sp|Q96L11|LCFC1_HUMAN LLLL and CFNLAS motif-containing protein 1 OS=Homo sapiens OX=9606 GN=LLCFC1 PE=2 SV=1
+HTLMFCLQINPFTRRLPGGSFVMVSALSFCFSLHFSHKHVAATKSSQDEEQQAMDVVQWMEGVSSAVFHEEFQEQNQDASPTKETQSGDKPGPSGNLPKVQLLLLIPVLFVARCLQPALPPM
+>sp|Q13094|LCP2_HUMAN Lymphocyte cytosolic protein 2 OS=Homo sapiens OX=9606 GN=LCP2 PE=1 SV=1
+MALRNVPFRSEVLGWDPDSLADYFKKLNYKDCEKAVKKYHIDGARFLNLTENDIQKFPKLRVPILSKLSQEINKNEERRSIFTRKPQVPRFPEETESHEEDNGGWSSFEEDDYESPNDDQDGEDDGDYESPNEEEEAPVEDDADYEPPPSNDEEALQNSILPAKPFPNSNSMYIDRPPSGKTPQQPPVPPQRPMAALPPPPAGRNHSPLPPPQTNHEEPSRSRNHKTAKLPAPSIDRSTKPPLDRSLAPFDREPFTLGKKPPFSDKPSIPAGRSLGEHLPKIQKPPLPPTTERHERSSPLPGKKPPVPKHGWGPDRRENDEDDVHQRPLPQPALLPMSSNTFPSRSTKPSPMNPLPSSHMPGAFSESNSSFPQSASLPPYFSQGPSNRPPIRAEGRNFPLPLPNKPRPPSPAEEENSLNEEWYVSYITRPEAEAALRKINQDGTFLVRDSSKKTTTNPYVLMVLYKDKVYNIQIRYQKESQVYLLGTGLRGKEDFLSVSDIIDYFRKMPLLLIDGKNRGSRYQCTLTHAAGYP
+>DECOY_sp|Q13094|LCP2_HUMAN Lymphocyte cytosolic protein 2 OS=Homo sapiens OX=9606 GN=LCP2 PE=1 SV=1
+PYGAAHTLTCQYRSGRNKGDILLLPMKRFYDIIDSVSLFDEKGRLGTGLLYVQSEKQYRIQINYVKDKYLVMLVYPNTTTKKSSDRVLFTGDQNIKRLAAEAEPRTIYSVYWEENLSNEEEAPSPPRPKNPLPLPFNRGEARIPPRNSPGQSFYPPLSASQPFSSNSESFAGPMHSSPLPNMPSPKTSRSPFTNSSMPLLAPQPLPRQHVDDEDNERRDPGWGHKPVPPKKGPLPSSREHRETTPPLPPKQIKPLHEGLSRGAPISPKDSFPPKKGLTFPERDFPALSRDLPPKTSRDISPAPLKATKHNRSRSPEEHNTQPPPLPSHNRGAPPPPLAAMPRQPPVPPQQPTKGSPPRDIYMSNSNPFPKAPLISNQLAEEDNSPPPEYDADDEVPAEEEENPSEYDGDDEGDQDDNPSEYDDEEFSSWGGNDEEHSETEEPFRPVQPKRTFISRREENKNIEQSLKSLIPVRLKPFKQIDNETLNLFRAGDIHYKKVAKECDKYNLKKFYDALSDPDWGLVESRFPVNRLAM
+>sp|Q9H6V9|LDAH_HUMAN Lipid droplet-associated hydrolase OS=Homo sapiens OX=9606 GN=LDAH PE=1 SV=1
+MDSELKEEIPVHEEFILCGGAETQVLKCGPWTDLFHDQSVKRPKLLIFIIPGNPGFSAFYVPFAKALYSLTNRRFPVWTISHAGHALAPKDKKILTTSEDSNAQEIKDIYGLNGQIEHKLAFLRTHVPKDMKLVLIGHSIGSYFTLQMLKRVPELPVIRAFLLFPTIERMSESPNGRIATPLLCWFRYVLYVTGYLLLKPCPETIKSLLIRRGLQVMNLENEFSPLNILEPFCLANAAYLGGQEMMEVVKRDDETIKEHLCKLTFYYGTIDPWCPKEYYEDIKKDFPEGDIRLCEKNIPHAFITHFNQEMADMIADSLKDDLSKM
+>DECOY_sp|Q9H6V9|LDAH_HUMAN Lipid droplet-associated hydrolase OS=Homo sapiens OX=9606 GN=LDAH PE=1 SV=1
+MKSLDDKLSDAIMDAMEQNFHTIFAHPINKECLRIDGEPFDKKIDEYYEKPCWPDITGYYFTLKCLHEKITEDDRKVVEMMEQGGLYAANALCFPELINLPSFENELNMVQLGRRILLSKITEPCPKLLLYGTVYLVYRFWCLLPTAIRGNPSESMREITPFLLFARIVPLEPVRKLMQLTFYSGISHGILVLKMDKPVHTRLFALKHEIQGNLGYIDKIEQANSDESTTLIKKDKPALAHGAHSITWVPFRRNTLSYLAKAFPVYFASFGPNGPIIFILLKPRKVSQDHFLDTWPGCKLVQTEAGGCLIFEEHVPIEEKLESDM
+>sp|O43261|LEU1_HUMAN Leukemia-associated protein 1 OS=Homo sapiens OX=9606 GN=DLEU1 PE=1 SV=2
+MRPCIWIHVHLKPPCRLVELLPFSSALQGLSHLSLGTTLPVILPERNEEQNLQELSHNADKYQMGDCCKEEIDDSIFY
+>DECOY_sp|O43261|LEU1_HUMAN Leukemia-associated protein 1 OS=Homo sapiens OX=9606 GN=DLEU1 PE=1 SV=2
+YFISDDIEEKCCDGMQYKDANHSLEQLNQEENREPLIVPLTTGLSLHSLGQLASSFPLLEVLRCPPKLHVHIWICPRM
+>sp|A8MZ59|LEUTX_HUMAN Leucine-twenty homeobox OS=Homo sapiens OX=9606 GN=LEUTX PE=2 SV=3
+MHPSLATMGKLASKLQLDLSVVKIWFKNQRAKWKRQQRQQMQTRPSLGPANQTTSVKKEETPSAITTANIRPVSPGISDANDHDLREPSGIKNPGGASASARVSSWDSQSYDIEQICLGASNPPWASTLFEIDEFVKIYDLPGEDDTSSLNQYLFPVCLEYDQLQSSV
+>DECOY_sp|A8MZ59|LEUTX_HUMAN Leucine-twenty homeobox OS=Homo sapiens OX=9606 GN=LEUTX PE=2 SV=3
+VSSQLQDYELCVPFLYQNLSSTDDEGPLDYIKVFEDIEFLTSAWPPNSAGLCIQEIDYSQSDWSSVRASASAGGPNKIGSPERLDHDNADSIGPSVPRINATTIASPTEEKKVSTTQNAPGLSPRTQMQQRQQRKWKARQNKFWIKVVSLDLQLKSALKGMTALSPHM
+>sp|Q9BWQ8|LFG2_HUMAN Protein lifeguard 2 OS=Homo sapiens OX=9606 GN=FAIM2 PE=1 SV=1
+MTQGKLSVANKAPGTEGQQQVHGEKKEAPAVPSAPPSYEEATSGEGMKAGAFPPAPTAVPLHPSWAYVDPSSSSSYDNGFPTGDHELFTTFSWDDQKVRRVFVRKVYTILLIQLLVTLAVVALFTFCDPVKDYVQANPGWYWASYAVFFATYLTLACCSGPRRHFPWNLILLTVFTLSMAYLTGMLSSYYNTTSVLLCLGITALVCLSVTVFSFQTKFDFTSCQGVLFVLLMTLFFSGLILAILLPFQYVPWLHAVYAALGAGVFTLFLALDTQLLMGNRRHSLSPEEYIFGALNIYLDIIYIFTFFLQLFGTNRE
+>DECOY_sp|Q9BWQ8|LFG2_HUMAN Protein lifeguard 2 OS=Homo sapiens OX=9606 GN=FAIM2 PE=1 SV=1
+ERNTGFLQLFFTFIYIIDLYINLAGFIYEEPSLSHRRNGMLLQTDLALFLTFVGAGLAAYVAHLWPVYQFPLLIALILGSFFLTMLLVFLVGQCSTFDFKTQFSFVTVSLCVLATIGLCLLVSTTNYYSSLMGTLYAMSLTFVTLLILNWPFHRRPGSCCALTLYTAFFVAYSAWYWGPNAQVYDKVPDCFTFLAVVALTVLLQILLITYVKRVFVRRVKQDDWSFTTFLEHDGTPFGNDYSSSSSPDVYAWSPHLPVATPAPPFAGAKMGEGSTAEEYSPPASPVAPAEKKEGHVQQQGETGPAKNAVSLKGQTM
+>sp|Q8NES3|LFNG_HUMAN Beta-1,3-N-acetylglucosaminyltransferase lunatic fringe OS=Homo sapiens OX=9606 GN=LFNG PE=1 SV=2
+MLKRCGRRLLLALAGALLACLLVLTADPPPPPLPAERGRRALRSLAGPAGAAPAPGLGAAAAAPGALVRDVHSLSEYFSLLTRARRDAGPPPGAAPRPADGHPRPLAEPLAPRDVFIAVKTTKKFHRARLDLLLETWISRHKEMTFIFTDGEDEALARHTGNVVITNCSAAHSRQALSCKMAVEYDRFIESGRKWFCHVDDDNYVNLRALLRLLASYPHTRDVYVGKPSLDRPIQAMERVSENKVRPVHFWFATGGAGFCISRGLALKMSPWASGGHFMNTAERIRLPDDCTIGYIVEALLGVPLIRSGLFHSHLENLQQVPTSELHEQVTLSYGMFENKRNAVHVKGPFSVEADPSRFRSIHCHLYPDTPWCPRTAIF
+>DECOY_sp|Q8NES3|LFNG_HUMAN Beta-1,3-N-acetylglucosaminyltransferase lunatic fringe OS=Homo sapiens OX=9606 GN=LFNG PE=1 SV=2
+FIATRPCWPTDPYLHCHISRFRSPDAEVSFPGKVHVANRKNEFMGYSLTVQEHLESTPVQQLNELHSHFLGSRILPVGLLAEVIYGITCDDPLRIREATNMFHGGSAWPSMKLALGRSICFGAGGTAFWFHVPRVKNESVREMAQIPRDLSPKGVYVDRTHPYSALLRLLARLNVYNDDDVHCFWKRGSEIFRDYEVAMKCSLAQRSHAASCNTIVVNGTHRALAEDEGDTFIFTMEKHRSIWTELLLDLRARHFKKTTKVAIFVDRPALPEALPRPHGDAPRPAAGPPPGADRRARTLLSFYESLSHVDRVLAGPAAAAAGLGPAPAAGAPGALSRLARRGREAPLPPPPPDATLVLLCALLAGALALLLRRGCRKLM
+>sp|Q9HBX8|LGR6_HUMAN Leucine-rich repeat-containing G-protein coupled receptor 6 OS=Homo sapiens OX=9606 GN=LGR6 PE=1 SV=3
+MPSPPGLRALWLCAALCASRRAGGAPQPGPGPTACPAPCHCQEDGIMLSADCSELGLSAVPGDLDPLTAYLDLSMNNLTELQPGLFHHLRFLEELRLSGNHLSHIPGQAFSGLYSLKILMLQNNQLGGIPAEALWELPSLQSLRLDANLISLVPERSFEGLSSLRHLWLDDNALTEIPVRALNNLPALQAMTLALNRISHIPDYAFQNLTSLVVLHLHNNRIQHLGTHSFEGLHNLETLDLNYNKLQEFPVAIRTLGRLQELGFHNNNIKAIPEKAFMGNPLLQTIHFYDNPIQFVGRSAFQYLPKLHTLSLNGAMDIQEFPDLKGTTSLEILTLTRAGIRLLPSGMCQQLPRLRVLELSHNQIEELPSLHRCQKLEEIGLQHNRIWEIGADTFSQLSSLQALDLSWNAIRSIHPEAFSTLHSLVKLDLTDNQLTTLPLAGLGGLMHLKLKGNLALSQAFSKDSFPKLRILEVPYAYQCCPYGMCASFFKASGQWEAEDLHLDDEESSKRPLGLLARQAENHYDQDLDELQLEMEDSKPHPSVQCSPTPGPFKPCEYLFESWGIRLAVWAIVLLSVLCNGLVLLTVFAGGPVPLPPVKFVVGAIAGANTLTGISCGLLASVDALTFGQFSEYGARWETGLGCRATGFLAVLGSEASVLLLTLAAVQCSVSVSCVRAYGKSPSLGSVRAGVLGCLALAGLAAALPLASVGEYGASPLCLPYAPPEGQPAALGFTVALVMMNSFCFLVVAGAYIKLYCDLPRGDFEAVWDCAMVRHVAWLIFADGLLYCPVAFLSFASMLGLFPVTPEAVKSVLLVVLPLPACLNPLLYLLFNPHFRDDLRRLRPRAGDSGPLAYAAAGELEKSSCDSTQALVAFSDVDLILEASEAGRPPGLETYGFPSVTLISCQQPGAPRLEGSHCVEPEGNHFGNPQPSMDGELLLRAEGSTPAGGGLSGGGGFQPSGLAFASHV
+>DECOY_sp|Q9HBX8|LGR6_HUMAN Leucine-rich repeat-containing G-protein coupled receptor 6 OS=Homo sapiens OX=9606 GN=LGR6 PE=1 SV=3
+VHSAFALGSPQFGGGGSLGGGAPTSGEARLLLEGDMSPQPNGFHNGEPEVCHSGELRPAGPQQCSILTVSPFGYTELGPPRGAESAELILDVDSFAVLAQTSDCSSKELEGAAAYALPGSDGARPRLRRLDDRFHPNFLLYLLPNLCAPLPLVVLLVSKVAEPTVPFLGLMSAFSLFAVPCYLLGDAFILWAVHRVMACDWVAEFDGRPLDCYLKIYAGAVVLFCFSNMMVLAVTFGLAAPQGEPPAYPLCLPSAGYEGVSALPLAAALGALALCGLVGARVSGLSPSKGYARVCSVSVSCQVAALTLLLVSAESGLVALFGTARCGLGTEWRAGYESFQGFTLADVSALLGCSIGTLTNAGAIAGVVFKVPPLPVPGGAFVTLLVLGNCLVSLLVIAWVALRIGWSEFLYECPKFPGPTPSCQVSPHPKSDEMELQLEDLDQDYHNEAQRALLGLPRKSSEEDDLHLDEAEWQGSAKFFSACMGYPCCQYAYPVELIRLKPFSDKSFAQSLALNGKLKLHMLGGLGALPLTTLQNDTLDLKVLSHLTSFAEPHISRIANWSLDLAQLSSLQSFTDAGIEWIRNHQLGIEELKQCRHLSPLEEIQNHSLELVRLRPLQQCMGSPLLRIGARTLTLIELSTTGKLDPFEQIDMAGNLSLTHLKPLYQFASRGVFQIPNDYFHITQLLPNGMFAKEPIAKINNNHFGLEQLRGLTRIAVPFEQLKNYNLDLTELNHLGEFSHTGLHQIRNNHLHLVVLSTLNQFAYDPIHSIRNLALTMAQLAPLNNLARVPIETLANDDLWLHRLSSLGEFSREPVLSILNADLRLSQLSPLEWLAEAPIGGLQNNQLMLIKLSYLGSFAQGPIHSLHNGSLRLEELFRLHHFLGPQLETLNNMSLDLYATLPDLDGPVASLGLESCDASLMIGDEQCHCPAPCATPGPGPQPAGGARRSACLAACLWLARLGPPSPM
+>sp|Q8TAF8|LHPL5_HUMAN LHFPL tetraspan subfamily member 5 protein OS=Homo sapiens OX=9606 GN=LHFPL5 PE=1 SV=1
+MVKLLPAQEAAKIYHTNYVRNSRAVGVMWGTLTICFSVLVMALFIQPYWIGDSVNTPQAGYFGLFSYCVGNVLSSELICKGGPLDFSSIPSRAFKTAMFFVALGMFLIIGSIICFSLFFICNTATVYKICAWMQLAAATGLMIGCLVYPDGWDSSEVRRMCGEQTGKYTLGHCTIRWAFMLAILSIGDALILSFLAFVLGYRQDKLLPDDYKADGTEEV
+>DECOY_sp|Q8TAF8|LHPL5_HUMAN LHFPL tetraspan subfamily member 5 protein OS=Homo sapiens OX=9606 GN=LHFPL5 PE=1 SV=1
+VEETGDAKYDDPLLKDQRYGLVFALFSLILADGISLIALMFAWRITCHGLTYKGTQEGCMRRVESSDWGDPYVLCGIMLGTAAALQMWACIKYVTATNCIFFLSFCIISGIILFMGLAVFFMATKFARSPISSFDLPGGKCILESSLVNGVCYSFLGFYGAQPTNVSDGIWYPQIFLAMVLVSFCITLTGWMVGVARSNRVYNTHYIKAAEQAPLLKVM
+>sp|Q9H008|LHPP_HUMAN Phospholysine phosphohistidine inorganic pyrophosphate phosphatase OS=Homo sapiens OX=9606 GN=LHPP PE=1 SV=2
+MAPWGKRLAGVRGVLLDISGVLYDSGAGGGTAIAGSVEAVARLKRSRLKVRFCTNESQKSRAELVGQLQRLGFDISEQEVTAPAPAACQILKEQGLRPYLLIHDGVRSEFDQIDTSNPNCVVIADAGESFSYQNMNNAFQVLMELEKPVLISLGKGRYYKETSGLMLDVGPYMKALEYACGIKAEVVGKPSPEFFKSALQAIGVEAHQAVMIGDDIVGDVGGAQRCGMRALQVRTGKFRPSDEHHPEVKADGYVDNLAEAVDLLLQHADK
+>DECOY_sp|Q9H008|LHPP_HUMAN Phospholysine phosphohistidine inorganic pyrophosphate phosphatase OS=Homo sapiens OX=9606 GN=LHPP PE=1 SV=2
+KDAHQLLLDVAEALNDVYGDAKVEPHHEDSPRFKGTRVQLARMGCRQAGGVDGVIDDGIMVAQHAEVGIAQLASKFFEPSPKGVVEAKIGCAYELAKMYPGVDLMLGSTEKYYRGKGLSILVPKELEMLVQFANNMNQYSFSEGADAIVVCNPNSTDIQDFESRVGDHILLYPRLGQEKLIQCAAPAPATVEQESIDFGLRQLQGVLEARSKQSENTCFRVKLRSRKLRAVAEVSGAIATGGGAGSDYLVGSIDLLVGRVGALRKGWPAM
+>sp|P48742|LHX1_HUMAN LIM/homeobox protein Lhx1 OS=Homo sapiens OX=9606 GN=LHX1 PE=1 SV=2
+MVHCAGCKRPILDRFLLNVLDRAWHVKCVQCCECKCNLTEKCFSREGKLYCKNDFFRCFGTKCAGCAQGISPSDLVRRARSKVFHLNCFTCMMCNKQLSTGEELYIIDENKFVCKEDYLSNSSVAKENSLHSATTGSDPSLSPDSQDPSQDDAKDSESANVSDKEAGSNENDDQNLGAKRRGPRTTIKAKQLETLKAAFAATPKPTRHIREQLAQETGLNMRVIQVWFQNRRSKERRMKQLSALGARRHAFFRSPRRMRPLVDRLEPGELIPNGPFSFYGDYQSEYYGPGGNYDFFPQGPPSSQAQTPVDLPFVPSSGPSGTPLGGLEHPLPGHHPSSEAQRFTDILAHPPGDSPSPEPSLPGPLHSMSAEVFGPSPPFSSLSVNGGASYGNHLSHPPEMNEAAVW
+>DECOY_sp|P48742|LHX1_HUMAN LIM/homeobox protein Lhx1 OS=Homo sapiens OX=9606 GN=LHX1 PE=1 SV=2
+WVAAENMEPPHSLHNGYSAGGNVSLSSFPPSPGFVEASMSHLPGPLSPEPSPSDGPPHALIDTFRQAESSPHHGPLPHELGGLPTGSPGSSPVFPLDVPTQAQSSPPGQPFFDYNGGPGYYESQYDGYFSFPGNPILEGPELRDVLPRMRRPSRFFAHRRAGLASLQKMRREKSRRNQFWVQIVRMNLGTEQALQERIHRTPKPTAAFAAKLTELQKAKITTRPGRRKAGLNQDDNENSGAEKDSVNASESDKADDQSPDQSDPSLSPDSGTTASHLSNEKAVSSNSLYDEKCVFKNEDIIYLEEGTSLQKNCMMCTFCNLHFVKSRARRVLDSPSIGQACGACKTGFCRFFDNKCYLKGERSFCKETLNCKCECCQVCKVHWARDLVNLLFRDLIPRKCGACHVM
+>sp|Q9UPM6|LHX6_HUMAN LIM/homeobox protein Lhx6 OS=Homo sapiens OX=9606 GN=LHX6 PE=1 SV=2
+MAQPGSGCKATTRCLEGTAPPAMAQSDAEALAGALDKDEGQASPCTPSTPSVCSPPSAASSVPSAGKNICSSCGLEILDRYLLKVNNLIWHVRCLECSVCRTSLRQQNSCYIKNKEIFCKMDYFSRFGTKCARCGRQIYASDWVRRARGNAYHLACFACFSCKRQLSTGEEFGLVEEKVLCRIHYDTMIENLKRAAENGNGLTLEGAVPSEQDSQPKPAKRARTSFTAEQLQVMQAQFAQDNNPDAQTLQKLADMTGLSRRVIQVWFQNCRARHKKHTPQHPVPPSGAPPSRLPSALSDDIHYTPFSSPERARMVTLHGYIESQVQCGQVHCRLPYTAPPVHLKADMDGPLSNRGEKVILFQY
+>DECOY_sp|Q9UPM6|LHX6_HUMAN LIM/homeobox protein Lhx6 OS=Homo sapiens OX=9606 GN=LHX6 PE=1 SV=2
+YQFLIVKEGRNSLPGDMDAKLHVPPATYPLRCHVQGCQVQSEIYGHLTVMRAREPSSFPTYHIDDSLASPLRSPPAGSPPVPHQPTHKKHRARCNQFWVQIVRRSLGTMDALKQLTQADPNNDQAFQAQMVQLQEATFSTRARKAPKPQSDQESPVAGELTLGNGNEAARKLNEIMTDYHIRCLVKEEVLGFEEGTSLQRKCSFCAFCALHYANGRARRVWDSAYIQRGCRACKTGFRSFYDMKCFIEKNKIYCSNQQRLSTRCVSCELCRVHWILNNVKLLYRDLIELGCSSCINKGASPVSSAASPPSCVSPTSPTCPSAQGEDKDLAGALAEADSQAMAPPATGELCRTTAKCGSGPQAM
+>sp|Q9NQ69|LHX9_HUMAN LIM/homeobox protein Lhx9 OS=Homo sapiens OX=9606 GN=LHX9 PE=1 SV=3
+MEIVGCRAEDNSCPFRPPAMLFHGISGGHIQGIMEEMERRSKTEARLAKGAQLNGRDAGMPPLSPEKPALCAGCGGKISDRYYLLAVDKQWHLRCLKCCECKLALESELTCFAKDGSIYCKEDYYRRFSVQRCARCHLGISASEMVMRARDSVYHLSCFTCSTCNKTLTTGDHFGMKDSLVYCRAHFETLLQGEYPPQLSYTELAAKSGGLALPYFNGTGTVQKGRPRKRKSPALGVDIVNYNSGCNENEADHLDRDQQPYPPSQKTKRMRTSFKHHQLRTMKSYFAINHNPDAKDLKQLAQKTGLTKRVLQVWFQNARAKFRRNLLRQENGGVDKADGTSLPAPPSADSGALTPPGTATTLTDLTNPTITVVTSVTSNMDSHESGSPSQTTLTNLF
+>DECOY_sp|Q9NQ69|LHX9_HUMAN LIM/homeobox protein Lhx9 OS=Homo sapiens OX=9606 GN=LHX9 PE=1 SV=3
+FLNTLTTQSPSGSEHSDMNSTVSTVVTITPNTLDTLTTATGPPTLAGSDASPPAPLSTGDAKDVGGNEQRLLNRRFKARANQFWVQLVRKTLGTKQALQKLDKADPNHNIAFYSKMTRLQHHKFSTRMRKTKQSPPYPQQDRDLHDAENENCGSNYNVIDVGLAPSKRKRPRGKQVTGTGNFYPLALGGSKAALETYSLQPPYEGQLLTEFHARCYVLSDKMGFHDGTTLTKNCTSCTFCSLHYVSDRARMVMESASIGLHCRACRQVSFRRYYDEKCYISGDKAFCTLESELALKCECCKLCRLHWQKDVALLYYRDSIKGGCGACLAPKEPSLPPMGADRGNLQAGKALRAETKSRREMEEMIGQIHGGSIGHFLMAPPRFPCSNDEARCGVIEM
+>sp|Q7Z4I7|LIMS2_HUMAN LIM and senescent cell antigen-like-containing domain protein 2 OS=Homo sapiens OX=9606 GN=LIMS2 PE=1 SV=1
+MTGSNMSDALANAVCQRCQARFSPAERIVNSNGELYHEHCFVCAQCFRPFPEGLFYEFEGRKYCEHDFQMLFAPCCGSCGEFIIGRVIKAMNNNWHPGCFRCELCDVELADLGFVKNAGRHLCRPCHNREKAKGLGKYICQRCHLVIDEQPLMFRSDAYHPDHFNCTHCGKELTAEARELKGELYCLPCHDKMGVPICGACRRPIEGRVVNALGKQWHVEHFVCAKCEKPFLGHRHYEKKGLAYCETHYNQLFGDVCYNCSHVIEGDVVSALNKAWCVSCFSCSTCNSKLTLKNKFVEFDMKPVCKRCYEKFPLELKKRLKKLSELTSRKAQPKATDLNSA
+>DECOY_sp|Q7Z4I7|LIMS2_HUMAN LIM and senescent cell antigen-like-containing domain protein 2 OS=Homo sapiens OX=9606 GN=LIMS2 PE=1 SV=1
+ASNLDTAKPQAKRSTLESLKKLRKKLELPFKEYCRKCVPKMDFEVFKNKLTLKSNCTSCSFCSVCWAKNLASVVDGEIVHSCNYCVDGFLQNYHTECYALGKKEYHRHGLFPKECKACVFHEVHWQKGLANVVRGEIPRRCAGCIPVGMKDHCPLCYLEGKLERAEATLEKGCHTCNFHDPHYADSRFMLPQEDIVLHCRQCIYKGLGKAKERNHCPRCLHRGANKVFGLDALEVDCLECRFCGPHWNNNMAKIVRGIIFEGCSGCCPAFLMQFDHECYKRGEFEYFLGEPFPRFCQACVFCHEHYLEGNSNVIREAPSFRAQCRQCVANALADSMNSGTM
+>sp|Q96GY3|LIN37_HUMAN Protein lin-37 homolog OS=Homo sapiens OX=9606 GN=LIN37 PE=1 SV=1
+MFPVKVKVEKSELEMAKARNQLDAVLQCLLEKSHMDRERLDEEAGKTPSDTHNKDCSIAATGKRPSARFPHQRRKKRREMDDGLAEGGPQRSNTYVIKLFDRSVDLAQFSENTPLYPICRAWMRNSPSVRERECSPSSPLPPLPEDEEGSEVTNSKSRDVYKLPPPTPPGPPGDACRSRIPSPLQPEMQGTPDDEPSEPEPSPSTLIYRNMQRWKRIRQRWKEASHRNQLRYSESMKILREMYERQ
+>DECOY_sp|Q96GY3|LIN37_HUMAN Protein lin-37 homolog OS=Homo sapiens OX=9606 GN=LIN37 PE=1 SV=1
+QREYMERLIKMSESYRLQNRHSAEKWRQRIRKWRQMNRYILTSPSPEPESPEDDPTGQMEPQLPSPIRSRCADGPPGPPTPPPLKYVDRSKSNTVESGEEDEPLPPLPSSPSCERERVSPSNRMWARCIPYLPTNESFQALDVSRDFLKIVYTNSRQPGGEALGDDMERRKKRRQHPFRASPRKGTAAISCDKNHTDSPTKGAEEDLRERDMHSKELLCQLVADLQNRAKAMELESKEVKVKVPFM
+>sp|Q2Q1W2|LIN41_HUMAN E3 ubiquitin-protein ligase TRIM71 OS=Homo sapiens OX=9606 GN=TRIM71 PE=1 SV=1
+MASFPETDFQICLLCKEMCGSPAPLSSNSSASSSSSQTSTSSGGGGGGPGAAARRLHVLPCLHAFCRPCLEAHRLPAAGGGAAGEPLKLRCPVCDQKVVLAEAAGMDALPSSAFLLSNLLDAVVATADEPPPKNGRAGAPAGAGGHSNHRHHAHHAHPRASASAPPLPQAPQPPAPSRSAPGGPAASPSALLLRRPHGCSSCDEGNAASSRCLDCQEHLCDNCVRAHQRVRLTKDHYIERGPPGPGAAAAAQQLGLGPPFPGPPFSILSVFPERLGFCQHHDDEVLHLYCDTCSVPICRECTMGRHGGHSFIYLQEALQDSRALTIQLLADAQQGRQAIQLSIEQAQTVAEQVEMKAKVVQSEVKAVTARHKKALEERECELLWKVEKIRQVKAKSLYLQVEKLRQNLNKLESTISAVQQVLEEGRALDILLARDRMLAQVQELKTVRSLLQPQEDDRVMFTPPDQALYLAIKSFGFVSSGAFAPLTKATGDGLKRALQGKVASFTVIGYDHDGEPRLSGGDLMSAVVLGPDGNLFGAEVSDQQNGTYVVSYRPQLEGEHLVSVTLCNQHIENSPFKVVVKSGRSYVGIGLPGLSFGSEGDSDGKLCRPWGVSVDKEGYIIVADRSNNRIQVFKPCGAFHHKFGTLGSRPGQFDRPAGVACDASRRIVVADKDNHRIQIFTFEGQFLLKFGEKGTKNGQFNYPWDVAVNSEGKILVSDTRNHRIQLFGPDGVFLNKYGFEGALWKHFDSPRGVAFNHEGHLVVTDFNNHRLLVIHPDCQSARFLGSEGTGNGQFLRPQGVAVDQEGRIIVADSRNHRVQMFESNGSFLCKFGAQGSGFGQMDRPSGIAITPDGMIVVVDFGNNRILVF
+>DECOY_sp|Q2Q1W2|LIN41_HUMAN E3 ubiquitin-protein ligase TRIM71 OS=Homo sapiens OX=9606 GN=TRIM71 PE=1 SV=1
+FVLIRNNGFDVVVIMGDPTIAIGSPRDMQGFGSGQAGFKCLFSGNSEFMQVRHNRSDAVIIRGEQDVAVGQPRLFQGNGTGESGLFRASQCDPHIVLLRHNNFDTVVLHGEHNFAVGRPSDFHKWLAGEFGYKNLFVGDPGFLQIRHNRTDSVLIKGESNVAVDWPYNFQGNKTGKEGFKLLFQGEFTFIQIRHNDKDAVVIRRSADCAVGAPRDFQGPRSGLTGFKHHFAGCPKFVQIRNNSRDAVIIYGEKDVSVGWPRCLKGDSDGESGFSLGPLGIGVYSRGSKVVVKFPSNEIHQNCLTVSVLHEGELQPRYSVVYTGNQQDSVEAGFLNGDPGLVVASMLDGGSLRPEGDHDYGIVTFSAVKGQLARKLGDGTAKTLPAFAGSSVFGFSKIALYLAQDPPTFMVRDDEQPQLLSRVTKLEQVQALMRDRALLIDLARGEELVQQVASITSELKNLNQRLKEVQLYLSKAKVQRIKEVKWLLECEREELAKKHRATVAKVESQVVKAKMEVQEAVTQAQEISLQIAQRGQQADALLQITLARSDQLAEQLYIFSHGGHRGMTCERCIPVSCTDCYLHLVEDDHHQCFGLREPFVSLISFPPGPFPPGLGLQQAAAAAGPGPPGREIYHDKTLRVRQHARVCNDCLHEQCDLCRSSAANGEDCSSCGHPRRLLLASPSAAPGGPASRSPAPPQPAQPLPPASASARPHAHHAHHRHNSHGGAGAPAGARGNKPPPEDATAVVADLLNSLLFASSPLADMGAAEALVVKQDCVPCRLKLPEGAAGGGAAPLRHAELCPRCFAHLCPLVHLRRAAAGPGGGGGGSSTSTQSSSSSASSNSSLPAPSGCMEKCLLCIQFDTEPFSAM
+>sp|Q5TKA1|LIN9_HUMAN Protein lin-9 homolog OS=Homo sapiens OX=9606 GN=LIN9 PE=1 SV=1
+MAELDQLPDESSSAKALVSLKEGSLSNTWNEKYSSLQKTPVWKGRNTSSAVEMPFRNSKRSRLFSDEDDRQINTRSPKRNQRVAMVPQKFTATMSTPDKKASQKIGFRLRNLLKLPKAHKWCIYEWFYSNIDKPLFEGDNDFCVCLKESFPNLKTRKLTRVEWGKIRRLMGKPRRCSSAFFEEERSALKQKRQKIRLLQQRKVADVSQFKDLPDEIPLPLVIGTKVTARLRGVHDGLFTGQIDAVDTLNATYRVTFDRTGLGTHTIPDYEVLSNEPHETMPIAAFGQKQRPSRFFMTPPRLHYTPPLQSPIIDNDPLLGQSPWRSKISGSDTETLGGFPVEFLIQVTRLSKILMIKKEHIKKLREMNTEAEKLKSYSMPISIEFQRRYATIVLELEQLNKDLNKVLHKVQQYCYELAPDQGLQPADQPTDMRRRCEEEAQEIVRHANSSTGQPCVENENLTDLISRLTAILLQIKCLAEGGDLNSFEFKSLTDSLNDIKSTIDASNISCFQNNVEIHVAHIQSGLSQMGNLHAFAANNTNRD
+>DECOY_sp|Q5TKA1|LIN9_HUMAN Protein lin-9 homolog OS=Homo sapiens OX=9606 GN=LIN9 PE=1 SV=1
+DRNTNNAAFAHLNGMQSLGSQIHAVHIEVNNQFCSINSADITSKIDNLSDTLSKFEFSNLDGGEALCKIQLLIATLRSILDTLNENEVCPQGTSSNAHRVIEQAEEECRRRMDTPQDAPQLGQDPALEYCYQQVKHLVKNLDKNLQELELVITAYRRQFEISIPMSYSKLKEAETNMERLKKIHEKKIMLIKSLRTVQILFEVPFGGLTETDSGSIKSRWPSQGLLPDNDIIPSQLPPTYHLRPPTMFFRSPRQKQGFAAIPMTEHPENSLVEYDPITHTGLGTRDFTVRYTANLTDVADIQGTFLGDHVGRLRATVKTGIVLPLPIEDPLDKFQSVDAVKRQQLLRIKQRKQKLASREEEFFASSCRRPKGMLRRIKGWEVRTLKRTKLNPFSEKLCVCFDNDGEFLPKDINSYFWEYICWKHAKPLKLLNRLRFGIKQSAKKDPTSMTATFKQPVMAVRQNRKPSRTNIQRDDEDSFLRSRKSNRFPMEVASSTNRGKWVPTKQLSSYKENWTNSLSGEKLSVLAKASSSEDPLQDLEAM
+>sp|Q8NG48|LINES_HUMAN Protein Lines homolog 1 OS=Homo sapiens OX=9606 GN=LINS1 PE=1 SV=2
+MKVFCEVLEELYKKVLLGATLENDSHDYIFYLNPAVSDQDCSTATSLEWANTCGIQGRHQPISVGVAPIAVAPVCLKTNSQMSGSREVMLLQLTVIKVMTTRILSVKTEFHAKEQYRDVIKILLESAKVDSKLICMFQNSDKLLSHMAAQCLALLLYFQLREKITLSNSWIAFCQKNLSEYSESNKAIYCLWTLTAIIKEIFKDSCSQKTEILKQFLTHFDTIFEVFYNSLFSQHFENCRDTSKIVNILMCFLDLLELLIASRIHLKLHFTCQRILFLKPSCMLEVITWPIQAFVKRKVIIFLKKCLLCKVGEDLCRGSVPALMPPDHHVAVDMLALANAVLQAVNSGLLKTLSVYEKHSFFGGDEVQPECELITSPDHVILRAASLVIMKSLEIKFQNYSSASEVKVDLQRFMSELLTFLKPHLQPSLQLHNPCKWLSRVFIEQDDDMLEAAKASLGIYLTLTRGCEATESLTQGKEMWDHHTHENGYNPHCIFLFFLKNIGFDSTVLLDFLISSETCFLEYFVRYLKLLQKDWDNFFTICNNFDATESKYDISICGCVPSLVQDQSSNQTIPHRLTAPHSHRDVCARHSWASDAPSEPLKAVMSKGAHTMCASSLSSPRASQSLVDYDSSDDSDVESTEQCLANSKQTSLHQQATKEIQDAAGTSRDKKEFSLEPPSRPLVLKEFDTAFSFDCEVAPNDVVSEVGIFYRIVKCFQELQDAICRLQKKNLFPYNPTALLKLLKYIEVISNKTMNTL
+>DECOY_sp|Q8NG48|LINES_HUMAN Protein Lines homolog 1 OS=Homo sapiens OX=9606 GN=LINS1 PE=1 SV=2
+LTNMTKNSIVEIYKLLKLLATPNYPFLNKKQLRCIADQLEQFCKVIRYFIGVESVVDNPAVECDFSFATDFEKLVLPRSPPELSFEKKDRSTGAADQIEKTAQQHLSTQKSNALCQETSEVDSDDSSDYDVLSQSARPSSLSSACMTHAGKSMVAKLPESPADSAWSHRACVDRHSHPATLRHPITQNSSQDQVLSPVCGCISIDYKSETADFNNCITFFNDWDKQLLKLYRVFYELFCTESSILFDLLVTSDFGINKLFFLFICHPNYGNEHTHHDWMEKGQTLSETAECGRTLTLYIGLSAKAAELMDDDQEIFVRSLWKCPNHLQLSPQLHPKLFTLLESMFRQLDVKVESASSYNQFKIELSKMIVLSAARLIVHDPSTILECEPQVEDGGFFSHKEYVSLTKLLGSNVAQLVANALALMDVAVHHDPPMLAPVSGRCLDEGVKCLLCKKLFIIVKRKVFAQIPWTIVELMCSPKLFLIRQCTFHLKLHIRSAILLELLDLFCMLINVIKSTDRCNEFHQSFLSNYFVEFITDFHTLFQKLIETKQSCSDKFIEKIIATLTWLCYIAKNSESYESLNKQCFAIWSNSLTIKERLQFYLLLALCQAAMHSLLKDSNQFMCILKSDVKASELLIKIVDRYQEKAHFETKVSLIRTTMVKIVTLQLLMVERSGSMQSNTKLCVPAVAIPAVGVSIPQHRGQIGCTNAWELSTATSCDQDSVAPNLYFIYDHSDNELTAGLLVKKYLEELVECFVKM
+>sp|Q5VXJ0|LIPK_HUMAN Lipase member K OS=Homo sapiens OX=9606 GN=LIPK PE=2 SV=2
+MWQLLAAACWMLLLGSMYGYDKKGNNANPEANMNISQIISYWGYPYEEYDVTTKDGYILGIYRIPHGRGCPGRTAPKPAVYLQHGLIASASNWICNLPNNSLAFLLADSGYDVWLGNSRGNTWSRKHLKLSPKSPEYWAFSLDEMAKYDLPATINFIIEKTGQKRLYYVGHSQGTTIAFIAFSTNPELAKKIKIFFALAPVVTVKYTQSPMKKLTTLSRRVVKVLFGDKMFHPHTLFDQFIATKVCNRKLFRRICSNFLFTLSGFDPQNLNMSRLDVYLSHNPAGTSVQNMLHWAQAVNSGQLQAFDWGNSDQNMMHFHQLTPPLYNITKMEVPTAIWNGGQDIVADPKDVENLLPQIANLIYYKLIPHYNHVDFYLGEDAPQEIYQDLIILMEEYLQN
+>DECOY_sp|Q5VXJ0|LIPK_HUMAN Lipase member K OS=Homo sapiens OX=9606 GN=LIPK PE=2 SV=2
+NQLYEEMLIILDQYIEQPADEGLYFDVHNYHPILKYYILNAIQPLLNEVDKPDAVIDQGGNWIATPVEMKTINYLPPTLQHFHMMNQDSNGWDFAQLQGSNVAQAWHLMNQVSTGAPNHSLYVDLRSMNLNQPDFGSLTFLFNSCIRRFLKRNCVKTAIFQDFLTHPHFMKDGFLVKVVRRSLTTLKKMPSQTYKVTVVPALAFFIKIKKALEPNTSFAIFAITTGQSHGVYYLRKQGTKEIIFNITAPLDYKAMEDLSFAWYEPSKPSLKLHKRSWTNGRSNGLWVDYGSDALLFALSNNPLNCIWNSASAILGHQLYVAPKPATRGPCGRGHPIRYIGLIYGDKTTVDYEEYPYGWYSIIQSINMNAEPNANNGKKDYGYMSGLLLMWCAAALLQWM
+>sp|P06858|LIPL_HUMAN Lipoprotein lipase OS=Homo sapiens OX=9606 GN=LPL PE=1 SV=1
+MESKALLVLTLAVWLQSLTASRGGVAAADQRRDFIDIESKFALRTPEDTAEDTCHLIPGVAESVATCHFNHSSKTFMVIHGWTVTGMYESWVPKLVAALYKREPDSNVIVVDWLSRAQEHYPVSAGYTKLVGQDVARFINWMEEEFNYPLDNVHLLGYSLGAHAAGIAGSLTNKKVNRITGLDPAGPNFEYAEAPSRLSPDDADFVDVLHTFTRGSPGRSIGIQKPVGHVDIYPNGGTFQPGCNIGEAIRVIAERGLGDVDQLVKCSHERSIHLFIDSLLNEENPSKAYRCSSKEAFEKGLCLSCRKNRCNNLGYEINKVRAKRSSKMYLKTRSQMPYKVFHYQVKIHFSGTESETHTNQAFEISLYGTVAESENIPFTLPEVSTNKTYSFLIYTEVDIGELLMLKLKWKSDSYFSWSDWWSSPGFAIQKIRVKAGETQKKVIFCSREKVSHLQKGKAPAVFVKCHDKSLNKKSG
+>DECOY_sp|P06858|LIPL_HUMAN Lipoprotein lipase OS=Homo sapiens OX=9606 GN=LPL PE=1 SV=1
+GSKKNLSKDHCKVFVAPAKGKQLHSVKERSCFIVKKQTEGAKVRIKQIAFGPSSWWDSWSFYSDSKWKLKLMLLEGIDVETYILFSYTKNTSVEPLTFPINESEAVTGYLSIEFAQNTHTESETGSFHIKVQYHFVKYPMQSRTKLYMKSSRKARVKNIEYGLNNCRNKRCSLCLGKEFAEKSSCRYAKSPNEENLLSDIFLHISREHSCKVLQDVDGLGREAIVRIAEGINCGPQFTGGNPYIDVHGVPKQIGISRGPSGRTFTHLVDVFDADDPSLRSPAEAYEFNPGAPDLGTIRNVKKNTLSGAIGAAHAGLSYGLLHVNDLPYNFEEEMWNIFRAVDQGVLKTYGASVPYHEQARSLWDVVIVNSDPERKYLAAVLKPVWSEYMGTVTWGHIVMFTKSSHNFHCTAVSEAVGPILHCTDEATDEPTRLAFKSEIDIFDRRQDAAAVGGRSATLSQLWVALTLVLLAKSEM
+>sp|P54315|LIPR1_HUMAN Inactive pancreatic lipase-related protein 1 OS=Homo sapiens OX=9606 GN=PNLIPRP1 PE=1 SV=1
+MLIFWTITLFLLGAAKGKEVCYEDLGCFSDTEPWGGTAIRPLKILPWSPEKIGTRFLLYTNENPNNFQILLLSDPSTIEASNFQMDRKTRFIIHGFIDKGDESWVTDMCKKLFEVEEVNCICVDWKKGSQATYTQAANNVRVVGAQVAQMLDILLTEYSYPPSKVHLIGHSLGAHVAGEAGSKTPGLSRITGLDPVEASFESTPEEVRLDPSDADFVDVIHTDAAPLIPFLGFGTNQQMGHLDFFPNGGESMPGCKKNALSQIVDLDGIWAGTRDFVACNHLRSYKYYLESILNPDGFAAYPCTSYKSFESDKCFPCPDQGCPQMGHYADKFAGRTSEEQQKFFLNTGEASNFARWRYGVSITLSGRTATGQIKVALFGNKGNTHQYSIFRGILKPGSTHSYEFDAKLDVGTIEKVKFLWNNNVINPTLPKVGATKITVQKGEEKTVYNFCSEDTVREDTLLTLTPC
+>DECOY_sp|P54315|LIPR1_HUMAN Inactive pancreatic lipase-related protein 1 OS=Homo sapiens OX=9606 GN=PNLIPRP1 PE=1 SV=1
+CPTLTLLTDERVTDESCFNYVTKEEGKQVTIKTAGVKPLTPNIVNNNWLFKVKEITGVDLKADFEYSHTSGPKLIGRFISYQHTNGKNGFLAVKIQGTATRGSLTISVGYRWRAFNSAEGTNLFFKQQEESTRGAFKDAYHGMQPCGQDPCPFCKDSEFSKYSTCPYAAFGDPNLISELYYKYSRLHNCAVFDRTGAWIGDLDVIQSLANKKCGPMSEGGNPFFDLHGMQQNTGFGLFPILPAADTHIVDVFDADSPDLRVEEPTSEFSAEVPDLGTIRSLGPTKSGAEGAVHAGLSHGILHVKSPPYSYETLLIDLMQAVQAGVVRVNNAAQTYTAQSGKKWDVCICNVEEVEFLKKCMDTVWSEDGKDIFGHIIFRTKRDMQFNSAEITSPDSLLLIQFNNPNENTYLLFRTGIKEPSWPLIKLPRIATGGWPETDSFCGLDEYCVEKGKAAGLLFLTITWFILM
+>sp|P54317|LIPR2_HUMAN Pancreatic lipase-related protein 2 OS=Homo sapiens OX=9606 GN=PNLIPRP2 PE=1 SV=2
+MLPPWTLGLLLLATVRGKEVCYGQLGCFSDEKPWAGTLQRPVKLLPWSPEDIDTRFLLYTNENPNNFQLITGTEPDTIEASNFQLDRKTRFIIHGFLDKAEDSWPSDMCKKMFEVEKVNCICVDWRHGSRAMYTQAVQNIRVVGAETAFLIQALSTQLGYSLEDVHVIGHSLGAHTAAEAGRRLGGRVGRITGLDPAGPCFQDEPEEVRLDPSDAVFVDVIHTDSSPIVPSLGFGMSQKVGHLDFFPNGGKEMPGCKKNVLSTITDIDGIWEGIGGFVSCNHLRSFEYYSSSVLNPDGFLGYPCASYDEFQESKCFPCPAEGCPKMGHYADQFKGKTSAVEQTFFLNTGESGNFTSWRYKISVTLSGKEKVNGYIRIALYGSNENSKQYEIFKGSLKPDASHTCAIDVDFNVGKIQKVKFLWNKRGINLSEPKLGASQITVQSGEDGTEYNFCSSDTVEENVLQSLYPC
+>DECOY_sp|P54317|LIPR2_HUMAN Pancreatic lipase-related protein 2 OS=Homo sapiens OX=9606 GN=PNLIPRP2 PE=1 SV=2
+CPYLSQLVNEEVTDSSCFNYETGDEGSQVTIQSAGLKPESLNIGRKNWLFKVKQIKGVNFDVDIACTHSADPKLSGKFIEYQKSNENSGYLAIRIYGNVKEKGSLTVSIKYRWSTFNGSEGTNLFFTQEVASTKGKFQDAYHGMKPCGEAPCPFCKSEQFEDYSACPYGLFGDPNLVSSSYYEFSRLHNCSVFGGIGEWIGDIDTITSLVNKKCGPMEKGGNPFFDLHGVKQSMGFGLSPVIPSSDTHIVDVFVADSPDLRVEEPEDQFCPGAPDLGTIRGVRGGLRRGAEAATHAGLSHGIVHVDELSYGLQTSLAQILFATEAGVVRINQVAQTYMARSGHRWDVCICNVKEVEFMKKCMDSPWSDEAKDLFGHIIFRTKRDLQFNSAEITDPETGTILQFNNPNENTYLLFRTDIDEPSWPLLKVPRQLTGAWPKEDSFCGLQGYCVEKGRVTALLLLGLTWPPLM
+>sp|Q05469|LIPS_HUMAN Hormone-sensitive lipase OS=Homo sapiens OX=9606 GN=LIPE PE=1 SV=4
+MEPGSKSVSRSDWQPEPHQRPITPLEPGPEKTPIAQPESKTLQGSNTQQKPASNQRPLTQQETPAQHDAESQKEPRAQQKSASQEEFLAPQKPAPQQSPYIQRVLLTQQEAASQQGPGLGKESITQQEPALRQRHVAQPGPGPGEPPPAQQEAESTPAAQAKPGAKREPSAPTESTSQETPEQSDKQTTPVQGAKSKQGSLTELGFLTKLQELSIQRSALEWKALSEWVTDSESESDVGSSSDTDSPATMGGMVAQGVKLGFKGKSGYKVMSGYSGTSPHEKTSARNHRHYQDTASRLIHNMDLRTMTQSLVTLAEDNIAFFSSQGPGETAQRLSGVFAGVREQALGLEPALGRLLGVAHLFDLDPETPANGYRSLVHTARCCLAHLLHKSRYVASNRRSIFFRTSHNLAELEAYLAALTQLRALVYYAQRLLVTNRPGVLFFEGDEGLTADFLREYVTLHKGCFYGRCLGFQFTPAIRPFLQTISIGLVSFGEHYKRNETGLSVAASSLFTSGRFAIDPELRGAEFERITQNLDVHFWKAFWNITEMEVLSSLANMASATVRVSRLLSLPPEAFEMPLTADPTLTVTISPPLAHTGPGPVLVRLISYDLREGQDSEELSSLIKSNGQRSLELWPRPQQAPRSRSLIVHFHGGGFVAQTSRSHEPYLKSWAQELGAPIISIDYSLAPEAPFPRALEECFFAYCWAIKHCALLGSTGERICLAGDSAGGNLCFTVALRAAAYGVRVPDGIMAAYPATMLQPAASPSRLLSLMDPLLPLSVLSKCVSAYAGAKTEDHSNSDQKALGMMGLVRRDTALLLRDFRLGASSWLNSFLELSGRKSQKMSEPIAEPMRRSVSEAALAQPQGPLGTDSLKNLTLRDLSLRGNSETSSDTPEMSLSAETLSPSTPSDVNFLLPPEDAGEEAEAKNELSPMDRGLGVRAAFPEGFHPRRSSQGATQMPLYSSPIVKNPFMSPLLAPDSMLKSLPPVHIVACALDPMLDDSVMLARRLRNLGQPVTLRVVEDLPHGFLTLAALCRETRQAAELCVERIRLVLTPPAGAGPSGETGAAGVDGGCGGRH
+>DECOY_sp|Q05469|LIPS_HUMAN Hormone-sensitive lipase OS=Homo sapiens OX=9606 GN=LIPE PE=1 SV=4
+HRGGCGGDVGAAGTEGSPGAGAPPTLVLRIREVCLEAAQRTERCLAALTLFGHPLDEVVRLTVPQGLNRLRRALMVSDDLMPDLACAVIHVPPLSKLMSDPALLPSMFPNKVIPSSYLPMQTAGQSSRRPHFGEPFAARVGLGRDMPSLENKAEAEEGADEPPLLFNVDSPTSPSLTEASLSMEPTDSSTESNGRLSLDRLTLNKLSDTGLPGQPQALAAESVSRRMPEAIPESMKQSKRGSLELFSNLWSSAGLRFDRLLLATDRRVLGMMGLAKQDSNSHDETKAGAYASVCKSLVSLPLLPDMLSLLRSPSAAPQLMTAPYAAMIGDPVRVGYAAARLAVTFCLNGGASDGALCIREGTSGLLACHKIAWCYAFFCEELARPFPAEPALSYDISIIPAGLEQAWSKLYPEHSRSTQAVFGGGHFHVILSRSRPAQQPRPWLELSRQGNSKILSSLEESDQGERLDYSILRVLVPGPGTHALPPSITVTLTPDATLPMEFAEPPLSLLRSVRVTASAMNALSSLVEMETINWFAKWFHVDLNQTIREFEAGRLEPDIAFRGSTFLSSAAVSLGTENRKYHEGFSVLGISITQLFPRIAPTFQFGLCRGYFCGKHLTVYERLFDATLGEDGEFFLVGPRNTVLLRQAYYVLARLQTLAALYAELEALNHSTRFFISRRNSAVYRSKHLLHALCCRATHVLSRYGNAPTEPDLDFLHAVGLLRGLAPELGLAQERVGAFVGSLRQATEGPGQSSFFAINDEALTVLSQTMTRLDMNHILRSATDQYHRHNRASTKEHPSTGSYGSMVKYGSKGKFGLKVGQAVMGGMTAPSDTDSSSGVDSESESDTVWESLAKWELASRQISLEQLKTLFGLETLSGQKSKAGQVPTTQKDSQEPTEQSTSETPASPERKAGPKAQAAPTSEAEQQAPPPEGPGPGPQAVHRQRLAPEQQTISEKGLGPGQQSAAEQQTLLVRQIYPSQQPAPKQPALFEEQSASKQQARPEKQSEADHQAPTEQQTLPRQNSAPKQQTNSGQLTKSEPQAIPTKEPGPELPTIPRQHPEPQWDSRSVSKSGPEM
+>sp|Q5T7N2|LITD1_HUMAN LINE-1 type transposase domain-containing protein 1 OS=Homo sapiens OX=9606 GN=L1TD1 PE=1 SV=1
+MSDVSTSVQSKFARLAKKKENITYMKREQLTETDKDIAPVLDLKCKDVSAIMNKFKVLMEIQDLMFEEMRETLKNDLKAVLGGKATIPEVKNSENSSSRTEFQQIINLALQKTGMVGKIEGENSKIGDDNENLTFKLEVNELSGKLDNTNEYNSNDGKKLPQGESRSYEVMGSMEETLCNIDDRDGNRNVHLEFTERESRKDGEDEFVKEMREERKFQKLKNKEEVLKASREEKVLMDEGAVLTLVADLSSATLDISKQWSNVFNILRENDFEPKFLCEVKLAFKCDGEIKTFSDLQSLRKFASQKSSVKELLKDVLPQKEEINQGGRKYGIQEKRDKTLIDSKHRAGEITSDGLSFLFLKEVKVAKPEEMKNLETQEEEFSELEELDEEASGMEDDEDTSGLEEEEEEPSGLEEEEEEEASGLEEDEASGLEEEEEQTSEQDSTFQGHTLVDAKHEVEITSDGMETTFIDSVEDSESEEEEEGKSSETGKVKTTSLTEKKASRRQKEIPFSYLVGDSGKKKLVKHQVVHKTQEEEETAVPTSQGTGTPCLTLCLASPSKSLEMSHDEHKKHSHTNLSISTGVTKLKKTEEKKHRTLHTEELTSKEADLTEETEENLRSSVINSIREIKEEIGNLKSSHSGVLEIENSVDDLSSRMDILEERIDSLEDQIEEFSKDTMQMTKQIISKERQRDIEERSRSCNIRLIGIPEKESYENRAEDIIKEIIDENFAELKKGSSLEIVSACRVPSKIDEKRLTPRHILVKFWNSSDKEKIIRASRERREITYQGTRIRLTADLSLDTLDARSKWSNVFKVLLEKGFNPRILYPAKMAFDFRGKTKVFLSIEEFRDYVLHMPTLRELLGNNIP
+>DECOY_sp|Q5T7N2|LITD1_HUMAN LINE-1 type transposase domain-containing protein 1 OS=Homo sapiens OX=9606 GN=L1TD1 PE=1 SV=1
+PINNGLLERLTPMHLVYDRFEEISLFVKTKGRFDFAMKAPYLIRPNFGKELLVKFVNSWKSRADLTDLSLDATLRIRTGQYTIERRERSARIIKEKDSSNWFKVLIHRPTLRKEDIKSPVRCASVIELSSGKKLEAFNEDIIEKIIDEARNEYSEKEPIGILRINCSRSREEIDRQREKSIIQKTMQMTDKSFEEIQDELSDIREELIDMRSSLDDVSNEIELVGSHSSKLNGIEEKIERISNIVSSRLNEETEETLDAEKSTLEETHLTRHKKEETKKLKTVGTSISLNTHSHKKHEDHSMELSKSPSALCLTLCPTGTGQSTPVATEEEEQTKHVVQHKVLKKKGSDGVLYSFPIEKQRRSAKKETLSTTKVKGTESSKGEEEEESESDEVSDIFTTEMGDSTIEVEHKADVLTHGQFTSDQESTQEEEEELGSAEDEELGSAEEEEEEELGSPEEEEEELGSTDEDDEMGSAEEDLEELESFEEEQTELNKMEEPKAVKVEKLFLFSLGDSTIEGARHKSDILTKDRKEQIGYKRGGQNIEEKQPLVDKLLEKVSSKQSAFKRLSQLDSFTKIEGDCKFALKVECLFKPEFDNERLINFVNSWQKSIDLTASSLDAVLTLVAGEDMLVKEERSAKLVEEKNKLKQFKREERMEKVFEDEGDKRSERETFELHVNRNGDRDDINCLTEEMSGMVEYSRSEGQPLKKGDNSNYENTNDLKGSLENVELKFTLNENDDGIKSNEGEIKGVMGTKQLALNIIQQFETRSSSNESNKVEPITAKGGLVAKLDNKLTERMEEFMLDQIEMLVKFKNMIASVDKCKLDLVPAIDKDTETLQERKMYTINEKKKALRAFKSQVSTSVDSM
+>sp|Q8IVB5|LIX1L_HUMAN LIX1-like protein OS=Homo sapiens OX=9606 GN=LIX1L PE=2 SV=1
+METMRAQRLQPGVGTSGRGTLRALRPGVTGAAAATATPPAGPPPAPPPPAPPPPPLLLSGAPGLPLPPGAAGSPAVLREAVEAVVRSFAKHTQGYGRVNVVEALQEFWQMKQSRGADLKNGALVVYEMVPSNSPPYVCYVTLPGGSCFGSFQFCPTKAEARRSAAKIALMNSVFNEHPSRRITDEFIEKSVSEALASFNGNREEADNPNTGIGAFRFMLESNKGKSMLEFQELMTVFQLLHWNGSLKAMRERQCSRQEVLAHYSHRALDDDIRHQMALDWVSREQSVPGALSRELASTERELDEARLAGKELRFHKEKKDILVLAAGQLGNMHSSNC
+>DECOY_sp|Q8IVB5|LIX1L_HUMAN LIX1-like protein OS=Homo sapiens OX=9606 GN=LIX1L PE=2 SV=1
+CNSSHMNGLQGAALVLIDKKEKHFRLEKGALRAEDLERETSALERSLAGPVSQERSVWDLAMQHRIDDDLARHSYHALVEQRSCQRERMAKLSGNWHLLQFVTMLEQFELMSKGKNSELMFRFAGIGTNPNDAEERNGNFSALAESVSKEIFEDTIRRSPHENFVSNMLAIKAASRRAEAKTPCFQFSGFCSGGPLTVYCVYPPSNSPVMEYVVLAGNKLDAGRSQKMQWFEQLAEVVNVRGYGQTHKAFSRVVAEVAERLVAPSGAAGPPLPLGPAGSLLLPPPPPAPPPPAPPPGAPPTATAAAAGTVGPRLARLTGRGSTGVGPQLRQARMTEM
+>sp|Q8N485|LIX1_HUMAN Protein limb expression 1 homolog OS=Homo sapiens OX=9606 GN=LIX1 PE=2 SV=2
+MDRTLESLRHIIAQVLPHRDPALVFKDLNVVSMLQEFWESKQQQKAAFPSEGVVVYESLPAPGPPFVSYVTLPGGSCFGNFQCCLSRAEARRDAAKVALINSLFNELPSRRITKEFIMESVQEAVASTSGTLDDADDPSTSVGAYHYMLESNMGKTMLEFQELMTIFQLLHWNGSLKALRETKCSRQEVISYYSQYSLDEKMRSHMALDWIMKERDSPGIVSQELRMALRQLEEARKAGQELRFYKEKKEILSLALTQICSDPDTSSPSDDQLSLTALCGYH
+>DECOY_sp|Q8N485|LIX1_HUMAN Protein limb expression 1 homolog OS=Homo sapiens OX=9606 GN=LIX1 PE=2 SV=2
+HYGCLATLSLQDDSPSSTDPDSCIQTLALSLIEKKEKYFRLEQGAKRAEELQRLAMRLEQSVIGPSDREKMIWDLAMHSRMKEDLSYQSYYSIVEQRSCKTERLAKLSGNWHLLQFITMLEQFELMTKGMNSELMYHYAGVSTSPDDADDLTGSTSAVAEQVSEMIFEKTIRRSPLENFLSNILAVKAADRRAEARSLCCQFNGFCSGGPLTVYSVFPPGPAPLSEYVVVGESPFAAKQQQKSEWFEQLMSVVNLDKFVLAPDRHPLVQAIIHRLSELTRDM
+>sp|Q9BRT6|LLPH_HUMAN Protein LLP homolog OS=Homo sapiens OX=9606 GN=LLPH PE=1 SV=1
+MAKSLRSKWKRKMRAEKRKKNAPKEASRLKSILKLDGDVLMKDVQEIATVVVPKPKHCQEKMQCEVKDEKDDMKMETDIKRNKKTLLDQHGQYPIWMNQRQRKRLKAKREKRKGKSKAKAVKVAKGLAW
+>DECOY_sp|Q9BRT6|LLPH_HUMAN Protein LLP homolog OS=Homo sapiens OX=9606 GN=LLPH PE=1 SV=1
+WALGKAVKVAKAKSKGKRKERKAKLRKRQRQNMWIPYQGHQDLLTKKNRKIDTEMKMDDKEDKVECQMKEQCHKPKPVVVTAIEQVDKMLVDGDLKLISKLRSAEKPANKKRKEARMKRKWKSRLSKAM
+>sp|Q68DH5|LMBD2_HUMAN LMBR1 domain-containing protein 2 OS=Homo sapiens OX=9606 GN=LMBRD2 PE=1 SV=1
+MSGAALGLEIVFVFFLALFLLHRYGDFKKQHRLVIIGTLLAWYLCFLIVFILPLDVSTTIYNRCKHAAANSSPPENSNITGLYATANPVPSQHPCFKPWSYIPDGIMPIFWRVVYWTSQFLTWILLPFMQSYARSGGFSITGKIKTALIENAIYYGTYLLIFGAFLIYVAVNPHLHLEWNQLQTIGIAAANTWGLFLLVLLLGYGLVEIPRSYWNGAKRGYLLMKTYFKAAKLMTEKADAEENLEDAMEEVRKVNESIKYNHPLRKCVDTILKKCPTEYQEKMGRNMDDYEDFDEKHSIYPSEKSLVKLHKQVIYSVQRHRRTQVQWQILLEQAFYLEDVAKNETSATHQFVHTFQSPEPENRFIQYFYNPTFEWYWECLLRPWFYKILAVVLSIFSVIVVWSECTFFSTTPVLSLFAVFIQLAEKTYNYIYIEIACFLSIFFLSICVYSTVFRIRVFNYYYLASHHQTDAYSLLFSGMLFCRLTPPLCLNFLGLTHMDSSISHKNTQPTAYTSIMGSMKVLSFIADGFYIYYPMLVVILCIATYFSLGTRCLNLLGFQQFMGDDDMTSDLVNEGKELIRKEKRKRQRQEEGENRRREWKERYGHNREDSTRNRNIHTDPKESNFSDVNTNRSAFKYTRANNRTERDRIELLQDAEPLDFNAETFTDDPLESESGRYQPGGRYLSMSRSDIFNDV
+>DECOY_sp|Q68DH5|LMBD2_HUMAN LMBR1 domain-containing protein 2 OS=Homo sapiens OX=9606 GN=LMBRD2 PE=1 SV=1
+VDNFIDSRSMSLYRGGPQYRGSESELPDDTFTEANFDLPEADQLLEIRDRETRNNARTYKFASRNTNVDSFNSEKPDTHINRNRTSDERNHGYREKWERRRNEGEEQRQRKRKEKRILEKGENVLDSTMDDDGMFQQFGLLNLCRTGLSFYTAICLIVVLMPYYIYFGDAIFSLVKMSGMISTYATPQTNKHSISSDMHTLGLFNLCLPPTLRCFLMGSFLLSYADTQHHSALYYYNFVRIRFVTSYVCISLFFISLFCAIEIYIYNYTKEALQIFVAFLSLVPTTSFFTCESWVVIVSFISLVVALIKYFWPRLLCEWYWEFTPNYFYQIFRNEPEPSQFTHVFQHTASTENKAVDELYFAQELLIQWQVQTRRHRQVSYIVQKHLKVLSKESPYISHKEDFDEYDDMNRGMKEQYETPCKKLITDVCKRLPHNYKISENVKRVEEMADELNEEADAKETMLKAAKFYTKMLLYGRKAGNWYSRPIEVLGYGLLLVLLFLGWTNAAAIGITQLQNWELHLHPNVAVYILFAGFILLYTGYYIANEILATKIKGTISFGGSRAYSQMFPLLIWTLFQSTWYVVRWFIPMIGDPIYSWPKFCPHQSPVPNATAYLGTINSNEPPSSNAAAHKCRNYITTSVDLPLIFVILFCLYWALLTGIIVLRHQKKFDGYRHLLFLALFFVFVIELGLAAGSM
+>sp|Q9NZU5|LMCD1_HUMAN LIM and cysteine-rich domains protein 1 OS=Homo sapiens OX=9606 GN=LMCD1 PE=1 SV=1
+MAKVAKDLNPGVKKMSLGQLQSARGVACLGCKGTCSGFEPHSWRKICKSCKCSQEDHCLTSDLEDDRKIGRLLMDSKYSTLTARVKGGDGIRIYKRNRMIMTNPIATGKDPTFDTITYEWAPPGVTQKLGLQYMELIPKEKQPVTGTEGAFYRRRQLMHQLPIYDQDPSRCRGLLENELKLMEEFVKQYKSEALGVGEVALPGQGGLPKEEGKQQEKPEGAETTAATTNGSLSDPSKEVEYVCELCKGAAPPDSPVVYSDRAGYNKQWHPTCFVCAKCSEPLVDLIYFWKDGAPWCGRHYCESLRPRCSGCDEIIFAEDYQRVEDLAWHRKHFVCEGCEQLLSGRAYIVTKGQLLCPTCSKSKRS
+>DECOY_sp|Q9NZU5|LMCD1_HUMAN LIM and cysteine-rich domains protein 1 OS=Homo sapiens OX=9606 GN=LMCD1 PE=1 SV=1
+SRKSKSCTPCLLQGKTVIYARGSLLQECGECVFHKRHWALDEVRQYDEAFIIEDCGSCRPRLSECYHRGCWPAGDKWFYILDVLPESCKACVFCTPHWQKNYGARDSYVVPSDPPAAGKCLECVYEVEKSPDSLSGNTTAATTEAGEPKEQQKGEEKPLGGQGPLAVEGVGLAESKYQKVFEEMLKLENELLGRCRSPDQDYIPLQHMLQRRRYFAGETGTVPQKEKPILEMYQLGLKQTVGPPAWEYTITDFTPDKGTAIPNTMIMRNRKYIRIGDGGKVRATLTSYKSDMLLRGIKRDDELDSTLCHDEQSCKCSKCIKRWSHPEFGSCTGKCGLCAVGRASQLQGLSMKKVGPNLDKAVKAM
+>sp|Q96S06|LMF1_HUMAN Lipase maturation factor 1 OS=Homo sapiens OX=9606 GN=LMF1 PE=1 SV=1
+MRPDSPTMAAPAESLRRRKTGYSDPEPESPPAPGRGPAGSPAHLHTGTFWLTRIVLLKALAFVYFVAFLVAFHQNKQLIGDRGLLPCRVFLKNFQQYFQDRTSWEVFSYMPTILWLMDWSDMNSNLDLLALLGLGISSFVLITGCANMLLMAALWGLYMSLVNVGHVWYSFGWESQLLETGFLGIFLCPLWTLSRLPQHTPTSRIVLWGFRWLIFRIMLGAGLIKIRGDRCWRDLTCMDFHYETQPMPNPVAYYLHHSPWWFHRFETLSNHFIELLVPFFLFLGRRACIIHGVLQILFQAVLIVSGNLSFLNWLTMVPSLACFDDATLGFLFPSGPGSLKDRVLQMQRDIRGARPEPRFGSVVRRAANVSLGVLLAWLSVPVVLNLLSSRQVMNTHFNSLHIVNTYGAFGSITKERAEVILQGTASSNASAPDAMWEDYEFKCKPGDPSRRPCLISPYHYRLDWLMWFAAFQTYEHNDWIIHLAGKLLASDAEALSLLAHNPFAGRPPPRWVRGEHYRYKFSRPGGRHAAEGKWWVRKRIGAYFPPLSLEELRPYFRDRGWPLPGPL
+>DECOY_sp|Q96S06|LMF1_HUMAN Lipase maturation factor 1 OS=Homo sapiens OX=9606 GN=LMF1 PE=1 SV=1
+LPGPLPWGRDRFYPRLEELSLPPFYAGIRKRVWWKGEAAHRGGPRSFKYRYHEGRVWRPPPRGAFPNHALLSLAEADSALLKGALHIIWDNHEYTQFAAFWMLWDLRYHYPSILCPRRSPDGPKCKFEYDEWMADPASANSSATGQLIVEAREKTISGFAGYTNVIHLSNFHTNMVQRSSLLNLVVPVSLWALLVGLSVNAARRVVSGFRPEPRAGRIDRQMQLVRDKLSGPGSPFLFGLTADDFCALSPVMTLWNLFSLNGSVILVAQFLIQLVGHIICARRGLFLFFPVLLEIFHNSLTEFRHFWWPSHHLYYAVPNPMPQTEYHFDMCTLDRWCRDGRIKILGAGLMIRFILWRFGWLVIRSTPTHQPLRSLTWLPCLFIGLFGTELLQSEWGFSYWVHGVNVLSMYLGWLAAMLLMNACGTILVFSSIGLGLLALLDLNSNMDSWDMLWLITPMYSFVEWSTRDQFYQQFNKLFVRCPLLGRDGILQKNQHFAVLFAVFYVFALAKLLVIRTLWFTGTHLHAPSGAPGRGPAPPSEPEPDSYGTKRRRLSEAPAAMTPSDPRM
+>sp|P55344|LMIP_HUMAN Lens fiber membrane intrinsic protein OS=Homo sapiens OX=9606 GN=LIM2 PE=1 SV=2
+MYSFMGGGLFCAWVGTILLVVAMATDHWMQYRLSGSFAHQGLWRYCLGNKCYLQTDSIAYWNATRAFMILSALCAISGIIMGIMAFAHQPTFSRISRPFSAGIMFFSSTLFVVLALAIYTGVTVSFLGRRFGDWRFSWSYILGWVAVLMTFFAGIFYMCAYRVHECRRLSTPR
+>DECOY_sp|P55344|LMIP_HUMAN Lens fiber membrane intrinsic protein OS=Homo sapiens OX=9606 GN=LIM2 PE=1 SV=2
+RPTSLRRCEHVRYACMYFIGAFFTMLVAVWGLIYSWSFRWDGFRRGLFSVTVGTYIALALVVFLTSSFFMIGASFPRSIRSFTPQHAFAMIGMIIGSIACLASLIMFARTANWYAISDTQLYCKNGLCYRWLGQHAFSGSLRYQMWHDTAMAVVLLITGVWACFLGGGMFSYM
+>sp|P02545|LMNA_HUMAN Prelamin-A/C OS=Homo sapiens OX=9606 GN=LMNA PE=1 SV=1
+METPSQRRATRSGAQASSTPLSPTRITRLQEKEDLQELNDRLAVYIDRVRSLETENAGLRLRITESEEVVSREVSGIKAAYEAELGDARKTLDSVAKERARLQLELSKVREEFKELKARNTKKEGDLIAAQARLKDLEALLNSKEAALSTALSEKRTLEGELHDLRGQVAKLEAALGEAKKQLQDEMLRRVDAENRLQTMKEELDFQKNIYSEELRETKRRHETRLVEIDNGKQREFESRLADALQELRAQHEDQVEQYKKELEKTYSAKLDNARQSAERNSNLVGAAHEELQQSRIRIDSLSAQLSQLQKQLAAKEAKLRDLEDSLARERDTSRRLLAEKEREMAEMRARMQQQLDEYQELLDIKLALDMEIHAYRKLLEGEEERLRLSPSPTSQRSRGRASSHSSQTQGGGSVTKKRKLESTESRSSFSQHARTSGRVAVEEVDEEGKFVRLRNKSNEDQSMGNWQIKRQNGDDPLLTYRFPPKFTLKAGQVVTIWAAGAGATHSPPTDLVWKAQNTWGCGNSLRTALINSTGEEVAMRKLVRSVTVVEDDEDEDGDDLLHHHHGSHCSSSGDPAEYNLRSRTVLCGTCGQPADKASASGSGAQVGGPISSGSSASSVTVTRSYRSVGGSGGGSFGDNLVTRSYLLGNSSPRTQSPQNCSIM
+>DECOY_sp|P02545|LMNA_HUMAN Prelamin-A/C OS=Homo sapiens OX=9606 GN=LMNA PE=1 SV=1
+MISCNQPSQTRPSSNGLLYSRTVLNDGFSGGGSGGVSRYSRTVTVSSASSGSSIPGGVQAGSGSASAKDAPQGCTGCLVTRSRLNYEAPDGSSSCHSGHHHHLLDDGDEDEDDEVVTVSRVLKRMAVEEGTSNILATRLSNGCGWTNQAKWVLDTPPSHTAGAGAAWITVVQGAKLTFKPPFRYTLLPDDGNQRKIQWNGMSQDENSKNRLRVFKGEEDVEEVAVRGSTRAHQSFSSRSETSELKRKKTVSGGGQTQSSHSSARGRSRQSTPSPSLRLREEEGELLKRYAHIEMDLALKIDLLEQYEDLQQQMRARMEAMEREKEALLRRSTDRERALSDELDRLKAEKAALQKQLQSLQASLSDIRIRSQQLEEHAAGVLNSNREASQRANDLKASYTKELEKKYQEVQDEHQARLEQLADALRSEFERQKGNDIEVLRTEHRRKTERLEESYINKQFDLEEKMTQLRNEADVRRLMEDQLQKKAEGLAAELKAVQGRLDHLEGELTRKESLATSLAAEKSNLLAELDKLRAQAAILDGEKKTNRAKLEKFEERVKSLELQLRAREKAVSDLTKRADGLEAEYAAKIGSVERSVVEESETIRLRLGANETELSRVRDIYVALRDNLEQLDEKEQLRTIRTPSLPTSSAQAGSRTARRQSPTEM
+>sp|Q0VAK6|LMOD3_HUMAN Leiomodin-3 OS=Homo sapiens OX=9606 GN=LMOD3 PE=1 SV=1
+MSEHSRNSDQEELLDEEINEDEILANLSAEELKELQSEMEVMAPDPSLPVGMIQKDQTDKPPTGNFNHKSLVDYMYWEKASRRMLEEERVPVTFVKSEEKTQEEHEEIEKRNKNMAQYLKEKLNNEIVANKRESKGSSNIQETDEEDEEEEDDDDDDEGEDDGEESEETNREEEGKAKEQIRNCENNCQQVTDKAFKEQRDRPEAQEQSEKKISKLDPKKLALDTSFLKVSTRPSGNQTDLDGSLRRVRKNDPDMKELNLNNIENIPKEMLLDFVNAMKKNKHIKTFSLANVGADENVAFALANMLRENRSITTLNIESNFITGKGIVAIMRCLQFNETLTELRFHNQRHMLGHHAEMEIARLLKANNTLLKMGYHFELPGPRMVVTNLLTRNQDKQRQKRQEEQKQQQLKEQKKLIAMLENGLGLPPGMWELLGGPKPDSRMQEFFQPPPPRPPNPQNVPFSQRSEMMKKPSQAPKYRTDPDSFRVVKLKRIQRKSRMPEAREPPEKTNLKDVIKTLKPVPRNRPPPLVEITPRDQLLNDIRHSSVAYLKPVQLPKELA
+>DECOY_sp|Q0VAK6|LMOD3_HUMAN Leiomodin-3 OS=Homo sapiens OX=9606 GN=LMOD3 PE=1 SV=1
+ALEKPLQVPKLYAVSSHRIDNLLQDRPTIEVLPPPRNRPVPKLTKIVDKLNTKEPPERAEPMRSKRQIRKLKVVRFSDPDTRYKPAQSPKKMMESRQSFPVNQPNPPRPPPPQFFEQMRSDPKPGGLLEWMGPPLGLGNELMAILKKQEKLQQQKQEEQRKQRQKDQNRTLLNTVVMRPGPLEFHYGMKLLTNNAKLLRAIEMEAHHGLMHRQNHFRLETLTENFQLCRMIAVIGKGTIFNSEINLTTISRNERLMNALAFAVNEDAGVNALSFTKIHKNKKMANVFDLLMEKPINEINNLNLEKMDPDNKRVRRLSGDLDTQNGSPRTSVKLFSTDLALKKPDLKSIKKESQEQAEPRDRQEKFAKDTVQQCNNECNRIQEKAKGEEERNTEESEEGDDEGEDDDDDDEEEEDEEDTEQINSSGKSERKNAVIENNLKEKLYQAMNKNRKEIEEHEEQTKEESKVFTVPVREEELMRRSAKEWYMYDVLSKHNFNGTPPKDTQDKQIMGVPLSPDPAMVEMESQLEKLEEASLNALIEDENIEEDLLEEQDSNRSHESM
+>sp|Q6ZMQ8|LMTK1_HUMAN Serine/threonine-protein kinase LMTK1 OS=Homo sapiens OX=9606 GN=AATK PE=1 SV=2
+MSSSFFNPSFAFSSHFDPDGAPLSELSWPSSLAVVAVSFSGLFAVIVLMLACLCCKKGGIGFKEFENAEGDEYAADLAQGSPATAAQNGPDVYVLPLTEVSLPMAKQPGRSVQLLKSTDVGRHSLLYLKEIGRGWFGKVFLGEVNSGISSAQVVVKELQASASVQEQMQFLEEVQPYRALKHSNLLQCLAQCAEVTPYLLVMEFCPLGDLKGYLRSCRVAESMAPDPRTLQRMACEVACGVLHLHRNNFVHSDLALRNCLLTADLTVKIGDYGLAHCKYREDYFVTADQLWVPLRWIAPELVDEVHSNLLVVDQTKSGNVWSLGVTIWELFELGTQPYPQHSDQQVLAYTVREQQLKLPKPQLQLTLSDRWYEVMQFCWLQPEQRPTAEEVHLLLSYLCAKGATEAEEEFERRWRSLRPGGGGVGPGPGAAGPMLGGVVELAAASSFPLLEQFAGDGFHADGDDVLTVTETSRGLNFEYKWEAGRGAEAFPATLSPGRTARLQELCAPDGAPPGVVPVLSAHSPSLGSEYFIRLEEAAPAAGHDPDCAGCAPSPPATADQDDDSDGSTAASLAMEPLLGHGPPVDVPWGRGDHYPRRSLARDPLCPSRSPSPSAGPLSLAEGGAEDADWGVAAFCPAFFEDPLGTSPLGSSGAPPLPLTGEDELEEVGARRAAQRGHWRSNVSANNNSGSRCPESWDPVSAGGHAEGCPSPKQTPRASPEPGYPGEPLLGLQAASAQEPGCCPGLPHLCSAQGLAPAPCLVTPSWTETASSGGDHPQAEPKLATEAEGTTGPRLPLPSVPSPSQEGAPLPSEEASAPDAPDALPDSPTPATGGEVSAIKLASALNGSSSSPEVEAPSSEDEDTAEATSGIFTDTSSDGLQARRPDVVPAFRSLQKQVGTPDSLDSLDIPSSASDGGYEVFSPSATGPSGGQPRALDSGYDTENYESPEFVLKEAQEGCEPQAFAELASEGEGPGPETRLSTSLSGLNEKNPYRDSAYFSDLEAEAEATSGPEKKCGGDRAPGPELGLPSTGQPSEQVCLRPGVSGEAQGSGPGEVLPPLLQLEGSSPEPSTCPSGLVPEPPEPQGPAKVRPGPSPSCSQFFLLTPVPLRSEGNSSEFQGPPGLLSGPAPQKRMGGPGTPRAPLRLALPGLPAALEGRPEEEEEDSEDSDESDEELRCYSVQEPSEDSEEEAPAVPVVVAESQSARNLRSLLKMPSLLSETFCEDLERKKKAVSFFDDVTVYLFDQESPTRELGEPFPGAKESPPTFLRGSPGSPSAPNRPQQADGSPNGSTAEEGGGFAWDDDFPLMTAKAAFAMALDPAAPAPAAPTPTPAPFSRFTVSPAPTSRFSITHVSDSDAESKRGPEAGAGGESKEA
+>DECOY_sp|Q6ZMQ8|LMTK1_HUMAN Serine/threonine-protein kinase LMTK1 OS=Homo sapiens OX=9606 GN=AATK PE=1 SV=2
+AEKSEGGAGAEPGRKSEADSDSVHTISFRSTPAPSVTFRSFPAPTPTPAAPAPAAPDLAMAFAAKATMLPFDDDWAFGGGEEATSGNPSGDAQQPRNPASPSGPSGRLFTPPSEKAGPFPEGLERTPSEQDFLYVTVDDFFSVAKKKRELDECFTESLLSPMKLLSRLNRASQSEAVVVPVAPAEEESDESPEQVSYCRLEEDSEDSDESDEEEEEPRGELAAPLGPLALRLPARPTGPGGMRKQPAPGSLLGPPGQFESSNGESRLPVPTLLFFQSCSPSPGPRVKAPGQPEPPEPVLGSPCTSPEPSSGELQLLPPLVEGPGSGQAEGSVGPRLCVQESPQGTSPLGLEPGPARDGGCKKEPGSTAEAEAELDSFYASDRYPNKENLGSLSTSLRTEPGPGEGESALEAFAQPECGEQAEKLVFEPSEYNETDYGSDLARPQGGSPGTASPSFVEYGGDSASSPIDLSDLSDPTGVQKQLSRFAPVVDPRRAQLGDSSTDTFIGSTAEATDEDESSPAEVEPSSSSGNLASALKIASVEGGTAPTPSDPLADPADPASAEESPLPAGEQSPSPVSPLPLRPGTTGEAETALKPEAQPHDGGSSATETWSPTVLCPAPALGQASCLHPLGPCCGPEQASAAQLGLLPEGPYGPEPSARPTQKPSPCGEAHGGASVPDWSEPCRSGSNNNASVNSRWHGRQAARRAGVEELEDEGTLPLPPAGSSGLPSTGLPDEFFAPCFAAVGWDADEAGGEALSLPGASPSPSRSPCLPDRALSRRPYHDGRGWPVDVPPGHGLLPEMALSAATSGDSDDDQDATAPPSPACGACDPDHGAAPAAEELRIFYESGLSPSHASLVPVVGPPAGDPACLEQLRATRGPSLTAPFAEAGRGAEWKYEFNLGRSTETVTLVDDGDAHFGDGAFQELLPFSSAAALEVVGGLMPGAAGPGPGVGGGGPRLSRWRREFEEEAETAGKACLYSLLLHVEEATPRQEPQLWCFQMVEYWRDSLTLQLQPKPLKLQQERVTYALVQQDSHQPYPQTGLEFLEWITVGLSWVNGSKTQDVVLLNSHVEDVLEPAIWRLPVWLQDATVFYDERYKCHALGYDGIKVTLDATLLCNRLALDSHVFNNRHLHLVGCAVECAMRQLTRPDPAMSEAVRCSRLYGKLDGLPCFEMVLLYPTVEACQALCQLLNSHKLARYPQVEELFQMQEQVSASAQLEKVVVQASSIGSNVEGLFVKGFWGRGIEKLYLLSHRGVDTSKLLQVSRGPQKAMPLSVETLPLVYVDPGNQAATAPSGQALDAAYEDGEANEFEKFGIGGKKCCLCALMLVIVAFLGSFSVAVVALSSPWSLESLPAGDPDFHSSFAFSPNFFSSSM
+>sp|Q96Q04|LMTK3_HUMAN Serine/threonine-protein kinase LMTK3 OS=Homo sapiens OX=9606 GN=LMTK3 PE=1 SV=2
+MPAPGALILLAAVSASGCLASPAHPDGFALGRAPLAPPYAVVLISCSGLLAFIFLLLTCLCCKRGDVGFKEFENPEGEDCSGEYTPPAEETSSSQSLPDVYILPLAEVSLPMPAPQPSHSDMTTPLGLSRQHLSYLQEIGSGWFGKVILGEIFSDYTPAQVVVKELRASAGPLEQRKFISEAQPYRSLQHPNVLQCLGLCVETLPFLLIMEFCQLGDLKRYLRAQRPPEGLSPELPPRDLRTLQRMGLEIARGLAHLHSHNYVHSDLALRNCLLTSDLTVRIGDYGLAHSNYKEDYYLTPERLWIPLRWAAPELLGELHGTFMVVDQSRESNIWSLGVTLWELFEFGAQPYRHLSDEEVLAFVVRQQHVKLARPRLKLPYADYWYDILQSCWRPPAQRPSASDLQLQLTYLLSERPPRPPPPPPPPRDGPFPWPWPPAHSAPRPGTLSSPFPLLDGFPGADPDDVLTVTESSRGLNLECLWEKARRGAGRGGGAPAWQPASAPPAPHANPSNPFYEALSTPSVLPVISARSPSVSSEYYIRLEEHGSPPEPLFPNDWDPLDPGVPAPQAPQAPSEVPQLVSETWASPLFPAPRPFPAQSSASGSFLLSGWDPEGRGAGETLAGDPAEVLGERGTAPWVEEEEEEEEGSSPGEDSSSLGGGPSRRGPLPCPLCSREGACSCLPLERGDAVAGWGGHPALGCPHPPEDDSSLRAERGSLADLPMAPPASAPPEFLDPLMGAAAPQYPGRGPPPAPPPPPPPPRAPADPAASPDPPSAVASPGSGLSSPGPKPGDSGYETETPFSPEGAFPGGGAAEEEGVPRPRAPPEPPDPGAPRPPPDPGPLPLPGPREKPTFVVQVSTEQLLMSLREDVTRNLLGEKGATARETGPRKAGRGPGNREKVPGLNRDPTVLGNGKQAPSLSLPVNGVTVLENGDQRAPGIEEKAAENGALGSPEREEKVLENGELTPPRREEKALENGELRSPEAGEKVLVNGGLTPPKSEDKVSENGGLRFPRNTERPPETGPWRAPGPWEKTPESWGPAPTIGEPAPETSLERAPAPSAVVSSRNGGETAPGPLGPAPKNGTLEPGTERRAPETGGAPRAPGAGRLDLGSGGRAPVGTGTAPGGGPGSGVDAKAGWVDNTRPQPPPPPLPPPPEAQPRRLEPAPPRARPEVAPEGEPGAPDSRAGGDTALSGDGDPPKPERKGPEMPRLFLDLGPPQGNSEQIKARLSRLSLALPPLTLTPFPGPGPRRPPWEGADAGAAGGEAGGAGAPGPAEEDGEDEDEDEEEDEEAAAPGAAAGPRGPGRARAAPVPVVVSSADADAARPLRGLLKSPRGADEPEDSELERKRKMVSFHGDVTVYLFDQETPTNELSVQAPPEGDTDPSTPPAPPTPPHPATPGDGFPSNDSGFGGSFEWAEDFPLLPPPGPPLCFSRFSVSPALETPGPPARAPDARPAGPVEN
+>DECOY_sp|Q96Q04|LMTK3_HUMAN Serine/threonine-protein kinase LMTK3 OS=Homo sapiens OX=9606 GN=LMTK3 PE=1 SV=2
+NEVPGAPRADPARAPPGPTELAPSVSFRSFCLPPGPPPLLPFDEAWEFSGGFGSDNSPFGDGPTAPHPPTPPAPPTSPDTDGEPPAQVSLENTPTEQDFLYVTVDGHFSVMKRKRELESDEPEDAGRPSKLLGRLPRAADADASSVVVPVPAARARGPGRPGAAAGPAAAEEDEEEDEDEDEGDEEAPGPAGAGGAEGGAAGADAGEWPPRRPGPGPFPTLTLPPLALSLRSLRAKIQESNGQPPGLDLFLRPMEPGKREPKPPDGDGSLATDGGARSDPAGPEGEPAVEPRARPPAPELRRPQAEPPPPLPPPPPQPRTNDVWGAKADVGSGPGGGPATGTGVPARGGSGLDLRGAGPARPAGGTEPARRETGPELTGNKPAPGLPGPATEGGNRSSVVASPAPARELSTEPAPEGITPAPGWSEPTKEWPGPARWPGTEPPRETNRPFRLGGNESVKDESKPPTLGGNVLVKEGAEPSRLEGNELAKEERRPPTLEGNELVKEEREPSGLAGNEAAKEEIGPARQDGNELVTVGNVPLSLSPAQKGNGLVTPDRNLGPVKERNGPGRGAKRPGTERATAGKEGLLNRTVDERLSMLLQETSVQVVFTPKERPGPLPLPGPDPPPRPAGPDPPEPPARPRPVGEEEAAGGGPFAGEPSFPTETEYGSDGPKPGPSSLGSGPSAVASPPDPSAAPDAPARPPPPPPPPAPPPGRGPYQPAAAGMLPDLFEPPASAPPAMPLDALSGREARLSSDDEPPHPCGLAPHGGWGAVADGRELPLCSCAGERSCLPCPLPGRRSPGGGLSSSDEGPSSGEEEEEEEEVWPATGREGLVEAPDGALTEGAGRGEPDWGSLLFSGSASSQAPFPRPAPFLPSAWTESVLQPVESPAQPAQPAPVGPDLPDWDNPFLPEPPSGHEELRIYYESSVSPSRASIVPLVSPTSLAEYFPNSPNAHPAPPASAPQWAPAGGGRGAGRRAKEWLCELNLGRSSETVTLVDDPDAGPFGDLLPFPSSLTGPRPASHAPPWPWPFPGDRPPPPPPPPRPPRESLLYTLQLQLDSASPRQAPPRWCSQLIDYWYDAYPLKLRPRALKVHQQRVVFALVEEDSLHRYPQAGFEFLEWLTVGLSWINSERSQDVVMFTGHLEGLLEPAAWRLPIWLREPTLYYDEKYNSHALGYDGIRVTLDSTLLCNRLALDSHVYNHSHLHALGRAIELGMRQLTRLDRPPLEPSLGEPPRQARLYRKLDGLQCFEMILLFPLTEVCLGLCQLVNPHQLSRYPQAESIFKRQELPGASARLEKVVVQAPTYDSFIEGLIVKGFWGSGIEQLYSLHQRSLGLPTTMDSHSPQPAPMPLSVEALPLIYVDPLSQSSSTEEAPPTYEGSCDEGEPNEFEKFGVDGRKCCLCTLLLFIFALLGSCSILVVAYPPALPARGLAFGDPHAPSALCGSASVAALLILAGPAPM
+>sp|Q9C0E8|LNP_HUMAN Endoplasmic reticulum junction formation protein lunapark OS=Homo sapiens OX=9606 GN=LNPK PE=1 SV=2
+MGGLFSRWRTKPSTVEVLESIDKEIQALEEFREKNQRLQKLWVGRLILYSSVLYLFTCLIVYLWYLPDEFTARLAMTLPFFAFPLIIWSIRTVIIFFFSKRTERNNEALDDLKSQRKKILEEVMEKETYKTAKLILERFDPDSKKAKECEPPSAGAAVTARPGQEIRQRTAAQRNLSPTPASPNQGPPPQVPVSPGPPKDSSAPGGPPERTVTPALSSNVLPRHLGSPATSVPGMGLHPPGPPLARPILPRERGALDRIVEYLVGDGPQNRYALICQQCFSHNGMALKEEFEYIAFRCAYCFFLNPARKTRPQAPRLPEFSFEKRQVVEGSSSVGPLPSGSVLSSDNQFNEESLEHDVLDDNTEQTDDKIPATEQTNQVIEKASDSEEPEEKQETENEEASVIETNSTVPGADSIPDPELSGESLTAE
+>DECOY_sp|Q9C0E8|LNP_HUMAN Endoplasmic reticulum junction formation protein lunapark OS=Homo sapiens OX=9606 GN=LNPK PE=1 SV=2
+EATLSEGSLEPDPISDAGPVTSNTEIVSAEENETEQKEEPEESDSAKEIVQNTQETAPIKDDTQETNDDLVDHELSEENFQNDSSLVSGSPLPGVSSSGEVVQRKEFSFEPLRPAQPRTKRAPNLFFCYACRFAIYEFEEKLAMGNHSFCQQCILAYRNQPGDGVLYEVIRDLAGRERPLIPRALPPGPPHLGMGPVSTAPSGLHRPLVNSSLAPTVTREPPGGPASSDKPPGPSVPVQPPPGQNPSAPTPSLNRQAATRQRIEQGPRATVAAGASPPECEKAKKSDPDFRELILKATKYTEKEMVEELIKKRQSKLDDLAENNRETRKSFFFIIVTRISWIILPFAFFPLTMALRATFEDPLYWLYVILCTFLYLVSSYLILRGVWLKQLRQNKERFEELAQIEKDISELVEVTSPKTRWRSFLGGM
+>sp|Q8N448|LNX2_HUMAN Ligand of Numb protein X 2 OS=Homo sapiens OX=9606 GN=LNX2 PE=1 SV=1
+MGTTSDEMVSVEQTSSSSLNPLCFECGQQHWTRENHLYNYQNEVDDDLVCHICLQPLLQPLDTPCGHTFCYKCLRNFLQEKDFCPLDRKRLHFKLCKKSSILVHKLLDKLLVLCPFSSVCKDVMQRCDLEAHLKNRCPGASHRRVALERRKTSRTQAEIENENGPTLLDPAGTLSPEADCLGTGAVPVERHLTSASLSTWSEEPGLDNPAFEESAGADTTQQPLSLPEGEITTIEIHRSNPYIQLGISIVGGNETPLINIVIQEVYRDGVIARDGRLLAGDQILQVNNYNISNVSHNYARAVLSQPCNTLHLTVLRERRFGNRAHNHSDSNSPREEIFQVALHKRDSGEQLGIKLVRRTDEPGVFILDLLEGGLAAQDGRLSSNDRVLAINGHDLKYGTPELAAQIIQASGERVNLTIARPGKPQPGNTIREAGNHSSSSQHHTPPPYYSRPSSHKDLTQCVTCQEKHITVKKEPHESLGMTVAGGRGSKSGELPIFVTSVPPHGCLARDGRIKRGDVLLNINGIDLTNLSHSEAVAMLKASAASPAVALKALEVQIVEEATQNAEEQPSTFSENEYDASWSPSWVMWLGLPSTLHSCHDIVLRRSYLGSWGFSIVGGYEENHTNQPFFIKTIVLGTPAYYDGRLKCGDMIVAVNGLSTVGMSHSALVPMLKEQRNKVTLTVICWPGSLV
+>DECOY_sp|Q8N448|LNX2_HUMAN Ligand of Numb protein X 2 OS=Homo sapiens OX=9606 GN=LNX2 PE=1 SV=1
+VLSGPWCIVTLTVKNRQEKLMPVLASHSMGVTSLGNVAVIMDGCKLRGDYYAPTGLVITKIFFPQNTHNEEYGGVISFGWSGLYSRRLVIDHCSHLTSPLGLWMVWSPSWSADYENESFTSPQEEANQTAEEVIQVELAKLAVAPSAASAKLMAVAESHSLNTLDIGNINLLVDGRKIRGDRALCGHPPVSTVFIPLEGSKSGRGGAVTMGLSEHPEKKVTIHKEQCTVCQTLDKHSSPRSYYPPPTHHQSSSSHNGAERITNGPQPKGPRAITLNVREGSAQIIQAALEPTGYKLDHGNIALVRDNSSLRGDQAALGGELLDLIFVGPEDTRRVLKIGLQEGSDRKHLAVQFIEERPSNSDSHNHARNGFRRERLVTLHLTNCPQSLVARAYNHSVNSINYNNVQLIQDGALLRGDRAIVGDRYVEQIVINILPTENGGVISIGLQIYPNSRHIEITTIEGEPLSLPQQTTDAGASEEFAPNDLGPEESWTSLSASTLHREVPVAGTGLCDAEPSLTGAPDLLTPGNENEIEAQTRSTKRRELAVRRHSAGPCRNKLHAELDCRQMVDKCVSSFPCLVLLKDLLKHVLISSKKCLKFHLRKRDLPCFDKEQLFNRLCKYCFTHGCPTDLPQLLPQLCIHCVLDDDVENQYNYLHNERTWHQQGCEFCLPNLSSSSTQEVSVMEDSTTGM
+>sp|Q496Y0|LONF3_HUMAN LON peptidase N-terminal domain and RING finger protein 3 OS=Homo sapiens OX=9606 GN=LONRF3 PE=1 SV=1
+MESVRIEQMLSLPAEVSSDNLESAERGASAAQVDMGPHPKVAAEGPAPLPTREPEQEQSPGTSTPESKVLLTQADALASRGRIREALEVYRQLSERQQLVAEQLEQLVRCLAEKVPQGEALAPAPPDEGSTASGTVAAEETGAAAAAAATEVWDGFKCRKCHGFLSDPVSLSCGHTFCKLCLERGRAADRRCALCGVKLSALMVATGRARGARRAGQQPPPPLRVNVVLSGLLGKLFPGPARASQLRHEGNRLYRERQVEAALLKYNEAVKLAPNDHLLYSNRSQIYFTLESHENALHDAEIACKLRPMGFKAHFRKAQALATLGKVEEALREFLYCVSLDGKNKRARCEAQRDNLELPHCSSQEEAAARGDGSSLMDPAKVKGDGQQHHMKDQEEEEEKWDATSPKAASSKTGKCQEKKRKHCQIESQEETGMPNKASKQDPPTDQGDKPALSLPLASFDASDLECALCMRLFYEPVTTPCGHTFCLKCLERCLDHNAKCPLCKDGLSQCLASRKYSKNVIMEELIAKFLPEELKERRKLYEEEMEELSNLNKNVPIFVCTMAYPTVPCPLHIFEPCYRLMIRRCIETGTRQFGMCLGDPVKGFAEYGCILEIRNVQFFADGRSVVDSIGKRRFRVLHQSQRDGYNTADIEYIEDQKVQGEDCAELMGLHNCVYQQASLWFHSLKLSLKNRILNHFGPMPEKDADPQMNPNGPAWCWWMLAVLPLESRAQLPFLAMRSLKDRLNGIRRVLAFISRNQN
+>DECOY_sp|Q496Y0|LONF3_HUMAN LON peptidase N-terminal domain and RING finger protein 3 OS=Homo sapiens OX=9606 GN=LONRF3 PE=1 SV=1
+NQNRSIFALVRRIGNLRDKLSRMALFPLQARSELPLVALMWWCWAPGNPNMQPDADKEPMPGFHNLIRNKLSLKLSHFWLSAQQYVCNHLGMLEACDEGQVKQDEIYEIDATNYGDRQSQHLVRFRRKGISDVVSRGDAFFQVNRIELICGYEAFGKVPDGLCMGFQRTGTEICRRIMLRYCPEFIHLPCPVTPYAMTCVFIPVNKNLNSLEEMEEEYLKRREKLEEPLFKAILEEMIVNKSYKRSALCQSLGDKCLPCKANHDLCRELCKLCFTHGCPTTVPEYFLRMCLACELDSADFSALPLSLAPKDGQDTPPDQKSAKNPMGTEEQSEIQCHKRKKEQCKGTKSSAAKPSTADWKEEEEEQDKMHHQQGDGKVKAPDMLSSGDGRAAAEEQSSCHPLELNDRQAECRARKNKGDLSVCYLFERLAEEVKGLTALAQAKRFHAKFGMPRLKCAIEADHLANEHSELTFYIQSRNSYLLHDNPALKVAENYKLLAAEVQRERYLRNGEHRLQSARAPGPFLKGLLGSLVVNVRLPPPPQQGARRAGRARGTAVMLASLKVGCLACRRDAARGRELCLKCFTHGCSLSVPDSLFGHCKRCKFGDWVETAAAAAAAGTEEAAVTGSATSGEDPPAPALAEGQPVKEALCRVLQELQEAVLQQRESLQRYVELAERIRGRSALADAQTLLVKSEPTSTGPSQEQEPERTPLPAPGEAAVKPHPGMDVQAASAGREASELNDSSVEAPLSLMQEIRVSEM
+>sp|Q86WA8|LONP2_HUMAN Lon protease homolog 2, peroxisomal OS=Homo sapiens OX=9606 GN=LONP2 PE=1 SV=1
+MSSVSPIQIPSRLPLLLTHEGVLLPGSTMRTSVDSARNLQLVRSRLLKGTSLQSTILGVIPNTPDPASDAQDLPPLHRIGTAALAVQVVGSNWPKPHYTLLITGLCRFQIVQVLKEKPYPIAEVEQLDRLEEFPNTCKMREELGELSEQFYKYAVQLVEMLDMSVPAVAKLRRLLDSLPREALPDILTSIIRTSNKEKLQILDAVSLEERFKMTIPLLVRQIEGLKLLQKTRKPKQDDDKRVIAIRPIRRITHISGTLEDEDEDEDNDDIVMLEKKIRTSSMPEQAHKVCVKEIKRLKKMPQSMPEYALTRNYLELMVELPWNKSTTDRLDIRAARILLDNDHYAMEKLKKRVLEYLAVRQLKNNLKGPILCFVGPPGVGKTSVGRSVAKTLGREFHRIALGGVCDQSDIRGHRRTYVGSMPGRIINGLKTVGVNNPVFLLDEVDKLGKSLQGDPAAALLEVLDPEQNHNFTDHYLNVAFDLSQVLFIATANTTATIPAALLDRMEIIQVPGYTQEEKIEIAHRHLIPKQLEQHGLTPQQIQIPQVTTLDIITRYTREAGVRSLDRKLGAICRAVAVKVAEGQHKEAKLDRSDVTEREGCREHILEDEKPESISDTTDLALPPEMPILIDFHALKDILGPPMYEMEVSQRLSQPGVAIGLAWTPLGGEIMFVEASRMDGEGQLTLTGQLGDVMKESAHLAISWLRSNAKKYQLTNAFGSFDLLDNTDIHLHFPAGAVTKDGPSAGVTIVTCLASLFSGRLVRSDVAMTGEITLRGLVLPVGGIKDKVLAAHRAGLKQVIIPRRNEKDLEGIPGNVRQDLSFVTASCLDEVLNAAFDGGFTVKTRPGLLNSKL
+>DECOY_sp|Q86WA8|LONP2_HUMAN Lon protease homolog 2, peroxisomal OS=Homo sapiens OX=9606 GN=LONP2 PE=1 SV=1
+LKSNLLGPRTKVTFGGDFAANLVEDLCSATVFSLDQRVNGPIGELDKENRRPIIVQKLGARHAALVKDKIGGVPLVLGRLTIEGTMAVDSRVLRGSFLSALCTVITVGASPGDKTVAGAPFHLHIDTNDLLDFSGFANTLQYKKANSRLWSIALHASEKMVDGLQGTLTLQGEGDMRSAEVFMIEGGLPTWALGIAVGPQSLRQSVEMEYMPPGLIDKLAHFDILIPMEPPLALDTTDSISEPKEDELIHERCGERETVDSRDLKAEKHQGEAVKVAVARCIAGLKRDLSRVGAERTYRTIIDLTTVQPIQIQQPTLGHQELQKPILHRHAIEIKEEQTYGPVQIIEMRDLLAAPITATTNATAIFLVQSLDFAVNLYHDTFNHNQEPDLVELLAAAPDGQLSKGLKDVEDLLFVPNNVGVTKLGNIIRGPMSGVYTRRHGRIDSQDCVGGLAIRHFERGLTKAVSRGVSTKGVGPPGVFCLIPGKLNNKLQRVALYELVRKKLKEMAYHDNDLLIRAARIDLRDTTSKNWPLEVMLELYNRTLAYEPMSQPMKKLRKIEKVCVKHAQEPMSSTRIKKELMVIDDNDEDEDEDELTGSIHTIRRIPRIAIVRKDDDQKPKRTKQLLKLGEIQRVLLPITMKFREELSVADLIQLKEKNSTRIISTLIDPLAERPLSDLLRRLKAVAPVSMDLMEVLQVAYKYFQESLEGLEERMKCTNPFEELRDLQEVEAIPYPKEKLVQVIQFRCLGTILLTYHPKPWNSGVVQVALAATGIRHLPPLDQADSAPDPTNPIVGLITSQLSTGKLLRSRVLQLNRASDVSTRMTSGPLLVGEHTLLLPLRSPIQIPSVSSM
+>sp|P09917|LOX5_HUMAN Arachidonate 5-lipoxygenase OS=Homo sapiens OX=9606 GN=ALOX5 PE=1 SV=2
+MPSYTVTVATGSQWFAGTDDYIYLSLVGSAGCSEKHLLDKPFYNDFERGAVDSYDVTVDEELGEIQLVRIEKRKYWLNDDWYLKYITLKTPHGDYIEFPCYRWITGDVEVVLRDGRAKLARDDQIHILKQHRRKELETRQKQYRWMEWNPGFPLSIDAKCHKDLPRDIQFDSEKGVDFVLNYSKAMENLFINRFMHMFQSSWNDFADFEKIFVKISNTISERVMNHWQEDLMFGYQFLNGCNPVLIRRCTELPEKLPVTTEMVECSLERQLSLEQEVQQGNIFIVDFELLDGIDANKTDPCTLQFLAAPICLLYKNLANKIVPIAIQLNQIPGDENPIFLPSDAKYDWLLAKIWVRSSDFHVHQTITHLLRTHLVSEVFGIAMYRQLPAVHPIFKLLVAHVRFTIAINTKAREQLICECGLFDKANATGGGGHVQMVQRAMKDLTYASLCFPEAIKARGMESKEDIPYYFYRDDGLLVWEAIRTFTAEVVDIYYEGDQVVEEDPELQDFVNDVYVYGMRGRKSSGFPKSVKSREQLSEYLTVVIFTASAQHAAVNFGQYDWCSWIPNAPPTMRAPPPTAKGVVTIEQIVDTLPDRGRSCWHLGAVWALSQFQENELFLGMYPEEHFIEKPVKEAMARFRKNLEAIVSVIAERNKKKQLPYYYLSPDRIPNSVAI
+>DECOY_sp|P09917|LOX5_HUMAN Arachidonate 5-lipoxygenase OS=Homo sapiens OX=9606 GN=ALOX5 PE=1 SV=2
+IAVSNPIRDPSLYYYPLQKKKNREAIVSVIAELNKRFRAMAEKVPKEIFHEEPYMGLFLENEQFQSLAWVAGLHWCSRGRDPLTDVIQEITVVGKATPPPARMTPPANPIWSCWDYQGFNVAAHQASATFIVVTLYESLQERSKVSKPFGSSKRGRMGYVYVDNVFDQLEPDEEVVQDGEYYIDVVEATFTRIAEWVLLGDDRYFYYPIDEKSEMGRAKIAEPFCLSAYTLDKMARQVMQVHGGGGTANAKDFLGCECILQERAKTNIAITFRVHAVLLKFIPHVAPLQRYMAIGFVESVLHTRLLHTITQHVHFDSSRVWIKALLWDYKADSPLFIPNEDGPIQNLQIAIPVIKNALNKYLLCIPAALFQLTCPDTKNADIGDLLEFDVIFINGQQVEQELSLQRELSCEVMETTVPLKEPLETCRRILVPNCGNLFQYGFMLDEQWHNMVRESITNSIKVFIKEFDAFDNWSSQFMHMFRNIFLNEMAKSYNLVFDVGKESDFQIDRPLDKHCKADISLPFGPNWEMWRYQKQRTELEKRRHQKLIHIQDDRALKARGDRLVVEVDGTIWRYCPFEIYDGHPTKLTIYKLYWDDNLWYKRKEIRVLQIEGLEEDVTVDYSDVAGREFDNYFPKDLLHKESCGASGVLSLYIYDDTGAFWQSGTAVTVTYSPM
+>sp|Q9HBW0|LPAR2_HUMAN Lysophosphatidic acid receptor 2 OS=Homo sapiens OX=9606 GN=LPAR2 PE=1 SV=2
+MVIMGQCYYNETIGFFYNNSGKELSSHWRPKDVVVVALGLTVSVLVLLTNLLVIAAIASNRRFHQPIYYLLGNLAAADLFAGVAYLFLMFHTGPRTARLSLEGWFLRQGLLDTSLTASVATLLAIAVERHRSVMAVQLHSRLPRGRVVMLIVGVWVAALGLGLLPAHSWHCLCALDRCSRMAPLLSRSYLAVWALSSLLVFLLMVAVYTRIFFYVRRRVQRMAEHVSCHPRYRETTLSLVKTVVIILGAFVVCWTPGQVVLLLDGLGCESCNVLAVEKYFLLLAEANSLVNAAVYSCRDAEMRRTFRRLLCCACLRQSTRESVHYTSSAQGGASTRIMLPENGHPLMDSTL
+>DECOY_sp|Q9HBW0|LPAR2_HUMAN Lysophosphatidic acid receptor 2 OS=Homo sapiens OX=9606 GN=LPAR2 PE=1 SV=2
+LTSDMLPHGNEPLMIRTSAGGQASSTYHVSERTSQRLCACCLLRRFTRRMEADRCSYVAANVLSNAEALLLFYKEVALVNCSECGLGDLLLVVQGPTWCVVFAGLIIVVTKVLSLTTERYRPHCSVHEAMRQVRRRVYFFIRTYVAVMLLFVLLSSLAWVALYSRSLLPAMRSCRDLACLCHWSHAPLLGLGLAAVWVGVILMVVRGRPLRSHLQVAMVSRHREVAIALLTAVSATLSTDLLGQRLFWGELSLRATRPGTHFMLFLYAVGAFLDAAALNGLLYYIPQHFRRNSAIAAIVLLNTLLVLVSVTLGLAVVVVDKPRWHSSLEKGSNNYFFGITENYYCQGMIVM
+>sp|P43657|LPAR6_HUMAN Lysophosphatidic acid receptor 6 OS=Homo sapiens OX=9606 GN=LPAR6 PE=1 SV=3
+MVSVNSSHCFYNDSFKYTLYGCMFSMVFVLGLISNCVAIYIFICVLKVRNETTTYMINLAMSDLLFVFTLPFRIFYFTTRNWPFGDLLCKISVMLFYTNMYGSILFLTCISVDRFLAIVYPFKSKTLRTKRNAKIVCTGVWLTVIGGSAPAVFVQSTHSQGNNASEACFENFPEATWKTYLSRIVIFIEIVGFFIPLILNVTCSSMVLKTLTKPVTLSRSKINKTKVLKMIFVHLIIFCFCFVPYNINLILYSLVRTQTFVNCSVVAAVRTMYPITLCIAVSNCCFDPIVYYFTSDTIQNSIKMKNWSVRRSDFRFSEVHGAENFIQHNLQTLKSKIFDNESAA
+>DECOY_sp|P43657|LPAR6_HUMAN Lysophosphatidic acid receptor 6 OS=Homo sapiens OX=9606 GN=LPAR6 PE=1 SV=3
+AASENDFIKSKLTQLNHQIFNEAGHVESFRFDSRRVSWNKMKISNQITDSTFYYVIPDFCCNSVAICLTIPYMTRVAAVVSCNVFTQTRVLSYLILNINYPVFCFCFIILHVFIMKLVKTKNIKSRSLTVPKTLTKLVMSSCTVNLILPIFFGVIEIFIVIRSLYTKWTAEPFNEFCAESANNGQSHTSQVFVAPASGGIVTLWVGTCVIKANRKTRLTKSKFPYVIALFRDVSICTLFLISGYMNTYFLMVSIKCLLDGFPWNRTTFYFIRFPLTFVFLLDSMALNIMYTTTENRVKLVCIFIYIAVCNSILGLVFVMSFMCGYLTYKFSDNYFCHSSNVSVM
+>sp|Q5T7B8|KIF24_HUMAN Kinesin-like protein KIF24 OS=Homo sapiens OX=9606 GN=KIF24 PE=1 SV=2
+MASWLYECLCEAELAQYYSHFTALGLQKIDELAKITMKDYSKLGVHDMNDRKRLFQLIKIIKIMQEEDKAVSIPERHLQTSSLRIKSQELRSGPRRQLNFDSPADNKDRNASNDGFEMCSLSDFSANEQKSTYLKVLEHMLPDDSQYHTKTGILNATAGDSYVQTEISTSLFSPNYLSAILGDCDIPIIQRISHVSGYNYGIPHSCIRQNTSEKQNPWTEMEKIRVCVRKRPLGMREVRRGEINIITVEDKETLLVHEKKEAVDLTQYILQHVFYFDEVFGEACTNQDVYMKTTHPLIQHIFNGGNATCFAYGQTGAGKTYTMIGTHENPGLYALAAKDIFRQLEVSQPRKHLFVWISFYEIYCGQLYDLLNRRKRLFAREDSKHMVQIVGLQELQVDSVELLLEVILKGSKERSTGATGVNADSSRSHAVIQIQIKDSAKRTFGRISFIDLAGSERAADARDSDRQTKMEGAEINQSLLALKECIRALDQEHTHTPFRQSKLTQVLKDSFIGNAKTCMIANISPSHVATEHTLNTLRYADRVKELKKGIKCCTSVTSRNRTSGNSSPKRIQSSPGALSEDKCSPKKVKLGFQQSLTVAAPGSTRGKVHPLTSHPPNIPFTSAPKVSGKRGGSRGSPSQEWVIHASPVKGTVRSGHVAKKKPEESAPLCSEKNRMGNKTVLGWESRASGPGEGLVRGKLSTKCKKVQTVQPVQKQLVSRVELSFGNAHHRAEYSQDSQRGTPARPASEAWTNIPPHQKEREEHLRFYHQQFQQPPLLQQKLKYQPLKRSLRQYRPPEGQLTNETPPLFHSYSENHDGAQVEELDDSDFSEDSFSHISSQRATKQRNTLENSEDSFFLHQTWGQGPEKQVAERQQSLFSSPRTGDKKDLTKSWVDSRDPINHRRAALDHSCSPSKGPVDWSRENSTSSGPSPRDSLAEKPYCSQVDFIYRQERGGGSSFDLRKDASQSEVSGENEGNLPSPEEDGFTISLSHVAVPGSPDQRDTVTTPLREVSADGPIQVTSTVKNGHAVPGEDPRGQLGTHAEYASGLMSPLTMSLLENPDNEGSPPSEQLVQDGATHSLVAESTGGPVVSHTVPSGDQEAALPVSSATRHLWLSSSPPDNKPGGDLPALSPSPIRQHPADKLPSREADLGEACQSRETVLFSHEHMGSEQYDADAEETGLDGSWGFPGKPFTTIHMGVPHSGPTLTPRTGSSDVADQLWAQERKHPTRLGWQEFGLSTDPIKLPCNSENVTWLKPRPISRCLARPSSPLVPSCSPKTAGTLRQPTLEQAQQVVIRAHQEQLDEMAELGFKEETLMSQLASNDFEDFVTQLDEIMVLKSKCIQSLRSQLQLYLTCHGPTAAPEGTVPS
+>DECOY_sp|Q5T7B8|KIF24_HUMAN Kinesin-like protein KIF24 OS=Homo sapiens OX=9606 GN=KIF24 PE=1 SV=2
+SPVTGEPAATPGHCTLYLQLQSRLSQICKSKLVMIEDLQTVFDEFDNSALQSMLTEEKFGLEAMEDLQEQHARIVVQQAQELTPQRLTGATKPSCSPVLPSSPRALCRSIPRPKLWTVNESNCPLKIPDTSLGFEQWGLRTPHKREQAWLQDAVDSSGTRPTLTPGSHPVGMHITTFPKGPFGWSGDLGTEEADADYQESGMHEHSFLVTERSQCAEGLDAERSPLKDAPHQRIPSPSLAPLDGGPKNDPPSSSLWLHRTASSVPLAAEQDGSPVTHSVVPGGTSEAVLSHTAGDQVLQESPPSGENDPNELLSMTLPSMLGSAYEAHTGLQGRPDEGPVAHGNKVTSTVQIPGDASVERLPTTVTDRQDPSGPVAVHSLSITFGDEEPSPLNGENEGSVESQSADKRLDFSSGGGREQRYIFDVQSCYPKEALSDRPSPGSSTSNERSWDVPGKSPSCSHDLAARRHNIPDRSDVWSKTLDKKDGTRPSSFLSQQREAVQKEPGQGWTQHLFFSDESNELTNRQKTARQSSIHSFSDESFDSDDLEEVQAGDHNESYSHFLPPTENTLQGEPPRYQRLSRKLPQYKLKQQLLPPQQFQQHYFRLHEEREKQHPPINTWAESAPRAPTGRQSDQSYEARHHANGFSLEVRSVLQKQVPQVTQVKKCKTSLKGRVLGEGPGSARSEWGLVTKNGMRNKESCLPASEEPKKKAVHGSRVTGKVPSAHIVWEQSPSGRSGGRKGSVKPASTFPINPPHSTLPHVKGRTSGPAAVTLSQQFGLKVKKPSCKDESLAGPSSQIRKPSSNGSTRNRSTVSTCCKIGKKLEKVRDAYRLTNLTHETAVHSPSINAIMCTKANGIFSDKLVQTLKSQRFPTHTHEQDLARICEKLALLSQNIEAGEMKTQRDSDRADAARESGALDIFSIRGFTRKASDKIQIQIVAHSRSSDANVGTAGTSREKSGKLIVELLLEVSDVQLEQLGVIQVMHKSDERAFLRKRRNLLDYLQGCYIEYFSIWVFLHKRPQSVELQRFIDKAALAYLGPNEHTGIMTYTKGAGTQGYAFCTANGGNFIHQILPHTTKMYVDQNTCAEGFVEDFYFVHQLIYQTLDVAEKKEHVLLTEKDEVTIINIEGRRVERMGLPRKRVCVRIKEMETWPNQKESTNQRICSHPIGYNYGSVHSIRQIIPIDCDGLIASLYNPSFLSTSIETQVYSDGATANLIGTKTHYQSDDPLMHELVKLYTSKQENASFDSLSCMEFGDNSANRDKNDAPSDFNLQRRPGSRLEQSKIRLSSTQLHREPISVAKDEEQMIKIIKILQFLRKRDNMDHVGLKSYDKMTIKALEDIKQLGLATFHSYYQALEAECLCEYLWSAM
+>sp|O14782|KIF3C_HUMAN Kinesin-like protein KIF3C OS=Homo sapiens OX=9606 GN=KIF3C PE=1 SV=3
+MASKTKASEALKVVARCRPLSRKEEAAGHEQILTMDVKLGQVTLRNPRAAPGELPKTFTFDAVYDASSKQADLYDETVRPLIDSVLQGFNGTVFAYGQTGTGKTYTMQGTWVEPELRGVIPNAFEHIFTHISRSQNQQYLVRASYLEIYQEEIRDLLSKEPGKRLELKENPETGVYIKDLSSFVTKNVKEIEHVMNLGNQTRAVGSTHMNEVSSRSHAIFIITVECSERGSDGQDHIRVGKLNLVDLAGSERQNKAGPNTAGGAATPSSGGGGGGGGSGGGAGGERPKEASKINLSLSALGNVIAALAGNRSTHIPYRDSKLTRLLQDSLGGNAKTIMVATLGPASHSYDESLSTLRFANRAKNIKNKPQVNEDPKDTLLREFQEEIARLKAQLEKRGMLGKRPRRKSSRRKKAVSAPPGYPEGPVIEAWVAEEEDDNNNNHRPPQPILESALEKNMENYLQEQKERLEEEKAAIQDDRSLVSEEKQKLLEEKEKMLEDLRREQQATELLAAKYKAMESKLLIGGRNIMDHTNEQQKMLELKRQEIAEQKRREREMQQEMMLRDEETMELRGTYTSLQQEVEVKTKKLKKLYAKLQAVKAEIQDQHDEYIRVRQDLEEAQNEQTRELKLKYLIIENFIPPEEKNKIMNRLFLDCEEEQWKFQPLVPAGVSSSQMKKRPTSAVGYKRPISQYARVAMAMGSHPRYRAENIMFLELDVSPPAVFEMEFSHDQEQDPRALHMERLMRLDSFLERPSTSKVRKSRSWCQSPQRPPPSTTHASLASASLRPATVADHE
+>DECOY_sp|O14782|KIF3C_HUMAN Kinesin-like protein KIF3C OS=Homo sapiens OX=9606 GN=KIF3C PE=1 SV=3
+EHDAVTAPRLSASALSAHTTSPPPRQPSQCWSRSKRVKSTSPRELFSDLRMLREMHLARPDQEQDHSFEMEFVAPPSVDLELFMINEARYRPHSGMAMAVRAYQSIPRKYGVASTPRKKMQSSSVGAPVLPQFKWQEEECDLFLRNMIKNKEEPPIFNEIILYKLKLERTQENQAEELDQRVRIYEDHQDQIEAKVAQLKAYLKKLKKTKVEVEQQLSTYTGRLEMTEEDRLMMEQQMERERRKQEAIEQRKLELMKQQENTHDMINRGGILLKSEMAKYKAALLETAQQERRLDELMKEKEELLKQKEESVLSRDDQIAAKEEELREKQEQLYNEMNKELASELIPQPPRHNNNNDDEEEAVWAEIVPGEPYGPPASVAKKRRSSKRRPRKGLMGRKELQAKLRAIEEQFERLLTDKPDENVQPKNKINKARNAFRLTSLSEDYSHSAPGLTAVMITKANGGLSDQLLRTLKSDRYPIHTSRNGALAAIVNGLASLSLNIKSAEKPREGGAGGGSGGGGGGGGSSPTAAGGATNPGAKNQRESGALDVLNLKGVRIHDQGDSGRESCEVTIIFIAHSRSSVENMHTSGVARTQNGLNMVHEIEKVNKTVFSSLDKIYVGTEPNEKLELRKGPEKSLLDRIEEQYIELYSARVLYQQNQSRSIHTFIHEFANPIVGRLEPEVWTGQMTYTKGTGTQGYAFVTGNFGQLVSDILPRVTEDYLDAQKSSADYVADFTFTKPLEGPAARPNRLTVQGLKVDMTLIQEHGAAEEKRSLPRCRAVVKLAESAKTKSAM
+>sp|Q2M1P5|KIF7_HUMAN Kinesin-like protein KIF7 OS=Homo sapiens OX=9606 GN=KIF7 PE=1 SV=2
+MGLEAQRLPGAEEAPVRVALRVRPLLPKELLHGHQSCLQVEPGLGRVTLGRDRHFGFHVVLAEDAGQEAVYQACVQPLLEAFFEGFNATVFAYGQTGSGKTYTMGEASVASLLEDEQGIVPRAMAEAFKLIDENDLLDCLVHVSYLEVYKEEFRDLLEVGTASRDIQLREDERGNVVLCGVKEVDVEGLDEVLSLLEMGNAARHTGATHLNHLSSRSHTVFTVTLEQRGRAPSRLPRPAPGQLLVSKFHFVDLAGSERVLKTGSTGERLKESIQINSSLLALGNVISALGDPQRRGSHIPYRDSKITRILKDSLGGNAKTVMIACVSPSSSDFDETLNTLNYASRAQNIRNRATVNWRPEAERPPEETASGARGPPRHRSETRIIHRGRRAPGPATASAAAAMRLGAECARYRACTDAAYSLLRELQAEPGLPGAAARKVRDWLCAVEGERSALSSASGPDSGIESASVEDQAAQGAGGRKEDEGAQQLLTLQNQVARLEEENRDFLAALEDAMEQYKLQSDRLREQQEEMVELRLRLELVRPGWGGPRLLNGLPPGSFVPRPHTAPLGGAHAHVLGMVPPACLPGDEVGSEQRGEQVTNGREAGAELLTEVNRLGSGSSAASEEEEEEEEPPRRTLHLRRNRISNCSQRAGARPGSLPERKGPELCLEELDAAIPGSRAVGGSKARVQARQVPPATASEWRLAQAQQKIRELAINIRMKEELIGELVRTGKAAQALNRQHSQRIRELEQEAEQVRAELSEGQRQLRELEGKELQDAGERSRLQEFRRRVAAAQSQVQVLKEKKQATERLVSLSAQSEKRLQELERNVQLMRQQQGQLQRRLREETEQKRRLEAEMSKRQHRVKELELKHEQQQKILKIKTEEIAAFQRKRRSGSNGSVVSLEQQQKIEEQKKWLDQEMEKVLQQRRALEELGEELHKREAILAKKEALMQEKTGLESKRLRSSQALNEDIVRVSSRLEHLEKELSEKSGQLRQGSAQSQQQIRGEIDSLRQEKDSLLKQRLEIDGKLRQGSLLSPEEERTLFQLDEAIEALDAAIEYKNEAITCRQRVLRASASLLSQCEMNLMAKLSYLSSSETRALLCKYFDKVVTLREEQHQQQIAFSELEMQLEEQQRLVYWLEVALERQRLEMDRQLTLQQKEHEQNMQLLLQQSRDHLGEGLADSRRQYEARIQALEKELGRYMWINQELKQKLGGVNAVGHSRGGEKRSLCSEGRQAPGNEDELHLAPELLWLSPLTEGAPRTREETRDLVHAPLPLTWKRSSLCGEEQGSPEELRQREAAEPLVGRVLPVGEAGLPWNFGPLSKPRRELRRASPGMIDVRKNPL
+>DECOY_sp|Q2M1P5|KIF7_HUMAN Kinesin-like protein KIF7 OS=Homo sapiens OX=9606 GN=KIF7 PE=1 SV=2
+LPNKRVDIMGPSARRLERRPKSLPGFNWPLGAEGVPLVRGVLPEAAERQRLEEPSGQEEGCLSSRKWTLPLPAHVLDRTEERTRPAGETLPSLWLLEPALHLEDENGPAQRGESCLSRKEGGRSHGVANVGGLKQKLEQNIWMYRGLEKELAQIRAEYQRRSDALGEGLHDRSQQLLLQMNQEHEKQQLTLQRDMELRQRELAVELWYVLRQQEELQMELESFAIQQQHQEERLTVVKDFYKCLLARTESSSLYSLKAMLNMECQSLLSASARLVRQRCTIAENKYEIAADLAEIAEDLQFLTREEEPSLLSGQRLKGDIELRQKLLSDKEQRLSDIEGRIQQQSQASGQRLQGSKESLEKELHELRSSVRVIDENLAQSSRLRKSELGTKEQMLAEKKALIAERKHLEEGLEELARRQQLVKEMEQDLWKKQEEIKQQQELSVVSGNSGSRRKRQFAAIEETKIKLIKQQQEHKLELEKVRHQRKSMEAELRRKQETEERLRRQLQGQQQRMLQVNRELEQLRKESQASLSVLRETAQKKEKLVQVQSQAAAVRRRFEQLRSREGADQLEKGELERLQRQGESLEARVQEAEQELERIRQSHQRNLAQAAKGTRVLEGILEEKMRINIALERIKQQAQALRWESATAPPVQRAQVRAKSGGVARSGPIAADLEELCLEPGKREPLSGPRAGARQSCNSIRNRRLHLTRRPPEEEEEEEESAASSGSGLRNVETLLEAGAERGNTVQEGRQESGVEDGPLCAPPVMGLVHAHAGGLPATHPRPVFSGPPLGNLLRPGGWGPRVLELRLRLEVMEEQQERLRDSQLKYQEMADELAALFDRNEEELRAVQNQLTLLQQAGEDEKRGGAGQAAQDEVSASEIGSDPGSASSLASREGEVACLWDRVKRAAAGPLGPEAQLERLLSYAADTCARYRACEAGLRMAAAASATAPGPARRGRHIIRTESRHRPPGRAGSATEEPPREAEPRWNVTARNRINQARSAYNLTNLTEDFDSSSPSVCAIMVTKANGGLSDKLIRTIKSDRYPIHSGRRQPDGLASIVNGLALLSSNIQISEKLREGTSGTKLVRESGALDVFHFKSVLLQGPAPRPLRSPARGRQELTVTFVTHSRSSLHNLHTAGTHRAANGMELLSLVEDLGEVDVEKVGCLVVNGREDERLQIDRSATGVELLDRFEEKYVELYSVHVLCDLLDNEDILKFAEAMARPVIGQEDELLSAVSAEGMTYTKGSGTQGYAFVTANFGEFFAELLPQVCAQYVAEQGADEALVVHFGFHRDRGLTVRGLGPEVQLCSQHGHLLEKPLLPRVRLAVRVPAEEAGPLRQAELGM
+>sp|Q92845|KIFA3_HUMAN Kinesin-associated protein 3 OS=Homo sapiens OX=9606 GN=KIFAP3 PE=1 SV=2
+MQGEDARYLKRKVKGGNIDVHPSEKALIVHYEVEATILGEMGDPMLGERKECQKIIRLKSLNANTDITSLARKVVEECKLIHPSKLNEVEQLLYYLQNRRDSLSGKEKKEKSSKPKDPPPFEGMEIDEVANINDMDEYIELLYEDIPDKVRGSALILQLARNPDNLEELLLNETALGALARVLREDWKQSVELATNIIYIFFCFSSFSQFHGLITHYKIGALCMNIIDHELKRHELWQEELSKKKKAVDEDPENQTLRKDYEKTFKKYQGLVVKQEQLLRVALYLLLNLAEDTRTELKMRNKNIVHMLVKALDRDNFELLILVVSFLKKLSIFMENKNDMVEMDIVEKLVKMIPCEHEDLLNITLRLLLNLSFDTGLRNKMVQVGLLPKLTALLGNDNYKQIAMCVLYHISMDDRFKSMFAYTDCIPQLMKMLFECSDERIDLELISFCINLAANKRNVQLICEGNGLKMLMKRALKFKDPLLMKMIRNISQHDGPTKNLFIDYVGDLAAQISNDEEEEFVIECLGTLANLTIPDLDWELVLKEYKLVPYLKDKLKPGAAEDDLVLEVVIMIGTVSMDDSCAALLAKSGIIPALIELLNAQQEDDEFVCQIIYVFYQMVFHQATRDVIIKETQAPAYLIDLMHDKNNEIRKVCDNTLDIIAEYDEEWAKKIQSEKFRWHNSQWLEMVESRQMDESEQYLYGDDRIEPYIHEGDILERPDLFYNSDGLIASEGAISPDFFNDYHLQNGDVVGQHSFPGSLGMDGFGQPVGILGRPATAYGFRPDEPYYYGYGS
+>DECOY_sp|Q92845|KIFA3_HUMAN Kinesin-associated protein 3 OS=Homo sapiens OX=9606 GN=KIFAP3 PE=1 SV=2
+SGYGYYYPEDPRFGYATAPRGLIGVPQGFGDMGLSGPFSHQGVVDGNQLHYDNFFDPSIAGESAILGDSNYFLDPRELIDGEHIYPEIRDDGYLYQESEDMQRSEVMELWQSNHWRFKESQIKKAWEEDYEAIIDLTNDCVKRIENNKDHMLDILYAPAQTEKIIVDRTAQHFVMQYFVYIIQCVFEDDEQQANLLEILAPIIGSKALLAACSDDMSVTGIMIVVELVLDDEAAGPKLKDKLYPVLKYEKLVLEWDLDPITLNALTGLCEIVFEEEEDNSIQAALDGVYDIFLNKTPGDHQSINRIMKMLLPDKFKLARKMLMKLGNGECILQVNRKNAALNICFSILELDIREDSCEFLMKMLQPICDTYAFMSKFRDDMSIHYLVCMAIQKYNDNGLLATLKPLLGVQVMKNRLGTDFSLNLLLRLTINLLDEHECPIMKVLKEVIDMEVMDNKNEMFISLKKLFSVVLILLEFNDRDLAKVLMHVINKNRMKLETRTDEALNLLLYLAVRLLQEQKVVLGQYKKFTKEYDKRLTQNEPDEDVAKKKKSLEEQWLEHRKLEHDIINMCLAGIKYHTILGHFQSFSSFCFFIYIINTALEVSQKWDERLVRALAGLATENLLLEELNDPNRALQLILASGRVKDPIDEYLLEIYEDMDNINAVEDIEMGEFPPPDKPKSSKEKKEKGSLSDRRNQLYYLLQEVENLKSPHILKCEEVVKRALSTIDTNANLSKLRIIKQCEKREGLMPDGMEGLITAEVEYHVILAKESPHVDINGGKVKRKLYRADEGQM
+>sp|Q9BVG8|KIFC3_HUMAN Kinesin-like protein KIFC3 OS=Homo sapiens OX=9606 GN=KIFC3 PE=1 SV=4
+MVPSRRTWNLGATPSLRGLWRVGRAPEPEPGMARPAPAPASPAARPFPHTGPGRLRTGRGKDTPVCGDEDSSARSAARPALAQCRALSVDWAGPGSPHGLYLTLQVEHLKEKLISQAQEVSRLRSELGGTDLEKHRDLLMVENERLRQEMRRCEAELQELRTKPAGPCPGCEHSQESAQLRDKLSQLQLEMAESKGMLSELNLEVQQKTDRLAEVELRLKDCLAEKAQEEERLSRRLRDSHETIASLRAQSPPVKYVIKTVEVESSKTKQALSESQARNQHLQEQVAMQRQVLKEMEQQLQSSHQLTARLRAQIAMYESELERAHGQMLEEMQSLEEDKNRAIEEAFARAQVEMKAVHENLAGVRTNLLTLQPALRTLTNDYNGLKRQVRGFPLLLQEALRSVKAEIGQAIEEVNSNNQELLRKYRRELQLRKKCHNELVRLKGNIRVIARVRPVTKEDGEGPEATNAVTFDADDDSIIHLLHKGKPVSFELDKVFSPQASQQDVFQEVQALVTSCIDGFNVCIFAYGQTGAGKTYTMEGTAENPGINQRALQLLFSEVQEKASDWEYTITVSAAEIYNEVLRDLLGKEPQEKLEIRLCPDGSGQLYVPGLTEFQVQSVDDINKVFEFGHTNRTTEFTNLNEHSSRSHALLIVTVRGVDCSTGLRTTGKLNLVDLAGSERVGKSGAEGSRLREAQHINKSLSALGDVIAALRSRQGHVPFRNSKLTYLLQDSLSGDSKTLMVVQVSPVEKNTSETLYSLKFAERVRSVELGPGLRRAELGSWSSQEHLEWEPACQTPQPSARAHSAPSSGTSSRPGSIRRKLQPSGKSRPLPV
+>DECOY_sp|Q9BVG8|KIFC3_HUMAN Kinesin-like protein KIFC3 OS=Homo sapiens OX=9606 GN=KIFC3 PE=1 SV=4
+VPLPRSKGSPQLKRRISGPRSSTGSSPASHARASPQPTQCAPEWELHEQSSWSGLEARRLGPGLEVSRVREAFKLSYLTESTNKEVPSVQVVMLTKSDGSLSDQLLYTLKSNRFPVHGQRSRLAAIVDGLASLSKNIHQAERLRSGEAGSKGVRESGALDVLNLKGTTRLGTSCDVGRVTVILLAHSRSSHENLNTFETTRNTHGFEFVKNIDDVSQVQFETLGPVYLQGSGDPCLRIELKEQPEKGLLDRLVENYIEAASVTITYEWDSAKEQVESFLLQLARQNIGPNEATGEMTYTKGAGTQGYAFICVNFGDICSTVLAQVEQFVDQQSAQPSFVKDLEFSVPKGKHLLHIISDDDADFTVANTAEPGEGDEKTVPRVRAIVRINGKLRVLENHCKKRLQLERRYKRLLEQNNSNVEEIAQGIEAKVSRLAEQLLLPFGRVQRKLGNYDNTLTRLAPQLTLLNTRVGALNEHVAKMEVQARAFAEEIARNKDEELSQMEELMQGHARELESEYMAIQARLRATLQHSSQLQQEMEKLVQRQMAVQEQLHQNRAQSESLAQKTKSSEVEVTKIVYKVPPSQARLSAITEHSDRLRRSLREEEQAKEALCDKLRLEVEALRDTKQQVELNLESLMGKSEAMELQLQSLKDRLQASEQSHECGPCPGAPKTRLEQLEAECRRMEQRLRENEVMLLDRHKELDTGGLESRLRSVEQAQSILKEKLHEVQLTLYLGHPSGPGAWDVSLARCQALAPRAASRASSDEDGCVPTDKGRGTRLRGPGTHPFPRAAPSAPAPAPRAMGPEPEPARGVRWLGRLSPTAGLNWTRRSPVM
+>sp|Q15726|KISS1_HUMAN Metastasis-suppressor KiSS-1 OS=Homo sapiens OX=9606 GN=KISS1 PE=1 SV=4
+MNSLVSWQLLLFLCATHFGEPLEKVASVGNSRPTGQQLESLGLLAPGEQSLPCTERKPAATARLSRRGTSLSPPPESSGSPQQPGLSAPHSRQIPAPQGAVLVQREKDLPNYNWNSFGLRFGKREAAPGNHGRSAGRG
+>DECOY_sp|Q15726|KISS1_HUMAN Metastasis-suppressor KiSS-1 OS=Homo sapiens OX=9606 GN=KISS1 PE=1 SV=4
+GRGASRGHNGPAAERKGFRLGFSNWNYNPLDKERQVLVAGQPAPIQRSHPASLGPQQPSGSSEPPPSLSTGRRSLRATAAPKRETCPLSQEGPALLGLSELQQGTPRSNGVSAVKELPEGFHTACLFLLLQWSVLSNM
+>sp|A0A0A0MT89|KJ01_HUMAN Immunoglobulin kappa joining 1 OS=Homo sapiens OX=9606 GN=IGKJ1 PE=4 SV=2
+WTFGQGTKVEIK
+>DECOY_sp|A0A0A0MT89|KJ01_HUMAN Immunoglobulin kappa joining 1 OS=Homo sapiens OX=9606 GN=IGKJ1 PE=4 SV=2
+KIEVKTGQGFTW
+>sp|P60368|KR102_HUMAN Keratin-associated protein 10-2 OS=Homo sapiens OX=9606 GN=KRTAP10-2 PE=2 SV=1
+MAASTMSICSSACTNSWQVDDCPESCCELPCGTPSCCAPAPCLTLVCTPVSCVSSPCCQAACEPSACQSGCTSSCTPSCCQQSSCQPACCTSSPCQQACCVPVCCKPVCCVPVCCGASSCCQQSSCQPACCASSSCQQSCRVPVCCKAVCCVPTCSESSSSCCQQSSCQPACCTSSPCQQSCCVSVCCKPVCCKSICCVPVCSGASSPCCQQSSCQPACCTSSCCRPSSSVSLLCRPVCSRPASCSFSSGQKSSC
+>DECOY_sp|P60368|KR102_HUMAN Keratin-associated protein 10-2 OS=Homo sapiens OX=9606 GN=KRTAP10-2 PE=2 SV=1
+CSSKQGSSFSCSAPRSCVPRCLLSVSSSPRCCSSTCCAPQCSSQQCCPSSAGSCVPVCCISKCCVPKCCVSVCCSQQCPSSTCCAPQCSSQQCCSSSSESCTPVCCVAKCCVPVRCSQQCSSSACCAPQCSSQQCCSSAGCCVPVCCVPKCCVPVCCAQQCPSSTCCAPQCSSQQCCSPTCSSTCGSQCASPECAAQCCPSSVCSVPTCVLTLCPAPACCSPTGCPLECCSEPCDDVQWSNTCASSCISMTSAAM
+>sp|P60412|KR10B_HUMAN Keratin-associated protein 10-11 OS=Homo sapiens OX=9606 GN=KRTAP10-11 PE=1 SV=3
+MAASTMSVCSSAYSDSWQVDDCPESCCEPPCSAPSCCAPAPSLSLVCTPVSCVSSPCCQAACEPSACQSGCTSSCTPSCCQQSSCQPACCTSSPCQQACCVPVCCKTVCCKPVCCVPVCCGAASSCCRQSSCQPACCASSSCQPACCVPVCCKPVCCVSTCSEDSSSCCQQSSCQPACCTSSSYQQACCVPVCCKTVYCKPICCVPVCSRASSSRCQQPSCQPACCTTSCCRPSSSVSLLCHPVCRSTCCVPVSSCCAPTSSCQSSCCRPASCVSLLCRPASSRLACYSLCSGKKSSC
+>DECOY_sp|P60412|KR10B_HUMAN Keratin-associated protein 10-11 OS=Homo sapiens OX=9606 GN=KRTAP10-11 PE=1 SV=3
+CSSKKGSCLSYCALRSSAPRCLLSVCSAPRCCSSQCSSTPACCSSVPVCCTSRCVPHCLLSVSSSPRCCSTTCCAPQCSPQQCRSSSARSCVPVCCIPKCYVTKCCVPVCCAQQYSSSTCCAPQCSSQQCCSSSDESCTSVCCVPKCCVPVCCAPQCSSSACCAPQCSSQRCCSSAAGCCVPVCCVPKCCVTKCCVPVCCAQQCPSSTCCAPQCSSQQCCSPTCSSTCGSQCASPECAAQCCPSSVCSVPTCVLSLSPAPACCSPASCPPECCSEPCDDVQWSDSYASSCVSMTSAAM
+>sp|Q8IUC1|KR111_HUMAN Keratin-associated protein 11-1 OS=Homo sapiens OX=9606 GN=KRTAP11-1 PE=1 SV=1
+MSFNCSTRNCSSRPIGGRCIVPVAQVTTTSTTDADCLGGICLPSSFQTGSWLLDHCQETCCEPTACQPTCYRRTSCVSNPCQVTCSRQTTCISNPCSTTYSRPLTFVSSGCQPLGGISSVCQPVGGISTVCQPVGGVSTVCQPACGVSRTYQQSCVSSCRRTC
+>DECOY_sp|Q8IUC1|KR111_HUMAN Keratin-associated protein 11-1 OS=Homo sapiens OX=9606 GN=KRTAP11-1 PE=1 SV=1
+CTRRCSSVCSQQYTRSVGCAPQCVTSVGGVPQCVTSIGGVPQCVSSIGGLPQCGSSVFTLPRSYTTSCPNSICTTQRSCTVQCPNSVCSTRRYCTPQCATPECCTEQCHDLLWSGTQFSSPLCIGGLCDADTTSTTTVQAVPVICRGGIPRSSCNRTSCNFSM
+>sp|P60328|KR123_HUMAN Keratin-associated protein 12-3 OS=Homo sapiens OX=9606 GN=KRTAP12-3 PE=1 SV=2
+MCHTSCSPACQPTCCIHSPCQASCYVPVSCQSSVCMPVSCTRIVCVAPSCQPSVCVPVSCRPIIYVTPSCQSSGCCQPPCTTALCRPISCSTPSCC
+>DECOY_sp|P60328|KR123_HUMAN Keratin-associated protein 12-3 OS=Homo sapiens OX=9606 GN=KRTAP12-3 PE=1 SV=2
+CCSPTSCSIPRCLATTCPPQCCGSSQCSPTVYIIPRCSVPVCVSPQCSPAVCVIRTCSVPMCVSSQCSVPVYCSAQCPSHICCTPQCAPSCSTHCM
+>sp|Q3LI76|KR151_HUMAN Keratin-associated protein 15-1 OS=Homo sapiens OX=9606 GN=KRTAP15-1 PE=1 SV=2
+MSYNCSSGNFSSCCFGSYLRYPVSTYNLFYPSNAIYSPNTCQLGSSLYNGCQETYCEPTSCQTSCTLARSYQTSCYCPKNSIFCSPRQTNYIRSLGCGNTGLGSLGCGSTGFQSLDCGSSFYHPTTFSSRNFQATCY
+>DECOY_sp|Q3LI76|KR151_HUMAN Keratin-associated protein 15-1 OS=Homo sapiens OX=9606 GN=KRTAP15-1 PE=1 SV=2
+YCTAQFNRSSFTTPHYFSSGCDLSQFGTSGCGLSGLGTNGCGLSRIYNTQRPSCFISNKPCYCSTQYSRALTCSTQCSTPECYTEQCGNYLSSGLQCTNPSYIANSPYFLNYTSVPYRLYSGFCCSSFNGSSCNYSM
+>sp|Q7Z4W3|KR193_HUMAN Keratin-associated protein 19-3 OS=Homo sapiens OX=9606 GN=KRTAP19-3 PE=3 SV=1
+MSYYGSYYGGLGYGCGGFGGLGYGYGCGCGSFRRLGSGCGYGGYGYGSGFGGYGYGSGFGGYGYGCYRPSYYGGYGFSGFY
+>DECOY_sp|Q7Z4W3|KR193_HUMAN Keratin-associated protein 19-3 OS=Homo sapiens OX=9606 GN=KRTAP19-3 PE=3 SV=1
+YFGSFGYGGYYSPRYCGYGYGGFGSGYGYGGFGSGYGYGGYGCGSGLRRFSGCGCGYGYGLGGFGGCGYGLGGYYSGYYSM
+>sp|Q3LI54|KR198_HUMAN Keratin-associated protein 19-8 OS=Homo sapiens OX=9606 GN=KRTAP19-8 PE=3 SV=1
+MSYYRSYYGGLGYGYGGFGGWGYGYGCGYGSFRRLGYGCGYGGYGFSCCRPLYYGGYGFSAFY
+>DECOY_sp|Q3LI54|KR198_HUMAN Keratin-associated protein 19-8 OS=Homo sapiens OX=9606 GN=KRTAP19-8 PE=3 SV=1
+YFASFGYGGYYLPRCCSFGYGGYGCGYGLRRFSGYGCGYGYGWGGFGGYGYGLGGYYSRYYSM
+>sp|Q3LI63|KR201_HUMAN Keratin-associated protein 20-1 OS=Homo sapiens OX=9606 GN=KRTAP20-1 PE=3 SV=1
+MIYYSNYYGGYGYGGLGCGYGCGYRGYGCGYGGYGGYGNGYYCPSCYGRYWSYGFY
+>DECOY_sp|Q3LI63|KR201_HUMAN Keratin-associated protein 20-1 OS=Homo sapiens OX=9606 GN=KRTAP20-1 PE=3 SV=1
+YFGYSWYRGYCSPCYYGNGYGGYGGYGCGYGRYGCGYGCGLGGYGYGGYYNSYYIM
+>sp|Q3LI59|KR212_HUMAN Keratin-associated protein 21-2 OS=Homo sapiens OX=9606 GN=KRTAP21-2 PE=3 SV=2
+MCCNYYRNCCGGCGYGSGWSSGCGYGCGYGCGYGSGCRYGSGYGTGCGYGCGYGSGCGYGCGYSSSCCGYRPLCYRRCYSSCY
+>DECOY_sp|Q3LI59|KR212_HUMAN Keratin-associated protein 21-2 OS=Homo sapiens OX=9606 GN=KRTAP21-2 PE=3 SV=2
+YCSSYCRRYCLPRYGCCSSSYGCGYGCGSGYGCGYGCGTGYGSGYRCGSGYGCGYGCGYGCGSSWGSGYGCGGCCNRYYNCCM
+>sp|A1A580|KR231_HUMAN Keratin-associated protein 23-1 OS=Homo sapiens OX=9606 GN=KRTAP23-1 PE=1 SV=1
+MSYNCCCGNFSSHSCEGYLCYSGYSRGGSSYPSNLVYSTEPLISQHLPAGFLSLQGLSGDLLGNP
+>DECOY_sp|A1A580|KR231_HUMAN Keratin-associated protein 23-1 OS=Homo sapiens OX=9606 GN=KRTAP23-1 PE=1 SV=1
+PNGLLDGSLGQLSLFGAPLHQSILPETSYVLNSPYSSGGRSYGSYCLYGECSHSSFNGCCCNYSM
+>sp|Q6PEX3|KR261_HUMAN Keratin-associated protein 26-1 OS=Homo sapiens OX=9606 GN=KRTAP26-1 PE=1 SV=1
+MSCPNYCSGNSNSGSLRTSRHIPLTSIDLCPTSVSCGDVLYLPTSSQDHTWVTDNCQETCGEPTSCQPVHCETGNLETSCGSSTAYYVPRPCQGSSFLPASFFSSSCLPVSCRPQRYVSSGCRPLRPLLNSYQPIGDCVPNAYRPQFCLSKSCQPQNLLTSGCQPSSCLAYRPQSLHVVSSSLRPLGPLFSGCQPLTHVFSTCRPSCSGL
+>DECOY_sp|Q6PEX3|KR261_HUMAN Keratin-associated protein 26-1 OS=Homo sapiens OX=9606 GN=KRTAP26-1 PE=1 SV=1
+LGSCSPRCTSFVHTLPQCGSFLPGLPRLSSSVVHLSQPRYALCSSPQCGSTLLNQPQCSKSLCFQPRYANPVCDGIPQYSNLLPRLPRCGSSVYRQPRCSVPLCSSSFFSAPLFSSGQCPRPVYYATSSGCSTELNGTECHVPQCSTPEGCTEQCNDTVWTHDQSSTPLYLVDGCSVSTPCLDISTLPIHRSTRLSGSNSNGSCYNPCSM
+>sp|A8MX34|KR291_HUMAN Keratin-associated protein 29-1 OS=Homo sapiens OX=9606 GN=KRTAP29-1 PE=3 SV=1
+MADGCCPGNTTAIPAVPTITTYPVKGGFRHALCLPSSCHSRMWQLVTCQESCQPSIGAPSGCDPASCQPTRLPATSCVGFVCQPMCSHAACYQSGTGQSPCLVSSCQPSCSESTCCQEKCCDASPCQQSSCQESVCMSGSCQAACGQSVCCDAGSCQPSCSEVTSCPETSCLPTICTASPCQPTWCQGSSCQPVSGEGQPCKSTYYQPICYIFKPCQSALYMPVPCQPSTCVFSSCNTTCCVPSHCQPPHCQLVPSTCFIYQPVANCQAPCSTKNCCKPASCDTVISGQPTCDGPPSYNQSGCKSACCVTGLGTSPSSGSNCLPTSCQPSCESSFCKATLC
+>DECOY_sp|A8MX34|KR291_HUMAN Keratin-associated protein 29-1 OS=Homo sapiens OX=9606 GN=KRTAP29-1 PE=3 SV=1
+CLTAKCFSSECSPQCSTPLCNSGSSPSTGLGTVCCASKCGSQNYSPPGDCTPQGSIVTDCSAPKCCNKTSCPAQCNAVPQYIFCTSPVLQCHPPQCHSPVCCTTNCSSFVCTSPQCPVPMYLASQCPKFIYCIPQYYTSKCPQGEGSVPQCSSGQCWTPQCPSATCITPLCSTEPCSTVESCSPQCSGADCCVSQGCAAQCSGSMCVSEQCSSQQCPSADCCKEQCCTSESCSPQCSSVLCPSQGTGSQYCAAHSCMPQCVFGVCSTAPLRTPQCSAPDCGSPAGISPQCSEQCTVLQWMRSHCSSPLCLAHRFGGKVPYTTITPVAPIATTNGPCCGDAM
+>sp|A6NCN2|KR87P_HUMAN Putative keratin-87 protein OS=Homo sapiens OX=9606 GN=KRT87P PE=5 SV=4
+MEANSGRLASELNHVQEVLEGYKKKYEEEVALRATAENEFVALKKDVDCAYLRKSDLEANVEALTQEIDFLRRLYEEEIRVLQSHISDTSVVVKMDNSRDLNMHCVITEIKAQYDDIATRSRAEAESWYRSKCEEMKATVIRHGETLRRTKEEINELNRMIQRLTAEVENAKCQNSKLEAAVAQSEQQGEAALSDARCKLAELEGALQKAKQDMACLIREYQEVMNSKLAWTLRSPPTGACWRARSRGCVRALVL
+>DECOY_sp|A6NCN2|KR87P_HUMAN Putative keratin-87 protein OS=Homo sapiens OX=9606 GN=KRT87P PE=5 SV=4
+LVLARVCGRSRARWCAGTPPSRLTWALKSNMVEQYERILCAMDQKAKQLAGELEALKCRADSLAAEGQQESQAVAAELKSNQCKANEVEATLRQIMRNLENIEEKTRRLTEGHRIVTAKMEECKSRYWSEAEARSRTAIDDYQAKIETIVCHMNLDRSNDMKVVVSTDSIHSQLVRIEEEYLRRLFDIEQTLAEVNAELDSKRLYACDVDKKLAVFENEATARLAVEEEYKKKYGELVEQVHNLESALRGSNAEM
+>sp|P0C7H8|KRA23_HUMAN Keratin-associated protein 2-3 OS=Homo sapiens OX=9606 GN=KRTAP2-3 PE=1 SV=2
+MTGSCCGSTLSSLSYGGGCCQPCCCRDPCCCRPVTCQTTVCRPVTCVPRCTRPICEPCRRPVCCDPCSLQEGCCRPITCCPSSCTAVVCRPCCWATTCCQPVSVQSPCCRPPCGQPTPCSTTCRTSSC
+>DECOY_sp|P0C7H8|KRA23_HUMAN Keratin-associated protein 2-3 OS=Homo sapiens OX=9606 GN=KRTAP2-3 PE=1 SV=2
+CSSTRCTTSCPTPQGCPPRCCPSQVSVPQCCTTAWCCPRCVVATCSSPCCTIPRCCGEQLSCPDCCVPRRCPECIPRTCRPVCTVPRCVTTQCTVPRCCCPDRCCCPQCCGGGYSLSSLTSGCCSGTM
+>sp|Q9BYR4|KRA43_HUMAN Keratin-associated protein 4-3 OS=Homo sapiens OX=9606 GN=KRTAP4-3 PE=2 SV=2
+MVSSCCGSVCSDQSCGQGLGQESCCRPSCCQTTCCRTTCCRPSCCISSCCRPSCCISSCCKPSCCRTTCCRPSCCISSCCRPSCCISSCCKPSCCRTTCCRPSCCISSCCRPSCCISSCCKPSCCQTTCCRPSCCISSCYRPQCCQPSCCRPACCISSCCHPSCCVSSCRCPFSCPTTCCRTTCFHPICCGSSCC
+>DECOY_sp|Q9BYR4|KRA43_HUMAN Keratin-associated protein 4-3 OS=Homo sapiens OX=9606 GN=KRTAP4-3 PE=2 SV=2
+CCSSGCCIPHFCTTRCCTTPCSFPCRCSSVCCSPHCCSSICCAPRCCSPQCCQPRYCSSICCSPRCCTTQCCSPKCCSSICCSPRCCSSICCSPRCCTTRCCSPKCCSSICCSPRCCSSICCSPRCCTTRCCSPKCCSSICCSPRCCSSICCSPRCCTTRCCTTQCCSPRCCSEQGLGQGCSQDSCVSGCCSSVM
+>sp|Q9BYR2|KRA45_HUMAN Keratin-associated protein 4-5 OS=Homo sapiens OX=9606 GN=KRTAP4-5 PE=1 SV=4
+MVSSCCGSVSSEQSCGLENCCRPSCCQTTCCRTTCCRPSCCKPQCCQSVCYQPTCCHPSCCISSCCRPYCCESSCCRPCCCQTTCCRTTCCRTTCCCPSCCVSSCCRPQCCQSVCCQPTCCRPSCCISSCCHPSCCESSCCRPCCCVRPVCGRVSCHTTCYRPTCVISTCPRPLCCASSCC
+>DECOY_sp|Q9BYR2|KRA45_HUMAN Keratin-associated protein 4-5 OS=Homo sapiens OX=9606 GN=KRTAP4-5 PE=1 SV=4
+CCSSACCLPRPCTSIVCTPRYCTTHCSVRGCVPRVCCCPRCCSSECCSPHCCSSICCSPRCCTPQCCVSQCCQPRCCSSVCCSPCCCTTRCCTTRCCTTQCCCPRCCSSECCYPRCCSSICCSPHCCTPQYCVSQCCQPKCCSPRCCTTRCCTTQCCSPRCCNELGCSQESSVSGCCSSVM
+>sp|Q9BYR0|KRA47_HUMAN Keratin-associated protein 4-7 OS=Homo sapiens OX=9606 GN=KRTAP4-7 PE=1 SV=2
+MVSSCCGSVCSDQGCSQDLCQETCCRPSCCQTTCCRTTCYRPSCCVSSCCRPQCCQSVCCQPTCCRPTCCETTCCHPRCCISSCCRPSCCMSSCCKPQCCQSVCCQPTCCHPSCCISSCCRPSCCVSRCCRPQCCQSVCCQPTCCRPSCCISSCCRPSCCESSCCRPSCCRPCCCLRPVCGRVSCHTTCYRPTCVISTCPRPLCCASSCC
+>DECOY_sp|Q9BYR0|KRA47_HUMAN Keratin-associated protein 4-7 OS=Homo sapiens OX=9606 GN=KRTAP4-7 PE=1 SV=2
+CCSSACCLPRPCTSIVCTPRYCTTHCSVRGCVPRLCCCPRCCSPRCCSSECCSPRCCSSICCSPRCCTPQCCVSQCCQPRCCRSVCCSPRCCSSICCSPHCCTPQCCVSQCCQPKCCSSMCCSPRCCSSICCRPHCCTTECCTPRCCTPQCCVSQCCQPRCCSSVCCSPRYCTTRCCTTQCCSPRCCTEQCLDQSCGQDSCVSGCCSSVM
+>sp|O75690|KRA58_HUMAN Keratin-associated protein 5-8 OS=Homo sapiens OX=9606 GN=KRTAP5-8 PE=1 SV=2
+MGCCGCSGGCGSGCGGCGSGCGGCGSSCCVPICCCKPVCCCVPACSCSSCGSCGGSKGGRGSCGGSKGDCGSCGGSKGGCGSCGCSQCSCYKPCCCSSGCGSSCCQSSCCKPCCSQSSCCKPCSCSSGCGSSCCQSSCCKPCCSQSSCCKPCCCSSGCGSSCCQSSCCKPCCSQSSCCVPICCQCKI
+>DECOY_sp|O75690|KRA58_HUMAN Keratin-associated protein 5-8 OS=Homo sapiens OX=9606 GN=KRTAP5-8 PE=1 SV=2
+IKCQCCIPVCCSSQSCCPKCCSSQCCSSGCGSSCCCPKCCSSQSCCPKCCSSQCCSSGCGSSCSCPKCCSSQSCCPKCCSSQCCSSGCGSSCCCPKYCSCQSCGCSGCGGKSGGCSGCDGKSGGCSGRGGKSGGCSGCSSCSCAPVCCCVPKCCCIPVCCSSGCGGCGSGCGGCGSGCGGSCGCCGM
+>sp|P26371|KRA59_HUMAN Keratin-associated protein 5-9 OS=Homo sapiens OX=9606 GN=KRTAP5-9 PE=1 SV=1
+MGCCGCSGGCGSSCGGCDSSCGSCGSGCRGCGPSCCAPVYCCKPVCCCVPACSCSSCGKRGCGSCGGSKGGCGSCGCSQCSCCKPCCCSSGCGSSCCQCSCCKPYCSQCSCCKPCCSSSGRGSSCCQSSCCKPCCSSSGCGSSCCQSSCCKPCCSQSRCCVPVCYQCKI
+>DECOY_sp|P26371|KRA59_HUMAN Keratin-associated protein 5-9 OS=Homo sapiens OX=9606 GN=KRTAP5-9 PE=1 SV=1
+IKCQYCVPVCCRSQSCCPKCCSSQCCSSGCGSSSCCPKCCSSQCCSSGRGSSSCCPKCCSCQSCYPKCCSCQCCSSGCGSSCCCPKCCSCQSCGCSGCGGKSGGCSGCGRKGCSSCSCAPVCCCVPKCCYVPACCSPGCGRCGSGCSGCSSDCGGCSSGCGGSCGCCGM
+>sp|Q9BYP9|KRA99_HUMAN Keratin-associated protein 9-9 OS=Homo sapiens OX=9606 GN=KRTAP9-9 PE=2 SV=1
+MTHCCSPCCQPTCCRTTCCRTTCWKPTTVTTCSSTPCCQPSCCVSSCCQPCCRPACCQNTCCRTTCCQPTCLSSCCGQTSCGSSCGQSSSCAPVYCRRTCYYPTTVCLPGCLNQSCGSSCCQPCCRPACCETTCCRTTCFQPTCVSSCCQPSCC
+>DECOY_sp|Q9BYP9|KRA99_HUMAN Keratin-associated protein 9-9 OS=Homo sapiens OX=9606 GN=KRTAP9-9 PE=2 SV=1
+CCSPQCCSSVCTPQFCTTRCCTTECCAPRCCPQCCSSGCSQNLCGPLCVTTPYYCTRRCYVPACSSSQGCSSGCSTQGCCSSLCTPQCCTTRCCTNQCCAPRCCPQCCSSVCCSPQCCPTSSCTTVTTPKWCTTRCCTTRCCTPQCCPSCCHTM
+>sp|Q6ZNG9|KRBA2_HUMAN KRAB-A domain-containing protein 2 OS=Homo sapiens OX=9606 GN=KRBA2 PE=1 SV=1
+MPSFLVPSLVSSPVLLKLLFSPGPKTIWSLWQQPMLFQEATAFENMTKDWNYLEGSQKDCYRDTMLDSYENTVPQGSFLQLSMMPQRAGNDPPGVSNASEMEMEISNMREKFLMSVTKLVESKSYNSKVFSKEKYFQTIKEVKEAKEKGKKSSRDYRRAAKYDVISVQGTEKLIEATHGERDRIRYYVHKEELFDILHDTHLSIGHGGRTRMLKELQGKYGNVTKEVIVLYLTLCKQCHQKNPVPKRGLAPKPMTFKDIDSTCQVEILDMQSSADGEFKFILYYQDHSTKFIILRPLRTKQAHEVVSVLLDIFTILGTPSVLDSDSGVEFTNQVVHELNELWPDLKIVSGKYHPGQSQGSLEGASRDVKNMISTWMQSNHSCHWAKGLRFMQMVRNQAFDVSLQQSPFEAMFGYKAKFGLYSSNLPRETVATLQTEEELEIAEEQLENSLWIRQEERAEIGADRSDMDDDMDPTPEASEPSTSQGTSGLLCW
+>DECOY_sp|Q6ZNG9|KRBA2_HUMAN KRAB-A domain-containing protein 2 OS=Homo sapiens OX=9606 GN=KRBA2 PE=1 SV=1
+WCLLGSTGQSTSPESAEPTPDMDDDMDSRDAGIEAREEQRIWLSNELQEEAIELEEETQLTAVTERPLNSSYLGFKAKYGFMAEFPSQQLSVDFAQNRVMQMFRLGKAWHCSHNSQMWTSIMNKVDRSAGELSGQSQGPHYKGSVIKLDPWLENLEHVVQNTFEVGSDSDLVSPTGLITFIDLLVSVVEHAQKTRLPRLIIFKTSHDQYYLIFKFEGDASSQMDLIEVQCTSDIDKFTMPKPALGRKPVPNKQHCQKCLTLYLVIVEKTVNGYKGQLEKLMRTRGGHGISLHTDHLIDFLEEKHVYYRIRDREGHTAEILKETGQVSIVDYKAARRYDRSSKKGKEKAEKVEKITQFYKEKSFVKSNYSKSEVLKTVSMLFKERMNSIEMEMESANSVGPPDNGARQPMMSLQLFSGQPVTNEYSDLMTDRYCDKQSGELYNWDKTMNEFATAEQFLMPQQWLSWITKPGPSFLLKLLVPSSVLSPVLFSPM
+>sp|C9JBD0|KRBX1_HUMAN KRAB domain-containing protein 1 OS=Homo sapiens OX=9606 GN=KRBOX1 PE=2 SV=1
+MMTAVSLTTRPQESVAFEDVAVYFTTKEWAIMVPAERALYRDVMLENYEAVAFVVPPTSKPALVSHLEQGKESCFTQPQGVLSRNDWRAGWIGYLELRRYTYLAKAVLRRIVSKIFRNRQCWEDRRKA
+>DECOY_sp|C9JBD0|KRBX1_HUMAN KRAB domain-containing protein 1 OS=Homo sapiens OX=9606 GN=KRBOX1 PE=2 SV=1
+AKRRDEWCQRNRFIKSVIRRLVAKALYTYRRLELYGIWGARWDNRSLVGQPQTFCSEKGQELHSVLAPKSTPPVVFAVAEYNELMVDRYLAREAPVMIAWEKTTFYVAVDEFAVSEQPRTTLSVATMM
+>sp|Q107X0|KRIP1_HUMAN Putative protein KRIP1 OS=Homo sapiens OX=9606 GN=KLKP1 PE=5 SV=1
+MSPVHRSRTSQTQEAHKPTSTLSFISPPQPPRQDPKSPHILCSQPNACSRQSHVSYPNPWGLPCSQSKVSPPGTATNPNPKEWSRISGPPVSLDPQPWSSAPFKPTAQLPLLAQSLGPPAKPALPDPALPLQML
+>DECOY_sp|Q107X0|KRIP1_HUMAN Putative protein KRIP1 OS=Homo sapiens OX=9606 GN=KLKP1 PE=5 SV=1
+LMQLPLAPDPLAPKAPPGLSQALLPLQATPKFPASSWPQPDLSVPPGSIRSWEKPNPNTATGPPSVKSQSCPLGWPNPYSVHSQRSCANPQSCLIHPSKPDQRPPQPPSIFSLTSTPKHAEQTQSTRSRHVPSM
+>sp|O00522|KRIT1_HUMAN Krev interaction trapped protein 1 OS=Homo sapiens OX=9606 GN=KRIT1 PE=1 SV=2
+MGNPENIEDAYVAVIRPKNTASLNSREYRAKSYEILLHEVPIEGQKKKRKKVLLETKLQGNSEITQGILDYVVETTKPISPANQGIRGKRVVLMKKFPLDGEKMGREASLFIVPSVVKDNTKYTYTPGCPIFYCLQDIMRVCSESSTHFATLTARMLIALDKWLDERHAQSHFIPALFRPSPLERIKTNVINPAYATESGQTENSLHMGYSALEIKSKMLALEKADTCIYNPLFGSDLQYTNRVDKVVINPYFGLGAPDYSKIQIPKQEKWQRSMSSVTEDKERQWVDDFPLHRSACEGDSELLSRLLSERFSVNQLDSDHWAPIHYACWYGKVEATRILLEKGKCNPNLLNGQLSSPLHFAAGGGHAEIVQILLNHPETDRHITDQQGRSPLNICEENKQNNWEEAAKLLKEAINKPYEKVRIYRMDGSYRSVELKHGNNTTVQQIMEGMRLSQETQQYFTIWICSENLSLQLKPYHKPLQHVRDWPEILAELTNLDPQRETPQLFLRRDVRLPLEVEKQIEDPLAILILFDEARYNLLKGFYTAPDAKLITLASLLLQIVYGNYESKKHKQGFLNEENLKSIVPVTKLKSKAPHWTNRILHEYKNLSTSEGVSKEMHHLQRMFLQNCWEIPTYGAAFFTGQIFTKASPSNHKVIPVYVGVNIKGLHLLNMETKALLISLKYGCFMWQLGDTDTCFQIHSMENKMSFIVHTKQAGLVVKLLMKLNGQLMPTERNS
+>DECOY_sp|O00522|KRIT1_HUMAN Krev interaction trapped protein 1 OS=Homo sapiens OX=9606 GN=KRIT1 PE=1 SV=2
+SNRETPMLQGNLKMLLKVVLGAQKTHVIFSMKNEMSHIQFCTDTDGLQWMFCGYKLSILLAKTEMNLLHLGKINVGVYVPIVKHNSPSAKTFIQGTFFAAGYTPIEWCNQLFMRQLHHMEKSVGESTSLNKYEHLIRNTWHPAKSKLKTVPVISKLNEENLFGQKHKKSEYNGYVIQLLLSALTILKADPATYFGKLLNYRAEDFLILIALPDEIQKEVELPLRVDRRLFLQPTERQPDLNTLEALIEPWDRVHQLPKHYPKLQLSLNESCIWITFYQQTEQSLRMGEMIQQVTTNNGHKLEVSRYSGDMRYIRVKEYPKNIAEKLLKAAEEWNNQKNEECINLPSRGQQDTIHRDTEPHNLLIQVIEAHGGGAAFHLPSSLQGNLLNPNCKGKELLIRTAEVKGYWCAYHIPAWHDSDLQNVSFRESLLRSLLESDGECASRHLPFDDVWQREKDETVSSMSRQWKEQKPIQIKSYDPAGLGFYPNIVVKDVRNTYQLDSGFLPNYICTDAKELALMKSKIELASYGMHLSNETQGSETAYAPNIVNTKIRELPSPRFLAPIFHSQAHREDLWKDLAILMRATLTAFHTSSESCVRMIDQLCYFIPCGPTYTYKTNDKVVSPVIFLSAERGMKEGDLPFKKMLVVRKGRIGQNAPSIPKTTEVVYDLIGQTIESNGQLKTELLVKKRKKKQGEIPVEHLLIEYSKARYERSNLSATNKPRIVAVYADEINEPNGM
+>sp|O76013|KRT36_HUMAN Keratin, type I cuticular Ha6 OS=Homo sapiens OX=9606 GN=KRT36 PE=1 SV=1
+MATQTCTPTFSTGSIKGLCGTAGGISRVSSIRSVGSCRVPSLAGAAGYISSARSGLSGLGSCLPGSYLSSECHTSGFVGSGGWFCEGSFNGSEKETMQFLNDRLANYLEKVRQLERENAELESRIQEWYEFQIPYICPDYQSYFKTIEDFQQKILLTKSENARLVLQIDNAKLAADDFRTKYETELSLRQLVEADINGLRRILDELTLCKADLEAQVESLKEELMCLKKNHEEEVSVLRCQLGDRLNVEVDAAPPVDLNKILEDMRCQYEALVENNRRDVEAWFNTQTEELNQQVVSSSEQLQCCQTEIIELRRTVNALEIELQAQHSMRNSLESTLAETEARYSSQLAQMQCLISNVEAQLSEIRCDLERQNQEYQVLLDVKARLEGEIATYRHLLEGEDCKLPPQPCATACKPVIRVPSVPPVPCVPSVPCTPAPQVGTQIRTITEEIRDGKVISSREHVQSRPL
+>DECOY_sp|O76013|KRT36_HUMAN Keratin, type I cuticular Ha6 OS=Homo sapiens OX=9606 GN=KRT36 PE=1 SV=1
+LPRSQVHERSSIVKGDRIEETITRIQTGVQPAPTCPVSPVCPVPPVSPVRIVPKCATACPQPPLKCDEGELLHRYTAIEGELRAKVDLLVQYEQNQRELDCRIESLQAEVNSILCQMQALQSSYRAETEALTSELSNRMSHQAQLEIELANVTRRLEIIETQCCQLQESSSVVQQNLEETQTNFWAEVDRRNNEVLAEYQCRMDELIKNLDVPPAADVEVNLRDGLQCRLVSVEEEHNKKLCMLEEKLSEVQAELDAKCLTLEDLIRRLGNIDAEVLQRLSLETEYKTRFDDAALKANDIQLVLRANESKTLLIKQQFDEITKFYSQYDPCIYPIQFEYWEQIRSELEANERELQRVKELYNALRDNLFQMTEKESGNFSGECFWGGSGVFGSTHCESSLYSGPLCSGLGSLGSRASSIYGAAGALSPVRCSGVSRISSVRSIGGATGCLGKISGTSFTPTCTQTAM
+>sp|Q14533|KRT81_HUMAN Keratin, type II cuticular Hb1 OS=Homo sapiens OX=9606 GN=KRT81 PE=1 SV=3
+MTCGSGFGGRAFSCISACGPRPGRCCITAAPYRGISCYRGLTGGFGSHSVCGGFRAGSCGRSFGYRSGGVCGPSPPCITTVSVNESLLTPLNLEIDPNAQCVKQEEKEQIKSLNSRFAAFIDKVRFLEQQNKLLETKLQFYQNRECCQSNLEPLFEGYIETLRREAECVEADSGRLASELNHVQEVLEGYKKKYEEEVSLRATAENEFVALKKDVDCAYLRKSDLEANVEALIQEIDFLRRLYEEEILILQSHISDTSVVVKLDNSRDLNMDCIIAEIKAQYDDIVTRSRAEAESWYRSKCEEMKATVIRHGETLRRTKEEINELNRMIQRLTAEVENAKCQNSKLEAAVAQSEQQGEAALSDARCKLAELEGALQKAKQDMACLIREYQEVMNSKLGLDIEIATYRRLLEGEEQRLCEGIGAVNVCVSSSRGGVVCGDLCVSGSRPVTGSVCSAPCNGNVAVSTGLCAPCGQLNTTCGGGSCGVGSCGISSLGVGSCGSSCRKC
+>DECOY_sp|Q14533|KRT81_HUMAN Keratin, type II cuticular Hb1 OS=Homo sapiens OX=9606 GN=KRT81 PE=1 SV=3
+CKRCSSGCSGVGLSSIGCSGVGCSGGGCTTNLQGCPACLGTSVAVNGNCPASCVSGTVPRSGSVCLDGCVVGGRSSSVCVNVAGIGECLRQEEGELLRRYTAIEIDLGLKSNMVEQYERILCAMDQKAKQLAGELEALKCRADSLAAEGQQESQAVAAELKSNQCKANEVEATLRQIMRNLENIEEKTRRLTEGHRIVTAKMEECKSRYWSEAEARSRTVIDDYQAKIEAIICDMNLDRSNDLKVVVSTDSIHSQLILIEEEYLRRLFDIEQILAEVNAELDSKRLYACDVDKKLAVFENEATARLSVEEEYKKKYGELVEQVHNLESALRGSDAEVCEAERRLTEIYGEFLPELNSQCCERNQYFQLKTELLKNQQELFRVKDIFAAFRSNLSKIQEKEEQKVCQANPDIELNLPTLLSENVSVTTICPPSPGCVGGSRYGFSRGCSGARFGGCVSHSGFGGTLGRYCSIGRYPAATICCRGPRPGCASICSFARGGFGSGCTM
+>sp|Q9NSB2|KRT84_HUMAN Keratin, type II cuticular Hb4 OS=Homo sapiens OX=9606 GN=KRT84 PE=2 SV=2
+MSCRSYRVSSGHRVGNFSSCSAMTPQNLNRFRANSVSCWSGPGFRGLGSFGSRSVITFGSYSPRIAAVGSRPIHCGVRFGAGCGMGFGDGRGVGLGPRADSCVGLGFGAGSGIGYGFGGPGFGYRVGGVGVPAAPSITAVTVNKSLLTPLNLEIDPNAQRVKKDEKEQIKTLNNKFASFIDKVRFLEQQNKLLETKWSFLQEQKCIRSNLEPLFESYITNLRRQLEVLVSDQARLQAERNHLQDVLEGFKKKYEEEVVCRANAENEFVALKKDVDAAFMNKSDLEANVDTLTQEIDFLKTLYMEEIQLLQSHISETSVIVKMDNSRDLNLDGIIAEVKAQYEEVARRSRADAEAWYQTKYEEMQVTAGQHCDNLRNIRNEINELTRLIQRLKAEIEHAKAQRAKLEAAVAEAEQQGEATLSDAKCKLADLECALQQAKQDMARQLCEYQELMNAKLGLDIEIATYRRLLEGEESRLCEGVGPVNISVSSSRGGLVCGPEPLVAGSTLSRGGVTFSGSSSVCATSGVLASCGPSLGGARVAPATGDLLSTGTRSGSMLISEACVPSVPCPLPTQGGFSSCSGGRSSSVRFVSTTTSCRTKY
+>DECOY_sp|Q9NSB2|KRT84_HUMAN Keratin, type II cuticular Hb4 OS=Homo sapiens OX=9606 GN=KRT84 PE=2 SV=2
+YKTRCSTTTSVFRVSSSRGGSCSSFGGQTPLPCPVSPVCAESILMSGSRTGTSLLDGTAPAVRAGGLSPGCSALVGSTACVSSSGSFTVGGRSLTSGAVLPEPGCVLGGRSSSVSINVPGVGECLRSEEGELLRRYTAIEIDLGLKANMLEQYECLQRAMDQKAQQLACELDALKCKADSLTAEGQQEAEAVAAELKARQAKAHEIEAKLRQILRTLENIENRINRLNDCHQGATVQMEEYKTQYWAEADARSRRAVEEYQAKVEAIIGDLNLDRSNDMKVIVSTESIHSQLLQIEEMYLTKLFDIEQTLTDVNAELDSKNMFAADVDKKLAVFENEANARCVVEEEYKKKFGELVDQLHNREAQLRAQDSVLVELQRRLNTIYSEFLPELNSRICKQEQLFSWKTELLKNQQELFRVKDIFSAFKNNLTKIQEKEDKKVRQANPDIELNLPTLLSKNVTVATISPAAPVGVGGVRYGFGPGGFGYGIGSGAGFGLGVCSDARPGLGVGRGDGFGMGCGAGFRVGCHIPRSGVAAIRPSYSGFTIVSRSGFSGLGRFGPGSWCSVSNARFRNLNQPTMASCSSFNGVRHGSSVRYSRCSM
+>sp|Q15349|KS6A2_HUMAN Ribosomal protein S6 kinase alpha-2 OS=Homo sapiens OX=9606 GN=RPS6KA2 PE=1 SV=2
+MDLSMKKFAVRRFFSVYLRRKSRSKSSSLSRLEEEGVVKEIDISHHVKEGFEKADPSQFELLKVLGQGSYGKVFLVRKVKGSDAGQLYAMKVLKKATLKVRDRVRSKMERDILAEVNHPFIVKLHYAFQTEGKLYLILDFLRGGDLFTRLSKEVMFTEEDVKFYLAELALALDHLHSLGIIYRDLKPENILLDEEGHIKITDFGLSKEAIDHDKRAYSFCGTIEYMAPEVVNRRGHTQSADWWSFGVLMFEMLTGSLPFQGKDRKETMALILKAKLGMPQFLSGEAQSLLRALFKRNPCNRLGAGIDGVEEIKRHPFFVTIDWNTLYRKEIKPPFKPAVGRPEDTFHFDPEFTARTPTDSPGVPPSANAHHLFRGFSFVASSLIQEPSQQDLHKVPVHPIVQQLHGNNIHFTDGYEIKEDIGVGSYSVCKRCVHKATDTEYAVKIIDKSKRDPSEEIEILLRYGQHPNIITLKDVYDDGKFVYLVMELMRGGELLDRILRQRYFSEREASDVLCTITKTMDYLHSQGVVHRDLKPSNILYRDESGSPESIRVCDFGFAKQLRAGNGLLMTPCYTANFVAPEVLKRQGYDAACDIWSLGILLYTMLAGFTPFANGPDDTPEEILARIGSGKYALSGGNWDSISDAAKDVVSKMLHVDPHQRLTAMQVLKHPWVVNREYLSPNQLSRQDVHLVKGAMAATYFALNRTPQAPRLEPVLSSNLAQRRGMKRLTSTRL
+>DECOY_sp|Q15349|KS6A2_HUMAN Ribosomal protein S6 kinase alpha-2 OS=Homo sapiens OX=9606 GN=RPS6KA2 PE=1 SV=2
+LRTSTLRKMGRRQALNSSLVPELRPAQPTRNLAFYTAAMAGKVLHVDQRSLQNPSLYERNVVWPHKLVQMATLRQHPDVHLMKSVVDKAADSISDWNGGSLAYKGSGIRALIEEPTDDPGNAFPTFGALMTYLLIGLSWIDCAADYGQRKLVEPAVFNATYCPTMLLGNGARLQKAFGFDCVRISEPSGSEDRYLINSPKLDRHVVGQSHLYDMTKTITCLVDSAERESFYRQRLIRDLLEGGRMLEMVLYVFKGDDYVDKLTIINPHQGYRLLIEIEESPDRKSKDIIKVAYETDTAKHVCRKCVSYSGVGIDEKIEYGDTFHINNGHLQQVIPHVPVKHLDQQSPEQILSSAVFSFGRFLHHANASPPVGPSDTPTRATFEPDFHFTDEPRGVAPKFPPKIEKRYLTNWDITVFFPHRKIEEVGDIGAGLRNCPNRKFLARLLSQAEGSLFQPMGLKAKLILAMTEKRDKGQFPLSGTLMEFMLVGFSWWDASQTHGRRNVVEPAMYEITGCFSYARKDHDIAEKSLGFDTIKIHGEEDLLINEPKLDRYIIGLSHLHDLALALEALYFKVDEETFMVEKSLRTFLDGGRLFDLILYLKGETQFAYHLKVIFPHNVEALIDREMKSRVRDRVKLTAKKLVKMAYLQGADSGKVKRVLFVKGYSGQGLVKLLEFQSPDAKEFGEKVHHSIDIEKVVGEEELRSLSSSKSRSKRRLYVSFFRRVAFKKMSLDM
+>sp|P51812|KS6A3_HUMAN Ribosomal protein S6 kinase alpha-3 OS=Homo sapiens OX=9606 GN=RPS6KA3 PE=1 SV=1
+MPLAQLADPWQKMAVESPSDSAENGQQIMDEPMGEEEINPQTEEVSIKEIAITHHVKEGHEKADPSQFELLKVLGQGSFGKVFLVKKISGSDARQLYAMKVLKKATLKVRDRVRTKMERDILVEVNHPFIVKLHYAFQTEGKLYLILDFLRGGDLFTRLSKEVMFTEEDVKFYLAELALALDHLHSLGIIYRDLKPENILLDEEGHIKLTDFGLSKESIDHEKKAYSFCGTVEYMAPEVVNRRGHTQSADWWSFGVLMFEMLTGTLPFQGKDRKETMTMILKAKLGMPQFLSPEAQSLLRMLFKRNPANRLGAGPDGVEEIKRHSFFSTIDWNKLYRREIHPPFKPATGRPEDTFYFDPEFTAKTPKDSPGIPPSANAHQLFRGFSFVAITSDDESQAMQTVGVHSIVQQLHRNSIQFTDGYEVKEDIGVGSYSVCKRCIHKATNMEFAVKIIDKSKRDPTEEIEILLRYGQHPNIITLKDVYDDGKYVYVVTELMKGGELLDKILRQKFFSEREASAVLFTITKTVEYLHAQGVVHRDLKPSNILYVDESGNPESIRICDFGFAKQLRAENGLLMTPCYTANFVAPEVLKRQGYDAACDIWSLGVLLYTMLTGYTPFANGPDDTPEEILARIGSGKFSLSGGYWNSVSDTAKDLVSKMLHVDPHQRLTAALVLRHPWIVHWDQLPQYQLNRQDAPHLVKGAMAATYSALNRNQSPVLEPVGRSTLAQRRGIKKITSTAL
+>DECOY_sp|P51812|KS6A3_HUMAN Ribosomal protein S6 kinase alpha-3 OS=Homo sapiens OX=9606 GN=RPS6KA3 PE=1 SV=1
+LATSTIKKIGRRQALTSRGVPELVPSQNRNLASYTAAMAGKVLHPADQRNLQYQPLQDWHVIWPHRLVLAATLRQHPDVHLMKSVLDKATDSVSNWYGGSLSFKGSGIRALIEEPTDDPGNAFPTYGTLMTYLLVGLSWIDCAADYGQRKLVEPAVFNATYCPTMLLGNEARLQKAFGFDCIRISEPNGSEDVYLINSPKLDRHVVGQAHLYEVTKTITFLVASAERESFFKQRLIKDLLEGGKMLETVVYVYKGDDYVDKLTIINPHQGYRLLIEIEETPDRKSKDIIKVAFEMNTAKHICRKCVSYSGVGIDEKVEYGDTFQISNRHLQQVISHVGVTQMAQSEDDSTIAVFSFGRFLQHANASPPIGPSDKPTKATFEPDFYFTDEPRGTAPKFPPHIERRYLKNWDITSFFSHRKIEEVGDPGAGLRNAPNRKFLMRLLSQAEPSLFQPMGLKAKLIMTMTEKRDKGQFPLTGTLMEFMLVGFSWWDASQTHGRRNVVEPAMYEVTGCFSYAKKEHDISEKSLGFDTLKIHGEEDLLINEPKLDRYIIGLSHLHDLALALEALYFKVDEETFMVEKSLRTFLDGGRLFDLILYLKGETQFAYHLKVIFPHNVEVLIDREMKTRVRDRVKLTAKKLVKMAYLQRADSGSIKKVLFVKGFSGQGLVKLLEFQSPDAKEHGEKVHHTIAIEKISVEETQPNIEEEGMPEDMIQQGNEASDSPSEVAMKQWPDALQALPM
+>sp|Q16787|LAMA3_HUMAN Laminin subunit alpha-3 OS=Homo sapiens OX=9606 GN=LAMA3 PE=1 SV=2
+MAAAARPRGRALGPVLPPTPLLLLVLRVLPACGATARDPGAAAGLSLHPTYFNLAEAARIWATATCGERGPGEGRPQPELYCKLVGGPTAPGSGHTIQGQFCDYCNSEDPRKAHPVTNAIDGSERWWQSPPLSSGTQYNRVNLTLDLGQLFHVAYILIKFANSPRPDLWVLERSVDFGSTYSPWQYFAHSKVDCLKEFGREANMAVTRDDDVLCVTEYSRIVPLENGEVVVSLINGRPGAKNFTFSHTLREFTKATNIRLRFLRTNTLLGHLISKAQRDPTVTRRYYYSIKDISIGGQCVCNGHAEVCNINNPEKLFRCECQHHTCGETCDRCCTGYNQRRWRPAAWEQSHECEACNCHGHASNCYYDPDVERQQASLNTQGIYAGGGVCINCQHNTAGVNCEQCAKGYYRPYGVPVDAPDGCIPCSCDPEHADGCEQGSGRCHCKPNFHGDNCEKCAIGYYNFPFCLRIPIFPVSTPSSEDPVAGDIKGCDCNLEGVLPEICDAHGRCLCRPGVEGPRCDTCRSGFYSFPICQACWCSALGSYQMPCSSVTGQCECRPGVTGQRCDRCLSGAYDFPHCQGSSSACDPAGTINSNLGYCQCKLHVEGPTCSRCKLLYWNLDKENPSGCSECKCHKAGTVSGTGECRQGDGDCHCKSHVGGDSCDTCEDGYFALEKSNYFGCQGCQCDIGGALSSMCSGPSGVCQCREHVVGKVCQRPENNYYFPDLHHMKYEIEDGSTPNGRDLRFGFDPLAFPEFSWRGYAQMTSVQNDVRITLNVGKSSGSLFRVILRYVNPGTEAVSGHITIYPSWGAAQSKEIIFLPSKEPAFVTVPGNGFADPFSITPGIWVACIKAEGVLLDYLVLLPRDYYEASVLQLPVTEPCAYAGPPQENCLLYQHLPVTRFPCTLACEARHFLLDGEPRPVAVRQPTPAHPVMVDLSGREVELHLRLRIPQVGHYVVVVEYSTEAAQLFVVDVNVKSSGSVLAGQVNIYSCNYSVLCRSAVIDHMSRIAMYELLADADIQLKGHMARFLLHQVCIIPIEEFSAEYVRPQVHCIASYGRFVNQSATCVSLAHETPPTALILDVLSGRPFPHLPQQSSPSVDVLPGVTLKAPQNQVTLRGRVPHLGRYVFVIHFYQAAHPTFPAQVSVDGGWPRAGSFHASFCPHVLGCRDQVIAEGQIEFDISEPEVAATVKVPEGKSLVLVRVLVVPAENYDYQILHKKSMDKSLEFITNCGKNSFYLDPQTASRFCKNSARSLVAFYHKGALPCECHPTGATGPHCSPEGGQCPCQPNVIGRQCTRCATGHYGFPRCKPCSCGRRLCEEMTGQCRCPPRTVRPQCEVCETHSFSFHPMAGCEGCNCSRRGTIEAAMPECDRDSGQCRCKPRITGRQCDRCASGFYRFPECVPCNCNRDGTEPGVCDPGTGACLCKENVEGTECNVCREGSFHLDPANLKGCTSCFCFGVNNQCHSSHKRRTKFVDMLGWHLETADRVDIPVSFNPGSNSMVADLQELPATIHSASWVAPTSYLGDKVSSYGGYLTYQAKSFGLPGDMVLLEKKPDVQLTGQHMSIIYEETNTPRPDRLHHGRVHVVEGNFRHASSRAPVSREELMTVLSRLADVRIQGLYFTETQRLTLSEVGLEEASDTGSGRIALAVEICACPPAYAGDSCQGCSPGYYRDHKGLYTGRCVPCNCNGHSNQCQDGSGICVNCQHNTAGEHCERCQEGYYGNAVHGSCRACPCPHTNSFATGCVVNGGDVRCSCKAGYTGTQCERCAPGYFGNPQKFGGSCQPCSCNSNGQLGSCHPLTGDCINQEPKDSSPAEECDDCDSCVMTLLNDLATMGEQLRLVKSQLQGLSASAGLLEQMRHMETQAKDLRNQLLNYRSAISNHGSKIEGLERELTDLNQEFETLQEKAQVNSRKAQTLNNNVNRATQSAKELDVKIKNVIRNVHILLKQISGTDGEGNNVPSGDFSREWAEAQRMMRELRNRNFGKHLREAEADKRESQLLLNRIRTWQKTHQGENNGLANSIRDSLNEYEAKLSDLRARLQEAAAQAKQANGLNQENERALGAIQRQVKEINSLQSDFTKYLTTADSSLLQTNIALQLMEKSQKEYEKLAASLNEARQELSDKVRELSRSAGKTSLVEEAEKHARSLQELAKQLEEIKRNASGDELVRCAVDAATAYENILNAIKAAEDAANRAASASESALQTVIKEDLPRKAKTLSSNSDKLLNEAKMTQKKLKQEVSPALNNLQQTLNIVTVQKEVIDTNLTTLRDGLHGIQRGDIDAMISSAKSMVRKANDITDEVLDGLNPIQTDVERIKDTYGRTQNEDFKKALTDADNSVNKLTNKLPDLWRKIESINQQLLPLGNISDNMDRIRELIQQARDAASKVAVPMRFNGKSGVEVRLPNDLEDLKGYTSLSLFLQRPNSRENGGTENMFVMYLGNKDASRDYIGMAVVDGQLTCVYNLGDREAELQVDQILTKSETKEAVMDRVKFQRIYQFARLNYTKGATSSKPETPGVYDMDGRNSNTLLNLDPENVVFYVGGYPPDFKLPSRLSFPPYKGCIELDDLNENVLSLYNFKKTFNLNTTEVEPCRRRKEESDKNYFEGTGYARVPTQPHAPIPTFGQTIQTTVDRGLLFFAENGDRFISLNIEDGKLMVRYKLNSELPKERGVGDAINNGRDHSIQIKIGKLQKRMWINVDVQNTIIDGEVFDFSTYYLGGIPIAIRERFNISTPAFRGCMKNLKKTSGVVRLNDTVGVTKKCSEDWKLVRSASFSRGGQLSFTDLGLPPTDHLQASFGFQTFQPSGILLDHQTWTRNLQVTLEDGYIELSTSDSGSPIFKSPQTYMDGLLHYVSVISDNSGLRLLIDDQLLRNSKRLKHISSSRQSLRLGGSNFEGCISNVFVQRLSLSPEVLDLTSNSLKRDVSLGGCSLNKPPFLMLLKGSTRFNKTKTFRINQLLQDTPVASPRSVKVWQDACSPLPKTQANHGALQFGDIPTSHLLFKLPQELLKPRSQFAVDMQTTSSRGLVFHTGTKNSFMALYLSKGRLVFALGTDGKKLRIKSKEKCNDGKWHTVVFGHDGEKGRLVVDGLRAREGSLPGNSTISIRAPVYLGSPPSGKPKSLPTNSFVGCLKNFQLDSKPLYTPSSSFGVSSCLGGPLEKGIYFSEEGGHVVLAHSVLLGPEFKLVFSIRPRSLTGILIHIGSQPGKHLCVYLEAGKVTASMDSGAGGTSTSVTPKQSLCDGQWHSVAVTIKQHILHLELDTDSSYTAGQIPFPPASTQEPLHLGGAPANLTTLRIPVWKSFFGCLRNIHVNHIPVPVTEALEVQGPVSLNGCPDQ
+>DECOY_sp|Q16787|LAMA3_HUMAN Laminin subunit alpha-3 OS=Homo sapiens OX=9606 GN=LAMA3 PE=1 SV=2
+QDPCGNLSVPGQVELAETVPVPIHNVHINRLCGFFSKWVPIRLTTLNAPAGGLHLPEQTSAPPFPIQGATYSSDTDLELHLIHQKITVAVSHWQGDCLSQKPTVSTSTGGAGSDMSATVKGAELYVCLHKGPQSGIHILIGTLSRPRISFVLKFEPGLLVSHALVVHGGEESFYIGKELPGGLCSSVGFSSSPTYLPKSDLQFNKLCGVFSNTPLSKPKGSPPSGLYVPARISITSNGPLSGERARLGDVVLRGKEGDHGFVVTHWKGDNCKEKSKIRLKKGDTGLAFVLRGKSLYLAMFSNKTGTHFVLGRSSTTQMDVAFQSRPKLLEQPLKFLLHSTPIDGFQLAGHNAQTKPLPSCADQWVKVSRPSAVPTDQLLQNIRFTKTKNFRTSGKLLMLFPPKNLSCGGLSVDRKLSNSTLDLVEPSLSLRQVFVNSICGEFNSGGLRLSQRSSSIHKLRKSNRLLQDDILLRLGSNDSIVSVYHLLGDMYTQPSKFIPSGSDSTSLEIYGDELTVQLNRTWTQHDLLIGSPQFTQFGFSAQLHDTPPLGLDTFSLQGGRSFSASRVLKWDESCKKTVGVTDNLRVVGSTKKLNKMCGRFAPTSINFRERIAIPIGGLYYTSFDFVEGDIITNQVDVNIWMRKQLKGIKIQISHDRGNNIADGVGREKPLESNLKYRVMLKGDEINLSIFRDGNEAFFLLGRDVTTQITQGFTPIPAHPQTPVRAYGTGEFYNKDSEEKRRRCPEVETTNLNFTKKFNYLSLVNENLDDLEICGKYPPFSLRSPLKFDPPYGGVYFVVNEPDLNLLTNSNRGDMDYVGPTEPKSSTAGKTYNLRAFQYIRQFKVRDMVAEKTESKTLIQDVQLEAERDGLNYVCTLQGDVVAMGIYDRSADKNGLYMVFMNETGGNERSNPRQLFLSLSTYGKLDELDNPLRVEVGSKGNFRMPVAVKSAADRAQQILERIRDMNDSINGLPLLQQNISEIKRWLDPLKNTLKNVSNDADTLAKKFDENQTRGYTDKIREVDTQIPNLGDLVEDTIDNAKRVMSKASSIMADIDGRQIGHLGDRLTTLNTDIVEKQVTVINLTQQLNNLAPSVEQKLKKQTMKAENLLKDSNSSLTKAKRPLDEKIVTQLASESASAARNAADEAAKIANLINEYATAADVACRVLEDGSANRKIEELQKALEQLSRAHKEAEEVLSTKGASRSLERVKDSLEQRAENLSAALKEYEKQSKEMLQLAINTQLLSSDATTLYKTFDSQLSNIEKVQRQIAGLARENEQNLGNAQKAQAAAEQLRARLDSLKAEYENLSDRISNALGNNEGQHTKQWTRIRNLLLQSERKDAEAERLHKGFNRNRLERMMRQAEAWERSFDGSPVNNGEGDTGSIQKLLIHVNRIVNKIKVDLEKASQTARNVNNNLTQAKRSNVQAKEQLTEFEQNLDTLERELGEIKSGHNSIASRYNLLQNRLDKAQTEMHRMQELLGASASLGQLQSKVLRLQEGMTALDNLLTMVCSDCDDCEEAPSSDKPEQNICDGTLPHCSGLQGNSNCSCPQCSGGFKQPNGFYGPACRECQTGTYGAKCSCRVDGGNVVCGTAFSNTHPCPCARCSGHVANGYYGEQCRECHEGATNHQCNVCIGSGDQCQNSHGNCNCPVCRGTYLGKHDRYYGPSCGQCSDGAYAPPCACIEVALAIRGSGTDSAEELGVESLTLRQTETFYLGQIRVDALRSLVTMLEERSVPARSSAHRFNGEVVHVRGHHLRDPRPTNTEEYIISMHQGTLQVDPKKELLVMDGPLGFSKAQYTLYGGYSSVKDGLYSTPAVWSASHITAPLEQLDAVMSNSGPNFSVPIDVRDATELHWGLMDVFKTRRKHSSHCQNNVGFCFCSTCGKLNAPDLHFSGERCVNCETGEVNEKCLCAGTGPDCVGPETGDRNCNCPVCEPFRYFGSACRDCQRGTIRPKCRCQGSDRDCEPMAAEITGRRSCNCGECGAMPHFSFSHTECVECQPRVTRPPCRCQGTMEECLRRGCSCPKCRPFGYHGTACRTCQRGIVNPQCPCQGGEPSCHPGTAGTPHCECPLAGKHYFAVLSRASNKCFRSATQPDLYFSNKGCNTIFELSKDMSKKHLIQYDYNEAPVVLVRVLVLSKGEPVKVTAAVEPESIDFEIQGEAIVQDRCGLVHPCFSAHFSGARPWGGDVSVQAPFTPHAAQYFHIVFVYRGLHPVRGRLTVQNQPAKLTVGPLVDVSPSSQQPLHPFPRGSLVDLILATPPTEHALSVCTASQNVFRGYSAICHVQPRVYEASFEEIPIICVQHLLFRAMHGKLQIDADALLEYMAIRSMHDIVASRCLVSYNCSYINVQGALVSGSSKVNVDVVFLQAAETSYEVVVVYHGVQPIRLRLHLEVERGSLDVMVPHAPTPQRVAVPRPEGDLLFHRAECALTCPFRTVPLHQYLLCNEQPPGAYACPETVPLQLVSAEYYDRPLLVLYDLLVGEAKICAVWIGPTISFPDAFGNGPVTVFAPEKSPLFIIEKSQAAGWSPYITIHGSVAETGPNVYRLIVRFLSGSSKGVNLTIRVDNQVSTMQAYGRWSFEPFALPDFGFRLDRGNPTSGDEIEYKMHHLDPFYYNNEPRQCVKGVVHERCQCVGSPGSCMSSLAGGIDCQCGQCGFYNSKELAFYGDECTDCSDGGVHSKCHCDGDGQRCEGTGSVTGAKHCKCESCGSPNEKDLNWYLLKCRSCTPGEVHLKCQCYGLNSNITGAPDCASSSGQCHPFDYAGSLCRDCRQGTVGPRCECQGTVSSCPMQYSGLASCWCAQCIPFSYFGSRCTDCRPGEVGPRCLCRGHADCIEPLVGELNCDCGKIDGAVPDESSPTSVPFIPIRLCFPFNYYGIACKECNDGHFNPKCHCRGSGQECGDAHEPDCSCPICGDPADVPVGYPRYYGKACQECNVGATNHQCNICVGGGAYIGQTNLSAQQREVDPDYYCNSAHGHCNCAECEHSQEWAAPRWRRQNYGTCCRDCTEGCTHHQCECRFLKEPNNINCVEAHGNCVCQGGISIDKISYYYRRTVTPDRQAKSILHGLLTNTRLFRLRINTAKTFERLTHSFTFNKAGPRGNILSVVVEGNELPVIRSYETVCLVDDDRTVAMNAERGFEKLCDVKSHAFYQWPSYTSGFDVSRELVWLDPRPSNAFKILIYAVHFLQGLDLTLNVRNYQTGSSLPPSQWWRESGDIANTVPHAKRPDESNCYDCFQGQITHGSGPATPGGVLKCYLEPQPRGEGPGREGCTATAWIRAAEALNFYTPHLSLGAAAGPDRATAGCAPLVRLVLLLLPTPPLVPGLARGRPRAAAAM
+>sp|Q16363|LAMA4_HUMAN Laminin subunit alpha-4 OS=Homo sapiens OX=9606 GN=LAMA4 PE=1 SV=4
+MALSSAWRSVLPLWLLWSAACSRAASGDDNAFPFDIEGSSAVGRQDPPETSEPRVALGRLPPAAEKCNAGFFHTLSGECVPCDCNGNSNECLDGSGYCVHCQRNTTGEHCEKCLDGYIGDSIRGAPQFCQPCPCPLPHLANFAESCYRKNGAVRCICNENYAGPNCERCAPGYYGNPLLIGSTCKKCDCSGNSDPNLIFEDCDEVTGQCRNCLRNTTGFKCERCAPGYYGDARIAKNCAVCNCGGGPCDSVTGECLEEGFEPPTGMDCPTISCDKCVWDLTDALRLAALSIEEGKSGVLSVSSGAAAHRHVNEINATIYLLKTKLSERENQYALRKIQINNAENTMKSLLSDVEELVEKENQASRKGQLVQKESMDTINHASQLVEQAHDMRDKIQEINNKMLYYGEEHELSPKEISEKLVLAQKMLEEIRSRQPFFTQRELVDEEADEAYELLSQAESWQRLHNETRTLFPVVLEQLDDYNAKLSDLQEALDQALNYVRDAEDMNRATAARQRDHEKQQERVREQMEVVNMSLSTSADSLTTPRLTLSELDDIIKNASGIYAEIDGAKSELQVKLSNLSNLSHDLVQEAIDHAQDLQQEANELSRKLHSSDMNGLVQKALDASNVYENIVNYVSEANETAEFALNTTDRIYDAVSGIDTQIIYHKDESENLLNQARELQAKAESSSDEAVADTSRRVGGALARKSALKTRLSDAVKQLQAAERGDAQQRLGQSRLITEEANRTTMEVQQATAPMANNLTNWSQNLQHFDSSAYNTAVNSARDAVRNLTEVVPQLLDQLRTVEQKRPASNVSASIQRIRELIAQTRSVASKIQVSMMFDGQSAVEVHSRTSMDDLKAFTSLSLYMKPPVKRPELTETADQFILYLGSKNAKKEYMGLAIKNDNLVYVYNLGTKDVEIPLDSKPVSSWPAYFSIVKIERVGKHGKVFLTVPSLSSTAEEKFIKKGEFSGDDSLLDLDPEDTVFYVGGVPSNFKLPTSLNLPGFVGCLELATLNNDVISLYNFKHIYNMDPSTSVPCARDKLAFTQSRAASYFFDGSGYAVVRDITRRGKFGQVTRFDIEVRTPADNGLILLMVNGSMFFRLEMRNGYLHVFYDFGFSGGPVHLEDTLKKAQINDAKYHEISIIYHNDKKMILVVDRRHVKSMDNEKMKIPFTDIYIGGAPPEILQSRALRAHLPLDINFRGCMKGFQFQKKDFNLLEQTETLGVGYGCPEDSLISRRAYFNGQSFIASIQKISFFDGFEGGFNFRTLQPNGLLFYYASGSDVFSISLDNGTVIMDVKGIKVQSVDKQYNDGLSHFVISSVSPTRYELIVDKSRVGSKNPTKGKIEQTQASEKKFYFGGSPISAQYANFTGCISNAYFTRVDRDVEVEDFQRYTEKVHTSLYECPIESSPLFLLHKKGKNLSKPKASQNKKGGKSKDAPSWDPVALKLPERNTPRNSHCHLSNSPRAIEHAYQYGGTANSRQEFEHLKGDFGAKSQFSIRLRTRSSHGMIFYVSDQEENDFMTLFLAHGRLVYMFNVGHKKLKIRSQEKYNDGLWHDVIFIRERSSGRLVIDGLRVLEESLPPTEATWKIKGPIYLGGVAPGKAVKNVQINSIYSFSGCLSNLQLNGASITSASQTFSVTPCFEGPMETGTYFSTEGGYVVLDESFNIGLKFEIAFEVRPRSSSGTLVHGHSVNGEYLNVHMKNGQVIVKVNNGIRDFSTSVTPKQSLCDGRWHRITVIRDSNVVQLDVDSEVNHVVGPLNPKPIDHREPVFVGGVPESLLTPRLAPSKPFTGCIRHFVIDGHPVSFSKAALVSGAVSINSCPAA
+>DECOY_sp|Q16363|LAMA4_HUMAN Laminin subunit alpha-4 OS=Homo sapiens OX=9606 GN=LAMA4 PE=1 SV=4
+AAPCSNISVAGSVLAAKSFSVPHGDIVFHRICGTFPKSPALRPTLLSEPVGGVFVPERHDIPKPNLPGVVHNVESDVDLQVVNSDRIVTIRHWRGDCLSQKPTVSTSFDRIGNNVKVIVQGNKMHVNLYEGNVSHGHVLTGSSSRPRVEFAIEFKLGINFSEDLVVYGGETSFYTGTEMPGEFCPTVSFTQSASTISAGNLQLNSLCGSFSYISNIQVNKVAKGPAVGGLYIPGKIKWTAETPPLSEELVRLGDIVLRGSSRERIFIVDHWLGDNYKEQSRIKLKKHGVNFMYVLRGHALFLTMFDNEEQDSVYFIMGHSSRTRLRISFQSKAGFDGKLHEFEQRSNATGGYQYAHEIARPSNSLHCHSNRPTNREPLKLAVPDWSPADKSKGGKKNQSAKPKSLNKGKKHLLFLPSSEIPCEYLSTHVKETYRQFDEVEVDRDVRTFYANSICGTFNAYQASIPSGGFYFKKESAQTQEIKGKTPNKSGVRSKDVILEYRTPSVSSIVFHSLGDNYQKDVSQVKIGKVDMIVTGNDLSISFVDSGSAYYFLLGNPQLTRFNFGGEFGDFFSIKQISAIFSQGNFYARRSILSDEPCGYGVGLTETQELLNFDKKQFQFGKMCGRFNIDLPLHARLARSQLIEPPAGGIYIDTFPIKMKENDMSKVHRRDVVLIMKKDNHYIISIEHYKADNIQAKKLTDELHVPGGSFGFDYFVHLYGNRMELRFFMSGNVMLLILGNDAPTRVEIDFRTVQGFKGRRTIDRVVAYGSGDFFYSAARSQTFALKDRACPVSTSPDMNYIHKFNYLSIVDNNLTALELCGVFGPLNLSTPLKFNSPVGGVYFVTDEPDLDLLSDDGSFEGKKIFKEEATSSLSPVTLFVKGHKGVREIKVISFYAPWSSVPKSDLPIEVDKTGLNYVYVLNDNKIALGMYEKKANKSGLYLIFQDATETLEPRKVPPKMYLSLSTFAKLDDMSTRSHVEVASQGDFMMSVQIKSAVSRTQAILERIRQISASVNSAPRKQEVTRLQDLLQPVVETLNRVADRASNVATNYASSDFHQLNQSWNTLNNAMPATAQQVEMTTRNAEETILRSQGLRQQADGREAAQLQKVADSLRTKLASKRALAGGVRRSTDAVAEDSSSEAKAQLERAQNLLNESEDKHYIIQTDIGSVADYIRDTTNLAFEATENAESVYNVINEYVNSADLAKQVLGNMDSSHLKRSLENAEQQLDQAHDIAEQVLDHSLNSLNSLKVQLESKAGDIEAYIGSANKIIDDLESLTLRPTTLSDASTSLSMNVVEMQERVREQQKEHDRQRAATARNMDEADRVYNLAQDLAEQLDSLKANYDDLQELVVPFLTRTENHLRQWSEAQSLLEYAEDAEEDVLERQTFFPQRSRIEELMKQALVLKESIEKPSLEHEEGYYLMKNNIEQIKDRMDHAQEVLQSAHNITDMSEKQVLQGKRSAQNEKEVLEEVDSLLSKMTNEANNIQIKRLAYQNERESLKTKLLYITANIENVHRHAAAGSSVSLVGSKGEEISLAALRLADTLDWVCKDCSITPCDMGTPPEFGEELCEGTVSDCPGGGCNCVACNKAIRADGYYGPACRECKFGTTNRLCNRCQGTVEDCDEFILNPDSNGSCDCKKCTSGILLPNGYYGPACRECNPGAYNENCICRVAGNKRYCSEAFNALHPLPCPCPQCFQPAGRISDGIYGDLCKECHEGTTNRQCHVCYGSGDLCENSNGNCDCPVCEGSLTHFFGANCKEAAPPLRGLAVRPESTEPPDQRGVASSGEIDFPFANDDGSAARSCAASWLLWLPLVSRWASSLAM
+>sp|O15230|LAMA5_HUMAN Laminin subunit alpha-5 OS=Homo sapiens OX=9606 GN=LAMA5 PE=1 SV=8
+MAKRLCAGSALCVRGPRGPAPLLLVGLALLGAARAREEAGGGFSLHPPYFNLAEGARIAASATCGEEAPARGSPRPTEDLYCKLVGGPVAGGDPNQTIRGQYCDICTAANSNKAHPASNAIDGTERWWQSPPLSRGLEYNEVNVTLDLGQVFHVAYVLIKFANSPRPDLWVLERSMDFGRTYQPWQFFASSKRDCLERFGPQTLERITRDDAAICTTEYSRIVPLENGEIVVSLVNGRPGAMNFSYSPLLREFTKATNVRLRFLRTNTLLGHLMGKALRDPTVTRRYYYSIKDISIGGRCVCHGHADACDAKDPTDPFRLQCTCQHNTCGGTCDRCCPGFNQQPWKPATANSANECQSCNCYGHATDCYYDPEVDRRRASQSLDGTYQGGGVCIDCQHHTTGVNCERCLPGFYRSPNHPLDSPHVCRRCNCESDFTDGTCEDLTGRCYCRPNFSGERCDVCAEGFTGFPSCYPTPSSSNDTREQVLPAGQIVNCDCSAAGTQGNACRKDPRVGRCLCKPNFQGTHCELCAPGFYGPGCQPCQCSSPGVADDRCDPDTGQCRCRVGFEGATCDRCAPGYFHFPLCQLCGCSPAGTLPEGCDEAGRCLCQPEFAGPHCDRCRPGYHGFPNCQACTCDPRGALDQLCGAGGLCRCRPGYTGTACQECSPGFHGFPSCVPCHCSAEGSLHAACDPRSGQCSCRPRVTGLRCDTCVPGAYNFPYCEAGSCHPAGLAPVDPALPEAQVPCMCRAHVEGPSCDRCKPGFWGLSPSNPEGCTRCSCDLRGTLGGVAECQPGTGQCFCKPHVCGQACASCKDGFFGLDQADYFGCRSCRCDIGGALGQSCEPRTGVCRCRPNTQGPTCSEPARDHYLPDLHHLRLELEEAATPEGHAVRFGFNPLEFENFSWRGYAQMAPVQPRIVARLNLTSPDLFWLVFRYVNRGAMSVSGRVSVREEGRSATCANCTAQSQPVAFPPSTEPAFITVPQRGFGEPFVLNPGTWALRVEAEGVLLDYVVLLPSAYYEAALLQLRVTEACTYRPSAQQSGDNCLLYTHLPLDGFPSAAGLEALCRQDNSLPRPCPTEQLSPSHPPLITCTGSDVDVQLQVAVPQPGRYALVVEYANEDARQEVGVAVHTPQRAPQQGLLSLHPCLYSTLCRGTARDTQDHLAVFHLDSEASVRLTAEQARFFLHGVTLVPIEEFSPEFVEPRVSCISSHGAFGPNSAACLPSRFPKPPQPIILRDCQVIPLPPGLPLTHAQDLTPAMSPAGPRPRPPTAVDPDAEPTLLREPQATVVFTTHVPTLGRYAFLLHGYQPAHPTFPVEVLINAGRVWQGHANASFCPHGYGCRTLVVCEGQALLDVTHSELTVTVRVPKGRWLWLDYVLVVPENVYSFGYLREEPLDKSYDFISHCAAQGYHISPSSSSLFCRNAAASLSLFYNNGARPCGCHEVGATGPTCEPFGGQCPCHAHVIGRDCSRCATGYWGFPNCRPCDCGARLCDELTGQCICPPRTIPPDCLLCQPQTFGCHPLVGCEECNCSGPGIQELTDPTCDTDSGQCKCRPNVTGRRCDTCSPGFHGYPRCRPCDCHEAGTAPGVCDPLTGQCYCKENVQGPKCDQCSLGTFSLDAANPKGCTRCFCFGATERCRSSSYTRQEFVDMEGWVLLSTDRQVVPHERQPGTEMLRADLRHVPEAVPEAFPELYWQAPPSYLGDRVSSYGGTLRYELHSETQRGDVFVPMESRPDVVLQGNQMSITFLEPAYPTPGHVHRGQLQLVEGNFRHTETRNTVSREELMMVLASLEQLQIRALFSQISSAVFLRRVALEVASPAGQGALASNVELCLCPASYRGDSCQECAPGFYRDVKGLFLGRCVPCQCHGHSDRCLPGSGVCVDCQHNTEGAHCERCQAGFVSSRDDPSAPCVSCPCPLSVPSNNFAEGCVLRGGRTQCLCKPGYAGASCERCAPGFFGNPLVLGSSCQPCDCSGNGDPNLLFSDCDPLTGACRGCLRHTTGPRCEICAPGFYGNALLPGNCTRCDCTPCGTEACDPHSGHCLCKAGVTGRRCDRCQEGHFGFDGCGGCRPCACGPAAEGSECHPQSGQCHCRPGTMGPQCRECAPGYWGLPEQGCRRCQCPGGRCDPHTGRCNCPPGLSGERCDTCSQQHQVPVPGGPVGHSIHCEVCDHCVVLLLDDLERAGALLPAIHEQLRGINASSMAWARLHRLNASIADLQSQLRSPLGPRHETAQQLEVLEQQSTSLGQDARRLGGQAVGTRDQASQLLAGTEATLGHAKTLLAAIRAVDRTLSELMSQTGHLGLANASAPSGEQLLRTLAEVERLLWEMRARDLGAPQAAAEAELAAAQRLLARVQEQLSSLWEENQALATQTRDRLAQHEAGLMDLREALNRAVDATREAQELNSRNQERLEEALQRKQELSRDNATLQATLHAARDTLASVFRLLHSLDQAKEELERLAASLDGARTPLLQRMQTFSPAGSKLRLVEAAEAHAQQLGQLALNLSSIILDVNQDRLTQRAIEASNAYSRILQAVQAAEDAAGQALQQADHTWATVVRQGLVDRAQQLLANSTALEEAMLQEQQRLGLVWAALQGARTQLRDVRAKKDQLEAHIQAAQAMLAMDTDETSKKIAHAKAVAAEAQDTATRVQSQLQAMQENVERWQGQYEGLRGQDLGQAVLDAGHSVSTLEKTLPQLLAKLSILENRGVHNASLALSASIGRVRELIAQARGAASKVKVPMKFNGRSGVQLRTPRDLADLAAYTALKFYLQGPEPEPGQGTEDRFVMYMGSRQATGDYMGVSLRDKKVHWVYQLGEAGPAVLSIDEDIGEQFAAVSLDRTLQFGHMSVTVERQMIQETKGDTVAPGAEGLLNLRPDDFVFYVGGYPSTFTPPPLLRFPGYRGCIEMDTLNEEVVSLYNFERTFQLDTAVDRPCARSKSTGDPWLTDGSYLDGTGFARISFDSQISTTKRFEQELRLVSYSGVLFFLKQQSQFLCLAVQEGSLVLLYDFGAGLKKAVPLQPPPPLTSASKAIQVFLLGGSRKRVLVRVERATVYSVEQDNDLELADAYYLGGVPPDQLPPSLRRLFPTGGSVRGCVKGIKALGKYVDLKRLNTTGVSAGCTADLLVGRAMTFHGHGFLRLALSNVAPLTGNVYSGFGFHSAQDSALLYYRASPDGLCQVSLQQGRVSLQLLRTEVKTQAGFADGAPHYVAFYSNATGVWLYVDDQLQQMKPHRGPPPELQPQPEGPPRLLLGGLPESGTIYNFSGCISNVFVQRLLGPQRVFDLQQNLGSVNVSTGCAPALQAQTPGLGPRGLQATARKASRRSRQPARHPACMLPPHLRTTRDSYQFGGSLSSHLEFVGILARHRNWPSLSMHVLPRSSRGLLLFTARLRPGSPSLALFLSNGHFVAQMEGLGTRLRAQSRQRSRPGRWHKVSVRWEKNRILLVTDGARAWSQEGPHRQHQGAEHPQPHTLFVGGLPASSHSSKLPVTVGFSGCVKRLRLHGRPLGAPTRMAGVTPCILGPLEAGLFFPGSGGVITLDLPGATLPDVGLELEVRPLAVTGLIFHLGQARTPPYLQLQVTEKQVLLRADDGAGEFSTSVTRPSVLCDGQWHRLAVMKSGNVLRLEVDAQSNHTVGPLLAAAAGAPAPLYLGGLPEPMAVQPWPPAYCGCMRRLAVNRSPVAMTRSVEVHGAVGASGCPAA
+>DECOY_sp|O15230|LAMA5_HUMAN Laminin subunit alpha-5 OS=Homo sapiens OX=9606 GN=LAMA5 PE=1 SV=8
+AAPCGSAGVAGHVEVSRTMAVPSRNVALRRMCGCYAPPWPQVAMPEPLGGLYLPAPAGAAAALLPGVTHNSQADVELRLVNGSKMVALRHWQGDCLVSPRTVSTSFEGAGDDARLLVQKETVQLQLYPPTRAQGLHFILGTVALPRVELELGVDPLTAGPLDLTIVGGSGPFFLGAELPGLICPTVGAMRTPAGLPRGHLRLRKVCGSFGVTVPLKSSHSSAPLGGVFLTHPQPHEAGQHQRHPGEQSWARAGDTVLLIRNKEWRVSVKHWRGPRSRQRSQARLRTGLGEMQAVFHGNSLFLALSPSGPRLRATFLLLGRSSRPLVHMSLSPWNRHRALIGVFELHSSLSGGFQYSDRTTRLHPPLMCAPHRAPQRSRRSAKRATAQLGRPGLGPTQAQLAPACGTSVNVSGLNQQLDFVRQPGLLRQVFVNSICGSFNYITGSEPLGGLLLRPPGEPQPQLEPPPGRHPKMQQLQDDVYLWVGTANSYFAVYHPAGDAFGAQTKVETRLLQLSVRGQQLSVQCLGDPSARYYLLASDQASHFGFGSYVNGTLPAVNSLALRLFGHGHFTMARGVLLDATCGASVGTTNLRKLDVYKGLAKIGKVCGRVSGGTPFLRRLSPPLQDPPVGGLYYADALELDNDQEVSYVTAREVRVLVRKRSGGLLFVQIAKSASTLPPPPQLPVAKKLGAGFDYLLVLSGEQVALCLFQSQQKLFFLVGSYSVLRLEQEFRKTTSIQSDFSIRAFGTGDLYSGDTLWPDGTSKSRACPRDVATDLQFTREFNYLSVVEENLTDMEICGRYGPFRLLPPPTFTSPYGGVYFVFDDPRLNLLGEAGPAVTDGKTEQIMQREVTVSMHGFQLTRDLSVAAFQEGIDEDISLVAPGAEGLQYVWHVKKDRLSVGMYDGTAQRSGMYMVFRDETGQGPEPEPGQLYFKLATYAALDALDRPTRLQVGSRGNFKMPVKVKSAAGRAQAILERVRGISASLALSANHVGRNELISLKALLQPLTKELTSVSHGADLVAQGLDQGRLGEYQGQWREVNEQMAQLQSQVRTATDQAEAAVAKAHAIKKSTEDTDMALMAQAAQIHAELQDKKARVDRLQTRAGQLAAWVLGLRQQEQLMAEELATSNALLQQARDVLGQRVVTAWTHDAQQLAQGAADEAAQVAQLIRSYANSAEIARQTLRDQNVDLIISSLNLALQGLQQAHAEAAEVLRLKSGAPSFTQMRQLLPTRAGDLSAALRELEEKAQDLSHLLRFVSALTDRAAHLTAQLTANDRSLEQKRQLAEELREQNRSNLEQAERTADVARNLAERLDMLGAEHQALRDRTQTALAQNEEWLSSLQEQVRALLRQAAALEAEAAAQPAGLDRARMEWLLREVEALTRLLQEGSPASANALGLHGTQSMLESLTRDVARIAALLTKAHGLTAETGALLQSAQDRTGVAQGGLRRADQGLSTSQQELVELQQATEHRPGLPSRLQSQLDAISANLRHLRAWAMSSANIGRLQEHIAPLLAGARELDDLLLVVCHDCVECHISHGVPGGPVPVQHQQSCTDCREGSLGPPCNCRGTHPDCRGGPCQCRRCGQEPLGWYGPACERCQPGMTGPRCHCQGSQPHCESGEAAPGCACPRCGGCGDFGFHGEQCRDCRRGTVGAKCLCHGSHPDCAETGCPTCDCRTCNGPLLANGYFGPACIECRPGTTHRLCGRCAGTLPDCDSFLLNPDGNGSCDCPQCSSGLVLPNGFFGPACRECSAGAYGPKCLCQTRGGRLVCGEAFNNSPVSLPCPCSVCPASPDDRSSVFGAQCRECHAGETNHQCDVCVGSGPLCRDSHGHCQCPVCRGLFLGKVDRYFGPACEQCSDGRYSAPCLCLEVNSALAGQGAPSAVELAVRRLFVASSIQSFLARIQLQELSALVMMLEERSVTNRTETHRFNGEVLQLQGRHVHGPTPYAPELFTISMQNGQLVVDPRSEMPVFVDGRQTESHLEYRLTGGYSSVRDGLYSPPAQWYLEPFAEPVAEPVHRLDARLMETGPQREHPVVQRDTSLLVWGEMDVFEQRTYSSSRCRETAGFCFCRTCGKPNAADLSFTGLSCQDCKPGQVNEKCYCQGTLPDCVGPATGAEHCDCPRCRPYGHFGPSCTDCRRGTVNPRCKCQGSDTDCTPDTLEQIGPGSCNCEECGVLPHCGFTQPQCLLCDPPITRPPCICQGTLEDCLRAGCDCPRCNPFGWYGTACRSCDRGIVHAHCPCQGGFPECTPGTAGVEHCGCPRAGNNYFLSLSAAANRCFLSSSSPSIHYGQAACHSIFDYSKDLPEERLYGFSYVNEPVVLVYDLWLWRGKPVRVTVTLESHTVDLLAQGECVVLTRCGYGHPCFSANAHGQWVRGANILVEVPFTPHAPQYGHLLFAYRGLTPVHTTFVVTAQPERLLTPEADPDVATPPRPRPGAPSMAPTLDQAHTLPLGPPLPIVQCDRLIIPQPPKPFRSPLCAASNPGFAGHSSICSVRPEVFEPSFEEIPVLTVGHLFFRAQEATLRVSAESDLHFVALHDQTDRATGRCLTSYLCPHLSLLGQQPARQPTHVAVGVEQRADENAYEVVLAYRGPQPVAVQLQVDVDSGTCTILPPHSPSLQETPCPRPLSNDQRCLAELGAASPFGDLPLHTYLLCNDGSQQASPRYTCAETVRLQLLAAEYYASPLLVVYDLLVGEAEVRLAWTGPNLVFPEGFGRQPVTIFAPETSPPFAVPQSQATCNACTASRGEERVSVRGSVSMAGRNVYRFVLWFLDPSTLNLRAVIRPQVPAMQAYGRWSFNEFELPNFGFRVAHGEPTAAEELELRLHHLDPLYHDRAPESCTPGQTNPRCRCVGTRPECSQGLAGGIDCRCSRCGFYDAQDLGFFGDKCSACAQGCVHPKCFCQGTGPQCEAVGGLTGRLDCSCRTCGEPNSPSLGWFGPKCRDCSPGEVHARCMCPVQAEPLAPDVPALGAPHCSGAECYPFNYAGPVCTDCRLGTVRPRCSCQGSRPDCAAHLSGEASCHCPVCSPFGHFGPSCEQCATGTYGPRCRCLGGAGCLQDLAGRPDCTCAQCNPFGHYGPRCRDCHPGAFEPQCLCRGAEDCGEPLTGAPSCGCLQCLPFHFYGPACRDCTAGEFGVRCRCQGTDPDCRDDAVGPSSCQCPQCGPGYFGPACLECHTGQFNPKCLCRGVRPDKRCANGQTGAASCDCNVIQGAPLVQERTDNSSSPTPYCSPFGTFGEACVDCREGSFNPRCYCRGTLDECTGDTFDSECNCRRCVHPSDLPHNPSRYFGPLCRECNVGTTHHQCDICVGGGQYTGDLSQSARRRDVEPDYYCDTAHGYCNCSQCENASNATAPKWPQQNFGPCCRDCTGGCTNHQCTCQLRFPDTPDKADCADAHGHCVCRGGISIDKISYYYRRTVTPDRLAKGMLHGLLTNTRLFRLRVNTAKTFERLLPSYSFNMAGPRGNVLSVVIEGNELPVIRSYETTCIAADDRTIRELTQPGFRELCDRKSSAFFQWPQYTRGFDMSRELVWLDPRPSNAFKILVYAVHFVQGLDLTVNVENYELGRSLPPSQWWRETGDIANSAPHAKNSNAATCIDCYQGRITQNPDGGAVPGGVLKCYLDETPRPSGRAPAEEGCTASAAIRAGEALNFYPPHLSFGGGAEERARAAGLLALGVLLLPAPGRPGRVCLASGACLRKAM
+>sp|P07942|LAMB1_HUMAN Laminin subunit beta-1 OS=Homo sapiens OX=9606 GN=LAMB1 PE=1 SV=2
+MGLLQLLAFSFLALCRARVRAQEPEFSYGCAEGSCYPATGDLLIGRAQKLSVTSTCGLHKPEPYCIVSHLQEDKKCFICNSQDPYHETLNPDSHLIENVVTTFAPNRLKIWWQSENGVENVTIQLDLEAEFHFTHLIMTFKTFRPAAMLIERSSDFGKTWGVYRYFAYDCEASFPGISTGPMKKVDDIICDSRYSDIEPSTEGEVIFRALDPAFKIEDPYSPRIQNLLKITNLRIKFVKLHTLGDNLLDSRMEIREKYYYAVYDMVVRGNCFCYGHASECAPVDGFNEEVEGMVHGHCMCRHNTKGLNCELCMDFYHDLPWRPAEGRNSNACKKCNCNEHSISCHFDMAVYLATGNVSGGVCDDCQHNTMGRNCEQCKPFYYQHPERDIRDPNFCERCTCDPAGSQNEGICDSYTDFSTGLIAGQCRCKLNVEGEHCDVCKEGFYDLSSEDPFGCKSCACNPLGTIPGGNPCDSETGHCYCKRLVTGQHCDQCLPEHWGLSNDLDGCRPCDCDLGGALNNSCFAESGQCSCRPHMIGRQCNEVEPGYYFATLDHYLYEAEEANLGPGVSIVERQYIQDRIPSWTGAGFVRVPEGAYLEFFIDNIPYSMEYDILIRYEPQLPDHWEKAVITVQRPGRIPTSSRCGNTIPDDDNQVVSLSPGSRYVVLPRPVCFEKGTNYTVRLELPQYTSSDSDVESPYTLIDSLVLMPYCKSLDIFTVGGSGDGVVTNSAWETFQRYRCLENSRSVVKTPMTDVCRNIIFSISALLHQTGLACECDPQGSLSSVCDPNGGQCQCRPNVVGRTCNRCAPGTFGFGPSGCKPCECHLQGSVNAFCNPVTGQCHCFQGVYARQCDRCLPGHWGFPSCQPCQCNGHADDCDPVTGECLNCQDYTMGHNCERCLAGYYGDPIIGSGDHCRPCPCPDGPDSGRQFARSCYQDPVTLQLACVCDPGYIGSRCDDCASGYFGNPSEVGGSCQPCQCHNNIDTTDPEACDKETGRCLKCLYHTEGEHCQFCRFGYYGDALQQDCRKCVCNYLGTVQEHCNGSDCQCDKATGQCLCLPNVIGQNCDRCAPNTWQLASGTGCDPCNCNAAHSFGPSCNEFTGQCQCMPGFGGRTCSECQELFWGDPDVECRACDCDPRGIETPQCDQSTGQCVCVEGVEGPRCDKCTRGYSGVFPDCTPCHQCFALWDVIIAELTNRTHRFLEKAKALKISGVIGPYRETVDSVERKVSEIKDILAQSPAAEPLKNIGNLFEEAEKLIKDVTEMMAQVEVKLSDTTSQSNSTAKELDSLQTEAESLDNTVKELAEQLEFIKNSDIRGALDSITKYFQMSLEAEERVNASTTEPNSTVEQSALMRDRVEDVMMERESQFKEKQEEQARLLDELAGKLQSLDLSAAAEMTCGTPPGASCSETECGGPNCRTDEGERKCGGPGCGGLVTVAHNAWQKAMDLDQDVLSALAEVEQLSKMVSEAKLRADEAKQSAEDILLKTNATKEKMDKSNEELRNLIKQIRNFLTQDSADLDSIEAVANEVLKMEMPSTPQQLQNLTEDIRERVESLSQVEVILQHSAADIARAEMLLEEAKRASKSATDVKVTADMVKEALEEAEKAQVAAEKAIKQADEDIQGTQNLLTSIESETAASEETLFNASQRISELERNVEELKRKAAQNSGEAEYIEKVVYTVKQSAEDVKKTLDGELDEKYKKVENLIAKKTEESADARRKAEMLQNEAKTLLAQANSKLQLLKDLERKYEDNQRYLEDKAQELARLEGEVRSLLKDISQKVAVYSTCL
+>DECOY_sp|P07942|LAMB1_HUMAN Laminin subunit beta-1 OS=Homo sapiens OX=9606 GN=LAMB1 PE=1 SV=2
+LCTSYVAVKQSIDKLLSRVEGELRALEQAKDELYRQNDEYKRELDKLLQLKSNAQALLTKAENQLMEAKRRADASEETKKAILNEVKKYKEDLEGDLTKKVDEASQKVTYVVKEIYEAEGSNQAAKRKLEEVNRELESIRQSANFLTEESAATESEISTLLNQTGQIDEDAQKIAKEAAVQAKEAEELAEKVMDATVKVDTASKSARKAEELLMEARAIDAASHQLIVEVQSLSEVRERIDETLNQLQQPTSPMEMKLVENAVAEISDLDASDQTLFNRIQKILNRLEENSKDMKEKTANTKLLIDEASQKAEDARLKAESVMKSLQEVEALASLVDQDLDMAKQWANHAVTVLGGCGPGGCKREGEDTRCNPGGCETESCSAGPPTGCTMEAAASLDLSQLKGALEDLLRAQEEQKEKFQSEREMMVDEVRDRMLASQEVTSNPETTSANVREEAELSMQFYKTISDLAGRIDSNKIFELQEALEKVTNDLSEAETQLSDLEKATSNSQSTTDSLKVEVQAMMETVDKILKEAEEFLNGINKLPEAAPSQALIDKIESVKREVSDVTERYPGIVGSIKLAKAKELFRHTRNTLEAIIVDWLAFCQHCPTCDPFVGSYGRTCKDCRPGEVGEVCVCQGTSQDCQPTEIGRPDCDCARCEVDPDGWFLEQCESCTRGGFGPMCQCQGTFENCSPGFSHAANCNCPDCGTGSALQWTNPACRDCNQGIVNPLCLCQGTAKDCQCDSGNCHEQVTGLYNCVCKRCDQQLADGYYGFRCFQCHEGETHYLCKLCRGTEKDCAEPDTTDINNHCQCPQCSGGVESPNGFYGSACDDCRSGIYGPDCVCALQLTVPDQYCSRAFQRGSDPGDPCPCPRCHDGSGIIPDGYYGALCRECNHGMTYDQCNLCEGTVPDCDDAHGNCQCPQCSPFGWHGPLCRDCQRAYVGQFCHCQGTVPNCFANVSGQLHCECPKCGSPGFGFTGPACRNCTRGVVNPRCQCQGGNPDCVSSLSGQPDCECALGTQHLLASISFIINRCVDTMPTKVVSRSNELCRYRQFTEWASNTVVGDGSGGVTFIDLSKCYPMLVLSDILTYPSEVDSDSSTYQPLELRVTYNTGKEFCVPRPLVVYRSGPSLSVVQNDDDPITNGCRSSTPIRGPRQVTIVAKEWHDPLQPEYRILIDYEMSYPINDIFFELYAGEPVRVFGAGTWSPIRDQIYQREVISVGPGLNAEEAEYLYHDLTAFYYGPEVENCQRGIMHPRCSCQGSEAFCSNNLAGGLDCDCPRCGDLDNSLGWHEPLCQDCHQGTVLRKCYCHGTESDCPNGGPITGLPNCACSKCGFPDESSLDYFGEKCVDCHEGEVNLKCRCQGAILGTSFDTYSDCIGENQSGAPDCTCRECFNPDRIDREPHQYYFPKCQECNRGMTNHQCDDCVGGSVNGTALYVAMDFHCSISHENCNCKKCANSNRGEAPRWPLDHYFDMCLECNLGKTNHRCMCHGHVMGEVEENFGDVPACESAHGYCFCNGRVVMDYVAYYYKERIEMRSDLLNDGLTHLKVFKIRLNTIKLLNQIRPSYPDEIKFAPDLARFIVEGETSPEIDSYRSDCIIDDVKKMPGTSIGPFSAECDYAFYRYVGWTKGFDSSREILMAAPRFTKFTMILHTFHFEAELDLQITVNEVGNESQWWIKLRNPAFTTVVNEILHSDPNLTEHYPDQSNCIFCKKDEQLHSVICYPEPKHLGCTSTVSLKQARGILLDGTAPYCSGEACGYSFEPEQARVRARCLALFSFALLQLLGM
+>sp|Q86VI4|LAP4B_HUMAN Lysosomal-associated transmembrane protein 4B OS=Homo sapiens OX=9606 GN=LAPTM4B PE=1 SV=1
+MELHERPDERRKARTSTQGRLGDWRRVHADGFTHRVLGAPAAAWSSSSWLEPAMTSRTRVTWPSPPRPLPVPAAAAVAFGAKGTDPAEARSSRGIEEAGPRAHGRAGREPERRRSRQQRRGGLQARRSTLLKTCARARATAPGAMKMVAPWTRFYSNSCCLCCHVRTGTILLGVWYLIINAVVLLILLSALADPDQYNFSSSELGGDFEFMDDANMCIAIAISLLMILICAMATYGAYKQRAAWIIPFFCYQIFDFALNMLVAITVLIYPNSIQEYIRQLPPNFPYRDDVMSVNPTCLVLIILLFISIILTFKGYLISCVWNCYRYINGRNSSDVLVYVTSNDTTVLLPPYDDATVNGAAKEPPPPYVSA
+>DECOY_sp|Q86VI4|LAP4B_HUMAN Lysosomal-associated transmembrane protein 4B OS=Homo sapiens OX=9606 GN=LAPTM4B PE=1 SV=1
+ASVYPPPPEKAAGNVTADDYPPLLVTTDNSTVYVLVDSSNRGNIYRYCNWVCSILYGKFTLIISIFLLIILVLCTPNVSMVDDRYPFNPPLQRIYEQISNPYILVTIAVLMNLAFDFIQYCFFPIIWAARQKYAGYTAMACILIMLLSIAIAICMNADDMFEFDGGLESSSFNYQDPDALASLLILLVVANIILYWVGLLITGTRVHCCLCCSNSYFRTWPAVMKMAGPATARARACTKLLTSRRAQLGGRRQQRSRRREPERGARGHARPGAEEIGRSSRAEAPDTGKAGFAVAAAAPVPLPRPPSPWTVRTRSTMAPELWSSSSWAAAPAGLVRHTFGDAHVRRWDGLRGQTSTRAKRREDPREHLEM
+>sp|Q92615|LAR4B_HUMAN La-related protein 4B OS=Homo sapiens OX=9606 GN=LARP4B PE=1 SV=3
+MTSDQDAKVVAEPQTQRVQEGKDSAHLMNGPISQTTSQTSSIPPLSQVPATKVSELNPNAEVWGAPVLHLEASSAADGVSAAWEEVAGHHADRGPQGSDANGDGDQGHENAALPDPQESDPADMNALALGPSEYDSLPENSETGGNESQPDSQEDPREVLKKTLEFCLSRENLASDMYLISQMDSDQYVPITTVANLDHIKKLSTDVDLIVEVLRSLPLVQVDEKGEKVRPNQNRCIVILREISESTPVEEVEALFKGDNLPKFINCEFAYNDNWFITFETEADAQQAYKYLREEVKTFQGKPIKARIKAKAIAINTFLPKNGFRPLDVSLYAQQRYATSFYFPPMYSPQQQFPLYSLITPQTWSATHSYLDPPLVTPFPNTGFINGFTSPAFKPAASPLTSLRQYPPRSRNPSKSHLRHAIPSAERGPGLLESPSIFNFTADRLINGVRSPQTRQAGQTRTRIQNPSAYAKREAGPGRVEPGSLESSPGLGRGRKNSFGYRKKREEKFTSSQTQSPTPPKPPSPSFELGLSSFPPLPGAAGNLKTEDLFENRLSSLIIGPSKERTLSADASVNTLPVVVSREPSVPASCAVSATYERSPSPAHLPDDPKVAEKQRETHSVDRLPSALTATACKSVQVNGAATELRKPSYAEICQRTSKEPPSSPLQPQKEQKPNTVGCGKEEKKLAEPAERYREPPALKSTPGAPRDQRRPAGGRPSPSAMGKRLSREQSTPPKSPQ
+>DECOY_sp|Q92615|LAR4B_HUMAN La-related protein 4B OS=Homo sapiens OX=9606 GN=LARP4B PE=1 SV=3
+QPSKPPTSQERSLRKGMASPSPRGGAPRRQDRPAGPTSKLAPPERYREAPEALKKEEKGCGVTNPKQEKQPQLPSSPPEKSTRQCIEAYSPKRLETAAGNVQVSKCATATLASPLRDVSHTERQKEAVKPDDPLHAPSPSREYTASVACSAPVSPERSVVVPLTNVSADASLTREKSPGIILSSLRNEFLDETKLNGAAGPLPPFSSLGLEFSPSPPKPPTPSQTQSSTFKEERKKRYGFSNKRGRGLGPSSELSGPEVRGPGAERKAYASPNQIRTRTQGAQRTQPSRVGNILRDATFNFISPSELLGPGREASPIAHRLHSKSPNRSRPPYQRLSTLPSAAPKFAPSTFGNIFGTNPFPTVLPPDLYSHTASWTQPTILSYLPFQQQPSYMPPFYFSTAYRQQAYLSVDLPRFGNKPLFTNIAIAKAKIRAKIPKGQFTKVEERLYKYAQQADAETEFTIFWNDNYAFECNIFKPLNDGKFLAEVEEVPTSESIERLIVICRNQNPRVKEGKEDVQVLPLSRLVEVILDVDTSLKKIHDLNAVTTIPVYQDSDMQSILYMDSALNERSLCFELTKKLVERPDEQSDPQSENGGTESNEPLSDYESPGLALANMDAPDSEQPDPLAANEHGQDGDGNADSGQPGRDAHHGAVEEWAASVGDAASSAELHLVPAGWVEANPNLESVKTAPVQSLPPISSTQSTTQSIPGNMLHASDKGEQVRQTQPEAVVKADQDSTM
+>sp|Q6PKG0|LARP1_HUMAN La-related protein 1 OS=Homo sapiens OX=9606 GN=LARP1 PE=1 SV=2
+MATQVEPLLPGGATLLQAEEHGGLVRKKPPPAPEGKGEPGPNDVRGGEPDGSARRPRPPCAKPHKEGTGQQERESPRPLQLPGAEGPAISDGEEGGGEPGAGGGAAGAAGAGRRDFVEAPPPKVNPWTKNALPPVLTTVNGQSPPEHSAPAKVVRAAVPKQRKGSKVGDFGDAINWPTPGEIAHKSVQPQSHKPQPTRKLPPKKDMKEQEKGEGSDSKESPKTKSDESGEEKNGDEDCQRGGQKKKGNKHKWVPLQIDMKPEVPREKLASRPTRPPEPRHIPANRGEIKGSESATYVPVAPPTPAWQPEIKPEPAWHDQDETSSVKSDGAGGARASFRGRGRGRGRGRGRGRGGTRTHFDYQFGYRKFDGVEGPRTPKYMNNITYYFDNVSSTELYSVDQELLKDYIKRQIEYYFSVDNLERDFFLRRKMDADGFLPITLIASFHRVQALTTDISLIFAALKDSKVVEIVDEKVRRREEPEKWPLPPIVDYSQTDFSQLLNCPEFVPRQHYQKETESAPGSPRAVTPVPTKTEEVSNLKTLPKGLSASLPDLDSENWIEVKKRPRPSPARPKKSEESRFSHLTSLPQQLPSQQLMSKDQDEQEELDFLFDEEMEQMDGRKNTFTAWSDEESDYEIDDRDVNKILIVTQTPHYMRRHPGGDRTGNHTSRAKMSAELAKVINDGLFYYEQDLWAEKFEPEYSQIKQEVENFKKVNMISREQFDTLTPEPPVDPNQEVPPGPPRFQQVPTDALANKLFGAPEPSTIARSLPTTVPESPNYRNTRTPRTPRTPQLKDSSQTSRFYPVVKEGRTLDAKMPRKRKTRHSSNPPLESHVGWVMDSREHRPRTASISSSPSEGTPTVGSYGCTPQSLPKFQHPSHELLKENGFTQHVYHKYRRRCLNERKRLGIGQSQEMNTLFRFWSFFLRDHFNKKMYEEFKQLALEDAKEGYRYGLECLFRYYSYGLEKKFRLDIFKDFQEETVKDYEAGQLYGLEKFWAFLKYSKAKNLDIDPKLQEYLGKFRRLEDFRVDPPMGEEGNHKRHSVVAGGGGGEGRKRCPSQSSSRPAAMISQPPTPPTGQPVREDAKWTSQHSNTQTLGK
+>DECOY_sp|Q6PKG0|LARP1_HUMAN La-related protein 1 OS=Homo sapiens OX=9606 GN=LARP1 PE=1 SV=2
+KGLTQTNSHQSTWKADERVPQGTPPTPPQSIMAAPRSSSQSPCRKRGEGGGGGAVVSHRKHNGEEGMPPDVRFDELRRFKGLYEQLKPDIDLNKAKSYKLFAWFKELGYLQGAEYDKVTEEQFDKFIDLRFKKELGYSYYRFLCELGYRYGEKADELALQKFEEYMKKNFHDRLFFSWFRFLTNMEQSQGIGLRKRENLCRRRYKHYVHQTFGNEKLLEHSPHQFKPLSQPTCGYSGVTPTGESPSSSISATRPRHERSDMVWGVHSELPPNSSHRTKRKRPMKADLTRGEKVVPYFRSTQSSDKLQPTRPTRPTRTNRYNPSEPVTTPLSRAITSPEPAGFLKNALADTPVQQFRPPGPPVEQNPDVPPEPTLTDFQERSIMNVKKFNEVEQKIQSYEPEFKEAWLDQEYYFLGDNIVKALEASMKARSTHNGTRDGGPHRRMYHPTQTVILIKNVDRDDIEYDSEEDSWATFTNKRGDMQEMEEDFLFDLEEQEDQDKSMLQQSPLQQPLSTLHSFRSEESKKPRAPSPRPRKKVEIWNESDLDPLSASLGKPLTKLNSVEETKTPVPTVARPSGPASETEKQYHQRPVFEPCNLLQSFDTQSYDVIPPLPWKEPEERRRVKEDVIEVVKSDKLAAFILSIDTTLAQVRHFSAILTIPLFGDADMKRRLFFDRELNDVSFYYEIQRKIYDKLLEQDVSYLETSSVNDFYYTINNMYKPTRPGEVGDFKRYGFQYDFHTRTGGRGRGRGRGRGRGRGRFSARAGGAGDSKVSSTEDQDHWAPEPKIEPQWAPTPPAVPVYTASESGKIEGRNAPIHRPEPPRTPRSALKERPVEPKMDIQLPVWKHKNGKKKQGGRQCDEDGNKEEGSEDSKTKPSEKSDSGEGKEQEKMDKKPPLKRTPQPKHSQPQVSKHAIEGPTPWNIADGFDGVKSGKRQKPVAARVVKAPASHEPPSQGNVTTLVPPLANKTWPNVKPPPAEVFDRRGAGAAGAAGGGAGPEGGGEEGDSIAPGEAGPLQLPRPSEREQQGTGEKHPKACPPRPRRASGDPEGGRVDNPGPEGKGEPAPPPKKRVLGGHEEAQLLTAGGPLLPEVQTAM
+>sp|Q8MH63|LAT1N_HUMAN Putative L-type amino acid transporter 1-like protein MLAS OS=Homo sapiens OX=9606 GN=SLC7A5P1 PE=5 SV=1
+MAGAGPKRRALAAPVAEEKEEAREKMLASKRADGAAPAGEGEGVTLQRNITLLNGVAIIVGAIIGSGIFVTPTGVLKEAGSPGLALVMWAACGVFSIVGALCYAELGTTISKSGGDYAYMLDVYGSLPAFLKLWIELLVIRPSSQYIVALVFATYLLKPLFPSCPVPEEAAKLMACHCVH
+>DECOY_sp|Q8MH63|LAT1N_HUMAN Putative L-type amino acid transporter 1-like protein MLAS OS=Homo sapiens OX=9606 GN=SLC7A5P1 PE=5 SV=1
+HVCHCAMLKAAEEPVPCSPFLPKLLYTAFVLAVIYQSSPRIVLLEIWLKLFAPLSGYVDLMYAYDGGSKSITTGLEAYCLAGVISFVGCAAWMVLALGPSGAEKLVGTPTVFIGSGIIAGVIIAVGNLLTINRQLTVGEGEGAPAAGDARKSALMKERAEEKEEAVPAALARRKPGAGAM
+>sp|Q01650|LAT1_HUMAN Large neutral amino acids transporter small subunit 1 OS=Homo sapiens OX=9606 GN=SLC7A5 PE=1 SV=2
+MAGAGPKRRALAAPAAEEKEEAREKMLAAKSADGSAPAGEGEGVTLQRNITLLNGVAIIVGTIIGSGIFVTPTGVLKEAGSPGLALVVWAACGVFSIVGALCYAELGTTISKSGGDYAYMLEVYGSLPAFLKLWIELLIIRPSSQYIVALVFATYLLKPLFPTCPVPEEAAKLVACLCVLLLTAVNCYSVKAATRVQDAFAAAKLLALALIILLGFVQIGKGDVSNLDPNFSFEGTKLDVGNIVLALYSGLFAYGGWNYLNFVTEEMINPYRNLPLAIIISLPIVTLVYVLTNLAYFTTLSTEQMLSSEAVAVDFGNYHLGVMSWIIPVFVGLSCFGSVNGSLFTSSRLFFVGSREGHLPSILSMIHPQLLTPVPSLVFTCVMTLLYAFSKDIFSVINFFSFFNWLCVALAIIGMIWLRHRKPELERPIKVNLALPVFFILACLFLIAVSFWKTPVECGIGFTIILSGLPVYFFGVWWKNKPKWLLQGIFSTTVLCQKLMQVVPQET
+>DECOY_sp|Q01650|LAT1_HUMAN Large neutral amino acids transporter small subunit 1 OS=Homo sapiens OX=9606 GN=SLC7A5 PE=1 SV=2
+TEQPVVQMLKQCLVTTSFIGQLLWKPKNKWWVGFFYVPLGSLIITFGIGCEVPTKWFSVAILFLCALIFFVPLALNVKIPRELEPKRHRLWIMGIIALAVCLWNFFSFFNIVSFIDKSFAYLLTMVCTFVLSPVPTLLQPHIMSLISPLHGERSGVFFLRSSTFLSGNVSGFCSLGVFVPIIWSMVGLHYNGFDVAVAESSLMQETSLTTFYALNTLVYVLTVIPLSIIIALPLNRYPNIMEETVFNLYNWGGYAFLGSYLALVINGVDLKTGEFSFNPDLNSVDGKGIQVFGLLIILALALLKAAAFADQVRTAAKVSYCNVATLLLVCLCAVLKAAEEPVPCTPFLPKLLYTAFVLAVIYQSSPRIILLEIWLKLFAPLSGYVELMYAYDGGSKSITTGLEAYCLAGVISFVGCAAWVVLALGPSGAEKLVGTPTVFIGSGIITGVIIAVGNLLTINRQLTVGEGEGAPASGDASKAALMKERAEEKEEAAPAALARRKPGAGAM
+>sp|Q9BQE6|LBHD1_HUMAN LBH domain-containing protein 1 OS=Homo sapiens OX=9606 GN=LBHD1 PE=1 SV=3
+MALVPGRSKEDGLWTRNSPGSSQHPESPRLPNPLWDRGKIGKVEGHQHIQVSTSSACVWQLAYPPVWPNLPAVPIQDFSQKSHLPSIVVESSEVNEESGDLHLPHEELLLLTDGEEEDAEAFFQDQSEEPGWAWSPQDPRSPLRTFNAGLSWGQDQDEEDACWILEDTACLEATNHCPFWDSTGSRVCRSGFVEYSHLLPPNSFEGAEEEAVQTPAGVESGAASEAPGGRGCDRPRADHAAPPQEAGVQCTCQHYTVREEAQKTPPADPACPEREDSHGSGSPFKASQD
+>DECOY_sp|Q9BQE6|LBHD1_HUMAN LBH domain-containing protein 1 OS=Homo sapiens OX=9606 GN=LBHD1 PE=1 SV=3
+DQSAKFPSGSGHSDEREPCAPDAPPTKQAEERVTYHQCTCQVGAEQPPAAHDARPRDCGRGGPAESAAGSEVGAPTQVAEEEAGEFSNPPLLHSYEVFGSRCVRSGTSDWFPCHNTAELCATDELIWCADEEDQDQGWSLGANFTRLPSRPDQPSWAWGPEESQDQFFAEADEEEGDTLLLLEEHPLHLDGSEENVESSEVVISPLHSKQSFDQIPVAPLNPWVPPYALQWVCASSTSVQIHQHGEVKGIKGRDWLPNPLRPSEPHQSSGPSNRTWLGDEKSRGPVLAM
+>sp|Q14739|LBR_HUMAN Lamin-B receptor OS=Homo sapiens OX=9606 GN=LBR PE=1 SV=2
+MPSRKFADGEVVRGRWPGSSLYYEVEILSHDSTSQLYTVKYKDGTELELKENDIKPLTSFRQRKGGSTSSSPSRRRGSRSRSRSRSPGRPPKSARRSASASHQADIKEARREVEVKLTPLILKPFGNSISRYNGEPEHIERNDAPHKNTQEKFSLSQESSYIATQYSLRPRREEVKLKEIDSKEEKYVAKELAVRTFEVTPIRAKDLEFGGVPGVFLIMFGLPVFLFLLLLMCKQKDPSLLNFPPPLPALYELWETRVFGVYLLWFLIQVLFYLLPIGKVVEGTPLIDGRRLKYRLNGFYAFILTSAVIGTSLFQGVEFHYVYSHFLQFALAATVFCVVLSVYLYMRSLKAPRNDLSPASSGNAVYDFFIGRELNPRIGTFDLKYFCELRPGLIGWVVINLVMLLAEMKIQDRAVPSLAMILVNSFQLLYVVDALWNEEALLTTMDIIHDGFGFMLAFGDLVWVPFIYSFQAFYLVSHPNEVSWPMASLIIVLKLCGYVIFRGANSQKNAFRKNPSDPKLAHLKTIHTSTGKNLLVSGWWGFVRHPNYLGDLIMALAWSLPCGFNHILPYFYIIYFTMLLVHREARDEYHCKKKYGVAWEKYCQRVPYRIFPYIY
+>DECOY_sp|Q14739|LBR_HUMAN Lamin-B receptor OS=Homo sapiens OX=9606 GN=LBR PE=1 SV=2
+YIYPFIRYPVRQCYKEWAVGYKKKCHYEDRAERHVLLMTFYIIYFYPLIHNFGCPLSWALAMILDGLYNPHRVFGWWGSVLLNKGTSTHITKLHALKPDSPNKRFANKQSNAGRFIVYGCLKLVIILSAMPWSVENPHSVLYFAQFSYIFPVWVLDGFALMFGFGDHIIDMTTLLAEENWLADVVYLLQFSNVLIMALSPVARDQIKMEALLMVLNIVVWGILGPRLECFYKLDFTGIRPNLERGIFFDYVANGSSAPSLDNRPAKLSRMYLYVSLVVCFVTAALAFQLFHSYVYHFEVGQFLSTGIVASTLIFAYFGNLRYKLRRGDILPTGEVVKGIPLLYFLVQILFWLLYVGFVRTEWLEYLAPLPPPFNLLSPDKQKCMLLLLFLFVPLGFMILFVGPVGGFELDKARIPTVEFTRVALEKAVYKEEKSDIEKLKVEERRPRLSYQTAIYSSEQSLSFKEQTNKHPADNREIHEPEGNYRSISNGFPKLILPTLKVEVERRAEKIDAQHSASASRRASKPPRGPSRSRSRSRSGRRRSPSSSTSGGKRQRFSTLPKIDNEKLELETGDKYKVTYLQSTSDHSLIEVEYYLSSGPWRGRVVEGDAFKRSPM
+>sp|Q5VSP4|LC1L1_HUMAN Putative lipocalin 1-like protein 1 OS=Homo sapiens OX=9606 GN=LCN1P1 PE=5 SV=1
+MKPLLLAISLSLIAALQAHHLLASDEEIQDVSGTWYLKAMTVDRELPEMNLESVTPMTLTILEGGNLEAKATMLISGQCQEVKVILEKTDEPGKYTANRGKHVAYIIRSHMKDHYIFYCEGRDPENNLEALEDFEKAAGARGLSTESILIPRQSETCSPGSD
+>DECOY_sp|Q5VSP4|LC1L1_HUMAN Putative lipocalin 1-like protein 1 OS=Homo sapiens OX=9606 GN=LCN1P1 PE=5 SV=1
+DSGPSCTESQRPILISETSLGRAGAAKEFDELAELNNEPDRGECYFIYHDKMHSRIIYAVHKGRNATYKGPEDTKELIVKVEQCQGSILMTAKAELNGGELITLTMPTVSELNMEPLERDVTMAKLYWTGSVDQIEEDSALLHHAQLAAILSLSIALLLPKM
+>sp|O95232|LC7L3_HUMAN Luc7-like protein 3 OS=Homo sapiens OX=9606 GN=LUC7L3 PE=1 SV=2
+MISAAQLLDELMGRDRNLAPDEKRSNVRWDHESVCKYYLCGFCPAELFTNTRSDLGPCEKIHDENLRKQYEKSSRFMKVGYERDFLRYLQSLLAEVERRIRRGHARLALSQNQQSSGAAGPTGKNEEKIQVLTDKIDVLLQQIEELGSEGKVEEAQGMMKLVEQLKEERELLRSTTSTIESFAAQEKQMEVCEVCGAFLIVGDAQSRVDDHLMGKQHMGYAKIKATVEELKEKLRKRTEEPDRDERLKKEKQEREEREKEREREREERERKRRREEEEREKERARDRERRKRSRSRSRHSSRTSDRRCSRSRDHKRSRSRERRRSRSRDRRRSRSHDRSERKHRSRSRDRRRSKSRDRKSYKHRSKSRDREQDRKSKEKEKRGSDDKKSSVKSGSREKQSEDTNTESKESDTKNEVNGTSEDIKSEGDTQSN
+>DECOY_sp|O95232|LC7L3_HUMAN Luc7-like protein 3 OS=Homo sapiens OX=9606 GN=LUC7L3 PE=1 SV=2
+NSQTDGESKIDESTGNVENKTDSEKSETNTDESQKERSGSKVSSKKDDSGRKEKEKSKRDQERDRSKSRHKYSKRDRSKSRRRDRSRSRHKRESRDHSRSRRRDRSRSRRRERSRSRKHDRSRSCRRDSTRSSHRSRSRSRKRRERDRAREKEREEEERRRKREREEREREREKEREEREQKEKKLREDRDPEETRKRLKEKLEEVTAKIKAYGMHQKGMLHDDVRSQADGVILFAGCVECVEMQKEQAAFSEITSTTSRLLEREEKLQEVLKMMGQAEEVKGESGLEEIQQLLVDIKDTLVQIKEENKGTPGAAGSSQQNQSLALRAHGRRIRREVEALLSQLYRLFDREYGVKMFRSSKEYQKRLNEDHIKECPGLDSRTNTFLEAPCFGCLYYKCVSEHDWRVNSRKEDPALNRDRGMLEDLLQAASIM
+>sp|Q5TA79|LCE2A_HUMAN Late cornified envelope protein 2A OS=Homo sapiens OX=9606 GN=LCE2A PE=1 SV=1
+MSCQQNQQQCQPPPKCPPKCPPKCPPKCRPQCPAPCPPPVSSCCGPSSGGCCGSSSGGCCSSGGGGCCLSHHRPRLFHRHRHQSPDCCECEPSGGSGCCHSSGDCC
+>DECOY_sp|Q5TA79|LCE2A_HUMAN Late cornified envelope protein 2A OS=Homo sapiens OX=9606 GN=LCE2A PE=1 SV=1
+CCDGSSHCCGSGGSPECECCDPSQHRHRHFLRPRHHSLCCGGGGSSCCGGSSSGCCGGSSPGCCSSVPPPCPAPCQPRCKPPCKPPCKPPCKPPPQCQQQNQQCSM
+>sp|Q5TA77|LCE3B_HUMAN Late cornified envelope protein 3B OS=Homo sapiens OX=9606 GN=LCE3B PE=1 SV=1
+MSCQQNQQQCQPLPKCPSPKCPPKSSAQCLPPASSCCAPRPGCCGGPSSEGGCCLSHHRCCRSHRCRRQSSNSCDRGSGQQDGASDCGYGSGGCC
+>DECOY_sp|Q5TA77|LCE3B_HUMAN Late cornified envelope protein 3B OS=Homo sapiens OX=9606 GN=LCE3B PE=1 SV=1
+CCGGSGYGCDSAGDQQGSGRDCSNSSQRRCRHSRCCRHHSLCCGGESSPGGCCGPRPACCSSAPPLCQASSKPPCKPSPCKPLPQCQQQNQQCSM
+>sp|Q5TCM9|LCE5A_HUMAN Late cornified envelope protein 5A OS=Homo sapiens OX=9606 GN=LCE5A PE=1 SV=1
+MSCQQSQQQCQPPPKCTPKCPPKCTPKCPPKCPPKCPPQCSAPCPPPVSSCCGSSSGGCCSSEGGGCCLSHHRPRQSLRRRPQSSSCCGSGSGQQSGGSSCCHSSGGSGCCHSSGGCC
+>DECOY_sp|Q5TCM9|LCE5A_HUMAN Late cornified envelope protein 5A OS=Homo sapiens OX=9606 GN=LCE5A PE=1 SV=1
+CCGGSSHCCGSGGSSHCCSSGGSQQGSGSGCCSSSQPRRRLSQRPRHHSLCCGGGESSCCGGSSSGCCSSVPPPCPASCQPPCKPPCKPPCKPTCKPPCKPTCKPPPQCQQQSQQCSM
+>sp|P06239|LCK_HUMAN Tyrosine-protein kinase Lck OS=Homo sapiens OX=9606 GN=LCK PE=1 SV=6
+MGCGCSSHPEDDWMENIDVCENCHYPIVPLDGKGTLLIRNGSEVRDPLVTYEGSNPPASPLQDNLVIALHSYEPSHDGDLGFEKGEQLRILEQSGEWWKAQSLTTGQEGFIPFNFVAKANSLEPEPWFFKNLSRKDAERQLLAPGNTHGSFLIRESESTAGSFSLSVRDFDQNQGEVVKHYKIRNLDNGGFYISPRITFPGLHELVRHYTNASDGLCTRLSRPCQTQKPQKPWWEDEWEVPRETLKLVERLGAGQFGEVWMGYYNGHTKVAVKSLKQGSMSPDAFLAEANLMKQLQHQRLVRLYAVVTQEPIYIITEYMENGSLVDFLKTPSGIKLTINKLLDMAAQIAEGMAFIEERNYIHRDLRAANILVSDTLSCKIADFGLARLIEDNEYTAREGAKFPIKWTAPEAINYGTFTIKSDVWSFGILLTEIVTHGRIPYPGMTNPEVIQNLERGYRMVRPDNCPEELYQLMRLCWKERPEDRPTFDYLRSVLEDFFTATEGQYQPQP
+>DECOY_sp|P06239|LCK_HUMAN Tyrosine-protein kinase Lck OS=Homo sapiens OX=9606 GN=LCK PE=1 SV=6
+PQPQYQGETATFFDELVSRLYDFTPRDEPREKWCLRMLQYLEEPCNDPRVMRYGRELNQIVEPNTMGPYPIRGHTVIETLLIGFSWVDSKITFTGYNIAEPATWKIPFKAGERATYENDEILRALGFDAIKCSLTDSVLINAARLDRHIYNREEIFAMGEAIQAAMDLLKNITLKIGSPTKLFDVLSGNEMYETIIYIPEQTVVAYLRVLRQHQLQKMLNAEALFADPSMSGQKLSKVAVKTHGNYYGMWVEGFQGAGLREVLKLTERPVEWEDEWWPKQPKQTQCPRSLRTCLGDSANTYHRVLEHLGPFTIRPSIYFGGNDLNRIKYHKVVEGQNQDFDRVSLSFSGATSESERILFSGHTNGPALLQREADKRSLNKFFWPEPELSNAKAVFNFPIFGEQGTTLSQAKWWEGSQELIRLQEGKEFGLDGDHSPEYSHLAIVLNDQLPSAPPNSGEYTVLPDRVESGNRILLTGKGDLPVIPYHCNECVDINEMWDDEPHSSCGCGM
+>sp|Q6JVE6|LCN10_HUMAN Epididymal-specific lipocalin-10 OS=Homo sapiens OX=9606 GN=LCN10 PE=1 SV=1
+MRQGLLVLALVLVLVLVLAAGSQVQEWYPRESHALNWNKFSGFWYILATATDAQGFLPARDKRKLGASVVKVNKVGQLRVLLAFRRGQGCGRAQPRHPGTSGHLWASLSVKGVKAFHVLSTDYSYGLVYLRLGRATQNYKNLLLFHRQNVSSFQSLKEFMDACDILGLSKAAVILPKDASRTHTILP
+>DECOY_sp|Q6JVE6|LCN10_HUMAN Epididymal-specific lipocalin-10 OS=Homo sapiens OX=9606 GN=LCN10 PE=1 SV=1
+PLITHTRSADKPLIVAAKSLGLIDCADMFEKLSQFSSVNQRHFLLLNKYNQTARGLRLYVLGYSYDTSLVHFAKVGKVSLSAWLHGSTGPHRPQARGCGQGRRFALLVRLQGVKNVKVVSAGLKRKDRAPLFGQADTATALIYWFGSFKNWNLAHSERPYWEQVQSGAALVLVLVLVLALVLLGQRM
+>sp|P31025|LCN1_HUMAN Lipocalin-1 OS=Homo sapiens OX=9606 GN=LCN1 PE=1 SV=1
+MKPLLLAVSLGLIAALQAHHLLASDEEIQDVSGTWYLKAMTVDREFPEMNLESVTPMTLTTLEGGNLEAKVTMLISGRCQEVKAVLEKTDEPGKYTADGGKHVAYIIRSHVKDHYIFYCEGELHGKPVRGVKLVGRDPKNNLEALEDFEKAAGARGLSTESILIPRQSETCSPGSD
+>DECOY_sp|P31025|LCN1_HUMAN Lipocalin-1 OS=Homo sapiens OX=9606 GN=LCN1 PE=1 SV=1
+DSGPSCTESQRPILISETSLGRAGAAKEFDELAELNNKPDRGVLKVGRVPKGHLEGECYFIYHDKVHSRIIYAVHKGGDATYKGPEDTKELVAKVEQCRGSILMTVKAELNGGELTTLTMPTVSELNMEPFERDVTMAKLYWTGSVDQIEEDSALLHHAQLAAILGLSVALLLPKM
+>sp|Q6ZMR3|LDH6A_HUMAN L-lactate dehydrogenase A-like 6A OS=Homo sapiens OX=9606 GN=LDHAL6A PE=2 SV=1
+MATIKSELIKNFAEEEAIHHNKISIVGTGSVGVACAISILLKGLSDELVLVDVDEGKLKGETMDLQHGSPFMKMPNIVSSKDYLVTANSNLVIITAGARQKKGETRLDLVQRNVSIFKLMIPNITQYSPHCKLLIVTNPVDILTYVAWKLSGFPKNRVIGSGCNLDSARFRYFIGQRLGIHSESCHGLILGEHGDSSVPVWSGVNIAGVPLKDLNPDIGTDKDPEQWENVHKKVISSGYEMVKMKGYTSWGISLSVADLTESILKNLRRVHPVSTLSKGLYGINEDIFLSVPCILGENGITDLIKVKLTLEEEACLQKSAETLWEIQKELKL
+>DECOY_sp|Q6ZMR3|LDH6A_HUMAN L-lactate dehydrogenase A-like 6A OS=Homo sapiens OX=9606 GN=LDHAL6A PE=2 SV=1
+LKLEKQIEWLTEASKQLCAEEELTLKVKILDTIGNEGLICPVSLFIDENIGYLGKSLTSVPHVRRLNKLISETLDAVSLSIGWSTYGKMKVMEYGSSIVKKHVNEWQEPDKDTGIDPNLDKLPVGAINVGSWVPVSSDGHEGLILGHCSESHIGLRQGIFYRFRASDLNCGSGIVRNKPFGSLKWAVYTLIDVPNTVILLKCHPSYQTINPIMLKFISVNRQVLDLRTEGKKQRAGATIIVLNSNATVLYDKSSVINPMKMFPSGHQLDMTEGKLKGEDVDVLVLEDSLGKLLISIACAVGVSGTGVISIKNHHIAEEEAFNKILESKITAM
+>sp|P00338|LDHA_HUMAN L-lactate dehydrogenase A chain OS=Homo sapiens OX=9606 GN=LDHA PE=1 SV=2
+MATLKDQLIYNLLKEEQTPQNKITVVGVGAVGMACAISILMKDLADELALVDVIEDKLKGEMMDLQHGSLFLRTPKIVSGKDYNVTANSKLVIITAGARQQEGESRLNLVQRNVNIFKFIIPNVVKYSPNCKLLIVSNPVDILTYVAWKISGFPKNRVIGSGCNLDSARFRYLMGERLGVHPLSCHGWVLGEHGDSSVPVWSGMNVAGVSLKTLHPDLGTDKDKEQWKEVHKQVVESAYEVIKLKGYTSWAIGLSVADLAESIMKNLRRVHPVSTMIKGLYGIKDDVFLSVPCILGQNGISDLVKVTLTSEEEARLKKSADTLWGIQKELQF
+>DECOY_sp|P00338|LDHA_HUMAN L-lactate dehydrogenase A chain OS=Homo sapiens OX=9606 GN=LDHA PE=1 SV=2
+FQLEKQIGWLTDASKKLRAEEESTLTVKVLDSIGNQGLICPVSLFVDDKIGYLGKIMTSVPHVRRLNKMISEALDAVSLGIAWSTYGKLKIVEYASEVVQKHVEKWQEKDKDTGLDPHLTKLSVGAVNMGSWVPVSSDGHEGLVWGHCSLPHVGLREGMLYRFRASDLNCGSGIVRNKPFGSIKWAVYTLIDVPNSVILLKCNPSYKVVNPIIFKFINVNRQVLNLRSEGEQQRAGATIIVLKSNATVNYDKGSVIKPTRLFLSGHQLDMMEGKLKDEIVDVLALEDALDKMLISIACAMGVAGVGVVTIKNQPTQEEKLLNYILQDKLTAM
+>sp|Q86WU2|LDHD_HUMAN Probable D-lactate dehydrogenase, mitochondrial OS=Homo sapiens OX=9606 GN=LDHD PE=1 SV=1
+MARLLRSATWELFPWRGYCSQKAKGELCRDFVEALKAVVGGSHVSTAAVVREQHGRDESVHRCEPPDAVVWPQNVEQVSRLAALCYRQGVPIIPFGTGTGLEGGVCAVQGGVCVNLTHMDRILELNQEDFSVVVEPGVTRKALNAHLRDSGLWFPVDPGADASLCGMAATGASGTNAVRYGTMRDNVLNLEVVLPDGRLLHTAGRGRHFRFGFWPEIPHHTAWYSPCVSLGRRKSAAGYNLTGLFVGSEGTLGLITATTLRLHPAPEATVAATCAFPSVQAAVDSTVHILQAAVPVARIEFLDEVMMDACNRYSKLNCLVAPTLFLEFHGSQQALEEQLQRTEEIVQQNGASDFSWAKEAEERSRLWTARHNAWYAALATRPGCKGYSTDVCVPISRLPEIVVQTKEDLNASGLTGSIVGHVGDGNFHCILLVNPDDAEELGRVKAFAEQLGRRALALHGTCTGEHGIGMGKRQLLQEEVGAVGVETMRQLKAVLDPQGLMNPGKVL
+>DECOY_sp|Q86WU2|LDHD_HUMAN Probable D-lactate dehydrogenase, mitochondrial OS=Homo sapiens OX=9606 GN=LDHD PE=1 SV=1
+LVKGPNMLGQPDLVAKLQRMTEVGVAGVEEQLLQRKGMGIGHEGTCTGHLALARRGLQEAFAKVRGLEEADDPNVLLICHFNGDGVHGVISGTLGSANLDEKTQVVIEPLRSIPVCVDTSYGKCGPRTALAAYWANHRATWLRSREEAEKAWSFDSAGNQQVIEETRQLQEELAQQSGHFELFLTPAVLCNLKSYRNCADMMVEDLFEIRAVPVAAQLIHVTSDVAAQVSPFACTAAVTAEPAPHLRLTTATILGLTGESGVFLGTLNYGAASKRRGLSVCPSYWATHHPIEPWFGFRFHRGRGATHLLRGDPLVVELNLVNDRMTGYRVANTGSAGTAAMGCLSADAGPDVPFWLGSDRLHANLAKRTVGPEVVVSFDEQNLELIRDMHTLNVCVGGQVACVGGELGTGTGFPIIPVGQRYCLAALRSVQEVNQPWVVADPPECRHVSEDRGHQERVVAATSVHSGGVVAKLAEVFDRCLEGKAKQSCYGRWPFLEWTASRLLRAM
+>sp|Q2VYF4|LETM2_HUMAN LETM1 domain-containing protein LETM2, mitochondrial OS=Homo sapiens OX=9606 GN=LETM2 PE=2 SV=2
+MAFYSYNSVLAIARTRFPSHFVHPTCSSYSPSCAFLHLPDSHLNKTCMKNYESKKYSDPSQPGNTVLHPGTRLIQKLHTSTCWLQEVPGKPQLEQATKHPQVTSPQATKETGMEIKEGKQSYRQKIMDELKYYYNGFYLLWIDAKVAARMVWRLLHGQVLTRRERRRLLRTCVDFFRLVPFMVFLIVPFMEFLLPVFLKLFPEMLPSTFESESKKEEKQKKKMAVKLELAKFLQETMTEMARRNRAKMGDASTQLSSYVKQVQTGHKPSTKEIVRFSKLFEDQLALEHLDRPQLVALCKLLELQTFGTNNLLRFQLLMKLKSIKADDEIIAKEGVTALSVSELQAACRARGMRSLGLTEEQLRQQLTEWQDLHLKENVPPSLLLLSRTFYLIDVKPKPIEIPLSGEAPKTDILVELPTFTESKENMVDLAPQLKGTKDEDFIQPPPVTSSPITPSTPISLPKGPITSSEEPTLQAKSQMTAQNSKASSKGA
+>DECOY_sp|Q2VYF4|LETM2_HUMAN LETM1 domain-containing protein LETM2, mitochondrial OS=Homo sapiens OX=9606 GN=LETM2 PE=2 SV=2
+AGKSSAKSNQATMQSKAQLTPEESSTIPGKPLSIPTSPTIPSSTVPPPQIFDEDKTGKLQPALDVMNEKSETFTPLEVLIDTKPAEGSLPIEIPKPKVDILYFTRSLLLLSPPVNEKLHLDQWETLQQRLQEETLGLSRMGRARCAAQLESVSLATVGEKAIIEDDAKISKLKMLLQFRLLNNTGFTQLELLKCLAVLQPRDLHELALQDEFLKSFRVIEKTSPKHGTQVQKVYSSLQTSADGMKARNRRAMETMTEQLFKALELKVAMKKKQKEEKKSESEFTSPLMEPFLKLFVPLLFEMFPVILFVMFPVLRFFDVCTRLLRRRERRTLVQGHLLRWVMRAAVKADIWLLYFGNYYYKLEDMIKQRYSQKGEKIEMGTEKTAQPSTVQPHKTAQELQPKGPVEQLWCTSTHLKQILRTGPHLVTNGPQSPDSYKKSEYNKMCTKNLHSDPLHLFACSPSYSSCTPHVFHSPFRTRAIALVSNYSYFAM
+>sp|Q969G2|LHX4_HUMAN LIM/homeobox protein Lhx4 OS=Homo sapiens OX=9606 GN=LHX4 PE=1 SV=2
+MMQSATVPAEGAVKGLPEMLGVPMQQIPQCAGCNQHILDKFILKVLDRHWHSSCLKCADCQMQLADRCFSRAGSVYCKEDFFKRFGTKCTACQQGIPPTQVVRKAQDFVYHLHCFACIICNRQLATGDEFYLMEDGRLVCKEDYETAKQNDDSEAGAKRPRTTITAKQLETLKNAYKNSPKPARHVREQLSSETGLDMRVVQVWFQNRRAKEKRLKKDAGRHRWGQFYKSVKRSRGSSKQEKESSAEDCGVSDSELSFREDQILSELGHTNRIYGNVGDVTGGQLMNGSFSMDGTGQSYQDLRDGSPYGIPQSPSSISSLPSHAPLLNGLDYTVDSNLGIIAHAGQGVSQTLRAMAGGPTSDISTGSSVGYPDFPTSPGSWLDEMDHPPF
+>DECOY_sp|Q969G2|LHX4_HUMAN LIM/homeobox protein Lhx4 OS=Homo sapiens OX=9606 GN=LHX4 PE=1 SV=2
+FPPHDMEDLWSGPSTPFDPYGVSSGTSIDSTPGGAMARLTQSVGQGAHAIIGLNSDVTYDLGNLLPAHSPLSSISSPSQPIGYPSGDRLDQYSQGTGDMSFSGNMLQGGTVDGVNGYIRNTHGLESLIQDERFSLESDSVGCDEASSEKEQKSSGRSRKVSKYFQGWRHRGADKKLRKEKARRNQFWVQVVRMDLGTESSLQERVHRAPKPSNKYANKLTELQKATITTRPRKAGAESDDNQKATEYDEKCVLRGDEMLYFEDGTALQRNCIICAFCHLHYVFDQAKRVVQTPPIGQQCATCKTGFRKFFDEKCYVSGARSFCRDALQMQCDACKLCSSHWHRDLVKLIFKDLIHQNCGACQPIQQMPVGLMEPLGKVAGEAPVTASQMM
+>sp|Q68G74|LHX8_HUMAN LIM/homeobox protein Lhx8 OS=Homo sapiens OX=9606 GN=LHX8 PE=1 SV=2
+MQILSRCQGLMSEECGRTTALAAGRTRKGAGEEGLVSPEGAGDEDSCSSSAPLSPSSSPRSMASGSGCPPGKCVCNSCGLEIVDKYLLKVNDLCWHVRCLSCSVCRTSLGRHTSCYIKDKDIFCKLDYFRRYGTRCSRCGRHIHSTDWVRRAKGNVYHLACFACFSCKRQLSTGEEFALVEEKVLCRVHYDCMLDNLKREVENGNGISVEGALLTEQDVNHPKPAKRARTSFTADQLQVMQAQFAQDNNPDAQTLQKLAERTGLSRRVIQVWFQNCRARHKKHVSPNHSSSTPVTAVPPSRLSPPMLEEMAYSAYVPQDGTMLTALHSYMDAHSPTTLGLQPLLPHSMTQLPISHT
+>DECOY_sp|Q68G74|LHX8_HUMAN LIM/homeobox protein Lhx8 OS=Homo sapiens OX=9606 GN=LHX8 PE=1 SV=2
+THSIPLQTMSHPLLPQLGLTTPSHADMYSHLATLMTGDQPVYASYAMEELMPPSLRSPPVATVPTSSSHNPSVHKKHRARCNQFWVQIVRRSLGTREALKQLTQADPNNDQAFQAQMVQLQDATFSTRARKAPKPHNVDQETLLAGEVSIGNGNEVERKLNDLMCDYHVRCLVKEEVLAFEEGTSLQRKCSFCAFCALHYVNGKARRVWDTSHIHRGCRSCRTGYRRFYDLKCFIDKDKIYCSTHRGLSTRCVSCSLCRVHWCLDNVKLLYKDVIELGCSNCVCKGPPCGSGSAMSRPSSSPSLPASSSCSDEDGAGEPSVLGEEGAGKRTRGAALATTRGCEESMLGQCRSLIQM
+>sp|Q8ND30|LIPB2_HUMAN Liprin-beta-2 OS=Homo sapiens OX=9606 GN=PPFIBP2 PE=1 SV=3
+MASDASHALEAALEQMDGIIAGTKTGADLSDGTCEPGLASPASYMNPFPVLHLIEDLRLALEMLELPQERAALLSQIPGPTAAYIKEWFEESLSQVNHHSAASNETYQERLARLEGDKESLILQVSVLTDQVEAQGEKIRDLEVCLEGHQVKLNAAEEMLQQELLSRTSLETQKLDLMTEVSELKLKLVGMEKEQREQEEKQRKAEELLQELRHLKIKVEELENERNQYEWKLKATKAEVAQLQEQVALKDAEIERLHSQLSRTAALHSESHTERDQEIQRLKMGMETLLLANEDKDRRIEELTGLLNQYRKVKEIVMVTQGPSERTLSINEEEPEGGFSKWNATNKDPEELFKQEMPPRCSSPTVGPPPLPQKSLETRAQKKLSCSLEDLRSESVDKCMDGNQPFPVLEPKDSPFLAEHKYPTLPGKLSGATPNGEAAKSPPTICQPDATGSSLLRLRDTESGWDDTAVVNDLSSTSSGTESGPQSPLTPDGKRNPKGIKKFWGKIRRTQSGNFYTDTLGMAEFRRGGLRATAGPRLSRTRDSKGQKSDANAPFAQWSTERVCAWLEDFGLAQYVIFARQWVSSGHTLLTATPQDMEKELGIKHPLHRKKLVLAVKAINTKQEEKSALLDHIWVTRWLDDIGLPQYKDQFHESRVDRRMLQYLTVNDLLFLKVTSQLHHLSIKCAIHVLHVNKFNPHCLHRRPADESNLSPSEVVQWSNHRVMEWLRSVDLAEYAPNLRGSGVHGGLIILEPRFTGDTLAMLLNIPPQKTLLRRHLTTKFNALIGPEAEQEKREKMASPAYTPLTTTAKVRPRKLGFSHFGNIRKKKFDESTDYICPMEPSDGVSDSHRVYSGYRGLSPLDAPELDGLDQVGQIS
+>DECOY_sp|Q8ND30|LIPB2_HUMAN Liprin-beta-2 OS=Homo sapiens OX=9606 GN=PPFIBP2 PE=1 SV=3
+SIQGVQDLGDLEPADLPSLGRYGSYVRHSDSVGDSPEMPCIYDTSEDFKKKRINGFHSFGLKRPRVKATTTLPTYAPSAMKERKEQEAEPGILANFKTTLHRRLLTKQPPINLLMALTDGTFRPELIILGGHVGSGRLNPAYEALDVSRLWEMVRHNSWQVVESPSLNSEDAPRRHLCHPNFKNVHLVHIACKISLHHLQSTVKLFLLDNVTLYQLMRRDVRSEHFQDKYQPLGIDDLWRTVWIHDLLASKEEQKTNIAKVALVLKKRHLPHKIGLEKEMDQPTATLLTHGSSVWQRAFIVYQALGFDELWACVRETSWQAFPANADSKQGKSDRTRSLRPGATARLGGRRFEAMGLTDTYFNGSQTRRIKGWFKKIGKPNRKGDPTLPSQPGSETGSSTSSLDNVVATDDWGSETDRLRLLSSGTADPQCITPPSKAAEGNPTAGSLKGPLTPYKHEALFPSDKPELVPFPQNGDMCKDVSESRLDELSCSLKKQARTELSKQPLPPPGVTPSSCRPPMEQKFLEEPDKNTANWKSFGGEPEEENISLTRESPGQTVMVIEKVKRYQNLLGTLEEIRRDKDENALLLTEMGMKLRQIEQDRETHSESHLAATRSLQSHLREIEADKLAVQEQLQAVEAKTAKLKWEYQNRENELEEVKIKLHRLEQLLEEAKRQKEEQERQEKEMGVLKLKLESVETMLDLKQTELSTRSLLEQQLMEEAANLKVQHGELCVELDRIKEGQAEVQDTLVSVQLILSEKDGELRALREQYTENSAASHHNVQSLSEEFWEKIYAATPGPIQSLLAAREQPLELMELALRLDEILHLVPFPNMYSAPSALGPECTGDSLDAGTKTGAIIGDMQELAAELAHSADSAM
+>sp|O75019|LIRA1_HUMAN Leukocyte immunoglobulin-like receptor subfamily A member 1 OS=Homo sapiens OX=9606 GN=LILRA1 PE=2 SV=1
+MTPIVTVLICLRLSLGPRTHVQAGTLPKPTLWAEPGSVITQGSPVTLWCQGILETQEYRLYREKKTAPWITRIPQEIVKKGQFPIPSITWEHTGRYRCFYGSHTAGWSEPSDPLELVVTGAYIKPTLSALPSPVVTSGGNVTLHCVSQVAFGSFILCKEGEDEHPQCLNSQPRTHGWSRAIFSVGPVSPSRRWSYRCYAYDSNSPHVWSLPSDLLELLVLGVSKKPSLSVQPGPIVAPGESLTLQCVSDVSYDRFVLYKEGERDFLQLPGPQPQAGLSQANFTLGPVSRSYGGQYRCSGAYNLSSEWSAPSDPLDILIAGQFRGRPFISVHPGPTVASGENVTLLCQSWGPFHTFLLTKAGAADAPLRLRSIHEYPKYQAEFPMSPVTSAHSGTYRCYGSLSSNPYLLSHPSDSLELMVSGAAETLSPPQNKSDSKAGAANTLSPSQNKTASHPQDYTVENLIRMGIAGLVLVVLGILLFEAQHSQRSL
+>DECOY_sp|O75019|LIRA1_HUMAN Leukocyte immunoglobulin-like receptor subfamily A member 1 OS=Homo sapiens OX=9606 GN=LILRA1 PE=2 SV=1
+LSRQSHQAEFLLIGLVVLVLGAIGMRILNEVTYDQPHSATKNQSPSLTNAAGAKSDSKNQPPSLTEAAGSVMLELSDSPHSLLYPNSSLSGYCRYTGSHASTVPSMPFEAQYKPYEHISRLRLPADAAGAKTLLFTHFPGWSQCLLTVNEGSAVTPGPHVSIFPRGRFQGAILIDLPDSPASWESSLNYAGSCRYQGGYSRSVPGLTFNAQSLGAQPQPGPLQLFDREGEKYLVFRDYSVDSVCQLTLSEGPAVIPGPQVSLSPKKSVGLVLLELLDSPLSWVHPSNSDYAYCRYSWRRSPSVPGVSFIARSWGHTRPQSNLCQPHEDEGEKCLIFSGFAVQSVCHLTVNGGSTVVPSPLASLTPKIYAGTVVLELPDSPESWGATHSGYFCRYRGTHEWTISPIPFQGKKVIEQPIRTIWPATKKERYLRYEQTELIGQCWLTVPSGQTIVSGPEAWLTPKPLTGAQVHTRPGLSLRLCILVTVIPTM
+>sp|Q8N6C8|LIRA3_HUMAN Leukocyte immunoglobulin-like receptor subfamily A member 3 OS=Homo sapiens OX=9606 GN=LILRA3 PE=1 SV=3
+MTPILTVLICLGLSLDPRTHVQAGPLPKPTLWAEPGSVITQGSPVTLRCQGSLETQEYHLYREKKTALWITRIPQELVKKGQFPILSITWEHAGRYCCIYGSHTAGLSESSDPLELVVTGAYSKPTLSALPSPVVTSGGNVTIQCDSQVAFDGFILCKEGEDEHPQCLNSHSHARGSSRAIFSVGPVSPSRRWSYRCYGYDSRAPYVWSLPSDLLGLLVPGVSKKPSLSVQPGPVVAPGEKLTFQCGSDAGYDRFVLYKEWGRDFLQRPGRQPQAGLSQANFTLGPVSRSYGGQYTCSGAYNLSSEWSAPSDPLDILITGQIRARPFLSVRPGPTVASGENVTLLCQSQGGMHTFLLTKEGAADSPLRLKSKRQSHKYQAEFPMSPVTSAHAGTYRCYGSLSSNPYLLTHPSDPLELVVSGAAETLSPPQNKSDSKAGE
+>DECOY_sp|Q8N6C8|LIRA3_HUMAN Leukocyte immunoglobulin-like receptor subfamily A member 3 OS=Homo sapiens OX=9606 GN=LILRA3 PE=1 SV=3
+EGAKSDSKNQPPSLTEAAGSVVLELPDSPHTLLYPNSSLSGYCRYTGAHASTVPSMPFEAQYKHSQRKSKLRLPSDAAGEKTLLFTHMGGQSQCLLTVNEGSAVTPGPRVSLFPRARIQGTILIDLPDSPASWESSLNYAGSCTYQGGYSRSVPGLTFNAQSLGAQPQRGPRQLFDRGWEKYLVFRDYGADSGCQFTLKEGPAVVPGPQVSLSPKKSVGPVLLGLLDSPLSWVYPARSDYGYCRYSWRRSPSVPGVSFIARSSGRAHSHSNLCQPHEDEGEKCLIFGDFAVQSDCQITVNGGSTVVPSPLASLTPKSYAGTVVLELPDSSESLGATHSGYICCYRGAHEWTISLIPFQGKKVLEQPIRTIWLATKKERYLHYEQTELSGQCRLTVPSGQTIVSGPEAWLTPKPLPGAQVHTRPDLSLGLCILVTLIPTM
+>sp|P09960|LKHA4_HUMAN Leukotriene A-4 hydrolase OS=Homo sapiens OX=9606 GN=LTA4H PE=1 SV=2
+MPEIVDTCSLASPASVCRTKHLHLRCSVDFTRRTLTGTAALTVQSQEDNLRSLVLDTKDLTIEKVVINGQEVKYALGERQSYKGSPMEISLPIALSKNQEIVIEISFETSPKSSALQWLTPEQTSGKEHPYLFSQCQAIHCRAILPCQDTPSVKLTYTAEVSVPKELVALMSAIRDGETPDPEDPSRKIYKFIQKVPIPCYLIALVVGALESRQIGPRTLVWSEKEQVEKSAYEFSETESMLKIAEDLGGPYVWGQYDLLVLPPSFPYGGMENPCLTFVTPTLLAGDKSLSNVIAHEISHSWTGNLVTNKTWDHFWLNEGHTVYLERHICGRLFGEKFRHFNALGGWGELQNSVKTFGETHPFTKLVVDLTDIDPDVAYSSVPYEKGFALLFYLEQLLGGPEIFLGFLKAYVEKFSYKSITTDDWKDFLYSYFKDKVDVLNQVDWNAWLYSPGLPPIKPNYDMTLTNACIALSQRWITAKEDDLNSFNATDLKDLSSHQLNEFLAQTLQRAPLPLGHIKRMQEVYNFNAINNSEIRFRWLRLCIQSKWEDAIPLALKMATEQGRMKFTRPLFKDLAAFDKSHDQAVRTYQEHKASMHPVTAMLVGKDLKVD
+>DECOY_sp|P09960|LKHA4_HUMAN Leukotriene A-4 hydrolase OS=Homo sapiens OX=9606 GN=LTA4H PE=1 SV=2
+DVKLDKGVLMATVPHMSAKHEQYTRVAQDHSKDFAALDKFLPRTFKMRGQETAMKLALPIADEWKSQICLRLWRFRIESNNIANFNYVEQMRKIHGLPLPARQLTQALFENLQHSSLDKLDTANFSNLDDEKATIWRQSLAICANTLTMDYNPKIPPLGPSYLWANWDVQNLVDVKDKFYSYLFDKWDDTTISKYSFKEVYAKLFGLFIEPGGLLQELYFLLAFGKEYPVSSYAVDPDIDTLDVVLKTFPHTEGFTKVSNQLEGWGGLANFHRFKEGFLRGCIHRELYVTHGENLWFHDWTKNTVLNGTWSHSIEHAIVNSLSKDGALLTPTVFTLCPNEMGGYPFSPPLVLLDYQGWVYPGGLDEAIKLMSETESFEYASKEVQEKESWVLTRPGIQRSELAGVVLAILYCPIPVKQIFKYIKRSPDEPDPTEGDRIASMLAVLEKPVSVEATYTLKVSPTDQCPLIARCHIAQCQSFLYPHEKGSTQEPTLWQLASSKPSTEFSIEIVIEQNKSLAIPLSIEMPSGKYSQREGLAYKVEQGNIVVKEITLDKTDLVLSRLNDEQSQVTLAATGTLTRRTFDVSCRLHLHKTRCVSAPSALSCTDVIEPM
+>sp|P20700|LMNB1_HUMAN Lamin-B1 OS=Homo sapiens OX=9606 GN=LMNB1 PE=1 SV=2
+MATATPVPPRMGSRAGGPTTPLSPTRLSRLQEKEELRELNDRLAVYIDKVRSLETENSALQLQVTEREEVRGRELTGLKALYETELADARRALDDTARERAKLQIELGKCKAEHDQLLLNYAKKESDLNGAQIKLREYEAALNSKDAALATALGDKKSLEGDLEDLKDQIAQLEASLAAAKKQLADETLLKVDLENRCQSLTEDLEFRKSMYEEEINETRRKHETRLVEVDSGRQIEYEYKLAQALHEMREQHDAQVRLYKEELEQTYHAKLENARLSSEMNTSTVNSAREELMESRMRIESLSSQLSNLQKESRACLERIQELEDLLAKEKDNSRRMLTDKEREMAEIRDQMQQQLNDYEQLLDVKLALDMEISAYRKLLEGEEERLKLSPSPSSRVTVSRASSSRSVRTTRGKRKRVDVEESEASSSVSISHSASATGNVCIEEIDVDGKFIRLKNTSEQDQPMGGWEMIRKIGDTSVSYKYTSRYVLKAGQTVTIWAANAGVTASPPTDLIWKNQNSWGTGEDVKVILKNSQGEEVAQRSTVFKTTIPEEEEEEEEAAGVVVEEELFHQQGTPRASNRSCAIM
+>DECOY_sp|P20700|LMNB1_HUMAN Lamin-B1 OS=Homo sapiens OX=9606 GN=LMNB1 PE=1 SV=2
+MIACSRNSARPTGQQHFLEEEVVVGAAEEEEEEEEPITTKFVTSRQAVEEGQSNKLIVKVDEGTGWSNQNKWILDTPPSATVGANAAWITVTQGAKLVYRSTYKYSVSTDGIKRIMEWGGMPQDQESTNKLRIFKGDVDIEEICVNGTASASHSISVSSSAESEEVDVRKRKGRTTRVSRSSSARSVTVRSSPSPSLKLREEEGELLKRYASIEMDLALKVDLLQEYDNLQQQMQDRIEAMEREKDTLMRRSNDKEKALLDELEQIRELCARSEKQLNSLQSSLSEIRMRSEMLEERASNVTSTNMESSLRANELKAHYTQELEEKYLRVQADHQERMEHLAQALKYEYEIQRGSDVEVLRTEHKRRTENIEEEYMSKRFELDETLSQCRNELDVKLLTEDALQKKAAALSAELQAIQDKLDELDGELSKKDGLATALAADKSNLAAEYERLKIQAGNLDSEKKAYNLLLQDHEAKCKGLEIQLKARERATDDLARRADALETEYLAKLGTLERGRVEERETVQLQLASNETELSRVKDIYVALRDNLERLEEKEQLRSLRTPSLPTTPGGARSGMRPPVPTATAM
+>sp|P61968|LMO4_HUMAN LIM domain transcription factor LMO4 OS=Homo sapiens OX=9606 GN=LMO4 PE=1 SV=1
+MVNPGSSSQPPPVTAGSLSWKRCAGCGGKIADRFLLYAMDSYWHSRCLKCSCCQAQLGDIGTSCYTKSGMILCRNDYIRLFGNSGACSACGQSIPASELVMRAQGNVYHLKCFTCSTCRNRLVPGDRFHYINGSLFCEHDRPTALINGHLNSLQSNPLLPDQKVC
+>DECOY_sp|P61968|LMO4_HUMAN LIM domain transcription factor LMO4 OS=Homo sapiens OX=9606 GN=LMO4 PE=1 SV=1
+CVKQDPLLPNSQLSNLHGNILATPRDHECFLSGNIYHFRDGPVLRNRCTSCTFCKLHYVNGQARMVLESAPISQGCASCAGSNGFLRIYDNRCLIMGSKTYCSTGIDGLQAQCCSCKLCRSHWYSDMAYLLFRDAIKGGCGACRKWSLSGATVPPPQSSSGPNVM
+>sp|P29536|LMOD1_HUMAN Leiomodin-1 OS=Homo sapiens OX=9606 GN=LMOD1 PE=1 SV=3
+MSRVAKYRRQVSEDPDIDSLLETLSPEEMEELEKELDVVDPDGSVPVGLRQRNQTEKQSTGVYNREAMLNFCEKETKKLMQREMSMDESKQVETKTDAKNGEERGRDASKKALGPRRDSDLGKEPKRGGLKKSFSRDRDEAGGKSGEKPKEEKIIRGIDKGRVRAAVDKKEAGKDGRGEERAVATKKEEEKKGSDRNTGLSRDKDKKREEMKEVAKKEDDEKVKGERRNTDTRKEGEKMKRAGGNTDMKKEDEKVKRGTGNTDTKKDDEKVKKNEPLHEKEAKDDSKTKTPEKQTPSGPTKPSEGPAKVEEEAAPSIFDEPLERVKNNDPEMTEVNVNNSDCITNEILVRFTEALEFNTVVKLFALANTRADDHVAFAIAIMLKANKTITSLNLDSNHITGKGILAIFRALLQNNTLTELRFHNQRHICGGKTEMEIAKLLKENTTLLKLGYHFELAGPRMTVTNLLSRNMDKQRQKRLQEQRQAQEAKGEKKDLLEVPKAGAVAKGSPKPSPQPSPKPSPKNSPKKGGAPAAPPPPPPPLAPPLIMENLKNSLSPATQRKMGDKVLPAQEKNSRDQLLAAIRSSNLKQLKKVEVPKLLQ
+>DECOY_sp|P29536|LMOD1_HUMAN Leiomodin-1 OS=Homo sapiens OX=9606 GN=LMOD1 PE=1 SV=3
+QLLKPVEVKKLQKLNSSRIAALLQDRSNKEQAPLVKDGMKRQTAPSLSNKLNEMILPPALPPPPPPPAAPAGGKKPSNKPSPKPSPQPSPKPSGKAVAGAKPVELLDKKEGKAEQAQRQEQLRKQRQKDMNRSLLNTVTMRPGALEFHYGLKLLTTNEKLLKAIEMETKGGCIHRQNHFRLETLTNNQLLARFIALIGKGTIHNSDLNLSTITKNAKLMIAIAFAVHDDARTNALAFLKVVTNFELAETFRVLIENTICDSNNVNVETMEPDNNKVRELPEDFISPAAEEEVKAPGESPKTPGSPTQKEPTKTKSDDKAEKEHLPENKKVKEDDKKTDTNGTGRKVKEDEKKMDTNGGARKMKEGEKRTDTNRREGKVKEDDEKKAVEKMEERKKDKDRSLGTNRDSGKKEEEKKTAVAREEGRGDKGAEKKDVAARVRGKDIGRIIKEEKPKEGSKGGAEDRDRSFSKKLGGRKPEKGLDSDRRPGLAKKSADRGREEGNKADTKTEVQKSEDMSMERQMLKKTEKECFNLMAERNYVGTSQKETQNRQRLGVPVSGDPDVVDLEKELEEMEEPSLTELLSDIDPDESVQRRYKAVRSM
+>sp|Q6P5Q4|LMOD2_HUMAN Leiomodin-2 OS=Homo sapiens OX=9606 GN=LMOD2 PE=1 SV=2
+MSTFGYRRGLSKYESIDEDELLASLSAEELKELERELEDIEPDRNLPVGLRQKSLTEKTPTGTFSREALMAYWEKESQKLLEKERLGECGKVAEDKEESEEELIFTESNSEVSEEVYTEEEEEESQEEEEEEDSDEEERTIETAKGINGTVNYDSVNSDNSKPKIFKSQIENINLTNGSNGRNTESPAAIHPCGNPTVIEDALDKIKSNDPDTTEVNLNNIENITTQTLTRFAEALKDNTVVKTFSLANTHADDSAAMAIAEMLKVNEHITNVNVESNFITGKGILAIMRALQHNTVLTELRFHNQRHIMGSQVEMEIVKLLKENTTLLRLGYHFELPGPRMSMTSILTRNMDKQRQKRLQEQKQQEGYDGGPNLRTKVWQRGTPSSSPYVSPRHSPWSSPKLPKKVQTVRSRPLSPVATPPPPPPPPPPPPPSSQRLPPPPPPPPPPLPEKKLITRNIAEVIKQQESAQRALQNGQKKKKGKKVKKQPNSILKEIKNSLRSVQEKKMEDSSRPSTPQRSAHENLMEAIRGSSIKQLKRVEVPEALR
+>DECOY_sp|Q6P5Q4|LMOD2_HUMAN Leiomodin-2 OS=Homo sapiens OX=9606 GN=LMOD2 PE=1 SV=2
+RLAEPVEVRKLQKISSGRIAEMLNEHASRQPTSPRSSDEMKKEQVSRLSNKIEKLISNPQKKVKKGKKKKQGNQLARQASEQQKIVEAINRTILKKEPLPPPPPPPPPPLRQSSPPPPPPPPPPPPPTAVPSLPRSRVTQVKKPLKPSSWPSHRPSVYPSSSPTGRQWVKTRLNPGGDYGEQQKQEQLRKQRQKDMNRTLISTMSMRPGPLEFHYGLRLLTTNEKLLKVIEMEVQSGMIHRQNHFRLETLVTNHQLARMIALIGKGTIFNSEVNVNTIHENVKLMEAIAMAASDDAHTNALSFTKVVTNDKLAEAFRTLTQTTINEINNLNVETTDPDNSKIKDLADEIVTPNGCPHIAAPSETNRGNSGNTLNINEIQSKFIKPKSNDSNVSDYNVTGNIGKATEITREEEDSDEEEEEEQSEEEEEETYVEESVESNSETFILEEESEEKDEAVKGCEGLREKELLKQSEKEWYAMLAERSFTGTPTKETLSKQRLGVPLNRDPEIDELERELEKLEEASLSALLEDEDISEYKSLGRRYGFTSM
+>sp|O00142|KITM_HUMAN Thymidine kinase 2, mitochondrial OS=Homo sapiens OX=9606 GN=TK2 PE=1 SV=4
+MLLWPLRGWAARALRCFGPGSRGSPASGPGPRRVQRRAWPPDKEQEKEKKSVICVEGNIASGKTTCLEFFSNATDVEVLTEPVSKWRNVRGHNPLGLMYHDASRWGLTLQTYVQLTMLDRHTRPQVSSVRLMERSIHSARYIFVENLYRSGKMPEVDYVVLSEWFDWILRNMDVSVDLIVYLRTNPETCYQRLKKRCREEEKVIPLEYLEAIHHLHEEWLIKGSLFPMAAPVLVIEADHHMERMLELFEQNRDRILTPENRKHCP
+>DECOY_sp|O00142|KITM_HUMAN Thymidine kinase 2, mitochondrial OS=Homo sapiens OX=9606 GN=TK2 PE=1 SV=4
+PCHKRNEPTLIRDRNQEFLELMREMHHDAEIVLVPAAMPFLSGKILWEEHLHHIAELYELPIVKEEERCRKKLRQYCTEPNTRLYVILDVSVDMNRLIWDFWESLVVYDVEPMKGSRYLNEVFIYRASHISREMLRVSSVQPRTHRDLMTLQVYTQLTLGWRSADHYMLGLPNHGRVNRWKSVPETLVEVDTANSFFELCTTKGSAINGEVCIVSKKEKEQEKDPPWARRQVRRPGPGSAPSGRSGPGFCRLARAAWGRLPWLLM
+>sp|Q9Y2U9|KLDC2_HUMAN Kelch domain-containing protein 2 OS=Homo sapiens OX=9606 GN=KLHDC2 PE=1 SV=1
+MADGNEDLRADDLPGPAFESYESMELACPAERSGHVAVSDGRHMFVWGGYKSNQVRGLYDFYLPREELWIYNMETGRWKKINTEGDVPPSMSGSCAVCVDRVLYLFGGHHSRGNTNKFYMLDSRSTDRVLQWERIDCQGIPPSSKDKLGVWVYKNKLIFFGGYGYLPEDKVLGTFEFDETSFWNSSHPRGWNDHVHILDTETFTWSQPITTGKAPSPRAAHACATVGNRGFVFGGRYRDARMNDLHYLNLDTWEWNELIPQGICPVGRSWHSLTPVSSDHLFLFGGFTTDKQPLSDAWTYCISKNEWIQFNHPYTEKPRLWHTACASDEGEVIVFGGCANNLLVHHRAAHSNEILIFSVQPKSLVRLSLEAVICFKEMLANSWNCLPKHLLHSVNQRFGSNNTSGS
+>DECOY_sp|Q9Y2U9|KLDC2_HUMAN Kelch domain-containing protein 2 OS=Homo sapiens OX=9606 GN=KLHDC2 PE=1 SV=1
+SGSTNNSGFRQNVSHLLHKPLCNWSNALMEKFCIVAELSLRVLSKPQVSFILIENSHAARHHVLLNNACGGFVIVEGEDSACATHWLRPKETYPHNFQIWENKSICYTWADSLPQKDTTFGGFLFLHDSSVPTLSHWSRGVPCIGQPILENWEWTDLNLYHLDNMRADRYRGGFVFGRNGVTACAHAARPSPAKGTTIPQSWTFTETDLIHVHDNWGRPHSSNWFSTEDFEFTGLVKDEPLYGYGGFFILKNKYVWVGLKDKSSPPIGQCDIREWQLVRDTSRSDLMYFKNTNGRSHHGGFLYLVRDVCVACSGSMSPPVDGETNIKKWRGTEMNYIWLEERPLYFDYLGRVQNSKYGGWVFMHRGDSVAVHGSREAPCALEMSEYSEFAPGPLDDARLDENGDAM
+>sp|Q8NEP7|KLDC9_HUMAN Kelch domain-containing protein 9 OS=Homo sapiens OX=9606 GN=KLHDC9 PE=1 SV=2
+MAVAVPPGRAAGSGWAWRPVARDALLARAFHSCTELRGRFYLVGGLLAGGAREPSSDTVVFDPARGQAVRLGARGSPPRSHHDAAPVDGRWLCVVGGWDGSRRLATVTALDTERGVWEAWTGTPGDCPPAGLSSHTCTRISDRELQVAGREGGIHTQRRYGSIYTLRLDPSARTYCYKQEGCHTASRSGHCAALLQTPGPHPGHQLLLFGGCNLAEPEVAGHWSHGKIKEEPPVAPHLMEQLARLVSSGQGSQKGPHGLRHHSCSVVGPFAVLFGGETLTRARDTICNDLYIYDTRTSPPLWFHFPCADRGMKRMGHRTCLWNDQLYLVGGFGEDGRTASPQVCILDFI
+>DECOY_sp|Q8NEP7|KLDC9_HUMAN Kelch domain-containing protein 9 OS=Homo sapiens OX=9606 GN=KLHDC9 PE=1 SV=2
+IFDLICVQPSATRGDEGFGGVLYLQDNWLCTRHGMRKMGRDACPFHFWLPPSTRTDYIYLDNCITDRARTLTEGGFLVAFPGVVSCSHHRLGHPGKQSGQGSSVLRALQEMLHPAVPPEEKIKGHSWHGAVEPEALNCGGFLLLQHGPHPGPTQLLAACHGSRSATHCGEQKYCYTRASPDLRLTYISGYRRQTHIGGERGAVQLERDSIRTCTHSSLGAPPCDGPTGTWAEWVGRETDLATVTALRRSGDWGGVVCLWRGDVPAADHHSRPPSGRAGLRVAQGRAPDFVVTDSSPERAGGALLGGVLYFRGRLETCSHFARALLADRAVPRWAWGSGAARGPPVAVAM
+>sp|O14901|KLF11_HUMAN Krueppel-like factor 11 OS=Homo sapiens OX=9606 GN=KLF11 PE=1 SV=2
+MHTPDFAGPDDARAVDIMDICESILERKRHDSERSTCSILEQTDMEAVEALVCMSSWGQRSQKGDLLRIRPLTPVSDSGDVTTTVHMDAATPELPKDFHSLSTLCITPPQSPDLVEPSTRTPVSPQVTDSKACTATDVLQSSAVVARALSGGAERGLLGLEPVPSSPCRAKGTSVIRHTGESPAACFPTIQTPDCRLSDSREGEEQLLGHFETLQDTHLTDSLLSTNLVSCQPCLHKSGGLLLTDKGQQAGWPGAVQTCSPKNYENDLPRKTTPLISVSVPAPPVLCQMIPVTGQSSMLPAFLKPPPQLSVGTVRPILAQAAPAPQPVFVGPAVPQGAVMLVLPQGALPPPAPCAANVMAAGNTKLLPLAPAPVFITSSQNCVPQVDFSRRRNYVCSFPGCRKTYFKSSHLKAHLRTHTGEKPFNCSWDGCDKKFARSDELSRHRRTHTGEKKFVCPVCDRRFMRSDHLTKHARRHMTTKKIPGWQAEVGKLNRIASAESPGSPLVSMPASA
+>DECOY_sp|O14901|KLF11_HUMAN Krueppel-like factor 11 OS=Homo sapiens OX=9606 GN=KLF11 PE=1 SV=2
+ASAPMSVLPSGPSEASAIRNLKGVEAQWGPIKKTTMHRRAHKTLHDSRMFRRDCVPCVFKKEGTHTRRHRSLEDSRAFKKDCGDWSCNFPKEGTHTRLHAKLHSSKFYTKRCGPFSCVYNRRRSFDVQPVCNQSSTIFVPAPALPLLKTNGAAMVNAACPAPPPLAGQPLVLMVAGQPVAPGVFVPQPAPAAQALIPRVTGVSLQPPPKLFAPLMSSQGTVPIMQCLVPPAPVSVSILPTTKRPLDNEYNKPSCTQVAGPWGAQQGKDTLLLGGSKHLCPQCSVLNTSLLSDTLHTDQLTEFHGLLQEEGERSDSLRCDPTQITPFCAAPSEGTHRIVSTGKARCPSSPVPELGLLGREAGGSLARAVVASSQLVDTATCAKSDTVQPSVPTRTSPEVLDPSQPPTICLTSLSHFDKPLEPTAADMHVTTTVDGSDSVPTLPRIRLLDGKQSRQGWSSMCVLAEVAEMDTQELISCTSRESDHRKRELISECIDMIDVARADDPGAFDPTHM
+>sp|Q13351|KLF1_HUMAN Krueppel-like factor 1 OS=Homo sapiens OX=9606 GN=KLF1 PE=1 SV=1
+MATAETALPSISTLTALGPFPDTQDDFLKWWRSEEAQDMGPGPPDPTEPPLHVKSEDQPGEEEDDERGADATWDLDLLLTNFSGPEPGGAPQTCALAPSEASGAQYPPPPETLGAYAGGPGLVAGLLGSEDHSGWVRPALRARAPDAFVGPALAPAPAPEPKALALQPVYPGPGAGSSGGYFPRTGLSVPAASGAPYGLLSGYPAMYPAPQYQGHFQLFRGLQGPAPGPATSPSFLSCLGPGTVGTGLGGTAEDPGVIAETAPSKRGRRSWARKRQAAHTCAHPGCGKSYTKSSHLKAHLRTHTGEKPYACTWEGCGWRFARSDELTRHYRKHTGQRPFRCQLCPRAFSRSDHLALHMKRHL
+>DECOY_sp|Q13351|KLF1_HUMAN Krueppel-like factor 1 OS=Homo sapiens OX=9606 GN=KLF1 PE=1 SV=1
+LHRKMHLALHDSRSFARPCLQCRFPRQGTHKRYHRTLEDSRAFRWGCGEWTCAYPKEGTHTRLHAKLHSSKTYSKGCGPHACTHAAQRKRAWSRRGRKSPATEAIVGPDEATGGLGTGVTGPGLCSLFSPSTAPGPAPGQLGRFLQFHGQYQPAPYMAPYGSLLGYPAGSAAPVSLGTRPFYGGSSGAGPGPYVPQLALAKPEPAPAPALAPGVFADPARARLAPRVWGSHDESGLLGAVLGPGGAYAGLTEPPPPYQAGSAESPALACTQPAGGPEPGSFNTLLLDLDWTADAGREDDEEEGPQDESKVHLPPETPDPPGPGMDQAEESRWWKLFDDQTDPFPGLATLTSISPLATEATAM
+>sp|P57682|KLF3_HUMAN Krueppel-like factor 3 OS=Homo sapiens OX=9606 GN=KLF3 PE=1 SV=1
+MLMFDPVPVKQEAMDPVSVSYPSNYMESMKPNKYGVIYSTPLPEKFFQTPEGLSHGIQMEPVDLTVNKRSSPPSAGNSPSSLKFPSSHRRASPGLSMPSSSPPIKKYSPPSPGVQPFGVPLSMPPVMAAALSRHGIRSPGILPVIQPVVVQPVPFMYTSHLQQPLMVSLSEEMENSSSSMQVPVIESYEKPISQKKIKIEPGIEPQRTDYYPEEMSPPLMNSVSPPQALLQENHPSVIVQPGKRPLPVESPDTQRKRRIHRCDYDGCNKVYTKSSHLKAHRRTHTGEKPYKCTWEGCTWKFARSDELTRHFRKHTGIKPFQCPDCDRSFSRSDHLALHRKRHMLV
+>DECOY_sp|P57682|KLF3_HUMAN Krueppel-like factor 3 OS=Homo sapiens OX=9606 GN=KLF3 PE=1 SV=1
+VLMHRKRHLALHDSRSFSRDCDPCQFPKIGTHKRFHRTLEDSRAFKWTCGEWTCKYPKEGTHTRRHAKLHSSKTYVKNCGDYDCRHIRRKRQTDPSEVPLPRKGPQVIVSPHNEQLLAQPPSVSNMLPPSMEEPYYDTRQPEIGPEIKIKKQSIPKEYSEIVPVQMSSSSNEMEESLSVMLPQQLHSTYMFPVPQVVVPQIVPLIGPSRIGHRSLAAAMVPPMSLPVGFPQVGPSPPSYKKIPPSSSPMSLGPSARRHSSPFKLSSPSNGASPPSSRKNVTLDVPEMQIGHSLGEPTQFFKEPLPTSYIVGYKNPKMSEMYNSPYSVSVPDMAEQKVPVPDFMLM
+>sp|Q13887|KLF5_HUMAN Krueppel-like factor 5 OS=Homo sapiens OX=9606 GN=KLF5 PE=1 SV=2
+MATRVLSMSARLGPVPQPPAPQDEPVFAQLKPVLGAANPARDAALFPGEELKHAHHRPQAQPAPAQAPQPAQPPATGPRLPPEDLVQTRCEMEKYLTPQLPPVPIIPEHKKYRRDSASVVDQFFTDTEGLPYSINMNVFLPDITHLRTGLYKSQRPCVTHIKTEPVAIFSHQSETTAPPPAPTQALPEFTSIFSSHQTAAPEVNNIFIKQELPTPDLHLSVPTQQGHLYQLLNTPDLDMPSSTNQTAAMDTLNVSMSAAMAGLNTHTSAVPQTAVKQFQGMPPCTYTMPSQFLPQQATYFPPSPPSSEPGSPDRQAEMLQNLTPPPSYAATIASKLAIHNPNLPTTLPVNSQNIQPVRYNRRSNPDLEKRRIHYCDYPGCTKVYTKSSHLKAHLRTHTGEKPYKCTWEGCDWRFARSDELTRHYRKHTGAKPFQCGVCNRSFSRSDHLALHMKRHQN
+>DECOY_sp|Q13887|KLF5_HUMAN Krueppel-like factor 5 OS=Homo sapiens OX=9606 GN=KLF5 PE=1 SV=2
+NQHRKMHLALHDSRSFSRNCVGCQFPKAGTHKRYHRTLEDSRAFRWDCGEWTCKYPKEGTHTRLHAKLHSSKTYVKTCGPYDCYHIRRKELDPNSRRNYRVPQINQSNVPLTTPLNPNHIALKSAITAAYSPPPTLNQLMEAQRDPSGPESSPPSPPFYTAQQPLFQSPMTYTCPPMGQFQKVATQPVASTHTNLGAMAASMSVNLTDMAATQNTSSPMDLDPTNLLQYLHGQQTPVSLHLDPTPLEQKIFINNVEPAATQHSSFISTFEPLAQTPAPPPATTESQHSFIAVPETKIHTVCPRQSKYLGTRLHTIDPLFVNMNISYPLGETDTFFQDVVSASDRRYKKHEPIIPVPPLQPTLYKEMECRTQVLDEPPLRPGTAPPQAPQPAQAPAPQAQPRHHAHKLEEGPFLAADRAPNAAGLVPKLQAFVPEDQPAPPQPVPGLRASMSLVRTAM
+>sp|Q6TDP4|KLH17_HUMAN Kelch-like protein 17 OS=Homo sapiens OX=9606 GN=KLHL17 PE=2 SV=1
+MQPRSERPAGRTQSPEHGSPGPGPEAPPPPPPQPPAPEAERTRPRQARPAAPMEGAVQLLSREGHSVAHNSKRHYHDAFVAMSRMRQRGLLCDIVLHVAAKEIRAHKVVLASCSPYFHAMFTNEMSESRQTHVTLHDIDPQALDQLVQFAYTAEIVVGEGNVQTLLPAASLLQLNGVRDACCKFLLSQLDPSNCLGIRGFADAHSCSDLLKAAHRYVLQHFVDVAKTEEFMLLPLKQVLELVSSDSLNVPSEEEVYRAVLSWVKHDVDARRQHVPRLMKCVRLPLLSRDFLLGHVDAESLVRHHPDCKDLLIEALKFHLLPEQRGVLGTSRTRPRRCEGAGPVLFAVGGGSLFAIHGDCEAYDTRTDRWHVVASMSTRRARVGVAAVGNRLYAVGGYDGTSDLATVESYDPVTNTWQPEVSMGTRRSCLGVAALHGLLYSAGGYDGASCLNSAERYDPLTGTWTSVAAMSTRRRYVRVATLDGNLYAVGGYDSSSHLATVEKYEPQVNVWSPVASMLSRRSSAGVAVLEGALYVAGGNDGTSCLNSVERYSPKAGAWESVAPMNIRRSTHDLVAMDGWLYAVGGNDGSSSLNSIEKYNPRTNKWVAASCMFTRRSSVGVAVLELLNFPPPSSPTLSVSSTSL
+>DECOY_sp|Q6TDP4|KLH17_HUMAN Kelch-like protein 17 OS=Homo sapiens OX=9606 GN=KLHL17 PE=2 SV=1
+LSTSSVSLTPSSPPPFNLLELVAVGVSSRRTFMCSAAVWKNTRPNYKEISNLSSSGDNGGVAYLWGDMAVLDHTSRRINMPAVSEWAGAKPSYREVSNLCSTGDNGGAVYLAGELVAVGASSRRSLMSAVPSWVNVQPEYKEVTALHSSSDYGGVAYLNGDLTAVRVYRRRTSMAAVSTWTGTLPDYREASNLCSAGDYGGASYLLGHLAAVGLCSRRTGMSVEPQWTNTVPDYSEVTALDSTGDYGGVAYLRNGVAAVGVRARRTSMSAVVHWRDTRTDYAECDGHIAFLSGGGVAFLVPGAGECRRPRTRSTGLVGRQEPLLHFKLAEILLDKCDPHHRVLSEADVHGLLFDRSLLPLRVCKMLRPVHQRRADVDHKVWSLVARYVEEESPVNLSDSSVLELVQKLPLLMFEETKAVDVFHQLVYRHAAKLLDSCSHADAFGRIGLCNSPDLQSLLFKCCADRVGNLQLLSAAPLLTQVNGEGVVIEATYAFQVLQDLAQPDIDHLTVHTQRSESMENTFMAHFYPSCSALVVKHARIEKAAVHLVIDCLLGRQRMRSMAVFADHYHRKSNHAVSHGERSLLQVAGEMPAAPRAQRPRTREAEPAPPQPPPPPPAEPGPGPSGHEPSQTRGAPRESRPQM
+>sp|Q6TFL4|KLH24_HUMAN Kelch-like protein 24 OS=Homo sapiens OX=9606 GN=KLHL24 PE=1 SV=1
+MVLILGRRLNREDLGVRDSPATKRKVFEMDPKSLTGHEFFDFSSGSSHAENILQIFNEFRDSRLFTDVIICVEGKEFPCHRAVLSACSSYFRAMFCNDHRESREMLVEINGILAEAMECFLQYVYTGKVKITTENVQYLFETSSLFQISVLRDACAKFLEEQLDPCNCLGIQRFADTHSLKTLFTKCKNFALQTFEDVSQHEEFLELDKDELIDYICSDELVIGKEEMVFEAVMRWVYRAVDLRRPLLHELLTHVRLPLLHPNYFVQTVEVDQLIQNSPECYQLLHEARRYHILGNEMMSPRTRPRRSTGYSEVIVVVGGCERVGGFNLPYTECYDPVTGEWKSLAKLPEFTKSEYAVCALRNDILVSGGRINSRDVWIYNSQLNIWIRVASLNKGRWRHKMAVLLGKVYVVGGYDGQNRLSSVECYDSFSNRWTEVAPLKEAVSSPAVTSCVGKLFVIGGGPDDNTCSDKVQSYDPETNSWLLRAAIPIAKRCITAVSLNNLIYVAGGLTKAIYCYDPVEDYWMHVQNTFSRQENCGMSVCNGKIYILGGRRENGEATDTILCYDPATSIITGVAAMPRPVSYHGCVTIHRYNEKCFKL
+>DECOY_sp|Q6TFL4|KLH24_HUMAN Kelch-like protein 24 OS=Homo sapiens OX=9606 GN=KLHL24 PE=1 SV=1
+LKFCKENYRHITVCGHYSVPRPMAAVGTIISTAPDYCLITDTAEGNERRGGLIYIKGNCVSMGCNEQRSFTNQVHMWYDEVPDYCYIAKTLGGAVYILNNLSVATICRKAIPIAARLLWSNTEPDYSQVKDSCTNDDPGGGIVFLKGVCSTVAPSSVAEKLPAVETWRNSFSDYCEVSSLRNQGDYGGVVYVKGLLVAMKHRWRGKNLSAVRIWINLQSNYIWVDRSNIRGGSVLIDNRLACVAYESKTFEPLKALSKWEGTVPDYCETYPLNFGGVRECGGVVVIVESYGTSRRPRTRPSMMENGLIHYRRAEHLLQYCEPSNQILQDVEVTQVFYNPHLLPLRVHTLLEHLLPRRLDVARYVWRMVAEFVMEEKGIVLEDSCIYDILEDKDLELFEEHQSVDEFTQLAFNKCKTFLTKLSHTDAFRQIGLCNCPDLQEELFKACADRLVSIQFLSSTEFLYQVNETTIKVKGTYVYQLFCEMAEALIGNIEVLMERSERHDNCFMARFYSSCASLVARHCPFEKGEVCIIVDTFLRSDRFENFIQLINEAHSSGSSFDFFEHGTLSKPDMEFVKRKTAPSDRVGLDERNLRRGLILVM
+>sp|Q9H511|KLH31_HUMAN Kelch-like protein 31 OS=Homo sapiens OX=9606 GN=KLHL31 PE=2 SV=1
+MAPKKKIVKKNKGDINEMTIIVEDSPLNKLNALNGLLEGGNGLSCISSELTDASYGPNLLEGLSKMRQENFLCDLVIGTKTKSFDVHKSVMASCSEYFYNILKKDPSIQRVDLNDISPLGLATVIAYAYTGKLTLSLYTIGSIISAAVYLQIHTLVKMCSDFLIREMSVENCMYVVNIAETYSLKNAKAAAQKFIRDNFLEFAESDQFMKLTFEQINELLIDDDLQLPSEIVAFQIAMKWLEFDQKRVKYAADLLSNIRFGTISAQDLVNYVQSVPRMMQDADCHRLLVDAMNYHLLPYHQNTLQSRRTRIRGGCRVLVTVGGRPGLTEKSLSRDILYRDPENGWSKLTEMPAKSFNQCVAVMDGFLYVAGGEDQNDARNQAKHAVSNFCRYDPRFNTWIHLASMNQKRTHFSLSVFNGLVYAAGGRNAEGSLASLECYVPSTNQWQPKTPLEVARCCHASAVADGRVLVTGGYIANAYSRSVCAYDPASDSWQELPNLSTPRGWHCAVTLSDRVYVMGGSQLGPRGERVDVLTVECYSPATGQWSYAAPLQVGVSTAGVSALHGRAYLVGGWNEGEKKYKKCIQCFSPELNEWTEDDELPEATVGVSCCTLSMPNNVTRESRASSVSSVPVSI
+>DECOY_sp|Q9H511|KLH31_HUMAN Kelch-like protein 31 OS=Homo sapiens OX=9606 GN=KLHL31 PE=2 SV=1
+ISVPVSSVSSARSERTVNNPMSLTCCSVGVTAEPLEDDETWENLEPSFCQICKKYKKEGENWGGVLYARGHLASVGATSVGVQLPAAYSWQGTAPSYCEVTLVDVREGRPGLQSGGMVYVRDSLTVACHWGRPTSLNPLEQWSDSAPDYACVSRSYANAIYGGTVLVRGDAVASAHCCRAVELPTKPQWQNTSPVYCELSALSGEANRGGAAYVLGNFVSLSFHTRKQNMSALHIWTNFRPDYRCFNSVAHKAQNRADNQDEGGAVYLFGDMVAVCQNFSKAPMETLKSWGNEPDRYLIDRSLSKETLGPRGGVTVLVRCGGRIRTRRSQLTNQHYPLLHYNMADVLLRHCDADQMMRPVSQVYNVLDQASITGFRINSLLDAAYKVRKQDFELWKMAIQFAVIESPLQLDDDILLENIQEFTLKMFQDSEAFELFNDRIFKQAAAKANKLSYTEAINVVYMCNEVSMERILFDSCMKVLTHIQLYVAASIISGITYLSLTLKGTYAYAIVTALGLPSIDNLDVRQISPDKKLINYFYESCSAMVSKHVDFSKTKTGIVLDCLFNEQRMKSLGELLNPGYSADTLESSICSLGNGGELLGNLANLKNLPSDEVIITMENIDGKNKKVIKKKPAM
+>sp|Q9P2G9|KLHL8_HUMAN Kelch-like protein 8 OS=Homo sapiens OX=9606 GN=KLHL8 PE=1 SV=4
+MASDSMSSKQARNHITKGKRQQQHQQIKNRSSISDGDGEDSFIFEANEAWKDFHGSLLRFYENGELCDVTLKVGSKLISCHKLVLACVIPYFRAMFLSEMAEAKQTLIEIRDFDGDAIEDLVKFVYSSRLTLTVDNVQPLLYAACILQVELVARACCEYMKLHFHPSNCLAVRAFAESHNRIDLMDMADQYACDHFTEVVECEDFVSVSPQHLHKLLSSSDLNIENEKQVYNAAIKWLLANPQHHSKWLDETLAQVRLPLLPVDFLMGVVAKEQIVKQNLKCRDLLDEARNYHLHLSSRAVPDFEYSIRTTPRKHTAGVLFCVGGRGGSGDPFRSIECYSINKNSWFFGPEMNSRRRHVGVISVEGKVYAVGGHDGNEHLGSMEMFDPLTNKWMMKASMNTKRRGIALASLGGPIYAIGGLDDNTCFNDVERYDIESDQWSTVAPMNTPRGGVGSVALVNHVYAVGGNDGMASLSSVERYDPHLDKWIEVKEMGQRRAGNGVSKLHGCLYVVGGFDDNSPLSSVERYDPRSNKWDYVAALTTPRGGVGIATVMGKIFAVGGHNGNAYLNTVEAFDPVLNRWELVGSVSHCRAGAGVAVCSCLTSQIRDVGHGSNNVVDCM
+>DECOY_sp|Q9P2G9|KLHL8_HUMAN Kelch-like protein 8 OS=Homo sapiens OX=9606 GN=KLHL8 PE=1 SV=4
+MCDVVNNSGHGVDRIQSTLCSCVAVGAGARCHSVSGVLEWRNLVPDFAEVTNLYANGNHGGVAFIKGMVTAIGVGGRPTTLAAVYDWKNSRPDYREVSSLPSNDDFGGVVYLCGHLKSVGNGARRQGMEKVEIWKDLHPDYREVSSLSAMGDNGGVAYVHNVLAVSGVGGRPTNMPAVTSWQDSEIDYREVDNFCTNDDLGGIAYIPGGLSALAIGRRKTNMSAKMMWKNTLPDFMEMSGLHENGDHGGVAYVKGEVSIVGVHRRRSNMEPGFFWSNKNISYCEISRFPDGSGGRGGVCFLVGATHKRPTTRISYEFDPVARSSLHLHYNRAEDLLDRCKLNQKVIQEKAVVGMLFDVPLLPLRVQALTEDLWKSHHQPNALLWKIAANYVQKENEINLDSSSLLKHLHQPSVSVFDECEVVETFHDCAYQDAMDMLDIRNHSEAFARVALCNSPHFHLKMYECCARAVLEVQLICAAYLLPQVNDVTLTLRSSYVFKVLDEIADGDFDRIEILTQKAEAMESLFMARFYPIVCALVLKHCSILKSGVKLTVDCLEGNEYFRLLSGHFDKWAENAEFIFSDEGDGDSISSRNKIQQHQQQRKGKTIHNRAQKSSMSDSAM
+>sp|Q9UKR3|KLK13_HUMAN Kallikrein-13 OS=Homo sapiens OX=9606 GN=KLK13 PE=2 SV=1
+MWPLALVIASLTLALSGGVSQESSKVLNTNGTSGFLPGGYTCFPHSQPWQAALLVQGRLLCGGVLVHPKWVLTAAHCLKEGLKVYLGKHALGRVEAGEQVREVVHSIPHPEYRRSPTHLNHDHDIMLLELQSPVQLTGYIQTLPLSHNNRLTPGTTCRVSGWGTTTSPQVNYPKTLQCANIQLRSDEECRQVYPGKITDNMLCAGTKEGGKDSCEGDSGGPLVCNRTLYGIVSWGDFPCGQPDRPGVYTRVSRYVLWIRETIRKYETQQQKWLKGPQ
+>DECOY_sp|Q9UKR3|KLK13_HUMAN Kallikrein-13 OS=Homo sapiens OX=9606 GN=KLK13 PE=2 SV=1
+QPGKLWKQQQTEYKRITERIWLVYRSVRTYVGPRDPQGCPFDGWSVIGYLTRNCVLPGGSDGECSDKGGEKTGACLMNDTIKGPYVQRCEEDSRLQINACQLTKPYNVQPSTTTGWGSVRCTTGPTLRNNHSLPLTQIYGTLQVPSQLELLMIDHDHNLHTPSRRYEPHPISHVVERVQEGAEVRGLAHKGLYVKLGEKLCHAATLVWKPHVLVGGCLLRGQVLLAAQWPQSHPFCTYGGPLFGSTGNTNLVKSSEQSVGGSLALTLSAIVLALPWM
+>sp|Q9H2R5|KLK15_HUMAN Kallikrein-15 OS=Homo sapiens OX=9606 GN=KLK15 PE=1 SV=1
+MWLLLTLSFLLASTAAQDGDKLLEGDECAPHSQPWQVALYERGRFNCGASLISPHWVLSAAHCQSRFMRVRLGEHNLRKRDGPEQLRTTSRVIPHPRYEARSHRNDIMLLRLVQPARLNPQVRPAVLPTRCPHPGEACVVSGWGLVSHNEPGTAGSPRSQVSLPDTLHCANISIISDTSCDKSYPGRLTNTMVCAGAEGRGAESCEGDSGGPLVCGGILQGIVSWGDVPCDNTTKPGVYTKVCHYLEWIRETMKRN
+>DECOY_sp|Q9H2R5|KLK15_HUMAN Kallikrein-15 OS=Homo sapiens OX=9606 GN=KLK15 PE=1 SV=1
+NRKMTERIWELYHCVKTYVGPKTTNDCPVDGWSVIGQLIGGCVLPGGSDGECSEAGRGEAGACVMTNTLRGPYSKDCSTDSIISINACHLTDPLSVQSRPSGATGPENHSVLGWGSVVCAEGPHPCRTPLVAPRVQPNLRAPQVLRLLMIDNRHSRAEYRPHPIVRSTTRLQEPGDRKRLNHEGLRVRMFRSQCHAASLVWHPSILSAGCNFRGREYLAVQWPQSHPACEDGELLKDGDQAATSALLFSLTLLLWM
+>sp|P07288|KLK3_HUMAN Prostate-specific antigen OS=Homo sapiens OX=9606 GN=KLK3 PE=1 SV=2
+MWVPVVFLTLSVTWIGAAPLILSRIVGGWECEKHSQPWQVLVASRGRAVCGGVLVHPQWVLTAAHCIRNKSVILLGRHSLFHPEDTGQVFQVSHSFPHPLYDMSLLKNRFLRPGDDSSHDLMLLRLSEPAELTDAVKVMDLPTQEPALGTTCYASGWGSIEPEEFLTPKKLQCVDLHVISNDVCAQVHPQKVTKFMLCAGRWTGGKSTCSGDSGGPLVCNGVLQGITSWGSEPCALPERPSLYTKVVHYRKWIKDTIVANP
+>DECOY_sp|P07288|KLK3_HUMAN Prostate-specific antigen OS=Homo sapiens OX=9606 GN=KLK3 PE=1 SV=2
+PNAVITDKIWKRYHVVKTYLSPREPLACPESGWSTIGQLVGNCVLPGGSDGSCTSKGGTWRGACLMFKTVKQPHVQACVDNSIVHLDVCQLKKPTLFEEPEISGWGSAYCTTGLAPEQTPLDMVKVADTLEAPESLRLLMLDHSSDDGPRLFRNKLLSMDYLPHPFSHSVQFVQGTDEPHFLSHRGLLIVSKNRICHAATLVWQPHVLVGGCVARGRSAVLVQWPQSHKECEWGGVIRSLILPAAGIWTVSLTLFVVPVWM
+>sp|Q92876|KLK6_HUMAN Kallikrein-6 OS=Homo sapiens OX=9606 GN=KLK6 PE=1 SV=1
+MKKLMVVLSLIAAAWAEEQNKLVHGGPCDKTSHPYQAALYTSGHLLCGGVLIHPLWVLTAAHCKKPNLQVFLGKHNLRQRESSQEQSSVVRAVIHPDYDAASHDQDIMLLRLARPAKLSELIQPLPLERDCSANTTSCHILGWGKTADGDFPDTIQCAYIHLVSREECEHAYPGQITQNMLCAGDEKYGKDSCQGDSGGPLVCGDHLRGLVSWGNIPCGSKEKPGVYTNVCRYTNWIQKTIQAK
+>DECOY_sp|Q92876|KLK6_HUMAN Kallikrein-6 OS=Homo sapiens OX=9606 GN=KLK6 PE=1 SV=1
+KAQITKQIWNTYRCVNTYVGPKEKSGCPINGWSVLGRLHDGCVLPGGSDGQCSDKGYKEDGACLMNQTIQGPYAHECEERSVLHIYACQITDPFDGDATKGWGLIHCSTTNASCDRELPLPQILESLKAPRALRLLMIDQDHSAADYDPHIVARVVSSQEQSSERQRLNHKGLFVQLNPKKCHAATLVWLPHILVGGCLLHGSTYLAAQYPHSTKDCPGGHVLKNQEEAWAAAILSLVVMLKKM
+>sp|D3W0D1|KLRF2_HUMAN Killer cell lectin-like receptor subfamily F member 2 OS=Homo sapiens OX=9606 GN=KLRF2 PE=1 SV=1
+MENEDGYMTLSFKNRCKSKQKSKDFSLYPQYYCLLLIFGCIVILIFIMTGIDLKFWHKKMDFSQNVNVSSLSGHNYLCPNDWLLNEGKCYWFSTSFKTWKESQRDCTQLQAHLLVIQNLDELEFIQNSLKPGHFGWIGLYVTFQGNLWMWIDEHFLVPELFSVIGPTDDRSCAVITGNWVYSEDCSSTFKGICQRDAILTHNGTSGV
+>DECOY_sp|D3W0D1|KLRF2_HUMAN Killer cell lectin-like receptor subfamily F member 2 OS=Homo sapiens OX=9606 GN=KLRF2 PE=1 SV=1
+VGSTGNHTLIADRQCIGKFTSSCDESYVWNGTIVACSRDDTPGIVSFLEPVLFHEDIWMWLNGQFTVYLGIWGFHGPKLSNQIFELEDLNQIVLLHAQLQTCDRQSEKWTKFSTSFWYCKGENLLWDNPCLYNHGSLSSVNVNQSFDMKKHWFKLDIGTMIFILIVICGFILLLCYYQPYLSFDKSKQKSKCRNKFSLTMYGDENEM
+>sp|Q5SVS4|KMCP1_HUMAN Kidney mitochondrial carrier protein 1 OS=Homo sapiens OX=9606 GN=SLC25A30 PE=1 SV=1
+MSALNWKPFVYGGLASITAECGTFPIDLTKTRLQIQGQTNDAKFKEIRYRGMLHALVRIGREEGLKALYSGIAPAMLRQASYGTIKIGTYQSLKRLFIERPEDETLPINVICGILSGVISSTIANPTDVLKIRMQAQSNTIQGGMIGNFMNIYQQEGTRGLWKGVSLTAQRAAIVVGVELPVYDITKKHLILSGLMGDTVYTHFLSSFTCGLAGALASNPVDVVRTRMMNQRVLRDGRCSGYTGTLDCLLQTWKNEGFFALYKGFWPNWLRLGPWNIIFFVTYEQLKKLDL
+>DECOY_sp|Q5SVS4|KMCP1_HUMAN Kidney mitochondrial carrier protein 1 OS=Homo sapiens OX=9606 GN=SLC25A30 PE=1 SV=1
+LDLKKLQEYTVFFIINWPGLRLWNPWFGKYLAFFGENKWTQLLCDLTGTYGSCRGDRLVRQNMMRTRVVDVPNSALAGALGCTFSSLFHTYVTDGMLGSLILHKKTIDYVPLEVGVVIAARQATLSVGKWLGRTGEQQYINMFNGIMGGQITNSQAQMRIKLVDTPNAITSSIVGSLIGCIVNIPLTEDEPREIFLRKLSQYTGIKITGYSAQRLMAPAIGSYLAKLGEERGIRVLAHLMGRYRIEKFKADNTQGQIQLRTKTLDIPFTGCEATISALGGYVFPKWNLASM
+>sp|Q9Y664|KPTN_HUMAN KICSTOR complex protein kaptin OS=Homo sapiens OX=9606 GN=KPTN PE=1 SV=2
+MMGEAAVAAGPCPLREDSFTRFSSQSNVYGLAGGAGGRGELLAATLKGKVLGFRYQDLRQKIRPVAKELQFNYIPVDAEIVSIDTFNKSPPKRGLVVGITFIKDSGDKGSPFLNIYCDYEPGSEYNLDSIAQSCLNLELQFTPFQLCHAEVQVGDQLETVFLLSGNDPAIHLYKENEGLHQFEEQPVENLFPELTNLTSSVLWLDVHNFPGTSRRLSALGCQSGYVRVAHVDQRSREVLQMWSVLQDGPISRVIVFSLSAAKETKDRPLQDEYSVLVASMLEPAVVYRDLLNRGLEDQLLLPGSDQFDSVLCSLVTDVDLDGRPEVLVATYGQELLCYKYRGPESGLPEAQHGFHLLWQRSFSSPLLAMAHVDLTGDGLQELAVVSLKGVHILQHSLIQASELVLTRLRHQVEQRRRRLQGLEDGAGAGPAENAAS
+>DECOY_sp|Q9Y664|KPTN_HUMAN KICSTOR complex protein kaptin OS=Homo sapiens OX=9606 GN=KPTN PE=1 SV=2
+SAANEAPGAGAGDELGQLRRRRQEVQHRLRTLVLESAQILSHQLIHVGKLSVVALEQLGDGTLDVHAMALLPSSFSRQWLLHFGHQAEPLGSEPGRYKYCLLEQGYTAVLVEPRGDLDVDTVLSCLVSDFQDSGPLLLQDELGRNLLDRYVVAPELMSAVLVSYEDQLPRDKTEKAASLSFVIVRSIPGDQLVSWMQLVERSRQDVHAVRVYGSQCGLASLRRSTGPFNHVDLWLVSSTLNTLEPFLNEVPQEEFQHLGENEKYLHIAPDNGSLLFVTELQDGVQVEAHCLQFPTFQLELNLCSQAISDLNYESGPEYDCYINLFPSGKDGSDKIFTIGVVLGRKPPSKNFTDISVIEADVPIYNFQLEKAVPRIKQRLDQYRFGLVKGKLTAALLEGRGGAGGALGYVNSQSSFRTFSDERLPCPGAAVAAEGMM
+>sp|P59990|KR121_HUMAN Keratin-associated protein 12-1 OS=Homo sapiens OX=9606 GN=KRTAP12-1 PE=1 SV=1
+MCHTSCSSGCQPACCAPSPCQASCYIPVGCQSSVCVPVSFKPAVCVPVRCQSSVCVPVSCRPVVYAAPSCQSSGCCQPSCTSVLCRPISCSTPSCC
+>DECOY_sp|P59990|KR121_HUMAN Keratin-associated protein 12-1 OS=Homo sapiens OX=9606 GN=KRTAP12-1 PE=1 SV=1
+CCSPTSCSIPRCLVSTCSPQCCGSSQCSPAAYVVPRCSVPVCVSSQCRVPVCVAPKFSVPVCVSSQCGVPIYCSAQCPSPACCAPQCGSSCSTHCM
+>sp|P59991|KR122_HUMAN Keratin-associated protein 12-2 OS=Homo sapiens OX=9606 GN=KRTAP12-2 PE=1 SV=1
+MCHTSCSSGCQPACCAPSPCQPACCVPSSCQASCCVPVGCQSSVCVPVSFKPAVCLPVSCQSSVCVPMSFKSAVCVPVSCQSSVCVPVSCRPIVCAAPSCQSSLCVPVSCRPVVYAAPSCQSSGCCQPSCTSVLCRPISYSISSCC
+>DECOY_sp|P59991|KR122_HUMAN Keratin-associated protein 12-2 OS=Homo sapiens OX=9606 GN=KRTAP12-2 PE=1 SV=1
+CCSSISYSIPRCLVSTCSPQCCGSSQCSPAAYVVPRCSVPVCLSSQCSPAACVIPRCSVPVCVSSQCSVPVCVASKFSMPVCVSSQCSVPLCVAPKFSVPVCVSSQCGVPVCCSAQCSSPVCCAPQCPSPACCAPQCGSSCSTHCM
+>sp|Q3SY46|KR133_HUMAN Keratin-associated protein 13-3 OS=Homo sapiens OX=9606 GN=KRTAP13-3 PE=1 SV=1
+MSYNCCSRNFSSCSHGGYLHYPGSSCGSSYPSNLVYSTDLCSPSTCQLGSSLYRGCQETCWRPNSCQTLCVESSPCHTSCYYPRTHMLCNSCLTMHVGSRGFGSNSCCSLSCGSRSCSSLGCGSNGFRYLNYRIHTSPSQSYRSRFCHPIYFPPRRWFHSSCYQPFCRSGFY
+>DECOY_sp|Q3SY46|KR133_HUMAN Keratin-associated protein 13-3 OS=Homo sapiens OX=9606 GN=KRTAP13-3 PE=1 SV=1
+YFGSRCFPQYCSSHFWRRPPFYIPHCFRSRYSQSPSTHIRYNLYRFGNSGCGLSSCSRSGCSLSCCSNSGFGRSGVHMTLCSNCLMHTRPYYCSTHCPSSEVCLTQCSNPRWCTEQCGRYLSSGLQCTSPSCLDTSYVLNSPYSSGCSSGPYHLYGGHSCSSFNRSCCNYSM
+>sp|A8MTY7|KRA97_HUMAN Keratin-associated protein 9-7 OS=Homo sapiens OX=9606 GN=KRTAP9-7 PE=3 SV=1
+MTHCCSPCCQPTCCRTTCWKPTTVTTCSSTPCCQPSCCVSSCCQPCCHPTCCQNTCCRTTCCQPTCVTSCCQPSCCSTPCCQPICCGSSCCGQTSCGSSCCQPSSCAPIYCRRTCYHPTSVYLPGCLNQSCGSSCCQPCCRPACCETTCCRTTCFQPTCVTSCCQPACC
+>DECOY_sp|A8MTY7|KRA97_HUMAN Keratin-associated protein 9-7 OS=Homo sapiens OX=9606 GN=KRTAP9-7 PE=3 SV=1
+CCAPQCCSTVCTPQFCTTRCCTTECCAPRCCPQCCSSGCSQNLCGPLYVSTPHYCTRRCYIPACSSPQCCSSGCSTQGCCSSGCCIPQCCPTSCCSPQCCSTVCTPQCCTTRCCTNQCCTPHCCPQCCSSVCCSPQCCPTSSCTTVTTPKWCTTRCCTPQCCPSCCHTM
+>sp|Q9BYQ0|KRA98_HUMAN Keratin-associated protein 9-8 OS=Homo sapiens OX=9606 GN=KRTAP9-8 PE=1 SV=2
+MTHCCSPCCQPTCCRTTCWKPTTVTTCSSTPCCQPSCCVSSCCQPCCRPTCCQNTCCQPICVTSCCQPSCCSTPCCQPTCCGQTSCGSSCGQSSSCAPVYCRRTCYHPTTVCLPGCLNQSCGSNCCQPCCRPACCETTCCRTTCFQPTCVSSCCQPSCC
+>DECOY_sp|Q9BYQ0|KRA98_HUMAN Keratin-associated protein 9-8 OS=Homo sapiens OX=9606 GN=KRTAP9-8 PE=1 SV=2
+CCSPQCCSSVCTPQFCTTRCCTTECCAPRCCPQCCNSGCSQNLCGPLCVTTPHYCTRRCYVPACSSSQGCSSGCSTQGCCTPQCCPTSCCSPQCCSTVCIPQCCTNQCCTPRCCPQCCSSVCCSPQCCPTSSCTTVTTPKWCTTRCCTPQCCPSCCHTM
+>sp|Q8N9T8|KRI1_HUMAN Protein KRI1 homolog OS=Homo sapiens OX=9606 GN=KRI1 PE=1 SV=3
+MPEPRGSSQLRVNAAFAARYNRYREREELQRLKDRYGDRDSSSDSSSESDSSDERVEFDPQQERDFYKTLSLLKKKDPRIYQKDATFYNRTASSSDSEEDPEALEKQKKVRPMYLKDYERKVILEKAGKYVDEENSDGETSNHRLQETSSQSYVEEQKQLKESFRAFVEDSEDEDGAGEGGSSLLQKRAKTRQEKAQEEADYIEWLKGQKEIRNPDSLKELTHLKEYWNDPELDEGERFLRDYILNKRYEEEEEEEEDEEEMEEEEGVHGPPVQLAVDDSSDEGELFLKKQEDFEQKYNFRFEEPDSASVKTYPRSIASSVRRKDERRKEKREETRERKKREKAKKQEELKQLKNLKRKEILAKLEKLRKVTGNEMLGLEEGDLEDDFDPAQHDQLMQKCFGDEYYGAVEEEKPQFEEEEGLEDDWNWDTWDGPEQEGDWSQQELHCEDPNFNMDADYDPSQPRKKKREAPLTGKKKRKSPFAAAVGQEKPVFEPGDKTFEEYLDEYYRLDYEDIIDDLPCRFKYRTVVPCDFGLSTEEILAADDKELNRWCSLKKTCMYRSEQEELRDKRAYSQKAQNSWKKRQVFKSLCREEAETPAEATGKPQRDEAGPQRQLPALDGSLMGPESPPAQEEEAPVSPHKKPAPQKRRRAKKARLLGPTVMLGGCEFSRQRLQAFGLNPKRLHFRQLGRQRRKQQGPKNSS
+>DECOY_sp|Q8N9T8|KRI1_HUMAN Protein KRI1 homolog OS=Homo sapiens OX=9606 GN=KRI1 PE=1 SV=3
+SSNKPGQQKRRQRGLQRFHLRKPNLGFAQLRQRSFECGGLMVTPGLLRAKKARRRKQPAPKKHPSVPAEEEQAPPSEPGMLSGDLAPLQRQPGAEDRQPKGTAEAPTEAEERCLSKFVQRKKWSNQAKQSYARKDRLEEQESRYMCTKKLSCWRNLEKDDAALIEETSLGFDCPVVTRYKFRCPLDDIIDEYDLRYYEDLYEEFTKDGPEFVPKEQGVAAAFPSKRKKKGTLPAERKKKRPQSPDYDADMNFNPDECHLEQQSWDGEQEPGDWTDWNWDDELGEEEEFQPKEEEVAGYYEDGFCKQMLQDHQAPDFDDELDGEELGLMENGTVKRLKELKALIEKRKLNKLQKLEEQKKAKERKKRERTEERKEKRREDKRRVSSAISRPYTKVSASDPEEFRFNYKQEFDEQKKLFLEGEDSSDDVALQVPPGHVGEEEEMEEEDEEEEEEEEYRKNLIYDRLFREGEDLEPDNWYEKLHTLEKLSDPNRIEKQGKLWEIYDAEEQAKEQRTKARKQLLSSGGEGAGDEDESDEVFARFSEKLQKQEEVYSQSSTEQLRHNSTEGDSNEEDVYKGAKELIVKREYDKLYMPRVKKQKELAEPDEESDSSSATRNYFTADKQYIRPDKKKLLSLTKYFDREQQPDFEVREDSSDSESSSDSSSDRDGYRDKLRQLEERERYRNYRAAFAANVRLQSSGRPEPM
+>sp|Q9HA64|KT3K_HUMAN Ketosamine-3-kinase OS=Homo sapiens OX=9606 GN=FN3KRP PE=1 SV=2
+MEELLRRELGCSSVRATGHSGGGCISQGRSYDTDQGRVFVKVNPKAEARRMFEGEMASLTAILKTNTVKVPKPIKVLDAPGGGSVLVMEHMDMRHLSSHAAKLGAQLADLHLDNKKLGEMRLKEAGTVGRGGGQEERPFVARFGFDVVTCCGYLPQVNDWQEDWVVFYARQRIQPQMDMVEKESGDREALQLWSALQLKIPDLFRDLEIIPALLHGDLWGGNVAEDSSGPVIFDPASFYGHSEYELAIAGMFGGFSSSFYSAYHGKIPKAPGFEKRLQLYQLFHYLNHWNHFGSGYRGSSLNIMRNLVK
+>DECOY_sp|Q9HA64|KT3K_HUMAN Ketosamine-3-kinase OS=Homo sapiens OX=9606 GN=FN3KRP PE=1 SV=2
+KVLNRMINLSSGRYGSGFHNWHNLYHFLQYLQLRKEFGPAKPIKGHYASYFSSSFGGFMGAIALEYESHGYFSAPDFIVPGSSDEAVNGGWLDGHLLAPIIELDRFLDPIKLQLASWLQLAERDGSEKEVMDMQPQIRQRAYFVVWDEQWDNVQPLYGCCTVVDFGFRAVFPREEQGGGRGVTGAEKLRMEGLKKNDLHLDALQAGLKAAHSSLHRMDMHEMVLVSGGGPADLVKIPKPVKVTNTKLIATLSAMEGEFMRRAEAKPNVKVFVRGQDTDYSRGQSICGGGSHGTARVSSCGLERRLLEEM
+>sp|A0A0C4DH67|KV108_HUMAN Immunoglobulin kappa variable 1-8 OS=Homo sapiens OX=9606 GN=IGKV1-8 PE=3 SV=1
+MRVPAQLLGLLLLWLPGARCAIRMTQSPSSFSASTGDRVTITCRASQGISSYLAWYQQKPGKAPKLLIYAASTLQSGVPSRFSGSGSGTDFTLTISCLQSEDFATYYCQQYYSYP
+>DECOY_sp|A0A0C4DH67|KV108_HUMAN Immunoglobulin kappa variable 1-8 OS=Homo sapiens OX=9606 GN=IGKV1-8 PE=3 SV=1
+PYSYYQQCYYTAFDESQLCSITLTFDTGSGSGSFRSPVGSQLTSAAYILLKPAKGPKQQYWALYSSIGQSARCTITVRDGTSASFSSPSQTMRIACRAGPLWLLLLGLLQAPVRM
+>sp|P01599|KV117_HUMAN Immunoglobulin kappa variable 1-17 OS=Homo sapiens OX=9606 GN=IGKV1-17 PE=1 SV=2
+MDMRVPAQLLGLLLLWFPGARCDIQMTQSPSSLSASVGDRVTITCRASQGIRNDLGWYQQKPGKAPKRLIYAASSLQSGVPSRFSGSGSGTEFTLTISSLQPEDFATYYCLQHNSYP
+>DECOY_sp|P01599|KV117_HUMAN Immunoglobulin kappa variable 1-17 OS=Homo sapiens OX=9606 GN=IGKV1-17 PE=1 SV=2
+PYSNHQLCYYTAFDEPQLSSITLTFETGSGSGSFRSPVGSQLSSAAYILRKPAKGPKQQYWGLDNRIGQSARCTITVRDGVSASLSSPSQTMQIDCRAGPFWLLLLGLLQAPVRMDM
+>sp|Q16719|KYNU_HUMAN Kynureninase OS=Homo sapiens OX=9606 GN=KYNU PE=1 SV=1
+MEPSSLELPADTVQRIAAELKCHPTDERVALHLDEEDKLRHFRECFYIPKIQDLPPVDLSLVNKDENAIYFLGNSLGLQPKMVKTYLEEELDKWAKIAAYGHEVGKRPWITGDESIVGLMKDIVGANEKEIALMNALTVNLHLLMLSFFKPTPKRYKILLEAKAFPSDHYAIESQLQLHGLNIEESMRMIKPREGEETLRIEDILEVIEKEGDSIAVILFSGVHFYTGQHFNIPAITKAGQAKGCYVGFDLAHAVGNVELYLHDWGVDFACWCSYKYLNAGAGGIAGAFIHEKHAHTIKPALVGWFGHELSTRFKMDNKLQLIPGVCGFRISNPPILLVCSLHASLEIFKQATMKALRKKSVLLTGYLEYLIKHNYGKDKAATKKPVVNIITPSHVEERGCQLTITFSVPNKDVFQELEKRGVVCDKRNPNGIRVAPVPLYNSFHDVYKFTNLLTSILDSAETKN
+>DECOY_sp|Q16719|KYNU_HUMAN Kynureninase OS=Homo sapiens OX=9606 GN=KYNU PE=1 SV=1
+NKTEASDLISTLLNTFKYVDHFSNYLPVPAVRIGNPNRKDCVVGRKELEQFVDKNPVSFTITLQCGREEVHSPTIINVVPKKTAAKDKGYNHKILYELYGTLLVSKKRLAKMTAQKFIELSAHLSCVLLIPPNSIRFGCVGPILQLKNDMKFRTSLEHGFWGVLAPKITHAHKEHIFAGAIGGAGANLYKYSCWCAFDVGWDHLYLEVNGVAHALDFGVYCGKAQGAKTIAPINFHQGTYFHVGSFLIVAISDGEKEIVELIDEIRLTEEGERPKIMRMSEEINLGHLQLQSEIAYHDSPFAKAELLIKYRKPTPKFFSLMLLHLNVTLANMLAIEKENAGVIDKMLGVISEDGTIWPRKGVEHGYAAIKAWKDLEEELYTKVMKPQLGLSNGLFYIANEDKNVLSLDVPPLDQIKPIYFCERFHRLKDEEDLHLAVREDTPHCKLEAAIRQVTDAPLELSSPEM
+>sp|Q9UNZ5|L10K_HUMAN Leydig cell tumor 10 kDa protein homolog OS=Homo sapiens OX=9606 GN=C19orf53 PE=1 SV=1
+MAQGQRKFQAHKPAKSKTAAAASEKNRGPRKGGRVIAPKKARVVQQQKLKKNLEVGIRKKIEHDVVMKASSSLPKKLALLKAPAKKKGAAAATSSKTPS
+>DECOY_sp|Q9UNZ5|L10K_HUMAN Leydig cell tumor 10 kDa protein homolog OS=Homo sapiens OX=9606 GN=C19orf53 PE=1 SV=1
+SPTKSSTAAAAGKKKAPAKLLALKKPLSSSAKMVVDHEIKKRIGVELNKKLKQQQVVRAKKPAIVRGGKRPGRNKESAAAATKSKAPKHAQFKRQGQAM
+>sp|Q9H9P8|L2HDH_HUMAN L-2-hydroxyglutarate dehydrogenase, mitochondrial OS=Homo sapiens OX=9606 GN=L2HGDH PE=1 SV=3
+MVPALRYLVGACGRARGLFAGGSPGACGFASGRPRPLCGGSRSASTSSFDIVIVGGGIVGLASARALILRHPSLSIGVLEKEKDLAVHQTGHNSGVIHSGIYYKPESLKAKLCVQGAALLYEYCQQKGISYKQCGKLIVAVEQEEIPRLQALYEKGLQNGVPGLRLIQQEDIKKKEPYCRGLMAIDCPHTGIVDYRQVALSFAQDFQEAGGSVLTNFEVKGIEMAKESPSRSIDGMQYPIVIKNTKGEEIRCQYVVTCAGLYSDRISELSGCTPDPRIVPFRGDYLLLKPEKCYLVKGNIYPVPDSRFPFLGVHFTPRMDGSIWLGPNAVLAFKREGYRPFDFSATDVMDIIINSGLIKLASQNFSYGVTEMYKACFLGATVKYLQKFIPEITISDILRGPAGVRAQALDRDGNLVEDFVFDAGVGDIGNRILHVRNAPSPAATSSIAISGMIADEVQQRFEL
+>DECOY_sp|Q9H9P8|L2HDH_HUMAN L-2-hydroxyglutarate dehydrogenase, mitochondrial OS=Homo sapiens OX=9606 GN=L2HGDH PE=1 SV=3
+LEFRQQVEDAIMGSIAISSTAAPSPANRVHLIRNGIDGVGADFVFDEVLNGDRDLAQARVGAPGRLIDSITIEPIFKQLYKVTAGLFCAKYMETVGYSFNQSALKILGSNIIIDMVDTASFDFPRYGERKFALVANPGLWISGDMRPTFHVGLFPFRSDPVPYINGKVLYCKEPKLLLYDGRFPVIRPDPTCGSLESIRDSYLGACTVVYQCRIEEGKTNKIVIPYQMGDISRSPSEKAMEIGKVEFNTLVSGGAEQFDQAFSLAVQRYDVIGTHPCDIAMLGRCYPEKKKIDEQQILRLGPVGNQLGKEYLAQLRPIEEQEVAVILKGCQKYSIGKQQCYEYLLAAGQVCLKAKLSEPKYYIGSHIVGSNHGTQHVALDKEKELVGISLSPHRLILARASALGVIGGGVIVIDFSSTSASRSGGCLPRPRGSAFGCAGPSGGAFLGRARGCAGVLYRLAPVM
+>sp|Q49AS3|L37A5_HUMAN Putative protein LRRC37A5P OS=Homo sapiens OX=9606 GN=LRRC37A5P PE=5 SV=3
+MNRNILEEMLQYLLIDWIVGDQFEIQLNQQLWSLIPNNDVRRLVSHVIRTLKTDCTETHLQLACAKLISRTGLLMKLLSEQQELRTVSMTAWKPRMNRKSRSRMRS
+>DECOY_sp|Q49AS3|L37A5_HUMAN Putative protein LRRC37A5P OS=Homo sapiens OX=9606 GN=LRRC37A5P PE=5 SV=3
+SRMRSRSKRNMRPKWATMSVTRLEQQESLLKMLLGTRSILKACALQLHTETCDTKLTRIVHSVLRRVDNNPILSWLQQNLQIEFQDGVIWDILLYQLMEELINRNM
+>sp|Q53H82|LACB2_HUMAN Endoribonuclease LACTB2 OS=Homo sapiens OX=9606 GN=LACTB2 PE=1 SV=2
+MAAVLQRVERLSNRVVRVLGCNPGPMTLQGTNTYLVGTGPRRILIDTGEPAIPEYISCLKQALTEFNTAIQEIVVTHWHRDHSGGIGDICKSINNDTTYCIKKLPRNPQREEIIGNGEQQYVYLKDGDVIKTEGATLRVLYTPGHTDDHMALLLEEENAIFSGDCILGEGTTVFEDLYDYMNSLKELLKIKADIIYPGHGPVIHNAEAKIQQYISHRNIREQQILTLFRENFEKSFTVMELVKIIYKNTPENLHEMAKHNLLLHLKKLEKEGKIFSNTDPDKKWKAHL
+>DECOY_sp|Q53H82|LACB2_HUMAN Endoribonuclease LACTB2 OS=Homo sapiens OX=9606 GN=LACTB2 PE=1 SV=2
+LHAKWKKDPDTNSFIKGEKELKKLHLLLNHKAMEHLNEPTNKYIIKVLEMVTFSKEFNERFLTLIQQERINRHSIYQQIKAEANHIVPGHGPYIIDAKIKLLEKLSNMYDYLDEFVTTGEGLICDGSFIANEEELLLAMHDDTHGPTYLVRLTAGETKIVDGDKLYVYQQEGNGIIEERQPNRPLKKICYTTDNNISKCIDGIGGSHDRHWHTVVIEQIATNFETLAQKLCSIYEPIAPEGTDILIRRPGTGVLYTNTGQLTMPGPNCGLVRVVRNSLREVRQLVAAM
+>sp|Q9BRS8|LARP6_HUMAN La-related protein 6 OS=Homo sapiens OX=9606 GN=LARP6 PE=1 SV=1
+MAQSGGEARPGPKTAVQIRVAIQEAEDVDELEDEEEGAETRGAGDPARYLSPGWGSASEEEPSRGHSGTTASGGENEREDLEQEWKPPDEELIKKLVDQIEFYFSDENLEKDAFLLKHVRRNKLGYVSVKLLTSFKKVKHLTRDWRTTAHALKYSVVLELNEDHRKVRRTTPVPLFPNENLPSKMLLVYDLYLSPKLWALATPQKNGRVQEKVMEHLLKLFGTFGVISSVRILKPGRELPPDIRRISSRYSQVGTQECAIVEFEEVEAAIKAHEFMITESQGKENMKAVLIGMKPPKKKPAKDKNHDEEPTASIHLNKSLNKRVEELQYMGDESSANSSSDPESNPTSPMAGRRHAATNKLSPSGHQNLFLSPNASPCTSPWSSPLAQRKGVSRKSPLAEEGRLNCSTSPEIFRKCMDYSSDSSVTPSGSPWVRRRRQAEMGTQEKSPGTSPLLSRKMQTADGLPVGVLRLPRGPDNTRGFHGHERSRACV
+>DECOY_sp|Q9BRS8|LARP6_HUMAN La-related protein 6 OS=Homo sapiens OX=9606 GN=LARP6 PE=1 SV=1
+VCARSREHGHFGRTNDPGRPLRLVGVPLGDATQMKRSLLPSTGPSKEQTGMEAQRRRRVWPSGSPTVSSDSSYDMCKRFIEPSTSCNLRGEEALPSKRSVGKRQALPSSWPSTCPSANPSLFLNQHGSPSLKNTAAHRRGAMPSTPNSEPDSSSNASSEDGMYQLEEVRKNLSKNLHISATPEEDHNKDKAPKKKPPKMGILVAKMNEKGQSETIMFEHAKIAAEVEEFEVIACEQTGVQSYRSSIRRIDPPLERGPKLIRVSSIVGFTGFLKLLHEMVKEQVRGNKQPTALAWLKPSLYLDYVLLMKSPLNENPFLPVPTTRRVKRHDENLELVVSYKLAHATTRWDRTLHKVKKFSTLLKVSVYGLKNRRVHKLLFADKELNEDSFYFEIQDVLKKILEEDPPKWEQELDERENEGGSATTGSHGRSPEEESASGWGPSLYRAPDGAGRTEAGEEEDELEDVDEAEQIAVRIQVATKPGPRAEGGSQAM
+>sp|Q9UIC8|LCMT1_HUMAN Leucine carboxyl methyltransferase 1 OS=Homo sapiens OX=9606 GN=LCMT1 PE=1 SV=2
+MATRQRESSITSCCSTSSCDADDEGVRGTCEDASLCKRFAVSIGYWHDPYIQHFVRLSKERKAPEINRGYFARVHGVSQLIKAFLRKTECHCQIVNLGAGMDTTFWRLKDEDLLPSKYFEVDFPMIVTRKLHSIKCKPPLSSPILELHSEDTLQMDGHILDSKRYAVIGADLRDLSELEEKLKKCNMNTQLPTLLIAECVLVYMTPEQSANLLKWAANSFERAMFINYEQVNMGDRFGQIMIENLRRRQCDLAGVETCKSLESQKERLLSNGWETASAVDMMELYNRLPRAEVSRIESLEFLDEMELLEQLMRHYCLCWATKGGNELGLKEITY
+>DECOY_sp|Q9UIC8|LCMT1_HUMAN Leucine carboxyl methyltransferase 1 OS=Homo sapiens OX=9606 GN=LCMT1 PE=1 SV=2
+YTIEKLGLENGGKTAWCLCYHRMLQELLEMEDLFELSEIRSVEARPLRNYLEMMDVASATEWGNSLLREKQSELSKCTEVGALDCQRRRLNEIMIQGFRDGMNVQEYNIFMAREFSNAAWKLLNASQEPTMYVLVCEAILLTPLQTNMNCKKLKEELESLDRLDAGIVAYRKSDLIHGDMQLTDESHLELIPSSLPPKCKISHLKRTVIMPFDVEFYKSPLLDEDKLRWFTTDMGAGLNVIQCHCETKRLFAKILQSVGHVRAFYGRNIEPAKREKSLRVFHQIYPDHWYGISVAFRKCLSADECTGRVGEDDADCSSTSCCSTISSERQRTAM
+>sp|Q6JVE5|LCN12_HUMAN Epididymal-specific lipocalin-12 OS=Homo sapiens OX=9606 GN=LCN12 PE=2 SV=1
+MRLLCGLWLWLSLLKVLQAQTPTPLPLPPPMQSFQGNQFQGEWFVLGLAGNSFRPEHRALLNAFTATFELSDDGRFEVWNAMTRGQHCDTWSYVLIPAAQPGQFTVDHGVEPGADREETRVVDSDYTQFALMLSRRHTSRLAVLRISLLGRSWLLPPGTLDQFICLGRAQGLSDDNIVFPDVTGWSPQASVC
+>DECOY_sp|Q6JVE5|LCN12_HUMAN Epididymal-specific lipocalin-12 OS=Homo sapiens OX=9606 GN=LCN12 PE=2 SV=1
+CVSAQPSWGTVDPFVINDDSLGQARGLCIFQDLTGPPLLWSRGLLSIRLVALRSTHRRSLMLAFQTYDSDVVRTEERDAGPEVGHDVTFQGPQAAPILVYSWTDCHQGRTMANWVEFRGDDSLEFTATFANLLARHEPRFSNGALGLVFWEGQFQNGQFSQMPPPLPLPTPTQAQLVKLLSLWLWLGCLLRM
+>sp|Q6JVE9|LCN8_HUMAN Epididymal-specific lipocalin-8 OS=Homo sapiens OX=9606 GN=LCN8 PE=2 SV=1
+MPGAAEALPTVTVTLVAGAVPPASGALTAHCIGGFWREVGVASDQSLVLTAPKRVEGLFLTLSGSNLTVKVAYNSSGSCEIEKIVGSEIDSTGKFAFPGHREIHVLDTDYEGYAILRVSLMWRGRNFRVLKYFTRSLEDKDRLGFWKFRELTADTGLYLAARPGRCAELLKEELI
+>DECOY_sp|Q6JVE9|LCN8_HUMAN Epididymal-specific lipocalin-8 OS=Homo sapiens OX=9606 GN=LCN8 PE=2 SV=1
+ILEEKLLEACRGPRAALYLGTDATLERFKWFGLRDKDELSRTFYKLVRFNRGRWMLSVRLIAYGEYDTDLVHIERHGPFAFKGTSDIESGVIKEIECSGSSNYAVKVTLNSGSLTLFLGEVRKPATLVLSQDSAVGVERWFGGICHATLAGSAPPVAGAVLTVTVTPLAEAAGPM
+>sp|Q6UWM7|LCTL_HUMAN Lactase-like protein OS=Homo sapiens OX=9606 GN=LCTL PE=1 SV=2
+MKPVWVATLLWMLLLVPRLGAARKGSPEEASFYYGTFPLGFSWGVGSSAYQTEGAWDQDGKGPSIWDVFTHSGKGKVLGNETADVACDGYYKVQEDIILLRELHVNHYRFSLSWPRLLPTGIRAEQVNKKGIEFYSDLIDALLSSNITPIVTLHHWDLPQLLQVKYGGWQNVSMANYFRDYANLCFEAFGDRVKHWITFSDPRAMAEKGYETGHHAPGLKLRGTGLYKAAHHIIKAHAKAWHSYNTTWRSKQQGLVGISLNCDWGEPVDISNPKDLEAAERYLQFCLGWFANPIYAGDYPQVMKDYIGRKSAEQGLEMSRLPVFSLQEKSYIKGTSDFLGLGHFTTRYITERNYPSRQGPSYQNDRDLIELVDPNWPDLGSKWLYSVPWGFRRLLNFAQTQYGDPPIYVMENGASQKFHCTQLCDEWRIQYLKGYINEMLKAIKDGANIKGYTSWSLLDKFEWEKGYSDRYGFYYVEFNDRNKPRYPKASVQYYKKIIIANGFPNPREVESWYLKALETCSINNQMLAAEPLLSHMQMVTEIVVPTVCSLCVLITAVLLMLLLRRQS
+>DECOY_sp|Q6UWM7|LCTL_HUMAN Lactase-like protein OS=Homo sapiens OX=9606 GN=LCTL PE=1 SV=2
+SQRRLLLMLLVATILVCLSCVTPVVIETVMQMHSLLPEAALMQNNISCTELAKLYWSEVERPNPFGNAIIIKKYYQVSAKPYRPKNRDNFEVYYFGYRDSYGKEWEFKDLLSWSTYGKINAGDKIAKLMENIYGKLYQIRWEDCLQTCHFKQSAGNEMVYIPPDGYQTQAFNLLRRFGWPVSYLWKSGLDPWNPDVLEILDRDNQYSPGQRSPYNRETIYRTTFHGLGLFDSTGKIYSKEQLSFVPLRSMELGQEASKRGIYDKMVQPYDGAYIPNAFWGLCFQLYREAAELDKPNSIDVPEGWDCNLSIGVLGQQKSRWTTNYSHWAKAHAKIIHHAAKYLGTGRLKLGPAHHGTEYGKEAMARPDSFTIWHKVRDGFAEFCLNAYDRFYNAMSVNQWGGYKVQLLQPLDWHHLTVIPTINSSLLADILDSYFEIGKKNVQEARIGTPLLRPWSLSFRYHNVHLERLLIIDEQVKYYGDCAVDATENGLVKGKGSHTFVDWISPGKGDQDWAGETQYASSGVGWSFGLPFTGYYFSAEEPSGKRAAGLRPVLLLMWLLTAVWVPKM
+>sp|Q86U70|LDB1_HUMAN LIM domain-binding protein 1 OS=Homo sapiens OX=9606 GN=LDB1 PE=1 SV=2
+MSVGCACPGCSSKSFKLYSPKEPPNGNAFPPFHPGTMLDRDVGPTPMYPPTYLEPGIGRHTPYGNQTDYRIFELNKRLQNWTEECDNLWWDAFTTEFFEDDAMLTITFCLEDGPKRYTIGRTLIPRYFRSIFEGGATELYYVLKHPKEAFHSNFVSLDCDQGSMVTQHGKPMFTQVCVEGRLYLEFMFDDMMRIKTWHFSIRQHRELIPRSILAMHAQDPQMLDQLSKNITRCGLSNSTLNYLRLCVILEPMQELMSRHKTYSLSPRDCLKTCLFQKWQRMVAPPAEPTRQQPSKRRKRKMSGGSTMSSGGGNTNNSNSKKKSPASTFALSSQVPDVMVVGEPTLMGGEFGDEDERLITRLENTQFDAANGIDDEDSFNNSPALGANSPWNSKPPSSQESKSENPTSQASQ
+>DECOY_sp|Q86U70|LDB1_HUMAN LIM domain-binding protein 1 OS=Homo sapiens OX=9606 GN=LDB1 PE=1 SV=2
+QSAQSTPNESKSEQSSPPKSNWPSNAGLAPSNNFSDEDDIGNAADFQTNELRTILREDEDGFEGGMLTPEGVVMVDPVQSSLAFTSAPSKKKSNSNNTNGGGSSMTSGGSMKRKRRKSPQQRTPEAPPAVMRQWKQFLCTKLCDRPSLSYTKHRSMLEQMPELIVCLRLYNLTSNSLGCRTINKSLQDLMQPDQAHMALISRPILERHQRISFHWTKIRMMDDFMFELYLRGEVCVQTFMPKGHQTVMSGQDCDLSVFNSHFAEKPHKLVYYLETAGGEFISRFYRPILTRGITYRKPGDELCFTITLMADDEFFETTFADWWLNDCEETWNQLRKNLEFIRYDTQNGYPTHRGIGPELYTPPYMPTPGVDRDLMTGPHFPPFANGNPPEKPSYLKFSKSSCGPCACGVSM
+>sp|Q969E1|LEAP2_HUMAN Liver-expressed antimicrobial peptide 2 OS=Homo sapiens OX=9606 GN=LEAP2 PE=1 SV=1
+MWHLKLCAVLMIFLLLLGQIDGSPIPEVSSAKRRPRRMTPFWRGVSLRPIGASCRDDSECITRLCRKRRCSLSVAQE
+>DECOY_sp|Q969E1|LEAP2_HUMAN Liver-expressed antimicrobial peptide 2 OS=Homo sapiens OX=9606 GN=LEAP2 PE=1 SV=1
+EQAVSLSCRRKRCLRTICESDDRCSAGIPRLSVGRWFPTMRRPRRKASSVEPIPSGDIQGLLLLFIMLVACLKLHWM
+>sp|Q8N0V4|LGI2_HUMAN Leucine-rich repeat LGI family member 2 OS=Homo sapiens OX=9606 GN=LGI2 PE=2 SV=1
+MALRRGGCGALGLLLLLLGAACLIPRSAQVRRLARCPATCSCTKESIICVGSSWVPRIVPGDISSLSLVNGTFSEIKDRMFSHLPSLQLLLLNSNSFTIIRDDAFAGLFHLEYLFIEGNKIETISRNAFRGLRDLTHLSLANNHIKALPRDVFSDLDSLIELDLRGNKFECDCKAKWLYLWLKMTNSTVSDVLCIGPPEYQEKKLNDVTSFDYECTTTDFVVHQTLPYQSVSVDTFNSKNDVYVAIAQPSMENCMVLEWDHIEMNFRSYDNITGQSIVGCKAILIDDQVFVVVAQLFGGSHIYKYDESWTKFVKFQDIEVSRISKPNDIELFQIDDETFFVIADSSKAGLSTVYKWNSKGFYSYQSLHEWFRDTDAEFVDIDGKSHLILSSRSQVPIILQWNKSSKKFVPHGDIPNMEDVLAVKSFRMQNTLYLSLTRFIGDSRVMRWNSKQFVEIQALPSRGAMTLQPFSFKDNHYLALGSDYTFSQIYQWDKEKQLFKKFKEIYVQAPRSFTAVSTDRRDFFFASSFKGKTKIFEHIIVDLSL
+>DECOY_sp|Q8N0V4|LGI2_HUMAN Leucine-rich repeat LGI family member 2 OS=Homo sapiens OX=9606 GN=LGI2 PE=2 SV=1
+LSLDVIIHEFIKTKGKFSSAFFFDRRDTSVATFSRPAQVYIEKFKKFLQKEKDWQYIQSFTYDSGLALYHNDKFSFPQLTMAGRSPLAQIEVFQKSNWRMVRSDGIFRTLSLYLTNQMRFSKVALVDEMNPIDGHPVFKKSSKNWQLIIPVQSRSSLILHSKGDIDVFEADTDRFWEHLSQYSYFGKSNWKYVTSLGAKSSDAIVFFTEDDIQFLEIDNPKSIRSVEIDQFKVFKTWSEDYKYIHSGGFLQAVVVFVQDDILIAKCGVISQGTINDYSRFNMEIHDWELVMCNEMSPQAIAVYVDNKSNFTDVSVSQYPLTQHVVFDTTTCEYDFSTVDNLKKEQYEPPGICLVDSVTSNTMKLWLYLWKAKCDCEFKNGRLDLEILSDLDSFVDRPLAKIHNNALSLHTLDRLGRFANRSITEIKNGEIFLYELHFLGAFADDRIITFSNSNLLLLQLSPLHSFMRDKIESFTGNVLSLSSIDGPVIRPVWSSGVCIISEKTCSCTAPCRALRRVQASRPILCAAGLLLLLLGLAGCGGRRLAM
+>sp|Q7Z7J7|LHPL4_HUMAN LHFPL tetraspan subfamily member 4 protein OS=Homo sapiens OX=9606 GN=LHFPL4 PE=2 SV=1
+MLPSQEASKLYHEHYMRNSRAIGVLWAIFTICFAIINVVVFIQPYWVGDSVSTPKPGYFGLFHYCVGSGLAGRELTCRGSFTDFSTIPSSAFKAAAFFVLLSMVLILGCITCFSLFFFCNTATVYKICAWMQLLAALCLVLGCMIFPDGWDAETIRDMCGAKTGKYSLGDCSVRWAYILAIIGILNALILSFLAFVLGNRQTDLLQEELKPENKDFVGSTVSSVLRPGGDVSGWGVLPCPVAHSQGP
+>DECOY_sp|Q7Z7J7|LHPL4_HUMAN LHFPL tetraspan subfamily member 4 protein OS=Homo sapiens OX=9606 GN=LHFPL4 PE=2 SV=1
+PGQSHAVPCPLVGWGSVDGGPRLVSSVTSGVFDKNEPKLEEQLLDTQRNGLVFALFSLILANLIGIIALIYAWRVSCDGLSYKGTKAGCMDRITEADWGDPFIMCGLVLCLAALLQMWACIKYVTATNCFFFLSFCTICGLILVMSLLVFFAAAKFASSPITSFDTFSGRCTLERGALGSGVCYHFLGFYGPKPTSVSDGVWYPQIFVVVNIIAFCITFIAWLVGIARSNRMYHEHYLKSAEQSPLM
+>sp|P50458|LHX2_HUMAN LIM/homeobox protein Lhx2 OS=Homo sapiens OX=9606 GN=LHX2 PE=1 SV=2
+MLFHSLSGPEVHGVIDEMDRRAKSEAPAISSAIDRGDTETTMPSISSDRAALCAGCGGKISDRYYLLAVDKQWHMRCLKCCECKLNLESELTCFSKDGSIYCKEDYYRRFSVQRCARCHLGISASEMVMRARDLVYHLNCFTCTTCNKMLTTGDHFGMKDSLVYCRLHFEALLQGEYPAHFNHADVAAAAAAAAAAKSAGLGAAGANPLGLPYYNGVGTVQKGRPRKRKSPGPGADLAAYNAALSCNENDAEHLDRDQPYPSSQKTKRMRTSFKHHQLRTMKSYFAINHNPDAKDLKQLAQKTGLTKRVLQVWFQNARAKFRRNLLRQENTGVDKSTDAALQTGTPSGPASELSNASLSPSSTPTTLTDLTSPTLPTVTSVLTSVPGNLEGHEPHSPSQTTLTNLF
+>DECOY_sp|P50458|LHX2_HUMAN LIM/homeobox protein Lhx2 OS=Homo sapiens OX=9606 GN=LHX2 PE=1 SV=2
+FLNTLTTQSPSHPEHGELNGPVSTLVSTVTPLTPSTLDTLTTPTSSPSLSANSLESAPGSPTGTQLAADTSKDVGTNEQRLLNRRFKARANQFWVQLVRKTLGTKQALQKLDKADPNHNIAFYSKMTRLQHHKFSTRMRKTKQSSPYPQDRDLHEADNENCSLAANYAALDAGPGPSKRKRPRGKQVTGVGNYYPLGLPNAGAAGLGASKAAAAAAAAAAVDAHNFHAPYEGQLLAEFHLRCYVLSDKMGFHDGTTLMKNCTTCTFCNLHYVLDRARMVMESASIGLHCRACRQVSFRRYYDEKCYISGDKSFCTLESELNLKCECCKLCRMHWQKDVALLYYRDSIKGGCGACLAARDSSISPMTTETDGRDIASSIAPAESKARRDMEDIVGHVEPGSLSHFLM
+>sp|P38571|LICH_HUMAN Lysosomal acid lipase/cholesteryl ester hydrolase OS=Homo sapiens OX=9606 GN=LIPA PE=1 SV=2
+MKMRFLGLVVCLVLWTLHSEGSGGKLTAVDPETNMNVSEIISYWGFPSEEYLVETEDGYILCLNRIPHGRKNHSDKGPKPVVFLQHGLLADSSNWVTNLANSSLGFILADAGFDVWMGNSRGNTWSRKHKTLSVSQDEFWAFSYDEMAKYDLPASINFILNKTGQEQVYYVGHSQGTTIGFIAFSQIPELAKRIKMFFALGPVASVAFCTSPMAKLGRLPDHLIKDLFGDKEFLPQSAFLKWLGTHVCTHVILKELCGNLCFLLCGFNERNLNMSRVDVYTTHSPAGTSVQNMLHWSQAVKFQKFQAFDWGSSAKNYFHYNQSYPPTYNVKDMLVPTAVWSGGHDWLADVYDVNILLTQITNLVFHESIPEWEHLDFIWGLDAPWRLYNKIINLMRKYQ
+>DECOY_sp|P38571|LICH_HUMAN Lysosomal acid lipase/cholesteryl ester hydrolase OS=Homo sapiens OX=9606 GN=LIPA PE=1 SV=2
+QYKRMLNIIKNYLRWPADLGWIFDLHEWEPISEHFVLNTIQTLLINVDYVDALWDHGGSWVATPVLMDKVNYTPPYSQNYHFYNKASSGWDFAQFKQFKVAQSWHLMNQVSTGAPSHTTYVDVRSMNLNRENFGCLLFCLNGCLEKLIVHTCVHTGLWKLFASQPLFEKDGFLDKILHDPLRGLKAMPSTCFAVSAVPGLAFFMKIRKALEPIQSFAIFGITTGQSHGVYYVQEQGTKNLIFNISAPLDYKAMEDYSFAWFEDQSVSLTKHKRSWTNGRSNGMWVDFGADALIFGLSSNALNTVWNSSDALLGHQLFVVPKPGKDSHNKRGHPIRNLCLIYGDETEVLYEESPFGWYSIIESVNMNTEPDVATLKGGSGESHLTWLVLCVVLGLFRMKM
+>sp|Q7Z429|LFG1_HUMAN Protein lifeguard 1 OS=Homo sapiens OX=9606 GN=GRINA PE=2 SV=1
+MSHEKSFLVSGDNYPPPNPGYPGGPQPPMPPYAQPPYPGAPYPQPPFQPSPYGQPGYPHGPSPYPQGGYPQGPYPQGGYPQGPYPQEGYPQGPYPQGGYPQGPYPQSPFPPNPYGQPQVFPGQDPDSPQHGNYQEEGPPSYYDNQDFPATNWDDKSIRQAFIRKVFLVLTLQLSVTLSTVSVFTFVAEVKGFVRENVWTYYVSYAVFFISLIVLSCCGDFRRKHPWNLVALSVLTASLSYMVGMIASFYNTEAVIMAVGITTAVCFTVVIFSMQTRYDFTSCMGVLLVSMVVLFIFAILCIFIRNRILEIVYASLGALLFTCFLAVDTQLLLGNKQLSLSPEEYVFAALNLYTDIINIFLYILTIIGRAKE
+>DECOY_sp|Q7Z429|LFG1_HUMAN Protein lifeguard 1 OS=Homo sapiens OX=9606 GN=GRINA PE=2 SV=1
+EKARGIITLIYLFINIIDTYLNLAAFVYEEPSLSLQKNGLLLQTDVALFCTFLLAGLSAYVIELIRNRIFICLIAFIFLVVMSVLLVGMCSTFDYRTQMSFIVVTFCVATTIGVAMIVAETNYFSAIMGVMYSLSATLVSLAVLNWPHKRRFDGCCSLVILSIFFVAYSVYYTWVNERVFGKVEAVFTFVSVTSLTVSLQLTLVLFVKRIFAQRISKDDWNTAPFDQNDYYSPPGEEQYNGHQPSDPDQGPFVQPQGYPNPPFPSQPYPGQPYGGQPYPGQPYGEQPYPGQPYGGQPYPGQPYGGQPYPSPGHPYGPQGYPSPQFPPQPYPAGPYPPQAYPPMPPQPGGPYGPNPPPYNDGSVLFSKEHSM
+>sp|O00292|LFTY2_HUMAN Left-right determination factor 2 OS=Homo sapiens OX=9606 GN=LEFTY2 PE=1 SV=2
+MWPLWLCWALWVLPLAGPGAALTEEQLLGSLLRQLQLSEVPVLDRADMEKLVIPAHVRAQYVVLLRRSHGDRSRGKRFSQSFREVAGRFLASEASTHLLVFGMEQRLPPNSELVQAVLRLFQEPVPKAALHRHGRLSPRSAQARVTVEWLRVRDDGSNRTSLIDSRLVSVHESGWKAFDVTEAVNFWQQLSRPRQPLLLQVSVQREHLGPLASGAHKLVRFASQGAPAGLGEPQLELHTLDLRDYGAQGDCDPEAPMTEGTRCCRQEMYIDLQGMKWAKNWVLEPPGFLAYECVGTCQQPPEALAFNWPFLGPRQCIASETASLPMIVSIKEGGRTRPQVVSLPNMRVQKCSCASDGALVPRRLQP
+>DECOY_sp|O00292|LFTY2_HUMAN Left-right determination factor 2 OS=Homo sapiens OX=9606 GN=LEFTY2 PE=1 SV=2
+PQLRRPVLAGDSACSCKQVRMNPLSVVQPRTRGGEKISVIMPLSATESAICQRPGLFPWNFALAEPPQQCTGVCEYALFGPPELVWNKAWKMGQLDIYMEQRCCRTGETMPAEPDCDGQAGYDRLDLTHLELQPEGLGAPAGQSAFRVLKHAGSALPGLHERQVSVQLLLPQRPRSLQQWFNVAETVDFAKWGSEHVSVLRSDILSTRNSGDDRVRLWEVTVRAQASRPSLRGHRHLAAKPVPEQFLRLVAQVLESNPPLRQEMGFVLLHTSAESALFRGAVERFSQSFRKGRSRDGHSRRLLVVYQARVHAPIVLKEMDARDLVPVESLQLQRLLSGLLQEETLAAGPGALPLVWLAWCLWLPWM
+>sp|Q92604|LGAT1_HUMAN Acyl-CoA:lysophosphatidylglycerol acyltransferase 1 OS=Homo sapiens OX=9606 GN=LPGAT1 PE=1 SV=1
+MAITLEEAPWLGWLLVKALMRFAFMVVNNLVAIPSYICYVIILQPLRVLDSKRFWYIEGIMYKWLLGMVASWGWYAGYTVMEWGEDIKAVSKDEAVMLVNHQATGDVCTLMMCLQDKGLVVAQMMWLMDHIFKYTNFGIVSLVHGDFFIRQGRSYRDQQLLLLKKHLENNYRSRDRKWIVLFPEGGFLRKRRETSQAFAKKNNLPFLTNVTLPRSGATKIILNALVAQQKNGSPAGGDAKELDSKSKGLQWIIDTTIAYPKAEPIDIQTWILGYRKPTVTHVHYRIFPIKDVPLETDDLTTWLYQRFVEKEDLLSHFYETGAFPPSKGHKEAVSREMTLSNLWIFLIQSFAFLSGYMWYNIIQYFYHCLF
+>DECOY_sp|Q92604|LGAT1_HUMAN Acyl-CoA:lysophosphatidylglycerol acyltransferase 1 OS=Homo sapiens OX=9606 GN=LPGAT1 PE=1 SV=1
+FLCHYFYQIINYWMYGSLFAFSQILFIWLNSLTMERSVAEKHGKSPPFAGTEYFHSLLDEKEVFRQYLWTTLDDTELPVDKIPFIRYHVHTVTPKRYGLIWTQIDIPEAKPYAITTDIIWQLGKSKSDLEKADGGAPSGNKQQAVLANLIIKTAGSRPLTVNTLFPLNNKKAFAQSTERRKRLFGGEPFLVIWKRDRSRYNNELHKKLLLLQQDRYSRGQRIFFDGHVLSVIGFNTYKFIHDMLWMMQAVVLGKDQLCMMLTCVDGTAQHNVLMVAEDKSVAKIDEGWEMVTYGAYWGWSAVMGLLWKYMIGEIYWFRKSDLVRLPQLIIVYCIYSPIAVLNNVVMFAFRMLAKVLLWGLWPAEELTIAM
+>sp|Q99538|LGMN_HUMAN Legumain OS=Homo sapiens OX=9606 GN=LGMN PE=1 SV=1
+MVWKVAVFLSVALGIGAVPIDDPEDGGKHWVVIVAGSNGWYNYRHQADACHAYQIIHRNGIPDEQIVVMMYDDIAYSEDNPTPGIVINRPNGTDVYQGVPKDYTGEDVTPQNFLAVLRGDAEAVKGIGSGKVLKSGPQDHVFIYFTDHGSTGILVFPNEDLHVKDLNETIHYMYKHKMYRKMVFYIEACESGSMMNHLPDNINVYATTAANPRESSYACYYDEKRSTYLGDWYSVNWMEDSDVEDLTKETLHKQYHLVKSHTNTSHVMQYGNKTISTMKVMQFQGMKRKASSPVPLPPVTHLDLTPSPDVPLTIMKRKLMNTNDLEESRQLTEEIQRHLDARHLIEKSVRKIVSLLAASEAEVEQLLSERAPLTGHSCYPEALLHFRTHCFNWHSPTYEYALRHLYVLVNLCEKPYPLHRIKLSMDHVCLGHY
+>DECOY_sp|Q99538|LGMN_HUMAN Legumain OS=Homo sapiens OX=9606 GN=LGMN PE=1 SV=1
+YHGLCVHDMSLKIRHLPYPKECLNVLVYLHRLAYEYTPSHWNFCHTRFHLLAEPYCSHGTLPARESLLQEVEAESAALLSVIKRVSKEILHRADLHRQIEETLQRSEELDNTNMLKRKMITLPVDPSPTLDLHTVPPLPVPSSAKRKMGQFQMVKMTSITKNGYQMVHSTNTHSKVLHYQKHLTEKTLDEVDSDEMWNVSYWDGLYTSRKEDYYCAYSSERPNAATTAYVNINDPLHNMMSGSECAEIYFVMKRYMKHKYMYHITENLDKVHLDENPFVLIGTSGHDTFYIFVHDQPGSKLVKGSGIGKVAEADGRLVALFNQPTVDEGTYDKPVGQYVDTGNPRNIVIGPTPNDESYAIDDYMMVVIQEDPIGNRHIIQYAHCADAQHRYNYWGNSGAVIVVWHKGGDEPDDIPVAGIGLAVSLFVAVKWVM
+>sp|Q5TDP6|LGSN_HUMAN Lengsin OS=Homo sapiens OX=9606 GN=LGSN PE=1 SV=1
+MNNEEDLLQEDSTRDEGNETEANSMNTLRRTRKKVTKPYVCSTEVGETDMSNSNDCMRDSSQILTPPQLSSRMKHIRQAMAKNRLQFVRFEATDLHGVSRSKTIPAHFFQEKVSHGVCMPRGYLEVIPNPKDNEMNNIRATCFNSDIVLMPELSTFRVLPWADRTARVICDTFTVTGEPLLTSPRYIAKRQLSHLQASGFSLLSAFIYDFCIFGVPEILNSKIISFPALTFLNNHDQPFMQELVDGLYHTGANVESFSSSTRPGQMEISFLPEFGISSADNAFTLRTGVKEVARKYNYIASFFIETGFCDSGILSHSLWDVDRKKNMFCSTSGTEQLTITGKKWLAGLLKHSAALSCLMAPSVSCRKRYSKDRKDLKKSVPTTWGYNDNSCIFNIKCHGEKGTRIENKLGSATANPYLVLAATVAAGLDGLHSSNEVLAGPDESTDFYQVEPSEIPLKLEDALVALEEDQCLRQALGETFIRYFVAMKKYELENEEIAAERNKFLEYFI
+>DECOY_sp|Q5TDP6|LGSN_HUMAN Lengsin OS=Homo sapiens OX=9606 GN=LGSN PE=1 SV=1
+IFYELFKNREAAIEENELEYKKMAVFYRIFTEGLAQRLCQDEELAVLADELKLPIESPEVQYFDTSEDPGALVENSSHLGDLGAAVTAALVLYPNATASGLKNEIRTGKEGHCKINFICSNDNYGWTTPVSKKLDKRDKSYRKRCSVSPAMLCSLAASHKLLGALWKKGTITLQETGSTSCFMNKKRDVDWLSHSLIGSDCFGTEIFFSAIYNYKRAVEKVGTRLTFANDASSIGFEPLFSIEMQGPRTSSSFSEVNAGTHYLGDVLEQMFPQDHNNLFTLAPFSIIKSNLIEPVGFICFDYIFASLLSFGSAQLHSLQRKAIYRPSTLLPEGTVTFTDCIVRATRDAWPLVRFTSLEPMLVIDSNFCTARINNMENDKPNPIVELYGRPMCVGHSVKEQFFHAPITKSRSVGHLDTAEFRVFQLRNKAMAQRIHKMRSSLQPPTLIQSSDRMCDNSNSMDTEGVETSCVYPKTVKKRTRRLTNMSNAETENGEDRTSDEQLLDEENNM
+>sp|Q04760|LGUL_HUMAN Lactoylglutathione lyase OS=Homo sapiens OX=9606 GN=GLO1 PE=1 SV=4
+MAEPQPPSGGLTDEAALSCCSDADPSTKDFLLQQTMLRVKDPKKSLDFYTRVLGMTLIQKCDFPIMKFSLYFLAYEDKNDIPKEKDEKIAWALSRKATLELTHNWGTEDDETQSYHNGNSDPRGFGHIGIAVPDVYSACKRFEELGVKFVKKPDDGKMKGLAFIQDPDGYWIEILNPNKMATLM
+>DECOY_sp|Q04760|LGUL_HUMAN Lactoylglutathione lyase OS=Homo sapiens OX=9606 GN=GLO1 PE=1 SV=4
+MLTAMKNPNLIEIWYGDPDQIFALGKMKGDDPKKVFKVGLEEFRKCASYVDPVAIGIHGFGRPDSNGNHYSQTEDDETGWNHTLELTAKRSLAWAIKEDKEKPIDNKDEYALFYLSFKMIPFDCKQILTMGLVRTYFDLSKKPDKVRLMTQQLLFDKTSPDADSCCSLAAEDTLGGSPPQPEAM
+>sp|P53667|LIMK1_HUMAN LIM domain kinase 1 OS=Homo sapiens OX=9606 GN=LIMK1 PE=1 SV=3
+MRLTLLCCTWREERMGEEGSELPVCASCGQRIYDGQYLQALNADWHADCFRCCDCSASLSHQYYEKDGQLFCKKDYWARYGESCHGCSEQITKGLVMVAGELKYHPECFICLTCGTFIGDGDTYTLVEHSKLYCGHCYYQTVVTPVIEQILPDSPGSHLPHTVTLVSIPASSHGKRGLSVSIDPPHGPPGCGTEHSHTVRVQGVDPGCMSPDVKNSIHVGDRILEINGTPIRNVPLDEIDLLIQETSRLLQLTLEHDPHDTLGHGLGPETSPLSSPAYTPSGEAGSSARQKPVLRSCSIDRSPGAGSLGSPASQRKDLGRSESLRVVCRPHRIFRPSDLIHGEVLGKGCFGQAIKVTHRETGEVMVMKELIRFDEETQRTFLKEVKVMRCLEHPNVLKFIGVLYKDKRLNFITEYIKGGTLRGIIKSMDSQYPWSQRVSFAKDIASGMAYLHSMNIIHRDLNSHNCLVRENKNVVVADFGLARLMVDEKTQPEGLRSLKKPDRKKRYTVVGNPYWMAPEMINGRSYDEKVDVFSFGIVLCEIIGRVNADPDYLPRTMDFGLNVRGFLDRYCPPNCPPSFFPITVRCCDLDPEKRPSFVKLEHWLETLRMHLAGHLPLGPQLEQLDRGFWETYRRGESGLPAHPEVPD
+>DECOY_sp|P53667|LIMK1_HUMAN LIM domain kinase 1 OS=Homo sapiens OX=9606 GN=LIMK1 PE=1 SV=3
+DPVEPHAPLGSEGRRYTEWFGRDLQELQPGLPLHGALHMRLTELWHELKVFSPRKEPDLDCCRVTIPFFSPPCNPPCYRDLFGRVNLGFDMTRPLYDPDANVRGIIECLVIGFSFVDVKEDYSRGNIMEPAMWYPNGVVTYRKKRDPKKLSRLGEPQTKEDVMLRALGFDAVVVNKNERVLCNHSNLDRHIINMSHLYAMGSAIDKAFSVRQSWPYQSDMSKIIGRLTGGKIYETIFNLRKDKYLVGIFKLVNPHELCRMVKVEKLFTRQTEEDFRILEKMVMVEGTERHTVKIAQGFCGKGLVEGHILDSPRFIRHPRCVVRLSESRGLDKRQSAPSGLSGAGPSRDISCSRLVPKQRASSGAEGSPTYAPSSLPSTEPGLGHGLTDHPDHELTLQLLRSTEQILLDIEDLPVNRIPTGNIELIRDGVHISNKVDPSMCGPDVGQVRVTHSHETGCGPPGHPPDISVSLGRKGHSSAPISVLTVTHPLHSGPSDPLIQEIVPTVVTQYYCHGCYLKSHEVLTYTDGDGIFTGCTLCIFCEPHYKLEGAVMVLGKTIQESCGHCSEGYRAWYDKKCFLQGDKEYYQHSLSASCDCCRFCDAHWDANLAQLYQGDYIRQGCSACVPLESGEEGMREERWTCCLLTLRM
+>sp|Q9HAP6|LIN7B_HUMAN Protein lin-7 homolog B OS=Homo sapiens OX=9606 GN=LIN7B PE=1 SV=1
+MAALVEPLGLERDVSRAVELLERLQRSGELPPQKLQALQRVLQSRFCSAIREVYEQLYDTLDITGSAEIRAHATAKATVAAFTASEGHAHPRVVELPKTDEGLGFNIMGGKEQNSPIYISRVIPGGVADRHGGLKRGDQLLSVNGVSVEGEQHEKAVELLKAAQGSVKLVVRYTPRVLEEMEARFEKMRSARRRQQHQSYSSLESRG
+>DECOY_sp|Q9HAP6|LIN7B_HUMAN Protein lin-7 homolog B OS=Homo sapiens OX=9606 GN=LIN7B PE=1 SV=1
+GRSELSSYSQHQQRRRASRMKEFRAEMEELVRPTYRVVLKVSGQAAKLLEVAKEHQEGEVSVGNVSLLQDGRKLGGHRDAVGGPIVRSIYIPSNQEKGGMINFGLGEDTKPLEVVRPHAHGESATFAAVTAKATAHARIEASGTIDLTDYLQEYVERIASCFRSQLVRQLAQLKQPPLEGSRQLRELLEVARSVDRELGLPEVLAAM
+>sp|Q9NUP9|LIN7C_HUMAN Protein lin-7 homolog C OS=Homo sapiens OX=9606 GN=LIN7C PE=1 SV=1
+MAALGEPVRLERDICRAIELLEKLQRSGEVPPQKLQALQRVLQSEFCNAVREVYEHVYETVDISSSPEVRANATAKATVAAFAASEGHSHPRVVELPKTEEGLGFNIMGGKEQNSPIYISRIIPGGIADRHGGLKRGDQLLSVNGVSVEGEHHEKAVELLKAAQGKVKLVVRYTPKVLEEMESRFEKMRSAKRRQQT
+>DECOY_sp|Q9NUP9|LIN7C_HUMAN Protein lin-7 homolog C OS=Homo sapiens OX=9606 GN=LIN7C PE=1 SV=1
+TQQRRKASRMKEFRSEMEELVKPTYRVVLKVKGQAAKLLEVAKEHHEGEVSVGNVSLLQDGRKLGGHRDAIGGPIIRSIYIPSNQEKGGMINFGLGEETKPLEVVRPHSHGESAAFAAVTAKATANARVEPSSSIDVTEYVHEYVERVANCFESQLVRQLAQLKQPPVEGSRQLKELLEIARCIDRELRVPEGLAAM
+>sp|Q13136|LIPA1_HUMAN Liprin-alpha-1 OS=Homo sapiens OX=9606 GN=PPFIA1 PE=1 SV=1
+MMCEVMPTISEAEGPPGGGGGHGSGSPSQPDADSHFEQLMVSMLEERDRLLDTLRETQETLALTQGKLHEVGHERDSLQRQLNTALPQEFAALTKELNVCREQLLEREEEIAELKAERNNTRLLLEHLECLVSRHERSLRMTVVKRQAQSPAGVSSEVEVLKALKSLFEHHKALDEKVRERLRVALERCSLLEEELGATHKELMILKEQNNQKKTLTDGVLDINHEQENTPSTSGKRSSDGSLSHEEDLAKVIELQEIISKQSREQSQMKERLASLSSHVTELEEDLDTARKDLIKSEEMNTKLQRDVREAMAQKEDMEERITTLEKRYLAAQREATSVHDLNDKLENEIANKDSMHRQTEDKNRQLQERLELAEQKLQQTLRKAETLPEVEAELAQRVAALSKAEERHGNIEERLRQMEAQLEEKNQELQRARQREKMNEEHNKRLSDTVDKLLSESNERLQLHLKERMAALEDKNSLLREVESAKKQLEETQHDKDQLVLNIEALRAELDHMRLRGASLHHGRPHLGSVPDFRFPMADGHTDSYSTSAVLRRPQKGRLAALRDEPSKVQTLNEQDWERAQQASVLANVAQAFESDADVSDGEDDRDTLLSSVDLLSPSGQADAHTLAMMLQEQLDAINKEIRLIQEEKENTEQRAEEIESRVGSGSLDNLGRFRSMSSIPPYPASSLASSSPPGSGRSTPRRIPHSPAREVDRLGVMTLLPPSREEVRDDKTTIKCETSPPSSPRALRLDRLHKGALHTVSHEDIRDIRNSTGSQDGPVSNPSSSNSSQDSLHKAPKKKGIKSSIGRLFGKKEKGRPGQTGKEALGQAGVSETDNSSQDALGLSKLGGQAEKNRKLQKKHELLEEARRQGLPFAQWDGPTVVVWLELWVGMPAWYVAACRANVKSGAIMSALSDTEIQREIGISNPLHRLKLRLAIQEIMSLTSPSAPPTSRTTLAYGDMNHEWIGNEWLPSLGLPQYRSYFMECLVDARMLDHLTKKDLRGQLKMVDSFHRNSFQCGIMCLRRLNYDRKELERKREESQSEIKDVLVWSNDRVIRWILSIGLKEYANNLIESGVHGALLALDETFDFSALALLLQIPTQNTQARAVLEREFNNLLVMGTDRRFDEDDDKSFRRAPSWRKKFRPKDIRGLAAGSAETLPANFRVTSSMSSPSMQPKKMQMDGNVSGTQRLDSATVRTYSC
+>DECOY_sp|Q13136|LIPA1_HUMAN Liprin-alpha-1 OS=Homo sapiens OX=9606 GN=PPFIA1 PE=1 SV=1
+CSYTRVTASDLRQTGSVNGDMQMKKPQMSPSSMSSTVRFNAPLTEASGAALGRIDKPRFKKRWSPARRFSKDDDEDFRRDTGMVLLNNFERELVARAQTNQTPIQLLLALASFDFTEDLALLAGHVGSEILNNAYEKLGISLIWRIVRDNSWVLVDKIESQSEERKRELEKRDYNLRRLCMIGCQFSNRHFSDVMKLQGRLDKKTLHDLMRADVLCEMFYSRYQPLGLSPLWENGIWEHNMDGYALTTRSTPPASPSTLSMIEQIALRLKLRHLPNSIGIERQIETDSLASMIAGSKVNARCAAVYWAPMGVWLELWVVVTPGDWQAFPLGQRRAEELLEHKKQLKRNKEAQGGLKSLGLADQSSNDTESVGAQGLAEKGTQGPRGKEKKGFLRGISSKIGKKKPAKHLSDQSSNSSSPNSVPGDQSGTSNRIDRIDEHSVTHLAGKHLRDLRLARPSSPPSTECKITTKDDRVEERSPPLLTMVGLRDVERAPSHPIRRPTSRGSGPPSSSALSSAPYPPISSMSRFRGLNDLSGSGVRSEIEEARQETNEKEEQILRIEKNIADLQEQLMMALTHADAQGSPSLLDVSSLLTDRDDEGDSVDADSEFAQAVNALVSAQQAREWDQENLTQVKSPEDRLAALRGKQPRRLVASTSYSDTHGDAMPFRFDPVSGLHPRGHHLSAGRLRMHDLEARLAEINLVLQDKDHQTEELQKKASEVERLLSNKDELAAMREKLHLQLRENSESLLKDVTDSLRKNHEENMKERQRARQLEQNKEELQAEMQRLREEINGHREEAKSLAAVRQALEAEVEPLTEAKRLTQQLKQEALELREQLQRNKDETQRHMSDKNAIENELKDNLDHVSTAERQAALYRKELTTIREEMDEKQAMAERVDRQLKTNMEESKILDKRATDLDEELETVHSSLSALREKMQSQERSQKSIIEQLEIVKALDEEHSLSGDSSRKGSTSPTNEQEHNIDLVGDTLTKKQNNQEKLIMLEKHTAGLEEELLSCRELAVRLRERVKEDLAKHHEFLSKLAKLVEVESSVGAPSQAQRKVVTMRLSREHRSVLCELHELLLRTNNREAKLEAIEEERELLQERCVNLEKTLAAFEQPLATNLQRQLSDREHGVEHLKGQTLALTEQTERLTDLLRDREELMSVMLQEFHSDADPQSPSGSGHGGGGGPPGEAESITPMVECMM
+>sp|O75334|LIPA2_HUMAN Liprin-alpha-2 OS=Homo sapiens OX=9606 GN=PPFIA2 PE=1 SV=2
+MMCEVMPTINEDTPMSQRGSQSSGSDSDSHFEQLMVNMLDERDRLLDTLRETQESLSLAQQRLQDVIYDRDSLQRQLNSALPQDIESLTGGLAGSKGADPPEFAALTKELNACREQLLEKEEEISELKAERNNTRLLLEHLECLVSRHERSLRMTVVKRQAQSPSGVSSEVEVLKALKSLFEHHKALDEKVRERLRVSLERVSALEEELAAANQEIVALREQNVHIQRKMASSEGSTESEHLEGMEPGQKVHEKRLSNGSIDSTDETSQIVELQELLEKQNYEMAQMKERLAALSSRVGEVEQEAETARKDLIKTEEMNTKYQRDIREAMAQKEDMEERITTLEKRYLSAQRESTSIHDMNDKLENELANKEAILRQMEEKNRQLQERLELAEQKLQQTMRKAETLPEVEAELAQRIAALTKAEERHGNIEERMRHLEGQLEEKNQELQRARQREKMNEEHNKRLSDTVDRLLTESNERLQLHLKERMAALEEKNVLIQESETFRKNLEESLHDKERLAEEIEKLRSELDQLKMRTGSLIEPTIPRTHLDTSAELRYSVGSLVDSQSDYRTTKVIRRPRRGRMGVRRDEPKVKSLGDHEWNRTQQIGVLSSHPFESDTEMSDIDDDDRETIFSSMDLLSPSGHSDAQTLAMMLQEQLDAINKEIRLIQEEKESTELRAEEIENRVASVSLEGLNLARVHPGTSITASVTASSLASSSPPSGHSTPKLTPRSPAREMDRMGVMTLPSDLRKHRRKIAVVEEDGREDKATIKCETSPPPTPRALRMTHTLPSSYHNDARSSLSVSLEPESLGLGSANSSQDSLHKAPKKKGIKSSIGRLFGKKEKARLGQLRGFMETEAAAQESLGLGKLGTQAEKDRRLKKKHELLEEARRKGLPFAQWDGPTVVAWLELWLGMPAWYVAACRANVKSGAIMSALSDTEIQREIGISNPLHRLKLRLAIQEMVSLTSPSAPPTSRTPSGNVWVTHEEMENLAAPAKTKESEEGSWAQCPVFLQTLAYGDMNHEWIGNEWLPSLGLPQYRSYFMECLVDARMLDHLTKKDLRVHLKMVDSFHRTSLQYGIMCLKRLNYDRKELERRREASQHEIKDVLVWSNDRVIRWIQAIGLREYANNILESGVHGSLIALDENFDYSSLALLLQIPTQNTQARQILEREYNNLLALGTERRLDESDDKNFRRGSTWRRQFPPREVHGISMMPGSSETLPAGFRLTTTSGQSRKMTTDVASSRLQRLDNSTVRTYSC
+>DECOY_sp|O75334|LIPA2_HUMAN Liprin-alpha-2 OS=Homo sapiens OX=9606 GN=PPFIA2 PE=1 SV=2
+CSYTRVTSNDLRQLRSSAVDTTMKRSQGSTTTLRFGAPLTESSGPMMSIGHVERPPFQRRWTSGRRFNKDDSEDLRRETGLALLNNYERELIQRAQTNQTPIQLLLALSSYDFNEDLAILSGHVGSELINNAYERLGIAQIWRIVRDNSWVLVDKIEHQSAERRRELEKRDYNLRKLCMIGYQLSTRHFSDVMKLHVRLDKKTLHDLMRADVLCEMFYSRYQPLGLSPLWENGIWEHNMDGYALTQLFVPCQAWSGEESEKTKAPAALNEMEEHTVWVNGSPTRSTPPASPSTLSVMEQIALRLKLRHLPNSIGIERQIETDSLASMIAGSKVNARCAAVYWAPMGLWLELWAVVTPGDWQAFPLGKRRAEELLEHKKKLRRDKEAQTGLKGLGLSEQAAAETEMFGRLQGLRAKEKKGFLRGISSKIGKKKPAKHLSDQSSNASGLGLSEPELSVSLSSRADNHYSSPLTHTMRLARPTPPPSTECKITAKDERGDEEVVAIKRRHKRLDSPLTMVGMRDMERAPSRPTLKPTSHGSPPSSSALSSATVSATISTGPHVRALNLGELSVSAVRNEIEEARLETSEKEEQILRIEKNIADLQEQLMMALTQADSHGSPSLLDMSSFITERDDDDIDSMETDSEFPHSSLVGIQQTRNWEHDGLSKVKPEDRRVGMRGRRPRRIVKTTRYDSQSDVLSGVSYRLEASTDLHTRPITPEILSGTRMKLQDLESRLKEIEEALREKDHLSEELNKRFTESEQILVNKEELAAMREKLHLQLRENSETLLRDVTDSLRKNHEENMKERQRARQLEQNKEELQGELHRMREEINGHREEAKTLAAIRQALEAEVEPLTEAKRMTQQLKQEALELREQLQRNKEEMQRLIAEKNALENELKDNMDHISTSERQASLYRKELTTIREEMDEKQAMAERIDRQYKTNMEETKILDKRATEAEQEVEGVRSSLAALREKMQAMEYNQKELLEQLEVIQSTEDTSDISGNSLRKEHVKQGPEMGELHESETSGESSAMKRQIHVNQERLAVIEQNAAALEEELASVRELSVRLRERVKEDLAKHHEFLSKLAKLVEVESSVGSPSQAQRKVVTMRLSREHRSVLCELHELLLRTNNREAKLESIEEEKELLQERCANLEKTLAAFEPPDAGKSGALGGTLSEIDQPLASNLQRQLSDRDYIVDQLRQQALSLSEQTERLTDLLRDREDLMNVMLQEFHSDSDSGSSQSGRQSMPTDENITPMVECMM
+>sp|Q86W92|LIPB1_HUMAN Liprin-beta-1 OS=Homo sapiens OX=9606 GN=PPFIBP1 PE=1 SV=2
+MMSDASDMLAAALEQMDGIIAGSKALEYSNGIFDCQSPTSPFMGSLRALHLVEDLRGLLEMMETDEKEGLRCQIPDSTAETLVEWLQSQMTNGHLPGNGDVYQERLARLENDKESLVLQVSVLTDQVEAQGEKIRDLEFCLEEHREKVNATEEMLQQELLSRTSLETQKLDLMAEISNLKLKLTAVEKDRLDYEDKFRDTEGLIQEINDLRLKVSEMDSERLQYEKKLKSTKSLMAKLSSMKIKVGQMQYEKQRMEQKWESLKDELASLKEQLEEKESEVKRLQEKLVCKMKGEGVEIVDRDIEVQKMKKAVESLMAANEEKDRKIEDLRQCLNRYKKMQDTVVLAQGKDGEYEELLNSSSISSLLDAQGFSDLEKSPSPTPVMGSPSCDPFNTSVPEEFHTTILQVSIPSLLPATVSMETSEKSKLTPKPETSFEENDGNIILGATVDTQLCDKLLTSSLQKSSSLGNLKKETSDGEKETIQKTSEDRAPAESRPFGTLPPRPPGQDTSMDDNPFGTRKVRSSFGRGFFKIKSNKRTASAPNLAETEKETAEHLDLAGASSRPKDSQRNSPFQIPPPSPDSKKKSRGIMKLFGKLRRSQSTTFNPDDMSEPEFKRGGTRATAGPRLGWSRDLGQSNSDLDMPFAKWTKEQVCNWLMEQGLGSYLNSGKHWIASGQTLLQASQQDLEKELGIKHSLHRKKLQLALQALGSEEETNHGKLDFNWVTRWLDDIGLPQYKTQFDEGRVDGRMLHYMTVDDLLSLKVVSVLHHLSIKRAIQVLRINNFEPNCLRRRPSDENTIAPSEVQKWTNHRVMEWLRSVDLAEYAPNLRGSGVHGGLMVLEPRFNVETMAQLLNIPPNKTLLRRHLATHFNLLIGAEAQHQKRDAMELPDYVLLTATAKVKPKKLAFSNFGNLRKKKQEDGEEYVCPMELGQASGSASKKGFKPGLDMRLYEEDDLDRLEQMEDSEGTVRQIGAFSEGINNLTHMLKEDDMFKDFAARSPSASITDEDSNV
+>DECOY_sp|Q86W92|LIPB1_HUMAN Liprin-beta-1 OS=Homo sapiens OX=9606 GN=PPFIBP1 PE=1 SV=2
+VNSDEDTISASPSRAAFDKFMDDEKLMHTLNNIGESFAGIQRVTGESDEMQELRDLDDEEYLRMDLGPKFGKKSASGSAQGLEMPCVYEEGDEQKKKRLNGFNSFALKKPKVKATATLLVYDPLEMADRKQHQAEAGILLNFHTALHRRLLTKNPPINLLQAMTEVNFRPELVMLGGHVGSGRLNPAYEALDVSRLWEMVRHNTWKQVESPAITNEDSPRRRLCNPEFNNIRLVQIARKISLHHLVSVVKLSLLDDVTMYHLMRGDVRGEDFQTKYQPLGIDDLWRTVWNFDLKGHNTEEESGLAQLALQLKKRHLSHKIGLEKELDQQSAQLLTQGSAIWHKGSNLYSGLGQEMLWNCVQEKTWKAFPMDLDSNSQGLDRSWGLRPGATARTGGRKFEPESMDDPNFTTSQSRRLKGFLKMIGRSKKKSDPSPPPIQFPSNRQSDKPRSSAGALDLHEATEKETEALNPASATRKNSKIKFFGRGFSSRVKRTGFPNDDMSTDQGPPRPPLTGFPRSEAPARDESTKQITEKEGDSTEKKLNGLSSSKQLSSTLLKDCLQTDVTAGLIINGDNEEFSTEPKPTLKSKESTEMSVTAPLLSPISVQLITTHFEEPVSTNFPDCSPSGMVPTPSPSKELDSFGQADLLSSISSSNLLEEYEGDKGQALVVTDQMKKYRNLCQRLDEIKRDKEENAAMLSEVAKKMKQVEIDRDVIEVGEGKMKCVLKEQLRKVESEKEELQEKLSALEDKLSEWKQEMRQKEYQMQGVKIKMSSLKAMLSKTSKLKKEYQLRESDMESVKLRLDNIEQILGETDRFKDEYDLRDKEVATLKLKLNSIEAMLDLKQTELSTRSLLEQQLMEETANVKERHEELCFELDRIKEGQAEVQDTLVSVQLVLSEKDNELRALREQYVDGNGPLHGNTMQSQLWEVLTEATSDPIQCRLGEKEDTEMMELLGRLDEVLHLARLSGMFPSTPSQCDFIGNSYELAKSGAIIGDMQELAAALMDSADSMM
+>sp|Q5VXI9|LIPN_HUMAN Lipase member N OS=Homo sapiens OX=9606 GN=LIPN PE=2 SV=2
+MMWLLLTTTCLICGTLNAGGFLDLENEVNPEVWMNTSEIIIYNGYPSEEYEVTTEDGYILLVNRIPYGRTHARSTGPRPVVYMQHALFADNAYWLENYANGSLGFLLADAGYDVWMGNSRGNTWSRRHKTLSETDEKFWAFSFDEMAKYDLPGVIDFIVNKTGQEKLYFIGHSLGTTIGFVAFSTMPELAQRIKMNFALGPTISFKYPTGIFTRFFLLPNSIIKAVFGTKGFFLEDKKTKIASTKICNNKILWLICSEFMSLWAGSNKKNMNQSRMDVYMSHAPTGSSVHNILHIKQLYHSDEFRAYDWGNDADNMKHYNQSHPPIYDLTAMKVPTAIWAGGHDVLVTPQDVARILPQIKSLHYFKLLPDWNHFDFVWGLDAPQRMYSEIIALMKAYS
+>DECOY_sp|Q5VXI9|LIPN_HUMAN Lipase member N OS=Homo sapiens OX=9606 GN=LIPN PE=2 SV=2
+SYAKMLAIIESYMRQPADLGWVFDFHNWDPLLKFYHLSKIQPLIRAVDQPTVLVDHGGAWIATPVKMATLDYIPPHSQNYHKMNDADNGWDYARFEDSHYLQKIHLINHVSSGTPAHSMYVDMRSQNMNKKNSGAWLSMFESCILWLIKNNCIKTSAIKTKKDELFFGKTGFVAKIISNPLLFFRTFIGTPYKFSITPGLAFNMKIRQALEPMTSFAVFGITTGLSHGIFYLKEQGTKNVIFDIVGPLDYKAMEDFSFAWFKEDTESLTKHRRSWTNGRSNGMWVDYGADALLFGLSGNAYNELWYANDAFLAHQMYVVPRPGTSRAHTRGYPIRNVLLIYGDETTVEYEESPYGNYIIIESTNMWVEPNVENELDLFGGANLTGCILCTTTLLLWMM
+>sp|Q8N149|LIRA2_HUMAN Leukocyte immunoglobulin-like receptor subfamily A member 2 OS=Homo sapiens OX=9606 GN=LILRA2 PE=1 SV=2
+MTPILTVLICLGLSLGPRTHVQAGHLPKPTLWAEPGSVIIQGSPVTLRCQGSLQAEEYHLYRENKSASWVRRIQEPGKNGQFPIPSITWEHAGRYHCQYYSHNHSSEYSDPLELVVTGAYSKPTLSALPSPVVTLGGNVTLQCVSQVAFDGFILCKEGEDEHPQRLNSHSHARGWSWAIFSVGPVSPSRRWSYRCYAYDSNSPYVWSLPSDLLELLVPGVSKKPSLSVQPGPMVAPGESLTLQCVSDVGYDRFVLYKEGERDFLQRPGWQPQAGLSQANFTLGPVSPSHGGQYRCYSAHNLSSEWSAPSDPLDILITGQFYDRPSLSVQPVPTVAPGKNVTLLCQSRGQFHTFLLTKEGAGHPPLHLRSEHQAQQNQAEFRMGPVTSAHVGTYRCYSSLSSNPYLLSLPSDPLELVVSEAAETLSPSQNKTDSTTTSLGQHPQDYTVENLIRMGVAGLVLVVLGILLFEAQHSQRSLQDAAGR
+>DECOY_sp|Q8N149|LIRA2_HUMAN Leukocyte immunoglobulin-like receptor subfamily A member 2 OS=Homo sapiens OX=9606 GN=LILRA2 PE=1 SV=2
+RGAADQLSRQSHQAEFLLIGLVVLVLGAVGMRILNEVTYDQPHQGLSTTTSDTKNQSPSLTEAAESVVLELPDSPLSLLYPNSSLSSYCRYTGVHASTVPGMRFEAQNQQAQHESRLHLPPHGAGEKTLLFTHFQGRSQCLLTVNKGPAVTPVPQVSLSPRDYFQGTILIDLPDSPASWESSLNHASYCRYQGGHSPSVPGLTFNAQSLGAQPQWGPRQLFDREGEKYLVFRDYGVDSVCQLTLSEGPAVMPGPQVSLSPKKSVGPVLLELLDSPLSWVYPSNSDYAYCRYSWRRSPSVPGVSFIAWSWGRAHSHSNLRQPHEDEGEKCLIFGDFAVQSVCQLTVNGGLTVVPSPLASLTPKSYAGTVVLELPDSYESSHNHSYYQCHYRGAHEWTISPIPFQGNKGPEQIRRVWSASKNERYLHYEEAQLSGQCRLTVPSGQIIVSGPEAWLTPKPLHGAQVHTRPGLSLGLCILVTLIPTM
+>sp|P59901|LIRA4_HUMAN Leukocyte immunoglobulin-like receptor subfamily A member 4 OS=Homo sapiens OX=9606 GN=LILRA4 PE=1 SV=2
+MTLILTSLLFFGLSLGPRTRVQAENLPKPILWAEPGPVITWHNPVTIWCQGTLEAQGYRLDKEGNSMSRHILKTLESENKVKLSIPSMMWEHAGRYHCYYQSPAGWSEPSDPLELVVTAYSRPTLSALPSPVVTSGVNVTLRCASRLGLGRFTLIEEGDHRLSWTLNSHQHNHGKFQALFPMGPLTFSNRGTFRCYGYENNTPYVWSEPSDPLQLLVSGVSRKPSLLTLQGPVVTPGENLTLQCGSDVGYIRYTLYKEGADGLPQRPGRQPQAGLSQANFTLSPVSRSYGGQYRCYGAHNVSSEWSAPSDPLDILIAGQISDRPSLSVQPGPTVTSGEKVTLLCQSWDPMFTFLLTKEGAAHPPLRLRSMYGAHKYQAEFPMSPVTSAHAGTYRCYGSRSSNPYLLSHPSEPLELVVSGATETLNPAQKKSDSKTAPHLQDYTVENLIRMGVAGLVLLFLGILLFEAQHSQRSPPRCSQEANSRKDNAPFRVVEPWEQI
+>DECOY_sp|P59901|LIRA4_HUMAN Leukocyte immunoglobulin-like receptor subfamily A member 4 OS=Homo sapiens OX=9606 GN=LILRA4 PE=1 SV=2
+IQEWPEVVRFPANDKRSNAEQSCRPPSRQSHQAEFLLIGLFLLVLGAVGMRILNEVTYDQLHPATKSDSKKQAPNLTETAGSVVLELPESPHSLLYPNSSRSGYCRYTGAHASTVPSMPFEAQYKHAGYMSRLRLPPHAAGEKTLLFTFMPDWSQCLLTVKEGSTVTPGPQVSLSPRDSIQGAILIDLPDSPASWESSVNHAGYCRYQGGYSRSVPSLTFNAQSLGAQPQRGPRQPLGDAGEKYLTYRIYGVDSGCQLTLNEGPTVVPGQLTLLSPKRSVGSVLLQLPDSPESWVYPTNNEYGYCRFTGRNSFTLPGMPFLAQFKGHNHQHSNLTWSLRHDGEEILTFRGLGLRSACRLTVNVGSTVVPSPLASLTPRSYATVVLELPDSPESWGAPSQYYCHYRGAHEWMMSPISLKVKNESELTKLIHRSMSNGEKDLRYGQAELTGQCWITVPNHWTIVPGPEAWLIPKPLNEAQVRTRPGLSLGFFLLSTLILTM
+>sp|Q8N423|LIRB2_HUMAN Leukocyte immunoglobulin-like receptor subfamily B member 2 OS=Homo sapiens OX=9606 GN=LILRB2 PE=1 SV=4
+MTPIVTVLICLGLSLGPRTHVQTGTIPKPTLWAEPDSVITQGSPVTLSCQGSLEAQEYRLYREKKSASWITRIRPELVKNGQFHIPSITWEHTGRYGCQYYSRARWSELSDPLVLVMTGAYPKPTLSAQPSPVVTSGGRVTLQCESQVAFGGFILCKEGEEEHPQCLNSQPHARGSSRAIFSVGPVSPNRRWSHRCYGYDLNSPYVWSSPSDLLELLVPGVSKKPSLSVQPGPVVAPGESLTLQCVSDVGYDRFVLYKEGERDLRQLPGRQPQAGLSQANFTLGPVSRSYGGQYRCYGAHNLSSECSAPSDPLDILITGQIRGTPFISVQPGPTVASGENVTLLCQSWRQFHTFLLTKAGAADAPLRLRSIHEYPKYQAEFPMSPVTSAHAGTYRCYGSLNSDPYLLSHPSEPLELVVSGPSMGSSPPPTGPISTPAGPEDQPLTPTGSDPQSGLGRHLGVVIGILVAVVLLLLLLLLLFLILRHRRQGKHWTSTQRKADFQHPAGAVGPEPTDRGLQWRSSPAADAQEENLYAAVKDTQPEDGVEMDTRAAASEAPQDVTYAQLHSLTLRRKATEPPPSQEREPPAEPSIYATLAIH
+>DECOY_sp|Q8N423|LIRB2_HUMAN Leukocyte immunoglobulin-like receptor subfamily B member 2 OS=Homo sapiens OX=9606 GN=LILRB2 PE=1 SV=4
+HIALTAYISPEAPPEREQSPPPETAKRRLTLSHLQAYTVDQPAESAAARTDMEVGDEPQTDKVAAYLNEEQADAAPSSRWQLGRDTPEPGVAGAPHQFDAKRQTSTWHKGQRRHRLILFLLLLLLLLLVVAVLIGIVVGLHRGLGSQPDSGTPTLPQDEPGAPTSIPGTPPPSSGMSPGSVVLELPESPHSLLYPDSNLSGYCRYTGAHASTVPSMPFEAQYKPYEHISRLRLPADAAGAKTLLFTHFQRWSQCLLTVNEGSAVTPGPQVSIFPTGRIQGTILIDLPDSPASCESSLNHAGYCRYQGGYSRSVPGLTFNAQSLGAQPQRGPLQRLDREGEKYLVFRDYGVDSVCQLTLSEGPAVVPGPQVSLSPKKSVGPVLLELLDSPSSWVYPSNLDYGYCRHSWRRNPSVPGVSFIARSSGRAHPQSNLCQPHEEEGEKCLIFGGFAVQSECQLTVRGGSTVVPSPQASLTPKPYAGTMVLVLPDSLESWRARSYYQCGYRGTHEWTISPIHFQGNKVLEPRIRTIWSASKKERYLRYEQAELSGQCSLTVPSGQTIVSDPEAWLTPKPITGTQVHTRPGLSLGLCILVTVIPTM
+>sp|Q8NA19|LMBL4_HUMAN Lethal(3)malignant brain tumor-like protein 4 OS=Homo sapiens OX=9606 GN=L3MBTL4 PE=1 SV=2
+MKQPNRKRKLNMDSKERLDQDGRLEQAEEEKKPKDSTTPLSHVPSAAAQGAWSWEWYLKEQKAVAAPVELFSKDQSFPEHENGFQIGMRLEGIDPRHPSVFCVLSVAEVCGYRLRLHFDGYLSCYDFWTNAGSPDIHPVGWCEKTKHELHIPKGYRKDKFVWMDYLKACKLQNAPKKLFRNRSPNGPMSKEFQVGMKLEAVDRKNPSLVCVATIADIVEDRLLVHFDNWDDSYDYWCDVNSPYVQPVGWCQENGRTLIAPQGYPNPENFSWTEYLEATQTNAVPAKVFKMRLPHGFLPNMKLEVVDKRNPRLIRVATIVDVDDQRVKVHFDGWDHKYDYWVEADSPDIHPIGWCDVTGHPLEVPQRTNDLKILPGQAVCPTPGCRGIGHIRGPRYSGHHSAFGCPYSDMNLKKEATLHDRLREQTQANLESDSSHSKSKSLCSLNFNGKHEKVNSQPRLVQQAKCLKIKGKEDIDLDNLFRVLVLHPRGLEYSVEQAQQVLHQSVSMSTVSAHPFRDLPLGREQHCKLLPGVADIRASQVARWTVDEVAEFVQSLLGCEEHAKCFKKEQIDGKAFLLLTQTDIVKVMKIKLGPALKIYNSILMFRHSQELPEEDIASGQEVRG
+>DECOY_sp|Q8NA19|LMBL4_HUMAN Lethal(3)malignant brain tumor-like protein 4 OS=Homo sapiens OX=9606 GN=L3MBTL4 PE=1 SV=2
+GRVEQGSAIDEEPLEQSHRFMLISNYIKLAPGLKIKMVKVIDTQTLLLFAKGDIQEKKFCKAHEECGLLSQVFEAVEDVTWRAVQSARIDAVGPLLKCHQERGLPLDRFPHASVTSMSVSQHLVQQAQEVSYELGRPHLVLVRFLNDLDIDEKGKIKLCKAQQVLRPQSNVKEHKGNFNLSCLSKSKSHSSDSELNAQTQERLRDHLTAEKKLNMDSYPCGFASHHGSYRPGRIHGIGRCGPTPCVAQGPLIKLDNTRQPVELPHGTVDCWGIPHIDPSDAEVWYDYKHDWGDFHVKVRQDDVDVITAVRILRPNRKDVVELKMNPLFGHPLRMKFVKAPVANTQTAELYETWSFNEPNPYGQPAILTRGNEQCWGVPQVYPSNVDCWYDYSDDWNDFHVLLRDEVIDAITAVCVLSPNKRDVAELKMGVQFEKSMPGNPSRNRFLKKPANQLKCAKLYDMWVFKDKRYGKPIHLEHKTKECWGVPHIDPSGANTWFDYCSLYGDFHLRLRYGCVEAVSLVCFVSPHRPDIGELRMGIQFGNEHEPFSQDKSFLEVPAAVAKQEKLYWEWSWAGQAAASPVHSLPTTSDKPKKEEEAQELRGDQDLREKSDMNLKRKRNPQKM
+>sp|Q9BU23|LMF2_HUMAN Lipase maturation factor 2 OS=Homo sapiens OX=9606 GN=LMF2 PE=1 SV=2
+MAGSRLPRQLFLQGVAAVFMFAFASLYTQIPGLYGPEGILPARRTLRPQGKGRWQQLWETPTLLWEAPRLGLDTAQGLELLSLLGALVALGALLLSPLRHPVIYLLLWAAYLSACQVGQVFLYFQWDSLLLETGFLAVLVAPLRPASHRKEAPQGRQAGALPHEDLPFWLVRWLLFRLMFASGVVKLTSRCPAWWGLTALTYHYETQCLPTPAAWFAHHLPVWLHKLSVVATFLIEIAVPPLFFAPIRRLRLAAFYSQVLLQVLIIITGNYNFFNLMTLVLTTALLDDQHLAAEPGHGSRKKTATSWPKALLATLSLLLELAVYGLLAYGTVHYFGLEVDWQQRTIHSRTTFTFHQFSQWLKTLTLPTVWLGVASLVWELLSALWRWTQVRGWLRKLSAVVQLSLVGTATVALFLISLVPYSYVEPGTHGRLWTGAHRLFGAVEHLQLANSYGLFRRMTGLGGRPEVVLEGSYDGHHWTEIEFMYKPGNLSRPPPVVVPHQPRLDWQMWFAALGPHTHSPWFTSLVLRLLQGKEPVIRLVQSQVARYPFHKQPPTYVRAQRYKYWFSQPGEQGQWWRRQWVEEFFPSVSLGDPTLETLLRQFGLQEKSPPRTRSANSTLAQALHWTRSQLSPLEAPALLWGLLMAVGAVRFVQALLAPCSLRSSPLAPVSGEKRRPASQKDSGAASEQATAAPNPCSSSSRTTRRKK
+>DECOY_sp|Q9BU23|LMF2_HUMAN Lipase maturation factor 2 OS=Homo sapiens OX=9606 GN=LMF2 PE=1 SV=2
+KKRRTTRSSSSCPNPAATAQESAAGSDKQSAPRRKEGSVPALPSSRLSCPALLAQVFRVAGVAMLLGWLLAPAELPSLQSRTWHLAQALTSNASRTRPPSKEQLGFQRLLTELTPDGLSVSPFFEEVWQRRWWQGQEGPQSFWYKYRQARVYTPPQKHFPYRAVQSQVLRIVPEKGQLLRLVLSTFWPSHTHPGLAAFWMQWDLRPQHPVVVPPPRSLNGPKYMFEIETWHHGDYSGELVVEPRGGLGTMRRFLGYSNALQLHEVAGFLRHAGTWLRGHTGPEVYSYPVLSILFLAVTATGVLSLQVVASLKRLWGRVQTWRWLASLLEWVLSAVGLWVTPLTLTKLWQSFQHFTFTTRSHITRQQWDVELGFYHVTGYALLGYVALELLLSLTALLAKPWSTATKKRSGHGPEAALHQDDLLATTLVLTMLNFFNYNGTIIILVQLLVQSYFAALRLRRIPAFFLPPVAIEILFTAVVSLKHLWVPLHHAFWAAPTPLCQTEYHYTLATLGWWAPCRSTLKVVGSAFMLRFLLWRVLWFPLDEHPLAGAQRGQPAEKRHSAPRLPAVLVALFGTELLLSDWQFYLFVQGVQCASLYAAWLLLYIVPHRLPSLLLAGLAVLAGLLSLLELGQATDLGLRPAEWLLTPTEWLQQWRGKGQPRLTRRAPLIGEPGYLGPIQTYLSAFAFMFVAAVGQLFLQRPLRSGAM
+>sp|Q8WWI1|LMO7_HUMAN LIM domain only protein 7 OS=Homo sapiens OX=9606 GN=LMO7 PE=1 SV=3
+MKKIRICHIFTFYSWMSYDVLFQRTELGALEIWRQLICAHVCICVGWLYLRDRVCSKKDIILRTEQNSGRTILIKAVTEKNFETKDFRASLENGVLLCDLINKLKPGVIKKINRLSTPIAGLDNINVFLKACEQIGLKEAQLFHPGDLQDLSNRVTVKQEETDRRVKNVLITLYWLGRKAQSNPYYNGPHLNLKAFENLLGQALTKALEDSSFLKRSGRDSGYGDIWCPERGEFLAPPRHHKREDSFESLDSLGSRSLTSCSSDITLRGGREGFESDTDSEFTFKMQDYNKDDMSYRRISAVEPKTALPFNRFLPNKSRQPSYVPAPLRKKKPDKHEDNRRSWASPVYTEADGTFSSNQRRIWGTNVENWPTVQGTSKSSCYLEEEKAKTRSIPNIVKDDLYVRKLSPVMPNPGNAFDQFLPKCWTPEDVNWKRIKRETYKPWYKEFQGFSQFLLLQALQTYSDDILSSETHTKIDPTSGPRLITRRKNLSYAPGYRRDDLEMAALDPDLENDDFFVRKTGVFHANPYVLRAFEDFRKFSEQDDSVERDIILQCREGELVLPDLEKDDMIVRRIPAQKKEVPLSGAPDRYHPVPFPEPWTLPPEIQAKFLCVFERTCPSKEKSNSCRILVPSYRQKKDDMLTRKIQSWKLGTTVPPISFTPGPCSEADLKRWEAIREASRLRHKKRLMVERLFQKIYGENGSKSMSDVSAEDVQNLRQLRYEEMQKIKSQLKEQDQKWQDDLAKWKDRRKSYTSDLQKKKEEREEIEKQALEKSKRSSKTFKEMLQDRESQNQKSTVPSRRRMYSFDDVLEEGKRPPTMTVSEASYQSERVEEKGATYPSEIPKEDSTTFAKREDRVTTEIQLPSQSPVEEQSPASLSSLRSRSTQMESTRVSASLPRSYRKTDTVRLTSVVTPRPFGSQTRGISSLPRSYTMDDAWKYNGDVEDIKRTPNNVVSTPAPSPDASQLASSLSSQKEVAATEEDVTRLPSPTSPFSSLSQDQAATSKATLSSTSGLDLMSESGEGEISPQREVSRSQDQFSDMRISINQTPGKSLDFGFTIKWDIPGIFVASVEAGSPAEFSQLQVDDEIIAINNTKFSYNDSKEWEEAMAKAQETGHLVMDVRRYGKAGSPETKWIDATSGIYNSEKSSNLSVTTDFSESLQSSNIESKEINGIHDESNAFESKASESISLKNLKRRSQFFEQGSSDSVVPDLPVPTISAPSRWVWDQEEERKRQERWQKEQDRLLQEKYQREQEKLREEWQRAKQEAERENSKYLDEELMVLSSNSMSLTTREPSLATWEATWSEGSKSSDREGTRAGEEERRQPQEEVVHEDQGKKPQDQLVIERERKWEQQLQEEQEQKRLQAEAEEQKRPAEEQKRQAEIERETSVRIYQYRRPVDSYDIPKTEEASSGFLPGDRNKSRSTTELDDYSTNKNGNNKYLDQIGNMTSSQRRSKKEQVPSGAELERQQILQEMRKRTPLHNDNSWIRQRSASVNKEPVSLPGIMRRGESLDNLDSPRSNSWRQPPWLNQPTGFYASSSVQDFSRPPPQLVSTSNRAYMRNPSSSVPPPSAGSVKTSTTGVATTQSPTPRSHSPSASQSGSQLRNRSVSGKRICSYCNNILGKGAAMIIESLGLCYHLHCFKCVACECDLGGSSSGAEVRIRNHQLYCNDCYLRFKSGRPTAM
+>DECOY_sp|Q8WWI1|LMO7_HUMAN LIM domain only protein 7 OS=Homo sapiens OX=9606 GN=LMO7 PE=1 SV=3
+MATPRGSKFRLYCDNCYLQHNRIRVEAGSSSGGLDCECAVCKFCHLHYCLGLSEIIMAAGKGLINNCYSCIRKGSVSRNRLQSGSQSASPSHSRPTPSQTTAVGTTSTKVSGASPPPVSSSPNRMYARNSTSVLQPPPRSFDQVSSSAYFGTPQNLWPPQRWSNSRPSDLNDLSEGRRMIGPLSVPEKNVSASRQRIWSNDNHLPTRKRMEQLIQQRELEAGSPVQEKKSRRQSSTMNGIQDLYKNNGNKNTSYDDLETTSRSKNRDGPLFGSSAEETKPIDYSDVPRRYQYIRVSTEREIEAQRKQEEAPRKQEEAEAQLRKQEQEEQLQQEWKREREIVLQDQPKKGQDEHVVEEQPQRREEEGARTGERDSSKSGESWTAEWTALSPERTTLSMSNSSLVMLEEDLYKSNEREAEQKARQWEERLKEQERQYKEQLLRDQEKQWREQRKREEEQDWVWRSPASITPVPLDPVVSDSSGQEFFQSRRKLNKLSISESAKSEFANSEDHIGNIEKSEINSSQLSESFDTTVSLNSSKESNYIGSTADIWKTEPSGAKGYRRVDMVLHGTEQAKAMAEEWEKSDNYSFKTNNIAIIEDDVQLQSFEAPSGAEVSAVFIGPIDWKITFGFDLSKGPTQNISIRMDSFQDQSRSVERQPSIEGEGSESMLDLGSTSSLTAKSTAAQDQSLSSFPSTPSPLRTVDEETAAVEKQSSLSSALQSADPSPAPTSVVNNPTRKIDEVDGNYKWADDMTYSRPLSSIGRTQSGFPRPTVVSTLRVTDTKRYSRPLSASVRTSEMQTSRSRLSSLSAPSQEEVPSQSPLQIETTVRDERKAFTTSDEKPIESPYTAGKEEVRESQYSAESVTMTPPRKGEELVDDFSYMRRRSPVTSKQNQSERDQLMEKFTKSSRKSKELAQKEIEEREEKKKQLDSTYSKRRDKWKALDDQWKQDQEKLQSKIKQMEEYRLQRLNQVDEASVDSMSKSGNEGYIKQFLREVMLRKKHRLRSAERIAEWRKLDAESCPGPTFSIPPVTTGLKWSQIKRTLMDDKKQRYSPVLIRCSNSKEKSPCTREFVCLFKAQIEPPLTWPEPFPVPHYRDPAGSLPVEKKQAPIRRVIMDDKELDPLVLEGERCQLIIDREVSDDQESFKRFDEFARLVYPNAHFVGTKRVFFDDNELDPDLAAMELDDRRYGPAYSLNKRRTILRPGSTPDIKTHTESSLIDDSYTQLAQLLLFQSFGQFEKYWPKYTERKIRKWNVDEPTWCKPLFQDFANGPNPMVPSLKRVYLDDKVINPISRTKAKEEELYCSSKSTGQVTPWNEVNTGWIRRQNSSFTGDAETYVPSAWSRRNDEHKDPKKKRLPAPVYSPQRSKNPLFRNFPLATKPEVASIRRYSMDDKNYDQMKFTFESDTDSEFGERGGRLTIDSSCSTLSRSGLSDLSEFSDERKHHRPPALFEGREPCWIDGYGSDRGSRKLFSSDELAKTLAQGLLNEFAKLNLHPGNYYPNSQAKRGLWYLTILVNKVRRDTEEQKVTVRNSLDQLDGPHFLQAEKLGIQECAKLFVNINDLGAIPTSLRNIKKIVGPKLKNILDCLLVGNELSARFDKTEFNKETVAKILITRGSNQETRLIIDKKSCVRDRLYLWGVCICVHACILQRWIELAGLETRQFLVDYSMWSYFTFIHCIRIKKM
+>sp|Q8N9Z9|LMTD1_HUMAN Lamin tail domain-containing protein 1 OS=Homo sapiens OX=9606 GN=LMNTD1 PE=2 SV=2
+MLEGSWINRREDKLGVYSLVHFSPKMLGSVATTLPLSSSNSSGMPLGYYLSSPQISRVTISTTGQLTSKATVGSCSRVENSLDASPFSVPKKQDESPMIGDGEDYFLSLFGDSKKLTAHSNYTQKTLKYFSMILEEVGQFTSSSLGDVEIAEVNVKGLFVKLINSSLDKEMAIGDHILQQNVNGQTISLYRFLPNIVMQANSTVTVWAAASEAKHQPPSDFLWKEQDKFRASPDCITILCKPNGQAIAWYTPIHWKQAWEKLDADVEFNRCSVVSPTFRKRVFQWTASTATITKEKQDQPKKDISNYQVEQAQVLLKREKEIPPTVFPNRSPWCQNPYVSAHPYCPLIEPHNTSTAGGRLDRQPRTRSTRPNRASGSKKKKTSESQKQ
+>DECOY_sp|Q8N9Z9|LMTD1_HUMAN Lamin tail domain-containing protein 1 OS=Homo sapiens OX=9606 GN=LMNTD1 PE=2 SV=2
+QKQSESTKKKKSGSARNPRTSRTRPQRDLRGGATSTNHPEILPCYPHASVYPNQCWPSRNPFVTPPIEKERKLLVQAQEVQYNSIDKKPQDQKEKTITATSATWQFVRKRFTPSVVSCRNFEVDADLKEWAQKWHIPTYWAIAQGNPKCLITICDPSARFKDQEKWLFDSPPQHKAESAAAWVTVTSNAQMVINPLFRYLSITQGNVNQQLIHDGIAMEKDLSSNILKVFLGKVNVEAIEVDGLSSSTFQGVEELIMSFYKLTKQTYNSHATLKKSDGFLSLFYDEGDGIMPSEDQKKPVSFPSADLSNEVRSCSGVTAKSTLQGTTSITVRSIQPSSLYYGLPMGSSNSSSLPLTTAVSGLMKPSFHVLSYVGLKDERRNIWSGELM
+>sp|Q8IWU2|LMTK2_HUMAN Serine/threonine-protein kinase LMTK2 OS=Homo sapiens OX=9606 GN=LMTK2 PE=1 SV=2
+MPGPPALRRRLLLLLLVLLIAGSAGAAPLPQTGAGEAPPAAEVSSSFVILCVCSLIILIVLIANCVSCCKDPEIDFKEFEDNFDDEIDFTPPAEDTPSVQSPAEVFTLSVPNISLPAPSQFQPSVEGLKSQVARHSLNYIQEIGNGWFGKVLLGEIYTGTSVARVIVKELKASANPKEQDTFLKNGEPYYILQHPNILQCVGQCVEAIPYLLVFEFCDLGDLKAYLRSEQEHMRGDSQTMLLQRMACEVAAGLAAMHKLHFLHSDLALRNCFLTSDLNVKVGDYGIGFSRYKEDYIETDDKKVFPLRWTAPELVTSFQDRLLTADQTKYSNIWSLGVTLWELFDNAAQPYSNLSNLDVLNQVIRERDTKLPKPQLEQPYSDRWYEVLQFCWLSPEKRPAAEDVHRLLTYLRLQSQRDSEVDFEQQWNALKPNTNSRDSSNNAAFPILDHFARDRLGREMEEVLTVTETSQGLSFEYVWEAAKHDHFDERSRGHLDEGLSYTSIFYPVEVFESSLSDPGPGKQDDSGQDVPLRVPGVVPVFDAHNLSVGSDYYIQLEEKSGSNLELDYPPALLTTDMDNPERTGPELSQLTALRSVELEESSTDEDFFQSSTDPKDSSLPGDLHVTSGPESPFNNIFNDVDKSEDLPSHQKIFDLMELNGVQADFKPATLSSSLDNPKESVITGHFEKEKPRKIFDSEPLCLSDNLMHQDNFDPLNVQELSENFLFLQEKNLLKGSLSSKEHINDLQTELKNAGFTEAMLETSCRNSLDTELQFAENKPGLSLLQENVSTKGDDTDVMLTGDTLSTSLQSSPEVQVPPTSFETEETPRRVPPDSLPTQGETQPTCLDVIVPEDCLHQDISPDAVTVPVEILSTDARTHSLDNRSQDSPGESEETLRLTESDSVLADDILASRVSVGSSLPELGQELHNKPFSEDHHSHRRLEKNLEAVETLNQLNSKDAAKEAGLVSALSSDSTSQDSLLEDSLSAPFPASEPSLETPDSLESVDVHEALLDSLGSHTPQKLVPPDKPADSGYETENLESPEWTLHPAPEGTADSEPATTGDGGHSGLPPNPVIVISDAGDGHRGTEVTPETFTAGSQGSYRDSAYFSDNDSEPEKRSEEVPGTSPSALVLVQEQPLPEPVLPEQSPAAQDSCLEARKSQPDESCLSALHNSSDLELRATPEPAQTGVPQQVHPTEDEASSPWSVLNAELSSGDDFETQDDRPCTLASTGTNTNELLAYTNSALDKSLSSHSEGPKLKEPDIEGKYLGKLGVSGMLDLSEDGMDADEEDENSDDSDEDLRAFNLHSLSSESEDETEHPVPIILSNEDGRHLRSLLKPTAANAPDPLPEDWKKEKKAVTFFDDVTVYLFDQETPTKELGPCGGEACGPDLSGPAPASGSPYLSRCINSESSTDEEGGGFEWDDDFSPDPFMSKTTSNLLSSKPSLQTSKYFSPPPPARSTEQSWPHSAPYSRFSISPANIASFSLTHLTDSDIEQGGSSEDGEKD
+>DECOY_sp|Q8IWU2|LMTK2_HUMAN Serine/threonine-protein kinase LMTK2 OS=Homo sapiens OX=9606 GN=LMTK2 PE=1 SV=2
+DKEGDESSGGQEIDSDTLHTLSFSAINAPSISFRSYPASHPWSQETSRAPPPPSFYKSTQLSPKSSLLNSTTKSMFPDPSFDDDWEFGGGEEDTSSESNICRSLYPSGSAPAPGSLDPGCAEGGCPGLEKTPTEQDFLYVTVDDFFTVAKKEKKWDEPLPDPANAATPKLLSRLHRGDENSLIIPVPHETEDESESSLSHLNFARLDEDSDDSNEDEEDADMGDESLDLMGSVGLKGLYKGEIDPEKLKPGESHSSLSKDLASNTYALLENTNTGTSALTCPRDDQTEFDDGSSLEANLVSWPSSAEDETPHVQQPVGTQAPEPTARLELDSSNHLASLCSEDPQSKRAELCSDQAAPSQEPLVPEPLPQEQVLVLASPSTGPVEESRKEPESDNDSFYASDRYSGQSGATFTEPTVETGRHGDGADSIVIVPNPPLGSHGGDGTTAPESDATGEPAPHLTWEPSELNETEYGSDAPKDPPVLKQPTHSGLSDLLAEHVDVSELSDPTELSPESAPFPASLSDELLSDQSTSDSSLASVLGAEKAADKSNLQNLTEVAELNKELRRHSHHDESFPKNHLEQGLEPLSSGVSVRSALIDDALVSDSETLRLTEESEGPSDQSRNDLSHTRADTSLIEVPVTVADPSIDQHLCDEPVIVDLCTPQTEGQTPLSDPPVRRPTEETEFSTPPVQVEPSSQLSTSLTDGTLMVDTDDGKTSVNEQLLSLGPKNEAFQLETDLSNRCSTELMAETFGANKLETQLDNIHEKSSLSGKLLNKEQLFLFNESLEQVNLPDFNDQHMLNDSLCLPESDFIKRPKEKEFHGTIVSEKPNDLSSSLTAPKFDAQVGNLEMLDFIKQHSPLDESKDVDNFINNFPSEPGSTVHLDGPLSSDKPDTSSQFFDEDTSSEELEVSRLATLQSLEPGTREPNDMDTTLLAPPYDLELNSGSKEELQIYYDSGVSLNHADFVPVVGPVRLPVDQGSDDQKGPGPDSLSSEFVEVPYFISTYSLGEDLHGRSREDFHDHKAAEWVYEFSLGQSTETVTLVEEMERGLRDRAFHDLIPFAANNSSDRSNTNPKLANWQQEFDVESDRQSQLRLYTLLRHVDEAAPRKEPSLWCFQLVEYWRDSYPQELQPKPLKTDRERIVQNLVDLNSLNSYPQAANDFLEWLTVGLSWINSYKTQDATLLRDQFSTVLEPATWRLPFVKKDDTEIYDEKYRSFGIGYDGVKVNLDSTLFCNRLALDSHLFHLKHMAALGAAVECAMRQLLMTQSDGRMHEQESRLYAKLDGLDCFEFVLLYPIAEVCQGVCQLINPHQLIYYPEGNKLFTDQEKPNASAKLEKVIVRAVSTGTYIEGLLVKGFWGNGIEQIYNLSHRAVQSKLGEVSPQFQSPAPLSINPVSLTFVEAPSQVSPTDEAPPTFDIEDDFNDEFEKFDIEPDKCCSVCNAILVILIILSCVCLIVFSSSVEAAPPAEGAGTQPLPAAGASGAILLVLLLLLLRRRLAPPGPM
+>sp|O60663|LMX1B_HUMAN LIM homeobox transcription factor 1-beta OS=Homo sapiens OX=9606 GN=LMX1B PE=1 SV=3
+MDIATGPESLERCFPRGQTDCAKMLDGIKMEEHALRPGPATLGVLLGSDCPHPAVCEGCQRPISDRFLMRVNESSWHEECLQCAACQQALTTSCYFRDRKLYCKQDYQQLFAAKCSGCMEKIAPTEFVMRALECVYHLGCFCCCVCERQLRKGDEFVLKEGQLLCKGDYEKEKDLLSSVSPDESDSVKSEDEDGDMKPAKGQGSQSKGSGDDGKDPRRPKRPRTILTTQQRRAFKASFEVSSKPCRKVRETLAAETGLSVRVVQVWFQNQRAKMKKLARRHQQQQEQQNSQRLGQEVLSSRMEGMMASYTPLAPPQQQIVAMEQSPYGSSDPFQQGLTPPQMPGDHMNPYGNDSIFHDIDSDTSLTSLSDCFLGSSDVGSLQARVGNPIDRLYSMQSSYFAS
+>DECOY_sp|O60663|LMX1B_HUMAN LIM homeobox transcription factor 1-beta OS=Homo sapiens OX=9606 GN=LMX1B PE=1 SV=3
+SAFYSSQMSYLRDIPNGVRAQLSGVDSSGLFCDSLSTLSTDSDIDHFISDNGYPNMHDGPMQPPTLGQQFPDSSGYPSQEMAVIQQQPPALPTYSAMMGEMRSSLVEQGLRQSNQQEQQQQHRRALKKMKARQNQFWVQVVRVSLGTEAALTERVKRCPKSSVEFSAKFARRQQTTLITRPRKPRRPDKGDDGSGKSQSGQGKAPKMDGDEDESKVSDSEDPSVSSLLDKEKEYDGKCLLQGEKLVFEDGKRLQRECVCCCFCGLHYVCELARMVFETPAIKEMCGSCKAAFLQQYDQKCYLKRDRFYCSTTLAQQCAACQLCEEHWSSENVRMLFRDSIPRQCGECVAPHPCDSGLLVGLTAPGPRLAHEEMKIGDLMKACDTQGRPFCRELSEPGTAIDM
+>sp|Q9H9Z2|LN28A_HUMAN Protein lin-28 homolog A OS=Homo sapiens OX=9606 GN=LIN28A PE=1 SV=1
+MGSVSNQQFAGGCAKAAEEAPEEAPEDAARAADEPQLLHGAGICKWFNVRMGFGFLSMTARAGVALDPPVDVFVHQSKLHMEGFRSLKEGEAVEFTFKKSAKGLESIRVTGPGGVFCIGSERRPKGKSMQKRRSKGDRCYNCGGLDHHAKECKLPPQPKKCHFCQSISHMVASCPLKAQQGPSAQGKPTYFREEEEEIHSPTLLPEAQN
+>DECOY_sp|Q9H9Z2|LN28A_HUMAN Protein lin-28 homolog A OS=Homo sapiens OX=9606 GN=LIN28A PE=1 SV=1
+NQAEPLLTPSHIEEEEERFYTPKGQASPGQQAKLPCSAVMHSISQCFHCKKPQPPLKCEKAHHDLGGCNYCRDGKSRRKQMSKGKPRRESGICFVGGPGTVRISELGKASKKFTFEVAEGEKLSRFGEMHLKSQHVFVDVPPDLAVGARATMSLFGFGMRVNFWKCIGAGHLLQPEDAARAADEPAEEPAEEAAKACGGAFQQNSVSGM
+>sp|Q99677|LPAR4_HUMAN Lysophosphatidic acid receptor 4 OS=Homo sapiens OX=9606 GN=LPAR4 PE=1 SV=1
+MGDRRFIDFQFQDSNSSLRPRLGNATANNTCIVDDSFKYNLNGAVYSVVFILGLITNSVSLFVFCFRMKMRSETAIFITNLAVSDLLFVCTLPFKIFYNFNRHWPFGDTLCKISGTAFLTNIYGSMLFLTCISVDRFLAIVYPFRSRTIRTRRNSAIVCAGVWILVLSGGISASLFSTTNVNNATTTCFEGFSKRVWKTYLSKITIFIEVVGFIIPLILNVSCSSVVLRTLRKPATLSQIGTNKKKVLKMITVHMAVFVVCFVPYNSVLFLYALVRSQAITNCFLERFAKIMYPITLCLATLNCCFDPFIYYFTLESFQKSFYINAHIRMESLFKTETPLTTKPSLPAIQEEVSDQTTNNGGELMLESTF
+>DECOY_sp|Q99677|LPAR4_HUMAN Lysophosphatidic acid receptor 4 OS=Homo sapiens OX=9606 GN=LPAR4 PE=1 SV=1
+FTSELMLEGGNNTTQDSVEEQIAPLSPKTTLPTETKFLSEMRIHANIYFSKQFSELTFYYIFPDFCCNLTALCLTIPYMIKAFRELFCNTIAQSRVLAYLFLVSNYPVFCVVFVAMHVTIMKLVKKKNTGIQSLTAPKRLTRLVVSSCSVNLILPIIFGVVEIFITIKSLYTKWVRKSFGEFCTTTANNVNTTSFLSASIGGSLVLIWVGACVIASNRRTRITRSRFPYVIALFRDVSICTLFLMSGYINTLFATGSIKCLTDGFPWHRNFNYFIKFPLTCVFLLDSVALNTIFIATESRMKMRFCFVFLSVSNTILGLIFVVSYVAGNLNYKFSDDVICTNNATANGLRPRLSSNSDQFQFDIFRRDGM
+>sp|Q8N5H3|LRA25_HUMAN Leucine repeat adapter protein 25 OS=Homo sapiens OX=9606 GN=FAM89B PE=1 SV=2
+MNGLPSAEAPGGAGCALAGLPPLPRGLSGLLNASGGSWRELERVYSQRSRIHDELSRAARAPDGPRHAAGAANAGPAAGPRRPVNLDSALAALRKEMVGLRQLDMSLLCQLWGLYESIQDYKHLCQDLSFCQDLSSSLHSDSSYPPDAGLSDDEEPPDASLPPDPPPLTVPQTHNARDQWLQDAFHISL
+>DECOY_sp|Q8N5H3|LRA25_HUMAN Leucine repeat adapter protein 25 OS=Homo sapiens OX=9606 GN=FAM89B PE=1 SV=2
+LSIHFADQLWQDRANHTQPVTLPPPDPPLSADPPEEDDSLGADPPYSSDSHLSSSLDQCFSLDQCLHKYDQISEYLGWLQCLLSMDLQRLGVMEKRLAALASDLNVPRRPGAAPGANAAGAAHRPGDPARAARSLEDHIRSRQSYVRELERWSGGSANLLGSLGRPLPPLGALACGAGGPAEASPLGNM
+>sp|Q5T700|LRAD1_HUMAN Low-density lipoprotein receptor class A domain-containing protein 1 OS=Homo sapiens OX=9606 GN=LDLRAD1 PE=1 SV=1
+MNKVFPQGENGYTAAESKAHPGGEAGGGHLCCSRRGACLSASLLLLLATVAALIALVTILGLPSCTPGAQACITLTNRTGFLCHDQRSCIPASGVCDGVRTCTHGEDEDESLCRDVPQSLPHFLVAHCGDPASWIYSDQKCDGTNNCGDCSDELSPVTVCPPCGPGWWRCPSTFFKYCDCIPRHLCRDHVQHCSDWSDEYACPGP
+>DECOY_sp|Q5T700|LRAD1_HUMAN Low-density lipoprotein receptor class A domain-containing protein 1 OS=Homo sapiens OX=9606 GN=LDLRAD1 PE=1 SV=1
+PGPCAYEDSWDSCHQVHDRCLHRPICDCYKFFTSPCRWWGPGCPPCVTVPSLEDSCDGCNNTGDCKQDSYIWSAPDGCHAVLFHPLSQPVDRCLSEDEDEGHTCTRVGDCVGSAPICSRQDHCLFGTRNTLTICAQAGPTCSPLGLITVLAILAAVTALLLLLSASLCAGRRSCCLHGGGAEGGPHAKSEAATYGNEGQPFVKNM
+>sp|O15165|LRAD4_HUMAN Low-density lipoprotein receptor class A domain-containing protein 4 OS=Homo sapiens OX=9606 GN=LDLRAD4 PE=1 SV=1
+MPEAGFQATNAFTECKFTCTSGKCLYLGSLVCNQQNDCGDNSDEENCLLVTEHPPPGIFNSELEFAQIIIIVVVVTVMVVVIVCLLNHYKVSTRSFINRPNQSRRREDGLPQEGCLWPSDSAAPRLGASEIMHAPRSRDRFTAPSFIQRDRFSRFQPTYPYVQHEIDLPPTISLSDGEEPPPYQGPCTLQLRDPEQQMELNRESVRAPPNRTIFDSDLIDIAMYSGGPCPPSSNSGISASTCSSNGRMEGPPPTYSEVMGHHPGASFLHHQRSNAHRGSRLQFQQNNAESTIVPIKGKDRKPGNLV
+>DECOY_sp|O15165|LRAD4_HUMAN Low-density lipoprotein receptor class A domain-containing protein 4 OS=Homo sapiens OX=9606 GN=LDLRAD4 PE=1 SV=1
+VLNGPKRDKGKIPVITSEANNQQFQLRSGRHANSRQHHLFSAGPHHGMVESYTPPPGEMRGNSSCTSASIGSNSSPPCPGGSYMAIDILDSDFITRNPPARVSERNLEMQQEPDRLQLTCPGQYPPPEEGDSLSITPPLDIEHQVYPYTPQFRSFRDRQIFSPATFRDRSRPAHMIESAGLRPAASDSPWLCGEQPLGDERRRSQNPRNIFSRTSVKYHNLLCVIVVVMVTVVVVIIIIQAFELESNFIGPPPHETVLLCNEEDSNDGCDNQQNCVLSGLYLCKGSTCTFKCETFANTAQFGAEPM
+>sp|P50851|LRBA_HUMAN Lipopolysaccharide-responsive and beige-like anchor protein OS=Homo sapiens OX=9606 GN=LRBA PE=1 SV=4
+MASEDNRVPSPPPTGDDGGGGGREETPTEGGALSLKPGLPIRGIRMKFAVLTGLVEVGEVSNRDIVETVFNLLVGGQFDLEMNFIIQEGESINCMVDLLEKCDITCQAEVWSMFTAILKKSIRNLQVCTEVGLVEKVLGKIEKVDNMIADLLVDMLGVLASYNLTVRELKLFFSKLQGDKGRWPPHAGKLLSVLKHMPQKYGPDAFFNFPGKSAAAIALPPIAKWPYQNGFTFHTWLRMDPVNNINVDKDKPYLYCFRTSKGLGYSAHFVGGCLIVTSIKSKGKGFQHCVKFDFKPQKWYMVTIVHIYNRWKNSELRCYVNGELASYGEITWFVNTSDTFDKCFLGSSETADANRVFCGQMTAVYLFSEALNAAQIFAIYQLGLGYKGTFKFKAESDLFLAEHHKLLLYDGKLSSAIAFTYNPRATDAQLCLESSPKDNPSIFVHSPHALMLQDVKAVLTHSIQSAMHSIGGVQVLFPLFAQLDYRQYLSDEIDLTICSTLLAFIMELLKNSIAMQEQMLACKGFLVIGYSLEKSSKSHVSRAVLELCLAFSKYLSNLQNGMPLLKQLCDHVLLNPAIWIHTPAKVQLMLYTYLSTEFIGTVNIYNTIRRVGTVLLIMHTLKYYYWAVNPQDRSGITPKGLDGPRPNQKEMLSLRAFLLMFIKQLVMKDSGVKEDELQAILNYLLTMHEDDNLMDVLQLLVALMSEHPNSMIPAFDQRNGLRVIYKLLASKSEGIRVQALKAMGYFLKHLAPKRKAEVMLGHGLFSLLAERLMLQTNLITMTTYNVLFEILIEQIGTQVIHKQHPDPDSSVKIQNPQILKVIATLLRNSPQCPESMEVRRAFLSDMIKLFNNSRENRRSLLQCSVWQEWMLSLCYFNPKNSDEQKITEMVYAIFRILLYHAVKYEWGGWRVWVDTLSITHSKVTFEIHKENLANIFREQQGKVDEEIGLCSSTSVQAASGIRRDINVSVGSQQPDTKDSPVCPHFTTNGNENSSIEKTSSLESASNIELQTTNTSYEEMKAEQENQELPDEGTLEETLTNETRNADDLEVSSDIIEAVAISSNSFITTGKDSMTVSEVTASISSPSEEDASEMPEFLDKSIVEEEEDDDYVELKVEGSPTEEANLPTELQDNSLSPAASEAGEKLDMFGNDDKLIFQEGKPVTEKQTDTETQDSKDSGIQTMTASGSSAMSPETTVSQIAVESDLGQMLEEGKKATNLTRETKLINDCHGSVSEASSEQKIAKLDVSNVATDTERLELKASPNVEAPQPHRHVLEISRQHEQPGQGIAPDAVNGQRRDSRSTVFRIPEFNWSQMHQRLLTDLLFSIETDIQMWRSHSTKTVMDFVNSSDNVIFVHNTIHLISQVMDNMVMACGGILPLLSAATSATHELENIEPTQGLSIEASVTFLQRLISLVDVLIFASSLGFTEIEAEKSMSSGGILRQCLRLVCAVAVRNCLECQQHSQLKTRGDKALKPMHSLIPLGKSAAKSPVDIVTGGISPVRDLDRLLQDMDINRLRAVVFRDIEDSKQAQFLALAVVYFISVLMVSKYRDILEPQNERHSQSCTETGSENENVSLSEITPAAFSTLTTASVEESESTSSARRRDSGIGEETATGLGSHVEVTPHTAPPGVSAGPDAISEVLSTLSLEVNKSPETKNDRGNDLDTKATPSVSVSKNVNVKDILRSLVNIPADGVTVDPALLPPACLGALGDLSVEQPVQFRSFDRSVIVAAKKSAVSPSTFNTSIPTNAVSVVSSVDSAQASDMGGESPGSRSSNAKLPSVPTVDSVSQDPVSNMSITERLEHALEKAAPLLREIFVDFAPFLSRTLLGSHGQELLIEGTSLVCMKSSSSVVELVMLLCSQEWQNSIQKNAGLAFIELVNEGRLLSQTMKDHLVRVANEAEFILSRQRAEDIHRHAEFESLCAQYSADKREDEKMCDHLIRAAKYRDHVTATQLIQKIINILTDKHGAWGNSAVSRPLEFWRLDYWEDDLRRRRRFVRNPLGSTHPEATLKTAVEHVCIFKLRENSKATDEDILAKGKQSIRSQALGNQNSENEILLEGDDDTLSSVDEKDLENLAGPVSLSTPAQLVAPSVVVKGTLSVTSSELYFEVDEEDPNFKKIDPKILAYTEGLHGKWLFTEIRSIFSRRYLLQNTALEIFMANRVAVMFNFPDPATVKKVVNYLPRVGVGTSFGLPQTRRISLASPRQLFKASNMTQRWQHREISNFEYLMFLNTIAGRSYNDLNQYPVFPWVITNYESEELDLTLPTNFRDLSKPIGALNPKRAAFFAERYESWEDDQVPKFHYGTHYSTASFVLAWLLRIEPFTTYFLNLQGGKFDHADRTFSSISRAWRNSQRDTSDIKELIPEFYYLPEMFVNFNNYNLGVMDDGTVVSDVELPPWAKTSEEFVHINRLALESEFVSCQLHQWIDLIFGYKQQGPEAVRALNVFYYLTYEGAVNLNSITDPVLREAVEAQIRSFGQTPSQLLIEPHPPRGSAMQVSPLMFTDKAQQDVIMVLKFPSNSPVTHVAANTQPGLATPAVITVTANRLFAVNKWHNLPAHQGAVQDQPYQLPVEIDPLIASNTGMHRRQITDLLDQSIQVHSQCFVITSDNRYILVCGFWDKSFRVYSTDTGRLIQVVFGHWDVVTCLARSESYIGGNCYILSGSRDATLLLWYWNGKCSGIGDNPGSETAAPRAILTGHDYEVTCAAVCAELGLVLSGSQEGPCLIHSMNGDLLRTLEGPENCLKPKLIQASREGHCVIFYENGLFCTFSVNGKLQATMETDDNIRAIQLSRDGQYLLTGGDRGVVVVRQVSDLKQLFAYPGCDAGIRAMALSYDQRCIISGMASGSIVLFYNDFNRWHHEYQTRY
+>DECOY_sp|P50851|LRBA_HUMAN Lipopolysaccharide-responsive and beige-like anchor protein OS=Homo sapiens OX=9606 GN=LRBA PE=1 SV=4
+YRTQYEHHWRNFDNYFLVISGSAMGSIICRQDYSLAMARIGADCGPYAFLQKLDSVQRVVVVGRDGGTLLYQGDRSLQIARINDDTEMTAQLKGNVSFTCFLGNEYFIVCHGERSAQILKPKLCNEPGELTRLLDGNMSHILCPGEQSGSLVLGLEACVAACTVEYDHGTLIARPAATESGPNDGIGSCKGNWYWLLLTADRSGSLIYCNGGIYSESRALCTVVDWHGFVVQILRGTDTSYVRFSKDWFGCVLIYRNDSTIVFCQSHVQISQDLLDTIQRRHMGTNSAILPDIEVPLQYPQDQVAGQHAPLNHWKNVAFLRNATVTIVAPTALGPQTNAAVHTVPSNSPFKLVMIVDQQAKDTFMLPSVQMASGRPPHPEILLQSPTQGFSRIQAEVAERLVPDTISNLNVAGEYTLYYFVNLARVAEPGQQKYGFILDIWQHLQCSVFESELALRNIHVFEESTKAWPPLEVDSVVTGDDMVGLNYNNFNVFMEPLYYFEPILEKIDSTDRQSNRWARSISSFTRDAHDFKGGQLNLFYTTFPEIRLLWALVFSATSYHTGYHFKPVQDDEWSEYREAFFAARKPNLAGIPKSLDRFNTPLTLDLEESEYNTIVWPFVPYQNLDNYSRGAITNLFMLYEFNSIERHQWRQTMNSAKFLQRPSALSIRRTQPLGFSTGVGVRPLYNVVKKVTAPDPFNFMVAVRNAMFIELATNQLLYRRSFISRIETFLWKGHLGETYALIKPDIKKFNPDEEDVEFYLESSTVSLTGKVVVSPAVLQAPTSLSVPGALNELDKEDVSSLTDDDGELLIENESNQNGLAQSRISQKGKALIDEDTAKSNERLKFICVHEVATKLTAEPHTSGLPNRVFRRRRRLDDEWYDLRWFELPRSVASNGWAGHKDTLINIIKQILQTATVHDRYKAARILHDCMKEDERKDASYQACLSEFEAHRHIDEARQRSLIFEAENAVRVLHDKMTQSLLRGENVLEIFALGANKQISNQWEQSCLLMVLEVVSSSSKMCVLSTGEILLEQGHSGLLTRSLFPAFDVFIERLLPAAKELAHELRETISMNSVPDQSVSDVTPVSPLKANSSRSGPSEGGMDSAQASDVSSVVSVANTPISTNFTSPSVASKKAAVIVSRDFSRFQVPQEVSLDGLAGLCAPPLLAPDVTVGDAPINVLSRLIDKVNVNKSVSVSPTAKTDLDNGRDNKTEPSKNVELSLTSLVESIADPGASVGPPATHPTVEVHSGLGTATEEGIGSDRRRASSTSESEEVSATTLTSFAAPTIESLSVNENESGTETCSQSHRENQPELIDRYKSVMLVSIFYVVALALFQAQKSDEIDRFVVARLRNIDMDQLLRDLDRVPSIGGTVIDVPSKAASKGLPILSHMPKLAKDGRTKLQSHQQCELCNRVAVACVLRLCQRLIGGSSMSKEAEIETFGLSSAFILVDVLSILRQLFTVSAEISLGQTPEINELEHTASTAASLLPLIGGCAMVMNDMVQSILHITNHVFIVNDSSNVFDMVTKTSHSRWMQIDTEISFLLDTLLRQHMQSWNFEPIRFVTSRSDRRQGNVADPAIGQGPQEHQRSIELVHRHPQPAEVNPSAKLELRETDTAVNSVDLKAIKQESSAESVSGHCDNILKTERTLNTAKKGEELMQGLDSEVAIQSVTTEPSMASSGSATMTQIGSDKSDQTETDTQKETVPKGEQFILKDDNGFMDLKEGAESAAPSLSNDQLETPLNAEETPSGEVKLEVYDDDEEEEVISKDLFEPMESADEESPSSISATVESVTMSDKGTTIFSNSSIAVAEIIDSSVELDDANRTENTLTEELTGEDPLEQNEQEAKMEEYSTNTTQLEINSASELSSTKEISSNENGNTTFHPCVPSDKTDPQQSGVSVNIDRRIGSAAQVSTSSCLGIEEDVKGQQERFINALNEKHIEFTVKSHTISLTDVWVRWGGWEYKVAHYLLIRFIAYVMETIKQEDSNKPNFYCLSLMWEQWVSCQLLSRRNERSNNFLKIMDSLFARRVEMSEPCQPSNRLLTAIVKLIQPNQIKVSSDPDPHQKHIVQTGIQEILIEFLVNYTTMTILNTQLMLREALLSFLGHGLMVEAKRKPALHKLFYGMAKLAQVRIGESKSALLKYIVRLGNRQDFAPIMSNPHESMLAVLLQLVDMLNDDEHMTLLYNLIAQLEDEKVGSDKMVLQKIFMLLFARLSLMEKQNPRPGDLGKPTIGSRDQPNVAWYYYKLTHMILLVTGVRRITNYINVTGIFETSLYTYLMLQVKAPTHIWIAPNLLVHDCLQKLLPMGNQLNSLYKSFALCLELVARSVHSKSSKELSYGIVLFGKCALMQEQMAISNKLLEMIFALLTSCITLDIEDSLYQRYDLQAFLPFLVQVGGISHMASQISHTLVAKVDQLMLAHPSHVFISPNDKPSSELCLQADTARPNYTFAIASSLKGDYLLLKHHEALFLDSEAKFKFTGKYGLGLQYIAFIQAANLAESFLYVATMQGCFVRNADATESSGLFCKDFTDSTNVFWTIEGYSALEGNVYCRLESNKWRNYIHVITVMYWKQPKFDFKVCHQFGKGKSKISTVILCGGVFHASYGLGKSTRFCYLYPKDKDVNINNVPDMRLWTHFTFGNQYPWKAIPPLAIAAASKGPFNFFADPGYKQPMHKLVSLLKGAHPPWRGKDGQLKSFFLKLERVTLNYSALVGLMDVLLDAIMNDVKEIKGLVKEVLGVETCVQLNRISKKLIATFMSWVEAQCTIDCKELLDVMCNISEGEQIIFNMELDFQGGVLLNFVTEVIDRNSVEGVEVLGTLVAFKMRIGRIPLGPKLSLAGGETPTEERGGGGGDDGTPPPSPVRNDESAM
+>sp|Q8TF66|LRC15_HUMAN Leucine-rich repeat-containing protein 15 OS=Homo sapiens OX=9606 GN=LRRC15 PE=2 SV=2
+MPLKHYLLLLVGCQAWGAGLAYHGCPSECTCSRASQVECTGARIVAVPTPLPWNAMSLQILNTHITELNESPFLNISALIALRIEKNELSRITPGAFRNLGSLRYLSLANNKLQVLPIGLFQGLDSLESLLLSSNQLLQIQPAHFSQCSNLKELQLHGNHLEYIPDGAFDHLVGLTKLNLGKNSLTHISPRVFQHLGNLQVLRLYENRLTDIPMGTFDGLVNLQELALQQNQIGLLSPGLFHNNHNLQRLYLSNNHISQLPPSVFMQLPQLNRLTLFGNSLKELSPGIFGPMPNLRELWLYDNHISSLPDNVFSNLRQLQVLILSRNQISFISPGAFNGLTELRELSLHTNALQDLDGNVFRMLANLQNISLQNNRLRQLPGNIFANVNGLMAIQLQNNQLENLPLGIFDHLGKLCELRLYDNPWRCDSDILPLRNWLLLNQPRLGTDTVPVCFSPANVRGQSLIIINVNVAVPSVHVPEVPSYPETPWYPDTPSYPDTTSVSSTTELTSPVEDYTDLTTIQVTDDRSVWGMTQAQSGLAIAAIVIGIVALACSLAACVGCCCCKKRSQAVLMQMKAPNEC
+>DECOY_sp|Q8TF66|LRC15_HUMAN Leucine-rich repeat-containing protein 15 OS=Homo sapiens OX=9606 GN=LRRC15 PE=2 SV=2
+CENPAKMQMLVAQSRKKCCCCGVCAALSCALAVIGIVIAAIALGSQAQTMGWVSRDDTVQITTLDTYDEVPSTLETTSSVSTTDPYSPTDPYWPTEPYSPVEPVHVSPVAVNVNIIILSQGRVNAPSFCVPVTDTGLRPQNLLLWNRLPLIDSDCRWPNDYLRLECLKGLHDFIGLPLNELQNNQLQIAMLGNVNAFINGPLQRLRNNQLSINQLNALMRFVNGDLDQLANTHLSLERLETLGNFAGPSIFSIQNRSLILVQLQRLNSFVNDPLSSIHNDYLWLERLNPMPGFIGPSLEKLSNGFLTLRNLQPLQMFVSPPLQSIHNNSLYLRQLNHNNHFLGPSLLGIQNQQLALEQLNVLGDFTGMPIDTLRNEYLRLVQLNGLHQFVRPSIHTLSNKGLNLKTLGVLHDFAGDPIYELHNGHLQLEKLNSCQSFHAPQIQLLQNSSLLLSELSDLGQFLGIPLVQLKNNALSLYRLSGLNRFAGPTIRSLENKEIRLAILASINLFPSENLETIHTNLIQLSMANWPLPTPVAVIRAGTCEVQSARSCTCESPCGHYALGAGWAQCGVLLLLYHKLPM
+>sp|Q8N456|LRC18_HUMAN Leucine-rich repeat-containing protein 18 OS=Homo sapiens OX=9606 GN=LRRC18 PE=2 SV=2
+MVKGEKGPKGKKITLKVARNCIKITFDGKKRLDLSKMGITTFPKCILRLSDMDELDLSRNLIRKIPDSISKFQNLRWLDLHSNYIDKLPESIGQMTSLLYLNVSNNRLTSNGLPVELKQLKNIRAVNLGLNHLDSVPTTLGALKELHEVGLHDNLLNNIPVSISKLPKLKKLNIKRNPFPKPGESEIFIDSIRRLENLYVVEEKDLCAACLRKCQNARDNLNRIKNMATTTPRKTIFPNLISPNSMAKDSWEDWRIRLTSS
+>DECOY_sp|Q8N456|LRC18_HUMAN Leucine-rich repeat-containing protein 18 OS=Homo sapiens OX=9606 GN=LRRC18 PE=2 SV=2
+SSTLRIRWDEWSDKAMSNPSILNPFITKRPTTTAMNKIRNLNDRANQCKRLCAACLDKEEVVYLNELRRISDIFIESEGPKPFPNRKINLKKLKPLKSISVPINNLLNDHLGVEHLEKLAGLTTPVSDLHNLGLNVARINKLQKLEVPLGNSTLRNNSVNLYLLSTMQGISEPLKDIYNSHLDLWRLNQFKSISDPIKRILNRSLDLEDMDSLRLICKPFTTIGMKSLDLRKKGDFTIKICNRAVKLTIKKGKPGKEGKVM
+>sp|Q53EV4|LRC23_HUMAN Leucine-rich repeat-containing protein 23 OS=Homo sapiens OX=9606 GN=LRRC23 PE=2 SV=2
+MSDEDDLEDSEPDQDDSEKEEDEKETEEGEDYRKEGEEFPEEWLPTPLTEDMMKEGLSLLCKTGNGLAHAYVKLEVKERDLTDIYLLRSYIHLRYVDISENHLTDLSPLNYLTHLLWLKADGNRLRSAQMNELPYLQIASFAYNQITDTEGISHPRLETLNLKGNSIHMVTGLDPEKLISLHTVELRGNQLESTLGINLPKLKNLYLAQNMLKKVEGLEDLSNLTTLHLRDNQIDTLSGFSREMKSLQYLNLRGNMVANLGELAKLRDLPKLRALVLLDNPCTDETSYRQEALVQMPYLERLDKEFYEEEERAEADVIRQRLKEEKEQEPEPQRDLEPEQSLI
+>DECOY_sp|Q53EV4|LRC23_HUMAN Leucine-rich repeat-containing protein 23 OS=Homo sapiens OX=9606 GN=LRRC23 PE=2 SV=2
+ILSQEPELDRQPEPEQEKEEKLRQRIVDAEAREEEEYFEKDLRELYPMQVLAEQRYSTEDTCPNDLLVLARLKPLDRLKALEGLNAVMNGRLNLYQLSKMERSFGSLTDIQNDRLHLTTLNSLDELGEVKKLMNQALYLNKLKPLNIGLTSELQNGRLEVTHLSILKEPDLGTVMHISNGKLNLTELRPHSIGETDTIQNYAFSAIQLYPLENMQASRLRNGDAKLWLLHTLYNLPSLDTLHNESIDVYRLHIYSRLLYIDTLDREKVELKVYAHALGNGTKCLLSLGEKMMDETLPTPLWEEPFEEGEKRYDEGEETEKEDEEKESDDQDPESDELDDEDSM
+>sp|Q2I0M4|LRC26_HUMAN Leucine-rich repeat-containing protein 26 OS=Homo sapiens OX=9606 GN=LRRC26 PE=1 SV=2
+MRGPSWSRPRPLLLLLLLLSPWPVWAQVSATASPSGSLGAPDCPEVCTCVPGGLASCSALSLPAVPPGLSLRLRALLLDHNRVRALPPGAFAGAGALQRLDLRENGLHSVHVRAFWGLGALQLLDLSANQLEALAPGTFAPLRALRNLSLAGNRLARLEPAALGALPLLRSLSLQDNELAALAPGLLGRLPALDALHLRGNPWGCGCALRPLCAWLRRHPLPASEAETVLCVWPGRLTLSPLTAFSDAAFSHCAQPLALRDLAVVYTLGPASFLVSLASCLALGSGLTACRARRRRLRTAALRPPRPPDPNPDPDPHGCASPADPGSPAAAAQA
+>DECOY_sp|Q2I0M4|LRC26_HUMAN Leucine-rich repeat-containing protein 26 OS=Homo sapiens OX=9606 GN=LRRC26 PE=1 SV=2
+AQAAAAPSGPDAPSACGHPDPDPNPDPPRPPRLAATRLRRRRARCATLGSGLALCSALSVLFSAPGLTYVVALDRLALPQACHSFAADSFATLPSLTLRGPWVCLVTEAESAPLPHRRLWACLPRLACGCGWPNGRLHLADLAPLRGLLGPALAALENDQLSLSRLLPLAGLAAPELRALRNGALSLNRLARLPAFTGPALAELQNASLDLLQLAGLGWFARVHVSHLGNERLDLRQLAGAGAFAGPPLARVRNHDLLLARLRLSLGPPVAPLSLASCSALGGPVCTCVEPCDPAGLSGSPSATASVQAWVPWPSLLLLLLLLPRPRSWSPGRM
+>sp|Q5JTD7|LRC73_HUMAN Leucine-rich repeat-containing protein 73 OS=Homo sapiens OX=9606 GN=LRRC73 PE=2 SV=1
+MLPSSIQISGEPLSGAEVRDICRGLRDNAVRLLSLRGCRLCDRDFGRICRALAGATSLAQLNLNLGVVSSPSRIKQLAEALRTNRSIQSLFLHGSPLTDAGLALLNPALALHPALVALDLGDCMLGDEAINLICGLLPPDGAKSGLKELTLSANPGITPKGWSRLAIAVAHSSQVRVLNLDYNPLGDHVAGMLAVAVASSRTLEVLDLEGTGLTNQSAQTLLDMVENYPTALRSLVLAENSISPELQQQICDLLSEGEEEEEVAGGAGDTQEWERGREPAAHQRGSSSWMCPSDPSSQMVLMTSGLGDSLLAETEM
+>DECOY_sp|Q5JTD7|LRC73_HUMAN Leucine-rich repeat-containing protein 73 OS=Homo sapiens OX=9606 GN=LRRC73 PE=2 SV=1
+METEALLSDGLGSTMLVMQSSPDSPCMWSSSGRQHAAPERGREWEQTDGAGGAVEEEEEGESLLDCIQQQLEPSISNEALVLSRLATPYNEVMDLLTQASQNTLGTGELDLVELTRSSAVAVALMGAVHDGLPNYDLNLVRVQSSHAVAIALRSWGKPTIGPNASLTLEKLGSKAGDPPLLGCILNIAEDGLMCDGLDLAVLAPHLALAPNLLALGADTLPSGHLFLSQISRNTRLAEALQKIRSPSSVVGLNLNLQALSTAGALARCIRGFDRDCLRCGRLSLLRVANDRLGRCIDRVEAGSLPEGSIQISSPLM
+>sp|Q8IWT6|LRC8A_HUMAN Volume-regulated anion channel subunit LRRC8A OS=Homo sapiens OX=9606 GN=LRRC8A PE=1 SV=1
+MIPVTELRYFADTQPAYRILKPWWDVFTDYISIVMLMIAVFGGTLQVTQDKMICLPCKWVTKDSCNDSFRGWAAPGPEPTYPNSTILPTPDTGPTGIKYDLDRHQYNYVDAVCYENRLHWFAKYFPYLVLLHTLIFLACSNFWFKFPRTSSKLEHFVSILLKCFDSPWTTRALSETVVEESDPKPAFSKMNGSMDKKSSTVSEDVEATVPMLQRTKSRIEQGIVDRSETGVLDKKEGEQAKALFEKVKKFRTHVEEGDIVYRLYMRQTIIKVIKFILIICYTVYYVHNIKFDVDCTVDIESLTGYRTYRCAHPLATLFKILASFYISLVIFYGLICMYTLWWMLRRSLKKYSFESIREESSYSDIPDVKNDFAFMLHLIDQYDPLYSKRFAVFLSEVSENKLRQLNLNNEWTLDKLRQRLTKNAQDKLELHLFMLSGIPDTVFDLVELEVLKLELIPDVTIPPSIAQLTGLKELWLYHTAAKIEAPALAFLRENLRALHIKFTDIKEIPLWIYSLKTLEELHLTGNLSAENNRYIVIDGLRELKRLKVLRLKSNLSKLPQVVTDVGVHLQKLSINNEGTKLIVLNSLKKMANLTELELIRCDLERIPHSIFSLHNLQEIDLKDNNLKTIEEIISFQHLHRLTCLKLWYNHIAYIPIQIGNLTNLERLYLNRNKIEKIPTQLFYCRKLRYLDLSHNNLTFLPADIGLLQNLQNLAITANRIETLPPELFQCRKLRALHLGNNVLQSLPSRVGELTNLTQIELRGNRLECLPVELGECPLLKRSGLVVEEDLFNTLPPEVKERLWRADKEQA
+>DECOY_sp|Q8IWT6|LRC8A_HUMAN Volume-regulated anion channel subunit LRRC8A OS=Homo sapiens OX=9606 GN=LRRC8A PE=1 SV=1
+AQEKDARWLREKVEPPLTNFLDEEVVLGSRKLLPCEGLEVPLCELRNGRLEIQTLNTLEGVRSPLSQLVNNGLHLARLKRCQFLEPPLTEIRNATIALNQLNQLLGIDAPLFTLNNHSLDLYRLKRCYFLQTPIKEIKNRNLYLRELNTLNGIQIPIYAIHNYWLKLCTLRHLHQFSIIEEITKLNNDKLDIEQLNHLSFISHPIRELDCRILELETLNAMKKLSNLVILKTGENNISLKQLHVGVDTVVQPLKSLNSKLRLVKLRKLERLGDIVIYRNNEASLNGTLHLEELTKLSYIWLPIEKIDTFKIHLARLNERLFALAPAEIKAATHYLWLEKLGTLQAISPPITVDPILELKLVELEVLDFVTDPIGSLMFLHLELKDQANKTLRQRLKDLTWENNLNLQRLKNESVESLFVAFRKSYLPDYQDILHLMFAFDNKVDPIDSYSSEERISEFSYKKLSRRLMWWLTYMCILGYFIVLSIYFSALIKFLTALPHACRYTRYGTLSEIDVTCDVDFKINHVYYVTYCIILIFKIVKIITQRMYLRYVIDGEEVHTRFKKVKEFLAKAQEGEKKDLVGTESRDVIGQEIRSKTRQLMPVTAEVDESVTSSKKDMSGNMKSFAPKPDSEEVVTESLARTTWPSDFCKLLISVFHELKSSTRPFKFWFNSCALFILTHLLVLYPFYKAFWHLRNEYCVADVYNYQHRDLDYKIGTPGTDPTPLITSNPYTPEPGPAAWGRFSDNCSDKTVWKCPLCIMKDQTVQLTGGFVAIMLMVISIYDTFVDWWPKLIRYAPQTDAFYRLETVPIM
+>sp|Q9Y2L9|LRCH1_HUMAN Leucine-rich repeat and calponin homology domain-containing protein 1 OS=Homo sapiens OX=9606 GN=LRCH1 PE=1 SV=3
+MATPGSEPQPFVPALSVATLHPLHHPHHHHHHHQHHGGTGAPGGAGGGGGGSGGFNLPLNRGLERALEEAANSGGLNLSARKLKEFPRTAAPGHDLSDTVQADLSKNRLVEVPMELCHFVSLEILNLYHNCIRVIPEAIVNLQMLTYLNLSRNQLSALPACLCGLPLKVLIASNNKLGSLPEEIGQLKQLMELDVSCNEITALPQQIGQLKSLRELNVRRNYLKVLPQELVDLSLVKFDFSCNKVLVIPICFREMKQLQVLLLENNPLQSPPAQICTKGKVHIFKYLSIQACQIKTADSLYLHTMERPHLHQHVEDGKKDSDSGVGSDNGDKRLSATEPSDEDTVSLNVPMSNIMEEEQIIKEDSCHRLSPVKGEFHQEFQPEPSLLGDSTNSGEERDQFTDRADGLHSEFMNYKARAEDCEELLRIEEDVHWQTEGIISSSKDQDMDIAMIEQLREAVDLLQDPNGLSTDITERSVLNLYPMGSAEALELQDSALNGQIQLETSPVCEVQSDLTLQSNGSQYSPNEIRENSPAVSPTTNSTAPFGLKPRSVFLRPQRNLESIDPQFTIRRKMEQMREEKELVEQLRESIEMRLKVSLHEDLGAALMDGVVLCHLVNHIRPRSVASIHVPSPAVPKLSMAKCRRNVENFLEACRKLGVPEADLCSPCDILQLDFRHIRKTVDTLLALGEKAPPPTSALRSRDLIGFCLVHILFIVLVYITYHWNALSA
+>DECOY_sp|Q9Y2L9|LRCH1_HUMAN Leucine-rich repeat and calponin homology domain-containing protein 1 OS=Homo sapiens OX=9606 GN=LRCH1 PE=1 SV=3
+ASLANWHYTIYVLVIFLIHVLCFGILDRSRLASTPPPAKEGLALLTDVTKRIHRFDLQLIDCPSCLDAEPVGLKRCAELFNEVNRRCKAMSLKPVAPSPVHISAVSRPRIHNVLHCLVVGDMLAAGLDEHLSVKLRMEISERLQEVLEKEERMQEMKRRITFQPDISELNRQPRLFVSRPKLGFPATSNTTPSVAPSNERIENPSYQSGNSQLTLDSQVECVPSTELQIQGNLASDQLELAEASGMPYLNLVSRETIDTSLGNPDQLLDVAERLQEIMAIDMDQDKSSSIIGETQWHVDEEIRLLEECDEARAKYNMFESHLGDARDTFQDREEGSNTSDGLLSPEPQFEQHFEGKVPSLRHCSDEKIIQEEEMINSMPVNLSVTDEDSPETASLRKDGNDSGVGSDSDKKGDEVHQHLHPREMTHLYLSDATKIQCAQISLYKFIHVKGKTCIQAPPSQLPNNELLLVQLQKMERFCIPIVLVKNCSFDFKVLSLDVLEQPLVKLYNRRVNLERLSKLQGIQQPLATIENCSVDLEMLQKLQGIEEPLSGLKNNSAILVKLPLGCLCAPLASLQNRSLNLYTLMQLNVIAEPIVRICNHYLNLIELSVFHCLEMPVEVLRNKSLDAQVTDSLDHGPAATRPFEKLKRASLNLGGSNAAEELARELGRNLPLNFGGSGGGGGGAGGPAGTGGHHQHHHHHHHPHHLPHLTAVSLAPVFPQPESGPTAM
+>sp|Q96II8|LRCH3_HUMAN Leucine-rich repeat and calponin homology domain-containing protein 3 OS=Homo sapiens OX=9606 GN=LRCH3 PE=1 SV=2
+MAAAGLVAVAAAAEYSGTVASGGNLPGVHCGPSSGAGPGFGPGSWSRSLDRALEEAAVTGVLSLSGRKLREFPRGAANHDLTDTTRADLSRNRLSEIPIEACHFVSLENLNLYQNCIRYIPEAILNLQALTFLNISRNQLSTLPVHLCNLPLKVLIASNNKLVSLPEEIGHLRHLMELDVSCNEIQTIPSQIGNLEALRDLNVRRNHLVHLPEELAELPLIRLDFSCNKITTIPVCYRNLRHLQTITLDNNPLQSPPAQICIKGKVHIFKYLNIQACKIAPDLPDYDRRPLGFGSCHEELYSSRPYGALDSGFNSVDSGDKRWSGNEPTDEFSDLPLRVAEITKEQRLRRESQYQENRGSLVVTNGGVEHDLDQIDYIDSCTAEEEEAEVRQPKGPDPDSLSSQFMAYIEQRRISHEGSPVKPVAIREFQKTEDMRRYLHQNRVPAEPSSLLSLSASHNQLSHTDLELHQRREQLVERTRREAQLAALQYEEEKIRTKQIQRDAVLDFVKQKASQSPQKQHPLLDGVDGECPFPSRRSQHTDDSALCMSLSGLNQVGCAATLPHSSAFTPLKSDDRPNALLSSPATETVHHSPAYSFPAAIQRNQPQRPESFLFRAGVRAETNKGHASPLPPSAAPTTDSTDSITGQNSRQREEELELIDQLRKHIEYRLKVSLPCDLGAALTDGVVLCHLANHVRPRSVPSIHVPSPAVPKLTMAKCRRNVENFLEACRKIGVPQEQLCLPLHILEEKGLSQVAVTVQALLELAPPKQQQHQLSAV
+>DECOY_sp|Q96II8|LRCH3_HUMAN Leucine-rich repeat and calponin homology domain-containing protein 3 OS=Homo sapiens OX=9606 GN=LRCH3 PE=1 SV=2
+VASLQHQQQKPPALELLAQVTVAVQSLGKEELIHLPLCLQEQPVGIKRCAELFNEVNRRCKAMTLKPVAPSPVHISPVSRPRVHNALHCLVVGDTLAAGLDCPLSVKLRYEIHKRLQDILELEEERQRSNQGTISDTSDTTPAASPPLPSAHGKNTEARVGARFLFSEPRQPQNRQIAAPFSYAPSHHVTETAPSSLLANPRDDSKLPTFASSHPLTAACGVQNLGSLSMCLASDDTHQSRRSPFPCEGDVGDLLPHQKQPSQSAKQKVFDLVADRQIQKTRIKEEEYQLAALQAERRTREVLQERRQHLELDTHSLQNHSASLSLLSSPEAPVRNQHLYRRMDETKQFERIAVPKVPSGEHSIRRQEIYAMFQSSLSDPDPGKPQRVEAEEEEATCSDIYDIQDLDHEVGGNTVVLSGRNEQYQSERRLRQEKTIEAVRLPLDSFEDTPENGSWRKDGSDVSNFGSDLAGYPRSSYLEEHCSGFGLPRRDYDPLDPAIKCAQINLYKFIHVKGKICIQAPPSQLPNNDLTITQLHRLNRYCVPITTIKNCSFDLRILPLEALEEPLHVLHNRRVNLDRLAELNGIQSPITQIENCSVDLEMLHRLHGIEEPLSVLKNNSAILVKLPLNCLHVPLTSLQNRSINLFTLAQLNLIAEPIYRICNQYLNLNELSVFHCAEIPIESLRNRSLDARTTDTLDHNAAGRPFERLKRGSLSLVGTVAAEELARDLSRSWSGPGFGPGAGSSPGCHVGPLNGGSAVTGSYEAAAAVAVLGAAAM
+>sp|Q9P244|LRFN1_HUMAN Leucine-rich repeat and fibronectin type III domain-containing protein 1 OS=Homo sapiens OX=9606 GN=LRFN1 PE=1 SV=2
+MAPGPFSSALLSPPPAALPFLLLLWAGASRGQPCPGRCICQNVAPTLTMLCAKTGLLFVPPAIDRRVVELRLTDNFIAAVRRRDFANMTSLVHLTLSRNTIGQVAAGAFADLRALRALHLDSNRLAEVRGDQLRGLGNLRHLILGNNQIRRVESAAFDAFLSTVEDLDLSYNNLEALPWEAVGQMVNLNTLTLDHNLIDHIAEGTFVQLHKLVRLDMTSNRLHKLPPDGLFLRSQGTGPKPPTPLTVSFGGNPLHCNCELLWLRRLTREDDLETCATPEHLTDRYFWSIPEEEFLCEPPLITRQAGGRALVVEGQAVSLRCRAVGDPEPVVHWVAPDGRLLGNSSRTRVRGDGTLDVTITTLRDSGTFTCIASNAAGEATAPVEVCVVPLPLMAPPPAAPPPLTEPGSSDIATPGRPGANDSAAERRLVAAELTSNSVLIRWPAQRPVPGIRMYQVQYNSSVDDSLVYRMIPSTSQTFLVNDLAAGRAYDLCVLAVYDDGATALPATRVVGCVQFTTAGDPAPCRPLRAHFLGGTMIIAIGGVIVASVLVFIVLLMIRYKVYGDGDSRRVKGSRSLPRVSHVCSQTNGAGTGAAQAPALPAQDHYEALREVESQAAPAVAVEAKAMEAETASAEPEVVLGRSLGGSATSLCLLPSEETSGEESRAAVGPRRSRSGALEPPTSAPPTLALVPGGAAARPRPQQRYSFDGDYGALFQSHSYPRRARRTKRHRSTPHLDGAGGGAAGEDGDLGLGSARACLAFTSTEWMLESTV
+>DECOY_sp|Q9P244|LRFN1_HUMAN Leucine-rich repeat and fibronectin type III domain-containing protein 1 OS=Homo sapiens OX=9606 GN=LRFN1 PE=1 SV=2
+VTSELMWETSTFALCARASGLGLDGDEGAAGGGAGDLHPTSRHRKTRRARRPYSHSQFLAGYDGDFSYRQQPRPRAAAGGPVLALTPPASTPPELAGSRSRRPGVAARSEEGSTEESPLLCLSTASGGLSRGLVVEPEASATEAEMAKAEVAVAPAAQSEVERLAEYHDQAPLAPAQAAGTGAGNTQSCVHSVRPLSRSGKVRRSDGDGYVKYRIMLLVIFVLVSAVIVGGIAIIMTGGLFHARLPRCPAPDGATTFQVCGVVRTAPLATAGDDYVALVCLDYARGAALDNVLFTQSTSPIMRYVLSDDVSSNYQVQYMRIGPVPRQAPWRILVSNSTLEAAVLRREAASDNAGPRGPTAIDSSGPETLPPPAAPPPAMLPLPVVCVEVPATAEGAANSAICTFTGSDRLTTITVDLTGDGRVRTRSSNGLLRGDPAVWHVVPEPDGVARCRLSVAQGEVVLARGGAQRTILPPECLFEEEPISWFYRDTLHEPTACTELDDERTLRRLWLLECNCHLPNGGFSVTLPTPPKPGTGQSRLFLGDPPLKHLRNSTMDLRVLKHLQVFTGEAIHDILNHDLTLTNLNVMQGVAEWPLAELNNYSLDLDEVTSLFADFAASEVRRIQNNGLILHRLNGLGRLQDGRVEALRNSDLHLARLARLDAFAGAAVQGITNRSLTLHVLSTMNAFDRRRVAAIFNDTLRLEVVRRDIAPPVFLLGTKACLMTLTPAVNQCICRGPCPQGRSAGAWLLLLFPLAAPPPSLLASSFPGPAM
+>sp|Q9ULH4|LRFN2_HUMAN Leucine-rich repeat and fibronectin type-III domain-containing protein 2 OS=Homo sapiens OX=9606 GN=LRFN2 PE=1 SV=2
+METLLGGLLAFGMAFAVVDACPKYCVCQNLSESLGTLCPSKGLLFVPPDIDRRTVELRLGGNFIIHISRQDFANMTGLVDLTLSRNTISHIQPFSFLDLESLRSLHLDSNRLPSLGEDTLRGLVNLQHLIVNNNQLGGIADEAFEDFLLTLEDLDLSYNNLHGLPWDSVRRMVNLHQLSLDHNLLDHIAEGTFADLQKLARLDLTSNRLQKLPPDPIFARSQASALTATPFAPPLSFSFGGNPLHCNCELLWLRRLERDDDLETCGSPGGLKGRYFWHVREEEFVCEPPLITQHTHKLLVLEGQAATLKCKAIGDPSPLIHWVAPDDRLVGNSSRTAVYDNGTLDIFITTSQDSGAFTCIAANAAGEATAMVEVSIVQLPHLSNSTSRTAPPKSRLSDITGSSKTSRGGGGSGGGEPPKSPPERAVLVSEVTTTSALVKWSVSKSAPRVKMYQLQYNCSDDEVLIYRMIPASNKAFVVNNLVSGTGYDLCVLAMWDDTATTLTATNIVGCAQFFTKADYPQCQSMHSQILGGTMILVIGGIIVATLLVFIVILMVRYKVCNHEAPSKMAAAVSNVYSQTNGAQPPPPSSAPAGAPPQGPPKVVVRNELLDFTASLARASDSSSSSSLGSGEAAGLGRAPWRIPPSAPRPKPSLDRLMGAFASLDLKSQRKEELLDSRTPAGRGAGTSARGHHSDREPLLGPPAARARSLLPLPLEGKAKRSHSFDMGDFAAAAAGGVVPGGYSPPRKVSNIWTKRSLSVNGMLLPFEESDLVGARGTFGSSEWVMESTV
+>DECOY_sp|Q9ULH4|LRFN2_HUMAN Leucine-rich repeat and fibronectin type-III domain-containing protein 2 OS=Homo sapiens OX=9606 GN=LRFN2 PE=1 SV=2
+VTSEMVWESSGFTGRAGVLDSEEFPLLMGNVSLSRKTWINSVKRPPSYGGPVVGGAAAAAFDGMDFSHSRKAKGELPLPLLSRARAAPPGLLPERDSHHGRASTGAGRGAPTRSDLLEEKRQSKLDLSAFAGMLRDLSPKPRPASPPIRWPARGLGAAEGSGLSSSSSSDSARALSATFDLLENRVVVKPPGQPPAGAPASSPPPPQAGNTQSYVNSVAAAMKSPAEHNCVKYRVMLIVIFVLLTAVIIGGIVLIMTGGLIQSHMSQCQPYDAKTFFQACGVINTATLTTATDDWMALVCLDYGTGSVLNNVVFAKNSAPIMRYILVEDDSCNYQLQYMKVRPASKSVSWKVLASTTTVESVLVAREPPSKPPEGGGSGGGGRSTKSSGTIDSLRSKPPATRSTSNSLHPLQVISVEVMATAEGAANAAICTFAGSDQSTTIFIDLTGNDYVATRSSNGVLRDDPAVWHILPSPDGIAKCKLTAAQGELVLLKHTHQTILPPECVFEEERVHWFYRGKLGGPSGCTELDDDRELRRLWLLECNCHLPNGGFSFSLPPAFPTATLASAQSRAFIPDPPLKQLRNSTLDLRALKQLDAFTGEAIHDLLNHDLSLQHLNVMRRVSDWPLGHLNNYSLDLDELTLLFDEFAEDAIGGLQNNNVILHQLNVLGRLTDEGLSPLRNSDLHLSRLSELDLFSFPQIHSITNRSLTLDVLGTMNAFDQRSIHIIFNGGLRLEVTRRDIDPPVFLLGKSPCLTGLSESLNQCVCYKPCADVVAFAMGFALLGGLLTEM
+>sp|Q9BTN0|LRFN3_HUMAN Leucine-rich repeat and fibronectin type-III domain-containing protein 3 OS=Homo sapiens OX=9606 GN=LRFN3 PE=2 SV=1
+MAILPLLLCLLPLAPASSPPQSATPSPCPRRCRCQTQSLPLSVLCPGAGLLFVPPSLDRRAAELRLADNFIASVRRRDLANMTGLLHLSLSRNTIRHVAAGAFADLRALRALHLDGNRLTSLGEGQLRGLVNLRHLILSNNQLAALAAGALDDCAETLEDLDLSYNNLEQLPWEALGRLGNVNTLGLDHNLLASVPAGAFSRLHKLARLDMTSNRLTTIPPDPLFSRLPLLARPRGSPASALVLAFGGNPLHCNCELVWLRRLAREDDLEACASPPALGGRYFWAVGEEEFVCEPPVVTHRSPPLAVPAGRPAALRCRAVGDPEPRVRWVSPQGRLLGNSSRARAFPNGTLELLVTEPGDGGIFTCIAANAAGEATAAVELTVGPPPPPQLANSTSCDPPRDGDPDALTPPSAASASAKVADTGPPTDRGVQVTEHGATAALVQWPDQRPIPGIRMYQIQYNSSADDILVYRMIPAESRSFLLTDLASGRTYDLCVLAVYEDSATGLTATRPVGCARFSTEPALRPCGAPHAPFLGGTMIIALGGVIVASVLVFIFVLLMRYKVHGGQPPGKAKIPAPVSSVCSQTNGALGPTPTPAPPAPEPAALRAHTVVQLDCEPWGPGHEPVGP
+>DECOY_sp|Q9BTN0|LRFN3_HUMAN Leucine-rich repeat and fibronectin type-III domain-containing protein 3 OS=Homo sapiens OX=9606 GN=LRFN3 PE=2 SV=1
+PGVPEHGPGWPECDLQVVTHARLAAPEPAPPAPTPTPGLAGNTQSCVSSVPAPIKAKGPPQGGHVKYRMLLVFIFVLVSAVIVGGLAIIMTGGLFPAHPAGCPRLAPETSFRACGVPRTATLGTASDEYVALVCLDYTRGSALDTLLFSRSEAPIMRYVLIDDASSNYQIQYMRIGPIPRQDPWQVLAATAGHETVQVGRDTPPGTDAVKASASAASPPTLADPDGDRPPDCSTSNALQPPPPPGVTLEVAATAEGAANAAICTFIGGDGPETVLLELTGNPFARARSSNGLLRGQPSVWRVRPEPDGVARCRLAAPRGAPVALPPSRHTVVPPECVFEEEGVAWFYRGGLAPPSACAELDDERALRRLWVLECNCHLPNGGFALVLASAPSGRPRALLPLRSFLPDPPITTLRNSTMDLRALKHLRSFAGAPVSALLNHDLGLTNVNGLRGLAEWPLQELNNYSLDLDELTEACDDLAGAALAALQNNSLILHRLNVLGRLQGEGLSTLRNGDLHLARLARLDAFAGAAVHRITNRSLSLHLLGTMNALDRRRVSAIFNDALRLEAARRDLSPPVFLLGAGPCLVSLPLSQTQCRCRRPCPSPTASQPPSSAPALPLLCLLLPLIAM
+>sp|Q6PJG9|LRFN4_HUMAN Leucine-rich repeat and fibronectin type-III domain-containing protein 4 OS=Homo sapiens OX=9606 GN=LRFN4 PE=1 SV=1
+MAPPLLLLLLASGAAACPLPCVCQNLSESLSTLCAHRGLLFVPPNVDRRTVELRLADNFIQALGPPDFRNMTGLVDLTLSRNAITRIGARAFGDLESLRSLHLDGNRLVELGTGSLRGPVNLQHLILSGNQLGRIAPGAFDDFLESLEDLDLSYNNLRQVPWAGIGAMPALHTLNLDHNLIDALPPGAFAQLGQLSRLDLTSNRLATLAPDPLFSRGRDAEASPAPLVLSFSGNPLHCNCELLWLRRLARPDDLETCASPPGLAGRYFWAVPEGEFSCEPPLIARHTQRLWVLEGQRATLRCRALGDPAPTMHWVGPDDRLVGNSSRARAFPNGTLEIGVTGAGDAGGYTCIATNPAGEATARVELRVLALPHGGNSSAEGGRPGPSDIAASARTAAEGEGTLESEPAVQVTEVTATSGLVSWGPGRPADPVWMFQIQYNSSEDETLIYRIVPASSHHFLLKHLVPGADYDLCLLALSPAAGPSDLTATRLLGCAHFSTLPASPLCHALQAHVLGGTLTVAVGGVLVAALLVFTVALLVRGRGAGNGRLPLKLSHVQSQTNGGPSPTPKAHPPRSPPPRPQRSCSLDLGDAGCYGYARRLGGAWARRSHSVHGGLLGAGCRGVGGSAERLEESVV
+>DECOY_sp|Q6PJG9|LRFN4_HUMAN Leucine-rich repeat and fibronectin type-III domain-containing protein 4 OS=Homo sapiens OX=9606 GN=LRFN4 PE=1 SV=1
+VVSEELREASGGVGRCGAGLLGGHVSHSRRAWAGGLRRAYGYCGADGLDLSCSRQPRPPPSRPPHAKPTPSPGGNTQSQVHSLKLPLRGNGAGRGRVLLAVTFVLLAAVLVGGVAVTLTGGLVHAQLAHCLPSAPLTSFHACGLLRTATLDSPGAAPSLALLCLDYDAGPVLHKLLFHHSSAPVIRYILTEDESSNYQIQFMWVPDAPRGPGWSVLGSTATVETVQVAPESELTGEGEAATRASAAIDSPGPRGGEASSNGGHPLALVRLEVRATAEGAPNTAICTYGGADGAGTVGIELTGNPFARARSSNGVLRDDPGVWHMTPAPDGLARCRLTARQGELVWLRQTHRAILPPECSFEGEPVAWFYRGALGPPSACTELDDPRALRRLWLLECNCHLPNGSFSLVLPAPSAEADRGRSFLPDPALTALRNSTLDLRSLQGLQAFAGPPLADILNHDLNLTHLAPMAGIGAWPVQRLNNYSLDLDELSELFDDFAGPAIRGLQNGSLILHQLNVPGRLSGTGLEVLRNGDLHLSRLSELDGFARAGIRTIANRSLTLDVLGTMNRFDPPGLAQIFNDALRLEVTRRDVNPPVFLLGRHACLTSLSESLNQCVCPLPCAAAGSALLLLLLPPAM
+>sp|Q9P2E2|KIF17_HUMAN Kinesin-like protein KIF17 OS=Homo sapiens OX=9606 GN=KIF17 PE=2 SV=3
+MASEAVKVVVRCRPMNQRERELRCQPVVTVDCARAQCCIQNPGAADEPPKQFTFDGAYHVDHVTEQIYNEIAYPLVEGVTEGYNGTIFAYGQTGSGKSFTMQGLPDPPSQRGIIPRAFEHVFESVQCAENTKFLVRASYLEIYNEDVRDLLGADTKQKLELKEHPEKGVYVKGLSMHTVHSVAQCEHIMETGWKNRSVGYTLMNKDSSRSHSIFTISIEMSAVDERGKDHLRAGKLNLVDLAGSERQSKTGATGERLKEATKINLSLSALGNVISALVDGRCKHVPYRDSKLTRLLQDSLGGNTKTLMVACLSPADNNYDETLSTLRYANRAKNIRNKPRINEDPKDALLREYQEEIKKLKAILTQQMSPSSLSALLSRQVPPDPVQVEEKLLPQPVIQHDVEAEKQLIREEYEERLARLKADYKAEQESRARLEEDITAMRNSYDVRLSTLEENLRKETEAVLQVGVLYKAEVMSRAEFASSAEYPPAFQYETVVKPKVFSTTDTLPSDDVSKTQVSSRFAELPKVEPSKSEISLGSSESSSLEETSVSEAFPGPEEPSNVEVSMPTEESRSRYFLDECLGQEAAGHLLGEQNYLPQEEPQEVPLQGLLGLQDPFAEVEAKLARLSSTVARTDAPQADVPKVPVQVPAPTDLLEPSDARPEAEAADDFPPRPEVDLASEVALEVVRTAEPGVWLEAQAPVALVAQPEPLPATAGVKRESVGMEVAVLTDDPLPVVDQQQVLARLQLLEQQVVGGEQAKNKDLKEKHKRRKRYADERRKQLVAALQNSDEDSGDWVLLNVYDSIQEEVRAKSKLLEKMQRKLRAAEVEIKDLQSEFQLEKIDYLATIRRQERDSMLLQQLLEQVQPLIRRDCNYSNLEKILRESCWDEDNGFWKIPHPVITKTSLPVAVSTGPQNKPARKTSAADNGEPNMEDDRYRLMLSRSNSENIASNYFRSKRASQILSTDARKSLTHHNSPPGLSCPLSNNSAIPPTQAPEMPQPRPFRLESLDIPFTKAKRKKSKSNFGSEPL
+>DECOY_sp|Q9P2E2|KIF17_HUMAN Kinesin-like protein KIF17 OS=Homo sapiens OX=9606 GN=KIF17 PE=2 SV=3
+LPESGFNSKSKKRKAKTFPIDLSELRFPRPQPMEPAQTPPIASNNSLPCSLGPPSNHHTLSKRADTSLIQSARKSRFYNSAINESNSRSLMLRYRDDEMNPEGNDAASTKRAPKNQPGTSVAVPLSTKTIVPHPIKWFGNDEDWCSERLIKELNSYNCDRRILPQVQELLQQLLMSDREQRRITALYDIKELQFESQLDKIEVEAARLKRQMKELLKSKARVEEQISDYVNLLVWDGSDEDSNQLAAVLQKRREDAYRKRRKHKEKLDKNKAQEGGVVQQELLQLRALVQQQDVVPLPDDTLVAVEMGVSERKVGATAPLPEPQAVLAVPAQAELWVGPEATRVVELAVESALDVEPRPPFDDAAEAEPRADSPELLDTPAPVQVPVKPVDAQPADTRAVTSSLRALKAEVEAFPDQLGLLGQLPVEQPEEQPLYNQEGLLHGAAEQGLCEDLFYRSRSEETPMSVEVNSPEEPGPFAESVSTEELSSSESSGLSIESKSPEVKPLEAFRSSVQTKSVDDSPLTDTTSFVKPKVVTEYQFAPPYEASSAFEARSMVEAKYLVGVQLVAETEKRLNEELTSLRVDYSNRMATIDEELRARSEQEAKYDAKLRALREEYEERILQKEAEVDHQIVPQPLLKEEVQVPDPPVQRSLLASLSSPSMQQTLIAKLKKIEEQYERLLADKPDENIRPKNRINKARNAYRLTSLTEDYNNDAPSLCAVMLTKTNGGLSDQLLRTLKSDRYPVHKCRGDVLASIVNGLASLSLNIKTAEKLREGTAGTKSQRESGALDVLNLKGARLHDKGREDVASMEISITFISHSRSSDKNMLTYGVSRNKWGTEMIHECQAVSHVTHMSLGKVYVGKEPHEKLELKQKTDAGLLDRVDENYIELYSARVLFKTNEACQVSEFVHEFARPIIGRQSPPDPLGQMTFSKGSGTQGYAFITGNYGETVGEVLPYAIENYIQETVHDVHYAGDFTFQKPPEDAAGPNQICCQARACDVTVVPQCRLERERQNMPRCRVVVKVAESAM
+>sp|O00139|KIF2A_HUMAN Kinesin-like protein KIF2A OS=Homo sapiens OX=9606 GN=KIF2A PE=1 SV=3
+MATANFGKIQIGIYVEIKRSDGRIHQAMVTSLNEDNESVTVEWIENGDTKGKEIDLESIFSLNPDLVPDEEIEPSPETPPPPASSAKVNKIVKNRRTVASIKNDPPSRDNRVVGSARARPSQFPEQSSSAQQNGSVSDISPVQAAKKEFGPPSRRKSNCVKEVEKLQEKREKRRLQQQELREKRAQDVDATNPNYEIMCMIRDFRGSLDYRPLTTADPIDEHRICVCVRKRPLNKKETQMKDLDVITIPSKDVVMVHEPKQKVDLTRYLENQTFRFDYAFDDSAPNEMVYRFTARPLVETIFERGMATCFAYGQTGSGKTHTMGGDFSGKNQDCSKGIYALAARDVFLMLKKPNYKKLELQVYATFFEIYSGKVFDLLNRKTKLRVLEDGKQQVQVVGLQEREVKCVEDVLKLIDIGNSCRTSGQTSANAHSSRSHAVFQIILRRKGKLHGKFSLIDLAGNERGADTSSADRQTRLEGAEINKSLLALKECIRALGRNKPHTPFRASKLTQVLRDSFIGENSRTCMIATISPGMASCENTLNTLRYANRVKELTVDPTAAGDVRPIMHHPPNQIDDLETQWGVGSSPQRDDLKLLCEQNEEEVSPQLFTFHEAVSQMVEMEEQVVEDHRAVFQESIRWLEDEKALLEMTEEVDYDVDSYATQLEAILEQKIDILTELRDKVKSFRAALQEEEQASKQINPKRPRAL
+>DECOY_sp|O00139|KIF2A_HUMAN Kinesin-like protein KIF2A OS=Homo sapiens OX=9606 GN=KIF2A PE=1 SV=3
+LARPRKPNIQKSAQEEEQLAARFSKVKDRLETLIDIKQELIAELQTAYSDVDYDVEETMELLAKEDELWRISEQFVARHDEVVQEEMEVMQSVAEHFTFLQPSVEEENQECLLKLDDRQPSSGVGWQTELDDIQNPPHHMIPRVDGAATPDVTLEKVRNAYRLTNLTNECSAMGPSITAIMCTRSNEGIFSDRLVQTLKSARFPTHPKNRGLARICEKLALLSKNIEAGELRTQRDASSTDAGRENGALDILSFKGHLKGKRRLIIQFVAHSRSSHANASTQGSTRCSNGIDILKLVDEVCKVEREQLGVVQVQQKGDELVRLKTKRNLLDFVKGSYIEFFTAYVQLELKKYNPKKLMLFVDRAALAYIGKSCDQNKGSFDGGMTHTKGSGTQGYAFCTAMGREFITEVLPRATFRYVMENPASDDFAYDFRFTQNELYRTLDVKQKPEHVMVVDKSPITIVDLDKMQTEKKNLPRKRVCVCIRHEDIPDATTLPRYDLSGRFDRIMCMIEYNPNTADVDQARKERLEQQQLRRKERKEQLKEVEKVCNSKRRSPPGFEKKAAQVPSIDSVSGNQQASSSQEPFQSPRARASGVVRNDRSPPDNKISAVTRRNKVIKNVKASSAPPPPTEPSPEIEEDPVLDPNLSFISELDIEKGKTDGNEIWEVTVSENDENLSTVMAQHIRGDSRKIEVYIGIQIKGFNATAM
+>sp|Q12840|KIF5A_HUMAN Kinesin heavy chain isoform 5A OS=Homo sapiens OX=9606 GN=KIF5A PE=1 SV=2
+MAETNNECSIKVLCRFRPLNQAEILRGDKFIPIFQGDDSVVIGGKPYVFDRVFPPNTTQEQVYHACAMQIVKDVLAGYNGTIFAYGQTSSGKTHTMEGKLHDPQLMGIIPRIARDIFNHIYSMDENLEFHIKVSYFEIYLDKIRDLLDVTKTNLSVHEDKNRVPFVKGCTERFVSSPEEILDVIDEGKSNRHVAVTNMNEHSSRSHSIFLINIKQENMETEQKLSGKLYLVDLAGSEKVSKTGAEGAVLDEAKNINKSLSALGNVISALAEGTKSYVPYRDSKMTRILQDSLGGNCRTTMFICCSPSSYNDAETKSTLMFGQRAKTIKNTASVNLELTAEQWKKKYEKEKEKTKAQKETIAKLEAELSRWRNGENVPETERLAGEEAALGAELCEETPVNDNSSIVVRIAPEERQKYEEEIRRLYKQLDDKDDEINQQSQLIEKLKQQMLDQEELLVSTRGDNEKVQRELSHLQSENDAAKDEVKEVLQALEELAVNYDQKSQEVEEKSQQNQLLVDELSQKVATMLSLESELQRLQEVSGHQRKRIAEVLNGLMKDLSEFSVIVGNGEIKLPVEISGAIEEEFTVARLYISKIKSEVKSVVKRCRQLENLQVECHRKMEVTGRELSSCQLLISQHEAKIRSLTEYMQSVELKKRHLEESYDSLSDELAKLQAQETVHEVALKDKEPDTQDADEVKKALELQMESHREAHHRQLARLRDEINEKQKTIDELKDLNQKLQLELEKLQADYEKLKSEEHEKSTKLQELTFLYERHEQSKQDLKGLEETVARELQTLHNLRKLFVQDVTTRVKKSAEMEPEDSGGIHSQKQKISFLENNLEQLTKVHKQLVRDNADLRCELPKLEKRLRATAERVKALEGALKEAKEGAMKDKRRYQQEVDRIKEAVRYKSSGKRGHSAQIAKPVRPGHYPASSPTNPYGTRSPECISYTNSLFQNYQNLYLQATPSSTSDMYFANSCTSSGATSSGGPLASYQKANMDNGNATDINDNRSDLPCGYEAEDQAKLFPLHQETAAS
+>DECOY_sp|Q12840|KIF5A_HUMAN Kinesin heavy chain isoform 5A OS=Homo sapiens OX=9606 GN=KIF5A PE=1 SV=2
+SAATEQHLPFLKAQDEAEYGCPLDSRNDNIDTANGNDMNAKQYSALPGGSSTAGSSTCSNAFYMDSTSSPTAQLYLNQYNQFLSNTYSICEPSRTGYPNTPSSAPYHGPRVPKAIQASHGRKGSSKYRVAEKIRDVEQQYRRKDKMAGEKAEKLAGELAKVREATARLRKELKPLECRLDANDRVLQKHVKTLQELNNELFSIKQKQSHIGGSDEPEMEASKKVRTTVDQVFLKRLNHLTQLERAVTEELGKLDQKSQEHREYLFTLEQLKTSKEHEESKLKEYDAQLKELELQLKQNLDKLEDITKQKENIEDRLRALQRHHAERHSEMQLELAKKVEDADQTDPEKDKLAVEHVTEQAQLKALEDSLSDYSEELHRKKLEVSQMYETLSRIKAEHQSILLQCSSLERGTVEMKRHCEVQLNELQRCRKVVSKVESKIKSIYLRAVTFEEEIAGSIEVPLKIEGNGVIVSFESLDKMLGNLVEAIRKRQHGSVEQLRQLESELSLMTAVKQSLEDVLLQNQQSKEEVEQSKQDYNVALEELAQLVEKVEDKAADNESQLHSLERQVKENDGRTSVLLEEQDLMQQKLKEILQSQQNIEDDKDDLQKYLRRIEEEYKQREEPAIRVVISSNDNVPTEECLEAGLAAEEGALRETEPVNEGNRWRSLEAELKAITEKQAKTKEKEKEYKKKWQEATLELNVSATNKITKARQGFMLTSKTEADNYSSPSCCIFMTTRCNGGLSDQLIRTMKSDRYPVYSKTGEALASIVNGLASLSKNINKAEDLVAGEAGTKSVKESGALDVLYLKGSLKQETEMNEQKINILFISHSRSSHENMNTVAVHRNSKGEDIVDLIEEPSSVFRETCGKVFPVRNKDEHVSLNTKTVDLLDRIKDLYIEFYSVKIHFELNEDMSYIHNFIDRAIRPIIGMLQPDHLKGEMTHTKGSSTQGYAFITGNYGALVDKVIQMACAHYVQEQTTNPPFVRDFVYPKGGIVVSDDGQFIPIFKDGRLIEAQNLPRFRCLVKISCENNTEAM
+>sp|B2CW77|KILIN_HUMAN Killin OS=Homo sapiens OX=9606 GN=KLLN PE=1 SV=1
+MDRPGPGSARPGRTVHVWGYRVEWKVRNGRKLQPSEWAGRGDLGGFKRRWKDTRATVGTTFRRRSRVSLVGELSKFPLPSDSSGGKSSSSFARGALAWCRQRNPNPSCAAAETGARTSLPKERCRGWRLGNWLHKHPHPNTCPRLPACWLPPILTERGERVPKLVPLLACYPKSKPKD
+>DECOY_sp|B2CW77|KILIN_HUMAN Killin OS=Homo sapiens OX=9606 GN=KLLN PE=1 SV=1
+DKPKSKPYCALLPVLKPVREGRETLIPPLWCAPLRPCTNPHPHKHLWNGLRWGRCREKPLSTRAGTEAAACSPNPNRQRCWALAGRAFSSSSKGGSSDSPLPFKSLEGVLSVRSRRRFTTGVTARTDKWRRKFGGLDGRGAWESPQLKRGNRVKWEVRYGWVHVTRGPRASGPGPRDM
+>sp|Q03426|KIME_HUMAN Mevalonate kinase OS=Homo sapiens OX=9606 GN=MVK PE=1 SV=1
+MLSEVLLVSAPGKVILHGEHAVVHGKVALAVSLNLRTFLRLQPHSNGKVDLSLPNIGIKRAWDVARLQSLDTSFLEQGDVTTPTSEQVEKLKEVAGLPDDCAVTERLAVLAFLYLYLSICRKQRALPSLDIVVWSELPPGAGLGSSAAYSVCLAAALLTVCEEIPNPLKDGDCVNRWTKEDLELINKWAFQGERMIHGNPSGVDNAVSTWGGALRYHQGKISSLKRSPALQILLTNTKVPRNTRALVAGVRNRLLKFPEIVAPLLTSIDAISLECERVLGEMGEAPAPEQYLVLEELIDMNQHHLNALGVGHASLDQLCQVTRARGLHSKLTGAGGGGCGITLLKPGLEQPEVEATKQALTSCGFDCLETSIGAPGVSIHSATSLDSRVQQALDGL
+>DECOY_sp|Q03426|KIME_HUMAN Mevalonate kinase OS=Homo sapiens OX=9606 GN=MVK PE=1 SV=1
+LGDLAQQVRSDLSTASHISVGPAGISTELCDFGCSTLAQKTAEVEPQELGPKLLTIGCGGGGAGTLKSHLGRARTVQCLQDLSAHGVGLANLHHQNMDILEELVLYQEPAPAEGMEGLVRECELSIADISTLLPAVIEPFKLLRNRVGAVLARTNRPVKTNTLLIQLAPSRKLSSIKGQHYRLAGGWTSVANDVGSPNGHIMREGQFAWKNILELDEKTWRNVCDGDKLPNPIEECVTLLAAALCVSYAASSGLGAGPPLESWVVIDLSPLARQKRCISLYLYLFALVALRETVACDDPLGAVEKLKEVQESTPTTVDGQELFSTDLSQLRAVDWARKIGINPLSLDVKGNSHPQLRLFTRLNLSVALAVKGHVVAHEGHLIVKGPASVLLVESLM
+>sp|Q9UJP4|KLH21_HUMAN Kelch-like protein 21 OS=Homo sapiens OX=9606 GN=KLHL21 PE=1 SV=4
+MERPAPLAVLPFSDPAHALSLLRGLSQLRAERKFLDVTLEAAGGRDFPAHRAVLAAASPYFRAMFAGQLRESRAERVRLHGVPPDMLQLLLDFSYTGRVAVSGDNAEPLLRAADLLQFPAVKEACGAFLQQQLDLANCLDMQDFAEAFSCSGLASAAQRFILRHVGELGAEQLERLPLARLLRYLRDDGLCVPKEEAAYQLALRWVRADPPRRAAHWPQLLEAVRLPFVRRFYLLAHVEAEPLVARCPPCLRLLREARDFQAARYDRHDRGPCPRMRPRPSTGLAEILVLVGGCDQDCDELVTVDCYNPQTGQWRYLAEFPDHLGGGYSIVALGNDIYVTGGSDGSRLYDCVWRYNSSVNEWAEVAPMLKAREYHSSSVLDGLLYVVAADSTERYDHTTDSWEALQPMTYPMDNCSTTACRGRLYAIGSLAGKETMVMQCYDPDTDLWSLVDCGQLPPWSFAPKTATLNGLMYFVRDDSAEVDVYNPTRNEWDKIPSMNQVHVGGSLAVLGGKLYVSGGYDNTFELSDVVEAYDPETRAWSVVGRLPEPTFWHGSVSIFRQFMPQTFSGGRGFELDSGSDDMDPGRPRPPRDPDELH
+>DECOY_sp|Q9UJP4|KLH21_HUMAN Kelch-like protein 21 OS=Homo sapiens OX=9606 GN=KLHL21 PE=1 SV=4
+HLEDPDRPPRPRGPDMDDSGSDLEFGRGGSFTQPMFQRFISVSGHWFTPEPLRGVVSWARTEPDYAEVVDSLEFTNDYGGSVYLKGGLVALSGGVHVQNMSPIKDWENRTPNYVDVEASDDRVFYMLGNLTATKPAFSWPPLQGCDVLSWLDTDPDYCQMVMTEKGALSGIAYLRGRCATTSCNDMPYTMPQLAEWSDTTHDYRETSDAAVVYLLGDLVSSSHYERAKLMPAVEAWENVSSNYRWVCDYLRSGDSGGTVYIDNGLAVISYGGGLHDPFEALYRWQGTQPNYCDVTVLEDCDQDCGGVLVLIEALGTSPRPRMRPCPGRDHRDYRAAQFDRAERLLRLCPPCRAVLPEAEVHALLYFRRVFPLRVAELLQPWHAARRPPDARVWRLALQYAAEEKPVCLGDDRLYRLLRALPLRELQEAGLEGVHRLIFRQAASALGSCSFAEAFDQMDLCNALDLQQQLFAGCAEKVAPFQLLDAARLLPEANDGSVAVRGTYSFDLLLQLMDPPVGHLRVREARSERLQGAFMARFYPSAAALVARHAPFDRGGAAELTVDLFKREARLQSLGRLLSLAHAPDSFPLVALPAPREM
+>sp|Q8N239|KLH34_HUMAN Kelch-like protein 34 OS=Homo sapiens OX=9606 GN=KLHL34 PE=2 SV=1
+MSYFLSYCKAHGGALLTGYQALRAEGFLCDVTLETEGSEFPAHRSLLACSSDYFRALFKSHTQESRARVIHLHVPSAAGLQRLLDFIYTAWLSLSMDTVEDTLEAASYLQVTEALGLCGRYLERQLAPENCCFAANVAARFGLAHTLDAAERCIVSHLQELLARGAGPAGLLELNPTSLRAVLGAPDVARVPEARLLGLALAWLRQEPTTERLAHCTELLERVRFGLVPADVLRRVYSGSGLVLPARVKGLIIQALNYHTTPSRQPLMQGEQTSIRSPQTRILLVGGRRAREVVIEEVAAPQRAARGQVAAPEPEEEEEELEEEEEEEEWELTQNVVAFDVYNHRWRSLTQLPTPLLGHSVCTAGNFLFVLGGESPSGSASSPLADDSRVVTAQVHRYDPRFHAWTEVPAMREARAHFWCGAVGERLLAVGGLGAGGEVLASVEMYDLRRDRWTAAGALPRALHGHAGAVGDRGVVYISGGKAGRGEGGASSLRDLYVLGPEEQVWSKKAPMGTARFGHHMAVLRGAVFAFLGRYEPFSEIERYDPGADQWTRLRPLPYDRFCYGLAVVEETALLLGGLKWRDSRQVPTRNVVGYDLDLDRWEDIGCALPWAWSGLRCAVLQLAEGGDDEREGEVGEALDLVLG
+>DECOY_sp|Q8N239|KLH34_HUMAN Kelch-like protein 34 OS=Homo sapiens OX=9606 GN=KLHL34 PE=2 SV=1
+GLVLDLAEGVEGEREDDGGEALQLVACRLGSWAWPLACGIDEWRDLDLDYGVVNRTPVQRSDRWKLGGLLLATEEVVALGYCFRDYPLPRLRTWQDAGPDYREIESFPEYRGLFAFVAGRLVAMHHGFRATGMPAKKSWVQEEPGLVYLDRLSSAGGEGRGAKGGSIYVVGRDGVAGAHGHLARPLAGAATWRDRRLDYMEVSALVEGGAGLGGVALLREGVAGCWFHARAERMAPVETWAHFRPDYRHVQATVVRSDDALPSSASGSPSEGGLVFLFNGATCVSHGLLPTPLQTLSRWRHNYVDFAVVNQTLEWEEEEEEEELEEEEEEPEPAAVQGRAARQPAAVEEIVVERARRGGVLLIRTQPSRISTQEGQMLPQRSPTTHYNLAQIILGKVRAPLVLGSGSYVRRLVDAPVLGFRVRELLETCHALRETTPEQRLWALALGLLRAEPVRAVDPAGLVARLSTPNLELLGAPGAGRALLEQLHSVICREAADLTHALGFRAAVNAAFCCNEPALQRELYRGCLGLAETVQLYSAAELTDEVTDMSLSLWATYIFDLLRQLGAASPVHLHIVRARSEQTHSKFLARFYDSSCALLSRHAPFESGETELTVDCLFGEARLAQYGTLLAGGHAKCYSLFYSM
+>sp|O95198|KLHL2_HUMAN Kelch-like protein 2 OS=Homo sapiens OX=9606 GN=KLHL2 PE=1 SV=2
+METPPLPPACTKQGHQKPLDSKDDNTEKHCPVTVNPWHMKKAFKVMNELRSQNLLCDVTIVAEDMEISAHRVVLAACSPYFHAMFTGEMSESRAKRVRIKEVDGWTLRMLIDYVYTAEIQVTEENVQVLLPAAGLLQLQDVKKTCCEFLESQLHPVNCLGIRAFADMHACTDLLNKANTYAEQHFADVVLSEEFLNLGIEQVCSLISSDKLTISSEEKVFEAVIAWVNHDKDVRQEFMARLMEHVRLPLLPREYLVQRVEEEALVKNSSACKDYLIEAMKYHLLPTEQRILMKSVRTRLRTPMNLPKLMVVVGGQAPKAIRSVECYDFKEERWHQVAELPSRRCRAGMVYMAGLVFAVGGFNGSLRVRTVDSYDPVKDQWTSVANMRDRRSTLGAAVLNGLLYAVGGFDGSTGLSSVEAYNIKSNEWFHVAPMNTRRSSVGVGVVGGLLYAVGGYDGASRQCLSTVECYNATTNEWTYIAEMSTRRSGAGVGVLNNLLYAVGGHDGPLVRKSVEVYDPTTNAWRQVADMNMCRRNAGVCAVNGLLYVVGGDDGSCNLASVEYYNPTTDKWTVVSSCMSTGRSYAGVTVIDKPL
+>DECOY_sp|O95198|KLHL2_HUMAN Kelch-like protein 2 OS=Homo sapiens OX=9606 GN=KLHL2 PE=1 SV=2
+LPKDIVTVGAYSRGTSMCSSVVTWKDTTPNYYEVSALNCSGDDGGVVYLLGNVACVGANRRCMNMDAVQRWANTTPDYVEVSKRVLPGDHGGVAYLLNNLVGVGAGSRRTSMEAIYTWENTTANYCEVTSLCQRSAGDYGGVAYLLGGVVGVGVSSRRTNMPAVHFWENSKINYAEVSSLGTSGDFGGVAYLLGNLVAAGLTSRRDRMNAVSTWQDKVPDYSDVTRVRLSGNFGGVAFVLGAMYVMGARCRRSPLEAVQHWREEKFDYCEVSRIAKPAQGGVVVMLKPLNMPTRLRTRVSKMLIRQETPLLHYKMAEILYDKCASSNKVLAEEEVRQVLYERPLLPLRVHEMLRAMFEQRVDKDHNVWAIVAEFVKEESSITLKDSSILSCVQEIGLNLFEESLVVDAFHQEAYTNAKNLLDTCAHMDAFARIGLCNVPHLQSELFECCTKKVDQLQLLGAAPLLVQVNEETVQIEATYVYDILMRLTWGDVEKIRVRKARSESMEGTFMAHFYPSCAALVVRHASIEMDEAVITVDCLLNQSRLENMVKFAKKMHWPNVTVPCHKETNDDKSDLPKQHGQKTCAPPLPPTEM
+>sp|Q96PQ7|KLHL5_HUMAN Kelch-like protein 5 OS=Homo sapiens OX=9606 GN=KLHL5 PE=2 SV=3
+MNVIYFPLHIFVVYSRAYTSLVLVGCTNLCAVLFARCLDDHLVSLRMSGSRKEFDVKQILKIRWRWFGHQASSPNSTVDSQQGEFWNRGQTGANGGRKFLDPCSLQLPLASIGYRRSSQLDFQNSPSWPMASTSEVPAFEFTAEDCGGAHWLDRPEVDDGTSEEENESDSSSCRTSNSSQTLSSCHTMEPCTSDEFFQALNHAEQTFKKMENYLRHKQLCDVILVAGDRRIPAHRLVLSSVSDYFAAMFTNDVREARQEEIKMEGVEPNSLWSLIQYAYTGRLELKEDNIECLLSTACLLQLSQVVEACCKFLMKQLHPSNCLGIRSFADAQGCTDLHKVAHNYTMEHFMEVIRNQEFVLLPASEIAKLLASDDMNIPNEETILNALLTWVRHDLEQRRKDLSKLLAYIRLPLLAPQFLADMENNVLFRDDIECQKLIMEAMKYHLLPERRPMLQSPRTKPRKSTVGTLFAVGGMDSTKGATSIEKYDLRTNMWTPVANMNGRRLQFGVAVLDDKLYVVGGRDGLKTLNTVECYNPKTKTWSVMPPMSTHRHGLGVAVLEGPMYAVGGHDGWSYLNTVERWDPQARQWNFVATMSTPRSTVGVAVLSGKLYAVGGRDGSSCLKSVECFDPHTNKWTLCAQMSKRRGGVGVTTWNGLLYAIGGHDAPASNLTSRLSDCVERYDPKTDMWTAVASMSISRDAVGVCLLGDKLYAVGGYDGQAYLNTVEAYDPQTNEWTQVAPLCLGRAGACVVTVKL
+>DECOY_sp|Q96PQ7|KLHL5_HUMAN Kelch-like protein 5 OS=Homo sapiens OX=9606 GN=KLHL5 PE=2 SV=3
+LKVTVVCAGARGLCLPAVQTWENTQPDYAEVTNLYAQGDYGGVAYLKDGLLCVGVADRSISMSAVATWMDTKPDYREVCDSLRSTLNSAPADHGGIAYLLGNWTTVGVGGRRKSMQACLTWKNTHPDFCEVSKLCSSGDRGGVAYLKGSLVAVGVTSRPTSMTAVFNWQRAQPDWREVTNLYSWGDHGGVAYMPGELVAVGLGHRHTSMPPMVSWTKTKPNYCEVTNLTKLGDRGGVVYLKDDLVAVGFQLRRGNMNAVPTWMNTRLDYKEISTAGKTSDMGGVAFLTGVTSKRPKTRPSQLMPRREPLLHYKMAEMILKQCEIDDRFLVNNEMDALFQPALLPLRIYALLKSLDKRRQELDHRVWTLLANLITEENPINMDDSALLKAIESAPLLVFEQNRIVEMFHEMTYNHAVKHLDTCGQADAFSRIGLCNSPHLQKMLFKCCAEVVQSLQLLCATSLLCEINDEKLELRGTYAYQILSWLSNPEVGEMKIEEQRAERVDNTFMAAFYDSVSSLVLRHAPIRRDGAVLIVDCLQKHRLYNEMKKFTQEAHNLAQFFEDSTCPEMTHCSSLTQSSNSTRCSSSDSENEEESTGDDVEPRDLWHAGGCDEATFEFAPVESTSAMPWSPSNQFDLQSSRRYGISALPLQLSCPDLFKRGGNAGTQGRNWFEGQQSDVTSNPSSAQHGFWRWRIKLIQKVDFEKRSGSMRLSVLHDDLCRAFLVACLNTCGVLVLSTYARSYVVFIHLPFYIVNM
+>sp|Q8IXQ5|KLHL7_HUMAN Kelch-like protein 7 OS=Homo sapiens OX=9606 GN=KLHL7 PE=1 SV=2
+MAASGVEKSSKKKTEKKLAAREEAKLLAGFMGVMNNMRKQKTLCDVILMVQERKIPAHRVVLAAASHFFNLMFTTNMLESKSFEVELKDAEPDIIEQLVEFAYTARISVNSNNVQSLLDAANQYQIEPVKKMCVDFLKEQVDASNCLGISVLAECLDCPELKATADDFIHQHFTEVYKTDEFLQLDVKRVTHLLNQDTLTVRAEDQVYDAAVRWLKYDEPNRQPFMVDILAKVRFPLISKNFLSKTVQAEPLIQDNPECLKMVISGMRYHLLSPEDREELVDGTRPRRKKHDYRIALFGGSQPQSCRYFNPKDYSWTDIRCPFEKRRDAACVFWDNVVYILGGSQLFPIKRMDCYNVVKDSWYSKLGPPTPRDSLAACAAEGKIYTSGGSEVGNSALYLFECYDTRTESWHTKPSMLTQRCSHGMVEANGLIYVCGGSLGNNVSGRVLNSCEVYDPATETWTELCPMIEARKNHGLVFVKDKIFAVGGQNGLGGLDNVEYYDIKLNEWKMVSPMPWKGVTVKCAAVGSIVYVLAGFQGVGRLGHILEYNTETDKWVANSKVRAFPVTSCLICVVDTCGANEETLET
+>DECOY_sp|Q8IXQ5|KLHL7_HUMAN Kelch-like protein 7 OS=Homo sapiens OX=9606 GN=KLHL7 PE=1 SV=2
+TELTEENAGCTDVVCILCSTVPFARVKSNAVWKDTETNYELIHGLRGVGQFGALVYVISGVAACKVTVGKWPMPSVMKWENLKIDYYEVNDLGGLGNQGGVAFIKDKVFVLGHNKRAEIMPCLETWTETAPDYVECSNLVRGSVNNGLSGGCVYILGNAEVMGHSCRQTLMSPKTHWSETRTDYCEFLYLASNGVESGGSTYIKGEAACAALSDRPTPPGLKSYWSDKVVNYCDMRKIPFLQSGGLIYVVNDWFVCAADRRKEFPCRIDTWSYDKPNFYRCSQPQSGGFLAIRYDHKKRRPRTGDVLEERDEPSLLHYRMGSIVMKLCEPNDQILPEAQVTKSLFNKSILPFRVKALIDVMFPQRNPEDYKLWRVAADYVQDEARVTLTDQNLLHTVRKVDLQLFEDTKYVETFHQHIFDDATAKLEPCDLCEALVSIGLCNSADVQEKLFDVCMKKVPEIQYQNAADLLSQVNNSNVSIRATYAFEVLQEIIDPEADKLEVEFSKSELMNTTFMLNFFHSAAALVVRHAPIKREQVMLIVDCLTKQKRMNNMVGMFGALLKAEERAALKKETKKKSSKEVGSAAM
+>sp|Q9UKR0|KLK12_HUMAN Kallikrein-12 OS=Homo sapiens OX=9606 GN=KLK12 PE=1 SV=1
+MGLSIFLLLCVLGLSQAATPKIFNGTECGRNSQPWQVGLFEGTSLRCGGVLIDHRWVLTAAHCSGSRYWVRLGEHSLSQLDWTEQIRHSGFSVTHPGYLGASTSHEHDLRLLRLRLPVRVTSSVQPLPLPNDCATAGTECHVSGWGITNHPRNPFPDLLQCLNLSIVSHATCHGVYPGRITSNMVCAGGVPGQDACQGDSGGPLVCGGVLQGLVSWGSVGPCGQDGIPGVYTYICKYVDWIRMIMRNN
+>DECOY_sp|Q9UKR0|KLK12_HUMAN Kallikrein-12 OS=Homo sapiens OX=9606 GN=KLK12 PE=1 SV=1
+NNRMIMRIWDVYKCIYTYVGPIGDQGCPGVSGWSVLGQLVGGCVLPGGSDGQCADQGPVGGACVMNSTIRGPYVGHCTAHSVISLNLCQLLDPFPNRPHNTIGWGSVHCETGATACDNPLPLPQVSSTVRVPLRLRLLRLDHEHSTSAGLYGPHTVSFGSHRIQETWDLQSLSHEGLRVWYRSGSCHAATLVWRHDILVGGCRLSTGEFLGVQWPQSNRGCETGNFIKPTAAQSLGLVCLLLFISLGM
+>sp|Q9Y337|KLK5_HUMAN Kallikrein-5 OS=Homo sapiens OX=9606 GN=KLK5 PE=1 SV=3
+MATARPPWMWVLCALITALLLGVTEHVLANNDVSCDHPSNTVPSGSNQDLGAGAGEDARSDDSSSRIINGSDCDMHTQPWQAALLLRPNQLYCGAVLVHPQWLLTAAHCRKKVFRVRLGHYSLSPVYESGQQMFQGVKSIPHPGYSHPGHSNDLMLIKLNRRIRPTKDVRPINVSSHCPSAGTKCLVSGWGTTKSPQVHFPKVLQCLNISVLSQKRCEDAYPRQIDDTMFCAGDKAGRDSCQGDSGGPVVCNGSLQGLVSWGDYPCARPNRPGVYTNLCKFTKWIQETIQANS
+>DECOY_sp|Q9Y337|KLK5_HUMAN Kallikrein-5 OS=Homo sapiens OX=9606 GN=KLK5 PE=1 SV=3
+SNAQITEQIWKTFKCLNTYVGPRNPRACPYDGWSVLGQLSGNCVVPGGSDGQCSDRGAKDGACFMTDDIQRPYADECRKQSLVSINLCQLVKPFHVQPSKTTGWGSVLCKTGASPCHSSVNIPRVDKTPRIRRNLKILMLDNSHGPHSYGPHPISKVGQFMQQGSEYVPSLSYHGLRVRFVKKRCHAATLLWQPHVLVAGCYLQNPRLLLAAQWPQTHMDCDSGNIIRSSSDDSRADEGAGAGLDQNSGSPVTNSPHDCSVDNNALVHETVGLLLATILACLVWMWPPRATAM
+>sp|A4D1S0|KLRG2_HUMAN Killer cell lectin-like receptor subfamily G member 2 OS=Homo sapiens OX=9606 GN=KLRG2 PE=1 SV=3
+MEESWEAAPGGQAGAELPMEPVGSLVPTLEQPQVPAKVRQPEGPESSPSPAGAVEKAAGAGLEPSSKKKPPSPRPGSPRVPPLSLGYGVCPEPPSPGPALVKLPRNGEAPGAEPAPSAWAPMELQVDVRVKPVGAAGGSSTPSPRPSTRFLKVPVPESPAFSRHADPAHQLLLRAPSQGGTWGRRSPLAAARTESGCDAEGRASPAEGSAGSPGSPTCCRCKELGLEKEDAALLPRAGLDGDEKLPRAVTLTGLPMYVKSLYWALAFMAVLLAVSGVVIVVLASRAGARCQQCPPGWVLSEEHCYYFSAEAQAWEASQAFCSAYHATLPLLSHTQDFLGRYPVSRHSWVGAWRGPQGWHWIDEAPLPPQLLPEDGEDNLDINCGALEEGTLVAANCSTPRPWVCAKGTQ
+>DECOY_sp|A4D1S0|KLRG2_HUMAN Killer cell lectin-like receptor subfamily G member 2 OS=Homo sapiens OX=9606 GN=KLRG2 PE=1 SV=3
+QTGKACVWPRPTSCNAAVLTGEELAGCNIDLNDEGDEPLLQPPLPAEDIWHWGQPGRWAGVWSHRSVPYRGLFDQTHSLLPLTAHYASCFAQSAEWAQAEASFYYCHEESLVWGPPCQQCRAGARSALVVIVVGSVALLVAMFALAWYLSKVYMPLGTLTVARPLKEDGDLGARPLLAADEKELGLEKCRCCTPSGPSGASGEAPSARGEADCGSETRAAALPSRRGWTGGQSPARLLLQHAPDAHRSFAPSEPVPVKLFRTSPRPSPTSSGGAAGVPKVRVDVQLEMPAWASPAPEAGPAEGNRPLKVLAPGPSPPEPCVGYGLSLPPVRPSGPRPSPPKKKSSPELGAGAAKEVAGAPSPSSEPGEPQRVKAPVQPQELTPVLSGVPEMPLEAGAQGGPAAEWSEEM
+>sp|Q9UMN6|KMT2B_HUMAN Histone-lysine N-methyltransferase 2B OS=Homo sapiens OX=9606 GN=KMT2B PE=1 SV=1
+MAAAAGGGSCPGPGSARGRFPGRPRGAGGGGGRGGRGNGAERVRVALRRGGGATGPGGAEPGEDTALLRLLGLRRGLRRLRRLWAGPRVQRGRGRGRGRGWGPSRGCVPEEESSDGESDEEEFQGFHSDEDVAPSSLRSALRSQRGRAPRGRGRKHKTTPLPPPRLADVAPTPPKTPARKRGEEGTERMVQALTELLRRAQAPQAPRSRACEPSTPRRSRGRPPGRPAGPCRRKQQAVVVAEAAVTIPKPEPPPPVVPVKHQTGSWKCKEGPGPGPGTPRRGGQSSRGGRGGRGRGRGGGLPFVIKFVSRAKKVKMGQLSLGLESGQGQGQHEESWQDVPQRRVGSGQGGSPCWKKQEQKLDDEEEEKKEEEEKDKEGEEKEERAVAEEMMPAAEKEEAKLPPPPLTPPAPSPPPPLPPPSTSPPPPLCPPPPPPVSPPPLPSPPPPPAQEEQEESPPPVVPATCSRKRGRPPLTPSQRAEREAARAGPEGTSPPTPTPSTATGGPPEDSPTVAPKSTTFLKNIRQFIMPVVSARSSRVIKTPRRFMDEDPPKPPKVEVSPVLRPPITTSPPVPQEPAPVPSPPRAPTPPSTPVPLPEKRRSILREPTFRWTSLTRELPPPPPAPPPPPAPSPPPAPATSSRRPLLLRAPQFTPSEAHLKIYESVLTPPPLGAPEAPEPEPPPADDSPAEPEPRAVGRTNHLSLPRFAPVVTTPVKAEVSPHGAPALSNGPQTQAQLLQPLQALQTQLLPQALPPPQPQLQPPPSPQQMPPLEKARIAGVGSLPLSGVEEKMFSLLKRAKVQLFKIDQQQQQKVAASMPLSPGGQMEEVAGAVKQISDRGPVRSEDESVEAKRERPSGPESPVQGPRIKHVCRHAAVALGQARAMVPEDVPRLSALPLRDRQDLATEDTSSASETESVPSRSRRGKVEAAGPGGESEPTGSGGTLAHTPRRSLPSHHGKKMRMARCGHCRGCLRVQDCGSCVNCLDKPKFGGPNTKKQCCVYRKCDKIEARKMERLAKKGRTIVKTLLPWDSDESPEASPGPPGPRRGAGAGGPREEVVAHPGPEEQDSLLQRKSARRCVKQRPSYDIFEDSDDSEPGGPPAPRRRTPRENELPLPEPEEQSRPRKPTLQPVLQLKARRRLDKDALAPGPFASFPNGWTGKQKSPDGVHRVRVDFKEDCDLENVWLMGGLSVLTSVPGGPPMVCLLCASKGLHELVFCQVCCDPFHPFCLEEAERPLPQHHDTWCCRRCKFCHVCGRKGRGSKHLLECERCRHAYHPACLGPSYPTRATRKRRHWICSACVRCKSCGATPGKNWDVEWSGDYSLCPRCTQLYEKGNYCPICTRCYEDNDYESKMMQCAQCDHWVHAKCEGLSDEDYEILSGLPDSVLYTCGPCAGAAQPRWREALSGALQGGLRQVLQGLLSSKVVGPLLLCTQCGPDGKQLHPGPCGLQAVSQRFEDGHYKSVHSFMEDMVGILMRHSEEGETPDRRAGGQMKGLLLKLLESAFGWFDAHDPKYWRRSTRLPNGVLPNAVLPPSLDHVYAQWRQQEPETPESGQPPGDPSAAFQGKDPAAFSHLEDPRQCALCLKYGDADSKEAGRLLYIGQNEWTHVNCAIWSAEVFEENDGSLKNVHAAVARGRQMRCELCLKPGATVGCCLSSCLSNFHFMCARASYCIFQDDKKVFCQKHTDLLDGKEIVNPDGFDVLRRVYVDFEGINFKRKFLTGLEPDAINVLIGSIRIDSLGTLSDLSDCEGRLFPIGYQCSRLYWSTVDARRRCWYRCRILEYRPWGPREEPAHLEAAEENQTIVHSPAPSSEPPGGEDPPLDTDVLVPGAPERHSPIQNLDPPLRPDSGSAPPPAPRSFSGARIKVPNYSPSRRPLGGVSFGPLPSPGSPSSLTHHIPTVGDPDFPAPPRRSRRPSPLAPRPPPSRWASPPLKTSPQLRVPPPTSVVTALTPTSGELAPPGPAPSPPPPEDLGPDFEDMEVVSGLSAADLDFAASLLGTEPFQEEIVAAGAMGSSHGGPGDSSEEESSPTSRYIHFPVTVVSAPGLAPSATPGAPRIEQLDGVDDGTDSEAEAVQQPRGQGTPPSGPGVVRAGVLGAAGDRARPPEDLPSEIVDFVLKNLGGPGDGGAGPREESLPPAPPLANGSQPSQGLTASPADPTRTFAWLPGAPGVRVLSLGPAPEPPKPATSKIILVNKLGQVFVKMAGEGEPVPPPVKQPPLPPTISPTAPTSWTLPPGPLLGVLPVVGVVRPAPPPPPPPLTLVLSSGPASPPRQAIRVKRVSTFSGRSPPAPPPYKAPRLDEDGEASEDTPQVPGLGSGGFSRVRMKTPTVRGVLDLDRPGEPAGEESPGPLQERSPLLPLPEDGPPQVPDGPPDLLLESQWHHYSGEASSSEEEPPSPDDKENQAPKRTGPHLRFEISSEDGFSVEAESLEGAWRTLIEKVQEARGHARLRHLSFSGMSGARLLGIHHDAVIFLAEQLPGAQRCQHYKFRYHQQGEGQEEPPLNPHGAARAEVYLRKCTFDMFNFLASQHRVLPEGATCDEEEDEVQLRSTRRATSLELPMAMRFRHLKKTSKEAVGVYRSAIHGRGLFCKRNIDAGEMVIEYSGIVIRSVLTDKREKFYDGKGIGCYMFRMDDFDVVDATMHGNAARFINHSCEPNCFSRVIHVEGQKHIVIFALRRILRGEELTYDYKFPIEDASNKLPCNCGAKRCRRFLN
+>DECOY_sp|Q9UMN6|KMT2B_HUMAN Histone-lysine N-methyltransferase 2B OS=Homo sapiens OX=9606 GN=KMT2B PE=1 SV=1
+NLFRRCRKAGCNCPLKNSADEIPFKYDYTLEEGRLIRRLAFIVIHKQGEVHIVRSFCNPECSHNIFRAANGHMTADVVDFDDMRFMYCGIGKGDYFKERKDTLVSRIVIGSYEIVMEGADINRKCFLGRGHIASRYVGVAEKSTKKLHRFRMAMPLELSTARRTSRLQVEDEEEDCTAGEPLVRHQSALFNFMDFTCKRLYVEARAAGHPNLPPEEQGEGQQHYRFKYHQCRQAGPLQEALFIVADHHIGLLRAGSMGSFSLHRLRAHGRAEQVKEILTRWAGELSEAEVSFGDESSIEFRLHPGTRKPAQNEKDDPSPPEEESSSAEGSYHHWQSELLLDPPGDPVQPPGDEPLPLLPSREQLPGPSEEGAPEGPRDLDLVGRVTPTKMRVRSFGGSGLGPVQPTDESAEGDEDLRPAKYPPPAPPSRGSFTSVRKVRIAQRPPSAPGSSLVLTLPPPPPPPAPRVVGVVPLVGLLPGPPLTWSTPATPSITPPLPPQKVPPPVPEGEGAMKVFVQGLKNVLIIKSTAPKPPEPAPGLSLVRVGPAGPLWAFTRTPDAPSATLGQSPQSGNALPPAPPLSEERPGAGGDGPGGLNKLVFDVIESPLDEPPRARDGAAGLVGARVVGPGSPPTGQGRPQQVAEAESDTGDDVGDLQEIRPAGPTASPALGPASVVTVPFHIYRSTPSSEEESSDGPGGHSSGMAGAAVIEEQFPETGLLSAAFDLDAASLGSVVEMDEFDPGLDEPPPPSPAPGPPALEGSTPTLATVVSTPPPVRLQPSTKLPPSAWRSPPPRPALPSPRRSRRPPAPFDPDGVTPIHHTLSSPSGPSPLPGFSVGGLPRRSPSYNPVKIRAGSFSRPAPPPASGSDPRLPPDLNQIPSHREPAGPVLVDTDLPPDEGGPPESSPAPSHVITQNEEAAELHAPEERPGWPRYELIRCRYWCRRRADVTSWYLRSCQYGIPFLRGECDSLDSLTGLSDIRISGILVNIADPELGTLFKRKFNIGEFDVYVRRLVDFGDPNVIEKGDLLDTHKQCFVKKDDQFICYSARACMFHFNSLCSSLCCGVTAGPKLCLECRMQRGRAVAAHVNKLSGDNEEFVEASWIACNVHTWENQGIYLLRGAEKSDADGYKLCLACQRPDELHSFAAPDKGQFAASPDGPPQGSEPTEPEQQRWQAYVHDLSPPLVANPLVGNPLRTSRRWYKPDHADFWGFASELLKLLLGKMQGGARRDPTEGEESHRMLIGVMDEMFSHVSKYHGDEFRQSVAQLGCPGPHLQKGDPGCQTCLLLPGVVKSSLLGQLVQRLGGQLAGSLAERWRPQAAGACPGCTYLVSDPLGSLIEYDEDSLGECKAHVWHDCQACQMMKSEYDNDEYCRTCIPCYNGKEYLQTCRPCLSYDGSWEVDWNKGPTAGCSKCRVCASCIWHRRKRTARTPYSPGLCAPHYAHRCRECELLHKSGRGKRGCVHCFKCRRCCWTDHHQPLPREAEELCFPHFPDCCVQCFVLEHLGKSACLLCVMPPGGPVSTLVSLGGMLWVNELDCDEKFDVRVRHVGDPSKQKGTWGNPFSAFPGPALADKDLRRRAKLQLVPQLTPKRPRSQEEPEPLPLENERPTRRRPAPPGGPESDDSDEFIDYSPRQKVCRRASKRQLLSDQEEPGPHAVVEERPGGAGAGRRPGPPGPSAEPSEDSDWPLLTKVITRGKKALREMKRAEIKDCKRYVCCQKKTNPGGFKPKDLCNVCSGCDQVRLCGRCHGCRAMRMKKGHHSPLSRRPTHALTGGSGTPESEGGPGAAEVKGRRSRSPVSETESASSTDETALDQRDRLPLASLRPVDEPVMARAQGLAVAAHRCVHKIRPGQVPSEPGSPRERKAEVSEDESRVPGRDSIQKVAGAVEEMQGGPSLPMSAAVKQQQQQDIKFLQVKARKLLSFMKEEVGSLPLSGVGAIRAKELPPMQQPSPPPQLQPQPPPLAQPLLQTQLAQLPQLLQAQTQPGNSLAPAGHPSVEAKVPTTVVPAFRPLSLHNTRGVARPEPEAPSDDAPPPEPEPAEPAGLPPPTLVSEYIKLHAESPTFQPARLLLPRRSSTAPAPPPSPAPPPPPAPPPPPLERTLSTWRFTPERLISRRKEPLPVPTSPPTPARPPSPVPAPEQPVPPSTTIPPRLVPSVEVKPPKPPDEDMFRRPTKIVRSSRASVVPMIFQRINKLFTTSKPAVTPSDEPPGGTATSPTPTPPSTGEPGARAAEREARQSPTLPPRGRKRSCTAPVVPPPSEEQEEQAPPPPPSPLPPPSVPPPPPPCLPPPPSTSPPPLPPPPSPAPPTLPPPPLKAEEKEAAPMMEEAVAREEKEEGEKDKEEEEKKEEEEDDLKQEQKKWCPSGGQGSGVRRQPVDQWSEEHQGQGQGSELGLSLQGMKVKKARSVFKIVFPLGGGRGRGRGGRGGRSSQGGRRPTGPGPGPGEKCKWSGTQHKVPVVPPPPEPKPITVAAEAVVVAQQKRRCPGAPRGPPRGRSRRPTSPECARSRPAQPAQARRLLETLAQVMRETGEEGRKRAPTKPPTPAVDALRPPPLPTTKHKRGRGRPARGRQSRLASRLSSPAVDEDSHFGQFEEEDSEGDSSEEEPVCGRSPGWGRGRGRGRGRQVRPGAWLRRLRRLGRRLGLLRLLATDEGPEAGGPGTAGGGRRLAVRVREAGNGRGGRGGGGGAGRPRGPFRGRASGPGPCSGGGAAAAM
+>sp|O14686|KMT2D_HUMAN Histone-lysine N-methyltransferase 2D OS=Homo sapiens OX=9606 GN=KMT2D PE=1 SV=2
+MDSQKLAGEDKDSEPAADGPAASEDPSATESDLPNPHVGEVSVLSSGSPRLQETPQDCSGGPVRRCALCNCGEPSLHGQRELRRFELPFDWPRCPVVSPGGSPGPNEAVLPSEDLSQIGFPEGLTPAHLGEPGGSCWAHHWCAAWSAGVWGQEGPELCGVDKAIFSGISQRCSHCTRLGASIPCRSPGCPRLYHFPCATASGSFLSMKTLQLLCPEHSEGAAYLEEARCAVCEGPGELCDLFFCTSCGHHYHGACLDTALTARKRAGWQCPECKVCQACRKPGNDSKMLVCETCDKGYHTFCLKPPMEELPAHSWKCKACRVCRACGAGSAELNPNSEWFENYSLCHRCHKAQGGQTIRSVAEQHTPVCSRFSPPEPGDTPTDEPDALYVACQGQPKGGHVTSMQPKEPGPLQCEAKPLGKAGVQLEPQLEAPLNEEMPLLPPPEESPLSPPPEESPTSPPPEASRLSPPPEELPASPLPEALHLSRPLEESPLSPPPEESPLSPPPESSPFSPLEESPLSPPEESPPSPALETPLSPPPEASPLSPPFEESPLSPPPEELPTSPPPEASRLSPPPEESPMSPPPEESPMSPPPEASRLFPPFEESPLSPPPEESPLSPPPEASRLSPPPEDSPMSPPPEESPMSPPPEVSRLSPLPVVSRLSPPPEESPLSPPPEESPTSPPPEASRLSPPPEDSPTSPPPEDSPASPPPEDSLMSLPLEESPLLPLPEEPQLCPRSEGPHLSPRPEEPHLSPRPEEPHLSPQAEEPHLSPQPEEPCLCAVPEEPHLSPQAEGPHLSPQPEELHLSPQTEEPHLSPVPEEPCLSPQPEESHLSPQSEEPCLSPRPEESHLSPELEKPPLSPRPEKPPEEPGQCPAPEELPLFPPPGEPSLSPLLGEPALSEPGEPPLSPLPEELPLSPSGEPSLSPQLMPPDPLPPPLSPIITAAAPPALSPLGELEYPFGAKGDSDPESPLAAPILETPISPPPEANCTDPEPVPPMILPPSPGSPVGPASPILMEPLPPQCSPLLQHSLVPQNSPPSQCSPPALPLSVPSPLSPIGKVVGVSDEAELHEMETEKVSEPECPALEPSATSPLPSPMGDLSCPAPSPAPALDDFSGLGEDTAPLDGIDAPGSQPEPGQTPGSLASELKGSPVLLDPEELAPVTPMEVYPECKQTAGQGSPCEEQEEPRAPVAPTPPTLIKSDIVNEISNLSQGDASASFPGSEPLLGSPDPEGGGSLSMELGVSTDVSPARDEGSLRLCTDSLPETDDSLLCDAGTAISGGKAEGEKGRRRSSPARSRIKQGRSSSFPGRRRPRGGAHGGRGRGRARLKSTASSIETLVVADIDSSPSKEEEEEDDDTMQNTVVLFSNTDKFVLMQDMCVVCGSFGRGAEGHLLACSQCSQCYHPYCVNSKITKVMLLKGWRCVECIVCEVCGQASDPSRLLLCDDCDISYHTYCLDPPLLTVPKGGWKCKWCVSCMQCGAASPGFHCEWQNSYTHCGPCASLVTCPICHAPYVEEDLLIQCRHCERWMHAGCESLFTEDDVEQAADEGFDCVSCQPYVVKPVAPVAPPELVPMKVKEPEPQYFRFEGVWLTETGMALLRNLTMSPLHKRRQRRGRLGLPGEAGLEGSEPSDALGPDDKKDGDLDTDELLKGEGGVEHMECEIKLEGPVSPDVEPGKEETEESKKRKRKPYRPGIGGFMVRQRKSHTRTKKGPAAQAEVLSGDGQPDEVIPADLPAEGAVEQSLAEGDEKKKQQRRGRKKSKLEDMFPAYLQEAFFGKELLDLSRKALFAVGVGRPSFGLGTPKAKGDGGSERKELPTSQKGDDGPDIADEESRGLEGKADTPGPEDGGVKASPVPSDPEKPGTPGEGMLSSDLDRISTEELPKMESKDLQQLFKDVLGSEREQHLGCGTPGLEGSRTPLQRPFLQGGLPLGNLPSSSPMDSYPGLCQSPFLDSRERGGFFSPEPGEPDSPWTGSGGTTPSTPTTPTTEGEGDGLSYNQRSLQRWEKDEELGQLSTISPVLYANINFPNLKQDYPDWSSRCKQIMKLWRKVPAADKAPYLQKAKDNRAAHRINKVQKQAESQINKQTKVGDIARKTDRPALHLRIPPQPGALGSPPPAAAPTIFIGSPTTPAGLSTSADGFLKPPAGSVPGPDSPGELFLKLPPQVPAQVPSQDPFGLAPAYPLEPRFPTAPPTYPPYPSPTGAPAQPPMLGASSRPGAGQPGEFHTTPPGTPRHQPSTPDPFLKPRCPSLDNLAVPESPGVGGGKASEPLLSPPPFGESRKALEVKKEELGASSPSYGPPNLGFVDSPSSGTHLGGLELKTPDVFKAPLTPRASQVEPQSPGLGLRPQEPPPAQALAPSPPSHPDIFRPGSYTDPYAQPPLTPRPQPPPPESCCALPPRSLPSDPFSRVPASPQSQSSSQSPLTPRPLSAEAFCPSPVTPRFQSPDPYSRPPSRPQSRDPFAPLHKPPRPQPPEVAFKAGSLAHTSLGAGGFPAALPAGPAGELHAKVPSGQPPNFVRSPGTGAFVGTPSPMRFTFPQAVGEPSLKPPVPQPGLPPPHGINSHFGPGPTLGKPQSTNYTVATGNFHPSGSPLGPSSGSTGESYGLSPLRPPSVLPPPAPDGSLPYLSHGASQRSGITSPVEKREDPGTGMGSSLATAELPGTQDPGMSGLSQTELEKQRQRQRLRELLIRQQIQRNTLRQEKETAAAAAGAVGPPGSWGAEPSSPAFEQLSRGQTPFAGTQDKSSLVGLPPSKLSGPILGPGSFPSDDRLSRPPPPATPSSMDVNSRQLVGGSQAFYQRAPYPGSLPLQQQQQQLWQQQQATAATSMRFAMSARFPSTPGPELGRQALGSPLAGISTRLPGPGEPVPGPAGPAQFIELRHNVQKGLGPGGTPFPGQGPPQRPRFYPVSEDPHRLAPEGLRGLAVSGLPPQKPSAPPAPELNNSLHPTPHTKGPTLPTGLELVNRPPSSTELGRPNPLALEAGKLPCEDPELDDDFDAHKALEDDEELAHLGLGVDVAKGDDELGTLENLETNDPHLDDLLNGDEFDLLAYTDPELDTGDKKDIFNEHLRLVESANEKAEREALLRGVEPGPLGPEERPPPAADASEPRLASVLPEVKPKVEEGGRHPSPCQFTIATPKVEPAPAANSLGLGLKPGQSMMGSRDTRMGTGPFSSSGHTAEKASFGATGGPPAHLLTPSPLSGPGGSSLLEKFELESGALTLPGGPAASGDELDKMESSLVASELPLLIEDLLEHEKKELQKKQQLSAQLQPAQQQQQQQQQHSLLSAPGPAQAMSLPHEGSSPSLAGSQQQLSLGLAGARQPGLPQPLMPTQPPAHALQQRLAPSMAMVSNQGHMLSGQHGGQAGLVPQQSSQPVLSQKPMGTMPPSMCMKPQQLAMQQQLANSFFPDTDLDKFAAEDIIDPIAKAKMVALKGIKKVMAQGSIGVAPGMNRQQVSLLAQRLSGGPSSDLQNHVAAGSGQERSAGDPSQPRPNPPTFAQGVINEADQRQYEEWLFHTQQLLQMQLKVLEEQIGVHRKSRKALCAKQRTAKKAGREFPEADAEKLKLVTEQQSKIQKQLDQVRKQQKEHTNLMAEYRNKQQQQQQQQQQQQQQHSAVLALSPSQSPRLLTKLPGQLLPGHGLQPPQGPPGGQAGGLRLTPGGMALPGQPGGPFLNTALAQQQQQQHSGGAGSLAGPSGGFFPGNLALRSLGPDSRLLQERQLQLQQQRMQLAQKLQQQQQQQQQQQHLLGQVAIQQQQQQGPGVQTNQALGPKPQGLMPPSSHQGLLVQQLSPQPPQGPQGMLGPAQVAVLQQQHPGALGPQGPHRQVLMTQSRVLSSPQLAQQGQGLMGHRLVTAQQQQQQQQHQQQGSMAGLSHLQQSLMSHSGQPKLSAQPMGSLQQLQQQQQLQQQQQLQQQQQQQLQQQQQLQQQQLQQQQQQQQLQQQQQQQLQQQQQQLQQQQQQQQQQFQQQQQQQQMGLLNQSRTLLSPQQQQQQQVALGPGMPAKPLQHFSSPGALGPTLLLTGKEQNTVDPAVSSEATEGPSTHQGGPLAIGTTPESMATEPGEVKPSLSGDSQLLLVQPQPQPQPSSLQLQPPLRLPGQQQQQVSLLHTAGGGSHGQLGSGSSSEASSVPHLLAQPSVSLGDQPGSMTQNLLGPQQPMLERPMQNNTGPQPPKPGPVLQSGQGLPGVGIMPTVGQLRAQLQGVLAKNPQLRHLSPQQQQQLQALLMQRQLQQSQAVRQTPPYQEPGTQTSPLQGLLGCQPQLGGFPGPQTGPLQELGAGPRPQGPPRLPAPPGALSTGPVLGPVHPTPPPSSPQEPKRPSQLPSPSSQLPTEAQLPPTHPGTPKPQGPTLEPPPGRVSPAAAQLADTLFSKGLGPWDPPDNLAETQKPEQSSLVPGHLDQVNGQVVPEASQLSIKQEPREEPCALGAQSVKREANGEPIGAPGTSNHLLLAGPRSEAGHLLLQKLLRAKNVQLSTGRGSEGLRAEINGHIDSKLAGLEQKLQGTPSNKEDAAARKPLTPKPKRVQKASDRLVSSRKKLRKEDGVRASEALLKQLKQELSLLPLTEPAITANFSLFAPFGSGCPVNGQSQLRGAFGSGALPTGPDYYSQLLTKNNLSNPPTPPSSLPPTPPPSVQQKMVNGVTPSEELGEHPKDAASARDSERALRDTSEVKSLDLLAALPTPPHNQTEDVRMESDEDSDSPDSIVPASSPESILGEEAPRFPHLGSGRWEQEDRALSPVIPLIPRASIPVFPDTKPYGALGLEVPGKLPVTTWEKGKGSEVSVMLTVSAAAAKNLNGVMVAVAELLSMKIPNSYEVLFPESPARAGTEPKKGEAEGPGGKEKGLEGKSPDTGPDWLKQFDAVLPGYTLKSQLDILSLLKQESPAPEPPTQHSYTYNVSNLDVRQLSAPPPEEPSPPPSPLAPSPASPPTEPLVELPTEPLAEPPVPSPLPLASSPESARPKPRARPPEEGEDSRPPRLKKWKGVRWKRLRLLLTIQKGSGRQEDEREVAEFMEQLGTALRPDKVPRDMRRCCFCHEEGDGATDGPARLLNLDLDLWVHLNCALWSTEVYETQGGALMNVEVALHRGLLTKCSLCQRTGATSSCNRMRCPNVYHFACAIRAKCMFFKDKTMLCPMHKIKGPCEQELSSFAVFRRVYIERDEVKQIASIIQRGERLHMFRVGGLVFHAIGQLLPHQMADFHSATALYPVGYEATRIYWSLRTNNRRCCYRCSIGENNGRPEFVIKVIEQGLEDLVFTDASPQAVWNRIIEPVAAMRKEADMLRLFPEYLKGEELFGLTVHAVLRIAESLPGVESCQNYLFRYGRHPLMELPLMINPTGCARSEPKILTHYKRPHTLNSTSMSKAYQSTFTGETNTPYSKQFVHSKSSQYRRLRTEWKNNVYLARSRIQGLGLYAAKDLEKHTMVIEYIGTIIRNEVANRREKIYEEQNRGIYMFRINNEHVIDATLTGGPARYINHSCAPNCVAEVVTFDKEDKIIIISSRRIPKGEELTYDYQFDFEDDQHKIPCHCGAWNCRKWMN
+>DECOY_sp|O14686|KMT2D_HUMAN Histone-lysine N-methyltransferase 2D OS=Homo sapiens OX=9606 GN=KMT2D PE=1 SV=2
+NMWKRCNWAGCHCPIKHQDDEFDFQYDYTLEEGKPIRRSSIIIIKDEKDFTVVEAVCNPACSHNIYRAPGGTLTADIVHENNIRFMYIGRNQEEYIKERRNAVENRIITGIYEIVMTHKELDKAAYLGLGQIRSRALYVNNKWETRLRRYQSSKSHVFQKSYPTNTEGTFTSQYAKSMSTSNLTHPRKYHTLIKPESRACGTPNIMLPLEMLPHRGYRFLYNQCSEVGPLSEAIRLVAHVTLGFLEEGKLYEPFLRLMDAEKRMAAVPEIIRNWVAQPSADTFVLDELGQEIVKIVFEPRGNNEGISCRYCCRRNNTRLSWYIRTAEYGVPYLATASHFDAMQHPLLQGIAHFVLGGVRFMHLREGRQIISAIQKVEDREIYVRRFVAFSSLEQECPGKIKHMPCLMTKDKFFMCKARIACAFHYVNPCRMRNCSSTAGTRQCLSCKTLLGRHLAVEVNMLAGGQTEYVETSWLACNLHVWLDLDLNLLRAPGDTAGDGEEHCFCCRRMDRPVKDPRLATGLQEMFEAVEREDEQRGSGKQITLLLRLRKWRVGKWKKLRPPRSDEGEEPPRARPKPRASEPSSALPLPSPVPPEALPETPLEVLPETPPSAPSPALPSPPPSPEEPPPASLQRVDLNSVNYTYSHQTPPEPAPSEQKLLSLIDLQSKLTYGPLVADFQKLWDPGTDPSKGELGKEKGGPGEAEGKKPETGARAPSEPFLVEYSNPIKMSLLEAVAVMVGNLNKAAAASVTLMVSVESGKGKEWTTVPLKGPVELGLAGYPKTDPFVPISARPILPIVPSLARDEQEWRGSGLHPFRPAEEGLISEPSSAPVISDPSDSDEDSEMRVDETQNHPPTPLAALLDLSKVESTDRLARESDRASAADKPHEGLEESPTVGNVMKQQVSPPPTPPLSSPPTPPNSLNNKTLLQSYYDPGTPLAGSGFAGRLQSQGNVPCGSGFPAFLSFNATIAPETLPLLSLEQKLQKLLAESARVGDEKRLKKRSSVLRDSAKQVRKPKPTLPKRAAADEKNSPTGQLKQELGALKSDIHGNIEARLGESGRGTSLQVNKARLLKQLLLHGAESRPGALLLHNSTGPAGIPEGNAERKVSQAGLACPEERPEQKISLQSAEPVVQGNVQDLHGPVLSSQEPKQTEALNDPPDWPGLGKSFLTDALQAAAPSVRGPPPELTPGQPKPTGPHTPPLQAETPLQSSPSPLQSPRKPEQPSSPPPTPHVPGLVPGTSLAGPPAPLRPPGQPRPGAGLEQLPGTQPGPFGGLQPQCGLLGQLPSTQTGPEQYPPTQRVAQSQQLQRQMLLAQLQQQQQPSLHRLQPNKALVGQLQARLQGVTPMIGVGPLGQGSQLVPGPKPPQPGTNNQMPRELMPQQPGLLNQTMSGPQDGLSVSPQALLHPVSSAESSSGSGLQGHSGGGATHLLSVQQQQQGPLRLPPQLQLSSPQPQPQPQVLLLQSDGSLSPKVEGPETAMSEPTTGIALPGGQHTSPGETAESSVAPDVTNQEKGTLLLTPGLAGPSSFHQLPKAPMGPGLAVQQQQQQQPSLLTRSQNLLGMQQQQQQQQFQQQQQQQQQQLQQQQQQLQQQQQQQLQQQQQQQQLQQQQLQQQQQLQQQQQQQLQQQQQLQQQQQLQQLSGMPQASLKPQGSHSMLSQQLHSLGAMSGQQQHQQQQQQQQATVLRHGMLGQGQQALQPSSLVRSQTMLVQRHPGQPGLAGPHQQQLVAVQAPGLMGQPGQPPQPSLQQVLLGQHSSPPMLGQPKPGLAQNTQVGPGQQQQQQIAVQGLLHQQQQQQQQQQQLKQALQMRQQQLQLQREQLLRSDPGLSRLALNGPFFGGSPGALSGAGGSHQQQQQQALATNLFPGGPQGPLAMGGPTLRLGGAQGGPPGQPPQLGHGPLLQGPLKTLLRPSQSPSLALVASHQQQQQQQQQQQQQQKNRYEAMLNTHEKQQKRVQDLQKQIKSQQETVLKLKEADAEPFERGAKKATRQKACLAKRSKRHVGIQEELVKLQMQLLQQTHFLWEEYQRQDAENIVGQAFTPPNPRPQSPDGASREQGSGAAVHNQLDSSPGGSLRQALLSVQQRNMGPAVGISGQAMVKKIGKLAVMKAKAIPDIIDEAAFKDLDTDPFFSNALQQQMALQQPKMCMSPPMTGMPKQSLVPQSSQQPVLGAQGGHQGSLMHGQNSVMAMSPALRQQLAHAPPQTPMLPQPLGPQRAGALGLSLQQQSGALSPSSGEHPLSMAQAPGPASLLSHQQQQQQQQQAPQLQASLQQKKQLEKKEHELLDEILLPLESAVLSSEMKDLEDGSAAPGGPLTLAGSELEFKELLSSGGPGSLPSPTLLHAPPGGTAGFSAKEATHGSSSFPGTGMRTDRSGMMSQGPKLGLGLSNAAPAPEVKPTAITFQCPSPHRGGEEVKPKVEPLVSALRPESADAAPPPREEPGLPGPEVGRLLAEREAKENASEVLRLHENFIDKKDGTDLEPDTYALLDFEDGNLLDDLHPDNTELNELTGLEDDGKAVDVGLGLHALEEDDELAKHADFDDDLEPDECPLKGAELALPNPRGLETSSPPRNVLELGTPLTPGKTHPTPHLSNNLEPAPPASPKQPPLGSVALGRLGEPALRHPDESVPYFRPRQPPGQGPFPTGGPGLGKQVNHRLEIFQAPGAPGPVPEGPGPLRTSIGALPSGLAQRGLEPGPTSPFRASMAFRMSTAATAQQQQWLQQQQQQLPLSGPYPARQYFAQSGGVLQRSNVDMSSPTAPPPPRSLRDDSPFSGPGLIPGSLKSPPLGVLSSKDQTGAFPTQGRSLQEFAPSSPEAGWSGPPGVAGAAAAATEKEQRLTNRQIQQRILLERLRQRQRQKELETQSLGSMGPDQTGPLEATALSSGMGTGPDERKEVPSTIGSRQSAGHSLYPLSGDPAPPPLVSPPRLPSLGYSEGTSGSSPGLPSGSPHFNGTAVTYNTSQPKGLTPGPGFHSNIGHPPPLGPQPVPPKLSPEGVAQPFTFRMPSPTGVFAGTGPSRVFNPPQGSPVKAHLEGAPGAPLAAPFGGAGLSTHALSGAKFAVEPPQPRPPKHLPAFPDRSQPRSPPRSYPDPSQFRPTVPSPCFAEASLPRPTLPSQSSSQSQPSAPVRSFPDSPLSRPPLACCSEPPPPQPRPTLPPQAYPDTYSGPRFIDPHSPPSPALAQAPPPEQPRLGLGPSQPEVQSARPTLPAKFVDPTKLELGGLHTGSSPSDVFGLNPPGYSPSSAGLEEKKVELAKRSEGFPPPSLLPESAKGGGVGPSEPVALNDLSPCRPKLFPDPTSPQHRPTGPPTTHFEGPQGAGPRSSAGLMPPQAPAGTPSPYPPYTPPATPFRPELPYAPALGFPDQSPVQAPVQPPLKLFLEGPSDPGPVSGAPPKLFGDASTSLGAPTTPSGIFITPAAAPPPSGLAGPQPPIRLHLAPRDTKRAIDGVKTQKNIQSEAQKQVKNIRHAARNDKAKQLYPAKDAAPVKRWLKMIQKCRSSWDPYDQKLNPFNINAYLVPSITSLQGLEEDKEWRQLSRQNYSLGDGEGETTPTTPTSPTTGGSGTWPSDPEGPEPSFFGGRERSDLFPSQCLGPYSDMPSSSPLNGLPLGGQLFPRQLPTRSGELGPTGCGLHQERESGLVDKFLQQLDKSEMKPLEETSIRDLDSSLMGEGPTGPKEPDSPVPSAKVGGDEPGPTDAKGELGRSEEDAIDPGDDGKQSTPLEKRESGGDGKAKPTGLGFSPRGVGVAFLAKRSLDLLEKGFFAEQLYAPFMDELKSKKRGRRQQKKKEDGEALSQEVAGEAPLDAPIVEDPQGDGSLVEAQAAPGKKTRTHSKRQRVMFGGIGPRYPKRKRKKSEETEEKGPEVDPSVPGELKIECEMHEVGGEGKLLEDTDLDGDKKDDPGLADSPESGELGAEGPLGLRGRRQRRKHLPSMTLNRLLAMGTETLWVGEFRFYQPEPEKVKMPVLEPPAVPAVPKVVYPQCSVCDFGEDAAQEVDDETFLSECGAHMWRECHRCQILLDEEVYPAHCIPCTVLSACPGCHTYSNQWECHFGPSAAGCQMCSVCWKCKWGGKPVTLLPPDLCYTHYSIDCDDCLLLRSPDSAQGCVECVICEVCRWGKLLMVKTIKSNVCYPHYCQSCQSCALLHGEAGRGFSGCVVCMDQMLVFKDTNSFLVVTNQMTDDDEEEEEKSPSSDIDAVVLTEISSATSKLRARGRGRGGHAGGRPRRRGPFSSSRGQKIRSRAPSSRRRGKEGEAKGGSIATGADCLLSDDTEPLSDTCLRLSGEDRAPSVDTSVGLEMSLSGGGEPDPSGLLPESGPFSASADGQSLNSIENVIDSKILTPPTPAVPARPEEQEECPSGQGATQKCEPYVEMPTVPALEEPDLLVPSGKLESALSGPTQGPEPQSGPADIGDLPATDEGLGSFDDLAPAPSPAPCSLDGMPSPLPSTASPELAPCEPESVKETEMEHLEAEDSVGVVKGIPSLPSPVSLPLAPPSCQSPPSNQPVLSHQLLPSCQPPLPEMLIPSAPGVPSGPSPPLIMPPVPEPDTCNAEPPPSIPTELIPAALPSEPDSDGKAGFPYELEGLPSLAPPAAATIIPSLPPPLPDPPMLQPSLSPEGSPSLPLEEPLPSLPPEGPESLAPEGLLPSLSPEGPPPFLPLEEPAPCQGPEEPPKEPRPSLPPKELEPSLHSEEPRPSLCPEESQPSLHSEEPQPSLCPEEPVPSLHPEETQPSLHLEEPQPSLHPGEAQPSLHPEEPVACLCPEEPQPSLHPEEAQPSLHPEEPRPSLHPEEPRPSLHPGESRPCLQPEEPLPLLPSEELPLSMLSDEPPPSAPSDEPPPSTPSDEPPPSLRSAEPPPSTPSEEPPPSLPSEEPPPSLRSVVPLPSLRSVEPPPSMPSEEPPPSMPSDEPPPSLRSAEPPPSLPSEEPPPSLPSEEFPPFLRSAEPPPSMPSEEPPPSMPSEEPPPSLRSAEPPPSTPLEEPPPSLPSEEFPPSLPSAEPPPSLPTELAPSPPSEEPPSLPSEELPSFPSSEPPPSLPSEEPPPSLPSEELPRSLHLAEPLPSAPLEEPPPSLRSAEPPPSTPSEEPPPSLPSEEPPPLLPMEENLPAELQPELQVGAKGLPKAECQLPGPEKPQMSTVHGGKPQGQCAVYLADPEDTPTDGPEPPSFRSCVPTHQEAVSRITQGGQAKHCRHCLSYNEFWESNPNLEASGAGCARCVRCAKCKWSHAPLEEMPPKLCFTHYGKDCTECVLMKSDNGPKRCAQCVKCEPCQWGARKRATLATDLCAGHYHHGCSTCFFLDCLEGPGECVACRAEELYAAGESHEPCLLQLTKMSLFSGSATACPFHYLRPCGPSRCPISAGLRTCHSCRQSIGSFIAKDVGCLEPGEQGWVGASWAACWHHAWCSGGPEGLHAPTLGEPFGIQSLDESPLVAENPGPSGGPSVVPCRPWDFPLEFRRLERQGHLSPEGCNCLACRRVPGGSCDQPTEQLRPSGSSLVSVEGVHPNPLDSETASPDESAAPGDAAPESDKDEGALKQSDM
+>sp|A6PVL3|KNCN_HUMAN Kinocilin OS=Homo sapiens OX=9606 GN=KNCN PE=2 SV=1
+MDIPISSRDFRGLQLACVALGLVAGSIIIGISVSKAAAAMGGVFIGAAVLGLLILAYPFLKARFNLDHILPTIGSLRIHPHPGADHGEGRSSTNGNKEGARSSLSTVSRTLEKLKPGTRGAEEC
+>DECOY_sp|A6PVL3|KNCN_HUMAN Kinocilin OS=Homo sapiens OX=9606 GN=KNCN PE=2 SV=1
+CEEAGRTGPKLKELTRSVTSLSSRAGEKNGNTSSRGEGHDAGPHPHIRLSGITPLIHDLNFRAKLFPYALILLGLVAAGIFVGGMAAAAKSVSIGIIISGAVLGLAVCALQLGRFDRSSIPIDM
+>sp|Q76NI1|KNDC1_HUMAN Kinase non-catalytic C-lobe domain-containing protein 1 OS=Homo sapiens OX=9606 GN=KNDC1 PE=2 SV=2
+MQAMDPAAADLYEEDGKDLDFYDFEPLPTLPEDEENVSLADILSLRDRGLSEQEAWAVCLECSLSMRSVAHAAIFQSLCITPDTLAFNTSGNVCFMEQLSDDPEGAFVPPEFDVTGNTFEAHIYSLGATLKAALEYVAEPTLEPRLSQDLEALLSRMQAEDPGDRPDLESIIALCEEKLQLTSSCRVCRSLSAVGRRVLSIESFGALQDVSESSWRERPAPGNAGPRRPPGDPSTDPEVLPTPEGPESETSRGPRASPTKALLSTPVRNGESHSREGLAGLVLDAERTLGELDRDALRRSRLRKVQTFPRLLSDSPEATLCLPLTRGKSQLPISELFSPDPRKAFLDRKNGLSSFQAQPKCRLWPEQEPEHQLGRVPCAGRSTDRGPGVPGSPGQPETSHPSQGPAEAPADPRDASGEAQTPRDDERIPEGARQLESAAAEQWVSLQDLLSQLGRPFREYELWALCLACLRALQTRPEHPAYLCLDSVLVAEDGAVLFQPPPANGSYDSFFLAPELAEERLVTEKASVYCVAAVLWTAAKFSVPRNHKLALPRRLKTLLLDMARRSAPERPSAAEAIKVCGSYLLQRGMDSRKILAHLRASICQVYQEEETISLQNAFSVVELKPSVAPAPEPSPGFLPVNSDTGLVAVPGPVPGQHPCGEEATQLPAAFTSEATHFKPIVLAQNASVARDQPALAQEESEERGGQREGEGEEKLSLEAHAGSPSLKTPDGPVPGPGPQGAAPEPLGASVQRDSAQGRPCPPPQAPANQPEGASSAAPGSPVPAPPTKASALPVEQGPAEPIPPGVASGGLRPDALGPTTAHHGPRHPPKPPRSKATERPGQEPEGPGATPAGERDDQSPDSVPERPRPADRRLCLPCVDASPLPGRTACPSLQEATRLIQEEFAFDGYLDNGLEALIMGEYIFALKDLTFATFCGAISEKFCDLYWDEKLLQNLFKVVNGQASPSPSTAEEAGSQLEGSQSPRSPSSKRPSLHRLGKEKPAMARTSSRAPCSPTSVSDVDSDALSRGNFEVGFRPQRSVKAERAQQPEAGEDRRPAGGASDVEAVTRLARSKGVGPALSPGPAGFQSCSPGWCSAFYEADCFGADVHNYVKDLGRQQADGALPDAQSPELEQQLMMEKRNYRKTLKFYQKLLQKEKRNKGSDVKTMLSKLKGQLEEMKSRVQFLSLVKKYLQVMYAERWGLEPCTLPVIVNIAAAPCDTLDFSPLDESSSLIFYNVNKHPGGRQKARILQAGTPLGLMAYLYSSDAFLEGYVQQFLYTFRYFCTPHDFLHFLLDRINSTLTRAHQDPTSTFTKIYRRSLCVLQAWVEDCYAVDFPRNSGLLGKLEDFISSKILPLDGSAKHLLGLLEVGMDRRAEGNPRGTDLENPREAEEDARPFNALCKRLSEDGISRKSFPWRLPRGNGLVLPPHKERPYTIAAALPKPCFLEDFYGPCAKTSEKGPYFLTEYSTHQLFSQLTLLQQELFQKCHPVHFLNSRALGVMDKSTAIPKASSSESLSAKTCSLFLPNYVQDKYLLQLLRNADDVSTWVAAEIVTSHTSKLQVNLLSKFLLIAKSCYEQRNFATAMQILSGLEHLAVRQSPAWRILPAKIAEVMEELKAVEVFLKSDSLCLMEGRRFRAQPTLPSAHLLAMHIQQLETGGFTMTNGAHRWSKLRNIAKVVSQVHAFQENPYTFSPDPKLQSYLKQRIARFSGADISTLAADSRANFHQVSSEKHSRKIQDKLRRMKATFQ
+>DECOY_sp|Q76NI1|KNDC1_HUMAN Kinase non-catalytic C-lobe domain-containing protein 1 OS=Homo sapiens OX=9606 GN=KNDC1 PE=2 SV=2
+QFTAKMRRLKDQIKRSHKESSVQHFNARSDAALTSIDAGSFRAIRQKLYSQLKPDPSFTYPNEQFAHVQSVVKAINRLKSWRHAGNTMTFGGTELQQIHMALLHASPLTPQARFRRGEMLCLSDSKLFVEVAKLEEMVEAIKAPLIRWAPSQRVALHELGSLIQMATAFNRQEYCSKAILLFKSLLNVQLKSTHSTVIEAAVWTSVDDANRLLQLLYKDQVYNPLFLSCTKASLSESSSAKPIATSKDMVGLARSNLFHVPHCKQFLEQQLLTLQSFLQHTSYETLFYPGKESTKACPGYFDELFCPKPLAAAITYPREKHPPLVLGNGRPLRWPFSKRSIGDESLRKCLANFPRADEEAERPNELDTGRPNGEARRDMGVELLGLLHKASGDLPLIKSSIFDELKGLLGSNRPFDVAYCDEVWAQLVCLSRRYIKTFTSTPDQHARTLTSNIRDLLFHLFDHPTCFYRFTYLFQQVYGELFADSSYLYAMLGLPTGAQLIRAKQRGGPHKNVNYFILSSSEDLPSFDLTDCPAAAINVIVPLTCPELGWREAYMVQLYKKVLSLFQVRSKMEELQGKLKSLMTKVDSGKNRKEKQLLKQYFKLTKRYNRKEMMLQQELEPSQADPLAGDAQQRGLDKVYNHVDAGFCDAEYFASCWGPSCSQFGAPGPSLAPGVGKSRALRTVAEVDSAGGAPRRDEGAEPQQAREAKVSRQPRFGVEFNGRSLADSDVDSVSTPSCPARSSTRAMAPKEKGLRHLSPRKSSPSRPSQSGELQSGAEEATSPSPSAQGNVVKFLNQLLKEDWYLDCFKESIAGCFTAFTLDKLAFIYEGMILAELGNDLYGDFAFEEQILRTAEQLSPCATRGPLPSADVCPLCLRRDAPRPREPVSDPSQDDREGAPTAGPGEPEQGPRETAKSRPPKPPHRPGHHATTPGLADPRLGGSAVGPPIPEAPGQEVPLASAKTPPAPVPSGPAASSAGEPQNAPAQPPPCPRGQASDRQVSAGLPEPAAGQPGPGPVPGDPTKLSPSGAHAELSLKEEGEGERQGGREESEEQALAPQDRAVSANQALVIPKFHTAESTFAAPLQTAEEGCPHQGPVPGPVAVLGTDSNVPLFGPSPEPAPAVSPKLEVVSFANQLSITEEEQYVQCISARLHALIKRSDMGRQLLYSGCVKIAEAASPREPASRRAMDLLLTKLRRPLALKHNRPVSFKAATWLVAAVCYVSAKETVLREEALEPALFFSDYSGNAPPPQFLVAGDEAVLVSDLCLYAPHEPRTQLARLCALCLAWLEYERFPRGLQSLLDQLSVWQEAAASELQRAGEPIREDDRPTQAEGSADRPDAPAEAPGQSPHSTEPQGPSGPVGPGRDTSRGACPVRGLQHEPEQEPWLRCKPQAQFSSLGNKRDLFAKRPDPSFLESIPLQSKGRTLPLCLTAEPSDSLLRPFTQVKRLRSRRLADRDLEGLTREADLVLGALGERSHSEGNRVPTSLLAKTPSARPGRSTESEPGEPTPLVEPDTSPDGPPRRPGANGPAPRERWSSESVDQLAGFSEISLVRRGVASLSRCVRCSSTLQLKEECLAIISELDPRDGPDEAQMRSLLAELDQSLRPELTPEAVYELAAKLTAGLSYIHAEFTNGTVDFEPPVFAGEPDDSLQEMFCVNGSTNFALTDPTICLSQFIAAHAVSRMSLSCELCVAWAEQESLGRDRLSLIDALSVNEEDEPLTPLPEFDYFDLDKGDEEYLDAAAPDMAQM
+>sp|P01042|KNG1_HUMAN Kininogen-1 OS=Homo sapiens OX=9606 GN=KNG1 PE=1 SV=2
+MKLITILFLCSRLLLSLTQESQSEEIDCNDKDLFKAVDAALKKYNSQNQSNNQFVLYRITEATKTVGSDTFYSFKYEIKEGDCPVQSGKTWQDCEYKDAAKAATGECTATVGKRSSTKFSVATQTCQITPAEGPVVTAQYDCLGCVHPISTQSPDLEPILRHGIQYFNNNTQHSSLFMLNEVKRAQRQVVAGLNFRITYSIVQTNCSKENFLFLTPDCKSLWNGDTGECTDNAYIDIQLRIASFSQNCDIYPGKDFVQPPTKICVGCPRDIPTNSPELEETLTHTITKLNAENNATFYFKIDNVKKARVQVVAGKKYFIDFVARETTCSKESNEELTESCETKKLGQSLDCNAEVYVVPWEKKIYPTVNCQPLGMISLMKRPPGFSPFRSSRIGEIKEETTVSPPHTSMAPAQDEERDSGKEQGHTRRHDWGHEKQRKHNLGHGHKHERDQGHGHQRGHGLGHGHEQQHGLGHGHKFKLDDDLEHQGGHVLDHGHKHKHGHGHGKHKNKGKKNGKHNGWKTEHLASSSEDSTTPSAQTQEKTEGPTPIPSLAKPGVTVTFSDFQDSDLIATMMPPISPAPIQSDDDWIPDIQIDPNGLSFNPISDFPDTTSPKCPGRPWKSVSEINPTTQMKESYYFDLTDGLS
+>DECOY_sp|P01042|KNG1_HUMAN Kininogen-1 OS=Homo sapiens OX=9606 GN=KNG1 PE=1 SV=2
+SLGDTLDFYYSEKMQTTPNIESVSKWPRGPCKPSTTDPFDSIPNFSLGNPDIQIDPIWDDDSQIPAPSIPPMMTAILDSDQFDSFTVTVGPKALSPIPTPGETKEQTQASPTTSDESSSALHETKWGNHKGNKKGKNKHKGHGHGHKHKHGHDLVHGGQHELDDDLKFKHGHGLGHQQEHGHGLGHGRQHGHGQDREHKHGHGLNHKRQKEHGWDHRRTHGQEKGSDREEDQAPAMSTHPPSVTTEEKIEGIRSSRFPSFGPPRKMLSIMGLPQCNVTPYIKKEWPVVYVEANCDLSQGLKKTECSETLEENSEKSCTTERAVFDIFYKKGAVVQVRAKKVNDIKFYFTANNEANLKTITHTLTEELEPSNTPIDRPCGVCIKTPPQVFDKGPYIDCNQSFSAIRLQIDIYANDTCEGTDGNWLSKCDPTLFLFNEKSCNTQVISYTIRFNLGAVVQRQARKVENLMFLSSHQTNNNFYQIGHRLIPELDPSQTSIPHVCGLCDYQATVVPGEAPTIQCTQTAVSFKTSSRKGVTATCEGTAAKAADKYECDQWTKGSQVPCDGEKIEYKFSYFTDSGVTKTAETIRYLVFQNNSQNQSNYKKLAADVAKFLDKDNCDIEESQSEQTLSLLLRSCLFLITILKM
+>sp|Q93100|KPBB_HUMAN Phosphorylase b kinase regulatory subunit beta OS=Homo sapiens OX=9606 GN=PHKB PE=1 SV=3
+MAGAAGLTAEVSWKVLERRARTKRSGSVYEPLKSINLPRPDNETLWDKLDHYYRIVKSTLLLYQSPTTGLFPTKTCGGDQKAKIQDSLYCAAGAWALALAYRRIDDDKGRTHELEHSAIKCMRGILYCYMRQADKVQQFKQDPRPTTCLHSVFNVHTGDELLSYEEYGHLQINAVSLYLLYLVEMISSGLQIIYNTDEVSFIQNLVFCVERVYRVPDFGVWERGSKYNNGSTELHSSSVGLAKAALEAINGFNLFGNQGCSWSVIFVDLDAHNRNRQTLCSLLPRESRSHNTDAALLPCISYPAFALDDEVLFSQTLDKVVRKLKGKYGFKRFLRDGYRTSLEDPNRCYYKPAEIKLFDGIECEFPIFFLYMMIDGVFRGNPKQVQEYQDLLTPVLHHTTEGYPVVPKYYYVPADFVEYEKNNPGSQKRFPSNCGRDGKLFLWGQALYIIAKLLADELISPKDIDPVQRYVPLKDQRNVSMRFSNQGPLENDLVVHVALIAESQRLQVFLNTYGIQTQTPQQVEPIQIWPQQELVKAYLQLGINEKLGLSGRPDRPIGCLGTSKIYRILGKTVVCYPIIFDLSDFYMSQDVFLLIDDIKNALQFIKQYWKMHGRPLFLVLIREDNIRGSRFNPILDMLAALKKGIIGGVKVHVDRLQTLISGAVVEQLDFLRISDTEELPEFKSFEELEPPKHSKVKRQSSTPSAPELGQQPDVNISEWKDKPTHEILQKLNDCSCLASQAILLGILLKREGPNFITKEGTVSDHIERVYRRAGSQKLWLAVRYGAAFTQKFSSSIAPHITTFLVHGKQVTLGAFGHEEEVISNPLSPRVIQNIIYYKCNTHDEREAVIQQELVIHIGWIISNNPELFSGMLKIRIGWIIHAMEYELQIRGGDKPALDLYQLSPSEVKQLLLDILQPQQNGRCWLNRRQIDGSLNRTPTGFYDRVWQILERTPNGIIVAGKHLPQQPTLSDMTMYEMNFSLLVEDTLGNIDQPQYRQIVVELLMVVSIVLERNPELEFQDKVDLDRLVKEAFNEFQKDQSRLKEIEKQDDMTSFYNTPPLGKRGTCSYLTKAVMNLLLEGEVKPNNDDPCLIS
+>DECOY_sp|Q93100|KPBB_HUMAN Phosphorylase b kinase regulatory subunit beta OS=Homo sapiens OX=9606 GN=PHKB PE=1 SV=3
+SILCPDDNNPKVEGELLLNMVAKTLYSCTGRKGLPPTNYFSTMDDQKEIEKLRSQDKQFENFAEKVLRDLDVKDQFELEPNRELVISVVMLLEVVIQRYQPQDINGLTDEVLLSFNMEYMTMDSLTPQQPLHKGAVIIGNPTRELIQWVRDYFGTPTRNLSGDIQRRNLWCRGNQQPQLIDLLLQKVESPSLQYLDLAPKDGGRIQLEYEMAHIIWGIRIKLMGSFLEPNNSIIWGIHIVLEQQIVAEREDHTNCKYYIINQIVRPSLPNSIVEEEHGFAGLTVQKGHVLFTTIHPAISSSFKQTFAAGYRVALWLKQSGARRYVREIHDSVTGEKTIFNPGERKLLIGLLIAQSALCSCDNLKQLIEHTPKDKWESINVDPQQGLEPASPTSSQRKVKSHKPPELEEFSKFEPLEETDSIRLFDLQEVVAGSILTQLRDVHVKVGGIIGKKLAALMDLIPNFRSGRINDERILVLFLPRGHMKWYQKIFQLANKIDDILLFVDQSMYFDSLDFIIPYCVVTKGLIRYIKSTGLCGIPRDPRGSLGLKENIGLQLYAKVLEQQPWIQIPEVQQPTQTQIGYTNLFVQLRQSEAILAVHVVLDNELPGQNSFRMSVNRQDKLPVYRQVPDIDKPSILEDALLKAIIYLAQGWLFLKGDRGCNSPFRKQSGPNNKEYEVFDAPVYYYKPVVPYGETTHHLVPTLLDQYEQVQKPNGRFVGDIMMYLFFIPFECEIGDFLKIEAPKYYCRNPDELSTRYGDRLFRKFGYKGKLKRVVKDLTQSFLVEDDLAFAPYSICPLLAADTNHSRSERPLLSCLTQRNRNHADLDVFIVSWSCGQNGFLNFGNIAELAAKALGVSSSHLETSGNNYKSGREWVGFDPVRYVREVCFVLNQIFSVEDTNYIIQLGSSIMEVLYLLYLSVANIQLHGYEEYSLLEDGTHVNFVSHLCTTPRPDQKFQQVKDAQRMYCYLIGRMCKIASHELEHTRGKDDDIRRYALALAWAGAACYLSDQIKAKQDGGCTKTPFLGTTPSQYLLLTSKVIRYYHDLKDWLTENDPRPLNISKLPEYVSGSRKTRARRELVKWSVEATLGAAGAM
+>sp|P05771|KPCB_HUMAN Protein kinase C beta type OS=Homo sapiens OX=9606 GN=PRKCB PE=1 SV=4
+MADPAAGPPPSEGEESTVRFARKGALRQKNVHEVKNHKFTARFFKQPTFCSHCTDFIWGFGKQGFQCQVCCFVVHKRCHEFVTFSCPGADKGPASDDPRSKHKFKIHTYSSPTFCDHCGSLLYGLIHQGMKCDTCMMNVHKRCVMNVPSLCGTDHTERRGRIYIQAHIDRDVLIVLVRDAKNLVPMDPNGLSDPYVKLKLIPDPKSESKQKTKTIKCSLNPEWNETFRFQLKESDKDRRLSVEIWDWDLTSRNDFMGSLSFGISELQKASVDGWFKLLSQEEGEYFNVPVPPEGSEANEELRQKFERAKISQGTKVPEEKTTNTVSKFDNNGNRDRMKLTDFNFLMVLGKGSFGKVMLSERKGTDELYAVKILKKDVVIQDDDVECTMVEKRVLALPGKPPFLTQLHSCFQTMDRLYFVMEYVNGGDLMYHIQQVGRFKEPHAVFYAAEIAIGLFFLQSKGIIYRDLKLDNVMLDSEGHIKIADFGMCKENIWDGVTTKTFCGTPDYIAPEIIAYQPYGKSVDWWAFGVLLYEMLAGQAPFEGEDEDELFQSIMEHNVAYPKSMSKEAVAICKGLMTKHPGKRLGCGPEGERDIKEHAFFRYIDWEKLERKEIQPPYKPKARDKRDTSNFDKEFTRQPVELTPTDKLFIMNLDQNEFAGFSYTNPEFVINV
+>DECOY_sp|P05771|KPCB_HUMAN Protein kinase C beta type OS=Homo sapiens OX=9606 GN=PRKCB PE=1 SV=4
+VNIVFEPNTYSFGAFENQDLNMIFLKDTPTLEVPQRTFEKDFNSTDRKDRAKPKYPPQIEKRELKEWDIYRFFAHEKIDREGEPGCGLRKGPHKTMLGKCIAVAEKSMSKPYAVNHEMISQFLEDEDEGEFPAQGALMEYLLVGFAWWDVSKGYPQYAIIEPAIYDPTGCFTKTTVGDWINEKCMGFDAIKIHGESDLMVNDLKLDRYIIGKSQLFFLGIAIEAAYFVAHPEKFRGVQQIHYMLDGGNVYEMVFYLRDMTQFCSHLQTLFPPKGPLALVRKEVMTCEVDDDQIVVDKKLIKVAYLEDTGKRESLMVKGFSGKGLVMLFNFDTLKMRDRNGNNDFKSVTNTTKEEPVKTGQSIKAREFKQRLEENAESGEPPVPVNFYEGEEQSLLKFWGDVSAKQLESIGFSLSGMFDNRSTLDWDWIEVSLRRDKDSEKLQFRFTENWEPNLSCKITKTKQKSESKPDPILKLKVYPDSLGNPDMPVLNKADRVLVILVDRDIHAQIYIRGRRETHDTGCLSPVNMVCRKHVNMMCTDCKMGQHILGYLLSGCHDCFTPSSYTHIKFKHKSRPDDSAPGKDAGPCSFTVFEHCRKHVVFCCVQCQFGQKGFGWIFDTCHSCFTPQKFFRATFKHNKVEHVNKQRLAGKRAFRVTSEEGESPPPGAAPDAM
+>sp|Q5T749|KPRP_HUMAN Keratinocyte proline-rich protein OS=Homo sapiens OX=9606 GN=KPRP PE=1 SV=1
+MCDQQQIQCRLPLQQCCVKGPSFCSSQSPFAQSQVVVQAPCEMQIVDCPASCPVQVCQVSDQAPCQSQTTQVKCQSKTKQVKGQAQCQSKTTQVKGQAASQSQTSSVQSQAPCQSEVSYVQCEASQPVQTCFVECAPVCYTETCYVECPVQNYVPCPAPQPVQMYRGRPAVCQPQGRFSTQCQYQGSYSSCGPQFQSRATCNNYTPQFQLRPSYSSCFPQYRSRTSFSPCVPQCQTQGSYGSFTEQHRSRSTSRCLPPPRRLQLFPRSCSPPRRFEPCSSSYLPLRPSEGFPNYCTPPRRSEPIYNSRCPRRPISSCSQRRGPKCRIEISSPCCPRQVPPQRCPVEIPPIRRRSQSCGPQPSWGASCPELRPHVEPRPLPSFCPPRRLDQCPESPLQRCPPPAPRPRLRPEPCISLEPRPRPLPRQLSEPCLYPEPLPALRPTPRPVPLPRPGQCEIPEPRPCLQPCEHPEPCPRPEPIPLPAPCPSPEPCRETWRSPSPCWGPNPVPYPGDLGCHESSPHRLDTEAPYCGPSSYNQGQESGAGCGPGDVFPERRGQDGHGDQGNAFAGVKGEAKSAYF
+>DECOY_sp|Q5T749|KPRP_HUMAN Keratinocyte proline-rich protein OS=Homo sapiens OX=9606 GN=KPRP PE=1 SV=1
+FYASKAEGKVGAFANGQDGHGDQGRREPFVDGPGCGAGSEQGQNYSSPGCYPAETDLRHPSSEHCGLDGPYPVPNPGWCPSPSRWTERCPEPSPCPAPLPIPEPRPCPEPHECPQLCPRPEPIECQGPRPLPVPRPTPRLAPLPEPYLCPESLQRPLPRPRPELSICPEPRLRPRPAPPPCRQLPSEPCQDLRRPPCFSPLPRPEVHPRLEPCSAGWSPQPGCSQSRRRIPPIEVPCRQPPVQRPCCPSSIEIRCKPGRRQSCSSIPRRPCRSNYIPESRRPPTCYNPFGESPRLPLYSSSCPEFRRPPSCSRPFLQLRRPPPLCRSTSRSRHQETFSGYSGQTQCQPVCPSFSTRSRYQPFCSSYSPRLQFQPTYNNCTARSQFQPGCSSYSGQYQCQTSFRGQPQCVAPRGRYMQVPQPAPCPVYNQVPCEVYCTETYCVPACEVFCTQVPQSAECQVYSVESQCPAQSQVSSTQSQSAAQGKVQTTKSQCQAQGKVQKTKSQCKVQTTQSQCPAQDSVQCVQVPCSAPCDVIQMECPAQVVVQSQAFPSQSSCFSPGKVCCQQLPLRCQIQQQDCM
+>sp|P14618|KPYM_HUMAN Pyruvate kinase PKM OS=Homo sapiens OX=9606 GN=PKM PE=1 SV=4
+MSKPHSEAGTAFIQTQQLHAAMADTFLEHMCRLDIDSPPITARNTGIICTIGPASRSVETLKEMIKSGMNVARLNFSHGTHEYHAETIKNVRTATESFASDPILYRPVAVALDTKGPEIRTGLIKGSGTAEVELKKGATLKITLDNAYMEKCDENILWLDYKNICKVVEVGSKIYVDDGLISLQVKQKGADFLVTEVENGGSLGSKKGVNLPGAAVDLPAVSEKDIQDLKFGVEQDVDMVFASFIRKASDVHEVRKVLGEKGKNIKIISKIENHEGVRRFDEILEASDGIMVARGDLGIEIPAEKVFLAQKMMIGRCNRAGKPVICATQMLESMIKKPRPTRAEGSDVANAVLDGADCIMLSGETAKGDYPLEAVRMQHLIAREAEAAIYHLQLFEELRRLAPITSDPTEATAVGAVEASFKCCSGAIIVLTKSGRSAHQVARYRPRAPIIAVTRNPQTARQAHLYRGIFPVLCKDPVQEAWAEDVDLRVNFAMNVGKARGFFKKGDVVIVLTGWRPGSGFTNTMRVVPVP
+>DECOY_sp|P14618|KPYM_HUMAN Pyruvate kinase PKM OS=Homo sapiens OX=9606 GN=PKM PE=1 SV=4
+PVPVVRMTNTFGSGPRWGTLVIVVDGKKFFGRAKGVNMAFNVRLDVDEAWAEQVPDKCLVPFIGRYLHAQRATQPNRTVAIIPARPRYRAVQHASRGSKTLVIIAGSCCKFSAEVAGVATAETPDSTIPALRRLEEFLQLHYIAAEAERAILHQMRVAELPYDGKATEGSLMICDAGDLVANAVDSGEARTPRPKKIMSELMQTACIVPKGARNCRGIMMKQALFVKEAPIEIGLDGRAVMIGDSAELIEDFRRVGEHNEIKSIIKINKGKEGLVKRVEHVDSAKRIFSAFVMDVDQEVGFKLDQIDKESVAPLDVAAGPLNVGKKSGLSGGNEVETVLFDAGKQKVQLSILGDDVYIKSGVEVVKCINKYDLWLINEDCKEMYANDLTIKLTAGKKLEVEATGSGKILGTRIEPGKTDLAVAVPRYLIPDSAFSETATRVNKITEAHYEHTGHSFNLRAVNMGSKIMEKLTEVSRSAPGITCIIGTNRATIPPSDIDLRCMHELFTDAMAAHLQQTQIFATGAESHPKSM
+>sp|P30613|KPYR_HUMAN Pyruvate kinase PKLR OS=Homo sapiens OX=9606 GN=PKLR PE=1 SV=2
+MSIQENISSLQLRSWVSKSQRDLAKSILIGAPGGPAGYLRRASVAQLTQELGTAFFQQQQLPAAMADTFLEHLCLLDIDSEPVAARSTSIIATIGPASRSVERLKEMIKAGMNIARLNFSHGSHEYHAESIANVREAVESFAGSPLSYRPVAIALDTKGPEIRTGILQGGPESEVELVKGSQVLVTVDPAFRTRGNANTVWVDYPNIVRVVPVGGRIYIDDGLISLVVQKIGPEGLVTQVENGGVLGSRKGVNLPGAQVDLPGLSEQDVRDLRFGVEHGVDIVFASFVRKASDVAAVRAALGPEGHGIKIISKIENHEGVKRFDEILEVSDGIMVARGDLGIEIPAEKVFLAQKMMIGRCNLAGKPVVCATQMLESMITKPRPTRAETSDVANAVLDGADCIMLSGETAKGNFPVEAVKMQHAIAREAEAAVYHRQLFEELRRAAPLSRDPTEVTAIGAVEAAFKCCAAAIIVLTTTGRSAQLLSRYRPRAAVIAVTRSAQAARQVHLCRGVFPLLYREPPEAIWADDVDRRVQFGIESGKLRGFLRVGDLVIVVTGWRPGSGYTNIMRVLSIS
+>DECOY_sp|P30613|KPYR_HUMAN Pyruvate kinase PKLR OS=Homo sapiens OX=9606 GN=PKLR PE=1 SV=2
+SISLVRMINTYGSGPRWGTVVIVLDGVRLFGRLKGSEIGFQVRRDVDDAWIAEPPERYLLPFVGRCLHVQRAAQASRTVAIVAARPRYRSLLQASRGTTTLVIIAAACCKFAAEVAGIATVETPDRSLPAARRLEEFLQRHYVAAEAERAIAHQMKVAEVPFNGKATEGSLMICDAGDLVANAVDSTEARTPRPKTIMSELMQTACVVPKGALNCRGIMMKQALFVKEAPIEIGLDGRAVMIGDSVELIEDFRKVGEHNEIKSIIKIGHGEPGLAARVAAVDSAKRVFSAFVIDVGHEVGFRLDRVDQESLGPLDVQAGPLNVGKRSGLVGGNEVQTVLGEPGIKQVVLSILGDDIYIRGGVPVVRVINPYDVWVTNANGRTRFAPDVTVLVQSGKVLEVESEPGGQLIGTRIEPGKTDLAIAVPRYSLPSGAFSEVAERVNAISEAHYEHSGHSFNLRAINMGAKIMEKLREVSRSAPGITAIISTSRAAVPESDIDLLCLHELFTDAMAAPLQQQQFFATGLEQTLQAVSARRLYGAPGGPAGILISKALDRQSKSVWSRLQLSSINEQISM
+>sp|P60371|KR106_HUMAN Keratin-associated protein 10-6 OS=Homo sapiens OX=9606 GN=KRTAP10-6 PE=1 SV=2
+MAASTMSVCSSDLSYGSRVCLPGSCDSCSDSWQVDDCPESCCEPPCCAPAPCLSLVCTPVSRVSSPCCPVTCEPSPCQSGCTSSCTPSCCQQSSCQLACCASSPCQQACCVPVCCKTVCCKPVCCVSVCCGDSSCCQQSSCQSACCTSSPCQQACCVPVCCKPVCSGISSSCCQQSSCVSCVSSPCCQAVCEPSPCQSGCTSSCTPSCCQQSSCQPTCCTSSPCQQACCVPVCCVPVCCVPTCSEDSSSCCQQSSCQPACCTSSPCQHACCVPVCSGASTSCCQQSSCQPACCTASCCRPSSSVSLLCHPVCKSTCCVPVPSCGASASSCQPSCCRTASCVSLLCRPMCSRPACYSLCSGQKSSC
+>DECOY_sp|P60371|KR106_HUMAN Keratin-associated protein 10-6 OS=Homo sapiens OX=9606 GN=KRTAP10-6 PE=1 SV=2
+CSSKQGSCLSYCAPRSCMPRCLLSVCSATRCCSPQCSSASAGCSPVPVCCTSKCVPHCLLSVSSSPRCCSATCCAPQCSSQQCCSTSAGSCVPVCCAHQCPSSTCCAPQCSSQQCCSSSDESCTPVCCVPVCCVPVCCAQQCPSSTCCTPQCSSQQCCSPTCSSTCGSQCPSPECVAQCCPSSVCSVCSSQQCCSSSIGSCVPKCCVPVCCAQQCPSSTCCASQCSSQQCCSSDGCCVSVCCVPKCCVTKCCVPVCCAQQCPSSACCALQCSSQQCCSPTCSSTCGSQCPSPECTVPCCPSSVRSVPTCVLSLCPAPACCPPECCSEPCDDVQWSDSCSDCSGPLCVRSGYSLDSSCVSMTSAAM
+>sp|P60409|KR107_HUMAN Keratin-associated protein 10-7 OS=Homo sapiens OX=9606 GN=KRTAP10-7 PE=1 SV=2
+MAASTMSVCSSDLSYGSRVCLPGSCDSCSDSWQVDDCPESCCEPPCCAPSCCAPAPCLSLVCTPVSRVSSPCCPVTCEPSPCQSGCTSSCTPSCCQQSSCQLACCASSPCQQACCMPVCCKTVCCKPVYCVPVCSGDSSCCQQSSCQSACCTSSPCQQACCVPICCKPVCSGISSSCCQQSSCVSCVSSPCCQAVCEPSPCQSGCISSCTPSCCQQSSCQPACCTSSPCQQACCVPVCCKPVCCVPTCSDDSGSCCQPACCTSSQSQQGCCVPVCCKPVCCVPVCSGASSSCCQQSSCQPACCTTSCCRPSSSVSLLCRPVCRPTCCVPVPSCCAPTSSCQASCCRPASCVSLLCRPACSRPACCGPTSTQKSSC
+>DECOY_sp|P60409|KR107_HUMAN Keratin-associated protein 10-7 OS=Homo sapiens OX=9606 GN=KRTAP10-7 PE=1 SV=2
+CSSKQTSTPGCCAPRSCAPRCLLSVCSAPRCCSAQCSSTPACCSPVPVCCTPRCVPRCLLSVSSSPRCCSTTCCAPQCSSQQCCSSSAGSCVPVCCVPKCCVPVCCGQQSQSSTCCAPQCCSGSDDSCTPVCCVPKCCVPVCCAQQCPSSTCCAPQCSSQQCCSPTCSSICGSQCPSPECVAQCCPSSVCSVCSSQQCCSSSIGSCVPKCCIPVCCAQQCPSSTCCASQCSSQQCCSSDGSCVPVCYVPKCCVTKCCVPMCCAQQCPSSACCALQCSSQQCCSPTCSSTCGSQCPSPECTVPCCPSSVRSVPTCVLSLCPAPACCSPACCPPECCSEPCDDVQWSDSCSDCSGPLCVRSGYSLDSSCVSMTSAAM
+>sp|Q3LI77|KR134_HUMAN Keratin-associated protein 13-4 OS=Homo sapiens OX=9606 GN=KRTAP13-4 PE=1 SV=1
+MSYNCCSRNFSSRSFGGYLYYPGSYPSSLVYSTALCSPSTCQLRSSLYRDCQKTCWEPASCQKSCYRPRTSILCCPCQTTCSGSLGFRSSSCRSQGYGSRCCYSLGNGSSGFRFLKYGGCGFPSLSYGSRFCYPNYLASGAWQSSCYRPICGSRFYQFTC
+>DECOY_sp|Q3LI77|KR134_HUMAN Keratin-associated protein 13-4 OS=Homo sapiens OX=9606 GN=KRTAP13-4 PE=1 SV=1
+CTFQYFRSGCIPRYCSSQWAGSALYNPYCFRSGYSLSPFGCGGYKLFRFGSSGNGLSYCCRSGYGQSRCSSSRFGLSGSCTTQCPCCLISTRPRYCSKQCSAPEWCTKQCDRYLSSRLQCTSPSCLATSYVLSSPYSGPYYLYGGFSRSSFNRSCCNYSM
+>sp|P78385|KRT83_HUMAN Keratin, type II cuticular Hb3 OS=Homo sapiens OX=9606 GN=KRT83 PE=1 SV=2
+MTCGFNSIGCGFRPGNFSCVSACGPRPSRCCITAAPYRGISCYRGLTGGFGSHSVCGGFRAGSCGRSFGYRSGGVCGPSPPCITTVSVNESLLTPLNLEIDPNAQCVKQEEKEQIKSLNSRFAAFIDKVRFLEQQNKLLETKLQFYQNRECCQSNLEPLFAGYIETLRREAECVEADSGRLASELNHVQEVLEGYKKKYEEEVALRATAENEFVALKKDVDCAYLRKSDLEANVEALIQEIDFLRRLYEEEIRILQSHISDTSVVVKLDNSRDLNMDCIVAEIKAQYDDIATRSRAEAESWYRSKCEEMKATVIRHGETLRRTKEEINELNRMIQRLTAEVENAKCQNSKLEAAVAQSEQQGEAALSDARCKLAELEGALQKAKQDMACLIREYQEVMNSKLGLDIEIATYRRLLEGEEQRLCEGVEAVNVCVSSSRGGVVCGDLCVSGSRPVTGSVCSAPCNGNLVVSTGLCKPCGQLNTTCGGGSCGQGRH
+>DECOY_sp|P78385|KRT83_HUMAN Keratin, type II cuticular Hb3 OS=Homo sapiens OX=9606 GN=KRT83 PE=1 SV=2
+HRGQGCSGGGCTTNLQGCPKCLGTSVVLNGNCPASCVSGTVPRSGSVCLDGCVVGGRSSSVCVNVAEVGECLRQEEGELLRRYTAIEIDLGLKSNMVEQYERILCAMDQKAKQLAGELEALKCRADSLAAEGQQESQAVAAELKSNQCKANEVEATLRQIMRNLENIEEKTRRLTEGHRIVTAKMEECKSRYWSEAEARSRTAIDDYQAKIEAVICDMNLDRSNDLKVVVSTDSIHSQLIRIEEEYLRRLFDIEQILAEVNAELDSKRLYACDVDKKLAVFENEATARLAVEEEYKKKYGELVEQVHNLESALRGSDAEVCEAERRLTEIYGAFLPELNSQCCERNQYFQLKTELLKNQQELFRVKDIFAAFRSNLSKIQEKEEQKVCQANPDIELNLPTLLSENVSVTTICPPSPGCVGGSRYGFSRGCSGARFGGCVSHSGFGGTLGRYCSIGRYPAATICCRSPRPGCASVCSFNGPRFGCGISNFGCTM
+>sp|O43790|KRT86_HUMAN Keratin, type II cuticular Hb6 OS=Homo sapiens OX=9606 GN=KRT86 PE=1 SV=1
+MTCGSYCGGRAFSCISACGPRPGRCCITAAPYRGISCYRGLTGGFGSHSVCGGFRAGSCGRSFGYRSGGVCGPSPPCITTVSVNESLLTPLNLEIDPNAQCVKQEEKEQIKSLNSRFAAFIDKVRFLEQQNKLLETKLQFYQNRECCQSNLEPLFEGYIETLRREAECVEADSGRLASELNHVQEVLEGYKKKYEEEVSLRATAENEFVALKKDVDCAYLRKSDLEANVEALIQEIDFLRRLYEEEIRVLQSHISDTSVVVKLDNSRDLNMDCIIAEIKAQYDDIVTRSRAEAESWYRSKCEEMKATVIRHGETLRRTKEEINELNRMIQRLTAEVENAKCQNSKLEAAVAQSEQQGEAALSDARCKLAELEGALQKAKQDMACLIREYQEVMNSKLGLDIEIATYRRLLEGEEQRLCEGVGSVNVCVSSSRGGVVCGDLCASTTAPVVSTRVSSVPSNSNVVVGTTNACAPSARVGVCGGSCKRC
+>DECOY_sp|O43790|KRT86_HUMAN Keratin, type II cuticular Hb6 OS=Homo sapiens OX=9606 GN=KRT86 PE=1 SV=1
+CRKCSGGCVGVRASPACANTTGVVVNSNSPVSSVRTSVVPATTSACLDGCVVGGRSSSVCVNVSGVGECLRQEEGELLRRYTAIEIDLGLKSNMVEQYERILCAMDQKAKQLAGELEALKCRADSLAAEGQQESQAVAAELKSNQCKANEVEATLRQIMRNLENIEEKTRRLTEGHRIVTAKMEECKSRYWSEAEARSRTVIDDYQAKIEAIICDMNLDRSNDLKVVVSTDSIHSQLVRIEEEYLRRLFDIEQILAEVNAELDSKRLYACDVDKKLAVFENEATARLSVEEEYKKKYGELVEQVHNLESALRGSDAEVCEAERRLTEIYGEFLPELNSQCCERNQYFQLKTELLKNQQELFRVKDIFAAFRSNLSKIQEKEEQKVCQANPDIELNLPTLLSENVSVTTICPPSPGCVGGSRYGFSRGCSGARFGGCVSHSGFGGTLGRYCSIGRYPAATICCRGPRPGCASICSFARGGCYSGCTM
+>sp|Q9UK32|KS6A6_HUMAN Ribosomal protein S6 kinase alpha-6 OS=Homo sapiens OX=9606 GN=RPS6KA6 PE=1 SV=1
+MLPFAPQDEPWDREMEVFSGGGASSGEVNGLKMVDEPMEEGEADSCHDEGVVKEIPITHHVKEGYEKADPAQFELLKVLGQGSFGKVFLVRKKTGPDAGQLYAMKVLKKASLKVRDRVRTKMERDILVEVNHPFIVKLHYAFQTEGKLYLILDFLRGGDVFTRLSKEVLFTEEDVKFYLAELALALDHLHQLGIVYRDLKPENILLDEIGHIKLTDFGLSKESVDQEKKAYSFCGTVEYMAPEVVNRRGHSQSADWWSYGVLMFEMLTGTLPFQGKDRNETMNMILKAKLGMPQFLSAEAQSLLRMLFKRNPANRLGSEGVEEIKRHLFFANIDWDKLYKREVQPPFKPASGKPDDTFCFDPEFTAKTPKDSPGLPASANAHQLFKGFSFVATSIAEEYKITPITSANVLPIVQINGNAAQFGEVYELKEDIGVGSYSVCKRCIHATTNMEFAVKIIDKSKRDPSEEIEILMRYGQHPNIITLKDVFDDGRYVYLVTDLMKGGELLDRILKQKCFSEREASDILYVISKTVDYLHCQGVVHRDLKPSNILYMDESASADSIRICDFGFAKQLRGENGLLLTPCYTANFVAPEVLMQQGYDAACDIWSLGVLFYTMLAGYTPFANGPNDTPEEILLRIGNGKFSLSGGNWDNISDGAKDLLSHMLHMDPHQRYTAEQILKHSWITHRDQLPNDQPKRNDVSHVVKGAMVATYSALTHKTFQPVLEPVAASSLAQRRSMKKRTSTGL
+>DECOY_sp|Q9UK32|KS6A6_HUMAN Ribosomal protein S6 kinase alpha-6 OS=Homo sapiens OX=9606 GN=RPS6KA6 PE=1 SV=1
+LGTSTRKKMSRRQALSSAAVPELVPQFTKHTLASYTAVMAGKVVHSVDNRKPQDNPLQDRHTIWSHKLIQEATYRQHPDMHLMHSLLDKAGDSINDWNGGSLSFKGNGIRLLIEEPTDNPGNAFPTYGALMTYFLVGLSWIDCAADYGQQMLVEPAVFNATYCPTLLLGNEGRLQKAFGFDCIRISDASASEDMYLINSPKLDRHVVGQCHLYDVTKSIVYLIDSAERESFCKQKLIRDLLEGGKMLDTVLYVYRGDDFVDKLTIINPHQGYRMLIEIEESPDRKSKDIIKVAFEMNTTAHICRKCVSYSGVGIDEKLEYVEGFQAANGNIQVIPLVNASTIPTIKYEEAISTAVFSFGKFLQHANASAPLGPSDKPTKATFEPDFCFTDDPKGSAPKFPPQVERKYLKDWDINAFFLHRKIEEVGESGLRNAPNRKFLMRLLSQAEASLFQPMGLKAKLIMNMTENRDKGQFPLTGTLMEFMLVGYSWWDASQSHGRRNVVEPAMYEVTGCFSYAKKEQDVSEKSLGFDTLKIHGIEDLLINEPKLDRYVIGLQHLHDLALALEALYFKVDEETFLVEKSLRTFVDGGRLFDLILYLKGETQFAYHLKVIFPHNVEVLIDREMKTRVRDRVKLSAKKLVKMAYLQGADPGTKKRVLFVKGFSGQGLVKLLEFQAPDAKEYGEKVHHTIPIEKVVGEDHCSDAEGEEMPEDVMKLGNVEGSSAGGGSFVEMERDWPEDQPAFPLM
+>sp|P43405|KSYK_HUMAN Tyrosine-protein kinase SYK OS=Homo sapiens OX=9606 GN=SYK PE=1 SV=1
+MASSGMADSANHLPFFFGNITREEAEDYLVQGGMSDGLYLLRQSRNYLGGFALSVAHGRKAHHYTIERELNGTYAIAGGRTHASPADLCHYHSQESDGLVCLLKKPFNRPQGVQPKTGPFEDLKENLIREYVKQTWNLQGQALEQAIISQKPQLEKLIATTAHEKMPWFHGKISREESEQIVLIGSKTNGKFLIRARDNNGSYALCLLHEGKVLHYRIDKDKTGKLSIPEGKKFDTLWQLVEHYSYKADGLLRVLTVPCQKIGTQGNVNFGGRPQLPGSHPATWSAGGIISRIKSYSFPKPGHRKSSPAQGNRQESTVSFNPYEPELAPWAADKGPQREALPMDTEVYESPYADPEEIRPKEVYLDRKLLTLEDKELGSGNFGTVKKGYYQMKKVVKTVAVKILKNEANDPALKDELLAEANVMQQLDNPYIVRMIGICEAESWMLVMEMAELGPLNKYLQQNRHVKDKNIIELVHQVSMGMKYLEESNFVHRDLAARNVLLVTQHYAKISDFGLSKALRADENYYKAQTHGKWPVKWYAPECINYYKFSSKSDVWSFGVLMWEAFSYGQKPYRGMKGSEVTAMLEKGERMGCPAGCPREMYDLMNLCWTYDVENRPGFAAVELRLRNYYYDVVN
+>DECOY_sp|P43405|KSYK_HUMAN Tyrosine-protein kinase SYK OS=Homo sapiens OX=9606 GN=SYK PE=1 SV=1
+NVVDYYYNRLRLEVAAFGPRNEVDYTWCLNMLDYMERPCGAPCGMREGKELMATVESGKMGRYPKQGYSFAEWMLVGFSWVDSKSSFKYYNICEPAYWKVPWKGHTQAKYYNEDARLAKSLGFDSIKAYHQTVLLVNRAALDRHVFNSEELYKMGMSVQHVLEIINKDKVHRNQQLYKNLPGLEAMEMVLMWSEAECIGIMRVIYPNDLQQMVNAEALLEDKLAPDNAENKLIKVAVTKVVKKMQYYGKKVTGFNGSGLEKDELTLLKRDLYVEKPRIEEPDAYPSEYVETDMPLAERQPGKDAAWPALEPEYPNFSVTSEQRNGQAPSSKRHGPKPFSYSKIRSIIGGASWTAPHSGPLQPRGGFNVNGQTGIKQCPVTLVRLLGDAKYSYHEVLQWLTDFKKGEPISLKGTKDKDIRYHLVKGEHLLCLAYSGNNDRARILFKGNTKSGILVIQESEERSIKGHFWPMKEHATTAILKELQPKQSIIAQELAQGQLNWTQKVYERILNEKLDEFPGTKPQVGQPRNFPKKLLCVLGDSEQSHYHCLDAPSAHTRGGAIAYTGNLEREITYHHAKRGHAVSLAFGGLYNRSQRLLYLGDSMGGQVLYDEAEERTINGFFFPLHNASDAMGSSAM
+>sp|Q9H079|KTBL1_HUMAN KATNB1-like protein 1 OS=Homo sapiens OX=9606 GN=KATNBL1 PE=1 SV=1
+MASETHNVKKRNFCNKIEDHFIDLPRKKISNFTNKNMKEVKKSPKQLAAYINRTVGQTVKSPDKLRKVIYRRKKVHHPFPNPCYRKKQSPGSGGCDMANKENELACAGHLPEKLHHDSRTYLVNSSDSGSSQTESPSSKYSGFFSEVSQDHETMAQVLFSRNMRLNVALTFWRKRSISELVAYLLRIEDLGVVVDCLPVLTNCLQEEKQYISLGCCVDLLPLVKSLLKSKFEEYVIVGLNWLQAVIKRWWSELSSKTEIINDGNIQILKQQLSGLWEQENHLTLVPGYTGNIAKDVDAYLLQLH
+>DECOY_sp|Q9H079|KTBL1_HUMAN KATNB1-like protein 1 OS=Homo sapiens OX=9606 GN=KATNBL1 PE=1 SV=1
+HLQLLYADVDKAINGTYGPVLTLHNEQEWLGSLQQKLIQINGDNIIETKSSLESWWRKIVAQLWNLGVIVYEEFKSKLLSKVLPLLDVCCGLSIYQKEEQLCNTLVPLCDVVVGLDEIRLLYAVLESISRKRWFTLAVNLRMNRSFLVQAMTEHDQSVESFFGSYKSSPSETQSSGSDSSNVLYTRSDHHLKEPLHGACALENEKNAMDCGGSGPSQKKRYCPNPFPHHVKKRRYIVKRLKDPSKVTQGVTRNIYAALQKPSKKVEKMNKNTFNSIKKRPLDIFHDEIKNCFNRKKVNHTESAM
+>sp|Q86UP2|KTN1_HUMAN Kinectin OS=Homo sapiens OX=9606 GN=KTN1 PE=1 SV=1
+MEFYESAYFIVLIPSIVITVIFLFFWLFMKETLYDEVLAKQKREQKLIPTKTDKKKAEKKKNKKKEIQNGNLHESDSESVPRDFKLSDALAVEDDQVAPVPLNVVETSSSVRERKKKEKKQKPVLEEQVIKESDASKIPGKKVEPVPVTKQPTPPSEAAASKKKPGQKKSKNGSDDQDKKVETLMVPSKRQEALPLHQETKQESGSGKKKASSKKQKTENVFVDEPLIHATTYIPLMDNADSSPVVDKREVIDLLKPDQVEGIQKSGTKKLKTETDKENAEVKFKDFLLSLKTMMFSEDEALCVVDLLKEKSGVIQDALKKSSKGELTTLIHQLQEKDKLLAAVKEDAAATKDRCKQLTQEMMTEKERSNVVITRMKDRIGTLEKEHNVFQNKIHVSYQETQQMQMKFQQVREQMEAEIAHLKQENGILRDAVSNTTNQLESKQSAELNKLRQDYARLVNELTEKTGKLQQEEVQKKNAEQAATQLKVQLQEAERRWEEVQSYIRKRTAEHEAAQQDLQSKFVAKENEVQSLHSKLTDTLVSKQQLEQRLMQLMESEQKRVNKEESLQMQVQDILEQNEALKAQIQQFHSQIAAQTSASVLAEELHKVIAEKDKQIKQTEDSLASERDRLTSKEEELKDIQNMNFLLKAEVQKLQALANEQAAAAHELEKMQQSVYVKDDKIRLLEEQLQHEISNKMEEFKILNDQNKALKSEVQKLQTLVSEQPNKDVVEQMEKCIQEKDEKLKTVEELLETGLIQVATKEEELNAIRTENSSLTKEVQDLKAKQNDQVSFASLVEELKKVIHEKDGKIKSVEELLEAELLKVANKEKTVQDLKQEIKALKEEIGNVQLEKAQQLSITSKVQELQNLLKGKEEQMNTMKAVLEEKEKDLANTGKWLQDLQEENESLKAHVQEVAQHNLKEASSASQFEELEIVLKEKENELKRLEAMLKERESDLSSKTQLLQDVQDENKLFKSQIEQLKQQNYQQASSFPPHEELLKVISEREKEISGLWNELDSLKDAVEHQRKKNNDLREKNWEAMEALASTEKMLQDKVNKTSKERQQQVEAVELEAKEVLKKLFPKVSVPSNLSYGEWLHGFEKKAKECMAGTSGSEEVKVLEHKLKEADEMHTLLQLECEKYKSVLAETEGILQKLQRSVEQEENKWKVKVDESHKTIKQMQSSFTSSEQELERLRSENKDIENLRREREHLEMELEKAEMERSTYVTEVRELKDLLTELQKKLDDSYSEAVRQNEELNLLKAQLNETLTKLRTEQNERQKVAGDLHKAQQSLELIQSKIVKAAGDTTVIENSDVSPETESSEKETMSVSLNQTVTQLQQLLQAVNQQLTKEKEHYQVLE
+>DECOY_sp|Q86UP2|KTN1_HUMAN Kinectin OS=Homo sapiens OX=9606 GN=KTN1 PE=1 SV=1
+ELVQYHEKEKTLQQNVAQLLQQLQTVTQNLSVSMTEKESSETEPSVDSNEIVTTDGAAKVIKSQILELSQQAKHLDGAVKQRENQETRLKTLTENLQAKLLNLEENQRVAESYSDDLKKQLETLLDKLERVETVYTSREMEAKELEMELHERERRLNEIDKNESRLRELEQESSTFSSQMQKITKHSEDVKVKWKNEEQEVSRQLKQLIGETEALVSKYKECELQLLTHMEDAEKLKHELVKVEESGSTGAMCEKAKKEFGHLWEGYSLNSPVSVKPFLKKLVEKAELEVAEVQQQREKSTKNVKDQLMKETSALAEMAEWNKERLDNNKKRQHEVADKLSDLENWLGSIEKERESIVKLLEEHPPFSSAQQYNQQKLQEIQSKFLKNEDQVDQLLQTKSSLDSEREKLMAELRKLENEKEKLVIELEEFQSASSAEKLNHQAVEQVHAKLSENEEQLDQLWKGTNALDKEKEELVAKMTNMQEEKGKLLNQLEQVKSTISLQQAKELQVNGIEEKLAKIEQKLDQVTKEKNAVKLLEAELLEEVSKIKGDKEHIVKKLEEVLSAFSVQDNQKAKLDQVEKTLSSNETRIANLEEEKTAVQILGTELLEEVTKLKEDKEQICKEMQEVVDKNPQESVLTQLKQVESKLAKNQDNLIKFEEMKNSIEHQLQEELLRIKDDKVYVSQQMKELEHAAAAQENALAQLKQVEAKLLFNMNQIDKLEEEKSTLRDRESALSDETQKIQKDKEAIVKHLEEALVSASTQAAIQSHFQQIQAKLAENQELIDQVQMQLSEEKNVRKQESEMLQMLRQELQQKSVLTDTLKSHLSQVENEKAVFKSQLDQQAAEHEATRKRIYSQVEEWRREAEQLQVKLQTAAQEANKKQVEEQQLKGTKETLENVLRAYDQRLKNLEASQKSELQNTTNSVADRLIGNEQKLHAIEAEMQERVQQFKMQMQQTEQYSVHIKNQFVNHEKELTGIRDKMRTIVVNSREKETMMEQTLQKCRDKTAAADEKVAALLKDKEQLQHILTTLEGKSSKKLADQIVGSKEKLLDVVCLAEDESFMMTKLSLLFDKFKVEANEKDTETKLKKTGSKQIGEVQDPKLLDIVERKDVVPSSDANDMLPIYTTAHILPEDVFVNETKQKKSSAKKKGSGSEQKTEQHLPLAEQRKSPVMLTEVKKDQDDSGNKSKKQGPKKKSAAAESPPTPQKTVPVPEVKKGPIKSADSEKIVQEELVPKQKKEKKKRERVSSSTEVVNLPVPAVQDDEVALADSLKFDRPVSESDSEHLNGNQIEKKKNKKKEAKKKDTKTPILKQERKQKALVEDYLTEKMFLWFFLFIVTIVISPILVIFYASEYFEM
+>sp|P01602|KV105_HUMAN Immunoglobulin kappa variable 1-5 OS=Homo sapiens OX=9606 GN=IGKV1-5 PE=1 SV=2
+MDMRVPAQLLGLLLLWLPGAKCDIQMTQSPSTLSASVGDRVTITCRASQSISSWLAWYQQKPGKAPKLLIYKASSLESGVPSRFSGSGSGTEFTLTISSLQPDDFATYYCQQYNSYS
+>DECOY_sp|P01602|KV105_HUMAN Immunoglobulin kappa variable 1-5 OS=Homo sapiens OX=9606 GN=IGKV1-5 PE=1 SV=2
+SYSNYQQCYYTAFDDPQLSSITLTFETGSGSGSFRSPVGSELSSAKYILLKPAKGPKQQYWALWSSISQSARCTITVRDGVSASLTSPSQTMQIDCKAGPLWLLLLGLLQAPVRMDM
+>sp|A0A0C4DH68|KV224_HUMAN Immunoglobulin kappa variable 2-24 OS=Homo sapiens OX=9606 GN=IGKV2-24 PE=3 SV=1
+MRLLAQLLGLLMLWVPGSSGDIVMTQTPLSSPVTLGQPASISCRSSQSLVHSDGNTYLSWLQQRPGQPPRLLIYKISNRFSGVPDRFSGSGAGTDFTLKISRVEAEDVGVYYCMQATQFP
+>DECOY_sp|A0A0C4DH68|KV224_HUMAN Immunoglobulin kappa variable 2-24 OS=Homo sapiens OX=9606 GN=IGKV2-24 PE=3 SV=1
+PFQTAQMCYYVGVDEAEVRSIKLTFDTGAGSGSFRDPVGSFRNSIKYILLRPPQGPRQQLWSLYTNGDSHVLSQSSRCSISAPQGLTVPSSLPTQTMVIDGSSGPVWLMLLGLLQALLRM
+>sp|A2NJV5|KV229_HUMAN Immunoglobulin kappa variable 2-29 OS=Homo sapiens OX=9606 GN=IGKV2-29 PE=3 SV=2
+MRLPAQLLGLLMLWIPGSSADIVMTQTPLSLSVTPGQPASISCKSSQSLLHSDGKTYLYWYLQKPGQSPQLLIYEVSSRFSGVPDRFSGSGSGTDFTLKISRVEAEDVGVYYCMQGIHLP
+>DECOY_sp|A2NJV5|KV229_HUMAN Immunoglobulin kappa variable 2-29 OS=Homo sapiens OX=9606 GN=IGKV2-29 PE=3 SV=2
+PLHIGQMCYYVGVDEAEVRSIKLTFDTGSGSGSFRDPVGSFRSSVEYILLQPSQGPKQLYWYLYTKGDSHLLSQSSKCSISAPQGPTVSLSLPTQTMVIDASSGPIWLMLLGLLQAPLRM
+>sp|A0A0C4DH24|KV621_HUMAN Immunoglobulin kappa variable 6-21 OS=Homo sapiens OX=9606 GN=IGKV6-21 PE=3 SV=1
+MLPSQLIGFLLLWVPASRGEIVLTQSPDFQSVTPKEKVTITCRASQSIGSSLHWYQQKPDQSPKLLIKYASQSFSGVPSRFSGSGSGTDFTLTINSLEAEDAATYYCHQSSSLP
+>DECOY_sp|A0A0C4DH24|KV621_HUMAN Immunoglobulin kappa variable 6-21 OS=Homo sapiens OX=9606 GN=IGKV6-21 PE=3 SV=1
+PLSSSQHCYYTAADEAELSNITLTFDTGSGSGSFRSPVGSFSQSAYKILLKPSQDPKQQYWHLSSGISQSARCTITVKEKPTVSQFDPSQTLVIEGRSAPVWLLLFGILQSPLM
+>sp|A0A0A0MRZ8|KVD11_HUMAN Immunoglobulin kappa variable 3D-11 OS=Homo sapiens OX=9606 GN=IGKV3D-11 PE=3 SV=6
+MEAPAQLLFLLLLWLPDTTGEIVLTQSPATLSLSPGERATLSCRASQSVSSYLAWYQQKPGQAPRLLIYDASNRATGIPARFSGSGPGTDFTLTISSLEPEDFAVYYCQQRSNWH
+>DECOY_sp|A0A0A0MRZ8|KVD11_HUMAN Immunoglobulin kappa variable 3D-11 OS=Homo sapiens OX=9606 GN=IGKV3D-11 PE=3 SV=6
+HWNSRQQCYYVAFDEPELSSITLTFDTGPGSGSFRAPIGTARNSADYILLRPAQGPKQQYWALYSSVSQSARCSLTAREGPSLSLTAPSQTLVIEGTTDPLWLLLLFLLQAPAEM
+>sp|A0A0B4J2D9|KVD13_HUMAN Immunoglobulin kappa variable 1D-13 OS=Homo sapiens OX=9606 GN=IGKV1D-13 PE=3 SV=1
+MDMRVPAQLLGLLLLWLPGARCAIQLTQSPSSLSASVGDRVTITCRASQGISSALAWYQQKPGKAPKLLIYDASSLESGVPSRFSGSGSGTDFTLTISSLQPEDFATYYCQQFNSYP
+>DECOY_sp|A0A0B4J2D9|KVD13_HUMAN Immunoglobulin kappa variable 1D-13 OS=Homo sapiens OX=9606 GN=IGKV1D-13 PE=3 SV=1
+PYSNFQQCYYTAFDEPQLSSITLTFDTGSGSGSFRSPVGSELSSADYILLKPAKGPKQQYWALASSIGQSARCTITVRDGVSASLSSPSQTLQIACRAGPLWLLLLGLLQAPVRMDM
+>sp|P01601|KVD16_HUMAN Immunoglobulin kappa variable 1D-16 OS=Homo sapiens OX=9606 GN=IGKV1D-16 PE=3 SV=2
+MDMRVLAQLLGLLLLCFPGARCDIQMTQSPSSLSASVGDRVTITCRASQGISSWLAWYQQKPEKAPKSLIYAASSLQSGVPSRFSGSGSGTDFTLTISSLQPEDFATYYCQQYNSYP
+>DECOY_sp|P01601|KVD16_HUMAN Immunoglobulin kappa variable 1D-16 OS=Homo sapiens OX=9606 GN=IGKV1D-16 PE=3 SV=2
+PYSNYQQCYYTAFDEPQLSSITLTFDTGSGSGSFRSPVGSQLSSAAYILSKPAKEPKQQYWALWSSIGQSARCTITVRDGVSASLSSPSQTMQIDCRAGPFCLLLLGLLQALVRMDM
+>sp|A0A0A0MRZ7|KVD26_HUMAN Immunoglobulin kappa variable 2D-26 OS=Homo sapiens OX=9606 GN=IGKV2D-26 PE=3 SV=1
+MRLPAQLLGLLMLWVPGSSAEIVMTQTPLSLSITPGEQASMSCRSSQSLLHSDGYTYLYWFLQKARPVSTLLIYEVSNRFSGVPDRFSGSGSGTDFTLKISRVEAEDFGVYYCMQDAQDP
+>DECOY_sp|A0A0A0MRZ7|KVD26_HUMAN Immunoglobulin kappa variable 2D-26 OS=Homo sapiens OX=9606 GN=IGKV2D-26 PE=3 SV=1
+PDQADQMCYYVGFDEAEVRSIKLTFDTGSGSGSFRDPVGSFRNSVEYILLTSVPRAKQLFWYLYTYGDSHLLSQSSRCSMSAQEGPTISLSLPTQTMVIEASSGPVWLMLLGLLQAPLRM
+>sp|P01615|KVD28_HUMAN Immunoglobulin kappa variable 2D-28 OS=Homo sapiens OX=9606 GN=IGKV2D-28 PE=1 SV=2
+MRLPAQLLGLLMLWVSGSSGDIVMTQSPLSLPVTPGEPASISCRSSQSLLHSNGYNYLDWYLQKPGQSPQLLIYLGSNRASGVPDRFSGSGSGTDFTLKISRVEAEDVGVYYCMQALQTP
+>DECOY_sp|P01615|KVD28_HUMAN Immunoglobulin kappa variable 2D-28 OS=Homo sapiens OX=9606 GN=IGKV2D-28 PE=1 SV=2
+PTQLAQMCYYVGVDEAEVRSIKLTFDTGSGSGSFRDPVGSARNSGLYILLQPSQGPKQLYWDLYNYGNSHLLSQSSRCSISAPEGPTVPLSLPSQTMVIDGSSGSVWLMLLGLLQAPLRM
+>sp|P01593|KVD33_HUMAN Immunoglobulin kappa variable 1D-33 OS=Homo sapiens OX=9606 GN=IGKV1D-33 PE=1 SV=2
+MDMRVPAQLLGLLLLWLSGARCDIQMTQSPSSLSASVGDRVTITCQASQDISNYLNWYQQKPGKAPKLLIYDASNLETGVPSRFSGSGSGTDFTFTISSLQPEDIATYYCQQYDNLP
+>DECOY_sp|P01593|KVD33_HUMAN Immunoglobulin kappa variable 1D-33 OS=Homo sapiens OX=9606 GN=IGKV1D-33 PE=1 SV=2
+PLNDYQQCYYTAIDEPQLSSITFTFDTGSGSGSFRSPVGTELNSADYILLKPAKGPKQQYWNLYNSIDQSAQCTITVRDGVSASLSSPSQTMQIDCRAGSLWLLLLGLLQAPVRMDM
+>sp|Q9BQD3|KXDL1_HUMAN KxDL motif-containing protein 1 OS=Homo sapiens OX=9606 GN=KXD1 PE=1 SV=2
+MDLPDSASRVFCGRILSMVNTDDVNAIILAQKNMLDRFEKTNEMLLNFNNLSSARLQQMSERFLHHTRTLVEMKRDLDSIFRRIRTLKGKLARQHPEAFSHIPEASFLEEEDEDPIPPSTTTTIATSEQSTGSCDTSPDTVSPSLSPGFEDLSHVQPGSPAINGRSQTDDEEMTGE
+>DECOY_sp|Q9BQD3|KXDL1_HUMAN KxDL motif-containing protein 1 OS=Homo sapiens OX=9606 GN=KXD1 PE=1 SV=2
+EGTMEEDDTQSRGNIAPSGPQVHSLDEFGPSLSPSVTDPSTDCSGTSQESTAITTTTSPPIPDEDEEELFSAEPIHSFAEPHQRALKGKLTRIRRFISDLDRKMEVLTRTHHLFRESMQQLRASSLNNFNLLMENTKEFRDLMNKQALIIANVDDTNVMSLIRGCFVRSASDPLDM
+>sp|Q9Y6C7|L3R2A_HUMAN Putative uncharacterized protein encoded by LINC00312 OS=Homo sapiens OX=9606 GN=LINC00312 PE=5 SV=1
+MAHHSLNTFYIWHNNVLHTHLVFFLPHLLNQPFSRGSFLIWLLLCWNSWYHLRTLRRQANQANKLSMMLLRVKQSPGTKLCHGDSELTSGLLAT
+>DECOY_sp|Q9Y6C7|L3R2A_HUMAN Putative uncharacterized protein encoded by LINC00312 OS=Homo sapiens OX=9606 GN=LINC00312 PE=5 SV=1
+TALLGSTLESDGHCLKTGPSQKVRLLMMSLKNAQNAQRRLTRLHYWSNWCLLLWILFSGRSFPQNLLHPLFFVLHTHLVNNHWIYFTNLSHHAM
+>sp|P00709|LALBA_HUMAN Alpha-lactalbumin OS=Homo sapiens OX=9606 GN=LALBA PE=1 SV=1
+MRFFVPLFLVGILFPAILAKQFTKCELSQLLKDIDGYGGIALPELICTMFHTSGYDTQAIVENNESTEYGLFQISNKLWCKSSQVPQSRNICDISCDKFLDDDITDDIMCAKKILDIKGIDYWLAHKALCTEKLEQWLCEKL
+>DECOY_sp|P00709|LALBA_HUMAN Alpha-lactalbumin OS=Homo sapiens OX=9606 GN=LALBA PE=1 SV=1
+LKECLWQELKETCLAKHALWYDIGKIDLIKKACMIDDTIDDDLFKDCSIDCINRSQPVQSSKCWLKNSIQFLGYETSENNEVIAQTDYGSTHFMTCILEPLAIGGYGDIDKLLQSLECKTFQKALIAPFLIGVLFLPVFFRM
+>sp|A4D0S4|LAMB4_HUMAN Laminin subunit beta-4 OS=Homo sapiens OX=9606 GN=LAMB4 PE=2 SV=1
+MQFQLTLFLHLGWLSYSKAQDDCNRGACHPTTGDLLVGRNTQLMASSTCGLSRAQKYCILSYLEGEQKCFICDSRFPYDPYDQPNSHTIENVIVSFEPDREKKWWQSENGLDHVSIRLDLEALFRFSHLILTFKTFRPAAMLVERSTDYGHNWKVFKYFAKDCATSFPNITSGQAQGVGDIVCDSKYSDIEPSTGGEVVLKVLDPSFEIENPYSPYIQDLVTLTNLRINFTKLHTLGDALLGRRQNDSLDKYYYALYEMIVRGSCFCNGHASECRPMQKMRGDVFSPPGMVHGQCVCQHNTDGPNCERCKDFFQDAPWRPAADLQDNACRSCSCNSHSSRCHFDMTTYLASGGLSGGVCEDCQHNTEGQHCDRCRPLFYRDPLKTISDPYACIPCECDPDGTISGGICVSHSDPALGSVAGQCLCKENVEGAKCDQCKPNHYGLSATDPLGCQPCDCNPLGSLPFLTCDVDTGQCLCLSYVTGAHCEECTVGYWGLGNHLHGCSPCDCDIGGAYSNVCSPKNGQCECRPHVTGRSCSEPAPGYFFAPLNFYLYEAEEATTLQGLAPLGSETFGQSPAVHVVLGEPVPGNPVTWTGPGFARVLPGAGLRFAVNNIPFPVDFTIAIHYETQSAADWTVQIVVNPPGGSEHCIPKTLQSKPQSFALPAATRIMLLPTPICLEPDVQYSIDVYFSQPLQGESHAHSHVLVDSLGLIPQINSLENFCSKQDLDEYQLHNCVEIASAMGPQVLPGACERLIISMSAKLHDGAVACKCHPQGSVGSSCSRLGGQCQCKPLVVGRCCDRCSTGSYDLGHHGCHPCHCHPQGSKDTVCDQVTGQCPCHGEVSGRRCDRCLAGYFGFPSCHPCPCNRFAELCDPETGSCFNCGGFTTGRNCERCIDGYYGNPSSGQPCRPCLCPDDPSSNQYFAHSCYQNLWSSDVICNCLQGYTGTQCGECSTGFYGNPRISGAPCQPCACNNNIDVTDPESCSRVTGECLRCLHNTQGANCQLCKPGHYGSALNQTCRRCSCHASGVSPMECPPGGGACLCDPVTGACPCLPNVTGLACDRCADGYWNLVPGRGCQSCDCDPRTSQSSHCDQLTGQCPCKLGYGGKRCSECQENYYGDPPGRCIPCDCNRAGTQKPICDPDTGMCRCREGVSGQRCDRCARGHSQEFPTCLQCHLCFDQWDHTISSLSKAVQGLMRLAANMEDKRETLPVCEADFKDLRGNVSEIERILKHPVFPSGKFLKVKDYHDSVRRQIMQLNEQLKAVYEFQDLKDTIERAKNEADLLLEDLQEEIDLQSSVLNASIADSSENIKKYYHISSSAEKKINETSSTINTSANTRNDLLTILDTLTSKGNLSLERLKQIKIPDIQILNEKVCGDPGNVPCVPLPCGGALCTGRKGHRKCRGPGCHGSLTLSTNALQKAQEAKSIIRNLDKQVRGLKNQIESISEQAEVSKNNALQLREKLGNIRNQSDSEEENINLFIKKVKNFLLEENVPPEDIEKVANGVLDIHLPIPSQNLTDELVKIQKHMQLCEDYRTDENRLNEEADGAQKLLVKAKAAEKAANILLNLDKTLNQLQQAQITQGRANSTITQLTANITKIKKNVLQAENQTREMKSELELAKQRSGLEDGLSLLQTKLQRHQDHAVNAKVQAESAQHQAGSLEKEFVELKKQYAILQRKTSTTGLTKETLGKVKQLKDAAEKLAGDTEAKIRRITDLERKIQDLNLSRQAKADQLRILEDQVVAIKNEIVEQEKKYARCYS
+>DECOY_sp|A4D0S4|LAMB4_HUMAN Laminin subunit beta-4 OS=Homo sapiens OX=9606 GN=LAMB4 PE=2 SV=1
+SYCRAYKKEQEVIENKIAVVQDELIRLQDAKAQRSLNLDQIKRELDTIRRIKAETDGALKEAADKLQKVKGLTEKTLGTTSTKRQLIAYQKKLEVFEKELSGAQHQASEAQVKANVAHDQHRQLKTQLLSLGDELGSRQKALELESKMERTQNEAQLVNKKIKTINATLQTITSNARGQTIQAQQLQNLTKDLNLLINAAKEAAKAKVLLKQAGDAEENLRNEDTRYDECLQMHKQIKVLEDTLNQSPIPLHIDLVGNAVKEIDEPPVNEELLFNKVKKIFLNINEEESDSQNRINGLKERLQLANNKSVEAQESISEIQNKLGRVQKDLNRIISKAEQAKQLANTSLTLSGHCGPGRCKRHGKRGTCLAGGCPLPVCPVNGPDGCVKENLIQIDPIKIQKLRELSLNGKSTLTDLITLLDNRTNASTNITSSTENIKKEASSSIHYYKKINESSDAISANLVSSQLDIEEQLDELLLDAENKAREITDKLDQFEYVAKLQENLQMIQRRVSDHYDKVKLFKGSPFVPHKLIREIESVNGRLDKFDAECVPLTERKDEMNAALRMLGQVAKSLSSITHDWQDFCLHCQLCTPFEQSHGRACRDCRQGSVGERCRCMGTDPDCIPKQTGARNCDCPICRGPPDGYYNEQCESCRKGGYGLKCPCQGTLQDCHSSQSTRPDCDCSQCGRGPVLNWYGDACRDCALGTVNPLCPCAGTVPDCLCAGGGPPCEMPSVGSAHCSCRRCTQNLASGYHGPKCLQCNAGQTNHLCRLCEGTVRSCSEPDTVDINNNCACPQCPAGSIRPNGYFGTSCEGCQTGTYGQLCNCIVDSSWLNQYCSHAFYQNSSPDDPCLCPRCPQGSSPNGYYGDICRECNRGTTFGGCNFCSGTEPDCLEAFRNCPCPHCSPFGFYGALCRDCRRGSVEGHCPCQGTVQDCVTDKSGQPHCHCPHCGHHGLDYSGTSCRDCCRGVVLPKCQCQGGLRSCSSGVSGQPHCKCAVAGDHLKASMSIILRECAGPLVQPGMASAIEVCNHLQYEDLDQKSCFNELSNIQPILGLSDVLVHSHAHSEGQLPQSFYVDISYQVDPELCIPTPLLMIRTAAPLAFSQPKSQLTKPICHESGGPPNVVIQVTWDAASQTEYHIAITFDVPFPINNVAFRLGAGPLVRAFGPGTWTVPNGPVPEGLVVHVAPSQGFTESGLPALGQLTTAEEAEYLYFNLPAFFYGPAPESCSRGTVHPRCECQGNKPSCVNSYAGGIDCDCPSCGHLHNGLGWYGVTCEECHAGTVYSLCLCQGTDVDCTLFPLSGLPNCDCPQCGLPDTASLGYHNPKCQDCKAGEVNEKCLCQGAVSGLAPDSHSVCIGGSITGDPDCECPICAYPDSITKLPDRYFLPRCRDCHQGETNHQCDECVGGSLGGSALYTTMDFHCRSSHSNCSCSRCANDQLDAAPRWPADQFFDKCRECNPGDTNHQCVCQGHVMGPPSFVDGRMKQMPRCESAHGNCFCSGRVIMEYLAYYYKDLSDNQRRGLLADGLTHLKTFNIRLNTLTVLDQIYPSYPNEIEFSPDLVKLVVEGGTSPEIDSYKSDCVIDGVGQAQGSTINPFSTACDKAFYKFVKWNHGYDTSREVLMAAPRFTKFTLILHSFRFLAELDLRISVHDLGNESQWWKKERDPEFSVIVNEITHSNPQDYPDYPFRSDCIFCKQEGELYSLICYKQARSLGCTSSAMLQTNRGVLLDGTTPHCAGRNCDDQAKSYSLWGLHLFLTLQFQM
+>sp|P11047|LAMC1_HUMAN Laminin subunit gamma-1 OS=Homo sapiens OX=9606 GN=LAMC1 PE=1 SV=3
+MRGSHRAAPALRPRGRLWPVLAVLAAAAAAGCAQAAMDECTDEGGRPQRCMPEFVNAAFNVTVVATNTCGTPPEEYCVQTGVTGVTKSCHLCDAGQPHLQHGAAFLTDYNNQADTTWWQSQTMLAGVQYPSSINLTLHLGKAFDITYVRLKFHTSRPESFAIYKRTREDGPWIPYQYYSGSCENTYSKANRGFIRTGGDEQQALCTDEFSDISPLTGGNVAFSTLEGRPSAYNFDNSPVLQEWVTATDIRVTLNRLNTFGDEVFNDPKVLKSYYYAISDFAVGGRCKCNGHASECMKNEFDKLVCNCKHNTYGVDCEKCLPFFNDRPWRRATAESASECLPCDCNGRSQECYFDPELYRSTGHGGHCTNCQDNTDGAHCERCRENFFRLGNNEACSSCHCSPVGSLSTQCDSYGRCSCKPGVMGDKCDRCQPGFHSLTEAGCRPCSCDPSGSIDECNIETGRCVCKDNVEGFNCERCKPGFFNLESSNPRGCTPCFCFGHSSVCTNAVGYSVYSISSTFQIDEDGWRAEQRDGSEASLEWSSERQDIAVISDSYFPRYFIAPAKFLGKQVLSYGQNLSFSFRVDRRDTRLSAEDLVLEGAGLRVSVPLIAQGNSYPSETTVKYVFRLHEATDYPWRPALTPFEFQKLLNNLTSIKIRGTYSERSAGYLDDVTLASARPGPGVPATWVESCTCPVGYGGQFCEMCLSGYRRETPNLGPYSPCVLCACNGHSETCDPETGVCNCRDNTAGPHCEKCSDGYYGDSTAGTSSDCQPCPCPGGSSCAVVPKTKEVVCTNCPTGTTGKRCELCDDGYFGDPLGRNGPVRLCRLCQCSDNIDPNAVGNCNRLTGECLKCIYNTAGFYCDRCKDGFFGNPLAPNPADKCKACNCNLYGTMKQQSSCNPVTGQCECLPHVTGQDCGACDPGFYNLQSGQGCERCDCHALGSTNGQCDIRTGQCECQPGITGQHCERCEVNHFGFGPEGCKPCDCHPEGSLSLQCKDDGRCECREGFVGNRCDQCEENYFYNRSWPGCQECPACYRLVKDKVADHRVKLQELESLIANLGTGDEMVTDQAFEDRLKEAEREVMDLLREAQDVKDVDQNLMDRLQRVNNTLSSQISRLQNIRNTIEETGNLAEQARAHVENTERLIEIASRELEKAKVAAANVSVTQPESTGDPNNMTLLAEEARKLAERHKQEADDIVRVAKTANDTSTEAYNLLLRTLAGENQTAFEIEELNRKYEQAKNISQDLEKQAARVHEEAKRAGDKAVEIYASVAQLSPLDSETLENEANNIKMEAENLEQLIDQKLKDYEDLREDMRGKELEVKNLLEKGKTEQQTADQLLARADAAKALAEEAAKKGRDTLQEANDILNNLKDFDRRVNDNKTAAEEALRKIPAINQTITEANEKTREAQQALGSAAADATEAKNKAHEAERIASAVQKNATSTKAEAERTFAEVTDLDNEVNNMLKQLQEAEKELKRKQDDADQDMMMAGMASQAAQEAEINARKAKNSVTSLLSIINDLLEQLGQLDTVDLNKLNEIEGTLNKAKDEMKVSDLDRKVSDLENEAKKQEAAIMDYNRDIEEIMKDIRNLEDIRKTLPSGCFNTPSIEKP
+>DECOY_sp|P11047|LAMC1_HUMAN Laminin subunit gamma-1 OS=Homo sapiens OX=9606 GN=LAMC1 PE=1 SV=3
+PKEISPTNFCGSPLTKRIDELNRIDKMIEEIDRNYDMIAAEQKKAENELDSVKRDLDSVKMEDKAKNLTGEIENLKNLDVTDLQGLQELLDNIISLLSTVSNKAKRANIEAEQAAQSAMGAMMMDQDADDQKRKLEKEAEQLQKLMNNVENDLDTVEAFTREAEAKTSTANKQVASAIREAEHAKNKAETADAAASGLAQQAERTKENAETITQNIAPIKRLAEEAATKNDNVRRDFDKLNNLIDNAEQLTDRGKKAAEEALAKAADARALLQDATQQETKGKELLNKVELEKGRMDERLDEYDKLKQDILQELNEAEMKINNAENELTESDLPSLQAVSAYIEVAKDGARKAEEHVRAAQKELDQSINKAQEYKRNLEEIEFATQNEGALTRLLLNYAETSTDNATKAVRVIDDAEQKHREALKRAEEALLTMNNPDGTSEPQTVSVNAAAVKAKELERSAIEILRETNEVHARAQEALNGTEEITNRINQLRSIQSSLTNNVRQLRDMLNQDVDKVDQAERLLDMVEREAEKLRDEFAQDTVMEDGTGLNAILSELEQLKVRHDAVKDKVLRYCAPCEQCGPWSRNYFYNEECQDCRNGVFGERCECRGDDKCQLSLSGEPHCDCPKCGEPGFGFHNVECRECHQGTIGPQCECQGTRIDCQGNTSGLAHCDCRECGQGSQLNYFGPDCAGCDQGTVHPLCECQGTVPNCSSQQKMTGYLNCNCAKCKDAPNPALPNGFFGDKCRDCYFGATNYICKLCEGTLRNCNGVANPDINDSCQCLRCLRVPGNRGLPDGFYGDDCLECRKGTTGTPCNTCVVEKTKPVVACSSGGPCPCPQCDSSTGATSDGYYGDSCKECHPGATNDRCNCVGTEPDCTESHGNCACLVCPSYPGLNPTERRYGSLCMECFQGGYGVPCTCSEVWTAPVGPGPRASALTVDDLYGASRESYTGRIKISTLNNLLKQFEFPTLAPRWPYDTAEHLRFVYKVTTESPYSNGQAILPVSVRLGAGELVLDEASLRTDRRDVRFSFSLNQGYSLVQKGLFKAPAIFYRPFYSDSIVAIDQRESSWELSAESGDRQEARWGDEDIQFTSSISYVSYGVANTCVSSHGFCFCPTCGRPNSSELNFFGPKCRECNFGEVNDKCVCRGTEINCEDISGSPDCSCPRCGAETLSHFGPQCRDCKDGMVGPKCSCRGYSDCQTSLSGVPSCHCSSCAENNGLRFFNERCRECHAGDTNDQCNTCHGGHGTSRYLEPDFYCEQSRGNCDCPLCESASEATARRWPRDNFFPLCKECDVGYTNHKCNCVLKDFENKMCESAHGNCKCRGGVAFDSIAYYYSKLVKPDNFVEDGFTNLRNLTVRIDTATVWEQLVPSNDFNYASPRGELTSFAVNGGTLPSIDSFEDTCLAQQEDGGTRIFGRNAKSYTNECSGSYYQYPIWPGDERTRKYIAFSEPRSTHFKLRVYTIDFAKGLHLTLNISSPYQVGALMTQSQWWTTDAQNNYDTLFAAGHQLHPQGADCLHCSKTVGTVGTQVCYEEPPTGCTNTAVVTVNFAANVFEPMCRQPRGGEDTCEDMAAQACGAAAAAALVALVPWLRGRPRLAPAARHSGRM
+>sp|Q9Y6N6|LAMC3_HUMAN Laminin subunit gamma-3 OS=Homo sapiens OX=9606 GN=LAMC3 PE=1 SV=3
+MAAAALLLGLALLAPRAAGAGMGACYDGAGRPQRCLPVFENAAFGRLAQASHTCGSPPEDFCPHVGAAGAGAHCQRCDAADPQRHHNASYLTDFHSQDESTWWQSPSMAFGVQYPTSVNITLRLGKAYEITYVRLKFHTSRPESFAIYKRSRADGPWEPYQFYSASCQKTYGRPEGQYLRPGEDERVAFCTSEFSDISPLSGGNVAFSTLEGRPSAYNFEESPGLQEWVTSTELLISLDRLNTFGDDIFKDPKVLQSYYYAVSDFSVGGRCKCNGHASECGPDVAGQLACRCQHNTTGTDCERCLPFFQDRPWARGTAEAAHECLPCNCSGRSEECTFDRELFRSTGHGGRCHHCRDHTAGPHCERCQENFYHWDPRMPCQPCDCQSAGSLHLQCDDTGTCACKPTVTGWKCDRCLPGFHSLSEGGCRPCTCNPAGSLDTCDPRSGRCPCKENVEGNLCDRCRPGTFNLQPHNPAGCSSCFCYGHSKVCASTAQFQVHHILSDFHQGAEGWWARSVGGSEHPPQWSPNGVLLSPEDEEELTAPEKFLGDQRFSYGQPLILTFRVPPGDSPLPVQLRLEGTGLALSLRHSSLSGPQDAGHPREVELRFHLQETSEDVAPPLPPFHFQRLLANLTSLRLRVSPGPSPAGPVFLTEVRLTSARPGLSPPASWVEICSCPTGYTGQFCESCAPGYKREMPQGGPYASCVPCTCNQHGTCDPNTGICVCSHHTEGPSCERCLPGFYGNPFAGQADDCQPCPCPGQSACTTIPESREVVCTHCPPGQRGRRCEVCDDGFFGDPLGLFGHPQPCHQCQCSGNVDPNAVGNCDPLSGHCLRCLHNTTGDHCEHCQEGFYGSALAPRPADKCMPCSCHPQGSVSEQMPCDPVTGQCSCLPHVTARDCSRCYPGFFDLQPGRGCRSCKCHPLGSQEDQCHPKTGQCTCRPGVTGQACDRCQLGFFGFSIKGCRACRCSPLGAASAQCHENGTCVCRPGFEGYKCDRCHDNFFLTADGTHCQQCPSCYALVKEEAAKLKARLTLTEGWLQGSDCGSPWGPLDILLGEAPRGDVYQGHHLLPGAREAFLEQMMSLEGAVKAAREQLQRLNKGARCAQAGSQKTCTQLADLEAVLESSEEEILHAAAILASLEIPQEGPSQPTKWSHLATEARALARSHRDTATKIAATAWRALLASNTSYALLWNLLEGRVALETQRDLEDRYQEVQAAQKALRTAVAEVLPEAESVLATVQQVGADTAPYLALLASPGALPQKSRAEDLGLKAKALEKTVASWQHMATEAARTLQTAAQATLRQTEPLTKLHQEARAALTQASSSVQAATVTVMGARTLLADLEGMKLQFPRPKDQAALQRKADSVSDRLLADTRKKTKQAERMLGNAAPLSSSAKKKGREAEVLAKDSAKLAKALLRERKQAHRRASRLTSQTQATLQQASQQVLASEARRQELEEAERVGAGLSEMEQQIRESRISLEKDIETLSELLARLGSLDTHQAPAQALNETQWALERLRLQLGSPGSLQRKLSLLEQESQQQELQIQGFESDLAEIRADKQNLEAILHSLPENCASWQ
+>DECOY_sp|Q9Y6N6|LAMC3_HUMAN Laminin subunit gamma-3 OS=Homo sapiens OX=9606 GN=LAMC3 PE=1 SV=3
+QWSACNEPLSHLIAELNQKDARIEALDSEFGQIQLEQQQSEQELLSLKRQLSGPSGLQLRLRELAWQTENLAQAPAQHTDLSGLRALLESLTEIDKELSIRSERIQQEMESLGAGVREAEELEQRRAESALVQQSAQQLTAQTQSTLRSARRHAQKRERLLAKALKASDKALVEAERGKKKASSSLPAANGLMREAQKTKKRTDALLRDSVSDAKRQLAAQDKPRPFQLKMGELDALLTRAGMVTVTAAQVSSSAQTLAARAEQHLKTLPETQRLTAQAATQLTRAAETAMHQWSAVTKELAKAKLGLDEARSKQPLAGPSALLALYPATDAGVQQVTALVSEAEPLVEAVATRLAKQAAQVEQYRDELDRQTELAVRGELLNWLLAYSTNSALLARWATAAIKTATDRHSRALARAETALHSWKTPQSPGEQPIELSALIAAAHLIEEESSELVAELDALQTCTKQSGAQACRAGKNLRQLQERAAKVAGELSMMQELFAERAGPLLHHGQYVDGRPAEGLLIDLPGWPSGCDSGQLWGETLTLRAKLKAAEEKVLAYCSPCQQCHTGDATLFFNDHCRDCKYGEFGPRCVCTGNEHCQASAAGLPSCRCARCGKISFGFFGLQCRDCAQGTVGPRCTCQGTKPHCQDEQSGLPHCKCSRCGRGPQLDFFGPYCRSCDRATVHPLCSCQGTVPDCPMQESVSGQPHCSCPMCKDAPRPALASGYFGEQCHECHDGTTNHLCRLCHGSLPDCNGVANPDVNGSCQCQHCPQPHGFLGLPDGFFGDDCVECRRGRQGPPCHTCVVERSEPITTCASQGPCPCPQCDDAQGAFPNGYFGPLCRECSPGETHHSCVCIGTNPDCTGHQNCTCPVCSAYPGGQPMERKYGPACSECFQGTYGTPCSCIEVWSAPPSLGPRASTLRVETLFVPGAPSPGPSVRLRLSTLNALLRQFHFPPLPPAVDESTEQLHFRLEVERPHGADQPGSLSSHRLSLALGTGELRLQVPLPSDGPPVRFTLILPQGYSFRQDGLFKEPATLEEEDEPSLLVGNPSWQPPHESGGVSRAWWGEAGQHFDSLIHHVQFQATSACVKSHGYCFCSSCGAPNHPQLNFTGPRCRDCLNGEVNEKCPCRGSRPDCTDLSGAPNCTCPRCGGESLSHFGPLCRDCKWGTVTPKCACTGTDDCQLHLSGASQCDCPQCPMRPDWHYFNEQCRECHPGATHDRCHHCRGGHGTSRFLERDFTCEESRGSCNCPLCEHAAEATGRAWPRDQFFPLCRECDTGTTNHQCRCALQGAVDPGCESAHGNCKCRGGVSFDSVAYYYSQLVKPDKFIDDGFTNLRDLSILLETSTVWEQLGPSEEFNYASPRGELTSFAVNGGSLPSIDSFESTCFAVREDEGPRLYQGEPRGYTKQCSASYFQYPEWPGDARSRKYIAFSEPRSTHFKLRVYTIEYAKGLRLTINVSTPYQVGFAMSPSQWWTSEDQSHFDTLYSANHHRQPDAADCRQCHAGAGAAGVHPCFDEPPSGCTHSAQALRGFAANEFVPLCRQPRGAGDYCAGMGAGAARPALLALGLLLAAAAM
+>sp|P11279|LAMP1_HUMAN Lysosome-associated membrane glycoprotein 1 OS=Homo sapiens OX=9606 GN=LAMP1 PE=1 SV=3
+MAAPGSARRPLLLLLLLLLLGLMHCASAAMFMVKNGNGTACIMANFSAAFSVNYDTKSGPKNMTFDLPSDATVVLNRSSCGKENTSDPSLVIAFGRGHTLTLNFTRNATRYSVQLMSFVYNLSDTHLFPNASSKEIKTVESITDIRADIDKKYRCVSGTQVHMNNVTVTLHDATIQAYLSNSSFSRGETRCEQDRPSPTTAPPAPPSPSPSPVPKSPSVDKYNVSGTNGTCLLASMGLQLNLTYERKDNTTVTRLLNINPNKTSASGSCGAHLVTLELHSEGTTVLLFQFGMNASSSRFFLQGIQLNTILPDARDPAFKAANGSLRALQATVGNSYKCNAEEHVRVTKAFSVNIFKVWVQAFKVEGGQFGSVEECLLDENSMLIPIAVGGALAGLVLIVLIAYLVGRKRSHAGYQTI
+>DECOY_sp|P11279|LAMP1_HUMAN Lysosome-associated membrane glycoprotein 1 OS=Homo sapiens OX=9606 GN=LAMP1 PE=1 SV=3
+ITQYGAHSRKRGVLYAILVILVLGALAGGVAIPILMSNEDLLCEEVSGFQGGEVKFAQVWVKFINVSFAKTVRVHEEANCKYSNGVTAQLARLSGNAAKFAPDRADPLITNLQIGQLFFRSSSANMGFQFLLVTTGESHLELTVLHAGCSGSASTKNPNINLLRTVTTNDKREYTLNLQLGMSALLCTGNTGSVNYKDVSPSKPVPSPSPSPPAPPATTPSPRDQECRTEGRSFSSNSLYAQITADHLTVTVNNMHVQTGSVCRYKKDIDARIDTISEVTKIEKSSANPFLHTDSLNYVFSMLQVSYRTANRTFNLTLTHGRGFAIVLSPDSTNEKGCSSRNLVVTADSPLDFTMNKPGSKTDYNVSFAASFNAMICATGNGNKVMFMAASACHMLGLLLLLLLLLLPRRASGPAAM
+>sp|P13473|LAMP2_HUMAN Lysosome-associated membrane glycoprotein 2 OS=Homo sapiens OX=9606 GN=LAMP2 PE=1 SV=2
+MVCFRLFPVPGSGLVLVCLVLGAVRSYALELNLTDSENATCLYAKWQMNFTVRYETTNKTYKTVTISDHGTVTYNGSICGDDQNGPKIAVQFGPGFSWIANFTKAASTYSIDSVSFSYNTGDNTTFPDAEDKGILTVDELLAIRIPLNDLFRCNSLSTLEKNDVVQHYWDVLVQAFVQNGTVSTNEFLCDKDKTSTVAPTIHTTVPSPTTTPTPKEKPEAGTYSVNNGNDTCLLATMGLQLNITQDKVASVININPNTTHSTGSCRSHTALLRLNSSTIKYLDFVFAVKNENRFYLKEVNISMYLVNGSVFSIANNNLSYWDAPLGSSYMCNKEQTVSVSGAFQINTFDLRVQPFNVTQGKYSTAQDCSADDDNFLVPIAVGAALAGVLILVLLAYFIGLKHHHAGYEQF
+>DECOY_sp|P13473|LAMP2_HUMAN Lysosome-associated membrane glycoprotein 2 OS=Homo sapiens OX=9606 GN=LAMP2 PE=1 SV=2
+FQEYGAHHHKLGIFYALLVLILVGALAAGVAIPVLFNDDDASCDQATSYKGQTVNFPQVRLDFTNIQFAGSVSVTQEKNCMYSSGLPADWYSLNNNAISFVSGNVLYMSINVEKLYFRNENKVAFVFDLYKITSSNLRLLATHSRCSGTSHTTNPNINIVSAVKDQTINLQLGMTALLCTDNGNNVSYTGAEPKEKPTPTTTPSPVTTHITPAVTSTKDKDCLFENTSVTGNQVFAQVLVDWYHQVVDNKELTSLSNCRFLDNLPIRIALLEDVTLIGKDEADPFTTNDGTNYSFSVSDISYTSAAKTFNAIWSFGPGFQVAIKPGNQDDGCISGNYTVTGHDSITVTKYTKNTTEYRVTFNMQWKAYLCTANESDTLNLELAYSRVAGLVLCVLVLGSGPVPFLRFCVM
+>sp|Q6ZV70|LANC3_HUMAN LanC-like protein 3 OS=Homo sapiens OX=9606 GN=LANCL3 PE=2 SV=2
+MDTKRCFANRFDDYQGSLLAGQCEEAVAPLVTATIERILQELPPLGGGAEARGATAGASACQGGLYGGVAGVAYMLYHVSQSPLFATARERYLRSAKRLIDACARAEEWGEPDADTRAAFLLGGAGVYAVATLVYHALGRSDYVQPLGKFRALCAVCAPVSFLECGSDELFVGRAGYLCAALVLKQKLAQEVLTPAQIKSICQAILDSGKQYAIKKRKPFPLMYSYYGTEYLGAAHGLSSILQMLLSYHEHLKPSDRELVWQSVDFLMEQEQNCNWPPELGETIERENELVHWCHGAPGIAYLFAKAYLVSKKPQYLDTCIRCGELTWQKGLLKKGPGICHGVAGSAYVFLLLYRLTGNSKYIYRAQRFAQFLFTEEFKAGSRVLESIYSLYEGFSGTVCFLIDLLQPNQAEFPLFSVFV
+>DECOY_sp|Q6ZV70|LANC3_HUMAN LanC-like protein 3 OS=Homo sapiens OX=9606 GN=LANCL3 PE=2 SV=2
+VFVSFLPFEAQNPQLLDILFCVTGSFGEYLSYISELVRSGAKFEETFLFQAFRQARYIYKSNGTLRYLLLFVYASGAVGHCIGPGKKLLGKQWTLEGCRICTDLYQPKKSVLYAKAFLYAIGPAGHCWHVLENEREITEGLEPPWNCNQEQEMLFDVSQWVLERDSPKLHEHYSLLMQLISSLGHAAGLYETGYYSYMLPFPKRKKIAYQKGSDLIAQCISKIQAPTLVEQALKQKLVLAACLYGARGVFLEDSGCELFSVPACVACLARFKGLPQVYDSRGLAHYVLTAVAYVGAGGLLFAARTDADPEGWEEARACADILRKASRLYRERATAFLPSQSVHYLMYAVGAVGGYLGGQCASAGATAGRAEAGGGLPPLEQLIREITATVLPAVAEECQGALLSGQYDDFRNAFCRKTDM
+>sp|P42167|LAP2B_HUMAN Lamina-associated polypeptide 2, isoforms beta/gamma OS=Homo sapiens OX=9606 GN=TMPO PE=1 SV=2
+MPEFLEDPSVLTKDKLKSELVANNVTLPAGEQRKDVYVQLYLQHLTARNRPPLPAGTNSKGPPDFSSDEEREPTPVLGSGAAAAGRSRAAVGRKATKKTDKPRQEDKDDLDVTELTNEDLLDQLVKYGVNPGPIVGTTRKLYEKKLLKLREQGTESRSSTPLPTISSSAENTRQNGSNDSDRYSDNEEDSKIELKLEKREPLKGRAKTPVTLKQRRVEHNQSYSQAGITETEWTSGSSKGGPLQALTRESTRGSRRTPRKRVETSEHFRIDGPVISESTPIAETIMASSNESLVVNRVTGNFKHASPILPITEFSDIPRRAPKKPLTRAEVGEKTEERRVERDILKEMFPYEASTPTGISASCRRPIKGAAGRPLELSDFRMEESFSSKYVPKYVPLADVKSEKTKKGRSIPVWIKILLFVVVAVFLFLVYQAMETNQVNPFSNFLHVDPRKSN
+>DECOY_sp|P42167|LAP2B_HUMAN Lamina-associated polypeptide 2, isoforms beta/gamma OS=Homo sapiens OX=9606 GN=TMPO PE=1 SV=2
+NSKRPDVHLFNSFPNVQNTEMAQYVLFLFVAVVVFLLIKIWVPISRGKKTKESKVDALPVYKPVYKSSFSEEMRFDSLELPRGAAGKIPRRCSASIGTPTSAEYPFMEKLIDREVRREETKEGVEARTLPKKPARRPIDSFETIPLIPSAHKFNGTVRNVVLSENSSAMITEAIPTSESIVPGDIRFHESTEVRKRPTRRSGRTSERTLAQLPGGKSSGSTWETETIGAQSYSQNHEVRRQKLTVPTKARGKLPERKELKLEIKSDEENDSYRDSDNSGNQRTNEASSSITPLPTSSRSETGQERLKLLKKEYLKRTTGVIPGPNVGYKVLQDLLDENTLETVDLDDKDEQRPKDTKKTAKRGVAARSRGAAAAGSGLVPTPEREEDSSFDPPGKSNTGAPLPPRNRATLHQLYLQVYVDKRQEGAPLTVNNAVLESKLKDKTLVSPDELFEPM
+>sp|Q659C4|LAR1B_HUMAN La-related protein 1B OS=Homo sapiens OX=9606 GN=LARP1B PE=1 SV=2
+MENWPTPSELVNTGFQSVLSQGNKKPQNRKEKEEKVEKRSNSDSKENRETKLNGPGENVSEDEAQSSNQRKRANKHKWVPLHLDVVRSESQERPGSRNSSRCQPEANKPTHNNRRNDTRSWKRDREKRDDQDDVSSVRSEGGNIRGSFRGRGRGRGRGRGRGRGNPRLNFDYSYGYQEHGERTDQPFQTELNTSMMYYYDDGTGVQVYPVEEALLKEYIKRQIEYYFSVENLERDFFLRGKMDEQGFLPISLIAGFQRVQALTTNLNLILEALKDSTEVEIVDEKMRKKIEPEKWPIPGPPPRSVPPTDFSQLIDCPEFVPGQAFCSHTESAPNSPRIGSPLSPKKNSETSILQAMSRGLSTSLPDLDSEPWIEVKKRHQPAPVKLRESVSVPEGSLNQLCSSEEPEQEELDFLFDEEIEQIGRKNTFTDWSDNDSDYEIDDQDLNKILIVTQTPPYVKKHPGGDRTGTHMSRAKITSELAKVINDGLYYYEQDLWMEEDENKHTAIKQEVENFKKLNLISKEQFENLTPELPFEPNQEVPVAPSQSRQGGVQGVLHIPKKDLTDELAQKLFDVSEITSAAMVHSLPTAVPESPRIHPTRTPKTPRTPRLQDPNKTPRFYPVVKEPKAIDVKSPRKRKTRHSTNPPLECHVGWVMDSRDRGPGTSSVSTSNASPSEGAPLAGSYGCTPHSFPKFQHPSHELLKENGFTQQVYHKYRRRCLSERKRLGIGQSQEMNTLFRFWSFFLRDHFNKKMYEEFRQLAWEDAKENYRYGLECLFRFYSYGLEKKFRREIFQDFQEETKKDYESGQLYGLEKFWAYLKYSQSKTQSIDPKLQEYLCSFKRLEDFRVDPPISDEFGRKRHSSTSGEESNRHRLPPNSSTKPPNAAKPTSTSELQVPINSPRRNISPESSDNSH
+>DECOY_sp|Q659C4|LAR1B_HUMAN La-related protein 1B OS=Homo sapiens OX=9606 GN=LARP1B PE=1 SV=2
+HSNDSSEPSINRRPSNIPVQLESTSTPKAANPPKTSSNPPLRHRNSEEGSTSSHRKRGFEDSIPPDVRFDELRKFSCLYEQLKPDISQTKSQSYKLYAWFKELGYLQGSEYDKKTEEQFDQFIERRFKKELGYSYFRFLCELGYRYNEKADEWALQRFEEYMKKNFHDRLFFSWFRFLTNMEQSQGIGLRKRESLCRRRYKHYVQQTFGNEKLLEHSPHQFKPFSHPTCGYSGALPAGESPSANSTSVSSTGPGRDRSDMVWGVHCELPPNTSHRTKRKRPSKVDIAKPEKVVPYFRPTKNPDQLRPTRPTKPTRTPHIRPSEPVATPLSHVMAASTIESVDFLKQALEDTLDKKPIHLVGQVGGQRSQSPAVPVEQNPEFPLEPTLNEFQEKSILNLKKFNEVEQKIATHKNEDEEMWLDQEYYYLGDNIVKALESTIKARSMHTGTRDGGPHKKVYPPTQTVILIKNLDQDDIEYDSDNDSWDTFTNKRGIQEIEEDFLFDLEEQEPEESSCLQNLSGEPVSVSERLKVPAPQHRKKVEIWPESDLDPLSTSLGRSMAQLISTESNKKPSLPSGIRPSNPASETHSCFAQGPVFEPCDILQSFDTPPVSRPPPGPIPWKEPEIKKRMKEDVIEVETSDKLAELILNLNTTLAQVRQFGAILSIPLFGQEDMKGRLFFDRELNEVSFYYEIQRKIYEKLLAEEVPYVQVGTGDDYYYMMSTNLETQFPQDTREGHEQYGYSYDFNLRPNGRGRGRGRGRGRGRGRFSGRINGGESRVSSVDDQDDRKERDRKWSRTDNRRNNHTPKNAEPQCRSSNRSGPREQSESRVVDLHLPVWKHKNARKRQNSSQAEDESVNEGPGNLKTERNEKSDSNSRKEVKEEKEKRNQPKKNGQSLVSQFGTNVLESPTPWNEM
+>sp|Q8N3Y3|LARG2_HUMAN LARGE xylosyl- and glucuronyltransferase 2 OS=Homo sapiens OX=9606 GN=LARGE2 PE=1 SV=2
+MLPRGRPRALGAAALLLLLLLLGFLLFGGDLGCERREPGGRAGAPGCFPGPLMPRVPPDGRLRRAAALDGDPGAGPGDHNRSDCGPQPPPPPKCELLHVAIVCAGHNSSRDVITLVKSMLFYRKNPLHLHLVTDAVARNILETLFHTWMVPAVRVSFYHADQLKPQVSWIPNKHYSGLYGLMKLVLPSALPAELARVIVLDTDVTFASDISELWALFAHFSDTQAIGLVENQSDWYLGNLWKNHRPWPALGRGFNTGVILLRLDRLRQAGWEQMWRLTARRELLSLPATSLADQDIFNAVIKEHPGLVQRLPCVWNVQLSDHTLAERCYSEASDLKVIHWNSPKKLRVKNKHVEFFRNFYLTFLEYDGNLLRRELFVCPSQPPPGAEQLQQALAQLDEEDPCFEFRQQQLTVHRVHVTFLPHEPPPPRPHDVTLVAQLSMDRLQMLEALCRHWPGPMSLALYLTDAEAQQFLHFVEASPVLAARQDVAYHVVYREGPLYPVNQLRNVALAQALTPYVFLSDIDFLPAYSLYDYLRASIEQLGLGSRRKAALVVPAFETLRYRFSFPHSKVELLALLDAGTLYTFRYHEWPRGHAPTDYARWREAQAPYRVQWAANYEPYVVVPRDCPRYDPRFVGFGWNKVAHIVELDAQEYELLVLPEAFTIHLPHAPSLDISRFRSSPTYRDCLQALKDEFHQDLSRHHGAAALKYLPALQQPQSPARG
+>DECOY_sp|Q8N3Y3|LARG2_HUMAN LARGE xylosyl- and glucuronyltransferase 2 OS=Homo sapiens OX=9606 GN=LARGE2 PE=1 SV=2
+GRAPSQPQQLAPLYKLAAAGHHRSLDQHFEDKLAQLCDRYTPSSRFRSIDLSPAHPLHITFAEPLVLLEYEQADLEVIHAVKNWGFGVFRPDYRPCDRPVVVYPEYNAAWQVRYPAQAERWRAYDTPAHGRPWEHYRFTYLTGADLLALLEVKSHPFSFRYRLTEFAPVVLAAKRRSGLGLQEISARLYDYLSYAPLFDIDSLFVYPTLAQALAVNRLQNVPYLPGERYVVHYAVDQRAALVPSAEVFHLFQQAEADTLYLALSMPGPWHRCLAELMQLRDMSLQAVLTVDHPRPPPPEHPLFTVHVRHVTLQQQRFEFCPDEEDLQALAQQLQEAGPPPQSPCVFLERRLLNGDYELFTLYFNRFFEVHKNKVRLKKPSNWHIVKLDSAESYCREALTHDSLQVNWVCPLRQVLGPHEKIVANFIDQDALSTAPLSLLERRATLRWMQEWGAQRLRDLRLLIVGTNFGRGLAPWPRHNKWLNGLYWDSQNEVLGIAQTDSFHAFLAWLESIDSAFTVDTDLVIVRALEAPLASPLVLKMLGYLGSYHKNPIWSVQPKLQDAHYFSVRVAPVMWTHFLTELINRAVADTVLHLHLPNKRYFLMSKVLTIVDRSSNHGACVIAVHLLECKPPPPPQPGCDSRNHDGPGAGPDGDLAAARRLRGDPPVRPMLPGPFCGPAGARGGPERRECGLDGGFLLFGLLLLLLLLAAAGLARPRGRPLM
+>sp|Q9Y4W2|LAS1L_HUMAN Ribosomal biogenesis protein LAS1L OS=Homo sapiens OX=9606 GN=LAS1L PE=1 SV=2
+MSWESGAGPGLGSQGMDLVWSAWYGKCVKGKGSLPLSAHGIVVAWLSRAEWDQVTVYLFCDDHKLQRYALNRITVWRSRSGNELPLAVASTADLIRCKLLDVTGGLGTDELRLLYGMALVRFVNLISERKTKFAKVPLKCLAQEVNIPDWIVDLRHELTHKKMPHINDCRRGCYFVLDWLQKTYWCRQLENSLRETWELEEFREGIEEEDQEEDKNIVVDDITEQKPEPQDDGKSTESDVKADGDSKGSEEVDSHCKKALSHKELYERARELLVSYEEEQFTVLEKFRYLPKAIKAWNNPSPRVECVLAELKGVTCENREAVLDAFLDDGFLVPTFEQLAALQIEYEDGQTEVQRGEGTDPKSHKNVDLNDVLVPKPFSQFWQPLLRGLHSQNFTQALLERMLSELPALGISGIRPTYILRWTVELIVANTKTGRNARRFSAGQWEARRGWRLFNCSASLDWPRMVESCLGSPCWASPQLLRIIFKAMGQGLPDEEQEKLLRICSIYTQSGENSLVQEGSEASPIGKSPYTLDSLYWSVKPASSSFGSEAKAQQQEEQGSVNDVKEEEKEEKEVLPDQVEEEEENDDQEEEEEDEDDEDDEEEDRMEVGPFSTGQESPTAENARLLAQKRGALQGSAWQVSSEDVRWDTFPLGRMPGQTEDPAELMLENYDTMYLLDQPVLEQRLEPSTCKTDTLGLSCGVGSGNCSNSSSSNFEGLLWSQGQLHGLKTGLQLF
+>DECOY_sp|Q9Y4W2|LAS1L_HUMAN Ribosomal biogenesis protein LAS1L OS=Homo sapiens OX=9606 GN=LAS1L PE=1 SV=2
+FLQLGTKLGHLQGQSWLLGEFNSSSSNSCNGSGVGCSLGLTDTKCTSPELRQELVPQDLLYMTDYNELMLEAPDETQGPMRGLPFTDWRVDESSVQWASGQLAGRKQALLRANEATPSEQGTSFPGVEMRDEEEDDEDDEDEEEEEQDDNEEEEEVQDPLVEKEEKEEEKVDNVSGQEEQQQAKAESGFSSSAPKVSWYLSDLTYPSKGIPSAESGEQVLSNEGSQTYISCIRLLKEQEEDPLGQGMAKFIIRLLQPSAWCPSGLCSEVMRPWDLSASCNFLRWGRRAEWQGASFRRANRGTKTNAVILEVTWRLIYTPRIGSIGLAPLESLMRELLAQTFNQSHLGRLLPQWFQSFPKPVLVDNLDVNKHSKPDTGEGRQVETQGDEYEIQLAALQEFTPVLFGDDLFADLVAERNECTVGKLEALVCEVRPSPNNWAKIAKPLYRFKELVTFQEEEYSVLLERAREYLEKHSLAKKCHSDVEESGKSDGDAKVDSETSKGDDQPEPKQETIDDVVINKDEEQDEEEIGERFEELEWTERLSNELQRCWYTKQLWDLVFYCGRRCDNIHPMKKHTLEHRLDVIWDPINVEQALCKLPVKAFKTKRESILNVFRVLAMGYLLRLEDTGLGGTVDLLKCRILDATSAVALPLENGSRSRWVTIRNLAYRQLKHDDCFLYVTVQDWEARSLWAVVIGHASLPLSGKGKVCKGYWASWVLDMGQSGLGPGAGSEWSM
+>sp|O75387|LAT3_HUMAN Large neutral amino acids transporter small subunit 3 OS=Homo sapiens OX=9606 GN=SLC43A1 PE=1 SV=1
+MAPTLQQAYRRRWWMACTAVLENLFFSAVLLGWGSLLIILKNEGFYSSTCPAESSTNTTQDEQRRWPGCDQQDEMLNLGFTIGSFVLSATTLPLGILMDRFGPRPVRLVGSACFTASCTLMALASRDVEALSPLIFLALSLNGFGGICLTFTSLTLPNMFGNLRSTLMALMIGSYASSAITFPGIKLIYDAGVAFVVIMFTWSGLACLIFLNCTLNWPIEAFPAPEEVNYTKKIKLSGLALDHKVTGDLFYTHVTTMGQRLSQKAPSLEDGSDAFMSPQDVRGTSENLPERSVPLRKSLCSPTFLWSLLTMGMTQLRIIFYMAAVNKMLEYLVTGGQEHETNEQQQKVAETVGFYSSVFGAMQLLCLLTCPLIGYIMDWRIKDCVDAPTQGTVLGDARDGVATKSIRPRYCKIQKLTNAISAFTLTNLLLVGFGITCLINNLHLQFVTFVLHTIVRGFFHSACGSLYAAVFPSNHFGTLTGLQSLISAVFALLQQPLFMAMVGPLKGEPFWVNLGLLLFSLLGFLLPSYLFYYRARLQQEYAANGMGPLKVLSGSEVTA
+>DECOY_sp|O75387|LAT3_HUMAN Large neutral amino acids transporter small subunit 3 OS=Homo sapiens OX=9606 GN=SLC43A1 PE=1 SV=1
+ATVESGSLVKLPGMGNAAYEQQLRARYYFLYSPLLFGLLSFLLLGLNVWFPEGKLPGVMAMFLPQQLLAFVASILSQLGTLTGFHNSPFVAAYLSGCASHFFGRVITHLVFTVFQLHLNNILCTIGFGVLLLNTLTFASIANTLKQIKCYRPRISKTAVGDRADGLVTGQTPADVCDKIRWDMIYGILPCTLLCLLQMAGFVSSYFGVTEAVKQQQENTEHEQGGTVLYELMKNVAAMYFIIRLQTMGMTLLSWLFTPSCLSKRLPVSREPLNESTGRVDQPSMFADSGDELSPAKQSLRQGMTTVHTYFLDGTVKHDLALGSLKIKKTYNVEEPAPFAEIPWNLTCNLFILCALGSWTFMIVVFAVGADYILKIGPFTIASSAYSGIMLAMLTSRLNGFMNPLTLSTFTLCIGGFGNLSLALFILPSLAEVDRSALAMLTCSATFCASGVLRVPRPGFRDMLIGLPLTTASLVFSGITFGLNLMEDQQDCGPWRRQEDQTTNTSSEAPCTSSYFGENKLIILLSGWGLLVASFFLNELVATCAMWWRRRYAQQLTPAM
+>sp|Q8N370|LAT4_HUMAN Large neutral amino acids transporter small subunit 4 OS=Homo sapiens OX=9606 GN=SLC43A2 PE=1 SV=1
+MAPTLATAHRRRWWMACTAVLENLLFSAVLLGWGSLLIMLKSEGFYSYLCTEPENVTNGTVGGTAEPGHEEVSWMNGWLSCQAQDEMLNLAFTVGSFLLSAITLPLGIVMDKYGPRKLRLLGSACFAVSCLLIAYGASKPNALSVLIFIALALNGFGGMCMTFTSLTLPNMFGDLRSTFIALMIGSYASSAVTFPGIKLIYDAGVSFIVVLVVWAGCSGLVFLNCFFNWPLEPFPGPEDMDYSVKIKFSWLGFDHKITGKQFYKQVTTVGRRLSVGSSMRSAKEQVALQEGHKLCLSTVDLEVKCQPDAAVAPSFMHSVFSPILLLSLVTMCVTQLRLIFYMGAMNNILKFLVSGDQKTVGLYTSIFGVLQLLCLLTAPVIGYIMDWRLKECEDASEEPEEKDANQGEKKKKKRDRQIQKITNAMRAFAFTNLLLVGFGVTCLIPNLPLQILSFILHTIVRGFIHSAVGGLYAAVYPSTQFGSLTGLQSLISALFALLQQPLFLAMMGPLQGDPLWVNVGLLLLSLLGFCLPLYLICYRRQLERQLQQRQEDDKLFLKINGSSNQEAFV
+>DECOY_sp|Q8N370|LAT4_HUMAN Large neutral amino acids transporter small subunit 4 OS=Homo sapiens OX=9606 GN=SLC43A2 PE=1 SV=1
+VFAEQNSSGNIKLFLKDDEQRQQLQRELQRRYCILYLPLCFGLLSLLLLGVNVWLPDGQLPGMMALFLPQQLLAFLASILSQLGTLSGFQTSPYVAAYLGGVASHIFGRVITHLIFSLIQLPLNPILCTVGFGVLLLNTFAFARMANTIKQIQRDRKKKKKEGQNADKEEPEESADECEKLRWDMIYGIVPATLLCLLQLVGFISTYLGVTKQDGSVLFKLINNMAGMYFILRLQTVCMTVLSLLLIPSFVSHMFSPAVAADPQCKVELDVTSLCLKHGEQLAVQEKASRMSSGVSLRRGVTTVQKYFQKGTIKHDFGLWSFKIKVSYDMDEPGPFPELPWNFFCNLFVLGSCGAWVVLVVIFSVGADYILKIGPFTVASSAYSGIMLAIFTSRLDGFMNPLTLSTFTMCMGGFGNLALAIFILVSLANPKSAGYAILLCSVAFCASGLLRLKRPGYKDMVIGLPLTIASLLFSGVTFALNLMEDQAQCSLWGNMWSVEEHGPEATGGVTGNTVNEPETCLYSYFGESKLMILLSGWGLLVASFLLNELVATCAMWWRRRHATALTPAM
+>sp|Q5T5A8|LCE3C_HUMAN Late cornified envelope protein 3C OS=Homo sapiens OX=9606 GN=LCE3C PE=1 SV=1
+MSCQQNQQQCQPPPSCPSPKCPPKSPAQCLPPPSSDCALSSGGCGPSSESGCCLSHHRHFRSHQCRRQRSNSCDRGSGQQGGGSCRGHGSGGCC
+>DECOY_sp|Q5T5A8|LCE3C_HUMAN Late cornified envelope protein 3C OS=Homo sapiens OX=9606 GN=LCE3C PE=1 SV=1
+CCGGSGHGRCSGGGQQGSGRDCSNSRQRRCQHSRFHRHHSLCCGSESSPGCGGSSLACDSSPPPLCQAPSKPPCKPSPCSPPPQCQQQNQQCSM
+>sp|A4D1U4|LCHN_HUMAN Protein LCHN OS=Homo sapiens OX=9606 GN=LCHN PE=1 SV=1
+MVEQGDAAPLLRWAEGPAVSLPQAPQPQAGGWGRGGGGGARPAAEPPRRREPEEPAAPEVLLQPGRLELGDVEEDQVVAVFVVTFDPRSGNMVEWCLPQDIDLEGVEFKSMASGSHKIQSDFIYFRKGPFFGLACFANMPVESELERGARMKSVGILSPSYTLLYRYMHFLENQVRHQLEMPGHYSHLAAFYEDKKGVLHAGPGRGSSLPPVYWLPSIHRYMYPEMKITHPAGCMSQFIKFFGEQILILWKFALLRKRILIFSPPPVGVVCYRVYCCCCLANVSLPGIGGTIPESKPFFYVNVADIESLEVEVSYVACTTEKIFEEKRELYDVYVDNQNVKTHHDHLQPLLKINSADREKYRRLNEQRQMLLYSQEVEEDYNPCEEDLFVLFFLEQNNRIFQTLLEVSASQDKTLTAEHARGMGLDPQGDRSFLLDLLEAYGIDVMLVIDNPCCP
+>DECOY_sp|A4D1U4|LCHN_HUMAN Protein LCHN OS=Homo sapiens OX=9606 GN=LCHN PE=1 SV=1
+PCCPNDIVLMVDIGYAELLDLLFSRDGQPDLGMGRAHEATLTKDQSASVELLTQFIRNNQELFFLVFLDEECPNYDEEVEQSYLLMQRQENLRRYKERDASNIKLLPQLHDHHTKVNQNDVYVDYLERKEEFIKETTCAVYSVEVELSEIDAVNVYFFPKSEPITGGIGPLSVNALCCCCYVRYCVVGVPPPSFILIRKRLLAFKWLILIQEGFFKIFQSMCGAPHTIKMEPYMYRHISPLWYVPPLSSGRGPGAHLVGKKDEYFAALHSYHGPMELQHRVQNELFHMYRYLLTYSPSLIGVSKMRAGRELESEVPMNAFCALGFFPGKRFYIFDSQIKHSGSAMSKFEVGELDIDQPLCWEVMNGSRPDFTVVFVAVVQDEEVDGLELRGPQLLVEPAAPEEPERRRPPEAAPRAGGGGGRGWGGAQPQPAQPLSVAPGEAWRLLPAADGQEVM
+>sp|Q6UWP7|LCLT1_HUMAN Lysocardiolipin acyltransferase 1 OS=Homo sapiens OX=9606 GN=LCLAT1 PE=1 SV=1
+MHSRGREIVVLLNPWSINEAVSSYCTYFIKQDSKSFGIMVSWKGIYFILTLFWGSFFGSIFMLSPFLPLMFVNPSWYRWINNRLVATWLTLPVALLETMFGVKVIITGDAFVPGERSVIIMNHRTRMDWMFLWNCLMRYSYLRLEKICLKASLKGVPGFGWAMQAAAYIFIHRKWKDDKSHFEDMIDYFCDIHEPLQLLIFPEGTDLTENSKSRSNAFAEKNGLQKYEYVLHPRTTGFTFVVDRLREGKNLDAVHDITVAYPHNIPQSEKHLLQGDFPREIHFHVHRYPIDTLPTSKEDLQLWCHKRWEEKEERLRSFYQGEKNFYFTGQSVIPPCKSELRVLVVKLLSILYWTLFSPAMCLLIYLYSLVKWYFIITIVIFVLQERIFGGLEIIELACYRLLHKQPHLNSKKNE
+>DECOY_sp|Q6UWP7|LCLT1_HUMAN Lysocardiolipin acyltransferase 1 OS=Homo sapiens OX=9606 GN=LCLAT1 PE=1 SV=1
+ENKKSNLHPQKHLLRYCALEIIELGGFIREQLVFIVITIIFYWKVLSYLYILLCMAPSFLTWYLISLLKVVLVRLESKCPPIVSQGTFYFNKEGQYFSRLREEKEEWRKHCWLQLDEKSTPLTDIPYRHVHFHIERPFDGQLLHKESQPINHPYAVTIDHVADLNKGERLRDVVFTFGTTRPHLVYEYKQLGNKEAFANSRSKSNETLDTGEPFILLQLPEHIDCFYDIMDEFHSKDDKWKRHIFIYAAAQMAWGFGPVGKLSAKLCIKELRLYSYRMLCNWLFMWDMRTRHNMIIVSREGPVFADGTIIVKVGFMTELLAVPLTLWTAVLRNNIWRYWSPNVFMLPLFPSLMFISGFFSGWFLTLIFYIGKWSVMIGFSKSDQKIFYTCYSSVAENISWPNLLVVIERGRSHM
+>sp|Q8WX39|LCN9_HUMAN Epididymal-specific lipocalin-9 OS=Homo sapiens OX=9606 GN=LCN9 PE=2 SV=3
+MALLLLSLGLSLIAAQEFDPHTVMQRNYNVARVSGVWYSIFMASDDLNRIKENGDLRVFVRNIEHLKNGSLIFDFEYMVQGECVAVVVVCEKTEKNGEYSINYEGQNTVAVSETDYRLFITFHLQNFRNGTETHTLALYETCEKYGLGSQNIIDLTNKDPCYSKHYRSPPRPPMRW
+>DECOY_sp|Q8WX39|LCN9_HUMAN Epididymal-specific lipocalin-9 OS=Homo sapiens OX=9606 GN=LCN9 PE=2 SV=3
+WRMPPRPPSRYHKSYCPDKNTLDIINQSGLGYKECTEYLALTHTETGNRFNQLHFTIFLRYDTESVAVTNQGEYNISYEGNKETKECVVVVAVCEGQVMYEFDFILSGNKLHEINRVFVRLDGNEKIRNLDDSAMFISYWVGSVRAVNYNRQMVTHPDFEQAAILSLGLSLLLLAM
+>sp|O43679|LDB2_HUMAN LIM domain-binding protein 2 OS=Homo sapiens OX=9606 GN=LDB2 PE=1 SV=1
+MSSTPHDPFYSSPFGPFYRRHTPYMVQPEYRIYEMNKRLQSRTEDSDNLWWDAFATEFFEDDATLTLSFCLEDGPKRYTIGRTLIPRYFSTVFEGGVTDLYYILKHSKESYHNSSITVDCDQCTMVTQHGKPMFTKVCTEGRLILEFTFDDLMRIKTWHFTIRQYRELVPRSILAMHAQDPQVLDQLSKNITRMGLTNFTLNYLRLCVILEPMQELMSRHKTYNLSPRDCLKTCLFQKWQRMVAPPAEPTRQPTTKRRKRKNSTSSTSNSSAGNNANSTGSKKKTTAANLSLSSQVPDVMVVGEPTLMGGEFGDEDERLITRLENTQYDAANGMDDEEDFNNSPALGNNSPWNSKPPATQETKSENPPPQASQ
+>DECOY_sp|O43679|LDB2_HUMAN LIM domain-binding protein 2 OS=Homo sapiens OX=9606 GN=LDB2 PE=1 SV=1
+QSAQPPPNESKTEQTAPPKSNWPSNNGLAPSNNFDEEDDMGNAADYQTNELRTILREDEDGFEGGMLTPEGVVMVDPVQSSLSLNAATTKKKSGTSNANNGASSNSTSSTSNKRKRRKTTPQRTPEAPPAVMRQWKQFLCTKLCDRPSLNYTKHRSMLEQMPELIVCLRLYNLTFNTLGMRTINKSLQDLVQPDQAHMALISRPVLERYQRITFHWTKIRMLDDFTFELILRGETCVKTFMPKGHQTVMTCQDCDVTISSNHYSEKSHKLIYYLDTVGGEFVTSFYRPILTRGITYRKPGDELCFSLTLTADDEFFETAFADWWLNDSDETRSQLRKNMEYIRYEPQVMYPTHRRYFPGFPSSYFPDHPTSSM
+>sp|Q9BYZ2|LDH6B_HUMAN L-lactate dehydrogenase A-like 6B OS=Homo sapiens OX=9606 GN=LDHAL6B PE=1 SV=3
+MSWTVPVVRASQRVSSVGANFLCLGMALCPRQATRIPLNGTWLFTPVSKMATVKSELIERFTSEKPVHHSKVSIIGTGSVGMACAISILLKGLSDELALVDLDEDKLKGETMDLQHGSPFTKMPNIVCSKDYFVTANSNLVIITAGARQEKGETRLNLVQRNVAIFKLMISSIVQYSPHCKLIIVSNPVDILTYVAWKLSAFPKNRIIGSGCNLDTARFRFLIGQKLGIHSESCHGWILGEHGDSSVPVWSGVNIAGVPLKDLNSDIGTDKDPEQWKNVHKEVTATAYEIIKMKGYTSWAIGLSVADLTESILKNLRRIHPVSTIIKGLYGIDEEVFLSIPCILGENGITNLIKIKLTPEEEAHLKKSAKTLWEIQNKLKL
+>DECOY_sp|Q9BYZ2|LDH6B_HUMAN L-lactate dehydrogenase A-like 6B OS=Homo sapiens OX=9606 GN=LDHAL6B PE=1 SV=3
+LKLKNQIEWLTKASKKLHAEEEPTLKIKILNTIGNEGLICPISLFVEEDIGYLGKIITSVPHIRRLNKLISETLDAVSLGIAWSTYGKMKIIEYATATVEKHVNKWQEPDKDTGIDSNLDKLPVGAINVGSWVPVSSDGHEGLIWGHCSESHIGLKQGILFRFRATDLNCGSGIIRNKPFASLKWAVYTLIDVPNSVIILKCHPSYQVISSIMLKFIAVNRQVLNLRTEGKEQRAGATIIVLNSNATVFYDKSCVINPMKTFPSGHQLDMTEGKLKDEDLDVLALEDSLGKLLISIACAMGVSGTGIISVKSHHVPKESTFREILESKVTAMKSVPTFLWTGNLPIRTAQRPCLAMGLCLFNAGVSSVRQSARVVPVTWSM
+>sp|Q96DT0|LEG12_HUMAN Galectin-12 OS=Homo sapiens OX=9606 GN=LGALS12 PE=1 SV=1
+MSQPSGGRAPGTRIYSWSCPTVMSPGEKLDPIPDSFILQPPVFHPVVPYVTTIFGGLHAGKMVMLQGVVPLDAHRFQVDFQCGCSLCPRPDIAFHFNPRFHTTKPHVICNTLHGGRWQREARWPHLALRRGSSFLILFLFGNEEVKVSVNGQHFLHFRYRLPLSHVDTLGIFGDILVEAVGFLNINPFVEGSREYPAGHPFLLMSPRLEVPCSHALPQGLSPGQVIIVRGLVLQEPKHFTVSLRDQAAHAPVTLRASFADRTLAWISRWGQKKLISAPFLFYPQRFFEVLLLFQEGGLKLALNGQGLGATSMNQQALEQLRELRISGSVQLYCVHS
+>DECOY_sp|Q96DT0|LEG12_HUMAN Galectin-12 OS=Homo sapiens OX=9606 GN=LGALS12 PE=1 SV=1
+SHVCYLQVSGSIRLERLQELAQQNMSTAGLGQGNLALKLGGEQFLLLVEFFRQPYFLFPASILKKQGWRSIWALTRDAFSARLTVPAHAAQDRLSVTFHKPEQLVLGRVIIVQGPSLGQPLAHSCPVELRPSMLLFPHGAPYERSGEVFPNINLFGVAEVLIDGFIGLTDVHSLPLRYRFHLFHQGNVSVKVEENGFLFLILFSSGRRLALHPWRAERQWRGGHLTNCIVHPKTTHFRPNFHFAIDPRPCLSCGCQFDVQFRHADLPVVGQLMVMKGAHLGGFITTVYPVVPHFVPPQLIFSDPIPDLKEGPSMVTPCSWSYIRTGPARGGSPQSM
+>sp|Q3B8N2|LEG9B_HUMAN Galectin-9B OS=Homo sapiens OX=9606 GN=LGALS9B PE=1 SV=3
+MAFSGSQAPYLSPAVPFSGTIQGGLQDGFQITVNGAVLSSSGTRFAVDFQTGFSGNDIAFHFNPRFEDGGYVVCNTRQKGRWGPEERKMHMPFQKGMPFDLCFLVQSSDFKVMVNGSLFVQYFHRVPFHRVDTISVNGSVQLSYISFQNPRTVPVQPAFSTVPFSQPVCFPPRPRGRRQKPPSVRPANPAPITQTVIHTVQSASGQMFSQTPAIPPMMYPHPAYPMPFITTIPGGLYPSKSIILSGTVLPSAQRFHINLCSGSHIAFHMNPRFDENAVVRNTQINNSWGSEERSLPRKMPFVRGQSFSVWILCEAHCLKVAVDGQHVFEYYHRLRNLPTINKLEVGGDIQLTHVQT
+>DECOY_sp|Q3B8N2|LEG9B_HUMAN Galectin-9B OS=Homo sapiens OX=9606 GN=LGALS9B PE=1 SV=3
+TQVHTLQIDGGVELKNITPLNRLRHYYEFVHQGDVAVKLCHAECLIWVSFSQGRVFPMKRPLSREESGWSNNIQTNRVVANEDFRPNMHFAIHSGSCLNIHFRQASPLVTGSLIISKSPYLGGPITTIFPMPYAPHPYMMPPIAPTQSFMQGSASQVTHIVTQTIPAPNAPRVSPPKQRRGRPRPPFCVPQSFPVTSFAPQVPVTRPNQFSIYSLQVSGNVSITDVRHFPVRHFYQVFLSGNVMVKFDSSQVLFCLDFPMGKQFPMHMKREEPGWRGKQRTNCVVYGGDEFRPNFHFAIDNGSFGTQFDVAFRTGSSSLVAGNVTIQFGDQLGGQITGSFPVAPSLYPAQSGSFAM
+>sp|Q3ZCW2|LEGL_HUMAN Galectin-related protein OS=Homo sapiens OX=9606 GN=LGALSL PE=1 SV=2
+MAGSVADSDAVVKLDDGHLNNSLSSPVQADVYFPRLIVPFCGHIKGGMRPGKKVLVMGIVDLNPESFAISLTCGDSEDPPADVAIELKAVFTDRQLLRNSCISGERGEEQSAIPYFPFIPDQPFRVEILCEHPRFRVFVDGHQLFDFYHRIQTLSAIDTIKINGDLQITKLG
+>DECOY_sp|Q3ZCW2|LEGL_HUMAN Galectin-related protein OS=Homo sapiens OX=9606 GN=LGALSL PE=1 SV=2
+GLKTIQLDGNIKITDIASLTQIRHYFDFLQHGDVFVRFRPHECLIEVRFPQDPIFPFYPIASQEEGREGSICSNRLLQRDTFVAKLEIAVDAPPDESDGCTLSIAFSEPNLDVIGMVLVKKGPRMGGKIHGCFPVILRPFYVDAQVPSSLSNNLHGDDLKVVADSDAVSGAM
+>sp|Q68G75|LEMD1_HUMAN LEM domain-containing protein 1 OS=Homo sapiens OX=9606 GN=LEMD1 PE=2 SV=2
+MVDVKCLSDCKLQNQLEKLGFSPGPILPSTRKLYEKKLVQLLVSPPCAPPVMNGPRELDGAQDSDDSEELNIILQGNIILSTEKSKKLKKWPEASTTKRKAVDTYCLDYKPSKGRRWAARAPSTRITYGTITKERDYCAEDQTIESWREEGFPVGLKLAVLGIFIIVVFVYLTVENKSLFG
+>DECOY_sp|Q68G75|LEMD1_HUMAN LEM domain-containing protein 1 OS=Homo sapiens OX=9606 GN=LEMD1 PE=2 SV=2
+GFLSKNEVTLYVFVVIIFIGLVALKLGVPFGEERWSEITQDEACYDREKTITGYTIRTSPARAAWRRGKSPKYDLCYTDVAKRKTTSAEPWKKLKKSKETSLIINGQLIINLEESDDSDQAGDLERPGNMVPPACPPSVLLQVLKKEYLKRTSPLIPGPSFGLKELQNQLKCDSLCKVDVM
+>sp|Q8NC56|LEMD2_HUMAN LEM domain-containing protein 2 OS=Homo sapiens OX=9606 GN=LEMD2 PE=1 SV=1
+MAGLSDLELRRELQALGFQPGPITDTTRDVYRNKLRRLRGEARLRDEERLREEARPRGEERLREEARLREDAPLRARPAAASPRAEPWLSQPASGSAYATPGAYGDIRPSAASWVGSRGLAYPARPAQLRRRASVRGSSEEDEDARTPDRATQGPGLAARRWWAASPAPARLPSSLLGPDPRPGLRATRAGPAGAARARPEVGRRLERWLSRLLLWASLGLLLVFLGILWVKMGKPSAPQEAEDNMKLLPVDCERKTDEFCQAKQKAALLELLHELYNFLAIQAGNFECGNPENLKSKCIPVMEAQEYIANVTSSSSAKFEAALTWILSSNKDVGIWLKGEDQSELVTTVDKVVCLESAHPRMGVGCRLSRALLTAVTNVLIFFWCLAFLWGLLILLKYRWRKLEEEEQAMYEMVKKIIDVVQDHYVDWEQDMERYPYVGILHVRDSLIPPQSRRRMKRVWDRAVEFLASNESRIQTESHRVAGEDMLVWRWTKPSSFSDSER
+>DECOY_sp|Q8NC56|LEMD2_HUMAN LEM domain-containing protein 2 OS=Homo sapiens OX=9606 GN=LEMD2 PE=1 SV=1
+RESDSFSSPKTWRWVLMDEGAVRHSETQIRSENSALFEVARDWVRKMRRRSQPPILSDRVHLIGVYPYREMDQEWDVYHDQVVDIIKKVMEYMAQEEEELKRWRYKLLILLGWLFALCWFFILVNTVATLLARSLRCGVGMRPHASELCVVKDVTTVLESQDEGKLWIGVDKNSSLIWTLAAEFKASSSSTVNAIYEQAEMVPICKSKLNEPNGCEFNGAQIALFNYLEHLLELLAAKQKAQCFEDTKRECDVPLLKMNDEAEQPASPKGMKVWLIGLFVLLLGLSAWLLLRSLWRELRRGVEPRARAAGAPGARTARLGPRPDPGLLSSPLRAPAPSAAWWRRAALGPGQTARDPTRADEDEESSGRVSARRRLQAPRAPYALGRSGVWSAASPRIDGYAGPTAYASGSAPQSLWPEARPSAAAPRARLPADERLRAEERLREEGRPRAEERLREEDRLRAEGRLRRLKNRYVDRTTDTIPGPQFGLAQLERRLELDSLGAM
+>sp|Q8WVC0|LEO1_HUMAN RNA polymerase-associated protein LEO1 OS=Homo sapiens OX=9606 GN=LEO1 PE=1 SV=1
+MADMEDLFGSDADSEAERKDSDSGSDSDSDQENAASGSNASGSESDQDERGDSGQPSNKELFGDDSEDEGASHHSGSDNHSERSDNRSEASERSDHEDNDPSDVDQHSGSEAPNDDEDEGHRSDGGSHHSEAEGSEKAHSDDEKWGREDKSDQSDDEKIQNSDDEERAQGSDEDKLQNSDDDEKMQNTDDEERPQLSDDERQQLSEEEKANSDDERPVASDNDDEKQNSDDEEQPQLSDEEKMQNSDDERPQASDEEHRHSDDEEEQDHKSESARGSDSEDEVLRMKRKNAIASDSEADSDTEVPKDNSGTMDLFGGADDISSGSDGEDKPPTPGQPVDENGLPQDQQEEEPIPETRIEVEIPKVNTDLGNDLYFVKLPNFLSVEPRPFDPQYYEDEFEDEEMLDEEGRTRLKLKVENTIRWRIRRDEEGNEIKESNARIVKWSDGSMSLHLGNEVFDVYKAPLQGDHNHLFIRQGTGLQGQAVFKTKLTFRPHSTDSATHRKMTLSLADRCSKTQKIRILPMAGRDPECQRTEMIKKEEERLRASIRRESQQRRMREKQHQRGLSASYLEPDRYDEEEEGEESISLAAIKNRYKGGIREERARIYSSDSDEGSEEDKAQRLLKAKKLTSDEEGEPSGKRKAEDDDKANKKHKKYVISDEEEEDDD
+>DECOY_sp|Q8WVC0|LEO1_HUMAN RNA polymerase-associated protein LEO1 OS=Homo sapiens OX=9606 GN=LEO1 PE=1 SV=1
+DDDEEEEDSIVYKKHKKNAKDDDEAKRKGSPEGEEDSTLKKAKLLRQAKDEESGEDSDSSYIRAREERIGGKYRNKIAALSISEEGEEEEDYRDPELYSASLGRQHQKERMRRQQSERRISARLREEEKKIMETRQCEPDRGAMPLIRIKQTKSCRDALSLTMKRHTASDTSHPRFTLKTKFVAQGQLGTGQRIFLHNHDGQLPAKYVDFVENGLHLSMSGDSWKVIRANSEKIENGEEDRRIRWRITNEVKLKLRTRGEEDLMEEDEFEDEYYQPDFPRPEVSLFNPLKVFYLDNGLDTNVKPIEVEIRTEPIPEEEQQDQPLGNEDVPQGPTPPKDEGDSGSSIDDAGGFLDMTGSNDKPVETDSDAESDSAIANKRKMRLVEDESDSGRASESKHDQEEEDDSHRHEEDSAQPREDDSNQMKEEDSLQPQEEDDSNQKEDDNDSAVPREDDSNAKEEESLQQREDDSLQPREEDDTNQMKEDDDSNQLKDEDSGQAREEDDSNQIKEDDSQDSKDERGWKEDDSHAKESGEAESHHSGGDSRHGEDEDDNPAESGSHQDVDSPDNDEHDSRESAESRNDSRESHNDSGSHHSAGEDESDDGFLEKNSPQGSDGREDQDSESGSANSGSAANEQDSDSDSGSDSDKREAESDADSGFLDEMDAM
+>sp|O95214|LERL1_HUMAN Leptin receptor overlapping transcript-like 1 OS=Homo sapiens OX=9606 GN=LEPROTL1 PE=1 SV=2
+MAGIKALISLSFGGAIGLMFLMLGCALPIYNKYWPLFVLFFYILSPIPYCIARRLVDDTDAMSNACKELAIFLTTGIVVSAFGLPIVFARAHLIEWGACALVLTGNTVIFATILGFFLVFGSNDDFSWQQW
+>DECOY_sp|O95214|LERL1_HUMAN Leptin receptor overlapping transcript-like 1 OS=Homo sapiens OX=9606 GN=LEPROTL1 PE=1 SV=2
+WQQWSFDDNSGFVLFFGLITAFIVTNGTLVLACAGWEILHARAFVIPLGFASVVIGTTLFIALEKCANSMADTDDVLRRAICYPIPSLIYFFLVFLPWYKNYIPLACGLMLFMLGIAGGFSLSILAKIGAM
+>sp|P04183|KITH_HUMAN Thymidine kinase, cytosolic OS=Homo sapiens OX=9606 GN=TK1 PE=1 SV=2
+MSCINLPTVLPGSPSKTRGQIQVILGPMFSGKSTELMRRVRRFQIAQYKCLVIKYAKDTRYSSSFCTHDRNTMEALPACLLRDVAQEALGVAVIGIDEGQFFPDIVEFCEAMANAGKTVIVAALDGTFQRKPFGAILNLVPLAESVVKLTAVCMECFREAAYTKRLGTEKEVEVIGGADKYHSVCRLCYFKKASGQPAGPDNKENCPVPGKPGEAVAARKLFAPQQILQCSPAN
+>DECOY_sp|P04183|KITH_HUMAN Thymidine kinase, cytosolic OS=Homo sapiens OX=9606 GN=TK1 PE=1 SV=2
+NAPSCQLIQQPAFLKRAAVAEGPKGPVPCNEKNDPGAPQGSAKKFYCLRCVSHYKDAGGIVEVEKETGLRKTYAAERFCEMCVATLKVVSEALPVLNLIAGFPKRQFTGDLAAVIVTKGANAMAECFEVIDPFFQGEDIGIVAVGLAEQAVDRLLCAPLAEMTNRDHTCFSSSYRTDKAYKIVLCKYQAIQFRRVRRMLETSKGSFMPGLIVQIQGRTKSPSGPLVTPLNICSM
+>sp|Q5H943|KKLC1_HUMAN Kita-kyushu lung cancer antigen 1 OS=Homo sapiens OX=9606 GN=CT83 PE=1 SV=1
+MNFYLLLASSILCALIVFWKYRRFQRNTGEMSSNSTALALVRPSSSGLINSNTDNNLAVYDLSRDILNNFPHSIARQKRILVNLSMVENKLVELEHTLLSKGFRGASPHRKST
+>DECOY_sp|Q5H943|KKLC1_HUMAN Kita-kyushu lung cancer antigen 1 OS=Homo sapiens OX=9606 GN=CT83 PE=1 SV=1
+TSKRHPSAGRFGKSLLTHELEVLKNEVMSLNVLIRKQRAISHPFNNLIDRSLDYVALNNDTNSNILGSSSPRVLALATSNSSMEGTNRQFRRYKWFVILACLISSALLLYFNM
+>sp|Q8NEE0|KLAS1_HUMAN Putative uncharacterized protein KLHL30-AS1 OS=Homo sapiens OX=9606 GN=KLHL30-AS1 PE=5 SV=1
+MCLRSHFKVAFTQRKVELSKELRRSRSSRNGGLPLQEQPMGQKWGWHRGEPGHPRMEELNEWPQNGDHWSRKWPPPCAFTPG
+>DECOY_sp|Q8NEE0|KLAS1_HUMAN Putative uncharacterized protein KLHL30-AS1 OS=Homo sapiens OX=9606 GN=KLHL30-AS1 PE=5 SV=1
+GPTFACPPPWKRSWHDGNQPWENLEEMRPHGPEGRHWGWKQGMPQEQLPLGGNRSSRSRRLEKSLEVKRQTFAVKFHSRLCM
+>sp|Q07866|KLC1_HUMAN Kinesin light chain 1 OS=Homo sapiens OX=9606 GN=KLC1 PE=1 SV=2
+MYDNMSTMVYIKEDKLEKLTQDEIISKTKQVIQGLEALKNEHNSILQSLLETLKCLKKDDESNLVEEKSNMIRKSLEMLELGLSEAQVMMALSNHLNAVESEKQKLRAQVRRLCQENQWLRDELANTQQKLQKSEQSVAQLEEEKKHLEFMNQLKKYDDDISPSEDKDTDSTKEPLDDLFPNDEDDPGQGIQQQHSSAAAAAQQGGYEIPARLRTLHNLVIQYASQGRYEVAVPLCKQALEDLEKTSGHDHPDVATMLNILALVYRDQNKYKDAANLLNDALAIREKTLGKDHPAVAATLNNLAVLYGKRGKYKEAEPLCKRALEIREKVLGKDHPDVAKQLNNLALLCQNQGKYEEVEYYYQRALEIYQTKLGPDDPNVAKTKNNLASCYLKQGKFKQAETLYKEILTRAHEREFGSVDDENKPIWMHAEEREECKGKQKDGTSFGEYGGWYKACKVDSPTVTTTLKNLGALYRRQGKFEAAETLEEAAMRSRKQGLDNVHKQRVAEVLNDPENMEKRRSRESLNVDVVKYESGPDGGEEVSMSVEWNGGVSGRASFCGKRQQQQWPGRRHR
+>DECOY_sp|Q07866|KLC1_HUMAN Kinesin light chain 1 OS=Homo sapiens OX=9606 GN=KLC1 PE=1 SV=2
+RHRRGPWQQQQRKGCFSARGSVGGNWEVSMSVEEGGDPGSEYKVVDVNLSERSRRKEMNEPDNLVEAVRQKHVNDLGQKRSRMAAEELTEAAEFKGQRRYLAGLNKLTTTVTPSDVKCAKYWGGYEGFSTGDKQKGKCEEREEAHMWIPKNEDDVSGFEREHARTLIEKYLTEAQKFKGQKLYCSALNNKTKAVNPDDPGLKTQYIELARQYYYEVEEYKGQNQCLLALNNLQKAVDPHDKGLVKERIELARKCLPEAEKYKGRKGYLVALNNLTAAVAPHDKGLTKERIALADNLLNAADKYKNQDRYVLALINLMTAVDPHDHGSTKELDELAQKCLPVAVEYRGQSAYQIVLNHLTRLRAPIEYGGQQAAAAASSHQQQIGQGPDDEDNPFLDDLPEKTSDTDKDESPSIDDDYKKLQNMFELHKKEEELQAVSQESKQLKQQTNALEDRLWQNEQCLRRVQARLKQKESEVANLHNSLAMMVQAESLGLELMELSKRIMNSKEEVLNSEDDKKLCKLTELLSQLISNHENKLAELGQIVQKTKSIIEDQTLKELKDEKIYVMTSMNDYM
+>sp|Q9H0B6|KLC2_HUMAN Kinesin light chain 2 OS=Homo sapiens OX=9606 GN=KLC2 PE=1 SV=1
+MAMMVFPREEKLSQDEIVLGTKAVIQGLETLRGEHRALLAPLVAPEAGEAEPGSQERCILLRRSLEAIELGLGEAQVILALSSHLGAVESEKQKLRAQVRRLVQENQWLREELAGTQQKLQRSEQAVAQLEEEKQHLLFMSQIRKLDEDASPNEEKGDVPKDTLDDLFPNEDEQSPAPSPGGGDVSGQHGGYEIPARLRTLHNLVIQYASQGRYEVAVPLCKQALEDLEKTSGHDHPDVATMLNILALVYRDQNKYKEAAHLLNDALAIREKTLGKDHPAVAATLNNLAVLYGKRGKYKEAEPLCKRALEIREKVLGKFHPDVAKQLSNLALLCQNQGKAEEVEYYYRRALEIYATRLGPDDPNVAKTKNNLASCYLKQGKYQDAETLYKEILTRAHEKEFGSVNGDNKPIWMHAEEREESKDKRRDSAPYGEYGSWYKACKVDSPTVNTTLRSLGALYRRQGKLEAAHTLEDCASRNRKQGLDPASQTKVVELLKDGSGRRGDRRSSRDMAGGAGPRSESDLEDVGPTAEWNGDGSGSLRRSGSFGKLRDALRRSSEMLVKKLQGGTPQEPPNPRMKRASSLNFLNKSVEEPTQPGGTGLSDSRTLSSSSMDLSRRSSLVG
+>DECOY_sp|Q9H0B6|KLC2_HUMAN Kinesin light chain 2 OS=Homo sapiens OX=9606 GN=KLC2 PE=1 SV=1
+GVLSSRRSLDMSSSSLTRSDSLGTGGPQTPEEVSKNLFNLSSARKMRPNPPEQPTGGQLKKVLMESSRRLADRLKGFSGSRRLSGSGDGNWEATPGVDELDSESRPGAGGAMDRSSRRDGRRGSGDKLLEVVKTQSAPDLGQKRNRSACDELTHAAELKGQRRYLAGLSRLTTNVTPSDVKCAKYWSGYEGYPASDRRKDKSEEREEAHMWIPKNDGNVSGFEKEHARTLIEKYLTEADQYKGQKLYCSALNNKTKAVNPDDPGLRTAYIELARRYYYEVEEAKGQNQCLLALNSLQKAVDPHFKGLVKERIELARKCLPEAEKYKGRKGYLVALNNLTAAVAPHDKGLTKERIALADNLLHAAEKYKNQDRYVLALINLMTAVDPHDHGSTKELDELAQKCLPVAVEYRGQSAYQIVLNHLTRLRAPIEYGGHQGSVDGGGPSPAPSQEDENPFLDDLTDKPVDGKEENPSADEDLKRIQSMFLLHQKEEELQAVAQESRQLKQQTGALEERLWQNEQVLRRVQARLKQKESEVAGLHSSLALIVQAEGLGLEIAELSRRLLICREQSGPEAEGAEPAVLPALLARHEGRLTELGQIVAKTGLVIEDQSLKEERPFVMMAM
+>sp|Q6PID8|KLD10_HUMAN Kelch domain-containing protein 10 OS=Homo sapiens OX=9606 GN=KLHDC10 PE=1 SV=1
+MSAAQGWDRNRRRGGGAAGAGGGGSGAGGGSGGSGGRGTGQLNRFVQLSGRPHLPGKKKIRWDPVRRRFIQSCPIIRIPNRFLRGHRPPPARSGHRCVADNTNLYVFGGYNPDYDESGGPDNEDYPLFRELWRYHFATGVWHQMGTDGYMPRELASMSLVLHGNNLLVFGGTGIPFGESNGNDVHVCNVKYKRWALLSCRGKKPSRIYGQAMAIINGSLYVFGGTTGYIYSTDLHKLDLNTREWTQLKPNNLSCDLPEERYRHEIAHDGQRIYILGGGTSWTAYSLNKIHAYNLETNAWEEIATKPHEKIGFPAARRCHSCVQIKNDVFICGGYNGEVILGDIWKLNLQTFQWVKLPATMPEPVYFHCAAVTPAGCMYIHGGVVNIHENKRTGSLFKIWLVVPSLLELAWEKLLAAFPNLANLSRTQLLHLGLTQGLIERLK
+>DECOY_sp|Q6PID8|KLD10_HUMAN Kelch domain-containing protein 10 OS=Homo sapiens OX=9606 GN=KLHDC10 PE=1 SV=1
+KLREILGQTLGLHLLQTRSLNALNPFAALLKEWALELLSPVVLWIKFLSGTRKNEHINVVGGHIYMCGAPTVAACHFYVPEPMTAPLKVWQFTQLNLKWIDGLIVEGNYGGCIFVDNKIQVCSHCRRAAPFGIKEHPKTAIEEWANTELNYAHIKNLSYATWSTGGGLIYIRQGDHAIEHRYREEPLDCSLNNPKLQTWERTNLDLKHLDTSYIYGTTGGFVYLSGNIIAMAQGYIRSPKKGRCSLLAWRKYKVNCVHVDNGNSEGFPIGTGGFVLLNNGHLVLSMSALERPMYGDTGMQHWVGTAFHYRWLERFLPYDENDPGGSEDYDPNYGGFVYLNTNDAVCRHGSRAPPPRHGRLFRNPIRIIPCSQIFRRRVPDWRIKKKGPLHPRGSLQVFRNLQGTGRGGSGGSGGGAGSGGGGAGAAGGGRRRNRDWGQAASM
+>sp|Q8IYD2|KLD8A_HUMAN Kelch domain-containing protein 8A OS=Homo sapiens OX=9606 GN=KLHDC8A PE=2 SV=2
+MEVPNVKDFQWKRLAPLPSRRVYCSLLETGGQVYAIGGCDDNGVPMDCFEVYSPEADQWTALPRLPTARAGVAVTALGKRIMVIGGVGTNQLPLKVVEMYNIDEGKWKKRSMLREAAMGISVTAKDYRVYAAGGMGLDLRPHNHLQHYDMLKDMWVSLAPMPTPRYAATSFLRGSKIYVLGGRQSKYAVNAFEVFDIETRSWTKFPNIPYKRAFSSFVTLDNHLYSLGGLRQGRLYRQPKFLRTMDVFDMEQGGWLKMERSFFLKKRRADFVAGSLSGRVIVAGGLGNQPTVLETAEAFHPGKNKWEILPAMPTPRCACSSIVVKNCLLAVGGVNQGLSDAVEALCVSDS
+>DECOY_sp|Q8IYD2|KLD8A_HUMAN Kelch domain-containing protein 8A OS=Homo sapiens OX=9606 GN=KLHDC8A PE=2 SV=2
+SDSVCLAEVADSLGQNVGGVALLCNKVVISSCACRPTPMAPLIEWKNKGPHFAEATELVTPQNGLGGAVIVRGSLSGAVFDARRKKLFFSREMKLWGGQEMDFVDMTRLFKPQRYLRGQRLGGLSYLHNDLTVFSSFARKYPINPFKTWSRTEIDFVEFANVAYKSQRGGLVYIKSGRLFSTAAYRPTPMPALSVWMDKLMDYHQLHNHPRLDLGMGGAAYVRYDKATVSIGMAAERLMSRKKWKGEDINYMEVVKLPLQNTGVGGIVMIRKGLATVAVGARATPLRPLATWQDAEPSYVEFCDMPVGNDDCGGIAYVQGGTELLSCYVRRSPLPALRKWQFDKVNPVEM
+>sp|Q8N7A1|KLDC1_HUMAN Kelch domain-containing protein 1 OS=Homo sapiens OX=9606 GN=KLHDC1 PE=2 SV=2
+MADSQLFCVAEERSGHCAVVDGNFLYVWGGYVSIEDNEVYLPNDEIWTYDIDSGLWRMHLMEGELPASMSGSCGACINGKLYIFGGYDDKGYSNRLYFVNLRTRDETYIWEKITDFEGQPPTPRDKLSCWVYKDRLIYFGGYGCRRHSELQDCFDVHDASWEEQIFWGWHNDVHIFDTKTQTWFQPEIKGGVPPQPRAAHTCAVLGNKGYIFGGRVLQTRMNDLHYLNLDTWTWSGRITINGESPKHRSWHTLTPIADDKLFLCGGLSADNIPLSDGWIHNVTTNCWKQLTHLPKTRPRLWHTACLGKENEIMVFGGSKDDLLALDTGHCNDLLIFQTQPYSLLRSCLDCIGKNSIMLESQISLLPPKLLQQVLKKITFWAAANHREEQRVQKEETENKYQWISSN
+>DECOY_sp|Q8N7A1|KLDC1_HUMAN Kelch domain-containing protein 1 OS=Homo sapiens OX=9606 GN=KLHDC1 PE=2 SV=2
+NSSIWQYKNETEEKQVRQEERHNAAAWFTIKKLVQQLLKPPLLSIQSELMISNKGICDLCSRLLSYPQTQFILLDNCHGTDLALLDDKSGGFVMIENEKGLCATHWLRPRTKPLHTLQKWCNTTVNHIWGDSLPINDASLGGCLFLKDDAIPTLTHWSRHKPSEGNITIRGSWTWTDLNLYHLDNMRTQLVRGGFIYGKNGLVACTHAARPQPPVGGKIEPQFWTQTKTDFIHVDNHWGWFIQEEWSADHVDFCDQLESHRRCGYGGFYILRDKYVWCSLKDRPTPPQGEFDTIKEWIYTEDRTRLNVFYLRNSYGKDDYGGFIYLKGNICAGCSGSMSAPLEGEMLHMRWLGSDIDYTWIEDNPLYVENDEISVYGGWVYLFNGDVVACHGSREEAVCFLQSDAM
+>sp|Q9Y4X4|KLF12_HUMAN Krueppel-like factor 12 OS=Homo sapiens OX=9606 GN=KLF12 PE=1 SV=2
+MNIHMKRKTIKNINTFENRMLMLDGMPAVRVKTELLESEQGSPNVHNYPDMEAVPLLLNNVKGEPPEDSLSVDHFQTQTEPVDLSINKARTSPTAVSSSPVSMTASASSPSSTSTSSSSSSRLASSPTVITSVSSASSSSTVLTPGPLVASASGVGGQQFLHIIHPVPPSSPMNLQSNKLSHVHRIPVVVQSVPVVYTAVRSPGNVNNTIVVPLLEDGRGHGKAQMDPRGLSPRQSKSDSDDDDLPNVTLDSVNETGSTALSIARAVQEVHPSPVSRVRGNRMNNQKFPCSISPFSIESTRRQRRSESPDSRKRRIHRCDFEGCNKVYTKSSHLKAHRRTHTGEKPYKCTWEGCTWKFARSDELTRHYRKHTGVKPFKCADCDRSFSRSDHLALHRRRHMLV
+>DECOY_sp|Q9Y4X4|KLF12_HUMAN Krueppel-like factor 12 OS=Homo sapiens OX=9606 GN=KLF12 PE=1 SV=2
+VLMHRRRHLALHDSRSFSRDCDACKFPKVGTHKRYHRTLEDSRAFKWTCGEWTCKYPKEGTHTRRHAKLHSSKTYVKNCGEFDCRHIRRKRSDPSESRRQRRTSEISFPSISCPFKQNNMRNGRVRSVPSPHVEQVARAISLATSGTENVSDLTVNPLDDDDSDSKSQRPSLGRPDMQAKGHGRGDELLPVVITNNVNGPSRVATYVVPVSQVVVPIRHVHSLKNSQLNMPSSPPVPHIIHLFQQGGVGSASAVLPGPTLVTSSSSASSVSTIVTPSSALRSSSSSSTSTSSPSSASATMSVPSSSVATPSTRAKNISLDVPETQTQFHDVSLSDEPPEGKVNNLLLPVAEMDPYNHVNPSGQESELLETKVRVAPMGDLMLMRNEFTNINKITKRKMHINM
+>sp|Q5JT82|KLF17_HUMAN Krueppel-like factor 17 OS=Homo sapiens OX=9606 GN=KLF17 PE=1 SV=1
+MYGRPQAEMEQEAGELSRWQAAHQAAQDNENSAPILNMSSSSGSSGVHTSWNQGLPSIQHFPHSAEMLGSPLVSVEAPGQNVNEGGPQFSMPLPERGMSYCPQATLTPSRMIYCQRMSPPQQEMTIFSGPQLMPVGEPNIPRVARPFGGNLRMPPNGLPVSASTGIPIMSHTGNPPVPYPGLSTVPSDETLLGPTVPSTEAQAVLPSMAQMLPPQDAHDLGMPPAESQSLLVLGSQDSLVSQPDSQEGPFLPEQPGPAPQTVEKNSRPQEGTGRRGSSEARPYCCNYENCGKAYTKRSHLVSHQRKHTGERPYSCNWESCSWSFFRSDELRRHMRVHTRYRPYKCDQCSREFMRSDHLKQHQKTHRPGPSDPQANNNNGEQDSPPAAGP
+>DECOY_sp|Q5JT82|KLF17_HUMAN Krueppel-like factor 17 OS=Homo sapiens OX=9606 GN=KLF17 PE=1 SV=1
+PGAAPPSDQEGNNNNAQPDSPGPRHTKQHQKLHDSRMFERSCQDCKYPRYRTHVRMHRRLEDSRFFSWSCSEWNCSYPREGTHKRQHSVLHSRKTYAKGCNEYNCCYPRAESSGRRGTGEQPRSNKEVTQPAPGPQEPLFPGEQSDPQSVLSDQSGLVLLSQSEAPPMGLDHADQPPLMQAMSPLVAQAETSPVTPGLLTEDSPVTSLGPYPVPPNGTHSMIPIGTSASVPLGNPPMRLNGGFPRAVRPINPEGVPMLQPGSFITMEQQPPSMRQCYIMRSPTLTAQPCYSMGREPLPMSFQPGGENVNQGPAEVSVLPSGLMEASHPFHQISPLGQNWSTHVGSSGSSSSMNLIPASNENDQAAQHAAQWRSLEGAEQEMEAQPRGYM
+>sp|O43474|KLF4_HUMAN Krueppel-like factor 4 OS=Homo sapiens OX=9606 GN=KLF4 PE=1 SV=3
+MRQPPGESDMAVSDALLPSFSTFASGPAGREKTLRQAGAPNNRWREELSHMKRLPPVLPGRPYDLAAATVATDLESGGAGAACGGSNLAPLPRRETEEFNDLLDLDFILSNSLTHPPESVAATVSSSASASSSSSPSSSGPASAPSTCSFTYPIRAGNDPGVAPGGTGGGLLYGRESAPPPTAPFNLADINDVSPSGGFVAELLRPELDPVYIPPQQPQPPGGGLMGKFVLKASLSAPGSEYGSPSVISVSKGSPDGSHPVVVAPYNGGPPRTCPKIKQEAVSSCTHLGAGPPLSNGHRPAAHDFPLGRQLPSRTTPTLGLEEVLSSRDCHPALPLPPGFHPHPGPNYPSFLPDQMQPQVPPLHYQGQSRGFVARAGEPCVCWPHFGTHGMMLTPPSSPLELMPPGSCMPEEPKPKRGRRSWPRKRTATHTCDYAGCGKTYTKSSHLKAHLRTHTGEKPYHCDWDGCGWKFARSDELTRHYRKHTGHRPFQCQKCDRAFSRSDHLALHMKRHF
+>DECOY_sp|O43474|KLF4_HUMAN Krueppel-like factor 4 OS=Homo sapiens OX=9606 GN=KLF4 PE=1 SV=3
+FHRKMHLALHDSRSFARDCKQCQFPRHGTHKRYHRTLEDSRAFKWGCGDWDCHYPKEGTHTRLHAKLHSSKTYTKGCGAYDCTHTATRKRPWSRRGRKPKPEEPMCSGPPMLELPSSPPTLMMGHTGFHPWCVCPEGARAVFGRSQGQYHLPPVQPQMQDPLFSPYNPGPHPHFGPPLPLAPHCDRSSLVEELGLTPTTRSPLQRGLPFDHAAPRHGNSLPPGAGLHTCSSVAEQKIKPCTRPPGGNYPAVVVPHSGDPSGKSVSIVSPSGYESGPASLSAKLVFKGMLGGGPPQPQQPPIYVPDLEPRLLEAVFGGSPSVDNIDALNFPATPPPASERGYLLGGGTGGPAVGPDNGARIPYTFSCTSPASAPGSSSPSSSSSASASSSVTAAVSEPPHTLSNSLIFDLDLLDNFEETERRPLPALNSGGCAAGAGGSELDTAVTAAALDYPRGPLVPPLRKMHSLEERWRNNPAGAQRLTKERGAPGSAFTSFSPLLADSVAMDSEGPPQRM
+>sp|Q9NVR0|KLH11_HUMAN Kelch-like protein 11 OS=Homo sapiens OX=9606 GN=KLHL11 PE=1 SV=1
+MAAAAVAAAAAAAAAASLQVLEMESMETAAAGSAGLAAEVRGSGTVDFGPGPGISAMEASGGDPGPEAEDFECSSHCSELSWRQNEQRRQGLFCDITLCFGGAGGREFRAHRSVLAAATEYFTPLLSGQFSESRSGRVEMRKWSSEPGPEPDTVEAVIEYMYTGRIRVSTGSVHEVLELADRFLLIRLKEFCGEFLKKKLHLSNCVAIHSLAHMYTLSQLALKAADMIRRNFHKVIQDEEFYTLPFHLIRDWLSDLEITVDSEEVLFETVLKWVQRNAEERERYFEELFKLLRLSQMKPTYLTRHVKPERLVANNEVCVKLVADAVERHALRAENIQSGTCQHPTSHVSLLPRYGQNMDVIMVIGGVSEGGDYLSECVGYFVDEDRWVNLPHIHNHLDGHAVAVTESYVYVAGSMEPGFAKTVERYNPNLNTWEHVCSLMTRKHSFGLTEVKGKLYSIGGHGNFSPGFKDVTVYNPELDKWHNLESAPKILRDVKALAIEDRFVYIAARTPVDRDTEDGLKAVITCYDTETRQWQDVESLPLIDNYCFFQMSVVNSNFYQTASCCPKSYCLENEEAVRKIASQVSDEILESLPPEVLSIEGAAICYYKDDVFIIGGWKNSDDIDKQYRKEAYRYCAERKRWMLLPPMPQPRCRATACHVRIPYRYLHGTQRYPMPQNLMWQKDRIRQMQEIHRHALNMRRVPSSQIEC
+>DECOY_sp|Q9NVR0|KLH11_HUMAN Kelch-like protein 11 OS=Homo sapiens OX=9606 GN=KLHL11 PE=1 SV=1
+CEIQSSPVRRMNLAHRHIEQMQRIRDKQWMLNQPMPYRQTGHLYRYPIRVHCATARCRPQPMPPLLMWRKREACYRYAEKRYQKDIDDSNKWGGIIFVDDKYYCIAAGEISLVEPPLSELIEDSVQSAIKRVAEENELCYSKPCCSATQYFNSNVVSMQFFCYNDILPLSEVDQWQRTETDYCTIVAKLGDETDRDVPTRAAIYVFRDEIALAKVDRLIKPASELNHWKDLEPNYVTVDKFGPSFNGHGGISYLKGKVETLGFSHKRTMLSCVHEWTNLNPNYREVTKAFGPEMSGAVYVYSETVAVAHGDLHNHIHPLNVWRDEDVFYGVCESLYDGGESVGGIVMIVDMNQGYRPLLSVHSTPHQCTGSQINEARLAHREVADAVLKVCVENNAVLREPKVHRTLYTPKMQSLRLLKFLEEFYREREEANRQVWKLVTEFLVEESDVTIELDSLWDRILHFPLTYFEEDQIVKHFNRRIMDAAKLALQSLTYMHALSHIAVCNSLHLKKKLFEGCFEKLRILLFRDALELVEHVSGTSVRIRGTYMYEIVAEVTDPEPGPESSWKRMEVRGSRSESFQGSLLPTFYETAAALVSRHARFERGGAGGFCLTIDCFLGQRRQENQRWSLESCHSSCEFDEAEPGPDGGSAEMASIGPGPGFDVTGSGRVEAALGASGAAATEMSEMELVQLSAAAAAAAAAAVAAAAM
+>sp|O94889|KLH18_HUMAN Kelch-like protein 18 OS=Homo sapiens OX=9606 GN=KLHL18 PE=1 SV=3
+MVEDGAEELEDLVHFSVSELPSRGYGVMEEIRRQGKLCDVTLKIGDHKFSAHRIVLAASIPYFHAMFTNDMMECKQDEIVMQGMDPSALEALINFAYNGNLAIDQQNVQSLLMGASFLQLQSIKDACCTFLRERLHPKNCLGVRQFAETMMCAVLYDAANSFIHQHFVEVSMSEEFLALPLEDVLELVSRDELNVKSEEQVFEAALAWVRYDREQRGPYLPELLSNIRLPLCRPQFLSDRVQQDDLVRCCHKCRDLVDEAKDYHLMPERRPHLPAFRTRPRCCTSIAGLIYAVGGLNSAGDSLNVVEVFDPIANCWERCRPMTTARSRVGVAVVNGLLYAIGGYDGQLRLSTVEAYNPETDTWTRVGSMNSKRSAMGTVVLDGQIYVCGGYDGNSSLSSVETYSPETDKWTVVTSMSSNRSAAGVTVFEGRIYVSGGHDGLQIFSSVEHYNHHTATWHPAAGMLNKRCRHGAASLGSKMFVCGGYDGSGFLSIAEMYSSVADQWCLIVPMHTRRSRVSLVASCGRLYAVGGYDGQSNLSSVEMYDPETDCWTFMAPMACHEGGVGVGCIPLLTI
+>DECOY_sp|O94889|KLH18_HUMAN Kelch-like protein 18 OS=Homo sapiens OX=9606 GN=KLHL18 PE=1 SV=3
+ITLLPICGVGVGGEHCAMPAMFTWCDTEPDYMEVSSLNSQGDYGGVAYLRGCSAVLSVRSRRTHMPVILCWQDAVSSYMEAISLFGSGDYGGCVFMKSGLSAAGHRCRKNLMGAAPHWTATHHNYHEVSSFIQLGDHGGSVYIRGEFVTVGAASRNSSMSTVVTWKDTEPSYTEVSSLSSNGDYGGCVYIQGDLVVTGMASRKSNMSGVRTWTDTEPNYAEVTSLRLQGDYGGIAYLLGNVVAVGVRSRATTMPRCREWCNAIPDFVEVVNLSDGASNLGGVAYILGAISTCCRPRTRFAPLHPRREPMLHYDKAEDVLDRCKHCCRVLDDQQVRDSLFQPRCLPLRINSLLEPLYPGRQERDYRVWALAAEFVQEESKVNLEDRSVLELVDELPLALFEESMSVEVFHQHIFSNAADYLVACMMTEAFQRVGLCNKPHLRERLFTCCADKISQLQLFSAGMLLSQVNQQDIALNGNYAFNILAELASPDMGQMVIEDQKCEMMDNTFMAHFYPISAALVIRHASFKHDGIKLTVDCLKGQRRIEEMVGYGRSPLESVSFHVLDELEEAGDEVM
+>sp|Q9Y2M5|KLH20_HUMAN Kelch-like protein 20 OS=Homo sapiens OX=9606 GN=KLHL20 PE=1 SV=4
+MEGKPMRRCTNIRPGETGMDVTSRCTLGDPNKLPEGVPQPARMPYISDKHPRQTLEVINLLRKHRELCDVVLVVGAKKIYAHRVILSACSPYFRAMFTGELAESRQTEVVIRDIDERAMELLIDFAYTSQITVEEGNVQTLLPAACLLQLAEIQEACCEFLKRQLDPSNCLGIRAFADTHSCRELLRIADKFTQHNFQEVMESEEFMLLPANQLIDIISSDELNVRSEEQVFNAVMAWVKYSIQERRPQLPQVLQHVRLPLLSPKFLVGTVGSDPLIKSDEECRDLVDEAKNYLLLPQERPLMQGPRTRPRKPIRCGEVLFAVGGWCSGDAISSVERYDPQTNEWRMVASMSKRRCGVGVSVLDDLLYAVGGHDGSSYLNSVERYDPKTNQWSSDVAPTSTCRTSVGVAVLGGFLYAVGGQDGVSCLNIVERYDPKENKWTRVASMSTRRLGVAVAVLGGFLYAVGGSDGTSPLNTVERYNPQENRWHTIAPMGTRRKHLGCAVYQDMIYAVGGRDDTTELSSAERYNPRTNQWSPVVAMTSRRSGVGLAVVNGQLMAVGGFDGTTYLKTIEVFDPDANTWRLYGGMNYRRLGGGVGVIKMTHCESHIW
+>DECOY_sp|Q9Y2M5|KLH20_HUMAN Kelch-like protein 20 OS=Homo sapiens OX=9606 GN=KLHL20 PE=1 SV=4
+WIHSECHTMKIVGVGGGLRRYNMGGYLRWTNADPDFVEITKLYTTGDFGGVAMLQGNVVALGVGSRRSTMAVVPSWQNTRPNYREASSLETTDDRGGVAYIMDQYVACGLHKRRTGMPAITHWRNEQPNYREVTNLPSTGDSGGVAYLFGGLVAVAVGLRRTSMSAVRTWKNEKPDYREVINLCSVGDQGGVAYLFGGLVAVGVSTRCTSTPAVDSSWQNTKPDYREVSNLYSSGDHGGVAYLLDDLVSVGVGCRRKSMSAVMRWENTQPDYREVSSIADGSCWGGVAFLVEGCRIPKRPRTRPGQMLPREQPLLLYNKAEDVLDRCEEDSKILPDSGVTGVLFKPSLLPLRVHQLVQPLQPRREQISYKVWAMVANFVQEESRVNLEDSSIIDILQNAPLLMFEESEMVEQFNHQTFKDAIRLLERCSHTDAFARIGLCNSPDLQRKLFECCAEQIEALQLLCAAPLLTQVNGEEVTIQSTYAFDILLEMAREDIDRIVVETQRSEALEGTFMARFYPSCASLIVRHAYIKKAGVVLVVDCLERHKRLLNIVELTQRPHKDSIYPMRAPQPVGEPLKNPDGLTCRSTVDMGTEGPRINTCRRMPKGEM
+>sp|Q8NBE8|KLH23_HUMAN Kelch-like protein 23 OS=Homo sapiens OX=9606 GN=KLHL23 PE=1 SV=1
+MALKGQEDYIYLFKDSTHPVDFLDAFRTFYLDGLFTDITLQCPSGIIFHCHRAVLAACSNYFKAMFTADMKEKFKNKIKLSGIHHDILEGLVNYAYTSQIEITKRNVQSLLEAADLLQFLSVKKACERFLVRHLDIDNCIGMHSFAEFHVCPELEKESRRILCSKFKEVWQQEEFLEISLEKFLFILSRKNLSVWKEEAIIEPVIKWTAHDVENRIECLYNLLSYINIDIDPVYLKTALGLQRSCLLTENKIRSLIYNALNPMHKEISQRSTATMYIIGGYYWHPLSEVHIWDPLTNVWIQGAEIPDYTRESYGVTCLGPNIYVTGGYRTDNIEALDTVWIYNSESDEWTEGLPMLNARYYHCAVTLGGCVYALGGYRKGAPAEEAEFYDPLKEKWIPIANMIKGVGNATACVLHDVIYVIGGHCGYRGSCTYDKVQSYNSDINEWSLITSSPHPEYGLCSVPFENKLYLVGGQTTITECYDPEQNEWREIAPMMERRMECGAVIMNGCIYVTGGYSYSKGTYLQSIEKYDPDLNKWEIVGNLPSAMRSHGCVCVYNV
+>DECOY_sp|Q8NBE8|KLH23_HUMAN Kelch-like protein 23 OS=Homo sapiens OX=9606 GN=KLHL23 PE=1 SV=1
+VNYVCVCGHSRMASPLNGVIEWKNLDPDYKEISQLYTGKSYSYGGTVYICGNMIVAGCEMRREMMPAIERWENQEPDYCETITTQGGVLYLKNEFPVSCLGYEPHPSSTILSWENIDSNYSQVKDYTCSGRYGCHGGIVYIVDHLVCATANGVGKIMNAIPIWKEKLPDYFEAEEAPAGKRYGGLAYVCGGLTVACHYYRANLMPLGETWEDSESNYIWVTDLAEINDTRYGGTVYINPGLCTVGYSERTYDPIEAGQIWVNTLPDWIHVESLPHWYYGGIIYMTATSRQSIEKHMPNLANYILSRIKNETLLCSRQLGLATKLYVPDIDINIYSLLNYLCEIRNEVDHATWKIVPEIIAEEKWVSLNKRSLIFLFKELSIELFEEQQWVEKFKSCLIRRSEKELEPCVHFEAFSHMGICNDIDLHRVLFRECAKKVSLFQLLDAAELLSQVNRKTIEIQSTYAYNVLGELIDHHIGSLKIKNKFKEKMDATFMAKFYNSCAALVARHCHFIIGSPCQLTIDTFLGDLYFTRFADLFDVPHTSDKFLYIYDEQGKLAM
+>sp|Q13241|KLRD1_HUMAN Natural killer cells antigen CD94 OS=Homo sapiens OX=9606 GN=KLRD1 PE=1 SV=2
+MAVFKTTLWRLISGTLGIICLSLMSTLGILLKNSFTKLSIEPAFTPGPNIELQKDSDCCSCQEKWVGYRCNCYFISSEQKTWNESRHLCASQKSSLLQLQNTDELDFMSSSQQFYWIGLSYSEEHTAWLWENGSALSQYLFPSFETFNTKNCIAYNPNGNALDESCEDKNRYICKQQLI
+>DECOY_sp|Q13241|KLRD1_HUMAN Natural killer cells antigen CD94 OS=Homo sapiens OX=9606 GN=KLRD1 PE=1 SV=2
+ILQQKCIYRNKDECSEDLANGNPNYAICNKTNFTEFSPFLYQSLASGNEWLWATHEESYSLGIWYFQQSSSMFDLEDTNQLQLLSSKQSACLHRSENWTKQESSIFYCNCRYGVWKEQCSCCDSDKQLEINPGPTFAPEISLKTFSNKLLIGLTSMLSLCIIGLTGSILRWLTTKFVAM
+>sp|Q9NZS2|KLRF1_HUMAN Killer cell lectin-like receptor subfamily F member 1 OS=Homo sapiens OX=9606 GN=KLRF1 PE=1 SV=2
+MQDEERYMTLNVQSKKRSSAQTSQLTFKDYSVTLHWYKILLGISGTVNGILTLTLISLILLVSQGVLLKCQKGSCSNATQYEDTGDLKVNNGTRRNISNKDLCASRSADQTVLCQSEWLKYQGKCCYWFSNEMKSWSDSYVYCLERKSHLLIIHDQLEMAFIQKNLRQLNYVWIGLNFTSLKMTWTWVDGSPIDSKIFFIKGPAKENSCAAIKESKIFSETCSSVFKWICQY
+>DECOY_sp|Q9NZS2|KLRF1_HUMAN Killer cell lectin-like receptor subfamily F member 1 OS=Homo sapiens OX=9606 GN=KLRF1 PE=1 SV=2
+YQCIWKFVSSCTESFIKSEKIAACSNEKAPGKIFFIKSDIPSGDVWTWTMKLSTFNLGIWVYNLQRLNKQIFAMELQDHIILLHSKRELCYVYSDSWSKMENSFWYCCKGQYKLWESQCLVTQDASRSACLDKNSINRRTGNNVKLDGTDEYQTANSCSGKQCKLLVGQSVLLILSILTLTLIGNVTGSIGLLIKYWHLTVSYDKFTLQSTQASSRKKSQVNLTMYREEDQM
+>sp|O15229|KMO_HUMAN Kynurenine 3-monooxygenase OS=Homo sapiens OX=9606 GN=KMO PE=1 SV=2
+MDSSVIQRKKVAVIGGGLVGSLQACFLAKRNFQIDVYEAREDTRVATFTRGRSINLALSHRGRQALKAVGLEDQIVSQGIPMRARMIHSLSGKKSAIPYGTKSQYILSVSRENLNKDLLTAAEKYPNVKMHFNHRLLKCNPEEGMITVLGSDKVPKDVTCDLIVGCDGAYSTVRSHLMKKPRFDYSQQYIPHGYMELTIPPKNGDYAMEPNYLHIWPRNTFMMIALPNMNKSFTCTLFMPFEEFEKLLTSNDVVDFFQKYFPDAIPLIGEKLLVQDFFLLPAQPMISVKCSSFHFKSHCVLLGDAAHAIVPFFGQGMNAGFEDCLVFDELMDKFSNDLSLCLPVFSRLRIPDDHAISDLSMYNYIEMRAHVNSSWFIFQKNMERFLHAIMPSTFIPLYTMVTFSRIRYHEAVQRWHWQKKVINKGLFFLGSLIAISSTYLLIHYMSPRSFLRLRRPWNWIAHFRNTTCFPAKAVDSLEQISNLISR
+>DECOY_sp|O15229|KMO_HUMAN Kynurenine 3-monooxygenase OS=Homo sapiens OX=9606 GN=KMO PE=1 SV=2
+RSILNSIQELSDVAKAPFCTTNRFHAIWNWPRRLRLFSRPSMYHILLYTSSIAILSGLFFLGKNIVKKQWHWRQVAEHYRIRSFTVMTYLPIFTSPMIAHLFREMNKQFIFWSSNVHARMEIYNYMSLDSIAHDDPIRLRSFVPLCLSLDNSFKDMLEDFVLCDEFGANMGQGFFPVIAHAADGLLVCHSKFHFSSCKVSIMPQAPLLFFDQVLLKEGILPIADPFYKQFFDVVDNSTLLKEFEEFPMFLTCTFSKNMNPLAIMMFTNRPWIHLYNPEMAYDGNKPPITLEMYGHPIYQQSYDFRPKKMLHSRVTSYAGDCGVILDCTVDKPVKDSGLVTIMGEEPNCKLLRHNFHMKVNPYKEAATLLDKNLNERSVSLIYQSKTGYPIASKKGSLSHIMRARMPIGQSVIQDELGVAKLAQRGRHSLALNISRGRTFTAVRTDERAEYVDIQFNRKALFCAQLSGVLGGGIVAVKKRQIVSSDM
+>sp|P46020|KPB1_HUMAN Phosphorylase b kinase regulatory subunit alpha, skeletal muscle isoform OS=Homo sapiens OX=9606 GN=PHKA1 PE=1 SV=2
+MRSRSNSGVRLDGYARLVQQTILCHQNPVTGLLPASYDQKDAWVRDNVYSILAVWGLGLAYRKNADRDEDKAKAYELEQSVVKLMRGLLHCMIRQVDKVESFKYSQSTKDSLHAKYNTKTCATVVGDDQWGHLQLDATSVYLLFLAQMTASGLHIIHSLDEVNFIQNLVFYIEAAYKTADFGIWERGDKTNQGISELNASSVGMAKAALEALDELDLFGVKGGPQSVIHVLADEVQHCQSILNSLLPRASTSKEVDASLLSVVSFPAFAVEDSQLVELTKQEIITKLQGRYGCCRFLRDGYKTPKEDPNRLYYEPAELKLFENIECEWPLFWTYFILDGVFSGNAEQVQEYKEALEAVLIKGKNGVPLLPELYSVPPDRVDEEYQNPHTVDRVPMGKLPHMWGQSLYILGSLMAEGFLAPGEIDPLNRRFSTVPKPDVVVQVSILAETEEIKTILKDKGIYVETIAEVYPIRVQPARILSHIYSSLGCNNRMKLSGRPYRHMGVLGTSKLYDIRKTIFTFTPQFIDQQQFYLALDNKMIVEMLRTDLSYLCSRWRMTGQPTITFPISHSMLDEDGTSLNSSILAALRKMQDGYFGGARVQTGKLSEFLTTSCCTHLSFMDPGPEGKLYSEDYDDNYDYLESGNWMNDYDSTSHARCGDEVARYLDHLLAHTAPHPKLAPTSQKGGLDRFQAAVQTTCDLMSLVTKAKELHVQNVHMYLPTKLFQASRPSFNLLDSPHPRQENQVPSVRVEIHLPRDQSGEVDFKALVLQLKETSSLQEQADILYMLYTMKGPDWNTELYNERSATVRELLTELYGKVGEIRHWGLIRYISGILRKKVEALDEACTDLLSHQKHLTVGLPPEPREKTISAPLPYEALTQLIDEASEGDMSISILTQEIMVYLAMYMRTQPGLFAEMFRLRIGLIIQVMATELAHSLRCSAEEATEGLMNLSPSAMKNLLHHILSGKEFGVERSVRPTDSNVSPAISIHEIGAVGATKTERTGIMQLKSEIKQVEFRRLSISAESQSPGTSMTPSSGSFPSAYDQQSSKDSRQGQWQRRRRLDGALNRVPVGFYQKVWKVLQKCHGLSVEGFVLPSSTTREMTPGEIKFSVHVESVLNRVPQPEYRQLLVEAILVLTMLADIEIHSIGSIIAVEKIVHIANDLFLQEQKTLGADDTMLAKDPASGICTLLYDSAPSGRFGTMTYLSKAAATYVQEFLPHSICAMQ
+>DECOY_sp|P46020|KPB1_HUMAN Phosphorylase b kinase regulatory subunit alpha, skeletal muscle isoform OS=Homo sapiens OX=9606 GN=PHKA1 PE=1 SV=2
+QMACISHPLFEQVYTAAAKSLYTMTGFRGSPASDYLLTCIGSAPDKALMTDDAGLTKQEQLFLDNAIHVIKEVAIISGISHIEIDALMTLVLIAEVLLQRYEPQPVRNLVSEVHVSFKIEGPTMERTTSSPLVFGEVSLGHCKQLVKWVKQYFGVPVRNLAGDLRRRRQWQGQRSDKSSQQDYASPFSGSSPTMSTGPSQSEASISLRRFEVQKIESKLQMIGTRETKTAGVAGIEHISIAPSVNSDTPRVSREVGFEKGSLIHHLLNKMASPSLNMLGETAEEASCRLSHALETAMVQIILGIRLRFMEAFLGPQTRMYMALYVMIEQTLISISMDGESAEDILQTLAEYPLPASITKERPEPPLGVTLHKQHSLLDTCAEDLAEVKKRLIGSIYRILGWHRIEGVKGYLETLLERVTASRENYLETNWDPGKMTYLMYLIDAQEQLSSTEKLQLVLAKFDVEGSQDRPLHIEVRVSPVQNEQRPHPSDLLNFSPRSAQFLKTPLYMHVNQVHLEKAKTVLSMLDCTTQVAAQFRDLGGKQSTPALKPHPATHALLHDLYRAVEDGCRAHSTSDYDNMWNGSELYDYNDDYDESYLKGEPGPDMFSLHTCCSTTLFESLKGTQVRAGGFYGDQMKRLAALISSNLSTGDEDLMSHSIPFTITPQGTMRWRSCLYSLDTRLMEVIMKNDLALYFQQQDIFQPTFTFITKRIDYLKSTGLVGMHRYPRGSLKMRNNCGLSSYIHSLIRAPQVRIPYVEAITEVYIGKDKLITKIEETEALISVQVVVDPKPVTSFRRNLPDIEGPALFGEAMLSGLIYLSQGWMHPLKGMPVRDVTHPNQYEEDVRDPPVSYLEPLLPVGNKGKILVAELAEKYEQVQEANGSFVGDLIFYTWFLPWECEINEFLKLEAPEYYLRNPDEKPTKYGDRLFRCCGYRGQLKTIIEQKTLEVLQSDEVAFAPFSVVSLLSADVEKSTSARPLLSNLISQCHQVEDALVHIVSQPGGKVGFLDLEDLAELAAKAMGVSSANLESIGQNTKDGREWIGFDATKYAAEIYFVLNQIFNVEDLSHIIHLGSATMQALFLLYVSTADLQLHGWQDDGVVTACTKTNYKAHLSDKTSQSYKFSEVKDVQRIMCHLLGRMLKVVSQELEYAKAKDEDRDANKRYALGLGWVALISYVNDRVWADKQDYSAPLLGTVPNQHCLITQQVLRAYGDLRVGSNSRSRM
+>sp|O94806|KPCD3_HUMAN Serine/threonine-protein kinase D3 OS=Homo sapiens OX=9606 GN=PRKD3 PE=1 SV=1
+MSANNSPPSAQKSVLPTAIPAVLPAASPCSSPKTGLSARLSNGSFSAPSLTNSRGSVHTVSFLLQIGLTRESVTIEAQELSLSAVKDLVCSIVYQKFPECGFFGMYDKILLFRHDMNSENILQLITSADEIHEGDLVEVVLSALATVEDFQIRPHTLYVHSYKAPTFCDYCGEMLWGLVRQGLKCEGCGLNYHKRCAFKIPNNCSGVRKRRLSNVSLPGPGLSVPRPLQPEYVALPSEESHVHQEPSKRIPSWSGRPIWMEKMVMCRVKVPHTFAVHSYTRPTICQYCKRLLKGLFRQGMQCKDCKFNCHKRCASKVPRDCLGEVTFNGEPSSLGTDTDIPMDIDNNDINSDSSRGLDDTEEPSPPEDKMFFLDPSDLDVERDEEAVKTISPSTSNNIPLMRVVQSIKHTKRKSSTMVKEGWMVHYTSRDNLRKRHYWRLDSKCLTLFQNESGSKYYKEIPLSEILRISSPRDFTNISQGSNPHCFEIITDTMVYFVGENNGDSSHNPVLAATGVGLDVAQSWEKAIRQALMPVTPQASVCTSPGQGKDHKDLSTSISVSNCQIQENVDISTVYQIFADEVLGSGQFGIVYGGKHRKTGRDVAIKVIDKMRFPTKQESQLRNEVAILQNLHHPGIVNLECMFETPERVFVVMEKLHGDMLEMILSSEKSRLPERITKFMVTQILVALRNLHFKNIVHCDLKPENVLLASAEPFPQVKLCDFGFARIIGEKSFRRSVVGTPAYLAPEVLRSKGYNRSLDMWSVGVIIYVSLSGTFPFNEDEDINDQIQNAAFMYPPNPWREISGEAIDLINNLLQVKMRKRYSVDKSLSHPWLQDYQTWLDLREFETRIGERYITHESDDARWEIHAYTHNLVYPKHFIMAPNPDDMEEDP
+>DECOY_sp|O94806|KPCD3_HUMAN Serine/threonine-protein kinase D3 OS=Homo sapiens OX=9606 GN=PRKD3 PE=1 SV=1
+PDEEMDDPNPAMIFHKPYVLNHTYAHIEWRADDSEHTIYREGIRTEFERLDLWTQYDQLWPHSLSKDVSYRKRMKVQLLNNILDIAEGSIERWPNPPYMFAANQIQDNIDEDENFPFTGSLSVYIIVGVSWMDLSRNYGKSRLVEPALYAPTGVVSRRFSKEGIIRAFGFDCLKVQPFPEASALLVNEPKLDCHVINKFHLNRLAVLIQTVMFKTIREPLRSKESSLIMELMDGHLKEMVVFVREPTEFMCELNVIGPHHLNQLIAVENRLQSEQKTPFRMKDIVKIAVDRGTKRHKGGYVIGFQGSGLVEDAFIQYVTSIDVNEQIQCNSVSISTSLDKHDKGQGPSTCVSAQPTVPMLAQRIAKEWSQAVDLGVGTAALVPNHSSDGNNEGVFYVMTDTIIEFCHPNSGQSINTFDRPSSIRLIESLPIEKYYKSGSENQFLTLCKSDLRWYHRKRLNDRSTYHVMWGEKVMTSSKRKTHKISQVVRMLPINNSTSPSITKVAEEDREVDLDSPDLFFMKDEPPSPEETDDLGRSSDSNIDNNDIDMPIDTDTGLSSPEGNFTVEGLCDRPVKSACRKHCNFKCDKCQMGQRFLGKLLRKCYQCITPRTYSHVAFTHPVKVRCMVMKEMWIPRGSWSPIRKSPEQHVHSEESPLAVYEPQLPRPVSLGPGPLSVNSLRRKRVGSCNNPIKFACRKHYNLGCGECKLGQRVLGWLMEGCYDCFTPAKYSHVYLTHPRIQFDEVTALASLVVEVLDGEHIEDASTILQLINESNMDHRFLLIKDYMGFFGCEPFKQYVISCVLDKVASLSLEQAEITVSERTLGIQLLFSVTHVSGRSNTLSPASFSGNSLRASLGTKPSSCPSAAPLVAPIATPLVSKQASPPSNNASM
+>sp|Q02156|KPCE_HUMAN Protein kinase C epsilon type OS=Homo sapiens OX=9606 GN=PRKCE PE=1 SV=1
+MVVFNGLLKIKICEAVSLKPTAWSLRHAVGPRPQTFLLDPYIALNVDDSRIGQTATKQKTNSPAWHDEFVTDVCNGRKIELAVFHDAPIGYDDFVANCTIQFEELLQNGSRHFEDWIDLEPEGRVYVIIDLSGSSGEAPKDNEERVFRERMRPRKRQGAVRRRVHQVNGHKFMATYLRQPTYCSHCRDFIWGVIGKQGYQCQVCTCVVHKRCHELIITKCAGLKKQETPDQVGSQRFSVNMPHKFGIHNYKVPTFCDHCGSLLWGLLRQGLQCKVCKMNVHRRCETNVAPNCGVDARGIAKVLADLGVTPDKITNSGQRRKKLIAGAESPQPASGSSPSEEDRSKSAPTSPCDQEIKELENNIRKALSFDNRGEEHRAASSPDGQLMSPGENGEVRQGQAKRLGLDEFNFIKVLGKGSFGKVMLAELKGKDEVYAVKVLKKDVILQDDDVDCTMTEKRILALARKHPYLTQLYCCFQTKDRLFFVMEYVNGGDLMFQIQRSRKFDEPRSRFYAAEVTSALMFLHQHGVIYRDLKLDNILLDAEGHCKLADFGMCKEGILNGVTTTTFCGTPDYIAPEILQELEYGPSVDWWALGVLMYEMMAGQPPFEADNEDDLFESILHDDVLYPVWLSKEAVSILKAFMTKNPHKRLGCVASQNGEDAIKQHPFFKEIDWVLLEQKKIKPPFKPRIKTKRDVNNFDQDFTREEPVLTLVDEAIVKQINQEEFKGFSYFGEDLMP
+>DECOY_sp|Q02156|KPCE_HUMAN Protein kinase C epsilon type OS=Homo sapiens OX=9606 GN=PRKCE PE=1 SV=1
+PMLDEGFYSFGKFEEQNIQKVIAEDVLTLVPEERTFDQDFNNVDRKTKIRPKFPPKIKKQELLVWDIEKFFPHQKIADEGNQSAVCGLRKHPNKTMFAKLISVAEKSLWVPYLVDDHLISEFLDDENDAEFPPQGAMMEYMLVGLAWWDVSPGYELEQLIEPAIYDPTGCFTTTTVGNLIGEKCMGFDALKCHGEADLLINDLKLDRYIVGHQHLFMLASTVEAAYFRSRPEDFKRSRQIQFMLDGGNVYEMVFFLRDKTQFCCYLQTLYPHKRALALIRKETMTCDVDDDQLIVDKKLVKVAYVEDKGKLEALMVKGFSGKGLVKIFNFEDLGLRKAQGQRVEGNEGPSMLQGDPSSAARHEEGRNDFSLAKRINNELEKIEQDCPSTPASKSRDEESPSSGSAPQPSEAGAILKKRRQGSNTIKDPTVGLDALVKAIGRADVGCNPAVNTECRRHVNMKCVKCQLGQRLLGWLLSGCHDCFTPVKYNHIGFKHPMNVSFRQSGVQDPTEQKKLGACKTIILEHCRKHVVCTCVQCQYGQKGIVGWIFDRCHSCYTPQRLYTAMFKHGNVQHVRRRVAGQRKRPRMRERFVREENDKPAEGSSGSLDIIVYVRGEPELDIWDEFHRSGNQLLEEFQITCNAVFDDYGIPADHFVALEIKRGNCVDTVFEDHWAPSNTKQKTATQGIRSDDVNLAIYPDLLFTQPRPGVAHRLSWATPKLSVAECIKIKLLGNFVVM
+>sp|P24723|KPCL_HUMAN Protein kinase C eta type OS=Homo sapiens OX=9606 GN=PRKCH PE=1 SV=4
+MSSGTMKFNGYLRVRIGEAVGLQPTRWSLRHSLFKKGHQLLDPYLTVSVDQVRVGQTSTKQKTNKPTYNEEFCANVTDGGHLELAVFHETPLGYDHFVANCTLQFQELLRTTGASDTFEGWVDLEPEGKVFVVITLTGSFTEATLQRDRIFKHFTRKRQRAMRRRVHQINGHKFMATYLRQPTYCSHCREFIWGVFGKQGYQCQVCTCVVHKRCHHLIVTACTCQNNINKVDSKIAEQRFGINIPHKFSIHNYKVPTFCDHCGSLLWGIMRQGLQCKICKMNVHIRCQANVAPNCGVNAVELAKTLAGMGLQPGNISPTSKLVSRSTLRRQGKESSKEGNGIGVNSSNRLGIDNFEFIRVLGKGSFGKVMLARVKETGDLYAVKVLKKDVILQDDDVECTMTEKRILSLARNHPFLTQLFCCFQTPDRLFFVMEFVNGGDLMFHIQKSRRFDEARARFYAAEIISALMFLHDKGIIYRDLKLDNVLLDHEGHCKLADFGMCKEGICNGVTTATFCGTPDYIAPEILQEMLYGPAVDWWAMGVLLYEMLCGHAPFEAENEDDLFEAILNDEVVYPTWLHEDATGILKSFMTKNPTMRLGSLTQGGEHAILRHPFFKEIDWAQLNHRQIEPPFRPRIKSREDVSNFDPDFIKEEPVLTPIDEGHLPMINQDEFRNFSYVSPELQP
+>DECOY_sp|P24723|KPCL_HUMAN Protein kinase C eta type OS=Homo sapiens OX=9606 GN=PRKCH PE=1 SV=4
+PQLEPSVYSFNRFEDQNIMPLHGEDIPTLVPEEKIFDPDFNSVDERSKIRPRFPPEIQRHNLQAWDIEKFFPHRLIAHEGGQTLSGLRMTPNKTMFSKLIGTADEHLWTPYVVEDNLIAEFLDDENEAEFPAHGCLMEYLLVGMAWWDVAPGYLMEQLIEPAIYDPTGCFTATTVGNCIGEKCMGFDALKCHGEHDLLVNDLKLDRYIIGKDHLFMLASIIEAAYFRARAEDFRRSKQIHFMLDGGNVFEMVFFLRDPTQFCCFLQTLFPHNRALSLIRKETMTCEVDDDQLIVDKKLVKVAYLDGTEKVRALMVKGFSGKGLVRIFEFNDIGLRNSSNVGIGNGEKSSEKGQRRLTSRSVLKSTPSINGPQLGMGALTKALEVANVGCNPAVNAQCRIHVNMKCIKCQLGQRMIGWLLSGCHDCFTPVKYNHISFKHPINIGFRQEAIKSDVKNINNQCTCATVILHHCRKHVVCTCVQCQYGQKGFVGWIFERCHSCYTPQRLYTAMFKHGNIQHVRRRMARQRKRTFHKFIRDRQLTAETFSGTLTIVVFVKGEPELDVWGEFTDSAGTTRLLEQFQLTCNAVFHDYGLPTEHFVALELHGGDTVNACFEENYTPKNTKQKTSTQGVRVQDVSVTLYPDLLQHGKKFLSHRLSWRTPQLGVAEGIRVRLYGNFKMTGSSM
+>sp|Q04759|KPCT_HUMAN Protein kinase C theta type OS=Homo sapiens OX=9606 GN=PRKCQ PE=1 SV=3
+MSPFLRIGLSNFDCGSCQSCQGEAVNPYCAVLVKEYVESENGQMYIQKKPTMYPPWDSTFDAHINKGRVMQIIVKGKNVDLISETTVELYSLAERCRKNNGKTEIWLELKPQGRMLMNARYFLEMSDTKDMNEFETEGFFALHQRRGAIKQAKVHHVKCHEFTATFFPQPTFCSVCHEFVWGLNKQGYQCRQCNAAIHKKCIDKVIAKCTGSAINSRETMFHKERFKIDMPHRFKVYNYKSPTFCEHCGTLLWGLARQGLKCDACGMNVHHRCQTKVANLCGINQKLMAEALAMIESTQQARCLRDTEQIFREGPVEIGLPCSIKNEARPPCLPTPGKREPQGISWESPLDEVDKMCHLPEPELNKERPSLQIKLKIEDFILHKMLGKGSFGKVFLAEFKKTNQFFAIKALKKDVVLMDDDVECTMVEKRVLSLAWEHPFLTHMFCTFQTKENLFFVMEYLNGGDLMYHIQSCHKFDLSRATFYAAEIILGLQFLHSKGIVYRDLKLDNILLDKDGHIKIADFGMCKENMLGDAKTNTFCGTPDYIAPEILLGQKYNHSVDWWSFGVLLYEMLIGQSPFHGQDEEELFHSIRMDNPFYPRWLEKEAKDLLVKLFVREPEKRLGVRGDIRQHPLFREINWEELERKEIDPPFRPKVKSPFDCSNFDKEFLNEKPRLSFADRALINSMDQNMFRNFSFMNPGMERLIS
+>DECOY_sp|Q04759|KPCT_HUMAN Protein kinase C theta type OS=Homo sapiens OX=9606 GN=PRKCQ PE=1 SV=3
+SILREMGPNMFSFNRFMNQDMSNILARDAFSLRPKENLFEKDFNSCDFPSKVKPRFPPDIEKRELEEWNIERFLPHQRIDGRVGLRKEPERVFLKVLLDKAEKELWRPYFPNDMRISHFLEEEDQGHFPSQGILMEYLLVGFSWWDVSHNYKQGLLIEPAIYDPTGCFTNTKADGLMNEKCMGFDAIKIHGDKDLLINDLKLDRYVIGKSHLFQLGLIIEAAYFTARSLDFKHCSQIHYMLDGGNLYEMVFFLNEKTQFTCFMHTLFPHEWALSLVRKEVMTCEVDDDMLVVDKKLAKIAFFQNTKKFEALFVKGFSGKGLMKHLIFDEIKLKIQLSPREKNLEPEPLHCMKDVEDLPSEWSIGQPERKGPTPLCPPRAENKISCPLGIEVPGERFIQETDRLCRAQQTSEIMALAEAMLKQNIGCLNAVKTQCRHHVNMGCADCKLGQRALGWLLTGCHECFTPSKYNYVKFRHPMDIKFREKHFMTERSNIASGTCKAIVKDICKKHIAANCQRCQYGQKNLGWVFEHCVSCFTPQPFFTATFEHCKVHHVKAQKIAGRRQHLAFFGETEFENMDKTDSMELFYRANMLMRGQPKLELWIETKGNNKRCREALSYLEVTTESILDVNKGKVIIQMVRGKNIHADFTSDWPPYMTPKKQIYMQGNESEVYEKVLVACYPNVAEGQCSQCSGCDFNSLGIRLFPSM
+>sp|P60331|KR101_HUMAN Keratin-associated protein 10-1 OS=Homo sapiens OX=9606 GN=KRTAP10-1 PE=2 SV=2
+MAASTMSVCSSACSDSWQVDACPESCCEPHCCALSCCAPAPCLTLVCTPVSRVSSPCCQAACEPSPCQSGCTSSCTPSCCQQSSCQPACCTSSPCQQACCVPVCCKPVCCLPTCSKDSSSCCQQSSCQPTCCASSSSQQSCCVPVCCKPVCYVPTCSEDSSSCCQQSSCHPACCTSSPCQQACCVPVRCKPVCCKPICCVPVCSGASTSCCQQSSCQPACCTTSCCRPSSSVSLLCRPVCRPACCMPVSSCCAPASSCQASCCRPASCVSLLCRPACSRPAC
+>DECOY_sp|P60331|KR101_HUMAN Keratin-associated protein 10-1 OS=Homo sapiens OX=9606 GN=KRTAP10-1 PE=2 SV=2
+CAPRSCAPRCLLSVCSAPRCCSAQCSSAPACCSSVPMCCAPRCVPRCLLSVSSSPRCCSTTCCAPQCSSQQCCSTSAGSCVPVCCIPKCCVPKCRVPVCCAQQCPSSTCCAPHCSSQQCCSSSDESCTPVYCVPKCCVPVCCSQQSSSSACCTPQCSSQQCCSSSDKSCTPLCCVPKCCVPVCCAQQCPSSTCCAPQCSSQQCCSPTCSSTCGSQCPSPECAAQCCPSSVRSVPTCVLTLCPAPACCSLACCHPECCSEPCADVQWSDSCASSCVSMTSAAM
+>sp|P60370|KR105_HUMAN Keratin-associated protein 10-5 OS=Homo sapiens OX=9606 GN=KRTAP10-5 PE=1 SV=2
+MAACTMSVCSSACSDSWRVDDCPESCCEPPCGTAPCLTLVCTPVSCVSSPCCQAACEPSPCQSGCTSSCTPSCCQPACCASSPCQQACCVPVCCKPVCCLPTCSKDSSSCCQQSSCQPTCCASSSCQQSCCVPVCCKPVCCVPTCSEDSSSCCQHSSCQPTCCTSSPCQQSCYVPVCCKPVCFKPICCVPVCSGASTSCCQQSSCQPACCTTSCCRPSSSVSLLCRPICRPACCVPISSCCAPASSYQASCCRPASCVSLLCRPACSRLAC
+>DECOY_sp|P60370|KR105_HUMAN Keratin-associated protein 10-5 OS=Homo sapiens OX=9606 GN=KRTAP10-5 PE=1 SV=2
+CALRSCAPRCLLSVCSAPRCCSAQYSSAPACCSSIPVCCAPRCIPRCLLSVSSSPRCCSTTCCAPQCSSQQCCSTSAGSCVPVCCIPKFCVPKCCVPVYCSQQCPSSTCCTPQCSSHQCCSSSDESCTPVCCVPKCCVPVCCSQQCSSSACCTPQCSSQQCCSSSDKSCTPLCCVPKCCVPVCCAQQCPSSACCAPQCCSPTCSSTCGSQCPSPECAAQCCPSSVCSVPTCVLTLCPATGCPPECCSEPCDDVRWSDSCASSCVSMTCAAM
+>sp|P60411|KR109_HUMAN Keratin-associated protein 10-9 OS=Homo sapiens OX=9606 GN=KRTAP10-9 PE=1 SV=2
+MAASTMSIRSSAYSDSWQVDDCPESCCEPPCCATSCCAPAPCLTLVCTPVSRVSSPCCQVTCEPSPCQSGCTSSCTPSCCQQSSCQPAYCTSSPCQQACCVPVCCKPVCCVPVCCGASSCCQQSSYQPACCASSSCQPACCVPVCCKPVCCAPTCSEDSYSCCQQSSCQPACCTSSPCQQSYCVPVCCKPVCCKPICCVPVCSGASSLCCQQSGCQPACCTTSCCRPSSSVSLLCRPVCRPACCVPVSSCCAPTSSRQPSYCRQASCVSLLCRPVCSRPACYSFSSGQKSSC
+>DECOY_sp|P60411|KR109_HUMAN Keratin-associated protein 10-9 OS=Homo sapiens OX=9606 GN=KRTAP10-9 PE=1 SV=2
+CSSKQGSSFSYCAPRSCVPRCLLSVCSAQRCYSPQRSSTPACCSSVPVCCAPRCVPRCLLSVSSSPRCCSTTCCAPQCGSQQCCLSSAGSCVPVCCIPKCCVPKCCVPVCYSQQCPSSTCCAPQCSSQQCCSYSDESCTPACCVPKCCVPVCCAPQCSSSACCAPQYSSQQCCSSAGCCVPVCCVPKCCVPVCCAQQCPSSTCYAPQCSSQQCCSPTCSSTCGSQCPSPECTVQCCPSSVRSVPTCVLTLCPAPACCSTACCPPECCSEPCDDVQWSDSYASSRISMTSAAM
+>sp|Q3LI70|KR196_HUMAN Keratin-associated protein 19-6 OS=Homo sapiens OX=9606 GN=KRTAP19-6 PE=1 SV=2
+MRYYGSYYRGLGYGCGGFGGLGYGCGCGGYRYGSGYGGYRYGCCRPSCREGYGFSGFY
+>DECOY_sp|Q3LI70|KR196_HUMAN Keratin-associated protein 19-6 OS=Homo sapiens OX=9606 GN=KRTAP19-6 PE=1 SV=2
+YFGSFGYGERCSPRCCGYRYGGYGSGYRYGGCGCGYGLGGFGGCGYGLGRYYSGYYRM
+>sp|Q3LI60|KR203_HUMAN Keratin-associated protein 20-3 OS=Homo sapiens OX=9606 GN=KRTAP20-3 PE=3 SV=1
+MSYYGNYYGGLGYGYDCKYSYTSGFGAFRILDCGYRCGCGGVWI
+>DECOY_sp|Q3LI60|KR203_HUMAN Keratin-associated protein 20-3 OS=Homo sapiens OX=9606 GN=KRTAP20-3 PE=3 SV=1
+IWVGGCGCRYGCDLIRFAGFGSTYSYKCDYGYGLGGYYNGYYSM
+>sp|Q3LHN1|KR213_HUMAN Keratin-associated protein 21-3 OS=Homo sapiens OX=9606 GN=KRTAP21-3 PE=3 SV=1
+MYFNYKSVCGSCGFGSCYGCGYGCIHSTHCGCNGYYGCYENKYSVIDDLIFFASKKCH
+>DECOY_sp|Q3LHN1|KR213_HUMAN Keratin-associated protein 21-3 OS=Homo sapiens OX=9606 GN=KRTAP21-3 PE=3 SV=1
+HCKKSAFFILDDIVSYKNEYCGYYGNCGCHTSHICGYGCGYCSGFGCSGCVSKYNFYM
+>sp|Q9BYQ6|KR411_HUMAN Keratin-associated protein 4-11 OS=Homo sapiens OX=9606 GN=KRTAP4-11 PE=1 SV=2
+MVNSCCGSVCSHQGCGRDLCQETCCRPSCCETTCCRTTYCRPSCCVSSCCRPQCCQSVCCQPTCCRPRCCISSCCRPSCCVSSCCKPQCCQSMCCQPTCCRPRCCISSCCRPSCCVSSCCRPQCCQSVCCQPTCCHPSCSISSCCRPSCCESSCCRPCCCLRPVCGRVSCHTTCYRPTCVISSCPRPLCCASSCC
+>DECOY_sp|Q9BYQ6|KR411_HUMAN Keratin-associated protein 4-11 OS=Homo sapiens OX=9606 GN=KRTAP4-11 PE=1 SV=2
+CCSSACCLPRPCSSIVCTPRYCTTHCSVRGCVPRLCCCPRCCSSECCSPRCCSSISCSPHCCTPQCCVSQCCQPRCCSSVCCSPRCCSSICCRPRCCTPQCCMSQCCQPKCCSSVCCSPRCCSSICCRPRCCTPQCCVSQCCQPRCCSSVCCSPRCYTTRCCTTECCSPRCCTEQCLDRGCGQHSCVSGCCSNVM
+>sp|Q6L8G4|KR511_HUMAN Keratin-associated protein 5-11 OS=Homo sapiens OX=9606 GN=KRTAP5-11 PE=1 SV=1
+MGCCGCSGGCGSGCGGCGSGSGGCGSGCGGCGSSCCVPICCCKPVCCCVPACSCSSCGSCGGSKGGCGSCGSSKGGCGSCGCSQSNCCKPCCSSSGCGSFCCQSSCSKPCCCQSSCCQSSCCKPCCCQSSCCQSSCFKPCCCQSSCCVPVCCQCKI
+>DECOY_sp|Q6L8G4|KR511_HUMAN Keratin-associated protein 5-11 OS=Homo sapiens OX=9606 GN=KRTAP5-11 PE=1 SV=1
+IKCQCCVPVCCSSQCCCPKFCSSQCCSSQCCCPKCCSSQCCSSQCCCPKSCSSQCCFSGCGSSSCCPKCCNSQSCGCSGCGGKSSGCSGCGGKSGGCSGCSSCSCAPVCCCVPKCCCIPVCCSSGCGGCGSGCGGSGSGCGGCGSGCGGSCGCCGM
+>sp|P0C5Y4|KRA14_HUMAN Keratin-associated protein 1-4 OS=Homo sapiens OX=9606 GN=KRTAP1-4 PE=2 SV=1
+MASCSTSGTCGSSCCQPSCCETSCCQPSCCQTSSCGTGCGIGGGIGYGQEGSGGSVSTRIRWCHPDCHVEGTCLPPCYLVSCTPPSCCQLHHAEASCCRPSYCGQSCCRPACCCHCCEPTC
+>DECOY_sp|P0C5Y4|KRA14_HUMAN Keratin-associated protein 1-4 OS=Homo sapiens OX=9606 GN=KRTAP1-4 PE=2 SV=1
+CTPECCHCCCAPRCCSQGCYSPRCCSAEAHHLQCCSPPTCSVLYCPPLCTGEVHCDPHCWRIRTSVSGGSGEQGYGIGGGIGCGTGCSSTQCCSPQCCSTECCSPQCCSSGCTGSTSCSAM
+>sp|Q9BYS1|KRA15_HUMAN Keratin-associated protein 1-5 OS=Homo sapiens OX=9606 GN=KRTAP1-5 PE=1 SV=1
+MTCCQTSFCGYPSFSISGTCGSSCCQPSCCETSCCQPRSCQTSFCGFPSFSTSGTCSSSCCQPSCCETSCCQPSCCETSCCQPSCCQISSCGTGCGIGGGISYGQEGSSGAVSTRIRWCRPDSRVEGTYLPPCCVVSCTPPSCCQLHHAQASCCRPSYCGQSCCRPVCCCEPTC
+>DECOY_sp|Q9BYS1|KRA15_HUMAN Keratin-associated protein 1-5 OS=Homo sapiens OX=9606 GN=KRTAP1-5 PE=1 SV=1
+CTPECCCVPRCCSQGCYSPRCCSAQAHHLQCCSPPTCSVVCCPPLYTGEVRSDPRCWRIRTSVAGSSGEQGYSIGGGIGCGTGCSSIQCCSPQCCSTECCSPQCCSTECCSPQCCSSSCTGSTSFSPFGCFSTQCSRPQCCSTECCSPQCCSSGCTGSISFSPYGCFSTQCCTM
+>sp|Q9BYR7|KRA32_HUMAN Keratin-associated protein 3-2 OS=Homo sapiens OX=9606 GN=KRTAP3-2 PE=1 SV=1
+MDCCASRSCSVPTGPATTICSSDKSCRCGVCLPSTCPHTVWLLEPICCDNCPPPCHIPQPCVPTCFLLNSCQPTPGLETLNLTTFTQPCCEPCLPRGC
+>DECOY_sp|Q9BYR7|KRA32_HUMAN Keratin-associated protein 3-2 OS=Homo sapiens OX=9606 GN=KRTAP3-2 PE=1 SV=1
+CGRPLCPECCPQTFTTLNLTELGPTPQCSNLLFCTPVCPQPIHCPPPCNDCCIPELLWVTHPCTSPLCVGCRCSKDSSCITTAPGTPVSCSRSACCDM
+>sp|A8MXZ3|KRA91_HUMAN Keratin-associated protein 9-1 OS=Homo sapiens OX=9606 GN=KRTAP9-1 PE=3 SV=1
+MTHCCSPCCQPTCCRTTCCRTTCWKPTTVTTCSSTPCCQPSCCVPSCCQPCCHPTCCQNTCCRTTCCQPTCVASCCQPSCCSTPCCQPTCCGSSCCGQTSCGSSCCQPICGSSCCQPCCHPTCYQTICFRTTCCQPTCCQPTCCRNTSCQPTCCGSSCCQPCCHPTCCQTICRSTCCQPSCVTRCCSTPCCQPTCGGSSCCSQTCNESSYCLPCCRPTCCQTTCYRTTCCRPSCCCSPCCVSSCCQPSCC
+>DECOY_sp|A8MXZ3|KRA91_HUMAN Keratin-associated protein 9-1 OS=Homo sapiens OX=9606 GN=KRTAP9-1 PE=3 SV=1
+CCSPQCCSSVCCPSCCCSPRCCTTRYCTTQCCTPRCCPLCYSSENCTQSCCSSGGCTPQCCPTSCCRTVCSPQCCTSRCITQCCTPHCCPQCCSSGCCTPQCSTNRCCTPQCCTPQCCTTRFCITQYCTPHCCPQCCSSGCIPQCCSSGCSTQGCCSSGCCTPQCCPTSCCSPQCCSAVCTPQCCTTRCCTNQCCTPHCCPQCCSPVCCSPQCCPTSSCTTVTTPKWCTTRCCTTRCCTPQCCPSCCHTM
+>sp|Q9BYQ4|KRA92_HUMAN Keratin-associated protein 9-2 OS=Homo sapiens OX=9606 GN=KRTAP9-2 PE=1 SV=2
+MTHCCSPCCQPTCCRTTCCRTTCWKPTTVTTCSSTPCCQPACCVSSCCQPCCRPTCCQNTCCRTTCCQPTCVTSCCQPSCCSTPCCQPTCCGSSCCGQTSCGSSCGQSSSCAPVYCRRTCYYPTTVCLPGCLNQSCGSNCCQPCCRPACCETTCCRTTCFQPTCVSSCCQPSCC
+>DECOY_sp|Q9BYQ4|KRA92_HUMAN Keratin-associated protein 9-2 OS=Homo sapiens OX=9606 GN=KRTAP9-2 PE=1 SV=2
+CCSPQCCSSVCTPQFCTTRCCTTECCAPRCCPQCCNSGCSQNLCGPLCVTTPYYCTRRCYVPACSSSQGCSSGCSTQGCCSSGCCTPQCCPTSCCSPQCCSTVCTPQCCTTRCCTNQCCTPRCCPQCCSSVCCAPQCCPTSSCTTVTTPKWCTTRCCTTRCCTPQCCPSCCHTM
+>sp|A5PL33|KRBA1_HUMAN Protein KRBA1 OS=Homo sapiens OX=9606 GN=KRBA1 PE=1 SV=3
+MRENYETLVSVGTAELLPLSAFLSPSEPGRAVGGGSHADEGQEPAGCGDPQGGQPRHSLHLTALVQLVKEIPEFLFGEVKGAMDSPESESRGASLDGERASPEAAAAREPCPLRGLLSCLPDGPTSQPHLATTPTDSSCSSGPTGDGVQGSPLPIKTADKPWPTRKEGPGALGGEPSPPTHSPSRRKSHRGQERGTSEAGISPGNSPLQGLINCLKEILVPGPRHPETSPSFLPPLPSLGTSRLTRADLGPGSPPWAVKTEAVSGDCPLQGLLHCLKELPEAQDRHPSPSGVGNRRLQENPGAWKRGSGGPGYLLTPPPHPDLGAGGLLSVKMENSWVQSPPGPASCQPGRQPLSPSATGDTRGVPQPSWGPEAQAASASSSPLEALEACLKGIPPNGSSPSQLPPTSCSQNPQPGDSRSQKPELQPHRSHSEEATREPVLPLGLQSCVRDGPSRPLAPRGTPTSFSSSSSTDWDLDFGSPVGNQGQHPGKGSPPGSSPLQGLENCLKEIPVPVLRPAWPCSSAADRGPRRAEPRNWTADKEGLRAEACESARLGQGRGEAPTRSLHLVSPQVFTSSCVPACHQRGFKDPGATRPGVWRWLPEGSAPKPSPLHCLESALRGILPVRPLRFACVGGPSPSPSPGSSSSFSGSEGEDPRPEPDLWKPLPQERDRLPSCKPPVPLSPCPGGTPAGSSGGSPGEDPRRTEPRYCSGLGAGTAQDPCPVSQLEKRPRVSEASRGLELGHGRPRVAAKTHERLLPQGPPELPSESPPPELPPPEAAPPVLPASSLQPPCHCGKPLQQELHSLGAALAEKLDRLATALAGLAQEVATMRTQVNRLGRRPQGPGPMGQASWMWTLPRGPRWAHGPGHRHLPYWRQKGPTRPKPKILRGQGESCRAGDLQGLSRGTARRARPLPPDAPPAEPPGLHCSSSQQLLSSTPSCHAAPPAHPLLAHTGGHQSPLPPLVPAALPLQGASPPAASADADVPTSGVAPDGIPERPKEPSSLLGGVQRALQEELWGGEHRDPRWGAH
+>DECOY_sp|A5PL33|KRBA1_HUMAN Protein KRBA1 OS=Homo sapiens OX=9606 GN=KRBA1 PE=1 SV=3
+HAGWRPDRHEGGWLEEQLARQVGGLLSSPEKPREPIGDPAVGSTPVDADASAAPPSAGQLPLAAPVLPPLPSQHGGTHALLPHAPPAAHCSPTSSLLQQSSSCHLGPPEAPPADPPLPRARRATGRSLGQLDGARCSEGQGRLIKPKPRTPGKQRWYPLHRHGPGHAWRPGRPLTWMWSAQGMPGPGQPRRGLRNVQTRMTAVEQALGALATALRDLKEALAAGLSHLEQQLPKGCHCPPQLSSAPLVPPAAEPPPLEPPPSESPLEPPGQPLLREHTKAAVRPRGHGLELGRSAESVRPRKELQSVPCPDQATGAGLGSCYRPETRRPDEGPSGGSSGAPTGGPCPSLPVPPKCSPLRDREQPLPKWLDPEPRPDEGESGSFSSSSGPSPSPSPGGVCAFRLPRVPLIGRLASELCHLPSPKPASGEPLWRWVGPRTAGPDKFGRQHCAPVCSSTFVQPSVLHLSRTPAEGRGQGLRASECAEARLGEKDATWNRPEARRPGRDAASSCPWAPRLVPVPIEKLCNELGQLPSSGPPSGKGPHQGQNGVPSGFDLDWDTSSSSSFSTPTGRPALPRSPGDRVCSQLGLPLVPERTAEESHSRHPQLEPKQSRSDGPQPNQSCSTPPLQSPSSGNPPIGKLCAELAELPSSSASAAQAEPGWSPQPVGRTDGTASPSLPQRGPQCSAPGPPSQVWSNEMKVSLLGGAGLDPHPPPTLLYGPGGSGRKWAGPNEQLRRNGVGSPSPHRDQAEPLEKLCHLLGQLPCDGSVAETKVAWPPSGPGLDARTLRSTGLSPLPPLFSPSTEPHRPGPVLIEKLCNILGQLPSNGPSIGAESTGREQGRHSKRRSPSHTPPSPEGGLAGPGEKRTPWPKDATKIPLPSGQVGDGTPGSSCSSDTPTTALHPQSTPGDPLCSLLGRLPCPERAAAAEPSAREGDLSAGRSESEPSDMAGKVEGFLFEPIEKVLQVLATLHLSHRPQGGQPDGCGAPEQGEDAHSGGGVARGPESPSLFASLPLLEATGVSVLTEYNERM
+>sp|Q96MU8|KREM1_HUMAN Kremen protein 1 OS=Homo sapiens OX=9606 GN=KREMEN1 PE=1 SV=3
+MAPPAARLALLSAAALTLAARPAPSPGLGPECFTANGADYRGTQNWTALQGGKPCLFWNETFQHPYNTLKYPNGEGGLGEHNYCRNPDGDVSPWCYVAEHEDGVYWKYCEIPACQMPGNLGCYKDHGNPPPLTGTSKTSNKLTIQTCISFCRSQRFKFAGMESGYACFCGNNPDYWKYGEAASTECNSVCFGDHTQPCGGDGRIILFDTLVGACGGNYSAMSSVVYSPDFPDTYATGRVCYWTIRVPGASHIHFSFPLFDIRDSADMVELLDGYTHRVLARFHGRSRPPLSFNVSLDFVILYFFSDRINQAQGFAVLYQAVKEELPQERPAVNQTVAEVITEQANLSVSAARSSKVLYVITTSPSHPPQTVPGSNSWAPPMGAGSHRVEGWTVYGLATLLILTVTAIVAKILLHVTFKSHRVPASGDLRDCHQPGTSGEIWSIFYKPSTSISIFKKKLKGQSQQDDRNPLVSD
+>DECOY_sp|Q96MU8|KREM1_HUMAN Kremen protein 1 OS=Homo sapiens OX=9606 GN=KREMEN1 PE=1 SV=3
+DSVLPNRDDQQSQGKLKKKFISISTSPKYFISWIEGSTGPQHCDRLDGSAPVRHSKFTVHLLIKAVIATVTLILLTALGYVTWGEVRHSGAGMPPAWSNSGPVTQPPHSPSTTIVYLVKSSRAASVSLNAQETIVEAVTQNVAPREQPLEEKVAQYLVAFGQAQNIRDSFFYLIVFDLSVNFSLPPRSRGHFRALVRHTYGDLLEVMDASDRIDFLPFSFHIHSAGPVRITWYCVRGTAYTDPFDPSYVVSSMASYNGGCAGVLTDFLIIRGDGGCPQTHDGFCVSNCETSAAEGYKWYDPNNGCFCAYGSEMGAFKFRQSRCFSICTQITLKNSTKSTGTLPPPNGHDKYCGLNGPMQCAPIECYKWYVGDEHEAVYCWPSVDGDPNRCYNHEGLGGEGNPYKLTNYPHQFTENWFLCPKGGQLATWNQTGRYDAGNATFCEPGLGPSPAPRAALTLAAASLLALRAAPPAM
+>sp|A0A0C4DH69|KV109_HUMAN Immunoglobulin kappa variable 1-9 OS=Homo sapiens OX=9606 GN=IGKV1-9 PE=3 SV=1
+MDMRVPAQLLGLLLLWLPGARCDIQLTQSPSFLSASVGDRVTITCRASQGISSYLAWYQQKPGKAPKLLIYAASTLQSGVPSRFSGSGSGTEFTLTISSLQPEDFATYYCQQLNSYP
+>DECOY_sp|A0A0C4DH69|KV109_HUMAN Immunoglobulin kappa variable 1-9 OS=Homo sapiens OX=9606 GN=IGKV1-9 PE=3 SV=1
+PYSNLQQCYYTAFDEPQLSSITLTFETGSGSGSFRSPVGSQLTSAAYILLKPAKGPKQQYWALYSSIGQSARCTITVRDGVSASLFSPSQTLQIDCRAGPLWLLLLGLLQAPVRMDM
+>sp|A0A075B6S5|KV127_HUMAN Immunoglobulin kappa variable 1-27 OS=Homo sapiens OX=9606 GN=IGKV1-27 PE=3 SV=1
+MDMRVPAQLLGLLLLWLPDTRCDIQMTQSPSSLSASVGDRVTITCRASQGISNYLAWYQQKPGKVPKLLIYAASTLQSGVPSRFSGSGSGTDFTLTISSLQPEDVATYYCQKYNSAP
+>DECOY_sp|A0A075B6S5|KV127_HUMAN Immunoglobulin kappa variable 1-27 OS=Homo sapiens OX=9606 GN=IGKV1-27 PE=3 SV=1
+PASNYKQCYYTAVDEPQLSSITLTFDTGSGSGSFRSPVGSQLTSAAYILLKPVKGPKQQYWALYNSIGQSARCTITVRDGVSASLSSPSQTMQIDCRTDPLWLLLLGLLQAPVRMDM
+>sp|A0A087WW87|KV240_HUMAN Immunoglobulin kappa variable 2-40 OS=Homo sapiens OX=9606 GN=IGKV2-40 PE=3 SV=2
+MRLPAQLLGLLMLWVPGSSEDIVMTQTPLSLPVTPGEPASISCRSSQSLLDSDDGNTYLDWYLQKPGQSPQLLIYTLSYRASGVPDRFSGSGSGTDFTLKISRVEAEDVGVYYCMQRIEFP
+>DECOY_sp|A0A087WW87|KV240_HUMAN Immunoglobulin kappa variable 2-40 OS=Homo sapiens OX=9606 GN=IGKV2-40 PE=3 SV=2
+PFEIRQMCYYVGVDEAEVRSIKLTFDTGSGSGSFRDPVGSARYSLTYILLQPSQGPKQLYWDLYTNGDDSDLLSQSSRCSISAPEGPTVPLSLPTQTMVIDESSGPVWLMLLGLLQAPLRM
+>sp|P01624|KV315_HUMAN Immunoglobulin kappa variable 3-15 OS=Homo sapiens OX=9606 GN=IGKV3-15 PE=1 SV=2
+MEAPAQLLFLLLLWLPDTTGEIVMTQSPATLSVSPGERATLSCRASQSVSSNLAWYQQKPGQAPRLLIYGASTRATGIPARFSGSGSGTEFTLTISSLQSEDFAVYYCQQYNNWP
+>DECOY_sp|P01624|KV315_HUMAN Immunoglobulin kappa variable 3-15 OS=Homo sapiens OX=9606 GN=IGKV3-15 PE=1 SV=2
+PWNNYQQCYYVAFDESQLSSITLTFETGSGSGSFRAPIGTARTSAGYILLRPAQGPKQQYWALNSSVSQSARCSLTAREGPSVSLTAPSQTMVIEGTTDPLWLLLLFLLQAPAEM
+>sp|P01619|KV320_HUMAN Immunoglobulin kappa variable 3-20 OS=Homo sapiens OX=9606 GN=IGKV3-20 PE=1 SV=2
+METPAQLLFLLLLWLPDTTGEIVLTQSPGTLSLSPGERATLSCRASQSVSSSYLAWYQQKPGQAPRLLIYGASSRATGIPDRFSGSGSGTDFTLTISRLEPEDFAVYYCQQYGSSP
+>DECOY_sp|P01619|KV320_HUMAN Immunoglobulin kappa variable 3-20 OS=Homo sapiens OX=9606 GN=IGKV3-20 PE=1 SV=2
+PSSGYQQCYYVAFDEPELRSITLTFDTGSGSGSFRDPIGTARSSAGYILLRPAQGPKQQYWALYSSSVSQSARCSLTAREGPSLSLTGPSQTLVIEGTTDPLWLLLLFLLQAPTEM
+>sp|P06312|KV401_HUMAN Immunoglobulin kappa variable 4-1 OS=Homo sapiens OX=9606 GN=IGKV4-1 PE=1 SV=1
+MVLQTQVFISLLLWISGAYGDIVMTQSPDSLAVSLGERATINCKSSQSVLYSSNNKNYLAWYQQKPGQPPKLLIYWASTRESGVPDRFSGSGSGTDFTLTISSLQAEDVAVYYCQQYYSTP
+>DECOY_sp|P06312|KV401_HUMAN Immunoglobulin kappa variable 4-1 OS=Homo sapiens OX=9606 GN=IGKV4-1 PE=1 SV=1
+PTSYYQQCYYVAVDEAQLSSITLTFDTGSGSGSFRDPVGSERTSAWYILLKPPQGPKQQYWALYNKNNSSYLVSQSSKCNITAREGLSVALSDPSQTMVIDGYAGSIWLLLSIFVQTQLVM
+>sp|A0A0C4DH55|KVD07_HUMAN Immunoglobulin kappa variable 3D-7 OS=Homo sapiens OX=9606 GN=IGKV3D-7 PE=3 SV=5
+MEPWKPQHSFFFLLLLWLPDTTGEIVMTQSPATLSLSPGERATLSCRASQSVSSSYLSWYQQKPGQAPRLLIYGASTRATGIPARFSGSGSGTDFTLTISSLQPEDFAVYYCQQDYNLP
+>DECOY_sp|A0A0C4DH55|KVD07_HUMAN Immunoglobulin kappa variable 3D-7 OS=Homo sapiens OX=9606 GN=IGKV3D-7 PE=3 SV=5
+PLNYDQQCYYVAFDEPQLSSITLTFDTGSGSGSFRAPIGTARTSAGYILLRPAQGPKQQYWSLYSSSVSQSARCSLTAREGPSLSLTAPSQTMVIEGTTDPLWLLLLFFFSHQPKWPEM
+>sp|A0A087WSY6|KVD15_HUMAN Immunoglobulin kappa variable 3D-15 OS=Homo sapiens OX=9606 GN=IGKV3D-15 PE=3 SV=6
+MEAPAQLLFLLLLWLPDTTGEIVMTQSPATLSVSPGERATLSCRASQSVSSNLAWYQQKPGQAPRLLIYGASIRATGIPARFSGSGSGTEFTLTISILQSEDFAVYYCQQYNNWP
+>DECOY_sp|A0A087WSY6|KVD15_HUMAN Immunoglobulin kappa variable 3D-15 OS=Homo sapiens OX=9606 GN=IGKV3D-15 PE=3 SV=6
+PWNNYQQCYYVAFDESQLISITLTFETGSGSGSFRAPIGTARISAGYILLRPAQGPKQQYWALNSSVSQSARCSLTAREGPSVSLTAPSQTMVIEGTTDPLWLLLLFLLQAPAEM
+>sp|A0A075B6S4|KVD17_HUMAN Immunoglobulin kappa variable 1D-17 OS=Homo sapiens OX=9606 GN=IGKV1D-17 PE=3 SV=1
+MDMRVPAQLLGLLLLWFPGARCNIQMTQSPSAMSASVGDRVTITCRARQGISNYLAWFQQKPGKVPKHLIYAASSLQSGVPSRFSGSGSGTEFTLTISSLQPEDFATYYCLQHNSYP
+>DECOY_sp|A0A075B6S4|KVD17_HUMAN Immunoglobulin kappa variable 1D-17 OS=Homo sapiens OX=9606 GN=IGKV1D-17 PE=3 SV=1
+PYSNHQLCYYTAFDEPQLSSITLTFETGSGSGSFRSPVGSQLSSAAYILHKPVKGPKQQFWALYNSIGQRARCTITVRDGVSASMASPSQTMQINCRAGPFWLLLLGLLQAPVRMDM
+>sp|A0A0B4J1Z2|KVD43_HUMAN Immunoglobulin kappa variable 1D-43 OS=Homo sapiens OX=9606 GN=IGKV1D-43 PE=3 SV=1
+MDMRVPAQRLGLLLLWFPGARCAIRMTQSPFSLSASVGDRVTITCWASQGISSYLAWYQQKPAKAPKLFIYYASSLQSGVPSRFSGSGSGTDYTLTISSLQPEDFATYYCQQYYSTP
+>DECOY_sp|A0A0B4J1Z2|KVD43_HUMAN Immunoglobulin kappa variable 1D-43 OS=Homo sapiens OX=9606 GN=IGKV1D-43 PE=3 SV=1
+PTSYYQQCYYTAFDEPQLSSITLTYDTGSGSGSFRSPVGSQLSSAYYIFLKPAKAPKQQYWALYSSIGQSAWCTITVRDGVSASLSFPSQTMRIACRAGPFWLLLLGLRQAPVRMDM
+>sp|Q8IV20|LACC1_HUMAN Laccase domain-containing protein 1 OS=Homo sapiens OX=9606 GN=LACC1 PE=1 SV=1
+MAEAVLIDLFGLKLNSQKNCHQTLLKTLNAVQYHHAAKAKFLCIMCCSNISYERDGEQDNCEIETSNGLSALLEEFEIVSCPSMAATLYTIKQKIDEKNLSSIKVIVPRHRKTLMKAFIDQLFTDVYNFEFEDLQVTFRGGLFKQSIEINVITAQELRGIQNEIETFLRSLPALRGKLTIITSSLIPDIFIHGFTTRTGGISYIPTLSSFNLFSSSKRRDPKVVVQENLRRLANAAGFNVEKFYRIKTHHSNDIWIMGRKEPDSYDGITTNQRGVTIAALGADCIPIVFADPVKKACGVAHAGWKGTLLGVAMATVNAMIAEYGCSLEDIVVVLGPSVGPCCFTLPRESAEAFHNLHPACVQLFDSPNPCIDIRKATRILLEQGGILPQNIQDQNQDLNLCTSCHPDKFFSHVRDGLNFGTQIGFISIKE
+>DECOY_sp|Q8IV20|LACC1_HUMAN Laccase domain-containing protein 1 OS=Homo sapiens OX=9606 GN=LACC1 PE=1 SV=1
+EKISIFGIQTGFNLGDRVHSFFKDPHCSTCLNLDQNQDQINQPLIGGQELLIRTAKRIDICPNPSDFLQVCAPHLNHFAEASERPLTFCCPGVSPGLVVVIDELSCGYEAIMANVTAMAVGLLTGKWGAHAVGCAKKVPDAFVIPICDAGLAAITVGRQNTTIGDYSDPEKRGMIWIDNSHHTKIRYFKEVNFGAANALRRLNEQVVVKPDRRKSSSFLNFSSLTPIYSIGGTRTTFGHIFIDPILSSTIITLKGRLAPLSRLFTEIENQIGRLEQATIVNIEISQKFLGGRFTVQLDEFEFNYVDTFLQDIFAKMLTKRHRPVIVKISSLNKEDIKQKITYLTAAMSPCSVIEFEELLASLGNSTEIECNDQEGDREYSINSCCMICLFKAKAAHHYQVANLTKLLTQHCNKQSNLKLGFLDILVAEAM
+>sp|Q14657|LAGE3_HUMAN EKC/KEOPS complex subunit LAGE3 OS=Homo sapiens OX=9606 GN=LAGE3 PE=1 SV=2
+MRDADADAGGGADGGDGRGGHSCRGGVDTAAAPAGGAPPAHAPGPGRDAASAARGSRMRPHIFTLSVPFPTPLEAEIAHGSLAPDAEPHQRVVGKDLTVSGRILVVRWKAEDCRLLRISVINFLDQLSLVVRTMQRFGPPVSR
+>DECOY_sp|Q14657|LAGE3_HUMAN EKC/KEOPS complex subunit LAGE3 OS=Homo sapiens OX=9606 GN=LAGE3 PE=1 SV=2
+RSVPPGFRQMTRVVLSLQDLFNIVSIRLLRCDEAKWRVVLIRGSVTLDKGVVRQHPEADPALSGHAIEAELPTPFPVSLTFIHPRMRSGRAASAADRGPGPAHAPPAGGAPAAATDVGGRCSHGGRGDGGDAGGGADADADRM
+>sp|P25391|LAMA1_HUMAN Laminin subunit alpha-1 OS=Homo sapiens OX=9606 GN=LAMA1 PE=1 SV=2
+MRGGVLLVLLLCVAAQCRQRGLFPAILNLASNAHISTNATCGEKGPEMFCKLVEHVPGRPVRNPQCRICDGNSANPRERHPISHAIDGTNNWWQSPSIQNGREYHWVTITLDLRQVFQVAYVIIKAANAPRPGNWILERSLDGTTFSPWQYYAVSDSECLSRYNITPRRGPPTYRADDEVICTSYYSRLVPLEHGEIHTSLINGRPSADDLSPKLLEFTSARYIRLRLQRIRTLNADLMTLSHREPKELDPIVTRRYYYSIKDISVGGMCICYGHASSCPWDETTKKLQCQCEHNTCGESCNRCCPGYHQQPWRPGTVSSGNTCEACNCHNKAKDCYYDESVAKQKKSLNTAGQFRGGGVCINCLQNTMGINCETCIDGYYRPHKVSPYEDEPCRPCNCDPVGSLSSVCIKDDLHSDLHNGKQPGQCPCKEGYTGEKCDRCQLGYKDYPTCVSCGCNPVGSASDEPCTGPCVCKENVEGKACDRCKPGFYNLKEKNPRGCSECFCFGVSDVCSSLSWPVGQVNSMSGWLVTDLISPRKIPSQQDALGGRHQVSINNTAVMQRLAPKYYWAAPEAYLGNKLTAFGGFLKYTVSYDIPVETVDSNLMSHADVIIKGNGLTLSTQAEGLSLQPYEEYLNVVRLVPENFQDFHSKRQIDRDQLMTVLANVTHLLIRANYNSAKMALYRLESVSLDIASSNAIDLVVAADVEHCECPQGYTGTSCESCLSGYYRVDGILFGGICQPCECHGHAAECNVHGVCIACAHNTTGVHCEQCLPGFYGEPSRGTPGDCQPCACPLTIASNNFSPTCHLNDGDEVVCDWCAPGYSGAWCERCADGYYGNPTVPGESCVPCDCSGNVDPSEAGHCDSVTGECLKCLGNTDGAHCERCADGFYGDAVTAKNCRACECHVKGSHSAVCHLETGLCDCKPNVTGQQCDQCLHGYYGLDSGHGCRPCNCSVAGSVSDGCTDEGQCHCVPGVAGKRCDRCAHGFYAYQDGSCTPCDCPHTQNTCDPETGECVCPPHTQGVKCEECEDGHWGYDAEVGCQACNCSLVGSTHHRCDVVTGHCQCKSKFGGRACDQCSLGYRDFPDCVPCDCDLRGTSGDACNLEQGLCGCVEETGACPCKENVFGPQCNECREGTFALRADNPLGCSPCFCSGLSHLCSELEDYVRTPVTLGSDQPLLRVVSQSNLRGTTEGVYYQAPDFLLDAATVRQHIRAEPFYWRLPQQFQGDQLMAYGGKLKYSVAFYSLDGVGTSNFEPQVLIKGGRIRKQVIYMDAPAPENGVRQEQEVAMRENFWKYFNSVSEKPVTREDFMSVLSDIEYILIKASYGQGLQQSRISDISMEVGRKAEKLHPEEEVASLLENCVCPPGTVGFSCQDCAPGYHRGKLPAGSDRGPRPLVAPCVPCSCNNHSDTCDPNTGKCLNCGDNTAGDHCDVCTSGYYGKVTGSASDCALCACPHSPPASFSPTCVLEGDHDFRCDACLLGYEGKHCERCSSSYYGNPQTPGGSCQKCDCNPHGSVHGDCDRTSGQCVCRLGASGLRCDECEPRHILMETDCVSCDDECVGVLLNDLDEIGDAVLSLNLTGIIPVPYGILSNLENTTKYLQESLLKENMQKDLGKIKLEGVAEETDNLQKKLTRMLASTQKVNRATERIFKESQDLAIAIERLQMSITEIMEKTTLNQTLDEDFLLPNSTLQNMQQNGTSLLEIMQIRDFTQLHQNATLELKAAEDLLSQIQENYQKPLEELEVLKEAASHVLSKHNNELKAAEALVREAEAKMQESNHLLLMVNANLREFSDKKLHVQEEQNLTSELIVQGRGLIDAAAAQTDAVQDALEHLEDHQDKLLLWSAKIRHHIDDLVMHMSQRNAVDLVYRAEDHAAEFQRLADVLYSGLENIRNVSLNATSAAYVHYNIQSLIEESEELARDAHRTVTETSLLSESLVSNGKAAVQRSSRFLKEGNNLSRKLPGIALELSELRNKTNRFQENAVEITRQTNESLLILRAIPKGIRDKGAKTKELATSASQSAVSTLRDVAGLSQELLNTSASLSRVNTTLRETHQLLQDSTMATLLAGRKVKDVEIQANLLFDRLKPLKMLEENLSRNLSEIKLLISQARKQAASIKVAVSADRDCIRAYQPQISSTNYNTLTLNVKTQEPDNLLFYLGSSTASDFLAVEMRRGRVAFLWDLGSGSTRLEFPDFPIDDNRWHSIHVARFGNIGSLSVKEMSSNQKSPTKTSKSPGTANVLDVNNSTLMFVGGLGGQIKKSPAVKVTHFKGCLGEAFLNGKSIGLWNYIEREGKCRGCFGSSQNEDPSFHFDGSGYSVVEKSLPATVTQIIMLFNTFSPNGLLLYLGSYGTKDFLSIELFRGRVKVMTDLGSGPITLLTDRRYNNGTWYKIAFQRNRKQGVLAVIDAYNTSNKETKQGETPGASSDLNRLDKDPIYVGGLPRSRVVRRGVTTKSFVGCIKNLEISRSTFDLLRNSYGVRKGCLLEPIRSVSFLKGGYIELPPKSLSPESEWLVTFATTNSSGIILAALGGDVEKRGDREEAHVPFFSVMLIGGNIEVHVNPGDGTGLRKALLHAPTGTCSDGQAHSISLVRNRRIITVQLDENNPVEMKLGTLVESRTINVSNLYVGGIPEGEGTSLLTMRRSFHGCIKNLIFNLELLDFNSAVGHEQVDLDTCWLSERPKLAPDAEDSKLLPEPRAFPEQCVVDAALEYVPGAHQFGLTQNSHFILPFNQSAVRKKLSVELSIRTFASSGLIYYMAHQNQADYAVLQLHGGRLHFMFDLGKGRTKVSHPALLSDGKWHTVKTDYVKRKGFITVDGRESPMVTVVGDGTMLDVEGLFYLGGLPSQYQARKIGNITHSIPACIGDVTVNSKQLDKDSPVSAFTVNRCYAVAQEGTYFDGSGYAALVKEGYKVQSDVNITLEFRTSSQNGVLLGISTAKVDAIGLELVDGKVLFHVNNGAGRITAAYEPKTATVLCDGKWHTLQANKSKHRITLIVDGNAVGAESPHTQSTSVDTNNPIYVGGYPAGVKQKCLRSQTSFRGCLRKLALIKSPQVQSFDFSRAFELHGVFLHSCPGTES
+>DECOY_sp|P25391|LAMA1_HUMAN Laminin subunit alpha-1 OS=Homo sapiens OX=9606 GN=LAMA1 PE=1 SV=2
+SETGPCSHLFVGHLEFARSFDFSQVQPSKILALKRLCGRFSTQSRLCKQKVGAPYGGVYIPNNTDVSTSQTHPSEAGVANGDVILTIRHKSKNAQLTHWKGDCLVTATKPEYAATIRGAGNNVHFLVKGDVLELGIADVKATSIGLLVGNQSSTRFELTINVDSQVKYGEKVLAAYGSGDFYTGEQAVAYCRNVTFASVPSDKDLQKSNVTVDGICAPISHTINGIKRAQYQSPLGGLYFLGEVDLMTGDGVVTVMPSERGDVTIFGKRKVYDTKVTHWKGDSLLAPHSVKTRGKGLDFMFHLRGGHLQLVAYDAQNQHAMYYILGSSAFTRISLEVSLKKRVASQNFPLIFHSNQTLGFQHAGPVYELAADVVCQEPFARPEPLLKSDEADPALKPRESLWCTDLDVQEHGVASNFDLLELNFILNKICGHFSRRMTLLSTGEGEPIGGVYLNSVNITRSEVLTGLKMEVPNNEDLQVTIIRRNRVLSISHAQGDSCTGTPAHLLAKRLGTGDGPNVHVEINGGILMVSFFPVHAEERDGRKEVDGGLAALIIGSSNTTAFTVLWESEPSLSKPPLEIYGGKLFSVSRIPELLCGKRVGYSNRLLDFTSRSIELNKICGVFSKTTVGRRVVRSRPLGGVYIPDKDLRNLDSSAGPTEGQKTEKNSTNYADIVALVGQKRNRQFAIKYWTGNNYRRDTLLTIPGSGLDTMVKVRGRFLEISLFDKTGYSGLYLLLGNPSFTNFLMIIQTVTAPLSKEVVSYGSGDFHFSPDENQSSGFCGRCKGEREIYNWLGISKGNLFAEGLCGKFHTVKVAPSKKIQGGLGGVFMLTSNNVDLVNATGPSKSTKTPSKQNSSMEKVSLSGINGFRAVHISHWRNDDIPFDPFELRTSGSGLDWLFAVRGRRMEVALFDSATSSGLYFLLNDPEQTKVNLTLTNYNTSSIQPQYARICDRDASVAVKISAAQKRAQSILLKIESLNRSLNEELMKLPKLRDFLLNAQIEVDKVKRGALLTAMTSDQLLQHTERLTTNVRSLSASTNLLEQSLGAVDRLTSVASQSASTALEKTKAGKDRIGKPIARLILLSENTQRTIEVANEQFRNTKNRLESLELAIGPLKRSLNNGEKLFRSSRQVAAKGNSVLSESLLSTETVTRHADRALEESEEILSQINYHVYAASTANLSVNRINELGSYLVDALRQFEAAHDEARYVLDVANRQSMHMVLDDIHHRIKASWLLLKDQHDELHELADQVADTQAAAADILGRGQVILESTLNQEEQVHLKKDSFERLNANVMLLLHNSEQMKAEAERVLAEAAKLENNHKSLVHSAAEKLVELEELPKQYNEQIQSLLDEAAKLELTANQHLQTFDRIQMIELLSTGNQQMNQLTSNPLLFDEDLTQNLTTKEMIETISMQLREIAIALDQSEKFIRETARNVKQTSALMRTLKKQLNDTEEAVGELKIKGLDKQMNEKLLSEQLYKTTNELNSLIGYPVPIIGTLNLSLVADGIEDLDNLLVGVCEDDCSVCDTEMLIHRPECEDCRLGSAGLRCVCQGSTRDCDGHVSGHPNCDCKQCSGGPTQPNGYYSSSCRECHKGEYGLLCADCRFDHDGELVCTPSFSAPPSHPCACLACDSASGTVKGYYGSTCVDCHDGATNDGCNLCKGTNPDCTDSHNNCSCPVCPAVLPRPGRDSGAPLKGRHYGPACDQCSFGVTGPPCVCNELLSAVEEEPHLKEAKRGVEMSIDSIRSQQLGQGYSAKILIYEIDSLVSMFDERTVPKESVSNFYKWFNERMAVEQEQRVGNEPAPADMYIVQKRIRGGKILVQPEFNSTGVGDLSYFAVSYKLKGGYAMLQDGQFQQPLRWYFPEARIHQRVTAADLLFDPAQYYVGETTGRLNSQSVVRLLPQDSGLTVPTRVYDELESCLHSLGSCFCPSCGLPNDARLAFTGERCENCQPGFVNEKCPCAGTEEVCGCLGQELNCADGSTGRLDCDCPVCDPFDRYGLSCQDCARGGFKSKCQCHGTVVDCRHHTSGVLSCNCAQCGVEADYGWHGDECEECKVGQTHPPCVCEGTEPDCTNQTHPCDCPTCSGDQYAYFGHACRDCRKGAVGPVCHCQGEDTCGDSVSGAVSCNCPRCGHGSDLGYYGHLCQDCQQGTVNPKCDCLGTELHCVASHSGKVHCECARCNKATVADGYFGDACRECHAGDTNGLCKLCEGTVSDCHGAESPDVNGSCDCPVCSEGPVTPNGYYGDACRECWAGSYGPACWDCVVEDGDNLHCTPSFNNSAITLPCACPQCDGPTGRSPEGYFGPLCQECHVGTTNHACAICVGHVNCEAAHGHCECPQCIGGFLIGDVRYYGSLCSECSTGTYGQPCECHEVDAAVVLDIANSSAIDLSVSELRYLAMKASNYNARILLHTVNALVTMLQDRDIQRKSHFDQFNEPVLRVVNLYEEYPQLSLGEAQTSLTLGNGKIIVDAHSMLNSDVTEVPIDYSVTYKLFGGFATLKNGLYAEPAAWYYKPALRQMVATNNISVQHRGGLADQQSPIKRPSILDTVLWGSMSNVQGVPWSLSSCVDSVGFCFCESCGRPNKEKLNYFGPKCRDCAKGEVNEKCVCPGTCPEDSASGVPNCGCSVCTPYDKYGLQCRDCKEGTYGEKCPCQGPQKGNHLDSHLDDKICVSSLSGVPDCNCPRCPEDEYPSVKHPRYYGDICTECNIGMTNQLCNICVGGGRFQGATNLSKKQKAVSEDYYCDKAKNHCNCAECTNGSSVTGPRWPQQHYGPCCRNCSEGCTNHECQCQLKKTTEDWPCSSAHGYCICMGGVSIDKISYYYRRTVIPDLEKPERHSLTMLDANLTRIRQLRLRIYRASTFELLKPSLDDASPRGNILSTHIEGHELPVLRSYYSTCIVEDDARYTPPGRRPTINYRSLCESDSVAYYQWPSFTTGDLSRELIWNGPRPANAAKIIVYAVQFVQRLDLTITVWHYERGNQISPSQWWNNTGDIAHSIPHRERPNASNGDCIRCQPNRVPRGPVHEVLKCFMEPGKEGCTANTSIHANSALNLIAPFLGRQRCQAAVCLLLVLLVGGRM
+>sp|P24043|LAMA2_HUMAN Laminin subunit alpha-2 OS=Homo sapiens OX=9606 GN=LAMA2 PE=1 SV=4
+MPGAAGVLLLLLLSGGLGGVQAQRPQQQRQSQAHQQRGLFPAVLNLASNALITTNATCGEKGPEMYCKLVEHVPGQPVRNPQCRICNQNSSNPNQRHPITNAIDGKNTWWQSPSIKNGIEYHYVTITLDLQQVFQIAYVIVKAANSPRPGNWILERSLDDVEYKPWQYHAVTDTECLTLYNIYPRTGPPSYAKDDEVICTSFYSKIHPLENGEIHISLINGRPSADDPSPELLEFTSARYIRLRFQRIRTLNADLMMFAHKDPREIDPIVTRRYYYSVKDISVGGMCICYGHARACPLDPATNKSRCECEHNTCGDSCDQCCPGFHQKPWRAGTFLTKTECEACNCHGKAEECYYDENVARRNLSLNIRGKYIGGGVCINCTQNTAGINCETCTDGFFRPKGVSPNYPRPCQPCHCDPIGSLNEVCVKDEKHARRGLAPGSCHCKTGFGGVSCDRCARGYTGYPDCKACNCSGLGSKNEDPCFGPCICKENVEGGDCSRCKSGFFNLQEDNWKGCDECFCSGVSNRCQSSYWTYGKIQDMSGWYLTDLPGRIRVAPQQDDLDSPQQISISNAEARQALPHSYYWSAPAPYLGNKLPAVGGQLTFTISYDLEEEEEDTERVLQLMIILEGNDLSISTAQDEVYLHPSEEHTNVLLLKEESFTIHGTHFPVRRKEFMTVLANLKRVLLQITYSFGMDAIFRLSSVNLESAVSYPTDGSIAAAVEVCQCPPGYTGSSCESCWPRHRRVNGTIFGGICEPCQCFGHAESCDDVTGECLNCKDHTGGPYCDKCLPGFYGEPTKGTSEDCQPCACPLNIPSNNFSPTCHLDRSLGLICDGCPVGYTGPRCERCAEGYFGQPSVPGGSCQPCQCNDNLDFSIPGSCDSLSGSCLICKPGTTGRYCELCADGYFGDAVDAKNCQPCRCNAGGSFSEVCHSQTGQCECRANVQGQRCDKCKAGTFGLQSARGCVPCNCNSFGSKSFDCEESGQCWCQPGVTGKKCDRCAHGYFNFQEGGCTACECSHLGNNCDPKTGRCICPPNTIGEKCSKCAPNTWGHSITTGCKACNCSTVGSLDFQCNVNTGQCNCHPKFSGAKCTECSRGHWNYPRCNLCDCFLPGTDATTCDSETKKCSCSDQTGQCTCKVNVEGIHCDRCRPGKFGLDAKNPLGCSSCYCFGTTTQCSEAKGLIRTWVTLKAEQTILPLVDEALQHTTTKGIVFQHPEIVAHMDLMREDLHLEPFYWKLPEQFEGKKLMAYGGKLKYAIYFEAREETGFSTYNPQVIIRGGTPTHARIIVRHMAAPLIGQLTRHEIEMTEKEWKYYGDDPRVHRTVTREDFLDILYDIHYILIKATYGNFMRQSRISEISMEVAEQGRGTTMTPPADLIEKCDCPLGYSGLSCEACLPGFYRLRSQPGGRTPGPTLGTCVPCQCNGHSSLCDPETSICQNCQHHTAGDFCERCALGYYGIVKGLPNDCQQCACPLISSSNNFSPSCVAEGLDDYRCTACPRGYEGQYCERCAPGYTGSPGNPGGSCQECECDPYGSLPVPCDPVTGFCTCRPGATGRKCDGCKHWHAREGWECVFCGDECTGLLLGDLARLEQMVMSINLTGPLPAPYKMLYGLENMTQELKHLLSPQRAPERLIQLAEGNLNTLVTEMNELLTRATKVTADGEQTGQDAERTNTRAKSLGEFIKELARDAEAVNEKAIKLNETLGTRDEAFERNLEGLQKEIDQMIKELRRKNLETQKEIAEDELVAAEALLKKVKKLFGESRGENEEMEKDLREKLADYKNKVDDAWDLLREATDKIREANRLFAVNQKNMTALEKKKEAVESGKRQIENTLKEGNDILDEANRLADEINSIIDYVEDIQTKLPPMSEELNDKIDDLSQEIKDRKLAEKVSQAESHAAQLNDSSAVLDGILDEAKNISFNATAAFKAYSNIKDYIDEAEKVAKEAKDLAHEATKLATGPRGLLKEDAKGCLQKSFRILNEAKKLANDVKENEDHLNGLKTRIENADARNGDLLRTLNDTLGKLSAIPNDTAAKLQAVKDKARQANDTAKDVLAQITELHQNLDGLKKNYNKLADSVAKTNAVVKDPSKNKIIADADATVKNLEQEADRLIDKLKPIKELEDNLKKNISEIKELINQARKQANSIKVSVSSGGDCIRTYKPEIKKGSYNNIVVNVKTAVADNLLFYLGSAKFIDFLAIEMRKGKVSFLWDVGSGVGRVEYPDLTIDDSYWYRIVASRTGRNGTISVRALDGPKASIVPSTHHSTSPPGYTILDVDANAMLFVGGLTGKLKKADAVRVITFTGCMGETYFDNKPIGLWNFREKEGDCKGCTVSPQVEDSEGTIQFDGEGYALVSRPIRWYPNISTVMFKFRTFSSSALLMYLATRDLRDFMSVELTDGHIKVSYDLGSGMASVVSNQNHNDGKWKSFTLSRIQKQANISIVDIDTNQEENIATSSSGNNFGLDLKADDKIYFGGLPTLRNLSMKARPEVNLKKYSGCLKDIEISRTPYNILSSPDYVGVTKGCSLENVYTVSFPKPGFVELSPVPIDVGTEINLSFSTKNESGIILLGSGGTPAPPRRKRRQTGQAYYAILLNRGRLEVHLSTGARTMRKIVIRPEPNLFHDGREHSVHVERTRGIFTVQVDENRRYMQNLTVEQPIEVKKLFVGGAPPEFQPSPLRNIPPFEGCIWNLVINSVPMDFARPVSFKNADIGRCAHQKLREDEDGAAPAEIVIQPEPVPTPAFPTPTPVLTHGPCAAESEPALLIGSKQFGLSRNSHIAIAFDDTKVKNRLTIELEVRTEAESGLLFYMARINHADFATVQLRNGLPYFSYDLGSGDTHTMIPTKINDGQWHKIKIMRSKQEGILYVDGASNRTISPKKADILDVVGMLYVGGLPINYTTRRIGPVTYSIDGCVRNLHMAEAPADLEQPTSSFHVGTCFANAQRGTYFDGTGFAKAVGGFKVGLDLLVEFEFRTTTTTGVLLGISSQKMDGMGIEMIDEKLMFHVDNGAGRFTAVYDAGVPGHLCDGQWHKVTANKIKHRIELTVDGNQVEAQSPNPASTSADTNDPVFVGGFPDDLKQFGLTTSIPFRGCIRSLKLTKGTGKPLEVNFAKALELRGVQPVSCPAN
+>DECOY_sp|P24043|LAMA2_HUMAN Laminin subunit alpha-2 OS=Homo sapiens OX=9606 GN=LAMA2 PE=1 SV=4
+NAPCSVPQVGRLELAKAFNVELPKGTGKTLKLSRICGRFPISTTLGFQKLDDPFGGVFVPDNTDASTSAPNPSQAEVQNGDVTLEIRHKIKNATVKHWQGDCLHGPVGADYVATFRGAGNDVHFMLKEDIMEIGMGDMKQSSIGLLVGTTTTTRFEFEVLLDLGVKFGGVAKAFGTGDFYTGRQANAFCTGVHFSSTPQELDAPAEAMHLNRVCGDISYTVPGIRRTTYNIPLGGVYLMGVVDLIDAKKPSITRNSAGDVYLIGEQKSRMIKIKHWQGDNIKTPIMTHTDGSGLDYSFYPLGNRLQVTAFDAHNIRAMYFLLGSEAETRVELEITLRNKVKTDDFAIAIHSNRSLGFQKSGILLAPESEAACPGHTLVPTPTPFAPTPVPEPQIVIEAPAAGDEDERLKQHACRGIDANKFSVPRAFDMPVSNIVLNWICGEFPPINRLPSPQFEPPAGGVFLKKVEIPQEVTLNQMYRRNEDVQVTFIGRTREVHVSHERGDHFLNPEPRIVIKRMTRAGTSLHVELRGRNLLIAYYAQGTQRRKRRPPAPTGGSGLLIIGSENKTSFSLNIETGVDIPVPSLEVFGPKPFSVTYVNELSCGKTVGVYDPSSLINYPTRSIEIDKLCGSYKKLNVEPRAKMSLNRLTPLGGFYIKDDAKLDLGFNNGSSSTAINEEQNTDIDVISINAQKQIRSLTFSKWKGDNHNQNSVVSAMGSGLDYSVKIHGDTLEVSMFDRLDRTALYMLLASSSFTRFKFMVTSINPYWRIPRSVLAYGEGDFQITGESDEVQPSVTCGKCDGEKERFNWLGIPKNDFYTEGMCGTFTIVRVADAKKLKGTLGGVFLMANADVDLITYGPPSTSHHTSPVISAKPGDLARVSITGNRGTRSAVIRYWYSDDITLDPYEVRGVGSGVDWLFSVKGKRMEIALFDIFKASGLYFLLNDAVATKVNVVINNYSGKKIEPKYTRICDGGSSVSVKISNAQKRAQNILEKIESINKKLNDELEKIPKLKDILRDAEQELNKVTADADAIIKNKSPDKVVANTKAVSDALKNYNKKLGDLNQHLETIQALVDKATDNAQRAKDKVAQLKAATDNPIASLKGLTDNLTRLLDGNRADANEIRTKLGNLHDENEKVDNALKKAENLIRFSKQLCGKADEKLLGRPGTALKTAEHALDKAEKAVKEAEDIYDKINSYAKFAATANFSINKAEDLIGDLVASSDNLQAAHSEAQSVKEALKRDKIEQSLDDIKDNLEESMPPLKTQIDEVYDIISNIEDALRNAEDLIDNGEKLTNEIQRKGSEVAEKKKELATMNKQNVAFLRNAERIKDTAERLLDWADDVKNKYDALKERLDKEMEENEGRSEGFLKKVKKLLAEAAVLEDEAIEKQTELNKRRLEKIMQDIEKQLGELNREFAEDRTGLTENLKIAKENVAEADRALEKIFEGLSKARTNTREADQGTQEGDATVKTARTLLENMETVLTNLNGEALQILREPARQPSLLHKLEQTMNELGYLMKYPAPLPGTLNISMVMQELRALDGLLLGTCEDGCFVCEWGERAHWHKCGDCKRGTAGPRCTCFGTVPDCPVPLSGYPDCECEQCSGGPNGPSGTYGPACRECYQGEYGRPCATCRYDDLGEAVCSPSFNNSSSILPCACQQCDNPLGKVIGYYGLACRECFDGATHHQCNQCISTEPDCLSSHGNCQCPVCTGLTPGPTRGGPQSRLRYFGPLCAECSLGSYGLPCDCKEILDAPPTMTTGRGQEAVEMSIESIRSQRMFNGYTAKILIYHIDYLIDLFDERTVTRHVRPDDGYYKWEKETMEIEHRTLQGILPAAMHRVIIRAHTPTGGRIIVQPNYTSFGTEERAEFYIAYKLKGGYAMLKKGEFQEPLKWYFPELHLDERMLDMHAVIEPHQFVIGKTTTHQLAEDVLPLITQEAKLTVWTRILGKAESCQTTTGFCYCSSCGLPNKADLGFKGPRCRDCHIGEVNVKCTCQGTQDSCSCKKTESDCTTADTGPLFCDCLNCRPYNWHGRSCETCKAGSFKPHCNCQGTNVNCQFDLSGVTSCNCAKCGTTISHGWTNPACKSCKEGITNPPCICRGTKPDCNNGLHSCECATCGGEQFNFYGHACRDCKKGTVGPQCWCQGSEECDFSKSGFSNCNCPVCGRASQLGFTGAKCKDCRQGQVNARCECQGTQSHCVESFSGGANCRCPQCNKADVADGFYGDACLECYRGTTGPKCILCSGSLSDCSGPISFDLNDNCQCPQCSGGPVSPQGFYGEACRECRPGTYGVPCGDCILGLSRDLHCTPSFNNSPINLPCACPQCDESTGKTPEGYFGPLCKDCYPGGTHDKCNLCEGTVDDCSEAHGFCQCPECIGGFITGNVRRHRPWCSECSSGTYGPPCQCVEVAAAISGDTPYSVASELNVSSLRFIADMGFSYTIQLLVRKLNALVTMFEKRRVPFHTGHITFSEEKLLLVNTHEESPHLYVEDQATSISLDNGELIIMLQLVRETDEEEEELDYSITFTLQGGVAPLKNGLYPAPASWYYSHPLAQRAEANSISIQQPSDLDDQQPAVRIRGPLDTLYWGSMDQIKGYTWYSSQCRNSVGSCFCEDCGKWNDEQLNFFGSKCRSCDGGEVNEKCICPGFCPDENKSGLGSCNCAKCDPYGTYGRACRDCSVGGFGTKCHCSGPALGRRAHKEDKVCVENLSGIPDCHCPQCPRPYNPSVGKPRFFGDTCTECNIGATNQTCNICVGGGIYKGRINLSLNRRAVNEDYYCEEAKGHCNCAECETKTLFTGARWPKQHFGPCCQDCSDGCTNHECECRSKNTAPDLPCARAHGYCICMGGVSIDKVSYYYRRTVIPDIERPDKHAFMMLDANLTRIRQFRLRIYRASTFELLEPSPDDASPRGNILSIHIEGNELPHIKSYFSTCIVEDDKAYSPPGTRPYINYLTLCETDTVAHYQWPKYEVDDLSRELIWNGPRPSNAAKVIVYAIQFVQQLDLTITVYHYEIGNKISPSQWWTNKGDIANTIPHRQNPNSSNQNCIRCQPNRVPQGPVHEVLKCYMEPGKEGCTANTTILANSALNLVAPFLGRQQHAQSQRQQQPRQAQVGGLGGSLLLLLLVGAAGPM
+>sp|Q13751|LAMB3_HUMAN Laminin subunit beta-3 OS=Homo sapiens OX=9606 GN=LAMB3 PE=1 SV=1
+MRPFFLLCFALPGLLHAQQACSRGACYPPVGDLLVGRTRFLRASSTCGLTKPETYCTQYGEWQMKCCKCDSRQPHNYYSHRVENVASSSGPMRWWQSQNDVNPVSLQLDLDRRFQLQEVMMEFQGPMPAGMLIERSSDFGKTWRVYQYLAADCTSTFPRVRQGRPQSWQDVRCQSLPQRPNARLNGGKVQLNLMDLVSGIPATQSQKIQEVGEITNLRVNFTRLAPVPQRGYHPPSAYYAVSQLRLQGSCFCHGHADRCAPKPGASAGPSTAVQVHDVCVCQHNTAGPNCERCAPFYNNRPWRPAEGQDAHECQRCDCNGHSETCHFDPAVFAASQGAYGGVCDNCRDHTEGKNCERCQLHYFRNRRPGASIQETCISCECDPDGAVPGAPCDPVTGQCVCKEHVQGERCDLCKPGFTGLTYANPQGCHRCDCNILGSRRDMPCDEESGRCLCLPNVVGPKCDQCAPYHWKLASGQGCEPCACDPHNSLSPQCNQFTGQCPCREGFGGLMCSAAAIRQCPDRTYGDVATGCRACDCDFRGTEGPGCDKASGRCLCRPGLTGPRCDQCQRGYCNRYPVCVACHPCFQTYDADLREQALRFGRLRNATASLWSGPGLEDRGLASRILDAKSKIEQIRAVLSSPAVTEQEVAQVASAILSLRRTLQGLQLDLPLEEETLSLPRDLESLDRSFNGLLTMYQRKREQFEKISSADPSGAFRMLSTAYEQSAQAAQQVSDSSRLLDQLRDSRREAERLVRQAGGGGGTGSPKLVALRLEMSSLPDLTPTFNKLCGNSRQMACTPISCPGELCPQDNGTACGSRCRGVLPRAGGAFLMAGQVAEQLRGFNAQLQRTRQMIRAAEESASQIQSSAQRLETQVSASRSQMEEDVRRTRLLIQQVRDFLTDPDTDAATIQEVSEAVLALWLPTDSATVLQKMNEIQAIAARLPNVDLVLSQTKQDIARARRLQAEAEEARSRAHAVEGQVEDVVGNLRQGTVALQEAQDTMQGTSRSLRLIQDRVAEVQQVLRPAEKLVTSMTKQLGDFWTRMEELRHQARQQGAEAVQAQQLAEGASEQALSAQEGFERIKQKYAELKDRLGQSSMLGEQGARIQSVKTEAEELFGETMEMMDRMKDMELELLRGSQAIMLRSADLTGLEKRVEQIRDHINGRVLYYATCK
+>DECOY_sp|Q13751|LAMB3_HUMAN Laminin subunit beta-3 OS=Homo sapiens OX=9606 GN=LAMB3 PE=1 SV=1
+KCTAYYLVRGNIHDRIQEVRKELGTLDASRLMIAQSGRLLELEMDKMRDMMEMTEGFLEEAETKVSQIRAGQEGLMSSQGLRDKLEAYKQKIREFGEQASLAQESAGEALQQAQVAEAGQQRAQHRLEEMRTWFDGLQKTMSTVLKEAPRLVQQVEAVRDQILRLSRSTGQMTDQAEQLAVTGQRLNGVVDEVQGEVAHARSRAEEAEAQLRRARAIDQKTQSLVLDVNPLRAAIAQIENMKQLVTASDTPLWLALVAESVEQITAADTDPDTLFDRVQQILLRTRRVDEEMQSRSASVQTELRQASSQIQSASEEAARIMQRTRQLQANFGRLQEAVQGAMLFAGGARPLVGRCRSGCATGNDQPCLEGPCSIPTCAMQRSNGCLKNFTPTLDPLSSMELRLAVLKPSGTGGGGGAQRVLREAERRSDRLQDLLRSSDSVQQAAQASQEYATSLMRFAGSPDASSIKEFQERKRQYMTLLGNFSRDLSELDRPLSLTEEELPLDLQLGQLTRRLSLIASAVQAVEQETVAPSSLVARIQEIKSKADLIRSALGRDELGPGSWLSATANRLRGFRLAQERLDADYTQFCPHCAVCVPYRNCYGRQCQDCRPGTLGPRCLCRGSAKDCGPGETGRFDCDCARCGTAVDGYTRDPCQRIAAASCMLGGFGERCPCQGTFQNCQPSLSNHPDCACPECGQGSALKWHYPACQDCKPGVVNPLCLCRGSEEDCPMDRRSGLINCDCRHCGQPNAYTLGTFGPKCLDCREGQVHEKCVCQGTVPDCPAGPVAGDPDCECSICTEQISAGPRRNRFYHLQCRECNKGETHDRCNDCVGGYAGQSAAFVAPDFHCTESHGNCDCRQCEHADQGEAPRWPRNNYFPACRECNPGATNHQCVCVDHVQVATSPGASAGPKPACRDAHGHCFCSGQLRLQSVAYYASPPHYGRQPVPALRTFNVRLNTIEGVEQIKQSQTAPIGSVLDMLNLQVKGGNLRANPRQPLSQCRVDQWSQPRGQRVRPFTSTCDAALYQYVRWTKGFDSSREILMGAPMPGQFEMMVEQLQFRRDLDLQLSVPNVDNQSQWWRMPGSSSAVNEVRHSYYNHPQRSDCKCCKMQWEGYQTCYTEPKTLGCTSSARLFRTRGVLLDGVPPYCAGRSCAQQAHLLGPLAFCLLFFPRM
+>sp|Q15012|LAP4A_HUMAN Lysosomal-associated transmembrane protein 4A OS=Homo sapiens OX=9606 GN=LAPTM4A PE=1 SV=1
+MVSMSFKRNRSDRFYSTRCCGCCHVRTGTIILGTWYMVVNLLMAILLTVEVTHPNSMPAVNIQYEVIGNYYSSERMADNACVLFAVSVLMFIISSMLVYGAISYQVGWLIPFFCYRLFDFVLSCLVAISSLTYLPRIKEYLDQLPDFPYKDDLLALDSSCLLFIVLVFFALFIIFKAYLINCVWNCYKYINNRNVPEIAVYPAFEAPPQYVLPTYEMAVKMPEKEPPPPYLPA
+>DECOY_sp|Q15012|LAP4A_HUMAN Lysosomal-associated transmembrane protein 4A OS=Homo sapiens OX=9606 GN=LAPTM4A PE=1 SV=1
+APLYPPPPEKEPMKVAMEYTPLVYQPPAEFAPYVAIEPVNRNNIYKYCNWVCNILYAKFIIFLAFFVLVIFLLCSSDLALLDDKYPFDPLQDLYEKIRPLYTLSSIAVLCSLVFDFLRYCFFPILWGVQYSIAGYVLMSSIIFMLVSVAFLVCANDAMRESSYYNGIVEYQINVAPMSNPHTVEVTLLIAMLLNVVMYWTGLIITGTRVHCCGCCRTSYFRDSRNRKFSMSVM
+>sp|Q569H4|LARGN_HUMAN Protein Largen OS=Homo sapiens OX=9606 GN=PRR16 PE=1 SV=1
+MSAKSKGNPSSSCPAEGPPAASKTKVKEQIKIIVEDLELVLGDLKDVAKELKEVVDQIDTLTSDLQLEDEMTDSSKTDTLNSSSSGTTASSLEKIKVQANAPLIKPPAHPSAILTVLRKPNPPPPPPRLTPVKCEDPKRVVPTANPVKTNGTLLRNGGLPGGPNKIPNGDICCIPNSNLDKAPVQLLMHRPEKDRCPQAGPRERVRFNEKVQYHGYCPDCDTRYNIKNREVHLHSEPVHPPGKIPHQGPPLPPTPHLPPFPLENGGMGISHSNSFPPIRPATVPPPTAPKPQKTILRKSTTTTV
+>DECOY_sp|Q569H4|LARGN_HUMAN Protein Largen OS=Homo sapiens OX=9606 GN=PRR16 PE=1 SV=1
+VTTTTSKRLITKQPKPATPPPVTAPRIPPFSNSHSIGMGGNELPFPPLHPTPPLPPGQHPIKGPPHVPESHLHVERNKINYRTDCDPCYGHYQVKENFRVRERPGAQPCRDKEPRHMLLQVPAKDLNSNPICCIDGNPIKNPGGPLGGNRLLTGNTKVPNATPVVRKPDECKVPTLRPPPPPPNPKRLVTLIASPHAPPKILPANAQVKIKELSSATTGSSSSNLTDTKSSDTMEDELQLDSTLTDIQDVVEKLEKAVDKLDGLVLELDEVIIKIQEKVKTKSAAPPGEAPCSSSPNGKSKASM
+>sp|Q71RC2|LARP4_HUMAN La-related protein 4 OS=Homo sapiens OX=9606 GN=LARP4 PE=1 SV=3
+MLLFVEQVASKGTGLNPNAKVWQEIAPGNTDATPVTHGTESSWHEIAATSGAHPEGNAELSEDICKEYEVMYSSSCETTRNTTGIEESTDGMILGPEDLSYQIYDVSGESNSAVSTEDLKECLKKQLEFCFSRENLSKDLYLISQMDSDQFIPIWTVANMEEIKKLTTDPDLILEVLRSSPMVQVDEKGEKVRPSHKRCIVILREIPETTPIEEVKGLFKSENCPKVISCEFAHNSNWYITFQSDTDAQQAFKYLREEVKTFQGKPIMARIKAINTFFAKNGYRLMDSSIYSHPIQTQAQYASPVFMQPVYNPHQQYSVYSIVPQSWSPNPTPYFETPLAPFPNGSFVNGFNSPGSYKTNAAAMNMGRPFQKNRVKPQFRSSGGSEHSTEGSVSLGDGQLNRYSSRNFPAERHNPTVTGHQEQTYLQKETSTLQVEQNGDYGRGRRTLFRGRRRREDDRISRPHPSTAESKAPTPKFDLLASNFPPLPGSSSRMPGELVLENRMSDVVKGVYKEKDNEELTISCPVPADEQTECTSAQQLNMSTSSPCAAELTALSTTQQEKDLIEDSSVQKDGLNQTTIPVSPPSTTKPSRASTASPCNNNINAATAVALQEPRKLSYAEVCQKPPKEPSSVLVQPLRELRSNVVSPTKNEDNGAPENSVEKPHEKPEARASKDYSGFRGNIIPRGAAGKIREQRRQFSHRAIPQGVTRRNGKEQYVPPRSPK
+>DECOY_sp|Q71RC2|LARP4_HUMAN La-related protein 4 OS=Homo sapiens OX=9606 GN=LARP4 PE=1 SV=3
+KPSRPPVYQEKGNRRTVGQPIARHSFQRRQERIKGAAGRPIINGRFGSYDKSARAEPKEHPKEVSNEPAGNDENKTPSVVNSRLERLPQVLVSSPEKPPKQCVEAYSLKRPEQLAVATAANINNNCPSATSARSPKTTSPPSVPITTQNLGDKQVSSDEILDKEQQTTSLATLEAACPSSTSMNLQQASTCETQEDAPVPCSITLEENDKEKYVGKVVDSMRNELVLEGPMRSSSGPLPPFNSALLDFKPTPAKSEATSPHPRSIRDDERRRRGRFLTRRGRGYDGNQEVQLTSTEKQLYTQEQHGTVTPNHREAPFNRSSYRNLQGDGLSVSGETSHESGGSSRFQPKVRNKQFPRGMNMAAANTKYSGPSNFGNVFSGNPFPALPTEFYPTPNPSWSQPVISYVSYQQHPNYVPQMFVPSAYQAQTQIPHSYISSDMLRYGNKAFFTNIAKIRAMIPKGQFTKVEERLYKFAQQADTDSQFTIYWNSNHAFECSIVKPCNESKFLGKVEEIPTTEPIERLIVICRKHSPRVKEGKEDVQVMPSSRLVELILDPDTTLKKIEEMNAVTWIPIFQDSDMQSILYLDKSLNERSFCFELQKKLCEKLDETSVASNSEGSVDYIQYSLDEPGLIMGDTSEEIGTTNRTTECSSSYMVEYEKCIDESLEANGEPHAGSTAAIEHWSSETGHTVPTADTNGPAIEQWVKANPNLGTGKSAVQEVFLLM
+>sp|Q4G0J3|LARP7_HUMAN La-related protein 7 OS=Homo sapiens OX=9606 GN=LARP7 PE=1 SV=1
+METESGNQEKVMEEESTEKKKEVEKKKRSRVKQVLADIAKQVDFWFGDANLHKDRFLREQIEKSRDGYVDISLLVSFNKMKKLTTDGKLIARALRSSAVVELDLEGTRIRRKKPLGERPKDEDERTVYVELLPKNVNHSWIERVFGKCGNVVYISIPHYKSTGDPKGFAFVEFETKEQAAKAIEFLNNPPEEAPRKPGIFPKTVKNKPIPALRVVEEKKKKKKKKGRMKKEDNIQAKEENMDTSNTSISKMKRSRPTSEGSDIESTEPQKQCSKKKKKRDRVEASSLPEVRTGKRKRSSSEDAESLAPRSKVKKIIQKDIIKEASEASKENRDIEISTEEEKDTGDLKDSSLLKTKRKHKKKHKERHKMGEEVIPLRVLSKSEWMDLKKEYLALQKASMASLKKTISQIKSESEMETDSGVPQNTGMKNEKTANREECRTQEKVNATGPQFVSGVIVKIISTEPLPGRKQVRDTLAAISEVLYVDLLEGDTECHARFKTPEDAQAVINAYTEINKKHCWKLEILSGDHEQRYWQKILVDRQAKLNQPREKKRGTEKLITKAEKIRLAKTQQASKHIRFSEYD
+>DECOY_sp|Q4G0J3|LARP7_HUMAN La-related protein 7 OS=Homo sapiens OX=9606 GN=LARP7 PE=1 SV=1
+DYESFRIHKSAQQTKALRIKEAKTILKETGRKKERPQNLKAQRDVLIKQWYRQEHDGSLIELKWCHKKNIETYANIVAQADEPTKFRAHCETDGELLDVYLVESIAALTDRVQKRGPLPETSIIKVIVGSVFQPGTANVKEQTRCEERNATKENKMGTNQPVGSDTEMESESKIQSITKKLSAMSAKQLALYEKKLDMWESKSLVRLPIVEEGMKHREKHKKKHKRKTKLLSSDKLDGTDKEEETSIEIDRNEKSAESAEKIIDKQIIKKVKSRPALSEADESSSRKRKGTRVEPLSSAEVRDRKKKKKSCQKQPETSEIDSGESTPRSRKMKSISTNSTDMNEEKAQINDEKKMRGKKKKKKKKEEVVRLAPIPKNKVTKPFIGPKRPAEEPPNNLFEIAKAAQEKTEFEVFAFGKPDGTSKYHPISIYVVNGCKGFVREIWSHNVNKPLLEVYVTREDEDKPREGLPKKRRIRTGELDLEVVASSRLARAILKGDTTLKKMKNFSVLLSIDVYGDRSKEIQERLFRDKHLNADGFWFDVQKAIDALVQKVRSRKKKEVEKKKETSEEEMVKEQNGSETEM
+>sp|Q9UHI5|LAT2_HUMAN Large neutral amino acids transporter small subunit 2 OS=Homo sapiens OX=9606 GN=SLC7A8 PE=1 SV=1
+MEEGARHRNNTEKKHPGGGESDASPEAGSGGGGVALKKEIGLVSACGIIVGNIIGSGIFVSPKGVLENAGSVGLALIVWIVTGFITVVGALCYAELGVTIPKSGGDYSYVKDIFGGLAGFLRLWIAVLVIYPTNQAVIALTFSNYVLQPLFPTCFPPESGLRLLAAICLLLLTWVNCSSVRWATRVQDIFTAGKLLALALIIIMGIVQICKGEYFWLEPKNAFENFQEPDIGLVALAFLQGSFAYGGWNFLNYVTEELVDPYKNLPRAIFISIPLVTFVYVFANVAYVTAMSPQELLASNAVAVTFGEKLLGVMAWIMPISVALSTFGGVNGSLFTSSRLFFAGAREGHLPSVLAMIHVKRCTPIPALLFTCISTLLMLVTSDMYTLINYVGFINYLFYGVTVAGQIVLRWKKPDIPRPIKINLLFPIIYLLFWAFLLVFSLWSEPVVCGIGLAIMLTGVPVYFLGVYWQHKPKCFSDFIELLTLVSQKMCVVVYPEVERGSGTEEANEDMEEQQQPMYQPTPTKDKDVAGQPQP
+>DECOY_sp|Q9UHI5|LAT2_HUMAN Large neutral amino acids transporter small subunit 2 OS=Homo sapiens OX=9606 GN=SLC7A8 PE=1 SV=1
+PQPQGAVDKDKTPTPQYMPQQQEEMDENAEETGSGREVEPYVVVCMKQSVLTLLEIFDSFCKPKHQWYVGLFYVPVGTLMIALGIGCVVPESWLSFVLLFAWFLLYIIPFLLNIKIPRPIDPKKWRLVIQGAVTVGYFLYNIFGVYNILTYMDSTVLMLLTSICTFLLAPIPTCRKVHIMALVSPLHGERAGAFFLRSSTFLSGNVGGFTSLAVSIPMIWAMVGLLKEGFTVAVANSALLEQPSMATVYAVNAFVYVFTVLPISIFIARPLNKYPDVLEETVYNLFNWGGYAFSGQLFALAVLGIDPEQFNEFANKPELWFYEGKCIQVIGMIIILALALLKGATFIDQVRTAWRVSSCNVWTLLLLCIAALLRLGSEPPFCTPFLPQLVYNSFTLAIVAQNTPYIVLVAIWLRLFGALGGFIDKVYSYDGGSKPITVGLEAYCLAGVVTIFGTVIWVILALGVSGANELVGKPSVFIGSGIINGVIIGCASVLGIEKKLAVGGGGSGAEPSADSEGGGPHKKETNNRHRAGEEM
+>sp|P18428|LBP_HUMAN Lipopolysaccharide-binding protein OS=Homo sapiens OX=9606 GN=LBP PE=1 SV=3
+MGALARALPSILLALLLTSTPEALGANPGLVARITDKGLQYAAQEGLLALQSELLRITLPDFTGDLRIPHVGRGRYEFHSLNIHSCELLHSALRPVPGQGLSLSISDSSIRVQGRWKVRKSFFKLQGSFDVSVKGISISVNLLLGSESSGRPTVTASSCSSDIADVEVDMSGDLGWLLNLFHNQIESKFQKVLESRICEMIQKSVSSDLQPYLQTLPVTTEIDSFADIDYSLVEAPRATAQMLEVMFKGEIFHRNHRSPVTLLAAVMSLPEEHNKMVYFAISDYVFNTASLVYHEEGYLNFSITDDMIPPDSNIRLTTKSFRPFVPRLARLYPNMNLELQGSVPSAPLLNFSPGNLSVDPYMEIDAFVLLPSSSKEPVFRLSVATNVSATLTFNTSKITGFLKPGKVKVELKESKVGLFNAELLEALLNYYILNTFYPKFNDKLAEGFPLPLLKRVQLYDLGLQIHKDFLFLGANVQYMRV
+>DECOY_sp|P18428|LBP_HUMAN Lipopolysaccharide-binding protein OS=Homo sapiens OX=9606 GN=LBP PE=1 SV=3
+VRMYQVNAGLFLFDKHIQLGLDYLQVRKLLPLPFGEALKDNFKPYFTNLIYYNLLAELLEANFLGVKSEKLEVKVKGPKLFGTIKSTNFTLTASVNTAVSLRFVPEKSSSPLLVFADIEMYPDVSLNGPSFNLLPASPVSGQLELNMNPYLRALRPVFPRFSKTTLRINSDPPIMDDTISFNLYGEEHYVLSATNFVYDSIAFYVMKNHEEPLSMVAALLTVPSRHNRHFIEGKFMVELMQATARPAEVLSYDIDAFSDIETTVPLTQLYPQLDSSVSKQIMECIRSELVKQFKSEIQNHFLNLLWGLDGSMDVEVDAIDSSCSSATVTPRGSSESGLLLNVSISIGKVSVDFSGQLKFFSKRVKWRGQVRISSDSISLSLGQGPVPRLASHLLECSHINLSHFEYRGRGVHPIRLDGTFDPLTIRLLESQLALLGEQAAYQLGKDTIRAVLGPNAGLAEPTSTLLLALLISPLARALAGM
+>sp|Q6XYB7|LBX2_HUMAN Transcription factor LBX2 OS=Homo sapiens OX=9606 GN=LBX2 PE=1 SV=1
+MNSGREPRTPRTLLSIADILAPRMVPRAPSAPQLPESGPGPTSPLCALEELTSKTFRGLDARALQPSEGRAGPDALGPGPFGRKRRKSRTAFTAQQVLELERRFVFQKYLAPSERDGLATRLGLANAQVVTWFQNRRAKLKRDVEEMRADVASLRALSPEVLCSLALPEGAPDPGLCLGPAGPDSRPHLSDEEIQVDD
+>DECOY_sp|Q6XYB7|LBX2_HUMAN Transcription factor LBX2 OS=Homo sapiens OX=9606 GN=LBX2 PE=1 SV=1
+DDVQIEEDSLHPRSDPGAPGLCLGPDPAGEPLALSCLVEPSLARLSAVDARMEEVDRKLKARRNQFWTVVQANALGLRTALGDRESPALYKQFVFRRELELVQQATFATRSKRRKRGFPGPGLADPGARGESPQLARADLGRFTKSTLEELACLPSTPGPGSEPLQPASPARPVMRPALIDAISLLTRPTRPERGSNM
+>sp|Q9UIQ6|LCAP_HUMAN Leucyl-cystinyl aminopeptidase OS=Homo sapiens OX=9606 GN=LNPEP PE=1 SV=3
+MEPFTNDRLQLPRNMIENSMFEEEPDVVDLAKEPCLHPLEPDEVEYEPRGSRLLVRGLGEHEMEEDEEDYESSAKLLGMSFMNRSSGLRNSATGYRQSPDGACSVPSARTMVVCAFVIVVAVSVIMVIYLLPRCTFTKEGCHKKNQSIGLIQPFATNGKLFPWAQIRLPTAVVPLRYELSLHPNLTSMTFRGSVTISVQALQVTWNIILHSTGHNISRVTFMSAVSSQEKQAEILEYAYHGQIAIVAPEALLAGHNYTLKIEYSANISSSYYGFYGFSYTDESNEKKYFAATQFEPLAARSAFPCFDEPAFKATFIIKIIRDEQYTALSNMPKKSSVVLDDGLVQDEFSESVKMSTYLVAFIVGEMKNLSQDVNGTLVSIYAVPEKIGQVHYALETTVKLLEFFQNYFEIQYPLKKLDLVAIPDFEAGAMENWGLLTFREETLLYDSNTSSMADRKLVTKIIAHELAHQWFGNLVTMKWWNDLWLNEGFATFMEYFSLEKIFKELSSYEDFLDARFKTMKKDSLNSSHPISSSVQSSEQIEEMFDSLSYFKGSSLLLMLKTYLSEDVFQHAVVLYLHNHSYASIQSDDLWDSFNEVTNQTLDVKRMMKTWTLQKGFPLVTVQKKGKELFIQQERFFLNMKPEIQPSDTSYLWHIPLSYVTEGRNYSKYQSVSLLDKKSGVINLTEEVLWVKVNINMNGYYIVHYADDDWEALIHQLKINPYVLSDKDRANLINNIFELAGLGKVPLKRAFDLINYLGNENHTAPITEALFQTDLIYNLLEKLGYMDLASRLVTRVFKLLQNQIQQQTWTDEGTPSMRELRSALLEFACTHNLGNCSTTAMKLFDDWMASNGTQSLPTDVMTTVFKVGAKTDKGWSFLLGKYISIGSEAEKNKILEALASSEDVRKLYWLMKSSLNGDNFRTQKLSFIIRTVGRHFPGHLLAWDFVKENWNKLVQKFPLGSYTIQNIVAGSTYLFSTKTHLSEVQAFFENQSEATFRLRCVQEALEVIQLNIQWMEKNLKSLTWWL
+>DECOY_sp|Q9UIQ6|LCAP_HUMAN Leucyl-cystinyl aminopeptidase OS=Homo sapiens OX=9606 GN=LNPEP PE=1 SV=3
+LWWTLSKLNKEMWQINLQIVELAEQVCRLRFTAESQNEFFAQVESLHTKTSFLYTSGAVINQITYSGLPFKQVLKNWNEKVFDWALLHGPFHRGVTRIIFSLKQTRFNDGNLSSKMLWYLKRVDESSALAELIKNKEAESGISIYKGLLFSWGKDTKAGVKFVTTMVDTPLSQTGNSAMWDDFLKMATTSCNGLNHTCAFELLASRLERMSPTGEDTWTQQQIQNQLLKFVRTVLRSALDMYGLKELLNYILDTQFLAETIPATHNENGLYNILDFARKLPVKGLGALEFINNILNARDKDSLVYPNIKLQHILAEWDDDAYHVIYYGNMNINVKVWLVEETLNIVGSKKDLLSVSQYKSYNRGETVYSLPIHWLYSTDSPQIEPKMNLFFREQQIFLEKGKKQVTVLPFGKQLTWTKMMRKVDLTQNTVENFSDWLDDSQISAYSHNHLYLVVAHQFVDESLYTKLMLLLSSGKFYSLSDFMEEIQESSQVSSSIPHSSNLSDKKMTKFRADLFDEYSSLEKFIKELSFYEMFTAFGENLWLDNWWKMTVLNGFWQHALEHAIIKTVLKRDAMSSTNSDYLLTEERFTLLGWNEMAGAEFDPIAVLDLKKLPYQIEFYNQFFELLKVTTELAYHVQGIKEPVAYISVLTGNVDQSLNKMEGVIFAVLYTSMKVSESFEDQVLGDDLVVSSKKPMNSLATYQEDRIIKIIFTAKFAPEDFCPFASRAALPEFQTAAFYKKENSEDTYSFGYFGYYSSSINASYEIKLTYNHGALLAEPAVIAIQGHYAYELIEAQKEQSSVASMFTVRSINHGTSHLIINWTVQLAQVSITVSGRFTMSTLNPHLSLEYRLPVVATPLRIQAWPFLKGNTAFPQILGISQNKKHCGEKTFTCRPLLYIVMIVSVAVVIVFACVVMTRASPVSCAGDPSQRYGTASNRLGSSRNMFSMGLLKASSEYDEEDEEMEHEGLGRVLLRSGRPEYEVEDPELPHLCPEKALDVVDPEEEFMSNEIMNRPLQLRDNTFPEM
+>sp|Q5T751|LCE1C_HUMAN Late cornified envelope protein 1C OS=Homo sapiens OX=9606 GN=LCE1C PE=1 SV=1
+MSCQQSQQQCQPPPKCTPKCPPKCPTPKCPPKCPPKCPPVSSCCSVSSGGCCGSSSGGSCGSSSGGCCSSGGGGCCLSHHRRRRSHCHRPQSSGCCSQPSGGSSCCGGGSGQHSGGCC
+>DECOY_sp|Q5T751|LCE1C_HUMAN Late cornified envelope protein 1C OS=Homo sapiens OX=9606 GN=LCE1C PE=1 SV=1
+CCGGSHQGSGGGCCSSGGSPQSCCGSSQPRHCHSRRRRHHSLCCGGGGSSCCGGSSSGCSGGSSSGCCGGSSVSCCSSVPPCKPPCKPPCKPTPCKPPCKPTCKPPPQCQQQSQQCSM
+>sp|Q5T754|LCE1F_HUMAN Late cornified envelope protein 1F OS=Homo sapiens OX=9606 GN=LCE1F PE=1 SV=1
+MSCQQSQQQCQPPPKCTPKCPPKCPTPKCPPKCPPKCPPVSSCCSVSSGGCCGSSSGGCCSSGGGGCCSSGGGGCCLSHHRRRRSHRHRPQSSDCCSQPSAGSSCCGGGSGQHSGGCC
+>DECOY_sp|Q5T754|LCE1F_HUMAN Late cornified envelope protein 1F OS=Homo sapiens OX=9606 GN=LCE1F PE=1 SV=1
+CCGGSHQGSGGGCCSSGASPQSCCDSSQPRHRHSRRRRHHSLCCGGGGSSCCGGGGSSCCGGSSSGCCGGSSVSCCSSVPPCKPPCKPPCKPTPCKPPCKPTCKPPPQCQQQSQQCSM
+>sp|Q5TA82|LCE2D_HUMAN Late cornified envelope protein 2D OS=Homo sapiens OX=9606 GN=LCE2D PE=1 SV=1
+MSCQQNQQQCQPPPKCPPKCTPKCPPKCPPKCPPQCPAPCSPAVSSCCGPSSGSCCGPSSGGCCSSGGGGCCLSHHRPRLFHRRRHQSPDCCESEPSGASGCCHSSGGCC
+>DECOY_sp|Q5TA82|LCE2D_HUMAN Late cornified envelope protein 2D OS=Homo sapiens OX=9606 GN=LCE2D PE=1 SV=1
+CCGGSSHCCGSAGSPESECCDPSQHRRRHFLRPRHHSLCCGGGGSSCCGGSSPGCCSGSSPGCCSSVAPSCPAPCQPPCKPPCKPPCKPTCKPPCKPPPQCQQQNQQCSM
+>sp|Q6UWW0|LCN15_HUMAN Lipocalin-15 OS=Homo sapiens OX=9606 GN=LCN15 PE=1 SV=1
+MMSFLLGAILTLLWAPTAQAEVLLQPDFNAEKFSGLWYVVSMASDCRVFLGKKDHLSMSTRAIRPTEEGGLHVHMEFPGADGCNQVDAEYLKVGSEGHFRVPALGYLDVRIVDTDYSSFAVLYIYKELEGALSTMVQLYSRTQDVSPQALKSFQDFYPTLGLPKDMMVMLPQSDACNPESKEAP
+>DECOY_sp|Q6UWW0|LCN15_HUMAN Lipocalin-15 OS=Homo sapiens OX=9606 GN=LCN15 PE=1 SV=1
+PAEKSEPNCADSQPLMVMMDKPLGLTPYFDQFSKLAQPSVDQTRSYLQVMTSLAGELEKYIYLVAFSSYDTDVIRVDLYGLAPVRFHGESGVKLYEADVQNCGDAGPFEMHVHLGGEETPRIARTSMSLHDKKGLFVRCDSAMSVVYWLGSFKEANFDPQLLVEAQATPAWLLTLIAGLLFSMM
+>sp|Q8N3X6|LCORL_HUMAN Ligand-dependent nuclear receptor corepressor-like protein OS=Homo sapiens OX=9606 GN=LCORL PE=1 SV=4
+MDKGRERMAAAAAAAAAAAAAAQCRSPRCAAERRGFRRELDSWRHRLMHCVGFESILEGLYGPRLRRDLSLFEDCEPEELTDWSMDEKCSFCNLQREAVSDCIPSLDSSQSTPTEELSSQGQSNTDKIECQAENYLNALFRKKDLPQNCDPNIPLVAQELMKKMIRQFAIEYISKSGKTQENRNGSIGPSIVCKSIQMNQAENSLQEEQEGPLDLTVNRMQEQNTQQGDGVLDLSTKKTSIKSEESSICDPSSENSVAGRLHRNREDYVERSAEFADGLLSKALKDIQSGALDINKAGILYGIPQKTLLLHLEALPAGKPASFKNKTRDFHDSYSYKDSKETCAVLQKVALWARAQAERTEKSKLNLLETSEIKFPTASTYLHQLTLQKMVTQFKEKNESLQYETSNPTVQLKIPQLRVSSVSKSQPDGSGLLDVMYQVSKTSSVLEGSALQKLKNILPKQNKIECSGPVTHSSVDSYFLHGDLSPLCLNSKNGTVDGTSENTEDGLDRKDSKQPRKKRGRYRQYDHEIMEEAIAMVMSGKMSVSKAQGIYGVPHSTLEYKVKERSGTLKTPPKKKLRLPDTGLYNMTDSGTGSCKNSSKPV
+>DECOY_sp|Q8N3X6|LCORL_HUMAN Ligand-dependent nuclear receptor corepressor-like protein OS=Homo sapiens OX=9606 GN=LCORL PE=1 SV=4
+VPKSSNKCSGTGSDTMNYLGTDPLRLKKKPPTKLTGSREKVKYELTSHPVGYIGQAKSVSMKGSMVMAIAEEMIEHDYQRYRGRKKRPQKSDKRDLGDETNESTGDVTGNKSNLCLPSLDGHLFYSDVSSHTVPGSCEIKNQKPLINKLKQLASGELVSSTKSVQYMVDLLGSGDPQSKSVSSVRLQPIKLQVTPNSTEYQLSENKEKFQTVMKQLTLQHLYTSATPFKIESTELLNLKSKETREAQARAWLAVKQLVACTEKSDKYSYSDHFDRTKNKFSAPKGAPLAELHLLLTKQPIGYLIGAKNIDLAGSQIDKLAKSLLGDAFEASREVYDERNRHLRGAVSNESSPDCISSEESKISTKKTSLDLVGDGQQTNQEQMRNVTLDLPGEQEEQLSNEAQNMQISKCVISPGISGNRNEQTKGSKSIYEIAFQRIMKKMLEQAVLPINPDCNQPLDKKRFLANLYNEAQCEIKDTNSQGQSSLEETPTSQSSDLSPICDSVAERQLNCFSCKEDMSWDTLEEPECDEFLSLDRRLRPGYLGELISEFGVCHMLRHRWSDLERRFGRREAACRPSRCQAAAAAAAAAAAAAAMRERGKDM
+>sp|Q96JN0|LCOR_HUMAN Ligand-dependent corepressor OS=Homo sapiens OX=9606 GN=LCOR PE=1 SV=2
+MQRMIQQFAAEYTSKNSSTQDPSQPNSTKNQSLPKASPVTTSPTAATTQNPVLSKLLMADQDSPLDLTVRKSQSEPSEQDGVLDLSTKKSPCAGSTSLSHSPGCSSTQGNGRPGRPSQYRPDGLRSGDGVPPRSLQDGTREGFGHSTSLKVPLARSLQISEELLSRNQLSTAASLGPSGLQNHGQHLILSREASWAKPHYEFNLSRMKFRGNGALSNISDLPFLAENSAFPKMALQAKQDGKKDVSHSSPVDLKIPQVRGMDLSWESRTGDQYSYSSLVMGSQTESALSKKLRAILPKQSRKSMLDAGPDSWGSDAEQSTSGQPYPTSDQEGDPGSKQPRKKRGRYRQYNSEILEEAISVVMSGKMSVSKAQSIYGIPHSTLEYKVKERLGTLKNPPKKKMKLMRSEGPDVSVKIELDPQGEAAQSANESKNE
+>DECOY_sp|Q96JN0|LCOR_HUMAN Ligand-dependent corepressor OS=Homo sapiens OX=9606 GN=LCOR PE=1 SV=2
+ENKSENASQAAEGQPDLEIKVSVDPGESRMLKMKKKPPNKLTGLREKVKYELTSHPIGYISQAKSVSMKGSMVVSIAEELIESNYQRYRGRKKRPQKSGPDGEQDSTPYPQGSTSQEADSGWSDPGADLMSKRSQKPLIARLKKSLASETQSGMVLSSYSYQDGTRSEWSLDMGRVQPIKLDVPSSHSVDKKGDQKAQLAMKPFASNEALFPLDSINSLAGNGRFKMRSLNFEYHPKAWSAERSLILHQGHNQLGSPGLSAATSLQNRSLLEESIQLSRALPVKLSTSHGFGERTGDQLSRPPVGDGSRLGDPRYQSPRGPRGNGQTSSCGPSHSLSTSGACPSKKTSLDLVGDQESPESQSKRVTLDLPSDQDAMLLKSLVPNQTTAATPSTTVPSAKPLSQNKTSNPQSPDQTSSNKSTYEAAFQQIMRQM
+>sp|O75112|LDB3_HUMAN LIM domain-binding protein 3 OS=Homo sapiens OX=9606 GN=LDB3 PE=1 SV=2
+MSYSVTLTGPGPWGFRLQGGKDFNMPLTISRITPGSKAAQSQLSQGDLVVAIDGVNTDTMTHLEAQNKIKSASYNLSLTLQKSKRPIPISTTAPPVQTPLPVIPHQKDPALDTNGSLVAPSPSPEARASPGTPGTPELRPTFSPAFSRPSAFSSLAEASDPGPPRASLRAKTSPEGARDLLGPKALPGSSQPRQYNNPIGLYSAETLREMAQMYQMSLRGKASGVGLPGGSLPIKDLAVDSASPVYQAVIKSQNKPEDEADEWARRSSNLQSRSFRILAQMTGTEFMQDPDEEALRRSSTPIEHAPVCTSQATTPLLPASAQPPAAASPSAASPPLATAAAHTAIASASTTAPASSPADSPRPQASSYSPAVAASSAPATHTSYSEGPAAPAPKPRVVTTASIRPSVYQPVPASTYSPSPGANYSPTPYTPSPAPAYTPSPAPAYTPSPVPTYTPSPAPAYTPSPAPNYNPAPSVAYSGGPAEPASRPPWVTDDSFSQKFAPGKSTTSISKQTLPRGGPAYTPAGPQVPPLARGTVQRAERFPASSRTPLCGHCNNVIRGPFLVAMGRSWHPEEFTCAYCKTSLADVCFVEEQNNVYCERCYEQFFAPLCAKCNTKIMGEVMHALRQTWHTTCFVCAACKKPFGNSLFHMEDGEPYCEKDYINLFSTKCHGCDFPVEAGDKFIEALGHTWHDTCFICAVCHVNLEGQPFYSKKDRPLCKKHAHTINL
+>DECOY_sp|O75112|LDB3_HUMAN LIM domain-binding protein 3 OS=Homo sapiens OX=9606 GN=LDB3 PE=1 SV=2
+LNITHAHKKCLPRDKKSYFPQGELNVHCVACIFCTDHWTHGLAEIFKDGAEVPFDCGHCKTSFLNIYDKECYPEGDEMHFLSNGFPKKCAACVFCTTHWTQRLAHMVEGMIKTNCKACLPAFFQEYCRECYVNNQEEVFCVDALSTKCYACTFEEPHWSRGMAVLFPGRIVNNCHGCLPTRSSAPFREARQVTGRALPPVQPGAPTYAPGGRPLTQKSISTTSKGPAFKQSFSDDTVWPPRSAPEAPGGSYAVSPAPNYNPAPSPTYAPAPSPTYTPVPSPTYAPAPSPTYAPAPSPTYPTPSYNAGPSPSYTSAPVPQYVSPRISATTVVRPKPAPAAPGESYSTHTAPASSAAVAPSYSSAQPRPSDAPSSAPATTSASAIATHAAATALPPSAASPSAAAPPQASAPLLPTTAQSTCVPAHEIPTSSRRLAEEDPDQMFETGTMQALIRFSRSQLNSSRRAWEDAEDEPKNQSKIVAQYVPSASDVALDKIPLSGGPLGVGSAKGRLSMQYMQAMERLTEASYLGIPNNYQRPQSSGPLAKPGLLDRAGEPSTKARLSARPPGPDSAEALSSFASPRSFAPSFTPRLEPTGPTGPSARAEPSPSPAVLSGNTDLAPDKQHPIVPLPTQVPPATTSIPIPRKSKQLTLSLNYSASKIKNQAELHTMTDTNVGDIAVVLDGQSLQSQAAKSGPTIRSITLPMNFDKGGQLRFGWPGPGTLTVSYSM
+>sp|P07195|LDHB_HUMAN L-lactate dehydrogenase B chain OS=Homo sapiens OX=9606 GN=LDHB PE=1 SV=2
+MATLKEKLIAPVAEEEATVPNNKITVVGVGQVGMACAISILGKSLADELALVDVLEDKLKGEMMDLQHGSLFLQTPKIVADKDYSVTANSKIVVVTAGVRQQEGESRLNLVQRNVNVFKFIIPQIVKYSPDCIIIVVSNPVDILTYVTWKLSGLPKHRVIGSGCNLDSARFRYLMAEKLGIHPSSCHGWILGEHGDSSVAVWSGVNVAGVSLQELNPEMGTDNDSENWKEVHKMVVESAYEVIKLKGYTNWAIGLSVADLIESMLKNLSRIHPVSTMVKGMYGIENEVFLSLPCILNARGLTSVINQKLKDDEVAQLKKSADTLWDIQKDLKDL
+>DECOY_sp|P07195|LDHB_HUMAN L-lactate dehydrogenase B chain OS=Homo sapiens OX=9606 GN=LDHB PE=1 SV=2
+LDKLDKQIDWLTDASKKLQAVEDDKLKQNIVSTLGRANLICPLSLFVENEIGYMGKVMTSVPHIRSLNKLMSEILDAVSLGIAWNTYGKLKIVEYASEVVMKHVEKWNESDNDTGMEPNLEQLSVGAVNVGSWVAVSSDGHEGLIWGHCSSPHIGLKEAMLYRFRASDLNCGSGIVRHKPLGSLKWTVYTLIDVPNSVVIIICDPSYKVIQPIIFKFVNVNRQVLNLRSEGEQQRVGATVVVIKSNATVSYDKDAVIKPTQLFLSGHQLDMMEGKLKDELVDVLALEDALSKGLISIACAMGVQGVGVVTIKNNPVTAEEEAVPAILKEKLTAM
+>sp|P07864|LDHC_HUMAN L-lactate dehydrogenase C chain OS=Homo sapiens OX=9606 GN=LDHC PE=1 SV=4
+MSTVKEQLIEKLIEDDENSQCKITIVGTGAVGMACAISILLKDLADELALVDVALDKLKGEMMDLQHGSLFFSTSKITSGKDYSVSANSRIVIVTAGARQQEGETRLALVQRNVAIMKSIIPAIVHYSPDCKILVVSNPVDILTYIVWKISGLPVTRVIGSGCNLDSARFRYLIGEKLGVHPTSCHGWIIGEHGDSSVPLWSGVNVAGVALKTLDPKLGTDSDKEHWKNIHKQVIQSAYEIIKLKGYTSWAIGLSVMDLVGSILKNLRRVHPVSTMVKGLYGIKEELFLSIPCVLGRNGVSDVVKINLNSEEEALFKKSAETLWNIQKDLIF
+>DECOY_sp|P07864|LDHC_HUMAN L-lactate dehydrogenase C chain OS=Homo sapiens OX=9606 GN=LDHC PE=1 SV=4
+FILDKQINWLTEASKKFLAEEESNLNIKVVDSVGNRGLVCPISLFLEEKIGYLGKVMTSVPHVRRLNKLISGVLDMVSLGIAWSTYGKLKIIEYASQIVQKHINKWHEKDSDTGLKPDLTKLAVGAVNVGSWLPVSSDGHEGIIWGHCSTPHVGLKEGILYRFRASDLNCGSGIVRTVPLGSIKWVIYTLIDVPNSVVLIKCDPSYHVIAPIISKMIAVNRQVLALRTEGEQQRAGATVIVIRSNASVSYDKGSTIKSTSFFLSGHQLDMMEGKLKDLAVDVLALEDALDKLLISIACAMGVAGTGVITIKCQSNEDDEILKEILQEKVTSM
+>sp|P01130|LDLR_HUMAN Low-density lipoprotein receptor OS=Homo sapiens OX=9606 GN=LDLR PE=1 SV=1
+MGPWGWKLRWTVALLLAAAGTAVGDRCERNEFQCQDGKCISYKWVCDGSAECQDGSDESQETCLSVTCKSGDFSCGGRVNRCIPQFWRCDGQVDCDNGSDEQGCPPKTCSQDEFRCHDGKCISRQFVCDSDRDCLDGSDEASCPVLTCGPASFQCNSSTCIPQLWACDNDPDCEDGSDEWPQRCRGLYVFQGDSSPCSAFEFHCLSGECIHSSWRCDGGPDCKDKSDEENCAVATCRPDEFQCSDGNCIHGSRQCDREYDCKDMSDEVGCVNVTLCEGPNKFKCHSGECITLDKVCNMARDCRDWSDEPIKECGTNECLDNNGGCSHVCNDLKIGYECLCPDGFQLVAQRRCEDIDECQDPDTCSQLCVNLEGGYKCQCEEGFQLDPHTKACKAVGSIAYLFFTNRHEVRKMTLDRSEYTSLIPNLRNVVALDTEVASNRIYWSDLSQRMICSTQLDRAHGVSSYDTVISRDIQAPDGLAVDWIHSNIYWTDSVLGTVSVADTKGVKRKTLFRENGSKPRAIVVDPVHGFMYWTDWGTPAKIKKGGLNGVDIYSLVTENIQWPNGITLDLLSGRLYWVDSKLHSISSIDVNGGNRKTILEDEKRLAHPFSLAVFEDKVFWTDIINEAIFSANRLTGSDVNLLAENLLSPEDMVLFHNLTQPRGVNWCERTTLSNGGCQYLCLPAPQINPHSPKFTCACPDGMLLARDMRSCLTEAEAAVATQETSTVRLKVSSTAVRTQHTTTRPVPDTSRLPGATPGLTTVEIVTMSHQALGDVAGRGNEKKPSSVRALSIVLPIVLLVFLCLGVFLLWKNWRLKNINSINFDNPVYQKTTEDEVHICHNQDGYSYPSRQMVSLEDDVA
+>DECOY_sp|P01130|LDLR_HUMAN Low-density lipoprotein receptor OS=Homo sapiens OX=9606 GN=LDLR PE=1 SV=1
+AVDDELSVMQRSPYSYGDQNHCIHVEDETTKQYVPNDFNISNINKLRWNKWLLFVGLCLFVLLVIPLVISLARVSSPKKENGRGAVDGLAQHSMTVIEVTTLGPTAGPLRSTDPVPRTTTHQTRVATSSVKLRVTSTEQTAVAAEAETLCSRMDRALLMGDPCACTFKPSHPNIQPAPLCLYQCGGNSLTTRECWNVGRPQTLNHFLVMDEPSLLNEALLNVDSGTLRNASFIAENIIDTWFVKDEFVALSFPHALRKEDELITKRNGGNVDISSISHLKSDVWYLRGSLLDLTIGNPWQINETVLSYIDVGNLGGKKIKAPTGWDTWYMFGHVPDVVIARPKSGNERFLTKRKVGKTDAVSVTGLVSDTWYINSHIWDVALGDPAQIDRSIVTDYSSVGHARDLQTSCIMRQSLDSWYIRNSAVETDLAVVNRLNPILSTYESRDLTMKRVEHRNTFFLYAISGVAKCAKTHPDLQFGEECQCKYGGELNVCLQSCTDPDQCEDIDECRRQAVLQFGDPCLCEYGIKLDNCVHSCGGNNDLCENTGCEKIPEDSWDRCDRAMNCVKDLTICEGSHCKFKNPGECLTVNVCGVEDSMDKCDYERDCQRSGHICNGDSCQFEDPRCTAVACNEEDSKDKCDPGGDCRWSSHICEGSLCHFEFASCPSSDGQFVYLGRCRQPWEDSGDECDPDNDCAWLQPICTSSNCQFSAPGCTLVPCSAEDSGDLCDRDSDCVFQRSICKGDHCRFEDQSCTKPPCGQEDSGNDCDVQGDCRWFQPICRNVRGGCSFDGSKCTVSLCTEQSEDSGDQCEASGDCVWKYSICKGDQCQFENRECRDGVATGAAALLLAVTWRLKWGWPGM
+>sp|P05162|LEG2_HUMAN Galectin-2 OS=Homo sapiens OX=9606 GN=LGALS2 PE=1 SV=3
+MTGELEVKNMDMKPGSTLKITGSIADGTDGFVINLGQGTDKLNLHFNPRFSESTIVCNSLDGSNWGQEQREDHLCFSPGSEVKFTVTFESDKFKVKLPDGHELTFPNRLGHSHLSYLSVRGGFNMSSFKLKE
+>DECOY_sp|P05162|LEG2_HUMAN Galectin-2 OS=Homo sapiens OX=9606 GN=LGALS2 PE=1 SV=3
+EKLKFSSMNFGGRVSLYSLHSHGLRNPFTLEHGDPLKVKFKDSEFTVTFKVESGPSFCLHDERQEQGWNSGDLSNCVITSESFRPNFHLNLKDTGQGLNIVFGDTGDAISGTIKLTSGPKMDMNKVELEGTM
+>sp|P56470|LEG4_HUMAN Galectin-4 OS=Homo sapiens OX=9606 GN=LGALS4 PE=1 SV=1
+MAYVPAPGYQPTYNPTLPYYQPIPGGLNVGMSVYIQGVASEHMKRFFVNFVVGQDPGSDVAFHFNPRFDGWDKVVFNTLQGGKWGSEERKRSMPFKKGAAFELVFIVLAEHYKVVVNGNPFYEYGHRLPLQMVTHLQVDGDLQLQSINFIGGQPLRPQGPPMMPPYPGPGHCHQQLNSLPTMEGPPTFNPPVPYFGRLQGGLTARRTIIIKGYVPPTGKSFAINFKVGSSGDIALHINPRMGNGTVVRNSLLNGSWGSEEKKITHNPFGPGQFFDLSIRCGLDRFKVYANGQHLFDFAHRLSAFQRVDTLEIQGDVTLSYVQI
+>DECOY_sp|P56470|LEG4_HUMAN Galectin-4 OS=Homo sapiens OX=9606 GN=LGALS4 PE=1 SV=1
+IQVYSLTVDGQIELTDVRQFASLRHAFDFLHQGNAYVKFRDLGCRISLDFFQGPGFPNHTIKKEESGWSGNLLSNRVVTGNGMRPNIHLAIDGSSGVKFNIAFSKGTPPVYGKIIITRRATLGGQLRGFYPVPPNFTPPGEMTPLSNLQQHCHGPGPYPPMMPPGQPRLPQGGIFNISQLQLDGDVQLHTVMQLPLRHGYEYFPNGNVVVKYHEALVIFVLEFAAGKKFPMSRKREESGWKGGQLTNFVVKDWGDFRPNFHFAVDSGPDQGVVFNVFFRKMHESAVGQIYVSMGVNLGGPIPQYYPLTPNYTPQYGPAPVYAM
+>sp|Q6DKI2|LEG9C_HUMAN Galectin-9C OS=Homo sapiens OX=9606 GN=LGALS9C PE=1 SV=2
+MAFSGCQAPYLSPAVPFSGTIQGGLQDGFQITVNGAVLSCSGTRFAVDFQTGFSGNDIAFHFNPRFEDGGYVVCNTRQKGTWGPEERKMHMPFQKGMPFDLCFLVQSSDFKVMVNGSLFVQYFHRVPFHRVDTISVNGSVQLSYISFQNPRAVPVQPAFSTVPFSQPVCFPPRPRGRRQKPPSVRPANPAPITQTVIHTVQSASGQMFSQTPAIPPMMYPHPAYPMPFITTIPGGLYPSKSIILSGTVLPSAQRFHINLCSGSHIAFHMNPRFDENAVVRNTQINNSWGSEERSLPRKMPFVRGQSFSVWILCEAHCLKVAVDGQHVFEYYHRLRNLPTINKLEVGGDIQLTHVQT
+>DECOY_sp|Q6DKI2|LEG9C_HUMAN Galectin-9C OS=Homo sapiens OX=9606 GN=LGALS9C PE=1 SV=2
+TQVHTLQIDGGVELKNITPLNRLRHYYEFVHQGDVAVKLCHAECLIWVSFSQGRVFPMKRPLSREESGWSNNIQTNRVVANEDFRPNMHFAIHSGSCLNIHFRQASPLVTGSLIISKSPYLGGPITTIFPMPYAPHPYMMPPIAPTQSFMQGSASQVTHIVTQTIPAPNAPRVSPPKQRRGRPRPPFCVPQSFPVTSFAPQVPVARPNQFSIYSLQVSGNVSITDVRHFPVRHFYQVFLSGNVMVKFDSSQVLFCLDFPMGKQFPMHMKREEPGWTGKQRTNCVVYGGDEFRPNFHFAIDNGSFGTQFDVAFRTGSCSLVAGNVTIQFGDQLGGQITGSFPVAPSLYPAQCGSFAM
+>sp|O00182|LEG9_HUMAN Galectin-9 OS=Homo sapiens OX=9606 GN=LGALS9 PE=1 SV=2
+MAFSGSQAPYLSPAVPFSGTIQGGLQDGLQITVNGTVLSSSGTRFAVNFQTGFSGNDIAFHFNPRFEDGGYVVCNTRQNGSWGPEERKTHMPFQKGMPFDLCFLVQSSDFKVMVNGILFVQYFHRVPFHRVDTISVNGSVQLSYISFQNPRTVPVQPAFSTVPFSQPVCFPPRPRGRRQKPPGVWPANPAPITQTVIHTVQSAPGQMFSTPAIPPMMYPHPAYPMPFITTILGGLYPSKSILLSGTVLPSAQRFHINLCSGNHIAFHLNPRFDENAVVRNTQIDNSWGSEERSLPRKMPFVRGQSFSVWILCEAHCLKVAVDGQHLFEYYHRLRNLPTINRLEVGGDIQLTHVQT
+>DECOY_sp|O00182|LEG9_HUMAN Galectin-9 OS=Homo sapiens OX=9606 GN=LGALS9 PE=1 SV=2
+TQVHTLQIDGGVELRNITPLNRLRHYYEFLHQGDVAVKLCHAECLIWVSFSQGRVFPMKRPLSREESGWSNDIQTNRVVANEDFRPNLHFAIHNGSCLNIHFRQASPLVTGSLLISKSPYLGGLITTIFPMPYAPHPYMMPPIAPTSFMQGPASQVTHIVTQTIPAPNAPWVGPPKQRRGRPRPPFCVPQSFPVTSFAPQVPVTRPNQFSIYSLQVSGNVSITDVRHFPVRHFYQVFLIGNVMVKFDSSQVLFCLDFPMGKQFPMHTKREEPGWSGNQRTNCVVYGGDEFRPNFHFAIDNGSFGTQFNVAFRTGSSSLVTGNVTIQLGDQLGGQITGSFPVAPSLYPAQSGSFAM
+>sp|Q5T871|LELP1_HUMAN Late cornified envelope-like proline-rich protein 1 OS=Homo sapiens OX=9606 GN=LELP1 PE=3 SV=1
+MSSDDKSKSNDPKTEPKNCDPKCEQKCESKCQPSCLKKLLQRCFEKCPWEKCPAPPKCLPCPSQSPSSCPPQPCTKPCPPKCPSSCPHACPPPCPPPE
+>DECOY_sp|Q5T871|LELP1_HUMAN Late cornified envelope-like proline-rich protein 1 OS=Homo sapiens OX=9606 GN=LELP1 PE=3 SV=1
+EPPPCPPPCAHPCSSPCKPPCPKTCPQPPCSSPSQSPCPLCKPPAPCKEWPCKEFCRQLLKKLCSPQCKSECKQECKPDCNKPETKPDNSKSKDDSSM
+>sp|Q6P5S2|LEG1H_HUMAN Protein LEG1 homolog OS=Homo sapiens OX=9606 GN=LEG1 PE=1 SV=2
+MAFLPSWVCVLVGSFSASLAGTSNLSETEPPLWKESPGQLSDYRVENSMYIINPWVYLERMGMYKIILNQTARYFAKFAPDNEQNILWGLPLQYGWQYRTGRLADPTRRTNCGYESGDHMCISVDSWWADLNYFLSSLPFLAAVDSGVMGISSDQVRLLPPPKNERKFCYDVSSCRSSFPETMNKWNTFYQYLQSPFSKFDDLLKYLWAAHTSTLADNIKSFEDRYDYYSKAEAHFERSWVLAVDHLAAVLFPTTLIRSYKFQKGMPPRILLNTDVAPFISDFTAFQNVVLVLLNMLDNVDKSIGYLCTEKSNVYRDHSESSSRSYGNNS
+>DECOY_sp|Q6P5S2|LEG1H_HUMAN Protein LEG1 homolog OS=Homo sapiens OX=9606 GN=LEG1 PE=1 SV=2
+SNNGYSRSSSESHDRYVNSKETCLYGISKDVNDLMNLLVLVVNQFATFDSIFPAVDTNLLIRPPMGKQFKYSRILTTPFLVAALHDVALVWSREFHAEAKSYYDYRDEFSKINDALTSTHAAWLYKLLDDFKSFPSQLYQYFTNWKNMTEPFSSRCSSVDYCFKRENKPPPLLRVQDSSIGMVGSDVAALFPLSSLFYNLDAWWSDVSICMHDGSEYGCNTRRTPDALRGTRYQWGYQLPLGWLINQENDPAFKAFYRATQNLIIKYMGMRELYVWPNIIYMSNEVRYDSLQGPSEKWLPPETESLNSTGALSASFSGVLVCVWSPLFAM
+>sp|P09382|LEG1_HUMAN Galectin-1 OS=Homo sapiens OX=9606 GN=LGALS1 PE=1 SV=2
+MACGLVASNLNLKPGECLRVRGEVAPDAKSFVLNLGKDSNNLCLHFNPRFNAHGDANTIVCNSKDGGAWGTEQREAVFPFQPGSVAEVCITFDQANLTVKLPDGYEFKFPNRLNLEAINYMAADGDFKIKCVAFD
+>DECOY_sp|P09382|LEG1_HUMAN Galectin-1 OS=Homo sapiens OX=9606 GN=LGALS1 PE=1 SV=2
+DFAVCKIKFDGDAAMYNIAELNLRNPFKFEYGDPLKVTLNAQDFTICVEAVSGPQFPFVAERQETGWAGGDKSNCVITNADGHANFRPNFHLCLNNSDKGLNLVFSKADPAVEGRVRLCEGPKLNLNSAVLGCAM
+>sp|Q96PV6|LENG8_HUMAN Leukocyte receptor cluster member 8 OS=Homo sapiens OX=9606 GN=LENG8 PE=1 SV=3
+MAANVGDQRSTDWSSQYSMVAGAGRENGMETPMHENPEWEKARQALASISKSGAAGGSAKSSSNGPVASAQYVSQAEASALQQQQYYQWYQQYNYAYPYSYYYPMSMYQSYGSPSQYGMAGSYGSATPQQPSAPQHQGTLNQPPVPGMDESMSYQAPPQQLPSAQPPQPSNPPHGAHTLNSGPQPGTAPATQHSQAGPATGQAYGPHTYTEPAKPKKGQQLWNRMKPAPGTGGLKFNIQKRPFAVTTQSFGSNAEGQHSGFGPQPNPEKVQNHSGSSARGNLSGKPDDWPQDMKEYVERCFTACESEEDKDRTEKLLKEVLQARLQDGSAYTIDWSREPLPGLTREPVAESPKKKRWEAASSLHPPRGAGSATRGGGAPSQRGTPGAGGAGRARGNSFTKFGNRNVFMKDNSSSSSTDSRSRSSSRSPTRHFRRSDSHSDSDSSYSGNECHPVGRRNPPPKGRGGRGAHMDRGRGRAQRGKRHDLAPTKRSRKKMAALECEDPERELKKQKRAARFQHGHSRRLRLEPLVLQMSSLESSGADPDWQELQIVGTCPDITKHYLRLTCAPDPSTVRPVAVLKKSLCMVKCHWKEKQDYAFACEQMKSIRQDLTVQGIRTEFTVEVYETHARIALEKGDHEEFNQCQTQLKSLYAENLPGNVGEFTAYRILYYIFTKNSGDITTELAYLTRELKADPCVAHALALRTAWALGNYHRFFRLYCHAPCMSGYLVDKFADRERKVALKAMIKTFRPALPVSYLQAELAFEGEAACRAFLEPLGLAYTGPDNSSIDCRLSLAQLSAF
+>DECOY_sp|Q96PV6|LENG8_HUMAN Leukocyte receptor cluster member 8 OS=Homo sapiens OX=9606 GN=LENG8 PE=1 SV=3
+FASLQALSLRCDISSNDPGTYALGLPELFARCAAEGEFALEAQLYSVPLAPRFTKIMAKLAVKRERDAFKDVLYGSMCPAHCYLRFFRHYNGLAWATRLALAHAVCPDAKLERTLYALETTIDGSNKTFIYYLIRYATFEGVNGPLNEAYLSKLQTQCQNFEEHDGKELAIRAHTEYVEVTFETRIGQVTLDQRISKMQECAFAYDQKEKWHCKVMCLSKKLVAVPRVTSPDPACTLRLYHKTIDPCTGVIQLEQWDPDAGSSELSSMQLVLPELRLRRSHGHQFRAARKQKKLEREPDECELAAMKKRSRKTPALDHRKGRQARGRGRDMHAGRGGRGKPPPNRRGVPHCENGSYSSDSDSHSDSRRFHRTPSRSSSRSRSDTSSSSSNDKMFVNRNGFKTFSNGRARGAGGAGPTGRQSPAGGGRTASGAGRPPHLSSAAEWRKKKPSEAVPERTLGPLPERSWDITYASGDQLRAQLVEKLLKETRDKDEESECATFCREVYEKMDQPWDDPKGSLNGRASSGSHNQVKEPNPQPGFGSHQGEANSGFSQTTVAFPRKQINFKLGGTGPAPKMRNWLQQGKKPKAPETYTHPGYAQGTAPGAQSHQTAPATGPQPGSNLTHAGHPPNSPQPPQASPLQQPPAQYSMSEDMGPVPPQNLTGQHQPASPQQPTASGYSGAMGYQSPSGYSQYMSMPYYYSYPYAYNYQQYWQYYQQQQLASAEAQSVYQASAVPGNSSSKASGGAAGSKSISALAQRAKEWEPNEHMPTEMGNERGAGAVMSYQSSWDTSRQDGVNAAM
+>sp|P41159|LEP_HUMAN Leptin OS=Homo sapiens OX=9606 GN=LEP PE=1 SV=1
+MHWGTLCGFLWLWPYLFYVQAVPIQKVQDDTKTLIKTIVTRINDISHTQSVSSKQKVTGLDFIPGLHPILTLSKMDQTLAVYQQILTSMPSRNVIQISNDLENLRDLLHVLAFSKSCHLPWASGLETLDSLGGVLEASGYSTEVVALSRLQGSLQDMLWQLDLSPGC
+>DECOY_sp|P41159|LEP_HUMAN Leptin OS=Homo sapiens OX=9606 GN=LEP PE=1 SV=1
+CGPSLDLQWLMDQLSGQLRSLAVVETSYGSAELVGGLSDLTELGSAWPLHCSKSFALVHLLDRLNELDNSIQIVNRSPMSTLIQQYVALTQDMKSLTLIPHLGPIFDLGTVKQKSSVSQTHSIDNIRTVITKILTKTDDQVKQIPVAQVYFLYPWLWLFGCLTGWHM
+>sp|Q3ZCV2|LEXM_HUMAN Lymphocyte expansion molecule OS=Homo sapiens OX=9606 GN=LEXM PE=2 SV=4
+MRESQDAAGAHGWNRVGSTATKWFTGAPFGVQSHRFDISAVYPNWKKFSTFTEAPYSTRYSTQVSHIGPGTYSSKETCFSKKKLMKEVDTGWAKAQEATRLTQLPHFQYQAIMKEKRLKEQKLGPGSYNLKDFLEQLREKPCSTRGLLSSGEVRFRGLTGNYYPGPGNYGEKGNPYTKLEENAWNRSHSEGLMCRMSNKPHPRPHQGSGLGPGTYFFKSDLETYVARSVGTRGPYDTFSGDRSKPLPYGHYSMQKKKPRELMNFKSFVEELNSHHNKKHGVFSKLPRNPKTPTERIYWANLSQCPRTLATSGPSFWLPQEKKCKPVNQPPFLLTSKGSGAKACQMIMGSWNPVGVGRYLNTWLMETKDRRQRYRSLFLSGSKRYLSDLARDMLMQERITPFTKGKCPPTVDYNSDPTP
+>DECOY_sp|Q3ZCV2|LEXM_HUMAN Lymphocyte expansion molecule OS=Homo sapiens OX=9606 GN=LEXM PE=2 SV=4
+PTPDSNYDVTPPCKGKTFPTIREQMLMDRALDSLYRKSGSLFLSRYRQRRDKTEMLWTNLYRGVGVPNWSGMIMQCAKAGSGKSTLLFPPQNVPKCKKEQPLWFSPGSTALTRPCQSLNAWYIRETPTKPNRPLKSFVGHKKNHHSNLEEVFSKFNMLERPKKKQMSYHGYPLPKSRDGSFTDYPGRTGVSRAVYTELDSKFFYTGPGLGSGQHPRPHPKNSMRCMLGESHSRNWANEELKTYPNGKEGYNGPGPYYNGTLGRFRVEGSSLLGRTSCPKERLQELFDKLNYSGPGLKQEKLRKEKMIAQYQFHPLQTLRTAEQAKAWGTDVEKMLKKKSFCTEKSSYTGPGIHSVQTSYRTSYPAETFTSFKKWNPYVASIDFRHSQVGFPAGTFWKTATSGVRNWGHAGAADQSERM
+>sp|Q08380|LG3BP_HUMAN Galectin-3-binding protein OS=Homo sapiens OX=9606 GN=LGALS3BP PE=1 SV=1
+MTPPRLFWVWLLVAGTQGVNDGDMRLADGGATNQGRVEIFYRGQWGTVCDNLWDLTDASVVCRALGFENATQALGRAAFGQGSGPIMLDEVQCTGTEASLADCKSLGWLKSNCRHERDAGVVCTNETRSTHTLDLSRELSEALGQIFDSQRGCDLSISVNVQGEDALGFCGHTVILTANLEAQALWKEPGSNVTMSVDAECVPMVRDLLRYFYSRRIDITLSSVKCFHKLASAYGARQLQGYCASLFAILLPQDPSFQMPLDLYAYAVATGDALLEKLCLQFLAWNFEALTQAEAWPSVPTDLLQLLLPRSDLAVPSELALLKAVDTWSWGERASHEEVEGLVEKIRFPMMLPEELFELQFNLSLYWSHEALFQKKTLQALEFHTVPFQLLARYKGLNLTEDTYKPRIYTSPTWSAFVTDSSWSARKSQLVYQSRRGPLVKYSSDYFQAPSDYRYYPYQSFQTPQHPSFLFQDKRVSWSLVYLPTIQSCWNYGFSCSSDELPVLGLTKSGGSDRTIAYENKALMLCEGLFVADVTDFEGWKAAIPSALDTNSSKSTSSFPCPAGHFNGFRTVIRPFYLTNSSGVD
+>DECOY_sp|Q08380|LG3BP_HUMAN Galectin-3-binding protein OS=Homo sapiens OX=9606 GN=LGALS3BP PE=1 SV=1
+DVGSSNTLYFPRIVTRFGNFHGAPCPFSSTSKSSNTDLASPIAAKWGEFDTVDAVFLGECLMLAKNEYAITRDSGGSKTLGLVPLEDSSCSFGYNWCSQITPLYVLSWSVRKDQFLFSPHQPTQFSQYPYYRYDSPAQFYDSSYKVLPGRRSQYVLQSKRASWSSDTVFASWTPSTYIRPKYTDETLNLGKYRALLQFPVTHFELAQLTKKQFLAEHSWYLSLNFQLEFLEEPLMMPFRIKEVLGEVEEHSAREGWSWTDVAKLLALESPVALDSRPLLLQLLDTPVSPWAEAQTLAEFNWALFQLCLKELLADGTAVAYAYLDLPMQFSPDQPLLIAFLSACYGQLQRAGYASALKHFCKVSSLTIDIRRSYFYRLLDRVMPVCEADVSMTVNSGPEKWLAQAELNATLIVTHGCFGLADEGQVNVSISLDCGRQSDFIQGLAESLERSLDLTHTSRTENTCVVGADREHRCNSKLWGLSKCDALSAETGTCQVEDLMIPGSGQGFAARGLAQTANEFGLARCVVSADTLDWLNDCVTGWQGRYFIEVRGQNTAGGDALRMDGDNVGQTGAVLLWVWFLRPPTM
+>sp|Q9UBR4|LHX3_HUMAN LIM/homeobox protein Lhx3 OS=Homo sapiens OX=9606 GN=LHX3 PE=1 SV=2
+MLLETGLERDRARPGAAAVCTLGGTREIPLCAGCDQHILDRFILKALDRHWHSKCLKCSDCHTPLAERCFSRGESVYCKDDFFKRFGTKCAACQLGIPPTQVVRRAQDFVYHLHCFACVVCKRQLATGDEFYLMEDSRLVCKADYETAKQREAEATAKRPRTTITAKQLETLKSAYNTSPKPARHVREQLSSETGLDMRVVQVWFQNRRAKEKRLKKDAGRQRWGQYFRNMKRSRGGSKSDKDSVQEGQDSDAEVSFPDEPSLAEMGPANGLYGSLGEPTQALGRPSGALGNFSLEHGGLAGPEQYRELRPGSPYGVPPSPAAPQSLPGPQPLLSSLVYPDTSLGLVPSGAPGGPPPMRVLAGNGPSSDLSTGSSGGYPDFPASPASWLDEVDHAQF
+>DECOY_sp|Q9UBR4|LHX3_HUMAN LIM/homeobox protein Lhx3 OS=Homo sapiens OX=9606 GN=LHX3 PE=1 SV=2
+FQAHDVEDLWSAPSAPFDPYGGSSGTSLDSSPGNGALVRMPPPGGPAGSPVLGLSTDPYVLSSLLPQPGPLSQPAAPSPPVGYPSGPRLERYQEPGALGGHELSFNGLAGSPRGLAQTPEGLSGYLGNAPGMEALSPEDPFSVEADSDQGEQVSDKDSKSGGRSRKMNRFYQGWRQRGADKKLRKEKARRNQFWVQVVRMDLGTESSLQERVHRAPKPSTNYASKLTELQKATITTRPRKATAEAERQKATEYDAKCVLRSDEMLYFEDGTALQRKCVVCAFCHLHYVFDQARRVVQTPPIGLQCAACKTGFRKFFDDKCYVSEGRSFCREALPTHCDSCKLCKSHWHRDLAKLIFRDLIHQDCGACLPIERTGGLTCVAAAGPRARDRELGTELLM
+>sp|O43766|LIAS_HUMAN Lipoyl synthase, mitochondrial OS=Homo sapiens OX=9606 GN=LIAS PE=1 SV=3
+MSLRCGDAARTLGPRVFGRYFCSPVRPLSSLPDKKKELLQNGPDLQDFVSGDLADRSTWDEYKGNLKRQKGERLRLPPWLKTEIPMGKNYNKLKNTLRNLNLHTVCEEARCPNIGECWGGGEYATATATIMLMGDTCTRGCRFCSVKTARNPPPLDASEPYNTAKAIAEWGLDYVVLTSVDRDDMPDGGAEHIAKTVSYLKERNPKILVECLTPDFRGDLKAIEKVALSGLDVYAHNVETVPELQSKVRDPRANFDQSLRVLKHAKKVQPDVISKTSIMLGLGENDEQVYATMKALREADVDCLTLGQYMQPTRRHLKVEEYITPEKFKYWEKVGNELGFHYTASGPLVRSSYKAGEFFLKNLVAKRKTKDL
+>DECOY_sp|O43766|LIAS_HUMAN Lipoyl synthase, mitochondrial OS=Homo sapiens OX=9606 GN=LIAS PE=1 SV=3
+LDKTKRKAVLNKLFFEGAKYSSRVLPGSATYHFGLENGVKEWYKFKEPTIYEEVKLHRRTPQMYQGLTLCDVDAERLAKMTAYVQEDNEGLGLMISTKSIVDPQVKKAHKLVRLSQDFNARPDRVKSQLEPVTEVNHAYVDLGSLAVKEIAKLDGRFDPTLCEVLIKPNREKLYSVTKAIHEAGGDPMDDRDVSTLVVYDLGWEAIAKATNYPESADLPPPNRATKVSCFRCGRTCTDGMLMITATATAYEGGGWCEGINPCRAEECVTHLNLNRLTNKLKNYNKGMPIETKLWPPLRLREGKQRKLNGKYEDWTSRDALDGSVFDQLDPGNQLLEKKKDPLSSLPRVPSCFYRGFVRPGLTRAADGCRLSM
+>sp|P42702|LIFR_HUMAN Leukemia inhibitory factor receptor OS=Homo sapiens OX=9606 GN=LIFR PE=1 SV=1
+MMDIYVCLKRPSWMVDNKRMRTASNFQWLLSTFILLYLMNQVNSQKKGAPHDLKCVTNNLQVWNCSWKAPSGTGRGTDYEVCIENRSRSCYQLEKTSIKIPALSHGDYEITINSLHDFGSSTSKFTLNEQNVSLIPDTPEILNLSADFSTSTLYLKWNDRGSVFPHRSNVIWEIKVLRKESMELVKLVTHNTTLNGKDTLHHWSWASDMPLECAIHFVEIRCYIDNLHFSGLEEWSDWSPVKNISWIPDSQTKVFPQDKVILVGSDITFCCVSQEKVLSALIGHTNCPLIHLDGENVAIKIRNISVSASSGTNVVFTTEDNIFGTVIFAGYPPDTPQQLNCETHDLKEIICSWNPGRVTALVGPRATSYTLVESFSGKYVRLKRAEAPTNESYQLLFQMLPNQEIYNFTLNAHNPLGRSQSTILVNITEKVYPHTPTSFKVKDINSTAVKLSWHLPGNFAKINFLCEIEIKKSNSVQEQRNVTIKGVENSSYLVALDKLNPYTLYTFRIRCSTETFWKWSKWSNKKQHLTTEASPSKGPDTWREWSSDGKNLIIYWKPLPINEANGKILSYNVSCSSDEETQSLSEIPDPQHKAEIRLDKNDYIISVVAKNSVGSSPPSKIASMEIPNDDLKIEQVVGMGKGILLTWHYDPNMTCDYVIKWCNSSRSEPCLMDWRKVPSNSTETVIESDEFRPGIRYNFFLYGCRNQGYQLLRSMIGYIEELAPIVAPNFTVEDTSADSILVKWEDIPVEELRGFLRGYLFYFGKGERDTSKMRVLESGRSDIKVKNITDISQKTLRIADLQGKTSYHLVLRAYTDGGVGPEKSMYVVTKENSVGLIIAILIPVAVAVIVGVVTSILCYRKREWIKETFYPDIPNPENCKALQFQKSVCEGSSALKTLEMNPCTPNNVEVLETRSAFPKIEDTEIISPVAERPEDRSDAEPENHVVVSYCPPIIEEEIPNPAADEAGGTAQVIYIDVQSMYQPQAKPEEEQENDPVGGAGYKPQMHLPINSTVEDIAAEEDLDKTAGYRPQANVNTWNLVSPDSPRSIDSNSEIVSFGSPCSINSRQFLIPPKDEDSPKSNGGGWSFTNFFQNKPND
+>DECOY_sp|P42702|LIFR_HUMAN Leukemia inhibitory factor receptor OS=Homo sapiens OX=9606 GN=LIFR PE=1 SV=1
+DNPKNQFFNTFSWGGGNSKPSDEDKPPILFQRSNISCPSGFSVIESNSDISRPSDPSVLNWTNVNAQPRYGATKDLDEEAAIDEVTSNIPLHMQPKYGAGGVPDNEQEEEPKAQPQYMSQVDIYIVQATGGAEDAAPNPIEEEIIPPCYSVVVHNEPEADSRDEPREAVPSIIETDEIKPFASRTELVEVNNPTCPNMELTKLASSGECVSKQFQLAKCNEPNPIDPYFTEKIWERKRYCLISTVVGVIVAVAVPILIAIILGVSNEKTVVYMSKEPGVGGDTYARLVLHYSTKGQLDAIRLTKQSIDTINKVKIDSRGSELVRMKSTDREGKGFYFLYGRLFGRLEEVPIDEWKVLISDASTDEVTFNPAVIPALEEIYGIMSRLLQYGQNRCGYLFFNYRIGPRFEDSEIVTETSNSPVKRWDMLCPESRSSNCWKIVYDCTMNPDYHWTLLIGKGMGVVQEIKLDDNPIEMSAIKSPPSSGVSNKAVVSIIYDNKDLRIEAKHQPDPIESLSQTEEDSSCSVNYSLIKGNAENIPLPKWYIILNKGDSSWERWTDPGKSPSAETTLHQKKNSWKSWKWFTETSCRIRFTYLTYPNLKDLAVLYSSNEVGKITVNRQEQVSNSKKIEIECLFNIKAFNGPLHWSLKVATSNIDKVKFSTPTHPYVKETINVLITSQSRGLPNHANLTFNYIEQNPLMQFLLQYSENTPAEARKLRVYKGSFSEVLTYSTARPGVLATVRGPNWSCIIEKLDHTECNLQQPTDPPYGAFIVTGFINDETTFVVNTGSSASVSINRIKIAVNEGDLHILPCNTHGILASLVKEQSVCCFTIDSGVLIVKDQPFVKTQSDPIWSINKVPSWDSWEELGSFHLNDIYCRIEVFHIACELPMDSAWSWHHLTDKGNLTTNHTVLKVLEMSEKRLVKIEWIVNSRHPFVSGRDNWKLYLTSTSFDASLNLIEPTDPILSVNQENLTFKSTSSGFDHLSNITIEYDGHSLAPIKISTKELQYCSRSRNEICVEYDTGRGTGSPAKWSCNWVQLNNTVCKLDHPAGKKQSNVQNMLYLLIFTSLLWQFNSATRMRKNDVMWSPRKLCVYIDMM
+>sp|Q96FE5|LIGO1_HUMAN Leucine-rich repeat and immunoglobulin-like domain-containing nogo receptor-interacting protein 1 OS=Homo sapiens OX=9606 GN=LINGO1 PE=1 SV=2
+MQVSKRMLAGGVRSMPSPLLACWQPILLLVLGSVLSGSATGCPPRCECSAQDRAVLCHRKRFVAVPEGIPTETRLLDLGKNRIKTLNQDEFASFPHLEELELNENIVSAVEPGAFNNLFNLRTLGLRSNRLKLIPLGVFTGLSNLTKLDISENKIVILLDYMFQDLYNLKSLEVGDNDLVYISHRAFSGLNSLEQLTLEKCNLTSIPTEALSHLHGLIVLRLRHLNINAIRDYSFKRLYRLKVLEISHWPYLDTMTPNCLYGLNLTSLSITHCNLTAVPYLAVRHLVYLRFLNLSYNPISTIEGSMLHELLRLQEIQLVGGQLAVVEPYAFRGLNYLRVLNVSGNQLTTLEESVFHSVGNLETLILDSNPLACDCRLLWVFRRRWRLNFNRQQPTCATPEFVQGKEFKDFPDVLLPNYFTCRRARIRDRKAQQVFVDEGHTVQFVCRADGDPPPAILWLSPRKHLVSAKSNGRLTVFPDGTLEVRYAQVQDNGTYLCIAANAGGNDSMPAHLHVRSYSPDWPHQPNKTFAFISNQPGEGEANSTRATVPFPFDIKTLIIATTMGFISFLGVVLFCLVLLFLWSRGKGNTKHNIEIEYVPRKSDAGISSADAPRKFNMKMI
+>DECOY_sp|Q96FE5|LIGO1_HUMAN Leucine-rich repeat and immunoglobulin-like domain-containing nogo receptor-interacting protein 1 OS=Homo sapiens OX=9606 GN=LINGO1 PE=1 SV=2
+IMKMNFKRPADASSIGADSKRPVYEIEINHKTNGKGRSWLFLLVLCFLVVGLFSIFGMTTAIILTKIDFPFPVTARTSNAEGEGPQNSIFAFTKNPQHPWDPSYSRVHLHAPMSDNGGANAAICLYTGNDQVQAYRVELTGDPFVTLRGNSKASVLHKRPSLWLIAPPPDGDARCVFQVTHGEDVFVQQAKRDRIRARRCTFYNPLLVDPFDKFEKGQVFEPTACTPQQRNFNLRWRRRFVWLLRCDCALPNSDLILTELNGVSHFVSEELTTLQNGSVNLVRLYNLGRFAYPEVVALQGGVLQIEQLRLLEHLMSGEITSIPNYSLNLFRLYVLHRVALYPVATLNCHTISLSTLNLGYLCNPTMTDLYPWHSIELVKLRYLRKFSYDRIANINLHRLRLVILGHLHSLAETPISTLNCKELTLQELSNLGSFARHSIYVLDNDGVELSKLNYLDQFMYDLLIVIKNESIDLKTLNSLGTFVGLPILKLRNSRLGLTRLNFLNNFAGPEVASVINENLELEELHPFSAFEDQNLTKIRNKGLDLLRTETPIGEPVAVFRKRHCLVARDQASCECRPPCGTASGSLVSGLVLLLIPQWCALLPSPMSRVGGALMRKSVQM
+>sp|Q7L985|LIGO2_HUMAN Leucine-rich repeat and immunoglobulin-like domain-containing nogo receptor-interacting protein 2 OS=Homo sapiens OX=9606 GN=LINGO2 PE=2 SV=1
+MLHTAISCWQPFLGLAVVLIFMGSTIGCPARCECSAQNKSVSCHRRRLIAIPEGIPIETKILDLSKNRLKSVNPEEFISYPLLEEIDLSDNIIANVEPGAFNNLFNLRSLRLKGNRLKLVPLGVFTGLSNLTKLDISENKIVILLDYMFQDLHNLKSLEVGDNDLVYISHRAFSGLLSLEQLTLEKCNLTAVPTEALSHLRSLISLHLKHLNINNMPVYAFKRLFHLKHLEIDYWPLLDMMPANSLYGLNLTSLSVTNTNLSTVPFLAFKHLVYLTHLNLSYNPISTIEAGMFSDLIRLQELHIVGAQLRTIEPHSFQGLRFLRVLNVSQNLLETLEENVFSSPRALEVLSINNNPLACDCRLLWILQRQPTLQFGGQQPMCAGPDTIRERSFKDFHSTALSFYFTCKKPKIREKKLQHLLVDEGQTVQLECSADGDPQPVISWVTPRRRFITTKSNGRATVLGDGTLEIRFAQDQDSGMYVCIASNAAGNDTFTASLTVKGFASDRFLYANRTPMYMTDSNDTISNGTNANTFSLDLKTILVSTAMGCFTFLGVVLFCFLLLFVWSRGKGKHKNSIDLEYVPRKNNGAVVEGEVAGPRRFNMKMI
+>DECOY_sp|Q7L985|LIGO2_HUMAN Leucine-rich repeat and immunoglobulin-like domain-containing nogo receptor-interacting protein 2 OS=Homo sapiens OX=9606 GN=LINGO2 PE=2 SV=1
+IMKMNFRRPGAVEGEVVAGNNKRPVYELDISNKHKGKGRSWVFLLLFCFLVVGLFTFCGMATSVLITKLDLSFTNANTGNSITDNSDTMYMPTRNAYLFRDSAFGKVTLSATFTDNGAANSAICVYMGSDQDQAFRIELTGDGLVTARGNSKTTIFRRRPTVWSIVPQPDGDASCELQVTQGEDVLLHQLKKERIKPKKCTFYFSLATSHFDKFSRERITDPGACMPQQGGFQLTPQRQLIWLLRCDCALPNNNISLVELARPSSFVNEELTELLNQSVNLVRLFRLGQFSHPEITRLQAGVIHLEQLRILDSFMGAEITSIPNYSLNLHTLYVLHKFALFPVTSLNTNTVSLSTLNLGYLSNAPMMDLLPWYDIELHKLHFLRKFAYVPMNNINLHKLHLSILSRLHSLAETPVATLNCKELTLQELSLLGSFARHSIYVLDNDGVELSKLNHLDQFMYDLLIVIKNESIDLKTLNSLGTFVGLPVLKLRNGKLRLSRLNFLNNFAGPEVNAIINDSLDIEELLPYSIFEEPNVSKLRNKSLDLIKTEIPIGEPIAILRRRHCSVSKNQASCECRAPCGITSGMFILVVALGLFPQWCSIATHLM
+>sp|Q6UY18|LIGO4_HUMAN Leucine-rich repeat and immunoglobulin-like domain-containing nogo receptor-interacting protein 4 OS=Homo sapiens OX=9606 GN=LINGO4 PE=2 SV=1
+MDAATAPKQAWPPWPPLLFLLLLPGGSGGSCPAVCDCTSQPQAVLCGHRQLEAVPGGLPLDTELLDLSGNRLWGLQQGMLSRLSLLQELDLSYNQLSTLEPGAFHGLQSLLTLRLQGNRLRIMGPGVFSGLSALTLLDLRLNQIVLFLDGAFGELGSLQKLEVGDNHLVFVAPGAFAGLAKLSTLTLERCNLSTVPGLALARLPALVALRLRELDIGRLPAGALRGLGQLKELEIHLWPSLEALDPGSLVGLNLSSLAITRCNLSSVPFQALYHLSFLRVLDLSQNPISAIPARRLSPLVRLQELRLSGACLTSIAAHAFHGLTAFHLLDVADNALQTLEETAFPSPDKLVTLRLSGNPLTCDCRLLWLLRLRRHLDFGMSPPACAGPHHVQGKSLKEFSDILPPGHFTCKPALIRKSGPRWVIAEEGGHAVFSCSGDGDPAPTVSWMRPHGAWLGRAGRVRVLEDGTLEIRSVQLRDRGAYVCVVSNVAGNDSLRTWLEVIQVEPPNGTLSDPNITVPGIPGPFFLDSRGVAMVLAVGFLPFLTSVTLCFGLIALWSKGKGRVKHHMTFDFVAPRPSGDKNSGGNRVTAKLF
+>DECOY_sp|Q6UY18|LIGO4_HUMAN Leucine-rich repeat and immunoglobulin-like domain-containing nogo receptor-interacting protein 4 OS=Homo sapiens OX=9606 GN=LINGO4 PE=2 SV=1
+FLKATVRNGGSNKDGSPRPAVFDFTMHHKVRGKGKSWLAILGFCLTVSTLFPLFGVALVMAVGRSDLFFPGPIGPVTINPDSLTGNPPEVQIVELWTRLSDNGAVNSVVCVYAGRDRLQVSRIELTGDELVRVRGARGLWAGHPRMWSVTPAPDGDGSCSFVAHGGEEAIVWRPGSKRILAPKCTFHGPPLIDSFEKLSKGQVHHPGACAPPSMGFDLHRRLRLLWLLRCDCTLPNGSLRLTVLKDPSPFATEELTQLANDAVDLLHFATLGHFAHAAISTLCAGSLRLEQLRVLPSLRRAPIASIPNQSLDLVRLFSLHYLAQFPVSSLNCRTIALSSLNLGVLSGPDLAELSPWLHIELEKLQGLGRLAGAPLRGIDLERLRLAVLAPLRALALGPVTSLNCRELTLTSLKALGAFAGPAVFVLHNDGVELKQLSGLEGFAGDLFLVIQNLRLDLLTLASLGSFVGPGMIRLRNGQLRLTLLSQLGHFAGPELTSLQNYSLDLEQLLSLRSLMGQQLGWLRNGSLDLLETDLPLGGPVAELQRHGCLVAQPQSTCDCVAPCSGGSGGPLLLLFLLPPWPPWAQKPATAADM
+>sp|Q9UGP4|LIMD1_HUMAN LIM domain-containing protein 1 OS=Homo sapiens OX=9606 GN=LIMD1 PE=1 SV=1
+MDKYDDLGLEASKFIEDLNMYEASKDGLFRVDKGAGNNPEFEETRRVFATKMAKIHLQQQQQQLLQEETLPRGSRGPVNGGGRLGPQARWEVVGSKLTVDGAAKPPLAASTGAPGAVTTLAAGQPPYPPQEQRSRPYLHGTRHGSQDCGSRESLATSEMSAFHQPGPCEDPSCLTHGDYYDNLSLASPKWGDKPGVSPSIGLSVGSGWPSSPGSDPPLPKPCGDHPLNHRQLSLSSSRSSEGSLGGQNSGIGGRSSEKPTGLWSTASSQRVSPGLPSPNLENGAPAVGPVQPRTPSVSAPLALSCPRQGGLPRSNSGLGGEVSGVMSKPNVDPQPWFQDGPKSYLSSSAPSSSPAGLDGSQQGAVPGLGPKPGCTDLGTGPKLSPTSLVHPVMSTLPELSCKEGPLGWSSDGSLGSVLLDSPSSPRVRLPCQPLVPGPELRPSAAELKLEALTQRLEREMDAHPKADYFGACVKCSKGVFGAGQACQAMGNLYHDTCFTCAACSRKLRGKAFYFVNGKVFCEEDFLYSGFQQSADRCFLCGHLIMDMILQALGKSYHPGCFRCVICNECLDGVPFTVDSENKIYCVRDYHKVLAPKCAACGLPILPPEGSDETIRVVSMDRDYHVECYHCEDCGLELNDEDGHRCYPLEDHLFCHSCHVKRLEKRPSSTALHQHHF
+>DECOY_sp|Q9UGP4|LIMD1_HUMAN LIM domain-containing protein 1 OS=Homo sapiens OX=9606 GN=LIMD1 PE=1 SV=1
+FHHQHLATSSPRKELRKVHCSHCFLHDELPYCRHGDEDNLELGCDECHYCEVHYDRDMSVVRITEDSGEPPLIPLGCAACKPALVKHYDRVCYIKNESDVTFPVGDLCENCIVCRFCGPHYSKGLAQLIMDMILHGCLFCRDASQQFGSYLFDEECFVKGNVFYFAKGRLKRSCAACTFCTDHYLNGMAQCAQGAGFVGKSCKVCAGFYDAKPHADMERELRQTLAELKLEAASPRLEPGPVLPQCPLRVRPSSPSDLLVSGLSGDSSWGLPGEKCSLEPLTSMVPHVLSTPSLKPGTGLDTCGPKPGLGPVAGQQSGDLGAPSSSPASSSLYSKPGDQFWPQPDVNPKSMVGSVEGGLGSNSRPLGGQRPCSLALPASVSPTRPQVPGVAPAGNELNPSPLGPSVRQSSATSWLGTPKESSRGGIGSNQGGLSGESSRSSSLSLQRHNLPHDGCPKPLPPDSGPSSPWGSGVSLGISPSVGPKDGWKPSALSLNDYYDGHTLCSPDECPGPQHFASMESTALSERSGCDQSGHRTGHLYPRSRQEQPPYPPQGAALTTVAGPAGTSAALPPKAAGDVTLKSGVVEWRAQPGLRGGGNVPGRSGRPLTEEQLLQQQQQQLHIKAMKTAFVRRTEEFEPNNGAGKDVRFLGDKSAEYMNLDEIFKSAELGLDDYKDM
+>sp|Q9H400|LIME1_HUMAN Lck-interacting transmembrane adapter 1 OS=Homo sapiens OX=9606 GN=LIME1 PE=1 SV=1
+MGLPVSWAPPALWVLGCCALLLSLWALCTACRRPEDAVAPRKRARRQRARLQGSATAAEASLLRRTHLCSLSKSDTRLHELHRGPRSSRALRPASMDLLRPHWLEVSRDITGPQAAPSAFPHQELPRALPAAAATAGCAGLEATYSNVGLAALPGVSLAASPVVAEYARVQKRKGTHRSPQEPQQGKTEVTPAAQVDVLYSRVCKPKRRDPGPTTDPLDPKGQGAILALAGDLAYQTLPLRALDVDSGPLENVYESIRELGDPAGRSSTCGAGTPPASSCPSLGRGWRPLPASLP
+>DECOY_sp|Q9H400|LIME1_HUMAN Lck-interacting transmembrane adapter 1 OS=Homo sapiens OX=9606 GN=LIME1 PE=1 SV=1
+PLSAPLPRWGRGLSPCSSAPPTGAGCTSSRGAPDGLERISEYVNELPGSDVDLARLPLTQYALDGALALIAGQGKPDLPDTTPGPDRRKPKCVRSYLVDVQAAPTVETKGQQPEQPSRHTGKRKQVRAYEAVVPSAALSVGPLAALGVNSYTAELGACGATAAAAPLARPLEQHPFASPAAQPGTIDRSVELWHPRLLDMSAPRLARSSRPGRHLEHLRTDSKSLSCLHTRRLLSAEAATASGQLRARQRRARKRPAVADEPRRCATCLAWLSLLLACCGLVWLAPPAWSVPLGM
+>sp|P53671|LIMK2_HUMAN LIM domain kinase 2 OS=Homo sapiens OX=9606 GN=LIMK2 PE=1 SV=1
+MSALAGEDVWRCPGCGDHIAPSQIWYRTVNETWHGSCFRCSECQDSLTNWYYEKDGKLYCPKDYWGKFGEFCHGCSLLMTGPFMVAGEFKYHPECFACMSCKVIIEDGDAYALVQHATLYCGKCHNEVVLAPMFERLSTESVQEQLPYSVTLISMPATTEGRRGFSVSVESACSNYATTVQVKEVNRMHISPNNRNAIHPGDRILEINGTPVRTLRVEEVEDAISQTSQTLQLLIEHDPVSQRLDQLRLEARLAPHMQNAGHPHALSTLDTKENLEGTLRRRSLRRSNSISKSPGPSSPKEPLLFSRDISRSESLRCSSSYSQQIFRPCDLIHGEVLGKGFFGQAIKVTHKATGKVMVMKELIRCDEETQKTFLTEVKVMRSLDHPNVLKFIGVLYKDKKLNLLTEYIEGGTLKDFLRSMDPFPWQQKVRFAKGIASGMAYLHSMCIIHRDLNSHNCLIKLDKTVVVADFGLSRLIVEERKRAPMEKATTKKRTLRKNDRKKRYTVVGNPYWMAPEMLNGKSYDETVDIFSFGIVLCEIIGQVYADPDCLPRTLDFGLNVKLFWEKFVPTDCPPAFFPLAAICCRLEPESRPAFSKLEDSFEALSLYLGELGIPLPAELEELDHTVSMQYGLTRDSPP
+>DECOY_sp|P53671|LIMK2_HUMAN LIM domain kinase 2 OS=Homo sapiens OX=9606 GN=LIMK2 PE=1 SV=1
+PPSDRTLGYQMSVTHDLEELEAPLPIGLEGLYLSLAEFSDELKSFAPRSEPELRCCIAALPFFAPPCDTPVFKEWFLKVNLGFDLTRPLCDPDAYVQGIIECLVIGFSFIDVTEDYSKGNLMEPAMWYPNGVVTYRKKRDNKRLTRKKTTAKEMPARKREEVILRSLGFDAVVVTKDLKILCNHSNLDRHIICMSHLYAMGSAIGKAFRVKQQWPFPDMSRLFDKLTGGEIYETLLNLKKDKYLVGIFKLVNPHDLSRMVKVETLFTKQTEEDCRILEKMVMVKGTAKHTVKIAQGFFGKGLVEGHILDCPRFIQQSYSSSCRLSESRSIDRSFLLPEKPSSPGPSKSISNSRRLSRRRLTGELNEKTDLTSLAHPHGANQMHPALRAELRLQDLRQSVPDHEILLQLTQSTQSIADEVEEVRLTRVPTGNIELIRDGPHIANRNNPSIHMRNVEKVQVTTAYNSCASEVSVSFGRRGETTAPMSILTVSYPLQEQVSETSLREFMPALVVENHCKGCYLTAHQVLAYADGDEIIVKCSMCAFCEPHYKFEGAVMFPGTMLLSCGHCFEGFKGWYDKPCYLKGDKEYYWNTLSDQCESCRFCSGHWTENVTRYWIQSPAIHDGCGPCRWVDEGALASM
+>sp|P48059|LIMS1_HUMAN LIM and senescent cell antigen-like-containing domain protein 1 OS=Homo sapiens OX=9606 GN=LIMS1 PE=1 SV=4
+MANALASATCERCKGGFAPAEKIVNSNGELYHEQCFVCAQCFQQFPEGLFYEFEGRKYCEHDFQMLFAPCCHQCGEFIIGRVIKAMNNSWHPECFRCDLCQEVLADIGFVKNAGRHLCRPCHNREKARGLGKYICQKCHAIIDEQPLIFKNDPYHPDHFNCANCGKELTADARELKGELYCLPCHDKMGVPICGACRRPIEGRVVNAMGKQWHVEHFVCAKCEKPFLGHRHYERKGLAYCETHYNQLFGDVCFHCNRVIEGDVVSALNKAWCVNCFACSTCNTKLTLKNKFVEFDMKPVCKKCYEKFPLELKKRLKKLAETLGRK
+>DECOY_sp|P48059|LIMS1_HUMAN LIM and senescent cell antigen-like-containing domain protein 1 OS=Homo sapiens OX=9606 GN=LIMS1 PE=1 SV=4
+KRGLTEALKKLRKKLELPFKEYCKKCVPKMDFEVFKNKLTLKTNCTSCAFCNVCWAKNLASVVDGEIVRNCHFCVDGFLQNYHTECYALGKREYHRHGLFPKECKACVFHEVHWQKGMANVVRGEIPRRCAGCIPVGMKDHCPLCYLEGKLERADATLEKGCNACNFHDPHYPDNKFILPQEDIIAHCKQCIYKGLGRAKERNHCPRCLHRGANKVFGIDALVEQCLDCRFCEPHWSNNMAKIVRGIIFEGCQHCCPAFLMQFDHECYKRGEFEYFLGEPFQQFCQACVFCQEHYLEGNSNVIKEAPAFGGKCRECTASALANAM
+>sp|Q52LA3|LIN52_HUMAN Protein lin-52 homolog OS=Homo sapiens OX=9606 GN=LIN52 PE=1 SV=1
+MGWKMASPTDGTDLEASLLSFEKLDRASPDLWPEQLPGVAEFAASFKSPITSSPPKWMAEIERDDIDMLKELGSLTTANLMEKVRGLQNLAYQLGLDESREMTRGKFLNILEKPKK
+>DECOY_sp|Q52LA3|LIN52_HUMAN Protein lin-52 homolog OS=Homo sapiens OX=9606 GN=LIN52 PE=1 SV=1
+KKPKELINLFKGRTMERSEDLGLQYALNQLGRVKEMLNATTLSGLEKLMDIDDREIEAMWKPPSSTIPSKFSAAFEAVGPLQEPWLDPSARDLKEFSLLSAELDTGDTPSAMKWGM
+>sp|P11150|LIPC_HUMAN Hepatic triacylglycerol lipase OS=Homo sapiens OX=9606 GN=LIPC PE=1 SV=3
+MDTSPLCFSILLVLCIFIQSSALGQSLKPEPFGRRAQAVETNKTLHEMKTRFLLFGETNQGCQIRINHPDTLQECGFNSSLPLVMIIHGWSVDGVLENWIWQMVAALKSQPAQPVNVGLVDWITLAHDHYTIAVRNTRLVGKEVAALLRWLEESVQLSRSHVHLIGYSLGAHVSGFAGSSIGGTHKIGRITGLDAAGPLFEGSAPSNRLSPDDANFVDAIHTFTREHMGLSVGIKQPIGHYDFYPNGGSFQPGCHFLELYRHIAQHGFNAITQTIKCSHERSVHLFIDSLLHAGTQSMAYPCGDMNSFSQGLCLSCKKGRCNTLGYHVRQEPRSKSKRLFLVTRAQSPFKVYHYQFKIQFINQTETPIQTTFTMSLLGTKEKMQKIPITLGKGIASNKTYSFLITLDVDIGELIMIKFKWENSAVWANVWDTVQTIIPWSTGPRHSGLVLKTIRVKAGETQQRMTFCSENTDDLLLRPTQEKIFVKCEIKSKTSKRKIR
+>DECOY_sp|P11150|LIPC_HUMAN Hepatic triacylglycerol lipase OS=Homo sapiens OX=9606 GN=LIPC PE=1 SV=3
+RIKRKSTKSKIECKVFIKEQTPRLLLDDTNESCFTMRQQTEGAKVRITKLVLGSHRPGTSWPIITQVTDWVNAWVASNEWKFKIMILEGIDVDLTILFSYTKNSAIGKGLTIPIKQMKEKTGLLSMTFTTQIPTETQNIFQIKFQYHYVKFPSQARTVLFLRKSKSRPEQRVHYGLTNCRGKKCSLCLGQSFSNMDGCPYAMSQTGAHLLSDIFLHVSREHSCKITQTIANFGHQAIHRYLELFHCGPQFSGGNPYFDYHGIPQKIGVSLGMHERTFTHIADVFNADDPSLRNSPASGEFLPGAADLGTIRGIKHTGGISSGAFGSVHAGLSYGILHVHSRSLQVSEELWRLLAAVEKGVLRTNRVAITYHDHALTIWDVLGVNVPQAPQSKLAAVMQWIWNELVGDVSWGHIIMVLPLSSNFGCEQLTDPHNIRIQCGQNTEGFLLFRTKMEHLTKNTEVAQARRGFPEPKLSQGLASSQIFICLVLLISFCLPSTDM
+>sp|P07098|LIPG_HUMAN Gastric triacylglycerol lipase OS=Homo sapiens OX=9606 GN=LIPF PE=1 SV=1
+MWLLLTMASLISVLGTTHGLFGKLHPGSPEVTMNISQMITYWGYPNEEYEVVTEDGYILEVNRIPYGKKNSGNTGQRPVVFLQHGLLASATNWISNLPNNSLAFILADAGYDVWLGNSRGNTWARRNLYYSPDSVEFWAFSFDEMAKYDLPATIDFIVKKTGQKQLHYVGHSQGTTIGFIAFSTNPSLAKRIKTFYALAPVATVKYTKSLINKLRFVPQSLFKFIFGDKIFYPHNFFDQFLATEVCSREMLNLLCSNALFIICGFDSKNFNTSRLDVYLSHNPAGTSVQNMFHWTQAVKSGKFQAYDWGSPVQNRMHYDQSQPPYYNVTAMNVPIAVWNGGKDLLADPQDVGLLLPKLPNLIYHKEIPFYNHLDFIWAMDAPQEVYNDIVSMISEDKK
+>DECOY_sp|P07098|LIPG_HUMAN Gastric triacylglycerol lipase OS=Homo sapiens OX=9606 GN=LIPF PE=1 SV=1
+KKDESIMSVIDNYVEQPADMAWIFDLHNYFPIEKHYILNPLKPLLLGVDQPDALLDKGGNWVAIPVNMATVNYYPPQSQDYHMRNQVPSGWDYAQFKGSKVAQTWHFMNQVSTGAPNHSLYVDLRSTNFNKSDFGCIIFLANSCLLNLMERSCVETALFQDFFNHPYFIKDGFIFKFLSQPVFRLKNILSKTYKVTAVPALAYFTKIRKALSPNTSFAIFGITTGQSHGVYHLQKQGTKKVIFDITAPLDYKAMEDFSFAWFEVSDPSYYLNRRAWTNGRSNGLWVDYGADALIFALSNNPLNSIWNTASALLGHQLFVVPRQGTNGSNKKGYPIRNVELIYGDETVVEYEENPYGWYTIMQSINMTVEPSGPHLKGFLGHTTGLVSILSAMTLLLWM
+>sp|Q8WWY8|LIPH_HUMAN Lipase member H OS=Homo sapiens OX=9606 GN=LIPH PE=1 SV=1
+MLRFYLFISLLCLSRSDAEETCPSFTRLSFHSAVVGTGLNVRLMLYTRKNLTCAQTINSSAFGNLNVTKKTTFIVHGFRPTGSPPVWMDDLVKGLLSVEDMNVVVVDWNRGATTLIYTHASSKTRKVAMVLKEFIDQMLAEGASLDDIYMIGVSLGAHISGFVGEMYDGWLGRITGLDPAGPLFNGKPHQDRLDPSDAQFVDVIHSDTDALGYKEPLGNIDFYPNGGLDQPGCPKTILGGFQYFKCDHQRSVYLYLSSLRESCTITAYPCDSYQDYRNGKCVSCGTSQKESCPLLGYYADNWKDHLRGKDPPMTKAFFDTAEESPFCMYHYFVDIITWNKNVRRGDITIKLRDKAGNTTESKINHEPTTFQKYHQVSLLARFNQDLDKVAAISLMFSTGSLIGPRYKLRILRMKLRSLAHPERPQLCRYDLVLMENVETVFQPILCPELQL
+>DECOY_sp|Q8WWY8|LIPH_HUMAN Lipase member H OS=Homo sapiens OX=9606 GN=LIPH PE=1 SV=1
+LQLEPCLIPQFVTEVNEMLVLDYRCLQPREPHALSRLKMRLIRLKYRPGILSGTSFMLSIAAVKDLDQNFRALLSVQHYKQFTTPEHNIKSETTNGAKDRLKITIDGRRVNKNWTIIDVFYHYMCFPSEEATDFFAKTMPPDKGRLHDKWNDAYYGLLPCSEKQSTGCSVCKGNRYDQYSDCPYATITCSERLSSLYLYVSRQHDCKFYQFGGLITKPCGPQDLGGNPYFDINGLPEKYGLADTDSHIVDVFQADSPDLRDQHPKGNFLPGAPDLGTIRGLWGDYMEGVFGSIHAGLSVGIMYIDDLSAGEALMQDIFEKLVMAVKRTKSSAHTYILTTAGRNWDVVVVNMDEVSLLGKVLDDMWVPPSGTPRFGHVIFTTKKTVNLNGFASSNITQACTLNKRTYLMLRVNLGTGVVASHFSLRTFSPCTEEADSRSLCLLSIFLYFRLM
+>sp|Q5W064|LIPJ_HUMAN Lipase member J OS=Homo sapiens OX=9606 GN=LIPJ PE=2 SV=3
+MNISQIISYWGYPDEEYDIVTEDGYILGLYRIPYWRTDNNKNLAQRVVVYLQHGLLTSASSWISNLPNNSLGFILADAGYDVWMGNSRGNTWSRKHLYLETSSKEFWAFSFDEMAKYDLPASIDFTVKQTRQEEIFYVGHSQGTTIGFITFSTISKIAERIKIFFALAPVFSTKYLKSPLIRMTYKWKSIVMAFSGNKDFLPKTSFKKFIGSKLCPLQIFDKICLNILFMMFGYDPKNLNMSRLDVYFSHNPAGTSVQNMLHWSQLLNSTHLKAYDWGSPDLNLVHYNQTTSPLYNMTNMNVATAIWNGKSDLLADPEDVNILHSEITNHIYYKTISYYNHIDSLFGLDVYDQVYHEIIDIIQDNL
+>DECOY_sp|Q5W064|LIPJ_HUMAN Lipase member J OS=Homo sapiens OX=9606 GN=LIPJ PE=2 SV=3
+LNDQIIDIIEHYVQDYVDLGFLSDIHNYYSITKYYIHNTIESHLINVDEPDALLDSKGNWIATAVNMNTMNYLPSTTQNYHVLNLDPSGWDYAKLHTSNLLQSWHLMNQVSTGAPNHSFYVDLRSMNLNKPDYGFMMFLINLCIKDFIQLPCLKSGIFKKFSTKPLFDKNGSFAMVISKWKYTMRILPSKLYKTSFVPALAFFIKIREAIKSITSFTIFGITTGQSHGVYFIEEQRTQKVTFDISAPLDYKAMEDFSFAWFEKSSTELYLHKRSWTNGRSNGMWVDYGADALIFGLSNNPLNSIWSSASTLLGHQLYVVVRQALNKNNDTRWYPIRYLGLIYGDETVIDYEEDPYGWYSIIQSINM
+>sp|Q5VYY2|LIPM_HUMAN Lipase member M OS=Homo sapiens OX=9606 GN=LIPM PE=2 SV=2
+MLETLSRQWIVSHRMEMWLLILVAYMFQRNVNSVHMPTKAVDPEAFMNISEIIQHQGYPCEEYEVATEDGYILSVNRIPRGLVQPKKTGSRPVVLLQHGLVGGASNWISNLPNNSLGFILADAGFDVWMGNSRGNAWSRKHKTLSIDQDEFWAFSYDEMARFDLPAVINFILQKTGQEKIYYVGYSQGTTMGFIAFSTMPELAQKIKMYFALAPIATVKHAKSPGTKFLLLPDMMIKGLFGKKEFLYQTRFLRQLVIYLCGQVILDQICSNIMLLLGGFNTNNMNMSRASVYAAHTLAGTSVQNILHWSQAVNSGELRAFDWGSETKNLEKCNQPTPVRYRVRDMTVPTAMWTGGQDWLSNPEDVKMLLSEVTNLIYHKNIPEWAHVDFIWGLDAPHRMYNEIIHLMQQEETNLSQGRCEAVL
+>DECOY_sp|Q5VYY2|LIPM_HUMAN Lipase member M OS=Homo sapiens OX=9606 GN=LIPM PE=2 SV=2
+LVAECRGQSLNTEEQQMLHIIENYMRHPADLGWIFDVHAWEPINKHYILNTVESLLMKVDEPNSLWDQGGTWMATPVTMDRVRYRVPTPQNCKELNKTESGWDFARLEGSNVAQSWHLINQVSTGALTHAAYVSARSMNMNNTNFGGLLLMINSCIQDLIVQGCLYIVLQRLFRTQYLFEKKGFLGKIMMDPLLLFKTGPSKAHKVTAIPALAFYMKIKQALEPMTSFAIFGMTTGQSYGVYYIKEQGTKQLIFNIVAPLDFRAMEDYSFAWFEDQDISLTKHKRSWANGRSNGMWVDFGADALIFGLSNNPLNSIWNSAGGVLGHQLLVVPRSGTKKPQVLGRPIRNVSLIYGDETAVEYEECPYGQHQIIESINMFAEPDVAKTPMHVSNVNRQFMYAVLILLWMEMRHSVIWQRSLTELM
+>sp|P16233|LIPP_HUMAN Pancreatic triacylglycerol lipase OS=Homo sapiens OX=9606 GN=PNLIP PE=1 SV=1
+MLPLWTLSLLLGAVAGKEVCYERLGCFSDDSPWSGITERPLHILPWSPKDVNTRFLLYTNENPNNFQEVAADSSSISGSNFKTNRKTRFIIHGFIDKGEENWLANVCKNLFKVESVNCICVDWKGGSRTGYTQASQNIRIVGAEVAYFVEFLQSAFGYSPSNVHVIGHSLGAHAAGEAGRRTNGTIGRITGLDPAEPCFQGTPELVRLDPSDAKFVDVIHTDGAPIVPNLGFGMSQVVGHLDFFPNGGVEMPGCKKNILSQIVDIDGIWEGTRDFAACNHLRSYKYYTDSIVNPDGFAGFPCASYNVFTANKCFPCPSGGCPQMGHYADRYPGKTNDVGQKFYLDTGDASNFARWRYKVSVTLSGKKVTGHILVSLFGNKGNSKQYEIFKGTLKPDSTHSNEFDSDVDVGDLQMVKFIWYNNVINPTLPRVGASKIIVETNVGKQFNFCSPETVREEVLLTLTPC
+>DECOY_sp|P16233|LIPP_HUMAN Pancreatic triacylglycerol lipase OS=Homo sapiens OX=9606 GN=PNLIP PE=1 SV=1
+CPTLTLLVEERVTEPSCFNFQKGVNTEVIIKSAGVRPLTPNIVNNYWIFKVMQLDGVDVDSDFENSHTSDPKLTGKFIEYQKSNGKNGFLSVLIHGTVKKGSLTVSVKYRWRAFNSADGTDLYFKQGVDNTKGPYRDAYHGMQPCGGSPCPFCKNATFVNYSACPFGAFGDPNVISDTYYKYSRLHNCAAFDRTGEWIGDIDVIQSLINKKCGPMEVGGNPFFDLHGVVQSMGFGLNPVIPAGDTHIVDVFKADSPDLRVLEPTGQFCPEAPDLGTIRGITGNTRRGAEGAAHAGLSHGIVHVNSPSYGFASQLFEVFYAVEAGVIRINQSAQTYGTRSGGKWDVCICNVSEVKFLNKCVNALWNEEGKDIFGHIIFRTKRNTKFNSGSISSSDAAVEQFNNPNENTYLLFRTNVDKPSWPLIHLPRETIGSWPSDDSFCGLREYCVEKGAVAGLLLSLTWLPLM
+>sp|Q17RR3|LIPR3_HUMAN Pancreatic lipase-related protein 3 OS=Homo sapiens OX=9606 GN=PNLIPRP3 PE=2 SV=2
+MLGIWIVAFLFFGTSRGKEVCYERLGCFKDGLPWTRTFSTELVGLPWSPEKINTRFLLYTIHNPNAYQEISAVNSSTIQASYFGTDKITRINIAGWKTDGKWQRDMCNVLLQLEDINCINLDWINGSREYIHAVNNLRVVGAEVAYFIDVLMKKFEYSPSKVHLIGHSLGAHLAGEAGSRIPGLGRITGLDPAGPFFHNTPKEVRLDPSDANFVDVIHTNAARILFELGVGTIDACGHLDFYPNGGKHMPGCEDLITPLLKFNFNAYKKEMASFFDCNHARSYQFYAESILNPDAFIAYPCRSYTSFKAGNCFFCSKEGCPTMGHFADRFHFKNMKTNGSHYFLNTGSLSPFARWRHKLSVKLSGSEVTQGTVFLRVGGAVRKTGEFAIVSGKLEPGMTYTKLIDADVNVGNITSVQFIWKKHLFEDSQNKLGAEMVINTSGKYGYKSTFCSQDIMGPNILQNLKPC
+>DECOY_sp|Q17RR3|LIPR3_HUMAN Pancreatic lipase-related protein 3 OS=Homo sapiens OX=9606 GN=PNLIPRP3 PE=2 SV=2
+CPKLNQLINPGMIDQSCFTSKYGYKGSTNIVMEAGLKNQSDEFLHKKWIFQVSTINGVNVDADILKTYTMGPELKGSVIAFEGTKRVAGGVRLFVTGQTVESGSLKVSLKHRWRAFPSLSGTNLFYHSGNTKMNKFHFRDAFHGMTPCGEKSCFFCNGAKFSTYSRCPYAIFADPNLISEAYFQYSRAHNCDFFSAMEKKYANFNFKLLPTILDECGPMHKGGNPYFDLHGCADITGVGLEFLIRAANTHIVDVFNADSPDLRVEKPTNHFFPGAPDLGTIRGLGPIRSGAEGALHAGLSHGILHVKSPSYEFKKMLVDIFYAVEAGVVRLNNVAHIYERSGNIWDLNICNIDELQLLVNCMDRQWKGDTKWGAINIRTIKDTGFYSAQITSSNVASIEQYANPNHITYLLFRTNIKEPSWPLGVLETSFTRTWPLGDKFCGLREYCVEKGRSTGFFLFAVIWIGLM
+>sp|A6NK58|LIPT2_HUMAN Putative lipoyltransferase 2, mitochondrial OS=Homo sapiens OX=9606 GN=LIPT2 PE=1 SV=1
+MRQPAVRLVRLGRVPYAELLGLQDRWLRRLQAEPGIEAPSGTEAGALLLCEPAGPVYTAGLRGGLTPEETARLRALGAEVRVTGRGGLATFHGPGQLLCHPVLDLRRLGLRLRMHVASLEACAVRLCELQGLQDARARPPPYTGVWLDDRKICAIGVRCGRHITSHGLALNCSTDLTWFEHIVPCGLVGTGVTSLSKELQRHVTVEEVMPPFLVAFKEIYKCTLISEDSPN
+>DECOY_sp|A6NK58|LIPT2_HUMAN Putative lipoyltransferase 2, mitochondrial OS=Homo sapiens OX=9606 GN=LIPT2 PE=1 SV=1
+NPSDESILTCKYIEKFAVLFPPMVEEVTVHRQLEKSLSTVGTGVLGCPVIHEFWTLDTSCNLALGHSTIHRGCRVGIACIKRDDLWVGTYPPPRARADQLGQLECLRVACAELSAVHMRLRLGLRRLDLVPHCLLQGPGHFTALGGRGTVRVEAGLARLRATEEPTLGGRLGATYVPGAPECLLLAGAETGSPAEIGPEAQLRRLWRDQLGLLEAYPVRGLRVLRVAPQRM
+>sp|Q9Y234|LIPT_HUMAN Lipoyltransferase 1, mitochondrial OS=Homo sapiens OX=9606 GN=LIPT1 PE=1 SV=1
+MLIPFSMKNCFQLLCNCQVPAAGFKKTVKNGLILQSISNDVYQNLAVEDWIHDHMNLEGKPILFFWQNSPSVVIGRHQNPWQECNLNLMREEGIKLARRRSGGGTVYHDMGNINLTFFTTKKKYDRMENLKLIVRALNAVQPQLDVQATKRFDLLLDGQFKISGTASKIGRTTAYHHCTLLCSTDGTFLSSLLKSPYQGIRSNATASIPSLVKNLLEKDPTLTCEVLMNAVATEYAAYHQIDNHIHLINPTDETLFPGINSKAKELQTWEWIYGKTPKFSINTSFHVLYEQSHLEIKVFIDIKNGRIEICNIEAPDHWLPLEIRDKLNSSLIGSKFCPTETTMLTNILLRTCPQDHKLNSKWNILCEKIKGIM
+>DECOY_sp|Q9Y234|LIPT_HUMAN Lipoyltransferase 1, mitochondrial OS=Homo sapiens OX=9606 GN=LIPT1 PE=1 SV=1
+MIGKIKECLINWKSNLKHDQPCTRLLINTLMTTETPCFKSGILSSNLKDRIELPLWHDPAEINCIEIRGNKIDIFVKIELHSQEYLVHFSTNISFKPTKGYIWEWTQLEKAKSNIGPFLTEDTPNILHIHNDIQHYAAYETAVANMLVECTLTPDKELLNKVLSPISATANSRIGQYPSKLLSSLFTGDTSCLLTCHHYATTRGIKSATGSIKFQGDLLLDFRKTAQVDLQPQVANLARVILKLNEMRDYKKKTTFFTLNINGMDHYVTGGGSRRRALKIGEERMLNLNCEQWPNQHRGIVVSPSNQWFFLIPKGELNMHDHIWDEVALNQYVDNSISQLILGNKVTKKFGAAPVQCNCLLQFCNKMSFPILM
+>sp|Q8NHJ6|LIRB4_HUMAN Leukocyte immunoglobulin-like receptor subfamily B member 4 OS=Homo sapiens OX=9606 GN=LILRB4 PE=1 SV=3
+MIPTFTALLCLGLSLGPRTHMQAGPLPKPTLWAEPGSVISWGNSVTIWCQGTLEAREYRLDKEESPAPWDRQNPLEPKNKARFSIPSMTEDYAGRYRCYYRSPVGWSQPSDPLELVMTGAYSKPTLSALPSPLVTSGKSVTLLCQSRSPMDTFLLIKERAAHPLLHLRSEHGAQQHQAEFPMSPVTSVHGGTYRCFSSHGFSHYLLSHPSDPLELIVSGSLEDPRPSPTRSVSTAAGPEDQPLMPTGSVPHSGLRRHWEVLIGVLVVSILLLSLLLFLLLQHWRQGKHRTLAQRQADFQRPPGAAEPEPKDGGLQRRSSPAADVQGENFCAAVKNTQPEDGVEMDTRQSPHDEDPQAVTYAKVKHSRPRREMASPPSPLSGEFLDTKDRQAEEDRQMDTEAAASEAPQDVTYAQLHSFTLRQKATEPPPSQEGASPAEPSVYATLAIH
+>DECOY_sp|Q8NHJ6|LIRB4_HUMAN Leukocyte immunoglobulin-like receptor subfamily B member 4 OS=Homo sapiens OX=9606 GN=LILRB4 PE=1 SV=3
+HIALTAYVSPEAPSAGEQSPPPETAKQRLTFSHLQAYTVDQPAESAAAETDMQRDEEAQRDKTDLFEGSLPSPPSAMERRPRSHKVKAYTVAQPDEDHPSQRTDMEVGDEPQTNKVAACFNEGQVDAAPSSRRQLGGDKPEPEAAGPPRQFDAQRQALTRHKGQRWHQLLLFLLLSLLLISVVLVGILVEWHRRLGSHPVSGTPMLPQDEPGAATSVSRTPSPRPDELSGSVILELPDSPHSLLYHSFGHSSFCRYTGGHVSTVPSMPFEAQHQQAGHESRLHLLPHAAREKILLFTDMPSRSQCLLTVSKGSTVLPSPLASLTPKSYAGTMVLELPDSPQSWGVPSRYYCRYRGAYDETMSPISFRAKNKPELPNQRDWPAPSEEKDLRYERAELTGQCWITVSNGWSIVSGPEAWLTPKPLPGAQMHTRPGLSLGLCLLATFTPIM
+>sp|Q8TD35|LKAM1_HUMAN Protein LKAAEAR1 OS=Homo sapiens OX=9606 GN=LKAAEAR1 PE=2 SV=3
+MPPPAKEGGRKGPRERSGKSAPGTAQGEERAKGAPATEPPKPGWALTPQGLAAMLPAQRHRHLLFGDLLEDVGAAASTFPCGSVEPGYRMPDPRPWTQSLELPAERQNRLLGVLKAAEARGRVRALRLRYTRMRAEEIALLIQRQKSARAAIRLELFLPPQLKPARIPDPLDRQERRRVETILEENVDGTIFPR
+>DECOY_sp|Q8TD35|LKAM1_HUMAN Protein LKAAEAR1 OS=Homo sapiens OX=9606 GN=LKAAEAR1 PE=2 SV=3
+RPFITGDVNEELITEVRRREQRDLPDPIRAPKLQPPLFLELRIAARASKQRQILLAIEEARMRTYRLRLARVRGRAEAAKLVGLLRNQREAPLELSQTWPRPDPMRYGPEVSGCPFTSAAAGVDELLDGFLLHRHRQAPLMAALGQPTLAWGPKPPETAPAGKAREEGQATGPASKGSRERPGKRGGEKAPPPM
+>sp|Q9Y468|LMBL1_HUMAN Lethal(3)malignant brain tumor-like protein 1 OS=Homo sapiens OX=9606 GN=L3MBTL1 PE=1 SV=4
+MHLVAGDSPGSGPHLPATAFIIPASSATLGLPSSALDVSCFPREPIHVGAPEQVAGCEPVSATVLPQLSAGPASSSTSTVRLLEWTEAAAPPPGGGLRFRISEYKPLNMAGVEQPPSPELRQEGVTEYEDGGAPAGDGEAGPQQAEDHPQNPPEDPNQDPPEDDSTCQCQACGPHQAAGPDLGSSNDGCPQLFQERSVIVENSSGSTSASELLKPMKKRKRREYQSPSEEESEPEAMEKQEEGKDPEGQPTASTPESEEWSSSQPATGEKKECWSWESYLEEQKAITAPVSLFQDSQAVTHNKNGFKLGMKLEGIDPQHPSMYFILTVAEVCGYRLRLHFDGYSECHDFWVNANSPDIHPAGWFEKTGHKLQPPKGYKEEEFSWSQYLRSTRAQAAPKHLFVSQSHSPPPLGFQVGMKLEAVDRMNPSLVCVASVTDVVDSRFLVHFDNWDDTYDYWCDPSSPYIHPVGWCQKQGKPLTPPQDYPDPDNFCWEKYLEETGASAVPTWAFKVRPPHSFLVNMKLEAVDRRNPALIRVASVEDVEDHRIKIHFDGWSHGYDFWIDADHPDIHPAGWCSKTGHPLQPPLGPREPSSASPGGCPPLSYRSLPHTRTSKYSFHHRKCPTPGCDGSGHVTGKFTAHHCLSGCPLAERNQSRLKAELSDSEASARKKNLSGFSPRKKPRHHGRIGRPPKYRKIPQEDFQTLTPDVVHQSLFMSALSAHPDRSLSVCWEQHCKLLPGVAGISASTVAKWTIDEVFGFVQTLTGCEDQARLFKDEARIVRVTHVSGKTLVWTVAQLGDLVCSDHLQEGKGILETGVHSLLCSLPTHLLAKLSFASDSQY
+>DECOY_sp|Q9Y468|LMBL1_HUMAN Lethal(3)malignant brain tumor-like protein 1 OS=Homo sapiens OX=9606 GN=L3MBTL1 PE=1 SV=4
+YQSDSAFSLKALLHTPLSCLLSHVGTELIGKGEQLHDSCVLDGLQAVTWVLTKGSVHTVRVIRAEDKFLRAQDECGTLTQVFGFVEDITWKAVTSASIGAVGPLLKCHQEWCVSLSRDPHASLASMFLSQHVVDPTLTQFDEQPIKRYKPPRGIRGHHRPKKRPSFGSLNKKRASAESDSLEAKLRSQNREALPCGSLCHHATFKGTVHGSGDCGPTPCKRHHFSYKSTRTHPLSRYSLPPCGGPSASSPERPGLPPQLPHGTKSCWGAPHIDPHDADIWFDYGHSWGDFHIKIRHDEVDEVSAVRILAPNRRDVAELKMNVLFSHPPRVKFAWTPVASAGTEELYKEWCFNDPDPYDQPPTLPKGQKQCWGVPHIYPSSPDCWYDYTDDWNDFHVLFRSDVVDTVSAVCVLSPNMRDVAELKMGVQFGLPPPSHSQSVFLHKPAAQARTSRLYQSWSFEEEKYGKPPQLKHGTKEFWGAPHIDPSNANVWFDHCESYGDFHLRLRYGCVEAVTLIFYMSPHQPDIGELKMGLKFGNKNHTVAQSDQFLSVPATIAKQEELYSEWSWCEKKEGTAPQSSSWEESEPTSATPQGEPDKGEEQKEMAEPESEEESPSQYERRKRKKMPKLLESASTSGSSNEVIVSREQFLQPCGDNSSGLDPGAAQHPGCAQCQCTSDDEPPDQNPDEPPNQPHDEAQQPGAEGDGAPAGGDEYETVGEQRLEPSPPQEVGAMNLPKYESIRFRLGGGPPPAAAETWELLRVTSTSSSAPGASLQPLVTASVPECGAVQEPAGVHIPERPFCSVDLASSPLGLTASSAPIIFATAPLHPGSGPSDGAVLHM
+>sp|Q8WVP7|LMBR1_HUMAN Limb region 1 protein homolog OS=Homo sapiens OX=9606 GN=LMBR1 PE=1 SV=1
+MEGQDEVSAREQHFHSQVRESTICFLLFAILYVVSYFIITRYKRKSDEQEDEDAIVNRISLFLSTFTLAVSAGAVLLLPFSIISNEILLSFPQNYYIQWLNGSLIHGLWNLASLFSNLCLFVLMPFAFFFLESEGFAGLKKGIRARILETLVMLLLLALLILGIVWVASALIDNDAASMESLYDLWEFYLPYLYSCISLMGCLLLLLCTPVGLSRMFTVMGQLLVKPTILEDLDEQIYIITLEEEALQRRLNGLSSSVEYNIMELEQELENVKTLKTKLERRKKASAWERNLVYPAVMVLLLIETSISVLLVACNILCLLVDETAMPKGTRGPGIGNASLSTFGFVGAALEIILIFYLMVSSVVGFYSLRFFGNFTPKKDDTTMTKIIGNCVSILVLSSALPVMSRTLGITRFDLLGDFGRFNWLGNFYIVLSYNLLFAIVTTLCLVRKFTSAVREELFKALGLHKLHLPNTSRDSETAKPSVNGHQKAL
+>DECOY_sp|Q8WVP7|LMBR1_HUMAN Limb region 1 protein homolog OS=Homo sapiens OX=9606 GN=LMBR1 PE=1 SV=1
+LAKQHGNVSPKATESDRSTNPLHLKHLGLAKFLEERVASTFKRVLCLTTVIAFLLNYSLVIYFNGLWNFRGFDGLLDFRTIGLTRSMVPLASSLVLISVCNGIIKTMTTDDKKPTFNGFFRLSYFGVVSSVMLYFILIIELAAGVFGFTSLSANGIGPGRTGKPMATEDVLLCLINCAVLLVSISTEILLLVMVAPYVLNREWASAKKRRELKTKLTKVNELEQELEMINYEVSSSLGNLRRQLAEEELTIIYIQEDLDELITPKVLLQGMVTFMRSLGVPTCLLLLLCGMLSICSYLYPLYFEWLDYLSEMSAADNDILASAVWVIGLILLALLLLMVLTELIRARIGKKLGAFGESELFFFAFPMLVFLCLNSFLSALNWLGHILSGNLWQIYYNQPFSLLIENSIISFPLLLVAGASVALTFTSLFLSIRNVIADEDEQEDSKRKYRTIIFYSVVYLIAFLLFCITSERVQSHFHQERASVEDQGEM
+>sp|Q96KR4|LMLN_HUMAN Leishmanolysin-like peptidase OS=Homo sapiens OX=9606 GN=LMLN PE=2 SV=2
+MVTTLGPKMAAEWGGGVGYSGSGPGRSRWRWSGSVWVRSVLLLLGGLRASATSTPVSLGSSPPCRHHVPSDTEVINKVHLKANHVVKRDVDEHLRIKTVYDKSVEELLPEKKNLVKNKLFPQAISYLEKTFQVRRPAGTILLSRQCATNQYLRKENDPHRYCTGECAAHTKCGPVIVPEEHLQQCRVYRGGKWPHGAVGVPDQEGISDADFVLYVGALATERCSHENIISYAAYCQQEANMDRPIAGYANLCPNMISTQPQEFVGMLSTVKHEVIHALGFSAGLFAFYHDKDGNPLTSRFADGLPPFNYSLGLYQWSDKVVRKVERLWDVRDNKIVRHTVYLLVTPRVVEEARKHFDCPVLEGMELENQGGVGTELNHWEKRLLENEAMTGSHTQNRVLSRITLALMEDTGRQMLSPYCDTLRSNPLQLTCRQDQRAVAVCNLQKFPKPLPQEYQYFDELSGIPAEDLPYYGGSVEIADYCPFSQEFSWHLSGEYQRSSDCRILENQPEIFKNYGAEKYGPHSVCLIQKSAFVMEKCERKLSYPDWGSGCYQVSCSPQGLKVWVQDTSYLCSRAGQVLPVSIQMNGWIHDGNLLCPSCWDFCELCPPETDPPATNLTRALPLDLCSCSSSLVVTLWLLLGNLFPLLAGFLLCIWH
+>DECOY_sp|Q96KR4|LMLN_HUMAN Leishmanolysin-like peptidase OS=Homo sapiens OX=9606 GN=LMLN PE=2 SV=2
+HWICLLFGALLPFLNGLLLWLTVVLSSSCSCLDLPLARTLNTAPPDTEPPCLECFDWCSPCLLNGDHIWGNMQISVPLVQGARSCLYSTDQVWVKLGQPSCSVQYCGSGWDPYSLKRECKEMVFASKQILCVSHPGYKEAGYNKFIEPQNELIRCDSSRQYEGSLHWSFEQSFPCYDAIEVSGGYYPLDEAPIGSLEDFYQYEQPLPKPFKQLNCVAVARQDQRCTLQLPNSRLTDCYPSLMQRGTDEMLALTIRSLVRNQTHSGTMAENELLRKEWHNLETGVGGQNELEMGELVPCDFHKRAEEVVRPTVLLYVTHRVIKNDRVDWLREVKRVVKDSWQYLGLSYNFPPLGDAFRSTLPNGDKDHYFAFLGASFGLAHIVEHKVTSLMGVFEQPQTSIMNPCLNAYGAIPRDMNAEQQCYAAYSIINEHSCRETALAGVYLVFDADSIGEQDPVGVAGHPWKGGRYVRCQQLHEEPVIVPGCKTHAACEGTCYRHPDNEKRLYQNTACQRSLLITGAPRRVQFTKELYSIAQPFLKNKVLNKKEPLLEEVSKDYVTKIRLHEDVDRKVVHNAKLHVKNIVETDSPVHHRCPPSSGLSVPTSTASARLGGLLLLVSRVWVSGSWRWRSRGPGSGSYGVGGGWEAAMKPGLTTVM
+>sp|Q643R3|LPCT4_HUMAN Lysophospholipid acyltransferase LPCAT4 OS=Homo sapiens OX=9606 GN=LPCAT4 PE=1 SV=1
+MSQGSPGDWAPLDPTPGPPASPNPFVHELHLSRLQRVKFCLLGALLAPIRVLLAFIVLFLLWPFAWLQVAGLSEEQLQEPITGWRKTVCHNGVLGLSRLLFFLLGFLRIRVRGQRASRLQAPVLVAAPHSTFFDPIVLLPCDLPKVVSRAENLSVPVIGALLRFNQAILVSRHDPASRRRVVEEVRRRATSGGKWPQVLFFPEGTCSNKKALLKFKPGAFIAGVPVQPVLIRYPNSLDTTSWAWRGPGVLKVLWLTASQPCSIVDVEFLPVYHPSPEESRDPTLYANNVQRVMAQALGIPATECEFVGSLPVIVVGRLKVALEPQLWELGKVLRKAGLSAGYVDAGAEPGRSRMISQEEFARQLQLSDPQTVAGAFGYFQQDTKGLVDFRDVALALAALDGGRSLEELTRLAFELFAEEQAEGPNRLLYKDGFSTILHLLLGSPHPAATALHAELCQAGSSQGLSLCQFQNFSLHDPLYGKLFSTYLRPPHTSRGTSQTPNASSPGNPTALANGTVQAPKQKGD
+>DECOY_sp|Q643R3|LPCT4_HUMAN Lysophospholipid acyltransferase LPCAT4 OS=Homo sapiens OX=9606 GN=LPCAT4 PE=1 SV=1
+DGKQKPAQVTGNALATPNGPSSANPTQSTGRSTHPPRLYTSFLKGYLPDHLSFNQFQCLSLGQSSGAQCLEAHLATAAPHPSGLLLHLITSFGDKYLLRNPGEAQEEAFLEFALRTLEELSRGGDLAALALAVDRFDVLGKTDQQFYGFAGAVTQPDSLQLQRAFEEQSIMRSRGPEAGADVYGASLGAKRLVKGLEWLQPELAVKLRGVVIVPLSGVFECETAPIGLAQAMVRQVNNAYLTPDRSEEPSPHYVPLFEVDVISCPQSATLWLVKLVGPGRWAWSTTDLSNPYRILVPQVPVGAIFAGPKFKLLAKKNSCTGEPFFLVQPWKGGSTARRRVEEVVRRRSAPDHRSVLIAQNFRLLAGIVPVSLNEARSVVKPLDCPLLVIPDFFTSHPAAVLVPAQLRSARQGRVRIRLFGLLFFLLRSLGLVGNHCVTKRWGTIPEQLQEESLGAVQLWAFPWLLFLVIFALLVRIPALLAGLLCFKVRQLRSLHLEHVFPNPSAPPGPTPDLPAWDGPSGQSM
+>sp|P09848|LPH_HUMAN Lactase-phlorizin hydrolase OS=Homo sapiens OX=9606 GN=LCT PE=1 SV=3
+MELSWHVVFIALLSFSCWGSDWESDRNFISTAGPLTNDLLHNLSGLLGDQSSNFVAGDKDMYVCHQPLPTFLPEYFSSLHASQITHYKVFLSWAQLLPAGSTQNPDEKTVQCYRRLLKALKTARLQPMVILHHQTLPASTLRRTEAFADLFADYATFAFHSFGDLVGIWFTFSDLEEVIKELPHQESRASQLQTLSDAHRKAYEIYHESYAFQGGKLSVVLRAEDIPELLLEPPISALAQDTVDFLSLDLSYECQNEASLRQKLSKLQTIEPKVKVFIFNLKLPDCPSTMKNPASLLFSLFEAINKDQVLTIGFDINEFLSCSSSSKKSMSCSLTGSLALQPDQQQDHETTDSSPASAYQRIWEAFANQSRAERDAFLQDTFPEGFLWGASTGAFNVEGGWAEGGRGVSIWDPRRPLNTTEGQATLEVASDSYHKVASDVALLCGLRAQVYKFSISWSRIFPMGHGSSPSLPGVAYYNKLIDRLQDAGIEPMATLFHWDLPQALQDHGGWQNESVVDAFLDYAAFCFSTFGDRVKLWVTFHEPWVMSYAGYGTGQHPPGISDPGVASFKVAHLVLKAHARTWHHYNSHHRPQQQGHVGIVLNSDWAEPLSPERPEDLRASERFLHFMLGWFAHPVFVDGDYPATLRTQIQQMNRQCSHPVAQLPEFTEAEKQLLKGSADFLGLSHYTSRLISNAPQNTCIPSYDTIGGFSQHVNHVWPQTSSSWIRVVPWGIRRLLQFVSLEYTRGKVPIYLAGNGMPIGESENLFDDSLRVDYFNQYINEVLKAIKEDSVDVRSYIARSLIDGFEGPSGYSQRFGLHHVNFSDSSKSRTPRKSAYFFTSIIEKNGFLTKGAKRLLPPNTVNLPSKVRAFTFPSEVPSKAKVVWEKFSSQPKFERDLFYHGTFRDDFLWGVSSSAYQIEGAWDADGKGPSIWDNFTHTPGSNVKDNATGDIACDSYHQLDADLNMLRALKVKAYRFSISWSRIFPTGRNSSINSHGVDYYNRLINGLVASNIFPMVTLFHWDLPQALQDIGGWENPALIDLFDSYADFCFQTFGDRVKFWMTFNEPMYLAWLGYGSGEFPPGVKDPGWAPYRIAHAVIKAHARVYHTYDEKYRQEQKGVISLSLSTHWAEPKSPGVPRDVEAADRMLQFSLGWFAHPIFRNGDYPDTMKWKVGNRSELQHLATSRLPSFTEEEKRFIRATADVFCLNTYYSRIVQHKTPRLNPPSYEDDQEMAEEEDPSWPSTAMNRAAPWGTRRLLNWIKEEYGDIPIYITENGVGLTNPNTEDTDRIFYHKTYINEALKAYRLDGIDLRGYVAWSLMDNFEWLNGYTVKFGLYHVDFNNTNRPRTARASARYYTEVITNNGMPLAREDEFLYGRFPEGFIWSAASAAYQIEGAWRADGKGLSIWDTFSHTPLRVENDAIGDVACDSYHKIAEDLVTLQNLGVSHYRFSISWSRILPDGTTRYINEAGLNYYVRLIDTLLAASIQPQVTIYHWDLPQTLQDVGGWENETIVQRFKEYADVLFQRLGDKVKFWITLNEPFVIAYQGYGYGTAAPGVSNRPGTAPYIVGHNLIKAHAEAWHLYNDVYRASQGGVISITISSDWAEPRDPSNQEDVEAARRYVQFMGGWFAHPIFKNGDYNEVMKTRIRDRSLAAGLNKSRLPEFTESEKRRINGTYDFFGFNHYTTVLAYNLNYATAISSFDADRGVASIADRSWPDSGSFWLKMTPFGFRRILNWLKEEYNDPPIYVTENGVSQREETDLNDTARIYYLRTYINEALKAVQDKVDLRGYTVWSAMDNFEWATGFSERFGLHFVNYSDPSLPRIPKASAKFYASVVRCNGFPDPATGPHACLHQPDAGPTISPVRQEEVQFLGLMLGTTEAQTALYVLFSLVLLGVCGLAFLSYKYCKRSKQGKTQRSQQELSPVSSF
+>DECOY_sp|P09848|LPH_HUMAN Lactase-phlorizin hydrolase OS=Homo sapiens OX=9606 GN=LCT PE=1 SV=3
+FSSVPSLEQQSRQTKGQKSRKCYKYSLFALGCVGLLVLSFLVYLATQAETTGLMLGLFQVEEQRVPSITPGADPQHLCAHPGTAPDPFGNCRVVSAYFKASAKPIRPLSPDSYNVFHLGFRESFGTAWEFNDMASWVTYGRLDVKDQVAKLAENIYTRLYYIRATDNLDTEERQSVGNETVYIPPDNYEEKLWNLIRRFGFPTMKLWFSGSDPWSRDAISAVGRDADFSSIATAYNLNYALVTTYHNFGFFDYTGNIRRKESETFEPLRSKNLGAALSRDRIRTKMVENYDGNKFIPHAFWGGMFQVYRRAAEVDEQNSPDRPEAWDSSITISIVGGQSARYVDNYLHWAEAHAKILNHGVIYPATGPRNSVGPAATGYGYGQYAIVFPENLTIWFKVKDGLRQFLVDAYEKFRQVITENEWGGVDQLTQPLDWHYITVQPQISAALLTDILRVYYNLGAENIYRTTGDPLIRSWSISFRYHSVGLNQLTVLDEAIKHYSDCAVDGIADNEVRLPTHSFTDWISLGKGDARWAGEIQYAASAASWIFGEPFRGYLFEDERALPMGNNTIVETYYRASARATRPRNTNNFDVHYLGFKVTYGNLWEFNDMLSWAVYGRLDIGDLRYAKLAENIYTKHYFIRDTDETNPNTLGVGNETIYIPIDGYEEKIWNLLRRTGWPAARNMATSPWSPDEEEAMEQDDEYSPPNLRPTKHQVIRSYYTNLCFVDATARIFRKEEETFSPLRSTALHQLESRNGVKWKMTDPYDGNRFIPHAFWGLSFQLMRDAAEVDRPVGPSKPEAWHTSLSLSIVGKQEQRYKEDYTHYVRAHAKIVAHAIRYPAWGPDKVGPPFEGSGYGLWALYMPENFTMWFKVRDGFTQFCFDAYSDFLDILAPNEWGGIDQLAQPLDWHFLTVMPFINSAVLGNILRNYYDVGHSNISSNRGTPFIRSWSISFRYAKVKLARLMNLDADLQHYSDCAIDGTANDKVNSGPTHTFNDWISPGKGDADWAGEIQYASSSVGWLFDDRFTGHYFLDREFKPQSSFKEWVVKAKSPVESPFTFARVKSPLNVTNPPLLRKAGKTLFGNKEIISTFFYASKRPTRSKSSDSFNVHHLGFRQSYGSPGEFGDILSRAIYSRVDVSDEKIAKLVENIYQNFYDVRLSDDFLNESEGIPMGNGALYIPVKGRTYELSVFQLLRRIGWPVVRIWSSSTQPWVHNVHQSFGGITDYSPICTNQPANSILRSTYHSLGLFDASGKLLQKEAETFEPLQAVPHSCQRNMQQIQTRLTAPYDGDVFVPHAFWGLMFHLFRESARLDEPREPSLPEAWDSNLVIGVHGQQQPRHHSNYHHWTRAHAKLVLHAVKFSAVGPDSIGPPHQGTGYGAYSMVWPEHFTVWLKVRDGFTSFCFAAYDLFADVVSENQWGGHDQLAQPLDWHFLTAMPEIGADQLRDILKNYYAVGPLSPSSGHGMPFIRSWSISFKYVQARLGCLLAVDSAVKHYSDSAVELTAQGETTNLPRRPDWISVGRGGEAWGGEVNFAGTSAGWLFGEPFTDQLFADREARSQNAFAEWIRQYASAPSSDTTEHDQQQDPQLALSGTLSCSMSKKSSSSCSLFENIDFGITLVQDKNIAEFLSFLLSAPNKMTSPCDPLKLNFIFVKVKPEITQLKSLKQRLSAENQCEYSLDLSLFDVTDQALASIPPELLLEPIDEARLVVSLKGGQFAYSEHYIEYAKRHADSLTQLQSARSEQHPLEKIVEELDSFTFWIGVLDGFSHFAFTAYDAFLDAFAETRRLTSAPLTQHHLIVMPQLRATKLAKLLRRYCQVTKEDPNQTSGAPLLQAWSLFVKYHTIQSAHLSSFYEPLFTPLPQHCVYMDKDGAVFNSSQDGLLGSLNHLLDNTLPGATSIFNRDSEWDSGWCSFSLLAIFVVHWSLEM
+>sp|Q9BQK8|LPIN3_HUMAN Phosphatidate phosphatase LPIN3 OS=Homo sapiens OX=9606 GN=LPIN3 PE=1 SV=3
+MNYVGQLAETVFGTVKELYRGLNPATLSGGIDVLVVKQVDGSFRCSPFHVRFGKLGVLRSREKVVDIELNGEPVDLHMKLGDSGEAFFVQELESDDEHVPPGLCTSPIPWGGLSGFPSDSQLGTASEPEGLVMAGTASTGRRKRRRRRKPKQKEDAVATDSSPEELEAGAESELSLPEKLRPEPPGVQLEEKSSLQPKDIYPYSDGEWPPQASLSAGELTSPKSDSELEVRTPEPSPLRAESHMQWAWGRLPKVARAERPESSVVLEGRAGATSPPRGGPSTPSTSVAGGVDPLGLPIQQTEAGADLQPDTEDPTLVGPPLHTPETEESKTQSSGDMGLPPASKSWSWATLEVPVPTGQPERVSRGKGSPKRSQHLGPSDIYLDDLPSLDSENAALYFPQSDSGLGARRWSEPSSQKSLRDPNPEHEPEPTLDTVDTIALSLCGGLADSRDISLEKFNQHSVSYQDLTKNPGLLDDPNLVVKINGKHYNWAVAAPMILSLQAFQKNLPKSTMDKLEREKMPRKGGRWWFSWRRRDFLAEERSAQKEKTAAKEQQGEKTEVLSSDDDAPDSPVILEIPSLPPSTPPSTPTYKKSLRLSSDQIRRLNLQEGANDVVFSVTTQYQGTCRCKATIYLWKWDDKVVISDIDGTITKSDALGHILPQLGKDWTHQGITSLYHKIQLNGYKFLYCSARAIGMADLTKGYLQWVSEGGCSLPKGPILLSPSSLFSALHREVIEKKPEVFKVACLSDIQQLFLPHGQPFYAAFGNRPNDVFAYRQVGLPESRIFTVNPRGELIQELIKNHKSTYERLGEVVELLFPPVARGPSTDLANPEYSNFCYWREPLPAVDLDTLD
+>DECOY_sp|Q9BQK8|LPIN3_HUMAN Phosphatidate phosphatase LPIN3 OS=Homo sapiens OX=9606 GN=LPIN3 PE=1 SV=3
+DLTDLDVAPLPERWYCFNSYEPNALDTSPGRAVPPFLLEVVEGLREYTSKHNKILEQILEGRPNVTFIRSEPLGVQRYAFVDNPRNGFAAYFPQGHPLFLQQIDSLCAVKFVEPKKEIVERHLASFLSSPSLLIPGKPLSCGGESVWQLYGKTLDAMGIARASCYLFKYGNLQIKHYLSTIGQHTWDKGLQPLIHGLADSKTITGDIDSIVVKDDWKWLYITAKCRCTGQYQTTVSFVVDNAGEQLNLRRIQDSSLRLSKKYTPTSPPTSPPLSPIELIVPSDPADDDSSLVETKEGQQEKAATKEKQASREEALFDRRRWSFWWRGGKRPMKERELKDMTSKPLNKQFAQLSLIMPAAVAWNYHKGNIKVVLNPDDLLGPNKTLDQYSVSHQNFKELSIDRSDALGGCLSLAITDVTDLTPEPEHEPNPDRLSKQSSPESWRRAGLGSDSQPFYLAANESDLSPLDDLYIDSPGLHQSRKPSGKGRSVREPQGTPVPVELTAWSWSKSAPPLGMDGSSQTKSEETEPTHLPPGVLTPDETDPQLDAGAETQQIPLGLPDVGGAVSTSPTSPGGRPPSTAGARGELVVSSEPREARAVKPLRGWAWQMHSEARLPSPEPTRVELESDSKPSTLEGASLSAQPPWEGDSYPYIDKPQLSSKEELQVGPPEPRLKEPLSLESEAGAELEEPSSDTAVADEKQKPKRRRRRKRRGTSATGAMVLGEPESATGLQSDSPFGSLGGWPIPSTCLGPPVHEDDSELEQVFFAEGSDGLKMHLDVPEGNLEIDVVKERSRLVGLKGFRVHFPSCRFSGDVQKVVLVDIGGSLTAPNLGRYLEKVTGFVTEALQGVYNM
+>sp|Q86U10|LPP60_HUMAN 60 kDa lysophospholipase OS=Homo sapiens OX=9606 GN=ASPG PE=2 SV=3
+MARAVGPERRLLAVYTGGTIGMRSELGVLVPGTGLAAILRTLPMFHDEEHARARGLSEDTLVLPPASRNQRILYTVLECQPLFDSSDMTIAEWVCLAQTIKRHYEQYHGFVVIHGTDTMAFAASMLSFMLENLQKTVILTGAQVPIHALWSDGRENLLGALLMAGQYVIPEVCLFFQNQLFRGNRATKVDARRFAAFCSPNLLPLATVGADITINRELVRKVDGKAGLVVHSSMEQDVGLLRLYPGIPAALVRAFLQPPLKGVVMETFGSGNGPTKPDLLQELRVATERGLVIVNCTHCLQGAVTTDYAAGMAMAGAGVISGFDMTSEAALAKLSYVLGQPGLSLDVRKELLTKDLRGEMTPPSVEERRPSLQGNTLGGGVSWLLSLSGSQEADALRNALVPSLACAAAHAGDVEALQALVELGSDLGLVDFNGQTPLHAAARGGHTEAVTMLLQRGVDVNTRDTDGFSPLLLAVRGRHPGVIGLLREAGASLSTQELEEAGTELCRLAYRADLEGLQVWWQAGADLGQPGYDGHSALHVAEAAGNLAVVAFLQSLEGAVGAQAPCPEVLPGV
+>DECOY_sp|Q86U10|LPP60_HUMAN 60 kDa lysophospholipase OS=Homo sapiens OX=9606 GN=ASPG PE=2 SV=3
+VGPLVEPCPAQAGVAGELSQLFAVVALNGAAEAVHLASHGDYGPQGLDAGAQWWVQLGELDARYALRCLETGAEELEQTSLSAGAERLLGIVGPHRGRVALLLPSFGDTDRTNVDVGRQLLMTVAETHGGRAAAHLPTQGNFDVLGLDSGLEVLAQLAEVDGAHAAACALSPVLANRLADAEQSGSLSLLWSVGGGLTNGQLSPRREEVSPPTMEGRLDKTLLEKRVDLSLGPQGLVYSLKALAAESTMDFGSIVGAGAMAMGAAYDTTVAGQLCHTCNVIVLGRETAVRLEQLLDPKTPGNGSGFTEMVVGKLPPQLFARVLAAPIGPYLRLLGVDQEMSSHVVLGAKGDVKRVLERNITIDAGVTALPLLNPSCFAAFRRADVKTARNGRFLQNQFFLCVEPIVYQGAMLLAGLLNERGDSWLAHIPVQAGTLIVTKQLNELMFSLMSAAFAMTDTGHIVVFGHYQEYHRKITQALCVWEAITMDSSDFLPQCELVTYLIRQNRSAPPLVLTDESLGRARAHEEDHFMPLTRLIAALGTGPVLVGLESRMGITGGTYVALLRREPGVARAM
+>sp|P60369|KR103_HUMAN Keratin-associated protein 10-3 OS=Homo sapiens OX=9606 GN=KRTAP10-3 PE=2 SV=2
+MATSTMSVCSSAYSDSWQVDACPESCCEPPCCATSCCAPAPCLTLVCTPVSCVSSPCCQAACEPSPCQSGCTSSCTPSCCQQSSCQPACCTSSPCQQACCVPVCCKPVCCVPVCCKPVCCKPICCVPVCSGASSSCCQQSSRQPACCTTSCCRPSSSVSLLCRPVCRSTCCVPIPSCCAPASTCQPSCCRPASCVSLLCRPTCSRLSSACCGLSSGQKSSC
+>DECOY_sp|P60369|KR103_HUMAN Keratin-associated protein 10-3 OS=Homo sapiens OX=9606 GN=KRTAP10-3 PE=2 SV=2
+CSSKQGSSLGCCASSLRSCTPRCLLSVCSAPRCCSPQCTSAPACCSPIPVCCTSRCVPRCLLSVSSSPRCCSTTCCAPQRSSQQCCSSSAGSCVPVCCIPKCCVPKCCVPVCCVPKCCVPVCCAQQCPSSTCCAPQCSSQQCCSPTCSSTCGSQCPSPECAAQCCPSSVCSVPTCVLTLCPAPACCSTACCPPECCSEPCADVQWSDSYASSCVSMTSTAM
+>sp|P60372|KR104_HUMAN Keratin-associated protein 10-4 OS=Homo sapiens OX=9606 GN=KRTAP10-4 PE=1 SV=1
+MSVCSSDLSYSSRVCLPGSCDSCSDSWQVDDCPESCCEPPCCAPSCCAPAPCLSLVCTPVSRVSSPCCPVTCEPSPCQSGCTSSCTPSCCQQSSCQLACCASSPCQQACCVPVCCKTVCCKPVCCVPVCCGDSSCCQQSSCQSACCTSSPCQQACCVPICCKPVCSGISSSCCQQSSCVSCVSSPCCQAVCEPSPCQSGCISSCTPSCCQQSSCQPACCTSSSCQQACCVPVCCKTVCCKPVCSEDSSSCCQQSSCQPACCTSSPCQQACCVPVCCKPVCCKPVGSVPICSGASSLCCQQSSCQPACCTSSQSQQGCCVPVCCKPVSCVPVCSGASSSCCQQSSCQPACCTTSCCRPSSSVSLLCRPVCRPACCVPVPSCCAPTSSCQPSCCRPASCVSLL
+>DECOY_sp|P60372|KR104_HUMAN Keratin-associated protein 10-4 OS=Homo sapiens OX=9606 GN=KRTAP10-4 PE=1 SV=1
+LLSVCSAPRCCSPQCSSTPACCSPVPVCCAPRCVPRCLLSVSSSPRCCSTTCCAPQCSSQQCCSSSAGSCVPVCSVPKCCVPVCCGQQSQSSTCCAPQCSSQQCCLSSAGSCIPVSGVPKCCVPKCCVPVCCAQQCPSSTCCAPQCSSQQCCSSSDESCVPKCCVTKCCVPVCCAQQCSSSTCCAPQCSSQQCCSPTCSSICGSQCPSPECVAQCCPSSVCSVCSSQQCCSSSIGSCVPKCCIPVCCAQQCPSSTCCASQCSSQQCCSSDGCCVPVCCVPKCCVTKCCVPVCCAQQCPSSACCALQCSSQQCCSPTCSSTCGSQCPSPECTVPCCPSSVRSVPTCVLSLCPAPACCSPACCPPECCSEPCDDVQWSDSCSDCSGPLCVRSSYSLDSSCVSM
+>sp|P60410|KR108_HUMAN Keratin-associated protein 10-8 OS=Homo sapiens OX=9606 GN=KRTAP10-8 PE=1 SV=2
+MADACCTRTYVIAASTMSVCSSDVGHVSRVSSPSTCTGSSWQVDNCQESCCEPRSCASSCCTPSCCAPAPCLALVCAPVSCEPSPCQSGCTDSCTPSCCQQSSCQPACCTSSPCQQACCVPVCCKSNCCKPVCCVSICSGASSPCCQQSSCQSACCTFSPCQQACCVPICCKPICCVPVCSGASSLCCQKSSCQPACCTTSCCRPSSSVSLLCRPVCRPACCVPVPSCCVPASSCQPSCCHPASCLSFLCRPACSRLAC
+>DECOY_sp|P60410|KR108_HUMAN Keratin-associated protein 10-8 OS=Homo sapiens OX=9606 GN=KRTAP10-8 PE=1 SV=2
+CALRSCAPRCLFSLCSAPHCCSPQCSSAPVCCSPVPVCCAPRCVPRCLLSVSSSPRCCSTTCCAPQCSSKQCCLSSAGSCVPVCCIPKCCIPVCCAQQCPSFTCCASQCSSQQCCPSSAGSCISVCCVPKCCNSKCCVPVCCAQQCPSSTCCAPQCSSQQCCSPTCSDTCGSQCPSPECSVPACVLALCPAPACCSPTCCSSACSRPECCSEQCNDVQWSSGTCTSPSSVRSVHGVDSSCVSMTSAAIVYTRTCCADAM
+>sp|Q3LI68|KR222_HUMAN Keratin-associated protein 22-2 OS=Homo sapiens OX=9606 GN=KRTAP22-2 PE=3 SV=1
+MCYYHNYYGSLDYGCSYGSEYGNSGYACNFPCSYGRFLLAPRKKF
+>DECOY_sp|Q3LI68|KR222_HUMAN Keratin-associated protein 22-2 OS=Homo sapiens OX=9606 GN=KRTAP22-2 PE=3 SV=1
+FKKRPALLFRGYSCPFNCAYGSNGYESGYSCGYDLSGYYNHYYCM
+>sp|Q3LI81|KR271_HUMAN Keratin-associated protein 27-1 OS=Homo sapiens OX=9606 GN=KRTAP27-1 PE=2 SV=1
+MPHSHCHSLRSFHNAPPLSAITHGTNPITFEDRLCLPSSFHSRTCFLDNFQETCNETTSCQMTNCEQDLFTDDSCVQSNCFPGVVQTTYSNSRPCERTACQSESSSAGLACVSQPCQSESTQQMGFVAQSCQPASLKGNSCPPKTSKSKNFETLERASSQCQCQSQNPESSSCRPLVNVAPEPQLLESSPGVEPTCCVTGGSQLPSK
+>DECOY_sp|Q3LI81|KR271_HUMAN Keratin-associated protein 27-1 OS=Homo sapiens OX=9606 GN=KRTAP27-1 PE=2 SV=1
+KSPLQSGGTVCCTPEVGPSSELLQPEPAVNVLPRCSSSEPNQSQCQCQSSARELTEFNKSKSTKPPCSNGKLSAPQCSQAVFGMQQTSESQCPQSVCALGASSSESQCATRECPRSNSYTTQVVGPFCNSQVCSDDTFLDQECNTMQCSTTENCTEQFNDLFCTRSHFSSPLCLRDEFTIPNTGHTIASLPPANHFSRLSHCHSHPM
+>sp|Q8IUG1|KRA13_HUMAN Keratin-associated protein 1-3 OS=Homo sapiens OX=9606 GN=KRTAP1-3 PE=2 SV=1
+MTCCQTSFCGYPSCSTSGTCGSSCCQPSCCETSCCQPSCCETSCCQPSCCQTSFCGFPSFSTSGTCSSSCCQPSCCETSCCQPSCCQTSSCGTGCGIGGGIGYGQEGSSGAVSTRIRWCRPDCRVEGTCLPPCCVVSCTPPTCCQLHHAEASCCRPSYCGQSCCRPVCCCYSCEPTC
+>DECOY_sp|Q8IUG1|KRA13_HUMAN Keratin-associated protein 1-3 OS=Homo sapiens OX=9606 GN=KRTAP1-3 PE=2 SV=1
+CTPECSYCCCVPRCCSQGCYSPRCCSAEAHHLQCCTPPTCSVVCCPPLCTGEVRCDPRCWRIRTSVAGSSGEQGYGIGGGIGCGTGCSSTQCCSPQCCSTECCSPQCCSSSCTGSTSFSPFGCFSTQCCSPQCCSTECCSPQCCSTECCSPQCCSSGCTGSTSCSPYGCFSTQCCTM
+>sp|Q9BYT5|KRA22_HUMAN Keratin-associated protein 2-2 OS=Homo sapiens OX=9606 GN=KRTAP2-2 PE=2 SV=3
+MTGSCCGSTFSSLSYGGGCCQPCCCRDPCCCRPVTCQTTVCRPVTCVPRCTRPICEPCRRPVCCDPCSLQEGCCRPITCCPSSCTAVVCRPCCWATTCCQPVSVQSPCGQPTPCSTTCRTSSC
+>DECOY_sp|Q9BYT5|KRA22_HUMAN Keratin-associated protein 2-2 OS=Homo sapiens OX=9606 GN=KRTAP2-2 PE=2 SV=3
+CSSTRCTTSCPTPQGCPSQVSVPQCCTTAWCCPRCVVATCSSPCCTIPRCCGEQLSCPDCCVPRRCPECIPRTCRPVCTVPRCVTTQCTVPRCCCPDRCCCPQCCGGGYSLSSFTSGCCSGTM
+>sp|Q9BYR8|KRA31_HUMAN Keratin-associated protein 3-1 OS=Homo sapiens OX=9606 GN=KRTAP3-1 PE=1 SV=1
+MYCCALRSCSVPTGPATTFCSFDKSCRCGVCLPSTCPHEISLLQPICCDTCPPPCCKPDTYVPTCWLLNNCHPTPGLSGINLTTYVQPGCESPCEPRC
+>DECOY_sp|Q9BYR8|KRA31_HUMAN Keratin-associated protein 3-1 OS=Homo sapiens OX=9606 GN=KRTAP3-1 PE=1 SV=1
+CRPECPSECGPQVYTTLNIGSLGPTPHCNNLLWCTPVYTDPKCCPPPCTDCCIPQLLSIEHPCTSPLCVGCRCSKDFSCFTTAPGTPVSCSRLACCYM
+>sp|Q9BYQ7|KRA41_HUMAN Keratin-associated protein 4-1 OS=Homo sapiens OX=9606 GN=KRTAP4-1 PE=2 SV=3
+MVNSCCGSVCSDQGCDQGLCQETCCRPSCCQTTCCCPSCVVSSCCRPSCSQTTCCQTTCCRPSCCHPVCCQTTCRPSCGVSSCCRPLCCQTTCHPSCGMSSCCRPLCCQTTCRPSCGVSSCCRPLCCQTTCCRATCCRPSCCGSSC
+>DECOY_sp|Q9BYQ7|KRA41_HUMAN Keratin-associated protein 4-1 OS=Homo sapiens OX=9606 GN=KRTAP4-1 PE=2 SV=3
+CSSGCCSPRCCTARCCTTQCCLPRCCSSVGCSPRCTTQCCLPRCCSSMGCSPHCTTQCCLPRCCSSVGCSPRCTTQCCVPHCCSPRCCTTQCCTTQSCSPRCCSSVVCSPCCCTTQCCSPRCCTEQCLGQDCGQDSCVSGCCSNVM
+>sp|Q9BYR5|KRA42_HUMAN Keratin-associated protein 4-2 OS=Homo sapiens OX=9606 GN=KRTAP4-2 PE=1 SV=2
+MVNSCCGSVCSDQGCGLENCCRPSCCQTTCCRTTCCRPSCCVSSCCRPQCCQSVCCQPTCCSPSCCQTTCCRTTCCRPSCCVSSCFRPQCCQSVYCQPTCCRPSCGQTTCCRTTCYRPSCCVSTCCRPTCSSGSCC
+>DECOY_sp|Q9BYR5|KRA42_HUMAN Keratin-associated protein 4-2 OS=Homo sapiens OX=9606 GN=KRTAP4-2 PE=1 SV=2
+CCSGSSCTPRCCTSVCCSPRYCTTRCCTTQGCSPRCCTPQCYVSQCCQPRFCSSVCCSPRCCTTRCCTTQCCSPSCCTPQCCVSQCCQPRCCSSVCCSPRCCTTRCCTTQCCSPRCCNELGCGQDSCVSGCCSNVM
+>sp|Q9BYQ5|KRA46_HUMAN Keratin-associated protein 4-6 OS=Homo sapiens OX=9606 GN=KRTAP4-6 PE=2 SV=4
+MVSSCCGSVCSDQGCGLETCCRPSCCQTTCCRTTCCRPSCCVSSCCRPQCCQSVCCQPTCCRPSCCPSCCQTTCCRTTCCRPSCCVSSCCRPQCCQSVCCQPTCCRPSCSISSCCRPSCCVSRCCRSQCCQSVCCQPTCCRPSCCISSCCRPSCCESSCCRPCCCRPCCCLRPVCGRVSCHTTCYRPTCVISTCPRPLCCASSCC
+>DECOY_sp|Q9BYQ5|KRA46_HUMAN Keratin-associated protein 4-6 OS=Homo sapiens OX=9606 GN=KRTAP4-6 PE=2 SV=4
+CCSSACCLPRPCTSIVCTPRYCTTHCSVRGCVPRLCCCPRCCCPRCCSSECCSPRCCSSICCSPRCCTPQCCVSQCCQSRCCRSVCCSPRCCSSISCSPRCCTPQCCVSQCCQPRCCSSVCCSPRCCTTRCCTTQCCSPCCSPRCCTPQCCVSQCCQPRCCSSVCCSPRCCTTRCCTTQCCSPRCCTELGCGQDSCVSGCCSSVM
+>sp|Q9BYQ9|KRA48_HUMAN Keratin-associated protein 4-8 OS=Homo sapiens OX=9606 GN=KRTAP4-8 PE=2 SV=4
+MVNSCCGSVCSDQGCGQDLCQETCCCPSCCQTTCCRTTCYRPSYSVSCCCRPQCCQSVCCQPTCCRPSCCVSSCCKPQCCQSVCCQPTCCHPSCCISSCCRPSCCVSSCCKPQCCQSVCCQPNCCRPSCSISSCCRPSCCESSCCRPCCCLRPVCGRVSCHTTCYRPACVISTCPRPVCCASSCC
+>DECOY_sp|Q9BYQ9|KRA48_HUMAN Keratin-associated protein 4-8 OS=Homo sapiens OX=9606 GN=KRTAP4-8 PE=2 SV=4
+CCSSACCVPRPCTSIVCAPRYCTTHCSVRGCVPRLCCCPRCCSSECCSPRCCSSISCSPRCCNPQCCVSQCCQPKCCSSVCCSPRCCSSICCSPHCCTPQCCVSQCCQPKCCSSVCCSPRCCTPQCCVSQCCQPRCCCSVSYSPRYCTTRCCTTQCCSPCCCTEQCLDQGCGQDSCVSGCCSNVM
+>sp|Q6L8H4|KRA51_HUMAN Keratin-associated protein 5-1 OS=Homo sapiens OX=9606 GN=KRTAP5-1 PE=1 SV=1
+MGCCGCSGGCGSSCGGCGSGCGGCGSGCGGCGSGCGGSGSSCCVPVCCCKPVCCRVPTCSCSSCGKGGCGSSGGSKGGCGSCGGCKGGCGSCGGSKGGCGSCGGSKGGCGSCGGSKGGCGSGCGGCGSSCCVPVCCCKPMCCCVPACSCSSCGKGGCGSCGCSKGACGSCGGSKGGCGSCGGCKGGCGSCGGSKGGCGSGCGGCGSGCGVPVCCCSCSSCGSCAGSKGGCGSSCSQCSCCKPCCCSSGCGSSCCQSSCCKPCCSQSSCCVPVCCQCKI
+>DECOY_sp|Q6L8H4|KRA51_HUMAN Keratin-associated protein 5-1 OS=Homo sapiens OX=9606 GN=KRTAP5-1 PE=1 SV=1
+IKCQCCVPVCCSSQSCCPKCCSSQCCSSGCGSSCCCPKCCSCQSCSSGCGGKSGACSGCSSCSCCCVPVGCGSGCGGCGSGCGGKSGGCSGCGGKCGGCSGCGGKSGGCSGCAGKSCGCSGCGGKGCSSCSCAPVCCCMPKCCCVPVCCSSGCGGCGSGCGGKSGGCSGCGGKSGGCSGCGGKSGGCSGCGGKCGGCSGCGGKSGGSSGCGGKGCSSCSCTPVRCCVPKCCCVPVCCSSGSGGCGSGCGGCGSGCGGCGSGCGGCSSGCGGSCGCCGM
+>sp|Q6L8H1|KRA54_HUMAN Keratin-associated protein 5-4 OS=Homo sapiens OX=9606 GN=KRTAP5-4 PE=1 SV=1
+MGCCGCSGGCGSGCGGCGSGCGGCGSGCGGCGSGCGGCGSGCGGCGSSCCVPICCCKPVCCCVPACSCSSCGSCGGSKGGYGSCGGSKGGCVSCGGSKGGCGSCGGSKGGCGSCGGSKGGCGSCGGSKGGCVSCGGSKGGCGSCGGSKGGCVSCGGSKGGCGSCGGSKGGCGSCGGSKGGCGSCGGSKGGCGSCGCSQCSCCKPCCCSSGCGSSCCQSSCCKPCCSSSGCGSSCCQSSCCKPYCCQSSCCKPCCSSSGCGSSCCQSSCCNPCCSQSSCCVPVCCQCKI
+>DECOY_sp|Q6L8H1|KRA54_HUMAN Keratin-associated protein 5-4 OS=Homo sapiens OX=9606 GN=KRTAP5-4 PE=1 SV=1
+IKCQCCVPVCCSSQSCCPNCCSSQCCSSGCGSSSCCPKCCSSQCCYPKCCSSQCCSSGCGSSSCCPKCCSSQCCSSGCGSSCCCPKCCSCQSCGCSGCGGKSGGCSGCGGKSGGCSGCGGKSGGCSGCGGKSGGCSVCGGKSGGCSGCGGKSGGCSVCGGKSGGCSGCGGKSGGCSGCGGKSGGCSGCGGKSGGCSVCGGKSGGCSGYGGKSGGCSGCSSCSCAPVCCCVPKCCCIPVCCSSGCGGCGSGCGGCGSGCGGCGSGCGGCGSGCGGCGSGCGGSCGCCGM
+>sp|Q6L8G9|KRA56_HUMAN Keratin-associated protein 5-6 OS=Homo sapiens OX=9606 GN=KRTAP5-6 PE=1 SV=1
+MGCCGCSGGCGSGCGGCGSGCGGCGSSCCVPICCCKPVCCCVPACSCTSCGSCGGSKGCCGSCGGSKGGCGSCGGSKGGCGSCGCSQCSCCKPCYCSSGCGSSCCQSSCCKPCCSQASCCVPICCQCKI
+>DECOY_sp|Q6L8G9|KRA56_HUMAN Keratin-associated protein 5-6 OS=Homo sapiens OX=9606 GN=KRTAP5-6 PE=1 SV=1
+IKCQCCIPVCCSAQSCCPKCCSSQCCSSGCGSSCYCPKCCSCQSCGCSGCGGKSGGCSGCGGKSGGCSGCCGKSGGCSGCSTCSCAPVCCCVPKCCCIPVCCSSGCGGCGSGCGGCGSGCGGSCGCCGM
+>sp|Q3LI66|KRA62_HUMAN Keratin-associated protein 6-2 OS=Homo sapiens OX=9606 GN=KRTAP6-2 PE=1 SV=1
+MCGSYYGNYYGDHGYGCCGYEGLGYGYGSLRCGYSSCCGYGHGYGSRFFCGCGYGCGSGYYY
+>DECOY_sp|Q3LI66|KRA62_HUMAN Keratin-associated protein 6-2 OS=Homo sapiens OX=9606 GN=KRTAP6-2 PE=1 SV=1
+YYYGSGCGYGCGCFFRSGYGHGYGCCSSYGCRLSGYGYGLGEYGCCGYGHDGYYNGYYSGCM
+>sp|Q8IUC2|KRA81_HUMAN Keratin-associated protein 8-1 OS=Homo sapiens OX=9606 GN=KRTAP8-1 PE=1 SV=1
+MLCDNFPGAVFPGCYWGSYGYPLGYSVGCGYGSTYSPVGYGFGYGYNGCGAFGYRRYSPFALY
+>DECOY_sp|Q8IUC2|KRA81_HUMAN Keratin-associated protein 8-1 OS=Homo sapiens OX=9606 GN=KRTAP8-1 PE=1 SV=1
+YLAFPSYRRYGFAGCGNYGYGFGYGVPSYTSGYGCGVSYGLPYGYSGWYCGPFVAGPFNDCLM
+>sp|Q9BYQ2|KRA94_HUMAN Keratin-associated protein 9-4 OS=Homo sapiens OX=9606 GN=KRTAP9-4 PE=1 SV=2
+MTHCCSPCCQPTCCRTTCCRTTCWKPTTVTTCSSTPCCQPSCCVSSCCQPCCRPTCCQNTCCQPTCVTSCCQPSCCSTPCCQPTCCGSSCDQSSSCAPVYCRRTCYYPTTVCLPGCLNQSCGSNCCQPCCRPACCETTCFQPTCVSSCCQPFCC
+>DECOY_sp|Q9BYQ2|KRA94_HUMAN Keratin-associated protein 9-4 OS=Homo sapiens OX=9606 GN=KRTAP9-4 PE=1 SV=2
+CCFPQCCSSVCTPQFCTTECCAPRCCPQCCNSGCSQNLCGPLCVTTPYYCTRRCYVPACSSSQDCSSGCCTPQCCPTSCCSPQCCSTVCTPQCCTNQCCTPRCCPQCCSSVCCSPQCCPTSSCTTVTTPKWCTTRCCTTRCCTPQCCPSCCHTM
+>sp|Q9NSB4|KRT82_HUMAN Keratin, type II cuticular Hb2 OS=Homo sapiens OX=9606 GN=KRT82 PE=1 SV=3
+MSYHSFQPGSRCGSQSFSSYSAVMPRMVTHYAVSKGPCRPGGGRGLRALGCLGSRSLCNVGFGRPRVASRCGGTLPGFGYRLGATCGPSACITPVTINESLLVPLALEIDPTVQRVKRDEKEQIKCLNNRFASFINKVRFLEQKNKLLETKWNFMQQQRCCQTNIEPIFEGYISALRRQLDCVSGDRVRLESELCSLQAALEGYKKKYEEELSLRPCVENEFVALKKDVDTAFLMKADLETNAEALVQEIDFLKSLYEEEICLLQSQISETSVIVKMDNSRELDVDGIIAEIKAQYDDIASRSKAEAEAWYQCRYEELRVTAGNHCDNLRNRKNEILEMNKLIQRLQQETENVKAQRCKLEGAIAEAEQQGEAALNDAKCKLAGLEEALQKAKQDMACLLKEYQEVMNSKLGLDIEIATYRRLLEGEEHRLCEGIGPVNISVSSSKGAFLYEPCGVSTPVLSTGVLRSNGGCSIVGTGELYVPCEPQGLLSCGSGRKSSMTLGAGGSSPSHKH
+>DECOY_sp|Q9NSB4|KRT82_HUMAN Keratin, type II cuticular Hb2 OS=Homo sapiens OX=9606 GN=KRT82 PE=1 SV=3
+HKHSPSSGGAGLTMSSKRGSGCSLLGQPECPVYLEGTGVISCGGNSRLVGTSLVPTSVGCPEYLFAGKSSSVSINVPGIGECLRHEEGELLRRYTAIEIDLGLKSNMVEQYEKLLCAMDQKAKQLAEELGALKCKADNLAAEGQQEAEAIAGELKCRQAKVNETEQQLRQILKNMELIENKRNRLNDCHNGATVRLEEYRCQYWAEAEAKSRSAIDDYQAKIEAIIGDVDLERSNDMKVIVSTESIQSQLLCIEEEYLSKLFDIEQVLAEANTELDAKMLFATDVDKKLAVFENEVCPRLSLEEEYKKKYGELAAQLSCLESELRVRDGSVCDLQRRLASIYGEFIPEINTQCCRQQQMFNWKTELLKNKQELFRVKNIFSAFRNNLCKIQEKEDRKVRQVTPDIELALPVLLSENITVPTICASPGCTAGLRYGFGPLTGGCRSAVRPRGFGVNCLSRSGLCGLARLGRGGGPRCPGKSVAYHTVMRPMVASYSSFSQSGCRSGPQFSHYSM
+>sp|O75676|KS6A4_HUMAN Ribosomal protein S6 kinase alpha-4 OS=Homo sapiens OX=9606 GN=RPS6KA4 PE=1 SV=1
+MGDEDDDESCAVELRITEANLTGHEEKVSVENFELLKVLGTGAYGKVFLVRKAGGHDAGKLYAMKVLRKAALVQRAKTQEHTRTERSVLELVRQAPFLVTLHYAFQTDAKLHLILDYVSGGEMFTHLYQRQYFKEAEVRVYGGEIVLALEHLHKLGIIYRDLKLENVLLDSEGHIVLTDFGLSKEFLTEEKERTFSFCGTIEYMAPEIIRSKTGHGKAVDWWSLGILLFELLTGASPFTLEGERNTQAEVSRRILKCSPPFPPRIGPVAQDLLQRLLCKDPKKRLGAGPQGAQEVRNHPFFQGLDWVALAARKIPAPFRPQIRSELDVGNFAEEFTRLEPVYSPPGSPPPGDPRIFQGYSFVAPSILFDHNNAVMTDGLEAPGAGDRPGRAAVARSAMMQDSPFFQQYELDLREPALGQGSFSVCRRCRQRQSGQEFAVKILSRRLEANTQREVAALRLCQSHPNVVNLHEVHHDQLHTYLVLELLRGGELLEHIRKKRHFSESEASQILRSLVSAVSFMHEEAGVVHRDLKPENILYADDTPGAPVKIIDFGFARLRPQSPGVPMQTPCFTLQYAAPELLAQQGYDESCDLWSLGVILYMMLSGQVPFQGASGQGGQSQAAEIMCKIREGRFSLDGEAWQGVSEEAKELVRGLLTVDPAKRLKLEGLRGSSWLQDGSARSSPPLRTPDVLESSGPAVRSGLNATFMAFNRGKREGFFLKSVENAPLAKRRKQKLRSATASRRGSPAPANPGRAPVASKGAPRRANGPLPPS
+>DECOY_sp|O75676|KS6A4_HUMAN Ribosomal protein S6 kinase alpha-4 OS=Homo sapiens OX=9606 GN=RPS6KA4 PE=1 SV=1
+SPPLPGNARRPAGKSAVPARGPNAPAPSGRRSATASRLKQKRRKALPANEVSKLFFGERKGRNFAMFTANLGSRVAPGSSELVDPTRLPPSSRASGDQLWSSGRLGELKLRKAPDVTLLGRVLEKAEESVGQWAEGDLSFRGERIKCMIEAAQSQGGQGSAGQFPVQGSLMMYLIVGLSWLDCSEDYGQQALLEPAAYQLTFCPTQMPVGPSQPRLRAFGFDIIKVPAGPTDDAYLINEPKLDRHVVGAEEHMFSVASVLSRLIQSAESESFHRKKRIHELLEGGRLLELVLYTHLQDHHVEHLNVVNPHSQCLRLAAVERQTNAELRRSLIKVAFEQGSQRQRCRRCVSFSGQGLAPERLDLEYQQFFPSDQMMASRAVAARGPRDGAGPAELGDTMVANNHDFLISPAVFSYGQFIRPDGPPPSGPPSYVPELRTFEEAFNGVDLESRIQPRFPAPIKRAALAVWDLGQFFPHNRVEQAGQPGAGLRKKPDKCLLRQLLDQAVPGIRPPFPPSCKLIRRSVEAQTNREGELTFPSAGTLLEFLLIGLSWWDVAKGHGTKSRIIEPAMYEITGCFSFTREKEETLFEKSLGFDTLVIHGESDLLVNELKLDRYIIGLKHLHELALVIEGGYVRVEAEKFYQRQYLHTFMEGGSVYDLILHLKADTQFAYHLTVLFPAQRVLELVSRETRTHEQTKARQVLAAKRLVKMAYLKGADHGGAKRVLFVKGYAGTGLVKLLEFNEVSVKEEHGTLNAETIRLEVACSEDDDEDGM
+>sp|P60985|KTDAP_HUMAN Keratinocyte differentiation-associated protein OS=Homo sapiens OX=9606 GN=KRTDAP PE=1 SV=1
+MKIPVLPAVVLLSLLVLHSAQGATLGGPEEESTIENYASRPEAFNTPFLNIDKLRSAFKADEFLNWHALFESIKRKLPFLNWDAFPKLKGLRSATPDAQ
+>DECOY_sp|P60985|KTDAP_HUMAN Keratinocyte differentiation-associated protein OS=Homo sapiens OX=9606 GN=KRTDAP PE=1 SV=1
+QADPTASRLGKLKPFADWNLFPLKRKISEFLAHWNLFEDAKFASRLKDINLFPTNFAEPRSAYNEITSEEEPGGLTAGQASHLVLLSLLVVAPLVPIKM
+>sp|O75449|KTNA1_HUMAN Katanin p60 ATPase-containing subunit A1 OS=Homo sapiens OX=9606 GN=KATNA1 PE=1 SV=1
+MSLLMISENVKLAREYALLGNYDSAMVYYQGVLDQMNKYLYSVKDTYLQQKWQQVWQEINVEAKHVKDIMKTLESFKLDSTPLKAAQHDLPASEGEVWSMPVPVERRPSPGPRKRQSSQYSDPKSHGNRPSTTVRVHRSSAQNVHNDRGKAVRCREKKEQNKGREEKNKSPAAVTEPETNKFDSTGYDKDLVEALERDIISQNPNVRWDDIADLVEAKKLLKEAVVLPMWMPEFFKGIRRPWKGVLMVGPPGTGKTLLAKAVATECKTTFFNVSSSTLTSKYRGESEKLVRLLFEMARFYSPATIFIDEIDSICSRRGTSEEHEASRRVKAELLVQMDGVGGTSENDDPSKMVMVLAATNFPWDIDEALRRRLEKRIYIPLPSAKGREELLRISLRELELADDVDLASIAENMEGYSGADITNVCRDASLMAMRRRIEGLTPEEIRNLSKEEMHMPTTMEDFEMALKKVSKSVSAADIERYEKWIFEFGSC
+>DECOY_sp|O75449|KTNA1_HUMAN Katanin p60 ATPase-containing subunit A1 OS=Homo sapiens OX=9606 GN=KATNA1 PE=1 SV=1
+CSGFEFIWKEYREIDAASVSKSVKKLAMEFDEMTTPMHMEEKSLNRIEEPTLGEIRRRMAMLSADRCVNTIDAGSYGEMNEAISALDVDDALELERLSIRLLEERGKASPLPIYIRKELRRRLAEDIDWPFNTAALVMVMKSPDDNESTGGVGDMQVLLEAKVRRSAEHEESTGRRSCISDIEDIFITAPSYFRAMEFLLRVLKESEGRYKSTLTSSSVNFFTTKCETAVAKALLTKGTGPPGVMLVGKWPRRIGKFFEPMWMPLVVAEKLLKKAEVLDAIDDWRVNPNQSIIDRELAEVLDKDYGTSDFKNTEPETVAAPSKNKEERGKNQEKKERCRVAKGRDNHVNQASSRHVRVTTSPRNGHSKPDSYQSSQRKRPGPSPRREVPVPMSWVEGESAPLDHQAAKLPTSDLKFSELTKMIDKVHKAEVNIEQWVQQWKQQLYTDKVSYLYKNMQDLVGQYYVMASDYNGLLAYERALKVNESIMLLSM
+>sp|A0A0A0MT36|KVD21_HUMAN Immunoglobulin kappa variable 6D-21 OS=Homo sapiens OX=9606 GN=IGKV6D-21 PE=3 SV=1
+MSPSQLIGFLLLWVPASRGEIVLTQSPDFQSVTPKEKVTITCRASQSIGSSLHWYQQKPDQSPKLLIKYASQSISGVPSRFSGSGSGTDFTLTINSLEAEDAAAYYCHQSSSLP
+>DECOY_sp|A0A0A0MT36|KVD21_HUMAN Immunoglobulin kappa variable 6D-21 OS=Homo sapiens OX=9606 GN=IGKV6D-21 PE=3 SV=1
+PLSSSQHCYYAAADEAELSNITLTFDTGSGSGSFRSPVGSISQSAYKILLKPSQDPKQQYWHLSSGISQSARCTITVKEKPTVSQFDPSQTLVIEGRSAPVWLLLFGILQSPSM
+>sp|A0A075B6S2|KVD29_HUMAN Immunoglobulin kappa variable 2D-29 OS=Homo sapiens OX=9606 GN=IGKV2D-29 PE=3 SV=1
+MRLPAQLLGLLMLWIPGSSADIVMTQTPLSLSVTPGQPASISCKSSQSLLHSDGKTYLYWYLQKPGQPPQLLIYEVSNRFSGVPDRFSGSGSGTDFTLKISRVEAEDVGVYYCMQSIQLP
+>DECOY_sp|A0A075B6S2|KVD29_HUMAN Immunoglobulin kappa variable 2D-29 OS=Homo sapiens OX=9606 GN=IGKV2D-29 PE=3 SV=1
+PLQISQMCYYVGVDEAEVRSIKLTFDTGSGSGSFRDPVGSFRNSVEYILLQPPQGPKQLYWYLYTKGDSHLLSQSSKCSISAPQGPTVSLSLPTQTMVIDASSGPIWLMLLGLLQAPLRM
+>sp|Q15334|L2GL1_HUMAN Lethal(2) giant larvae protein homolog 1 OS=Homo sapiens OX=9606 GN=LLGL1 PE=1 SV=3
+MMKFRFRRQGADPQREKLKQELFAFNKTVEHGFPNQPSALAFDPELRIMAIGTRSGAVKIYGAPGVEFTGLHRDAATVTQMHFLTGQGRLLSLLDDSSLHLWEIVHHNGCAHLEEALSFQLPSRPGFDGASAPLSLTRVTVVLLVAASDIAALGTEGSSVFFLDVTTLTLLEGQTLAPGEVLRSVPDDYRCGKALGPVESLQGHLRDPTKILIGYSRGLLVIWNQASQCVDHIFLGNQQLESLCWGRDSSTVVSSHSDGSYAVWSVDAGSFPTLQPTVATTPYGPFPCKAINKILWRNCESGGHFIIFSGGMPRASYGDRHCVSVLRAETLVTLDFTSRIIDFFTVHSTRPEDEFDDPQALAVLLEEELVVLDLQTPGWPAVPAPYLAPLHSSAITCSAHVASVPAKLWARIVSAGEQQSPQPVSSALSWPITGGRNLAQEPSQRGLLLTGHEDGTVRFWDASGVALRPLYKLSTAGLFQTDCEHADSLAQAAEDDWPPFRKVGCFDPYSDDPRLGVQKVALCKYTAQMVVAGTAGQVLVLELSDVPVEQAVSVAIIDLLQDREGFTWKGHERLSPRTGPLPWPAGFQPRVLVQCLPPAAVTAVTLHTEWSLVAFGTSHGFGLFDYQRKSPVLARCTLHPNDSLAMEGPLSRVKSLKKSLRQSFRRIRKSRVSGKKRAANASSKLQEANAQLAEQACPHDVEMTPVQRRIEPRSADDSLSGVVRCLYFADTFLRDGAHHGPTMWAGTNSGSVFAYALEVPAAAVGGEKRPEQAVEAVLGKEVQLMHRAPVVAIAVLDGRGRPLPEPYEASRDLAQAPDMQGGHAVLIASEEQFKVFTLPKVSAKTKFKLTAHEGCRVRKVALATFASVACEDYAETCLACLTNLGDVHVFSVPGLRPQVHYSCIRKEDISGIASCVFTRHGQGFYLISPSEFERFSLSARNITEPLCSLDINWPRDATQASYRIRESPKLSQANGTPSILLAPQSLDGSPDPAHSMGPDTPEPPEAALSPMSIDSATSADTTLDTTGDVTVEDVKDFLGSSEESEKNLRNLAEDEAHACAILIK
+>DECOY_sp|Q15334|L2GL1_HUMAN Lethal(2) giant larvae protein homolog 1 OS=Homo sapiens OX=9606 GN=LLGL1 PE=1 SV=3
+KILIACAHAEDEALNRLNKESEESSGLFDKVDEVTVDGTTDLTTDASTASDISMPSLAAEPPEPTDPGMSHAPDPSGDLSQPALLISPTGNAQSLKPSERIRYSAQTADRPWNIDLSCLPETINRASLSFREFESPSILYFGQGHRTFVCSAIGSIDEKRICSYHVQPRLGPVSFVHVDGLNTLCALCTEAYDECAVSAFTALAVKRVRCGEHATLKFKTKASVKPLTFVKFQEESAILVAHGGQMDPAQALDRSAEYPEPLPRGRGDLVAIAVVPARHMLQVEKGLVAEVAQEPRKEGGVAAAPVELAYAFVSGSNTGAWMTPGHHAGDRLFTDAFYLCRVVGSLSDDASRPEIRRQVPTMEVDHPCAQEALQANAEQLKSSANAARKKGSVRSKRIRRFSQRLSKKLSKVRSLPGEMALSDNPHLTCRALVPSKRQYDFLGFGHSTGFAVLSWETHLTVATVAAPPLCQVLVRPQFGAPWPLPGTRPSLREHGKWTFGERDQLLDIIAVSVAQEVPVDSLELVLVQGATGAVVMQATYKCLAVKQVGLRPDDSYPDFCGVKRFPPWDDEAAQALSDAHECDTQFLGATSLKYLPRLAVGSADWFRVTGDEHGTLLLGRQSPEQALNRGGTIPWSLASSVPQPSQQEGASVIRAWLKAPVSAVHASCTIASSHLPALYPAPVAPWGPTQLDLVVLEEELLVALAQPDDFEDEPRTSHVTFFDIIRSTFDLTVLTEARLVSVCHRDGYSARPMGGSFIIFHGGSECNRWLIKNIAKCPFPGYPTTAVTPQLTPFSGADVSWVAYSGDSHSSVVTSSDRGWCLSELQQNGLFIHDVCQSAQNWIVLLGRSYGILIKTPDRLHGQLSEVPGLAKGCRYDDPVSRLVEGPALTQGELLTLTTVDLFFVSSGETGLAAIDSAAVLLVVTVRTLSLPASAGDFGPRSPLQFSLAEELHACGNHHVIEWLHLSSDDLLSLLRGQGTLFHMQTVTAADRHLGTFEVGPAGYIKVAGSRTGIAMIRLEPDFALASPQNPFGHEVTKNFAFLEQKLKERQPDAGQRRFRFKMM
+>sp|P18627|LAG3_HUMAN Lymphocyte activation gene 3 protein OS=Homo sapiens OX=9606 GN=LAG3 PE=1 SV=5
+MWEAQFLGLLFLQPLWVAPVKPLQPGAEVPVVWAQEGAPAQLPCSPTIPLQDLSLLRRAGVTWQHQPDSGPPAAAPGHPLAPGPHPAAPSSWGPRPRRYTVLSVGPGGLRSGRLPLQPRVQLDERGRQRGDFSLWLRPARRADAGEYRAAVHLRDRALSCRLRLRLGQASMTASPPGSLRASDWVILNCSFSRPDRPASVHWFRNRGQGRVPVRESPHHHLAESFLFLPQVSPMDSGPWGCILTYRDGFNVSIMYNLTVLGLEPPTPLTVYAGAGSRVGLPCRLPAGVGTRSFLTAKWTPPGGGPDLLVTGDNGDFTLRLEDVSQAQAGTYTCHIHLQEQQLNATVTLAIITVTPKSFGSPGSLGKLLCEVTPVSGQERFVWSSLDTPSQRSFSGPWLEAQEAQLLSQPWQCQLYQGERLLGAAVYFTELSSPGAQRSGRAPGALPAGHLLLFLILGVLSLLLLVTGAFGFHLWRRQWRPRRFSALEQGIHPPQAQSKIEELEQEPEPEPEPEPEPEPEPEPEQL
+>DECOY_sp|P18627|LAG3_HUMAN Lymphocyte activation gene 3 protein OS=Homo sapiens OX=9606 GN=LAG3 PE=1 SV=5
+LQEPEPEPEPEPEPEPEPEPEQELEEIKSQAQPPHIGQELASFRRPRWQRRWLHFGFAGTVLLLLSLVGLILFLLLHGAPLAGPARGSRQAGPSSLETFYVAAGLLREGQYLQCQWPQSLLQAEQAELWPGSFSRQSPTDLSSWVFREQGSVPTVECLLKGLSGPSGFSKPTVTIIALTVTANLQQEQLHIHCTYTGAQAQSVDELRLTFDGNDGTVLLDPGGGPPTWKATLFSRTGVGAPLRCPLGVRSGAGAYVTLPTPPELGLVTLNYMISVNFGDRYTLICGWPGSDMPSVQPLFLFSEALHHHPSERVPVRGQGRNRFWHVSAPRDPRSFSCNLIVWDSARLSGPPSATMSAQGLRLRLRCSLARDRLHVAARYEGADARRAPRLWLSFDGRQRGREDLQVRPQLPLRGSRLGGPGVSLVTYRRPRPGWSSPAAPHPGPALPHGPAAAPPGSDPQHQWTVGARRLLSLDQLPITPSCPLQAPAGEQAWVVPVEAGPQLPKVPAVWLPQLFLLGLFQAEWM
+>sp|O95461|LARG1_HUMAN LARGE xylosyl- and glucuronyltransferase 1 OS=Homo sapiens OX=9606 GN=LARGE1 PE=1 SV=1
+MLGICRGRRKFLAASLSLLCIPAITWIYLFSGSFEDGKPVSLSPLESQAHSPRYTASSQRERESLEVRMREVEEENRALRRQLSLAQGRAPSHRRGNHSKTYSMEEGTGDSENLRAGIVAGNSSECGQQPVVEKCETIHVAIVCAGYNASRDVVTLVKSVLFHRRNPLHFHLIADSIAEQILATLFQTWMVPAVRVDFYNADELKSEVSWIPNKHYSGIYGLMKLVLTKTLPANLERVIVLDTDITFATDIAELWAVFHKFKGQQVLGLVENQSDWYLGNLWKNHRPWPALGRGYNTGVILLLLDKLRKMKWEQMWRLTAERELMGMLSTSLADQDIFNAVIKQNPFLVYQLPCFWNVQLSDHTRSEQCYRDVSDLKVIHWNSPKKLRVKNKHVEFFRNLYLTFLEYDGNLLRRELFGCPSEADVNSENLQKQLSELDEDDLCYEFRRERFTVHRTHLYFLHYEYEPAADSTDVTLVAQLSMDRLQMLEAICKHWEGPISLALYLSDAEAQQFLRYAQGSEVLMSRHNVGYHIVYKEGQFYPVNLLRNVAMKHISTPYMFLSDIDFLPMYGLYEYLRKSVIQLDLANTKKAMIVPAFETLRYRLSFPKSKAELLSMLDMGTLFTFRYHVWTKGHAPTNFAKWRTATTPYRVEWEADFEPYVVVRRDCPEYDRRFVGFGWNKVAHIMELDVQEYEFIVLPNAYMIHMPHAPSFDITKFRSNKQYRICLKTLKEEFQQDMSRRYGFAALKYLTAENNS
+>DECOY_sp|O95461|LARG1_HUMAN LARGE xylosyl- and glucuronyltransferase 1 OS=Homo sapiens OX=9606 GN=LARGE1 PE=1 SV=1
+SNNEATLYKLAAFGYRRSMDQQFEEKLTKLCIRYQKNSRFKTIDFSPAHPMHIMYANPLVIFEYEQVDLEMIHAVKNWGFGVFRRDYEPCDRRVVVYPEFDAEWEVRYPTTATRWKAFNTPAHGKTWVHYRFTFLTGMDLMSLLEAKSKPFSLRYRLTEFAPVIMAKKTNALDLQIVSKRLYEYLGYMPLFDIDSLFMYPTSIHKMAVNRLLNVPYFQGEKYVIHYGVNHRSMLVESGQAYRLFQQAEADSLYLALSIPGEWHKCIAELMQLRDMSLQAVLTVDTSDAAPEYEYHLFYLHTRHVTFRERRFEYCLDDEDLESLQKQLNESNVDAESPCGFLERRLLNGDYELFTLYLNRFFEVHKNKVRLKKPSNWHIVKLDSVDRYCQESRTHDSLQVNWFCPLQYVLFPNQKIVANFIDQDALSTSLMGMLEREATLRWMQEWKMKRLKDLLLLIVGTNYGRGLAPWPRHNKWLNGLYWDSQNEVLGLVQQGKFKHFVAWLEAIDTAFTIDTDLVIVRELNAPLTKTLVLKMLGYIGSYHKNPIWSVESKLEDANYFDVRVAPVMWTQFLTALIQEAISDAILHFHLPNRRHFLVSKVLTVVDRSANYGACVIAVHITECKEVVPQQGCESSNGAVIGARLNESDGTGEEMSYTKSHNGRRHSPARGQALSLQRRLARNEEEVERMRVELSERERQSSATYRPSHAQSELPSLSVPKGDEFSGSFLYIWTIAPICLLSLSAALFKRRGRCIGLM
+>sp|P62502|LCN6_HUMAN Epididymal-specific lipocalin-6 OS=Homo sapiens OX=9606 GN=LCN6 PE=1 SV=1
+MGGLLLAAFLALVSVPRAQAVWLGRLDPEQLLGPWYVLAVASREKGFAMEKDMKNVVGVVVTLTPENNLRTLSSQHGLGGCDQSVMDLIKRNSGWVFENPSIGVLELWVLATNFRDYAIIFTQLEFGDEPFNTVELYSLTETASQEAMGLFTKWSRSLGFLSQ
+>DECOY_sp|P62502|LCN6_HUMAN Epididymal-specific lipocalin-6 OS=Homo sapiens OX=9606 GN=LCN6 PE=1 SV=1
+QSLFGLSRSWKTFLGMAEQSATETLSYLEVTNFPEDGFELQTFIIAYDRFNTALVWLELVGISPNEFVWGSNRKILDMVSQDCGGLGHQSSLTRLNNEPTLTVVVGVVNKMDKEMAFGKERSAVALVYWPGLLQEPDLRGLWVAQARPVSVLALFAALLLGGM
+>sp|Q6ZST4|LCNL1_HUMAN Lipocalin-like 1 protein OS=Homo sapiens OX=9606 GN=LCNL1 PE=2 SV=2
+MVGVVSDDQDFLDSKDTMKMAVVLVTPLGNGDLALKFGYPTPHGGCQKMDTTFTEGAVPGQFSNPAMALSDIRVAFSDYQHFALLYLEMRKGGLRNQWLQLYGGRAAGRRPRHPRFGSGMSPLCLHQPFLHAEGGTAGSWCLWPRVPAPPCPSLPLFAPPAPSL
+>DECOY_sp|Q6ZST4|LCNL1_HUMAN Lipocalin-like 1 protein OS=Homo sapiens OX=9606 GN=LCNL1 PE=2 SV=2
+LSPAPPAFLPLSPCPPAPVRPWLCWSGATGGEAHLFPQHLCLPSMGSGFRPHRPRRGAARGGYLQLWQNRLGGKRMELYLLAFHQYDSFAVRIDSLAMAPNSFQGPVAGETFTTDMKQCGGHPTPYGFKLALDGNGLPTVLVVAMKMTDKSDLFDQDDSVVGVM
+>sp|O95751|LDOC1_HUMAN Protein LDOC1 OS=Homo sapiens OX=9606 GN=LDOC1 PE=1 SV=1
+MVDELVLLLHALLMRHRALSIENSQLMEQLRLLVCERASLLRQVRPPSCPVPFPETFNGESSRLPEFIVQTASYMLVNENRFCNDAMKVAFLISLLTGEAEEWVVPYIEMDSPILGDYRAFLDEMKQCFGWDDDEDDDDEEEEDDY
+>DECOY_sp|O95751|LDOC1_HUMAN Protein LDOC1 OS=Homo sapiens OX=9606 GN=LDOC1 PE=1 SV=1
+YDDEEEEDDDDEDDDWGFCQKMEDLFARYDGLIPSDMEIYPVVWEEAEGTLLSILFAVKMADNCFRNENVLMYSATQVIFEPLRSSEGNFTEPFPVPCSPPRVQRLLSARECVLLRLQEMLQSNEISLARHRMLLAHLLLVLEDVM
+>sp|O14960|LECT2_HUMAN Leukocyte cell-derived chemotaxin-2 OS=Homo sapiens OX=9606 GN=LECT2 PE=1 SV=2
+MFSTKALLLAGLISTALAGPWANICAGKSSNEIRTCDRHGCGQYSAQRSQRPHQGVDILCSAGSTVYAPFTGMIVGQEKPYQNKNAINNGVRISGRGFCVKMFYIKPIKYKGPIKKGEKLGTLLPLQKVYPGIQSHVHIENCDSSDPTAYL
+>DECOY_sp|O14960|LECT2_HUMAN Leukocyte cell-derived chemotaxin-2 OS=Homo sapiens OX=9606 GN=LECT2 PE=1 SV=2
+LYATPDSSDCNEIHVHSQIGPYVKQLPLLTGLKEGKKIPGKYKIPKIYFMKVCFGRGSIRVGNNIANKNQYPKEQGVIMGTFPAYVTSGASCLIDVGQHPRQSRQASYQGCGHRDCTRIENSSKGACINAWPGALATSILGALLLAKTSFM
+>sp|A8MUM7|LEG16_HUMAN Galectin-16 OS=Homo sapiens OX=9606 GN=LGALS16 PE=2 SV=1
+MSFLTVPYKLPVSLSVGSCVIIKGTLIDSSINEPQLQVDFYTEMNEDSEIAFHLRVHLGRRVVMNSREFGIWMLEENLHYVPFEDGKPFDLRIYVCLNEYEVKVNGEYIYAFVHRIPPSYVKMIQVWRDVSLDSVLVNNGRR
+>DECOY_sp|A8MUM7|LEG16_HUMAN Galectin-16 OS=Homo sapiens OX=9606 GN=LGALS16 PE=2 SV=1
+RRGNNVLVSDLSVDRWVQIMKVYSPPIRHVFAYIYEGNVKVEYENLCVYIRLDFPKGDEFPVYHLNEELMWIGFERSNMVVRRGLHVRLHFAIESDENMETYFDVQLQPENISSDILTGKIIVCSGVSLSVPLKYPVTLFSM
+>sp|P17931|LEG3_HUMAN Galectin-3 OS=Homo sapiens OX=9606 GN=LGALS3 PE=1 SV=5
+MADNFSLHDALSGSGNPNPQGWPGAWGNQPAGAGGYPGASYPGAYPGQAPPGAYPGQAPPGAYPGAPGAYPGAPAPGVYPGPPSGPGAYPSSGQPSATGAYPATGPYGAPAGPLIVPYNLPLPGGVVPRMLITILGTVKPNANRIALDFQRGNDVAFHFNPRFNENNRRVIVCNTKLDNNWGREERQSVFPFESGKPFKIQVLVEPDHFKVAVNDAHLLQYNHRVKKLNEISKLGISGDIDLTSASYTMI
+>DECOY_sp|P17931|LEG3_HUMAN Galectin-3 OS=Homo sapiens OX=9606 GN=LGALS3 PE=1 SV=5
+IMTYSASTLDIDGSIGLKSIENLKKVRHNYQLLHADNVAVKFHDPEVLVQIKFPKGSEFPFVSQREERGWNNDLKTNCVIVRRNNENFRPNFHFAVDNGRQFDLAIRNANPKVTGLITILMRPVVGGPLPLNYPVILPGAPAGYPGTAPYAGTASPQGSSPYAGPGSPPGPYVGPAPAGPYAGPAGPYAGPPAQGPYAGPPAQGPYAGPYSAGPYGGAGAPQNGWAGPWGQPNPNGSGSLADHLSFNDAM
+>sp|Q96B70|LENG9_HUMAN Leukocyte receptor cluster member 9 OS=Homo sapiens OX=9606 GN=LENG9 PE=2 SV=2
+MGSRPPCGATSSARRACQFPAPMAAAREPELPQEAPATEPAPPPACRFFLEGRCRFGARCRQPHPGAPAPPGREAQPEAGAKKPPLRTAADVIQRIRWDPRLDPADFSVGYVDRFLGVREEPFSAFCWDQPLAALGPGVLAVPQHRVRFFRFHGRLVWDRASRTDLVFGSGSAAGRGPTILDAPNTEGAHGAEGAEWTLAGTGQEAQAAPKRGSTRPLCTGHQEPGVEEPGELEAAQERALGTAADLGTLAPRGRLAGVTEEALKPTAATRTTLLGGKEAQALGVPGGSAETTEAEWGPAAWPEDKRARLSVAAPCQPRPTHFVALMVTEPGLQAEVTKAQEYLVHVAPHCANFLVPSQNLHLTLALLRLAGAGEEAAAIGALRRALLAPGLNAPPRLSFRKLVLLGPHVLCAPPSPTLESMAQVLSQRLEAEGLSTLQSPGQLHPHLTVAKVPHGSQVHLPKLEFTLSQEVGCQPLQTLWLCRIGRTGGPFQPLAEIRLE
+>DECOY_sp|Q96B70|LENG9_HUMAN Leukocyte receptor cluster member 9 OS=Homo sapiens OX=9606 GN=LENG9 PE=2 SV=2
+ELRIEALPQFPGGTRGIRCLWLTQLPQCGVEQSLTFELKPLHVQSGHPVKAVTLHPHLQGPSQLTSLGEAELRQSLVQAMSELTPSPPACLVHPGLLVLKRFSLRPPANLGPALLARRLAGIAAAEEGAGALRLLALTLHLNQSPVLFNACHPAVHVLYEQAKTVEAQLGPETVMLAVFHTPRPQCPAAVSLRARKDEPWAAPGWEAETTEASGGPVGLAQAEKGGLLTTRTAATPKLAEETVGALRGRPALTGLDAATGLAREQAAELEGPEEVGPEQHGTCLPRTSGRKPAAQAEQGTGALTWEAGEAGHAGETNPADLITPGRGAASGSGFVLDTRSARDWVLRGHFRFFRVRHQPVALVGPGLAALPQDWCFASFPEERVGLFRDVYGVSFDAPDLRPDWRIRQIVDAATRLPPKKAGAEPQAERGPPAPAGPHPQRCRAGFRCRGELFFRCAPPPAPETAPAEQPLEPERAAAMPAPFQCARRASSTAGCPPRSGM
+>sp|P16150|LEUK_HUMAN Leukosialin OS=Homo sapiens OX=9606 GN=SPN PE=1 SV=1
+MATLLLLLGVLVVSPDALGSTTAVQTPTSGEPLVSTSEPLSSKMYTTSITSDPKADSTGDQTSALPPSTSINEGSPLWTSIGASTGSPLPEPTTYQEVSIKMSSVPQETPHATSHPAVPITANSLGSHTVTGGTITTNSPETSSRTSGAPVTTAASSLETSRGTSGPPLTMATVSLETSKGTSGPPVTMATDSLETSTGTTGPPVTMTTGSLEPSSGASGPQVSSVKLSTMMSPTTSTNASTVPFRNPDENSRGMLPVAVLVALLAVIVLVALLLLWRRRQKRRTGALVLSRGGKRNGVVDAWAGPAQVPEEGAVTVTVGGSGGDKGSGFPDGEGSSRRPTLTTFFGRRKSRQGSLAMEELKSGSGPSLKGEEEPLVASEDGAVDAPAPDEPEGGDGAAP
+>DECOY_sp|P16150|LEUK_HUMAN Leukosialin OS=Homo sapiens OX=9606 GN=SPN PE=1 SV=1
+PAAGDGGEPEDPAPADVAGDESAVLPEEEGKLSPGSGSKLEEMALSGQRSKRRGFFTTLTPRRSSGEGDPFGSGKDGGSGGVTVTVAGEEPVQAPGAWADVVGNRKGGRSLVLAGTRRKQRRRWLLLLAVLVIVALLAVLVAVPLMGRSNEDPNRFPVTSANTSTTPSMMTSLKVSSVQPGSAGSSPELSGTTMTVPPGTTGTSTELSDTAMTVPPGSTGKSTELSVTAMTLPPGSTGRSTELSSAATTVPAGSTRSSTEPSNTTITGGTVTHSGLSNATIPVAPHSTAHPTEQPVSSMKISVEQYTTPEPLPSGTSAGISTWLPSGENISTSPPLASTQDGTSDAKPDSTISTTYMKSSLPESTSVLPEGSTPTQVATTSGLADPSVVLVGLLLLLTAM
+>sp|Q969X1|LFG3_HUMAN Protein lifeguard 3 OS=Homo sapiens OX=9606 GN=TMBIM1 PE=1 SV=2
+MSNPSAPPPYEDRNPLYPGPPPPGGYGQPSVLPGGYPAYPGYPQPGYGHPAGYPQPMPPTHPMPMNYGPGHGYDGEERAVSDSFGPGEWDDRKVRHTFIRKVYSIISVQLLITVAIIAIFTFVEPVSAFVRRNVAVYYVSYAVFVVTYLILACCQGPRRRFPWNIILLTLFTFAMGFMTGTISSMYQTKAVIIAMIITAVVSISVTIFCFQTKVDFTSCTGLFCVLGIVLLVTGIVTSIVLYFQYVYWLHMLYAALGAICFTLFLAYDTQLVLGNRKHTISPEDYITGALQIYTDIIYIFTFVLQLMGDRN
+>DECOY_sp|Q969X1|LFG3_HUMAN Protein lifeguard 3 OS=Homo sapiens OX=9606 GN=TMBIM1 PE=1 SV=2
+NRDGMLQLVFTFIYIIDTYIQLAGTIYDEPSITHKRNGLVLQTDYALFLTFCIAGLAAYLMHLWYVYQFYLVISTVIGTVLLVIGLVCFLGTCSTFDVKTQFCFITVSISVVATIIMAIIVAKTQYMSSITGTMFGMAFTFLTLLIINWPFRRRPGQCCALILYTVVFVAYSVYYVAVNRRVFASVPEVFTFIAIIAVTILLQVSIISYVKRIFTHRVKRDDWEGPGFSDSVAREEGDYGHGPGYNMPMPHTPPMPQPYGAPHGYGPQPYGPYAPYGGPLVSPQGYGGPPPPGPYLPNRDEYPPPASPNSM
+>sp|Q9UJU2|LEF1_HUMAN Lymphoid enhancer-binding factor 1 OS=Homo sapiens OX=9606 GN=LEF1 PE=1 SV=1
+MPQLSGGGGGGGGDPELCATDEMIPFKDEGDPQKEKIFAEISHPEEEGDLADIKSSLVNESEIIPASNGHEVARQAQTSQEPYHDKAREHPDDGKHPDGGLYNKGPSYSSYSGYIMMPNMNNDPYMSNGSLSPPIPRTSNKVPVVQPSHAVHPLTPLITYSDEHFSPGSHPSHIPSDVNSKQGMSRHPPAPDIPTFYPLSPGGVGQITPPLGWQGQPVYPITGGFRQPYPSSLSVDTSMSRFSHHMIPGPPGPHTTGIPHPAIVTPQVKQEHPHTDSDLMHVKPQHEQRKEQEPKRPHIKKPLNAFMLYMKEMRANVVAECTLKESAAINQILGRRWHALSREEQAKYYELARKERQLHMQLYPGWSARDNYGKKKKRKREKLQESASGTGPRMTAAYI
+>DECOY_sp|Q9UJU2|LEF1_HUMAN Lymphoid enhancer-binding factor 1 OS=Homo sapiens OX=9606 GN=LEF1 PE=1 SV=1
+IYAATMRPGTGSASEQLKERKRKKKKGYNDRASWGPYLQMHLQREKRALEYYKAQEERSLAHWRRGLIQNIAASEKLTCEAVVNARMEKMYLMFANLPKKIHPRKPEQEKRQEHQPKVHMLDSDTHPHEQKVQPTVIAPHPIGTTHPGPPGPIMHHSFRSMSTDVSLSSPYPQRFGGTIPYVPQGQWGLPPTIQGVGGPSLPYFTPIDPAPPHRSMGQKSNVDSPIHSPHSGPSFHEDSYTILPTLPHVAHSPQVVPVKNSTRPIPPSLSGNSMYPDNNMNPMMIYGSYSSYSPGKNYLGGDPHKGDDPHERAKDHYPEQSTQAQRAVEHGNSAPIIESENVLSSKIDALDGEEEPHSIEAFIKEKQPDGEDKFPIMEDTACLEPDGGGGGGGGSLQPM
+>sp|Q05315|LEG10_HUMAN Galectin-10 OS=Homo sapiens OX=9606 GN=CLC PE=1 SV=3
+MSLLPVPYTEAASLSTGSTVTIKGRPLACFLNEPYLQVDFHTEMKEESDIVFHFQVCFGRRVVMNSREYGAWKQQVESKNMPFQDGQEFELSISVLPDKYQVMVNGQSSYTFDHRIKPEAVKMVQVWRDISLTKFNVSYLKR
+>DECOY_sp|Q05315|LEG10_HUMAN Galectin-10 OS=Homo sapiens OX=9606 GN=CLC PE=1 SV=3
+RKLYSVNFKTLSIDRWVQVMKVAEPKIRHDFTYSSQGNVMVQYKDPLVSISLEFEQGDQFPMNKSEVQQKWAGYERSNMVVRRGFCVQFHFVIDSEEKMETHFDVQLYPENLFCALPRGKITVTSGTSLSAAETYPVPLLSM
+>sp|P47929|LEG7_HUMAN Galectin-7 OS=Homo sapiens OX=9606 GN=LGALS7 PE=1 SV=2
+MSNVPHKSSLPEGIRPGTVLRIRGLVPPNASRFHVNLLCGEEQGSDAALHFNPRLDTSEVVFNSKEQGSWGREERGPGVPFQRGQPFEVLIIASDDGFKAVVGDAQYHHFRHRLPLARVRLVEVGGDVQLDSVRIF
+>DECOY_sp|P47929|LEG7_HUMAN Galectin-7 OS=Homo sapiens OX=9606 GN=LGALS7 PE=1 SV=2
+FIRVSDLQVDGGVEVLRVRALPLRHRFHHYQADGVVAKFGDDSAIILVEFPQGRQFPVGPGREERGWSGQEKSNFVVESTDLRPNFHLAADSGQEEGCLLNVHFRSANPPVLGRIRLVTGPRIGEPLSSKHPVNSM
+>sp|O00214|LEG8_HUMAN Galectin-8 OS=Homo sapiens OX=9606 GN=LGALS8 PE=1 SV=4
+MMLSLNNLQNIIYNPVIPFVGTIPDQLDPGTLIVIRGHVPSDADRFQVDLQNGSSMKPRADVAFHFNPRFKRAGCIVCNTLINEKWGREEITYDTPFKREKSFEIVIMVLKDKFQVAVNGKHTLLYGHRIGPEKIDTLGIYGKVNIHSIGFSFSSDLQSTQASSLELTEISRENVPKSGTPQLRLPFAARLNTPMGPGRTVVVKGEVNANAKSFNVDLLAGKSKDIALHLNPRLNIKAFVRNSFLQESWGEEERNITSFPFSPGMYFEMIIYCDVREFKVAVNGVHSLEYKHRFKELSSIDTLEINGDIHLLEVRSW
+>DECOY_sp|O00214|LEG8_HUMAN Galectin-8 OS=Homo sapiens OX=9606 GN=LGALS8 PE=1 SV=4
+WSRVELLHIDGNIELTDISSLEKFRHKYELSHVGNVAVKFERVDCYIIMEFYMGPSFPFSTINREEEGWSEQLFSNRVFAKINLRPNLHLAIDKSKGALLDVNFSKANANVEGKVVVTRGPGMPTNLRAAFPLRLQPTGSKPVNERSIETLELSSAQTSQLDSSFSFGISHINVKGYIGLTDIKEPGIRHGYLLTHKGNVAVQFKDKLVMIVIEFSKERKFPTDYTIEERGWKENILTNCVICGARKFRPNFHFAVDARPKMSSGNQLDVQFRDADSPVHGRIVILTGPDLQDPITGVFPIVPNYIINQLNNLSLMM
+>sp|Q6ZMV7|LEKR1_HUMAN Leucine-, glutamate- and lysine-rich protein 1 OS=Homo sapiens OX=9606 GN=LEKR1 PE=2 SV=2
+MLLKEKEDSLMTCQQIYKALQEELTVKEKQEEDIKRRINLAENELEITKTLLNQTREEVLTLKNERELMLISHQKSIEQLQETLRQKLLSDDNWKEKIEAELAKERAQHLVEFEEQALLFKEETKLQLDIEKEKHQDVIQKYKKEQEELQMKISDLITGATRDLRQEVTTLKEKLHKSHIRYTEESNSKEKEIENLKNLVAEFESRLKKEIDSNDSVSENLRKEMEQKSDELKRVMLAQTQLIEQFNQSQEENTFLQETVRRECEERFELTEALSQAREQLLELSKLRGSLPFSPCSLSKGSLTSPAAAVSNHGERSLARLNSEKGIQIPNLRGVSKPTTFPTSDKPKRVRSGVPILPQPHPPRGGASSANETRQRLAAILRRRRSQQ
+>DECOY_sp|Q6ZMV7|LEKR1_HUMAN Leucine-, glutamate- and lysine-rich protein 1 OS=Homo sapiens OX=9606 GN=LEKR1 PE=2 SV=2
+QQSRRRRLIAALRQRTENASSAGGRPPHPQPLIPVGSRVRKPKDSTPFTTPKSVGRLNPIQIGKESNLRALSREGHNSVAAAPSTLSGKSLSCPSFPLSGRLKSLELLQERAQSLAETLEFREECERRVTEQLFTNEEQSQNFQEILQTQALMVRKLEDSKQEMEKRLNESVSDNSDIEKKLRSEFEAVLNKLNEIEKEKSNSEETYRIHSKHLKEKLTTVEQRLDRTAGTILDSIKMQLEEQEKKYKQIVDQHKEKEIDLQLKTEEKFLLAQEEFEVLHQAREKALEAEIKEKWNDDSLLKQRLTEQLQEISKQHSILMLERENKLTLVEERTQNLLTKTIELENEALNIRRKIDEEQKEKVTLEEQLAKYIQQCTMLSDEKEKLLM
+>sp|Q96BZ8|LENG1_HUMAN Leukocyte receptor cluster member 1 OS=Homo sapiens OX=9606 GN=LENG1 PE=1 SV=1
+MNILPKKSWHVRNKDNVARVRRDEAQAREEEKERERRVLLAQQEARTEFLRKKARHQNSLPELEAAEAGAPGSGPVDLFRELLEEGKGVIRGNKEYEEEKRQEKERQEKALGILTYLGQSAAEAQTQPPWYQLPPGRGGPPPGPAPDEKIKSRLDPLREMQKHLGKKRQHGGDEGSRSRKEKEGSEKQRPKEPPSLDQLRAERLRREAAERSRAEALLARVQGRALQEGQPEEDETDDRRRRYNSQFNPQLARRPRQQDPHLTH
+>DECOY_sp|Q96BZ8|LENG1_HUMAN Leukocyte receptor cluster member 1 OS=Homo sapiens OX=9606 GN=LENG1 PE=1 SV=1
+HTLHPDQQRPRRALQPNFQSNYRRRRDDTEDEEPQGEQLARGQVRALLAEARSREAAERRLREARLQDLSPPEKPRQKESGEKEKRSRSGEDGGHQRKKGLHKQMERLPDLRSKIKEDPAPGPPPGGRGPPLQYWPPQTQAEAASQGLYTLIGLAKEQREKEQRKEEEYEKNGRIVGKGEELLERFLDVPGSGPAGAEAAELEPLSNQHRAKKRLFETRAEQQALLVRREREKEEERAQAEDRRVRAVNDKNRVHWSKKPLINM
+>sp|P48357|LEPR_HUMAN Leptin receptor OS=Homo sapiens OX=9606 GN=LEPR PE=1 SV=2
+MICQKFCVVLLHWEFIYVITAFNLSYPITPWRFKLSCMPPNSTYDYFLLPAGLSKNTSNSNGHYETAVEPKFNSSGTHFSNLSKTTFHCCFRSEQDRNCSLCADNIEGKTFVSTVNSLVFQQIDANWNIQCWLKGDLKLFICYVESLFKNLFRNYNYKVHLLYVLPEVLEDSPLVPQKGSFQMVHCNCSVHECCECLVPVPTAKLNDTLLMCLKITSGGVIFQSPLMSVQPINMVKPDPPLGLHMEITDDGNLKISWSSPPLVPFPLQYQVKYSENSTTVIREADKIVSATSLLVDSILPGSSYEVQVRGKRLDGPGIWSDWSTPRVFTTQDVIYFPPKILTSVGSNVSFHCIYKKENKIVPSKEIVWWMNLAEKIPQSQYDVVSDHVSKVTFFNLNETKPRGKFTYDAVYCCNEHECHHRYAELYVIDVNINISCETDGYLTKMTCRWSTSTIQSLAESTLQLRYHRSSLYCSDIPSIHPISEPKDCYLQSDGFYECIFQPIFLLSGYTMWIRINHSLGSLDSPPTCVLPDSVVKPLPPSSVKAEITINIGLLKISWEKPVFPENNLQFQIRYGLSGKEVQWKMYEVYDAKSKSVSLPVPDLCAVYAVQVRCKRLDGLGYWSNWSNPAYTVVMDIKVPMRGPEFWRIINGDTMKKEKNVTLLWKPLMKNDSLCSVQRYVINHHTSCNGTWSEDVGNHTKFTFLWTEQAHTVTVLAINSIGASVANFNLTFSWPMSKVNIVQSLSAYPLNSSCVIVSWILSPSDYKLMYFIIEWKNLNEDGEIKWLRISSSVKKYYIHDHFIPIEKYQFSLYPIFMEGVGKPKIINSFTQDDIEKHQSDAGLYVIVPVIISSSILLLGTLLISHQRMKKLFWEDVPNPKNCSWAQGLNFQKPETFEHLFIKHTASVTCGPLLLEPETISEDISVDTSWKNKDEMMPTTVVSLLSTTDLEKGSVCISDQFNSVNFSEAEGTEVTYEDESQRQPFVKYATLISNSKPSETGEEQGLINSSVTKCFSSKNSPLKDSFSNSSWEIEAQAFFILSDQHPNIISPHLTFSEGLDELLKLEGNFPEENNDKKSIYYLGVTSIKKRESGVLLTDKSRVSCPFPAPCLFTDIRVLQDSCSHFVENNINLGTSSKKTFASYMPQFQTCSTQTHKIMENKMCDLTV
+>DECOY_sp|P48357|LEPR_HUMAN Leptin receptor OS=Homo sapiens OX=9606 GN=LEPR PE=1 SV=2
+VTLDCMKNEMIKHTQTSCTQFQPMYSAFTKKSSTGLNINNEVFHSCSDQLVRIDTFLCPAPFPCSVRSKDTLLVGSERKKISTVGLYYISKKDNNEEPFNGELKLLEDLGESFTLHPSIINPHQDSLIFFAQAEIEWSSNSFSDKLPSNKSSFCKTVSSNILGQEEGTESPKSNSILTAYKVFPQRQSEDEYTVETGEAESFNVSNFQDSICVSGKELDTTSLLSVVTTPMMEDKNKWSTDVSIDESITEPELLLPGCTVSATHKIFLHEFTEPKQFNLGQAWSCNKPNPVDEWFLKKMRQHSILLTGLLLISSSIIVPVIVYLGADSQHKEIDDQTFSNIIKPKGVGEMFIPYLSFQYKEIPIFHDHIYYKKVSSSIRLWKIEGDENLNKWEIIFYMLKYDSPSLIWSVIVCSSNLPYASLSQVINVKSMPWSFTLNFNAVSAGISNIALVTVTHAQETWLFTFKTHNGVDESWTGNCSTHHNIVYRQVSCLSDNKMLPKWLLTVNKEKKMTDGNIIRWFEPGRMPVKIDMVVTYAPNSWNSWYGLGDLRKCRVQVAYVACLDPVPLSVSKSKADYVEYMKWQVEKGSLGYRIQFQLNNEPFVPKEWSIKLLGINITIEAKVSSPPLPKVVSDPLVCTPPSDLSGLSHNIRIWMTYGSLLFIPQFICEYFGDSQLYCDKPESIPHISPIDSCYLSSRHYRLQLTSEALSQITSTSWRCTMKTLYGDTECSININVDIVYLEAYRHHCEHENCCYVADYTFKGRPKTENLNFFTVKSVHDSVVDYQSQPIKEALNMWWVIEKSPVIKNEKKYICHFSVNSGVSTLIKPPFYIVDQTTFVRPTSWDSWIGPGDLRKGRVQVEYSSGPLISDVLLSTASVIKDAERIVTTSNESYKVQYQLPFPVLPPSSWSIKLNGDDTIEMHLGLPPDPKVMNIPQVSMLPSQFIVGGSTIKLCMLLTDNLKATPVPVLCECCEHVSCNCHVMQFSGKQPVLPSDELVEPLVYLLHVKYNYNRFLNKFLSEVYCIFLKLDGKLWCQINWNADIQQFVLSNVTSVFTKGEINDACLSCNRDQESRFCCHFTTKSLNSFHTGSSNFKPEVATEYHGNSNSTNKSLGAPLLFYDYTSNPPMCSLKFRWPTIPYSLNFATIVYIFEWHLLVVCFKQCIM
+>sp|O95202|LETM1_HUMAN Mitochondrial proton/calcium exchanger protein OS=Homo sapiens OX=9606 GN=LETM1 PE=1 SV=1
+MASILLRSCRGRAPARLPPPPRYTVPRGSPGDPAHLSCASTLGLRNCLNVPFGCCTPIHPVYTSSRGDHLGCWALRPECLRIVSRAPWTSTSVGFVAVGPQCLPVRGWHSSRPVRDDSVVEKSLKSLKDKNKKLEEGGPVYSPPAEVVVKKSLGQRVLDELKHYYHGFRLLWIDTKIAARMLWRILNGHSLTRRERRQFLRICADLFRLVPFLVFVVVPFMEFLLPVAVKLFPNMLPSTFETQSLKEERLKKELRVKLELAKFLQDTIEEMALKNKAAKGSATKDFSVFFQKIRETGERPSNEEIMRFSKLFEDELTLDNLTRPQLVALCKLLELQSIGTNNFLRFQLTMRLRSIKADDKLIAEEGVDSLNVKELQAACRARGMRALGVTEDRLRGQLKQWLDLHLHQEIPTSLLILSRAMYLPDTLSPADQLKSTLQTLPEIVAKEAQVKVAEVEGEQVDNKAKLEATLQEEAAIQQEHREKELQKRSEVAKDFEPERVVAAPQRPGTEPQPEMPDTVLQSETLKDTAPVLEGLKEEEITKEEIDILSDACSKLQEQKKSLTKEKEELELLKEDVQDYSEDLQEIKKELSKTGEEKYVEESKASKRLTKRVQQMIGQIDGLISQLEMDQQAGKLAPANGMPTGENVISVAELINAMKQVKHIPESKLTSLAAALDENKDGKVNIDDLVKVIELVDKEDVHISTSQVAEIVATLEKEEKVEEKEKAKEKAEKEVAEVKS
+>DECOY_sp|O95202|LETM1_HUMAN Mitochondrial proton/calcium exchanger protein OS=Homo sapiens OX=9606 GN=LETM1 PE=1 SV=1
+SKVEAVEKEAKEKAKEKEEVKEEKELTAVIEAVQSTSIHVDEKDVLEIVKVLDDINVKGDKNEDLAAALSTLKSEPIHKVQKMANILEAVSIVNEGTPMGNAPALKGAQQDMELQSILGDIQGIMQQVRKTLRKSAKSEEVYKEEGTKSLEKKIEQLDESYDQVDEKLLELEEKEKTLSKKQEQLKSCADSLIDIEEKTIEEEKLGELVPATDKLTESQLVTDPMEPQPETGPRQPAAVVREPEFDKAVESRKQLEKERHEQQIAAEEQLTAELKAKNDVQEGEVEAVKVQAEKAVIEPLTQLTSKLQDAPSLTDPLYMARSLILLSTPIEQHLHLDLWQKLQGRLRDETVGLARMGRARCAAQLEKVNLSDVGEEAILKDDAKISRLRMTLQFRLFNNTGISQLELLKCLAVLQPRTLNDLTLEDEFLKSFRMIEENSPREGTERIKQFFVSFDKTASGKAAKNKLAMEEITDQLFKALELKVRLEKKLREEKLSQTEFTSPLMNPFLKVAVPLLFEMFPVVVFVLFPVLRFLDACIRLFQRRERRTLSHGNLIRWLMRAAIKTDIWLLRFGHYYHKLEDLVRQGLSKKVVVEAPPSYVPGGEELKKNKDKLSKLSKEVVSDDRVPRSSHWGRVPLCQPGVAVFGVSTSTWPARSVIRLCEPRLAWCGLHDGRSSTYVPHIPTCCGFPVNLCNRLGLTSACSLHAPDGPSGRPVTYRPPPPLRAPARGRCSRLLISAM
+>sp|Q6UYE1|LEU7_HUMAN Leukemia-associated protein 7 OS=Homo sapiens OX=9606 GN=DLEU7 PE=2 SV=1
+MASPAPLVASISHQMVALQTLQLLQQEWGWGDGPVAPGNPRDPDHVSTAPARRSGPPRARPGPGREERGGGVGTRSRRTAARANSPEEEVVRGAEGGAELLPFPRDRGPCTLAQMAMRSALARVVDSTSELVSVEQTLLGPLQQERSFPIHLKDSVEFRNICSHLALQIEGQQFDRDLNAAHQCLKTIVKKLIQSLANFPSDAHMVACASLRQILQNLPDI
+>DECOY_sp|Q6UYE1|LEU7_HUMAN Leukemia-associated protein 7 OS=Homo sapiens OX=9606 GN=DLEU7 PE=2 SV=1
+IDPLNQLIQRLSACAVMHADSPFNALSQILKKVITKLCQHAANLDRDFQQGEIQLALHSCINRFEVSDKLHIPFSREQQLPGLLTQEVSVLESTSDVVRALASRMAMQALTCPGRDRPFPLLEAGGEAGRVVEEEPSNARAATRRSRTGVGGGREERGPGPRARPPGSRRAPATSVHDPDRPNGPAVPGDGWGWEQQLLQLTQLAVMQHSISAVLPAPSAM
+>sp|P19256|LFA3_HUMAN Lymphocyte function-associated antigen 3 OS=Homo sapiens OX=9606 GN=CD58 PE=1 SV=1
+MVAGSDAGRALGVLSVVCLLHCFGFISCFSQQIYGVVYGNVTFHVPSNVPLKEVLWKKQKDKVAELENSEFRAFSSFKNRVYLDTVSGSLTIYNLTSSDEDEYEMESPNITDTMKFFLYVLESLPSPTLTCALTNGSIEVQCMIPEHYNSHRGLIMYSWDCPMEQCKRNSTSIYFKMENDLPQKIQCTLSNPLFNTTSSIILTTCIPSSGHSRHRYALIPIPLAVITTCIVLYMNGILKCDRKPDRTNSN
+>DECOY_sp|P19256|LFA3_HUMAN Lymphocyte function-associated antigen 3 OS=Homo sapiens OX=9606 GN=CD58 PE=1 SV=1
+NSNTRDPKRDCKLIGNMYLVICTTIVALPIPILAYRHRSHGSSPICTTLIISSTTNFLPNSLTCQIKQPLDNEMKFYISTSNRKCQEMPCDWSYMILGRHSNYHEPIMCQVEISGNTLACTLTPSPLSELVYLFFKMTDTINPSEMEYEDEDSSTLNYITLSGSVTDLYVRNKFSSFARFESNELEAVKDKQKKWLVEKLPVNSPVHFTVNGYVVGYIQQSFCSIFGFCHLLCVVSLVGLARGADSGAVM
+>sp|Q9HC24|LFG4_HUMAN Protein lifeguard 4 OS=Homo sapiens OX=9606 GN=TMBIM4 PE=1 SV=3
+MADPDPRYPRSSIEDDFNYGSSVASATVHIRMAFLRKVYSILSLQVLLTTVTSTVFLYFESVRTFVHESPALILLFALGSLGLIFALILNRHKYPLNLYLLFGFTLLEALTVAVVVTFYDVYIILQAFILTTTVFFGLTVYTLQSKKDFSKFGAGLFALLWILCLSGFLKFFFYSEIMELVLAAAGALLFCGFIIYDTHSLMHKLSPEEYVLAAISLYLDIINLFLHLLRFLEAVNKK
+>DECOY_sp|Q9HC24|LFG4_HUMAN Protein lifeguard 4 OS=Homo sapiens OX=9606 GN=TMBIM4 PE=1 SV=3
+KKNVAELFRLLHLFLNIIDLYLSIAALVYEEPSLKHMLSHTDYIIFGCFLLAGAAALVLEMIESYFFFKLFGSLCLIWLLAFLGAGFKSFDKKSQLTYVTLGFFVTTTLIFAQLIIYVDYFTVVVAVTLAELLTFGFLLYLNLPYKHRNLILAFILGLSGLAFLLILAPSEHVFTRVSEFYLFVTSTVTTLLVQLSLISYVKRLFAMRIHVTASAVSSGYNFDDEISSRPYRPDPDAM
+>sp|O75610|LFTY1_HUMAN Left-right determination factor 1 OS=Homo sapiens OX=9606 GN=LEFTY1 PE=2 SV=1
+MQPLWLCWALWVLPLASPGAALTGEQLLGSLLRQLQLKEVPTLDRADMEELVIPTHVRAQYVALLQRSHGDRSRGKRFSQSFREVAGRFLALEASTHLLVFGMEQRLPPNSELVQAVLRLFQEPVPKAALHRHGRLSPRSARARVTVEWLRVRDDGSNRTSLIDSRLVSVHESGWKAFDVTEAVNFWQQLSRPRQPLLLQVSVQREHLGPLASGAHKLVRFASQGAPAGLGEPQLELHTLDLGDYGAQGDCDPEAPMTEGTRCCRQEMYIDLQGMKWAENWVLEPPGFLAYECVGTCRQPPEALAFKWPFLGPRQCIASETDSLPMIVSIKEGGRTRPQVVSLPNMRVQKCSCASDGALVPRRLQP
+>DECOY_sp|O75610|LFTY1_HUMAN Left-right determination factor 1 OS=Homo sapiens OX=9606 GN=LEFTY1 PE=2 SV=1
+PQLRRPVLAGDSACSCKQVRMNPLSVVQPRTRGGEKISVIMPLSDTESAICQRPGLFPWKFALAEPPQRCTGVCEYALFGPPELVWNEAWKMGQLDIYMEQRCCRTGETMPAEPDCDGQAGYDGLDLTHLELQPEGLGAPAGQSAFRVLKHAGSALPGLHERQVSVQLLLPQRPRSLQQWFNVAETVDFAKWGSEHVSVLRSDILSTRNSGDDRVRLWEVTVRARASRPSLRGHRHLAAKPVPEQFLRLVAQVLESNPPLRQEMGFVLLHTSAELALFRGAVERFSQSFRKGRSRDGHSRQLLAVYQARVHTPIVLEEMDARDLTPVEKLQLQRLLSGLLQEGTLAAGPSALPLVWLAWCLWLPQM
+>sp|Q86UP9|LHPL3_HUMAN LHFPL tetraspan subfamily member 3 protein OS=Homo sapiens OX=9606 GN=LHFPL3 PE=2 SV=3
+MPGAAAAAAAAAAAMLPAQEAAKLYHTNYVRNSRAIGVLWAIFTICFAIVNVVCFIQPYWIGDGVDTPQAGYFGLFHYCIGNGFSRELTCRGSFTDFSTLPSGAFKAASFFIGLSMMLIIACIICFTLFFFCNTATVYKICAWMQLTSAACLVLGCMIFPDGWDSDEVKRMCGEKTDKYTLGACSVRWAYILAIIGILDALILSFLAFVLGNRQDSLMAEELKAENKVLLSQYSLE
+>DECOY_sp|Q86UP9|LHPL3_HUMAN LHFPL tetraspan subfamily member 3 protein OS=Homo sapiens OX=9606 GN=LHFPL3 PE=2 SV=3
+ELSYQSLLVKNEAKLEEAMLSDQRNGLVFALFSLILADLIGIIALIYAWRVSCAGLTYKDTKEGCMRKVEDSDWGDPFIMCGLVLCAASTLQMWACIKYVTATNCFFFLTFCIICAIILMMSLGIFFSAAKFAGSPLTSFDTFSGRCTLERSFGNGICYHFLGFYGAQPTDVGDGIWYPQIFCVVNVIAFCITFIAWLVGIARSNRVYNTHYLKAAEQAPLMAAAAAAAAAAAGPM
+>sp|Q9Y693|LHPL6_HUMAN LHFPL tetraspan subfamily member 6 protein OS=Homo sapiens OX=9606 GN=LHFPL6 PE=2 SV=1
+MASSLTCTGVIWALLSFLCAATSCVGFFMPYWLWGSQLGKPVSFGTFRRCSYPVHDESRQMMVMVEECGRYASFQGIPSAEWRICTIVTGLGCGLLLLVALTALMGCCVSDLISRTVGRVAGGIQFLGGLLIGAGCALYPLGWDSEEVRQTCGYTSGQFDLGKCEIGWAYYCTGAGATAAMLLCTWLACFSGKKQKHYPY
+>DECOY_sp|Q9Y693|LHPL6_HUMAN LHFPL tetraspan subfamily member 6 protein OS=Homo sapiens OX=9606 GN=LHFPL6 PE=2 SV=1
+YPYHKQKKGSFCALWTCLLMAATAGAGTCYYAWGIECKGLDFQGSTYGCTQRVEESDWGLPYLACGAGILLGGLFQIGGAVRGVTRSILDSVCCGMLATLAVLLLLGCGLGTVITCIRWEASPIGQFSAYRGCEEVMVMMQRSEDHVPYSCRRFTGFSVPKGLQSGWLWYPMFFGVCSTAACLFSLLAWIVGTCTLSSAM
+>sp|Q9Y5X9|LIPE_HUMAN Endothelial lipase OS=Homo sapiens OX=9606 GN=LIPG PE=1 SV=1
+MSNSVPLLCFWSLCYCFAAGSPVPFGPEGRLEDKLHKPKATQTEVKPSVRFNLRTSKDPEHEGCYLSVGHSQPLEDCSFNMTAKTFFIIHGWTMSGIFENWLHKLVSALHTREKDANVVVVDWLPLAHQLYTDAVNNTRVVGHSIARMLDWLQEKDDFSLGNVHLIGYSLGAHVAGYAGNFVKGTVGRITGLDPAGPMFEGADIHKRLSPDDADFVDVLHTYTRSFGLSIGIQMPVGHIDIYPNGGDFQPGCGLNDVLGSIAYGTITEVVKCEHERAVHLFVDSLVNQDKPSFAFQCTDSNRFKKGICLSCRKNRCNSIGYNAKKMRNKRNSKMYLKTRAGMPFRVYHYQMKIHVFSYKNMGEIEPTFYVTLYGTNADSQTLPLEIVERIEQNATNTFLVYTEEDLGDLLKIQLTWEGASQSWYNLWKEFRSYLSQPRNPGRELNIRRIRVKSGETQRKLTFCTEDPENTSISPGRELWFRKCRDGWRMKNETSPTVELP
+>DECOY_sp|Q9Y5X9|LIPE_HUMAN Endothelial lipase OS=Homo sapiens OX=9606 GN=LIPG PE=1 SV=1
+PLEVTPSTENKMRWGDRCKRFWLERGPSISTNEPDETCFTLKRQTEGSKVRIRRINLERGPNRPQSLYSRFEKWLNYWSQSAGEWTLQIKLLDGLDEETYVLFTNTANQEIREVIELPLTQSDANTGYLTVYFTPEIEGMNKYSFVHIKMQYHYVRFPMGARTKLYMKSNRKNRMKKANYGISNCRNKRCSLCIGKKFRNSDTCQFAFSPKDQNVLSDVFLHVAREHECKVVETITGYAISGLVDNLGCGPQFDGGNPYIDIHGVPMQIGISLGFSRTYTHLVDVFDADDPSLRKHIDAGEFMPGAPDLGTIRGVTGKVFNGAYGAVHAGLSYGILHVNGLSFDDKEQLWDLMRAISHGVVRTNNVADTYLQHALPLWDVVVVNADKERTHLASVLKHLWNEFIGSMTWGHIIFFTKATMNFSCDELPQSHGVSLYCGEHEPDKSTRLNFRVSPKVETQTAKPKHLKDELRGEPGFPVPSGAAFCYCLSWFCLLPVSNSM
+>sp|Q6XZB0|LIPI_HUMAN Lipase member I OS=Homo sapiens OX=9606 GN=LIPI PE=1 SV=3
+MRVYIFLCLMCWVRSDNKRPCLEFSQLSVKDSFRDLFIPRIETILMMYTRNNLNCAEPLFEQNNSLNVNFNTQKKTVWLIHGYRPVGSIPLWLQNFVRILLNEEDMNVIVVDWSRGATTFIYNRAVKNTRKVAVSLSVHIKNLLKHGASLDNFHFIGVSLGAHISGFVGKIFHGQLGRITGLDPAGPRFSRKPPYSRLDYTDAKFVDVIHSDSNGLGIQEPLGHIDFYPNGGNKQPGCPKSIFSGIQFIKCNHQRAVHLFMASLETNCNFISFPCRSYKDYKTSLCVDCDCFKEKSCPRLGYQAKLFKGVLKERMEGRPLRTTVFLDTSGTYPFCTYYFVLSIIVPDKTMMDGSFSFKLLNQLGMIEEPRLYEKNKPFYKLQEVKILAQFYNDFVNISSIGLTYFQSSNLQCSTCTYKIQSLMLKSLTYPERPPLCRYNIVLKDREEVFLNPNTCTPKNT
+>DECOY_sp|Q6XZB0|LIPI_HUMAN Lipase member I OS=Homo sapiens OX=9606 GN=LIPI PE=1 SV=3
+TNKPTCTNPNLFVEERDKLVINYRCLPPREPYTLSKLMLSQIKYTCTSCQLNSSQFYTLGISSINVFDNYFQALIKVEQLKYFPKNKEYLRPEEIMGLQNLLKFSFSGDMMTKDPVIISLVFYYTCFPYTGSTDLFVTTRLPRGEMREKLVGKFLKAQYGLRPCSKEKFCDCDVCLSTKYDKYSRCPFSIFNCNTELSAMFLHVARQHNCKIFQIGSFISKPCGPQKNGGNPYFDIHGLPEQIGLGNSDSHIVDVFKADTYDLRSYPPKRSFRPGAPDLGTIRGLQGHFIKGVFGSIHAGLSVGIFHFNDLSAGHKLLNKIHVSLSVAVKRTNKVARNYIFTTAGRSWDVVIVNMDEENLLIRVFNQLWLPISGVPRYGHILWVTKKQTNFNVNLSNNQEFLPEACNLNNRTYMMLITEIRPIFLDRFSDKVSLQSFELCPRKNDSRVWCMLCLFIYVRM
+>sp|Q6UX01|LMBRL_HUMAN Protein LMBR1L OS=Homo sapiens OX=9606 GN=LMBR1L PE=1 SV=2
+MEAPDYEVLSVREQLFHERIRECIISTLLFATLYILCHIFLTRFKKPAEFTTVDDEDATVNKIALELCTFTLAIALGAVLLLPFSIISNEVLLSLPRNYYIQWLNGSLIHGLWNLVFLFSNLSLIFLMPFAYFFTESEGFAGSRKGVLGRVYETVVMLMLLTLLVLGMVWVASAIVDKNKANRESLYDFWEYYLPYLYSCISFLGVLLLLVCTPLGLARMFSVTGKLLVKPRLLEDLEEQLYCSAFEEAALTRRICNPTSCWLPLDMELLHRQVLALQTQRVLLEKRRKASAWQRNLGYPLAMLCLLVLTGLSVLIVAIHILELLIDEAAMPRGMQGTSLGQVSFSKLGSFGAVIQVVLIFYLMVSSVVGFYSSPLFRSLRPRWHDTAMTQIIGNCVCLLVLSSALPVFSRTLGLTRFDLLGDFGRFNWLGNFYIVFLYNAAFAGLTTLCLVKTFTAAVRAELIRAFGLDRLPLPVSGFPQASRKTQHQ
+>DECOY_sp|Q6UX01|LMBRL_HUMAN Protein LMBR1L OS=Homo sapiens OX=9606 GN=LMBR1L PE=1 SV=2
+QHQTKRSAQPFGSVPLPLRDLGFARILEARVAATFTKVLCLTTLGAFAANYLFVIYFNGLWNFRGFDGLLDFRTLGLTRSFVPLASSLVLLCVCNGIIQTMATDHWRPRLSRFLPSSYFGVVSSVMLYFILVVQIVAGFSGLKSFSVQGLSTGQMGRPMAAEDILLELIHIAVILVSLGTLVLLCLMALPYGLNRQWASAKRRKELLVRQTQLALVQRHLLEMDLPLWCSTPNCIRRTLAAEEFASCYLQEELDELLRPKVLLKGTVSFMRALGLPTCVLLLLVGLFSICSYLYPLYYEWFDYLSERNAKNKDVIASAVWVMGLVLLTLLMLMVVTEYVRGLVGKRSGAFGESETFFYAFPMLFILSLNSFLFVLNWLGHILSGNLWQIYYNRPLSLLVENSIISFPLLLVAGLAIALTFTCLELAIKNVTADEDDVTTFEAPKKFRTLFIHCLIYLTAFLLTSIICERIREHFLQERVSLVEYDPAEM
+>sp|A1A4G5|LNP1_HUMAN Leukemia NUP98 fusion partner 1 OS=Homo sapiens OX=9606 GN=LNP1 PE=2 SV=1
+MEHKDDDDDDVSFAKWMSSFWGHSWREEDQRGLRERHRLQATSHRKTSLPCPLPVLPRIPSSDCHPRRHSHEDQEFRCRSHVRDYRKYSEDGSFKEPLESKGRSHSKIEKFSESFERQLCFRTKRSASLGPESRKERNERECLRMEIKSRKKVEEERSSRKEEHGEAHMAPLFEKGPE
+>DECOY_sp|A1A4G5|LNP1_HUMAN Leukemia NUP98 fusion partner 1 OS=Homo sapiens OX=9606 GN=LNP1 PE=2 SV=1
+EPGKEFLPAMHAEGHEEKRSSREEEVKKRSKIEMRLCERENREKRSEPGLSASRKTRFCLQREFSESFKEIKSHSRGKSELPEKFSGDESYKRYDRVHSRCRFEQDEHSHRRPHCDSSPIRPLVPLPCPLSTKRHSTAQLRHRERLGRQDEERWSHGWFSSMWKAFSVDDDDDDKHEM
+>sp|Q9BY71|LRRC3_HUMAN Leucine-rich repeat-containing protein 3 OS=Homo sapiens OX=9606 GN=LRRC3 PE=1 SV=1
+MGTVRPPRPSLLLVSTRESCLFLLFCLHLGAACPQPCRCPDHAGAVAVFCSLRGLQEVPEDIPANTVLLKLDANKISHLPDGAFQHLHRLRELDLSHNAIEAIGSATFAGLAGGLRLLDLSYNRIQRIPKDALGKLSAKIRLSHNPLHCECALQEALWELKLDPDSVDEIACHTSVQEEFVGKPLVQALDAGASLCSVPHRTTDVAMLVTMFGWFAMVIAYVVYYVRHNQEDARRHLEYLKSLPSAPASKDPIGPGP
+>DECOY_sp|Q9BY71|LRRC3_HUMAN Leucine-rich repeat-containing protein 3 OS=Homo sapiens OX=9606 GN=LRRC3 PE=1 SV=1
+PGPGIPDKSAPASPLSKLYELHRRADEQNHRVYYVVYAIVMAFWGFMTVLMAVDTTRHPVSCLSAGADLAQVLPKGVFEEQVSTHCAIEDVSDPDLKLEWLAEQLACECHLPNHSLRIKASLKGLADKPIRQIRNYSLDLLRLGGALGAFTASGIAEIANHSLDLERLRHLHQFAGDPLHSIKNADLKLLVTNAPIDEPVEQLGRLSCFVAVAGAHDPCRCPQPCAAGLHLCFLLFLCSERTSVLLLSPRPPRVTGM
+>sp|Q9H3W5|LRRN3_HUMAN Leucine-rich repeat neuronal protein 3 OS=Homo sapiens OX=9606 GN=LRRN3 PE=2 SV=1
+MKDMPLRIHVLLGLAITTLVQAVDKKVDCPRLCTCEIRPWFTPRSIYMEASTVDCNDLGLLTFPARLPANTQILLLQTNNIAKIEYSTDFPVNLTGLDLSQNNLSSVTNINVKKMPQLLSVYLEENKLTELPEKCLSELSNLQELYINHNLLSTISPGAFIGLHNLLRLHLNSNRLQMINSKWFDALPNLEILMIGENPIIRIKDMNFKPLINLRSLVIAGINLTEIPDNALVGLENLESISFYDNRLIKVPHVALQKVVNLKFLDLNKNPINRIRRGDFSNMLHLKELGINNMPELISIDSLAVDNLPDLRKIEATNNPRLSYIHPNAFFRLPKLESLMLNSNALSALYHGTIESLPNLKEISIHSNPIRCDCVIRWMNMNKTNIRFMEPDSLFCVDPPEFQGQNVRQVHFRDMMEICLPLIAPESFPSNLNVEAGSYVSFHCRATAEPQPEIYWITPSGQKLLPNTLTDKFYVHSEGTLDINGVTPKEGGLYTCIATNLVGADLKSVMIKVDGSFPQDNNGSLNIKIRDIQANSVLVSWKASSKILKSSVKWTAFVKTENSHAAQSARIPSDVKVYNLTHLNPSTEYKICIDIPTIYQKNRKKCVNVTTKGLHPDQKEYEKNNTTTLMACLGGLLGIIGVICLISCLSPEMNCDGGHSYVRNYLQKPTFALGELYPPLINLWEAGKEKSTSLKVKATVIGLPTNMS
+>DECOY_sp|Q9H3W5|LRRN3_HUMAN Leucine-rich repeat neuronal protein 3 OS=Homo sapiens OX=9606 GN=LRRN3 PE=2 SV=1
+SMNTPLGIVTAKVKLSTSKEKGAEWLNILPPYLEGLAFTPKQLYNRVYSHGGDCNMEPSLCSILCIVGIIGLLGGLCAMLTTTNNKEYEKQDPHLGKTTVNVCKKRNKQYITPIDICIKYETSPNLHTLNYVKVDSPIRASQAAHSNETKVFATWKVSSKLIKSSAKWSVLVSNAQIDRIKINLSGNNDQPFSGDVKIMVSKLDAGVLNTAICTYLGGEKPTVGNIDLTGESHVYFKDTLTNPLLKQGSPTIWYIEPQPEATARCHFSVYSGAEVNLNSPFSEPAILPLCIEMMDRFHVQRVNQGQFEPPDVCFLSDPEMFRINTKNMNMWRIVCDCRIPNSHISIEKLNPLSEITGHYLASLANSNLMLSELKPLRFFANPHIYSLRPNNTAEIKRLDPLNDVALSDISILEPMNNIGLEKLHLMNSFDGRRIRNIPNKNLDLFKLNVVKQLAVHPVKILRNDYFSISELNELGVLANDPIETLNIGAIVLSRLNILPKFNMDKIRIIPNEGIMLIELNPLADFWKSNIMQLRNSNLHLRLLNHLGIFAGPSITSLLNHNIYLEQLNSLESLCKEPLETLKNEELYVSLLQPMKKVNINTVSSLNNQSLDLGTLNVPFDTSYEIKAINNTQLLLIQTNAPLRAPFTLLGLDNCDVTSAEMYISRPTFWPRIECTCLRPCDVKKDVAQVLTTIALGLLVHIRLPMDKM
+>sp|Q86UE6|LRRT1_HUMAN Leucine-rich repeat transmembrane neuronal protein 1 OS=Homo sapiens OX=9606 GN=LRRTM1 PE=2 SV=2
+MDFLLLGLCLYWLLRRPSGVVLCLLGACFQMLPAAPSGCPQLCRCEGRLLYCEALNLTEAPHNLSGLLGLSLRYNSLSELRAGQFTGLMQLTWLYLDHNHICSVQGDAFQKLRRVKELTLSSNQITQLPNTTFRPMPNLRSVDLSYNKLQALAPDLFHGLRKLTTLHMRANAIQFVPVRIFQDCRSLKFLDIGYNQLKSLARNSFAGLFKLTELHLEHNDLVKVNFAHFPRLISLHSLCLRRNKVAIVVSSLDWVWNLEKMDLSGNEIEYMEPHVFETVPHLQSLQLDSNRLTYIEPRILNSWKSLTSITLAGNLWDCGRNVCALASWLNNFQGRYDGNLQCASPEYAQGEDVLDAVYAFHLCEDGAEPTSGHLLSAVTNRSDLGPPASSATTLADGGEGQHDGTFEPATVALPGGEHAENAVQIHKVVTGTMALIFSFLIVVLVLYVSWKCFPASLRQLRQCFVTQRRKQKQKQTMHQMAAMSAQEYYVDYKPNHIEGALVIINEYGSCTCHQQPARECEV
+>DECOY_sp|Q86UE6|LRRT1_HUMAN Leucine-rich repeat transmembrane neuronal protein 1 OS=Homo sapiens OX=9606 GN=LRRTM1 PE=2 SV=2
+VECERAPQQHCTCSGYENIIVLAGEIHNPKYDVYYEQASMAAMQHMTQKQKQKRRQTVFCQRLQRLSAPFCKWSVYLVLVVILFSFILAMTGTVVKHIQVANEAHEGGPLAVTAPEFTGDHQGEGGDALTTASSAPPGLDSRNTVASLLHGSTPEAGDECLHFAYVADLVDEGQAYEPSACQLNGDYRGQFNNLWSALACVNRGCDWLNGALTISTLSKWSNLIRPEIYTLRNSDLQLSQLHPVTEFVHPEMYEIENGSLDMKELNWVWDLSSVVIAVKNRRLCLSHLSILRPFHAFNVKVLDNHELHLETLKFLGAFSNRALSKLQNYGIDLFKLSRCDQFIRVPVFQIANARMHLTTLKRLGHFLDPALAQLKNYSLDVSRLNPMPRFTTNPLQTIQNSSLTLEKVRRLKQFADGQVSCIHNHDLYLWTLQMLGTFQGARLESLSNYRLSLGLLGSLNHPAETLNLAECYLLRGECRCLQPCGSPAAPLMQFCAGLLCLVVGSPRRLLWYLCLGLLLFDM
+>sp|Q6UWE0|LRSM1_HUMAN E3 ubiquitin-protein ligase LRSAM1 OS=Homo sapiens OX=9606 GN=LRSAM1 PE=1 SV=1
+MPLFFRKRKPSEEARKRLEYQMCLAKEAGADDILDISKCELSEIPFGAFATCKVLQKKVLIVHTNHLTSLLPKSCSLLSLATIKVLDLHDNQLTALPDDLGQLTALQVLNVERNQLMQLPRSIGNLTQLQTLNVKDNKLKELPDTVGELRSLRTLNISGNEIQRLPQMLAHVRTLEMLSLDASAMVYPPREVCGAGTAAILQFLCKESGLEYYPPSQYLLPILEQDGIENSRDSPDGPTDRFSREELEWQNRFSDYEKRKEQKMLEKLEFERRLELGQREHTQLLQQSSSQKDEILQTVKEEQSRLEQGLSEHQRHLNAERQRLQEQLKQTEQNISSRIQKLLQDNQRQKKSSEILKSLENERIRMEQLMSITQEETESLRRRDVASAMQQMLTESCKNRLIQMAYESQRQNLVQQACSSMAEMDERFQQILSWQQMDQNKAISQILQESAMQKAAFEALQVKKDLMHRQIRSQIKLIETELLQLTQLELKRKSLDTESLQEMISEQRWALSSLLQQLLKEKQQREEELREILTELEAKSETRQENYWLIQYQRLLNQKPLSLKLQEEGMERQLVALLEELSAEHYLPIFAHHRLSLDLLSQMSPGDLAKVGVSEAGLQHEILRRVQELLDAARIQPELKPPMGEVVTPTAPQEPPESVRPSAPPAELEVQASECVVCLEREAQMIFLNCGHVCCCQQCCQPLRTCPLCRQDIAQRLRIYHSS
+>DECOY_sp|Q6UWE0|LRSM1_HUMAN E3 ubiquitin-protein ligase LRSAM1 OS=Homo sapiens OX=9606 GN=LRSAM1 PE=1 SV=1
+SSHYIRLRQAIDQRCLPCTRLPQCCQQCCCVHGCNLFIMQAERELCVVCESAQVELEAPPASPRVSEPPEQPATPTVVEGMPPKLEPQIRAADLLEQVRRLIEHQLGAESVGVKALDGPSMQSLLDLSLRHHAFIPLYHEASLEELLAVLQREMGEEQLKLSLPKQNLLRQYQILWYNEQRTESKAELETLIERLEEERQQKEKLLQQLLSSLAWRQESIMEQLSETDLSKRKLELQTLQLLETEILKIQSRIQRHMLDKKVQLAEFAAKQMASEQLIQSIAKNQDMQQWSLIQQFREDMEAMSSCAQQVLNQRQSEYAMQILRNKCSETLMQQMASAVDRRRLSETEEQTISMLQEMRIRENELSKLIESSKKQRQNDQLLKQIRSSINQETQKLQEQLRQREANLHRQHESLGQELRSQEEKVTQLIEDKQSSSQQLLQTHERQGLELRREFELKELMKQEKRKEYDSFRNQWELEERSFRDTPGDPSDRSNEIGDQELIPLLYQSPPYYELGSEKCLFQLIAATGAGCVERPPYVMASADLSLMELTRVHALMQPLRQIENGSINLTRLSRLEGVTDPLEKLKNDKVNLTQLQTLNGISRPLQMLQNREVNLVQLATLQGLDDPLATLQNDHLDLVKITALSLLSCSKPLLSTLHNTHVILVKKQLVKCTAFAGFPIESLECKSIDLIDDAGAEKALCMQYELRKRAEESPKRKRFFLPM
+>sp|P22888|LSHR_HUMAN Lutropin-choriogonadotropic hormone receptor OS=Homo sapiens OX=9606 GN=LHCGR PE=1 SV=4
+MKQRFSALQLLKLLLLLQPPLPRALREALCPEPCNCVPDGALRCPGPTAGLTRLSLAYLPVKVIPSQAFRGLNEVIKIEISQIDSLERIEANAFDNLLNLSEILIQNTKNLRYIEPGAFINLPRLKYLSICNTGIRKFPDVTKVFSSESNFILEICDNLHITTIPGNAFQGMNNESVTLKLYGNGFEEVQSHAFNGTTLTSLELKENVHLEKMHNGAFRGATGPKTLDISSTKLQALPSYGLESIQRLIATSSYSLKKLPSRETFVNLLEATLTYPSHCCAFRNLPTKEQNFSHSISENFSKQCESTVRKVNNKTLYSSMLAESELSGWDYEYGFCLPKTPRCAPEPDAFNPCEDIMGYDFLRVLIWLINILAIMGNMTVLFVLLTSRYKLTVPRFLMCNLSFADFCMGLYLLLIASVDSQTKGQYYNHAIDWQTGSGCSTAGFFTVFASELSVYTLTVITLERWHTITYAIHLDQKLRLRHAILIMLGGWLFSSLIAMLPLVGVSNYMKVSICFPMDVETTLSQVYILTILILNVVAFFIICACYIKIYFAVRNPELMATNKDTKIAKKMAILIFTDFTCMAPISFFAISAAFKVPLITVTNSKVLLVLFYPINSCANPFLYAIFTKTFQRDFFLLLSKFGCCKRRAELYRRKDFSAYTSNCKNGFTGSNKPSQSTLKLSTLHCQGTALLDKTRYTEC
+>DECOY_sp|P22888|LSHR_HUMAN Lutropin-choriogonadotropic hormone receptor OS=Homo sapiens OX=9606 GN=LHCGR PE=1 SV=4
+CETYRTKDLLATGQCHLTSLKLTSQSPKNSGTFGNKCNSTYASFDKRRYLEARRKCCGFKSLLLFFDRQFTKTFIAYLFPNACSNIPYFLVLLVKSNTVTILPVKFAASIAFFSIPAMCTFDTFILIAMKKAIKTDKNTAMLEPNRVAFYIKIYCACIIFFAVVNLILITLIYVQSLTTEVDMPFCISVKMYNSVGVLPLMAILSSFLWGGLMILIAHRLRLKQDLHIAYTITHWRELTIVTLTYVSLESAFVTFFGATSCGSGTQWDIAHNYYQGKTQSDVSAILLLYLGMCFDAFSLNCMLFRPVTLKYRSTLLVFLVTMNGMIALINILWILVRLFDYGMIDECPNFADPEPACRPTKPLCFGYEYDWGSLESEALMSSYLTKNNVKRVTSECQKSFNESISHSFNQEKTPLNRFACCHSPYTLTAELLNVFTERSPLKKLSYSSTAILRQISELGYSPLAQLKTSSIDLTKPGTAGRFAGNHMKELHVNEKLELSTLTTGNFAHSQVEEFGNGYLKLTVSENNMGQFANGPITTIHLNDCIELIFNSESSFVKTVDPFKRIGTNCISLYKLRPLNIFAGPEIYRLNKTNQILIESLNLLNDFANAEIRELSDIQSIEIKIVENLGRFAQSPIVKVPLYALSLRTLGATPGPCRLAGDPVCNCPEPCLAERLARPLPPQLLLLLKLLQLASFRQKM
+>sp|P83369|LSM11_HUMAN U7 snRNA-associated Sm-like protein LSm11 OS=Homo sapiens OX=9606 GN=LSM11 PE=1 SV=2
+MEERERGARSAGAGSPARPPSPRLDVSSDSFDPLLALYAPRLPPIPYPNAPCFNNVAEYESFLRTGVRGGGRGRGRARGAAAGSGVPAAPGPSGRTRRRPDAPAPDPERIQRLRRLMVAKEEGDGAAGAGRRGPGRSRKAPRNVLTRMPLHEGSPLGELHRCIREGVKVNVHIRTFKGLRGVCTGFLVAFDKFWNMALTDVDETYRKPVLGKAYERDSSLTLTRLFDRLKLQDSSKKEADSKSAVEDSTLSRYSQTSTWKLASVWGRADTGRGSHKRSRSVPSSLQASAREESRSELSGRTTRTDGSSVGGTFSRATTLSRGQSRKKKRKPKVDYQQVFTRHINQIFIRGENVLLVHLAQ
+>DECOY_sp|P83369|LSM11_HUMAN U7 snRNA-associated Sm-like protein LSm11 OS=Homo sapiens OX=9606 GN=LSM11 PE=1 SV=2
+QALHVLLVNEGRIFIQNIHRTFVQQYDVKPKRKKKRSQGRSLTTARSFTGGVSSGDTRTTRGSLESRSEERASAQLSSPVSRSRKHSGRGTDARGWVSALKWTSTQSYRSLTSDEVASKSDAEKKSSDQLKLRDFLRTLTLSSDREYAKGLVPKRYTEDVDTLAMNWFKDFAVLFGTCVGRLGKFTRIHVNVKVGERICRHLEGLPSGEHLPMRTLVNRPAKRSRGPGRRGAGAAGDGEEKAVMLRRLRQIREPDPAPADPRRRTRGSPGPAAPVGSGAAAGRARGRGRGGGRVGTRLFSEYEAVNNFCPANPYPIPPLRPAYLALLPDFSDSSVDLRPSPPRAPSGAGASRAGREREEM
+>sp|Q9UK45|LSM7_HUMAN U6 snRNA-associated Sm-like protein LSm7 OS=Homo sapiens OX=9606 GN=LSM7 PE=1 SV=1
+MADKEKKKKESILDLSKYIDKTIRVKFQGGREASGILKGFDPLLNLVLDGTIEYMRDPDDQYKLTEDTRQLGLVVCRGTSVVLICPQDGMEAIPNPFIQQQDA
+>DECOY_sp|Q9UK45|LSM7_HUMAN U6 snRNA-associated Sm-like protein LSm7 OS=Homo sapiens OX=9606 GN=LSM7 PE=1 SV=1
+ADQQQIFPNPIAEMGDQPCILVVSTGRCVVLGLQRTDETLKYQDDPDRMYEITGDLVLNLLPDFGKLIGSAERGGQFKVRITKDIYKSLDLISEKKKKEKDAM
+>sp|P02042|HBD_HUMAN Hemoglobin subunit delta OS=Homo sapiens OX=9606 GN=HBD PE=1 SV=2
+MVHLTPEEKTAVNALWGKVNVDAVGGEALGRLLVVYPWTQRFFESFGDLSSPDAVMGNPKVKAHGKKVLGAFSDGLAHLDNLKGTFSQLSELHCDKLHVDPENFRLLGNVLVCVLARNFGKEFTPQMQAAYQKVVAGVANALAHKYH
+>DECOY_sp|P02042|HBD_HUMAN Hemoglobin subunit delta OS=Homo sapiens OX=9606 GN=HBD PE=1 SV=2
+HYKHALANAVGAVVKQYAAQMQPTFEKGFNRALVCVLVNGLLRFNEPDVHLKDCHLESLQSFTGKLNDLHALGDSFAGLVKKGHAKVKPNGMVADPSSLDGFSEFFRQTWPYVVLLRGLAEGGVADVNVKGWLANVATKEEPTLHVM
+>sp|Q99075|HBEGF_HUMAN Proheparin-binding EGF-like growth factor OS=Homo sapiens OX=9606 GN=HBEGF PE=1 SV=1
+MKLLPSVVLKLFLAAVLSALVTGESLERLRRGLAAGTSNPDPPTVSTDQLLPLGGGRDRKVRDLQEADLDLLRVTLSSKPQALATPNKEEHGKRKKKGKGLGKKRDPCLRKYKDFCIHGECKYVKELRAPSCICHPGYHGERCHGLSLPVENRLYTYDHTTILAVVAVVLSSVCLLVIVGLLMFRYHRRGGYDVENEEKVKLGMTNSH
+>DECOY_sp|Q99075|HBEGF_HUMAN Proheparin-binding EGF-like growth factor OS=Homo sapiens OX=9606 GN=HBEGF PE=1 SV=1
+HSNTMGLKVKEENEVDYGGRRHYRFMLLGVIVLLCVSSLVVAVVALITTHDYTYLRNEVPLSLGHCREGHYGPHCICSPARLEKVYKCEGHICFDKYKRLCPDRKKGLGKGKKKRKGHEEKNPTALAQPKSSLTVRLLDLDAEQLDRVKRDRGGGLPLLQDTSVTPPDPNSTGAALGRRLRELSEGTVLASLVAALFLKLVVSPLLKM
+>sp|P69892|HBG2_HUMAN Hemoglobin subunit gamma-2 OS=Homo sapiens OX=9606 GN=HBG2 PE=1 SV=2
+MGHFTEEDKATITSLWGKVNVEDAGGETLGRLLVVYPWTQRFFDSFGNLSSASAIMGNPKVKAHGKKVLTSLGDAIKHLDDLKGTFAQLSELHCDKLHVDPENFKLLGNVLVTVLAIHFGKEFTPEVQASWQKMVTGVASALSSRYH
+>DECOY_sp|P69892|HBG2_HUMAN Hemoglobin subunit gamma-2 OS=Homo sapiens OX=9606 GN=HBG2 PE=1 SV=2
+HYRSSLASAVGTVMKQWSAQVEPTFEKGFHIALVTVLVNGLLKFNEPDVHLKDCHLESLQAFTGKLDDLHKIADGLSTLVKKGHAKVKPNGMIASASSLNGFSDFFRQTWPYVVLLRGLTEGGADEVNVKGWLSTITAKDEETFHGM
+>sp|Q8TDS4|HCAR2_HUMAN Hydroxycarboxylic acid receptor 2 OS=Homo sapiens OX=9606 GN=HCAR2 PE=1 SV=1
+MNRHHLQDHFLEIDKKNCCVFRDDFIVKVLPPVLGLEFIFGLLGNGLALWIFCFHLKSWKSSRIFLFNLAVADFLLIICLPFLMDNYVRRWDWKFGDIPCRLMLFMLAMNRQGSIIFLTVVAVDRYFRVVHPHHALNKISNRTAAIISCLLWGITIGLTVHLLKKKMPIQNGGANLCSSFSICHTFQWHEAMFLLEFFLPLGIILFCSARIIWSLRQRQMDRHAKIKRAITFIMVVAIVFVICFLPSVVVRIRIFWLLHTSGTQNCEVYRSVDLAFFITLSFTYMNSMLDPVVYYFSSPSFPNFFSTLINRCLQRKMTGEPDNNRSTSVELTGDPNKTRGAPEALMANSGEPWSPSYLGPTSP
+>DECOY_sp|Q8TDS4|HCAR2_HUMAN Hydroxycarboxylic acid receptor 2 OS=Homo sapiens OX=9606 GN=HCAR2 PE=1 SV=1
+PSTPGLYSPSWPEGSNAMLAEPAGRTKNPDGTLEVSTSRNNDPEGTMKRQLCRNILTSFFNPFSPSSFYYVVPDLMSNMYTFSLTIFFALDVSRYVECNQTGSTHLLWFIRIRVVVSPLFCIVFVIAVVMIFTIARKIKAHRDMQRQRLSWIIRASCFLIIGLPLFFELLFMAEHWQFTHCISFSSCLNAGGNQIPMKKKLLHVTLGITIGWLLCSIIAATRNSIKNLAHHPHVVRFYRDVAVVTLFIISGQRNMALMFLMLRCPIDGFKWDWRRVYNDMLFPLCIILLFDAVALNFLFIRSSKWSKLHFCFIWLALGNGLLGFIFELGLVPPLVKVIFDDRFVCCNKKDIELFHDQLHHRNM
+>sp|Q9Y5Z7|HCFC2_HUMAN Host cell factor 2 OS=Homo sapiens OX=9606 GN=HCFC2 PE=1 SV=1
+MAAPSLLNWRRVSSFTGPVPRARHGHRAVAIRELMIIFGGGNEGIADELHVYNTATNQWFLPAVRGDIPPGCAAHGFVCDGTRILVFGGMVEYGRYSNELYELQASRWLWKKVKPHPPPSGLPPCPRLGHSFSLYGNKCYLFGGLANESEDSNNNVPRYLNDFYELELQHGSGVVGWSIPVTKGVVPSPRESHTAVIYCKKDSGSPKMYVFGGMCGARLDDLWQLDLETMSWSKPETKGTVPLPRSLHTASVIGNKMYIFGGWVPHKGENTETSPHDCEWRCTSSFSYLNLDTTEWTTLVSDSQEDKKNSRPRPRAGHCAVAIGTRLYFWSGRDGYKKALNSQVCCKDLWYLDTEKPPAPSQVQLIKATTNSFHVKWDEVSTVEGYLLQLSTDLPYQAASSDSSAAPNMQGVRMDPHRQGSNNIVPNSINDTINSTKTEQPATKETSMKNKPDFKALTDSNAILYPSLASNASNHNSHVVDMLRKNEGPHTSANVGVLSSCLDVRTVIPETSVSSTVSSTQTMVTQQTIKTESSSTNGAVVKDETSLTTFSTKSEVDETYALPATKISRVETHATATPFSKETPSNPVATVKAGERQWCDVGIFKNNTALVSQFYLLPKGKQSISKVGNADVPDYSLLKKQDLVPGTGYRFRVAAINGCGIGPFSKISEFKTCIPGFPGAPSAVRISKNVEGIHLSWEPPTSPSGNILEYSAYLAIRTAQIQDNPSQLVFMRIYCGLKTSCIVTAGQLANAHIDYTSRPAIVFRISAKNEKGYGPATQVRWLQGNNKKAPLN
+>DECOY_sp|Q9Y5Z7|HCFC2_HUMAN Host cell factor 2 OS=Homo sapiens OX=9606 GN=HCFC2 PE=1 SV=1
+NLPAKKNNGQLWRVQTAPGYGKENKASIRFVIAPRSTYDIHANALQGATVICSTKLGCYIRMFVLQSPNDQIQATRIALYASYELINGSPSTPPEWSLHIGEVNKSIRVASPAGPFGPICTKFESIKSFPGIGCGNIAAVRFRYGTGPVLDQKKLLSYDPVDANGVKSISQKGKPLLYFQSVLATNNKFIGVDCWQREGAKVTAVPNSPTEKSFPTATAHTEVRSIKTAPLAYTEDVESKTSFTTLSTEDKVVAGNTSSSETKITQQTVMTQTSSVTSSVSTEPIVTRVDLCSSLVGVNASTHPGENKRLMDVVHSNHNSANSALSPYLIANSDTLAKFDPKNKMSTEKTAPQETKTSNITDNISNPVINNSGQRHPDMRVGQMNPAASSDSSAAQYPLDTSLQLLYGEVTSVEDWKVHFSNTTAKILQVQSPAPPKETDLYWLDKCCVQSNLAKKYGDRGSWFYLRTGIAVACHGARPRPRSNKKDEQSDSVLTTWETTDLNLYSFSSTCRWECDHPSTETNEGKHPVWGGFIYMKNGIVSATHLSRPLPVTGKTEPKSWSMTELDLQWLDDLRAGCMGGFVYMKPSGSDKKCYIVATHSERPSPVVGKTVPISWGVVGSGHQLELEYFDNLYRPVNNNSDESENALGGFLYCKNGYLSFSHGLRPCPPLGSPPPHPKVKKWLWRSAQLEYLENSYRGYEVMGGFVLIRTGDCVFGHAACGPPIDGRVAPLFWQNTATNYVHLEDAIGENGGGFIIMLERIAVARHGHRARPVPGTFSSVRRWNLLSPAAM
+>sp|Q6MZN7|HCP5_HUMAN HLA class I histocompatibility antigen protein P5 OS=Homo sapiens OX=9606 GN=HCP5 PE=2 SV=1
+MLLRMSEHRNEALGNYLEMRLKSSFLRGLGSWKSNPLRLGGWTILLTLTMGQGEPGGPQGDPWVPHELLLPSLCDSSHASSWGSGSITCAWRGGDSSSHPLVSGHILSNSPVAAVMCSSMGTHLSPFKGTLL
+>DECOY_sp|Q6MZN7|HCP5_HUMAN HLA class I histocompatibility antigen protein P5 OS=Homo sapiens OX=9606 GN=HCP5 PE=2 SV=1
+LLTGKFPSLHTGMSSCMVAAVPSNSLIHGSVLPHSSSDGGRWACTISGSGWSSAHSSDCLSPLLLEHPVWPDGQPGGPEGQGMTLTLLITWGGLRLPNSKWSGLGRLFSSKLRMELYNGLAENRHESMRLLM
+>sp|Q92769|HDAC2_HUMAN Histone deacetylase 2 OS=Homo sapiens OX=9606 GN=HDAC2 PE=1 SV=2
+MAYSQGGGKKKVCYYYDGDIGNYYYGQGHPMKPHRIRMTHNLLLNYGLYRKMEIYRPHKATAEEMTKYHSDEYIKFLRSIRPDNMSEYSKQMQRFNVGEDCPVFDGLFEFCQLSTGGSVAGAVKLNRQQTDMAVNWAGGLHHAKKSEASGFCYVNDIVLAILELLKYHQRVLYIDIDIHHGDGVEEAFYTTDRVMTVSFHKYGEYFPGTGDLRDIGAGKGKYYAVNFPMRDGIDDESYGQIFKPIISKVMEMYQPSAVVLQCGADSLSGDRLGCFNLTVKGHAKCVEVVKTFNLPLLMLGGGGYTIRNVARCWTYETAVALDCEIPNELPYNDYFEYFGPDFKLHISPSNMTNQNTPEYMEKIKQRLFENLRMLPHAPGVQMQAIPEDAVHEDSGDEDGEDPDKRISIRASDKRIACDEEFSDSEDEGEGGRRNVADHKKGAKKARIEEDKKETEDKKTDVKEEDKSKDNSGEKTDTKGTKSEQLSNP
+>DECOY_sp|Q92769|HDAC2_HUMAN Histone deacetylase 2 OS=Homo sapiens OX=9606 GN=HDAC2 PE=1 SV=2
+PNSLQESKTGKTDTKEGSNDKSKDEEKVDTKKDETEKKDEEIRAKKAGKKHDAVNRRGGEGEDESDSFEEDCAIRKDSARISIRKDPDEGDEDGSDEHVADEPIAQMQVGPAHPLMRLNEFLRQKIKEMYEPTNQNTMNSPSIHLKFDPGFYEFYDNYPLENPIECDLAVATEYTWCRAVNRITYGGGGLMLLPLNFTKVVEVCKAHGKVTLNFCGLRDGSLSDAGCQLVVASPQYMEMVKSIIPKFIQGYSEDDIGDRMPFNVAYYKGKGAGIDRLDGTGPFYEGYKHFSVTMVRDTTYFAEEVGDGHHIDIDIYLVRQHYKLLELIALVIDNVYCFGSAESKKAHHLGGAWNVAMDTQQRNLKVAGAVSGGTSLQCFEFLGDFVPCDEGVNFRQMQKSYESMNDPRISRLFKIYEDSHYKTMEEATAKHPRYIEMKRYLGYNLLLNHTMRIRHPKMPHGQGYYYNGIDGDYYYCVKKKGGGQSYAM
+>sp|Q9UQL6|HDAC5_HUMAN Histone deacetylase 5 OS=Homo sapiens OX=9606 GN=HDAC5 PE=1 SV=2
+MNSPNESDGMSGREPSLEILPRTSLHSIPVTVEVKPVLPRAMPSSMGGGGGGSPSPVELRGALVGSVDPTLREQQLQQELLALKQQQQLQKQLLFAEFQKQHDHLTRQHEVQLQKHLKQQQEMLAAKQQQEMLAAKRQQELEQQRQREQQRQEELEKQRLEQQLLILRNKEKSKESAIASTEVKLRLQEFLLSKSKEPTPGGLNHSLPQHPKCWGAHHASLDQSSPPQSGPPGTPPSYKLPLPGPYDSRDDFPLRKTASEPNLKVRSRLKQKVAERRSSPLLRRKDGTVISTFKKRAVEITGAGPGASSVCNSAPGSGPSSPNSSHSTIAENGFTGSVPNIPTEMLPQHRALPLDSSPNQFSLYTSPSLPNISLGLQATVTVTNSHLTASPKLSTQQEAERQALQSLRQGGTLTGKFMSTSSIPGCLLGVALEGDGSPHGHASLLQHVLLLEQARQQSTLIAVPLHGQSPLVTGERVATSMRTVGKLPRHRPLSRTQSSPLPQSPQALQQLVMQQQHQQFLEKQKQQQLQLGKILTKTGELPRQPTTHPEETEEELTEQQEVLLGEGALTMPREGSTESESTQEDLEEEDEEDDGEEEEDCIQVKDEEGESGAEEGPDLEEPGAGYKKLFSDAQPLQPLQVYQAPLSLATVPHQALGRTQSSPAAPGGMKSPPDQPVKHLFTTGVVYDTFMLKHQCMCGNTHVHPEHAGRIQSIWSRLQETGLLSKCERIRGRKATLDEIQTVHSEYHTLLYGTSPLNRQKLDSKKLLGPISQKMYAVLPCGGIGVDSDTVWNEMHSSSAVRMAVGCLLELAFKVAAGELKNGFAIIRPPGHHAEESTAMGFCFFNSVAITAKLLQQKLNVGKVLIVDWDIHHGNGTQQAFYNDPSVLYISLHRYDNGNFFPGSGAPEEVGGGPGVGYNVNVAWTGGVDPPIGDVEYLTAFRTVVMPIAHEFSPDVVLVSAGFDAVEGHLSPLGGYSVTARCFGHLTRQLMTLAGGRVVLALEGGHDLTAICDASEACVSALLSVELQPLDEAVLQQKPNINAVATLEKVIEIQSKHWSCVQKFAAGLGRSLREAQAGETEEAETVSAMALLSVGAEQAQAAAAREHSPRPAEEPMEQEPAL
+>DECOY_sp|Q9UQL6|HDAC5_HUMAN Histone deacetylase 5 OS=Homo sapiens OX=9606 GN=HDAC5 PE=1 SV=2
+LAPEQEMPEEAPRPSHERAAAAQAQEAGVSLLAMASVTEAEETEGAQAERLSRGLGAAFKQVCSWHKSQIEIVKELTAVANINPKQQLVAEDLPQLEVSLLASVCAESADCIATLDHGGELALVVRGGALTMLQRTLHGFCRATVSYGGLPSLHGEVADFGASVLVVDPSFEHAIPMVVTRFATLYEVDGIPPDVGGTWAVNVNYGVGPGGGVEEPAGSGPFFNGNDYRHLSIYLVSPDNYFAQQTGNGHHIDWDVILVKGVNLKQQLLKATIAVSNFFCFGMATSEEAHHGPPRIIAFGNKLEGAAVKFALELLCGVAMRVASSSHMENWVTDSDVGIGGCPLVAYMKQSIPGLLKKSDLKQRNLPSTGYLLTHYESHVTQIEDLTAKRGRIRECKSLLGTEQLRSWISQIRGAHEPHVHTNGCMCQHKLMFTDYVVGTTFLHKVPQDPPSKMGGPAAPSSQTRGLAQHPVTALSLPAQYVQLPQLPQADSFLKKYGAGPEELDPGEEAGSEGEEDKVQICDEEEEGDDEEDEEELDEQTSESETSGERPMTLAGEGLLVEQQETLEEETEEPHTTPQRPLEGTKTLIKGLQLQQQKQKELFQQHQQQMVLQQLAQPSQPLPSSQTRSLPRHRPLKGVTRMSTAVREGTVLPSQGHLPVAILTSQQRAQELLLVHQLLSAHGHPSGDGELAVGLLCGPISSTSMFKGTLTGGQRLSQLAQREAEQQTSLKPSATLHSNTVTVTAQLGLSINPLSPSTYLSFQNPSSDLPLARHQPLMETPINPVSGTFGNEAITSHSSNPSSPGSGPASNCVSSAGPGAGTIEVARKKFTSIVTGDKRRLLPSSRREAVKQKLRSRVKLNPESATKRLPFDDRSDYPGPLPLKYSPPTGPPGSQPPSSQDLSAHHAGWCKPHQPLSHNLGGPTPEKSKSLLFEQLRLKVETSAIASEKSKEKNRLILLQQELRQKELEEQRQQERQRQQELEQQRKAALMEQQQKAALMEQQQKLHKQLQVEHQRTLHDHQKQFEAFLLQKQLQQQQKLALLEQQLQQERLTPDVSGVLAGRLEVPSPSGGGGGGMSSPMARPLVPKVEVTVPISHLSTRPLIELSPERGSMGDSENPSNM
+>sp|Q8WUI4|HDAC7_HUMAN Histone deacetylase 7 OS=Homo sapiens OX=9606 GN=HDAC7 PE=1 SV=2
+MDLRVGQRPPVEPPPEPTLLALQRPQRLHHHLFLAGLQQQRSVEPMRLSMDTPMPELQVGPQEQELRQLLHKDKSKRSAVASSVVKQKLAEVILKKQQAALERTVHPNSPGIPYRTLEPLETEGATRSMLSSFLPPVPSLPSDPPEHFPLRKTVSEPNLKLRYKPKKSLERRKNPLLRKESAPPSLRRRPAETLGDSSPSSSSTPASGCSSPNDSEHGPNPILGSEALLGQRLRLQETSVAPFALPTVSLLPAITLGLPAPARADSDRRTHPTLGPRGPILGSPHTPLFLPHGLEPEAGGTLPSRLQPILLLDPSGSHAPLLTVPGLGPLPFHFAQSLMTTERLSGSGLHWPLSRTRSEPLPPSATAPPPPGPMQPRLEQLKTHVQVIKRSAKPSEKPRLRQIPSAEDLETDGGGPGQVVDDGLEHRELGHGQPEARGPAPLQQHPQVLLWEQQRLAGRLPRGSTGDTVLLPLAQGGHRPLSRAQSSPAAPASLSAPEPASQARVLSSSETPARTLPFTTGLIYDSVMLKHQCSCGDNSRHPEHAGRIQSIWSRLQERGLRSQCECLRGRKASLEELQSVHSERHVLLYGTNPLSRLKLDNGKLAGLLAQRMFVMLPCGGVGVDTDTIWNELHSSNAARWAAGSVTDLAFKVASRELKNGFAVVRPPGHHADHSTAMGFCFFNSVAIACRQLQQQSKASKILIVDWDVHHGNGTQQTFYQDPSVLYISLHRHDDGNFFPGSGAVDEVGAGSGEGFNVNVAWAGGLDPPMGDPEYLAAFRIVVMPIAREFSPDLVLVSAGFDAAEGHPAPLGGYHVSAKCFGYMTQQLMNLAGGAVVLALEGGHDLTAICDASEACVAALLGNRVDPLSEEGWKQKPNLNAIRSLEAVIRVHSKYWGCMQRLASCPDSWVPRVPGADKEEVEAVTALASLSVGILAEDRPSEQLVEEEEPMNL
+>DECOY_sp|Q8WUI4|HDAC7_HUMAN Histone deacetylase 7 OS=Homo sapiens OX=9606 GN=HDAC7 PE=1 SV=2
+LNMPEEEEVLQESPRDEALIGVSLSALATVAEVEEKDAGPVRPVWSDPCSALRQMCGWYKSHVRIVAELSRIANLNPKQKWGEESLPDVRNGLLAAVCAESADCIATLDHGGELALVVAGGALNMLQQTMYGFCKASVHYGGLPAPHGEAADFGASVLVLDPSFERAIPMVVIRFAALYEPDGMPPDLGGAWAVNVNFGEGSGAGVEDVAGSGPFFNGDDHRHLSIYLVSPDQYFTQQTGNGHHVDWDVILIKSAKSQQQLQRCAIAVSNFFCFGMATSHDAHHGPPRVVAFGNKLERSAVKFALDTVSGAAWRAANSSHLENWITDTDVGVGGCPLMVFMRQALLGALKGNDLKLRSLPNTGYLLVHRESHVSQLEELSAKRGRLCECQSRLGREQLRSWISQIRGAHEPHRSNDGCSCQHKLMVSDYILGTTFPLTRAPTESSSLVRAQSAPEPASLSAPAAPSSQARSLPRHGGQALPLLVTDGTSGRPLRGALRQQEWLLVQPHQQLPAPGRAEPQGHGLERHELGDDVVQGPGGGDTELDEASPIQRLRPKESPKASRKIVQVHTKLQELRPQMPGPPPPATASPPLPESRTRSLPWHLGSGSLRETTMLSQAFHFPLPGLGPVTLLPAHSGSPDLLLIPQLRSPLTGGAEPELGHPLFLPTHPSGLIPGRPGLTPHTRRDSDARAPAPLGLTIAPLLSVTPLAFPAVSTEQLRLRQGLLAESGLIPNPGHESDNPSSCGSAPTSSSSPSSDGLTEAPRRRLSPPASEKRLLPNKRRELSKKPKYRLKLNPESVTKRLPFHEPPDSPLSPVPPLFSSLMSRTAGETELPELTRYPIGPSNPHVTRELAAQQKKLIVEALKQKVVSSAVASRKSKDKHLLQRLEQEQPGVQLEPMPTDMSLRMPEVSRQQQLGALFLHHHLRQPRQLALLTPEPPPEVPPRQGVRLDM
+>sp|Q5TGJ6|HDGL1_HUMAN Hepatoma-derived growth factor-like protein 1 OS=Homo sapiens OX=9606 GN=HDGFL1 PE=2 SV=1
+MSAYGMPMYKSGDLVFAKLKGYAHWPARIEHMTQPNRYQVFFFGTHETAFLSPKRLFPYKECKEKFGKPNKRRGFSAGLWEIENNPTVQASDCPLASEKGSGDGPWPEPEAAEGDEDKPTHAGGGGDELGKPDDDKPTEEEKGPLKRSAGDPPEDAPKRPKEAAPDQEEEAEAERAAEAERAAAAAAATAVDEESPFLVAVENGSAPSEPGLVCEPPQPEEEELREEEVADEEASQEWHAEAPGGGDRDSL
+>DECOY_sp|Q5TGJ6|HDGL1_HUMAN Hepatoma-derived growth factor-like protein 1 OS=Homo sapiens OX=9606 GN=HDGFL1 PE=2 SV=1
+LSDRDGGGPAEAHWEQSAEEDAVEEERLEEEEPQPPECVLGPESPASGNEVAVLFPSEEDVATAAAAAAAREAEAAREAEAEEEQDPAAEKPRKPADEPPDGASRKLPGKEEETPKDDDPKGLEDGGGGAHTPKDEDGEAAEPEPWPGDGSGKESALPCDSAQVTPNNEIEWLGASFGRRKNPKGFKEKCEKYPFLRKPSLFATEHTGFFFVQYRNPQTMHEIRAPWHAYGKLKAFVLDGSKYMPMGYASM
+>sp|Q7Z353|HDX_HUMAN Highly divergent homeobox OS=Homo sapiens OX=9606 GN=HDX PE=1 SV=1
+MNLRSVFTVEQQRILQRYYENGMTNQSKNCFQLILQCAQETKLDFSVVRTWVGNKRRKMSSKNSESGTATTGTSLSAPDITVRNVVNIARPSSQQSSWTSANNDVIVTGIYSPASSSSRQGTNKHTDTQITEAHKIPIQKTATKNDTEFQLHIPVQRQVAHCKNASLLLGEKTIILSRQTSVLNAGNSVFNHAKKNYGNSSVQASEMTVPQKPSVCHRPCKIEPVGIQRSYKPEHTGPALHNLCGQKPTIRDPYCRTQNLEIREVFSLAVSDYPQRILGGNAPQKPSSAEGNCLSIAMETGDAEDEYAREEELASMRAQIPSYSRFYESGSSLRAENQSTTLPGPGRNMPNSQMVNIRDMSDNVLYQNRNYHLTPRTSLHTASSTMYSNTNPLRSNFSPHFASSNQLRLSQNQNNYQISGNLTVPWITGCSRKRALQDRTQFSDRDLATLKKYWDNGMTSLGSVCREKIEAVATELNVDCEIVRTWIGNRRRKYRLMGIEVPPPRGGPADFSEQPESGSLSALTPGEEAGPEVGEDNDRNDEVSICLSEGSSQEEPNEVVPNDARAHKEEDHHAVTTDNVKIEIIDDEESDMISNSEVEQVNSFLDYKNEEVKFIENELEIQKQKYFKLQTFVRSLILAMKADDKEQQQALLSDLPPELEEMDFNHASLEPDDTSFSVSSLSEKNVSESL
+>DECOY_sp|Q7Z353|HDX_HUMAN Highly divergent homeobox OS=Homo sapiens OX=9606 GN=HDX PE=1 SV=1
+LSESVNKESLSSVSFSTDDPELSAHNFDMEELEPPLDSLLAQQQEKDDAKMALILSRVFTQLKFYKQKQIELENEIFKVEENKYDLFSNVQEVESNSIMDSEEDDIIEIKVNDTTVAHHDEEKHARADNPVVENPEEQSSGESLCISVEDNRDNDEGVEPGAEEGPTLASLSGSEPQESFDAPGGRPPPVEIGMLRYKRRRNGIWTRVIECDVNLETAVAEIKERCVSGLSTMGNDWYKKLTALDRDSFQTRDQLARKRSCGTIWPVTLNGSIQYNNQNQSLRLQNSSAFHPSFNSRLPNTNSYMTSSATHLSTRPTLHYNRNQYLVNDSMDRINVMQSNPMNRGPGPLTTSQNEARLSSGSEYFRSYSPIQARMSALEEERAYEDEADGTEMAISLCNGEASSPKQPANGGLIRQPYDSVALSFVERIELNQTRCYPDRITPKQGCLNHLAPGTHEPKYSRQIGVPEIKCPRHCVSPKQPVTMESAQVSSNGYNKKAHNFVSNGANLVSTQRSLIITKEGLLLSANKCHAVQRQVPIHLQFETDNKTATKQIPIKHAETIQTDTHKNTGQRSSSSAPSYIGTVIVDNNASTWSSQQSSPRAINVVNRVTIDPASLSTGTTATGSESNKSSMKRRKNGVWTRVVSFDLKTEQACQLILQFCNKSQNTMGNEYYRQLIRQQEVTFVSRLNM
+>sp|P42858|HD_HUMAN Huntingtin OS=Homo sapiens OX=9606 GN=HTT PE=1 SV=2
+MATLEKLMKAFESLKSFQQQQQQQQQQQQQQQQQQQQQPPPPPPPPPPPQLPQPPPQAQPLLPQPQPPPPPPPPPPGPAVAEEPLHRPKKELSATKKDRVNHCLTICENIVAQSVRNSPEFQKLLGIAMELFLLCSDDAESDVRMVADECLNKVIKALMDSNLPRLQLELYKEIKKNGAPRSLRAALWRFAELAHLVRPQKCRPYLVNLLPCLTRTSKRPEESVQETLAAAVPKIMASFGNFANDNEIKVLLKAFIANLKSSSPTIRRTAAGSAVSICQHSRRTQYFYSWLLNVLLGLLVPVEDEHSTLLILGVLLTLRYLVPLLQQQVKDTSLKGSFGVTRKEMEVSPSAEQLVQVYELTLHHTQHQDHNVVTGALELLQQLFRTPPPELLQTLTAVGGIGQLTAAKEESGGRSRSGSIVELIAGGGSSCSPVLSRKQKGKVLLGEEEALEDDSESRSDVSSSALTASVKDEISGELAASSGVSTPGSAGHDIITEQPRSQHTLQADSVDLASCDLTSSATDGDEEDILSHSSSQVSAVPSDPAMDLNDGTQASSPISDSSQTTTEGPDSAVTPSDSSEIVLDGTDNQYLGLQIGQPQDEDEEATGILPDEASEAFRNSSMALQQAHLLKNMSHCRQPSDSSVDKFVLRDEATEPGDQENKPCRIKGDIGQSTDDDSAPLVHCVRLLSASFLLTGGKNVLVPDRDVRVSVKALALSCVGAAVALHPESFFSKLYKVPLDTTEYPEEQYVSDILNYIDHGDPQVRGATAILCGTLICSILSRSRFHVGDWMGTIRTLTGNTFSLADCIPLLRKTLKDESSVTCKLACTAVRNCVMSLCSSSYSELGLQLIIDVLTLRNSSYWLVRTELLETLAEIDFRLVSFLEAKAENLHRGAHHYTGLLKLQERVLNNVVIHLLGDEDPRVRHVAAASLIRLVPKLFYKCDQGQADPVVAVARDQSSVYLKLLMHETQPPSHFSVSTITRIYRGYNLLPSITDVTMENNLSRVIAAVSHELITSTTRALTFGCCEALCLLSTAFPVCIWSLGWHCGVPPLSASDESRKSCTVGMATMILTLLSSAWFPLDLSAHQDALILAGNLLAASAPKSLRSSWASEEEANPAATKQEEVWPALGDRALVPMVEQLFSHLLKVINICAHVLDDVAPGPAIKAALPSLTNPPSLSPIRRKGKEKEPGEQASVPLSPKKGSEASAASRQSDTSGPVTTSKSSSLGSFYHLPSYLKLHDVLKATHANYKVTLDLQNSTEKFGGFLRSALDVLSQILELATLQDIGKCVEEILGYLKSCFSREPMMATVCVQQLLKTLFGTNLASQFDGLSSNPSKSQGRAQRLGSSSVRPGLYHYCFMAPYTHFTQALADASLRNMVQAEQENDTSGWFDVLQKVSTQLKTNLTSVTKNRADKNAIHNHIRLFEPLVIKALKQYTTTTCVQLQKQVLDLLAQLVQLRVNYCLLDSDQVFIGFVLKQFEYIEVGQFRESEAIIPNIFFFLVLLSYERYHSKQIIGIPKIIQLCDGIMASGRKAVTHAIPALQPIVHDLFVLRGTNKADAGKELETQKEVVVSMLLRLIQYHQVLEMFILVLQQCHKENEDKWKRLSRQIADIILPMLAKQQMHIDSHEALGVLNTLFEILAPSSLRPVDMLLRSMFVTPNTMASVSTVQLWISGILAILRVLISQSTEDIVLSRIQELSFSPYLISCTVINRLRDGDSTSTLEEHSEGKQIKNLPEETFSRFLLQLVGILLEDIVTKQLKVEMSEQQHTFYCQELGTLLMCLIHIFKSGMFRRITAAATRLFRSDGCGGSFYTLDSLNLRARSMITTHPALVLLWCQILLLVNHTDYRWWAEVQQTPKRHSLSSTKLLSPQMSGEEEDSDLAAKLGMCNREIVRRGALILFCDYVCQNLHDSEHLTWLIVNHIQDLISLSHEPPVQDFISAVHRNSAASGLFIQAIQSRCENLSTPTMLKKTLQCLEGIHLSQSGAVLTLYVDRLLCTPFRVLARMVDILACRRVEMLLAANLQSSMAQLPMEELNRIQEYLQSSGLAQRHQRLYSLLDRFRLSTMQDSLSPSPPVSSHPLDGDGHVSLETVSPDKDWYVHLVKSQCWTRSDSALLEGAELVNRIPAEDMNAFMMNSEFNLSLLAPCLSLGMSEISGGQKSALFEAAREVTLARVSGTVQQLPAVHHVFQPELPAEPAAYWSKLNDLFGDAALYQSLPTLARALAQYLVVVSKLPSHLHLPPEKEKDIVKFVVATLEALSWHLIHEQIPLSLDLQAGLDCCCLALQLPGLWSVVSSTEFVTHACSLIYCVHFILEAVAVQPGEQLLSPERRTNTPKAISEEEEEVDPNTQNPKYITAACEMVAEMVESLQSVLALGHKRNSGVPAFLTPLLRNIIISLARLPLVNSYTRVPPLVWKLGWSPKPGGDFGTAFPEIPVEFLQEKEVFKEFIYRINTLGWTSRTQFEETWATLLGVLVTQPLVMEQEESPPEEDTERTQINVLAVQAITSLVLSAMTVPVAGNPAVSCLEQQPRNKPLKALDTRFGRKLSIIRGIVEQEIQAMVSKRENIATHHLYQAWDPVPSLSPATTGALISHEKLLLQINPERELGSMSYKLGQVSIHSVWLGNSITPLREEEWDEEEEEEADAPAPSSPPTSPVNSRKHRAGVDIHSCSQFLLELYSRWILPSSSARRTPAILISEVVRSLLVVSDLFTERNQFELMYVTLTELRRVHPSEDEILAQYLVPATCKAAAVLGMDKAVAEPVSRLLESTLRSSHLPSRVGALHGVLYVLECDLLDDTAKQLIPVISDYLLSNLKGIAHCVNIHSQQHVLVMCATAFYLIENYPLDVGPEFSASIIQMCGVMLSGSEESTPSIIYHCALRGLERLLLSEQLSRLDAESLVKLSVDRVNVHSPHRAMAALGLMLTCMYTGKEKVSPGRTSDPNPAAPDSESVIVAMERVSVLFDRIRKGFPCEARVVARILPQFLDDFFPPQDIMNKVIGEFLSNQQPYPQFMATVVYKVFQTLHSTGQSSMVRDWVMLSLSNFTQRAPVAMATWSLSCFFVSASTSPWVAAILPHVISRMGKLEQVDVNLFCLVATDFYRHQIEEELDRRAFQSVLEVVAAPGSPYHRLLTCLRNVHKVTTC
+>DECOY_sp|P42858|HD_HUMAN Huntingtin OS=Homo sapiens OX=9606 GN=HTT PE=1 SV=2
+CTTVKHVNRLCTLLRHYPSGPAAVVELVSQFARRDLEEEIQHRYFDTAVLCFLNVDVQELKGMRSIVHPLIAAVWPSTSASVFFCSLSWTAMAVPARQTFNSLSLMVWDRVMSSQGTSHLTQFVKYVVTAMFQPYPQQNSLFEGIVKNMIDQPPFFDDLFQPLIRAVVRAECPFGKRIRDFLVSVREMAVIVSESDPAAPNPDSTRGPSVKEKGTYMCTLMLGLAAMARHPSHVNVRDVSLKVLSEADLRSLQESLLLRELGRLACHYIISPTSEESGSLMVGCMQIISASFEPGVDLPYNEILYFATACMVLVHQQSHINVCHAIGKLNSLLYDSIVPILQKATDDLLDCELVYLVGHLAGVRSPLHSSRLTSELLRSVPEAVAKDMGLVAAAKCTAPVLYQALIEDESPHVRRLETLTVYMLEFQNRETFLDSVVLLSRVVESILIAPTRRASSSPLIWRSYLELLFQSCSHIDVGARHKRSNVPSTPPSSPAPADAEEEEEEDWEEERLPTISNGLWVSHISVQGLKYSMSGLEREPNIQLLLKEHSILAGTTAPSLSPVPDWAQYLHHTAINERKSVMAQIEQEVIGRIISLKRGFRTDLAKLPKNRPQQELCSVAPNGAVPVTMASLVLSTIAQVALVNIQTRETDEEPPSEEQEMVLPQTVLVGLLTAWTEEFQTRSTWGLTNIRYIFEKFVEKEQLFEVPIEPFATGFDGGPKPSWGLKWVLPPVRTYSNVLPLRALSIIINRLLPTLFAPVGSNRKHGLALVSQLSEVMEAVMECAATIYKPNQTNPDVEEEEESIAKPTNTRREPSLLQEGPQVAVAELIFHVCYILSCAHTVFETSSVVSWLGPLQLALCCCDLGAQLDLSLPIQEHILHWSLAELTAVVFKVIDKEKEPPLHLHSPLKSVVVLYQALARALTPLSQYLAADGFLDNLKSWYAAPEAPLEPQFVHHVAPLQQVTGSVRALTVERAAEFLASKQGGSIESMGLSLCPALLSLNFESNMMFANMDEAPIRNVLEAGELLASDSRTWCQSKVLHVYWDKDPSVTELSVHGDGDLPHSSVPPSPSLSDQMTSLRFRDLLSYLRQHRQALGSSQLYEQIRNLEEMPLQAMSSQLNAALLMEVRRCALIDVMRALVRFPTCLLRDVYLTLVAGSQSLHIGELCQLTKKLMTPTSLNECRSQIAQIFLGSAASNRHVASIFDQVPPEHSLSILDQIHNVILWTLHESDHLNQCVYDCFLILAGRRVIERNCMGLKAALDSDEEEGSMQPSLLKTSSLSHRKPTQQVEAWWRYDTHNVLLLIQCWLLVLAPHTTIMSRARLNLSDLTYFSGGCGDSRFLRTAAATIRRFMGSKFIHILCMLLTGLEQCYFTHQQESMEVKLQKTVIDELLIGVLQLLFRSFTEEPLNKIQKGESHEELTSTSDGDRLRNIVTCSILYPSFSLEQIRSLVIDETSQSILVRLIALIGSIWLQVTSVSAMTNPTVFMSRLLMDVPRLSSPALIEFLTNLVGLAEHSDIHMQQKALMPLIIDAIQRSLRKWKDENEKHCQQLVLIFMELVQHYQILRLLMSVVVEKQTELEKGADAKNTGRLVFLDHVIPQLAPIAHTVAKRGSAMIGDCLQIIKPIGIIQKSHYREYSLLVLFFFINPIIAESERFQGVEIYEFQKLVFGIFVQDSDLLCYNVRLQVLQALLDLVQKQLQVCTTTTYQKLAKIVLPEFLRIHNHIANKDARNKTVSTLNTKLQTSVKQLVDFWGSTDNEQEAQVMNRLSADALAQTFHTYPAMFCYHYLGPRVSSSGLRQARGQSKSPNSSLGDFQSALNTGFLTKLLQQVCVTAMMPERSFCSKLYGLIEEVCKGIDQLTALELIQSLVDLASRLFGGFKETSNQLDLTVKYNAHTAKLVDHLKLYSPLHYFSGLSSSKSTTVPGSTDSQRSAASAESGKKPSLPVSAQEGPEKEKGKRRIPSLSPPNTLSPLAAKIAPGPAVDDLVHACINIVKLLHSFLQEVMPVLARDGLAPWVEEQKTAAPNAEEESAWSSRLSKPASAALLNGALILADQHASLDLPFWASSLLTLIMTAMGVTCSKRSEDSASLPPVGCHWGLSWICVPFATSLLCLAECCGFTLARTTSTILEHSVAAIVRSLNNEMTVDTISPLLNYGRYIRTITSVSFHSPPQTEHMLLKLYVSSQDRAVAVVPDAQGQDCKYFLKPVLRILSAAAVHRVRPDEDGLLHIVVNNLVREQLKLLGTYHHAGRHLNEAKAELFSVLRFDIEALTELLETRVLWYSSNRLTLVDIILQLGLESYSSSCLSMVCNRVATCALKCTVSSEDKLTKRLLPICDALSFTNGTLTRITGMWDGVHFRSRSLISCILTGCLIATAGRVQPDGHDIYNLIDSVYQEEPYETTDLPVKYLKSFFSEPHLAVAAGVCSLALAKVSVRVDRDPVLVNKGGTLLFSASLLRVCHVLPASDDDTSQGIDGKIRCPKNEQDGPETAEDRLVFKDVSSDSPQRCHSMNKLLHAQQLAMSSNRFAESAEDPLIGTAEEDEDQPQGIQLGLYQNDTGDLVIESSDSPTVASDPGETTTQSSDSIPSSAQTGDNLDMAPDSPVASVQSSSHSLIDEEDGDTASSTLDCSALDVSDAQLTHQSRPQETIIDHGASGPTSVGSSAALEGSIEDKVSATLASSSVDSRSESDDELAEEEGLLVKGKQKRSLVPSCSSGGGAILEVISGSRSRGGSEEKAATLQGIGGVATLTQLLEPPPTRFLQQLLELAGTVVNHDQHQTHHLTLEYVQVLQEASPSVEMEKRTVGFSGKLSTDKVQQQLLPVLYRLTLLVGLILLTSHEDEVPVLLGLLVNLLWSYFYQTRRSHQCISVASGAATRRITPSSSKLNAIFAKLLVKIENDNAFNGFSAMIKPVAAALTEQVSEEPRKSTRTLCPLLNVLYPRCKQPRVLHALEAFRWLAARLSRPAGNKKIEKYLELQLRPLNSDMLAKIVKNLCEDAVMRVDSEADDSCLLFLEMAIGLLKQFEPSNRVSQAVINECITLCHNVRDKKTASLEKKPRHLPEEAVAPGPPPPPPPPPPQPQPLLPQAQPPPQPLQPPPPPPPPPPPQQQQQQQQQQQQQQQQQQQQQFSKLSEFAKMLKELTAM
+>sp|Q9P2P5|HECW2_HUMAN E3 ubiquitin-protein ligase HECW2 OS=Homo sapiens OX=9606 GN=HECW2 PE=1 SV=2
+MASSAREHLLFVRRRNPQMRYTLSPENLQSLAAQSSMPENMTLQRANSDTDLVTSESRSSLTASMYEYTLGQAQNLIIFWDIKEEVDPSDWIGLYHIDENSPANFWDSKNRGVTGTQKGQIVWRIEPGPYFMEPEIKICFKYYHGISGALRATTPCITVKNPAVMMGAEGMEGGASGNLHSRKLVSFTLSDLRAVGLKKGMFFNPDPYLKMSIQPGKKSSFPTCAHHGQERRSTIISNTTNPIWHREKYSFFALLTDVLEIEIKDKFAKSRPIIKRFLGKLTIPVQRLLERQAIGDQMLSYNLGRRLPADHVSGYLQFKVEVTSSVHEDASPEAVGTILGVNSVNGDLGSPSDDEDMPGSHHDSQVCSNGPVSEDSAADGTPKHSFRTSSTLEIDTEELTSTSSRTSPPRGRQDSLNDYLDAIEHNGHSRPGTATCSERSMGASPKLRSSFPTDTRLNAMLHIDSDEEDHEFQQDLGYPSSLEEEGGLIMFSRASRADDGSLTSQTKLEDNPVENEEASTHEAASFEDKPENLPELAESSLPAGPAPEEGEGGPEPQPSADQGSAELCGSQEVDQPTSGADTGTSDASGGSRRAVSETESLDQGSEPSQVSSETEPSDPARTESVSEASTRPEGESDLECADSSCNESVTTQLSSVDTRCSSLESARFPETPAFSSQEEEDGACAAEPTSSGPAEGSQESVCTAGSLPVVQVPSGEDEGPGAESATVPDQEELGEVWQRRGSLEGAAAAAESPPQEEGSAGEAQGTCEGATAQEEGATGGSQANGHQPLRSLPSVRQDVSRYQRVDEALPPNWEARIDSHGRIFYVDHVNRTTTWQRPTAPPAPQVLQRSNSIQQMEQLNRRYQSIRRTMTNERPEENTNAIDGAGEEADFHQASADFRRENILPHSTSRSRITLLLQSPPVKFLISPEFFTVLHSNPSAYRMFTNNTCLKHMITKVRRDTHHFERYQHNRDLVGFLNMFANKQLELPRGWEMKHDHQGKAFFVDHNSRTTTFIDPRLPLQSSRPTSALVHRQHLTRQRSHSAGEVGEDSRHAGPPVLPRPSSTFNTVSRPQYQDMVPVAYNDKIVAFLRQPNIFEILQERQPDLTRNHSLREKIQFIRTEGTPGLVRLSSDADLVMLLSLFEEEIMSYVPPHALLHPSYCQSPRGSPVSSPQNSPGTQRANARAPAPYKRDFEAKLRNFYRKLETKGYGQGPGKLKLIIRRDHLLEDAFNQIMGYSRKDLQRNKLYVTFVGEEGLDYSGPSREFFFLVSRELFNPYYGLFEYSANDTYTVQISPMSAFVDNHHEWFRFSGRILGLALIHQYLLDAFFTRPFYKALLRILCDLSDLEYLDEEFHQSLQWMKDNDIHDILDLTFTVNEEVFGQITERELKPGGANIPVTEKNKKEYIERMVKWRIERGVVQQTESLVRGFYEVVDARLVSVFDARELELVIAGTAEIDLSDWRNNTEYRGGYHDNHIVIRWFWAAVERFNNEQRLRLLQFVTGTSSIPYEGFASLRGSNGPRRFCVEKWGKITALPRAHTCFNRLDLPPYPSFSMLYEKLLTAVEETSTFGLE
+>DECOY_sp|Q9P2P5|HECW2_HUMAN E3 ubiquitin-protein ligase HECW2 OS=Homo sapiens OX=9606 GN=HECW2 PE=1 SV=2
+ELGFTSTEEVATLLKEYLMSFSPYPPLDLRNFCTHARPLATIKGWKEVCFRRPGNSGRLSAFGEYPISSTGTVFQLLRLRQENNFREVAAWFWRIVIHNDHYGGRYETNNRWDSLDIEATGAIVLELERADFVSVLRADVVEYFGRVLSETQQVVGREIRWKVMREIYEKKNKETVPINAGGPKLERETIQGFVEENVTFTLDLIDHIDNDKMWQLSQHFEEDLYELDSLDCLIRLLAKYFPRTFFADLLYQHILALGLIRGSFRFWEHHNDVFASMPSIQVTYTDNASYEFLGYYPNFLERSVLFFFERSPGSYDLGEEGVFTVYLKNRQLDKRSYGMIQNFADELLHDRRIILKLKGPGQGYGKTELKRYFNRLKAEFDRKYPAPARANARQTGPSNQPSSVPSGRPSQCYSPHLLAHPPVYSMIEEEFLSLLMVLDADSSLRVLGPTGETRIFQIKERLSHNRTLDPQREQLIEFINPQRLFAVIKDNYAVPVMDQYQPRSVTNFTSSPRPLVPPGAHRSDEGVEGASHSRQRTLHQRHVLASTPRSSQLPLRPDIFTTTRSNHDVFFAKGQHDHKMEWGRPLELQKNAFMNLFGVLDRNHQYREFHHTDRRVKTIMHKLCTNNTFMRYASPNSHLVTFFEPSILFKVPPSQLLLTIRSRSTSHPLINERRFDASAQHFDAEEGAGDIANTNEEPRENTMTRRISQYRRNLQEMQQISNSRQLVQPAPPATPRQWTTTRNVHDVYFIRGHSDIRAEWNPPLAEDVRQYRSVDQRVSPLSRLPQHGNAQSGGTAGEEQATAGECTGQAEGASGEEQPPSEAAAAAGELSGRRQWVEGLEEQDPVTASEAGPGEDEGSPVQVVPLSGATCVSEQSGEAPGSSTPEAACAGDEEEQSSFAPTEPFRASELSSCRTDVSSLQTTVSENCSSDACELDSEGEPRTSAESVSETRAPDSPETESSVQSPESGQDLSETESVARRSGGSADSTGTDAGSTPQDVEQSGCLEASGQDASPQPEPGGEGEEPAPGAPLSSEALEPLNEPKDEFSAAEHTSAEENEVPNDELKTQSTLSGDDARSARSFMILGGEEELSSPYGLDQQFEHDEEDSDIHLMANLRTDTPFSSRLKPSAGMSRESCTATGPRSHGNHEIADLYDNLSDQRGRPPSTRSSTSTLEETDIELTSSTRFSHKPTGDAASDESVPGNSCVQSDHHSGPMDEDDSPSGLDGNVSNVGLITGVAEPSADEHVSSTVEVKFQLYGSVHDAPLRRGLNYSLMQDGIAQRELLRQVPITLKGLFRKIIPRSKAFKDKIEIELVDTLLAFFSYKERHWIPNTTNSIITSRREQGHHACTPFSSKKGPQISMKLYPDPNFFMGKKLGVARLDSLTFSVLKRSHLNGSAGGEMGEAGMMVAPNKVTICPTTARLAGSIGHYYKFCIKIEPEMFYPGPEIRWVIQGKQTGTVGRNKSDWFNAPSNEDIHYLGIWDSPDVEEKIDWFIILNQAQGLTYEYMSATLSSRSESTVLDTDSNARQLTMNEPMSSQAALSQLNEPSLTYRMQPNRRRVFLLHERASSAM
+>sp|Q8NG08|HELB_HUMAN DNA helicase B OS=Homo sapiens OX=9606 GN=HELB PE=1 SV=2
+MARSSPYLRQLQGPLLPPRDLVEEDDDYLNDDVEEDEESVFIDAEELCSGGVKAGSLPGCLRVSICDENTQETCKVFGRFPITGAWWRVKVQVKPVVGSRSYQYQVQGFPSYFLQSDMSPPNQKHICALFLKECEVSSDDVNKFLTWVKEVSNYKNLNFENLRETLRTFHKETGRKDQKQPTQNGQEELFLDNEMSLPLENTIPFRNVMTALQFPKIMEFLPVLLPRHFKWIIGSGSKEMLKEIEEILGTHPWKLGFSKITYREWKLLRCEASWIAFCQCESLLQLMTDLEKNALIMYSRLKQICREDGHTYVEVNDLTLTLSNHMSFHAASESLKFLKDIGVVTYEKSCVFPYDLYHAERAIAFSICDLMKKPPWHLCVDVEKVLASIHTTKPENSSDDALNESKPDEVRLENPVDVVDTQDNGDHIWTNGENEINAEISEVQLDQDQVEVPLDRDQVAALEMICSNPVTVISGKGGCGKTTIVSRLFKHIEQLEEREVKKACEDFEQDQNASEEWITFTEQSQLEADKAIEVLLTAPTGKAAGLLRQKTGLHAYTLCQVNYSFYSWTQTMMTTNKPWKFSSVRVLVVDEGSLVSVGIFKSVLNLLCEHSKLSKLIILGDIRQLPSIEPGNLLKDLFETLKSRNCAIELKTNHRAESQLIVDNATRISRRQFPKFDAELNISDNPTLPISIQDKTFIFVRLPEEDASSQSSKTNHHSCLYSAVKTLLQENNLQNAKTSQFIAFRRQDCDLINDCCCKHYTGHLTKDHQSRLVFGIGDKICCTRNAYLSDLLPENISGSQQNNDLDASSEDFSGTLPDFAKNKRDFESNVRLCNGEIFFITNDVTDVTFGKRRSLTINNMAGLEVTVDFKKLMKYCRIKHAWARTIHTFQGSEEQTVVYVVGKAGRQHWQHVYTAVTRGRCRVYVIAEESQLRNAIMKNSFPRKTRLKHFLQSKLSSSGAPPADFPSPRKSSGDSGGPSTPSASPLPVVTDHAMTNDVTWSEASSPDERTLTFAERWQLSSPDGVDTDDDLPKSRASKRTCGVNDDESPSKIFMVGESPQVSSRLQNLRLNNLIPRQLFKPTDNQET
+>DECOY_sp|Q8NG08|HELB_HUMAN DNA helicase B OS=Homo sapiens OX=9606 GN=HELB PE=1 SV=2
+TEQNDTPKFLQRPILNNLRLNQLRSSVQPSEGVMFIKSPSEDDNVGCTRKSARSKPLDDDTDVGDPSSLQWREAFTLTREDPSSAESWTVDNTMAHDTVVPLPSASPTSPGGSDGSSKRPSPFDAPPAGSSSLKSQLFHKLRTKRPFSNKMIANRLQSEEAIVYVRCRGRTVATYVHQWHQRGAKGVVYVVTQEESGQFTHITRAWAHKIRCYKMLKKFDVTVELGAMNNITLSRRKGFTVDTVDNTIFFIEGNCLRVNSEFDRKNKAFDPLTGSFDESSADLDNNQQSGSINEPLLDSLYANRTCCIKDGIGFVLRSQHDKTLHGTYHKCCCDNILDCDQRRFAIFQSTKANQLNNEQLLTKVASYLCSHHNTKSSQSSADEEPLRVFIFTKDQISIPLTPNDSINLEADFKPFQRRSIRTANDVILQSEARHNTKLEIACNRSKLTEFLDKLLNGPEISPLQRIDGLIILKSLKSHECLLNLVSKFIGVSVLSGEDVVLVRVSSFKWPKNTTMMTQTWSYFSYNVQCLTYAHLGTKQRLLGAAKGTPATLLVEIAKDAELQSQETFTIWEESANQDQEFDECAKKVEREELQEIHKFLRSVITTKGCGGKGSIVTVPNSCIMELAAVQDRDLPVEVQDQDLQVESIEANIENEGNTWIHDGNDQTDVVDVPNELRVEDPKSENLADDSSNEPKTTHISALVKEVDVCLHWPPKKMLDCISFAIAREAHYLDYPFVCSKEYTVVGIDKLFKLSESAAHFSMHNSLTLTLDNVEVYTHGDERCIQKLRSYMILANKELDTMLQLLSECQCFAIWSAECRLLKWERYTIKSFGLKWPHTGLIEEIEKLMEKSGSGIIWKFHRPLLVPLFEMIKPFQLATMVNRFPITNELPLSMENDLFLEEQGNQTPQKQDKRGTEKHFTRLTERLNEFNLNKYNSVEKVWTLFKNVDDSSVECEKLFLACIHKQNPPSMDSQLFYSPFGQVQYQYSRSGVVPKVQVKVRWWAGTIPFRGFVKCTEQTNEDCISVRLCGPLSGAKVGGSCLEEADIFVSEEDEEVDDNLYDDDEEVLDRPPLLPGQLQRLYPSSRAM
+>sp|Q9BXL5|HEMGN_HUMAN Hemogen OS=Homo sapiens OX=9606 GN=HEMGN PE=1 SV=1
+MDLGKDQSHLKHHQTPDPHQEENHSPEVIGTWSLRNRELLRKRKAEVHEKETSQWLFGEQKKRKQQRTGKGNRRGRKRQQNTELKVEPQPQIEKEIVEKALAPIEKKTEPPGSITKVFPSVASPQKVVPEEHFSEICQESNIYQENFSEYQEIAVQNHSSETCQHVSEPEDLSPKMYQEISVLQDNSSKICQDMKEPEDNSPNTCQVISVIQDHPFKMYQDMAKREDLAPKMCQEAAVPKILPCPTSEDTADLAGCSLQAYPKPDVPKGYILDTDQNPAEPEEYNETDQGIAETEGLFPKIQEIAEPKDLSTKTHQESAEPKYLPHKTCNEIIVPKAPSHKTIQETPHSEDYSIEINQETPGSEKYSPETYQEIPGLEEYSPEIYQETSQLEEYSPEIYQETPGPEDLSTETYKNKDVPKECFPEPHQETGGPQGQDPKAHQEDAKDAYTFPQEMKEKPKEEPGIPAILNESHPENDVYSYVLF
+>DECOY_sp|Q9BXL5|HEMGN_HUMAN Hemogen OS=Homo sapiens OX=9606 GN=HEMGN PE=1 SV=1
+FLVYSYVDNEPHSENLIAPIGPEEKPKEKMEQPFTYADKADEQHAKPDQGQPGGTEQHPEPFCEKPVDKNKYTETSLDEPGPTEQYIEPSYEELQSTEQYIEPSYEELGPIEQYTEPSYKESGPTEQNIEISYDESHPTEQITKHSPAKPVIIENCTKHPLYKPEASEQHTKTSLDKPEAIEQIKPFLGETEAIGQDTENYEEPEAPNQDTDLIYGKPVDPKPYAQLSCGALDATDESTPCPLIKPVAAEQCMKPALDERKAMDQYMKFPHDQIVSIVQCTNPSNDEPEKMDQCIKSSNDQLVSIEQYMKPSLDEPESVHQCTESSHNQVAIEQYESFNEQYINSEQCIESFHEEPVVKQPSAVSPFVKTISGPPETKKEIPALAKEVIEKEIQPQPEVKLETNQQRKRGRRNGKGTRQQKRKKQEGFLWQSTEKEHVEAKRKRLLERNRLSWTGIVEPSHNEEQHPDPTQHHKLHSQDKGLDM
+>sp|P05546|HEP2_HUMAN Heparin cofactor 2 OS=Homo sapiens OX=9606 GN=SERPIND1 PE=1 SV=3
+MKHSLNALLIFLIITSAWGGSKGPLDQLEKGGETAQSADPQWEQLNNKNLSMPLLPADFHKENTVTNDWIPEGEEDDDYLDLEKIFSEDDDYIDIVDSLSVSPTDSDVSAGNILQLFHGKSRIQRLNILNAKFAFNLYRVLKDQVNTFDNIFIAPVGISTAMGMISLGLKGETHEQVHSILHFKDFVNASSKYEITTIHNLFRKLTHRLFRRNFGYTLRSVNDLYIQKQFPILLDFKTKVREYYFAEAQIADFSDPAFISKTNNHIMKLTKGLIKDALENIDPATQMMILNCIYFKGSWVNKFPVEMTHNHNFRLNEREVVKVSMMQTKGNFLAANDQELDCDILQLEYVGGISMLIVVPHKMSGMKTLEAQLTPRVVERWQKSMTNRTREVLLPKFKLEKNYNLVESLKLMGIRMLFDKNGNMAGISDQRIAIDLFKHQGTITVNEEGTQATTVTTVGFMPLSTQVRFTVDRPFLFLIYEHRTSCLLFMGRVANPSRS
+>DECOY_sp|P05546|HEP2_HUMAN Heparin cofactor 2 OS=Homo sapiens OX=9606 GN=SERPIND1 PE=1 SV=3
+SRSPNAVRGMFLLCSTRHEYILFLFPRDVTFRVQTSLPMFGVTTVTTAQTGEENVTITGQHKFLDIAIRQDSIGAMNGNKDFLMRIGMLKLSEVLNYNKELKFKPLLVERTRNTMSKQWREVVRPTLQAELTKMGSMKHPVVILMSIGGVYELQLIDCDLEQDNAALFNGKTQMMSVKVVERENLRFNHNHTMEVPFKNVWSGKFYICNLIMMQTAPDINELADKILGKTLKMIHNNTKSIFAPDSFDAIQAEAFYYERVKTKFDLLIPFQKQIYLDNVSRLTYGFNRRFLRHTLKRFLNHITTIEYKSSANVFDKFHLISHVQEHTEGKLGLSIMGMATSIGVPAIFINDFTNVQDKLVRYLNFAFKANLINLRQIRSKGHFLQLINGASVDSDTPSVSLSDVIDIYDDDESFIKELDLYDDDEEGEPIWDNTVTNEKHFDAPLLPMSLNKNNLQEWQPDASQATEGGKELQDLPGKSGGWASTIILFILLANLSHKM
+>sp|Q5GLZ8|HERC4_HUMAN Probable E3 ubiquitin-protein ligase HERC4 OS=Homo sapiens OX=9606 GN=HERC4 PE=1 SV=1
+MLCWGNASFGQLGLGGIDEEIVLEPRKSDFFINKRVRDVGCGLRHTVFVLDDGTVYTCGCNDLGQLGHEKSRKKPEQVVALDAQNIVAVSCGEAHTLALNDKGQVYAWGLDSDGQLGLVGSEECIRVPRNIKSLSDIQIVQVACGYYHSLALSKASEVFCWGQNKYGQLGLGTDCKKQTSPQLLKSLLGIPFMQVAAGGAHSFVLTLSGAIFGWGRNKFGQLGLNDENDRYVPNLLKSLRSQKIVYICCGEDHTAALTKEGGVFTFGAGGYGQLGHNSTSHEINPRKVFELMGSIVTEIACGRQHTSAFVPSSGRIYSFGLGGNGQLGTGSTSNRKSPFTVKGNWYPYNGQCLPDIDSEEYFCVKRIFSGGDQSFSHYSSPQNCGPPDDFRCPNPTKQIWTVNEALIQKWLSYPSGRFPVEIANEIDGTFSSSGCLNGSFLAVSNDDHYRTGTRFSGVDMNAARLLFHKLIQPDHPQISQQVAASLEKNLIPKLTSSLPDVEALRFYLTLPECPLMSDSNNFTTIAIPFGTALVNLEKAPLKVLENWWSVLEPPLFLKIVELFKEVVVHLLKLYKIGIPPSERRIFNSFLHTALKVLEILHRVNEKMGQIIQYDKFYIHEVQELIDIRNDYINWVQQQAYGMDVNHGLTELADIPVTICTYPFVFDAQAKTTLLQTDAVLQMQMAIDQAHRQNVSSLFLPVIESVNPCLILVVRRENIVGDAMEVLRKTKNIDYKKPLKVIFVGEDAVDAGGVRKEFFLLIMRELLDPKYGMFRYYEDSRLIWFSDKTFEDSDLFHLIGVICGLAIYNCTIVDLHFPLALYKKLLKKKPSLDDLKELMPDVGRSMQQLLDYPEDDIEETFCLNFTITVENFGATEVKELVLNGADTAVNKQNRQEFVDAYVDYIFNKSVASLFDAFHAGFHKVCGGKVLLLFQPNELQAMVIGNTNYDWKELEKNTEYKGEYWAEHPTIKIFWEVFHELPLEKKKQFLLFLTGSDRIPILGMKSLKLVIQSTGGGEEYLPVSHTCFNLLDLPKYTEKETLRSKLIQAIDHNEGFSLI
+>DECOY_sp|Q5GLZ8|HERC4_HUMAN Probable E3 ubiquitin-protein ligase HERC4 OS=Homo sapiens OX=9606 GN=HERC4 PE=1 SV=1
+ILSFGENHDIAQILKSRLTEKETYKPLDLLNFCTHSVPLYEEGGGTSQIVLKLSKMGLIPIRDSGTLFLLFQKKKELPLEHFVEWFIKITPHEAWYEGKYETNKELEKWDYNTNGIVMAQLENPQFLLLVKGGCVKHFGAHFADFLSAVSKNFIYDVYADVFEQRNQKNVATDAGNLVLEKVETAGFNEVTITFNLCFTEEIDDEPYDLLQQMSRGVDPMLEKLDDLSPKKKLLKKYLALPFHLDVITCNYIALGCIVGILHFLDSDEFTKDSFWILRSDEYYRFMGYKPDLLERMILLFFEKRVGGADVADEGVFIVKLPKKYDINKTKRLVEMADGVINERRVVLILCPNVSEIVPLFLSSVNQRHAQDIAMQMQLVADTQLLTTKAQADFVFPYTCITVPIDALETLGHNVDMGYAQQQVWNIYDNRIDILEQVEHIYFKDYQIIQGMKENVRHLIELVKLATHLFSNFIRRESPPIGIKYLKLLHVVVEKFLEVIKLFLPPELVSWWNELVKLPAKELNVLATGFPIAITTFNNSDSMLPCEPLTLYFRLAEVDPLSSTLKPILNKELSAAVQQSIQPHDPQILKHFLLRAANMDVGSFRTGTRYHDDNSVALFSGNLCGSSSFTGDIENAIEVPFRGSPYSLWKQILAENVTWIQKTPNPCRFDDPPGCNQPSSYHSFSQDGGSFIRKVCFYEESDIDPLCQGNYPYWNGKVTFPSKRNSTSGTGLQGNGGLGFSYIRGSSPVFASTHQRGCAIETVISGMLEFVKRPNIEHSTSNHGLQGYGGAGFTFVGGEKTLAATHDEGCCIYVIKQSRLSKLLNPVYRDNEDNLGLQGFKNRGWGFIAGSLTLVFSHAGGAAVQMFPIGLLSKLLQPSTQKKCDTGLGLQGYKNQGWCFVESAKSLALSHYYGCAVQVIQIDSLSKINRPVRICEESGVLGLQGDSDLGWAYVQGKDNLALTHAEGCSVAVINQADLAVVQEPKKRSKEHGLQGLDNCGCTYVTGDDLVFVTHRLGCGVDRVRKNIFFDSKRPELVIEEDIGGLGLQGFSANGWCLM
+>sp|Q9UII4|HERC5_HUMAN E3 ISG15--protein ligase HERC5 OS=Homo sapiens OX=9606 GN=HERC5 PE=1 SV=2
+MERRSRRKSRRNGRSTAGKAAATQPAKSPGAQLWLFPSAAGLHRALLRRVEVTRQLCCSPGRLAVLERGGAGVQVHQLLAGSGGARTPKCIKLGKNMKIHSVDQGAEHMLILSSDGKPFEYDNYSMKHLRFESILQEKKIIQITCGDYHSLALSKGGELFAWGQNLHGQLGVGRKFPSTTTPQIVEHLAGVPLAQISAGEAHSMALSMSGNIYSWGKNECGQLGLGHTESKDDPSLIEGLDNQKVEFVACGGSHSALLTQDGLLFTFGAGKHGQLGHNSTQNELRPCLVAELVGYRVTQIACGRWHTLAYVSDLGKVFSFGSGKDGQLGNGGTRDQLMPLPVKVSSSEELKLESHTSEKELIMIAGGNQSILLWIKKENSYVNLKRTIPTLNEGTVKRWIADVETKRWQSTKREIQEIFSSPACLTGSFLRKRRTTEMMPVYLDLNKARNIFKELTQKDWITNMITTCLKDNLLKRLPFHSPPQEALEIFFLLPECPMMHISNNWESLVVPFAKVVCKMSDQSSLVLEEYWATLQESTFSKLVQMFKTAVICQLDYWDESAEENGNVQALLEMLKKLHRVNQVKCQLPESIFQVDELLHRLNFFVEVCRRYLWKMTVDASENVQCCVIFSHFPFIFNNLSKIKLLHTDTLLKIESKKHKAYLRSAAIEEERESEFALRPTFDLTVRRNHLIEDVLNQLSQFENEDLRKELWVSFSGEIGYDLGGVKKEFFYCLFAEMIQPEYGMFMYPEGASCMWFPVKPKFEKKRYFFFGVLCGLSLFNCNVANLPFPLALFKKLLDQMPSLEDLKELSPDLGKNLQTLLDDEGDNFEEVFYIHFNVHWDRNDTNLIPNGSSITVNQTNKRDYVSKYINYIFNDSVKAVYEEFRRGFYKMCDEDIIKLFHPEELKDVIVGNTDYDWKTFEKNARYEPGYNSSHPTIVMFWKAFHKLTLEEKKKFLVFLTGTDRLQMKDLNNMKITFCCPESWNERDPIRALTCFSVLFLPKYSTMETVEEALQEAINNNRGFG
+>DECOY_sp|Q9UII4|HERC5_HUMAN E3 ISG15--protein ligase HERC5 OS=Homo sapiens OX=9606 GN=HERC5 PE=1 SV=2
+GFGRNNNIAEQLAEEVTEMTSYKPLFLVSFCTLARIPDRENWSEPCCFTIKMNNLDKMQLRDTGTLFVLFKKKEELTLKHFAKWFMVITPHSSNYGPEYRANKEFTKWDYDTNGVIVDKLEEPHFLKIIDEDCMKYFGRRFEEYVAKVSDNFIYNIYKSVYDRKNTQNVTISSGNPILNTDNRDWHVNFHIYFVEEFNDGEDDLLTQLNKGLDPSLEKLDELSPMQDLLKKFLALPFPLNAVNCNFLSLGCLVGFFFYRKKEFKPKVPFWMCSAGEPYMFMGYEPQIMEAFLCYFFEKKVGGLDYGIEGSFSVWLEKRLDENEFQSLQNLVDEILHNRRVTLDFTPRLAFESEREEEIAASRLYAKHKKSEIKLLTDTHLLKIKSLNNFIFPFHSFIVCCQVNESADVTMKWLYRRCVEVFFNLRHLLEDVQFISEPLQCKVQNVRHLKKLMELLAQVNGNEEASEDWYDLQCIVATKFMQVLKSFTSEQLTAWYEELVLSSQDSMKCVVKAFPVVLSEWNNSIHMMPCEPLLFFIELAEQPPSHFPLRKLLNDKLCTTIMNTIWDKQTLEKFINRAKNLDLYVPMMETTRRKRLFSGTLCAPSSFIEQIERKTSQWRKTEVDAIWRKVTGENLTPITRKLNVYSNEKKIWLLISQNGGAIMILEKESTHSELKLEESSSVKVPLPMLQDRTGGNGLQGDKGSGFSFVKGLDSVYALTHWRGCAIQTVRYGVLEAVLCPRLENQTSNHGLQGHKGAGFTFLLGDQTLLASHSGGCAVFEVKQNDLGEILSPDDKSETHGLGLQGCENKGWSYINGSMSLAMSHAEGASIQALPVGALHEVIQPTTTSPFKRGVGLQGHLNQGWAFLEGGKSLALSHYDGCTIQIIKKEQLISEFRLHKMSYNDYEFPKGDSSLILMHEAGQDVSHIKMNKGLKICKPTRAGGSGALLQHVQVGAGGRELVALRGPSCCLQRTVEVRRLLARHLGAASPFLWLQAGPSKAPQTAAAKGATSRGNRRSKRRSRREM
+>sp|Q14469|HES1_HUMAN Transcription factor HES-1 OS=Homo sapiens OX=9606 GN=HES1 PE=1 SV=1
+MPADIMEKNSSSPVAATPASVNTTPDKPKTASEHRKSSKPIMEKRRRARINESLSQLKTLILDALKKDSSRHSKLEKADILEMTVKHLRNLQRAQMTAALSTDPSVLGKYRAGFSECMNEVTRFLSTCEGVNTEVRTRLLGHLANCMTQINAMTYPGQPHPALQAPPPPPPGPGGPQHAPFAPPPPLVPIPGGAAPPPGGAPCKLGSQAGEAAKVFGGFQVVPAPDGQFAFLIPNGAFAHSGPVIPVYTSNSGTSVGPNAVSPSSGPSLTADSMWRPWRN
+>DECOY_sp|Q14469|HES1_HUMAN Transcription factor HES-1 OS=Homo sapiens OX=9606 GN=HES1 PE=1 SV=1
+NRWPRWMSDATLSPGSSPSVANPGVSTGSNSTYVPIVPGSHAFAGNPILFAFQGDPAPVVQFGGFVKAAEGAQSGLKCPAGGPPPAAGGPIPVLPPPPAFPAHQPGGPGPPPPPPAQLAPHPQGPYTMANIQTMCNALHGLLRTRVETNVGECTSLFRTVENMCESFGARYKGLVSPDTSLAATMQARQLNRLHKVTMELIDAKELKSHRSSDKKLADLILTKLQSLSENIRARRRKEMIPKSSKRHESATKPKDPTTNVSAPTAAVPSSSNKEMIDAPM
+>sp|Q9HCC6|HES4_HUMAN Transcription factor HES-4 OS=Homo sapiens OX=9606 GN=HES4 PE=2 SV=1
+MAADTPGKPSASPMAGAPASASRTPDKPRSAAEHRKSSKPVMEKRRRARINESLAQLKTLILDALRKESSRHSKLEKADILEMTVRHLRSLRRVQVTAALSADPAVLGKYRAGFHECLAEVNRFLAGCEGVPADVRSRLLGHLAACLRQLGPSRRPASLSPAAPAEAPAPEVYAGRPLLPSLGGPFPLLAPPLLPGLTRALPAAPRAGPQGPGGPWRPWLR
+>DECOY_sp|Q9HCC6|HES4_HUMAN Transcription factor HES-4 OS=Homo sapiens OX=9606 GN=HES4 PE=2 SV=1
+RLWPRWPGGPGQPGARPAAPLARTLGPLLPPALLPFPGGLSPLLPRGAYVEPAPAEAPAAPSLSAPRRSPGLQRLCAALHGLLRSRVDAPVGECGALFRNVEALCEHFGARYKGLVAPDASLAATVQVRRLSRLHRVTMELIDAKELKSHRSSEKRLADLILTKLQALSENIRARRRKEMVPKSSKRHEAASRPKDPTRSASAPAGAMPSASPKGPTDAAM
+>sp|Q9UM44|HHLA2_HUMAN HERV-H LTR-associating protein 2 OS=Homo sapiens OX=9606 GN=HHLA2 PE=1 SV=1
+MKAQTALSFFLILITSLSGSQGIFPLAFFIYVPMNEQIVIGRLDEDIILPSSFERGSEVVIHWKYQDSYKVHSYYKGSDHLESQDPRYANRTSLFYNEIQNGNASLFFRRVSLLDEGIYTCYVGTAIQVITNKVVLKVGVFLTPVMKYEKRNTNSFLICSVLSVYPRPIITWKMDNTPISENNMEETGSLDSFSINSPLNITGSNSSYECTIENSLLKQTWTGRWTMKDGLHKMQSEHVSLSCQPVNDYFSPNQDFKVTWSRMKSGTFSVLAYYLSSSQNTIINESRFSWNKELINQSDFSMNLMDLNLSDSGEYLCNISSDEYTLLTIHTVHVEPSQETASHNKGLWILVPSAILAAFLLIWSVKCCRAQLEARRSRHPADGAQQERCCVPPGERCPSAPDNGEENVPLSGKV
+>DECOY_sp|Q9UM44|HHLA2_HUMAN HERV-H LTR-associating protein 2 OS=Homo sapiens OX=9606 GN=HHLA2 PE=1 SV=1
+VKGSLPVNEEGNDPASPCREGPPVCCREQQAGDAPHRSRRAELQARCCKVSWILLFAALIASPVLIWLGKNHSATEQSPEVHVTHITLLTYEDSSINCLYEGSDSLNLDMLNMSFDSQNILEKNWSFRSENIITNQSSSLYYALVSFTGSKMRSWTVKFDQNPSFYDNVPQCSLSVHESQMKHLGDKMTWRGTWTQKLLSNEITCEYSSNSGTINLPSNISFSDLSGTEEMNNESIPTNDMKWTIIPRPYVSLVSCILFSNTNRKEYKMVPTLFVGVKLVVKNTIVQIATGVYCTYIGEDLLSVRRFFLSANGNQIENYFLSTRNAYRPDQSELHDSGKYYSHVKYSDQYKWHIVVESGREFSSPLIIDEDLRGIVIQENMPVYIFFALPFIGQSGSLSTILILFFSLATQAKM
+>sp|Q14526|HIC1_HUMAN Hypermethylated in cancer 1 protein OS=Homo sapiens OX=9606 GN=HIC1 PE=1 SV=5
+MTFPEADILLKSGECAGQTMLDTMEAPGHSRQLLLQLNNQRTKGFLCDVIIVVQNALFRAHKNVLAASSAYLKSLVVHDNLLNLDHDMVSPAVFRLVLDFIYTGRLADGAEAAAAAAVAPGAEPSLGAVLAAASYLQIPDLVALCKKRLKRHGKYCHLRGGGGGGGGYAPYGRPGRGLRAATPVIQACYPSPVGPPPPPAAEPPSGPEAAVNTHCAELYASGPGPAAALCASERRCSPLCGLDLSKKSPPGSAAPERPLAERELPPRPDSPPSAGPAAYKEPPLALPSLPPLPFQKLEEAAPPSDPFRGGSGSPGPEPPGRPDGPSLLYRWMKHEPGLGSYGDELGRERGSPSERCEERGGDAAVSPGGPPLGLAPPPRYPGSLDGPGAGGDGDDYKSSSEETGSSEDPSPPGGHLEGYPCPHLAYGEPESFGDNLYVCIPCGKGFPSSEQLNAHVEAHVEEEEALYGRAEAAEVAAGAAGLGPPFGGGGDKVAGAPGGLGELLRPYRCASCDKSYKDPATLRQHEKTHWLTRPYPCTICGKKFTQRGTMTRHMRSHLGLKPFACDACGMRFTRQYRLTEHMRIHSGEKPYECQVCGGKFAQQRNLISHMKMHAVGGAAGAAGALAGLGGLPGVPGPDGKGKLDFPEGVFAVARLTAEQLSLKQQDKAAAAELLAQTTHFLHDPKVALESLYPLAKFTAELGLSPDKAAEVLSQGAHLAAGPDGRTIDRFSPT
+>DECOY_sp|Q14526|HIC1_HUMAN Hypermethylated in cancer 1 protein OS=Homo sapiens OX=9606 GN=HIC1 PE=1 SV=5
+TPSFRDITRGDPGAALHAGQSLVEAAKDPSLGLEATFKALPYLSELAVKPDHLFHTTQALLEAAAAKDQQKLSLQEATLRAVAFVGEPFDLKGKGDPGPVGPLGGLGALAGAAGAAGGVAHMKMHSILNRQQAFKGGCVQCEYPKEGSHIRMHETLRYQRTFRMGCADCAFPKLGLHSRMHRTMTGRQTFKKGCITCPYPRTLWHTKEHQRLTAPDKYSKDCSACRYPRLLEGLGGPAGAVKDGGGGFPPGLGAAGAAVEAAEARGYLAEEEEVHAEVHANLQESSPFGKGCPICVYLNDGFSEPEGYALHPCPYGELHGGPPSPDESSGTEESSSKYDDGDGGAGPGDLSGPYRPPPALGLPPGGPSVAADGGREECRESPSGRERGLEDGYSGLGPEHKMWRYLLSPGDPRGPPEPGPSGSGGRFPDSPPAAEELKQFPLPPLSPLALPPEKYAAPGASPPSDPRPPLEREALPREPAASGPPSKKSLDLGCLPSCRRESACLAAAPGPGSAYLEACHTNVAAEPGSPPEAAPPPPPGVPSPYCAQIVPTAARLGRGPRGYPAYGGGGGGGGRLHCYKGHRKLRKKCLAVLDPIQLYSAAALVAGLSPEAGPAVAAAAAAEAGDALRGTYIFDLVLRFVAPSVMDHDLNLLNDHVVLSKLYASSAALVNKHARFLANQVVIIVDCLFGKTRQNNLQLLLQRSHGPAEMTDLMTQGACEGSKLLIDAEPFTM
+>sp|A8MVS5|HIDE1_HUMAN Protein HIDE1 OS=Homo sapiens OX=9606 GN=HIDE1 PE=2 SV=2
+MPWTILLFAAGSLAIPAPSIRLVPPYPSSQEDPIHIACMAPGNFPGANFTLYRGGQVVQLLQAPTDQRGVTFNLSGGSSKAPGGPFHCQYGVLGELNQSQLSDLSEPVNVSFPVPTWILVLSLSLAGALFLLAGLVAVALVVRKVKLRNLQKKRDRESCWAQINFDSTDMSFDNSLFTVSAKTMPEEDPATLDDHSGTTATPSNSRTRKRPTSTSSSPETPEFSTFRACQ
+>DECOY_sp|A8MVS5|HIDE1_HUMAN Protein HIDE1 OS=Homo sapiens OX=9606 GN=HIDE1 PE=2 SV=2
+QCARFTSFEPTEPSSSTSTPRKRTRSNSPTATTGSHDDLTAPDEEPMTKASVTFLSNDFSMDTSDFNIQAWCSERDRKKQLNRLKVKRVVLAVAVLGALLFLAGALSLSLVLIWTPVPFSVNVPESLDSLQSQNLEGLVGYQCHFPGGPAKSSGGSLNFTVGRQDTPAQLLQVVQGGRYLTFNAGPFNGPAMCAIHIPDEQSSPYPPVLRISPAPIALSGAAFLLITWPM
+>sp|Q86Z02|HIPK1_HUMAN Homeodomain-interacting protein kinase 1 OS=Homo sapiens OX=9606 GN=HIPK1 PE=1 SV=1
+MASQLQVFSPPSVSSSAFCSAKKLKIEPSGWDVSGQSSNDKYYTHSKTLPATQGQANSSHQVANFNIPAYDQGLLLPAPAVEHIVVTAADSSGSAATSTFQSSQTLTHRSNVSLLEPYQKCGLKRKSEEVDSNGSVQIIEEHPPLMLQNRTVVGAAATTTTVTTKSSSSSGEGDYQLVQHEILCSMTNSYEVLEFLGRGTFGQVAKCWKRSTKEIVAIKILKNHPSYARQGQIEVSILSRLSSENADEYNFVRSYECFQHKNHTCLVFEMLEQNLYDFLKQNKFSPLPLKYIRPILQQVATALMKLKSLGLIHADLKPENIMLVDPVRQPYRVKVIDFGSASHVSKAVCSTYLQSRYYRAPEIILGLPFCEAIDMWSLGCVIAELFLGWPLYPGASEYDQIRYISQTQGLPAEYLLSAGTKTTRFFNRDPNLGYPLWRLKTPEEHELETGIKSKEARKYIFNCLDDMAQVNMSTDLEGTDMLAEKADRREYIDLLKKMLTIDADKRITPLKTLNHQFVTMTHLLDFPHSNHVKSCFQNMEICKRRVHMYDTVSQIKSPFTTHVAPNTSTNLTMSFSNQLNTVHNQASVLASSSTAAAATLSLANSDVSLLNYQSALYPSSAAPVPGVAQQGVSLQPGTTQICTQTDPFQQTFIVCPPAFQTGLQATTKHSGFPVRMDNAVPIVPQAPAAQPLQIQSGVLTQGSCTPLMVATLHPQVATITPQYAVPFTLSCAAGRPALVEQTAAVLQAWPGGTQQILLPSTWQQLPGVALHNSVQPTAMIPEAMGSGQQLADWRNAHSHGNQYSTIMQQPSLLTNHVTLATAQPLNVGVAHVVRQQQSSSLPSKKNKQSAPVSSKSSLDVLPSQVYSLVGSSPLRTTSSYNSLVPVQDQHQPIIIPDTPSPPVSVITIRSDTDEEEDNKYKPSSSGLKPRSNVISYVTVNDSPDSDSSLSSPYSTDTLSALRGNSGSVLEGPGRVVADGTGTRTIIVPPLKTQLGDCTVATQASGLLSNKTKPVASVSGQSSGCCITPTGYRAQRGGTSAAQPLNLSQNQQSSAAPTSQERSSNPAPRRQQAFVAPLSQAPYTFQHGSPLHSTGHPHLAPAPAHLPSQAHLYTYAAPTSAAALGSTSSIAHLFSPQGSSRHAAAYTTHPSTLVHQVPVSVGPSLLTSASVAPAQYQHQFATQSYIGSSRGSTIYTGYPLSPTKISQYSYL
+>DECOY_sp|Q86Z02|HIPK1_HUMAN Homeodomain-interacting protein kinase 1 OS=Homo sapiens OX=9606 GN=HIPK1 PE=1 SV=1
+LYSYQSIKTPSLPYGTYITSGRSSGIYSQTAFQHQYQAPAVSASTLLSPGVSVPVQHVLTSPHTTYAAAHRSSGQPSFLHAISSTSGLAAASTPAAYTYLHAQSPLHAPAPALHPHGTSHLPSGHQFTYPAQSLPAVFAQQRRPAPNSSREQSTPAASSQQNQSLNLPQAASTGGRQARYGTPTICCGSSQGSVSAVPKTKNSLLGSAQTAVTCDGLQTKLPPVIITRTGTGDAVVRGPGELVSGSNGRLASLTDTSYPSSLSSDSDPSDNVTVYSIVNSRPKLGSSSPKYKNDEEEDTDSRITIVSVPPSPTDPIIIPQHQDQVPVLSNYSSTTRLPSSGVLSYVQSPLVDLSSKSSVPASQKNKKSPLSSSQQQRVVHAVGVNLPQATALTVHNTLLSPQQMITSYQNGHSHANRWDALQQGSGMAEPIMATPQVSNHLAVGPLQQWTSPLLIQQTGGPWAQLVAATQEVLAPRGAACSLTFPVAYQPTITAVQPHLTAVMLPTCSGQTLVGSQIQLPQAAPAQPVIPVANDMRVPFGSHKTTAQLGTQFAPPCVIFTQQFPDTQTCIQTTGPQLSVGQQAVGPVPAASSPYLASQYNLLSVDSNALSLTAAAATSSSALVSAQNHVTNLQNSFSMTLNTSTNPAVHTTFPSKIQSVTDYMHVRRKCIEMNQFCSKVHNSHPFDLLHTMTVFQHNLTKLPTIRKDADITLMKKLLDIYERRDAKEALMDTGELDTSMNVQAMDDLCNFIYKRAEKSKIGTELEHEEPTKLRWLPYGLNPDRNFFRTTKTGASLLYEAPLGQTQSIYRIQDYESAGPYLPWGLFLEAIVCGLSWMDIAECFPLGLIIEPARYYRSQLYTSCVAKSVHSASGFDIVKVRYPQRVPDVLMINEPKLDAHILGLSKLKMLATAVQQLIPRIYKLPLPSFKNQKLFDYLNQELMEFVLCTHNKHQFCEYSRVFNYEDANESSLRSLISVEIQGQRAYSPHNKLIKIAVIEKTSRKWCKAVQGFTGRGLFELVEYSNTMSCLIEHQVLQYDGEGSSSSSKTTVTTTTAAAGVVTRNQLMLPPHEEIIQVSGNSDVEESKRKLGCKQYPELLSVNSRHTLTQSSQFTSTAASGSSDAATVVIHEVAPAPLLLGQDYAPINFNAVQHSSNAQGQTAPLTKSHTYYKDNSSQGSVDWGSPEIKLKKASCFASSSVSPPSFVQLQSAM
+>sp|Q9H2X6|HIPK2_HUMAN Homeodomain-interacting protein kinase 2 OS=Homo sapiens OX=9606 GN=HIPK2 PE=1 SV=2
+MAPVYEGMASHVQVFSPHTLQSSAFCSVKKLKIEPSSNWDMTGYGSHSKVYSQSKNIPLSQPATTTVSTSLPVPNPSLPYEQTIVFPGSTGHIVVTSASSTSVTGQVLGGPHNLMRRSTVSLLDTYQKCGLKRKSEEIENTSSVQIIEEHPPMIQNNASGATVATATTSTATSKNSGSNSEGDYQLVQHEVLCSMTNTYEVLEFLGRGTFGQVVKCWKRGTNEIVAIKILKNHPSYARQGQIEVSILARLSTESADDYNFVRAYECFQHKNHTCLVFEMLEQNLYDFLKQNKFSPLPLKYIRPVLQQVATALMKLKSLGLIHADLKPENIMLVDPSRQPYRVKVIDFGSASHVSKAVCSTYLQSRYYRAPEIILGLPFCEAIDMWSLGCVIAELFLGWPLYPGASEYDQIRYISQTQGLPAEYLLSAGTKTTRFFNRDTDSPYPLWRLKTPDDHEAETGIKSKEARKYIFNCLDDMAQVNMTTDLEGSDMLVEKADRREFIDLLKKMLTIDADKRITPIETLNHPFVTMTHLLDFPHSTHVKSCFQNMEICKRRVNMYDTVNQSKTPFITHVAPSTSTNLTMTFNNQLTTVHNQAPSSTSATISLANPEVSILNYPSTLYQPSAASMAAVAQRSMPLQTGTAQICARPDPFQQALIVCPPGFQGLQASPSKHAGYSVRMENAVPIVTQAPGAQPLQIQPGLLAQQAWPSGTQQILLPPAWQQLTGVATHTSVQHATVIPETMAGTQQLADWRNTHAHGSHYNPIMQQPALLTGHVTLPAAQPLNVGVAHVMRQQPTSTTSSRKSKQHQSSVRNVSTCEVSSSQAISSPQRSKRVKENTPPRCAMVHSSPACSTSVTCGWGDVASSTTRERQRQTIVIPDTPSPTVSVITISSDTDEEEEQKHAPTSTVSKQRKNVISCVTVHDSPYSDSSSNTSPYSVQQRAGHNNANAFDTKGSLENHCTGNPRTIIVPPLKTQASEVLVECDSLVPVNTSHHSSSYKSKSSSNVTSTSGHSSGSSSGAITYRQQRPGPHFQQQQPLNLSQAQQHITTDRTGSHRRQQAYITPTMAQAPYSFPHNSPSHGTVHPHLAAAAAAAHLPTQPHLYTYTAPAALGSTGTVAHLVASQGSARHTVQHTAYPASIVHQVPVSMGPRVLPSPTIHPSQYPAQFAHQTYISASPASTVYTGYPLSPAKVNQYPYI
+>DECOY_sp|Q9H2X6|HIPK2_HUMAN Homeodomain-interacting protein kinase 2 OS=Homo sapiens OX=9606 GN=HIPK2 PE=1 SV=2
+IYPYQNVKAPSLPYGTYVTSAPSASIYTQHAFQAPYQSPHITPSPLVRPGMSVPVQHVISAPYATHQVTHRASGQSAVLHAVTGTSGLAAPATYTYLHPQTPLHAAAAAAALHPHVTGHSPSNHPFSYPAQAMTPTIYAQQRRHSGTRDTTIHQQAQSLNLPQQQQFHPGPRQQRYTIAGSSSGSSHGSTSTVNSSSKSKYSSSHHSTNVPVLSDCEVLVESAQTKLPPVIITRPNGTCHNELSGKTDFANANNHGARQQVSYPSTNSSSDSYPSDHVTVCSIVNKRQKSVTSTPAHKQEEEEDTDSSITIVSVTPSPTDPIVITQRQRERTTSSAVDGWGCTVSTSCAPSSHVMACRPPTNEKVRKSRQPSSIAQSSSVECTSVNRVSSQHQKSKRSSTTSTPQQRMVHAVGVNLPQAAPLTVHGTLLAPQQMIPNYHSGHAHTNRWDALQQTGAMTEPIVTAHQVSTHTAVGTLQQWAPPLLIQQTGSPWAQQALLGPQIQLPQAGPAQTVIPVANEMRVSYGAHKSPSAQLGQFGPPCVILAQQFPDPRACIQATGTQLPMSRQAVAAMSAASPQYLTSPYNLISVEPNALSITASTSSPAQNHVTTLQNNFTMTLNTSTSPAVHTIFPTKSQNVTDYMNVRRKCIEMNQFCSKVHTSHPFDLLHTMTVFPHNLTEIPTIRKDADITLMKKLLDIFERRDAKEVLMDSGELDTTMNVQAMDDLCNFIYKRAEKSKIGTEAEHDDPTKLRWLPYPSDTDRNFFRTTKTGASLLYEAPLGQTQSIYRIQDYESAGPYLPWGLFLEAIVCGLSWMDIAECFPLGLIIEPARYYRSQLYTSCVAKSVHSASGFDIVKVRYPQRSPDVLMINEPKLDAHILGLSKLKMLATAVQQLVPRIYKLPLPSFKNQKLFDYLNQELMEFVLCTHNKHQFCEYARVFNYDDASETSLRALISVEIQGQRAYSPHNKLIKIAVIENTGRKWCKVVQGFTGRGLFELVEYTNTMSCLVEHQVLQYDGESNSGSNKSTATSTTATAVTAGSANNQIMPPHEEIIQVSSTNEIEESKRKLGCKQYTDLLSVTSRRMLNHPGGLVQGTVSTSSASTVVIHGTSGPFVITQEYPLSPNPVPLSTSVTTTAPQSLPINKSQSYVKSHSGYGTMDWNSSPEIKLKKVSCFASSQLTHPSFVQVHSAMGEYVPAM
+>sp|Q9BW71|HIRP3_HUMAN HIRA-interacting protein 3 OS=Homo sapiens OX=9606 GN=HIRIP3 PE=1 SV=3
+MAREKEMQEFTRSFFRGRPDLSTLTHSIVRRRYLAHSGRSHLEPEEKQALKRLVEEELLKMQVDEAASREDKLDLTKKGKRPPTPCSDPERKRFRFNSESESGSEASSPDYFGPPAKNGVAAEVSPAKEENPRRASKAVEESSDEERQRDLPAQRGEESSEEEEKGYKGKTRKKPVVKKQAPGKASVSRKQAREESEESEAEPVQRTAKKVEGNKGTKSLKESEQESEEEILAQKKEQREEEVEEEEKEEDEEKGDWKPRTRSNGRRKSAREERSCKQKSQAKRLLGDSDSEEEQKEAASSGDDSGRDREPPVQRKSEDRTQLKGGKRLSGSSEDEEDSGKGEPTAKGSRKMARLGSTSGEESDLEREVSDSEAGGGPQGERKNRSSKKSSRKGRTRSSSSSSDGSPEAKGGKAGSGRRGEDHPAVMRLKRYIRACGAHRNYKKLLGSCCSHKERLSILRAELEALGMKGTPSLGKCRALKEQREEAAEVASLDVANIISGSGRPRRRTAWNPLGEAAPPGELYRRTLDSDEERPRPAPPDWSHMRGIISSDGESN
+>DECOY_sp|Q9BW71|HIRP3_HUMAN HIRA-interacting protein 3 OS=Homo sapiens OX=9606 GN=HIRIP3 PE=1 SV=3
+NSEGDSSIIGRMHSWDPPAPRPREEDSDLTRRYLEGPPAAEGLPNWATRRRPRGSGSIINAVDLSAVEAAEERQEKLARCKGLSPTGKMGLAELEARLISLREKHSCCSGLLKKYNRHAGCARIYRKLRMVAPHDEGRRGSGAKGGKAEPSGDSSSSSSRTRGKRSSKKSSRNKREGQPGGGAESDSVERELDSEEGSTSGLRAMKRSGKATPEGKGSDEEDESSGSLRKGGKLQTRDESKRQVPPERDRGSDDGSSAAEKQEEESDSDGLLRKAQSKQKCSREERASKRRGNSRTRPKWDGKEEDEEKEEEEVEEERQEKKQALIEEESEQESEKLSKTGKNGEVKKATRQVPEAESEESEERAQKRSVSAKGPAQKKVVPKKRTKGKYGKEEEESSEEGRQAPLDRQREEDSSEEVAKSARRPNEEKAPSVEAAVGNKAPPGFYDPSSAESGSESESNFRFRKREPDSCPTPPRKGKKTLDLKDERSAAEDVQMKLLEEEVLRKLAQKEEPELHSRGSHALYRRRVISHTLTSLDPRGRFFSRTFEQMEKERAM
+>sp|Q13547|HDAC1_HUMAN Histone deacetylase 1 OS=Homo sapiens OX=9606 GN=HDAC1 PE=1 SV=1
+MAQTQGTRRKVCYYYDGDVGNYYYGQGHPMKPHRIRMTHNLLLNYGLYRKMEIYRPHKANAEEMTKYHSDDYIKFLRSIRPDNMSEYSKQMQRFNVGEDCPVFDGLFEFCQLSTGGSVASAVKLNKQQTDIAVNWAGGLHHAKKSEASGFCYVNDIVLAILELLKYHQRVLYIDIDIHHGDGVEEAFYTTDRVMTVSFHKYGEYFPGTGDLRDIGAGKGKYYAVNYPLRDGIDDESYEAIFKPVMSKVMEMFQPSAVVLQCGSDSLSGDRLGCFNLTIKGHAKCVEFVKSFNLPMLMLGGGGYTIRNVARCWTYETAVALDTEIPNELPYNDYFEYFGPDFKLHISPSNMTNQNTNEYLEKIKQRLFENLRMLPHAPGVQMQAIPEDAIPEESGDEDEDDPDKRISICSSDKRIACEEEFSDSEEEGEGGRKNSSNFKKAKRVKTEDEKEKDPEEKKEVTEEEKTKEEKPEAKGVKEEVKLA
+>DECOY_sp|Q13547|HDAC1_HUMAN Histone deacetylase 1 OS=Homo sapiens OX=9606 GN=HDAC1 PE=1 SV=1
+ALKVEEKVGKAEPKEEKTKEEETVEKKEEPDKEKEDETKVRKAKKFNSSNKRGGEGEEESDSFEEECAIRKDSSCISIRKDPDDEDEDGSEEPIADEPIAQMQVGPAHPLMRLNEFLRQKIKELYENTNQNTMNSPSIHLKFDPGFYEFYDNYPLENPIETDLAVATEYTWCRAVNRITYGGGGLMLMPLNFSKVFEVCKAHGKITLNFCGLRDGSLSDSGCQLVVASPQFMEMVKSMVPKFIAEYSEDDIGDRLPYNVAYYKGKGAGIDRLDGTGPFYEGYKHFSVTMVRDTTYFAEEVGDGHHIDIDIYLVRQHYKLLELIALVIDNVYCFGSAESKKAHHLGGAWNVAIDTQQKNLKVASAVSGGTSLQCFEFLGDFVPCDEGVNFRQMQKSYESMNDPRISRLFKIYDDSHYKTMEEANAKHPRYIEMKRYLGYNLLLNHTMRIRHPKMPHGQGYYYNGVDGDYYYCVKRRTGQTQAM
+>sp|Q02577|HEN2_HUMAN Helix-loop-helix protein 2 OS=Homo sapiens OX=9606 GN=NHLH2 PE=1 SV=1
+MMLSPDQAADSDHPSSAHSDPESLGGTDTKVLGSVSDLEPVEEAEGDGKGGSRAALYPHPQQLSREEKRRRRRATAKYRSAHATRERIRVEAFNLAFAELRKLLPTLPPDKKLSKIEILRLAICYISYLNHVLDV
+>DECOY_sp|Q02577|HEN2_HUMAN Helix-loop-helix protein 2 OS=Homo sapiens OX=9606 GN=NHLH2 PE=1 SV=1
+VDLVHNLYSIYCIALRLIEIKSLKKDPPLTPLLKRLEAFALNFAEVRIRERTAHASRYKATARRRRRKEERSLQQPHPYLAARSGGKGDGEAEEVPELDSVSGLVKTDTGGLSEPDSHASSPHDSDAAQDPSLMM
+>sp|Q15751|HERC1_HUMAN Probable E3 ubiquitin-protein ligase HERC1 OS=Homo sapiens OX=9606 GN=HERC1 PE=1 SV=2
+MATMIPPVKLKWLEHLNSSWITEDSESIATREGVAVLYSKLVSNKEVVPLPQQVLCLKGPQLPDFERESLSSDEQDHYLDALLSSQLALAKMVCSDSPFAGALRKRLLVLQRVFYALSNKYHDKGKVKQQQHSPESSSGSADVHSVSERPRSSTDALIEMGVRTGLSLLFALLRQSWMMPVSGPGLSLCNDVIHTAIEVVSSLPPLSLANESKIPPMGLDCLSQVTTFLKGVTIPNSGADTLGRRLASELLLGLAAQRGSLRYLLEWIEMALGASAVVHTMEKGKLLSSQEGMISFDCFMTILMQMRRSLGSSADRSQWREPTRTSDGLCSLYEAALCLFEEVCRMASDYSRTCASPDSIQTGDAPIVSETCEVYVWGSNSSHQLVEGTQEKILQPKLAPSFSDAQTIEAGQYCTFVISTDGSVRACGKGSYGRLGLGDSNNQSTLKKLTFEPHRSIKKVSSSKGSDGHTLAFTTEGEVFSWGDGDYGKLGHGNSSTQKYPKLIQGPLQGKVVVCVSAGYRHSAAVTEDGELYTWGEGDFGRLGHGDSNSRNIPTLVKDISNVGEVSCGSSHTIALSKDGRTVWSFGGGDNGKLGHGDTNRVYKPKVIEALQGMFIRKVCAGSQSSLALTSTGQVYAWGCGACLGCGSSEATALRPKLIEELAATRIVDVSIGDSHCLALSHDNEVYAWGNNSMGQCGQGNSTGPITKPKKVSGLDGIAIQQISAGTSHSLAWTALPRDRQVVAWHRPYCVDLEESTFSHLRSFLERYCDKINSEIPPLPFPSSREHHSFLKLCLKLLSNHLALALAGGVATSILGRQAGPLRNLLFRLMDSTVPDEIQEVVIETLSVGATMLLPPLRERMELLHSLLPQGPDRWESLSKGQRMQLDIILTSLQDHTHVASLLGYSSPSDAADLSSVCTGYGNLSDQPYGTQSCHPDTHLAEILMKTLLRNLGFYTDQAFGELEKNSDKFLLGTSSSENSQPAHLHELLCSLQKQLLAFCHINNISENSSSVALLHKHLQLLLPHATDIYSRSANLLKESPWNGSVGEKLRDVIYVSAAGSMLCQIVNSLLLLPVSVARPLLSYLLDLLPPLDCLNRLLPAADLLEDQELQWPLHGGPELIDPAGLPLPQPAQSWVWLVDLERTIALLIGRCLGGMLQGSPVSPEEQDTAYWMKTPLFSDGVEMDTPQLDKCMSCLLEVALSGNEEQKPFDYKLRPEIAVYVDLALGCSKEPARSLWISMQDYAVSKDWDSATLSNESLLDTVSRFVLAALLKHTNLLSQACGESRYQPGKHLSEVYRCVYKVRSRLLACKNLELIQTRSSSRDRWISENQDSADVDPQEHSFTRTIDEEAEMEEQAERDREEGHPEPEDEEEEREHEVMTAGKIFQCFLSAREVARSRDRDRMNSGAGSGARADDPPPQSQQERRVSTDLPEGQDVYTAACNSVIHRCALLILGVSPVIDELQKRREEGQLQQPSTSASEGGGLMTRSESLTAESRLVHTSPNYRLIKSRSESDLSQPESDEEGYALSGRRNVDLDLAASHRKRGPMHSQLESLSDSWARLKHSRDWLCNSSYSFESDFDLTKSLGVHTLIENVVSFVSGDVGNAPGFKEPEESMSTSPQASIIAMEQQQLRAELRLEALHQILVLLSGMEEKGSISLAGSRLSSGFQSSTLLTSVRLQFLAGCFGLGTVGHTGGKGESGRLHHYQDGIRAAKRNIQIEIQVAVHKIYQQLSATLERALQANKHHIEAQQRLLLVTVFALSVHYQPVDVSLAISTGLLNVLSQLCGTDTMLGQPLQLLPKTGVSQLSTALKVASTRLLQILAITTGTYADKLSPKVVQSLLDLLCSQLKNLLSQTGVLHMASFGEGEQEDGEEEEKKVDSSGETEKKDFRAALRKQHAAELHLGDFLVFLRRVVSSKAIQSKMASPKWTEVLLNIASQKCSSGIPLVGNLRTRLLALHVLEAVLPACESGVEDDQMAQIVERLFSLLSDCMWETPIAQAKHAIQIKEKEQEIKLQKQGELEEEDENLPIQEVSFDPEKAQCCLVENGQILTHGSGGKGYGLASTGVTSGCYQWKFYIVKENRGNEGTCVGVSRWPVHDFNHRTTSDMWLYRAYSGNLYHNGEQTLTLSSFTQGDFITCVLDMEARTISFGKNGEEPKLAFEDVDAAELYPCVMFYSSNPGEKVKICDMQMRGTPRDLLPGDPICSPVAAVLAEATIQLIRILHRTDRWTYCINKKMMERLHKIKICIKESGQKLKKSRSVQSREENEMREEKESKEEEKGKHTRHGLADLSELQLRTLCIEVWPVLAVIGGVDAGLRVGGRCVHKQTGRHATLLGVVKEGSTSAKVQWDEAEITISFPTFWSPSDTPLYNLEPCEPLPFDVARFRGLTASVLLDLTYLTGVHEDMGKQSTKRHEKKHRHESEEKGDVEQKPESESALDMRTGLTSDDVKSQSTTSSKSENEIASFSLDPTLPSVESQHQITEGKRKNHEHMSKNHDVAQSEIRAVQLSYLYLGAMKSLSALLGCSKYAELLLIPKVLAENGHNSDCASSPVVHEDVEMRAALQFLMRHMVKRAVMRSPIKRALGLADLERAQAMIYKLVVHGLLEDQFGGKIKQEIDQQAEESDPAQQAQTPVTTSPSASSTTSFMSSSLEDTTTATTPVTDTETVPASESPGVMPLSLLRQMFSSYPTTTVLPTRRAQTPPISSLPTSPSDEVGRRQSLTSPDSQSARPANRTALSDPSSRLSTSPPPPAIAVPLLEMGFSLRQIAKAMEATGARGEADAQNITVLAMWMIEHPGHEDEEEPQSGSTADSRPGAAVLGSGGKSNDPCYLQSPGDIPSADAAEMEEGFSESPDNLDHTENAASGSGPSARGRSAVTRRHKFDLAARTLLARAAGLYRSVQAHRNQSRREGISLQQDPGALYDFNLDEELEIDLDDEAMEAMFGQDLTSDNDILGMWIPEVLDWPTWHVCESEDREEVVVCELCECSVVSFNQHMKRNHPGCGRSANRQGYRSNGSYVDGWFGGECGSGNPYYLLCGTCREKYLAMKTKSKSTSSERYKGQAPDLIGKQDSVYEEDWDMLDVDEDEKLTGEEEFELLAGPLGLNDRRIVPEPVQFPDSDPLGASVAMVTATNSMEETLMQIGCHGSVEKSSSGRITLGEQAAALANPHDRVVALRRVTAAAQVLLARTMVMRALSLLSVSGSSCSLAAGLESLGLTDIRTLVRLMCLAAAGRAGLSTSPSAMASTSERSRGGHSKANKPISCLAYLSTAVGCLASNAPSAAKLLVQLCTQNLISAATGVNLTTVDDSIQRKFLPSFLRGIAEENKLVTSPNFVVTQALVALLADKGAKLRPNYDKSEVEKKGPLELANALAACCLSSRLSSQHRQWAAQQLVRTLAAHDRDNQTTLQTLADMGGDLRKCSFIKLEAHQNRVMTCVWCNKKGLLATSGNDGTIRVWNVTKKQYSLQQTCVFNRLEGDAEESLGSPSDPSFSPVSWSISGKYLAGALEKMVNIWQVNGGKGLVDIQPHWVSALAWPEEGPATAWSGESPELLLVGRMDGSLGLIEVVDVSTMHRRELEHCYRKDVSVTCIAWFSEDRPFAVGYFDGKLLLGTKEPLEKGGIVLIDAHKDTLISMKWDPTGHILMTCAKEDSVKLWGSISGCWCCLHSLCHPSIVNGIAWCRLPGKGSKLQLLMATGCQSGLVCVWRIPQDTTQTNVTSAEGWWEQESNCQDGYRKSSGAKCVYQLRGHITPVRTVAFSSDGLALVSGGLGGLMNIWSLRDGSVLQTVVIGSGAIQTTVWIPEVGVAACSNRSKDVLVVNCTAEWAAANHVLATCRTALKQQGVLGLNMAPCMRAFLERLPMMLQEQYAYEKPHVVCGDQLVHSPYMQCLASLAVGLHLDQLLCNPPVPPHHQNCLPDPASWNPNEWAWLECFSTTIKAAEALTNGAQFPESFTVPDLEPVPEDELVFLMDNSKWINGMDEQIMSWATSRPEDWHLGGKCDVYLWGAGRHGQLAEAGRNVMVPAAAPSFSQAQQVICGQNCTFVIQANGTVLACGEGSYGRLGQGNSDDLHVLTVISALQGFVVTQLVTSCGSDGHSMALTESGEVFSWGDGDYGKLGHGNSDRQRRPRQIEALQGEEVVQMSCGFKHSAVVTSDGKLFTFGNGDYGRLGLGNTSNKKLPERVTALEGYQIGQVACGLNHTLAVSADGSMVWAFGDGDYGKLGLGNSTAKSSPQKIDVLCGIGIKKVACGTQFSVALTKDGHVYTFGQDRLIGLPEGRARNHNRPQQIPVLAGVIIEDVAVGAEHTLALASNGDVYAWGSNSEGQLGLGHTNHVREPTLVTGLQGKNVRQISAGRCHSAAWTAPPVPPRAPGVSVPLQLGLPDTVPPQYGALREVSIHTVRARLRLLYHFSDLMYSSWRLLNLSPNNQNSTSHYNAGTWGIVQGQLRPLLAPRVYTLPMVRSIGKTMVQGKNYGPQITVKRISTRGRKCKPIFVQIARQVVKLNASDLRLPSRAWKVKLVGEGADDAGGVFDDTITEMCQELETGIVDLLIPSPNATAEVGYNRDRFLFNPSACLDEHLMQFKFLGILMGVAIRTKKPLDLHLAPLVWKQLCCVPLTLEDLEEVDLLYVQTLNSILHIEDSGITEESFHEMIPLDSFVGQSADGKMVPIIPGGNSIPLTFSNRKEYVERAIEYRLHEMDRQVAAVREGMSWIVPVPLLSLLTAKQLEQMVCGMPEISVEVLKKVVRYREVDEQHQLVQWFWHTLEEFSNEERVLFMRFVSGRSRLPANTADISQRFQIMKVDRPYDSLPTSQTCFFQLRLPPYSSQLVMAERLRYAINNCRSIDMDNYMLSRNVDNAEGSDTDY
+>DECOY_sp|Q15751|HERC1_HUMAN Probable E3 ubiquitin-protein ligase HERC1 OS=Homo sapiens OX=9606 GN=HERC1 PE=1 SV=2
+YDTDSGEANDVNRSLMYNDMDISRCNNIAYRLREAMVLQSSYPPLRLQFFCTQSTPLSDYPRDVKMIQFRQSIDATNAPLRSRGSVFRMFLVREENSFEELTHWFWQVLQHQEDVERYRVVKKLVEVSIEPMGCVMQELQKATLLSLLPVPVIWSMGERVAAVQRDMEHLRYEIAREVYEKRNSFTLPISNGGPIIPVMKGDASQGVFSDLPIMEHFSEETIGSDEIHLISNLTQVYLLDVEELDELTLPVCCLQKWVLPALHLDLPKKTRIAVGMLIGLFKFQMLHEDLCASPNFLFRDRNYGVEATANPSPILLDVIGTELEQCMETITDDFVGGADDAGEGVLKVKWARSPLRLDSANLKVVQRAIQVFIPKCKRGRTSIRKVTIQPGYNKGQVMTKGISRVMPLTYVRPALLPRLQGQVIGWTGANYHSTSNQNNPSLNLLRWSSYMLDSFHYLLRLRARVTHISVERLAGYQPPVTDPLGLQLPVSVGPARPPVPPATWAASHCRGASIQRVNKGQLGTVLTPERVHNTHGLGLQGESNSGWAYVDGNSALALTHEAGVAVDEIIVGALVPIQQPRNHNRARGEPLGILRDQGFTYVHGDKTLAVSFQTGCAVKKIGIGCLVDIKQPSSKATSNGLGLKGYDGDGFAWVMSGDASVALTHNLGCAVQGIQYGELATVREPLKKNSTNGLGLRGYDGNGFTFLKGDSTVVASHKFGCSMQVVEEGQLAEIQRPRRQRDSNGHGLKGYDGDGWSFVEGSETLAMSHGDSGCSTVLQTVVFGQLASIVTLVHLDDSNGQGLRGYSGEGCALVTGNAQIVFTCNQGCIVQQAQSFSPAAAPVMVNRGAEALQGHRGAGWLYVDCKGGLHWDEPRSTAWSMIQEDMGNIWKSNDMLFVLEDEPVPELDPVTFSEPFQAGNTLAEAAKITTSFCELWAWENPNWSAPDPLCNQHHPPVPPNCLLQDLHLGVALSALCQMYPSHVLQDGCVVHPKEYAYQEQLMMPLRELFARMCPAMNLGLVGQQKLATRCTALVHNAAAWEATCNVVLVDKSRNSCAAVGVEPIWVTTQIAGSGIVVTQLVSGDRLSWINMLGGLGGSVLALGDSSFAVTRVPTIHGRLQYVCKAGSSKRYGDQCNSEQEWWGEASTVNTQTTDQPIRWVCVLGSQCGTAMLLQLKSGKGPLRCWAIGNVISPHCLSHLCCWCGSISGWLKVSDEKACTMLIHGTPDWKMSILTDKHADILVIGGKELPEKTGLLLKGDFYGVAFPRDESFWAICTVSVDKRYCHELERRHMTSVDVVEILGLSGDMRGVLLLEPSEGSWATAPGEEPWALASVWHPQIDVLGKGGNVQWINVMKELAGALYKGSISWSVPSFSPDSPSGLSEEADGELRNFVCTQQLSYQKKTVNWVRITGDNGSTALLGKKNCWVCTMVRNQHAELKIFSCKRLDGGMDALTQLTTQNDRDHAALTRVLQQAAWQRHQSSLRSSLCCAALANALELPGKKEVESKDYNPRLKAGKDALLAVLAQTVVFNPSTVLKNEEAIGRLFSPLFKRQISDDVTTLNVGTAASILNQTCLQVLLKAASPANSALCGVATSLYALCSIPKNAKSHGGRSRESTSAMASPSTSLGARGAAALCMLRVLTRIDTLGLSELGAALSCSSGSVSLLSLARMVMTRALLVQAAATVRRLAVVRDHPNALAAAQEGLTIRGSSSKEVSGHCGIQMLTEEMSNTATVMAVSAGLPDSDPFQVPEPVIRRDNLGLPGALLEFEEEGTLKEDEDVDLMDWDEEYVSDQKGILDPAQGKYRESSTSKSKTKMALYKERCTGCLLYYPNGSGCEGGFWGDVYSGNSRYGQRNASRGCGPHNRKMHQNFSVVSCECLECVVVEERDESECVHWTPWDLVEPIWMGLIDNDSTLDQGFMAEMAEDDLDIELEEDLNFDYLAGPDQQLSIGERRSQNRHAQVSRYLGAARALLTRAALDFKHRRTVASRGRASPGSGSAANETHDLNDPSESFGEEMEAADASPIDGPSQLYCPDNSKGGSGLVAAGPRSDATSGSQPEEEDEHGPHEIMWMALVTINQADAEGRAGTAEMAKAIQRLSFGMELLPVAIAPPPPSTSLRSSPDSLATRNAPRASQSDPSTLSQRRGVEDSPSTPLSSIPPTQARRTPLVTTTPYSSFMQRLLSLPMVGPSESAPVTETDTVPTTATTTDELSSSMFSTTSSASPSTTVPTQAQQAPDSEEAQQDIEQKIKGGFQDELLGHVVLKYIMAQARELDALGLARKIPSRMVARKVMHRMLFQLAARMEVDEHVVPSSACDSNHGNEALVKPILLLEAYKSCGLLASLSKMAGLYLYSLQVARIESQAVDHNKSMHEHNKRKGETIQHQSEVSPLTPDLSFSAIENESKSSTTSQSKVDDSTLGTRMDLASESEPKQEVDGKEESEHRHKKEHRKTSQKGMDEHVGTLYTLDLLVSATLGRFRAVDFPLPECPELNYLPTDSPSWFTPFSITIEAEDWQVKASTSGEKVVGLLTAHRGTQKHVCRGGVRLGADVGGIVALVPWVEICLTRLQLESLDALGHRTHKGKEEEKSEKEERMENEERSQVSRSKKLKQGSEKICIKIKHLREMMKKNICYTWRDTRHLIRILQITAEALVAAVPSCIPDGPLLDRPTGRMQMDCIKVKEGPNSSYFMVCPYLEAADVDEFALKPEEGNKGFSITRAEMDLVCTIFDGQTFSSLTLTQEGNHYLNGSYARYLWMDSTTRHNFDHVPWRSVGVCTGENGRNEKVIYFKWQYCGSTVGTSALGYGKGGSGHTLIQGNEVLCCQAKEPDFSVEQIPLNEDEEELEGQKQLKIEQEKEKIQIAHKAQAIPTEWMCDSLLSFLREVIQAMQDDEVGSECAPLVAELVHLALLRTRLNGVLPIGSSCKQSAINLLVETWKPSAMKSQIAKSSVVRRLFVLFDGLHLEAAHQKRLAARFDKKETEGSSDVKKEEEEGDEQEGEGFSAMHLVGTQSLLNKLQSCLLDLLSQVVKPSLKDAYTGTTIALIQLLRTSAVKLATSLQSVGTKPLLQLPQGLMTDTGCLQSLVNLLGTSIALSVDVPQYHVSLAFVTVLLLRQQAEIHHKNAQLARELTASLQQYIKHVAVQIEIQINRKAARIGDQYHHLRGSEGKGGTHGVTGLGFCGALFQLRVSTLLTSSQFGSSLRSGALSISGKEEMGSLLVLIQHLAELRLEARLQQQEMAIISAQPSTSMSEEPEKFGPANGVDGSVFSVVNEILTHVGLSKTLDFDSEFSYSSNCLWDRSHKLRAWSDSLSELQSHMPGRKRHSAALDLDVNRRGSLAYGEEDSEPQSLDSESRSKILRYNPSTHVLRSEATLSESRTMLGGGESASTSPQQLQGEERRKQLEDIVPSVGLILLACRHIVSNCAATYVDQGEPLDTSVRREQQSQPPPDDARAGSGAGSNMRDRDRSRAVERASLFCQFIKGATMVEHEREEEEDEPEPHGEERDREAQEEMEAEEDITRTFSHEQPDVDASDQNESIWRDRSSSRTQILELNKCALLRSRVKYVCRYVESLHKGPQYRSEGCAQSLLNTHKLLAALVFRSVTDLLSENSLTASDWDKSVAYDQMSIWLSRAPEKSCGLALDVYVAIEPRLKYDFPKQEENGSLAVELLCSMCKDLQPTDMEVGDSFLPTKMWYATDQEEPSVPSGQLMGGLCRGILLAITRELDVLWVWSQAPQPLPLGAPDILEPGGHLPWQLEQDELLDAAPLLRNLCDLPPLLDLLYSLLPRAVSVPLLLLSNVIQCLMSGAASVYIVDRLKEGVSGNWPSEKLLNASRSYIDTAHPLLLQLHKHLLAVSSSNESINNIHCFALLQKQLSCLLEHLHAPQSNESSSTGLLFKDSNKELEGFAQDTYFGLNRLLTKMLIEALHTDPHCSQTGYPQDSLNGYGTCVSSLDAADSPSSYGLLSAVHTHDQLSTLIIDLQMRQGKSLSEWRDPGQPLLSHLLEMRERLPPLLMTAGVSLTEIVVEQIEDPVTSDMLRFLLNRLPGAQRGLISTAVGGALALALHNSLLKLCLKLFSHHERSSPFPLPPIESNIKDCYRELFSRLHSFTSEELDVCYPRHWAVVQRDRPLATWALSHSTGASIQQIAIGDLGSVKKPKTIPGTSNGQGCQGMSNNGWAYVENDHSLALCHSDGISVDVIRTAALEEILKPRLATAESSGCGLCAGCGWAYVQGTSTLALSSQSGACVKRIFMGQLAEIVKPKYVRNTDGHGLKGNDGGGFSWVTRGDKSLAITHSSGCSVEGVNSIDKVLTPINRSNSDGHGLRGFDGEGWTYLEGDETVAASHRYGASVCVVVKGQLPGQILKPYKQTSSNGHGLKGYDGDGWSFVEGETTFALTHGDSGKSSSVKKISRHPEFTLKKLTSQNNSDGLGLRGYSGKGCARVSGDTSIVFTCYQGAEITQADSFSPALKPQLIKEQTGEVLQHSSNSGWVYVECTESVIPADGTQISDPSACTRSYDSAMRCVEEFLCLAAEYLSCLGDSTRTPERWQSRDASSGLSRRMQMLITMFCDFSIMGEQSSLLKGKEMTHVVASAGLAMEIWELLYRLSGRQAALGLLLESALRRGLTDAGSNPITVGKLFTTVQSLCDLGMPPIKSENALSLPPLSSVVEIATHIVDNCLSLGPGSVPMMWSQRLLAFLLSLGTRVGMEILADTSSRPRESVSHVDASGSSSEPSHQQQKVKGKDHYKNSLAYFVRQLVLLRKRLAGAFPSDSCVMKALALQSSLLADLYHDQEDSSLSEREFDPLQPGKLCLVQQPLPVVEKNSVLKSYLVAVGERTAISESDETIWSSNLHELWKLKVPPIMTAM
+>sp|O95714|HERC2_HUMAN E3 ubiquitin-protein ligase HERC2 OS=Homo sapiens OX=9606 GN=HERC2 PE=1 SV=2
+MPSESFCLAAQARLDSKWLKTDIQLAFTRDGLCGLWNEMVKDGEIVYTGTESTQNGELPPRKDDSVEPSGTKKEDLNDKEKKDEEETPAPIYRAKSILDSWVWGKQPDVNELKECLSVLVKEQQALAVQSATTTLSALRLKQRLVILERYFIALNRTVFQENVKVKWKSSGISLPPVDKKSSRPAGKGVEGLARVGSRAALSFAFAFLRRAWRSGEDADLCSELLQESLDALRALPEASLFDESTVSSVWLEVVERATRFLRSVVTGDVHGTPATKGPGSIPLQDQHLALAILLELAVQRGTLSQMLSAILLLLQLWDSGAQETDNERSAQGTSAPLLPLLQRFQSIICRKDAPHSEGDMHLLSGPLSPNESFLRYLTLPQDNELAIDLRQTAVVVMAHLDRLATPCMPPLCSSPTSHKGSLQEVIGWGLIGWKYYANVIGPIQCEGLANLGVTQIACAEKRFLILSRNGRVYTQAYNSDTLAPQLVQGLASRNIVKIAAHSDGHHYLALAATGEVYSWGCGDGGRLGHGDTVPLEEPKVISAFSGKQAGKHVVHIACGSTYSAAITAEGELYTWGRGNYGRLGHGSSEDEAIPMLVAGLKGLKVIDVACGSGDAQTLAVTENGQVWSWGDGDYGKLGRGGSDGCKTPKLIEKLQDLDVVKVRCGSQFSIALTKDGQVYSWGKGDNQRLGHGTEEHVRYPKLLEGLQGKKVIDVAAGSTHCLALTEDSEVHSWGSNDQCQHFDTLRVTKPEPAALPGLDTKHIVGIACGPAQSFAWSSCSEWSIGLRVPFVVDICSMTFEQLDLLLRQVSEGMDGSADWPPPQEKECVAVATLNLLRLQLHAAISHQVDPEFLGLGLGSILLNSLKQTVVTLASSAGVLSTVQSAAQAVLQSGWSVLLPTAEERARALSALLPCAVSGNEVNISPGRRFMIDLLVGSLMADGGLESALHAAITAEIQDIEAKKEAQKEKEIDEQEANASTFHRSRTPLDKDLINTGICESSGKQCLPLVQLIQQLLRNIASQTVARLKDVARRISSCLDFEQHSRERSASLDLLLRFQRLLISKLYPGESIGQTSDISSPELMGVGSLLKKYTALLCTHIGDILPVAASIASTSWRHFAEVAYIVEGDFTGVLLPELVVSIVLLLSKNAGLMQEAGAVPLLGGLLEHLDRFNHLAPGKERDDHEELAWPGIMESFFTGQNCRNNEEVTLIRKADLENHNKDGGFWTVIDGKVYDIKDFQTQSLTGNSILAQFAGEDPVVALEAALQFEDTRESMHAFCVGQYLEPDQEIVTIPDLGSLSSPLIDTERNLGLLLGLHASYLAMSTPLSPVEIECAKWLQSSIFSGGLQTSQIHYSYNEEKDEDHCSSPGGTPASKSRLCSHRRALGDHSQAFLQAIADNNIQDHNVKDFLCQIERYCRQCHLTTPIMFPPEHPVEEVGRLLLCCLLKHEDLGHVALSLVHAGALGIEQVKHRTLPKSVVDVCRVVYQAKCSLIKTHQEQGRSYKEVCAPVIERLRFLFNELRPAVCNDLSIMSKFKLLSSLPRWRRIAQKIIRERRKKRVPKKPESTDDEEKIGNEESDLEEACILPHSPINVDKRPIAIKSPKDKWQPLLSTVTGVHKYKWLKQNVQGLYPQSPLLSTIAEFALKEEPVDVEKMRKCLLKQLERAEVRLEGIDTILKLASKNFLLPSVQYAMFCGWQRLIPEGIDIGEPLTDCLKDVDLIPPFNRMLLEVTFGKLYAWAVQNIRNVLMDASAKFKELGIQPVPLQTITNENPSGPSLGTIPQARFLLVMLSMLTLQHGANNLDLLLNSGMLALTQTALRLIGPSCDNVEEDMNASAQGASATVLEETRKETAPVQLPVSGPELAAMMKIGTRVMRGVDWKWGDQDGPPPGLGRVIGELGEDGWIRVQWDTGSTNSYRMGKEGKYDLKLAELPAAAQPSAEDSDTEDDSEAEQTERNIHPTAMMFTSTINLLQTLCLSAGVHAEIMQSEATKTLCGLLRMLVESGTTDKTSSPNRLVYREQHRSWCTLGFVRSIALTPQVCGALSSPQWITLLMKVVEGHAPFTATSLQRQILAVHLLQAVLPSWDKTERARDMKCLVEKLFDFLGSLLTTCSSDVPLLRESTLRRRRVRPQASLTATHSSTLAEEVVALLRTLHSLTQWNGLINKYINSQLRSITHSFVGRPSEGAQLEDYFPDSENPEVGGLMAVLAVIGGIDGRLRLGGQVMHDEFGEGTVTRITPKGKITVQFSDMRTCRVCPLNQLKPLPAVAFNVNNLPFTEPMLSVWAQLVNLAGSKLEKHKIKKSTKQAFAGQVDLDLLRCQQLKLYILKAGRALLSHQDKLRQILSQPAVQETGTVHTDDGAVVSPDLGDMSPEGPQPPMILLQQLLASATQPSPVKAIFDKQELEAAALAVCQCLAVESTHPSSPGFEDCSSSEATTPVAVQHIRPARVKRRKQSPVPALPIVVQLMEMGFSRRNIEFALKSLTGASGNASSLPGVEALVGWLLDHSDIQVTELSDADTVSDEYSDEEVVEDVDDAAYSMSTGAVVTESQTYKKRADFLSNDDYAVYVRENIQVGMMVRCCRAYEEVCEGDVGKVIKLDRDGLHDLNVQCDWQQKGGTYWVRYIHVELIGYPPPSSSSHIKIGDKVRVKASVTTPKYKWGSVTHQSVGVVKAFSANGKDIIVDFPQQSHWTGLLSEMELVPSIHPGVTCDGCQMFPINGSRFKCRNCDDFDFCETCFKTKKHNTRHTFGRINEPGQSAVFCGRSGKQLKRCHSSQPGMLLDSWSRMVKSLNVSSSVNQASRLIDGSEPCWQSSGSQGKHWIRLEIFPDVLVHRLKMIVDPADSSYMPSLVVVSGGNSLNNLIELKTININPSDTTVPLLNDCTEYHRYIEIAIKQCRSSGIDCKIHGLILLGRIRAEEEDLAAVPFLASDNEEEEDEKGNSGSLIRKKAAGLESAATIRTKVFVWGLNDKDQLGGLKGSKIKVPSFSETLSALNVVQVAGGSKSLFAVTVEGKVYACGEATNGRLGLGISSGTVPIPRQITALSSYVVKKVAVHSGGRHATALTVDGKVFSWGEGDDGKLGHFSRMNCDKPRLIEALKTKRIRDIACGSSHSAALTSSGELYTWGLGEYGRLGHGDNTTQLKPKMVKVLLGHRVIQVACGSRDAQTLALTDEGLVFSWGDGDFGKLGRGGSEGCNIPQNIERLNGQGVCQIECGAQFSLALTKSGVVWTWGKGDYFRLGHGSDVHVRKPQVVEGLRGKKIVHVAVGALHCLAVTDSGQVYAWGDNDHGQQGNGTTTVNRKPTLVQGLEGQKITRVACGSSHSVAWTTVDVATPSVHEPVLFQTARDPLGASYLGVPSDADSSAASNKISGASNSKPNRPSLAKILLSLDGNLAKQQALSHILTALQIMYARDAVVGALMPAAMIAPVECPSFSSAAPSDASAMASPMNGEECMLAVDIEDRLSPNPWQEKREIVSSEDAVTPSAVTPSAPSASARPFIPVTDDLGAASIIAETMTKTKEDVESQNKAAGPEPQALDEFTSLLIADDTRVVVDLLKLSVCSRAGDRGRDVLSAVLSGMGTAYPQVADMLLELCVTELEDVATDSQSGRLSSQPVVVESSHPYTDDTSTSGTVKIPGAEGLRVEFDRQCSTERRHDPLTVMDGVNRIVSVRSGREWSDWSSELRIPGDELKWKFISDGSVNGWGWRFTVYPIMPAAGPKELLSDRCVLSCPSMDLVTCLLDFRLNLASNRSIVPRLAASLAACAQLSALAASHRMWALQRLRKLLTTEFGQSININRLLGENDGETRALSFTGSALAALVKGLPEALQRQFEYEDPIVRGGKQLLHSPFFKVLVALACDLELDTLPCCAETHKWAWFRRYCMASRVAVALDKRTPLPRLFLDEVAKKIRELMADSENMDVLHESHDIFKREQDEQLVQWMNRRPDDWTLSAGGSGTIYGWGHNHRGQLGGIEGAKVKVPTPCEALATLRPVQLIGGEQTLFAVTADGKLYATGYGAGGRLGIGGTESVSTPTLLESIQHVFIKKVAVNSGGKHCLALSSEGEVYSWGEAEDGKLGHGNRSPCDRPRVIESLRGIEVVDVAAGGAHSACVTAAGDLYTWGKGRYGRLGHSDSEDQLKPKLVEALQGHRVVDIACGSGDAQTLCLTDDDTVWSWGDGDYGKLGRGGSDGCKVPMKIDSLTGLGVVKVECGSQFSVALTKSGAVYTWGKGDYHRLGHGSDDHVRRPRQVQGLQGKKVIAIATGSLHCVCCTEDGEVYTWGDNDEGQLGDGTTNAIQRPRLVAALQGKKVNRVACGSAHTLAWSTSKPASAGKLPAQVPMEYNHLQEIPIIALRNRLLLLHHLSELFCPCIPMFDLEGSLDETGLGPSVGFDTLRGILISQGKEAAFRKVVQATMVRDRQHGPVVELNRIQVKRSRSKGGLAGPDGTKSVFGQMCAKMSSFGPDSLLLPHRVWKVKFVGESVDDCGGGYSESIAEICEELQNGLTPLLIVTPNGRDESGANRDCYLLSPAARAPVHSSMFRFLGVLLGIAIRTGSPLSLNLAEPVWKQLAGMSLTIADLSEVDKDFIPGLMYIRDNEATSEEFEAMSLPFTVPSASGQDIQLSSKHTHITLDNRAEYVRLAINYRLHEFDEQVAAVREGMARVVPVPLLSLFTGYELETMVCGSPDIPLHLLKSVATYKGIEPSASLIQWFWEVMESFSNTERSLFLRFVWGRTRLPRTIADFRGRDFVIQVLDKYNPPDHFLPESYTCFFLLKLPRYSCKQVLEEKLKYAIHFCKSIDTDDYARIALTGEPAADDSSDDSDNEDVDSFASDSTQDYLTGH
+>DECOY_sp|O95714|HERC2_HUMAN E3 ubiquitin-protein ligase HERC2 OS=Homo sapiens OX=9606 GN=HERC2 PE=1 SV=2
+HGTLYDQTSDSAFSDVDENDSDDSSDDAAPEGTLAIRAYDDTDISKCFHIAYKLKEELVQKCSYRPLKLLFFCTYSEPLFHDPPNYKDLVQIVFDRGRFDAITRPLRTRGWVFRLFLSRETNSFSEMVEWFWQILSASPEIGKYTAVSKLLHLPIDPSGCVMTELEYGTFLSLLPVPVVRAMGERVAAVQEDFEHLRYNIALRVYEARNDLTIHTHKSSLQIDQGSASPVTFPLSMAEFEESTAENDRIYMLGPIFDKDVESLDAITLSMGALQKWVPEALNLSLPSGTRIAIGLLVGLFRFMSSHVPARAAPSLLYCDRNAGSEDRGNPTVILLPTLGNQLEECIEAISESYGGGCDDVSEGVFKVKWVRHPLLLSDPGFSSMKACMQGFVSKTGDPGALGGKSRSRKVQIRNLEVVPGHQRDRVMTAQVVKRFAAEKGQSILIGRLTDFGVSPGLGTEDLSGELDFMPICPCFLESLHHLLLLRNRLAIIPIEQLHNYEMPVQAPLKGASAPKSTSWALTHASGCAVRNVKKGQLAAVLRPRQIANTTGDGLQGEDNDGWTYVEGDETCCVCHLSGTAIAIVKKGQLGQVQRPRRVHDDSGHGLRHYDGKGWTYVAGSKTLAVSFQSGCEVKVVGLGTLSDIKMPVKCGDSGGRGLKGYDGDGWSWVTDDDTLCLTQADGSGCAIDVVRHGQLAEVLKPKLQDESDSHGLRGYRGKGWTYLDGAATVCASHAGGAAVDVVEIGRLSEIVRPRDCPSRNGHGLKGDEAEGWSYVEGESSLALCHKGGSNVAVKKIFVHQISELLTPTSVSETGGIGLRGGAGYGTAYLKGDATVAFLTQEGGILQVPRLTALAECPTPVKVKAGEIGGLQGRHNHGWGYITGSGGASLTWDDPRRNMWQVLQEDQERKFIDHSEHLVDMNESDAMLERIKKAVEDLFLRPLPTRKDLAVAVRSAMCYRRFWAWKHTEACCPLTDLELDCALAVLVKFFPSHLLQKGGRVIPDEYEFQRQLAEPLGKVLAALASGTFSLARTEGDNEGLLRNINISQGFETTLLKRLRQLAWMRHSAALASLQACAALSAALRPVISRNSALNLRFDLLCTVLDMSPCSLVCRDSLLEKPGAAPMIPYVTFRWGWGNVSGDSIFKWKLEDGPIRLESSWDSWERGSRVSVIRNVGDMVTLPDHRRETSCQRDFEVRLGEAGPIKVTGSTSTDDTYPHSSEVVVPQSSLRGSQSDTAVDELETVCLELLMDAVQPYATGMGSLVASLVDRGRDGARSCVSLKLLDVVVRTDDAILLSTFEDLAQPEPGAAKNQSEVDEKTKTMTEAIISAAGLDDTVPIFPRASASPASPTVASPTVADESSVIERKEQWPNPSLRDEIDVALMCEEGNMPSAMASADSPAASSFSPCEVPAIMAAPMLAGVVADRAYMIQLATLIHSLAQQKALNGDLSLLIKALSPRNPKSNSAGSIKNSAASSDADSPVGLYSAGLPDRATQFLVPEHVSPTAVDVTTWAVSHSSGCAVRTIKQGELGQVLTPKRNVTTTGNGQQGHDNDGWAYVQGSDTVALCHLAGVAVHVIKKGRLGEVVQPKRVHVDSGHGLRFYDGKGWTWVVGSKTLALSFQAGCEIQCVGQGNLREINQPINCGESGGRGLKGFDGDGWSFVLGEDTLALTQADRSGCAVQIVRHGLLVKVMKPKLQTTNDGHGLRGYEGLGWTYLEGSSTLAASHSSGCAIDRIRKTKLAEILRPKDCNMRSFHGLKGDDGEGWSFVKGDVTLATAHRGGSHVAVKKVVYSSLATIQRPIPVTGSSIGLGLRGNTAEGCAYVKGEVTVAFLSKSGGAVQVVNLASLTESFSPVKIKSGKLGGLQDKDNLGWVFVKTRITAASELGAAKKRILSGSNGKEDEEEENDSALFPVAALDEEEARIRGLLILGHIKCDIGSSRCQKIAIEIYRHYETCDNLLPVTTDSPNINITKLEILNNLSNGGSVVVLSPMYSSDAPDVIMKLRHVLVDPFIELRIWHKGQSGSSQWCPESGDILRSAQNVSSSVNLSKVMRSWSDLLMGPQSSHCRKLQKGSRGCFVASQGPENIRGFTHRTNHKKTKFCTECFDFDDCNRCKFRSGNIPFMQCGDCTVGPHISPVLEMESLLGTWHSQQPFDVIIDKGNASFAKVVGVSQHTVSGWKYKPTTVSAKVRVKDGIKIHSSSSPPPYGILEVHIYRVWYTGGKQQWDCQVNLDHLGDRDLKIVKGVDGECVEEYARCCRVMMGVQINERVYVAYDDNSLFDARKKYTQSETVVAGTSMSYAADDVDEVVEEDSYEDSVTDADSLETVQIDSHDLLWGVLAEVGPLSSANGSAGTLSKLAFEINRRSFGMEMLQVVIPLAPVPSQKRRKVRAPRIHQVAVPTTAESSSCDEFGPSSPHTSEVALCQCVALAAAELEQKDFIAKVPSPQTASALLQQLLIMPPQPGEPSMDGLDPSVVAGDDTHVTGTEQVAPQSLIQRLKDQHSLLARGAKLIYLKLQQCRLLDLDVQGAFAQKTSKKIKHKELKSGALNVLQAWVSLMPETFPLNNVNFAVAPLPKLQNLPCVRCTRMDSFQVTIKGKPTIRTVTGEGFEDHMVQGGLRLRGDIGGIVALVAMLGGVEPNESDPFYDELQAGESPRGVFSHTISRLQSNIYKNILGNWQTLSHLTRLLAVVEEALTSSHTATLSAQPRVRRRRLTSERLLPVDSSCTTLLSGLFDFLKEVLCKMDRARETKDWSPLVAQLLHVALIQRQLSTATFPAHGEVVKMLLTIWQPSSLAGCVQPTLAISRVFGLTCWSRHQERYVLRNPSSTKDTTGSEVLMRLLGCLTKTAESQMIEAHVGASLCLTQLLNITSTFMMATPHINRETQEAESDDETDSDEASPQAAAPLEALKLDYKGEKGMRYSNTSGTDWQVRIWGDEGLEGIVRGLGPPPGDQDGWKWDVGRMVRTGIKMMAALEPGSVPLQVPATEKRTEELVTASAGQASANMDEEVNDCSPGILRLATQTLALMGSNLLLDLNNAGHQLTLMSLMVLLFRAQPITGLSPGSPNENTITQLPVPQIGLEKFKASADMLVNRINQVAWAYLKGFTVELLMRNFPPILDVDKLCDTLPEGIDIGEPILRQWGCFMAYQVSPLLFNKSALKLITDIGELRVEARELQKLLCKRMKEVDVPEEKLAFEAITSLLPSQPYLGQVNQKLWKYKHVGTVTSLLPQWKDKPSKIAIPRKDVNIPSHPLICAEELDSEENGIKEEDDTSEPKKPVRKKRRERIIKQAIRRWRPLSSLLKFKSMISLDNCVAPRLENFLFRLREIVPACVEKYSRGQEQHTKILSCKAQYVVRCVDVVSKPLTRHKVQEIGLAGAHVLSLAVHGLDEHKLLCCLLLRGVEEVPHEPPFMIPTTLHCQRCYREIQCLFDKVNHDQINNDAIAQLFAQSHDGLARRHSCLRSKSAPTGGPSSCHDEDKEENYSYHIQSTQLGGSFISSQLWKACEIEVPSLPTSMALYSAHLGLLLGLNRETDILPSSLSGLDPITVIEQDPELYQGVCFAHMSERTDEFQLAAELAVVPDEGAFQALISNGTLSQTQFDKIDYVKGDIVTWFGGDKNHNELDAKRILTVEENNRCNQGTFFSEMIGPWALEEHDDREKGPALHNFRDLHELLGGLLPVAGAEQMLGANKSLLLVISVVLEPLLVGTFDGEVIYAVEAFHRWSTSAISAAVPLIDGIHTCLLATYKKLLSGVGMLEPSSIDSTQGISEGPYLKSILLRQFRLLLDLSASRERSHQEFDLCSSIRRAVDKLRAVTQSAINRLLQQILQVLPLCQKGSSECIGTNILDKDLPTRSRHFTSANAEQEDIEKEKQAEKKAEIDQIEATIAAHLASELGGDAMLSGVLLDIMFRRGPSINVENGSVACPLLASLARAREEATPLLVSWGSQLVAQAASQVTSLVGASSALTVVTQKLSNLLISGLGLGLFEPDVQHSIAAHLQLRLLNLTAVAVCEKEQPPPWDASGDMGESVQRLLLDLQEFTMSCIDVVFPVRLGISWESCSSWAFSQAPGCAIGVIHKTDLGPLAAPEPKTVRLTDFHQCQDNSGWSHVESDETLALCHTSGAAVDIVKKGQLGELLKPYRVHEETGHGLRQNDGKGWSYVQGDKTLAISFQSGCRVKVVDLDQLKEILKPTKCGDSGGRGLKGYDGDGWSWVQGNETVALTQADGSGCAVDIVKLGKLGAVLMPIAEDESSGHGLRGYNGRGWTYLEGEATIAASYTSGCAIHVVHKGAQKGSFASIVKPEELPVTDGHGLRGGDGCGWSYVEGTAALALYHHGDSHAAIKVINRSALGQVLQPALTDSNYAQTYVRGNRSLILFRKEACAIQTVGLNALGECQIPGIVNAYYKWGILGWGIVEQLSGKHSTPSSCLPPMCPTALRDLHAMVVVATQRLDIALENDQPLTLYRLFSENPSLPGSLLHMDGESHPADKRCIISQFRQLLPLLPASTGQASRENDTEQAGSDWLQLLLLIASLMQSLTGRQVALELLIALALHQDQLPISGPGKTAPTGHVDGTVVSRLFRTAREVVELWVSSVTSEDFLSAEPLARLADLSEQLLESCLDADEGSRWARRLFAFAFSLAARSGVRALGEVGKGAPRSSKKDVPPLSIGSSKWKVKVNEQFVTRNLAIFYRELIVLRQKLRLASLTTTASQVALAQQEKVLVSLCEKLENVDPQKGWVWSDLISKARYIPAPTEEEDKKEKDNLDEKKTGSPEVSDDKRPPLEGNQTSETGTYVIEGDKVMENWLGCLGDRTFALQIDTKLWKSDLRAQAALCFSESPM
+>sp|Q9Y543|HES2_HUMAN Transcription factor HES-2 OS=Homo sapiens OX=9606 GN=HES2 PE=2 SV=1
+MGLPRRAGDAAELRKSLKPLLEKRRRARINQSLSQLKGLILPLLGRENSNCSKLEKADVLEMTVRFLQELPASSWPTAAPLPCDSYREGYSACVARLARVLPACRVLEPAVSARLLEHLWRRAASATLDGGRAGDSSGPSAPAPAPASAPEPASAPVPSPPSPPCGPGLWRPW
+>DECOY_sp|Q9Y543|HES2_HUMAN Transcription factor HES-2 OS=Homo sapiens OX=9606 GN=HES2 PE=2 SV=1
+WPRWLGPGCPPSPPSPVPASAPEPASAPAPAPASPGSSDGARGGDLTASAARRWLHELLRASVAPELVRCAPLVRALRAVCASYGERYSDCPLPAATPWSSAPLEQLFRVTMELVDAKELKSCNSNERGLLPLILGKLQSLSQNIRARRRKELLPKLSKRLEAADGARRPLGM
+>sp|P17096|HMGA1_HUMAN High mobility group protein HMG-I/HMG-Y OS=Homo sapiens OX=9606 GN=HMGA1 PE=1 SV=3
+MSESSSKSSQPLASKQEKDGTEKRGRGRPRKQPPVSPGTALVGSQKEPSEVPTPKRPRGRPKGSKNKGAAKTRKTTTTPGRKPRGRPKKLEKEEEEGISQESSEEEQ
+>DECOY_sp|P17096|HMGA1_HUMAN High mobility group protein HMG-I/HMG-Y OS=Homo sapiens OX=9606 GN=HMGA1 PE=1 SV=3
+QEEESSEQSIGEEEEKELKKPRGRPKRGPTTTTKRTKAAGKNKSGKPRGRPRKPTPVESPEKQSGVLATGPSVPPQKRPRGRGRKETGDKEQKSALPQSSKSSSESM
+>sp|P05204|HMGN2_HUMAN Non-histone chromosomal protein HMG-17 OS=Homo sapiens OX=9606 GN=HMGN2 PE=1 SV=3
+MPKRKAEGDAKGDKAKVKDEPQRRSARLSAKPAPPKPEPKPKKAPAKKGEKVPKGKKGKADAGKEGNNPAENGDAKTDQAQKAEGAGDAK
+>DECOY_sp|P05204|HMGN2_HUMAN Non-histone chromosomal protein HMG-17 OS=Homo sapiens OX=9606 GN=HMGN2 PE=1 SV=3
+KADGAGEAKQAQDTKADGNEAPNNGEKGADAKGKKGKPVKEGKKAPAKKPKPEPKPPAPKASLRASRRQPEDKVKAKDGKADGEAKRKPM
+>sp|Q12766|HMGX3_HUMAN HMG domain-containing protein 3 OS=Homo sapiens OX=9606 GN=HMGXB3 PE=2 SV=2
+MQRTQPRPCYLNAPQQCPGAERPGRPTAGSHSFLLRPGPLAGSSPFALLDPLQAFEQFVWVRSQARAGLLRLRQGSHAVTRCRPLPVRREGRRDGSPWRSVVCRYCRCSRQTGASVTTVSLPSSSSSPGLDPRGPRQASVRSLRSEPVLLFLPFRTPYRDSEEGKREGLSRLRAVCRRAGPRGRGSFSPRDARASPRLHFLVAAVTTGAASRRQRGARVRQPSPSSSRRAKRLRECERRSLHAPPAMDASYDGTEVTVVMEEIEEAYCYTSPGPPKKKKKYKIHGEKTKKPRSAYLLYYYDIYLKVQQELPHLPQSEINKKISESWRLLSVAERSYYLEKAKLEKEGLDPNSKLSALTAVVPDIPGFRKILPRSDYIIIPKSSLQEDRSCPQLELCVAQNQMSPKGPPLVSNTAPETVPSHAGMAEQCLAVEALAEEVGALTQSGAVQEIATSEILSQDVLLEDASLEVGESHQPYQTSLVIEETLVNGSPDLPTGSLAVPHPQVGESVSVVTVMRDSSESSSSAPATQFIMLPLPAYSVVENPTSIKLTTTYTRRGHGTCTSPGCSFTYVTRHKPPKCPTCGNFLGGKWIPKEKPAKVKVELASGVSSKGSVVKRNQQPVTTEQNSSKENASKLTLENSEAVSQLLNVAPPREVGEESEWEEVIISDAHVLVKEAPGNCGTAVTKTPVVKSGVQPEVTLGTTDNDSPGADVPTPSEGTSTSSPLPAPKKPTGADLLTPGSRAPELKGRARGKPSLLAAARPMRAILPAPVNVGRGSSMGLPRARQAFSLSDKTPSVRTCGLKPSTLKQLGQPIQQPSGPGEVKLPSGPSNRTSQVKVVEVKPDMFPPYKYSCTVTLDLGLATSRGRGKCKNPSCSYVYTNRHKPRICPSCGVNLAKDRTEKTTKAIEVSSPLPDVLNATEPLSTAQREIQRQSTLQLLRKVLQIPENESELAEVFALIHELNSSRLILSNVSEETVTIEQTSWSNYYESPSTQCLLCSSPLFKGGQNSLAGPQECWLLTASRLQTVTAQVKMCLNPHCLALHSFIDIYTGLFNVGNKLLVSLDLLFAIRNQIKLGEDPRVSINVVLKSVQEQTEKTLTSEELSQLQELLCNGYWAFECLTVRDYNDMICGICGVAPKVEMAQRSEENVLALKSVEFTWPEFLGSNEVNVEDFWATMETEVIEQVAFPASIPITKFDASVIAPFFPPLMRGAVVVNTEKDKNLDVQPVPGSGSALVRLLQEGTCKLDEIGSYSEEKLQHLLRQCGIPFGAEDSKDQLCFSLLALYESVQNGARAIRPPRHFTGGKIYKVCPHQVVCGSKYLVRGESARDHVDLLASSRHWPPVYVVDMATSVALCADLCYPELTNQMWGRNQGCFSSPTEPPVSVSCPELLDQHYTVDMTETEHSIQHPVTKTATRRIVHAGLQPNPGDPSAGHHSLALCPELAPYATILASIVDSKPNGVRQRPIAFDNATHYYLYNRLMDFLTSREIVNRQIHDIVQSCQPGEVVIRDTLYRLGVAQIKTETEEEGEEEEVAAVAE
+>DECOY_sp|Q12766|HMGX3_HUMAN HMG domain-containing protein 3 OS=Homo sapiens OX=9606 GN=HMGXB3 PE=2 SV=2
+EAVAAVEEEEGEEETETKIQAVGLRYLTDRIVVEGPQCSQVIDHIQRNVIERSTLFDMLRNYLYYHTANDFAIPRQRVGNPKSDVISALITAYPALEPCLALSHHGASPDGPNPQLGAHVIRRTATKTVPHQISHETETMDVTYHQDLLEPCSVSVPPETPSSFCGQNRGWMQNTLEPYCLDACLAVSTAMDVVYVPPWHRSSALLDVHDRASEGRVLYKSGCVVQHPCVKYIKGGTFHRPPRIARAGNQVSEYLALLSFCLQDKSDEAGFPIGCQRLLHQLKEESYSGIEDLKCTGEQLLRVLASGSGPVPQVDLNKDKETNVVVAGRMLPPFFPAIVSADFKTIPISAPFAVQEIVETEMTAWFDEVNVENSGLFEPWTFEVSKLALVNEESRQAMEVKPAVGCIGCIMDNYDRVTLCEFAWYGNCLLEQLQSLEESTLTKETQEQVSKLVVNISVRPDEGLKIQNRIAFLLDLSVLLKNGVNFLGTYIDIFSHLALCHPNLCMKVQATVTQLRSATLLWCEQPGALSNQGGKFLPSSCLLCQTSPSEYYNSWSTQEITVTEESVNSLILRSSNLEHILAFVEALESENEPIQLVKRLLQLTSQRQIERQATSLPETANLVDPLPSSVEIAKTTKETRDKALNVGCSPCIRPKHRNTYVYSCSPNKCKGRGRSTALGLDLTVTCSYKYPPFMDPKVEVVKVQSTRNSPGSPLKVEGPGSPQQIPQGLQKLTSPKLGCTRVSPTKDSLSFAQRARPLGMSSGRGVNVPAPLIARMPRAAALLSPKGRARGKLEPARSGPTLLDAGTPKKPAPLPSSTSTGESPTPVDAGPSDNDTTGLTVEPQVGSKVVPTKTVATGCNGPAEKVLVHADSIIVEEWESEEGVERPPAVNLLQSVAESNELTLKSANEKSSNQETTVPQQNRKVVSGKSSVGSALEVKVKAPKEKPIWKGGLFNGCTPCKPPKHRTVYTFSCGPSTCTGHGRRTYTTTLKISTPNEVVSYAPLPLMIFQTAPASSSSESSDRMVTVVSVSEGVQPHPVALSGTPLDPSGNVLTEEIVLSTQYPQHSEGVELSADELLVDQSLIESTAIEQVAGSQTLAGVEEALAEVALCQEAMGAHSPVTEPATNSVLPPGKPSMQNQAVCLELQPCSRDEQLSSKPIIIYDSRPLIKRFGPIDPVVATLASLKSNPDLGEKELKAKELYYSREAVSLLRWSESIKKNIESQPLHPLEQQVKLYIDYYYLLYASRPKKTKEGHIKYKKKKKPPGPSTYCYAEEIEEMVVTVETGDYSADMAPPAHLSRRECERLRKARRSSSPSPQRVRAGRQRRSAAGTTVAAVLFHLRPSARADRPSFSGRGRPGARRCVARLRSLGERKGEESDRYPTRFPLFLLVPESRLSRVSAQRPGRPDLGPSSSSSPLSVTTVSAGTQRSCRCYRCVVSRWPSGDRRGERRVPLPRCRTVAHSGQRLRLLGARAQSRVWVFQEFAQLPDLLAFPSSGALPGPRLLFSHSGATPRGPREAGPCQQPANLYCPRPQTRQM
+>sp|S4R3P1|HMN13_HUMAN Humanin-like 13 OS=Homo sapiens OX=9606 GN=MTRNR2L13 PE=3 SV=1
+MDTQGFSCLLLLISEIDLSVKRRI
+>DECOY_sp|S4R3P1|HMN13_HUMAN Humanin-like 13 OS=Homo sapiens OX=9606 GN=MTRNR2L13 PE=3 SV=1
+IRRKVSLDIESILLLLCSFGQTDM
+>sp|P0CJ74|HMN7_HUMAN Humanin-like 7 OS=Homo sapiens OX=9606 GN=MTRNR2L7 PE=2 SV=1
+MATGGFGCLLLLIREIDLSVKRQI
+>DECOY_sp|P0CJ74|HMN7_HUMAN Humanin-like 7 OS=Homo sapiens OX=9606 GN=MTRNR2L7 PE=2 SV=1
+IQRKVSLDIERILLLLCGFGGTAM
+>sp|P09601|HMOX1_HUMAN Heme oxygenase 1 OS=Homo sapiens OX=9606 GN=HMOX1 PE=1 SV=1
+MERPQPDSMPQDLSEALKEATKEVHTQAENAEFMRNFQKGQVTRDGFKLVMASLYHIYVALEEEIERNKESPVFAPVYFPEELHRKAALEQDLAFWYGPRWQEVIPYTPAMQRYVKRLHEVGRTEPELLVAHAYTRYLGDLSGGQVLKKIAQKALDLPSSGEGLAFFTFPNIASATKFKQLYRSRMNSLEMTPAVRQRVIEEAKTAFLLNIQLFEELQELLTHDTKDQSPSRAPGLRQRASNKVQDSAPVETPRGKPPLNTRSQAPLLRWVLTLSFLVATVAVGLYAM
+>DECOY_sp|P09601|HMOX1_HUMAN Heme oxygenase 1 OS=Homo sapiens OX=9606 GN=HMOX1 PE=1 SV=1
+MAYLGVAVTAVLFSLTLVWRLLPAQSRTNLPPKGRPTEVPASDQVKNSARQRLGPARSPSQDKTDHTLLEQLEEFLQINLLFATKAEEIVRQRVAPTMELSNMRSRYLQKFKTASAINPFTFFALGEGSSPLDLAKQAIKKLVQGGSLDGLYRTYAHAVLLEPETRGVEHLRKVYRQMAPTYPIVEQWRPGYWFALDQELAAKRHLEEPFYVPAFVPSEKNREIEEELAVYIHYLSAMVLKFGDRTVQGKQFNRMFEANEAQTHVEKTAEKLAESLDQPMSDPQPREM
+>sp|Q95460|HMR1_HUMAN Major histocompatibility complex class I-related gene protein OS=Homo sapiens OX=9606 GN=MR1 PE=1 SV=1
+MGELMAFLLPLIIVLMVKHSDSRTHSLRYFRLGVSDPIHGVPEFISVGYVDSHPITTYDSVTRQKEPRAPWMAENLAPDHWERYTQLLRGWQQMFKVELKRLQRHYNHSGSHTYQRMIGCELLEDGSTTGFLQYAYDGQDFLIFNKDTLSWLAVDNVAHTIKQAWEANQHELLYQKNWLEEECIAWLKRFLEYGKDTLQRTEPPLVRVNRKETFPGVTALFCKAHGFYPPEIYMTWMKNGEEIVQEIDYGDILPSGDGTYQAWASIELDPQSSNLYSCHVEHCGVHMVLQVPQESETIPLVMKAVSGSIVLVIVLAGVGVLVWRRRPREQNGAIYLPTPDR
+>DECOY_sp|Q95460|HMR1_HUMAN Major histocompatibility complex class I-related gene protein OS=Homo sapiens OX=9606 GN=MR1 PE=1 SV=1
+RDPTPLYIAGNQERPRRRWVLVGVGALVIVLVISGSVAKMVLPITESEQPVQLVMHVGCHEVHCSYLNSSQPDLEISAWAQYTGDGSPLIDGYDIEQVIEEGNKMWTMYIEPPYFGHAKCFLATVGPFTEKRNVRVLPPETRQLTDKGYELFRKLWAICEEELWNKQYLLEHQNAEWAQKITHAVNDVALWSLTDKNFILFDQGDYAYQLFGTTSGDELLECGIMRQYTHSGSHNYHRQLRKLEVKFMQQWGRLLQTYREWHDPALNEAMWPARPEKQRTVSDYTTIPHSDVYGVSIFEPVGHIPDSVGLRFYRLSHTRSDSHKVMLVIILPLLFAMLEGM
+>sp|P0C7T4|HMSDV_HUMAN Minor histocompatibility protein HMSD variant form OS=Homo sapiens OX=9606 GN=HMSD PE=1 SV=1
+MEIFIEVFSHFLLQLTELTLNMCLELPTGSLEKSLMISSQVLQIPVANSTKQR
+>DECOY_sp|P0C7T4|HMSDV_HUMAN Minor histocompatibility protein HMSD variant form OS=Homo sapiens OX=9606 GN=HMSD PE=1 SV=1
+RQKTSNAVPIQLVQSSIMLSKELSGTPLELCMNLTLETLQLLFHSFVEIFIEM
+>sp|P20823|HNF1A_HUMAN Hepatocyte nuclear factor 1-alpha OS=Homo sapiens OX=9606 GN=HNF1A PE=1 SV=2
+MVSKLSQLQTELLAALLESGLSKEALIQALGEPGPYLLAGEGPLDKGESCGGGRGELAELPNGLGETRGSEDETDDDGEDFTPPILKELENLSPEEAAHQKAVVETLLQEDPWRVAKMVKSYLQQHNIPQREVVDTTGLNQSHLSQHLNKGTPMKTQKRAALYTWYVRKQREVAQQFTHAGQGGLIEEPTGDELPTKKGRRNRFKWGPASQQILFQAYERQKNPSKEERETLVEECNRAECIQRGVSPSQAQGLGSNLVTEVRVYNWFANRRKEEAFRHKLAMDTYSGPPPGPGPGPALPAHSSPGLPPPALSPSKVHGVRYGQPATSETAEVPSSSGGPLVTVSTPLHQVSPTGLEPSHSLLSTEAKLVSAAGGPLPPVSTLTALHSLEQTSPGLNQQPQNLIMASLPGVMTIGPGEPASLGPTFTNTGASTLVIGLASTQAQSVPVINSMGSSLTTLQPVQFSQPLHPSYQQPLMPPVQSHVTQSPFMATMAQLQSPHALYSHKPEVAQYTHTGLLPQTMLITDTTNLSALASLTPTKQVFTSDTEASSESGLHTPASQATTLHVPSQDPAGIQHLQPAHRLSASPTVSSSSLVLYQSSDSSNGQSHLLPSNHSVIETFISTQMASSSQ
+>DECOY_sp|P20823|HNF1A_HUMAN Hepatocyte nuclear factor 1-alpha OS=Homo sapiens OX=9606 GN=HNF1A PE=1 SV=2
+QSSSAMQTSIFTEIVSHNSPLLHSQGNSSDSSQYLVLSSSSVTPSASLRHAPQLHQIGAPDQSPVHLTTAQSAPTHLGSESSAETDSTFVQKTPTLSALASLNTTDTILMTQPLLGTHTYQAVEPKHSYLAHPSQLQAMTAMFPSQTVHSQVPPMLPQQYSPHLPQSFQVPQLTTLSSGMSNIVPVSQAQTSALGIVLTSAGTNTFTPGLSAPEGPGITMVGPLSAMILNQPQQNLGPSTQELSHLATLTSVPPLPGGAASVLKAETSLLSHSPELGTPSVQHLPTSVTVLPGGSSSPVEATESTAPQGYRVGHVKSPSLAPPPLGPSSHAPLAPGPGPGPPPGSYTDMALKHRFAEEKRRNAFWNYVRVETVLNSGLGQAQSPSVGRQICEARNCEEVLTEREEKSPNKQREYAQFLIQQSAPGWKFRNRRGKKTPLEDGTPEEILGGQGAHTFQQAVERQKRVYWTYLAARKQTKMPTGKNLHQSLHSQNLGTTDVVERQPINHQQLYSKVMKAVRWPDEQLLTEVVAKQHAAEEPSLNELEKLIPPTFDEGDDDTEDESGRTEGLGNPLEALEGRGGGCSEGKDLPGEGALLYPGPEGLAQILAEKSLGSELLAALLETQLQSLKSVM
+>sp|Q14541|HNF4G_HUMAN Hepatocyte nuclear factor 4-gamma OS=Homo sapiens OX=9606 GN=HNF4G PE=1 SV=3
+MNTTDNGVNCLCAICGDRATGKHYGASSCDGCKGFFRRSIRKSHVYSCRFSRQCVVDKDKRNQCRYCRLRKCFRAGMKKEAVQNERDRISTRRSTFDGSNIPSINTLAQAEVRSRQISVSSPGSSTDINVKKIASIGDVCESMKQQLLVLVEWAKYIPAFCELPLDDQVALLRAHAGEHLLLGATKRSMMYKDILLLGNNYVIHRNSCEVEISRVANRVLDELVRPFQEIQIDDNEYACLKAIVFFDPDAKGLSDPVKIKNMRFQVQIGLEDYINDRQYDSRGRFGELLLLLPTLQSITWQMIEQIQFVKLFGMVKIDNLLQEMLLGGASNDGSHLHHPMHPHLSQDPLTGQTILLGPMSTLVHADQISTPETPLPSPPQGSGQEQYKIAANQASVISHQHLSKQKQL
+>DECOY_sp|Q14541|HNF4G_HUMAN Hepatocyte nuclear factor 4-gamma OS=Homo sapiens OX=9606 GN=HNF4G PE=1 SV=3
+LQKQKSLHQHSIVSAQNAAIKYQEQGSGQPPSPLPTEPTSIQDAHVLTSMPGLLITQGTLPDQSLHPHMPHHLHSGDNSAGGLLMEQLLNDIKVMGFLKVFQIQEIMQWTISQLTPLLLLLEGFRGRSDYQRDNIYDELGIQVQFRMNKIKVPDSLGKADPDFFVIAKLCAYENDDIQIEQFPRVLEDLVRNAVRSIEVECSNRHIVYNNGLLLIDKYMMSRKTAGLLLHEGAHARLLAVQDDLPLECFAPIYKAWEVLVLLQQKMSECVDGISAIKKVNIDTSSGPSSVSIQRSRVEAQALTNISPINSGDFTSRRTSIRDRENQVAEKKMGARFCKRLRCYRCQNRKDKDVVCQRSFRCSYVHSKRISRRFFGKCGDCSSAGYHKGTARDGCIACLCNVGNDTTNM
+>sp|P55795|HNRH2_HUMAN Heterogeneous nuclear ribonucleoprotein H2 OS=Homo sapiens OX=9606 GN=HNRNPH2 PE=1 SV=1
+MMLSTEGREGFVVKVRGLPWSCSADEVMRFFSDCKIQNGTSGIRFIYTREGRPSGEAFVELESEEEVKLALKKDRETMGHRYVEVFKSNSVEMDWVLKHTGPNSPDTANDGFVRLRGLPFGCSKEEIVQFFSGLEIVPNGMTLPVDFQGRSTGEAFVQFASQEIAEKALKKHKERIGHRYIEIFKSSRAEVRTHYDPPRKLMAMQRPGPYDRPGAGRGYNSIGRGAGFERMRRGAYGGGYGGYDDYGGYNDGYGFGSDRFGRDLNYCFSGMSDHRYGDGGSSFQSTTGHCVHMRGLPYRATENDIYNFFSPLNPMRVHIEIGPDGRVTGEADVEFATHEDAVAAMAKDKANMQHRYVELFLNSTAGTSGGAYDHSYVELFLNSTAGASGGAYGSQMMGGMGLSNQSSYGGPASQQLSGGYGGGYGGQSSMSGYDQVLQENSSDYQSNLA
+>DECOY_sp|P55795|HNRH2_HUMAN Heterogeneous nuclear ribonucleoprotein H2 OS=Homo sapiens OX=9606 GN=HNRNPH2 PE=1 SV=1
+ALNSQYDSSNEQLVQDYGSMSSQGGYGGGYGGSLQQSAPGGYSSQNSLGMGGMMQSGYAGGSAGATSNLFLEVYSHDYAGGSTGATSNLFLEVYRHQMNAKDKAMAAVADEHTAFEVDAEGTVRGDPGIEIHVRMPNLPSFFNYIDNETARYPLGRMHVCHGTTSQFSSGGDGYRHDSMGSFCYNLDRGFRDSGFGYGDNYGGYDDYGGYGGGYAGRRMREFGAGRGISNYGRGAGPRDYPGPRQMAMLKRPPDYHTRVEARSSKFIEIYRHGIREKHKKLAKEAIEQSAFQVFAEGTSRGQFDVPLTMGNPVIELGSFFQVIEEKSCGFPLGRLRVFGDNATDPSNPGTHKLVWDMEVSNSKFVEVYRHGMTERDKKLALKVEEESELEVFAEGSPRGERTYIFRIGSTGNQIKCDSFFRMVEDASCSWPLGRVKVVFGERGETSLMM
+>sp|Q9BUJ2|HNRL1_HUMAN Heterogeneous nuclear ribonucleoprotein U-like protein 1 OS=Homo sapiens OX=9606 GN=HNRNPUL1 PE=1 SV=2
+MDVRRLKVNELREELQRRGLDTRGLKAELAERLQAALEAEEPDDERELDADDEPGRPGHINEEVETEGGSELEGTAQPPPPGLQPHAEPGGYSGPDGHYAMDNITRQNQFYDTQVIKQENESGYERRPLEMEQQQAYRPEMKTEMKQGAPTSFLPPEASQLKPDRQQFQSRKRPYEENRGRGYFEHREDRRGRSPQPPAEEDEDDFDDTLVAIDTYNCDLHFKVARDRSSGYPLTIEGFAYLWSGARASYGVRRGRVCFEMKINEEISVKHLPSTEPDPHVVRIGWSLDSCSTQLGEEPFSYGYGGTGKKSTNSRFENYGDKFAENDVIGCFADFECGNDVELSFTKNGKWMGIAFRIQKEALGGQALYPHVLVKNCAVEFNFGQRAEPYCSVLPGFTFIQHLPLSERIRGTVGPKSKAECEILMMVGLPAAGKTTWAIKHAASNPSKKYNILGTNAIMDKMRVMGLRRQRNYAGRWDVLIQQATQCLNRLIQIAARKKRNYILDQTNVYGSAQRRKMRPFEGFQRKAIVICPTDEDLKDRTIKRTDEEGKDVPDHAVLEMKANFTLPDVGDFLDEVLFIELQREEADKLVRQYNEEGRKAGPPPEKRFDNRGGGGFRGRGGGGGFQRYENRGPPGGNRGGFQNRGGGSGGGGNYRGGFNRSGGGGYSQNRWGNNNRDNNNSNNRGSYNRAPQQQPPPQQPPPPQPPPQQPPPPPSYSPARNPPGASTYNKNSNIPGSSANTSTPTVSSYSPPQPSYSQPPYNQGGYSQGYTAPPPPPPPPPAYNYGSYGGYNPAPYTPPPPPTAQTYPQPSYNQYQQYAQQWNQYYQNQGQWPPYYGNYDYGSYSGNTQGGTSTQ
+>DECOY_sp|Q9BUJ2|HNRL1_HUMAN Heterogeneous nuclear ribonucleoprotein U-like protein 1 OS=Homo sapiens OX=9606 GN=HNRNPUL1 PE=1 SV=2
+QTSTGGQTNGSYSGYDYNGYYPPWQGQNQYYQNWQQAYQQYQNYSPQPYTQATPPPPPTYPAPNYGGYSGYNYAPPPPPPPPPATYGQSYGGQNYPPQSYSPQPPSYSSVTPTSTNASSGPINSNKNYTSAGPPNRAPSYSPPPPPQQPPPQPPPPQQPPPQQQPARNYSGRNNSNNNDRNNNGWRNQSYGGGGSRNFGGRYNGGGGSGGGRNQFGGRNGGPPGRNEYRQFGGGGGRGRFGGGGRNDFRKEPPPGAKRGEENYQRVLKDAEERQLEIFLVEDLFDGVDPLTFNAKMELVAHDPVDKGEEDTRKITRDKLDEDTPCIVIAKRQFGEFPRMKRRQASGYVNTQDLIYNRKKRAAIQILRNLCQTAQQILVDWRGAYNRQRRLGMVRMKDMIANTGLINYKKSPNSAAHKIAWTTKGAAPLGVMMLIECEAKSKPGVTGRIRESLPLHQIFTFGPLVSCYPEARQGFNFEVACNKVLVHPYLAQGGLAEKQIRFAIGMWKGNKTFSLEVDNGCEFDAFCGIVDNEAFKDGYNEFRSNTSKKGTGGYGYSFPEEGLQTSCSDLSWGIRVVHPDPETSPLHKVSIEENIKMEFCVRGRRVGYSARAGSWLYAFGEITLPYGSSRDRAVKFHLDCNYTDIAVLTDDFDDEDEEAPPQPSRGRRDERHEFYGRGRNEEYPRKRSQFQQRDPKLQSAEPPLFSTPAGQKMETKMEPRYAQQQEMELPRREYGSENEQKIVQTDYFQNQRTINDMAYHGDPGSYGGPEAHPQLGPPPPQATGELESGGETEVEENIHGPRGPEDDADLEREDDPEEAELAAQLREALEAKLGRTDLGRRQLEERLENVKLRRVDM
+>sp|P07910|HNRPC_HUMAN Heterogeneous nuclear ribonucleoproteins C1/C2 OS=Homo sapiens OX=9606 GN=HNRNPC PE=1 SV=4
+MASNVTNKTDPRSMNSRVFIGNLNTLVVKKSDVEAIFSKYGKIVGCSVHKGFAFVQYVNERNARAAVAGEDGRMIAGQVLDINLAAEPKVNRGKAGVKRSAAEMYGSVTEHPSPSPLLSSSFDLDYDFQRDYYDRMYSYPARVPPPPPIARAVVPSKRQRVSGNTSRRGKSGFNSKSGQRGSSKSGKLKGDDLQAIKKELTQIKQKVDSLLENLEKIEKEQSKQAVEMKNDKSEEEQSSSSVKKDETNVKMESEGGADDSAEEGDLLDDDDNEDRGDDQLELIKDDEKEAEEGEDDRDSANGEDDS
+>DECOY_sp|P07910|HNRPC_HUMAN Heterogeneous nuclear ribonucleoproteins C1/C2 OS=Homo sapiens OX=9606 GN=HNRNPC PE=1 SV=4
+SDDEGNASDRDDEGEEAEKEDDKILELQDDGRDENDDDDLLDGEEASDDAGGESEMKVNTEDKKVSSSSQEEESKDNKMEVAQKSQEKEIKELNELLSDVKQKIQTLEKKIAQLDDGKLKGSKSSGRQGSKSNFGSKGRRSTNGSVRQRKSPVVARAIPPPPPVRAPYSYMRDYYDRQFDYDLDFSSSLLPSPSPHETVSGYMEAASRKVGAKGRNVKPEAALNIDLVQGAIMRGDEGAVAARANRENVYQVFAFGKHVSCGVIKGYKSFIAEVDSKKVVLTNLNGIFVRSNMSRPDTKNTVNSAM
+>sp|O43390|HNRPR_HUMAN Heterogeneous nuclear ribonucleoprotein R OS=Homo sapiens OX=9606 GN=HNRNPR PE=1 SV=1
+MANQVNGNAVQLKEEEEPMDTSSVTHTEHYKTLIEAGLPQKVAERLDEIFQTGLVAYVDLDERAIDALREFNEEGALSVLQQFKESDLSHVQNKSAFLCGVMKTYRQREKQGSKVQESTKGPDEAKIKALLERTGYTLDVTTGQRKYGGPPPDSVYSGVQPGIGTEVFVGKIPRDLYEDELVPLFEKAGPIWDLRLMMDPLSGQNRGYAFITFCGKEAAQEAVKLCDSYEIRPGKHLGVCISVANNRLFVGSIPKNKTKENILEEFSKVTEGLVDVILYHQPDDKKKNRGFCFLEYEDHKSAAQARRRLMSGKVKVWGNVVTVEWADPVEEPDPEVMAKVKVLFVRNLATTVTEEILEKSFSEFGKLERVKKLKDYAFVHFEDRGAAVKAMDEMNGKEIEGEEIEIVLAKPPDKKRKERQAARQASRSTAYEDYYYHPPPRMPPPIRGRGRGGGRGGYGYPPDYYGYEDYYDDYYGYDYHDYRGGYEDPYYGYDDGYAVRGRGGGRGGRGAPPPPRGRGAPPPRGRAGYSQRGAPLGPPRGSRGGRGGPAQQQRGRGSRGSRGNRGGNVGGKRKADGYNQPDSKRRQTNNQQNWGSQPIAQQPLQQGGDYSGNYGYNNDNQEFYQDTYGQQWK
+>DECOY_sp|O43390|HNRPR_HUMAN Heterogeneous nuclear ribonucleoprotein R OS=Homo sapiens OX=9606 GN=HNRNPR PE=1 SV=1
+KWQQGYTDQYFEQNDNNYGYNGSYDGGQQLPQQAIPQSGWNQQNNTQRRKSDPQNYGDAKRKGGVNGGRNGRSGRSGRGRQQQAPGGRGGRSGRPPGLPAGRQSYGARGRPPPAGRGRPPPPAGRGGRGGGRGRVAYGDDYGYYPDEYGGRYDHYDYGYYDDYYDEYGYYDPPYGYGGRGGGRGRGRIPPPMRPPPHYYYDEYATSRSAQRAAQREKRKKDPPKALVIEIEEGEIEKGNMEDMAKVAAGRDEFHVFAYDKLKKVRELKGFESFSKELIEETVTTALNRVFLVKVKAMVEPDPEEVPDAWEVTVVNGWVKVKGSMLRRRAQAASKHDEYELFCFGRNKKKDDPQHYLIVDVLGETVKSFEELINEKTKNKPISGVFLRNNAVSICVGLHKGPRIEYSDCLKVAEQAAEKGCFTIFAYGRNQGSLPDMMLRLDWIPGAKEFLPVLEDEYLDRPIKGVFVETGIGPQVGSYVSDPPPGGYKRQGTTVDLTYGTRELLAKIKAEDPGKTSEQVKSGQKERQRYTKMVGCLFASKNQVHSLDSEKFQQLVSLAGEENFERLADIAREDLDVYAVLGTQFIEDLREAVKQPLGAEILTKYHETHTVSSTDMPEEEEKLQVANGNVQNAM
+>sp|Q00839|HNRPU_HUMAN Heterogeneous nuclear ribonucleoprotein U OS=Homo sapiens OX=9606 GN=HNRNPU PE=1 SV=6
+MSSSPVNVKKLKVSELKEELKKRRLSDKGLKAELMERLQAALDDEEAGGRPAMEPGNGSLDLGGDSAGRSGAGLEQEAAAGGDEEEEEEEEEEEGISALDGDQMELGEENGAAGAADSGPMEEEEAASEDENGDDQGFQEGEDELGDEEEGAGDENGHGEQQPQPPATQQQQPQQQRGAAKEAAGKSSGPTSLFAVTVAPPGARQGQQQAGGKKKAEGGGGGGRPGAPAAGDGKTEQKGGDKKRGVKRPREDHGRGYFEYIEENKYSRAKSPQPPVEEEDEHFDDTVVCLDTYNCDLHFKISRDRLSASSLTMESFAFLWAGGRASYGVSKGKVCFEMKVTEKIPVRHLYTKDIDIHEVRIGWSLTTSGMLLGEEEFSYGYSLKGIKTCNCETEDYGEKFDENDVITCFANFESDEVELSYAKNGQDLGVAFKISKEVLAGRPLFPHVLCHNCAVEFNFGQKEKPYFPIPEEYTFIQNVPLEDRVRGPKGPEEKKDCEVVMMIGLPGAGKTTWVTKHAAENPGKYNILGTNTIMDKMMVAGFKKQMADTGKLNTLLQRAPQCLGKFIEIAARKKRNFILDQTNVSAAAQRRKMCLFAGFQRKAVVVCPKDEDYKQRTQKKAEVEGKDLPEHAVLKMKGNFTLPEVAECFDEITYVELQKEEAQKLLEQYKEESKKALPPEKKQNTGSKKSNKNKSGKNQFNRGGGHRGRGGFNMRGGNFRGGAPGNRGGYNRRGNMPQRGGGGGGSGGIGYPYPRAPVFPGRGSYSNRGNYNRGGMPNRGNYNQNFRGRGNNRGYKNQSQGYNQWQQGQFWGQKPWSQHYHQGYY
+>DECOY_sp|Q00839|HNRPU_HUMAN Heterogeneous nuclear ribonucleoprotein U OS=Homo sapiens OX=9606 GN=HNRNPU PE=1 SV=6
+YYGQHYHQSWPKQGWFQGQQWQNYGQSQNKYGRNNGRGRFNQNYNGRNPMGGRNYNGRNSYSGRGPFVPARPYPYGIGGSGGGGGGRQPMNGRRNYGGRNGPAGGRFNGGRMNFGGRGRHGGGRNFQNKGSKNKNSKKSGTNQKKEPPLAKKSEEKYQELLKQAEEKQLEVYTIEDFCEAVEPLTFNGKMKLVAHEPLDKGEVEAKKQTRQKYDEDKPCVVVAKRQFGAFLCMKRRQAAASVNTQDLIFNRKKRAAIEIFKGLCQPARQLLTNLKGTDAMQKKFGAVMMKDMITNTGLINYKGPNEAAHKTVWTTKGAGPLGIMMVVECDKKEEPGKPGRVRDELPVNQIFTYEEPIPFYPKEKQGFNFEVACNHCLVHPFLPRGALVEKSIKFAVGLDQGNKAYSLEVEDSEFNAFCTIVDNEDFKEGYDETECNCTKIGKLSYGYSFEEEGLLMGSTTLSWGIRVEHIDIDKTYLHRVPIKETVKMEFCVKGKSVGYSARGGAWLFAFSEMTLSSASLRDRSIKFHLDCNYTDLCVVTDDFHEDEEEVPPQPSKARSYKNEEIYEFYGRGHDERPRKVGRKKDGGKQETKGDGAAPAGPRGGGGGGEAKKKGGAQQQGQRAGPPAVTVAFLSTPGSSKGAAEKAAGRQQQPQQQQTAPPQPQQEGHGNEDGAGEEEDGLEDEGEQFGQDDGNEDESAAEEEEMPGSDAAGAAGNEEGLEMQDGDLASIGEEEEEEEEEEEDGGAAAEQELGAGSRGASDGGLDLSGNGPEMAPRGGAEEDDLAAQLREMLEAKLGKDSLRRKKLEEKLESVKLKKVNVPSSSM
+>sp|Q86XE5|HOGA1_HUMAN 4-hydroxy-2-oxoglutarate aldolase, mitochondrial OS=Homo sapiens OX=9606 GN=HOGA1 PE=1 SV=1
+MLGPQVWSSVRQGLSRSLSRNVGVWASGEGKKVDIAGIYPPVTTPFTATAEVDYGKLEENLHKLGTFPFRGFVVQGSNGEFPFLTSSERLEVVSRVRQAMPKNRLLLAGSGCESTQATVEMTVSMAQVGADAAMVVTPCYYRGRMSSAALIHHYTKVADLSPIPVVLYSVPANTGLDLPVDAVVTLSQHPNIVGMKDSGGDVTRIGLIVHKTRKQDFQVLAGSAGFLMASYALGAVGGVCALANVLGAQVCQLERLCCTGQWEDAQKLQHRLIEPNAAVTRRFGIPGLKKIMDWFGYYGGPCRAPLQELSPAEEEALRMDFTSNGWL
+>DECOY_sp|Q86XE5|HOGA1_HUMAN 4-hydroxy-2-oxoglutarate aldolase, mitochondrial OS=Homo sapiens OX=9606 GN=HOGA1 PE=1 SV=1
+LWGNSTFDMRLAEEEAPSLEQLPARCPGGYYGFWDMIKKLGPIGFRRTVAANPEILRHQLKQADEWQGTCCLRELQCVQAGLVNALACVGGVAGLAYSAMLFGASGALVQFDQKRTKHVILGIRTVDGGSDKMGVINPHQSLTVVADVPLDLGTNAPVSYLVVPIPSLDAVKTYHHILAASSMRGRYYCPTVVMAADAGVQAMSVTMEVTAQTSECGSGALLLRNKPMAQRVRSVVELRESSTLFPFEGNSGQVVFGRFPFTGLKHLNEELKGYDVEATATFPTTVPPYIGAIDVKKGEGSAWVGVNRSLSRSLGQRVSSWVQPGLM
+>sp|Q9BYM8|HOIL1_HUMAN RanBP-type and C3HC4-type zinc finger-containing protein 1 OS=Homo sapiens OX=9606 GN=RBCK1 PE=1 SV=2
+MDEKTKKAEEMALSLTRAVAGGDEQVAMKCAIWLAEQRVPLSVQLKPEVSPTQDIRLWVSVEDAQMHTVTIWLTVRPDMTVASLKDMVFLDYGFPPVLQQWVIGQRLARDQETLHSHGVRQNGDSAYLYLLSARNTSLNPQELQRERQLRMLEDLGFKDLTLQPRGPLEPGPPKPGVPQEPGRGQPDAVPEPPPVGWQCPGCTFINKPTRPGCEMCCRARPEAYQVPASYQPDEEERARLAGEEEALRQYQQRKQQQQEGNYLQHVQLDQRSLVLNTEPAECPVCYSVLAPGEAVVLRECLHTFCRECLQGTIRNSQEAEVSCPFIDNTYSCSGKLLEREIKALLTPEDYQRFLDLGISIAENRSAFSYHCKTPDCKGWCFFEDDVNEFTCPVCFHVNCLLCKAIHEQMNCKEYQEDLALRAQNDVAARQTTEMLKVMLQQGEAMRCPQCQIVVQKKDGCDWIRCTVCHTEICWVTKGPRWGPGGPGDTSGGCRCRVNGIPCHPSCQNCH
+>DECOY_sp|Q9BYM8|HOIL1_HUMAN RanBP-type and C3HC4-type zinc finger-containing protein 1 OS=Homo sapiens OX=9606 GN=RBCK1 PE=1 SV=2
+HCNQCSPHCPIGNVRCRCGGSTDGPGGPGWRPGKTVWCIETHCVTCRIWDCGDKKQVVIQCQPCRMAEGQQLMVKLMETTQRAAVDNQARLALDEQYEKCNMQEHIAKCLLCNVHFCVPCTFENVDDEFFCWGKCDPTKCHYSFASRNEAISIGLDLFRQYDEPTLLAKIERELLKGSCSYTNDIFPCSVEAEQSNRITGQLCERCFTHLCERLVVAEGPALVSYCVPCEAPETNLVLSRQDLQVHQLYNGEQQQQKRQQYQRLAEEEGALRAREEEDPQYSAPVQYAEPRARCCMECGPRTPKNIFTCGPCQWGVPPPEPVADPQGRGPEQPVGPKPPGPELPGRPQLTLDKFGLDELMRLQRERQLEQPNLSTNRASLLYLYASDGNQRVGHSHLTEQDRALRQGIVWQQLVPPFGYDLFVMDKLSAVTMDPRVTLWITVTHMQADEVSVWLRIDQTPSVEPKLQVSLPVRQEALWIACKMAVQEDGGAVARTLSLAMEEAKKTKEDM
+>sp|Q86YZ3|HORN_HUMAN Hornerin OS=Homo sapiens OX=9606 GN=HRNR PE=1 SV=2
+MPKLLQGVITVIDVFYQYATQHGEYDTLNKAELKELLENEFHQILKNPNDPDTVDIILQSLDRDHNKKVDFTEYLLMIFKLVQARNKIIGKDYCQVSGSKLRDDTHQHQEEQEETEKEENKRQESSFSHSSWSAGENDSYSRNVRGSLKPGTESISRRLSFQRDFSGQHNSYSGQSSSYGEQNSDSHQSSGRGQCGSGSGQSPNYGQHGSGSGQSSSNDTHGSGSGQSSGFSQHKSSSGQSSGYSQHGSGSGHSSGYGQHGSRSGQSSRGERHRSSSGSSSSYGQHGSGSRQSLGHGRQGSGSRQSPSHVRHGSGSGHSSSHGQHGSGSSYSYSRGHYESGSGQTSGFGQHESGSGQSSGYSKHGSGSGHSSSQGQHGSTSGQASSSGQHGSSSRQSSSYGQHESASRHSSGRGQHSSGSGQSPGHGQRGSGSGQSPSSGQHGTGFGRSSSSGPYVSGSGYSSGFGHHESSSEHSSGYTQHGSGSGHSSGHGQHGSRSGQSSRGERQGSSAGSSSSYGQHGSGSRQSLGHSRHGSGSGQSPSPSRGRHESGSRQSSSYGPHGYGSGRSSSRGPYESGSGHSSGLGHQESRSGQSSGYGQHGSSSGHSSTHGQHGSTSGQSSSCGQHGATSGQSSSHGQHGSGSSQSSRYGQQGSGSGQSPSRGRHGSDFGHSSSYGQHGSGSGWSSSNGPHGSVSGQSSGFGHKSGSGQSSGYSQHGSGSSHSSGYRKHGSRSGQSSRSEQHGSSSGLSSSYGQHGSGSHQSSGHGRQGSGSGHSPSRVRHGSSSGHSSSHGQHGSGTSCSSSCGHYESGSGQASGFGQHESGSGQGYSQHGSASGHFSSQGRHGSTSGQSSSSGQHDSSSGQSSSYGQHESASHHASGRGRHGSGSGQSPGHGQRGSGSGQSPSYGRHGSGSGRSSSSGRHGSGSGQSSGFGHKSSSGQSSGYTQHGSGSGHSSSYEQHGSRSGQSSRSEQHGSSSGSSSSYGQHGSGSRQSLGHGQHGSGSGQSPSPSRGRHGSGSGQSSSYGPYRSGSGWSSSRGPYESGSGHSSGLGHRESRSGQSSGYGQHGSSSGHSSTHGQHGSTSGQSSSCGQHGASSGQSSSHGQHGSGSSQSSGYGRQGSGSGQSPGHGQRGSGSRQSPSYGRHGSGSGRSSSSGQHGSGLGESSGFGHHESSSGQSSSYSQHGSGSGHSSGYGQHGSRSGQSSRGERHGSSSGSSSHYGQHGSGSRQSSGHGRQGSGSGHSPSRGRHGSGLGHSSSHGQHGSGSGRSSSRGPYESRSGHSSVFGQHESGSGHSSAYSQHGSGSGHFCSQGQHGSTSGQSSTFDQEGSSTGQSSSYGHRGSGSSQSSGYGRHGAGSGQSPSRGRHGSGSGHSSSYGQHGSGSGWSSSSGRHGSGSGQSSGFGHHESSSWQSSGCTQHGSGSGHSSSYEQHGSRSGQSSRGERHGSSSGSSSSYGQHGSGSRQSLGHGQHGSGSGQSPSPSRGRHGSGSGQSSSYSPYGSGSGWSSSRGPYESGSSHSSGLGHRESRSGQSSGYGQHGSSSGHSSTHGQHGSTSGQSSSCGQHGASSGQSSSHGQHGSGSSQSSGYGRQGSGSGQSPGHGQRGSGSRQSPSYGRHGSGSGRSSSSGQHGSGLGESSGFGHHESSSGQSSSYSQHGSGSGHSSGYGQHGSRSGQSSRGERHGSSSRSSSRYGQHGSGSRQSSGHGRQGSGSGQSPSRGRHGSGLGHSSSHGQHGSGSGRSSSRGPYESRSGHSSVFGQHESGSGHSSAYSQHGSGSGHFCSQGQHGSTSGQSSTFDQEGSSTGQSSSHGQHGSGSSQSSSYGQQGSGSGQSPSRGRHGSGSGHSSSYGQHGSGSGWSSSSGRHGSGSGQSSGFGHHESSSWQSSGYTQHGSGSGHSSSYEQHGSRSGQSSRGEQHGSSSGSSSSYGQHGSGSRQSLGHGQHGSGSGQSPSPSRGRHGSGSGQSSSYGPYGSGSGWSSSRGPYESGSGHSSGLGHRESRSGQSSGYGQHGSSSGHSSTHGQHGSASGQSSSCGQHGASSGQSSSHGQHGSGSSQSSGYGRQGSGSGQSPGHGQRGSGSRQSPSYGRHGSGSGRSSSSGQHGPGLGESSGFGHHESSSGQSSSYSQHGSGSGHSSGYGQHGSRSGQSSRGERHGSSSGSSSRYGQHGSGSRQSSGHGRQGSGSGHSPSRGRHGSGSGHSSSHGQHGSGSGRSSSRGPYESRSGHSSVFGQHESGSGHSSAYSQHGSGSGHFCSQGQHGSTSGQSSTFDQEGSSTGQSSSHGQHGSGSSQSSSYGQQGSGSGQSPSRGRHGSGSGHSSSYGQHGSGSGWSSSSGRHGSGSGQSSGFGHHESSSWQSSGYTQHGSGSGHSSSYEQHGSRSGQSSRGERHGSSSGSSSSYGQHGSGSRQSLGHGQHGSGSGQSPSPSRGRHGSGSGQSSSYSPYGSGSGWSSSRGPYESGSGHSSGLGHRESRSGQSSGYGQHGSSSGHSSTHGQHGSTSGQSSSCGQHGASSGQSSSHGQHGSGSSQSSGYGRQGSGSGQSPGHGQRGSGSRQSPSYGRHGSGSGRSSSSGQHGSGLGESSGFGHHESSSGQSSSYSQHGSGSGHSSGYGQHGSRSGQSSRGERHGSSSGSSSHYGQHGSGSRQSSGHGRQGSGSGQSPSRGRHGSGLGHSSSHGQHGSGSGRSSSRGPYESRLGHSSVFGQHESGSGHSSAYSQHGSGSGHFCSQGQHGSTSGQSSTFDQEGSSTGQSSSYGHRGSGSSQSSGYGRHGAGSGQSLSHGRHGSGSGQSSSYGQHGSGSGQSSGYSQHGSGSGQDGYSYCKGGSNHDGGSSGSYFLSFPSSTSPYEYVQEQRCYFYQ
+>DECOY_sp|Q86YZ3|HORN_HUMAN Hornerin OS=Homo sapiens OX=9606 GN=HRNR PE=1 SV=2
+QYFYCRQEQVYEYPSTSSPFSLFYSGSSGGDHNSGGKCYSYGDQGSGSGHQSYGSSQGSGSGHQGYSSSQGSGSGHRGHSLSQGSGAGHRGYGSSQSSGSGRHGYSSSQGTSSGEQDFTSSQGSTSGHQGQSCFHGSGSGHQSYASSHGSGSEHQGFVSSHGLRSEYPGRSSSRGSGSGHQGHSSSHGLGSGHRGRSPSQGSGSGQRGHGSSQRSGSGHQGYHSSSGSSSGHREGRSSQGSRSGHQGYGSSHGSGSGHQSYSSSQGSSSEHHGFGSSEGLGSGHQGSSSSRGSGSGHRGYSPSQRSGSGRQGHGPSQGSGSGQRGYGSSQSSGSGHQGHSSSQGSSAGHQGCSSSQGSTSGHQGHTSSHGSSSGHQGYGSSQGSRSERHGLGSSHGSGSEYPGRSSSWGSGSGYPSYSSSQGSGSGHRGRSPSPSQGSGSGHQGHGLSQRSGSGHQGYSSSSGSSSGHREGRSSQGSRSGHQEYSSSHGSGSGHQTYGSSQWSSSEHHGFGSSQGSGSGHRGSSSSWGSGSGHQGYSSSHGSGSGHRGRSPSQGSGSGQQGYSSSQSSGSGHQGHSSSQGTSSGEQDFTSSQGSTSGHQGQSCFHGSGSGHQSYASSHGSGSEHQGFVSSHGSRSEYPGRSSSRGSGSGHQGHSSSHGSGSGHRGRSPSHGSGSGQRGHGSSQRSGSGHQGYRSSSGSSSGHREGRSSQGSRSGHQGYGSSHGSGSGHQSYSSSQGSSSEHHGFGSSEGLGPGHQGSSSSRGSGSGHRGYSPSQRSGSGRQGHGPSQGSGSGQRGYGSSQSSGSGHQGHSSSQGSSAGHQGCSSSQGSASGHQGHTSSHGSSSGHQGYGSSQGSRSERHGLGSSHGSGSEYPGRSSSWGSGSGYPGYSSSQGSGSGHRGRSPSPSQGSGSGHQGHGLSQRSGSGHQGYSSSSGSSSGHQEGRSSQGSRSGHQEYSSSHGSGSGHQTYGSSQWSSSEHHGFGSSQGSGSGHRGSSSSWGSGSGHQGYSSSHGSGSGHRGRSPSQGSGSGQQGYSSSQSSGSGHQGHSSSQGTSSGEQDFTSSQGSTSGHQGQSCFHGSGSGHQSYASSHGSGSEHQGFVSSHGSRSEYPGRSSSRGSGSGHQGHSSSHGLGSGHRGRSPSQGSGSGQRGHGSSQRSGSGHQGYRSSSRSSSGHREGRSSQGSRSGHQGYGSSHGSGSGHQSYSSSQGSSSEHHGFGSSEGLGSGHQGSSSSRGSGSGHRGYSPSQRSGSGRQGHGPSQGSGSGQRGYGSSQSSGSGHQGHSSSQGSSAGHQGCSSSQGSTSGHQGHTSSHGSSSGHQGYGSSQGSRSERHGLGSSHSSGSEYPGRSSSWGSGSGYPSYSSSQGSGSGHRGRSPSPSQGSGSGHQGHGLSQRSGSGHQGYSSSSGSSSGHREGRSSQGSRSGHQEYSSSHGSGSGHQTCGSSQWSSSEHHGFGSSQGSGSGHRGSSSSWGSGSGHQGYSSSHGSGSGHRGRSPSQGSGAGHRGYGSSQSSGSGRHGYSSSQGTSSGEQDFTSSQGSTSGHQGQSCFHGSGSGHQSYASSHGSGSEHQGFVSSHGSRSEYPGRSSSRGSGSGHQGHSSSHGLGSGHRGRSPSHGSGSGQRGHGSSQRSGSGHQGYHSSSGSSSGHREGRSSQGSRSGHQGYGSSHGSGSGHQSYSSSQGSSSEHHGFGSSEGLGSGHQGSSSSRGSGSGHRGYSPSQRSGSGRQGHGPSQGSGSGQRGYGSSQSSGSGHQGHSSSQGSSAGHQGCSSSQGSTSGHQGHTSSHGSSSGHQGYGSSQGSRSERHGLGSSHGSGSEYPGRSSSWGSGSRYPGYSSSQGSGSGHRGRSPSPSQGSGSGHQGHGLSQRSGSGHQGYSSSSGSSSGHQESRSSQGSRSGHQEYSSSHGSGSGHQTYGSSQGSSSKHGFGSSQGSGSGHRGSSSSRGSGSGHRGYSPSQGSGSGRQGHGPSQGSGSGHRGRGSAHHSASEHQGYSSSQGSSSDHQGSSSSQGSTSGHRGQSSFHGSASGHQSYGQGSGSEHQGFGSAQGSGSEYHGCSSSCSTGSGHQGHSSSHGSSSGHRVRSPSHGSGSGQRGHGSSQHSGSGHQGYSSSLGSSSGHQESRSSQGSRSGHKRYGSSHSSGSGHQSYGSSQGSGSKHGFGSSQGSVSGHPGNSSSWGSGSGHQGYSSSHGFDSGHRGRSPSQGSGSGQQGYRSSQSSGSGHQGHSSSQGSTAGHQGCSSSQGSTSGHQGHTSSHGSSSGHQGYGSSQGSRSEQHGLGSSHGSGSEYPGRSSSRGSGYGHPGYSSSQRSGSEHRGRSPSPSQGSGSGHRSHGLSQRSGSGHQGYSSSSGASSGQREGRSSQGSRSGHQGHGSSHGSGSGHQTYGSSHESSSEHHGFGSSYGSGSVYPGSSSSRGFGTGHQGSSPSQGSGSGRQGHGPSQGSGSSHQGRGSSHRSASEHQGYSSSQRSSSGHQGSSSAQGSTSGHQGQSSSHGSGSGHKSYGSSQGSGSEHQGFGSTQGSGSEYHGRSYSYSSGSGHQGHSSSHGSGSGHRVHSPSQRSGSGQRGHGLSQRSGSGHQGYSSSSGSSSRHREGRSSQGSRSGHQGYGSSHGSGSGHQSYGSSQGSSSKHQSFGSSQGSGSGHTDNSSSQGSGSGHQGYNPSQGSGSGCQGRGSSQHSDSNQEGYSSSQGSYSNHQGSFDRQFSLRRSISETGPKLSGRVNRSYSDNEGASWSSHSFSSEQRKNEEKETEEQEEQHQHTDDRLKSGSVQCYDKGIIKNRAQVLKFIMLLYETFDVKKNHDRDLSQLIIDVTDPDNPNKLIQHFENELLEKLEAKNLTDYEGHQTAYQYFVDIVTIVGQLLKPM
+>sp|Q9NZL4|HPBP1_HUMAN Hsp70-binding protein 1 OS=Homo sapiens OX=9606 GN=HSPBP1 PE=1 SV=1
+MSDEGSRGSRLPLALPPASQGCSSGGGGGGGGGSSAGGSGNSRPPRNLQGLLQMAITAGSEEPDPPPEPMSEERRQWLQEAMSAAFRGQREEVEQMKSCLRVLSQPMPPTAGEAEQAADQQEREGALELLADLCENMDNAADFCQLSGMHLLVGRYLEAGAAGLRWRAAQLIGTCSQNVAAIQEQVLGLGALRKLLRLLDRDACDTVRVKALFAISCLVREQEAGLLQFLRLDGFSVLMRAMQQQVQKLKVKSAFLLQNLLVGHPEHKGTLCSMGMVQQLVALVRTEHSPFHEHVLGALCSLVTDFPQGVRECREPELGLEELLRHRCQLLQQHEEYQEELEFCEKLLQTCFSSPADDSMDR
+>DECOY_sp|Q9NZL4|HPBP1_HUMAN Hsp70-binding protein 1 OS=Homo sapiens OX=9606 GN=HSPBP1 PE=1 SV=1
+RDMSDDAPSSFCTQLLKECFELEEQYEEHQQLLQCRHRLLEELGLEPERCERVGQPFDTVLSCLAGLVHEHFPSHETRVLAVLQQVMGMSCLTGKHEPHGVLLNQLLFASKVKLKQVQQQMARMLVSFGDLRLFQLLGAEQERVLCSIAFLAKVRVTDCADRDLLRLLKRLAGLGLVQEQIAAVNQSCTGILQAARWRLGAAGAELYRGVLLHMGSLQCFDAANDMNECLDALLELAGEREQQDAAQEAEGATPPMPQSLVRLCSKMQEVEERQGRFAASMAEQLWQRREESMPEPPPDPEESGATIAMQLLGQLNRPPRSNGSGGASSGGGGGGGGGSSCGQSAPPLALPLRSGRSGEDSM
+>sp|P84074|HPCA_HUMAN Neuron-specific calcium-binding protein hippocalcin OS=Homo sapiens OX=9606 GN=HPCA PE=1 SV=2
+MGKQNSKLRPEMLQDLRENTEFSELELQEWYKGFLKDCPTGILNVDEFKKIYANFFPYGDASKFAEHVFRTFDTNSDGTIDFREFIIALSVTSRGRLEQKLMWAFSMYDLDGNGYISREEMLEIVQAIYKMVSSVMKMPEDESTPEKRTEKIFRQMDTNNDGKLSLEEFIRGAKSDPSIVRLLQCDPSSASQF
+>DECOY_sp|P84074|HPCA_HUMAN Neuron-specific calcium-binding protein hippocalcin OS=Homo sapiens OX=9606 GN=HPCA PE=1 SV=2
+FQSASSPDCQLLRVISPDSKAGRIFEELSLKGDNNTDMQRFIKETRKEPTSEDEPMKMVSSVMKYIAQVIELMEERSIYGNGDLDYMSFAWMLKQELRGRSTVSLAIIFERFDITGDSNTDFTRFVHEAFKSADGYPFFNAYIKKFEDVNLIGTPCDKLFGKYWEQLELESFETNERLDQLMEPRLKSNQKGM
+>sp|P06865|HEXA_HUMAN Beta-hexosaminidase subunit alpha OS=Homo sapiens OX=9606 GN=HEXA PE=1 SV=2
+MTSSRLWFSLLLAAAFAGRATALWPWPQNFQTSDQRYVLYPNNFQFQYDVSSAAQPGCSVLDEAFQRYRDLLFGSGSWPRPYLTGKRHTLEKNVLVVSVVTPGCNQLPTLESVENYTLTINDDQCLLLSETVWGALRGLETFSQLVWKSAEGTFFINKTEIEDFPRFPHRGLLLDTSRHYLPLSSILDTLDVMAYNKLNVFHWHLVDDPSFPYESFTFPELMRKGSYNPVTHIYTAQDVKEVIEYARLRGIRVLAEFDTPGHTLSWGPGIPGLLTPCYSGSEPSGTFGPVNPSLNNTYEFMSTFFLEVSSVFPDFYLHLGGDEVDFTCWKSNPEIQDFMRKKGFGEDFKQLESFYIQTLLDIVSSYGKGYVVWQEVFDNKVKIQPDTIIQVWREDIPVNYMKELELVTKAGFRALLSAPWYLNRISYGPDWKDFYIVEPLAFEGTPEQKALVIGGEACMWGEYVDNTNLVPRLWPRAGAVAERLWSNKLTSDLTFAYERLSHFRCELLRRGVQAQPLNVGFCEQEFEQT
+>DECOY_sp|P06865|HEXA_HUMAN Beta-hexosaminidase subunit alpha OS=Homo sapiens OX=9606 GN=HEXA PE=1 SV=2
+TQEFEQECFGVNLPQAQVGRRLLECRFHSLREYAFTLDSTLKNSWLREAVAGARPWLRPVLNTNDVYEGWMCAEGGIVLAKQEPTGEFALPEVIYFDKWDPGYSIRNLYWPASLLARFGAKTVLELEKMYNVPIDERWVQIITDPQIKVKNDFVEQWVVYGKGYSSVIDLLTQIYFSELQKFDEGFGKKRMFDQIEPNSKWCTFDVEDGGLHLYFDPFVSSVELFFTSMFEYTNNLSPNVPGFTGSPESGSYCPTLLGPIGPGWSLTHGPTDFEALVRIGRLRAYEIVEKVDQATYIHTVPNYSGKRMLEPFTFSEYPFSPDDVLHWHFVNLKNYAMVDLTDLISSLPLYHRSTDLLLGRHPFRPFDEIETKNIFFTGEASKWVLQSFTELGRLAGWVTESLLLCQDDNITLTYNEVSELTPLQNCGPTVVSVVLVNKELTHRKGTLYPRPWSGSGFLLDRYRQFAEDLVSCGPQAASSVDYQFQFNNPYLVYRQDSTQFNQPWPWLATARGAFAAALLLSFWLRSSTM
+>sp|Q68CP4|HGNAT_HUMAN Heparan-alpha-glucosaminide N-acetyltransferase OS=Homo sapiens OX=9606 GN=HGSNAT PE=1 SV=2
+MTGARASAAEQRRAGRSGQARAAERAAGMSGAGRALAALLLAASVLSAALLAPGGSSGRDAQAAPPRDLDKKRHAELKMDQALLLIHNELLWTNLTVYWKSECCYHCLFQVLVNVPQSPKAGKPSAAAASVSTQHGSILQLNDTLEEKEVCRLEYRFGEFGNYSLLVKNIHNGVSEIACDLAVNEDPVDSNLPVSIAFLIGLAVIIVISFLRLLLSLDDFNNWISKAISSRETDRLINSELGSPSRTDPLDGDVQPATWRLSALPPRLRSVDTFRGIALILMVFVNYGGGKYWYFKHASWNGLTVADLVFPWFVFIMGSSIFLSMTSILQRGCSKFRLLGKIAWRSFLLICIGIIIVNPNYCLGPLSWDKVRIPGVLQRLGVTYFVVAVLELLFAKPVPEHCASERSCLSLRDITSSWPQWLLILVLEGLWLGLTFLLPVPGCPTGYLGPGGIGDFGKYPNCTGGAAGYIDRLLLGDDHLYQHPSSAVLYHTEVAYDPEGILGTINSIVMAFLGVQAGKILLYYKARTKDILIRFTAWCCILGLISVALTKVSENEGFIPVNKNLWSLSYVTTLSSFAFFILLVLYPVVDVKGLWTGTPFFYPGMNSILVYVGHEVFENYFPFQWKLKDNQSHKEHLTQNIVATALWVLIAYILYRKKIFWKI
+>DECOY_sp|Q68CP4|HGNAT_HUMAN Heparan-alpha-glucosaminide N-acetyltransferase OS=Homo sapiens OX=9606 GN=HGSNAT PE=1 SV=2
+IKWFIKKRYLIYAILVWLATAVINQTLHEKHSQNDKLKWQFPFYNEFVEHGVYVLISNMGPYFFPTGTWLGKVDVVPYLVLLIFFAFSSLTTVYSLSWLNKNVPIFGENESVKTLAVSILGLICCWATFRILIDKTRAKYYLLIKGAQVGLFAMVISNITGLIGEPDYAVETHYLVASSPHQYLHDDGLLLRDIYGAAGGTCNPYKGFDGIGGPGLYGTPCGPVPLLFTLGLWLGELVLILLWQPWSSTIDRLSLCSRESACHEPVPKAFLLELVAVVFYTVGLRQLVGPIRVKDWSLPGLCYNPNVIIIGICILLFSRWAIKGLLRFKSCGRQLISTMSLFISSGMIFVFWPFVLDAVTLGNWSAHKFYWYKGGGYNVFVMLILAIGRFTDVSRLRPPLASLRWTAPQVDGDLPDTRSPSGLESNILRDTERSSIAKSIWNNFDDLSLLLRLFSIVIIVALGILFAISVPLNSDVPDENVALDCAIESVGNHINKVLLSYNGFEGFRYELRCVEKEELTDNLQLISGHQTSVSAAAASPKGAKPSQPVNVLVQFLCHYCCESKWYVTLNTWLLENHILLLAQDMKLEAHRKKDLDRPPAAQADRGSSGGPALLAASLVSAALLLAALARGAGSMGAAREAARAQGSRGARRQEAASARAGTM
+>sp|Q9HCP6|HHATL_HUMAN Protein-cysteine N-palmitoyltransferase HHAT-like protein OS=Homo sapiens OX=9606 GN=HHATL PE=2 SV=1
+MGIKTALPAAELGLYSLVLSGALAYAGRGLLEASQDGAHRKAFRESVRPGWEYIGRKMDVADFEWVMWFTSFRNVIIFALSGHVLFAKLCTMVAPKLRSWMYAVYGALAVMGTMGPWYLLLLLGHCVGLYVASLLGQPWLCLGLGLASLASFKMDPLISWQSGFVTGTFDLQEVLFHGGSSFTVLRCTSFALESCAHPDRHYSLADLLKYNFYLPFFFFGPIMTFDRFHAQVSQVEPVRREGELWHIRAQAGLSVVAIMAVDIFFHFFYILTIPSDLKFANRLPDSALAGLAYSNLVYDWVKAAVLFGVVNTVACLDHLDPPQPPKCITALYVFAETHFDRGINDWLCKYVYNHIGGEHSAVIPELAATVATFAITTLWLGPCDIVYLWSFLNCFGLNFELWMQKLAEWGPLARIEASLSVQMSRRVRALFGAMNFWAIIMYNLVSLNSLKFTELVARRLLLTGFPQTTLSILFVTYCGVQLVKERERTLALEEEQKQDKEKPE
+>DECOY_sp|Q9HCP6|HHATL_HUMAN Protein-cysteine N-palmitoyltransferase HHAT-like protein OS=Homo sapiens OX=9606 GN=HHATL PE=2 SV=1
+EPKEKDQKQEEELALTREREKVLQVGCYTVFLISLTTQPFGTLLLRRAVLETFKLSNLSVLNYMIIAWFNMAGFLARVRRSMQVSLSAEIRALPGWEALKQMWLEFNLGFCNLFSWLYVIDCPGLWLTTIAFTAVTAALEPIVASHEGGIHNYVYKCLWDNIGRDFHTEAFVYLATICKPPQPPDLHDLCAVTNVVGFLVAAKVWDYVLNSYALGALASDPLRNAFKLDSPITLIYFFHFFIDVAMIAVVSLGAQARIHWLEGERRVPEVQSVQAHFRDFTMIPGFFFFPLYFNYKLLDALSYHRDPHACSELAFSTCRLVTFSSGGHFLVEQLDFTGTVFGSQWSILPDMKFSALSALGLGLCLWPQGLLSAVYLGVCHGLLLLLYWPGMTGMVALAGYVAYMWSRLKPAVMTCLKAFLVHGSLAFIIVNRFSTFWMVWEFDAVDMKRGIYEWGPRVSERFAKRHAGDQSAELLGRGAYALAGSLVLSYLGLEAAPLATKIGM
+>sp|Q53FT3|HIKES_HUMAN Protein Hikeshi OS=Homo sapiens OX=9606 GN=HIKESHI PE=1 SV=2
+MFGCLVAGRLVQTAAQQVAEDKFVFDLPDYESINHVVVFMLGTIPFPEGMGGSVYFSYPDSNGMPVWQLLGFVTNGKPSAIFKISGLKSGEGSQHPFGAMNIVRTPSVAQIGISVELLDSMAQQTPVGNAAVSSVDSFTQFTQKMLDNFYNFASSFAVSQAQMTPSPSEMFIPANVVLKWYENFQRRLAQNPLFWKT
+>DECOY_sp|Q53FT3|HIKES_HUMAN Protein Hikeshi OS=Homo sapiens OX=9606 GN=HIKESHI PE=1 SV=2
+TKWFLPNQALRRQFNEYWKLVVNAPIFMESPSPTMQAQSVAFSSAFNYFNDLMKQTFQTFSDVSSVAANGVPTQQAMSDLLEVSIGIQAVSPTRVINMAGFPHQSGEGSKLGSIKFIASPKGNTVFGLLQWVPMGNSDPYSFYVSGGMGEPFPITGLMFVVVHNISEYDPLDFVFKDEAVQQAATQVLRGAVLCGFM
+>sp|Q9H422|HIPK3_HUMAN Homeodomain-interacting protein kinase 3 OS=Homo sapiens OX=9606 GN=HIPK3 PE=1 SV=1
+MASQVLVYPPYVYQTQSSAFCSVKKLKVEPSSCVFQERNYPRTYVNGRNFGNSHPPTKGSAFQTKIPFNRPRGHNFSLQTSAVVLKNTAGATKVIAAQAQQAHVQAPQIGAWRNRLHFLEGPQRCGLKRKSEELDNHSSAMQIVDELSILPAMLQTNMGNPVTVVTATTGSKQNCTTGEGDYQLVQHEVLCSMKNTYEVLDFLGRGTFGQVVKCWKRGTNEIVAIKILKNHPSYARQGQIEVSILARLSTENADEYNFVRAYECFQHRNHTCLVFEMLEQNLYDFLKQNKFSPLPLKVIRPILQQVATALKKLKSLGLIHADLKPENIMLVDPVRQPYRVKVIDFGSASHVSKTVCSTYLQSRYYRAPEIILGLPFCEAIDMWSLGCVIAELFLGWPLYPGALEYDQIRYISQTQGLPGEQLLNVGTKSTRFFCKETDMSHSGWRLKTLEEHEAETGMKSKEARKYIFNSLDDVAHVNTVMDLEGSDLLAEKADRREFVSLLKKMLLIDADLRITPAETLNHPFVNMKHLLDFPHSNHVKSCFHIMDICKSHLNSCDTNNHNKTSLLRPVASSSTATLTANFTKIGTLRSQALTTSAHSVVHHGIPLQAGTAQFGCGDAFQQTLIICPPAIQGIPATHGKPTSYSIRVDNTVPLVTQAPAVQPLQIRPGVLSQTWSGRTQQMLVPAWQQVTPLAPATTTLTSESVAGSHRLGDWGKMISCSNHYNSVMPQPLLTNQITLSAPQPVSVGIAHVVWPQPATTKKNKQCQNRGILVKLMEWEPGREEINAFSWSNSLQNTNIPHSAFISPKIINGKDVEEVSCIETQDNQNSEGEARNCCETSIRQDSDSSVSDKQRQTIIIADSPSPAVSVITISSDTDEEETSQRHSLRECKGSLDCEACQSTLNIDRMCSLSSPDSTLSTSSSGQSSPSPCKRPNSMSDEEQESSCDTVDGSPTSDSSGHDSPFAESTFVEDTHENTELVSSADTETKPAVCSVVVPPVELENGLNADEHMANTDSICQPLIKGRSAPGRLNQPSAVGTRQQKLTSAFQQQHLNFSQVQHFGSGHQEWNGNFGHRRQQAYIPTSVTSNPFTLSHGSPNHTAVHAHLAGNTHLGGQPTLLPYPSSATLSSAAPVAHLLASPCTSRPMLQHPTYNISHPSGIVHQVPVGLNPRLLPSPTIHQTQYKPIFPPHSYIAASPAYTGFPLSPTKLSQYPYM
+>DECOY_sp|Q9H422|HIPK3_HUMAN Homeodomain-interacting protein kinase 3 OS=Homo sapiens OX=9606 GN=HIPK3 PE=1 SV=1
+MYPYQSLKTPSLPFGTYAPSAAIYSHPPFIPKYQTQHITPSPLLRPNLGVPVQHVIGSPHSINYTPHQLMPRSTCPSALLHAVPAASSLTASSPYPLLTPQGGLHTNGALHAHVATHNPSGHSLTFPNSTVSTPIYAQQRRHGFNGNWEQHGSGFHQVQSFNLHQQQFASTLKQQRTGVASPQNLRGPASRGKILPQCISDTNAMHEDANLGNELEVPPVVVSCVAPKTETDASSVLETNEHTDEVFTSEAFPSDHGSSDSTPSGDVTDCSSEQEEDSMSNPRKCPSPSSQGSSSTSLTSDPSSLSCMRDINLTSQCAECDLSGKCERLSHRQSTEEEDTDSSITIVSVAPSPSDAIIITQRQKDSVSSDSDQRISTECCNRAEGESNQNDQTEICSVEEVDKGNIIKPSIFASHPINTNQLSNSWSFANIEERGPEWEMLKVLIGRNQCQKNKKTTAPQPWVVHAIGVSVPQPASLTIQNTLLPQPMVSNYHNSCSIMKGWDGLRHSGAVSESTLTTTAPALPTVQQWAPVLMQQTRGSWTQSLVGPRIQLPQVAPAQTVLPVTNDVRISYSTPKGHTAPIGQIAPPCIILTQQFADGCGFQATGAQLPIGHHVVSHASTTLAQSRLTGIKTFNATLTATSSSAVPRLLSTKNHNNTDCSNLHSKCIDMIHFCSKVHNSHPFDLLHKMNVFPHNLTEAPTIRLDADILLMKKLLSVFERRDAKEALLDSGELDMVTNVHAVDDLSNFIYKRAEKSKMGTEAEHEELTKLRWGSHSMDTEKCFFRTSKTGVNLLQEGPLGQTQSIYRIQDYELAGPYLPWGLFLEAIVCGLSWMDIAECFPLGLIIEPARYYRSQLYTSCVTKSVHSASGFDIVKVRYPQRVPDVLMINEPKLDAHILGLSKLKKLATAVQQLIPRIVKLPLPSFKNQKLFDYLNQELMEFVLCTHNRHQFCEYARVFNYEDANETSLRALISVEIQGQRAYSPHNKLIKIAVIENTGRKWCKVVQGFTGRGLFDLVEYTNKMSCLVEHQVLQYDGEGTTCNQKSGTTATVVTVPNGMNTQLMAPLISLEDVIQMASSHNDLEESKRKLGCRQPGELFHLRNRWAGIQPAQVHAQQAQAAIVKTAGATNKLVVASTQLSFNHGRPRNFPIKTQFASGKTPPHSNGFNRGNVYTRPYNREQFVCSSPEVKLKKVSCFASSQTQYVYPPYVLVQSAM
+>sp|P54198|HIRA_HUMAN Protein HIRA OS=Homo sapiens OX=9606 GN=HIRA PE=1 SV=2
+MKLLKPTWVNHNGKPIFSVDIHPDGTKFATGGQGQDSGKVVIWNMSPVLQEDDEKDENIPKMLCQMDNHLACVNCVRWSNSGMYLASGGDDKLIMVWKRATYIGPSTVFGSSGKLANVEQWRCVSILRNHSGDVMDVAWSPHDAWLASCSVDNTVVIWNAVKFPEILATLRGHSGLVKGLTWDPVGKYIASQADDRSLKVWRTLDWQLETSITKPFDECGGTTHVLRLSWSPDGHYLVSAHAMNNSGPTAQIIEREGWKTNMDFVGHRKAVTVVKFNPKIFKKKQKNGSSAKPSCPYCCCAVGSKDRSLSVWLTCLKRPLVVIHELFDKSIMDISWTLNGLGILVCSMDGSVAFLDFSQDELGDPLSEEEKSRIHQSTYGKSLAIMTEAQLSTAVIENPEMLKYQRRQQQQQLDQKSAATREMGSATSVAGVVNGESLEDIRKNLLKKQVETRTADGRRRITPLCIAQLDTGDFSTAFFNSIPLSGSLAGTMLSSHSSPQLLPLDSSTPNSFGASKPCTEPVVAASARPAGDSVNKDSMNATSTPAALSPSVLTTPSKIEPMKAFDSRFTERSKATPGAPALTSMTPTAVERLKEQNLVKELRPRDLLESSSDSDEKVPLAKASSLSKRKLELEVETVEKKKKGRPRKDSRLMPVSLSVQSPAALTAEKEAMCLSAPALALKLPIPSPQRAFTLQVSSDPSMYIEVENEVTVVGGVKLSRLKCNREGKEWETVLTSRILTAAGSCDVVCVACEKRMLSVFSTCGRRLLSPILLPSPISTLHCTGSYVMALTAAATLSVWDVHRQVVVVKEESLHSILAGSDMTVSQILLTQHGIPVMNLSDGKAYCFNPSLSTWNLVSDKQDSLAQCADFRSSLPSQDAMLCSGPLAIIQGRTSNSGRQAARLFSVPHVVQQETTLAYLENQVAAALTLQSSHEYRHWLLVYARYLVNEGFEYRLREICKDLLGPVHYSTGSQWESTVVGLRKRELLKELLPVIGQNLRFQRLFTECQEQLDILRDK
+>DECOY_sp|P54198|HIRA_HUMAN Protein HIRA OS=Homo sapiens OX=9606 GN=HIRA PE=1 SV=2
+KDRLIDLQEQCETFLRQFRLNQGIVPLLEKLLERKRLGVVTSEWQSGTSYHVPGLLDKCIERLRYEFGENVLYRAYVLLWHRYEHSSQLTLAAAVQNELYALTTEQQVVHPVSFLRAAQRGSNSTRGQIIALPGSCLMADQSPLSSRFDACQALSDQKDSVLNWTSLSPNFCYAKGDSLNMVPIGHQTLLIQSVTMDSGALISHLSEEKVVVVQRHVDWVSLTAAATLAMVYSGTCHLTSIPSPLLIPSLLRRGCTSFVSLMRKECAVCVVDCSGAATLIRSTLVTEWEKGERNCKLRSLKVGGVVTVENEVEIYMSPDSSVQLTFARQPSPIPLKLALAPASLCMAEKEATLAAPSQVSLSVPMLRSDKRPRGKKKKEVTEVELELKRKSLSSAKALPVKEDSDSSSELLDRPRLEKVLNQEKLREVATPTMSTLAPAGPTAKSRETFRSDFAKMPEIKSPTTLVSPSLAAPTSTANMSDKNVSDGAPRASAAVVPETCPKSAGFSNPTSSDLPLLQPSSHSSLMTGALSGSLPISNFFATSFDGTDLQAICLPTIRRRGDATRTEVQKKLLNKRIDELSEGNVVGAVSTASGMERTAASKQDLQQQQQRRQYKLMEPNEIVATSLQAETMIALSKGYTSQHIRSKEEESLPDGLEDQSFDLFAVSGDMSCVLIGLGNLTWSIDMISKDFLEHIVVLPRKLCTLWVSLSRDKSGVACCCYPCSPKASSGNKQKKKFIKPNFKVVTVAKRHGVFDMNTKWGEREIIQATPGSNNMAHASVLYHGDPSWSLRLVHTTGGCEDFPKTISTELQWDLTRWVKLSRDDAQSAIYKGVPDWTLGKVLGSHGRLTALIEPFKVANWIVVTNDVSCSALWADHPSWAVDMVDGSHNRLISVCRWQEVNALKGSSGFVTSPGIYTARKWVMILKDDGGSALYMGSNSWRVCNVCALHNDMQCLMKPINEDKEDDEQLVPSMNWIVVKGSDQGQGGTAFKTGDPHIDVSFIPKGNHNVWTPKLLKM
+>sp|Q8TCT9|HM13_HUMAN Minor histocompatibility antigen H13 OS=Homo sapiens OX=9606 GN=HM13 PE=1 SV=1
+MDSALSDPHNGSAEAGGPTNSTTRPPSTPEGIALAYGSLLLMALLPIFFGALRSVRCARGKNASDMPETITSRDAARFPIIASCTLLGLYLFFKIFSQEYINLLLSMYFFVLGILALSHTISPFMNKFFPASFPNRQYQLLFTQGSGENKEEIINYEFDTKDLVCLGLSSIVGVWYLLRKHWIANNLFGLAFSLNGVELLHLNNVSTGCILLGGLFIYDVFWVFGTNVMVTVAKSFEAPIKLVFPQDLLEKGLEANNFAMLGLGDVVIPGIFIALLLRFDISLKKNTHTYFYTSFAAYIFGLGLTIFIMHIFKHAQPALLYLVPACIGFPVLVALAKGEVTEMFSYEESNPKDPAAVTESKEGTEASASKGLEKKEK
+>DECOY_sp|Q8TCT9|HM13_HUMAN Minor histocompatibility antigen H13 OS=Homo sapiens OX=9606 GN=HM13 PE=1 SV=1
+KEKKELGKSASAETGEKSETVAAPDKPNSEEYSFMETVEGKALAVLVPFGICAPVLYLLAPQAHKFIHMIFITLGLGFIYAAFSTYFYTHTNKKLSIDFRLLLAIFIGPIVVDGLGLMAFNNAELGKELLDQPFVLKIPAEFSKAVTVMVNTGFVWFVDYIFLGGLLICGTSVNNLHLLEVGNLSFALGFLNNAIWHKRLLYWVGVISSLGLCVLDKTDFEYNIIEEKNEGSGQTFLLQYQRNPFSAPFFKNMFPSITHSLALIGLVFFYMSLLLNIYEQSFIKFFLYLGLLTCSAIIPFRAADRSTITEPMDSANKGRACRVSRLAGFFIPLLAMLLLSGYALAIGEPTSPPRTTSNTPGGAEASGNHPDSLASDM
+>sp|Q9NP66|HM20A_HUMAN High mobility group protein 20A OS=Homo sapiens OX=9606 GN=HMG20A PE=1 SV=1
+MENLMTSSTLPPLFADEDGSKESNDLATTGLNHPEVPYSSGATSSTNNPEFVEDLSQGQLLQSESSNAAEGNEQRHEDEQRSKRGGWSKGRKRKKPLRDSNAPKSPLTGYVRFMNERREQLRAKRPEVPFPEITRMLGNEWSKLPPEEKQRYLDEADRDKERYMKELEQYQKTEAYKVFSRKTQDRQKGKSHRQDAARQATHDHEKETEVKERSVFDIPIFTEEFLNHSKAREAELRQLRKSNMEFEERNAALQKHVESMRTAVEKLEVDVIQERSRNTVLQQHLETLRQVLTSSFASMPLPGSGETPTVDTIDSYMNRLHSIILANPQDNENFIATVREVVNRLDR
+>DECOY_sp|Q9NP66|HM20A_HUMAN High mobility group protein 20A OS=Homo sapiens OX=9606 GN=HMG20A PE=1 SV=1
+RDLRNVVERVTAIFNENDQPNALIISHLRNMYSDITDVTPTEGSGPLPMSAFSSTLVQRLTELHQQLVTNRSREQIVDVELKEVATRMSEVHKQLAANREEFEMNSKRLQRLEAERAKSHNLFEETFIPIDFVSREKVETEKEHDHTAQRAADQRHSKGKQRDQTKRSFVKYAETKQYQELEKMYREKDRDAEDLYRQKEEPPLKSWENGLMRTIEPFPVEPRKARLQERRENMFRVYGTLPSKPANSDRLPKKRKRGKSWGGRKSRQEDEHRQENGEAANSSESQLLQGQSLDEVFEPNNTSSTAGSSYPVEPHNLGTTALDNSEKSGDEDAFLPPLTSSTMLNEM
+>sp|P0CJ68|HMN1_HUMAN Humanin-like 1 OS=Homo sapiens OX=9606 GN=MTRNR2L1 PE=2 SV=1
+MAPRGFSCLLLSTSEIDLPVKRRT
+>DECOY_sp|P0CJ68|HMN1_HUMAN Humanin-like 1 OS=Homo sapiens OX=9606 GN=MTRNR2L1 PE=2 SV=1
+TRRKVPLDIESTSLLLCSFGRPAM
+>sp|P0CJ75|HMN8_HUMAN Humanin-like 8 OS=Homo sapiens OX=9606 GN=MTRNR2L8 PE=2 SV=1
+MAPRGFSCLLLSTSEIDLPVKRRA
+>DECOY_sp|P0CJ75|HMN8_HUMAN Humanin-like 8 OS=Homo sapiens OX=9606 GN=MTRNR2L8 PE=2 SV=1
+ARRKVPLDIESTSLLLCSFGRPAM
+>sp|P30519|HMOX2_HUMAN Heme oxygenase 2 OS=Homo sapiens OX=9606 GN=HMOX2 PE=1 SV=2
+MSAEVETSEGVDESEKKNSGALEKENQMRMADLSELLKEGTKEAHDRAENTQFVKDFLKGNIKKELFKLATTALYFTYSALEEEMERNKDHPAFAPLYFPMELHRKEALTKDMEYFFGENWEEQVQCPKAAQKYVERIHYIGQNEPELLVAHAYTRYMGDLSGGQVLKKVAQRALKLPSTGEGTQFYLFENVDNAQQFKQLYRARMNALDLNMKTKERIVEEANKAFEYNMQIFNELDQAGSTLARETLEDGFPVHDGKGDMRKCPFYAAEQDKGALEGSSCPFRTAMAVLRKPSLQFILAAGVALAAGLLAWYYM
+>DECOY_sp|P30519|HMOX2_HUMAN Heme oxygenase 2 OS=Homo sapiens OX=9606 GN=HMOX2 PE=1 SV=2
+MYYWALLGAALAVGAALIFQLSPKRLVAMATRFPCSSGELAGKDQEAAYFPCKRMDGKGDHVPFGDELTERALTSGAQDLENFIQMNYEFAKNAEEVIREKTKMNLDLANMRARYLQKFQQANDVNEFLYFQTGEGTSPLKLARQAVKKLVQGGSLDGMYRTYAHAVLLEPENQGIYHIREVYKQAAKPCQVQEEWNEGFFYEMDKTLAEKRHLEMPFYLPAFAPHDKNREMEEELASYTFYLATTALKFLEKKINGKLFDKVFQTNEARDHAEKTGEKLLESLDAMRMQNEKELAGSNKKESEDVGESTEVEASM
+>sp|Q9UBC0|HNF6_HUMAN Hepatocyte nuclear factor 6 OS=Homo sapiens OX=9606 GN=ONECUT1 PE=2 SV=1
+MNAQLTMEAIGELHGVSHEPVPAPADLLGGSPHARSSVAHRGSHLPPAHPRSMGMASLLDGGSGGGDYHHHHRAPEHSLAGPLHPTMTMACETPPGMSMPTTYTTLTPLQPLPPISTVSDKFPHHHHHHHHHHHPHHHQRLAGNVSGSFTLMRDERGLASMNNLYTPYHKDVAGMGQSLSPLSSSGLGSIHNSQQGLPHYAHPGAAMPTDKMLTPNGFEAHHPAMLGRHGEQHLTPTSAGMVPINGLPPHHPHAHLNAQGHGQLLGTAREPNPSVTGAQVSNGSNSGQMEEINTKEVAQRITTELKRYSIPQAIFAQRVLCRSQGTLSDLLRNPKPWSKLKSGRETFRRMWKWLQEPEFQRMSALRLAACKRKEQEHGKDRGNTPKKPRLVFTDVQRRTLHAIFKENKRPSKELQITISQQLGLELSTVSNFFMNARRRSLDKWQDEGSSNSGNSSSSSSTCTKA
+>DECOY_sp|Q9UBC0|HNF6_HUMAN Hepatocyte nuclear factor 6 OS=Homo sapiens OX=9606 GN=ONECUT1 PE=2 SV=1
+AKTCTSSSSSSNGSNSSGEDQWKDLSRRRANMFFNSVTSLELGLQQSITIQLEKSPRKNEKFIAHLTRRQVDTFVLRPKKPTNGRDKGHEQEKRKCAALRLASMRQFEPEQLWKWMRRFTERGSKLKSWPKPNRLLDSLTGQSRCLVRQAFIAQPISYRKLETTIRQAVEKTNIEEMQGSNSGNSVQAGTVSPNPERATGLLQGHGQANLHAHPHHPPLGNIPVMGASTPTLHQEGHRGLMAPHHAEFGNPTLMKDTPMAAGPHAYHPLGQQSNHISGLGSSSLPSLSQGMGAVDKHYPTYLNNMSALGREDRMLTFSGSVNGALRQHHHPHHHHHHHHHHHPFKDSVTSIPPLPQLPTLTTYTTPMSMGPPTECAMTMTPHLPGALSHEPARHHHHYDGGGSGGDLLSAMGMSRPHAPPLHSGRHAVSSRAHPSGGLLDAPAPVPEHSVGHLEGIAEMTLQANM
+>sp|P50135|HNMT_HUMAN Histamine N-methyltransferase OS=Homo sapiens OX=9606 GN=HNMT PE=1 SV=1
+MASSMRSLFSDHGKYVESFRRFLNHSTEHQCMQEFMDKKLPGIIGRIGDTKSEIKILSIGGGAGEIDLQILSKVQAQYPGVCINNEVVEPSAEQIAKYKELVAKTSNLENVKFAWHKETSSEYQSRMLEKKELQKWDFIHMIQMLYYVKDIPATLKFFHSLLGTNAKMLIIVVSGSSGWDKLWKKYGSRFPQDDLCQYITSDDLTQMLDNLGLKYECYDLLSTMDISDCFIDGNENGDLLWDFLTETCNFNATAPPDLRAELGKDLQEPEFSAKKEGKVLFNNTLSFIVIEA
+>DECOY_sp|P50135|HNMT_HUMAN Histamine N-methyltransferase OS=Homo sapiens OX=9606 GN=HNMT PE=1 SV=1
+AEIVIFSLTNNFLVKGEKKASFEPEQLDKGLEARLDPPATANFNCTETLFDWLLDGNENGDIFCDSIDMTSLLDYCEYKLGLNDLMQTLDDSTIYQCLDDQPFRSGYKKWLKDWGSSGSVVIILMKANTGLLSHFFKLTAPIDKVYYLMQIMHIFDWKQLEKKELMRSQYESSTEKHWAFKVNELNSTKAVLEKYKAIQEASPEVVENNICVGPYQAQVKSLIQLDIEGAGGGISLIKIESKTDGIRGIIGPLKKDMFEQMCQHETSHNLFRRFSEVYKGHDSFLSRMSSAM
+>sp|Q86YM7|HOME1_HUMAN Homer protein homolog 1 OS=Homo sapiens OX=9606 GN=HOMER1 PE=1 SV=2
+MGEQPIFSTRAHVFQIDPNTKKNWVPTSKHAVTVSYFYDSTRNVYRIISLDGSKAIINSTITPNMTFTKTSQKFGQWADSRANTVYGLGFSSEHHLSKFAEKFQEFKEAARLAKEKSQEKMELTSTPSQESAGGDLQSPLTPESINGTDDERTPDVTQNSEPRAEPTQNALPFSHSSAISKHWEAELATLKGNNAKLTAALLESTANVKQWKQQLAAYQEEAERLHKRVTELECVSSQANAVHTHKTELNQTIQELEETLKLKEEEIERLKQEIDNARELQEQRDSLTQKLQEVEIRNKDLEGQLSDLEQRLEKSQNEQEAFRNNLKTLLEILDGKIFELTELRDNLAKLLECS
+>DECOY_sp|Q86YM7|HOME1_HUMAN Homer protein homolog 1 OS=Homo sapiens OX=9606 GN=HOMER1 PE=1 SV=2
+SCELLKALNDRLETLEFIKGDLIELLTKLNNRFAEQENQSKELRQELDSLQGELDKNRIEVEQLKQTLSDRQEQLERANDIEQKLREIEEEKLKLTEELEQITQNLETKHTHVANAQSSVCELETVRKHLREAEEQYAALQQKWQKVNATSELLAATLKANNGKLTALEAEWHKSIASSHSFPLANQTPEARPESNQTVDPTREDDTGNISEPTLPSQLDGGASEQSPTSTLEMKEQSKEKALRAAEKFEQFKEAFKSLHHESSFGLGYVTNARSDAWQGFKQSTKTFTMNPTITSNIIAKSGDLSIIRYVNRTSDYFYSVTVAHKSTPVWNKKTNPDIQFVHARTSFIPQEGM
+>sp|Q8IX15|HOMEZ_HUMAN Homeobox and leucine zipper protein Homez OS=Homo sapiens OX=9606 GN=HOMEZ PE=1 SV=2
+MVRGWEPPPGLDCAISEGHKSEGTMPPNKEASGLSSSPAGLICLPPISEELQLVWTQAAQTSELDSNEHLLKTFSYFPYPSLADIALLCLRYGLQMEKVKTWFMAQRLRCGISWSSEEIEETRARVVYRRDQLHFKSLLSFTHHAGRPPEEVPPPPVPAPEQVGIGIGPPTLSKPTQTKGLKVEPEEPSQMPPLPQSHQKLKESLMTPGSGAFPYQSDFWQHLQSSGLSKEQAGRGPNQSHGIGTASWNHSTTVPQPQARDKPPPIALIASSCKEESASSVTPSSSSTSSSFQVLANGATAASKPLQPLGCVPQSVSPSEQALPPHLEPAWPQGLRHNSVPGRVGPTEYLSPDMQRQRKTKRKTKEQLAILKSFFLQCQWARREDYQKLEQITGLPRPEIIQWFGDTRYALKHGQLKWFRDNAVPGAPSFQDPAIPTPPPSTRSLNERAETPPLPIPPPPPDIQPLERYWAAHQQLRETDIPQLSQASRLSTQQVLDWFDSRLPQPAEVVVCLDEEEEEEEEELPEDDEEEEEEEEEDDDDDDDDVIIQD
+>DECOY_sp|Q8IX15|HOMEZ_HUMAN Homeobox and leucine zipper protein Homez OS=Homo sapiens OX=9606 GN=HOMEZ PE=1 SV=2
+DQIIVDDDDDDDDEEEEEEEEEDDEPLEEEEEEEEEDLCVVVEAPQPLRSDFWDLVQQTSLRSAQSLQPIDTERLQQHAAWYRELPQIDPPPPPIPLPPTEARENLSRTSPPPTPIAPDQFSPAGPVANDRFWKLQGHKLAYRTDGFWQIIEPRPLGTIQELKQYDERRAWQCQLFFSKLIALQEKTKRKTKRQRQMDPSLYETPGVRGPVSNHRLGQPWAPELHPPLAQESPSVSQPVCGLPQLPKSAATAGNALVQFSSSTSSSSPTVSSASEEKCSSAILAIPPPKDRAQPQPVTTSHNWSATGIGHSQNPGRGAQEKSLGSSQLHQWFDSQYPFAGSGPTMLSEKLKQHSQPLPPMQSPEEPEVKLGKTQTPKSLTPPGIGIGVQEPAPVPPPPVEEPPRGAHHTFSLLSKFHLQDRRYVVRARTEEIEESSWSIGCRLRQAMFWTKVKEMQLGYRLCLLAIDALSPYPFYSFTKLLHENSDLESTQAAQTWVLQLEESIPPLCILGAPSSSLGSAEKNPPMTGESKHGESIACDLGPPPEWGRVM
+>sp|Q9BPY8|HOP_HUMAN Homeodomain-only protein OS=Homo sapiens OX=9606 GN=HOPX PE=1 SV=1
+MSAETASGPTEDQVEILEYNFNKVDKHPDSTTLCLIAAEAGLSEEETQKWFKQRLAKWRRSEGLPSECRSVTD
+>DECOY_sp|Q9BPY8|HOP_HUMAN Homeodomain-only protein OS=Homo sapiens OX=9606 GN=HOPX PE=1 SV=1
+DTVSRCESPLGESRRWKALRQKFWKQTEEESLGAEAAILCLTTSDPHKDVKNFNYELIEVQDETPGSATEASM
+>sp|Q6UXD1|HRCT1_HUMAN Histidine-rich carboxyl terminus protein 1 OS=Homo sapiens OX=9606 GN=HRCT1 PE=4 SV=1
+MLGLLGSTALVGWITGAAVAVLLLLLLLATCLFHGRQDCDVERNRTAAGGNRVRRAQPWPFRRRGHLGIFHHHRHPGHVSHVPNVGLHHHHHPRHTPHHLHHHHHPHRHHPRHAR
+>DECOY_sp|Q6UXD1|HRCT1_HUMAN Histidine-rich carboxyl terminus protein 1 OS=Homo sapiens OX=9606 GN=HRCT1 PE=4 SV=1
+RAHRPHHRHPHHHHHLHHPTHRPHHHHHLGVNPVHSVHGPHRHHHFIGLHGRRRFPWPQARRVRNGGAATRNREVDCDQRGHFLCTALLLLLLLVAVAAGTIWGVLATSGLLGLM
+>sp|P13985|HRES1_HUMAN Putative HTLV-1-related endogenous sequence OS=Homo sapiens OX=9606 GN=HRES1 PE=5 SV=1
+MRCAHAPAPRTRYPTRAPSGPRPPSRSQAQTPPRSVPRLRPRHRHPQDPRSPGPAPRHRRPPRPDPRAPPARASYRRFRTWPSATSWERRRLSPGHRALARGPPARLGGEGPGAGDRRREGPDRSPRQPPVLPAAAAQPDSSSAQAPGPSTLRPAATARRKRRWATRGPAHPAFARAHGEAGAGRVRTSARAGSTCAGWALWRCALRWAERQVGALGAESRFP
+>DECOY_sp|P13985|HRES1_HUMAN Putative HTLV-1-related endogenous sequence OS=Homo sapiens OX=9606 GN=HRES1 PE=5 SV=1
+PFRSEAGLAGVQREAWRLACRWLAWGACTSGARASTRVRGAGAEGHARAFAPHAPGRTAWRRKRRATAAPRLTSPGPAQASSSDPQAAAAPLVPPQRPSRDPGERRRDGAGPGEGGLRAPPGRALARHGPSLRRREWSTASPWTRFRRYSARAPPARPDPRPPRRHRPAPGPSRPDQPHRHRPRLRPVSRPPTQAQSRSPPRPGSPARTPYRTRPAPAHACRM
+>sp|Q9Y5N1|HRH3_HUMAN Histamine H3 receptor OS=Homo sapiens OX=9606 GN=HRH3 PE=1 SV=2
+MERAPPDGPLNASGALAGEAAAAGGARGFSAAWTAVLAALMALLIVATVLGNALVMLAFVADSSLRTQNNFFLLNLAISDFLVGAFCIPLYVPYVLTGRWTFGRGLCKLWLVVDYLLCTSSAFNIVLISYDRFLSVTRAVSYRAQQGDTRRAVRKMLLVWVLAFLLYGPAILSWEYLSGGSSIPEGHCYAEFFYNWYFLITASTLEFFTPFLSVTFFNLSIYLNIQRRTRLRLDGAREAAGPEPPPEAQPSPPPPPGCWGCWQKGHGEAMPLHRYGVGEAAVGAEAGEATLGGGGGGGSVASPTSSSGSSSRGTERPRSLKRGSKPSASSASLEKRMKMVSQSFTQRFRLSRDRKVAKSLAVIVSIFGLCWAPYTLLMIIRAACHGHCVPDYWYETSFWLLWANSAVNPVLYPLCHHSFRRAFTKLLCPQKLKIQPHSSLEHCWK
+>DECOY_sp|Q9Y5N1|HRH3_HUMAN Histamine H3 receptor OS=Homo sapiens OX=9606 GN=HRH3 PE=1 SV=2
+KWCHELSSHPQIKLKQPCLLKTFARRFSHHCLPYLVPNVASNAWLLWFSTEYWYDPVCHGHCAARIIMLLTYPAWCLGFISVIVALSKAVKRDRSLRFRQTFSQSVMKMRKELSASSASPKSGRKLSRPRETGRSSSGSSSTPSAVSGGGGGGGLTAEGAEAGVAAEGVGYRHLPMAEGHGKQWCGWCGPPPPPSPQAEPPPEPGAAERAGDLRLRTRRQINLYISLNFFTVSLFPTFFELTSATILFYWNYFFEAYCHGEPISSGGSLYEWSLIAPGYLLFALVWVLLMKRVARRTDGQQARYSVARTVSLFRDYSILVINFASSTCLLYDVVLWLKCLGRGFTWRGTLVYPVYLPICFAGVLFDSIALNLLFFNNQTRLSSDAVFALMVLANGLVTAVILLAMLAALVATWAASFGRAGGAAAAEGALAGSANLPGDPPAREM
+>sp|Q96MM6|HS12B_HUMAN Heat shock 70 kDa protein 12B OS=Homo sapiens OX=9606 GN=HSPA12B PE=1 SV=2
+MLAVPEMGLQGLYIGSSPERSPVPSPPGSPRTQESCGIAPLTPSQSPKPEVRAPQQASFSVVVAIDFGTTSSGYAFSFASDPEAIHMMRKWEGGDPGVAHQKTPTCLLLTPEGAFHSFGYTARDYYHDLDPEEARDWLYFEKFKMKIHSATDLTLKTQLEAVNGKTMPALEVFAHALRFFREHALQELREQSPSLPEKDTVRWVLTVPAIWKQPAKQFMREAAYLAGLVSRENAEQLLIALEPEAASVYCRKLRLHQLLDLSGRAPGGGRLGERRSIDSSFRQAREQLRRSRHSRTFLVESGVGELWAEMQAGDRYVVADCGGGTVDLTVHQLEQPHGTLKELYKASGGPYGAVGVDLAFEQLLCRIFGEDFIATFKRQRPAAWVDLTIAFEARKRTAGPHRAGALNISLPFSFIDFYRKQRGHNVETALRRSSVNFVKWSSQGMLRMSCEAMNELFQPTVSGIIQHIEALLARPEVQGVKLLFLVGGFAESAVLQHAVQAALGARGLRVVVPHDVGLTILKGAVLFGQAPGVVRVRRSPLTYGVGVLNRFVPGRHPPEKLLVRDGRRWCTDVFERFVAAEQSVALGEEVRRSYCPARPGQRRVLINLYCCAAEDARFITDPGVRKCGALSLELEPADCGQDTAGAPPGRREIRAAMQFGDTEIKVTAVDVSTNRSVRASIDFLSN
+>DECOY_sp|Q96MM6|HS12B_HUMAN Heat shock 70 kDa protein 12B OS=Homo sapiens OX=9606 GN=HSPA12B PE=1 SV=2
+NSLFDISARVSRNTSVDVATVKIETDGFQMAARIERRGPPAGATDQGCDAPELELSLAGCKRVGPDTIFRADEAACCYLNILVRRQGPRAPCYSRRVEEGLAVSQEAAVFREFVDTCWRRGDRVLLKEPPHRGPVFRNLVGVGYTLPSRRVRVVGPAQGFLVAGKLITLGVDHPVVVRLGRAGLAAQVAHQLVASEAFGGVLFLLKVGQVEPRALLAEIHQIIGSVTPQFLENMAECSMRLMGQSSWKVFNVSSRRLATEVNHGRQKRYFDIFSFPLSINLAGARHPGATRKRAEFAITLDVWAAPRQRKFTAIFDEGFIRCLLQEFALDVGVAGYPGGSAKYLEKLTGHPQELQHVTLDVTGGGCDAVVYRDGAQMEAWLEGVGSEVLFTRSHRSRRLQERAQRFSSDISRREGLRGGGPARGSLDLLQHLRLKRCYVSAAEPELAILLQEANERSVLGALYAAERMFQKAPQKWIAPVTLVWRVTDKEPLSPSQERLEQLAHERFFRLAHAFVELAPMTKGNVAELQTKLTLDTASHIKMKFKEFYLWDRAEEPDLDHYYDRATYGFSHFAGEPTLLLCTPTKQHAVGPDGGEWKRMMHIAEPDSAFSFAYGSSTTGFDIAVVVSFSAQQPARVEPKPSQSPTLPAIGCSEQTRPSGPPSPVPSREPSSGIYLGQLGMEPVALM
+>sp|Q8IZT8|HS3S5_HUMAN Heparan sulfate glucosamine 3-O-sulfotransferase 5 OS=Homo sapiens OX=9606 GN=HS3ST5 PE=1 SV=1
+MLFKQQAWLRQKLLVLGSLAVGSLLYLVARVGSLDRLQPICPIEGRLGGARTQAEFPLRALQFKRGLLHEFRKGNASKEQVRLHDLVQQLPKAIIIGVRKGGTRALLEMLNLHPAVVKASQEIHFFDNDENYGKGIEWYRKKMPFSYPQQITIEKSPAYFITEEVPERIYKMNSSIKLLIIVREPTTRAISDYTQVLEGKERKNKTYYKFEKLAIDPNTCEVNTKYKAVRTSIYTKHLERWLKYFPIEQFHVVDGDRLITEPLPELQLVEKFLNLPPRISQYNLYFNATRGFYCLRFNIIFNKCLAGSKGRIHPEVDPSVITKLRKFFHPFNQKFYQITGRTLNWP
+>DECOY_sp|Q8IZT8|HS3S5_HUMAN Heparan sulfate glucosamine 3-O-sulfotransferase 5 OS=Homo sapiens OX=9606 GN=HS3ST5 PE=1 SV=1
+PWNLTRGTIQYFKQNFPHFFKRLKTIVSPDVEPHIRGKSGALCKNFIINFRLCYFGRTANFYLNYQSIRPPLNLFKEVLQLEPLPETILRDGDVVHFQEIPFYKLWRELHKTYISTRVAKYKTNVECTNPDIALKEFKYYTKNKREKGELVQTYDSIARTTPERVIILLKISSNMKYIREPVEETIFYAPSKEITIQQPYSFPMKKRYWEIGKGYNEDNDFFHIEQSAKVVAPHLNLMELLARTGGKRVGIIIAKPLQQVLDHLRVQEKSANGKRFEHLLGRKFQLARLPFEAQTRAGGLRGEIPCIPQLRDLSGVRAVLYLLSGVALSGLVLLKQRLWAQQKFLM
+>sp|Q9Y663|HS3SA_HUMAN Heparan sulfate glucosamine 3-O-sulfotransferase 3A1 OS=Homo sapiens OX=9606 GN=HS3ST3A1 PE=1 SV=1
+MAPPGPASALSTSAEPLSRSIFRKFLLMLCSLLTSLYVFYCLAERCQTLSGPVVGLSGGGEEAGAPGGGVLAGGPRELAVWPAAAQRKRLLQLPQWRRRRPPAPRDDGEEAAWEEESPGLSGGPGGSGAGSTVAEAPPGTLALLLDEGSKQLPQAIIIGVKKGGTRALLEFLRVHPDVRAVGAEPHFFDRSYDKGLAWYRDLMPRTLDGQITMEKTPSYFVTREAPARISAMSKDTKLIVVVRDPVTRAISDYTQTLSKRPDIPTFESLTFKNRTAGLIDTSWSAIQIGIYAKHLEHWLRHFPIRQMLFVSGERLISDPAGELGRVQDFLGLKRIITDKHFYFNKTKGFPCLKKAEGSSRPHCLGKTKGRTHPEIDREVVRRLREFYRPFNLKFYQMTGHDFGWDG
+>DECOY_sp|Q9Y663|HS3SA_HUMAN Heparan sulfate glucosamine 3-O-sulfotransferase 3A1 OS=Homo sapiens OX=9606 GN=HS3ST3A1 PE=1 SV=1
+GDWGFDHGTMQYFKLNFPRYFERLRRVVERDIEPHTRGKTKGLCHPRSSGEAKKLCPFGKTKNFYFHKDTIIRKLGLFDQVRGLEGAPDSILREGSVFLMQRIPFHRLWHELHKAYIGIQIASWSTDILGATRNKFTLSEFTPIDPRKSLTQTYDSIARTVPDRVVVILKTDKSMASIRAPAERTVFYSPTKEMTIQGDLTRPMLDRYWALGKDYSRDFFHPEAGVARVDPHVRLFELLARTGGKKVGIIIAQPLQKSGEDLLLALTGPPAEAVTSGAGSGGPGGSLGPSEEEWAAEEGDDRPAPPRRRRWQPLQLLRKRQAAAPWVALERPGGALVGGGPAGAEEGGGSLGVVPGSLTQCREALCYFVYLSTLLSCLMLLFKRFISRSLPEASTSLASAPGPPAM
+>sp|A2PYH4|HFM1_HUMAN Probable ATP-dependent DNA helicase HFM1 OS=Homo sapiens OX=9606 GN=HFM1 PE=1 SV=2
+MLKSNDCLFSLENLFFEKPDEVENHPDNEKSLDWFLPPAPLISEIPDTQELEEELESHKLLGQEKRPKMLTSNLKITNEDTNYISLTQKFQFAFPSDKYEQDDLNLEGVGNNDLSHIAGKLTYASQKYKNHIGTEIAPEKSVPDDTKLVNFAEDKGESTSVFRKRLFKISDNIHGSAYSNDNELDSHIGSVKIVQTEMNKGKSRNYSNSKQKFQYSANVFTANNAFSASEIGEGMFKAPSFSVAFQPHDIQEVTENGLGSLKAVTEIPAKFRSIFKEFPYFNYIQSKAFDDLLYTDRNFVICAPTGSGKTVVFELAITRLLMEVPLPWLNIKIVYMAPIKALCSQRFDDWKEKFGPIGLNCKELTGDTVMDDLFEIQHAHIIMTTPEKWDSMTRKWRDNSLVQLVRLFLIDEVHIVKDENRGPTLEVVVSRMKTVQSVSQTLKNTSTAIPMRFVAVSATIPNAEDIAEWLSDGERPAVCLKMDESHRPVKLQKVVLGFPCSSNQTEFKFDLTLNYKIASVIQMYSDQKPTLVFCATRKGVQQAASVLVKDAKFIMTVEQKQRLQKYAYSVRDSKLRDILKDGAAYHHAGMELSDRKVVEGAFTVGDLPVLFTTSTLAMGVNLPAHLVVIKSTMHYAGGLFEEYSETDILQMIGRAGRPQFDTTATAVIMTRLSTRDKYIQMLACRDTVESSLHRHLIEHLNAEIVLHTITDVNIAVEWIRSTLLYIRALKNPSHYGFASGLNKDGIEAKLQELCLKNLNDLSSLDLIKMDEGVNFKPTEAGRLMAWYYITFETVKKFYTISGKETLSDLVTLIAGCKEFLDIQLRINEKKTLNTLNKDPNRITIRFPMEGRIKTREMKVNCLIQAQLGCIPIQDFALTQDTAKIFRHGSRITRWLSDFVAAQEKKFAVLLNSLILAKCFRCKLWENSLHVSKQLEKIGITLSNAIVNAGLTSFKKIEETDARELELILNRHPPFGTQIKETVMYLPKYELKVEQITRYSDTTAEILVTVILRNFEQLQTKRTASDSHYVTLIIGDADNQVVYLHKITDSVLLKAGSWAKKIAVKRALKSEDLSINLISSEFVGLDIQQKLTVFYLEPKRFGNQITMQRKSETQISHSKHSDISTIAGPNKGTTASKKPGNRECNHLCKSKHTCGHDCCKIGVAQKSEIKESTISSYLSDLRNRNAVSSVPPVKRLKIQMNKSQSVDLKEFGFTPKPSLPSISRSEYLNISELPIMEQWDQPEIYGKVRQEPSEYQDKEVLNVNFELGNEVWDDFDDENLEVTSFSTDTEKTKISGFGNTLSSSTRGSKLPLQESKSKFQREMSNSFVSSHEMSDISLSNSAMPKFSASSMTKLPQQAGNAVIVHFQERKPQNLSPEIEKQCFTFSEKNPNSSNYKKVDFFIRNSECKKEVDFSMYHPDDEADEMKSLLGIFDGIF
+>DECOY_sp|A2PYH4|HFM1_HUMAN Probable ATP-dependent DNA helicase HFM1 OS=Homo sapiens OX=9606 GN=HFM1 PE=1 SV=2
+FIGDFIGLLSKMEDAEDDPHYMSFDVEKKCESNRIFFDVKKYNSSNPNKESFTFCQKEIEPSLNQPKREQFHVIVANGAQQPLKTMSSASFKPMASNSLSIDSMEHSSVFSNSMERQFKSKSEQLPLKSGRTSSSLTNGFGSIKTKETDTSFSTVELNEDDFDDWVENGLEFNVNLVEKDQYESPEQRVKGYIEPQDWQEMIPLESINLYESRSISPLSPKPTFGFEKLDVSQSKNMQIKLRKVPPVSSVANRNRLDSLYSSITSEKIESKQAVGIKCCDHGCTHKSKCLHNCERNGPKKSATTGKNPGAITSIDSHKSHSIQTESKRQMTIQNGFRKPELYFVTLKQQIDLGVFESSILNISLDESKLARKVAIKKAWSGAKLLVSDTIKHLYVVQNDADGIILTVYHSDSATRKTQLQEFNRLIVTVLIEATTDSYRTIQEVKLEYKPLYMVTEKIQTGFPPHRNLILELERADTEEIKKFSTLGANVIANSLTIGIKELQKSVHLSNEWLKCRFCKALILSNLLVAFKKEQAAVFDSLWRTIRSGHRFIKATDQTLAFDQIPICGLQAQILCNVKMERTKIRGEMPFRITIRNPDKNLTNLTKKENIRLQIDLFEKCGAILTVLDSLTEKGSITYFKKVTEFTIYYWAMLRGAETPKFNVGEDMKILDLSSLDNLNKLCLEQLKAEIGDKNLGSAFGYHSPNKLARIYLLTSRIWEVAINVDTITHLVIEANLHEILHRHLSSEVTDRCALMQIYKDRTSLRTMIVATATTDFQPRGARGIMQLIDTESYEEFLGGAYHMTSKIVVLHAPLNVGMALTSTTFLVPLDGVTFAGEVVKRDSLEMGAHHYAAGDKLIDRLKSDRVSYAYKQLRQKQEVTMIFKADKVLVSAAQQVGKRTACFVLTPKQDSYMQIVSAIKYNLTLDFKFETQNSSCPFGLVVKQLKVPRHSEDMKLCVAPREGDSLWEAIDEANPITASVAVFRMPIATSTNKLTQSVSQVTKMRSVVVELTPGRNEDKVIHVEDILFLRVLQVLSNDRWKRTMSDWKEPTTMIIHAHQIEFLDDMVTDGTLEKCNLGIPGFKEKWDDFRQSCLAKIPAMYVIKINLWPLPVEMLLRTIALEFVVTKGSGTPACIVFNRDTYLLDDFAKSQIYNFYPFEKFISRFKAPIETVAKLSGLGNETVEQIDHPQFAVSFSPAKFMGEGIESASFANNATFVNASYQFKQKSNSYNRSKGKNMETQVIKVSGIHSDLENDNSYASGHINDSIKFLRKRFVSTSEGKDEAFNVLKTDDPVSKEPAIETGIHNKYKQSAYTLKGAIHSLDNNGVGELNLDDQEYKDSPFAFQFKQTLSIYNTDENTIKLNSTLMKPRKEQGLLKHSELEEELEQTDPIESILPAPPLFWDLSKENDPHNEVEDPKEFFLNELSFLCDNSKLM
+>sp|P14210|HGF_HUMAN Hepatocyte growth factor OS=Homo sapiens OX=9606 GN=HGF PE=1 SV=2
+MWVTKLLPALLLQHVLLHLLLLPIAIPYAEGQRKRRNTIHEFKKSAKTTLIKIDPALKIKTKKVNTADQCANRCTRNKGLPFTCKAFVFDKARKQCLWFPFNSMSSGVKKEFGHEFDLYENKDYIRNCIIGKGRSYKGTVSITKSGIKCQPWSSMIPHEHSFLPSSYRGKDLQENYCRNPRGEEGGPWCFTSNPEVRYEVCDIPQCSEVECMTCNGESYRGLMDHTESGKICQRWDHQTPHRHKFLPERYPDKGFDDNYCRNPDGQPRPWCYTLDPHTRWEYCAIKTCADNTMNDTDVPLETTECIQGQGEGYRGTVNTIWNGIPCQRWDSQYPHEHDMTPENFKCKDLRENYCRNPDGSESPWCFTTDPNIRVGYCSQIPNCDMSHGQDCYRGNGKNYMGNLSQTRSGLTCSMWDKNMEDLHRHIFWEPDASKLNENYCRNPDDDAHGPWCYTGNPLIPWDYCPISRCEGDTTPTIVNLDHPVISCAKTKQLRVVNGIPTRTNIGWMVSLRYRNKHICGGSLIKESWVLTARQCFPSRDLKDYEAWLGIHDVHGRGDEKCKQVLNVSQLVYGPEGSDLVLMKLARPAVLDDFVSTIDLPNYGCTIPEKTSCSVYGWGYTGLINYDGLLRVAHLYIMGNEKCSQHHRGKVTLNESEICAGAEKIGSGPCEGDYGGPLVCEQHKMRMVLGVIVPGRGCAIPNRPGIFVRVAYYAKWIHKIILTYKVPQS
+>DECOY_sp|P14210|HGF_HUMAN Hepatocyte growth factor OS=Homo sapiens OX=9606 GN=HGF PE=1 SV=2
+SQPVKYTLIIKHIWKAYYAVRVFIGPRNPIACGRGPVIVGLVMRMKHQECVLPGGYDGECPGSGIKEAGACIESENLTVKGRHHQSCKENGMIYLHAVRLLGDYNILGTYGWGYVSCSTKEPITCGYNPLDITSVFDDLVAPRALKMLVLDSGEPGYVLQSVNLVQKCKEDGRGHVDHIGLWAEYDKLDRSPFCQRATLVWSEKILSGGCIHKNRYRLSVMWGINTRTPIGNVVRLQKTKACSIVPHDLNVITPTTDGECRSIPCYDWPILPNGTYCWPGHADDDPNRCYNENLKSADPEWFIHRHLDEMNKDWMSCTLGSRTQSLNGMYNKGNGRYCDQGHSMDCNPIQSCYGVRINPDTTFCWPSESGDPNRCYNERLDKCKFNEPTMDHEHPYQSDWRQCPIGNWITNVTGRYGEGQGQICETTELPVDTDNMTNDACTKIACYEWRTHPDLTYCWPRPQGDPNRCYNDDFGKDPYREPLFKHRHPTQHDWRQCIKGSETHDMLGRYSEGNCTMCEVESCQPIDCVEYRVEPNSTFCWPGGEEGRPNRCYNEQLDKGRYSSPLFSHEHPIMSSWPQCKIGSKTISVTGKYSRGKGIICNRIYDKNEYLDFEHGFEKKVGSSMSNFPFWLCQKRAKDFVFAKCTFPLGKNRTCRNACQDATNVKKTKIKLAPDIKILTTKASKKFEHITNRRKRQGEAYPIAIPLLLLHLLVHQLLLAPLLKTVWM
+>sp|Q96QV1|HHIP_HUMAN Hedgehog-interacting protein OS=Homo sapiens OX=9606 GN=HHIP PE=1 SV=3
+MLKMLSFKLLLLAVALGFFEGDAKFGERNEGSGARRRRCLNGNPPKRLKRRDRRMMSQLELLSGGEMLCGGFYPRLSCCLRSDSPGLGRLENKIFSVTNNTECGKLLEEIKCALCSPHSQSLFHSPEREVLERDLVLPLLCKDYCKEFFYTCRGHIPGFLQTTADEFCFYYARKDGGLCFPDFPRKQVRGPASNYLDQMEEYDKVEEISRKHKHNCFCIQEVVSGLRQPVGALHSGDGSQRLFILEKEGYVKILTPEGEIFKEPYLDIHKLVQSGIKGGDERGLLSLAFHPNYKKNGKLYVSYTTNQERWAIGPHDHILRVVEYTVSRKNPHQVDLRTARVFLEVAELHRKHLGGQLLFGPDGFLYIILGDGMITLDDMEEMDGLSDFTGSVLRLDVDTDMCNVPYSIPRSNPHFNSTNQPPEVFAHGLHDPGRCAVDRHPTDININLTILCSDSNGKNRSSARILQIIKGKDYESEPSLLEFKPFSNGPLVGGFVYRGCQSERLYGSYVFGDRNGNFLTLQQSPVTKQWQEKPLCLGTSGSCRGYFSGHILGFGEDELGEVYILSSSKSMTQTHNGKLYKIVDPKRPLMPEECRATVQPAQTLTSECSRLCRNGYCTPTGKCCCSPGWEGDFCRTAKCEPACRHGGVCVRPNKCLCKKGYLGPQCEQVDRNIRRVTRAGILDQIIDMTSYLLDLTSYIV
+>DECOY_sp|Q96QV1|HHIP_HUMAN Hedgehog-interacting protein OS=Homo sapiens OX=9606 GN=HHIP PE=1 SV=3
+VIYSTLDLLYSTMDIIQDLIGARTVRRINRDVQECQPGLYGKKCLCKNPRVCVGGHRCAPECKATRCFDGEWGPSCCCKGTPTCYGNRCLRSCESTLTQAPQVTARCEEPMLPRKPDVIKYLKGNHTQTMSKSSSLIYVEGLEDEGFGLIHGSFYGRCSGSTGLCLPKEQWQKTVPSQQLTLFNGNRDGFVYSGYLRESQCGRYVFGGVLPGNSFPKFELLSPESEYDKGKIIQLIRASSRNKGNSDSCLITLNINIDTPHRDVACRGPDHLGHAFVEPPQNTSNFHPNSRPISYPVNCMDTDVDLRLVSGTFDSLGDMEEMDDLTIMGDGLIIYLFGDPGFLLQGGLHKRHLEAVELFVRATRLDVQHPNKRSVTYEVVRLIHDHPGIAWREQNTTYSVYLKGNKKYNPHFALSLLGREDGGKIGSQVLKHIDLYPEKFIEGEPTLIKVYGEKELIFLRQSGDGSHLAGVPQRLGSVVEQICFCNHKHKRSIEEVKDYEEMQDLYNSAPGRVQKRPFDPFCLGGDKRAYYFCFEDATTQLFGPIHGRCTYFFEKCYDKCLLPLVLDRELVEREPSHFLSQSHPSCLACKIEELLKGCETNNTVSFIKNELRGLGPSDSRLCCSLRPYFGGCLMEGGSLLELQSMMRRDRRKLRKPPNGNLCRRRRAGSGENREGFKADGEFFGLAVALLLLKFSLMKLM
+>sp|Q9Y2N7|HIF3A_HUMAN Hypoxia-inducible factor 3-alpha OS=Homo sapiens OX=9606 GN=HIF3A PE=1 SV=2
+MALGLQRARSTTELRKEKSRDAARSRRSQETEVLYQLAHTLPFARGVSAHLDKASIMRLTISYLRMHRLCAAGEWNQVGAGGEPLDACYLKALEGFVMVLTAEGDMAYLSENVSKHLGLSQLELIGHSIFDFIHPCDQEELQDALTPQQTLSRRKVEAPTERCFSLRMKSTLTSRGRTLNLKAATWKVLNCSGHMRAYKPPAQTSPAGSPDSEPPLQCLVLICEAIPHPGSLEPPLGRGAFLSRHSLDMKFTYCDDRIAEVAGYSPDDLIGCSAYEYIHALDSDAVSKSIHTLLSKGQAVTGQYRFLARSGGYLWTQTQATVVSGGRGPQSESIVCVHFLISQVEETGVVLSLEQTEQHSRRPIQRGAPSQKDTPNPGDSLDTPGPRILAFLHPPSLSEAALAADPRRFCSPDLRRLLGPILDGASVAATPSTPLATRHPQSPLSADLPDELPVGTENVHRLFTSGKDTEAVETDLDIAQDADALDLEMLAPYISMDDDFQLNASEQLPRAYHRPLGAVPRPRARSFHGLSPPALEPSLLPRWGSDPRLSCSSPSRGDPSASSPMAGARKRTLAQSSEDEDEGVELLGVRPPKRSPSPEHENFLLFPLSLSFLLTGGPAPGSLQDPSTPLLNLNEPLGLGPSLLSPYSDEDTTQPGGPFQPRAGSAQAD
+>DECOY_sp|Q9Y2N7|HIF3A_HUMAN Hypoxia-inducible factor 3-alpha OS=Homo sapiens OX=9606 GN=HIF3A PE=1 SV=2
+DAQASGARPQFPGGPQTTDEDSYPSLLSPGLGLPENLNLLPTSPDQLSGPAPGGTLLFSLSLPFLLFNEHEPSPSRKPPRVGLLEVGEDEDESSQALTRKRAGAMPSSASPDGRSPSSCSLRPDSGWRPLLSPELAPPSLGHFSRARPRPVAGLPRHYARPLQESANLQFDDDMSIYPALMELDLADADQAIDLDTEVAETDKGSTFLRHVNETGVPLEDPLDASLPSQPHRTALPTSPTAAVSAGDLIPGLLRRLDPSCFRRPDAALAAESLSPPHLFALIRPGPTDLSDGPNPTDKQSPAGRQIPRRSHQETQELSLVVGTEEVQSILFHVCVISESQPGRGGSVVTAQTQTWLYGGSRALFRYQGTVAQGKSLLTHISKSVADSDLAHIYEYASCGILDDPSYGAVEAIRDDCYTFKMDLSHRSLFAGRGLPPELSGPHPIAECILVLCQLPPESDPSGAPSTQAPPKYARMHGSCNLVKWTAAKLNLTRGRSTLTSKMRLSFCRETPAEVKRRSLTQQPTLADQLEEQDCPHIFDFISHGILELQSLGLHKSVNESLYAMDGEATLVMVFGELAKLYCADLPEGGAGVQNWEGAACLRHMRLYSITLRMISAKDLHASVGRAFPLTHALQYLVETEQSRRSRAADRSKEKRLETTSRARQLGLAM
+>sp|Q4VC39|HIG2B_HUMAN Putative HIG1 domain family member 2B OS=Homo sapiens OX=9606 GN=HIGD2B PE=5 SV=1
+MATLGFVTPEAPFESSKPPIFEGLSPTVYSNPEGFKEKFLRKTRENPVVPIGFLCTAAVLTNGLYCFHQGNSQCSRLMMHTQIAAQGFTIAAILLGLAATAMKSPP
+>DECOY_sp|Q4VC39|HIG2B_HUMAN Putative HIG1 domain family member 2B OS=Homo sapiens OX=9606 GN=HIGD2B PE=5 SV=1
+PPSKMATAALGLLIAAITFGQAAIQTHMMLRSCQSNGQHFCYLGNTLVAATCLFGIPVVPNERTKRLFKEKFGEPNSYVTPSLGEFIPPKSSEFPAEPTVFGLTAM
+>sp|Q9BQA5|HINFP_HUMAN Histone H4 transcription factor OS=Homo sapiens OX=9606 GN=HINFP PE=1 SV=2
+MPPPGKVPRKENLWLQCEWGSCSFVCSTMEKFFEHVTQHLQQHLHGSGEEEEEEEEDDPLEEEFSCLWQECGFCSLDSSADLIRHVYFHCYHTKLKQWGLQALQSQADLGPCILDFQSRNVIPDIPDHFLCLWEHCENSFDNPEWFYRHVEAHSLCCEYEAVGKDNPVVLCGWKGCTCTFKDRSKLREHLRSHTQEKVVACPTCGGMFANNTKFLDHIRRQTSLDQQHFQCSHCSKRFATERLLRDHMRNHVNHYKCPLCDMTCPLPSSLRNHMRFRHSEDRPFKCDCCDYSCKNLIDLQKHLDTHSEEPAYRCDFENCTFSARSLCSIKSHYRKVHEGDSEPRYKCHVCDKCFTRGNNLTVHLRKKHQFKWPSGHPRFRYKEHEDGYMRLQLVRYESVELTQQLLRQPQEGSGLGTSLNESSLQGIILETVPGEPGRKEEEEEGKGSEGTALSASQDNPSSVIHVVNQTNAQGQQEIVYYVLSEAPGEPPPAPEPPSGGIMEKLQGIAEEPEIQMV
+>DECOY_sp|Q9BQA5|HINFP_HUMAN Histone H4 transcription factor OS=Homo sapiens OX=9606 GN=HINFP PE=1 SV=2
+VMQIEPEEAIGQLKEMIGGSPPEPAPPPEGPAESLVYYVIEQQGQANTQNVVHIVSSPNDQSASLATGESGKGEEEEEKRGPEGPVTELIIGQLSSENLSTGLGSGEQPQRLLQQTLEVSEYRVLQLRMYGDEHEKYRFRPHGSPWKFQHKKRLHVTLNNGRTFCKDCVHCKYRPESDGEHVKRYHSKISCLSRASFTCNEFDCRYAPEESHTDLHKQLDILNKCSYDCCDCKFPRDESHRFRMHNRLSSPLPCTMDCLPCKYHNVHNRMHDRLLRETAFRKSCHSCQFHQQDLSTQRRIHDLFKTNNAFMGGCTPCAVVKEQTHSRLHERLKSRDKFTCTCGKWGCLVVPNDKGVAEYECCLSHAEVHRYFWEPNDFSNECHEWLCLFHDPIDPIVNRSQFDLICPGLDAQSQLAQLGWQKLKTHYCHFYVHRILDASSDLSCFGCEQWLCSFEEELPDDEEEEEEEEGSGHLHQQLHQTVHEFFKEMTSCVFSCSGWECQLWLNEKRPVKGPPPM
+>sp|P49773|HINT1_HUMAN Histidine triad nucleotide-binding protein 1 OS=Homo sapiens OX=9606 GN=HINT1 PE=1 SV=2
+MADEIAKAQVARPGGDTIFGKIIRKEIPAKIIFEDDRCLAFHDISPQAPTHFLVIPKKHISQISVAEDDDESLLGHLMIVGKKCAADLGLNKGYRMVVNEGSDGGQSVYHVHLHVLGGRQMHWPPG
+>DECOY_sp|P49773|HINT1_HUMAN Histidine triad nucleotide-binding protein 1 OS=Homo sapiens OX=9606 GN=HINT1 PE=1 SV=2
+GPPWHMQRGGLVHLHVHYVSQGGDSGENVVMRYGKNLGLDAACKKGVIMLHGLLSEDDDEAVSIQSIHKKPIVLFHTPAQPSIDHFALCRDDEFIIKAPIEKRIIKGFITDGGPRAVQAKAIEDAM
+>sp|Q9NQE9|HINT3_HUMAN Histidine triad nucleotide-binding protein 3 OS=Homo sapiens OX=9606 GN=HINT3 PE=1 SV=1
+MAEEQVNRSAGLAPDCEASATAETTVSSVGTCEAAGKSPEPKDYDSTCVFCRIAGRQDPGTELLHCENEDLICFKDIKPAATHHYLVVPKKHIGNCRTLRKDQVELVENMVTVGKTILERNNFTDFTNVRMGFHMPPFCSISHLHLHVLAPVDQLGFLSKLVYRVNSYWFITADHLIEKLRT
+>DECOY_sp|Q9NQE9|HINT3_HUMAN Histidine triad nucleotide-binding protein 3 OS=Homo sapiens OX=9606 GN=HINT3 PE=1 SV=1
+TRLKEILHDATIFWYSNVRYVLKSLFGLQDVPALVHLHLHSISCFPPMHFGMRVNTFDTFNNRELITKGVTVMNEVLEVQDKRLTRCNGIHKKPVVLYHHTAAPKIDKFCILDENECHLLETGPDQRGAIRCFVCTSDYDKPEPSKGAAECTGVSSVTTEATASAECDPALGASRNVQEEAM
+>sp|P15515|HIS1_HUMAN Histatin-1 OS=Homo sapiens OX=9606 GN=HTN1 PE=1 SV=2
+MKFFVFALVLALMISMISADSHEKRHHGYRRKFHEKHHSHREFPFYGDYGSNYLYDN
+>DECOY_sp|P15515|HIS1_HUMAN Histatin-1 OS=Homo sapiens OX=9606 GN=HTN1 PE=1 SV=2
+NDYLYNSGYDGYFPFERHSHHKEHFKRRYGHHRKEHSDASIMSIMLALVLAFVFFKM
+>sp|P10072|HKR1_HUMAN Krueppel-related zinc finger protein 1 OS=Homo sapiens OX=9606 GN=HKR1 PE=2 SV=4
+MRVNHTVSTMLPTCMVHRQTMSCSGAGGITAFVAFRDVAVYFTQEEWRLLSPAQRTLHREVMLETYNHLVSLEIPSSKPKLIAQLERGEAPWREERKCPLDLCPESKPEIQLSPSCPLIFSSQQALSQHVWLSHLSQLFSSLWAGNPLHLGKHYPEDQKQQQDPFCFSGKAEWIQEGEDSRLLFGRVSKNGTSKALSSPPEEQQPAQSKEDNTVVDIGSSPERRADLEETDKVLHGLEVSGFGEIKYEEFGPGFIKESNLLSLQKTQTGETPYMYTEWGDSFGSMSVLIKNPRTHSGGKPYVCRECGRGFTWKSNLITHQRTHSGEKPYVCKDCGRGFTWKSNLFTHQRTHSGLKPYVCKECGQSFSLKSNLITHQRAHTGEKPYVCRECGRGFRQHSHLVRHKRTHSGEKPYICRECEQGFSQKSHLIRHLRTHTGEKPYVCTECGRHFSWKSNLKTHQRTHSGVKPYVCLECGQCFSLKSNLNKHQRSHTGEKPFVCTECGRGFTRKSTLSTHQRTHSGEKPFVCAECGRGFNDKSTLISHQRTHSGEKPFMCRECGRRFRQKPNLFRHKRAHSGAFVCRECGQGFCAKLTLIKHQRAHAGGKPHVCRECGQGFSRQSHLIRHQRTHSGEKPYICRKCGRGFSRKSNLIRHQRTHSG
+>DECOY_sp|P10072|HKR1_HUMAN Krueppel-related zinc finger protein 1 OS=Homo sapiens OX=9606 GN=HKR1 PE=2 SV=4
+GSHTRQHRILNSKRSFGRGCKRCIYPKEGSHTRQHRILHSQRSFGQGCERCVHPKGGAHARQHKILTLKACFGQGCERCVFAGSHARKHRFLNPKQRFRRGCERCMFPKEGSHTRQHSILTSKDNFGRGCEACVFPKEGSHTRQHTSLTSKRTFGRGCETCVFPKEGTHSRQHKNLNSKLSFCQGCELCVYPKVGSHTRQHTKLNSKWSFHRGCETCVYPKEGTHTRLHRILHSKQSFGQECERCIYPKEGSHTRKHRVLHSHQRFGRGCERCVYPKEGTHARQHTILNSKLSFSQGCEKCVYPKLGSHTRQHTFLNSKWTFGRGCDKCVYPKEGSHTRQHTILNSKWTFGRGCERCVYPKGGSHTRPNKILVSMSGFSDGWETYMYPTEGTQTKQLSLLNSEKIFGPGFEEYKIEGFGSVELGHLVKDTEELDARREPSSGIDVVTNDEKSQAPQQEEPPSSLAKSTGNKSVRGFLLRSDEGEQIWEAKGSFCFPDQQQKQDEPYHKGLHLPNGAWLSSFLQSLHSLWVHQSLAQQSSFILPCSPSLQIEPKSEPCLDLPCKREERWPAEGRELQAILKPKSSPIELSVLHNYTELMVERHLTRQAPSLLRWEEQTFYVAVDRFAVFATIGGAGSCSMTQRHVMCTPLMTSVTHNVRM
+>sp|P30511|HLAF_HUMAN HLA class I histocompatibility antigen, alpha chain F OS=Homo sapiens OX=9606 GN=HLA-F PE=1 SV=3
+MAPRSLLLLLSGALALTDTWAGSHSLRYFSTAVSRPGRGEPRYIAVEYVDDTQFLRFDSDAAIPRMEPREPWVEQEGPQYWEWTTGYAKANAQTDRVALRNLLRRYNQSEAGSHTLQGMNGCDMGPDGRLLRGYHQHAYDGKDYISLNEDLRSWTAADTVAQITQRFYEAEEYAEEFRTYLEGECLELLRRYLENGKETLQRADPPKAHVAHHPISDHEATLRCWALGFYPAEITLTWQRDGEEQTQDTELVETRPAGDGTFQKWAAVVVPPGEEQRYTCHVQHEGLPQPLILRWEQSPQPTIPIVGIVAGLVVLGAVVTGAVVAAVMWRKKSSDRNRGSYSQAAV
+>DECOY_sp|P30511|HLAF_HUMAN HLA class I histocompatibility antigen, alpha chain F OS=Homo sapiens OX=9606 GN=HLA-F PE=1 SV=3
+VAAQSYSGRNRDSSKKRWMVAAVVAGTVVAGLVVLGAVIGVIPITPQPSQEWRLILPQPLGEHQVHCTYRQEEGPPVVVAAWKQFTGDGAPRTEVLETDQTQEEGDRQWTLTIEAPYFGLAWCRLTAEHDSIPHHAVHAKPPDARQLTEKGNELYRRLLELCEGELYTRFEEAYEEAEYFRQTIQAVTDAATWSRLDENLSIYDKGDYAHQHYGRLLRGDPGMDCGNMGQLTHSGAESQNYRRLLNRLAVRDTQANAKAYGTTWEWYQPGEQEVWPERPEMRPIAADSDFRLFQTDDVYEVAIYRPEGRGPRSVATSFYRLSHSGAWTDTLALAGSLLLLLSRPAM
+>sp|Q9Y5L2|HLPDA_HUMAN Hypoxia-inducible lipid droplet-associated protein OS=Homo sapiens OX=9606 GN=HILPDA PE=1 SV=1
+MKHVLNLYLLGVVLTLLSIFVRVMESLEGLLESPSPGTSWTTRSQLANTEPTKGLPDHPSRSM
+>DECOY_sp|Q9Y5L2|HLPDA_HUMAN Hypoxia-inducible lipid droplet-associated protein OS=Homo sapiens OX=9606 GN=HILPDA PE=1 SV=1
+MSRSPHDPLGKTPETNALQSRTTWSTGPSPSELLGELSEMVRVFISLLTLVVGLLYLNLVHKM
+>sp|Q14527|HLTF_HUMAN Helicase-like transcription factor OS=Homo sapiens OX=9606 GN=HLTF PE=1 SV=2
+MSWMFKRDPVWKYLQTVQYGVHGNFPRLSYPTFFPRFEFQDVIPPDDFLTSDEEVDSVLFGSLRGHVVGLRYYTGVVNNNEMVALQRDPNNPYDKNAIKVNNVNGNQVGHLKKELAGALAYIMDNKLAQIEGVVPFGANNAFTMPLHMTFWGKEENRKAVSDQLKKHGFKLGPAPKTLGFNLESGWGSGRAGPSYSMPVHAAVQMTTEQLKTEFDKLFEDLKEDDKTHEMEPAEAIETPLLPHQKQALAWMVSRENSKELPPFWEQRNDLYYNTITNFSEKDRPENVHGGILADDMGLGKTLTAIAVILTNFHDGRPLPIERVKKNLLKKEYNVNDDSMKLGGNNTSEKADGLSKDASRCSEQPSISDIKEKSKFRMSELSSSRPKRRKTAVQYIESSDSEEIETSELPQKMKGKLKNVQSETKGRAKAGSSKVIEDVAFACALTSSVPTTKKKMLKKGACAVEGSKKTDVEERPRTTLIICPLSVLSNWIDQFGQHIKSDVHLNFYVYYGPDRIREPALLSKQDIVLTTYNILTHDYGTKGDSPLHSIRWLRVILDEGHAIRNPNAQQTKAVLDLESERRWVLTGTPIQNSLKDLWSLLSFLKLKPFIDREWWHRTIQRPVTMGDEGGLRRLQSLIKNITLRRTKTSKIKGKPVLELPERKVFIQHITLSDEERKIYQSVKNEGRATIGRYFNEGTVLAHYADVLGLLLRLRQICCHTYLLTNAVSSNGPSGNDTPEELRKKLIRKMKLILSSGSDEECAICLDSLTVPVITHCAHVFCKPCICQVIQNEQPHAKCPLCRNDIHEDNLLECPPEELARDSEKKSDMEWTSSSKINALMHALTDLRKKNPNIKSLVVSQFTTFLSLIEIPLKASGFVFTRLDGSMAQKKRVESIQCFQNTEAGSPTIMLLSLKAGGVGLNLSAASRVFLMDPAWNPAAEDQCFDRCHRLGQKQEVIITKFIVKDSVEENMLKIQNKKRELAAGAFGTKKPNADEMKQAKINEIRTLIDL
+>DECOY_sp|Q14527|HLTF_HUMAN Helicase-like transcription factor OS=Homo sapiens OX=9606 GN=HLTF PE=1 SV=2
+LDILTRIENIKAQKMEDANPKKTGFAGAALERKKNQIKLMNEEVSDKVIFKTIIVEQKQGLRHCRDFCQDEAAPNWAPDMLFVRSAASLNLGVGGAKLSLLMITPSGAETNQFCQISEVRKKQAMSGDLRTFVFGSAKLPIEILSLFTTFQSVVLSKINPNKKRLDTLAHMLANIKSSSTWEMDSKKESDRALEEPPCELLNDEHIDNRCLPCKAHPQENQIVQCICPKCFVHACHTIVPVTLSDLCIACEEDSGSSLILKMKRILKKRLEEPTDNGSPGNSSVANTLLYTHCCIQRLRLLLGLVDAYHALVTGENFYRGITARGENKVSQYIKREEDSLTIHQIFVKREPLELVPKGKIKSTKTRRLTINKILSQLRRLGGEDGMTVPRQITRHWWERDIFPKLKLFSLLSWLDKLSNQIPTGTLVWRRESELDLVAKTQQANPNRIAHGEDLIVRLWRISHLPSDGKTGYDHTLINYTTLVIDQKSLLAPERIRDPGYYVYFNLHVDSKIHQGFQDIWNSLVSLPCIILTTRPREEVDTKKSGEVACAGKKLMKKKTTPVSSTLACAFAVDEIVKSSGAKARGKTESQVNKLKGKMKQPLESTEIEESDSSEIYQVATKRRKPRSSSLESMRFKSKEKIDSISPQESCRSADKSLGDAKESTNNGGLKMSDDNVNYEKKLLNKKVREIPLPRGDHFNTLIVAIATLTKGLGMDDALIGGHVNEPRDKESFNTITNYYLDNRQEWFPPLEKSNERSVMWALAQKQHPLLPTEIAEAPEMEHTKDDEKLDEFLKDFETKLQETTMQVAAHVPMSYSPGARGSGWGSELNFGLTKPAPGLKFGHKKLQDSVAKRNEEKGWFTMHLPMTFANNAGFPVVGEIQALKNDMIYALAGALEKKLHGVQNGNVNNVKIANKDYPNNPDRQLAVMENNNVVGTYYRLGVVHGRLSGFLVSDVEEDSTLFDDPPIVDQFEFRPFFTPYSLRPFNGHVGYQVTQLYKWVPDRKFMWSM
+>sp|Q9P0W2|HM20B_HUMAN SWI/SNF-related matrix-associated actin-dependent regulator of chromatin subfamily E member 1-related OS=Homo sapiens OX=9606 GN=HMG20B PE=1 SV=1
+MSHGPKQPGAAAAPAGGKAPGQHGGFVVTVKQERGEGPRAGEKGSHEEEPVKKRGWPKGKKRKKILPNGPKAPVTGYVRFLNERREQIRTRHPDLPFPEITKMLGAEWSKLQPTEKQRYLDEAEREKQQYMKELRAYQQSEAYKMCTEKIQEKKIKKEDSSSGLMNTLLNGHKGGDCDGFSTFDVPIFTEEFLDQNKAREAELRRLRKMNVAFEEQNAVLQRHTQSMSSARERLEQELALEERRTLALQQQLQAVRQALTASFASLPVPGTGETPTLGTLDFYMARLHGAIERDPAQHEKLIVRIKEILAQVASEHL
+>DECOY_sp|Q9P0W2|HM20B_HUMAN SWI/SNF-related matrix-associated actin-dependent regulator of chromatin subfamily E member 1-related OS=Homo sapiens OX=9606 GN=HMG20B PE=1 SV=1
+LHESAVQALIEKIRVILKEHQAPDREIAGHLRAMYFDLTGLTPTEGTGPVPLSAFSATLAQRVAQLQQQLALTRREELALEQELRERASSMSQTHRQLVANQEEFAVNMKRLRRLEAERAKNQDLFEETFIPVDFTSFGDCDGGKHGNLLTNMLGSSSDEKKIKKEQIKETCMKYAESQQYARLEKMYQQKEREAEDLYRQKETPQLKSWEAGLMKTIEPFPLDPHRTRIQERRENLFRVYGTVPAKPGNPLIKKRKKGKPWGRKKVPEEEHSGKEGARPGEGREQKVTVVFGGHQGPAKGGAPAAAAGPQKPGHSM
+>sp|Q01581|HMCS1_HUMAN Hydroxymethylglutaryl-CoA synthase, cytoplasmic OS=Homo sapiens OX=9606 GN=HMGCS1 PE=1 SV=2
+MPGSLPLNAEACWPKDVGIVALEIYFPSQYVDQAELEKYDGVDAGKYTIGLGQAKMGFCTDREDINSLCMTVVQNLMERNNLSYDCIGRLEVGTETIIDKSKSVKTNLMQLFEESGNTDIEGIDTTNACYGGTAAVFNAVNWIESSSWDGRYALVVAGDIAVYATGNARPTGGVGAVALLIGPNAPLIFERGLRGTHMQHAYDFYKPDMLSEYPIVDGKLSIQCYLSALDRCYSVYCKKIHAQWQKEGNDKDFTLNDFGFMIFHSPYCKLVQKSLARMLLNDFLNDQNRDKNSIYSGLEAFGDVKLEDTYFDRDVEKAFMKASSELFSQKTKASLLVSNQNGNMYTSSVYGSLASVLAQYSPQQLAGKRIGVFSYGSGLAATLYSLKVTQDATPGSALDKITASLCDLKSRLDSRTGVAPDVFAENMKLREDTHHLVNYIPQGSIDSLFEGTWYLVRVDEKHRRTYARRPTPNDDTLDEGVGLVHSNIATEHIPSPAKKVPRLPATAAEPEAAVISNGEH
+>DECOY_sp|Q01581|HMCS1_HUMAN Hydroxymethylglutaryl-CoA synthase, cytoplasmic OS=Homo sapiens OX=9606 GN=HMGCS1 PE=1 SV=2
+HEGNSIVAAEPEAATAPLRPVKKAPSPIHETAINSHVLGVGEDLTDDNPTPRRAYTRRHKEDVRVLYWTGEFLSDISGQPIYNVLHHTDERLKMNEAFVDPAVGTRSDLRSKLDCLSATIKDLASGPTADQTVKLSYLTAALGSGYSFVGIRKGALQQPSYQALVSALSGYVSSTYMNGNQNSVLLSAKTKQSFLESSAKMFAKEVDRDFYTDELKVDGFAELGSYISNKDRNQDNLFDNLLMRALSKQVLKCYPSHFIMFGFDNLTFDKDNGEKQWQAHIKKCYVSYCRDLASLYCQISLKGDVIPYESLMDPKYFDYAHQMHTGRLGREFILPANPGILLAVAGVGGTPRANGTAYVAIDGAVVLAYRGDWSSSEIWNVANFVAATGGYCANTTDIGEIDTNGSEEFLQMLNTKVSKSKDIITETGVELRGICDYSLNNREMLNQVVTMCLSNIDERDTCFGMKAQGLGITYKGADVGDYKELEAQDVYQSPFYIELAVIGVDKPWCAEANLPLSGPM
+>sp|P54868|HMCS2_HUMAN Hydroxymethylglutaryl-CoA synthase, mitochondrial OS=Homo sapiens OX=9606 GN=HMGCS2 PE=1 SV=1
+MQRLLTPVKRILQLTRAVQETSLTPARLLPVAHQRFSTASAVPLAKTDTWPKDVGILALEVYFPAQYVDQTDLEKYNNVEAGKYTVGLGQTRMGFCSVQEDINSLCLTVVQRLMERIQLPWDSVGRLEVGTETIIDKSKAVKTVLMELFQDSGNTDIEGIDTTNACYGGTASLFNAANWMESSSWDGRYAMVVCGDIAVYPSGNARPTGGAGAVAMLIGPKAPLALERGLRGTHMENVYDFYKPNLASEYPIVDGKLSIQCYLRALDRCYTSYRKKIQNQWKQAGSDRPFTLDDLQYMIFHTPFCKMVQKSLARLMFNDFLSASSDTQTSLYKGLEAFGGLKLEDTYTNKDLDKALLKASQDMFDKKTKASLYLSTHNGNMYTSSLYGCLASLLSHHSAQELAGSRIGAFSYGSGLAASFFSFRVSQDAAPGSPLDKLVSSTSDLPKRLASRKCVSPEEFTEIMNQREQFYHKVNFSPPGDTNSLFPGTWYLERVDEQHRRKYARRPV
+>DECOY_sp|P54868|HMCS2_HUMAN Hydroxymethylglutaryl-CoA synthase, mitochondrial OS=Homo sapiens OX=9606 GN=HMGCS2 PE=1 SV=1
+VPRRAYKRRHQEDVRELYWTGPFLSNTDGPPSFNVKHYFQERQNMIETFEEPSVCKRSALRKPLDSTSSVLKDLPSGPAADQSVRFSFFSAALGSGYSFAGIRSGALEQASHHSLLSALCGYLSSTYMNGNHTSLYLSAKTKKDFMDQSAKLLAKDLDKNTYTDELKLGGFAELGKYLSTQTDSSASLFDNFMLRALSKQVMKCFPTHFIMYQLDDLTFPRDSGAQKWQNQIKKRYSTYCRDLARLYCQISLKGDVIPYESALNPKYFDYVNEMHTGRLGRELALPAKPGILMAVAGAGGTPRANGSPYVAIDGCVVMAYRGDWSSSEMWNAANFLSATGGYCANTTDIGEIDTNGSDQFLEMLVTKVAKSKDIITETGVELRGVSDWPLQIREMLRQVVTLCLSNIDEQVSCFGMRTQGLGVTYKGAEVNNYKELDTQDVYQAPFYVELALIGVDKPWTDTKALPVASATSFRQHAVPLLRAPTLSTEQVARTLQLIRKVPTLLRQM
+>sp|O75330|HMMR_HUMAN Hyaluronan mediated motility receptor OS=Homo sapiens OX=9606 GN=HMMR PE=1 SV=2
+MSFPKAPLKRFNDPSGCAPSPGAYDVKTLEVLKGPVSFQKSQRFKQQKESKQNLNVDKDTTLPASARKVKSSESKESQKNDKDLKILEKEIRVLLQERGAQDRRIQDLETELEKMEARLNAALREKTSLSANNATLEKQLIELTRTNELLKSKFSENGNQKNLRILSLELMKLRNKRETKMRGMMAKQEGMEMKLQVTQRSLEESQGKIAQLEGKLVSIEKEKIDEKSETEKLLEYIEEISCASDQVEKYKLDIAQLEENLKEKNDEILSLKQSLEENIVILSKQVEDLNVKCQLLEKEKEDHVNRNREHNENLNAEMQNLKQKFILEQQEREKLQQKELQIDSLLQQEKELSSSLHQKLCSFQEEMVKEKNLFEEELKQTLDELDKLQQKEEQAERLVKQLEEEAKSRAEELKLLEEKLKGKEAELEKSSAAHTQATLLLQEKYDSMVQSLEDVTAQFESYKALTASEIEDLKLENSSLQEKAAKAGKNAEDVQHQILATESSNQEYVRMLLDLQTKSALKETEIKEITVSFLQKITDLQNQLKQQEEDFRKQLEDEEGRKAEKENTTAELTEEINKWRLLYEELYNKTKPFQLQLDAFEVEKQALLNEHGAAQEQLNKIRDSYAKLLGHQNLKQKIKHVVKLKDENSQLKSEVSKLRCQLAKKKQSETKLQEELNKVLGIKHFDPSKAFHHESKENFALKTPLKEGNTNCYRAPMECQESWK
+>DECOY_sp|O75330|HMMR_HUMAN Hyaluronan mediated motility receptor OS=Homo sapiens OX=9606 GN=HMMR PE=1 SV=2
+KWSEQCEMPARYCNTNGEKLPTKLAFNEKSEHHFAKSPDFHKIGLVKNLEEQLKTESQKKKALQCRLKSVESKLQSNEDKLKVVHKIKQKLNQHGLLKAYSDRIKNLQEQAAGHENLLAQKEVEFADLQLQFPKTKNYLEEYLLRWKNIEETLEATTNEKEAKRGEEDELQKRFDEEQQKLQNQLDTIKQLFSVTIEKIETEKLASKTQLDLLMRVYEQNSSETALIQHQVDEANKGAKAAKEQLSSNELKLDEIESATLAKYSEFQATVDELSQVMSDYKEQLLLTAQTHAASSKELEAEKGKLKEELLKLEEARSKAEEELQKVLREAQEEKQQLKDLEDLTQKLEEEFLNKEKVMEEQFSCLKQHLSSSLEKEQQLLSDIQLEKQQLKEREQQELIFKQKLNQMEANLNENHERNRNVHDEKEKELLQCKVNLDEVQKSLIVINEELSQKLSLIEDNKEKLNEELQAIDLKYKEVQDSACSIEEIYELLKETESKEDIKEKEISVLKGELQAIKGQSEELSRQTVQLKMEMGEQKAMMGRMKTERKNRLKMLELSLIRLNKQNGNESFKSKLLENTRTLEILQKELTANNASLSTKERLAANLRAEMKELETELDQIRRDQAGREQLLVRIEKELIKLDKDNKQSEKSESSKVKRASAPLTTDKDVNLNQKSEKQQKFRQSKQFSVPGKLVELTKVDYAGPSPACGSPDNFRKLPAKPFSM
+>sp|P0CJ72|HMN5_HUMAN Humanin-like 5 OS=Homo sapiens OX=9606 GN=MTRNR2L5 PE=2 SV=1
+MATPGFSCLLLSTSEIDLPMKRRV
+>DECOY_sp|P0CJ72|HMN5_HUMAN Humanin-like 5 OS=Homo sapiens OX=9606 GN=MTRNR2L5 PE=2 SV=1
+VRRKMPLDIESTSLLLCSFGPTAM
+>sp|O60812|HNRC1_HUMAN Heterogeneous nuclear ribonucleoprotein C-like 1 OS=Homo sapiens OX=9606 GN=HNRNPCL1 PE=1 SV=1
+MASNVTNKMDPHSMNSRVFIGNLNTLVVKKSDVEAIFSKYGKIAGCSVHKGFAFVQYDKEKNARAAVAGEDGRMIASQVVDINLAAEPKVNRGNAGVKRSAAEMYGSSFDLDYGFQRDYYDGMYSFPARVPPPPPIALAVVPSKRQRLSGNTSRRGKSGFNSKSGKRGSSKSGKLKGDDLQAIKQELTQIKQKVDSLLENLEKIEKEQSKQEVEVKNAKSEEEQSSSSMKKDETHVKMESEGGAEDSAEEGDPLDDDVNEDQGDDQLELIKDDEKEAEEGEDDRDSTNGQDDS
+>DECOY_sp|O60812|HNRC1_HUMAN Heterogeneous nuclear ribonucleoprotein C-like 1 OS=Homo sapiens OX=9606 GN=HNRNPCL1 PE=1 SV=1
+SDDQGNTSDRDDEGEEAEKEDDKILELQDDGQDENVDDDLPDGEEASDEAGGESEMKVHTEDKKMSSSSQEEESKANKVEVEQKSQEKEIKELNELLSDVKQKIQTLEQKIAQLDDGKLKGSKSSGRKGSKSNFGSKGRRSTNGSLRQRKSPVVALAIPPPPPVRAPFSYMGDYYDRQFGYDLDFSSGYMEAASRKVGANGRNVKPEAALNIDVVQSAIMRGDEGAVAARANKEKDYQVFAFGKHVSCGAIKGYKSFIAEVDSKKVVLTNLNGIFVRSNMSHPDMKNTVNSAM
+>sp|O14979|HNRDL_HUMAN Heterogeneous nuclear ribonucleoprotein D-like OS=Homo sapiens OX=9606 GN=HNRNPDL PE=1 SV=3
+MEVPPRLSHVPPPLFPSAPATLASRSLSHWRPRPPRQLAPLLPSLAPSSARQGARRAQRHVTAQQPSRLAGGAAIKGGRRRRPDLFRRHFKSSSIQRSAAAAAATRTARQHPPADSSVTMEDMNEYSNIEEFAEGSKINASKNQQDDGKMFIGGLSWDTSKKDLTEYLSRFGEVVDCTIKTDPVTGRSRGFGFVLFKDAASVDKVLELKEHKLDGKLIDPKRAKALKGKEPPKKVFVGGLSPDTSEEQIKEYFGAFGEIENIELPMDTKTNERRGFCFITYTDEEPVKKLLESRYHQIGSGKCEIKVAQPKEVYRQQQQQQKGGRGAAAGGRGGTRGRGRGQGQNWNQGFNNYYDQGYGNYNSAYGGDQNYSGYGGYDYTGYNYGNYGYGQGYADYSGQQSTYGKASRGGGNHQNNYQPY
+>DECOY_sp|O14979|HNRDL_HUMAN Heterogeneous nuclear ribonucleoprotein D-like OS=Homo sapiens OX=9606 GN=HNRNPDL PE=1 SV=3
+YPQYNNQHNGGGRSAKGYTSQQGSYDAYGQGYGYNGYNYGTYDYGGYGSYNQDGGYASNYNGYGQDYYNNFGQNWNQGQGRGRGRTGGRGGAAAGRGGKQQQQQQRYVEKPQAVKIECKGSGIQHYRSELLKKVPEEDTYTIFCFGRRENTKTDMPLEINEIEGFAGFYEKIQEESTDPSLGGVFVKKPPEKGKLAKARKPDILKGDLKHEKLELVKDVSAADKFLVFGFGRSRGTVPDTKITCDVVEGFRSLYETLDKKSTDWSLGGIFMKGDDQQNKSANIKSGEAFEEINSYENMDEMTVSSDAPPHQRATRTAAAAAASRQISSSKFHRRFLDPRRRRGGKIAAGGALRSPQQATVHRQARRAGQRASSPALSPLLPALQRPPRPRWHSLSRSALTAPASPFLPPPVHSLRPPVEM
+>sp|P31943|HNRH1_HUMAN Heterogeneous nuclear ribonucleoprotein H OS=Homo sapiens OX=9606 GN=HNRNPH1 PE=1 SV=4
+MMLGTEGGEGFVVKVRGLPWSCSADEVQRFFSDCKIQNGAQGIRFIYTREGRPSGEAFVELESEDEVKLALKKDRETMGHRYVEVFKSNNVEMDWVLKHTGPNSPDTANDGFVRLRGLPFGCSKEEIVQFFSGLEIVPNGITLPVDFQGRSTGEAFVQFASQEIAEKALKKHKERIGHRYIEIFKSSRAEVRTHYDPPRKLMAMQRPGPYDRPGAGRGYNSIGRGAGFERMRRGAYGGGYGGYDDYNGYNDGYGFGSDRFGRDLNYCFSGMSDHRYGDGGSTFQSTTGHCVHMRGLPYRATENDIYNFFSPLNPVRVHIEIGPDGRVTGEADVEFATHEDAVAAMSKDKANMQHRYVELFLNSTAGASGGAYEHRYVELFLNSTAGASGGAYGSQMMGGMGLSNQSSYGGPASQQLSGGYGGGYGGQSSMSGYDQVLQENSSDFQSNIA
+>DECOY_sp|P31943|HNRH1_HUMAN Heterogeneous nuclear ribonucleoprotein H OS=Homo sapiens OX=9606 GN=HNRNPH1 PE=1 SV=4
+AINSQFDSSNEQLVQDYGSMSSQGGYGGGYGGSLQQSAPGGYSSQNSLGMGGMMQSGYAGGSAGATSNLFLEVYRHEYAGGSAGATSNLFLEVYRHQMNAKDKSMAAVADEHTAFEVDAEGTVRGDPGIEIHVRVPNLPSFFNYIDNETARYPLGRMHVCHGTTSQFTSGGDGYRHDSMGSFCYNLDRGFRDSGFGYGDNYGNYDDYGGYGGGYAGRRMREFGAGRGISNYGRGAGPRDYPGPRQMAMLKRPPDYHTRVEARSSKFIEIYRHGIREKHKKLAKEAIEQSAFQVFAEGTSRGQFDVPLTIGNPVIELGSFFQVIEEKSCGFPLGRLRVFGDNATDPSNPGTHKLVWDMEVNNSKFVEVYRHGMTERDKKLALKVEDESELEVFAEGSPRGERTYIFRIGQAGNQIKCDSFFRQVEDASCSWPLGRVKVVFGEGGETGLMM
+>sp|Q1KMD3|HNRL2_HUMAN Heterogeneous nuclear ribonucleoprotein U-like protein 2 OS=Homo sapiens OX=9606 GN=HNRNPUL2 PE=1 SV=1
+MEVKRLKVTELRSELQRRGLDSRGLKVDLAQRLQEALDAEMLEDEAGGGGAGPGGACKAEPRPVAASGGGPGGDEEEDEEEEEEDEEALLEDEDEEPPPAQALGQAAQPPPEPPEAAAMEAAAEPDASEKPAEATAGSGGVNGGEEQGLGKREEDEPEERSGDETPGSEVPGDKAAEEQGDDQDSEKSKPAGSDGERRGVKRQRDEKDEHGRAYYEFREEAYHSRSKSPLPPEEEAKDEEEDQTLVNLDTYTSDLHFQVSKDRYGGQPLFSEKFPTLWSGARSTYGVTKGKVCFEAKVTQNLPMKEGCTEVSLLRVGWSVDFSRPQLGEDEFSYGFDGRGLKAENGQFEEFGQTFGENDVIGCFANFETEEVELSFSKNGEDLGVAFWISKDSLADRALLPHVLCKNCVVELNFGQKEEPFFPPPEEFVFIHAVPVEERVRTAVPPKTIEECEVILMVGLPGSGKTQWALKYAKENPEKRYNVLGAETVLNQMRMKGLEEPEMDPKSRDLLVQQASQCLSKLVQIASRTKRNFILDQCNVYNSGQRRKLLLFKTFSRKVVVVVPNEEDWKKRLELRKEVEGDDVPESIMLEMKANFSLPEKCDYMDEVTYGELEKEEAQPIVTKYKEEARKLLPPSEKRTNRRNNRNKRNRQNRSRGQGYVGGQRRGYDNRAYGQQYWGQPGNRGGYRNFYDRYRGDYDRFYGRDYEYNRYRDYYRQYNRDWQSYYYHHPQDRDRYYRNYYGYQGYR
+>DECOY_sp|Q1KMD3|HNRL2_HUMAN Heterogeneous nuclear ribonucleoprotein U-like protein 2 OS=Homo sapiens OX=9606 GN=HNRNPUL2 PE=1 SV=1
+RYGQYGYYNRYYRDRDQPHHYYYSQWDRNYQRYYDRYRNYEYDRGYFRDYDGRYRDYFNRYGGRNGPQGWYQQGYARNDYGRRQGGVYGQGRSRNQRNRKNRNNRRNTRKESPPLLKRAEEKYKTVIPQAEEKELEGYTVEDMYDCKEPLSFNAKMELMISEPVDDGEVEKRLELRKKWDEENPVVVVVKRSFTKFLLLKRRQGSNYVNCQDLIFNRKTRSAIQVLKSLCQSAQQVLLDRSKPDMEPEELGKMRMQNLVTEAGLVNYRKEPNEKAYKLAWQTKGSGPLGVMLIVECEEITKPPVATRVREEVPVAHIFVFEEPPPFFPEEKQGFNLEVVCNKCLVHPLLARDALSDKSIWFAVGLDEGNKSFSLEVEETEFNAFCGIVDNEGFTQGFEEFQGNEAKLGRGDFGYSFEDEGLQPRSFDVSWGVRLLSVETCGEKMPLNQTVKAEFCVKGKTVGYTSRAGSWLTPFKESFLPQGGYRDKSVQFHLDSTYTDLNVLTQDEEEDKAEEEPPLPSKSRSHYAEERFEYYARGHEDKEDRQRKVGRREGDSGAPKSKESDQDDGQEEAAKDGPVESGPTEDGSREEPEDEERKGLGQEEGGNVGGSGATAEAPKESADPEAAAEMAAAEPPEPPPQAAQGLAQAPPPEEDEDELLAEEDEEEEEEDEEEDGGPGGGSAAVPRPEAKCAGGPGAGGGGAEDELMEADLAEQLRQALDVKLGRSDLGRRQLESRLETVKLRKVEM
+>sp|Q14103|HNRPD_HUMAN Heterogeneous nuclear ribonucleoprotein D0 OS=Homo sapiens OX=9606 GN=HNRNPD PE=1 SV=1
+MSEEQFGGDGAAAAATAAVGGSAGEQEGAMVAATQGAAAAAGSGAGTGGGTASGGTEGGSAESEGAKIDASKNEEDEGHSNSSPRHSEAATAQREEWKMFIGGLSWDTTKKDLKDYFSKFGEVVDCTLKLDPITGRSRGFGFVLFKESESVDKVMDQKEHKLNGKVIDPKRAKAMKTKEPVKKIFVGGLSPDTPEEKIREYFGGFGEVESIELPMDNKTNKRRGFCFITFKEEEPVKKIMEKKYHNVGLSKCEIKVAMSKEQYQQQQQWGSRGGFAGRARGRGGGPSQNWNQGYSNYWNQGYGNYGYNSQGYGGYGGYDYTGYNNYYGYGDYSNQQSGYGKVSRRGGHQNSYKPY
+>DECOY_sp|Q14103|HNRPD_HUMAN Heterogeneous nuclear ribonucleoprotein D0 OS=Homo sapiens OX=9606 GN=HNRNPD PE=1 SV=1
+YPKYSNQHGGRRSVKGYGSQQNSYDGYGYYNNYGTYDYGGYGGYGQSNYGYNGYGQNWYNSYGQNWNQSPGGGRGRARGAFGGRSGWQQQQQYQEKSMAVKIECKSLGVNHYKKEMIKKVPEEEKFTIFCFGRRKNTKNDMPLEISEVEGFGGFYERIKEEPTDPSLGGVFIKKVPEKTKMAKARKPDIVKGNLKHEKQDMVKDVSESEKFLVFGFGRSRGTIPDLKLTCDVVEGFKSFYDKLDKKTTDWSLGGIFMKWEERQATAAESHRPSSNSHGEDEENKSADIKAGESEASGGETGGSATGGGTGAGSGAAAAAGQTAAVMAGEQEGASGGVAATAAAAAGDGGFQEESM
+>sp|B0YJ81|HACD1_HUMAN Very-long-chain (3R)-3-hydroxyacyl-CoA dehydratase 1 OS=Homo sapiens OX=9606 GN=HACD1 PE=1 SV=1
+MGRLTEAAAAGSGSRAAGWAGSPPTLLPLSPTSPRCAATMASSDEDGTNGGASEAGEDREAPGERRRLGVLATAWLTFYDIAMTAGWLVLAIAMVRFYMEKGTHRGLYKSIQKTLKFFQTFALLEIVHCLIGIVPTSVIVTGVQVSSRIFMVWLITHSIKPIQNEESVVLFLVAWTVTEITRYSFYTFSLLDHLPYFIKWARYNFFIILYPVGVAGELLTIYAALPHVKKTGMFSIRLPNKYNVSFDYYYFLLITMASYIPLFPQLYFHMLRQRRKVLHGEVIVEKDD
+>DECOY_sp|B0YJ81|HACD1_HUMAN Very-long-chain (3R)-3-hydroxyacyl-CoA dehydratase 1 OS=Homo sapiens OX=9606 GN=HACD1 PE=1 SV=1
+DDKEVIVEGHLVKRRQRLMHFYLQPFLPIYSAMTILLFYYYDFSVNYKNPLRISFMGTKKVHPLAAYITLLEGAVGVPYLIIFFNYRAWKIFYPLHDLLSFTYFSYRTIETVTWAVLFLVVSEENQIPKISHTILWVMFIRSSVQVGTVIVSTPVIGILCHVIELLAFTQFFKLTKQISKYLGRHTGKEMYFRVMAIALVLWGATMAIDYFTLWATALVGLRRREGPAERDEGAESAGGNTGDEDSSAMTAACRPSTPSLPLLTPPSGAWGAARSGSGAAAAETLRGM
+>sp|Q9P035|HACD3_HUMAN Very-long-chain (3R)-3-hydroxyacyl-CoA dehydratase 3 OS=Homo sapiens OX=9606 GN=HACD3 PE=1 SV=2
+MENQVLTPHVYWAQRHRELYLRVELSDVQNPAISITENVLHFKAQGHGAKGDNVYEFHLEFLDLVKPEPVYKLTQRQVNITVQKKVSQWWERLTKQEKRPLFLAPDFDRWLDESDAEMELRAKEEERLNKLRLESEGSPETLTNLRKGYLFMYNLVQFLGFSWIFVNLTVRFCILGKESFYDTFHTVADMMYFCQMLAVVETINAAIGVTTSPVLPSLIQLLGRNFILFIIFGTMEEMQNKAVVFFVFYLWSAIEIFRYSFYMLTCIDMDWKVLTWLRYTLWIPLYPLGCLAEAVSVIQSIPIFNETGRFSFTLPYPVKIKVRFSFFLQIYLIMIFLGLYINFRHLYKQRRRRYGQKKKKIH
+>DECOY_sp|Q9P035|HACD3_HUMAN Very-long-chain (3R)-3-hydroxyacyl-CoA dehydratase 3 OS=Homo sapiens OX=9606 GN=HACD3 PE=1 SV=2
+HIKKKKQGYRRRRQKYLHRFNIYLGLFIMILYIQLFFSFRVKIKVPYPLTFSFRGTENFIPISQIVSVAEALCGLPYLPIWLTYRLWTLVKWDMDICTLMYFSYRFIEIASWLYFVFFVVAKNQMEEMTGFIIFLIFNRGLLQILSPLVPSTTVGIAANITEVVALMQCFYMMDAVTHFTDYFSEKGLICFRVTLNVFIWSFGLFQVLNYMFLYGKRLNTLTEPSGESELRLKNLREEEKARLEMEADSEDLWRDFDPALFLPRKEQKTLREWWQSVKKQVTINVQRQTLKYVPEPKVLDLFELHFEYVNDGKAGHGQAKFHLVNETISIAPNQVDSLEVRLYLERHRQAWYVHPTLVQNEM
+>sp|Q6PII5|HAGHL_HUMAN Hydroxyacylglutathione hydrolase-like protein OS=Homo sapiens OX=9606 GN=HAGHL PE=2 SV=1
+MKVKVIPVLEDNYMYLVIEELTREAVAVDVAVPKRLLEIVGREGVSLTAVLTTHHHWDHARGNPELARLRPGLAVLGADERIFSLTRRLAHGEELRFGAIHVRCLLTPGHTAGHMSYFLWEDDCPDPPALFSGDALSVAGCGSCLEGSAQQMYQSLAELGTLPPETKVFCGHEHTLSNLEFAQKVEPCNDHVRAKLSWAKARPLSRRGKRVGGEGTGFGVGGALRQGLMVTGACGHSRRGMRMTCPLCRRLWARSASTTPSCGWREYGCCPGASTVTWTLRKASGDCVLG
+>DECOY_sp|Q6PII5|HAGHL_HUMAN Hydroxyacylglutathione hydrolase-like protein OS=Homo sapiens OX=9606 GN=HAGHL PE=2 SV=1
+GLVCDGSAKRLTWTVTSAGPCCGYERWGCSPTTSASRAWLRRCLPCTMRMGRRSHGCAGTVMLGQRLAGGVGFGTGEGGVRKGRRSLPRAKAWSLKARVHDNCPEVKQAFELNSLTHEHGCFVKTEPPLTGLEALSQYMQQASGELCSGCGAVSLADGSFLAPPDPCDDEWLFYSMHGATHGPTLLCRVHIAGFRLEEGHALRRTLSFIREDAGLVALGPRLRALEPNGRAHDWHHHTTLVATLSVGERGVIELLRKPVAVDVAVAERTLEEIVLYMYNDELVPIVKVKM
+>sp|O96004|HAND1_HUMAN Heart- and neural crest derivatives-expressed protein 1 OS=Homo sapiens OX=9606 GN=HAND1 PE=2 SV=1
+MNLVGSYAHHHHHHHPHPAHPMLHEPFLFGPASRCHQERPYFQSWLLSPADAAPDFPAGGPPPAAAAAATAYGPDARPGQSPGRLEALGGRLGRRKGSGPKKERRRTESINSAFAELRECIPNVPADTKLSKIKTLRLATSYIAYLMDVLAKDAQSGDPEAFKAELKKADGGRESKRKRELQQHEGFPPALGPVEKRIKGRTGWPQQVWALELNQ
+>DECOY_sp|O96004|HAND1_HUMAN Heart- and neural crest derivatives-expressed protein 1 OS=Homo sapiens OX=9606 GN=HAND1 PE=2 SV=1
+QNLELAWVQQPWGTRGKIRKEVPGLAPPFGEHQQLERKRKSERGGDAKKLEAKFAEPDGSQADKALVDMLYAIYSTALRLTKIKSLKTDAPVNPICERLEAFASNISETRRREKKPGSGKRRGLRGGLAELRGPSQGPRADPGYATAAAAAAPPPGGAPFDPAADAPSLLWSQFYPREQHCRSAPGFLFPEHLMPHAPHPHHHHHHHAYSGVLNM
+>sp|P54257|HAP1_HUMAN Huntingtin-associated protein 1 OS=Homo sapiens OX=9606 GN=HAP1 PE=1 SV=3
+MRPKRLGRCCAGSRLGPGDPAALTCAPSPSASPAPEPSAQPQARGTGQRVGSRATSGSQFLSEARTGARPASEAGAKAGARRPSAFSAIQGDVRSMPDNSDAPWTRFVFQGPFGSRATGRGTGKAAGIWKTPAAYVGRRPGVSGPERAAFIRELEEALCPNLPPPVKKITQEDVKVMLYLLEELLPPVWESVTYGMVLQRERDLNTAARIGQSLVKQNSVLMEENSKLEALLGSAKEEILYLRHQVNLRDELLQLYSDSDEEDEDEEEEEEEKEAEEEQEEEEAEEDLQCAHPCDAPKLISQEALLHQHHCPQLEALQEKLRLLEEENHQLREEASQLDTLEDEEQMLILECVEQFSEASQQMAELSEVLVLRLENYERQQQEVARLQAQVLKLQQRCRMYGAETEKLQKQLASEKEIQMQLQEESVWVGSQLQDLREKYMDCGGMLIEMQEEVKTLRQQPPVSTGSATHYPYSVPLETLPGFQETLAEELRTSLRRMISDPVYFMERNYEMPRGDTSSLRYDFRYSEDREQVRGFEAEEGLMLAADIMRGEDFTPAEEFVPQEELGAAKKVPAEEGVMEEAELVSEETEGWEEVELELDEATRMNVVTSALEASGLGPSHLDMNYVLQQLANWQDAHYRRQLRWKMLQKGECPHGALPAASRTSCRSSCR
+>DECOY_sp|P54257|HAP1_HUMAN Huntingtin-associated protein 1 OS=Homo sapiens OX=9606 GN=HAP1 PE=1 SV=3
+RCSSRCSTRSAAPLAGHPCEGKQLMKWRLQRRYHADQWNALQQLVYNMDLHSPGLGSAELASTVVNMRTAEDLELEVEEWGETEESVLEAEEMVGEEAPVKKAAGLEEQPVFEEAPTFDEGRMIDAALMLGEEAEFGRVQERDESYRFDYRLSSTDGRPMEYNREMFYVPDSIMRRLSTRLEEALTEQFGPLTELPVSYPYHTASGTSVPPQQRLTKVEEQMEILMGGCDMYKERLDQLQSGVWVSEEQLQMQIEKESALQKQLKETEAGYMRCRQQLKLVQAQLRAVEQQQREYNELRLVLVESLEAMQQSAESFQEVCELILMQEEDELTDLQSAEERLQHNEEELLRLKEQLAELQPCHHQHLLAEQSILKPADCPHACQLDEEAEEEEQEEEAEKEEEEEEEDEDEEDSDSYLQLLEDRLNVQHRLYLIEEKASGLLAELKSNEEMLVSNQKVLSQGIRAATNLDRERQLVMGYTVSEWVPPLLEELLYLMVKVDEQTIKKVPPPLNPCLAEELERIFAAREPGSVGPRRGVYAAPTKWIGAAKGTGRGTARSGFPGQFVFRTWPADSNDPMSRVDGQIASFASPRRAGAKAGAESAPRAGTRAESLFQSGSTARSGVRQGTGRAQPQASPEPAPSASPSPACTLAAPDGPGLRSGACCRGLRKPRM
+>sp|Q13442|HAP28_HUMAN 28 kDa heat- and acid-stable phosphoprotein OS=Homo sapiens OX=9606 GN=PDAP1 PE=1 SV=1
+MPKGGRKGGHKGRARQYTSPEEIDAQLQAEKQKAREEEEQKEGGDGAAGDPKKEKKSLDSDESEDEEDDYQQKRKGVEGLIDIENPNRVAQTTKKVTQLDLDGPKELSRREREEIEKQKAKERYMKMHLAGKTEQAKADLARLAIIRKQREEAARKKEEERKAKDDATLSGKRMQSLSLNK
+>DECOY_sp|Q13442|HAP28_HUMAN 28 kDa heat- and acid-stable phosphoprotein OS=Homo sapiens OX=9606 GN=PDAP1 PE=1 SV=1
+KNLSLSQMRKGSLTADDKAKREEEKKRAAEERQKRIIALRALDAKAQETKGALHMKMYREKAKQKEIEERERRSLEKPGDLDLQTVKKTTQAVRNPNEIDILGEVGKRKQQYDDEEDESEDSDLSKKEKKPDGAAGDGGEKQEEEERAKQKEAQLQADIEEPSTYQRARGKHGGKRGGKPM
+>sp|Q96CS2|HAUS1_HUMAN HAUS augmin-like complex subunit 1 OS=Homo sapiens OX=9606 GN=HAUS1 PE=1 SV=1
+MEPQEERETQVAAWLKKIFGDHPIPQYEVNPRTTEILHHLSERNRVRDRDVYLVIEDLKQKASEYESEAKYLQDLLMESVNFSPANLSSTGSRYLNALVDSAVALETKDTSLASFIPAVNDLTSDLFRTKSKSEEIKIELEKLEKNLTATLVLEKCLQEDVKKAELHLSTERAKVDNRRQNMDFLKAKSEEFRFGIKAAEEQLSARGMDASLSHQSLVALSEKLARLKQQTIPLKKKLESYLDLMPNPSLAQVKIEEAKRELDSIEAELTRRVDMMEL
+>DECOY_sp|Q96CS2|HAUS1_HUMAN HAUS augmin-like complex subunit 1 OS=Homo sapiens OX=9606 GN=HAUS1 PE=1 SV=1
+LEMMDVRRTLEAEISDLERKAEEIKVQALSPNPMLDLYSELKKKLPITQQKLRALKESLAVLSQHSLSADMGRASLQEEAAKIGFRFEESKAKLFDMNQRRNDVKARETSLHLEAKKVDEQLCKELVLTATLNKELKELEIKIEESKSKTRFLDSTLDNVAPIFSALSTDKTELAVASDVLANLYRSGTSSLNAPSFNVSEMLLDQLYKAESEYESAKQKLDEIVLYVDRDRVRNRESLHHLIETTRPNVEYQPIPHDGFIKKLWAAVQTEREEQPEM
+>sp|O94927|HAUS5_HUMAN HAUS augmin-like complex subunit 5 OS=Homo sapiens OX=9606 GN=HAUS5 PE=1 SV=2
+MELAQEARELGCWAVEEMGVPVAARAPESTLRRLCLGQGADIWAYILQHVHSQRTVKKIRGNLLWYGHQDSPQVRRKLELEAAVTRLRAEIQELDQSLELMERDTEAQDTAMEQARQHTQDTQRRALLLRAQAGAMRRQQHTLRDPMQRLQNQLRRLQDMERKAKVDVTFGSLTSAALGLEPVVLRDVRTACTLRAQFLQNLLLPQAKRGSLPTPHDDHFGTSYQQWLSSVETLLTNHPPGHVLAALEHLAAEREAEIRSLCSGDGLGDTEISRPQAPDQSDSSQTLPSMVHLIQEGWRTVGVLVSQRSTLLKERQVLTQRLQGLVEEVERRVLGSSERQVLILGLRRCCLWTELKALHDQSQELQDAAGHRQLLLRELQAKQQRILHWRQLVEETQEQVRLLIKGNSASKTRLCRSPGEVLALVQRKVVPTFEAVAPQSRELLRCLEEEVRHLPHILLGTLLRHRPGELKPLPTVLPSIHQLHPASPRGSSFIALSHKLGLPPGKASELLLPAAASLRQDLLLLQDQRSLWCWDLLHMKTSLPPGLPTQELLQIQASQEKQQKENLGQALKRLEKLLKQALERIPELQGIVGDWWEQPGQAALSEELCQGLSLPQWRLRWVQAQGALQKLCS
+>DECOY_sp|O94927|HAUS5_HUMAN HAUS augmin-like complex subunit 5 OS=Homo sapiens OX=9606 GN=HAUS5 PE=1 SV=2
+SCLKQLAGQAQVWRLRWQPLSLGQCLEESLAAQGPQEWWDGVIGQLEPIRELAQKLLKELRKLAQGLNEKQQKEQSAQIQLLEQTPLGPPLSTKMHLLDWCWLSRQDQLLLLDQRLSAAAPLLLESAKGPPLGLKHSLAIFSSGRPSAPHLQHISPLVTPLPKLEGPRHRLLTGLLIHPLHRVEEELCRLLERSQPAVAEFTPVVKRQVLALVEGPSRCLRTKSASNGKILLRVQEQTEEVLQRWHLIRQQKAQLERLLLQRHGAADQLEQSQDHLAKLETWLCCRRLGLILVQRESSGLVRREVEEVLGQLRQTLVQREKLLTSRQSVLVGVTRWGEQILHVMSPLTQSSDSQDPAQPRSIETDGLGDGSCLSRIEAEREAALHELAALVHGPPHNTLLTEVSSLWQQYSTGFHDDHPTPLSGRKAQPLLLNQLFQARLTCATRVDRLVVPELGLAASTLSGFTVDVKAKREMDQLRRLQNQLRQMPDRLTHQQRRMAGAQARLLLARRQTDQTHQRAQEMATDQAETDREMLELSQDLEQIEARLRTVAAELELKRRVQPSDQHGYWLLNGRIKKVTRQSHVHQLIYAWIDAGQGLCLRRLTSEPARAAVPVGMEEVAWCGLERAEQALEM
+>sp|Q99871|HAUS7_HUMAN HAUS augmin-like complex subunit 7 OS=Homo sapiens OX=9606 GN=HAUS7 PE=1 SV=3
+MGGARLGARNMAGQDAGCGRGGDDYSEDEGDSSVSRAAVEVFGKLKDLNCPFLEGLYITEPKTIQELLCSPSEYRLEILEWMCTRVWPSLQDRFSSLKGVPTEVKIQEMTKLGHELMLCAPDDQELLKGCACAQKQLHFMDQLLDTIRSLTIGCSSCSSLMEHFEDTREKNEALLGELFSSPHLQMLLNPECDPWPLDMQPLLNKQSDDWQWASASAKSEEEEKLAELARQLQESAAKLHALRTEYFAQHEQGAAAGAADISTLDQKLRLVTSDFHQLILAFLQVYDDELGECCQRPGPDLHPCGPIIQATHQNLTSYSQLLQVVMAVADTSAKAVETVKKQQGEQICWGGSSSVMSLATKMNELMEK
+>DECOY_sp|Q99871|HAUS7_HUMAN HAUS augmin-like complex subunit 7 OS=Homo sapiens OX=9606 GN=HAUS7 PE=1 SV=3
+KEMLENMKTALSMVSSSGGWCIQEGQQKKVTEVAKASTDAVAMVVQLLQSYSTLNQHTAQIIPGCPHLDPGPRQCCEGLEDDYVQLFALILQHFDSTVLRLKQDLTSIDAAGAAAGQEHQAFYETRLAHLKAASEQLQRALEALKEEEESKASASAWQWDDSQKNLLPQMDLPWPDCEPNLLMQLHPSSFLEGLLAENKERTDEFHEMLSSCSSCGITLSRITDLLQDMFHLQKQACACGKLLEQDDPACLMLEHGLKTMEQIKVETPVGKLSSFRDQLSPWVRTCMWELIELRYESPSCLLEQITKPETIYLGELFPCNLDKLKGFVEVAARSVSSDGEDESYDDGGRGCGADQGAMNRAGLRAGGM
+>sp|Q96D42|HAVR1_HUMAN Hepatitis A virus cellular receptor 1 OS=Homo sapiens OX=9606 GN=HAVCR1 PE=1 SV=2
+MHPQVVILSLILHLADSVAGSVKVGGEAGPSVTLPCHYSGAVTSMCWNRGSCSLFTCQNGIVWTNGTHVTYRKDTRYKLLGDLSRRDVSLTIENTAVSDSGVYCCRVEHRGWFNDMKITVSLEIVPPKVTTTPIVTTVPTVTTVRTSTTVPTTTTVPTTTVPTTMSIPTTTTVLTTMTVSTTTSVPTTTSIPTTTSVPVTTTVSTFVPPMPLPRQNHEPVATSPSSPQPAETHPTTLQGAIRREPTSSPLYSYTTDGNDTVTESSDGLWNNNQTQLFLEHSLLTANTTKGIYAGVCISVLVLLALLGVIIAKKYFFKKEVQQLSVSFSSLQIKALQNAVEKEVQAEDNIYIENSLYATD
+>DECOY_sp|Q96D42|HAVR1_HUMAN Hepatitis A virus cellular receptor 1 OS=Homo sapiens OX=9606 GN=HAVCR1 PE=1 SV=2
+DTAYLSNEIYINDEAQVEKEVANQLAKIQLSSFSVSLQQVEKKFFYKKAIIVGLLALLVLVSICVGAYIGKTTNATLLSHELFLQTQNNNWLGDSSETVTDNGDTTYSYLPSSTPERRIAGQLTTPHTEAPQPSSPSTAVPEHNQRPLPMPPVFTSVTTTVPVSTTTPISTTTPVSTTTSVTMTTLVTTTTPISMTTPVTTTPVTTTTPVTTSTRVTTVTPVTTVIPTTTVKPPVIELSVTIKMDNFWGRHEVRCCYVGSDSVATNEITLSVDRRSLDGLLKYRTDKRYTVHTGNTWVIGNQCTFLSCSGRNWCMSTVAGSYHCPLTVSPGAEGGVKVSGAVSDALHLILSLIVVQPHM
+>sp|Q8TDQ0|HAVR2_HUMAN Hepatitis A virus cellular receptor 2 OS=Homo sapiens OX=9606 GN=HAVCR2 PE=1 SV=3
+MFSHLPFDCVLLLLLLLLTRSSEVEYRAEVGQNAYLPCFYTPAAPGNLVPVCWGKGACPVFECGNVVLRTDERDVNYWTSRYWLNGDFRKGDVSLTIENVTLADSGIYCCRIQIPGIMNDEKFNLKLVIKPAKVTPAPTRQRDFTAAFPRMLTTRGHGPAETQTLGSLPDINLTQISTLANELRDSRLANDLRDSGATIRIGIYIGAGICAGLALALIFGALIFKWYSHSKEKIQNLSLISLANLPPSGLANAVAEGIRSEENIYTIEENVYEVEEPNEYYCYVSSRQQPSQPLGCRFAMP
+>DECOY_sp|Q8TDQ0|HAVR2_HUMAN Hepatitis A virus cellular receptor 2 OS=Homo sapiens OX=9606 GN=HAVCR2 PE=1 SV=3
+PMAFRCGLPQSPQQRSSVYCYYENPEEVEYVNEEITYINEESRIGEAVANALGSPPLNALSILSLNQIKEKSHSYWKFILAGFILALALGACIGAGIYIGIRITAGSDRLDNALRSDRLENALTSIQTLNIDPLSGLTQTEAPGHGRTTLMRPFAATFDRQRTPAPTVKAPKIVLKLNFKEDNMIGPIQIRCCYIGSDALTVNEITLSVDGKRFDGNLWYRSTWYNVDREDTRLVVNGCEFVPCAGKGWCVPVLNGPAAPTYFCPLYANQGVEARYEVESSRTLLLLLLLLVCDFPLHSFM
+>sp|O00165|HAX1_HUMAN HCLS1-associated protein X-1 OS=Homo sapiens OX=9606 GN=HAX1 PE=1 SV=2
+MSLFDLFRGFFGFPGPRSHRDPFFGGMTRDEDDDEEEEEEGGSWGRGNPRFHSPQHPPEEFGFGFSFSPGGGIRFHDNFGFDDLVRDFNSIFSDMGAWTLPSHPPELPGPESETPGERLREGQTLRDSMLKYPDSHQPRIFGGVLESDARSESPQPAPDWGSQRPFHRFDDVWPMDPHPRTREDNDLDSQVSQEGLGPVLQPQPKSYFKSISVTKITKPDGIVEERRTVVDSEGRTETTVTRHEADSSPRGDPESPRPPALDDAFSILDLFLGRWFRSR
+>DECOY_sp|O00165|HAX1_HUMAN HCLS1-associated protein X-1 OS=Homo sapiens OX=9606 GN=HAX1 PE=1 SV=2
+RSRFWRGLFLDLISFADDLAPPRPSEPDGRPSSDAEHRTVTTETRGESDVVTRREEVIGDPKTIKTVSISKFYSKPQPQLVPGLGEQSVQSDLDNDERTRPHPDMPWVDDFRHFPRQSGWDPAPQPSESRADSELVGGFIRPQHSDPYKLMSDRLTQGERLREGPTESEPGPLEPPHSPLTWAGMDSFISNFDRVLDDFGFNDHFRIGGGPSFSFGFGFEEPPHQPSHFRPNGRGWSGGEEEEEEDDDEDRTMGGFFPDRHSRPGPFGFFGRFLDFLSM
+>sp|P69891|HBG1_HUMAN Hemoglobin subunit gamma-1 OS=Homo sapiens OX=9606 GN=HBG1 PE=1 SV=2
+MGHFTEEDKATITSLWGKVNVEDAGGETLGRLLVVYPWTQRFFDSFGNLSSASAIMGNPKVKAHGKKVLTSLGDAIKHLDDLKGTFAQLSELHCDKLHVDPENFKLLGNVLVTVLAIHFGKEFTPEVQASWQKMVTAVASALSSRYH
+>DECOY_sp|P69891|HBG1_HUMAN Hemoglobin subunit gamma-1 OS=Homo sapiens OX=9606 GN=HBG1 PE=1 SV=2
+HYRSSLASAVATVMKQWSAQVEPTFEKGFHIALVTVLVNGLLKFNEPDVHLKDCHLESLQAFTGKLDDLHKIADGLSTLVKKGHAKVKPNGMIASASSLNGFSDFFRQTWPYVVLLRGLTEGGADEVNVKGWLSTITAKDEETFHGM
+>sp|Q99714|HCD2_HUMAN 3-hydroxyacyl-CoA dehydrogenase type-2 OS=Homo sapiens OX=9606 GN=HSD17B10 PE=1 SV=3
+MAAACRSVKGLVAVITGGASGLGLATAERLVGQGASAVLLDLPNSGGEAQAKKLGNNCVFAPADVTSEKDVQTALALAKGKFGRVDVAVNCAGIAVASKTYNLKKGQTHTLEDFQRVLDVNLMGTFNVIRLVAGEMGQNEPDQGGQRGVIINTASVAAFEGQVGQAAYSASKGGIVGMTLPIARDLAPIGIRVMTIAPGLFGTPLLTSLPEKVCNFLASQVPFPSRLGDPAEYAHLVQAIIENPFLNGEVIRLDGAIRMQP
+>DECOY_sp|Q99714|HCD2_HUMAN 3-hydroxyacyl-CoA dehydrogenase type-2 OS=Homo sapiens OX=9606 GN=HSD17B10 PE=1 SV=3
+PQMRIAGDLRIVEGNLFPNEIIAQVLHAYEAPDGLRSPFPVQSALFNCVKEPLSTLLPTGFLGPAITMVRIGIPALDRAIPLTMGVIGGKSASYAAQGVQGEFAAVSATNIIVGRQGGQDPENQGMEGAVLRIVNFTGMLNVDLVRQFDELTHTQGKKLNYTKSAVAIGACNVAVDVRGFKGKALALATQVDKESTVDAPAFVCNNGLKKAQAEGGSNPLDLLVASAGQGVLREATALGLGSAGGTIVAVLGKVSRCAAAM
+>sp|O60741|HCN1_HUMAN Potassium/sodium hyperpolarization-activated cyclic nucleotide-gated channel 1 OS=Homo sapiens OX=9606 GN=HCN1 PE=1 SV=3
+MEGGGKPNSSSNSRDDGNSVFPAKASATGAGPAAAEKRLGTPPGGGGAGAKEHGNSVCFKVDGGGGGGGGGGGGEEPAGGFEDAEGPRRQYGFMQRQFTSMLQPGVNKFSLRMFGSQKAVEKEQERVKTAGFWIIHPYSDFRFYWDLIMLIMMVGNLVIIPVGITFFTEQTTTPWIIFNVASDTVFLLDLIMNFRTGTVNEDSSEIILDPKVIKMNYLKSWFVVDFISSIPVDYIFLIVEKGMDSEVYKTARALRIVRFTKILSLLRLLRLSRLIRYIHQWEEIFHMTYDLASAVVRIFNLIGMMLLLCHWDGCLQFLVPLLQDFPPDCWVSLNEMVNDSWGKQYSYALFKAMSHMLCIGYGAQAPVSMSDLWITMLSMIVGATCYAMFVGHATALIQSLDSSRRQYQEKYKQVEQYMSFHKLPADMRQKIHDYYEHRYQGKIFDEENILNELNDPLREEIVNFNCRKLVATMPLFANADPNFVTAMLSKLRFEVFQPGDYIIREGAVGKKMYFIQHGVAGVITKSSKEMKLTDGSYFGEICLLTKGRRTASVRADTYCRLYSLSVDNFNEVLEEYPMMRRAFETVAIDRLDRIGKKNSILLQKFQKDLNTGVFNNQENEILKQIVKHDREMVQAIAPINYPQMTTLNSTSSTTTPTSRMRTQSPPVYTATSLSHSNLHSPSPSTQTPQPSAILSPCSYTTAVCSPPVQSPLAARTFHYASPTASQLSLMQQQPQQQVQQSQPPQTQPQQPSPQPQTPGSSTPKNEVHKSTQALHNTNLTREVRPLSASQPSLPHEVSTLISRPHPTVGESLASIPQPVTAVPGTGLQAGGRSTVPQRVTLFRQMSSGAIPPNRGVPPAPPPPAAALPRESSSVLNTDPDAEKPRFASNL
+>DECOY_sp|O60741|HCN1_HUMAN Potassium/sodium hyperpolarization-activated cyclic nucleotide-gated channel 1 OS=Homo sapiens OX=9606 GN=HCN1 PE=1 SV=3
+LNSAFRPKEADPDTNLVSSSERPLAAAPPPPAPPVGRNPPIAGSSMQRFLTVRQPVTSRGGAQLGTGPVATVPQPISALSEGVTPHPRSILTSVEHPLSPQSASLPRVERTLNTNHLAQTSKHVENKPTSSGPTQPQPSPQQPQTQPPQSQQVQQQPQQQMLSLQSATPSAYHFTRAALPSQVPPSCVATTYSCPSLIASPQPTQTSPSPSHLNSHSLSTATYVPPSQTRMRSTPTTTSSTSNLTTMQPYNIPAIAQVMERDHKVIQKLIENEQNNFVGTNLDKQFKQLLISNKKGIRDLRDIAVTEFARRMMPYEELVENFNDVSLSYLRCYTDARVSATRRGKTLLCIEGFYSGDTLKMEKSSKTIVGAVGHQIFYMKKGVAGERIIYDGPQFVEFRLKSLMATVFNPDANAFLPMTAVLKRCNFNVIEERLPDNLENLINEEDFIKGQYRHEYYDHIKQRMDAPLKHFSMYQEVQKYKEQYQRRSSDLSQILATAHGVFMAYCTAGVIMSLMTIWLDSMSVPAQAGYGICLMHSMAKFLAYSYQKGWSDNVMENLSVWCDPPFDQLLPVLFQLCGDWHCLLLMMGILNFIRVVASALDYTMHFIEEWQHIYRILRSLRLLRLLSLIKTFRVIRLARATKYVESDMGKEVILFIYDVPISSIFDVVFWSKLYNMKIVKPDLIIESSDENVTGTRFNMILDLLFVTDSAVNFIIWPTTTQETFFTIGVPIIVLNGVMMILMILDWYFRFDSYPHIIWFGATKVREQEKEVAKQSGFMRLSFKNVGPQLMSTFQRQMFGYQRRPGEADEFGGAPEEGGGGGGGGGGGGDVKFCVSNGHEKAGAGGGGPPTGLRKEAAAPGAGTASAKAPFVSNGDDRSNSSSNPKGGGEM
+>sp|Q9P1Z3|HCN3_HUMAN Potassium/sodium hyperpolarization-activated cyclic nucleotide-gated channel 3 OS=Homo sapiens OX=9606 GN=HCN3 PE=1 SV=2
+MEAEQRPAAGASEGATPGLEAVPPVAPPPATAASGPIPKSGPEPKRRHLGTLLQPTVNKFSLRVFGSHKAVEIEQERVKSAGAWIIHPYSDFRFYWDLIMLLLMVGNLIVLPVGITFFKEENSPPWIVFNVLSDTFFLLDLVLNFRTGIVVEEGAEILLAPRAIRTRYLRTWFLVDLISSIPVDYIFLVVELEPRLDAEVYKTARALRIVRFTKILSLLRLLRLSRLIRYIHQWEEIFHMTYDLASAVVRIFNLIGMMLLLCHWDGCLQFLVPMLQDFPPDCWVSINHMVNHSWGRQYSHALFKAMSHMLCIGYGQQAPVGMPDVWLTMLSMIVGATCYAMFIGHATALIQSLDSSRRQYQEKYKQVEQYMSFHKLPADTRQRIHEYYEHRYQGKMFDEESILGELSEPLREEIINFTCRGLVAHMPLFAHADPSFVTAVLTKLRFEVFQPGDLVVREGSVGRKMYFIQHGLLSVLARGARDTRLTDGSYFGEICLLTRGRRTASVRADTYCRLYSLSVDHFNAVLEEFPMMRRAFETVAMDRLLRIGKKNSILQRKRSEPSPGSSGGIMEQHLVQHDRDMARGVRGRAPSTGAQLSGKPVLWEPLVHAPLQAAAVTSNVAIALTHQRGPLPLSPDSPATLLARSAWRSAGSPASPLVPVRAGPWASTSRLPAPPARTLHASLSRAGRSQVSLLGPPPGGGGRRLGPRGRPLSASQPSLPQRATGDGSPGRKGSGSERLPPSGLLAKPPRTAQPPRPPVPEPATPRGLQLSANM
+>DECOY_sp|Q9P1Z3|HCN3_HUMAN Potassium/sodium hyperpolarization-activated cyclic nucleotide-gated channel 3 OS=Homo sapiens OX=9606 GN=HCN3 PE=1 SV=2
+MNASLQLGRPTAPEPVPPRPPQATRPPKALLGSPPLRESGSGKRGPSGDGTARQPLSPQSASLPRGRPGLRRGGGGPPPGLLSVQSRGARSLSAHLTRAPPAPLRSTSAWPGARVPVLPSAPSGASRWASRALLTAPSDPSLPLPGRQHTLAIAVNSTVAAAQLPAHVLPEWLVPKGSLQAGTSPARGRVGRAMDRDHQVLHQEMIGGSSGPSPESRKRQLISNKKGIRLLRDMAVTEFARRMMPFEELVANFHDVSLSYLRCYTDARVSATRRGRTLLCIEGFYSGDTLRTDRAGRALVSLLGHQIFYMKRGVSGERVVLDGPQFVEFRLKTLVATVFSPDAHAFLPMHAVLGRCTFNIIEERLPESLEGLISEEDFMKGQYRHEYYEHIRQRTDAPLKHFSMYQEVQKYKEQYQRRSSDLSQILATAHGIFMAYCTAGVIMSLMTLWVDPMGVPAQQGYGICLMHSMAKFLAHSYQRGWSHNVMHNISVWCDPPFDQLMPVLFQLCGDWHCLLLMMGILNFIRVVASALDYTMHFIEEWQHIYRILRSLRLLRLLSLIKTFRVIRLARATKYVEADLRPELEVVLFIYDVPISSILDVLFWTRLYRTRIARPALLIEAGEEVVIGTRFNLVLDLLFFTDSLVNFVIWPPSNEEKFFTIGVPLVILNGVMLLLMILDWYFRFDSYPHIIWAGASKVREQEIEVAKHSGFVRLSFKNVTPQLLTGLHRRKPEPGSKPIPGSAATAPPPAVPPVAELGPTAGESAGAAPRQEAEM
+>sp|Q9UBK5|HCST_HUMAN Hematopoietic cell signal transducer OS=Homo sapiens OX=9606 GN=HCST PE=1 SV=1
+MIHLGHILFLLLLPVAAAQTTPGERSSLPAFYPGTSGSCSGCGSLSLPLLAGLVAADAVASLLIVGAVFLCARPRRSPAQEDGKVYINMPGRG
+>DECOY_sp|Q9UBK5|HCST_HUMAN Hematopoietic cell signal transducer OS=Homo sapiens OX=9606 GN=HCST PE=1 SV=1
+GRGPMNIYVKGDEQAPSRRPRACLFVAGVILLSAVADAAVLGALLPLSLSGCGSCSGSTGPYFAPLSSREGPTTQAAAVPLLLLFLIHGLHIM
+>sp|P0DOY5|HD101_HUMAN Immunoglobulin heavy diversity 1-1 OS=Homo sapiens OX=9606 GN=IGHD1-1 PE=4 SV=1
+GTTGT
+>DECOY_sp|P0DOY5|HD101_HUMAN Immunoglobulin heavy diversity 1-1 OS=Homo sapiens OX=9606 GN=IGHD1-1 PE=4 SV=1
+TGTTG
+>sp|Q8IV16|HDBP1_HUMAN Glycosylphosphatidylinositol-anchored high density lipoprotein-binding protein 1 OS=Homo sapiens OX=9606 GN=GPIHBP1 PE=1 SV=2
+MKALGAVLLALLLCGRPGRGQTQQEEEEEDEDHGPDDYDEEDEDEVEEEETNRLPGGRSRVLLRCYTCKSLPRDERCNLTQNCSHGQTCTTLIAHGNTESGLLTTHSTWCTDSCQPITKTVEGTQVTMTCCQSSLCNVPPWQSSRVQDPTGKGAGGPRGSSETVGAALLLNLLAGLGAMGARRP
+>DECOY_sp|Q8IV16|HDBP1_HUMAN Glycosylphosphatidylinositol-anchored high density lipoprotein-binding protein 1 OS=Homo sapiens OX=9606 GN=GPIHBP1 PE=1 SV=2
+PRRAGMAGLGALLNLLLAAGVTESSGRPGGAGKGTPDQVRSSQWPPVNCLSSQCCTMTVQTGEVTKTIPQCSDTCWTSHTTLLGSETNGHAILTTCTQGHSCNQTLNCREDRPLSKCTYCRLLVRSRGGPLRNTEEEEVEDEDEEDYDDPGHDEDEEEEEQQTQGRGPRGCLLLALLVAGLAKM
+>sp|Q9H583|HEAT1_HUMAN HEAT repeat-containing protein 1 OS=Homo sapiens OX=9606 GN=HEATR1 PE=1 SV=3
+MTSLAQQLQRLALPQSDASLLSRDEVASLLFDPKEAATIDRDTAFAIGCTGLEELLGIDPSFEQFEAPLFSQLAKTLERSVQTKAVNKQLDENISLFLIHLSPYFLLKPAQKCLEWLIHRFHIHLYNQDSLIACVLPYHETRIFVRVIQLLKINNSKHRWFWLLPVKQSGVPLAKGTLITHCYKDLGFMDFICSLVTKSVKVFAEYPGSSAQLRVLLAFYASTIVSALVAAEDVSDNIIAKLFPYIQKGLKSSLPDYRAATYMIICQISVKVTMENTFVNSLASQIIKTLTKIPSLIKDGLSCLIVLLQRQKPESLGKKPFPHLCNVPDLITILHGISETYDVSPLLHYMLPHLVVSIIHHVTGEETEGMDGQIYKRHLEAILTKISLKNNLDHLLASLLFEEYISYSSQEEMDSNKVSLLNEQFLPLIRLLESKYPRTLDVVLEEHLKEIADLKKQELFHQFVSLSTSGGKYQFLADSDTSLMLSLNHPLAPVRILAMNHLKKIMKTSKEGVDESFIKEAVLARLGDDNIDVVLSAISAFEIFKEHFSSEVTISNLLNLFQRAELSKNGEWYEVLKIAADILIKEEILSENDQLSNQVVVCLLPFMVINNDDTESAEMKIAIYLSKSGICSLHPLLRGWEEALENVIKSTKPGKLIGVANQKMIELLADNINLGDPSSMLKMVEDLISVGEEESFNLKQKVTFHVILSVLVSCCSSLKETHFPFAIRVFSLLQKKIKKLESVITAVEIPSEWHIELMLDRGIPVELWAHYVEELNSTQRVAVEDSVFLVFSLKKFIYALKAPKSFPKGDIWWNPEQLKEDSRDYLHLLIGLFEMMLNGADAVHFRVLMKLFIKVHLEDVFQLFKFCSVLWTYGSSLSNPLNCSVKTVLQTQALYVGCAMLSSQKTQCKHQLASISSPVVTSLLINLGSPVKEVRRAAIQCLQALSGVASPFYLIIDHLISKAEEITSDAAYVIQDLATLFEELQREKKLKSHQKLSETLKNLLSCVYSCPSYIAKDLMKVLQGVNGEMVLSQLLPMAEQLLEKIQKEPTAVLKDEAMVLHLTLGKYNEFSVSLLNEDPKSLDIFIKAVHTTKELYAGMPTIQITALEKITKPFFAAISDEKVQQKLLRMLFDLLVNCKNSHCAQTVSSVFKGISVNAEQVRIELEPPDKAKPLGTVQQKRRQKMQQKKSQDLESVQEVGGSYWQRVTLILELLQHKKKLRSPQILVPTLFNLLSRCLEPLPQEQGNMEYTKQLILSCLLNICQKLSPDGGKIPKDILDEEKFNVELIVQCIRLSEMPQTHHHALLLLGTVAGIFPDKVLHNIMSIFTFMGANVMRLDDTYSFQVINKTVKMVIPALIQSDSGDSIEVSRNVEEIVVKIISVFVDALPHVPEHRRLPILVQLVDTLGAEKFLWILLILLFEQYVTKTVLAAAYGEKDAILEADTEFWFSVCCEFSVQHQIQSLMNILQYLLKLPEEKEETIPKAVSFNKSESQEEMLQVFNVETHTSKQLRHFKFLSVSFMSQLLSSNNFLKKVVESGGPEILKGLEERLLETVLGYISAVAQSMERNADKLTVKFWRALLSKAYDLLDKVNALLPTETFIPVIRGLVGNPLPSVRRKALDLLNNKLQQNISWKKTIVTRFLKLVPDLLAIVQRKKKEGEEEQAINRQTALYTLKLLCKNFGAENPDPFVPVLNTAVKLIAPERKEEKNVLGSALLCIAEVTSTLEALAIPQLPSLMPSLLTTMKNTSELVSSEVYLLSALAALQKVVETLPHFISPYLEGILSQVIHLEKITSEMGSASQANIRLTSLKKTLATTLAPRVLLPAIKKTYKQIEKNWKNHMGPFMSILQEHIGVMKKEELTSHQSQLTAFFLEALDFRAQHSENDLEEVGKTENCIIDCLVAMVVKLSEVTFRPLFFKLFDWAKTEDAPKDRLLTFYNLADCIAEKLKGLFTLFAGHLVKPFADTLNQVNISKTDEAFFDSENDPEKCCLLLQFILNCLYKIFLFDTQHFISKERAEALMMPLVDQLENRLGGEEKFQERVTKHLIPCIAQFSVAMADDSLWKPLNYQILLKTRDSSPKVRFAALITVLALAEKLKENYIVLLPESIPFLAELMEDECEEVEHQCQKTIQQLETVLGEPLQSYF
+>DECOY_sp|Q9H583|HEAT1_HUMAN HEAT repeat-containing protein 1 OS=Homo sapiens OX=9606 GN=HEATR1 PE=1 SV=3
+FYSQLPEGLVTELQQITKQCQHEVEECEDEMLEALFPISEPLLVIYNEKLKEALALVTILAAFRVKPSSDRTKLLIQYNLPKWLSDDAMAVSFQAICPILHKTVREQFKEEGGLRNELQDVLPMMLAEAREKSIFHQTDFLFIKYLCNLIFQLLLCCKEPDNESDFFAEDTKSINVQNLTDAFPKVLHGAFLTFLGKLKEAICDALNYFTLLRDKPADETKAWDFLKFFLPRFTVESLKVVMAVLCDIICNETKGVEELDNESHQARFDLAELFFATLQSQHSTLEEKKMVGIHEQLISMFPGMHNKWNKEIQKYTKKIAPLLVRPALTTALTKKLSTLRINAQSASGMESTIKELHIVQSLIGELYPSIFHPLTEVVKQLAALASLLYVESSVLESTNKMTTLLSPMLSPLQPIALAELTSTVEAICLLASGLVNKEEKREPAILKVATNLVPVFPDPNEAGFNKCLLKLTYLATQRNIAQEEEGEKKKRQVIALLDPVLKLFRTVITKKWSINQQLKNNLLDLAKRRVSPLPNGVLGRIVPIFTETPLLANVKDLLDYAKSLLARWFKVTLKDANREMSQAVASIYGLVTELLREELGKLIEPGGSEVVKKLFNNSSLLQSMFSVSLFKFHRLQKSTHTEVNFVQLMEEQSESKNFSVAKPITEEKEEPLKLLYQLINMLSQIQHQVSFECCVSFWFETDAELIADKEGYAAALVTKTVYQEFLLILLIWLFKEAGLTDVLQVLIPLRRHEPVHPLADVFVSIIKVVIEEVNRSVEISDGSDSQILAPIVMKVTKNIVQFSYTDDLRMVNAGMFTFISMINHLVKDPFIGAVTGLLLLAHHHTQPMESLRICQVILEVNFKEEDLIDKPIKGGDPSLKQCINLLCSLILQKTYEMNGQEQPLPELCRSLLNFLTPVLIQPSRLKKKHQLLELILTVRQWYSGGVEQVSELDQSKKQQMKQRRKQQVTGLPKAKDPPELEIRVQEANVSIGKFVSSVTQACHSNKCNVLLDFLMRLLKQQVKEDSIAAFFPKTIKELATIQITPMGAYLEKTTHVAKIFIDLSKPDENLLSVSFENYKGLTLHLVMAEDKLVATPEKQIKELLQEAMPLLQSLVMEGNVGQLVKMLDKAIYSPCSYVCSLLNKLTESLKQHSKLKKERQLEEFLTALDQIVYAADSTIEEAKSILHDIILYFPSAVGSLAQLCQIAARRVEKVPSGLNILLSTVVPSSISALQHKCQTKQSSLMACGVYLAQTQLVTKVSCNLPNSLSSGYTWLVSCFKFLQFVDELHVKIFLKMLVRFHVADAGNLMMEFLGILLHLYDRSDEKLQEPNWWIDGKPFSKPAKLAYIFKKLSFVLFVSDEVAVRQTSNLEEVYHAWLEVPIGRDLMLEIHWESPIEVATIVSELKKIKKQLLSFVRIAFPFHTEKLSSCCSVLVSLIVHFTVKQKLNFSEEEGVSILDEVMKLMSSPDGLNINDALLEIMKQNAVGILKGPKTSKIVNELAEEWGRLLPHLSCIGSKSLYIAIKMEASETDDNNIVMFPLLCVVVQNSLQDNESLIEEKILIDAAIKLVEYWEGNKSLEARQFLNLLNSITVESSFHEKFIEFASIASLVVDINDDGLRALVAEKIFSEDVGEKSTKMIKKLHNMALIRVPALPHNLSLMLSTDSDALFQYKGGSTSLSVFQHFLEQKKLDAIEKLHEELVVDLTRPYKSELLRILPLFQENLLSVKNSDMEEQSSYSIYEEFLLSALLHDLNNKLSIKTLIAELHRKYIQGDMGETEEGTVHHIISVVLHPLMYHLLPSVDYTESIGHLITILDPVNCLHPFPKKGLSEPKQRQLLVILCSLGDKILSPIKTLTKIIQSALSNVFTNEMTVKVSIQCIIMYTAARYDPLSSKLGKQIYPFLKAIINDSVDEAAVLASVITSAYFALLVRLQASSGPYEAFVKVSKTVLSCIFDMFGLDKYCHTILTGKALPVGSQKVPLLWFWRHKSNNIKLLQIVRVFIRTEHYPLVCAILSDQNYLHIHFRHILWELCKQAPKLLFYPSLHILFLSINEDLQKNVAKTQVSRELTKALQSFLPAEFQEFSPDIGLLEELGTCGIAFATDRDITAAEKPDFLLSAVEDRSLLSADSQPLALRQLQQALSTM
+>sp|Q9NRV9|HEBP1_HUMAN Heme-binding protein 1 OS=Homo sapiens OX=9606 GN=HEBP1 PE=1 SV=1
+MLGMIKNSLFGSVETWPWQVLSKGDKEEVAYEERACEGGKFATVEVTDKPVDEALREAMPKVAKYAGGTNDKGIGMGMTVPISFAVFPNEDGSLQKKLKVWFRIPNQFQSDPPAPSDKSVKIEEREGITVYSMQFGGYAKEADYVAQATRLRAALEGTATYRGDIYFCTGYDPPMKPYGRRNEIWLLKT
+>DECOY_sp|Q9NRV9|HEBP1_HUMAN Heme-binding protein 1 OS=Homo sapiens OX=9606 GN=HEBP1 PE=1 SV=1
+TKLLWIENRRGYPKMPPDYGTCFYIDGRYTATGELAARLRTAQAVYDAEKAYGGFQMSYVTIGEREEIKVSKDSPAPPDSQFQNPIRFWVKLKKQLSGDENPFVAFSIPVTMGMGIGKDNTGGAYKAVKPMAERLAEDVPKDTVEVTAFKGGECAREEYAVEEKDGKSLVQWPWTEVSGFLSNKIMGLM
+>sp|A8MVW5|HECA2_HUMAN HEPACAM family member 2 OS=Homo sapiens OX=9606 GN=HEPACAM2 PE=1 SV=1
+MGQDAFMEPFGDTLGVFQCKIYLLLFGACSGLKVTVPSHTVHGVRGQALYLPVHYGFHTPASDIQIIWLFERPHTMPKYLLGSVNKSVVPDLEYQHKFTMMPPNASLLINPLQFPDEGNYIVKVNIQGNGTLSASQKIQVTVDDPVTKPVVQIHPPSGAVEYVGNMTLTCHVEGGTRLAYQWLKNGRPVHTSSTYSFSPQNNTLHIAPVTKEDIGNYSCLVRNPVSEMESDIIMPIIYYGPYGLQVNSDKGLKVGEVFTVDLGEAILFDCSADSHPPNTYSWIRRTDNTTYIIKHGPRLEVASEKVAQKTMDYVCCAYNNITGRQDETHFTVIITSVGLEKLAQKGKSLSPLASITGISLFLIISMCLLFLWKKYQPYKVIKQKLEGRPETEYRKAQTFSGHEDALDDFGIYEFVAFPDVSGVSRIPSRSVPASDCVSGQDLHSTVYEVIQHIPAQQQDHPE
+>DECOY_sp|A8MVW5|HECA2_HUMAN HEPACAM family member 2 OS=Homo sapiens OX=9606 GN=HEPACAM2 PE=1 SV=1
+EPHDQQQAPIHQIVEYVTSHLDQGSVCDSAPVSRSPIRSVGSVDPFAVFEYIGFDDLADEHGSFTQAKRYETEPRGELKQKIVKYPQYKKWLFLLCMSIILFLSIGTISALPSLSKGKQALKELGVSTIIVTFHTEDQRGTINNYACCVYDMTKQAVKESAVELRPGHKIIYTTNDTRRIWSYTNPPHSDASCDFLIAEGLDVTFVEGVKLGKDSNVQLGYPGYYIIPMIIDSEMESVPNRVLCSYNGIDEKTVPAIHLTNNQPSFSYTSSTHVPRGNKLWQYALRTGGEVHCTLTMNGVYEVAGSPPHIQVVPKTVPDDVTVQIKQSASLTGNGQINVKVIYNGEDPFQLPNILLSANPPMMTFKHQYELDPVVSKNVSGLLYKPMTHPREFLWIIQIDSAPTHFGYHVPLYLAQGRVGHVTHSPVTVKLGSCAGFLLLYIKCQFVGLTDGFPEMFADQGM
+>sp|Q5U5R9|HECD2_HUMAN Probable E3 ubiquitin-protein ligase HECTD2 OS=Homo sapiens OX=9606 GN=HECTD2 PE=2 SV=2
+MSEAVRVPSPATPLVVAAPAPEERKGKESEREKLPPIVSAGAGATAGLDRGAKGQISTFSSFISAVSPKKEAAENRSSPAHLVFPNIKNVREPPPICLDVRQKQRTSMDASSSEMKAPVLPEPILPIQPKTVKDFQEDVEKVKSSGDWKAVHDFYLTTFDSFPELNAAFKKDATASFNTIEDSGINAKFVNAVYDTLLNTPQDVQKTVLKGIINSLLREWKGPRTKDDLRAYFILLQNPQFNNTSTYVIYAHLLRQIATLVEADHHFLVHWFKKLSQKRFKQLVERLLQFISLRLFPAKPEEFPPITKCSWWIPSAAKVLALLNTANNLVHPPLIPYTDFYNSTLDHIDLMEEYHTWQNFGNSHRFSFCQYPFVISVAAKKIIIQRDSEQQMINIARQSLVDKVSRRQRPDMNILFLNMKVRRTHLVSDSLDELTRKRADLKKKLKVTFVGEAGLDMGGLTKEWFLLLIRQIFHPDYGMFTYHKDSHCHWFSSFKCDNYSEFRLVGILMGLAVYNSITLDIRFPPCCYKKLLSPPIIPSDQNIPVGICNVTVDDLCQIMPELAHGLSELLSHEGNVEEDFYSTFQVFQEEFGIIKSYNLKPGGDKISVTNQNRKEYVQLYTDFLLNKSIYKQFAAFYYGFHSVCASNALMLLRPEEVEILVCGSPDLDMHALQRSTQYDGYAKTDLTIKYFWDVVLGFPLDLQKKLLHFTTGSDRVPVGGMADLNFKISKNETSTNCLPVAHTCFNQLCLPPYKSKKDLKQKLIIGISNSEGFGLE
+>DECOY_sp|Q5U5R9|HECD2_HUMAN Probable E3 ubiquitin-protein ligase HECTD2 OS=Homo sapiens OX=9606 GN=HECTD2 PE=2 SV=2
+ELGFGESNSIGIILKQKLDKKSKYPPLCLQNFCTHAVPLCNTSTENKSIKFNLDAMGGVPVRDSGTTFHLLKKQLDLPFGLVVDWFYKITLDTKAYGDYQTSRQLAHMDLDPSGCVLIEVEEPRLLMLANSACVSHFGYYFAAFQKYISKNLLFDTYLQVYEKRNQNTVSIKDGGPKLNYSKIIGFEEQFVQFTSYFDEEVNGEHSLLESLGHALEPMIQCLDDVTVNCIGVPINQDSPIIPPSLLKKYCCPPFRIDLTISNYVALGMLIGVLRFESYNDCKFSSFWHCHSDKHYTFMGYDPHFIQRILLLFWEKTLGGMDLGAEGVFTVKLKKKLDARKRTLEDLSDSVLHTRRVKMNLFLINMDPRQRRSVKDVLSQRAINIMQQESDRQIIIKKAAVSIVFPYQCFSFRHSNGFNQWTHYEEMLDIHDLTSNYFDTYPILPPHVLNNATNLLALVKAASPIWWSCKTIPPFEEPKAPFLRLSIFQLLREVLQKFRKQSLKKFWHVLFHHDAEVLTAIQRLLHAYIVYTSTNNFQPNQLLIFYARLDDKTRPGKWERLLSNIIGKLVTKQVDQPTNLLTDYVANVFKANIGSDEITNFSATADKKFAANLEPFSDFTTLYFDHVAKWDGSSKVKEVDEQFDKVTKPQIPLIPEPLVPAKMESSSADMSTRQKQRVDLCIPPPERVNKINPFVLHAPSSRNEAAEKKPSVASIFSSFTSIQGKAGRDLGATAGAGASVIPPLKERESEKGKREEPAPAAVVLPTAPSPVRVAESM
+>sp|Q9BQS7|HEPH_HUMAN Hephaestin OS=Homo sapiens OX=9606 GN=HEPH PE=1 SV=3
+MESGHLLWALLFMQSLWPQLTDGATRVYYLGIRDVQWNYAPKGRNVITNQPLDSDIVASSFLKSDKNRIGGTYKKTIYKEYKDDSYTDEVAQPAWLGFLGPVLQAEVGDVILIHLKNFATRPYTIHPHGVFYEKDSEGSLYPDGSSGPLKADDSVPPGGSHIYNWTIPEGHAPTDADPACLTWIYHSHVDAPRDIATGLIGPLITCKRGALDGNSPPQRQDVDHDFFLLFSVVDENLSWHLNENIATYCSDPASVDKEDETFQESNRMHAINGFVFGNLPELNMCAQKRVAWHLFGMGNEIDVHTAFFHGQMLTTRGHHTDVANIFPATFVTAEMVPWEPGTWLISCQVNSHFRDGMQALYKVKSCSMAPPVDLLTGKVRQYFIEAHEIQWDYGPMGHDGSTGKNLREPGSISDKFFQKSSSRIGGTYWKVRYEAFQDETFQEKMHLEEDRHLGILGPVIRAEVGDTIQVVFYNRASQPFSMQPHGVFYEKDYEGTVYNDGSSYPGLVAKPFEKVTYRWTVPPHAGPTAQDPACLTWMYFSAADPIRDTNSGLVGPLLVCRAGALGADGKQKGVDKEFFLLFTVLDENKSWYSNANQAAAMLDFRLLSEDIEGFQDSNRMHAINGFLFSNLPRLDMCKGDTVAWHLLGLGTETDVHGVMFQGNTVQLQGMRKGAAMLFPHTFVMAIMQPDNLGTFEIYCQAGSHREAGMRAIYNVSQCPGHQATPRQRYQAARIYYIMAEEVEWDYCPDRSWEREWHNQSEKDSYGYIFLSNKDGLLGSRYKKAVFREYTDGTFRIPRPRTGPEEHLGILGPLIKGEVGDILTVVFKNNASRPYSVHAHGVLESTTVWPLAAEPGEVVTYQWNIPERSGPGPNDSACVSWIYYSAVDPIKDMYSGLVGPLAICQKGILEPHGGRSDMDREFALLFLIFDENKSWYLEENVATHGSQDPGSINLQDETFLESNKMHAINGKLYANLRGLTMYQGERVAWYMLAMGQDVDLHTIHFHAESFLYRNGENYRADVVDLFPGTFEVVEMVASNPGTWLMHCHVTDHVHAGMETLFTVFSRTEHLSPLTVITKETEKAVPPRDIEEGNVKMLGMQIPIKNVEMLASVLVAISVTLLLVVLALGGVVWYQHRQRKLRRNRRSILDDSFKLLSFKQ
+>DECOY_sp|Q9BQS7|HEPH_HUMAN Hephaestin OS=Homo sapiens OX=9606 GN=HEPH PE=1 SV=3
+QKFSLLKFSDDLISRRNRRLKRQRHQYWVVGGLALVVLLLTVSIAVLVSALMEVNKIPIQMGLMKVNGEEIDRPPVAKETEKTIVTLPSLHETRSFVTFLTEMGAHVHDTVHCHMLWTGPNSAVMEVVEFTGPFLDVVDARYNEGNRYLFSEAHFHITHLDVDQGMALMYWAVREGQYMTLGRLNAYLKGNIAHMKNSELFTEDQLNISGPDQSGHTAVNEELYWSKNEDFILFLLAFERDMDSRGGHPELIGKQCIALPGVLGSYMDKIPDVASYYIWSVCASDNPGPGSREPINWQYTVVEGPEAALPWVTTSELVGHAHVSYPRSANNKFVVTLIDGVEGKILPGLIGLHEEPGTRPRPIRFTGDTYERFVAKKYRSGLLGDKNSLFIYGYSDKESQNHWEREWSRDPCYDWEVEEAMIYYIRAAQYRQRPTAQHGPCQSVNYIARMGAERHSGAQCYIEFTGLNDPQMIAMVFTHPFLMAAGKRMGQLQVTNGQFMVGHVDTETGLGLLHWAVTDGKCMDLRPLNSFLFGNIAHMRNSDQFGEIDESLLRFDLMAAAQNANSYWSKNEDLVTFLLFFEKDVGKQKGDAGLAGARCVLLPGVLGSNTDRIPDAASFYMWTLCAPDQATPGAHPPVTWRYTVKEFPKAVLGPYSSGDNYVTGEYDKEYFVGHPQMSFPQSARNYFVVQITDGVEARIVPGLIGLHRDEELHMKEQFTEDQFAEYRVKWYTGGIRSSSKQFFKDSISGPERLNKGTSGDHGMPGYDWQIEHAEIFYQRVKGTLLDVPPAMSCSKVKYLAQMGDRFHSNVQCSILWTGPEWPVMEATVFTAPFINAVDTHHGRTTLMQGHFFATHVDIENGMGFLHWAVRKQACMNLEPLNGFVFGNIAHMRNSEQFTEDEKDVSAPDSCYTAINENLHWSLNEDVVSFLLFFDHDVDQRQPPSNGDLAGRKCTILPGILGTAIDRPADVHSHYIWTLCAPDADTPAHGEPITWNYIHSGGPPVSDDAKLPGSSGDPYLSGESDKEYFVGHPHITYPRTAFNKLHILIVDGVEAQLVPGLFGLWAPQAVEDTYSDDKYEKYITKKYTGGIRNKDSKLFSSAVIDSDLPQNTIVNRGKPAYNWQVDRIGLYYVRTAGDTLQPWLSQMFLLAWLLHGSEM
+>sp|P05981|HEPS_HUMAN Serine protease hepsin OS=Homo sapiens OX=9606 GN=HPN PE=1 SV=1
+MAQKEGGRTVPCCSRPKVAALTAGTLLLLTAIGAASWAIVAVLLRSDQEPLYPVQVSSADARLMVFDKTEGTWRLLCSSRSNARVAGLSCEEMGFLRALTHSELDVRTAGANGTSGFFCVDEGRLPHTQRLLEVISVCDCPRGRFLAAICQDCGRRKLPVDRIVGGRDTSLGRWPWQVSLRYDGAHLCGGSLLSGDWVLTAAHCFPERNRVLSRWRVFAGAVAQASPHGLQLGVQAVVYHGGYLPFRDPNSEENSNDIALVHLSSPLPLTEYIQPVCLPAAGQALVDGKICTVTGWGNTQYYGQQAGVLQEARVPIISNDVCNGADFYGNQIKPKMFCAGYPEGGIDACQGDSGGPFVCEDSISRTPRWRLCGIVSWGTGCALAQKPGVYTKVSDFREWIFQAIKTHSEASGMVTQL
+>DECOY_sp|P05981|HEPS_HUMAN Serine protease hepsin OS=Homo sapiens OX=9606 GN=HPN PE=1 SV=1
+LQTVMGSAESHTKIAQFIWERFDSVKTYVGPKQALACGTGWSVIGCLRWRPTRSISDECVFPGGSDGQCADIGGEPYGACFMKPKIQNGYFDAGNCVDNSIIPVRAEQLVGAQQGYYQTNGWGTVTCIKGDVLAQGAAPLCVPQIYETLPLPSSLHVLAIDNSNEESNPDRFPLYGGHYVVAQVGLQLGHPSAQAVAGAFVRWRSLVRNREPFCHAATLVWDGSLLSGGCLHAGDYRLSVQWPWRGLSTDRGGVIRDVPLKRRGCDQCIAALFRGRPCDCVSIVELLRQTHPLRGEDVCFFGSTGNAGATRVDLESHTLARLFGMEECSLGAVRANSRSSCLLRWTGETKDFVMLRADASSVQVPYLPEQDSRLLVAVIAWSAAGIATLLLLTGATLAAVKPRSCCPVTRGGEKQAM
+>sp|Q15011|HERP1_HUMAN Homocysteine-responsive endoplasmic reticulum-resident ubiquitin-like domain member 1 protein OS=Homo sapiens OX=9606 GN=HERPUD1 PE=1 SV=1
+MESETEPEPVTLLVKSPNQRHRDLELSGDRGWSVGHLKAHLSRVYPERPRPEDQRLIYSGKLLLDHQCLRDLLPKQEKRHVLHLVCNVKSPSKMPEINAKVAESTEEPAGSNRGQYPEDSSSDGLRQREVLRNLSSPGWENISRPEAAQQAFQGLGPGFSGYTPYGWLQLSWFQQIYARQYYMQYLAATAASGAFVPPPSAQEIPVVSAPAPAPIHNQFPAENQPANQNAAPQVVVNPGANQNLRMNAQGGPIVEEDDEINRDWLDWTYSAATFSVFLSILYFYSSLSRFLMVMGATVVMYLHHVGWFPFRPRPVQNFPNDGPPPDVVNQDPNNNLQEGTDPETEDPNHLPPDRDVLDGEQTSPSFMSTAWLVFKTFFASLLPEGPPAIAN
+>DECOY_sp|Q15011|HERP1_HUMAN Homocysteine-responsive endoplasmic reticulum-resident ubiquitin-like domain member 1 protein OS=Homo sapiens OX=9606 GN=HERPUD1 PE=1 SV=1
+NAIAPPGEPLLSAFFTKFVLWATSMFSPSTQEGDLVDRDPPLHNPDETEPDTGEQLNNNPDQNVVDPPPGDNPFNQVPRPRFPFWGVHHLYMVVTAGMVMLFRSLSSYFYLISLFVSFTAASYTWDLWDRNIEDDEEVIPGGQANMRLNQNAGPNVVVQPAANQNAPQNEAPFQNHIPAPAPASVVPIEQASPPPVFAGSAATAALYQMYYQRAYIQQFWSLQLWGYPTYGSFGPGLGQFAQQAAEPRSINEWGPSSLNRLVERQRLGDSSSDEPYQGRNSGAPEETSEAVKANIEPMKSPSKVNCVLHLVHRKEQKPLLDRLCQHDLLLKGSYILRQDEPRPREPYVRSLHAKLHGVSWGRDGSLELDRHRQNPSKVLLTVPEPETESEM
+>sp|Q5TGS1|HES3_HUMAN Transcription factor HES-3 OS=Homo sapiens OX=9606 GN=HES3 PE=3 SV=1
+MEKKRRARINVSLEQLKSLLEKHYSHQIRKRKLEKADILELSVKYMRSLQNSLQGLWPVPRGAEQPSGFRSCLPGVSQLLRRGDEVGSGLRCPLVPESAAGSTMDSAGLGQEAPALFRPCTPAVWAPAPAAGGPRSPPPLLLLPESLPGSSASVPPPQPASSRCAESPGLGLRVWRPWGSPGDDLN
+>DECOY_sp|Q5TGS1|HES3_HUMAN Transcription factor HES-3 OS=Homo sapiens OX=9606 GN=HES3 PE=3 SV=1
+NLDDGPSGWPRWVRLGLGPSEACRSSAPQPPPVSASSGPLSEPLLLLPPPSRPGGAAPAPAWVAPTCPRFLAPAEQGLGASDMTSGAASEPVLPCRLGSGVEDGRRLLQSVGPLCSRFGSPQEAGRPVPWLGQLSNQLSRMYKVSLELIDAKELKRKRIQHSYHKELLSKLQELSVNIRARRKKEM
+>sp|P07686|HEXB_HUMAN Beta-hexosaminidase subunit beta OS=Homo sapiens OX=9606 GN=HEXB PE=1 SV=3
+MELCGLGLPRPPMLLALLLATLLAAMLALLTQVALVVQVAEAARAPSVSAKPGPALWPLPLSVKMTPNLLHLAPENFYISHSPNSTAGPSCTLLEEAFRRYHGYIFGFYKWHHEPAEFQAKTQVQQLLVSITLQSECDAFPNISSDESYTLLVKEPVAVLKANRVWGALRGLETFSQLVYQDSYGTFTINESTIIDSPRFSHRGILIDTSRHYLPVKIILKTLDAMAFNKFNVLHWHIVDDQSFPYQSITFPELSNKGSYSLSHVYTPNDVRMVIEYARLRGIRVLPEFDTPGHTLSWGKGQKDLLTPCYSRQNKLDSFGPINPTLNTTYSFLTTFFKEISEVFPDQFIHLGGDEVEFKCWESNPKIQDFMRQKGFGTDFKKLESFYIQKVLDIIATINKGSIVWQEVFDDKAKLAPGTIVEVWKDSAYPEELSRVTASGFPVILSAPWYLDLISYGQDWRKYYKVEPLDFGGTQKQKQLFIGGEACLWGEYVDATNLTPRLWPRASAVGERLWSSKDVRDMDDAYDRLTRHRCRMVERGIAAQPLYAGYCNHENM
+>DECOY_sp|P07686|HEXB_HUMAN Beta-hexosaminidase subunit beta OS=Homo sapiens OX=9606 GN=HEXB PE=1 SV=3
+MNEHNCYGAYLPQAAIGREVMRCRHRTLRDYADDMDRVDKSSWLREGVASARPWLRPTLNTADVYEGWLCAEGGIFLQKQKQTGGFDLPEVKYYKRWDQGYSILDLYWPASLIVPFGSATVRSLEEPYASDKWVEVITGPALKAKDDFVEQWVISGKNITAIIDLVKQIYFSELKKFDTGFGKQRMFDQIKPNSEWCKFEVEDGGLHIFQDPFVESIEKFFTTLFSYTTNLTPNIPGFSDLKNQRSYCPTLLDKQGKGWSLTHGPTDFEPLVRIGRLRAYEIVMRVDNPTYVHSLSYSGKNSLEPFTISQYPFSQDDVIHWHLVNFKNFAMADLTKLIIKVPLYHRSTDILIGRHSFRPSDIITSENITFTGYSDQYVLQSFTELGRLAGWVRNAKLVAVPEKVLLTYSEDSSINPFADCESQLTISVLLQQVQTKAQFEAPEHHWKYFGFIYGHYRRFAEELLTCSPGATSNPSHSIYFNEPALHLLNPTMKVSLPLPWLAPGPKASVSPARAAEAVQVVLAVQTLLALMAALLTALLLALLMPPRPLGLGCLEM
+>sp|P04233|HG2A_HUMAN HLA class II histocompatibility antigen gamma chain OS=Homo sapiens OX=9606 GN=CD74 PE=1 SV=3
+MHRRRSRSCREDQKPVMDDQRDLISNNEQLPMLGRRPGAPESKCSRGALYTGFSILVTLLLAGQATTAYFLYQQQGRLDKLTVTSQNLQLENLRMKLPKPPKPVSKMRMATPLLMQALPMGALPQGPMQNATKYGNMTEDHVMHLLQNADPLKVYPPLKGSFPENLRHLKNTMETIDWKVFESWMHHWLLFEMSRHSLEQKPTDAPPKVLTKCQEEVSHIPAVHPGSFRPKCDENGNYLPLQCYGSIGYCWCVFPNGTEVPNTRSRGHHNCSESLELEDPSSGLGVTKQDLGPVPM
+>DECOY_sp|P04233|HG2A_HUMAN HLA class II histocompatibility antigen gamma chain OS=Homo sapiens OX=9606 GN=CD74 PE=1 SV=3
+MPVPGLDQKTVGLGSSPDELELSESCNHHGRSRTNPVETGNPFVCWCYGISGYCQLPLYNGNEDCKPRFSGPHVAPIHSVEEQCKTLVKPPADTPKQELSHRSMEFLLWHHMWSEFVKWDITEMTNKLHRLNEPFSGKLPPYVKLPDANQLLHMVHDETMNGYKTANQMPGQPLAGMPLAQMLLPTAMRMKSVPKPPKPLKMRLNELQLNQSTVTLKDLRGQQQYLFYATTAQGALLLTVLISFGTYLAGRSCKSEPAGPRRGLMPLQENNSILDRQDDMVPKQDERCSRSRRRHM
+>sp|P26927|HGFL_HUMAN Hepatocyte growth factor-like protein OS=Homo sapiens OX=9606 GN=MST1 PE=1 SV=2
+MGWLPLLLLLTQCLGVPGQRSPLNDFQVLRGTELQHLLHAVVPGPWQEDVADAEECAGRCGPLMDCRAFHYNVSSHGCQLLPWTQHSPHTRLRRSGRCDLFQKKDYVRTCIMNNGVGYRGTMATTVGGLPCQAWSHKFPNDHKYTPTLRNGLEENFCRNPDGDPGGPWCYTTDPAVRFQSCGIKSCREAACVWCNGEEYRGAVDRTESGRECQRWDLQHPHQHPFEPGKFLDQGLDDNYCRNPDGSERPWCYTTDPQIEREFCDLPRCGSEAQPRQEATTVSCFRGKGEGYRGTANTTTAGVPCQRWDAQIPHQHRFTPEKYACKDLRENFCRNPDGSEAPWCFTLRPGMRAAFCYQIRRCTDDVRPQDCYHGAGEQYRGTVSKTRKGVQCQRWSAETPHKPQFTFTSEPHAQLEENFCRNPDGDSHGPWCYTMDPRTPFDYCALRRCADDQPPSILDPPDQVQFEKCGKRVDRLDQRRSKLRVVGGHPGNSPWTVSLRNRQGQHFCGGSLVKEQWILTARQCFSSCHMPLTGYEVWLGTLFQNPQHGEPSLQRVPVAKMVCGPSGSQLVLLKLERSVTLNQRVALICLPPEWYVVPPGTKCEIAGWGETKGTGNDTVLNVALLNVISNQECNIKHRGRVRESEMCTEGLLAPVGACEGDYGGPLACFTHNCWVLEGIIIPNRVCARSRWPAVFTRVSVFVDWIHKVMRLG
+>DECOY_sp|P26927|HGFL_HUMAN Hepatocyte growth factor-like protein OS=Homo sapiens OX=9606 GN=MST1 PE=1 SV=2
+GLRMVKHIWDVFVSVRTFVAPWRSRACVRNPIIIGELVWCNHTFCALPGGYDGECAGVPALLGETCMESERVRGRHKINCEQNSIVNLLAVNLVTDNGTGKTEGWGAIECKTGPPVVYWEPPLCILAVRQNLTVSRELKLLVLQSGSPGCVMKAVPVRQLSPEGHQPNQFLTGLWVEYGTLPMHCSSFCQRATLIWQEKVLSGGCFHQGQRNRLSVTWPSNGPHGGVVRLKSRRQDLRDVRKGCKEFQVQDPPDLISPPQDDACRRLACYDFPTRPDMTYCWPGHSDGDPNRCFNEELQAHPESTFTFQPKHPTEASWRQCQVGKRTKSVTGRYQEGAGHYCDQPRVDDTCRRIQYCFAARMGPRLTFCWPAESGDPNRCFNERLDKCAYKEPTFRHQHPIQADWRQCPVGATTTNATGRYGEGKGRFCSVTTAEQRPQAESGCRPLDCFEREIQPDTTYCWPRESGDPNRCYNDDLGQDLFKGPEFPHQHPHQLDWRQCERGSETRDVAGRYEEGNCWVCAAERCSKIGCSQFRVAPDTTYCWPGGPDGDPNRCFNEELGNRLTPTYKHDNPFKHSWAQCPLGGVTTAMTGRYGVGNNMICTRVYDKKQFLDCRGSRRLRTHPSHQTWPLLQCGHSSVNYHFARCDMLPGCRGACEEADAVDEQWPGPVVAHLLHQLETGRLVQFDNLPSRQGPVGLCQTLLLLLPLWGM
+>sp|Q9BTY7|HGH1_HUMAN Protein HGH1 homolog OS=Homo sapiens OX=9606 GN=HGH1 PE=1 SV=1
+MGEAGAGAGASGGPEASPEAEVVKLLPFLAPGARADLQAAAVRHVLALTGCGPGRALLAGQAALLQALMELAPASAPARDAARALVNLAADPGLHETLLAADPGLPARLMGRALDPQWPWAEEAAAALANLSREPAPCAALMAALAAAEPADSGLERLVRALCTPGYNARAPLHYLAPLLSNLSQRPAARAFLLDPDRCVVQRLLPLTQYPDSSVRRGGVVGTLRNCCFEHRHHEWLLGPEVDILPFLLLPLAGPEDFSEEEMERLPVDLQYLPPDKQREPDADIRKMLVEAIMLLTATAPGRQQVRDQGAYLILRELHSWEPEPDVRTACEKLIQVLIGDEPERGMENLLEVQVPEDVEQQLQQLDCREQEQLERELAPEPWVERATPT
+>DECOY_sp|Q9BTY7|HGH1_HUMAN Protein HGH1 homolog OS=Homo sapiens OX=9606 GN=HGH1 PE=1 SV=1
+TPTAREVWPEPALERELQEQERCDLQQLQQEVDEPVQVELLNEMGREPEDGILVQILKECATRVDPEPEWSHLERLILYAGQDRVQQRGPATATLLMIAEVLMKRIDADPERQKDPPLYQLDVPLREMEEESFDEPGALPLLLFPLIDVEPGLLWEHHRHEFCCNRLTGVVGGRRVSSDPYQTLPLLRQVVCRDPDLLFARAAPRQSLNSLLPALYHLPARANYGPTCLARVLRELGSDAPEAAALAAMLAACPAPERSLNALAAAAEEAWPWQPDLARGMLRAPLGPDAALLTEHLGPDAALNVLARAADRAPASAPALEMLAQLLAAQGALLARGPGCGTLALVHRVAAAQLDARAGPALFPLLKVVEAEPSAEPGGSAGAGAGAEGM
+>sp|O14964|HGS_HUMAN Hepatocyte growth factor-regulated tyrosine kinase substrate OS=Homo sapiens OX=9606 GN=HGS PE=1 SV=1
+MGRGSGTFERLLDKATSQLLLETDWESILQICDLIRQGDTQAKYAVNSIKKKVNDKNPHVALYALEVMESVVKNCGQTVHDEVANKQTMEELKDLLKRQVEVNVRNKILYLIQAWAHAFRNEPKYKVVQDTYQIMKVEGHVFPEFKESDAMFAAERAPDWVDAEECHRCRVQFGVMTRKHHCRACGQIFCGKCSSKYSTIPKFGIEKEVRVCEPCYEQLNRKAEGKATSTTELPPEYLTSPLSQQSQLPPKRDETALQEEEELQLALALSQSEAEEKERLRQKSTYTSYPKAEPMPSASSAPPASSLYSSPVNSSAPLAEDIDPELARYLNRNYWEKKQEEARKSPTPSAPVPLTEPAAQPGEGHAAPTNVVENPLPETDSQPIPPSGGPFSEPQFHNGESEESHEQFLKALQNAVTTFVNRMKSNHMRGRSITNDSAVLSLFQSINGMHPQLLELLNQLDERRLYYEGLQDKLAQIRDARGALSALREEHREKLRRAAEEAERQRQIQLAQKLEIMRQKKQEYLEVQRQLAIQRLQEQEKERQMRLEQQKQTVQMRAQMPAFPLPYAQLQAMPAAGGVLYQPSGPASFPSTFSPAGSVEGSPMHGVYMSQPAPAAGPYPSMPSTAADPSMVSAYMYPAGATGAQAAPQAQAGPTASPAYSSYQPTPTAGYQNVASQAPQSLPAISQPPQSSTMGYMGSQSVSMGYQPYNMQNLMTTLPSQDASLPPQQPYIAGQQPMYQQMAPSGGPPQQQPPVAQQPQAQGPPAQGSEAQLISFD
+>DECOY_sp|O14964|HGS_HUMAN Hepatocyte growth factor-regulated tyrosine kinase substrate OS=Homo sapiens OX=9606 GN=HGS PE=1 SV=1
+DFSILQAESGQAPPGQAQPQQAVPPQQQPPGGSPAMQQYMPQQGAIYPQQPPLSADQSPLTTMLNQMNYPQYGMSVSQSGMYGMTSSQPPQSIAPLSQPAQSAVNQYGATPTPQYSSYAPSATPGAQAQPAAQAGTAGAPYMYASVMSPDAATSPMSPYPGAAPAPQSMYVGHMPSGEVSGAPSFTSPFSAPGSPQYLVGGAAPMAQLQAYPLPFAPMQARMQVTQKQQELRMQREKEQEQLRQIALQRQVELYEQKKQRMIELKQALQIQRQREAEEAARRLKERHEERLASLAGRADRIQALKDQLGEYYLRREDLQNLLELLQPHMGNISQFLSLVASDNTISRGRMHNSKMRNVFTTVANQLAKLFQEHSEESEGNHFQPESFPGGSPPIPQSDTEPLPNEVVNTPAAHGEGPQAAPETLPVPASPTPSKRAEEQKKEWYNRNLYRALEPDIDEALPASSNVPSSYLSSAPPASSASPMPEAKPYSTYTSKQRLREKEEAESQSLALALQLEEEEQLATEDRKPPLQSQQSLPSTLYEPPLETTSTAKGEAKRNLQEYCPECVRVEKEIGFKPITSYKSSCKGCFIQGCARCHHKRTMVGFQVRCRHCEEADVWDPAREAAFMADSEKFEPFVHGEVKMIQYTDQVVKYKPENRFAHAWAQILYLIKNRVNVEVQRKLLDKLEEMTQKNAVEDHVTQGCNKVVSEMVELAYLAVHPNKDNVKKKISNVAYKAQTDGQRILDCIQLISEWDTELLLQSTAKDLLREFTGSGRGM
+>sp|P0C0S5|H2AZ_HUMAN Histone H2A.Z OS=Homo sapiens OX=9606 GN=H2AFZ PE=1 SV=2
+MAGGKAGKDSGKAKTKAVSRSQRAGLQFPVGRIHRHLKSRTTSHGRVGATAAVYSAAILEYLTAEVLELAGNASKDLKVKRITPRHLQLAIRGDEELDSLIKATIAGGGVIPHIHKSLIGKKGQQKTV
+>DECOY_sp|P0C0S5|H2AZ_HUMAN Histone H2A.Z OS=Homo sapiens OX=9606 GN=H2AFZ PE=1 SV=2
+VTKQQGKKGILSKHIHPIVGGGAITAKILSDLEEDGRIALQLHRPTIRKVKLDKSANGALELVEATLYELIAASYVAATAGVRGHSTTRSKLHRHIRGVPFQLGARQSRSVAKTKAKGSDKGAKGGAM
+>sp|Q93079|H2B1H_HUMAN Histone H2B type 1-H OS=Homo sapiens OX=9606 GN=HIST1H2BH PE=1 SV=3
+MPDPAKSAPAPKKGSKKAVTKAQKKDGKKRKRSRKESYSVYVYKVLKQVHPDTGISSKAMGIMNSFVNDIFERIAGEASRLAHYNKRSTITSREIQTAVRLLLPGELAKHAVSEGTKAVTKYTSSK
+>DECOY_sp|Q93079|H2B1H_HUMAN Histone H2B type 1-H OS=Homo sapiens OX=9606 GN=HIST1H2BH PE=1 SV=3
+KSSTYKTVAKTGESVAHKALEGPLLLRVATQIERSTITSRKNYHALRSAEGAIREFIDNVFSNMIGMAKSSIGTDPHVQKLVKYVYVSYSEKRSRKRKKGDKKQAKTVAKKSGKKPAPASKAPDPM
+>sp|P06899|H2B1J_HUMAN Histone H2B type 1-J OS=Homo sapiens OX=9606 GN=HIST1H2BJ PE=1 SV=3
+MPEPAKSAPAPKKGSKKAVTKAQKKDGKKRKRSRKESYSIYVYKVLKQVHPDTGISSKAMGIMNSFVNDIFERIAGEASRLAHYNKRSTITSREIQTAVRLLLPGELAKHAVSEGTKAVTKYTSAK
+>DECOY_sp|P06899|H2B1J_HUMAN Histone H2B type 1-J OS=Homo sapiens OX=9606 GN=HIST1H2BJ PE=1 SV=3
+KASTYKTVAKTGESVAHKALEGPLLLRVATQIERSTITSRKNYHALRSAEGAIREFIDNVFSNMIGMAKSSIGTDPHVQKLVKYVYISYSEKRSRKRKKGDKKQAKTVAKKSGKKPAPASKAPEPM
+>sp|Q99880|H2B1L_HUMAN Histone H2B type 1-L OS=Homo sapiens OX=9606 GN=HIST1H2BL PE=1 SV=3
+MPELAKSAPAPKKGSKKAVTKAQKKDGKKRKRSRKESYSVYVYKVLKQVHPDTGISSKAMGIMNSFVNDIFERIASEASRLAHYNKRSTITSREIQTAVRLLLPGELAKHAVSEGTKAVTKYTSSK
+>DECOY_sp|Q99880|H2B1L_HUMAN Histone H2B type 1-L OS=Homo sapiens OX=9606 GN=HIST1H2BL PE=1 SV=3
+KSSTYKTVAKTGESVAHKALEGPLLLRVATQIERSTITSRKNYHALRSAESAIREFIDNVFSNMIGMAKSSIGTDPHVQKLVKYVYVSYSEKRSRKRKKGDKKQAKTVAKKSGKKPAPASKALEPM
+>sp|Q99877|H2B1N_HUMAN Histone H2B type 1-N OS=Homo sapiens OX=9606 GN=HIST1H2BN PE=1 SV=3
+MPEPSKSAPAPKKGSKKAVTKAQKKDGKKRKRSRKESYSVYVYKVLKQVHPDTGISSKAMGIMNSFVNDIFERIAGEASRLAHYNKRSTITSREIQTAVRLLLPGELAKHAVSEGTKAVTKYTSSK
+>DECOY_sp|Q99877|H2B1N_HUMAN Histone H2B type 1-N OS=Homo sapiens OX=9606 GN=HIST1H2BN PE=1 SV=3
+KSSTYKTVAKTGESVAHKALEGPLLLRVATQIERSTITSRKNYHALRSAEGAIREFIDNVFSNMIGMAKSSIGTDPHVQKLVKYVYVSYSEKRSRKRKKGDKKQAKTVAKKSGKKPAPASKSPEPM
+>sp|Q6DRA6|H2B2D_HUMAN Putative histone H2B type 2-D OS=Homo sapiens OX=9606 GN=HIST2H2BD PE=5 SV=3
+MPEPAKFAPAPKKGSKKAVTKAQKKDGKKRKRSRKESYSIYVYKVLKRVHPDTGIWCKAMGIMNSFLNDIFERIAGEASRLAHYNKRSTITSRRSRRPCACCCPASWPSTPCPRAPRRSPSTPAPSESLPGPGARSLPPSLPPRVAGCFVSKGSFQGHLTPLVK
+>DECOY_sp|Q6DRA6|H2B2D_HUMAN Putative histone H2B type 2-D OS=Homo sapiens OX=9606 GN=HIST2H2BD PE=5 SV=3
+KVLPTLHGQFSGKSVFCGAVRPPLSPPLSRAGPGPLSESPAPTSPSRRPARPCPTSPWSAPCCCACPRRSRRSTITSRKNYHALRSAEGAIREFIDNLFSNMIGMAKCWIGTDPHVRKLVKYVYISYSEKRSRKRKKGDKKQAKTVAKKSGKKPAPAFKAPEPM
+>sp|P68871|HBB_HUMAN Hemoglobin subunit beta OS=Homo sapiens OX=9606 GN=HBB PE=1 SV=2
+MVHLTPEEKSAVTALWGKVNVDEVGGEALGRLLVVYPWTQRFFESFGDLSTPDAVMGNPKVKAHGKKVLGAFSDGLAHLDNLKGTFATLSELHCDKLHVDPENFRLLGNVLVCVLAHHFGKEFTPPVQAAYQKVVAGVANALAHKYH
+>DECOY_sp|P68871|HBB_HUMAN Hemoglobin subunit beta OS=Homo sapiens OX=9606 GN=HBB PE=1 SV=2
+HYKHALANAVGAVVKQYAAQVPPTFEKGFHHALVCVLVNGLLRFNEPDVHLKDCHLESLTAFTGKLNDLHALGDSFAGLVKKGHAKVKPNGMVADPTSLDGFSEFFRQTWPYVVLLRGLAEGGVEDVNVKGWLATVASKEEPTLHVM
+>sp|P08631|HCK_HUMAN Tyrosine-protein kinase HCK OS=Homo sapiens OX=9606 GN=HCK PE=1 SV=5
+MGGRSSCEDPGCPRDEERAPRMGCMKSKFLQVGGNTFSKTETSASPHCPVYVPDPTSTIKPGPNSHNSNTPGIREAGSEDIIVVALYDYEAIHHEDLSFQKGDQMVVLEESGEWWKARSLATRKEGYIPSNYVARVDSLETEEWFFKGISRKDAERQLLAPGNMLGSFMIRDSETTKGSYSLSVRDYDPRQGDTVKHYKIRTLDNGGFYISPRSTFSTLQELVDHYKKGNDGLCQKLSVPCMSSKPQKPWEKDAWEIPRESLKLEKKLGAGQFGEVWMATYNKHTKVAVKTMKPGSMSVEAFLAEANVMKTLQHDKLVKLHAVVTKEPIYIITEFMAKGSLLDFLKSDEGSKQPLPKLIDFSAQIAEGMAFIEQRNYIHRDLRAANILVSASLVCKIADFGLARVIEDNEYTAREGAKFPIKWTAPEAINFGSFTIKSDVWSFGILLMEIVTYGRIPYPGMSNPEVIRALERGYRMPRPENCPEELYNIMMRCWKNRPEERPTFEYIQSVLDDFYTATESQYQQQP
+>DECOY_sp|P08631|HCK_HUMAN Tyrosine-protein kinase HCK OS=Homo sapiens OX=9606 GN=HCK PE=1 SV=5
+PQQQYQSETATYFDDLVSQIYEFTPREEPRNKWCRMMINYLEEPCNEPRPMRYGRELARIVEPNSMGPYPIRGYTVIEMLLIGFSWVDSKITFSGFNIAEPATWKIPFKAGERATYENDEIVRALGFDAIKCVLSASVLINAARLDRHIYNRQEIFAMGEAIQASFDILKPLPQKSGEDSKLFDLLSGKAMFETIIYIPEKTVVAHLKVLKDHQLTKMVNAEALFAEVSMSGPKMTKVAVKTHKNYTAMWVEGFQGAGLKKELKLSERPIEWADKEWPKQPKSSMCPVSLKQCLGDNGKKYHDVLEQLTSFTSRPSIYFGGNDLTRIKYHKVTDGQRPDYDRVSLSYSGKTTESDRIMFSGLMNGPALLQREADKRSIGKFFWEETELSDVRAVYNSPIYGEKRTALSRAKWWEGSEELVVMQDGKQFSLDEHHIAEYDYLAVVIIDESGAERIGPTNSNHSNPGPKITSTPDPVYVPCHPSASTETKSFTNGGVQLFKSKMCGMRPAREEDRPCGPDECSSRGGM
+>sp|Q9UL51|HCN2_HUMAN Potassium/sodium hyperpolarization-activated cyclic nucleotide-gated channel 2 OS=Homo sapiens OX=9606 GN=HCN2 PE=1 SV=3
+MDARGGGGRPGESPGATPAPGPPPPPPPAPPQQQPPPPPPPAPPPGPGPAPPQHPPRAEALPPEAADEGGPRGRLRSRDSSCGRPGTPGAASTAKGSPNGECGRGEPQCSPAGPEGPARGPKVSFSCRGAASGPAPGPGPAEEAGSEEAGPAGEPRGSQASFMQRQFGALLQPGVNKFSLRMFGSQKAVEREQERVKSAGAWIIHPYSDFRFYWDFTMLLFMVGNLIIIPVGITFFKDETTAPWIVFNVVSDTFFLMDLVLNFRTGIVIEDNTEIILDPEKIKKKYLRTWFVVDFVSSIPVDYIFLIVEKGIDSEVYKTARALRIVRFTKILSLLRLLRLSRLIRYIHQWEEIFHMTYDLASAVMRICNLISMMLLLCHWDGCLQFLVPMLQDFPRNCWVSINGMVNHSWSELYSFALFKAMSHMLCIGYGRQAPESMTDIWLTMLSMIVGATCYAMFIGHATALIQSLDSSRRQYQEKYKQVEQYMSFHKLPADFRQKIHDYYEHRYQGKMFDEDSILGELNGPLREEIVNFNCRKLVASMPLFANADPNFVTAMLTKLKFEVFQPGDYIIREGTIGKKMYFIQHGVVSVLTKGNKEMKLSDGSYFGEICLLTRGRRTASVRADTYCRLYSLSVDNFNEVLEEYPMMRRAFETVAIDRLDRIGKKNSILLHKVQHDLNSGVFNNQENAIIQEIVKYDREMVQQAELGQRVGLFPPPPPPPQVTSAIATLQQAAAMSFCPQVARPLVGPLALGSPRLVRRPPPGPAPAAASPGPPPPASPPGAPASPRAPRTSPYGGLPAAPLAGPALPARRLSRASRPLSASQPSLPHGAPGPAASTRPASSSTPRLGPTPAARAAAPSPDRRDSASPGAAGGLDPQDSARSRLSSNL
+>DECOY_sp|Q9UL51|HCN2_HUMAN Potassium/sodium hyperpolarization-activated cyclic nucleotide-gated channel 2 OS=Homo sapiens OX=9606 GN=HCN2 PE=1 SV=3
+LNSSLRSRASDQPDLGGAAGPSASDRRDPSPAAARAAPTPGLRPTSSSAPRTSAAPGPAGHPLSPQSASLPRSARSLRRAPLAPGALPAAPLGGYPSTRPARPSAPAGPPSAPPPPGPSAAAPAPGPPPRRVLRPSGLALPGVLPRAVQPCFSMAAAQQLTAIASTVQPPPPPPPFLGVRQGLEAQQVMERDYKVIEQIIANEQNNFVGSNLDHQVKHLLISNKKGIRDLRDIAVTEFARRMMPYEELVENFNDVSLSYLRCYTDARVSATRRGRTLLCIEGFYSGDSLKMEKNGKTLVSVVGHQIFYMKKGITGERIIYDGPQFVEFKLKTLMATVFNPDANAFLPMSAVLKRCNFNVIEERLPGNLEGLISDEDFMKGQYRHEYYDHIKQRFDAPLKHFSMYQEVQKYKEQYQRRSSDLSQILATAHGIFMAYCTAGVIMSLMTLWIDTMSEPAQRGYGICLMHSMAKFLAFSYLESWSHNVMGNISVWCNRPFDQLMPVLFQLCGDWHCLLLMMSILNCIRMVASALDYTMHFIEEWQHIYRILRSLRLLRLLSLIKTFRVIRLARATKYVESDIGKEVILFIYDVPISSVFDVVFWTRLYKKKIKEPDLIIETNDEIVIGTRFNLVLDMLFFTDSVVNFVIWPATTEDKFFTIGVPIIILNGVMFLLMTFDWYFRFDSYPHIIWAGASKVREQEREVAKQSGFMRLSFKNVGPQLLAGFQRQMFSAQSGRPEGAPGAEESGAEEAPGPGPAPGSAAGRCSFSVKPGRAPGEPGAPSCQPEGRGCEGNPSGKATSAAGPTGPRGCSSDRSRLRGRPGGEDAAEPPLAEARPPHQPPAPGPGPPPAPPPPPPPQQQPPAPPPPPPPGPAPTAGPSEGPRGGGGRADM
+>sp|Q969S8|HDA10_HUMAN Histone deacetylase 10 OS=Homo sapiens OX=9606 GN=HDAC10 PE=1 SV=1
+MGTALVYHEDMTATRLLWDDPECEIERPERLTAALDRLRQRGLEQRCLRLSAREASEEELGLVHSPEYVSLVRETQVLGKEELQALSGQFDAIYFHPSTFHCARLAAGAGLQLVDAVLTGAVQNGLALVRPPGHHGQRAAANGFCVFNNVAIAAAHAKQKHGLHRILVVDWDVHHGQGIQYLFEDDPSVLYFSWHRYEHGRFWPFLRESDADAVGRGQGLGFTVNLPWNQVGMGNADYVAAFLHLLLPLAFEFDPELVLVSAGFDSAIGDPEGQMQATPECFAHLTQLLQVLAGGRVCAVLEGGYHLESLAESVCMTVQTLLGDPAPPLSGPMAPCQSALESIQSARAAQAPHWKSLQQQDVTAVPMSPSSHSPEGRPPPLLPGGPVCKAAASAPSSLLDQPCLCPAPSVRTAVALTTPDITLVLPPDVIQQEASALREETEAWARPHESLAREEALTALGKLLYLLDGMLDGQVNSGIAATPASAAAATLDVAVRRGLSHGAQRLLCVALGQLDRPPDLAHDGRSLWLNIRGKEAAALSMFHVSTPLPVMTGGFLSCILGLVLPLAYGFQPDLVLVALGPGHGLQGPHAALLAAMLRGLAGGRVLALLEENSTPQLAGILARVLNGEAPPSLGPSSVASPEDVQALMYLRGQLEPQWKMLQCHPHLVA
+>DECOY_sp|Q969S8|HDA10_HUMAN Histone deacetylase 10 OS=Homo sapiens OX=9606 GN=HDAC10 PE=1 SV=1
+AVLHPHCQLMKWQPELQGRLYMLAQVDEPSAVSSPGLSPPAEGNLVRALIGALQPTSNEELLALVRGGALGRLMAALLAAHPGQLGHGPGLAVLVLDPQFGYALPLVLGLICSLFGGTMVPLPTSVHFMSLAAAEKGRINLWLSRGDHALDPPRDLQGLAVCLLRQAGHSLGRRVAVDLTAAAASAPTAAIGSNVQGDLMGDLLYLLKGLATLAEERALSEHPRAWAETEERLASAEQQIVDPPLVLTIDPTTLAVATRVSPAPCLCPQDLLSSPASAAAKCVPGGPLLPPPRGEPSHSSPSMPVATVDQQQLSKWHPAQAARASQISELASQCPAMPGSLPPAPDGLLTQVTMCVSEALSELHYGGELVACVRGGALVQLLQTLHAFCEPTAQMQGEPDGIASDFGASVLVLEPDFEFALPLLLHLFAAVYDANGMGVQNWPLNVTFGLGQGRGVADADSERLFPWFRGHEYRHWSFYLVSPDDEFLYQIGQGHHVDWDVVLIRHLGHKQKAHAAAIAVNNFVCFGNAAARQGHHGPPRVLALGNQVAGTLVADVLQLGAGAALRACHFTSPHFYIADFQGSLAQLEEKGLVQTERVLSVYEPSHVLGLEEESAERASLRLCRQELGRQRLRDLAATLREPREIECEPDDWLLRTATMDEHYVLATGM
+>sp|O15379|HDAC3_HUMAN Histone deacetylase 3 OS=Homo sapiens OX=9606 GN=HDAC3 PE=1 SV=2
+MAKTVAYFYDPDVGNFHYGAGHPMKPHRLALTHSLVLHYGLYKKMIVFKPYQASQHDMCRFHSEDYIDFLQRVSPTNMQGFTKSLNAFNVGDDCPVFPGLFEFCSRYTGASLQGATQLNNKICDIAINWAGGLHHAKKFEASGFCYVNDIVIGILELLKYHPRVLYIDIDIHHGDGVQEAFYLTDRVMTVSFHKYGNYFFPGTGDMYEVGAESGRYYCLNVPLRDGIDDQSYKHLFQPVINQVVDFYQPTCIVLQCGADSLGCDRLGCFNLSIRGHGECVEYVKSFNIPLLVLGGGGYTVRNVARCWTYETSLLVEEAISEELPYSEYFEYFAPDFTLHPDVSTRIENQNSRQYLDQIRQTIFENLKMLNHAPSVQIHDVPADLLTYDRTDEADAEERGPEENYSRPEAPNEFYDGDHDNDKESDVEI
+>DECOY_sp|O15379|HDAC3_HUMAN Histone deacetylase 3 OS=Homo sapiens OX=9606 GN=HDAC3 PE=1 SV=2
+IEVDSEKDNDHDGDYFENPAEPRSYNEEPGREEADAEDTRDYTLLDAPVDHIQVSPAHNLMKLNEFITQRIQDLYQRSNQNEIRTSVDPHLTFDPAFYEFYESYPLEESIAEEVLLSTEYTWCRAVNRVTYGGGGLVLLPINFSKVYEVCEGHGRISLNFCGLRDCGLSDAGCQLVICTPQYFDVVQNIVPQFLHKYSQDDIGDRLPVNLCYYRGSEAGVEYMDGTGPFFYNGYKHFSVTMVRDTLYFAEQVGDGHHIDIDIYLVRPHYKLLELIGIVIDNVYCFGSAEFKKAHHLGGAWNIAIDCIKNNLQTAGQLSAGTYRSCFEFLGPFVPCDDGVNFANLSKTFGQMNTPSVRQLFDIYDESHFRCMDHQSAQYPKFVIMKKYLGYHLVLSHTLALRHPKMPHGAGYHFNGVDPDYFYAVTKAM
+>sp|P56524|HDAC4_HUMAN Histone deacetylase 4 OS=Homo sapiens OX=9606 GN=HDAC4 PE=1 SV=3
+MSSQSHPDGLSGRDQPVELLNPARVNHMPSTVDVATALPLQVAPSAVPMDLRLDHQFSLPVAEPALREQQLQQELLALKQKQQIQRQILIAEFQRQHEQLSRQHEAQLHEHIKQQQEMLAMKHQQELLEHQRKLERHRQEQELEKQHREQKLQQLKNKEKGKESAVASTEVKMKLQEFVLNKKKALAHRNLNHCISSDPRYWYGKTQHSSLDQSSPPQSGVSTSYNHPVLGMYDAKDDFPLRKTASEPNLKLRSRLKQKVAERRSSPLLRRKDGPVVTALKKRPLDVTDSACSSAPGSGPSSPNNSSGSVSAENGIAPAVPSIPAETSLAHRLVAREGSAAPLPLYTSPSLPNITLGLPATGPSAGTAGQQDAERLTLPALQQRLSLFPGTHLTPYLSTSPLERDGGAAHSPLLQHMVLLEQPPAQAPLVTGLGALPLHAQSLVGADRVSPSIHKLRQHRPLGRTQSAPLPQNAQALQHLVIQQQHQQFLEKHKQQFQQQQLQMNKIIPKPSEPARQPESHPEETEEELREHQALLDEPYLDRLPGQKEAHAQAGVQVKQEPIESDEEEAEPPREVEPGQRQPSEQELLFRQQALLLEQQRIHQLRNYQASMEAAGIPVSFGGHRPLSRAQSSPASATFPVSVQEPPTKPRFTTGLVYDTLMLKHQCTCGSSSSHPEHAGRIQSIWSRLQETGLRGKCECIRGRKATLEELQTVHSEAHTLLYGTNPLNRQKLDSKKLLGSLASVFVRLPCGGVGVDSDTIWNEVHSAGAARLAVGCVVELVFKVATGELKNGFAVVRPPGHHAEESTPMGFCYFNSVAVAAKLLQQRLSVSKILIVDWDVHHGNGTQQAFYSDPSVLYMSLHRYDDGNFFPGSGAPDEVGTGPGVGFNVNMAFTGGLDPPMGDAEYLAAFRTVVMPIASEFAPDVVLVSSGFDAVEGHPTPLGGYNLSARCFGYLTKQLMGLAGGRIVLALEGGHDLTAICDASEACVSALLGNELDPLPEKVLQQRPNANAVRSMEKVMEIHSKYWRCLQRTTSTAGRSLIEAQTCENEEAETVTAMASLSVGVKPAEKRPDEEPMEEEPPL
+>DECOY_sp|P56524|HDAC4_HUMAN Histone deacetylase 4 OS=Homo sapiens OX=9606 GN=HDAC4 PE=1 SV=3
+LPPEEEMPEEDPRKEAPKVGVSLSAMATVTEAEENECTQAEILSRGATSTTRQLCRWYKSHIEMVKEMSRVANANPRQQLVKEPLPDLENGLLASVCAESADCIATLDHGGELALVIRGGALGMLQKTLYGFCRASLNYGGLPTPHGEVADFGSSVLVVDPAFESAIPMVVTRFAALYEADGMPPDLGGTFAMNVNFGVGPGTGVEDPAGSGPFFNGDDYRHLSMYLVSPDSYFAQQTGNGHHVDWDVILIKSVSLRQQLLKAAVAVSNFYCFGMPTSEEAHHGPPRVVAFGNKLEGTAVKFVLEVVCGVALRAAGASHVENWITDSDVGVGGCPLRVFVSALSGLLKKSDLKQRNLPNTGYLLTHAESHVTQLEELTAKRGRICECKGRLGTEQLRSWISQIRGAHEPHSSSSGCTCQHKLMLTDYVLGTTFRPKTPPEQVSVPFTASAPSSQARSLPRHGGFSVPIGAAEMSAQYNRLQHIRQQELLLAQQRFLLEQESPQRQGPEVERPPEAEEEDSEIPEQKVQVGAQAHAEKQGPLRDLYPEDLLAQHERLEEETEEPHSEPQRAPESPKPIIKNMQLQQQQFQQKHKELFQQHQQQIVLHQLAQANQPLPASQTRGLPRHQRLKHISPSVRDAGVLSQAHLPLAGLGTVLPAQAPPQELLVMHQLLPSHAAGGDRELPSTSLYPTLHTGPFLSLRQQLAPLTLREADQQGATGASPGTAPLGLTINPLSPSTYLPLPAASGERAVLRHALSTEAPISPVAPAIGNEASVSGSSNNPSSPGSGPASSCASDTVDLPRKKLATVVPGDKRRLLPSSRREAVKQKLRSRLKLNPESATKRLPFDDKADYMGLVPHNYSTSVGSQPPSSQDLSSHQTKGYWYRPDSSICHNLNRHALAKKKNLVFEQLKMKVETSAVASEKGKEKNKLQQLKQERHQKELEQEQRHRELKRQHELLEQQHKMALMEQQQKIHEHLQAEHQRSLQEHQRQFEAILIQRQIQQKQKLALLEQQLQQERLAPEAVPLSFQHDLRLDMPVASPAVQLPLATAVDVTSPMHNVRAPNLLEVPQDRGSLGDPHSQSSM
+>sp|Q9BY41|HDAC8_HUMAN Histone deacetylase 8 OS=Homo sapiens OX=9606 GN=HDAC8 PE=1 SV=2
+MEEPEEPADSGQSLVPVYIYSPEYVSMCDSLAKIPKRASMVHSLIEAYALHKQMRIVKPKVASMEEMATFHTDAYLQHLQKVSQEGDDDHPDSIEYGLGYDCPATEGIFDYAAAIGGATITAAQCLIDGMCKVAINWSGGWHHAKKDEASGFCYLNDAVLGILRLRRKFERILYVDLDLHHGDGVEDAFSFTSKVMTVSLHKFSPGFFPGTGDVSDVGLGKGRYYSVNVPIQDGIQDEKYYQICESVLKEVYQAFNPKAVVLQLGADTIAGDPMCSFNMTPVGIGKCLKYILQWQLATLILGGGGYNLANTARCWTYLTGVILGKTLSSEIPDHEFFTAYGPDYVLEITPSCRPDRNEPHRIQQILNYIKGNLKHVV
+>DECOY_sp|Q9BY41|HDAC8_HUMAN Histone deacetylase 8 OS=Homo sapiens OX=9606 GN=HDAC8 PE=1 SV=2
+VVHKLNGKIYNLIQQIRHPENRDPRCSPTIELVYDPGYATFFEHDPIESSLTKGLIVGTLYTWCRATNALNYGGGGLILTALQWQLIYKLCKGIGVPTMNFSCMPDGAITDAGLQLVVAKPNFAQYVEKLVSECIQYYKEDQIGDQIPVNVSYYRGKGLGVDSVDGTGPFFGPSFKHLSVTMVKSTFSFADEVGDGHHLDLDVYLIREFKRRLRLIGLVADNLYCFGSAEDKKAHHWGGSWNIAVKCMGDILCQAATITAGGIAAAYDFIGETAPCDYGLGYEISDPHDDDGEQSVKQLHQLYADTHFTAMEEMSAVKPKVIRMQKHLAYAEILSHVMSARKPIKALSDCMSVYEPSYIYVPVLSQGSDAPEEPEEM
+>sp|Q9UBI9|HDC_HUMAN Headcase protein homolog OS=Homo sapiens OX=9606 GN=HECA PE=1 SV=1
+MPNPKNSKGGRKNKRANSSGDEQENGAGALAAAGAAGAAAGGALAAAAGCGAAAAGAPGAGGAAGAGGAGTGAANAAAAAGAAAAGDAKNEAPCATPLICSFGRPVDLEKDDYQKVVCNNEHCPCSTWMHLQCFYEWESSILVQFNCIGRARSWNEKQCRQNMWTKKGYDLAFRFCSCRCGQGHLKKDTDWYQVKRMQDEKKKKSGSEKNTGRPPGEAAEEAKKCRPPNKPQKGPSHDLPRRHSMDRQNSQEKAVGAAAYGARSPGGSPGQSPPTGYSILSPAHFSGPRSSRYLGEFLKNAIHLEPHKKAMAGGHVFRNAHFDYSPAGLAVHRGGHFDTPVQFLRRLDLSELLTHIPRHKLNTFHVRMEDDAQVGQGEDLRKFILAALSASHRNVVNCALCHRALPVFEQFPLVDGTLFLSPSRHDEIEYDVPCHLQGRLMHLYAVCVDCLEGVHKIICIKCKSRWDGSWHQLGTMYTYDILAASPCCQARLNCKHCGKPVIDVRIGMQYFSEYSNVQQCPHCGNLDYHFVKPFSSFKVLEAY
+>DECOY_sp|Q9UBI9|HDC_HUMAN Headcase protein homolog OS=Homo sapiens OX=9606 GN=HECA PE=1 SV=1
+YAELVKFSSFPKVFHYDLNGCHPCQQVNSYESFYQMGIRVDIVPKGCHKCNLRAQCCPSAALIDYTYMTGLQHWSGDWRSKCKICIIKHVGELCDVCVAYLHMLRGQLHCPVDYEIEDHRSPSLFLTGDVLPFQEFVPLARHCLACNVVNRHSASLAALIFKRLDEGQGVQADDEMRVHFTNLKHRPIHTLLESLDLRRLFQVPTDFHGGRHVALGAPSYDFHANRFVHGGAMAKKHPELHIANKLFEGLYRSSRPGSFHAPSLISYGTPPSQGPSGGPSRAGYAAAGVAKEQSNQRDMSHRRPLDHSPGKQPKNPPRCKKAEEAAEGPPRGTNKESGSKKKKEDQMRKVQYWDTDKKLHGQGCRCSCFRFALDYGKKTWMNQRCQKENWSRARGICNFQVLISSEWEYFCQLHMWTSCPCHENNCVVKQYDDKELDVPRGFSCILPTACPAENKADGAAAAGAAAAANAAGTGAGGAGAAGGAGPAGAAAAGCGAAAALAGGAAAGAAGAAALAGAGNEQEDGSSNARKNKRGGKSNKPNPM
+>sp|Q7Z4H3|HDDC2_HUMAN HD domain-containing protein 2 OS=Homo sapiens OX=9606 GN=HDDC2 PE=1 SV=1
+MASVSSATFSGHGARSLLQFLRLVGQLKRVPRTGWVYRNVQRPESVSDHMYRMAVMAMVIKDDRLNKDRCVRLALVHDMAECIVGDIAPADNIPKEEKHRREEEAMKQITQLLPEDLRKELYELWEEYETQSSAEAKFVKQLDQCEMILQASEYEDLEHKPGRLQDFYDSTAGKFNHPEIVQLVSELEAERSTNIAAAASEPHS
+>DECOY_sp|Q7Z4H3|HDDC2_HUMAN HD domain-containing protein 2 OS=Homo sapiens OX=9606 GN=HDDC2 PE=1 SV=1
+SHPESAAAAINTSREAELESVLQVIEPHNFKGATSDYFDQLRGPKHELDEYESAQLIMECQDLQKVFKAEASSQTEYEEWLEYLEKRLDEPLLQTIQKMAEEERRHKEEKPINDAPAIDGVICEAMDHVLALRVCRDKNLRDDKIVMAMVAMRYMHDSVSEPRQVNRYVWGTRPVRKLQGVLRLFQLLSRAGHGSFTASSVSAM
+>sp|P51858|HDGF_HUMAN Hepatoma-derived growth factor OS=Homo sapiens OX=9606 GN=HDGF PE=1 SV=1
+MSRSNRQKEYKCGDLVFAKMKGYPHWPARIDEMPEAAVKSTANKYQVFFFGTHETAFLGPKDLFPYEESKEKFGKPNKRKGFSEGLWEIENNPTVKASGYQSSQKKSCVEEPEPEPEAAEGDGDKKGNAEGSSDEEGKLVIDEPAKEKNEKGALKRRAGDLLEDSPKRPKEAENPEGEEKEAATLEVERPLPMEVEKNSTPSEPGSGRGPPQEEEEEEDEEEEATKEDAEAPGIRDHESL
+>DECOY_sp|P51858|HDGF_HUMAN Hepatoma-derived growth factor OS=Homo sapiens OX=9606 GN=HDGF PE=1 SV=1
+LSEHDRIGPAEADEKTAEEEEDEEEEEEQPPGRGSGPESPTSNKEVEMPLPREVELTAAEKEEGEPNEAEKPRKPSDELLDGARRKLAGKENKEKAPEDIVLKGEEDSSGEANGKKDGDGEAAEPEPEPEEVCSKKQSSQYGSAKVTPNNEIEWLGESFGKRKNPKGFKEKSEEYPFLDKPGLFATEHTGFFFVQYKNATSKVAAEPMEDIRAPWHPYGKMKAFVLDGCKYEKQRNSRSM
+>sp|Q9Y3E1|HDGR3_HUMAN Hepatoma-derived growth factor-related protein 3 OS=Homo sapiens OX=9606 GN=HDGFL3 PE=1 SV=1
+MARPRPREYKAGDLVFAKMKGYPHWPARIDELPEGAVKPPANKYPIFFFGTHETAFLGPKDLFPYKEYKDKFGKSNKRKGFNEGLWEIENNPGVKFTGYQAIQQQSSSETEGEGGNTADASSEEEGDRVEEDGKGKRKNEKAGSKRKKSYTSKKSSKQSRKSPGDEDDKDCKEEENKSSSEGGDAGNDTRNTTSDLQKTSEGT
+>DECOY_sp|Q9Y3E1|HDGR3_HUMAN Hepatoma-derived growth factor-related protein 3 OS=Homo sapiens OX=9606 GN=HDGFL3 PE=1 SV=1
+TGESTKQLDSTTNRTDNGADGGESSSKNEEEKCDKDDEDGPSKRSQKSSKKSTYSKKRKSGAKENKRKGKGDEEVRDGEEESSADATNGGEGETESSSQQQIAQYGTFKVGPNNEIEWLGENFGKRKNSKGFKDKYEKYPFLDKPGLFATEHTGFFFIPYKNAPPKVAGEPLEDIRAPWHPYGKMKAFVLDGAKYERPRPRAM
+>sp|Q08623|HDHD1_HUMAN Pseudouridine-5'-phosphatase OS=Homo sapiens OX=9606 GN=PUDP PE=1 SV=3
+MAAPPQPVTHLIFDMDGLLLDTERLYSVVFQEICNRYDKKYSWDVKSLVMGKKALEAAQIIIDVLQLPMSKEELVEESQTKLKEVFPTAALMPGAEKLIIHLRKHGIPFALATSSGSASFDMKTSRHKEFFSLFSHIVLGDDPEVQHGKPDPDIFLACAKRFSPPPAMEKCLVFEDAPNGVEAALAAGMQVVMVPDGNLSRDLTTKATLVLNSLQDFQPELFGLPSYE
+>DECOY_sp|Q08623|HDHD1_HUMAN Pseudouridine-5'-phosphatase OS=Homo sapiens OX=9606 GN=PUDP PE=1 SV=3
+EYSPLGFLEPQFDQLSNLVLTAKTTLDRSLNGDPVMVVQMGAALAAEVGNPADEFVLCKEMAPPPSFRKACALFIDPDPKGHQVEPDDGLVIHSFLSFFEKHRSTKMDFSASGSSTALAFPIGHKRLHIILKEAGPMLAATPFVEKLKTQSEEVLEEKSMPLQLVDIIIQAAELAKKGMVLSKVDWSYKKDYRNCIEQFVVSYLRETDLLLGDMDFILHTVPQPPAAM
+>sp|Q86WZ0|HEAT4_HUMAN HEAT repeat-containing protein 4 OS=Homo sapiens OX=9606 GN=HEATR4 PE=2 SV=2
+MTRTQKGKTFLPHCFYQSLPPRLGWGMILNYSKLKGKEECASVSSVPMVFFSSQYRLHRKSQYLKMAAANLTFSQEVVWQRGLPSIPYSQYSFDHLYNTNDIIHTPQIRKARPQKPVSFKFLGSSSPLTGDTSLAVKTESSANPEKKLKKSKPASTVREAPRPLIHHPCMHPDMLGRPPSLDVNLEEREAWLLPPEKEARAWEATVLEKLNERTARWIQSKRPRRPGASPNKWQSFLRQQYDWSHIRDELTSASDLELLKQLEAEETAEFEDQSVILPPQEKKKPELLLPVYYRLPSYFQQAETVEIMPGNKSTEDIHEKTSLSQPQTQSYFRQVTPRAGKFAYSTDNTFEQEIYFDEVQIIHQIGAKRDQIVLENLNRYNKQLSKVFPETPEKWSAQAIPEASYRPVQGALRWTALPTPAKDMLLQVGEKDVPIKTRRLKKQAKSLQEDVTWELVVLRRMLKEWKTAWALIIEWHHETVENLLQSLGDLHDDVRIKAITTCATAALERPRIATSQRDSDKTIQDLPEVLLPALEAALCDKNAHVRMAAAICQYAIQSHNPLARNIMQTALLKGNSVDSWAAAQCLALEGTATYPVIKRILHQLFTKKNEDTEEQSYILLSYLSEKTTLIHTMLAVELNSCQWKNRIVACQAFSRISGNVCLDMKHKLIQLMWNDWNKEVRRAAAQALGQMSLGKEVHDIIRVKLGQGNSQERVEALYLIGELKLMTAKLLPSFLHCFSDDFTAVRRAACLAAGALQIRDKMVLECLLNLMQRDPYWKIKAFAIRALGQIGQVSPELTDLLLWAIHYEESPGVRLEACRSILALKLQGDRVRDTFLDVLLLENHDAVLKEMYQTMKILNLGNEGNQEMLQEIKNRIKTLSQKDLLTHKILKLEMVMGKVREEAKRVYLKPKGEQGPLTLQTLLQETFQDEMVLPRRPSEVCDTEAVIKPVKPRAPNPWLQSSVPGLTTRSKVRSSLVKDLRTSPEKRIAVGPFRSDYPALYLGKFSERTFFSPIMSSPSGKKGAHL
+>DECOY_sp|Q86WZ0|HEAT4_HUMAN HEAT repeat-containing protein 4 OS=Homo sapiens OX=9606 GN=HEATR4 PE=2 SV=2
+LHAGKKGSPSSMIPSFFTRESFKGLYLAPYDSRFPGVAIRKEPSTRLDKVLSSRVKSRTTLGPVSSQLWPNPARPKVPKIVAETDCVESPRRPLVMEDQFTEQLLTQLTLPGQEGKPKLYVRKAEERVKGMVMELKLIKHTLLDKQSLTKIRNKIEQLMEQNGENGLNLIKMTQYMEKLVADHNELLLVDLFTDRVRDGQLKLALISRCAELRVGPSEEYHIAWLLLDTLEPSVQGIQGLARIAFAKIKWYPDRQMLNLLCELVMKDRIQLAGAALCAARRVATFDDSFCHLFSPLLKATMLKLEGILYLAEVREQSNGQGLKVRIIDHVEKGLSMQGLAQAAARRVEKNWDNWMLQILKHKMDLCVNGSIRSFAQCAVIRNKWQCSNLEVALMTHILTTKESLYSLLIYSQEETDENKKTFLQHLIRKIVPYTATGELALCQAAAWSDVSNGKLLATQMINRALPNHSQIAYQCIAAAMRVHANKDCLAAELAPLLVEPLDQITKDSDRQSTAIRPRELAATACTTIAKIRVDDHLDGLSQLLNEVTEHHWEIILAWATKWEKLMRRLVVLEWTVDEQLSKAQKKLRRTKIPVDKEGVQLLMDKAPTPLATWRLAGQVPRYSAEPIAQASWKEPTEPFVKSLQKNYRNLNELVIQDRKAGIQHIIQVEDFYIEQEFTNDTSYAFKGARPTVQRFYSQTQPQSLSTKEHIDETSKNGPMIEVTEAQQFYSPLRYYVPLLLEPKKKEQPPLIVSQDEFEATEEAELQKLLELDSASTLEDRIHSWDYQQRLFSQWKNPSAGPRRPRKSQIWRATRENLKELVTAEWARAEKEPPLLWAEREELNVDLSPPRGLMDPHMCPHHILPRPAERVTSAPKSKKLKKEPNASSETKVALSTDGTLPSSSGLFKFSVPKQPRAKRIQPTHIIDNTNYLHDFSYQSYPISPLGRQWVVEQSFTLNAAAMKLYQSKRHLRYQSSFFVMPVSSVSACEEKGKLKSYNLIMGWGLRPPLSQYFCHPLFTKGKQTRTM
+>sp|Q76N89|HECW1_HUMAN E3 ubiquitin-protein ligase HECW1 OS=Homo sapiens OX=9606 GN=HECW1 PE=1 SV=3
+MLLHLCSVKNLYQNRFLGLAAMASPSRNSQSRRRCKEPLRYSYNPDQFHNMDLRGGPHDGVTIPRSTSDTDLVTSDSRSTLMVSSSYYSIGHSQDLVIHWDIKEEVDAGDWIGMYLIDEVLSENFLDYKNRGVNGSHRGQIIWKIDASSYFVEPETKICFKYYHGVSGALRATTPSVTVKNSAAPIFKSIGADETVQGQGSRRLISFSLSDFQAMGLKKGMFFNPDPYLKISIQPGKHSIFPALPHHGQERRSKIIGNTVNPIWQAEQFSFVSLPTDVLEIEVKDKFAKSRPIIKRFLGKLSMPVQRLLERHAIGDRVVSYTLGRRLPTDHVSGQLQFRFEITSSIHPDDEEISLSTEPESAQIQDSPMNNLMESGSGEPRSEAPESSESWKPEQLGEGSVPDGPGNQSIELSRPAEEAAVITEAGDQGMVSVGPEGAGELLAQVQKDIQPAPSAEELAEQLDLGEEASALLLEDGEAPASTKEEPLEEEATTQSRAGREEEEKEQEEEGDVSTLEQGEGRLQLRASVKRKSRPCSLPVSELETVIASACGDPETPRTHYIRIHTLLHSMPSAQGGSAAEEEDGAEEESTLKDSSEKDGLSEVDTVAADPSALEEDREEPEGATPGTAHPGHSGGHFPSLANGAAQDGDTHPSTGSESDSSPRQGGDHSCEGCDASCCSPSCYSSSCYSTSCYSSSCYSASCYSPSCYNGNRFASHTRFSSVDSAKISESTVFSSQDDEEEENSAFESVPDSMQSPELDPESTNGAGPWQDELAAPSGHVERSPEGLESPVAGPSNRREGECPILHNSQPVSQLPSLRPEHHHYPTIDEPLPPNWEARIDSHGRVFYVDHVNRTTTWQRPTAAATPDGMRRSGSIQQMEQLNRRYQNIQRTIATERSEEDSGSQSCEQAPAGGGGGGGSDSEAESSQSSLDLRREGSLSPVNSQKITLLLQSPAVKFITNPEFFTVLHANYSAYRVFTSSTCLKHMILKVRRDARNFERYQHNRDLVNFINMFADTRLELPRGWEIKTDQQGKSFFVDHNSRATTFIDPRIPLQNGRLPNHLTHRQHLQRLRSYSAGEASEVSRNRGASLLARPGHSLVAAIRSQHQHESLPLAYNDKIVAFLRQPNIFEMLQERQPSLARNHTLREKIHYIRTEGNHGLEKLSCDADLVILLSLFEEEIMSYVPLQAAFHPGYSFSPRCSPCSSPQNSPGLQRASARAPSPYRRDFEAKLRNFYRKLEAKGFGQGPGKIKLIIRRDHLLEGTFNQVMAYSRKELQRNKLYVTFVGEEGLDYSGPSREFFFLLSQELFNPYYGLFEYSANDTYTVQISPMSAFVENHLEWFRFSGRILGLALIHQYLLDAFFTRPFYKALLRLPCDLSDLEYLDEEFHQSLQWMKDNNITDILDLTFTVNEEVFGQVTERELKSGGANTQVTEKNKKEYIERMVKWRVERGVVQQTEALVRGFYEVVDSRLVSVFDARELELVIAGTAEIDLNDWRNNTEYRGGYHDGHLVIRWFWAAVERFNNEQRLRLLQFVTGTSSVPYEGFAALRGSNGLRRFCIEKWGKITSLPRAHTCFNRLDLPPYPSYSMLYEKLLTAVEETSTFGLE
+>DECOY_sp|Q76N89|HECW1_HUMAN E3 ubiquitin-protein ligase HECW1 OS=Homo sapiens OX=9606 GN=HECW1 PE=1 SV=3
+ELGFTSTEEVATLLKEYLMSYSPYPPLDLRNFCTHARPLSTIKGWKEICFRRLGNSGRLAAFGEYPVSSTGTVFQLLRLRQENNFREVAAWFWRIVLHGDHYGGRYETNNRWDNLDIEATGAIVLELERADFVSVLRSDVVEYFGRVLAETQQVVGREVRWKVMREIYEKKNKETVQTNAGGSKLERETVQGFVEENVTFTLDLIDTINNDKMWQLSQHFEEDLYELDSLDCPLRLLAKYFPRTFFADLLYQHILALGLIRGSFRFWELHNEVFASMPSIQVTYTDNASYEFLGYYPNFLEQSLLFFFERSPGSYDLGEEGVFTVYLKNRQLEKRSYAMVQNFTGELLHDRRIILKIKGPGQGFGKAELKRYFNRLKAEFDRRYPSPARASARQLGPSNQPSSCPSCRPSFSYGPHFAAQLPVYSMIEEEFLSLLIVLDADCSLKELGHNGETRIYHIKERLTHNRALSPQREQLMEFINPQRLFAVIKDNYALPLSEHQHQSRIAAVLSHGPRALLSAGRNRSVESAEGASYSRLRQLHQRHTLHNPLRGNQLPIRPDIFTTARSNHDVFFSKGQQDTKIEWGRPLELRTDAFMNIFNVLDRNHQYREFNRADRRVKLIMHKLCTSSTFVRYASYNAHLVTFFEPNTIFKVAPSQLLLTIKQSNVPSLSGERRLDLSSQSSEAESDSGGGGGGGAPAQECSQSGSDEESRETAITRQINQYRRNLQEMQQISGSRRMGDPTAAATPRQWTTTRNVHDVYFVRGHSDIRAEWNPPLPEDITPYHHHEPRLSPLQSVPQSNHLIPCEGERRNSPGAVPSELGEPSREVHGSPAALEDQWPGAGNTSEPDLEPSQMSDPVSEFASNEEEEDDQSSFVTSESIKASDVSSFRTHSAFRNGNYCSPSYCSASYCSSSYCSTSYCSSSYCSPSCCSADCGECSHDGGQRPSSDSESGTSPHTDGDQAAGNALSPFHGGSHGPHATGPTAGEPEERDEELASPDAAVTDVESLGDKESSDKLTSEEEAGDEEEAASGGQASPMSHLLTHIRIYHTRPTEPDGCASAIVTELESVPLSCPRSKRKVSARLQLRGEGQELTSVDGEEEQEKEEEERGARSQTTAEEELPEEKTSAPAEGDELLLASAEEGLDLQEALEEASPAPQIDKQVQALLEGAGEPGVSVMGQDGAETIVAAEEAPRSLEISQNGPGDPVSGEGLQEPKWSESSEPAESRPEGSGSEMLNNMPSDQIQASEPETSLSIEEDDPHISSTIEFRFQLQGSVHDTPLRRGLTYSVVRDGIAHRELLRQVPMSLKGLFRKIIPRSKAFKDKVEIELVDTPLSVFSFQEAQWIPNVTNGIIKSRREQGHHPLAPFISHKGPQISIKLYPDPNFFMGKKLGMAQFDSLSFSILRRSGQGQVTEDAGISKFIPAASNKVTVSPTTARLAGSVGHYYKFCIKTEPEVFYSSADIKWIIQGRHSGNVGRNKYDLFNESLVEDILYMGIWDGADVEEKIDWHIVLDQSHGISYYSSSVMLTSRSDSTVLDTDSTSRPITVGDHPGGRLDMNHFQDPNYSYRLPEKCRRRSQSNRSPSAMAALGLFRNQYLNKVSCLHLLM
+>sp|Q9ULI3|HEG1_HUMAN Protein HEG homolog 1 OS=Homo sapiens OX=9606 GN=HEG1 PE=1 SV=3
+MASPRASRWPPPLLLLLLPLLLLPPAAPGTRDPPPSPARRALSLAPLAGAGLELQLERRPEREPPPTPPRERRGPATPGPSYRAPEPGAATQRGPSGRAPRGGSADAAWKHWPESNTEAHVENITFYQNQEDFSTVSSKEGVMVQTSGKSHAASDAPENLTLLAETADARGRSGSSSRTNFTILPVGYSLEIATALTSQSGNLASESLHLPSSSSEFDERIAAFQTKSGTASEMGTERAMGLSEEWTVHSQEATTSAWSPSFLPALEMGELTTPSRKRNSSGPDLSWLHFYRTAASSPLLDLSSSSESTEKLNNSTGLQSSSVSQTKTMHVATVFTDGGPRTLRSLTVSLGPVSKTEGFPKDSRIATTSSSVLLSPSAVESRRNSRVTGNPGDEEFIEPSTENEFGLTSLRWQNDSPTFGEHQLASSSEVQNGSPMSQTETVSRSVAPMRGGEITAHWLLTNSTTSADVTGSSASYPEGVNASVLTQFSDSTVQSGGSHTALGDRSYSESSSTSSSESLNSSAPRGERSIAGISYGQVRGTAIEQRTSSDHTDHTYLSSTFTKGERALLSITDNSSSSDIVESSTSYIKISNSSHSEYSSFFHAQTERSNISSYDGEYAQPSTESPVLHTSNLPSYTPTINMPNTSVVLDTDAEFVSDSSSSSSSSSSSSSSGPPLPLPSVSQSHHLFSSILPSTRASVHLLKSTSDASTPWSSSPSPLPVSLTTSTSAPLSVSQTTLPQSSSTPVLPRARETPVTSFQTSTMTSFMTMLHSSQTADLKSQSTPHQEKVITESKSPSLVSLPTESTKAVTTNSPLPPSLTESSTEQTLPATSTNLAQMSPTFTTTILKTSQPLMTTPGTLSSTASLVTGPIAVQTTAGKQLSLTHPEILVPQISTEGGISTERNRVIVDATTGLIPLTSVPTSAKEMTTKLGVTAEYSPASRSLGTSPSPQTTVVSTAEDLAPKSATFAVQSSTQSPTTVSSSASVNSCAVNPCLHNGECVADNTSRGYHCRCPPSWQGDDCSVDVNECLSNPCPSTAMCNNTQGSFICKCPVGYQLEKGICNLVRTFVTEFKLKRTFLNTTVEKHSDLQEVENEITKTLNMCFSALPSYIRSTVHASRESNAVVISLQTTFSLASNVTLFDLADRMQKCVNSCKSSAEVCQLLGSQRRIFRAGSLCKRKSPECDKDTSICTDLDGVALCQCKSGYFQFNKMDHSCRACEDGYRLENETCMSCPFGLGGLNCGNPYQLITVVIAAAGGGLLLILGIALIVTCCRKNKNDISKLIFKSGDFQMSPYAEYPKNPRSQEWGREAIEMHENGSTKNLLQMTDVYYSPTSVRNPELERNGLYPAYTGLPGSRHSCIFPGQYNPSFISDESRRRDYF
+>DECOY_sp|Q9ULI3|HEG1_HUMAN Protein HEG homolog 1 OS=Homo sapiens OX=9606 GN=HEG1 PE=1 SV=3
+FYDRRRSEDSIFSPNYQGPFICSHRSGPLGTYAPYLGNRELEPNRVSTPSYYVDTMQLLNKTSGNEHMEIAERGWEQSRPNKPYEAYPSMQFDGSKFILKSIDNKNKRCCTVILAIGLILLLGGGAAAIVVTILQYPNGCNLGGLGFPCSMCTENELRYGDECARCSHDMKNFQFYGSKCQCLAVGDLDTCISTDKDCEPSKRKCLSGARFIRRQSGLLQCVEASSKCSNVCKQMRDALDFLTVNSALSFTTQLSIVVANSERSAHVTSRIYSPLASFCMNLTKTIENEVEQLDSHKEVTTNLFTRKLKFETVFTRVLNCIGKELQYGVPCKCIFSGQTNNCMATSPCPNSLCENVDVSCDDGQWSPPCRCHYGRSTNDAVCEGNHLCPNVACSNVSASSSVTTPSQTSSQVAFTASKPALDEATSVVTTQPSPSTGLSRSAPSYEATVGLKTTMEKASTPVSTLPILGTTADVIVRNRETSIGGETSIQPVLIEPHTLSLQKGATTQVAIPGTVLSATSSLTGPTTMLPQSTKLITTTFTPSMQALNTSTAPLTQETSSETLSPPLPSNTTVAKTSETPLSVLSPSKSETIVKEQHPTSQSKLDATQSSHLMTMFSTMTSTQFSTVPTERARPLVPTSSSQPLTTQSVSLPASTSTTLSVPLPSPSSSWPTSADSTSKLLHVSARTSPLISSFLHHSQSVSPLPLPPGSSSSSSSSSSSSSSDSVFEADTDLVVSTNPMNITPTYSPLNSTHLVPSETSPQAYEGDYSSINSRETQAHFFSSYESHSSNSIKIYSTSSEVIDSSSSNDTISLLAREGKTFTSSLYTHDTHDSSTRQEIATGRVQGYSIGAISREGRPASSNLSESSSTSSSESYSRDGLATHSGGSQVTSDSFQTLVSANVGEPYSASSGTVDASTTSNTLLWHATIEGGRMPAVSRSVTETQSMPSGNQVESSSALQHEGFTPSDNQWRLSTLGFENETSPEIFEEDGPNGTVRSNRRSEVASPSLLVSSSTTAIRSDKPFGETKSVPGLSVTLSRLTRPGGDTFVTAVHMTKTQSVSSSQLGTSNNLKETSESSSSLDLLPSSAATRYFHLWSLDPGSSNRKRSPTTLEGMELAPLFSPSWASTTAEQSHVTWEESLGMARETGMESATGSKTQFAAIREDFESSSSPLHLSESALNGSQSTLATAIELSYGVPLITFNTRSSSGSRGRADATEALLTLNEPADSAAHSKGSTQVMVGEKSSVTSFDEQNQYFTINEVHAETNSEPWHKWAADASGGRPARGSPGRQTAAGPEPARYSPGPTAPGRRERPPTPPPEREPRRELQLELGAGALPALSLARRAPSPPPDRTGPAAPPLLLLPLLLLLLPPPWRSARPSAM
+>sp|P22557|HEM0_HUMAN 5-aminolevulinate synthase, erythroid-specific, mitochondrial OS=Homo sapiens OX=9606 GN=ALAS2 PE=1 SV=2
+MVTAAMLLQCCPVLARGPTSLLGKVVKTHQFLFGIGRCPILATQGPNCSQIHLKATKAGGDSPSWAKGHCPFMLSELQDGKSKIVQKAAPEVQEDVKAFKTDLPSSLVSVSLRKPFSGPQEQEQISGKVTHLIQNNMPGNYVFSYDQFFRDKIMEKKQDHTYRVFKTVNRWADAYPFAQHFSEASVASKDVSVWCSNDYLGMSRHPQVLQATQETLQRHGAGAGGTRNISGTSKFHVELEQELAELHQKDSALLFSSCFVANDSTLFTLAKILPGCEIYSDAGNHASMIQGIRNSGAAKFVFRHNDPDHLKKLLEKSNPKIPKIVAFETVHSMDGAICPLEELCDVSHQYGALTFVDEVHAVGLYGSRGAGIGERDGIMHKIDIISGTLGKAFGCVGGYIASTRDLVDMVRSYAAGFIFTTSLPPMVLSGALESVRLLKGEEGQALRRAHQRNVKHMRQLLMDRGLPVIPCPSHIIPIRVGNAALNSKLCDLLLSKHGIYVQAINYPTVPRGEELLRLAPSPHHSPQMMEDFVEKLLLAWTAVGLPLQDVSVAACNFCRRPVHFELMSEWERSYFGNMGPQYVTTYA
+>DECOY_sp|P22557|HEM0_HUMAN 5-aminolevulinate synthase, erythroid-specific, mitochondrial OS=Homo sapiens OX=9606 GN=ALAS2 PE=1 SV=2
+AYTTVYQPGMNGFYSREWESMLEFHVPRRCFNCAAVSVDQLPLGVATWALLLKEVFDEMMQPSHHPSPALRLLEEGRPVTPYNIAQVYIGHKSLLLDCLKSNLAANGVRIPIIHSPCPIVPLGRDMLLQRMHKVNRQHARRLAQGEEGKLLRVSELAGSLVMPPLSTTFIFGAAYSRVMDVLDRTSAIYGGVCGFAKGLTGSIIDIKHMIGDREGIGAGRSGYLGVAHVEDVFTLAGYQHSVDCLEELPCIAGDMSHVTEFAVIKPIKPNSKELLKKLHDPDNHRFVFKAAGSNRIGQIMSAHNGADSYIECGPLIKALTFLTSDNAVFCSSFLLASDKQHLEALEQELEVHFKSTGSINRTGGAGAGHRQLTEQTAQLVQPHRSMGLYDNSCWVSVDKSAVSAESFHQAFPYADAWRNVTKFVRYTHDQKKEMIKDRFFQDYSFVYNGPMNNQILHTVKGSIQEQEQPGSFPKRLSVSVLSSPLDTKFAKVDEQVEPAAKQVIKSKGDQLESLMFPCHGKAWSPSDGGAKTAKLHIQSCNPGQTALIPCRGIGFLFQHTKVVKGLLSTPGRALVPCCQLLMAATVM
+>sp|P08397|HEM3_HUMAN Porphobilinogen deaminase OS=Homo sapiens OX=9606 GN=HMBS PE=1 SV=2
+MSGNGNAAATAEENSPKMRVIRVGTRKSQLARIQTDSVVATLKASYPGLQFEIIAMSTTGDKILDTALSKIGEKSLFTKELEHALEKNEVDLVVHSLKDLPTVLPPGFTIGAICKRENPHDAVVFHPKFVGKTLETLPEKSVVGTSSLRRAAQLQRKFPHLEFRSIRGNLNTRLRKLDEQQEFSAIILATAGLQRMGWHNRVGQILHPEECMYAVGQGALGVEVRAKDQDILDLVGVLHDPETLLRCIAERAFLRHLEGGCSVPVAVHTAMKDGQLYLTGGVWSLDGSDSIQETMQATIHVPAQHEDGPEDDPQLVGITARNIPRGPQLAAQNLGISLANLLLSKGAKNILDVARQLNDAH
+>DECOY_sp|P08397|HEM3_HUMAN Porphobilinogen deaminase OS=Homo sapiens OX=9606 GN=HMBS PE=1 SV=2
+HADNLQRAVDLINKAGKSLLLNALSIGLNQAALQPGRPINRATIGVLQPDDEPGDEHQAPVHITAQMTEQISDSGDLSWVGGTLYLQGDKMATHVAVPVSCGGELHRLFAREAICRLLTEPDHLVGVLDLIDQDKARVEVGLAGQGVAYMCEEPHLIQGVRNHWGMRQLGATALIIASFEQQEDLKRLRTNLNGRISRFELHPFKRQLQAARRLSSTGVVSKEPLTELTKGVFKPHFVVADHPNERKCIAGITFGPPLVTPLDKLSHVVLDVENKELAHELEKTFLSKEGIKSLATDLIKDGTTSMAIIEFQLGPYSAKLTAVVSDTQIRALQSKRTGVRIVRMKPSNEEATAAANGNGSM
+>sp|P10746|HEM4_HUMAN Uroporphyrinogen-III synthase OS=Homo sapiens OX=9606 GN=UROS PE=1 SV=1
+MKVLLLKDAKEDDCGQDPYIRELGLYGLEATLIPVLSFEFLSLPSFSEKLSHPEDYGGLIFTSPRAVEAAELCLEQNNKTEVWERSLKEKWNAKSVYVVGNATASLVSKIGLDTEGETCGNAEKLAEYICSRESSALPLLFPCGNLKREILPKALKDKGIAMESITVYQTVAHPGIQGNLNSYYSQQGVPASITFFSPSGLTYSLKHIQELSGDNIDQIKFAAIGPTTARALAAQGLPVSCTAESPTPQALATGIRKALQPHGCC
+>DECOY_sp|P10746|HEM4_HUMAN Uroporphyrinogen-III synthase OS=Homo sapiens OX=9606 GN=UROS PE=1 SV=1
+CCGHPQLAKRIGTALAQPTPSEATCSVPLGQAALARATTPGIAAFKIQDINDGSLEQIHKLSYTLGSPSFFTISAPVGQQSYYSNLNGQIGPHAVTQYVTISEMAIGKDKLAKPLIERKLNGCPFLLPLASSERSCIYEALKEANGCTEGETDLGIKSVLSATANGVVYVSKANWKEKLSREWVETKNNQELCLEAAEVARPSTFILGGYDEPHSLKESFSPLSLFEFSLVPILTAELGYLGLERIYPDQGCDDEKADKLLLVKM
+>sp|P02790|HEMO_HUMAN Hemopexin OS=Homo sapiens OX=9606 GN=HPX PE=1 SV=2
+MARVLGAPVALGLWSLCWSLAIATPLPPTSAHGNVAEGETKPDPDVTERCSDGWSFDATTLDDNGTMLFFKGEFVWKSHKWDRELISERWKNFPSPVDAAFRQGHNSVFLIKGDKVWVYPPEKKEKGYPKLLQDEFPGIPSPLDAAVECHRGECQAEGVLFFQGDREWFWDLATGTMKERSWPAVGNCSSALRWLGRYYCFQGNQFLRFDPVRGEVPPRYPRDVRDYFMPCPGRGHGHRNGTGHGNSTHHGPEYMRCSPHLVLSALTSDNHGATYAFSGTHYWRLDTSRDGWHSWPIAHQWPQGPSAVDAAFSWEEKLYLVQGTQVYVFLTKGGYTLVSGYPKRLEKEVGTPHGIILDSVDAAFICPGSSRLHIMAGRRLWWLDLKSGAQATWTELPWPHEKVDGALCMEKSLGPNSCSANGPGLYLIHGPNLYCYSDVEKLNAAKALPQPQNVTSLLGCTH
+>DECOY_sp|P02790|HEMO_HUMAN Hemopexin OS=Homo sapiens OX=9606 GN=HPX PE=1 SV=2
+HTCGLLSTVNQPQPLAKAANLKEVDSYCYLNPGHILYLGPGNASCSNPGLSKEMCLAGDVKEHPWPLETWTAQAGSKLDLWWLRRGAMIHLRSSGPCIFAADVSDLIIGHPTGVEKELRKPYGSVLTYGGKTLFVYVQTGQVLYLKEEWSFAADVASPGQPWQHAIPWSHWGDRSTDLRWYHTGSFAYTAGHNDSTLASLVLHPSCRMYEPGHHTSNGHGTGNRHGHGRGPCPMFYDRVDRPYRPPVEGRVPDFRLFQNGQFCYYRGLWRLASSCNGVAPWSREKMTGTALDWFWERDGQFFLVGEAQCEGRHCEVAADLPSPIGPFEDQLLKPYGKEKKEPPYVWVKDGKILFVSNHGQRFAADVPSPFNKWRESILERDWKHSKWVFEGKFFLMTGNDDLTTADFSWGDSCRETVDPDPKTEGEAVNGHASTPPLPTAIALSWCLSWLGLAVPAGLVRAM
+>sp|Q03014|HHEX_HUMAN Hematopoietically-expressed homeobox protein HHEX OS=Homo sapiens OX=9606 GN=HHEX PE=1 SV=1
+MQYPHPGPAAGAVGVPLYAPTPLLQPAHPTPFYIEDILGRGPAAPTPAPTLPSPNSSFTSLVSPYRTPVYEPTPIHPAFSHHSAAALAAAYGPGGFGGPLYPFPRTVNDYTHALLRHDPLGKPLLWSPFLQRPLHKRKGGQVRFSNDQTIELEKKFETQKYLSPPERKRLAKMLQLSERQVKTWFQNRRAKWRRLKQENPQSNKKEELESLDSSCDQRQDLPSEQNKGASLDSSQCSPSPASQEDLESEISEDSDQEVDIEGDKSYFNAG
+>DECOY_sp|Q03014|HHEX_HUMAN Hematopoietically-expressed homeobox protein HHEX OS=Homo sapiens OX=9606 GN=HHEX PE=1 SV=1
+GANFYSKDGEIDVEQDSDESIESELDEQSAPSPSCQSSDLSAGKNQESPLDQRQDCSSDLSELEEKKNSQPNEQKLRRWKARRNQFWTKVQRESLQLMKALRKREPPSLYKQTEFKKELEITQDNSFRVQGGKRKHLPRQLFPSWLLPKGLPDHRLLAHTYDNVTRPFPYLPGGFGGPGYAAALAAASHHSFAPHIPTPEYVPTRYPSVLSTFSSNPSPLTPAPTPAAPGRGLIDEIYFPTPHAPQLLPTPAYLPVGVAGAAPGPHPYQM
+>sp|P60008|HILS1_HUMAN Spermatid-specific linker histone H1-like protein OS=Homo sapiens OX=9606 GN=HILS1 PE=1 SV=1
+MLHASTIWHLRSTPPRRKQWGHCDPHRILVASEVTTEITSPTPAPRAQVCGGQPWVTVLDPLSGHTGREAERHFATVSISAVELKYCHGWRPAGQRVPSKTATGQRTCAKPCQKPSTSKVILRAVADKGTCKYVSLATLKKAVSTTGYDMARNAYHFKRVLKGLVDKGSAGSFTLGKKQASKSKLKVKRQRQQRWRSGQRPFGQHRSLLGSKQGHKRLIKGVRRVAKCHCN
+>DECOY_sp|P60008|HILS1_HUMAN Spermatid-specific linker histone H1-like protein OS=Homo sapiens OX=9606 GN=HILS1 PE=1 SV=1
+NCHCKAVRRVGKILRKHGQKSGLLSRHQGFPRQGSRWRQQRQRKVKLKSKSAQKKGLTFSGASGKDVLGKLVRKFHYANRAMDYGTTSVAKKLTALSVYKCTGKDAVARLIVKSTSPKQCPKACTRQGTATKSPVRQGAPRWGHCYKLEVASISVTAFHREAERGTHGSLPDLVTVWPQGGCVQARPAPTPSTIETTVESAVLIRHPDCHGWQKRRPPTSRLHWITSAHLM
+>sp|Q8NE63|HIPK4_HUMAN Homeodomain-interacting protein kinase 4 OS=Homo sapiens OX=9606 GN=HIPK4 PE=1 SV=1
+MSTIQSETDCYDIIEVLGKGTFGEVAKGWRRSTGEMVAIKILKNDAYRNRIIKNELKLLHCMRGLDPEEAHVIRFLEFFHDALKFYLVFELLEQNLFEFQKENNFAPLPARHIRTVTLQVLTALARLKELAIIHADLKPENIMLVDQTRCPFRVKVIDFGSASIFSEVRYVKEPYIQSRFYRAPEILLGLPFCEKVDVWSLGCVMAELHLGWPLYPGNNEYDQVRYICETQGLPKPHLLHAACKAHHFFKRNPHPDAANPWQLKSSADYLAETKVRPLERRKYMLKSLDQIETVNGGSVASRLTFPDREALAEHADLKSMVELIKRMLTWESHERISPSAALRHPFVSMQQLRSAHETTHYYQLSLRSYRLSLQVEGKPPTPVVAAEDGTPYYCLAEEKEAAGMGSVAGSSPFFREEKAPGMQRAIDQLDDLSLQEAGHGLWGETCTNAVSDMMVPLKAAITGHHVPDSGPEPILAFYSSRLAGRHKARKPPAGSKSDSNFSNLIRLSQVSPEDDRPCRGSSWEEGEHLGASAEPLAILQRDEDGPNIDNMTMEAERPDPELFDPSSCPGEWLSEPDCTLESVRGPRAQGLPPRRSHQHGPPRGATSFLQHVTGHH
+>DECOY_sp|Q8NE63|HIPK4_HUMAN Homeodomain-interacting protein kinase 4 OS=Homo sapiens OX=9606 GN=HIPK4 PE=1 SV=1
+HHGTVHQLFSTAGRPPGHQHSRRPPLGQARPGRVSELTCDPESLWEGPCSSPDFLEPDPREAEMTMNDINPGDEDRQLIALPEASAGLHEGEEWSSGRCPRDDEPSVQSLRILNSFNSDSKSGAPPKRAKHRGALRSSYFALIPEPGSDPVHHGTIAAKLPVMMDSVANTCTEGWLGHGAEQLSLDDLQDIARQMGPAKEERFFPSSGAVSGMGAAEKEEALCYYPTGDEAAVVPTPPKGEVQLSLRYSRLSLQYYHTTEHASRLQQMSVFPHRLAASPSIREHSEWTLMRKILEVMSKLDAHEALAERDPFTLRSAVSGGNVTEIQDLSKLMYKRRELPRVKTEALYDASSKLQWPNAADPHPNRKFFHHAKCAAHLLHPKPLGQTECIYRVQDYENNGPYLPWGLHLEAMVCGLSWVDVKECFPLGLLIEPARYFRSQIYPEKVYRVESFISASGFDIVKVRFPCRTQDVLMINEPKLDAHIIALEKLRALATLVQLTVTRIHRAPLPAFNNEKQFEFLNQELLEFVLYFKLADHFFELFRIVHAEEPDLGRMCHLLKLENKIIRNRYADNKLIKIAVMEGTSRRWGKAVEGFTGKGLVEIIDYCDTESQITSM
+>sp|P17693|HLAG_HUMAN HLA class I histocompatibility antigen, alpha chain G OS=Homo sapiens OX=9606 GN=HLA-G PE=1 SV=1
+MVVMAPRTLFLLLSGALTLTETWAGSHSMRYFSAAVSRPGRGEPRFIAMGYVDDTQFVRFDSDSACPRMEPRAPWVEQEGPEYWEEETRNTKAHAQTDRMNLQTLRGYYNQSEASSHTLQWMIGCDLGSDGRLLRGYEQYAYDGKDYLALNEDLRSWTAADTAAQISKRKCEAANVAEQRRAYLEGTCVEWLHRYLENGKEMLQRADPPKTHVTHHPVFDYEATLRCWALGFYPAEIILTWQRDGEDQTQDVELVETRPAGDGTFQKWAAVVVPSGEEQRYTCHVQHEGLPEPLMLRWKQSSLPTIPIMGIVAGLVVLAAVVTGAAVAAVLWRKKSSD
+>DECOY_sp|P17693|HLAG_HUMAN HLA class I histocompatibility antigen, alpha chain G OS=Homo sapiens OX=9606 GN=HLA-G PE=1 SV=1
+DSSKKRWLVAAVAAGTVVAALVVLGAVIGMIPITPLSSQKWRLMLPEPLGEHQVHCTYRQEEGSPVVVAAWKQFTGDGAPRTEVLEVDQTQDEGDRQWTLIIEAPYFGLAWCRLTAEYDFVPHHTVHTKPPDARQLMEKGNELYRHLWEVCTGELYARRQEAVNAAECKRKSIQAATDAATWSRLDENLALYDKGDYAYQEYGRLLRGDSGLDCGIMWQLTHSSAESQNYYGRLTQLNMRDTQAHAKTNRTEEEWYEPGEQEVWPARPEMRPCASDSDFRVFQTDDVYGMAIFRPEGRGPRSVAASFYRMSHSGAWTETLTLAGSLLLFLTRPAMVVM
+>sp|P19622|HME2_HUMAN Homeobox protein engrailed-2 OS=Homo sapiens OX=9606 GN=EN2 PE=2 SV=3
+MEENDPKPGEAAAAVEGQRQPESSPGGGSGGGGGSSPGEADTGRRRALMLPAVLQAPGNHQHPHRITNFFIDNILRPEFGRRKDAGTCCAGAGGGRGGGAGGEGGASGAEGGGGAGGSEQLLGSGSREPRQNPPCAPGAGGPLPAAGSDSPGDGEGGSKTLSLHGGAKKGGDPGGPLDGSLKARGLGGGDLSVSSDSDSSQAGANLGAQPMLWPAWVYCTRYSDRPSSGPRSRKPKKKNPNKEDKRPRTAFTAEQLQRLKAEFQTNRYLTEQRRQSLAQELSLNESQIKIWFQNKRAKIKKATGNKNTLAVHLMAQGLYNHSTTAKEGKSDSE
+>DECOY_sp|P19622|HME2_HUMAN Homeobox protein engrailed-2 OS=Homo sapiens OX=9606 GN=EN2 PE=2 SV=3
+ESDSKGEKATTSHNYLGQAMLHVALTNKNGTAKKIKARKNQFWIKIQSENLSLEQALSQRRQETLYRNTQFEAKLRQLQEATFATRPRKDEKNPNKKKPKRSRPGSSPRDSYRTCYVWAPWLMPQAGLNAGAQSSDSDSSVSLDGGGLGRAKLSGDLPGGPDGGKKAGGHLSLTKSGGEGDGPSDSGAAPLPGGAGPACPPNQRPERSGSGLLQESGGAGGGGEAGSAGGEGGAGGGRGGGAGACCTGADKRRGFEPRLINDIFFNTIRHPHQHNGPAQLVAPLMLARRRGTDAEGPSSGGGGGSGGGPSSEPQRQGEVAAAAEGPKPDNEEM
+>sp|P52926|HMGA2_HUMAN High mobility group protein HMGI-C OS=Homo sapiens OX=9606 GN=HMGA2 PE=1 SV=1
+MSARGEGAGQPSTSAQGQPAAPAPQKRGRGRPRKQQQEPTGEPSPKRPRGRPKGSKNKSPSKAAQKKAEATGEKRPRGRPRKWPQQVVQKKPAQEETEETSSQESAEED
+>DECOY_sp|P52926|HMGA2_HUMAN High mobility group protein HMGI-C OS=Homo sapiens OX=9606 GN=HMGA2 PE=1 SV=1
+DEEASEQSSTEETEEQAPKKQVVQQPWKRPRGRPRKEGTAEAKKQAAKSPSKNKSGKPRGRPRKPSPEGTPEQQQKRPRGRGRKQPAPAAPQGQASTSPQGAGEGRASM
+>sp|P26583|HMGB2_HUMAN High mobility group protein B2 OS=Homo sapiens OX=9606 GN=HMGB2 PE=1 SV=2
+MGKGDPNKPRGKMSSYAFFVQTCREEHKKKHPDSSVNFAEFSKKCSERWKTMSAKEKSKFEDMAKSDKARYDREMKNYVPPKGDKKGKKKDPNAPKRPPSAFFLFCSEHRPKIKSEHPGLSIGDTAKKLGEMWSEQSAKDKQPYEQKAAKLKEKYEKDIAAYRAKGKSEAGKKGPGRPTGSKKKNEPEDEEEEEEEEDEDEEEEDEDEE
+>DECOY_sp|P26583|HMGB2_HUMAN High mobility group protein B2 OS=Homo sapiens OX=9606 GN=HMGB2 PE=1 SV=2
+EEDEDEEEEDEDEEEEEEEEDEPENKKKSGTPRGPGKKGAESKGKARYAAIDKEYKEKLKAAKQEYPQKDKASQESWMEGLKKATDGISLGPHESKIKPRHESCFLFFASPPRKPANPDKKKGKKDGKPPVYNKMERDYRAKDSKAMDEFKSKEKASMTKWRESCKKSFEAFNVSSDPHKKKHEERCTQVFFAYSSMKGRPKNPDGKGM
+>sp|Q8WW32|HMGB4_HUMAN High mobility group protein B4 OS=Homo sapiens OX=9606 GN=HMGB4 PE=1 SV=2
+MGKEIQLKPKANVSSYVHFLLNYRNKFKEQQPNTYVGFKEFSRKCSEKWRSISKHEKAKYEALAKLDKARYQEEMMNYVGKRKKRRKRDPQEPRRPPSSFLLFCQDHYAQLKRENPNWSVVQVAKATGKMWSTATDLEKHPYEQRVALLRAKYFEELELYRKQCNARKKYRMSARNRCRGKRVRQS
+>DECOY_sp|Q8WW32|HMGB4_HUMAN High mobility group protein B4 OS=Homo sapiens OX=9606 GN=HMGB4 PE=1 SV=2
+SQRVRKGRCRNRASMRYKKRANCQKRYLELEEFYKARLLAVRQEYPHKELDTATSWMKGTAKAVQVVSWNPNERKLQAYHDQCFLLFSSPPRRPEQPDRKRRKKRKGVYNMMEEQYRAKDLKALAEYKAKEHKSISRWKESCKRSFEKFGVYTNPQQEKFKNRYNLLFHVYSSVNAKPKLQIEKGM
+>sp|P05114|HMGN1_HUMAN Non-histone chromosomal protein HMG-14 OS=Homo sapiens OX=9606 GN=HMGN1 PE=1 SV=3
+MPKRKVSSAEGAAKEEPKRRSARLSAKPPAKVEAKPKKAAAKDKSSDKKVQTKGKRGAKGKQAEVANQETKEDLPAENGETKTEESPASDEAGEKEAKSD
+>DECOY_sp|P05114|HMGN1_HUMAN Non-histone chromosomal protein HMG-14 OS=Homo sapiens OX=9606 GN=HMGN1 PE=1 SV=3
+DSKAEKEGAEDSAPSEETKTEGNEAPLDEKTEQNAVEAQKGKAGRKGKTQVKKDSSKDKAAAKKPKAEVKAPPKASLRASRRKPEEKAAGEASSVKRKPM
+>sp|Q15651|HMGN3_HUMAN High mobility group nucleosome-binding domain-containing protein 3 OS=Homo sapiens OX=9606 GN=HMGN3 PE=1 SV=2
+MPKRKSPENTEGKDGSKVTKQEPTRRSARLSAKPAPPKPEPKPRKTSAKKEPGAKISRGAKGKKEEKQEAGKEGTAPSENGETKAEEAQKTESVDNEGE
+>DECOY_sp|Q15651|HMGN3_HUMAN High mobility group nucleosome-binding domain-containing protein 3 OS=Homo sapiens OX=9606 GN=HMGN3 PE=1 SV=2
+EGENDVSETKQAEEAKTEGNESPATGEKGAEQKEEKKGKAGRSIKAGPEKKASTKRPKPEPKPPAPKASLRASRRTPEQKTVKSGDKGETNEPSKRKPM
+>sp|Q92619|HMHA1_HUMAN Rho GTPase-activating protein 45 OS=Homo sapiens OX=9606 GN=ARHGAP45 PE=1 SV=2
+MFSRKKRELMKTPSISKKNRAGSPSPQPSGELPRKDGADAVFPGPSLEPPAGSSGVKATGTLKRPTSLSRHASAAGFPLSGAASWTLGRSHRSPLTAASPGELPTEGAGPDVVEDISHLLADVARFAEGLEKLKECVLRDDLLEARRPRAHECLGEALRVMHQIISKYPLLNTVETLTAAGTLIAKVKAFHYESNNDLEKQEFEKALETIAVAFSSTVSEFLMGEVDSSTLLAVPPGDSSQSMESLYGPGSEGTPPSLEDCDAGCLPAEEVDVLLQRCEGGVDAALLYAKNMAKYMKDLISYLEKRTTLEMEFAKGLQKIAHNCRQSVMQEPHMPLLSIYSLALEQDLEFGHSMVQAVGTLQTQTFMQPLTLRRLEHEKRRKEIKEAWHRAQRKLQEAESNLRKAKQGYVQRCEDHDKARFLVAKAEEEQAGSAPGAGSTATKTLDKRRRLEEEAKNKAEEAMATYRTCVADAKTQKQELEDTKVTALRQIQEVIRQSDQTIKSATISYYQMMHMQTAPLPVHFQMLCESSKLYDPGQQYASHVRQLQRDQEPDVHYDFEPHVSANAWSPVMRARKSSFNVSDVARPEAAGSPPEEGGCTEGTPAKDHRAGRGHQVHKSWPLSISDSDSGLDPGPGAGDFKKFERTSSSGTMSSTEELVDPDGGAGASAFEQADLNGMTPELPVAVPSGPFRHEGLSKAARTHRLRKLRTPAKCRECNSYVYFQGAECEECCLACHKKCLETLAIQCGHKKLQGRLQLFGQDFSHAARSAPDGVPFIVKKCVCEIERRALRTKGIYRVNGVKTRVEKLCQAFENGKELVELSQASPHDISNVLKLYLRQLPEPLISFRLYHELVGLAKDSLKAEAEAKAASRGRQDGSESEAVAVALAGRLRELLRDLPPENRASLQYLLRHLRRIVEVEQDNKMTPGNLGIVFGPTLLRPRPTEATVSLSSLVDYPHQARVIETLIVHYGLVFEEEPEETPGGQDESSNQRAEVVVQVPYLEAGEAVVYPLQEAAADGCRESRVVSNDSDSDLEEASELLSSSEASALGHLSFLEQQQSEASLEVASGSHSGSEEQLEATAREDGDGDEDGPAQQLSGFNTNQSNNVLQAPLPPMRLRGGRMTLGSCRERQPEFV
+>DECOY_sp|Q92619|HMHA1_HUMAN Rho GTPase-activating protein 45 OS=Homo sapiens OX=9606 GN=ARHGAP45 PE=1 SV=2
+VFEPQRERCSGLTMRGGRLRMPPLPAQLVNNSQNTNFGSLQQAPGDEDGDGDERATAELQEESGSHSGSAVELSAESQQQELFSLHGLASAESSSLLESAEELDSDSDNSVVRSERCGDAAAEQLPYVVAEGAELYPVQVVVEARQNSSEDQGGPTEEPEEEFVLGYHVILTEIVRAQHPYDVLSSLSVTAETPRPRLLTPGFVIGLNGPTMKNDQEVEVIRRLHRLLYQLSARNEPPLDRLLERLRGALAVAVAESESGDQRGRSAAKAEAEAKLSDKALGVLEHYLRFSILPEPLQRLYLKLVNSIDHPSAQSLEVLEKGNEFAQCLKEVRTKVGNVRYIGKTRLARREIECVCKKVIFPVGDPASRAAHSFDQGFLQLRGQLKKHGCQIALTELCKKHCALCCEECEAGQFYVYSNCERCKAPTRLKRLRHTRAAKSLGEHRFPGSPVAVPLEPTMGNLDAQEFASAGAGGDPDVLEETSSMTGSSSTREFKKFDGAGPGPDLGSDSDSISLPWSKHVQHGRGARHDKAPTGETCGGEEPPSGAAEPRAVDSVNFSSKRARMVPSWANASVHPEFDYHVDPEQDRQLQRVHSAYQQGPDYLKSSECLMQFHVPLPATQMHMMQYYSITASKITQDSQRIVEQIQRLATVKTDELEQKQTKADAVCTRYTAMAEEAKNKAEEELRRRKDLTKTATSGAGPASGAQEEEAKAVLFRAKDHDECRQVYGQKAKRLNSEAEQLKRQARHWAEKIEKRRKEHELRRLTLPQMFTQTQLTGVAQVMSHGFELDQELALSYISLLPMHPEQMVSQRCNHAIKQLGKAFEMELTTRKELYSILDKMYKAMNKAYLLAADVGGECRQLLVDVEEAPLCGADCDELSPPTGESGPGYLSEMSQSSDGPPVALLTSSDVEGMLFESVTSSFAVAITELAKEFEQKELDNNSEYHFAKVKAILTGAATLTEVTNLLPYKSIIQHMVRLAEGLCEHARPRRAELLDDRLVCEKLKELGEAFRAVDALLHSIDEVVDPGAGETPLEGPSAATLPSRHSRGLTWSAAGSLPFGAASAHRSLSTPRKLTGTAKVGSSGAPPELSPGPFVADAGDKRPLEGSPQPSPSGARNKKSISPTKMLERKKRSFM
+>sp|Q9NZI5|GRHL1_HUMAN Grainyhead-like protein 1 homolog OS=Homo sapiens OX=9606 GN=GRHL1 PE=1 SV=2
+MTQEYDNKRPVLVLQNEALYPQRRSYTSEDEAWKSFLENPLTAATKAMMSINGDEDSAAALGLLYDYYKVPRERRSSTAKPEVEHPEPDHSKRNSIPIVTEQPLISAGENRVQVLKNVPFNIVLPHGNQLGIDKRGHLTAPDTTVTVSIATMPTHSIKTETQPHGFAVGIPPAVYHPEPTERVVVFDRNLNTDQFSSGAQAPNAQRRTPDSTFSETFKEGVQEVFFPSDLSLRMPGMNSEDYVFDSVSGNNFEYTLEASKSLRQKPGDSTMTYLNKGQFYPITLKEVSSSEGIHHPISKVRSVIMVVFAEDKSREDQLRHWKYWHSRQHTAKQRCIDIADYKESFNTISNIEEIAYNAISFTWDINDEAKVFISVNCLSTDFSSQKGVKGLPLNIQVDTYSYNNRSNKPVHRAYCQIKVFCDKGAERKIRDEERKQSKRKVSDVKVPLLPSHKRMDITVFKPFIDLDTQPVLFIPDVHFANLQRGTHVLPIASEELEGEGSVLKRGPYGTEDDFAVPPSTKLARIEEPKRVLLYVRKESEEVFDALMLKTPSLKGLMEAISDKYDVPHDKIGKIFKKCKKGILVNMDDNIVKHYSNEDTFQLQIEEAGGSYKLTLTEI
+>DECOY_sp|Q9NZI5|GRHL1_HUMAN Grainyhead-like protein 1 homolog OS=Homo sapiens OX=9606 GN=GRHL1 PE=1 SV=2
+IETLTLKYSGGAEEIQLQFTDENSYHKVINDDMNVLIGKKCKKFIKGIKDHPVDYKDSIAEMLGKLSPTKLMLADFVEESEKRVYLLVRKPEEIRALKTSPPVAFDDETGYPGRKLVSGEGELEESAIPLVHTGRQLNAFHVDPIFLVPQTDLDIFPKFVTIDMRKHSPLLPVKVDSVKRKSQKREEDRIKREAGKDCFVKIQCYARHVPKNSRNNYSYTDVQINLPLGKVGKQSSFDTSLCNVSIFVKAEDNIDWTFSIANYAIEEINSITNFSEKYDAIDICRQKATHQRSHWYKWHRLQDERSKDEAFVVMIVSRVKSIPHHIGESSSVEKLTIPYFQGKNLYTMTSDGPKQRLSKSAELTYEFNNGSVSDFVYDESNMGPMRLSLDSPFFVEQVGEKFTESFTSDPTRRQANPAQAGSSFQDTNLNRDFVVVRETPEPHYVAPPIGVAFGHPQTETKISHTPMTAISVTVTTDPATLHGRKDIGLQNGHPLVINFPVNKLVQVRNEGASILPQETVIPISNRKSHDPEPHEVEPKATSSRRERPVKYYDYLLGLAAASDEDGNISMMAKTAATLPNELFSKWAEDESTYSRRQPYLAENQLVLVPRKNDYEQTM
+>sp|Q6ISB3|GRHL2_HUMAN Grainyhead-like protein 2 homolog OS=Homo sapiens OX=9606 GN=GRHL2 PE=1 SV=1
+MSQESDNNKRLVALVPMPSDPPFNTRRAYTSEDEAWKSYLENPLTAATKAMMSINGDEDSAAALGLLYDYYKVPRDKRLLSVSKASDSQEDQEKRNCLGTSEAQSNLSGGENRVQVLKTVPVNLSLNQDHLENSKREQYSISFPESSAIIPVSGITVVKAEDFTPVFMAPPVHYPRGDGEEQRVVIFEQTQYDVPSLATHSAYLKDDQRSTPDSTYSESFKDAATEKFRSASVGAEEYMYDQTSSGTFQYTLEATKSLRQKQGEGPMTYLNKGQFYAITLSETGDNKCFRHPISKVRSVVMVVFSEDKNRDEQLKYWKYWHSRQHTAKQRVLDIADYKESFNTIGNIEEIAYNAVSFTWDVNEEAKIFITVNCLSTDFSSQKGVKGLPLMIQIDTYSYNNRSNKPIHRAYCQIKVFCDKGAERKIRDEERKQNRKKGKGQASQTQCNSSSDGKLAAIPLQKKSDITYFKTMPDLHSQPVLFIPDVHFANLQRTGQVYYNTDDEREGGSVLVKRMFRPMEEEFGPVPSKQMKEEGTKRVLLYVRKETDDVFDALMLKSPTVKGLMEAISEKYGLPVEKIAKLYKKSKKGILVNMDDNIIEHYSNEDTFILNMESMVEGFKVTLMEI
+>DECOY_sp|Q6ISB3|GRHL2_HUMAN Grainyhead-like protein 2 homolog OS=Homo sapiens OX=9606 GN=GRHL2 PE=1 SV=1
+IEMLTVKFGEVMSEMNLIFTDENSYHEIINDDMNVLIGKKSKKYLKAIKEVPLGYKESIAEMLGKVTPSKLMLADFVDDTEKRVYLLVRKTGEEKMQKSPVPGFEEEMPRFMRKVLVSGGEREDDTNYYVQGTRQLNAFHVDPIFLVPQSHLDPMTKFYTIDSKKQLPIAALKGDSSSNCQTQSAQGKGKKRNQKREEDRIKREAGKDCFVKIQCYARHIPKNSRNNYSYTDIQIMLPLGKVGKQSSFDTSLCNVTIFIKAEENVDWTFSVANYAIEEINGITNFSEKYDAIDLVRQKATHQRSHWYKWYKLQEDRNKDESFVVMVVSRVKSIPHRFCKNDGTESLTIAYFQGKNLYTMPGEGQKQRLSKTAELTYQFTGSSTQDYMYEEAGVSASRFKETAADKFSESYTSDPTSRQDDKLYASHTALSPVDYQTQEFIVVRQEEGDGRPYHVPPAMFVPTFDEAKVVTIGSVPIIASSEPFSISYQERKSNELHDQNLSLNVPVTKLVQVRNEGGSLNSQAESTGLCNRKEQDEQSDSAKSVSLLRKDRPVKYYDYLLGLAAASDEDGNISMMAKTAATLPNELYSKWAEDESTYARRTNFPPDSPMPVLAVLRKNNDSEQSM
+>sp|O43424|GRID2_HUMAN Glutamate receptor ionotropic, delta-2 OS=Homo sapiens OX=9606 GN=GRID2 PE=1 SV=2
+MEVFPFLLVLSVWWSRTWDSANADSIIHIGAIFDESAKKDDEVFRTAVGDLNQNEEILQTEKITFSVTFVDGNNPFQAVQEACELMNQGILALVSSIGCTSAGSLQSLADAMHIPHLFIQRSTAGTPRSGCGLTRSNRNDDYTLSVRPPVYLHDVILRVVTEYAWQKFIIFYDSEYDIRGIQEFLDKVSQQGMDVALQKVENNINKMITTLFDTMRIEELNRYRDTLRRAILVMNPATAKSFITEVVETNLVAFDCHWIIINEEINDVDVQELVRRSIGRLTIIRQTFPVPQNISQRCFRGNHRISSTLCDPKDPFAQNMEISNLYIYDTVLLLANAFHKKLEDRKWHSMASLSCIRKNSKPWQGGRSMLETIKKGGVSGLTGELEFGENGGNPNVHFEILGTNYGEELGRGVRKLGCWNPVTGLNGSLTDKKLENNMRGVVLRVVTVLEEPFVMVSENVLGKPKKYQGFSIDVLDALSNYLGFNYEIYVAPDHKYGSPQEDGTWNGLVGELVFKRADIGISALTITPDRENVVDFTTRYMDYSVGVLLRRAEKTVDMFACLAPFDLSLWACIAGTVLLVGLLVYLLNWLNPPRLQMGSMTSTTLYNSMWFVYGSFVQQGGEVPYTTLATRMMMGAWWLFALIVISSYTANLAAFLTITRIESSIQSLQDLSKQTEIPYGTVLDSAVYEHVRMKGLNPFERDSMYSQMWRMINRSNGSENNVLESQAGIQKVKYGNYAFVWDAAVLEYVAINDPDCSFYTIGNTVADRGYGIALQHGSPYRDVFSQRILELQQNGDMDILKHKWWPKNGQCDLYSSVDTKQKGGALDIKSFAGVFCILAAGIVLSCFIAMLETWWNKRKGSRVPSKEDDKEIDLEHLHRRVNSLCTDDDSPHKQFSTSSIDLTPLDIDTLPTRQALEQISDFRNTHITTTTFIPEQIQTLSRTLSAKAASGFTFGNVPEHRTGPFRHRAPNGGFFRSPIKTMSSIPYQPTPTLGLNLGNDPDRGTSI
+>DECOY_sp|O43424|GRID2_HUMAN Glutamate receptor ionotropic, delta-2 OS=Homo sapiens OX=9606 GN=GRID2 PE=1 SV=2
+ISTGRDPDNGLNLGLTPTPQYPISSMTKIPSRFFGGNPARHRFPGTRHEPVNGFTFGSAAKASLTRSLTQIQEPIFTTTTIHTNRFDSIQELAQRTPLTDIDLPTLDISSTSFQKHPSDDDTCLSNVRRHLHELDIEKDDEKSPVRSGKRKNWWTELMAIFCSLVIGAALICFVGAFSKIDLAGGKQKTDVSSYLDCQGNKPWWKHKLIDMDGNQQLELIRQSFVDRYPSGHQLAIGYGRDAVTNGITYFSCDPDNIAVYELVAADWVFAYNGYKVKQIGAQSELVNNESGNSRNIMRWMQSYMSDREFPNLGKMRVHEYVASDLVTGYPIETQKSLDQLSQISSEIRTITLFAALNATYSSIVILAFLWWAGMMMRTALTTYPVEGGQQVFSGYVFWMSNYLTTSTMSGMQLRPPNLWNLLYVLLGVLLVTGAICAWLSLDFPALCAFMDVTKEARRLLVGVSYDMYRTTFDVVNERDPTITLASIGIDARKFVLEGVLGNWTGDEQPSGYKHDPAVYIEYNFGLYNSLADLVDISFGQYKKPKGLVNESVMVFPEELVTVVRLVVGRMNNELKKDTLSGNLGTVPNWCGLKRVGRGLEEGYNTGLIEFHVNPNGGNEGFELEGTLGSVGGKKITELMSRGGQWPKSNKRICSLSAMSHWKRDELKKHFANALLLVTDYIYLNSIEMNQAFPDKPDCLTSSIRHNGRFCRQSINQPVPFTQRIITLRGISRRVLEQVDVDNIEENIIIWHCDFAVLNTEVVETIFSKATAPNMVLIARRLTDRYRNLEEIRMTDFLTTIMKNINNEVKQLAVDMGQQSVKDLFEQIGRIDYESDYFIIFKQWAYETVVRLIVDHLYVPPRVSLTYDDNRNSRTLGCGSRPTGATSRQIFLHPIHMADALSQLSGASTCGISSVLALIGQNMLECAEQVAQFPNNGDVFTVSFTIKETQLIEENQNLDGVATRFVEDDKKASEDFIAGIHIISDANASDWTRSWWVSLVLLFPFVEM
+>sp|Q8WTQ7|GRK7_HUMAN Rhodopsin kinase OS=Homo sapiens OX=9606 GN=GRK7 PE=1 SV=1
+MVDMGALDNLIANTAYLQARKPSDCDSKELQRRRRSLALPGLQGCAELRQKLSLNFHSLCEQQPIGRRLFRDFLATVPTFRKAATFLEDVQNWELAEEGPTKDSALQGLVATCASAPAPGNPQPFLSQAVATKCQAATTEEERVAAVTLAKAEAMAFLQEQPFKDFVTSAFYDKFLQWKLFEMQPVSDKYFTEFRVLGKGGFGEVCAVQVKNTGKMYACKKLDKKRLKKKGGEKMALLEKEILEKVSSPFIVSLAYAFESKTHLCLVMSLMNGGDLKFHIYNVGTRGLDMSRVIFYSAQIACGMLHLHELGIVYRDMKPENVLLDDLGNCRLSDLGLAVEMKGGKPITQRAGTNGYMAPEILMEKVSYSYPVDWFAMGCSIYEMVAGRTPFKDYKEKVSKEDLKQRTLQDEVKFQHDNFTEEAKDICRLFLAKKPEQRLGSREKSDDPRKHHFFKTINFPRLEAGLIEPPFVPDPSVVYAKDIAEIDDFSEVRGVEFDDKDKQFFKNFATGAVPIAWQEEIIETGLFEELNDPNRPTGCEEGNSSKSGVCLLL
+>DECOY_sp|Q8WTQ7|GRK7_HUMAN Rhodopsin kinase OS=Homo sapiens OX=9606 GN=GRK7 PE=1 SV=1
+LLLCVGSKSSNGEECGTPRNPDNLEEFLGTEIIEEQWAIPVAGTAFNKFFQKDKDDFEVGRVESFDDIEAIDKAYVVSPDPVFPPEILGAELRPFNITKFFHHKRPDDSKERSGLRQEPKKALFLRCIDKAEETFNDHQFKVEDQLTRQKLDEKSVKEKYDKFPTRGAVMEYISCGMAFWDVPYSYSVKEMLIEPAMYGNTGARQTIPKGGKMEVALGLDSLRCNGLDDLLVNEPKMDRYVIGLEHLHLMGCAIQASYFIVRSMDLGRTGVNYIHFKLDGGNMLSMVLCLHTKSEFAYALSVIFPSSVKELIEKELLAMKEGGKKKLRKKDLKKCAYMKGTNKVQVACVEGFGGKGLVRFETFYKDSVPQMEFLKWQLFKDYFASTVFDKFPQEQLFAMAEAKALTVAAVREEETTAAQCKTAVAQSLFPQPNGPAPASACTAVLGQLASDKTPGEEALEWNQVDELFTAAKRFTPVTALFDRFLRRGIPQQECLSHFNLSLKQRLEACGQLGPLALSRRRRQLEKSDCDSPKRAQLYATNAILNDLAGMDVM
+>sp|Q96CP6|GRM1A_HUMAN GRAM domain-containing protein 1A OS=Homo sapiens OX=9606 GN=GRAMD1A PE=1 SV=2
+MFDTTPHSGRSTPSSSPSLRKRLQLLPPSRPPPEPEPGTMVEKGSDSSSEKGGVPGTPSTQSLGSRNFIRNSKKMQSWYSMLSPTYKQRNEDFRKLFSKLPEAERLIVDYSCALQREILLQGRLYLSENWICFYSNIFRWETTISIQLKEVTCLKKEKTAKLIPNAIQICTESEKHFFTSFGARDRCFLLIFRLWQNALLEKTLSPRELWHLVHQCYGSELGLTSEDEDYVSPLQLNGLGTPKEVGDVIALSDITSSGAADRSQEPSPVGSRRGHVTPNLSRASSDADHGAEEDKEEQVDSQPDASSSQTVTPVAEPPSTEPTQPDGPTTLGPLDLLPSEELLTDTSNSSSSTGEEADLAALLPDLSGRLLINSVFHVGAERLQQMLFSDSPFLQGFLQQCKFTDVTLSPWSGDSKCHQRRVLTYTIPISNPLGPKSASVVETQTLFRRGPQAGGCVVDSEVLTQGIPYQDYFYTAHRYCILGLARNKARLRVSSEIRYRKQPWSLVKSLIEKNSWSGIEDYFHHLERELAKAEKLSLEEGGKDARGLLSGLRRRKRPLSWRAHGDGPQHPDPDPCARAGIHTSGSLSSRFSEPSVDQGPGAGIPSALVLISIVICVSLIILIALNVLLFYRLWSLERTAHTFESWHSLALAKGKFPQTATEWAEILALQKQFHSVEVHKWRQILRASVELLDEMKFSLEKLHQGITVSDPPFDTQPRPDDSFS
+>DECOY_sp|Q96CP6|GRM1A_HUMAN GRAM domain-containing protein 1A OS=Homo sapiens OX=9606 GN=GRAMD1A PE=1 SV=2
+SFSDDPRPQTDFPPDSVTIGQHLKELSFKMEDLLEVSARLIQRWKHVEVSHFQKQLALIEAWETATQPFKGKALALSHWSEFTHATRELSWLRYFLLVNLAILIILSVCIVISILVLASPIGAGPGQDVSPESFRSSLSGSTHIGARACPDPDPHQPGDGHARWSLPRKRRRLGSLLGRADKGGEELSLKEAKALERELHHFYDEIGSWSNKEILSKVLSWPQKRYRIESSVRLRAKNRALGLICYRHATYFYDQYPIGQTLVESDVVCGGAQPGRRFLTQTEVVSASKPGLPNSIPITYTLVRRQHCKSDGSWPSLTVDTFKCQQLFGQLFPSDSFLMQQLREAGVHFVSNILLRGSLDPLLAALDAEEGTSSSSNSTDTLLEESPLLDLPGLTTPGDPQTPETSPPEAVPTVTQSSSADPQSDVQEEKDEEAGHDADSSARSLNPTVHGRRSGVPSPEQSRDAAGSSTIDSLAIVDGVEKPTGLGNLQLPSVYDEDESTLGLESGYCQHVLHWLERPSLTKELLANQWLRFILLFCRDRAGFSTFFHKESETCIQIANPILKATKEKKLCTVEKLQISITTEWRFINSYFCIWNESLYLRGQLLIERQLACSYDVILREAEPLKSFLKRFDENRQKYTPSLMSYWSQMKKSNRIFNRSGLSQTSPTGPVGGKESSSDSGKEVMTGPEPEPPPRSPPLLQLRKRLSPSSSPTSRGSHPTTDFM
+>sp|Q8IYS0|GRM1C_HUMAN GRAM domain-containing protein 1C OS=Homo sapiens OX=9606 GN=GRAMD1C PE=1 SV=2
+MEGAPTVRQVMNEGDSSLATDLQEDVEENPSPTVEENNVVVKKQGPNLHNWSGDWSFWISSSTYKDRNEEYRRQFTHLPDTERLIADYACALQRDILLQGRLYLSENWLCFYSNIFRWETTISIALKNITFMTKEKTARLIPNAIQIVTESEKFFFTSFGARDRSYLSIFRLWQNVLLDKSLTRQEFWQLLQQNYGTELGLNAEEMENLSLSIEDVQPRSPGRSSLDDSGERDEKLSKSISFTSESISRVSETESFDGNSSKGGLGKEESQNEKQTKKSLLPTLEKKLTRVPSKSLDLNKNEYLSLDKSSTSDSVDEENVPEKDLHGRLFINRIFHISADRMFELLFTSSRFMQKFASSRNIIDVVSTPWTAELGGDQLRTMTYTIVLNSPLTGKCTAATEKQTLYKESREARFYLVDSEVLTHDVPYHDYFYTVNRYCIIRSSKQKCRLRVSTDLKYRKQPWGLVKSLIEKNSWSSLEDYFKQLESDLLIEESVLNQAIEDPGKLTGLRRRRRTFNRTAETVPKLSSQHSSGDVGLGAKGDITGKKKEMENYNVTLIVVMSIFVLLLVLLNVTLFLKLSKIEHAAQSFYRLRLQEEKSLNLASDMVSRAETIQKNKDQAHRLKGVLRDSIVMLEQLKSSLIMLQKTFDLLNKNKTGMAVES
+>DECOY_sp|Q8IYS0|GRM1C_HUMAN GRAM domain-containing protein 1C OS=Homo sapiens OX=9606 GN=GRAMD1C PE=1 SV=2
+SEVAMGTKNKNLLDFTKQLMILSSKLQELMVISDRLVGKLRHAQDKNKQITEARSVMDSALNLSKEEQLRLRYFSQAAHEIKSLKLFLTVNLLVLLLVFISMVVILTVNYNEMEKKKGTIDGKAGLGVDGSSHQSSLKPVTEATRNFTRRRRRLGTLKGPDEIAQNLVSEEILLDSELQKFYDELSSWSNKEILSKVLGWPQKRYKLDTSVRLRCKQKSSRIICYRNVTYFYDHYPVDHTLVESDVLYFRAERSEKYLTQKETAATCKGTLPSNLVITYTMTRLQDGGLEATWPTSVVDIINRSSAFKQMFRSSTFLLEFMRDASIHFIRNIFLRGHLDKEPVNEEDVSDSTSSKDLSLYENKNLDLSKSPVRTLKKELTPLLSKKTQKENQSEEKGLGGKSSNGDFSETESVRSISESTFSISKSLKEDREGSDDLSSRGPSRPQVDEISLSLNEMEEANLGLETGYNQQLLQWFEQRTLSKDLLVNQWLRFISLYSRDRAGFSTFFFKESETVIQIANPILRATKEKTMFTINKLAISITTEWRFINSYFCLWNESLYLRGQLLIDRQLACAYDAILRETDPLHTFQRRYEENRDKYTSSSIWFSWDGSWNHLNPGQKKVVVNNEEVTPSPNEEVDEQLDTALSSDGENMVQRVTPAGEM
+>sp|Q13255|GRM1_HUMAN Metabotropic glutamate receptor 1 OS=Homo sapiens OX=9606 GN=GRM1 PE=1 SV=3
+MVGLLLFFFPAIFLEVSLLPRSPGRKVLLAGASSQRSVARMDGDVIIGALFSVHHQPPAEKVPERKCGEIREQYGIQRVEAMFHTLDKINADPVLLPNITLGSEIRDSCWHSSVALEQSIEFIRDSLISIRDEKDGINRCLPDGQSLPPGRTKKPIAGVIGPGSSSVAIQVQNLLQLFDIPQIAYSATSIDLSDKTLYKYFLRVVPSDTLQARAMLDIVKRYNWTYVSAVHTEGNYGESGMDAFKELAAQEGLCIAHSDKIYSNAGEKSFDRLLRKLRERLPKARVVVCFCEGMTVRGLLSAMRRLGVVGEFSLIGSDGWADRDEVIEGYEVEANGGITIKLQSPEVRSFDDYFLKLRLDTNTRNPWFPEFWQHRFQCRLPGHLLENPNFKRICTGNESLEENYVQDSKMGFVINAIYAMAHGLQNMHHALCPGHVGLCDAMKPIDGSKLLDFLIKSSFIGVSGEEVWFDEKGDAPGRYDIMNLQYTEANRYDYVHVGTWHEGVLNIDDYKIQMNKSGVVRSVCSEPCLKGQIKVIRKGEVSCCWICTACKENEYVQDEFTCKACDLGWWPNADLTGCEPIPVRYLEWSNIESIIAIAFSCLGILVTLFVTLIFVLYRDTPVVKSSSRELCYIILAGIFLGYVCPFTLIAKPTTTSCYLQRLLVGLSSAMCYSALVTKTNRIARILAGSKKKICTRKPRFMSAWAQVIIASILISVQLTLVVTLIIMEPPMPILSYPSIKEVYLICNTSNLGVVAPLGYNGLLIMSCTYYAFKTRNVPANFNEAKYIAFTMYTTCIIWLAFVPIYFGSNYKIITTCFAVSLSVTVALGCMFTPKMYIIIAKPERNVRSAFTTSDVVRMHVGDGKLPCRSNTFLNIFRRKKAGAGNANSNGKSVSWSEPGGGQVPKGQHMWHRLSVHVKTNETACNQTAVIKPLTKSYQGSGKSLTFSDTSTKTLYNVEEEEDAQPIRFSPPGSPSMVVHRRVPSAATTPPLPSHLTAEETPLFLAEPALPKGLPPPLQQQQQPPPQQKSLMDQLQGVVSNFSTAIPDFHAVLAGPGGPGNGLRSLYPPPPPPQHLQMLPLQLSTFGEELVSPPADDDDDSERFKLLQEYVYEHEREGNTEEDELEEEEEDLQAASKLTPDDSPALTPPSPFRDSVASGSSVPSSPVSESVLCTPPNVSYASVILRDYKQSSSTL
+>DECOY_sp|Q13255|GRM1_HUMAN Metabotropic glutamate receptor 1 OS=Homo sapiens OX=9606 GN=GRM1 PE=1 SV=3
+LTSSSQKYDRLIVSAYSVNPPTCLVSESVPSSPVSSGSAVSDRFPSPPTLAPSDDPTLKSAAQLDEEEEELEDEETNGEREHEYVYEQLLKFRESDDDDDAPPSVLEEGFTSLQLPLMQLHQPPPPPPYLSRLGNGPGGPGALVAHFDPIATSFNSVVGQLQDMLSKQQPPPQQQQQLPPPLGKPLAPEALFLPTEEATLHSPLPPTTAASPVRRHVVMSPSGPPSFRIPQADEEEEVNYLTKTSTDSFTLSKGSGQYSKTLPKIVATQNCATENTKVHVSLRHWMHQGKPVQGGGPESWSVSKGNSNANGAGAKKRRFINLFTNSRCPLKGDGVHMRVVDSTTFASRVNREPKAIIIYMKPTFMCGLAVTVSLSVAFCTTIIKYNSGFYIPVFALWIICTTYMTFAIYKAENFNAPVNRTKFAYYTCSMILLGNYGLPAVVGLNSTNCILYVEKISPYSLIPMPPEMIILTVVLTLQVSILISAIIVQAWASMFRPKRTCIKKKSGALIRAIRNTKTVLASYCMASSLGVLLRQLYCSTTTPKAILTFPCVYGLFIGALIIYCLERSSSKVVPTDRYLVFILTVFLTVLIGLCSFAIAIISEINSWELYRVPIPECGTLDANPWWGLDCAKCTFEDQVYENEKCATCIWCCSVEGKRIVKIQGKLCPESCVSRVVGSKNMQIKYDDINLVGEHWTGVHVYDYRNAETYQLNMIDYRGPADGKEDFWVEEGSVGIFSSKILFDLLKSGDIPKMADCLGVHGPCLAHHMNQLGHAMAYIANIVFGMKSDQVYNEELSENGTCIRKFNPNELLHGPLRCQFRHQWFEPFWPNRTNTDLRLKLFYDDFSRVEPSQLKITIGGNAEVEYGEIVEDRDAWGDSGILSFEGVVGLRRMASLLGRVTMGECFCVVVRAKPLRERLKRLLRDFSKEGANSYIKDSHAICLGEQAALEKFADMGSEGYNGETHVASVYTWNYRKVIDLMARAQLTDSPVVRLFYKYLTKDSLDISTASYAIQPIDFLQLLNQVQIAVSSSGPGIVGAIPKKTRGPPLSQGDPLCRNIGDKEDRISILSDRIFEISQELAVSSHWCSDRIESGLTINPLLVPDANIKDLTHFMAEVRQIGYQERIEGCKREPVKEAPPQHHVSFLAGIIVDGDMRAVSRQSSAGALLVKRGPSRPLLSVELFIAPFFFLLLGVM
+>sp|Q14416|GRM2_HUMAN Metabotropic glutamate receptor 2 OS=Homo sapiens OX=9606 GN=GRM2 PE=1 SV=2
+MGSLLALLALLLLWGAVAEGPAKKVLTLEGDLVLGGLFPVHQKGGPAEDCGPVNEHRGIQRLEAMLFALDRINRDPHLLPGVRLGAHILDSCSKDTHALEQALDFVRASLSRGADGSRHICPDGSYATHGDAPTAITGVIGGSYSDVSIQVANLLRLFQIPQISYASTSAKLSDKSRYDYFARTVPPDFFQAKAMAEILRFFNWTYVSTVASEGDYGETGIEAFELEARARNICVATSEKVGRAMSRAAFEGVVRALLQKPSARVAVLFTRSEDARELLAASQRLNASFTWVASDGWGALESVVAGSEGAAEGAITIELASYPISDFASYFQSLDPWNNSRNPWFREFWEQRFRCSFRQRDCAAHSLRAVPFEQESKIMFVVNAVYAMAHALHNMHRALCPNTTRLCDAMRPVNGRRLYKDFVLNVKFDAPFRPADTHNEVRFDRFGDGIGRYNIFTYLRAGSGRYRYQKVGYWAEGLTLDTSLIPWASPSAGPLPASRCSEPCLQNEVKSVQPGEVCCWLCIPCQPYEYRLDEFTCADCGLGYWPNASLTGCFELPQEYIRWGDAWAVGPVTIACLGALATLFVLGVFVRHNATPVVKASGRELCYILLGGVFLCYCMTFIFIAKPSTAVCTLRRLGLGTAFSVCYSALLTKTNRIARIFGGAREGAQRPRFISPASQVAICLALISGQLLIVVAWLVVEAPGTGKETAPERREVVTLRCNHRDASMLGSLAYNVLLIALCTLYAFKTRKCPENFNEAKFIGFTMYTTCIIWLAFLPIFYVTSSDYRVQTTTMCVSVSLSGSVVLGCLFAPKLHIILFQPQKNVVSHRAPTSRFGSAAARASSSLGQGSGSQFVPTVCNGREVVDSTTSSL
+>DECOY_sp|Q14416|GRM2_HUMAN Metabotropic glutamate receptor 2 OS=Homo sapiens OX=9606 GN=GRM2 PE=1 SV=2
+LSSTTSDVVERGNCVTPVFQSGSGQGLSSSARAAASGFRSTPARHSVVNKQPQFLIIHLKPAFLCGLVVSGSLSVSVCMTTTQVRYDSSTVYFIPLFALWIICTTYMTFGIFKAENFNEPCKRTKFAYLTCLAILLVNYALSGLMSADRHNCRLTVVERREPATEKGTGPAEVVLWAVVILLQGSILALCIAVQSAPSIFRPRQAGERAGGFIRAIRNTKTLLASYCVSFATGLGLRRLTCVATSPKAIFIFTMCYCLFVGGLLIYCLERGSAKVVPTANHRVFVGLVFLTALAGLCAITVPGVAWADGWRIYEQPLEFCGTLSANPWYGLGCDACTFEDLRYEYPQCPICLWCCVEGPQVSKVENQLCPESCRSAPLPGASPSAWPILSTDLTLGEAWYGVKQYRYRGSGARLYTFINYRGIGDGFRDFRVENHTDAPRFPADFKVNLVFDKYLRRGNVPRMADCLRTTNPCLARHMNHLAHAMAYVANVVFMIKSEQEFPVARLSHAACDRQRFSCRFRQEWFERFWPNRSNNWPDLSQFYSAFDSIPYSALEITIAGEAAGESGAVVSELAGWGDSAVWTFSANLRQSAALLERADESRTFLVAVRASPKQLLARVVGEFAARSMARGVKESTAVCINRARAELEFAEIGTEGYDGESAVTSVYTWNFFRLIEAMAKAQFFDPPVTRAFYDYRSKDSLKASTSAYSIQPIQFLRLLNAVQISVDSYSGGIVGTIATPADGHTAYSGDPCIHRSGDAGRSLSARVFDLAQELAHTDKSCSDLIHAGLRVGPLLHPDRNIRDLAFLMAELRQIGRHENVPGCDEAPGGKQHVPFLGGLVLDGELTLVKKAPGEAVAGWLLLLALLALLSGM
+>sp|Q14833|GRM4_HUMAN Metabotropic glutamate receptor 4 OS=Homo sapiens OX=9606 GN=GRM4 PE=2 SV=1
+MPGKRGLGWWWARLPLCLLLSLYGPWMPSSLGKPKGHPHMNSIRIDGDITLGGLFPVHGRGSEGKPCGELKKEKGIHRLEAMLFALDRINNDPDLLPNITLGARILDTCSRDTHALEQSLTFVQALIEKDGTEVRCGSGGPPIITKPERVVGVIGASGSSVSIMVANILRLFKIPQISYASTAPDLSDNSRYDFFSRVVPSDTYQAQAMVDIVRALKWNYVSTVASEGSYGESGVEAFIQKSREDGGVCIAQSVKIPREPKAGEFDKIIRRLLETSNARAVIIFANEDDIRRVLEAARRANQTGHFFWMGSDSWGSKIAPVLHLEEVAEGAVTILPKRMSVRGFDRYFSSRTLDNNRRNIWFAEFWEDNFHCKLSRHALKKGSHVKKCTNRERIGQDSAYEQEGKVQFVIDAVYAMGHALHAMHRDLCPGRVGLCPRMDPVDGTQLLKYIRNVNFSGIAGNPVTFNENGDAPGRYDIYQYQLRNDSAEYKVIGSWTDHLHLRIERMHWPGSGQQLPRSICSLPCQPGERKKTVKGMPCCWHCEPCTGYQYQVDRYTCKTCPYDMRPTENRTGCRPIPIIKLEWGSPWAVLPLFLAVVGIAATLFVVITFVRYNDTPIVKASGRELSYVLLAGIFLCYATTFLMIAEPDLGTCSLRRIFLGLGMSISYAALLTKTNRIYRIFEQGKRSVSAPRFISPASQLAITFSLISLQLLGICVWFVVDPSHSVVDFQDQRTLDPRFARGVLKCDISDLSLICLLGYSMLLMVTCTVYAIKTRGVPETFNEAKPIGFTMYTTCIVWLAFIPIFFGTSQSADKLYIQTTTLTVSVSLSASVSLGMLYMPKVYIILFHPEQNVPKRKRSLKAVVTAATMSNKFTQKGNFRPNGEAKSELCENLEAPALATKQTYVTYTNHAI
+>DECOY_sp|Q14833|GRM4_HUMAN Metabotropic glutamate receptor 4 OS=Homo sapiens OX=9606 GN=GRM4 PE=2 SV=1
+IAHNTYTVYTQKTALAPAELNECLESKAEGNPRFNGKQTFKNSMTAATVVAKLSRKRKPVNQEPHFLIIYVKPMYLMGLSVSASLSVSVTLTTTQIYLKDASQSTGFFIPIFALWVICTTYMTFGIPKAENFTEPVGRTKIAYVTCTVMLLMSYGLLCILSLDSIDCKLVGRAFRPDLTRQDQFDVVSHSPDVVFWVCIGLLQLSILSFTIALQSAPSIFRPASVSRKGQEFIRYIRNTKTLLAAYSISMGLGLFIRRLSCTGLDPEAIMLFTTAYCLFIGALLVYSLERGSAKVIPTDNYRVFTIVVFLTAAIGVVALFLPLVAWPSGWELKIIPIPRCGTRNETPRMDYPCTKCTYRDVQYQYGTCPECHWCCPMGKVTKKREGPQCPLSCISRPLQQGSGPWHMREIRLHLHDTWSGIVKYEASDNRLQYQYIDYRGPADGNENFTVPNGAIGSFNVNRIYKLLQTGDVPDMRPCLGVRGPCLDRHMAHLAHGMAYVADIVFQVKGEQEYASDQGIRERNTCKKVHSGKKLAHRSLKCHFNDEWFEAFWINRRNNDLTRSSFYRDFGRVSMRKPLITVAGEAVEELHLVPAIKSGWSDSGMWFFHGTQNARRAAELVRRIDDENAFIIVARANSTELLRRIIKDFEGAKPERPIKVSQAICVGGDERSKQIFAEVGSEGYSGESAVTSVYNWKLARVIDVMAQAQYTDSPVVRSFFDYRSNDSLDPATSAYSIQPIKFLRLINAVMISVSSGSAGIVGVVREPKTIIPPGGSGCRVETGDKEILAQVFTLSQELAHTDRSCTDLIRAGLTINPLLDPDNNIRDLAFLMAELRHIGKEKKLEGCPKGESGRGHVPFLGGLTIDGDIRISNMHPHGKPKGLSSPMWPGYLSLLLCLPLRAWWWGLGRKGPM
+>sp|P41594|GRM5_HUMAN Metabotropic glutamate receptor 5 OS=Homo sapiens OX=9606 GN=GRM5 PE=1 SV=2
+MVLLLILSVLLLKEDVRGSAQSSERRVVAHMPGDIIIGALFSVHHQPTVDKVHERKCGAVREQYGIQRVEAMLHTLERINSDPTLLPNITLGCEIRDSCWHSAVALEQSIEFIRDSLISSEEEEGLVRCVDGSSSSFRSKKPIVGVIGPGSSSVAIQVQNLLQLFNIPQIAYSATSMDLSDKTLFKYFMRVVPSDAQQARAMVDIVKRYNWTYVSAVHTEGNYGESGMEAFKDMSAKEGICIAHSYKIYSNAGEQSFDKLLKKLTSHLPKARVVACFCEGMTVRGLLMAMRRLGLAGEFLLLGSDGWADRYDVTDGYQREAVGGITIKLQSPDVKWFDDYYLKLRPETNHRNPWFQEFWQHRFQCRLEGFPQENSKYNKTCNSSLTLKTHHVQDSKMGFVINAIYSMAYGLHNMQMSLCPGYAGLCDAMKPIDGRKLLESLMKTNFTGVSGDTILFDENGDSPGRYEIMNFKEMGKDYFDYINVGSWDNGELKMDDDEVWSKKSNIIRSVCSEPCEKGQIKVIRKGEVSCCWTCTPCKENEYVFDEYTCKACQLGSWPTDDLTGCDLIPVQYLRWGDPEPIAAVVFACLGLLATLFVTVVFIIYRDTPVVKSSSRELCYIILAGICLGYLCTFCLIAKPKQIYCYLQRIGIGLSPAMSYSALVTKTNRIARILAGSKKKICTKKPRFMSACAQLVIAFILICIQLGIIVALFIMEPPDIMHDYPSIREVYLICNTTNLGVVTPLGYNGLLILSCTFYAFKTRNVPANFNEAKYIAFTMYTTCIIWLAFVPIYFGSNYKIITMCFSVSLSATVALGCMFVPKVYIILAKPERNVRSAFTTSTVVRMHVGDGKSSSAASRSSSLVNLWKRRGSSGETLRYKDRRLAQHKSEIECFTPKGSMGNGGRATMSSSNGKSVTWAQNEKSSRGQHLWQRLSIHINKKENPNQTAVIKPFPKSTESRGLGAGAGAGGSAGGVGATGGAGCAGAGPGGPESPDAGPKALYDVAEAEEHFPAPARPRSPSPISTLSHRAGSASRTDDDVPSLHSEPVARSSSSQGSLMEQISSVVTRFTANISELNSMMLSTAAPSPGVGAPLCSSYLIPKEIQLPTTMTTFAEIQPLPAIEVTGGAQPAAGAQAAGDAARESPAAGPEAAAAKPDLEELVALTPPSPFRDSVDSGSTTPNSPVSESALCIPSSPKYDTLIIRDYTQSSSSL
+>DECOY_sp|P41594|GRM5_HUMAN Metabotropic glutamate receptor 5 OS=Homo sapiens OX=9606 GN=GRM5 PE=1 SV=2
+LSSSSQTYDRIILTDYKPSSPICLASESVPSNPTTSGSDVSDRFPSPPTLAVLEELDPKAAAAEPGAAPSERAADGAAQAGAAPQAGGTVEIAPLPQIEAFTTMTTPLQIEKPILYSSCLPAGVGPSPAATSLMMSNLESINATFRTVVSSIQEMLSGQSSSSRAVPESHLSPVDDDTRSASGARHSLTSIPSPSRPRAPAPFHEEAEAVDYLAKPGADPSEPGGPGAGACGAGGTAGVGGASGGAGAGAGLGRSETSKPFPKIVATQNPNEKKNIHISLRQWLHQGRSSKENQAWTVSKGNSSSMTARGGNGMSGKPTFCEIESKHQALRRDKYRLTEGSSGRRKWLNVLSSSRSAASSSKGDGVHMRVVTSTTFASRVNREPKALIIYVKPVFMCGLAVTASLSVSFCMTIIKYNSGFYIPVFALWIICTTYMTFAIYKAENFNAPVNRTKFAYFTCSLILLGNYGLPTVVGLNTTNCILYVERISPYDHMIDPPEMIFLAVIIGLQICILIFAIVLQACASMFRPKKTCIKKKSGALIRAIRNTKTVLASYSMAPSLGIGIRQLYCYIQKPKAILCFTCLYGLCIGALIIYCLERSSSKVVPTDRYIIFVVTVFLTALLGLCAFVVAAIPEPDGWRLYQVPILDCGTLDDTPWSGLQCAKCTYEDFVYENEKCPTCTWCCSVEGKRIVKIQGKECPESCVSRIINSKKSWVEDDDMKLEGNDWSGVNIYDFYDKGMEKFNMIEYRGPSDGNEDFLITDGSVGTFNTKMLSELLKRGDIPKMADCLGAYGPCLSMQMNHLGYAMSYIANIVFGMKSDQVHHTKLTLSSNCTKNYKSNEQPFGELRCQFRHQWFEQFWPNRHNTEPRLKLYYDDFWKVDPSQLKITIGGVAERQYGDTVDYRDAWGDSGLLLFEGALGLRRMAMLLGRVTMGECFCAVVRAKPLHSTLKKLLKDFSQEGANSYIKYSHAICIGEKASMDKFAEMGSEGYNGETHVASVYTWNYRKVIDVMARAQQADSPVVRMFYKFLTKDSLDMSTASYAIQPINFLQLLNQVQIAVSSSGPGIVGVIPKKSRFSSSSGDVCRVLGEEEESSILSDRIFEISQELAVASHWCSDRIECGLTINPLLTPDSNIRELTHLMAEVRQIGYQERVAGCKREHVKDVTPQHHVSFLAGIIIDGPMHAVVRRESSQASGRVDEKLLLVSLILLLVM
+>sp|O15303|GRM6_HUMAN Metabotropic glutamate receptor 6 OS=Homo sapiens OX=9606 GN=GRM6 PE=1 SV=2
+MARPRRAREPLLVALLPLAWLAQAGLARAAGSVRLAGGLTLGGLFPVHARGAAGRACGQLKKEQGVHRLEAMLYALDRVNADPELLPGVRLGARLLDTCSRDTYALEQALSFVQALIRGRGDGDEVGVRCPGGVPPLRPAPPERVVAVVGASASSVSIMVANVLRLFAIPQISYASTAPELSDSTRYDFFSRVVPPDSYQAQAMVDIVRALGWNYVSTLASEGNYGESGVEAFVQISREAGGVCIAQSIKIPREPKPGEFSKVIRRLMETPNARGIIIFANEDDIRRVLEAARQANLTGHFLWVGSDSWGAKTSPILSLEDVAVGAITILPKRASIDGFDQYFMTRSLENNRRNIWFAEFWEENFNCKLTSSGTQSDDSTRKCTGEERIGRDSTYEQEGKVQFVIDAVYAIAHALHSMHQALCPGHTGLCPAMEPTDGRMLLQYIRAVRFNGSAGTPVMFNENGDAPGRYDIFQYQATNGSASSGGYQAVGQWAETLRLDVEALQWSGDPHEVPSSLCSLPCGPGERKKMVKGVPCCWHCEACDGYRFQVDEFTCEACPGDMRPTPNHTGCRPTPVVRLSWSSPWAAPPLLLAVLGIVATTTVVATFVRYNNTPIVRASGRELSYVLLTGIFLIYAITFLMVAEPGAAVCAARRLFLGLGTTLSYSALLTKTNRIYRIFEQGKRSVTPPPFISPTSQLVITFSLTSLQVVGMIAWLGARPPHSVIDYEEQRTVDPEQARGVLKCDMSDLSLIGCLGYSLLLMVTCTVYAIKARGVPETFNEAKPIGFTMYTTCIIWLAFVPIFFGTAQSAEKIYIQTTTLTVSLSLSASVSLGMLYVPKTYVILFHPEQNVQKRKRSLKATSTVAAPPKGEDAEAHK
+>DECOY_sp|O15303|GRM6_HUMAN Metabotropic glutamate receptor 6 OS=Homo sapiens OX=9606 GN=GRM6 PE=1 SV=2
+KHAEADEGKPPAAVTSTAKLSRKRKQVNQEPHFLIVYTKPVYLMGLSVSASLSLSVTLTTTQIYIKEASQATGFFIPVFALWIICTTYMTFGIPKAENFTEPVGRAKIAYVTCTVMLLLSYGLCGILSLDSMDCKLVGRAQEPDVTRQEEYDIVSHPPRAGLWAIMGVVQLSTLSFTIVLQSTPSIFPPPTVSRKGQEFIRYIRNTKTLLASYSLTTGLGLFLRRAACVAAGPEAVMLFTIAYILFIGTLLVYSLERGSARVIPTNNYRVFTAVVTTTAVIGLVALLLPPAAWPSSWSLRVVPTPRCGTHNPTPRMDGPCAECTFEDVQFRYGDCAECHWCCPVGKVMKKREGPGCPLSCLSSPVEHPDGSWQLAEVDLRLTEAWQGVAQYGGSSASGNTAQYQFIDYRGPADGNENFMVPTGASGNFRVARIYQLLMRGDTPEMAPCLGTHGPCLAQHMSHLAHAIAYVADIVFQVKGEQEYTSDRGIREEGTCKRTSDDSQTGSSTLKCNFNEEWFEAFWINRRNNELSRTMFYQDFGDISARKPLITIAGVAVDELSLIPSTKAGWSDSGVWLFHGTLNAQRAAELVRRIDDENAFIIIGRANPTEMLRRIVKSFEGPKPERPIKISQAICVGGAERSIQVFAEVGSEGYNGESALTSVYNWGLARVIDVMAQAQYSDPPVVRSFFDYRTSDSLEPATSAYSIQPIAFLRLVNAVMISVSSASAGVVAVVREPPAPRLPPVGGPCRVGVEDGDGRGRILAQVFSLAQELAYTDRSCTDLLRAGLRVGPLLEPDANVRDLAYLMAELRHVGQEKKLQGCARGAAGRAHVPFLGGLTLGGALRVSGAARALGAQALWALPLLAVLLPERARRPRAM
+>sp|Q9HAV7|GRPE1_HUMAN GrpE protein homolog 1, mitochondrial OS=Homo sapiens OX=9606 GN=GRPEL1 PE=1 SV=2
+MAAQCVRLARRSLPALALSLRPSPRLLCTATKQKNSGQNLEEDMGQSEQKADPPATEKTLLEEKVKLEEQLKETVEKYKRALADTENLRQRSQKLVEEAKLYGIQAFCKDLLEVADVLEKATQCVPKEEIKDDNPHLKNLYEGLVMTEVQIQKVFTKHGLLKLNPVGAKFDPYEHEALFHTPVEGKEPGTVALVSKVGYKLHGRTLRPALVGVVKEA
+>DECOY_sp|Q9HAV7|GRPE1_HUMAN GrpE protein homolog 1, mitochondrial OS=Homo sapiens OX=9606 GN=GRPEL1 PE=1 SV=2
+AEKVVGVLAPRLTRGHLKYGVKSVLAVTGPEKGEVPTHFLAEHEYPDFKAGVPNLKLLGHKTFVKQIQVETMVLGEYLNKLHPNDDKIEEKPVCQTAKELVDAVELLDKCFAQIGYLKAEEVLKQSRQRLNETDALARKYKEVTEKLQEELKVKEELLTKETAPPDAKQESQGMDEELNQGSNKQKTATCLLRPSPRLSLALAPLSRRALRVCQAAM
+>sp|Q8TAA5|GRPE2_HUMAN GrpE protein homolog 2, mitochondrial OS=Homo sapiens OX=9606 GN=GRPEL2 PE=1 SV=1
+MAVRSLWAGRLRVQRLLAWSAAWESKGWPLPFSTATQRTAGEDCRSEDPPDELGPPLAERALRVKAVKLEKEVQDLTVRYQRAIADCENIRRRTQRCVEDAKIFGIQSFCKDLVEVADILEKTTECISEESEPEDQKLTLEKVFRGLLLLEAKLKSVFAKHGLEKLTPIGDKYDPHEHELICHVPAGVGVQPGTVALVRQDGYKLHGRTIRLARVEVAVESQRRL
+>DECOY_sp|Q8TAA5|GRPE2_HUMAN GrpE protein homolog 2, mitochondrial OS=Homo sapiens OX=9606 GN=GRPEL2 PE=1 SV=1
+LRRQSEVAVEVRALRITRGHLKYGDQRVLAVTGPQVGVGAPVHCILEHEHPDYKDGIPTLKELGHKAFVSKLKAELLLLGRFVKELTLKQDEPESEESICETTKELIDAVEVLDKCFSQIGFIKADEVCRQTRRRINECDAIARQYRVTLDQVEKELKVAKVRLAREALPPGLEDPPDESRCDEGATRQTATSFPLPWGKSEWAASWALLRQVRLRGAWLSRVAM
+>sp|P30550|GRPR_HUMAN Gastrin-releasing peptide receptor OS=Homo sapiens OX=9606 GN=GRPR PE=2 SV=1
+MALNDCFLLNLEVDHFMHCNISSHSADLPVNDDWSHPGILYVIPAVYGVIILIGLIGNITLIKIFCTVKSMRNVPNLFISSLALGDLLLLITCAPVDASRYLADRWLFGRIGCKLIPFIQLTSVGVSVFTLTALSADRYKAIVRPMDIQASHALMKICLKAAFIWIISMLLAIPEAVFSDLHPFHEESTNQTFISCAPYPHSNELHPKIHSMASFLVFYVIPLSIISVYYYFIAKNLIQSAYNLPVEGNIHVKKQIESRKRLAKTVLVFVGLFAFCWLPNHVIYLYRSYHYSEVDTSMLHFVTSICARLLAFTNSCVNPFALYLLSKSFRKQFNTQLLCCQPGLIIRSHSTGRSTTCMTSLKSTNPSVATFSLINGNICHERYV
+>DECOY_sp|P30550|GRPR_HUMAN Gastrin-releasing peptide receptor OS=Homo sapiens OX=9606 GN=GRPR PE=2 SV=1
+VYREHCINGNILSFTAVSPNTSKLSTMCTTSRGTSHSRIILGPQCCLLQTNFQKRFSKSLLYLAFPNVCSNTFALLRACISTVFHLMSTDVESYHYSRYLYIVHNPLWCFAFLGVFVLVTKALRKRSEIQKKVHINGEVPLNYASQILNKAIFYYYVSIISLPIVYFVLFSAMSHIKPHLENSHPYPACSIFTQNTSEEHFPHLDSFVAEPIALLMSIIWIFAAKLCIKMLAHSAQIDMPRVIAKYRDASLATLTFVSVGVSTLQIFPILKCGIRGFLWRDALYRSADVPACTILLLLDGLALSSIFLNPVNRMSKVTCFIKILTINGILGILIIVGYVAPIVYLIGPHSWDDNVPLDASHSSINCHMFHDVELNLLFCDNLAM
+>sp|A8MUP6|GS1L2_HUMAN Germ cell-specific gene 1-like protein 2 OS=Homo sapiens OX=9606 GN=GSG1L2 PE=3 SV=3
+MDRAKQQQALLLLPVCLALTFSLTAVVSSHWCEGTRRVVKPLCQDQPGGQHCIHFKRDNSSNGRMDNNSQAVLYIWELGDDKFIQRGFHVGLWQSCEESLNGEDEKCRSFRSVVPAEEQGVLWLSIGGEVLDIVLILTSAILLGSRVSCRSPGFHWLRVDALVAIFMVLAGLLGMVAHMMYTTIFQITVNLGPEDWKPQTWDYGWSYCLAWGSFALCLAVSVSAMSRFTAARLEFTEKQQAQNGSRHSQHSFLEPEASESIWKTGAAPCPAEQAFRNVSGHLPPGAPGKVSIC
+>DECOY_sp|A8MUP6|GS1L2_HUMAN Germ cell-specific gene 1-like protein 2 OS=Homo sapiens OX=9606 GN=GSG1L2 PE=3 SV=3
+CISVKGPAGPPLHGSVNRFAQEAPCPAAGTKWISESAEPELFSHQSHRSGNQAQQKETFELRAATFRSMASVSVALCLAFSGWALCYSWGYDWTQPKWDEPGLNVTIQFITTYMMHAVMGLLGALVMFIAVLADVRLWHFGPSRCSVRSGLLIASTLILVIDLVEGGISLWLVGQEEAPVVSRFSRCKEDEGNLSEECSQWLGVHFGRQIFKDDGLEWIYLVAQSNNDMRGNSSNDRKFHICHQGGPQDQCLPKVVRRTGECWHSSVVATLSFTLALCVPLLLLAQQQKARDM
+>sp|O15499|GSC2_HUMAN Homeobox protein goosecoid-2 OS=Homo sapiens OX=9606 GN=GSC2 PE=2 SV=1
+MAAAAGGAASRRGAGRPCPFSIEHILSSLPERSLPARAACPPQPAGRQSPAKPEEPGAPEAAPCACCCCCGPRAAPCGPPEAAAGLGARLAWPLRLGPAVPLSLGAPAGGSGALPGAVGPGSQRRTRRHRTIFSEEQLQALEALFVQNQYPDVSTRERLAGRIRLREERVEVWFKNRRAKWRHQKRASASARLLPGVKKSPKGSC
+>DECOY_sp|O15499|GSC2_HUMAN Homeobox protein goosecoid-2 OS=Homo sapiens OX=9606 GN=GSC2 PE=2 SV=1
+CSGKPSKKVGPLLRASASARKQHRWKARRNKFWVEVREERLRIRGALRERTSVDPYQNQVFLAELAQLQEESFITRHRRTRRQSGPGVAGPLAGSGGAPAGLSLPVAPGLRLPWALRAGLGAAAEPPGCPAARPGCCCCCACPAAEPAGPEEPKAPSQRGAPQPPCAARAPLSREPLSSLIHEISFPCPRGAGRRSAAGGAAAAM
+>sp|Q14687|GSE1_HUMAN Genetic suppressor element 1 OS=Homo sapiens OX=9606 GN=GSE1 PE=1 SV=3
+MKGMSHEPKSPSLGMLSTATRTTATVNPLTPSPLNGALVPSGSPATSSALSAQAAPSSSFAAALRKLAKQAEEPRGSSLSSESSPVSSPATNHSSPASTPKRVPMGPIIVPPGGHSVPSTPPVVTIAPTKTVNGVWRSESRQDAGSRSSSGGRERLIVEPPLPQEKAGGPAIPSHLLSTPYPFGLSPSSVVQDSRFPPLNLQRPVHHVVPPSTVTEDYLRSFRPYHTTDDLRMSSLPPLGLDPATAAAYYHPSYLAPHPFPHPAFRMDDSYCLSALRSPFYPIPTPGSLPPLHPSAMHLHLSGVRYPPELSHSSLAALHSERMSGLSAERLQMDEELRREREREREREREREADREREKEREREREKEREQEKEREREKERERELERQREQRAREKELLAAKALEPSFLPVAELHGLRGHATEERGKPSEQLTPTRAEKLKDAGLQAPKPVQHPLHPVPTPHHTVPSLISNHGIFSLPSSSAATALLIQRTNEEEKWLARQRRLRQEKEDRQSQVSEFRQQVLEQHLDMGRPPVPAEAEHRPESTTRPGPNRHEPGGRDPPQHFGGPPPLISPKPQLHAAPTALWNPVSLMDNTLETRRAESHSLHSHPAAFEPSRQAAVPLVKVERVFCPEKAEEGPRKREPAPLDKYQPPPPPPREGGSLEHQPFLPGPGPFLAELEKSTQTILGQQRASLPQAATFGELSGPLKPGSPYRPPVPRAPDPAYIYDEFLQQRRRLVSKLDLEERRRREAQEKGYYYDLDDSYDESDEEEVRAHLRCVAEQPPLKLDTSSEKLEFLQLFGLTTQQQKEELVAQKRRKRRRMLRERSPSPPTIQSKRQTPSPRLALSTRYSPDEMNNSPNFEEKKKFLTIFNLTHISAEKRKDKERLVEMLRAMKQKALSAAVADSLTNSPRDSPAVSLSEPATQQASLDVEKPVGVAASLSDIPKAAEPGKLEQVRPQELSRVQELAPASGEKARLSEAPGGKKSLSMLHYIRGAAPKDIPVPLSHSTNGKSKPWEPFVAEEFAHQFHESVLQSTQKALQKHKGSVAVLSAEQNHKVDTSVHYNIPELQSSSRAPPPQHNGQQEPPTARKGPPTQELDRDSEEEEEEDDEDGEDEEEVPKRKWQGIEAVFEAYQEHIEEQNLERQVLQTQCRRLEARHYSLSLTAEQLSHSVAELRSQKQKMVSERERLQAELDHLRKCLALPAMHWPRGYLKGYPR
+>DECOY_sp|Q14687|GSE1_HUMAN Genetic suppressor element 1 OS=Homo sapiens OX=9606 GN=GSE1 PE=1 SV=3
+RPYGKLYGRPWHMAPLALCKRLHDLEAQLRERESVMKQKQSRLEAVSHSLQEATLSLSYHRAELRRCQTQLVQRELNQEEIHEQYAEFVAEIGQWKRKPVEEEDEGDEDDEEEEEESDRDLEQTPPGKRATPPEQQGNHQPPPARSSSQLEPINYHVSTDVKHNQEASLVAVSGKHKQLAKQTSQLVSEHFQHAFEEAVFPEWPKSKGNTSHSLPVPIDKPAAGRIYHLMSLSKKGGPAESLRAKEGSAPALEQVRSLEQPRVQELKGPEAAKPIDSLSAAVGVPKEVDLSAQQTAPESLSVAPSDRPSNTLSDAVAASLAKQKMARLMEVLREKDKRKEASIHTLNFITLFKKKEEFNPSNNMEDPSYRTSLALRPSPTQRKSQITPPSPSRERLMRRRKRRKQAVLEEKQQQTTLGFLQLFELKESSTDLKLPPQEAVCRLHARVEEEDSEDYSDDLDYYYGKEQAERRRREELDLKSVLRRRQQLFEDYIYAPDPARPVPPRYPSGPKLPGSLEGFTAAQPLSARQQGLITQTSKELEALFPGPGPLFPQHELSGGERPPPPPPQYKDLPAPERKRPGEEAKEPCFVREVKVLPVAAQRSPEFAAPHSHLSHSEARRTELTNDMLSVPNWLATPAAHLQPKPSILPPPGGFHQPPDRGGPEHRNPGPRTTSEPRHEAEAPVPPRGMDLHQELVQQRFESVQSQRDEKEQRLRRQRALWKEEENTRQILLATAASSSPLSFIGHNSILSPVTHHPTPVPHLPHQVPKPAQLGADKLKEARTPTLQESPKGREETAHGRLGHLEAVPLFSPELAKAALLEKERARQERQRELEREREKEREREKEQEREKEREREREKERERDAERERERERERERERRLEEDMQLREASLGSMRESHLAALSSHSLEPPYRVGSLHLHMASPHLPPLSGPTPIPYFPSRLASLCYSDDMRFAPHPFPHPALYSPHYYAAATAPDLGLPPLSSMRLDDTTHYPRFSRLYDETVTSPPVVHHVPRQLNLPPFRSDQVVSSPSLGFPYPTSLLHSPIAPGGAKEQPLPPEVILRERGGSSSRSGADQRSESRWVGNVTKTPAITVVPPTSPVSHGGPPVIIPGMPVRKPTSAPSSHNTAPSSVPSSESSLSSGRPEEAQKALKRLAAAFSSSPAAQASLASSTAPSGSPVLAGNLPSPTLPNVTATTRTATSLMGLSPSKPEHSMGKM
+>sp|P09210|GSTA2_HUMAN Glutathione S-transferase A2 OS=Homo sapiens OX=9606 GN=GSTA2 PE=1 SV=4
+MAEKPKLHYSNIRGRMESIRWLLAAAGVEFEEKFIKSAEDLDKLRNDGYLMFQQVPMVEIDGMKLVQTRAILNYIASKYNLYGKDIKEKALIDMYIEGIADLGEMILLLPFSQPEEQDAKLALIQEKTKNRYFPAFEKVLKSHGQDYLVGNKLSRADIHLVELLYYVEELDSSLISSFPLLKALKTRISNLPTVKKFLQPGSPRKPPMDEKSLEESRKIFRF
+>DECOY_sp|P09210|GSTA2_HUMAN Glutathione S-transferase A2 OS=Homo sapiens OX=9606 GN=GSTA2 PE=1 SV=4
+FRFIKRSEELSKEDMPPKRPSGPQLFKKVTPLNSIRTKLAKLLPFSSILSSDLEEVYYLLEVLHIDARSLKNGVLYDQGHSKLVKEFAPFYRNKTKEQILALKADQEEPQSFPLLLIMEGLDAIGEIYMDILAKEKIDKGYLNYKSAIYNLIARTQVLKMGDIEVMPVQQFMLYGDNRLKDLDEASKIFKEEFEVGAAALLWRISEMRGRINSYHLKPKEAM
+>sp|Q6EKJ0|GTD2B_HUMAN General transcription factor II-I repeat domain-containing protein 2B OS=Homo sapiens OX=9606 GN=GTF2IRD2B PE=1 SV=1
+MAQVAVSTLPVEEESSSETRMVVTFLVSALESMCKELAKSKAEVACIAVYETDVFVVGTERGCAFVNARTDFQKDFAKYCVAEGLCEVKPPCPVNGMQVHSGETEILRKAVEDYFCFCYGKALGTTVMVPVPYEKMLRDQSAVVVQGLPEGVAFQHPENYDLATLKWILENKAGISFIINRPFLGPESQLGGPGMVTDAERSIVSPSESCGPINVKTEPMEDSGISLKAEAVSVKKESEDPNYYQYNMQGSHPSSTSNEVIEMELPMEDSTPLVPSEEPNEDPEAEVKIEGNTNSSSVTNSAAGVEDLNIVQVTVPDNEKERLSSIEKIKQLREQVNDLFSRKFGEAIGVDFPVKVPYRKITFNPGCVVIDGMPPGVVFKAPGYLEISSMRRILEAAEFIKFTVIRPLPGLELSNVGKRKIDQEGRVFQEKWERAYFFVEVQNIPTCLICKQSMSVSKEYNLRRHYQTNHSKHYDQYTERMRDEKLHELKKGLRKYLLGSSDTECPEQKQVFANPSPTQKSPVQPVEDLAGNLWEKLREKIRSFVAYSIAIDEITDINNTTQLAIFIRGVDENFDVSEELLDTVPMTGTKSGNEIFLRVEKSLKKFCINWSRLVSVASTGTPAMVDANNGLVTKLKSRVATFCKGAELKSICCIIHPESLCAQKLKMDHVMDVVVKSVNWICSRGLNHSEFTTLLYELDSQYGSLLYYTEIKWLSRGLVLKRFFESLEEIDSFMSSRGKPLPQLSSIDWIRDLAFLVDMTMHLNALNISLQGHSQIVTQMYDLIRAFLAKLCLWETHLTRNNLAHFPTLKLVSRNESDGLNYIPKIAELKTEFQKRLSDFKLYESELTLFSSPFSTKIDSVHEELQMEVIDLQCNTVLKTKYDKVGIPEFYKYLWGSYPKYKHHCAKILSMFGSTYICEQLFSIMKLSKTKYCSQLKDSQWDSVLHIAT
+>DECOY_sp|Q6EKJ0|GTD2B_HUMAN General transcription factor II-I repeat domain-containing protein 2B OS=Homo sapiens OX=9606 GN=GTF2IRD2B PE=1 SV=1
+TAIHLVSDWQSDKLQSCYKTKSLKMISFLQECIYTSGFMSLIKACHHKYKPYSGWLYKYFEPIGVKDYKTKLVTNCQLDIVEMQLEEHVSDIKTSFPSSFLTLESEYLKFDSLRKQFETKLEAIKPIYNLGDSENRSVLKLTPFHALNNRTLHTEWLCLKALFARILDYMQTVIQSHGQLSINLANLHMTMDVLFALDRIWDISSLQPLPKGRSSMFSDIEELSEFFRKLVLGRSLWKIETYYLLSGYQSDLEYLLTTFESHNLGRSCIWNVSKVVVDMVHDMKLKQACLSEPHIICCISKLEAGKCFTAVRSKLKTVLGNNADVMAPTGTSAVSVLRSWNICFKKLSKEVRLFIENGSKTGTMPVTDLLEESVDFNEDVGRIFIALQTTNNIDTIEDIAISYAVFSRIKERLKEWLNGALDEVPQVPSKQTPSPNAFVQKQEPCETDSSGLLYKRLGKKLEHLKEDRMRETYQDYHKSHNTQYHRRLNYEKSVSMSQKCILCTPINQVEVFFYAREWKEQFVRGEQDIKRKGVNSLELGPLPRIVTFKIFEAAELIRRMSSIELYGPAKFVVGPPMGDIVVCGPNFTIKRYPVKVPFDVGIAEGFKRSFLDNVQERLQKIKEISSLREKENDPVTVQVINLDEVGAASNTVSSSNTNGEIKVEAEPDENPEESPVLPTSDEMPLEMEIVENSTSSPHSGQMNYQYYNPDESEKKVSVAEAKLSIGSDEMPETKVNIPGCSESPSVISREADTVMGPGGLQSEPGLFPRNIIFSIGAKNELIWKLTALDYNEPHQFAVGEPLGQVVVASQDRLMKEYPVPVMVTTGLAKGYCFCFYDEVAKRLIETEGSHVQMGNVPCPPKVECLGEAVCYKAFDKQFDTRANVFACGRETGVVFVDTEYVAICAVEAKSKALEKCMSELASVLFTVVMRTESSSEEEVPLTSVAVQAM
+>sp|P78347|GTF2I_HUMAN General transcription factor II-I OS=Homo sapiens OX=9606 GN=GTF2I PE=1 SV=2
+MAQVAMSTLPVEDEESSESRMVVTFLMSALESMCKELAKSKAEVACIAVYETDVFVVGTERGRAFVNTRKDFQKDFVKYCVEEEEKAAEMHKMKSTTQANRMSVDAVEIETLRKTVEDYFCFCYGKALGKSTVVPVPYEKMLRDQSAVVVQGLPEGVAFKHPENYDLATLKWILENKAGISFIIKRPFLEPKKHVGGRVMVTDADRSILSPGGSCGPIKVKTEPTEDSGISLEMAAVTVKEESEDPDYYQYNIQAGPSETDDVDEKQPLSKPLQGSHHSSEGNEGTEMEVPAEDSTQHVPSETSEDPEVEVTIEDDDYSPPSKRPKANELPQPPVPEPANAGKRKVREFNFEKWNARITDLRKQVEELFERKYAQAIKAKGPVTIPYPLFQSHVEDLYVEGLPEGIPFRRPSTYGIPRLERILLAKERIRFVIKKHELLNSTREDLQLDKPASGVKEEWYARITKLRKMVDQLFCKKFAEALGSTEAKAVPYQKFEAHPNDLYVEGLPENIPFRSPSWYGIPRLEKIIQVGNRIKFVIKRPELLTHSTTEVTQPRTNTPVKEDWNVRITKLRKQVEEIFNLKFAQALGLTEAVKVPYPVFESNPEFLYVEGLPEGIPFRSPTWFGIPRLERIVRGSNKIKFVVKKPELVISYLPPGMASKINTKALQSPKRPRSPGSNSKVPEIEVTVEGPNNNNPQTSAVRTPTQTNGSNVPFKPRGREFSFEAWNAKITDLKQKVENLFNEKCGEALGLKQAVKVPFALFESFPEDFYVEGLPEGVPFRRPSTFGIPRLEKILRNKAKIKFIIKKPEMFETAIKESTSSKSPPRKINSSPNVNTTASGVEDLNIIQVTIPDDDNERLSKVEKARQLREQVNDLFSRKFGEAIGMGFPVKVPYRKITINPGCVVVDGMPPGVSFKAPSYLEISSMRRILDSAEFIKFTVIRPFPGLVINNQLVDQSESEGPVIQESAEPSQLEVPATEEIKETDGSSQIKQEPDPTW
+>DECOY_sp|P78347|GTF2I_HUMAN General transcription factor II-I OS=Homo sapiens OX=9606 GN=GTF2I PE=1 SV=2
+WTPDPEQKIQSSGDTEKIEETAPVELQSPEASEQIVPGESESQDVLQNNIVLGPFPRIVTFKIFEASDLIRRMSSIELYSPAKFSVGPPMGDVVVCGPNITIKRYPVKVPFGMGIAEGFKRSFLDNVQERLQRAKEVKSLRENDDDPITVQIINLDEVGSATTNVNPSSNIKRPPSKSSTSEKIATEFMEPKKIIFKIKAKNRLIKELRPIGFTSPRRFPVGEPLGEVYFDEPFSEFLAFPVKVAQKLGLAEGCKENFLNEVKQKLDTIKANWAEFSFERGRPKFPVNSGNTQTPTRVASTQPNNNNPGEVTVEIEPVKSNSGPSRPRKPSQLAKTNIKSAMGPPLYSIVLEPKKVVFKIKNSGRVIRELRPIGFWTPSRFPIGEPLGEVYLFEPNSEFVPYPVKVAETLGLAQAFKLNFIEEVQKRLKTIRVNWDEKVPTNTRPQTVETTSHTLLEPRKIVFKIRNGVQIIKELRPIGYWSPSRFPINEPLGEVYLDNPHAEFKQYPVAKAETSGLAEAFKKCFLQDVMKRLKTIRAYWEEKVGSAPKDLQLDERTSNLLEHKKIVFRIREKALLIRELRPIGYTSPRRFPIGEPLGEVYLDEVHSQFLPYPITVPGKAKIAQAYKREFLEEVQKRLDTIRANWKEFNFERVKRKGANAPEPVPPQPLENAKPRKSPPSYDDDEITVEVEPDESTESPVHQTSDEAPVEMETGENGESSHHSGQLPKSLPQKEDVDDTESPGAQINYQYYDPDESEEKVTVAAMELSIGSDETPETKVKIPGCSGGPSLISRDADTVMVRGGVHKKPELFPRKIIFSIGAKNELIWKLTALDYNEPHKFAVGEPLGQVVVASQDRLMKEYPVPVVTSKGLAKGYCFCFYDEVTKRLTEIEVADVSMRNAQTTSKMKHMEAAKEEEEVCYKVFDKQFDKRTNVFARGRETGVVFVDTEYVAICAVEAKSKALEKCMSELASMLFTVVMRSESSEEDEVPLTSMAVQAM
+>sp|O00178|GTPB1_HUMAN GTP-binding protein 1 OS=Homo sapiens OX=9606 GN=GTPBP1 PE=1 SV=3
+MATERSRSAMDSPVPASMFAPEPSSPGAARAAAAAARLHGGFDSDCSEDGEALNGEPELDLTSKLVLVSPTSEQYDSLLRQMWERMDEGCGETIYVIGQGSDGTEYGLSEADMEASYATVKSMAEQIEADVILLRERQEAGGRVRDYLVRKRVGDNDFLEVRVAVVGNVDAGKSTLLGVLTHGELDNGRGFARQKLFRHKHEIESGRTSSVGNDILGFDSEGNVVNKPDSHGGSLEWTKICEKSTKVITFIDLAGHEKYLKTTVFGMTGHLPDFCMLMVGSNAGIVGMTKEHLGLALALNVPVFVVVTKIDMCPANILQETLKLLQRLLKSPGCRKIPVLVQSKDDVIVTASNFSSERMCPIFQISNVTGENLDLLKMFLNLLSPRTSYREEEPAEFQIDDTYSVPGVGTVVSGTTLRGLIKLNDTLLLGPDPLGNFLSIAVKSIHRKRMPVKEVRGGQTASFALKKIKRSSIRKGMVMVSPRLNPQASWEFEAEILVLHHPTTISPRYQAMVHCGSIRQTATILSMDKDCLRTGDKATVHFRFIKTPEYLHIDQRLVFREGRTKAVGTITKLLQTTNNSPMNSKPQQIKMQSTKKGPLTKRDEGGPSGGPAVGAPPPGDEASSVGAGQPAASSNLQPQPKPSSGGRRRGGQRHKVKSQGACVTPASGC
+>DECOY_sp|O00178|GTPB1_HUMAN GTP-binding protein 1 OS=Homo sapiens OX=9606 GN=GTPBP1 PE=1 SV=3
+CGSAPTVCAGQSKVKHRQGGRRRGGSSPKPQPQLNSSAAPQGAGVSSAEDGPPPAGVAPGGSPGGEDRKTLPGKKTSQMKIQQPKSNMPSNNTTQLLKTITGVAKTRGERFVLRQDIHLYEPTKIFRFHVTAKDGTRLCDKDMSLITATQRISGCHVMAQYRPSITTPHHLVLIEAEFEWSAQPNLRPSVMVMGKRISSRKIKKLAFSATQGGRVEKVPMRKRHISKVAISLFNGLPDPGLLLTDNLKILGRLTTGSVVTGVGPVSYTDDIQFEAPEEERYSTRPSLLNLFMKLLDLNEGTVNSIQFIPCMRESSFNSATVIVDDKSQVLVPIKRCGPSKLLRQLLKLTEQLINAPCMDIKTVVVFVPVNLALALGLHEKTMGVIGANSGVMLMCFDPLHGTMGFVTTKLYKEHGALDIFTIVKTSKECIKTWELSGGHSDPKNVVNGESDFGLIDNGVSSTRGSEIEHKHRFLKQRAFGRGNDLEGHTLVGLLTSKGADVNGVVAVRVELFDNDGVRKRVLYDRVRGGAEQRERLLIVDAEIQEAMSKVTAYSAEMDAESLGYETGDSGQGIVYITEGCGEDMREWMQRLLSDYQESTPSVLVLKSTLDLEPEGNLAEGDESCDSDFGGHLRAAAAAARAAGPSSPEPAFMSAPVPSDMASRSRETAM
+>sp|Q9BX10|GTPB2_HUMAN GTP-binding protein 2 OS=Homo sapiens OX=9606 GN=GTPBP2 PE=1 SV=1
+MDSRVSELFGGCCRPGGGPAVGGTLKARGAGSSSGCGGPKGKKKNGRNRGGKANNPPYLPPEAEDGNIEYKLKLVNPSQYRFEHLVTQMKWRLQEGRGEAVYQIGVEDNGLLVGLAEEEMRASLKTLHRMAEKVGADITVLREREVDYDSDMPRKITEVLVRKVPDNQQFLDLRVAVLGNVDSGKSTLLGVLTQGELDNGRGRARLNLFRHLHEIQSGRTSSISFEILGFNSKGEVVNYSDSRTAEEICESSSKMITFIDLAGHHKYLHTTIFGLTSYCPDCALLLVSANTGIAGTTREHLGLALALKVPFFIVVSKIDLCAKTTVERTVRQLERVLKQPGCHKVPMLVTSEDDAVTAAQQFAQSPNVTPIFTLSSVSGESLDLLKVFLNILPPLTNSKEQEELMQQLTEFQVDEIYTVPEVGTVVGGTLSSGICREGDQLVVGPTDDGCFLELRVCSIQRNRSACRVLRAGQAATLALGDFDRALLRKGMVMVSPEMNPTICSVFEAEIVLLFHATTFRRGFQVTVHVGNVRQTAVVEKIHAKDKLRTGEKAVVRFRFLKHPEYLKVGAKLLFREGVTKGIGHVTDVQAITAGEAQANMGF
+>DECOY_sp|Q9BX10|GTPB2_HUMAN GTP-binding protein 2 OS=Homo sapiens OX=9606 GN=GTPBP2 PE=1 SV=1
+FGMNAQAEGATIAQVDTVHGIGKTVGERFLLKAGVKLYEPHKLFRFRVVAKEGTRLKDKAHIKEVVATQRVNGVHVTVQFGRRFTTAHFLLVIEAEFVSCITPNMEPSVMVMGKRLLARDFDGLALTAAQGARLVRCASRNRQISCVRLELFCGDDTPGVVLQDGERCIGSSLTGGVVTGVEPVTYIEDVQFETLQQMLEEQEKSNTLPPLINLFVKLLDLSEGSVSSLTFIPTVNPSQAFQQAATVADDESTVLMPVKHCGPQKLVRELQRVTREVTTKACLDIKSVVIFFPVKLALALGLHERTTGAIGTNASVLLLACDPCYSTLGFITTHLYKHHGALDIFTIMKSSSECIEEATRSDSYNVVEGKSNFGLIEFSISSTRGSQIEHLHRFLNLRARGRGNDLEGQTLVGLLTSKGSDVNGLVAVRLDLFQQNDPVKRVLVETIKRPMDSDYDVERERLVTIDAGVKEAMRHLTKLSARMEEEALGVLLGNDEVGIQYVAEGRGEQLRWKMQTVLHEFRYQSPNVLKLKYEINGDEAEPPLYPPNNAKGGRNRGNKKKGKPGGCGSSSGAGRAKLTGGVAPGGGPRCCGGFLESVRSDM
+>sp|Q6PXP3|GTR7_HUMAN Solute carrier family 2, facilitated glucose transporter member 7 OS=Homo sapiens OX=9606 GN=SLC2A7 PE=2 SV=2
+MENKEAGTPPPIPSREGRLQPTLLLATLSAAFGSAFQYGYNLSVVNTPHKVFKSFYNETYFERHATFMDGKLMLLLWSCTVSMFPLGGLLGSLLVGLLVDSCGRKGTLLINNIFAIIPAILMGVSKVAKAFELIVFSRVVLGVCAGISYSALPMYLGELAPKNLRGMVGTMTEVFVIVGVFLAQIFSLQAILGNPAGWPVLLALTGVPALLQLLTLPFFPESPRYSLIQKGDEATARQALRRLRGHTDMEAELEDMRAEARAERAEGHLSVLHLCALRSLRWQLLSIIVLMAGQQLSGINAINYYADTIYTSAGVEAAHSQYVTVGSGVVNIVMTITSAVLVERLGRRHLLLAGYGICGSACLVLTVVLLFQNRVPELSYLGIICVFAYIAGHSIGPSPVPSVVRTEIFLQSSRRAAFMVDGAVHWLTNFIIGFLFPSIQEAIGAYSFIIFAGICLLTAIYIYVVIPETKGKTFVEINRIFAKRNRVKLPEEKEETIDAGPPTASPAKETSF
+>DECOY_sp|Q6PXP3|GTR7_HUMAN Solute carrier family 2, facilitated glucose transporter member 7 OS=Homo sapiens OX=9606 GN=SLC2A7 PE=2 SV=2
+FSTEKAPSATPPGADITEEKEEPLKVRNRKAFIRNIEVFTKGKTEPIVVYIYIATLLCIGAFIIFSYAGIAEQISPFLFGIIFNTLWHVAGDVMFAARRSSQLFIETRVVSPVPSPGISHGAIYAFVCIIGLYSLEPVRNQFLLVVTLVLCASGCIGYGALLLHRRGLREVLVASTITMVINVVGSGVTVYQSHAAEVGASTYITDAYYNIANIGSLQQGAMLVIISLLQWRLSRLACLHLVSLHGEAREARAEARMDELEAEMDTHGRLRRLAQRATAEDGKQILSYRPSEPFFPLTLLQLLAPVGTLALLVPWGAPNGLIAQLSFIQALFVGVIVFVETMTGVMGRLNKPALEGLYMPLASYSIGACVGLVVRSFVILEFAKAVKSVGMLIAPIIAFINNILLTGKRGCSDVLLGVLLSGLLGGLPFMSVTCSWLLLMLKGDMFTAHREFYTENYFSKFVKHPTNVVSLNYGYQFASGFAASLTALLLTPQLRGERSPIPPPTGAEKNEM
+>sp|Q9NRM0|GTR9_HUMAN Solute carrier family 2, facilitated glucose transporter member 9 OS=Homo sapiens OX=9606 GN=SLC2A9 PE=1 SV=2
+MARKQNRNSKELGLVPLTDDTSHAGPPGPGRALLECDHLRSGVPGGRRRKDWSCSLLVASLAGAFGSSFLYGYNLSVVNAPTPYIKAFYNESWERRHGRPIDPDTLTLLWSVTVSIFAIGGLVGTLIVKMIGKVLGRKHTLLANNGFAISAALLMACSLQAGAFEMLIVGRFIMGIDGGVALSVLPMYLSEISPKEIRGSLGQVTAIFICIGVFTGQLLGLPELLGKESTWPYLFGVIVVPAVVQLLSLPFLPDSPRYLLLEKHNEARAVKAFQTFLGKADVSQEVEEVLAESRVQRSIRLVSVLELLRAPYVRWQVVTVIVTMACYQLCGLNAIWFYTNSIFGKAGIPPAKIPYVTLSTGGIETLAAVFSGLVIEHLGRRPLLIGGFGLMGLFFGTLTITLTLQDHAPWVPYLSIVGILAIIASFCSGPGGIPFILTGEFFQQSQRPAAFIIAGTVNWLSNFAVGLLFPFIQKSLDTYCFLVFATICITGAIYLYFVLPETKNRTYAEISQAFSKRNKAYPPEEKIDSAVTDGKINGRP
+>DECOY_sp|Q9NRM0|GTR9_HUMAN Solute carrier family 2, facilitated glucose transporter member 9 OS=Homo sapiens OX=9606 GN=SLC2A9 PE=1 SV=2
+PRGNIKGDTVASDIKEEPPYAKNRKSFAQSIEAYTRNKTEPLVFYLYIAGTICITAFVLFCYTDLSKQIFPFLLGVAFNSLWNVTGAIIFAAPRQSQQFFEGTLIFPIGGPGSCFSAIIALIGVISLYPVWPAHDQLTLTITLTGFFLGMLGFGGILLPRRGLHEIVLGSFVAALTEIGGTSLTVYPIKAPPIGAKGFISNTYFWIANLGCLQYCAMTVIVTVVQWRVYPARLLELVSVLRISRQVRSEALVEEVEQSVDAKGLFTQFAKVARAENHKELLLYRPSDPLFPLSLLQVVAPVVIVGFLYPWTSEKGLLEPLGLLQGTFVGICIFIATVQGLSGRIEKPSIESLYMPLVSLAVGGDIGMIFRGVILMEFAGAQLSCAMLLAASIAFGNNALLTHKRGLVKGIMKVILTGVLGGIAFISVTVSWLLTLTDPDIPRGHRREWSENYFAKIYPTPANVVSLNYGYLFSSGFAGALSAVLLSCSWDKRRRGGPVGSRLHDCELLARGPGPPGAHSTDDTLPVLGLEKSNRNQKRAM
+>sp|Q86UQ5|GTSC1_HUMAN Gilles de la Tourette syndrome chromosomal region candidate gene 1 protein OS=Homo sapiens OX=9606 GN=GTSCR1 PE=5 SV=2
+MQSDIYHPGHSFPSWVLCWVHSCGHEGHLRETAEIRKTHQNGDLQIRGGRGRRESTEIFQVASVTEGEESPPAICMEVFLFLWFIAPIYACVCRIFKIQVRNTVKNSSTASLAPSISTSEERQIRIERHHYHLYGQ
+>DECOY_sp|Q86UQ5|GTSC1_HUMAN Gilles de la Tourette syndrome chromosomal region candidate gene 1 protein OS=Homo sapiens OX=9606 GN=GTSCR1 PE=5 SV=2
+QGYLHYHHREIRIQREESTSISPALSATSSNKVTNRVQIKFIRCVCAYIPAIFWLFLFVEMCIAPPSEEGETVSAVQFIETSERRGRGGRIQLDGNQHTKRIEATERLHGEHGCSHVWCLVWSPFSHGPHYIDSQM
+>sp|Q9NYZ3|GTSE1_HUMAN G2 and S phase-expressed protein 1 OS=Homo sapiens OX=9606 GN=GTSE1 PE=1 SV=3
+MDDPKKEDILLLADEKFDFDLSLSSSSANEDDEVFFGPFGHKERCIAASLELNNPVPEQPPLPTSESPFAWSPLAGEKFVEVYKEAHLLALHIESSSRNQAAQAAKPEDPRSQGVERFIQESKLKINLFEKEKEMKKSPTSLKRETYYLSDSPLLGPPVGEPRLLASSPALPSSGAQARLTRAPGPPHSAHALPRESCTAHAASQAATQRKPGTKLLLPRAASVRGRSIPGAAEKPKKEIPASPSRTKIPAEKESHRDVLPDKPAPGAVNVPAAGSHLGQGKRAIPVPNKLGLKKTLLKAPGSTSNLARKSSSGPVWSGASSACTSPAVGKAKSSEFASIPANSSRPLSNISKSGRMGPAMLRPALPAGPVGASSWQAKRVDVSELAAEQLTAPPSASPTQPQTPEGGGQWLNSSCAWSESSQLNKTRSIRRRDSCLNSKTKVMPTPTNQFKIPKFSIGDSPDSSTPKLSRAQRPQSCTSVGRVTVHSTPVRRSSGPAPQSLLSAWRVSALPTPASRRCSGLPPMTPKTMPRAVGSPLCVPARRRSSEPRKNSAMRTEPTRESNRKTDSRLVDVSPDRGSPPSRVPQALNFSPEESDSTFSKSTATEVAREEAKPGGDAAPSEALLVDIKLEPLAVTPDAASQPLIDLPLIDFCDTPEAHVAVGSESRPLIDLMTNTPDMNKNVAKPSPVVGQLIDLSSPLIQLSPEADKENVDSPLLKF
+>DECOY_sp|Q9NYZ3|GTSE1_HUMAN G2 and S phase-expressed protein 1 OS=Homo sapiens OX=9606 GN=GTSE1 PE=1 SV=3
+FKLLPSDVNEKDAEPSLQILPSSLDILQGVVPSPKAVNKNMDPTNTMLDILPRSESGVAVHAEPTDCFDILPLDILPQSAADPTVALPELKIDVLLAESPAADGGPKAEERAVETATSKSFTSDSEEPSFNLAQPVRSPPSGRDPSVDVLRSDTKRNSERTPETRMASNKRPESSRRRAPVCLPSGVARPMTKPTMPPLGSCRRSAPTPLASVRWASLLSQPAPGSSRRVPTSHVTVRGVSTCSQPRQARSLKPTSSDPSDGISFKPIKFQNTPTPMVKTKSNLCSDRRRISRTKNLQSSESWACSSNLWQGGGEPTQPQTPSASPPATLQEAALESVDVRKAQWSSAGVPGAPLAPRLMAPGMRGSKSINSLPRSSNAPISAFESSKAKGVAPSTCASSAGSWVPGSSSKRALNSTSGPAKLLTKKLGLKNPVPIARKGQGLHSGAAPVNVAGPAPKDPLVDRHSEKEAPIKTRSPSAPIEKKPKEAAGPISRGRVSAARPLLLKTGPKRQTAAQSAAHATCSERPLAHASHPPGPARTLRAQAGSSPLAPSSALLRPEGVPPGLLPSDSLYYTERKLSTPSKKMEKEKEFLNIKLKSEQIFREVGQSRPDEPKAAQAAQNRSSSEIHLALLHAEKYVEVFKEGALPSWAFPSESTPLPPQEPVPNNLELSAAICREKHGFPGFFVEDDENASSSSLSLDFDFKEDALLLIDEKKPDDM
+>sp|P16402|H13_HUMAN Histone H1.3 OS=Homo sapiens OX=9606 GN=HIST1H1D PE=1 SV=2
+MSETAPLAPTIPAPAEKTPVKKKAKKAGATAGKRKASGPPVSELITKAVAASKERSGVSLAALKKALAAAGYDVEKNNSRIKLGLKSLVSKGTLVQTKGTGASGSFKLNKKAASGEGKPKAKKAGAAKPRKPAGAAKKPKKVAGAATPKKSIKKTPKKVKKPATAAGTKKVAKSAKKVKTPQPKKAAKSPAKAKAPKPKAAKPKSGKPKVTKAKKAAPKKK
+>DECOY_sp|P16402|H13_HUMAN Histone H1.3 OS=Homo sapiens OX=9606 GN=HIST1H1D PE=1 SV=2
+KKKPAAKKAKTVKPKGSKPKAAKPKPAKAKAPSKAAKKPQPTKVKKASKAVKKTGAATAPKKVKKPTKKISKKPTAAGAVKKPKKAAGAPKRPKAAGAKKAKPKGEGSAAKKNLKFSGSAGTGKTQVLTGKSVLSKLGLKIRSNNKEVDYGAAALAKKLAALSVGSREKSAAVAKTILESVPPGSAKRKGATAGAKKAKKKVPTKEAPAPITPALPATESM
+>sp|Q8IZA3|H1FOO_HUMAN Histone H1oo OS=Homo sapiens OX=9606 GN=H1FOO PE=2 SV=1
+MAPGSVTSDISPSSTSTAGSSRSPESEKPGPSHGGVPPGGPSHSSLPVGRRHPPVLRMVLEALQAGEQRRGTSVAAIKLYILHKYPTVDVLRFKYLLKQALATGMRRGLLARPLNSKARGATGSFKLVPKHKKKIQPRKMAPATAPRRAGEAKGKGPKKPSEAKEDPPNVGKVKKAAKRPAKVQKPPPKPGAATEKARKQGGAAKDTRAQSGEARKVPPKPDKAMRAPSSAGGLSRKAKAKGSRSSQGDAEAYRKTKAESKSSKPTASKVKNGAASPTKKKVVAKAKAPKAGQGPNTKAAAPAKGSGSKVVPAHLSRKTEAPKGPRKAGLPIKASSSKVSSQRAEA
+>DECOY_sp|Q8IZA3|H1FOO_HUMAN Histone H1oo OS=Homo sapiens OX=9606 GN=H1FOO PE=2 SV=1
+AEARQSSVKSSSAKIPLGAKRPGKPAETKRSLHAPVVKSGSGKAPAAAKTNPGQGAKPAKAKAVVKKKTPSAAGNKVKSATPKSSKSEAKTKRYAEADGQSSRSGKAKAKRSLGGASSPARMAKDPKPPVKRAEGSQARTDKAAGGQKRAKETAAGPKPPPKQVKAPRKAAKKVKGVNPPDEKAESPKKPGKGKAEGARRPATAPAMKRPQIKKKHKPVLKFSGTAGRAKSNLPRALLGRRMGTALAQKLLYKFRLVDVTPYKHLIYLKIAAVSTGRRQEGAQLAELVMRLVPPHRRGVPLSSHSPGGPPVGGHSPGPKESEPSRSSGATSTSSPSIDSTVSGPAM
+>sp|Q8IZP7|H6ST3_HUMAN Heparan-sulfate 6-O-sulfotransferase 3 OS=Homo sapiens OX=9606 GN=HS6ST3 PE=2 SV=3
+MDERFNKWLLTPVLTLLFVVIMYQYVSPSCTSSCTNFGEQPRAGEAGPPAVPGPARRAQAPPEEWERRPQLPPPPRGPPEGPRGAAAPEEEDEEPGDPREGEEEEEEDEPDPEAPENGSLPRFVPRFNFSLKDLTRFVDFNIKGRDVIVFLHIQKTGGTTFGRHLVKNIRLEQPCSCKAGQKKCTCHRPGKKETWLFSRFSTGWSCGLHADWTELTNCVPAIMEKKDCPRNHSHTRNFYYITMLRDPVSRYLSEWKHVQRGATWKTSLHMCDGRSPTPDELPTCYPGDDWSGVSLREFMDCTYNLANNRQVRMLADLSLVGCYNLTFMNESERNTILLQSAKNNLKNMAFFGLTEFQRKTQFLFERTFNLKFISPFTQFNITRASNVEINEGARQRIEDLNFLDMQLYEYAKDLFQQRYHHTKQLEHQRDRQKRREERRLQREHRDHQWPKEDGAAEGTVTEDYNSQVVRW
+>DECOY_sp|Q8IZP7|H6ST3_HUMAN Heparan-sulfate 6-O-sulfotransferase 3 OS=Homo sapiens OX=9606 GN=HS6ST3 PE=2 SV=3
+WRVVQSNYDETVTGEAAGDEKPWQHDRHERQLRREERRKQRDRQHELQKTHHYRQQFLDKAYEYLQMDLFNLDEIRQRAGENIEVNSARTINFQTFPSIFKLNFTREFLFQTKRQFETLGFFAMNKLNNKASQLLITNRESENMFTLNYCGVLSLDALMRVQRNNALNYTCDMFERLSVGSWDDGPYCTPLEDPTPSRGDCMHLSTKWTAGRQVHKWESLYRSVPDRLMTIYYFNRTHSHNRPCDKKEMIAPVCNTLETWDAHLGCSWGTSFRSFLWTEKKGPRHCTCKKQGAKCSCPQELRINKVLHRGFTTGGTKQIHLFVIVDRGKINFDVFRTLDKLSFNFRPVFRPLSGNEPAEPDPEDEEEEEEGERPDGPEEDEEEPAAAGRPGEPPGRPPPPLQPRREWEEPPAQARRAPGPVAPPGAEGARPQEGFNTCSSTCSPSVYQYMIVVFLLTLVPTLLWKNFREDM
+>sp|Q58FF7|H90B3_HUMAN Putative heat shock protein HSP 90-beta-3 OS=Homo sapiens OX=9606 GN=HSP90AB3P PE=5 SV=1
+MPEEVHHGEEEVETFAFQAEIAQLISLIINTFYSNEEIFLQELISNASDALDKIRYESLTDPSKLDSGKELKIDIIPNPQERTLALVDTGIGMTKADLINNLRTIAKSGTKACMEALQAEKLVVITKHNDDEQYAWESSAGGSFTVHADHGEPIGRGTKVILHLKEDQTEYLEERRVKEVVKKHSQFIGYPITLYLEKEQDKEISDDEAEEEKGEKEEEDKDDEEKPKIKDVGSDEEDDSKEYGEFYKSLTSDWEDHLAVKHFSVEGQLEFRALLFSPRRAPFDLFENKKKKNNIKLYVRRVFIMDSCDELIPEYLNFIHGVVDSEDLPLNISREMLQQSKILKYVSHMKETQKSTYYITGESKEQVANSAFVERVRKQGFEVVYMTEPIDEYCVQQLKEFDGKSLVSVTKEGLELPEDEEEKKKMEESKEKFENLCKLMKEILDKKVEKVTISNRLVSSPCCIVTSTYGWTANMEQIMKAQALRDNSTMGYMMAKKHLEINPDHPIMETLRQKAEADKNDKAVKDLVVLLFETALLSSGFSLEDPQTHSNHIYHMIKLGLGTDEDEVAAEEPSDAVPDEIPPLEGDEDASRMEEVD
+>DECOY_sp|Q58FF7|H90B3_HUMAN Putative heat shock protein HSP 90-beta-3 OS=Homo sapiens OX=9606 GN=HSP90AB3P PE=5 SV=1
+DVEEMRSADEDGELPPIEDPVADSPEEAAVEDEDTGLGLKIMHYIHNSHTQPDELSFGSSLLATEFLLVVLDKVAKDNKDAEAKQRLTEMIPHDPNIELHKKAMMYGMTSNDRLAQAKMIQEMNATWGYTSTVICCPSSVLRNSITVKEVKKDLIEKMLKCLNEFKEKSEEMKKKEEEDEPLELGEKTVSVLSKGDFEKLQQVCYEDIPETMYVVEFGQKRVREVFASNAVQEKSEGTIYYTSKQTEKMHSVYKLIKSQQLMERSINLPLDESDVVGHIFNLYEPILEDCSDMIFVRRVYLKINNKKKKNEFLDFPARRPSFLLARFELQGEVSFHKVALHDEWDSTLSKYFEGYEKSDDEEDSGVDKIKPKEEDDKDEEEKEGKEEEAEDDSIEKDQEKELYLTIPYGIFQSHKKVVEKVRREELYETQDEKLHLIVKTGRGIPEGHDAHVTFSGGASSEWAYQEDDNHKTIVVLKEAQLAEMCAKTGSKAITRLNNILDAKTMGIGTDVLALTREQPNPIIDIKLEKGSDLKSPDTLSEYRIKDLADSANSILEQLFIEENSYFTNIILSILQAIEAQFAFTEVEEEGHHVEEPM
+>sp|Q5JVS0|HABP4_HUMAN Intracellular hyaluronan-binding protein 4 OS=Homo sapiens OX=9606 GN=HABP4 PE=1 SV=1
+MKGALGSPVAAAGAAMQESFGCVVANRFHQLLDDESDPFDILREAERRRQQQLQRKRRDEAAAAAGAGPRGGRSPAGASGHRAGAGGRRESQKERKSLPAPVAQRPDSPGGGLQAPGQKRTPRRGEQQGWNDSRGPEGMLERAERRSYREYRPYETERQADFTAEKFPDEKPGDRFDRDRPLRGRGGPRGGMRGRGRGGPGNRVFDAFDQRGKREFERYGGNDKIAVRTEDNMGGCGVRTWGSGKDTSDVEPTAPMEEPTVVEESQGTPEEESPAKVPELEVEEETQVQEMTLDEWKNLQEQTRPKPEFNIRKPESTVPSKAVVIHKSKYRDDMVKDDYEDDSHVFRKPANDITSQLEINFGNLPRPGRGARGGTRGGRGRIRRAENYGPRAEVVMQDVAPNPDDPEDFPALS
+>DECOY_sp|Q5JVS0|HABP4_HUMAN Intracellular hyaluronan-binding protein 4 OS=Homo sapiens OX=9606 GN=HABP4 PE=1 SV=1
+SLAPFDEPDDPNPAVDQMVVEARPGYNEARRIRGRGGRTGGRAGRGPRPLNGFNIELQSTIDNAPKRFVHSDDEYDDKVMDDRYKSKHIVVAKSPVTSEPKRINFEPKPRTQEQLNKWEDLTMEQVQTEEEVELEPVKAPSEEEPTGQSEEVVTPEEMPATPEVDSTDKGSGWTRVGCGGMNDETRVAIKDNGGYREFERKGRQDFADFVRNGPGGRGRGRMGGRPGGRGRLPRDRDFRDGPKEDPFKEATFDAQRETEYPRYERYSRREARELMGEPGRSDNWGQQEGRRPTRKQGPAQLGGGPSDPRQAVPAPLSKREKQSERRGGAGARHGSAGAPSRGGRPGAGAAAAAEDRRKRQLQQQRRREAERLIDFPDSEDDLLQHFRNAVVCGFSEQMAAGAAAVPSGLAGKM
+>sp|Q6Y1H2|HACD2_HUMAN Very-long-chain (3R)-3-hydroxyacyl-CoA dehydratase 2 OS=Homo sapiens OX=9606 GN=HACD2 PE=1 SV=1
+MAAVAATAAAKGNGGGGGRAGAGDASGTRKKKGPGPLATAYLVIYNVVMTAGWLVIAVGLVRAYLAKGSYHSLYYSIEKPLKFFQTGALLEILHCAIGIVPSSVVLTSFQVMSRVFLIWAVTHSVKEVQSEDSVLLFVIAWTITEIIRYSFYTFSLLNHLPYLIKWARYTLFIVLYPMGVSGELLTIYAALPFVRQAGLYSISLPNKYNFSFDYYAFLILIMISYIPIFPQLYFHMIHQRRKILSHTEEHKKFE
+>DECOY_sp|Q6Y1H2|HACD2_HUMAN Very-long-chain (3R)-3-hydroxyacyl-CoA dehydratase 2 OS=Homo sapiens OX=9606 GN=HACD2 PE=1 SV=1
+EFKKHEETHSLIKRRQHIMHFYLQPFIPIYSIMILILFAYYDFSFNYKNPLSISYLGAQRVFPLAAYITLLEGSVGMPYLVIFLTYRAWKILYPLHNLLSFTYFSYRIIETITWAIVFLLVSDESQVEKVSHTVAWILFVRSMVQFSTLVVSSPVIGIACHLIELLAGTQFFKLPKEISYYLSHYSGKALYARVLGVAIVLWGATMVVNYIVLYATALPGPGKKKRTGSADGAGARGGGGGNGKAAATAAVAAM
+>sp|O43593|HAIR_HUMAN Lysine-specific demethylase hairless OS=Homo sapiens OX=9606 GN=HR PE=1 SV=5
+MESTPSFLKGTPTWEKTAPENGIVRQEPGSPPRDGLHHGPLCLGEPAPFWRGVLSTPDSWLPPGFPQGPKDMLPLVEGEGPQNGERKVNWLGSKEGLRWKEAMLTHPLAFCGPACPPRCGPLMPEHSGGHLKSDPVAFRPWHCPFLLETKILERAPFWVPTCLPPYLVSGLPPEHPCDWPLTPHPWVYSGGQPKVPSAFSLGSKGFYYKDPSIPRLAKEPLAAAEPGLFGLNSGGHLQRAGEAERPSLHQRDGEMGAGRQQNPCPLFLGQPDTVPWTSWPACPPGLVHTLGNVWAGPGDGNLGYQLGPPATPRCPSPEPPVTQRGCCSSYPPTKGGGLGPCGKCQEGLEGGASGASEPSEEVNKASGPRACPPSHHTKLKKTWLTRHSEQFECPRGCPEVEERPVARLRALKRAGSPEVQGAMGSPAPKRPPDPFPGTAEQGAGGWQEVRDTSIGNKDVDSGQHDEQKGPQDGQASLQDPGLQDIPCLALPAKLAQCQSCAQAAGEGGGHACHSQQVRRSPLGGELQQEEDTATNSSSEEGPGSGPDSRLSTGLAKHLLSGLGDRLCRLLRREREALAWAQREGQGPAVTEDSPGIPRCCSRCHHGLFNTHWRCPRCSHRLCVACGRVAGTGRAREKAGFQEQSAEECTQEAGHAACSLMLTQFVSSQALAELSTAMHQVWVKFDIRGHCPCQADARVWAPGDAGQQKESTQKTPPTPQPSCNGDTHRTKSIKEETPDSAETPAEDRAGRGPLPCPSLCELLASTAVKLCLGHERIHMAFAPVTPALPSDDRITNILDSIIAQVVERKIQEKALGPGLRAGPGLRKGLGLPLSPVRPRLPPPGALLWLQEPQPCPRRGFHLFQEHWRQGQPVLVSGIQRTLQGNLWGTEALGALGGQVQALSPLGPPQPSSLGSTTFWEGFSWPELRPKSDEGSVLLLHRALGDEDTSRVENLAASLPLPEYCALHGKLNLASYLPPGLALRPLEPQLWAAYGVSPHRGHLGTKNLCVEVADLVSILVHADTPLPAWHRAQKDFLSGLDGEGLWSPGSQVSTVWHVFRAQDAQRIRRFLQMVCPAGAGALEPGAPGSCYLDAGLRRRLREEWGVSCWTLLQAPGEAVLVPAGAPHQVQGLVSTVSVTQHFLSPETSALSAQLCHQGPSLPPDCHLLYAQMDWAVFQAVKVAVGTLQEAK
+>DECOY_sp|O43593|HAIR_HUMAN Lysine-specific demethylase hairless OS=Homo sapiens OX=9606 GN=HR PE=1 SV=5
+KAEQLTGVAVKVAQFVAWDMQAYLLHCDPPLSPGQHCLQASLASTEPSLFHQTVSVTSVLGQVQHPAGAPVLVAEGPAQLLTWCSVGWEERLRRRLGADLYCSGPAGPELAGAGAPCVMQLFRRIRQADQARFVHWVTSVQSGPSWLGEGDLGSLFDKQARHWAPLPTDAHVLISVLDAVEVCLNKTGLHGRHPSVGYAAWLQPELPRLALGPPLYSALNLKGHLACYEPLPLSAALNEVRSTDEDGLARHLLLVSGEDSKPRLEPWSFGEWFTTSGLSSPQPPGLPSLAQVQGGLAGLAETGWLNGQLTRQIGSVLVPQGQRWHEQFLHFGRRPCPQPEQLWLLAGPPPLRPRVPSLPLGLGKRLGPGARLGPGLAKEQIKREVVQAIISDLINTIRDDSPLAPTVPAFAMHIREHGLCLKVATSALLECLSPCPLPGRGARDEAPTEASDPTEEKISKTRHTDGNCSPQPTPPTKQTSEKQQGADGPAWVRADAQCPCHGRIDFKVWVQHMATSLEALAQSSVFQTLMLSCAAHGAEQTCEEASQEQFGAKERARGTGAVRGCAVCLRHSCRPCRWHTNFLGHHCRSCCRPIGPSDETVAPGQGERQAWALAERERRLLRCLRDGLGSLLHKALGTSLRSDPGSGPGEESSSNTATDEEQQLEGGLPSRRVQQSHCAHGGGEGAAQACSQCQALKAPLALCPIDQLGPDQLSAQGDQPGKQEDHQGSDVDKNGISTDRVEQWGGAGQEATGPFPDPPRKPAPSGMAGQVEPSGARKLARLRAVPREEVEPCGRPCEFQESHRTLWTKKLKTHHSPPCARPGSAKNVEESPESAGSAGGELGEQCKGCPGLGGGKTPPYSSCCGRQTVPPEPSPCRPTAPPGLQYGLNGDGPGAWVNGLTHVLGPPCAPWSTWPVTDPQGLFLPCPNQQRGAGMEGDRQHLSPREAEGARQLHGGSNLGFLGPEAAALPEKALRPISPDKYYFGKSGLSFASPVKPQGGSYVWPHPTLPWDCPHEPPLGSVLYPPLCTPVWFPARELIKTELLFPCHWPRFAVPDSKLHGGSHEPMLPGCRPPCAPGCFALPHTLMAEKWRLGEKSGLWNVKREGNQPGEGEVLPLMDKPGQPFGPPLWSDPTSLVGRWFPAPEGLCLPGHHLGDRPPSGPEQRVIGNEPATKEWTPTGKLFSPTSEM
+>sp|O60381|HBP1_HUMAN HMG box-containing protein 1 OS=Homo sapiens OX=9606 GN=HBP1 PE=1 SV=2
+MVWEVKTNQMPNAVQKLLLVMDKRASGMNDSLELLQCNENLPSSPGYNSCDEHMELDDLPELQAVQSDPTQSGMYQLSSDVSHQEYPRSSWNQNTSDIPETTYRENEVDWLTELANIATSPQSPLMQCSFYNRSSPVHIIATSKSLHSYARPPPVSSSSKSEPAFPHHHWKEETPVRHERANSESESGIFCMSSLSDDDDLGWCNSWPSTVWHCFLKGTRLCFHKGSNKEWQDVEDFARAEGCDNEEDLQMGIHKGYGSDGLKLLSHEESVSFGESVLKLTFDPGTVEDGLLTVECKLDHPFYVKNKGWSSFYPSLTVVQHGIPCCEVHIGDVCLPPGHPDAINFDDSGVFDTFKSYDFTPMDSSAVYVLSSMARQRRASLSCGGPGGQDFARSGFSKNCGSPGSSQLSSNSLYAKAVKNHSSGTVSATSPNKCKRPMNAFMLFAKKYRVEYTQMYPGKDNRAISVILGDRWKKMKNEERRMYTLEAKALAEEQKRLNPDCWKRKRTNSGSQQH
+>DECOY_sp|O60381|HBP1_HUMAN HMG box-containing protein 1 OS=Homo sapiens OX=9606 GN=HBP1 PE=1 SV=2
+HQQSGSNTRKRKWCDPNLRKQEEALAKAELTYMRREENKMKKWRDGLIVSIARNDKGPYMQTYEVRYKKAFLMFANMPRKCKNPSTASVTGSSHNKVAKAYLSNSSLQSSGPSGCNKSFGSRAFDQGGPGGCSLSARRQRAMSSLVYVASSDMPTFDYSKFTDFVGSDDFNIADPHGPPLCVDGIHVECCPIGHQVVTLSPYFSSWGKNKVYFPHDLKCEVTLLGDEVTGPDFTLKLVSEGFSVSEEHSLLKLGDSGYGKHIGMQLDEENDCGEARAFDEVDQWEKNSGKHFCLRTGKLFCHWVTSPWSNCWGLDDDDSLSSMCFIGSESESNAREHRVPTEEKWHHHPFAPESKSSSSVPPPRAYSHLSKSTAIIHVPSSRNYFSCQMLPSQPSTAINALETLWDVENERYTTEPIDSTNQNWSSRPYEQHSVDSSLQYMGSQTPDSQVAQLEPLDDLEMHEDCSNYGPSSPLNENCQLLELSDNMGSARKDMVLLLKQVANPMQNTKVEWVM
+>sp|P51610|HCFC1_HUMAN Host cell factor 1 OS=Homo sapiens OX=9606 GN=HCFC1 PE=1 SV=2
+MASAVSPANLPAVLLQPRWKRVVGWSGPVPRPRHGHRAVAIKELIVVFGGGNEGIVDELHVYNTATNQWFIPAVRGDIPPGCAAYGFVCDGTRLLVFGGMVEYGKYSNDLYELQASRWEWKRLKAKTPKNGPPPCPRLGHSFSLVGNKCYLFGGLANDSEDPKNNIPRYLNDLYILELRPGSGVVAWDIPITYGVLPPPRESHTAVVYTEKDNKKSKLVIYGGMSGCRLGDLWTLDIDTLTWNKPSLSGVAPLPRSLHSATTIGNKMYVFGGWVPLVMDDVKVATHEKEWKCTNTLACLNLDTMAWETILMDTLEDNIPRARAGHCAVAINTRLYIWSGRDGYRKAWNNQVCCKDLWYLETEKPPPPARVQLVRANTNSLEVSWGAVATADSYLLQLQKYDIPATAATATSPTPNPVPSVPANPPKSPAPAAAAPAVQPLTQVGITLLPQAAPAPPTTTTIQVLPTVPGSSISVPTAARTQGVPAVLKVTGPQATTGTPLVTMRPASQAGKAPVTVTSLPAGVRMVVPTQSAQGTVIGSSPQMSGMAALAAAAAATQKIPPSSAPTVLSVPAGTTIVKTMAVTPGTTTLPATVKVASSPVMVSNPATRMLKTAAAQVGTSVSSATNTSTRPIITVHKSGTVTVAQQAQVVTTVVGGVTKTITLVKSPISVPGGSALISNLGKVMSVVQTKPVQTSAVTGQASTGPVTQIIQTKGPLPAGTILKLVTSADGKPTTIITTTQASGAGTKPTILGISSVSPSTTKPGTTTIIKTIPMSAIITQAGATGVTSSPGIKSPITIITTKVMTSGTGAPAKIITAVPKIATGHGQQGVTQVVLKGAPGQPGTILRTVPMGGVRLVTPVTVSAVKPAVTTLVVKGTTGVTTLGTVTGTVSTSLAGAGGHSTSASLATPITTLGTIATLSSQVINPTAITVSAAQTTLTAAGGLTTPTITMQPVSQPTQVTLITAPSGVEAQPVHDLPVSILASPTTEQPTATVTIADSGQGDVQPGTVTLVCSNPPCETHETGTTNTATTTVVANLGGHPQPTQVQFVCDRQEAAASLVTSTVGQQNGSVVRVCSNPPCETHETGTTNTATTATSNMAGQHGCSNPPCETHETGTTNTATTAMSSVGANHQRDARRACAAGTPAVIRISVATGALEAAQGSKSQCQTRQTSATSTTMTVMATGAPCSAGPLLGPSMAREPGGRSPAFVQLAPLSSKVRLSSPSIKDLPAGRHSHAVSTAAMTRSSVGAGEPRMAPVCESLQGGSPSTTVTVTALEALLCPSATVTQVCSNPPCETHETGTTNTATTSNAGSAQRVCSNPPCETHETGTTHTATTATSNGGTGQPEGGQQPPAGRPCETHQTTSTGTTMSVSVGALLPDATSSHRTVESGLEVAAAPSVTPQAGTALLAPFPTQRVCSNPPCETHETGTTHTATTVTSNMSSNQDPPPAASDQGEVESTQGDSVNITSSSAITTTVSSTLTRAVTTVTQSTPVPGPSVPPPEELQVSPGPRQQLPPRQLLQSASTALMGESAEVLSASQTPELPAAVDLSSTGEPSSGQESAGSAVVATVVVQPPPPTQSEVDQLSLPQELMAEAQAGTTTLMVTGLTPEELAVTAAAEAAAQAAATEEAQALAIQAVLQAAQQAVMGTGEPMDTSEAAATVTQAELGHLSAEGQEGQATTIPIVLTQQELAALVQQQQLQEAQAQQQHHHLPTEALAPADSLNDPAIESNCLNELAGTVPSTVALLPSTATESLAPSNTFVAPQPVVVASPAKLQAAATLTEVANGIESLGVKPDLPPPPSKAPMKKENQWFDVGVIKGTNVMVTHYFLPPDDAVPSDDDLGTVPDYNQLKKQELQPGTAYKFRVAGINACGRGPFSEISAFKTCLPGFPGAPCAIKISKSPDGAHLTWEPPSVTSGKIIEYSVYLAIQSSQAGGELKSSTPAQLAFMRVYCGPSPSCLVQSSSLSNAHIDYTTKPAIIFRIAARNEKGYGPATQVRWLQETSKDSSGTKPANKRPMSSPEMKSAPKKSKADGQ
+>DECOY_sp|P51610|HCFC1_HUMAN Host cell factor 1 OS=Homo sapiens OX=9606 GN=HCFC1 PE=1 SV=2
+QGDAKSKKPASKMEPSSMPRKNAPKTGSSDKSTEQLWRVQTAPGYGKENRAAIRFIIAPKTTYDIHANSLSSSQVLCSPSPGCYVRMFALQAPTSSKLEGGAQSSQIALYVSYEIIKGSTVSPPEWTLHAGDPSKSIKIACPAGPFGPLCTKFASIESFPGRGCANIGAVRFKYATGPQLEQKKLQNYDPVTGLDDDSPVADDPPLFYHTVMVNTGKIVGVDFWQNEKKMPAKSPPPPLDPKVGLSEIGNAVETLTAAAQLKAPSAVVVPQPAVFTNSPALSETATSPLLAVTSPVTGALENLCNSEIAPDNLSDAPALAETPLHHHQQQAQAEQLQQQQVLAALEQQTLVIPITTAQGEQGEASLHGLEAQTVTAAAESTDMPEGTGMVAQQAAQLVAQIALAQAEETAAAQAAAEAAATVALEEPTLGTVMLTTTGAQAEAMLEQPLSLQDVESQTPPPPQVVVTAVVASGASEQGSSPEGTSSLDVAAPLEPTQSASLVEASEGMLATSASQLLQRPPLQQRPGPSVQLEEPPPVSPGPVPTSQTVTTVARTLTSSVTTTIASSSTINVSDGQTSEVEGQDSAAPPPDQNSSMNSTVTTATHTTGTEHTECPPNSCVRQTPFPALLATGAQPTVSPAAAVELGSEVTRHSSTADPLLAGVSVSMTTGTSTTQHTECPRGAPPQQGGEPQGTGGNSTATTATHTTGTEHTECPPNSCVRQASGANSTTATNTTGTEHTECPPNSCVQTVTASPCLLAELATVTVTTSPSGGQLSECVPAMRPEGAGVSSRTMAATSVAHSHRGAPLDKISPSSLRVKSSLPALQVFAPSRGGPERAMSPGLLPGASCPAGTAMVTMTTSTASTQRTQCQSKSGQAAELAGTAVSIRIVAPTGAACARRADRQHNAGVSSMATTATNTTGTEHTECPPNSCGHQGAMNSTATTATNTTGTEHTECPPNSCVRVVSGNQQGVTSTVLSAAAEQRDCVFQVQTPQPHGGLNAVVTTTATNTTGTEHTECPPNSCVLTVTGPQVDGQGSDAITVTATPQETTPSALISVPLDHVPQAEVGSPATILTVQTPQSVPQMTITPTTLGGAATLTTQAASVTIATPNIVQSSLTAITGLTTIPTALSASTSHGGAGALSTSVTGTVTGLTTVGTTGKVVLTTVAPKVASVTVPTVLRVGGMPVTRLITGPQGPAGKLVVQTVGQQGHGTAIKPVATIIKAPAGTGSTMVKTTIITIPSKIGPSSTVGTAGAQTIIASMPITKIITTTGPKTTSPSVSSIGLITPKTGAGSAQTTTIITTPKGDASTVLKLITGAPLPGKTQIIQTVPGTSAQGTVASTQVPKTQVVSMVKGLNSILASGGPVSIPSKVLTITKTVGGVVTTVVQAQQAVTVTGSKHVTIIPRTSTNTASSVSTGVQAAATKLMRTAPNSVMVPSSAVKVTAPLTTTGPTVAMTKVITTGAPVSLVTPASSPPIKQTAAAAAALAAMGSMQPSSGIVTGQASQTPVVMRVGAPLSTVTVPAKGAQSAPRMTVLPTGTTAQPGTVKLVAPVGQTRAATPVSISSGPVTPLVQITTTTPPAPAAQPLLTIGVQTLPQVAPAAAAPAPSKPPNAPVSPVPNPTPSTATAATAPIDYKQLQLLYSDATAVAGWSVELSNTNARVLQVRAPPPPKETELYWLDKCCVQNNWAKRYGDRGSWIYLRTNIAVACHGARARPINDELTDMLITEWAMTDLNLCALTNTCKWEKEHTAVKVDDMVLPVWGGFVYMKNGITTASHLSRPLPAVGSLSPKNWTLTDIDLTWLDGLRCGSMGGYIVLKSKKNDKETYVVATHSERPPPLVGYTIPIDWAVVGSGPRLELIYLDNLYRPINNKPDESDNALGGFLYCKNGVLSFSHGLRPCPPPGNKPTKAKLRKWEWRSAQLEYLDNSYKGYEVMGGFVLLRTGDCVFGYAACGPPIDGRVAPIFWQNTATNYVHLEDVIGENGGGFVVILEKIAVARHGHRPRPVPGSWGVVRKWRPQLLVAPLNAPSVASAM
+>sp|Q9BYE0|HES7_HUMAN Transcription factor HES-7 OS=Homo sapiens OX=9606 GN=HES7 PE=1 SV=2
+MVTRDRAENRDGPKMLKPLVEKRRRDRINRSLEELRLLLLERTRDQNLRNPKLEKAEILEFAVGYLRERSRVEPPGVPRSPVQDAEALASCYLSGFRECLLRLAAFAHDASPAARAQLFSALHGYLRPKPPRPKPVDPRPPAPRPSLDPAAPALGPALHQRPPVHQGHPSPRCAWSPSLCSPRAGDSGAPAPLTGLLPPPPPPHRQDGAPKAPLPPPPAFWRPWP
+>DECOY_sp|Q9BYE0|HES7_HUMAN Transcription factor HES-7 OS=Homo sapiens OX=9606 GN=HES7 PE=1 SV=2
+PWPRWFAPPPPLPAKPAGDQRHPPPPPPLLGTLPAPAGSDGARPSCLSPSWACRPSPHGQHVPPRQHLAPGLAPAAPDLSPRPAPPRPDVPKPRPPKPRLYGHLASFLQARAAPSADHAFAALRLLCERFGSLYCSALAEADQVPSRPVGPPEVRSRERLYGVAFELIEAKELKPNRLNQDRTRELLLLRLEELSRNIRDRRRKEVLPKLMKPGDRNEARDRTVM
+>sp|Q9UBX0|HESX1_HUMAN Homeobox expressed in ES cells 1 OS=Homo sapiens OX=9606 GN=HESX1 PE=1 SV=1
+MSPSLQEGAQLGENKPSTCSFSIERILGLDQKKDCVPLMKPHRPWADTCSSSGKDGNLCLHVPNPPSGISFPSVVDHPMPEERASKYENYFSASERLSLKRELSWYRGRRPRTAFTQNQIEVLENVFRVNCYPGIDIREDLAQKLNLEEDRIQIWFQNRRAKLKRSHRESQFLMAKKNFNTNLLE
+>DECOY_sp|Q9UBX0|HESX1_HUMAN Homeobox expressed in ES cells 1 OS=Homo sapiens OX=9606 GN=HESX1 PE=1 SV=1
+ELLNTNFNKKAMLFQSERHSRKLKARRNQFWIQIRDEELNLKQALDERIDIGPYCNVRFVNELVEIQNQTFATRPRRGRYWSLERKLSLRESASFYNEYKSAREEPMPHDVVSPFSIGSPPNPVHLCLNGDKGSSSCTDAWPRHPKMLPVCDKKQDLGLIREISFSCTSPKNEGLQAGEQLSPSM
+>sp|O94992|HEXI1_HUMAN Protein HEXIM1 OS=Homo sapiens OX=9606 GN=HEXIM1 PE=1 SV=1
+MAEPFLSEYQHQPQTSNCTGAAAVQEELNPERPPGAEERVPEEDSRWQSRAFPQLGGRPGPEGEGSLESQPPPLQTQACPESSCLREGEKGQNGDDSSAGGDFPPPAEVEPTPEAELLAQPCHDSEASKLGAPAAGGEEEWGQQQRQLGKKKHRRRPSKKKRHWKPYYKLTWEEKKKFDEKQSLRASRIRAEMFAKGQPVAPYNTTQFLMDDHDQEEPDLKTGLYSKRAAAKSDDTSDDDFMEEGGEEDGGSDGMGGDGSEFLQRDFSETYERYHTESLQNMSKQELIKEYLELEKCLSRMEDENNRLRLESKRLGGDDARVRELELELDRLRAENLQLLTENELHRQQERAPLSKFGD
+>DECOY_sp|O94992|HEXI1_HUMAN Protein HEXIM1 OS=Homo sapiens OX=9606 GN=HEXIM1 PE=1 SV=1
+DGFKSLPAREQQRHLENETLLQLNEARLRDLELELERVRADDGGLRKSELRLRNNEDEMRSLCKELELYEKILEQKSMNQLSETHYREYTESFDRQLFESGDGGMGDSGGDEEGGEEMFDDDSTDDSKAAARKSYLGTKLDPEEQDHDDMLFQTTNYPAVPQGKAFMEARIRSARLSQKEDFKKKEEWTLKYYPKWHRKKKSPRRRHKKKGLQRQQQGWEEEGGAAPAGLKSAESDHCPQALLEAEPTPEVEAPPPFDGGASSDDGNQGKEGERLCSSEPCAQTQLPPPQSELSGEGEPGPRGGLQPFARSQWRSDEEPVREEAGPPREPNLEEQVAAAGTCNSTQPQHQYESLFPEAM
+>sp|Q9Y5J3|HEY1_HUMAN Hairy/enhancer-of-split related with YRPW motif protein 1 OS=Homo sapiens OX=9606 GN=HEY1 PE=1 SV=1
+MKRAHPEYSSSDSELDETIEVEKESADENGNLSSALGSMSPTTSSQILARKRRRGIIEKRRRDRINNSLSELRRLVPSAFEKQGSAKLEKAEILQMTVDHLKMLHTAGGKGYFDAHALAMDYRSLGFRECLAEVARYLSIIEGLDASDPLRVRLVSHLNNYASQREAASGAHAGLGHIPWGTVFGHHPHIAHPLLLPQNGHGNAGTTASPTEPHHQGRLGSAHPEAPALRAPPSGSLGPVLPVVTSASKLSPPLLSSVASLSAFPFSFGSFHLLSPNALSPSAPTQAANLGKPYRPWGTEIGAF
+>DECOY_sp|Q9Y5J3|HEY1_HUMAN Hairy/enhancer-of-split related with YRPW motif protein 1 OS=Homo sapiens OX=9606 GN=HEY1 PE=1 SV=1
+FAGIETGWPRYPKGLNAAQTPASPSLANPSLLHFSGFSFPFASLSAVSSLLPPSLKSASTVVPLVPGLSGSPPARLAPAEPHASGLRGQHHPETPSATTGANGHGNQPLLLPHAIHPHHGFVTGWPIHGLGAHAGSAAERQSAYNNLHSVLRVRLPDSADLGEIISLYRAVEALCERFGLSRYDMALAHADFYGKGGATHLMKLHDVTMQLIEAKELKASGQKEFASPVLRRLESLSNNIRDRRRKEIIGRRRKRALIQSSTTPSMSGLASSLNGNEDASEKEVEITEDLESDSSSYEPHARKM
+>sp|Q9NQ87|HEYL_HUMAN Hairy/enhancer-of-split related with YRPW motif-like protein OS=Homo sapiens OX=9606 GN=HEYL PE=1 SV=2
+MKRPKEPSGSDGESDGPIDVGQEGQLSQMARPLSTPSSSQMQARKKHRGIIEKRRRDRINSSLSELRRLVPTAFEKQGSSKLEKAEVLQMTVDHLKMLHATGGTGFFDARALAVDFRSIGFRECLTEVIRYLGVLEGPSSRADPVRIRLLSHLNSYAAEMEPSPTPTGPLAFPAWPWSFFHSCPGLPALSNQLAILGRVPSPVLPGVSSPAYPIPALRTAPLRRATGIILPARRNVLPSRGASSTRRARPLERPATPVPVAPSSRAARSSHIAPLLQSSSPTPPGPTGSAAYVAVPTPNSSSPGPAGRPAGAMLYHSWVSEITEIGAF
+>DECOY_sp|Q9NQ87|HEYL_HUMAN Hairy/enhancer-of-split related with YRPW motif-like protein OS=Homo sapiens OX=9606 GN=HEYL PE=1 SV=2
+FAGIETIESVWSHYLMAGAPRGAPGPSSSNPTPVAVYAASGTPGPPTPSSSQLLPAIHSSRAARSSPAVPVPTAPRELPRARRTSSAGRSPLVNRRAPLIIGTARRLPATRLAPIPYAPSSVGPLVPSPVRGLIALQNSLAPLGPCSHFFSWPWAPFALPGTPTPSPEMEAAYSNLHSLLRIRVPDARSSPGELVGLYRIVETLCERFGISRFDVALARADFFGTGGTAHLMKLHDVTMQLVEAKELKSSGQKEFATPVLRRLESLSSNIRDRRRKEIIGRHKKRAQMQSSSPTSLPRAMQSLQGEQGVDIPGDSEGDSGSPEKPRKM
+>sp|Q93099|HGD_HUMAN Homogentisate 1,2-dioxygenase OS=Homo sapiens OX=9606 GN=HGD PE=1 SV=2
+MAELKYISGFGNECSSEDPRCPGSLPEGQNNPQVCPYNLYAEQLSGSAFTCPRSTNKRSWLYRILPSVSHKPFESIDEGQVTHNWDEVDPDPNQLRWKPFEIPKASQKKVDFVSGLHTLCGAGDIKSNNGLAIHIFLCNTSMENRCFYNSDGDFLIVPQKGNLLIYTEFGKMLVQPNEICVIQRGMRFSIDVFEETRGYILEVYGVHFELPDLGPIGANGLANPRDFLIPIAWYEDRQVPGGYTVINKYQGKLFAAKQDVSPFNVVAWHGNYTPYKYNLKNFMVINSVAFDHADPSIFTVLTAKSVRPGVAIADFVIFPPRWGVADKTFRPPYYHRNCMSEFMGLIRGHYEAKQGGFLPGGGSLHSTMTPHGPDADCFEKASKVKLAPERIADGTMAFMFESSLSLAVTKWGLKASRCLDENYHKCWEPLKSHFTPNSRNPAEPN
+>DECOY_sp|Q93099|HGD_HUMAN Homogentisate 1,2-dioxygenase OS=Homo sapiens OX=9606 GN=HGD PE=1 SV=2
+NPEAPNRSNPTFHSKLPEWCKHYNEDLCRSAKLGWKTVALSLSSEFMFAMTGDAIREPALKVKSAKEFCDADPGHPTMTSHLSGGGPLFGGQKAEYHGRILGMFESMCNRHYYPPRFTKDAVGWRPPFIVFDAIAVGPRVSKATLVTFISPDAHDFAVSNIVMFNKLNYKYPTYNGHWAVVNFPSVDQKAAFLKGQYKNIVTYGGPVQRDEYWAIPILFDRPNALGNAGIPGLDPLEFHVGYVELIYGRTEEFVDISFRMGRQIVCIENPQVLMKGFETYILLNGKQPVILFDGDSNYFCRNEMSTNCLFIHIALGNNSKIDGAGCLTHLGSVFDVKKQSAKPIEFPKWRLQNPDPDVEDWNHTVQGEDISEFPKHSVSPLIRYLWSRKNTSRPCTFASGSLQEAYLNYPCVQPNNQGEPLSGPCRPDESSCENGFGSIYKLEAM
+>sp|Q04756|HGFA_HUMAN Hepatocyte growth factor activator OS=Homo sapiens OX=9606 GN=HGFAC PE=1 SV=1
+MGRWAWVPSPWPPPGLGPFLLLLLLLLLLPRGFQPQPGGNRTESPEPNATATPAIPTILVTSVTSETPATSAPEAEGPQSGGLPPPPRAVPSSSSPQAQALTEDGRPCRFPFRYGGRMLHACTSEGSAHRKWCATTHNYDRDRAWGYCVEATPPPGGPAALDPCASGPCLNGGSCSNTQDPQSYHCSCPRAFTGKDCGTEKCFDETRYEYLEGGDRWARVRQGHVEQCECFGGRTWCEGTRHTACLSSPCLNGGTCHLIVATGTTVCACPPGFAGRLCNIEPDERCFLGNGTGYRGVASTSASGLSCLAWNSDLLYQELHVDSVGAAALLGLGPHAYCRNPDNDERPWCYVVKDSALSWEYCRLEACESLTRVQLSPDLLATLPEPASPGRQACGRRHKKRTFLRPRIIGGSSSLPGSHPWLAAIYIGDSFCAGSLVHTCWVVSAAHCFSHSPPRDSVSVVLGQHFFNRTTDVTQTFGIEKYIPYTLYSVFNPSDHDLVLIRLKKKGDRCATRSQFVQPICLPEPGSTFPAGHKCQIAGWGHLDENVSGYSSSLREALVPLVADHKCSSPEVYGADISPNMLCAGYFDCKSDACQGDSGGPLACEKNGVAYLYGIISWGDGCGRLHKPGVYTRVANYVDWINDRIRPPRRLVAPS
+>DECOY_sp|Q04756|HGFA_HUMAN Hepatocyte growth factor activator OS=Homo sapiens OX=9606 GN=HGFAC PE=1 SV=1
+SPAVLRRPPRIRDNIWDVYNAVRTYVGPKHLRGCGDGWSIIGYLYAVGNKECALPGGSDGQCADSKCDFYGACLMNPSIDAGYVEPSSCKHDAVLPVLAERLSSSYGSVNEDLHGWGAIQCKHGAPFTSGPEPLCIPQVFQSRTACRDGKKKLRILVLDHDSPNFVSYLTYPIYKEIGFTQTVDTTRNFFHQGLVVSVSDRPPSHSFCHAASVVWCTHVLSGACFSDGIYIAALWPHSGPLSSSGGIIRPRLFTRKKHRRGCAQRGPSAPEPLTALLDPSLQVRTLSECAELRCYEWSLASDKVVYCWPREDNDPNRCYAHPGLGLLAAAGVSDVHLEQYLLDSNWALCSLGSASTSAVGRYGTGNGLFCREDPEINCLRGAFGPPCACVTTGTAVILHCTGGNLCPSSLCATHRTGECWTRGGFCECQEVHGQRVRAWRDGGELYEYRTEDFCKETGCDKGTFARPCSCHYSQPDQTNSCSGGNLCPGSACPDLAAPGGPPPTAEVCYGWARDRDYNHTTACWKRHASGESTCAHLMRGGYRFPFRCPRGDETLAQAQPSSSSPVARPPPPLGGSQPGEAEPASTAPTESTVSTVLITPIAPTATANPEPSETRNGGPQPQFGRPLLLLLLLLLLFPGLGPPPWPSPVWAWRGM
+>sp|Q5TD97|FHL5_HUMAN Four and a half LIM domains protein 5 OS=Homo sapiens OX=9606 GN=FHL5 PE=1 SV=1
+MTTAHFYCQYCTASLLGKKYVLKDDSPYCVTCYDRVFSNYCEECKKPIESDSKDLCYKDRHWHEGCFKCTKCNHSLVEKPFAAKDERLLCTECYSNECSSKCFHCKRTIMPGSRKMEFKGNYWHETCFVCENCRQPIGTKPLISKESGNYCVPCFEKEFAHYCNFCKKVITSGGITFCDQLWHKECFLCSGCRKDLCEEQFMSRDDYPFCVDCYNHLYANKCVACSKPISGLTGAKFICFQDSQWHSECFNCGKCSVSLVGKGFLTQNKEIFCQKCGSGMDTDI
+>DECOY_sp|Q5TD97|FHL5_HUMAN Four and a half LIM domains protein 5 OS=Homo sapiens OX=9606 GN=FHL5 PE=1 SV=1
+IDTDMGSGCKQCFIEKNQTLFGKGVLSVSCKGCNFCESHWQSDQFCIFKAGTLGSIPKSCAVCKNAYLHNYCDVCFPYDDRSMFQEECLDKRCGSCLFCEKHWLQDCFTIGGSTIVKKCFNCYHAFEKEFCPVCYNGSEKSILPKTGIPQRCNECVFCTEHWYNGKFEMKRSGPMITRKCHFCKSSCENSYCETCLLREDKAAFPKEVLSHNCKTCKFCGEHWHRDKYCLDKSDSEIPKKCEECYNSFVRDYCTVCYPSDDKLVYKKGLLSATCYQCYFHATTM
+>sp|Q9Y613|FHOD1_HUMAN FH1/FH2 domain-containing protein 1 OS=Homo sapiens OX=9606 GN=FHOD1 PE=1 SV=3
+MAGGEDRGDGEPVSVVTVRVQYLEDTDPFACANFPEPRRAPTCSLDGALPLGAQIPAVHRLLGAPLKLEDCALQVSPSGYYLDTELSLEEQREMLEGFYEEISKGRKPTLILRTQLSVRVNAILEKLYSSSGPELRRSLFSLKQIFQEDKDLVPEFVHSEGLSCLIRVGAAADHNYQSYILRALGQLMLFVDGMLGVVAHSDTIQWLYTLCASLSRLVVKTALKLLLVFVEYSENNAPLFIRAVNSVASTTGAPPWANLVSILEEKNGADPELLVYTVTLINKTLAALPDQDSFYDVTDALEQQGMEALVQRHLGTAGTDVDLRTQLVLYENALKLEDGDIEEAPGAGGRRERRKPSSEEGKRSRRSLEGGGCPARAPEPGPTGPASPVGPTSSTGPALLTGPASSPVGPPSGLQASVNLFPTISVAPSADTSSERSIYKARFLENVAAAETEKQVALAQGRAETLAGAMPNEAGGHPDARQLWDSPETAPAARTPQSPAPCVLLRAQRSLAPEPKEPLIPASPKAEPIWELPTRAPRLSIGDLDFSDLGEDEDQDMLNVESVEAGKDIPAPSPPLPLLSGVPPPPPLPPPPPIKGPFPPPPPLPLAAPLPHSVPDSSALPTKRKTVKLFWRELKLAGGHGVSASRFGPCATLWASLDPVSVDTARLEHLFESRAKEVLPSKKAGEGRRTMTTVLDPKRSNAINIGLTTLPPVHVIKAALLNFDEFAVSKDGIEKLLTMMPTEEERQKIEEAQLANPDIPLGPAENFLMTLASIGGLAARLQLWAFKLDYDSMEREIAEPLFDLKVGMEQLVQNATFRCILATLLAVGNFLNGSQSSGFELSYLEKVSEVKDTVRRQSLLHHLCSLVLQTRPESSDLYSEIPALTRCAKVDFEQLTENLGQLERRSRAAEESLRSLAKHELAPALRARLTHFLDQCARRVAMLRIVHRRVCNRFHAFLLYLGYTPQAAREVRIMQFCHTLREFALEYRTCRERVLQQQQKQATYRERNKTRGRMITETEKFSGVAGEAPSNPSVPVAVSSGPGRGDADSHASMKSLLTSRPEDTTHNRRSRGMVQSSSPIMPTVGPSTASPEEPPGSSLPSDTSDEIMDLLVQSVTKSSPRALAARERKRSRGNRKSLRRTLKSGLGDDLVQALGLSKGPGLEV
+>DECOY_sp|Q9Y613|FHOD1_HUMAN FH1/FH2 domain-containing protein 1 OS=Homo sapiens OX=9606 GN=FHOD1 PE=1 SV=3
+VELGPGKSLGLAQVLDDGLGSKLTRRLSKRNGRSRKRERAALARPSSKTVSQVLLDMIEDSTDSPLSSGPPEEPSATSPGVTPMIPSSSQVMGRSRRNHTTDEPRSTLLSKMSAHSDADGRGPGSSVAVPVSPNSPAEGAVGSFKETETIMRGRTKNRERYTAQKQQQQLVRERCTRYELAFERLTHCFQMIRVERAAQPTYGLYLLFAHFRNCVRRHVIRLMAVRRACQDLFHTLRARLAPALEHKALSRLSEEAARSRRELQGLNETLQEFDVKACRTLAPIESYLDSSEPRTQLVLSCLHHLLSQRRVTDKVESVKELYSLEFGSSQSGNLFNGVALLTALICRFTANQVLQEMGVKLDFLPEAIEREMSDYDLKFAWLQLRAALGGISALTMLFNEAPGLPIDPNALQAEEIKQREEETPMMTLLKEIGDKSVAFEDFNLLAAKIVHVPPLTTLGINIANSRKPDLVTTMTRRGEGAKKSPLVEKARSEFLHELRATDVSVPDLSAWLTACPGFRSASVGHGGALKLERWFLKVTKRKTPLASSDPVSHPLPAALPLPPPPPFPGKIPPPPPLPPPPPVGSLLPLPPSPAPIDKGAEVSEVNLMDQDEDEGLDSFDLDGISLRPARTPLEWIPEAKPSAPILPEKPEPALSRQARLLVCPAPSQPTRAAPATEPSDWLQRADPHGGAENPMAGALTEARGQALAVQKETEAAAVNELFRAKYISRESSTDASPAVSITPFLNVSAQLGSPPGVPSSAPGTLLAPGTSSTPGVPSAPGTPGPEPARAPCGGGELSRRSRKGEESSPKRRERRGGAGPAEEIDGDELKLANEYLVLQTRLDVDTGATGLHRQVLAEMGQQELADTVDYFSDQDPLAALTKNILTVTYVLLEPDAGNKEELISVLNAWPPAGTTSAVSNVARIFLPANNESYEVFVLLLKLATKVVLRSLSACLTYLWQITDSHAVVGLMGDVFLMLQGLARLIYSQYNHDAAAGVRILCSLGESHVFEPVLDKDEQFIQKLSFLSRRLEPGSSSYLKELIANVRVSLQTRLILTPKRGKSIEEYFGELMERQEELSLETDLYYGSPSVQLACDELKLPAGLLRHVAPIQAGLPLAGDLSCTPARRPEPFNACAFPDTDELYQVRVTVVSVPEGDGRDEGGAM
+>sp|Q02985|FHR3_HUMAN Complement factor H-related protein 3 OS=Homo sapiens OX=9606 GN=CFHR3 PE=1 SV=2
+MLLLINVILTLWVSCANGQVKPCDFPDIKHGGLFHENMRRPYFPVAVGKYYSYYCDEHFETPSGSYWDYIHCTQNGWSPAVPCLRKCYFPYLENGYNQNYGRKFVQGNSTEVACHPGYGLPKAQTTVTCTEKGWSPTPRCIRVRTCSKSDIEIENGFISESSSIYILNKEIQYKCKPGYATADGNSSGSITCLQNGWSAQPICINSSEKCGPPPPISNGDTTSFLLKVYVPQSRVEYQCQPYYELQGSNYVTCSNGEWSEPPRCIHPCIITEENMNKNNIKLKGRSDRKYYAKTGDTIEFMCKLGYNANTSILSFQAVCREGIVEYPRCE
+>DECOY_sp|Q02985|FHR3_HUMAN Complement factor H-related protein 3 OS=Homo sapiens OX=9606 GN=CFHR3 PE=1 SV=2
+ECRPYEVIGERCVAQFSLISTNANYGLKCMFEITDGTKAYYKRDSRGKLKINNKNMNEETIICPHICRPPESWEGNSCTVYNSGQLEYYPQCQYEVRSQPVYVKLLFSTTDGNSIPPPPGCKESSNICIPQASWGNQLCTISGSSNGDATAYGPKCKYQIEKNLIYISSSESIFGNEIEIDSKSCTRVRICRPTPSWGKETCTVTTQAKPLGYGPHCAVETSNGQVFKRGYNQNYGNELYPFYCKRLCPVAPSWGNQTCHIYDWYSGSPTEFHEDCYYSYYKGVAVPFYPRRMNEHFLGGHKIDPFDCPKVQGNACSVWLTLIVNILLLM
+>sp|Q92496|FHR4_HUMAN Complement factor H-related protein 4 OS=Homo sapiens OX=9606 GN=CFHR4 PE=1 SV=3
+MLLLINVILTLWVSCANGQEVKPCDFPEIQHGGLYYKSLRRLYFPAAAGQSYSYYCDQNFVTPSGSYWDYIHCTQDGWSPTVPCLRTCSKSDVEIENGFISESSSIYILNEETQYNCKPGYATAEGNSSGSITCLQNGWSTQPICIKFCDMPVFENSRAKSNGMWFKLHDTLDYECYDGYESSYGNTTDSIVCGEDGWSHLPTCYNSSENCGPPPPISNGDTTSFPQKVYLPWSRVEYQCQSYYELQGSKYVTCSNGDWSEPPRCISMKPCEFPEIQHGHLYYENTRRPYFPVATGQSYSYYCDQNFVTPSGSYWDYIHCTQDGWLPTVPCLRTCSKSDIEIENGFISESSSIYILNKEIQYKCKPGYATADGNSSGSITCLQNGWSAQPICIKFCDMPVFENSRAKSNGMRFKLHDTLDYECYDGYEISYGNTTGSIVCGEDGWSHFPTCYNSSEKCGPPPPISNGDTTSFLLKVYVPQSRVEYQCQSYYELQGSNYVTCSNGEWSEPPRCIHPCIITEENMNKNNIQLKGKSDIKYYAKTGDTIEFMCKLGYNANTSVLSFQAVCREGIVEYPRCE
+>DECOY_sp|Q92496|FHR4_HUMAN Complement factor H-related protein 4 OS=Homo sapiens OX=9606 GN=CFHR4 PE=1 SV=3
+ECRPYEVIGERCVAQFSLVSTNANYGLKCMFEITDGTKAYYKIDSKGKLQINNKNMNEETIICPHICRPPESWEGNSCTVYNSGQLEYYSQCQYEVRSQPVYVKLLFSTTDGNSIPPPPGCKESSNYCTPFHSWGDEGCVISGTTNGYSIEYGDYCEYDLTDHLKFRMGNSKARSNEFVPMDCFKICIPQASWGNQLCTISGSSNGDATAYGPKCKYQIEKNLIYISSSESIFGNEIEIDSKSCTRLCPVTPLWGDQTCHIYDWYSGSPTVFNQDCYYSYSQGTAVPFYPRRTNEYYLHGHQIEPFECPKMSICRPPESWDGNSCTVYKSGQLEYYSQCQYEVRSWPLYVKQPFSTTDGNSIPPPPGCNESSNYCTPLHSWGDEGCVISDTTNGYSSEYGDYCEYDLTDHLKFWMGNSKARSNEFVPMDCFKICIPQTSWGNQLCTISGSSNGEATAYGPKCNYQTEENLIYISSSESIFGNEIEVDSKSCTRLCPVTPSWGDQTCHIYDWYSGSPTVFNQDCYYSYSQGAAAPFYLRRLSKYYLGGHQIEPFDCPKVEQGNACSVWLTLIVNILLLM
+>sp|A5D6W6|FITM1_HUMAN Fat storage-inducing transmembrane protein 1 OS=Homo sapiens OX=9606 GN=FITM1 PE=2 SV=1
+MERGPVVGAGLGAGARIQALLGCLLKVLLWVASALLYFGSEQAARLLGSPCLRRLYHAWLAAVVIFGPLLQFHVNPRTIFASHGNFFNIKFVNSAWGWTCTFLGGFVLLVVFLATRRVAVTARHLSRLVVGAAVWRGAGRAFLLIEDLTGSCFEPLPQGLLLHELPDRRSCLAAGHQWRGYTVSSHTFLLTFCCLLMAEEAAVFAKYLAHGLPAGAPLRLVFLLNVLLLGLWNFLLLCTVIYFHQYTHKVVGAAVGTFAWYLTYGSWYHQPWSPGSPGHGLFPRPHSSRKHN
+>DECOY_sp|A5D6W6|FITM1_HUMAN Fat storage-inducing transmembrane protein 1 OS=Homo sapiens OX=9606 GN=FITM1 PE=2 SV=1
+NHKRSSHPRPFLGHGPSGPSWPQHYWSGYTLYWAFTGVAAGVVKHTYQHFYIVTCLLLFNWLGLLLVNLLFVLRLPAGAPLGHALYKAFVAAEEAMLLCCFTLLFTHSSVTYGRWQHGAALCSRRDPLEHLLLGQPLPEFCSGTLDEILLFARGAGRWVAAGVVLRSLHRATVAVRRTALFVVLLVFGGLFTCTWGWASNVFKINFFNGHSAFITRPNVHFQLLPGFIVVAALWAHYLRRLCPSGLLRAAQESGFYLLASAVWLLVKLLCGLLAQIRAGAGLGAGVVPGREM
+>sp|Q8N6M3|FITM2_HUMAN Fat storage-inducing transmembrane protein 2 OS=Homo sapiens OX=9606 GN=FITM2 PE=2 SV=1
+MEHLERCEWLLRGTLVRAAVRRYLPWALVASMLAGSLLKELSPLPESYLSNKRNVLNVYFVKVAWAWTFCLLLPFIALTNYHLTGKAGLVLRRLSTLLVGTAIWYICTSIFSNIEHYTGSCYQSPALEGVRKEHQSKQQCHQEGGFWHGFDISGHSFLLTFCALMIVEEMSVLHEVKTDRSHCLHTAITTLVVALGILTFIWVLMFLCTAVYFHNLSQKVFGTLFGLLSWYGTYGFWYPKAFSPGLPPQSCSLNLKQDSYKK
+>DECOY_sp|Q8N6M3|FITM2_HUMAN Fat storage-inducing transmembrane protein 2 OS=Homo sapiens OX=9606 GN=FITM2 PE=2 SV=1
+KKYSDQKLNLSCSQPPLGPSFAKPYWFGYTGYWSLLGFLTGFVKQSLNHFYVATCLFMLVWIFTLIGLAVVLTTIATHLCHSRDTKVEHLVSMEEVIMLACFTLLFSHGSIDFGHWFGGEQHCQQKSQHEKRVGELAPSQYCSGTYHEINSFISTCIYWIATGVLLTSLRRLVLGAKGTLHYNTLAIFPLLLCFTWAWAVKVFYVNLVNRKNSLYSEPLPSLEKLLSGALMSAVLAWPLYRRVAARVLTGRLLWECRELHEM
+>sp|Q86VR8|FJX1_HUMAN Four-jointed box protein 1 OS=Homo sapiens OX=9606 GN=FJX1 PE=2 SV=1
+MGRRMRGAAATAGLWLLALGSLLALWGGLLPPRTELPASRPPEDRLPRRPARSGGPAPAPRFPLPPPLAWDARGGSLKTFRALLTLAAGADGPPRQSRSEPRWHVSARQPRPEESAAVHGGVFWSRGLEEQVPPGFSEAQAAAWLEAARGARMVALERGGCGRSSNRLARFADGTRACVRYGINPEQIQGEALSYYLARLLGLQRHVPPLALARVEARGAQWAQVQEELRAAHWTEGSVVSLTRWLPNLTDVVVPAPWRSEDGRLRPLRDAGGELANLSQAELVDLVQWTDLILFDYLTANFDRLVSNLFSLQWDPRVMQRATSNLHRGPGGALVFLDNEAGLVHGYRVAGMWDKYNEPLLQSVCVFRERTARRVLELHRGQDAAARLLRLYRRHEPRFPELAALADPHAQLLQRRLDFLAKHILHCKAKYGRRSGT
+>DECOY_sp|Q86VR8|FJX1_HUMAN Four-jointed box protein 1 OS=Homo sapiens OX=9606 GN=FJX1 PE=2 SV=1
+TGSRRGYKAKCHLIHKALFDLRRQLLQAHPDALAALEPFRPEHRRYLRLLRAAADQGRHLELVRRATRERFVCVSQLLPENYKDWMGAVRYGHVLGAENDLFVLAGGPGRHLNSTARQMVRPDWQLSFLNSVLRDFNATLYDFLILDTWQVLDVLEAQSLNALEGGADRLPRLRGDESRWPAPVVVDTLNPLWRTLSVVSGETWHAARLEEQVQAWQAGRAEVRALALPPVHRQLGLLRALYYSLAEGQIQEPNIGYRVCARTGDAFRALRNSSRGCGGRELAVMRAGRAAELWAAAQAESFGPPVQEELGRSWFVGGHVAASEEPRPQRASVHWRPESRSQRPPGDAGAALTLLARFTKLSGGRADWALPPPLPFRPAPAPGGSRAPRRPLRDEPPRSAPLETRPPLLGGWLALLSGLALLWLGATAAAGRMRRGM
+>sp|Q9Y680|FKBP7_HUMAN Peptidyl-prolyl cis-trans isomerase FKBP7 OS=Homo sapiens OX=9606 GN=FKBP7 PE=1 SV=2
+MPKTMHFLFRFIVFFYLWGLFTAQRQKKEESTEEVKIEVLHRPENCSKTSKKGDLLNAHYDGYLAKDGSKFYCSRTQNEGHPKWFVLGVGQVIKGLDIAMTDMCPGEKRKVVIPPSFAYGKEGYAEGKIPPDATLIFEIELYAVTKGPRSIETFKQIDMDNDRQLSKAEINLYLQREFEKDEKPRDKSYQDAVLEDIFKKNDHDGDGFISPKEYNVYQHDEL
+>DECOY_sp|Q9Y680|FKBP7_HUMAN Peptidyl-prolyl cis-trans isomerase FKBP7 OS=Homo sapiens OX=9606 GN=FKBP7 PE=1 SV=2
+LEDHQYVNYEKPSIFGDGDHDNKKFIDELVADQYSKDRPKEDKEFERQLYLNIEAKSLQRDNDMDIQKFTEISRPGKTVAYLEIEFILTADPPIKGEAYGEKGYAFSPPIVVKRKEGPCMDTMAIDLGKIVQGVGLVFWKPHGENQTRSCYFKSGDKALYGDYHANLLDGKKSTKSCNEPRHLVEIKVEETSEEKKQRQATFLGWLYFFVIFRFLFHMTKPM
+>sp|Q14318|FKBP8_HUMAN Peptidyl-prolyl cis-trans isomerase FKBP8 OS=Homo sapiens OX=9606 GN=FKBP8 PE=1 SV=2
+MASCAEPSEPSAPLPAGVPPLEDFEVLDGVEDAEGEEEEEEEEEEEDDLSELPPLEDMGQPPAEEAEQPGALAREFLAAMEPEPAPAPAPEEWLDILGNGLLRKKTLVPGPPGSSRPVKGQVVTVHLQTSLENGTRVQEEPELVFTLGDCDVIQALDLSVPLMDVGETAMVTADSKYCYGPQGRSPYIPPHAALCLEVTLKTAVDGPDLEMLTGQERVALANRKRECGNAHYQRADFVLAANSYDLAIKAITSSAKVDMTFEEEAQLLQLKVKCLNNLAASQLKLDHYRAALRSCSLVLEHQPDNIKALFRKGKVLAQQGEYSEAIPILRAALKLEPSNKTIHAELSKLVKKHAAQRSTETALYRKMLGNPSRLPAKCPGKGAWSIPWKWLFGATAVALGGVALSVVIAARN
+>DECOY_sp|Q14318|FKBP8_HUMAN Peptidyl-prolyl cis-trans isomerase FKBP8 OS=Homo sapiens OX=9606 GN=FKBP8 PE=1 SV=2
+NRAAIVVSLAVGGLAVATAGFLWKWPISWAGKGPCKAPLRSPNGLMKRYLATETSRQAAHKKVLKSLEAHITKNSPELKLAARLIPIAESYEGQQALVKGKRFLAKINDPQHELVLSCSRLAARYHDLKLQSAALNNLCKVKLQLLQAEEEFTMDVKASSTIAKIALDYSNAALVFDARQYHANGCERKRNALAVREQGTLMELDPGDVATKLTVELCLAAHPPIYPSRGQPGYCYKSDATVMATEGVDMLPVSLDLAQIVDCDGLTFVLEPEEQVRTGNELSTQLHVTVVQGKVPRSSGPPGPVLTKKRLLGNGLIDLWEEPAPAPAPEPEMAALFERALAGPQEAEEAPPQGMDELPPLESLDDEEEEEEEEEEEGEADEVGDLVEFDELPPVGAPLPASPESPEACSAM
+>sp|Q96RU3|FNBP1_HUMAN Formin-binding protein 1 OS=Homo sapiens OX=9606 GN=FNBP1 PE=1 SV=2
+MSWGTELWDQFDNLEKHTQWGIDILEKYIKFVKERTEIELSYAKQLRNLSKKYQPKKNSKEEEEYKYTSCKAFISNLNEMNDYAGQHEVISENMASQIIVDLARYVQELKQERKSNFHDGRKAQQHIETCWKQLESSKRRFERDCKEADRAQQYFEKMDADINVTKADVEKARQQAQIRHQMAEDSKADYSSILQKFNHEQHEYYHTHIPNIFQKIQEMEERRIVRMGESMKTYAEVDRQVIPIIGKCLDGIVKAAESIDQKNDSQLVIEAYKSGFEPPGDIEFEDYTQPMKRTVSDNSLSNSRGEGKPDLKFGGKSKGKLWPFIKKNKLMSLLTSPHQPPPPPPASASPSAVPNGPQSPKQQKEPLSHRFNEFMTSKPKIHCFRSLKRGLSLKLGATPEDFSNLPPEQRRKKLQQKVDELNKEIQKEMDQRDAITKMKDVYLKNPQMGDPASLDHKLAEVSQNIEKLRVETQKFEAWLAEVEGRLPARSEQARRQSGLYDSQNPPTVNNCAQDRESPDGSYTEEQSQESEMKVLATDFDDEFDDEEPLPAIGTCKALYTFEGQNEGTISVVEGETLYVIEEDKGDGWTRIRRNEDEEGYVPTSYVEVCLDKNAKDS
+>DECOY_sp|Q96RU3|FNBP1_HUMAN Formin-binding protein 1 OS=Homo sapiens OX=9606 GN=FNBP1 PE=1 SV=2
+SDKANKDLCVEVYSTPVYGEEDENRRIRTWGDGKDEEIVYLTEGEVVSITGENQGEFTYLAKCTGIAPLPEEDDFEDDFDTALVKMESEQSQEETYSGDPSERDQACNNVTPPNQSDYLGSQRRAQESRAPLRGEVEALWAEFKQTEVRLKEINQSVEALKHDLSAPDGMQPNKLYVDKMKTIADRQDMEKQIEKNLEDVKQQLKKRRQEPPLNSFDEPTAGLKLSLGRKLSRFCHIKPKSTMFENFRHSLPEKQQKPSQPGNPVASPSASAPPPPPPQHPSTLLSMLKNKKIFPWLKGKSKGGFKLDPKGEGRSNSLSNDSVTRKMPQTYDEFEIDGPPEFGSKYAEIVLQSDNKQDISEAAKVIGDLCKGIIPIVQRDVEAYTKMSEGMRVIRREEMEQIKQFINPIHTHYYEHQEHNFKQLISSYDAKSDEAMQHRIQAQQRAKEVDAKTVNIDADMKEFYQQARDAEKCDREFRRKSSELQKWCTEIHQQAKRGDHFNSKREQKLEQVYRALDVIIQSAMNESIVEHQGAYDNMENLNSIFAKCSTYKYEEEEKSNKKPQYKKSLNRLQKAYSLEIETREKVFKIYKELIDIGWQTHKELNDFQDWLETGWSM
+>sp|Q4ZHG4|FNDC1_HUMAN Fibronectin type III domain-containing protein 1 OS=Homo sapiens OX=9606 GN=FNDC1 PE=2 SV=4
+MAPEAGATLRAPRRLSWAALLLLAALLPVASSAAASVDHPLKPRHVKLLSTKMGLKVTWDPPKDATSRPVEHYNIAYGKSLKSLKYIKVNAETYSFLIEDVEPGVVYFVLLTAENHSGVSRPVYRAESPPGGEWIEIDGFPIKGPGPFNETVTEKEVPNKPLRVRVRSSDDRLSVAWKAPRLSGAKSPRRSRGFLLGYGESGRKMNYVPLTRDERTHEIKKLASESVYVVSLQSMNSQGRSQPVYRAALTKRKISEEDELDVPDDISVRVMSSQSVLVSWVDPVLEKQKKVVASRQYTVRYREKGELARWDYKQIANRRVLIENLIPDTVYEFAVRISQGERDGKWSTSVFQRTPESAPTTAPENLNVWPVNGKPTVVAASWDALPETEGKVKEYILSYAPALKPFGAKSLTYPGDTTSALVDGLQPGERYLFKIRATNRRGLGPHSKAFIVAMPTTSKADVEQNTEDNGKPEKPEPSSPSPRAPASSQHPSVPASPQGRNAKDLLLDLKNKILANGGAPRKPQLRAKKAEELDLQSTEITGEEELGSREDSPMSPSDTQDQKRTLRPPSRHGHSVVAPGRTAVRARMPALPRREGVDKPGFSLATQPRPGAPPSASASPAHHASTQGTSHRPSLPASLNDNDLVDSDEDERAVGSLHPKGAFAQPRPALSPSRQSPSSVLRDRSSVHPGAKPASPARRTPHSGAAEEDSSASAPPSRLSPPHGGSSRLLPTQPHLSSPLSKGGKDGEDAPATNSNAPSRSTMSSSVSSHLSSRTQVSEGAEASDGESHGDGDREDGGRQAEATAQTLRARPASGHFHLLRHKPFAANGRSPSRFSIGRGPRLQPSSSPQSTVPSRAHPRVPSHSDSHPKLSSGIHGDEEDEKPLPATVVNDHVPSSSRQPISRGWEDLRRSPQRGASLHRKEPIPENPKSTGADTHPQGKYSSLASKAQDVQQSTDADTEGHSPKAQPGSTDRHASPARPPAARSQQHPSVPRRMTPGRAPQQQPPPPVATSQHHPGPQSRDAGRSPSQPRLSLTQAGRPRPTSQGRSHSSSDPYTASSRGMLPTALQNQDEDAQGSYDDDSTEVEAQDVRAPAHAARAKEAAASLPKHQQVESPTGAGAGGDHRSQRGHAASPARPSRPGGPQSRARVPSRAAPGKSEPPSKRPLSSKSQQSVSAEDDEEEDAGFFKGGKEDLLSSSVPKWPSSSTPRGGKDADGSLAKEEREPAIALAPRGGSLAPVKRPLPPPPGSSPRASHVPSRLPPRSAATVSPVAGTHPWPQYTTRAPPGHFSTTPMLSLRQRMMHARFRNPLSRQPARPSYRQGYNGRPNVEGKVLPGSNGKPNGQRIINGPQGTKWVVDLDRGLVLNAEGRYLQDSHGNPLRIKLGGDGRTIVDLEGTPVVSPDGLPLFGQGRHGTPLANAQDKPILSLGGKPLVGLEVIKKTTHPPTTTMQPTTTTTPLPTTTTPRPTTATTRRTTTTRRTTTRRPTTTVRTTTRTTTTTTPTPTTPIPTCPPGTLERHDDDGNLIMSSNGIPECYAEEDEFSGLETDTAVPTEEAYVIYDEDYEFETSRPPTTTEPSTTATTPRVIPEEGAISSFPEEEFDLAGRKRFVAPYVTYLNKDPSAPCSLTDALDHFQVDSLDEIIPNDLKKSDLPPQHAPRNITVVAVEGCHSFVIVDWDKATPGDVVTGYLVYSASYEDFIRNKWSTQASSVTHLPIENLKPNTRYYFKVQAQNPHGYGPISPSVSFVTESDNPLLVVRPPGGEPIWIPFAFKHDPSYTDCHGRQYVKRTWYRKFVGVVLCNSLRYKIYLSDNLKDTFYSIGDSWGRGEDHCQFVDSHLDGRTGPQSYVEALPTIQGYYRQYRQEPVRFGNIGFGTPYYYVGWYECGVSIPGKW
+>DECOY_sp|Q4ZHG4|FNDC1_HUMAN Fibronectin type III domain-containing protein 1 OS=Homo sapiens OX=9606 GN=FNDC1 PE=2 SV=4
+WKGPISVGCEYWGVYYYPTGFGINGFRVPEQRYQRYYGQITPLAEVYSQPGTRGDLHSDVFQCHDEGRGWSDGISYFTDKLNDSLYIKYRLSNCLVVGVFKRYWTRKVYQRGHCDTYSPDHKFAFPIWIPEGGPPRVVLLPNDSETVFSVSPSIPGYGHPNQAQVKFYYRTNPKLNEIPLHTVSSAQTSWKNRIFDEYSASYVLYGTVVDGPTAKDWDVIVFSHCGEVAVVTINRPAHQPPLDSKKLDNPIIEDLSDVQFHDLADTLSCPASPDKNLYTVYPAVFRKRGALDFEEEPFSSIAGEEPIVRPTTATTSPETTTPPRSTEFEYDEDYIVYAEETPVATDTELGSFEDEEAYCEPIGNSSMILNGDDDHRELTGPPCTPIPTTPTPTTTTTTRTTTRVTTTPRRTTTRRTTTTRRTTATTPRPTTTTPLPTTTTTPQMTTTPPHTTKKIVELGVLPKGGLSLIPKDQANALPTGHRGQGFLPLGDPSVVPTGELDVITRGDGGLKIRLPNGHSDQLYRGEANLVLGRDLDVVWKTGQPGNIIRQGNPKGNSGPLVKGEVNPRGNYGQRYSPRAPQRSLPNRFRAHMMRQRLSLMPTTSFHGPPARTTYQPWPHTGAVPSVTAASRPPLRSPVHSARPSSGPPPPLPRKVPALSGGRPALAIAPEREEKALSGDADKGGRPTSSSPWKPVSSSLLDEKGGKFFGADEEEDDEASVSQQSKSSLPRKSPPESKGPAARSPVRARSQPGGPRSPRAPSAAHGRQSRHDGGAGAGTPSEVQQHKPLSAAAEKARAAHAPARVDQAEVETSDDDYSGQADEDQNQLATPLMGRSSATYPDSSSHSRGQSTPRPRGAQTLSLRPQSPSRGADRSQPGPHHQSTAVPPPPQQQPARGPTMRRPVSPHQQSRAAPPRAPSAHRDTSGPQAKPSHGETDADTSQQVDQAKSALSSYKGQPHTDAGTSKPNEPIPEKRHLSAGRQPSRRLDEWGRSIPQRSSSPVHDNVVTAPLPKEDEEDGHIGSSLKPHSDSHSPVRPHARSPVTSQPSSSPQLRPGRGISFRSPSRGNAAFPKHRLLHFHGSAPRARLTQATAEAQRGGDERDGDGHSEGDSAEAGESVQTRSSLHSSVSSSMTSRSPANSNTAPADEGDKGGKSLPSSLHPQTPLLRSSGGHPPSLRSPPASASSDEEAAGSHPTRRAPSAPKAGPHVSSRDRLVSSPSQRSPSLAPRPQAFAGKPHLSGVAREDEDSDVLDNDNLSAPLSPRHSTGQTSAHHAPSASASPPAGPRPQTALSFGPKDVGERRPLAPMRARVATRGPAVVSHGHRSPPRLTRKQDQTDSPSMPSDERSGLEEEGTIETSQLDLEEAKKARLQPKRPAGGNALIKNKLDLLLDKANRGQPSAPVSPHQSSAPARPSPSSPEPKEPKGNDETNQEVDAKSTTPMAVIFAKSHPGLGRRNTARIKFLYREGPQLGDVLASTTDGPYTLSKAGFPKLAPAYSLIYEKVKGETEPLADWSAAVVTPKGNVPWVNLNEPATTPASEPTRQFVSTSWKGDREGQSIRVAFEYVTDPILNEILVRRNAIQKYDWRALEGKERYRVTYQRSAVVKKQKELVPDVWSVLVSQSSMVRVSIDDPVDLEDEESIKRKTLAARYVPQSRGQSNMSQLSVVYVSESALKKIEHTREDRTLPVYNMKRGSEGYGLLFGRSRRPSKAGSLRPAKWAVSLRDDSSRVRVRLPKNPVEKETVTENFPGPGKIPFGDIEIWEGGPPSEARYVPRSVGSHNEATLLVFYVVGPEVDEILFSYTEANVKIYKLSKLSKGYAINYHEVPRSTADKPPDWTVKLGMKTSLLKVHRPKLPHDVSAAASSAVPLLAALLLLAAWSLRRPARLTAGAEPAM
+>sp|Q8WW38|FOG2_HUMAN Zinc finger protein ZFPM2 OS=Homo sapiens OX=9606 GN=ZFPM2 PE=1 SV=3
+MSRRKQSKPRQIKRPLEDAIEDEEEECPSEETDIISKGDFPLEESFSTEFGPENLSCEEVEYFCNKGDDEGIQETAESDGDTQSEKPGQPGVETDDWDGPGELEVFQKDGERKIQSRQQLPVGTTWGPFPGKMDLNNNSLKTKAQVPMVLTAGPKWLLDVTWQGVEDNKNNCIVYSKGGQLWCTTTKAISEGEELIAFVVDFDSRLQAASQMTLTEGMYPARLLDSIQLLPQQAAMASILPTAIVNKDIFPCKSCGIWYRSERNLQAHLMYYCSGRQREAAPVSEENEDSAHQISSLCPFPQCTKSFSNARALEMHLNSHSGVKMEEFLPPGASLKCTVCSYTADSVINFHQHLFSHLTQAAFRCNHCHFGFQTQRELLQHQELHVPSGKLPRESDMEHSPSATEDSLQPATDLLTRSELPQSQKAMQTKDASSDTELDKCEKKTQLFLTNQRPEIQPTTNKQSFSYTKIKSEPSSPRLASSPVQPNIGPSFPVGPFLSQFSFPQDITMVPQASEILAKMSELVHRRLRHGSSSYPPVIYSPLMPKGATCFECNITFNNLDNYLVHKKHYCSSRWQQMAKSPEFPSVSEKMPEALSPNTGQTSINLLNPAAHSADPENPLLQTSCINSSTVLDLIGPNGKGHDKDFSTQTKKLSTSSNNDDKINGKPVDVKNPSVPLVDGESDPNKTTCEACNITFSRHETYMVHKQYYCATRHDPPLKRSASNKVPAMQRTMRTRKRRKMYEMCLPEQEQRPPLVQQRFLDVANLNNPCTSTQEPTEGLGECYHPRCDIFPGIVSKHLETSLTINKCVPVSKCDTTHSSVSCLEMDVPIDLSKKCLSQSERTTTSPKRLLDYHECTVCKISFNKVENYLAHKQNFCPVTAHQRNDLGQLDGKVFPNPESERNSPDVSYERSIIKCEKNGNLKQPSPNGNLFSSHLATLQGLKVFSEAAQLIATKEENRHLFLPQCLYPGAIKKAKGADQLSPYYGIKPSDYISGSLVIHNTDIEQSRNAENESPKGQASSNGCAALKKDSLPLLPKNRGMVIVNGGLKQDERPAANPQQENISQNPQHEDDHKSPSWISENPLAANENVSPGIPSAEEQLSSIAKGVNGSSQAPTSGKYCRLCDIQFNNLSNFITHKKFYCSSHAAEHVK
+>DECOY_sp|Q8WW38|FOG2_HUMAN Zinc finger protein ZFPM2 OS=Homo sapiens OX=9606 GN=ZFPM2 PE=1 SV=3
+KVHEAAHSSCYFKKHTIFNSLNNFQIDCLRCYKGSTPAQSSGNVGKAISSLQEEASPIGPSVNENAALPNESIWSPSKHDDEHQPNQSINEQQPNAAPREDQKLGGNVIVMGRNKPLLPLSDKKLAACGNSSAQGKPSENEANRSQEIDTNHIVLSGSIYDSPKIGYYPSLQDAGKAKKIAGPYLCQPLFLHRNEEKTAILQAAESFVKLGQLTALHSSFLNGNPSPQKLNGNKECKIISREYSVDPSNRESEPNPFVKGDLQGLDNRQHATVPCFNQKHALYNEVKNFSIKCVTCEHYDLLRKPSTTTRESQSLCKKSLDIPVDMELCSVSSHTTDCKSVPVCKNITLSTELHKSVIGPFIDCRPHYCEGLGETPEQTSTCPNNLNAVDLFRQQVLPPRQEQEPLCMEYMKRRKRTRMTRQMAPVKNSASRKLPPDHRTACYYQKHVMYTEHRSFTINCAECTTKNPDSEGDVLPVSPNKVDVPKGNIKDDNNSSTSLKKTQTSFDKDHGKGNPGILDLVTSSNICSTQLLPNEPDASHAAPNLLNISTQGTNPSLAEPMKESVSPFEPSKAMQQWRSSCYHKKHVLYNDLNNFTINCEFCTAGKPMLPSYIVPPYSSSGHRLRRHVLESMKALIESAQPVMTIDQPFSFQSLFPGVPFSPGINPQVPSSALRPSSPESKIKTYSFSQKNTTPQIEPRQNTLFLQTKKECKDLETDSSADKTQMAKQSQPLESRTLLDTAPQLSDETASPSHEMDSERPLKGSPVHLEQHQLLERQTQFGFHCHNCRFAAQTLHSFLHQHFNIVSDATYSCVTCKLSAGPPLFEEMKVGSHSNLHMELARANSFSKTCQPFPCLSSIQHASDENEESVPAAERQRGSCYYMLHAQLNRESRYWIGCSKCPFIDKNVIATPLISAMAAQQPLLQISDLLRAPYMGETLTMQSAAQLRSDFDVVFAILEEGESIAKTTTCWLQGGKSYVICNNKNDEVGQWTVDLLWKPGATLVMPVQAKTKLSNNNLDMKGPFPGWTTGVPLQQRSQIKREGDKQFVELEGPGDWDDTEVGPQGPKESQTDGDSEATEQIGEDDGKNCFYEVEECSLNEPGFETSFSEELPFDGKSIIDTEESPCEEEEDEIADELPRKIQRPKSQKRRSM
+>sp|Q9UJU5|FOXD3_HUMAN Forkhead box protein D3 OS=Homo sapiens OX=9606 GN=FOXD3 PE=1 SV=1
+MTLSGGGSASDMSGQTVLTAEDVDIDVVGEGDDGLEEKDSDAGCDSPAGPPELRLDEADEVPPAAPHHGQPQPPHQQPLTLPKEAAGAGAGPGGDVGAPEADGCKGGVGGEEGGASGGGPGAGSGSAGGLAPSKPKNSLVKPPYSYIALITMAILQSPQKKLTLSGICEFISNRFPYYREKFPAWQNSIRHNLSLNDCFVKIPREPGNPGKGNYWTLDPQSEDMFDNGSFLRRRKRFKRHQQEHLREQTALMMQSFGAYSLAAAAGAAGPYGRPYGLHPAAAAGAYSHPAAAAAAAAAAALQYPYALPPVAPVLPPAVPLLPSGELGRKAAAFGSQLGPGLQLQLNSLGAAAAAAGTAGAAGTTASLIKSEPSARPSFSIENIIGGGPAAPGGSAVGAGVAGGTGGSGGGSTAQSFLRPPGTVQSAALMATHQPLSLSRTTATIAPILSVPLSGQFLQPAASAAAAAAAAAQAKWPAQ
+>DECOY_sp|Q9UJU5|FOXD3_HUMAN Forkhead box protein D3 OS=Homo sapiens OX=9606 GN=FOXD3 PE=1 SV=1
+QAPWKAQAAAAAAAAASAAPQLFQGSLPVSLIPAITATTRSLSLPQHTAMLAASQVTGPPRLFSQATSGGGSGGTGGAVGAGVASGGPAAPGGGIINEISFSPRASPESKILSATTGAAGATGAAAAAAGLSNLQLQLGPGLQSGFAAAKRGLEGSPLLPVAPPLVPAVPPLAYPYQLAAAAAAAAAAAPHSYAGAAAAPHLGYPRGYPGAAGAAAALSYAGFSQMMLATQERLHEQQHRKFRKRRRLFSGNDFMDESQPDLTWYNGKGPNGPERPIKVFCDNLSLNHRISNQWAPFKERYYPFRNSIFECIGSLTLKKQPSQLIAMTILAIYSYPPKVLSNKPKSPALGGASGSGAGPGGGSAGGEEGGVGGKCGDAEPAGVDGGPGAGAGAAEKPLTLPQQHPPQPQGHHPAAPPVEDAEDLRLEPPGAPSDCGADSDKEELGDDGEGVVDIDVDEATLVTQGSMDSASGGGSLTM
+>sp|Q13461|FOXE3_HUMAN Forkhead box protein E3 OS=Homo sapiens OX=9606 GN=FOXE3 PE=1 SV=2
+MAGRSDMDPPAAFSGFPALPAVAPSGPPPSPLAGAEPGREPEEAAAGRGEAAPTPAPGPGRRRRRPLQRGKPPYSYIALIAMALAHAPGRRLTLAAIYRFITERFAFYRDSPRKWQNSIRHNLTLNDCFVKVPREPGNPGKGNYWTLDPAAADMFDNGSFLRRRKRFKRAELPAHAAAAPGPPLPFPYAPYAPAPGPALLVPPPSAGPGPSPPARLFSVDSLVNLQPELAGLGAPEPPCCAAPDAAAAAFPPCAAAASPPLYSQVPDRLVLPATRPGPGPLPAEPLLALAGPAAALGPLSPGEAYLRQPGFASGLERYL
+>DECOY_sp|Q13461|FOXE3_HUMAN Forkhead box protein E3 OS=Homo sapiens OX=9606 GN=FOXE3 PE=1 SV=2
+LYRELGSAFGPQRLYAEGPSLPGLAAAPGALALLPEAPLPGPGPRTAPLVLRDPVQSYLPPSAAAACPPFAAAAADPAACCPPEPAGLGALEPQLNVLSDVSFLRAPPSPGPGASPPPVLLAPGPAPAYPAYPFPLPPGPAAAAHAPLEARKFRKRRRLFSGNDFMDAAAPDLTWYNGKGPNGPERPVKVFCDNLTLNHRISNQWKRPSDRYFAFRETIFRYIAALTLRRGPAHALAMAILAIYSYPPKGRQLPRRRRRGPGPAPTPAAEGRGAAAEEPERGPEAGALPSPPPGSPAVAPLAPFGSFAAPPDMDSRGAM
+>sp|Q12951|FOXI1_HUMAN Forkhead box protein I1 OS=Homo sapiens OX=9606 GN=FOXI1 PE=1 SV=3
+MSSFDLPAPSPPRCSPQFPSIGQEPPEMNLYYENFFHPQGVPSPQRPSFEGGGEYGATPNPYLWFNGPTMTPPPYLPGPNASPFLPQAYGVQRPLLPSVSGLGGSDLGWLPIPSQEELMKLVRPPYSYSALIAMAIHGAPDKRLTLSQIYQYVADNFPFYNKSKAGWQNSIRHNLSLNDCFKKVPRDEDDPGKGNYWTLDPNCEKMFDNGNFRRKRKRKSDVSSSTASLALEKTESSLPVDSPKTTEPQDILDGASPGGTTSSPEKRPSPPPSGAPCLNSFLSSMTAYVSGGSPTSHPLVTPGLSPEPSDKTGQNSLTFNSFSPLTNLSNHSGGGDWANPMPTNMLSYGGSVLSQFSPHFYNSVNTSGVLYPREGTEV
+>DECOY_sp|Q12951|FOXI1_HUMAN Forkhead box protein I1 OS=Homo sapiens OX=9606 GN=FOXI1 PE=1 SV=3
+VETGERPYLVGSTNVSNYFHPSFQSLVSGGYSLMNTPMPNAWDGGGSHNSLNTLPSFSNFTLSNQGTKDSPEPSLGPTVLPHSTPSGGSVYATMSSLFSNLCPAGSPPPSPRKEPSSTTGGPSAGDLIDQPETTKPSDVPLSSETKELALSATSSSVDSKRKRKRRFNGNDFMKECNPDLTWYNGKGPDDEDRPVKKFCDNLSLNHRISNQWGAKSKNYFPFNDAVYQYIQSLTLRKDPAGHIAMAILASYSYPPRVLKMLEEQSPIPLWGLDSGGLGSVSPLLPRQVGYAQPLFPSANPGPLYPPPTMTPGNFWLYPNPTAGYEGGGEFSPRQPSPVGQPHFFNEYYLNMEPPEQGISPFQPSCRPPSPAPLDFSSM
+>sp|Q9P0K8|FOXJ2_HUMAN Forkhead box protein J2 OS=Homo sapiens OX=9606 GN=FOXJ2 PE=1 SV=1
+MASDLESSLTSIDWLPQLTLRATIEKLGSASQAGPPGSSRKCSPGSPTDPNATLSKDEAAVHQDGKPRYSYATLITYAINSSPAKKMTLSEIYRWICDNFPYYKNAGIGWKNSIRHNLSLNKCFRKVPRPRDDPGKGSYWTIDTCPDISRKRRHPPDDDLSQDSPEQEASKSPRGGVAGSGEASLPPEGNPQMSLQSPTSIASYSQGTGSVDGGAVAAGASGRESAEGPPPLYNTNHDFKFSYSEINFQDLSWSFRNLYKSMLEKSSSSSQHGFSSLLGDIPPSNNYYMYQQQQPPPPQQQQQQQQPPQPPPQQSQPQQQQAPAQGPSAVGGAPPLHTPSTDGCTPPGGKQAGAEGYGPPPVMAMHPPPLQHGGYHPHQHHPHSHPAQQPPPPQPQAQGQAPINNTGFAFPSDWCSNIDSLKESFKMVNRLNWSSIEQSQFSELMESLRQAEQKNWTLDQHHIANLCDSLNHFLTQTGHVPPQGGTHRPPAPARIADSCALTSGKQESAMSQVNSYGHPQAPHLYPGPSPMYPIPTQDSAGYNRPAHHMVPRPSVPPPGANEEIPDDFDWDLIT
+>DECOY_sp|Q9P0K8|FOXJ2_HUMAN Forkhead box protein J2 OS=Homo sapiens OX=9606 GN=FOXJ2 PE=1 SV=1
+TILDWDFDDPIEENAGPPPVSPRPVMHHAPRNYGASDQTPIPYMPSPGPYLHPAQPHGYSNVQSMASEQKGSTLACSDAIRAPAPPRHTGGQPPVHGTQTLFHNLSDCLNAIHHQDLTWNKQEAQRLSEMLESFQSQEISSWNLRNVMKFSEKLSDINSCWDSPFAFGTNNIPAQGQAQPQPPPPQQAPHSHPHHQHPHYGGHQLPPPHMAMVPPPGYGEAGAQKGGPPTCGDTSPTHLPPAGGVASPGQAPAQQQQPQSQQPPPQPPQQQQQQQQPPPPQQQQYMYYNNSPPIDGLLSSFGHQSSSSSKELMSKYLNRFSWSLDQFNIESYSFKFDHNTNYLPPPGEASERGSAGAAVAGGDVSGTGQSYSAISTPSQLSMQPNGEPPLSAEGSGAVGGRPSKSAEQEPSDQSLDDDPPHRRKRSIDPCTDITWYSGKGPDDRPRPVKRFCKNLSLNHRISNKWGIGANKYYPFNDCIWRYIESLTMKKAPSSNIAYTILTAYSYRPKGDQHVAAEDKSLTANPDTPSGPSCKRSSGPPGAQSASGLKEITARLTLQPLWDISTLSSELDSAM
+>sp|Q01167|FOXK2_HUMAN Forkhead box protein K2 OS=Homo sapiens OX=9606 GN=FOXK2 PE=1 SV=3
+MAAAAAALSGAGTPPAGGGAGGGGAGGGGSPPGGWAVARLEGREFEYLMKKRSVTIGRNSSQGSVDVSMGHSSFISRRHLEIFTPPGGGGHGGAAPELPPAQPRPDAGGDFYLRCLGKNGVFVDGVFQRRGAPPLQLPRVCTFRFPSTNIKITFTALSSEKREKQEASESPVKAVQPHISPLTINIPDTMAHLISPLPSPTGTISAANSCPSSPRGAGSSGYKVGRVMPSDLNLMADNSQPENEKEASGGDSPKDDSKPPYSYAQLIVQAITMAPDKQLTLNGIYTHITKNYPYYRTADKGWQNSIRHNLSLNRYFIKVPRSQEEPGKGSFWRIDPASESKLIEQAFRKRRPRGVPCFRTPLGPLSSRSAPASPNHAGVLSAHSSGAQTPESLSREGSPAPLEPEPGAAQPKLAVIQEARFAQSAPGSPLSSQPVLITVQRQLPQAIKPVTYTVATPVTTSTSQPPVVQTVHVVHQIPAVSVTSVAGLAPANTYTVSGQAVVTPAAVLAPPKAEAQENGDHREVKVKVEPIPAIGHATLGTASRIIQTAQTTPVQTVTIVQQAPLGQHQLPIKTVTQNGTHVASVPTAVHGQVNNAAASPLHMLATHASASASLPTKRHNGDQPEQPELKRIKTEDGEGIVIALSVDTPPAAVREKGVQN
+>DECOY_sp|Q01167|FOXK2_HUMAN Forkhead box protein K2 OS=Homo sapiens OX=9606 GN=FOXK2 PE=1 SV=3
+NQVGKERVAAPPTDVSLAIVIGEGDETKIRKLEPQEPQDGNHRKTPLSASASAHTALMHLPSAAANNVQGHVATPVSAVHTGNQTVTKIPLQHQGLPAQQVITVTQVPTTQATQIIRSATGLTAHGIAPIPEVKVKVERHDGNEQAEAKPPALVAAPTVVAQGSVTYTNAPALGAVSTVSVAPIQHVVHVTQVVPPQSTSTTVPTAVTYTVPKIAQPLQRQVTILVPQSSLPSGPASQAFRAEQIVALKPQAAGPEPELPAPSGERSLSEPTQAGSSHASLVGAHNPSAPASRSSLPGLPTRFCPVGRPRRKRFAQEILKSESAPDIRWFSGKGPEEQSRPVKIFYRNLSLNHRISNQWGKDATRYYPYNKTIHTYIGNLTLQKDPAMTIAQVILQAYSYPPKSDDKPSDGGSAEKENEPQSNDAMLNLDSPMVRGVKYGSSGAGRPSSPCSNAASITGTPSPLPSILHAMTDPINITLPSIHPQVAKVPSESAEQKERKESSLATFTIKINTSPFRFTCVRPLQLPPAGRRQFVGDVFVGNKGLCRLYFDGGADPRPQAPPLEPAAGGHGGGGPPTFIELHRRSIFSSHGMSVDVSGQSSNRGITVSRKKMLYEFERGELRAVAWGGPPSGGGGAGGGGAGGGAPPTGAGSLAAAAAAM
+>sp|O15353|FOXN1_HUMAN Forkhead box protein N1 OS=Homo sapiens OX=9606 GN=FOXN1 PE=1 SV=1
+MVSLPPPQSDVTLPGPTRLEGERQGDLMQAPGLPGSPAPQSKHAGFSCSSFVSDGPPERTPSLPPHSPRIASPGPEQVQGHCPAGPGPGPFRLSPSDKYPGFGFEEAAASSPGRFLKGSHAPFHPYKRPFHEDVFPEAETTLALKGHSFKTPGPLEAFEEIPVDVAEAEAFLPGFSAEAWCNGLPYPSQEHGPQVLGSEVKVKPPVLESGAGMFCYQPPLQHMYCSSQPPFHQYSPGGGSYPIPYLGSSHYQYQRMAPQASTDGHQPLFPKPIYSYSILIFMALKNSKTGSLPVSEIYNFMTEHFPYFKTAPDGWKNSVRHNLSLNKCFEKVENKSGSSSRKGCLWALNPAKIDKMQEELQKWKRKDPIAVRKSMAKPEELDSLIGDKREKLGSPLLGCPPPGLSGSGPIRPLAPPAGLSPPLHSLHPAPGPIPGKNPLQDLLMGHTPSCYGQTYLHLSPGLAPPGPPQPLFPQPDGHLELRAQPGTPQDSPLPAHTPPSHSAKLLAEPSPARTMHDTLLPDGDLGTDLDAINPSLTDFDFQGNLWEQLKDDSLALDPLVLVTSSPTSSSMPPPQPPPHCFPPGPCLTETGSGAGDLAAPGSGGSGALGDLHLTTLYSAFMELEPTPPTAPAGPSVYLSPSSKPVALA
+>DECOY_sp|O15353|FOXN1_HUMAN Forkhead box protein N1 OS=Homo sapiens OX=9606 GN=FOXN1 PE=1 SV=1
+ALAVPKSSPSLYVSPGAPATPPTPELEMFASYLTTLHLDGLAGSGGSGPAALDGAGSGTETLCPGPPFCHPPPQPPPMSSSTPSSTVLVLPDLALSDDKLQEWLNGQFDFDTLSPNIADLDTGLDGDPLLTDHMTRAPSPEALLKASHSPPTHAPLPSDQPTGPQARLELHGDPQPFLPQPPGPPALGPSLHLYTQGYCSPTHGMLLDQLPNKGPIPGPAPHLSHLPPSLGAPPALPRIPGSGSLGPPPCGLLPSGLKERKDGILSDLEEPKAMSKRVAIPDKRKWKQLEEQMKDIKAPNLAWLCGKRSSSGSKNEVKEFCKNLSLNHRVSNKWGDPATKFYPFHETMFNYIESVPLSGTKSNKLAMFILISYSYIPKPFLPQHGDTSAQPAMRQYQYHSSGLYPIPYSGGGPSYQHFPPQSSCYMHQLPPQYCFMGAGSELVPPKVKVESGLVQPGHEQSPYPLGNCWAEASFGPLFAEAEAVDVPIEEFAELPGPTKFSHGKLALTTEAEPFVDEHFPRKYPHFPAHSGKLFRGPSSAAAEEFGFGPYKDSPSLRFPGPGPGAPCHGQVQEPGPSAIRPSHPPLSPTREPPGDSVFSSCSFGAHKSQPAPSGPLGPAQMLDGQREGELRTPGPLTVDSQPPPLSVM
+>sp|A8MYZ6|FOXO6_HUMAN Forkhead box protein O6 OS=Homo sapiens OX=9606 GN=FOXO6 PE=1 SV=1
+MAAKLRAHQVDVDPDFAPQSRPRSCTWPLPQPDLAGDEDGALGAGVAEGAEDCGPERRATAPAMAPAPPLGAEVGPLRKAKSSRRNAWGNLSYADLITKAIESAPDKRLTLSQIYDWMVRYVPYFKDKGDSNSSAGWKNSIRHNLSLHTRFIRVQNEGTGKSSWWMLNPEGGKTGKTPRRRAVSMDNGAKFLRIKGKASKKKQLQAPERSPDDSSPSAPAPGPVPAAAKWAASPASHASDDYEAWADFRGGGRPLLGEAAELEDDEALEALAPSSPLMYPSPASALSPALGSRCPGELPRLAELGGPLGLHGGGGAGLPEGLLDGAQDAYGPRPAPRPGPVLGAPGELALAGAAAAYPGKGAAPYAPPAPSRSALAHPISLMTLPGEAGAAGLAPPGHAAAFGGPPGGLLLDALPGPYAAAAAGPLGAAPDRFPADLDLDMFSGSLECDVESIILNDFMDSDEMDFNFDSALPPPPPGLAGAPPPNQSWVPG
+>DECOY_sp|A8MYZ6|FOXO6_HUMAN Forkhead box protein O6 OS=Homo sapiens OX=9606 GN=FOXO6 PE=1 SV=1
+GPVWSQNPPPAGALGPPPPPLASDFNFDMEDSDMFDNLIISEVDCELSGSFMDLDLDAPFRDPAAGLPGAAAAAYPGPLADLLLGGPPGGFAAAHGPPALGAAGAEGPLTMLSIPHALASRSPAPPAYPAAGKGPYAAAAGALALEGPAGLVPGPRPAPRPGYADQAGDLLGEPLGAGGGGHLGLPGGLEALRPLEGPCRSGLAPSLASAPSPYMLPSSPALAELAEDDELEAAEGLLPRGGGRFDAWAEYDDSAHSAPSAAWKAAAPVPGPAPASPSSDDPSREPAQLQKKKSAKGKIRLFKAGNDMSVARRRPTKGTKGGEPNLMWWSSKGTGENQVRIFRTHLSLNHRISNKWGASSNSDGKDKFYPVYRVMWDYIQSLTLRKDPASEIAKTILDAYSLNGWANRRSSKAKRLPGVEAGLPPAPAMAPATARREPGCDEAGEAVGAGLAGDEDGALDPQPLPWTCSRPRSQPAFDPDVDVQHARLKAAM
+>sp|O15409|FOXP2_HUMAN Forkhead box protein P2 OS=Homo sapiens OX=9606 GN=FOXP2 PE=1 SV=2
+MMQESATETISNSSMNQNGMSTLSSQLDAGSRDGRSSGDTSSEVSTVELLHLQQQQALQAARQLLLQQQTSGLKSPKSSDKQRPLQVPVSVAMMTPQVITPQQMQQILQQQVLSPQQLQALLQQQQAVMLQQQQLQEFYKKQQEQLHLQLLQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQHPGKQAKEQQQQQQQQQQLAAQQLVFQQQLLQMQQLQQQQHLLSLQRQGLISIPPGQAALPVQSLPQAGLSPAEIQQLWKEVTGVHSMEDNGIKHGGLDLTTNNSSSTTSSNTSKASPPITHHSIVNGQSSVLSARRDSSSHEETGASHTLYGHGVCKWPGCESICEDFGQFLKHLNNEHALDDRSTAQCRVQMQVVQQLEIQLSKERERLQAMMTHLHMRPSEPKPSPKPLNLVSSVTMSKNMLETSPQSLPQTPTTPTAPVTPITQGPSVITPASVPNVGAIRRRHSDKYNIPMSSEIAPNYEFYKNADVRPPFTYATLIRQAIMESSDRQLTLNEIYSWFTRTFAYFRRNAATWKNAVRHNLSLHKCFVRVENVKGAVWTVDEVEYQKRRSQKITGSPTLVKNIPTSLGYGAALNASLQAALAESSLPLLSNPGLINNASSGLLQAVHEDLNGSLDHIDSNGNSSPGCSPQPHIHSIHVKEEPVIAEDEDCPMSLVTTANHSPELEDDREIEEEPLSEDLE
+>DECOY_sp|O15409|FOXP2_HUMAN Forkhead box protein P2 OS=Homo sapiens OX=9606 GN=FOXP2 PE=1 SV=2
+ELDESLPEEEIERDDELEPSHNATTVLSMPCDEDEAIVPEEKVHISHIHPQPSCGPSSNGNSDIHDLSGNLDEHVAQLLGSSANNILGPNSLLPLSSEALAAQLSANLAAGYGLSTPINKVLTPSGTIKQSRRKQYEVEDVTWVAGKVNEVRVFCKHLSLNHRVANKWTAANRRFYAFTRTFWSYIENLTLQRDSSEMIAQRILTAYTFPPRVDANKYFEYNPAIESSMPINYKDSHRRRIAGVNPVSAPTIVSPGQTIPTVPATPTTPTQPLSQPSTELMNKSMTVSSVLNLPKPSPKPESPRMHLHTMMAQLREREKSLQIELQQVVQMQVRCQATSRDDLAHENNLHKLFQGFDECISECGPWKCVGHGYLTHSAGTEEHSSSDRRASLVSSQGNVISHHTIPPSAKSTNSSTTSSSNNTTLDLGGHKIGNDEMSHVGTVEKWLQQIEAPSLGAQPLSQVPLAAQGPPISILGQRQLSLLHQQQQLQQMQLLQQQFVLQQAALQQQQQQQQQQEKAQKGPHQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQLLQLHLQEQQKKYFEQLQQQQLMVAQQQQLLAQLQQPSLVQQQLIQQMQQPTIVQPTMMAVSVPVQLPRQKDSSKPSKLGSTQQQLLLQRAAQLAQQQQLHLLEVTSVESSTDGSSRGDRSGADLQSSLTSMGNQNMSSNSITETASEQMM
+>sp|Q5SZK8|FREM2_HUMAN FRAS1-related extracellular matrix protein 2 OS=Homo sapiens OX=9606 GN=FREM2 PE=1 SV=2
+MHSAGTPGLSSRRTGNSTSFQPGPPPPPRLLLLLLLLLSLVSRVPAQPAAFGRALLSPGLAGAAGVPAEEAIVLANRGLRVPFGREVWLDPLHDLVLQVQPGDRCAVSVLDNDALAQRPGRLSPKRFPCDFGPGEVRYSHLGARSPSRDRVRLQLRYDAPGGAVVLPLVLEVEVVFTQLEVVTRNLPLVVEELLGTSNALDARSLEFAFQPETEECRVGILSGLGALPRYGELLHYPQVPGGAREGGAPETLLMDCKAFQELGVRYRHTAASRSPNRDWIPMVVELRSRGAPVGSPALKREHFQVLVRIRGGAENTAPKPSFVAMMMMEVDQFVLTALTPDMLAAEDAESPSDLLIFNLTSPFQPGQGYLVSTDDRSLPLSSFTQRDLRLLKIAYQPPSEDSDQERLFELELEVVDLEGAASDPFAFMVVVKPMNTMAPVVTRNTGLILYEGQSRPLTGPAGSGPQNLVISDEDDLEAVRLEVVAGLRHGHLVILGASSGSSAPKSFTVAELAAGQVVYQHDDRDGSLSDNLVLRMVDGGGRHQVQFLFPITLVPVDDQPPVLNANTGLTLAEGETVPILPLSLSATDMDSDDSLLLFVLESPFLTTGHLLLRQTHPPHEKQELLRGLWRKEGAFYERTVTEWQQQDITEGRLFYRHSGPHSPGPVTDQFTFRVQDNHDPPNQSGLQRFVIRIHPVDRLPPELGSGCPLRMVVQESQLTPLRKKWLRYTDLDTDDRELRYTVTQSPTDTDENHLPAPLGTLVLTDNPSVVVTHFTQAQINHHKIAYRPPGQELGVATRVAQFQFQVEDRAGNVAPGTFTLYLHPVDNQPPEILNTGFTIQEKGHHILSETELHVNDVDTDVAHISFTLTQAPKHGHMRVSGQILHVGGLFHLEDIKQGRVSYAHNGDKSLTDSCSLEVSDRHHVVPITLRVNVRPVDDEVPILSHPTGTLESYLDVLENGATEITANVIKGTNEETDDLMLTFLLEDPPLYGEILVNGIPAEQFTQRDILEGSVVYTHTSGEIGLLPKADSFNLSLSDMSQEWRIGGNTIQGVTIWVTILPVDSQAPEIFVGEQLIVMEGDKSVITSVHISAEDVDSLNDDILCTIVIQPTSGYVENISPAPGSEKSRAGIAISAFNLKDLRQGHINYVQSVHKGVEPVEDRFVFRCSDGINFSERQFFPIVIIPTNDEQPEMFMREFMVMEGMSLVIDTPILNAADADVPLDDLTFTITQFPTHGHIMNQLINGTVLVESFTLDQIIESSSIIYEHDDSETQEDSFVIKLTDGKHSVEKTVLIIVIPVDDETPRMTINNGLEIEIGDTKIINNKILMATDLDSEDKSLVYIIRYGPGHGLLQRRKPTGAFENITLGMNFTQDEVDRNLIQYVHLGQEGIRDLIKFDVTDGINPLIDRYFYVSIGSIDIVFPDVISKGVSLKEGGKVTLTTDLLSTSDLNSPDENLVFTITRAPMRGHLECTDQPGVSITSFTQLQLAGNKIYYIHTADDEVKMDSFEFQVTDGRNPVFRTFRISISDVDNKKPVVTIHKLVVSESENKLITPFELTVEDRDTPDKLLKFTITQVPIHGHLLFNNTRPVMVFTKQDLNENLISYKHDGTESSEDSFSFTVTDGTHTDFYVFPDTVFETRRPQVMKIQVLAVDNSVPQIAVNKGASTLRTLATGHLGFMITSKILKVEDRDSLHISLRFIVTEAPQHGYLLNLDKGNHSITQFTQADIDDMKICYVLREGANATSDMFYFAVEDGGGNKLTYQNFRLNWAWISFEKEYYLVNEDSKFLDVVLKRRGYLGETSFISIGTRDRTAEKDKDFKGKAQKQVQFNPGQTRATWRVRILSDGEHEQSETFQVVLSEPVLAALEFPTVATVEIVDPGDEPTVFIPQSKYSVEEDVGELFIPIRRSGDVSQELMVVCYTQQGTATGTVPTSVLSYSDYISRPEDHTSVVRFDKDEREKLCRIVIIDDSLYEEEETFHVLLSMPMGGRIGSEFPGAQVTIVPDKDDEPIFYFGDVEYSVDESAGYVEVQVWRTGTDLSKSSSVTVRSRKTDPPSADAGTDYVGISRNLDFAPGVNMQPVRVVILDDLGQPALEGIEKFELVLRMPMNAALGEPSKATVSINDSVSDLPKMQFKERIYTGSESDGQIVTMIHRTGDVQYRSSVRCYTRQGSAQVMMDFEERPNTDTSIITFLPGETEKPCILELMDDVLYEEVEELRLVLGTPQSNSPFGAAVGEQNETLIRIRDDADKTVIKFGETKFSVTEPKEPGESVVIRIPVIRQGDTSKVSIVRVHTKDGSATSGEDYHPVSEEIEFKEGETQHVVEIEVTFDGVREMREAFTVHLKPDENMIAEMQLTKAIVYIEEMSSMADVTFPSVPQIVSLLMYDDTSKAKESAEPMSGYPVICITACNPKYSDYDKTGSICASENINDTLTRYRWLISAPAGPDGVTSPMREVDFDTFFTSSKMVTLDSIYFQPGSRVQCAARAVNTNGDEGLELMSPIVTISREEGLCQPRVPGVVGAEPFSAKLRYTGPEDADYTNLIKLTVTMPHIDGMLPVISTRELSNFELTLSPDGTRVGNHKCSNLLDYTEVKTHYGFLTDATKNPEIIGETYPYQYSLSIRGSTTLRFYRNLNLEACLWEFVSYYDMSELLADCGGTIGTDGQVLNLVQSYVTLRVPLYVSYVFHSPVGVGGWQHFDLKSELRLTFVYDTAILWNDGIGSPPEAELQGSLYPTSMRIGDEGRLAVHFKTEAQFHGLFVLSHPASFTSSVIMSADHPGLTFSLRLIRSEPTYNQPVQQWSFVSDFAVRDYSGTYTVKLVPCTAPSHQEYRLPVTCNPREPVTFDLDIRFQQVSDPVAAEFSLNTQMYLLSKKSLWLSDGSMGFGQESDVAFAEGDIIYGRVMVDPVQNLGDSFYCSIEKVFLCTGADGYVPKYSPMNAEYGCLADSPSLLYRFKIVDKAQPETQATSFGNVLFNAKLAVDDPEAILLVNQPGSDGFKVDSTPLFQVALGREWYIHTIYTVRSKDNANRGIGKRSVEYHSLVSQGKPQSTTKSRKKREIRSTPSLAWEIGAENSRGTNIQHIALDRTKRQIPHGRAPPDGILPWELNSPSSAVSLVTVVGGTTVGLLTICLTVIAVLMCRGKESFRGKDAPKGSSSSEPMVPPQSHHNDSSEV
+>DECOY_sp|Q5SZK8|FREM2_HUMAN FRAS1-related extracellular matrix protein 2 OS=Homo sapiens OX=9606 GN=FREM2 PE=1 SV=2
+VESSDNHHSQPPVMPESSSSGKPADKGRFSEKGRCMLVAIVTLCITLLGVTTGGVVTVLSVASSPSNLEWPLIGDPPARGHPIQRKTRDLAIHQINTGRSNEAGIEWALSPTSRIERKKRSKTTSQPKGQSVLSHYEVSRKGIGRNANDKSRVTYITHIYWERGLAVQFLPTSDVKFGDSGPQNVLLIAEPDDVALKANFLVNGFSTAQTEPQAKDVIKFRYLLSPSDALCGYEANMPSYKPVYGDAGTCLFVKEISCYFSDGLNQVPDVMVRGYIIDGEAFAVDSEQGFGMSGDSLWLSKKSLLYMQTNLSFEAAVPDSVQQFRIDLDFTVPERPNCTVPLRYEQHSPATCPVLKVTYTGSYDRVAFDSVFSWQQVPQNYTPESRILRLSFTLGPHDASMIVSSTFSAPHSLVFLGHFQAETKFHVALRGEDGIRMSTPYLSGQLEAEPPSGIGDNWLIATDYVFTLRLESKLDFHQWGGVGVPSHFVYSVYLPVRLTVYSQVLNLVQGDTGITGGCDALLESMDYYSVFEWLCAELNLNRYFRLTTSGRISLSYQYPYTEGIIEPNKTADTLFGYHTKVETYDLLNSCKHNGVRTGDPSLTLEFNSLERTSIVPLMGDIHPMTVTLKILNTYDADEPGTYRLKASFPEAGVVGPVRPQCLGEERSITVIPSMLELGEDGNTNVARAACQVRSGPQFYISDLTVMKSSTFFTDFDVERMPSTVGDPGAPASILWRYRTLTDNINESACISGTKDYDSYKPNCATICIVPYGSMPEASEKAKSTDDYMLLSVIQPVSPFTVDAMSSMEEIYVIAKTLQMEAIMNEDPKLHVTFAERMERVGDFTVEIEVVHQTEGEKFEIEESVPHYDEGSTASGDKTHVRVISVKSTDGQRIVPIRIVVSEGPEKPETVSFKTEGFKIVTKDADDRIRILTENQEGVAAGFPSNSQPTGLVLRLEEVEEYLVDDMLELICPKETEGPLFTIISTDTNPREEFDMMVQASGQRTYCRVSSRYQVDGTRHIMTVIQGDSESGTYIREKFQMKPLDSVSDNISVTAKSPEGLAANMPMRLVLEFKEIGELAPQGLDDLIVVRVPQMNVGPAFDLNRSIGVYDTGADASPPDTKRSRVTVSSSKSLDTGTRWVQVEVYGASEDVSYEVDGFYFIPEDDKDPVITVQAGPFESGIRGGMPMSLLVHFTEEEEYLSDDIIVIRCLKEREDKDFRVVSTHDEPRSIYDSYSLVSTPVTGTATGQQTYCVVMLEQSVDGSRRIPIFLEGVDEEVSYKSQPIFVTPEDGPDVIEVTAVTPFELAALVPESLVVQFTESQEHEGDSLIRVRWTARTQGPNFQVQKQAKGKFDKDKEATRDRTGISIFSTEGLYGRRKLVVDLFKSDENVLYYEKEFSIWAWNLRFNQYTLKNGGGDEVAFYFMDSTANAGERLVYCIKMDDIDAQTFQTISHNGKDLNLLYGHQPAETVIFRLSIHLSDRDEVKLIKSTIMFGLHGTALTRLTSAGKNVAIQPVSNDVALVQIKMVQPRRTEFVTDPFVYFDTHTGDTVTFSFSDESSETGDHKYSILNENLDQKTFVMVPRTNNFLLHGHIPVQTITFKLLKDPTDRDEVTLEFPTILKNESESVVLKHITVVPKKNDVDSISIRFTRFVPNRGDTVQFEFSDMKVEDDATHIYYIKNGALQLQTFSTISVGPQDTCELHGRMPARTITFVLNEDPSNLDSTSLLDTTLTVKGGEKLSVGKSIVDPFVIDISGISVYFYRDILPNIGDTVDFKILDRIGEQGLHVYQILNRDVEDQTFNMGLTINEFAGTPKRRQLLGHGPGYRIIYVLSKDESDLDTAMLIKNNIIKTDGIEIELGNNITMRPTEDDVPIVIILVTKEVSHKGDTLKIVFSDEQTESDDHEYIISSSEIIQDLTFSEVLVTGNILQNMIHGHTPFQTITFTLDDLPVDADAANLIPTDIVLSMGEMVMFERMFMEPQEDNTPIIVIPFFQRESFNIGDSCRFVFRDEVPEVGKHVSQVYNIHGQRLDKLNFASIAIGARSKESGPAPSINEVYGSTPQIVITCLIDDNLSDVDEASIHVSTIVSKDGEMVILQEGVFIEPAQSDVPLITVWITVGQITNGGIRWEQSMDSLSLNFSDAKPLLGIEGSTHTYVVSGELIDRQTFQEAPIGNVLIEGYLPPDELLFTLMLDDTEENTGKIVNATIETAGNELVDLYSELTGTPHSLIPVEDDVPRVNVRLTIPVVHHRDSVELSCSDTLSKDGNHAYSVRGQKIDELHFLGGVHLIQGSVRMHGHKPAQTLTFSIHAVDTDVDNVHLETESLIHHGKEQITFGTNLIEPPQNDVPHLYLTFTGPAVNGARDEVQFQFQAVRTAVGLEQGPPRYAIKHHNIQAQTFHTVVVSPNDTLVLTGLPAPLHNEDTDTPSQTVTYRLERDDTDLDTYRLWKKRLPTLQSEQVVMRLPCGSGLEPPLRDVPHIRIVFRQLGSQNPPDHNDQVRFTFQDTVPGPSHPGSHRYFLRGETIDQQQWETVTREYFAGEKRWLGRLLEQKEHPPHTQRLLLHGTTLFPSELVFLLLSDDSDMDTASLSLPLIPVTEGEALTLGTNANLVPPQDDVPVLTIPFLFQVQHRGGGDVMRLVLNDSLSGDRDDHQYVVQGAALEAVTFSKPASSGSSAGLIVLHGHRLGAVVELRVAELDDEDSIVLNQPGSGAPGTLPRSQGEYLILGTNRTVVPAMTNMPKVVVMFAFPDSAAGELDVVELELEFLREQDSDESPPQYAIKLLRLDRQTFSSLPLSRDDTSVLYGQGPQFPSTLNFILLDSPSEADEAALMDPTLATLVFQDVEMMMMAVFSPKPATNEAGGRIRVLVQFHERKLAPSGVPAGRSRLEVVMPIWDRNPSRSAATHRYRVGLEQFAKCDMLLTEPAGGERAGGPVQPYHLLEGYRPLAGLGSLIGVRCEETEPQFAFELSRADLANSTGLLEEVVLPLNRTVVELQTFVVEVELVLPLVVAGGPADYRLQLRVRDRSPSRAGLHSYRVEGPGFDCPFRKPSLRGPRQALADNDLVSVACRDGPQVQLVLDHLPDLWVERGFPVRLGRNALVIAEEAPVGAAGALGPSLLARGFAAPQAPVRSVLSLLLLLLLLLRPPPPPGPQFSTSNGTRRSSLGPTGASHM
+>sp|Q96QU4|FRG2B_HUMAN Protein FRG2-like-1 OS=Homo sapiens OX=9606 GN=FRG2B PE=2 SV=1
+MGKGNEDPDLHCSSIQCSTDQPPFQQISFTEKGSDEKKPFKEKGKTAFSHSSEKHIQRQGSEPNPNKENSEETKLKAGNSTAGSEPESSSYRENCRKRKMSSKDSCQDTAGNCPEKECSLSLNKKSRSSTPVHNSEIQETCDAHHRGRSRACTGRSKRHRSRALGVQTPSIRKSLVTSVRAMSEAVYQDLAQVWAQQIHSPLTCEQLTLLTRLRGPLCAQVQTLYSMATQAAYVFPAESWLVPATLPGPGESALDREAHPFPGQEITETVSGSDEAKL
+>DECOY_sp|Q96QU4|FRG2B_HUMAN Protein FRG2-like-1 OS=Homo sapiens OX=9606 GN=FRG2B PE=2 SV=1
+LKAEDSGSVTETIEQGPFPHAERDLASEGPGPLTAPVLWSEAPFVYAAQTAMSYLTQVQACLPGRLRTLLTLQECTLPSHIQQAWVQALDQYVAESMARVSTVLSKRISPTQVGLARSRHRKSRGTCARSRGRHHADCTEQIESNHVPTSSRSKKNLSLSCEKEPCNGATDQCSDKSSMKRKRCNERYSSSEPESGATSNGAKLKTEESNEKNPNPESGQRQIHKESSHSFATKGKEKFPKKEDSGKETFSIQQFPPQDTSCQISSCHLDPDENGKGM
+>sp|P02792|FRIL_HUMAN Ferritin light chain OS=Homo sapiens OX=9606 GN=FTL PE=1 SV=2
+MSSQIRQNYSTDVEAAVNSLVNLYLQASYTYLSLGFYFDRDDVALEGVSHFFRELAEEKREGYERLLKMQNQRGGRALFQDIKKPAEDEWGKTPDAMKAAMALEKKLNQALLDLHALGSARTDPHLCDFLETHFLDEEVKLIKKMGDHLTNLHRLGGPEAGLGEYLFERLTLKHD
+>DECOY_sp|P02792|FRIL_HUMAN Ferritin light chain OS=Homo sapiens OX=9606 GN=FTL PE=1 SV=2
+DHKLTLREFLYEGLGAEPGGLRHLNTLHDGMKKILKVEEDLFHTELFDCLHPDTRASGLAHLDLLAQNLKKELAMAAKMADPTKGWEDEAPKKIDQFLARGGRQNQMKLLREYGERKEEALERFFHSVGELAVDDRDFYFGLSLYTYSAQLYLNVLSNVAAEVDTSYNQRIQSSM
+>sp|Q6ZUT3|FRMD7_HUMAN FERM domain-containing protein 7 OS=Homo sapiens OX=9606 GN=FRMD7 PE=1 SV=1
+MLHLKVQFLDDSQKIFVVDQKSSGKALFNLSCSHLNLAEKEYFGLEFCSHSGNNVWLELLKPITKQVKNPKEIVFKFMVKFFPVDPGHLREELTRYLFTLQIKKDLALGRLPCSDNCTALMVSHILQSELGDFHEETDRKHLAQTRYLPNQDCLEGKIMHFHQKHIGRSPAESDILLLDIARKLDMYGIRPHPASDGEGMQIHLAVAHMGVLVLRGNTKINTFNWAKIRKLSFKRKHFLIKLHANILVLCKDTLEFTMASRDACKAFWKTCVEYHAFFRLSEEPKSKPKTLLCSKGSSFRYSGRTQRQLLEYGRKGRLKSLPFERKHYPSQYHERQCRSSPDLLSDVSKQVEDLRLAYGGGYYQNVNGVHASEPVLESRRRNSALEVTFATELEHSKPEADPTLLHQSQSSSSFPFIYMDPVFNTEPNPNPDPRDIFSERSSLSSFQTSCKFSGNHMSIYSGLTSKVRPAKQLTYTDVPYIPCTGQQVGIMPPQVFFYVDKPPQVPRWSPIRAEERTSPHSYVEPTAMKPAERSPRNIRMKSFQQDLQVLQEAIARTSGRSNINVGLEEEDPNLEDAFVCNIQEQTPKRSQSQSDMKTIRFPFGSEFRPLGPCPALSHKADLFTDMFAEQELPAVLMDQSTAERYVASESSDSESEILKPDYYALYGKEIRSPMARIRLSSGSLQLDEEDEDAYFNTPTAEDRTSLKPCNYFLA
+>DECOY_sp|Q6ZUT3|FRMD7_HUMAN FERM domain-containing protein 7 OS=Homo sapiens OX=9606 GN=FRMD7 PE=1 SV=1
+ALFYNCPKLSTRDEATPTNFYADEDEEDLQLSGSSLRIRAMPSRIEKGYLAYYDPKLIESESDSSESAVYREATSQDMLVAPLEQEAFMDTFLDAKHSLAPCPGLPRFESGFPFRITKMDSQSQSRKPTQEQINCVFADELNPDEEELGVNINSRGSTRAIAEQLVQLDQQFSKMRINRPSREAPKMATPEVYSHPSTREEARIPSWRPVQPPKDVYFFVQPPMIGVQQGTCPIYPVDTYTLQKAPRVKSTLGSYISMHNGSFKCSTQFSSLSSRESFIDRPDPNPNPETNFVPDMYIFPFSSSSQSQHLLTPDAEPKSHELETAFTVELASNRRRSELVPESAHVGNVNQYYGGGYALRLDEVQKSVDSLLDPSSRCQREHYQSPYHKREFPLSKLRGKRGYELLQRQTRGSYRFSSGKSCLLTKPKSKPEESLRFFAHYEVCTKWFAKCADRSAMTFELTDKCLVLINAHLKILFHKRKFSLKRIKAWNFTNIKTNGRLVLVGMHAVALHIQMGEGDSAPHPRIGYMDLKRAIDLLLIDSEAPSRGIHKQHFHMIKGELCDQNPLYRTQALHKRDTEEHFDGLESQLIHSVMLATCNDSCPLRGLALDKKIQLTFLYRTLEERLHGPDVPFFKVMFKFVIEKPNKVQKTIPKLLELWVNNGSHSCFELGFYEKEALNLHSCSLNFLAKGSSKQDVVFIKQSDDLFQVKLHLM
+>sp|Q5SYB0|FRPD1_HUMAN FERM and PDZ domain-containing protein 1 OS=Homo sapiens OX=9606 GN=FRMPD1 PE=1 SV=1
+MEELETSLFQTRKAHRIEQMVARWLRRSRDSSARAKVAAADGPARNPTQTLIPVRHTVKIDKDTLLQDYGFHISESLPLTVVAVTAGGSAHGKLFPGDQILQMNNEPAEDLSWERAVDILREAEDSLSITVVRCTSGVPKSSFLTEEKRARLKTNPVKVHFAEEVLISGHSQGNSLLCMPNVLKLYLENGQTKAFKFEANTTVKDIILTVKEKLSIRSIEYFALALEEQYSISRLHLLHEEELIQQVVEREESHDYRCLFRVCFVPKDPLDLLKEDPVAFEYLYLQSCSDVLQERFAVEMKCSSALRLAALHIQERIYACAQPQKISLKYIEKDWGIENFISPTLLRNMKGKDIKKAISFHMKRNQNLLEPRQKQLISAAQLRLNYLQILGELKTYGGRIFNATLMLQDRESYIALLVGAKYGISQVINSKLNIMSTLAEFANISRVELTEESEKVSVVKVYLQDVKVLTLLLESNSAKDLACLIAGYYRLLVDPVTSIFLWPGNKQQAHRVSAEEGYESRACSDSEESSEVDCVLEPLSDRRLVKLAPCRSLIKEEQPPGNSPTPEVARRGPSTCGASSTTDSAESEASDSANTESRGYRTSGSSESMDALEEDDLDTCSSSRSTFFHFGSPGLAESIDSDSQEERSGIETSGFLCLLDLAQRANPQCQKTEFSESAALETFGWAPELSTVRLDPRLYEGSHADYYSLCSSVSPASYLSDSSESTASRQGGAPPAWGQQGWTEAQPSSMLEPLALHPPLAFEDGSSDEEYYDAADKLTPPGPPSGPRDVSTAEPSATSLQNKASTSSPENSLPCGPDGRQPSRRGGVKKYAKTLRKRRSFLQTDYTSQVSFPLVPSASLESVDDVCYYDREPYLALGAPSPTVSSLQDMQGEPGLLETKALGLLAPLRETKSTNPASRVMEMEPETMETKSVIDSRVSSISAIRFRIDPNNKENSGVVPAASSSASTPHCSNPGSSGPDTAQARPSQILPLSQDLDGIAPKEPTIEHGDSSFSLSSGDPNPDRACLASNPGLNNVSQGDTLELQLEPHVQLEMGLESFCTNHIQETAPKYTEPLLSPRDEPRSDECGINPGEKIASIPTKEEPQGQLSLERDREVTNKNGTNVFQEESRKDSGDSPGDVSNNVSQTLDISSPAGKIVTSLSLDAPVTGTEQIPPHPPRDPQGQSREPPGQGCQAQEQKLFVELDLDPDFFLGKQTVSPAVPPEGIKAEAPNHVTGQDIAPRDSPEWVCFNPEPSLPEPLPCPQEDPHLETSNHCLLSEGKSDSSSICLSAEKSFLCFAPESHPEVSASLRVATSLGFAGMNEMVAPRIGMDQCSCQFSYATCFRGPQPETEEEDRDLEAHPMAPLTSPPSAGSPVVLPWRPARAHSCTTAPLSRKSHIWPEYCSRALRQLKATPASTPEGFIQLMESLLELQDILETSWGVGNKHPPEKCTWHFTESRSRLCMGSQKLLSSCRHVIRMDQSPEEMQGAVRDTFQHLVQLAGLCFQFTDCSRCSARHREAAGNLRDVVYTYHQFIEAAKSTCERGYHDLSVKLLARQCTALTAAVFCLTQKFRASTAL
+>DECOY_sp|Q5SYB0|FRPD1_HUMAN FERM and PDZ domain-containing protein 1 OS=Homo sapiens OX=9606 GN=FRMPD1 PE=1 SV=1
+LATSARFKQTLCFVAATLATCQRALLKVSLDHYGRECTSKAAEIFQHYTYVVDRLNGAAERHRASCRSCDTFQFCLGALQVLHQFTDRVAGQMEEPSQDMRIVHRCSSLLKQSGMCLRSRSETFHWTCKEPPHKNGVGWSTELIDQLELLSEMLQIFGEPTSAPTAKLQRLARSCYEPWIHSKRSLPATTCSHARAPRWPLVVPSGASPPSTLPAMPHAELDRDEEETEPQPGRFCTAYSFQCSCQDMGIRPAVMENMGAFGLSTAVRLSASVEPHSEPAFCLFSKEASLCISSSDSKGESLLCHNSTELHPDEQPCPLPEPLSPEPNFCVWEPSDRPAIDQGTVHNPAEAKIGEPPVAPSVTQKGLFFDPDLDLEVFLKQEQAQCGQGPPERSQGQPDRPPHPPIQETGTVPADLSLSTVIKGAPSSIDLTQSVNNSVDGPSDGSDKRSEEQFVNTGNKNTVERDRELSLQGQPEEKTPISAIKEGPNIGCEDSRPEDRPSLLPETYKPATEQIHNTCFSELGMELQVHPELQLELTDGQSVNNLGPNSALCARDPNPDGSSLSFSSDGHEITPEKPAIGDLDQSLPLIQSPRAQATDPGSSGPNSCHPTSASSSAAPVVGSNEKNNPDIRFRIASISSVRSDIVSKTEMTEPEMEMVRSAPNTSKTERLPALLGLAKTELLGPEGQMDQLSSVTPSPAGLALYPERDYYCVDDVSELSASPVLPFSVQSTYDTQLFSRRKRLTKAYKKVGGRRSPQRGDPGCPLSNEPSSTSAKNQLSTASPEATSVDRPGSPPGPPTLKDAADYYEEDSSGDEFALPPHLALPELMSSPQAETWGQQGWAPPAGGQRSATSESSDSLYSAPSVSSCLSYYDAHSGEYLRPDLRVTSLEPAWGFTELAASESFETKQCQPNARQALDLLCLFGSTEIGSREEQSDSDISEALGPSGFHFFTSRSSSCTDLDDEELADMSESSGSTRYGRSETNASDSAESEASDTTSSAGCTSPGRRAVEPTPSNGPPQEEKILSRCPALKVLRRDSLPELVCDVESSEESDSCARSEYGEEASVRHAQQKNGPWLFISTVPDVLLRYYGAILCALDKASNSELLLTLVKVDQLYVKVVSVKESEETLEVRSINAFEALTSMINLKSNIVQSIGYKAGVLLAIYSERDQLMLTANFIRGGYTKLEGLIQLYNLRLQAASILQKQRPELLNQNRKMHFSIAKKIDKGKMNRLLTPSIFNEIGWDKEIYKLSIKQPQACAYIREQIHLAALRLASSCKMEVAFREQLVDSCSQLYLYEFAVPDEKLLDLPDKPVFCVRFLCRYDHSEEREVVQQILEEEHLLHLRSISYQEELALAFYEISRISLKEKVTLIIDKVTTNAEFKFAKTQGNELYLKLVNPMCLLSNGQSHGSILVEEAFHVKVPNTKLRARKEETLFSSKPVGSTCRVVTISLSDEAERLIDVAREWSLDEAPENNMQLIQDGPFLKGHASGGATVAVVTLPLSESIHFGYDQLLTDKDIKVTHRVPILTQTPNRAPGDAAAVKARASSDRSRRLWRAVMQEIRHAKRTQFLSTELEEM
+>sp|Q14CM0|FRPD4_HUMAN FERM and PDZ domain-containing protein 4 OS=Homo sapiens OX=9606 GN=FRMPD4 PE=1 SV=1
+MDVFSFVKIAKLSSHRTKSSGWPPPSGTWGLSQVPPYGWEMTANRDGRDYFINHMTQAIPFDDPRLESCQIIPPAPRKVEMRRDPVLGFGFVAGSEKPVVVRSVTPGGPSEGKLIPGDQIVMINDEPVSAAPRERVIDLVRSCKESILLTVIQPYPSPKSAFISAAKKARLKSNPVKVRFSEEVIINGQVSETVKDNSLLFMPNVLKVYLENGQTKSFRFDCSTSIKDVILTLQEKLSIKGIEHFSLMLEQRTEGAGTKLLLLHEQETLTQVTQRPSSHKMRCLFRISFVPKDPIDLLRRDPVAFEYLYVQSCNDVVQERFGPELKYDIALRLAALQMYIATVTTKQTQKISLKYIEKEWGLETFLPSAVLQSMKEKNIKKALSHLVKANQNLVPPGKKLSALQAKVHYLKFLSDLRLYGGRVFKATLVQAEKRSEVTLLVGPRYGISHVINTKTNLVALLADFSHVNRIEMFSEEESLVRVELHVLDVKPITLLMESSDAMNLACLTAGYYRLLVDSRRSIFNMANKKNTATQETGPENKGKHNLLGPDWNCIPQMTTFIGEGEQEAQITYIDSKQKTVEITDSTMCPKEHRHLYIDNAYSSDGLNQQLSQPGEAPCEADYRSLAQRSLLTLSGPETLKKAQESPRGAKVSFIFGDFALDDGISPPTLGYETLLDEGPEMLEKQRNLYIGSANDMKGLDLTPEAEGIQFVENSVYANIGDVKSFQAAEGIEEPLLHDICYAENTDDAEDEDEVSCEEDLVVGEMNQPAILNLSGSSDDIIDLTSLPPPEGDDNEDDFLLRSLNMAIAAPPPGFRDSSDEEDSQSQAASFPEDKEKGSSLQNDEIPVSLIDAVPTSAEGKCEKGLDNAVVSTLGALEALSVSEEQQTSDNSGVAILRAYSPESSSDSGNETNSSEMTESSELATAQKQSENLSRMFLATHEGYHPLAEEQTEFPASKTPAGGLPPKSSHALAARPATDLPPKVVPSKQLLHSDHMEMEPETMETKSVTDYFSKLHMGSVAYSCTSKRKSKLADGEGKAPPNGNTTGKKQQGTKTAEMEEEASGKFGTVSSRDSQHLSTFNLERTAFRKDSQRWYVATEGGMAEKSGLEAATGKTFPRASGLGAREAEGKEEGAPDGETSDGSGLGQGDRFLTDVTCASSAKDLDNPEDADSSTCDHPSKLPEADESVARLCDYHLAKRMSSLQSEGHFSLQSSQGSSVDAGCGTGSSGSACATPVESPLCPSLGKHLIPDASGKGVNYIPSEERAPGLPNHGATFKELHPQTEGMCPRMTVPALHTAINTEPLFGTLRDGCHRLPKIKETTV
+>DECOY_sp|Q14CM0|FRPD4_HUMAN FERM and PDZ domain-containing protein 4 OS=Homo sapiens OX=9606 GN=FRMPD4 PE=1 SV=1
+VTTEKIKPLRHCGDRLTGFLPETNIATHLAPVTMRPCMGETQPHLEKFTAGHNPLGPAREESPIYNVGKGSADPILHKGLSPCLPSEVPTACASGSSGTGCGADVSSGQSSQLSFHGESQLSSMRKALHYDCLRAVSEDAEPLKSPHDCTSSDADEPNDLDKASSACTVDTLFRDGQGLGSGDSTEGDPAGEEKGEAERAGLGSARPFTKGTAAELGSKEAMGGETAVYWRQSDKRFATRELNFTSLHQSDRSSVTGFKGSAEEEMEATKTGQQKKGTTNGNPPAKGEGDALKSKRKSTCSYAVSGMHLKSFYDTVSKTEMTEPEMEMHDSHLLQKSPVVKPPLDTAPRAALAHSSKPPLGGAPTKSAPFETQEEALPHYGEHTALFMRSLNESQKQATALESSETMESSNTENGSDSSSEPSYARLIAVGSNDSTQQEESVSLAELAGLTSVVANDLGKECKGEASTPVADILSVPIEDNQLSSGKEKDEPFSAAQSQSDEEDSSDRFGPPPAAIAMNLSRLLFDDENDDGEPPPLSTLDIIDDSSGSLNLIAPQNMEGVVLDEECSVEDEDEADDTNEAYCIDHLLPEEIGEAAQFSKVDGINAYVSNEVFQIGEAEPTLDLGKMDNASGIYLNRQKELMEPGEDLLTEYGLTPPSIGDDLAFDGFIFSVKAGRPSEQAKKLTEPGSLTLLSRQALSRYDAECPAEGPQSLQQNLGDSSYANDIYLHRHEKPCMTSDTIEVTKQKSDIYTIQAEQEGEGIFTTMQPICNWDPGLLNHKGKNEPGTEQTATNKKNAMNFISRRSDVLLRYYGATLCALNMADSSEMLLTIPKVDLVHLEVRVLSEEESFMEIRNVHSFDALLAVLNTKTNIVHSIGYRPGVLLTVESRKEAQVLTAKFVRGGYLRLDSLFKLYHVKAQLASLKKGPPVLNQNAKVLHSLAKKINKEKMSQLVASPLFTELGWEKEIYKLSIKQTQKTTVTAIYMQLAALRLAIDYKLEPGFREQVVDNCSQVYLYEFAVPDRRLLDIPDKPVFSIRFLCRMKHSSPRQTVQTLTEQEHLLLLKTGAGETRQELMLSFHEIGKISLKEQLTLIVDKISTSCDFRFSKTQGNELYVKLVNPMFLLSNDKVTESVQGNIIVEESFRVKVPNSKLRAKKAASIFASKPSPYPQIVTLLISEKCSRVLDIVRERPAASVPEDNIMVIQDGPILKGESPGGPTVSRVVVPKESGAVFGFGLVPDRRMEVKRPAPPIIQCSELRPDDFPIAQTMHNIFYDRGDRNATMEWGYPPVQSLGWTGSPPPWGSSKTRHSSLKAIKVFSFVDM
+>sp|Q8WU20|FRS2_HUMAN Fibroblast growth factor receptor substrate 2 OS=Homo sapiens OX=9606 GN=FRS2 PE=1 SV=4
+MGSCCSCPDKDTVPDNHRNKFKVINVDDDGNELGSGIMELTDTELILYTRKRDSVKWHYLCLRRYGYDSNLFSFESGRRCQTGQGIFAFKCARAEELFNMLQEIMQNNSINVVEEPVVERNNHQTELEVPRTPRTPTTPGFAAQNLPNGYPRYPSFGDASSHPSSRHPSVGSARLPSVGEESTHPLLVAEEQVHTYVNTTGVQEERKNRTSVHVPLEARVSNAESSTPKEEPSSIEDRDPQILLEPEGVKFVLGPTPVQKQLMEKEKLEQLGRDQVSGSGANNTEWDTGYDSDERRDAPSVNKLVYENINGLSIPSASGVRRGRLTSTSTSDTQNINNSAQRRTALLNYENLPSLPPVWEARKLSRDEDDNLGPKTPSLNGYHNNLDPMHNYVNTENVTVPASAHKIEYSRRRDCTPTVFNFDIRRPSLEHRQLNYIQVDLEGGSDSDNPQTPKTPTTPLPQTPTRRTELYAVIDIERTAAMSNLQKALPRDDGTSRKTRHNSTDLPM
+>DECOY_sp|Q8WU20|FRS2_HUMAN Fibroblast growth factor receptor substrate 2 OS=Homo sapiens OX=9606 GN=FRS2 PE=1 SV=4
+MPLDTSNHRTKRSTGDDRPLAKQLNSMAATREIDIVAYLETRRTPTQPLPTTPTKPTQPNDSDSGGELDVQIYNLQRHELSPRRIDFNFVTPTCDRRRSYEIKHASAPVTVNETNVYNHMPDLNNHYGNLSPTKPGLNDDEDRSLKRAEWVPPLSPLNEYNLLATRRQASNNINQTDSTSTSTLRGRRVGSASPISLGNINEYVLKNVSPADRREDSDYGTDWETNNAGSGSVQDRGLQELKEKEMLQKQVPTPGLVFKVGEPELLIQPDRDEISSPEEKPTSSEANSVRAELPVHVSTRNKREEQVGTTNVYTHVQEEAVLLPHTSEEGVSPLRASGVSPHRSSPHSSADGFSPYRPYGNPLNQAAFGPTTPTRPTRPVELETQHNNREVVPEEVVNISNNQMIEQLMNFLEEARACKFAFIGQGTQCRRGSEFSFLNSDYGYRRLCLYHWKVSDRKRTYLILETDTLEMIGSGLENGDDDVNIVKFKNRHNDPVTDKDPCSCCSGM
+>sp|Q5H9T9|FSCB_HUMAN Fibrous sheath CABYR-binding protein OS=Homo sapiens OX=9606 GN=FSCB PE=2 SV=3
+MVGKSQQTDVIEKKKHMAIPKSSSPKATHRIGNTSGSKGSYSAKAYESIRVSSELQQTWTKRKHGQEMTSKSLQTDTIVEEKKEVKLVEETVVPEEKSADVREAAIELPESVQDVEIPPNIPSVQLKMDRSQQTSRTGYWTMMNIPPVEKVDKEQQTYFSESEIVVISRPDSSSTKSKEDALKHKSSGKIFASEHPEFQPATNSNEEIGQKNISRTSFTQETKKGPPVLLEDELREEVTVPVVQEGSAVKKVASAEIEPPSTEKFPAKIQPPLVEEATAKAEPRPAEETHVQVQPSTEETPDAEAATAVAENSVKVQPPPAEEAPLVEFPAEIQPPSAEESPSVELLAEILPPSAEESPSEEPPAEILPPPAEKSPSVELLGEIRSPSAQKAPIEVQPLPAEGALEEAPAKVEPPTVEETLADVQPLLPEEAPREEARELQLSTAMETPAEEAPTEFQSPLPKETTAEEASAEIQLLAATEPPADETPAEARSPLSEETSAEEAHAEVQSPLAEETTAEEASAEIQLLAAIEAPADETPAEAQSPLSEETSAEEAPAEVQSPSAKGVSIEEAPLELQPPSGEETTAEEASAAIQLLAATEASAEEAPAEVQPPPAEEAPAEVQPPPAEEAPAEVQPPPAEEAPAEVQPPPAEEAPAEVQPPPAEEAPAEVQPPPAEEAPAEVQSLPAEETPIEETLAAVHSPPADDVPAEEASVDKHSPPADLLLTEEFPIGEASAEVSPPPSEQTPEDEALVENVSTEFQSPQVAGIPAVKLGSVVLEGEAKFEEVSKINSVLKDLSNTNDGQAPTLEIESVFHIELKQRPPEL
+>DECOY_sp|Q5H9T9|FSCB_HUMAN Fibrous sheath CABYR-binding protein OS=Homo sapiens OX=9606 GN=FSCB PE=2 SV=3
+LEPPRQKLEIHFVSEIELTPAQGDNTNSLDKLVSNIKSVEEFKAEGELVVSGLKVAPIGAVQPSQFETSVNEVLAEDEPTQESPPPSVEASAEGIPFEETLLLDAPPSHKDVSAEEAPVDDAPPSHVAALTEEIPTEEAPLSQVEAPAEEAPPPQVEAPAEEAPPPQVEAPAEEAPPPQVEAPAEEAPPPQVEAPAEEAPPPQVEAPAEEAPPPQVEAPAEEASAETAALLQIAASAEEATTEEGSPPQLELPAEEISVGKASPSQVEAPAEEASTEESLPSQAEAPTEDAPAEIAALLQIEASAEEATTEEALPSQVEAHAEEASTEESLPSRAEAPTEDAPPETAALLQIEASAEEATTEKPLPSQFETPAEEAPTEMATSLQLERAEERPAEEPLLPQVDALTEEVTPPEVKAPAEELAGEAPLPQVEIPAKQASPSRIEGLLEVSPSKEAPPPLIEAPPEESPSEEASPPLIEALLEVSPSEEASPPQIEAPFEVLPAEEAPPPQVKVSNEAVATAAEADPTEETSPQVQVHTEEAPRPEAKATAEEVLPPQIKAPFKETSPPEIEASAVKKVASGEQVVPVTVEERLEDELLVPPGKKTEQTFSTRSINKQGIEENSNTAPQFEPHESAFIKGSSKHKLADEKSKTSSSDPRSIVVIESESFYTQQEKDVKEVPPINMMTWYGTRSTQQSRDMKLQVSPINPPIEVDQVSEPLEIAAERVDASKEEPVVTEEVLKVEKKEEVITDTQLSKSTMEQGHKRKTWTQQLESSVRISEYAKASYSGKSGSTNGIRHTAKPSSSKPIAMHKKKEIVDTQQSKGVM
+>sp|Q9NQT6|FSCN3_HUMAN Fascin-3 OS=Homo sapiens OX=9606 GN=FSCN3 PE=2 SV=1
+MDETEWIHRHPKAEDLRVGLISWAGTYLTFEACKNTVTATAKSLGRRQTWEILVSNEHETQAVVRLKSVQGLYLLCECDGTVCYGRPRTSHHGCFLLRFHRNSKWTLQCLISGRYLESNGKDVFCTSHVLSAYHMWTPRPALHVHVILYSPIHRCYARADPTMGRIWVDAAVPCLEECGFLLHFRDGCYHLETSTHHFLSHVDRLFSQPSSQTAFHMQVRPGGLVALCDGEGGMLYPQGTHLLLGMGCNPMRGEEWFILQHCPTWVSLRSKTGRFISVIYDGEVRAASERLNRMSLFQFECDSESPTVQLRSANGYYLSQRRHRAVMADGHPLESDTFFRMHWNCGRIILQSCRGRFLGIAPNSLLMANVILPGPNEEFGILFANRSFLVLRGRYGYVGSSSGHDLIQCNQDQPDRIHLLPCRPGIYHFQAQGGSFWSITSFGTFRPWGKFALNFCIELQGSNLLTVLAPNGFYMRADQSGTLLADSEDITRECIWEF
+>DECOY_sp|Q9NQT6|FSCN3_HUMAN Fascin-3 OS=Homo sapiens OX=9606 GN=FSCN3 PE=2 SV=1
+FEWICERTIDESDALLTGSQDARMYFGNPALVTLLNSGQLEICFNLAFKGWPRFTGFSTISWFSGGQAQFHYIGPRCPLLHIRDPQDQNCQILDHGSSSGVYGYRGRLVLFSRNAFLIGFEENPGPLIVNAMLLSNPAIGLFRGRCSQLIIRGCNWHMRFFTDSELPHGDAMVARHRRQSLYYGNASRLQVTPSESDCEFQFLSMRNLRESAARVEGDYIVSIFRGTKSRLSVWTPCHQLIFWEEGRMPNCGMGLLLHTGQPYLMGGEGDCLAVLGGPRVQMHFATQSSPQSFLRDVHSLFHHTSTELHYCGDRFHLLFGCEELCPVAADVWIRGMTPDARAYCRHIPSYLIVHVHLAPRPTWMHYASLVHSTCFVDKGNSELYRGSILCQLTWKSNRHFRLLFCGHHSTRPRGYCVTGDCECLLYLGQVSKLRVVAQTEHENSVLIEWTQRRGLSKATATVTNKCAEFTLYTGAWSILGVRLDEAKPHRHIWETEDM
+>sp|Q68CZ1|FTM_HUMAN Protein fantom OS=Homo sapiens OX=9606 GN=RPGRIP1L PE=1 SV=2
+MSGPTDETAGDLPVKDTGLNLFGMGGLQETSTTRTMKSRQAVSRVSREELEDRFLRLHDENILLKQHARKQEDKIKRMATKLIRLVNDKKRYERVGGGPKRLGRDVEMEEMIEQLQEKVHELEKQNETLKNRLISAKQQLQTQGYRQTPYNNVQSRINTGRRKANENAGLQECPRKGIKFQDADVAETPHPMFTKYGNSLLEEARGEIRNLENVIQSQRGQIEELEHLAEILKTQLRRKENEIELSLLQLREQQATDQRSNIRDNVEMIKLHKQLVEKSNALSAMEGKFIQLQEKQRTLRISHDALMANGDELNMQLKEQRLKCCSLEKQLHSMKFSERRIEELQDRINDLEKERELLKENYDKLYDSAFSAAHEEQWKLKEQQLKVQIAQLETALKSDLTDKTEILDRLKTERDQNEKLVQENRELQLQYLEQKQQLDELKKRIKLYNQENDINADELSEALLLIKAQKEQKNGDLSFLVKVDSEINKDLERSMRELQATHAETVQELEKTRNMLIMQHKINKDYQMEVEAVTRKMENLQQDYELKVEQYVHLLDIRAARIHKLEAQLKDIAYGTKQYKFKPEIMPDDSVDEFDETIHLERGENLFEIHINKVTFSSEVLQASGDKEPVTFCTYAFYDFELQTTPVVRGLHPEYNFTSQYLVHVNDLFLQYIQKNTITLEVHQAYSTEYETIAACQLKFHEILEKSGRIFCTASLIGTKGDIPNFGTVEYWFRLRVPMDQAIRLYRERAKALGYITSNFKGPEHMQSLSQQAPKTAQLSSTDSTDGNLNELHITIRCCNHLQSRASHLQPHPYVVYKFFDFADHDTAIIPSSNDPQFDDHMYFPVPMNMDLDRYLKSESLSFYVFDDSDTQENIYIGKVNVPLISLAHDRCISGIFELTDHQKHPAGTIHVILKWKFAYLPPSGSITTEDLGNFIRSEEPEVVQRLPPASSVSTLVLAPRPKPRQRLTPVDKKVSFVDIMPHQSDETSPPPEDRKEISPEVEHIPEIEINMLTVPHVPKVSQEGSVDEVKENTEKMQQGKDDVSLLSEGQLAEQSLASSEDETEITEDLEPEVEEDMSASDSDDCIIPGPISKNIKQSLALSPGLGCSSAISAHCNFRLPGSSDFPASASQVDGITGACHHTQPSEKIRIEIIALSLNDSQVTMDDTIQRLFVECRFYSLPAEETPVSLPKPKSGQWVYYNYSNVIYVDKENNKAKRDILKAILQKQEMPNRSLRFTVVSDPPEDEQDLECEDIGVAHVDLADMFQEGRDLIEQNIDVFDARADGEGIGKLRVTVEALHALQSVYKQYRDDLEA
+>DECOY_sp|Q68CZ1|FTM_HUMAN Protein fantom OS=Homo sapiens OX=9606 GN=RPGRIP1L PE=1 SV=2
+AELDDRYQKYVSQLAHLAEVTVRLKGIGEGDARADFVDINQEILDRGEQFMDALDVHAVGIDECELDQEDEPPDSVVTFRLSRNPMEQKQLIAKLIDRKAKNNEKDVYIVNSYNYYVWQGSKPKPLSVPTEEAPLSYFRCEVFLRQITDDMTVQSDNLSLAIIEIRIKESPQTHHCAGTIGDVQSASAPFDSSGPLRFNCHASIASSCGLGPSLALSQKINKSIPGPIICDDSDSASMDEEVEPELDETIETEDESSALSQEALQGESLLSVDDKGQQMKETNEKVEDVSGEQSVKPVHPVTLMNIEIEPIHEVEPSIEKRDEPPPSTEDSQHPMIDVFSVKKDVPTLRQRPKPRPALVLTSVSSAPPLRQVVEPEESRIFNGLDETTISGSPPLYAFKWKLIVHITGAPHKQHDTLEFIGSICRDHALSILPVNVKGIYINEQTDSDDFVYFSLSESKLYRDLDMNMPVPFYMHDDFQPDNSSPIIATDHDAFDFFKYVVYPHPQLHSARSQLHNCCRITIHLENLNGDTSDTSSLQATKPAQQSLSQMHEPGKFNSTIYGLAKARERYLRIAQDMPVRLRFWYEVTGFNPIDGKTGILSATCFIRGSKELIEHFKLQCAAITEYETSYAQHVELTITNKQIYQLFLDNVHVLYQSTFNYEPHLGRVVPTTQLEFDYFAYTCFTVPEKDGSAQLVESSFTVKNIHIEFLNEGRELHITEDFEDVSDDPMIEPKFKYQKTGYAIDKLQAELKHIRAARIDLLHVYQEVKLEYDQQLNEMKRTVAEVEMQYDKNIKHQMILMNRTKELEQVTEAHTAQLERMSRELDKNIESDVKVLFSLDGNKQEKQAKILLLAESLEDANIDNEQNYLKIRKKLEDLQQKQELYQLQLERNEQVLKENQDRETKLRDLIETKDTLDSKLATELQAIQVKLQQEKLKWQEEHAASFASDYLKDYNEKLLEREKELDNIRDQLEEIRRESFKMSHLQKELSCCKLRQEKLQMNLEDGNAMLADHSIRLTRQKEQLQIFKGEMASLANSKEVLQKHLKIMEVNDRINSRQDTAQQERLQLLSLEIENEKRRLQTKLIEALHELEEIQGRQSQIVNELNRIEGRAEELLSNGYKTFMPHPTEAVDADQFKIGKRPCEQLGANENAKRRGTNIRSQVNNYPTQRYGQTQLQQKASILRNKLTENQKELEHVKEQLQEIMEEMEVDRGLRKPGGGVREYRKKDNVLRILKTAMRKIKDEQKRAHQKLLINEDHLRLFRDELEERSVRSVAQRSKMTRTTSTEQLGGMGFLNLGTDKVPLDGATEDTPGSM
+>sp|Q9C0B1|FTO_HUMAN Alpha-ketoglutarate-dependent dioxygenase FTO OS=Homo sapiens OX=9606 GN=FTO PE=1 SV=3
+MKRTPTAEEREREAKKLRLLEELEDTWLPYLTPKDDEFYQQWQLKYPKLILREASSVSEELHKEVQEAFLTLHKHGCLFRDLVRIQGKDLLTPVSRILIGNPGCTYKYLNTRLFTVPWPVKGSNIKHTEAEIAAACETFLKLNDYLQIETIQALEELAAKEKANEDAVPLCMSADFPRVGMGSSYNGQDEVDIKSRAAYNVTLLNFMDPQKMPYLKEEPYFGMGKMAVSWHHDENLVDRSAVAVYSYSCEGPEEESEDDSHLEGRDPDIWHVGFKISWDIETPGLAIPLHQGDCYFMLDDLNATHQHCVLAGSQPRFSSTHRVAECSTGTLDYILQRCQLALQNVCDDVDNDDVSLKSFEPAVLKQGEEIHNEVEFEWLRQFWFQGNRYRKCTDWWCQPMAQLEALWKKMEGVTNAVLHEVKREGLPVEQRNEILTAILASLTARQNLRREWHARCQSRIARTLPADQKPECRPYWEKDDASMPLPFDLTDIVSELRGQLLEAKP
+>DECOY_sp|Q9C0B1|FTO_HUMAN Alpha-ketoglutarate-dependent dioxygenase FTO OS=Homo sapiens OX=9606 GN=FTO PE=1 SV=3
+PKAELLQGRLESVIDTLDFPLPMSADDKEWYPRCEPKQDAPLTRAIRSQCRAHWERRLNQRATLSALIATLIENRQEVPLGERKVEHLVANTVGEMKKWLAELQAMPQCWWDTCKRYRNGQFWFQRLWEFEVENHIEEGQKLVAPEFSKLSVDDNDVDDCVNQLALQCRQLIYDLTGTSCEAVRHTSSFRPQSGALVCHQHTANLDDLMFYCDGQHLPIALGPTEIDWSIKFGVHWIDPDRGELHSDDESEEEPGECSYSYVAVASRDVLNEDHHWSVAMKGMGFYPEEKLYPMKQPDMFNLLTVNYAARSKIDVEDQGNYSSGMGVRPFDASMCLPVADENAKEKAALEELAQITEIQLYDNLKLFTECAAAIEAETHKINSGKVPWPVTFLRTNLYKYTCGPNGILIRSVPTLLDKGQIRVLDRFLCGHKHLTLFAEQVEKHLEESVSSAERLILKPYKLQWQQYFEDDKPTLYPLWTDELEELLRLKKAEREREEATPTRKM
+>sp|Q86XH1|DRC11_HUMAN Dynein regulatory complex protein 11 OS=Homo sapiens OX=9606 GN=IQCA1 PE=2 SV=1
+MSNAMYNKMWHQTQEALGALLDKEPQKMIEPQRNQVFIFQTLATFYVKYVQIFRNLENVYDQFVHPQKRILIRKVLDGVMGRILELKNEMVELELTEFHYFDDILQDLKLAPQQLDIPIPKYFLKEKLEVIKGREKILAQILADSGIDTSDMKYPVKSIPFDEAVKLIQIAERARQGRLRALFMKQIYLQEYRAKQSKMLGKKVTDTWAAALRIQKVWRRFHQRKETEKLREEEMIFLGMNPPPLFNEVSATVIQAEKVDRLRNEVQIKHEEDYREALVTIKNDLKLIEGVDIKENLQDQIRHWFIECRNLTGTFPDYPDVEEGGSAIIFSDKTIQQVIEDIIANQEEEEKNKKKKKKKEKQPKKAKKQKKGTKEKNKEEDEKWKMSPSLFLPAMKEGCNAYKEIWMKKDESWNFSQDYDPELIKEEKRKELQSEIRIQVDELMRQELKNLKLAVDRERERPVKAGKKKDKKGKKGKKKEKKAKKDKDLTADRTIESLYKELVEEGLLIQALKVNLSDYIGEYSYLGTTLRQVSIEPMPSLLDVRQLITLYGIWPLGSAAVHEKAPLVKSLLLAGPSGVGKKMLVHAICTETGANLFNLSSSNIAGKYPGKNGLQMMLHAVFKVARQLQPSVVWIEDTEKTFYKKVPNAEKMNEPKRLKKHLPQILKLLKPDDRILIVGTTRRPFDAELQSFCKVYQKIILVPRPDYASRYVLWKQIIERNGGVLTSALNVSCLAKVTDGFTQGHIVEVVKGVLTDQRIRRQIHKPLTAVEFITAITSMNPVYKEEEESFKNWYAKTPLGKKRALAITGGSTEKAKDKGKRK
+>DECOY_sp|Q86XH1|DRC11_HUMAN Dynein regulatory complex protein 11 OS=Homo sapiens OX=9606 GN=IQCA1 PE=2 SV=1
+KRKGKDKAKETSGGTIALARKKGLPTKAYWNKFSEEEEKYVPNMSTIATIFEVATLPKHIQRRIRQDTLVGKVVEVIHGQTFGDTVKALCSVNLASTLVGGNREIIQKWLVYRSAYDPRPVLIIKQYVKCFSQLEADFPRRTTGVILIRDDPKLLKLIQPLHKKLRKPENMKEANPVKKYFTKETDEIWVVSPQLQRAVKFVAHLMMQLGNKGPYKGAINSSSLNFLNAGTETCIAHVLMKKGVGSPGALLLSKVLPAKEHVAASGLPWIGYLTILQRVDLLSPMPEISVQRLTTGLYSYEGIYDSLNVKLAQILLGEEVLEKYLSEITRDATLDKDKKAKKEKKKGKKGKKDKKKGAKVPRERERDVALKLNKLEQRMLEDVQIRIESQLEKRKEEKILEPDYDQSFNWSEDKKMWIEKYANCGEKMAPLFLSPSMKWKEDEEKNKEKTGKKQKKAKKPQKEKKKKKKKNKEEEEQNAIIDEIVQQITKDSFIIASGGEEVDPYDPFTGTLNRCEIFWHRIQDQLNEKIDVGEILKLDNKITVLAERYDEEHKIQVENRLRDVKEAQIVTASVENFLPPPNMGLFIMEEERLKETEKRQHFRRWVKQIRLAAAWTDTVKKGLMKSQKARYEQLYIQKMFLARLRGQRAREAIQILKVAEDFPISKVPYKMDSTDIGSDALIQALIKERGKIVELKEKLFYKPIPIDLQQPALKLDQLIDDFYHFETLELEVMENKLELIRGMVGDLVKRILIRKQPHVFQDYVNELNRFIQVYKVYFTALTQFIFVQNRQPEIMKQPEKDLLAGLAEQTQHWMKNYMANSM
+>sp|Q96MC2|DRC1_HUMAN Dynein regulatory complex protein 1 OS=Homo sapiens OX=9606 GN=DRC1 PE=2 SV=2
+MNPPGSLEALDPNVDEHLSTQILAPSVHSDNSQERIQARRLRIAARLEARRREALGEYLDGKKESEEDQSKSYKQKEESRLKLAKLLLCGTELVTNIQVAIDIREIHRRVEEEEIKRQRIEKLENEVKTSQDKFDEITSKWEEGKQKRIPQELWEMLNTQQLHCAGLLEDKNKLISELQQELKTKDDQYVKDLKKQSDDICLLLERMEEQVKNVMKTFREELYNIEKAFEVERQELLASNKKKWEQALQAHNAKELEYLNNRMKKVEDYEKQLNRQRIWDCEEYNMIKIKLEQDVQILEQQLQQRKAIYQLNQEKLEYNLQVLKKRDEESTVIKSQQKRKINRLHDILNNLRSKYAKQIKQFQEENQSLTSDYKRLVMQFKELQKAMRHFALIDDEKFWEIWLMNEEEAKDLIARAFDVDRIIHTHHLGLPWAAPDFWFLNNVGPISQQPQKSATQIVEEMLMRSEEEEAEEAAAEPESYLDLPKQISEKTTKRILMLLCDESGFLIESKLLSLLLPLEQNECYLLRLDAIFSALGIESEDDLYKLVNFFLKYRAHRLSSSLQIKPCSQASMEKASMEETSTRSELELAEQTEMEGEKEESLVEGEKEEEEETPPSPWVIHPNDVLKILEAFVMGLKKPRDSRAPLRVQKNVRDNSKDSEYWQALTTVIPSSKQNLWDALYTALEKYHLVLTQRAKLLLENSSLEQQNTELQALLQQYLNSKINSELQVPPTQVLRVPTK
+>DECOY_sp|Q96MC2|DRC1_HUMAN Dynein regulatory complex protein 1 OS=Homo sapiens OX=9606 GN=DRC1 PE=2 SV=2
+KTPVRLVQTPPVQLESNIKSNLYQQLLAQLETNQQELSSNELLLKARQTLVLHYKELATYLADWLNQKSSPIVTTLAQWYESDKSNDRVNKQVRLPARSDRPKKLGMVFAELIKLVDNPHIVWPSPPTEEEEEKEGEVLSEEKEGEMETQEALELESRTSTEEMSAKEMSAQSCPKIQLSSSLRHARYKLFFNVLKYLDDESEIGLASFIADLRLLYCENQELPLLLSLLKSEILFGSEDCLLMLIRKTTKESIQKPLDLYSEPEAAAEEAEEEESRMLMEEVIQTASKQPQQSIPGVNNLFWFDPAAWPLGLHHTHIIRDVDFARAILDKAEEENMLWIEWFKEDDILAFHRMAKQLEKFQMVLRKYDSTLSQNEEQFQKIQKAYKSRLNNLIDHLRNIKRKQQSKIVTSEEDRKKLVQLNYELKEQNLQYIAKRQQLQQELIQVDQELKIKIMNYEECDWIRQRNLQKEYDEVKKMRNNLYELEKANHAQLAQEWKKKNSALLEQREVEFAKEINYLEERFTKMVNKVQEEMRELLLCIDDSQKKLDKVYQDDKTKLEQQLESILKNKDELLGACHLQQTNLMEWLEQPIRKQKGEEWKSTIEDFKDQSTKVENELKEIRQRKIEEEEVRRHIERIDIAVQINTVLETGCLLLKALKLRSEEKQKYSKSQDEESEKKGDLYEGLAERRRAELRAAIRLRRAQIREQSNDSHVSPALIQTSLHEDVNPDLAELSGPPNM
+>sp|Q9H069|DRC3_HUMAN Dynein regulatory complex subunit 3 OS=Homo sapiens OX=9606 GN=DRC3 PE=2 SV=2
+MNQPCNSMEPRVMDDDMLKLAVGDQGPQEEAGQLAKQEGILFKDVLSLQLDFRNILRIDNLWQFENLRKLQLDNNIIEKIEGLENLAHLVWLDLSFNNIETIEGLDTLVNLEDLSLFNNRISKIDSLDALVKLQVLSLGNNRIDNMMNIIYLRRFKCLRTLSLSRNPISEAEDYKMFICAYLPDLMYLDYRRIDDHTKKLAEAKHQYSIDELKHQENLMQAQLEDEQAQREELEKHKTAFVEHLNGSFLFDSMYAEDSEGNNLSYLPGVGELLETYKDKFVIICVNIFEYGLKQQEKRKTELDTFSECVREAIQENQEQGKRKIAKFEEKHLSSLSAIREELELPNIEKMILECSADISELFDALMTLEMQLVEQLEETINMFERNIVDMVGLFIENVQSLMAQCRDLENHHHEKLLEISISTLEKIVEGDLDEDLPNDLRALFVDKDTIVNAVGASHDIHLLKIDNREDELVTRINSWCTRLIDRIHKDEIMRNRKRVKEINQYIDHMQSELDNLECGDILD
+>DECOY_sp|Q9H069|DRC3_HUMAN Dynein regulatory complex subunit 3 OS=Homo sapiens OX=9606 GN=DRC3 PE=2 SV=2
+DLIDGCELNDLESQMHDIYQNIEKVRKRNRMIEDKHIRDILRTCWSNIRTVLEDERNDIKLLHIDHSAGVANVITDKDVFLARLDNPLDEDLDGEVIKELTSISIELLKEHHHNELDRCQAMLSQVNEIFLGVMDVINREFMNITEELQEVLQMELTMLADFLESIDASCELIMKEINPLELEERIASLSSLHKEEFKAIKRKGQEQNEQIAERVCESFTDLETKRKEQQKLGYEFINVCIIVFKDKYTELLEGVGPLYSLNNGESDEAYMSDFLFSGNLHEVFATKHKELEERQAQEDELQAQMLNEQHKLEDISYQHKAEALKKTHDDIRRYDLYMLDPLYACIFMKYDEAESIPNRSLSLTRLCKFRRLYIINMMNDIRNNGLSLVQLKVLADLSDIKSIRNNFLSLDELNVLTDLGEITEINNFSLDLWVLHALNELGEIKEIINNDLQLKRLNEFQWLNDIRLINRFDLQLSLVDKFLIGEQKALQGAEEQPGQDGVALKLMDDDMVRPEMSNCPQNM
+>sp|Q16643|DREB_HUMAN Drebrin OS=Homo sapiens OX=9606 GN=DBN1 PE=1 SV=4
+MAGVSFSGHRLELLAAYEEVIREESAADWALYTYEDGSDDLKLAASGEGGLQELSGHFENQKVMYGFCSVKDSQAALPKYVLINWVGEDVPDARKCACASHVAKVAEFFQGVDVIVNASSVEDIDAGAIGQRLSNGLARLSSPVLHRLRLREDENAEPVGTTYQKTDAAVEMKRINREQFWEQAKKEEELRKEEERKKALDERLRFEQERMEQERQEQEERERRYREREQQIEEHRRKQQTLEAEEAKRRLKEQSIFGDHRDEEEETHMKKSESEVEEAAAIIAQRPDNPREFFKQQERVASASAGSCDVPSPFNHRPGSHLDSHRRMAPTPIPTRSPSDSSTASTPVAEQIERALDEVTSSQPPPLPPPPPPAQETQEPSPILDSEETRAAAPQAWAGPMEEPPQAQAPPRGPGSPAEDLMFMESAEQAVLAAPVEPATADATEIHDAADTIETDTATADTTVANNVPPAATSLIDLWPGNGEGASTLQGEPRAPTPPSGTEVTLAEVPLLDEVAPEPLLPAGEGCATLLNFDELPEPPATFCDPEEVEGESLAAPQTPTLPSALEELEQEQEPEPHLLTNGETTQKEGTQASEGYFSQSQEEEFAQSEELCAKAPPPVFYNKPPEIDITCWDADPVPEEEEGFEGGD
+>DECOY_sp|Q16643|DREB_HUMAN Drebrin OS=Homo sapiens OX=9606 GN=DBN1 PE=1 SV=4
+DGGEFGEEEEPVPDADWCTIDIEPPKNYFVPPPAKACLEESQAFEEEQSQSFYGESAQTGEKQTTEGNTLLHPEPEQEQELEELASPLTPTQPAALSEGEVEEPDCFTAPPEPLEDFNLLTACGEGAPLLPEPAVEDLLPVEALTVETGSPPTPARPEGQLTSAGEGNGPWLDILSTAAPPVNNAVTTDATATDTEITDAADHIETADATAPEVPAALVAQEASEMFMLDEAPSGPGRPPAQAQPPEEMPGAWAQPAAARTEESDLIPSPEQTEQAPPPPPPLPPPQSSTVEDLAREIQEAVPTSATSSDSPSRTPIPTPAMRRHSDLHSGPRHNFPSPVDCSGASASAVREQQKFFERPNDPRQAIIAAAEEVESESKKMHTEEEEDRHDGFISQEKLRRKAEEAELTQQKRRHEEIQQERERYRREREEQEQREQEMREQEFRLREDLAKKREEEKRLEEEKKAQEWFQERNIRKMEVAADTKQYTTGVPEANEDERLRLRHLVPSSLRALGNSLRQGIAGADIDEVSSANVIVDVGQFFEAVKAVHSACACKRADPVDEGVWNILVYKPLAAQSDKVSCFGYMVKQNEFHGSLEQLGGEGSAALKLDDSGDEYTYLAWDAASEERIVEEYAALLELRHGSFSVGAM
+>sp|O14972|DSCR3_HUMAN Down syndrome critical region protein 3 OS=Homo sapiens OX=9606 GN=DSCR3 PE=2 SV=1
+MGTALDIKIKRANKVYHAGEVLSGVVVISSKDSVQHQGVSLTMEGTVNLQLSAKSVGVFEAFYNSVKPIQIINSTIEMVKPGKFPSGKTEIPFEFPLHLKGNKVLYETYHGVFVNIQYTLRCDMKRSLLAKDLTKTCEFIVHSAPQKGKFTPSPVDFTITPETLQNVKERALLPKFLLRGHLNSTNCVITQPLTGELVVESSEAAIRSVELQLVRVETCGCAEGYARDATEIQNIQIADGDVCRGLSVPIYMVFPRLFTCPTLETTNFKVEFEVNIVVLLHPDHLITENFPLKLCRI
+>DECOY_sp|O14972|DSCR3_HUMAN Down syndrome critical region protein 3 OS=Homo sapiens OX=9606 GN=DSCR3 PE=2 SV=1
+IRCLKLPFNETILHDPHLLVVINVEFEVKFNTTELTPCTFLRPFVMYIPVSLGRCVDGDAIQINQIETADRAYGEACGCTEVRVLQLEVSRIAAESSEVVLEGTLPQTIVCNTSNLHGRLLFKPLLAREKVNQLTEPTITFDVPSPTFKGKQPASHVIFECTKTLDKALLSRKMDCRLTYQINVFVGHYTEYLVKNGKLHLPFEFPIETKGSPFKGPKVMEITSNIIQIPKVSNYFAEFVGVSKASLQLNVTGEMTLSVGQHQVSDKSSIVVVGSLVEGAHYVKNARKIKIDLATGM
+>sp|Q96T75|DSCR8_HUMAN Down syndrome critical region protein 8 OS=Homo sapiens OX=9606 GN=DSCR8 PE=1 SV=2
+MKEPGPNFVTVRKGLHSFKMAFVKHLLLFLSPRLECSGSITDHCSLHLPVQEILMSQPPEQLGLQTNLGNQESSGMMKLFMPRPKVLAQYESIQFMP
+>DECOY_sp|Q96T75|DSCR8_HUMAN Down syndrome critical region protein 8 OS=Homo sapiens OX=9606 GN=DSCR8 PE=1 SV=2
+PMFQISEYQALVKPRPMFLKMMGSSEQNGLNTQLGLQEPPQSMLIEQVPLHLSCHDTISGSCELRPSLFLLLHKVFAMKFSHLGKRVTVFNPGPEKM
+>sp|Q9Y2E6|DTX4_HUMAN E3 ubiquitin-protein ligase DTX4 OS=Homo sapiens OX=9606 GN=DTX4 PE=1 SV=2
+MLLASAVVVWEWLNEHGRWRPYSPAVSHHIEAVVRAGPRAGGSVVLGQVDSRLAPYIIDLQSMNQFRQDTGTLRPVRRNYYDPSSAPGKGVVWEWENDNGSWTPYDMEVGITIQHAYEKQHPWIDLTSIGFSYVIDFNTMGQINRQTQRQRRVRRRLDLIYPMVTGTLPKAQSWPVSPGPATSPPMSPCSCPQCVLVMSVKAAVVNGSTGPLQLPVTRKNMPPPGVVKLPPLPGSGAKPLDSTGTIRGPLKTAPSQVIRRQASSMPTGTTMGSPASPPGPNSKTGRVALATLNRTNLQRLAIAQSRVLIASGVPTVPVKNLNGSSPVNPALAGITGILMSAAGLPVCLTRPPKLVLHPPPVSKSEIKSIPGVSNTSRKTTKKQAKKGKTPEEVLKKYLQKVRHPPDEDCTICMERLTAPSGYKGPQPTVKPDLVGKLSRCGHVYHIYCLVAMYNNGNKDGSLQCPTCKTIYGVKTGTQPPGKMEYHLIPHSLPGHPDCKTIRIIYSIPPGIQGPEHPNPGKSFSARGFPRHCYLPDSEKGRKVLKLLLVAWDRRLIFAIGTSSTTGESDTVIWNEVHHKTEFGSNLTGHGYPDANYLDNVLAELAAQGISEDSTAQEKD
+>DECOY_sp|Q9Y2E6|DTX4_HUMAN E3 ubiquitin-protein ligase DTX4 OS=Homo sapiens OX=9606 GN=DTX4 PE=1 SV=2
+DKEQATSDESIGQAALEALVNDLYNADPYGHGTLNSGFETKHHVENWIVTDSEGTTSSTGIAFILRRDWAVLLLKLVKRGKESDPLYCHRPFGRASFSKGPNPHEPGQIGPPISYIIRITKCDPHGPLSHPILHYEMKGPPQTGTKVGYITKCTPCQLSGDKNGNNYMAVLCYIHYVHGCRSLKGVLDPKVTPQPGKYGSPATLREMCITCDEDPPHRVKQLYKKLVEEPTKGKKAQKKTTKRSTNSVGPISKIESKSVPPPHLVLKPPRTLCVPLGAASMLIGTIGALAPNVPSSGNLNKVPVTPVGSAILVRSQAIALRQLNTRNLTALAVRGTKSNPGPPSAPSGMTTGTPMSSAQRRIVQSPATKLPGRITGTSDLPKAGSGPLPPLKVVGPPPMNKRTVPLQLPGTSGNVVAAKVSMVLVCQPCSCPSMPPSTAPGPSVPWSQAKPLTGTVMPYILDLRRRVRRQRQTQRNIQGMTNFDIVYSFGISTLDIWPHQKEYAHQITIGVEMDYPTWSGNDNEWEWVVGKGPASSPDYYNRRVPRLTGTDQRFQNMSQLDIIYPALRSDVQGLVVSGGARPGARVVAEIHHSVAPSYPRWRGHENLWEWVVVASALLM
+>sp|Q9UBX2|DUX4_HUMAN Double homeobox protein 4 OS=Homo sapiens OX=9606 GN=DUX4 PE=1 SV=2
+MALPTPSDSTLPAEARGRGRRRRLVWTPSQSEALRACFERNPYPGIATRERLAQAIGIPEPRVQIWFQNERSRQLRQHRRESRPWPGRRGPPEGRRKRTAVTGSQTALLLRAFEKDRFPGIAAREELARETGLPESRIQIWFQNRRARHPGQGGRAPAQAGGLCSAAPGGGHPAPSWVAFAHTGAWGTGLPAPHVPCAPGALPQGAFVSQAARAAPALQPSQAAPAEGISQPAPARGDFAYAAPAPPDGALSHPQAPRWPPHPGKSREDRDPQRDGLPGPCAVAQPGPAQAGPQGQGVLAPPTSQGSPWWGWGRGPQVAGAAWEPQAGAAPPPQPAPPDASASARQGQMQGIPAPSQALQEPAPWSALPCGLLLDELLASPEFLQQAQPLLETEAPGELEASEEAASLEAPLSEEEYRALLEEL
+>DECOY_sp|Q9UBX2|DUX4_HUMAN Double homeobox protein 4 OS=Homo sapiens OX=9606 GN=DUX4 PE=1 SV=2
+LEELLARYEEESLPAELSAAEESAELEGPAETELLPQAQQLFEPSALLEDLLLGCPLASWPAPEQLAQSPAPIGQMQGQRASASADPPAPQPPPAAGAQPEWAAGAVQPGRGWGWWPSGQSTPPALVGQGQPGAQAPGPQAVACPGPLGDRQPDRDERSKGPHPPWRPAQPHSLAGDPPAPAAYAFDGRAPAPQSIGEAPAAQSPQLAPAARAAQSVFAGQPLAGPACPVHPAPLGTGWAGTHAFAVWSPAPHGGGPAASCLGGAQAPARGGQGPHRARRNQFWIQIRSEPLGTERALEERAAIGPFRDKEFARLLLATQSGTVATRKRRGEPPGRRGPWPRSERRHQRLQRSRENQFWIQVRPEPIGIAQALRERTAIGPYPNREFCARLAESQSPTWVLRRRRGRGRAEAPLTSDSPTPLAM
+>sp|Q92997|DVL3_HUMAN Segment polarity protein dishevelled homolog DVL-3 OS=Homo sapiens OX=9606 GN=DVL3 PE=1 SV=2
+MGETKIIYHLDGQETPYLVKLPLPAERVTLADFKGVLQRPSYKFFFKSMDDDFGVVKEEISDDNAKLPCFNGRVVSWLVSAEGSHPDPAPFCADNPSELPPPMERTGGIGDSRPPSFHPHAGGGSQENLDNDTETDSLVSAQRERPRRRDGPEHATRLNGTAKGERRREPGGYDSSSTLMSSELETTSFFDSDEDDSTSRFSSSTEQSSASRLMRRHKRRRRKQKVSRIERSSSFSSITDSTMSLNIITVTLNMEKYNFLGISIVGQSNERGDGGIYIGSIMKGGAVAADGRIEPGDMLLQVNEINFENMSNDDAVRVLREIVHKPGPITLTVAKCWDPSPRGCFTLPRSEPIRPIDPAAWVSHTAAMTGTFPAYGMSPSLSTITSTSSSITSSIPDTERLDDFHLSIHSDMAAIVKAMASPESGLEVRDRMWLKITIPNAFIGSDVVDWLYHNVEGFTDRREARKYASNLLKAGFIRHTVNKITFSEQCYYIFGDLCGNMANLSLHDHDGSSGASDQDTLAPLPHPGAAPWPMAFPYQYPPPPHPYNPHPGFPELGYSYGGGSASSQHSEGSRSSGSNRSGSDRRKEKDPKAGDSKSGGSGSESDHTTRSSLRGPRERAPSERSGPAASEHSHRSHHSLASSLRSHHTHPSYGPPGVPPLYGPPMLMMPPPPAAMGPPGAPPGRDLASVPPELTASRQSFRMAMGNPSEFFVDVM
+>DECOY_sp|Q92997|DVL3_HUMAN Segment polarity protein dishevelled homolog DVL-3 OS=Homo sapiens OX=9606 GN=DVL3 PE=1 SV=2
+MVDVFFESPNGMAMRFSQRSATLEPPVSALDRGPPAGPPGMAAPPPPMMLMPPGYLPPVGPPGYSPHTHHSRLSSALSHHSRHSHESAAPGSRESPARERPGRLSSRTTHDSESGSGGSKSDGAKPDKEKRRDSGSRNSGSSRSGESHQSSASGGGYSYGLEPFGPHPNYPHPPPPYQYPFAMPWPAAGPHPLPALTDQDSAGSSGDHDHLSLNAMNGCLDGFIYYCQESFTIKNVTHRIFGAKLLNSAYKRAERRDTFGEVNHYLWDVVDSGIFANPITIKLWMRDRVELGSEPSAMAKVIAAMDSHISLHFDDLRETDPISSTISSSTSTITSLSPSMGYAPFTGTMAATHSVWAAPDIPRIPESRPLTFCGRPSPDWCKAVTLTIPGPKHVIERLVRVADDNSMNEFNIENVQLLMDGPEIRGDAAVAGGKMISGIYIGGDGRENSQGVISIGLFNYKEMNLTVTIINLSMTSDTISSFSSSREIRSVKQKRRRRKHRRMLRSASSQETSSSFRSTSDDEDSDFFSTTELESSMLTSSSDYGGPERRREGKATGNLRTAHEPGDRRRPRERQASVLSDTETDNDLNEQSGGGAHPHFSPPRSDGIGGTREMPPPLESPNDACFPAPDPHSGEASVLWSVVRGNFCPLKANDDSIEEKVVGFDDDMSKFFFKYSPRQLVGKFDALTVREAPLPLKVLYPTEQGDLHYIIKTEGM
+>sp|O00148|DX39A_HUMAN ATP-dependent RNA helicase DDX39A OS=Homo sapiens OX=9606 GN=DDX39A PE=1 SV=2
+MAEQDVENDLLDYDEEEEPQAPQESTPAPPKKDIKGSYVSIHSSGFRDFLLKPELLRAIVDCGFEHPSEVQHECIPQAILGMDVLCQAKSGMGKTAVFVLATLQQIEPVNGQVTVLVMCHTRELAFQISKEYERFSKYMPSVKVSVFFGGLSIKKDEEVLKKNCPHVVVGTPGRILALVRNRSFSLKNVKHFVLDECDKMLEQLDMRRDVQEIFRLTPHEKQCMMFSATLSKDIRPVCRKFMQDPMEVFVDDETKLTLHGLQQYYVKLKDSEKNRKLFDLLDVLEFNQVIIFVKSVQRCMALAQLLVEQNFPAIAIHRGMAQEERLSRYQQFKDFQRRILVATNLFGRGMDIERVNIVFNYDMPEDSDTYLHRVARAGRFGTKGLAITFVSDENDAKILNDVQDRFEVNVAELPEEIDISTYIEQSR
+>DECOY_sp|O00148|DX39A_HUMAN ATP-dependent RNA helicase DDX39A OS=Homo sapiens OX=9606 GN=DDX39A PE=1 SV=2
+RSQEIYTSIDIEEPLEAVNVEFRDQVDNLIKADNEDSVFTIALGKTGFRGARAVRHLYTDSDEPMDYNFVINVREIDMGRGFLNTAVLIRRQFDKFQQYRSLREEQAMGRHIAIAPFNQEVLLQALAMCRQVSKVFIIVQNFELVDLLDFLKRNKESDKLKVYYQQLGHLTLKTEDDVFVEMPDQMFKRCVPRIDKSLTASFMMCQKEHPTLRFIEQVDRRMDLQELMKDCEDLVFHKVNKLSFSRNRVLALIRGPTGVVVHPCNKKLVEEDKKISLGGFFVSVKVSPMYKSFREYEKSIQFALERTHCMVLVTVQGNVPEIQQLTALVFVATKGMGSKAQCLVDMGLIAQPICEHQVESPHEFGCDVIARLLEPKLLFDRFGSSHISVYSGKIDKKPPAPTSEQPAQPEEEEDYDLLDNEVDQEAM
+>sp|Q8NCM8|DYHC2_HUMAN Cytoplasmic dynein 2 heavy chain 1 OS=Homo sapiens OX=9606 GN=DYNC2H1 PE=1 SV=4
+MANGTADVRKLFIFTTTQNYFGLMSELWDQPLLCNCLEINNFLDDGNQMLLRVQRSDAGISFSNTIEFGDTKDKVLVFFKLRPEVITDENLHDNILVSSMLESPISSLYQAVRQVFAPMLLKDQEWSRNFDPKLQNLLSELEAGLGIVLRRSDTNLTKLKFKEDDTRGILTPSDEFQFWIEQAHRGNKQISKERANYFKELFETIAREFYNLDSLSLLEVVDLVETTQDVVDDVWRQTEHDHYPESRMLHLLDIIGGSFGRFVQKKLGTLNLWEDPYYLVKESLKAGISICEQWVIVCNHLTGQVWQRYVPHPWKNEKYFPETLDKLGKRLEEVLAIRTIHEKFLYFLPASEEKIICLTRVFEPFTGLNPVQYNPYTEPLWKAAVSQYEKIIAPAEQKIAGKLKNYISEIQDSPQQLLQAFLKYKELVKRPTISKELMLERETLLARLVDSIKDFRLDFENRCRGIPGDASGPLSGKNLSEVVNSIVWVRQLELKVDDTIKIAEALLSDLPGFRCFHQSAKDLLDQLKLYEQEQFDDWSRDIQSGLSDSRSGLCIEASSRIMELDSNDGLLKVHYSDRLVILLREVRQLSALGFVIPAKIQQVANIAQKFCKQAIILKQVAHFYNSIDQQMIQSQRPMMLQSALAFEQIIKNSKAGSGGKSQITWDNPKELEGYIQKLQNAAERLATENRKLRKWHTTFCEKVVVLMNIDLLRQQQRWKDGLQELRTGLATVEAQGFQASDMHAWKQHWNHQLYKALEHQYQMGLEALNENLPEINIDLTYKQGRLQFRPPFEEIRAKYYREMKRFIGIPNQFKGVGEAGDESIFSIMIDRNASGFLTIFSKAEDLFRRLSAVLHQHKEWIVIGQVDMEALVEKHLFTVHDWEKNFKALKIKGKEVERLPSAVKVDCLNINCNPVKTVIDDLIQKLFDLLVLSLKKSIQAHLHEIDTFVTEAMEVLTIMPQSVEEIGDANLQYSKLQERKPEILPLFQEAEDKNRLLRTVAGGGLETISNLKAKWDKFELMMESHQLMIKDQIEVMKGNVKSRLQIYYQELEKFKARWDQLKPGDDVIETGQHNTLDKSAKLIKEKKIEFDDLEVTRKKLVDDCHHFRLEEPNFSLASSISKDIESCAQIWAFYEEFQQGFQEMANEDWITFRTKTYLFEEFLMNWHDRLRKVEEHSVMTVKLQSEVDKYKIVIPILKYVRGEHLSPDHWLDLFRLLGLPRGTSLEKLLFGDLLRVADTIVAKAADLKDLNSRAQGEVTIREALRELDLWGVGAVFTLIDYEDSQSRTMKLIKDWKDIVNQVGDNRCLLQSLKDSPYYKGFEDKVSIWERKLAELDEYLQNLNHIQRKWVYLEPIFGRGALPKEQTRFNRVDEDFRSIMTDIKKDNRVTTLTTHAGIRNSLLTILDQLQRCQKSLNEFLEEKRSAFPRFYFIGDDDLLEILGQSTNPSVIQSHLKKLFAGINSVCFDEKSKHITAMKSLEGEVVPFKNKVPLSNNVETWLNDLALEMKKTLEQLLKECVTTGRSSQGAVDPSLFPSQILCLAEQIKFTEDVENAIKDHSLHQIETQLVNKLEQYTNIDTSSEDPGNTESGILELKLKALILDIIHNIDVVKQLNQIQVHTTEDWAWKKQLRFYMKSDHTCCVQMVDSEFQYTYEYQGNASKLVYTPLTDKCYLTLTQAMKMGLGGNPYGPAGTGKTESVKALGGLLGRQVLVFNCDEGIDVKSMGRIFVGLVKCGAWGCFDEFNRLEESVLSAVSMQIQTIQDALKNHRTVCELLGKEVEVNSNSGIFITMNPAGKGYGGRQKLPDNLKQLFRPVAMSHPDNELIAEVILYSEGFKDAKVLSRKLVAIFNLSRELLTPQQHYDWGLRALKTVLRGSGNLLRQLNKSGTTQNANESHIVVQALRLNTMSKFTFTDCTRFDALIKDVFPGIELKEVEYDELSAALKQVFEEANYEIIPNQIKKALELYEQLCQRMGVVIVGPSGAGKSTLWRMLRAALCKTGKVVKQYTMNPKAMPRYQLLGHIDMDTREWSDGVLTNSARQVVREPQDVSSWIICDGDIDPEWIESLNSVLDDNRLLTMPSGERIQFGPNVNFVFETHDLSCASPATISRMGMIFLSDEETDLNSLIKSWLRNQPAEYRNNLENWIGDYFEKALQWVLKQNDYVVETSLVGTVMNGLSHLHGCRDHDEFIINLIRGLGGNLNMKSRLEFTKEVFHWARESPPDFHKPMDTYYDSTRGRLATYVLKKPEDLTADDFSNGLTLPVIQTPDMQRGLDYFKPWLSSDTKQPFILVGPEGCGKGMLLRYAFSQLRSTQIATVHCSAQTTSRHLLQKLSQTCMVISTNTGRVYRPKDCERLVLYLKDINLPKLDKWGTSTLVAFLQQVLTYQGFYDENLEWVGLENIQIVASMSAGGRLGRHKLTTRFTSIVRLCSIDYPEREQLQTIYGAYLEPVLHKNLKNHSIWGSSSKIYLLAGSMVQVYEQVRAKFTVDDYSHYFFTPCILTQWVLGLFRYDLEGGSSNHPLDYVLEIVAYEARRLFRDKIVGAKELHLFDIILTSVFQGDWGSDILDNMSDSFYVTWGARHNSGARAAPGQPLPPHGKPLGKLNSTDLKDVIKKGLIHYGRDNQNLDILLFHEVLEYMSRIDRVLSFPGGSLLLAGRSGVGRRTITSLVSHMHGAVLFSPKISRGYELKQFKNDLKHVLQLAGIEAQQVVLLLEDYQFVHPTFLEMINSLLSSGEVPGLYTLEELEPLLLPLKDQASQDGFFGPVFNYFTYRIQQNLHIVLIMDSANSNFMINCESNPALHKKCQVLWMEGWSNSSMKKIPEMLFSETGGGEKYNDKKRKEEKKKNSVDPDFLKSFLLIHESCKAYGATPSRYMTFLHVYSAISSSKKKELLKRQSHLQAGVSKLNEAKALVDELNRKAGEQSVLLKTKQDEADAALQMITVSMQDASEQKTELERLKHRIAEEVVKIEERKNKIDDELKEVQPLVNEAKLAVGNIKPESLSEIRSLRMPPDVIRDILEGVLRLMGIFDTSWVSMKSFLAKRGVREDIATFDARNISKEIRESVEELLFKNKGSFDPKNAKRASTAAAPLAAWVKANIQYSHVLERIHPLETEQAGLESNLKKTEDRKRKLEELLNSVGQKVSELKEKFQSRTSEAAKLEAEVSKAQETIKAAEVLINQLDREHKRWNAQVVEITEELATLPKRAQLAAAFITYLSAAPESLRKTCLEEWTKSAGLEKFDLRRFLCTESEQLIWKSEGLPSDDLSIENALVILQSRVCPFLIDPSSQATEWLKTHLKDSRLEVINQQDSNFITALELAVRFGKTLIIQEMDGVEPVLYPLLRRDLVAQGPRYVVQIGDKIIDYNEEFRLFLSTRNPNPFIPPDAASIVTEVNFTTTRSGLRGQLLALTIQHEKPDLEEQKTKLLQQEEDKKIQLAKLEESLLETLATSQGNILENKDLIESLNQTKASSALIQESLKESYKLQISLDQERDAYLPLAESASKMYFIISDLSKINNMYRFSLAAFLRLFQRALQNKQDSENTEQRIQSLISSLQHMVYEYICRCLFKADQLMFALHFVRGMHPELFQENEWDTFTGVVVGDMLRKADSQQKIRDQLPSWIDQERSWAVATLKIALPSLYQTLCFEDAALWRTYYNNSMCEQEFPSILAKKVSLFQQILVVQALRPDRLQSAMALFACKTLGLKEVSPLPLNLKRLYKETLEIEPILIIISPGADPSQELQELANAERSGECYHQVAMGQGQADLAIQMLKECARNGDWLCLKNLHLVVSWLPVLEKELNTLQPKDTFRLWLTAEVHPNFTPILLQSSLKITYESPPGLKKNLMRTYESWTPEQISKKDNTHRAHALFSLAWFHAACQERRNYIPQGWTKFYEFSLSDLRAGYNIIDRLFDGAKDVQWEFVHGLLENAIYGGRIDNYFDLRVLQSYLKQFFNSSVIDVFNQRNKKSIFPYSVSLPQSCSILDYRAVIEKIPEDDKPSFFGLPANIARSSQRMISSQVISQLRILGRSITAGSKFDREIWSNELSPVLNLWKKLNQNSNLIHQKVPPPNDRQGSPILSFIILEQFNAIRLVQSVHQSLAALSKVIRGTTLLSSEVQKLASALLNQKCPLAWQSKWEGPEDPLQYLRGLVARALAIQNWVDKAEKQALLSETLDLSELFHPDTFLNALRQETARAVGRSVDSLKFVASWKGRLQEAKLQIKISGLLLEGCSFDGNQLSENQLDSPSVSSVLPCFMGWIPQDACGPYSPDECISLPVYTSAERDRVVTNIDVPCGGNQDQWIQCGAALFLKNQ
+>DECOY_sp|Q8NCM8|DYHC2_HUMAN Cytoplasmic dynein 2 heavy chain 1 OS=Homo sapiens OX=9606 GN=DYNC2H1 PE=1 SV=4
+QNKLFLAAGCQIWQDQNGGCPVDINTVVRDREASTYVPLSICEDPSYPGCADQPIWGMFCPLVSSVSPSDLQNESLQNGDFSCGELLLGSIKIQLKAEQLRGKWSAVFKLSDVSRGVARATEQRLANLFTDPHFLESLDLTESLLAQKEAKDVWNQIALARAVLGRLYQLPDEPGEWKSQWALPCKQNLLASALKQVESSLLTTGRIVKSLAALSQHVSQVLRIANFQELIIFSLIPSGQRDNPPPVKQHILNSNQNLKKWLNLVPSLENSWIERDFKSGATISRGLIRLQSIVQSSIMRQSSRAINAPLGFFSPKDDEPIKEIVARYDLISCSQPLSVSYPFISKKNRQNFVDIVSSNFFQKLYSQLVRLDFYNDIRGGYIANELLGHVFEWQVDKAGDFLRDIINYGARLDSLSFEYFKTWGQPIYNRREQCAAHFWALSFLAHARHTNDKKSIQEPTWSEYTRMLNKKLGPPSEYTIKLSSQLLIPTFNPHVEATLWLRFTDKPQLTNLEKELVPLWSVVLHLNKLCLWDGNRACEKLMQIALDAQGQGMAVQHYCEGSREANALEQLEQSPDAGPSIIILIPEIELTEKYLRKLNLPLPSVEKLGLTKCAFLAMASQLRDPRLAQVVLIQQFLSVKKALISPFEQECMSNNYYTRWLAADEFCLTQYLSPLAIKLTAVAWSREQDIWSPLQDRIKQQSDAKRLMDGVVVGTFTDWENEQFLEPHMGRVFHLAFMLQDAKFLCRCIYEYVMHQLSSILSQIRQETNESDQKNQLARQFLRLFAALSFRYMNNIKSLDSIIFYMKSASEALPLYADREQDLSIQLKYSEKLSEQILASSAKTQNLSEILDKNELINGQSTALTELLSEELKALQIKKDEEQQLLKTKQEELDPKEHQITLALLQGRLGSRTTTFNVETVISAADPPIFPNPNRTSLFLRFEENYDIIKDGIQVVYRPGQAVLDRRLLPYLVPEVGDMEQIILTKGFRVALELATIFNSDQQNIVELRSDKLHTKLWETAQSSPDILFPCVRSQLIVLANEISLDDSPLGESKWILQESETCLFRRLDFKELGASKTWEELCTKRLSEPAASLYTIFAAALQARKPLTALEETIEVVQANWRKHERDLQNILVEAAKITEQAKSVEAELKAAESTRSQFKEKLESVKQGVSNLLEELKRKRDETKKLNSELGAQETELPHIRELVHSYQINAKVWAALPAAATSARKANKPDFSGKNKFLLEEVSERIEKSINRADFTAIDERVGRKALFSKMSVWSTDFIGMLRLVGELIDRIVDPPMRLSRIESLSEPKINGVALKAENVLPQVEKLEDDIKNKREEIKVVEEAIRHKLRELETKQESADQMSVTIMQLAADAEDQKTKLLVSQEGAKRNLEDVLAKAENLKSVGAQLHSQRKLLEKKKSSSIASYVHLFTMYRSPTAGYAKCSEHILLFSKLFDPDVSNKKKEEKRKKDNYKEGGGTESFLMEPIKKMSSNSWGEMWLVQCKKHLAPNSECNIMFNSNASDMILVIHLNQQIRYTFYNFVPGFFGDQSAQDKLPLLLPELEELTYLGPVEGSSLLSNIMELFTPHVFQYDELLLVVQQAEIGALQLVHKLDNKFQKLEYGRSIKPSFLVAGHMHSVLSTITRRGVGSRGALLLSGGPFSLVRDIRSMYELVEHFLLIDLNQNDRGYHILGKKIVDKLDTSNLKGLPKGHPPLPQGPAARAGSNHRAGWTVYFSDSMNDLIDSGWDGQFVSTLIIDFLHLEKAGVIKDRFLRRAEYAVIELVYDLPHNSSGGELDYRFLGLVWQTLICPTFFYHSYDDVTFKARVQEYVQVMSGALLYIKSSSGWISHNKLNKHLVPELYAGYITQLQEREPYDISCLRVISTFRTTLKHRGLRGGASMSAVIQINELGVWELNEDYFGQYTLVQQLFAVLTSTGWKDLKPLNIDKLYLVLRECDKPRYVRGTNTSIVMCTQSLKQLLHRSTTQASCHVTAIQTSRLQSFAYRLLMGKGCGEPGVLIFPQKTDSSLWPKFYDLGRQMDPTQIVPLTLGNSFDDATLDEPKKLVYTALRGRTSDYYTDMPKHFDPPSERAWHFVEKTFELRSKMNLNGGLGRILNIIFEDHDRCGHLHSLGNMVTGVLSTEVVYDNQKLVWQLAKEFYDGIWNELNNRYEAPQNRLWSKILSNLDTEEDSLFIMGMRSITAPSACSLDHTEFVFNVNPGFQIREGSPMTLLRNDDLVSNLSEIWEPDIDGDCIIWSSVDQPERVVQRASNTLVGDSWERTDMDIHGLLQYRPMAKPNMTYQKVVKGTKCLAARLMRWLTSKGAGSPGVIVVGMRQCLQEYLELAKKIQNPIIEYNAEEFVQKLAASLEDYEVEKLEIGPFVDKILADFRTCDTFTFKSMTNLRLAQVVIHSENANQTTGSKNLQRLLNGSGRLVTKLARLGWDYHQQPTLLERSLNFIAVLKRSLVKADKFGESYLIVEAILENDPHSMAVPRFLQKLNDPLKQRGGYGKGAPNMTIFIGSNSNVEVEKGLLECVTRHNKLADQITQIQMSVASLVSEELRNFEDFCGWAGCKVLGVFIRGMSKVDIGEDCNFVLVQRGLLGGLAKVSETKGTGAPGYPNGGLGMKMAQTLTLYCKDTLPTYVLKSANGQYEYTYQFESDVMQVCCTHDSKMYFRLQKKWAWDETTHVQIQNLQKVVDINHIIDLILAKLKLELIGSETNGPDESSTDINTYQELKNVLQTEIQHLSHDKIANEVDETFKIQEALCLIQSPFLSPDVAGQSSRGTTVCEKLLQELTKKMELALDNLWTEVNNSLPVKNKFPVVEGELSKMATIHKSKEDFCVSNIGAFLKKLHSQIVSPNTSQGLIELLDDDGIFYFRPFASRKEELFENLSKQCRQLQDLITLLSNRIGAHTTLTTVRNDKKIDTMISRFDEDVRNFRTQEKPLAGRGFIPELYVWKRQIHNLNQLYEDLEALKREWISVKDEFGKYYPSDKLSQLLCRNDGVQNVIDKWDKILKMTRSQSDEYDILTFVAGVGWLDLERLAERITVEGQARSNLDKLDAAKAVITDAVRLLDGFLLKELSTGRPLGLLRFLDLWHDPSLHEGRVYKLIPIVIKYKDVESQLKVTMVSHEEVKRLRDHWNMLFEEFLYTKTRFTIWDENAMEQFGQQFEEYFAWIQACSEIDKSISSALSFNPEELRFHHCDDVLKKRTVELDDFEIKKEKILKASKDLTNHQGTEIVDDGPKLQDWRAKFKELEQYYIQLRSKVNGKMVEIQDKIMLQHSEMMLEFKDWKAKLNSITELGGGAVTRLLRNKDEAEQFLPLIEPKREQLKSYQLNADGIEEVSQPMITLVEMAETVFTDIEHLHAQISKKLSLVLLDFLKQILDDIVTKVPNCNINLCDVKVASPLREVEKGKIKLAKFNKEWDHVTFLHKEVLAEMDVQGIVIWEKHQHLVASLRRFLDEAKSFITLFGSANRDIMISFISEDGAEGVGKFQNPIGIFRKMERYYKARIEEFPPRFQLRGQKYTLDINIEPLNENLAELGMQYQHELAKYLQHNWHQKWAHMDSAQFGQAEVTALGTRLEQLGDKWRQQQRLLDINMLVVVKECFTTHWKRLKRNETALREAANQLKQIYGELEKPNDWTIQSKGGSGAKSNKIIQEFALASQLMMPRQSQIMQQDISNYFHAVQKLIIAQKCFKQAINAVQQIKAPIVFGLASLQRVERLLIVLRDSYHVKLLGDNSDLEMIRSSAEICLGSRSDSLGSQIDRSWDDFQEQEYLKLQDLLDKASQHFCRFGPLDSLLAEAIKITDDVKLELQRVWVISNVVESLNKGSLPGSADGPIGRCRNEFDLRFDKISDVLRALLTERELMLEKSITPRKVLEKYKLFAQLLQQPSDQIESIYNKLKGAIKQEAPAIIKEYQSVAAKWLPETYPNYQVPNLGTFPEFVRTLCIIKEESAPLFYLFKEHITRIALVEELRKGLKDLTEPFYKENKWPHPVYRQWVQGTLHNCVIVWQECISIGAKLSEKVLYYPDEWLNLTGLKKQVFRGFSGGIIDLLHLMRSEPYHDHETQRWVDDVVDQTTEVLDVVELLSLSDLNYFERAITEFLEKFYNAREKSIQKNGRHAQEIWFQFEDSPTLIGRTDDEKFKLKTLNTDSRRLVIGLGAELESLLNQLKPDFNRSWEQDKLLMPAFVQRVAQYLSSIPSELMSSVLINDHLNEDTIVEPRLKFFVLVKDKTDGFEITNSFSIGADSRQVRLLMQNGDDLFNNIELCNCLLPQDWLESMLGFYNQTTTFIFLKRVDATGNAM
+>sp|P51808|DYLT3_HUMAN Dynein light chain Tctex-type 3 OS=Homo sapiens OX=9606 GN=DYNLT3 PE=1 SV=1
+MEEYHRHCDEVGFNAEEAHNIVKECVDGVLGGEDYNHNNINQWTASIVEQSLTHLVKLGKAYKYIVTCAVVQKSAYGFHTASSCFWDTTSDGTCTVRWENRTMNCIVNVFAIAIVL
+>DECOY_sp|P51808|DYLT3_HUMAN Dynein light chain Tctex-type 3 OS=Homo sapiens OX=9606 GN=DYNLT3 PE=1 SV=1
+LVIAIAFVNVICNMTRNEWRVTCTGDSTTDWFCSSATHFGYASKQVVACTVIYKYAKGLKVLHTLSQEVISATWQNINNHNYDEGGLVGDVCEKVINHAEEANFGVEDCHRHYEEM
+>sp|P50570|DYN2_HUMAN Dynamin-2 OS=Homo sapiens OX=9606 GN=DNM2 PE=1 SV=2
+MGNRGMEELIPLVNKLQDAFSSIGQSCHLDLPQIAVVGGQSAGKSSVLENFVGRDFLPRGSGIVTRRPLILQLIFSKTEHAEFLHCKSKKFTDFDEVRQEIEAETDRVTGTNKGISPVPINLRVYSPHVLNLTLIDLPGITKVPVGDQPPDIEYQIKDMILQFISRESSLILAVTPANMDLANSDALKLAKEVDPQGLRTIGVITKLDLMDEGTDARDVLENKLLPLRRGYIGVVNRSQKDIEGKKDIRAALAAERKFFLSHPAYRHMADRMGTPHLQKTLNQQLTNHIRESLPALRSKLQSQLLSLEKEVEEYKNFRPDDPTRKTKALLQMVQQFGVDFEKRIEGSGDQVDTLELSGGARINRIFHERFPFELVKMEFDEKDLRREISYAIKNIHGVRTGLFTPDLAFEAIVKKQVVKLKEPCLKCVDLVIQELINTVRQCTSKLSSYPRLREETERIVTTYIREREGRTKDQILLLIDIEQSYINTNHEDFIGFANAQQRSTQLNKKRAIPNQGEILVIRRGWLTINNISLMKGGSKEYWFVLTAESLSWYKDEEEKEKKYMLPLDNLKIRDVEKGFMSNKHVFAIFNTEQRNVYKDLRQIELACDSQEDVDSWKASFLRAGVYPEKDQAENEDGAQENTFSMDPQLERQVETIRNLVDSYVAIINKSIRDLMPKTIMHLMINNTKAFIHHELLAYLYSSADQSSLMEESADQAQRRDDMLRMYHALKEALNIIGDISTSTVSTPVPPPVDDTWLQSASSHSPTPQRRPVSSIHPPGRPPAVRGPTPGPPLIPVPVGAAASFSAPPIPSRPGPQSVFANSDLFPAPPQIPSRPVRIPPGIPPGVPSRRPPAAPSRPTIIRPAEPSLLD
+>DECOY_sp|P50570|DYN2_HUMAN Dynamin-2 OS=Homo sapiens OX=9606 GN=DNM2 PE=1 SV=2
+DLLSPEAPRIITPRSPAAPPRRSPVGPPIGPPIRVPRSPIQPPAPFLDSNAFVSQPGPRSPIPPASFSAAAGVPVPILPPGPTPGRVAPPRGPPHISSVPRRQPTPSHSSASQLWTDDVPPPVPTSVTSTSIDGIINLAEKLAHYMRLMDDRRQAQDASEEMLSSQDASSYLYALLEHHIFAKTNNIMLHMITKPMLDRISKNIIAVYSDVLNRITEVQRELQPDMSFTNEQAGDENEAQDKEPYVGARLFSAKWSDVDEQSDCALEIQRLDKYVNRQETNFIAFVHKNSMFGKEVDRIKLNDLPLMYKKEKEEEDKYWSLSEATLVFWYEKSGGKMLSINNITLWGRRIVLIEGQNPIARKKNLQTSRQQANAFGIFDEHNTNIYSQEIDILLLIQDKTRGERERIYTTVIRETEERLRPYSSLKSTCQRVTNILEQIVLDVCKLCPEKLKVVQKKVIAEFALDPTFLGTRVGHINKIAYSIERRLDKEDFEMKVLEFPFREHFIRNIRAGGSLELTDVQDGSGEIRKEFDVGFQQVMQLLAKTKRTPDDPRFNKYEEVEKELSLLQSQLKSRLAPLSERIHNTLQQNLTKQLHPTGMRDAMHRYAPHSLFFKREAALAARIDKKGEIDKQSRNVVGIYGRRLPLLKNELVDRADTGEDMLDLKTIVGITRLGQPDVEKALKLADSNALDMNAPTVALILSSERSIFQLIMDKIQYEIDPPQDGVPVKTIGPLDILTLNLVHPSYVRLNIPVPSIGKNTGTVRDTEAEIEQRVEDFDTFKKSKCHLFEAHETKSFILQLILPRRTVIGSGRPLFDRGVFNELVSSKGASQGGVVAIQPLDLHCSQGISSFADQLKNVLPILEEMGRNGM
+>sp|Q9NR20|DYRK4_HUMAN Dual specificity tyrosine-phosphorylation-regulated kinase 4 OS=Homo sapiens OX=9606 GN=DYRK4 PE=1 SV=2
+MPASELKASEIPFHPSIKTQDPKAEEKSPKKQKVTLTAAEALKLFKNQLSPYEQSEILGYAELWFLGLEAKKLDTAPEKFSKTSFDDEHGFYLKVLHDHIAYRYEVLETIGKGSFGQVAKCLDHKNNELVALKIIRNKKRFHQQALMELKILEALRKKDKDNTYNVVHMKDFFYFRNHFCITFELLGINLYELMKNNNFQGFSLSIVRRFTLSVLKCLQMLSVEKIIHCDLKPENIVLYQKGQASVKVIDFGSSCYEHQKVYTYIQSRFYRSPEVILGHPYDVAIDMWSLGCITAELYTGYPLFPGENEVEQLACIMEVLGLPPAGFIQTASRRQTFFDSKGFPKNITNNRGKKRYPDSKDLTMVLKTYDTSFLDFLRRCLVWEPSLRMTPDQALKHAWIHQSRNLKPQPRPQTLRKSNSFFPSETRKDKVQGCHHSSRKADEITKETTEKTKDSPTKHVQHSGDQQDCLQHGADTVQLPQLVDAPKKSEAAVGAEVSMTSPGQSKNFSLKNTNVLPPIV
+>DECOY_sp|Q9NR20|DYRK4_HUMAN Dual specificity tyrosine-phosphorylation-regulated kinase 4 OS=Homo sapiens OX=9606 GN=DYRK4 PE=1 SV=2
+VIPPLVNTNKLSFNKSQGPSTMSVEAGVAAESKKPADVLQPLQVTDAGHQLCDQQDGSHQVHKTPSDKTKETTEKTIEDAKRSSHHCGQVKDKRTESPFFSNSKRLTQPRPQPKLNRSQHIWAHKLAQDPTMRLSPEWVLCRRLFDLFSTDYTKLVMTLDKSDPYRKKGRNNTINKPFGKSDFFTQRRSATQIFGAPPLGLVEMICALQEVENEGPFLPYGTYLEATICGLSWMDIAVDYPHGLIVEPSRYFRSQIYTYVKQHEYCSSGFDIVKVSAQGKQYLVINEPKLDCHIIKEVSLMQLCKLVSLTFRRVISLSFGQFNNNKMLEYLNIGLLEFTICFHNRFYFFDKMHVVNYTNDKDKKRLAELIKLEMLAQQHFRKKNRIIKLAVLENNKHDLCKAVQGFSGKGITELVEYRYAIHDHLVKLYFGHEDDFSTKSFKEPATDLKKAELGLFWLEAYGLIESQEYPSLQNKFLKLAEAATLTVKQKKPSKEEAKPDQTKISPHFPIESAKLESAPM
+>sp|Q03001|DYST_HUMAN Dystonin OS=Homo sapiens OX=9606 GN=DST PE=1 SV=4
+MAGYLSPAAYLYVEEQEYLQAYEDVLERYKDERDKVQKKTFTKWINQHLMKVRKHVNDLYEDLRDGHNLISLLEVLSGDTLPREKGRMRFHRLQNVQIALDYLKRRQVKLVNIRNDDITDGNPKLTLGLIWTIILHFQISDIHVTGESEDMSAKERLLLWTQQATEGYAGIRCENFTTCWRDGKLFNAIIHKYRPDLIDMNTVAVQSNLANLEHAFYVAEKIGVIRLLDPEDVDVSSPDEKSVITYVSSLYDAFPKVPEGGEGIGANDVEVKWIEYQNMVNYLIQWIRHHVTTMSERTFPNNPVELKALYNQYLQFKETEIPPKETEKSKIKRLYKLLEIWIEFGRIKLLQGYHPNDIEKEWGKLIIAMLEREKALRPEVERLEMLQQIANRVQRDSVICEDKLILAGNALQSDSKRLESGVQFQNEAEIAGYILECENLLRQHVIDVQILIDGKYYQADQLVQRVAKLRDEIMALRNECSSVYSKGRILTTEQTKLMISGITQSLNSGFAQTLHPSLTSGLTQSLTPSLTSSSMTSGLSSGMTSRLTPSVTPAYTPGFPSGLVPNFSSGVEPNSLQTLKLMQIRKPLLKSSLLDQNLTEEEINMKFVQDLLNWVDEMQVQLDRTEWGSDLPSVESHLENHKNVHRAIEEFESSLKEAKISEIQMTAPLKLTYAEKLHRLESQYAKLLNTSRNQERHLDTLHNFVSRATNELIWLNEKEEEEVAYDWSERNTNIARKKDYHAELMRELDQKEENIKSVQEIAEQLLLENHPARLTIEAYRAAMQTQWSWILQLCQCVEQHIKENTAYFEFFNDAKEATDYLRNLKDAIQRKYSCDRSSSIHKLEDLVQESMEEKEELLQYKSTIANLMGKAKTIIQLKPRNSDCPLKTSIPIKAICDYRQIEITIYKDDECVLANNSHRAKWKVISPTGNEAMVPSVCFTVPPPNKEAVDLANRIEQQYQNVLTLWHESHINMKSVVSWHYLINEIDRIRASNVASIKTMLPGEHQQVLSNLQSRFEDFLEDSQESQVFSGSDITQLEKEVNVCKQYYQELLKSAEREEQEESVYNLYISEVRNIRLRLENCEDRLIRQIRTPLERDDLHESVFRITEQEKLKKELERLKDDLGTITNKCEEFFSQAAASSSVPTLRSELNVVLQNMNQVYSMSSTYIDKLKTVNLVLKNTQAAEALVKLYETKLCEEEAVIADKNNIENLISTLKQWRSEVDEKRQVFHALEDELQKAKAISDEMFKTYKERDLDFDWHKEKADQLVERWQNVHVQIDNRLRDLEGIGKSLKYYRDTYHPLDDWIQQVETTQRKIQENQPENSKTLATQLNQQKMLVSEIEMKQSKMDECQKYAEQYSATVKDYELQTMTYRAMVDSQQKSPVKRRRMQSSADLIIQEFMDLRTRYTALVTLMTQYIKFAGDSLKRLEEEEKSLEEEKKEHVEKAKELQKWVSNISKTLKDAEKAGKPPFSKQKISSEEISTKKEQLSEALQTIQLFLAKHGDKMTDEERNELEKQVKTLQESYNLLFSESLKQLQESQTSGDVKVEEKLDKVIAGTIDQTTGEVLSVFQAVLRGLIDYDTGIRLLETQLMISGLISPELRKCFDLKDAKSHGLIDEQILCQLKELSKAKEIISAASPTTIPVLDALAQSMITESMAIKVLEILLSTGSLVIPATGEQLTLQKAFQQNLVSSALFSKVLERQNMCKDLIDPCTSEKVSLIDMVQRSTLQENTGMWLLPVRPQEGGRITLKCGRNISILRAAHEGLIDRETMFRLLSAQLLSGGLINSNSGQRMTVEEAVREGVIDRDTASSILTYQVQTGGIIQSNPAKRLTVDEAVQCDLITSSSALLVLEAQRGYVGLIWPHSGEIFPTSSSLQQELITNELAYKILNGRQKIAALYIPESSQVIGLDAAKQLGIIDNNTASILKNITLPDKMPDLGDLEACKNARRWLSFCKFQPSTVHDYRQEEDVFDGEEPVTTQTSEETKKLFLSYLMINSYMDANTGQRLLLYDGDLDEAVGMLLEGCHAEFDGNTAIKECLDVLSSSGVFLNNASGREKDECTATPSSFNKCHCGEPEHEETPENRKCAIDEEFNEMRNTVINSEFSQSGKLASTISIDPKVNSSPSVCVPSLISYLTQTELADISMLRSDSENILTNYENQSRVETNERANECSHSKNIQNFPSDLIENPIMKSKMSKFCGVNETENEDNTNRDSPIFDYSPRLSALLSHDKLMHSQGSFNDTHTPESNGNKCEAPALSFSDKTMLSGQRIGEKFQDQFLGIAAINISLPGEQYGQKSLNMISSNPQVQYHNDKYISNTSGEDEKTHPGFQQMPEDKEDESEIEEYSCAVTPGGDTDNAIVSLTCATPLLDETISASDYETSLLNDQQNNTGTDTDSDDDFYDTPLFEDDDHDSLLLDGDDRDCLHPEDYDTLQEENDETASPADVFYDVSKENENSMVPQGAPVGSLSVKNKAHCLQDFLMDVEKDELDSGEKIHLNPVGSDKVNGQSLETGSERECTNILEGDESDSLTDYDIVGGKESFTASLKFDDSGSWRGRKEEYVTGQEFHSDTDHLDSMQSEESYGDYIYDSNDQDDDDDDGIDEEGGGIRDENGKPRCQNVAEDMDIQLCASILNENSDENENINTMILLDKMHSCSSLEKQQRVNVVQLASPSENNLVTEKSNLPEYTTEIAGKSKENLLNHEMVLKDVLPPIIKDTESEKTFGPASISHDNNNISSTSELGTDLANTKVKLIQGSELPELTDSVKGKDEYFKNMTPKVDSSLDHIICTEPDLIGKPAEESHLSLIASVTDKDPQGNGSDLIKGRDGKSDILIEDETSIQKMYLGEGEVLVEGLVEEENRHLKLLPGKNTRDSFKLINSQFPFPQITNNEELNQKGSLKKATVTLKDEPNNLQIIVSKSPVQFENLEEIFDTSVSKEISDDITSDITSWEGNTHFEESFTDGPEKELDLFTYLKHCAKNIKAKDVAKPNEDVPSHVLITAPPMKEHLQLGVNNTKEKSTSTQKDSPLNDMIQSNDLCSKESISGGGTEISQFTPESIEATLSILSRKHVEDVGKNDFLQSERCANGLGNDNSSNTLNTDYSFLEINNKKERIEQQLPKEQALSPRSQEKEVQIPELSQVFVEDVKDILKSRLKEGHMNPQEVEEPSACADTKILIQNLIKRITTSQLVNEASTVPSDSQMSDSSGVSPMTNSSELKPESRDDPFCIGNLKSELLLNILKQDQHSQKITGVFELMRELTHMEYDLEKRGITSKVLPLQLENIFYKLLADGYSEKIEHVGDFNQKACSTSEMMEEKPHILGDIKSKEGNYYSPNLETVKEIGLESSTVWASTLPRDEKLKDLCNDFPSHLECTSGSKEMASGDSSTEQFSSELQQCLQHTEKMHEYLTLLQDMKPPLDNQESLDNNLEALKNQLRQLETFELGLAPIAVILRKDMKLAEEFLKSLPSDFPRGHVEELSISHQSLKTAFSSLSNVSSERTKQIMLAIDSEMSKLAVSHEEFLHKLKSFSDWVSEKSKSVKDIEIVNVQDSEYVKKRLEFLKNVLKDLGHTKMQLETTAFDVQFFISEYAQDLSPNQSKQLLRLLNTTQKCFLDVQESVTTQVERLETQLHLEQDLDDQKIVAERQQEYKEKLQGICDLLTQTENRLIGHQEAFMIGDGTVELKKYQSKQEELQKDMQGSAQALAEVVKNTENFLKENGEKLSQEDKALIEQKLNEAKIKCEQLNLKAEQSKKELDKVVTTAIKEETEKVAAVKQLEESKTKIENLLDWLSNVDKDSERAGTKHKQVIEQNGTHFQEGDGKSAIGEEDEVNGNLLETDVDGQVGTTQENLNQQYQKVKAQHEKIISQHQAVIIATQSAQVLLEKQGQYLSPEEKEKLQKNMKELKVHYETALAESEKKMKLTHSLQEELEKFDADYTEFEHWLQQSEQELENLEAGADDINGLMTKLKRQKSFSEDVISHKGDLRYITISGNRVLEAAKSCSKRDGGKVDTSATHREVQRKLDHATDRFRSLYSKCNVLGNNLKDLVDKYQHYEDASCGLLAGLQACEATASKHLSEPIAVDPKNLQRQLEETKALQGQISSQQVAVEKLKKTAEVLLDARGSLLPAKNDIQKTLDDIVGRYEDLSKSVNERNEKLQITLTRSLSVQDGLDEMLDWMGNVESSLKEQGQVPLNSTALQDIISKNIMLEQDIAGRQSSINAMNEKVKKFMETTDPSTASSLQAKMKDLSARFSEASHKHKETLAKMEELKTKVELFENLSEKLQTFLETKTQALTEVDVPGKDVTELSQYMQESTSEFLEHKKHLEVLHSLLKEISSHGLPSDKALVLEKTNNLSKKFKEMEDTIKEKKEAVTSCQEQLDAFQVLVKSLKSWIKETTKKVPIVQPSFGAEDLGKSLEDTKKLQEKWSLKTPEIQKVNNSGISLCNLISAVTTPAKAIAAVKSGGAVLNGEGTATNTEEFWANKGLTSIKKDMTDISHGYEDLGLLLKDKIAELNTKLSKLQKAQEESSAMMQWLQKMNKTATKWQQTPAPTDTEAVKTQVEQNKSFEAELKQNVNKVQELKDKLTELLEENPDTPEAPRWKQMLTEIDSKWQELNQLTIDRQQKLEESSNNLTQFQTVEAQLKQWLVEKELMVSVLGPLSIDPNMLNTQRQQVQILLQEFATRKPQYEQLTAAGQGILSRPGEDPSLRGIVKEQLAAVTQKWDSLTGQLSDRCDWIDQAIVKSTQYQSLLRSLSDKLSDLDNKLSSSLAVSTHPDAMNQQLETAQKMKQEIQQEKKQIKVAQALCEDLSALVKEEYLKAELSRQLEGILKSFKDVEQKAENHVQHLQSACASSHQFQQMSRDFQAWLDTKKEEQNKSHPISAKLDVLESLIKDHKDFSKTLTAQSHMYEKTIAEGENLLLKTQGSEKAALQLQLNTIKTNWDTFNKQVKERENKLKESLEKALKYKEQVETLWPWIDKCQNNLEEIKFCLDPAEGENSIAKLKSLQKEMDQHFGMVELLNNTANSLLSVCEIDKEVVTDENKSLIQKVDMVTEQLHSKKFCLENMTQKFKEFQEVSKESKRQLQCAKEQLDIHDSLGSQAYSNKYLTMLQTQQKSLQALKHQVDLAKRLAQDLVVEASDSKGTSDVLLQVETIAQEHSTLSQQVDEKCSFLETKLQGIGHFQNTIREMFSQFAEFDDELDSMAPVGRDAETLQKQKETIKAFLKKLEALMASNDNANKTCKMMLATEETSPDLVGIKRDLEALSKQCNKLLDRAQAREEQVEGTIKRLEEFYSKLKEFSILLQKAEEHEESQGPVGMETETINQQLNMFKVFQKEEIEPLQGKQQDVNWLGQGLIQSAAKSTSTQGLEHDLDDVNARWKTLNKKVAQRAAQLQEALLHCGRFQDALESLLSWMVDTEELVANQKPPSAEFKVVKAQIQEQKLLQRLLDDRKSTVEVIKREGEKIATTAEPADKVKILKQLSLLDSRWEALLNKAETRNRQLEGISVVAQQFHETLEPLNEWLTTIEKRLVNCEPIGTQASKLEEQIAQHKALEDDIINHNKHLHQAVSIGQSLKVLSSREDKDMVQSKLDFSQVWYIEIQEKSHSRSELLQQALCNAKIFGEDEVELMNWLNEVHDKLSKLSVQDYSTEGLWKQQSELRVLQEDILLRKQNVDQALLNGLELLKQTTGDEVLIIQDKLEAIKARYKDITKLSTDVAKTLEQALQLARRLHSTHEELCTWLDKVEVELLSYETQVLKGEEASQAQMRPKELKKEAKNNKALLDSLNEVSSALLELVPWRAREGLEKMVAEDNERYRLVSDTITQKVEEIDAAILRSQQFDQAADAELSWITETEKKLMSLGDIRLEQDQTSAQLQVQKTFTMEILRHKDIIDDLVKSGHKIMTACSEEEKQSMKKKLDKVLKNYDTICQINSERYLQLERAQSLVNQFWETYEELWPWLTETQSIISQLPAPALEYETLRQQQEEHRQLRELIAEHKPHIDKMNKTGPQLLELSPGEGFSIQEKYVAADTLYSQIKEDVKKRAVALDEAISQSTQFHDKIDQILESLERIVERLRQPPSISAEVEKIKEQISENKNVSVDMEKLQPLYETLKQRGEEMIARSGGTDKDISAKAVQDKLDQMVFIWENIHTLVEEREAKLLDVMELAEKFWCDHMSLIVTIKDTQDFIRDLEDPGIDPSVVKQQQEAAETIREEIDGLQEELDIVINLGSELIAACGEPDKPIVKKSIDELNSAWDSLNKAWKDRIDKLEEAMQAAVQYQDGLQAVFDWVDIAGGKLASMSPIGTDLETVKQQIEELKQFKSEAYQQQIEMERLNHQAELLLKKVTEESDKHTVQDPLMELKLIWDSLEERIINRQHKLEGALLALGQFQHALDELLAWLTHTEGLLSEQKPVGGDPKAIEIELAKHHVLQNDVLAHQSTVEAVNKAGNDLIESSAGEEASNLQNKLEVLNQRWQNVLEKTEQRKQQLDGALRQAKGFHGEIEDLQQWLTDTERHLLASKPLGGLPETAKEQLNVHMEVCAAFEAKEETYKSLMQKGQQMLARCPKSAETNIDQDINNLKEKWESVETKLNERKTKLEEALNLAMEFHNSLQDFINWLTQAEQTLNVASRPSLILDTVLFQIDEHKVFANEVNSHREQIIELDKTGTHLKYFSQKQDVVLIKNLLISVQSRWEKVVQRLVERGRSLDDARKRAKQFHEAWSKLMEWLEESEKSLDSELEIANDPDKIKTQLAQHKEFQKSLGAKHSVYDTTNRTGRSLKEKTSLADDNLKLDDMLSELRDKWDTICGKSVERQNKLEEALLFSGQFTDALQALIDWLYRVEPQLAEDQPVHGDIDLVMNLIDNHKAFQKELGKRTSSVQALKRSARELIEGSRDDSSWVKVQMQELSTRWETVCALSISKQTRLEAALRQAEEFHSVVHALLEWLAEAEQTLRFHGVLPDDEDALRTLIDQHKEFMKKLEEKRAELNKATTMGDTVLAICHPDSITTIKHWITIIRARFEEVLAWAKQHQQRLASALAGLIAKQELLEALLAWLQWAETTLTDKDKEVIPQEIEEVKALIAEHQTFMEEMTRKQPDVDKVTKTYKRRAADPSSLQSHIPVLDKGRAGRKRFPASSLYPSGSQTQIETKNPRVNLLVSKWQQVWLLALERRRKLNDALDRLEELREFANFDFDIWRKKYMRWMNHKKSRVMDFFRRIDKDQDGKITRQEFIDGILSSKFPTSRLEMSAVADIFDRDGDGYIDYYEFVAALHPNKDAYKPITDADKIEDEVTRQVAKCKCAKRFQVEQIGDNKYRFFLGNQFGDSQQLRLVRILRSTVMVRVGGGWMALDEFLVKNDPCRVHHHGSKMLRSESNSSITTTQPTIAKGRTNMELREKFILADGASQGMAAFRPRGRRSRPSSRGASPNRSTSVSSQAAQAASPQVPATTTPKGTPIQGSKLRLPGYLSGKGFHSGEDSGLITTAAARVRTQFADSKKTPSRPGSRAGSKAGSRASSRRGSDASDFDISEIQSVCSDVETVPQTHRPTPRAGSRPSTAKPSKIPTPQRKSPASKLDKSSKR
+>DECOY_sp|Q03001|DYST_HUMAN Dystonin OS=Homo sapiens OX=9606 GN=DST PE=1 SV=4
+RKSSKDLKSAPSKRQPTPIKSPKATSPRSGARPTPRHTQPVTEVDSCVSQIESIDFDSADSGRRSSARSGAKSGARSGPRSPTKKSDAFQTRVRAAATTILGSDEGSHFGKGSLYGPLRLKSGQIPTGKPTTTAPVQPSAAQAAQSSVSTSRNPSAGRSSPRSRRGRPRFAAMGQSAGDALIFKERLEMNTRGKAITPQTTTISSNSESRLMKSGHHHVRCPDNKVLFEDLAMWGGGVRVMVTSRLIRVLRLQQSDGFQNGLFFRYKNDGIQEVQFRKACKCKAVQRTVEDEIKDADTIPKYADKNPHLAAVFEYYDIYGDGDRDFIDAVASMELRSTPFKSSLIGDIFEQRTIKGDQDKDIRRFFDMVRSKKHNMWRMYKKRWIDFDFNAFERLEELRDLADNLKRRRELALLWVQQWKSVLLNVRPNKTEIQTQSGSPYLSSAPFRKRGARGKDLVPIHSQLSSPDAARRKYTKTVKDVDPQKRTMEEMFTQHEAILAKVEEIEQPIVEKDKDTLTTEAWQLWALLAELLEQKAILGALASALRQQHQKAWALVEEFRARIITIWHKITTISDPHCIALVTDGMTTAKNLEARKEELKKMFEKHQDILTRLADEDDPLVGHFRLTQEAEALWELLAHVVSHFEEAQRLAAELRTQKSISLACVTEWRTSLEQMQVKVWSSDDRSGEILERASRKLAQVSSTRKGLEKQFAKHNDILNMVLDIDGHVPQDEALQPEVRYLWDILAQLADTFQGSFLLAEELKNQREVSKGCITDWKDRLESLMDDLKLNDDALSTKEKLSRGTRNTTDYVSHKAGLSKQFEKHQALQTKIKDPDNAIELESDLSKESEELWEMLKSWAEHFQKARKRADDLSRGREVLRQVVKEWRSQVSILLNKILVVDQKQSFYKLHTGTKDLEIIQERHSNVENAFVKHEDIQFLVTDLILSPRSAVNLTQEAQTLWNIFDQLSNHFEMALNLAEELKTKRENLKTEVSEWKEKLNNIDQDINTEASKPCRALMQQGKQMLSKYTEEKAEFAACVEMHVNLQEKATEPLGGLPKSALLHRETDTLWQQLDEIEGHFGKAQRLAGDLQQKRQETKELVNQWRQNLVELKNQLNSAEEGASSEILDNGAKNVAEVTSQHALVDNQLVHHKALEIEIAKPDGGVPKQESLLGETHTLWALLEDLAHQFQGLALLAGELKHQRNIIREELSDWILKLEMLPDQVTHKDSEETVKKLLLEAQHNLREMEIQQQYAESKFQKLEEIQQKVTELDTGIPSMSALKGGAIDVWDFVAQLGDQYQVAAQMAEELKDIRDKWAKNLSDWASNLEDISKKVIPKDPEGCAAILESGLNIVIDLEEQLGDIEERITEAAEQQQKVVSPDIGPDELDRIFDQTDKITVILSMHDCWFKEALEMVDLLKAEREEVLTHINEWIFVMQDLKDQVAKASIDKDTGGSRAIMEEGRQKLTEYLPQLKEMDVSVNKNESIQEKIKEVEASISPPQRLREVIRELSELIQDIKDHFQTSQSIAEDLAVARKKVDEKIQSYLTDAAVYKEQISFGEGPSLELLQPGTKNMKDIHPKHEAILERLQRHEEQQQRLTEYELAPAPLQSIISQTETLWPWLEEYTEWFQNVLSQARELQLYRESNIQCITDYNKLVKDLKKKMSQKEEESCATMIKHGSKVLDDIIDKHRLIEMTFTKQVQLQASTQDQELRIDGLSMLKKETETIWSLEADAAQDFQQSRLIAADIEEVKQTITDSVLRYRENDEAVMKELGERARWPVLELLASSVENLSDLLAKNNKAEKKLEKPRMQAQSAEEGKLVQTEYSLLEVEVKDLWTCLEEHTSHLRRALQLAQELTKAVDTSLKTIDKYRAKIAELKDQIILVEDGTTQKLLELGNLLAQDVNQKRLLIDEQLVRLESQQKWLGETSYDQVSLKSLKDHVENLWNMLEVEDEGFIKANCLAQQLLESRSHSKEQIEIYWVQSFDLKSQVMDKDERSSLVKLSQGISVAQHLHKNHNIIDDELAKHQAIQEELKSAQTGIPECNVLRKEITTLWENLPELTEHFQQAVVSIGELQRNRTEAKNLLAEWRSDLLSLQKLIKVKDAPEATTAIKEGERKIVEVTSKRDDLLRQLLKQEQIQAKVVKFEASPPKQNAVLEETDVMWSLLSELADQFRGCHLLAEQLQAARQAVKKNLTKWRANVDDLDHELGQTSTSKAASQILGQGLWNVDQQKGQLPEIEEKQFVKFMNLQQNITETEMGVPGQSEEHEEAKQLLISFEKLKSYFEELRKITGEVQEERAQARDLLKNCQKSLAELDRKIGVLDPSTEETALMMKCTKNANDNSAMLAELKKLFAKITEKQKQLTEADRGVPAMSDLEDDFEAFQSFMERITNQFHGIGQLKTELFSCKEDVQQSLTSHEQAITEVQLLVDSTGKSDSAEVVLDQALRKALDVQHKLAQLSKQQTQLMTLYKNSYAQSGLSDHIDLQEKACQLQRKSEKSVEQFEKFKQTMNELCFKKSHLQETVMDVKQILSKNEDTVVEKDIECVSLLSNATNNLLEVMGFHQDMEKQLSKLKAISNEGEAPDLCFKIEELNNQCKDIWPWLTEVQEKYKLAKELSEKLKNEREKVQKNFTDWNTKITNLQLQLAAKESGQTKLLLNEGEAITKEYMHSQATLTKSFDKHDKILSELVDLKASIPHSKNQEEKKTDLWAQFDRSMQQFQHSSACASQLHQVHNEAKQEVDKFSKLIGELQRSLEAKLYEEKVLASLDECLAQAVKIQKKEQQIEQKMKQATELQQNMADPHTSVALSSSLKNDLDSLKDSLSRLLSQYQTSKVIAQDIWDCRDSLQGTLSDWKQTVAALQEKVIGRLSPDEGPRSLIGQGAATLQEYQPKRTAFEQLLIQVQQRQTNLMNPDISLPGLVSVMLEKEVLWQKLQAEVTQFQTLNNSSEELKQQRDITLQNLEQWKSDIETLMQKWRPAEPTDPNEELLETLKDKLEQVKNVNQKLEAEFSKNQEVQTKVAETDTPAPTQQWKTATKNMKQLWQMMASSEEQAKQLKSLKTNLEAIKDKLLLGLDEYGHSIDTMDKKISTLGKNAWFEETNTATGEGNLVAGGSKVAAIAKAPTTVASILNCLSIGSNNVKQIEPTKLSWKEQLKKTDELSKGLDEAGFSPQVIPVKKTTEKIWSKLSKVLVQFADLQEQCSTVAEKKEKITDEMEKFKKSLNNTKELVLAKDSPLGHSSIEKLLSHLVELHKKHELFESTSEQMYQSLETVDKGPVDVETLAQTKTELFTQLKESLNEFLEVKTKLEEMKALTEKHKHSAESFRASLDKMKAQLSSATSPDTTEMFKKVKENMANISSQRGAIDQELMINKSIIDQLATSNLPVQGQEKLSSEVNGMWDLMEDLGDQVSLSRTLTIQLKENRENVSKSLDEYRGVIDDLTKQIDNKAPLLSGRADLLVEATKKLKEVAVQQSSIQGQLAKTEELQRQLNKPDVAIPESLHKSATAECAQLGALLGCSADEYHQYKDVLDKLNNGLVNCKSYLSRFRDTAHDLKRQVERHTASTDVKGGDRKSCSKAAELVRNGSITIYRLDGKHSIVDESFSKQRKLKTMLGNIDDAGAELNELEQESQQLWHEFETYDADFKELEEQLSHTLKMKKESEALATEYHVKLEKMNKQLKEKEEPSLYQGQKELLVQASQTAIIVAQHQSIIKEHQAKVKQYQQNLNEQTTGVQGDVDTELLNGNVEDEEGIASKGDGEQFHTGNQEIVQKHKTGARESDKDVNSLWDLLNEIKTKSEELQKVAAVKETEEKIATTVVKDLEKKSQEAKLNLQECKIKAENLKQEILAKDEQSLKEGNEKLFNETNKVVEALAQASGQMDKQLEEQKSQYKKLEVTGDGIMFAEQHGILRNETQTLLDCIGQLKEKYEQQREAVIKQDDLDQELHLQTELREVQTTVSEQVDLFCKQTTNLLRLLQKSQNPSLDQAYESIFFQVDFATTELQMKTHGLDKLVNKLFELRKKVYESDQVNVIEIDKVSKSKESVWDSFSKLKHLFEEHSVALKSMESDIALMIQKTRESSVNSLSSFATKLSQHSISLEEVHGRPFDSPLSKLFEEALKMDKRLIVAIPALGLEFTELQRLQNKLAELNNDLSEQNDLPPKMDQLLTLYEHMKETHQLCQQLESSFQETSSDGSAMEKSGSTCELHSPFDNCLDKLKEDRPLTSAWVTSSELGIEKVTELNPSYYNGEKSKIDGLIHPKEEMMESTSCAKQNFDGVHEIKESYGDALLKYFINELQLPLVKSTIGRKELDYEMHTLERMLEFVGTIKQSHQDQKLINLLLESKLNGICFPDDRSEPKLESSNTMPSVGSSDSMQSDSPVTSAENVLQSTTIRKILNQILIKTDACASPEEVEQPNMHGEKLRSKLIDKVDEVFVQSLEPIQVEKEQSRPSLAQEKPLQQEIREKKNNIELFSYDTNLTNSSNDNGLGNACRESQLFDNKGVDEVHKRSLISLTAEISEPTFQSIETGGGSISEKSCLDNSQIMDNLPSDKQTSTSKEKTNNVGLQLHEKMPPATILVHSPVDENPKAVDKAKINKACHKLYTFLDLEKEPGDTFSEEFHTNGEWSTIDSTIDDSIEKSVSTDFIEELNEFQVPSKSVIIQLNNPEDKLTVTAKKLSGKQNLEENNTIQPFPFQSNILKFSDRTNKGPLLKLHRNEEEVLGEVLVEGEGLYMKQISTEDEILIDSKGDRGKILDSGNGQPDKDTVSAILSLHSEEAPKGILDPETCIIHDLSSDVKPTMNKFYEDKGKVSDTLEPLESGQILKVKTNALDTGLESTSSINNNDHSISAPGFTKESETDKIIPPLVDKLVMEHNLLNEKSKGAIETTYEPLNSKETVLNNESPSALQVVNVRQQKELSSCSHMKDLLIMTNINENEDSNENLISACLQIDMDEAVNQCRPKGNEDRIGGGEEDIGDDDDDDQDNSDYIYDGYSEESQMSDLHDTDSHFEQGTVYEEKRGRWSGSDDFKLSATFSEKGGVIDYDTLSDSEDGELINTCERESGTELSQGNVKDSGVPNLHIKEGSDLEDKEVDMLFDQLCHAKNKVSLSGVPAGQPVMSNENEKSVDYFVDAPSATEDNEEQLTDYDEPHLCDRDDGDLLLSDHDDDEFLPTDYFDDDSDTDTGTNNQQDNLLSTEYDSASITEDLLPTACTLSVIANDTDGGPTVACSYEEIESEDEKDEPMQQFGPHTKEDEGSTNSIYKDNHYQVQPNSSIMNLSKQGYQEGPLSINIAAIGLFQDQFKEGIRQGSLMTKDSFSLAPAECKNGNSEPTHTDNFSGQSHMLKDHSLLASLRPSYDFIPSDRNTNDENETENVGCFKSMKSKMIPNEILDSPFNQINKSHSCENARENTEVRSQNEYNTLINESDSRLMSIDALETQTLYSILSPVCVSPSSNVKPDISITSALKGSQSFESNIVTNRMENFEEDIACKRNEPTEEHEPEGCHCKNFSSPTATCEDKERGSANNLFVGSSSLVDLCEKIATNGDFEAHCGELLMGVAEDLDGDYLLLRQGTNADMYSNIMLYSLFLKKTEESTQTTVPEEGDFVDEEQRYDHVTSPQFKCFSLWRRANKCAELDGLDPMKDPLTINKLISATNNDIIGLQKAADLGIVQSSEPIYLAAIKQRGNLIKYALENTILEQQLSSSTPFIEGSHPWILGVYGRQAELVLLASSSTILDCQVAEDVTLRKAPNSQIIGGTQVQYTLISSATDRDIVGERVAEEVTMRQGSNSNILGGSLLQASLLRFMTERDILGEHAARLISINRGCKLTIRGGEQPRVPLLWMGTNEQLTSRQVMDILSVKESTCPDILDKCMNQRELVKSFLASSVLNQQFAKQLTLQEGTAPIVLSGTSLLIELVKIAMSETIMSQALADLVPITTPSAASIIEKAKSLEKLQCLIQEDILGHSKADKLDFCKRLEPSILGSIMLQTELLRIGTDYDILGRLVAQFVSLVEGTTQDITGAIVKDLKEEVKVDGSTQSEQLQKLSESFLLNYSEQLTKVQKELENREEDTMKDGHKALFLQITQLAESLQEKKTSIEESSIKQKSFPPKGAKEADKLTKSINSVWKQLEKAKEVHEKKEEELSKEEEELRKLSDGAFKIYQTMLTVLATYRTRLDMFEQIILDASSQMRRRKVPSKQQSDVMARYTMTQLEYDKVTASYQEAYKQCEDMKSQKMEIESVLMKQQNLQTALTKSNEPQNEQIKRQTTEVQQIWDDLPHYTDRYYKLSKGIGELDRLRNDIQVHVNQWREVLQDAKEKHWDFDLDREKYTKFMEDSIAKAKQLEDELAHFVQRKEDVESRWQKLTSILNEINNKDAIVAEEECLKTEYLKVLAEAAQTNKLVLNVTKLKDIYTSSMSYVQNMNQLVVNLESRLTPVSSSAAAQSFFEECKNTITGLDDKLRELEKKLKEQETIRFVSEHLDDRELPTRIQRILRDECNELRLRINRVESIYLNYVSEEQEEREASKLLEQYYQKCVNVEKELQTIDSGSFVQSEQSDELFDEFRSQLNSLVQQHEGPLMTKISAVNSARIRDIENILYHWSVVSKMNIHSEHWLTLVNQYQQEIRNALDVAEKNPPPVTFCVSPVMAENGTPSIVKWKARHSNNALVCEDDKYITIEIQRYDCIAKIPISTKLPCDSNRPKLQIITKAKGMLNAITSKYQLLEEKEEMSEQVLDELKHISSSRDCSYKRQIADKLNRLYDTAEKADNFFEFYATNEKIHQEVCQCLQLIWSWQTQMAARYAEITLRAPHNELLLQEAIEQVSKINEEKQDLERMLEAHYDKKRAINTNRESWDYAVEEEEKENLWILENTARSVFNHLTDLHREQNRSTNLLKAYQSELRHLKEAYTLKLPATMQIESIKAEKLSSEFEEIARHVNKHNELHSEVSPLDSGWETRDLQVQMEDVWNLLDQVFKMNIEEETLNQDLLSSKLLPKRIQMLKLTQLSNPEVGSSFNPVLGSPFGPTYAPTVSPTLRSTMGSSLGSTMSSSTLSPTLSQTLGSTLSPHLTQAFGSNLSQTIGSIMLKTQETTLIRGKSYVSSCENRLAMIEDRLKAVRQVLQDAQYYKGDILIQVDIVHQRLLNECELIYGAIEAENQFQVGSELRKSDSQLANGALILKDECIVSDRQVRNAIQQLMELREVEPRLAKERELMAIILKGWEKEIDNPHYGQLLKIRGFEIWIELLKYLRKIKSKETEKPPIETEKFQLYQNYLAKLEVPNNPFTRESMTTVHHRIWQILYNVMNQYEIWKVEVDNAGIGEGGEPVKPFADYLSSVYTIVSKEDPSSVDVDEPDLLRIVGIKEAVYFAHELNALNSQVAVTNMDILDPRYKHIIANFLKGDRWCTTFNECRIGAYGETAQQTWLLLREKASMDESEGTVHIDSIQFHLIITWILGLTLKPNGDTIDDNRINVLKVQRRKLYDLAIQVNQLRHFRMRGKERPLTDGSLVELLSILNHGDRLDEYLDNVHKRVKMLHQNIWKTFTKKQVKDREDKYRELVDEYAQLYEQEEVYLYAAPSLYGAM
+>sp|Q86YF9|DZIP1_HUMAN Zinc finger protein DZIP1 OS=Homo sapiens OX=9606 GN=DZIP1 PE=1 SV=1
+MQAEAADWFSSMPFQKHVYYPLASGPEGPDVAVAAAAAGAASMACAPPSAASGPLPFFQFRPRLESVDWRRLSAIDVDKVAGAVDVLTLQENIMNITFCKLEDEKCPHCQSGVDPVLLKLIRLAQFTIEYLLHSQEFLTSQLHTLEERLRLSHCDGEQSKKLLTKQAGEIKTLKEECKRRKKMISTQQLMIEAKANYYQCHFCDKAFMNQAFLQSHIQRRHTEENSHFEYQKNAQIEKLRSEIVVLKEELQLTRSELEAAHHASAVRFSKEYEMQKTKEEDFLKLFDRWKEEEKEKLVDEMEKVKEMFMKEFKELTSKNSALEYQLSEIQKSNMQIKSNIGTLKDAHEFKEDRSPYPQDFHNVMQLLDSQESKWTARVQAIHQEHKKEKGRLLSHIEKLRTSMIDDLNASNVFYKKRIEELGQRLQEQNELIITQRQQIKDFTCNPLNSISEPKGNPLAWQAFESQPAAPAVPMNAPALHTLETKSSLPMVHEQAFSSHILEPIEELSEEEKGRENEQKLNNNKMHLRKALKSNSSLTKGLRTMVEQNLMEKLETLGINADIRGISSDQLHRVLKSVESERHKQEREIPNFHQIREFLEHQVSCKIEEKALLSSDQCSVSQMDTLSTGEVPKMIQLPSKNRQLIRQKAVSTDRTSVPKIKKNVMEDPFPRKSSTITTPPFSSEEEQEDDDLIRAYASPGPLPVPPPQNKGSFGKNTVKSDADGTEGSEIEDTDDSPKPAGVAVKTPTEKVEKMFPHRKNVNKPVGGTNVPEMFIKKEELQELKCADVEDEDWDISSLEEEISLGKKSGKEQKEPPPAKNEPHFAHVLNAWGAFNPKGPKGEGLQENESSTLKSSLVTVTDWSDTSDV
+>DECOY_sp|Q86YF9|DZIP1_HUMAN Zinc finger protein DZIP1 OS=Homo sapiens OX=9606 GN=DZIP1 PE=1 SV=1
+VDSTDSWDTVTVLSSKLTSSENEQLGEGKPGKPNFAGWANLVHAFHPENKAPPPEKQEKGSKKGLSIEEELSSIDWDEDEVDACKLEQLEEKKIFMEPVNTGGVPKNVNKRHPFMKEVKETPTKVAVGAPKPSDDTDEIESGETGDADSKVTNKGFSGKNQPPPVPLPGPSAYARILDDDEQEEESSFPPTTITSSKRPFPDEMVNKKIKPVSTRDTSVAKQRILQRNKSPLQIMKPVEGTSLTDMQSVSCQDSSLLAKEEIKCSVQHELFERIQHFNPIEREQKHRESEVSKLVRHLQDSSIGRIDANIGLTELKEMLNQEVMTRLGKTLSSNSKLAKRLHMKNNNLKQENERGKEEESLEEIPELIHSSFAQEHVMPLSSKTELTHLAPANMPVAPAAPQSEFAQWALPNGKPESISNLPNCTFDKIQQRQTIILENQEQLRQGLEEIRKKYFVNSANLDDIMSTRLKEIHSLLRGKEKKHEQHIAQVRATWKSEQSDLLQMVNHFDQPYPSRDEKFEHADKLTGINSKIQMNSKQIESLQYELASNKSTLEKFEKMFMEKVKEMEDVLKEKEEEKWRDFLKLFDEEKTKQMEYEKSFRVASAHHAAELESRTLQLEEKLVVIESRLKEIQANKQYEFHSNEETHRRQIHSQLFAQNMFAKDCFHCQYYNAKAEIMLQQTSIMKKRRKCEEKLTKIEGAQKTLLKKSQEGDCHSLRLREELTHLQSTLFEQSHLLYEITFQALRILKLLVPDVGSQCHPCKEDELKCFTINMINEQLTLVDVAGAVKDVDIASLRRWDVSELRPRFQFFPLPGSAASPPACAMSAAGAAAAAVAVDPGEPGSALPYYVHKQFPMSSFWDAAEAQM
+>sp|Q16254|E2F4_HUMAN Transcription factor E2F4 OS=Homo sapiens OX=9606 GN=E2F4 PE=1 SV=2
+MAEAGPQAPPPPGTPSRHEKSLGLLTTKFVSLLQEAKDGVLDLKLAADTLAVRQKRRIYDITNVLEGIGLIEKKSKNSIQWKGVGPGCNTREIADKLIELKAEIEELQQREQELDQHKVWVQQSIRNVTEDVQNSCLAYVTHEDICRCFAGDTLLAIRAPSGTSLEVPIPEGLNGQKKYQIHLKSVSGPIEVLLVNKEAWSSPPVAVPVPPPEDLLQSPSAVSTPPPLPKPALAQSQEASRPNSPQLTPTAVPGSAEVQGMAGPAAEITVSGGPGTDSKDSGELSSLPLGPTTLDTRPLQSSALLDSSSSSSSSSSSSSNSNSSSSSGPNPSTSFEPIKADPTGVLELPKELSEIFDPTRECMSSELLEELMSSEVFAPLLRLSPPPGDHDYIYNLDESEGVCDLFDVPVLNL
+>DECOY_sp|Q16254|E2F4_HUMAN Transcription factor E2F4 OS=Homo sapiens OX=9606 GN=E2F4 PE=1 SV=2
+LNLVPVDFLDCVGESEDLNYIYDHDGPPPSLRLLPAFVESSMLEELLESSMCERTPDFIESLEKPLELVGTPDAKIPEFSTSPNPGSSSSSNSNSSSSSSSSSSSSSDLLASSQLPRTDLTTPGLPLSSLEGSDKSDTGPGGSVTIEAAPGAMGQVEASGPVATPTLQPSNPRSAEQSQALAPKPLPPPTSVASPSQLLDEPPPVPVAVPPSSWAEKNVLLVEIPGSVSKLHIQYKKQGNLGEPIPVELSTGSPARIALLTDGAFCRCIDEHTVYALCSNQVDETVNRISQQVWVKHQDLEQERQQLEEIEAKLEILKDAIERTNCGPGVGKWQISNKSKKEILGIGELVNTIDYIRRKQRVALTDAALKLDLVGDKAEQLLSVFKTTLLGLSKEHRSPTGPPPPAQPGAEAM
+>sp|A0AVK6|E2F8_HUMAN Transcription factor E2F8 OS=Homo sapiens OX=9606 GN=E2F8 PE=1 SV=1
+MENEKENLFCEPHKRGLMKTPLKESTTANIVLAEIQPDFGPLTTPTKPKEGSQGEPWTPTANLKMLISAVSPEIRNRDQKRGLFDNRSGLPEAKDCIHEHLSGDEFEKSQPSRKEKSLGLLCHKFLARYPNYPNPAVNNDICLDEVAEELNVERRRIYDIVNVLESLHMVSRLAKNRYTWHGRHNLNKTLGTLKSIGEENKYAEQIMMIKKKEYEQEFDFIKSYSIEDHIIKSNTGPNGHPDMCFVELPGVEFRAASVNSRKDKSLRVMSQKFVMLFLVSTPQIVSLEVAAKILIGEDHVEDLDKSKFKTKIRRLYDIANVLSSLDLIKKVHVTEERGRKPAFKWTGPEISPNTSGSSPVIHFTPSDLEVRRSSKENCAKNLFSTRGKPNFTRHPSLIKLVKSIESDRRKINSAPSSPIKTNKAESSQNSAPFPSKMAQLAAICKMQLEEQSSESRQKVKVQLARSGPCKPVAPLDPPVNAEMELTAPSLIQPLGMVPLIPSPLSSAVPLILPQAPSGPSYAIYLQPTQAHQSVTPPQGLSPTVCTTHSSKATGSKDSTDATTEKAANDTSKASASTRPGSLLPAPERQGAKSRTREPAGERGSKRASMLEDSGSKKKFKEDLKGLENVSATLFPSGYLIPLTQCSSLGAESILSGKENSSALSPNHRIYSSPIAGVIPVTSSELTAVNFPSFHVTPLKLMVSPTSVAAVPVGNSPALASSHPVPIQNPSSAIVNFTLQHLGLISPNVQLSASPGSGIVPVSPRIESVNVAPENAGTQQGRATNYDSPVPGQSQPNGQSVAVTGAQQPVPVTPKGSQLVAESFFRTPGGPTKPTSSSCMDFEGANKTSLGTLFVPQRKLEVSTEDVH
+>DECOY_sp|A0AVK6|E2F8_HUMAN Transcription factor E2F8 OS=Homo sapiens OX=9606 GN=E2F8 PE=1 SV=1
+HVDETSVELKRQPVFLTGLSTKNAGEFDMCSSSTPKTPGGPTRFFSEAVLQSGKPTVPVPQQAGTVAVSQGNPQSQGPVPSDYNTARGQQTGANEPAVNVSEIRPSVPVIGSGPSASLQVNPSILGLHQLTFNVIASSPNQIPVPHSSALAPSNGVPVAAVSTPSVMLKLPTVHFSPFNVATLESSTVPIVGAIPSSYIRHNPSLASSNEKGSLISEAGLSSCQTLPILYGSPFLTASVNELGKLDEKFKKKSGSDELMSARKSGREGAPERTRSKAGQREPAPLLSGPRTSASAKSTDNAAKETTADTSDKSGTAKSSHTTCVTPSLGQPPTVSQHAQTPQLYIAYSPGSPAQPLILPVASSLPSPILPVMGLPQILSPATLEMEANVPPDLPAVPKCPGSRALQVKVKQRSESSQEELQMKCIAALQAMKSPFPASNQSSEAKNTKIPSSPASNIKRRDSEISKVLKILSPHRTFNPKGRTSFLNKACNEKSSRRVELDSPTFHIVPSSGSTNPSIEPGTWKFAPKRGREETVHVKKILDLSSLVNAIDYLRRIKTKFKSKDLDEVHDEGILIKAAVELSVIQPTSVLFLMVFKQSMVRLSKDKRSNVSAARFEVGPLEVFCMDPHGNPGTNSKIIHDEISYSKIFDFEQEYEKKKIMMIQEAYKNEEGISKLTGLTKNLNHRGHWTYRNKALRSVMHLSELVNVIDYIRRREVNLEEAVEDLCIDNNVAPNPYNPYRALFKHCLLGLSKEKRSPQSKEFEDGSLHEHICDKAEPLGSRNDFLGRKQDRNRIEPSVASILMKLNATPTWPEGQSGEKPKTPTTLPGFDPQIEALVINATTSEKLPTKMLGRKHPECFLNEKENEM
+>sp|Q9H329|E41LB_HUMAN Band 4.1-like protein 4B OS=Homo sapiens OX=9606 GN=EPB41L4B PE=2 SV=2
+MLRFLRRTFGRRSMQRYARGAAGRGAAGLGDERDGGPRGGPAAAASSSALPAAPGGSVFPAGGGPLLTGGAAVHISAAGAAKATLYCRVFLLDGTEVSVDLPKHAKGQDLFDQIVYHLDLVETDYFGLQFLDSAQVAHWLDHAKPIKKQMKIGPAYALHFRVKYYSSEPNNLREEFTRYLFVLQLRHDILSGKLKCPYETAVELAALCLQAELGECELPEHTPELVSEFRFIPNQTEAMEFDIFQRWKECRGKSPAQAELSYLNKAKWLEMYGVDMHVVRGRDGCEYSLGLTPTGILIFEGANKIGLFFWPKITKMDFKKSKLTLVVVEDDDQGREQEHTFVFRLDSARTCKHLWKCAVEHHAFFRLRTPGNSKSNRSDFIRLGSRFRFSGRTEYQATHGSRLRRTSTFERKPSKRYPSRRHSTFKASNPVIAAQLCSKTNPEVHNYQPQYHPNIHPSQPRWHPHSPNVSYPLPSPVLSSSDRLPFGIEENGGTPFLTAASGRHHHQHQHQHQHQHHSNYSLSLTLENKEGPLRSPNSSSKSLTKLSPGTPALFSEAAAHLKKLELETVKAAGPWPPLHININKAEEKKVSEKTLQTPLLPSPVADHVKCNILKAQLENASRVNIQGGKEESPFVNINKKSSLQDASVRSPIPIRVETAQPAVEKPEIKPPRVRKLTRQYSFDEDDLPPDLAEAVGVTTSTTTNTTTAATQVSVPLPSPKVQNVSSPHKSEGKGLLSPGAKSPSDRGGAFTLEPGDLLMDFTEATPLAEPASNPHCAHSRCSPPLSLPMKEETTGVCMYPPIKTRLIKTFPVDTMNPFPDTFTTGPQFTADFRDSKLQCCPGPTSPLIPAATLRPLTETVSTVQTIYTTRKPVSLAASAETLRQELEREKMMKRLLMTEL
+>DECOY_sp|Q9H329|E41LB_HUMAN Band 4.1-like protein 4B OS=Homo sapiens OX=9606 GN=EPB41L4B PE=2 SV=2
+LETMLLRKMMKERELEQRLTEASAALSVPKRTTYITQVTSVTETLPRLTAAPILPSTPGPCCQLKSDRFDATFQPGTTFTDPFPNMTDVPFTKILRTKIPPYMCVGTTEEKMPLSLPPSCRSHACHPNSAPEALPTAETFDMLLDGPELTFAGGRDSPSKAGPSLLGKGESKHPSSVNQVKPSPLPVSVQTAATTTNTTTSTTVGVAEALDPPLDDEDFSYQRTLKRVRPPKIEPKEVAPQATEVRIPIPSRVSADQLSSKKNINVFPSEEKGGQINVRSANELQAKLINCKVHDAVPSPLLPTQLTKESVKKEEAKNINIHLPPWPGAAKVTELELKKLHAAAESFLAPTGPSLKTLSKSSSNPSRLPGEKNELTLSLSYNSHHQHQHQHQHQHHHRGSAATLFPTGGNEEIGFPLRDSSSLVPSPLPYSVNPSHPHWRPQSPHINPHYQPQYNHVEPNTKSCLQAAIVPNSAKFTSHRRSPYRKSPKREFTSTRRLRSGHTAQYETRGSFRFRSGLRIFDSRNSKSNGPTRLRFFAHHEVACKWLHKCTRASDLRFVFTHEQERGQDDDEVVVLTLKSKKFDMKTIKPWFFLGIKNAGEFILIGTPTLGLSYECGDRGRVVHMDVGYMELWKAKNLYSLEAQAPSKGRCEKWRQFIDFEMAETQNPIFRFESVLEPTHEPLECEGLEAQLCLAALEVATEYPCKLKGSLIDHRLQLVFLYRTFEERLNNPESSYYKVRFHLAYAPGIKMQKKIPKAHDLWHAVQASDLFQLGFYDTEVLDLHYVIQDFLDQGKAHKPLDVSVETGDLLFVRCYLTAKAAGAASIHVAAGGTLLPGGGAPFVSGGPAAPLASSSAAAAPGGRPGGDREDGLGAAGRGAAGRAYRQMSRRGFTRRLFRLM
+>sp|P43004|EAA2_HUMAN Excitatory amino acid transporter 2 OS=Homo sapiens OX=9606 GN=SLC1A2 PE=1 SV=2
+MASTEGANNMPKQVEVRMHDSHLGSEEPKHRHLGLRLCDKLGKNLLLTLTVFGVILGAVCGGLLRLASPIHPDVVMLIAFPGDILMRMLKMLILPLIISSLITGLSGLDAKASGRLGTRAMVYYMSTTIIAAVLGVILVLAIHPGNPKLKKQLGPGKKNDEVSSLDAFLDLIRNLFPENLVQACFQQIQTVTKKVLVAPPPDEEANATSAVVSLLNETVTEVPEETKMVIKKGLEFKDGMNVLGLIGFFIAFGIAMGKMGDQAKLMVDFFNILNEIVMKLVIMIMWYSPLGIACLICGKIIAIKDLEVVARQLGMYMVTVIIGLIIHGGIFLPLIYFVVTRKNPFSFFAGIFQAWITALGTASSAGTLPVTFRCLEENLGIDKRVTRFVLPVGATINMDGTALYEAVAAIFIAQMNGVVLDGGQIVTVSLTATLASVGAASIPSAGLVTMLLILTAVGLPTEDISLLVAVDWLLDRMRTSVNVVGDSFGAGIVYHLSKSELDTIDSQHRVHEDIEMTKTQSIYDDMKNHRESNSNQCVYAAHNSVIVDECKVTLAANGKSADCSVEEEPWKREK
+>DECOY_sp|P43004|EAA2_HUMAN Excitatory amino acid transporter 2 OS=Homo sapiens OX=9606 GN=SLC1A2 PE=1 SV=2
+KERKWPEEEVSCDASKGNAALTVKCEDVIVSNHAAYVCQNSNSERHNKMDDYISQTKTMEIDEHVRHQSDITDLESKSLHYVIGAGFSDGVVNVSTRMRDLLWDVAVLLSIDETPLGVATLILLMTVLGASPISAAGVSALTATLSVTVIQGGDLVVGNMQAIFIAAVAEYLATGDMNITAGVPLVFRTVRKDIGLNEELCRFTVPLTGASSATGLATIWAQFIGAFFSFPNKRTVVFYILPLFIGGHIILGIIVTVMYMGLQRAVVELDKIAIIKGCILCAIGLPSYWMIMIVLKMVIENLINFFDVMLKAQDGMKGMAIGFAIFFGILGLVNMGDKFELGKKIVMKTEEPVETVTENLLSVVASTANAEEDPPPAVLVKKTVTQIQQFCAQVLNEPFLNRILDLFADLSSVEDNKKGPGLQKKLKPNGPHIALVLIVGLVAAIITTSMYYVMARTGLRGSAKADLGSLGTILSSIILPLILMKLMRMLIDGPFAILMVVDPHIPSALRLLGGCVAGLIVGFVTLTLLLNKGLKDCLRLGLHRHKPEESGLHSDHMRVEVQKPMNNAGETSAM
+>sp|Q16610|ECM1_HUMAN Extracellular matrix protein 1 OS=Homo sapiens OX=9606 GN=ECM1 PE=1 SV=2
+MGTTARAALVLTYLAVASAASEGGFTATGQRQLRPEHFQEVGYAAPPSPPLSRSLPMDHPDSSQHGPPFEGQSQVQPPPSQEATPLQQEKLLPAQLPAEKEVGPPLPQEAVPLQKELPSLQHPNEQKEGTPAPFGDQSHPEPESWNAAQHCQQDRSQGGWGHRLDGFPPGRPSPDNLNQICLPNRQHVVYGPWNLPQSSYSHLTRQGETLNFLEIGYSRCCHCRSHTNRLECAKLVWEEAMSRFCEAEFSVKTRPHWCCTRQGEARFSCFQEEAPQPHYQLRACPSHQPDISSGLELPFPPGVPTLDNIKNICHLRRFRSVPRNLPATDPLQRELLALIQLEREFQRCCRQGNNHTCTWKAWEDTLDKYCDREYAVKTHHHLCCRHPPSPTRDECFARRAPYPNYDRDILTIDIGRVTPNLMGHLCGNQRVLTKHKHIPGLIHNMTARCCDLPFPEQACCAEEEKLTFINDLCGPRRNIWRDPALCCYLSPGDEQVNCFNINYLRNVALVSGDTENAKGQGEQGSTGGTNISSTSEPKEE
+>DECOY_sp|Q16610|ECM1_HUMAN Extracellular matrix protein 1 OS=Homo sapiens OX=9606 GN=ECM1 PE=1 SV=2
+EEKPESTSSINTGGTSGQEGQGKANETDGSVLAVNRLYNINFCNVQEDGPSLYCCLAPDRWINRRPGCLDNIFTLKEEEACCAQEPFPLDCCRATMNHILGPIHKHKTLVRQNGCLHGMLNPTVRGIDITLIDRDYNPYPARRAFCEDRTPSPPHRCCLHHHTKVAYERDCYKDLTDEWAKWTCTHNNGQRCCRQFERELQILALLERQLPDTAPLNRPVSRFRRLHCINKINDLTPVGPPFPLELGSSIDPQHSPCARLQYHPQPAEEQFCSFRAEGQRTCCWHPRTKVSFEAECFRSMAEEWVLKACELRNTHSRCHCCRSYGIELFNLTEGQRTLHSYSSQPLNWPGYVVHQRNPLCIQNLNDPSPRGPPFGDLRHGWGGQSRDQQCHQAANWSEPEPHSQDGFPAPTGEKQENPHQLSPLEKQLPVAEQPLPPGVEKEAPLQAPLLKEQQLPTAEQSPPPQVQSQGEFPPGHQSSDPHDMPLSRSLPPSPPAAYGVEQFHEPRLQRQGTATFGGESAASAVALYTLVLAARATTGM
+>sp|Q5VYK3|ECM29_HUMAN Proteasome adapter and scaffold protein ECM29 OS=Homo sapiens OX=9606 GN=ECPAS PE=1 SV=2
+MAAAAASASQDELNQLERVFLRLGHAETDEQLQNIISKFLPPVLLKLSSTQEGVRKKVMELLVHLNKRIKSRPKIQLPVETLLVQYQDPAAVSFVTNFTIIYVKMGYPRLPVEKQCELAPTLLTAMEGKPQPQQDSLMHLLIPTLFHMKYPVESSKSASPFNLAEKPKTVQLLLDFMLDVLLMPYGYVLNESQSRQNSSSAQGSSSNSGGGSGIPQPPPGMSFYAAKRVIGDNPWTPEQLEQCKLGIVKFIEAEQVPELEAVLHLVIASSDTRHSVATAADLELKSKQSLIDWNNPAIINKMYKVYLGDIPLKTKEGAVLKPELKRDPVSTRVKLKIVPHLLRSRQAAETFPANIQVVYDGLFGTNTNSKLRTLSLQFVHHICITCPEIKIKPLGPMLLNGLTKLINEYKEDPKLLSMAYSAVGKLSSRMPHLFTKDIALVQQLFEALCKEEPETRLAIQEALSMMVGAYSTLEGAQRTLMEALVASYLIKPEVQVRQVAVKFASTVFPSDHIPSRYLLLLAAGDPREEVHGEAQRVLRCLPGRNRKESTSEQMPSFPEMVYYIQEKASHRMKTPVKYMTGTTVLPFNPAAFGEIVLYLRMCLAHSAGVVPTSQSLADMQDHAPAIGRYIRTLMSSGQMAPSSSNKSGETNPVQIYIGLLQQLLAGVGGLPVMYCLLEAVSVYPEKLATKFVDKTEWIKSLMNNSKEEMRELAALFYSVVVSTVSGNELKSMIEQLIKTTKDNHSPEIQHGSLLALGFTVGRYLAKKKMRMSEQQDLERNADTLPDQEELIQSATETIGSFLDSTSPLLAIAACTALGEIGRNGPLPIPSEGSGFTKLHLVESLLSRIPSSKETNKMKERAIQTLGYFPVGDGDFPHQKLLLQGLMDSVEAKQIELQFTIGEAITSAAIGTSSVAARDAWQMTEEEYTPPAGAKVNDVVPWVLDVILNKHIISPNPHVRQAACIWLLSLVRKLSTHKEVKSHLKEIQSAFVSVLSENDELSQDVASKGLGLVYELGNEQDQQELVSTLVETLMTGKRVKHEVSGETVVFQGGALGKTPDGQGLSTYKELCSLASDLSQPDLVYKFMNLANHHAMWNSRKGAAFGFNVIATRAGEQLAPFLPQLVPRLYRYQFDPNLGIRQAMTSIWNALVTDKSMVDKYLKEILQDLVKNLTSNMWRVRESSCLALNDLLRGRPLDDIIDKLPEIWETLFRVQDDIKESVRKAAELALKTLSKVCVKMCDPAKGAAGQRTIAALLPCLLDKGMMSTVTEVRALSINTLVKISKSAGAMLKPHAPKLIPALLESLSVLEPQVLNYLSLRATEQEKAAMDSARLSAAKSSPMMETINMCLQYLDVSVLGELVPRLCELIRSGVGLGTKGGCASVIVSLTTQCPQDLTPYSGKLMSALLSGLTDRNSVIQKSCAFAMGHLVRTSRDSSTEKLLQKLNGWYMEKEEPIYKTSCALTIHAIGRYSPDVLKNHAKEVLPLAFLGMHEIADEEKSEKEECNLWTEVWQENVPGSFGGIRLYLQELITITQKALQSQSWKMKAQGAIAMASIAKQTSSLVPPYLGMILTALLQGLAGRTWAGKEELLKAIACVVTACSAELEKSVPNQPSTNEILQAVLKECSKENVKYKIVAISCAADILKATKEDRFQEFSNIVIPLIKKNSLESSGVRTTKNEEENEKEKELQLEYLLGAFESLGKAWPRNAETQRCYRQELCKLMCERLKLSTWKVQLGVLQSMNAFFQGLMLLEEEHADPEALAEILLETCKSITYSLENKTYSSVRTEALSVIELLLKKLEESKQWECLTSECRVLLIESLATMEPDSRPELQEKAALLKKTLENLE
+>DECOY_sp|Q5VYK3|ECM29_HUMAN Proteasome adapter and scaffold protein ECM29 OS=Homo sapiens OX=9606 GN=ECPAS PE=1 SV=2
+ELNELTKKLLAAKEQLEPRSDPEMTALSEILLVRCESTLCEWQKSEELKKLLLEIVSLAETRVSSYTKNELSYTISKCTELLIEALAEPDAHEEELLMLGQFFANMSQLVGLQVKWTSLKLRECMLKCLEQRYCRQTEANRPWAKGLSEFAGLLYELQLEKEKENEEENKTTRVGSSELSNKKILPIVINSFEQFRDEKTAKLIDAACSIAVIKYKVNEKSCEKLVAQLIENTSPQNPVSKELEASCATVVCAIAKLLEEKGAWTRGALGQLLATLIMGLYPPVLSSTQKAISAMAIAGQAKMKWSQSQLAKQTITILEQLYLRIGGFSGPVNEQWVETWLNCEEKESKEEDAIEHMGLFALPLVEKAHNKLVDPSYRGIAHITLACSTKYIPEEKEMYWGNLKQLLKETSSDRSTRVLHGMAFACSKQIVSNRDTLGSLLASMLKGSYPTLDQPCQTTLSVIVSACGGKTGLGVGSRILECLRPVLEGLVSVDLYQLCMNITEMMPSSKAASLRASDMAAKEQETARLSLYNLVQPELVSLSELLAPILKPAHPKLMAGASKSIKVLTNISLARVETVTSMMGKDLLCPLLAAITRQGAAGKAPDCMKVCVKSLTKLALEAAKRVSEKIDDQVRFLTEWIEPLKDIIDDLPRGRLLDNLALCSSERVRWMNSTLNKVLDQLIEKLYKDVMSKDTVLANWISTMAQRIGLNPDFQYRYLRPVLQPLFPALQEGARTAIVNFGFAAGKRSNWMAHHNALNMFKYVLDPQSLDSALSCLEKYTSLGQGDPTKGLAGGQFVVTEGSVEHKVRKGTMLTEVLTSVLEQQDQENGLEYVLGLGKSAVDQSLEDNESLVSVFASQIEKLHSKVEKHTSLKRVLSLLWICAAQRVHPNPSIIHKNLIVDLVWPVVDNVKAGAPPTYEEETMQWADRAAVSSTGIAASTIAEGITFQLEIQKAEVSDMLGQLLLKQHPFDGDGVPFYGLTQIAREKMKNTEKSSPIRSLLSEVLHLKTFGSGESPIPLPGNRGIEGLATCAAIALLPSTSDLFSGITETASQILEEQDPLTDANRELDQQESMRMKKKALYRGVTFGLALLSGHQIEPSHNDKTTKILQEIMSKLENGSVTSVVVSYFLAALERMEEKSNNMLSKIWETKDVFKTALKEPYVSVAELLCYMVPLGGVGALLQQLLGIYIQVPNTEGSKNSSSPAMQGSSMLTRIYRGIAPAHDQMDALSQSTPVVGASHALCMRLYLVIEGFAAPNFPLVTTGTMYKVPTKMRHSAKEQIYYVMEPFSPMQESTSEKRNRGPLCRLVRQAEGHVEERPDGAALLLLYRSPIHDSPFVTSAFKVAVQRVQVEPKILYSAVLAEMLTRQAGELTSYAGVMMSLAEQIALRTEPEEKCLAEFLQQVLAIDKTFLHPMRSSLKGVASYAMSLLKPDEKYENILKTLGNLLMPGLPKIKIEPCTICIHHVFQLSLTRLKSNTNTGFLGDYVVQINAPFTEAAQRSRLLHPVIKLKVRTSVPDRKLEPKLVAGEKTKLPIDGLYVKYMKNIIAPNNWDILSQKSKLELDAATAVSHRTDSSAIVLHLVAELEPVQEAEIFKVIGLKCQELQEPTWPNDGIVRKAAYFSMGPPPQPIGSGGGSNSSSGQASSSNQRSQSENLVYGYPMLLVDLMFDLLLQVTKPKEALNFPSASKSSEVPYKMHFLTPILLHMLSDQQPQPKGEMATLLTPALECQKEVPLRPYGMKVYIITFNTVFSVAAPDQYQVLLTEVPLQIKPRSKIRKNLHVLLEMVKKRVGEQTSSLKLLVPPLFKSIINQLQEDTEAHGLRLFVRELQNLEDQSASAAAAAM
+>sp|P0C1H6|H2BFM_HUMAN Histone H2B type F-M OS=Homo sapiens OX=9606 GN=H2BFM PE=1 SV=2
+MAAASAMAEASSETTSEEGQSIQEPKEANSTKAQKQKRRGCRGSRRRHANRRGDSFGDSFTPYFPRVLKQVHQGLSLSQEAVSVMDSMIHDILDRIATEAGQLAHYTKRVTITSRDIQMAVRLLLPGKMGKLAEAQGTNAALRTSLCAIWQQRK
+>DECOY_sp|P0C1H6|H2BFM_HUMAN Histone H2B type F-M OS=Homo sapiens OX=9606 GN=H2BFM PE=1 SV=2
+KRQQWIACLSTRLAANTGQAEALKGMKGPLLLRVAMQIDRSTITVRKTYHALQGAETAIRDLIDHIMSDMVSVAEQSLSLGQHVQKLVRPFYPTFSDGFSDGRRNAHRRRSGRCGRRKQKQAKTSNAEKPEQISQGEESTTESSAEAMASAAAM
+>sp|Q7Z2G1|H2BWT_HUMAN Histone H2B type W-T OS=Homo sapiens OX=9606 GN=H2BFWT PE=1 SV=2
+MLRTEVPRLPRSTTAIVWSCHLMATASAMAGPSSETTSEEQLITQEPKEANSTTSQKQSKQRKRGRHGPRRCHSNCRGDSFATYFRRVLKQVHQGLSLSREAVSVMDSLVHDILDRIATEAGRLARSTKRQTITAWETRMAVRLLLPGQMGKLAESEGTKAVLRTSLYAIQQQRK
+>DECOY_sp|Q7Z2G1|H2BWT_HUMAN Histone H2B type W-T OS=Homo sapiens OX=9606 GN=H2BFWT PE=1 SV=2
+KRQQQIAYLSTRLVAKTGESEALKGMQGPLLLRVAMRTEWATITQRKTSRALRGAETAIRDLIDHVLSDMVSVAERSLSLGQHVQKLVRRFYTAFSDGRCNSHCRRPGHRGRKRQKSQKQSTTSNAEKPEQTILQEESTTESSPGAMASATAMLHCSWVIATTSRPLRPVETRLM
+>sp|Q9H8Q6|HEAS1_HUMAN Putative uncharacterized protein encoded by HEXA-AS1 OS=Homo sapiens OX=9606 GN=HEXA-AS1 PE=5 SV=1
+MTGKNVYFQSQLEAFHCLQYELFPSRLTINLLVTTHIPFPQTKPHIARCVFTESSKILLGLWVQDGECSEIMTGAWSCRALRRKSRNLFSEQLKIIPKDLHFRNTMLSSCIRNQLGGPFLLEVENNERLNYRSGEGRQL
+>DECOY_sp|Q9H8Q6|HEAS1_HUMAN Putative uncharacterized protein encoded by HEXA-AS1 OS=Homo sapiens OX=9606 GN=HEXA-AS1 PE=5 SV=1
+LQRGEGSRYNLRENNEVELLFPGGLQNRICSSLMTNRFHLDKPIIKLQESFLNRSKRRLARCSWAGTMIESCEGDQVWLGLLIKSSETFVCRAIHPKTQPFPIHTTVLLNITLRSPFLEYQLCHFAELQSQFYVNKGTM
+>sp|Q6AI08|HEAT6_HUMAN HEAT repeat-containing protein 6 OS=Homo sapiens OX=9606 GN=HEATR6 PE=1 SV=1
+MAAVQVVGSWPSVQPREAPREAIPERGNGFRLLSARLCALRPDDSSSARTEIHLLFDQLISENYSEGSGVAPEDVSALLVQACRLVPLNQNHLVSKVSQLIHHLLNRLQVIVDEQHLDFLLAYTISAIHQCSSWTHREILQALAALVYCNGSKCQKYLPELLGNTGLLMKLSDLAQSDPEVRRAAVHCMANLCLSVPGQPYLEEPYQNVCFQAFLTILQSPKSSDMDDITFCMLLQNALKGIQSLLNGGRMKLTQTDELGALLAVLKKFMFHGLPGLNIEMPTVLYPTPLPQYDGRTPIKPQQSESSASRPTLNKKKKSKVKPKKIQQGEEEEKESSGEIEAAPVTGTGRVNLHEGNTWCPSSLGVQSLPLDGSGAAEKDGVSSSFSSSSWKRVSSSESDFSDAEGGMQSKMRSYQAKVRQGALVCFLSTIKSIEKKVLYGYWSAFIPDTPELGSPQSVSLMTLTLKDPSPKTRACALQVLSAILEGSKQFLSVAEDTSDHRRAFTPFSVMIACSIRELHRCLLLALVAESSSQTVTQIIKCLANLVSNAPYDRLKLSLLTKVWNQIKPYIRHKDVNVRVSSLTLLGAIVSTHAPLPEVQLLLQQPCSSGLGNSNSATPHLSPPDWWKKAPAGPSLEETSVSSPKGSSEPCWLIRLCISIVVLPKEDSCSGSDAGSAAGSTYEPSPMRLEALQVLTLLARGYFSMTQAYLMELGEVICKCMGEADPSIQLHGAKLLEELGTGLIQQYKPDSTAAPDQRAPVFLVVMFWTMMLNGPLPRALQNSEHPTLQASACDALSSILPEAFSNLPNDRQMLCITVLLGLNDSKNRLVKAATSRALGVYVLFPCLRQDVIFVADAANAILMSLEDKSLNVRAKAAWSLGNLTDTLIVNMETPDPSFQEEFSGLLLLKMLRSAIEASKDKDKVKSNAVRALGNLLHFLQPSHIEKPTFAEIIEESIQALISTVLTEAAMKVRWNACYAMGNVFKNPALPLGTAPWTSQAYNALTSVVTSCKNFKVRIRSAAALSVPGKREQYGSVDQYARIWNALVTALQKSEDTIDFLEFKYCVSLRTQICQALIHLLSLASASDLPCMKETLELSGNMVQSYILQFLKSGAEGDDTGAPHSPQERDQMVRMALKHMGSIQAPTGDTARRAIMGFLEEILAVCFDSSGSQGALPGLTNQ
+>DECOY_sp|Q6AI08|HEAT6_HUMAN HEAT repeat-containing protein 6 OS=Homo sapiens OX=9606 GN=HEATR6 PE=1 SV=1
+QNTLGPLAGQSGSSDFCVALIEELFGMIARRATDGTPAQISGMHKLAMRVMQDREQPSHPAGTDDGEAGSKLFQLIYSQVMNGSLELTEKMCPLDSASALSLLHILAQCIQTRLSVCYKFELFDITDESKQLATVLANWIRAYQDVSGYQERKGPVSLAAASRIRVKFNKCSTVVSTLANYAQSTWPATGLPLAPNKFVNGMAYCANWRVKMAAETLVTSILAQISEEIIEAFTPKEIHSPQLFHLLNGLARVANSKVKDKDKSAEIASRLMKLLLLGSFEEQFSPDPTEMNVILTDTLNGLSWAAKARVNLSKDELSMLIANAADAVFIVDQRLCPFLVYVGLARSTAAKVLRNKSDNLGLLVTICLMQRDNPLNSFAEPLISSLADCASAQLTPHESNQLARPLPGNLMMTWFMVVLFVPARQDPAATSDPKYQQILGTGLEELLKAGHLQISPDAEGMCKCIVEGLEMLYAQTMSFYGRALLTLVQLAELRMPSPEYTSGAASGADSGSCSDEKPLVVISICLRILWCPESSGKPSSVSTEELSPGAPAKKWWDPPSLHPTASNSNGLGSSCPQQLLLQVEPLPAHTSVIAGLLTLSSVRVNVDKHRIYPKIQNWVKTLLSLKLRDYPANSVLNALCKIIQTVTQSSSEAVLALLLCRHLERISCAIMVSFPTFARRHDSTDEAVSLFQKSGELIASLVQLACARTKPSPDKLTLTMLSVSQPSGLEPTDPIFASWYGYLVKKEISKITSLFCVLAGQRVKAQYSRMKSQMGGEADSFDSESSSVRKWSSSSFSSSVGDKEAAGSGDLPLSQVGLSSPCWTNGEHLNVRGTGTVPAAEIEGSSEKEEEEGQQIKKPKVKSKKKKNLTPRSASSESQQPKIPTRGDYQPLPTPYLVTPMEINLGPLGHFMFKKLVALLAGLEDTQTLKMRGGNLLSQIGKLANQLLMCFTIDDMDSSKPSQLITLFAQFCVNQYPEELYPQGPVSLCLNAMCHVAARRVEPDSQALDSLKMLLGTNGLLEPLYKQCKSGNCYVLAALAQLIERHTWSSCQHIASITYALLFDLHQEDVIVQLRNLLHHILQSVKSVLHNQNLPVLRCAQVLLASVDEPAVGSGESYNESILQDFLLHIETRASSSDDPRLACLRASLLRFGNGREPIAERPAERPQVSPWSGVVQVAAM
+>sp|Q14CZ8|HECAM_HUMAN Hepatocyte cell adhesion molecule OS=Homo sapiens OX=9606 GN=HEPACAM PE=1 SV=1
+MKRERGALSRASRALRLAPFVYLLLIQTDPLEGVNITSPVRLIHGTVGKSALLSVQYSSTSSDRPVVKWQLKRDKPVTVVQSIGTEVIGTLRPDYRDRIRLFENGSLLLSDLQLADEGTYEVEISITDDTFTGEKTINLTVDVPISRPQVLVASTTVLELSEAFTLNCSHENGTKPSYTWLKDGKPLLNDSRMLLSPDQKVLTITRVLMEDDDLYSCMVENPISQGRSLPVKITVYRRSSLYIILSTGGIFLLVTLVTVCACWKPSKRKQKKLEKQNSLEYMDQNDDRLKPEADTLPRSGEQERKNPMALYILKDKDSPETEENPAPEPRSATEPGPPGYSVSPAVPGRSPGLPIRSARRYPRSPARSPATGRTHSSPPRAPSSPGRSRSASRTLRTAGVHIIREQDEAGPVEISA
+>DECOY_sp|Q14CZ8|HECAM_HUMAN Hepatocyte cell adhesion molecule OS=Homo sapiens OX=9606 GN=HEPACAM PE=1 SV=1
+ASIEVPGAEDQERIIHVGATRLTRSASRSRGPSSPARPPSSHTRGTAPSRAPSRPYRRASRIPLGPSRGPVAPSVSYGPPGPETASRPEPAPNEETEPSDKDKLIYLAMPNKREQEGSRPLTDAEPKLRDDNQDMYELSNQKELKKQKRKSPKWCACVTVLTVLLFIGGTSLIIYLSSRRYVTIKVPLSRGQSIPNEVMCSYLDDDEMLVRTITLVKQDPSLLMRSDNLLPKGDKLWTYSPKTGNEHSCNLTFAESLELVTTSAVLVQPRSIPVDVTLNITKEGTFTDDTISIEVEYTGEDALQLDSLLLSGNEFLRIRDRYDPRLTGIVETGISQVVTVPKDRKLQWKVVPRDSSTSSYQVSLLASKGVTGHILRVPSTINVGELPDTQILLLYVFPALRLARSARSLAGRERKM
+>sp|Q9ULT8|HECD1_HUMAN E3 ubiquitin-protein ligase HECTD1 OS=Homo sapiens OX=9606 GN=HECTD1 PE=1 SV=3
+MADVDPDTLLEWLQMGQGDERDMQLIALEQLCMLLLMSDNVDRCFETCPPRTFLPALCKIFLDESAPDNVLEVTARAITYYLDVSAECTRRIVGVDGAIKALCNRLVVVELNNRTSRDLAEQCVKVLELICTRESGAVFEAGGLNCVLTFIRDSGHLVHKDTLHSAMAVVSRLCGKMEPQDSSLEICVESLSSLLKHEDHQVSDGALRCFASLADRFTRRGVDPAPLAKHGLTEELLSRMAAAGGTVSGPSSACKPGRSTTGAPSTTADSKLSNQVSTIVSLLSTLCRGSPVVTHDLLRSELPDSIESALQGDERCVLDTMRLVDLLLVLLFEGRKALPKSSAGSTGRIPGLRRLDSSGERSHRQLIDCIRSKDTDALIDAIDTGAFEVNFMDDVGQTLLNWASAFGTQEMVEFLCERGADVNRGQRSSSLHYAACFGRPQVAKTLLRHGANPDLRDEDGKTPLDKARERGHSEVVAILQSPGDWMCPVNKGDDKKKKDTNKDEEECNEPKGDPEMAPIYLKRLLPVFAQTFQQTMLPSIRKASLALIRKMIHFCSEALLKEVCDSDVGHNLPTILVEITATVLDQEDDDDGHLLALQIIRDLVDKGGDIFLDQLARLGVISKVSTLAGPSSDDENEEESKPEKEDEPQEDAKELQQGKPYHWRDWSIIRGRDCLYIWSDAAALELSNGSNGWFRFILDGKLATMYSSGSPEGGSDSSESRSEFLEKLQRARGQVKPSTSSQPILSAPGPTKLTVGNWSLTCLKEGEIAIHNSDGQQATILKEDLPGFVFESNRGTKHSFTAETSLGSEFVTGWTGKRGRKLKSKLEKTKQKVRTMARDLYDDHFKAVESMPRGVVVTLRNIATQLESSWELHTNRQCIESENTWRDLMKTALENLIVLLKDENTISPYEMCSSGLVQALLTVLNNSMDLDMKQDCSQLVERINVFKTAFSENEDDESRPAVALIRKLIAVLESIERLPLHLYDTPGSTYNLQILTRRLRFRLERAPGETALIDRTGRMLKMEPLATVESLEQYLLKMVAKQWYDFDRSSFVFVRKLREGQNFIFRHQHDFDENGIIYWIGTNAKTAYEWVNPAAYGLVVVTSSEGRNLPYGRLEDILSRDNSALNCHSNDDKNAWFAIDLGLWVIPSAYTLRHARGYGRSALRNWVFQVSKDGQNWTSLYTHVDDCSLNEPGSTATWPLDPPKDEKQGWRHVRIKQMGKNASGQTHYLSLSGFELYGTVNGVCEDQLGKAAKEAEANLRRQRRLVRSQVLKYMVPGARVIRGLDWKWRDQDGSPQGEGTVTGELHNGWIDVTWDAGGSNSYRMGAEGKFDLKLAPGYDPDTVASPKPVSSTVSGTTQSWSSLVKNNCPDKTSAAAGSSSRKGSSSSVCSVASSSDISLGSTKTERRSEIVMEHSIVSGADVHEPIVVLSSAENVPQTEVGSSSSASTSTLTAETGSENAERKLGPDSSVRTPGESSAISMGIVSVSSPDVSSVSELTNKEAASQRPLSSSASNRLSVSSLLAAGAPMSSSASVPNLSSRETSSLESFVRRVANIARTNATNNMNLSRSSSDNNTNTLGRNVMSTATSPLMGAQSFPNLTTPGTTSTVTMSTSSVTSSSNVATATTVLSVGQSLSNTLTTSLTSTSSESDTGQEAEYSLYDFLDSCRASTLLAELDDDEDLPEPDEEDDENEDDNQEDQEYEEVMILRRPSLQRRAGSRSDVTHHAVTSQLPQVPAGAGSRPIGEQEEEEYETKGGRRRTWDDDYVLKRQFSALVPAFDPRPGRTNVQQTTDLEIPPPGTPHSELLEEVECTPSPRLALTLKVTGLGTTREVELPLTNFRSTIFYYVQKLLQLSCNGNVKSDKLRRIWEPTYTIMYREMKDSDKEKENGKMGCWSIEHVEQYLGTDELPKNDLITYLQKNADAAFLRHWKLTGTNKSIRKNRNCSQLIAAYKDFCEHGTKSGLNQGAISTLQSSDILNLTKEQPQAKAGNGQNSCGVEDVLQLLRILYIVASDPYSRISQEDGDEQLQFTFPPDEFTSKKITTKILQQIEEPLALASGALPDWCEQLTSKCPFLIPFETRQLYFTCTAFGASRAIVWLQNRREATVERTRTTSSVRRDDPGEFRVGRLKHERVKVPRGESLMEWAENVMQIHADRKSVLEVEFLGEEGTGLGPTLEFYALVAAEFQRTDLGAWLCDDNFPDDESRHVDLGGGLKPPGYYVQRSCGLFTAPFPQDSDELERITKLFHFLGIFLAKCIQDNRLVDLPISKPFFKLMCMGDIKSNMSKLIYESRGDRDLHCTESQSEASTEEGHDSLSVGSFEEDSKSEFILDPPKPKPPAWFNGILTWEDFELVNPHRARFLKEIKDLAIKRRQILSNKGLSEDEKNTKLQELVLKNPSGSGPPLSIEDLGLNFQFCPSSRIYGFTAVDLKPSGEDEMITMDNAEEYVDLMFDFCMHTGIQKQMEAFRDGFNKVFPMEKLSSFSHEEVQMILCGNQSPSWAAEDIINYTEPKLGYTRDSPGFLRFVRVLCGMSSDERKAFLQFTTGCSTLPPGGLANLHPRLTVVRKVDATDASYPSVNTCVHYLKLPEYSSEEIMRERLLAATMEKGFHLN
+>DECOY_sp|Q9ULT8|HECD1_HUMAN E3 ubiquitin-protein ligase HECTD1 OS=Homo sapiens OX=9606 GN=HECTD1 PE=1 SV=3
+NLHFGKEMTAALLRERMIEESSYEPLKLYHVCTNVSPYSADTADVKRVVTLRPHLNALGGPPLTSCGTTFQLFAKREDSSMGCLVRVFRLFGPSDRTYGLKPETYNIIDEAAWSPSQNGCLIMQVEEHSFSSLKEMPFVKNFGDRFAEMQKQIGTHMCFDFMLDVYEEANDMTIMEDEGSPKLDVATFGYIRSSPCFQFNLGLDEISLPPGSGSPNKLVLEQLKTNKEDESLGKNSLIQRRKIALDKIEKLFRARHPNVLEFDEWTLIGNFWAPPKPKPPDLIFESKSDEEFSGVSLSDHGEETSAESQSETCHLDRDGRSEYILKSMNSKIDGMCMLKFFPKSIPLDVLRNDQICKALFIGLFHFLKTIRELEDSDQPFPATFLGCSRQVYYGPPKLGGGLDVHRSEDDPFNDDCLWAGLDTRQFEAAVLAYFELTPGLGTGEEGLFEVELVSKRDAHIQMVNEAWEMLSEGRPVKVREHKLRGVRFEGPDDRRVSSTTRTREVTAERRNQLWVIARSAGFATCTFYLQRTEFPILFPCKSTLQECWDPLAGSALALPEEIQQLIKTTIKKSTFEDPPFTFQLQEDGDEQSIRSYPDSAVIYLIRLLQLVDEVGCSNQGNGAKAQPQEKTLNLIDSSQLTSIAGQNLGSKTGHECFDKYAAILQSCNRNKRISKNTGTLKWHRLFAADANKQLYTILDNKPLEDTGLYQEVHEISWCGMKGNEKEKDSDKMERYMITYTPEWIRRLKDSKVNGNCSLQLLKQVYYFITSRFNTLPLEVERTTGLGTVKLTLALRPSPTCEVEELLESHPTGPPPIELDTTQQVNTRGPRPDFAPVLASFQRKLVYDDDWTRRRGGKTEYEEEEQEGIPRSGAGAPVQPLQSTVAHHTVDSRSGARRQLSPRRLIMVEEYEQDEQNDDENEDDEEDPEPLDEDDDLEALLTSARCSDLFDYLSYEAEQGTDSESSTSTLSTTLTNSLSQGVSLVTTATAVNSSSTVSSTSMTVTSTTGPTTLNPFSQAGMLPSTATSMVNRGLTNTNNDSSSRSLNMNNTANTRAINAVRRVFSELSSTERSSLNPVSASSSMPAGAALLSSVSLRNSASSSLPRQSAAEKNTLESVSSVDPSSVSVIGMSIASSEGPTRVSSDPGLKREANESGTEATLTSTSASSSSGVETQPVNEASSLVVIPEHVDAGSVISHEMVIESRRETKTSGLSIDSSSAVSCVSSSSGKRSSSGAAASTKDPCNNKVLSSWSQTTGSVTSSVPKPSAVTDPDYGPALKLDFKGEAGMRYSNSGGADWTVDIWGNHLEGTVTGEGQPSGDQDRWKWDLGRIVRAGPVMYKLVQSRVLRRQRRLNAEAEKAAKGLQDECVGNVTGYLEFGSLSLYHTQGSANKGMQKIRVHRWGQKEDKPPDLPWTATSGPENLSCDDVHTYLSTWNQGDKSVQFVWNRLASRGYGRAHRLTYASPIVWLGLDIAFWANKDDNSHCNLASNDRSLIDELRGYPLNRGESSTVVVLGYAAPNVWEYATKANTGIWYIIGNEDFDHQHRFIFNQGERLKRVFVFSSRDFDYWQKAVMKLLYQELSEVTALPEMKLMRGTRDILATEGPARELRFRLRRTLIQLNYTSGPTDYLHLPLREISELVAILKRILAVAPRSEDDENESFATKFVNIREVLQSCDQKMDLDMSNNLVTLLAQVLGSSCMEYPSITNEDKLLVILNELATKMLDRWTNESEICQRNTHLEWSSELQTAINRLTVVVGRPMSEVAKFHDDYLDRAMTRVKQKTKELKSKLKRGRKGTWGTVFESGLSTEATFSHKTGRNSEFVFGPLDEKLITAQQGDSNHIAIEGEKLCTLSWNGVTLKTPGPASLIPQSSTSPKVQGRARQLKELFESRSESSDSGGEPSGSSYMTALKGDLIFRFWGNSGNSLELAAADSWIYLCDRGRIISWDRWHYPKGQQLEKADEQPEDEKEPKSEEENEDDSSPGALTSVKSIVGLRALQDLFIDGGKDVLDRIIQLALLHGDDDDEQDLVTATIEVLITPLNHGVDSDCVEKLLAESCFHIMKRILALSAKRISPLMTQQFTQAFVPLLRKLYIPAMEPDGKPENCEEEDKNTDKKKKDDGKNVPCMWDGPSQLIAVVESHGRERAKDLPTKGDEDRLDPNAGHRLLTKAVQPRGFCAAYHLSSSRQGRNVDAGRECLFEVMEQTGFASAWNLLTQGVDDMFNVEFAGTDIADILADTDKSRICDILQRHSREGSSDLRRLGPIRGTSGASSKPLAKRGEFLLVLLLDVLRMTDLVCREDGQLASEISDPLESRLLDHTVVPSGRCLTSLLSVITSVQNSLKSDATTSPAGTTSRGPKCASSPGSVTGGAAAMRSLLEETLGHKALPAPDVGRRTFRDALSAFCRLAGDSVQHDEHKLLSSLSEVCIELSSDQPEMKGCLRSVVAMASHLTDKHVLHGSDRIFTLVCNLGGAEFVAGSERTCILELVKVCQEALDRSTRNNLEVVVLRNCLAKIAGDVGVIRRTCEASVDLYYTIARATVELVNDPASEDLFIKCLAPLFTRPPCTEFCRDVNDSMLLLMCLQELAILQMDREDGQGMQLWELLTDPDVDAM
+>sp|Q5T447|HECD3_HUMAN E3 ubiquitin-protein ligase HECTD3 OS=Homo sapiens OX=9606 GN=HECTD3 PE=1 SV=1
+MAGPGPGAVLESPRQLLGRVRFLAEAARSLRAGRPLPAALAFVPREVLYKLYKDPAGPSRVLLPVWEAEGLGLRVGAAGPAPGTGSGPLRAARDSIELRRGACVRTTGEELCNGHGLWVKLTKEQLAEHLGDCGLQEGWLLVCRPAEGGARLVPIDTPNHLQRQQQLFGVDYRPVLRWEQVVDLTYSHRLGSRPQPAEAYAEAVQRLLYVPPTWTYECDEDLIHFLYDHLGKEDENLGSVKQYVESIDVSSYTEEFNVSCLTDSNADTYWESDGSQCQHWVRLTMKKGTIVKKLLLTVDTTDDNFMPKRVVVYGGEGDNLKKLSDVSIDETLIGDVCVLEDMTVHLPIIEIRIVECRDDGIDVRLRGVKIKSSRQRELGLNADLFQPTSLVRYPRLEGTDPEVLYRRAVLLQRFIKILDSVLHHLVPAWDHTLGTFSEIKQVKQFLLLSRQRPGLVAQCLRDSESSKPSFMPRLYINRRLAMEHRACPSRDPACKNAVFTQVYEGLKPSDKYEKPLDYRWPMRYDQWWECKFIAEGIIDQGGGFRDSLADMSEELCPSSADTPVPLPFFVRTANQGNGTGEARDMYVPNPSCRDFAKYEWIGQLMGAALRGKEFLVLALPGFVWKQLSGEEVSWSKDFPAVDSVLVKLLEVMEGMDKETFEFKFGKELTFTTVLSDQQVVELIPGGAGIVVGYGDRSRFIQLVQKARLEESKEQVAAMQAGLLKVVPQAVLDLLTWQELEKKVCGDPEVTVDALRKLTRFEDFEPSDSRVQYFWEALNNFTNEDRSRFLRFVTGRSRLPARIYIYPDKLGYETTDALPESSTCSSTLFLPHYASAKVCEEKLRYAAYNCVAIDTDMSPWEE
+>DECOY_sp|Q5T447|HECD3_HUMAN E3 ubiquitin-protein ligase HECTD3 OS=Homo sapiens OX=9606 GN=HECTD3 PE=1 SV=1
+EEWPSMDTDIAVCNYAAYRLKEECVKASAYHPLFLTSSCTSSEPLADTTEYGLKDPYIYIRAPLRSRGTVFRLFRSRDENTFNNLAEWFYQVRSDSPEFDEFRTLKRLADVTVEPDGCVKKELEQWTLLDLVAQPVVKLLGAQMAAVQEKSEELRAKQVLQIFRSRDGYGVVIGAGGPILEVVQQDSLVTTFTLEKGFKFEFTEKDMGEMVELLKVLVSDVAPFDKSWSVEEGSLQKWVFGPLALVLFEKGRLAAGMLQGIWEYKAFDRCSPNPVYMDRAEGTGNGQNATRVFFPLPVPTDASSPCLEESMDALSDRFGGGQDIIGEAIFKCEWWQDYRMPWRYDLPKEYKDSPKLGEYVQTFVANKCAPDRSPCARHEMALRRNIYLRPMFSPKSSESDRLCQAVLGPRQRSLLLFQKVQKIESFTGLTHDWAPVLHHLVSDLIKIFRQLLVARRYLVEPDTGELRPYRVLSTPQFLDANLGLERQRSSKIKVGRLRVDIGDDRCEVIRIEIIPLHVTMDELVCVDGILTEDISVDSLKKLNDGEGGYVVVRKPMFNDDTTDVTLLLKKVITGKKMTLRVWHQCQSGDSEWYTDANSDTLCSVNFEETYSSVDISEVYQKVSGLNEDEKGLHDYLFHILDEDCEYTWTPPVYLLRQVAEAYAEAPQPRSGLRHSYTLDVVQEWRLVPRYDVGFLQQQRQLHNPTDIPVLRAGGEAPRCVLLWGEQLGCDGLHEALQEKTLKVWLGHGNCLEEGTTRVCAGRRLEISDRAARLPGSGTGPAPGAAGVRLGLGEAEWVPLLVRSPGAPDKYLKYLVERPVFALAAPLPRGARLSRAAEALFRVRGLLQRPSELVAGPGPGAM
+>sp|Q9Y4D8|HECD4_HUMAN Probable E3 ubiquitin-protein ligase HECTD4 OS=Homo sapiens OX=9606 GN=HECTD4 PE=1 SV=5
+MPANHLPIGSTMSTVHLSSDGTYFYWIWSPASLNEKTPKGHSVFMDIFELVVENGVFVANPLQERTILMRKEGESAKSINEMLLSRLSRYRASPSATLAALTGSTISNTLKEDQAANTSCGLPLKMLRKTPIYTCGTYLVMLVPPPGGSGSSATRSLFGGTSGLSSLKRACYDTVNNMLWTCSNDYIDQWCNPGNQAFHYVCQRLGVSHIITEPKEEAITTNEVINQLLHHVGAMCIHQLNLLATNPNLPITSVLGKQHPIEAHHLSSICDIMEKAMVNGDTCIIRCILVVFQVVFKFFFSPQTERNRDIIRRSGLLLWQLLMAPKDQICPEIQKEVCLAISSGLNILYPGETEINNLLKLVLTEGERNSGLSQLRDVILTNLAEQLQNNRFGSDEDDHYRLNDELLHYILKIVVRESCILITKCQTVSKDDFQKLLSTVPAASSCLRYLMAVQNHLLSNTILIKPDENDDSDSSLQGETLKVQELKVSILALATQILTGCDEVLEMLQQVTTALINSDIADREQRLKGLEQVTKATMLGHLLPVLLTSLMHPNLQTLIMADALMPQLVQLVLYTSQTALLLKTQCPVFAEVGCSPCGAPDQKCRLFPDERMLEEKEEPGFLTGLKIPAPWAAGKTVETVHPVRDNYKFKETVHIPGARCLYLRFDSRCSSQYDYDKLVIYAGPNTNSRKVAEYGGNTLGYGSRSVLGTGWPKDLVKCISPPSLNFKVEGDTVTFSFEMRSGREHNTPDKAMWGFACTVRAQESSEDVSGGLPFLVDLALGLSVLACSMLRILYNGPEITKEEEACQELLRSKLLQRCQWQVEANGVISPALTPSPSPLPLTIEEDREFTYPSDVLVPPVGNYFDLPRIRLPPGIMIKLREISGRARPQFRPSIKEVIQPDVMEEMVVSCVIKHLNLVDALQSLINFQYQEEHAEEYDLLCKIMGETFKKLNAMERQLQSVAELEQKWQSEVDDAMQGKLENNMPFFYDYHFNENKMKELELLCSMKEVSFDGNDLENMVLSLREKFLQEVNSLIQKPSHPLAKTKTLVKSLMNRAELLLHVTIAAQSGLTRSISGTPAETPACKSASETKVISHAVRQPVFLRSMSAPSDLEMIGNEDLEFTRANQRRRHVTSHRSSSFTLLQSLAIEDSRDKPTYSVLLGQLFAFIGTNPDQAVSSSSFLLAAQTRWRRGNTRKQALVHMRELLTAAVRVGGVTHLVGPVTMVLQGGPRIEELTCGGMVEQVQEAFGETMTSVVSLCARYPIACANSIGLLCTIPYTRSEEKCLVRSGLVQLMDRLCSLSNQTESSSSEKQTKKQKVATMAWAAFQVLANRCVEWEKEEGGSTEAVHSGLARQVSSLLTNHLARATECCGNQAAGNDALQDVLSLLNDLSRSHIGKAILSQPACVSKLLSLLLDQRPSPKLVLIILQLCRAALPLMSVEDCGNVELPPWSYSVPSLNSEQEDPSDPASKIASLLLAKLADYVVPGCQTVLSPTASEPDTTLTKTSPKNSLKGDKDPGEESEAVDGKLSIFIHKREDQSSHEVLQPLLSSSEGRPFRLGTGANMEKVVKMDRDMTKGGCCEVITEEAAAALRKATKWAQSGLIVSIGPPVESINPETVSGLSTGDKKKTAQTSICRERNSELARTDPVRPFISGHVANSMAAEVIALLHSLLMAPESNAAQIWTTTAEKVLSRALMYIPQLGKYAESILENGSSSGRKLAKLQRIARQAVAALCALGGFKETIKIGSEVQVLGRGISGSIGVVASINEQEGIATVRFPPIDCRKTSQASDTLTIPLSRLCVPRSEALPLHKLSITEKVVQAVQSMLLPQEGSLSIHTSLPATGDGSAPVMAVVRLLAEIRTRACLVMAQLLEDSLFCEEFIQQCPAAVEVLNLVAQECSAGERLAVVEVQCERLRMLYRDCARPPPPPLQADRRQPKEITWSPSRVFPPVRACMFSSHLTSVTFLADPSAGGGLPRGTFIYATSPLPVQAPSFYWEIEIVSYGDTDDDTGPIVSFGFTTEAEKRDGAWTNPVGTCLFHNNGRAVHYNGSSLLQWKSVRLDVTLSPGDVAGIGWERTEGTPPPPGQPAKGRVYFTYCGQRLSPYLEDVSGGMWPVVHIQKKNTKTRANFGSRPFAYAEGQAHRNAADLCTDLAEEISANFEALPFAMASDSDNDAGTSIASDPGTHGPPCRIAAVATAQQQYDSDTSCHYKVELSYENFITSGPDPHPPPIADDESDDDDDDDIPQEDHYALLVKAWETKVFPTIRRRFRNEAERKSGLDQIKGALQLGMVDIARQTVEFLYEENGGIPRDLYLPTIEDIKDEANKFTIDKVRKGLTVVTRSPDSNNVASSAVGTALPKFAIRGMLKTFGLHGVVLDVDSVNELVQVETYLRSEGVLVRYWYPIDMLERPPAGYRRTATNGLVTLDNTNLQIHRELLRCEAALARLYCRMALLNIFAPKLPHLFTRLFHIPAIRDITLEHLQLLSNQLLAPPLPDGTISSSSILLAQSLQHCIHSQNCSATDLFYQGNSQTVREWLNVAITRTLHQGEESLLELTKQICSFLQTAPEQFPSEEFPISESKVNMDVNFPGAAFVVVSCKESQSGFRKDSSLYKAPWARVLVYGLGHKVKRNGQLNLIEAACYPRDASPANTGLAPPPTADQYPSVVLSTDRVHIKLGVSPPPGAVLVLHSLPLEFPLAMAFAEQLLSWKSEDSEGKSEDEPDTIPTSVLLQVVELLGNFLWTTDMAACVKELVFHLLAELLRTVHTLEQRRHPAGLSSSIALQLNPCLAMLMALQSELHKLYDEETQNWVSGGACGGSGGAAAGDQGRFSTYFHALMEGCLAVAEVTLPTNMSVTASGVTSATAPNLSDSSSSSSSSPGQTPQSPSLLSKRKKVKMKREKASSSGKRQSSRTVDSDPTVLSIGGSKPEDMLWFHRALTLLIILRHLTRKDPQGLGVTSDAIADACQALVGPTAHSRLLVISGIPTHLDEGVVRGAIRKACNAHGGVFKDEIYIPLQEEDTKKPKDKAEGGDGKVEPEKTLAFPGTDSMEVSTSSSLTPAMSISASASTSQASICSSQGISQTVSDLSVDPLPAGLELPIPPGLLEPHAVSSQESLDISLCSTGSLGSLGSLGEPLDNAETASVSDMGSMYTVTSLDNQPLAARPIKGFAVVEIRSRAKIEKIRASLFNNNDLIGLSSLDGEDELMEMSTEEILTVSVVNQSLFDTQGSPGLEDYFNDKSIKGEKLVPGAREVLTEIFKSCAHSEQTLSLTPAKPIRVSDIYLSKEQINSQTPGNLLHLFFTNVRPPKKVLEDQLTQILRKYGVPKPKFDKSKYSKAGKEQHPVKVVSTKRPITKPPAKDKAVLNSVSRTALSEKKPTVKPKSPEKSKPDEKDPEKSPTKKQEVPEEKYLTLEGFHKFVIDRARQDIRSVWRAILSCGYDLHFERCACIDVRHAQKASRKWTLEMDVALVQYINQLCRHLAITPARLHPHEVYLDPADAADPRVACLLNVPIESLRLRFALLQSLNTTLETFFLPLVELRQTPMYTHSIAALLKEAKGLIFYDTKVTVMNRVLNATVQRTADHAAPEITLDPLEIVGGEIRASENSYFCQAARQLASVPSSQLCVKLASGGDPTYAFNIRFTGEEVHGTSGSFRHFLWQVCKELQSSSLSLLLLCPSSAVNKNKGKYILTPSPITYGEEQLLHFLGQLLGIAIRADVPLPLDLLPSFWKTLVGEPLDPEQDLQEADILTYNYVKKFESINDETELEALCAEIASQHLATESPDSPNKPCCRFTYLTMTGEEVELCSRGRHILVAWENKDIYAAAIRSLRLRELQNVECVTAVRAGLGSIIPLQLLTMLSPLEMELRTCGLPYINLEFLKAHTMYQVGLMETDQHIEFFWGALEMFTQEELCKFIKFACNQERIPFTCPCKDGGPDTAHVPPYPMKIAPPDGTAGSPDSRYIRVETCMFMIKLPQYSSLEIMLEKLRCAIHYREDPLSG
+>DECOY_sp|Q9Y4D8|HECD4_HUMAN Probable E3 ubiquitin-protein ligase HECTD4 OS=Homo sapiens OX=9606 GN=HECTD4 PE=1 SV=5
+GSLPDERYHIACRLKELMIELSSYQPLKIMFMCTEVRIYRSDPSGATGDPPAIKMPYPPVHATDPGGDKCPCTFPIREQNCAFKIFKCLEEQTFMELAGWFFEIHQDTEMLGVQYMTHAKLFELNIYPLGCTRLEMELPSLMTLLQLPIISGLGARVATVCEVNQLERLRLSRIAAAYIDKNEWAVLIHRGRSCLEVEEGTMTLYTFRCCPKNPSDPSETALHQSAIEACLAELETEDNISEFKKVYNYTLIDAEQLDQEPDLPEGVLTKWFSPLLDLPLPVDARIAIGLLQGLFHLLQEEGYTIPSPTLIYKGKNKNVASSPCLLLLSLSSSQLEKCVQWLFHRFSGSTGHVEEGTFRINFAYTPDGGSALKVCLQSSPVSALQRAAQCFYSNESARIEGGVIELPDLTIEPAAHDATRQVTANLVRNMVTVKTDYFILGKAEKLLAAISHTYMPTQRLEVLPLFFTELTTNLSQLLAFRLRLSEIPVNLLCAVRPDAADAPDLYVEHPHLRAPTIALHRCLQNIYQVLAVDMELTWKRSAKQAHRVDICACREFHLDYGCSLIARWVSRIDQRARDIVFKHFGELTLYKEEPVEQKKTPSKEPDKEDPKSKEPSKPKVTPKKESLATRSVSNLVAKDKAPPKTIPRKTSVVKVPHQEKGAKSYKSKDFKPKPVGYKRLIQTLQDELVKKPPRVNTFFLHLLNGPTQSNIQEKSLYIDSVRIPKAPTLSLTQESHACSKFIETLVERAGPVLKEGKISKDNFYDELGPSGQTDFLSQNVVSVTLIEETSMEMLEDEGDLSSLGILDNNNFLSARIKEIKARSRIEVVAFGKIPRAALPQNDLSTVTYMSGMDSVSATEANDLPEGLSGLSGLSGTSCLSIDLSEQSSVAHPELLGPPIPLELGAPLPDVSLDSVTQSIGQSSCISAQSTSASASISMAPTLSSSTSVEMSDTGPFALTKEPEVKGDGGEAKDKPKKTDEEQLPIYIEDKFVGGHANCAKRIAGRVVGEDLHTPIGSIVLLRSHATPGVLAQCADAIADSTVGLGQPDKRTLHRLIILLTLARHFWLMDEPKSGGISLVTPDSDVTRSSQRKGSSSAKERKMKVKKRKSLLSPSQPTQGPSSSSSSSSDSLNPATASTVGSATVSMNTPLTVEAVALCGEMLAHFYTSFRGQDGAAAGGSGGCAGGSVWNQTEEDYLKHLESQLAMLMALCPNLQLAISSSLGAPHRRQELTHVTRLLEALLHFVLEKVCAAMDTTWLFNGLLEVVQLLVSTPITDPEDESKGESDESKWSLLQEAFAMALPFELPLSHLVLVAGPPPSVGLKIHVRDTSLVVSPYQDATPPPALGTNAPSADRPYCAAEILNLQGNRKVKHGLGYVLVRAWPAKYLSSDKRFGSQSEKCSVVVFAAGPFNVDMNVKSESIPFEESPFQEPATQLFSCIQKTLELLSEEGQHLTRTIAVNLWERVTQSNGQYFLDTASCNQSHICHQLSQALLISSSSITGDPLPPALLQNSLLQLHELTIDRIAPIHFLRTFLHPLKPAFINLLAMRCYLRALAAECRLLERHIQLNTNDLTVLGNTATRRYGAPPRELMDIPYWYRVLVGESRLYTEVQVLENVSDVDLVVGHLGFTKLMGRIAFKPLATGVASSAVNNSDPSRTVVTLGKRVKDITFKNAEDKIDEITPLYLDRPIGGNEEYLFEVTQRAIDVMGLQLAGKIQDLGSKREAENRFRRRITPFVKTEWAKVLLAYHDEQPIDDDDDDDSEDDAIPPPHPDPGSTIFNEYSLEVKYHCSTDSDYQQQATAVAAIRCPPGHTGPDSAISTGADNDSDSAMAFPLAEFNASIEEALDTCLDAANRHAQGEAYAFPRSGFNARTKTNKKQIHVVPWMGGSVDELYPSLRQGCYTFYVRGKAPQGPPPPTGETREWGIGAVDGPSLTVDLRVSKWQLLSSGNYHVARGNNHFLCTGVPNTWAGDRKEAETTFGFSVIPGTDDDTDGYSVIEIEWYFSPAQVPLPSTAYIFTGRPLGGGASPDALFTVSTLHSSFMCARVPPFVRSPSWTIEKPQRRDAQLPPPPPRACDRYLMRLRECQVEVVALREGASCEQAVLNLVEVAAPCQQIFEECFLSDELLQAMVLCARTRIEALLRVVAMVPASGDGTAPLSTHISLSGEQPLLMSQVAQVVKETISLKHLPLAESRPVCLRSLPITLTDSAQSTKRCDIPPFRVTAIGEQENISAVVGISGSIGRGLVQVESGIKITEKFGGLACLAAVAQRAIRQLKALKRGSSSGNELISEAYKGLQPIYMLARSLVKEATTTWIQAANSEPAMLLSHLLAIVEAAMSNAVHGSIFPRVPDTRALESNRERCISTQATKKKDGTSLGSVTEPNISEVPPGISVILGSQAWKTAKRLAAAAEETIVECCGGKTMDRDMKVVKEMNAGTGLRFPRGESSSLLPQLVEHSSQDERKHIFISLKGDVAESEEGPDKDGKLSNKPSTKTLTTDPESATPSLVTQCGPVVYDALKALLLSAIKSAPDSPDEQESNLSPVSYSWPPLEVNGCDEVSMLPLAARCLQLIILVLKPSPRQDLLLSLLKSVCAPQSLIAKGIHSRSLDNLLSLVDQLADNGAAQNGCCETARALHNTLLSSVQRALGSHVAETSGGEEKEWEVCRNALVQFAAWAMTAVKQKKTQKESSSSETQNSLSCLRDMLQVLGSRVLCKEESRTYPITCLLGISNACAIPYRACLSVVSTMTEGFAEQVQEVMGGCTLEEIRPGGQLVMTVPGVLHTVGGVRVAATLLERMHVLAQKRTNGRRWRTQAALLFSSSSVAQDPNTGIFAFLQGLLVSYTPKDRSDEIALSQLLTFSSSRHSTVHRRRQNARTFELDENGIMELDSPASMSRLFVPQRVAHSIVKTESASKCAPTEAPTGSISRTLGSQAAITVHLLLEARNMLSKVLTKTKALPHSPKQILSNVEQLFKERLSLVMNELDNGDFSVEKMSCLLELEKMKNENFHYDYFFPMNNELKGQMADDVESQWKQELEAVSQLQREMANLKKFTEGMIKCLLDYEEAHEEQYQFNILSQLADVLNLHKIVCSVVMEEMVDPQIVEKISPRFQPRARGSIERLKIMIGPPLRIRPLDFYNGVPPVLVDSPYTFERDEEITLPLPSPSPTLAPSIVGNAEVQWQCRQLLKSRLLEQCAEEEKTIEPGNYLIRLMSCALVSLGLALDVLFPLGGSVDESSEQARVTCAFGWMAKDPTNHERGSRMEFSFTVTDGEVKFNLSPPSICKVLDKPWGTGLVSRSGYGLTNGGYEAVKRSNTNPGAYIVLKDYDYQSSCRSDFRLYLCRAGPIHVTEKFKYNDRVPHVTEVTKGAAWPAPIKLGTLFGPEEKEELMREDPFLRCKQDPAGCPSCGVEAFVPCQTKLLLATQSTYLVLQVLQPMLADAMILTQLNPHMLSTLLVPLLHGLMTAKTVQELGKLRQERDAIDSNILATTVQQLMELVEDCGTLIQTALALISVKLEQVKLTEGQLSSDSDDNEDPKILITNSLLHNQVAMLYRLCSSAAPVTSLLKQFDDKSVTQCKTILICSERVVIKLIYHLLEDNLRYHDDEDSGFRNNQLQEALNTLIVDRLQSLGSNREGETLVLKLLNNIETEGPYLINLGSSIALCVEKQIEPCIQDKPAMLLQWLLLGSRRIIDRNRETQPSFFFKFVVQFVVLICRIICTDGNVMAKEMIDCISSLHHAEIPHQKGLVSTIPLNPNTALLNLQHICMAGVHHLLQNIVENTTIAEEKPETIIHSVGLRQCVYHFAQNGPNCWQDIYDNSCTWLMNNVTDYCARKLSSLGSTGGFLSRTASSGSGGPPPVLMVLYTGCTYIPTKRLMKLPLGCSTNAAQDEKLTNSITSGTLAALTASPSARYRSLRSLLMENISKASEGEKRMLITREQLPNAVFVGNEVVLEFIDMFVSHGKPTKENLSAPSWIWYFYTGDSSLHVTSMTSGIPLHNAPM
+>sp|Q9NRZ9|HELLS_HUMAN Lymphoid-specific helicase OS=Homo sapiens OX=9606 GN=HELLS PE=1 SV=1
+MPAERPAGSGGSEAPAMVEQLDTAVITPAMLEEEEQLEAAGLERERKMLEKARMSWDRESTEIRYRRLQHLLEKSNIYSKFLLTKMEQQQLEEQKKKEKLERKKESLKVKKGKNSIDASEEKPVMRKKRGREDESYNISEVMSKEEILSVAKKNKKENEDENSSSTNLCVEDLQKNKDSNSIIKDRLSETVRQNTKFFFDPVRKCNGQPVPFQQPKHFTGGVMRWYQVEGMEWLRMLWENGINGILADEMGLGKTVQCIATIALMIQRGVPGPFLVCGPLSTLPNWMAEFKRFTPDIPTMLYHGTQEERQKLVRNIYKRKGTLQIHPVVITSFEIAMRDRNALQHCYWKYLIVDEGHRIKNMKCRLIRELKRFNADNKLLLTGTPLQNNLSELWSLLNFLLPDVFDDLKSFESWFDITSLSETAEDIIAKEREQNVLHMLHQILTPFLLRRLKSDVALEVPPKREVVVYAPLSKKQEIFYTAIVNRTIANMFGSSEKETIELSPTGRPKRRTRKSINYSKIDDFPNELEKLISQIQPEVDRERAVVEVNIPVESEVNLKLQNIMMLLRKCCNHPYLIEYPIDPVTQEFKIDEELVTNSGKFLILDRMLPELKKRGHKVLLFSQMTSMLDILMDYCHLRDFNFSRLDGSMSYSEREKNMHSFNTDPEVFIFLVSTRAGGLGINLTAADTVIIYDSDWNPQSDLQAQDRCHRIGQTKPVVVYRLVTANTIDQKIVERAAAKRKLEKLIIHKNHFKGGQSGLNLSKNFLDPKELMELLKSRDYEREIKGSREKVISDKDLELLLDRSDLIDQMNASGPIKEKMGIFKILENSEDSSPECLF
+>DECOY_sp|Q9NRZ9|HELLS_HUMAN Lymphoid-specific helicase OS=Homo sapiens OX=9606 GN=HELLS PE=1 SV=1
+FLCEPSSDESNELIKFIGMKEKIPGSANMQDILDSRDLLLELDKDSIVKERSGKIEREYDRSKLLEMLEKPDLFNKSLNLGSQGGKFHNKHIILKELKRKAAAREVIKQDITNATVLRYVVVPKTQGIRHCRDQAQLDSQPNWDSDYIIVTDAATLNIGLGGARTSVLFIFVEPDTNFSHMNKERESYSMSGDLRSFNFDRLHCYDMLIDLMSTMQSFLLVKHGRKKLEPLMRDLILFKGSNTVLEEDIKFEQTVPDIPYEILYPHNCCKRLLMMINQLKLNVESEVPINVEVVARERDVEPQIQSILKELENPFDDIKSYNISKRTRRKPRGTPSLEITEKESSGFMNAITRNVIATYFIEQKKSLPAYVVVERKPPVELAVDSKLRRLLFPTLIQHLMHLVNQEREKAIIDEATESLSTIDFWSEFSKLDDFVDPLLFNLLSWLESLNNQLPTGTLLLKNDANFRKLERILRCKMNKIRHGEDVILYKWYCHQLANRDRMAIEFSTIVVPHIQLTGKRKYINRVLKQREEQTGHYLMTPIDPTFRKFEAMWNPLTSLPGCVLFPGPVGRQIMLAITAICQVTKGLGMEDALIGNIGNEWLMRLWEMGEVQYWRMVGGTFHKPQQFPVPQGNCKRVPDFFFKTNQRVTESLRDKIISNSDKNKQLDEVCLNTSSSNEDENEKKNKKAVSLIEEKSMVESINYSEDERGRKKRMVPKEESADISNKGKKVKLSEKKRELKEKKKQEELQQQEMKTLLFKSYINSKELLHQLRRYRIETSERDWSMRAKELMKRERELGAAELQEEEELMAPTIVATDLQEVMAPAESGGSGAPREAPM
+>sp|A6NFD8|HELT_HUMAN Hairy and enhancer of split-related protein HELT OS=Homo sapiens OX=9606 GN=HELT PE=2 SV=2
+MSDKLKERKRTPVSHKVIEKRRRDRINRCLNELGKTVPMALAKQSSGKLEKAEILEMTVQYLRALHSADFPRGREKAELLAEFANYFHYGYHECMKNLVHYLTTVERMETKDTKYARILAFLQSKARLGAEPAFPPLGSLPEPDFSYQLHPAGPEFAGHSPGEAAVFPQGSGAGPFPWPPGAARSPALPYLPSAPVPLASPAQQHSPFLTPVQGLDRHYLNLIGHAHPNALNLHTPQHPPVL
+>DECOY_sp|A6NFD8|HELT_HUMAN Hairy and enhancer of split-related protein HELT OS=Homo sapiens OX=9606 GN=HELT PE=2 SV=2
+LVPPHQPTHLNLANPHAHGILNLYHRDLGQVPTLFPSHQQAPSALPVPASPLYPLAPSRAAGPPWPFPGAGSGQPFVAAEGPSHGAFEPGAPHLQYSFDPEPLSGLPPFAPEAGLRAKSQLFALIRAYKTDKTEMREVTTLYHVLNKMCEHYGYHFYNAFEALLEAKERGRPFDASHLARLYQVTMELIEAKELKGSSQKALAMPVTKGLENLCRNIRDRRRKEIVKHSVPTRKREKLKDSM
+>sp|P13196|HEM1_HUMAN 5-aminolevulinate synthase, nonspecific, mitochondrial OS=Homo sapiens OX=9606 GN=ALAS1 PE=1 SV=2
+MESVVRRCPFLSRVPQAFLQKAGKSLLFYAQNCPKMMEVGAKPAPRALSTAAVHYQQIKETPPASEKDKTAKAKVQQTPDGSQQSPDGTQLPSGHPLPATSQGTASKCPFLAAQMNQRGSSVFCKASLELQEDVQEMNAVRKEVAETSAGPSVVSVKTDGGDPSGLLKNFQDIMQKQRPERVSHLLQDNLPKSVSTFQYDRFFEKKIDEKKNDHTYRVFKTVNRRAHIFPMADDYSDSLITKKQVSVWCSNDYLGMSRHPRVCGAVMDTLKQHGAGAGGTRNISGTSKFHVDLERELADLHGKDAALLFSSCFVANDSTLFTLAKMMPGCEIYSDSGNHASMIQGIRNSRVPKYIFRHNDVSHLRELLQRSDPSVPKIVAFETVHSMDGAVCPLEELCDVAHEFGAITFVDEVHAVGLYGARGGGIGDRDGVMPKMDIISGTLGKAFGCVGGYIASTSSLIDTVRSYAAGFIFTTSLPPMLLAGALESVRILKSAEGRVLRRQHQRNVKLMRQMLMDAGLPVVHCPSHIIPVRVADAAKNTEVCDELMSRHNIYVQAINYPTVPRGEELLRIAPTPHHTPQMMNYFLENLLVTWKQVGLELKPHSSAECNFCRRPLHFEVMSEREKSYFSGLSKLVSAQA
+>DECOY_sp|P13196|HEM1_HUMAN 5-aminolevulinate synthase, nonspecific, mitochondrial OS=Homo sapiens OX=9606 GN=ALAS1 PE=1 SV=2
+AQASVLKSLGSFYSKERESMVEFHLPRRCFNCEASSHPKLELGVQKWTVLLNELFYNMMQPTHHPTPAIRLLEEGRPVTPYNIAQVYINHRSMLEDCVETNKAADAVRVPIIHSPCHVVPLGADMLMQRMLKVNRQHQRRLVRGEASKLIRVSELAGALLMPPLSTTFIFGAAYSRVTDILSSTSAIYGGVCGFAKGLTGSIIDMKPMVGDRDGIGGGRAGYLGVAHVEDVFTIAGFEHAVDCLEELPCVAGDMSHVTEFAVIKPVSPDSRQLLERLHSVDNHRFIYKPVRSNRIGQIMSAHNGSDSYIECGPMMKALTFLTSDNAVFCSSFLLAADKGHLDALERELDVHFKSTGSINRTGGAGAGHQKLTDMVAGCVRPHRSMGLYDNSCWVSVQKKTILSDSYDDAMPFIHARRNVTKFVRYTHDNKKEDIKKEFFRDYQFTSVSKPLNDQLLHSVREPRQKQMIDQFNKLLGSPDGGDTKVSVVSPGASTEAVEKRVANMEQVDEQLELSAKCFVSSGRQNMQAALFPCKSATGQSTAPLPHGSPLQTGDPSQQSGDPTQQVKAKATKDKESAPPTEKIQQYHVAATSLARPAPKAGVEMMKPCNQAYFLLSKGAKQLFAQPVRSLFPCRRVVSEM
+>sp|P36551|HEM6_HUMAN Oxygen-dependent coproporphyrinogen-III oxidase, mitochondrial OS=Homo sapiens OX=9606 GN=CPOX PE=1 SV=3
+MALQLGRLSSGPCWLVARGGCGGPRAWSQCGGGGLRAWSQRSAAGRVCRPPGPAGTEQSRGLGHGSTSRGGPWVGTGLAAALAGLVGLATAAFGHVQRAEMLPKTSGTRATSLGRPEEEEDELAHRCSSFMAPPVTDLGELRRRPGDMKTKMELLILETQAQVCQALAQVDGGANFSVDRWERKEGGGGISCVLQDGCVFEKAGVSISVVHGNLSEEAAKQMRSRGKVLKTKDGKLPFCAMGVSSVIHPKNPHAPTIHFNYRYFEVEEADGNKQWWFGGGCDLTPTYLNQEDAVHFHRTLKEACDQHGPDLYPKFKKWCDDYFFIAHRGERRGIGGIFFDDLDSPSKEEVFRFVQSCARAVVPSYIPLVKKHCDDSFTPQEKLWQQLRRGRYVEFNLLYDRGTKFGLFTPGSRIESILMSLPLTARWEYMHSPSENSKEAEILEVLRHPRDWVR
+>DECOY_sp|P36551|HEM6_HUMAN Oxygen-dependent coproporphyrinogen-III oxidase, mitochondrial OS=Homo sapiens OX=9606 GN=CPOX PE=1 SV=3
+RVWDRPHRLVELIEAEKSNESPSHMYEWRATLPLSMLISEIRSGPTFLGFKTGRDYLLNFEVYRGRRLQQWLKEQPTFSDDCHKKVLPIYSPVVARACSQVFRFVEEKSPSDLDDFFIGGIGRREGRHAIFFYDDCWKKFKPYLDPGHQDCAEKLTRHFHVADEQNLYTPTLDCGGGFWWQKNGDAEEVEFYRYNFHITPAHPNKPHIVSSVGMACFPLKGDKTKLVKGRSRMQKAAEESLNGHVVSISVGAKEFVCGDQLVCSIGGGGEKREWRDVSFNAGGDVQALAQCVQAQTELILLEMKTKMDGPRRRLEGLDTVPPAMFSSCRHALEDEEEEPRGLSTARTGSTKPLMEARQVHGFAATALGVLGALAAALGTGVWPGGRSTSGHGLGRSQETGAPGPPRCVRGAASRQSWARLGGGGCQSWARPGGCGGRAVLWCPGSSLRGLQLAM
+>sp|P22830|HEMH_HUMAN Ferrochelatase, mitochondrial OS=Homo sapiens OX=9606 GN=FECH PE=1 SV=2
+MRSLGANMAAALRAAGVLLRDPLASSSWRVCQPWRWKSGAAAAAVTTETAQHAQGAKPQVQPQKRKPKTGILMLNMGGPETLGDVHDFLLRLFLDRDLMTLPIQNKLAPFIAKRRTPKIQEQYRRIGGGSPIKIWTSKQGEGMVKLLDELSPNTAPHKYYIGFRYVHPLTEEAIEEMERDGLERAIAFTQYPQYSCSTTGSSLNAIYRYYNQVGRKPTMKWSTIDRWPTHHLLIQCFADHILKELDHFPLEKRSEVVILFSAHSLPMSVVNRGDPYPQEVSATVQKVMERLEYCNPYRLVWQSKVGPMPWLGPQTDESIKGLCERGRKNILLVPIAFTSDHIETLYELDIEYSQVLAKECGVENIRRAESLNGNPLFSKALADLVHSHIQSNELCSKQLTLSCPLCVNPVCRETKSFFTSQQL
+>DECOY_sp|P22830|HEMH_HUMAN Ferrochelatase, mitochondrial OS=Homo sapiens OX=9606 GN=FECH PE=1 SV=2
+LQQSTFFSKTERCVPNVCLPCSLTLQKSCLENSQIHSHVLDALAKSFLPNGNLSEARRINEVGCEKALVQSYEIDLEYLTEIHDSTFAIPVLLINKRGRECLGKISEDTQPGLWPMPGVKSQWVLRYPNCYELREMVKQVTASVEQPYPDGRNVVSMPLSHASFLIVVESRKELPFHDLEKLIHDAFCQILLHHTPWRDITSWKMTPKRGVQNYYRYIANLSSGTTSCSYQPYQTFAIARELGDREMEEIAEETLPHVYRFGIYYKHPATNPSLEDLLKVMGEGQKSTWIKIPSGGGIRRYQEQIKPTRRKAIFPALKNQIPLTMLDRDLFLRLLFDHVDGLTEPGGMNLMLIGTKPKRKQPQVQPKAGQAHQATETTVAAAAAGSKWRWPQCVRWSSSALPDRLLVGAARLAAAMNAGLSRM
+>sp|Q9Y5N5|HEMK2_HUMAN HemK methyltransferase family member 2 OS=Homo sapiens OX=9606 GN=N6AMT1 PE=1 SV=4
+MAGENFATPFHGHVGRGAFSDVYEPAEDTFLLLDALEAAAAELAGVEICLEVGSGSGVVSAFLASMIGPQALYMCTDINPEAAACTLETARCNKVHIQPVITDLVKGLLPRLTEKVDLLVFNPPYVVTPPQEVGSHGIEAAWAGGRNGREVMDRFFPLVPDLLSPRGLFYLVTIKENNPEEILKIMKTKGLQGTTALSRQAGQETLSVLKFTKS
+>DECOY_sp|Q9Y5N5|HEMK2_HUMAN HemK methyltransferase family member 2 OS=Homo sapiens OX=9606 GN=N6AMT1 PE=1 SV=4
+SKTFKLVSLTEQGAQRSLATTGQLGKTKMIKLIEEPNNEKITVLYFLGRPSLLDPVLPFFRDMVERGNRGGAWAAEIGHSGVEQPPTVVYPPNFVLLDVKETLRPLLGKVLDTIVPQIHVKNCRATELTCAAAEPNIDTCMYLAQPGIMSALFASVVGSGSGVELCIEVGALEAAAAELADLLLFTDEAPEYVDSFAGRGVHGHFPTAFNEGAM
+>sp|Q5T8I9|HENMT_HUMAN Small RNA 2'-O-methyltransferase OS=Homo sapiens OX=9606 GN=HENMT1 PE=1 SV=1
+MEENNLQCSSVVDGNFEEVPRETAIQFKPPLYRQRYQFVKNLVDQHEPKKVADLGCGDTSLLRLLKVNPCIELLVGVDINEDKLRWRGDSLAPFLGDFLKPRDLNLTITLYHGSVVERDSRLLGFDLITCIELIEHLDSGDLARFPEVVFGYLSPSMIVISTPNSEFNPLFPSVTLRDSDHKFEWTRMEFQTWALYVANRYDYSVEFTGVGEPPAGAENVGYCTQIGIFRKNGGKATESCLSEQHDQHVYKAVFTTSYPSLQQERFFKLVLVNEVSQQVESLRVSHLPRRKEQAGERGDKPKDIGGSKAPVPCFGPVFTEVEKAKIENSPTPFCVGDKFFVPLQRLLAYPKLNRLCANEEMMRSVIADSIPLSSDGSAVVADLRNYFDEQFEF
+>DECOY_sp|Q5T8I9|HENMT_HUMAN Small RNA 2'-O-methyltransferase OS=Homo sapiens OX=9606 GN=HENMT1 PE=1 SV=1
+FEFQEDFYNRLDAVVASGDSSLPISDAIVSRMMEENACLRNLKPYALLRQLPVFFKDGVCFPTPSNEIKAKEVETFVPGFCPVPAKSGGIDKPKDGREGAQEKRRPLHSVRLSEVQQSVENVLVLKFFREQQLSPYSTTFVAKYVHQDHQESLCSETAKGGNKRFIGIQTCYGVNEAGAPPEGVGTFEVSYDYRNAVYLAWTQFEMRTWEFKHDSDRLTVSPFLPNFESNPTSIVIMSPSLYGFVVEPFRALDGSDLHEILEICTILDFGLLRSDREVVSGHYLTITLNLDRPKLFDGLFPALSDGRWRLKDENIDVGVLLEICPNVKLLRLLSTDGCGLDAVKKPEHQDVLNKVFQYRQRYLPPKFQIATERPVEEFNGDVVSSCQLNNEEM
+>sp|Q96HZ4|HES6_HUMAN Transcription cofactor HES-6 OS=Homo sapiens OX=9606 GN=HES6 PE=2 SV=1
+MAPPAAPGRDRVGREDEDGWETRGDRKARKPLVEKKRRARINESLQELRLLLAGAEVQAKLENAEVLELTVRRVQGVLRGRAREREQLQAEASERFAAGYIQCMHEVHTFVSTCQAIDATVAAELLNHLLESMPLREGSSFQDLLGDALAGPPRAPGRSGWPAGGAPGSPIPSPPGPGDDLCSDLEEAPEAELSQAPAEGPDLVPAALGSLTTAQIARSVWRPW
+>DECOY_sp|Q96HZ4|HES6_HUMAN Transcription cofactor HES-6 OS=Homo sapiens OX=9606 GN=HES6 PE=2 SV=1
+WPRWVSRAIQATTLSGLAAPVLDPGEAPAQSLEAEPAEELDSCLDDGPGPPSPIPSGPAGGAPWGSRGPARPPGALADGLLDQFSSGERLPMSELLHNLLEAAVTADIAQCTSVFTHVEHMCQIYGAAFRESAEAQLQERERARGRLVGQVRRVTLELVEANELKAQVEAGALLLRLEQLSENIRARRKKEVLPKRAKRDGRTEWGDEDERGVRDRGPAAPPAM
+>sp|Q8WVB3|HEXDC_HUMAN Hexosaminidase D OS=Homo sapiens OX=9606 GN=HEXDC PE=2 SV=3
+MSGSTPFQMRLVHLDLKGAPPKVSYLSEIFPLFRALGANGLLIEYEDMFPYEGPLRLLRAKYAYSPSEIKEILHLAGLNELEVIPLVQTFGHMEFVLKHTAFAHLREVGSFPCTLNPHEAESLALVGAMIDQVLELHPGAQRLHIGCDEVYYLGEGEASRRWLQQEQNSTGKLCLSHMRAVASGVKARRPSVTPLVWDDMLRDLPEDQLAASGVPQLVEPVLWDYTADLDVHGKVLLMQKYRRCGFPQLWAASAFKGATGPSQAVPPVEHHLRNHVQWLQVAGSGPTDSLQGIILTGWQRYDHYSVLCELLPAGVPSLAACLQLLLRGGFDEDVKAKVENLLGISSLEKTDPVREGAGSFPGSNILALVTQVSLHLRSSVDALLEGNRYVTGWFSPYHRQRKLIHPVMVQHIQPAALSLLAQWSTLVQELEAALQLAFYPDAVEEWLEENVHPSLQRLQALLQDLSEVSAPPLPPTSPGRDVAQDP
+>DECOY_sp|Q8WVB3|HEXDC_HUMAN Hexosaminidase D OS=Homo sapiens OX=9606 GN=HEXDC PE=2 SV=3
+PDQAVDRGPSTPPLPPASVESLDQLLAQLRQLSPHVNEELWEEVADPYFALQLAAELEQVLTSWQALLSLAAPQIHQVMVPHILKRQRHYPSFWGTVYRNGELLADVSSRLHLSVQTVLALINSGPFSGAGERVPDTKELSSIGLLNEVKAKVDEDFGGRLLLQLCAALSPVGAPLLECLVSYHDYRQWGTLIIGQLSDTPGSGAVQLWQVHNRLHHEVPPVAQSPGTAGKFASAAWLQPFGCRRYKQMLLVKGHVDLDATYDWLVPEVLQPVGSAALQDEPLDRLMDDWVLPTVSPRRAKVGSAVARMHSLCLKGTSNQEQQLWRRSAEGEGLYYVEDCGIHLRQAGPHLELVQDIMAGVLALSEAEHPNLTCPFSGVERLHAFATHKLVFEMHGFTQVLPIVELENLGALHLIEKIESPSYAYKARLLRLPGEYPFMDEYEILLGNAGLARFLPFIESLYSVKPPAGKLDLHVLRMQFPTSGSM
+>sp|C9JL84|HHLA1_HUMAN HERV-H LTR-associating protein 1 OS=Homo sapiens OX=9606 GN=HHLA1 PE=2 SV=1
+MLGFLSRGPSMKLCMGLACVLSLWNTVSGIKGEAKKEKGMTFLPTTVSGLREEERKEKGVAFLATTELPARSIDLSALNLTELVNGMLSRALKDSKKFFSLLSVTSYSSFAFHKFSVAVYNISNLKTVDPAKFPTRYCYCLNNRTNDLSDFTALLVDIIGNSTSYLTEIFKSTSILSVNQSNESDCIFICVMTGKSGRNLSDFWEIEEKYPIINYTFTSGLSGVLGAATRGTARTSKPTTKSQKTLPSTSPGHWTQSTPWASALRSSPWTETAAPSETEETLNTGRPPELPARATATWFSASHTLPALATRRVARTQWLTADRQTWASISSVPWAQTISEKKPGGSLWETRSSPPTTAGTEEAMNTTSLLAPAAEIMATPGSPSQASPTLGAFTHGTQTPSPTKATAPRYPQTGDLSAEWPFTAGEEPVLVPRPHQVSRCPQPLFKVGAMAAAPLTLAIQRLNPCLMELCQFFQQCLCMSQRSPRTEDMRYCLEYYSWFLKNATYICQRVKRVSHSHTLKQKCLENICKSV
+>DECOY_sp|C9JL84|HHLA1_HUMAN HERV-H LTR-associating protein 1 OS=Homo sapiens OX=9606 GN=HHLA1 PE=2 SV=1
+VSKCINELCKQKLTHSHSVRKVRQCIYTANKLFWSYYELCYRMDETRPSRQSMCLCQQFFQCLEMLCPNLRQIALTLPAAAMAGVKFLPQPCRSVQHPRPVLVPEEGATFPWEASLDGTQPYRPATAKTPSPTQTGHTFAGLTPSAQSPSGPTAMIEAAPALLSTTNMAEETGATTPPSSRTEWLSGGPKKESITQAWPVSSISAWTQRDATLWQTRAVRRTALAPLTHSASFWTATARAPLEPPRGTNLTEETESPAATETWPSSRLASAWPTSQTWHGPSTSPLTKQSKTTPKSTRATGRTAAGLVGSLGSTFTYNIIPYKEEIEWFDSLNRGSKGTMVCIFICDSENSQNVSLISTSKFIETLYSTSNGIIDVLLATFDSLDNTRNNLCYCYRTPFKAPDVTKLNSINYVAVSFKHFAFSSYSTVSLLSFFKKSDKLARSLMGNVLETLNLASLDISRAPLETTALFAVGKEKREEERLGSVTTPLFTMGKEKKAEGKIGSVTNWLSLVCALGMCLKMSPGRSLFGLM
+>sp|Q16665|HIF1A_HUMAN Hypoxia-inducible factor 1-alpha OS=Homo sapiens OX=9606 GN=HIF1A PE=1 SV=1
+MEGAGGANDKKKISSERRKEKSRDAARSRRSKESEVFYELAHQLPLPHNVSSHLDKASVMRLTISYLRVRKLLDAGDLDIEDDMKAQMNCFYLKALDGFVMVLTDDGDMIYISDNVNKYMGLTQFELTGHSVFDFTHPCDHEEMREMLTHRNGLVKKGKEQNTQRSFFLRMKCTLTSRGRTMNIKSATWKVLHCTGHIHVYDTNSNQPQCGYKKPPMTCLVLICEPIPHPSNIEIPLDSKTFLSRHSLDMKFSYCDERITELMGYEPEELLGRSIYEYYHALDSDHLTKTHHDMFTKGQVTTGQYRMLAKRGGYVWVETQATVIYNTKNSQPQCIVCVNYVVSGIIQHDLIFSLQQTECVLKPVESSDMKMTQLFTKVESEDTSSLFDKLKKEPDALTLLAPAAGDTIISLDFGSNDTETDDQQLEEVPLYNDVMLPSPNEKLQNINLAMSPLPTAETPKPLRSSADPALNQEVALKLEPNPESLELSFTMPQIQDQTPSPSDGSTRQSSPEPNSPSEYCFYVDSDMVNEFKLELVEKLFAEDTEAKNPFSTQDTDLDLEMLAPYIPMDDDFQLRSFDQLSPLESSSASPESASPQSTVTVFQQTQIQEPTANATTTTATTDELKTVTKDRMEDIKILIASPSPTHIHKETTSATSSPYRDTQSRTASPNRAGKGVIEQTEKSHPRSPNVLSVALSQRTTVPEEELNPKILALQNAQRKRKMEHDGSLFQAVGIGTLLQQPDDHAATTSLSWKRVKGCKSSEQNGMEQKTIILIPSDLACRLLGQSMDESGLPQLTSYDCEVNAPIQGSRNLLQGEELLRALDQVN
+>DECOY_sp|Q16665|HIF1A_HUMAN Hypoxia-inducible factor 1-alpha OS=Homo sapiens OX=9606 GN=HIF1A PE=1 SV=1
+NVQDLARLLEEGQLLNRSGQIPANVECDYSTLQPLGSEDMSQGLLRCALDSPILIITKQEMGNQESSKCGKVRKWSLSTTAAHDDPQQLLTGIGVAQFLSGDHEMKRKRQANQLALIKPNLEEEPVTTRQSLAVSLVNPSRPHSKETQEIVGKGARNPSATRSQTDRYPSSTASTTEKHIHTPSPSAILIKIDEMRDKTVTKLEDTTATTTTANATPEQIQTQQFVTVTSQPSASEPSASSSELPSLQDFSRLQFDDDMPIYPALMELDLDTDQTSFPNKAETDEAFLKEVLELKFENVMDSDVYFCYESPSNPEPSSQRTSGDSPSPTQDQIQPMTFSLELSEPNPELKLAVEQNLAPDASSRLPKPTEATPLPSMALNINQLKENPSPLMVDNYLPVEELQQDDTETDNSGFDLSIITDGAAPALLTLADPEKKLKDFLSSTDESEVKTFLQTMKMDSSEVPKLVCETQQLSFILDHQIIGSVVYNVCVICQPQSNKTNYIVTAQTEVWVYGGRKALMRYQGTTVQGKTFMDHHTKTLHDSDLAHYYEYISRGLLEEPEYGMLETIREDCYSFKMDLSHRSLFTKSDLPIEINSPHPIPECILVLCTMPPKKYGCQPQNSNTDYVHIHGTCHLVKWTASKINMTRGRSTLTCKMRLFFSRQTNQEKGKKVLGNRHTLMERMEEHDCPHTFDFVSHGTLEFQTLGMYKNVNDSIYIMDGDDTLVMVFGDLAKLYFCNMQAKMDDEIDLDGADLLKRVRLYSITLRMVSAKDLHSSVNHPLPLQHALEYFVESEKSRRSRAADRSKEKRRESSIKKKDNAGGAGEM
+>sp|Q9BX68|HINT2_HUMAN Histidine triad nucleotide-binding protein 2, mitochondrial OS=Homo sapiens OX=9606 GN=HINT2 PE=1 SV=1
+MAAAVVLAAGLRAARRAVAATGVRGGQVRGAAGVTDGNEVAKAQQATPGGAAPTIFSRILDKSLPADILYEDQQCLVFRDVAPQAPVHFLVIPKKPIPRISQAEEEDQQLLGHLLLVAKQTAKAEGLGDGYRLVINDGKLGAQSVYHLHIHVLGGRQLQWPPG
+>DECOY_sp|Q9BX68|HINT2_HUMAN Histidine triad nucleotide-binding protein 2, mitochondrial OS=Homo sapiens OX=9606 GN=HINT2 PE=1 SV=1
+GPPWQLQRGGLVHIHLHYVSQAGLKGDNIVLRYGDGLGEAKATQKAVLLLHGLLQQDEEEAQSIRPIPKKPIVLFHVPAQPAVDRFVLCQQDEYLIDAPLSKDLIRSFITPAAGGPTAQQAKAVENGDTVGAAGRVQGGRVGTAAVARRAARLGAALVVAAAM
+>sp|O00291|HIP1_HUMAN Huntingtin-interacting protein 1 OS=Homo sapiens OX=9606 GN=HIP1 PE=1 SV=5
+MDRMASSMKQVPNPLPKVLSRRGVGAGLEAAERESFERTQTVSINKAINTQEVAVKEKHARTCILGTHHEKGAQTFWSVVNRLPLSSNAVLCWKFCHVFHKLLRDGHPNVLKDSLRYRNELSDMSRMWGHLSEGYGQLCSIYLKLLRTKMEYHTKNPRFPGNLQMSDRQLDEAGESDVNNFFQLTVEMFDYLECELNLFQTVFNSLDMSRSVSVTAAGQCRLAPLIQVILDCSHLYDYTVKLLFKLHSCLPADTLQGHRDRFMEQFTKLKDLFYRSSNLQYFKRLIQIPQLPENPPNFLRASALSEHISPVVVIPAEASSPDSEPVLEKDDLMDMDASQQNLFDNKFDDIFGSSFSSDPFNFNSQNGVNKDEKDHLIERLYREISGLKAQLENMKTESQRVVLQLKGHVSELEADLAEQQHLRQQAADDCEFLRAELDELRRQREDTEKAQRSLSEIERKAQANEQRYSKLKEKYSELVQNHADLLRKNAEVTKQVSMARQAQVDLEREKKELEDSLERISDQGQRKTQEQLEVLESLKQELATSQRELQVLQGSLETSAQSEANWAAEFAELEKERDSLVSGAAHREEELSALRKELQDTQLKLASTEESMCQLAKDQRKMLLVGSRKAAEQVIQDALNQLEEPPLISCAGSADHLLSTVTSISSCIEQLEKSWSQYLACPEDISGLLHSITLLAHLTSDAIAHGATTCLRAPPEPADSLTEACKQYGRETLAYLASLEEEGSLENADSTAMRNCLSKIKAIGEELLPRGLDIKQEELGDLVDKEMAATSAAIETATARIEEMLSKSRAGDTGVKLEVNERILGCCTSLMQAIQVLIVASKDLQREIVESGRGTASPKEFYAKNSRWTEGLISASKAVGWGATVMVDAADLVVQGRGKFEELMVCSHEIAASTAQLVAASKVKADKDSPNLAQLQQASRGVNQATAGVVASTISGKSQIEETDNMDFSSMTLTQIKRQEMDSQVRVLELENELQKERQKLGELRKKHYELAGVAEGWEEGTEASPPTLQEVVTEKE
+>DECOY_sp|O00291|HIP1_HUMAN Huntingtin-interacting protein 1 OS=Homo sapiens OX=9606 GN=HIP1 PE=1 SV=5
+EKETVVEQLTPPSAETGEEWGEAVGALEYHKKRLEGLKQREKQLENELELVRVQSDMEQRKIQTLTMSSFDMNDTEEIQSKGSITSAVVGATAQNVGRSAQQLQALNPSDKDAKVKSAAVLQATSAAIEHSCVMLEEFKGRGQVVLDAADVMVTAGWGVAKSASILGETWRSNKAYFEKPSATGRGSEVIERQLDKSAVILVQIAQMLSTCCGLIRENVELKVGTDGARSKSLMEEIRATATEIAASTAAMEKDVLDGLEEQKIDLGRPLLEEGIAKIKSLCNRMATSDANELSGEEELSALYALTERGYQKCAETLSDAPEPPARLCTTAGHAIADSTLHALLTISHLLGSIDEPCALYQSWSKELQEICSSISTVTSLLHDASGACSILPPEELQNLADQIVQEAAKRSGVLLMKRQDKALQCMSEETSALKLQTDQLEKRLASLEEERHAAGSVLSDREKELEAFEAAWNAESQASTELSGQLVQLERQSTALEQKLSELVELQEQTKRQGQDSIRELSDELEKKERELDVQAQRAMSVQKTVEANKRLLDAHNQVLESYKEKLKSYRQENAQAKREIESLSRQAKETDERQRRLEDLEARLFECDDAAQQRLHQQEALDAELESVHGKLQLVVRQSETKMNELQAKLGSIERYLREILHDKEDKNVGNQSNFNFPDSSFSSGFIDDFKNDFLNQQSADMDMLDDKELVPESDPSSAEAPIVVVPSIHESLASARLFNPPNEPLQPIQILRKFYQLNSSRYFLDKLKTFQEMFRDRHGQLTDAPLCSHLKFLLKVTYDYLHSCDLIVQILPALRCQGAATVSVSRSMDLSNFVTQFLNLECELYDFMEVTLQFFNNVDSEGAEDLQRDSMQLNGPFRPNKTHYEMKTRLLKLYISCLQGYGESLHGWMRSMDSLENRYRLSDKLVNPHGDRLLKHFVHCFKWCLVANSSLPLRNVVSWFTQAGKEHHTGLICTRAHKEKVAVEQTNIAKNISVTQTREFSEREAAELGAGVGRRSLVKPLPNPVQKMSSAMRDM
+>sp|Q9UBK8|MTRR_HUMAN Methionine synthase reductase OS=Homo sapiens OX=9606 GN=MTRR PE=1 SV=3
+MGAASVRAGARLVEVALCSFTVTCLEVMRRFLLLYATQQGQAKAIAEEICEQAVVHGFSADLHCISESDKYDLKTETAPLVVVVSTTGTGDPPDTARKFVKEIQNQTLPVDFFAHLRYGLLGLGDSEYTYFCNGGKIIDKRLQELGARHFYDTGHADDCVGLELVVEPWIAGLWPALRKHFRSSRGQEEISGALPVASPASSRTDLVKSELLHIESQVELLRFDDSGRKDSEVLKQNAVNSNQSNVVIEDFESSLTRSVPPLSQASLNIPGLPPEYLQVHLQESLGQEESQVSVTSADPVFQVPISKAVQLTTNDAIKTTLLVELDISNTDFSYQPGDAFSVICPNSDSEVQSLLQRLQLEDKREHCVLLKIKADTKKKGATLPQHIPAGCSLQFIFTWCLEIRAIPKKAFLRALVDYTSDSAEKRRLQELCSKQGAADYSRFVRDACACLLDLLLAFPSCQPPLSLLLEHLPKLQPRPYSCASSSLFHPGKLHFVFNIVEFLSTATTEVLRKGVCTGWLALLVASVLQPNIHASHEDSGKALAPKISISPRTTNSFHLPDDPSIPIIMVGPGTGIAPFIGFLQHREKLQEQHPDGNFGAMWLFFGCRHKDRDYLFRKELRHFLKHGILTHLKVSFSRDAPVGEEEAPAKYVQDNIQLHGQQVARILLQENGHIYVCGDAKNMAKDVHDALVQIISKEVGVEKLEAMKTLATLKEEKRYLQDIWS
+>DECOY_sp|Q9UBK8|MTRR_HUMAN Methionine synthase reductase OS=Homo sapiens OX=9606 GN=MTRR PE=1 SV=3
+SWIDQLYRKEEKLTALTKMAELKEVGVEKSIIQVLADHVDKAMNKADGCVYIHGNEQLLIRAVQQGHLQINDQVYKAPAEEEGVPADRSFSVKLHTLIGHKLFHRLEKRFLYDRDKHRCGFFLWMAGFNGDPHQEQLKERHQLFGIFPAIGTGPGVMIIPISPDDPLHFSNTTRPSISIKPALAKGSDEHSAHINPQLVSAVLLALWGTCVGKRLVETTATSLFEVINFVFHLKGPHFLSSSACSYPRPQLKPLHELLLSLPPQCSPFALLLDLLCACADRVFRSYDAAGQKSCLEQLRRKEASDSTYDVLARLFAKKPIARIELCWTFIFQLSCGAPIHQPLTAGKKKTDAKIKLLVCHERKDELQLRQLLSQVESDSNPCIVSFADGPQYSFDTNSIDLEVLLTTKIADNTTLQVAKSIPVQFVPDASTVSVQSEEQGLSEQLHVQLYEPPLGPINLSAQSLPPVSRTLSSEFDEIVVNSQNSNVANQKLVESDKRGSDDFRLLEVQSEIHLLESKVLDTRSSAPSAVPLAGSIEEQGRSSRFHKRLAPWLGAIWPEVVLELGVCDDAHGTDYFHRAGLEQLRKDIIKGGNCFYTYESDGLGLLGYRLHAFFDVPLTQNQIEKVFKRATDPPDGTGTTSVVVVLPATETKLDYKDSESICHLDASFGHVVAQECIEEAIAKAQGQQTAYLLLFRRMVELCTVTFSCLAVEVLRAGARVSAAGM
+>sp|O43312|MTSS1_HUMAN Metastasis suppressor protein 1 OS=Homo sapiens OX=9606 GN=MTSS1 PE=1 SV=2
+MEAVIEKECSALGGLFQTIISDMKGSYPVWEDFINKAGKLQSQLRTTVVAAAAFLDAFQKVADMATNTRGGTREIGSALTRMCMRHRSIEAKLRQFSSALIDCLINPLQEQMEEWKKVANQLDKDHAKEYKKARQEIKKKSSDTLKLQKKAKKGRGDIQPQLDSALQDVNDKYLLLEETEKQAVRKALIEERGRFCTFISMLRPVIEEEISMLGEITHLQTISEDLKSLTMDPHKLPSSSEQVILDLKGSDYSWSYQTPPSSPSTTMSRKSSVCSSLNSVNSSDSRSSGSHSHSPSSHYRYRSSNLAQQAPVRLSSVSSHDSGFISQDAFQSKSPSPMPPEAPNQLSNGFSHYSLSSESHVGPTGAGLFPHCLPASRLLPRVTSVHLPDYAHYYTIGPGMFPSSQIPSWKDWAKPGPYDQPLVNTLQRRKEKREPDPNGGGPTTASGPPAAAEEAQRPRSMTVSAATRPGEEMEACEELALALSRGLQLDTQRSSRDSLQCSSGYSTQTTTPCCSEDTIPSQVSDYDYFSVSGDQEADQQEFDKSSTIPRNSDISQSYRRMFQAKRPASTAGLPTTLGPAMVTPGVATIRRTPSTKPSVRRGTIGAGPIPIKTPVIPVKTPTVPDLPGVLPAPPDGPEERGEHSPESPSVGEGPQGVTSMPSSMWSGQASVNPPLPGPKPSIPEEHRQAIPESEAEDQEREPPSATVSPGQIPESDPADLSPRDTPQGEDMLNAIRRGVKLKKTTTNDRSAPRFS
+>DECOY_sp|O43312|MTSS1_HUMAN Metastasis suppressor protein 1 OS=Homo sapiens OX=9606 GN=MTSS1 PE=1 SV=2
+SFRPASRDNTTTKKLKVGRRIANLMDEGQPTDRPSLDAPDSEPIQGPSVTASPPEREQDEAESEPIAQRHEEPISPKPGPLPPNVSAQGSWMSSPMSTVGQPGEGVSPSEPSHEGREEPGDPPAPLVGPLDPVTPTKVPIVPTKIPIPGAGITGRRVSPKTSPTRRITAVGPTVMAPGLTTPLGATSAPRKAQFMRRYSQSIDSNRPITSSKDFEQQDAEQDGSVSFYDYDSVQSPITDESCCPTTTQTSYGSSCQLSDRSSRQTDLQLGRSLALALEECAEMEEGPRTAASVTMSRPRQAEEAAAPPGSATTPGGGNPDPERKEKRRQLTNVLPQDYPGPKAWDKWSPIQSSPFMGPGITYYHAYDPLHVSTVRPLLRSAPLCHPFLGAGTPGVHSESSLSYHSFGNSLQNPAEPPMPSPSKSQFADQSIFGSDHSSVSSLRVPAQQALNSSRYRYHSSPSHSHSGSSRSDSSNVSNLSSCVSSKRSMTTSPSSPPTQYSWSYDSGKLDLIVQESSSPLKHPDMTLSKLDESITQLHTIEGLMSIEEEIVPRLMSIFTCFRGREEILAKRVAQKETEELLLYKDNVDQLASDLQPQIDGRGKKAKKQLKLTDSSKKKIEQRAKKYEKAHDKDLQNAVKKWEEMQEQLPNILCDILASSFQRLKAEISRHRMCMRTLASGIERTGGRTNTAMDAVKQFADLFAAAAVVTTRLQSQLKGAKNIFDEWVPYSGKMDSIITQFLGGLASCEKEIVAEM
+>sp|Q765P7|MTSSL_HUMAN MTSS1-like protein OS=Homo sapiens OX=9606 GN=MTSS1L PE=1 SV=1
+METAEKECGALGGLFQAIVNDMKSSYPIWEDFNSKATKLHSQLRTTVLAAVAFLDAFQKVADMATNTRGATRDIGSALTRMCMRHRSIETKLRQFTNALLESLINPLQERIEDWKKAANQLDKDHAKEYKRARHEIKKKSSDTLKLQKKARKELLGKGDLQPQLDSALQDVNDMYLLLEETEKQAVRRALIEERGRFCTFITFLQPVVNGELTMLGEITHLQGIIDDLVVLTAEPHKLPPASEQVIKDLKGSDYSWSYQTPPSSPSSSSSRKSSMCSAPSSSSSAKGGGAPWPGGAQTYSPSSTCRYRSLAQPATTTARLSSVSSHDSGFVSQDATYSKPPSPMPSDITSQKSSSSASSEASETCQSVSECSSPTSDWSKVGSHEQPSGATLQRRKDRVELLRDTEPGPASGGTLGPSGEEAPRPRMSPATIAAKHGEEVSPAASDLAMVLTRGLSLEHQKSSRDSLQYSSGYSTQTTTPSCSEDTIPSQGSDYDCYSVNGDADSEGPPEFDKSSTIPRNSNIAQNYRRLIQTKRPASTAGLPTAGLPTATGLPSGAPPGVATIRRTPSTKPTVRRALSSAGPIPIRPPIVPVKTPTVPDSPGYMGPTRAGSEECVFYTDETASPLAPDLAKASPKRLSLPNTAWGSPSPEAAGYPGAGAEDEQQQLAANRHSLVEKLGELVAGAHALGEGQFPFPTALSATPTEETPTPPPAATSDPPAEDMLVAIRRGVRLRRTVTNDRSAPRIL
+>DECOY_sp|Q765P7|MTSSL_HUMAN MTSS1-like protein OS=Homo sapiens OX=9606 GN=MTSS1L PE=1 SV=1
+LIRPASRDNTVTRRLRVGRRIAVLMDEAPPDSTAAPPPTPTEETPTASLATPFPFQGEGLAHAGAVLEGLKEVLSHRNAALQQQEDEAGAGPYGAAEPSPSGWATNPLSLRKPSAKALDPALPSATEDTYFVCEESGARTPGMYGPSDPVTPTKVPVIPPRIPIPGASSLARRVTPKTSPTRRITAVGPPAGSPLGTATPLGATPLGATSAPRKTQILRRYNQAINSNRPITSSKDFEPPGESDADGNVSYCDYDSGQSPITDESCSPTTTQTSYGSSYQLSDRSSKQHELSLGRTLVMALDSAAPSVEEGHKAAITAPSMRPRPAEEGSPGLTGGSAPGPETDRLLEVRDKRRQLTAGSPQEHSGVKSWDSTPSSCESVSQCTESAESSASSSSKQSTIDSPMPSPPKSYTADQSVFGSDHSSVSSLRATTTAPQALSRYRCTSSPSYTQAGGPWPAGGGKASSSSSPASCMSSKRSSSSSPSSPPTQYSWSYDSGKLDKIVQESAPPLKHPEATLVVLDDIIGQLHTIEGLMTLEGNVVPQLFTIFTCFRGREEILARRVAQKETEELLLYMDNVDQLASDLQPQLDGKGLLEKRAKKQLKLTDSSKKKIEHRARKYEKAHDKDLQNAAKKWDEIREQLPNILSELLANTFQRLKTEISRHRMCMRTLASGIDRTAGRTNTAMDAVKQFADLFAVAALVTTRLQSHLKTAKSNFDEWIPYSSKMDNVIAQFLGGLAGCEKEATEM
+>sp|Q8N3F0|MTURN_HUMAN Maturin OS=Homo sapiens OX=9606 GN=MTURN PE=1 SV=2
+MDFQQLADVAEKWCSNTPFELIATEETERRMDFYADPGVSFYVLCPDNGCGDNFHVWSESEDCLPFLQLAQDYISSCGKKTLHEVLEKVFKSFRPLLGLPDADDDAFEEYSADVEEEEPEADHPQMGVSQQ
+>DECOY_sp|Q8N3F0|MTURN_HUMAN Maturin OS=Homo sapiens OX=9606 GN=MTURN PE=1 SV=2
+QQSVGMQPHDAEPEEEEVDASYEEFADDDADPLGLLPRFSKFVKELVEHLTKKGCSSIYDQALQLFPLCDESESWVHFNDGCGNDPCLVYFSVGPDAYFDMRRETEETAILEFPTNSCWKEAVDALQQFDM
+>sp|O60682|MUSC_HUMAN Musculin OS=Homo sapiens OX=9606 GN=MSC PE=1 SV=2
+MSTGSVSDPEEMELRGLQREYPVPASKRPPLRGVERSYASPSDNSSAEEEDPDGEEERCALGTAGSAEGCKRKRPRVAGGGGAGGSAGGGGKKPLPAKGSAAECKQSQRNAANARERARMRVLSKAFSRLKTSLPWVPPDTKLSKLDTLRLASSYIAHLRQLLQEDRYENGYVHPVNLTWPFVVSGRPDSDTKEVSAANRLCGTTA
+>DECOY_sp|O60682|MUSC_HUMAN Musculin OS=Homo sapiens OX=9606 GN=MSC PE=1 SV=2
+ATTGCLRNAASVEKTDSDPRGSVVFPWTLNVPHVYGNEYRDEQLLQRLHAIYSSALRLTDLKSLKTDPPVWPLSTKLRSFAKSLVRMRARERANAANRQSQKCEAASGKAPLPKKGGGGASGGAGGGGAVRPRKRKCGEASGATGLACREEEGDPDEEEASSNDSPSAYSREVGRLPPRKSAPVPYERQLGRLEMEEPDSVSGTSM
+>sp|P84157|MXRA7_HUMAN Matrix-remodeling-associated protein 7 OS=Homo sapiens OX=9606 GN=MXRA7 PE=1 SV=1
+MEAPAELLAALPALATALALLLAWLLVRRGAAASPEPARAPPEPAPPAEATGAPAPSRPCAPEPAASPAGPEEPGEPAGLGELGEPAGPGEPEGPGDPAAAPAEAEEQAVEARQEEEQDLDGEKGPSSEGPEEEDGEGFSFKYSPGKLRGNQYKKMMTKEELEEEQRVQKEQLAAIFKLMKDNKETFGEMSDGDVQEQLRLYDM
+>DECOY_sp|P84157|MXRA7_HUMAN Matrix-remodeling-associated protein 7 OS=Homo sapiens OX=9606 GN=MXRA7 PE=1 SV=1
+MDYLRLQEQVDGDSMEGFTEKNDKMLKFIAALQEKQVRQEEELEEKTMMKKYQNGRLKGPSYKFSFGEGDEEEPGESSPGKEGDLDQEEEQRAEVAQEEAEAPAAAPDGPGEPEGPGAPEGLEGLGAPEGPEEPGAPSAAPEPACPRSPAPAGTAEAPPAPEPPARAPEPSAAAGRRVLLWALLLALATALAPLAALLEAPAEM
+>sp|A0A1B0GUC4|MYCOS_HUMAN Myocilin opposite strand protein OS=Homo sapiens OX=9606 GN=MYOCOS PE=4 SV=2
+MAQKSLANNSINLPYKDLTSEVTRRRVTMITRKEIITQKSDEAKEMLSHLDLEQAPPPHRTYLTVPPAPPPSPAEDPTPDGLSFKIREAGTSVSSDFSSWKAKVSKFH
+>DECOY_sp|A0A1B0GUC4|MYCOS_HUMAN Myocilin opposite strand protein OS=Homo sapiens OX=9606 GN=MYOCOS PE=4 SV=2
+HFKSVKAKWSSFDSSVSTGAERIKFSLGDPTPDEAPSPPPAPPVTLYTRHPPPAQELDLHSLMEKAEDSKQTIIEKRTIMTVRRRTVESTLDKYPLNISNNALSKQAM
+>sp|Q8N699|MYCT1_HUMAN Myc target protein 1 OS=Homo sapiens OX=9606 GN=MYCT1 PE=1 SV=1
+MRTQVYEGLCKNYFSLAVLQRDRIKLLFFDILVFLSVFLLFLLFLVDIMANNTTSLGSPWPENFWEDLIMSFTVSMAIGLVLGGFIWAVFICLSRRRRASAPISQWSSSRRSRSSYTHGLNRTGFYRHSGCERRSNLSLASLTFQRQASLEQANSFPRKSSFRASTFHPFLQCPPLPVETESQLVTLPSSNISPTISTSHSLSRPDYWSSNSLRVGLSTPPPPAYESIIKAFPDS
+>DECOY_sp|Q8N699|MYCT1_HUMAN Myc target protein 1 OS=Homo sapiens OX=9606 GN=MYCT1 PE=1 SV=1
+SDPFAKIISEYAPPPPTSLGVRLSNSSWYDPRSLSHSTSITPSINSSPLTVLQSETEVPLPPCQLFPHFTSARFSSKRPFSNAQELSAQRQFTLSALSLNSRRECGSHRYFGTRNLGHTYSSRSRRSSSWQSIPASARRRRSLCIFVAWIFGGLVLGIAMSVTFSMILDEWFNEPWPSGLSTTNNAMIDVLFLLFLLFVSLFVLIDFFLLKIRDRQLVALSFYNKCLGEYVQTRM
+>sp|Q96QE2|MYCT_HUMAN Proton myo-inositol cotransporter OS=Homo sapiens OX=9606 GN=SLC2A13 PE=1 SV=3
+MSRKASENVEYTLRSLSSLMGERRRKQPEPDAASAAGECSLLAAAESSTSLQSAGAGGGGVGDLERAARRQFQQDETPAFVYVVAVFSALGGFLFGYDTGVVSGAMLLLKRQLSLDALWQELLVSSTVGAAAVSALAGGALNGVFGRRAAILLASALFTAGSAVLAAANNKETLLAGRLVVGLGIGIASMTVPVYIAEVSPPNLRGRLVTINTLFITGGQFFASVVDGAFSYLQKDGWRYMLGLAAVPAVIQFFGFLFLPESPRWLIQKGQTQKARRILSQMRGNQTIDEEYDSIKNNIEEEEKEVGSAGPVICRMLSYPPTRRALIVGCGLQMFQQLSGINTIMYYSATILQMSGVEDDRLAIWLASVTAFTNFIFTLVGVWLVEKVGRRKLTFGSLAGTTVALIILALGFVLSAQVSPRITFKPIAPSGQNATCTRYSYCNECMLDPDCGFCYKMNKSTVIDSSCVPVNKASTNEAAWGRCENETKFKTEDIFWAYNFCPTPYSWTALLGLILYLVFFAPGMGPMPWTVNSEIYPLWARSTGNACSSGINWIFNVLVSLTFLHTAEYLTYYGAFFLYAGFAAVGLLFIYGCLPETKGKKLEEIESLFDNRLCTCGTSDSDEGRYIEYIRVKGSNYHLSDNDASDVE
+>DECOY_sp|Q96QE2|MYCT_HUMAN Proton myo-inositol cotransporter OS=Homo sapiens OX=9606 GN=SLC2A13 PE=1 SV=3
+EVDSADNDSLHYNSGKVRIYEIYRGEDSDSTGCTCLRNDFLSEIEELKKGKTEPLCGYIFLLGVAAFGAYLFFAGYYTLYEATHLFTLSVLVNFIWNIGSSCANGTSRAWLPYIESNVTWPMPGMGPAFFVLYLILGLLATWSYPTPCFNYAWFIDETKFKTENECRGWAAENTSAKNVPVCSSDIVTSKNMKYCFGCDPDLMCENCYSYRTCTANQGSPAIPKFTIRPSVQASLVFGLALIILAVTTGALSGFTLKRRGVKEVLWVGVLTFIFNTFATVSALWIALRDDEVGSMQLITASYYMITNIGSLQQFMQLGCGVILARRTPPYSLMRCIVPGASGVEKEEEEINNKISDYEEDITQNGRMQSLIRRAKQTQGKQILWRPSEPLFLFGFFQIVAPVAALGLMYRWGDKQLYSFAGDVVSAFFQGGTIFLTNITVLRGRLNPPSVEAIYVPVTMSAIGIGLGVVLRGALLTEKNNAAALVASGATFLASALLIAARRGFVGNLAGGALASVAAAGVTSSVLLEQWLADLSLQRKLLLMAGSVVGTDYGFLFGGLASFVAVVYVFAPTEDQQFQRRAARELDGVGGGGAGASQLSTSSEAAALLSCEGAASAADPEPQKRRREGMLSSLSRLTYEVNESAKRSM
+>sp|P02144|MYG_HUMAN Myoglobin OS=Homo sapiens OX=9606 GN=MB PE=1 SV=2
+MGLSDGEWQLVLNVWGKVEADIPGHGQEVLIRLFKGHPETLEKFDKFKHLKSEDEMKASEDLKKHGATVLTALGGILKKKGHHEAEIKPLAQSHATKHKIPVKYLEFISECIIQVLQSKHPGDFGADAQGAMNKALELFRKDMASNYKELGFQG
+>DECOY_sp|P02144|MYG_HUMAN Myoglobin OS=Homo sapiens OX=9606 GN=MB PE=1 SV=2
+GQFGLEKYNSAMDKRFLELAKNMAGQADAGFDGPHKSQLVQIICESIFELYKVPIKHKTAHSQALPKIEAEHHGKKKLIGGLATLVTAGHKKLDESAKMEDESKLHKFKDFKELTEPHGKFLRILVEQGHGPIDAEVKGWVNLVLQWEGDSLGM
+>sp|Q12965|MYO1E_HUMAN Unconventional myosin-Ie OS=Homo sapiens OX=9606 GN=MYO1E PE=1 SV=2
+MGSKGVYQYHWQSHNVKHSGVDDMVLLSKITENSIVENLKKRYMDDYIFTYIGSVLISVNPFKQMPYFGEKEIEMYQGAAQYENPPHIYALADNMYRNMIIDRENQCVIISGESGAGKTVAAKYIMSYISRVSGGGTKVQHVKDIILQSNPLLEAFGNAKTVRNNNSSRFGKYFEIQFSPGGEPDGGKISNFLLEKSRVVMRNPGERSFHIFYQLIEGASAEQKHSLGITSMDYYYYLSLSGSYKVDDIDDRREFQETLHAMNVIGIFAEEQTLVLQIVAGILHLGNISFKEVGNYAAVESEEFLAFPAYLLGINQDRLKEKLTSRQMDSKWGGKSESIHVTLNVEQACYTRDALAKALHARVFDFLVDSINKAMEKDHEEYNIGVLDIYGFEIFQKNGFEQFCINFVNEKLQQIFIELTLKAEQEEYVQEGIRWTPIEYFNNKIVCDLIENKVNPPGIMSILDDVCATMHAVGEGADQTLLQKLQMQIGSHEHFNSWNQGFIIHHYAGKVSYDMDGFCERNRDVLFMDLIELMQSSELPFIKSLFPENLQADKKGRPTTAGSKIKKQANDLVSTLMKCTPHYIRCIKPNETKKPRDWEESRVKHQVEYLGLKENIRVRRAGYAYRRIFQKFLQRYAILTKATWPSWQGEEKQGVLHLLQSVNMDSDQFQLGRSKVFIKAPESLFLLEEMRERKYDGYARVIQKSWRKFVARKKYVQMREEASDLLLNKKERRRNSINRNFIGDYIGMEEHPELQQFVGKREKIDFADTVTKYDRRFKGVKRDLLLTPKCLYLIGREKVKQGPDKGLVKEVLKRKIEIERILSVSLSTMQDDIFILHEQEYDSLLESVFKTEFLSLLAKRYEEKTQKQLPLKFSNTLELKLKKENWGPWSAGGSRQVQFHQGFGDLAVLKPSNKVLQVSIGPGLPKNSRPTRRNTTQNTGYSSGTQNANYPVRAAPPPPGYHQNGVIRNQYVPYPHAPGSQRSNQKSLYTSMARPPLPRQQSTSSDRVSQTPESLDFLKVPDQGAAGVRRQTTSRPPPAGGRPKPQPKPKPQVPQCKALYAYDAQDTDELSFNANDIIDIIKEDPSGWWTGRLRGKQGLFPNNYVTKI
+>DECOY_sp|Q12965|MYO1E_HUMAN Unconventional myosin-Ie OS=Homo sapiens OX=9606 GN=MYO1E PE=1 SV=2
+IKTVYNNPFLGQKGRLRGTWWGSPDEKIIDIIDNANFSLEDTDQADYAYLAKCQPVQPKPKPQPKPRGGAPPPRSTTQRRVGAAGQDPVKLFDLSEPTQSVRDSSTSQQRPLPPRAMSTYLSKQNSRQSGPAHPYPVYQNRIVGNQHYGPPPPAARVPYNANQTGSSYGTNQTTNRRTPRSNKPLGPGISVQLVKNSPKLVALDGFGQHFQVQRSGGASWPGWNEKKLKLELTNSFKLPLQKQTKEEYRKALLSLFETKFVSELLSDYEQEHLIFIDDQMTSLSVSLIREIEIKRKLVEKVLGKDPGQKVKERGILYLCKPTLLLDRKVGKFRRDYKTVTDAFDIKERKGVFQQLEPHEEMGIYDGIFNRNISNRRREKKNLLLDSAEERMQVYKKRAVFKRWSKQIVRAYGDYKRERMEELLFLSEPAKIFVKSRGLQFQDSDMNVSQLLHLVGQKEEGQWSPWTAKTLIAYRQLFKQFIRRYAYGARRVRINEKLGLYEVQHKVRSEEWDRPKKTENPKICRIYHPTCKMLTSVLDNAQKKIKSGATTPRGKKDAQLNEPFLSKIFPLESSQMLEILDMFLVDRNRECFGDMDYSVKGAYHHIIFGQNWSNFHEHSGIQMQLKQLLTQDAGEGVAHMTACVDDLISMIGPPNVKNEILDCVIKNNFYEIPTWRIGEQVYEEQEAKLTLEIFIQQLKENVFNICFQEFGNKQFIEFGYIDLVGINYEEHDKEMAKNISDVLFDFVRAHLAKALADRTYCAQEVNLTVHISESKGGWKSDMQRSTLKEKLRDQNIGLLYAPFALFEESEVAAYNGVEKFSINGLHLIGAVIQLVLTQEEAFIGIVNMAHLTEQFERRDDIDDVKYSGSLSLYYYYDMSTIGLSHKQEASAGEILQYFIHFSREGPNRMVVRSKELLFNSIKGGDPEGGPSFQIEFYKGFRSSNNNRVTKANGFAELLPNSQLIIDKVHQVKTGGGSVRSIYSMIYKAAVTKGAGSEGSIIVCQNERDIIMNRYMNDALAYIHPPNEYQAAGQYMEIEKEGFYPMQKFPNVSILVSGIYTFIYDDMYRKKLNEVISNETIKSLLVMDDVGSHKVNHSQWHYQYVGKSGM
+>sp|O00160|MYO1F_HUMAN Unconventional myosin-If OS=Homo sapiens OX=9606 GN=MYO1F PE=1 SV=3
+MGSKERFHWQSHNVKQSGVDDMVLLPQITEDAIAANLRKRFMDDYIFTYIGSVLISVNPFKQMPYFTDREIDLYQGAAQYENPPHIYALTDNMYRNMLIDCENQCVIISGESGAGKTVAAKYIMGYISKVSGGGEKVQHVKDIILQSNPLLEAFGNAKTVRNNNSSRFGKYFEIQFSRGGEPDGGKISNFLLEKSRVVMQNENERNFHIYYQLLEGASQEQRQNLGLMTPDYYYYLNQSDTYQVDGTDDRSDFGETLSAMQVIGIPPSIQQLVLQLVAGILHLGNISFCEDGNYARVESVDLLAFPAYLLGIDSGRLQEKLTSRKMDSRWGGRSESINVTLNVEQAAYTRDALAKGLYARLFDFLVEAINRAMQKPQEEYSIGVLDIYGFEIFQKNGFEQFCINFVNEKLQQIFIELTLKAEQEEYVQEGIRWTPIQYFNNKVVCDLIENKLSPPGIMSVLDDVCATMHATGGGADQTLLQKLQAAVGTHEHFNSWSAGFVIHHYAGKVSYDVSGFCERNRDVLFSDLIELMQTSEQAFLRMLFPEKLDGDKKGRPSTAGSKIKKQANDLVATLMRCTPHYIRCIKPNETKRPRDWEENRVKHQVEYLGLKENIRVRRAGFAYRRQFAKFLQRYAILTPETWPRWRGDERQGVQHLLRAVNMEPDQYQMGSTKVFVKNPESLFLLEEVRERKFDGFARTIQKAWRRHVAVRKYEEMREEASNILLNKKERRRNSINRNFVGDYLGLEERPELRQFLGKRERVDFADSVTKYDRRFKPIKRDLILTPKCVYVIGREKVKKGPEKGQVCEVLKKKVDIQALRGVSLSTRQDDFFILQEDAADSFLESVFKTEFVSLLCKRFEEATRRPLPLTFSDTLQFRVKKEGWGGGGTRSVTFSRGFGDLAVLKVGGRTLTVSVGDGLPKSSKPTRKGMAKGKPRRSSQAPTRAAPAPPRGMDRNGVPPSARGGPLPLEIMSGGGTHRPPRGPPSTSLGASRRPRARPPSEHNTEFLNVPDQGMAGMQRKRSVGQRPVPGVGRPKPQPRTHGPRCRALYQYVGQDVDELSFNVNEVIEILMEDPSGWWKGRLHGQEGLFPGNYVEKI
+>DECOY_sp|O00160|MYO1F_HUMAN Unconventional myosin-If OS=Homo sapiens OX=9606 GN=MYO1F PE=1 SV=3
+IKEVYNGPFLGEQGHLRGKWWGSPDEMLIEIVENVNFSLEDVDQGVYQYLARCRPGHTRPQPKPRGVGPVPRQGVSRKRQMGAMGQDPVNLFETNHESPPRARPRRSAGLSTSPPGRPPRHTGGGSMIELPLPGGRASPPVGNRDMGRPPAPAARTPAQSSRRPKGKAMGKRTPKSSKPLGDGVSVTLTRGGVKLVALDGFGRSFTVSRTGGGGWGEKKVRFQLTDSFTLPLPRRTAEEFRKCLLSVFETKFVSELFSDAADEQLIFFDDQRTSLSVGRLAQIDVKKKLVECVQGKEPGKKVKERGIVYVCKPTLILDRKIPKFRRDYKTVSDAFDVRERKGLFQRLEPREELGLYDGVFNRNISNRRREKKNLLINSAEERMEEYKRVAVHRRWAKQITRAFGDFKRERVEELLFLSEPNKVFVKTSGMQYQDPEMNVARLLHQVGQREDGRWRPWTEPTLIAYRQLFKAFQRRYAFGARRVRINEKLGLYEVQHKVRNEEWDRPRKTENPKICRIYHPTCRMLTAVLDNAQKKIKSGATSPRGKKDGDLKEPFLMRLFAQESTQMLEILDSFLVDRNRECFGSVDYSVKGAYHHIVFGASWSNFHEHTGVAAQLKQLLTQDAGGGTAHMTACVDDLVSMIGPPSLKNEILDCVVKNNFYQIPTWRIGEQVYEEQEAKLTLEIFIQQLKENVFNICFQEFGNKQFIEFGYIDLVGISYEEQPKQMARNIAEVLFDFLRAYLGKALADRTYAAQEVNLTVNISESRGGWRSDMKRSTLKEQLRGSDIGLLYAPFALLDVSEVRAYNGDECFSINGLHLIGAVLQLVLQQISPPIGIVQMASLTEGFDSRDDTGDVQYTDSQNLYYYYDPTMLGLNQRQEQSAGELLQYYIHFNRENENQMVVRSKELLFNSIKGGDPEGGRSFQIEFYKGFRSSNNNRVTKANGFAELLPNSQLIIDKVHQVKEGGGSVKSIYGMIYKAAVTKGAGSEGSIIVCQNECDILMNRYMNDTLAYIHPPNEYQAAGQYLDIERDTFYPMQKFPNVSILVSGIYTFIYDDMFRKRLNAAIADETIQPLLVMDDVGSQKVNHSQWHFREKSGM
+>sp|B0I1T2|MYO1G_HUMAN Unconventional myosin-Ig OS=Homo sapiens OX=9606 GN=MYO1G PE=1 SV=2
+MEDEEGPEYGKPDFVLLDQVTMEDFMRNLQLRFEKGRIYTYIGEVLVSVNPYQELPLYGPEAIARYQGRELYERPPHLYAVANAAYKAMKHRSRDTCIVISGESGAGKTEASKHIMQYIAAVTNPSQRAEVERVKDVLLKSTCVLEAFGNARTNRNHNSSRFGKYMDINFDFKGDPIGGHIHSYLLEKSRVLKQHVGERNFHAFYQLLRGSEDKQLHELHLERNPAVYNFTHQGAGLNMTVHSALDSDEQSHQAVTEAMRVIGFSPEEVESVHRILAAILHLGNIEFVETEEGGLQKEGLAVAEEALVDHVAELTATPRDLVLRSLLARTVASGGRELIEKGHTAAEASYARDACAKAVYQRLFEWVVNRINSVMEPRGRDPRRDGKDTVIGVLDIYGFEVFPVNSFEQFCINYCNEKLQQLFIQLILKQEQEEYEREGITWQSVEYFNNATIVDLVERPHRGILAVLDEACSSAGTITDRIFLQTLDMHHRHHLHYTSRQLCPTDKTMEFGRDFRIKHYAGDVTYSVEGFIDKNRDFLFQDFKRLLYNSTDPTLRAMWPDGQQDITEVTKRPLTAGTLFKNSMVALVENLASKEPFYVRCIKPNEDKVAGKLDENHCRHQVAYLGLLENVRVRRAGFASRQPYSRFLLRYKMTCEYTWPNHLLGSDKAAVSALLEQHGLQGDVAFGHSKLFIRSPRTLVTLEQSRARLIPIIVLLLQKAWRGTLARWRCRRLRAIYTIMRWFRRHKVRAHLAELQRRFQAARQPPLYGRDLVWPLPPAVLQPFQDTCHALFCRWRARQLVKNIPPSDMPQIKAKVAAMGALQGLRQDWGCRRAWARDYLSSATDNPTASSLFAQRLKTLQDKDGFGAVLFSSHVRKVNRFHKIRNRALLLTDQHLYKLDPDRQYRVMRAVPLEAVTGLSVTSGGDQLVVLHARGQDDLVVCLHRSRPPLDNRVGELVGVLAAHCQGEGRTLEVRVSDCIPLSHRGVRRLISVEPRPEQPEPDFRCARGSFTLLWPSR
+>DECOY_sp|B0I1T2|MYO1G_HUMAN Unconventional myosin-Ig OS=Homo sapiens OX=9606 GN=MYO1G PE=1 SV=2
+RSPWLLTFSGRACRFDPEPQEPRPEVSILRRVGRHSLPICDSVRVELTRGEGQCHAALVGVLEGVRNDLPPRSRHLCVVLDDQGRAHLVVLQDGGSTVSLGTVAELPVARMVRYQRDPDLKYLHQDTLLLARNRIKHFRNVKRVHSSFLVAGFGDKDQLTKLRQAFLSSATPNDTASSLYDRAWARRCGWDQRLGQLAGMAAVKAKIQPMDSPPINKVLQRARWRCFLAHCTDQFPQLVAPPLPWVLDRGYLPPQRAAQFRRQLEALHARVKHRRFWRMITYIARLRRCRWRALTGRWAKQLLLVIIPILRARSQELTVLTRPSRIFLKSHGFAVDGQLGHQELLASVAAKDSGLLHNPWTYECTMKYRLLFRSYPQRSAFGARRVRVNELLGLYAVQHRCHNEDLKGAVKDENPKICRVYFPEKSALNEVLAVMSNKFLTGATLPRKTVETIDQQGDPWMARLTPDTSNYLLRKFDQFLFDRNKDIFGEVSYTVDGAYHKIRFDRGFEMTKDTPCLQRSTYHLHHRHHMDLTQLFIRDTITGASSCAEDLVALIGRHPREVLDVITANNFYEVSQWTIGEREYEEQEQKLILQIFLQQLKENCYNICFQEFSNVPFVEFGYIDLVGIVTDKGDRRPDRGRPEMVSNIRNVVWEFLRQYVAKACADRAYSAEAATHGKEILERGGSAVTRALLSRLVLDRPTATLEAVHDVLAEEAVALGEKQLGGEETEVFEINGLHLIAALIRHVSEVEEPSFGIVRMAETVAQHSQEDSDLASHVTMNLGAGQHTFNYVAPNRELHLEHLQKDESGRLLQYFAHFNREGVHQKLVRSKELLYSHIHGGIPDGKFDFNIDMYKGFRSSNHNRNTRANGFAELVCTSKLLVDKVREVEARQSPNTVAAIYQMIHKSAETKGAGSEGSIVICTDRSRHKMAKYAANAVAYLHPPREYLERGQYRAIAEPGYLPLEQYPNVSVLVEGIYTYIRGKEFRLQLNRMFDEMTVQDLLVFDPKGYEPGEEDEM
+>sp|Q8NEV4|MYO3A_HUMAN Myosin-IIIa OS=Homo sapiens OX=9606 GN=MYO3A PE=1 SV=2
+MFPLIGKTIIFDNFPDPSDTWEITETIGKGTYGKVFKVLNKKNGQKAAVKILDPIHDIDEEIEAEYNILKALSDHPNVVRFYGIYFKKDKVNGDKLWLVLELCSGGSVTDLVKGFLKRGERMSEPLIAYILHEALMGLQHLHNNKTIHRDVKGNNILLTTEGGVKLVDFGVSAQLTSTRHRRNTSVGTPFWMAPEVIACEQQLDTTYDARCDTWSLGITAIELGDGDPPLADLHPMRALFKIPRNPPPKLRQPELWSAEFNDFISKCLTKDYEKRPTVSELLQHKFITQIEGKDVMLQKQLTEFIGIHQCMGGTEKARRERIHTKKGNFNRPLISNLKDVDDLATLEILDENTVSEQLEKCYSRDQIYVYVGDILIALNPFQSLGLYSTKHSKLYIGSKRTASPPHIFAMADLGYQSMITYNSDQCIVISGESGAGKTENAHLLVQQLTVLGKANNRTLQEKILQVNNLVEAFGNACTIINDNSSRFGKYLEMKFTSSGAVVGAQISEYLLEKSRVIHQAIGEKNFHIFYYIYAGLAEKKKLAHYKLPENKPPRYLQNDHLRTVQDIMNNSFYKSQYELIEQCFKVIGFTMEQLGSIYSILAAILNVGNIEFSSVATEHQIDKSHISNHTALENCASLLCIRADELQEALTSHCVVTRGETIIRPNTVEKATDVRDAMAKTLYGRLFSWIVNCINSLLKHDSSPSGNGDELSIGILDIFGFENFKKNSFEQLCINIANEQIQYYYNQHVFAWEQNEYLNEDVDARVIEYEDNWPLLDMFLQKPMGLLSLLDEESRFPKATDQTLVEKFEGNLKSQYFWRPKRMELSFGIHHYAGKVLYNASGFLAKNRDTLPTDIVLLLRSSDNSVIRQLVNHPLTKTGNLPHSKTKNVINYQMRTSEKLINLAKGDTGEATRHARETTNMKTQTVASYFRYSLMDLLSKMVVGQPHFVRCIKPNSERQARKYDKEKVLLQLRYTGILETARIRRLGFSHRILFANFIKRYYLLCYKSSEEPRMSPDTCATILEKAGLDNWALGKTKVFLKYYHVEQLNLMRKEAIDKLILIQACVRAFLCSRRYQKIQEKRKESAIIIQSAARGHLVRKQRKEIVDMKNTAVTTIQTSDQEFDYKKNFENTRESFVKKQAENAISANERFISAPNNKGSVSVVKTSTFKPEEETTNAVESNNRVYQTPKKMNNVYEEEVKQEFYLVGPEVSPKQKSVKDLEENSNLRKVEKEEAMIQSYYQRYTEERNCEESKAAYLERKAISERPSYPVPWLAENETSFKKTLEPTLSQRSIYQNANSMEKEKKTSVVTQRAPICSQEEGRGRLRHETVKERQVEPVTQAQEEEDKAAVFIQSKYRGYKRRQQLRKDKMSSFKHQRIVTTPTEVARNTHNLYSYPTKHEEINNIKKKDNKDSKATSEREACGLAIFSKQISKLSEEYFILQKKLNEMILSQQLKSLYLGVSHHKPINRRVSSQQCLSGVCKGEEPKILRPPRRPRKPKTLNNPEDSTYYYLLHKSIQEEKRRPRKDSQGKLLDLEDFYYKEFLPSRSGPKEHSPSLRERRPQQELQNQCIKANERCWAAESPEKEEEREPAANPYDFRRLLRKTSQRRRLVQQS
+>DECOY_sp|Q8NEV4|MYO3A_HUMAN Myosin-IIIa OS=Homo sapiens OX=9606 GN=MYO3A PE=1 SV=2
+SQQVLRRRQSTKRLLRRFDYPNAAPEREEEKEPSEAAWCRENAKICQNQLEQQPRRERLSPSHEKPGSRSPLFEKYYFDELDLLKGQSDKRPRRKEEQISKHLLYYYTSDEPNNLTKPKRPRRPPRLIKPEEGKCVGSLCQQSSVRRNIPKHHSVGLYLSKLQQSLIMENLKKQLIFYEESLKSIQKSFIALGCAERESTAKSDKNDKKKINNIEEHKTPYSYLNHTNRAVETPTTVIRQHKFSSMKDKRLQQRRKYGRYKSQIFVAAKDEEEQAQTVPEVQREKVTEHRLRGRGEEQSCIPARQTVVSTKKEKEMSNANQYISRQSLTPELTKKFSTENEALWPVPYSPRESIAKRELYAAKSEECNREETYRQYYSQIMAEEKEVKRLNSNEELDKVSKQKPSVEPGVLYFEQKVEEEYVNNMKKPTQYVRNNSEVANTTEEEPKFTSTKVVSVSGKNNPASIFRENASIANEAQKKVFSERTNEFNKKYDFEQDSTQITTVATNKMDVIEKRQKRVLHGRAASQIIIASEKRKEQIKQYRRSCLFARVCAQILILKDIAEKRMLNLQEVHYYKLFVKTKGLAWNDLGAKELITACTDPSMRPEESSKYCLLYYRKIFNAFLIRHSFGLRRIRATELIGTYRLQLLVKEKDYKRAQRESNPKICRVFHPQGVVMKSLLDMLSYRFYSAVTQTKMNTTERAHRTAEGTDGKALNILKESTRMQYNIVNKTKSHPLNGTKTLPHNVLQRIVSNDSSRLLLVIDTPLTDRNKALFGSANYLVKGAYHHIGFSLEMRKPRWFYQSKLNGEFKEVLTQDTAKPFRSEEDLLSLLGMPKQLFMDLLPWNDEYEIVRADVDENLYENQEWAFVHQNYYYQIQENAINICLQEFSNKKFNEFGFIDLIGISLEDGNGSPSSDHKLLSNICNVIWSFLRGYLTKAMADRVDTAKEVTNPRIITEGRTVVCHSTLAEQLEDARICLLSACNELATHNSIHSKDIQHETAVSSFEINGVNLIAALISYISGLQEMTFGIVKFCQEILEYQSKYFSNNMIDQVTRLHDNQLYRPPKNEPLKYHALKKKEALGAYIYYFIHFNKEGIAQHIVRSKELLYESIQAGVVAGSSTFKMELYKGFRSSNDNIITCANGFAEVLNNVQLIKEQLTRNNAKGLVTLQQVLLHANETKGAGSEGSIVICQDSNYTIMSQYGLDAMAFIHPPSATRKSGIYLKSHKTSYLGLSQFPNLAILIDGVYVYIQDRSYCKELQESVTNEDLIELTALDDVDKLNSILPRNFNGKKTHIRERRAKETGGMCQHIGIFETLQKQLMVDKGEIQTIFKHQLLESVTPRKEYDKTLCKSIFDNFEASWLEPQRLKPPPNRPIKFLARMPHLDALPPDGDGLEIATIGLSWTDCRADYTTDLQQECAIVEPAMWFPTGVSTNRRHRTSTLQASVGFDVLKVGGETTLLINNGKVDRHITKNNHLHQLGMLAEHLIYAILPESMREGRKLFGKVLDTVSGGSCLELVLWLKDGNVKDKKFYIGYFRVVNPHDSLAKLINYEAEIEEDIDHIPDLIKVAAKQGNKKNLVKFVKGYTGKGITETIEWTDSPDPFNDFIITKGILPFM
+>sp|Q9NZM1|MYOF_HUMAN Myoferlin OS=Homo sapiens OX=9606 GN=MYOF PE=1 SV=1
+MLRVIVESASNIPKTKFGKPDPIVSVIFKDEKKKTKKVDNELNPVWNEILEFDLRGIPLDFSSSLGIIVKDFETIGQNKLIGTATVALKDLTGDQSRSLPYKLISLLNEKGQDTGATIDLVIGYDPPSAPHPNDLSGPSVPGMGGDGEEDEGDEDRLDNAVRGPGPKGPVGTVSEAQLARRLTKVKNSRRMLSNKPQDFQIRVRVIEGRQLSGNNIRPVVKVHVCGQTHRTRIKRGNNPFFDELFFYNVNMTPSELMDEIISIRVYNSHSLRADCLMGEFKIDVGFVYDEPGHAVMRKWLLLNDPEDTSSGSKGYMKVSMFVLGTGDEPPPERRDRDNDSDDVESNLLLPAGIALRWVTFLLKIYRAEDIPQMDDAFSQTVKEIFGGNADKKNLVDPFVEVSFAGKKVCTNIIEKNANPEWNQVVNLQIKFPSVCEKIKLTIYDWDRLTKNDVVGTTYLHLSKIAASGGEVEDFSSSGTGAASYTVNTGETEVGFVPTFGPCYLNLYGSPREYTGFPDPYDELNTGKGEGVAYRGRILVELATFLEKTPPDKKLEPISNDDLLVVEKYQRRRKYSLSAVFHSATMLQDVGEAIQFEVSIGNYGNKFDTTCKPLASTTQYSRAVFDGNYYYYLPWAHTKPVVTLTSYWEDISHRLDAVNTLLAMAERLQTNIEALKSGIQGKIPANQLAELWLKLIDEVIEDTRYTLPLTEGKANVTVLDTQIRKLRSRSLSQIHEAAVRMRSEATDVKSTLAEIEDWLDKLMQLTEEPQNSMPDIIIWMIRGEKRLAYARIPAHQVLYSTSGENASGKYCGKTQTIFLKYPQEKNNGPKVPVELRVNIWLGLSAVEKKFNSFAEGTFTVFAEMYENQALMFGKWGTSGLVGRHKFSDVTGKIKLKREFFLPPKGWEWEGEWIVDPERSLLTEADAGHTEFTDEVYQNESRYPGGDWKPAEDTYTDANGDKAASPSELTCPPGWEWEDDAWSYDINRAVDEKGWEYGITIPPDHKPKSWVAAEKMYHTHRRRRLVRKRKKDLTQTASSTARAMEELQDQEGWEYASLIGWKFHWKQRSSDTFRRRRWRRKMAPSETHGAAAIFKLEGALGADTTEDGDEKSLEKQKHSATTVFGANTPIVSCNFDRVYIYHLRCYVYQARNLLALDKDSFSDPYAHICFLHRSKTTEIIHSTLNPTWDQTIIFDEVEIYGEPQTVLQNPPKVIMELFDNDQVGKDEFLGRSIFSPVVKLNSEMDITPKLLWHPVMNGDKACGDVLVTAELILRGKDGSNLPILPPQRAPNLYMVPQGIRPVVQLTAIEILAWGLRNMKNFQMASITSPSLVVECGGERVESVVIKNLKKTPNFPSSVLFMKVFLPKEELYMPPLVIKVIDHRQFGRKPVVGQCTIERLDRFRCDPYAGKEDIVPQLKASLLSAPPCRDIVIEMEDTKPLLASKLTEKEEEIVDWWSKFYASSGEHEKCGQYIQKGYSKLKIYNCELENVAEFEGLTDFSDTFKLYRGKSDENEDPSVVGEFKGSFRIYPLPDDPSVPAPPRQFRELPDSVPQECTVRIYIVRGLELQPQDNNGLCDPYIKITLGKKVIEDRDHYIPNTLNPVFGRMYELSCYLPQEKDLKISVYDYDTFTRDEKVGETIIDLENRFLSRFGSHCGIPEEYCVSGVNTWRDQLRPTQLLQNVARFKGFPQPILSEDGSRIRYGGRDYSLDEFEANKILHQHLGAPEERLALHILRTQGLVPEHVETRTLHSTFQPNISQGKLQMWVDVFPKSLGPPGPPFNITPRKAKKYYLRVIIWNTKDVILDEKSITGEEMSDIYVKGWIPGNEENKQKTDVHYRSLDGEGNFNWRFVFPFDYLPAEQLCIVAKKEHFWSIDQTEFRIPPRLIIQIWDNDKFSLDDYLGFLELDLRHTIIPAKSPEKCRLDMIPDLKAMNPLKAKTASLFEQKSMKGWWPCYAEKDGARVMAGKVEMTLEILNEKEADERPAGKGRDEPNMNPKLDLPNRPETSFLWFTNPCKTMKFIVWRRFKWVIIGLLFLLILLLFVAVLLYSLPNYLSMKIVKPNV
+>DECOY_sp|Q9NZM1|MYOF_HUMAN Myoferlin OS=Homo sapiens OX=9606 GN=MYOF PE=1 SV=1
+VNPKVIKMSLYNPLSYLLVAVFLLLILLFLLGIIVWKFRRWVIFKMTKCPNTFWLFSTEPRNPLDLKPNMNPEDRGKGAPREDAEKENLIELTMEVKGAMVRAGDKEAYCPWWGKMSKQEFLSATKAKLPNMAKLDPIMDLRCKEPSKAPIITHRLDLELFGLYDDLSFKDNDWIQIILRPPIRFETQDISWFHEKKAVICLQEAPLYDFPFVFRWNFNGEGDLSRYHVDTKQKNEENGPIWGKVYIDSMEEGTISKEDLIVDKTNWIIVRLYYKKAKRPTINFPPGPPGLSKPFVDVWMQLKGQSINPQFTSHLTRTEVHEPVLGQTRLIHLALREEPAGLHQHLIKNAEFEDLSYDRGGYRIRSGDESLIPQPFGKFRAVNQLLQTPRLQDRWTNVGSVCYEEPIGCHSGFRSLFRNELDIITEGVKEDRTFTDYDYVSIKLDKEQPLYCSLEYMRGFVPNLTNPIYHDRDEIVKKGLTIKIYPDCLGNNDQPQLELGRVIYIRVTCEQPVSDPLERFQRPPAPVSPDDPLPYIRFSGKFEGVVSPDENEDSKGRYLKFTDSFDTLGEFEAVNELECNYIKLKSYGKQIYQGCKEHEGSSAYFKSWWDVIEEEKETLKSALLPKTDEMEIVIDRCPPASLLSAKLQPVIDEKGAYPDCRFRDLREITCQGVVPKRGFQRHDIVKIVLPPMYLEEKPLFVKMFLVSSPFNPTKKLNKIVVSEVREGGCEVVLSPSTISAMQFNKMNRLGWALIEIATLQVVPRIGQPVMYLNPARQPPLIPLNSGDKGRLILEATVLVDGCAKDGNMVPHWLLKPTIDMESNLKVVPSFISRGLFEDKGVQDNDFLEMIVKPPNQLVTQPEGYIEVEDFIITQDWTPNLTSHIIETTKSRHLFCIHAYPDSFSDKDLALLNRAQYVYCRLHYIYVRDFNCSVIPTNAGFVTTASHKQKELSKEDGDETTDAGLAGELKFIAAAGHTESPAMKRRWRRRRFTDSSRQKWHFKWGILSAYEWGEQDQLEEMARATSSATQTLDKKRKRVLRRRRHTHYMKEAAVWSKPKHDPPITIGYEWGKEDVARNIDYSWADDEWEWGPPCTLESPSAAKDGNADTYTDEAPKWDGGPYRSENQYVEDTFETHGADAETLLSREPDVIWEGEWEWGKPPLFFERKLKIKGTVDSFKHRGVLGSTGWKGFMLAQNEYMEAFVTFTGEAFSNFKKEVASLGLWINVRLEVPVKPGNNKEQPYKLFITQTKGCYKGSANEGSTSYLVQHAPIRAYALRKEGRIMWIIIDPMSNQPEETLQMLKDLWDEIEALTSKVDTAESRMRVAAEHIQSLSRSRLKRIQTDLVTVNAKGETLPLTYRTDEIVEDILKLWLEALQNAPIKGQIGSKLAEINTQLREAMALLTNVADLRHSIDEWYSTLTVVPKTHAWPLYYYYNGDFVARSYQTTSALPKCTTDFKNGYNGISVEFQIAEGVDQLMTASHFVASLSYKRRRQYKEVVLLDDNSIPELKKDPPTKELFTALEVLIRGRYAVGEGKGTNLEDYPDPFGTYERPSGYLNLYCPGFTPVFGVETEGTNVTYSAAGTGSSSFDEVEGGSAAIKSLHLYTTGVVDNKTLRDWDYITLKIKECVSPFKIQLNVVQNWEPNANKEIINTCVKKGAFSVEVFPDVLNKKDANGGFIEKVTQSFADDMQPIDEARYIKLLFTVWRLAIGAPLLLNSEVDDSDNDRDRREPPPEDGTGLVFMSVKMYGKSGSSTDEPDNLLLWKRMVAHGPEDYVFGVDIKFEGMLCDARLSHSNYVRISIIEDMLESPTMNVNYFFLEDFFPNNGRKIRTRHTQGCVHVKVVPRINNGSLQRGEIVRVRIQFDQPKNSLMRRSNKVKTLRRALQAESVTGVPGKPGPGRVANDLRDEDGEDEEGDGGMGPVSPGSLDNPHPASPPDYGIVLDITAGTDQGKENLLSILKYPLSRSQDGTLDKLAVTATGILKNQGITEFDKVIIGLSSSFDLPIGRLDFELIENWVPNLENDVKKTKKKEDKFIVSVIPDPKGFKTKPINSASEVIVRLM
+>sp|P15173|MYOG_HUMAN Myogenin OS=Homo sapiens OX=9606 GN=MYOG PE=1 SV=2
+MELYETSPYFYQEPRFYDGENYLPVHLQGFEPPGYERTELTLSPEAPGPLEDKGLGTPEHCPGQCLPWACKVCKRKSVSVDRRRAATLREKRRLKKVNEAFEALKRSTLLNPNQRLPKVEILRSAIQYIERLQALLSSLNQEERDLRYRGGGGPQPGVPSECSSHSASCSPEWGSALEFSANPGDHLLTADPTDAHNLHSLTSIVDSITVEDVSVAFPDETMPN
+>DECOY_sp|P15173|MYOG_HUMAN Myogenin OS=Homo sapiens OX=9606 GN=MYOG PE=1 SV=2
+NPMTEDPFAVSVDEVTISDVISTLSHLNHADTPDATLLHDGPNASFELASGWEPSCSASHSSCESPVGPQPGGGGRYRLDREEQNLSSLLAQLREIYQIASRLIEVKPLRQNPNLLTSRKLAEFAENVKKLRRKERLTAARRRDVSVSKRKCVKCAWPLCQGPCHEPTGLGKDELPGPAEPSLTLETREYGPPEFGQLHVPLYNEGDYFRPEQYFYPSTEYLEM
+>sp|Q6NSJ0|MYORG_HUMAN Myogenesis-regulating glycosidase OS=Homo sapiens OX=9606 GN=MYORG PE=1 SV=2
+MLQNPQEKSQAYPRRRRPGCYAYRQNPEAIAAAAMYTFLPDNFSPAKPKPSKDLKPLLGSAVLGLLLVLAAVVAWCYYSVSLRKAERLRAELLDLKAGGFSIRNQKGEQVFRLAFRSGALDLDSCSRDGALLGCSLTADGLPLHFFIQTVRPKDTVMCYRVRWEEAAPGRAVEHAMFLGDAAAHWYGGAEMRTQHWPIRLDGQQEPQPFVTSDVYSSDAAFGGILERYWLSSRAAAIKVNDSVPFHLGWNSTERSLRLQARYHDTPYKPPAGRAAAPELSYRVCVGSDVTSIHKYMVRRYFNKPSRVPAPEAFRDPIWSTWALYGRAVDQDKVLRFAQQIRLHHFNSSHLEIDDMYTPAYGDFDFDEVKFPNASDMFRRLRDAGFRVTLWVHPFVNYNSSRFGEGVERELFVREPTGRLPALVRWWNGIGAVLDFTHPKARDWFQGHLRRLRSRYSVASFKFDAGEVSYLPRDFSTYRPLPDPSVWSRRYTEMALPFFSLAEVRVGYQSQNISCFFRLVDRDSVWGYDLGLRSLIPAVLTVSMLGYPFILPDMVGGNAVPQRTAGGDVPERELYIRWLEVAAFMPAMQFSIPPWRYDAEVVAIAQKFAALRASLVAPLLLELAGEVTDTGDPIVRPLWWIAPGDETAHRIDSQFLIGDTLLVAPVLEPGKQERDVYLPAGKWRSYKGELFDKTPVLLTDYPVDLDEIAYFTWAS
+>DECOY_sp|Q6NSJ0|MYORG_HUMAN Myogenesis-regulating glycosidase OS=Homo sapiens OX=9606 GN=MYORG PE=1 SV=2
+SAWTFYAIEDLDVPYDTLLVPTKDFLEGKYSRWKGAPLYVDREQKGPELVPAVLLTDGILFQSDIRHATEDGPAIWWLPRVIPDGTDTVEGALELLLPAVLSARLAAFKQAIAVVEADYRWPPISFQMAPMFAAVELWRIYLEREPVDGGATRQPVANGGVMDPLIFPYGLMSVTLVAPILSRLGLDYGWVSDRDVLRFFCSINQSQYGVRVEALSFFPLAMETYRRSWVSPDPLPRYTSFDRPLYSVEGADFKFSAVSYRSRLRRLHGQFWDRAKPHTFDLVAGIGNWWRVLAPLRGTPERVFLEREVGEGFRSSNYNVFPHVWLTVRFGADRLRRFMDSANPFKVEDFDFDGYAPTYMDDIELHSSNFHHLRIQQAFRLVKDQDVARGYLAWTSWIPDRFAEPAPVRSPKNFYRRVMYKHISTVDSGVCVRYSLEPAAARGAPPKYPTDHYRAQLRLSRETSNWGLHFPVSDNVKIAAARSSLWYRELIGGFAADSSYVDSTVFPQPEQQGDLRIPWHQTRMEAGGYWHAAADGLFMAHEVARGPAAEEWRVRYCMVTDKPRVTQIFFHLPLGDATLSCGLLAGDRSCSDLDLAGSRFALRFVQEGKQNRISFGGAKLDLLEARLREAKRLSVSYYCWAVVAALVLLLGLVASGLLPKLDKSPKPKAPSFNDPLFTYMAAAAIAEPNQRYAYCGPRRRRPYAQSKEQPNQLM
+>sp|Q14324|MYPC2_HUMAN Myosin-binding protein C, fast-type OS=Homo sapiens OX=9606 GN=MYBPC2 PE=1 SV=2
+MPEAKPAAKKAPKGKDAPKGAPKEAPPKEAPAEAPKEAPPEDQSPTAEEPTGVFLKKPDSVSVETGKDAVVVAKVNGKELPDKPTIKWFKGKWLELGSKSGARFSFKESHNSASNVYTVELHIGKVVLGDRGYYRLEVKAKDTCDSCGFNIDVEAPRQDASGQSLESFKRTSEKKSDTAGELDFSGLLKKREVVEEEKKKKKKDDDDLGIPPEIWELLKGAKKSEYEKIAFQYGITDLRGMLKRLKKAKVEVKKSAAFTKKLDPAYQVDRGNKIKLMVEISDPDLTLKWFKNGQEIKPSSKYVFENVGKKRILTINKCTLADDAAYEVAVKDEKCFTELFVKEPPVLIVTPLEDQQVFVGDRVEMAVEVSEEGAQVMWMKDGVELTREDSFKARYRFKKDGKRHILIFSDVVQEDRGRYQVITNGGQCEAELIVEEKQLEVLQDIADLTVKASEQAVFKCEVSDEKVTGKWYKNGVEVRPSKRITISHVGRFHKLVIDDVRPEDEGDYTFVPDGYALSLSAKLNFLEIKVEYVPKQEPPKIHLDCSGKTSENAIVVVAGNKLRLDVSITGEPPPVATWLKGDEVFTTTEGRTRIEKRVDCSSFVIESAQREDEGRYTIKVTNPVGEDVASIFLQVVDVPDPPEAVRITSVGEDWAILVWEPPMYDGGKPVTGYLVERKKKGSQRWMKLNFEVFTETTYESTKMIEGILYEMRVFAVNAIGVSQPSMNTKPFMPIAPTSEPLHLIVEDVTDTTTTLKWRPPNRIGAGGIDGYLVEYCLEGSEEWVPANTEPVERCGFTVKNLPTGARILFRVVGVNIAGRSEPATLAQPVTIREIAEPPKIRLPRHLRQTYIRKVGEQLNLVVPFQGKPRPQVVWTKGGAPLDTSRVHVRTSDFDTVFFVRQAARSDSGEYELSVQIENMKDTATIRIRVVEKAGPPINVMVKEVWGTNALVEWQAPKDDGNSEIMGYFVQKADKKTMEWFNVYERNRHTSCTVSDLIVGNEYYFRVYTENICGLSDSPGVSKNTARILKTGITFKPFEYKEHDFRMAPKFLTPLIDRVVVAGYSAALNCAVRGHPKPKVVWMKNKMEIREDPKFLITNYQGVLTLNIRRPSPFDAGTYTCRAVNELGEALAECKLEVRVPQ
+>DECOY_sp|Q14324|MYPC2_HUMAN Myosin-binding protein C, fast-type OS=Homo sapiens OX=9606 GN=MYBPC2 PE=1 SV=2
+QPVRVELKCEALAEGLENVARCTYTGADFPSPRRINLTLVGQYNTILFKPDERIEMKNKMWVVKPKPHGRVACNLAASYGAVVVRDILPTLFKPAMRFDHEKYEFPKFTIGTKLIRATNKSVGPSDSLGCINETYVRFYYENGVILDSVTCSTHRNREYVNFWEMTKKDAKQVFYGMIESNGDDKPAQWEVLANTGWVEKVMVNIPPGAKEVVRIRITATDKMNEIQVSLEYEGSDSRAAQRVFFVTDFDSTRVHVRSTDLPAGGKTWVVQPRPKGQFPVVLNLQEGVKRIYTQRLHRPLRIKPPEAIERITVPQALTAPESRGAINVGVVRFLIRAGTPLNKVTFGCREVPETNAPVWEESGELCYEVLYGDIGGAGIRNPPRWKLTTTTDTVDEVILHLPESTPAIPMFPKTNMSPQSVGIANVAFVRMEYLIGEIMKTSEYTTETFVEFNLKMWRQSGKKKREVLYGTVPKGGDYMPPEWVLIAWDEGVSTIRVAEPPDPVDVVQLFISAVDEGVPNTVKITYRGEDERQASEIVFSSCDVRKEIRTRGETTTFVEDGKLWTAVPPPEGTISVDLRLKNGAVVVIANESTKGSCDLHIKPPEQKPVYEVKIELFNLKASLSLAYGDPVFTYDGEDEPRVDDIVLKHFRGVHSITIRKSPRVEVGNKYWKGTVKEDSVECKFVAQESAKVTLDAIDQLVELQKEEVILEAECQGGNTIVQYRGRDEQVVDSFILIHRKGDKKFRYRAKFSDERTLEVGDKMWMVQAGEESVEVAMEVRDGVFVQQDELPTVILVPPEKVFLETFCKEDKVAVEYAADDALTCKNITLIRKKGVNEFVYKSSPKIEQGNKFWKLTLDPDSIEVMLKIKNGRDVQYAPDLKKTFAASKKVEVKAKKLRKLMGRLDTIGYQFAIKEYESKKAGKLLEWIEPPIGLDDDDKKKKKKEEEVVERKKLLGSFDLEGATDSKKESTRKFSELSQGSADQRPAEVDINFGCSDCTDKAKVELRYYGRDGLVVKGIHLEVTYVNSASNHSEKFSFRAGSKSGLELWKGKFWKITPKDPLEKGNVKAVVVADKGTEVSVSDPKKLFVGTPEEATPSQDEPPAEKPAEAPAEKPPAEKPAGKPADKGKPAKKAAPKAEPM
+>sp|Q86TC9|MYPN_HUMAN Myopalladin OS=Homo sapiens OX=9606 GN=MYPN PE=1 SV=2
+MQDDSIEASTSISQLLRESYLAETRHRGNNERSRAEPSSNPCHFGSPSGAAEGGGGQDDLPDLSAFLSQEELDESVNLARLAINYDPLEKADETQARKRLSPDQMKHSPNLSFEPNFCQDNPRSPTSSKESPQEAKRPQYCSETQSKKVFLNKAADFIEELSSLFKSHSSKRIRPRACKNHKSKLESQNKVMQENSSSFSDLSERRERSSVPIPIPADTRDNEVNHALEQQEAKRREAEQAASEAAGGDTTPGSSPSSLYYEEPLGQPPRFTQKLRSREVPEGTRVQLDCIVVGIPPPQVRWYCEGKELENSPDIHIVQAGNLHSLTIAEAFEEDTGRYSCFASNIYGTDSTSAEIYIEGVSSSDSEGDPNKEEMNRIQKPNEVSSPPTTSAVIPPAVPQAQHLVAQPRVATIQQCQSPTNYLQGLDGKPIIAAPVFTKMLQNLSASEGQLVVFECRVKGAPSPKVEWYREGTLIEDSPDFRILQKKPRSMAEPEEICTLVIAEVFAEDSGCFTCTASNKYGTVSSIAQLHVRGNEDLSNNGSLHSANSTTNLAAIEPQPSPPHSEPPSVEQPPKPKLEGVLVNHNEPRSSSRIGLRVHFNLPEDDKGSEASSEAGVVTTRQTRPDSFQERFNGQATKTPEPSSPVKEPPPVLAKPKLDSTQLQQLHNQVLLEQHQLQNPPPSSPKEFPFSMTVLNSNAPPAVTTSSKQVKAPSSQTFSLARPKYFFPSTNTTAATVAPSSSPVFTLSSTPQTIQRTVSKESLLVSHPSVQTKSPGGLSIQNEPLPPGPTEPTPPPFTFSIPSGNQFQPRCVSPIPVSPTSRIQNPVAFLSSVLPSLPAIPPTNAMGLPRSAPSMPSQGLAKKNTKSPQPVNDDNIRETKNAVIRDLGKKITFSDVRPNQQEYKISSFEQRLMNEIEFRLERTPVDESDDEIQHDEIPTGKCIAPIFDKRLKHFRVTEGSPVTFTCKIVGIPVPKVYWFKDGKQISKRNEHCKMRREGDGTCSLHIESTTSDDDGNYTIMAANPQGRISCSGHLMVQSLPIRSRLTSAGQSHRGRSRVQERDKEPLQERFFRPHFLQAPGDMVAHEGRLCRLDCKVSGLPPPELTWLLNGQPVLPDASHKMLVRETGVHSLLIDPLTQRDAGTYKCIATNKTGQNSFSLELSVVAKEVKKAPVILEKLQNCGVPEGHPVRLECRVIGMPPPVFYWKKDNETIPCTRERISMHQDTTGYACLLIQPAKKSDAGWYTLSAKNEAGIVSCTARLDIYAQWHHQIPPPMSVRPSGSRYGSLTSKGLDIFSAFSSMESTMVYSCSSRSVVESDEL
+>DECOY_sp|Q86TC9|MYPN_HUMAN Myopalladin OS=Homo sapiens OX=9606 GN=MYPN PE=1 SV=2
+LEDSEVVSRSSCSYVMTSEMSSFASFIDLGKSTLSGYRSGSPRVSMPPPIQHHWQAYIDLRATCSVIGAENKASLTYWGADSKKAPQILLCAYGTTDQHMSIRERTCPITENDKKWYFVPPPMGIVRCELRVPHGEPVGCNQLKELIVPAKKVEKAVVSLELSFSNQGTKNTAICKYTGADRQTLPDILLSHVGTERVLMKHSADPLVPQGNLLWTLEPPPLGSVKCDLRCLRGEHAVMDGPAQLFHPRFFREQLPEKDREQVRSRGRHSQGASTLRSRIPLSQVMLHGSCSIRGQPNAAMITYNGDDDSTTSEIHLSCTGDGERRMKCHENRKSIQKGDKFWYVKPVPIGVIKCTFTVPSGETVRFHKLRKDFIPAICKGTPIEDHQIEDDSEDVPTRELRFEIENMLRQEFSSIKYEQQNPRVDSFTIKKGLDRIVANKTERINDDNVPQPSKTNKKALGQSPMSPASRPLGMANTPPIAPLSPLVSSLFAVPNQIRSTPSVPIPSVCRPQFQNGSPISFTFPPPTPETPGPPLPENQISLGGPSKTQVSPHSVLLSEKSVTRQITQPTSSLTFVPSSSPAVTAATTNTSPFFYKPRALSFTQSSPAKVQKSSTTVAPPANSNLVTMSFPFEKPSSPPPNQLQHQELLVQNHLQQLQTSDLKPKALVPPPEKVPSSPEPTKTAQGNFREQFSDPRTQRTTVVGAESSAESGKDDEPLNFHVRLGIRSSSRPENHNVLVGELKPKPPQEVSPPESHPPSPQPEIAALNTTSNASHLSGNNSLDENGRVHLQAISSVTGYKNSATCTFCGSDEAFVEAIVLTCIEEPEAMSRPKKQLIRFDPSDEILTGERYWEVKPSPAGKVRCEFVVLQGESASLNQLMKTFVPAAIIPKGDLGQLYNTPSQCQQITAVRPQAVLHQAQPVAPPIVASTTPPSSVENPKQIRNMEEKNPDGESDSSSVGEIYIEASTSDTGYINSAFCSYRGTDEEFAEAITLSHLNGAQVIHIDPSNELEKGECYWRVQPPPIGVVICDLQVRTGEPVERSRLKQTFRPPQGLPEEYYLSSPSSGPTTDGGAAESAAQEAERRKAEQQELAHNVENDRTDAPIPIPVSSRERRESLDSFSSSNEQMVKNQSELKSKHNKCARPRIRKSSHSKFLSSLEEIFDAAKNLFVKKSQTESCYQPRKAEQPSEKSSTPSRPNDQCFNPEFSLNPSHKMQDPSLRKRAQTEDAKELPDYNIALRALNVSEDLEEQSLFASLDPLDDQGGGGEAAGSPSGFHCPNSSPEARSRENNGRHRTEALYSERLLQSISTSAEISDDQM
+>sp|P60201|MYPR_HUMAN Myelin proteolipid protein OS=Homo sapiens OX=9606 GN=PLP1 PE=1 SV=2
+MGLLECCARCLVGAPFASLVATGLCFFGVALFCGCGHEALTGTEKLIETYFSKNYQDYEYLINVIHAFQYVIYGTASFFFLYGALLLAEGFYTTGAVRQIFGDYKTTICGKGLSATVTGGQKGRGSRGQHQAHSLERVCHCLGKWLGHPDKFVGITYALTVVWLLVFACSAVPVYIYFNTWTTCQSIAFPSKTSASIGSLCADARMYGVLPWNAFPGKVCGSNLLSICKTAEFQMTFHLFIAAFVGAAATLVSLLTFMIAATYNFAVLKLMGRGTKF
+>DECOY_sp|P60201|MYPR_HUMAN Myelin proteolipid protein OS=Homo sapiens OX=9606 GN=PLP1 PE=1 SV=2
+FKTGRGMLKLVAFNYTAAIMFTLLSVLTAAAGVFAAIFLHFTMQFEATKCISLLNSGCVKGPFANWPLVGYMRADACLSGISASTKSPFAISQCTTWTNFYIYVPVASCAFVLLWVVTLAYTIGVFKDPHGLWKGLCHCVRELSHAQHQGRSGRGKQGGTVTASLGKGCITTKYDGFIQRVAGTTYFGEALLLAGYLFFFSATGYIVYQFAHIVNILYEYDQYNKSFYTEILKETGTLAEHGCGCFLAVGFFCLGTAVLSAFPAGVLCRACCELLGM
+>sp|Q8WU39|MZB1_HUMAN Marginal zone B- and B1-cell-specific protein OS=Homo sapiens OX=9606 GN=MZB1 PE=1 SV=1
+MRLSLPLLLLLLGAWAIPGGLGDRAPLTATAPQLDDEEMYSAHMPAHLRCDACRAVAYQMWQNLAKAETKLHTSNSGGRRELSELVYTDVLDRSCSRNWQDYGVREVDQVKRLTGPGLSEGPEPSISVMVTGGPWPTRLSRTCLHYLGEFGEDQIYEAHQQGRGALEALLCGGPQGACSEKVSATREEL
+>DECOY_sp|Q8WU39|MZB1_HUMAN Marginal zone B- and B1-cell-specific protein OS=Homo sapiens OX=9606 GN=MZB1 PE=1 SV=1
+LEERTASVKESCAGQPGGCLLAELAGRGQQHAEYIQDEGFEGLYHLCTRSLRTPWPGGTVMVSISPEPGESLGPGTLRKVQDVERVGYDQWNRSCSRDLVDTYVLESLERRGGSNSTHLKTEAKALNQWMQYAVARCADCRLHAPMHASYMEEDDLQPATATLPARDGLGGPIAWAGLLLLLLPLSLRM
+>sp|Q6NZ67|MZT2B_HUMAN Mitotic-spindle organizing protein 2B OS=Homo sapiens OX=9606 GN=MZT2B PE=1 SV=1
+MAAQGVGPGPGSAAPPGLEAARQKLALRRKKVLSTEEMELYELAQAAGGAIDPDVFKILVDLLKLNVAPLAVFQMLKSMCAGQRLASEPQDPAAVSLPTSSVPETRGRNKGSAALGGALALAERSSREGSSQRMPRQPSATRLPKGGGPGKSPTRGST
+>DECOY_sp|Q6NZ67|MZT2B_HUMAN Mitotic-spindle organizing protein 2B OS=Homo sapiens OX=9606 GN=MZT2B PE=1 SV=1
+TSGRTPSKGPGGGKPLRTASPQRPMRQSSGERSSREALALAGGLAASGKNRGRTEPVSSTPLSVAAPDQPESALRQGACMSKLMQFVALPAVNLKLLDVLIKFVDPDIAGGAAQALEYLEMEETSLVKKRRLALKQRAAELGPPAASGPGPGVGQAAM
+>sp|O15049|N4BP3_HUMAN NEDD4-binding protein 3 OS=Homo sapiens OX=9606 GN=N4BP3 PE=1 SV=3
+MATAPGPAGIAMGSVGSLLERQDFSPEELRAALAGSRGSRQPDGLLRKGLGQREFLSYLHLPKKDSKSTKNTKRAPRNEPADYATLYYREHSRAGDFSKTSLPERGRFDKCRIRPSVFKPTAGNGKGFLSMQSLASHKGQKLWRSNGSLHTLACHPPLSPGPRASQARAQLLHALSLDEGGPEPEPSLSDSSSGGSFGRSPGTGPSPFSSSLGHLNHLGGSLDRASQGPKEAGPPAVLSCLPEPPPPYEFSCSSAEEMGAVLPETCEELKRGLGDEDGSNPFTQVLEERQRLWLAELKRLYVERLHEVTQKAERSERNLQLQLFMAQQEQRRLRKELRAQQGLAPEPRAPGTLPEADPSARPEEEARWEVCQKTAEISLLKQQLREAQAELAQKLAEIFSLKTQLRGSRAQAQAQDAELVRLREAVRSLQEQAPREEAPGSCETDDCKSRGLLGEAGGSEARDSAEQLRAELLQERLRGQEQALRFEQERRTWQEEKERVLRYQREIQGGYMDMYRRNQALEQELRALREPPTPWSPRLESSKI
+>DECOY_sp|O15049|N4BP3_HUMAN NEDD4-binding protein 3 OS=Homo sapiens OX=9606 GN=N4BP3 PE=1 SV=3
+IKSSELRPSWPTPPERLARLEQELAQNRRYMDMYGGQIERQYRLVREKEEQWTRREQEFRLAQEQGRLREQLLEARLQEASDRAESGGAEGLLGRSKCDDTECSGPAEERPAQEQLSRVAERLRVLEADQAQAQARSGRLQTKLSFIEALKQALEAQAERLQQKLLSIEATKQCVEWRAEEEPRASPDAEPLTGPARPEPALGQQARLEKRLRRQEQQAMFLQLQLNRESREAKQTVEHLREVYLRKLEALWLRQREELVQTFPNSGDEDGLGRKLEECTEPLVAGMEEASSCSFEYPPPPEPLCSLVAPPGAEKPGQSARDLSGGLHNLHGLSSSFPSPGTGPSRGFSGGSSSDSLSPEPEPGGEDLSLAHLLQARAQSARPGPSLPPHCALTHLSGNSRWLKQGKHSALSQMSLFGKGNGATPKFVSPRIRCKDFRGREPLSTKSFDGARSHERYYLTAYDAPENRPARKTNKTSKSDKKPLHLYSLFERQGLGKRLLGDPQRSGRSGALAARLEEPSFDQRELLSGVSGMAIGAPGPATAM
+>sp|Q9BSU3|NAA11_HUMAN N-alpha-acetyltransferase 11 OS=Homo sapiens OX=9606 GN=NAA11 PE=1 SV=3
+MNIRNAQPDDLMNMQHCNLLCLPENYQMKYYLYHGLSWPQLSYIAEDEDGKIVGYVLAKMEEEPDDVPHGHITSLAVKRSHRRLGLAQKLMDQASRAMIENFNAKYVSLHVRKSNRPALHLYSNTLNFQISEVEPKYYADGEDAYAMKRDLSQMADELRRQMDLKKGGYVVLGSRENQETQGSTLSDSEEACQQKNPATEESGSDSKEPKESVESTNVQDSSESSDSTS
+>DECOY_sp|Q9BSU3|NAA11_HUMAN N-alpha-acetyltransferase 11 OS=Homo sapiens OX=9606 GN=NAA11 PE=1 SV=3
+STSDSSESSDQVNTSEVSEKPEKSDSGSEETAPNKQQCAEESDSLTSGQTEQNERSGLVVYGGKKLDMQRRLEDAMQSLDRKMAYADEGDAYYKPEVESIQFNLTNSYLHLAPRNSKRVHLSVYKANFNEIMARSAQDMLKQALGLRRHSRKVALSTIHGHPVDDPEEEMKALVYGVIKGDEDEAIYSLQPWSLGHYLYYKMQYNEPLCLLNCHQMNMLDDPQANRINM
+>sp|Q14CX7|NAA25_HUMAN N-alpha-acetyltransferase 25, NatB auxiliary subunit OS=Homo sapiens OX=9606 GN=NAA25 PE=1 SV=1
+MATRGHVQDPNDRRLRPIYDYLDNGNNKMAIQQADKLLKKHKDLHCAKVLKAIGLQRTGKQEEAFTLAQEVAALEPTDDNSLQALTILYREMHRPELVTKLYEAAVKKVPNSEEYHSHLFMAYARVGEYKKMQQAGMALYKIVPKNPYYFWSVMSLIMQSISAQDENLSKTMFLPLAERMVEKMVKEDKIEAEAEVELYYMILERLGKYQEALDVIRGKLGEKLTSEIQSRENKCMAMYKKLSRWPECNALSRRLLLKNSDDWQFYLTYFDSVFRLIEEAWSPPAEGEHSLEGEVHYSAEKAVKFIEDRITEESKSSRHLRGPHLAKLELIRRLRSQGCNDEYKLGDPEELMFQYFKKFGDKPCCFTDLKVFVDLLPATQCTKFINQLLGVVPLSTPTEDKLALPADIRALQQHLCVVQLTRLLGLYHTMDKNQKLSVVRELMLRYQHGLEFGKTCLKTELQFSDYYCLLAVHALIDVWRETGDETTVWQALTLLEEGLTHSPSNAQFKLLLVRIYCMLGAFEPVVDLYSSLDAKHIQHDTIGYLLTRYAESLGQYAAASQSCNFALRFFHSNQKDTSEYIIQAYKYGAFEKIPEFIAFRNRLNNSLHFAQVRTERMLLDLLLEANISTSLAESIKSMNLRPEEDDIPWEDLRDNRDLNVFFSWDPKDRDVSEEHKKLSLEEETLWLRIRSLTLRLISGLPSLNHPVEPKNSEKTAENGVSSRIDILRLLLQQLEATLETGKRFIEKDIQYPFLGPVPTRMGGFFNSGCSQCQISSFYLVNDIYELDTSGLEDTMEIQERIENSFKSLLDQLKDVFSKCKGDLLEVKDGNLKTHPTLLENLVFFVETISVILWVSSYCESVLRPYKLNLQKKKKKKKETSIIMPPVFTSFQDYVTGLQTLISNVVDHIKGLETHLIALKLEELILEDTSLSPEERKFSKTVQGKVQSSYLHSLLEMGELLKKRLETTKKLKI
+>DECOY_sp|Q14CX7|NAA25_HUMAN N-alpha-acetyltransferase 25, NatB auxiliary subunit OS=Homo sapiens OX=9606 GN=NAA25 PE=1 SV=1
+IKLKKTTELRKKLLEGMELLSHLYSSQVKGQVTKSFKREEPSLSTDELILEELKLAILHTELGKIHDVVNSILTQLGTVYDQFSTFVPPMIISTEKKKKKKKQLNLKYPRLVSECYSSVWLIVSITEVFFVLNELLTPHTKLNGDKVELLDGKCKSFVDKLQDLLSKFSNEIREQIEMTDELGSTDLEYIDNVLYFSSIQCQSCGSNFFGGMRTPVPGLFPYQIDKEIFRKGTELTAELQQLLLRLIDIRSSVGNEATKESNKPEVPHNLSPLGSILRLTLSRIRLWLTEEELSLKKHEESVDRDKPDWSFFVNLDRNDRLDEWPIDDEEPRLNMSKISEALSTSINAELLLDLLMRETRVQAFHLSNNLRNRFAIFEPIKEFAGYKYAQIIYESTDKQNSHFFRLAFNCSQSAAAYQGLSEAYRTLLYGITDHQIHKADLSSYLDVVPEFAGLMCYIRVLLLKFQANSPSHTLGEELLTLAQWVTTEDGTERWVDILAHVALLCYYDSFQLETKLCTKGFELGHQYRLMLERVVSLKQNKDMTHYLGLLRTLQVVCLHQQLARIDAPLALKDETPTSLPVVGLLQNIFKTCQTAPLLDVFVKLDTFCCPKDGFKKFYQFMLEEPDGLKYEDNCGQSRLRRILELKALHPGRLHRSSKSEETIRDEIFKVAKEASYHVEGELSHEGEAPPSWAEEILRFVSDFYTLYFQWDDSNKLLLRRSLANCEPWRSLKKYMAMCKNERSQIESTLKEGLKGRIVDLAEQYKGLRELIMYYLEVEAEAEIKDEKVMKEVMREALPLFMTKSLNEDQASISQMILSMVSWFYYPNKPVIKYLAMGAQQMKKYEGVRAYAMFLHSHYEESNPVKKVAAEYLKTVLEPRHMERYLITLAQLSNDDTPELAAVEQALTFAEEQKGTRQLGIAKLVKACHLDKHKKLLKDAQQIAMKNNGNDLYDYIPRLRRDNPDQVHGRTAM
+>sp|Q9H7X0|NAA60_HUMAN N-alpha-acetyltransferase 60 OS=Homo sapiens OX=9606 GN=NAA60 PE=1 SV=1
+MTEVVPSSALSEVSLRLLCHDDIDTVKHLCGDWFPIEYPDSWYRDITSNKKFFSLAATYRGAIVGMIVAEIKNRTKIHKEDGDILASNFSVDTQVAYILSLGVVKEFRKHGIGSLLLESLKDHISTTAQDHCKAIYLHVLTTNNTAINFYENRDFKQHHYLPYYYSIRGVLKDGFTYVLYINGGHPPWTILDYIQHLGSALASLSPCSIPHRVYRQAHSLLCSFLPWSGISSKSGIEYSRTM
+>DECOY_sp|Q9H7X0|NAA60_HUMAN N-alpha-acetyltransferase 60 OS=Homo sapiens OX=9606 GN=NAA60 PE=1 SV=1
+MTRSYEIGSKSSIGSWPLFSCLLSHAQRYVRHPISCPSLSALASGLHQIYDLITWPPHGGNIYLVYTFGDKLVGRISYYYPLYHHQKFDRNEYFNIATNNTTLVHLYIAKCHDQATTSIHDKLSELLLSGIGHKRFEKVVGLSLIYAVQTDVSFNSALIDGDEKHIKTRNKIEAVIMGVIAGRYTAALSFFKKNSTIDRYWSDPYEIPFWDGCLHKVTDIDDHCLLRLSVESLASSPVVETM
+>sp|Q13506|NAB1_HUMAN NGFI-A-binding protein 1 OS=Homo sapiens OX=9606 GN=NAB1 PE=1 SV=2
+MAAALPRTLGELQLYRILQKANLLSYFDAFIQQGGDDVQQLCEAGEEEFLEIMALVGMASKPLHVRRLQKALRDWVTNPGLFNQPLTSLPVSSIPIYKLPEGSPTWLGISCSSYERSSNAREPHLKIPKCAATTCVQSLGQGKSDVVGSLALQSVGESRLWQGHHATESEHSLSPADLGSPASPKESSEALDAAAALSVAECVERMAPTLPKSDLNEVKELLKTNKKLAKMIGHIFEMNDDDPHKEEEIRKYSAIYGRFDSKRKDGKHLTLHELTVNEAAAQLCVKDNALLTRRDELFALARQISREVTYKYTYRTTKSKCGERDELSPKRIKVEDGFPDFQDSVQTLFQQARAKSEELAALSSQQPEKVMAKQMEFLCNQAGYERLQHAERRLSAGLYRQSSEEHSPNGLTSDNSDGQGERPLNLRMPNLQNRQPHHFVVDGELSRLYPSEAKSHSSESLGILKDYPHSAFTLEKKVIKTEPEDSR
+>DECOY_sp|Q13506|NAB1_HUMAN NGFI-A-binding protein 1 OS=Homo sapiens OX=9606 GN=NAB1 PE=1 SV=2
+RSDEPETKIVKKELTFASHPYDKLIGLSESSHSKAESPYLRSLEGDVVFHHPQRNQLNPMRLNLPREGQGDSNDSTLGNPSHEESSQRYLGASLRREAHQLREYGAQNCLFEMQKAMVKEPQQSSLAALEESKARAQQFLTQVSDQFDPFGDEVKIRKPSLEDREGCKSKTTRYTYKYTVERSIQRALAFLEDRRTLLANDKVCLQAAAENVTLEHLTLHKGDKRKSDFRGYIASYKRIEEEKHPDDDNMEFIHGIMKALKKNTKLLEKVENLDSKPLTPAMREVCEAVSLAAAADLAESSEKPSAPSGLDAPSLSHESETAHHGQWLRSEGVSQLALSGVVDSKGQGLSQVCTTAACKPIKLHPERANSSREYSSCSIGLWTPSGEPLKYIPISSVPLSTLPQNFLGPNTVWDRLAKQLRRVHLPKSAMGVLAMIELFEEEGAECLQQVDDGGQQIFADFYSLLNAKQLIRYLQLEGLTRPLAAAM
+>sp|Q96BF6|NACC2_HUMAN Nucleus accumbens-associated protein 2 OS=Homo sapiens OX=9606 GN=NACC2 PE=1 SV=1
+MSQMLHIEIPNFGNTVLGCLNEQRLLGLYCDVSIVVKGQAFKAHRAVLAASSLYFRDLFSGNSKSAFELPGSVPPACFQQILSFCYTGRLTMTASEQLVVMYTAGFLQIQHIVERGTDLMFKVSSPHCDSQTAVIEDAGSEPQSPCNQLQPAAAAAAPYVVSPSVPIPLLTRVKHEAMELPPAGPGLAPKRPLETGPRDGVAVAAGAAVAAGTAPLKLPRVSYYGVPSLATLIPGIQQMPYPQGERTSPGASSLPTTDSPTSYHNEEDEEDDEAYDTMVEEQYGQMYIKASGSYAVQEKPEPVPLESRSCVLIRRDLVALPASLISQIGYRCHPKLYSEGDPGEKLELVAGSGVYITRGQLMNCHLCAGVKHKVLLRRLLATFFDRNTLANSCGTGIRSSTSDPSRKPLDSRVLNAVKLYCQNFAPSFKESEMNVIAADMCTNARRVRKRWLPKIKSMLPEGVEMYRTVMGSAAASVPLDPEFPPAAAQVFEQRIYAERRGDAATIVALRTDAVNVDLSAAANPAFDAGEEVDGAGSVIQEVAAPEPLPADGQSPPQPFEQGGGGPSRPQTPAAAARRPEGTYAGTL
+>DECOY_sp|Q96BF6|NACC2_HUMAN Nucleus accumbens-associated protein 2 OS=Homo sapiens OX=9606 GN=NACC2 PE=1 SV=1
+LTGAYTGEPRRAAAAPTQPRSPGGGGQEFPQPPSQGDAPLPEPAAVEQIVSGAGDVEEGADFAPNAAASLDVNVADTRLAVITAADGRREAYIRQEFVQAAAPPFEPDLPVSAAASGMVTRYMEVGEPLMSKIKPLWRKRVRRANTCMDAAIVNMESEKFSPAFNQCYLKVANLVRSDLPKRSPDSTSSRIGTGCSNALTNRDFFTALLRRLLVKHKVGACLHCNMLQGRTIYVGSGAVLELKEGPDGESYLKPHCRYGIQSILSAPLAVLDRRILVCSRSELPVPEPKEQVAYSGSAKIYMQGYQEEVMTDYAEDDEEDEENHYSTPSDTTPLSSAGPSTREGQPYPMQQIGPILTALSPVGYYSVRPLKLPATGAAVAAGAAVAVGDRPGTELPRKPALGPGAPPLEMAEHKVRTLLPIPVSPSVVYPAAAAAAPQLQNCPSQPESGADEIVATQSDCHPSSVKFMLDTGREVIHQIQLFGATYMVVLQESATMTLRGTYCFSLIQQFCAPPVSGPLEFASKSNGSFLDRFYLSSAALVARHAKFAQGKVVISVDCYLGLLRQENLCGLVTNGFNPIEIHLMQSM
+>sp|Q9BZK3|NACP1_HUMAN Putative nascent polypeptide-associated complex subunit alpha-like protein OS=Homo sapiens OX=9606 GN=NACAP1 PE=5 SV=1
+MPGEATETVPAIEQQLLQPQAETGSGTESDSDESVPELEEQDSTQVTAQVQLVVAAEIDEEPVSKAKQRRSEKKARKARFKLGLQQVTGVTRVTIRKSKNILFVITKPDVYKSPASDTYMVFGEAKIEDLSQEAQLAAAEKFKVQGEAVSNIQENTQTPTVQEGSEDEEVDETGVEIKDIELVLSQANVWGAKAVRALKNSNDIVNAIMELTM
+>DECOY_sp|Q9BZK3|NACP1_HUMAN Putative nascent polypeptide-associated complex subunit alpha-like protein OS=Homo sapiens OX=9606 GN=NACAP1 PE=5 SV=1
+MTLEMIANVIDNSNKLARVAKAGWVNAQSLVLEIDKIEVGTEDVEEDESGEQVTPTQTNEQINSVAEGQVKFKEAAALQAEQSLDEIKAEGFVMYTDSAPSKYVDPKTIVFLINKSKRITVRTVGTVQQLGLKFRAKRAKKESRRQKAKSVPEEDIEAAVVLQVQATVQTSDQEELEPVSEDSDSETGSGTEAQPQLLQQEIAPVTETAEGPM
+>sp|O95544|NADK_HUMAN NAD kinase OS=Homo sapiens OX=9606 GN=NADK PE=1 SV=1
+MEMEQEKMTMNKELSPDAAAYCCSACHGDETWSYNHPIRGRAKSRSLSASPALGSTKEFRRTRSLHGPCPVTTFGPKACVLQNPQTIMHIQDPASQRLTWNKSPKSVLVIKKMRDASLLQPFKELCTHLMEENMIVYVEKKVLEDPAIASDESFGAVKKKFCTFREDYDDISNQIDFIICLGGDGTLLYASSLFQGSVPPVMAFHLGSLGFLTPFSFENFQSQVTQVIEGNAAVVLRSRLKVRVVKELRGKKTAVHNGLGENGSQAAGLDMDVGKQAMQYQVLNEVVIDRGPSSYLSNVDVYLDGHLITTVQGDGVIVSTPTGSTAYAAAAGASMIHPNVPAIMITPICPHSLSFRPIVVPAGVELKIMLSPEARNTAWVSFDGRKRQEIRHGDSISITTSCYPLPSICVRDPVSDWFESLAQCLHWNVRKKQAHFEEEEEEEEEG
+>DECOY_sp|O95544|NADK_HUMAN NAD kinase OS=Homo sapiens OX=9606 GN=NADK PE=1 SV=1
+GEEEEEEEEEFHAQKKRVNWHLCQALSEFWDSVPDRVCISPLPYCSTTISISDGHRIEQRKRGDFSVWATNRAEPSLMIKLEVGAPVVIPRFSLSHPCIPTIMIAPVNPHIMSAGAAAAYATSGTPTSVIVGDGQVTTILHGDLYVDVNSLYSSPGRDIVVENLVQYQMAQKGVDMDLGAAQSGNEGLGNHVATKKGRLEKVVRVKLRSRLVVAANGEIVQTVQSQFNEFSFPTLFGLSGLHFAMVPPVSGQFLSSAYLLTGDGGLCIIFDIQNSIDDYDERFTCFKKKVAGFSEDSAIAPDELVKKEVYVIMNEEMLHTCLEKFPQLLSADRMKKIVLVSKPSKNWTLRQSAPDQIHMITQPNQLVCAKPGFTTVPCPGHLSRTRRFEKTSGLAPSASLSRSKARGRIPHNYSWTEDGHCASCCYAAADPSLEKNMTMKEQEMEM
+>sp|Q9UJ70|NAGK_HUMAN N-acetyl-D-glucosamine kinase OS=Homo sapiens OX=9606 GN=NAGK PE=1 SV=4
+MAAIYGGVEGGGTRSEVLLVSEDGKILAEADGLSTNHWLIGTDKCVERINEMVNRAKRKAGVDPLVPLRSLGLSLSGGDQEDAGRILIEELRDRFPYLSESYLITTDAAGSIATATPDGGVVLISGTGSNCRLINPDGSESGCGGWGHMMGDEGSAYWIAHQAVKIVFDSIDNLEAAPHDIGYVKQAMFHYFQVPDRLGILTHLYRDFDKCRFAGFCRKIAEGAQQGDPLSRYIFRKAGEMLGRHIVAVLPEIDPVLFQGKIGLPILCVGSVWKSWELLKEGFLLALTQGREIQAQNFFSSFTLMKLRHSSALGGASLGARHIGHLLPMDYSANAIAFYSYTFS
+>DECOY_sp|Q9UJ70|NAGK_HUMAN N-acetyl-D-glucosamine kinase OS=Homo sapiens OX=9606 GN=NAGK PE=1 SV=4
+SFTYSYFAIANASYDMPLLHGIHRAGLSAGGLASSHRLKMLTFSSFFNQAQIERGQTLALLFGEKLLEWSKWVSGVCLIPLGIKGQFLVPDIEPLVAVIHRGLMEGAKRFIYRSLPDGQQAGEAIKRCFGAFRCKDFDRYLHTLIGLRDPVQFYHFMAQKVYGIDHPAAELNDISDFVIKVAQHAIWYASGEDGMMHGWGGCGSESGDPNILRCNSGTGSILVVGGDPTATAISGAADTTILYSESLYPFRDRLEEILIRGADEQDGGSLSLGLSRLPVLPDVGAKRKARNVMENIREVCKDTGILWHNTSLGDAEALIKGDESVLLVESRTGGGEVGGYIAAM
+>sp|Q86W24|NAL14_HUMAN NACHT, LRR and PYD domains-containing protein 14 OS=Homo sapiens OX=9606 GN=NLRP14 PE=1 SV=1
+MADSSSSSFFPDFGLLLYLEELNKEELNTFKLFLKETMEPEHGLTPWNEVKKARREDLANLMKKYYPGEKAWSVSLKIFGKMNLKDLCERAKEEINWSAQTIGPDDAKAGETQEDQEAVLGDGTEYRNRIKEKFCITWDKKSLAGKPEDFHHGIAEKDRKLLEHLFDVDVKTGAQPQIVVLQGAAGVGKTTLVRKAMLDWAEGSLYQQRFKYVFYLNGREINQLKERSFAQLISKDWPSTEGPIEEIMYQPSSLLFIIDSFDELNFAFEEPEFALCEDWTQEHPVSFLMSSLLRKVMLPEASLLVTTRLTTSKRLKQLLKNHHYVELLGMSEDAREEYIYQFFEDKRWAMKVFSSLKSNEMLFSMCQVPLVCWAACTCLKQQMEKGGDVTLTCQTTTALFTCYISSLFTPVDGGSPSLPNQAQLRRLCQVAAKGIWTMTYVFYRENLRRLGLTQSDVSSFMDSNIIQKDAEYENCYVFTHLHVQEFFAAMFYMLKGSWEAGNPSCQPFEDLKSLLQSTSYKDPHLTQMKCFLFGLLNEDRVKQLERTFNCKMSLKIKSKLLQCMEVLGNSDYSPSQLGFLELFHCLYETQDKAFISQAMRCFPKVAINICEKIHLLVSSFCLKHCRCLRTIRLSVTVVFEKKILKTSLPTNTWDGDRITHCWQDLCSVLHTNEHLRELDLYHSNLDKSAMNILHHELRHPNCKLQKLLLKFITFPDGCQDISTSLIHNKNLMHLDLKGSDIGDNGVKSLCEALKHPECKLQTLRLESCNLTVFCCLNISNALIRSQSLIFLNLSTNNLLDDGVQLLCEALRHPKCYLERLSLESCGLTEAGCEYLSLALISNKRLTHLCLADNVLGDGGVKLMSDALQHAQCTLKSLVLRRCHFTSLSSEYLSTSLLHNKSLTHLDLGSNWLQDNGVKLLCDVFRHPSCNLQDLELMGCVLTNACCLDLASVILNNPNLRSLDLGNNDLQDDGVKILCDALRYPNCNIQRLGLEYCGLTSLCCQDLSSALICNKRLIKMNLTQNTLGYEGIVKLYKVLKSPKCKLQVLGLCKEAFDEEAQKLLEAVGVSNPHLIIKPDCNYHNEEDVSWWWCF
+>DECOY_sp|Q86W24|NAL14_HUMAN NACHT, LRR and PYD domains-containing protein 14 OS=Homo sapiens OX=9606 GN=NLRP14 PE=1 SV=1
+FCWWWSVDEENHYNCDPKIILHPNSVGVAELLKQAEEDFAEKCLGLVQLKCKPSKLVKYLKVIGEYGLTNQTLNMKILRKNCILASSLDQCCLSTLGCYELGLRQINCNPYRLADCLIKVGDDQLDNNGLDLSRLNPNNLIVSALDLCCANTLVCGMLELDQLNCSPHRFVDCLLKVGNDQLWNSGLDLHTLSKNHLLSTSLYESSLSTFHCRRLVLSKLTCQAHQLADSMLKVGGDGLVNDALCLHTLRKNSILALSLYECGAETLGCSELSLRELYCKPHRLAECLLQVGDDLLNNTSLNLFILSQSRILANSINLCCFVTLNCSELRLTQLKCEPHKLAECLSKVGNDGIDSGKLDLHMLNKNHILSTSIDQCGDPFTIFKLLLKQLKCNPHRLEHHLINMASKDLNSHYLDLERLHENTHLVSCLDQWCHTIRDGDWTNTPLSTKLIKKEFVVTVSLRITRLCRCHKLCFSSVLLHIKECINIAVKPFCRMAQSIFAKDQTEYLCHFLELFGLQSPSYDSNGLVEMCQLLKSKIKLSMKCNFTRELQKVRDENLLGFLFCKMQTLHPDKYSTSQLLSKLDEFPQCSPNGAEWSGKLMYFMAAFFEQVHLHTFVYCNEYEADKQIINSDMFSSVDSQTLGLRRLNERYFVYTMTWIGKAAVQCLRRLQAQNPLSPSGGDVPTFLSSIYCTFLATTTQCTLTVDGGKEMQQKLCTCAAWCVLPVQCMSFLMENSKLSSFVKMAWRKDEFFQYIYEERADESMGLLEVYHHNKLLQKLRKSTTLRTTVLLSAEPLMVKRLLSSMLFSVPHEQTWDECLAFEPEEFAFNLEDFSDIIFLLSSPQYMIEEIPGETSPWDKSILQAFSREKLQNIERGNLYFVYKFRQQYLSGEAWDLMAKRVLTTKGVGAAGQLVVIQPQAGTKVDVDFLHELLKRDKEAIGHHFDEPKGALSKKDWTICFKEKIRNRYETGDGLVAEQDEQTEGAKADDPGITQASWNIEEKARECLDKLNMKGFIKLSVSWAKEGPYYKKMLNALDERRAKKVENWPTLGHEPEMTEKLFLKFTNLEEKNLEELYLLLGFDPFFSSSSSDAM
+>sp|Q9UQQ1|NALDL_HUMAN N-acetylated-alpha-linked acidic dipeptidase-like protein OS=Homo sapiens OX=9606 GN=NAALADL1 PE=1 SV=2
+MQWTKVLGLGLGAAALLGLGIILGHFAIPKKANSLAPQDLDLEILETVMGQLDAHRIRENLRELSREPHLASSPRDEDLVQLLLQRWKDPESGLDSAEASTYEVLLSFPSQEQPNVVDIVGPTGGIIHSCHRTEENVTGEQGGPDVVQPYAAYAPSGTPQGLLVYANRGAEEDFKELQTQGIKLEGTIALTRYGGVGRGAKAVNAAKHGVAGVLVYTDPADINDGLSSPDETFPNSWYLPPSGVERGSYYEYFGDPLTPYLPAVPSSFRVDLANVSGFPPIPTQPIGFQDARDLLCNLNGTLAPATWQGALGCHYRLGPGFRPDGDFPADSQVNVSVYNRLELRNSSNVLGIIRGAVEPDRYVLYGNHRDSWVHGAVDPSSGTAVLLELSRVLGTLLKKGTWRPRRSIVFASWGAEEFGLIGSTEFTEEFFNKLQERTVAYINVDISVFANATLRVQGTPPVQSVVFSATKEIRSPGPGDLSIYDNWIRYFNRSSPVYGLVPSLGSLGAGSDYAPFVHFLGISSMDIAYTYDRSKTSARIYPTYHTAFDTFDYVDKFLDPGFSSHQAVARTAGSVILRLSDSFFLPLKVSDYSETLRSFLQAAQQDLGALLEQHSISLGPLVTAVEKFEAEAAALGQRISTLQKGSPDPLQVRMLNDQLMLLERTFLNPRAFPEERYYSHVLWAPRTGSVVTFPGLSNACSRARDTASGSEAWAEVQRQLSIVVTALEGAAATLRPVADL
+>DECOY_sp|Q9UQQ1|NALDL_HUMAN N-acetylated-alpha-linked acidic dipeptidase-like protein OS=Homo sapiens OX=9606 GN=NAALADL1 PE=1 SV=2
+LDAVPRLTAAAGELATVVISLQRQVEAWAESGSATDRARSCANSLGPFTVVSGTRPAWLVHSYYREEPFARPNLFTRELLMLQDNLMRVQLPDPSGKQLTSIRQGLAAAEAEFKEVATVLPGLSISHQELLAGLDQQAAQLFSRLTESYDSVKLPLFFSDSLRLIVSGATRAVAQHSSFGPDLFKDVYDFTDFATHYTPYIRASTKSRDYTYAIDMSSIGLFHVFPAYDSGAGLSGLSPVLGYVPSSRNFYRIWNDYISLDGPGPSRIEKTASFVVSQVPPTGQVRLTANAFVSIDVNIYAVTREQLKNFFEETFETSGILGFEEAGWSAFVISRRPRWTGKKLLTGLVRSLELLVATGSSPDVAGHVWSDRHNGYLVYRDPEVAGRIIGLVNSSNRLELRNYVSVNVQSDAPFDGDPRFGPGLRYHCGLAGQWTAPALTGNLNCLLDRADQFGIPQTPIPPFGSVNALDVRFSSPVAPLYPTLPDGFYEYYSGREVGSPPLYWSNPFTEDPSSLGDNIDAPDTYVLVGAVGHKAANVAKAGRGVGGYRTLAITGELKIGQTQLEKFDEEAGRNAYVLLGQPTGSPAYAAYPQVVDPGGQEGTVNEETRHCSHIIGGTPGVIDVVNPQEQSPFSLLVEYTSAEASDLGSEPDKWRQLLLQVLDEDRPSSALHPERSLERLNERIRHADLQGMVTELIELDLDQPALSNAKKPIAFHGLIIGLGLLAAAGLGLGLVKTWQM
+>sp|Q96MN2|NALP4_HUMAN NACHT, LRR and PYD domains-containing protein 4 OS=Homo sapiens OX=9606 GN=NLRP4 PE=1 SV=3
+MAASFFSDFGLMWYLEELKKEEFRKFKEHLKQMTLQLELKQIPWTEVKKASREELANLLIKHYEEQQAWNITLRIFQKMDRKDLCMKVMRERTGYTKTYQAHAKQKFSRLWSSKSVTEIHLYFEEEVKQEECDHLDRLFAPKEAGKQPRTVIIQGPQGIGKTTLLMKLMMAWSDNKIFRDRFLYTFYFCCRELRELPPTSLADLISREWPDPAAPITEIVSQPERLLFVIDSFEELQGGLNEPDSDLCGDLMEKRPVQVLLSSLLRKKMLPEASLLIAIKPVCPKELRDQVTISEIYQPRGFNESDRLVYFCCFFKDPKRAMEAFNLVRESEQLFSICQIPLLCWILCTSLKQEMQKGKDLALTCQSTTSVYSSFVFNLFTPEGAEGPTPQTQHQLKALCSLAAEGMWTDTFEFCEDDLRRNGVVDADIPALLGTKILLKYGERESSYVFLHVCIQEFCAALFYLLKSHLDHPHPAVRCVQELLVANFEKARRAHWIFLGCFLTGLLNKKEQEKLDAFFGFQLSQEIKQQIHQCLKSLGERGNPQGQVDSLAIFYCLFEMQDPAFVKQAVNLLQEANFHIIDNVDLVVSAYCLKYCSSLRKLCFSVQNVFKKEDEHSSTSDYSLICWHHICSVLTTSGHLRELQVQDSTLSESTFVTWCNQLRHPSCRLQKLGINNVSFSGQSVLLFEVLFYQPDLKYLSFTLTKLSRDDIRSLCDALNYPAGNVKELALVNCHLSPIDCEVLAGLLTNNKKLTYLNVSCNQLDTGVPLLCEALCSPDTVLVYLMLAFCHLSEQCCEYISEMLLRNKSVRYLDLSANVLKDEGLKTLCEALKHPDCCLDSLCLVKCFITAAGCEDLASALISNQNLKILQIGCNEIGDVGVQLLCRALTHTDCRLEILGLEECGLTSTCCKDLASVLTCSKTLQQLNLTLNTLDHTGVVVLCEALRHPECALQVLGLRKTDFDEETQALLTAEEERNPNLTITDDCDTITRVEI
+>DECOY_sp|Q96MN2|NALP4_HUMAN NACHT, LRR and PYD domains-containing protein 4 OS=Homo sapiens OX=9606 GN=NLRP4 PE=1 SV=3
+IEVRTITDCDDTITLNPNREEEATLLAQTEEDFDTKRLGLVQLACEPHRLAECLVVVGTHDLTNLTLNLQQLTKSCTLVSALDKCCTSTLGCEELGLIELRCDTHTLARCLLQVGVDGIENCGIQLIKLNQNSILASALDECGAATIFCKVLCLSDLCCDPHKLAECLTKLGEDKLVNASLDLYRVSKNRLLMESIYECCQESLHCFALMLYVLVTDPSCLAECLLPVGTDLQNCSVNLYTLKKNNTLLGALVECDIPSLHCNVLALEKVNGAPYNLADCLSRIDDRSLKTLTFSLYKLDPQYFLVEFLLVSQGSFSVNNIGLKQLRCSPHRLQNCWTVFTSESLTSDQVQLERLHGSTTLVSCIHHWCILSYDSTSSHEDEKKFVNQVSFCLKRLSSCYKLCYASVVLDVNDIIHFNAEQLLNVAQKVFAPDQMEFLCYFIALSDVQGQPNGREGLSKLCQHIQQKIEQSLQFGFFADLKEQEKKNLLGTLFCGLFIWHARRAKEFNAVLLEQVCRVAPHPHDLHSKLLYFLAACFEQICVHLFVYSSEREGYKLLIKTGLLAPIDADVVGNRRLDDECFEFTDTWMGEAALSCLAKLQHQTQPTPGEAGEPTFLNFVFSSYVSTTSQCTLALDKGKQMEQKLSTCLIWCLLPIQCISFLQESERVLNFAEMARKPDKFFCCFYVLRDSENFGRPQYIESITVQDRLEKPCVPKIAILLSAEPLMKKRLLSSLLVQVPRKEMLDGCLDSDPENLGGQLEEFSDIVFLLREPQSVIETIPAAPDPWERSILDALSTPPLERLERCCFYFTYLFRDRFIKNDSWAMMLKMLLTTKGIGQPGQIIVTRPQKGAEKPAFLRDLHDCEEQKVEEEFYLHIETVSKSSWLRSFKQKAHAQYTKTYGTRERMVKMCLDKRDMKQFIRLTINWAQQEEYHKILLNALEERSAKKVETWPIQKLELQLTMQKLHEKFKRFEEKKLEELYWMLGFDSFFSAAM
+>sp|Q86W28|NALP8_HUMAN NACHT, LRR and PYD domains-containing protein 8 OS=Homo sapiens OX=9606 GN=NLRP8 PE=2 SV=2
+MSDVNPPSDTPIPFSSSSTHSSHIPPWTFSCYPGSPCENGVMLYMRNVSHEELQRFKQLLLTELSTGTMPITWDQVETASWAEVVHLLIERFPGRRAWDVTSNIFAIMNCDKMCVVVRREINAILPTLEPEDLNVGETQVNLEEGESGKIRRYKSNVMEKFFPIWDITTWPGNQRDFFYQGVHRHEEYLPCLLLPKRPQGRQPKTVAIQGAPGIGKTILAKKVMFEWARNKFYAHKRWCAFYFHCQEVNQTTDQSFSELIEQKWPGSQDLVSKIMSKPDQLLLLLDGFEELTSTLIDRLEDLSEDWRQKLPGSVLLSSLLSKTMLPEATLLIMIRFTSWQTCKPLLKCPSLVTLPGFNTMEKIKYFQMYFGHTEEGDQVLSFAMENTILFSMCRVPVVCWMVCSGLKQQMERGNNLTQSCPNATSVFVRYISSLFPTRAENFSRKIHQAQLEGLCHLAADSMWHRKWVLGKEDLEEAKLDQTGVTAFLGMSILRRIAGEEDHYVFTLVTFQEFFAALFYVLCFPQRLKNFHVLSHVNIQRLIASPRGSKSYLSHMGLFLFGFLNEACASAVEQSFQCKVSFGNKRKLLKVIPLLHKCDPPSPGSGVPQLFYCLHEIREEAFVSQALNDYHKVVLRIGNNKEVQVSAFCLKRCQYLHEVELTVTLNFMNVWKLSSSSHPGSEAPESNGLHRWWQDLCSVFATNDKLEVLTMTNSVLGPPFLKALAAALRHPQCKLQKLLLRRVNSTMLNQDLIGVLTGNQHLRYLEIQHVEVESKAVKLLCRVLRSPRCRLQCLRLEDCLATPRIWTDLGNNLQGNGHLKTLILRKNSLENCGAYYLSVAQLERLSIENCNLTQLTCESLASCLRQSKMLTHLSLAENALKDEGAKHIWNALPHLRCPLQRLVLRKCDLTFNCCQDMISALCKNKTLKSLDLSFNSLKDDGVILLCEALKNPDCTLQILELENCLFTSICCQAMASMLRKNQHLRHLDLSKNAIGVYGILTLCEAFSSQKKREEVIFCIPAWTRITSFSPTPHPPDFTGKSDCLSQINP
+>DECOY_sp|Q86W28|NALP8_HUMAN NACHT, LRR and PYD domains-containing protein 8 OS=Homo sapiens OX=9606 GN=NLRP8 PE=2 SV=2
+PNIQSLCDSKGTFDPPHPTPSFSTIRTWAPICFIVEERKKQSSFAECLTLIGYVGIANKSLDLHRLHQNKRLMSAMAQCCISTFLCNELELIQLTCDPNKLAECLLIVGDDKLSNFSLDLSKLTKNKCLASIMDQCCNFTLDCKRLVLRQLPCRLHPLANWIHKAGEDKLANEALSLHTLMKSQRLCSALSECTLQTLNCNEISLRELQAVSLYYAGCNELSNKRLILTKLHGNGQLNNGLDTWIRPTALCDELRLCQLRCRPSRLVRCLLKVAKSEVEVHQIELYRLHQNGTLVGILDQNLMTSNVRRLLLKQLKCQPHRLAAALAKLFPPGLVSNTMTLVELKDNTAFVSCLDQWWRHLGNSEPAESGPHSSSSLKWVNMFNLTVTLEVEHLYQCRKLCFASVQVEKNNGIRLVVKHYDNLAQSVFAEERIEHLCYFLQPVGSGPSPPDCKHLLPIVKLLKRKNGFSVKCQFSQEVASACAENLFGFLFLGMHSLYSKSGRPSAILRQINVHSLVHFNKLRQPFCLVYFLAAFFEQFTVLTFVYHDEEGAIRRLISMGLFATVGTQDLKAEELDEKGLVWKRHWMSDAALHCLGELQAQHIKRSFNEARTPFLSSIYRVFVSTANPCSQTLNNGREMQQKLGSCVMWCVVPVRCMSFLITNEMAFSLVQDGEETHGFYMQFYKIKEMTNFGPLTVLSPCKLLPKCTQWSTFRIMILLTAEPLMTKSLLSSLLVSGPLKQRWDESLDELRDILTSTLEEFGDLLLLLQDPKSMIKSVLDQSGPWKQEILESFSQDTTQNVEQCHFYFACWRKHAYFKNRAWEFMVKKALITKGIGPAGQIAVTKPQRGQPRKPLLLCPLYEEHRHVGQYFFDRQNGPWTTIDWIPFFKEMVNSKYRRIKGSEGEELNVQTEGVNLDEPELTPLIANIERRVVVCMKDCNMIAFINSTVDWARRGPFREILLHVVEAWSATEVQDWTIPMTGTSLETLLLQKFRQLEEHSVNRMYLMVGNECPSGPYCSFTWPPIHSSHTSSSSFPIPTDSPPNVDSM
+>sp|P49321|NASP_HUMAN Nuclear autoantigenic sperm protein OS=Homo sapiens OX=9606 GN=NASP PE=1 SV=2
+MAMESTATAAVAAELVSADKIEDVPAPSTSADKVESLDVDSEAKKLLGLGQKHLVMGDIPAAVNAFQEAASLLGKKYGETANECGEAFFFYGKSLLELARMENGVLGNALEGVHVEEEEGEKTEDESLVENNDNIDEEAREELREQVYDAMGEKEEAKKTEDKSLAKPETDKEQDSEMEKGGREDMDISKSAEEPQEKVDLTLDWLTETSEEAKGGAAPEGPNEAEVTSGKPEQEVPDAEEEKSVSGTDVQEECREKGGQEKQGEVIVSIEEKPKEVSEEQPVVTLEKQGTAVEVEAESLDPTVKPVDVGGDEPEEKVVTSENEAGKAVLEQLVGQEVPPAEESPEVTTEAAEASAVEAGSEVSEKPGQEAPVLPKDGAVNGPSVVGDQTPIEPQTSIERLTETKDGSGLEEKVRAKLVPSQEETKLSVEESEAAGDGVDTKVAQGATEKSPEDKVQIAANEETQEREEQMKEGEETEGSEEDDKENDKTEEMPNDSVLENKSLQENEEEEIGNLELAWDMLDLAKIIFKRQETKEAQLYAAQAHLKLGEVSVESENYVQAVEEFQSCLNLQEQYLEAHDRLLAETHYQLGLAYGYNSQYDEAVAQFSKSIEVIENRMAVLNEQVKEAEGSSAEYKKEIEELKELLPEIREKIEDAKESQRSGNVAELALKATLVESSTSGFTPGGGGSSVSMIASRKPTDGASSSNCVTDISHLVRKKRKPEEESPRKDDAKKAKQEPEVNGGSGDAVPSGNEVSENMEEEAENQAESRAAVEGTVEAGATVESTAC
+>DECOY_sp|P49321|NASP_HUMAN Nuclear autoantigenic sperm protein OS=Homo sapiens OX=9606 GN=NASP PE=1 SV=2
+CATSEVTAGAEVTGEVAARSEAQNEAEEEMNESVENGSPVADGSGGNVEPEQKAKKADDKRPSEEEPKRKKRVLHSIDTVCNSSSAGDTPKRSAIMSVSSGGGGPTFGSTSSEVLTAKLALEAVNGSRQSEKADEIKERIEPLLEKLEEIEKKYEASSGEAEKVQENLVAMRNEIVEISKSFQAVAEDYQSNYGYALGLQYHTEALLRDHAELYQEQLNLCSQFEEVAQVYNESEVSVEGLKLHAQAAYLQAEKTEQRKFIIKALDLMDWALELNGIEEEENEQLSKNELVSDNPMEETKDNEKDDEESGETEEGEKMQEEREQTEENAAIQVKDEPSKETAGQAVKTDVGDGAAESEEVSLKTEEQSPVLKARVKEELGSGDKTETLREISTQPEIPTQDGVVSPGNVAGDKPLVPAEQGPKESVESGAEVASAEAAETTVEPSEEAPPVEQGVLQELVAKGAENESTVVKEEPEDGGVDVPKVTPDLSEAEVEVATGQKELTVVPQEESVEKPKEEISVIVEGQKEQGGKERCEEQVDTGSVSKEEEADPVEQEPKGSTVEAENPGEPAAGGKAEESTETLWDLTLDVKEQPEEASKSIDMDERGGKEMESDQEKDTEPKALSKDETKKAEEKEGMADYVQERLEERAEEDINDNNEVLSEDETKEGEEEEVHVGELANGLVGNEMRALELLSKGYFFFAEGCENATEGYKKGLLSAAEQFANVAAPIDGMVLHKQGLGLLKKAESDVDLSEVKDASTSPAPVDEIKDASVLEAAVAATATSEMAM
+>sp|Q8WUY8|NAT14_HUMAN N-acetyltransferase 14 OS=Homo sapiens OX=9606 GN=NAT14 PE=1 SV=1
+MAPSHLSVREMREDEKPLVLEMLKAGVKDTENRVALHALTRPPALLLLAAASSGLRFVLASFALALLLPVFLAVAAVKLGLRARWGSLPPPGGLGGPWVAVRGSGDVCGVLALAPGTNAGDGARVTRLSVSRWHRRRGVGRRLLAFAEARARAWAGGMGEPRARLVVPVAVAAWGVGGMLEGCGYQAEGGWGCLGYTLVREFSKDL
+>DECOY_sp|Q8WUY8|NAT14_HUMAN N-acetyltransferase 14 OS=Homo sapiens OX=9606 GN=NAT14 PE=1 SV=1
+LDKSFERVLTYGLCGWGGEAQYGCGELMGGVGWAAVAVPVVLRARPEGMGGAWARARAEAFALLRRGVGRRRHWRSVSLRTVRAGDGANTGPALALVGCVDGSGRVAVWPGGLGGPPPLSGWRARLGLKVAAVALFVPLLLALAFSALVFRLGSSAAALLLLAPPRTLAHLAVRNETDKVGAKLMELVLPKEDERMERVSLHSPAM
+>sp|Q9UHF3|NAT8B_HUMAN Putative N-acetyltransferase 8B OS=Homo sapiens OX=9606 GN=NAT8B PE=5 SV=1
+MAPYHIRKYQESDRKSVVGLLSGGMAEHAPATFRRLLKLPRTLILLLGGALALLLVSGSWILALVFSLSLLPALWFLAKKPWTRYVDIALRTDMSDITKSYLSECGSCFWVAESEEKVVGTVGALPVDDPTLREKRLQLFHLSVDNEHRGQGIAKALVRTVLQFARDQGYSEVVLDTSNIQLSAMGLYQSLGFKKTGQSFFHVWARLVDLHTVHFIYHLPSAQAGRL
+>DECOY_sp|Q9UHF3|NAT8B_HUMAN Putative N-acetyltransferase 8B OS=Homo sapiens OX=9606 GN=NAT8B PE=5 SV=1
+LRGAQASPLHYIFHVTHLDVLRAWVHFFSQGTKKFGLSQYLGMASLQINSTDLVVESYGQDRAFQLVTRVLAKAIGQGRHENDVSLHFLQLRKERLTPDDVPLAGVTGVVKEESEAVWFCSGCESLYSKTIDSMDTRLAIDVYRTWPKKALFWLAPLLSLSFVLALIWSGSVLLLALAGGLLLILTRPLKLLRRFTAPAHEAMGGSLLGVVSKRDSEQYKRIHYPAM
+>sp|Q8N9F0|NAT8L_HUMAN N-acetylaspartate synthetase OS=Homo sapiens OX=9606 GN=NAT8L PE=1 SV=3
+MHCGPPDMVCETKIVAAEDHEALPGAKKDALLAAAGAMWPPLPAAPGPAAAPPAPPPAPVAQPHGGAGGAGPPGGRGVCIREFRAAEQEAARRIFYDGIMERIPNTAFRGLRQHPRAQLLYALLAALCFAVSRSLLLTCLVPAALLGLRYYYSRKVIRAYLECALHTDMADIEQYYMKPPGSCFWVAVLDGNVVGIVAARAHEEDNTVELLRMSVDSRFRGKGIAKALGRKVLEFAVVHNYSAVVLGTTAVKVAAHKLYESLGFRHMGASDHYVLPGMTLSLAERLFFQVRYHRYRLQLREE
+>DECOY_sp|Q8N9F0|NAT8L_HUMAN N-acetylaspartate synthetase OS=Homo sapiens OX=9606 GN=NAT8L PE=1 SV=3
+EERLQLRYRHYRVQFFLREALSLTMGPLVYHDSAGMHRFGLSEYLKHAAVKVATTGLVVASYNHVVAFELVKRGLAKAIGKGRFRSDVSMRLLEVTNDEEHARAAVIGVVNGDLVAVWFCSGPPKMYYQEIDAMDTHLACELYARIVKRSYYYRLGLLAAPVLCTLLLSRSVAFCLAALLAYLLQARPHQRLGRFATNPIREMIGDYFIRRAAEQEAARFERICVGRGGPPGAGGAGGHPQAVPAPPPAPPAAAPGPAAPLPPWMAGAAALLADKKAGPLAEHDEAAVIKTECVMDPPGCHM
+>sp|Q8NEY1|NAV1_HUMAN Neuron navigator 1 OS=Homo sapiens OX=9606 GN=NAV1 PE=1 SV=2
+MLGSSVKSVQPEVELSSGGGDEGADEPRGAGRKAAAADGRGMLPKRAKAPGGGGGMAKASAAELKVFKSGSVDSRVPGGPPASNLRKQKSLTNLSFLTDSEKKLQLYEPEWSDDMAKAPKGLGKVGSKGREAPLMSKTLSKSEHSLFQAKGSPAGGAKTPLAPLAPNLGKPSRIPRGPYAEVKPLSKAPEAAVSEDGKSDDELLSSKAKAQKSSGPVPSAKGQEERAFLKVDPELVVTVLGDLEQLLFSQMLDPESQRKRTVQNVLDLRQNLEETMSSLRGSQVTHSSLEMTCYDSDDANPRSVSSLSNRSSPLSWRYGQSSPRLQAGDAPSVGGSCRSEGTPAWYMHGERAHYSHTMPMRSPSKLSHISRLELVESLDSDEVDLKSGYMSDSDLMGKTMTEDDDITTGWDESSSISSGLSDASDNLSSEEFNASSSLNSLPSTPTASRRNSTIVLRTDSEKRSLAESGLSWFSESEEKAPKKLEYDSGSLKMEPGTSKWRRERPESCDDSSKGGELKKPISLGHPGSLKKGKTPPVAVTSPITHTAQSALKVAGKPEGKATDKGKLAVKNTGLQRSSSDAGRDRLSDAKKPPSGIARPSTSGSFGYKKPPPATGTATVMQTGGSATLSKIQKSSGIPVKPVNGRKTSLDVSNSAEPGFLAPGARSNIQYRSLPRPAKSSSMSVTGGRGGPRPVSSSIDPSLLSTKQGGLTPSRLKEPTKVASGRTTPAPVNQTDREKEKAKAKAVALDSDNISLKSIGSPESTPKNQASHPTATKLAELPPTPLRATAKSFVKPPSLANLDKVNSNSLDLPSSSDTTHASKVPDLHATSSASGGPLPSCFTPSPAPILNINSASFSQGLELMSGFSVPKETRMYPKLSGLHRSMESLQMPMSLPSAFPSSTPVPTPPAPPAAPTEEETEELTWSGSPRAGQLDSNQRDRNTLPKKGLRYQLQSQEETKERRHSHTIGGLPESDDQSELPSPPALPMSLSAKGQLTNIVSPTAATTPRITRSNSIPTHEAAFELYSGSQMGSTLSLAERPKGMIRSGSFRDPTDDVHGSVLSLASSASSTYSSAEERMQSEQIRKLRRELESSQEKVATLTSQLSANANLVAAFEQSLVNMTSRLRHLAETAEEKDTELLDLRETIDFLKKKNSEAQAVIQGALNASETTPKELRIKRQNSSDSISSLNSITSHSSIGSSKDADAKKKKKKSWVYELRSSFNKAFSIKKGPKSASSYSDIEEIATPDSSAPSSPKLQHGSTETASPSIKSSTSSSVGTDVTEGPAHPAPHTRLFHANEEEEPEKKEVSELRSELWEKEMKLTDIRLEALNSAHQLDQLRETMHNMQLEVDLLKAENDRLKVAPGPSSGSTPGQVPGSSALSSPRRSLGLALTHSFGPSLADTDLSPMDGISTCGPKEEVTLRVVVRMPPQHIIKGDLKQQEFFLGCSKVSGKVDWKMLDEAVFQVFKDYISKMDPASTLGLSTESIHGYSISHVKRVLDAEPPEMPPCRRGVNNISVSLKGLKEKCVDSLVFETLIPKPMMQHYISLLLKHRRLVLSGPSGTGKTYLTNRLAEYLVERSGREVTEGIVSTFNMHQQSCKDLQLYLSNLANQIDRETGIGDVPLVILLDDLSEAGSISELVNGALTCKYHKCPYIIGTTNQPVKMTPNHGLHLSFRMLTFSNNVEPANGFLVRYLRRKLVESDSDINANKEELLRVLDWVPKLWYHLHTFLEKHSTSDFLIGPCFFLSCPIGIEDFRTWFIDLWNNSIIPYLQEGAKDGIKVHGQKAAWEDPVEWVRDTLPWPSAQQDQSKLYHLPPPTVGPHSIASPPEDRTVKDSTPSSLDSDPLMAMLLKLQEAANYIESPDRETILDPNLQATL
+>DECOY_sp|Q8NEY1|NAV1_HUMAN Neuron navigator 1 OS=Homo sapiens OX=9606 GN=NAV1 PE=1 SV=2
+LTAQLNPDLITERDPSEIYNAAEQLKLLMAMLPDSDLSSPTSDKVTRDEPPSAISHPGVTPPPLHYLKSQDQQASPWPLTDRVWEVPDEWAAKQGHVKIGDKAGEQLYPIISNNWLDIFWTRFDEIGIPCSLFFCPGILFDSTSHKELFTHLHYWLKPVWDLVRLLEEKNANIDSDSEVLKRRLYRVLFGNAPEVNNSFTLMRFSLHLGHNPTMKVPQNTTGIIYPCKHYKCTLAGNVLESISGAESLDDLLIVLPVDGIGTERDIQNALNSLYLQLDKCSQQHMNFTSVIGETVERGSREVLYEALRNTLYTKGTGSPGSLVLRRHKLLLSIYHQMMPKPILTEFVLSDVCKEKLGKLSVSINNVGRRCPPMEPPEADLVRKVHSISYGHISETSLGLTSAPDMKSIYDKFVQFVAEDLMKWDVKGSVKSCGLFFEQQKLDGKIIHQPPMRVVVRLTVEEKPGCTSIGDMPSLDTDALSPGFSHTLALGLSRRPSSLASSGPVQGPTSGSSPGPAVKLRDNEAKLLDVELQMNHMTERLQDLQHASNLAELRIDTLKMEKEWLESRLESVEKKEPEEEENAHFLRTHPAPHAPGETVDTGVSSSTSSKISPSATETSGHQLKPSSPASSDPTAIEEIDSYSSASKPGKKISFAKNFSSRLEYVWSKKKKKKADADKSSGISSHSTISNLSSISDSSNQRKIRLEKPTTESANLAGQIVAQAESNKKKLFDITERLDLLETDKEEATEALHRLRSTMNVLSQEFAAVLNANASLQSTLTAVKEQSSELERRLKRIQESQMREEASSYTSSASSALSLVSGHVDDTPDRFSGSRIMGKPREALSLTSGMQSGSYLEFAAEHTPISNSRTIRPTTAATPSVINTLQGKASLSMPLAPPSPLESQDDSEPLGGITHSHRREKTEEQSQLQYRLGKKPLTNRDRQNSDLQGARPSGSWTLEETEEETPAAPPAPPTPVPTSSPFASPLSMPMQLSEMSRHLGSLKPYMRTEKPVSFGSMLELGQSFSASNINLIPAPSPTFCSPLPGGSASSTAHLDPVKSAHTTDSSSPLDLSNSNVKDLNALSPPKVFSKATARLPTPPLEALKTATPHSAQNKPTSEPSGISKLSINDSDLAVAKAKAKEKERDTQNVPAPTTRGSAVKTPEKLRSPTLGGQKTSLLSPDISSSVPRPGGRGGTVSMSSSKAPRPLSRYQINSRAGPALFGPEASNSVDLSTKRGNVPKVPIGSSKQIKSLTASGGTQMVTATGTAPPPKKYGFSGSTSPRAIGSPPKKADSLRDRGADSSSRQLGTNKVALKGKDTAKGEPKGAVKLASQATHTIPSTVAVPPTKGKKLSGPHGLSIPKKLEGGKSSDDCSEPRERRWKSTGPEMKLSGSDYELKKPAKEESESFWSLGSEALSRKESDTRLVITSNRRSATPTSPLSNLSSSANFEESSLNDSADSLGSSISSSEDWGTTIDDDETMTKGMLDSDSMYGSKLDVEDSDLSEVLELRSIHSLKSPSRMPMTHSYHAREGHMYWAPTGESRCSGGVSPADGAQLRPSSQGYRWSLPSSRNSLSSVSRPNADDSDYCTMELSSHTVQSGRLSSMTEELNQRLDLVNQVTRKRQSEPDLMQSFLLQELDGLVTVVLEPDVKLFAREEQGKASPVPGSSKQAKAKSSLLEDDSKGDESVAAEPAKSLPKVEAYPGRPIRSPKGLNPALPALPTKAGGAPSGKAQFLSHESKSLTKSMLPAERGKSGVKGLGKPAKAMDDSWEPEYLQLKKESDTLFSLNTLSKQKRLNSAPPGGPVRSDVSGSKFVKLEAASAKAMGGGGGPAKARKPLMGRGDAAAAKRGAGRPEDAGEDGGGSSLEVEPQVSKVSSGLM
+>sp|Q6IPT4|NB5R5_HUMAN NADH-cytochrome b5 reductase-like OS=Homo sapiens OX=9606 GN=CYB5RL PE=2 SV=3
+MMAEREEDDDTEEAWMQLRPTEPLPSQCCGSGCSPCVFDLYHRDLARWEAAQASKDRSLLRGPESQSCPSKLNPETFVAFCIIAMDRLTKDTYRVRFALPGNSQLGLRPGQHLILRGIVDDLEIQRAYTPISPANAEGYFEVLIKCYQMGLMSRYVESWRVGDTAFWRGPFGDFFYKPNQYGELLLLAAGTGLAPMVPILQSITDNENDETFVTLVGCFKTFESIYLKTFLQEQARFWNVRTFFVLSQESSSEQLPWSYQEKTHFGHLGQDLIKELVSCCRRKPFALVCGSAEFTKDIARCLLCAGLTEDSYFLF
+>DECOY_sp|Q6IPT4|NB5R5_HUMAN NADH-cytochrome b5 reductase-like OS=Homo sapiens OX=9606 GN=CYB5RL PE=2 SV=3
+FLFYSDETLGACLLCRAIDKTFEASGCVLAFPKRRCCSVLEKILDQGLHGFHTKEQYSWPLQESSSEQSLVFFTRVNWFRAQEQLFTKLYISEFTKFCGVLTVFTEDNENDTISQLIPVMPALGTGAALLLLEGYQNPKYFFDGFPGRWFATDGVRWSEVYRSMLGMQYCKILVEFYGEANAPSIPTYARQIELDDVIGRLILHQGPRLGLQSNGPLAFRVRYTDKTLRDMAIICFAVFTEPNLKSPCSQSEPGRLLSRDKSAQAAEWRALDRHYLDFVCPSCGSGCCQSPLPETPRLQMWAEETDDDEEREAMM
+>sp|Q6ZS30|NBEL1_HUMAN Neurobeachin-like protein 1 OS=Homo sapiens OX=9606 GN=NBEAL1 PE=2 SV=3
+MASRERLFELWMLYCTKKDPDYLKLWLDTFVSSYEQFLDVDFEKLPTRVDDMPPGISLLPDNILQVLRIQLLQCVQKMADGLEEQQQALSILLVKFFIILCRNLSNVEEIGTCSYINYVITMTTLYIQQLKSKKKEKEMADQTCIEEFVIHALAFCESLYDPYRNWRHRISGRILSTVEKSRQKYKPASLTVEFVPFFYQCFQESEHLKESLKCCLLHLFGAIVAGGQRNALQAISPATMEVLMRVLADCDSWEDGDPEEVGRKAELTLKCLTEVVHILLSSNSDQRQVETSTILENYFKLLNSDHSALPNQRRSRQWENRFIALQIKMLNTITAMLDCTDRPVLQAIFLNSNCFEHLIRLLQNCKVFQGQLDCLAISTIQALTAVMNKSPAAKEVFKERIGYTHMLEVLKSLGQPPLELLKELMNMAVEGDHTSVGILGISNVQPLLLLIQWLPELQSHDLQIFISDWLKRICCINRQSRTTCVNANMGIRIIETLDLHSSLHQTCAENLIAIHGSLGSQSVSSEEIRRLLRLLRVDESESVHPYVTPVTRAILTMARKLSLESALQYFNLSHSMAGISVPPIQKWPGSAFSFSAWFCLDQDQLTLGIANKGGKRKQLYSFFTGSGMGFEAFITHSGMLVVAVCTKREYATVMLPDHSFCDSLWHNITVVHMPGKRPFGQSFVYIYDNGQQKVSAPLRFPAMNEPFTSCCIGSAGQRTTTPPPSQIPDPPFSSPITPHRTSFGGILSSASWGGTIEKSKLITKLISAGTQDSEWGCPTSLEGQLGSVIIFYEPLQPPQVKALYLAGPNCLSPWKCQESDMADLPGNILLYYTAKACKNSICLDLSTNCLHGRLTGNKVVNWDIKDIINCIGGLNVLFPLLEQISHFSEGQIPEEKNESTVPESVTPVEGDWLVWTSTKASESRLERNLVATFILIVKHFIQRHPINQGNLIHSHGVATLGALLQKVPSTLMDVNVLMAVQLLIEQVSLEKNMQLLQQMYQYLLFDFRIWNRGDFPFRIGHIQYLSTIIKDSRRVFRKKYGVQFLLDTLRIYYGNGCKYNELSLDDIRTIRTSLYGLIKYFLCKGGSHEEIQSIMGYIAATNEEEQLFGILDVLFSLLRTSPTRGQLFLLLFEPGNADILYALLLNQKYSDRLREIIFKIMEQMLKCTNVYERSKQHIRLREVGYSGLGLLLNEALVNTSLIKNLTHQIINTDPVINFKDLLSVVYISHRAHINVRVAICRKVLQILQFQPDAAHQISQQVGWQDTLVRLFLKAKFENGNTLHKHSRAVLMKDNDKNMSTEDTKKNSDEKTDEEKITSFASANVSSDQWSLEDRHSLDSNTPLFPEDSSVGELSFKSENQEEFWHSNPSHLSLDLSGIDSCEMSDSGSQVPDSLPSTPSPVESTKSFSVHSDRESSITNDMGFSDDFSLLESQERCEEELLQLLTHILNYVMCKGLEKSDDDTWIERGQVFSALSKPGISSELLRPSDEIKLTLLQKMLEWAISENREAKTNPVTAENAFRLVLIIQDFLQSEGLVNSNMWTEKLLEDMMLLFDCLSVCYSESPVWVKLSQIQIQLLLGFIGRGNLQVCAMASAKLNTLLQTKVIENQDEACYILGKLEHVLSQSIKEQTEIYSFLIPLVRTLVSKIYELLFMNLHLPSLPFTNGSSSFFEDFQEYCNSNEWQVYIEKYIVPYMKQYEAHTFYDGHENMALYWKDCYEALMVNMHKRDREGGESKLKFQELFVEPFNRKARQENLRYNNMLKQLSSQQLATLRRWKAIQLYLTCERGPWAKRKQNPIHWKLANVENYSRMRLKLVPNYNFKTHEEASALRDNLGIQHSQPSSDTLLLEVVKQVKVSDMVEDKLDLPEEDITARVNVDEKEEQDQKEKLVLMEDCELITIIDVIPGRLEITTQHIYFYDGSIEKEDGVGFDFKWPHSQIREIHLRRYNLRRSALEIFHVDQSNYFLNFKKEVRNKIYSRLLSLHSPNSYYGSRSPQELFKASGLTQKWVNREISNFDYLIQINTMAGRTYNDLAQYPVFPWILQDYTSEELDLNNPAVFRDLSKPIGVVNEKNAKAMREKYENFEDPMGTIDKFHYGTHYSNSAGVMHYLIRVEPFTTLHIQLQSGRFDCADRQFHSIPATWQALMDNPYDVKELIPEFFYFPEFLENQNQFNLGRLQISKELVNDVILPKWAKSAEDFIYKHRKALESEYVSAHLHEWIDLIFGYKQRGPAAVEALNVFYYCSYEGAVDLDALTDEKERKALEGMINNFGQTPCQLLKEPHPPRLSAEEAVQKPTKIDTSTLNLFQHLPELKSFFIEGISDGIPLLKATIPKNQYRSFMSQGSPELLITISMNYVIGTHGWLPYDRNISNYFTFIKDQTVTNPKTQRSINGSFAPGLEITSKLFVVSHDAKLLFSAGYWDNSIQVMSLTKGKIISHIIRHMDIVTCLATDYCGIHLISGSRDTTCMIWQITQQGGVPVGLASKPFQILYGHTNEVLSVGISTELDMAVSGSRDGTVIIHTIQKGQYMRTLRPPCESSLFLTIPNLAISWEGHIVVYSSTEEKTTLKDKNALHLFSINGKYLGSQILKEQVSDICIIGEHIVTGSIQGFLSIRDLHSLNLSINPLAMRLPIHCVCVTKEYSHILVGLEDGKLIVVGVGKPAEMRSGQLSRKFWGSSKRLSQISAGETEYNTQDSK
+>DECOY_sp|Q6ZS30|NBEL1_HUMAN Neurobeachin-like protein 1 OS=Homo sapiens OX=9606 GN=NBEAL1 PE=2 SV=3
+KSDQTNYETEGASIQSLRKSSGWFKRSLQGSRMEAPKGVGVVILKGDELGVLIHSYEKTVCVCHIPLRMALPNISLNLSHLDRISLFGQISGTVIHEGIICIDSVQEKLIQSGLYKGNISFLHLANKDKLTTKEETSSYVVIHGEWSIALNPITLFLSSECPPRLTRMYQGKQITHIIVTGDRSGSVAMDLETSIGVSLVENTHGYLIQFPKSALGVPVGGQQTIQWIMCTTDRSGSILHIGCYDTALCTVIDMHRIIHSIIKGKTLSMVQISNDWYGASFLLKADHSVVFLKSTIELGPAFSGNISRQTKPNTVTQDKIFTFYNSINRDYPLWGHTGIVYNMSITILLEPSGQSMFSRYQNKPITAKLLPIGDSIGEIFFSKLEPLHQFLNLTSTDIKTPKQVAEEASLRPPHPEKLLQCPTQGFNNIMGELAKREKEDTLADLDVAGEYSCYYFVNLAEVAAPGRQKYGFILDIWEHLHASVYESELAKRHKYIFDEASKAWKPLIVDNVLEKSIQLRGLNFQNQNELFEPFYFFEPILEKVDYPNDMLAQWTAPISHFQRDACDFRGSQLQIHLTTFPEVRILYHMVGASNSYHTGYHFKDITGMPDEFNEYKERMAKANKENVVGIPKSLDRFVAPNNLDLEESTYDQLIWPFVPYQALDNYTRGAMTNIQILYDFNSIERNVWKQTLGSAKFLEQPSRSGYYSNPSHLSLLRSYIKNRVEKKFNLFYNSQDVHFIELASRRLNYRRLHIERIQSHPWKFDFGVGDEKEISGDYFYIHQTTIELRGPIVDIITILECDEMLVLKEKQDQEEKEDVNVRATIDEEPLDLKDEVMDSVKVQKVVELLLTDSSPQSHQIGLNDRLASAEEHTKFNYNPVLKLRMRSYNEVNALKWHIPNQKRKAWPGRECTLYLQIAKWRRLTALQQSSLQKLMNNYRLNEQRAKRNFPEVFLEQFKLKSEGGERDRKHMNVMLAEYCDKWYLAMNEHGDYFTHAEYQKMYPVIYKEIYVQWENSNCYEQFDEFFSSSGNTFPLSPLHLNMFLLEYIKSVLTRVLPILFSYIETQEKISQSLVHELKGLIYCAEDQNEIVKTQLLTNLKASAMACVQLNGRGIFGLLLQIQIQSLKVWVPSESYCVSLCDFLLMMDELLKETWMNSNVLGESQLFDQIILVLRFANEATVPNTKAERNESIAWELMKQLLTLKIEDSPRLLESSIGPKSLASFVQGREIWTDDDSKELGKCMVYNLIHTLLQLLEEECREQSELLSFDDSFGMDNTISSERDSHVSFSKTSEVPSPTSPLSDPVQSGSDSMECSDIGSLDLSLHSPNSHWFEEQNESKFSLEGVSSDEPFLPTNSDLSHRDELSWQDSSVNASAFSTIKEEDTKEDSNKKTDETSMNKDNDKMLVARSHKHLTNGNEFKAKLFLRVLTDQWGVQQSIQHAADPQFQLIQLVKRCIAVRVNIHARHSIYVVSLLDKFNIVPDTNIIQHTLNKILSTNVLAENLLLGLGSYGVERLRIHQKSREYVNTCKLMQEMIKFIIERLRDSYKQNLLLAYLIDANGPEFLLLFLQGRTPSTRLLSFLVDLIGFLQEEENTAAIYGMISQIEEHSGGKCLFYKILGYLSTRITRIDDLSLENYKCGNGYYIRLTDLLFQVGYKKRFVRRSDKIITSLYQIHGIRFPFDGRNWIRFDFLLYQYMQQLLQMNKELSVQEILLQVAMLVNVDMLTSPVKQLLAGLTAVGHSHILNGQNIPHRQIFHKVILIFTAVLNRELRSESAKTSTWVLWDGEVPTVSEPVTSENKEEPIQGESFHSIQELLPFLVNLGGICNIIDKIDWNVVKNGTLRGHLCNTSLDLCISNKCAKATYYLLINGPLDAMDSEQCKWPSLCNPGALYLAKVQPPQLPEYFIIVSGLQGELSTPCGWESDQTGASILKTILKSKEITGGWSASSLIGGFSTRHPTIPSSFPPDPIQSPPPTTTRQGASGICCSTFPENMAPFRLPASVKQQGNDYIYVFSQGFPRKGPMHVVTINHWLSDCFSHDPLMVTAYERKTCVAVVLMGSHTIFAEFGMGSGTFFSYLQKRKGGKNAIGLTLQDQDLCFWASFSFASGPWKQIPPVSIGAMSHSLNFYQLASELSLKRAMTLIARTVPTVYPHVSESEDVRLLRLLRRIEESSVSQSGLSGHIAILNEACTQHLSSHLDLTEIIRIGMNANVCTTRSQRNICCIRKLWDSIFIQLDHSQLEPLWQILLLLPQVNSIGLIGVSTHDGEVAMNMLEKLLELPPQGLSKLVELMHTYGIREKFVEKAAPSKNMVATLAQITSIALCDLQGQFVKCNQLLRILHEFCNSNLFIAQLVPRDTCDLMATITNLMKIQLAIFRNEWQRSRRQNPLASHDSNLLKFYNELITSTEVQRQDSNSSLLIHVVETLCKLTLEAKRGVEEPDGDEWSDCDALVRMLVEMTAPSIAQLANRQGGAVIAGFLHLLCCKLSEKLHESEQFCQYFFPVFEVTLSAPKYKQRSKEVTSLIRGSIRHRWNRYPDYLSECFALAHIVFEEICTQDAMEKEKKKSKLQQIYLTTMTIVYNIYSCTGIEEVNSLNRCLIIFFKVLLISLAQQQEELGDAMKQVCQLLQIRLVQLINDPLLSIGPPMDDVRTPLKEFDVDLFQEYSSVFTDLWLKLYDPDKKTCYLMWLEFLRERSAM
+>sp|Q6ZNJ1|NBEL2_HUMAN Neurobeachin-like protein 2 OS=Homo sapiens OX=9606 GN=NBEAL2 PE=1 SV=2
+MAASERLYELWLLYYAQKDLGYLQQWLKAFVGAFKKSISLSSLEPRRPEEAGAEVPLLPLDELHVLAEQLHQADLEQALLLLKLFIILCRNLENIEAGRGQVLVPRVLALLTKLVAELKGCPPPQGRGTQLENVALHALLLCEGLFDPYQTWRRQRSGEVISSKEKSKYKFPPAALPQEFSAFFQESLQNADHLPPILLLRLIHLFCAVLAGGKENGQMAVSDGSVKGLLSVVRGWSRGPAPDPCLVPLALEALVGAVHVLHASRAPPRGPELRALLESYFHVLNADWPAGLSSGPEEALVTLRVSMLDAIPMMLACEDRPVLQATFLSNNCFEHLTRLIQNSKLYLQSRAPPEGDSDLATRLLTEPDVQKVLDQDTDAIAVHVVRVLTCIMSDSPSAKEVFKERIGYPHLQEVLQSHGPPTHRLLQELLNMAVEGDHSMCPPPPIRNEQPVLVLAQWLPSLPTAELRLFLAQRLRWLCDSCPASRATCVQAGLVGCLLETLSTGLALEARCQEQLLALLQALGRVSIRPMELRHLLRPRPGLDSEPGGAEAGKARHAGAVIRTLSGMARHQGPARALRYFDLTPSMAGIMVPPVQRWPGPGFTFHAWLCLHPMDTAPTPAPTRPLQRKQLYSFFTSSGSGFEAFFTAAGTLVVAVCTRKEYLTMSLPEVSFADSAWHCVAIVHVPGRRPFSQNLVHVYKDGHLVKTAPLRCPSLSEPFSSCCIGSAGYRTTTTTTGLPTPPVPATLAYTHPALTRSQSVPASTGLGWGSGLVAPLQEGSIDSTLAGTQDTRWGSPTSLEGELGAVAIFHEALQATALRTLCTLGPNETAPFKPEGELHELSTRLLLHYSPQACKNNICLDLSPSHGLDGRLTGHRVETWDVKDVVNCVGGMGALLPLLERVAAQPKEAEAGPAETHDLVGPELTSGHNTQGLVLPLGKSSEERMERNAVAAFLLMLRNFLQGHMVNQESLVQCQGPAIIGALLRKVPSWAMDMNVLMSAQLLMEQVAAEGSGPLLYLLYQHLLFNFHLWTLSDFAVRLGHIQYMSSIVREHRQKLRKKYGVQFILDALRTHYSPQRERPLAADDLRTVQTSLLGLAREFLVRSLSADDVQVTQTMLSFLAATGDDGQAVGALDLLLALLHGSLVQESLAVFLLEPGNLEVLLALLVRPGSLPLLPDRVCKILRRLQQNERLPERSRQRLRLRECGLQGLVACLPEGTVSPQLCQGLYKLFLGADCLNLSDLLAVVQLSLQADLSVRLDICRQLFHLIYGQPDVVRLLARQAGWQDVLTRLYVLEAATAGSPPPSSPESPTSPKPAPPKPPTESPAEPSDVFLPSEAPCPDPDGFYHALSPFCTPFDLGLERSSVGSGNTAGGGGSSGTLTPASQPGTPSPLDGPRPFPAAPGRHSSSLSNVLEDGSLPEPTISGDDTSNTSNPQQTSEEELCNLLTNVLFSVTWRGVEGSDEAAWRERGQVFSVLTQLGASATLVRPPDCIKRSLLEMMLESALTDIKEAPVGVLASLTQQALWLLRLLQDFLCAEGHGNQELWSEKLFEGVCSLLDRLGAWPHLANGTADLREMAQIGLRLVLGYILLEDPQLHAQAYVRLHMLLQTAVPARREEACYVLSKLEAALGRVLNTSSLESATDEAGSPLAAAAAAAAAERCSWLVPLVRTLLDRAYEPLGLQWGLPSLPPTNGSPTFFEDFQAFCATPEWRHFIDKQVQPTMSQFEMDTYAKSHDLMSGFWNACYDMLMSSGQRRQWERAQSRRAFQELVLEPAQRRARLEGLRYTAVLKQQATQHSMALLHWGALWRQLASPCGAWALRDTPIPRWKLSSAETYSRMRLKLVPNHHFDPHLEASALRDNLGEVPLTPTEEASLPLAVTKEAKVSTPPELLQEDQLGEDELAELETPMEAAELDEQREKLVLSAECQLVTVVAVVPGLLEVTTQNVYFYDGSTERVETEEGIGYDFRRPLAQLREVHLRRFNLRRSALELFFIDQANYFLNFPCKVGTTPVSSPSQTPRPQPGPIPPHTQVRNQVYSWLLRLRPPSQGYLSSRSPQEMLRASGLTQKWVQREISNFEYLMQLNTIAGRTYNDLSQYPVFPWVLQDYVSPTLDLSNPAVFRDLSKPIGVVNPKHAQLVREKYESFEDPAGTIDKFHYGTHYSNAAGVMHYLIRVEPFTSLHVQLQSGRFDCSDRQFHSVAAAWQARLESPADVKELIPEFFYFPDFLENQNGFDLGCLQLTNEKVGDVVLPPWASSPEDFIQQHRQALESEYVSAHLHEWIDLIFGYKQRGPAAEEALNVFYYCTYEGAVDLDHVTDERERKALEGIISNFGQTPCQLLKEPHPTRLSAEEAAHRLARLDTNSPSIFQHLDELKAFFAEVVSDGVPLVLALVPHRQPHSFITQGSPDLLVTVSASGLLGTHSWLPYDRNISNYFSFSKDPTMGSHKTQRLLSGPWVPGSGVSGQALAVAPDGKLLFSGGHWDGSLRVTALPRGKLLSQLSCHLDVVTCLALDTCGIYLISGSRDTTCMVWRLLHQGGLSVGLAPKPVQVLYGHGAAVSCVAISTELDMAVSGSEDGTVIIHTVRRGQFVAALRPLGATFPGPIFHLALGSEGQIVVQSSAWERPGAQVTYSLHLYSVNGKLRASLPLAEQPTALTVTEDFVLLGTAQCALHILQLNTLLPAAPPLPMKVAIRSVAVTKERSHVLVGLEDGKLIVVVAGQPSEVRSSQFARKLWRSSRRISQVSSGETEYNPTEAR
+>DECOY_sp|Q6ZNJ1|NBEL2_HUMAN Neurobeachin-like protein 2 OS=Homo sapiens OX=9606 GN=NBEAL2 PE=1 SV=2
+RAETPNYETEGSSVQSIRRSSRWLKRAFQSSRVESPQGAVVVILKGDELGVLVHSREKTVAVSRIAVKMPLPPAAPLLTNLQLIHLACQATGLLVFDETVTLATPQEALPLSARLKGNVSYLHLSYTVQAGPREWASSQVVIQGESGLALHFIPGPFTAGLPRLAAVFQGRRVTHIIVTGDESGSVAMDLETSIAVCSVAAGHGYLVQVPKPALGVSLGGQHLLRWVMCTTDRSGSILYIGCTDLALCTVVDLHCSLQSLLKGRPLATVRLSGDWHGGSFLLKGDPAVALAQGSVGSGPVWPGSLLRQTKHSGMTPDKSFSFYNSINRDYPLWSHTGLLGSASVTVLLDPSGQTIFSHPQRHPVLALVLPVGDSVVEAFFAKLEDLHQFISPSNTDLRALRHAAEEASLRTPHPEKLLQCPTQGFNSIIGELAKREREDTVHDLDVAGEYTCYYFVNLAEEAAPGRQKYGFILDIWEHLHASVYESELAQRHQQIFDEPSSAWPPLVVDGVKENTLQLCGLDFGNQNELFDPFYFFEPILEKVDAPSELRAQWAAAVSHFQRDSCDFRGSQLQVHLSTFPEVRILYHMVGAANSYHTGYHFKDITGAPDEFSEYKERVLQAHKPNVVGIPKSLDRFVAPNSLDLTPSVYDQLVWPFVPYQSLDNYTRGAITNLQMLYEFNSIERQVWKQTLGSARLMEQPSRSSLYGQSPPRLRLLWSYVQNRVQTHPPIPGPQPRPTQSPSSVPTTGVKCPFNLFYNAQDIFFLELASRRLNFRRLHVERLQALPRRFDYGIGEETEVRETSGDYFYVNQTTVELLGPVVAVVTVLQCEASLVLKERQEDLEAAEMPTELEALEDEGLQDEQLLEPPTSVKAEKTVALPLSAEETPTLPVEGLNDRLASAELHPDFHHNPVLKLRMRSYTEASSLKWRPIPTDRLAWAGCPSALQRWLAGWHLLAMSHQTAQQKLVATYRLGELRARRQAPELVLEQFARRSQAREWQRRQGSSMLMDYCANWFGSMLDHSKAYTDMEFQSMTPQVQKDIFHRWEPTACFAQFDEFFTPSGNTPPLSPLGWQLGLPEYARDLLTRVLPVLWSCREAAAAAAAAALPSGAEDTASELSSTNLVRGLAAELKSLVYCAEERRAPVATQLLMHLRVYAQAHLQPDELLIYGLVLRLGIQAMERLDATGNALHPWAGLRDLLSCVGEFLKESWLEQNGHGEACLFDQLLRLLWLAQQTLSALVGVPAEKIDTLASELMMELLSRKICDPPRVLTASAGLQTLVSFVQGRERWAAEDSGEVGRWTVSFLVNTLLNCLEEESTQQPNSTNSTDDGSITPEPLSGDELVNSLSSSHRGPAAPFPRPGDLPSPTGPQSAPTLTGSSGGGGATNGSGVSSRELGLDFPTCFPSLAHYFGDPDPCPAESPLFVDSPEAPSETPPKPPAPKPSTPSEPSSPPPSGATAAELVYLRTLVDQWGAQRALLRVVDPQGYILHFLQRCIDLRVSLDAQLSLQVVALLDSLNLCDAGLFLKYLGQCLQPSVTGEPLCAVLGQLGCERLRLRQRSREPLRENQQLRRLIKCVRDPLLPLSGPRVLLALLVELNGPELLFVALSEQVLSGHLLALLLDLAGVAQGDDGTAALFSLMTQTVQVDDASLSRVLFERALGLLSTQVTRLDDAALPRERQPSYHTRLADLIFQVGYKKRLKQRHERVISSMYQIHGLRVAFDSLTWLHFNFLLHQYLLYLLPGSGEAAVQEMLLQASMLVNMDMAWSPVKRLLAGIIAPGQCQVLSEQNVMHGQLFNRLMLLFAAVANREMREESSKGLPLVLGQTNHGSTLEPGVLDHTEAPGAEAEKPQAAVRELLPLLAGMGGVCNVVDKVDWTEVRHGTLRGDLGHSPSLDLCINNKCAQPSYHLLLRTSLEHLEGEPKFPATENPGLTCLTRLATAQLAEHFIAVAGLEGELSTPSGWRTDQTGALTSDISGEQLPAVLGSGWGLGTSAPVSQSRTLAPHTYALTAPVPPTPLGTTTTTTRYGASGICCSSFPESLSPCRLPATKVLHGDKYVHVLNQSFPRRGPVHVIAVCHWASDAFSVEPLSMTLYEKRTCVAVVLTGAATFFAEFGSGSSTFFSYLQKRQLPRTPAPTPATDMPHLCLWAHFTFGPGPWRQVPPVMIGAMSPTLDFYRLARAPGQHRAMGSLTRIVAGAHRAKGAEAGGPESDLGPRPRLLHRLEMPRISVRGLAQLLALLQEQCRAELALGTSLTELLCGVLGAQVCTARSAPCSDCLWRLRQALFLRLEATPLSPLWQALVLVPQENRIPPPPCMSHDGEVAMNLLEQLLRHTPPGHSQLVEQLHPYGIREKFVEKASPSDSMICTLVRVVHVAIADTDQDLVKQVDPETLLRTALDSDGEPPARSQLYLKSNQILRTLHEFCNNSLFTAQLVPRDECALMMPIADLMSVRLTVLAEEPGSSLGAPWDANLVHFYSELLARLEPGRPPARSAHLVHVAGVLAELALPVLCPDPAPGRSWGRVVSLLGKVSGDSVAMQGNEKGGALVACFLHILRLLLIPPLHDANQLSEQFFASFEQPLAAPPFKYKSKEKSSIVEGSRQRRWTQYPDFLGECLLLAHLAVNELQTGRGQPPPCGKLEAVLKTLLALVRPVLVQGRGAEINELNRCLIIFLKLLLLAQELDAQHLQEALVHLEDLPLLPVEAGAEEPRRPELSSLSISKKFAGVFAKLWQQLYGLDKQAYYLLWLEYLRESAAM
+>sp|Q3BBV0|NBPF1_HUMAN Neuroblastoma breakpoint family member 1 OS=Homo sapiens OX=9606 GN=NBPF1 PE=2 SV=2
+MVVSAGPWSSEKAETNILEINEKLRPQLAENKQQFRNLKEKCFVTQLAGFLANRQKKYKYEECKDLIKFMLRNERQFKEEKLAEQLKQAEELRQYKVLVHSQERELTQLREKLREGRDASRSLNQHLQALLTPDKPDKSQGQDLQEQLAEGCRLAQQLFQKLSPENDEDEDEDVQVEEAEKVLESSAPREVQKAEESKVPEDSLEECAITCSNSHSPCDSNQPHKNINITFEEDKVNSTLVVDRESSHDECQDAVNILPVPGPTSSATNVSMVVSAGPLSSEKAEMNILEINEKLHPQLAEKKQQFRNLKEKCFVTQLACFLANQQNKYKYEECKDLIKSMLRNERQFKEEKLAEQLKQAEELRQYKVLVHSQERELTQLREKLREGRDASRSLNQHLQALLTPDKPDKSQGQDLQEQLAEGCRLAQQLFQKLSPENDEDEDEDVQVEEAEKVLESSAPREVQKAEESKVPEDSLEECAITCSNSHGPCDSNQPHKNINITFEEDKVNSALVVDRESSHDECQDAVNILPVPGPTSSATNVSMVVSAGPLSSEKAEMNILEINEKLHPQLAEKKQQFRNLKEKCFVTQLACFLANQQNKYKNEECKDLIKSMLRNERQFKEEKLAEQLKQAEELRQYKVLVHSQERELTQLREKLREGRDASCSLNQHLQALLTPDEPDKSQGQDLQEQLAEGCRLAQHLVQKLSPENDNDDDEDVQVEVAEKVQKSSAPREMPKAEEKEVPEDSLEECAITCSNSHGPYDSNQPHRKTKITFEEDKVDSTLIGSSSHVEWEDAVHIIPENESDDEEEEEKGPVSPRNLQESEEEEVPQESWDEGYSTLSIPPEMLASYKSYSGTFHSLEEQQVCMAVDIGGHRWDQVKKEDQEATGPRLSRELLDEKGPEVLQDSLDRCYSTPSGYLELTDSCQPYRSAFYILEQQRVGWALDMDEIEKYQEVEEDQDPSCPRLSRELLDEKEPEVLQDSLDRCYSTPSGYLELPDLGQPYRSAVYSLEEQYLGLALDVDRIKKDQEEEEDQGPPCPRLSRELLEAVEPEVLQDSLDRCYSTPSSCLEQPDSCLPYGSSFYALEEKHVGFSLDVGEIEKKGKGKKRRGRRSTKKRRRRGRKEGEEDQNPPCPRLSGMLMEVEEPEVLQDSLDRCYSTPSMYFELPDSFQHYRSVFYSFEEQHISFALDVDNRFLTLMGTSLHLVFQMGVIFPQ
+>DECOY_sp|Q3BBV0|NBPF1_HUMAN Neuroblastoma breakpoint family member 1 OS=Homo sapiens OX=9606 GN=NBPF1 PE=2 SV=2
+QPFIVGMQFVLHLSTGMLTLFRNDVDLAFSIHQEEFSYFVSRYHQFSDPLEFYMSPTSYCRDLSDQLVEPEEVEMLMGSLRPCPPNQDEEGEKRGRRRRKKTSRRGRRKKGKGKKEIEGVDLSFGVHKEELAYFSSGYPLCSDPQELCSSPTSYCRDLSDQLVEPEVAELLERSLRPCPPGQDEEEEQDKKIRDVDLALGLYQEELSYVASRYPQGLDPLELYGSPTSYCRDLSDQLVEPEKEDLLERSLRPCSPDQDEEVEQYKEIEDMDLAWGVRQQELIYFASRYPQCSDTLELYGSPTSYCRDLSDQLVEPGKEDLLERSLRPGTAEQDEKKVQDWRHGGIDVAMCVQQEELSHFTGSYSKYSALMEPPISLTSYGEDWSEQPVEEEESEQLNRPSVPGKEEEEEDDSENEPIIHVADEWEVHSSSGILTSDVKDEEFTIKTKRHPQNSDYPGHSNSCTIACEELSDEPVEKEEAKPMERPASSKQVKEAVEVQVDEDDDNDNEPSLKQVLHQALRCGEALQEQLDQGQSKDPEDPTLLAQLHQNLSCSADRGERLKERLQTLEREQSHVLVKYQRLEEAQKLQEALKEEKFQRENRLMSKILDKCEENKYKNQQNALFCALQTVFCKEKLNRFQQKKEALQPHLKENIELINMEAKESSLPGASVVMSVNTASSTPGPVPLINVADQCEDHSSERDVVLASNVKDEEFTININKHPQNSDCPGHSNSCTIACEELSDEPVKSEEAKQVERPASSELVKEAEEVQVDEDEDEDNEPSLKQFLQQALRCGEALQEQLDQGQSKDPKDPTLLAQLHQNLSRSADRGERLKERLQTLEREQSHVLVKYQRLEEAQKLQEALKEEKFQRENRLMSKILDKCEEYKYKNQQNALFCALQTVFCKEKLNRFQQKKEALQPHLKENIELINMEAKESSLPGASVVMSVNTASSTPGPVPLINVADQCEDHSSERDVVLTSNVKDEEFTININKHPQNSDCPSHSNSCTIACEELSDEPVKSEEAKQVERPASSELVKEAEEVQVDEDEDEDNEPSLKQFLQQALRCGEALQEQLDQGQSKDPKDPTLLAQLHQNLSRSADRGERLKERLQTLEREQSHVLVKYQRLEEAQKLQEALKEEKFQRENRLMFKILDKCEEYKYKKQRNALFGALQTVFCKEKLNRFQQKNEALQPRLKENIELINTEAKESSWPGASVVM
+>sp|P0C2Y1|NBPF7_HUMAN Putative neuroblastoma breakpoint family member 7 OS=Homo sapiens OX=9606 GN=NBPF7 PE=5 SV=1
+MCLRFFSPVPGSTSSATNVTMVVSAGPWSSEKAEMNILEINEKLRPQLAENKQQFRNMKQKFLVTQMAGFLANQQNKYKYEECKDLIKSMLREELQFKEEKLAEQLKQAEELRQYKVLVHSQERELIQLREKLREGRDASHSLNQHLQALLTPDKHDNSQGQDFREQLAEGCRLARHLVHKLSPENDTDEDENDKTKELDKVQESPAPREEQKAEEKEVPEDSLEECAITYSNSHGPSDSNPPHKNIKITSEEDKVNSILVVDSESSQDEWQDALNILLENQNDDEEEEGKAPVPPQVTLWICGLKLQESEEKEVLQDSPEERVTTSCSDHDVSQSYQPCEGTFLALVEQKVCSAQDVASEHSNSKGEETPLGFPDTKYCWKDEKDERMSQKVAFLLDEKNYNSKPSSIPNTTLQGSFTED
+>DECOY_sp|P0C2Y1|NBPF7_HUMAN Putative neuroblastoma breakpoint family member 7 OS=Homo sapiens OX=9606 GN=NBPF7 PE=5 SV=1
+DETFSGQLTTNPISSPKSNYNKEDLLFAVKQSMREDKEDKWCYKTDPFGLPTEEGKSNSHESAVDQASCVKQEVLALFTGECPQYSQSVDHDSCSTTVREEPSDQLVEKEESEQLKLGCIWLTVQPPVPAKGEEEEDDNQNELLINLADQWEDQSSESDVVLISNVKDEESTIKINKHPPNSDSPGHSNSYTIACEELSDEPVEKEEAKQEERPAPSEQVKDLEKTKDNEDEDTDNEPSLKHVLHRALRCGEALQERFDQGQSNDHKDPTLLAQLHQNLSHSADRGERLKERLQILEREQSHVLVKYQRLEEAQKLQEALKEEKFQLEERLMSKILDKCEEYKYKNQQNALFGAMQTVLFKQKMNRFQQKNEALQPRLKENIELINMEAKESSWPGASVVMTVNTASSTSGPVPSFFRLCM
+>sp|P0DPF3|NBPF9_HUMAN Neuroblastoma breakpoint family member 9 OS=Homo sapiens OX=9606 GN=NBPF9 PE=2 SV=1
+MVVSAGPWSSEKAEMNILEINEKLRPQLAENKQQFGNLKERCFLTQLAGFLANRQKKYKYEECKDLIKFMLRNERQFKEEKLAEQLKQAEELRQYKVLVHSQERELTQLKEKLREGRDASRSLNEHLQALLTPDEPDKSQGQDLQEQLAEGCRLAQHLVQKLSPENDEDEDEDVQVEEDEKVLESSAPREVQKAEESKVAEDSLEECAITCSNSHGPCDSNQPHKNIKITFEEDEVNSTLVVDRESSHDECQDALNILPVPGPTSSATNVSMVVSAGPLSSEKAEMNILEINEKLRPQLAEKKQQFRNLKEKCFLTQLAGFLANQQNKYKYEECKDLIKFMLRNERQFKEEKLAEQLKQAEELRQYKVLVHAQERELTQLREKLREGRDASRSLNEHLQALLTPDEPDKSQGQDLQEQLAEGCRLAQHLVQKLSPENDNDDDEDVQIEVAEKVQKSSAPREMQKAEEKEVPEDSLEECAITYSNSHGPYDSNQPHRKTKITFEEDKVDSTLIGSSSHVEREDAVHIIPENESDDEEEEEKGPVSPRNLQESEEEEVPQESWDEGYSTPSIPPEMLASYKSYSSTFHSLEEQQVCMAVDIGRHRWDQVKKEDQEATGPRLSRELLDEKGPEVLQDSLDRCYSTPSGCLELTDSCQPYRSAFYVLEQQRVGLAVDMDEIEKYQEVEEDQDPSCPRLSRELLDEKEPEVLQDSLGRWYSTPSGYLELPDLGQPYSSAVYSLEEQYLGLALDLDRIKKDQEEEEDQGPPCPRLSRELLEVVEPEVLQDSLDRCYSTPSSCLEQPDSCQPYGSSFYALEEKHVGFSLDVGEIEKKGKGKKRRGRRSKKKRRRGRKEGEENQNPPCPRLSRELLDEKEPEVLQDSLDRCYSTPSGYLELPDLGQPYSSAVYSLEEQYLGLALDVDRIKKDQEEEEDQGPPCPRLSRELLEVVEPEVLQDSLDRCYSTPSSCLEQPDSCQPYGSSFYALEEKHVGFSLDVGEIEKKGKGKKRRGRRSKKERRRGRKEGEEDQNPPCPRLNGVLMEVEEPEVLQDSLDGCYSTPSMYFELPDSFQHYRSVFYSFEEQHISFALYVDNRFFTLTVTSLHLVFQMEVIFPQ
+>DECOY_sp|P0DPF3|NBPF9_HUMAN Neuroblastoma breakpoint family member 9 OS=Homo sapiens OX=9606 GN=NBPF9 PE=2 SV=1
+QPFIVEMQFVLHLSTVTLTFFRNDVYLAFSIHQEEFSYFVSRYHQFSDPLEFYMSPTSYCGDLSDQLVEPEEVEMLVGNLRPCPPNQDEEGEKRGRRREKKSRRGRRKKGKGKKEIEGVDLSFGVHKEELAYFSSGYPQCSDPQELCSSPTSYCRDLSDQLVEPEVVELLERSLRPCPPGQDEEEEQDKKIRDVDLALGLYQEELSYVASSYPQGLDPLELYGSPTSYCRDLSDQLVEPEKEDLLERSLRPCPPNQNEEGEKRGRRRKKKSRRGRRKKGKGKKEIEGVDLSFGVHKEELAYFSSGYPQCSDPQELCSSPTSYCRDLSDQLVEPEVVELLERSLRPCPPGQDEEEEQDKKIRDLDLALGLYQEELSYVASSYPQGLDPLELYGSPTSYWRGLSDQLVEPEKEDLLERSLRPCSPDQDEEVEQYKEIEDMDVALGVRQQELVYFASRYPQCSDTLELCGSPTSYCRDLSDQLVEPGKEDLLERSLRPGTAEQDEKKVQDWRHRGIDVAMCVQQEELSHFTSSYSKYSALMEPPISPTSYGEDWSEQPVEEEESEQLNRPSVPGKEEEEEDDSENEPIIHVADEREVHSSSGILTSDVKDEEFTIKTKRHPQNSDYPGHSNSYTIACEELSDEPVEKEEAKQMERPASSKQVKEAVEIQVDEDDDNDNEPSLKQVLHQALRCGEALQEQLDQGQSKDPEDPTLLAQLHENLSRSADRGERLKERLQTLEREQAHVLVKYQRLEEAQKLQEALKEEKFQRENRLMFKILDKCEEYKYKNQQNALFGALQTLFCKEKLNRFQQKKEALQPRLKENIELINMEAKESSLPGASVVMSVNTASSTPGPVPLINLADQCEDHSSERDVVLTSNVEDEEFTIKINKHPQNSDCPGHSNSCTIACEELSDEAVKSEEAKQVERPASSELVKEDEEVQVDEDEDEDNEPSLKQVLHQALRCGEALQEQLDQGQSKDPEDPTLLAQLHENLSRSADRGERLKEKLQTLEREQSHVLVKYQRLEEAQKLQEALKEEKFQRENRLMFKILDKCEEYKYKKQRNALFGALQTLFCREKLNGFQQKNEALQPRLKENIELINMEAKESSWPGASVVM
+>sp|Q5TI25|NBPFE_HUMAN Neuroblastoma breakpoint family member 14 OS=Homo sapiens OX=9606 GN=NBPF14 PE=2 SV=2
+MLRNERQFKEEKLAEQLKQAEELRQYKVLVHAQERELTQLREKLREGRDASRSLNEHLQALLTPDEPDKSQGQDLQEQLAEGCRLAQHLVQKLSPENDNDDDEDVQVEVAEKVQKSSAPREMQKAEEKEVPEDSLEECAITCSNSHGPYDSNQPHRKTKITFEEDKVDSTLIGSSSHVEWEDAVHIIPENESDDEEEEEKGPVSPRNLQESEEEEVPQESWDEGYSTLSIPPEMLASYKSYSSTFHSLEEQQVCMAVDIGRHRWDQVKKEDHEATGPRLSRELLDEKGPEVLQDSLDRCYSTPSGCLELTDSCQPYRSAFYVLEQQRVGLAVNMDEIEKYQEVEEDQDPSCPRLSRELLDEKEPEVLQDSLGRCYSTPSGYLELPDLGQPYSSAVYSLEEQYLGLALDVDRIKKDQEEEEDQGPPCPRLSRELLEVVEPEVLQDSLDRCYSTPSSCLEQPDSCQPYGSSFYALEEKHVGFSLDVGEIEKKGKGKKRRGRRSKKERRRGRKEGEEDQNPPCPRLSRELLDEKGPEVLQDSLDRCYSTPSGCLELTDSCQPYRSAFYILEQQRVGLAVDMDEIEKYQEVEEDQDPSCPRLSGELLDEKEPEVLQESLDRCYSTPSGCLELTDSCQPYRSAFYILEQQRVGLAVDMDEIEKYQEVEEDQDPSCPRLSRELLDEKEPEVLQDSLGRCYSTPSGYLELPDLGQPYSSAVYSLEEQYLGLALDVDRIKKDQEEEEDQGPPCPRLSRELLEVVEPEVLQDSLDRCYSTPSSCLEQPDSCQPYGSSFYALEEKHVGFSLDVGEIEKKGKGKKRRGRRSKKERRRGRKEGEEDQNPPCPRLNSMLMEVEEPEVLQDSLDICYSTPSMYFELPDSFQHYRSVFYSFEEEHISFALYVDNRFFTLTVTSLHLVFQMGVIFPQ
+>DECOY_sp|Q5TI25|NBPFE_HUMAN Neuroblastoma breakpoint family member 14 OS=Homo sapiens OX=9606 GN=NBPF14 PE=2 SV=2
+QPFIVGMQFVLHLSTVTLTFFRNDVYLAFSIHEEEFSYFVSRYHQFSDPLEFYMSPTSYCIDLSDQLVEPEEVEMLMSNLRPCPPNQDEEGEKRGRRREKKSRRGRRKKGKGKKEIEGVDLSFGVHKEELAYFSSGYPQCSDPQELCSSPTSYCRDLSDQLVEPEVVELLERSLRPCPPGQDEEEEQDKKIRDVDLALGLYQEELSYVASSYPQGLDPLELYGSPTSYCRGLSDQLVEPEKEDLLERSLRPCSPDQDEEVEQYKEIEDMDVALGVRQQELIYFASRYPQCSDTLELCGSPTSYCRDLSEQLVEPEKEDLLEGSLRPCSPDQDEEVEQYKEIEDMDVALGVRQQELIYFASRYPQCSDTLELCGSPTSYCRDLSDQLVEPGKEDLLERSLRPCPPNQDEEGEKRGRRREKKSRRGRRKKGKGKKEIEGVDLSFGVHKEELAYFSSGYPQCSDPQELCSSPTSYCRDLSDQLVEPEVVELLERSLRPCPPGQDEEEEQDKKIRDVDLALGLYQEELSYVASSYPQGLDPLELYGSPTSYCRGLSDQLVEPEKEDLLERSLRPCSPDQDEEVEQYKEIEDMNVALGVRQQELVYFASRYPQCSDTLELCGSPTSYCRDLSDQLVEPGKEDLLERSLRPGTAEHDEKKVQDWRHRGIDVAMCVQQEELSHFTSSYSKYSALMEPPISLTSYGEDWSEQPVEEEESEQLNRPSVPGKEEEEEDDSENEPIIHVADEWEVHSSSGILTSDVKDEEFTIKTKRHPQNSDYPGHSNSCTIACEELSDEPVEKEEAKQMERPASSKQVKEAVEVQVDEDDDNDNEPSLKQVLHQALRCGEALQEQLDQGQSKDPEDPTLLAQLHENLSRSADRGERLKERLQTLEREQAHVLVKYQRLEEAQKLQEALKEEKFQRENRLM
+>sp|A0A087WUL8|NBPFJ_HUMAN Neuroblastoma breakpoint family member 19 OS=Homo sapiens OX=9606 GN=NBPF19 PE=5 SV=1
+MVVSAGPWSSEKAEMNILEINETLRPQLAEKKQQFRNLKEKCFLTQLAGFLANRQKKYKYEECKDLIKFMLRNERQFKEEKLAEQLKQAEELRQYKVLFHSQERELTQLREKLREGRDASRSLNEHLQALLTPDEPDKSQGQDLQEQLAEGCRLAQHLVQKLSPENDNDDDEDVQVEVAEKVQKSSAPREMQKAEEKEVPEDSLEECAITYSNSHGPYDSNQPHRKTKITFEEDKVDSTLIGSSSHVEWEDAVHIIPENESDDEEEEEKGPVSPRNLQESEEEEVPQESWDEGYSTLSIPPEMLASYQSYSSTFHSLEEQQVCMAVDIGRHRWDQVKKEDQEATGPRLSRELLDEKGPEVLQDSLDRCYSTPSGCLELTDSCQPYRSAFYVLEQQRVGLAIDMDEIEKYQEVEEDQDPSCPRLSRELLDEKEPEVLQDSLDRCYSIPSGYLELPDLGQPYSSAVYSLEEQYLGLALDVDRIKKDQEEEEDQDPPCPRLSRELVEVVEPEVLQDSLDRCYSTPSSCLEQPDSCQPYGSSFYALEEKHVGFSLDVGEIEKKGKGKKRRGRRSKKERRRGRKEGEEDQNPPCPRLSRELLDEKGPEVLQDSLDRCYSTPSGCLELTDSCQPYRSAFYILEQQCVGLAVDMDEIEKYQEVEEDQDPSCPRLSRELLDEKEPEVLQDSLDRCYSIPSGYLELPDLGQPYSSAVYSLEEQYLGLALDVDRIKKDQEEEEDQDPPCPRLSRELVEVVEPEVLQDSLDRCYSTPSSCLEQPDSCQPYGSSFYALEEKHVGFSLDVGEIEKKGKGKKRRGRRSKKERRRGRKEGEEDQNPPCPRLSRELLDEKGPEVLQDSLDRCYSTPSGCLELTDSCQPYRSAFYILEQQCVGLAIDMDEIEKYQEVEEDQDPSCPRLSRELLDEKEPEVLQDSLDRCYSIPSGYLELPDLGQPYSSAVYSLEEQYLGLALDVDRIKKDQEEEEDQDPPCPRLSRELVEVVEPEVLQDSLDRCYSTPSSCLEQPDSCQPYGSSFYALEEKHVGFSLDVGEIEKKGKGKKRRGRRSKKERRRGRKEGEEDQNPPCPRLSRELLDEKGPEVLQDSLDRCYSTPSGCLELTDSCQPYRSAFYILEQQCVGLAVDMDEIEKYQEVEEDQDPSCPRLSRELLDEKEPEVLQDSLDRCYSIPSGYLELPDLGQPYSSAVYSLEEQYLGLALDVDRIKKDQEEEEDQDPPCPRLSRELVEVVEPEVLQDSLDRCYSTPSSCLEQPDSCQPYGSSFYALEEKHVGFSLDVGEIEKKGKGKKRRGRRSKKERRRGRKEGEEDQNPPCPRLSRELLDEKGPEVLQDSLDRCYSTPSGCLELTDSCQPYRSAFYILEQQCVGLAVDMDEIEKYQEVEEDQDPSCPRLSRELLDEKEPEVLQDSLDRCYSIPSGYLELPDLGQPYSSAVYSLEEQYLGLALDVDRIKKDQEEEEDQDPPCPRLSRELVEVVEPEVLQDSLDRCYSTPSSCLEQPDSCQPYGSSFYALEEKHVGFSLDVGEIEKKGKGKKRRGRRSKKERRRGRKEGEEDQNPPCPRLSRELLDEKGPEVLQDSLDRCYSTPSGCLELTDSCQPYRSAFYILEQQCVGLAVDMDEIEKYQEVEEDQDPSCPRLSRELLDEKEPEVLQDSLDRCYSIPSGYLELPDLGQPYSSAVYSLEEQYLGLALDVDRIKKDQEEEEDQDPPCPRLSRELLEVVEPEVLQDSLDRCYSTPSSCLEQPDSCQPYGSSFYALEEKHVGFSLDVGEIEKKGKGKKRRGRRSKKERRRGRKEGEEDQNPPCPRLSRELLDEKGPEVLQDSLDRCYSTPSGCLELTDSCQPYRSAFYILEQQCVGLAVDMDEIEKYQEVEEDQDPSCPRLSRELLDEKEPEVLQDSLDRCYSTPSGYLELPDLGQPYSSAVYSLEEQYLGLALDVDRIKKDQEEEEDQGPPCPRLSRELLEVVEPEVLQDSLDRCYSTPSSCLEQPDSCQPYGSSFYALEEKHVGFSLDVGEIEKKGKGKKRRGRRSKKERRRGRKEGEEDQNPPCPRLSRELLDEKGPEVLQDSLDRCYSTPSGCLELTDSCQPYRSAFYILEQQCVGLAVDMDEIEKYQEVEEDQDPSCPRLSRELLDEKEPEVLQDSLDRCYSTPSGYLELPDLGQPYSSAVYSLEEQYLGLALDVDRIKKDQEEEEDQGPPCPRLSRELLEVVEPEVLQDSLDRCYSTPSSCLEQPDSCQPYGSSFYALEEKHVGFSLDVGEIEKKGKGKKRRGRRSKKERRRGRKEGEEDQNPPCPRLSRELLDEKGPEVLQDSLDRCYSTPSGCLELTDSCQPYRSAFYILEQQCVGLAVDMDEIEKYQEVEEDQDPSCPRLSRELLDEKEPEVLQDSLDRCYSTPSGYLELPDLGQPYSSAVYSLEEQYLGLALDVDRIKKDQEEEEDQGPPCPRLSRELLEVVEPEVLQDSLDRCYSTPSSCLEQPDSCQPYGSSFYALEEKHVGFSLDVGEIEKKGKGKKRRGRRSKKERRRGRKEGEEDQNPPCPRLSRELLDEKGPEVLQDSLDRCYSTPSGCLELTDSCQPYRSAFYILEQQCVGLAVDMDEIEKYQEVEEDQDPSCPRLSRELLDEKEPEVLQDSLDRCYSTPSGYLELPDLGQPYSSAVYSLEEQYLGLALDVDRIKKDQEEEEDQGPPCPRLSRELLEVVEPEVLQDSLDRCYSTPSSCLEQPDSCQPYGSSFYALEEKHVGFSLDVGEIEKKGKGKKRRGRRSKKERRRGRKEGEEDQNPPCPRLSRELLDEKGPEVLQDSLDRCYSTPSGCLELTDSCQPYRSAFYILEQQCVGLAVDMDEIEKYQEVEEDQDPSCPRLSRELLDEKEPEVLQDSLDRCYSTPSGYLELPDLGQPYSSAVYSLEEQYLGLALDVDRIKKDQEEEEDQGPPCPRLSRELLEVVEPEVLQDSLDRCYSTPSSCLEQPDSCQPYGSSFYALEEKHVGFSLDVGEIEKKGKGKKRRGRRSKKERRRGRKEGEEDQNPPCPRLSRELLDEKGPEVLQDSLDRCYSTPSGCLELTDSCQPYRSAFYILEQQCVGLAVDMDEIEKYQEVEEDQDPSCPRLSRELLAEKEPEVLQDPLDRCYSTPSGYLELPDLGQPYSSAVYSLEEQYLGLALDVDRIKKDQEEEEDQGPPCPRLSRELLEVVEPEVLQDSLDRCYSTPSSCLEQPDSCQPYGSSFYALEEKHVGFSLDVGEIEKKGKGKKRRGRRSKKERRRGRKEGEEDQNPPCPRLSRELLDEKGPEVLQDSLDRCYSTPSGCLELTDSCQPYRSAFYILEQQCVGLAVDMDEIEKYQEVEEDQDPSCPRLSRELLAEKEPEVLQDPLDRCYSTPSGYLELPDLGQPYSSAVYSLEEQYLGLALDVDRIKKDQEEEEDQGPPCPRLSRELLEVVEPEVLQDSLDRCYSTPSSCLEQPDSCQPYGSSFYALEEKHVGFSLDVGEIEKKGKGKKRRGRRSKKERRRGRKEGEEDQNPPCPRLSRELLDEKGPEVLQDSLDRCYSTPSGCLELTDSCQPYRSAFYILEQQCVGLAVDMDEIEKYQEVEEDQDPSCPRLSRELLAEKEPEVLQDPLDRCYSTPSGYLELPDLGQPYSSAVYSLEEQYLGLALDVDRIKKDQEEEEDQGPPCPRLSRELLEVVEPEVLQDSLDRCYSTPSSCLEQPDSCQPYGSSFYALEEKHVGFSLDVGEIEKKGKGKKRRGRRSKKERRRGRKEGEEDQNPPCPRLNSVLMEVEEPEVLQDSLDGCYSTPSMYFELPDSFQHYRSVFYSFEEEHISFALYLDNRFFTLTVTSLHLVFQMLVIFPQ
+>DECOY_sp|A0A087WUL8|NBPFJ_HUMAN Neuroblastoma breakpoint family member 19 OS=Homo sapiens OX=9606 GN=NBPF19 PE=5 SV=1
+QPFIVLMQFVLHLSTVTLTFFRNDLYLAFSIHEEEFSYFVSRYHQFSDPLEFYMSPTSYCGDLSDQLVEPEEVEMLVSNLRPCPPNQDEEGEKRGRRREKKSRRGRRKKGKGKKEIEGVDLSFGVHKEELAYFSSGYPQCSDPQELCSSPTSYCRDLSDQLVEPEVVELLERSLRPCPPGQDEEEEQDKKIRDVDLALGLYQEELSYVASSYPQGLDPLELYGSPTSYCRDLPDQLVEPEKEALLERSLRPCSPDQDEEVEQYKEIEDMDVALGVCQQELIYFASRYPQCSDTLELCGSPTSYCRDLSDQLVEPGKEDLLERSLRPCPPNQDEEGEKRGRRREKKSRRGRRKKGKGKKEIEGVDLSFGVHKEELAYFSSGYPQCSDPQELCSSPTSYCRDLSDQLVEPEVVELLERSLRPCPPGQDEEEEQDKKIRDVDLALGLYQEELSYVASSYPQGLDPLELYGSPTSYCRDLPDQLVEPEKEALLERSLRPCSPDQDEEVEQYKEIEDMDVALGVCQQELIYFASRYPQCSDTLELCGSPTSYCRDLSDQLVEPGKEDLLERSLRPCPPNQDEEGEKRGRRREKKSRRGRRKKGKGKKEIEGVDLSFGVHKEELAYFSSGYPQCSDPQELCSSPTSYCRDLSDQLVEPEVVELLERSLRPCPPGQDEEEEQDKKIRDVDLALGLYQEELSYVASSYPQGLDPLELYGSPTSYCRDLPDQLVEPEKEALLERSLRPCSPDQDEEVEQYKEIEDMDVALGVCQQELIYFASRYPQCSDTLELCGSPTSYCRDLSDQLVEPGKEDLLERSLRPCPPNQDEEGEKRGRRREKKSRRGRRKKGKGKKEIEGVDLSFGVHKEELAYFSSGYPQCSDPQELCSSPTSYCRDLSDQLVEPEVVELLERSLRPCPPGQDEEEEQDKKIRDVDLALGLYQEELSYVASSYPQGLDPLELYGSPTSYCRDLSDQLVEPEKEDLLERSLRPCSPDQDEEVEQYKEIEDMDVALGVCQQELIYFASRYPQCSDTLELCGSPTSYCRDLSDQLVEPGKEDLLERSLRPCPPNQDEEGEKRGRRREKKSRRGRRKKGKGKKEIEGVDLSFGVHKEELAYFSSGYPQCSDPQELCSSPTSYCRDLSDQLVEPEVVELLERSLRPCPPGQDEEEEQDKKIRDVDLALGLYQEELSYVASSYPQGLDPLELYGSPTSYCRDLSDQLVEPEKEDLLERSLRPCSPDQDEEVEQYKEIEDMDVALGVCQQELIYFASRYPQCSDTLELCGSPTSYCRDLSDQLVEPGKEDLLERSLRPCPPNQDEEGEKRGRRREKKSRRGRRKKGKGKKEIEGVDLSFGVHKEELAYFSSGYPQCSDPQELCSSPTSYCRDLSDQLVEPEVVELLERSLRPCPPGQDEEEEQDKKIRDVDLALGLYQEELSYVASSYPQGLDPLELYGSPTSYCRDLSDQLVEPEKEDLLERSLRPCSPDQDEEVEQYKEIEDMDVALGVCQQELIYFASRYPQCSDTLELCGSPTSYCRDLSDQLVEPGKEDLLERSLRPCPPNQDEEGEKRGRRREKKSRRGRRKKGKGKKEIEGVDLSFGVHKEELAYFSSGYPQCSDPQELCSSPTSYCRDLSDQLVEPEVVELLERSLRPCPPGQDEEEEQDKKIRDVDLALGLYQEELSYVASSYPQGLDPLELYGSPTSYCRDLSDQLVEPEKEDLLERSLRPCSPDQDEEVEQYKEIEDMDVALGVCQQELIYFASRYPQCSDTLELCGSPTSYCRDLSDQLVEPGKEDLLERSLRPCPPNQDEEGEKRGRRREKKSRRGRRKKGKGKKEIEGVDLSFGVHKEELAYFSSGYPQCSDPQELCSSPTSYCRDLSDQLVEPEVVELLERSLRPCPPGQDEEEEQDKKIRDVDLALGLYQEELSYVASSYPQGLDPLELYGSPTSYCRDLSDQLVEPEKEDLLERSLRPCSPDQDEEVEQYKEIEDMDVALGVCQQELIYFASRYPQCSDTLELCGSPTSYCRDLSDQLVEPGKEDLLERSLRPCPPNQDEEGEKRGRRREKKSRRGRRKKGKGKKEIEGVDLSFGVHKEELAYFSSGYPQCSDPQELCSSPTSYCRDLSDQLVEPEVVELLERSLRPCPPDQDEEEEQDKKIRDVDLALGLYQEELSYVASSYPQGLDPLELYGSPISYCRDLSDQLVEPEKEDLLERSLRPCSPDQDEEVEQYKEIEDMDVALGVCQQELIYFASRYPQCSDTLELCGSPTSYCRDLSDQLVEPGKEDLLERSLRPCPPNQDEEGEKRGRRREKKSRRGRRKKGKGKKEIEGVDLSFGVHKEELAYFSSGYPQCSDPQELCSSPTSYCRDLSDQLVEPEVVEVLERSLRPCPPDQDEEEEQDKKIRDVDLALGLYQEELSYVASSYPQGLDPLELYGSPISYCRDLSDQLVEPEKEDLLERSLRPCSPDQDEEVEQYKEIEDMDVALGVCQQELIYFASRYPQCSDTLELCGSPTSYCRDLSDQLVEPGKEDLLERSLRPCPPNQDEEGEKRGRRREKKSRRGRRKKGKGKKEIEGVDLSFGVHKEELAYFSSGYPQCSDPQELCSSPTSYCRDLSDQLVEPEVVEVLERSLRPCPPDQDEEEEQDKKIRDVDLALGLYQEELSYVASSYPQGLDPLELYGSPISYCRDLSDQLVEPEKEDLLERSLRPCSPDQDEEVEQYKEIEDMDVALGVCQQELIYFASRYPQCSDTLELCGSPTSYCRDLSDQLVEPGKEDLLERSLRPCPPNQDEEGEKRGRRREKKSRRGRRKKGKGKKEIEGVDLSFGVHKEELAYFSSGYPQCSDPQELCSSPTSYCRDLSDQLVEPEVVEVLERSLRPCPPDQDEEEEQDKKIRDVDLALGLYQEELSYVASSYPQGLDPLELYGSPISYCRDLSDQLVEPEKEDLLERSLRPCSPDQDEEVEQYKEIEDMDIALGVCQQELIYFASRYPQCSDTLELCGSPTSYCRDLSDQLVEPGKEDLLERSLRPCPPNQDEEGEKRGRRREKKSRRGRRKKGKGKKEIEGVDLSFGVHKEELAYFSSGYPQCSDPQELCSSPTSYCRDLSDQLVEPEVVEVLERSLRPCPPDQDEEEEQDKKIRDVDLALGLYQEELSYVASSYPQGLDPLELYGSPISYCRDLSDQLVEPEKEDLLERSLRPCSPDQDEEVEQYKEIEDMDVALGVCQQELIYFASRYPQCSDTLELCGSPTSYCRDLSDQLVEPGKEDLLERSLRPCPPNQDEEGEKRGRRREKKSRRGRRKKGKGKKEIEGVDLSFGVHKEELAYFSSGYPQCSDPQELCSSPTSYCRDLSDQLVEPEVVEVLERSLRPCPPDQDEEEEQDKKIRDVDLALGLYQEELSYVASSYPQGLDPLELYGSPISYCRDLSDQLVEPEKEDLLERSLRPCSPDQDEEVEQYKEIEDMDIALGVRQQELVYFASRYPQCSDTLELCGSPTSYCRDLSDQLVEPGKEDLLERSLRPGTAEQDEKKVQDWRHRGIDVAMCVQQEELSHFTSSYSQYSALMEPPISLTSYGEDWSEQPVEEEESEQLNRPSVPGKEEEEEDDSENEPIIHVADEWEVHSSSGILTSDVKDEEFTIKTKRHPQNSDYPGHSNSYTIACEELSDEPVEKEEAKQMERPASSKQVKEAVEVQVDEDDDNDNEPSLKQVLHQALRCGEALQEQLDQGQSKDPEDPTLLAQLHENLSRSADRGERLKERLQTLEREQSHFLVKYQRLEEAQKLQEALKEEKFQRENRLMFKILDKCEEYKYKKQRNALFGALQTLFCKEKLNRFQQKKEALQPRLTENIELINMEAKESSWPGASVVM
+>sp|P0DPF2|NBPFK_HUMAN Neuroblastoma breakpoint family member 20 OS=Homo sapiens OX=9606 GN=NBPF20 PE=3 SV=1
+MFRLSRELLDEKGPEVLQDSLDRCYSTPSGCLELTDSCQPYRSAFYVLEQQRIGLAVDMDEIEKYQEVEEDQDPSCPRLSRELLDEKEPEVLQDSLDRCYSTPSGYLELPDLGQPYSSAVYSLEEQYLGLALDVDRTKKDQEEEEDQGPPCPRLSRELLEVVEPEVLQDSLDRCYSTPSSCLEQPDSCQPYGSSFYALEEKHVGFSLDVGEIEKKGKGKKRRGRRSKKERRRGRKEGEEDQNPPCPRLSRELLDEKGPEVLQDSLDRCYSTPSGCLELTDSCQPYRSAFYVLEQQRVGLAVDMDEIEKYQEVEEDQDPSCPRLSRELLDEKEPEVLQDSLDRCYSTPSGYLELPDLGQPYSSAVYSLEEQYLGLALDVDRTKKDQEEEEDQGPPCPRLSRELLEVVEPEVLQDSLDRCYSTPSSCLEQPDSCQPYGSSFYALEEKHVGFSLDVGEIEKKGKGKKRRGRRSKKERRRGRKEGEEDQNPPCPRLSRELLDEKGPEVLQDSLDRSYSTPSGCLELTDSCQPYRSAFYVLEQQRVGLAVDMDEIEKYQEVEEDQDPSCPRLSRELLDEKEPEVLQDSLDRCYSTPSGYLELPDLGQPYSSAVYSLEEQYLGLALDVDRTKKDQEEEEDQGPPCPRLSRELLEVVEPEVLQDSLDRCYSTPSSCLEQPDSCQPYGSSFYALEEKHVGFSLDVGEIEKKGKGKKRRGRRSKKERRRGRKEGEEDQNPPCPRLSRELLDEKGPEVLQDSLDRCYSTPSGCLELTDSCQPYRSAFYVLEQQRVGLAVDMDEIEKYQEVEEDQDPSCPRLSRELLDEKEPEVLQDSLDRCYSTPSGYLELPDLGQPYSSAVYSLEEQYLGLALDVDRTKKDQEEEEDQGPPCPRLSRELLEVVEPEVLQDSLDRCYSTPSSCLEQPDSCQPYGSSFYALEEKHVGFSLDVGEIEKKGKGKKRRGRRSKKERRRGRKEGEEDQNPPCPRLSRELLDEKGPEVLQDSLDRSYSTPSGCLELTDSCQPYRSAFYVLEQQRVGLAVDMDEIEKYQEVEEDQDPSCPRLSRELLDEKEPEVLQDSLDRCYSTPSGYLELPDLGQPYSSAVYSLEEQYLGLALDVDRTKKDQEEEEDQGPPCPRLSRELLEVVEPEVLQDSLDRCYSTPSSCLEQPDSCQPYGSSFYALEEKHVGFSLDVGEIEKKGKGKKRRGRRSKKERRRGRKEGEEDQNPPCPRLSRELLDEKGPEVLQDSLDRSYSTPSGCLELTDSCQPYRSAFYVLEQQRVGLAVDMDEIEKYQEVEEDQDPSCPRLSRELLDEKEPEVLQDSLDRCYSTPSGYLELPDLGQPYSSAVYSLEEQYLGLALDVDRTKKDQEEEEDQGPPCPRLSRELLEVVEPEVLQDSLDRCYSTPSSCLEQPDSCQPYGSSFYALEEKHVGFSLDVGEIEKKGKGKKRRGRRSKKERRRGRKEGEEDQTPPCPRLSRELLDEKGPEVLQDSLDRSYSTPSGCLELTDSCQPYRSAFYVLEQQRVGLAVDMDEIEKYQEVEEDQDPSCPRLSRELLDEKEPEVLQDSLDRCYSTPSGYLELPDLGQPYSSAVYSLEEQYLGLALDVDRTKKDQEEEEDQGPPCPRLSRELLEVVEPEVLQDSLDRCYSTPSSCLEQPDSCQPYGSSFYALEEKHVGFSLDVGEIEKKGKGKKRRGRRSKKERRRGRKEGEEDQNPPCPRLSRELLDEKGPEVLQDSLDRSYSTPSGCLELTDSCQPYRSAFYVLEQQRVGLAVDMDEIEKYQEVEEDQDPSCPRLSRELLDEKEPEVLQDSLDRCYSTPSGYLELPDLGQPYSSAVYSLEEQYLGLALDVDRTKKDQEEEEDQGPPCPRLSRELLEVVEPEVLQDSLDRCYSTPSSCLEQPDSCQPYGSSFYALEEKHVGFSLDVGEIEKKGKGKKRRGRRSKKERRRGRKEGEEDQNPPCPRLSRELLDEKGPEVLQDSLDRCYSTPSGCLELTDSCQPYRSAFYVLEQQRVGLAVDMDEIEKYQEVEEDQDPSCPRLSRELLDEKEPEVLQDSLDRCYSTPSGYLELPDLGQPYSSAVYSLEEQYLGLALDVDRTKKDQEEEEDQGPPCPRLSRELLEVVEPEVLQDSLDRCYSTPSSCLEQPDSCQPYGSSFYALEEKHVGFSLDVGEIEKKGKGKKRRGRRSKKERRRGRKEGEEDQNPPCPRLSRELLDEKGPEVLQDSLDRCYSTPSGCLELTDSCQPYRSAFYVLEQQRVGLAVDMDEIEKYQEVEEDQDPSCPRLSRELLDEKEPEVLQDSLDRCYSTPSGYLELPDLGQPYSSAVYSLEEQYLGLALDVDRTKKDQEEEEDQGPPCPRLSRELLEVVEPEVLQDSLDRCYSTPSSCLEQPDSCQPYGSSFYALEEKHVGFSLDVGEIEKKGKGKKRRGRRSKKERRRGRKEGEEDQNPPCPRLSRELLDEKGPEVLQDSLDRCYSTPSGCLELTDSCQPYRSAFYVLEQQRVGLAVDMDEIEKYQEVEEDQDPSCPRLSRELLDEKEPEVLQDSLDRCYSTPSGYLELPDLGQPYSSAVYSLEEQYLGLALDVDRTKKDQEEEEDQGPPCPRLSRELLEVVEPEVLQDSLDRCYSTPSSCLEQPDSCQPYGSSFYALEEKHVGFSLDVGEIEKKGKGKKRRGRRSKKERRRGRKEGEEDQNPPCPRLSRELLDEKGPEVLQDSLDRSYSTPSGCLELTDSCQPYRSAFYVLEQQRVGLAVDMDEIEKYQEVEEDQDPSCPRLSRELLDEKEPEVLQDSLDRCYSTPSGYLELPDLGQPYSSAVYSLEEQYLGLALDVDRTKKDQEEEEDQGPPCPRLSRELLEVVEPEVLQDSLDRCYSTPSSCLEQPDSCQPYGSSFYALEEKHVGFSLDVGEIEKKGKGKKRRGRRSKKERRRGRKEGEEDQNPPCPRLSRELLDEKGPEVLQDSLDRSYSTPSGCLELTDSCQPYRSAFYVLEQQRVGLAVDMDEIEKYQEVEEDQDPSCPRLSRELLDEKEPEVLQDSLDRCYSTPSGYLELPDLGQPYSSAVYSLEEQYLGLALDVDRTKKDQEEEEDQGPPCPRLSRELLEVVEPEVLQDSLDRCYSTPSSCLEQPDSCQPYGSSFYALEEKHVGFSLDVGEIEKKGKGKKRRGRRSKKERRRGRKEGEEDQNPPCPRLSRELLDEKGPEVLQDSLDRSYSTPSGCLELTDSCQPYRSAFYVLEQQRVGLAVDMDEIEKYQEVEEDQDPSCPRLSRELLDEKEPEVLQDSLDRCYSTPSGYLELPDLGQPYSSAVYSLEEQYLGLALDVDRTKKDQEEEEDQGPPCPRLSRELLEVVEPEVLQDSLDRCYSTPSSCLEQPDSCQPYGSSFYALEEKHVGFSLDVGEIEKKGKGKKRRGRRSKKERRRGRKEGEEDQNPPCPRLSRELLDEKGPEVLQDSLDRSYSTPSGCLELTDSCQPYRSAFYVLEQQRVGLAVDMDEIEKYQEVEEDQDPSCPRLSRELLDEKEPEVLQDSLDRCYSTPSGYLELPDLGQPYSSAVYSLEEQYLGLALDVDRTKKDQEEEEDQGPPCPRLSRELLEVVEPEVLQDSLDRCYSTPSSCLEQPDSCQPYGSSFYALEEKHVGFSLDVGEIEKKGKGKKRRGRRSKKERRRGRKEGEEDQNPPCPRLSRELLDEKGPEVLQDSLDRCYSTPSGCLELTDSCQPYRSAFYVLEQQRVGLAVDMDEIEKYQEVEEDQDPSCPRLSRELLDEKEPEVLQDSLDRCYSTPSGYLELPDLGQPYSSAVYSLEEQYLGLALDVDRTKKDQEEEEDQGPPCPRLSRELLEVVEPEVLQDSLDRCYSTPSSCLEQPDSCQPYGSSFYALEEKHVGFSLDVGEIEKKGKGKKRRGRRSKKERRRGRKEGEEDQNPPCPRLSRELLDEKGPEVLQDSLDRCYSTPSGCLELTDSCQPYRSAFYVLEQQRVGLAVDMDEIEKYQEVEEDQDPSCPRLSRELLDEKEPEVLQDSLDRCYSTPSGYLELPDLGQPYSSAVYSLEEQYLGLALDVDRTKKDQEEEEDQGPPCPRLSRELLEVVEPEVLQDSLDRCYSTPSSCLEQPDSCQPYGSSFYALEEKHVGFSLDVGEIEKKGKGKKRRGRRSKKERRRGRKEGEEDQTPPCPRLSRELLDEKGPEVLQDSLDRCYSTPSGCLELTDSCQPYRSAFYVLEQQRVGLAVDMDEIEKYQEVEEDQDPSCPRLSRELLDEKEPEVLQDSLDRCYSTPSGYLELPDLGQPYSSAVYSLEEQYLGLALDVDRTKKDQEEEEDQGPPCPRLSRELLEVVEPEVLQDSLDRCYSTPSSCLEQPDSCQPYGSSFYALEEKHVGFSLDVGEIEKKGKGKKRRGRRSKKERRRGRKEGEEDQNPPCPRLSRELLDEKEPEVLQDSLDRCYSTPSGYLELPDLGQPYSSAVYSLEEQYLGLALDVDRTKKDQEEEEDQGPPCPRLSRELLEVVEPEVLQDSLDRCYSTPSSCLEQPDSCQPYGSSFYALEEKHVGFSLDVGEIEKKGKGKKRRGRRSKKERRRGRKEGEEDQNPPCPRLSRELLDEKEPEVLQDSLDRCYSTPSGYLELPDLGQPYSSAVYSLEEQYLGLALDVDRTKKDQEEEEDQGPPCPRLSRELLEVVEPEVLQDSLDRCYSTPSSCLELTDSCQPYRSAFYVLEQQRVGLAVDMDEIEKYQEVEEDQDPSCPRLSRELLDEKEPEVLQDSLDRCYSTPSGYLELPDLGQPYSSAVYSLEEQYLGLALDVDRTKKDQEEEEDQGPPCPRLSRELLEVVEPEVLQDSLDRCYSTPSSCLEQPDSCQPYGSSFYALEEKHVGFSLDVGEIEKKGKGKKRRGRRSKKERRRGRKEGEEDQNPPCPRLSRELLDEKGPEVLQDSLDRCYSTPSGCLELTDSCQPYRSAFYVLEQQRVGLAVDMDEIEKYQEVEEDQDPSCPRLSRELLDEKEPEVLQDSLDRCYSTPSGYLELPDLGQPYSSAVYSLEEQYLGLALDVDRTKKDQEEEEDQGPPCPRLSRELLEVVEPEVLQDSLDRCYSTPSSCLEQPDSCQPYGSSFYALEEKHVGFSLDVGEIEKKGKGKKRRGRRSKKKRRRGRKEGEEDQNPPCPRLNGVLMEVEEPEVLQDSLDGCYSTPSMYFELPDSFQHYRSVFYSFEEQHISFALYVDNRFFTLTVTSLHLVFQMGVIFPQ
+>DECOY_sp|P0DPF2|NBPFK_HUMAN Neuroblastoma breakpoint family member 20 OS=Homo sapiens OX=9606 GN=NBPF20 PE=3 SV=1
+QPFIVGMQFVLHLSTVTLTFFRNDVYLAFSIHQEEFSYFVSRYHQFSDPLEFYMSPTSYCGDLSDQLVEPEEVEMLVGNLRPCPPNQDEEGEKRGRRRKKKSRRGRRKKGKGKKEIEGVDLSFGVHKEELAYFSSGYPQCSDPQELCSSPTSYCRDLSDQLVEPEVVELLERSLRPCPPGQDEEEEQDKKTRDVDLALGLYQEELSYVASSYPQGLDPLELYGSPTSYCRDLSDQLVEPEKEDLLERSLRPCSPDQDEEVEQYKEIEDMDVALGVRQQELVYFASRYPQCSDTLELCGSPTSYCRDLSDQLVEPGKEDLLERSLRPCPPNQDEEGEKRGRRREKKSRRGRRKKGKGKKEIEGVDLSFGVHKEELAYFSSGYPQCSDPQELCSSPTSYCRDLSDQLVEPEVVELLERSLRPCPPGQDEEEEQDKKTRDVDLALGLYQEELSYVASSYPQGLDPLELYGSPTSYCRDLSDQLVEPEKEDLLERSLRPCSPDQDEEVEQYKEIEDMDVALGVRQQELVYFASRYPQCSDTLELCSSPTSYCRDLSDQLVEPEVVELLERSLRPCPPGQDEEEEQDKKTRDVDLALGLYQEELSYVASSYPQGLDPLELYGSPTSYCRDLSDQLVEPEKEDLLERSLRPCPPNQDEEGEKRGRRREKKSRRGRRKKGKGKKEIEGVDLSFGVHKEELAYFSSGYPQCSDPQELCSSPTSYCRDLSDQLVEPEVVELLERSLRPCPPGQDEEEEQDKKTRDVDLALGLYQEELSYVASSYPQGLDPLELYGSPTSYCRDLSDQLVEPEKEDLLERSLRPCPPNQDEEGEKRGRRREKKSRRGRRKKGKGKKEIEGVDLSFGVHKEELAYFSSGYPQCSDPQELCSSPTSYCRDLSDQLVEPEVVELLERSLRPCPPGQDEEEEQDKKTRDVDLALGLYQEELSYVASSYPQGLDPLELYGSPTSYCRDLSDQLVEPEKEDLLERSLRPCSPDQDEEVEQYKEIEDMDVALGVRQQELVYFASRYPQCSDTLELCGSPTSYCRDLSDQLVEPGKEDLLERSLRPCPPTQDEEGEKRGRRREKKSRRGRRKKGKGKKEIEGVDLSFGVHKEELAYFSSGYPQCSDPQELCSSPTSYCRDLSDQLVEPEVVELLERSLRPCPPGQDEEEEQDKKTRDVDLALGLYQEELSYVASSYPQGLDPLELYGSPTSYCRDLSDQLVEPEKEDLLERSLRPCSPDQDEEVEQYKEIEDMDVALGVRQQELVYFASRYPQCSDTLELCGSPTSYCRDLSDQLVEPGKEDLLERSLRPCPPNQDEEGEKRGRRREKKSRRGRRKKGKGKKEIEGVDLSFGVHKEELAYFSSGYPQCSDPQELCSSPTSYCRDLSDQLVEPEVVELLERSLRPCPPGQDEEEEQDKKTRDVDLALGLYQEELSYVASSYPQGLDPLELYGSPTSYCRDLSDQLVEPEKEDLLERSLRPCSPDQDEEVEQYKEIEDMDVALGVRQQELVYFASRYPQCSDTLELCGSPTSYCRDLSDQLVEPGKEDLLERSLRPCPPNQDEEGEKRGRRREKKSRRGRRKKGKGKKEIEGVDLSFGVHKEELAYFSSGYPQCSDPQELCSSPTSYCRDLSDQLVEPEVVELLERSLRPCPPGQDEEEEQDKKTRDVDLALGLYQEELSYVASSYPQGLDPLELYGSPTSYCRDLSDQLVEPEKEDLLERSLRPCSPDQDEEVEQYKEIEDMDVALGVRQQELVYFASRYPQCSDTLELCGSPTSYSRDLSDQLVEPGKEDLLERSLRPCPPNQDEEGEKRGRRREKKSRRGRRKKGKGKKEIEGVDLSFGVHKEELAYFSSGYPQCSDPQELCSSPTSYCRDLSDQLVEPEVVELLERSLRPCPPGQDEEEEQDKKTRDVDLALGLYQEELSYVASSYPQGLDPLELYGSPTSYCRDLSDQLVEPEKEDLLERSLRPCSPDQDEEVEQYKEIEDMDVALGVRQQELVYFASRYPQCSDTLELCGSPTSYSRDLSDQLVEPGKEDLLERSLRPCPPNQDEEGEKRGRRREKKSRRGRRKKGKGKKEIEGVDLSFGVHKEELAYFSSGYPQCSDPQELCSSPTSYCRDLSDQLVEPEVVELLERSLRPCPPGQDEEEEQDKKTRDVDLALGLYQEELSYVASSYPQGLDPLELYGSPTSYCRDLSDQLVEPEKEDLLERSLRPCSPDQDEEVEQYKEIEDMDVALGVRQQELVYFASRYPQCSDTLELCGSPTSYSRDLSDQLVEPGKEDLLERSLRPCPPNQDEEGEKRGRRREKKSRRGRRKKGKGKKEIEGVDLSFGVHKEELAYFSSGYPQCSDPQELCSSPTSYCRDLSDQLVEPEVVELLERSLRPCPPGQDEEEEQDKKTRDVDLALGLYQEELSYVASSYPQGLDPLELYGSPTSYCRDLSDQLVEPEKEDLLERSLRPCSPDQDEEVEQYKEIEDMDVALGVRQQELVYFASRYPQCSDTLELCGSPTSYSRDLSDQLVEPGKEDLLERSLRPCPPNQDEEGEKRGRRREKKSRRGRRKKGKGKKEIEGVDLSFGVHKEELAYFSSGYPQCSDPQELCSSPTSYCRDLSDQLVEPEVVELLERSLRPCPPGQDEEEEQDKKTRDVDLALGLYQEELSYVASSYPQGLDPLELYGSPTSYCRDLSDQLVEPEKEDLLERSLRPCSPDQDEEVEQYKEIEDMDVALGVRQQELVYFASRYPQCSDTLELCGSPTSYCRDLSDQLVEPGKEDLLERSLRPCPPNQDEEGEKRGRRREKKSRRGRRKKGKGKKEIEGVDLSFGVHKEELAYFSSGYPQCSDPQELCSSPTSYCRDLSDQLVEPEVVELLERSLRPCPPGQDEEEEQDKKTRDVDLALGLYQEELSYVASSYPQGLDPLELYGSPTSYCRDLSDQLVEPEKEDLLERSLRPCSPDQDEEVEQYKEIEDMDVALGVRQQELVYFASRYPQCSDTLELCGSPTSYCRDLSDQLVEPGKEDLLERSLRPCPPNQDEEGEKRGRRREKKSRRGRRKKGKGKKEIEGVDLSFGVHKEELAYFSSGYPQCSDPQELCSSPTSYCRDLSDQLVEPEVVELLERSLRPCPPGQDEEEEQDKKTRDVDLALGLYQEELSYVASSYPQGLDPLELYGSPTSYCRDLSDQLVEPEKEDLLERSLRPCSPDQDEEVEQYKEIEDMDVALGVRQQELVYFASRYPQCSDTLELCGSPTSYCRDLSDQLVEPGKEDLLERSLRPCPPNQDEEGEKRGRRREKKSRRGRRKKGKGKKEIEGVDLSFGVHKEELAYFSSGYPQCSDPQELCSSPTSYCRDLSDQLVEPEVVELLERSLRPCPPGQDEEEEQDKKTRDVDLALGLYQEELSYVASSYPQGLDPLELYGSPTSYCRDLSDQLVEPEKEDLLERSLRPCSPDQDEEVEQYKEIEDMDVALGVRQQELVYFASRYPQCSDTLELCGSPTSYSRDLSDQLVEPGKEDLLERSLRPCPPNQDEEGEKRGRRREKKSRRGRRKKGKGKKEIEGVDLSFGVHKEELAYFSSGYPQCSDPQELCSSPTSYCRDLSDQLVEPEVVELLERSLRPCPPGQDEEEEQDKKTRDVDLALGLYQEELSYVASSYPQGLDPLELYGSPTSYCRDLSDQLVEPEKEDLLERSLRPCSPDQDEEVEQYKEIEDMDVALGVRQQELVYFASRYPQCSDTLELCGSPTSYSRDLSDQLVEPGKEDLLERSLRPCPPTQDEEGEKRGRRREKKSRRGRRKKGKGKKEIEGVDLSFGVHKEELAYFSSGYPQCSDPQELCSSPTSYCRDLSDQLVEPEVVELLERSLRPCPPGQDEEEEQDKKTRDVDLALGLYQEELSYVASSYPQGLDPLELYGSPTSYCRDLSDQLVEPEKEDLLERSLRPCSPDQDEEVEQYKEIEDMDVALGVRQQELVYFASRYPQCSDTLELCGSPTSYSRDLSDQLVEPGKEDLLERSLRPCPPNQDEEGEKRGRRREKKSRRGRRKKGKGKKEIEGVDLSFGVHKEELAYFSSGYPQCSDPQELCSSPTSYCRDLSDQLVEPEVVELLERSLRPCPPGQDEEEEQDKKTRDVDLALGLYQEELSYVASSYPQGLDPLELYGSPTSYCRDLSDQLVEPEKEDLLERSLRPCSPDQDEEVEQYKEIEDMDVALGVRQQELVYFASRYPQCSDTLELCGSPTSYSRDLSDQLVEPGKEDLLERSLRPCPPNQDEEGEKRGRRREKKSRRGRRKKGKGKKEIEGVDLSFGVHKEELAYFSSGYPQCSDPQELCSSPTSYCRDLSDQLVEPEVVELLERSLRPCPPGQDEEEEQDKKTRDVDLALGLYQEELSYVASSYPQGLDPLELYGSPTSYCRDLSDQLVEPEKEDLLERSLRPCSPDQDEEVEQYKEIEDMDVALGVRQQELVYFASRYPQCSDTLELCGSPTSYCRDLSDQLVEPGKEDLLERSLRPCPPNQDEEGEKRGRRREKKSRRGRRKKGKGKKEIEGVDLSFGVHKEELAYFSSGYPQCSDPQELCSSPTSYCRDLSDQLVEPEVVELLERSLRPCPPGQDEEEEQDKKTRDVDLALGLYQEELSYVASSYPQGLDPLELYGSPTSYCRDLSDQLVEPEKEDLLERSLRPCSPDQDEEVEQYKEIEDMDVALGVRQQELVYFASRYPQCSDTLELCGSPTSYSRDLSDQLVEPGKEDLLERSLRPCPPNQDEEGEKRGRRREKKSRRGRRKKGKGKKEIEGVDLSFGVHKEELAYFSSGYPQCSDPQELCSSPTSYCRDLSDQLVEPEVVELLERSLRPCPPGQDEEEEQDKKTRDVDLALGLYQEELSYVASSYPQGLDPLELYGSPTSYCRDLSDQLVEPEKEDLLERSLRPCSPDQDEEVEQYKEIEDMDVALGVRQQELVYFASRYPQCSDTLELCGSPTSYCRDLSDQLVEPGKEDLLERSLRPCPPNQDEEGEKRGRRREKKSRRGRRKKGKGKKEIEGVDLSFGVHKEELAYFSSGYPQCSDPQELCSSPTSYCRDLSDQLVEPEVVELLERSLRPCPPGQDEEEEQDKKTRDVDLALGLYQEELSYVASSYPQGLDPLELYGSPTSYCRDLSDQLVEPEKEDLLERSLRPCSPDQDEEVEQYKEIEDMDVALGIRQQELVYFASRYPQCSDTLELCGSPTSYCRDLSDQLVEPGKEDLLERSLRFM
+>sp|P61601|NCALD_HUMAN Neurocalcin-delta OS=Homo sapiens OX=9606 GN=NCALD PE=1 SV=2
+MGKQNSKLRPEVMQDLLESTDFTEHEIQEWYKGFLRDCPSGHLSMEEFKKIYGNFFPYGDASKFAEHVFRTFDANGDGTIDFREFIIALSVTSRGKLEQKLKWAFSMYDLDGNGYISKAEMLEIVQAIYKMVSSVMKMPEDESTPEKRTEKIFRQMDTNRDGKLSLEEFIRGAKSDPSIVRLLQCDPSSAGQF
+>DECOY_sp|P61601|NCALD_HUMAN Neurocalcin-delta OS=Homo sapiens OX=9606 GN=NCALD PE=1 SV=2
+FQGASSPDCQLLRVISPDSKAGRIFEELSLKGDRNTDMQRFIKETRKEPTSEDEPMKMVSSVMKYIAQVIELMEAKSIYGNGDLDYMSFAWKLKQELKGRSTVSLAIIFERFDITGDGNADFTRFVHEAFKSADGYPFFNGYIKKFEEMSLHGSPCDRLFGKYWEQIEHETFDTSELLDQMVEPRLKSNQKGM
+>sp|Q09161|NCBP1_HUMAN Nuclear cap-binding protein subunit 1 OS=Homo sapiens OX=9606 GN=NCBP1 PE=1 SV=1
+MSRRRHSDENDGGQPHKRRKTSDANETEDHLESLICKVGEKSACSLESNLEGLAGVLEADLPNYKSKILRLLCTVARLLPEKLTIYTTLVGLLNARNYNFGGEFVEAMIRQLKESLKANNYNEAVYLVRFLSDLVNCHVIAAPSMVAMFENFVSVTQEEDVPQVRRDWYVYAFLSSLPWVGKELYEKKDAEMDRIFANTESYLKRRQKTHVPMLQVWTADKPHPQEEYLDCLWAQIQKLKKDRWQERHILRPYLAFDSILCEALQHNLPPFTPPPHTEDSVYPMPRVIFRMFDYTDDPEGPVMPGSHSVERFVIEENLHCIIKSHWKERKTCAAQLVSYPGKNKIPLNYHIVEVIFAELFQLPAPPHIDVMYTTLLIELCKLQPGSLPQVLAQATEMLYMRLDTMNTTCVDRFINWFSHHLSNFQFRWSWEDWSDCLSQDPESPKPKFVREVLEKCMRLSYHQRILDIVPPTFSALCPANPTCIYKYGDESSNSLPGHSVALCLAVAFKSKATNDEIFSILKDVPNPNQDDDDDEGFSFNPLKIEVFVQTLLHLAAKSFSHSFSALAKFHEVFKTLAESDEGKLHVLRVMFEVWRNHPQMIAVLVDKMIRTQIVDCAAVANWIFSSELSRDFTRLFVWEILHSTIRKMNKHVLKIQKELEEAKEKLARQHKRRSDDDDRSSDRKDGVLEEQIERLQEKVESAQSEQKNLFLVIFQRFIMILTEHLVRCETDGTSVLTPWYKNCIERLQQIFLQHHQIIQQYMVTLENLLFTAELDPHILAVFQQFCALQA
+>DECOY_sp|Q09161|NCBP1_HUMAN Nuclear cap-binding protein subunit 1 OS=Homo sapiens OX=9606 GN=NCBP1 PE=1 SV=1
+AQLACFQQFVALIHPDLEATFLLNELTVMYQQIIQHHQLFIQQLREICNKYWPTLVSTGDTECRVLHETLIMIFRQFIVLFLNKQESQASEVKEQLREIQEELVGDKRDSSRDDDDSRRKHQRALKEKAEELEKQIKLVHKNMKRITSHLIEWVFLRTFDRSLESSFIWNAVAACDVIQTRIMKDVLVAIMQPHNRWVEFMVRLVHLKGEDSEALTKFVEHFKALASFSHSFSKAALHLLTQVFVEIKLPNFSFGEDDDDDQNPNPVDKLISFIEDNTAKSKFAVALCLAVSHGPLSNSSEDGYKYICTPNAPCLASFTPPVIDLIRQHYSLRMCKELVERVFKPKPSEPDQSLCDSWDEWSWRFQFNSLHHSFWNIFRDVCTTNMTDLRMYLMETAQALVQPLSGPQLKCLEILLTTYMVDIHPPAPLQFLEAFIVEVIHYNLPIKNKGPYSVLQAACTKREKWHSKIICHLNEEIVFREVSHSGPMVPGEPDDTYDFMRFIVRPMPYVSDETHPPPTFPPLNHQLAECLISDFALYPRLIHREQWRDKKLKQIQAWLCDLYEEQPHPKDATWVQLMPVHTKQRRKLYSETNAFIRDMEADKKEYLEKGVWPLSSLFAYVYWDRRVQPVDEEQTVSVFNEFMAVMSPAAIVHCNVLDSLFRVLYVAENYNNAKLSEKLQRIMAEVFEGGFNYNRANLLGVLTTYITLKEPLLRAVTCLLRLIKSKYNPLDAELVGALGELNSELSCASKEGVKCILSELHDETENADSTKRRKHPQGGDNEDSHRRRSM
+>sp|A6NI72|NCF1B_HUMAN Putative neutrophil cytosol factor 1B OS=Homo sapiens OX=9606 GN=NCF1B PE=5 SV=2
+MGDTFIRHIALLGFEKRFVPSQHYVRYMFLVKWQDLSEKVVYRRFTEIYEFHKTLKEMFPIEAGAINPENRIIPHLPAPKWFDGQRAAENHQGTLTEYCGTLMSLPTKISRCPHLLDFFKVRPDDLKLPTDNQTKKPETYLMPKDGKSTATDITGPIILQTYRAIANYEKTSGSEMALSTGDVVEVVEKSESGWWFCQMKAKRGWIPASFLEPLDSPDETEDPEPNYAGEPYVAIKAYTAVEGDEVSLLEGEAVEVIHKLLDGWWVIRKDDVTGYFPSMYLQKSGQDVSQAQRQIKRGAPPRRSSIRNVHSIHQRSRKRLSQDAYRRNSVRFLQQRRRQARPGPQSPGSPLEEERQTQRSKPQPAVPPRPSADLILNRCSESTKRKLASAV
+>DECOY_sp|A6NI72|NCF1B_HUMAN Putative neutrophil cytosol factor 1B OS=Homo sapiens OX=9606 GN=NCF1B PE=5 SV=2
+VASALKRKTSESCRNLILDASPRPPVAPQPKSRQTQREEELPSGPSQPGPRAQRRRQQLFRVSNRRYADQSLRKRSRQHISHVNRISSRRPPAGRKIQRQAQSVDQGSKQLYMSPFYGTVDDKRIVWWGDLLKHIVEVAEGELLSVEDGEVATYAKIAVYPEGAYNPEPDETEDPSDLPELFSAPIWGRKAKMQCFWWGSESKEVVEVVDGTSLAMESGSTKEYNAIARYTQLIIPGTIDTATSKGDKPMLYTEPKKTQNDTPLKLDDPRVKFFDLLHPCRSIKTPLSMLTGCYETLTGQHNEAARQGDFWKPAPLHPIIRNEPNIAGAEIPFMEKLTKHFEYIETFRRYVVKESLDQWKVLFMYRVYHQSPVFRKEFGLLAIHRIFTDGM
+>sp|Q15080|NCF4_HUMAN Neutrophil cytosol factor 4 OS=Homo sapiens OX=9606 GN=NCF4 PE=1 SV=2
+MAVAQQLRAESDFEQLPDDVAISANIADIEEKRGFTSHFVFVIEVKTKGGSKYLIYRRYRQFHALQSKLEERFGPDSKSSALACTLPTLPAKVYVGVKQEIAEMRIPALNAYMKSLLSLPVWVLMDEDVRIFFYQSPYDSEQVPQALRRLRPRTRKVKSVSPQGNSVDRMAAPRAEALFDFTGNSKLELNFKAGDVIFLLSRINKDWLEGTVRGATGIFPLSFVKILKDFPEEDDPTNWLRCYYYEDTISTIKDIAVEEDLSSTPLLKDLLELTRREFQREDIALNYRDAEGDLVRLLSDEDVALMVRQARGLPSQKRLFPWKLHITQKDNYRVYNTMP
+>DECOY_sp|Q15080|NCF4_HUMAN Neutrophil cytosol factor 4 OS=Homo sapiens OX=9606 GN=NCF4 PE=1 SV=2
+PMTNYVRYNDKQTIHLKWPFLRKQSPLGRAQRVMLAVDEDSLLRVLDGEADRYNLAIDERQFERRTLELLDKLLPTSSLDEEVAIDKITSITDEYYYCRLWNTPDDEEPFDKLIKVFSLPFIGTAGRVTGELWDKNIRSLLFIVDGAKFNLELKSNGTFDFLAEARPAAMRDVSNGQPSVSKVKRTRPRLRRLAQPVQESDYPSQYFFIRVDEDMLVWVPLSLLSKMYANLAPIRMEAIEQKVGVYVKAPLTPLTCALASSKSDPGFREELKSQLAHFQRYRRYILYKSGGKTKVEIVFVFHSTFGRKEEIDAINASIAVDDPLQEFDSEARLQQAVAM
+>sp|O43639|NCK2_HUMAN Cytoplasmic protein NCK2 OS=Homo sapiens OX=9606 GN=NCK2 PE=1 SV=2
+MTEEVIVIAKWDYTAQQDQELDIKKNERLWLLDDSKTWWRVRNAANRTGYVPSNYVERKNSLKKGSLVKNLKDTLGLGKTRRKTSARDASPTPSTDAEYPANGSGADRIYDLNIPAFVKFAYVAEREDELSLVKGSRVTVMEKCSDGWWRGSYNGQIGWFPSNYVLEEVDEAAAESPSFLSLRKGASLSNGQGSRVLHVVQTLYPFSSVTEEELNFEKGETMEVIEKPENDPEWWKCKNARGQVGLVPKNYVVVLSDGPALHPAHAPQISYTGPSSSGRFAGREWYYGNVTRHQAECALNERGVEGDFLIRDSESSPSDFSVSLKASGKNKHFKVQLVDNVYCIGQRRFHTMDELVEHYKKAPIFTSEHGEKLYLVRALQ
+>DECOY_sp|O43639|NCK2_HUMAN Cytoplasmic protein NCK2 OS=Homo sapiens OX=9606 GN=NCK2 PE=1 SV=2
+QLARVLYLKEGHESTFIPAKKYHEVLEDMTHFRRQGICYVNDVLQVKFHKNKGSAKLSVSFDSPSSESDRILFDGEVGRENLACEAQHRTVNGYYWERGAFRGSSSPGTYSIQPAHAPHLAPGDSLVVVYNKPVLGVQGRANKCKWWEPDNEPKEIVEMTEGKEFNLEEETVSSFPYLTQVVHLVRSGQGNSLSAGKRLSLFSPSEAAAEDVEELVYNSPFWGIQGNYSGRWWGDSCKEMVTVRSGKVLSLEDEREAVYAFKVFAPINLDYIRDAGSGNAPYEADTSPTPSADRASTKRRTKGLGLTDKLNKVLSGKKLSNKREVYNSPVYGTRNAANRVRWWTKSDDLLWLRENKKIDLEQDQQATYDWKAIVIVEETM
+>sp|P21941|MATN1_HUMAN Cartilage matrix protein OS=Homo sapiens OX=9606 GN=MATN1 PE=1 SV=1
+MRVLSGTSLMLCSLLLLLQALCSPGLAPQSRGHLCRTRPTDLVFVVDSSRSVRPVEFEKVKVFLSQVIESLDVGPNATRVGMVNYASTVKQEFSLRAHVSKAALLQAVRRIQPLSTGTMTGLAIQFAITKAFGDAEGGRSRSPDISKVVIVVTDGRPQDSVQDVSARARASGVELFAIGVGSVDKATLRQIASEPQDEHVDYVESYSVIEKLSRKFQEAFCVVSDLCATGDHDCEQVCISSPGSYTCACHEGFTLNSDGKTCNVCSGGGGSSATDLVFLIDGSKSVRPENFELVKKFISQIVDTLDVSDKLAQVGLVQYSSSVRQEFPLGRFHTKKDIKAAVRNMSYMEKGTMTGAALKYLIDNSFTVSSGARPGAQKVGIVFTDGRSQDYINDAAKKAKDLGFKMFAVGVGNAVEDELREIASEPVAEHYFYTADFKTINQIGKKLQKKICVEEDPCACESLVKFQAKVEGLLQALTRKLEAVSKRLAILENTVV
+>DECOY_sp|P21941|MATN1_HUMAN Cartilage matrix protein OS=Homo sapiens OX=9606 GN=MATN1 PE=1 SV=1
+VVTNELIALRKSVAELKRTLAQLLGEVKAQFKVLSECACPDEEVCIKKQLKKGIQNITKFDATYFYHEAVPESAIERLEDEVANGVGVAFMKFGLDKAKKAADNIYDQSRGDTFVIGVKQAGPRAGSSVTFSNDILYKLAAGTMTGKEMYSMNRVAAKIDKKTHFRGLPFEQRVSSSYQVLGVQALKDSVDLTDVIQSIFKKVLEFNEPRVSKSGDILFVLDTASSGGGGSCVNCTKGDSNLTFGEHCACTYSGPSSICVQECDHDGTACLDSVVCFAEQFKRSLKEIVSYSEVYDVHEDQPESAIQRLTAKDVSGVGIAFLEVGSARARASVDQVSDQPRGDTVVIVVKSIDPSRSRGGEADGFAKTIAFQIALGTMTGTSLPQIRRVAQLLAAKSVHARLSFEQKVTSAYNVMGVRTANPGVDLSEIVQSLFVKVKEFEVPRVSRSSDVVFVLDTPRTRCLHGRSQPALGPSCLAQLLLLLSCLMLSTGSLVRM
+>sp|O00339|MATN2_HUMAN Matrilin-2 OS=Homo sapiens OX=9606 GN=MATN2 PE=1 SV=4
+MEKMLAGCFLLILGQIVLLPAEARERSRGRSISRGRHARTHPQTALLESSCENKRADLVFIIDSSRSVNTHDYAKVKEFIVDILQFLDIGPDVTRVGLLQYGSTVKNEFSLKTFKRKSEVERAVKRMRHLSTGTMTGLAIQYALNIAFSEAEGARPLRENVPRVIMIVTDGRPQDSVAEVAAKARDTGILIFAIGVGQVDFNTLKSIGSEPHEDHVFLVANFSQIETLTSVFQKKLCTAHMCSTLEHNCAHFCINIPGSYVCRCKQGYILNSDQTTCRIQDLCAMEDHNCEQLCVNVPGSFVCQCYSGYALAEDGKRCVAVDYCASENHGCEHECVNADGSYLCQCHEGFALNPDKKTCTKIDYCASSNHGCQHECVNTDDSYSCHCLKGFTLNPDKKTCRRINYCALNKPGCEHECVNMEESYYCRCHRGYTLDPNGKTCSRVDHCAQQDHGCEQLCLNTEDSFVCQCSEGFLINEDLKTCSRVDYCLLSDHGCEYSCVNMDRSFACQCPEGHVLRSDGKTCAKLDSCALGDHGCEHSCVSSEDSFVCQCFEGYILREDGKTCRRKDVCQAIDHGCEHICVNSDDSYTCECLEGFRLAEDGKRCRRKDVCKSTHHGCEHICVNNGNSYICKCSEGFVLAEDGRRCKKCTEGPIDLVFVIDGSKSLGEENFEVVKQFVTGIIDSLTISPKAARVGLLQYSTQVHTEFTLRNFNSAKDMKKAVAHMKYMGKGSMTGLALKHMFERSFTQGEGARPLSTRVPRAAIVFTDGRAQDDVSEWASKAKANGITMYAVGVGKAIEEELQEIASEPTNKHLFYAEDFSTMDEISEKLKKGICEALEDSDGRQDSPAGELPKTVQQPTESEPVTINIQDLLSCSNFAVQHRYLFEEDNLLRSTQKLSHSTKPSGSPLEEKHDQCKCENLIMFQNLANEEVRKLTQRLEEMTQRMEALENRLRYR
+>DECOY_sp|O00339|MATN2_HUMAN Matrilin-2 OS=Homo sapiens OX=9606 GN=MATN2 PE=1 SV=4
+RYRLRNELAEMRQTMEELRQTLKRVEENALNQFMILNECKCQDHKEELPSGSPKTSHSLKQTSRLLNDEEFLYRHQVAFNSCSLLDQINITVPESETPQQVTKPLEGAPSDQRGDSDELAECIGKKLKESIEDMTSFDEAYFLHKNTPESAIEQLEEEIAKGVGVAYMTIGNAKAKSAWESVDDQARGDTFVIAARPVRTSLPRAGEGQTFSREFMHKLALGTMSGKGMYKMHAVAKKMDKASNFNRLTFETHVQTSYQLLGVRAAKPSITLSDIIGTVFQKVVEFNEEGLSKSGDIVFVLDIPGETCKKCRRGDEALVFGESCKCIYSNGNNVCIHECGHHTSKCVDKRRCRKGDEALRFGELCECTYSDDSNVCIHECGHDIAQCVDKRRCTKGDERLIYGEFCQCVFSDESSVCSHECGHDGLACSDLKACTKGDSRLVHGEPCQCAFSRDMNVCSYECGHDSLLCYDVRSCTKLDENILFGESCQCVFSDETNLCLQECGHDQQACHDVRSCTKGNPDLTYGRHCRCYYSEEMNVCEHECGPKNLACYNIRRCTKKDPNLTFGKLCHCSYSDDTNVCEHQCGHNSSACYDIKTCTKKDPNLAFGEHCQCLYSGDANVCEHECGHNESACYDVAVCRKGDEALAYGSYCQCVFSGPVNVCLQECNHDEMACLDQIRCTTQDSNLIYGQKCRCVYSGPINICFHACNHELTSCMHATCLKKQFVSTLTEIQSFNAVLFVHDEHPESGISKLTNFDVQGVGIAFILIGTDRAKAAVEAVSDQPRGDTVIMIVRPVNERLPRAGEAESFAINLAYQIALGTMTGTSLHRMRKVAREVESKRKFTKLSFENKVTSGYQLLGVRTVDPGIDLFQLIDVIFEKVKAYDHTNVSRSSDIIFVLDARKNECSSELLATQPHTRAHRGRSISRGRSRERAEAPLLVIQGLILLFCGALMKEM
+>sp|O95460|MATN4_HUMAN Matrilin-4 OS=Homo sapiens OX=9606 GN=MATN4 PE=1 SV=3
+MRGLLCWPVLLLLLQPWETQLQLTGPRCHTGPLDLVFVIDSSRSVRPFEFETMRQFLMGLLRGLNVGPNATRVGVIQYSSQVQSVFPLRAFSRREDMERAIRDLVPLAQGTMTGLAIQYAMNVAFSVAEGARPPEERVPRVAVIVTDGRPQDRVAEVAAQARARGIEIYAVGVQRADVGSLRAMASPPLDEHVFLVESFDLIQEFGLQFQSRLCGKDQCAEGGHGCQHQCVNAWAMFHCTCNPGYKLAADNKSCLAIDLCAEGTHGCEHHCVNSPGSYFCHCQVGFVLQQDQRSCRAIDYCSFGNHSCQHECVSTPGGPRCHCREGHDLQPDGRSCQVRDLCNGVDHGCEFQCVSEGLSYRCLCPEGRQLQADGKSCNRCREGHVDLVLLVDGSKSVRPQNFELVKRFVNQIVDFLDVSPEGTRVGLVQFSSRVRTEFPLGRYGTAAEVKQAVLAVEYMERGTMTGLALRHMVEHSFSEAQGARPRALNVPRVGLVFTDGRSQDDISVWAARAKEEGIVMYAVGVGKAVEAELREIASEPAELHVSYAPDFGTMTHLLENLRGSICPEEGISAGTELRSPCECESLVEFQGRTLGALESLTLNLAQLTARLEDLENQLANQK
+>DECOY_sp|O95460|MATN4_HUMAN Matrilin-4 OS=Homo sapiens OX=9606 GN=MATN4 PE=1 SV=3
+KQNALQNELDELRATLQALNLTLSELAGLTRGQFEVLSECECPSRLETGASIGEEPCISGRLNELLHTMTGFDPAYSVHLEAPESAIERLEAEVAKGVGVAYMVIGEEKARAAWVSIDDQSRGDTFVLGVRPVNLARPRAGQAESFSHEVMHRLALGTMTGREMYEVALVAQKVEAATGYRGLPFETRVRSSFQVLGVRTGEPSVDLFDVIQNVFRKVLEFNQPRVSKSGDVLLVLDVHGERCRNCSKGDAQLQRGEPCLCRYSLGESVCQFECGHDVGNCLDRVQCSRGDPQLDHGERCHCRPGGPTSVCEHQCSHNGFSCYDIARCSRQDQQLVFGVQCHCFYSGPSNVCHHECGHTGEACLDIALCSKNDAALKYGPNCTCHFMAWANVCQHQCGHGGEACQDKGCLRSQFQLGFEQILDFSEVLFVHEDLPPSAMARLSGVDARQVGVAYIEIGRARAQAAVEAVRDQPRGDTVIVAVRPVREEPPRAGEAVSFAVNMAYQIALGTMTGQALPVLDRIAREMDERRSFARLPFVSQVQSSYQIVGVRTANPGVNLGRLLGMLFQRMTEFEFPRVSRSSDIVFVLDLPGTHCRPGTLQLQTEWPQLLLLLVPWCLLGRM
+>sp|Q96EY5|MB12A_HUMAN Multivesicular body subunit 12A OS=Homo sapiens OX=9606 GN=MVB12A PE=1 SV=1
+MDPVPGTDSAPLAGLAWSSASAPPPRGFSAISCTVEGAPASFGKSFAQKSGYFLCLSSLGSLENPQENVVADIQIVVDKSPLPLGFSPVCDPMDSKASVSKKKRMCVKLLPLGATDTAVFDVRLSGKTKTVPGYLRIGDMGGFAIWCKKAKAPRPVPKPRGLSRDMQGLSLDAASQPSKGGLLERTASRLGSRASTLRRNDSIYEASSLYGISAMDGVPFTLHPRFEGKSCSPLAFSAFGDLTIKSLADIEEEYNYGFVVEKTAAARLPPSVS
+>DECOY_sp|Q96EY5|MB12A_HUMAN Multivesicular body subunit 12A OS=Homo sapiens OX=9606 GN=MVB12A PE=1 SV=1
+SVSPPLRAAATKEVVFGYNYEEEIDALSKITLDGFASFALPSCSKGEFRPHLTFPVGDMASIGYLSSAEYISDNRRLTSARSGLRSATRELLGGKSPQSAADLSLGQMDRSLGRPKPVPRPAKAKKCWIAFGGMDGIRLYGPVTKTKGSLRVDFVATDTAGLPLLKVCMRKKKSVSAKSDMPDCVPSFGLPLPSKDVVIQIDAVVNEQPNELSGLSSLCLFYGSKQAFSKGFSAPAGEVTCSIASFGRPPPASASSWALGALPASDTGPVPDM
+>sp|Q13394|MB211_HUMAN Putative nucleotidyltransferase MAB21L1 OS=Homo sapiens OX=9606 GN=MAB21L1 PE=1 SV=1
+MIAAQAKLVYHLNKYYNEKCQARKAAIAKTIREVCKVVSDVLKEVEVQEPRFISSLNEMDNRYEGLEVISPTEFEVVLYLNQMGVFNFVDDGSLPGCAVLKLSDGRKRSMSLWVEFITASGYLSARKIRSRFQTLVAQAVDKCSYRDVVKMVADTSEVKLRIRDRYVVQITPAFKCTGIWPRSAAHWPLPHIPWPGPNRVAEVKAEGFNLLSKECHSLAGKQSSAESDAWVLQFAEAENRLQMGGCRKKCLSILKTLRDRHLELPGQPLNNYHMKTLVSYECEKHPRESDWDESCLGDRLNGILLQLISCLQCRRCPHYFLPNLDLFQGKPHSALENAAKQTWRLAREILTNPKSLEKL
+>DECOY_sp|Q13394|MB211_HUMAN Putative nucleotidyltransferase MAB21L1 OS=Homo sapiens OX=9606 GN=MAB21L1 PE=1 SV=1
+LKELSKPNTLIERALRWTQKAANELASHPKGQFLDLNPLFYHPCRRCQLCSILQLLIGNLRDGLCSEDWDSERPHKECEYSVLTKMHYNNLPQGPLELHRDRLTKLISLCKKRCGGMQLRNEAEAFQLVWADSEASSQKGALSHCEKSLLNFGEAKVEAVRNPGPWPIHPLPWHAASRPWIGTCKFAPTIQVVYRDRIRLKVESTDAVMKVVDRYSCKDVAQAVLTQFRSRIKRASLYGSATIFEVWLSMSRKRGDSLKLVACGPLSGDDVFNFVGMQNLYLVVEFETPSIVELGEYRNDMENLSSIFRPEQVEVEKLVDSVVKCVERITKAIAAKRAQCKENYYKNLHYVLKAQAAIM
+>sp|Q9Y586|MB212_HUMAN Protein mab-21-like 2 OS=Homo sapiens OX=9606 GN=MAB21L2 PE=1 SV=1
+MIAAQAKLVYQLNKYYTERCQARKAAIAKTIREVCKVVSDVLKEVEVQEPRFISSLSEIDARYEGLEVISPTEFEVVLYLNQMGVFNFVDDGSLPGCAVLKLSDGRKRSMSLWVEFITASGYLSARKIRSRFQTLVAQAVDKCSYRDVVKMIADTSEVKLRIRERYVVQITPAFKCTGIWPRSAAQWPMPHIPWPGPNRVAEVKAEGFNLLSKECYSLTGKQSSAESDAWVLQFGEAENRLLMGGCRNKCLSVLKTLRDRHLELPGQPLNNYHMKTLLLYECEKHPRETDWDESCLGDRLNGILLQLISCLQCRRCPHYFLPNLDLFQGKPHSALESAAKQTWRLAREILTNPKSLDKL
+>DECOY_sp|Q9Y586|MB212_HUMAN Protein mab-21-like 2 OS=Homo sapiens OX=9606 GN=MAB21L2 PE=1 SV=1
+LKDLSKPNTLIERALRWTQKAASELASHPKGQFLDLNPLFYHPCRRCQLCSILQLLIGNLRDGLCSEDWDTERPHKECEYLLLTKMHYNNLPQGPLELHRDRLTKLVSLCKNRCGGMLLRNEAEGFQLVWADSEASSQKGTLSYCEKSLLNFGEAKVEAVRNPGPWPIHPMPWQAASRPWIGTCKFAPTIQVVYRERIRLKVESTDAIMKVVDRYSCKDVAQAVLTQFRSRIKRASLYGSATIFEVWLSMSRKRGDSLKLVACGPLSGDDVFNFVGMQNLYLVVEFETPSIVELGEYRADIESLSSIFRPEQVEVEKLVDSVVKCVERITKAIAAKRAQCRETYYKNLQYVLKAQAAIM
+>sp|Q8NHZ7|MB3L2_HUMAN Methyl-CpG-binding domain protein 3-like 2 OS=Homo sapiens OX=9606 GN=MBD3L2 PE=2 SV=3
+MGEPAFTSFPSLPVLGKLKRNMMPWALQKKREIHMAKAHRRRAARSALPMRLTSCIFRRPVTRIRSHPDNQVRRRKGDEHLEKPQQLCAYRRLQALQPCSSQGEGSSPLHLESVLSILAPGTAGESLDRAGAERVRSPLEPTPGRFPAVAGGPTPGMGCQLPPPLSGQLVTPADIRRQARRVKKARERLAKALQADRLARRAEMLTGG
+>DECOY_sp|Q8NHZ7|MB3L2_HUMAN Methyl-CpG-binding domain protein 3-like 2 OS=Homo sapiens OX=9606 GN=MBD3L2 PE=2 SV=3
+GGTLMEARRALRDAQLAKALRERAKKVRRAQRRIDAPTVLQGSLPPPLQCGMGPTPGGAVAPFRGPTPELPSRVREAGARDLSEGATGPALISLVSELHLPSSGEGQSSCPQLAQLRRYACLQQPKELHEDGKRRRVQNDPHSRIRTVPRRFICSTLRMPLASRAARRRHAKAMHIERKKQLAWPMMNRKLKGLVPLSPFSTFAPEGM
+>sp|Q8IZK6|MCLN2_HUMAN Mucolipin-2 OS=Homo sapiens OX=9606 GN=MCOLN2 PE=1 SV=2
+MARQPYRFPQARIPERGSGVFRLTVRNAMAHRDSEMKEECLREDLKFYFMSPCEKYRARRQIPWKLGLQILKIVMVTTQLVRFGLSNQLVVAFKEDNTVAFKHLFLKGYSGTDEDDYSCSVYTQEDAYESIFFAINQYHQLKDITLGTLGYGENEDNRIGLKVCKQHYKKGTMFPSNETLNIDNDVELDCVQLDLQDLSKKPPDWKNSSFFRLEFYRLLQVEISFHLKGIDLQTIHSRELPDCYVFQNTIIFDNKAHSGKIKIYFDSDAKIEECKDLNIFGSTQKNAQYVLVFDAFVIVICLASLILCTRSIVLALRLRKRFLNFFLEKYKRPVCDTDQWEFINGWYVLVIISDLMTIIGSILKMEIKAKNLTNYDLCSIFLGTSTLLVWVGVIRYLGYFQAYNVLILTMQASLPKVLRFCACAGMIYLGYTFCGWIVLGPYHDKFENLNTVAECLFSLVNGDDMFATFAQIQQKSILVWLFSRLYLYSFISLFIYMILSLFIALITDSYDTIKKFQQNGFPETDLQEFLKECSSKEEYQKESSAFLSCICCRRRKRSDDHLIPIS
+>DECOY_sp|Q8IZK6|MCLN2_HUMAN Mucolipin-2 OS=Homo sapiens OX=9606 GN=MCOLN2 PE=1 SV=2
+SIPILHDDSRKRRRCCICSLFASSEKQYEEKSSCEKLFEQLDTEPFGNQQFKKITDYSDTILAIFLSLIMYIFLSIFSYLYLRSFLWVLISKQQIQAFTAFMDDGNVLSFLCEAVTNLNEFKDHYPGLVIWGCFTYGLYIMGACACFRLVKPLSAQMTLILVNYAQFYGLYRIVGVWVLLTSTGLFISCLDYNTLNKAKIEMKLISGIITMLDSIIVLVYWGNIFEWQDTDCVPRKYKELFFNLFRKRLRLALVISRTCLILSALCIVIVFADFVLVYQANKQTSGFINLDKCEEIKADSDFYIKIKGSHAKNDFIITNQFVYCDPLERSHITQLDIGKLHFSIEVQLLRYFELRFFSSNKWDPPKKSLDQLDLQVCDLEVDNDINLTENSPFMTGKKYHQKCVKLGIRNDENEGYGLTGLTIDKLQHYQNIAFFISEYADEQTYVSCSYDDEDTGSYGKLFLHKFAVTNDEKFAVVLQNSLGFRVLQTTVMVIKLIQLGLKWPIQRRARYKECPSMFYFKLDERLCEEKMESDRHAMANRVTLRFVGSGREPIRAQPFRYPQRAM
+>sp|Q7L590|MCM10_HUMAN Protein MCM10 homolog OS=Homo sapiens OX=9606 GN=MCM10 PE=1 SV=2
+MDEEEDNLSLLTALLEENESALDCNSEENNFLTRENGEPDAFDELFDADGDGESYTEEADDGETGETRDEKENLATLFGDMEDLTDEEEVPASQSTENRVLPAPAPRREKTNEELQEELRNLQEQMKALQEQLKVTTIKQTASPARLQKSPVEKSPRPPLKERRVQRIQESTCFSAELDVPALPRTKRVARTPKASPPDPKSSSSRMTSAPSQPLQTISRNKPSGITRGQIVGTPGSSGETTQPICVEAFSGLRLRRPRVSSTEMNKKMTGRKLIRLSQIKEKMAREKLEEIDWVTFGVILKKVTPQSVNSGKTFSIWKLNDLRDLTQCVSLFLFGEVHKALWKTEQGTVVGILNANPMKPKDGSEEVCLSIDHPQKVLIMGEALDLGTCKAKKKNGEPCTQTVNLRDCEYCQYHVQAQYKKLSAKRADLQSTFSGGRIPKKFARRGTSLKERLCQDGFYYGGVSSASYAASIAAAVAPKKKIQTTLSNLVVKGTNLIIQETRQKLGIPQKSLSCSEEFKELMDLPTCGARNLKQHLAKATASGIMGSPKPAIKSISASALLKQQKQRMLEMRRRKSEEIQKRFLQSSSEVESPAVPSSSRQPPAQPPRTGSEFPRLEGAPATMTPKLGRGVLEGDDVLFYDESPPPRPKLSALAEAKKLAAITKLRAKGQVLTKTNPNSIKKKQKDPQDILEVKERVEKNTMFSSQAEDELEPARKKRREQLAYLESEEFQKILKAKSKHTGILKEAEAEMQERYFEPLVKKEQMEEKMRNIREVKCRVVTCKTCAYTHFKLLETCVSEQHEYHWHDGVKRFFKCPCGNRSISLDRLPNKHCSNCGLYKWERDGMLKEKTGPKIGGETLLPRGEEHAKFLNSLK
+>DECOY_sp|Q7L590|MCM10_HUMAN Protein MCM10 homolog OS=Homo sapiens OX=9606 GN=MCM10 PE=1 SV=2
+KLSNLFKAHEEGRPLLTEGGIKPGTKEKLMGDREWKYLGCNSCHKNPLRDLSISRNGCPCKFFRKVGDHWHYEHQESVCTELLKFHTYACTKCTVVRCKVERINRMKEEMQEKKVLPEFYREQMEAEAEKLIGTHKSKAKLIKQFEESELYALQERRKKRAPELEDEAQSSFMTNKEVREKVELIDQPDKQKKKISNPNTKTLVQGKARLKTIAALKKAEALASLKPRPPPSEDYFLVDDGELVGRGLKPTMTAPAGELRPFESGTRPPQAPPQRSSSPVAPSEVESSSQLFRKQIEESKRRRMELMRQKQQKLLASASISKIAPKPSGMIGSATAKALHQKLNRAGCTPLDMLEKFEESCSLSKQPIGLKQRTEQIILNTGKVVLNSLTTQIKKKPAVAAAISAAYSASSVGGYYFGDQCLREKLSTGRRAFKKPIRGGSFTSQLDARKASLKKYQAQVHYQCYECDRLNVTQTCPEGNKKKAKCTGLDLAEGMILVKQPHDISLCVEESGDKPKMPNANLIGVVTGQETKWLAKHVEGFLFLSVCQTLDRLDNLKWISFTKGSNVSQPTVKKLIVGFTVWDIEELKERAMKEKIQSLRILKRGTMKKNMETSSVRPRRLRLGSFAEVCIPQTTEGSSGPTGVIQGRTIGSPKNRSITQLPQSPASTMRSSSSKPDPPSAKPTRAVRKTRPLAPVDLEASFCTSEQIRQVRREKLPPRPSKEVPSKQLRAPSATQKITTVKLQEQLAKMQEQLNRLEEQLEENTKERRPAPAPLVRNETSQSAPVEEEDTLDEMDGFLTALNEKEDRTEGTEGDDAEETYSEGDGDADFLEDFADPEGNERTLFNNEESNCDLASENEELLATLLSLNDEEEDM
+>sp|Q9BTE3|MCMBP_HUMAN Mini-chromosome maintenance complex-binding protein OS=Homo sapiens OX=9606 GN=MCMBP PE=1 SV=2
+MPCGEDWLSHPLGIVQGFFAQNGVNPDWEKKVIEYFKEKLKENNAPKWVPSLNEVPLHYLKPNSFVKFRCMIQDMFDPEFYMGVYETVNQNTKAHVLHFGKYRDVAECGPQQELDLNSPRNTTLERQTFYCVPVPGESTWVKEAYVNANQARVSPSTSYTPSRHKRSYEDDDDMDLQPNKQKDQHAGARQAGSVGGLQWCGEPKRLETEASTGQQLNSLNLSSPFDLNFPLPGEKGPACLVKVYEDWDCFKVNDILELYGILSVDPVLSILNNDERDASALLDPMECTDTAEEQRVHSPPASLVPRIHVILAQKLQHINPLLPACLNKEESKTCKFVSSFMSELSPVRAELLGFLTHALLGDSLAAEYLILHLISTVYTRRDVLPLGKFTVNLSGCPRNSTFTEHLYRIIQHLVPASFRLQMTIENMNHLKFIPHKDYTANRLVSGLLQLPSNTSLVIDETLLEQGQLDTPGVHNVTALSNLITWQKVDYDFSYHQMEFPCNINVFITSEGRSLLPADCQIHLQPQLIPPNMEEYMNSLLSAVLPSVLNKFRIYLTLLRFLEYSISDEITKAVEDDFVEMRKNDPQSITADDLHQLLVVARCLSLSAGQTTLSRERWLRAKQLESLRRTRLQQQKCVNGNEL
+>DECOY_sp|Q9BTE3|MCMBP_HUMAN Mini-chromosome maintenance complex-binding protein OS=Homo sapiens OX=9606 GN=MCMBP PE=1 SV=2
+LENGNVCKQQQLRTRRLSELQKARLWRERSLTTQGASLSLCRAVVLLQHLDDATISQPDNKRMEVFDDEVAKTIEDSISYELFRLLTLYIRFKNLVSPLVASLLSNMYEEMNPPILQPQLHIQCDAPLLSRGESTIFVNINCPFEMQHYSFDYDVKQWTILNSLATVNHVGPTDLQGQELLTEDIVLSTNSPLQLLGSVLRNATYDKHPIFKLHNMNEITMQLRFSAPVLHQIIRYLHETFTSNRPCGSLNVTFKGLPLVDRRTYVTSILHLILYEAALSDGLLAHTLFGLLEARVPSLESMFSSVFKCTKSEEKNLCAPLLPNIHQLKQALIVHIRPVLSAPPSHVRQEEATDTCEMPDLLASADREDNNLISLVPDVSLIGYLELIDNVKFCDWDEYVKVLCAPGKEGPLPFNLDFPSSLNLSNLQQGTSAETELRKPEGCWQLGGVSGAQRAGAHQDKQKNPQLDMDDDDEYSRKHRSPTYSTSPSVRAQNANVYAEKVWTSEGPVPVCYFTQRELTTNRPSNLDLEQQPGCEAVDRYKGFHLVHAKTNQNVTEYVGMYFEPDFMDQIMCRFKVFSNPKLYHLPVENLSPVWKPANNEKLKEKFYEIVKKEWDPNVGNQAFFGQVIGLPHSLWDEGCPM
+>sp|Q8NEM0|MCPH1_HUMAN Microcephalin OS=Homo sapiens OX=9606 GN=MCPH1 PE=1 SV=4
+MAAPILKDVVAYVEVWSSNGTENYSKTFTTQLVDMGAKVSKTFNKQVTHVIFKDGYQSTWDKAQKRGVKLVSVLWVEKCRTAGAHIDESLFPAANMNEHLSSLIKKKRKCMQPKDFNFKTPENDKRFQKKFEKMAKELQRQKTNLDDDVPILLFESNGSLIYTPTIEINSRHHSAMEKRLQEMKEKRENLSPTSSQMIQQSHDNPSNSLCEAPLNISRDTLCSDEYFAGGLHSSFDDLCGNSGCGNQERKLEGSINDIKSDVCISSLVLKANNIHSSPSFTHLDKSSPQKFLSNLSKEEINLQRNIAGKVVTPDQKQAAGMSQETFEEKYRLSPTLSSTKGHLLIHSRPRSSSVKRKRVSHGSHSPPKEKCKRKRSTRRSIMPRLQLCRSEDRLQHVAGPALEALSCGESSYDDYFSPDNLKERYSENLPPESQLPSSPAQLSCRSLSKKERTSIFEMSDFSCVGKKTRTVDITNFTAKTISSPRKTGNGEGRATSSCVTSAPEEALRCCRQAGKEDACPEGNGFSYTIEDPALPKGHDDDLTPLEGSLEEMKEAVGLKSTQNKGTTSKISNSSEGEAQSEHEPCFIVDCNMETSTEEKENLPGGYSGSVKNRPTRHDVLDDSCDGFKDLIKPHEELKKSGRGKKPTRTLVMTSMPSEKQNVVIQVVDKLKGFSIAPDVCETTTHVLSGKPLRTLNVLLGIARGCWVLSYDWVLWSLELGHWISEEPFELSHHFPAAPLCRSECHLSAGPYRGTLFADQPAMFVSPASSPPVAKLCELVHLCGGRVSQVPRQASIVIGPYSGKKKATVKYLSEKWVLDSITQHKVCAPENYLLSQ
+>DECOY_sp|Q8NEM0|MCPH1_HUMAN Microcephalin OS=Homo sapiens OX=9606 GN=MCPH1 PE=1 SV=4
+QSLLYNEPACVKHQTISDLVWKESLYKVTAKKKGSYPGIVISAQRPVQSVRGGCLHVLECLKAVPPSSAPSVFMAPQDAFLTGRYPGASLHCESRCLPAAPFHHSLEFPEESIWHGLELSWLVWDYSLVWCGRAIGLLVNLTRLPKGSLVHTTTECVDPAISFGKLKDVVQIVVNQKESPMSTMVLTRTPKKGRGSKKLEEHPKILDKFGDCSDDLVDHRTPRNKVSGSYGGPLNEKEETSTEMNCDVIFCPEHESQAEGESSNSIKSTTGKNQTSKLGVAEKMEELSGELPTLDDDHGKPLAPDEITYSFGNGEPCADEKGAQRCCRLAEEPASTVCSSTARGEGNGTKRPSSITKATFNTIDVTRTKKGVCSFDSMEFISTREKKSLSRCSLQAPSSPLQSEPPLNESYREKLNDPSFYDDYSSEGCSLAELAPGAVHQLRDESRCLQLRPMISRRTSRKRKCKEKPPSHSGHSVRKRKVSSSRPRSHILLHGKTSSLTPSLRYKEEFTEQSMGAAQKQDPTVVKGAINRQLNIEEKSLNSLFKQPSSKDLHTFSPSSHINNAKLVLSSICVDSKIDNISGELKREQNGCGSNGCLDDFSSHLGGAFYEDSCLTDRSINLPAECLSNSPNDHSQQIMQSSTPSLNERKEKMEQLRKEMASHHRSNIEITPTYILSGNSEFLLIPVDDDLNTKQRQLEKAMKEFKKQFRKDNEPTKFNFDKPQMCKRKKKILSSLHENMNAAPFLSEDIHAGATRCKEVWLVSVLKVGRKQAKDWTSQYGDKFIVHTVQKNFTKSVKAGMDVLQTTFTKSYNETGNSSWVEVYAVVDKLIPAAM
+>sp|Q9BUT9|MCRI2_HUMAN MAPK regulated corepressor interacting protein 2 OS=Homo sapiens OX=9606 GN=MCRIP2 PE=1 SV=2
+MYTITKGPSKLVAQRRTGPTQQQVEGRLGELLKCRQPAPPTSQPPRAQPFAQPPGPWPLSSPGPRLVFNRVNGRRAPSTSPSFEGTQETYTVAHEENVRFVSEAWQQVQQQLDGGPAGEGGPRPVQYVERTPNPRLQNFVPIDLDEWWAQQFLARITSCS
+>DECOY_sp|Q9BUT9|MCRI2_HUMAN MAPK regulated corepressor interacting protein 2 OS=Homo sapiens OX=9606 GN=MCRIP2 PE=1 SV=2
+SCSTIRALFQQAWWEDLDIPVFNQLRPNPTREVYQVPRPGGEGAPGGDLQQQVQQWAESVFRVNEEHAVTYTEQTGEFSPSTSPARRGNVRNFVLRPGPSSLPWPGPPQAFPQARPPQSTPPAPQRCKLLEGLRGEVQQQTPGTRRQAVLKSPGKTITYM
+>sp|Q96EZ8|MCRS1_HUMAN Microspherule protein 1 OS=Homo sapiens OX=9606 GN=MCRS1 PE=1 SV=1
+MDKDSQGLLDSSLMASGTASRSEDEESLAGQKRASSQALGTIPKRRSSSRFIKRKKFDDELVESSLAKSSTRAKGASGVEPGRCSGSEPSSSEKKKVSKAPSTPVPPSPAPAPGLTKRVKKSKQPLQVTKDLGRWKPADDLLLINAVLQTNDLTSVHLGVKFSCRFTLREVQERWYALLYDPVISKLACQAMRQLHPEAIAAIQSKALFSKAEEQLLSKVGSTSQPTLETFQDLLHRHPDAFYLARTAKALQAHWQLMKQYYLLEDQTVQPLPKGDQVLNFSDAEDLIDDSKLKDMRDEVLEHELMVADRRQKREIRQLEQELHKWQVLVDSITGMSSPDFDNQTLAVLRGRMVRYLMRSREITLGRATKDNQIDVDLSLEGPAWKISRKQGVIKLKNNGDFFIANEGRRPIYIDGRPVLCGSKWRLSNNSVVEIASLRFVFLINQDLIALIRAEAAKITPQ
+>DECOY_sp|Q96EZ8|MCRS1_HUMAN Microspherule protein 1 OS=Homo sapiens OX=9606 GN=MCRS1 PE=1 SV=1
+QPTIKAAEARILAILDQNILFVFRLSAIEVVSNNSLRWKSGCLVPRGDIYIPRRGENAIFFDGNNKLKIVGQKRSIKWAPGELSLDVDIQNDKTARGLTIERSRMLYRVMRGRLVALTQNDFDPSSMGTISDVLVQWKHLEQELQRIERKQRRDAVMLEHELVEDRMDKLKSDDILDEADSFNLVQDGKPLPQVTQDELLYYQKMLQWHAQLAKATRALYFADPHRHLLDQFTELTPQSTSGVKSLLQEEAKSFLAKSQIAAIAEPHLQRMAQCALKSIVPDYLLAYWREQVERLTFRCSFKVGLHVSTLDNTQLVANILLLDDAPKWRGLDKTVQLPQKSKKVRKTLGPAPAPSPPVPTSPAKSVKKKESSSPESGSCRGPEVGSAGKARTSSKALSSEVLEDDFKKRKIFRSSSRRKPITGLAQSSARKQGALSEEDESRSATGSAMLSSDLLGQSDKDM
+>sp|Q86YW9|MD12L_HUMAN Mediator of RNA polymerase II transcription subunit 12-like protein OS=Homo sapiens OX=9606 GN=MED12L PE=1 SV=2
+MAAFGLLSYEQRPLKRPRLGPPDVYPQDPKQKEDELTAVNVKQGFNNQPAFTGDEHGSARNIVINPSKIGAYFSSILAEKLKLNTFQDTGKKKPQVNAKDNYWLVTARSQSAIHSWFSDLAGNKPLSILAKKVPILSKKEDVFAYLAKYSVPMVRATWLIKMTCAYYSAISEAKIKKRQAPDPNLEWTQISTRYLREQLAKISDFYHMASSTGDGPVPVPPEVEQAMKQWEYNEKLAFHMFQEGMLEKHEYLTWILDVLEKIRPMDDDLLKLLLPLMLQYSDEFVQSAYLSRRLAYFCARRLSLLLSDSPNLLAAHSPHMMIGPNNSSIGAPSPGPPGPGMSPVQLAFSDFLSCAQHGPLVYGLSCMLQTVTLCCPSALVWNYSTNENKSANPGSPLDLLQVAPSSLPMPGGNTAFNQQVRARIYEVEQQIKQRGRAVEVRWSFDKCQESTAGVTISRVLHTLEVLDRHCFDRTDSSNSMETLYHKIFWANQNKDNQEVAPNDEAVVTLLCEWAVSCKRSGKHRAMAVAKLLEKRQAEIEAERCGESEVLDEKESISSSSLAGSSLPVFQNVLLRFLDTQAPSLSDPNSECEKVEFVNLVLLFCEFIRHDVFSHDAYMCTLISRGDLSVTASTRPRSPVGENADEHYSKDHDVKMEIFSPMPGESCENANTSLGRRMSVNCEKLVKREKPRELIFPSNYDLLRHLQYATHFPIPLDESSSHECNQRTILLYGVGKERDEARHQLKKITKDILKILNKKSTTETGVGDEGQKARKNKQETFPTLETVFTKLQLLSYFDQHQVTSQISNNVLEQITSFASGTSYHLPLAHHIQLIFDLMEPALNINGLIDFAIQLLNELSVVEAELLLKSSSLAGSYTTGLCVCIVAVLRRYHSCLILNPDQTAQVFEGLCGVVKHVVNPSECSSPERCILAYLYDLYVSCSHLRSKFGDLFSSACSKVKQTIYNNVMPANSNLRWDPDFMMDFIENPSARSINYSMLGKILSDNAANRYSFVCNTLMNVCMGHQDAGRINDIANFSSELTACCTVLSSEWLGVLKALCCSSNHVWGFNDVLCTVDVSDLSFHDSLATFIAILIARQCFSLEDVVQHVALPSLLAAACGDADAEPGARMTCRLLLHLFRAPQACFLPQATGKPFPGIRSSCDRHLLAAAHNSIEVGAVFAVLKAIMMLGDAKIGNNSVSSLKNDDFTMRGLRCDGNADDIWTASQNPKSCGKSISIETANLREYARYVLRTICQQEWVGEHCLKEPERLCTDKELILDPVLSNMQAQKLLQLICYPHGIKECTEGDNLQRQHIKRILQNLEQWTLRQSWLELQLMIKQCLKDPGSGSVAEMNNLLDNIAKATIEVFQQSADLNNSSNSGMSLFNPNSIGSADTSSTRQNGIKTFLSSSERRGVWLVAPLIARLPTSVQGRVLKAAGEELEKGQHLGSSSKKERDRQKQKSMSLLSQQPFLSLVLTCLKGQDEQREGLLTSLQNQVNQILSNWREERYQDDIKARQMMHEALQLRLNLVGGMFDTVQRSTQWTTDWALLLLQIITSGTVDMHTNNELFTTVLDMLGVLINGTLASDLSNASPGGSEENKRAYMNLVKKLKKELGDKRSESIDKVRQLLPLPKQTCDVITCEPMGSLIDTKGNKIAGFDSIDKKQGLQVSTKQKVSPWDLFEGQKNPAPLSWAWFGTVRVDRRVIKYEEQHHLLLYHTHPMPKPRSYYLQPLPLPPEEEEEEPTSPVSQEPERKSAELSDQGKTTTDEEKKTKGRKRKTKSSSRVDEYPQSNIYRVPPNYSPISSQMMHHPQSTLWGYNLVGQPQQPGFFLQNQSLTPGGSRLDPAGSFVPTNTKQALSNMLQRRSGAMMQPPSLHAITSQQQLIQMKLLQQQQQQRLLRQAQTRPFQQGQPGDQAALFAAQARPSPQLPQYPGLQQAQTMPQGYTMYGTQMPLQQTSQQQAGSVVLSPSYNSRAYPAAHSNPVLMERLRQIQQQPSGYVQQQASPYLQPLTGSQRLNHQALQQSPLVGGGIDAVLTSAHPNLPSVPLPQDPMRPRQPQVRQQQRLLQMQQPQQPQPQQPPQPQQSSQSQSQTLGLQAMQPQQPLFPRQGLQQTQQQQQTAALVRQLQKQLSSNQPQQGVTPYGHPSHF
+>DECOY_sp|Q86YW9|MD12L_HUMAN Mediator of RNA polymerase II transcription subunit 12-like protein OS=Homo sapiens OX=9606 GN=MED12L PE=1 SV=2
+FHSPHGYPTVGQQPQNSSLQKQLQRVLAATQQQQQTQQLGQRPFLPQQPQMAQLGLTQSQSQSSQQPQPPQQPQPQQPQQMQLLRQQQRVQPQRPRMPDQPLPVSPLNPHASTLVADIGGGVLPSQQLAQHNLRQSGTLPQLYPSAQQQVYGSPQQQIQRLREMLVPNSHAAPYARSNYSPSLVVSGAQQQSTQQLPMQTGYMTYGQPMTQAQQLGPYQPLQPSPRAQAAFLAAQDGPQGQQFPRTQAQRLLRQQQQQQLLKMQILQQQSTIAHLSPPQMMAGSRRQLMNSLAQKTNTPVFSGAPDLRSGGPTLSQNQLFFGPQQPQGVLNYGWLTSQPHHMMQSSIPSYNPPVRYINSQPYEDVRSSSKTKRKRGKTKKEEDTTTKGQDSLEASKREPEQSVPSTPEEEEEEPPLPLPQLYYSRPKPMPHTHYLLLHHQEEYKIVRRDVRVTGFWAWSLPAPNKQGEFLDWPSVKQKTSVQLGQKKDISDFGAIKNGKTDILSGMPECTIVDCTQKPLPLLQRVKDISESRKDGLEKKLKKVLNMYARKNEESGGPSANSLDSALTGNILVGLMDLVTTFLENNTHMDVTGSTIIQLLLLAWDTTWQTSRQVTDFMGGVLNLRLQLAEHMMQRAKIDDQYREERWNSLIQNVQNQLSTLLGERQEDQGKLCTLVLSLFPQQSLLSMSKQKQRDREKKSSSGLHQGKELEEGAAKLVRGQVSTPLRAILPAVLWVGRRESSSLFTKIGNQRTSSTDASGISNPNFLSMGSNSSNNLDASQQFVEITAKAINDLLNNMEAVSGSGPDKLCQKIMLQLELWSQRLTWQELNQLIRKIHQRQLNDGETCEKIGHPYCILQLLKQAQMNSLVPDLILEKDTCLREPEKLCHEGVWEQQCITRLVYRAYERLNATEISISKGCSKPNQSATWIDDANGDCRLGRMTFDDNKLSSVSNNGIKADGLMMIAKLVAFVAGVEISNHAAALLHRDCSSRIGPFPKGTAQPLFCAQPARFLHLLLRCTMRAGPEADADGCAAALLSPLAVHQVVDELSFCQRAILIAIFTALSDHFSLDSVDVTCLVDNFGWVHNSSCCLAKLVGLWESSLVTCCATLESSFNAIDNIRGADQHGMCVNMLTNCVFSYRNAANDSLIKGLMSYNISRASPNEIFDMMFDPDWRLNSNAPMVNNYITQKVKSCASSFLDGFKSRLHSCSVYLDYLYALICREPSSCESPNVVHKVVGCLGEFVQATQDPNLILCSHYRRLVAVICVCLGTTYSGALSSSKLLLEAEVVSLENLLQIAFDILGNINLAPEMLDFILQIHHALPLHYSTGSAFSTIQELVNNSIQSTVQHQDFYSLLQLKTFVTELTPFTEQKNKRAKQGEDGVGTETTSKKNLIKLIDKTIKKLQHRAEDREKGVGYLLITRQNCEHSSSEDLPIPFHTAYQLHRLLDYNSPFILERPKERKVLKECNVSMRRGLSTNANECSEGPMPSFIEMKVDHDKSYHEDANEGVPSRPRTSATVSLDGRSILTCMYADHSFVDHRIFECFLLVLNVFEVKECESNPDSLSPAQTDLFRLLVNQFVPLSSGALSSSSISEKEDLVESEGCREAEIEAQRKELLKAVAMARHKGSRKCSVAWECLLTVVAEDNPAVEQNDKNQNAWFIKHYLTEMSNSSDTRDFCHRDLVELTHLVRSITVGATSEQCKDFSWRVEVARGRQKIQQEVEYIRARVQQNFATNGGPMPLSSPAVQLLDLPSGPNASKNENTSYNWVLASPCCLTVTQLMCSLGYVLPGHQACSLFDSFALQVPSMGPGPPGPSPAGISSNNPGIMMHPSHAALLNPSDSLLLSLRRACFYALRRSLYASQVFEDSYQLMLPLLLKLLDDDMPRIKELVDLIWTLYEHKELMGEQFMHFALKENYEWQKMAQEVEPPVPVPGDGTSSAMHYFDSIKALQERLYRTSIQTWELNPDPAQRKKIKAESIASYYACTMKILWTARVMPVSYKALYAFVDEKKSLIPVKKALISLPKNGALDSFWSHIASQSRATVLWYNDKANVQPKKKGTDQFTNLKLKEALISSFYAGIKSPNIVINRASGHEDGTFAPQNNFGQKVNVATLEDEKQKPDQPYVDPPGLRPRKLPRQEYSLLGFAAM
+>sp|Q9NU22|MDN1_HUMAN Midasin OS=Homo sapiens OX=9606 GN=MDN1 PE=1 SV=2
+MEHFLLEVAAAPLRLIAAKNEKSRSELGRFLAKQVWTPQDRQCVLSTLAQLLLDKDCTVLVGRQLRPLLLDLLERNAEAIKAGGQINHDLHERLCVSMSKLIGNHPDVLPFALRYFKDTSPVFQRLFLESSDANPVRYGRRRMKLRDLMEAAFKFLQQEQSVFRELWDWSVCVPLLRSHDTLVRWYTANCLALVTCMNEEHKLSFLKKIFNSDELIHFRLRLLEEAQLQDLEKALVLANPEVSLWRKQKELQYLQGHLVSSDLSPRVTAVCGVVLPGQLPAPGELGGNRSSSREQELALRSYVLVESVCKSLQTLAMAVASQNAVLLEGPIGCGKTSLVEYLAAVTGRTKPPQLLKVQLGDQTDSKMLLGMYRCTDVPGEFVWQPGTLTQAATMGHWILLEDIDYAPLDVVSVLIPLLENGELLIPGRGDCLKVAPGFQFFATRRLLSCGGNWYRPLNSHATLLDKYWTKIHLDNLDKRELNEVLQSRYPSLLAVVDHLLDIYIQLTGEKHHSWSDSSVGCEQAPEEVSEARRENKRPTLEGRELSLRDLLNWCNRIAHSFDSSSLSASLNIFQEALDCFTAMLSEHTSKLKMAEVIGSKLNISRKKAEFFCQLYKPEIVINELDLQVGRVRLLRKQSEAVHLQREKFTFAATRPSSVLIEQLAVCVSKGEPVLLVGETGTGKTSTIQYLAHITGHRLRVVNMNQQSDTADLLGGYKPVDHKLIWLPLREAFEELFAQTFSKKQNFTFLGHIQTCYRQKRWHDLLRLMQHVHKSAVNKDGKDSETGLLIKEKWEAFGLRLNHAQQQMKMTENTLLFAFVEGTLAQAVKKGEWILLDEINLAAPEILECLSGLLEGSSGSLVLLDRGDTEPLVRHPDFRLFACMNPATDVGKRNLPPGIRNRFTELYVEELESKEDLQVLIVDYLKGLSVNKNTVQGIINFYTALRKESGTKLVDGTGHRPHYSLRTLCRALRFAASNPCGNIQRSLYEGFCLGFLTQLDRASHPIVQKLICQHIVPGNVKSLLKQPIPEPKGGRLIQVEGYWIAVGDKEPTIDETYILTSSVKLNLRDIVRVVSAGTYPVLIQGETSVGKTSLIQWLAAATGNHCVRINNHEHTDIQEYIGCYTSDSSGKLVFKEGVLIDAMRKGYWIILDELNLAPTDVLEALNRLLDDNRELLVTETQEVVKAHPRFMLFATQNPPGLYGGRKVLSRAFRNRFVELHFDELPSSELETILHKRCSLPPSYCSKLVKVMLDLQSYRRSSSVFAGKQGFITLRDLFRWAERYRLAEPTEKEYDWLQHLANDGYMLLAGRVRKQEEIDVIQEVLEKHFKKKLCPQSLFSKENVLKLLGKLSTQISTLECNFGHIVWTEGMRRLAMLVGRALEFGEPVLLVGDTGCGKTTICQVFAALANQKLYSVSCHLHMETSDFLGGLRPVRQKPNDKEEIDTSRLFEWHDGPLVQAMKEDGFFLLDEISLADDSVLERLNSVLEVEKSLVLAEKGSPEDKDSEIELLTAGKKFRILATMNPGGDFGKKELSPALRNRFTEIWCPQSTSREDLIQIISHNLRPGLCLGRIDPKGSDIPEVMLDFIDWLTHQEFGRKCVVSIRDILSWVNFMNKMGEEAALKRPEIISTVTSFVHAACLVYIDGIGSGVTSSGFGTALLARKECLKFLIKRLAKIVRLTEYQKNELKIYDRMKAKEFTGIDNLWGIHPFFIPRGPVLHRNNIADYALSAGTTAMNAQRLLRATKLKKPILLEGSPGVGKTSLVGALAKASGNTLVRINLSEQTDITDLFGADLPVEGGKGGEFAWRDGPLLAALKAGHWVVLDELNLASQSVLEGLNACFDHRGEIYVPELGMSFQVQHEKTKIFGCQNPFRQGGGRKGLPRSFLNRFTQVFVDPLTVIDMEFIASTLFPAIEKNIVKKMVAFNNQIDHEVTVEKKWGQKGGPWEFNLRDLFRWCQLMLVDQSPGCYDPGQHVFLVYGERMRTEEDKKKVIAVFKDVFGSNSNPYMGTRLFRITPYDVQLGYSVLSRGSCVPHPSRHPLLLLHQSFQPLESIMKCVQMSWMVILVGPASVGKTSLVQLLAHLTGHTLKIMAMNSAMDTTELLGGFEQVDLIRPWRRLLEKVEGTVRALLRDSLLISADDAEVVLRAWSHFLLTYKPKCLGEGGKAITMEIVNKLEAVLLLMQRLNNKINSYCKAEFAKLVEEFRSFGVKLTQLASGHSHGTFEWVDSMLVQALKSGDWLLMDNVNFCNPSVLDRLNALLEPGGVLTISERGMIDGSTPTITPNPNFRLFLSMDPVHGDISRAMRNRGLEIYISGEGDASTPDNLDLKVLLHSLGLVGNSVCDILLALHTETRSTVVGSPTSSVSTLIQTAILIVQYLQRGLSLDRAFSEACWEVYVCSQHSPANRKLVQALLEKHVSSLRAHETWGDSILGMGLWPDSVPSALFATEDSHLSTVRRDGQILVYCLNRMSMKTSSWTRSQPFTLQDLEKIMQSPSPENLKFNAVEVNTYWIDEPDVLVMAVKLLIERATNQDWMLRVKWLYHLAKNIPQGLESIQIHLEASAASLRNFYSHSLSGAVSNVFKILQPNTTDEFVIPLDPRWNMQALDMIRNLMDFDPQTDQPDQLFALLESAANKTIIYLDREKRVFTEANLVSVGSKKLRESVLRMSFEFHQDPESYHTLPHEIVVNLAAFFELCDALVLLWVQSSQGMVSDASANEILGSLRWRDRFWTVADTVKVDAPGLALLALHWHWVLKHLVHQIPRLLMNYEDKYYKEVQTVSEHIQNCLGSQTGGFAGIKKLQKFLGRPFPFKDKLVVECFSQLKVLNKVLAIREQMSALGESGWQEDINRLQVVASQWTLKKSLLQAWGLILRANILEDVSLDELKNFVHAQCLELKAKGLSLGFLEKKHDEASSLSHPDLTSVIHLTRSVQLWPAMEYLAMLWRYKVTADFMAQACLRRCSKNQQPQINEEISHLISFCLYHTPVTPQELRDLWSLLHHQKVSPEEITSLWSELFNSMFMSFWSSTVTTNPEYWLMWNPLPGMQQREAPKSVLDSTLKGPGNLNRPIFSKCCFEVLTSSWRASPWDVSGLPILSSSHVTLGEWVERTQQLQDISSMLWTNMAISSVAEFRRTDSQLQGQVLFRHLAGLAELLPESRRQEYMQNCEQLLLGSSQAFQHVGQTLGDMAGQEVLPKELLCQLLTSLHHFVGEGESKRSLPEPAQRGSLWVSLGLLQIQTWLPQARFDPAVKREYKLNYVKEELHQLQCEWKTRNLSSQLQTGRDLEDEVVVSYSHPHVRLLRQRMDRLDNLTCHLLKKQAFRPQLPAYESLVQEIHHYVTSIAKAPAVQDLLTRLLQALHIDGPRSAQVAQSLLKEEASWQQSHHQFRKRLSEEYTFYPDAVSPLQASILQLQHGMRLVASELHTSLHSSMVGADRLGTLATALLAFPSVGPTFPTYYAHADTLCSVKSEEVLRGLGKLILKRSGGKELEGKGQKACPTREQLLMNALLYLRSHVLCKGELDQRALQLFRHVCQEIISEWDEQERIAQEKAEQESGLYRYRSRNSRTALSEEEEEEREFRKQFPLHEKDFADILVQPTLEENKGTSDGQEEEAGTNPALLSQNSMQAVMLIHQQLCLNFARSLWYQQTLPPHEAKHYLSLFLSCYQTGASLVTHFYPLMGVELNDRLLGSQLLACTLSHNTLFGEAPSDLMVKPDGPYDFYQHPNVPEARQCQPVLQGFSEAVSHLLQDWPEHPALEQLLVVMDRIRSFPLSSPISKFLNGLEILLAKAQDWEENASRALSLRKHLDLISQMIIRWRKLELNCWSMSLDNTMKRHTEKSTKHWFSIYQMLEKHMQEQTEEQEDDKQMTLMLLVSTLQAFIEGSSLGEFHVRLQMLLVFHCHVLLMPQVEGKDSLCSVLWNLYHYYKQFFDRVQAKIVELRSPLEKELKEFVKISKWNDVSFWSIKQSVEKTHRTLFKFMKKFEAVLSEPCRSSLVESDKEEQPDFLPRPTDGAASELSSIQNLNRALRETLLAQPAAGQATIPEWCQGAAPSGLEGELLRRLPKLRKRMRKMCLTFMKESPLPRLVEGLDQFTGEVISSVSELQSLKVEPSAEKEKQRSEAKHILMQKQRALSDLFKHLAKIGLSYRKGLAWARSKNPQEMLHLHPLDLQSALSIVSSTQEADSRLLTEISSSWDGCQKYFYRSLARHARLNAALATPAKEMGMGNVERCRGFSAHLMKMLVRQRRSLTTLSEQWIILRNLLSCVQEIHSRLMGPQAYPVAFPPQDGVQQWTERLQHLAMQCQILLEQLSWLLQCCPSVGPAPGHGNVQVLGQPPGPCLEGPELSKGQLCGVVLDLIPSNLSYPSPIPGSQLPSGCRMRKQDHLWQQSTTRLTEMLKTIKTVKADVDKIRQQSCETLFHSWKDFEVCSSALSCLSQVSVHLQGLESLFILPGMEVEQRDSQMALVESLEYVRGEISKAMADFTTWKTHLLTSDSQGGNQMLDEGFVEDFSEQMEIAIRAILCAIQNLEERKNEKAEENTDQASPQEDYAGFERLQSGHLTKLLEDDFWADVSTLHVQKIISAISELLERLKSYGEDGTAAKHLFFSQSCSLLVRLVPVLSSYSDLVLFFLTMSLATHRSTAKLLSVLAQVFTELAQKGFCLPKEFMEDSAGEGATEFHDYEGGGIGEGEGMKDVSDQIGNEEQVEDTFQKGQEKDKEDPDSKSDIKGEDNAIEMSEDFDGKMHDGELEEQEEDDEKSDSEGGDLDKHMGDLNGEEADKLDERLWGDDDEEEDEEEEDNKTEETGPGMDEEDSELVAKDDNLDSGNSNKDKSQQDKKEEKEEAEADDGGQGEDKINEQIDERDYDENEVDPYHGNQEKVPEPEALDLPDDLNLDSEDKNGGEDTDNEEGEEENPLEIKEKPEEAGHEAEERGETETDQNESQSPQEPEEGPSEDDKAEGEEEMDTGADDQDGDAAQHPEEHSEEQQQSVEEKDKEADEEGGENGPADQGFQPQEEEEREDSDTEEQVPEALERKEHASCGQTGVENMQNTQAMELAGAAPEKEQGKEEHGSGAADANQAEGHESNFIAQLASQKHTRKNTQSFKRKPGQADNERSMGDHNERVHKRLRTVDTDSHAEQGPAQQPQAQVEDADAFEHIKQGSDAYDAQTYDVASKEQQQSAKDSGKDQEEEEIEDTLMDTEEQEEFKAADVEQLKPEEIKSGTTAPLGFDEMEVEIQTVKTEEDQDPRTDKAHKETENEKPERSRESTIHTAHQFLMDTIFQPFLKDVNELRQELERQLEMWQPRESGNPEEEKVAAEMWQSYLILTAPLSQRLCEELRLILEPTQAAKLKGDYRTGKRLNIRKVIPYIASQFRKDKIWLRRTKPSKRQYQICLAIDDSSSMVDNHTKQLAFESLAVIGNALTLLEVGQIAVCSFGESVKLLHPFHEQFSDYSGSQILRLCKFQQKKTKIAQFLESVANMFAAAQQLSQNISSETAQLLLVVSDGRGLFLEGKERVLAAVQAARNANIFVIFVVLDNPSSRDSILDIKVPIFKGPGEMPEIRSYMEEFPFPYYIILRDVNALPETLSDALRQWFELVTASDHP
+>DECOY_sp|Q9NU22|MDN1_HUMAN Midasin OS=Homo sapiens OX=9606 GN=MDN1 PE=1 SV=2
+PHDSATVLEFWQRLADSLTEPLANVDRLIIYYPFPFEEMYSRIEPMEGPGKFIPVKIDLISDRSSPNDLVVFIVFINANRAAQVAALVREKGELFLGRGDSVVLLLQATESSINQSLQQAAAFMNAVSELFQAIKTKKQQFKCLRLIQSGSYDSFQEHFPHLLKVSEGFSCVAIQGVELLTLANGIVALSEFALQKTHNDVMSSSDDIALCIQYQRKSPKTRRLWIKDKRFQSAIYPIVKRINLRKGTRYDGKLKAAQTPELILRLEECLRQSLPATLILYSQWMEAAVKEEEPNGSERPQWMELQRELEQRLENVDKLFPQFITDMLFQHATHITSERSREPKENETEKHAKDTRPDQDEETKVTQIEVEMEDFGLPATTGSKIEEPKLQEVDAAKFEEQEETDMLTDEIEEEEQDKGSDKASQQQEKSAVDYTQADYADSGQKIHEFADADEVQAQPQQAPGQEAHSDTDVTRLRKHVRENHDGMSRENDAQGPKRKFSQTNKRTHKQSALQAIFNSEHGEAQNADAAGSGHEEKGQEKEPAAGALEMAQTNQMNEVGTQGCSAHEKRELAEPVQEETDSDEREEEEQPQFGQDAPGNEGGEEDAEKDKEEVSQQQEESHEEPHQAADGDQDDAGTDMEEEGEAKDDESPGEEPEQPSQSENQDTETEGREEAEHGAEEPKEKIELPNEEEGEENDTDEGGNKDESDLNLDDPLDLAEPEPVKEQNGHYPDVENEDYDREDIQENIKDEGQGGDDAEAEEKEEKKDQQSKDKNSNGSDLNDDKAVLESDEEDMGPGTEETKNDEEEEDEEEDDDGWLREDLKDAEEGNLDGMHKDLDGGESDSKEDDEEQEELEGDHMKGDFDESMEIANDEGKIDSKSDPDEKDKEQGKQFTDEVQEENGIQDSVDKMGEGEGIGGGEYDHFETAGEGASDEMFEKPLCFGKQALETFVQALVSLLKATSRHTALSMTLFFLVLDSYSSLVPVLRVLLSCSQSFFLHKAATGDEGYSKLRELLESIASIIKQVHLTSVDAWFDDELLKTLHGSQLREFGAYDEQPSAQDTNEEAKENKREELNQIACLIARIAIEMQESFDEVFGEDLMQNGGQSDSTLLHTKWTTFDAMAKSIEGRVYELSEVLAMQSDRQEVEMGPLIFLSELGQLHVSVQSLCSLASSCVEFDKWSHFLTECSQQRIKDVDAKVTKITKLMETLRTTSQQWLHDQKRMRCGSPLQSGPIPSPYSLNSPILDLVVGCLQGKSLEPGELCPGPPQGLVQVNGHGPAPGVSPCCQLLWSLQELLIQCQMALHQLRETWQQVGDQPPFAVPYAQPGMLRSHIEQVCSLLNRLIIWQESLTTLSRRQRVLMKMLHASFGRCREVNGMGMEKAPTALAANLRAHRALSRYFYKQCGDWSSSIETLLRSDAEQTSSVISLASQLDLPHLHLMEQPNKSRAWALGKRYSLGIKALHKFLDSLARQKQMLIHKAESRQKEKEASPEVKLSQLESVSSIVEGTFQDLGEVLRPLPSEKMFTLCMKRMRKRLKPLRRLLEGELGSPAAGQCWEPITAQGAAPQALLTERLARNLNQISSLESAAGDTPRPLFDPQEEKDSEVLSSRCPESLVAEFKKMFKFLTRHTKEVSQKISWFSVDNWKSIKVFEKLEKELPSRLEVIKAQVRDFFQKYYHYLNWLVSCLSDKGEVQPMLLVHCHFVLLMQLRVHFEGLSSGEIFAQLTSVLLMLTMQKDDEQEETQEQMHKELMQYISFWHKTSKETHRKMTNDLSMSWCNLELKRWRIIMQSILDLHKRLSLARSANEEWDQAKALLIELGNLFKSIPSSLPFSRIRDMVVLLQELAPHEPWDQLLHSVAESFGQLVPQCQRAEPVNPHQYFDYPGDPKVMLDSPAEGFLTNHSLTCALLQSGLLRDNLEVGMLPYFHTVLSAGTQYCSLFLSLYHKAEHPPLTQQYWLSRAFNLCLQQHILMVAQMSNQSLLAPNTGAEEEQGDSTGKNEELTPQVLIDAFDKEHLPFQKRFEREEEEEESLATRSNRSRYRYLGSEQEAKEQAIREQEDWESIIEQCVHRFLQLARQDLEGKCLVHSRLYLLANMLLQERTPCAKQGKGELEKGGSRKLILKGLGRLVEESKVSCLTDAHAYYTPFTPGVSPFALLATALTGLRDAGVMSSHLSTHLESAVLRMGHQLQLISAQLPSVADPYFTYEESLRKRFQHHSQQWSAEEKLLSQAVQASRPGDIHLAQLLRTLLDQVAPAKAISTVYHHIEQVLSEYAPLQPRFAQKKLLHCTLNDLRDMRQRLLRVHPHSYSVVVEDELDRGTQLQSSLNRTKWECQLQHLEEKVYNLKYERKVAPDFRAQPLWTQIQLLGLSVWLSGRQAPEPLSRKSEGEGVFHHLSTLLQCLLEKPLVEQGAMDGLTQGVHQFAQSSGLLLQECNQMYEQRRSEPLLEALGALHRFLVQGQLQSDTRRFEAVSSIAMNTWLMSSIDQLQQTREVWEGLTVHSSSLIPLGSVDWPSARWSSTLVEFCCKSFIPRNLNGPGKLTSDLVSKPAERQQMGPLPNWMLWYEPNTTVTSSWFSMFMSNFLESWLSTIEEPSVKQHHLLSWLDRLEQPTVPTHYLCFSILHSIEENIQPQQNKSCRRLCAQAMFDATVKYRWLMALYEMAPWLQVSRTLHIVSTLDPHSLSSAEDHKKELFGLSLGKAKLELCQAHVFNKLEDLSVDELINARLILGWAQLLSKKLTWQSAVVQLRNIDEQWGSEGLASMQERIALVKNLVKLQSFCEVVLKDKFPFPRGLFKQLKKIGAFGGTQSGLCNQIHESVTQVEKYYKDEYNMLLRPIQHVLHKLVWHWHLALLALGPADVKVTDAVTWFRDRWRLSGLIENASADSVMGQSSQVWLLVLADCLEFFAALNVVIEHPLTHYSEPDQHFEFSMRLVSERLKKSGVSVLNAETFVRKERDLYIITKNAASELLAFLQDPQDTQPDFDMLNRIMDLAQMNWRPDLPIVFEDTTNPQLIKFVNSVAGSLSHSYFNRLSAASAELHIQISELGQPINKALHYLWKVRLMWDQNTAREILLKVAMVLVDPEDIWYTNVEVANFKLNEPSPSQMIKELDQLTFPQSRTWSSTKMSMRNLCYVLIQGDRRVTSLHSDETAFLASPVSDPWLGMGLISDGWTEHARLSSVHKELLAQVLKRNAPSHQSCVYVEWCAESFARDLSLGRQLYQVILIATQILTSVSSTPSGVVTSRTETHLALLIDCVSNGVLGLSHLLVKLDLNDPTSADGEGSIYIELGRNRMARSIDGHVPDMSLFLRFNPNPTITPTSGDIMGRESITLVGGPELLANLRDLVSPNCFNVNDMLLWDGSKLAQVLMSDVWEFTGHSHGSALQTLKVGFSRFEEVLKAFEAKCYSNIKNNLRQMLLLVAELKNVIEMTIAKGGEGLCKPKYTLLFHSWARLVVEADDASILLSDRLLARVTGEVKELLRRWPRILDVQEFGGLLETTDMASNMAMIKLTHGTLHALLQVLSTKGVSAPGVLIVMWSMQVCKMISELPQFSQHLLLLPHRSPHPVCSGRSLVSYGLQVDYPTIRFLRTGMYPNSNSGFVDKFVAIVKKKDEETRMREGYVLFVHQGPDYCGPSQDVLMLQCWRFLDRLNFEWPGGKQGWKKEVTVEHDIQNNFAVMKKVINKEIAPFLTSAIFEMDIVTLPDVFVQTFRNLFSRPLGKRGGGQRFPNQCGFIKTKEHQVQFSMGLEPVYIEGRHDFCANLGELVSQSALNLEDLVVWHGAKLAALLPGDRWAFEGGKGGEVPLDAGFLDTIDTQESLNIRVLTNGSAKALAGVLSTKGVGPSGELLIPKKLKTARLLRQANMATTGASLAYDAINNRHLVPGRPIFFPHIGWLNDIGTFEKAKMRDYIKLENKQYETLRVIKALRKILFKLCEKRALLATGFGSSTVGSGIGDIYVLCAAHVFSTVTSIIEPRKLAAEEGMKNMFNVWSLIDRISVVCKRGFEQHTLWDIFDLMVEPIDSGKPDIRGLCLGPRLNHSIIQILDERSTSQPCWIETFRNRLAPSLEKKGFDGGPNMTALIRFKKGATLLEIESDKDEPSGKEALVLSKEVELVSNLRELVSDDALSIEDLLFFGDEKMAQVLPGDHWEFLRSTDIEEKDNPKQRVPRLGGLFDSTEMHLHCSVSYLKQNALAAFVQCITTKGCGTDGVLLVPEGFELARGVLMALRRMGETWVIHGFNCELTSIQTSLKGLLKLVNEKSFLSQPCLKKKFHKELVEQIVDIEEQKRVRGALLMYGDNALHQLWDYEKETPEALRYREAWRFLDRLTIFGQKGAFVSSSRRYSQLDLMVKVLKSCYSPPLSCRKHLITELESSPLEDFHLEVFRNRFARSLVKRGGYLGPPNQTAFLMFRPHAKVVEQTETVLLERNDDLLRNLAELVDTPALNLEDLIIWYGKRMADILVGEKFVLKGSSDSTYCGIYEQIDTHEHNNIRVCHNGTAAALWQILSTKGVSTEGQILVPYTGASVVRVIDRLNLKVSSTLIYTEDITPEKDGVAIWYGEVQILRGGKPEPIPQKLLSKVNGPVIHQCILKQVIPHSARDLQTLFGLCFGEYLSRQINGCPNSAAFRLARCLTRLSYHPRHGTGDVLKTGSEKRLATYFNIIGQVTNKNVSLGKLYDVILVQLDEKSELEEVYLETFRNRIGPPLNRKGVDTAPNMCAFLRFDPHRVLPETDGRDLLVLSGSSGELLGSLCELIEPAALNIEDLLIWEGKKVAQALTGEVFAFLLTNETMKMQQQAHNLRLGFAEWKEKILLGTESDKGDKNVASKHVHQMLRLLDHWRKQRYCTQIHGLFTFNQKKSFTQAFLEEFAERLPLWILKHDVPKYGGLLDATDSQQNMNVVRLRHGTIHALYQITSTKGTGTEGVLLVPEGKSVCVALQEILVSSPRTAAFTFKERQLHVAESQKRLLRVRGVQLDLENIVIEPKYLQCFFEAKKRSINLKSGIVEAMKLKSTHESLMATFCDLAEQFINLSASLSSSDFSHAIRNCWNLLDRLSLERGELTPRKNERRAESVEEPAQECGVSSDSWSHHKEGTLQIYIDLLHDVVALLSPYRSQLVENLERKDLNDLHIKTWYKDLLTAHSNLPRYWNGGCSLLRRTAFFQFGPAVKLCDGRGPILLEGNELLPILVSVVDLPAYDIDELLIWHGMTAAQTLTGPQWVFEGPVDTCRYMGLLMKSDTQDGLQVKLLQPPKTRGTVAALYEVLSTKGCGIPGELLVANQSAVAMALTQLSKCVSEVLVYSRLALEQERSSSRNGGLEGPAPLQGPLVVGCVATVRPSLDSSVLHGQLYQLEKQKRWLSVEPNALVLAKELDQLQAEELLRLRFHILEDSNFIKKLFSLKHEENMCTVLALCNATYWRVLTDHSRLLPVCVSWDWLERFVSQEQQLFKFAAEMLDRLKMRRRGYRVPNADSSELFLRQFVPSTDKFYRLAFPLVDPHNGILKSMSVCLREHLDHNIQGGAKIAEANRELLDLLLPRLQRGVLVTCDKDLLLQALTSLVCQRDQPTWVQKALFRGLESRSKENKAAILRLPAAAVELLFHEM
+>sp|A8K0S8|ME3L2_HUMAN Putative homeobox protein Meis3-like 2 OS=Homo sapiens OX=9606 GN=MEIS3P2 PE=2 SV=1
+MARRYDELPHYPSIADGPAALAGFPEAVPAAPGPYGPHRPPQPLPPGLDSDGLKRDKDEIYGHPLFPLLALVFEKCELATCSPRDGAGAGLGTPRGGDVCSSDSFNEDNTAFAKQVRSERPFFSSNPELDNLMIQAIQVLRFHLLELEKGKMPIDLVIEDRDGGCREDFEDYPASCLSLPDQNNIWIRDHEDSGSVHLGTPGPSSGGLASQSGDNSSDQGVGLDTSVASPSSGGEDEDLDQEPRRNKKRGIFPKVATNIMRAWLFQHLWHPYPSEEQKKQLVQDTGLTILQVNNWFINARRRMVQPMIDQSNRIGQGAAFSPEGQPIGGYTETEPHVAFRPPASVGMSLNLEGEWHYL
+>DECOY_sp|A8K0S8|ME3L2_HUMAN Putative homeobox protein Meis3-like 2 OS=Homo sapiens OX=9606 GN=MEIS3P2 PE=2 SV=1
+LYHWEGELNLSMGVSAPPRFAVHPETETYGGIPQGEPSFAAGQGIRNSQDIMPQVMRRRANIFWNNVQLITLGTDQVLQKKQEESPYPHWLHQFLWARMINTAVKPFIGRKKNRRPEQDLDEDEGGSSPSAVSTDLGVGQDSSNDGSQSALGGSSPGPTGLHVSGSDEHDRIWINNQDPLSLCSAPYDEFDERCGGDRDEIVLDIPMKGKELELLHFRLVQIAQIMLNDLEPNSSFFPRESRVQKAFATNDENFSDSSCVDGGRPTGLGAGAGDRPSCTALECKEFVLALLPFLPHGYIEDKDRKLGDSDLGPPLPQPPRHPGYPGPAAPVAEPFGALAAPGDAISPYHPLEDYRRAM
+>sp|Q93074|MED12_HUMAN Mediator of RNA polymerase II transcription subunit 12 OS=Homo sapiens OX=9606 GN=MED12 PE=1 SV=4
+MAAFGILSYEHRPLKRPRLGPPDVYPQDPKQKEDELTALNVKQGFNNQPAVSGDEHGSAKNVSFNPAKISSNFSSIIAEKLRCNTLPDTGRRKPQVNQKDNFWLVTARSQSAINTWFTDLAGTKPLTQLAKKVPIFSKKEEVFGYLAKYTVPVMRAAWLIKMTCAYYAAISETKVKKRHVDPFMEWTQIITKYLWEQLQKMAEYYRPGPAGSGGCGSTIGPLPHDVEVAIRQWDYTEKLAMFMFQDGMLDRHEFLTWVLECFEKIRPGEDELLKLLLPLLLRYSGEFVQSAYLSRRLAYFCTRRLALQLDGVSSHSSHVISAQSTSTLPTTPAPQPPTSSTPSTPFSDLLMCPQHRPLVFGLSCILQTILLCCPSALVWHYSLTDSRIKTGSPLDHLPIAPSNLPMPEGNSAFTQQVRAKLREIEQQIKERGQAVEVRWSFDKCQEATAGFTIGRVLHTLEVLDSHSFERSDFSNSLDSLCNRIFGLGPSKDGHEISSDDDAVVSLLCEWAVSCKRSGRHRAMVVAKLLEKRQAEIEAERCGESEAADEKGSIASGSLSAPSAPIFQDVLLQFLDTQAPMLTDPRSESERVEFFNLVLLFCELIRHDVFSHNMYTCTLISRGDLAFGAPGPRPPSPFDDPADDPEHKEAEGSSSSKLEDPGLSESMDIDPSSSVLFEDMEKPDFSLFSPTMPCEGKGSPSPEKPDVEKEVKPPPKEKIEGTLGVLYDQPRHVQYATHFPIPQEESCSHECNQRLVVLFGVGKQRDDARHAIKKITKDILKVLNRKGTAETDQLAPIVPLNPGDLTFLGGEDGQKRRRNRPEAFPTAEDIFAKFQHLSHYDQHQVTAQVSRNVLEQITSFALGMSYHLPLVQHVQFIFDLMEYSLSISGLIDFAIQLLNELSVVEAELLLKSSDLVGSYTTSLCLCIVAVLRHYHACLILNQDQMAQVFEGLCGVVKHGMNRSDGSSAERCILAYLYDLYTSCSHLKNKFGELFSDFCSKVKNTIYCNVEPSESNMRWAPEFMIDTLENPAAHTFTYTGLGKSLSENPANRYSFVCNALMHVCVGHHDPDRVNDIAILCAELTGYCKSLSAEWLGVLKALCCSSNNGTCGFNDLLCNVDVSDLSFHDSLATFVAILIARQCLLLEDLIRCAAIPSLLNAACSEQDSEPGARLTCRILLHLFKTPQLNPCQSDGNKPTVGIRSSCDRHLLAASQNRIVDGAVFAVLKAVFVLGDAELKGSGFTVTGGTEELPEEEGGGGSGGRRQGGRNISVETASLDVYAKYVLRSICQQEWVGERCLKSLCEDSNDLQDPVLSSAQAQRLMQLICYPHRLLDNEDGENPQRQRIKRILQNLDQWTMRQSSLELQLMIKQTPNNEMNSLLENIAKATIEVFQQSAETGSSSGSTASNMPSSSKTKPVLSSLERSGVWLVAPLIAKLPTSVQGHVLKAAGEELEKGQHLGSSSRKERDRQKQKSMSLLSQQPFLSLVLTCLKGQDEQREGLLTSLYSQVHQIVNNWRDDQYLDDCKPKQLMHEALKLRLNLVGGMFDTVQRSTQQTTEWAMLLLEIIISGTVDMQSNNELFTTVLDMLSVLINGTLAADMSSISQGSMEENKRAYMNLAKKLQKELGERQSDSLEKVRQLLPLPKQTRDVITCEPQGSLIDTKGNKIAGFDSIFKKEGLQVSTKQKISPWDLFEGLKPSAPLSWGWFGTVRVDRRVARGEEQQRLLLYHTHLRPRPRAYYLEPLPLPPEDEEPPAPTLLEPEKKAPEPPKTDKPGAAPPSTEERKKKSTKGKKRSQPATKTEDYGMGPGRSGPYGVTVPPDLLHHPNPGSITHLNYRQGSIGLYTQNQPLPAGGPRVDPYRPVRLPMQKLPTRPTYPGVLPTTMTGVMGLEPSSYKTSVYRQQQPAVPQGQRLRQQLQQSQGMLGQSSVHQMTPSSSYGLQTSQGYTPYVSHVGLQQHTGPAGTMVPPSYSSQPYQSTHPSTNPTLVDPTRHLQQRPSGYVHQQAPTYGHGLTSTQRFSHQTLQQTPMISTMTPMSAQGVQAGVRSTAILPEQQQQQQQQQQQQQQQQQQQQQQQQQQYHIRQQQQQQILRQQQQQQQQQQQQQQQQQQQQQQQQQQHQQQQQQQAAPPQPQPQSQPQFQRQGLQQTQQQQQTAALVRQLQQQLSNTQPQPSTNIFGRY
+>DECOY_sp|Q93074|MED12_HUMAN Mediator of RNA polymerase II transcription subunit 12 OS=Homo sapiens OX=9606 GN=MED12 PE=1 SV=4
+YRGFINTSPQPQTNSLQQQLQRVLAATQQQQQTQQLGQRQFQPQSQPQPQPPAAQQQQQQQHQQQQQQQQQQQQQQQQQQQQQQQQQQRLIQQQQQQRIHYQQQQQQQQQQQQQQQQQQQQQQQQQQEPLIATSRVGAQVGQASMPTMTSIMPTQQLTQHSFRQTSTLGHGYTPAQQHVYGSPRQQLHRTPDVLTPNTSPHTSQYPQSSYSPPVMTGAPGTHQQLGVHSVYPTYGQSTQLGYSSSPTMQHVSSQGLMGQSQQLQQRLRQGQPVAPQQQRYVSTKYSSPELGMVGTMTTPLVGPYTPRTPLKQMPLRVPRYPDVRPGGAPLPQNQTYLGISGQRYNLHTISGPNPHHLLDPPVTVGYPGSRGPGMGYDETKTAPQSRKKGKTSKKKREETSPPAAGPKDTKPPEPAKKEPELLTPAPPEEDEPPLPLPELYYARPRPRLHTHYLLLRQQEEGRAVRRDVRVTGFWGWSLPASPKLGEFLDWPSIKQKTSVQLGEKKFISDFGAIKNGKTDILSGQPECTIVDRTQKPLPLLQRVKELSDSQREGLEKQLKKALNMYARKNEEMSGQSISSMDAALTGNILVSLMDLVTTFLENNSQMDVTGSIIIELLLMAWETTQQTSRQVTDFMGGVLNLRLKLAEHMLQKPKCDDLYQDDRWNNVIQHVQSYLSTLLGERQEDQGKLCTLVLSLFPQQSLLSMSKQKQRDREKRSSSGLHQGKELEEGAAKLVHGQVSTPLKAILPAVLWVGSRELSSLVPKTKSSSPMNSATSGSSSGTEASQQFVEITAKAINELLSNMENNPTQKIMLQLELSSQRMTWQDLNQLIRKIRQRQPNEGDENDLLRHPYCILQMLRQAQASSLVPDQLDNSDECLSKLCREGVWEQQCISRLVYKAYVDLSATEVSINRGGQRRGGSGGGGEEEPLEETGGTVTFGSGKLEADGLVFVAKLVAFVAGDVIRNQSAALLHRDCSSRIGVTPKNGDSQCPNLQPTKFLHLLIRCTLRAGPESDQESCAANLLSPIAACRILDELLLCQRAILIAVFTALSDHFSLDSVDVNCLLDNFGCTGNNSSCCLAKLVGLWEASLSKCYGTLEACLIAIDNVRDPDHHGVCVHMLANCVFSYRNAPNESLSKGLGTYTFTHAAPNELTDIMFEPAWRMNSESPEVNCYITNKVKSCFDSFLEGFKNKLHSCSTYLDYLYALICREASSGDSRNMGHKVVGCLGEFVQAMQDQNLILCAHYHRLVAVICLCLSTTYSGVLDSSKLLLEAEVVSLENLLQIAFDILGSISLSYEMLDFIFQVHQVLPLHYSMGLAFSTIQELVNRSVQATVQHQDYHSLHQFKAFIDEATPFAEPRNRRRKQGDEGGLFTLDGPNLPVIPALQDTEATGKRNLVKLIDKTIKKIAHRADDRQKGVGFLVVLRQNCEHSCSEEQPIPFHTAYQVHRPQDYLVGLTGEIKEKPPPKVEKEVDPKEPSPSGKGECPMTPSFLSFDPKEMDEFLVSSSPDIDMSESLGPDELKSSSSGEAEKHEPDDAPDDFPSPPRPGPAGFALDGRSILTCTYMNHSFVDHRILECFLLVLNFFEVRESESRPDTLMPAQTDLFQLLVDQFIPASPASLSGSAISGKEDAAESEGCREAEIEAQRKELLKAVVMARHRGSRKCSVAWECLLSVVADDDSSIEHGDKSPGLGFIRNCLSDLSNSFDSREFSHSDLVELTHLVRGITFGATAEQCKDFSWRVEVAQGREKIQQEIERLKARVQQTFASNGEPMPLNSPAIPLHDLPSGTKIRSDTLSYHWVLASPCCLLITQLICSLGFVLPRHQPCMLLDSFPTSPTSSTPPQPAPTTPLTSTSQASIVHSSHSSVGDLQLALRRTCFYALRRSLYASQVFEGSYRLLLPLLLKLLEDEGPRIKEFCELVWTLFEHRDLMGDQFMFMALKETYDWQRIAVEVDHPLPGITSGCGGSGAPGPRYYEAMKQLQEWLYKTIIQTWEMFPDVHRKKVKTESIAAYYACTMKILWAARMVPVTYKALYGFVEEKKSFIPVKKALQTLPKTGALDTFWTNIASQSRATVLWFNDKQNVQPKRRGTDPLTNCRLKEAIISSFNSSIKAPNFSVNKASGHEDGSVAPQNNFGQKVNLATLEDEKQKPDQPYVDPPGLRPRKLPRHEYSLIGFAAM
+>sp|Q9H204|MED28_HUMAN Mediator of RNA polymerase II transcription subunit 28 OS=Homo sapiens OX=9606 GN=MED28 PE=1 SV=1
+MAAPLGGMFSGQPPGPPQAPPGLPGQASLLQAAPGAPRPSSSTLVDELESSFEACFASLVSQDYVNGTDQEEIRTGVDQCIQKFLDIARQTECFFLQKRLQLSVQKPEQVIKEDVSELRNELQRKDALVQKHLTKLRHWQQVLEDINVQHKKPADIPQGSLAYLEQASANIPAPLKPT
+>DECOY_sp|Q9H204|MED28_HUMAN Mediator of RNA polymerase II transcription subunit 28 OS=Homo sapiens OX=9606 GN=MED28 PE=1 SV=1
+TPKLPAPINASAQELYALSGQPIDAPKKHQVNIDELVQQWHRLKTLHKQVLADKRQLENRLESVDEKIVQEPKQVSLQLRKQLFFCETQRAIDLFKQICQDVGTRIEEQDTGNVYDQSVLSAFCAEFSSELEDVLTSSSPRPAGPAAQLLSAQGPLGPPAQPPGPPQGSFMGGLPAAM
+>sp|Q9Y316|MEMO1_HUMAN Protein MEMO1 OS=Homo sapiens OX=9606 GN=MEMO1 PE=1 SV=1
+MSNRVVCREASHAGSWYTASGPQLNAQLEGWLSQVQSTKRPARAIIAPHAGYTYCGSCAAHAYKQVDPSITRRIFILGPSHHVPLSRCALSSVDIYRTPLYDLRIDQKIYGELWKTGMFERMSLQTDEDEHSIEMHLPYTAKAMESHKDEFTIIPVLVGALSESKEQEFGKLFSKYLADPSNLFVVSSDFCHWGQRFRYSYYDESQGEIYRSIEHLDKMGMSIIEQLDPVSFSNYLKKYHNTICGRHPIGVLLNAITELQKNGMNMSFSFLNYAQSSQCRNWQDSSVSYAAGALTVH
+>DECOY_sp|Q9Y316|MEMO1_HUMAN Protein MEMO1 OS=Homo sapiens OX=9606 GN=MEMO1 PE=1 SV=1
+HVTLAGAAYSVSSDQWNRCQSSQAYNLFSFSMNMGNKQLETIANLLVGIPHRGCITNHYKKLYNSFSVPDLQEIISMGMKDLHEISRYIEGQSEDYYSYRFRQGWHCFDSSVVFLNSPDALYKSFLKGFEQEKSESLAGVLVPIITFEDKHSEMAKATYPLHMEISHEDEDTQLSMREFMGTKWLEGYIKQDIRLDYLPTRYIDVSSLACRSLPVHHSPGLIFIRRTISPDVQKYAHAACSGCYTYGAHPAIIARAPRKTSQVQSLWGELQANLQPGSATYWSGAHSAERCVVRNSM
+>sp|P50221|MEOX1_HUMAN Homeobox protein MOX-1 OS=Homo sapiens OX=9606 GN=MEOX1 PE=1 SV=1
+MDPAASSCMRSLQPPAPVWGCLRNPHSEGNGASGLPHYPPTPFSFHQKPDFLATATAAYPDFSASCLAATPHSLPQEEHIFTEQHPAFPQSPNWHFPVSDARRRPNSGPAGGSKEMGTSSLGLVDTTGGPGDDYGVLGSTANETEKKSSRRRKESSDNQENRGKPEGSSKARKERTAFTKEQLRELEAEFAHHNYLTRLRRYEIAVNLDLSERQVKVWFQNRRMKWKRVKGGQPISPNGQDPEDGDSTASPSSE
+>DECOY_sp|P50221|MEOX1_HUMAN Homeobox protein MOX-1 OS=Homo sapiens OX=9606 GN=MEOX1 PE=1 SV=1
+ESSPSATSDGDEPDQGNPSIPQGGKVRKWKMRRNQFWVKVQRESLDLNVAIEYRRLRTLYNHHAFEAELERLQEKTFATREKRAKSSGEPKGRNEQNDSSEKRRRSSKKETENATSGLVGYDDGPGGTTDVLGLSSTGMEKSGGAPGSNPRRRADSVPFHWNPSQPFAPHQETFIHEEQPLSHPTAALCSASFDPYAATATALFDPKQHFSFPTPPYHPLGSAGNGESHPNRLCGWVPAPPQLSRMCSSAAPDM
+>sp|Q5EB52|MEST_HUMAN Mesoderm-specific transcript homolog protein OS=Homo sapiens OX=9606 GN=MEST PE=2 SV=2
+MVRRDRLRRMREWWVQVGLLAVPLLAAYLHIPPPQLSPALHSWKSSGKFFTYKGLRIFYQDSVGVVGSPEIVVLLHGFPTSSYDWYKIWEGLTLRFHRVIALDFLGFGFSDKPRPHHYSIFEQASIVEALLRHLGLQNRRINLLSHDYGDIVAQELLYRYKQNRSGRLTIKSLCLSNGGIFPETHRPLLLQKLLKDGGVLSPILTRLMNFFVFSRGLTPVFGPYTRPSESELWDMWAGIRNNDGNLVIDSLLQYINQRKKFRRRWVGALASVTIPIHFIYGPLDPVNPYPEFLELYRKTLPRSTVSILDDHISHYPQLEDPMGFLNAYMGFINSF
+>DECOY_sp|Q5EB52|MEST_HUMAN Mesoderm-specific transcript homolog protein OS=Homo sapiens OX=9606 GN=MEST PE=2 SV=2
+FSNIFGMYANLFGMPDELQPYHSIHDDLISVTSRPLTKRYLELFEPYPNVPDLPGYIFHIPITVSALAGVWRRRFKKRQNIYQLLSDIVLNGDNNRIGAWMDWLESESPRTYPGFVPTLGRSFVFFNMLRTLIPSLVGGDKLLKQLLLPRHTEPFIGGNSLCLSKITLRGSRNQKYRYLLEQAVIDGYDHSLLNIRRNQLGLHRLLAEVISAQEFISYHHPRPKDSFGFGLFDLAIVRHFRLTLGEWIKYWDYSSTPFGHLLVVIEPSGVVGVSDQYFIRLGKYTFFKGSSKWSHLAPSLQPPPIHLYAALLPVALLGVQVWWERMRRLRDRRVM
+>sp|A6NJ78|MET15_HUMAN Probable methyltransferase-like protein 15 OS=Homo sapiens OX=9606 GN=METTL15 PE=1 SV=1
+MLRYPYFCRMYKECLSCWLESGIPNLGVWPNRIHTTAEKYREYEAREQTDQTQAQELHRSQDRDFETMAKLHIPVMVDEVVHCLSPQKGQIFLDMTFGSGGHTKAILQKESDIVLYALDRDPTAYALAEHLSELYPKQIRAMLGQFSQAEALLMKAGVQPGTFDGVLMDLGCSSMQLDTPERGFSLRKDGPLDMRMDGGRYPDMPTAADVVNALDQQALASILRTYGEEKHAKKIASAIVQARSIYPITRTQQLASIVAGAFPPSAIYTRKDLLQRSTHIATKTFQALRIFVNNELNELYTGLKTAQKFLRPGGRLVALSFHSLEDRIVKRFLLGISMTERFNLSVRQQVMKTSQLGSDHENTEEVSMRRAPLMWELIHKKVLSPQDQDVQDNPRGRSAKLRAAIKL
+>DECOY_sp|A6NJ78|MET15_HUMAN Probable methyltransferase-like protein 15 OS=Homo sapiens OX=9606 GN=METTL15 PE=1 SV=1
+LKIAARLKASRGRPNDQVDQDQPSLVKKHILEWMLPARRMSVEETNEHDSGLQSTKMVQQRVSLNFRETMSIGLLFRKVIRDELSHFSLAVLRGGPRLFKQATKLGTYLENLENNVFIRLAQFTKTAIHTSRQLLDKRTYIASPPFAGAVISALQQTRTIPYISRAQVIASAIKKAHKEEGYTRLISALAQQDLANVVDAATPMDPYRGGDMRMDLPGDKRLSFGREPTDLQMSSCGLDMLVGDFTGPQVGAKMLLAEAQSFQGLMARIQKPYLESLHEALAYATPDRDLAYLVIDSEKQLIAKTHGGSGFTMDLFIQGKQPSLCHVVEDVMVPIHLKAMTEFDRDQSRHLEQAQTQDTQERAEYERYKEATTHIRNPWVGLNPIGSELWCSLCEKYMRCFYPYRLM
+>sp|Q9H7H0|MET17_HUMAN Methyltransferase-like protein 17, mitochondrial OS=Homo sapiens OX=9606 GN=METTL17 PE=1 SV=1
+MAAALKCLLTLGRWCPGLGVAPQARALAALVPGVTQVDNKSGFLQKRPHRQHPGILKLPHVRLPQALANGAQLLLLGSAGPTMENQVQTLTSYLWSRHLPVEPEELQRRARHLEKKFLENPDLSQTEEKLRGAVLHALRKTTYHWQELSYTEGLSLVYMAARLDGGFAAVSRAFHEIRARNPAFQPQTLMDFGSGTGSVTWAAHSIWGQSLREYMCVDRSAAMLVLAEKLLKGGSESGEPYIPGVFFRQFLPVSPKVQFDVVVSAFSLSELPSKADRTEVVQTLWRKTGHFLVLVENGTKAGHSLLMDARDLVLKGKEKSPLDPRPGFVFAPCPHELPCPQLTNLACSFSQAYHPIPFSWNKKPKEEKFSMVILARGSPEEAHRWPRITQPVLKRPRHVHCHLCCPDGHMQHAVLTARRHGRDLYRCARVSSWGDLLPVLTPSAFPPSTAQDPSES
+>DECOY_sp|Q9H7H0|MET17_HUMAN Methyltransferase-like protein 17, mitochondrial OS=Homo sapiens OX=9606 GN=METTL17 PE=1 SV=1
+SESPDQATSPPFASPTLVPLLDGWSSVRACRYLDRGHRRATLVAHQMHGDPCCLHCHVHRPRKLVPQTIRPWRHAEEPSGRALIVMSFKEEKPKKNWSFPIPHYAQSFSCALNTLQPCPLEHPCPAFVFGPRPDLPSKEKGKLVLDRADMLLSHGAKTGNEVLVLFHGTKRWLTQVVETRDAKSPLESLSFASVVVDFQVKPSVPLFQRFFVGPIYPEGSESGGKLLKEALVLMAASRDVCMYERLSQGWISHAAWTVSGTGSGFDMLTQPQFAPNRARIEHFARSVAAFGGDLRAAMYVLSLGETYSLEQWHYTTKRLAHLVAGRLKEETQSLDPNELFKKELHRARRQLEEPEVPLHRSWLYSTLTQVQNEMTPGASGLLLLQAGNALAQPLRVHPLKLIGPHQRHPRKQLFGSKNDVQTVGPVLAALARAQPAVGLGPCWRGLTLLCKLAAAM
+>sp|Q8TCB7|METL6_HUMAN Methyltransferase-like protein 6 OS=Homo sapiens OX=9606 GN=METTL6 PE=2 SV=2
+MASLQRKGLQARILTSEEEEKLKRDQTLVSDFKQQKLEQEAQKNWDLFYKRNSTNFFKDRHWTTREFEELRSCREFEDQKLTMLEAGCGVGNCLFPLLEEDPNIFAYACDFSPRAIEYVKQNPLYDTERCKVFQCDLTKDDLLDHVPPESVDVVMLIFVLSAVHPDKMHLVLQNIYKVLKPGKSVLFRDYGLYDHAMLRFKASSKLGENFYVRQDGTRSYFFTDDFLAQLFMDTGYEEVVNEYVFRETVNKKEGLCVPRVFLQSKFLKPPKNPSPVVLGLDPKS
+>DECOY_sp|Q8TCB7|METL6_HUMAN Methyltransferase-like protein 6 OS=Homo sapiens OX=9606 GN=METTL6 PE=2 SV=2
+SKPDLGLVVPSPNKPPKLFKSQLFVRPVCLGEKKNVTERFVYENVVEEYGTDMFLQALFDDTFFYSRTGDQRVYFNEGLKSSAKFRLMAHDYLGYDRFLVSKGPKLVKYINQLVLHMKDPHVASLVFILMVVDVSEPPVHDLLDDKTLDCQFVKCRETDYLPNQKVYEIARPSFDCAYAFINPDEELLPFLCNGVGCGAELMTLKQDEFERCSRLEEFERTTWHRDKFFNTSNRKYFLDWNKQAEQELKQQKFDSVLTQDRKLKEEEESTLIRAQLGKRQLSAM
+>sp|Q9H825|METL8_HUMAN Methyltransferase-like protein 8 OS=Homo sapiens OX=9606 GN=METTL8 PE=2 SV=2
+MNMIWRNSISCLRLGKVPHRYQSGYHPVAPLGSRILTDPAKVFEHNMWDHMQWSKEEEAAARKKVKENSAVRVLLEEQVKYEREASKYWDTFYKIHKNKFFKDRNWLLREFPEILPVDQKPEEKARESSWDHVKTSATNRFSRMHCPTVPDEKNHYEKSSGSSEGQSKTESDFSNLDSEKHKKGPMETGLFPGSNATFRILEVGCGAGNSVFPILNTLENSPESFLYCCDFASGAVELVKSHSSYRATQCFAFVHDVCDDGLPYPFPDGILDVILLVFVLSSIHPDRTLFI
+>DECOY_sp|Q9H825|METL8_HUMAN Methyltransferase-like protein 8 OS=Homo sapiens OX=9606 GN=METTL8 PE=2 SV=2
+IFLTRDPHISSLVFVLLIVDLIGDPFPYPLGDDCVDHVFAFCQTARYSSHSKVLEVAGSAFDCCYLFSEPSNELTNLIPFVSNGAGCGVELIRFTANSGPFLGTEMPGKKHKESDLNSFDSETKSQGESSGSSKEYHNKEDPVTPCHMRSFRNTASTKVHDWSSERAKEEPKQDVPLIEPFERLLWNRDKFFKNKHIKYFTDWYKSAEREYKVQEELLVRVASNEKVKKRAAAEEEKSWQMHDWMNHEFVKAPDTLIRSGLPAVPHYGSQYRHPVKGLRLCSISNRWIMNM
+>sp|Q13361|MFAP5_HUMAN Microfibrillar-associated protein 5 OS=Homo sapiens OX=9606 GN=MFAP5 PE=1 SV=1
+MSLLGPKVLLFLAAFIITSDWIPLGVNSQRGDDVTQATPETFTEDPNLVNDPATDETVLAVLADIAPSTDDLASLSEKNTTAECWDEKFTCTRLYSVHRPVKQCIHQLCFTSLRRMYIVNKEICSRLVCKEHEAMKDELCRQMAGLPPRRLRRSNYFRLPPCENVDLQRPNGL
+>DECOY_sp|Q13361|MFAP5_HUMAN Microfibrillar-associated protein 5 OS=Homo sapiens OX=9606 GN=MFAP5 PE=1 SV=1
+LGNPRQLDVNECPPLRFYNSRRLRRPPLGAMQRCLEDKMAEHEKCVLRSCIEKNVIYMRRLSTFCLQHICQKVPRHVSYLRTCTFKEDWCEATTNKESLSALDDTSPAIDALVALVTEDTAPDNVLNPDETFTEPTAQTVDDGRQSNVGLPIWDSTIIFAALFLLVKPGLLSM
+>sp|Q08431|MFGM_HUMAN Lactadherin OS=Homo sapiens OX=9606 GN=MFGE8 PE=1 SV=2
+MPRPRLLAALCGALLCAPSLLVALDICSKNPCHNGGLCEEISQEVRGDVFPSYTCTCLKGYAGNHCETKCVEPLGMENGNIANSQIAASSVRVTFLGLQHWVPELARLNRAGMVNAWTPSSNDDNPWIQVNLLRRMWVTGVVTQGASRLASHEYLKAFKVAYSLNGHEFDFIHDVNKKHKEFVGNWNKNAVHVNLFETPVEAQYVRLYPTSCHTACTLRFELLGCELNGCANPLGLKNNSIPDKQITASSSYKTWGLHLFSWNPSYARLDKQGNFNAWVAGSYGNDQWLQVDLGSSKEVTGIITQGARNFGSVQFVASYKVAYSNDSANWTEYQDPRTGSSKIFPGNWDNHSHKKNLFETPILARYVRILPVAWHNRIALRLELLGC
+>DECOY_sp|Q08431|MFGM_HUMAN Lactadherin OS=Homo sapiens OX=9606 GN=MFGE8 PE=1 SV=2
+CGLLELRLAIRNHWAVPLIRVYRALIPTEFLNKKHSHNDWNGPFIKSSGTRPDQYETWNASDNSYAVKYSAVFQVSGFNRAGQTIIGTVEKSSGLDVQLWQDNGYSGAVWANFNGQKDLRAYSPNWSFLHLGWTKYSSSATIQKDPISNNKLGLPNACGNLECGLLEFRLTCATHCSTPYLRVYQAEVPTEFLNVHVANKNWNGVFEKHKKNVDHIFDFEHGNLSYAVKFAKLYEHSALRSAGQTVVGTVWMRRLLNVQIWPNDDNSSPTWANVMGARNLRALEPVWHQLGLFTVRVSSAAIQSNAINGNEMGLPEVCKTECHNGAYGKLCTCTYSPFVDGRVEQSIEECLGGNHCPNKSCIDLAVLLSPACLLAGCLAALLRPRPM
+>sp|O95140|MFN2_HUMAN Mitofusin-2 OS=Homo sapiens OX=9606 GN=MFN2 PE=1 SV=3
+MSLLFSRCNSIVTVKKNKRHMAEVNASPLKHFVTAKKKINGIFEQLGAYIQESATFLEDTYRNAELDPVTTEEQVLDVKGYLSKVRGISEVLARRHMKVAFFGRTSNGKSTVINAMLWDKVLPSGIGHTTNCFLRVEGTDGHEAFLLTEGSEEKRSAKTVNQLAHALHQDKQLHAGSLVSVMWPNSKCPLLKDDLVLMDSPGIDVTTELDSWIDKFCLDADVFVLVANSESTLMQTEKHFFHKVSERLSRPNIFILNNRWDASASEPEYMEEVRRQHMERCTSFLVDELGVVDRSQAGDRIFFVSAKEVLNARIQKAQGMPEGGGALAEGFQVRMFEFQNFERRFEECISQSAVKTKFEQHTVRAKQIAEAVRLIMDSLHMAAREQQVYCEEMREERQDRLKFIDKQLELLAQDYKLRIKQITEEVERQVSTAMAEEIRRLSVLVDDYQMDFHPSPVVLKVYKNELHRHIEEGLGRNMSDRCSTAITNSLQTMQQDMIDGLKPLLPVSVRSQIDMLVPRQCFSLNYDLNCDKLCADFQEDIEFHFSLGWTMLVNRFLGPKNSRRALMGYNDQVQRPIPLTPANPSMPPLPQGSLTQEEFMVSMVTGLASLTSRTSMGILVVGGVVWKAVGWRLIALSFGLYGLLYVYERLTWTTKAKERAFKRQFVEHASEKLQLVISYTGSNCSHQVQQELSGTFAHLCQQVDVTRENLEQEIAAMNKKIEVLDSLQSKAKLLRNKAGWLDSELNMFTHQYLQPSR
+>DECOY_sp|O95140|MFN2_HUMAN Mitofusin-2 OS=Homo sapiens OX=9606 GN=MFN2 PE=1 SV=3
+RSPQLYQHTFMNLESDLWGAKNRLLKAKSQLSDLVEIKKNMAAIEQELNERTVDVQQCLHAFTGSLEQQVQHSCNSGTYSIVLQLKESAHEVFQRKFAREKAKTTWTLREYVYLLGYLGFSLAILRWGVAKWVVGGVVLIGMSTRSTLSALGTVMSVMFEEQTLSGQPLPPMSPNAPTLPIPRQVQDNYGMLARRSNKPGLFRNVLMTWGLSFHFEIDEQFDACLKDCNLDYNLSFCQRPVLMDIQSRVSVPLLPKLGDIMDQQMTQLSNTIATSCRDSMNRGLGEEIHRHLENKYVKLVVPSPHFDMQYDDVLVSLRRIEEAMATSVQREVEETIQKIRLKYDQALLELQKDIFKLRDQREERMEECYVQQERAAMHLSDMILRVAEAIQKARVTHQEFKTKVASQSICEEFRREFNQFEFMRVQFGEALAGGGEPMGQAKQIRANLVEKASVFFIRDGAQSRDVVGLEDVLFSTCREMHQRRVEEMYEPESASADWRNNLIFINPRSLRESVKHFFHKETQMLTSESNAVLVFVDADLCFKDIWSDLETTVDIGPSDMLVLDDKLLPCKSNPWMVSVLSGAHLQKDQHLAHALQNVTKASRKEESGETLLFAEHGDTGEVRLFCNTTHGIGSPLVKDWLMANIVTSKGNSTRGFFAVKMHRRALVESIGRVKSLYGKVDLVQEETTVPDLEANRYTDELFTASEQIYAGLQEFIGNIKKKATVFHKLPSANVEAMHRKNKKVTVISNCRSFLLSM
+>sp|O00587|MFNG_HUMAN Beta-1,3-N-acetylglucosaminyltransferase manic fringe OS=Homo sapiens OX=9606 GN=MFNG PE=1 SV=2
+MQCRLPRGLAGALLTLLCMGLLCLRYHLNLSPQRVQGTPELSQPNPGPPKLQLHDVFIAVKTTRAFHRLRLELLLDTWVSRTREQTFVFTDSPDKGLQERLGSHLVVTNCSAEHSHPALSCKMAAEFDTFLASGLRWFCHVDDDNYVNPRALLQLLRAFPLARDVYVGRPSLNRPIHASEPQPHNRTRLVQFWFATGGAGFCINRKLALKMAPWASGSRFMDTSALIRLPDDCTMGYIIECKLGGRLQPSPLFHSHLETLQLLRTAQLPEQVTLSYGVFEGKLNVIKLQGPFSPEEDPSRFRSLHCLLYPDTPWCPQLGAR
+>DECOY_sp|O00587|MFNG_HUMAN Beta-1,3-N-acetylglucosaminyltransferase manic fringe OS=Homo sapiens OX=9606 GN=MFNG PE=1 SV=2
+RAGLQPCWPTDPYLLCHLSRFRSPDEEPSFPGQLKIVNLKGEFVGYSLTVQEPLQATRLLQLTELHSHFLPSPQLRGGLKCEIIYGMTCDDPLRILASTDMFRSGSAWPAMKLALKRNICFGAGGTAFWFQVLRTRNHPQPESAHIPRNLSPRGVYVDRALPFARLLQLLARPNVYNDDDVHCFWRLGSALFTDFEAAMKCSLAPHSHEASCNTVVLHSGLREQLGKDPSDTFVFTQERTRSVWTDLLLELRLRHFARTTKVAIFVDHLQLKPPGPNPQSLEPTGQVRQPSLNLHYRLCLLGMCLLTLLAGALGRPLRCQM
+>sp|Q9BQP7|MGME1_HUMAN Mitochondrial genome maintenance exonuclease 1 OS=Homo sapiens OX=9606 GN=MGME1 PE=1 SV=1
+MKMKLFQTICRQLRSSKFSVESAALVAFSTSSYSCGRKKKVNPYEEVDQEKYSNLVQSVLSSRGVAQTPGSVEEDALLCGPVSKHKLPNQGEDRRVPQNWFPIFNPERSDKPNASDPSVPLKIPLQRNVIPSVTRVLQQTMTKQQVFLLERWKQRMILELGEDGFKEYTSNVFLQGKRFHEALESILSPQETLKERDENLLKSGYIESVQHILKDVSGVRALESAVQHETLNYIGLLDCVAEYQGKLCVIDWKTSEKPKPFIQSTFDNPLQVVAYMGAMNHDTNYSFQVQCGLIVVAYKDGSPAHPHFMDAELCSQYWTKWLLRLEEYTEKKKNQNIQKPEYSE
+>DECOY_sp|Q9BQP7|MGME1_HUMAN Mitochondrial genome maintenance exonuclease 1 OS=Homo sapiens OX=9606 GN=MGME1 PE=1 SV=1
+ESYEPKQINQNKKKETYEELRLLWKTWYQSCLEADMFHPHAPSGDKYAVVILGCQVQFSYNTDHNMAGMYAVVQLPNDFTSQIFPKPKESTKWDIVCLKGQYEAVCDLLGIYNLTEHQVASELARVGSVDKLIHQVSEIYGSKLLNEDREKLTEQPSLISELAEHFRKGQLFVNSTYEKFGDEGLELIMRQKWRELLFVQQKTMTQQLVRTVSPIVNRQLPIKLPVSPDSANPKDSREPNFIPFWNQPVRRDEGQNPLKHKSVPGCLLADEEVSGPTQAVGRSSLVSQVLNSYKEQDVEEYPNVKKKRGCSYSSTSFAVLAASEVSFKSSRLQRCITQFLKMKM
+>sp|P16455|MGMT_HUMAN Methylated-DNA--protein-cysteine methyltransferase OS=Homo sapiens OX=9606 GN=MGMT PE=1 SV=1
+MDKDCEMKRTTLDSPLGKLELSGCEQGLHEIKLLGKGTSAADAVEVPAPAAVLGGPEPLMQCTAWLNAYFHQPEAIEEFPVPALHHPVFQQESFTRQVLWKLLKVVKFGEVISYQQLAALAGNPKAARAVGGAMRGNPVPILIPCHRVVCSSGAVGNYSGGLAVKEWLLAHEGHRLGKPGLGGSSGLAGAWLKGAGATSGSPPAGRN
+>DECOY_sp|P16455|MGMT_HUMAN Methylated-DNA--protein-cysteine methyltransferase OS=Homo sapiens OX=9606 GN=MGMT PE=1 SV=1
+NRGAPPSGSTAGAGKLWAGALGSSGGLGPKGLRHGEHALLWEKVALGGSYNGVAGSSCVVRHCPILIPVPNGRMAGGVARAAKPNGALAALQQYSIVEGFKVVKLLKWLVQRTFSEQQFVPHHLAPVPFEEIAEPQHFYANLWATCQMLPEPGGLVAAPAPVEVADAASTGKGLLKIEHLGQECGSLELKGLPSDLTTRKMECDKDM
+>sp|Q96A72|MGN2_HUMAN Protein mago nashi homolog 2 OS=Homo sapiens OX=9606 GN=MAGOHB PE=1 SV=1
+MAVASDFYLRYYVGHKGKFGHEFLEFEFRPDGKLRYANNSNYKNDVMIRKEAYVHKSVMEELKRIIDDSEITKEDDALWPPPDRVGRQELEIVIGDEHISFTTSKIGSLIDVNQSKDPEGLRVFYYLVQDLKCLVFSLIGLHFKIKPI
+>DECOY_sp|Q96A72|MGN2_HUMAN Protein mago nashi homolog 2 OS=Homo sapiens OX=9606 GN=MAGOHB PE=1 SV=1
+IPKIKFHLGILSFVLCKLDQVLYYFVRLGEPDKSQNVDILSGIKSTTFSIHEDGIVIELEQRGVRDPPPWLADDEKTIESDDIIRKLEEMVSKHVYAEKRIMVDNKYNSNNAYRLKGDPRFEFELFEHGFKGKHGVYYRLYFDSAVAM
+>sp|A6NG13|MGT4D_HUMAN Alpha-1,3-mannosyl-glycoprotein 4-beta-N-acetylglucosaminyltransferase-like protein MGAT4D OS=Homo sapiens OX=9606 GN=MGAT4D PE=2 SV=3
+MRTKQVNLLITLVAVALFSFSCFSIYRITQTNNQLINCRNHILEFKENMLHLRNKTEKNTQEMMKVLNRMKYEITKREILSGNLVAQKADILNKNETVSNTFEDLKFFFPHLRKEGRIYPDVIIGKGKTGVSFALGISTVNRGNYSYLKQTLTSVVSRMTLSQEKDSVVIVLVADSNEDYLHSVVKMITKKFKRQVRSGSLEVISIPAFLYSSMLNAKHLAEASQKLASWRIKQVLDFCILLLYAQPKAKYYLQLEDDIIAKEMYFTKITDFVGNISSNNWFFIEFSMLGFIGKLFRSEDLTHFVRFFLMFYKEKPIDWLLNDIFQVKVCDAGEDLRNCMKRKKQIRIQYKPSLFQHVGIHSSFPRKEQYEKKI
+>DECOY_sp|A6NG13|MGT4D_HUMAN Alpha-1,3-mannosyl-glycoprotein 4-beta-N-acetylglucosaminyltransferase-like protein MGAT4D OS=Homo sapiens OX=9606 GN=MGAT4D PE=2 SV=3
+IKKEYQEKRPFSSHIGVHQFLSPKYQIRIQKKRKMCNRLDEGADCVKVQFIDNLLWDIPKEKYFMLFFRVFHTLDESRFLKGIFGLMSFEIFFWNNSSINGVFDTIKTFYMEKAIIDDELQLYYKAKPQAYLLLICFDLVQKIRWSALKQSAEALHKANLMSSYLFAPISIVELSGSRVQRKFKKTIMKVVSHLYDENSDAVLVIVVSDKEQSLTMRSVVSTLTQKLYSYNGRNVTSIGLAFSVGTKGKGIIVDPYIRGEKRLHPFFFKLDEFTNSVTENKNLIDAKQAVLNGSLIERKTIEYKMRNLVKMMEQTNKETKNRLHLMNEKFELIHNRCNILQNNTQTIRYISFCSFSFLAVAVLTILLNVQKTRM
+>sp|Q5XKP0|MIC13_HUMAN MICOS complex subunit MIC13 OS=Homo sapiens OX=9606 GN=MIC13 PE=1 SV=1
+MVARVWSLMRFLIKGSVAGGAVYLVYDQELLGPSDKSQAALQKAGEVVPPAMYQFSQYVCQQTGLQIPQLPAPPKIYFPIRDSWNAGIMTVMSALSVAPSKAREYSKEGWEYVKARTK
+>DECOY_sp|Q5XKP0|MIC13_HUMAN MICOS complex subunit MIC13 OS=Homo sapiens OX=9606 GN=MIC13 PE=1 SV=1
+KTRAKVYEWGEKSYERAKSPAVSLASMVTMIGANWSDRIPFYIKPPAPLQPIQLGTQQCVYQSFQYMAPPVVEGAKQLAAQSKDSPGLLEQDYVLYVAGGAVSGKILFRMLSWVRAVM
+>sp|Q9BUR5|MIC26_HUMAN MICOS complex subunit MIC26 OS=Homo sapiens OX=9606 GN=APOO PE=1 SV=1
+MFKVIQRSVGPASLSLLTFKVYAAPKKDSPPKNSVKVDELSLYSVPEGQSKYVEEARSQLEESISQLRHYCEPYTTWCQETYSQTKPKMQSLVQWGLDSYDYLQNAPPGFFPRLGVIGFAGLIGLLLARGSKIKKLVYPPGFMGLAASLYYPQQAIVFAQVSGERLYDWGLRGYIVIEDLWKENFQKPGNVKNSPGTK
+>DECOY_sp|Q9BUR5|MIC26_HUMAN MICOS complex subunit MIC26 OS=Homo sapiens OX=9606 GN=APOO PE=1 SV=1
+KTGPSNKVNGPKQFNEKWLDEIVIYGRLGWDYLREGSVQAFVIAQQPYYLSAALGMFGPPYVLKKIKSGRALLLGILGAFGIVGLRPFFGPPANQLYDYSDLGWQVLSQMKPKTQSYTEQCWTTYPECYHRLQSISEELQSRAEEVYKSQGEPVSYLSLEDVKVSNKPPSDKKPAAYVKFTLLSLSAPGVSRQIVKFM
+>sp|Q8N344|MIER2_HUMAN Mesoderm induction early response protein 2 OS=Homo sapiens OX=9606 GN=MIER2 PE=1 SV=2
+MAEASSLGRQSPRVVSCLEHSLCPGEPGLQTTAVVSMGSGDHQFNLAEILSQNYSVRGECEEASRCPDKPKEELEKDFISQSNDMPFDELLALYGYEASDPISDRESEGGDVAPNLPDMTLDKEQIAKDLLSGEEEEETQSSADDLTPSVTSHEASDLFPNRSGSRFLADEDREPGSSASSDTEEDSLPANKCKKEIMVGPQFQADLSNLHLNRHCEKIYENEDQLLWDPSVLPEREVEEFLYRAVKRRWHEMAGPQLPEGEAVKDSEQALYELVKCNFNVEEALRRLRFNVKVIRDGLCAWSEEECRNFEHGFRVHGKNFHLIQANKVRTRSVGECVEYYYLWKKSERYDYFAQQTRLGRRKYVPSGTTDADQDLDGSDPDGPGRPRPEQDTLTGMRTDPLSVDGTAGGLDEPGVASDGLPSSEPGPCSFQQLDESPAVPLSHRPPALADPASYQPAVTAPEPDASPRLAVDFALPKELPLISSHVDLSGDPEETVAPAQVALSVTEFGLIGIGDVNPFLAAHPTCPAPGLHSEPLSHCNVMTC
+>DECOY_sp|Q8N344|MIER2_HUMAN Mesoderm induction early response protein 2 OS=Homo sapiens OX=9606 GN=MIER2 PE=1 SV=2
+CTMVNCHSLPESHLGPAPCTPHAALFPNVDGIGILGFETVSLAVQAPAVTEEPDGSLDVHSSILPLEKPLAFDVALRPSADPEPATVAPQYSAPDALAPPRHSLPVAPSEDLQQFSCPGPESSPLGDSAVGPEDLGGATGDVSLPDTRMGTLTDQEPRPRGPGDPDSGDLDQDADTTGSPVYKRRGLRTQQAFYDYRESKKWLYYYEVCEGVSRTRVKNAQILHFNKGHVRFGHEFNRCEEESWACLGDRIVKVNFRLRRLAEEVNFNCKVLEYLAQESDKVAEGEPLQPGAMEHWRRKVARYLFEEVEREPLVSPDWLLQDENEYIKECHRNLHLNSLDAQFQPGVMIEKKCKNAPLSDEETDSSASSGPERDEDALFRSGSRNPFLDSAEHSTVSPTLDDASSQTEEEEEGSLLDKAIQEKDLTMDPLNPAVDGGESERDSIPDSAEYGYLALLEDFPMDNSQSIFDKELEEKPKDPCRSAEECEGRVSYNQSLIEALNFQHDGSGMSVVATTQLGPEGPCLSHELCSVVRPSQRGLSSAEAM
+>sp|Q9UNW1|MINP1_HUMAN Multiple inositol polyphosphate phosphatase 1 OS=Homo sapiens OX=9606 GN=MINPP1 PE=1 SV=1
+MLRAPGCLLRTSVAPAAALAAALLSSLARCSLLEPRDPVASSLSPYFGTKTRYEDVNPVLLSGPEAPWRDPELLEGTCTPVQLVALIRHGTRYPTVKQIRKLRQLHGLLQARGSRDGGASSTGSRDLGAALADWPLWYADWMDGQLVEKGRQDMRQLALRLASLFPALFSRENYGRLRLITSSKHRCMDSSAAFLQGLWQHYHPGLPPPDVADMEFGPPTVNDKLMRFFDHCEKFLTEVEKNATALYHVEAFKTGPEMQNILKKVAATLQVPVNDLNADLIQVAFFTCSFDLAIKGVKSPWCDVFDIDDAKVLEYLNDLKQYWKRGYGYTINSRSSCTLFQDIFQHLDKAVEQKQRSQPISSPVILQFGHAETLLPLLSLMGYFKDKEPLTAYNYKKQMHRKFRSGLIVPYASNLIFVLYHCENAKTPKEQFRVQMLLNEKVLPLAYSQETVSFYEDLKNHYKDILQSCQTSEECELARANSTSDEL
+>DECOY_sp|Q9UNW1|MINP1_HUMAN Multiple inositol polyphosphate phosphatase 1 OS=Homo sapiens OX=9606 GN=MINPP1 PE=1 SV=1
+LEDSTSNARALECEESTQCSQLIDKYHNKLDEYFSVTEQSYALPLVKENLLMQVRFQEKPTKANECHYLVFILNSAYPVILGSRFKRHMQKKYNYATLPEKDKFYGMLSLLPLLTEAHGFQLIVPSSIPQSRQKQEVAKDLHQFIDQFLTCSSRSNITYGYGRKWYQKLDNLYELVKADDIDFVDCWPSKVGKIALDFSCTFFAVQILDANLDNVPVQLTAAVKKLINQMEPGTKFAEVHYLATANKEVETLFKECHDFFRMLKDNVTPPGFEMDAVDPPPLGPHYHQWLGQLFAASSDMCRHKSSTILRLRGYNERSFLAPFLSALRLALQRMDQRGKEVLQGDMWDAYWLPWDALAAGLDRSGTSSAGGDRSGRAQLLGHLQRLKRIQKVTPYRTGHRILAVLQVPTCTGELLEPDRWPAEPGSLLVPNVDEYRTKTGFYPSLSSAVPDRPELLSCRALSSLLAAALAAAPAVSTRLLCGPARLM
+>sp|Q96T58|MINT_HUMAN Msx2-interacting protein OS=Homo sapiens OX=9606 GN=SPEN PE=1 SV=1
+MVRETRHLWVGNLPENVREEKIIEHFKRYGRVESVKILPKRGSEGGVAAFVDFVDIKSAQKAHNSVNKMGDRDLRTDYNEPGTIPSAARGLDDTVSIASRSREVSGFRGGGGGPAYGPPPSLHAREGRYERRLDGASDNRERAYEHSAYGHHERGTGGFDRTRHYDQDYYRDPRERTLQHGLYYASRSRSPNRFDAHDPRYEPRAREQFTLPSVVHRDIYRDDITREVRGRRPERNYQHSRSRSPHSSQSRNQSPQRLASQASRPTRSPSGSGSRSRSSSSDSISSSSSTSSDSSDSSSSSSDDSPARSVQSAAVPAPTSQLLSSLEKDEPRKSFGIKVQNLPVRSTDTSLKDGLFHEFKKFGKVTSVQIHGTSEERYGLVFFRQQEDQEKALTASKGKLFFGMQIEVTAWIGPETESENEFRPLDERIDEFHPKATRTLFIGNLEKTTTYHDLRNIFQRFGEIVDIDIKKVNGVPQYAFLQYCDIASVCKAIKKMDGEYLGNNRLKLGFGKSMPTNCVWLDGLSSNVSDQYLTRHFCRYGPVVKVVFDRLKGMALVLYNEIEYAQAAVKETKGRKIGGNKIKVDFANRESQLAFYHCMEKSGQDIRDFYEMLAERREERRASYDYNQDRTYYESVRTPGTYPEDSRRDYPARGREFYSEWETYQGDYYESRYYDDPREYRDYRNDPYEQDIREYSYRQRERERERERFESDRDRDHERRPIERSQSPVHLRRPQSPGASPSQAERLPSDSERRLYSRSSDRSGSCSSLSPPRYEKLDKSRLERYTKNEKTDKERTFDPERVERERRLIRKEKVEKDKTDKQKRKGKVHSPSSQSSETDQENEREQSPEKPRSCNKLSREKADKEGIAKNRLELMPCVVLTRVKEKEGKVIDHTPVEKLKAKLDNDTVKSSALDQKLQVSQTEPAKSDLSKLESVRMKVPKEKGLSSHVEVVEKEGRLKARKHLKPEQPADGVSAVDLEKLEARKRRFADSNLKAEKQKPEVKKSSPEMEDARVLSKKQPDVSSREVILLREGEAERKPVRKEILKRESKKIKLDRLNTVASPKDCQELASISVGSGSRPSSDLQARLGELAGESVENQEVQSKKPIPSKPQLKQLQVLDDQGPEREDVRKNYCSLRDETPERKSGQEKSHSVNTEEKIGIDIDHTQSYRKQMEQSRRKQQMEMEIAKSEKFGSPKKDVDEYERRSLVHEVGKPPQDVTDDSPPSKKKRMDHVDFDICTKRERNYRSSRQISEDSERTGGSPSVRHGSFHEDEDPIGSPRLLSVKGSPKVDEKVLPYSNITVREESLKFNPYDSSRREQMADMAKIKLSVLNSEDELNRWDSQMKQDAGRFDVSFPNSIIKRDSLRKRSVRDLEPGEVPSDSDEDGEHKSHSPRASALYESSRLSFLLRDREDKLRERDERLSSSLERNKFYSFALDKTITPDTKALLERAKSLSSSREENWSFLDWDSRFANFRNNKDKEKVDSAPRPIPSWYMKKKKIRTDSEGKMDDKKEDHKEEEQERQELFASRFLHSSIFEQDSKRLQHLERKEEDSDFISGRIYGKQTSEGANSTTDSIQEPVVLFHSRFMELTRMQQKEKEKDQKPKEVEKQEDTENHPKTPESAPENKDSELKTPPSVGPPSVTVVTLESAPSALEKTTGDKTVEAPLVTEEKTVEPATVSEEAKPASEPAPAPVEQLEQVDLPPGADPDKEAAMMPAGVEEGSSGDQPPYLDAKPPTPGASFSQAESNVDPEPDSTQPLSKPAQKSEEANEPKAEKPDATADAEPDANQKAEAAPESQPPASEDLEVDPPVAAKDKKPNKSKRSKTPVQAAAVSIVEKPVTRKSERIDREKLKRSNSPRGEAQKLLELKMEAEKITRTASKNSAADLEHPEPSLPLSRTRRRNVRSVYATMGDHENRSPVKEPVEQPRVTRKRLERELQEAAAVPTTPRRGRPPKTRRRADEEEENEAKEPAETLKPPEGWRSPRSQKTAAGGGPQGKKGKNEPKVDATRPEATTEVGPQIGVKESSMEPKAAEEEAGSEQKRDRKDAGTDKNPPETAPVEVVEKKPAPEKNSKSKRGRSRNSRLAVDKSASLKNVDAAVSPRGAAAQAGERESGVVAVSPEKSESPQKEDGLSSQLKSDPVDPDKEPEKEDVSASGPSPEATQLAKQMELEQAVEHIAKLAEASASAAYKADAPEGLAPEDRDKPAHQASETELAAAIGSIINDISGEPENFPAPPPYPGESQTDLQPPAGAQALQPSEEGMETDEAVSGILETEAATESSRPPVNAPDPSAGPTDTKEARGNSSETSHSVPEAKGSKEVEVTLVRKDKGRQKTTRSRRKRNTNKKVVAPVESHVPESNQAQGESPAANEGTTVQHPEAPQEEKQSEKPHSTPPQSCTSDLSKIPSTENSSQEISVEERTPTKASVPPDLPPPPQPAPVDEEPQARFRVHSIIESDPVTPPSDPSIPIPTLPSVTAAKLSPPVASGGIPHQSPPTKVTEWITRQEEPRAQSTPSPALPPDTKASDVDTSSSTLRKILMDPKYVSATSVTSTSVTTAIAEPVSAAPCLHEAPPPPVDSKKPLEEKTAPPVTNNSEIQASEVLVAADKEKVAPVIAPKITSVISRMPVSIDLENSQKITLAKPAPQTLTGLVSALTGLVNVSLVPVNALKGPVKGSVTTLKSLVSTPAGPVNVLKGPVNVLTGPVNVLTTPVNATVGTVNAAPGTVNAAASAVNATASAVTVTAGAVTAASGGVTATTGTVTMAGAVIAPSTKCKQRASANENSRFHPGSMPVIDDRPADAGSGAGLRVNTSEGVVLLSYSGQKTEGPQRISAKISQIPPASAMDIEFQQSVSKSQVKPDSVTASQPPSKGPQAPAGYANVATHSTLVLTAQTYNASPVISSVKADRPSLEKPEPIHLSVSTPVTQGGTVKVLTQGINTPPVLVHNQLVLTPSIVTTNKKLADPVTLKIETKVLQPANLGSTLTPHHPPALPSKLPTEVNHVPSGPSIPADRTVSHLAAAKLDAHSPRPSGPGPSSFPRASHPSSTASTALSTNATVMLAAGIPVPQFISSIHPEQSVIMPPHSITQTVSLSHLSQGEVRMNTPTLPSITYSIRPEALHSPRAPLQPQQIEVRAPQRASTPQPAPAGVPALASQHPPEEEVHYHLPVARATAPVQSEVLVMQSEYRLHPYTVPRDVRIMVHPHVTAVSEQPRAADGVVKVPPASKAPQQPGKEAAKTPDAKAAPTPTPAPVPVPVPLPAPAPAPHGEARILTVTPSNQLQGLPLTPPVVVTHGVQIVHSSGELFQEYRYGDIRTYHPPAQLTHTQFPAASSVGLPSRTKTAAQGPPPEGEPLQPPQPVQSTQPAQPAPPCPPSQLGQPGQPPSSKMPQVSQEAKGTQTGVEQPRLPAGPANRPPEPHTQVQRAQAETGPTSFPSPVSVSMKPDLPVSLPTQTAPKQPLFVPTTSGPSTPPGLVLPHTEFQPAPKQDSSPHLTSQRPVDMVQLLKKYPIVWQGLLALKNDTAAVQLHFVSGNNVLAHRSLPLSEGGPPLRIAQRMRLEATQLEGVARRMTVETDYCLLLALPCGRDQEDVVSQTESLKAAFITYLQAKQAAGIINVPNPGSNQPAYVLQIFPPCEFSESHLSRLAPDLLASISNISPHLMIVIASV
+>DECOY_sp|Q96T58|MINT_HUMAN Msx2-interacting protein OS=Homo sapiens OX=9606 GN=SPEN PE=1 SV=1
+VSAIVIMLHPSINSISALLDPALRSLHSESFECPPFIQLVYAPQNSGPNPVNIIGAAQKAQLYTIFAAKLSETQSVVDEQDRGCPLALLLCYDTEVTMRRAVGELQTAELRMRQAIRLPPGGESLPLSRHALVNNGSVFHLQVAATDNKLALLGQWVIPYKKLLQVMDVPRQSTLHPSSDQKPAPQFETHPLVLGPPTSPGSTTPVFLPQKPATQTPLSVPLDPKMSVSVPSPFSTPGTEAQARQVQTHPEPPRNAPGAPLRPQEVGTQTGKAEQSVQPMKSSPPQGPQGLQSPPCPPAPQAPQTSQVPQPPQLPEGEPPPGQAATKTRSPLGVSSAAPFQTHTLQAPPHYTRIDGYRYEQFLEGSSHVIQVGHTVVVPPTLPLGQLQNSPTVTLIRAEGHPAPAPAPLPVPVPVPAPTPTPAAKADPTKAAEKGPQQPAKSAPPVKVVGDAARPQESVATVHPHVMIRVDRPVTYPHLRYESQMVLVESQVPATARAVPLHYHVEEEPPHQSALAPVGAPAPQPTSARQPARVEIQQPQLPARPSHLAEPRISYTISPLTPTNMRVEGQSLHSLSVTQTISHPPMIVSQEPHISSIFQPVPIGAALMVTANTSLATSATSSPHSARPFSSPGPGSPRPSHADLKAAALHSVTRDAPISPGSPVHNVETPLKSPLAPPHHPTLTSGLNAPQLVKTEIKLTVPDALKKNTTVISPTLVLQNHVLVPPTNIGQTLVKVTGGQTVPTSVSLHIPEPKELSPRDAKVSSIVPSANYTQATLVLTSHTAVNAYGAPAQPGKSPPQSATVSDPKVQSKSVSQQFEIDMASAPPIQSIKASIRQPGETKQGSYSLLVVGESTNVRLGAGSGADAPRDDIVPMSGPHFRSNENASARQKCKTSPAIVAGAMTVTGTTATVGGSAATVAGATVTVASATANVASAAANVTGPAANVTGVTANVPTTLVNVPGTLVNVPGKLVNVPGAPTSVLSKLTTVSGKVPGKLANVPVLSVNVLGTLASVLGTLTQPAPKALTIKQSNELDISVPMRSIVSTIKPAIVPAVKEKDAAVLVESAQIESNNTVPPATKEELPKKSDVPPPPAEHLCPAASVPEAIATTVSTSTVSTASVYKPDMLIKRLTSSSTDVDSAKTDPPLAPSPTSQARPEEQRTIWETVKTPPSQHPIGGSAVPPSLKAATVSPLTPIPISPDSPPTVPDSEIISHVRFRAQPEEDVPAPQPPPPLDPPVSAKTPTREEVSIEQSSNETSPIKSLDSTCSQPPTSHPKESQKEEQPAEPHQVTTGENAAPSEGQAQNSEPVHSEVPAVVKKNTNRKRRSRTTKQRGKDKRVLTVEVEKSGKAEPVSHSTESSNGRAEKTDTPGASPDPANVPPRSSETAAETELIGSVAEDTEMGEESPQLAQAGAPPQLDTQSEGPYPPPAPFNEPEGSIDNIISGIAAALETESAQHAPKDRDEPALGEPADAKYAASASAEALKAIHEVAQELEMQKALQTAEPSPGSASVDEKEPEKDPDVPDSKLQSSLGDEKQPSESKEPSVAVVGSEREGAQAAAGRPSVAADVNKLSASKDVALRSNRSRGRKSKSNKEPAPKKEVVEVPATEPPNKDTGADKRDRKQESGAEEEAAKPEMSSEKVGIQPGVETTAEPRTADVKPENKGKKGQPGGGAATKQSRPSRWGEPPKLTEAPEKAENEEEEDARRRTKPPRGRRPTTPVAAAEQLERELRKRTVRPQEVPEKVPSRNEHDGMTAYVSRVNRRRTRSLPLSPEPHELDAASNKSATRTIKEAEMKLELLKQAEGRPSNSRKLKERDIRESKRTVPKEVISVAAAQVPTKSRKSKNPKKDKAAVPPDVELDESAPPQSEPAAEAKQNADPEADATADPKEAKPENAEESKQAPKSLPQTSDPEPDVNSEAQSFSAGPTPPKADLYPPQDGSSGEEVGAPMMAAEKDPDAGPPLDVQELQEVPAPAPESAPKAEESVTAPEVTKEETVLPAEVTKDGTTKELASPASELTVVTVSPPGVSPPTKLESDKNEPASEPTKPHNETDEQKEVEKPKQDKEKEKQQMRTLEMFRSHFLVVPEQISDTTSNAGESTQKGYIRGSIFDSDEEKRELHQLRKSDQEFISSHLFRSAFLEQREQEEEKHDEKKDDMKGESDTRIKKKKMYWSPIPRPASDVKEKDKNNRFNAFRSDWDLFSWNEERSSSLSKARELLAKTDPTITKDLAFSYFKNRELSSSLREDRERLKDERDRLLFSLRSSEYLASARPSHSKHEGDEDSDSPVEGPELDRVSRKRLSDRKIISNPFSVDFRGADQKMQSDWRNLEDESNLVSLKIKAMDAMQERRSSDYPNFKLSEERVTINSYPLVKEDVKPSGKVSLLRPSGIPDEDEHFSGHRVSPSGGTRESDESIQRSSRYNRERKTCIDFDVHDMRKKKSPPSDDTVDQPPKGVEHVLSRREYEDVDKKPSGFKESKAIEMEMQQKRRSQEMQKRYSQTHDIDIGIKEETNVSHSKEQGSKREPTEDRLSCYNKRVDEREPGQDDLVQLQKLQPKSPIPKKSQVEQNEVSEGALEGLRAQLDSSPRSGSGVSISALEQCDKPSAVTNLRDLKIKKSERKLIEKRVPKREAEGERLLIVERSSVDPQKKSLVRADEMEPSSKKVEPKQKEAKLNSDAFRRKRAELKELDVASVGDAPQEPKLHKRAKLRGEKEVVEVHSSLGKEKPVKMRVSELKSLDSKAPETQSVQLKQDLASSKVTDNDLKAKLKEVPTHDIVKGEKEKVRTLVVCPMLELRNKAIGEKDAKERSLKNCSRPKEPSQERENEQDTESSQSSPSHVKGKRKQKDTKDKEVKEKRILRREREVREPDFTREKDTKENKTYRELRSKDLKEYRPPSLSSCSGSRDSSRSYLRRESDSPLREAQSPSAGPSQPRRLHVPSQSREIPRREHDRDRDSEFRERERERERQRYSYERIDQEYPDNRYDRYERPDDYYRSEYYDGQYTEWESYFERGRAPYDRRSDEPYTGPTRVSEYYTRDQNYDYSARREERREALMEYFDRIDQGSKEMCHYFALQSERNAFDVKIKNGGIKRGKTEKVAAQAYEIENYLVLAMGKLRDFVVKVVPGYRCFHRTLYQDSVNSSLGDLWVCNTPMSKGFGLKLRNNGLYEGDMKKIAKCVSAIDCYQLFAYQPVGNVKKIDIDVIEGFRQFINRLDHYTTTKELNGIFLTRTAKPHFEDIREDLPRFENESETEPGIWATVEIQMGFFLKGKSATLAKEQDEQQRFFVLGYREESTGHIQVSTVKGFKKFEHFLGDKLSTDTSRVPLNQVKIGFSKRPEDKELSSLLQSTPAPVAASQVSRAPSDDSSSSSSDSSDSSTSSSSSISDSSSSRSRSGSGSPSRTPRSAQSALRQPSQNRSQSSHPSRSRSHQYNREPRRGRVERTIDDRYIDRHVVSPLTFQERARPEYRPDHADFRNPSRSRSAYYLGHQLTRERPDRYYDQDYHRTRDFGGTGREHHGYASHEYARERNDSAGDLRREYRGERAHLSPPPGYAPGGGGGRFGSVERSRSAISVTDDLGRAASPITGPENYDTRLDRDGMKNVSNHAKQASKIDVFDVFAAVGGESGRKPLIKVSEVRGYRKFHEIIKEERVNEPLNGVWLHRTERVM
+>sp|Q8NBR6|MINY2_HUMAN Ubiquitin carboxyl-terminal hydrolase MINDY-2 OS=Homo sapiens OX=9606 GN=MINDY2 PE=1 SV=2
+MESSPESLQPLEHGVAAGPASGTGSSQEGLQETRLAAGDGPGVWAAETSGGNGLGAAAARRSLPDSASPAGSPEVPGPCSSSAGLDLKDSGLESPAAAEAPLRGQYKVTASPETAVAGVGHELGTAGDAGARPDLAGTCQAELTAAGSEEPSSAGGLSSSCSDPSPPGESPSLDSLESFSNLHSFPSSCEFNSEEGAENRVPEEEEGAAVLPGAVPLCKEEEGEETAQVLAASKERFPGQSVYHIKWIQWKEENTPIITQNENGPCPLLAILNVLLLAWKVKLPPMMEIITAEQLMEYLGDYMLDAKPKEISEIQRLNYEQNMSDAMAILHKLQTGLDVNVRFTGVRVFEYTPECIVFDLLDIPLYHGWLVDPQIDDIVKAVGNCSYNQLVEKIISCKQSDNSELVSEGFVAEQFLNNTATQLTYHGLCELTSTVQEGELCVFFRNNHFSTMTKYKGQLYLLVTDQGFLTEEKVVWESLHNVDGDGNFCDSEFHLRPPSDPETVYKGQQDQIDQDYLMALSLQQEQQSQEINWEQIPEGISDLELAKKLQEEEDRRASQYYQEQEQAAAAAAAASTQAQQGQPAQASPSSGRQSGNSERKRKEPREKDKEKEKEKNSCVIL
+>DECOY_sp|Q8NBR6|MINY2_HUMAN Ubiquitin carboxyl-terminal hydrolase MINDY-2 OS=Homo sapiens OX=9606 GN=MINDY2 PE=1 SV=2
+LIVCSNKEKEKEKDKERPEKRKRESNGSQRGSSPSAQAPQGQQAQTSAAAAAAAAQEQEQYYQSARRDEEEQLKKALELDSIGEPIQEWNIEQSQQEQQLSLAMLYDQDIQDQQGKYVTEPDSPPRLHFESDCFNGDGDVNHLSEWVVKEETLFGQDTVLLYLQGKYKTMTSFHNNRFFVCLEGEQVTSTLECLGHYTLQTATNNLFQEAVFGESVLESNDSQKCSIIKEVLQNYSCNGVAKVIDDIQPDVLWGHYLPIDLLDFVICEPTYEFVRVGTFRVNVDLGTQLKHLIAMADSMNQEYNLRQIESIEKPKADLMYDGLYEMLQEATIIEMMPPLKVKWALLLVNLIALLPCPGNENQTIIPTNEEKWQIWKIHYVSQGPFREKSAALVQATEEGEEEKCLPVAGPLVAAGEEEEPVRNEAGEESNFECSSPFSHLNSFSELSDLSPSEGPPSPDSCSSSLGGASSPEESGAATLEAQCTGALDPRAGADGATGLEHGVGAVATEPSATVKYQGRLPAEAAAPSELGSDKLDLGASSSCPGPVEPSGAPSASDPLSRRAAAAGLGNGGSTEAAWVGPGDGAALRTEQLGEQSSGTGSAPGAAVGHELPQLSEPSSEM
+>sp|Q9UGB7|MIOX_HUMAN Inositol oxygenase OS=Homo sapiens OX=9606 GN=MIOX PE=1 SV=1
+MKVTVGPDPSLVYRPDVDPEVAKDKASFRNYTSGPLLDRVFTTYKLMHTHQTVDFVRSKHAQFGGFSYKKMTVMEAVDLLDGLVDESDPDVDFPNSFHAFQTAEGIRKAHPDKDWFHLVGLLHDLGKVLALFGEPQWAVVGDTFPVGCRPQASVVFCDSTFQDNPDLQDPRYSTELGMYQPHCGLDRVLMSWGHDEYMYQVMKFNKFSLPPEAFYMIRFHSFYPWHTGRDYQQLCSQQDLAMLPWVREFNKFDLYTKCPDLPDVDKLRPYYQGLIDKYCPGILSW
+>DECOY_sp|Q9UGB7|MIOX_HUMAN Inositol oxygenase OS=Homo sapiens OX=9606 GN=MIOX PE=1 SV=1
+WSLIGPCYKDILGQYYPRLKDVDPLDPCKTYLDFKNFERVWPLMALDQQSCLQQYDRGTHWPYFSHFRIMYFAEPPLSFKNFKMVQYMYEDHGWSMLVRDLGCHPQYMGLETSYRPDQLDPNDQFTSDCFVVSAQPRCGVPFTDGVVAWQPEGFLALVKGLDHLLGVLHFWDKDPHAKRIGEATQFAHFSNPFDVDPDSEDVLGDLLDVAEMVTMKKYSFGGFQAHKSRVFDVTQHTHMLKYTTFVRDLLPGSTYNRFSAKDKAVEPDVDPRYVLSPDPGVTVKM
+>sp|Q9Y3D0|MIP18_HUMAN Mitotic spindle-associated MMXD complex subunit MIP18 OS=Homo sapiens OX=9606 GN=FAM96B PE=1 SV=1
+MVGGGGVGGGLLENANPLIYQRSGERPVTAGEEDEQVPDSIDAREIFDLIRSINDPEHPLTLEELNVVEQVRVQVSDPESTVAVAFTPTIPHCSMATLIGLSIKVKLLRSLPQRFKMDVHITPGTHASEHAVNKQLADKERVAAALENTHLLEVVNQCLSARS
+>DECOY_sp|Q9Y3D0|MIP18_HUMAN Mitotic spindle-associated MMXD complex subunit MIP18 OS=Homo sapiens OX=9606 GN=FAM96B PE=1 SV=1
+SRASLCQNVVELLHTNELAAAVREKDALQKNVAHESAHTGPTIHVDMKFRQPLSRLLKVKISLGILTAMSCHPITPTFAVAVTSEPDSVQVRVQEVVNLEELTLPHEPDNISRILDFIERADISDPVQEDEEGATVPREGSRQYILPNANELLGGGVGGGGVM
+>sp|Q8TD10|MIPO1_HUMAN Mirror-image polydactyly gene 1 protein OS=Homo sapiens OX=9606 GN=MIPOL1 PE=1 SV=1
+MENWSKDITHSYLEQETTGINKSTQPDEQLTMNSEKSMHRKSTELVNEITCENTEWPGQRSTNFQIISSYPDDESVYCTTEKYNVMEHRHNDMHYECMTPCQVTSDSDKEKTIAFLLKELDILRTSNKKLQQKLAKEDKEQRKLKFKLELQEKETEAKIAEKTAALVEEVYFAQKERDEAVMSRLQLAIEERDEAIARAKHMEMSLKVLENINPEENDMTLQELLNRINNADTGIAIQKNGAIIVDRIYKTKECKMRITAEEMSALIEERDAALSKCKRLEQELHHVKEQNQTSANNMRHLTAENNQERALKAKLLSMQQARETAVQQYKKLEEEIQTLRVYYSLHKSLSQEENLKDQFNYTLSTYEEALKNRENIVSITQQQNEELATQLQQALTERANMELQLQHAREASQVANEKVQKLERLVDVLRKKVGTGTMRTVI
+>DECOY_sp|Q8TD10|MIPO1_HUMAN Mirror-image polydactyly gene 1 protein OS=Homo sapiens OX=9606 GN=MIPOL1 PE=1 SV=1
+IVTRMTGTGVKKRLVDVLRELKQVKENAVQSAERAHQLQLEMNARETLAQQLQTALEENQQQTISVINERNKLAEEYTSLTYNFQDKLNEEQSLSKHLSYYVRLTQIEEELKKYQQVATERAQQMSLLKAKLAREQNNEATLHRMNNASTQNQEKVHHLEQELRKCKSLAADREEILASMEEATIRMKCEKTKYIRDVIIAGNKQIAIGTDANNIRNLLEQLTMDNEEPNINELVKLSMEMHKARAIAEDREEIALQLRSMVAEDREKQAFYVEEVLAATKEAIKAETEKEQLELKFKLKRQEKDEKALKQQLKKNSTRLIDLEKLLFAITKEKDSDSTVQCPTMCEYHMDNHRHEMVNYKETTCYVSEDDPYSSIIQFNTSRQGPWETNECTIENVLETSKRHMSKESNMTLQEDPQTSKNIGTTEQELYSHTIDKSWNEM
+>sp|Q8TDR0|MIPT3_HUMAN TRAF3-interacting protein 1 OS=Homo sapiens OX=9606 GN=TRAF3IP1 PE=1 SV=1
+MNAAVVRRTQEALGKVIRRPPLTEKLLSKPPFRYLHDIITEVIRMTGFMKGLYTDAEMKSDNVKDKDAKISFLQKAIDVVVMVSGEPLLAKPARIVAGHEPERTNELLQIIGKCCLNKLSSDDAVRRVLAGEKGEVKGRASLTSRSQELDNKNVREEESRVHKNTEDRGDAEIKERSTSRDRKQKEELKEDRKPREKDKDKEKAKENGGNRHREGERERAKARARPDNERQKDRGNRERDRDSERKKETERKSEGGKEKERLRDRDRERDRDKGKDRDRRRVKNGEHSWDLDREKNREHDKPEKKSASSGEMSKKLSDGTFKDSKAETETEISTRASKSLTTKTSKRRSKNSVEGRKEDNISAKSLDSIVSGINNEPNQETTTSEIGTKEANINSTSISDDNSASLRCENIQPNPTEKQKGDSTSDAEGDAGPAGQDKSEVPETPEIPNELSSNIRRIPRPGSARPAPPRVKRQDSMEALQMDRSGSGKTVSNVITESHNSDNEEDDQFVVEAAPQLSEMSEIEMVTAVELEEEEKHGGLVKKILETKKDYEKLQQSPKPGEKERSLFESAWKKEKDIVSKEIEKLRTSIQTLCKSALPLGKIMDYIQEDVDAMQNELQMWHSENRQHAEALQQEQRITDCAVEPLKAELAELEQLIKDQQDKICAVKANILKNEEKIQKMVYSINLTSRR
+>DECOY_sp|Q8TDR0|MIPT3_HUMAN TRAF3-interacting protein 1 OS=Homo sapiens OX=9606 GN=TRAF3IP1 PE=1 SV=1
+RRSTLNISYVMKQIKEENKLINAKVACIKDQQDKILQELEALEAKLPEVACDTIRQEQQLAEAHQRNESHWMQLENQMADVDEQIYDMIKGLPLASKCLTQISTRLKEIEKSVIDKEKKWASEFLSREKEGPKPSQQLKEYDKKTELIKKVLGGHKEEEELEVATVMEIESMESLQPAAEVVFQDDEENDSNHSETIVNSVTKGSGSRDMQLAEMSDQRKVRPPAPRASGPRPIRRINSSLENPIEPTEPVESKDQGAPGADGEADSTSDGKQKETPNPQINECRLSASNDDSISTSNINAEKTGIESTTTEQNPENNIGSVISDLSKASINDEKRGEVSNKSRRKSTKTTLSKSARTSIETETEAKSDKFTGDSLKKSMEGSSASKKEPKDHERNKERDLDWSHEGNKVRRRDRDKGKDRDRERDRDRLREKEKGGESKRETEKKRESDRDRERNGRDKQRENDPRARAKAREREGERHRNGGNEKAKEKDKDKERPKRDEKLEEKQKRDRSTSREKIEADGRDETNKHVRSEEERVNKNDLEQSRSTLSARGKVEGKEGALVRRVADDSSLKNLCCKGIIQLLENTREPEHGAVIRAPKALLPEGSVMVVVDIAKQLFSIKADKDKVNDSKMEADTYLGKMFGTMRIVETIIDHLYRFPPKSLLKETLPPRRIVKGLAEQTRRVVAANM
+>sp|Q75NE6|MIRH1_HUMAN Putative microRNA 17 host gene protein OS=Homo sapiens OX=9606 GN=MIR17HG PE=5 SV=1
+MFCHVDVKISSKRYTWTKLPLNVPKLVLIYLQSHFVLFFFSMCQSIWERPAIGRATTSSASWMVGYDCLL
+>DECOY_sp|Q75NE6|MIRH1_HUMAN Putative microRNA 17 host gene protein OS=Homo sapiens OX=9606 GN=MIR17HG PE=5 SV=1
+LLCDYGVMWSASSTTARGIAPREWISQCMSFFFLVFHSQLYILVLKPVNLPLKTWTYRKSSIKVDVHCFM
+>sp|Q8NDC0|MISSL_HUMAN MAPK-interacting and spindle-stabilizing protein-like OS=Homo sapiens OX=9606 GN=MAPK1IP1L PE=1 SV=4
+MSDEFSLADALPEHSPAKTSAVSNTKPGQPPQGWPGSNPWNNPSAPSSVPSGLPPSATPSTVPFGPAPTGMYPSVPPTGPPPGPPAPFPPSGPSCPPPGGPYPAPTVPGPGPTGPYPTPNMPFPELPRPYGAPTDPAAAGPLGPWGSMSSGPWAPGMGGQYPTPNMPYPSPGPYPAPPPPQAPGAAPPVPWGTVPPGAWGPPAPYPAPTGSYPTPGLYPTPSNPFQVPSGPSGAPPMPGGPHSYH
+>DECOY_sp|Q8NDC0|MISSL_HUMAN MAPK-interacting and spindle-stabilizing protein-like OS=Homo sapiens OX=9606 GN=MAPK1IP1L PE=1 SV=4
+HYSHPGGPMPPAGSPGSPVQFPNSPTPYLGPTPYSGTPAPYPAPPGWAGPPVTGWPVPPAAGPAQPPPPAPYPGPSPYPMNPTPYQGGMGPAWPGSSMSGWPGLPGAAAPDTPAGYPRPLEPFPMNPTPYPGTPGPGPVTPAPYPGGPPPCSPGSPPFPAPPGPPPGTPPVSPYMGTPAPGFPVTSPTASPPLGSPVSSPASPNNWPNSGPWGQPPQGPKTNSVASTKAPSHEPLADALSFEDSM
+>sp|Q9H2W2|MIXL1_HUMAN Homeobox protein MIXL1 OS=Homo sapiens OX=9606 GN=MIXL1 PE=1 SV=1
+MATAESRALQFAEGAAFPAYRAPHAGGALLPPPSPAAALLPAPPAGPGPATFAGFLGRDPGPAPPPPASLGSPAPPKGAAAPSASQRRKRTSFSAEQLQLLELVFRRTRYPDIHLRERLAALTLLPESRIQVWFQNRRAKSRRQSGKSFQPLARPEIILNHCAPGTETKCLKPQLPLEVDVNCLPEPNGVGGGISDSSSQGQNFETCSPLSEDIGSKLDSWEEHIFSAFGNF
+>DECOY_sp|Q9H2W2|MIXL1_HUMAN Homeobox protein MIXL1 OS=Homo sapiens OX=9606 GN=MIXL1 PE=1 SV=1
+FNGFASFIHEEWSDLKSGIDESLPSCTEFNQGQSSSDSIGGGVGNPEPLCNVDVELPLQPKLCKTETGPACHNLIIEPRALPQFSKGSQRRSKARRNQFWVQIRSEPLLTLAALRERLHIDPYRTRRFVLELLQLQEASFSTRKRRQSASPAAAGKPPAPSGLSAPPPPAPGPDRGLFGAFTAPGPGAPPAPLLAAAPSPPPLLAGGAHPARYAPFAAGEAFQLARSEATAM
+>sp|P45984|MK09_HUMAN Mitogen-activated protein kinase 9 OS=Homo sapiens OX=9606 GN=MAPK9 PE=1 SV=2
+MSDSKCDSQFYSVQVADSTFTVLKRYQQLKPIGSGAQGIVCAAFDTVLGINVAVKKLSRPFQNQTHAKRAYRELVLLKCVNHKNIISLLNVFTPQKTLEEFQDVYLVMELMDANLCQVIHMELDHERMSYLLYQMLCGIKHLHSAGIIHRDLKPSNIVVKSDCTLKILDFGLARTACTNFMMTPYVVTRYYRAPEVILGMGYKENVDIWSVGCIMGELVKGCVIFQGTDHIDQWNKVIEQLGTPSAEFMKKLQPTVRNYVENRPKYPGIKFEELFPDWIFPSESERDKIKTSQARDLLSKMLVIDPDKRISVDEALRHPYITVWYDPAEAEAPPPQIYDAQLEEREHAIEEWKELIYKEVMDWEERSKNGVVKDQPSDAAVSSNATPSQSSSINDISSMSTEQTLASDTDSSLDASTGPLEGCR
+>DECOY_sp|P45984|MK09_HUMAN Mitogen-activated protein kinase 9 OS=Homo sapiens OX=9606 GN=MAPK9 PE=1 SV=2
+RCGELPGTSADLSSDTDSALTQETSMSSIDNISSSQSPTANSSVAADSPQDKVVGNKSREEWDMVEKYILEKWEEIAHEREELQADYIQPPPAEAEAPDYWVTIYPHRLAEDVSIRKDPDIVLMKSLLDRAQSTKIKDRESESPFIWDPFLEEFKIGPYKPRNEVYNRVTPQLKKMFEASPTGLQEIVKNWQDIHDTGQFIVCGKVLEGMICGVSWIDVNEKYGMGLIVEPARYYRTVVYPTMMFNTCATRALGFDLIKLTCDSKVVINSPKLDRHIIGASHLHKIGCLMQYLLYSMREHDLEMHIVQCLNADMLEMVLYVDQFEELTKQPTFVNLLSIINKHNVCKLLVLERYARKAHTQNQFPRSLKKVAVNIGLVTDFAACVIGQAGSGIPKLQQYRKLVTFTSDAVQVSYFQSDCKSDSM
+>sp|Q15759|MK11_HUMAN Mitogen-activated protein kinase 11 OS=Homo sapiens OX=9606 GN=MAPK11 PE=1 SV=2
+MSGPRAGFYRQELNKTVWEVPQRLQGLRPVGSGAYGSVCSAYDARLRQKVAVKKLSRPFQSLIHARRTYRELRLLKHLKHENVIGLLDVFTPATSIEDFSEVYLVTTLMGADLNNIVKCQALSDEHVQFLVYQLLRGLKYIHSAGIIHRDLKPSNVAVNEDCELRILDFGLARQADEEMTGYVATRWYRAPEIMLNWMHYNQTVDIWSVGCIMAELLQGKALFPGSDYIDQLKRIMEVVGTPSPEVLAKISSEHARTYIQSLPPMPQKDLSSIFRGANPLAIDLLGRMLVLDSDQRVSAAEALAHAYFSQYHDPEDEPEAEPYDESVEAKERTLEEWKELTYQEVLSFKPPEPPKPPGSLEIEQ
+>DECOY_sp|Q15759|MK11_HUMAN Mitogen-activated protein kinase 11 OS=Homo sapiens OX=9606 GN=MAPK11 PE=1 SV=2
+QEIELSGPPKPPEPPKFSLVEQYTLEKWEELTREKAEVSEDYPEAEPEDEPDHYQSFYAHALAEAASVRQDSDLVLMRGLLDIALPNAGRFISSLDKQPMPPLSQIYTRAHESSIKALVEPSPTGVVEMIRKLQDIYDSGPFLAKGQLLEAMICGVSWIDVTQNYHMWNLMIEPARYWRTAVYGTMEEDAQRALGFDLIRLECDENVAVNSPKLDRHIIGASHIYKLGRLLQYVLFQVHEDSLAQCKVINNLDAGMLTTVLYVESFDEISTAPTFVDLLGIVNEHKLHKLLRLERYTRRAHILSQFPRSLKKVAVKQRLRADYASCVSGYAGSGVPRLGQLRQPVEWVTKNLEQRYFGARPGSM
+>sp|Q16539|MK14_HUMAN Mitogen-activated protein kinase 14 OS=Homo sapiens OX=9606 GN=MAPK14 PE=1 SV=3
+MSQERPTFYRQELNKTIWEVPERYQNLSPVGSGAYGSVCAAFDTKTGLRVAVKKLSRPFQSIIHAKRTYRELRLLKHMKHENVIGLLDVFTPARSLEEFNDVYLVTHLMGADLNNIVKCQKLTDDHVQFLIYQILRGLKYIHSADIIHRDLKPSNLAVNEDCELKILDFGLARHTDDEMTGYVATRWYRAPEIMLNWMHYNQTVDIWSVGCIMAELLTGRTLFPGTDHIDQLKLILRLVGTPGAELLKKISSESARNYIQSLTQMPKMNFANVFIGANPLAVDLLEKMLVLDSDKRITAAQALAHAYFAQYHDPDDEPVADPYDQSFESRDLLIDEWKSLTYDEVISFVPPPLDQEEMES
+>DECOY_sp|Q16539|MK14_HUMAN Mitogen-activated protein kinase 14 OS=Homo sapiens OX=9606 GN=MAPK14 PE=1 SV=3
+SEMEEQDLPPPVFSIVEDYTLSKWEDILLDRSEFSQDYPDAVPEDDPDHYQAFYAHALAQAATIRKDSDLVLMKELLDVALPNAGIFVNAFNMKPMQTLSQIYNRASESSIKKLLEAGPTGVLRLILKLQDIHDTGPFLTRGTLLEAMICGVSWIDVTQNYHMWNLMIEPARYWRTAVYGTMEDDTHRALGFDLIKLECDENVALNSPKLDRHIIDASHIYKLGRLIQYILFQVHDDTLKQCKVINNLDAGMLHTVLYVDNFEELSRAPTFVDLLGIVNEHKMHKLLRLERYTRKAHIISQFPRSLKKVAVRLGTKTDFAACVSGYAGSGVPSLNQYREPVEWITKNLEQRYFTPREQSM
+>sp|Q9UHC7|MKRN1_HUMAN E3 ubiquitin-protein ligase makorin-1 OS=Homo sapiens OX=9606 GN=MKRN1 PE=1 SV=3
+MAEAATPGTTATTSGAGAAAATAAAASPTPIPTVTAPSLGAGGGGGGSDGSGGGWTKQVTCRYFMHGVCKEGDNCRYSHDLSDSPYSVVCKYFQRGYCIYGDRCRYEHSKPLKQEEATATELTTKSSLAASSSLSSIVGPLVEMNTGEAESRNSNFATVGAGSEDWVNAIEFVPGQPYCGRTAPSCTEAPLQGSVTKEESEKEQTAVETKKQLCPYAAVGECRYGENCVYLHGDSCDMCGLQVLHPMDAAQRSQHIKSCIEAHEKDMELSFAVQRSKDMVCGICMEVVYEKANPSERRFGILSNCNHTYCLKCIRKWRSAKQFESKIIKSCPECRITSNFVIPSEYWVEEKEEKQKLILKYKEAMSNKACRYFDEGRGSCPFGGNCFYKHAYPDGRREEPQRQKVGTSSRYRAQRRNHFWELIEERENSNPFDNDEEEVVTFELGEMLLMLLAAGGDDELTDSEDEWDLFHDELEDFYDLDL
+>DECOY_sp|Q9UHC7|MKRN1_HUMAN E3 ubiquitin-protein ligase makorin-1 OS=Homo sapiens OX=9606 GN=MKRN1 PE=1 SV=3
+LDLDYFDELEDHFLDWEDESDTLEDDGGAALLMLLMEGLEFTVVEEEDNDFPNSNEREEILEWFHNRRQARYRSSTGVKQRQPEERRGDPYAHKYFCNGGFPCSGRGEDFYRCAKNSMAEKYKLILKQKEEKEEVWYESPIVFNSTIRCEPCSKIIKSEFQKASRWKRICKLCYTHNCNSLIGFRRESPNAKEYVVEMCIGCVMDKSRQVAFSLEMDKEHAEICSKIHQSRQAADMPHLVQLGCMDCSDGHLYVCNEGYRCEGVAAYPCLQKKTEVATQEKESEEKTVSGQLPAETCSPATRGCYPQGPVFEIANVWDESGAGVTAFNSNRSEAEGTNMEVLPGVISSLSSSAALSSKTTLETATAEEQKLPKSHEYRCRDGYICYGRQFYKCVVSYPSDSLDHSYRCNDGEKCVGHMFYRCTVQKTWGGGSGDSGGGGGGAGLSPATVTPIPTPSAAAATAAAAGAGSTTATTGPTAAEAM
+>sp|Q13434|MKRN4_HUMAN Putative E3 ubiquitin-protein ligase makorin-4 OS=Homo sapiens OX=9606 GN=MKRN4P PE=5 SV=1
+MAEAAAPGTTVTTSGAGAAAAEAAETAEAVSPTPIPTVTAPSPRAGGGVGGSDGSDGSGGRGDSGAYDGSGACGGSDACDGSGDSSGDSWTKQVTCRYFKYGICKEGDNCRYSHDLSDRLCGVVCKYFQRGCCVYGDRCRCEHSKPLKQEEATATELTTKSSLAASSSLSSIVGPLVEMNTNEAESRNSNFATVVAGSEDWANAIEFVPGQPYCGRTVPSCTEAPLQGSVTKEESEEEQTAVETKKQLCPYAAVGQCRYGENCVYLHGDLCDMCGLQVLHPMDAAQRSQHIQACIEAHEKDMEFSFAVQRSKDKVCGICMEVVYEKANPNEHRFGILSNCNHTFCLKCIRKWRSAKEFESRIVKSCPQCRITSNFVIPSEYWVEEKEEKQKLIQKYKEAMSNKACKYFDEGRGSCPFGENCFYKHMYPDGRREEPQRQQVGTSSRNPGQQRNHFWEFFEEGANSNPFDDEEEAVTFELGEMLLML
+>DECOY_sp|Q13434|MKRN4_HUMAN Putative E3 ubiquitin-protein ligase makorin-4 OS=Homo sapiens OX=9606 GN=MKRN4P PE=5 SV=1
+LMLLMEGLEFTVAEEEDDFPNSNAGEEFFEWFHNRQQGPNRSSTGVQQRQPEERRGDPYMHKYFCNEGFPCSGRGEDFYKCAKNSMAEKYKQILKQKEEKEEVWYESPIVFNSTIRCQPCSKVIRSEFEKASRWKRICKLCFTHNCNSLIGFRHENPNAKEYVVEMCIGCVKDKSRQVAFSFEMDKEHAEICAQIHQSRQAADMPHLVQLGCMDCLDGHLYVCNEGYRCQGVAAYPCLQKKTEVATQEEESEEKTVSGQLPAETCSPVTRGCYPQGPVFEIANAWDESGAVVTAFNSNRSEAENTNMEVLPGVISSLSSSAALSSKTTLETATAEEQKLPKSHECRCRDGYVCCGRQFYKCVVGCLRDSLDHSYRCNDGEKCIGYKFYRCTVQKTWSDGSSDGSGDCADSGGCAGSGDYAGSDGRGGSGDSGDSGGVGGGARPSPATVTPIPTPSVAEATEAAEAAAAGAGSTTVTTGPAAAEAM
+>sp|P21741|MK_HUMAN Midkine OS=Homo sapiens OX=9606 GN=MDK PE=1 SV=1
+MQHRGFLLLTLLALLALTSAVAKKKDKVKKGGPGSECAEWAWGPCTPSSKDCGVGFREGTCGAQTQRIRCRVPCNWKKEFGADCKYKFENWGACDGGTGTKVRQGTLKKARYNAQCQETIRVTKPCTPKTKAKAKAKKGKGKD
+>DECOY_sp|P21741|MK_HUMAN Midkine OS=Homo sapiens OX=9606 GN=MDK PE=1 SV=1
+DKGKGKKAKAKAKTKPTCPKTVRITEQCQANYRAKKLTGQRVKTGTGGDCAGWNEFKYKCDAGFEKKWNCPVRCRIRQTQAGCTGERFGVGCDKSSPTCPGWAWEACESGPGGKKVKDKKKAVASTLALLALLTLLLFGRHQM
+>sp|Q15049|MLC1_HUMAN Membrane protein MLC1 OS=Homo sapiens OX=9606 GN=MLC1 PE=1 SV=5
+MTQEPFREELAYDRMPTLERGRQDPASYAPDAKPSDLQLSKRLPPCFSHKTWVFSVLMGSCLLVTSGFSLYLGNVFPAEMDYLRCAAGSCIPSAIVSFTVSRRNANVIPNFQILFVSTFAVTTTCLIWFGCKLVLNPSAININFNLILLLLLELLMAATVIIAARSSEEDCKKKKGSMSDSANILDEVPFPARVLKSYSVVEVIAGISAVLGGIIALNVDDSVSGPHLSVTFFWILVACFPSAIASHVAAECPSKCLVEVLIAISSLTSPLLFTASGYLSFSIMRIVEMFKDYPPAIKPSYDVLLLLLLLVLLLQAGLNTGTAIQCVRFKVSARLQGASWDTQNGPQERLAGEVARSPLKEFDKEKAWRAVVVQMAQ
+>DECOY_sp|Q15049|MLC1_HUMAN Membrane protein MLC1 OS=Homo sapiens OX=9606 GN=MLC1 PE=1 SV=5
+QAMQVVVARWAKEKDFEKLPSRAVEGALREQPGNQTDWSAGQLRASVKFRVCQIATGTNLGAQLLLVLLLLLLLVDYSPKIAPPYDKFMEVIRMISFSLYGSATFLLPSTLSSIAILVEVLCKSPCEAAVHSAIASPFCAVLIWFFTVSLHPGSVSDDVNLAIIGGLVASIGAIVEVVSYSKLVRAPFPVEDLINASDSMSGKKKKCDEESSRAAIIVTAAMLLELLLLLILNFNINIASPNLVLKCGFWILCTTTVAFTSVFLIQFNPIVNANRRSVTFSVIASPICSGAACRLYDMEAPFVNGLYLSFGSTVLLCSGMLVSFVWTKHSFCPPLRKSLQLDSPKADPAYSAPDQRGRELTPMRDYALEERFPEQTM
+>sp|O75900|MMP23_HUMAN Matrix metalloproteinase-23 OS=Homo sapiens OX=9606 GN=MMP23A PE=1 SV=2
+MGRGARVPSEAPGAGVERRWLGAALVALCLLPALVLLARLGAPAVPAWSAAQGDVAALGLSAVPPTRVPGPLAPRRRRYTLTPARLRWDHFNLTYRILSFPRNLLSPRETRRALAAAFRMWSDVSPFSFREVAPEQPSDLRIGFYPINHTDCLVSALHHCFDGPTGELAHAFFPPHGGIHFDDSEYWVLGPTRYSWKKGVWLTDLVHVAAHEIGHALGLMHSQHGRALMHLNATLRGWKALSQDELWGLHRLYGCLDRLFVCASWARRGFCDARRRLMKRLCPSSCDFCYEFPFPTVATTPPPPRTKTRLVPEGRNVTFRCGQKILHKKGKVYWYKDQEPLEFSYPGYLALGEAHLSIIANAVNEGTYTCVVRRQQRVLTTYSWRVRVRG
+>DECOY_sp|O75900|MMP23_HUMAN Matrix metalloproteinase-23 OS=Homo sapiens OX=9606 GN=MMP23A PE=1 SV=2
+GRVRVRWSYTTLVRQQRRVVCTYTGENVANAIISLHAEGLALYGPYSFELPEQDKYWYVKGKKHLIKQGCRFTVNRGEPVLRTKTRPPPPTTAVTPFPFEYCFDCSSPCLRKMLRRRADCFGRRAWSACVFLRDLCGYLRHLGWLEDQSLAKWGRLTANLHMLARGHQSHMLGLAHGIEHAAVHVLDTLWVGKKWSYRTPGLVWYESDDFHIGGHPPFFAHALEGTPGDFCHHLASVLCDTHNIPYFGIRLDSPQEPAVERFSFPSVDSWMRFAAALARRTERPSLLNRPFSLIRYTLNFHDWRLRAPTLTYRRRRPALPGPVRTPPVASLGLAAVDGQAASWAPVAPAGLRALLVLAPLLCLAVLAAGLWRREVGAGPAESPVRAGRGM
+>sp|Q9NRE1|MMP26_HUMAN Matrix metalloproteinase-26 OS=Homo sapiens OX=9606 GN=MMP26 PE=2 SV=2
+MQLVILRVTIFLPWCFAVPVPPAADHKGWDFVEGYFHQFFLTKKESPLLTQETQTQLLQQFHRNGTDLLDMQMHALLHQPHCGVPDGSDTSISPGRCKWNKHTLTYRIINYPHDMKPSAVKDSIYNAVSIWSNVTPLIFQQVQNGDADIKVSFWQWAHEDGWPFDGPGGILGHAFLPNSGNPGVVHFDKNEHWSASDTGYNLFLVATHEIGHSLGLQHSGNQSSIMYPTYWYHDPRTFQLSADDIQRIQHLYGEKCSSDIP
+>DECOY_sp|Q9NRE1|MMP26_HUMAN Matrix metalloproteinase-26 OS=Homo sapiens OX=9606 GN=MMP26 PE=2 SV=2
+PIDSSCKEGYLHQIRQIDDASLQFTRPDHYWYTPYMISSQNGSHQLGLSHGIEHTAVLFLNYGTDSASWHENKDFHVVGPNGSNPLFAHGLIGGPGDFPWGDEHAWQWFSVKIDADGNQVQQFILPTVNSWISVANYISDKVASPKMDHPYNIIRYTLTHKNWKCRGPSISTDSGDPVGCHPQHLLAHMQMDLLDTGNRHFQQLLQTQTEQTLLPSEKKTLFFQHFYGEVFDWGKHDAAPPVPVAFCWPLFITVRLIVLQM
+>sp|P09237|MMP7_HUMAN Matrilysin OS=Homo sapiens OX=9606 GN=MMP7 PE=1 SV=1
+MRLTVLCAVCLLPGSLALPLPQEAGGMSELQWEQAQDYLKRFYLYDSETKNANSLEAKLKEMQKFFGLPITGMLNSRVIEIMQKPRCGVPDVAEYSLFPNSPKWTSKVVTYRIVSYTRDLPHITVDRLVSKALNMWGKEIPLHFRKVVWGTADIMIGFARGAHGDSYPFDGPGNTLAHAFAPGTGLGGDAHFDEDERWTDGSSLGINFLYAATHELGHSLGMGHSSDPNAVMYPTYGNGDPQNFKLSQDDIKGIQKLYGKRSNSRKK
+>DECOY_sp|P09237|MMP7_HUMAN Matrilysin OS=Homo sapiens OX=9606 GN=MMP7 PE=1 SV=1
+KKRSNSRKGYLKQIGKIDDQSLKFNQPDGNGYTPYMVANPDSSHGMGLSHGLEHTAAYLFNIGLSSGDTWREDEDFHADGGLGTGPAFAHALTNGPGDFPYSDGHAGRAFGIMIDATGWVVKRFHLPIEKGWMNLAKSVLRDVTIHPLDRTYSVIRYTVVKSTWKPSNPFLSYEAVDPVGCRPKQMIEIVRSNLMGTIPLGFFKQMEKLKAELSNANKTESDYLYFRKLYDQAQEWQLESMGGAEQPLPLALSGPLLCVACLVTLRM
+>sp|P22894|MMP8_HUMAN Neutrophil collagenase OS=Homo sapiens OX=9606 GN=MMP8 PE=1 SV=1
+MFSLKTLPFLLLLHVQISKAFPVSSKEKNTKTVQDYLEKFYQLPSNQYQSTRKNGTNVIVEKLKEMQRFFGLNVTGKPNEETLDMMKKPRCGVPDSGGFMLTPGNPKWERTNLTYRIRNYTPQLSEAEVERAIKDAFELWSVASPLIFTRISQGEADINIAFYQRDHGDNSPFDGPNGILAHAFQPGQGIGGDAHFDAEETWTNTSANYNLFLVAAHEFGHSLGLAHSSDPGALMYPNYAFRETSNYSLPQDDIDGIQAIYGLSSNPIQPTGPSTPKPCDPSLTFDAITTLRGEILFFKDRYFWRRHPQLQRVEMNFISLFWPSLPTGIQAAYEDFDRDLIFLFKGNQYWALSGYDILQGYPKDISNYGFPSSVQAIDAAVFYRSKTYFFVNDQFWRYDNQRQFMEPGYPKSISGAFPGIESKVDAVFQQEHFFHVFSGPRYYAFDLIAQRVTRVARGNKWLNCRYG
+>DECOY_sp|P22894|MMP8_HUMAN Neutrophil collagenase OS=Homo sapiens OX=9606 GN=MMP8 PE=1 SV=1
+GYRCNLWKNGRAVRTVRQAILDFAYYRPGSFVHFFHEQQFVADVKSEIGPFAGSISKPYGPEMFQRQNDYRWFQDNVFFYTKSRYFVAADIAQVSSPFGYNSIDKPYGQLIDYGSLAWYQNGKFLFILDRDFDEYAAQIGTPLSPWFLSIFNMEVRQLQPHRRWFYRDKFFLIEGRLTTIADFTLSPDCPKPTSPGTPQIPNSSLGYIAQIGDIDDQPLSYNSTERFAYNPYMLAGPDSSHALGLSHGFEHAAVLFLNYNASTNTWTEEADFHADGGIGQGPQFAHALIGNPGDFPSNDGHDRQYFAINIDAEGQSIRTFILPSAVSWLEFADKIAREVEAESLQPTYNRIRYTLNTREWKPNGPTLMFGGSDPVGCRPKKMMDLTEENPKGTVNLGFFRQMEKLKEVIVNTGNKRTSQYQNSPLQYFKELYDQVTKTNKEKSSVPFAKSIQVHLLLLFPLTKLSFM
+>sp|A0A0U1RRL7|MMPOS_HUMAN Protein MMP24OS OS=Homo sapiens OX=9606 GN=MMP24OS PE=1 SV=1
+MGAQLSGGRGAPEPAQTQPQPQPQPAAPEGPEQPRHPPQPQPQPQPQPQPEPSPWGPLDDVRFLIACTSWY
+>DECOY_sp|A0A0U1RRL7|MMPOS_HUMAN Protein MMP24OS OS=Homo sapiens OX=9606 GN=MMP24OS PE=1 SV=1
+YWSTCAILFRVDDLPGWPSPEPQPQPQPQPQPQPPHRPQEPGEPAAPQPQPQPQTQAPEPAGRGGSLQAGM
+>sp|Q13201|MMRN1_HUMAN Multimerin-1 OS=Homo sapiens OX=9606 GN=MMRN1 PE=1 SV=3
+MKGARLFVLLSSLWSGGIGLNNSKHSWTIPEDGNSQKTMPSASVPPNKIQSLQILPTTRVMSAEIATTPEARTSEDSLLKSTLPPSETSAPAEGVRNQTLTSTEKAEGVVKLQNLTLPTNASIKFNPGAESVVLSNSTLKFLQSFARKSNEQATSLNTVGGTGGIGGVGGTGGVGNRAPRETYLSRGDSSSSQRTDYQKSNFETTRGKNWCAYVHTRLSPTVILDNQVTYVPGGKGPCGWTGGSCPQRSQKISNPVYRMQHKIVTSLDWRCCPGYSGPKCQLRAQEQQSLIHTNQAESHTAVGRGVAEQQQQQGCGDPEVMQKMTDQVNYQAMKLTLLQKKIDNISLTVNDVRNTYSSLEGKVSEDKSREFQSLLKGLKSKSINVLIRDIVREQFKIFQNDMQETVAQLFKTVSSLSEDLESTRQIIQKVNESVVSIAAQQKFVLVQENRPTLTDIVELRNHIVNVRQEMTLTCEKPIKELEVKQTHLEGALEQEHSRSILYYESLNKTLSKLKEVHEQLLSTEQVSDQKNAPAAESVSNNVTEYMSTLHENIKKQSLMMLQMFEDLHIQESKINNLTVSLEMEKESLRGECEDMLSKCRNDFKFQLKDTEENLHVLNQTLAEVLFPMDNKMDKMSEQLNDLTYDMEILQPLLEQGASLRQTMTYEQPKEAIVIRKKIENLTSAVNSLNFIIKELTKRHNLLRNEVQGRDDALERRINEYALEMEDGLNKTMTIINNAIDFIQDNYALKETLSTIKDNSEIHHKCTSDMETILTFIPQFHRLNDSIQTLVNDNQRYNFVLQVAKTLAGIPRDEKLNQSNFQKMYQMFNETTSQVRKYQQNMSHLEEKLLLTTKISKNFETRLQDIESKVTQTLIPYYISVKKGSVVTNERDQALQLQVLNSRFKALEAKSIHLSINFFSLNKTLHEVLTMCHNASTSVSELNATIPKWIKHSLPDIQLLQKGLTEFVEPIIQIKTQAALSNLTCCIDRSLPGSLANVVKSQKQVKSLPKKINALKKPTVNLTTVLIGRTQRNTDNIIYPEEYSSCSRHPCQNGGTCINGRTSFTCACRHPFTGDNCTIKLVEENALAPDFSKGSYRYAPMVAFFASHTYGMTIPGPILFNNLDVNYGASYTPRTGKFRIPYLGVYVFKYTIESFSAHISGFLVVDGIDKLAFESENINSEIHCDRVLTGDALLELNYGQEVWLRLAKGTIPAKFPPVTTFSGYLLYRT
+>DECOY_sp|Q13201|MMRN1_HUMAN Multimerin-1 OS=Homo sapiens OX=9606 GN=MMRN1 PE=1 SV=3
+TRYLLYGSFTTVPPFKAPITGKALRLWVEQGYNLELLADGTLVRDCHIESNINESEFALKDIGDVVLFGSIHASFSEITYKFVYVGLYPIRFKGTRPTYSAGYNVDLNNFLIPGPITMGYTHSAFFAVMPAYRYSGKSFDPALANEEVLKITCNDGTFPHRCACTFSTRGNICTGGNQCPHRSCSSYEEPYIINDTNRQTRGILVTTLNVTPKKLANIKKPLSKVQKQSKVVNALSGPLSRDICCTLNSLAAQTKIQIIPEVFETLGKQLLQIDPLSHKIWKPITANLESVSTSANHCMTLVEHLTKNLSFFNISLHISKAELAKFRSNLVQLQLAQDRENTVVSGKKVSIYYPILTQTVKSEIDQLRTEFNKSIKTTLLLKEELHSMNQQYKRVQSTTENFMQYMKQFNSQNLKEDRPIGALTKAVQLVFNYRQNDNVLTQISDNLRHFQPIFTLITEMDSTCKHHIESNDKITSLTEKLAYNDQIFDIANNIITMTKNLGDEMELAYENIRRELADDRGQVENRLLNHRKTLEKIIFNLSNVASTLNEIKKRIVIAEKPQEYTMTQRLSAGQELLPQLIEMDYTLDNLQESMKDMKNDMPFLVEALTQNLVHLNEETDKLQFKFDNRCKSLMDECEGRLSEKEMELSVTLNNIKSEQIHLDEFMQLMMLSQKKINEHLTSMYETVNNSVSEAAPANKQDSVQETSLLQEHVEKLKSLTKNLSEYYLISRSHEQELAGELHTQKVELEKIPKECTLTMEQRVNVIHNRLEVIDTLTPRNEQVLVFKQQAAISVVSENVKQIIQRTSELDESLSSVTKFLQAVTEQMDNQFIKFQERVIDRILVNISKSKLGKLLSQFERSKDESVKGELSSYTNRVDNVTLSINDIKKQLLTLKMAQYNVQDTMKQMVEPDGCGQQQQQEAVGRGVATHSEAQNTHILSQQEQARLQCKPGSYGPCCRWDLSTVIKHQMRYVPNSIKQSRQPCSGGTWGCPGKGGPVYTVQNDLIVTPSLRTHVYACWNKGRTTEFNSKQYDTRQSSSSDGRSLYTERPARNGVGGTGGVGGIGGTGGVTNLSTAQENSKRAFSQLFKLTSNSLVVSEAGPNFKISANTPLTLNQLKVVGEAKETSTLTQNRVGEAPASTESPPLTSKLLSDESTRAEPTTAIEASMVRTTPLIQLSQIKNPPVSASPMTKQSNGDEPITWSHKSNNLGIGGSWLSSLLVFLRAGKM
+>sp|O14494|PLPP1_HUMAN Phospholipid phosphatase 1 OS=Homo sapiens OX=9606 GN=PLPP1 PE=1 SV=1
+MFDKTRLPYVALDVLCVLLAGLPFAILTSRHTPFQRGVFCNDESIKYPYKEDTIPYALLGGIIIPFSIIVIILGETLSVYCNLLHSNSFIRNNYIATIYKAIGTFLFGAAASQSLTDIAKYSIGRLRPHFLDVCDPDWSKINCSDGYIEYYICRGNAERVKEGRLSFYSGHSSFSMYCMLFVALYLQARMKGDWARLLRPTLQFGLVAVSIYVGLSRVSDYKHHWSDVLTGLIQGALVAILVAVYVSDFFKERTSFKERKEEDSHTTLHETPTTGNHYPSNHQP
+>DECOY_sp|O14494|PLPP1_HUMAN Phospholipid phosphatase 1 OS=Homo sapiens OX=9606 GN=PLPP1 PE=1 SV=1
+PQHNSPYHNGTTPTEHLTTHSDEEKREKFSTREKFFDSVYVAVLIAVLAGQILGTLVDSWHHKYDSVRSLGVYISVAVLGFQLTPRLLRAWDGKMRAQLYLAVFLMCYMSFSSHGSYFSLRGEKVREANGRCIYYEIYGDSCNIKSWDPDCVDLFHPRLRGISYKAIDTLSQSAAAGFLFTGIAKYITAIYNNRIFSNSHLLNCYVSLTEGLIIVIISFPIIIGGLLAYPITDEKYPYKISEDNCFVGRQFPTHRSTLIAFPLGALLVCLVDLAVYPLRTKDFM
+>sp|O14495|PLPP3_HUMAN Phospholipid phosphatase 3 OS=Homo sapiens OX=9606 GN=PLPP3 PE=1 SV=1
+MQNYKYDKAIVPESKNGGSPALNNNPRRSGSKRVLLICLDLFCLFMAGLPFLIIETSTIKPYHRGFYCNDESIKYPLKTGETINDAVLCAVGIVIAILAIITGEFYRIYYLKKSRSTIQNPYVAALYKQVGCFLFGCAISQSFTDIAKVSIGRLRPHFLSVCNPDFSQINCSEGYIQNYRCRGDDSKVQEARKSFFSGHASFSMYTMLYLVLYLQARFTWRGARLLRPLLQFTLIMMAFYTGLSRVSDHKHHPSDVLAGFAQGALVACCIVFFVSDLFKTKTTLSLPAPAIRKEILSPVDIIDRNNHHNMM
+>DECOY_sp|O14495|PLPP3_HUMAN Phospholipid phosphatase 3 OS=Homo sapiens OX=9606 GN=PLPP3 PE=1 SV=1
+MMNHHNNRDIIDVPSLIEKRIAPAPLSLTTKTKFLDSVFFVICCAVLAGQAFGALVDSPHHKHDSVRSLGTYFAMMILTFQLLPRLLRAGRWTFRAQLYLVLYLMTYMSFSAHGSFFSKRAEQVKSDDGRCRYNQIYGESCNIQSFDPNCVSLFHPRLRGISVKAIDTFSQSIACGFLFCGVQKYLAAVYPNQITSRSKKLYYIRYFEGTIIALIAIVIGVACLVADNITEGTKLPYKISEDNCYFGRHYPKITSTEIILFPLGAMFLCFLDLCILLVRKSGSRRPNNNLAPSGGNKSEPVIAKDYKYNQM
+>sp|Q8NEB5|PLPP5_HUMAN Phospholipid phosphatase 5 OS=Homo sapiens OX=9606 GN=PLPP5 PE=1 SV=2
+MGKAAAAVAFGAEVGVRLALFAAFLVTELLPPFQRLIQPEEMWLYRNPYVEAEYFPTKPMFVIAFLSPLSLIFLAKFLKKADTRDSRQACLAASLALALNGVFTNTIKLIVGRPRPDFFYRCFPDGLAHSDLMCTGDKDVVNEGRKSFPSGHSSFAFAGLAFASFYLAGKLHCFTPQGRGKSWRFCAFLSPLLFAAVIALSRTCDYKHHWQDVLVGSMIGMTFAYVCYRQYYPPLTDAECHKPFQDKLVLSTAQKPGDSYCFDI
+>DECOY_sp|Q8NEB5|PLPP5_HUMAN Phospholipid phosphatase 5 OS=Homo sapiens OX=9606 GN=PLPP5 PE=1 SV=2
+IDFCYSDGPKQATSLVLKDQFPKHCEADTLPPYYQRYCVYAFTMGIMSGVLVDQWHHKYDCTRSLAIVAAFLLPSLFACFRWSKGRGQPTFCHLKGALYFSAFALGAFAFSSHGSPFSKRGENVVDKDGTCMLDSHALGDPFCRYFFDPRPRGVILKITNTFVGNLALALSAALCAQRSDRTDAKKLFKALFILSLPSLFAIVFMPKTPFYEAEVYPNRYLWMEEPQILRQFPPLLETVLFAAFLALRVGVEAGFAVAAAAKGM
+>sp|Q6T4P5|PLPR3_HUMAN Phospholipid phosphatase-related protein type 3 OS=Homo sapiens OX=9606 GN=PLPPR3 PE=2 SV=1
+MISTKEKNKIPKDSMTLLPCFYFVELPIVASSIVSLYFLELTDLFKPAKVGFQCYDRTLSMPYVETNEELIPLLMLLSLAFAAPAASIMVAEGMLYCLQSRLWGRAGGPAGAEGSINAGGCNFNSFLRRTVRFVGVHVFGLCATALVTDVIQLATGYHTPFFLTVCKPNYTLLGTSCEVNPYITQDICSGHDIHAILSARKTFPSQHATLSAFAAVYVSMYFNSVISDTTKLLKPILVFAFAIAAGVCGLTQITQYRSHPVDVYAGFLIGAGIAAYLACHAVGNFQAPPAEKPAAPAPAKDALRALTQRGHDSVYQQNKSVSTDELGPPGRLEGAPRPVAREKTSLGSLKRASVDVDLLAPRSPMAKENMVTFSHTLPRASAPSLDDPARRHMTIHVPLDASRSKQLISEWKQKSLEGRGLGLPDDASPGHLRAPAEPMAEEEEEEEDEEEEEEEEEEEDEGPAPPSLYPTVQARPGLGPRVILPPRAGPPPLVHIPEEGAQTGAGLSPKSGAGVRAKWLMMAEKSGAAVANPPRLLQVIAMSKAPGAPGPKAAETASSSSASSDSSQYRSPSDRDSASIVTIDAHAPHHPVVHLSAGGAPWEWKAAGGGAKAEADGGYELGDLARGFRGGAKPPGVSPGSSVSDVDQEEPRFGAVATVNLATGEGLPPLGAADGALGPGSRESTLRRHAGGLGLAEREAEAEAEGYFRKMQARRFPD
+>DECOY_sp|Q6T4P5|PLPR3_HUMAN Phospholipid phosphatase-related protein type 3 OS=Homo sapiens OX=9606 GN=PLPPR3 PE=2 SV=1
+DPFRRAQMKRFYGEAEAEAEREALGLGGAHRRLTSERSGPGLAGDAAGLPPLGEGTALNVTAVAGFRPEEQDVDSVSSGPSVGPPKAGGRFGRALDGLEYGGDAEAKAGGGAAKWEWPAGGASLHVVPHHPAHADITVISASDRDSPSRYQSSDSSASSSSATEAAKPGPAGPAKSMAIVQLLRPPNAVAAGSKEAMMLWKARVGAGSKPSLGAGTQAGEEPIHVLPPPGARPPLIVRPGLGPRAQVTPYLSPPAPGEDEEEEEEEEEEEDEEEEEEEAMPEAPARLHGPSADDPLGLGRGELSKQKWESILQKSRSADLPVHITMHRRAPDDLSPASARPLTHSFTVMNEKAMPSRPALLDVDVSARKLSGLSTKERAVPRPAGELRGPPGLEDTSVSKNQQYVSDHGRQTLARLADKAPAPAAPKEAPPAQFNGVAHCALYAAIGAGILFGAYVDVPHSRYQTIQTLGCVGAAIAFAFVLIPKLLKTTDSIVSNFYMSVYVAAFASLTAHQSPFTKRASLIAHIDHGSCIDQTIYPNVECSTGLLTYNPKCVTLFFPTHYGTALQIVDTVLATACLGFVHVGVFRVTRRLFSNFNCGGANISGEAGAPGGARGWLRSQLCYLMGEAVMISAAPAAFALSLLMLLPILEENTEVYPMSLTRDYCQFGVKAPKFLDTLELFYLSVISSAVIPLEVFYFCPLLTMSDKPIKNKEKTSIM
+>sp|O43660|PLRG1_HUMAN Pleiotropic regulator 1 OS=Homo sapiens OX=9606 GN=PLRG1 PE=1 SV=1
+MVEEVQKHSVHTLVFRSLKRTHDMFVADNGKPVPLDEESHKRKMAIKLRNEYGPVLHMPTSKENLKEKGPQNATDSYVHKQYPANQGQEVEYFVAGTHPYPPGPGVALTADTKIQRMPSESAAQSLAVALPLQTKADANRTAPSGSEYRHPGASDRPQPTAMNSIVMETGNTKNSALMAKKAPTMPKPQWHPPWKLYRVISGHLGWVRCIAVEPGNQWFVTGSADRTIKIWDLASGKLKLSLTGHISTVRGVIVSTRSPYLFSCGEDKQVKCWDLEYNKVIRHYHGHLSAVYGLDLHPTIDVLVTCSRDSTARIWDVRTKASVHTLSGHTNAVATVRCQAAEPQIITGSHDTTIRLWDLVAGKTRVTLTNHKKSVRAVVLHPRHYTFASGSPDNIKQWKFPDGSFIQNLSGHNAIINTLTVNSDGVLVSGADNGTMHLWDWRTGYNFQRVHAAVQPGSLDSESGIFACAFDQSESRLLTAEADKTIKVYREDDTATEETHPVSWKPEIIKRKRF
+>DECOY_sp|O43660|PLRG1_HUMAN Pleiotropic regulator 1 OS=Homo sapiens OX=9606 GN=PLRG1 PE=1 SV=1
+FRKRKIIEPKWSVPHTEETATDDERYVKITKDAEATLLRSESQDFACAFIGSESDLSGPQVAAHVRQFNYGTRWDWLHMTGNDAGSVLVGDSNVTLTNIIANHGSLNQIFSGDPFKWQKINDPSGSAFTYHRPHLVVARVSKKHNTLTVRTKGAVLDWLRITTDHSGTIIQPEAAQCRVTAVANTHGSLTHVSAKTRVDWIRATSDRSCTVLVDITPHLDLGYVASLHGHYHRIVKNYELDWCKVQKDEGCSFLYPSRTSVIVGRVTSIHGTLSLKLKGSALDWIKITRDASGTVFWQNGPEVAICRVWGLHGSIVRYLKWPPHWQPKPMTPAKKAMLASNKTNGTEMVISNMATPQPRDSAGPHRYESGSPATRNADAKTQLPLAVALSQAASESPMRQIKTDATLAVGPGPPYPHTGAVFYEVEQGQNAPYQKHVYSDTANQPGKEKLNEKSTPMHLVPGYENRLKIAMKRKHSEEDLPVPKGNDAVFMDHTRKLSRFVLTHVSHKQVEEVM
+>sp|O95744|PM2P2_HUMAN Putative postmeiotic segregation increased 2-like protein 2 OS=Homo sapiens OX=9606 GN=PMS2P2 PE=5 SV=1
+MGESSRKPPTPTPEGPTVSVKQLFSTLPVRHKEFQRNIKKKRACFPFAFCRDCQFLEGSPAMLPVQPAKLTEPAKAIKPIDRKSVHQICSGPVVLSLSTAVKKIVGNSLDAGATNIDLKLKDYGMDLIEVSGNGCGVEEENFEGLSLSALKHHTSKIREFADLTRVETFGFQGKALSSLCALSDVTISTCHVSAKVGTRLVFDHDGKIIKKTPYPHPRGTTVSVKQLFSTLPVRHKEFQRNIKKKRACFPFAFCRDCQFLEGSPAMLPVQPAKLTVTGELRACRSWKTREGITEAVG
+>DECOY_sp|O95744|PM2P2_HUMAN Putative postmeiotic segregation increased 2-like protein 2 OS=Homo sapiens OX=9606 GN=PMS2P2 PE=5 SV=1
+GVAETIGERTKWSRCARLEGTVTLKAPQVPLMAPSGELFQCDRCFAFPFCARKKKINRQFEKHRVPLTSFLQKVSVTTGRPHPYPTKKIIKGDHDFVLRTGVKASVHCTSITVDSLACLSSLAKGQFGFTEVRTLDAFERIKSTHHKLASLSLGEFNEEEVGCGNGSVEILDMGYDKLKLDINTAGADLSNGVIKKVATSLSLVVPGSCIQHVSKRDIPKIAKAPETLKAPQVPLMAPSGELFQCDRCFAFPFCARKKKINRQFEKHRVPLTSFLQKVSVTPGEPTPTPPKRSSEGM
+>sp|Q13670|PM2PB_HUMAN Putative postmeiotic segregation increased 2-like protein 11 OS=Homo sapiens OX=9606 GN=PMS2P11 PE=5 SV=1
+MEKLSAASGYSDVTDSKAMGPLAVGCLTKCSHAFHLLCLLAMYCNGNKGPEHPNPGKPFTARGFPASATFQTTPGPQASRGFQNPETLADIPASPQLLTDGHYMTLPVSPDQLPCDDPMAGSGGAPVLRVGHDHGCHQQPRICNAPLPGPGPYRTEPAKAIKPIDRKSVHQICSGPVVLSLSTAVKELVENSLDAGATNIDLKLKDYGMDLIEVSGNGCGVEEENFEGLMMSPFLPATSRRRLGLDWCLITMGKSSRRPPTPTPEGPQSA
+>DECOY_sp|Q13670|PM2PB_HUMAN Putative postmeiotic segregation increased 2-like protein 11 OS=Homo sapiens OX=9606 GN=PMS2P11 PE=5 SV=1
+ASQPGEPTPTPPRRSSKGMTILCWDLGLRRRSTAPLFPSMMLGEFNEEEVGCGNGSVEILDMGYDKLKLDINTAGADLSNEVLEKVATSLSLVVPGSCIQHVSKRDIPKIAKAPETRYPGPGPLPANCIRPQQHCGHDHGVRLVPAGGSGAMPDDCPLQDPSVPLTMYHGDTLLQPSAPIDALTEPNQFGRSAQPGPTTQFTASAPFGRATFPKGPNPHEPGKNGNCYMALLCLLHFAHSCKTLCGVALPGMAKSDTVDSYGSAASLKEM
+>sp|P54277|PMS1_HUMAN PMS1 protein homolog 1 OS=Homo sapiens OX=9606 GN=PMS1 PE=1 SV=1
+MKQLPAATVRLLSSSQIITSVVSVVKELIENSLDAGATSVDVKLENYGFDKIEVRDNGEGIKAVDAPVMAMKYYTSKINSHEDLENLTTYGFRGEALGSICCIAEVLITTRTAADNFSTQYVLDGSGHILSQKPSHLGQGTTVTALRLFKNLPVRKQFYSTAKKCKDEIKKIQDLLMSFGILKPDLRIVFVHNKAVIWQKSRVSDHKMALMSVLGTAVMNNMESFQYHSEESQIYLSGFLPKCDADHSFTSLSTPERSFIFINSRPVHQKDILKLIRHHYNLKCLKESTRLYPVFFLKIDVPTADVDVNLTPDKSQVLLQNKESVLIALENLMTTCYGPLPSTNSYENNKTDVSAADIVLSKTAETDVLFNKVESSGKNYSNVDTSVIPFQNDMHNDESGKNTDDCLNHQISIGDFGYGHCSSEISNIDKNTKNAFQDISMSNVSWENSQTEYSKTCFISSVKHTQSENGNKDHIDESGENEEEAGLENSSEISADEWSRGNILKNSVGENIEPVKILVPEKSLPCKVSNNNYPIPEQMNLNEDSCNKKSNVIDNKSGKVTAYDLLSNRVIKKPMSASALFVQDHRPQFLIENPKTSLEDATLQIEELWKTLSEEEKLKYEEKATKDLERYNSQMKRAIEQESQMSLKDGRKKIKPTSAWNLAQKHKLKTSLSNQPKLDELLQSQIEKRRSQNIKMVQIPFSMKNLKINFKKQNKVDLEEKDEPCLIHNLRFPDAWLMTSKTEVMLLNPYRVEEALLFKRLLENHKLPAEPLEKPIMLTESLFNGSHYLDVLYKMTADDQRYSGSTYLSDPRLTANGFKIKLIPGVSITENYLEIEGMANCLPFYGVADLKEILNAILNRNAKEVYECRPRKVISYLEGEAVRLSRQLPMYLSKEDIQDIIYRMKHQFGNEIKECVHGRPFFHHLTYLPETT
+>DECOY_sp|P54277|PMS1_HUMAN PMS1 protein homolog 1 OS=Homo sapiens OX=9606 GN=PMS1 PE=1 SV=1
+TTEPLYTLHHFFPRGHVCEKIENGFQHKMRYIIDQIDEKSLYMPLQRSLRVAEGELYSIVKRPRCEYVEKANRNLIANLIEKLDAVGYFPLCNAMGEIELYNETISVGPILKIKFGNATLRPDSLYTSGSYRQDDATMKYLVDLYHSGNFLSETLMIPKELPEAPLKHNELLRKFLLAEEVRYPNLLMVETKSTMLWADPFRLNHILCPEDKEELDVKNQKKFNIKLNKMSFPIQVMKINQSRRKEIQSQLLEDLKPQNSLSTKLKHKQALNWASTPKIKKRGDKLSMQSEQEIARKMQSNYRELDKTAKEEYKLKEEESLTKWLEEIQLTADELSTKPNEILFQPRHDQVFLASASMPKKIVRNSLLDYATVKGSKNDIVNSKKNCSDENLNMQEPIPYNNNSVKCPLSKEPVLIKVPEINEGVSNKLINGRSWEDASIESSNELGAEEENEGSEDIHDKNGNESQTHKVSSIFCTKSYETQSNEWSVNSMSIDQFANKTNKDINSIESSCHGYGFDGISIQHNLCDDTNKGSEDNHMDNQFPIVSTDVNSYNKGSSEVKNFLVDTEATKSLVIDAASVDTKNNEYSNTSPLPGYCTTMLNELAILVSEKNQLLVQSKDPTLNVDVDATPVDIKLFFVPYLRTSEKLCKLNYHHRILKLIDKQHVPRSNIFIFSREPTSLSTFSHDADCKPLFGSLYIQSEESHYQFSEMNNMVATGLVSMLAMKHDSVRSKQWIVAKNHVFVIRLDPKLIGFSMLLDQIKKIEDKCKKATSYFQKRVPLNKFLRLATVTTGQGLHSPKQSLIHGSGDLVYQTSFNDAATRTTILVEAICCISGLAEGRFGYTTLNELDEHSNIKSTYYKMAMVPADVAKIGEGNDRVEIKDFGYNELKVDVSTAGADLSNEILEKVVSVVSTIIQSSSLLRVTAAPLQKM
+>sp|P0CW24|PNM6A_HUMAN Paraneoplastic antigen-like protein 6A OS=Homo sapiens OX=9606 GN=PNMA6A PE=1 SV=2
+MAVTMLQDWCRWMGVNARRGLLILGIPEDCDDAEFQESLEAALRPMGHFTVLGKAFREEDNATAALVELDREVNYALVPREIPGTGGPWNVVFVPRCSGEEFLGLGRVFHFPEQEGQMVESVAGALGVGLRRVCWLRSIGQAVQPWVEAVRCQSLGVFSGRDQPAPGEESFEVWLDHTTEMLHVWQGVSERERRRRLLEGLRGTALQLVHALLAENPARTAQDCLAALAQVFGDNESQATIRVKCLTAQQQSGERLSAFVLRLEVLLQKAMEKEALARASADRVRLRQMLTRAHLTEPLDEALRKLRMAGRSPSFLEMLGLVRESEAWEASLARSVRAQTQEGAGARAGAQAVARASTKVEAVPGGPGREPEGLLQAGGQEAEELLQEGLKPVLEECDN
+>DECOY_sp|P0CW24|PNM6A_HUMAN Paraneoplastic antigen-like protein 6A OS=Homo sapiens OX=9606 GN=PNMA6A PE=1 SV=2
+NDCEELVPKLGEQLLEEAEQGGAQLLGEPERGPGGPVAEVKTSARAVAQAGARAGAGEQTQARVSRALSAEWAESERVLGLMELFSPSRGAMRLKRLAEDLPETLHARTLMQRLRVRDASARALAEKEMAKQLLVELRLVFASLREGSQQQATLCKVRITAQSENDGFVQALAALCDQATRAPNEALLAHVLQLATGRLGELLRRRRERESVGQWVHLMETTHDLWVEFSEEGPAPQDRGSFVGLSQCRVAEVWPQVAQGISRLWCVRRLGVGLAGAVSEVMQGEQEPFHFVRGLGLFEEGSCRPVFVVNWPGGTGPIERPVLAYNVERDLEVLAATANDEERFAKGLVTFHGMPRLAAELSEQFEADDCDEPIGLILLGRRANVGMWRCWDQLMTVAM
+>sp|Q8NA72|POC5_HUMAN Centrosomal protein POC5 OS=Homo sapiens OX=9606 GN=POC5 PE=1 SV=2
+MSSDEEKYSLPVVQNDSSRGSSVSSNLQEEYEELLHYAIVTPNIEPCASQSSHPKGELVPDVRISTIHDILHSQGNNSEVRETAIEVGKGCDFHISSHSKTDESSPVLSPRKPSHPVMDFFSSHLLADSSSPATNSSHTDAHEILVSDFLVSDENLQKMENVLDLWSSGLKTNIISELSKWRLNFIDWHRMEMRKEKEKHAAHLKQLCNQINELKELQKTFEISIGRKDEVISSLSHAIGKQKEKIELMRTFFHWRIGHVRARQDVYEGKLADQYYQRTLLKKVWKVWRSVVQKQWKDVVERACQARAEEVCIQISNDYEAKVAMLSGALENAKAEIQRMQHEKEHFEDSMKKAFMRGVCALNLEAMTIFQNRNDAGIDSTNNKKEEYGPGVQGKEHSAHLDPSAPPMPLPVTSPLLPSPPAAVGGASATAVPSAASMTSTRAASASSVHVPVSALGAGSAATAASEEMYVPRVVTSAQQKAGRTITARITGRCDFASKNRISSSLAIMGVSPPMSSVVVEKHHPVTVQTIPQATAAKYPRTIHPESSTSASRSLGTRSAHTQSLTSVHSIKVVD
+>DECOY_sp|Q8NA72|POC5_HUMAN Centrosomal protein POC5 OS=Homo sapiens OX=9606 GN=POC5 PE=1 SV=2
+DVVKISHVSTLSQTHASRTGLSRSASTSSEPHITRPYKAATAQPITQVTVPHHKEVVVSSMPPSVGMIALSSSIRNKSAFDCRGTIRATITRGAKQQASTVVRPVYMEESAATAASGAGLASVPVHVSSASAARTSTMSAASPVATASAGGVAAPPSPLLPSTVPLPMPPASPDLHASHEKGQVGPGYEEKKNNTSDIGADNRNQFITMAELNLACVGRMFAKKMSDEFHEKEHQMRQIEAKANELAGSLMAVKAEYDNSIQICVEEARAQCAREVVDKWQKQVVSRWVKWVKKLLTRQYYQDALKGEYVDQRARVHGIRWHFFTRMLEIKEKQKGIAHSLSSIVEDKRGISIEFTKQLEKLENIQNCLQKLHAAHKEKEKRMEMRHWDIFNLRWKSLESIINTKLGSSWLDLVNEMKQLNEDSVLFDSVLIEHADTHSSNTAPSSSDALLHSSFFDMVPHSPKRPSLVPSSEDTKSHSSIHFDCGKGVEIATERVESNNGQSHLIDHITSIRVDPVLEGKPHSSQSACPEINPTVIAYHLLEEYEEQLNSSVSSGRSSDNQVVPLSYKEEDSSM
+>sp|Q7Z5L7|PODN_HUMAN Podocan OS=Homo sapiens OX=9606 GN=PODN PE=1 SV=2
+MAQSRVLLLLLLLPPQLHLGPVLAVRAPGFGRSGGHSLSPEENEFAEEEPVLVLSPEEPGPGPAAVSCPRDCACSQEGVVDCGGIDLREFPGDLPEHTNHLSLQNNQLEKIYPEELSRLHRLETLNLQNNRLTSRGLPEKAFEHLTNLNYLYLANNKLTLAPRFLPNALISVDFAANYLTKIYGLTFGQKPNLRSVYLHNNKLADAGLPDNMFNGSSNVEVLILSSNFLRHVPKHLPPALYKLHLKNNKLEKIPPGAFSELSSLRELYLQNNYLTDEGLDNETFWKLSSLEYLDLSSNNLSRVPAGLPRSLVLLHLEKNAIRSVDANVLTPIRSLEYLLLHSNQLREQGIHPLAFQGLKRLHTVHLYNNALERVPSGLPRRVRTLMILHNQITGIGREDFATTYFLEELNLSYNRITSPQVHRDAFRKLRLLRSLDLSGNRLHTLPPGLPRNVHVLKVKRNELAALARGALVGMAQLRELYLTSNRLRSRALGPRAWVDLAHLQLLDIAGNQLTEIPEGLPESLEYLYLQNNKISAVPANAFDSTPNLKGIFLRFNKLAVGSVVDSAFRRLKHLQVLDIEGNLEFGDISKDRGRLGKEKEEEEEEEEEEEETR
+>DECOY_sp|Q7Z5L7|PODN_HUMAN Podocan OS=Homo sapiens OX=9606 GN=PODN PE=1 SV=2
+RTEEEEEEEEEEEEKEKGLRGRDKSIDGFELNGEIDLVQLHKLRRFASDVVSGVALKNFRLFIGKLNPTSDFANAPVASIKNNQLYLYELSEPLGEPIETLQNGAIDLLQLHALDVWARPGLARSRLRNSTLYLERLQAMGVLAGRALAALENRKVKLVHVNRPLGPPLTHLRNGSLDLSRLLRLKRFADRHVQPSTIRNYSLNLEELFYTTAFDERGIGTIQNHLIMLTRVRRPLGSPVRELANNYLHVTHLRKLGQFALPHIGQERLQNSHLLLYELSRIPTLVNADVSRIANKELHLLVLSRPLGAPVRSLNNSSLDLYELSSLKWFTENDLGEDTLYNNQLYLERLSSLESFAGPPIKELKNNKLHLKYLAPPLHKPVHRLFNSSLILVEVNSSGNFMNDPLGADALKNNHLYVSRLNPKQGFTLGYIKTLYNAAFDVSILANPLFRPALTLKNNALYLYNLNTLHEFAKEPLGRSTLRNNQLNLTELRHLRSLEEPYIKELQNNQLSLHNTHEPLDGPFERLDIGGCDVVGEQSCACDRPCSVAAPGPGPEEPSLVLVPEEEAFENEEPSLSHGGSRGFGPARVALVPGLHLQPPLLLLLLLVRSQAM
+>sp|Q8WVV4|POF1B_HUMAN Protein POF1B OS=Homo sapiens OX=9606 GN=POF1B PE=1 SV=3
+MSSSYWSETSSSSCGTQQLPEVLQCQPQHYHCYHQSSQAQQPPEKNVVYERVRTYSGPMNKVVQALDPFNSREVLSPLKTTSSYQNLVWSDHSQELHSPTLKISTCAPSTLHITQNTEQELHSPTVKLTTYPQTTIRKYVVQNPEQEPLSQFLRGSHFFPGNNVIYEKTIRKVEKLNTDQGCHPQAQCHHHIIQQPQVIHSAHWQQPDSSQQIQAITGNNPISTHIGNELCHSGSSQICEQVIIQDDGPEKLDPRYFGELLADLSRKNTDLYHCLLEHLQRIGGSKQDFESTDESEDIESLIPKGLSEFTKQQIRYILQMRGMSDKSLRLVLSTFSNIREELGHLQNDMTSLENDKMRLEKDLSFKDTQLKEYEELLASVRANNHQQQQGLQDSSSKCQALEENNLSLRHTLSDMEYRLKELEYCKRNLEQENQNLRMQVSETCTGPMLQAKMDEIGNHYTEMVKNLRMEKDREICRLRSQLNQYHKDVSKREGSCSDFQFKLHELTSLLEEKDSLIKRQSEELSKLRQEIYSSHNQPSTGGRTTITTKKYRTQYPILGLLYDDYEYIPPGSETQTIVIEKTEDKYTCP
+>DECOY_sp|Q8WVV4|POF1B_HUMAN Protein POF1B OS=Homo sapiens OX=9606 GN=POF1B PE=1 SV=3
+PCTYKDETKEIVITQTESGPPIYEYDDYLLGLIPYQTRYKKTTITTRGGTSPQNHSSYIEQRLKSLEESQRKILSDKEELLSTLEHLKFQFDSCSGERKSVDKHYQNLQSRLRCIERDKEMRLNKVMETYHNGIEDMKAQLMPGTCTESVQMRLNQNEQELNRKCYELEKLRYEMDSLTHRLSLNNEELAQCKSSSDQLGQQQQHNNARVSALLEEYEKLQTDKFSLDKELRMKDNELSTMDNQLHGLEERINSFTSLVLRLSKDSMGRMQLIYRIQQKTFESLGKPILSEIDESEDTSEFDQKSGGIRQLHELLCHYLDTNKRSLDALLEGFYRPDLKEPGDDQIIVQECIQSSGSHCLENGIHTSIPNNGTIAQIQQSSDPQQWHASHIVQPQQIIHHHCQAQPHCGQDTNLKEVKRITKEYIVNNGPFFHSGRLFQSLPEQEPNQVVYKRITTQPYTTLKVTPSHLEQETNQTIHLTSPACTSIKLTPSHLEQSHDSWVLNQYSSTTKLPSLVERSNFPDLAQVVKNMPGSYTRVREYVVNKEPPQQAQSSQHYCHYHQPQCQLVEPLQQTGCSSSSTESWYSSSM
+>sp|P10266|POK10_HUMAN Endogenous retrovirus group K member 10 Pol protein OS=Homo sapiens OX=9606 GN=ERVK-10 PE=3 SV=2
+NKSRKRRNRVSFLGAVTVEPPKPIPLTWKTEKPVWVNQWPLPKQKLEALHLLANEQLEKGHIEPSFSPWNSPVFVIQKKSGKWHTLTDLRAVNAVIQPMGPLQPGLPSPAMIPKDWPLIIIDLKDCFFTIPLAEQDCEKFAFTIPAINNKEPATRFQWKVLPQGMLNSPTICQTFVGRALQPVREKFSDCYIIHYIDDILCAAETKDKLIDCYTFLQAEVANAGLAIASDKIQTSTPFHYLGMQIENRKIKPQKIEIRKDTLKTLNDFQKLLGDINWIRPTLGIPTYAMSNLFSILRGDSDLNSQRILTPEATKEIKLVEEKIQSAQINRIDPLAPLQLLIFATAHSPTGIIIQNTDLVEWSFLPHSTVKTFTLYLDQIATLIGQTRLRITKLCGNDPDKIVVPLTKEQVRQAFINSGAWQIGLANFVGLIDNHYPKTKIFQFLKLTTWILPKITRREPLENALTVFTDGSSNGKAAYTGPKERVIKTPYQSAQRDELVAVITVLQDFDQPINIISDSAYVVQATRDVETALIKYSMDDQLNQLFNLLQQTVRKRNFPFYITYIRAHTNLPGPLTKANEQADLLVSSALIKAQELHALTHVNAAGLKNKFDVTWKQAKDIVQHCTQCQVLHLPTQEAGVNPRGLCPNALWQMDVTHVPSFGRLSYVHVTVDTYSHFIWATCQTGESTSHVKKHLLSCFAVMGVPEKIKTDNGPGYCSKAFQKFLSQWKISHTTGIPYNSQGQAIVERTNRTLKTQLVKQKEGGDSKECTTPQMQLNLALYTLNFLNIYRNQTTTSAEQHLTGKKNSPHEGKLIWWKDNKNKTWEIGKVITWGRGFACVSPGENQLPVWLPTRHLKFYNEPIGDAKKRASTEMVTPVTWMDNPIEVYVNDSIWVPGPIDDRCPAKPEEEGMMINISIGYRYPPICLGRAPGCLMPAVQNWLVEVPTVSPISRFTYHMVSGMSLRPRVNYLQDFSYQRSLKFRPKGKPCPKEIPKESKNTEVLVWEECVANSAVIL
+>DECOY_sp|P10266|POK10_HUMAN Endogenous retrovirus group K member 10 Pol protein OS=Homo sapiens OX=9606 GN=ERVK-10 PE=3 SV=2
+LIVASNAVCEEWVLVETNKSEKPIEKPCPKGKPRFKLSRQYSFDQLYNVRPRLSMGSVMHYTFRSIPSVTPVEVLWNQVAPMLCGPARGLCIPPYRYGISINIMMGEEEPKAPCRDDIPGPVWISDNVYVEIPNDMWTVPTVMETSARKKADGIPENYFKLHRTPLWVPLQNEGPSVCAFGRGWTIVKGIEWTKNKNDKWWILKGEHPSNKKGTLHQEASTTTQNRYINLFNLTYLALNLQMQPTTCEKSDGGEKQKVLQTKLTRNTREVIAQGQSNYPIGTTHSIKWQSLFKQFAKSCYGPGNDTKIKEPVGMVAFCSLLHKKVHSTSEGTQCTAWIFHSYTDVTVHVYSLRGFSPVHTVDMQWLANPCLGRPNVGAEQTPLHLVQCQTCHQVIDKAQKWTVDFKNKLGAANVHTLAHLEQAKILASSVLLDAQENAKTLPGPLNTHARIYTIYFPFNRKRVTQQLLNFLQNLQDDMSYKILATEVDRTAQVVYASDSIINIPQDFDQLVTIVAVLEDRQASQYPTKIVREKPGTYAAKGNSSGDTFVTLANELPERRTIKPLIWTTLKLFQFIKTKPYHNDILGVFNALGIQWAGSNIFAQRVQEKTLPVVIKDPDNGCLKTIRLRTQGILTAIQDLYLTFTKVTSHPLFSWEVLDTNQIIIGTPSHATAFILLQLPALPDIRNIQASQIKEEVLKIEKTAEPTLIRQSNLDSDGRLISFLNSMAYTPIGLTPRIWNIDGLLKQFDNLTKLTDKRIEIKQPKIKRNEIQMGLYHFPTSTQIKDSAIALGANAVEAQLFTYCDILKDKTEAACLIDDIYHIIYCDSFKERVPQLARGVFTQCITPSNLMGQPLVKWQFRTAPEKNNIAPITFAFKECDQEALPITFFCDKLDIIILPWDKPIMAPSPLGPQLPGMPQIVANVARLDTLTHWKGSKKQIVFVPSNWPSFSPEIHGKELQENALLHLAELKQKPLPWQNVWVPKETKWTLPIPKPPEVTVAGLFSVRNRRKRSKN
+>sp|Q9QC07|POK18_HUMAN Endogenous retrovirus group K member 18 Pol protein OS=Homo sapiens OX=9606 GN=ERVK-18 PE=3 SV=2
+NKSRKRRNRVSFLGVTTVEPPKPIPLTWKTEKLVWVNQWPLPKQKLEALHLLANEQLEKGHIEPSFSPWNSPVFVIQKKSSKWRMLTDLRAVNAVIQPMGPLQPGLPSPAMIPKDWPLIIIDLKDCFFTIPLAEQDCEKFAFTIPAINNKEPATRFQWKVLPQGMLNSPTICQTFVGRALQPVRDKFSDCYIIHYFDDILCAAETKDKLIDCYTFLQAEVANAGLAIASDKIQTSTPFHYLGMQIENRKIKPQKIEIRKDTLKTLNDFQKLLGDINWIRPTLGIPTYAMSNLFSILRGDSDLNSKRMLTPEATKEIKLVEEKIQSAQINRIDPLAPLQLLIFATAHSPTGIIIQNTDLVEWSFLPHSTVKTFTLYLDQIATLIGPTRLRIIKLCGNDPDKIVVPLTKEQVRQAFINSGAWQIGLANFVGIIDNHYPKTKIFQFLKLTTWILPKITRREPLENALTVFTDGSSNGKVAYTGPKERVIKTPYQSAQRAELVAVITVLQDFDQPINIISDSAYVVQATRDVETALIKYSMDDQLNQLFNLLQQTVRKRNFPFYITHIRAHTNLPGPLTKANEQADLLVSSAFIKAQELHALTHVNAAGLKNKFDVTWKQAKDIVQHCTQCQVLDLPTQEAGVNPEVCVLMHYGKWMSHMYLHLGRLSYVHVTVDTYSHFMCATCQTGESTSHVKKHLLSCFAVMGVPEKIKTDNGPGYCSKAFQKFLSQWKISHTTGIPYNSQGQAIVERTNRTLKTQLVKQKEGGDSKECTTPQMQLNLALYTLNFLNIYRNQTTTSAEHLTGKKNSPHEGKLI
+>DECOY_sp|Q9QC07|POK18_HUMAN Endogenous retrovirus group K member 18 Pol protein OS=Homo sapiens OX=9606 GN=ERVK-18 PE=3 SV=2
+ILKGEHPSNKKGTLHEASTTTQNRYINLFNLTYLALNLQMQPTTCEKSDGGEKQKVLQTKLTRNTREVIAQGQSNYPIGTTHSIKWQSLFKQFAKSCYGPGNDTKIKEPVGMVAFCSLLHKKVHSTSEGTQCTACMFHSYTDVTVHVYSLRGLHLYMHSMWKGYHMLVCVEPNVGAEQTPLDLVQCQTCHQVIDKAQKWTVDFKNKLGAANVHTLAHLEQAKIFASSVLLDAQENAKTLPGPLNTHARIHTIYFPFNRKRVTQQLLNFLQNLQDDMSYKILATEVDRTAQVVYASDSIINIPQDFDQLVTIVAVLEARQASQYPTKIVREKPGTYAVKGNSSGDTFVTLANELPERRTIKPLIWTTLKLFQFIKTKPYHNDIIGVFNALGIQWAGSNIFAQRVQEKTLPVVIKDPDNGCLKIIRLRTPGILTAIQDLYLTFTKVTSHPLFSWEVLDTNQIIIGTPSHATAFILLQLPALPDIRNIQASQIKEEVLKIEKTAEPTLMRKSNLDSDGRLISFLNSMAYTPIGLTPRIWNIDGLLKQFDNLTKLTDKRIEIKQPKIKRNEIQMGLYHFPTSTQIKDSAIALGANAVEAQLFTYCDILKDKTEAACLIDDFYHIIYCDSFKDRVPQLARGVFTQCITPSNLMGQPLVKWQFRTAPEKNNIAPITFAFKECDQEALPITFFCDKLDIIILPWDKPIMAPSPLGPQLPGMPQIVANVARLDTLMRWKSSKKQIVFVPSNWPSFSPEIHGKELQENALLHLAELKQKPLPWQNVWVLKETKWTLPIPKPPEVTTVGLFSVRNRRKRSKN
+>sp|Q9UKY4|POMT2_HUMAN Protein O-mannosyl-transferase 2 OS=Homo sapiens OX=9606 GN=POMT2 PE=1 SV=2
+MPPATGGGLAESELRPRRGRCGPQAARAAGRDVAAEAVARSPKRPAWGSRRFEAVGWWALLALVTLLSFATRFHRLDEPPHICWDETHFGKMGSYYINRTFFFDVHPPLGKMLIGLAGYLSGYDGTFLFQKPGDKYEHHSYMGMRGFCAFLGSWLVPFAYLTVLDLSKSLSAALLTAALLTFDTGCLTLSQYILLDPILMFFIMAAMLSMVKYNSCADRPFSAPWWFWLSLTGVSLAGALGVKFVGLFIILQVGLNTIADLWYLFGDLSLSLVTVGKHLTARVLCLIVLPLALYTATFAVHFMVLSKSGPGDGFFSSAFQARLSGNNLHNASIPEHLAYGSVITVKNLRMAIGYLHSHRHLYPEGIGARQQQVTTYLHKDYNNLWIIKKHNTNSDPLDPSFPVEFVRHGDIIRLEHKETSRNLHSHYHEAPMTRKHYQVTGYGINGTGDSNDFWRIEVVNRKFGNRIKVLRSRIRFIHLVTGCVLGSSGKVLPKWGWEQLEVTCTPYLKETLNSIWNVEDHINPKLPNISLDVLQPSFPEILLESHMVMIRGNSGLKPKDNEFTSKPWHWPINYQGLRFSGVNDTDFRVYLLGNPVVWWLNLLSIALYLLSGSIIAVAMQRGARLPAEVAGLSQVLLRGGGQVLLGWTLHYFPFFLMGRVLYFHHYFPAMLFSSMLTGILWDTLLRLCAWGLASWPLARGIHVAGILSLLLGTAYSFYLFHPLAYGMVGPLAQDPQSPMAGLRWLDSWDF
+>DECOY_sp|Q9UKY4|POMT2_HUMAN Protein O-mannosyl-transferase 2 OS=Homo sapiens OX=9606 GN=POMT2 PE=1 SV=2
+FDWSDLWRLGAMPSQPDQALPGVMGYALPHFLYFSYATGLLLSLIGAVHIGRALPWSALGWACLRLLTDWLIGTLMSSFLMAPFYHHFYLVRGMLFFPFYHLTWGLLVQGGGRLLVQSLGAVEAPLRAGRQMAVAIISGSLLYLAISLLNLWWVVPNGLLYVRFDTDNVGSFRLGQYNIPWHWPKSTFENDKPKLGSNGRIMVMHSELLIEPFSPQLVDLSINPLKPNIHDEVNWISNLTEKLYPTCTVELQEWGWKPLVKGSSGLVCGTVLHIFRIRSRLVKIRNGFKRNVVEIRWFDNSDGTGNIGYGTVQYHKRTMPAEHYHSHLNRSTEKHELRIIDGHRVFEVPFSPDLPDSNTNHKKIIWLNNYDKHLYTTVQQQRAGIGEPYLHRHSHLYGIAMRLNKVTIVSGYALHEPISANHLNNGSLRAQFASSFFGDGPGSKSLVMFHVAFTATYLALPLVILCLVRATLHKGVTVLSLSLDGFLYWLDAITNLGVQLIIFLGVFKVGLAGALSVGTLSLWFWWPASFPRDACSNYKVMSLMAAMIFFMLIPDLLIYQSLTLCGTDFTLLAATLLAASLSKSLDLVTLYAFPVLWSGLFACFGRMGMYSHHEYKDGPKQFLFTGDYGSLYGALGILMKGLPPHVDFFFTRNIYYSGMKGFHTEDWCIHPPEDLRHFRTAFSLLTVLALLAWWGVAEFRRSGWAPRKPSRAVAEAAVDRGAARAAQPGCRGRRPRLESEALGGGTAPPM
+>sp|Q15166|PON3_HUMAN Serum paraoxonase/lactonase 3 OS=Homo sapiens OX=9606 GN=PON3 PE=1 SV=3
+MGKLVALVLLGVGLSLVGEMFLAFRERVNASREVEPVEPENCHLIEELESGSEDIDILPSGLAFISSGLKYPGMPNFAPDEPGKIFLMDLNEQNPRAQALEISGGFDKELFNPHGISIFIDKDNTVYLYVVNHPHMKSTVEIFKFEEQQRSLVYLKTIKHELLKSVNDIVVLGPEQFYATRDHYFTNSLLSFFEMILDLRWTYVLFYSPREVKVVAKGFCSANGITVSADQKYVYVADVAAKNIHIMEKHDNWDLTQLKVIQLGTLVDNLTVDPATGDILAGCHPNPMKLLNYNPEDPPGSEVLRIQNVLSEKPRVSTVYANNGSVLQGTSVASVYHGKILIGTVFHKTLYCEL
+>DECOY_sp|Q15166|PON3_HUMAN Serum paraoxonase/lactonase 3 OS=Homo sapiens OX=9606 GN=PON3 PE=1 SV=3
+LECYLTKHFVTGILIKGHYVSAVSTGQLVSGNNAYVTSVRPKESLVNQIRLVESGPPDEPNYNLLKMPNPHCGALIDGTAPDVTLNDVLTGLQIVKLQTLDWNDHKEMIHINKAAVDAVYVYKQDASVTIGNASCFGKAVVKVERPSYFLVYTWRLDLIMEFFSLLSNTFYHDRTAYFQEPGLVVIDNVSKLLEHKITKLYVLSRQQEEFKFIEVTSKMHPHNVVYLYVTNDKDIFISIGHPNFLEKDFGGSIELAQARPNQENLDMLFIKGPEDPAFNPMGPYKLGSSIFALGSPLIDIDESGSELEEILHCNEPEVPEVERSANVRERFALFMEGVLSLGVGLLVLAVLKGM
+>sp|P67775|PP2AA_HUMAN Serine/threonine-protein phosphatase 2A catalytic subunit alpha isoform OS=Homo sapiens OX=9606 GN=PPP2CA PE=1 SV=1
+MDEKVFTKELDQWIEQLNECKQLSESQVKSLCEKAKEILTKESNVQEVRCPVTVCGDVHGQFHDLMELFRIGGKSPDTNYLFMGDYVDRGYYSVETVTLLVALKVRYRERITILRGNHESRQITQVYGFYDECLRKYGNANVWKYFTDLFDYLPLTALVDGQIFCLHGGLSPSIDTLDHIRALDRLQEVPHEGPMCDLLWSDPDDRGGWGISPRGAGYTFGQDISETFNHANGLTLVSRAHQLVMEGYNWCHDRNVVTIFSAPNYCYRCGNQAAIMELDDTLKYSFLQFDPAPRRGEPHVTRRTPDYFL
+>DECOY_sp|P67775|PP2AA_HUMAN Serine/threonine-protein phosphatase 2A catalytic subunit alpha isoform OS=Homo sapiens OX=9606 GN=PPP2CA PE=1 SV=1
+LFYDPTRRTVHPEGRRPAPDFQLFSYKLTDDLEMIAAQNGCRYCYNPASFITVVNRDHCWNYGEMVLQHARSVLTLGNAHNFTESIDQGFTYGAGRPSIGWGGRDDPDSWLLDCMPGEHPVEQLRDLARIHDLTDISPSLGGHLCFIQGDVLATLPLYDFLDTFYKWVNANGYKRLCEDYFGYVQTIQRSEHNGRLITIRERYRVKLAVLLTVTEVSYYGRDVYDGMFLYNTDPSKGGIRFLEMLDHFQGHVDGCVTVPCRVEQVNSEKTLIEKAKECLSKVQSESLQKCENLQEIWQDLEKTFVKEDM
+>sp|P62714|PP2AB_HUMAN Serine/threonine-protein phosphatase 2A catalytic subunit beta isoform OS=Homo sapiens OX=9606 GN=PPP2CB PE=1 SV=1
+MDDKAFTKELDQWVEQLNECKQLNENQVRTLCEKAKEILTKESNVQEVRCPVTVCGDVHGQFHDLMELFRIGGKSPDTNYLFMGDYVDRGYYSVETVTLLVALKVRYPERITILRGNHESRQITQVYGFYDECLRKYGNANVWKYFTDLFDYLPLTALVDGQIFCLHGGLSPSIDTLDHIRALDRLQEVPHEGPMCDLLWSDPDDRGGWGISPRGAGYTFGQDISETFNHANGLTLVSRAHQLVMEGYNWCHDRNVVTIFSAPNYCYRCGNQAAIMELDDTLKYSFLQFDPAPRRGEPHVTRRTPDYFL
+>DECOY_sp|P62714|PP2AB_HUMAN Serine/threonine-protein phosphatase 2A catalytic subunit beta isoform OS=Homo sapiens OX=9606 GN=PPP2CB PE=1 SV=1
+LFYDPTRRTVHPEGRRPAPDFQLFSYKLTDDLEMIAAQNGCRYCYNPASFITVVNRDHCWNYGEMVLQHARSVLTLGNAHNFTESIDQGFTYGAGRPSIGWGGRDDPDSWLLDCMPGEHPVEQLRDLARIHDLTDISPSLGGHLCFIQGDVLATLPLYDFLDTFYKWVNANGYKRLCEDYFGYVQTIQRSEHNGRLITIREPYRVKLAVLLTVTEVSYYGRDVYDGMFLYNTDPSKGGIRFLEMLDHFQGHVDGCVTVPCRVEQVNSEKTLIEKAKECLTRVQNENLQKCENLQEVWQDLEKTFAKDDM
+>sp|P05186|PPBT_HUMAN Alkaline phosphatase, tissue-nonspecific isozyme OS=Homo sapiens OX=9606 GN=ALPL PE=1 SV=4
+MISPFLVLAIGTCLTNSLVPEKEKDPKYWRDQAQETLKYALELQKLNTNVAKNVIMFLGDGMGVSTVTAARILKGQLHHNPGEETRLEMDKFPFVALSKTYNTNAQVPDSAGTATAYLCGVKANEGTVGVSAATERSRCNTTQGNEVTSILRWAKDAGKSVGIVTTTRVNHATPSAAYAHSADRDWYSDNEMPPEALSQGCKDIAYQLMHNIRDIDVIMGGGRKYMYPKNKTDVEYESDEKARGTRLDGLDLVDTWKSFKPRYKHSHFIWNRTELLTLDPHNVDYLLGLFEPGDMQYELNRNNVTDPSLSEMVVVAIQILRKNPKGFFLLVEGGRIDHGHHEGKAKQALHEAVEMDRAIGQAGSLTSSEDTLTVVTADHSHVFTFGGYTPRGNSIFGLAPMLSDTDKKPFTAILYGNGPGYKVVGGERENVSMVDYAHNNYQAQSAVPLRHETHGGEDVAVFSKGPMAHLLHGVHEQNYVPHVMAYAACIGANLGHCAPASSAGSLAAGPLLLALALYPLSVLF
+>DECOY_sp|P05186|PPBT_HUMAN Alkaline phosphatase, tissue-nonspecific isozyme OS=Homo sapiens OX=9606 GN=ALPL PE=1 SV=4
+FLVSLPYLALALLLPGAALSGASSAPACHGLNAGICAAYAMVHPVYNQEHVGHLLHAMPGKSFVAVDEGGHTEHRLPVASQAQYNNHAYDVMSVNEREGGVVKYGPGNGYLIATFPKKDTDSLMPALGFISNGRPTYGGFTFVHSHDATVVTLTDESSTLSGAQGIARDMEVAEHLAQKAKGEHHGHDIRGGEVLLFFGKPNKRLIQIAVVVMESLSPDTVNNRNLEYQMDGPEFLGLLYDVNHPDLTLLETRNWIFHSHKYRPKFSKWTDVLDLGDLRTGRAKEDSEYEVDTKNKPYMYKRGGGMIVDIDRINHMLQYAIDKCGQSLAEPPMENDSYWDRDASHAYAASPTAHNVRTTTVIGVSKGADKAWRLISTVENGQTTNCRSRETAASVGVTGENAKVGCLYATATGASDPVQANTNYTKSLAVFPFKDMELRTEEGPNHHLQGKLIRAATVTSVGMGDGLFMIVNKAVNTNLKQLELAYKLTEQAQDRWYKPDKEKEPVLSNTLCTGIALVLFPSIM
+>sp|P10619|PPGB_HUMAN Lysosomal protective protein OS=Homo sapiens OX=9606 GN=CTSA PE=1 SV=2
+MIRAAPPPLFLLLLLLLLLVSWASRGEAAPDQDEIQRLPGLAKQPSFRQYSGYLKGSGSKHLHYWFVESQKDPENSPVVLWLNGGPGCSSLDGLLTEHGPFLVQPDGVTLEYNPYSWNLIANVLYLESPAGVGFSYSDDKFYATNDTEVAQSNFEALQDFFRLFPEYKNNKLFLTGESYAGIYIPTLAVLVMQDPSMNLQGLAVGNGLSSYEQNDNSLVYFAYYHGLLGNRLWSSLQTHCCSQNKCNFYDNKDLECVTNLQEVARIVGNSGLNIYNLYAPCAGGVPSHFRYEKDTVVVQDLGNIFTRLPLKRMWHQALLRSGDKVRMDPPCTNTTAASTYLNNPYVRKALNIPEQLPQWDMCNFLVNLQYRRLYRSMNSQYLKLLSSQKYQILLYNGDVDMACNFMGDEWFVDSLNQKMEVQRRPWLVKYGDSGEQIAGFVKEFSHIAFLTIKGAGHMVPTDKPLAAFTMFSRFLNKQPY
+>DECOY_sp|P10619|PPGB_HUMAN Lysosomal protective protein OS=Homo sapiens OX=9606 GN=CTSA PE=1 SV=2
+YPQKNLFRSFMTFAALPKDTPVMHGAGKITLFAIHSFEKVFGAIQEGSDGYKVLWPRRQVEMKQNLSDVFWEDGMFNCAMDVDGNYLLIQYKQSSLLKLYQSNMSRYLRRYQLNVLFNCMDWQPLQEPINLAKRVYPNNLYTSAATTNTCPPDMRVKDGSRLLAQHWMRKLPLRTFINGLDQVVVTDKEYRFHSPVGGACPAYLNYINLGSNGVIRAVEQLNTVCELDKNDYFNCKNQSCCHTQLSSWLRNGLLGHYYAFYVLSNDNQEYSSLGNGVALGQLNMSPDQMVLVALTPIYIGAYSEGTLFLKNNKYEPFLRFFDQLAEFNSQAVETDNTAYFKDDSYSFGVGAPSELYLVNAILNWSYPNYELTVGDPQVLFPGHETLLGDLSSCGPGGNLWLVVPSNEPDKQSEVFWYHLHKSGSGKLYGSYQRFSPQKALGPLRQIEDQDPAAEGRSAWSVLLLLLLLLLFLPPPAARIM
+>sp|Q8IXY8|PPIL6_HUMAN Problable inactive peptidyl-prolyl cis-trans isomerase-like 6 OS=Homo sapiens OX=9606 GN=PPIL6 PE=2 SV=1
+MARPQPCGPPHARCGSPSLPERPLQVKVVGLFSCPNFQIAKSAAENLKNNHPSKFEDPILVPLQEFAWHQYLQEKKRELKNETWEYSSSVISFVNGQFLGDALDLQKWAHEVWDIVDIKPSALYDALTEDFSAKFLRDTKHDFVFLDICIDSSPIGRLIFELYCDVCPKTCKNFQVLCTGKAGFSQRGIRLHYKNSIFHRIVQNGWIQGGDIVYGKGDNGESIYGPTFEDENFSVPHNKRGVLGMANKGRHSNGSQFYITLQATPYLDRKFVAFGQLIEGTEVLKQLELVPTQNERPIHMCRITDSGDPYA
+>DECOY_sp|Q8IXY8|PPIL6_HUMAN Problable inactive peptidyl-prolyl cis-trans isomerase-like 6 OS=Homo sapiens OX=9606 GN=PPIL6 PE=2 SV=1
+AYPDGSDTIRCMHIPRENQTPVLELQKLVETGEILQGFAVFKRDLYPTAQLTIYFQSGNSHRGKNAMGLVGRKNHPVSFNEDEFTPGYISEGNDGKGYVIDGGQIWGNQVIRHFISNKYHLRIGRQSFGAKGTCLVQFNKCTKPCVDCYLEFILRGIPSSDICIDLFVFDHKTDRLFKASFDETLADYLASPKIDVIDWVEHAWKQLDLADGLFQGNVFSIVSSSYEWTENKLERKKEQLYQHWAFEQLPVLIPDEFKSPHNNKLNEAASKAIQFNPCSFLGVVKVQLPREPLSPSGCRAHPPGCPQPRAM
+>sp|O43586|PPIP1_HUMAN Proline-serine-threonine phosphatase-interacting protein 1 OS=Homo sapiens OX=9606 GN=PSTPIP1 PE=1 SV=1
+MMPQLQFKDAFWCRDFTAHTGYEVLLQRLLDGRKMCKDMEELLRQRAQAEERYGKELVQIARKAGGQTEINSLRASFDSLKQQMENVGSSHIQLALTLREELRSLEEFRERQKEQRKKYEAVMDRVQKSKLSLYKKAMESKKTYEQKCRDADDAEQAFERISANGHQKQVEKSQNKARQCKDSATEAERVYRQSIAQLEKVRAEWEQEHRTTCEAFQLQEFDRLTILRNALWVHSNQLSMQCVKDDELYEEVRLTLEGCSIDADIDSFIQAKSTGTEPPAPVPYQNYYDREVTPLTSSPGIQPSCGMIKRFSGLLHGSPKTTSLAASAASTETLTPTPERNEGVYTAIAVQEIQGNPASPAQEYRALYDYTAQNPDELDLSAGDILEVILEGEDGWWTVERNGQRGFVPGSYLEKL
+>DECOY_sp|O43586|PPIP1_HUMAN Proline-serine-threonine phosphatase-interacting protein 1 OS=Homo sapiens OX=9606 GN=PSTPIP1 PE=1 SV=1
+LKELYSGPVFGRQGNREVTWWGDEGELIVELIDGASLDLEDPNQATYDYLARYEQAPSAPNGQIEQVAIATYVGENREPTPTLTETSAASAALSTTKPSGHLLGSFRKIMGCSPQIGPSSTLPTVERDYYNQYPVPAPPETGTSKAQIFSDIDADISCGELTLRVEEYLEDDKVCQMSLQNSHVWLANRLITLRDFEQLQFAECTTRHEQEWEARVKELQAISQRYVREAETASDKCQRAKNQSKEVQKQHGNASIREFAQEADDADRCKQEYTKKSEMAKKYLSLKSKQVRDMVAEYKKRQEKQRERFEELSRLEERLTLALQIHSSGVNEMQQKLSDFSARLSNIETQGGAKRAIQVLEKGYREEAQARQRLLEEMDKCMKRGDLLRQLLVEYGTHATFDRCWFADKFQLQPMM
+>sp|Q86WC6|PPR27_HUMAN Protein phosphatase 1 regulatory subunit 27 OS=Homo sapiens OX=9606 GN=PPP1R27 PE=1 SV=1
+MPSRTARYARYSPRQRRRRMLADRSVRFPNDVLFLDHIRQGDLEQVGRFIRTRKVSLATIHPSGLAALHEAVLSGNLECVKLLVKYGADIHQRDEAGWTPLHIACSDGYPDIARYLISLGADRDATNDDGDLPSDLIDPDYKELVELFKGTTMD
+>DECOY_sp|Q86WC6|PPR27_HUMAN Protein phosphatase 1 regulatory subunit 27 OS=Homo sapiens OX=9606 GN=PPP1R27 PE=1 SV=1
+DMTTGKFLEVLEKYDPDILDSPLDGDDNTADRDAGLSILYRAIDPYGDSCAIHLPTWGAEDRQHIDAGYKVLLKVCELNGSLVAEHLAALGSPHITALSVKRTRIFRGVQELDGQRIHDLFLVDNPFRVSRDALMRRRRQRPSYRAYRATRSPM
+>sp|Q7Z5V6|PPR32_HUMAN Protein phosphatase 1 regulatory subunit 32 OS=Homo sapiens OX=9606 GN=PPP1R32 PE=1 SV=1
+MMGKLPLGVVSPYVKMSSGGYTDPLKFYATSYCTAYGREDFKPRVGSHVGTGYKSNFQPVVSCQASLEALDNPARGEQAQDHFQSVASQSYRPLEVPDGKHPLPWSMRQTSSGYGREKPSAGPPTKEVRKVHFDTQEHGPQAITGLEPREVPLLHQQQGQDPLERENFRHGPRFMTSEYNSKYLRDPLDQPDFLQKKSIGAKEGSGFTKQSHQSPIVFQPPSQALPGDPALLPGQSVTKSDFLPKTHLHGDEFLPVLARGSKRETAFSRGNERILNPRVPPPCPEPSSVSHQQFQPLHRMQQTNVALLGRETVGKKEPTGFSLNNPMYVRSPCDPDRDQRYLTTYNQGYFENIPKGLDQEGWTRGGIQPQMPGGYALSQPVSCMEATPNPMESLRHLHPHVGRTLTSADPFYQNTPHSSRCVAHS
+>DECOY_sp|Q7Z5V6|PPR32_HUMAN Protein phosphatase 1 regulatory subunit 32 OS=Homo sapiens OX=9606 GN=PPP1R32 PE=1 SV=1
+SHAVCRSSHPTNQYFPDASTLTRGVHPHLHRLSEMPNPTAEMCSVPQSLAYGGPMQPQIGGRTWGEQDLGKPINEFYGQNYTTLYRQDRDPDCPSRVYMPNNLSFGTPEKKGVTERGLLAVNTQQMRHLPQFQQHSVSSPEPCPPPVRPNLIRENGRSFATERKSGRALVPLFEDGHLHTKPLFDSKTVSQGPLLAPDGPLAQSPPQFVIPSQHSQKTFGSGEKAGISKKQLFDPQDLPDRLYKSNYESTMFRPGHRFNERELPDQGQQQHLLPVERPELGTIAQPGHEQTDFHVKRVEKTPPGASPKERGYGSSTQRMSWPLPHKGDPVELPRYSQSAVSQFHDQAQEGRAPNDLAELSAQCSVVPQFNSKYGTGVHSGVRPKFDERGYATCYSTAYFKLPDTYGGSSMKVYPSVVGLPLKGMM
+>sp|Q8NI37|PPTC7_HUMAN Protein phosphatase PTC7 homolog OS=Homo sapiens OX=9606 GN=PPTC7 PE=2 SV=1
+MFSVLSYGRLVARAVLGGLSQTDPRAGGGGGGDYGLVTAGCGFGKDFRKGLLKKGACYGDDACFVARHRSADVLGVADGVGGWRDYGVDPSQFSGTLMRTCERLVKEGRFVPSNPIGILTTSYCELLQNKVPLLGSSTACIVVLDRTSHRLHTANLGDSGFLVVRGGEVVHRSDEQQHYFNTPFQLSIAPPEAEGVVLSDSPDAADSTSFDVQLGDIILTATDGLFDNMPDYMILQELKKLKNSNYESIQQTARSIAEQAHELAYDPNYMSPFAQFACDNGLNVRGGKPDDITVLLSIVAEYTD
+>DECOY_sp|Q8NI37|PPTC7_HUMAN Protein phosphatase PTC7 homolog OS=Homo sapiens OX=9606 GN=PPTC7 PE=2 SV=1
+DTYEAVISLLVTIDDPKGGRVNLGNDCAFQAFPSMYNPDYALEHAQEAISRATQQISEYNSNKLKKLEQLIMYDPMNDFLGDTATLIIDGLQVDFSTSDAADPSDSLVVGEAEPPAISLQFPTNFYHQQEDSRHVVEGGRVVLFGSDGLNATHLRHSTRDLVVICATSSGLLPVKNQLLECYSTTLIGIPNSPVFRGEKVLRECTRMLTGSFQSPDVGYDRWGGVGDAVGLVDASRHRAVFCADDGYCAGKKLLGKRFDKGFGCGATVLGYDGGGGGGARPDTQSLGGLVARAVLRGYSLVSFM
+>sp|Q96BP3|PPWD1_HUMAN Peptidylprolyl isomerase domain and WD repeat-containing protein 1 OS=Homo sapiens OX=9606 GN=PPWD1 PE=1 SV=1
+MAAESGSDFQQRRRRRRDPEEPEKTELSERELAVAVAVSQENDEENEERWVGPLPVEATLAKKRKVLEFERVYLDNLPSASMYERSYMHRDVITHVVCTKTDFIITASHDGHVKFWKKIEEGIEFVKHFRSHLGVIESIAVSSEGALFCSVGDDKAMKVFDVVNFDMINMLKLGYFPGQCEWIYCPGDAISSVAASEKSTGKIFIYDGRGDNQPLHIFDKLHTSPLTQIRLNPVYKAVVSSDKSGMIEYWTGPPHEYKFPKNVNWEYKTDTDLYEFAKCKAYPTSVCFSPDGKKIATIGSDRKVRIFRFVTGKLMRVFDESLSMFTELQQMRQQLPDMEFGRRMAVERELEKVDAVRLINIVFDETGHFVLYGTMLGIKVINVETNRCVRILGKQENIRVMQLALFQGIAKKHRAATTIEMKASENPVLQNIQADPTIVCTSFKKNRFYMFTKREPEDTKSADSDRDVFNEKPSKEEVMAATQAEGPKRVSDSAIIHTSMGDIHTKLFPVECPKTVENFCVHSRNGYYNGHTFHRIIKGFMIQTGDPTGTGMGGESIWGGEFEDEFHSTLRHDRPYTLSMANAGSNTNGSQFFITVVPTPWLDNKHTVFGRVTKGMEVVQRISNVKVNPKTDKPYEDVSIINITVK
+>DECOY_sp|Q96BP3|PPWD1_HUMAN Peptidylprolyl isomerase domain and WD repeat-containing protein 1 OS=Homo sapiens OX=9606 GN=PPWD1 PE=1 SV=1
+KVTINIISVDEYPKDTKPNVKVNSIRQVVEMGKTVRGFVTHKNDLWPTPVVTIFFQSGNTNSGANAMSLTYPRDHRLTSHFEDEFEGGWISEGGMGTGTPDGTQIMFGKIIRHFTHGNYYGNRSHVCFNEVTKPCEVPFLKTHIDGMSTHIIASDSVRKPGEAQTAAMVEEKSPKENFVDRDSDASKTDEPERKTFMYFRNKKFSTCVITPDAQINQLVPNESAKMEITTAARHKKAIGQFLALQMVRINEQKGLIRVCRNTEVNIVKIGLMTGYLVFHGTEDFVINILRVADVKELEREVAMRRGFEMDPLQQRMQQLETFMSLSEDFVRMLKGTVFRFIRVKRDSGITAIKKGDPSFCVSTPYAKCKAFEYLDTDTKYEWNVNKPFKYEHPPGTWYEIMGSKDSSVVAKYVPNLRIQTLPSTHLKDFIHLPQNDGRGDYIFIKGTSKESAAVSSIADGPCYIWECQGPFYGLKLMNIMDFNVVDFVKMAKDDGVSCFLAGESSVAISEIVGLHSRFHKVFEIGEEIKKWFKVHGDHSATIIFDTKTCVVHTIVDRHMYSREYMSASPLNDLYVREFELVKRKKALTAEVPLPGVWREENEEDNEQSVAVAVALERESLETKEPEEPDRRRRRRQQFDSGSEAAM
+>sp|Q8N2U9|PQLC1_HUMAN PQ-loop repeat-containing protein 1 OS=Homo sapiens OX=9606 GN=PQLC1 PE=1 SV=1
+MEAEGLDWLLVPLHQLVSWGAAAAMVFGGVVPYVPQYRDIRRTQNADGFSTYVCLVLLVANILRILFWFGRRFESPLLWQSAIMILTMLLMLKLCTEVRVANELNARRRSFTAADSKDEEVKVAPRRSFLDFDPHHFWQWSSFSDYVQCVLAFTGVAGYITYLSIDSALFVETLGFLAVLTEAMLGVPQLYRNHRHQSTEGMSIKMVLMWTSGDAFKTAYFLLKGAPLQFSVCGLLQVLVDLAILGQAYAFARHPQKPAPHAVHPTGTKAL
+>DECOY_sp|Q8N2U9|PQLC1_HUMAN PQ-loop repeat-containing protein 1 OS=Homo sapiens OX=9606 GN=PQLC1 PE=1 SV=1
+LAKTGTPHVAHPAPKQPHRAFAYAQGLIALDVLVQLLGCVSFQLPAGKLLFYATKFADGSTWMLVMKISMGETSQHRHNRYLQPVGLMAETLVALFGLTEVFLASDISLYTIYGAVGTFALVCQVYDSFSSWQWFHHPDFDLFSRRPAVKVEEDKSDAATFSRRRANLENAVRVETCLKLMLLMTLIMIASQWLLPSEFRRGFWFLIRLINAVLLVLCVYTSFGDANQTRRIDRYQPVYPVVGGFVMAAAAGWSVLQHLPVLLWDLGEAEM
+>sp|Q9NRG1|PRDC1_HUMAN Phosphoribosyltransferase domain-containing protein 1 OS=Homo sapiens OX=9606 GN=PRTFDC1 PE=1 SV=1
+MAGSSEEAPDYGRGVVIMDDWPGYDLNLFTYPQHYYGDLEYVLIPHGIIVDRIERLAKDIMKDIGYSDIMVLCVLKGGYKFCADLVEHLKNISRNSDRFVSMKVDFIRLKSYRNDQSMGEMQIIGGDDLSTLAGKNVLIVEDVVGTGRTMKALLSNIEKYKPNMIKVASLLVKRTSRSDGFRPDYAGFEIPNLFVVGYALDYNEYFRDLNHICVINEHGKEKYRV
+>DECOY_sp|Q9NRG1|PRDC1_HUMAN Phosphoribosyltransferase domain-containing protein 1 OS=Homo sapiens OX=9606 GN=PRTFDC1 PE=1 SV=1
+VRYKEKGHENIVCIHNLDRFYENYDLAYGVVFLNPIEFGAYDPRFGDSRSTRKVLLSAVKIMNPKYKEINSLLAKMTRGTGVVDEVILVNKGALTSLDDGGIIQMEGMSQDNRYSKLRIFDVKMSVFRDSNRSINKLHEVLDACFKYGGKLVCLVMIDSYGIDKMIDKALREIRDVIIGHPILVYELDGYYHQPYTFLNLDYGPWDDMIVVGRGYDPAEESSGAM
+>sp|Q13029|PRDM2_HUMAN PR domain zinc finger protein 2 OS=Homo sapiens OX=9606 GN=PRDM2 PE=1 SV=3
+MNQNTTEPVAATETLAEVPEHVLRGLPEEVRLFPSAVDKTRIGVWATKPILKGKKFGPFVGDKKKRSQVKNNVYMWEVYYPNLGWMCIDATDPEKGNWLRYVNWACSGEEQNLFPLEINRAIYYKTLKPIAPGEELLVWYNGEDNPEIAAAIEEERASARSKRSSPKSRKGKKKSQENKNKGNKIQDIQLKTSEPDFTSANMRDSAEGPKEDEEKPSASALEQPATLQEVASQEVPPELATPAPAWEPQPEPDERLEAAACEVNDLGEEEEEEEEEDEEEEEDDDDDELEDEGEEEASMPNENSVKEPEIRCDEKPEDLLEEPKTTSEETLEDCSEVTPAMQIPRTKEEANGDVFETFMFPCQHCERKFTTKQGLERHMHIHISTVNHAFKCKYCGKAFGTQINRRRHERRHEAGLKRKPSQTLQPSEDLADGKASGENVASKDDSSPPSLGPDCLIMNSEKASQDTINSSVVEENGEVKELHPCKYCKKVFGTHTNMRRHQRRVHERHLIPKGVRRKGGLEEPQPPAEQAQATQNVYVPSTEPEEEGEADDVYIMDISSNISENLNYYIDGKIQTNNNTSNCDVIEMESASADLYGINCLLTPVTVEITQNIKTTQVPVTEDLPKEPLGSTNSEAKKRRTASPPALPKIKAETDSDPMVPSCSLSLPLSISTTEAVSFHKEKSVYLSSKLKQLLQTQDKLTPAGISATEIAKLGPVCVSAPASMLPVTSSRFKRRTSSPPSSPQHSPALRDFGKPSDGKAAWTDAGLTSKKSKLESHSDSPAWSLSGRDERETVSPPCFDEYKMSKEWTASSAFSSVCNQQPLDLSSGVKQKAEGTGKTPVQWESVLDLSVHKKHCSDSEGKEFKESHSVQPTCSAVKKRKPTTCMLQKVLLNEYNGIDLPVENPADGTRSPSPCKSLEAQPDPDLGPGSGFPAPTVESTPDVCPSSPALQTPSLSSGQLPPLLIPTDPSSPPPCPPVLTVATPPPPLLPTVPLPAPSSSASPHPCPSPLSNATAQSPLPILSPTVSPSPSPIPPVEPLMSAASPGPPTLSSSSSSSSSSSSFSSSSSSSSPSPPPLSAISSVVSSGDNLEASLPMISFKQEELENEGLKPREEPQSAAEQDVVVQETFNKNFVCNVCESPFLSIKDLTKHLSIHAEEWPFKCEFCVQLFKDKTDLSEHRFLLHGVGNIFVCSVCKKEFAFLCNLQQHQRDLHPDKVCTHHEFESGTLRPQNFTDPSKAHVEHMQSLPEDPLETSKEEEELNDSSEELYTTIKIMASGIKTKDPDVRLGLNQHYPSFKPPPFQYHHRNPMGIGVTATNFTTHNIPQTFTTAIRCTKCGKGVDNMPELHKHILACASASDKKRYTPKKNPVPLKQTVQPKNGVVVLDNSGKNAFRRMGQPKRLNFSVELSKMSSNKLKLNALKKKNQLVQKAILQKNKSAKQKADLKNACESSSHICPYCNREFTYIGSLNKHAAFSCPKKPLSPPKKKVSHSSKKGGHSSPASSDKNSNSNHRRRTADAEIKMQSMQTPLGKTRARSSGPTQVPLPSSSFRSKQNVKFAASVKSKKPSSSSLRNSSPIRMAKITHVEGKKPKAVAKNHSAQLSSKTSRSLHVRVQKSKAVLQSKSTLASKKRTDRFNIKSRERSGGPVTRSLQLAAAADLSENKREDGSAKQELKDFSYSLRLASRCSPPAAPYITRQYRKVKAPAAAQFQGPFFKE
+>DECOY_sp|Q13029|PRDM2_HUMAN PR domain zinc finger protein 2 OS=Homo sapiens OX=9606 GN=PRDM2 PE=1 SV=3
+EKFFPGQFQAAAPAKVKRYQRTIYPAAPPSCRSALRLSYSFDKLEQKASGDERKNESLDAAAALQLSRTVPGGSRERSKINFRDTRKKSALTSKSQLVAKSKQVRVHLSRSTKSSLQASHNKAVAKPKKGEVHTIKAMRIPSSNRLSSSSPKKSKVSAAFKVNQKSRFSSSPLPVQTPGSSRARTKGLPTQMSQMKIEADATRRRHNSNSNKDSSAPSSHGGKKSSHSVKKKPPSLPKKPCSFAAHKNLSGIYTFERNCYPCIHSSSECANKLDAKQKASKNKQLIAKQVLQNKKKLANLKLKNSSMKSLEVSFNLRKPQGMRRFANKGSNDLVVVGNKPQVTQKLPVPNKKPTYRKKDSASACALIHKHLEPMNDVGKGCKTCRIATTFTQPINHTTFNTATVGIGMPNRHHYQFPPPKFSPYHQNLGLRVDPDKTKIGSAMIKITTYLEESSDNLEEEEKSTELPDEPLSQMHEVHAKSPDTFNQPRLTGSEFEHHTCVKDPHLDRQHQQLNCLFAFEKKCVSCVFINGVGHLLFRHESLDTKDKFLQVCFECKFPWEEAHISLHKTLDKISLFPSECVNCVFNKNFTEQVVVDQEAASQPEERPKLGENELEEQKFSIMPLSAELNDGSSVVSSIASLPPPSPSSSSSSSSFSSSSSSSSSSSSLTPPGPSAASMLPEVPPIPSPSPSVTPSLIPLPSQATANSLPSPCPHPSASSSPAPLPVTPLLPPPPTAVTLVPPCPPPSSPDTPILLPPLQGSSLSPTQLAPSSPCVDPTSEVTPAPFGSGPGLDPDPQAELSKCPSPSRTGDAPNEVPLDIGNYENLLVKQLMCTTPKRKKVASCTPQVSHSEKFEKGESDSCHKKHVSLDLVSEWQVPTKGTGEAKQKVGSSLDLPQQNCVSSFASSATWEKSMKYEDFCPPSVTEREDRGSLSWAPSDSHSELKSKKSTLGADTWAAKGDSPKGFDRLAPSHQPSSPPSSTRRKFRSSTVPLMSAPASVCVPGLKAIETASIGAPTLKDQTQLLQKLKSSLYVSKEKHFSVAETTSISLPLSLSCSPVMPDSDTEAKIKPLAPPSATRRKKAESNTSGLPEKPLDETVPVQTTKINQTIEVTVPTLLCNIGYLDASASEMEIVDCNSTNNNTQIKGDIYYNLNESINSSIDMIYVDDAEGEEEPETSPVYVNQTAQAQEAPPQPEELGGKRRVGKPILHREHVRRQHRRMNTHTGFVKKCYKCPHLEKVEGNEEVVSSNITDQSAKESNMILCDPGLSPPSSDDKSAVNEGSAKGDALDESPQLTQSPKRKLGAEHRREHRRRNIQTGFAKGCYKCKFAHNVTSIHIHMHRELGQKTTFKRECHQCPFMFTEFVDGNAEEKTRPIQMAPTVESCDELTEESTTKPEELLDEPKEDCRIEPEKVSNENPMSAEEEGEDELEDDDDDEEEEEDEEEEEEEEEGLDNVECAAAELREDPEPQPEWAPAPTALEPPVEQSAVEQLTAPQELASASPKEEDEKPGEASDRMNASTFDPESTKLQIDQIKNGKNKNEQSKKKGKRSKPSSRKSRASAREEEIAAAIEPNDEGNYWVLLEEGPAIPKLTKYYIARNIELPFLNQEEGSCAWNVYRLWNGKEPDTADICMWGLNPYYVEWMYVNNKVQSRKKKDGVFPGFKKGKLIPKTAWVGIRTKDVASPFLRVEEPLGRLVHEPVEALTETAAVPETTNQNM
+>sp|P30041|PRDX6_HUMAN Peroxiredoxin-6 OS=Homo sapiens OX=9606 GN=PRDX6 PE=1 SV=3
+MPGGLLLGDVAPNFEANTTVGRIRFHDFLGDSWGILFSHPRDFTPVCTTELGRAAKLAPEFAKRNVKLIALSIDSVEDHLAWSKDINAYNCEEPTEKLPFPIIDDRNRELAILLGMLDPAEKDEKGMPVTARVVFVFGPDKKLKLSILYPATTGRNFDEILRVVISLQLTAEKRVATPVDWKDGDSVMVLPTIPEEEAKKLFPKGVFTKELPSGKKYLRYTPQP
+>DECOY_sp|P30041|PRDX6_HUMAN Peroxiredoxin-6 OS=Homo sapiens OX=9606 GN=PRDX6 PE=1 SV=3
+PQPTYRLYKKGSPLEKTFVGKPFLKKAEEEPITPLVMVSDGDKWDVPTAVRKEATLQLSIVVRLIEDFNRGTTAPYLISLKLKKDPGFVFVVRATVPMGKEDKEAPDLMGLLIALERNRDDIIPFPLKETPEECNYANIDKSWALHDEVSDISLAILKVNRKAFEPALKAARGLETTCVPTFDRPHSFLIGWSDGLFDHFRIRGVTTNAEFNPAVDGLLLGGPM
+>sp|P51888|PRELP_HUMAN Prolargin OS=Homo sapiens OX=9606 GN=PRELP PE=1 SV=1
+MRSPLCWLLPLLILASVAQGQPTRRPRPGTGPGRRPRPRPRPTPSFPQPDEPAEPTDLPPPLPPGPPSIFPDCPRECYCPPDFPSALYCDSRNLRKVPVIPPRIHYLYLQNNFITELPVESFQNATGLRWINLDNNRIRKIDQRVLEKLPGLVFLYMEKNQLEEVPSALPRNLEQLRLSQNHISRIPPGVFSKLENLLLLDLQHNRLSDGVFKPDTFHGLKNLMQLNLAHNILRKMPPRVPTAIHQLYLDSNKIETIPNGYFKSFPNLAFIRLNYNKLTDRGLPKNSFNISNLLVLHLSHNRISSVPAINNRLEHLYLNNNSIEKINGTQICPNDLVAFHDFSSDLENVPHLRYLRLDGNYLKPPIPLDLMMCFRLLQSVVI
+>DECOY_sp|P51888|PRELP_HUMAN Prolargin OS=Homo sapiens OX=9606 GN=PRELP PE=1 SV=1
+IVVSQLLRFCMMLDLPIPPKLYNGDLRLYRLHPVNELDSSFDHFAVLDNPCIQTGNIKEISNNNLYLHELRNNIAPVSSIRNHSLHLVLLNSINFSNKPLGRDTLKNYNLRIFALNPFSKFYGNPITEIKNSDLYLQHIATPVRPPMKRLINHALNLQMLNKLGHFTDPKFVGDSLRNHQLDLLLLNELKSFVGPPIRSIHNQSLRLQELNRPLASPVEELQNKEMYLFVLGPLKELVRQDIKRIRNNDLNIWRLGTANQFSEVPLETIFNNQLYLYHIRPPIVPVKRLNRSDCYLASPFDPPCYCERPCDPFISPPGPPLPPPLDTPEAPEDPQPFSPTPRPRPRPRRGPGTGPRPRRTPQGQAVSALILLPLLWCLPSRM
+>sp|O43930|PRKY_HUMAN Putative serine/threonine-protein kinase PRKY OS=Homo sapiens OX=9606 GN=PRKY PE=5 SV=1
+MEAPGPAQAAAAESNSREVTEDAADWAPALCPSPEARSPEAPAYRLQDCDALVTMGTGTFGRVHLVKEKTAKHFFALKVMSIPDVIRRKQEQHVHNEKSVLKEVSHPFLIRLFWTWHEERFLYMLMEYVPGGELFSYLRNRGHFSSTTGLFYSAEIICAIEYLHSKEIVYRDLKPENILLDRDGHIKLTDFGFAKKLVDRTWTLCGTPEYLAPEVIQSKGHGRAVDWWALGILIFEMLSGFPPFFDDNPFGIYQKILAGKLYFPRHLDFHVKTGRMM
+>DECOY_sp|O43930|PRKY_HUMAN Putative serine/threonine-protein kinase PRKY OS=Homo sapiens OX=9606 GN=PRKY PE=5 SV=1
+MMRGTKVHFDLHRPFYLKGALIKQYIGFPNDDFFPPFGSLMEFILIGLAWWDVARGHGKSQIVEPALYEPTGCLTWTRDVLKKAFGFDTLKIHGDRDLLINEPKLDRYVIEKSHLYEIACIIEASYFLGTTSSFHGRNRLYSFLEGGPVYEMLMYLFREEHWTWFLRILFPHSVEKLVSKENHVHQEQKRRIVDPISMVKLAFFHKATKEKVLHVRGFTGTGMTVLADCDQLRYAPAEPSRAEPSPCLAPAWDAADETVERSNSEAAAAQAPGPAEM
+>sp|A6NEV1|PR23A_HUMAN Proline-rich protein 23A OS=Homo sapiens OX=9606 GN=PRR23A PE=3 SV=1
+MGSRPRSPSAFPAPWWGQQPGGPGPAKRLRLEEPAGPEPRVAPSLEDPAGTPAVGALTSIVVLAAGCALRVPLDDVDLVLELPPTSILRVSLDGHTLILIPEVLLSSVDERSGAQDDSSAGLEVDVFLGALREDVVVEQEVFCASVPEIAAQEEAYEEDADPEFPELQMDSAAGSAAGLYSSARSMFSPYREGPIPEPCALAPNPSSEGHSPGPFFDPEFRLLEPVPSSPLQPLPPSPRVGSPGPHAHPPLPKRPPCKARRRLFQE
+>DECOY_sp|A6NEV1|PR23A_HUMAN Proline-rich protein 23A OS=Homo sapiens OX=9606 GN=PRR23A PE=3 SV=1
+EQFLRRRAKCPPRKPLPPHAHPGPSGVRPSPPLPQLPSSPVPELLRFEPDFFPGPSHGESSPNPALACPEPIPGERYPSFMSRASSYLGAASGAASDMQLEPFEPDADEEYAEEQAAIEPVSACFVEQEVVVDERLAGLFVDVELGASSDDQAGSREDVSSLLVEPILILTHGDLSVRLISTPPLELVLDVDDLPVRLACGAALVVISTLAGVAPTGAPDELSPAVRPEPGAPEELRLRKAPGPGGPQQGWWPAPFASPSRPRSGM
+>sp|Q8NAV1|PR38A_HUMAN Pre-mRNA-splicing factor 38A OS=Homo sapiens OX=9606 GN=PRPF38A PE=1 SV=1
+MANRTVKDAHSIHGTNPQYLVEKIIRTRIYESKYWKEECFGLTAELVVDKAMELRFVGGVYGGNIKPTPFLCLTLKMLQIQPEKDIIVEFIKNEDFKYVRMLGALYMRLTGTAIDCYKYLEPLYNDYRKIKSQNRNGEFELMHVDEFIDELLHSERVCDIILPRLQKRYVLEEAEQLEPRVSALEEDMDDVESSEEEEEEDEKLERVPSPDHRRRSYRDLDKPRRSPTLRYRRSRSRSPRRRSRSPKRRSPSPRRERHRSKSPRRHRSRSRDRRHRSRSKSPGHHRSHRHRSHSKSPERSKKSHKKSRRGNE
+>DECOY_sp|Q8NAV1|PR38A_HUMAN Pre-mRNA-splicing factor 38A OS=Homo sapiens OX=9606 GN=PRPF38A PE=1 SV=1
+ENGRRSKKHSKKSREPSKSHSRHRHSRHHGPSKSRSRHRRDRSRSRHRRPSKSRHRERRPSPSRRKPSRSRRRPSRSRSRRYRLTPSRRPKDLDRYSRRRHDPSPVRELKEDEEEEEESSEVDDMDEELASVRPELQEAEELVYRKQLRPLIIDCVRESHLLEDIFEDVHMLEFEGNRNQSKIKRYDNYLPELYKYCDIATGTLRMYLAGLMRVYKFDENKIFEVIIDKEPQIQLMKLTLCLFPTPKINGGYVGGVFRLEMAKDVVLEATLGFCEEKWYKSEYIRTRIIKEVLYQPNTGHISHADKVTRNAM
+>sp|Q5VTL8|PR38B_HUMAN Pre-mRNA-splicing factor 38B OS=Homo sapiens OX=9606 GN=PRPF38B PE=1 SV=1
+MANNSPALTGNSQPQHQAAAAAAQQQQQCGGGGATKPAVSGKQGNVLPLWGNEKTMNLNPMILTNILSSPYFKVQLYELKTYHEVVDEIYFKVTHVEPWEKGSRKTAGQTGMCGGVRGVGTGGIVSTAFCLLYKLFTLKLTRKQVMGLITHTDSPYIRALGFMYIRYTQPPTDLWDWFESFLDDEEDLDVKAGGGCVMTIGEMLRSFLTKLEWFSTLFPRIPVPVQKNIDQQIKTRPRKIKKDGKEGAEEIDRHVERRRSRSPRRSLSPRRSPRRSRSRSHHREGHGSSSFDRELEREKERQRLEREAKEREKERRRSRSIDRGLERRRSRSRERHRSRSRSRDRKGDRRDRDREREKENERGRRRDRDYDKERGNEREKERERSRERSKEQRSRGEVEEKKHKEDKDDRRHRDDKRDSKKEKKHSRSRSRERKHRSRSRSRNAGKRSRSRSKEKSSKHKNESKEKSNKRSRSGSQGRTDSVEKSKKREHSPSKEKSRKRSRSKERSHKRDHSDSKDQSDKHDRRRSQSIEQESQEKQHKNKDETV
+>DECOY_sp|Q5VTL8|PR38B_HUMAN Pre-mRNA-splicing factor 38B OS=Homo sapiens OX=9606 GN=PRPF38B PE=1 SV=1
+VTEDKNKHQKEQSEQEISQSRRRDHKDSQDKSDSHDRKHSREKSRSRKRSKEKSPSHERKKSKEVSDTRGQSGSRSRKNSKEKSENKHKSSKEKSRSRSRKGANRSRSRSRHKRERSRSRSHKKEKKSDRKDDRHRRDDKDEKHKKEEVEGRSRQEKSRERSREREKERENGREKDYDRDRRRGRENEKERERDRDRRDGKRDRSRSRSRHRERSRSRRRELGRDISRSRRREKEREKAERELRQREKERELERDFSSSGHGERHHSRSRSRRPSRRPSLSRRPSRSRRREVHRDIEEAGEKGDKKIKRPRTKIQQDINKQVPVPIRPFLTSFWELKTLFSRLMEGITMVCGGGAKVDLDEEDDLFSEFWDWLDTPPQTYRIYMFGLARIYPSDTHTILGMVQKRTLKLTFLKYLLCFATSVIGGTGVGRVGGCMGTQGATKRSGKEWPEVHTVKFYIEDVVEHYTKLEYLQVKFYPSSLINTLIMPNLNMTKENGWLPLVNGQKGSVAPKTAGGGGCQQQQQAAAAAAQHQPQSNGTLAPSNNAM
+>sp|Q6NWY9|PR40B_HUMAN Pre-mRNA-processing factor 40 homolog B OS=Homo sapiens OX=9606 GN=PRPF40B PE=1 SV=1
+MMPPPFMPPPGIPPPFPPMGLPPMSQRPPAIPPMPPGILPPMLPPMGAPPPLTQIPGMVPPMMPGMLMPAVPVTAATAPGADTASSAVAGTGPPRALWSEHVAPDGRIYYYNADDKQSVWEKPSVLKSKAELLLSQCPWKEYKSDTGKPYYYNNQSKESRWTRPKDLDDLEVLVKQEAAGKQQQQLPQTLQPQPPQPQPDPPPVPPGPTPVPTGLLEPEPGGSEDCDVLEATQPLEQGFLQQLEEGPSSSGQHQPQQEEEESKPEPERSGLSWSNREKAKQAFKELLRDKAVPSNASWEQAMKMVVTDPRYSALPKLSEKKQAFNAYKAQREKEEKEEARLRAKEAKQTLQHFLEQHERMTSTTRYRRAEQTFGELEVWAVVPERDRKEVYDDVLFFLAKKEKEQAKQLRRRNIQALKSILDGMSSVNFQTTWSQAQQYLMDNPSFAQDHQLQNMDKEDALICFEEHIRALEREEEEERERARLRERRQQRKNREAFQTFLDELHETGQLHSMSTWMELYPAVSTDVRFANMLGQPGSTPLDLFKFYVEELKARFHDEKKIIKDILKDRGFCVEVNTAFEDFAHVISFDKRAAALDAGNIKLTFNSLLEKAEAREREREKEEARRMRRREAAFRSMLRQAVPALELGTAWEEVRERFVCDSAFEQITLESERIRLFREFLQVLEQTECQHLHTKGRKHGRKGKKHHHKRSHSPSGSESEEEELPPPSLRPPKRRRRNPSESGSEPSSSLDSVESGGAALGGRGSPSSHLLGADHGLRKAKKPKKKTKKRRHKSNSPESETDPEEKAGKESDEKEQEQDKDRELQQAELPNRSPGFGIKKEKTGWDTSESELSEGELERRRRTLLQQLDDHQ
+>DECOY_sp|Q6NWY9|PR40B_HUMAN Pre-mRNA-processing factor 40 homolog B OS=Homo sapiens OX=9606 GN=PRPF40B PE=1 SV=1
+QHDDLQQLLTRRRRELEGESLESESTDWGTKEKKIGFGPSRNPLEAQQLERDKDQEQEKEDSEKGAKEEPDTESEPSNSKHRRKKTKKKPKKAKRLGHDAGLLHSSPSGRGGLAAGGSEVSDLSSSPESGSESPNRRRRKPPRLSPPPLEEEESESGSPSHSRKHHHKKGKRGHKRGKTHLHQCETQELVQLFERFLRIRESELTIQEFASDCVFRERVEEWATGLELAPVAQRLMSRFAAERRRMRRAEEKERERERAEAKELLSNFTLKINGADLAAARKDFSIVHAFDEFATNVEVCFGRDKLIDKIIKKEDHFRAKLEEVYFKFLDLPTSGPQGLMNAFRVDTSVAPYLEMWTSMSHLQGTEHLEDLFTQFAERNKRQQRRERLRAREREEEEERELARIHEEFCILADEKDMNQLQHDQAFSPNDMLYQQAQSWTTQFNVSSMGDLISKLAQINRRRLQKAQEKEKKALFFLVDDYVEKRDREPVVAWVELEGFTQEARRYRTTSTMREHQELFHQLTQKAEKARLRAEEKEEKERQAKYANFAQKKESLKPLASYRPDTVVMKMAQEWSANSPVAKDRLLEKFAQKAKERNSWSLGSREPEPKSEEEEQQPQHQGSSSPGEELQQLFGQELPQTAELVDCDESGGPEPELLGTPVPTPGPPVPPPDPQPQPPQPQLTQPLQQQQKGAAEQKVLVELDDLDKPRTWRSEKSQNNYYYPKGTDSKYEKWPCQSLLLEAKSKLVSPKEWVSQKDDANYYYIRGDPAVHESWLARPPGTGAVASSATDAGPATAATVPVAPMLMGPMMPPVMGPIQTLPPPAGMPPLMPPLIGPPMPPIAPPRQSMPPLGMPPFPPPIGPPPMFPPPMM
+>sp|Q5VWM6|PRA13_HUMAN Putative PRAME family member 13 OS=Homo sapiens OX=9606 GN=PRAMEF13 PE=5 SV=2
+MSIQAPPRLLELAGQSLLRDQALSISAMEELPRVLYLPLFMEAFRRRHFQTLTVMVQAWPFTCLPLGSLMKTLHLETLKALLEGLHMLLTQKDRPRRRKLQVLDLRDVDENFWARWPGAWALSCFPETMSKRQTAEDRPRMGEHQPLKVFIDICLKEIPQDECLRYLFQWVYQRRGLVHLCCSKLVNYLTPIKHLRKSLKIIYLNSIQELEIHNMSWPRLIRKLRCYLKEMKTLGKLVFSRCHHSTSDNELEGRLVTKFSSVFLGLEHLQLLKIKLITFFSGHLEQLIRCLQNPLENLELTYGYLLEEDVKCLSQYPSLGYLKHLNLSYVLLFRISLEPLGALLEKIAASLETLILEGCQIHYSQLSAILPGLSRCSQLTTFYFGRNCMSMGALKDLLRHTSGLSKLSLETYPAPEESLNSLVRVNWEIFTPLRAELMCTLREVRQPKRIFIGPTPCPSCGSSLSEELELHLCC
+>DECOY_sp|Q5VWM6|PRA13_HUMAN Putative PRAME family member 13 OS=Homo sapiens OX=9606 GN=PRAMEF13 PE=5 SV=2
+CCLHLELEESLSSGCSPCPTPGIFIRKPQRVERLTCMLEARLPTFIEWNVRVLSNLSEEPAPYTELSLKSLGSTHRLLDKLAGMSMCNRGFYFTTLQSCRSLGPLIASLQSYHIQCGELILTELSAAIKELLAGLPELSIRFLLVYSLNLHKLYGLSPYQSLCKVDEELLYGYTLELNELPNQLCRILQELHGSFFTILKIKLLQLHELGLFVSSFKTVLRGELENDSTSHHCRSFVLKGLTKMEKLYCRLKRILRPWSMNHIELEQISNLYIIKLSKRLHKIPTLYNVLKSCCLHVLGRRQYVWQFLYRLCEDQPIEKLCIDIFVKLPQHEGMRPRDEATQRKSMTEPFCSLAWAGPWRAWFNEDVDRLDLVQLKRRRPRDKQTLLMHLGELLAKLTELHLTKMLSGLPLCTFPWAQVMVTLTQFHRRRFAEMFLPLYLVRPLEEMASISLAQDRLLSQGALELLRPPAQISM
+>sp|Q5SWL8|PRA19_HUMAN PRAME family member 19 OS=Homo sapiens OX=9606 GN=PRAMEF19 PE=3 SV=2
+MSFQAPRRLLELAGQSLLRDQALAISVLDELPRELFPRLFVEAFTSRCCEVLKVMVQAWPFPCLPLGSLMKTPDLEILHYVVDGIDCLLAQKVRPRRWKLQVLEMRDVDENFWTIWSGARPLSCSPEAMSKRQTVEDCPRTGEKQPLKVFMDVCLKEKSVDEDLSFFSGWVQHRRRSVHLCCTKVVNYSMNILNFRNILETVYPDSIQVLEIWNMCWPCMVAEVSRYLSQMKNLRKLFISDGCGYLPSFESQGQLVAEFSSVFLRLEYLQMLYMRRIRFFEGYLDQLIRCLKSPLETLALTYGSLDEEDLKCLPWYPSLSQLKQLNLSHGTLRFIRLEPLRALLEKVAATLQTLFLVDCGIGDSKLRVILPALSRCSNLTTFCFHGNDTSMDGLKDLLRHTGRLSNLSLETYPAPRESLDNRGRVISELLTPLQAELMRILREVREPNRIFFGPVSCPCCGMSPTEQLEFNFCLRGRPA
+>DECOY_sp|Q5SWL8|PRA19_HUMAN PRAME family member 19 OS=Homo sapiens OX=9606 GN=PRAMEF19 PE=3 SV=2
+APRGRLCFNFELQETPSMGCCPCSVPGFFIRNPERVERLIRMLEAQLPTLLESIVRGRNDLSERPAPYTELSLNSLRGTHRLLDKLGDMSTDNGHFCFTTLNSCRSLAPLIVRLKSDGIGCDVLFLTQLTAAVKELLARLPELRIFRLTGHSLNLQKLQSLSPYWPLCKLDEEDLSGYTLALTELPSKLCRILQDLYGEFFRIRRMYLMQLYELRLFVSSFEAVLQGQSEFSPLYGCGDSIFLKRLNKMQSLYRSVEAVMCPWCMNWIELVQISDPYVTELINRFNLINMSYNVVKTCCLHVSRRRHQVWGSFFSLDEDVSKEKLCVDMFVKLPQKEGTRPCDEVTQRKSMAEPSCSLPRAGSWITWFNEDVDRMELVQLKWRRPRVKQALLCDIGDVVYHLIELDPTKMLSGLPLCPFPWAQVMVKLVECCRSTFAEVFLRPFLERPLEDLVSIALAQDRLLSQGALELLRRPAQFSM
+>sp|H0Y7S4|PRA26_HUMAN Putative PRAME family member 26 OS=Homo sapiens OX=9606 GN=PRAMEF26 PE=5 SV=2
+MVRWKLQVLDLQDVCENFWMVWSEAMARGCFLNAKRNKKPVQDCPRMRGRQPLTVFVELWLKNRTLDEHLTCLLLWVKQRKDLLHLCCKKLKILGMPFRNIRSILKMVNLDCIQEVEVNCKWVLPILTQFTPYLGHMRNLQKLVLSHMDVSRYVSPEQKKEIVTQFTTQFLKLHCLQKLYMNSVSFLEGHLDQLLSCLKTSLKVLTITNCVLLESDLKHLSQCPSISQLKTLDLSGIRLTNYSLVPLQILLEKVAATLEYLDLDDCGIIDSQVNAILPALSRCFELNTFSFCGNPISMATLENLLSHTIILKNLCLELYPAPRESYGADGTLCWSRFTQIRAELMKRVRDLRHPKRILFGTDYCPDCGNRSFYDLEADQYCC
+>DECOY_sp|H0Y7S4|PRA26_HUMAN Putative PRAME family member 26 OS=Homo sapiens OX=9606 GN=PRAMEF26 PE=5 SV=2
+CCYQDAELDYFSRNGCDPCYDTGFLIRKPHRLDRVRKMLEARIQTFRSWCLTGDAGYSERPAPYLELCLNKLIITHSLLNELTAMSIPNGCFSFTNLEFCRSLAPLIANVQSDIIGCDDLDLYELTAAVKELLIQLPVLSYNTLRIGSLDLTKLQSISPCQSLHKLDSELLVCNTITLVKLSTKLCSLLQDLHGELFSVSNMYLKQLCHLKLFQTTFQTVIEKKQEPSVYRSVDMHSLVLKQLNRMHGLYPTFQTLIPLVWKCNVEVEQICDLNVMKLISRINRFPMGLIKLKKCCLHLLDKRQKVWLLLCTLHEDLTRNKLWLEVFVTLPQRGRMRPCDQVPKKNRKANLFCGRAMAESWVMWFNECVDQLDLVQLKWRVM
+>sp|D3DTV9|PRAC2_HUMAN Putative protein PRAC2 OS=Homo sapiens OX=9606 GN=PRAC2 PE=5 SV=1
+MDRRRMALRPGSRRPTAFFFHSRWLVPNLLAFFLGLSGAGPIHLPMPWPNGRRHRVLDPHTQLSTHEAPGRWKPVAPRTMKACPQVLLEW
+>DECOY_sp|D3DTV9|PRAC2_HUMAN Putative protein PRAC2 OS=Homo sapiens OX=9606 GN=PRAC2 PE=5 SV=1
+WELLVQPCAKMTRPAVPKWRGPAEHTSLQTHPDLVRHRRGNPWPMPLHIPGAGSLGLFFALLNPVLWRSHFFFATPRRSGPRLAMRRRDM
+>sp|O60811|PRAM2_HUMAN PRAME family member 2 OS=Homo sapiens OX=9606 GN=PRAMEF2 PE=2 SV=2
+MSIQAPPRLLELAGQSLLRDQALSISAMEELPRVLYLPLFREAFSRRHFQTLTVMVQAWPFTCLPLVSLMKTLHLEPLKALLEGLHMLLTQKDRPRRWKLQVLDLRDVDENFWARWPGAWALSCFPEAMSKRQTAEDCPRTGEHQPLKVFIDICLKEIPQDECLRYLFQWVYQRRGLVHLCCSKLVNYLTPIKYLRKSLKIIYINSIGELEIHNTCWPHLIRKLYCYLKEMKTLCKLVFSRCHHYTSDNELEGWLVTRFTSVFLRLEHLQLLKIKLITFFSGHLEQLIRCLQNPLENLELTCGNLLEEDLKCLSQFPSLGYLKHLNLSYVLLFRISLEPLGALLEKIAASLETLVLEGCQIHYSQLSAILPGLSCCSQLTTFYFGSNCMSIDALKDLLRHTSGLSKLSLETYPAPEESLNSLVRVNWEIFTPLRAELMCTLREFRQPKRIFIGPTPCPSCGSSPSEELELHLCC
+>DECOY_sp|O60811|PRAM2_HUMAN PRAME family member 2 OS=Homo sapiens OX=9606 GN=PRAMEF2 PE=2 SV=2
+CCLHLELEESPSSGCSPCPTPGIFIRKPQRFERLTCMLEARLPTFIEWNVRVLSNLSEEPAPYTELSLKSLGSTHRLLDKLADISMCNSGFYFTTLQSCCSLGPLIASLQSYHIQCGELVLTELSAAIKELLAGLPELSIRFLLVYSLNLHKLYGLSPFQSLCKLDEELLNGCTLELNELPNQLCRILQELHGSFFTILKIKLLQLHELRLFVSTFRTVLWGELENDSTYHHCRSFVLKCLTKMEKLYCYLKRILHPWCTNHIELEGISNIYIIKLSKRLYKIPTLYNVLKSCCLHVLGRRQYVWQFLYRLCEDQPIEKLCIDIFVKLPQHEGTRPCDEATQRKSMAEPFCSLAWAGPWRAWFNEDVDRLDLVQLKWRRPRDKQTLLMHLGELLAKLPELHLTKMLSVLPLCTFPWAQVMVTLTQFHRRSFAERFLPLYLVRPLEEMASISLAQDRLLSQGALELLRPPAQISM
+>sp|Q5VXH4|PRAM6_HUMAN PRAME family member 6 OS=Homo sapiens OX=9606 GN=PRAMEF6 PE=2 SV=1
+MSIRTPPRLLELAGRSLLRDQALAMSTLEELPTELFPPLFMEAFSRRRCEALKLMVQAWPFRRLPLRPLIKMPCLEAFQAVLDGLDALLTQGVHPRRWKLQVLDLQDVCENFWMVWSEAMARGCFLNAKRNKTPVQDCPRMRGQQPLTVFVELWLKNRTLDEYLTCLLLWVKQRKDLLHLCCKKLKILGMPFRNIRSILKMVNLDCIQEVEVNCKWVLPILTQFTPYLGHMRNLQKLVLSHMDVSRYVSPEQKKEIVTQFTTQFLKLCCLQKLSMNSVSFLEGHLDQLLSCLKTSLKVLTITNCVLLESDLKHLSQCPSISQLKTLDLSGIRLTNYSLVPLQILLEKVAATLEYLDLDDCGIIDSQVNAILPALSRCFELNTFSFCGNPISMATLENLLSHTIILKNLCVELYPAPRESYDADGTLCWSRFAQIRAELMKRVRDLRHPKRILFCTDCCPDCGNRSFYDLEADQCCC
+>DECOY_sp|Q5VXH4|PRAM6_HUMAN PRAME family member 6 OS=Homo sapiens OX=9606 GN=PRAMEF6 PE=2 SV=1
+CCCQDAELDYFSRNGCDPCCDTCFLIRKPHRLDRVRKMLEARIQAFRSWCLTGDADYSERPAPYLEVCLNKLIITHSLLNELTAMSIPNGCFSFTNLEFCRSLAPLIANVQSDIIGCDDLDLYELTAAVKELLIQLPVLSYNTLRIGSLDLTKLQSISPCQSLHKLDSELLVCNTITLVKLSTKLCSLLQDLHGELFSVSNMSLKQLCCLKLFQTTFQTVIEKKQEPSVYRSVDMHSLVLKQLNRMHGLYPTFQTLIPLVWKCNVEVEQICDLNVMKLISRINRFPMGLIKLKKCCLHLLDKRQKVWLLLCTLYEDLTRNKLWLEVFVTLPQQGRMRPCDQVPTKNRKANLFCGRAMAESWVMWFNECVDQLDLVQLKWRRPHVGQTLLADLGDLVAQFAELCPMKILPRLPLRRFPWAQVMLKLAECRRRSFAEMFLPPFLETPLEELTSMALAQDRLLSRGALELLRPPTRISM
+>sp|P78395|PRAME_HUMAN Melanoma antigen preferentially expressed in tumors OS=Homo sapiens OX=9606 GN=PRAME PE=1 SV=1
+MERRRLWGSIQSRYISMSVWTSPRRLVELAGQSLLKDEALAIAALELLPRELFPPLFMAAFDGRHSQTLKAMVQAWPFTCLPLGVLMKGQHLHLETFKAVLDGLDVLLAQEVRPRRWKLQVLDLRKNSHQDFWTVWSGNRASLYSFPEPEAAQPMTKKRKVDGLSTEAEQPFIPVEVLVDLFLKEGACDELFSYLIEKVKRKKNVLRLCCKKLKIFAMPMQDIKMILKMVQLDSIEDLEVTCTWKLPTLAKFSPYLGQMINLRRLLLSHIHASSYISPEKEEQYIAQFTSQFLSLQCLQALYVDSLFFLRGRLDQLLRHVMNPLETLSITNCRLSEGDVMHLSQSPSVSQLSVLSLSGVMLTDVSPEPLQALLERASATLQDLVFDECGITDDQLLALLPSLSHCSQLTTLSFYGNSISISALQSLLQHLIGLSNLTHVLYPVPLESYEDIHGTLHLERLAYLHARLRELLCELGRPSMVWLSANPCPHCGDRTFYDPEPILCPCFMPN
+>DECOY_sp|P78395|PRAME_HUMAN Melanoma antigen preferentially expressed in tumors OS=Homo sapiens OX=9606 GN=PRAME PE=1 SV=1
+NPMFCPCLIPEPDYFTRDGCHPCPNASLWVMSPRGLECLLERLRAHLYALRELHLTGHIDEYSELPVPYLVHTLNSLGILHQLLSQLASISISNGYFSLTTLQSCHSLSPLLALLQDDTIGCEDFVLDQLTASARELLAQLPEPSVDTLMVGSLSLVSLQSVSPSQSLHMVDGESLRCNTISLTELPNMVHRLLQDLRGRLFFLSDVYLAQLCQLSLFQSTFQAIYQEEKEPSIYSSAHIHSLLLRRLNIMQGLYPSFKALTPLKWTCTVELDEISDLQVMKLIMKIDQMPMAFIKLKKCCLRLVNKKRKVKEILYSFLEDCAGEKLFLDVLVEVPIFPQEAETSLGDVKRKKTMPQAAEPEPFSYLSARNGSWVTWFDQHSNKRLDLVQLKWRRPRVEQALLVDLGDLVAKFTELHLHQGKMLVGLPLCTFPWAQVMAKLTQSHRGDFAAMFLPPFLERPLLELAAIALAEDKLLSQGALEVLRRPSTWVSMSIYRSQISGWLRRREM
+>sp|P10163|PRB4_HUMAN Basic salivary proline-rich protein 4 OS=Homo sapiens OX=9606 GN=PRB4 PE=1 SV=4
+MLLILLSVALLALSSAESSSEDVSQEESLFLISGKPEGRRPQGGNQPQRPPPPPGKPQGPPPQGGNQSQGPPPPPGKPEGRPPQGGNQSQGPPPHPGKPERPPPQGGNQSQGPPPHPGKPESRPPQGGHQSQGPPPTPGKPEGPPPQGGNQSQGTPPPPGKPEGRPPQGGNQSQGPPPHPGKPERPPPQGGNQSHRPPPPPGKPERPPPQGGNQSQGPPPHPGKPEGPPPQEGNKSRSARSPPGKPQGPPQQEGNKPQGPPPPGKPQGPPPAGGNPQQPQAPPAGKPQGPPPPPQGGRPPRPAQGQQPPQ
+>DECOY_sp|P10163|PRB4_HUMAN Basic salivary proline-rich protein 4 OS=Homo sapiens OX=9606 GN=PRB4 PE=1 SV=4
+QPPQQGQAPRPPRGGQPPPPPGQPKGAPPAQPQQPNGGAPPPGQPKGPPPPGQPKNGEQQPPGQPKGPPSRASRSKNGEQPPPGEPKGPHPPPGQSQNGGQPPPREPKGPPPPPRHSQNGGQPPPREPKGPHPPPGQSQNGGQPPRGEPKGPPPPTGQSQNGGQPPPGEPKGPTPPPGQSQHGGQPPRSEPKGPHPPPGQSQNGGQPPPREPKGPHPPPGQSQNGGQPPRGEPKGPPPPPGQSQNGGQPPPGQPKGPPPPPRQPQNGGQPRRGEPKGSILFLSEEQSVDESSSEASSLALLAVSLLILLM
+>sp|Q5JSZ5|PRC2B_HUMAN Protein PRRC2B OS=Homo sapiens OX=9606 GN=PRRC2B PE=1 SV=2
+MSDRLGQITKGKDGKSKYSTLSLFDKYKGKSVDAIRSSVIPRHGLQSLGKVAAARRMPPPANLPSLKSENKGNDPNIVIVPKDGTGWANKQDQQDPKSSSATASQPPESLPQPGLQKSVSNLQKPTQSISQENTNSVPGGPKSWAQLNGKPVGHEGGLRGSSRLLSFSPEEFPTLKAAGGQDKAGKEKGVLDLSYGPGPSLRPQNVTSWREGGGRHIISATSLSTSPTELGSRNSSTGDGAPSSACTSDSKDPSLRPAQPVRKGASQFMGNVYHPPTYHDMLPAFMCSPKSSENQGTVERGSFPLPQLRLEPRVPFRQFQMNDQDGKENRLGLSRPLRPLRQLVERAPRPTIINAENLKGLDDLDADADDGWAGLHEEVDYSEKLKFSDDEEEEEVVKDGRPKWNSWDPRRQRQLSMSSADSADAKRTREEGKDWAEAVGASRVVRKAPDPQPPPRKLHGWAPGPDYQKSSMGSMFRQQSIEDKEDKPPPRQKFIQSEMSEAVERARKRREEEERRAREERLAACAAKLKQLDQKCKQARKAGEARKQAEKEVPWSPSAEKASPQENGPAVHKGSPEFPAQETPTTFPEEAPTVSPAVAQSNSSEEEAREAGSPAQEFKYQKSLPPRFQRQQQQQQQEQLYKMQHWQPVYPPPSHPQRTFYPHHPQMLGFDPRWMMMPSYMDPRITPTRTPVDFYPSALHPSGLMKPMMPQESLNGTGCRSEDQNCVPPLQERKVTPIDSPPVWSPEGYMALQSKGYPLPHPKSSDTLAMDMRVRNESSFSASLGRAGGVSAQRDLFEERGEEYLSAFDKKAQADFDSCISSQRIGQELLFPPQENVQDAGAPGGHTQNLRCSPLEPDFVPDEKKPECGSWDVSHQPETADTAHGVERETPREGTAFNISSWDKNGSPNKQPSSEPEWTPEPRSSSSQHPEQTGRTRRSGPIKKPVLKALKVEDKEKELEKIKQELGEESTRLAKEKEQSPTAEKDEDEENDASLANSSTTTLEDKGPGHATFGREATKFEEEEKPDKAWEARPPRESSDVPPMKRNNWIFIDEEQAFGVRGQARGRGRGFREFTFRGRPAGGNGSGLCGGGVLGARSIYCSSQRSGRGRGLREFARPEDCPRAKPRRRVASETHSEGSEYEELPKRRRQRGSENGNEGSLLEREESTLKKGDCRDSWRSNKGCSEDHSGLDAKSRGPRAFGRALPPRLSNCGYGRRTFVSKESPHWQSKSPGSSWQEYGPSDTCGSRRPTDRDYVPDSYRHPDAFGGRGFEDSRAEDKRSFFQDEHVADSENAENRPFRRRRPPRQDKPPRFRRLRQERESLGLWGPEEEPHLLAGQWPGRPKLCSGDKSGTVGRRSPELSYQNSSDHANEEWETASESSDFSERRERREGPGSEPDSQVDGGLSGASLGEKKELAKRSFSSQRPVVDRQSRKLEPGGFGEKPVRPGGGDTSPRYESQQNGTPLKVKRSPDEALPGGLSGCSSGSGHSPYALERAAHASADLPEASSKKAEKEAKLAAPRAGEQGEAMKQFDLNYGSAIIENCGSSPGEESEVGSMVGEGFIEVLTKKQRRLLEEERRKKEQAVQVPVKGRGLSSRIPPRFAKKQNNLCLEQGDVTVPGSSLGTEIWESSSQALPVQAPANDSWRKAVTAFSSTETGSAEQGFKSSQGDSGVDLSAESRESSATSSQRSSPYGTLKPEEMSGPGLAEPKADSHKEQAPKPSEQKDSEQGSGQSKEHRPGPIGNERSLKNRKGSEGAERLQGAVVPPVNGVEIHVDSVLPVPPIEFGVSPKDSDFSLPPGSASGPTGSPVVKLQDALASNAGLTQSIPILRRDHHIQRAIGLSPMSFPTADLTLKMESARKAWENSPSLPEQSSPGGAGSGIQPPSSVGASSGVNYSSFGGVSMPPMPVASVAPSASMPGSHLPPLYLDGHVFASQPRLVPQTIPQQQSYQQAAAAQQIPISLHTSLQAQAQLGLRGGLPVSQSQEIFSSLQPFRSQVYMHPSLSPPSTMILSGGTALKPPYSAFPGMQPLEMVKPQSGSPYQPMSGNQALVYEGQLSQAAGLGASQMLDSQLPQLTMPLPRYGSGQQPLILPQSIQLPPGQSLSVGAPRRIPPPGSQPPVLNTSREPSQMEMKGFHFADSKQNVPSGGPVPSPQTYRPSSASPSGKPSGSAVNMGSVQGHYVQQAKQRVDEKPSLGAVKLQEAPSAASQMKRTGAIKPRAVKVEESKA
+>DECOY_sp|Q5JSZ5|PRC2B_HUMAN Protein PRRC2B OS=Homo sapiens OX=9606 GN=PRRC2B PE=1 SV=2
+AKSEEVKVARPKIAGTRKMQSAASPAEQLKVAGLSPKEDVRQKAQQVYHGQVSGMNVASGSPKGSPSASSPRYTQPSPVPGGSPVNQKSDAFHFGKMEMQSPERSTNLVPPQSGPPPIRRPAGVSLSQGPPLQISQPLILPQQGSGYRPLPMTLQPLQSDLMQSAGLGAAQSLQGEYVLAQNGSMPQYPSGSQPKVMELPQMGPFASYPPKLATGGSLIMTSPPSLSPHMYVQSRFPQLSSFIEQSQSVPLGGRLGLQAQAQLSTHLSIPIQQAAAAQQYSQQQPITQPVLRPQSAFVHGDLYLPPLHSGPMSASPAVSAVPMPPMSVGGFSSYNVGSSAGVSSPPQIGSGAGGPSSQEPLSPSNEWAKRASEMKLTLDATPFSMPSLGIARQIHHDRRLIPISQTLGANSALADQLKVVPSGTPGSASGPPLSFDSDKPSVGFEIPPVPLVSDVHIEVGNVPPVVAGQLREAGESGKRNKLSRENGIPGPRHEKSQGSGQESDKQESPKPAQEKHSDAKPEALGPGSMEEPKLTGYPSSRQSSTASSERSEASLDVGSDGQSSKFGQEASGTETSSFATVAKRWSDNAPAQVPLAQSSSEWIETGLSSGPVTVDGQELCLNNQKKAFRPPIRSSLGRGKVPVQVAQEKKRREEELLRRQKKTLVEIFGEGVMSGVESEEGPSSGCNEIIASGYNLDFQKMAEGQEGARPAALKAEKEAKKSSAEPLDASAHAARELAYPSHGSGSSCGSLGGPLAEDPSRKVKLPTGNQQSEYRPSTDGGGPRVPKEGFGGPELKRSQRDVVPRQSSFSRKALEKKEGLSAGSLGGDVQSDPESGPGERRERRESFDSSESATEWEENAHDSSNQYSLEPSRRGVTGSKDGSCLKPRGPWQGALLHPEEEPGWLGLSEREQRLRRFRPPKDQRPPRRRRFPRNEANESDAVHEDQFFSRKDEARSDEFGRGGFADPHRYSDPVYDRDTPRRSGCTDSPGYEQWSSGPSKSQWHPSEKSVFTRRGYGCNSLRPPLARGFARPGRSKADLGSHDESCGKNSRWSDRCDGKKLTSEERELLSGENGNESGRQRRRKPLEEYESGESHTESAVRRRPKARPCDEPRAFERLGRGRGSRQSSCYISRAGLVGGGCLGSGNGGAPRGRFTFERFGRGRGRAQGRVGFAQEEDIFIWNNRKMPPVDSSERPPRAEWAKDPKEEEEFKTAERGFTAHGPGKDELTTTSSNALSADNEEDEDKEATPSQEKEKALRTSEEGLEQKIKELEKEKDEVKLAKLVPKKIPGSRRTRGTQEPHQSSSSRPEPTWEPESSPQKNPSGNKDWSSINFATGERPTEREVGHATDATEPQHSVDWSGCEPKKEDPVFDPELPSCRLNQTHGGPAGADQVNEQPPFLLEQGIRQSSICSDFDAQAKKDFASLYEEGREEFLDRQASVGGARGLSASFSSENRVRMDMALTDSSKPHPLPYGKSQLAMYGEPSWVPPSDIPTVKREQLPPVCNQDESRCGTGNLSEQPMMPKMLGSPHLASPYFDVPTRTPTIRPDMYSPMMMWRPDFGLMQPHHPYFTRQPHSPPPYVPQWHQMKYLQEQQQQQQQRQFRPPLSKQYKFEQAPSGAERAEEESSNSQAVAPSVTPAEEPFTTPTEQAPFEPSGKHVAPGNEQPSAKEASPSWPVEKEAQKRAEGAKRAQKCKQDLQKLKAACAALREERARREEEERRKRAREVAESMESQIFKQRPPPKDEKDEISQQRFMSGMSSKQYDPGPAWGHLKRPPPQPDPAKRVVRSAGVAEAWDKGEERTRKADASDASSMSLQRQRRPDWSNWKPRGDKVVEEEEEDDSFKLKESYDVEEHLGAWGDDADADLDDLGKLNEANIITPRPAREVLQRLPRLPRSLGLRNEKGDQDNMQFQRFPVRPELRLQPLPFSGREVTGQNESSKPSCMFAPLMDHYTPPHYVNGMFQSAGKRVPQAPRLSPDKSDSTCASSPAGDGTSSNRSGLETPSTSLSTASIIHRGGGERWSTVNQPRLSPGPGYSLDLVGKEKGAKDQGGAAKLTPFEEPSFSLLRSSGRLGGEHGVPKGNLQAWSKPGGPVSNTNEQSISQTPKQLNSVSKQLGPQPLSEPPQSATASSSKPDQQDQKNAWGTGDKPVIVINPDNGKNESKLSPLNAPPPMRRAAAVKGLSQLGHRPIVSSRIADVSKGKYKDFLSLTSYKSKGDKGKTIQGLRDSM
+>sp|Q00LT1|PRCD_HUMAN Progressive rod-cone degeneration protein OS=Homo sapiens OX=9606 GN=PRCD PE=1 SV=1
+MCTTLFLLSTLAMLWRRRFANRVQPEPSDVDGAARGSSLDADPQSSGREKEPLK
+>DECOY_sp|Q00LT1|PRCD_HUMAN Progressive rod-cone degeneration protein OS=Homo sapiens OX=9606 GN=PRCD PE=1 SV=1
+KLPEKERGSSQPDADLSSGRAAGDVDSPEPQVRNAFRRRWLMALTSLLFLTTCM
+>sp|Q9NQW5|PRDM7_HUMAN Probable histone-lysine N-methyltransferase PRDM7 OS=Homo sapiens OX=9606 GN=PRDM7 PE=1 SV=2
+MSPERSQEESPEGDTERTERKPMVKDAFKDISIYFTKEEWAEMGDWEKTRYRNVKMNYNALITVGLRATRPAFMCHRRQAIKLQVDDTEDSDEEWTPRQQVKPPWMAFRGEQSKHQKGMPKASFNNESSLRELSGTPNLLNTSDSEQAQKPVSPPGEASTSGQHSRLKLELRRKETEGKMYSLRERKGHAYKEISEPQDDDYLYCEMCQNFFIDSCAAHGPPTFVKDSAVDKGHPNRSALSLPPGLRIGPSGIPQAGLGVWNEASDLPLGLHFGPYEGRITEDEEAANSGYSWLITKGRNCYEYVDGKDKSSANWMRYVNCARDDEEQNLVAFQYHRQIFYRTCRVIRPGCELLVWSGDEYGQELGIRSSIEPAESLGQAVNCWSGMGMSMARNWASSGAASGRKSSWQGENQSQRSIHVPHAVWPFQVKNFSVNMWNAITPLRTSQDHLQENFSNQRIPAQGIRIRSGNILIHAAVMTKPKVKRSKKGPNS
+>DECOY_sp|Q9NQW5|PRDM7_HUMAN Probable histone-lysine N-methyltransferase PRDM7 OS=Homo sapiens OX=9606 GN=PRDM7 PE=1 SV=2
+SNPGKKSRKVKPKTMVAAHILINGSRIRIGQAPIRQNSFNEQLHDQSTRLPTIANWMNVSFNKVQFPWVAHPVHISRQSQNEGQWSSKRGSAAGSSAWNRAMSMGMGSWCNVAQGLSEAPEISSRIGLEQGYEDGSWVLLECGPRIVRCTRYFIQRHYQFAVLNQEEDDRACNVYRMWNASSKDKGDVYEYCNRGKTILWSYGSNAAEEDETIRGEYPGFHLGLPLDSAENWVGLGAQPIGSPGIRLGPPLSLASRNPHGKDVASDKVFTPPGHAACSDIFFNQCMECYLYDDDQPESIEKYAHGKRERLSYMKGETEKRRLELKLRSHQGSTSAEGPPSVPKQAQESDSTNLLNPTGSLERLSSENNFSAKPMGKQHKSQEGRFAMWPPKVQQRPTWEEDSDETDDVQLKIAQRRHCMFAPRTARLGVTILANYNMKVNRYRTKEWDGMEAWEEKTFYISIDKFADKVMPKRETRETDGEPSEEQSREPSM
+>sp|Q9NQV8|PRDM8_HUMAN PR domain zinc finger protein 8 OS=Homo sapiens OX=9606 GN=PRDM8 PE=1 SV=3
+MEDTGIQRGIWDGDAKAVQQCLTDIFTSVYTTCDIPENAIFGPCVLSHTSLYDSIAFIALKSTDKRTVPYIFRVDTSAANGSSEGLMWLRLVQSARDKEEQNLEAYIKNGQLFYRSLRRIAKDEELLVWYGKELTELLLLCPSRSHNKMNGSSPYTCLECSQRFQFEFPYVAHLRFRCPKRLHSADISPQDEQGGGVGTKDHGGGGGGGKDQQQQQQEAPLGPGPKFCKAGPLHHYPSPSPESSNPSAAAGGSSAKPSTDFHNLARELENSRGGSSCSPAQSLSSGSGSGGGGGHQEAELSPDGIATGGGKGKRKFPEEAAEGGGGAGLVGGRGRFVERPLPASKEDLVCTPQQYRASGSYFGLEENGRLFAPPSPETGEAKRSAFVEVKKAARAASLQEEGTADGAGVASEDQDAGGGGGSSTPAAASPVGAEKLLAPRPGGPLPSRLEGGSPARGSAFTSVPQLGSAGSTSGGGGTGAGAAGGAGGGQGAASDERKSAFSQPARSFSQLSPLVLGQKLGALEPCHPADGVGPTRLYPAAADPLAVKLQGAADLNGGCGSLPSGGGGLPKQSPFLYATAFWPKSSAAAAAAAAAAAAGPLQLQLPSALTLLPPSFTSLCLPAQNWCAKCNASFRMTSDLVYHMRSHHKKEYAMEPLVKRRREEKLKCPICNESFRERHHLSRHMTSHN
+>DECOY_sp|Q9NQV8|PRDM8_HUMAN PR domain zinc finger protein 8 OS=Homo sapiens OX=9606 GN=PRDM8 PE=1 SV=3
+NHSTMHRSLHHRERFSENCIPCKLKEERRRKVLPEMAYEKKHHSRMHYVLDSTMRFSANCKACWNQAPLCLSTFSPPLLTLASPLQLQLPGAAAAAAAAAAAASSKPWFATAYLFPSQKPLGGGGSPLSGCGGNLDAAGQLKVALPDAAAPYLRTPGVGDAPHCPELAGLKQGLVLPSLQSFSRAPQSFASKREDSAAGQGGGAGGAAGAGTGGGGSTSGASGLQPVSTFASGRAPSGGELRSPLPGGPRPALLKEAGVPSAAAPTSSGGGGGADQDESAVGAGDATGEEQLSAARAAKKVEVFASRKAEGTEPSPPAFLRGNEELGFYSGSARYQQPTCVLDEKSAPLPREVFRGRGGVLGAGGGGEAAEEPFKRKGKGGGTAIGDPSLEAEQHGGGGGSGSGSSLSQAPSCSSGGRSNELERALNHFDTSPKASSGGAAASPNSSEPSPSPYHHLPGAKCFKPGPGLPAEQQQQQQDKGGGGGGGHDKTGVGGGQEDQPSIDASHLRKPCRFRLHAVYPFEFQFRQSCELCTYPSSGNMKNHSRSPCLLLLETLEKGYWVLLEEDKAIRRLSRYFLQGNKIYAELNQEEKDRASQVLRLWMLGESSGNAASTDVRFIYPVTRKDTSKLAIFAISDYLSTHSLVCPGFIANEPIDCTTYVSTFIDTLCQQVAKADGDWIGRQIGTDEM
+>sp|Q06830|PRDX1_HUMAN Peroxiredoxin-1 OS=Homo sapiens OX=9606 GN=PRDX1 PE=1 SV=1
+MSSGNAKIGHPAPNFKATAVMPDGQFKDISLSDYKGKYVVFFFYPLDFTFVCPTEIIAFSDRAEEFKKLNCQVIGASVDSHFCHLAWVNTPKKQGGLGPMNIPLVSDPKRTIAQDYGVLKADEGISFRGLFIIDDKGILRQITVNDLPVGRSVDETLRLVQAFQFTDKHGEVCPAGWKPGSDTIKPDVQKSKEYFSKQK
+>DECOY_sp|Q06830|PRDX1_HUMAN Peroxiredoxin-1 OS=Homo sapiens OX=9606 GN=PRDX1 PE=1 SV=1
+KQKSFYEKSKQVDPKITDSGPKWGAPCVEGHKDTFQFAQVLRLTEDVSRGVPLDNVTIQRLIGKDDIIFLGRFSIGEDAKLVGYDQAITRKPDSVLPINMPGLGGQKKPTNVWALHCFHSDVSAGIVQCNLKKFEEARDSFAIIETPCVFTFDLPYFFFVVYKGKYDSLSIDKFQGDPMVATAKFNPAPHGIKANGSSM
+>sp|P30048|PRDX3_HUMAN Thioredoxin-dependent peroxide reductase, mitochondrial OS=Homo sapiens OX=9606 GN=PRDX3 PE=1 SV=3
+MAAAVGRLLRASVARHVSAIPWGISATAALRPAACGRTSLTNLLCSGSSQAKLFSTSSSCHAPAVTQHAPYFKGTAVVNGEFKDLSLDDFKGKYLVLFFYPLDFTFVCPTEIVAFSDKANEFHDVNCEVVAVSVDSHFSHLAWINTPRKNGGLGHMNIALLSDLTKQISRDYGVLLEGSGLALRGLFIIDPNGVIKHLSVNDLPVGRSVEETLRLVKAFQYVETHGEVCPANWTPDSPTIKPSPAASKEYFQKVNQ
+>DECOY_sp|P30048|PRDX3_HUMAN Thioredoxin-dependent peroxide reductase, mitochondrial OS=Homo sapiens OX=9606 GN=PRDX3 PE=1 SV=3
+QNVKQFYEKSAAPSPKITPSDPTWNAPCVEGHTEVYQFAKVLRLTEEVSRGVPLDNVSLHKIVGNPDIIFLGRLALGSGELLVGYDRSIQKTLDSLLAINMHGLGGNKRPTNIWALHSFHSDVSVAVVECNVDHFENAKDSFAVIETPCVFTFDLPYFFLVLYKGKFDDLSLDKFEGNVVATGKFYPAHQTVAPAHCSSSTSFLKAQSSGSCLLNTLSTRGCAAPRLAATASIGWPIASVHRAVSARLLRGVAAAM
+>sp|Q5JRX3|PREP_HUMAN Presequence protease, mitochondrial OS=Homo sapiens OX=9606 GN=PITRM1 PE=1 SV=3
+MWRCGGRQGLCVLRRLSGGHAHHRAWRWNSNRACERALQYKLGDKIHGFTVNQVTSVPELFLTAVKLTHDDTGARYLHLAREDTNNLFSVQFRTTPMDSTGVPHILEHTVLCGSQKYPCRDPFFKMLNRSLSTFMNAFTASDYTLYPFSTQNPKDFQNLLSVYLDATFFPCLRELDFWQEGWRLEHENPSDPQTPLVFKGVVFNEMKGAFTDNERIFSQHLQNRLLPDHTYSVVSGGDPLCIPELTWEQLKQFHATHYHPSNARFFTYGNFPLEQHLKQIHEEALSKFQKIEPSTVVPAQTPWDKPREFQITCGPDSFATDPSKQTTISVSFLLPDITDTFEAFTLSLLSSLLTSGPNSPFYKALIESGLGTDFSPDVGYNGYTREAYFSVGLQGIAEKDIETVRSLIDRTIDEVVEKGFEDDRIEALLHKIEIQMKHQSTSFGLMLTSYIASCWNHDGDPVELLKLGNQLAKFRQCLQENPKFLQEKVKQYFKNNQHKLTLSMRPDDKYHEKQAQVEATKLKQKVEALSPGDRQQIYEKGLELRSQQSKPQDASCLPALKVSDIEPTIPVTELDVVLTAGDIPVQYCAQPTNGMVYFRAFSSLNTLPEELRPYVPLFCSVLTKLGCGLLDYREQAQQIELKTGGMSASPHVLPDDSHMDTYEQGVLFSSLCLDRNLPDMMQLWSEIFNNPCFEEEEHFKVLVKMTAQELANGIPDSGHLYASIRAGRTLTPAGDLQETFSGMDQVRLMKRIAEMTDIKPILRKLPRIKKHLLNGDNMRCSVNATPQQMPQTEKAVEDFLRSIGRSKKERRPVRPHTVEKPVPSSSGGDAHVPHGSQVIRKLVMEPTFKPWQMKTHFLMPFPVNYVGECIRTVPYTDPDHASLKILARLMTAKFLHTEIREKGGAYGGGAKLSHNGIFTLYSYRDPNTIETLQSFGKAVDWAKSGKFTQQDIDEAKLSVFSTVDAPVAPSDKGMDHFLYGLSDEMKQAHREQLFAVSHDKLLAVSDRYLGTGKSTHGLAILGPENPKIAKDPSWIIQ
+>DECOY_sp|Q5JRX3|PREP_HUMAN Presequence protease, mitochondrial OS=Homo sapiens OX=9606 GN=PITRM1 PE=1 SV=3
+QIIWSPDKAIKPNEPGLIALGHTSKGTGLYRDSVALLKDHSVAFLQERHAQKMEDSLGYLFHDMGKDSPAVPADVTSFVSLKAEDIDQQTFKGSKAWDVAKGFSQLTEITNPDRYSYLTFIGNHSLKAGGGYAGGKERIETHLFKATMLRALIKLSAHDPDTYPVTRICEGVYNVPFPMLFHTKMQWPKFTPEMVLKRIVQSGHPVHADGGSSSPVPKEVTHPRVPRREKKSRGISRLFDEVAKETQPMQQPTANVSCRMNDGNLLHKKIRPLKRLIPKIDTMEAIRKMLRVQDMGSFTEQLDGAPTLTRGARISAYLHGSDPIGNALEQATMKVLVKFHEEEEFCPNNFIESWLQMMDPLNRDLCLSSFLVGQEYTDMHSDDPLVHPSASMGGTKLEIQQAQERYDLLGCGLKTLVSCFLPVYPRLEEPLTNLSSFARFYVMGNTPQACYQVPIDGATLVVDLETVPITPEIDSVKLAPLCSADQPKSQQSRLELGKEYIQQRDGPSLAEVKQKLKTAEVQAQKEHYKDDPRMSLTLKHQNNKFYQKVKEQLFKPNEQLCQRFKALQNGLKLLEVPDGDHNWCSAIYSTLMLGFSTSQHKMQIEIKHLLAEIRDDEFGKEVVEDITRDILSRVTEIDKEAIGQLGVSFYAERTYGNYGVDPSFDTGLGSEILAKYFPSNPGSTLLSSLLSLTFAEFTDTIDPLLFSVSITTQKSPDTAFSDPGCTIQFERPKDWPTQAPVVTSPEIKQFKSLAEEHIQKLHQELPFNGYTFFRANSPHYHTAHFQKLQEWTLEPICLPDGGSVVSYTHDPLLRNQLHQSFIRENDTFAGKMENFVVGKFVLPTQPDSPNEHELRWGEQWFDLERLCPFFTADLYVSLLNQFDKPNQTSFPYLTYDSATFANMFTSLSRNLMKFFPDRCPYKQSGCLVTHELIHPVGTSDMPTTRFQVSFLNNTDERALHLYRAGTDDHTLKVATLFLEPVSTVQNVTFGHIKDGLKYQLARECARNSNWRWARHHAHGGSLRRLVCLGQRGGCRWM
+>sp|Q8TCU6|PREX1_HUMAN Phosphatidylinositol 3,4,5-trisphosphate-dependent Rac exchanger 1 protein OS=Homo sapiens OX=9606 GN=PREX1 PE=1 SV=3
+MEAPSGSEPGGDGAGDCAHPDPRAPGAAAPSSGPGPCAAARESERQLRLRLCVLNEILGTERDYVGTLRFLQSAFLHRIRQNVADSVEKGLTEENVKVLFSNIEDILEVHKDFLAALEYCLHPEPQSQHELGNVFLKFKDKFCVYEEYCSNHEKALRLLVELNKIPTVRAFLLSCMLLGGRKTTDIPLEGYLLSPIQRICKYPLLLKELAKRTPGKHPDHPAVQSALQAMKTVCSNINETKRQMEKLEALEQLQSHIEGWEGSNLTDICTQLLLQGTLLKISAGNIQERAFFLFDNLLVYCKRKSRVTGSKKSTKRTKSINGSLYIFRGRINTEVMEVENVEDGTADYHSNGYTVTNGWKIHNTAKNKWFVCMAKTAEEKQKWLDAIIREREQRESLKLGMERDAYVMIAEKGEKLYHMMMNKKVNLIKDRRRKLSTVPKCFLGNEFVAWLLEIGEISKTEEGVNLGQALLENGIIHHVSDKHQFKNEQVMYRFRYDDGTYKARSELEDIMSKGVRLYCRLHSLYTPVIKDRDYHLKTYKSVLPGSKLVDWLLAQGDCQTREEAVALGVGLCNNGFMHHVLEKSEFRDESQYFRFHADEEMEGTSSKNKQLRNDFKLVENILAKRLLILPQEEDYGFDIEEKNKAVVVKSVQRGSLAEVAGLQVGRKIYSINEDLVFLRPFSEVESILNQSFCSRRPLRLLVATKAKEIIKIPDQPDTLCFQIRGAAPPYVYAVGRGSEAMAAGLCAGQCILKVNGSNVMNDGAPEVLEHFQAFRSRREEALGLYQWIYHTHEDAQEARASQEASTEDPSGEQAQEEDQADSAFPLLSLGPRLSLCEDSPMVTLTVDNVHLEHGVVYEYVSTAGVRCHVLEKIVEPRGCFGLTAKILEAFAANDSVFVENCRRLMALSSAIVTMPHFEFRNICDTKLESIGQRIACYQEFAAQLKSRVSPPFKQAPLEPHPLCGLDFCPTNCHINLMEVSYPKTTPSVGRSFSIRFGRKPSLIGLDPEQGHLNPMSYTQHCITTMAAPSWKCLPAAEGDPQGQGLHDGSFGPASGTLGQEDRGLSFLLKQEDREIQDAYLQLFTKLDVALKEMKQYVTQINRLLSTITEPTSGGSCDASLAEEASSLPLVSEESEMDRSDHGGIKKVCFKVAEEDQEDSGHDTMSYRDSYSECNSNRDSVLSYTSVRSNSSYLGSDEMGSGDELPCDMRIPSDKQDKLHGCLEHLFNQVDSINALLKGPVMSRAFEETKHFPMNHSLQEFKQKEECTIRGRSLIQISIQEDPWNLPNSIKTLVDNIQRYVEDGKNQLLLALLKCTDTELQLRRDAIFCQALVAAVCTFSKQLLAALGYRYNNNGEYEESSRDASRKWLEQVAATGVLLHCQSLLSPATVKEERTMLEDIWVTLSELDNVTFSFKQLDENYVANTNVFYHIEGSRQALKVIFYLDSYHFSKLPSRLEGGASLRLHTALFTKVLENVEGLPSPGSQAAEDLQQDINAQSLEKVQQYYRKLRAFYLERSNLPTDASTTAVKIDQLIRPINALDELCRLMKSFVHPKPGAAGSVGAGLIPISSELCYRLGACQMVMCGTGMQRSTLSVSLEQAAILARSHGLLPKCIMQATDIMRKQGPRVEILAKNLRVKDQMPQGAPRLYRLCQPPVDGDL
+>DECOY_sp|Q8TCU6|PREX1_HUMAN Phosphatidylinositol 3,4,5-trisphosphate-dependent Rac exchanger 1 protein OS=Homo sapiens OX=9606 GN=PREX1 PE=1 SV=3
+LDGDVPPQCLRYLRPAGQPMQDKVRLNKALIEVRPGQKRMIDTAQMICKPLLGHSRALIAAQELSVSLTSRQMGTGCMVMQCAGLRYCLESSIPILGAGVSGAAGPKPHVFSKMLRCLEDLANIPRILQDIKVATTSADTPLNSRELYFARLKRYYQQVKELSQANIDQQLDEAAQSGPSPLGEVNELVKTFLATHLRLSAGGELRSPLKSFHYSDLYFIVKLAQRSGEIHYFVNTNAVYNEDLQKFSFTVNDLESLTVWIDELMTREEKVTAPSLLSQCHLLVGTAAVQELWKRSADRSSEEYEGNNNYRYGLAALLQKSFTCVAAVLAQCFIADRRLQLETDTCKLLALLLQNKGDEVYRQINDVLTKISNPLNWPDEQISIQILSRGRITCEEKQKFEQLSHNMPFHKTEEFARSMVPGKLLANISDVQNFLHELCGHLKDQKDSPIRMDCPLEDGSGMEDSGLYSSNSRVSTYSLVSDRNSNCESYSDRYSMTDHGSDEQDEEAVKFCVKKIGGHDSRDMESEESVLPLSSAEEALSADCSGGSTPETITSLLRNIQTVYQKMEKLAVDLKTFLQLYADQIERDEQKLLFSLGRDEQGLTGSAPGFSGDHLGQGQPDGEAAPLCKWSPAAMTTICHQTYSMPNLHGQEPDLGILSPKRGFRISFSRGVSPTTKPYSVEMLNIHCNTPCFDLGCLPHPELPAQKFPPSVRSKLQAAFEQYCAIRQGISELKTDCINRFEFHPMTVIASSLAMLRRCNEVFVSDNAAFAELIKATLGFCGRPEVIKELVHCRVGATSVYEYVVGHELHVNDVTLTVMPSDECLSLRPGLSLLPFASDAQDEEQAQEGSPDETSAEQSARAEQADEHTHYIWQYLGLAEERRSRFAQFHELVEPAGDNMVNSGNVKLICQGACLGAAMAESGRGVAYVYPPAAGRIQFCLTDPQDPIKIIEKAKTAVLLRLPRRSCFSQNLISEVESFPRLFVLDENISYIKRGVQLGAVEALSGRQVSKVVVAKNKEEIDFGYDEEQPLILLRKALINEVLKFDNRLQKNKSSTGEMEEDAHFRFYQSEDRFESKELVHHMFGNNCLGVGLAVAEERTQCDGQALLWDVLKSGPLVSKYTKLHYDRDKIVPTYLSHLRCYLRVGKSMIDELESRAKYTGDDYRFRYMVQENKFQHKDSVHHIIGNELLAQGLNVGEETKSIEGIELLWAVFENGLFCKPVTSLKRRRDKILNVKKNMMMHYLKEGKEAIMVYADREMGLKLSERQERERIIADLWKQKEEATKAMCVFWKNKATNHIKWGNTVTYGNSHYDATGDEVNEVEMVETNIRGRFIYLSGNISKTRKTSKKSGTVRSKRKCYVLLNDFLFFAREQINGASIKLLTGQLLLQTCIDTLNSGEWGEIHSQLQELAELKEMQRKTENINSCVTKMAQLASQVAPHDPHKGPTRKALEKLLLPYKCIRQIPSLLYGELPIDTTKRGGLLMCSLLFARVTPIKNLEVLLRLAKEHNSCYEEYVCFKDKFKLFVNGLEHQSQPEPHLCYELAALFDKHVELIDEINSFLVKVNEETLGKEVSDAVNQRIRHLFASQLFRLTGVYDRETGLIENLVCLRLRLQRESERAAACPGPGSSPAAAGPARPDPHACDGAGDGGPESGSPAEM
+>sp|P13727|PRG2_HUMAN Bone marrow proteoglycan OS=Homo sapiens OX=9606 GN=PRG2 PE=1 SV=2
+MKLPLLLALLFGAVSALHLRSETSTFETPLGAKTLPEDEETPEQEMEETPCRELEEEEEWGSGSEDASKKDGAVESISVPDMVDKNLTCPEEEDTVKVVGIPGCQTCRYLLVRSLQTFSQAWFTCRRCYRGNLVSIHNFNINYRIQCSVSALNQGQVWIGGRITGSGRCRRFQWVDGSRWNFAYWAAHQPWSRGGHCVALCTRGGHWRRAHCLRRLPFICSY
+>DECOY_sp|P13727|PRG2_HUMAN Bone marrow proteoglycan OS=Homo sapiens OX=9606 GN=PRG2 PE=1 SV=2
+YSCIFPLRRLCHARRWHGGRTCLAVCHGGRSWPQHAAWYAFNWRSGDVWQFRRCRGSGTIRGGIWVQGQNLASVSCQIRYNINFNHISVLNGRYCRRCTFWAQSFTQLSRVLLYRCTQCGPIGVVKVTDEEEPCTLNKDVMDPVSISEVAGDKKSADESGSGWEEEEELERCPTEEMEQEPTEEDEPLTKAGLPTEFTSTESRLHLASVAGFLLALLLPLKM
+>sp|Q7Z3G6|PRIC2_HUMAN Prickle-like protein 2 OS=Homo sapiens OX=9606 GN=PRICKLE2 PE=1 SV=2
+MVTVMPLEMEKTISKLMFDFQRNSTSDDDSGCALEEYAWVPPGLKPEQVHQYYSCLPEEKVPYVNSPGEKLRIKQLLHQLPPHDNEVRYCNSLDEEEKRELKLFSSQRKRENLGRGNVRPFPVTMTGAICEQCGGQINGGDIAVFASRAGHGVCWHPPCFVCTVCNELLVDLIYFYQDGKIYCGRHHAECLKPRCAACDEIIFADECTEAEGRHWHMKHFCCFECETVLGGQRYIMKEGRPYCCHCFESLYAEYCDTCAQHIGIDQGQMTYDGQHWHATETCFCCAHCKKSLLGRPFLPKQGQIFCSRACSAGEDPNGSDSSDSAFQNARAKESRRSAKIGKNKGKTEEPMLNQHSQLQVSSNRLSADVDPLSLQMDMLSLSSQTPSLNRDPIWRSREEPYHYGNKMEQNQTQSPLQLLSQCNIRTSYSPGGQGAGAQPEMWGKHFSNPKRSSSLAMTGHAGSFIKECREDYYPGRLRSQESYSDMSSQSFSETRGSIQVPKYEEEEEEEGGLSTQQCRTRHPISSLKYTEDMTPTEQTPRGSMESLALSNATGLSADGGAKRQEHLSRFSMPDLSKDSGMNVSEKLSNMGTLNSSMQFRSAESVRSLLSAQQYQEMEGNLHQLSNPIGYRDLQSHGRMHQSFDFDGGMAGSKLPGQEGVRIQPMSERTRRRATSRDDNRRFRPHRSRRSRRSRSDNALHLASEREAISRLKDRPPLRAREDYDQFMRQRSFQESMGHGSRRDLYGQCPRTVSDLALQNAFGDRWGPYFAEYDWCSTCSSSSESDNEGYFLGEPIPQPARLRYVTSDELLHKYSSYGLPKSSTLGGRGQLHSRKRQKSKNCIIS
+>DECOY_sp|Q7Z3G6|PRIC2_HUMAN Prickle-like protein 2 OS=Homo sapiens OX=9606 GN=PRICKLE2 PE=1 SV=2
+SIICNKSKQRKRSHLQGRGGLTSSKPLGYSSYKHLLEDSTVYRLRAPQPIPEGLFYGENDSESSSSCTSCWDYEAFYPGWRDGFANQLALDSVTRPCQGYLDRRSGHGMSEQFSRQRMFQDYDERARLPPRDKLRSIAERESALHLANDSRSRRSRRSRHPRFRRNDDRSTARRRTRESMPQIRVGEQGPLKSGAMGGDFDFSQHMRGHSQLDRYGIPNSLQHLNGEMEQYQQASLLSRVSEASRFQMSSNLTGMNSLKESVNMGSDKSLDPMSFRSLHEQRKAGGDASLGTANSLALSEMSGRPTQETPTMDETYKLSSIPHRTRCQQTSLGGEEEEEEEYKPVQISGRTESFSQSSMDSYSEQSRLRGPYYDERCEKIFSGAHGTMALSSSRKPNSFHKGWMEPQAGAGQGGPSYSTRINCQSLLQLPSQTQNQEMKNGYHYPEERSRWIPDRNLSPTQSSLSLMDMQLSLPDVDASLRNSSVQLQSHQNLMPEETKGKNKGIKASRRSEKARANQFASDSSDSGNPDEGASCARSCFIQGQKPLFPRGLLSKKCHACCFCTETAHWHQGDYTMQGQDIGIHQACTDCYEAYLSEFCHCCYPRGEKMIYRQGGLVTECEFCCFHKMHWHRGEAETCEDAFIIEDCAACRPKLCEAHHRGCYIKGDQYFYILDVLLENCVTCVFCPPHWCVGHGARSAFVAIDGGNIQGGCQECIAGTMTVPFPRVNGRGLNERKRQSSFLKLERKEEEDLSNCYRVENDHPPLQHLLQKIRLKEGPSNVYPVKEEPLCSYYQHVQEPKLGPPVWAYEELACGSDDDSTSNRQFDFMLKSITKEMELPMVTVM
+>sp|P51817|PRKX_HUMAN cAMP-dependent protein kinase catalytic subunit PRKX OS=Homo sapiens OX=9606 GN=PRKX PE=1 SV=1
+MEAPGLAQAAAAESDSRKVAEETPDGAPALCPSPEALSPEPPVYSLQDFDTLATVGTGTFGRVHLVKEKTAKHFFALKVMSIPDVIRLKQEQHVHNEKSVLKEVSHPFLIRLFWTWHDERFLYMLMEYVPGGELFSYLRNRGRFSSTTGLFYSAEIICAIEYLHSKEIVYRDLKPENILLDRDGHIKLTDFGFAKKLVDRTWTLCGTPEYLAPEVIQSKGHGRAVDWWALGILIFEMLSGFPPFFDDNPFGIYQKILAGKIDFPRHLDFHVKDLIKKLLVVDRTRRLGNMKNGANDVKHHRWFRSVDWEAVPQRKLKPPIVPKIAGDGDTSNFETYPENDWDTAAPVPQKDLEIFKNF
+>DECOY_sp|P51817|PRKX_HUMAN cAMP-dependent protein kinase catalytic subunit PRKX OS=Homo sapiens OX=9606 GN=PRKX PE=1 SV=1
+FNKFIELDKQPVPAATDWDNEPYTEFNSTDGDGAIKPVIPPKLKRQPVAEWDVSRFWRHHKVDNAGNKMNGLRRTRDVVLLKKILDKVHFDLHRPFDIKGALIKQYIGFPNDDFFPPFGSLMEFILIGLAWWDVARGHGKSQIVEPALYEPTGCLTWTRDVLKKAFGFDTLKIHGDRDLLINEPKLDRYVIEKSHLYEIACIIEASYFLGTTSSFRGRNRLYSFLEGGPVYEMLMYLFREDHWTWFLRILFPHSVEKLVSKENHVHQEQKLRIVDPISMVKLAFFHKATKEKVLHVRGFTGTGVTALTDFDQLSYVPPEPSLAEPSPCLAPAGDPTEEAVKRSDSEAAAAQALGPAEM
+>sp|Q8NHR9|PROF4_HUMAN Profilin-4 OS=Homo sapiens OX=9606 GN=PFN4 PE=1 SV=1
+MSHLQSLLLDTLLGTKHVDSAALIKIQERSLCVASPGFNVTPSDVRTLVNGFAKNPLQARREGLYFKGKDYRCVRADEYSLYAKNENTGVVVVKTHLYLLVATYTEGMYPSICVEATESLGDYLRKKGS
+>DECOY_sp|Q8NHR9|PROF4_HUMAN Profilin-4 OS=Homo sapiens OX=9606 GN=PFN4 PE=1 SV=1
+SGKKRLYDGLSETAEVCISPYMGETYTAVLLYLHTKVVVVGTNENKAYLSYEDARVCRYDKGKFYLGERRAQLPNKAFGNVLTRVDSPTVNFGPSAVCLSREQIKILAASDVHKTGLLTDLLLSQLHSM
+>sp|P58294|PROK1_HUMAN Prokineticin-1 OS=Homo sapiens OX=9606 GN=PROK1 PE=1 SV=1
+MRGATRVSIMLLLVTVSDCAVITGACERDVQCGAGTCCAISLWLRGLRMCTPLGREGEECHPGSHKVPFFRKRKHHTCPCLPNLLCSRFPDGRYRCSMDLKNINF
+>DECOY_sp|P58294|PROK1_HUMAN Prokineticin-1 OS=Homo sapiens OX=9606 GN=PROK1 PE=1 SV=1
+FNINKLDMSCRYRGDPFRSCLLNPLCPCTHHKRKRFFPVKHSGPHCEEGERGLPTCMRLGRLWLSIACCTGAGCQVDRECAGTIVACDSVTVLLLMISVRTAGRM
+>sp|O43490|PROM1_HUMAN Prominin-1 OS=Homo sapiens OX=9606 GN=PROM1 PE=1 SV=1
+MALVLGSLLLLGLCGNSFSGGQPSSTDAPKAWNYELPATNYETQDSHKAGPIGILFELVHIFLYVVQPRDFPEDTLRKFLQKAYESKIDYDKPETVILGLKIVYYEAGIILCCVLGLLFIILMPLVGYFFCMCRCCNKCGGEMHQRQKENGPFLRKCFAISLLVICIIISIGIFYGFVANHQVRTRIKRSRKLADSNFKDLRTLLNETPEQIKYILAQYNTTKDKAFTDLNSINSVLGGGILDRLRPNIIPVLDEIKSMATAIKETKEALENMNSTLKSLHQQSTQLSSSLTSVKTSLRSSLNDPLCLVHPSSETCNSIRLSLSQLNSNPELRQLPPVDAELDNVNNVLRTDLDGLVQQGYQSLNDIPDRVQRQTTTVVAGIKRVLNSIGSDIDNVTQRLPIQDILSAFSVYVNNTESYIHRNLPTLEEYDSYWWLGGLVICSLLTLIVIFYYLGLLCGVCGYDRHATPTTRGCVSNTGGVFLMVGVGLSFLFCWILMIIVVLTFVFGANVEKLICEPYTSKELFRVLDTPYLLNEDWEYYLSGKLFNKSKMKLTFEQVYSDCKKNRGTYGTLHLQNSFNISEHLNINEHTGSISSELESLKVNLNIFLLGAAGRKNLQDFAACGIDRMNYDSYLAQTGKSPAGVNLLSFAYDLEAKANSLPPGNLRNSLKRDAQTIKTIHQQRVLPIEQSLSTLYQSVKILQRTGNGLLERVTRILASLDFAQNFITNNTSSVIIEETKKYGRTIIGYFEHYLQWIEFSISEKVASCKPVATALDTAVDVFLCSYIIDPLNLFWFGIGKATVFLLPALIFAVKLAKYYRRMDSEDVYDDVETIPMKNMENGNNGYHKDHVYGIHNPVMTSPSQH
+>DECOY_sp|O43490|PROM1_HUMAN Prominin-1 OS=Homo sapiens OX=9606 GN=PROM1 PE=1 SV=1
+HQSPSTMVPNHIGYVHDKHYGNNGNEMNKMPITEVDDYVDESDMRRYYKALKVAFILAPLLFVTAKGIGFWFLNLPDIIYSCLFVDVATDLATAVPKCSAVKESISFEIWQLYHEFYGIITRGYKKTEEIIVSSTNNTIFNQAFDLSALIRTVRELLGNGTRQLIKVSQYLTSLSQEIPLVRQQHITKITQADRKLSNRLNGPPLSNAKAELDYAFSLLNVGAPSKGTQALYSDYNMRDIGCAAFDQLNKRGAAGLLFINLNVKLSELESSISGTHENINLHESINFSNQLHLTGYTGRNKKCDSYVQEFTLKMKSKNFLKGSLYYEWDENLLYPTDLVRFLEKSTYPECILKEVNAGFVFTLVVIIMLIWCFLFSLGVGVMLFVGGTNSVCGRTTPTAHRDYGCVGCLLGLYYFIVILTLLSCIVLGGLWWYSDYEELTPLNRHIYSETNNVYVSFASLIDQIPLRQTVNDIDSGISNLVRKIGAVVTTTQRQVRDPIDNLSQYGQQVLGDLDTRLVNNVNDLEADVPPLQRLEPNSNLQSLSLRISNCTESSPHVLCLPDNLSSRLSTKVSTLSSSLQTSQQHLSKLTSNMNELAEKTEKIATAMSKIEDLVPIINPRLRDLIGGGLVSNISNLDTFAKDKTTNYQALIYKIQEPTENLLTRLDKFNSDALKRSRKIRTRVQHNAVFGYFIGISIIICIVLLSIAFCKRLFPGNEKQRQHMEGGCKNCCRCMCFFYGVLPMLIIFLLGLVCCLIIGAEYYVIKLGLIVTEPKDYDIKSEYAKQLFKRLTDEPFDRPQVVYLFIHVLEFLIGIPGAKHSDQTEYNTAPLEYNWAKPADTSSPQGGSFSNGCLGLLLLSGLVLAM
+>sp|Q3B8N5|PROX2_HUMAN Prospero homeobox protein 2 OS=Homo sapiens OX=9606 GN=PROX2 PE=2 SV=3
+MDPNSILLSPQPQICSHLAEACTEGERSSSPPELDRDSPFPWSQVPSSSPTDPEWFGDEHIQAKRARVETIVRGMCLSPNPLVPGNAQAGVSPRCPKKARERKRKQNLPTPQGLLMPAPAWDQGNRKGGPRVREQLHLLKQQLRHLQEHILQAAKPRDTAQGPGGCGTGKGPLSAKQGNGCGPRPWVVDGDHQQGTSKDLSGAEKHQESEKPSFLPSGAPASLEILRKELTRAVSQAVDSVLQKVLLDPPGHLTQLGRSFQGQVAEGRSEPSPPVGGACKDPLALAALPRRVQLQAGVPVGNLSLAKRLDSPRYPIPPRMTPKPCQDPPANFPLTAPSHIQENQILSQLLGHRYNNGHWSSSPPQDSSSQRHPSSEPALRPWRTTKPQPLVLSQQQCPLPFTSAHLESLPLLPSVKMEQRGLHAVMEALPFSLLHIQEGLNPGHLKKAKLMFFFTRYPSSNLLKVYFPDVQFNRCITSQMIKWFSNFREFYYIQMEKSARQAISDGVTNPKMLVVLRNSELFQALNMHYNKGNDFEVPDCFLEIASLTLQEFFRAVSAGRDSDPSWKKPIYKIISKLDSDIPEIFKSSSYPQ
+>DECOY_sp|Q3B8N5|PROX2_HUMAN Prospero homeobox protein 2 OS=Homo sapiens OX=9606 GN=PROX2 PE=2 SV=3
+QPYSSSKFIEPIDSDLKSIIKYIPKKWSPDSDRGASVARFFEQLTLSAIELFCDPVEFDNGKNYHMNLAQFLESNRLVVLMKPNTVGDSIAQRASKEMQIYYFERFNSFWKIMQSTICRNFQVDPFYVKLLNSSPYRTFFFMLKAKKLHGPNLGEQIHLLSFPLAEMVAHLGRQEMKVSPLLPLSELHASTFPLPCQQQSLVLPQPKTTRWPRLAPESSPHRQSSSDQPPSSSWHGNNYRHGLLQSLIQNEQIHSPATLPFNAPPDQCPKPTMRPPIPYRPSDLRKALSLNGVPVGAQLQVRRPLAALALPDKCAGGVPPSPESRGEAVQGQFSRGLQTLHGPPDLLVKQLVSDVAQSVARTLEKRLIELSAPAGSPLFSPKESEQHKEAGSLDKSTGQQHDGDVVWPRPGCGNGQKASLPGKGTGCGGPGQATDRPKAAQLIHEQLHRLQQKLLHLQERVRPGGKRNGQDWAPAPMLLGQPTPLNQKRKRERAKKPCRPSVGAQANGPVLPNPSLCMGRVITEVRARKAQIHEDGFWEPDTPSSSPVQSWPFPSDRDLEPPSSSREGETCAEALHSCIQPQPSLLISNPDM
+>sp|Q99633|PRP18_HUMAN Pre-mRNA-splicing factor 18 OS=Homo sapiens OX=9606 GN=PRPF18 PE=1 SV=1
+MDILKSEILRKRQLVEDRNLLVENKKYFKRSELAKKEEEAYFERCGYKIQPKEEDQKPLTSSNPVLELELAEEKLPMTLSRQEVIRRLRERGEPIRLFGETDYDAFQRLRKIEILTPEVNKGLRNDLKAALDKIDQQYLNEIVGGQEPGEEDTQNDLKVHEENTTIEELEALGESLGKGDDHKDMDIITKFLKFLLGVWAKELNAREDYVKRSVQGKLNSATQKQTESYLRPLFRKLRKRNLPADIKESITDIIKFMLQREYVKANDAYLQMAIGNAPWPIGVTMVGIHARTGREKIFSKHVAHVLNDETQRKYIQGLKRLMTICQKHFPTDPSKCVEYNAL
+>DECOY_sp|Q99633|PRP18_HUMAN Pre-mRNA-splicing factor 18 OS=Homo sapiens OX=9606 GN=PRPF18 PE=1 SV=1
+LANYEVCKSPDTPFHKQCITMLRKLGQIYKRQTEDNLVHAVHKSFIKERGTRAHIGVMTVGIPWPANGIAMQLYADNAKVYERQLMFKIIDTISEKIDAPLNRKRLKRFLPRLYSETQKQTASNLKGQVSRKVYDERANLEKAWVGLLFKLFKTIIDMDKHDDGKGLSEGLAELEEITTNEEHVKLDNQTDEEGPEQGGVIENLYQQDIKDLAAKLDNRLGKNVEPTLIEIKRLRQFADYDTEGFLRIPEGRERLRRIVEQRSLTMPLKEEALELELVPNSSTLPKQDEEKPQIKYGCREFYAEEEKKALESRKFYKKNEVLLNRDEVLQRKRLIESKLIDM
+>sp|P04280|PRP1_HUMAN Basic salivary proline-rich protein 1 OS=Homo sapiens OX=9606 GN=PRB1 PE=1 SV=3
+MLLILLSVALLALSSAQNLNEDVSQEESPSLIAGNPQGPSPQGGNKPQGPPPPPGKPQGPPPQGGNKPQGPPPPGKPQGPPPQGDKSRSPRSPPGKPQGPPPQGGNQPQGPPPPPGKPQGPPPQGGNKPQGPPPPGKPQGPPPQGDKSQSPRSPPGKPQGPPPQGGNQPQGPPPPPGKPQGPPPQGGNKPQGPPPPGKPQGPPPQGDKSQSPRSPPGKPQGPPPQGGNQPQGPPPPPGKPQGPPQQGGNRPQGPPPPGKPQGPPPQGDKSRSPQSPPGKPQGPPPQGGNQPQGPPPPPGKPQGPPPQGGNKPQGPPPPGKPQGPPAQGGSKSQSARSPPGKPQGPPQQEGNNPQGPPPPAGGNPQQPQAPPAGQPQGPPRPPQGGRPSRPPQ
+>DECOY_sp|P04280|PRP1_HUMAN Basic salivary proline-rich protein 1 OS=Homo sapiens OX=9606 GN=PRB1 PE=1 SV=3
+QPPRSPRGGQPPRPPGQPQGAPPAQPQQPNGGAPPPPGQPNNGEQQPPGQPKGPPSRASQSKSGGQAPPGQPKGPPPPGQPKNGGQPPPGQPKGPPPPPGQPQNGGQPPPGQPKGPPSQPSRSKDGQPPPGQPKGPPPPGQPRNGGQQPPGQPKGPPPPPGQPQNGGQPPPGQPKGPPSRPSQSKDGQPPPGQPKGPPPPGQPKNGGQPPPGQPKGPPPPPGQPQNGGQPPPGQPKGPPSRPSQSKDGQPPPGQPKGPPPPGQPKNGGQPPPGQPKGPPPPPGQPQNGGQPPPGQPKGPPSRPSRSKDGQPPPGQPKGPPPPGQPKNGGQPPPGQPKGPPPPPGQPKNGGQPSPGQPNGAILSPSEEQSVDENLNQASSLALLAVSLLILLM
+>sp|Q8WWY3|PRP31_HUMAN U4/U6 small nuclear ribonucleoprotein Prp31 OS=Homo sapiens OX=9606 GN=PRPF31 PE=1 SV=2
+MSLADELLADLEEAAEEEEGGSYGEEEEEPAIEDVQEETQLDLSGDSVKTIAKLWDSKMFAEIMMKIEEYISKQAKASEVMGPVEAAPEYRVIVDANNLTVEIENELNIIHKFIRDKYSKRFPELESLVPNALDYIRTVKELGNSLDKCKNNENLQQILTNATIMVVSVTASTTQGQQLSEEELERLEEACDMALELNASKHRIYEYVESRMSFIAPNLSIIIGASTAAKIMGVAGGLTNLSKMPACNIMLLGAQRKTLSGFSSTSVLPHTGYIYHSDIVQSLPPDLRRKAARLVAAKCTLAARVDSFHESTEGKVGYELKDEIERKFDKWQEPPPVKQVKPLPAPLDGQRKKRGGRRYRKMKERLGLTEIRKQANRMSFGEIEEDAYQEDLGFSLGHLGKSGSGRVRQTQVNEATKARISKTLQRTLQKQSVVYGGKSTIRDRSSGTASSVAFTPLQGLEIVNPQAAEKKVAEANQKYFSSMAEFLKVKGEKSGLMST
+>DECOY_sp|Q8WWY3|PRP31_HUMAN U4/U6 small nuclear ribonucleoprotein Prp31 OS=Homo sapiens OX=9606 GN=PRPF31 PE=1 SV=2
+TSMLGSKEGKVKLFEAMSSFYKQNAEAVKKEAAQPNVIELGQLPTFAVSSATGSSRDRITSKGGYVVSQKQLTRQLTKSIRAKTAENVQTQRVRGSGSKGLHGLSFGLDEQYADEEIEGFSMRNAQKRIETLGLREKMKRYRRGGRKKRQGDLPAPLPKVQKVPPPEQWKDFKREIEDKLEYGVKGETSEHFSDVRAALTCKAAVLRAAKRRLDPPLSQVIDSHYIYGTHPLVSTSSFGSLTKRQAGLLMINCAPMKSLNTLGGAVGMIKAATSAGIIISLNPAIFSMRSEVYEYIRHKSANLELAMDCAEELRELEEESLQQGQTTSATVSVVMITANTLIQQLNENNKCKDLSNGLEKVTRIYDLANPVLSELEPFRKSYKDRIFKHIINLENEIEVTLNNADVIVRYEPAAEVPGMVESAKAQKSIYEEIKMMIEAFMKSDWLKAITKVSDGSLDLQTEEQVDEIAPEEEEEGYSGGEEEEAAEELDALLEDALSM
+>sp|P02810|PRPC_HUMAN Salivary acidic proline-rich phosphoprotein 1/2 OS=Homo sapiens OX=9606 GN=PRH1 PE=1 SV=2
+MLLILLSVALLAFSSAQDLDEDVSQEDVPLVISDGGDSEQFIDEERQGPPLGGQQSQPSAGDGNQDDGPQQGPPQQGGQQQQGPPPPQGKPQGPPQQGGHPPPPQGRPQGPPQQGGHPRPPRGRPQGPPQQGGHQQGPPPPPPGKPQGPPPQGGRPQGPPQGQSPQ
+>DECOY_sp|P02810|PRPC_HUMAN Salivary acidic proline-rich phosphoprotein 1/2 OS=Homo sapiens OX=9606 GN=PRH1 PE=1 SV=2
+QPSQGQPPGQPRGGQPPPGQPKGPPPPPPGQQHGGQQPPGQPRGRPPRPHGGQQPPGQPRGQPPPPHGGQQPPGQPKGQPPPPGQQQQGGQQPPGQQPGDDQNGDGASPQSQQGGLPPGQREEDIFQESDGGDSIVLPVDEQSVDEDLDQASSFALLAVSLLILLM
+>sp|Q5FWE3|PRRT3_HUMAN Proline-rich transmembrane protein 3 OS=Homo sapiens OX=9606 GN=PRRT3 PE=1 SV=3
+MASSPWGCVCGLLLLLLPLLGTGPALGRGFPRPLENSEIPMIPGAHPKGSVGSEPQAFDVFPENPRADSHRNSDVRHAPAEEMPEKPVASPLGPALYGPKAAQGAQRERLPVTDDLQMAQGPSSHGWTGPLDSQELLQQEAVAPHPVGHPHLTFIPTTPRRQLRVATVPPSLQHEGQEGQWPPRDEGLKAKTKSRVPPTSPSDHQGPPHTLVSHSGTVKRPVLEGQGGFEEHLQEAAQGPHFTQQDPAAPDVGSVPPVEVVYSQEPGAQPDLALARSLPPAEELPVETPKRAGAEVSWEVSSPGPPPKQADLPDAKDSPGPQPTDPPASEAPDRPSKPERAAMNGADPISPQRVRGAVEAPGTPKSLIPGPSDPGPAVNRTESPMGALQPDEAEEWPGRPQSHPPAPPVQAPSTSRRGLIRVTTQRALGQPPPPEPTASSMASAPASSPPANATAPPLRWGPLRRVLSFSWELHVYGVGVLFLLPALLALAALAAAPAGPRLALVAAVLVLVASALRSAYMLTDPYGSQARLGVRGGLVLYNLPFPLLLTALAALTLLGLGAGLPPPLQNPLLLGAVALVHGVGLLATDLLSTWSVLNLLTQGLSCAWGAAVALGTLCLCRRRLLDGPRGWDASPGPRLLAVAGALGLLASGLQLAAALWLYPGPGRVGRFSWAWWGVHFWLRLLELTWALALALAAVAAARPRPPTEHACWAKLMRLACPAPSGKSEVPERPNNCYAGPSNVGAGSLDISKSLIRNPAESGQLATPSSGAWGSAASLGRGPQGGPGLSRNGVGPAPSLSELDLRPPSPINLSRSIDAALFREHLVRDSVFQRCGLRGLASPPPGGALRPRRGSHPKAELDDAGSSLLRGRCRSLSDVRVRGPVPQHVVEAPDGAAAAASGSSLDSFSRGSLKISWNPWRHGLSSVDSLPLDELPSTVQLLPAPTPAPDSTAARQGDGQGEVQPRGKPGESRSASSDTIEL
+>DECOY_sp|Q5FWE3|PRRT3_HUMAN Proline-rich transmembrane protein 3 OS=Homo sapiens OX=9606 GN=PRRT3 PE=1 SV=3
+LEITDSSASRSEGPKGRPQVEGQGDGQRAATSDPAPTPAPLLQVTSPLEDLPLSDVSSLGHRWPNWSIKLSGRSFSDLSSGSAAAAAGDPAEVVHQPVPGRVRVDSLSRCRGRLLSSGADDLEAKPHSGRRPRLAGGPPPSALGRLGCRQFVSDRVLHERFLAADISRSLNIPSPPRLDLESLSPAPGVGNRSLGPGGQPGRGLSAASGWAGSSPTALQGSEAPNRILSKSIDLSGAGVNSPGAYCNNPREPVESKGSPAPCALRMLKAWCAHETPPRPRAAAVAALALALAWTLELLRLWFHVGWWAWSFRGVRGPGPYLWLAAALQLGSALLGLAGAVALLRPGPSADWGRPGDLLRRRCLCLTGLAVAAGWACSLGQTLLNLVSWTSLLDTALLGVGHVLAVAGLLLPNQLPPPLGAGLGLLTLAALATLLLPFPLNYLVLGGRVGLRAQSGYPDTLMYASRLASAVLVLVAAVLALRPGAPAAALAALALLAPLLFLVGVGYVHLEWSFSLVRRLPGWRLPPATANAPPSSAPASAMSSATPEPPPPQGLARQTTVRILGRRSTSPAQVPPAPPHSQPRGPWEEAEDPQLAGMPSETRNVAPGPDSPGPILSKPTGPAEVAGRVRQPSIPDAGNMAAREPKSPRDPAESAPPDTPQPGPSDKADPLDAQKPPPGPSSVEWSVEAGARKPTEVPLEEAPPLSRALALDPQAGPEQSYVVEVPPVSGVDPAAPDQQTFHPGQAAEQLHEEFGGQGELVPRKVTGSHSVLTHPPGQHDSPSTPPVRSKTKAKLGEDRPPWQGEQGEHQLSPPVTAVRLQRRPTTPIFTLHPHGVPHPAVAEQQLLEQSDLPGTWGHSSPGQAMQLDDTVPLRERQAGQAAKPGYLAPGLPSAVPKEPMEEAPAHRVDSNRHSDARPNEPFVDFAQPESGVSGKPHAGPIMPIESNELPRPFGRGLAPGTGLLPLLLLLLGCVCGWPSSAM
+>sp|O95084|PRS23_HUMAN Serine protease 23 OS=Homo sapiens OX=9606 GN=PRSS23 PE=1 SV=1
+MAGIPGLLFLLFFLLCAVGQVSPYSAPWKPTWPAYRLPVVLPQSTLNLAKPDFGAEAKLEVSSSCGPQCHKGTPLPTYEEAKQYLSYETLYANGSRTETQVGIYILSSSGDGAQHRDSGSSGKSRRKRQIYGYDSRFSIFGKDFLLNYPFSTSVKLSTGCTGTLVAEKHVLTAAHCIHDGKTYVKGTQKLRVGFLKPKFKDGGRGANDSTSAMPEQMKFQWIRVKRTHVPKGWIKGNANDIGMDYDYALLELKKPHKRKFMKIGVSPPAKQLPGGRIHFSGYDNDRPGNLVYRFCDVKDETYDLLYQQCDAQPGASGSGVYVRMWKRQQQKWERKIIGIFSGHQWVDMNGSPQDFNVAVRITPLKYAQICYWIKGNYLDCREG
+>DECOY_sp|O95084|PRS23_HUMAN Serine protease 23 OS=Homo sapiens OX=9606 GN=PRSS23 PE=1 SV=1
+GERCDLYNGKIWYCIQAYKLPTIRVAVNFDQPSGNMDVWQHGSFIGIIKREWKQQQRKWMRVYVGSGSAGPQADCQQYLLDYTEDKVDCFRYVLNGPRDNDYGSFHIRGGPLQKAPPSVGIKMFKRKHPKKLELLAYDYDMGIDNANGKIWGKPVHTRKVRIWQFKMQEPMASTSDNAGRGGDKFKPKLFGVRLKQTGKVYTKGDHICHAATLVHKEAVLTGTCGTSLKVSTSFPYNLLFDKGFISFRSDYGYIQRKRRSKGSSGSDRHQAGDGSSSLIYIGVQTETRSGNAYLTEYSLYQKAEEYTPLPTGKHCQPGCSSSVELKAEAGFDPKALNLTSQPLVVPLRYAPWTPKWPASYPSVQGVACLLFFLLFLLGPIGAM
+>sp|A6NIE9|PRS29_HUMAN Putative serine protease 29 OS=Homo sapiens OX=9606 GN=PRSS29P PE=5 SV=3
+MPTTPDPGSEPPARTPRPPPLTPGLSPQPALHALSPQLLLLLFLAVSSLGSCSTGSPVPVPENDLVGIVGGHNAPPGKWPWQVSLRVYSYHWASWAHICGGSLIHPQWVLTAAHCIFWKDTDPSIYRIHAGDVYLYGGRGLLNVSRIIVHPNYVTAGLGADVALLQLEPHDLSNVRTVKLSPVSLELTPKDQCWVTGWGAIIRKESLPPPYRLQQASVQVLENAVCEQPYRNASGHTGDRQLILDDMLCAGSEGRDSCYGDSGGPLVCRLRGSWRLVGVVSWGYGCTLRDFPGVYTHVQIYVPWILQQVGELP
+>DECOY_sp|A6NIE9|PRS29_HUMAN Putative serine protease 29 OS=Homo sapiens OX=9606 GN=PRSS29P PE=5 SV=3
+PLEGVQQLIWPVYIQVHTYVGPFDRLTCGYGWSVVGVLRWSGRLRCVLPGGSDGYCSDRGESGACLMDDLILQRDGTHGSANRYPQECVANELVQVSAQQLRYPPPLSEKRIIAGWGTVWCQDKPTLELSVPSLKVTRVNSLDHPELQLLAVDAGLGATVYNPHVIIRSVNLLGRGGYLYVDGAHIRYISPDTDKWFICHAATLVWQPHILSGGCIHAWSAWHYSYVRLSVQWPWKGPPANHGGVIGVLDNEPVPVPSGTSCSGLSSVALFLLLLLQPSLAHLAPQPSLGPTLPPPRPTRAPPESGPDPTTPM
+>sp|Q7Z5A4|PRS42_HUMAN Serine protease 42 OS=Homo sapiens OX=9606 GN=PRSS42 PE=1 SV=1
+MSSGGGSRGLLAWLLLLQPWPGQNWAGMAAPRLPSPLLSEEGGENPEASPAPGPEAGPPLNLFTSFPGDSLLCGRTPLRIVGGVDAEEGRWPWQVSVRTKGRHICGGTLVTATWVLTAGHCISSRFHYSVKMGDRSVYNENTSVVVSVQRAFVHPKFSTVTTIRNDLALLQLQHPVNFTSNIQPICIPQENFQVEGRTRCWVTGWGKTPEREKLASEILQDVDQYIMCYEECNKIIQKALSSTKDVIIKGMVCGYKEQGKDSCQGDSGGRLACEYNDTWVQVGIVSWGIGCGR
+>DECOY_sp|Q7Z5A4|PRS42_HUMAN Serine protease 42 OS=Homo sapiens OX=9606 GN=PRSS42 PE=1 SV=1
+RGCGIGWSVIGVQVWTDNYECALRGGSDGQCSDKGQEKYGCVMGKIIVDKTSSLAKQIIKNCEEYCMIYQDVDQLIESALKEREPTKGWGTVWCRTRGEVQFNEQPICIPQINSTFNVPHQLQLLALDNRITTVTSFKPHVFARQVSVVVSTNENYVSRDGMKVSYHFRSSICHGATLVWTATVLTGGCIHRGKTRVSVQWPWRGEEADVGGVIRLPTRGCLLSDGPFSTFLNLPPGAEPGPAPSAEPNEGGEESLLPSPLRPAAMGAWNQGPWPQLLLLWALLGRSGGGSSM
+>sp|Q2L4Q9|PRS53_HUMAN Serine protease 53 OS=Homo sapiens OX=9606 GN=PRSS53 PE=2 SV=1
+MKWCWGPVLLIAGATVLMEGLQAAQRACGQRGPGPPKPQEGNTVPGEWPWQASVRRQGAHICSGSLVADTWVLTAAHCFEKAAATELNSWSVVLGSLQREGLSPGAEEVGVAALQLPRAYNHYSQGSDLALLQLAHPTTHTPLCLPQPAHRFPFGASCWATGWDQDTSDAPGTLRNLRLRLISRPTCNCIYNQLHQRHLSNPARPGMLCGGPQPGVQGPCQGDSGGPVLCLEPDGHWVQAGIISFASSCAQEDAPVLLTNTAAHSSWLQARVQGAAFLAQSPETPEMSDEDSCVACGSLRTAGPQAGAPSPWPWEARLMHQGQLACGGALVSEEAVLTAAHCFIGRQAPEEWSVGLGTRPEEWGLKQLILHGAYTHPEGGYDMALLLLAQPVTLGASLRPLCLPYPDHHLPDGERGWVLGRARPGAGISSLQTVPVTLLGPRACSRLHAAPGGDGSPILPGMVCTSAVGELPSCEGLSGAPLVHEVRGTWFLAGLHSFGDACQGPARPAVFTALPAYEDWVSSLDWQVYFAEEPEPEAEPGSCLANISQPTSC
+>DECOY_sp|Q2L4Q9|PRS53_HUMAN Serine protease 53 OS=Homo sapiens OX=9606 GN=PRSS53 PE=2 SV=1
+CSTPQSINALCSGPEAEPEPEEAFYVQWDLSSVWDEYAPLATFVAPRAPGQCADGFSHLGALFWTGRVEHVLPAGSLGECSPLEGVASTCVMGPLIPSGDGGPAAHLRSCARPGLLTVPVTQLSSIGAGPRARGLVWGREGDPLHHDPYPLCLPRLSAGLTVPQALLLLAMDYGGEPHTYAGHLILQKLGWEEPRTGLGVSWEEPAQRGIFCHAATLVAEESVLAGGCALQGQHMLRAEWPWPSPAGAQPGATRLSGCAVCSDEDSMEPTEPSQALFAAGQVRAQLWSSHAATNTLLVPADEQACSSAFSIIGAQVWHGDPELCLVPGGSDGQCPGQVGPQPGGCLMGPRAPNSLHRQHLQNYICNCTPRSILRLRLNRLTGPADSTDQDWGTAWCSAGFPFRHAPQPLCLPTHTTPHALQLLALDSGQSYHNYARPLQLAAVGVEEAGPSLGERQLSGLVVSWSNLETAAAKEFCHAATLVWTDAVLSGSCIHAGQRRVSAQWPWEGPVTNGEQPKPPGPGRQGCARQAAQLGEMLVTAGAILLVPGWCWKM
+>sp|Q8IYP2|PRS58_HUMAN Serine protease 58 OS=Homo sapiens OX=9606 GN=PRSS58 PE=2 SV=1
+MKFILLWALLNLTVALAFNPDYTVSSTPPYLVYLKSDYLPCAGVLIHPLWVITAAHCNLPKLRVILGVTIPADSNEKHLQVIGYEKMIHHPHFSVTSIDHDIMLIKLKTEAELNDYVKLANLPYQTISENTMCSVSTWSYNVCDIYKEPDSLQTVNISVISKPQCRDAYKTYNITENMLCVGIVPGRRQPCKEVSAAPAICNGMLQGILSFADGCVLRADVGIYAKIFYYIPWIENVIQNN
+>DECOY_sp|Q8IYP2|PRS58_HUMAN Serine protease 58 OS=Homo sapiens OX=9606 GN=PRSS58 PE=2 SV=1
+NNQIVNEIWPIYYFIKAYIGVDARLVCGDAFSLIGQLMGNCIAPAASVEKCPQRRGPVIGVCLMNETINYTKYADRCQPKSIVSINVTQLSDPEKYIDCVNYSWTSVSCMTNESITQYPLNALKVYDNLEAETKLKILMIDHDISTVSFHPHHIMKEYGIVQLHKENSDAPITVGLIVRLKPLNCHAATIVWLPHILVGACPLYDSKLYVLYPPTSSVTYDPNFALAVTLNLLAWLLIFKM
+>sp|P35998|PRS7_HUMAN 26S proteasome regulatory subunit 7 OS=Homo sapiens OX=9606 GN=PSMC2 PE=1 SV=3
+MPDYLGADQRKTKEDEKDDKPIRALDEGDIALLKTYGQSTYSRQIKQVEDDIQQLLKKINELTGIKESDTGLAPPALWDLAADKQTLQSEQPLQVARCTKIINADSEDPKYIINVKQFAKFVVDLSDQVAPTDIEEGMRVGVDRNKYQIHIPLPPKIDPTVTMMQVEEKPDVTYSDVGGCKEQIEKLREVVETPLLHPERFVNLGIEPPKGVLLFGPPGTGKTLCARAVANRTDACFIRVIGSELVQKYVGEGARMVRELFEMARTKKACLIFFDEIDAIGGARFDDGAGGDNEVQRTMLELINQLDGFDPRGNIKVLMATNRPDTLDPALMRPGRLDRKIEFSLPDLEGRTHIFKIHARSMSVERDIRFELLARLCPNSTGAEIRSVCTEAGMFAIRARRKIATEKDFLEAVNKVIKSYAKFSATPRYMTYN
+>DECOY_sp|P35998|PRS7_HUMAN 26S proteasome regulatory subunit 7 OS=Homo sapiens OX=9606 GN=PSMC2 PE=1 SV=3
+NYTMYRPTASFKAYSKIVKNVAELFDKETAIKRRARIAFMGAETCVSRIEAGTSNPCLRALLEFRIDREVSMSRAHIKFIHTRGELDPLSFEIKRDLRGPRMLAPDLTDPRNTAMLVKINGRPDFGDLQNILELMTRQVENDGGAGDDFRAGGIADIEDFFILCAKKTRAMEFLERVMRAGEGVYKQVLESGIVRIFCADTRNAVARACLTKGTGPPGFLLVGKPPEIGLNVFREPHLLPTEVVERLKEIQEKCGGVDSYTVDPKEEVQMMTVTPDIKPPLPIHIQYKNRDVGVRMGEEIDTPAVQDSLDVVFKAFQKVNIIYKPDESDANIIKTCRAVQLPQESQLTQKDAALDWLAPPALGTDSEKIGTLENIKKLLQQIDDEVQKIQRSYTSQGYTKLLAIDGEDLARIPKDDKEDEKTKRQDAGLYDPM
+>sp|P62195|PRS8_HUMAN 26S proteasome regulatory subunit 8 OS=Homo sapiens OX=9606 GN=PSMC5 PE=1 SV=1
+MALDGPEQMELEEGKAGSGLRQYYLSKIEELQLIVNDKSQNLRRLQAQRNELNAKVRLLREELQLLQEQGSYVGEVVRAMDKKKVLVKVHPEGKFVVDVDKNIDINDVTPNCRVALRNDSYTLHKILPNKVDPLVSLMMVEKVPDSTYEMIGGLDKQIKEIKEVIELPVKHPELFEALGIAQPKGVLLYGPPGTGKTLLARAVAHHTDCTFIRVSGSELVQKFIGEGARMVRELFVMAREHAPSIIFMDEIDSIGSSRLEGGSGGDSEVQRTMLELLNQLDGFEATKNIKVIMATNRIDILDSALLRPGRIDRKIEFPPPNEEARLDILKIHSRKMNLTRGINLRKIAELMPGASGAEVKGVCTEAGMYALRERRVHVTQEDFEMAVAKVMQKDSEKNMSIKKLWK
+>DECOY_sp|P62195|PRS8_HUMAN 26S proteasome regulatory subunit 8 OS=Homo sapiens OX=9606 GN=PSMC5 PE=1 SV=1
+KWLKKISMNKESDKQMVKAVAMEFDEQTVHVRRERLAYMGAETCVGKVEAGSAGPMLEAIKRLNIGRTLNMKRSHIKLIDLRAEENPPPFEIKRDIRGPRLLASDLIDIRNTAMIVKINKTAEFGDLQNLLELMTRQVESDGGSGGELRSSGISDIEDMFIISPAHERAMVFLERVMRAGEGIFKQVLESGSVRIFTCDTHHAVARALLTKGTGPPGYLLVGKPQAIGLAEFLEPHKVPLEIVEKIEKIQKDLGGIMEYTSDPVKEVMMLSVLPDVKNPLIKHLTYSDNRLAVRCNPTVDNIDINKDVDVVFKGEPHVKVLVKKKDMARVVEGVYSGQEQLLQLEERLLRVKANLENRQAQLRRLNQSKDNVILQLEEIKSLYYQRLGSGAKGEELEMQEPGDLAM
+>sp|Q86WR7|PRSR2_HUMAN Proline and serine-rich protein 2 OS=Homo sapiens OX=9606 GN=PROSER2 PE=1 SV=2
+MPVTHRKSDASDMNSDTSPSCRLRAFSRGGSLESRSSSSRSRSFTLDDESLKYLTHEEKDVLLFFEETIDSLDEDFEEPVLCDGGVCCLCSPSLEESTSSPSEPEDVIDLVQPAPGAGEAEGLPEGTQAAGPAPAGKEHRKQDAETPPPPDPPAPETLLAPPPLPSTPDPPRRELRAPSPPVEHPRLLRSVPTPLVMAQKISERMAGNEALSPTSPFREGRPGEWRTPAARGPRSGDPGPGPSHPAQPKAPRFPSNIIVTNGAAREPRRTLSRAAVSVQERRAQVLATIHGHAGAFPAAGDAGEGAPGGGSSPERVARGRGLPGPAESLRAGGQAPRGPALANGFPSAHEALKSAPSSFAPAGKSLCFRPGPALPSTRARQSFPGPRQPNGAQDWRRADSLPRPQGITVQFAGRGSSEEARREALRKLGLLRESS
+>DECOY_sp|Q86WR7|PRSR2_HUMAN Proline and serine-rich protein 2 OS=Homo sapiens OX=9606 GN=PROSER2 PE=1 SV=2
+SSERLLGLKRLAERRAEESSGRGAFQVTIGQPRPLSDARRWDQAGNPQRPGPFSQRARTSPLAPGPRFCLSKGAPAFSSPASKLAEHASPFGNALAPGRPAQGGARLSEAPGPLGRGRAVREPSSGGGPAGEGADGAAPFAGAHGHITALVQARREQVSVAARSLTRRPERAAGNTVIINSPFRPAKPQAPHSPGPGPDGSRPGRAAPTRWEGPRGERFPSTPSLAENGAMRESIKQAMVLPTPVSRLLRPHEVPPSPARLERRPPDPTSPLPPPALLTEPAPPDPPPPTEADQKRHEKGAPAPGAAQTGEPLGEAEGAGPAPQVLDIVDEPESPSSTSEELSPSCLCCVGGDCLVPEEFDEDLSDITEEFFLLVDKEEHTLYKLSEDDLTFSRSRSSSSRSELSGGRSFARLRCSPSTDSNMDSADSKRHTVPM
+>sp|A0A1B0GWB2|PRT1B_HUMAN Proline rich transmembrane protein 1B OS=Homo sapiens OX=9606 GN=PRRT1B PE=3 SV=1
+MEAGAGGAGSDTKGGGSPATPEDPRSPAKPAAPEDPQMPAQPALPQLPRRPRTLDEDGAPSEDGAAGGSEPAPEDAPAQAAGEAGPVSKAAAGGAPHIGFVGEPPPYAPPDPKAAPLLYPPFPQVPVVLQPAPSALFPPPAQLYPAAPTPPALFSPPAGAAFPFPVYNGPMAGVPGPATVEHRPLPKDYMMESVLVTLFCCLLTGLIAIVYSHEARAALGRGDLAQAEEASRKARSLVLFSLLFGVFVSTSWVIYVVVALYLP
+>DECOY_sp|A0A1B0GWB2|PRT1B_HUMAN Proline rich transmembrane protein 1B OS=Homo sapiens OX=9606 GN=PRRT1B PE=3 SV=1
+PLYLAVVVYIVWSTSVFVGFLLSFLVLSRAKRSAEEAQALDGRGLAARAEHSYVIAILGTLLCCFLTVLVSEMMYDKPLPRHEVTAPGPVGAMPGNYVPFPFAAGAPPSFLAPPTPAAPYLQAPPPFLASPAPQLVVPVQPFPPYLLPAAKPDPPAYPPPEGVFGIHPAGGAAAKSVPGAEGAAQAPADEPAPESGGAAGDESPAGDEDLTRPRRPLQPLAPQAPMQPDEPAAPKAPSRPDEPTAPSGGGKTDSGAGGAGAEM
+>sp|O14818|PSA7_HUMAN Proteasome subunit alpha type-7 OS=Homo sapiens OX=9606 GN=PSMA7 PE=1 SV=1
+MSYDRAITVFSPDGHLFQVEYAQEAVKKGSTAVGVRGRDIVVLGVEKKSVAKLQDERTVRKICALDDNVCMAFAGLTADARIVINRARVECQSHRLTVEDPVTVEYITRYIASLKQRYTQSNGRRPFGISALIVGFDFDGTPRLYQTDPSGTYHAWKANAIGRGAKSVREFLEKNYTDEAIETDDLTIKLVIKALLEVVQSGGKNIELAVMRRDQSLKILNPEEIEKYVAEIEKEKEENEKKKQKKAS
+>DECOY_sp|O14818|PSA7_HUMAN Proteasome subunit alpha type-7 OS=Homo sapiens OX=9606 GN=PSMA7 PE=1 SV=1
+SAKKQKKKENEEKEKEIEAVYKEIEEPNLIKLSQDRRMVALEINKGGSQVVELLAKIVLKITLDDTEIAEDTYNKELFERVSKAGRGIANAKWAHYTGSPDTQYLRPTGDFDFGVILASIGFPRRGNSQTYRQKLSAIYRTIYEVTVPDEVTLRHSQCEVRARNIVIRADATLGAFAMCVNDDLACIKRVTREDQLKAVSKKEVGLVVIDRGRVGVATSGKKVAEQAYEVQFLHGDPSFVTIARDYSM
+>sp|O00231|PSD11_HUMAN 26S proteasome non-ATPase regulatory subunit 11 OS=Homo sapiens OX=9606 GN=PSMD11 PE=1 SV=3
+MAAAAVVEFQRAQSLLSTDREASIDILHSIVKRDIQENDEEAVQVKEQSILELGSLLAKTGQAAELGGLLKYVRPFLNSISKAKAARLVRSLLDLFLDMEAATGQEVELCLECIEWAKSEKRTFLRQALEARLVSLYFDTKRYQEALHLGSQLLRELKKMDDKALLVEVQLLESKTYHALSNLPKARAALTSARTTANAIYCPPKLQATLDMQSGIIHAAEEKDWKTAYSYFYEAFEGYDSIDSPKAITSLKYMLLCKIMLNTPEDVQALVSGKLALRYAGRQTEALKCVAQASKNRSLADFEKALTDYRAELRDDPIISTHLAKLYDNLLEQNLIRVIEPFSRVQIEHISSLIKLSKADVERKLSQMILDKKFHGILDQGEGVLIIFDEPPVDKTYEAALETIQNMSKVVDSLYNKAKKLT
+>DECOY_sp|O00231|PSD11_HUMAN 26S proteasome non-ATPase regulatory subunit 11 OS=Homo sapiens OX=9606 GN=PSMD11 PE=1 SV=3
+TLKKAKNYLSDVVKSMNQITELAAEYTKDVPPEDFIILVGEGQDLIGHFKKDLIMQSLKREVDAKSLKILSSIHEIQVRSFPEIVRILNQELLNDYLKALHTSIIPDDRLEARYDTLAKEFDALSRNKSAQAVCKLAETQRGAYRLALKGSVLAQVDEPTNLMIKCLLMYKLSTIAKPSDISDYGEFAEYFYSYATKWDKEEAAHIIGSQMDLTAQLKPPCYIANATTRASTLAARAKPLNSLAHYTKSELLQVEVLLAKDDMKKLERLLQSGLHLAEQYRKTDFYLSVLRAELAQRLFTRKESKAWEICELCLEVEQGTAAEMDLFLDLLSRVLRAAKAKSISNLFPRVYKLLGGLEAAQGTKALLSGLELISQEKVQVAEEDNEQIDRKVISHLIDISAERDTSLLSQARQFEVVAAAAM
+>sp|A5PKW4|PSD1_HUMAN PH and SEC7 domain-containing protein 1 OS=Homo sapiens OX=9606 GN=PSD PE=1 SV=2
+MAQGAMRFCSEGDCAISPPRCPRRWLPEGPVPQSPPASMYGSTGSLLRRVAGPGPRGRELGRVTAPCTPLRGPPSPRVAPSPWAPSSPTGQPPPGAQSSVVIFRFVEKASVRPLNGLPAPGGLSRSWDLGGVSPPRPTPALGPGSNRKLRLEASTSDPLPARGGSALPGSRNLVHGPPAPPQVGADGLYSSLPNGLGGPPERLATLFGGPADTGFLNQGDTWSSPREVSSHAQRIARAKWEFFYGSLDPPSSGAKPPEQAPPSPPGVGSRQGSGVAVGRAAKYSETDLDTVPLRCYRETDIDEVLAEREEADSAIESQPSSEGPPGTAYPPAPRPGPLPGPHPSLGSGNEDEDDDEAGGEEDVDDEVFEASEGARPGSRMPLKSPVPFLPGTSPSADGPDSFSCVFEAILESHRAKGTSYTSLASLEALASPGPTQSPFFTFELPPQPPAPRPDPPAPAPLAPLEPDSGTSSAADGPWTQRGEEEEAEARAKLAPGREPPSPCHSEDSLGLGAAPLGSEPPLSQLVSDSDSELDSTERLALGSTDTLSNGQKADLEAAQRLAKRLYRLDGFRKADVARHLGKNNDFSKLVAGEYLKFFVFTGMTLDQALRVFLKELALMGETQERERVLAHFSQRYFQCNPEALSSEDGAHTLTCALMLLNTDLHGHNIGKRMTCGDFIGNLEGLNDGGDFPRELLKALYSSIKNEKLQWAIDEEELRRSLSELADPNPKVIKRISGGSGSGSSPFLDLTPEPGAAVYKHGALVRKVHADPDCRKTPRGKRGWKSFHGILKGMILYLQKEEYKPGKALSETELKNAISIHHALATRASDYSKRPHVFYLRTADWRVFLFQAPSLEQMQSWITRINVVAAMFSAPPFPAAVSSQKKFSRPLLPSAATRLSQEEQVRTHEAKLKAMASELREHRAAQLGKKGRGKEAEEQRQKEAYLEFEKSRYSTYAALLRVKLKAGSEELDAVEAALAQAGSTEDGLPPSHSSPSLQPKPSSQPRAQRHSSEPRPGAGSGRRKP
+>DECOY_sp|A5PKW4|PSD1_HUMAN PH and SEC7 domain-containing protein 1 OS=Homo sapiens OX=9606 GN=PSD PE=1 SV=2
+PKRRGSGAGPRPESSHRQARPQSSPKPQLSPSSHSPPLGDETSGAQALAAEVADLEESGAKLKVRLLAAYTSYRSKEFELYAEKQRQEEAEKGRGKKGLQAARHERLESAMAKLKAEHTRVQEEQSLRTAASPLLPRSFKKQSSVAAPFPPASFMAAVVNIRTIWSQMQELSPAQFLFVRWDATRLYFVHPRKSYDSARTALAHHISIANKLETESLAKGPKYEEKQLYLIMGKLIGHFSKWGRKGRPTKRCDPDAHVKRVLAGHKYVAAGPEPTLDLFPSSGSGSGGSIRKIVKPNPDALESLSRRLEEEDIAWQLKENKISSYLAKLLERPFDGGDNLGELNGIFDGCTMRKGINHGHLDTNLLMLACTLTHAGDESSLAEPNCQFYRQSFHALVREREQTEGMLALEKLFVRLAQDLTMGTFVFFKLYEGAVLKSFDNNKGLHRAVDAKRFGDLRYLRKALRQAAELDAKQGNSLTDTSGLALRETSDLESDSDSVLQSLPPESGLPAAGLGLSDESHCPSPPERGPALKARAEAEEEEGRQTWPGDAASSTGSDPELPALPAPAPPDPRPAPPQPPLEFTFFPSQTPGPSALAELSALSTYSTGKARHSELIAEFVCSFSDPGDASPSTGPLFPVPSKLPMRSGPRAGESAEFVEDDVDEEGGAEDDDEDENGSGLSPHPGPLPGPRPAPPYATGPPGESSPQSEIASDAEEREALVEDIDTERYCRLPVTDLDTESYKAARGVAVGSGQRSGVGPPSPPAQEPPKAGSSPPDLSGYFFEWKARAIRQAHSSVERPSSWTDGQNLFGTDAPGGFLTALREPPGGLGNPLSSYLGDAGVQPPAPPGHVLNRSGPLASGGRAPLPDSTSAELRLKRNSGPGLAPTPRPPSVGGLDWSRSLGGPAPLGNLPRVSAKEVFRFIVVSSQAGPPPQGTPSSPAWPSPAVRPSPPGRLPTCPATVRGLERGRPGPGAVRRLLSGTSGYMSAPPSQPVPGEPLWRRPCRPPSIACDGESCFRMAGQAM
+>sp|Q14761|PTCA_HUMAN Protein tyrosine phosphatase receptor type C-associated protein OS=Homo sapiens OX=9606 GN=PTPRCAP PE=1 SV=1
+MALPCTLGLGMLLALPGALGSGGSAEDSVGSSSVTVVLLLLLLLLLATGLALAWRRLSRDSGGYYHPARLGAALWGRTRRLLWASPPGRWLQARAELGSTDNDLERQEDEQDTDYDHVADGGLQADPGEGEQQCGEASSPEQVPVRAEEARDSDTEGDLVLGSPGPASAGGSAEALLSDLHAFAGSAAWDDSARAAGGQGLHVTAL
+>DECOY_sp|Q14761|PTCA_HUMAN Protein tyrosine phosphatase receptor type C-associated protein OS=Homo sapiens OX=9606 GN=PTPRCAP PE=1 SV=1
+LATVHLGQGGAARASDDWAASGAFAHLDSLLAEASGGASAPGPSGLVLDGETDSDRAEEARVPVQEPSSAEGCQQEGEGPDAQLGGDAVHDYDTDQEDEQRELDNDTSGLEARAQLWRGPPSAWLLRRTRGWLAAGLRAPHYYGGSDRSLRRWALALGTALLLLLLLLLVVTVSSSGVSDEASGGSGLAGPLALLMGLGLTCPLAM
+>sp|Q8WV60|PTCD2_HUMAN Pentatricopeptide repeat-containing protein 2, mitochondrial OS=Homo sapiens OX=9606 GN=PTCD2 PE=1 SV=3
+MVRDSMAAAFRPSNRVLLQALQILVYPGVGGSGSVSCRCPLGAKRYLLTDNVVKLKEFQQKKVAVACNLSGTKETYFRNLKKKLTQNKLILKGELITLLHLCESRDHVELAKNVIYRYHAENKNFTLGEYKFGPLFVRLCYELDLEESAVELMKDQHLRGFFSDSTSFNILMDMLFIKGKYKSALQVLIEMKNQDVKFTKDTYVLAFAICYKLNSPESFKICTTLREEALLKGEILSRRASCFAVALALNQNEMAKAVSIFSQIMNPESIACINLNIIIHIQSNMLENLIKTLKNAAEGNLSKFVKRHVFSEEVLAKVREKVKDVPALVAKFDEIYGTLHITGQVTTDSLDAVLCHTPRDRKSHTLLLNKRMVSRRTFQPLSQSLLAE
+>DECOY_sp|Q8WV60|PTCD2_HUMAN Pentatricopeptide repeat-containing protein 2, mitochondrial OS=Homo sapiens OX=9606 GN=PTCD2 PE=1 SV=3
+EALLSQSLPQFTRRSVMRKNLLLTHSKRDRPTHCLVADLSDTTVQGTIHLTGYIEDFKAVLAPVDKVKERVKALVEESFVHRKVFKSLNGEAANKLTKILNELMNSQIHIIINLNICAISEPNMIQSFISVAKAMENQNLALAVAFCSARRSLIEGKLLAEERLTTCIKFSEPSNLKYCIAFALVYTDKTFKVDQNKMEILVQLASKYKGKIFLMDMLINFSTSDSFFGRLHQDKMLEVASEELDLEYCLRVFLPGFKYEGLTFNKNEAHYRYIVNKALEVHDRSECLHLLTILEGKLILKNQTLKKKLNRFYTEKTGSLNCAVAVKKQQFEKLKVVNDTLLYRKAGLPCRCSVSGSGGVGPYVLIQLAQLLVRNSPRFAAAMSDRVM
+>sp|Q8N8N7|PTGR2_HUMAN Prostaglandin reductase 2 OS=Homo sapiens OX=9606 GN=PTGR2 PE=1 SV=1
+MIVQRVVLNSRPGKNGNPVAENFRMEEVYLPDNINEGQVQVRTLYLSVDPYMRCRMNEDTGTDYITPWQLSQVVDGGGIGIIEESKHTNLTKGDFVTSFYWPWQTKVILDGNSLEKVDPQLVDGHLSYFLGAIGMPGLTSLIGIQEKGHITAGSNKTMVVSGAAGACGSVAGQIGHFLGCSRVVGICGTHEKCILLTSELGFDAAINYKKDNVAEQLRESCPAGVDVYFDNVGGNISDTVISQMNENSHIILCGQISQYNKDVPYPPPLSPAIEAIQKERNITRERFLVLNYKDKFEPGILQLSQWFKEGKLKIKETVINGLENMGAAFQSMMTGGNIGKQIVCISEEISL
+>DECOY_sp|Q8N8N7|PTGR2_HUMAN Prostaglandin reductase 2 OS=Homo sapiens OX=9606 GN=PTGR2 PE=1 SV=1
+LSIEESICVIQKGINGGTMMSQFAAGMNELGNIVTEKIKLKGEKFWQSLQLIGPEFKDKYNLVLFRERTINREKQIAEIAPSLPPPYPVDKNYQSIQGCLIIHSNENMQSIVTDSINGGVNDFYVDVGAPCSERLQEAVNDKKYNIAADFGLESTLLICKEHTGCIGVVRSCGLFHGIQGAVSGCAGAAGSVVMTKNSGATIHGKEQIGILSTLGPMGIAGLFYSLHGDVLQPDVKELSNGDLIVKTQWPWYFSTVFDGKTLNTHKSEEIIGIGGGDVVQSLQWPTIYDTGTDENMRCRMYPDVSLYLTRVQVQGENINDPLYVEEMRFNEAVPNGNKGPRSNLVVRQVIM
+>sp|Q86SH4|PRNT_HUMAN Putative testis-specific prion protein OS=Homo sapiens OX=9606 GN=PRNT PE=5 SV=1
+MQHSLVFFFAVILHLSHLLHLDASIHPFRLPFSSKPFLLIPMSNTTLPHTAWPLSFLHQTVSTLKAVAVTHSLWHLQIPVDCQACNRKSKKIYC
+>DECOY_sp|Q86SH4|PRNT_HUMAN Putative testis-specific prion protein OS=Homo sapiens OX=9606 GN=PRNT PE=5 SV=1
+CYIKKSKRNCAQCDVPIQLHWLSHTVAVAKLTSVTQHLFSLPWATHPLTTNSMPILLFPKSSFPLRFPHISADLHLLHSLHLIVAFFFVLSHQM
+>sp|E7EW31|PROB1_HUMAN Proline-rich basic protein 1 OS=Homo sapiens OX=9606 GN=PROB1 PE=2 SV=2
+MLTALAPPALPGIPRQLPTAPARRQDSSGSSGSYYTAPGSPEPPDVGPDAKGPANWPWVAPGRGAGAQPRLSVSAQNSRQRHGPGSGFPRGPGSGPRPPQPQLRTLPSGEMEVIFGVGPLFGCSGADDREAQQQFTEPAFISPLPPGPASPAAVPRQSQVPDGGSRWATYLELRPRGPSPAAPAQFECVEVALEEGAAPARPRTVPKRQIELRPRPQSPPRAAGAPRPRLLLRTGSLDESLGPLQAAAGFVQTALARKLSPEAPAPSSATFGSTGRSEPETRETARSTHVVLEKAKSRPLRVRDNSAPAKAPRPWPSLRERAIRRDKPAPGTEPLGPVSSSIFLQSEEKIQEARKTRFPREAPDRTVQRARSPPFECRIPSEVPSRAVRPRSPSPPRQTPNGAVRGPRCPSPQNLSPWDRTTRRVSSPLFPEASSEWENQNPAVEETVSRRSPSPPILSQWNQCVAGERSPSLEAPSLWEIPHSAVADAVEPRSSPSPPAFFPWEAPDRPIGTWGPSPQETWDPMGPGSSIAFTQEAQNGLTQEELAPPTPSAPGTPEPTEMQSPSTREISDLAFGGSQQSPEVAAPEPPGSHPVGTLDADKCPEVLGPGEAASGRPRMAIPRPRDVRKLVKTTYAPGFPAGAQGSGLPAPPADPCGEEGGESKTQEPPALGPPAPAHYTSVFIKDFLPVVPHPYEPPEPSFDTVARDASQPNGVLRRRAENSTAKPFKRTEIRLPGALALGRRPEVTSRVRARGPGGENRDVEAQRLVPDGDGRTSPLGGARSSSQRSPVGPAGVRSPRPGSPQMQASPSPGIAPKPKTPPTAPEPAAAVQAPLPREPLALAGRTAPAQPRAASAPPTDRSPQSPSQGARRQPGAAPLGKVLVDPESGRYYFVEAPRQPRLRVLFDPESGQYVEVLLPPSSPGPPHRVYTPLALGLGLYPPAYGPIPSLSLPPSPGPQALGSPQLPWVSEAGPLDGTYYLPVSGTPNPAPPLLLCAPPSSSGPTQPGKGSLFPL
+>DECOY_sp|E7EW31|PROB1_HUMAN Proline-rich basic protein 1 OS=Homo sapiens OX=9606 GN=PROB1 PE=2 SV=2
+LPFLSGKGPQTPGSSSPPACLLLPPAPNPTGSVPLYYTGDLPGAESVWPLQPSGLAQPGPSPPLSLSPIPGYAPPYLGLGLALPTYVRHPPGPSSPPLLVEVYQGSEPDFLVRLRPQRPAEVFYYRGSEPDVLVKGLPAAGPQRRAGQSPSQPSRDTPPASAARPQAPATRGALALPERPLPAQVAAAPEPATPPTKPKPAIGPSPSAQMQPSGPRPSRVGAPGVPSRQSSSRAGGLPSTRGDGDPVLRQAEVDRNEGGPGRARVRSTVEPRRGLALAGPLRIETRKFPKATSNEARRRLVGNPQSADRAVTDFSPEPPEYPHPVVPLFDKIFVSTYHAPAPPGLAPPEQTKSEGGEEGCPDAPPAPLGSGQAGAPFGPAYTTKVLKRVDRPRPIAMRPRGSAAEGPGLVEPCKDADLTGVPHSGPPEPAAVEPSQQSGGFALDSIERTSPSQMETPEPTGPASPTPPALEEQTLGNQAEQTFAISSGPGMPDWTEQPSPGWTGIPRDPAEWPFFAPPSPSSRPEVADAVASHPIEWLSPAELSPSREGAVCQNWQSLIPPSPSRRSVTEEVAPNQNEWESSAEPFLPSSVRRTTRDWPSLNQPSPCRPGRVAGNPTQRPPSPSRPRVARSPVESPIRCEFPPSRARQVTRDPAERPFRTKRAEQIKEESQLFISSSVPGLPETGPAPKDRRIARERLSPWPRPAKAPASNDRVRLPRSKAKELVVHTSRATERTEPESRGTSGFTASSPAPAEPSLKRALATQVFGAAAQLPGLSEDLSGTRLLLRPRPAGAARPPSQPRPRLEIQRKPVTRPRAPAAGEELAVEVCEFQAPAAPSPGRPRLELYTAWRSGGDPVQSQRPVAAPSAPGPPLPSIFAPETFQQQAERDDAGSCGFLPGVGFIVEMEGSPLTRLQPQPPRPGSGPGRPFGSGPGHRQRSNQASVSLRPQAGAGRGPAVWPWNAPGKADPGVDPPEPSGPATYYSGSSGSSDQRRAPATPLQRPIGPLAPPALATLM
+>sp|P04070|PROC_HUMAN Vitamin K-dependent protein C OS=Homo sapiens OX=9606 GN=PROC PE=1 SV=1
+MWQLTSLLLFVATWGISGTPAPLDSVFSSSERAHQVLRIRKRANSFLEELRHSSLERECIEEICDFEEAKEIFQNVDDTLAFWSKHVDGDQCLVLPLEHPCASLCCGHGTCIDGIGSFSCDCRSGWEGRFCQREVSFLNCSLDNGGCTHYCLEEVGWRRCSCAPGYKLGDDLLQCHPAVKFPCGRPWKRMEKKRSHLKRDTEDQEDQVDPRLIDGKMTRRGDSPWQVVLLDSKKKLACGAVLIHPSWVLTAAHCMDESKKLLVRLGEYDLRRWEKWELDLDIKEVFVHPNYSKSTTDNDIALLHLAQPATLSQTIVPICLPDSGLAERELNQAGQETLVTGWGYHSSREKEAKRNRTFVLNFIKIPVVPHNECSEVMSNMVSENMLCAGILGDRQDACEGDSGGPMVASFHGTWFLVGLVSWGEGCGLLHNYGVYTKVSRYLDWIHGHIRDKEAPQKSWAP
+>DECOY_sp|P04070|PROC_HUMAN Vitamin K-dependent protein C OS=Homo sapiens OX=9606 GN=PROC PE=1 SV=1
+PAWSKQPAEKDRIHGHIWDLYRSVKTYVGYNHLLGCGEGWSVLGVLFWTGHFSAVMPGGSDGECADQRDGLIGACLMNESVMNSMVESCENHPVVPIKIFNLVFTRNRKAEKERSSHYGWGTVLTEQGAQNLEREALGSDPLCIPVITQSLTAPQALHLLAIDNDTTSKSYNPHVFVEKIDLDLEWKEWRRLDYEGLRVLLKKSEDMCHAATLVWSPHILVAGCALKKKSDLLVVQWPSDGRRTMKGDILRPDVQDEQDETDRKLHSRKKEMRKWPRGCPFKVAPHCQLLDDGLKYGPACSCRRWGVEELCYHTCGGNDLSCNLFSVERQCFRGEWGSRCDCSFSGIGDICTGHGCCLSACPHELPLVLCQDGDVHKSWFALTDDVNQFIEKAEEFDCIEEICERELSSHRLEELFSNARKRIRLVQHARESSSFVSDLPAPTGSIGWTAVFLLLSTLQWM
+>sp|P24158|PRTN3_HUMAN Myeloblastin OS=Homo sapiens OX=9606 GN=PRTN3 PE=1 SV=3
+MAHRPPSPALASVLLALLLSGAARAAEIVGGHEAQPHSRPYMASLQMRGNPGSHFCGGTLIHPSFVLTAAHCLRDIPQRLVNVVLGAHNVRTQEPTQQHFSVAQVFLNNYDAENKLNDVLLIQLSSPANLSASVATVQLPQQDQPVPHGTQCLAMGWGRVGAHDPPAQVLQELNVTVVTFFCRPHNICTFVPRRKAGICFGDSGGPLICDGIIQGIDSFVIWGCATRLFPDFFTRVALYVDWIRSTLRRVEAKGRP
+>DECOY_sp|P24158|PRTN3_HUMAN Myeloblastin OS=Homo sapiens OX=9606 GN=PRTN3 PE=1 SV=3
+PRGKAEVRRLTSRIWDVYLAVRTFFDPFLRTACGWIVFSDIGQIIGDCILPGGSDGFCIGAKRRPVFTCINHPRCFFTVVTVNLEQLVQAPPDHAGVRGWGMALCQTGHPVPQDQQPLQVTAVSASLNAPSSLQILLVDNLKNEADYNNLFVQAVSFHQQTPEQTRVNHAGLVVNVLRQPIDRLCHAATLVFSPHILTGGCFHSGPNGRMQLSAMYPRSHPQAEHGGVIEAARAAGSLLLALLVSALAPSPPRHAM
+>sp|Q86TP1|PRUN1_HUMAN Exopolyphosphatase PRUNE1 OS=Homo sapiens OX=9606 GN=PRUNE1 PE=1 SV=2
+MEDYLQGCRAALQESRPLHVVLGNEACDLDSTVSALALAFYLAKTTEAEEVFVPVLNIKRSELPLRGDIVFFLQKVHIPESILIFRDEIDLHALYQAGQLTLILVDHHILSKSDTALEEAVAEVLDHRPIEPKHCPPCHVSVELVGSCATLVTERILQGAPEILDRQTAALLHGTIILDCVNMDLKIGKATPKDSKYVEKLEALFPDLPKRNDIFDSLQKAKFDVSGLTTEQMLRKDQKTIYRQGVKVAISAIYMDLEAFLQRSNLLADLHAFCQAHSYDVLVAMTIFFNTHNEPVRQLAIFCPHVALQTTICEVLERSHSPPLKLTPASSTHPNLHAYLQGNTQVSRKKLLPLLQEALSAYFDSMKIPSGQPETADVSREQVDKELDRASNSLISGLSQDEEDPPLPPTPMNSLVDECPLDQGLPKLSAEAVFEKCSQISLSQSTTASLSKK
+>DECOY_sp|Q86TP1|PRUN1_HUMAN Exopolyphosphatase PRUNE1 OS=Homo sapiens OX=9606 GN=PRUNE1 PE=1 SV=2
+KKSLSATTSQSLSIQSCKEFVAEASLKPLGQDLPCEDVLSNMPTPPLPPDEEDQSLGSILSNSARDLEKDVQERSVDATEPQGSPIKMSDFYASLAEQLLPLLKKRSVQTNGQLYAHLNPHTSSAPTLKLPPSHSRELVECITTQLAVHPCFIALQRVPENHTNFFITMAVLVDYSHAQCFAHLDALLNSRQLFAELDMYIASIAVKVGQRYITKQDKRLMQETTLGSVDFKAKQLSDFIDNRKPLDPFLAELKEVYKSDKPTAKGIKLDMNVCDLIITGHLLAATQRDLIEPAGQLIRETVLTACSGVLEVSVHCPPCHKPEIPRHDLVEAVAEELATDSKSLIHHDVLILTLQGAQYLAHLDIEDRFILISEPIHVKQLFFVIDGRLPLESRKINLVPVFVEEAETTKALYFALALASVTSDLDCAENGLVVHLPRSEQLAARCGQLYDEM
+>sp|P20472|PRVA_HUMAN Parvalbumin alpha OS=Homo sapiens OX=9606 GN=PVALB PE=1 SV=2
+MSMTDLLNAEDIKKAVGAFSATDSFDHKKFFQMVGLKKKSADDVKKVFHMLDKDKSGFIEEDELGFILKGFSPDARDLSAKETKMLMAAGDKDGDGKIGVDEFSTLVAES
+>DECOY_sp|P20472|PRVA_HUMAN Parvalbumin alpha OS=Homo sapiens OX=9606 GN=PVALB PE=1 SV=2
+SEAVLTSFEDVGIKGDGDKDGAAMLMKTEKASLDRADPSFGKLIFGLEDEEIFGSKDKDLMHFVKKVDDASKKKLGVMQFFKKHDFSDTASFAGVAKKIDEANLLDTMSM
+>sp|Q9UIG5|PS1C1_HUMAN Psoriasis susceptibility 1 candidate gene 1 protein OS=Homo sapiens OX=9606 GN=PSORS1C1 PE=2 SV=2
+MTCTDQKSHSQRALGTQTPALQGPQLLNTDPSSEETRPPHVNPDRLCHMEPANHFWHAGDLQAMISKEFHLAATQDDCRKGRTQEDILVPSSHPELFASVLPMAPEEAARLQQPQPLPPPSGIHLSASRTLAPTLLYSSPPSHSPFGLSSLI
+>DECOY_sp|Q9UIG5|PS1C1_HUMAN Psoriasis susceptibility 1 candidate gene 1 protein OS=Homo sapiens OX=9606 GN=PSORS1C1 PE=2 SV=2
+ILSSLGFPSHSPPSSYLLTPALTRSASLHIGSPPPLPQPQQLRAAEEPAMPLVSAFLEPHSSPVLIDEQTRGKRCDDQTAALHFEKSIMAQLDGAHWFHNAPEMHCLRDPNVHPPRTEESSPDTNLLQPGQLAPTQTGLARQSHSKQDTCTM
+>sp|P25787|PSA2_HUMAN Proteasome subunit alpha type-2 OS=Homo sapiens OX=9606 GN=PSMA2 PE=1 SV=2
+MAERGYSFSLTTFSPSGKLVQIEYALAAVAGGAPSVGIKAANGVVLATEKKQKSILYDERSVHKVEPITKHIGLVYSGMGPDYRVLVHRARKLAQQYYLVYQEPIPTAQLVQRVASVMQEYTQSGGVRPFGVSLLICGWNEGRPYLFQSDPSGAYFAWKATAMGKNYVNGKTFLEKRYNEDLELEDAIHTAILTLKESFEGQMTEDNIEVGICNEAGFRRLTPTEVKDYLAAIA
+>DECOY_sp|P25787|PSA2_HUMAN Proteasome subunit alpha type-2 OS=Homo sapiens OX=9606 GN=PSMA2 PE=1 SV=2
+AIAALYDKVETPTLRRFGAENCIGVEINDETMQGEFSEKLTLIATHIADELELDENYRKELFTKGNVYNKGMATAKWAFYAGSPDSQFLYPRGENWGCILLSVGFPRVGGSQTYEQMVSAVRQVLQATPIPEQYVLYYQQALKRARHVLVRYDPGMGSYVLGIHKTIPEVKHVSREDYLISKQKKETALVVGNAAKIGVSPAGGAVAALAYEIQVLKGSPSFTTLSFSYGREAM
+>sp|A6NEC2|PSAL_HUMAN Puromycin-sensitive aminopeptidase-like protein OS=Homo sapiens OX=9606 GN=NPEPPSL1 PE=2 SV=3
+MWLAAAAPSLARRLLFLGPPPPPLLLLVFSRSSRRRLHSLGLAAMPEKRPFERLPADVSPINCSLCLKPDLLDFTFEGKLEAAAQVRQATNQIVMNCADIDIITASYAPEGDEEIHATGFNYQNEDEKVTLSFPSTLQTGTGTLKIDFVGELNDKMKGFYRSKYTTPSGEVRYAAVTQFEATDARRAFPCWDERAIKATFDISLVVPKDRVALSNMNVIDRKPYPDDENLVEVKFARTPVTSTYLVAFVVGEYDFVETRSKDGVCVCVYTPVGKAEQGKFALEVAAKTLPFYKDYFNVPYPLPKIDLIAIADFAAGAMENWDLVTYRETALLIDPKNSCSSSRQWVALVVGHELAHQWFGNLVTMEWWTHLRLNEGFASWIEYLCVDHCFPEYDIWTQFVSADYTRAQELDALDNSHPIEVSVGHPSEVDEIFDAISYSKGASVIRMLHDYIGDKDFKKGMNMYLTKFQQKNAAAGNL
+>DECOY_sp|A6NEC2|PSAL_HUMAN Puromycin-sensitive aminopeptidase-like protein OS=Homo sapiens OX=9606 GN=NPEPPSL1 PE=2 SV=3
+LNGAAANKQQFKTLYMNMGKKFDKDGIYDHLMRIVSAGKSYSIADFIEDVESPHGVSVEIPHSNDLADLEQARTYDASVFQTWIDYEPFCHDVCLYEIWSAFGENLRLHTWWEMTVLNGFWQHALEHGVVLAVWQRSSSCSNKPDILLATERYTVLDWNEMAGAAFDAIAILDIKPLPYPVNFYDKYFPLTKAAVELAFKGQEAKGVPTYVCVCVGDKSRTEVFDYEGVVFAVLYTSTVPTRAFKVEVLNEDDPYPKRDIVNMNSLAVRDKPVVLSIDFTAKIAREDWCPFARRADTAEFQTVAAYRVEGSPTTYKSRYFGKMKDNLEGVFDIKLTGTGTQLTSPFSLTVKEDENQYNFGTAHIEEDGEPAYSATIIDIDACNMVIQNTAQRVQAAAELKGEFTFDLLDPKLCLSCNIPSVDAPLREFPRKEPMAALGLSHLRRRSSRSFVLLLLPPPPPGLFLLRRALSPAAAALWM
+>sp|A5LHX3|PSB11_HUMAN Proteasome subunit beta type-11 OS=Homo sapiens OX=9606 GN=PSMB11 PE=2 SV=1
+MALQDVCKWQSPDTQGPSPHLPRAGGWAVPRGCDPQTFLQIHGPRLAHGTTTLAFRFRHGVIAAADTRSSCGSYVACPASCKVIPVHQHLLGTTSGTSADCATWYRVLQRELRLRELREGQLPSVASAAKLLSAMMSQYRGLDLCVATALCGWDRSGPELFYVYSDGTRLQGDIFSVGSGSPYAYGVLDRGYRYDMSTQEAYALARCAVAHATHRDAYSGGSVDLFHVRESGWEHVSRSDACVLYVELQKLLEPEPEEDASHAHPEPATAHRAAEDRELSVGPGEVTPGDSRMPAGTETV
+>DECOY_sp|A5LHX3|PSB11_HUMAN Proteasome subunit beta type-11 OS=Homo sapiens OX=9606 GN=PSMB11 PE=2 SV=1
+VTETGAPMRSDGPTVEGPGVSLERDEAARHATAPEPHAHSADEEPEPELLKQLEVYLVCADSRSVHEWGSERVHFLDVSGGSYADRHTAHAVACRALAYAEQTSMDYRYGRDLVGYAYPSGSGVSFIDGQLRTGDSYVYFLEPGSRDWGCLATAVCLDLGRYQSMMASLLKAASAVSPLQGERLERLRLERQLVRYWTACDASTGSTTGLLHQHVPIVKCSAPCAVYSGCSSRTDAAAIVGHRFRFALTTTGHALRPGHIQLFTQPDCGRPVAWGGARPLHPSPGQTDPSQWKCVDQLAM
+>sp|P28074|PSB5_HUMAN Proteasome subunit beta type-5 OS=Homo sapiens OX=9606 GN=PSMB5 PE=1 SV=3
+MALASVLERPLPVNQRGFFGLGGRADLLDLGPGSLSDGLSLAAPGWGVPEEPGIEMLHGTTTLAFKFRHGVIVAADSRATAGAYIASQTVKKVIEINPYLLGTMAGGAADCSFWERLLARQCRIYELRNKERISVAAASKLLANMVYQYKGMGLSMGTMICGWDKRGPGLYYVDSEGNRISGATFSVGSGSVYAYGVMDRGYSYDLEVEQAYDLARRAIYQATYRDAYSGGAVNLYHVREDGWIRVSSDNVADLHEKYSGSTP
+>DECOY_sp|P28074|PSB5_HUMAN Proteasome subunit beta type-5 OS=Homo sapiens OX=9606 GN=PSMB5 PE=1 SV=3
+PTSGSYKEHLDAVNDSSVRIWGDERVHYLNVAGGSYADRYTAQYIARRALDYAQEVELDYSYGRDMVGYAYVSGSGVSFTAGSIRNGESDVYYLGPGRKDWGCIMTGMSLGMGKYQYVMNALLKSAAAVSIREKNRLEYIRCQRALLREWFSCDAAGGAMTGLLYPNIEIVKKVTQSAIYAGATARSDAAVIVGHRFKFALTTTGHLMEIGPEEPVGWGPAALSLGDSLSGPGLDLLDARGGLGFFGRQNVPLPRELVSALAM
+>sp|P28072|PSB6_HUMAN Proteasome subunit beta type-6 OS=Homo sapiens OX=9606 GN=PSMB6 PE=1 SV=4
+MAATLLAARGAGPAPAWGPEAFTPDWESREVSTGTTIMAVQFDGGVVLGADSRTTTGSYIANRVTDKLTPIHDRIFCCRSGSAADTQAVADAVTYQLGFHSIELNEPPLVHTAASLFKEMCYRYREDLMAGIIIAGWDPQEGGQVYSVPMGGMMVRQSFAIGGSGSSYIYGYVDATYREGMTKEECLQFTANALALAMERDGSSGGVIRLAAIAESGVERQVLLGDQIPKFAVATLPPA
+>DECOY_sp|P28072|PSB6_HUMAN Proteasome subunit beta type-6 OS=Homo sapiens OX=9606 GN=PSMB6 PE=1 SV=4
+APPLTAVAFKPIQDGLLVQREVGSEAIAALRIVGGSSGDREMALALANATFQLCEEKTMGERYTADVYGYIYSSGSGGIAFSQRVMMGGMPVSYVQGGEQPDWGAIIIGAMLDERYRYCMEKFLSAATHVLPPENLEISHFGLQYTVADAVAQTDAASGSRCCFIRDHIPTLKDTVRNAIYSGTTTRSDAGLVVGGDFQVAMITTGTSVERSEWDPTFAEPGWAPAPGAGRAALLTAAM
+>sp|O43653|PSCA_HUMAN Prostate stem cell antigen OS=Homo sapiens OX=9606 GN=PSCA PE=1 SV=1
+MKAVLLALLMAGLALQPGTALLCYSCKAQVSNEDCLQVENCTQLGEQCWTARIRAVGLLTVISKGCSLNCVDDSQDYYVGKKNITCCDTDLCNASGAHALQPAAAILALLPALGLLLWGPGQL
+>DECOY_sp|O43653|PSCA_HUMAN Prostate stem cell antigen OS=Homo sapiens OX=9606 GN=PSCA PE=1 SV=1
+LQGPGWLLLGLAPLLALIAAAPQLAHAGSANCLDTDCCTINKKGVYYDQSDDVCNLSCGKSIVTLLGVARIRATWCQEGLQTCNEVQLCDENSVQAKCSYCLLATGPQLALGAMLLALLVAKM
+>sp|Q9UNM6|PSD13_HUMAN 26S proteasome non-ATPase regulatory subunit 13 OS=Homo sapiens OX=9606 GN=PSMD13 PE=1 SV=2
+MKDVPGFLQQSQNSGPGQPAVWHRLEELYTKKLWHQLTLQVLDFVQDPCFAQGDGLIKLYENFISEFEHRVNPLSLVEIILHVVRQMTDPNVALTFLEKTREKVKSSDEAVILCKTAIGALKLNIGDLQVTKETIEDVEEMLNNLPGVTSVHSRFYDLSSKYYQTIGNHASYYKDALRFLGCVDIKDLPVSEQQERAFTLGLAGLLGEGVFNFGELLMHPVLESLRNTDRQWLIDTLYAFNSGNVERFQTLKTAWGQQPDLAANEAQLLRKIQLLCLMEMTFTRPANHRQLTFEEIAKSAKITVNEVELLVMKALSVGLVKGSIDEVDKRVHMTWVQPRVLDLQQIKGMKDRLEFWCTDVKSMEMLVEHQAHDILT
+>DECOY_sp|Q9UNM6|PSD13_HUMAN 26S proteasome non-ATPase regulatory subunit 13 OS=Homo sapiens OX=9606 GN=PSMD13 PE=1 SV=2
+TLIDHAQHEVLMEMSKVDTCWFELRDKMGKIQQLDLVRPQVWTMHVRKDVEDISGKVLGVSLAKMVLLEVENVTIKASKAIEEFTLQRHNAPRTFTMEMLCLLQIKRLLQAENAALDPQQGWATKLTQFREVNGSNFAYLTDILWQRDTNRLSELVPHMLLEGFNFVGEGLLGALGLTFAREQQESVPLDKIDVCGLFRLADKYYSAHNGITQYYKSSLDYFRSHVSTVGPLNNLMEEVDEITEKTVQLDGINLKLAGIATKCLIVAEDSSKVKERTKELFTLAVNPDTMQRVVHLIIEVLSLPNVRHEFESIFNEYLKILGDGQAFCPDQVFDLVQLTLQHWLKKTYLEELRHWVAPQGPGSNQSQQLFGPVDKM
+>sp|O00487|PSDE_HUMAN 26S proteasome non-ATPase regulatory subunit 14 OS=Homo sapiens OX=9606 GN=PSMD14 PE=1 SV=1
+MDRLLRLGGGMPGLGQGPPTDAPAVDTAEQVYISSLALLKMLKHGRAGVPMEVMGLMLGEFVDDYTVRVIDVFAMPQSGTGVSVEAVDPVFQAKMLDMLKQTGRPEMVVGWYHSHPGFGCWLSGVDINTQQSFEALSERAVAVVVDPIQSVKGKVVIDAFRLINANMMVLGHEPRQTTSNLGHLNKPSIQALIHGLNRHYYSITINYRKNELEQKMLLNLHKKSWMEGLTLQDYSEHCKHNESVVKEMLELAKNYNKAVEEEDKMTPEQLAIKNVGKQDPKRHLEEHVDVLMTSNIVQCLAAMLDTVVFK
+>DECOY_sp|O00487|PSDE_HUMAN 26S proteasome non-ATPase regulatory subunit 14 OS=Homo sapiens OX=9606 GN=PSMD14 PE=1 SV=1
+KFVVTDLMAALCQVINSTMLVDVHEELHRKPDQKGVNKIALQEPTMKDEEEVAKNYNKALELMEKVVSENHKCHESYDQLTLGEMWSKKHLNLLMKQELENKRYNITISYYHRNLGHILAQISPKNLHGLNSTTQRPEHGLVMMNANILRFADIVVKGKVSQIPDVVVAVARESLAEFSQQTNIDVGSLWCGFGPHSHYWGVVMEPRGTQKLMDLMKAQFVPDVAEVSVGTGSQPMAFVDIVRVTYDDVFEGLMLGMVEMPVGARGHKLMKLLALSSIYVQEATDVAPADTPPGQGLGPMGGGLRLLRDM
+>sp|Q14691|PSF1_HUMAN DNA replication complex GINS protein PSF1 OS=Homo sapiens OX=9606 GN=GINS1 PE=1 SV=1
+MFCEKAMELIRELHRAPEGQLPAFNEDGLRQVLEEMKALYEQNQSDVNEAKSGGRSDLIPTIKFRHCSLLRNRRCTVAYLYDRLLRIRALRWEYGSVLPNALRFHMAAEEMEWFNNYKRSLATYMRSLGGDEGLDITQDMKPPKSLYIEVRCLKDYGEFEVDDGTSVLLKKNSQHFLPRWKCEQLIRQGVLEHILS
+>DECOY_sp|Q14691|PSF1_HUMAN DNA replication complex GINS protein PSF1 OS=Homo sapiens OX=9606 GN=GINS1 PE=1 SV=1
+SLIHELVGQRILQECKWRPLFHQSNKKLLVSTGDDVEFEGYDKLCRVEIYLSKPPKMDQTIDLGEDGGLSRMYTALSRKYNNFWEMEEAAMHFRLANPLVSGYEWRLARIRLLRDYLYAVTCRRNRLLSCHRFKITPILDSRGGSKAENVDSQNQEYLAKMEELVQRLGDENFAPLQGEPARHLERILEMAKECFM
+>sp|Q9BRX5|PSF3_HUMAN DNA replication complex GINS protein PSF3 OS=Homo sapiens OX=9606 GN=GINS3 PE=1 SV=1
+MSEAYFRVESGALGPEENFLSLDDILMSHEKLPVRTETAMPRLGAFFLERSAGAETDNAVPQGSKLELPLWLAKGLFDNKRRILSVELPKIYQEGWRTVFSADPNVVDLHKMGPHFYGFGSQLLHFDSPENADISQSLLQTFIGRFRRIMDSSQNAYNEDTSALVARLDEMERGLFQTGQKGLNDFQCWEKGQASQITASNLVQNYKKRKFTDMED
+>DECOY_sp|Q9BRX5|PSF3_HUMAN DNA replication complex GINS protein PSF3 OS=Homo sapiens OX=9606 GN=GINS3 PE=1 SV=1
+DEMDTFKRKKYNQVLNSATIQSAQGKEWCQFDNLGKQGTQFLGREMEDLRAVLASTDENYANQSSDMIRRFRGIFTQLLSQSIDANEPSDFHLLQSGFGYFHPGMKHLDVVNPDASFVTRWGEQYIKPLEVSLIRRKNDFLGKALWLPLELKSGQPVANDTEAGASRELFFAGLRPMATETRVPLKEHSMLIDDLSLFNEEPGLAGSEVRFYAESM
+>sp|Q16557|PSG3_HUMAN Pregnancy-specific beta-1-glycoprotein 3 OS=Homo sapiens OX=9606 GN=PSG3 PE=2 SV=2
+MGPLSAPPCTQRITWKGLLLTALLLNFWNLPTTAQVTIEAEPTKVSKGKDVLLLVHNLPQNLAGYIWYKGQMKDLYHYITSYVVDGQIIIYGPAYSGRETVYSNASLLIQNVTREDAGSYTLHIVKRGDGTRGETGHFTFTLYLETPKPSISSSNLYPREDMEAVSLTCDPETPDASYLWWMNGQSLPMTHSLQLSKNKRTLFLFGVTKYTAGPYECEIRNPVSASRSDPVTLNLLPKLPKPYITINNLNPRENKDVLAFTCEPKSENYTYIWWLNGQSLPVSPRVKRPIENRILILPSVTRNETGPYQCEIQDRYGGIRSYPVTLNVLYGPDLPRIYPSFTYYHSGENLYLSCFADSNPPAEYSWTINGKFQLSGQKLFIPQITTKHSGLYACSVRNSATGMESSKSMTVKVSAPSGTGHLPGLNPL
+>DECOY_sp|Q16557|PSG3_HUMAN Pregnancy-specific beta-1-glycoprotein 3 OS=Homo sapiens OX=9606 GN=PSG3 PE=2 SV=2
+LPNLGPLHGTGSPASVKVTMSKSSEMGTASNRVSCAYLGSHKTTIQPIFLKQGSLQFKGNITWSYEAPPNSDAFCSLYLNEGSHYYTFSPYIRPLDPGYLVNLTVPYSRIGGYRDQIECQYPGTENRTVSPLILIRNEIPRKVRPSVPLSQGNLWWIYTYNESKPECTFALVDKNERPNLNNITIYPKPLKPLLNLTVPDSRSASVPNRIECEYPGATYKTVGFLFLTRKNKSLQLSHTMPLSQGNMWWLYSADPTEPDCTLSVAEMDERPYLNSSSISPKPTELYLTFTFHGTEGRTGDGRKVIHLTYSGADERTVNQILLSANSYVTERGSYAPGYIIIQGDVVYSTIYHYLDKMQGKYWIYGALNQPLNHVLLLVDKGKSVKTPEAEITVQATTPLNWFNLLLATLLLGKWTIRQTCPPASLPGM
+>sp|Q00888|PSG4_HUMAN Pregnancy-specific beta-1-glycoprotein 4 OS=Homo sapiens OX=9606 GN=PSG4 PE=2 SV=3
+MGPLSAPPCTQRITWKGVLLTASLLNFWNPPTTAQVTIEAQPPKVSEGKDVLLLVHNLPQNLAGYIWYKGQMTYLYHYITSYVVDGQRIIYGPAYSGRERVYSNASLLIQNVTQEDAGSYTLHIIKRRDGTGGVTGHFTFTLHLETPKPSISSSNLNPREAMEAVILTCDPATPAASYQWWMNGQSLPMTHRLQLSKTNRTLFIFGVTKYIAGPYECEIRNPVSASRSDPVTLNLLPKLSKPYITINNLNPRENKDVLTFTCEPKSKNYTYIWWLNGQSLPVSPRVKRPIENRILILPNVTRNETGPYQCEIRDRYGGIRSDPVTLNVLYGPDLPSIYPSFTYYRSGENLYLSCFAESNPRAQYSWTINGKFQLSGQKLSIPQITTKHSGLYACSVRNSATGKESSKSITVKVSDWILP
+>DECOY_sp|Q00888|PSG4_HUMAN Pregnancy-specific beta-1-glycoprotein 4 OS=Homo sapiens OX=9606 GN=PSG4 PE=2 SV=3
+PLIWDSVKVTISKSSEKGTASNRVSCAYLGSHKTTIQPISLKQGSLQFKGNITWSYQARPNSEAFCSLYLNEGSRYYTFSPYISPLDPGYLVNLTVPDSRIGGYRDRIECQYPGTENRTVNPLILIRNEIPRKVRPSVPLSQGNLWWIYTYNKSKPECTFTLVDKNERPNLNNITIYPKSLKPLLNLTVPDSRSASVPNRIECEYPGAIYKTVGFIFLTRNTKSLQLRHTMPLSQGNMWWQYSAAPTAPDCTLIVAEMAERPNLNSSSISPKPTELHLTFTFHGTVGGTGDRRKIIHLTYSGADEQTVNQILLSANSYVRERGSYAPGYIIRQGDVVYSTIYHYLYTMQGKYWIYGALNQPLNHVLLLVDKGESVKPPQAEITVQATTPPNWFNLLSATLLVGKWTIRQTCPPASLPGM
+>sp|Q13046|PSG7_HUMAN Putative pregnancy-specific beta-1-glycoprotein 7 OS=Homo sapiens OX=9606 GN=PSG7 PE=5 SV=3
+MGPLSAPPCTQHITWKGLLLTASLLNFWNPPTTAQVTIEAQPPKVSEGKDVLLLVHNLPQNLTGYIWYKGQIRDLYHYVTSYIVDGQIIKYGPAYSGRETVYSNASLLIQNVTQEDTGSYTLHIIKRGDGTGGVTGRFTFTLYLETPKPSISSSNFNPREATEAVILTCDPETPDASYLWWMNGQSLPMTHSLQLSETNRTLYLFGVTNYTAGPYECEIRNPVSASRSDPVTLNLLPKLPKPYITINNLNPRENKDVSTFTCEPKSENYTYIWWLNGQSLPVSPRVKRRIENRILILPSVTRNETGPYQCEIRDRYGGIRSDPVTLNVLYGPDLPRIYPSFTYYHSGQNLYLSCFADSNPPAQYSWTINGKFQLSGQKLSIPQITTKHSGLYACSVRNSATGKESSKSVTVRVSDWTLP
+>DECOY_sp|Q13046|PSG7_HUMAN Putative pregnancy-specific beta-1-glycoprotein 7 OS=Homo sapiens OX=9606 GN=PSG7 PE=5 SV=3
+PLTWDSVRVTVSKSSEKGTASNRVSCAYLGSHKTTIQPISLKQGSLQFKGNITWSYQAPPNSDAFCSLYLNQGSHYYTFSPYIRPLDPGYLVNLTVPDSRIGGYRDRIECQYPGTENRTVSPLILIRNEIRRKVRPSVPLSQGNLWWIYTYNESKPECTFTSVDKNERPNLNNITIYPKPLKPLLNLTVPDSRSASVPNRIECEYPGATYNTVGFLYLTRNTESLQLSHTMPLSQGNMWWLYSADPTEPDCTLIVAETAERPNFNSSSISPKPTELYLTFTFRGTVGGTGDGRKIIHLTYSGTDEQTVNQILLSANSYVTERGSYAPGYKIIQGDVIYSTVYHYLDRIQGKYWIYGTLNQPLNHVLLLVDKGESVKPPQAEITVQATTPPNWFNLLSATLLLGKWTIHQTCPPASLPGM
+>sp|Q9UQ74|PSG8_HUMAN Pregnancy-specific beta-1-glycoprotein 8 OS=Homo sapiens OX=9606 GN=PSG8 PE=2 SV=2
+MGLLSAPPCTQRITWKGLLLTASLLNFWNPPTTAQVTIEAQPTKVSEGKDVLLLVHNLPQNLTGYIWYKGQIRDLYHYITSYVVDGQIIIYGPAYSGRETIYSNASLLIQNVTQEDAGSYTLHIIMGGDENRGVTGHFTFTLYLETPKPSISSSKLNPREAMEAVSLTCDPETPDASYLWWMNGQSLPMSHRLQLSETNRTLFLLGVTKYTAGPYECEIRNPVSASRSDPFTLNLLPKLPKPYITINNLKPRENKDVLNFTCEPKSENYTYIWWLNGQSLPVSPRVKRPIENRILILPSVTRNETGPYQCEIRDQYGGIRSYPVTLNVLYGPDLPRIYPSFTYYRSGEVLYLSCSADSNPPAQYSWTINGKFQLSGQKLFIPQITTKHSGLYACSVRNSATGKESSKSMTVKVSGKRIPVSLAIGI
+>DECOY_sp|Q9UQ74|PSG8_HUMAN Pregnancy-specific beta-1-glycoprotein 8 OS=Homo sapiens OX=9606 GN=PSG8 PE=2 SV=2
+IGIALSVPIRKGSVKVTMSKSSEKGTASNRVSCAYLGSHKTTIQPIFLKQGSLQFKGNITWSYQAPPNSDASCSLYLVEGSRYYTFSPYIRPLDPGYLVNLTVPYSRIGGYQDRIECQYPGTENRTVSPLILIRNEIPRKVRPSVPLSQGNLWWIYTYNESKPECTFNLVDKNERPKLNNITIYPKPLKPLLNLTFPDSRSASVPNRIECEYPGATYKTVGLLFLTRNTESLQLRHSMPLSQGNMWWLYSADPTEPDCTLSVAEMAERPNLKSSSISPKPTELYLTFTFHGTVGRNEDGGMIIHLTYSGADEQTVNQILLSANSYITERGSYAPGYIIIQGDVVYSTIYHYLDRIQGKYWIYGTLNQPLNHVLLLVDKGESVKTPQAEITVQATTPPNWFNLLSATLLLGKWTIRQTCPPASLLGM
+>sp|O00233|PSMD9_HUMAN 26S proteasome non-ATPase regulatory subunit 9 OS=Homo sapiens OX=9606 GN=PSMD9 PE=1 SV=3
+MSDEEARQSGGSSQAGVVTVSDVQELMRRKEEIEAQIKANYDVLESQKGIGMNEPLVDCEGYPRSDVDLYQVRTARHNIICLQNDHKAVMKQVEEALHQLHARDKEKQARDMAEAHKEAMSRKLGQSESQGPPRAFAKVNSISPGSPASIAGLQVDDEIVEFGSVNTQNFQSLHNIGSVVQHSEGKPLNVTVIRRGEKHQLRLVPTRWAGKGLLGCNIIPLQR
+>DECOY_sp|O00233|PSMD9_HUMAN 26S proteasome non-ATPase regulatory subunit 9 OS=Homo sapiens OX=9606 GN=PSMD9 PE=1 SV=3
+RQLPIINCGLLGKGAWRTPVLRLQHKEGRRIVTVNLPKGESHQVVSGINHLSQFNQTNVSGFEVIEDDVQLGAISAPSGPSISNVKAFARPPGQSESQGLKRSMAEKHAEAMDRAQKEKDRAHLQHLAEEVQKMVAKHDNQLCIINHRATRVQYLDVDSRPYGECDVLPENMGIGKQSELVDYNAKIQAEIEEKRRMLEQVDSVTVVGAQSSGGSQRAEEDSM
+>sp|Q92530|PSMF1_HUMAN Proteasome inhibitor PI31 subunit OS=Homo sapiens OX=9606 GN=PSMF1 PE=1 SV=2
+MAGLEVLFASAAPAITCRQDALVCFLHWEVVTHGYFGLGVGDQPGPNDKKSELLPAGWNNNKDLYVLRYEYKDGSRKLLVKAITVESSMILNVLEYGSQQVADLTLNLDDYIDAEHLGDFHRTYKNSEELRSRIVSGIITPIHEQWEKANVSSPHREFPPATAREVDPLRIPPHHPHTSRQPPWCDPLGPFVVGGEDLDPFGPRRGGMIVDPLRSGFPRALIDPSSGLPNRLPPGAVPPGARFDPFGPIGTSPPGPNPDHLPPPGYDDMYL
+>DECOY_sp|Q92530|PSMF1_HUMAN Proteasome inhibitor PI31 subunit OS=Homo sapiens OX=9606 GN=PSMF1 PE=1 SV=2
+LYMDDYGPPPLHDPNPGPPSTGIPGFPDFRAGPPVAGPPLRNPLGSSPDILARPFGSRLPDVIMGGRRPGFPDLDEGGVVFPGLPDCWPPQRSTHPHHPPIRLPDVERATAPPFERHPSSVNAKEWQEHIPTIIGSVIRSRLEESNKYTRHFDGLHEADIYDDLNLTLDAVQQSGYELVNLIMSSEVTIAKVLLKRSGDKYEYRLVYLDKNNNWGAPLLESKKDNPGPQDGVGLGFYGHTVVEWHLFCVLADQRCTIAPAASAFLVELGAM
+>sp|Q7Z6K3|PTAR1_HUMAN Protein prenyltransferase alpha subunit repeat-containing protein 1 OS=Homo sapiens OX=9606 GN=PTAR1 PE=1 SV=2
+MAETSEEVAVLVQRVVKDITNAFRRNPHIDEIGLIPCPEARYNRSPIVLVENKLGVESWCVKFLLPYVHNKLLLYRTRKQWLNRDELIDVTCTLLLLNPDFTTAWNVRKELILSGTLNPIKDLHLGKLALTKFPKSPETWIHRRWVLQQLIQETSLPSFVTKGNLGTIPTERAQRLIQEEMEVCGEAAGRYPSNYNAWSHRIWVLQHLAKLDVKILLDELSSTKHWASMHVSDHSGFHYRQFLLKSLISQTVIDSSVMEQNPLRSEPALVPPKDEEAAVSTEEPRINLPHLLEEEVEFSTDLIDSYPGHETLWCHRRHIFYLQHHLNAGSQLSQAMEVDGLNDSSKQGYSQETKRLKRTPVPDSLGLEMEHRFIDQVLSTCRNVEQARFASAYRKWLVTLSQ
+>DECOY_sp|Q7Z6K3|PTAR1_HUMAN Protein prenyltransferase alpha subunit repeat-containing protein 1 OS=Homo sapiens OX=9606 GN=PTAR1 PE=1 SV=2
+QSLTVLWKRYASAFRAQEVNRCTSLVQDIFRHEMELGLSDPVPTRKLRKTEQSYGQKSSDNLGDVEMAQSLQSGANLHHQLYFIHRRHCWLTEHGPYSDILDTSFEVEEELLHPLNIRPEETSVAAEEDKPPVLAPESRLPNQEMVSSDIVTQSILSKLLFQRYHFGSHDSVHMSAWHKTSSLEDLLIKVDLKALHQLVWIRHSWANYNSPYRGAAEGCVEMEEQILRQARETPITGLNGKTVFSPLSTEQILQQLVWRRHIWTEPSKPFKTLALKGLHLDKIPNLTGSLILEKRVNWATTFDPNLLLLTCTVDILEDRNLWQKRTRYLLLKNHVYPLLFKVCWSEVGLKNEVLVIPSRNYRAEPCPILGIEDIHPNRRFANTIDKVVRQVLVAVEESTEAM
+>sp|Q7RTS3|PTF1A_HUMAN Pancreas transcription factor 1 subunit alpha OS=Homo sapiens OX=9606 GN=PTF1A PE=1 SV=1
+MDAVLLEHFPGGLDAFPSSYFDEDDFFTDQSSRDPLEDGDELLADEQAEVEFLSHQLHEYCYRDGACLLLQPAPPAAPLALAPPSSGGLGEPDDGGGGGYCCETGAPPGGFPYSPGSPPSCLAYPCAGAAVLSPGARLRGLSGAAAAAARRRRRVRSEAELQQLRQAANVRERRRMQSINDAFEGLRSHIPTLPYEKRLSKVDTLRLAIGYINFLSELVQADLPLRGGGAGGCGGPGGGGRLGGDSPGSQAQKVIICHRGTRSPSPSDPDYGLPPLAGHSLSWTDEKQLKEQNIIRTAKVWTPEDPRKLNSKSSFNNIENEPPFEFVS
+>DECOY_sp|Q7RTS3|PTF1A_HUMAN Pancreas transcription factor 1 subunit alpha OS=Homo sapiens OX=9606 GN=PTF1A PE=1 SV=1
+SVFEFPPENEINNFSSKSNLKRPDEPTWVKATRIINQEKLQKEDTWSLSHGALPPLGYDPDSPSPSRTGRHCIIVKQAQSGPSDGGLRGGGGPGGCGGAGGGRLPLDAQVLESLFNIYGIALRLTDVKSLRKEYPLTPIHSRLGEFADNISQMRRRERVNAAQRLQQLEAESRVRRRRRAAAAAAGSLGRLRAGPSLVAAGACPYALCSPPSGPSYPFGGPPAGTECCYGGGGGDDPEGLGGSSPPALALPAAPPAPQLLLCAGDRYCYEHLQHSLFEVEAQEDALLEDGDELPDRSSQDTFFDDEDFYSSPFADLGGPFHELLVADM
+>sp|E9PB15|PTG3L_HUMAN Putative protein PTGES3L OS=Homo sapiens OX=9606 GN=PTGES3L PE=5 SV=1
+MFSLPLNCSPDHIRRGSCWGRPQDLKIAAPAWNSKCHPGAGAAMARQHARTLWYDRPRYVFMEFCVEDSTDVHVLIEDHRIVFSCKNADGVELYNEIEFYAKVNSKPVWLSVDFDNWRDWEGDEEMELAHVEHYAELLKKVSTKRPPPAMDDLDDDSDSADDATSN
+>DECOY_sp|E9PB15|PTG3L_HUMAN Putative protein PTGES3L OS=Homo sapiens OX=9606 GN=PTGES3L PE=5 SV=1
+NSTADDASDSDDDLDDMAPPPRKTSVKKLLEAYHEVHALEMEEDGEWDRWNDFDVSLWVPKSNVKAYFEIENYLEVGDANKCSFVIRHDEILVHVDTSDEVCFEMFVYRPRDYWLTRAHQRAMAAGAGPHCKSNWAPAAIKLDQPRGWCSGRRIHDPSCNLPLSFM
+>sp|Q15678|PTN14_HUMAN Tyrosine-protein phosphatase non-receptor type 14 OS=Homo sapiens OX=9606 GN=PTPN14 PE=1 SV=2
+MPFGLKLRRTRRYNVLSKNCFVTRIRLLDSNVIECTLSVESTGQECLEAVAQRLELRETHYFGLWFLSKSQQARWVELEKPLKKHLDKFANEPLLFFGVMFYVPNVSWLQQEATRYQYYLQVKKDVLEGRLRCTLDQVIRLAGLAVQADFGDYNQFDSQDFLREYVLFPMDLALEEAVLEELTQKVAQEHKAHSGILPAEAELMYINEVERLDGFGQEIFPVKDNHGNCVHLGIFFMGIFVRNRIGRQAVIYRWNDMGNITHNKSTILVELINKEETALFHTDDIENAKYISRLFATRHKFYKQNKICTEQSNSPPPIRRQPTWSRSSLPRQQPYILPPVHVQCGEHYSETHTSQDSIFHGNEEALYCNSHNSLDLNYLNGTVTNGSVCSVHSVNSLNCSQSFIQASPVSSNLSIPGSDIMRADYIPSHRHSAIIVPSYRPTPDYETVMRQMKRGILHTDSQSQSLRNLNIINTHAYNQPEDLVYSQPEMRERHPYTVPYGPQGVYSNKLVSPSDQRNPKNNVVPSKPGASAISHTVSTPELANMQLQGSHNYSTAHMLKNYLFRPPPPYPRPRPATSTPDLASHRHKYVSGSSPDLVTRKVQLSVKTFQEDSSPVVHQSLQEVSEPLTATKHHGTVNKRHSLEVMNSMVRGMEAMTLKSLHLPMARRNTLREQGPPEEGSGSHEVPQLPQYHHKKTFSDATMLIHSSESEEEEEEAPESVPQIPMLREKMEYSAQLQAALARIPNKPPPEYPGPRKSVSNGALRQDQASLPPAMARARVLRHGPAKAISMSRTDPPAVNGASLGPSISEPDLTSVKERVKKEPVKERPVSEMFSLEDSIIEREMMIRNLEKQKMAGLEAQKRPLMLAALNGLSVARVSGREENRVDATRVPMDERFRTLKKKLEEGMVFTEYEQIPKKKANGIFSTAALPENAERSRIREVVPYEENRVELIPTKENNTGYINASHIKVVVGGAEWHYIATQGPLPHTCHDFWQMVWEQGVNVIAMVTAEEEGGRTKSHRYWPKLGSKHSSATYGKFKVTTKFRTDSVCYATTGLKVKHLLSGQERTVWHLQYTDWPDHGCPEDVQGFLSYLEEIQSVRRHTNSMLEGTKNRHPPIVVHCSAGVGRTGVLILSELMIYCLEHNEKVEVPMMLRLLREQRMFMIQTIAQYKFVYQVLIQFLQNSRLI
+>DECOY_sp|Q15678|PTN14_HUMAN Tyrosine-protein phosphatase non-receptor type 14 OS=Homo sapiens OX=9606 GN=PTPN14 PE=1 SV=2
+ILRSNQLFQILVQYVFKYQAITQIMFMRQERLLRLMMPVEVKENHELCYIMLESLILVGTRGVGASCHVVIPPHRNKTGELMSNTHRRVSQIEELYSLFGQVDEPCGHDPWDTYQLHWVTREQGSLLHKVKLGTTAYCVSDTRFKTTVKFKGYTASSHKSGLKPWYRHSKTRGGEEEATVMAIVNVGQEWVMQWFDHCTHPLPGQTAIYHWEAGGVVVKIHSANIYGTNNEKTPILEVRNEEYPVVERIRSREANEPLAATSFIGNAKKKPIQEYETFVMGEELKKKLTRFREDMPVRTADVRNEERGSVRAVSLGNLAALMLPRKQAELGAMKQKELNRIMMEREIISDELSFMESVPREKVPEKKVREKVSTLDPESISPGLSAGNVAPPDTRSMSIAKAPGHRLVRARAMAPPLSAQDQRLAGNSVSKRPGPYEPPPKNPIRALAAQLQASYEMKERLMPIQPVSEPAEEEEEESESSHILMTADSFTKKHHYQPLQPVEHSGSGEEPPGQERLTNRRAMPLHLSKLTMAEMGRVMSNMVELSHRKNVTGHHKTATLPESVEQLSQHVVPSSDEQFTKVSLQVKRTVLDPSSGSVYKHRHSALDPTSTAPRPRPYPPPPRFLYNKLMHATSYNHSGQLQMNALEPTSVTHSIASAGPKSPVVNNKPNRQDSPSVLKNSYVGQPGYPVTYPHRERMEPQSYVLDEPQNYAHTNIINLNRLSQSQSDTHLIGRKMQRMVTEYDPTPRYSPVIIASHRHSPIYDARMIDSGPISLNSSVPSAQIFSQSCNLSNVSHVSCVSGNTVTGNLYNLDLSNHSNCYLAEENGHFISDQSTHTESYHEGCQVHVPPLIYPQQRPLSSRSWTPQRRIPPPSNSQETCIKNQKYFKHRTAFLRSIYKANEIDDTHFLATEEKNILEVLITSKNHTINGMDNWRYIVAQRGIRNRVFIGMFFIGLHVCNGHNDKVPFIEQGFGDLREVENIYMLEAEAPLIGSHAKHEQAVKQTLEELVAEELALDMPFLVYERLFDQSDFQNYDGFDAQVALGALRIVQDLTCRLRGELVDKKVQLYYQYRTAEQQLWSVNPVYFMVGFFLLPENAFKDLHKKLPKELEVWRAQQSKSLFWLGFYHTERLELRQAVAELCEQGTSEVSLTCEIVNSDLLRIRTVFCNKSLVNYRRTRRLKLGFPM
+>sp|P18031|PTN1_HUMAN Tyrosine-protein phosphatase non-receptor type 1 OS=Homo sapiens OX=9606 GN=PTPN1 PE=1 SV=1
+MEMEKEFEQIDKSGSWAAIYQDIRHEASDFPCRVAKLPKNKNRNRYRDVSPFDHSRIKLHQEDNDYINASLIKMEEAQRSYILTQGPLPNTCGHFWEMVWEQKSRGVVMLNRVMEKGSLKCAQYWPQKEEKEMIFEDTNLKLTLISEDIKSYYTVRQLELENLTTQETREILHFHYTTWPDFGVPESPASFLNFLFKVRESGSLSPEHGPVVVHCSAGIGRSGTFCLADTCLLLMDKRKDPSSVDIKKVLLEMRKFRMGLIQTADQLRFSYLAVIEGAKFIMGDSSVQDQWKELSHEDLEPPPEHIPPPPRPPKRILEPHNGKCREFFPNHQWVKEETQEDKDCPIKEEKGSPLNAAPYGIESMSQDTEVRSRVVGGSLRGAQAASPAKGEPSLPEKDEDHALSYWKPFLVNMCVATVLTAGAYLCYRFLFNSNT
+>DECOY_sp|P18031|PTN1_HUMAN Tyrosine-protein phosphatase non-receptor type 1 OS=Homo sapiens OX=9606 GN=PTPN1 PE=1 SV=1
+TNSNFLFRYCLYAGATLVTAVCMNVLFPKWYSLAHDEDKEPLSPEGKAPSAAQAGRLSGGVVRSRVETDQSMSEIGYPAANLPSGKEEKIPCDKDEQTEEKVWQHNPFFERCKGNHPELIRKPPRPPPPIHEPPPELDEHSLEKWQDQVSSDGMIFKAGEIVALYSFRLQDATQILGMRFKRMELLVKKIDVSSPDKRKDMLLLCTDALCFTGSRGIGASCHVVVPGHEPSLSGSERVKFLFNLFSAPSEPVGFDPWTTYHFHLIERTEQTTLNELELQRVTYYSKIDESILTLKLNTDEFIMEKEEKQPWYQACKLSGKEMVRNLMVVGRSKQEWVMEWFHGCTNPLPGQTLIYSRQAEEMKILSANIYDNDEQHLKIRSHDFPSVDRYRNRNKNKPLKAVRCPFDSAEHRIDQYIAAWSGSKDIQEFEKEMEM
+>sp|Q9Y2R2|PTN22_HUMAN Tyrosine-protein phosphatase non-receptor type 22 OS=Homo sapiens OX=9606 GN=PTPN22 PE=1 SV=2
+MDQREILQKFLDEAQSKKITKEEFANEFLKLKRQSTKYKADKTYPTTVAEKPKNIKKNRYKDILPYDYSRVELSLITSDEDSSYINANFIKGVYGPKAYIATQGPLSTTLLDFWRMIWEYSVLIIVMACMEYEMGKKKCERYWAEPGEMQLEFGPFSVSCEAEKRKSDYIIRTLKVKFNSETRTIYQFHYKNWPDHDVPSSIDPILELIWDVRCYQEDDSVPICIHCSAGCGRTGVICAIDYTWMLLKDGIIPENFSVFSLIREMRTQRPSLVQTQEQYELVYNAVLELFKRQMDVIRDKHSGTESQAKHCIPEKNHTLQADSYSPNLPKSTTKAAKMMNQQRTKMEIKESSSFDFRTSEISAKEELVLHPAKSSTSFDFLELNYSFDKNADTTMKWQTKAFPIVGEPLQKHQSLDLGSLLFEGCSNSKPVNAAGRYFNSKVPITRTKSTPFELIQQRETKEVDSKENFSYLESQPHDSCFVEMQAQKVMHVSSAELNYSLPYDSKHQIRNASNVKHHDSSALGVYSYIPLVENPYFSSWPPSGTSSKMSLDLPEKQDGTVFPSSLLPTSSTSLFSYYNSHDSLSLNSPTNISSLLNQESAVLATAPRIDDEIPPPLPVRTPESFIVVEEAGEFSPNVPKSLSSAVKVKIGTSLEWGGTSEPKKFDDSVILRPSKSVKLRSPKSELHQDRSSPPPPLPERTLESFFLADEDCMQAQSIETYSTSYPDTMENSTSSKQTLKTPGKSFTRSKSLKILRNMKKSICNSCPPNKPAESVQSNNSSSFLNFGFANRFSKPKGPRNPPPTWNI
+>DECOY_sp|Q9Y2R2|PTN22_HUMAN Tyrosine-protein phosphatase non-receptor type 22 OS=Homo sapiens OX=9606 GN=PTPN22 PE=1 SV=2
+INWTPPPNRPGKPKSFRNAFGFNLFSSSNNSQVSEAPKNPPCSNCISKKMNRLIKLSKSRTFSKGPTKLTQKSSTSNEMTDPYSTSYTEISQAQMCDEDALFFSELTREPLPPPPSSRDQHLESKPSRLKVSKSPRLIVSDDFKKPESTGGWELSTGIKVKVASSLSKPVNPSFEGAEEVVIFSEPTRVPLPPPIEDDIRPATALVASEQNLLSSINTPSNLSLSDHSNYYSFLSTSSTPLLSSPFVTGDQKEPLDLSMKSSTGSPPWSSFYPNEVLPIYSYVGLASSDHHKVNSANRIQHKSDYPLSYNLEASSVHMVKQAQMEVFCSDHPQSELYSFNEKSDVEKTERQQILEFPTSKTRTIPVKSNFYRGAANVPKSNSCGEFLLSGLDLSQHKQLPEGVIPFAKTQWKMTTDANKDFSYNLELFDFSTSSKAPHLVLEEKASIESTRFDFSSSEKIEMKTRQQNMMKAAKTTSKPLNPSYSDAQLTHNKEPICHKAQSETGSHKDRIVDMQRKFLELVANYVLEYQEQTQVLSPRQTRMERILSFVSFNEPIIGDKLLMWTYDIACIVGTRGCGASCHICIPVSDDEQYCRVDWILELIPDISSPVDHDPWNKYHFQYITRTESNFKVKLTRIIYDSKRKEAECSVSFPGFELQMEGPEAWYRECKKKGMEYEMCAMVIILVSYEWIMRWFDLLTTSLPGQTAIYAKPGYVGKIFNANIYSSDEDSTILSLEVRSYDYPLIDKYRNKKINKPKEAVTTPYTKDAKYKTSQRKLKLFENAFEEKTIKKSQAEDLFKQLIERQDM
+>sp|Q86YD1|PTOV1_HUMAN Prostate tumor-overexpressed gene 1 protein OS=Homo sapiens OX=9606 GN=PTOV1 PE=1 SV=1
+MVRPRRAPYRSGAGGPLGGRGRPPRPLVVRAVRSRSWPASPRGPQPPRIRARSAPPMEGARVFGALGPIGPSSPGLTLGGLAVSEHRLSNKLLAWSGVLEWQEKRRPYSDSTAKLKRTLPCQAYVNQGENLETDQWPQKLIMQLIPQQLLTTLGPLFRNSQLAQFHFTNRDCDSLKGLCRIMGNGFAGCMLFPHISPCEVRVLMLLYSSKKKIFMGLIPYDQSGFVSAIRQVITTRKQAVGPGGVNSGPVQIVNNKFLAWSGVMEWQEPRPEPNSRSKRWLPSHVYVNQGEILRTEQWPRKLYMQLIPQQLLTTLVPLFRNSRLVQFHFTKDLETLKSLCRIMDNGFAGCVHFSYKASCEIRVLMLLYSSEKKIFIGLIPHDQGNFVNGIRRVIANQQQVLQRNLEQEQQQRGMGG
+>DECOY_sp|Q86YD1|PTOV1_HUMAN Prostate tumor-overexpressed gene 1 protein OS=Homo sapiens OX=9606 GN=PTOV1 PE=1 SV=1
+GGMGRQQQEQELNRQLVQQQNAIVRRIGNVFNGQDHPILGIFIKKESSYLLMLVRIECSAKYSFHVCGAFGNDMIRCLSKLTELDKTFHFQVLRSNRFLPVLTTLLQQPILQMYLKRPWQETRLIEGQNVYVHSPLWRKSRSNPEPRPEQWEMVGSWALFKNNVIQVPGSNVGGPGVAQKRTTIVQRIASVFGSQDYPILGMFIKKKSSYLLMLVRVECPSIHPFLMCGAFGNGMIRCLGKLSDCDRNTFHFQALQSNRFLPGLTTLLQQPILQMILKQPWQDTELNEGQNVYAQCPLTRKLKATSDSYPRRKEQWELVGSWALLKNSLRHESVALGGLTLGPSSPGIPGLAGFVRAGEMPPASRARIRPPQPGRPSAPWSRSRVARVVLPRPPRGRGGLPGGAGSRYPARRPRVM
+>sp|Q92932|PTPR2_HUMAN Receptor-type tyrosine-protein phosphatase N2 OS=Homo sapiens OX=9606 GN=PTPRN2 PE=1 SV=2
+MGPPLPLLLLLLLLLPPRVLPAAPSSVPRGRQLPGRLGCLLEEGLCGASEACVNDGVFGRCQKVPAMDFYRYEVSPVALQRLRVALQKLSGTGFTWQDDYTQYVMDQELADLPKTYLRRPEASSPARPSKHSVGSERRYSREGGAALANALRRHLPFLEALSQAPASDVLARTHTAQDRPPAEGDDRFSESILTYVAHTSALTYPPGSRTQLREDLLPRTLGQLQPDELSPKVDSGVDRHHLMAALSAYAAQRPPAPPGEGSLEPQYLLRAPSRMPRPLLAPAAPQKWPSPLGDSEDPSSTGDGARIHTLLKDLQRQPAEVRGLSGLELDGMAELMAGLMQGVDHGVARGSPGRAALGESGEQADGPKATLRGDSFPDDGVQDDDDRLYQEVHRLSATLGGLLQDHGSRLLPGALPFARPLDMERKKSEHPESSLSSEEETAGVENVKSQTYSKDLLGQQPHSEPGAAAFGELQNQMPGPSKEEQSLPAGAQEALSDGLQLEVQPSEEEARGYIVTDRDPLRPEEGRRLVEDVARLLQVPSSAFADVEVLGPAVTFKVSANVQNVTTEDVEKATVDNKDKLEETSGLKILQTGVGSKSKLKFLPPQAEQEDSTKFIALTLVSLACILGVLLASGLIYCLRHSSQHRLKEKLSGLGGDPGADATAAYQELCRQRMATRPPDRPEGPHTSRISSVSSQFSDGPIPSPSARSSASSWSEEPVQSNMDISTGHMILSYMEDHLKNKNRLEKEWEALCAYQAEPNSSFVAQREENVPKNRSLAVLTYDHSRVLLKAENSHSHSDYINASPIMDHDPRNPAYIATQGPLPATVADFWQMVWESGCVVIVMLTPLAENGVRQCYHYWPDEGSNLYHIYEVNLVSEHIWCEDFLVRSFYLKNLQTNETRTVTQFHFLSWYDRGVPSSSRSLLDFRRKVNKCYRGRSCPIIVHCSDGAGRSGTYVLIDMVLNKMAKGAKEIDIAATLEHLRDQRPGMVQTKEQFEFALTAVAEEVNAILKALPQ
+>DECOY_sp|Q92932|PTPR2_HUMAN Receptor-type tyrosine-protein phosphatase N2 OS=Homo sapiens OX=9606 GN=PTPRN2 PE=1 SV=2
+QPLAKLIANVEEAVATLAFEFQEKTQVMGPRQDRLHELTAAIDIEKAGKAMKNLVMDILVYTGSRGAGDSCHVIIPCSRGRYCKNVKRRFDLLSRSSSPVGRDYWSLFHFQTVTRTENTQLNKLYFSRVLFDECWIHESVLNVEYIHYLNSGEDPWYHYCQRVGNEALPTLMVIVVCGSEWVMQWFDAVTAPLPGQTAIYAPNRPDHDMIPSANIYDSHSHSNEAKLLVRSHDYTLVALSRNKPVNEERQAVFSSNPEAQYACLAEWEKELRNKNKLHDEMYSLIMHGTSIDMNSQVPEESWSSASSRASPSPIPGDSFQSSVSSIRSTHPGEPRDPPRTAMRQRCLEQYAATADAGPDGGLGSLKEKLRHQSSHRLCYILGSALLVGLICALSVLTLAIFKTSDEQEAQPPLFKLKSKSGVGTQLIKLGSTEELKDKNDVTAKEVDETTVNQVNASVKFTVAPGLVEVDAFASSPVQLLRAVDEVLRRGEEPRLPDRDTVIYGRAEEESPQVELQLGDSLAEQAGAPLSQEEKSPGPMQNQLEGFAAAGPESHPQQGLLDKSYTQSKVNEVGATEEESSLSSEPHESKKREMDLPRAFPLAGPLLRSGHDQLLGGLTASLRHVEQYLRDDDDQVGDDPFSDGRLTAKPGDAQEGSEGLAARGPSGRAVGHDVGQMLGAMLEAMGDLELGSLGRVEAPQRQLDKLLTHIRAGDGTSSPDESDGLPSPWKQPAAPALLPRPMRSPARLLYQPELSGEGPPAPPRQAAYASLAAMLHHRDVGSDVKPSLEDPQLQGLTRPLLDERLQTRSGPPYTLASTHAVYTLISESFRDDGEAPPRDQATHTRALVDSAPAQSLAELFPLHRRLANALAAGGERSYRRESGVSHKSPRAPSSAEPRRLYTKPLDALEQDMVYQTYDDQWTFGTGSLKQLAVRLRQLAVPSVEYRYFDMAPVKQCRGFVGDNVCAESAGCLGEELLCGLRGPLQRGRPVSSPAAPLVRPPLLLLLLLLLPLPPGM
+>sp|P23467|PTPRB_HUMAN Receptor-type tyrosine-protein phosphatase beta OS=Homo sapiens OX=9606 GN=PTPRB PE=1 SV=3
+MLSHGAGLALWITLSLLQTGLAEPERCNFTLAESKASSHSVSIQWRILGSPCNFSLIYSSDTLGAALCPTFRIDNTTYGCNLQDLQAGTIYNFRIISLDEERTVVLQTDPLPPARFGVSKEKTTSTSLHVWWTPSSGKVTSYEVQLFDENNQKIQGVQIQESTSWNEYTFFNLTAGSKYNIAITAVSGGKRSFSVYTNGSTVPSPVKDIGISTKANSLLISWSHGSGNVERYRLMLMDKGILVHGGVVDKHATSYAFHGLTPGYLYNLTVMTEAAGLQNYRWKLVRTAPMEVSNLKVTNDGSLTSLKVKWQRPPGNVDSYNITLSHKGTIKESRVLAPWITETHFKELVPGRLYQVTVSCVSGELSAQKMAVGRTFPDKVANLEANNNGRMRSLVVSWSPPAGDWEQYRILLFNDSVVLLNITVGKEETQYVMDDTGLVPGRQYEVEVIVESGNLKNSERCQGRTVPLAVLQLRVKHANETSLSIMWQTPVAEWEKYIISLADRDLLLIHKSLSKDAKEFTFTDLVPGRKYMATVTSISGDLKNSSSVKGRTVPAQVTDLHVANQGMTSSLFTNWTQAQGDVEFYQVLLIHENVVIKNESISSETSRYSFHSLKSGSLYSVVVTTVSGGISSRQVVVEGRTVPSSVSGVTVNNSGRNDYLSVSWLLAPGDVDNYEVTLSHDGKVVQSLVIAKSVRECSFSSLTPGRLYTVTITTRSGKYENHSFSQERTVPDKVQGVSVSNSARSDYLRVSWVHATGDFDHYEVTIKNKNNFIQTKSIPKSENECVFVQLVPGRLYSVTVTTKSGQYEANEQGNGRTIPEPVKDLTLRNRSTEDLHVTWSGANGDVDQYEIQLLFNDMKVFPPFHLVNTATEYRFTSLTPGRQYKILVLTISGDVQQSAFIEGFTVPSAVKNIHISPNGATDSLTVNWTPGGGDVDSYTVSAFRHSQKVDSQTIPKHVFEHTFHRLEAGEQYQIMIASVSGSLKNQINVVGRTVPASVQGVIADNAYSSYSLIVSWQKAAGVAERYDILLLTENGILLRNTSEPATTKQHKFEDLTPGKKYKIQILTVSGGLFSKEAQTEGRTVPAAVTDLRITENSTRHLSFRWTASEGELSWYNIFLYNPDGNLQERAQVDPLVQSFSFQNLLQGRMYKMVIVTHSGELSNESFIFGRTVPASVSHLRGSNRNTTDSLWFNWSPASGDFDFYELILYNPNGTKKENWKDKDLTEWRFQGLVPGRKYVLWVVTHSGDLSNKVTAESRTAPSPPSLMSFADIANTSLAITWKGPPDWTDYNDFELQWLPRDALTVFNPYNNRKSEGRIVYGLRPGRSYQFNVKTVSGDSWKTYSKPIFGSVRTKPDKIQNLHCRPQNSTAIACSWIPPDSDFDGYSIECRKMDTQEVEFSRKLEKEKSLLNIMMLVPHKRYLVSIKVQSAGMTSEVVEDSTITMIDRPPPPPPHIRVNEKDVLISKSSINFTVNCSWFSDTNGAVKYFTVVVREADGSDELKPEQQHPLPSYLEYRHNASIRVYQTNYFASKCAENPNSNSKSFNIKLGAEMESLGGKCDPTQQKFCDGPLKPHTAYRISIRAFTQLFDEDLKEFTKPLYSDTFFSLPITTESEPLFGAIEGVSAGLFLIGMLVAVVALLICRQKVSHGRERPSARLSIRRDRPLSVHLNLGQKGNRKTSCPIKINQFEGHFMKLQADSNYLLSKEYEELKDVGRNQSCDIALLPENRGKNRYNNILPYDATRVKLSNVDDDPCSDYINASYIPGNNFRREYIVTQGPLPGTKDDFWKMVWEQNVHNIVMVTQCVEKGRVKCDHYWPADQDSLYYGDLILQMLSESVLPEWTIREFKICGEEQLDAHRLIRHFHYTVWPDHGVPETTQSLIQFVRTVRDYINRSPGAGPTVVHCSAGVGRTGTFIALDRILQQLDSKDSVDIYGAVHDLRLHRVHMVQTECQYVYLHQCVRDVLRARKLRSEQENPLFPIYENVNPEYHRDPVYSRH
+>DECOY_sp|P23467|PTPRB_HUMAN Receptor-type tyrosine-protein phosphatase beta OS=Homo sapiens OX=9606 GN=PTPRB PE=1 SV=3
+HRSYVPDRHYEPNVNEYIPFLPNEQESRLKRARLVDRVCQHLYVYQCETQVMHVRHLRLDHVAGYIDVSDKSDLQQLIRDLAIFTGTRGVGASCHVVTPGAGPSRNIYDRVTRVFQILSQTTEPVGHDPWVTYHFHRILRHADLQEEGCIKFERITWEPLVSESLMQLILDGYYLSDQDAPWYHDCKVRGKEVCQTVMVINHVNQEWVMKWFDDKTGPLPGQTVIYERRFNNGPIYSANIYDSCPDDDVNSLKVRTADYPLINNYRNKGRNEPLLAIDCSQNRGVDKLEEYEKSLLYNSDAQLKMFHGEFQNIKIPCSTKRNGKQGLNLHVSLPRDRRISLRASPRERGHSVKQRCILLAVVAVLMGILFLGASVGEIAGFLPESETTIPLSFFTDSYLPKTFEKLDEDFLQTFARISIRYATHPKLPGDCFKQQTPDCKGGLSEMEAGLKINFSKSNSNPNEACKSAFYNTQYVRISANHRYELYSPLPHQQEPKLEDSGDAERVVVTFYKVAGNTDSFWSCNVTFNISSKSILVDKENVRIHPPPPPPRDIMTITSDEVVESTMGASQVKISVLYRKHPVLMMINLLSKEKELKRSFEVEQTDMKRCEISYGDFDSDPPIWSCAIATSNQPRCHLNQIKDPKTRVSGFIPKSYTKWSDGSVTKVNFQYSRGPRLGYVIRGESKRNNYPNFVTLADRPLWQLEFDNYDTWDPPGKWTIALSTNAIDAFSMLSPPSPATRSEATVKNSLDGSHTVVWLVYKRGPVLGQFRWETLDKDKWNEKKTGNPNYLILEYFDFDGSAPSWNFWLSDTTNRNSGRLHSVSAPVTRGFIFSENSLEGSHTVIVMKYMRGQLLNQFSFSQVLPDVQAREQLNGDPNYLFINYWSLEGESATWRFSLHRTSNETIRLDTVAAPVTRGETQAEKSFLGGSVTLIQIKYKKGPTLDEFKHQKTTAPESTNRLLIGNETLLLIDYREAVGAAKQWSVILSYSSYANDAIVGQVSAPVTRGVVNIQNKLSGSVSAIMIQYQEGAELRHFTHEFVHKPITQSDVKQSHRFASVTYSDVDGGGPTWNVTLSDTAGNPSIHINKVASPVTFGEIFASQQVDGSITLVLIKYQRGPTLSTFRYETATNVLHFPPFVKMDNFLLQIEYQDVDGNAGSWTVHLDETSRNRLTLDKVPEPITRGNGQENAEYQGSKTTVTVSYLRGPVLQVFVCENESKPISKTQIFNNKNKITVEYHDFDGTAHVWSVRLYDSRASNSVSVGQVKDPVTREQSFSHNEYKGSRTTITVTYLRGPTLSSFSCERVSKAIVLSQVVKGDHSLTVEYNDVDGPALLWSVSLYDNRGSNNVTVGSVSSPVTRGEVVVQRSSIGGSVTTVVVSYLSGSKLSHFSYRSTESSISENKIVVNEHILLVQYFEVDGQAQTWNTFLSSTMGQNAVHLDTVQAPVTRGKVSSSNKLDGSISTVTAMYKRGPVLDTFTFEKADKSLSKHILLLDRDALSIIYKEWEAVPTQWMISLSTENAHKVRLQLVALPVTRGQCRESNKLNGSEVIVEVEYQRGPVLGTDDMVYQTEEKGVTINLLVVSDNFLLIRYQEWDGAPPSWSVVLSRMRGNNNAELNAVKDPFTRGVAMKQASLEGSVCSVTVQYLRGPVLEKFHTETIWPALVRSEKITGKHSLTINYSDVNGPPRQWKVKLSTLSGDNTVKLNSVEMPATRVLKWRYNQLGAAETMVTLNYLYGPTLGHFAYSTAHKDVVGGHVLIGKDMLMLRYREVNGSGHSWSILLSNAKTSIGIDKVPSPVTSGNTYVSFSRKGGSVATIAINYKSGATLNFFTYENWSTSEQIQVGQIKQNNEDFLQVEYSTVKGSSPTWWVHLSTSTTKEKSVGFRAPPLPDTQLVVTREEDLSIIRFNYITGAQLDQLNCGYTTNDIRFTPCLAAGLTDSSYILSFNCPSGLIRWQISVSHSSAKSEALTFNCREPEALGTQLLSLTIWLALGAGHSLM
+>sp|Q5THK1|PR14L_HUMAN Protein PRR14L OS=Homo sapiens OX=9606 GN=PRR14L PE=1 SV=1
+MLSSGVETQPVPLDSSMSAVVQELYSELPVSVSRELHADPEPSVIPDVKPGASSSLLSQNRALPLELQRTHVESCCEETYETLDHGSEPGRCGLVDSTAGGSVASGILDRAKRSESMEPKVFRDPGGQAGIIREPSEGAKEDPHQHSTAAEEKTSPSQEDLLMQSSKELSHVDLPEDFLRSKEGNVQITAETLLKSAEVQGMKVNGTKTDNNEGHKNGNVSKDLSAGCGEFQEVDKIMTSDEVSETSTLVTPEPLTFVDPVLTEATPKEKECEELKSCPWLSLPGNSAISNVDNGKEELCKPNLVCEADDNHQQLHGHHNEQPSSTHDSPTATSPLKENSEVSCFTSDLSGPESRTISLENCGFEGGGLLKRSAEKTDSSYFYRGDDQGKNLASREENEERLLIPRSERGGPFLFNAREPEKEISGRCSGEKEPVVSPKENIHNNCIQDSLHTGNSSSLMPNSFTEATEVMLNKNDLKITVHVQGNLTNPEDHKETFTNMSHPGGHSEESSFSSLMQIEEAGQTTPVEPNILSKSFYTKDCNSLVSIQRNLEGNTQLNEASCNDFLFERKSIVSLMPEDQISPVSEVLKPKQGTALLLPSPEFDYRPESEKVIQTSHDDIPLLDEQSIACEMNELSCTNELVVNKVESECVLNQQVSLNSQEHANLPTDSLLHLNKEMPLATGRDAHQSHHPPLEGRADVIADIQTIPIQTKIKDISPPGNQTCGASSNCPTLNIKPVSLERKKEMADSGTKALHSRLRSNKREAAGFPQVVSVIECHSVQSQDISSCHRVRKNVSQENMCSASAAFKSSKISLQVDNSLITKYENAFQHRDHCCQGTGHSVEKSSCKVSYTSQERELDGKETNGSLPGDKIRNKMVAGLLNSGISNKTIHTSSSIKLSEEGLEGKEQDVSKETVFCKYNISDHAIQELNQTVNIPGPEKVLDQSPTVMFSSFKNVKSVETLDQKADEVLDCQSNQNRPDECKSEGQSAKEMLSSDQRETVTEPHGEVNHNQKDLLVSSGSNNSLPCGSPKKCNLKGAFVKMSGCDESTEGMVDIVYTDCSNKLAEGVLDVKASNLLDCGARQEKLAFQEDSRSTLSRRELDAAHTGTTGQDSDFPVTAASTVDFLKIKKSCEENVCRSLKDCEMEKCPDSCAHEMESVADHEPNKRILGRVNLSLNDSHYGQQDKGTSLRETQEMTEGSRLEPNSEFGKESTFGISSKESMSCHDESSVSLRSLKSIEIMPSQENSETNVNSEETDLKNLCKPKDGEMLCENVKDCTVLPEMKEIVSRDWSNSSDRDSVCTCVEKNACKACHPHENSSDRHLPLTVKTDIKVKGEETEEHQRGRLGYLTVGEQSEELVTRETGDGDPVSNISQTHFKCRGILNHAEKQQSPEVLDYMLQKEEKYIRQQKAHTISQQCISSSLLLDDAQNQNQPKADKDESTMINEITLAKLAKDSIVAQTQKLEDQKEERLHHPLRKDTESCTSPCLLGAPRKAQDPSSAGCDQIHGAFAKKGVLPLKKQPHRTCKKVSYQEQIIVGRKIGKIRSSAFLKSSSNPIPTKAHRLLSLCTLSAPTRLEPETAPTKSLVSHIPKQMSTPCHPLRSLNFRKTTKESALLNKLSILASKLAPAMKTQKLRYRRCSSELLPMAKSYKRLRYKRLLDGFSSSTEQLNPYLAASGWDKRPNSKPMALYSLESIKMTFIDLSNKMPSLLFGSEIFPVSFHVKSSSSDCTTESSRTFPEHCAPARLALGEALQCPSQPPKWTFSFFLSHGCPGMATFREDTGVHSQTHTQAPPQPPAPLQDYGGTAIVQTRADCSVLGLHTLLALCSPGCYRIWTKKRSFSSHMPTMQRLFMTQFTQGLKGLRSPASIADKVFCSLPYSVGRVLSIWSQHGPSVCSFEISSLHSPHCKRQPSLGTTSSHTMLPYVPLPGMEATYNTSGSQTRLEPPFPALVPKSCLVAESAVSKLLLSASEFQVRGLDELDGVKAACPCPQSSPPEQKEAEPEKRPKKVSQIRIRKTIPRPDPNLTPMGLPRPKRLKKKEFSLEEIYTNKNYKSPPANRCLETIFEEPKERNGTLISISQQKRKRVLEFQDFTVPRKRRARGKVKVAGSFTRAQKAAVQSRELDALLIQKLMELETFFAKEEEQEQSSGC
+>DECOY_sp|Q5THK1|PR14L_HUMAN Protein PRR14L OS=Homo sapiens OX=9606 GN=PRR14L PE=1 SV=1
+CGSSQEQEEEKAFFTELEMLKQILLADLERSQVAAKQARTFSGAVKVKGRARRKRPVTFDQFELVRKRKQQSISILTGNREKPEEFITELCRNAPPSKYNKNTYIEELSFEKKKLRKPRPLGMPTLNPDPRPITKRIRIQSVKKPRKEPEAEKQEPPSSQPCPCAAKVGDLEDLGRVQFESASLLLKSVASEAVLCSKPVLAPFPPELRTQSGSTNYTAEMGPLPVYPLMTHSSTTGLSPQRKCHPSHLSSIEFSCVSPGHQSWISLVRGVSYPLSCFVKDAISAPSRLGKLGQTFQTMFLRQMTPMHSSFSRKKTWIRYCGPSCLALLTHLGLVSCDARTQVIATGGYDQLPAPPQPPAQTHTQSHVGTDERFTAMGPCGHSLFFSFTWKPPQSPCQLAEGLALRAPACHEPFTRSSETTCDSSSSKVHFSVPFIESGFLLSPMKNSLDIFTMKISELSYLAMPKSNPRKDWGSAALYPNLQETSSSFGDLLRKYRLRKYSKAMPLLESSCRRYRLKQTKMAPALKSALISLKNLLASEKTTKRFNLSRLPHCPTSMQKPIHSVLSKTPATEPELRTPASLTCLSLLRHAKTPIPNSSSKLFASSRIKGIKRGVIIQEQYSVKKCTRHPQKKLPLVGKKAFAGHIQDCGASSPDQAKRPAGLLCPSTCSETDKRLPHHLREEKQDELKQTQAVISDKALKALTIENIMTSEDKDAKPQNQNQADDLLLSSSICQQSITHAKQQRIYKEEKQLMYDLVEPSQQKEAHNLIGRCKFHTQSINSVPDGDGTERTVLEESQEGVTLYGLRGRQHEETEEGKVKIDTKVTLPLHRDSSNEHPHCAKCANKEVCTCVSDRDSSNSWDRSVIEKMEPLVTCDKVNECLMEGDKPKCLNKLDTEESNVNTESNEQSPMIEISKLSRLSVSSEDHCSMSEKSSIGFTSEKGFESNPELRSGETMEQTERLSTGKDQQGYHSDNLSLNVRGLIRKNPEHDAVSEMEHACSDPCKEMECDKLSRCVNEECSKKIKLFDVTSAATVPFDSDQGTTGTHAADLERRSLTSRSDEQFALKEQRAGCDLLNSAKVDLVGEALKNSCDTYVIDVMGETSEDCGSMKVFAGKLNCKKPSGCPLSNNSGSSVLLDKQNHNVEGHPETVTERQDSSLMEKASQGESKCEDPRNQNSQCDLVEDAKQDLTEVSKVNKFSSFMVTPSQDLVKEPGPINVTQNLEQIAHDSINYKCFVTEKSVDQEKGELGEESLKISSSTHITKNSIGSNLLGAVMKNRIKDGPLSGNTEKGDLEREQSTYSVKCSSKEVSHGTGQCCHDRHQFANEYKTILSNDVQLSIKSSKFAASASCMNEQSVNKRVRHCSSIDQSQVSHCEIVSVVQPFGAAERKNSRLRSHLAKTGSDAMEKKRELSVPKINLTPCNSSAGCTQNGPPSIDKIKTQIPITQIDAIVDARGELPPHHSQHADRGTALPMEKNLHLLSDTPLNAHEQSNLSVQQNLVCESEVKNVVLENTCSLENMECAISQEDLLPIDDHSTQIVKESEPRYDFEPSPLLLATGQKPKLVESVPSIQDEPMLSVISKREFLFDNCSAENLQTNGELNRQISVLSNCDKTYFSKSLINPEVPTTQGAEEIQMLSSFSSEESHGGPHSMNTFTEKHDEPNTLNGQVHVTIKLDNKNLMVETAETFSNPMLSSSNGTHLSDQICNNHINEKPSVVPEKEGSCRGSIEKEPERANFLFPGGRESRPILLREENEERSALNKGQDDGRYFYSSDTKEASRKLLGGGEFGCNELSITRSEPGSLDSTFCSVESNEKLPSTATPSDHTSSPQENHHGHLQQHNDDAECVLNPKCLEEKGNDVNSIASNGPLSLWPCSKLEECEKEKPTAETLVPDVFTLPEPTVLTSTESVEDSTMIKDVEQFEGCGASLDKSVNGNKHGENNDTKTGNVKMGQVEASKLLTEATIQVNGEKSRLFDEPLDVHSLEKSSQMLLDEQSPSTKEEAATSHQHPDEKAGESPERIIGAQGGPDRFVKPEMSESRKARDLIGSAVSGGATSDVLGCRGPESGHDLTEYTEECCSEVHTRQLELPLARNQSLLSSSAGPKVDPIVSPEPDAHLERSVSVPLESYLEQVVASMSSDLPVPQTEVGSSLM
+>sp|Q6ZRT6|PR23B_HUMAN Proline-rich protein 23B OS=Homo sapiens OX=9606 GN=PRR23B PE=2 SV=1
+MVSRPRSPSAFPAPWWGQQPGGPGPAKRLRLEEPAGPEPRAAPSLEDPAGDPAVDALTSIVVLAAGCALRVPLDDVDLVLEPAPTSILRVSLGGHTLILIPEVLLSSVDERSGAQHDSSAGLEVDVFLGAVREDVVVELEFCASVPEIAAQEEAYEEDADPEFPELRMDSPTGSAAGLYPSSRSMFIPYREGPIPEPCALAPNPSSERRSPRPIFDLEFRLLEPVPSSPLQPLPPSPCVGSPGPHARSPLPERPPCKARRRLFQA
+>DECOY_sp|Q6ZRT6|PR23B_HUMAN Proline-rich protein 23B OS=Homo sapiens OX=9606 GN=PRR23B PE=2 SV=1
+AQFLRRRAKCPPREPLPSRAHPGPSGVCPSPPLPQLPSSPVPELLRFELDFIPRPSRRESSPNPALACPEPIPGERYPIFMSRSSPYLGAASGTPSDMRLEPFEPDADEEYAEEQAAIEPVSACFELEVVVDERVAGLFVDVELGASSDHQAGSREDVSSLLVEPILILTHGGLSVRLISTPAPELVLDVDDLPVRLACGAALVVISTLADVAPDGAPDELSPAARPEPGAPEELRLRKAPGPGGPQQGWWPAPFASPSRPRSVM
+>sp|O75400|PR40A_HUMAN Pre-mRNA-processing factor 40 homolog A OS=Homo sapiens OX=9606 GN=PRPF40A PE=1 SV=2
+MRPGTGAERGGLMVSEMESHPPSQGPGDGERRLSGSSLCSGSWVSADGFLRRRPSMGHPGMHYAPMGMHPMGQRANMPPVPHGMMPQMMPPMGGPPMGQMPGMMSSVMPGMMMSHMSQASMQPALPPGVNSMDVAAGTASGAKSMWTEHKSPDGRTYYYNTETKQSTWEKPDDLKTPAEQLLSKCPWKEYKSDSGKPYYYNSQTKESRWAKPKELEDLEGYQNTIVAGSLITKSNLHAMIKAEESSKQEECTTTSTAPVPTTEIPTTMSTMAAAEAAAAVVAAAAAAAAAAAAANANASTSASNTVSGTVPVVPEPEVTSIVATVVDNENTVTISTEEQAQLTSTPAIQDQSVEVSSNTGEETSKQETVADFTPKKEEEESQPAKKTYTWNTKEEAKQAFKELLKEKRVPSNASWEQAMKMIINDPRYSALAKLSEKKQAFNAYKVQTEKEEKEEARSKYKEAKESFQRFLENHEKMTSTTRYKKAEQMFGEMEVWNAISERDRLEIYEDVLFFLSKKEKEQAKQLRKRNWEALKNILDNMANVTYSTTWSEAQQYLMDNPTFAEDEELQNMDKEDALICFEEHIRALEKEEEEEKQKSLLRERRRQRKNRESFQIFLDELHEHGQLHSMSSWMELYPTISSDIRFTNMLGQPGSTALDLFKFYVEDLKARYHDEKKIIKDILKDKGFVVEVNTTFEDFVAIISSTKRSTTLDAGNIKLAFNSLLEKAEAREREREKEEARKMKRKESAFKSMLKQAAPPIELDAVWEDIRERFVKEPAFEDITLESERKRIFKDFMHVLEHECQHHHSKNKKHSKKSKKHHRKRSRSRSGSDSDDDDSHSKKKRQRSESRSASEHSSSAESERSYKKSKKHKKKSKKRRHKSDSPESDAEREKDKKEKDRESEKDRTRQRSESKHKSPKKKTGKDSGNWDTSGSELSEGELEKRRRTLLEQLDDDQ
+>DECOY_sp|O75400|PR40A_HUMAN Pre-mRNA-processing factor 40 homolog A OS=Homo sapiens OX=9606 GN=PRPF40A PE=1 SV=2
+QDDDLQELLTRRRKELEGESLESGSTDWNGSDKGTKKKPSKHKSESRQRTRDKESERDKEKKDKEREADSEPSDSKHRRKKSKKKHKKSKKYSRESEASSSHESASRSESRQRKKKSHSDDDDSDSGSRSRSRKRHHKKSKKSHKKNKSHHHQCEHELVHMFDKFIRKRESELTIDEFAPEKVFRERIDEWVADLEIPPAAQKLMSKFASEKRKMKRAEEKERERERAEAKELLSNFALKINGADLTTSRKTSSIIAVFDEFTTNVEVVFGKDKLIDKIIKKEDHYRAKLDEVYFKFLDLATSGPQGLMNTFRIDSSITPYLEMWSSMSHLQGHEHLEDLFIQFSERNKRQRRRERLLSKQKEEEEEKELARIHEEFCILADEKDMNQLEEDEAFTPNDMLYQQAESWTTSYTVNAMNDLINKLAEWNRKRLQKAQEKEKKSLFFLVDEYIELRDRESIANWVEMEGFMQEAKKYRTTSTMKEHNELFRQFSEKAEKYKSRAEEKEEKETQVKYANFAQKKESLKALASYRPDNIIMKMAQEWSANSPVRKEKLLEKFAQKAEEKTNWTYTKKAPQSEEEEKKPTFDAVTEQKSTEEGTNSSVEVSQDQIAPTSTLQAQEETSITVTNENDVVTAVISTVEPEPVVPVTGSVTNSASTSANANAAAAAAAAAAAAAVVAAAAEAAAMTSMTTPIETTPVPATSTTTCEEQKSSEEAKIMAHLNSKTILSGAVITNQYGELDELEKPKAWRSEKTQSNYYYPKGSDSKYEKWPCKSLLQEAPTKLDDPKEWTSQKTETNYYYTRGDPSKHETWMSKAGSATGAAVDMSNVGPPLAPQMSAQSMHSMMMGPMVSSMMGPMQGMPPGGMPPMMQPMMGHPVPPMNARQGMPHMGMPAYHMGPHGMSPRRRLFGDASVWSGSCLSSGSLRREGDGPGQSPPHSEMESVMLGGREAGTGPRM
+>sp|Q5VTA0|PRA17_HUMAN PRAME family member 17 OS=Homo sapiens OX=9606 GN=PRAMEF17 PE=2 SV=1
+MSLQSPSRLLELAGQSLLRNQFLTIFILDELPREVFPLMFMEASSMRHFEALKLMVQAWPFLRLPLGSLMKTPHLETLQAVLKGLDTLLAQKLRPRRWKLQVLDLRDVDGNFWTIWSGARALSCSPEAMSKRQTVEDYPRTGEHQPLKVFIDLCQKESTLDECLSYLCRWIHYRRGLVHLCCNKVQNYSMPTSSFRNLLKRVYPDSIQELEIKRKCSLNKTGKFAPYLSQMSNLRKLFLAFGYDDELYVSGQQQFVPDLDCPFLCLYYPQMLYIRKISNIKEHLEHLLRCLKNPLGTFIFCHAYLADQDMECLSQYPSLSQLKELHLIHILMWTTNLEPLGALLEKVAATLEILTLKDCQIQDSQLRVLLPALSRCSQLTTFYFRGNETSTNALKDLLCHTGGLSKLGLELYPAPLECLDNRGHVNWEILAPIRAELMCTLREVRQPKRIFFGPIPCPSCGSWPSEKVDFHLCS
+>DECOY_sp|Q5VTA0|PRA17_HUMAN PRAME family member 17 OS=Homo sapiens OX=9606 GN=PRAMEF17 PE=2 SV=1
+SCLHFDVKESPWSGCSPCPIPGFFIRKPQRVERLTCMLEARIPALIEWNVHGRNDLCELPAPYLELGLKSLGGTHCLLDKLANTSTENGRFYFTTLQSCRSLAPLLVRLQSDQIQCDKLTLIELTAAVKELLAGLPELNTTWMLIHILHLEKLQSLSPYQSLCEMDQDALYAHCFIFTGLPNKLCRLLHELHEKINSIKRIYLMQPYYLCLFPCDLDPVFQQQGSVYLEDDYGFALFLKRLNSMQSLYPAFKGTKNLSCKRKIELEQISDPYVRKLLNRFSSTPMSYNQVKNCCLHVLGRRYHIWRCLYSLCEDLTSEKQCLDIFVKLPQHEGTRPYDEVTQRKSMAEPSCSLARAGSWITWFNGDVDRLDLVQLKWRRPRLKQALLTDLGKLVAQLTELHPTKMLSGLPLRLFPWAQVMLKLAEFHRMSSAEMFMLPFVERPLEDLIFITLFQNRLLSQGALELLRSPSQLSM
+>sp|Q5VT98|PRA20_HUMAN PRAME family member 20 OS=Homo sapiens OX=9606 GN=PRAMEF20 PE=3 SV=2
+MSIRTPPRLLELAGRSLLRDEALAISTLEELPTELFPPLFMEAFSRRHCEALKLMVQAWPFLRLPLGSLMKRPCPETFQAVLDGLDALLTHRVRLRRWKLQVLDLQDVSENFWMVWSEAMARRCLPNAMMNRKPLQDCPRMRGQQPLTVFIDLCLKNRTLDEYFTCLFLWVKQREGLVHLCCKKLKMLGMLFHNIRNILKTVNLDCIQEVEVNCNWTLPVLAEFTPYLGQMRNLRKLVLSDIDSRYISPEQKKEFVTQFTTQFLKLRCLQKLYMNSVSFLEGHLDQMLSCLKTSLNILAITNCVLLESDLKHLSKYPSIGQLKTLDLSGTRLANFSLVPLQVLLEKVAATLEYLDLDDCGIVDSQVNAILPALSRCFELTTFSFRGNPISTATLENLLCHTIRLNNLCLELYPAPRESYDVRGIVCRSRFAQLGAELMGRVRALREPERILFCTDYCPQCGNRSLYDLEVDRCCC
+>DECOY_sp|Q5VT98|PRA20_HUMAN PRAME family member 20 OS=Homo sapiens OX=9606 GN=PRAMEF20 PE=3 SV=2
+CCCRDVELDYLSRNGCQPCYDTCFLIREPERLARVRGMLEAGLQAFRSRCVIGRVDYSERPAPYLELCLNNLRITHCLLNELTATSIPNGRFSFTTLEFCRSLAPLIANVQSDVIGCDDLDLYELTAAVKELLVQLPVLSFNALRTGSLDLTKLQGISPYKSLHKLDSELLVCNTIALINLSTKLCSLMQDLHGELFSVSNMYLKQLCRLKLFQTTFQTVFEKKQEPSIYRSDIDSLVLKRLNRMQGLYPTFEALVPLTWNCNVEVEQICDLNVTKLINRINHFLMGLMKLKKCCLHVLGERQKVWLFLCTFYEDLTRNKLCLDIFVTLPQQGRMRPCDQLPKRNMMANPLCRRAMAESWVMWFNESVDQLDLVQLKWRRLRVRHTLLADLGDLVAQFTEPCPRKMLSGLPLRLFPWAQVMLKLAECHRRSFAEMFLPPFLETPLEELTSIALAEDRLLSRGALELLRPPTRISM
+>sp|A6NGN4|PRA25_HUMAN PRAME family member 25 OS=Homo sapiens OX=9606 GN=PRAMEF25 PE=3 SV=2
+MKMSIRTPPRLLELAGRSVLRDQALAMSTLEELPTELFPPLFMEAFSRRRCEALKLMVQAWPFRRLPLRPLIKMPCLETFQAVLNGLDALLTHGVRPRRWKLQVLDLQDVCENFWMVWSEAMARGCFLNAKRNKKPVQDCPRMRGRQPLTVFVELWLKNRTLDEHLTCLLLWVKQRKDLLHLCCKKLKILGMPFRNIRSILKMVNLDCIQEVEVNCKWVLPILTQFTPYLGHMRNLQKLVLSHMDVSRYVSPEQKKEIVTQFTTQFLKLHCLQKLYMNSVSFLEGHLDQLLSCLKTSLKVLTITNCVLLESDLKHLSQCPSISQLKTLDLSGIRLTNYSLVPLQILLEKVAATLEYLDLDDCGIIDSQVNAILPALSRCFELNTFSFCGNPISMATLENLLSHTIILKNLCLELYPAPRESYGADGTLCWSRFTQIRAELMKRVRDLRHPKRILFGTDYCPDCGNRSFYDLEADQYCC
+>DECOY_sp|A6NGN4|PRA25_HUMAN PRAME family member 25 OS=Homo sapiens OX=9606 GN=PRAMEF25 PE=3 SV=2
+CCYQDAELDYFSRNGCDPCYDTGFLIRKPHRLDRVRKMLEARIQTFRSWCLTGDAGYSERPAPYLELCLNKLIITHSLLNELTAMSIPNGCFSFTNLEFCRSLAPLIANVQSDIIGCDDLDLYELTAAVKELLIQLPVLSYNTLRIGSLDLTKLQSISPCQSLHKLDSELLVCNTITLVKLSTKLCSLLQDLHGELFSVSNMYLKQLCHLKLFQTTFQTVIEKKQEPSVYRSVDMHSLVLKQLNRMHGLYPTFQTLIPLVWKCNVEVEQICDLNVMKLISRINRFPMGLIKLKKCCLHLLDKRQKVWLLLCTLHEDLTRNKLWLEVFVTLPQRGRMRPCDQVPKKNRKANLFCGRAMAESWVMWFNECVDQLDLVQLKWRRPRVGHTLLADLGNLVAQFTELCPMKILPRLPLRRFPWAQVMLKLAECRRRSFAEMFLPPFLETPLEELTSMALAQDRLVSRGALELLRPPTRISMKM
+>sp|Q96KF2|PRAC1_HUMAN Small nuclear protein PRAC1 OS=Homo sapiens OX=9606 GN=PRAC1 PE=2 SV=1
+MLCAHFSDQGPAHLTTSKSAFLSNKKTSTLKHLLGETRSDGSACNSGISGGRGRKIP
+>DECOY_sp|Q96KF2|PRAC1_HUMAN Small nuclear protein PRAC1 OS=Homo sapiens OX=9606 GN=PRAC1 PE=2 SV=1
+PIKRGRGGSIGSNCASGDSRTEGLLHKLTSTKKNSLFASKSTTLHAPGQDSFHACLM
+>sp|Q9UI14|PRAF1_HUMAN Prenylated Rab acceptor protein 1 OS=Homo sapiens OX=9606 GN=RABAC1 PE=1 SV=1
+MAAQKDQQKDAEAEGLSGTTLLPKLIPSGAGREWLERRRATIRPWSTFVDQQRFSRPRNLGELCQRLVRNVEYYQSNYVFVFLGLILYCVVTSPMLLVALAVFFGACYILYLRTLESKLVLFGREVSPAHQYALAGGISFPFFWLAGAGSAVFWVLGATLVVIGSHAAFHQIEAVDGEELQMEPV
+>DECOY_sp|Q9UI14|PRAF1_HUMAN Prenylated Rab acceptor protein 1 OS=Homo sapiens OX=9606 GN=RABAC1 PE=1 SV=1
+VPEMQLEEGDVAEIQHFAAHSGIVVLTAGLVWFVASGAGALWFFPFSIGGALAYQHAPSVERGFLVLKSELTRLYLIYCAGFFVALAVLLMPSTVVCYLILGLFVFVYNSQYYEVNRVLRQCLEGLNRPRSFRQQDVFTSWPRITARRRELWERGAGSPILKPLLTTGSLGEAEADKQQDKQAAM
+>sp|O75915|PRAF3_HUMAN PRA1 family protein 3 OS=Homo sapiens OX=9606 GN=ARL6IP5 PE=1 SV=1
+MDVNIAPLRAWDDFFPGSDRFARPDFRDISKWNNRVVSNLLYYQTNYLVVAAMMISIVGFLSPFNMILGGIVVVLVFTGFVWAAHNKDVLRRMKKRYPTTFVMVVMLASYFLISMFGGVMVFVFGITFPLLLMFIHASLRLRNLKNKLENKMEGIGLKRTPMGIVLDALEQQEEGINRLTDYISKVKE
+>DECOY_sp|O75915|PRAF3_HUMAN PRA1 family protein 3 OS=Homo sapiens OX=9606 GN=ARL6IP5 PE=1 SV=1
+EKVKSIYDTLRNIGEEQQELADLVIGMPTRKLGIGEMKNELKNKLNRLRLSAHIFMLLLPFTIGFVFVMVGGFMSILFYSALMVVMVFTTPYRKKMRRLVDKNHAAWVFGTFVLVVVIGGLIMNFPSLFGVISIMMAAVVLYNTQYYLLNSVVRNNWKSIDRFDPRAFRDSGPFFDDWARLPAINVDM
+>sp|Q5VWM4|PRAM8_HUMAN PRAME family member 8 OS=Homo sapiens OX=9606 GN=PRAMEF8 PE=2 SV=2
+MSIRAPPRLLELARQRLLRDQALAISTMEELPRELFPTLFMEAFSRRRCETLKTMVQAWPFTRLPLGSLMKSPHLESLKSVLEGVDVLLTQEVRPRQSKLQVLDLRNVDENFCDIFSGATASFPEALSQKQTADNCPGTGRQQPFMVFIDLCLKNRTLDECLTHLLEWGKQRKGLLHVCCKELQVFGMPIHSIIEVLNMVELDCIQEVEVCCPWELSTLVKFAPYLGQMRNLRKLVLFNIRASACIPPDNKGQFIARFTSQFLKLDYFQNLSMHSVSFLEGHLDQLLRCLQASLEMVVMTDCLLSESDLKHLSWCPSIRQLKELDLRGVTLTHFSPEPLTGLLEQVVATLQTLDLEDCGIMDSQLSAILPVLSRCSQLSTFSFCGNLISMAALENLLRHTVGLSKLSLELYPAPLESYDTQGALCWGRFAELGAELMNTLRDLRQPKIIVFCTVPCPRCGIRASYDLEPSHCLC
+>DECOY_sp|Q5VWM4|PRAM8_HUMAN PRAME family member 8 OS=Homo sapiens OX=9606 GN=PRAMEF8 PE=2 SV=2
+CLCHSPELDYSARIGCRPCPVTCFVIIKPQRLDRLTNMLEAGLEAFRGWCLAGQTDYSELPAPYLELSLKSLGVTHRLLNELAAMSILNGCFSFTSLQSCRSLVPLIASLQSDMIGCDELDLTQLTAVVQELLGTLPEPSFHTLTVGRLDLEKLQRISPCWSLHKLDSESLLCDTMVVMELSAQLCRLLQDLHGELFSVSHMSLNQFYDLKLFQSTFRAIFQGKNDPPICASARINFLVLKRLNRMQGLYPAFKVLTSLEWPCCVEVEQICDLEVMNLVEIISHIPMGFVQLEKCCVHLLGKRQKGWELLHTLCEDLTRNKLCLDIFVMFPQQRGTGPCNDATQKQSLAEPFSATAGSFIDCFNEDVNRLDLVQLKSQRPRVEQTLLVDVGELVSKLSELHPSKMLSGLPLRTFPWAQVMTKLTECRRRSFAEMFLTPFLERPLEEMTSIALAQDRLLRQRALELLRPPARISM
+>sp|Q96NZ9|PRAP1_HUMAN Proline-rich acidic protein 1 OS=Homo sapiens OX=9606 GN=PRAP1 PE=1 SV=2
+MRRLLLVTSLVVVLLWEAGAVPAPKVPIKMQVKHWPSEQDPEKAWGARVVEPPEKDDQLVVLFPVQKPKLLTTEEKPRGQGRGPILPGTKAWMETEDTLGHVLSPEPDHDSLYHPPPEEDQGEERPRLWVMPNHQVLLGPEEDQDHIYHPQ
+>DECOY_sp|Q96NZ9|PRAP1_HUMAN Proline-rich acidic protein 1 OS=Homo sapiens OX=9606 GN=PRAP1 PE=1 SV=2
+QPHYIHDQDEEPGLLVQHNPMVWLRPREEGQDEEPPPHYLSDHDPEPSLVHGLTDETEMWAKTGPLIPGRGQGRPKEETTLLKPKQVPFLVVLQDDKEPPEVVRAGWAKEPDQESPWHKVQMKIPVKPAPVAGAEWLLVVVLSTVLLLRRM
+>sp|Q9BXM0|PRAX_HUMAN Periaxin OS=Homo sapiens OX=9606 GN=PRX PE=1 SV=2
+MEARSRSAEELRRAELVEIIVETEAQTGVSGINVAGGGKEGIFVRELREDSPAARSLSLQEGDQLLSARVFFENFKYEDALRLLQCAEPYKVSFCLKRTVPTGDLALRPGTVSGYEIKGPRAKVAKLNIQSLSPVKKKKMVPGALGVPADLAPVDVEFSFPKFSRLRRGLKAEAVKGPVPAAPARRRLQLPRLRVREVAEEAQAARLAAAAPPPRKAKVEAEVAAGARFTAPQVELVGPRLPGAEVGVPQVSAPKAAPSAEAAGGFALHLPTLGLGAPAPPAVEAPAVGIQVPQVELPALPSLPTLPTLPCLETREGAVSVVVPTLDVAAPTVGVDLALPGAEVEARGEAPEVALKMPRLSFPRFGARAKEVAEAKVAKVSPEARVKGPRLRMPTFGLSLLEPRPAAPEVVESKLKLPTIKMPSLGIGVSGPEVKVPKGPEVKLPKAPEVKLPKVPEAALPEVRLPEVELPKVSEMKLPKVPEMAVPEVRLPEVELPKVSEMKLPKVPEMAVPEVRLPEVQLLKVSEMKLPKVPEMAVPEVRLPEVQLPKVSEMKLPEVSEVAVPEVRLPEVQLPKVPEMKVPEMKLPKVPEMKLPEMKLPEVQLPKVPEMAVPDVHLPEVQLPKVPEMKLPEMKLPEVKLPKVPEMAVPDVHLPEVQLPKVPEMKLPKMPEMAVPEVRLPEVQLPKVSEMKLPKVPEMAVPDVHLPEVQLPKVCEMKVPDMKLPEIKLPKVPEMAVPDVHLPEVQLPKVSEIRLPEMQVPKVPDVHLPKAPEVKLPRAPEVQLKATKAEQAEGMEFGFKMPKMTMPKLGRAESPSRGKPGEAGAEVSGKLVTLPCLQPEVDGEAHVGVPSLTLPSVELDLPGALGLQGQVPAAKMGKGERVEGPEVAAGVREVGFRVPSVEIVTPQLPAVEIEEGRLEMIETKVKPSSKFSLPKFGLSGPKVAKAEAEGAGRATKLKVSKFAISLPKARVGAEAEAKGAGEAGLLPALDLSIPQLSLDAHLPSGKVEVAGADLKFKGPRFALPKFGVRGRDTEAAELVPGVAELEGKGWGWDGRVKMPKLKMPSFGLARGKEAEVQGDRASPGEKAESTAVQLKIPEVELVTLGAQEEGRAEGAVAVSGMQLSGLKVSTAGQVVTEGHDAGLRMPPLGISLPQVELTGFGEAGTPGQQAQSTVPSAEGTAGYRVQVPQVTLSLPGAQVAGGELLVGEGVFKMPTVTVPQLELDVGLSREAQAGEAATGEGGLRLKLPTLGARARVGGEGAEEQPPGAERTFCLSLPDVELSPSGGNHAEYQVAEGEGEAGHKLKVRLPRFGLVRAKEGAEEGEKAKSPKLRLPRVGFSQSEMVTGEGSPSPEEEEEEEEEGSGEGASGRRGRVRVRLPRVGLAAPSKASRGQEGDAAPKSPVREKSPKFRFPRVSLSPKARSGSGDQEEGGLRVRLPSVGFSETGAPGPARMEGAQAAAV
+>DECOY_sp|Q9BXM0|PRAX_HUMAN Periaxin OS=Homo sapiens OX=9606 GN=PRX PE=1 SV=2
+VAAAQAGEMRAPGPAGTESFGVSPLRVRLGGEEQDGSGSRAKPSLSVRPFRFKPSKERVPSKPAADGEQGRSAKSPAALGVRPLRVRVRGRRGSAGEGSGEEEEEEEEEPSPSGEGTVMESQSFGVRPLRLKPSKAKEGEEAGEKARVLGFRPLRVKLKHGAEGEGEAVQYEAHNGGSPSLEVDPLSLCFTREAGPPQEEAGEGGVRARAGLTPLKLRLGGEGTAAEGAQAERSLGVDLELQPVTVTPMKFVGEGVLLEGGAVQAGPLSLTVQPVQVRYGATGEASPVTSQAQQGPTGAEGFGTLEVQPLSIGLPPMRLGADHGETVVQGATSVKLGSLQMGSVAVAGEARGEEQAGLTVLEVEPIKLQVATSEAKEGPSARDGQVEAEKGRALGFSPMKLKPMKVRGDWGWGKGELEAVGPVLEAAETDRGRVGFKPLAFRPGKFKLDAGAVEVKGSPLHADLSLQPISLDLAPLLGAEGAGKAEAEAGVRAKPLSIAFKSVKLKTARGAGEAEAKAVKPGSLGFKPLSFKSSPKVKTEIMELRGEEIEVAPLQPTVIEVSPVRFGVERVGAAVEPGEVREGKGMKAAPVQGQLGLAGPLDLEVSPLTLSPVGVHAEGDVEPQLCPLTVLKGSVEAGAEGPKGRSPSEARGLKPMTMKPMKFGFEMGEAQEAKTAKLQVEPARPLKVEPAKPLHVDPVKPVQMEPLRIESVKPLQVEPLHVDPVAMEPVKPLKIEPLKMDPVKMECVKPLQVEPLHVDPVAMEPVKPLKMESVKPLQVEPLRVEPVAMEPMKPLKMEPVKPLQVEPLHVDPVAMEPVKPLKVEPLKMEPLKMEPVKPLQVEPLHVDPVAMEPVKPLQVEPLKMEPLKMEPVKPLKMEPVKMEPVKPLQVEPLRVEPVAVESVEPLKMESVKPLQVEPLRVEPVAMEPVKPLKMESVKLLQVEPLRVEPVAMEPVKPLKMESVKPLEVEPLRVEPVAMEPVKPLKMESVKPLEVEPLRVEPLAAEPVKPLKVEPAKPLKVEPGKPVKVEPGSVGIGLSPMKITPLKLKSEVVEPAAPRPELLSLGFTPMRLRPGKVRAEPSVKAVKAEAVEKARAGFRPFSLRPMKLAVEPAEGRAEVEAGPLALDVGVTPAAVDLTPVVVSVAGERTELCPLTPLTPLSPLAPLEVQPVQIGVAPAEVAPPAPAGLGLTPLHLAFGGAAEASPAAKPASVQPVGVEAGPLRPGVLEVQPATFRAGAAVEAEVKAKRPPPAAAALRAAQAEEAVERVRLRPLQLRRRAPAAPVPGKVAEAKLGRRLRSFKPFSFEVDVPALDAPVGLAGPVMKKKKVPSLSQINLKAVKARPGKIEYGSVTGPRLALDGTPVTRKLCFSVKYPEACQLLRLADEYKFNEFFVRASLLQDGEQLSLSRAAPSDERLERVFIGEKGGGAVNIGSVGTQAETEVIIEVLEARRLEEASRSRAEM
+>sp|Q04118|PRB3_HUMAN Basic salivary proline-rich protein 3 OS=Homo sapiens OX=9606 GN=PRB3 PE=1 SV=2
+MLLILLSVALLALSSAQSLNEDVSQEESPSVISGKPEGRRPQGGNQPQRTPPPPGKPEGRPPQGGNQSQGPPPRPGKPEGPPPQGGNQSQGPPPRPGKPEGQPPQGGNQSQGPPPRPGKPEGPPPQGGNQSQGPPPRPGKPEGPPPQGGNQSQGPPPHPGKPEGPPPQGGNQSQGPPPRPGKPEGPPPQGGNQSQGPPPRPGKPEGPPPQGGNQSQGPPPRPGKPEGSPSQGGNKPQGPPPHPGKPQGPPPQEGNKPQRPPPPGRPQGPPPPGGNPQQPLPPPAGKPQGPPPPPQGGRPHRPPQGQPPQ
+>DECOY_sp|Q04118|PRB3_HUMAN Basic salivary proline-rich protein 3 OS=Homo sapiens OX=9606 GN=PRB3 PE=1 SV=2
+QPPQGQPPRHPRGGQPPPPPGQPKGAPPPLPQQPNGGPPPPGQPRGPPPPRQPKNGEQPPPGQPKGPHPPPGQPKNGGQSPSGEPKGPRPPPGQSQNGGQPPPGEPKGPRPPPGQSQNGGQPPPGEPKGPRPPPGQSQNGGQPPPGEPKGPHPPPGQSQNGGQPPPGEPKGPRPPPGQSQNGGQPPPGEPKGPRPPPGQSQNGGQPPQGEPKGPRPPPGQSQNGGQPPPGEPKGPRPPPGQSQNGGQPPRGEPKGPPPPTRQPQNGGQPRRGEPKGSIVSPSEEQSVDENLSQASSLALLAVSLLILLM
+>sp|P48634|PRC2A_HUMAN Protein PRRC2A OS=Homo sapiens OX=9606 GN=PRRC2A PE=1 SV=3
+MSDRSGPTAKGKDGKKYSSLNLFDTYKGKSLEIQKPAVAPRHGLQSLGKVAIARRMPPPANLPSLKAENKGNDPNVSLVPKDGTGWASKQEQSDPKSSDASTAQPPESQPLPASQTPASNQPKRPPAAPENTPLVPSGVKSWAQASVTHGAHGDGGRASSLLSRFSREEFPTLQAAGDQDKAAKERESAEQSSGPGPSLRPQNSTTWRDGGGRGPDELEGPDSKLHHGHDPRGGLQPSGPPQFPPYRGMMPPFMYPPYLPFPPPYGPQGPYRYPTPDGPSRFPRVAGPRGSGPPMRLVEPVGRPSILKEDNLKEFDQLDQENDDGWAGAHEEVDYTEKLKFSDEEDGRDSDEEGAEGHRDSQSASGEERPPEADGKKGNSPNSEPPTPKTAWAETSRPPETEPGPPAPKPPLPPPHRGPAGNWGPPGDYPDRGGPPCKPPAPEDEDEAWRQRRKQSSSEISLAVERARRRREEEERRMQEERRAACAEKLKRLDEKFGAPDKRLKAEPAAPPAAPSTPAPPPAVPKELPAPPAPPPASAPTPETEPEEPAQAPPAQSTPTPGVAAAPTLVSGGGSTSSTSSGSFEASPVEPQLPSKEGPEPPEEVPPPTTPPVPKVEPKGDGIGPTRQPPSQGLGYPKYQKSLPPRFQRQQQEQLLKQQQQHQWQQHQQGSAPPTPVPPSPPQPVTLGAVPAPQAPPPPPKALYPGALGRPPPMPPMNFDPRWMMIPPYVDPRLLQGRPPLDFYPPGVHPSGLVPRERSDSGGSSSEPFDRHAPAMLRERGTPPVDPKLAWVGDVFTATPAEPRPLTSPLRQAADEDDKGMRSETPPVPPPPPYLASYPGFPENGAPGPPISRFPLEEPGPRPLPWPPGSDEVAKIQTPPPKKEPPKEETAQLTGPEAGRKPARGVGSGGQGPPPPRRESRTETRWGPRPGSSRRGIPPEEPGAPPRRAGPIKKPPPPTKVEELPPKPLEQGDETPKPPKPDPLKITKGKLGGPKETPPNGNLSPAPRLRRDYSYERVGPTSCRGRGRGEYFARGRGFRGTYGGRGRGARSREFRSYREFRGDDGRGGGTGGPNHPPAPRGRTASETRSEGSEYEEIPKRRRQRGSETGSETHESDLAPSDKEAPTPKEGTLTQVPLAPPPPGAPPSPAPARFTARGGRVFTPRGVPSRRGRGGGRPPPQVCPGWSPPAKSLAPKKPPTGPLPPSKEPLKEKLIPGPLSPVARGGSNGGSNVGMEDGERPRRRRHGRAQQQDKPPRFRRLKQERENAARGSEGKPSLTLPASAPGPEEALTTVTVAPAPRRAAAKSPDLSNQNSDQANEEWETASESSDFTSERRGDKEAPPPVLLTPKAVGTPGGGGGGAVPGISAMSRGDLSQRAKDLSKRSFSSQRPGMERQNRRPGPGGKAGSSGSSSGGGGGGPGGRTGPGRGDKRSWPSPKNRSRPPEERPPGLPLPPPPPSSSAVFRLDQVIHSNPAGIQQALAQLSSRQGSVTAPGGHPRHKPGLPQAPQGPSPRPPTRYEPQRVNSGLSSDPHFEEPGPMVRGVGGTPRDSAGVSPFPPKRRERPPRKPELLQEESLPPPHSSGFLGSKPEGPGPQAESRDTGTEALTPHIWNRLHTATSRKSYRPSSMEPWMEPLSPFEDVAGTEMSQSDSGVDLSGDSQVSSGPCSQRSSPDGGLKGAAEGPPKRPGGSSPLNAVPCEGPPGSEPPRRPPPAPHDGDRKELPREQPLPPGPIGTERSQRTDRGTEPGPIRPSHRPGPPVQFGTSDKDSDLRLVVGDSLKAEKELTASVTEAIPVSRDWELLPSAAASAEPQSKNLDSGHCVPEPSSSGQRLYPEVFYGSAGPSSSQISGGAMDSQLHPNSGGFRPGTPSLHPYRSQPLYLPPGPAPPSALLSGLALKGQFLDFSTMQATELGKLPAGGVLYPPPSFLYSPAFCPSPLPDTSLLQVRQDLPSPSDFYSTPLQPGGQSGFLPSGAPAQQMLLPMVDSQLPVVNFGSLPPAPPPAPPPLSLLPVGPALQPPSLAVRPPPAPATRVLPSPARPFPASLGRAELHPVELKPFQDYQKLSSNLGGPGSSRTPPTGRSFSGLNSRLKATPSTYSGVFRTQRVDLYQQASPPDALRWIPKPWERTGPPPREGPSRRAEEPGSRGDKEPGLPPPR
+>DECOY_sp|P48634|PRC2A_HUMAN Protein PRRC2A OS=Homo sapiens OX=9606 GN=PRRC2A PE=1 SV=3
+RPPPLGPEKDGRSGPEEARRSPGERPPPGTREWPKPIWRLADPPSAQQYLDVRQTRFVGSYTSPTAKLRSNLGSFSRGTPPTRSSGPGGLNSSLKQYDQFPKLEVPHLEARGLSAPFPRAPSPLVRTAPAPPPRVALSPPQLAPGVPLLSLPPPAPPPAPPLSGFNVVPLQSDVMPLLMQQAPAGSPLFGSQGGPQLPTSYFDSPSPLDQRVQLLSTDPLPSPCFAPSYLFSPPPYLVGGAPLKGLETAQMTSFDLFQGKLALGSLLASPPAPGPPLYLPQSRYPHLSPTGPRFGGSNPHLQSDMAGGSIQSSSPGASGYFVEPYLRQGSSSPEPVCHGSDLNKSQPEASAAASPLLEWDRSVPIAETVSATLEKEAKLSDGVVLRLDSDKDSTGFQVPPGPRHSPRIPGPETGRDTRQSRETGIPGPPLPQERPLEKRDGDHPAPPPRRPPESGPPGECPVANLPSSGGPRKPPGEAAGKLGGDPSSRQSCPGSSVQSDGSLDVGSDSQSMETGAVDEFPSLPEMWPEMSSPRYSKRSTATHLRNWIHPTLAETGTDRSEAQPGPGEPKSGLFGSSHPPPLSEEQLLEPKRPPRERRKPPFPSVGASDRPTGGVGRVMPGPEEFHPDSSLGSNVRQPEYRTPPRPSPGQPAQPLGPKHRPHGGPATVSGQRSSLQALAQQIGAPNSHIVQDLRFVASSSPPPPPLPLGPPREEPPRSRNKPSPWSRKDGRGPGTRGGPGGGGGGSSSGSSGAKGGPGPRRNQREMGPRQSSFSRKSLDKARQSLDGRSMASIGPVAGGGGGGPTGVAKPTLLVPPPAEKDGRRESTFDSSESATEWEENAQDSNQNSLDPSKAAARRPAPAVTVTTLAEEPGPASAPLTLSPKGESGRAANEREQKLRRFRPPKDQQQARGHRRRRPREGDEMGVNSGGNSGGRAVPSLPGPILKEKLPEKSPPLPGTPPKKPALSKAPPSWGPCVQPPPRGGGRGRRSPVGRPTFVRGGRATFRAPAPSPPAGPPPPALPVQTLTGEKPTPAEKDSPALDSEHTESGTESGRQRRRKPIEEYESGESRTESATRGRPAPPHNPGGTGGGRGDDGRFERYSRFERSRAGRGRGGYTGRFGRGRAFYEGRGRGRCSTPGVREYSYDRRLRPAPSLNGNPPTEKPGGLKGKTIKLPDPKPPKPTEDGQELPKPPLEEVKTPPPPKKIPGARRPPAGPEEPPIGRRSSGPRPGWRTETRSERRPPPPGQGGSGVGRAPKRGAEPGTLQATEEKPPEKKPPPTQIKAVEDSGPPWPLPRPGPEELPFRSIPPGPAGNEPFGPYSALYPPPPPVPPTESRMGKDDEDAAQRLPSTLPRPEAPTATFVDGVWALKPDVPPTGRERLMAPAHRDFPESSSGGSDSRERPVLGSPHVGPPYFDLPPRGQLLRPDVYPPIMMWRPDFNMPPMPPPRGLAGPYLAKPPPPPAQPAPVAGLTVPQPPSPPVPTPPASGQQHQQWQHQQQQKLLQEQQQRQFRPPLSKQYKPYGLGQSPPQRTPGIGDGKPEVKPVPPTTPPPVEEPPEPGEKSPLQPEVPSAEFSGSSTSSTSGGGSVLTPAAAVGPTPTSQAPPAQAPEEPETEPTPASAPPPAPPAPLEKPVAPPPAPTSPAAPPAAPEAKLRKDPAGFKEDLRKLKEACAARREEQMRREEEERRRRAREVALSIESSSQKRRQRWAEDEDEPAPPKCPPGGRDPYDGPPGWNGAPGRHPPPLPPKPAPPGPETEPPRSTEAWATKPTPPESNPSNGKKGDAEPPREEGSASQSDRHGEAGEEDSDRGDEEDSFKLKETYDVEEHAGAWGDDNEQDLQDFEKLNDEKLISPRGVPEVLRMPPGSGRPGAVRPFRSPGDPTPYRYPGQPGYPPPFPLYPPYMFPPMMGRYPPFQPPGSPQLGGRPDHGHHLKSDPGELEDPGRGGGDRWTTSNQPRLSPGPGSSQEASEREKAAKDQDGAAQLTPFEERSFRSLLSSARGGDGHAGHTVSAQAWSKVGSPVLPTNEPAAPPRKPQNSAPTQSAPLPQSEPPQATSADSSKPDSQEQKSAWGTGDKPVLSVNPDNGKNEAKLSPLNAPPPMRRAIAVKGLSQLGHRPAVAPKQIELSKGKYTDFLNLSSYKKGDKGKATPGSRDSM
+>sp|Q9Y520|PRC2C_HUMAN Protein PRRC2C OS=Homo sapiens OX=9606 GN=PRRC2C PE=1 SV=4
+MSEKSGQSTKAKDGKKYATLSLFNTYKGKSLETQKTTARHGLQSLGKVGISRRMPPPANLPSLKAENKGNDPNVNIVPKDGTGWASKQEQHEEEKTPEVPPAQPKPGVAAPPEVAPAPKSWASNKQGGQGDGIQVNSQFQQEFPSLQAAGDQEKKEKETNDDNYGPGPSLRPPNVACWRDGGKAAGSPSSSDQDEKLPGQDESTAGTSEQNDILKVVEKRIACGPPQAKLNGQQAALASQYRAMMPPYMFQQYPRMTYPPLHGPMRFPPSLSETNKGLRGRGPPPSWASEPERPSILSASELKELDKFDNLDAEADEGWAGAQMEVDYTEQLNFSDDDEQGSNSPKENNSEDQGSKASENNENKKETDEVSNTKSSSQIPAQPSVAKVPYGKGPSFNQERGTSSHLPPPPKLLAQQHPPPDRQAVPGRPGPFPSKQQVADEDEIWKQRRRQQSEISAAVERARKRREEEERRMEEQRKAACAEKLKRLDEKLGILEKQPSPEEIREREREKEREREKELEKEQEQEREKEREKDRERQQEKEKELEKEQEKQREMEKERKQEKEKELERQKEKEKELQKMKEQEKECELEKEREKLEEKIEPREPNLEPMVEKQESENSCNKEEEPVFTRQDSNRSEKEATPVVHETEPESGSQPRPAVLSGYFKQFQKSLPPRFQRQQEQMKQQQWQQQQQQGVLPQTVPSQPSSSTVPPPPHRPLYQPMQPHPQHLASMGFDPRWLMMQSYMDPRMMSGRPAMDIPPIHPGMIPPKPLMRRDQMEGSPNSSESFEHIARSARDHAISLSEPRMLWGSDPYPHAEPQQATTPKATEEPEDVRSEAALDQEQITAAYSVEHNQLEAHPKADFIRESSEAQVQKFLSRSVEDVRPHHTDANNQSACFEAPDQKTLSAPQEERISAVESQPSRKRSVSHGSNHTQKPDEQRSEPSAGIPKVTSRCIDSKEPIERPEEKPKKEGFIRSSEGPKPEKVYKSKSETRWGPRPSSNRREEVNDRPVRRSGPIKKPVLRDMKEEREQRKEKEGEKAEKVTEKVVVKPEKTEKKDLPPPPPPPQPPAPIQPQSVPPPIQPEAEKFPSTETATLAQKPSQDTEKPLEPVSTVQVEPAVKTVNQQTMAAPVVKEEKQPEKVISKDLVIERPRPDSRPAVKKESTLPPRTYWKEARERDWFPDQGYRGRGRGEYYSRGRSYRGSYGGRGRGGRGHTRDYPQYRDNKPRAEHIPSGPLRQREESETRSESSDFEVVPKRRRQRGSETDTDSEIHESASDKDSLSKGKLPKREERPENKKPVKPHSSFKPDNHVRIDNRLLEKPYVRDDDKAKPGFLPKGEPTRRGRGGTFRRGGRDPGGRPSRPSTLRRPAYRDNQWNPRQSEVPKPEDGEPPRRHEQFIPIAADKRPPKFERKFDPARERPRRQRPTRPPRQDKPPRFRRLREREAASKSNEVVAVPTNGTVNNVAQEPVNTLGDISGNKTPDLSNQNSSDQANEEWETASESSDFNERRERDEKKNADLNAQTVVKVGENVLPPKREIAKRSFSSQRPVDRQNRRGNNGPPKSGRNFSGPRNERRSGPPSKSGKRGPFDDQPAGTTGVDLINGSSAHHQEGVPNGTGQKNSKDSTGKKREDPKPGPKKPKEKVDALSQFDLNNYASVVIIDDHPEVTVIEDPQSNLNDDGFTEVVSKKQQKRLQDEERRKKEEQVIQVWNKKNANEKGRSQTSKLPPRFAKKQATGIQQAQSSASVPPLASAPLPPSTSASVPASTSAPLPATLTPVPASTSAPVPASTLAPVLASTSAPVPASPLAPVSASASVSASVPASTSAAAITSSSAPASAPAPTPILASVSTPASVTILASASIPILASALASTSAPTPAPAASSPAAPVITAPTIPASAPTASVPLAPASASAPAPAPTPVSAPNPAPPAPAQTQAQTHKPVQNPLQTTSQSSKQPPPSIRLPSAQTPNGTDYVASGKSIQTPQSHGTLTAELWDNKVAPPAVLNDISKKLGPISPPQPPSVSAWNKPLTSFGSAPSSEGAKNGQESGLEIGTDTIQFGAPASNGNENEVVPVLSEKSADKIPEPKEQRQKQPRAGPIKAQKLPDLSPVENKEHKPGPIGKERSLKNRKVKDAQQVEPEGQEKPSPATVRSTDPVTTKETKAVSEMSTEIGTMISVSSAEYGTNAKESVTDYTTPSSSLPNTVATNNTKMEDTLVNNVPLPNTLPLPKRETIQQSSSLTSVPPTTFSLTFKMESARKAWENSPNVREKGSPVTSTAPPIATGVSSSASGPSTANYNSFSSASMPQIPVASVTPTASLSGAGTYTTSSLSTKSTTTSDPPNICKVKPQQLQTSSLPSASHFSQLSCMPSLIAQQQQNPQVYVSQSAAAQIPAFYMDTSHLFNTQHARLAPPSLAQQQGFQPGLSQPTSVQQIPIPIYAPLQGQHQAQLSLGAGPAVSQAQELFSSSLQPYRSQPAFMQSSLSQPSVVLSGTAIHNFPTVQHQELAKAQSGLAFQQTSNTQPIPILYEHQLGQASGLGGSQLIDTHLLQARANLTQASNLYSGQVQQPGQTNFYNTAQSPSALQQVTVPLPASQLSLPNFGSTGQPLIALPQTLQPPLQHTTPQAQAQSLSRPAQVSQPFRGLIPAGTQHSMIATTGKMSEMELKAFGSGIDIKPGTPPIAGRSTTPTSSPFRATSTSPNSQSSKMNSIVYQKQFQSAPATVRMTQPFPTQFAPQILSQPNLVPPLVRAPHTNTFPAPVQRPPMALASQMPPPLTTGLMSHARLPHVARGPCGSLSGVRGNQAQAALKAEQDMKAKQRAEVLQSTQRFFSEQQQSKQIGGGKAQKVDSDSSKPPETLTDPPGVCQEKVEEKPPPAPSIATKPVRTGPIKPQAIKTEETKS
+>DECOY_sp|Q9Y520|PRC2C_HUMAN Protein PRRC2C OS=Homo sapiens OX=9606 GN=PRRC2C PE=1 SV=4
+SKTEETKIAQPKIPGTRVPKTAISPAPPPKEEVKEQCVGPPDTLTEPPKSSDSDVKQAKGGGIQKSQQQESFFRQTSQLVEARQKAKMDQEAKLAAQAQNGRVGSLSGCPGRAVHPLRAHSMLGTTLPPPMQSALAMPPRQVPAPFTNTHPARVLPPVLNPQSLIQPAFQTPFPQTMRVTAPASQFQKQYVISNMKSSQSNPSTSTARFPSSTPTTSRGAIPPTGPKIDIGSGFAKLEMESMKGTTAIMSHQTGAPILGRFPQSVQAPRSLSQAQAQPTTHQLPPQLTQPLAILPQGTSGFNPLSLQSAPLPVTVQQLASPSQATNYFNTQGPQQVQGSYLNSAQTLNARAQLLHTDILQSGGLGSAQGLQHEYLIPIPQTNSTQQFALGSQAKALEQHQVTPFNHIATGSLVVSPQSLSSQMFAPQSRYPQLSSSFLEQAQSVAPGAGLSLQAQHQGQLPAYIPIPIQQVSTPQSLGPQFGQQQALSPPALRAHQTNFLHSTDMYFAPIQAAASQSVYVQPNQQQQAILSPMCSLQSFHSASPLSSTQLQQPKVKCINPPDSTTTSKTSLSSTTYTGAGSLSATPTVSAVPIQPMSASSFSNYNATSPGSASSSVGTAIPPATSTVPSGKERVNPSNEWAKRASEMKFTLSFTTPPVSTLSSSQQITERKPLPLTNPLPVNNVLTDEMKTNNTAVTNPLSSSPTTYDTVSEKANTGYEASSVSIMTGIETSMESVAKTEKTTVPDTSRVTAPSPKEQGEPEVQQADKVKRNKLSREKGIPGPKHEKNEVPSLDPLKQAKIPGARPQKQRQEKPEPIKDASKESLVPVVENENGNSAPAGFQITDTGIELGSEQGNKAGESSPASGFSTLPKNWASVSPPQPPSIPGLKKSIDNLVAPPAVKNDWLEATLTGHSQPTQISKGSAVYDTGNPTQASPLRISPPPQKSSQSTTQLPNQVPKHTQAQTQAPAPPAPNPASVPTPAPAPASASAPALPVSATPASAPITPATIVPAAPSSAAPAPTPASTSALASALIPISASALITVSAPTSVSALIPTPAPASAPASSSTIAAASTSAPVSASVSASASVPALPSAPVPASTSALVPALTSAPVPASTSAPVPTLTAPLPASTSAPVSASTSPPLPASALPPVSASSQAQQIGTAQKKAFRPPLKSTQSRGKENANKKNWVQIVQEEKKRREEDQLRKQQKKSVVETFGDDNLNSQPDEIVTVEPHDDIIVVSAYNNLDFQSLADVKEKPKKPGPKPDERKKGTSDKSNKQGTGNPVGEQHHASSGNILDVGTTGAPQDDFPGRKGSKSPPGSRRENRPGSFNRGSKPPGNNGRRNQRDVPRQSSFSRKAIERKPPLVNEGVKVVTQANLDANKKEDRERRENFDSSESATEWEENAQDSSNQNSLDPTKNGSIDGLTNVPEQAVNNVTGNTPVAVVENSKSAAERERLRRFRPPKDQRPPRTPRQRRPRERAPDFKREFKPPRKDAAIPIFQEHRRPPEGDEPKPVESQRPNWQNDRYAPRRLTSPRSPRGGPDRGGRRFTGGRGRRTPEGKPLFGPKAKDDDRVYPKELLRNDIRVHNDPKFSSHPKVPKKNEPREERKPLKGKSLSDKDSASEHIESDTDTESGRQRRRKPVVEFDSSESRTESEERQRLPGSPIHEARPKNDRYQPYDRTHGRGGRGRGGYSGRYSRGRSYYEGRGRGRYGQDPFWDRERAEKWYTRPPLTSEKKVAPRSDPRPREIVLDKSIVKEPQKEEKVVPAAMTQQNVTKVAPEVQVTSVPELPKETDQSPKQALTATETSPFKEAEPQIPPPVSQPQIPAPPQPPPPPPPLDKKETKEPKVVVKETVKEAKEGEKEKRQEREEKMDRLVPKKIPGSRRVPRDNVEERRNSSPRPGWRTESKSKYVKEPKPGESSRIFGEKKPKEEPREIPEKSDICRSTVKPIGASPESRQEDPKQTHNSGHSVSRKRSPQSEVASIREEQPASLTKQDPAEFCASQNNADTHHPRVDEVSRSLFKQVQAESSERIFDAKPHAELQNHEVSYAATIQEQDLAAESRVDEPEETAKPTTAQQPEAHPYPDSGWLMRPESLSIAHDRASRAIHEFSESSNPSGEMQDRRMLPKPPIMGPHIPPIDMAPRGSMMRPDMYSQMMLWRPDFGMSALHQPHPQMPQYLPRHPPPPVTSSSPQSPVTQPLVGQQQQQQWQQQKMQEQQRQFRPPLSKQFQKFYGSLVAPRPQSGSEPETEHVVPTAEKESRNSDQRTFVPEEEKNCSNESEQKEVMPELNPERPEIKEELKEREKELECEKEQEKMKQLEKEKEKQRELEKEKEQKREKEMERQKEQEKELEKEKEQQRERDKEREKEREQEQEKELEKEREREKERERERIEEPSPQKELIGLKEDLRKLKEACAAKRQEEMRREEEERRKRAREVAASIESQQRRRQKWIEDEDAVQQKSPFPGPRGPVAQRDPPPHQQALLKPPPPLHSSTGREQNFSPGKGYPVKAVSPQAPIQSSSKTNSVEDTEKKNENNESAKSGQDESNNEKPSNSGQEDDDSFNLQETYDVEMQAGAWGEDAEADLNDFKDLEKLESASLISPREPESAWSPPPGRGRLGKNTESLSPPFRMPGHLPPYTMRPYQQFMYPPMMARYQSALAAQQGNLKAQPPGCAIRKEVVKLIDNQESTGATSEDQGPLKEDQDSSSPSGAAKGGDRWCAVNPPRLSPGPGYNDDNTEKEKKEQDGAAQLSPFEQQFQSNVQIGDGQGGQKNSAWSKPAPAVEPPAAVGPKPQAPPVEPTKEEEHQEQKSAWGTGDKPVINVNPDNGKNEAKLSPLNAPPPMRRSIGVKGLSQLGHRATTKQTELSKGKYTNFLSLTAYKKGDKAKTSQGSKESM
+>sp|P06401|PRGR_HUMAN Progesterone receptor OS=Homo sapiens OX=9606 GN=PGR PE=1 SV=4
+MTELKAKGPRAPHVAGGPPSPEVGSPLLCRPAAGPFPGSQTSDTLPEVSAIPISLDGLLFPRPCQGQDPSDEKTQDQQSLSDVEGAYSRAEATRGAGGSSSSPPEKDSGLLDSVLDTLLAPSGPGQSQPSPPACEVTSSWCLFGPELPEDPPAAPATQRVLSPLMSRSGCKVGDSSGTAAAHKVLPRGLSPARQLLLPASESPHWSGAPVKPSPQAAAVEVEEEDGSESEESAGPLLKGKPRALGGAAAGGGAAAVPPGAAAGGVALVPKEDSRFSAPRVALVEQDAPMAPGRSPLATTVMDFIHVPILPLNHALLAARTRQLLEDESYDGGAGAASAFAPPRSSPCASSTPVAVGDFPDCAYPPDAEPKDDAYPLYSDFQPPALKIKEEEEGAEASARSPRSYLVAGANPAAFPDFPLGPPPPLPPRATPSRPGEAAVTAAPASASVSSASSSGSTLECILYKAEGAPPQQGPFAPPPCKAPGASGCLLPRDGLPSTSASAAAAGAAPALYPALGLNGLPQLGYQAAVLKEGLPQVYPPYLNYLRPDSEASQSPQYSFESLPQKICLICGDEASGCHYGVLTCGSCKVFFKRAMEGQHNYLCAGRNDCIVDKIRRKNCPACRLRKCCQAGMVLGGRKFKKFNKVRVVRALDAVALPQPVGVPNESQALSQRFTFSPGQDIQLIPPLINLLMSIEPDVIYAGHDNTKPDTSSSLLTSLNQLGERQLLSVVKWSKSLPGFRNLHIDDQITLIQYSWMSLMVFGLGWRSYKHVSGQMLYFAPDLILNEQRMKESSFYSLCLTMWQIPQEFVKLQVSQEEFLCMKVLLLLNTIPLEGLRSQTQFEEMRSSYIRELIKAIGLRQKGVVSSSQRFYQLTKLLDNLHDLVKQLHLYCLNTFIQSRALSVEFPEMMSEVIAAQLPKILAGMVKPLLFHKK
+>DECOY_sp|P06401|PRGR_HUMAN Progesterone receptor OS=Homo sapiens OX=9606 GN=PGR PE=1 SV=4
+KKHFLLPKVMGALIKPLQAAIVESMMEPFEVSLARSQIFTNLCYLHLQKVLDHLNDLLKTLQYFRQSSSVVGKQRLGIAKILERIYSSRMEEFQTQSRLGELPITNLLLLVKMCLFEEQSVQLKVFEQPIQWMTLCLSYFSSEKMRQENLILDPAFYLMQGSVHKYSRWGLGFVMLSMWSYQILTIQDDIHLNRFGPLSKSWKVVSLLQREGLQNLSTLLSSSTDPKTNDHGAYIVDPEISMLLNILPPILQIDQGPSFTFRQSLAQSENPVGVPQPLAVADLARVVRVKNFKKFKRGGLVMGAQCCKRLRCAPCNKRRIKDVICDNRGACLYNHQGEMARKFFVKCSGCTLVGYHCGSAEDGCILCIKQPLSEFSYQPSQSAESDPRLYNLYPPYVQPLGEKLVAAQYGLQPLGNLGLAPYLAPAAGAAAASASTSPLGDRPLLCGSAGPAKCPPPAFPGQQPPAGEAKYLICELTSGSSSASSVSASAPAATVAAEGPRSPTARPPLPPPPGLPFDPFAAPNAGAVLYSRPSRASAEAGEEEEKIKLAPPQFDSYLPYADDKPEADPPYACDPFDGVAVPTSSACPSSRPPAFASAAGAGGDYSEDELLQRTRAALLAHNLPLIPVHIFDMVTTALPSRGPAMPADQEVLAVRPASFRSDEKPVLAVGGAAAGPPVAAAGGGAAAGGLARPKGKLLPGASEESESGDEEEVEVAAAQPSPKVPAGSWHPSESAPLLLQRAPSLGRPLVKHAAATGSSDGVKCGSRSMLPSLVRQTAPAAPPDEPLEPGFLCWSSTVECAPPSPQSQGPGSPALLTDLVSDLLGSDKEPPSSSSGGAGRTAEARSYAGEVDSLSQQDQTKEDSPDQGQCPRPFLLGDLSIPIASVEPLTDSTQSGPFPGAAPRCLLPSGVEPSPPGGAVHPARPGKAKLETM
+>sp|Q2TBC4|PRIC4_HUMAN Prickle-like protein 4 OS=Homo sapiens OX=9606 GN=PRICKLE4 PE=2 SV=2
+MSPQGPAVLSLGSLCLDTNQAPNWTGLQTLLQQLPPQDIDERYCLALGEEERAELQLFCARRKQEALGQGVARLVLPKLEGHTCEKCRELLKPGEYGVFAARAGEQRCWHQPCFACQACGQALINLIYFYHDGQLYCGRHHAELLRPRCPACDQLIFSWRCTEAEGQRWHENHFCCQDCAGPLGGGRYALPGGSPCCPSCFENRYSDAGSSWAGALEGQAFLGETGLDRTEGRDQTSVNSATLSRTLLAAAGGSSLQTQRGLPGSSPQQENRPGDKAEAPKGQEQCRLETIRDPKDTPFSTCSSSSDSEPEGFFLGERLPQSWKTPGSLQAEDSNASKTHCTMC
+>DECOY_sp|Q2TBC4|PRIC4_HUMAN Prickle-like protein 4 OS=Homo sapiens OX=9606 GN=PRICKLE4 PE=2 SV=2
+CMTCHTKSANSDEAQLSGPTKWSQPLREGLFFGEPESDSSSSCTSFPTDKPDRITELRCQEQGKPAEAKDGPRNEQQPSSGPLGRQTQLSSGGAAALLTRSLTASNVSTQDRGETRDLGTEGLFAQGELAGAWSSGADSYRNEFCSPCCPSGGPLAYRGGGLPGACDQCCFHNEHWRQGEAETCRWSFILQDCAPCRPRLLEAHHRGCYLQGDHYFYILNILAQGCAQCAFCPQHWCRQEGARAAFVGYEGPKLLERCKECTHGELKPLVLRAVGQGLAEQKRRACFLQLEAREEEGLALCYREDIDQPPLQQLLTQLGTWNPAQNTDLCLSGLSLVAPGQPSM
+>sp|P04156|PRIO_HUMAN Major prion protein OS=Homo sapiens OX=9606 GN=PRNP PE=1 SV=1
+MANLGCWMLVLFVATWSDLGLCKKRPKPGGWNTGGSRYPGQGSPGGNRYPPQGGGGWGQPHGGGWGQPHGGGWGQPHGGGWGQPHGGGWGQGGGTHSQWNKPSKPKTNMKHMAGAAAAGAVVGGLGGYMLGSAMSRPIIHFGSDYEDRYYRENMHRYPNQVYYRPMDEYSNQNNFVHDCVNITIKQHTVTTTTKGENFTETDVKMMERVVEQMCITQYERESQAYYQRGSSMVLFSSPPVILLISFLIFLIVG
+>DECOY_sp|P04156|PRIO_HUMAN Major prion protein OS=Homo sapiens OX=9606 GN=PRNP PE=1 SV=1
+GVILFILFSILLIVPPSSFLVMSSGRQYYAQSEREYQTICMQEVVREMMKVDTETFNEGKTTTTVTHQKITINVCDHVFNNQNSYEDMPRYYVQNPYRHMNERYYRDEYDSGFHIIPRSMASGLMYGGLGGVVAGAAAAGAMHKMNTKPKSPKNWQSHTGGGQGWGGGHPQGWGGGHPQGWGGGHPQGWGGGHPQGWGGGGQPPYRNGGPSGQGPYRSGGTNWGGPKPRKKCLGLDSWTAVFLVLMWCGLNAM
+>sp|P78527|PRKDC_HUMAN DNA-dependent protein kinase catalytic subunit OS=Homo sapiens OX=9606 GN=PRKDC PE=1 SV=3
+MAGSGAGVRCSLLRLQETLSAADRCGAALAGHQLIRGLGQECVLSSSPAVLALQTSLVFSRDFGLLVFVRKSLNSIEFRECREEILKFLCIFLEKMGQKIAPYSVEIKNTCTSVYTKDRAAKCKIPALDLLIKLLQTFRSSRLMDEFKIGELFSKFYGELALKKKIPDTVLEKVYELLGLLGEVHPSEMINNAENLFRAFLGELKTQMTSAVREPKLPVLAGCLKGLSSLLCNFTKSMEEDPQTSREIFNFVLKAIRPQIDLKRYAVPSAGLRLFALHASQFSTCLLDNYVSLFEVLLKWCAHTNVELKKAALSALESFLKQVSNMVAKNAEMHKNKLQYFMEQFYGIIRNVDSNNKELSIAIRGYGLFAGPCKVINAKDVDFMYVELIQRCKQMFLTQTDTGDDRVYQMPSFLQSVASVLLYLDTVPEVYTPVLEHLVVMQIDSFPQYSPKMQLVCCRAIVKVFLALAAKGPVLRNCISTVVHQGLIRICSKPVVLPKGPESESEDHRASGEVRTGKWKVPTYKDYVDLFRHLLSSDQMMDSILADEAFFSVNSSSESLNHLLYDEFVKSVLKIVEKLDLTLEIQTVGEQENGDEAPGVWMIPTSDPAANLHPAKPKDFSAFINLVEFCREILPEKQAEFFEPWVYSFSYELILQSTRLPLISGFYKLLSITVRNAKKIKYFEGVSPKSLKHSPEDPEKYSCFALFVKFGKEVAVKMKQYKDELLASCLTFLLSLPHNIIELDVRAYVPALQMAFKLGLSYTPLAEVGLNALEEWSIYIDRHVMQPYYKDILPCLDGYLKTSALSDETKNNWEVSALSRAAQKGFNKVVLKHLKKTKNLSSNEAISLEEIRIRVVQMLGSLGGQINKNLLTVTSSDEMMKSYVAWDREKRLSFAVPFREMKPVIFLDVFLPRVTELALTASDRQTKVAACELLHSMVMFMLGKATQMPEGGQGAPPMYQLYKRTFPVLLRLACDVDQVTRQLYEPLVMQLIHWFTNNKKFESQDTVALLEAILDGIVDPVDSTLRDFCGRCIREFLKWSIKQITPQQQEKSPVNTKSLFKRLYSLALHPNAFKRLGASLAFNNIYREFREEESLVEQFVFEALVIYMESLALAHADEKSLGTIQQCCDAIDHLCRIIEKKHVSLNKAKKRRLPRGFPPSASLCLLDLVKWLLAHCGRPQTECRHKSIELFYKFVPLLPGNRSPNLWLKDVLKEEGVSFLINTFEGGGCGQPSGILAQPTLLYLRGPFSLQATLCWLDLLLAALECYNTFIGERTVGALQVLGTEAQSSLLKAVAFFLESIAMHDIIAAEKCFGTGAAGNRTSPQEGERYNYSKCTVVVRIMEFTTTLLNTSPEGWKLLKKDLCNTHLMRVLVQTLCEPASIGFNIGDVQVMAHLPDVCVNLMKALKMSPYKDILETHLREKITAQSIEELCAVNLYGPDAQVDRSRLAAVVSACKQLHRAGLLHNILPSQSTDLHHSVGTELLSLVYKGIAPGDERQCLPSLDLSCKQLASGLLELAFAFGGLCERLVSLLLNPAVLSTASLGSSQGSVIHFSHGEYFYSLFSETINTELLKNLDLAVLELMQSSVDNTKMVSAVLNGMLDQSFRERANQKHQGLKLATTILQHWKKCDSWWAKDSPLETKMAVLALLAKILQIDSSVSFNTSHGSFPEVFTTYISLLADTKLDLHLKGQAVTLLPFFTSLTGGSLEELRRVLEQLIVAHFPMQSREFPPGTPRFNNYVDCMKKFLDALELSQSPMLLELMTEVLCREQQHVMEELFQSSFRRIARRGSCVTQVGLLESVYEMFRKDDPRLSFTRQSFVDRSLLTLLWHCSLDALREFFSTIVVDAIDVLKSRFTKLNESTFDTQITKKMGYYKILDVMYSRLPKDDVHAKESKINQVFHGSCITEGNELTKTLIKLCYDAFTENMAGENQLLERRRLYHCAAYNCAISVICCVFNELKFYQGFLFSEKPEKNLLIFENLIDLKRRYNFPVEVEVPMERKKKYIEIRKEAREAANGDSDGPSYMSSLSYLADSTLSEEMSQFDFSTGVQSYSYSSQDPRPATGRFRRREQRDPTVHDDVLELEMDELNRHECMAPLTALVKHMHRSLGPPQGEEDSVPRDLPSWMKFLHGKLGNPIVPLNIRLFLAKLVINTEEVFRPYAKHWLSPLLQLAASENNGGEGIHYMVVEIVATILSWTGLATPTGVPKDEVLANRLLNFLMKHVFHPKRAVFRHNLEIIKTLVECWKDCLSIPYRLIFEKFSGKDPNSKDNSVGIQLLGIVMANDLPPYDPQCGIQSSEYFQALVNNMSFVRYKEVYAAAAEVLGLILRYVMERKNILEESLCELVAKQLKQHQNTMEDKFIVCLNKVTKSFPPLADRFMNAVFFLLPKFHGVLKTLCLEVVLCRVEGMTELYFQLKSKDFVQVMRHRDDERQKVCLDIIYKMMPKLKPVELRELLNPVVEFVSHPSTTCREQMYNILMWIHDNYRDPESETDNDSQEIFKLAKDVLIQGLIDENPGLQLIIRNFWSHETRLPSNTLDRLLALNSLYSPKIEVHFLSLATNFLLEMTSMSPDYPNPMFEHPLSECEFQEYTIDSDWRFRSTVLTPMFVETQASQGTLQTRTQEGSLSARWPVAGQIRATQQQHDFTLTQTADGRSSFDWLTGSSTDPLVDHTSPSSDSLLFAHKRSERLQRAPLKSVGPDFGKKRLGLPGDEVDNKVKGAAGRTDLLRLRRRFMRDQEKLSLMYARKGVAEQKREKEIKSELKMKQDAQVVLYRSYRHGDLPDIQIKHSSLITPLQAVAQRDPIIAKQLFSSLFSGILKEMDKFKTLSEKNNITQKLLQDFNRFLNTTFSFFPPFVSCIQDISCQHAALLSLDPAAVSAGCLASLQQPVGIRLLEEALLRLLPAELPAKRVRGKARLPPDVLRWVELAKLYRSIGEYDVLRGIFTSEIGTKQITQSALLAEARSDYSEAAKQYDEALNKQDWVDGEPTEAEKDFWELASLDCYNHLAEWKSLEYCSTASIDSENPPDLNKIWSEPFYQETYLPYMIRSKLKLLLQGEADQSLLTFIDKAMHGELQKAILELHYSQELSLLYLLQDDVDRAKYYIQNGIQSFMQNYSSIDVLLHQSRLTKLQSVQALTEIQEFISFISKQGNLSSQVPLKRLLNTWTNRYPDAKMDPMNIWDDIITNRCFFLSKIEEKLTPLPEDNSMNVDQDGDPSDRMEVQEQEEDISSLIRSCKFSMKMKMIDSARKQNNFSLAMKLLKELHKESKTRDDWLVSWVQSYCRLSHCRSRSQGCSEQVLTVLKTVSLLDENNVSSYLSKNILAFRDQNILLGTTYRIIANALSSEPACLAEIEEDKARRILELSGSSSEDSEKVIAGLYQRAFQHLSEAVQAAEEEAQPPSWSCGPAAGVIDAYMTLADFCDQQLRKEEENASVIDSAELQAYPALVVEKMLKALKLNSNEARLKFPRLLQIIERYPEETLSLMTKEISSVPCWQFISWISHMVALLDKDQAVAVQHSVEEITDNYPQAIVYPFIISSESYSFKDTSTGHKNKEFVARIKSKLDQGGVIQDFINALDQLSNPELLFKDWSNDVRAELAKTPVNKKNIEKMYERMYAALGDPKAPGLGAFRRKFIQTFGKEFDKHFGKGGSKLLRMKLSDFNDITNMLLLKMNKDSKPPGNLKECSPWMSDFKVEFLRNELEIPGQYDGRGKPLPEYHVRIAGFDERVTVMASLRRPKRIIIRGHDEREHPFLVKGGEDLRQDQRVEQLFQVMNGILAQDSACSQRALQLRTYSVVPMTSRLGLIEWLENTVTLKDLLLNTMSQEEKAAYLSDPRAPPCEYKDWLTKMSGKHDVGAYMLMYKGANRTETVTSFRKRESKVPADLLKRAFVRMSTSPEAFLALRSHFASSHALICISHWILGIGDRHLNNFMVAMETGGVIGIDFGHAFGSATQFLPVPELMPFRLTRQFINLMLPMKETGLMYSIMVHALRAFRSDPGLLTNTMDVFVKEPSFDWKNFEQKMLKKGGSWIQEINVAEKNWYPRQKICYAKRKLAGANPAVITCDELLLGHEKAPAFRDYVAVARGSKDHNIRAQEPESGLSEETQVKCLMDQATDPNILGRTWEGWEPWM
+>DECOY_sp|P78527|PRKDC_HUMAN DNA-dependent protein kinase catalytic subunit OS=Homo sapiens OX=9606 GN=PRKDC PE=1 SV=3
+MWPEWGEWTRGLINPDTAQDMLCKVQTEESLGSEPEQARINHDKSGRAVAVYDRFAPAKEHGLLLEDCTIVAPNAGALKRKAYCIKQRPYWNKEAVNIEQIWSGGKKLMKQEFNKWDFSPEKVFVDMTNTLLGPDSRFARLAHVMISYMLGTEKMPLMLNIFQRTLRFPMLEPVPLFQTASGFAHGFDIGIVGGTEMAVMFNNLHRDGIGLIWHSICILAHSSAFHSRLALFAEPSTSMRVFARKLLDAPVKSERKRFSTVTETRNAGKYMLMYAGVDHKGSMKTLWDKYECPPARPDSLYAAKEEQSMTNLLLDKLTVTNELWEILGLRSTMPVVSYTRLQLARQSCASDQALIGNMVQFLQEVRQDQRLDEGGKVLFPHEREDHGRIIIRKPRRLSAMVTVREDFGAIRVHYEPLPKGRGDYQGPIELENRLFEVKFDSMWPSCEKLNGPPKSDKNMKLLLMNTIDNFDSLKMRLLKSGGKGFHKDFEKGFTQIFKRRFAGLGPAKPDGLAAYMREYMKEINKKNVPTKALEARVDNSWDKFLLEPNSLQDLANIFDQIVGGQDLKSKIRAVFEKNKHGTSTDKFSYSESSIIFPYVIAQPYNDTIEEVSHQVAVAQDKDLLAVMHSIWSIFQWCPVSSIEKTMLSLTEEPYREIIQLLRPFKLRAENSNLKLAKLMKEVVLAPYAQLEASDIVSANEEEKRLQQDCFDALTMYADIVGAAPGCSWSPPQAEEEAAQVAESLHQFARQYLGAIVKESDESSSGSLELIRRAKDEEIEALCAPESSLANAIIRYTTGLLINQDRFALINKSLYSSVNNEDLLSVTKLVTLVQESCGQSRSRCHSLRCYSQVWSVLWDDRTKSEKHLEKLLKMALSFNNQKRASDIMKMKMSFKCSRILSSIDEEQEQVEMRDSPDGDQDVNMSNDEPLPTLKEEIKSLFFCRNTIIDDWINMPDMKADPYRNTWTNLLRKLPVQSSLNGQKSIFSIFEQIETLAQVSQLKTLRSQHLLVDISSYNQMFSQIGNQIYYKARDVDDQLLYLLSLEQSYHLELIAKQLEGHMAKDIFTLLSQDAEGQLLLKLKSRIMYPLYTEQYFPESWIKNLDPPNESDISATSCYELSKWEALHNYCDLSALEWFDKEAETPEGDVWDQKNLAEDYQKAAESYDSRAEALLASQTIQKTGIESTFIGRLVDYEGISRYLKALEVWRLVDPPLRAKGRVRKAPLEAPLLRLLAEELLRIGVPQQLSALCGASVAAPDLSLLAAHQCSIDQICSVFPPFFSFTTNLFRNFDQLLKQTINNKESLTKFKDMEKLIGSFLSSFLQKAIIPDRQAVAQLPTILSSHKIQIDPLDGHRYSRYLVVQADQKMKLESKIEKERKQEAVGKRAYMLSLKEQDRMFRRRLRLLDTRGAAGKVKNDVEDGPLGLRKKGFDPGVSKLPARQLRESRKHAFLLSDSSPSTHDVLPDTSSGTLWDFSSRGDATQTLTFDHQQQTARIQGAVPWRASLSGEQTRTQLTGQSAQTEVFMPTLVTSRFRWDSDITYEQFECESLPHEFMPNPYDPSMSTMELLFNTALSLFHVEIKPSYLSNLALLRDLTNSPLRTEHSWFNRIILQLGPNEDILGQILVDKALKFIEQSDNDTESEPDRYNDHIWMLINYMQERCTTSPHSVFEVVPNLLERLEVPKLKPMMKYIIDLCVKQREDDRHRMVQVFDKSKLQFYLETMGEVRCLVVELCLTKLVGHFKPLLFFVANMFRDALPPFSKTVKNLCVIFKDEMTNQHQKLQKAVLECLSEELINKREMVYRLILGLVEAAAAYVEKYRVFSMNNVLAQFYESSQIGCQPDYPPLDNAMVIGLLQIGVSNDKSNPDKGSFKEFILRYPISLCDKWCEVLTKIIELNHRFVARKPHFVHKMLFNLLRNALVEDKPVGTPTALGTWSLITAVIEVVMYHIGEGGNNESAALQLLPSLWHKAYPRFVEETNIVLKALFLRINLPVIPNGLKGHLFKMWSPLDRPVSDEEGQPPGLSRHMHKVLATLPAMCEHRNLEDMELELVDDHVTPDRQERRRFRGTAPRPDQSSYSYSQVGTSFDFQSMEESLTSDALYSLSSMYSPGDSDGNAAERAEKRIEIYKKKREMPVEVEVPFNYRRKLDILNEFILLNKEPKESFLFGQYFKLENFVCCIVSIACNYAACHYLRRRELLQNEGAMNETFADYCLKILTKTLENGETICSGHFVQNIKSEKAHVDDKPLRSYMVDLIKYYGMKKTIQTDFTSENLKTFRSKLVDIADVVITSFFERLADLSCHWLLTLLSRDVFSQRTFSLRPDDKRFMEYVSELLGVQTVCSGRRAIRRFSSQFLEEMVHQQERCLVETMLELLMPSQSLELADLFKKMCDVYNNFRPTGPPFERSQMPFHAVILQELVRRLEELSGGTLSTFFPLLTVAQGKLHLDLKTDALLSIYTTFVEPFSGHSTNFSVSSDIQLIKALLALVAMKTELPSDKAWWSDCKKWHQLITTALKLGQHKQNARERFSQDLMGNLVASVMKTNDVSSQMLELVALDLNKLLETNITESFLSYFYEGHSFHIVSGQSSGLSATSLVAPNLLLSVLRECLGGFAFALELLGSALQKCSLDLSPLCQREDGPAIGKYVLSLLETGVSHHLDTSQSPLINHLLGARHLQKCASVVAALRSRDVQADPGYLNVACLEEISQATIKERLHTELIDKYPSMKLAKMLNVCVDPLHAMVQVDGINFGISAPECLTQVLVRMLHTNCLDKKLLKWGEPSTNLLTTTFEMIRVVVTCKSYNYREGEQPSTRNGAAGTGFCKEAAIIDHMAISELFFAVAKLLSSQAETGLVQLAGVTREGIFTNYCELAALLLDLWCLTAQLSFPGRLYLLTPQALIGSPQGCGGGEFTNILFSVGEEKLVDKLWLNPSRNGPLLPVFKYFLEISKHRCETQPRGCHALLWKVLDLLCLSASPPFGRPLRRKKAKNLSVHKKEIIRCLHDIADCCQQITGLSKEDAHALALSEMYIVLAEFVFQEVLSEEERFERYINNFALSAGLRKFANPHLALSYLRKFLSKTNVPSKEQQQPTIQKISWKLFERICRGCFDRLTSDVPDVIGDLIAELLAVTDQSEFKKNNTFWHILQMVLPEYLQRTVQDVDCALRLLVPFTRKYLQYMPPAGQGGEPMQTAKGLMFMVMSHLLECAAVKTQRDSATLALETVRPLFVDLFIVPKMERFPVAFSLRKERDWAVYSKMMEDSSTVTLLNKNIQGGLSGLMQVVRIRIEELSIAENSSLNKTKKLHKLVVKNFGKQAARSLASVEWNNKTEDSLASTKLYGDLCPLIDKYYPQMVHRDIYISWEELANLGVEALPTYSLGLKFAMQLAPVYARVDLEIINHPLSLLFTLCSALLEDKYQKMKVAVEKGFKVFLAFCSYKEPDEPSHKLSKPSVGEFYKIKKANRVTISLLKYFGSILPLRTSQLILEYSFSYVWPEFFEAQKEPLIERCFEVLNIFASFDKPKAPHLNAAPDSTPIMWVGPAEDGNEQEGVTQIELTLDLKEVIKLVSKVFEDYLLHNLSESSSNVSFFAEDALISDMMQDSSLLHRFLDVYDKYTPVKWKGTRVEGSARHDESESEPGKPLVVPKSCIRILGQHVVTSICNRLVPGKAALALFVKVIARCCVLQMKPSYQPFSDIQMVVLHELVPTYVEPVTDLYLLVSAVSQLFSPMQYVRDDGTDTQTLFMQKCRQILEVYMFDVDKANIVKCPGAFLGYGRIAISLEKNNSDVNRIIGYFQEMFYQLKNKHMEANKAVMNSVQKLFSELASLAAKKLEVNTHACWKLLVEFLSVYNDLLCTSFQSAHLAFLRLGASPVAYRKLDIQPRIAKLVFNFIERSTQPDEEMSKTFNCLLSSLGKLCGALVPLKPERVASTMQTKLEGLFARFLNEANNIMESPHVEGLLGLLEYVKELVTDPIKKKLALEGYFKSFLEGIKFEDMLRSSRFTQLLKILLDLAPIKCKAARDKTYVSTCTNKIEVSYPAIKQGMKELFICLFKLIEERCERFEISNLSKRVFVLLGFDRSFVLSTQLALVAPSSSLVCEQGLGRILQHGALAAGCRDAASLTEQLRLLSCRVGAGSGAM
+>sp|O60260|PRKN_HUMAN E3 ubiquitin-protein ligase parkin OS=Homo sapiens OX=9606 GN=PRKN PE=1 SV=2
+MIVFVRFNSSHGFPVEVDSDTSIFQLKEVVAKRQGVPADQLRVIFAGKELRNDWTVQNCDLDQQSIVHIVQRPWRKGQEMNATGGDDPRNAAGGCEREPQSLTRVDLSSSVLPGDSVGLAVILHTDSRKDSPPAGSPAGRSIYNSFYVYCKGPCQRVQPGKLRVQCSTCRQATLTLTQGPSCWDDVLIPNRMSGECQSPHCPGTSAEFFFKCGAHPTSDKETSVALHLIATNSRNITCITCTDVRSPVLVFQCNSRHVICLDCFHLYCVTRLNDRQFVHDPQLGYSLPCVAGCPNSLIKELHHFRILGEEQYNRYQQYGAEECVLQMGGVLCPRPGCGAGLLPEPDQRKVTCEGGNGLGCGFAFCRECKEAYHEGECSAVFEASGTTTQAYRVDERAAEQARWEAASKETIKKTTKPCPRCHVPVEKNGGCMHMKCPQPQCRLEWCWNCGCEWNRVCMGDHWFDV
+>DECOY_sp|O60260|PRKN_HUMAN E3 ubiquitin-protein ligase parkin OS=Homo sapiens OX=9606 GN=PRKN PE=1 SV=2
+VDFWHDGMCVRNWECGCNWCWELRCQPQPCKMHMCGGNKEVPVHCRPCPKTTKKITEKSAAEWRAQEAAREDVRYAQTTTGSAEFVASCEGEHYAEKCERCFAFGCGLGNGGECTVKRQDPEPLLGAGCGPRPCLVGGMQLVCEEAGYQQYRNYQEEGLIRFHHLEKILSNPCGAVCPLSYGLQPDHVFQRDNLRTVCYLHFCDLCIVHRSNCQFVLVPSRVDTCTICTINRSNTAILHLAVSTEKDSTPHAGCKFFFEASTGPCHPSQCEGSMRNPILVDDWCSPGQTLTLTAQRCTSCQVRLKGPQVRQCPGKCYVYFSNYISRGAPSGAPPSDKRSDTHLIVALGVSDGPLVSSSLDVRTLSQPERECGGAANRPDDGGTANMEQGKRWPRQVIHVISQQDLDCNQVTWDNRLEKGAFIVRLQDAPVGQRKAVVEKLQFISTDSDVEVPFGHSSNFRVFVIM
+>sp|P49683|PRLHR_HUMAN Prolactin-releasing peptide receptor OS=Homo sapiens OX=9606 GN=PRLHR PE=1 SV=3
+MASSTTRGPRVSDLFSGLPPAVTTPANQSAEASAGNGSVAGADAPAVTPFQSLQLVHQLKGLIVLLYSVVVVVGLVGNCLLVLVIARVRRLHNVTNFLIGNLALSDVLMCTACVPLTLAYAFEPRGWVFGGGLCHLVFFLQPVTVYVSVFTLTTIAVDRYVVLVHPLRRRISLRLSAYAVLAIWALSAVLALPAAVHTYHVELKPHDVRLCEEFWGSQERQRQLYAWGLLLVTYLLPLLVILLSYVRVSVKLRNRVVPGCVTQSQADWDRARRRRTFCLLVVIVVVFAVCWLPLHVFNLLRDLDPHAIDPYAFGLVQLLCHWLAMSSACYNPFIYAWLHDSFREELRKLLVAWPRKIAPHGQNMTVSVVI
+>DECOY_sp|P49683|PRLHR_HUMAN Prolactin-releasing peptide receptor OS=Homo sapiens OX=9606 GN=PRLHR PE=1 SV=3
+IVVSVTMNQGHPAIKRPWAVLLKRLEERFSDHLWAYIFPNYCASSMALWHCLLQVLGFAYPDIAHPDLDRLLNFVHLPLWCVAFVVVIVVLLCFTRRRRARDWDAQSQTVCGPVVRNRLKVSVRVYSLLIVLLPLLYTVLLLGWAYLQRQREQSGWFEECLRVDHPKLEVHYTHVAAPLALVASLAWIALVAYASLRLSIRRRLPHVLVVYRDVAITTLTFVSVYVTVPQLFFVLHCLGGGFVWGRPEFAYALTLPVCATCMLVDSLALNGILFNTVNHLRRVRAIVLVLLCNGVLGVVVVVSYLLVILGKLQHVLQLSQFPTVAPADAGAVSGNGASAEASQNAPTTVAPPLGSFLDSVRPGRTTSSAM
+>sp|P16471|PRLR_HUMAN Prolactin receptor OS=Homo sapiens OX=9606 GN=PRLR PE=1 SV=1
+MKENVASATVFTLLLFLNTCLLNGQLPPGKPEIFKCRSPNKETFTCWWRPGTDGGLPTNYSLTYHREGETLMHECPDYITGGPNSCHFGKQYTSMWRTYIMMVNATNQMGSSFSDELYVDVTYIVQPDPPLELAVEVKQPEDRKPYLWIKWSPPTLIDLKTGWFTLLYEIRLKPEKAAEWEIHFAGQQTEFKILSLHPGQKYLVQVRCKPDHGYWSAWSPATFIQIPSDFTMNDTTVWISVAVLSAVICLIIVWAVALKGYSMVTCIFPPVPGPKIKGFDAHLLEKGKSEELLSALGCQDFPPTSDYEDLLVEYLEVDDSEDQHLMSVHSKEHPSQGMKPTYLDPDTDSGRGSCDSPSLLSEKCEEPQANPSTFYDPEVIEKPENPETTHTWDPQCISMEGKIPYFHAGGSKCSTWPLPQPSQHNPRSSYHNITDVCELAVGPAGAPATLLNEAGKDALKSSQTIKSREEGKATQQREVESFHSETDQDTPWLLPQEKTPFGSAKPLDYVEIHKVNKDGALSLLPKQRENSGKPKKPGTPENNKEYAKVSGVMDNNILVLVPDPHAKNVACFEESAKEAPPSLEQNQAEKALANFTATSSKCRLQLGGLDYLDPACFTHSFH
+>DECOY_sp|P16471|PRLR_HUMAN Prolactin receptor OS=Homo sapiens OX=9606 GN=PRLR PE=1 SV=1
+HFSHTFCAPDLYDLGGLQLRCKSSTATFNALAKEAQNQELSPPAEKASEEFCAVNKAHPDPVLVLINNDMVGSVKAYEKNNEPTGPKKPKGSNERQKPLLSLAGDKNVKHIEVYDLPKASGFPTKEQPLLWPTDQDTESHFSEVERQQTAKGEERSKITQSSKLADKGAENLLTAPAGAPGVALECVDTINHYSSRPNHQSPQPLPWTSCKSGGAHFYPIKGEMSICQPDWTHTTEPNEPKEIVEPDYFTSPNAQPEECKESLLSPSDCSGRGSDTDPDLYTPKMGQSPHEKSHVSMLHQDESDDVELYEVLLDEYDSTPPFDQCGLASLLEESKGKELLHADFGKIKPGPVPPFICTVMSYGKLAVAWVIILCIVASLVAVSIWVTTDNMTFDSPIQIFTAPSWASWYGHDPKCRVQVLYKQGPHLSLIKFETQQGAFHIEWEAAKEPKLRIEYLLTFWGTKLDILTPPSWKIWLYPKRDEPQKVEVALELPPDPQVIYTVDVYLEDSFSSGMQNTANVMMIYTRWMSTYQKGFHCSNPGGTIYDPCEHMLTEGERHYTLSYNTPLGGDTGPRWWCTFTEKNPSRCKFIEPKGPPLQGNLLCTNLFLLLTFVTASAVNEKM
+>sp|P01236|PRL_HUMAN Prolactin OS=Homo sapiens OX=9606 GN=PRL PE=1 SV=1
+MNIKGSPWKGSLLLLLVSNLLLCQSVAPLPICPGGAARCQVTLRDLFDRAVVLSHYIHNLSSEMFSEFDKRYTHGRGFITKAINSCHTSSLATPEDKEQAQQMNQKDFLSLIVSILRSWNEPLYHLVTEVRGMQEAPEAILSKAVEIEEQTKRLLEGMELIVSQVHPETKENEIYPVWSGLPSLQMADEESRLSAYYNLLHCLRRDSHKIDNYLKLLKCRIIHNNNC
+>DECOY_sp|P01236|PRL_HUMAN Prolactin OS=Homo sapiens OX=9606 GN=PRL PE=1 SV=1
+CNNNHIIRCKLLKLYNDIKHSDRRLCHLLNYYASLRSEEDAMQLSPLGSWVPYIENEKTEPHVQSVILEMGELLRKTQEEIEVAKSLIAEPAEQMGRVETVLHYLPENWSRLISVILSLFDKQNMQQAQEKDEPTALSSTHCSNIAKTIFGRGHTYRKDFESFMESSLNHIYHSLVVARDFLDRLTVQCRAAGGPCIPLPAVSQCLLLNSVLLLLLSGKWPSGKINM
+>sp|Q9UKY0|PRND_HUMAN Prion-like protein doppel OS=Homo sapiens OX=9606 GN=PRND PE=1 SV=2
+MRKHLSWWWLATVCMLLFSHLSAVQTRGIKHRIKWNRKALPSTAQITEAQVAENRPGAFIKQGRKLDIDFGAEGNRYYEANYWQFPDGIHYNGCSEANVTKEAFVTGCINATQAANQGEFQKPDNKLHQQVLWRLVQELCSLKHCEFWLERGAGLRVTMHQPVLLCLLALIWLTVK
+>DECOY_sp|Q9UKY0|PRND_HUMAN Prion-like protein doppel OS=Homo sapiens OX=9606 GN=PRND PE=1 SV=2
+KVTLWILALLCLLVPQHMTVRLGAGRELWFECHKLSCLEQVLRWLVQQHLKNDPKQFEGQNAAQTANICGTVFAEKTVNAESCGNYHIGDPFQWYNAEYYRNGEAGFDIDLKRGQKIFAGPRNEAVQAETIQATSPLAKRNWKIRHKIGRTQVASLHSFLLMCVTALWWWSLHKRM
+>sp|P35080|PROF2_HUMAN Profilin-2 OS=Homo sapiens OX=9606 GN=PFN2 PE=1 SV=3
+MAGWQSYVDNLMCDGCCQEAAIVGYCDAKYVWAATAGGVFQSITPIEIDMIVGKDREGFFTNGLTLGAKKCSVIRDSLYVDGDCTMDIRTKSQGGEPTYNVAVGRAGRVLVFVMGKEGVHGGGLNKKAYSMAKYLRDSGF
+>DECOY_sp|P35080|PROF2_HUMAN Profilin-2 OS=Homo sapiens OX=9606 GN=PFN2 PE=1 SV=3
+FGSDRLYKAMSYAKKNLGGGHVGEKGMVFVLVRGARGVAVNYTPEGGQSKTRIDMTCDGDVYLSDRIVSCKKAGLTLGNTFFGERDKGVIMDIEIPTISQFVGGATAAWVYKADCYGVIAAEQCCGDCMLNDVYSQWGAM
+>sp|P27918|PROP_HUMAN Properdin OS=Homo sapiens OX=9606 GN=CFP PE=1 SV=2
+MITEGAQAPRLLLPPLLLLLTLPATGSDPVLCFTQYEESSGKCKGLLGGGVSVEDCCLNTAFAYQKRSGGLCQPCRSPRWSLWSTWAPCSVTCSEGSQLRYRRCVGWNGQCSGKVAPGTLEWQLQACEDQQCCPEMGGWSGWGPWEPCSVTCSKGTRTRRRACNHPAPKCGGHCPGQAQESEACDTQQVCPTHGAWATWGPWTPCSASCHGGPHEPKETRSRKCSAPEPSQKPPGKPCPGLAYEQRRCTGLPPCPVAGGWGPWGPVSPCPVTCGLGQTMEQRTCNHPVPQHGGPFCAGDATRTHICNTAVPCPVDGEWDSWGEWSPCIRRNMKSISCQEIPGQQSRGRTCRGRKFDGHRCAGQQQDIRHCYSIQHCPLKGSWSEWSTWGLCMPPCGPNPTRARQRLCTPLLPKYPPTVSMVEGQGEKNVTFWGRPLPRCEELQGQKLVVEEKRPCLHVPACKDPEEEEL
+>DECOY_sp|P27918|PROP_HUMAN Properdin OS=Homo sapiens OX=9606 GN=CFP PE=1 SV=2
+LEEEEPDKCAPVHLCPRKEEVVLKQGQLEECRPLPRGWFTVNKEGQGEVMSVTPPYKPLLPTCLRQRARTPNPGCPPMCLGWTSWESWSGKLPCHQISYCHRIDQQQGACRHGDFKRGRCTRGRSQQGPIEQCSISKMNRRICPSWEGWSDWEGDVPCPVATNCIHTRTADGACFPGGHQPVPHNCTRQEMTQGLGCTVPCPSVPGWPGWGGAVPCPPLGTCRRQEYALGPCPKGPPKQSPEPASCKRSRTEKPEHPGGHCSASCPTWPGWTAWAGHTPCVQQTDCAESEQAQGPCHGGCKPAPHNCARRRTRTGKSCTVSCPEWPGWGSWGGMEPCCQQDECAQLQWELTGPAVKGSCQGNWGVCRRYRLQSGESCTVSCPAWTSWLSWRPSRCPQCLGGSRKQYAFATNLCCDEVSVGGGLLGKCKGSSEEYQTFCLVPDSGTAPLTLLLLLPPLLLRPAQAGETIM
+>sp|P07225|PROS_HUMAN Vitamin K-dependent protein S OS=Homo sapiens OX=9606 GN=PROS1 PE=1 SV=1
+MRVLGGRCGALLACLLLVLPVSEANFLSKQQASQVLVRKRRANSLLEETKQGNLERECIEELCNKEEAREVFENDPETDYFYPKYLVCLRSFQTGLFTAARQSTNAYPDLRSCVNAIPDQCSPLPCNEDGYMSCKDGKASFTCTCKPGWQGEKCEFDINECKDPSNINGGCSQICDNTPGSYHCSCKNGFVMLSNKKDCKDVDECSLKPSICGTAVCKNIPGDFECECPEGYRYNLKSKSCEDIDECSENMCAQLCVNYPGGYTCYCDGKKGFKLAQDQKSCEVVSVCLPLNLDTKYELLYLAEQFAGVVLYLKFRLPEISRFSAEFDFRTYDSEGVILYAESIDHSAWLLIALRGGKIEVQLKNEHTSKITTGGDVINNGLWNMVSVEELEHSISIKIAKEAVMDINKPGPLFKPENGLLETKVYFAGFPRKVESELIKPINPRLDGCIRSWNLMKQGASGIKEIIQEKQNKHCLVTVEKGSYYPGSGIAQFHIDYNNVSSAEGWHVNVTLNIRPSTGTGVMLALVSGNNTVPFAVSLVDSTSEKSQDILLSVENTVIYRIQALSLCSDQQSHLEFRVNRNNLELSTPLKIETISHEDLQRQLAVLDKAMKAKVATYLGGLPDVPFSATPVNAFYNGCMEVNINGVQLDLDEAISKHNDIRAHSCPSVWKKTKNS
+>DECOY_sp|P07225|PROS_HUMAN Vitamin K-dependent protein S OS=Homo sapiens OX=9606 GN=PROS1 PE=1 SV=1
+SNKTKKWVSPCSHARIDNHKSIAEDLDLQVGNINVEMCGNYFANVPTASFPVDPLGGLYTAVKAKMAKDLVALQRQLDEHSITEIKLPTSLELNNRNVRFELHSQQDSCLSLAQIRYIVTNEVSLLIDQSKESTSDVLSVAFPVTNNGSVLALMVGTGTSPRINLTVNVHWGEASSVNNYDIHFQAIGSGPYYSGKEVTVLCHKNQKEQIIEKIGSAGQKMLNWSRICGDLRPNIPKILESEVKRPFGAFYVKTELLGNEPKFLPGPKNIDMVAEKAIKISISHELEEVSVMNWLGNNIVDGGTTIKSTHENKLQVEIKGGRLAILLWASHDISEAYLIVGESDYTRFDFEASFRSIEPLRFKLYLVVGAFQEALYLLEYKTDLNLPLCVSVVECSKQDQALKFGKKGDCYCTYGGPYNVCLQACMNESCEDIDECSKSKLNYRYGEPCECEFDGPINKCVATGCISPKLSCEDVDKCDKKNSLMVFGNKCSCHYSGPTNDCIQSCGGNINSPDKCENIDFECKEGQWGPKCTCTFSAKGDKCSMYGDENCPLPSCQDPIANVCSRLDPYANTSQRAATFLGTQFSRLCVLYKPYFYDTEPDNEFVERAEEKNCLEEICERELNGQKTEELLSNARRKRVLVQSAQQKSLFNAESVPLVLLLCALLAGCRGGLVRM
+>sp|Q92786|PROX1_HUMAN Prospero homeobox protein 1 OS=Homo sapiens OX=9606 GN=PROX1 PE=1 SV=2
+MPDHDSTALLSRQTKRRRVDIGVKRTVGTASAFFAKARATFFSAMNPQGSEQDVEYSVVQHADGEKSNVLRKLLKRANSYEDAMMPFPGATIISQLLKNNMNKNGGTEPSFQASGLSSTGSEVHQEDICSNSSRDSPPECLSPFGRPTMSQFDMDRLCDEHLRAKRARVENIIRGMSHSPSVALRGNENEREMAPQSVSPRESYRENKRKQKLPQQQQQSFQQLVSARKEQKREERRQLKQQLEDMQKQLRQLQEKFYQIYDSTDSENDEDGNLSEDSMRSEILDARAQDSVGRSDNEMCELDPGQFIDRARALIREQEMAENKPKREGNNKERDHGPNSLQPEGKHLAETLKQELNTAMSQVVDTVVKVFSAKPSRQVPQVFPPLQIPQARFAVNGENHNFHTANQRLQCFGDVIIPNPLDTFGNVQMASSTDQTEALPLVVRKNSSDQSASGPAAGGHHQPLHQSPLSATTGFTTSTFRHPFPLPLMAYPFQSPLGAPSGSFSGKDRASPESLDLTRDTTSLRTKMSSHHLSHHPCSPAHPPSTAEGLSLSLIKSECGDLQDMSEISPYSGSAMQEGLSPNHLKKAKLMFFYTRYPSSNMLKTYFSDVKFNRCITSQLIKWFSNFREFYYIQMEKYARQAINDGVTSTEELSITRDCELYRALNMHYNKANDFEVPERFLEVAQITLREFFNAIIAGKDVDPSWKKAIYKVICKLDSEVPEIFKSPNCLQELLHE
+>DECOY_sp|Q92786|PROX1_HUMAN Prospero homeobox protein 1 OS=Homo sapiens OX=9606 GN=PROX1 PE=1 SV=2
+EHLLEQLCNPSKFIEPVESDLKCIVKYIAKKWSPDVDKGAIIANFFERLTIQAVELFREPVEFDNAKNYHMNLARYLECDRTISLEETSTVGDNIAQRAYKEMQIYYFERFNSFWKILQSTICRNFKVDSFYTKLMNSSPYRTYFFMLKAKKLHNPSLGEQMASGSYPSIESMDQLDGCESKILSLSLGEATSPPHAPSCPHHSLHHSSMKTRLSTTDRTLDLSEPSARDKGSFSGSPAGLPSQFPYAMLPLPFPHRFTSTTFGTTASLPSQHLPQHHGGAAPGSASQDSSNKRVVLPLAETQDTSSAMQVNGFTDLPNPIIVDGFCQLRQNATHFNHNEGNVAFRAQPIQLPPFVQPVQRSPKASFVKVVTDVVQSMATNLEQKLTEALHKGEPQLSNPGHDREKNNGERKPKNEAMEQERILARARDIFQGPDLECMENDSRGVSDQARADLIESRMSDESLNGDEDNESDTSDYIQYFKEQLQRLQKQMDELQQKLQRREERKQEKRASVLQQFSQQQQQPLKQKRKNERYSERPSVSQPAMERENENGRLAVSPSHSMGRIINEVRARKARLHEDCLRDMDFQSMTPRGFPSLCEPPSDRSSNSCIDEQHVESGTSSLGSAQFSPETGGNKNMNNKLLQSIITAGPFPMMADEYSNARKLLKRLVNSKEGDAHQVVSYEVDQESGQPNMASFFTARAKAFFASATGVTRKVGIDVRRRKTQRSLLATSDHDPM
+>sp|O43172|PRP4_HUMAN U4/U6 small nuclear ribonucleoprotein Prp4 OS=Homo sapiens OX=9606 GN=PRPF4 PE=1 SV=2
+MASSRASSTQATKTKAPDDLVAPVVKKPHIYYGSLEEKERERLAKGESGILGKDGLKAGIEAGNINITSGEVFEIEEHISERQAEVLAEFERRKRARQINVSTDDSEVKACLRALGEPITLFGEGPAERRERLRNILSVVGTDALKKTKKDDEKSKKSKEEYQQTWYHEGPNSLKVARLWIANYSLPRAMKRLEEARLHKEIPETTRTSQMQELHKSLRSLNNFCSQIGDDRPISYCHFSPNSKMLATACWSGLCKLWSVPDCNLLHTLRGHNTNVGAIVFHPKSTVSLDPKDVNLASCAADGSVKLWSLDSDEPVADIEGHTVRVARVMWHPSGRFLGTTCYDRSWRLWDLEAQEEILHQEGHSMGVYDIAFHQDGSLAGTGGLDAFGRVWDLRTGRCIMFLEGHLKEIYGINFSPNGYHIATGSGDNTCKVWDLRQRRCVYTIPAHQNLVTGVKFEPIHGNFLLTGAYDNTAKIWTHPGWSPLKTLAGHEGKVMGLDISSDGQLIATCSYDRTFKLWMAE
+>DECOY_sp|O43172|PRP4_HUMAN U4/U6 small nuclear ribonucleoprotein Prp4 OS=Homo sapiens OX=9606 GN=PRPF4 PE=1 SV=2
+EAMWLKFTRDYSCTAILQGDSSIDLGMVKGEHGALTKLPSWGPHTWIKATNDYAGTLLFNGHIPEFKVGTVLNQHAPITYVCRRQRLDWVKCTNDGSGTAIHYGNPSFNIGYIEKLHGELFMICRGTRLDWVRGFADLGGTGALSGDQHFAIDYVGMSHGEQHLIEEQAELDWLRWSRDYCTTGLFRGSPHWMVRAVRVTHGEIDAVPEDSDLSWLKVSGDAACSALNVDKPDLSVTSKPHFVIAGVNTNHGRLTHLLNCDPVSWLKCLGSWCATALMKSNPSFHCYSIPRDDGIQSCFNNLSRLSKHLEQMQSTRTTEPIEKHLRAEELRKMARPLSYNAIWLRAVKLSNPGEHYWTQQYEEKSKKSKEDDKKTKKLADTGVVSLINRLRERREAPGEGFLTIPEGLARLCAKVESDDTSVNIQRARKRREFEALVEAQRESIHEEIEFVEGSTININGAEIGAKLGDKGLIGSEGKALREREKEELSGYYIHPKKVVPAVLDDPAKTKTAQTSSARSSAM
+>sp|Q9NZ81|PRR13_HUMAN Proline-rich protein 13 OS=Homo sapiens OX=9606 GN=PRR13 PE=1 SV=1
+MWNPNAGQPGPNPYPPNIGCPGGSNPAHPPPINPPFPPGPCPPPPGAPHGNPAFPPGGPPHPVPQPGYPGCQPLGPYPPPYPPPAPGIPPVNPLAPGMVGPAVIVDKKMQKKMKKAHKKMHKHQKHHKYHKHGKHSSSSSSSSSSDSD
+>DECOY_sp|Q9NZ81|PRR13_HUMAN Proline-rich protein 13 OS=Homo sapiens OX=9606 GN=PRR13 PE=1 SV=1
+DSDSSSSSSSSSSHKGHKHYKHHKQHKHMKKHAKKMKKQMKKDVIVAPGVMGPALPNVPPIGPAPPPYPPPYPGLPQCGPYGPQPVPHPPGGPPFAPNGHPAGPPPPCPGPPFPPNIPPPHAPNSGGPCGINPPYPNPGPQGANPNWM
+>sp|Q8N4B5|PRR18_HUMAN Proline-rich protein 18 OS=Homo sapiens OX=9606 GN=PRR18 PE=2 SV=2
+MPFPPMPPPPAPAPGAQAARQLPRRPCAAGDKKKRPPQRPEGLLSSSWPSATLKRPPARRGPGLDRTQPPAPPGVSPQALPSRARAPATCAPPRPAGSGHSPARTTYAATSAGTGTTAAGTSSGAGPCPDSAARFCLNLTPEAVLVIQKRHLEKQLLARPRRPFPSPSAEPRRLLAPCLPARAAGPRRGGPASDPDAPPTAGQGRRAPPPGAQLLHGGLQVPQLSPRPGALRPMLKVSLLNERHRYDDVEYEEEPEAVDEGLVRKCTEWLRGVESAAAARGRAGALDSRRHLSTL
+>DECOY_sp|Q8N4B5|PRR18_HUMAN Proline-rich protein 18 OS=Homo sapiens OX=9606 GN=PRR18 PE=2 SV=2
+LTSLHRRSDLAGARGRAAAASEVGRLWETCKRVLGEDVAEPEEEYEVDDYRHRENLLSVKLMPRLAGPRPSLQPVQLGGHLLQAGPPPARRGQGATPPADPDSAPGGRRPGAARAPLCPALLRRPEASPSPFPRRPRALLQKELHRKQIVLVAEPTLNLCFRAASDPCPGAGSSTGAATTGTGASTAAYTTRAPSHGSGAPRPPACTAPARARSPLAQPSVGPPAPPQTRDLGPGRRAPPRKLTASPWSSSLLGEPRQPPRKKKDGAACPRRPLQRAAQAGPAPAPPPPMPPFPM
+>sp|Q8IZ63|PRR22_HUMAN Proline-rich protein 22 OS=Homo sapiens OX=9606 GN=PRR22 PE=2 SV=2
+MQHPKPFCAPAAPQEGFSPQSLEGAEVLGNQPAPTCAEPPPAMGSLNLYHPPDPEKEVFPAPPAGFQMAPCGCFFDPRIYRIEWTTPDLGQSALYKLAASSGGPAGVPSAPGSYLLEPQPYLKAPGLPPYPHYQQAPGGPQFLLPYFPPEGPGPEALGFVGDAGPAAFVELPLPPLEEGPAPLPPPPPKENKPPPVLITLPAEPTLPPDAYSHLQGHLGHFPGPEPLAFPVKELQGSGARPGVPLYPPGLSELKVAEVKEGALLGAGKAKAPKTARALALPDKVLLEDAMKLFDCLPGASEPEGTLCEVPGPALPDSSGGNSADDIRSLCLPEELLSFDYSVPEILDTVSNVDYFFNFKALDEEQPPHPGPPATNTPAPILSGKRKASTAKKGKPGRKARQPAGPASATPPGPREDLGATPH
+>DECOY_sp|Q8IZ63|PRR22_HUMAN Proline-rich protein 22 OS=Homo sapiens OX=9606 GN=PRR22 PE=2 SV=2
+HPTAGLDERPGPPTASAPGAPQRAKRGPKGKKATSAKRKGSLIPAPTNTAPPGPHPPQEEDLAKFNFFYDVNSVTDLIEPVSYDFSLLEEPLCLSRIDDASNGGSSDPLAPGPVECLTGEPESAGPLCDFLKMADELLVKDPLALARATKPAKAKGAGLLAGEKVEAVKLESLGPPYLPVGPRAGSGQLEKVPFALPEPGPFHGLHGQLHSYADPPLTPEAPLTILVPPPKNEKPPPPPLPAPGEELPPLPLEVFAAPGADGVFGLAEPGPGEPPFYPLLFQPGGPAQQYHPYPPLGPAKLYPQPELLYSGPASPVGAPGGSSAALKYLASQGLDPTTWEIRYIRPDFFCGCPAMQFGAPPAPFVEKEPDPPHYLNLSGMAPPPEACTPAPQNGLVEAGELSQPSFGEQPAAPACFPKPHQM
+>sp|B1ATL7|PRR32_HUMAN Proline-rich protein 32 OS=Homo sapiens OX=9606 GN=PRR32 PE=4 SV=1
+MACIENVLGGHAPSPLVVSVDKNGNQELHHDMPLQCLSSKPEDDAEPWGQPQVPLRPSVNVLTDLDSKQLEWPSERTGSCIPLHSLRAHRHPYGPPPAVAEESLATAEVNSSDALAGWRQEGQDAINVSWEVSGGPPALIVGGTKVNNGGTERGSNNARLHVALPQGKGFFPPRGPQVRGPSHIPTLRSGIVMEVPPGNTRIACRGKLAHVSFPLRGPCHPMHNWPRPIPLSSSTPGLPSCSTVHCFIPPRPPIFNPFLTMPLPFAPPPIFGPPLPSYFAHFHSGGMPAPASPNREHS
+>DECOY_sp|B1ATL7|PRR32_HUMAN Proline-rich protein 32 OS=Homo sapiens OX=9606 GN=PRR32 PE=4 SV=1
+SHERNPSAPAPMGGSHFHAFYSPLPPGFIPPPAFPLPMTLFPNFIPPRPPIFCHVTSCSPLGPTSSSLPIPRPWNHMPHCPGRLPFSVHALKGRCAIRTNGPPVEMVIGSRLTPIHSPGRVQPGRPPFFGKGQPLAVHLRANNSGRETGGNNVKTGGVILAPPGGSVEWSVNIADQGEQRWGALADSSNVEATALSEEAVAPPPGYPHRHARLSHLPICSGTRESPWELQKSDLDTLVNVSPRLPVQPQGWPEADDEPKSSLCQLPMDHHLEQNGNKDVSVVLPSPAHGGLVNEICAM
+>sp|Q9NV39|PRR34_HUMAN Proline-rich protein 34 OS=Homo sapiens OX=9606 GN=PRR34 PE=1 SV=1
+MPASATAAWHCPPLCLPPLPASAPTSPPNPATRPAPGPGRRARCPQSAHPAPTRGALTFWAPGSWPRVLLVPRSPGPVLRAPRLPHPAARARRRAWHGARLPGSPARAGRTFQRGLVSNSWAHAIFLPRPPNVLELQV
+>DECOY_sp|Q9NV39|PRR34_HUMAN Proline-rich protein 34 OS=Homo sapiens OX=9606 GN=PRR34 PE=1 SV=1
+VQLELVNPPRPLFIAHAWSNSVLGRQFTRGARAPSGPLRAGHWARRRARAAPHPLRPARLVPGPSRPVLLVRPWSGPAWFTLAGRTPAPHASQPCRARRGPGPAPRTAPNPPSTPASAPLPPLCLPPCHWAATASAPM
+>sp|Q8NF86|PRS33_HUMAN Serine protease 33 OS=Homo sapiens OX=9606 GN=PRSS33 PE=1 SV=3
+MRGVSCLQVLLLLVLGAAGTQGRKSAACGQPRMSSRIVGGRDGRDGEWPWQASIQHRGAHVCGGSLIAPQWVLTAAHCFPRRALPAEYRVRLGALRLGSTSPRTLSVPVRRVLLPPDYSEDGARGDLALLQLRRPVPLSARVQPVCLPVPGARPPPGTPCRVTGWGSLRPGVPLPEWRPLQGVRVPLLDSRTCDGLYHVGADVPQAERIVLPGSLCAGYPQGHKDACQGDSGGPLTCLQSGSWVLVGVVSWGKGCALPNRPGVYTSVATYSPWIQARVSF
+>DECOY_sp|Q8NF86|PRS33_HUMAN Serine protease 33 OS=Homo sapiens OX=9606 GN=PRSS33 PE=1 SV=3
+FSVRAQIWPSYTAVSTYVGPRNPLACGKGWSVVGVLVWSGSQLCTLPGGSDGQCADKHGQPYGACLSGPLVIREAQPVDAGVHYLGDCTRSDLLPVRVGQLPRWEPLPVGPRLSGWGTVRCPTGPPPRAGPVPLCVPQVRASLPVPRRLQLLALDGRAGDESYDPPLLVRRVPVSLTRPSTSGLRLAGLRVRYEAPLARRPFCHAATLVWQPAILSGGCVHAGRHQISAQWPWEGDRGDRGGVIRSSMRPQGCAASKRGQTGAAGLVLLLLVQLCSVGRM
+>sp|A4D1T9|PRS37_HUMAN Probable inactive serine protease 37 OS=Homo sapiens OX=9606 GN=PRSS37 PE=1 SV=1
+MKYVFYLGVLAGTFFFADSSVQKEDPAPYLVYLKSHFNPCVGVLIKPSWVLAPAHCYLPNLKVMLGNFKSRVRDGTEQTINPIQIVRYWNYSHSAPQDDLMLIKLAKPAMLNPKVQPLTLATTNVRPGTVCLLSGLDWSQENSGRHPDLRQNLEAPVMSDRECQKTEQGKSHRNSLCVKFVKVFSRIFGEVAVATVICKDKLQGIEVGHFMGGDVGIYTNVYKYVSWIENTAKDK
+>DECOY_sp|A4D1T9|PRS37_HUMAN Probable inactive serine protease 37 OS=Homo sapiens OX=9606 GN=PRSS37 PE=1 SV=1
+KDKATNEIWSVYKYVNTYIGVDGGMFHGVEIGQLKDKCIVTAVAVEGFIRSFVKVFKVCLSNRHSKGQETKQCERDSMVPAELNQRLDPHRGSNEQSWDLGSLLCVTGPRVNTTALTLPQVKPNLMAPKALKILMLDDQPASHSYNWYRVIQIPNITQETGDRVRSKFNGLMVKLNPLYCHAPALVWSPKILVGVCPNFHSKLYVLYPAPDEKQVSSDAFFFTGALVGLYFVYKM
+>sp|P17980|PRS6A_HUMAN 26S proteasome regulatory subunit 6A OS=Homo sapiens OX=9606 GN=PSMC3 PE=1 SV=3
+MNLLPNIESPVTRQEKMATVWDEAEQDGIGEEVLKMSTEEIIQRTRLLDSEIKIMKSEVLRVTHELQAMKDKIKENSEKIKVNKTLPYLVSNVIELLDVDPNDQEEDGANIDLDSQRKGKCAVIKTSTRQTYFLPVIGLVDAEKLKPGDLVGVNKDSYLILETLPTEYDSRVKAMEVDERPTEQYSDIGGLDKQIQELVEAIVLPMNHKEKFENLGIQPPKGVLMYGPPGTGKTLLARACAAQTKATFLKLAGPQLVQMFIGDGAKLVRDAFALAKEKAPSIIFIDELDAIGTKRFDSEKAGDREVQRTMLELLNQLDGFQPNTQVKVIAATNRVDILDPALLRSGRLDRKIEFPMPNEEARARIMQIHSRKMNVSPDVNYEELARCTDDFNGAQCKAVCVEAGMIALRRGATELTHEDYMEGILEVQAKKKANLQYYA
+>DECOY_sp|P17980|PRS6A_HUMAN 26S proteasome regulatory subunit 6A OS=Homo sapiens OX=9606 GN=PSMC3 PE=1 SV=3
+AYYQLNAKKKAQVELIGEMYDEHTLETAGRRLAIMGAEVCVAKCQAGNFDDTCRALEEYNVDPSVNMKRSHIQMIRARAEENPMPFEIKRDLRGSRLLAPDLIDVRNTAAIVKVQTNPQFGDLQNLLELMTRQVERDGAKESDFRKTGIADLEDIFIISPAKEKALAFADRVLKAGDGIFMQVLQPGALKLFTAKTQAACARALLTKGTGPPGYMLVGKPPQIGLNEFKEKHNMPLVIAEVLEQIQKDLGGIDSYQETPREDVEMAKVRSDYETPLTELILYSDKNVGVLDGPKLKEADVLGIVPLFYTQRTSTKIVACKGKRQSDLDINAGDEEQDNPDVDLLEIVNSVLYPLTKNVKIKESNEKIKDKMAQLEHTVRLVESKMIKIESDLLRTRQIIEETSMKLVEEGIGDQEAEDWVTAMKEQRTVPSEINPLLNM
+>sp|P49721|PSB2_HUMAN Proteasome subunit beta type-2 OS=Homo sapiens OX=9606 GN=PSMB2 PE=1 SV=1
+MEYLIGIQGPDYVLVASDRVAASNIVQMKDDHDKMFKMSEKILLLCVGEAGDTVQFAEYIQKNVQLYKMRNGYELSPTAAANFTRRNLADCLRSRTPYHVNLLLAGYDEHEGPALYYMDYLAALAKAPFAAHGYGAFLTLSILDRYYTPTISRERAVELLRKCLEELQKRFILNLPTFSVRIIDKNGIHDLDNISFPKQGS
+>DECOY_sp|P49721|PSB2_HUMAN Proteasome subunit beta type-2 OS=Homo sapiens OX=9606 GN=PSMB2 PE=1 SV=1
+SGQKPFSINDLDHIGNKDIIRVSFTPLNLIFRKQLEELCKRLLEVARERSITPTYYRDLISLTLFAGYGHAAFPAKALAALYDMYYLAPGEHEDYGALLLNVHYPTRSRLCDALNRRTFNAAATPSLEYGNRMKYLQVNKQIYEAFQVTDGAEGVCLLLIKESMKFMKDHDDKMQVINSAAVRDSAVLVYDPGQIGILYEM
+>sp|P49720|PSB3_HUMAN Proteasome subunit beta type-3 OS=Homo sapiens OX=9606 GN=PSMB3 PE=1 SV=2
+MSIMSYNGGAVMAMKGKNCVAIAADRRFGIQAQMVTTDFQKIFPMGDRLYIGLAGLATDVQTVAQRLKFRLNLYELKEGRQIKPYTLMSMVANLLYEKRFGPYYTEPVIAGLDPKTFKPFICSLDLIGCPMVTDDFVVSGTCAEQMYGMCESLWEPNMDPDHLFETISQAMLNAVDRDAVSGMGVIVHIIEKDKITTRTLKARMD
+>DECOY_sp|P49720|PSB3_HUMAN Proteasome subunit beta type-3 OS=Homo sapiens OX=9606 GN=PSMB3 PE=1 SV=2
+DMRAKLTRTTIKDKEIIHVIVGMGSVADRDVANLMAQSITEFLHDPDMNPEWLSECMGYMQEACTGSVVFDDTVMPCGILDLSCIFPKFTKPDLGAIVPETYYPGFRKEYLLNAVMSMLTYPKIQRGEKLEYLNLRFKLRQAVTQVDTALGALGIYLRDGMPFIKQFDTTVMQAQIGFRRDAAIAVCNKGKMAMVAGGNYSMISM
+>sp|O75817|POP7_HUMAN Ribonuclease P protein subunit p20 OS=Homo sapiens OX=9606 GN=POP7 PE=1 SV=2
+MAENREPRGAVEAELDPVEYTLRKRLPSRLPRRPNDIYVNMKTDFKAQLARCQKLLDGGARGQNACSEIYIHGLGLAINRAINIALQLQAGSFGSLQVAANTSTVELVDELEPETDTREPLTRIRNNSAIHIRVFRVTPK
+>DECOY_sp|O75817|POP7_HUMAN Ribonuclease P protein subunit p20 OS=Homo sapiens OX=9606 GN=POP7 PE=1 SV=2
+KPTVRFVRIHIASNNRIRTLPERTDTEPELEDVLEVTSTNAAVQLSGFSGAQLQLAINIARNIALGLGHIYIESCANQGRAGGDLLKQCRALQAKFDTKMNVYIDNPRRPLRSPLRKRLTYEVPDLEAEVAGRPERNEAM
+>sp|Q8NE79|POPD1_HUMAN Blood vessel epicardial substance OS=Homo sapiens OX=9606 GN=BVES PE=1 SV=1
+MNYTESSPLRESTAIGFTPELESIIPVPSNKTTCENWREIHHLVFHVANICFAVGLVIPTTLHLHMIFLRGMLTLGCTLYIVWATLYRCALDIMIWNSVFLGVNILHLSYLLYKKRPVKIEKELSGMYRRLFEPLRVPPDLFRRLTGQFCMIQTLKKGQTYAAEDKTSVDDRLSILLKGKMKVSYRGHFLHNIYPCAFIDSPEFRSTQMHKGEKFQVTIIADDNCRFLCWSRERLTYFLESEPFLYEIFRYLIGKDITNKLYSLNDPTLNDKKAKKLEHQLSLCTQISMLEMRNSIASSSDSDDGLHQFLRGTSSMSSLHVSSPHQRASAKMKPIEEGAEDDDDVFEPASPNTLKVHQLP
+>DECOY_sp|Q8NE79|POPD1_HUMAN Blood vessel epicardial substance OS=Homo sapiens OX=9606 GN=BVES PE=1 SV=1
+PLQHVKLTNPSAPEFVDDDDEAGEEIPKMKASARQHPSSVHLSSMSSTGRLFQHLGDDSDSSSAISNRMELMSIQTCLSLQHELKKAKKDNLTPDNLSYLKNTIDKGILYRFIEYLFPESELFYTLRERSWCLFRCNDDAIITVQFKEGKHMQTSRFEPSDIFACPYINHLFHGRYSVKMKGKLLISLRDDVSTKDEAAYTQGKKLTQIMCFQGTLRRFLDPPVRLPEFLRRYMGSLEKEIKVPRKKYLLYSLHLINVGLFVSNWIMIDLACRYLTAWVIYLTCGLTLMGRLFIMHLHLTTPIVLGVAFCINAVHFVLHHIERWNECTTKNSPVPIISELEPTFGIATSERLPSSETYNM
+>sp|Q9HBU9|POPD2_HUMAN Popeye domain-containing protein 2 OS=Homo sapiens OX=9606 GN=POPDC2 PE=2 SV=2
+MSANSSRVGQLLLQGSACIRWKQDVEGAVYHLANCLLLLGFMGGSGVYGCFYLFGFLSAGYLCCVLWGWFSACGLDIVLWSFLLAVVCLLQLAHLVYRLREDTLPEEFDLLYKTLCLPLQVPLQTYKEIVHCCEEQVLTLATEQTYAVEGETPINRLSLLLSGRVRVSQDGQFLHYIFPYQFMDSPEWESLQPSEEGVFQVTLTAETSCSYISWPRKSLHLLLTKERYISCLFSALLGYDISEKLYTLNDKLFAKFGLRFDIRLPSLYHVLGPTAADAGPESEKGDEEVCEPAVSPPQATPTSLQQTPPCSTPPATTNFPAPPTRARLSRPDSGILASRIPLQSYSQVISRGQAPLAPTHTPEL
+>DECOY_sp|Q9HBU9|POPD2_HUMAN Popeye domain-containing protein 2 OS=Homo sapiens OX=9606 GN=POPDC2 PE=2 SV=2
+LEPTHTPALPAQGRSIVQSYSQLPIRSALIGSDPRSLRARTPPAPFNTTAPPTSCPPTQQLSTPTAQPPSVAPECVEEDGKESEPGADAATPGLVHYLSPLRIDFRLGFKAFLKDNLTYLKESIDYGLLASFLCSIYREKTLLLHLSKRPWSIYSCSTEATLTVQFVGEESPQLSEWEPSDMFQYPFIYHLFQGDQSVRVRGSLLLSLRNIPTEGEVAYTQETALTLVQEECCHVIEKYTQLPVQLPLCLTKYLLDFEEPLTDERLRYVLHALQLLCVVALLFSWLVIDLGCASFWGWLVCCLYGASLFGFLYFCGYVGSGGMFGLLLLCNALHYVAGEVDQKWRICASGQLLLQGVRSSNASM
+>sp|Q15063|POSTN_HUMAN Periostin OS=Homo sapiens OX=9606 GN=POSTN PE=1 SV=2
+MIPFLPMFSLLLLLIVNPINANNHYDKILAHSRIRGRDQGPNVCALQQILGTKKKYFSTCKNWYKKSICGQKTTVLYECCPGYMRMEGMKGCPAVLPIDHVYGTLGIVGATTTQRYSDASKLREEIEGKGSFTYFAPSNEAWDNLDSDIRRGLESNVNVELLNALHSHMINKRMLTKDLKNGMIIPSMYNNLGLFINHYPNGVVTVNCARIIHGNQIATNGVVHVIDRVLTQIGTSIQDFIEAEDDLSSFRAAAITSDILEALGRDGHFTLFAPTNEAFEKLPRGVLERIMGDKVASEALMKYHILNTLQCSESIMGGAVFETLEGNTIEIGCDGDSITVNGIKMVNKKDIVTNNGVIHLIDQVLIPDSAKQVIELAGKQQTTFTDLVAQLGLASALRPDGEYTLLAPVNNAFSDDTLSMDQRLLKLILQNHILKVKVGLNELYNGQILETIGGKQLRVFVYRTAVCIENSCMEKGSKQGRNGAIHIFREIIKPAEKSLHEKLKQDKRFSTFLSLLEAADLKELLTQPGDWTLFVPTNDAFKGMTSEEKEILIRDKNALQNIILYHLTPGVFIGKGFEPGVTNILKTTQGSKIFLKEVNDTLLVNELKSKESDIMTTNGVIHVVDKLLYPADTPVGNDQLLEILNKLIKYIQIKFVRGSTFKEIPVTVYTTKIITKVVEPKIKVIEGSLQPIIKTEGPTLTKVKIEGEPEFRLIKEGETITEVIHGEPIIKKYTKIIDGVPVEITEKETREERIITGPEIKYTRISTGGGETEETLKKLLQEEVTKVTKFIEGGDGHLFEDEEIKRLLQGDTPVRKLQANKKVQGSRRRLREGRSQ
+>DECOY_sp|Q15063|POSTN_HUMAN Periostin OS=Homo sapiens OX=9606 GN=POSTN PE=1 SV=2
+QSRGERLRRRSGQVKKNAQLKRVPTDGQLLRKIEEDEFLHGDGGEIFKTVKTVEEQLLKKLTEETEGGGTSIRTYKIEPGTIIREERTEKETIEVPVGDIIKTYKKIIPEGHIVETITEGEKILRFEPEGEIKVKTLTPGETKIIPQLSGEIVKIKPEVVKTIIKTTYVTVPIEKFTSGRVFKIQIYKILKNLIELLQDNGVPTDAPYLLKDVVHIVGNTTMIDSEKSKLENVLLTDNVEKLFIKSGQTTKLINTVGPEFGKGIFVGPTLHYLIINQLANKDRILIEKEESTMGKFADNTPVFLTWDGPQTLLEKLDAAELLSLFTSFRKDQKLKEHLSKEAPKIIERFIHIAGNRGQKSGKEMCSNEICVATRYVFVRLQKGGITELIQGNYLENLGVKVKLIHNQLILKLLRQDMSLTDDSFANNVPALLTYEGDPRLASALGLQAVLDTFTTQQKGALEIVQKASDPILVQDILHIVGNNTVIDKKNVMKIGNVTISDGDCGIEITNGELTEFVAGGMISESCQLTNLIHYKMLAESAVKDGMIRELVGRPLKEFAENTPAFLTFHGDRGLAELIDSTIAAARFSSLDDEAEIFDQISTGIQTLVRDIVHVVGNTAIQNGHIIRACNVTVVGNPYHNIFLGLNNYMSPIIMGNKLDKTLMRKNIMHSHLANLLEVNVNSELGRRIDSDLNDWAENSPAFYTFSGKGEIEERLKSADSYRQTTTAGVIGLTGYVHDIPLVAPCGKMGEMRMYGPCCEYLVTTKQGCISKKYWNKCTSFYKKKTGLIQQLACVNPGQDRGRIRSHALIKDYHNNANIPNVILLLLLSFMPLFPIM
+>sp|A5A3E0|POTEF_HUMAN POTE ankyrin domain family member F OS=Homo sapiens OX=9606 GN=POTEF PE=1 SV=2
+MVVEVDSMPAASSVKKPFGLRSKMGKWCCRCFPCCRESGKSNVGTSGDHDDSAMKTLRSKMGKWCRHCFPCCRGSGKSNVGASGDHDDSAMKTLRNKMGKWCCHCFPCCRGSSKSKVGAWGDYDDSAFMEPRYHVRGEDLDKLHRAAWWGKVPRKDLIVMLRDTDVNKQDKQKRTALHLASANGNSEVVKLLLDRRCQLNVLDNKKRTALIKAVQCQEDECALMLLEHGTDPNIPDEYGNTTLHYAIYNEDKLMAKALLLYGADIESKNKHGLTPLLLGVHEQKQQVVKFLIKKKANLNALDRYGRTALILAVCCGSASIVSLLLEQNIDVSSQDLSGQTAREYAVSSHHHVICQLLSDYKEKQMLKISSENSNPEQDLKLTSEEESQRFKGSENSQPEKMSQEPEINKDGDREVEEEMKKHESNNVGLLENLTNGVTAGNGDNGLIPQRKSRTPENQQFPDNESEEYHRICELLSDYKEKQMPKYSSENSNPEQDLKLTSEEESQRLKGSENGQPEKRSQEPEINKDGDRELENFMAIEEMKKHRSTHVGFPENLTNGATAGNGDDGLIPPRKSRTPESQQFPDTENEEYHSDEQNDTQKQFCEEQNTGILHDEILIHEEKQIEVVEKMNSELSLSCKKEKDILHENSTLREEIAMLRLELDTMKHQSQLREKKYLEDIESVKKRNDNLLKALQLNELTMDDDTAVLVIDNGSGMCKAGFAGDDAPRAVFPSIVGRPRQQGMMGGMHQKESYVGKEAQSKRGILTLKYPMEHGIITNWDDMEKIWHHTFYNELRVAPEEHPVLLTEATLNPKANREKMTQIMFETFNTPAMYVAIQAVLSLYTSGRTTGIVMDSGDGVTHTVPIYEGNALPHATLRLDLAGRELPDYLMKILTEHGYRFTTMAEREIVRDIKEKLCYVALDFEQEMATVASSSSLEKSYELPDGQVITIGNERFRCPEALFQPCFLGMESCGIHETTFNSIMKSDVDIRKDLYTNTVLSGGTTMYPGMAHRMQKEIAALAPSMMKIRIIAPPKRKYSVWVGGSILASLSTFQQMWISKQEYDESGPSIVHRKCL
+>DECOY_sp|A5A3E0|POTEF_HUMAN POTE ankyrin domain family member F OS=Homo sapiens OX=9606 GN=POTEF PE=1 SV=2
+LCKRHVISPGSEDYEQKSIWMQQFTSLSALISGGVWVSYKRKPPAIIRIKMMSPALAAIEKQMRHAMGPYMTTGGSLVTNTYLDKRIDVDSKMISNFTTEHIGCSEMGLFCPQFLAEPCRFRENGITIVQGDPLEYSKELSSSSAVTAMEQEFDLAVYCLKEKIDRVIEREAMTTFRYGHETLIKMLYDPLERGALDLRLTAHPLANGEYIPVTHTVGDGSDMVIGTTRGSTYLSLVAQIAVYMAPTNFTEFMIQTMKERNAKPNLTAETLLVPHEEPAVRLENYFTHHWIKEMDDWNTIIGHEMPYKLTLIGRKSQAEKGVYSEKQHMGGMMGQQRPRGVISPFVARPADDGAFGAKCMGSGNDIVLVATDDDMTLENLQLAKLLNDNRKKVSEIDELYKKERLQSQHKMTDLELRLMAIEERLTSNEHLIDKEKKCSLSLESNMKEVVEIQKEEHILIEDHLIGTNQEECFQKQTDNQEDSHYEENETDPFQQSEPTRSKRPPILGDDGNGATAGNTLNEPFGVHTSRHKKMEEIAMFNELERDGDKNIEPEQSRKEPQGNESGKLRQSEEESTLKLDQEPNSNESSYKPMQKEKYDSLLECIRHYEESENDPFQQNEPTRSKRQPILGNDGNGATVGNTLNELLGVNNSEHKKMEEEVERDGDKNIEPEQSMKEPQSNESGKFRQSEEESTLKLDQEPNSNESSIKLMQKEKYDSLLQCIVHHHSSVAYERATQGSLDQSSVDINQELLLSVISASGCCVALILATRGYRDLANLNAKKKILFKVVQQKQEHVGLLLPTLGHKNKSEIDAGYLLLAKAMLKDENYIAYHLTTNGYEDPINPDTGHELLMLACEDEQCQVAKILATRKKNDLVNLQCRRDLLLKVVESNGNASALHLATRKQKDQKNVDTDRLMVILDKRPVKGWWAARHLKDLDEGRVHYRPEMFASDDYDGWAGVKSKSSGRCCPFCHCCWKGMKNRLTKMASDDHDGSAGVNSKGSGRCCPFCHRCWKGMKSRLTKMASDDHDGSTGVNSKGSERCCPFCRCCWKGMKSRLGFPKKVSSAAPMSDVEVVM
+>sp|Q6S5H5|POTEG_HUMAN POTE ankyrin domain family member G OS=Homo sapiens OX=9606 GN=POTEG PE=2 SV=5
+MVAEAGSMPAASSVKKPFGLRSKMGKWCRHCFPWCRGSGKSNVGTSGDHDDSAMKTLRSKMGKWCRHCFPWCRGSSKSNVGTSGDHDDSAMKTLRSKMGKWCCHCFPCCRGSGKSKVGPWGDYDDSAFMEPRYHVRREDLDKLHRAAWWGKVPRKDLIVMLKDTDMNKKDKQKRTALHLASANGNSEVVKLLLDRRCQLNILDNKKRTALTKAVQCREDECALMLLEHGTDPNIPDEYGNTALHYAIYNEDKLMAKALLLYGADIESKNKHGLTPLLLGVHEQKQQVVKFLIKKKANLNALDRYGRTALILAVCCGSASIVSLLLEQNIDVSSQDLSGQTAREYAVSSHHNVICQLLSDYKEKQMLKVSSENSNPEQDLKLTSEEESQRLKGSENSQPEEMSQEPEINKGGDRKVEEEMKKHGSTHMGFPENLPNGATADNGDDGLIPPRKSRTPESQQFPDTENEQYHSDEQNDTQKQLSEEQNTGILQDEILIHEEKQIEVAENEF
+>DECOY_sp|Q6S5H5|POTEG_HUMAN POTE ankyrin domain family member G OS=Homo sapiens OX=9606 GN=POTEG PE=2 SV=5
+FENEAVEIQKEEHILIEDQLIGTNQEESLQKQTDNQEDSHYQENETDPFQQSEPTRSKRPPILGDDGNDATAGNPLNEPFGMHTSGHKKMEEEVKRDGGKNIEPEQSMEEPQSNESGKLRQSEEESTLKLDQEPNSNESSVKLMQKEKYDSLLQCIVNHHSSVAYERATQGSLDQSSVDINQELLLSVISASGCCVALILATRGYRDLANLNAKKKILFKVVQQKQEHVGLLLPTLGHKNKSEIDAGYLLLAKAMLKDENYIAYHLATNGYEDPINPDTGHELLMLACEDERCQVAKTLATRKKNDLINLQCRRDLLLKVVESNGNASALHLATRKQKDKKNMDTDKLMVILDKRPVKGWWAARHLKDLDERRVHYRPEMFASDDYDGWPGVKSKGSGRCCPFCHCCWKGMKSRLTKMASDDHDGSTGVNSKSSGRCWPFCHRCWKGMKSRLTKMASDDHDGSTGVNSKGSGRCWPFCHRCWKGMKSRLGFPKKVSSAAPMSGAEAVM
+>sp|P0CG38|POTEI_HUMAN POTE ankyrin domain family member I OS=Homo sapiens OX=9606 GN=POTEI PE=3 SV=1
+MVAEVDSMPAASSVKKPFVLRSKMGKWCRHCFPCCRGSGKSNVGTSGDQDDSTMKTLRSKMGKWCCHCFPCCRGSGKSNVGTSGDHDDSAMKTLRSKMGKWCCHCFPCCRGSGKSNVGAWGDYDDSAFVEPRYHVRREDLDKLHRAAWWGKVARKDLIVMLRDTDVNKQDKQKRTALHLASANGNSGVVKLLLDRRCQLNVLDNKKRTALTKAVQCQEDECALMLLEHGTDPNIPDEYGNTTLHYAIYNEDKLMAKALLLYGADIESKNKHGLTPLLLGVHEQKQQVVKFLIKKKANLNALDRYGRTALILAVCCGSASIVSLLLEQNIDVSSQDLSGQTAREYAVSSHHHVICQLLSDYKEKQMLKISSENSNPEQDLKLTSEEESQRFKGSENSQPEKMSQEPEINKDGDREVEEEMKKHESNNVGLLENLSNGVTAGNGDDGLIPQRKSRTPENQQFPDNESEEYHRICELVSDYKEKQMPKYSSENSNPEQDLKLTSEEESQRLKGSENGQPEKRSQEPEINKDGDRELENFMAIEEMKKHGSTHVGFPENLTNGATAGNGDDGLIPPRKSRTPESQQFPDTENEEYHSDEQNDTQKQFCEEQNTGILHDEILIHEEKQIEVVEKMNSELSLSCKKEKDFLHENSTLREEIAMLRLELDTMKHQSQLRKKKYLEDIESVKKKNDNLLKALQLNELTMDDDTAVLVIDNGSGMCKAGFAGDDAPRAVFPSIVGRPRQQGMMGGMHQKESYVGKEAQSKRGILTLKYPMEHGIITNWDDMEKIWHHTFYNELRVAPEEHPILLTEAPLNPKANREKMTQIMFETFNTPAMYVAIQAMLSLYTSGRTTGIVMDSGDGVTHTVPIYDGNALPHATLRLDLAGRELTDYLMKILTERGYRFTTMAEREIVRDIKEKLCYVALDFEQEMAMAASSSSLEKSYELPDGQVITIGNEWFRCPEALFQPCFLGMESCGIHETTFNSIMKSDVDIRKDLYTNTVLSGGTTMYPGMAHRMQKEIAALAPSMLKIRIIAPPKRKYSVWVGGSILASLSTFQQMWISKQEYDESGPSIVHRKCF
+>DECOY_sp|P0CG38|POTEI_HUMAN POTE ankyrin domain family member I OS=Homo sapiens OX=9606 GN=POTEI PE=3 SV=1
+FCKRHVISPGSEDYEQKSIWMQQFTSLSALISGGVWVSYKRKPPAIIRIKLMSPALAAIEKQMRHAMGPYMTTGGSLVTNTYLDKRIDVDSKMISNFTTEHIGCSEMGLFCPQFLAEPCRFWENGITIVQGDPLEYSKELSSSSAAMAMEQEFDLAVYCLKEKIDRVIEREAMTTFRYGRETLIKMLYDTLERGALDLRLTAHPLANGDYIPVTHTVGDGSDMVIGTTRGSTYLSLMAQIAVYMAPTNFTEFMIQTMKERNAKPNLPAETLLIPHEEPAVRLENYFTHHWIKEMDDWNTIIGHEMPYKLTLIGRKSQAEKGVYSEKQHMGGMMGQQRPRGVISPFVARPADDGAFGAKCMGSGNDIVLVATDDDMTLENLQLAKLLNDNKKKVSEIDELYKKKRLQSQHKMTDLELRLMAIEERLTSNEHLFDKEKKCSLSLESNMKEVVEIQKEEHILIEDHLIGTNQEECFQKQTDNQEDSHYEENETDPFQQSEPTRSKRPPILGDDGNGATAGNTLNEPFGVHTSGHKKMEEIAMFNELERDGDKNIEPEQSRKEPQGNESGKLRQSEEESTLKLDQEPNSNESSYKPMQKEKYDSVLECIRHYEESENDPFQQNEPTRSKRQPILGDDGNGATVGNSLNELLGVNNSEHKKMEEEVERDGDKNIEPEQSMKEPQSNESGKFRQSEEESTLKLDQEPNSNESSIKLMQKEKYDSLLQCIVHHHSSVAYERATQGSLDQSSVDINQELLLSVISASGCCVALILATRGYRDLANLNAKKKILFKVVQQKQEHVGLLLPTLGHKNKSEIDAGYLLLAKAMLKDENYIAYHLTTNGYEDPINPDTGHELLMLACEDEQCQVAKTLATRKKNDLVNLQCRRDLLLKVVGSNGNASALHLATRKQKDQKNVDTDRLMVILDKRAVKGWWAARHLKDLDERRVHYRPEVFASDDYDGWAGVNSKGSGRCCPFCHCCWKGMKSRLTKMASDDHDGSTGVNSKGSGRCCPFCHCCWKGMKSRLTKMTSDDQDGSTGVNSKGSGRCCPFCHRCWKGMKSRLVFPKKVSSAAPMSDVEAVM
+>sp|Q6PJE2|POZP3_HUMAN POM121 and ZP3 fusion protein OS=Homo sapiens OX=9606 GN=POMZP3 PE=2 SV=4
+MVCSPVTLRIAPPDRRFSRSAIPEQIISSTLSSPSSNAPDPCAKETVLSALKEKKKKRTVEEEDQIFLDGQENKRSCLVDGLTDASSAFKVPRPGPDTLQFTVDVFHFANDSRNMIYITCHLKVTLAEQDPDELNKACSFSKPSNSWFPVEGLADICQCCNKGDCGTPSHSRRQPRVVSQWSTSASL
+>DECOY_sp|Q6PJE2|POZP3_HUMAN POM121 and ZP3 fusion protein OS=Homo sapiens OX=9606 GN=POMZP3 PE=2 SV=4
+LSASTSWQSVVRPQRRSHSPTGCDGKNCCQCIDALGEVPFWSNSPKSFSCAKNLEDPDQEALTVKLHCTIYIMNRSDNAFHFVDVTFQLTDPGPRPVKFASSADTLGDVLCSRKNEQGDLFIQDEEEVTRKKKKEKLASLVTEKACPDPANSSPSSLTSSIIQEPIASRSFRRDPPAIRLTVPSCVM
+>sp|Q96A00|PP14A_HUMAN Protein phosphatase 1 regulatory subunit 14A OS=Homo sapiens OX=9606 GN=PPP1R14A PE=1 SV=1
+MAAQRLGKRVLSKLQSPSRARGPGGSPGGLQKRHARVTVKYDRRELQRRLDVEKWIDGRLEELYRGMEADMPDEINIDELLELESEEERSRKIQGLLKSCGKPVEDFIQELLAKLQGLHRQPGLRQPSPSHDGSLSPLQDRARTAHP
+>DECOY_sp|Q96A00|PP14A_HUMAN Protein phosphatase 1 regulatory subunit 14A OS=Homo sapiens OX=9606 GN=PPP1R14A PE=1 SV=1
+PHATRARDQLPSLSGDHSPSPQRLGPQRHLGQLKALLEQIFDEVPKGCSKLLGQIKRSREEESELELLEDINIEDPMDAEMGRYLEELRGDIWKEVDLRRQLERRDYKVTVRAHRKQLGGPSGGPGRARSPSQLKSLVRKGLRQAAM
+>sp|P60510|PP4C_HUMAN Serine/threonine-protein phosphatase 4 catalytic subunit OS=Homo sapiens OX=9606 GN=PPP4C PE=1 SV=1
+MAEISDLDRQIEQLRRCELIKESEVKALCAKAREILVEESNVQRVDSPVTVCGDIHGQFYDLKELFRVGGDVPETNYLFMGDFVDRGFYSVETFLLLLALKVRYPDRITLIRGNHESRQITQVYGFYDECLRKYGSVTVWRYCTEIFDYLSLSAIIDGKIFCVHGGLSPSIQTLDQIRTIDRKQEVPHDGPMCDLLWSDPEDTTGWGVSPRGAGYLFGSDVVAQFNAANDIDMICRAHQLVMEGYKWHFNETVLTVWSAPNYCYRCGNVAAILELDEHLQKDFIIFEAAPQETRGIPSKKPVADYFL
+>DECOY_sp|P60510|PP4C_HUMAN Serine/threonine-protein phosphatase 4 catalytic subunit OS=Homo sapiens OX=9606 GN=PPP4C PE=1 SV=1
+LFYDAVPKKSPIGRTEQPAAEFIIFDKQLHEDLELIAAVNGCRYCYNPASWVTLVTENFHWKYGEMVLQHARCIMDIDNAANFQAVVDSGFLYGAGRPSVGWGTTDEPDSWLLDCMPGDHPVEQKRDITRIQDLTQISPSLGGHVCFIKGDIIASLSLYDFIETCYRWVTVSGYKRLCEDYFGYVQTIQRSEHNGRILTIRDPYRVKLALLLLFTEVSYFGRDVFDGMFLYNTEPVDGGVRFLEKLDYFQGHIDGCVTVPSDVRQVNSEEVLIERAKACLAKVESEKILECRRLQEIQRDLDSIEAM
+>sp|Q8N4L2|PP4P2_HUMAN Type 2 phosphatidylinositol 4,5-bisphosphate 4-phosphatase OS=Homo sapiens OX=9606 GN=PIP4P2 PE=1 SV=1
+MAADGVDERSPLLSASHSGNVTPTAPPYLQESSPRAELPPPYTAIASPDASGIPVINCRVCQSLINLDGKLHQHVVKCTVCNEATPIKNPPTGKKYVRCPCNCLLICKDTSRRIGCPRPNCRRIINLGPVMLISEEQPAQPALPIQPEGTRVVCGHCGNTFLWMELRFNTLAKCPHCKKISSVGSALPRRRCCAYITIGMICIFIGVGLTVGTPDFARRFRATYVSWAIAYLLGLICLIRACYWGAIRVSYPEHSFA
+>DECOY_sp|Q8N4L2|PP4P2_HUMAN Type 2 phosphatidylinositol 4,5-bisphosphate 4-phosphatase OS=Homo sapiens OX=9606 GN=PIP4P2 PE=1 SV=1
+AFSHEPYSVRIAGWYCARILCILGLLYAIAWSVYTARFRRAFDPTGVTLGVGIFICIMGITIYACCRRRPLASGVSSIKKCHPCKALTNFRLEMWLFTNGCHGCVVRTGEPQIPLAPQAPQEESILMVPGLNIIRRCNPRPCGIRRSTDKCILLCNCPCRVYKKGTPPNKIPTAENCVTCKVVHQHLKGDLNILSQCVRCNIVPIGSADPSAIATYPPPLEARPSSEQLYPPATPTVNGSHSASLLPSREDVGDAAM
+>sp|O75170|PP6R2_HUMAN Serine/threonine-protein phosphatase 6 regulatory subunit 2 OS=Homo sapiens OX=9606 GN=PPP6R2 PE=1 SV=2
+MFWKFDLNTTSHVDKLLDKEHVTLQELMDEDDILQECKAQNQKLLDFLCRQQCMEELVSLITQDPPLDMEEKVRFKYPNTACELLTCDVPQISDRLGGDESLLSLLYDFLDHEPPLNPLLASFFSKTIGNLIARKTEQVITFLKKKDKFISLVLKHIGTSALMDLLLRLVSCVEPAGLRQDVLHWLNEEKVIQRLVELIHPSQDEDRQSNASQTLCDIVRLGRDQGSQLQEALEPDPLLTALESQDCVEQLLKNMFDGDRTESCLVSGTQVLLTLLETRRVGTEGLVDSFSQGLERSYAVSSSVLHGIEPRLKDFHQLLLNPPKKKAILTTIGVLEEPLGNARLHGARLMAALLHTNTPSINQELCRLNTMDLLLDLFFKYTWNNFLHFQVELCIAAILSHAAREERTEASGSESRVEPPHENGNRSLETPQPAASLPDNTMVTHLFQKCCLVQRILEAWEANDHTQAAGGMRRGNMGHLTRIANAVVQNLERGPVQTHISEVIRGLPADCRGRWESFVEETLTETNRRNTVDLVSTHHLHSSSEDEDIEGAFPNELSLQQAFSDYQIQQMTANFVDQFGFNDEEFADQDDNINAPFDRIAEINFNIDADEDSPSAALFEACCSDRIQPFDDDEDEDIWEDSDTRCAARVMARPRFGAPHASESCSKNGPERGGQDGKASLEAHRDAPGAGAPPAPGKKEAPPVEGDSEGAMWTAVFDEPANSTPTAPGVVRDVGSSVWAAGTSAPEEKGWAKFTDFQPFCCSESGPRCSSPVDTECSHAEGSRSQGPEKASQASYFAVSPASPCAWNVCVTRKAPLLASDSSSSGGSHSEDGDQKAASAMDAVSRGPGREAPPLPTVARTEEAVGRVGCADSRLLSPACPAPKEVTAAPAVAVPPEATVAITTALSKAGPAIPTPAVSSALAVAVPLGPIMAVTAAPAMVATLGTVTKDGKTDAPPEGAALNGPV
+>DECOY_sp|O75170|PP6R2_HUMAN Serine/threonine-protein phosphatase 6 regulatory subunit 2 OS=Homo sapiens OX=9606 GN=PPP6R2 PE=1 SV=2
+VPGNLAAGEPPADTKGDKTVTGLTAVMAPAATVAMIPGLPVAVALASSVAPTPIAPGAKSLATTIAVTAEPPVAVAPAATVEKPAPCAPSLLRSDACGVRGVAEETRAVTPLPPAERGPGRSVADMASAAKQDGDESHSGGSSSSDSALLPAKRTVCVNWACPSAPSVAFYSAQSAKEPGQSRSGEAHSCETDVPSSCRPGSESCCFPQFDTFKAWGKEEPASTGAAWVSSGVDRVVGPATPTSNAPEDFVATWMAGESDGEVPPAEKKGPAPPAGAGPADRHAELSAKGDQGGREPGNKSCSESAHPAGFRPRAMVRAACRTDSDEWIDEDEDDDFPQIRDSCCAEFLAASPSDEDADINFNIEAIRDFPANINDDQDAFEEDNFGFQDVFNATMQQIQYDSFAQQLSLENPFAGEIDEDESSSHLHHTSVLDVTNRRNTETLTEEVFSEWRGRCDAPLGRIVESIHTQVPGRELNQVVANAIRTLHGMNGRRMGGAAQTHDNAEWAELIRQVLCCKQFLHTVMTNDPLSAAPQPTELSRNGNEHPPEVRSESGSAETREERAAHSLIAAICLEVQFHLFNNWTYKFFLDLLLDMTNLRCLEQNISPTNTHLLAAMLRAGHLRANGLPEELVGITTLIAKKKPPNLLLQHFDKLRPEIGHLVSSSVAYSRELGQSFSDVLGETGVRRTELLTLLVQTGSVLCSETRDGDFMNKLLQEVCDQSELATLLPDPELAEQLQSGQDRGLRVIDCLTQSANSQRDEDQSPHILEVLRQIVKEENLWHLVDQRLGAPEVCSVLRLLLDMLASTGIHKLVLSIFKDKKKLFTIVQETKRAILNGITKSFFSALLPNLPPEHDLFDYLLSLLSEDGGLRDSIQPVDCTLLECATNPYKFRVKEEMDLPPDQTILSVLEEMCQQRCLFDLLKQNQAKCEQLIDDEDMLEQLTVHEKDLLKDVHSTTNLDFKWFM
+>sp|Q5H9R7|PP6R3_HUMAN Serine/threonine-protein phosphatase 6 regulatory subunit 3 OS=Homo sapiens OX=9606 GN=PPP6R3 PE=1 SV=2
+MFWKFDLHSSSHIDTLLEREDVTLKELMDEEDVLQECKAQNRKLIEFLLKAECLEDLVSFIIEEPPQDMDEKIRYKYPNISCELLTSDVSQMNDRLGEDESLLMKLYSFLLNDSPLNPLLASFFSKVLSILISRKPEQIVDFLKKKHDFVDLIIKHIGTSAIMDLLLRLLTCIEPPQPRQDVLNWLNEEKIIQRLVEIVHPSQEEDRHSNASQSLCEIVRLSRDQMLQIQNSTEPDPLLATLEKQEIIEQLLSNIFHKEKNESAIVSAIQILLTLLETRRPTFEGHIEICPPGMSHSACSVNKSVLEAIRGRLGSFHELLLEPPKKSVMKTTWGVLDPPVGNTRLNVIRLISSLLQTNTSSINGDLMELNSIGVILNMFFKYTWNNFLHTQVEICIALILASPFENTENATITDQDSTGDNLLLKHLFQKCQLIERILEAWEMNEKKQAEGGRRHGYMGHLTRIANCIVHSTDKGPNSALVQQLIKDLPDEVRERWETFCTSSLGETNKRNTVDLVTTCHIHSSSDDEIDFKETGFSQDSSLQQAFSDYQMQQMTSNFIDQFGFNDEKFADQDDIGNVSFDRVSDINFTLNTNESGNIALFEACCKERIQQFDDGGSDEEDIWEEKHIAFTPESQRRSSSGSTDSEESTDSEEEDGAKQDLFEPSSANTEDKMEVDLSEPPNWSANFDVPMETTHGAPLDSVGSDVWSTEEPMPTKETGWASFSEFTSSLSTKDSLRSNSPVEMETSTEPMDPLTPSAAALAVQPEAAGSVAMEASSDGEEDAESTDKVTETVMNGGMKETLSLTVDAKTETAVFKSEEGKLSTSQDAACKDAEECPETAEAKCAAPRPPSSSPEQRTGQPSAPGDTSVNGPV
+>DECOY_sp|Q5H9R7|PP6R3_HUMAN Serine/threonine-protein phosphatase 6 regulatory subunit 3 OS=Homo sapiens OX=9606 GN=PPP6R3 PE=1 SV=2
+VPGNVSTDGPASPQGTRQEPSSSPPRPAACKAEATEPCEEADKCAADQSTSLKGEESKFVATETKADVTLSLTEKMGGNMVTETVKDTSEADEEGDSSAEMAVSGAAEPQVALAAASPTLPDMPETSTEMEVPSNSRLSDKTSLSSTFESFSAWGTEKTPMPEETSWVDSGVSDLPAGHTTEMPVDFNASWNPPESLDVEMKDETNASSPEFLDQKAGDEEESDTSEESDTSGSSSRRQSEPTFAIHKEEWIDEEDSGGDDFQQIREKCCAEFLAINGSENTNLTFNIDSVRDFSVNGIDDQDAFKEDNFGFQDIFNSTMQQMQYDSFAQQLSSDQSFGTEKFDIEDDSSSHIHCTTVLDVTNRKNTEGLSSTCFTEWRERVEDPLDKILQQVLASNPGKDTSHVICNAIRTLHGMYGHRRGGEAQKKENMEWAELIREILQCKQFLHKLLLNDGTSDQDTITANETNEFPSALILAICIEVQTHLFNNWTYKFFMNLIVGISNLEMLDGNISSTNTQLLSSILRIVNLRTNGVPPDLVGWTTKMVSKKPPELLLEHFSGLRGRIAELVSKNVSCASHSMGPPCIEIHGEFTPRRTELLTLLIQIASVIASENKEKHFINSLLQEIIEQKELTALLPDPETSNQIQLMQDRSLRVIECLSQSANSHRDEEQSPHVIEVLRQIIKEENLWNLVDQRPQPPEICTLLRLLLDMIASTGIHKIILDVFDHKKKLFDVIQEPKRSILISLVKSFFSALLPNLPSDNLLFSYLKMLLSEDEGLRDNMQSVDSTLLECSINPYKYRIKEDMDQPPEEIIFSVLDELCEAKLLFEILKRNQAKCEQLVDEEDMLEKLTVDERELLTDIHSSSHLDFKWFM
+>sp|P23284|PPIB_HUMAN Peptidyl-prolyl cis-trans isomerase B OS=Homo sapiens OX=9606 GN=PPIB PE=1 SV=2
+MLRLSERNMKVLLAAALIAGSVFFLLLPGPSAADEKKKGPKVTVKVYFDLRIGDEDVGRVIFGLFGKTVPKTVDNFVALATGEKGFGYKNSKFHRVIKDFMIQGGDFTRGDGTGGKSIYGERFPDENFKLKHYGPGWVSMANAGKDTNGSQFFITTVKTAWLDGKHVVFGKVLEGMEVVRKVESTKTDSRDKPLKDVIIADCGKIEVEKPFAIAKE
+>DECOY_sp|P23284|PPIB_HUMAN Peptidyl-prolyl cis-trans isomerase B OS=Homo sapiens OX=9606 GN=PPIB PE=1 SV=2
+EKAIAFPKEVEIKGCDAIIVDKLPKDRSDTKTSEVKRVVEMGELVKGFVVHKGDLWATKVTTIFFQSGNTDKGANAMSVWGPGYHKLKFNEDPFREGYISKGGTGDGRTFDGGQIMFDKIVRHFKSNKYGFGKEGTALAVFNDVTKPVTKGFLGFIVRGVDEDGIRLDFYVKVTVKPGKKKEDAASPGPLLLFFVSGAILAAALLVKMNRESLRLM
+>sp|P30405|PPIF_HUMAN Peptidyl-prolyl cis-trans isomerase F, mitochondrial OS=Homo sapiens OX=9606 GN=PPIF PE=1 SV=1
+MLALRCGSRWLGLLSVPRSVPLRLPAARACSKGSGDPSSSSSSGNPLVYLDVDANGKPLGRVVLELKADVVPKTAENFRALCTGEKGFGYKGSTFHRVIPSFMCQAGDFTNHNGTGGKSIYGSRFPDENFTLKHVGPGVLSMANAGPNTNGSQFFICTIKTDWLDGKHVVFGHVKEGMDVVKKIESFGSKSGRTSKKIVITDCGQLS
+>DECOY_sp|P30405|PPIF_HUMAN Peptidyl-prolyl cis-trans isomerase F, mitochondrial OS=Homo sapiens OX=9606 GN=PPIF PE=1 SV=1
+SLQGCDTIVIKKSTRGSKSGFSEIKKVVDMGEKVHGFVVHKGDLWDTKITCIFFQSGNTNPGANAMSLVGPGVHKLTFNEDPFRSGYISKGGTGNHNTFDGAQCMFSPIVRHFTSGKYGFGKEGTCLARFNEATKPVVDAKLELVVRGLPKGNADVDLYVLPNGSSSSSSPDGSGKSCARAAPLRLPVSRPVSLLGLWRSGCRLALM
+>sp|Q9Y3C6|PPIL1_HUMAN Peptidyl-prolyl cis-trans isomerase-like 1 OS=Homo sapiens OX=9606 GN=PPIL1 PE=1 SV=1
+MAAIPPDSWQPPNVYLETSMGIIVLELYWKHAPKTCKNFAELARRGYYNGTKFHRIIKDFMIQGGDPTGTGRGGASIYGKQFEDELHPDLKFTGAGILAMANAGPDTNGSQFFVTLAPTQWLDGKHTIFGRVCQGIGMVNRVGMVETNSQDRPVDDVKIIKAYPSG
+>DECOY_sp|Q9Y3C6|PPIL1_HUMAN Peptidyl-prolyl cis-trans isomerase-like 1 OS=Homo sapiens OX=9606 GN=PPIL1 PE=1 SV=1
+GSPYAKIIKVDDVPRDQSNTEVMGVRNVMGIGQCVRGFITHKGDLWQTPALTVFFQSGNTDPGANAMALIGAGTFKLDPHLEDEFQKGYISAGGRGTGTPDGGQIMFDKIIRHFKTGNYYGRRALEAFNKCTKPAHKWYLELVIIGMSTELYVNPPQWSDPPIAAM
+>sp|O75688|PPM1B_HUMAN Protein phosphatase 1B OS=Homo sapiens OX=9606 GN=PPM1B PE=1 SV=1
+MGAFLDKPKTEKHNAHGAGNGLRYGLSSMQGWRVEMEDAHTAVVGIPHGLEDWSFFAVYDGHAGSRVANYCSTHLLEHITTNEDFRAAGKSGSALELSVENVKNGIRTGFLKIDEYMRNFSDLRNGMDRSGSTAVGVMISPKHIYFINCGDSRAVLYRNGQVCFSTQDHKPCNPREKERIQNAGGSVMIQRVNGSLAVSRALGDYDYKCVDGKGPTEQLVSPEPEVYEILRAEEDEFIILACDGIWDVMSNEELCEYVKSRLEVSDDLENVCNWVVDTCLHKGSRDNMSIVLVCFSNAPKVSDEAVKKDSELDKHLESRVEEIMEKSGEEGMPDLAHVMRILSAENIPNLPPGGGLAGKRNVIEAVYSRLNPHRESDGASDEAEESGSQGKLVEALRQMRINHRGNYRQLLEEMLTSYRLAKVEGEESPAEPAATATSSNSDAGNPVTMQESHTESESGLAELDSSNEDAGTKMSGEKI
+>DECOY_sp|O75688|PPM1B_HUMAN Protein phosphatase 1B OS=Homo sapiens OX=9606 GN=PPM1B PE=1 SV=1
+IKEGSMKTGADENSSDLEALGSESETHSEQMTVPNGADSNSSTATAAPEAPSEEGEVKALRYSTLMEELLQRYNGRHNIRMQRLAEVLKGQSGSEEAEDSAGDSERHPNLRSYVAEIVNRKGALGGGPPLNPINEASLIRMVHALDPMGEEGSKEMIEEVRSELHKDLESDKKVAEDSVKPANSFCVLVISMNDRSGKHLCTDVVWNCVNELDDSVELRSKVYECLEENSMVDWIGDCALIIFEDEEARLIEYVEPEPSVLQETPGKGDVCKYDYDGLARSVALSGNVRQIMVSGGANQIREKERPNCPKHDQTSFCVQGNRYLVARSDGCNIFYIHKPSIMVGVATSGSRDMGNRLDSFNRMYEDIKLFGTRIGNKVNEVSLELASGSKGAARFDENTTIHELLHTSCYNAVRSGAHGDYVAFFSWDELGHPIGVVATHADEMEVRWGQMSSLGYRLGNGAGHANHKETKPKDLFAGM
+>sp|O15297|PPM1D_HUMAN Protein phosphatase 1D OS=Homo sapiens OX=9606 GN=PPM1D PE=1 SV=1
+MAGLYSLGVSVFSDQGGRKYMEDVTQIVVEPEPTAEEKPSPRRSLSQPLPPRPSPAALPGGEVSGKGPAVAAREARDPLPDAGASPAPSRCCRRRSSVAFFAVCDGHGGREAAQFAREHLWGFIKKQKGFTSSEPAKVCAAIRKGFLACHLAMWKKLAEWPKTMTGLPSTSGTTASVVIIRGMKMYVAHVGDSGVVLGIQDDPKDDFVRAVEVTQDHKPELPKERERIEGLGGSVMNKSGVNRVVWKRPRLTHNGPVRRSTVIDQIPFLAVARALGDLWSYDFFSGEFVVSPEPDTSVHTLDPQKHKYIILGSDGLWNMIPPQDAISMCQDQEEKKYLMGEHGQSCAKMLVNRALGRWRQRMLRADNTSAIVICISPEVDNQGNFTNEDELYLNLTDSPSYNSQETCVMTPSPCSTPPVKSLEEDPWPRVNSKDHIPALVRSNAFSENFLEVSAEIARENVQGVVIPSKDPEPLEENCAKALTLRIHDSLNNSLPIGLVPTNSTNTVMDQKNLKMSTPGQMKAQEIERTPPTNFKRTLEESNSGPLMKKHRRNGLSRSSGAQPASLPTTSQRKNSVKLTMRRRLRGQKKIGNPLLHQHRKTVCVC
+>DECOY_sp|O15297|PPM1D_HUMAN Protein phosphatase 1D OS=Homo sapiens OX=9606 GN=PPM1D PE=1 SV=1
+CVCVTKRHQHLLPNGIKKQGRLRRRMTLKVSNKRQSTTPLSAPQAGSSRSLGNRRHKKMLPGSNSEELTRKFNTPPTREIEQAKMQGPTSMKLNKQDMVTNTSNTPVLGIPLSNNLSDHIRLTLAKACNEELPEPDKSPIVVGQVNERAIEASVELFNESFANSRVLAPIHDKSNVRPWPDEELSKVPPTSCPSPTMVCTEQSNYSPSDTLNLYLEDENTFNGQNDVEPSICIVIASTNDARLMRQRWRGLARNVLMKACSQGHEGMLYKKEEQDQCMSIADQPPIMNWLGDSGLIIYKHKQPDLTHVSTDPEPSVVFEGSFFDYSWLDGLARAVALFPIQDIVTSRRVPGNHTLRPRKWVVRNVGSKNMVSGGLGEIREREKPLEPKHDQTVEVARVFDDKPDDQIGLVVGSDGVHAVYMKMGRIIVVSATTGSTSPLGTMTKPWEALKKWMALHCALFGKRIAACVKAPESSTFGKQKKIFGWLHERAFQAAERGGHGDCVAFFAVSSRRRCCRSPAPSAGADPLPDRAERAAVAPGKGSVEGGPLAAPSPRPPLPQSLSRRPSPKEEATPEPEVVIQTVDEMYKRGGQDSFVSVGLSYLGAM
+>sp|Q16821|PPR3A_HUMAN Protein phosphatase 1 regulatory subunit 3A OS=Homo sapiens OX=9606 GN=PPP1R3A PE=1 SV=3
+MEPSEVPSQISKDNFLEVPNLSDSLCEDEEVTFQPGFSPQPSRRGSDSSEDIYLDTPSSGTRRVSFADSFGFNLVSVKEFDCWELPSASTTFDLGTDIFHTEEYVLAPLFDLPSSKEDLMQQLQIQKAILESTESLLGSTSIKGIIRVLNVSFEKLVYVRMSLDDWQTHYDILAEYVPNSCDGETDQFSFKIVLVPPYQKDGSKVEFCIRYETSVGTFWSNNNGTNYTFICQKKEQEPEPVKPWKEVPNRQIKGCLKVKSSKEESSVTSEENNFENPKNTDTYIPTIICSHEDKEDLEASNRNVKDVNREHDEHNEKELELMINQHLIRTRSTASRDERNTFSTDPVNFPNKAEGLEKKQIHGEICTDLFQRSLSPSSSAESSVKGDFYCNEKYSSGDDCTHQPSEETTSNMGEIKPSLGDTSSDELVQLHTGSKEVLDDNANPAHGNGTVQIPCPSSDQLMAGNLNKKHEGGAKNIEVKDLGCLRRDFHSDTSACLKESTEEGSSKEDYYGNGKDDEEQRIYLGVNEKQRKNFQTILHDQERKMGNPKISVAGIGASNRDLATLLSEHTAIPTRAITADVSHSPRTNLSWEEAVLTPEHHHLTSEGSALGGITGQVCSSRTGNVLRNDYLFQVEEKSGGINSEDQDNSPQHKQSWNVLESQGKSRENKTNITEHIKGQTDCEDVWGKRDNTRSLKATTEELFTCQETVCCELSSLADHGITEKAEAGTAYIIKTTSESTPESMSAREKAIIAKLPQETARSDRPIEVKETAFDPHEGRNDDSHYTLCQRDTVGVIYDNDFEKESRLGICNVRVDEMEKEETMSMYNPRKTHDREKCGTGNITSVEESSWVITEYQKATSKLDLQLGMLPTDKTVFSENRDLRQVQELSKKTDSDAIVHSAFNSDTNRAPQNSSPFSKHHTEISVSTNEQAIAVENAVTTMASQPISTKSENICNSTREIQGIEKHPYPESKPEEVSRSSGIVTSGSRKERCIGQIFQTEEYSVEKSLGPMILINKPLENMEEARHENEGLVSSGQSLYTSGEKESDSSASTSLPVEESQAQGNESLFSKYTNSKIPYFLLFLIFLITVYHYDLMIGLTFYVLSLSWLSWEEGRQKESVKKK
+>DECOY_sp|Q16821|PPR3A_HUMAN Protein phosphatase 1 regulatory subunit 3A OS=Homo sapiens OX=9606 GN=PPP1R3A PE=1 SV=3
+KKKVSEKQRGEEWSLWSLSLVYFTLGIMLDYHYVTILFILFLLFYPIKSNTYKSFLSENGQAQSEEVPLSTSASSDSEKEGSTYLSQGSSVLGENEHRAEEMNELPKNILIMPGLSKEVSYEETQFIQGICREKRSGSTVIGSSRSVEEPKSEPYPHKEIGQIERTSNCINESKTSIPQSAMTTVANEVAIAQENTSVSIETHHKSFPSSNQPARNTDSNFASHVIADSDTKKSLEQVQRLDRNESFVTKDTPLMGLQLDLKSTAKQYETIVWSSEEVSTINGTGCKERDHTKRPNYMSMTEEKEMEDVRVNCIGLRSEKEFDNDYIVGVTDRQCLTYHSDDNRGEHPDFATEKVEIPRDSRATEQPLKAIIAKERASMSEPTSESTTKIIYATGAEAKETIGHDALSSLECCVTEQCTFLEETTAKLSRTNDRKGWVDECDTQGKIHETINTKNERSKGQSELVNWSQKHQPSNDQDESNIGGSKEEVQFLYDNRLVNGTRSSCVQGTIGGLASGESTLHHHEPTLVAEEWSLNTRPSHSVDATIARTPIATHESLLTALDRNSAGIGAVSIKPNGMKREQDHLITQFNKRQKENVGLYIRQEEDDKGNGYYDEKSSGEETSEKLCASTDSHFDRRLCGLDKVEINKAGGEHKKNLNGAMLQDSSPCPIQVTGNGHAPNANDDLVEKSGTHLQVLEDSSTDGLSPKIEGMNSTTEESPQHTCDDGSSYKENCYFDGKVSSEASSSPSLSRQFLDTCIEGHIQKKELGEAKNPFNVPDTSFTNREDRSATSRTRILHQNIMLELEKENHEDHERNVDKVNRNSAELDEKDEHSCIITPIYTDTNKPNEFNNEESTVSSEEKSSKVKLCGKIQRNPVEKWPKVPEPEQEKKQCIFTYNTGNNNSWFTGVSTEYRICFEVKSGDKQYPPVLVIKFSFQDTEGDCSNPVYEALIDYHTQWDDLSMRVYVLKEFSVNLVRIIGKISTSGLLSETSELIAKQIQLQQMLDEKSSPLDFLPALVYEETHFIDTGLDFTTSASPLEWCDFEKVSVLNFGFSDAFSVRRTGSSPTDLYIDESSDSGRRSPQPSFGPQFTVEEDECLSDSLNPVELFNDKSIQSPVESPEM
+>sp|Q86XI6|PPR3B_HUMAN Protein phosphatase 1 regulatory subunit 3B OS=Homo sapiens OX=9606 GN=PPP1R3B PE=1 SV=1
+MMAVDIEYRYNCMAPSLRQERFAFKISPKPSKPLRPCIQLSSKNEASGMVAPAVQEKKVKKRVSFADNQGLALTMVKVFSEFDDPLDMPFNITELLDNIVSLTTAESESFVLDFSQPSADYLDFRNRLQADHVCLENCVLKDKAIAGTVKVQNLAFEKTVKIRMTFDTWKSYTDFPCQYVKDTYAGSDRDTFSFDISLPEKIQSYERMEFAVYYECNGQTYWDSNRGKNYRIIRAELKSTQGMTKPHSGPDLGISFDQFGSPRCSYGLFPEWPSYLGYEKLGPYY
+>DECOY_sp|Q86XI6|PPR3B_HUMAN Protein phosphatase 1 regulatory subunit 3B OS=Homo sapiens OX=9606 GN=PPP1R3B PE=1 SV=1
+YYPGLKEYGLYSPWEPFLGYSCRPSGFQDFSIGLDPGSHPKTMGQTSKLEARIIRYNKGRNSDWYTQGNCEYYVAFEMREYSQIKEPLSIDFSFTDRDSGAYTDKVYQCPFDTYSKWTDFTMRIKVTKEFALNQVKVTGAIAKDKLVCNELCVHDAQLRNRFDLYDASPQSFDLVFSESEATTLSVINDLLETINFPMDLPDDFESFVKVMTLALGQNDAFSVRKKVKKEQVAPAVMGSAENKSSLQICPRLPKSPKPSIKFAFREQRLSPAMCNYRYEIDVAMM
+>sp|Q9UQK1|PPR3C_HUMAN Protein phosphatase 1 regulatory subunit 3C OS=Homo sapiens OX=9606 GN=PPP1R3C PE=1 SV=2
+MSCTRMIQVLDPRPLTSSVMPVDVAMRLCLAHSPPVKSFLGPYDEFQRRHFVNKLKPLKSCLNIKHKAKSQNDWKCSHNQAKKRVVFADSKGLSLTAIHVFSDLPEEPAWDLQFDLLDLNDISSALKHHEEKNLILDFPQPSTDYLSFRSHFQKNFVCLENCSLQERTVTGTVKVKNVSFEKKVQIRITFDSWKNYTDVDCVYMKNVYGGTDSDTFSFAIDLPPVIPTEQKIEFCISYHANGQVFWDNNDGQNYRIVHVQWKPDGVQTQMAPQDCAFHQTSPKTELESTIFGSPRLASGLFPEWQSWGRMENLASYR
+>DECOY_sp|Q9UQK1|PPR3C_HUMAN Protein phosphatase 1 regulatory subunit 3C OS=Homo sapiens OX=9606 GN=PPP1R3C PE=1 SV=2
+RYSALNEMRGWSQWEPFLGSALRPSGFITSELETKPSTQHFACDQPAMQTQVGDPKWQVHVIRYNQGDNNDWFVQGNAHYSICFEIKQETPIVPPLDIAFSFTDSDTGGYVNKMYVCDVDTYNKWSDFTIRIQVKKEFSVNKVKVTGTVTREQLSCNELCVFNKQFHSRFSLYDTSPQPFDLILNKEEHHKLASSIDNLDLLDFQLDWAPEEPLDSFVHIATLSLGKSDAFVVRKKAQNHSCKWDNQSKAKHKINLCSKLPKLKNVFHRRQFEDYPGLFSKVPPSHALCLRMAVDVPMVSSTLPRPDLVQIMRTCSM
+>sp|O60828|PQBP1_HUMAN Polyglutamine-binding protein 1 OS=Homo sapiens OX=9606 GN=PQBP1 PE=1 SV=1
+MPLPVALQTRLAKRGILKHLEPEPEEEIIAEDYDDDPVDYEATRLEGLPPSWYKVFDPSCGLPYYWNADTDLVSWLSPHDPNSVVTKSAKKLRSSNADAEEKLDRSHDKSDRGHDKSDRSHEKLDRGHDKSDRGHDKSDRDRERGYDKVDRERERDRERDRDRGYDKADREEGKERRHHRREELAPYPKSKKAVSRKDEELDPMDPSSYSDAPRGTWSTGLPKRNEAKTGADTTAAGPLFQQRPYPSPGAVLRANAEASRTKQQD
+>DECOY_sp|O60828|PQBP1_HUMAN Polyglutamine-binding protein 1 OS=Homo sapiens OX=9606 GN=PQBP1 PE=1 SV=1
+DQQKTRSAEANARLVAGPSPYPRQQFLPGAATTDAGTKAENRKPLGTSWTGRPADSYSSPDMPDLEEDKRSVAKKSKPYPALEERRHHRREKGEERDAKDYGRDRDRERDRERERDVKDYGRERDRDSKDHGRDSKDHGRDLKEHSRDSKDHGRDSKDHSRDLKEEADANSSRLKKASKTVVSNPDHPSLWSVLDTDANWYYPLGCSPDFVKYWSPPLGELRTAEYDVPDDDYDEAIIEEEPEPELHKLIGRKALRTQLAVPLPM
+>sp|O95522|PRA12_HUMAN PRAME family member 12 OS=Homo sapiens OX=9606 GN=PRAMEF12 PE=3 SV=2
+MSLQAPPRLLELAEQSLLRDRALAIPTLEELPRELFPPLFMEAFTRRCCETLTTMVQAWPFTCLPLGSLMKSCNLEIFRAVLEGLDALLAQKVRPRRWKLQVLDLRNVDENFWGIWSGASALSPEALSKRRTAGNCPRPGGQQPLMVILDLCFKNGTLDECLTHFLEWGKQRKGLLHVCCKELQIFGIAIHRIIEVLNTVELDCIQEVEVCCPWELSILIRFAPYLGQMRNLRKLVLFNIHVSACIPLDRKEQFVIQFTSQFLKLDYFQKLYMHSVSFLEGHLDQLLRCLQAPLETVVMTECLLSESDLKHLSWCPSIRQLKELDLRGITLTHFSPEPLSVLLEQAEATLQTLDLEDCGIVDSQLSAILPALSRCSQLSTFSFCGNLISMAALENLLRHTVGLSKLSLELYPAPLESYDAQGALCWGRFSQLGAELMKTLRDLRQPKIIVFSTVPCPRCGIRASYDLEPSHCLLNACCQGGFI
+>DECOY_sp|O95522|PRA12_HUMAN PRAME family member 12 OS=Homo sapiens OX=9606 GN=PRAMEF12 PE=3 SV=2
+IFGGQCCANLLCHSPELDYSARIGCRPCPVTSFVIIKPQRLDRLTKMLEAGLQSFRGWCLAGQADYSELPAPYLELSLKSLGVTHRLLNELAAMSILNGCFSFTSLQSCRSLAPLIASLQSDVIGCDELDLTQLTAEAQELLVSLPEPSFHTLTIGRLDLEKLQRISPCWSLHKLDSESLLCETMVVTELPAQLCRLLQDLHGELFSVSHMYLKQFYDLKLFQSTFQIVFQEKRDLPICASVHINFLVLKRLNRMQGLYPAFRILISLEWPCCVEVEQICDLEVTNLVEIIRHIAIGFIQLEKCCVHLLGKRQKGWELFHTLCEDLTGNKFCLDLIVMLPQQGGPRPCNGATRRKSLAEPSLASAGSWIGWFNEDVNRLDLVQLKWRRPRVKQALLADLGELVARFIELNCSKMLSGLPLCTFPWAQVMTTLTECCRRTFAEMFLPPFLERPLEELTPIALARDRLLSQEALELLRPPAQLSM
+>sp|A3QJZ6|PRA22_HUMAN PRAME family member 22 OS=Homo sapiens OX=9606 GN=PRAMEF22 PE=3 SV=3
+MRMSLQAPRRLLELAGQSLLGDQALAISILDELPRELFPPLFVEAFTSRRCEVLKVMVQAWPFPCLPLGSLMKTPDLEILHYVVDGIDCLLAQKVRPRRWKLQVLELRDVDENFWTIWSGARPLSCSPEAMSKRQTVEDCPRTGEKQPLKVFMDVCLKEKFMDEDLSFFSGWVQHRRGSVHLCCTKVVNYSMSILNFRNILETVYPDSIQVLEIWNMCWPCMIVEFSRYLSQMRNLRKLFISDGCRYLLSSDSQEQLVAEFSSVLLRLEYLQMLYVRRVCFFRGHLDQLIRCLRSPLETLALTYGFLEKVDLKCLPRYPSLSQLKQLNLSHGALRFIRLEPLRALLEKVAATLQTLFLVDCGIRDSKLRVILPALSCCSNLTTFCFHGNDTSMDGLKDLLRHTGRLSNLSLETYPAPRESLDDRGRVISELLTPLQAELMRILREVREPKRIFFGPVSCPCCGTSPTEQLEFNFCLWGRPA
+>DECOY_sp|A3QJZ6|PRA22_HUMAN PRAME family member 22 OS=Homo sapiens OX=9606 GN=PRAMEF22 PE=3 SV=3
+APRGWLCFNFELQETPSTGCCPCSVPGFFIRKPERVERLIRMLEAQLPTLLESIVRGRDDLSERPAPYTELSLNSLRGTHRLLDKLGDMSTDNGHFCFTTLNSCCSLAPLIVRLKSDRIGCDVLFLTQLTAAVKELLARLPELRIFRLAGHSLNLQKLQSLSPYRPLCKLDVKELFGYTLALTELPSRLCRILQDLHGRFFCVRRVYLMQLYELRLLVSSFEAVLQEQSDSSLLYRCGDSIFLKRLNRMQSLYRSFEVIMCPWCMNWIELVQISDPYVTELINRFNLISMSYNVVKTCCLHVSGRRHQVWGSFFSLDEDMFKEKLCVDMFVKLPQKEGTRPCDEVTQRKSMAEPSCSLPRAGSWITWFNEDVDRLELVQLKWRRPRVKQALLCDIGDVVYHLIELDPTKMLSGLPLCPFPWAQVMVKLVECRRSTFAEVFLPPFLERPLEDLISIALAQDGLLSQGALELLRRPAQLSMRM
+>sp|A3QJZ7|PRA27_HUMAN PRAME family member 27 OS=Homo sapiens OX=9606 GN=PRAMEF27 PE=3 SV=2
+MKMSIRTPPRLLELAGRSLLRDQALAMSTLEELPTELFPPLFMEAFSRRCCEALKLMVQAWPFRRLPLRPLIKMPCLEAFQAVLDGLDALLTQGVCPRRWKLQVLDLQDVCENFWMVWSEAMARGSFLNAKRNKTPVQDCPRMRGQQPLTVFVELWLKNRTLDEYLTYLLLWVKQRKDLLHLCCKKLKILGMPFRNIRSILKMVNLDCIQEVEVNCKWVLPILTQFTPYLGHMRNLQKLVLSHMDVSRYVSPEQKKEIVTQFTTQFLKLHCLQKLYMNSVSFLEGHLDQLLSCLKTSLKVLTITNCVLLESDLKHLSQCPSISQLKTLDLSGIRLTNYSLVPLQILLEKVAATLEYLDLDDCGIIDSQVNAILPALSRCFELNAFSFCGNPISMATLENLLSHTIILKNLCVEVYPAPRESYGADGTLCWNRFAQIRAELMNRVRDLRHPKRIFFCIDNCPDCGNRSFYDLEADQYCC
+>DECOY_sp|A3QJZ7|PRA27_HUMAN PRAME family member 27 OS=Homo sapiens OX=9606 GN=PRAMEF27 PE=3 SV=2
+CCYQDAELDYFSRNGCDPCNDICFFIRKPHRLDRVRNMLEARIQAFRNWCLTGDAGYSERPAPYVEVCLNKLIITHSLLNELTAMSIPNGCFSFANLEFCRSLAPLIANVQSDIIGCDDLDLYELTAAVKELLIQLPVLSYNTLRIGSLDLTKLQSISPCQSLHKLDSELLVCNTITLVKLSTKLCSLLQDLHGELFSVSNMYLKQLCHLKLFQTTFQTVIEKKQEPSVYRSVDMHSLVLKQLNRMHGLYPTFQTLIPLVWKCNVEVEQICDLNVMKLISRINRFPMGLIKLKKCCLHLLDKRQKVWLLLYTLYEDLTRNKLWLEVFVTLPQQGRMRPCDQVPTKNRKANLFSGRAMAESWVMWFNECVDQLDLVQLKWRRPCVGQTLLADLGDLVAQFAELCPMKILPRLPLRRFPWAQVMLKLAECCRRSFAEMFLPPFLETPLEELTSMALAQDRLLSRGALELLRPPTRISMKM
+>sp|O60831|PRAF2_HUMAN PRA1 family protein 2 OS=Homo sapiens OX=9606 GN=PRAF2 PE=1 SV=1
+MSEVRLPPLRALDDFVLGSARLAAPDPCDPQRWCHRVINNLLYYQTNYLLCFGIGLALAGYVRPLHTLLSALVVAVALGVLVWAAETRAAVRRCRRSHPAACLAAVLAVGLLVLWVAGGACTFLFSIAGPVLLILVHASLRLRNLKNKIENKIESIGLKRTPMGLLLEALGQEQEAGS
+>DECOY_sp|O60831|PRAF2_HUMAN PRA1 family protein 2 OS=Homo sapiens OX=9606 GN=PRAF2 PE=1 SV=1
+SGAEQEQGLAELLLGMPTRKLGISEIKNEIKNKLNRLRLSAHVLILLVPGAISFLFTCAGGAVWLVLLGVALVAALCAAPHSRRCRRVAARTEAAWVLVGLAVAVVLASLLTHLPRVYGALALGIGFCLLYNTQYYLLNNIVRHCWRQPDCPDPAALRASGLVFDDLARLPPLRVESM
+>sp|Q9NQV5|PRD11_HUMAN PR domain-containing protein 11 OS=Homo sapiens OX=9606 GN=PRDM11 PE=1 SV=2
+MLKMAEPIASLMIVECRACLRCSPLFLYQREKDRMTENMKECLAQTNAAVGDMVTVVKTEVCSPLRDQEYGQPCSRRPDSSAMEVEPKKLKGKRDLIVPKSFQQVDFWFCESCQEYFVDECPNHGPPVFVSDTPVPVGIPDRAALTIPQGMEVVKDTSGESDVRCVNEVIPKGHIFGPYEGQISTQDKSAGFFSWLIVDKNNRYKSIDGSDETKANWMRYVVISREEREQNLLAFQHSERIYFRACRDIRPGEWLRVWYSEDYMKRLHSMSQETIHRNLARGEKRLQREKSEQVLDNPEDLRGPIHLSVLRQGKSPYKRGFDEGDVHPQAKKKKIDLIFKDVLEASLESAKVEAHQLALSTSLVIRKVPKYQDDAYSQCATTMTHGVQNIGQTQGEGDWKVPQGVSKEPGQLEDEEEEPSSFKADSPAEASLASDPHELPTTSFCPNCIRLKKKVRELQAELDMLKSGKLPEPPVLPPQVLELPEFSDPAGKLVWMRLLSEGRVRSGLCGG
+>DECOY_sp|Q9NQV5|PRD11_HUMAN PR domain-containing protein 11 OS=Homo sapiens OX=9606 GN=PRDM11 PE=1 SV=2
+GGCLGSRVRGESLLRMWVLKGAPDSFEPLELVQPPLVPPEPLKGSKLMDLEAQLERVKKKLRICNPCFSTTPLEHPDSALSAEAPSDAKFSSPEEEEDELQGPEKSVGQPVKWDGEGQTQGINQVGHTMTTACQSYADDQYKPVKRIVLSTSLALQHAEVKASELSAELVDKFILDIKKKKAQPHVDGEDFGRKYPSKGQRLVSLHIPGRLDEPNDLVQESKERQLRKEGRALNRHITEQSMSHLRKMYDESYWVRLWEGPRIDRCARFYIRESHQFALLNQEREERSIVVYRMWNAKTEDSGDISKYRNNKDVILWSFFGASKDQTSIQGEYPGFIHGKPIVENVCRVDSEGSTDKVVEMGQPITLAARDPIGVPVPTDSVFVPPGHNPCEDVFYEQCSECFWFDVQQFSKPVILDRKGKLKKPEVEMASSDPRRSCPQGYEQDRLPSCVETKVVTVMDGVAANTQALCEKMNETMRDKERQYLFLPSCRLCARCEVIMLSAIPEAMKLM
+>sp|O75626|PRDM1_HUMAN PR domain zinc finger protein 1 OS=Homo sapiens OX=9606 GN=PRDM1 PE=1 SV=2
+MLDICLEKRVGTTLAAPKCNSSTVRFQGLAEGTKGTMKMDMEDADMTLWTEAEFEEKCTYIVNDHPWDSGADGGTSVQAEASLPRNLLFKYATNSEEVIGVMSKEYIPKGTRFGPLIGEIYTNDTVPKNANRKYFWRIYSRGELHHFIDGFNEEKSNWMRYVNPAHSPREQNLAACQNGMNIYFYTIKPIPANQELLVWYCRDFAERLHYPYPGELTMMNLTQTQSSLKQPSTEKNELCPKNVPKREYSVKEILKLDSNPSKGKDLYRSNISPLTSEKDLDDFRRRGSPEMPFYPRVVYPIRAPLPEDFLKASLAYGIERPTYITRSPIPSSTTPSPSARSSPDQSLKSSSPHSSPGNTVSPVGPGSQEHRDSYAYLNASYGTEGLGSYPGYAPLPHLPPAFIPSYNAHYPKFLLPPYGMNCNGLSAVSSMNGINNFGLFPRLCPVYSNLLGGGSLPHPMLNPTSLPSSLPSDGARRLLQPEHPREVLVPAPHSAFSFTGAAASMKDKACSPTSGSPTAGTAATAEHVVQPKATSAAMAAPSSDEAMNLIKNKRNMTGYKTLPYPLKKQNGKIKYECNVCAKTFGQLSNLKVHLRVHSGERPFKCQTCNKGFTQLAHLQKHYLVHTGEKPHECQVCHKRFSSTSNLKTHLRLHSGEKPYQCKVCPAKFTQFVHLKLHKRLHTRERPHKCSQCHKNYIHLCSLKVHLKGNCAAAPAPGLPLEDLTRINEEIEKFDISDNADRLEDVEDDISVISVVEKEILAVVRKEKEETGLKVSLQRNMGNGLLSSGCSLYESSDLPLMKLPPSNPLPLVPVKVKQETVEPMDP
+>DECOY_sp|O75626|PRDM1_HUMAN PR domain zinc finger protein 1 OS=Homo sapiens OX=9606 GN=PRDM1 PE=1 SV=2
+PDMPEVTEQKVKVPVLPLPNSPPLKMLPLDSSEYLSCGSSLLGNGMNRQLSVKLGTEEKEKRVVALIEKEVVSIVSIDDEVDELRDANDSIDFKEIEENIRTLDELPLGPAPAAACNGKLHVKLSCLHIYNKHCQSCKHPRERTHLRKHLKLHVFQTFKAPCVKCQYPKEGSHLRLHTKLNSTSSFRKHCVQCEHPKEGTHVLYHKQLHALQTFGKNCTQCKFPREGSHVRLHVKLNSLQGFTKACVNCEYKIKGNQKKLPYPLTKYGTMNRKNKILNMAEDSSPAAMAASTAKPQVVHEATAATGATPSGSTPSCAKDKMSAAAGTFSFASHPAPVLVERPHEPQLLRRAGDSPLSSPLSTPNLMPHPLSGGGLLNSYVPCLRPFLGFNNIGNMSSVASLGNCNMGYPPLLFKPYHANYSPIFAPPLHPLPAYGPYSGLGETGYSANLYAYSDRHEQSGPGVPSVTNGPSSHPSSSKLSQDPSSRASPSPTTSSPIPSRTIYTPREIGYALSAKLFDEPLPARIPYVVRPYFPMEPSGRRRFDDLDKESTLPSINSRYLDKGKSPNSDLKLIEKVSYERKPVNKPCLENKETSPQKLSSQTQTLNMMTLEGPYPYHLREAFDRCYWVLLEQNAPIPKITYFYINMGNQCAALNQERPSHAPNVYRMWNSKEENFGDIFHHLEGRSYIRWFYKRNANKPVTDNTYIEGILPGFRTGKPIYEKSMVGIVEESNTAYKFLLNRPLSAEAQVSTGGDAGSDWPHDNVIYTCKEEFEAETWLTMDADEMDMKMTGKTGEALGQFRVTSSNCKPAALTTGVRKELCIDLM
+>sp|Q9UKN5|PRDM4_HUMAN PR domain zinc finger protein 4 OS=Homo sapiens OX=9606 GN=PRDM4 PE=1 SV=3
+MHHRMNEMNLSPVGMEQLTSSSVSNALPVSGSHLGLAASPTHSAIPAPGLPVAIPNLGPSLSSLPSALSLMLPMGIGDRGVMCGLPERNYTLPPPPYPHLESSYFRTILPGILSYLADRPPPQYIHPNSINVDGNTALSITNNPSALDPYQSNGNVGLEPGIVSIDSRSVNTHGAQSLHPSDGHEVALDTAITMENVSRVTSPISTDGMAEELTMDGVAGEHSQIPNGSRSHEPLSVDSVSNNLAADAVGHGGVIPMHGNGLELPVVMETDHIASRVNGMSDSALSDSIHTVAMSTNSVSVALSTSHNLASLESVSLHEVGLSLEPVAVSSITQEVAMGTGHVDVSSDSLSFVSPSLQMEDSNSNKENMATLFTIWCTLCDRAYPSDCPEHGPVTFVPDTPIESRARLSLPKQLVLRQSIVGAEVGVWTGETIPVRTCFGPLIGQQSHSMEVAEWTDKAVNHIWKIYHNGVLEFCIITTDENECNWMMFVRKARNREEQNLVAYPHDGKIFFCTSQDIPPENELLFYYSRDYAQQIGVPEHPDVHLCNCGKECNSYTEFKAHLTSHIHNHLPTQGHSGSHGPSHSKERKWKCSMCPQAFISPSKLHVHFMGHMGMKPHKCDFCSKAFSDPSNLRTHLKIHTGQKNYRCTLCDKSFTQKAHLESHMVIHTGEKNLKCDYCDKLFMRRQDLKQHVLIHTQERQIKCPKCDKLFLRTNHLKKHLNSHEGKRDYVCEKCTKAYLTKYHLTRHLKTCKGPTSSSSAPEEEEEDDSEEEDLADSVGTEDCRINSAVYSADESLSAHK
+>DECOY_sp|Q9UKN5|PRDM4_HUMAN PR domain zinc finger protein 4 OS=Homo sapiens OX=9606 GN=PRDM4 PE=1 SV=3
+KHASLSEDASYVASNIRCDETGVSDALDEEESDDEEEEEPASSSSTPGKCTKLHRTLHYKTLYAKTCKECVYDRKGEHSNLHKKLHNTRLFLKDCKPCKIQREQTHILVHQKLDQRRMFLKDCYDCKLNKEGTHIVMHSELHAKQTFSKDCLTCRYNKQGTHIKLHTRLNSPDSFAKSCFDCKHPKMGMHGMFHVHLKSPSIFAQPCMSCKWKREKSHSPGHSGSHGQTPLHNHIHSTLHAKFETYSNCEKGCNCLHVDPHEPVGIQQAYDRSYYFLLENEPPIDQSTCFFIKGDHPYAVLNQEERNRAKRVFMMWNCENEDTTIICFELVGNHYIKWIHNVAKDTWEAVEMSHSQQGILPGFCTRVPITEGTWVGVEAGVISQRLVLQKPLSLRARSEIPTDPVFTVPGHEPCDSPYARDCLTCWITFLTAMNEKNSNSDEMQLSPSVFSLSDSSVDVHGTGMAVEQTISSVAVPELSLGVEHLSVSELSALNHSTSLAVSVSNTSMAVTHISDSLASDSMGNVRSAIHDTEMVVPLELGNGHMPIVGGHGVADAALNNSVSDVSLPEHSRSGNPIQSHEGAVGDMTLEEAMGDTSIPSTVRSVNEMTIATDLAVEHGDSPHLSQAGHTNVSRSDISVIGPELGVNGNSQYPDLASPNNTISLATNGDVNISNPHIYQPPPRDALYSLIGPLITRFYSSELHPYPPPPLTYNREPLGCMVGRDGIGMPLMLSLASPLSSLSPGLNPIAVPLGPAPIASHTPSAALGLHSGSVPLANSVSSSTLQEMGVPSLNMENMRHHM
+>sp|Q9NQX0|PRDM6_HUMAN Putative histone-lysine N-methyltransferase PRDM6 OS=Homo sapiens OX=9606 GN=PRDM6 PE=1 SV=2
+MLKPGDPGGSAFLKVDPAYLQHWQQLFPHGGAGPLKGSGAAGLLSAPQPLQPPPPPPPPERAEPPPDSLRPRPASLSSASSTPASSSTSASSASSCAAAAAAAALAGLSALPVSQLPVFAPLAAAAVAAEPLPPKELCLGATSGPGPVKCGGGGGGGGEGRGAPRFRCSAEELDYYLYGQQRMEIIPLNQHTSDPNNRCDMCADNRNGECPMHGPLHSLRRLVGTSSAAAAAPPPELPEWLRDLPREVCLCTSTVPGLAYGICAAQRIQQGTWIGPFQGVLLPPEKVQAGAVRNTQHLWEIYDQDGTLQHFIDGGEPSKSSWMRYIRCARHCGEQNLTVVQYRSNIFYRACIDIPRGTELLVWYNDSYTSFFGIPLQCIAQDENLNVPSTVMEAMCRQDALQPFNKSSKLAPTTQQRSVVFPQTPCSRNFSLLDKSGPIESGFNQINVKNQRVLASPTSTSQLHSEFSDWHLWKCGQCFKTFTQRILLQMHVCTQNPDRPYQCGHCSQSFSQPSELRNHVVTHSSDRPFKCGYCGRAFAGATTLNNHIRTHTGEKPFKCERCERSFTQATQLSRHQRMPNECKPITESPESIEVD
+>DECOY_sp|Q9NQX0|PRDM6_HUMAN Putative histone-lysine N-methyltransferase PRDM6 OS=Homo sapiens OX=9606 GN=PRDM6 PE=1 SV=2
+DVEISEPSETIPKCENPMRQHRSLQTAQTFSRECRECKFPKEGTHTRIHNNLTTAGAFARGCYGCKFPRDSSHTVVHNRLESPQSFSQSCHGCQYPRDPNQTCVHMQLLIRQTFTKFCQGCKWLHWDSFESHLQSTSTPSALVRQNKVNIQNFGSEIPGSKDLLSFNRSCPTQPFVVSRQQTTPALKSSKNFPQLADQRCMAEMVTSPVNLNEDQAICQLPIGFFSTYSDNYWVLLETGRPIDICARYFINSRYQVVTLNQEGCHRACRIYRMWSSKSPEGGDIFHQLTGDQDYIEWLHQTNRVAGAQVKEPPLLVGQFPGIWTGQQIRQAACIGYALGPVTSTCLCVERPLDRLWEPLEPPPAAAAASSTGVLRRLSHLPGHMPCEGNRNDACMDCRNNPDSTHQNLPIIEMRQQGYLYYDLEEASCRFRPAGRGEGGGGGGGGCKVPGPGSTAGLCLEKPPLPEAAVAAAALPAFVPLQSVPLASLGALAAAAAAAACSSASSASTSSSAPTSSASSLSAPRPRLSDPPPEAREPPPPPPPPQLPQPASLLGAAGSGKLPGAGGHPFLQQWHQLYAPDVKLFASGGPDGPKLM
+>sp|P32119|PRDX2_HUMAN Peroxiredoxin-2 OS=Homo sapiens OX=9606 GN=PRDX2 PE=1 SV=5
+MASGNARIGKPAPDFKATAVVDGAFKEVKLSDYKGKYVVLFFYPLDFTFVCPTEIIAFSNRAEDFRKLGCEVLGVSVDSQFTHLAWINTPRKEGGLGPLNIPLLADVTRRLSEDYGVLKTDEGIAYRGLFIIDGKGVLRQITVNDLPVGRSVDEALRLVQAFQYTDEHGEVCPAGWKPGSDTIKPNVDDSKEYFSKHN
+>DECOY_sp|P32119|PRDX2_HUMAN Peroxiredoxin-2 OS=Homo sapiens OX=9606 GN=PRDX2 PE=1 SV=5
+NHKSFYEKSDDVNPKITDSGPKWGAPCVEGHEDTYQFAQVLRLAEDVSRGVPLDNVTIQRLVGKGDIIFLGRYAIGEDTKLVGYDESLRRTVDALLPINLPGLGGEKRPTNIWALHTFQSDVSVGLVECGLKRFDEARNSFAIIETPCVFTFDLPYFFLVVYKGKYDSLKVEKFAGDVVATAKFDPAPKGIRANGSAM
+>sp|Q70Z35|PREX2_HUMAN Phosphatidylinositol 3,4,5-trisphosphate-dependent Rac exchanger 2 protein OS=Homo sapiens OX=9606 GN=PREX2 PE=2 SV=1
+MSEDSRGDSRAESAKDLEKQLRLRVCVLSELQKTERDYVGTLEFLVSAFLHRMNQCAASKVDKNVTEETVKMLFSNIEDILAVHKEFLKVVEECLHPEPNAQQEVGTCFLHFKDKFRIYDEYCSNHEKAQKLLLELNKIRTIRTFLLNCMLLGGRKNTDVPLEGYLVTPIQRICKYPLILKELLKRTPRKHSDYAAVMEALQAMKAVCSNINEAKRQMEKLEVLEEWQSHIEGWEGSNITDTCTEMLMCGVLLKISSGNIQERVFFLFDNLLVYCKRKHRRLKNSKASTDGHRYLFRGRINTEVMEVENVDDGTADFHSSGHIVVNGWKIHNTAKNKWFVCMAKTPEEKHEWFEAILKERERRKGLKLGMEQDTWVMISEQGEKLYKMMCRQGNLIKDRKRKLTTFPKCFLGSEFVSWLLEIGEIHRPEEGVHLGQALLENGIIHHVTDKHQFKPEQMLYRFRYDDGTFYPRNEMQDVISKGVRLYCRLHSLFTPVIRDKDYHLRTYKSVVMANKLIDWLIAQGDCRTREEAMIFGVGLCDNGFMHHVLEKSEFKDEPLLFRFFSDEEMEGSNMKHRLMKHDLKVVENVIAKSLLIKSNEGSYGFGLEDKNKVPIIKLVEKGSNAEMAGMEVGKKIFAINGDLVFMRPFNEVDCFLKSCLNSRKPLRVLVSTKPRETVKIPDSADGLGFQIRGFGPSVVHAVGRGTVAAAAGLHPGQCIIKVNGINVSKETHASVIAHVTACRKYRRPTKQDSIQWVYNSIESAQEDLQKSHSKPPGDEAGDAFDCKVEEVIDKFNTMAIIDGKKEHVSLTVDNVHLEYGVVYEYDSTAGIKCNVVEKMIEPKGFFSLTAKILEALAKSDEHFVQNCTSLNSLNEVIPTDLQSKFSALCSERIEHLCQRISSYKKFSRVLKNRAWPTFKQAKSKISPLHSSDFCPTNCHVNVMEVSYPKTSTSLGSAFGVQLDSRKHNSHDKENKSSEQGKLSPMVYIQHTITTMAAPSGLSLGQQDGHGLRYLLKEEDLETQDIYQKLLGKLQTALKEVEMCVCQIDDLLSSITYSPKLERKTSEGIIPTDSDNEKGERNSKRVCFNVAGDEQEDSGHDTISNRDSYSDCNSNRNSIASFTSICSSQCSSYFHSDEMDSGDELPLSVRISHDKQDKIHSCLEHLFSQVDSITNLLKGQAVVRAFDQTKYLTPGRGLQEFQQEMEPKLSCPKRLRLHIKQDPWNLPSSVRTLAQNIRKFVEEVKCRLLLALLEYSDSETQLRRDMVFCQTLVATVCAFSEQLMAALNQMFDNSKENEMETWEASRRWLDQIANAGVLFHFQSLLSPNLTDEQAMLEDTLVALFDLEKVSFYFKPSEEEPLVANVPLTYQAEGSRQALKVYFYIDSYHFEQLPQRLKNGGGFKIHPVLFAQALESMEGYYYRDNVSVEEFQAQINAASLEKVKQYNQKLRAFYLDKSNSPPNSTSKAAYVDKLMRPLNALDELYRLVASFIRSKRTAACANTACSASGVGLLSVSSELCNRLGACHIIMCSSGVHRCTLSVTLEQAIILARSHGLPPRYIMQATDVMRKQGARVQNTAKNLGVRDRTPQSAPRLYKLCEPPPPAGEE
+>DECOY_sp|Q70Z35|PREX2_HUMAN Phosphatidylinositol 3,4,5-trisphosphate-dependent Rac exchanger 2 protein OS=Homo sapiens OX=9606 GN=PREX2 PE=2 SV=1
+EEGAPPPPECLKYLRPASQPTRDRVGLNKATNQVRAGQKRMVDTAQMIYRPPLGHSRALIIAQELTVSLTCRHVGSSCMIIHCAGLRNCLESSVSLLGVGSASCATNACAATRKSRIFSAVLRYLEDLANLPRMLKDVYAAKSTSNPPSNSKDLYFARLKQNYQKVKELSAANIQAQFEEVSVNDRYYYGEMSELAQAFLVPHIKFGGGNKLRQPLQEFHYSDIYFYVKLAQRSGEAQYTLPVNAVLPEEESPKFYFSVKELDFLAVLTDELMAQEDTLNPSLLSQFHFLVGANAIQDLWRRSAEWTEMENEKSNDFMQNLAAMLQESFACVTAVLTQCFVMDRRLQTESDSYELLALLLRCKVEEVFKRINQALTRVSSPLNWPDQKIHLRLRKPCSLKPEMEQQFEQLGRGPTLYKTQDFARVVAQGKLLNTISDVQSFLHELCSHIKDQKDHSIRVSLPLEDGSDMEDSHFYSSCQSSCISTFSAISNRNSNCDSYSDRNSITDHGSDEQEDGAVNFCVRKSNREGKENDSDTPIIGESTKRELKPSYTISSLLDDIQCVCMEVEKLATQLKGLLKQYIDQTELDEEKLLYRLGHGDQQGLSLGSPAAMTTITHQIYVMPSLKGQESSKNEKDHSNHKRSDLQVGFASGLSTSTKPYSVEMVNVHCNTPCFDSSHLPSIKSKAQKFTPWARNKLVRSFKKYSSIRQCLHEIRESCLASFKSQLDTPIVENLSNLSTCNQVFHEDSKALAELIKATLSFFGKPEIMKEVVNCKIGATSDYEYVVGYELHVNDVTLSVHEKKGDIIAMTNFKDIVEEVKCDFADGAEDGPPKSHSKQLDEQASEISNYVWQISDQKTPRRYKRCATVHAIVSAHTEKSVNIGNVKIICQGPHLGAAAAVTGRGVAHVVSPGFGRIQFGLGDASDPIKVTERPKTSVLVRLPKRSNLCSKLFCDVENFPRMFVLDGNIAFIKKGVEMGAMEANSGKEVLKIIPVKNKDELGFGYSGENSKILLSKAIVNEVVKLDHKMLRHKMNSGEMEEDSFFRFLLPEDKFESKELVHHMFGNDCLGVGFIMAEERTRCDGQAILWDILKNAMVVSKYTRLHYDKDRIVPTFLSHLRCYLRVGKSIVDQMENRPYFTGDDYRFRYLMQEPKFQHKDTVHHIIGNELLAQGLHVGEEPRHIEGIELLWSVFESGLFCKPFTTLKRKRDKILNGQRCMMKYLKEGQESIMVWTDQEMGLKLGKRREREKLIAEFWEHKEEPTKAMCVFWKNKATNHIKWGNVVIHGSSHFDATGDDVNEVEMVETNIRGRFLYRHGDTSAKSNKLRRHKRKCYVLLNDFLFFVREQINGSSIKLLVGCMLMETCTDTINSGEWGEIHSQWEELVELKEMQRKAENINSCVAKMAQLAEMVAAYDSHKRPTRKLLEKLILPYKCIRQIPTVLYGELPVDTNKRGGLLMCNLLFTRITRIKNLELLLKQAKEHNSCYEDYIRFKDKFHLFCTGVEQQANPEPHLCEEVVKLFEKHVALIDEINSFLMKVTEETVNKDVKSAACQNMRHLFASVLFELTGVYDRETKQLESLVCVRLRLQKELDKASEARSDGRSDESM
+>sp|Q99935|PROL1_HUMAN Opiorphin prepropeptide OS=Homo sapiens OX=9606 GN=OPRPN PE=1 SV=2
+MKLTFFLGLLALISCFTPSESQRFSRRPYLPGQLPPPPLYRPRWVPPSPPPPYDSRLNSPLSLPFVPGRVPPSSFSRFSQAVILSQLFPLESIRQPRLFPGYPNLHFPLRPYYVGPIRILKPPFPPIPFFLAIYLPISNPEPQINITTADTTITTNPPTTATATTSTSTKPTMTISSSTVPISSTPEPATSISAATPAASTENTTQILANRPHTVLLNATVQVTTSNQTILSSPAFKSFWQKLFAIFG
+>DECOY_sp|Q99935|PROL1_HUMAN Opiorphin prepropeptide OS=Homo sapiens OX=9606 GN=OPRPN PE=1 SV=2
+GFIAFLKQWFSKFAPSSLITQNSTTVQVTANLLVTHPRNALIQTTNETSAAPTAASISTAPEPTSSIPVTSSSITMTPKTSTSTTATATTPPNTTITTDATTINIQPEPNSIPLYIALFFPIPPFPPKLIRIPGVYYPRLPFHLNPYGPFLRPQRISELPFLQSLIVAQSFRSFSSPPVRGPVFPLSLPSNLRSDYPPPPSPPVWRPRYLPPPPLQGPLYPRRSFRQSESPTFCSILALLGLFFTLKM
+>sp|Q8N271|PROM2_HUMAN Prominin-2 OS=Homo sapiens OX=9606 GN=PROM2 PE=1 SV=1
+MKHTLALLAPLLGLGLGLALSQLAAGATDCKFLGPAEHLTFTPAARARWLAPRVRAPGLLDSLYGTVRRFLSVVQLNPFPSELVKALLNELASVKVNEVVRYEAGYVVCAVIAGLYLLLVPTAGLCFCCCRCHRRCGGRVKTEHKALACERAALMVFLLLTTLLLLIGVVCAFVTNQRTHEQMGPSIEAMPETLLSLWGLVSDVPQELQAVAQQFSLPQEQVSEELDGVGVSIGSAIHTQLRSSVYPLLAAVGSLGQVLQVSVHHLQTLNATVVELQAGQQDLEPAIREHRDRLLELLQEARCQGDCAGALSWARTLELGADFSQVPSVDHVLHQLKGVPEANFSSMVQEENSTFNALPALAAMQTSSVVQELKKAVAQQPEGVRTLAEGFPGLEAASRWAQALQEVEESSRPYLQEVQRYETYRWIVGCVLCSVVLFVVLCNLLGLNLGIWGLSARDDPSHPEAKGEAGARFLMAGVGLSFLFAAPLILLVFATFLVGGNVQTLVCQSWENGELFEFADTPGNLPPSMNLSQLLGLRKNISIHQAYQQCKEGAALWTVLQLNDSYDLEEHLDINQYTNKLRQELQSLKVDTQSLDLLSSAARRDLEALQSSGLQRIHYPDFLVQIQRPVVKTSMEQLAQELQGLAQAQDNSVLGQRLQEEAQGLRNLHQEKVVPQQSLVAKLNLSVRALESSAPNLQLETSDVLANVTYLKGELPAWAARILRNVSECFLAREMGYFSQYVAWVREEVTQRIATCQPLSGALDNSRVILCDMMADPWNAFWFCLAWCTFFLIPSIIFAVKTSKYFRPIRKRLSSTSSEETQLFHIPRVTSLKL
+>DECOY_sp|Q8N271|PROM2_HUMAN Prominin-2 OS=Homo sapiens OX=9606 GN=PROM2 PE=1 SV=1
+LKLSTVRPIHFLQTEESSTSSLRKRIPRFYKSTKVAFIISPILFFTCWALCFWFANWPDAMMDCLIVRSNDLAGSLPQCTAIRQTVEERVWAVYQSFYGMERALFCESVNRLIRAAWAPLEGKLYTVNALVDSTELQLNPASSELARVSLNLKAVLSQQPVVKEQHLNRLGQAEEQLRQGLVSNDQAQALGQLEQALQEMSTKVVPRQIQVLFDPYHIRQLGSSQLAELDRRAASSLLDLSQTDVKLSQLEQRLKNTYQNIDLHEELDYSDNLQLVTWLAAGEKCQQYAQHISINKRLGLLQSLNMSPPLNGPTDAFEFLEGNEWSQCVLTQVNGGVLFTAFVLLILPAAFLFSLGVGAMLFRAGAEGKAEPHSPDDRASLGWIGLNLGLLNCLVVFLVVSCLVCGVIWRYTEYRQVEQLYPRSSEEVEQLAQAWRSAAELGPFGEALTRVGEPQQAVAKKLEQVVSSTQMAALAPLANFTSNEEQVMSSFNAEPVGKLQHLVHDVSPVQSFDAGLELTRAWSLAGACDGQCRAEQLLELLRDRHERIAPELDQQGAQLEVVTANLTQLHHVSVQLVQGLSGVAALLPYVSSRLQTHIASGISVGVGDLEESVQEQPLSFQQAVAQLEQPVDSVLGWLSLLTEPMAEISPGMQEHTRQNTVFACVVGILLLLTTLLLFVMLAARECALAKHETKVRGGCRRHCRCCCFCLGATPVLLLYLGAIVACVVYGAEYRVVENVKVSALENLLAKVLESPFPNLQVVSLFRRVTGYLSDLLGPARVRPALWRARAAPTFTLHEAPGLFKCDTAGAALQSLALGLGLGLLPALLALTHKM
+>sp|Q13523|PRP4B_HUMAN Serine/threonine-protein kinase PRP4 homolog OS=Homo sapiens OX=9606 GN=PRPF4B PE=1 SV=3
+MAAAETQSLREQPEMEDANSEKSINEENGEVSEDQSQNKHSRHKKKKHKHRSKHKKHKHSSEEDKDKKHKHKHKHKKHKRKEIIDASDKEGMSPAKRTKLDDLALLEDLEKQRALIKAELDNELMEGKVQSGMGLILQGYESGSEEEGEIHEKARNGNRSSTRSSSTKGKLELVDNKITTKKRSKSRSKERTRHRSDKKKSKGGIEIVKEKTTRSKSKERKKSKSPSKRSKSQDQARKSKSPTLRRRSQEKIGKARSPTDDKVKIEDKSKSKDRKKSPIINESRSRDRGKKSRSPVDLRGKSKDRRSRSKERKSKRSETDKEKKPIKSPSKDASSGKENRSPSRRPGRSPKRRSLSPKPRDKSRRSRSPLLNDRRSKQSKSPSRTLSPGRRAKSRSLERKRREPERRRLSSPRTRPRDDILSRRERSKDASPINRWSPTRRRSRSPIRRRSRSPLRRSRSPRRRSRSPRRRDRGRRSRSRLRRRSRSRGGRRRRSRSKVKEDKFKGSLSEGMKVEQESSSDDNLEDFDVEEEDEEALIEQRRIQRQAIVQKYKYLAEDSNMSVPSEPSSPQSSTRTRSPSPDDILERVAADVKEYERENVDTFEASVKAKHNLMTVEQNNGSSQKKLLAPDMFTESDDMFAAYFDSARLRAAGIGKDFKENPNLRDNWTDAEGYYRVNIGEVLDKRYNVYGYTGQGVFSNVVRARDNARANQEVAVKIIRNNELMQKTGLKELEFLKKLNDADPDDKFHCLRLFRHFYHKQHLCLVFEPLSMNLREVLKKYGKDVGLHIKAVRSYSQQLFLALKLLKRCNILHADIKPDNILVNESKTILKLCDFGSASHVADNDITPYLVSRFYRAPEIIIGKSYDYGIDMWSVGCTLYELYTGKILFPGKTNNHMLKLAMDLKGKMPNKMIRKGVFKDQHFDQNLNFMYIEVDKVTEREKVTVMSTINPTKDLLADLIGCQRLPEDQRKKVHQLKDLLDQILMLDPAKRISINQALQHAFIQEKI
+>DECOY_sp|Q13523|PRP4B_HUMAN Serine/threonine-protein kinase PRP4 homolog OS=Homo sapiens OX=9606 GN=PRPF4B PE=1 SV=3
+IKEQIFAHQLAQNISIRKAPDLMLIQDLLDKLQHVKKRQDEPLRQCGILDALLDKTPNITSMVTVKERETVKDVEIYMFNLNQDFHQDKFVGKRIMKNPMKGKLDMALKLMHNNTKGPFLIKGTYLEYLTCGVSWMDIGYDYSKGIIIEPARYFRSVLYPTIDNDAVHSASGFDCLKLITKSENVLINDPKIDAHLINCRKLLKLALFLQQSYSRVAKIHLGVDKGYKKLVERLNMSLPEFVLCLHQKHYFHRFLRLCHFKDDPDADNLKKLFELEKLGTKQMLENNRIIKVAVEQNARANDRARVVNSFVGQGTYGYVNYRKDLVEGINVRYYGEADTWNDRLNPNEKFDKGIGAARLRASDFYAAFMDDSETFMDPALLKKQSSGNNQEVTMLNHKAKVSAEFTDVNEREYEKVDAAVRELIDDPSPSRTRTSSQPSSPESPVSMNSDEALYKYKQVIAQRQIRRQEILAEEDEEEVDFDELNDDSSSEQEVKMGESLSGKFKDEKVKSRSRRRRGGRSRSRRRLRSRSRRGRDRRRPSRSRRRPSRSRRLPSRSRRRIPSRSRRRTPSWRNIPSADKSRERRSLIDDRPRTRPSSLRRREPERRKRELSRSKARRGPSLTRSPSKSQKSRRDNLLPSRSRRSKDRPKPSLSRRKPSRGPRRSPSRNEKGSSADKSPSKIPKKEKDTESRKSKREKSRSRRDKSKGRLDVPSRSKKGRDRSRSENIIPSKKRDKSKSKDEIKVKDDTPSRAKGIKEQSRRRLTPSKSKRAQDQSKSRKSPSKSKKREKSKSRTTKEKVIEIGGKSKKKDSRHRTREKSRSKSRKKTTIKNDVLELKGKTSSSRTSSRNGNRAKEHIEGEEESGSEYGQLILGMGSQVKGEMLENDLEAKILARQKELDELLALDDLKTRKAPSMGEKDSADIIEKRKHKKHKHKHKHKKDKDEESSHKHKKHKSRHKHKKKKHRSHKNQSQDESVEGNEENISKESNADEMEPQERLSQTEAAAM
+>sp|P11908|PRPS2_HUMAN Ribose-phosphate pyrophosphokinase 2 OS=Homo sapiens OX=9606 GN=PRPS2 PE=1 SV=2
+MPNIVLFSGSSHQDLSQRVADRLGLELGKVVTKKFSNQETSVEIGESVRGEDVYIIQSGCGEINDNLMELLIMINACKIASSSRVTAVIPCFPYARQDKKDKSRAPISAKLVANMLSVAGADHIITMDLHASQIQGFFDIPVDNLYAEPAVLQWIRENIAEWKNCIIVSPDAGGAKRVTSIADRLNVEFALIHKERKKANEVDRMVLVGDVKDRVAILVDDMADTCGTICHAADKLLSAGATKVYAILTHGIFSGPAISRINNAAFEAVVVTNTIPQEDKMKHCTKIQVIDISMILAEAIRRTHNGESVSYLFSHVPL
+>DECOY_sp|P11908|PRPS2_HUMAN Ribose-phosphate pyrophosphokinase 2 OS=Homo sapiens OX=9606 GN=PRPS2 PE=1 SV=2
+LPVHSFLYSVSEGNHTRRIAEALIMSIDIVQIKTCHKMKDEQPITNTVVVAEFAANNIRSIAPGSFIGHTLIAYVKTAGASLLKDAAHCITGCTDAMDDVLIAVRDKVDGVLVMRDVENAKKREKHILAFEVNLRDAISTVRKAGGADPSVIICNKWEAINERIWQLVAPEAYLNDVPIDFFGQIQSAHLDMTIIHDAGAVSLMNAVLKASIPARSKDKKDQRAYPFCPIVATVRSSSAIKCANIMILLEMLNDNIEGCGSQIIYVDEGRVSEGIEVSTEQNSFKKTVVKGLELGLRDAVRQSLDQHSSGSFLVINPM
+>sp|Q9ULL5|PRR12_HUMAN Proline-rich protein 12 OS=Homo sapiens OX=9606 GN=PRR12 PE=1 SV=2
+MEQLPGVPPGPPHPVRPPPPPPPPMPLQLEAHLRSHGLEPAAPSPRLRPEESLDPPGAMQELLGALEPLPPAPGDTGVGPPNSEGKDPAGAYRSPSPQGTKAPRFVPLTSICFPDSLLQDEERSFFPTMEEMFGGGAADDYGKAGPPEDEGDPKAGAGPPPGPPAYDPYGPYCPGRASGAGPETPGLGLDPNKPPELPSTVNAEPLGLIQSGPHQAAPPPPPPPPPPPAPASEPKGGLTSPIFCSTKPKKLLKTSSFHLLRRRDPPFQTPKKLYAQEYEFEADEDKADVPADIRLNPRRLPDLVSSCRSRPALSPLGDIDFCPPNPGPDGPRRRGRKPTKAKRDGPPRPRGRPRIRPLEVPTTAGPASASTPTDGAKKPRGRGRGRGRKAEEAGGTRLEPLKPLKIKLSVPKAGEGLGTSSGDAISGTDHNSLDSSLTREKIEAKIKEVEEKQPEMKSGFMASFLDFLKSGKRHPPLYQAGLTPPLSPPKSVPPSVPARGLQPQPPATPAVPHPPPSGAFGLGGALEAAESEGLGLGCPSPCKRLDEELKRNLETLPSFSSDEEDSVAKNRDLQESISSAISALDDPPLAGPKDTSTPDGPPLAPAAAVPGPPPLPGLPSANSNGTPEPPLLEEKPPPTPPPAPTPQPQPPPPPPPPQPALPSPPPLVAPTPSSPPPPPLPPPPPPAMPSPPPPPPPAAAPLAAPPEEPAAPSPEDPELPDTRPLHLAKKQETAAVCGETDEEAGESGGEGIFRERDEFVIRAEDIPSLKLALQTGREPPPIWRVQKALLQKFTPEIKDGQRQFCATSNYLGYFGDAKNRYQRLYVKFLENVNKKDYVRVCARKPWHRPPVPVRRSGQAKNPVSAGGSSAPPPKAPAPPPKPETPEKTTSEKPPEQTPETAMPEPPAPEKPSLLRPVEKEKEKEKVTRGERPLRGERATSGRQTRPERSLATGQPATSRLPKARPTKVKAEPPPKKRKKWLKEAGGNATAGGGPPGSSSDSESSPGAPSEDERAVPGRLLKTRAMREMYRSYVEMLVSTALDPDMIQALEDTHDELYLPPMRKIDGLLNEHKKKVLKRLSLSPALQDALHTFPQLQVEQSGEGSPEEGAVRLRPAGEPYNRKTLSKLKRSVVRAQEFKVELEKSGYYTLYHSLHHYKYHTFLRCRDQTLAIEGGAEDLGQEEVVQQCMRNQPWLEQLFDSFSDLLAQAQAHSRCG
+>DECOY_sp|Q9ULL5|PRR12_HUMAN Proline-rich protein 12 OS=Homo sapiens OX=9606 GN=PRR12 PE=1 SV=2
+GCRSHAQAQALLDSFSDFLQELWPQNRMCQQVVEEQGLDEAGGEIALTQDRCRLFTHYKYHHLSHYLTYYGSKELEVKFEQARVVSRKLKSLTKRNYPEGAPRLRVAGEEPSGEGSQEVQLQPFTHLADQLAPSLSLRKLVKKKHENLLGDIKRMPPLYLEDHTDELAQIMDPDLATSVLMEVYSRYMERMARTKLLRGPVAREDESPAGPSSESDSSSGPPGGGATANGGAEKLWKKRKKPPPEAKVKTPRAKPLRSTAPQGTALSREPRTQRGSTAREGRLPREGRTVKEKEKEKEVPRLLSPKEPAPPEPMATEPTQEPPKESTTKEPTEPKPPPAPAKPPPASSGGASVPNKAQGSRRVPVPPRHWPKRACVRVYDKKNVNELFKVYLRQYRNKADGFYGLYNSTACFQRQGDKIEPTFKQLLAKQVRWIPPPERGTQLALKLSPIDEARIVFEDRERFIGEGGSEGAEEDTEGCVAATEQKKALHLPRTDPLEPDEPSPAAPEEPPAALPAAAPPPPPPPSPMAPPPPPPLPPPPPSSPTPAVLPPPSPLAPQPPPPPPPPQPQPTPAPPPTPPPKEELLPPEPTGNSNASPLGPLPPPGPVAAAPALPPGDPTSTDKPGALPPDDLASIASSISEQLDRNKAVSDEEDSSFSPLTELNRKLEEDLRKCPSPCGLGLGESEAAELAGGLGFAGSPPPHPVAPTAPPQPQLGRAPVSPPVSKPPSLPPTLGAQYLPPHRKGSKLFDLFSAMFGSKMEPQKEEVEKIKAEIKERTLSSDLSNHDTGSIADGSSTGLGEGAKPVSLKIKLPKLPELRTGGAEEAKRGRGRGRGRPKKAGDTPTSASAPGATTPVELPRIRPRGRPRPPGDRKAKTPKRGRRRPGDPGPNPPCFDIDGLPSLAPRSRCSSVLDPLRRPNLRIDAPVDAKDEDAEFEYEQAYLKKPTQFPPDRRRLLHFSSTKLLKKPKTSCFIPSTLGGKPESAPAPPPPPPPPPPPAAQHPGSQILGLPEANVTSPLEPPKNPDLGLGPTEPGAGSARGPCYPGYPDYAPPGPPPGAGAKPDGEDEPPGAKGYDDAAGGGFMEEMTPFFSREEDQLLSDPFCISTLPVFRPAKTGQPSPSRYAGAPDKGESNPPGVGTDGPAPPLPELAGLLEQMAGPPDLSEEPRLRPSPAAPELGHSRLHAELQLPMPPPPPPPPRVPHPPGPPVGPLQEM
+>sp|Q8IV56|PRR15_HUMAN Proline-rich protein 15 OS=Homo sapiens OX=9606 GN=PRR15 PE=2 SV=1
+MADSGDAGSSGPWWKSLTNSRKKSKEAAVGVPPPAQPAPGEPTPPAPPSPDWTSSSRENQHPNLLGGAGEPPKPDKLYGDKSGSSRRNLKISRSGRFKEKRKVRATLLPEAGRSPEEAGFPGDPHEDKQ
+>DECOY_sp|Q8IV56|PRR15_HUMAN Proline-rich protein 15 OS=Homo sapiens OX=9606 GN=PRR15 PE=2 SV=1
+QKDEHPDGPFGAEEPSRGAEPLLTARVKRKEKFRGSRSIKLNRRSSGSKDGYLKDPKPPEGAGGLLNPHQNERSSSTWDPSPPAPPTPEGPAPQAPPPVGVAAEKSKKRSNTLSKWWPGSSGADGSDAM
+>sp|Q53SZ7|PRR30_HUMAN Proline-rich protein 30 OS=Homo sapiens OX=9606 GN=PRR30 PE=2 SV=1
+MLPQNKDQVLPQTSVLPGRPTWGFSQLVDSSPHNLQPLSPHQGLPPSQPPFSSTQSRRPSSPPPASPSPGFQFGSCDSNSDFAPHPYSPSLPSSPTFFHQNYLSLPRPRASSPSNHWLYPSPPLTPSFSPSQPQNSSLPHSPCQSPSHPEELHSSTLTSPGPSPPSHRLHSNRQTWRWHQYRDTGSGSPGVVERCVPSEKDPAQFRDPGALAQALVVQLGHRRIAHDLRLLLLQHLWLGRTGQAPVVEYPICLVCLRPRSPSCPLPRYRTGPRLLAFPQLLPCVQGQESGPLRIGIGFGLRLPQGQARALHLLPEKRPKEAGPQGKATQACGHQLPASQPPAAQARADPVPGTPSQTRSFRSAGLQSPNSPRCFSGPPPRAPKQVTTSLKPRPCPGPKRPVSLELILQKSSV
+>DECOY_sp|Q53SZ7|PRR30_HUMAN Proline-rich protein 30 OS=Homo sapiens OX=9606 GN=PRR30 PE=2 SV=1
+VSSKQLILELSVPRKPGPCPRPKLSTTVQKPARPPPGSFCRPSNPSQLGASRFSRTQSPTGPVPDARAQAAPPQSAPLQHGCAQTAKGQPGAEKPRKEPLLHLARAQGQPLRLGFGIGIRLPGSEQGQVCPLLQPFALLRPGTRYRPLPCSPSRPRLCVLCIPYEVVPAQGTRGLWLHQLLLLRLDHAIRRHGLQVVLAQALAGPDRFQAPDKESPVCREVVGPSGSGTDRYQHWRWTQRNSHLRHSPPSPGPSTLTSSHLEEPHSPSQCPSHPLSSNQPQSPSFSPTLPPSPYLWHNSPSSARPRPLSLYNQHFFTPSSPLSPSYPHPAFDSNSDCSGFQFGPSPSAPPPSSPRRSQTSSFPPQSPPLGQHPSLPQLNHPSSDVLQSFGWTPRGPLVSTQPLVQDKNQPLM
+>sp|Q6MZQ0|PRR5L_HUMAN Proline-rich protein 5-like OS=Homo sapiens OX=9606 GN=PRR5L PE=1 SV=2
+MTRGFAPILPVEFHKMGSFRRPRPRFMSSPVLSDLPRFQAARQALQLSSSSAWNSVQTAVINVFKGGGLQSNELYALNENIRRLLKSELGSFITDYFQNQLLAKGLFFVEEKIKLCEGENRIEVLAEVWDHFFTETLPTLQAIFYPVQGQELTIRQISLLGFRDLVLLKVKLGDLLLLAQSKLPSSIVQMLLILQSVHEPTGPSESYLQLEELVKQVVSPFLGISGDRSFSGPTYTLARRHSRVRPKVTVLNYASPITAVSRPLNEMVLTPLTEQEGEAYLEKCGSVRRHTVANAHSDIQLLAMATMMHSGLGEEASSENKCLLLPPSFPPPHRQCSSEPNITDNPDGLEEGARGSQEGSELNCASLS
+>DECOY_sp|Q6MZQ0|PRR5L_HUMAN Proline-rich protein 5-like OS=Homo sapiens OX=9606 GN=PRR5L PE=1 SV=2
+SLSACNLESGEQSGRAGEELGDPNDTINPESSCQRHPPPFSPPLLLCKNESSAEEGLGSHMMTAMALLQIDSHANAVTHRRVSGCKELYAEGEQETLPTLVMENLPRSVATIPSAYNLVTVKPRVRSHRRALTYTPGSFSRDGSIGLFPSVVQKVLEELQLYSESPGTPEHVSQLILLMQVISSPLKSQALLLLDGLKVKLLVLDRFGLLSIQRITLEQGQVPYFIAQLTPLTETFFHDWVEALVEIRNEGECLKIKEEVFFLGKALLQNQFYDTIFSGLESKLLRRINENLAYLENSQLGGGKFVNIVATQVSNWASSSSLQLAQRAAQFRPLDSLVPSSMFRPRPRRFSGMKHFEVPLIPAFGRTM
+>sp|P55786|PSA_HUMAN Puromycin-sensitive aminopeptidase OS=Homo sapiens OX=9606 GN=NPEPPS PE=1 SV=2
+MWLAAAAPSLARRLLFLGPPPPPLLLLVFSRSSRRRLHSLGLAAMPEKRPFERLPADVSPINYSLCLKPDLLDFTFEGKLEAAAQVRQATNQIVMNCADIDIITASYAPEGDEEIHATGFNYQNEDEKVTLSFPSTLQTGTGTLKIDFVGELNDKMKGFYRSKYTTPSGEVRYAAVTQFEATDARRAFPCWDEPAIKATFDISLVVPKDRVALSNMNVIDRKPYPDDENLVEVKFARTPVMSTYLVAFVVGEYDFVETRSKDGVCVRVYTPVGKAEQGKFALEVAAKTLPFYKDYFNVPYPLPKIDLIAIADFAAGAMENWGLVTYRETALLIDPKNSCSSSRQWVALVVGHELAHQWFGNLVTMEWWTHLWLNEGFASWIEYLCVDHCFPEYDIWTQFVSADYTRAQELDALDNSHPIEVSVGHPSEVDEIFDAISYSKGASVIRMLHDYIGDKDFKKGMNMYLTKFQQKNAATEDLWESLENASGKPIAAVMNTWTKQMGFPLIYVEAEQVEDDRLLRLSQKKFCAGGSYVGEDCPQWMVPITISTSEDPNQAKLKILMDKPEMNVVLKNVKPDQWVKLNLGTVGFYRTQYSSAMLESLLPGIRDLSLPPVDRLGLQNDLFSLARAGIISTVEVLKVMEAFVNEPNYTVWSDLSCNLGILSTLLSHTDFYEEIQEFVKDVFSPIGERLGWDPKPGEGHLDALLRGLVLGKLGKAGHKATLEEARRRFKDHVEGKQILSADLRSPVYLTVLKHGDGTTLDIMLKLHKQADMQEEKNRIERVLGATLLPDLIQKVLTFALSEEVRPQDTVSVIGGVAGGSKHGRKAAWKFIKDNWEELYNRYQGGFLISRLIKLSVEGFAVDKMAGEVKAFFESHPAPSAERTIQQCCENILLNAAWLKRDAESIHQYLLQRKASPPTV
+>DECOY_sp|P55786|PSA_HUMAN Puromycin-sensitive aminopeptidase OS=Homo sapiens OX=9606 GN=NPEPPS PE=1 SV=2
+VTPPSAKRQLLYQHISEADRKLWAANLLINECCQQITREASPAPHSEFFAKVEGAMKDVAFGEVSLKILRSILFGGQYRNYLEEWNDKIFKWAAKRGHKSGGAVGGIVSVTDQPRVEESLAFTLVKQILDPLLTAGLVREIRNKEEQMDAQKHLKLMIDLTTGDGHKLVTLYVPSRLDASLIQKGEVHDKFRRRAEELTAKHGAKGLKGLVLGRLLADLHGEGPKPDWGLREGIPSFVDKVFEQIEEYFDTHSLLTSLIGLNCSLDSWVTYNPENVFAEMVKLVEVTSIIGARALSFLDNQLGLRDVPPLSLDRIGPLLSELMASSYQTRYFGVTGLNLKVWQDPKVNKLVVNMEPKDMLIKLKAQNPDESTSITIPVMWQPCDEGVYSGGACFKKQSLRLLRDDEVQEAEVYILPFGMQKTWTNMVAAIPKGSANELSEWLDETAANKQQFKTLYMNMGKKFDKDGIYDHLMRIVSAGKSYSIADFIEDVESPHGVSVEIPHSNDLADLEQARTYDASVFQTWIDYEPFCHDVCLYEIWSAFGENLWLHTWWEMTVLNGFWQHALEHGVVLAVWQRSSSCSNKPDILLATERYTVLGWNEMAGAAFDAIAILDIKPLPYPVNFYDKYFPLTKAAVELAFKGQEAKGVPTYVRVCVGDKSRTEVFDYEGVVFAVLYTSMVPTRAFKVEVLNEDDPYPKRDIVNMNSLAVRDKPVVLSIDFTAKIAPEDWCPFARRADTAEFQTVAAYRVEGSPTTYKSRYFGKMKDNLEGVFDIKLTGTGTQLTSPFSLTVKEDENQYNFGTAHIEEDGEPAYSATIIDIDACNMVIQNTAQRVQAAAELKGEFTFDLLDPKLCLSYNIPSVDAPLREFPRKEPMAALGLSHLRRRSSRSFVLLLLPPPPPGLFLLRRALSPAAAALWM
+>sp|P40306|PSB10_HUMAN Proteasome subunit beta type-10 OS=Homo sapiens OX=9606 GN=PSMB10 PE=1 SV=1
+MLKPALEPRGGFSFENCQRNASLERVLPGLKVPHARKTGTTIAGLVFQDGVILGADTRATNDSVVADKSCEKIHFIAPKIYCCGAGVAADAEMTTRMVASKMELHALSTGREPRVATVTRILRQTLFRYQGHVGASLIVGGVDLTGPQLYGVHPHGSYSRLPFTALGSGQDAALAVLEDRFQPNMTLEAAQGLLVEAVTAGILGDLGSGGNVDACVITKTGAKLLRTLSSPTEPVKRSGRYHFVPGTTAVLTQTVKPLTLELVEETVQAMEVE
+>DECOY_sp|P40306|PSB10_HUMAN Proteasome subunit beta type-10 OS=Homo sapiens OX=9606 GN=PSMB10 PE=1 SV=1
+EVEMAQVTEEVLELTLPKVTQTLVATTGPVFHYRGSRKVPETPSSLTRLLKAGTKTIVCADVNGGSGLDGLIGATVAEVLLGQAAELTMNPQFRDELVALAADQGSGLATFPLRSYSGHPHVGYLQPGTLDVGGVILSAGVHGQYRFLTQRLIRTVTAVRPERGTSLAHLEMKSAVMRTTMEADAAVGAGCCYIKPAIFHIKECSKDAVVSDNTARTDAGLIVGDQFVLGAITTGTKRAHPVKLGPLVRELSANRQCNEFSFGGRPELAPKLM
+>sp|P20618|PSB1_HUMAN Proteasome subunit beta type-1 OS=Homo sapiens OX=9606 GN=PSMB1 PE=1 SV=2
+MLSSTAMYSAPGRDLGMEPHRAAGPLQLRFSPYVFNGGTILAIAGEDFAIVASDTRLSEGFSIHTRDSPKCYKLTDKTVIGCSGFHGDCLTLTKIIEARLKMYKHSNNKAMTTGAIAAMLSTILYSRRFFPYYVYNIIGGLDEEGKGAVYSFDPVGSYQRDSFKAGGSASAMLQPLLDNQVGFKNMQNVEHVPLSLDRAMRLVKDVFISAAERDVYTGDALRICIVTKEGIREETVSLRKD
+>DECOY_sp|P20618|PSB1_HUMAN Proteasome subunit beta type-1 OS=Homo sapiens OX=9606 GN=PSMB1 PE=1 SV=2
+DKRLSVTEERIGEKTVICIRLADGTYVDREAASIFVDKVLRMARDLSLPVHEVNQMNKFGVQNDLLPQLMASASGGAKFSDRQYSGVPDFSYVAGKGEEDLGGIINYVYYPFFRRSYLITSLMAAIAGTTMAKNNSHKYMKLRAEIIKTLTLCDGHFGSCGIVTKDTLKYCKPSDRTHISFGESLRTDSAVIAFDEGAIALITGGNFVYPSFRLQLPGAARHPEMGLDRGPASYMATSSLM
+>sp|Q9NYI0|PSD3_HUMAN PH and SEC7 domain-containing protein 3 OS=Homo sapiens OX=9606 GN=PSD3 PE=1 SV=2
+MEGRSAAAETFVWVNNASAHSQSVAKAKYEFLFGRSEGKAPDTSDHGGSTLLPPNVTNEFPEYGTMEEGGEGLRASLEFDGEALPCHPQEQQGVQPLTGCHSGLDSVTEGPKDVREAPSQSHLKEQSLQPIDSLISALKATEARIISGTLQATKVLDQDAVSSFSVQQVEKELDTASRKTQRVNKTLPAGQKNLPEIPLSAEVTTEESFYLSIQKDLTALLTGDTQAEISQIMNNGRKGAVCVQEPSCPLASLGSSAVTCHSAGSVGFLKEQRSALGREHPGGCDRSSSMGRPGRVKHVEFQGVEILWTGGDKRETQHPIDFETSLQRTASPDSKESSKVPRHLISSAGLCNSSSLTENVWDESWKAPSERPGTSSGTFSPVRLDESGEDEVFLQENKQHLEKTPKPERDRERISEQEEHVKGEDEDILGPGYTEDSTDVYSSQFETILDNTSLYYSAESLETLYSEPDSYFSFEMPLTPMIQQRIKEGGQFLERTSGGGHQDILSVSADGGIVMGYSSGVTNGLNDASDSIYTKGTPEIAFWGSNAGVKTTRLEAHSEMGSTEILEKETPENLSNGTSSNVEAAKRLAKRLYQLDRFKRSDVAKHLGKNNEFSKLVAEEYLKFFDFTGMTLDQSLRYFFKAFSLVGETQERERVLIHFSNRYFYCNPDTIASQDGVHCLTCAIMLLNTDLHGHVNIGKKMTCQEFIANLQGVNEGVDFSKDLLKALYNSIKNEKLEWAVDDEEKKKSPSESTEEKANGTHPKTISRIGSTTNPFLDIPHDPNAAVYKSGFLARKIHADMDGKKTPRGKRGWKTFYAVLKGTVLYLQKDEYKPEKALSEEDLKNAVSVHHALASKATDYEKKPNVFKLKTADWRVLLFQTQSPEEMQGWINKINCVAAVFSAPPFPAAIGSQKKFSRPLLPATTTKLSQEEQLKSHESKLKQITTELAEHRSYPPDKKVKAKDVDEYKLKDHYLEFEKTRYEMYVSILKEGGKELLSNDESEAAGLKKSHSSPSLNPDTSPITAKVKRNVSERKDHRPETPSIKQKVT
+>DECOY_sp|Q9NYI0|PSD3_HUMAN PH and SEC7 domain-containing protein 3 OS=Homo sapiens OX=9606 GN=PSD3 PE=1 SV=2
+TVKQKISPTEPRHDKRESVNRKVKATIPSTDPNLSPSSHSKKLGAAESEDNSLLEKGGEKLISVYMEYRTKEFELYHDKLKYEDVDKAKVKKDPPYSRHEALETTIQKLKSEHSKLQEEQSLKTTTAPLLPRSFKKQSGIAAPFPPASFVAAVCNIKNIWGQMEEPSQTQFLLVRWDATKLKFVNPKKEYDTAKSALAHHVSVANKLDEESLAKEPKYEDKQLYLVTGKLVAYFTKWGRKGRPTKKGDMDAHIKRALFGSKYVAANPDHPIDLFPNTTSGIRSITKPHTGNAKEETSESPSKKKEEDDVAWELKENKISNYLAKLLDKSFDVGENVGQLNAIFEQCTMKKGINVHGHLDTNLLMIACTLCHVGDQSAITDPNCYFYRNSFHILVREREQTEGVLSFAKFFYRLSQDLTMGTFDFFKLYEEAVLKSFENNKGLHKAVDSRKFRDLQYLRKALRKAAEVNSSTGNSLNEPTEKELIETSGMESHAELRTTKVGANSGWFAIEPTGKTYISDSADNLGNTVGSSYGMVIGGDASVSLIDQHGGGSTRELFQGGEKIRQQIMPTLPMEFSFYSDPESYLTELSEASYYLSTNDLITEFQSSYVDTSDETYGPGLIDEDEGKVHEEQESIRERDREPKPTKELHQKNEQLFVEDEGSEDLRVPSFTGSSTGPRESPAKWSEDWVNETLSSSNCLGASSILHRPVKSSEKSDPSATRQLSTEFDIPHQTERKDGGTWLIEVGQFEVHKVRGPRGMSSSRDCGGPHERGLASRQEKLFGVSGASHCTVASSGLSALPCSPEQVCVAGKRGNNMIQSIEAQTDGTLLATLDKQISLYFSEETTVEASLPIEPLNKQGAPLTKNVRQTKRSATDLEKEVQQVSFSSVADQDLVKTAQLTGSIIRAETAKLASILSDIPQLSQEKLHSQSPAERVDKPGETVSDLGSHCGTLPQVGQQEQPHCPLAEGDFELSARLGEGGEEMTGYEPFENTVNPPLLTSGGHDSTDPAKGESRGFLFEYKAKAVSQSHASANNVWVFTEAAASRGEM
+>sp|Q8NDX1|PSD4_HUMAN PH and SEC7 domain-containing protein 4 OS=Homo sapiens OX=9606 GN=PSD4 PE=1 SV=2
+MMGDYRLPDHPQPMEILNLYLGDSLEPHPGECPRETCSHEDPPEPFEEQTWATDPPEPTRQNVPPWGSGVELTHLGSWVHQDGLEPCQEQTRATDPPESTRQDAPPWGSGVELTHLGSPSAQREHRQNTASPGSPVNSHLPGSPKQNRSTSTQVVFWAGILQAQMCVLDLEEELEKTEGLKAGLKCCLPTPPVDLPGDTGLHSSPPENEDSGEDSSEPEGEGQAWLREGTPDSSPQWGAEEESMFFSNPLFLASPCSENSASGECFSWGASDSHAGVRTGPESPATLEPPLPEDTVLWELESEPDLGDGAAISGHCTPPFPVPIYKPHSICWASVAAAEGAPAAPPGHGESEGDRLGPAPSAAPCVDEALTWESGCVGSDLGPAAHPVQPWASLSPEGWQRGGPFWPQVTLNSQDRDEREGGHPQESLPCTLAPCPWRSPASSPEPSSPESESRGPGPRPSPASSQEGSPQLQHHSSGILPKWTLDASQSSLLETDGEQPSSLKKKEAGEAPKPGEEVKSEGTARPAETGDVQPDIHLTSAEHENLRTPMNSSWLPGSPMPQAQSPEEGQRPPAGDKLANGVRNNKVAWNLASRLYRLEGFRKSEVAAYLQKNNDFSRAVAEEYLSFFQFGGQSLDRALRSFLQALVLSGETQERERILYQFSRRFHHCNPGIFPSVDSVHTLTCAIMLLNTDLHGQNIGKSMSCQEFITNLNGLRDGGNFPKELLKALYWSIRSEKLEWAVDEEDTARPEKAQPSLPAGKMSKPFLQLAQDPTVPTYKQGILARKMHQDADGKKTPWGKRGWKMFHTLLRGMVLYFLKQGEDHCLEGESLVGQMVDEPVGVHHSLATPATHYTKKPHVFQLRTADWRLYLFQAPTAKEMSSWIARINLAAATHSAPPFPAAVGSQRRFVRPILPVGPAQSSLEEQHRSHENCLDAAADDLLDLQRNLPERRGRGRELEEHRLRKEYLEYEKTRYETYVQLLVARLHCPSDALDLWEEQLGREAGGTREPKLSLKKSHSSPSLHQDEAPTTAKVKRNISERRTYRKIIPKRNRNQL
+>DECOY_sp|Q8NDX1|PSD4_HUMAN PH and SEC7 domain-containing protein 4 OS=Homo sapiens OX=9606 GN=PSD4 PE=1 SV=2
+LQNRNRKPIIKRYTRRESINRKVKATTPAEDQHLSPSSHSKKLSLKPERTGGAERGLQEEWLDLADSPCHLRAVLLQVYTEYRTKEYELYEKRLRHEELERGRGRREPLNRQLDLLDDAAADLCNEHSRHQEELSSQAPGVPLIPRVFRRQSGVAAPFPPASHTAAALNIRAIWSSMEKATPAQFLYLRWDATRLQFVHPKKTYHTAPTALSHHVGVPEDVMQGVLSEGELCHDEGQKLFYLVMGRLLTHFMKWGRKGWPTKKGDADQHMKRALIGQKYTPVTPDQALQLFPKSMKGAPLSPQAKEPRATDEEDVAWELKESRISWYLAKLLEKPFNGGDRLGNLNTIFEQCSMSKGINQGHLDTNLLMIACTLTHVSDVSPFIGPNCHHFRRSFQYLIREREQTEGSLVLAQLFSRLARDLSQGGFQFFSLYEEAVARSFDNNKQLYAAVESKRFGELRYLRSALNWAVKNNRVGNALKDGAPPRQGEEPSQAQPMPSGPLWSSNMPTRLNEHEASTLHIDPQVDGTEAPRATGESKVEEGPKPAEGAEKKKLSSPQEGDTELLSSQSADLTWKPLIGSSHHQLQPSGEQSSAPSPRPGPGRSESEPSSPEPSSAPSRWPCPALTCPLSEQPHGGEREDRDQSNLTVQPWFPGGRQWGEPSLSAWPQVPHAAPGLDSGVCGSEWTLAEDVCPAASPAPGLRDGESEGHGPPAAPAGEAAAVSAWCISHPKYIPVPFPPTCHGSIAAGDGLDPESELEWLVTDEPLPPELTAPSEPGTRVGAHSDSAGWSFCEGSASNESCPSALFLPNSFFMSEEEAGWQPSSDPTGERLWAQGEGEPESSDEGSDENEPPSSHLGTDGPLDVPPTPLCCKLGAKLGETKELEEELDLVCMQAQLIGAWFVVQTSTSRNQKPSGPLHSNVPSGPSATNQRHERQASPSGLHTLEVGSGWPPADQRTSEPPDTARTQEQCPELGDQHVWSGLHTLEVGSGWPPVNQRTPEPPDTAWTQEEFPEPPDEHSCTERPCEGPHPELSDGLYLNLIEMPQPHDPLRYDGMM
+>sp|P11465|PSG2_HUMAN Pregnancy-specific beta-1-glycoprotein 2 OS=Homo sapiens OX=9606 GN=PSG2 PE=2 SV=2
+MGPLSAPPCTEHIKWKGLLVTASLLNFWNLPTTAQVTIEAQPPKVSEGKDVLLLVHNLPQNLTGYIWYKGQIRDLYHYITSYVVDGQIIIYGPAYSGRETAYSNASLLIQNVTREDAGSYTLHIIKRGDGTRGVTGYFTFTLYLETPKPSISSSNLNPREAMETVILTCDPETPDTSYQWWMNGQSLPMTHRFQLSETNRTLFLFGVTKYTAGPYECEIRNSGSASRSDPVTLNLLHGPDLPRIHPSYTNYRSGDNLYLSCFANSNPPAQYSWTINGKFQQSGQNLFIPQITTKHSGLYVCSVRNSATGEESSTSLTVKVSASTRIGLLPLLNPT
+>DECOY_sp|P11465|PSG2_HUMAN Pregnancy-specific beta-1-glycoprotein 2 OS=Homo sapiens OX=9606 GN=PSG2 PE=2 SV=2
+TPNLLPLLGIRTSASVKVTLSTSSEEGTASNRVSCVYLGSHKTTIQPIFLNQGSQQFKGNITWSYQAPPNSNAFCSLYLNDGSRYNTYSPHIRPLDPGHLLNLTVPDSRSASGSNRIECEYPGATYKTVGFLFLTRNTESLQFRHTMPLSQGNMWWQYSTDPTEPDCTLIVTEMAERPNLNSSSISPKPTELYLTFTFYGTVGRTGDGRKIIHLTYSGADERTVNQILLSANSYATERGSYAPGYIIIQGDVVYSTIYHYLDRIQGKYWIYGTLNQPLNHVLLLVDKGESVKPPQAEITVQATTPLNWFNLLSATVLLGKWKIHETCPPASLPGM
+>sp|Q15238|PSG5_HUMAN Pregnancy-specific beta-1-glycoprotein 5 OS=Homo sapiens OX=9606 GN=PSG5 PE=1 SV=3
+MGPLSAPPCTQHITWKGLLLTASLLNFWNLPITAQVTIEALPPKVSEGKDVLLLVHNLPQNLAGYIWYKGQLMDLYHYITSYVVDGQINIYGPAYTGRETVYSNASLLIQNVTREDAGSYTLHIIKRGDRTRGVTGYFTFNLYLKLPKPYITINNSKPRENKDVLAFTCEPKSENYTYIWWLNGQSLPVSPRVKRPIENRILILPSVTRNETGPYECEIRDRDGGMRSDPVTLNVLYGPDLPSIYPSFTYYRSGENLYLSCFAESNPPAEYFWTINGKFQQSGQKLSIPQITTKHRGLYTCSVRNSATGKESSKSMTVEVSAPSGIGRLPLLNPI
+>DECOY_sp|Q15238|PSG5_HUMAN Pregnancy-specific beta-1-glycoprotein 5 OS=Homo sapiens OX=9606 GN=PSG5 PE=1 SV=3
+IPNLLPLRGIGSPASVEVTMSKSSEKGTASNRVSCTYLGRHKTTIQPISLKQGSQQFKGNITWFYEAPPNSEAFCSLYLNEGSRYYTFSPYISPLDPGYLVNLTVPDSRMGGDRDRIECEYPGTENRTVSPLILIRNEIPRKVRPSVPLSQGNLWWIYTYNESKPECTFALVDKNERPKSNNITIYPKPLKLYLNFTFYGTVGRTRDGRKIIHLTYSGADERTVNQILLSANSYVTERGTYAPGYINIQGDVVYSTIYHYLDMLQGKYWIYGALNQPLNHVLLLVDKGESVKPPLAEITVQATIPLNWFNLLSATLLLGKWTIHQTCPPASLPGM
+>sp|Q15008|PSMD6_HUMAN 26S proteasome non-ATPase regulatory subunit 6 OS=Homo sapiens OX=9606 GN=PSMD6 PE=1 SV=1
+MPLENLEEEGLPKNPDLRIAQLRFLLSLPEHRGDAAVRDELMAAVRDNNMAPYYEALCKSLDWQIDVDLLNKMKKANEDELKRLDEELEDAEKNLGESEIRDAMMAKAEYLCRIGDKEGALTAFRKTYDKTVALGHRLDIVFYLLRIGLFYMDNDLITRNTEKAKSLIEEGGDWDRRNRLKVYQGLYCVAIRDFKQAAELFLDTVSTFTSYELMDYKTFVTYTVYVSMIALERPDLREKVIKGAEILEVLHSLPAVRQYLFSLYECRYSVFFQSLAVVEQEMKKDWLFAPHYRYYVREMRIHAYSQLLESYRSLTLGYMAEAFGVGVEFIDQELSRFIAAGRLHCKIDKVNEIVETNRPDSKNWQYQETIKKGDLLLNRVQKLSRVINM
+>DECOY_sp|Q15008|PSMD6_HUMAN 26S proteasome non-ATPase regulatory subunit 6 OS=Homo sapiens OX=9606 GN=PSMD6 PE=1 SV=1
+MNIVRSLKQVRNLLLDGKKITEQYQWNKSDPRNTEVIENVKDIKCHLRGAAIFRSLEQDIFEVGVGFAEAMYGLTLSRYSELLQSYAHIRMERVYYRYHPAFLWDKKMEQEVVALSQFFVSYRCEYLSFLYQRVAPLSHLVELIEAGKIVKERLDPRELAIMSVYVTYTVFTKYDMLEYSTFTSVTDLFLEAAQKFDRIAVCYLGQYVKLRNRRDWDGGEEILSKAKETNRTILDNDMYFLGIRLLYFVIDLRHGLAVTKDYTKRFATLAGEKDGIRCLYEAKAMMADRIESEGLNKEADELEEDLRKLEDENAKKMKNLLDVDIQWDLSKCLAEYYPAMNNDRVAAMLEDRVAADGRHEPLSLLFRLQAIRLDPNKPLGEEELNELPM
+>sp|P51665|PSMD7_HUMAN 26S proteasome non-ATPase regulatory subunit 7 OS=Homo sapiens OX=9606 GN=PSMD7 PE=1 SV=2
+MPELAVQKVVVHPLVLLSVVDHFNRIGKVGNQKRVVGVLLGSWQKKVLDVSNSFAVPFDEDDKDDSVWFLDHDYLENMYGMFKKVNARERIVGWYHTGPKLHKNDIAINELMKRYCPNSVLVIIDVKPKDLGLPTEAYISVEEVHDDGTPTSKTFEHVTSEIGAEEAEEVGVEHLLRDIKDTTVGTLSQRITNQVHGLKGLNSKLLDIRSYLEKVATGKLPINHQIIYQLQDVFNLLPDVSLQEFVKAFYLKTNDQMVVVYLASLIRSVVALHNLINNKIANRDAEKKEGQEKEESKKDRKEDKEKDKDKEKSDVKKEEKKEKK
+>DECOY_sp|P51665|PSMD7_HUMAN 26S proteasome non-ATPase regulatory subunit 7 OS=Homo sapiens OX=9606 GN=PSMD7 PE=1 SV=2
+KKEKKEEKKVDSKEKDKDKEKDEKRDKKSEEKEQGEKKEADRNAIKNNILNHLAVVSRILSALYVVVMQDNTKLYFAKVFEQLSVDPLLNFVDQLQYIIQHNIPLKGTAVKELYSRIDLLKSNLGKLGHVQNTIRQSLTGVTTDKIDRLLHEVGVEEAEEAGIESTVHEFTKSTPTGDDHVEEVSIYAETPLGLDKPKVDIIVLVSNPCYRKMLENIAIDNKHLKPGTHYWGVIRERANVKKFMGYMNELYDHDLFWVSDDKDDEDFPVAFSNSVDLVKKQWSGLLVGVVRKQNGVKGIRNFHDVVSLLVLPHVVVKQVALEPM
+>sp|Q9UL46|PSME2_HUMAN Proteasome activator complex subunit 2 OS=Homo sapiens OX=9606 GN=PSME2 PE=1 SV=4
+MAKPCGVRLSGEARKQVEVFRQNLFQEAEEFLYRFLPQKIIYLNQLLQEDSLNVADLTSLRAPLDIPIPDPPPKDDEMETDKQEKKEVHKCGFLPGNEKVLSLLALVKPEVWTLKEKCILVITWIQHLIPKIEDGNDFGVAIQEKVLERVNAVKTKVEAFQTTISKYFSERGDAVAKASKETHVMDYRALVHERDEAAYGELRAMVLDLRAFYAELYHIISSNLEKIVNPKGEEKPSMY
+>DECOY_sp|Q9UL46|PSME2_HUMAN Proteasome activator complex subunit 2 OS=Homo sapiens OX=9606 GN=PSME2 PE=1 SV=4
+YMSPKEEGKPNVIKELNSSIIHYLEAYFARLDLVMARLEGYAAEDREHVLARYDMVHTEKSAKAVADGRESFYKSITTQFAEVKTKVANVRELVKEQIAVGFDNGDEIKPILHQIWTIVLICKEKLTWVEPKVLALLSLVKENGPLFGCKHVEKKEQKDTEMEDDKPPPDPIPIDLPARLSTLDAVNLSDEQLLQNLYIIKQPLFRYLFEEAEQFLNQRFVEVQKRAEGSLRVGCPKAM
+>sp|Q14997|PSME4_HUMAN Proteasome activator complex subunit 4 OS=Homo sapiens OX=9606 GN=PSME4 PE=1 SV=2
+MEPAERAGVGEPPEPGGRPEPGPRGFVPQKEIVYNKLLPYAERLDAESDLQLAQIKCNLGRAVQLQELWPGGLFWTRKLSTYIRLYGRKFSKEDHVLFIKLLYELVSIPKLEISMMQGFARLLINLLKKKELLSRADLELPWRPLYDMVERILYSKTEHLGLNWFPNSVENILKTLVKSCRPYFPADATAEMLEEWRPLMCPFDVTMQKAITYFEIFLPTSLPPELHHKGFKLWFDELIGLWVSVQNLPQWEGQLVNLFARLATDNIGYIDWDPYVPKIFTRILRSLNLPVGSSQVLVPRFLTNAYDIGHAVIWITAMMGGPSKLVQKHLAGLFNSITSFYHPSNNGRWLNKLMKLLQRLPNSVVRRLHRERYKKPSWLTPVPDSHKLTDQDVTDFVQCIIQPVLLAMFSKTGSLEAAQALQNLALMRPELVIPPVLERTYPALETLTEPHQLTATLSCVIGVARSLVSGGRWFPEGPTHMLPLLMRALPGVDPNDFSKCMITFQFIATFSTLVPLVDCSSVLQERNDLTEVERELCSATAEFEDFVLQFMDRCFGLIESSTLEQTREETETEKMTHLESLVELGLSSTFSTILTQCSKEIFMVALQKVFNFSTSHIFETRVAGRMVADMCRAAVKCCPEESLKLFVPHCCSVITQLTMNDDVLNDEELDKELLWNLQLLSEITRVDGRKLLLYREQLVKILQRTLHLTCKQGYTLSCNLLHHLLRSTTLIYPTEYCSVPGGFDKPPSEYFPIKDWGKPGDLWNLGIQWHVPSSEEVSFAFYLLDSFLQPELVKLQHCGDGKLEMSRDDILQSLTIVHNCLIGSGNLLPPLKGEPVTNLVPSMVSLEETKLYTGLEYDLSRENHREVIATVIRKLLNHILDNSEDDTKSLFLIIKIIGDLLQFQGSHKHEFDSRWKSFNLVKKSMENRLHGKKQHIRALLIDRVMLQHELRTLTVEGCEYKKIHQDMIRDLLRLSTSSYSQVRNKAQQTFFAALGAYNFCCRDIIPLVLEFLRPDRQGVTQQQFKGALYCLLGNHSGVCLANLHDWDCIVQTWPAIVSSGLSQAMSLEKPSIVRLFDDLAEKIHRQYETIGLDFTIPKSCVEIAELLQQSKNPSINQILLSPEKIKEGIKRQQEKNADALRNYENLVDTLLDGVEQRNLPWKFEHIGIGLLSLLLRDDRVLPLRAIRFFVENLNHDAIVVRKMAISAVAGILKQLKRTHKKLTINPCEISGCPKPTQIIAGDRPDNHWLHYDSKTIPRTKKEWESSCFVEKTHWGYYTWPKNMVVYAGVEEQPKLGRSREDMTEAEQIIFDHFSDPKFVEQLITFLSLEDRKGKDKFNPRRFCLFKGIFRNFDDAFLPVLKPHLEHLVADSHESTQRCVAEIIAGLIRGSKHWTFEKVEKLWELLCPLLRTALSNITVETYNDWGACIATSCESRDPRKLHWLFELLLESPLSGEGGSFVDACRLYVLQGGLAQQEWRVPELLHRLLKYLEPKLTQVYKNVRERIGSVLTYIFMIDVSLPNTTPTISPHVPEFTARILEKLKPLMDVDEEIQNHVMEENGIGEEDERTQGIKLLKTILKWLMASAGRSFSTAVTEQLQLLPLFFKIAPVENDNSYDELKRDAKLCLSLMSQGLLYPHQVPLVLQVLKQTARSSSWHARYTVLTYLQTMVFYNLFIFLNNEDAVKDIRWLVISLLEDEQLEVREMAATTLSGLLQCNFLTMDSPMQIHFEQLCKTKLPKKRKRDPGSVGDTIPSAELVKRHAGVLGLGACVLSSPYDVPTWMPQLLMNLSAHLNDPQPIEMTVKKTLSNFRRTHHDNWQEHKQQFTDDQLLVLTDLLVSPCYYA
+>DECOY_sp|Q14997|PSME4_HUMAN Proteasome activator complex subunit 4 OS=Homo sapiens OX=9606 GN=PSME4 PE=1 SV=2
+AYYCPSVLLDTLVLLQDDTFQQKHEQWNDHHTRRFNSLTKKVTMEIPQPDNLHASLNMLLQPMWTPVDYPSSLVCAGLGLVGAHRKVLEASPITDGVSGPDRKRKKPLKTKCLQEFHIQMPSDMTLFNCQLLGSLTTAAMERVELQEDELLSIVLWRIDKVADENNLFIFLNYFVMTQLYTLVTYRAHWSSSRATQKLVQLVLPVQHPYLLGQSMLSLCLKADRKLEDYSNDNEVPAIKFFLPLLQLQETVATSFSRGASAMLWKLITKLLKIGQTREDEEGIGNEEMVHNQIEEDVDMLPKLKELIRATFEPVHPSITPTTNPLSVDIMFIYTLVSGIRERVNKYVQTLKPELYKLLRHLLEPVRWEQQALGGQLVYLRCADVFSGGEGSLPSELLLEFLWHLKRPDRSECSTAICAGWDNYTEVTINSLATRLLPCLLEWLKEVKEFTWHKSGRILGAIIEAVCRQTSEHSDAVLHELHPKLVPLFADDFNRFIGKFLCFRRPNFKDKGKRDELSLFTILQEVFKPDSFHDFIIQEAETMDERSRGLKPQEEVGAYVVMNKPWTYYGWHTKEVFCSSEWEKKTRPITKSDYHLWHNDPRDGAIIQTPKPCGSIECPNITLKKHTRKLQKLIGAVASIAMKRVVIADHNLNEVFFRIARLPLVRDDRLLLSLLGIGIHEFKWPLNRQEVGDLLTDVLNEYNRLADANKEQQRKIGEKIKEPSLLIQNISPNKSQQLLEAIEVCSKPITFDLGITEYQRHIKEALDDFLRVISPKELSMAQSLGSSVIAPWTQVICDWDHLNALCVGSHNGLLCYLAGKFQQQTVGQRDPRLFELVLPIIDRCCFNYAGLAAFFTQQAKNRVQSYSSTSLRLLDRIMDQHIKKYECGEVTLTRLEHQLMVRDILLARIHQKKGHLRNEMSKKVLNFSKWRSDFEHKHSGQFQLLDGIIKIILFLSKTDDESNDLIHNLLKRIVTAIVERHNERSLDYELGTYLKTEELSVMSPVLNTVPEGKLPPLLNGSGILCNHVITLSQLIDDRSMELKGDGCHQLKVLEPQLFSDLLYFAFSVEESSPVHWQIGLNWLDGPKGWDKIPFYESPPKDFGGPVSCYETPYILTTSRLLHHLLNCSLTYGQKCTLHLTRQLIKVLQERYLLLKRGDVRTIESLLQLNWLLEKDLEEDNLVDDNMTLQTIVSCCHPVFLKLSEEPCCKVAARCMDAVMRGAVRTEFIHSTSFNFVKQLAVMFIEKSCQTLITSFTSSLGLEVLSELHTMKETETEERTQELTSSEILGFCRDMFQLVFDEFEATASCLEREVETLDNREQLVSSCDVLPVLTSFTAIFQFTIMCKSFDNPDVGPLARMLLPLMHTPGEPFWRGGSVLSRAVGIVCSLTATLQHPETLTELAPYTRELVPPIVLEPRMLALNQLAQAAELSGTKSFMALLVPQIICQVFDTVDQDTLKHSDPVPTLWSPKKYRERHLRRVVSNPLRQLLKMLKNLWRGNNSPHYFSTISNFLGALHKQVLKSPGGMMATIWIVAHGIDYANTLFRPVLVQSSGVPLNLSRLIRTFIKPVYPDWDIYGINDTALRAFLNVLQGEWQPLNQVSVWLGILEDFWLKFGKHHLEPPLSTPLFIEFYTIAKQMTVDFPCMLPRWEELMEATADAPFYPRCSKVLTKLINEVSNPFWNLGLHETKSYLIREVMDYLPRWPLELDARSLLEKKKLLNILLRAFGQMMSIELKPISVLEYLLKIFLVHDEKSFKRGYLRIYTSLKRTWFLGGPWLEQLQVARGLNCKIQALQLDSEADLREAYPLLKNYVIEKQPVFGRPGPEPRGGPEPPEGVGAREAPEM
+>sp|P07988|PSPB_HUMAN Pulmonary surfactant-associated protein B OS=Homo sapiens OX=9606 GN=SFTPB PE=1 SV=3
+MAESHLLQWLLLLLPTLCGPGTAAWTTSSLACAQGPEFWCQSLEQALQCRALGHCLQEVWGHVGADDLCQECEDIVHILNKMAKEAIFQDTMRKFLEQECNVLPLKLLMPQCNQVLDDYFPLVIDYFQNQTDSNGICMHLGLCKSRQPEPEQEPGMSDPLPKPLRDPLPDPLLDKLVLPVLPGALQARPGPHTQDLSEQQFPIPLPYCWLCRALIKRIQAMIPKGALAVAVAQVCRVVPLVAGGICQCLAERYSVILLDTLLGRMLPQLVCRLVLRCSMDDSAGPRSPTGEWLPRDSECHLCMSVTTQAGNSSEQAIPQAMLQACVGSWLDREKCKQFVEQHTPQLLTLVPRGWDAHTTCQALGVCGTMSSPLQCIHSPDL
+>DECOY_sp|P07988|PSPB_HUMAN Pulmonary surfactant-associated protein B OS=Homo sapiens OX=9606 GN=SFTPB PE=1 SV=3
+LDPSHICQLPSSMTGCVGLAQCTTHADWGRPVLTLLQPTHQEVFQKCKERDLWSGVCAQLMAQPIAQESSNGAQTTVSMCLHCESDRPLWEGTPSRPGASDDMSCRLVLRCVLQPLMRGLLTDLLIVSYREALCQCIGGAVLPVVRCVQAVAVALAGKPIMAQIRKILARCLWCYPLPIPFQQESLDQTHPGPRAQLAGPLVPLVLKDLLPDPLPDRLPKPLPDSMGPEQEPEPQRSKCLGLHMCIGNSDTQNQFYDIVLPFYDDLVQNCQPMLLKLPLVNCEQELFKRMTDQFIAEKAMKNLIHVIDECEQCLDDAGVHGWVEQLCHGLARCQLAQELSQCWFEPGQACALSSTTWAATGPGCLTPLLLLLWQLLHSEAM
+>sp|Q8WXF1|PSPC1_HUMAN Paraspeckle component 1 OS=Homo sapiens OX=9606 GN=PSPC1 PE=1 SV=1
+MMLRGNLKQVRIEKNPARLRALESAVGESEPAAAAAMALALAGEPAPPAPAPPEDHPDEEMGFTIDIKSFLKPGEKTYTQRCRLFVGNLPTDITEEDFKRLFERYGEPSEVFINRDRGFGFIRLESRTLAEIAKAELDGTILKSRPLRIRFATHGAALTVKNLSPVVSNELLEQAFSQFGPVEKAVVVVDDRGRATGKGFVEFAAKPPARKALERCGDGAFLLTTTPRPVIVEPMEQFDDEDGLPEKLMQKTQQYHKEREQPPRFAQPGTFEFEYASRWKALDEMEKQQREQVDRNIREAKEKLEAEMEAARHEHQLMLMRQDLMRRQEELRRLEELRNQELQKRKQIQLRHEEEHRRREEEMIRHREQEELRRQQEGFKPNYMENREQEMRMGDMGPRGAINMGDAFSPAPAGNQGPPPMMGMNMNNRATIPGPPMGPGPAMGPEGAANMGTPMMPDNGAVHNDRFPQGPPSQMGSPMGSRTGSETPQAPMSGVGPVSGGPGGFGRGSQGGNFEGPNKRRRY
+>DECOY_sp|Q8WXF1|PSPC1_HUMAN Paraspeckle component 1 OS=Homo sapiens OX=9606 GN=PSPC1 PE=1 SV=1
+YRRRKNPGEFNGGQSGRGFGGPGGSVPGVGSMPAQPTESGTRSGMPSGMQSPPGQPFRDNHVAGNDPMMPTGMNAAGEPGMAPGPGMPPGPITARNNMNMGMMPPPGQNGAPAPSFADGMNIAGRPGMDGMRMEQERNEMYNPKFGEQQRRLEEQERHRIMEEERRRHEEEHRLQIQKRKQLEQNRLEELRRLEEQRRMLDQRMLMLQHEHRAAEMEAELKEKAERINRDVQERQQKEMEDLAKWRSAYEFEFTGPQAFRPPQEREKHYQQTKQMLKEPLGDEDDFQEMPEVIVPRPTTTLLFAGDGCRELAKRAPPKAAFEVFGKGTARGRDDVVVVAKEVPGFQSFAQELLENSVVPSLNKVTLAAGHTAFRIRLPRSKLITGDLEAKAIEALTRSELRIFGFGRDRNIFVESPEGYREFLRKFDEETIDTPLNGVFLRCRQTYTKEGPKLFSKIDITFGMEEDPHDEPPAPAPPAPEGALALAMAAAAAPESEGVASELARLRAPNKEIRVQKLNGRLMM
+>sp|Q969H6|POP5_HUMAN Ribonuclease P/MRP protein subunit POP5 OS=Homo sapiens OX=9606 GN=POP5 PE=1 SV=1
+MVRFKHRYLLCELVSDDPRCRLSLDDRVLSSLVRDTIARVHGTFGAAACSIGFAVRYLNAYTGIVLLRCRKEFYQLVWSALPFITYLENKGHRYPCFFNTLHVGGTIRTCQKFLIQYNRRQLLILLQNCTDEGEREAIQKSVTRSCLLEEEEESGEEAAEAME
+>DECOY_sp|Q969H6|POP5_HUMAN Ribonuclease P/MRP protein subunit POP5 OS=Homo sapiens OX=9606 GN=POP5 PE=1 SV=1
+EMAEAAEEGSEEEEELLCSRTVSKQIAEREGEDTCNQLLILLQRRNYQILFKQCTRITGGVHLTNFFCPYRHGKNELYTIFPLASWVLQYFEKRCRLLVIGTYANLYRVAFGISCAAAGFTGHVRAITDRVLSSLVRDDLSLRCRPDDSVLECLLYRHKFRVM
+>sp|Q9HBV1|POPD3_HUMAN Popeye domain-containing protein 3 OS=Homo sapiens OX=9606 GN=POPDC3 PE=2 SV=2
+MERNSSLWKNLIDEHPVCTTWKQEAEGAIYHLASILFVVGFMGGSGFFGLLYVFSLLGLGFLCSAVWAWVDVCAADIFSWNFVLFVICFMQFVHIAYQVRSITFAREFQVLYSSLFQPLGISLPVFRTIALSSEVVTLEKEHCYAMQGKTSIDKLSLLVSGRIRVTVDGEFLHYIFPLQFLDSPEWDSLRPTEEGIFQVTLTAETDCRYVSWRRKKLYLLFAQHRYISRLFSVLIGSDIADKLYALNDRVYIGKRYHYDIRLPNFYQMSTPEIRRSPLTQHFQNSRRYCDK
+>DECOY_sp|Q9HBV1|POPD3_HUMAN Popeye domain-containing protein 3 OS=Homo sapiens OX=9606 GN=POPDC3 PE=2 SV=2
+KDCYRRSNQFHQTLPSRRIEPTSMQYFNPLRIDYHYRKGIYVRDNLAYLKDAIDSGILVSFLRSIYRHQAFLLYLKKRRWSVYRCDTEATLTVQFIGEETPRLSDWEPSDLFQLPFIYHLFEGDVTVRIRGSVLLSLKDISTKGQMAYCHEKELTVVESSLAITRFVPLSIGLPQFLSSYLVQFERAFTISRVQYAIHVFQMFCIVFLVFNWSFIDAACVDVWAWVASCLFGLGLLSFVYLLGFFGSGGMFGVVFLISALHYIAGEAEQKWTTCVPHEDILNKWLSSNREM
+>sp|A0JP26|POTB3_HUMAN POTE ankyrin domain family member B3 OS=Homo sapiens OX=9606 GN=POTEB3 PE=2 SV=2
+MVAEVCSMPAASAVKKPFDLRSKMGKWCHHRFPCCRGSGKSNMGTSGDHDDSFMKTLRSKMGKCCHHCFPCCRGSGTSNVGTSGDHDNSFMKTLRSKMGKWCCHCFPCCRGSGKSNVGTWGDYDDSAFMEPRYHVRREDLDKLHRAAWWGKVPRKDLIVMLRDTDMNKRDKQKRTALHLASANGNSEVVQLLLDRRCQLNVLDNKKRTALIKAVQCQEDECVLMLLEHGADGNIQDEYGNTALHYAIYNEDKLMAKALLLYGADIESKNKCGLTPLLLGVHEQKQQVVKFLIKKKANLNALDRYGRTALILAVCCGSASIVNLLLEQNVDVSSQDLSGQTAREYAVSSHHHVICELLSDYKEKQMLKISSENSNPEQDLKLTSEEESQRLKVSENSQPEKMSQEPEINKDCDREVEEEIKKHGSNPVGLPENLTNGASAGNGDDGLIPQRKSRKPENQQFPDTENEEYHSDEQNDTQKQLSEEQNTGISQDEILTNKQKQIEVAEKEMNSKLSLSHKKEEDLLRENSMLREEIAMLRLELDETKHQNQLRENKILEEIESVKEKLLKAIQLNEEALTKTSI
+>DECOY_sp|A0JP26|POTB3_HUMAN POTE ankyrin domain family member B3 OS=Homo sapiens OX=9606 GN=POTEB3 PE=2 SV=2
+ISTKTLAEENLQIAKLLKEKVSEIEELIKNERLQNQHKTEDLELRLMAIEERLMSNERLLDEEKKHSLSLKSNMEKEAVEIQKQKNTLIEDQSIGTNQEESLQKQTDNQEDSHYEENETDPFQQNEPKRSKRQPILGDDGNGASAGNTLNEPLGVPNSGHKKIEEEVERDCDKNIEPEQSMKEPQSNESVKLRQSEEESTLKLDQEPNSNESSIKLMQKEKYDSLLECIVHHHSSVAYERATQGSLDQSSVDVNQELLLNVISASGCCVALILATRGYRDLANLNAKKKILFKVVQQKQEHVGLLLPTLGCKNKSEIDAGYLLLAKAMLKDENYIAYHLATNGYEDQINGDAGHELLMLVCEDEQCQVAKILATRKKNDLVNLQCRRDLLLQVVESNGNASALHLATRKQKDRKNMDTDRLMVILDKRPVKGWWAARHLKDLDERRVHYRPEMFASDDYDGWTGVNSKGSGRCCPFCHCCWKGMKSRLTKMFSNDHDGSTGVNSTGSGRCCPFCHHCCKGMKSRLTKMFSDDHDGSTGMNSKGSGRCCPFRHHCWKGMKSRLDFPKKVASAAPMSCVEAVM
+>sp|Q9NUX5|POTE1_HUMAN Protection of telomeres protein 1 OS=Homo sapiens OX=9606 GN=POT1 PE=1 SV=1
+MSLVPATNYIYTPLNQLKGGTIVNVYGVVKFFKPPYLSKGTDYCSVVTIVDQTNVKLTCLLFSGNYEALPIIYKNGDIVRFHRLKIQVYKKETQGITSSGFASLTFEGTLGAPIIPRTSSKYFNFTTEDHKMVEALRVWASTHMSPSWTLLKLCDVQPMQYFDLTCQLLGKAEVDGASFLLKVWDGTRTPFPSWRVLIQDLVLEGDLSHIHRLQNLTIDILVYDNHVHVARSLKVGSFLRIYSLHTKLQSMNSENQTMLSLEFHLHGGTSYGRGIRVLPESNSDVDQLKKDLESANLTANQHSDVICQSEPDDSFPSSGSVSLYEVERCQQLSATILTDHQYLERTPLCAILKQKAPQQYRIRAKLRSYKPRRLFQSVKLHCPKCHLLQEVPHEGDLDIIFQDGATKTPDVKLQNTSLYDSKIWTTKNQKGRKVAVHFVKNNGILPLSNECLLLIEGGTLSEICKLSNKFNSVIPVRSGHEDLELLDLSAPFLIQGTIHHYGCKQCSSLRSIQNLNSLVDKTSWIPSSVAEALGIVPLQYVFVMTFTLDDGTGVLEAYLMDSDKFFQIPASEVLMDDDLQKSVDMIMDMFCPPGIKIDAYPWLECFIKSYNVTNGTDNQICYQIFDTTVAEDVI
+>DECOY_sp|Q9NUX5|POTE1_HUMAN Protection of telomeres protein 1 OS=Homo sapiens OX=9606 GN=POT1 PE=1 SV=1
+IVDEAVTTDFIQYCIQNDTGNTVNYSKIFCELWPYADIKIGPPCFMDMIMDVSKQLDDDMLVESAPIQFFKDSDMLYAELVGTGDDLTFTMVFVYQLPVIGLAEAVSSPIWSTKDVLSNLNQISRLSSCQKCGYHHITGQILFPASLDLLELDEHGSRVPIVSNFKNSLKCIESLTGGEILLLCENSLPLIGNNKVFHVAVKRGKQNKTTWIKSDYLSTNQLKVDPTKTAGDQFIIDLDGEHPVEQLLHCKPCHLKVSQFLRRPKYSRLKARIRYQQPAKQKLIACLPTRELYQHDTLITASLQQCREVEYLSVSGSSPFSDDPESQCIVDSHQNATLNASELDKKLQDVDSNSEPLVRIGRGYSTGGHLHFELSLMTQNESNMSQLKTHLSYIRLFSGVKLSRAVHVHNDYVLIDITLNQLRHIHSLDGELVLDQILVRWSPFPTRTGDWVKLLFSAGDVEAKGLLQCTLDFYQMPQVDCLKLLTWSPSMHTSAWVRLAEVMKHDETTFNFYKSSTRPIIPAGLTGEFTLSAFGSSTIGQTEKKYVQIKLRHFRVIDGNKYIIPLAEYNGSFLLCTLKVNTQDVITVVSCYDTGKSLYPPKFFKVVGYVNVITGGKLQNLPTYIYNTAPVLSM
+>sp|Q9BZL4|PP12C_HUMAN Protein phosphatase 1 regulatory subunit 12C OS=Homo sapiens OX=9606 GN=PPP1R12C PE=1 SV=1
+MSGEDGPAAGPGAAAAAARERRREQLRQWGARAGAEPGPGERRARTVRFERAAEFLAACAGGDLDEARLMLRAADPGPGAELDPAAPPPARAVLDSTNADGISALHQACIDENLEVVRFLVEQGATVNQADNEGWTPLHVAASCGYLDIARYLLSHGANIAAVNSDGDLPLDLAESDAMEGLLKAEIARRGVDVEAAKRAEEELLLHDTRCWLNGGAMPEARHPRTGASALHVAAAKGYIEVMRLLLQAGYDPELRDGDGWTPLHAAAHWGVEDACRLLAEHGGGMDSLTHAGQRPCDLADEEVLSLLEELARKQEDLRNQKEASQSRGQEPQAPSSSKHRRSSVCRLSSREKISLQDLSKERRPGGAGGPPIQDEDEGEEGPTEPPPAEPRTLNGVSSPPHPSPKSPVQLEEAPFSRRFGLLKTGSSGALGPPERRTAEGAPGAGLQRSASSSWLEGTSTQAKELRLARITPTPSPKLPEPSVLSEVTKPPPCLENSSPPSRIPEPESPAKPNVPTASTAPPADSRDRRRSYQMPVRDEESESQRKARSRLMRQSRRSTQGVTLTDLKEAEKAAGKAPESEKPAQSLDPSRRPRVPGVENSDSPAQRAEAPDGQGPGPQAAREHRKVGKEWRGPAEGEEAEPADRSQESSTLEGGPSARRQRWQRDLNPEPEPESEEPDGGFRTLYAELRRENERLREALTETTLRLAQLKVELERATQRQERFAERPALLELERFERRALERKAAELEEELKALSDLRADNQRLKDENAALIRVISKLSK
+>DECOY_sp|Q9BZL4|PP12C_HUMAN Protein phosphatase 1 regulatory subunit 12C OS=Homo sapiens OX=9606 GN=PPP1R12C PE=1 SV=1
+KSLKSIVRILAANEDKLRQNDARLDSLAKLEEELEAAKRELARREFRELELLAPREAFREQRQTARELEVKLQALRLTTETLAERLRENERRLEAYLTRFGGDPEESEPEPEPNLDRQWRQRRASPGGELTSSEQSRDAPEAEEGEAPGRWEKGVKRHERAAQPGPGQGDPAEARQAPSDSNEVGPVRPRRSPDLSQAPKESEPAKGAAKEAEKLDTLTVGQTSRRSQRMLRSRAKRQSESEEDRVPMQYSRRRDRSDAPPATSATPVNPKAPSEPEPIRSPPSSNELCPPPKTVESLVSPEPLKPSPTPTIRALRLEKAQTSTGELWSSSASRQLGAGPAGEATRREPPGLAGSSGTKLLGFRRSFPAEELQVPSKPSPHPPSSVGNLTRPEAPPPETPGEEGEDEDQIPPGGAGGPRREKSLDQLSIKERSSLRCVSSRRHKSSSPAQPEQGRSQSAEKQNRLDEQKRALEELLSLVEEDALDCPRQGAHTLSDMGGGHEALLRCADEVGWHAAAHLPTWGDGDRLEPDYGAQLLLRMVEIYGKAAAVHLASAGTRPHRAEPMAGGNLWCRTDHLLLEEEARKAAEVDVGRRAIEAKLLGEMADSEALDLPLDGDSNVAAINAGHSLLYRAIDLYGCSAAVHLPTWGENDAQNVTAGQEVLFRVVELNEDICAQHLASIGDANTSDLVARAPPPAAPDLEAGPGPDAARLMLRAEDLDGGACAALFEAAREFRVTRARREGPGPEAGARAGWQRLQERRRERAAAAAAGPGAAPGDEGSM
+>sp|Q8TAE6|PP14C_HUMAN Protein phosphatase 1 regulatory subunit 14C OS=Homo sapiens OX=9606 GN=PPP1R14C PE=1 SV=3
+MSVATGSSETAGGASGGGARVFFQSPRGGAGGSPGSSSGSGSSREDSAPVATAAAAGQVQQQQQRRHQQGKVTVKYDRKELRKRLVLEEWIVEQLGQLYGCEEEEMPEVEIDIDDLLDADSDEERASKLQEALVDCYKPTEEFIKELLSRIRGMRKLSPPQKKSV
+>DECOY_sp|Q8TAE6|PP14C_HUMAN Protein phosphatase 1 regulatory subunit 14C OS=Homo sapiens OX=9606 GN=PPP1R14C PE=1 SV=3
+VSKKQPPSLKRMGRIRSLLEKIFEETPKYCDVLAEQLKSAREEDSDADLLDDIDIEVEPMEEEECGYLQGLQEVIWEELVLRKRLEKRDYKVTVKGQQHRRQQQQQVQGAAAATAVPASDERSSGSGSSSGPSGGAGGRPSQFFVRAGGGSAGGATESSGTAVSM
+>sp|P36873|PP1G_HUMAN Serine/threonine-protein phosphatase PP1-gamma catalytic subunit OS=Homo sapiens OX=9606 GN=PPP1CC PE=1 SV=1
+MADLDKLNIDSIIQRLLEVRGSKPGKNVQLQENEIRGLCLKSREIFLSQPILLELEAPLKICGDIHGQYYDLLRLFEYGGFPPESNYLFLGDYVDRGKQSLETICLLLAYKIKYPENFFLLRGNHECASINRIYGFYDECKRRYNIKLWKTFTDCFNCLPIAAIVDEKIFCCHGGLSPDLQSMEQIRRIMRPTDVPDQGLLCDLLWSDPDKDVLGWGENDRGVSFTFGAEVVAKFLHKHDLDLICRAHQVVEDGYEFFAKRQLVTLFSAPNYCGEFDNAGAMMSVDETLMCSFQILKPAEKKKPNATRPVTPPRGMITKQAKK
+>DECOY_sp|P36873|PP1G_HUMAN Serine/threonine-protein phosphatase PP1-gamma catalytic subunit OS=Homo sapiens OX=9606 GN=PPP1CC PE=1 SV=1
+KKAQKTIMGRPPTVPRTANPKKKEAPKLIQFSCMLTEDVSMMAGANDFEGCYNPASFLTVLQRKAFFEYGDEVVQHARCILDLDHKHLFKAVVEAGFTFSVGRDNEGWGLVDKDPDSWLLDCLLGQDPVDTPRMIRRIQEMSQLDPSLGGHCCFIKEDVIAAIPLCNFCDTFTKWLKINYRRKCEDYFGYIRNISACEHNGRLLFFNEPYKIKYALLLCITELSQKGRDVYDGLFLYNSEPPFGGYEFLRLLDYYQGHIDGCIKLPAELELLIPQSLFIERSKLCLGRIENEQLQVNKGPKSGRVELLRQIISDINLKDLDAM
+>sp|P48454|PP2BC_HUMAN Serine/threonine-protein phosphatase 2B catalytic subunit gamma isoform OS=Homo sapiens OX=9606 GN=PPP3CC PE=1 SV=3
+MSGRRFHLSTTDRVIKAVPFPPTQRLTFKEVFENGKPKVDVLKNHLVKEGRLEEEVALKIINDGAAILRQEKTMIEVDAPITVCGDIHGQFFDLMKLFEVGGSPSNTRYLFLGDYVDRGYFSIECVLYLWSLKINHPKTLFLLRGNHECRHLTDYFTFKQECRIKYSEQVYDACMETFDCLPLAALLNQQFLCVHGGMSPEITSLDDIRKLDRFTEPPAFGPVCDLLWSDPSEDYGNEKTLEHYTHNTVRGCSYFYSYPAVCEFLQNNNLLSIIRAHEAQDAGYRMYRKSQATGFPSLITIFSAPNYLDVYNNKAAVLKYENNVMNIRQFNCSPHPYWLPNFMDVFTWSLPFVGEKVTEMLVNVLNICSDDELISDDEAEGSTTVRKEIIRNKIRAIGKMARVFSILRQESESVLTLKGLTPTGTLPLGVLSGGKQTIETATVEAVEAREAIRGFSLQHKIRSFEEARGLDRINERMPPRKDSIHAGGPMKSVTSAHSHAAHRSDQGKKAHS
+>DECOY_sp|P48454|PP2BC_HUMAN Serine/threonine-protein phosphatase 2B catalytic subunit gamma isoform OS=Homo sapiens OX=9606 GN=PPP3CC PE=1 SV=3
+SHAKKGQDSRHAAHSHASTVSKMPGGAHISDKRPPMRENIRDLGRAEEFSRIKHQLSFGRIAERAEVAEVTATEITQKGGSLVGLPLTGTPTLGKLTLVSESEQRLISFVRAMKGIARIKNRIIEKRVTTSGEAEDDSILEDDSCINLVNVLMETVKEGVFPLSWTFVDMFNPLWYPHPSCNFQRINMVNNEYKLVAAKNNYVDLYNPASFITILSPFGTAQSKRYMRYGADQAEHARIISLLNNNQLFECVAPYSYFYSCGRVTNHTYHELTKENGYDESPDSWLLDCVPGFAPPETFRDLKRIDDLSTIEPSMGGHVCLFQQNLLAALPLCDFTEMCADYVQESYKIRCEQKFTFYDTLHRCEHNGRLLFLTKPHNIKLSWLYLVCEISFYGRDVYDGLFLYRTNSPSGGVEFLKMLDFFQGHIDGCVTIPADVEIMTKEQRLIAAGDNIIKLAVEEELRGEKVLHNKLVDVKPKGNEFVEKFTLRQTPPFPVAKIVRDTTSLHFRRGSM
+>sp|A8MPX8|PP2D1_HUMAN Protein phosphatase 2C-like domain-containing protein 1 OS=Homo sapiens OX=9606 GN=PP2D1 PE=2 SV=2
+MSTNNALRVFWKSREWNMKTSTFDSDEDILLLPKRKRFRKKKSRPVRHTKRHEEEQVYEQGTTLPCSICKHEIDLTGIFLHKKQHVALATLGFQWMGRKKPQPSVIAVQRQFMISKLLSSFMFTEKTLQSINNAFELLWKKQIPAYYKIFDNIDRSVIYSQKICHLLIKGVGICEDRNSTWKADMNDKFTVVSNFGNKPNVCFFGLFDGHHGASAAELTSMELPVLLLHQLSKFDPSYQMTTDEQQIINSFYTVFREEYAAIEDLFSAINKTEAVRCEYEDTHKAFAKAFWRMDRLLGLGRKEVSRVQWSGCSAVTCILEGKPKSPYAHKNWKRKNTHDGLAESSPSQEMPKIISGILHVANTGNVQAVLCRNGKGFCLTKEHTTRNTNERRRILQNGAVISSNEPYGLVEGQVKTTRGLGFHGNLKLKKSIIPAPQTISVPIDDLCQFLIVATNGLWEVLDKEEVTALAMTTFHMYKETYCPIIPNKSPSKGPLLFSTSEPNLTKSQSNIHVLFQYKSVSEVRVSTTNSKENLSDSNYSKYCIYNPENVETFPAETTHRKPCSEKVTDRPTSVNDVATNEKESDTKSFYEGAAEYVSHELVNAALLAGSRDNITVMVIFLNGSEYQLLT
+>DECOY_sp|A8MPX8|PP2D1_HUMAN Protein phosphatase 2C-like domain-containing protein 1 OS=Homo sapiens OX=9606 GN=PP2D1 PE=2 SV=2
+TLLQYESGNLFIVMVTINDRSGALLAANVLEHSVYEAAGEYFSKTDSEKENTAVDNVSTPRDTVKESCPKRHTTEAPFTEVNEPNYICYKSYNSDSLNEKSNTTSVRVESVSKYQFLVHINSQSKTLNPESTSFLLPGKSPSKNPIIPCYTEKYMHFTTMALATVEEKDLVEWLGNTAVILFQCLDDIPVSITQPAPIISKKLKLNGHFGLGRTTKVQGEVLGYPENSSIVAGNQLIRRRENTNRTTHEKTLCFGKGNRCLVAQVNGTNAVHLIGSIIKPMEQSPSSEALGDHTNKRKWNKHAYPSKPKGELICTVASCGSWQVRSVEKRGLGLLRDMRWFAKAFAKHTDEYECRVAETKNIASFLDEIAAYEERFVTYFSNIIQQEDTTMQYSPDFKSLQHLLLVPLEMSTLEAASAGHHGDFLGFFCVNPKNGFNSVVTFKDNMDAKWTSNRDECIGVGKILLHCIKQSYIVSRDINDFIKYYAPIQKKWLLEFANNISQLTKETFMFSSLLKSIMFQRQVAIVSPQPKKRGMWQFGLTALAVHQKKHLFIGTLDIEHKCISCPLTTGQEYVQEEEHRKTHRVPRSKKKRFRKRKPLLLIDEDSDFTSTKMNWERSKWFVRLANNTSM
+>sp|Q8TF05|PP4R1_HUMAN Serine/threonine-protein phosphatase 4 regulatory subunit 1 OS=Homo sapiens OX=9606 GN=PPP4R1 PE=1 SV=1
+MADLSLLQEDLQEDADGFGVDDYSSESDVIIIPSALDFVSQDEMLTPLGRLDKYAASENIFNRQMVARSLLDTLREVCDDERDCIAVLERISRLADDSEPTVRAELMEQVPHIALFCQENRPSIPYAFSKFLLPIVVRYLADQNNQVRKTSQAALLALLEQELIERFDVETKVCPVLIELTAPDSNDDVKTEAVAIMCKMAPMVGKDITERLILPRFCEMCCDCRMFHVRKVCAANFGDICSVVGQQATEEMLLPRFFQLCSDNVWGVRKACAECFMAVSCATCQEIRRTKLSALFINLISDPSRWVRQAAFQSLGPFISTFANPSSSGQYFKEESKSSEEMSVENKNRTRDQEAPEDVQVRPEDTPSDLSVSNSSVILENTMEDHAAEASGKPLGEISVPLDSSLLCTLSSESHQEAASNENDKKPGNYKSMLRPEVGTTSQDSALLDQELYNSFHFWRTPLPEIDLDIELEQNSGGKPSPEGPEEESEGPVPSSPNITMATRKELEEMIENLEPHIDDPDVKAQVEVLSAALRASSLDAHEETISIEKRSDLQDELDINELPNCKINQEDSVPLISDAVENMDSTLHYIHSDSDLSNNSSFSPDEERRTKVQDVVPQALLDQYLSMTDPSRAQTVDTEIAKHCAYSLPGVALTLGRQNWHCLRETYETLASDMQWKVRRTLAFSIHELAVILGDQLTAADLVPIFNGFLKDLDEVRIGVLKHLHDFLKLLHIDKRREYLYQLQEFLVTDNSRNWRFRAELAEQLILLLELYSPRDVYDYLRPIALNLCADKVSSVRWISYKLVSEMVKKLHAATPPTFGVDLINELVENFGRCPKWSGRQAFVFVCQTVIEDDCLPMDQFAVHLMPHLLTLANDRVPNVRVLLAKTLRQTLLEKDYFLASASCHQEAVEQTIMALQMDRDSDVKYFASIHPASTKISEDAMSTASSTY
+>DECOY_sp|Q8TF05|PP4R1_HUMAN Serine/threonine-protein phosphatase 4 regulatory subunit 1 OS=Homo sapiens OX=9606 GN=PPP4R1 PE=1 SV=1
+YTSSATSMADESIKTSAPHISAFYKVDSDRDMQLAMITQEVAEQHCSASALFYDKELLTQRLTKALLVRVNPVRDNALTLLHPMLHVAFQDMPLCDDEIVTQCVFVFAQRGSWKPCRGFNEVLENILDVGFTPPTAAHLKKVMESVLKYSIWRVSSVKDACLNLAIPRLYDYVDRPSYLELLLILQEALEARFRWNRSNDTVLFEQLQYLYERRKDIHLLKLFDHLHKLVGIRVEDLDKLFGNFIPVLDAATLQDGLIVALEHISFALTRRVKWQMDSALTEYTERLCHWNQRGLTLAVGPLSYACHKAIETDVTQARSPDTMSLYQDLLAQPVVDQVKTRREEDPSFSSNNSLDSDSHIYHLTSDMNEVADSILPVSDEQNIKCNPLENIDLEDQLDSRKEISITEEHADLSSARLAASLVEVQAKVDPDDIHPELNEIMEELEKRTAMTINPSSPVPGESEEEPGEPSPKGGSNQELEIDLDIEPLPTRWFHFSNYLEQDLLASDQSTTGVEPRLMSKYNGPKKDNENSAAEQHSESSLTCLLSSDLPVSIEGLPKGSAEAAHDEMTNELIVSSNSVSLDSPTDEPRVQVDEPAEQDRTRNKNEVSMEESSKSEEKFYQGSSSPNAFTSIFPGLSQFAAQRVWRSPDSILNIFLASLKTRRIEQCTACSVAMFCEACAKRVGWVNDSCLQFFRPLLMEETAQQGVVSCIDGFNAACVKRVHFMRCDCCMECFRPLILRETIDKGVMPAMKCMIAVAETKVDDNSDPATLEILVPCVKTEVDFREILEQELLALLAAQSTKRVQNNQDALYRVVIPLLFKSFAYPISPRNEQCFLAIHPVQEMLEARVTPESDDALRSIRELVAICDREDDCVERLTDLLSRAVMQRNFINESAAYKDLRGLPTLMEDQSVFDLASPIIIVDSESSYDDVGFGDADEQLDEQLLSLDAM
+>sp|P13686|PPA5_HUMAN Tartrate-resistant acid phosphatase type 5 OS=Homo sapiens OX=9606 GN=ACP5 PE=1 SV=3
+MDMWTALLILQALLLPSLADGATPALRFVAVGDWGGVPNAPFHTAREMANAKEIARTVQILGADFILSLGDNFYFTGVQDINDKRFQETFEDVFSDRSLRKVPWYVLAGNHDHLGNVSAQIAYSKISKRWNFPSPFYRLHFKIPQTNVSVAIFMLDTVTLCGNSDDFLSQQPERPRDVKLARTQLSWLKKQLAAAREDYVLVAGHYPVWSIAEHGPTHCLVKQLRPLLATYGVTAYLCGHDHNLQYLQDENGVGYVLSGAGNFMDPSKRHQRKVPNGYLRFHYGTEDSLGGFAYVEISSKEMTVTYIEASGKSLFKTRLPRRARP
+>DECOY_sp|P13686|PPA5_HUMAN Tartrate-resistant acid phosphatase type 5 OS=Homo sapiens OX=9606 GN=ACP5 PE=1 SV=3
+PRARRPLRTKFLSKGSAEIYTVTMEKSSIEVYAFGGLSDETGYHFRLYGNPVKRQHRKSPDMFNGAGSLVYGVGNEDQLYQLNHDHGCLYATVGYTALLPRLQKVLCHTPGHEAISWVPYHGAVLVYDERAAALQKKLWSLQTRALKVDRPREPQQSLFDDSNGCLTVTDLMFIAVSVNTQPIKFHLRYFPSPFNWRKSIKSYAIQASVNGLHDHNGALVYWPVKRLSRDSFVDEFTEQFRKDNIDQVGTFYFNDGLSLIFDAGLIQVTRAIEKANAMERATHFPANPVGGWDGVAVFRLAPTAGDALSPLLLAQLILLATWMDM
+>sp|Q4J6C6|PPCEL_HUMAN Prolyl endopeptidase-like OS=Homo sapiens OX=9606 GN=PREPL PE=1 SV=1
+MQQKTKLFLQALKYSIPHLGKCMQKQHLNHYNFADHCYNRIKLKKYHLTKCLQNKPKISELARNIPSRSFSCKDLQPVKQENEKPLPENMDAFEKVRTKLETQPQEEYEIINVEVKHGGFVYYQEGCCLVRSKDEEADNDNYEVLFNLEELKLDQPFIDCIRVAPDEKYVAAKIRTEDSEASTCVIIKLSDQPVMEASFPNVSSFEWVKDEEDEDVLFYTFQRNLRCHDVYRATFGDNKRNERFYTEKDPSYFVFLYLTKDSRFLTINIMNKTTSEVWLIDGLSPWDPPVLIQKRIHGVLYYVEHRDDELYILTNVGEPTEFKLMRTAADTPAIMNWDLFFTMKRNTKVIDLDMFKDHCVLFLKHSNLLYVNVIGLADDSVRSLKLPPWACGFIMDTNSDPKNCPFQLCSPIRPPKYYTYKFAEGKLFEETGHEDPITKTSRVLRLEAKSKDGKLVPMTVFHKTDSEDLQKKPLLVHVYGAYGMDLKMNFRPERRVLVDDGWILAYCHVRGGGELGLQWHADGRLTKKLNGLADLEACIKTLHGQGFSQPSLTTLTAFSAGGVLAGALCNSNPELVRAVTLEAPFLDVLNTMMDTTLPLTLEELEEWGNPSSDEKHKNYIKRYCPYQNIKPQHYPSIHITAYENDERVPLKGIVSYTEKLKEAIAEHAKDTGEGYQTPNIILDIQPGGNHVIEDSHKKITAQIKFLYEELGLDSTSVFEDLKKYLKF
+>DECOY_sp|Q4J6C6|PPCEL_HUMAN Prolyl endopeptidase-like OS=Homo sapiens OX=9606 GN=PREPL PE=1 SV=1
+FKLYKKLDEFVSTSDLGLEEYLFKIQATIKKHSDEIVHNGGPQIDLIINPTQYGEGTDKAHEAIAEKLKETYSVIGKLPVREDNEYATIHISPYHQPKINQYPCYRKIYNKHKEDSSPNGWEELEELTLPLTTDMMTNLVDLFPAELTVARVLEPNSNCLAGALVGGASFATLTTLSPQSFGQGHLTKICAELDALGNLKKTLRGDAHWQLGLEGGGRVHCYALIWGDDVLVRREPRFNMKLDMGYAGYVHVLLPKKQLDESDTKHFVTMPVLKGDKSKAELRLVRSTKTIPDEHGTEEFLKGEAFKYTYYKPPRIPSCLQFPCNKPDSNTDMIFGCAWPPLKLSRVSDDALGIVNVYLLNSHKLFLVCHDKFMDLDIVKTNRKMTFFLDWNMIAPTDAATRMLKFETPEGVNTLIYLEDDRHEVYYLVGHIRKQILVPPDWPSLGDILWVESTTKNMINITLFRSDKTLYLFVFYSPDKETYFRENRKNDGFTARYVDHCRLNRQFTYFLVDEDEEDKVWEFSSVNPFSAEMVPQDSLKIIVCTSAESDETRIKAAVYKEDPAVRICDIFPQDLKLEELNFLVEYNDNDAEEDKSRVLCCGEQYYVFGGHKVEVNIIEYEEQPQTELKTRVKEFADMNEPLPKENEQKVPQLDKCSFSRSPINRALESIKPKNQLCKTLHYKKLKIRNYCHDAFNYHNLHQKQMCKGLHPISYKLAQLFLKTKQQM
+>sp|Q9HAB8|PPCS_HUMAN Phosphopantothenate--cysteine ligase OS=Homo sapiens OX=9606 GN=PPCS PE=1 SV=2
+MAEMDPVAEFPQPPGAARWAEVMARFAARLGAQGRRVVLVTSGGTKVPLEARPVRFLDNFSSGRRGATSAEAFLAAGYGVLFLYRARSAFPYAHRFPPQTWLSALRPSGPALSGLLSLEAEENALPGFAEALRSYQEAAAAGTFLAVEFTTLADYLHLLQAAAQALNPLGPSAMFYLAAAVSDFYVPVSEMPEHKIQSSGGPLQITMKMVPKLLSPLVKDWAPKAFIISFKLETDPAIVINRARKALEIYQHQVVVANILESRQSFVFIVTKDSETKLLLSEEEIEKGVEIEEKIVDNLQSRHTAFIGDRN
+>DECOY_sp|Q9HAB8|PPCS_HUMAN Phosphopantothenate--cysteine ligase OS=Homo sapiens OX=9606 GN=PPCS PE=1 SV=2
+NRDGIFATHRSQLNDVIKEEIEVGKEIEEESLLLKTESDKTVIFVFSQRSELINAVVVQHQYIELAKRARNIVIAPDTELKFSIIFAKPAWDKVLPSLLKPVMKMTIQLPGGSSQIKHEPMESVPVYFDSVAAALYFMASPGLPNLAQAAAQLLHLYDALTTFEVALFTGAAAAEQYSRLAEAFGPLANEEAELSLLGSLAPGSPRLASLWTQPPFRHAYPFASRARYLFLVGYGAALFAEASTAGRRGSSFNDLFRVPRAELPVKTGGSTVLVVRRGQAGLRAAFRAMVEAWRAAGPPQPFEAVPDMEAM
+>sp|Q9H3Y8|PPDPF_HUMAN Pancreatic progenitor cell differentiation and proliferation factor OS=Homo sapiens OX=9606 GN=PPDPF PE=1 SV=1
+MAAIPSSGSLVATHDYYRRRLGSTSSNSSCSSTECPGEAIPHPPGLPKADPGHWWASFFFGKSTLPFMATVLESAEHSEPPQASSSMTACGLARDAPRKQPGGQSSTASAGPPS
+>DECOY_sp|Q9H3Y8|PPDPF_HUMAN Pancreatic progenitor cell differentiation and proliferation factor OS=Homo sapiens OX=9606 GN=PPDPF PE=1 SV=1
+SPPGASATSSQGGPQKRPADRALGCATMSSSAQPPESHEASELVTAMFPLTSKGFFFSAWWHGPDAKPLGPPHPIAEGPCETSSCSSNSSTSGLRRRYYDHTAVLSGSSPIAAM
+>sp|Q8TCE9|PPL13_HUMAN Placental protein 13-like OS=Homo sapiens OX=9606 GN=LGALS14 PE=1 SV=2
+MSSLPVPYTLPVSLPVGSCVIITGTPILTFVKDPQLEVNFYTGMDEDSDIAFQFRLHFGHPAIMNSCVFGIWRYEEKCYYLPFEDGKPFELCIYVRHKEYKVMVNGQRIYNFAHRFPPASVKMLQVFRDISLTRVLISD
+>DECOY_sp|Q8TCE9|PPL13_HUMAN Placental protein 13-like OS=Homo sapiens OX=9606 GN=LGALS14 PE=1 SV=2
+DSILVRTLSIDRFVQLMKVSAPPFRHAFNYIRQGNVMVKYEKHRVYICLEFPKGDEFPLYYCKEEYRWIGFVCSNMIAPHGFHLRFQFAIDSDEDMGTYFNVELQPDKVFTLIPTGTIIVCSGVPLSVPLTYPVPLSSM
+>sp|P26678|PPLA_HUMAN Cardiac phospholamban OS=Homo sapiens OX=9606 GN=PLN PE=1 SV=1
+MEKVQYLTRSAIRRASTIEMPQQARQKLQNLFINFCLILICLLLICIIVMLL
+>DECOY_sp|P26678|PPLA_HUMAN Cardiac phospholamban OS=Homo sapiens OX=9606 GN=PLN PE=1 SV=1
+LLMVIICILLLCILILCFNIFLNQLKQRAQQPMEITSARRIASRTLYQVKEM
+>sp|Q5JR12|PPM1J_HUMAN Protein phosphatase 1J OS=Homo sapiens OX=9606 GN=PPM1J PE=1 SV=1
+MLNRVRSAVAHLVSSGGAPPPRPKSPDLPNAASAPPAAAPEAPRSPPAKAGSGSATPAKAVEARASFSRPTFLQLSPGGLRRADDHAGRAVQSPPDTGRRLPWSTGYAEVINAGKSRHNEDQACCEVVYVEGRRSVTGVPREPSRGQGLCFYYWGLFDGHAGGGAAEMASRLLHRHIREQLKDLVEILQDPSPPPLCLPTTPGTPDSSDPSHLLGPQSCWSSQKEVSHESLVVGAVENAFQLMDEQMARERRGHQVEGGCCALVVIYLLGKVYVANAGDSRAIIVRNGEIIPMSREFTPETERQRLQLLGFLKPELLGSEFTHLEFPRRVLPKELGQRMLYRDQNMTGWAYKKIELEDLRFPLVCGEGKKARVMATIGVTRGLGDHSLKVCSSTLPIKPFLSCFPEVRVYDLTQYEHCPDDVLVLGTDGLWDVTTDCEVAATVDRVLSAYEPNDHSRYTALAQALVLGARGTPRDRGWRLPNNKLGSGDDISVFVIPLGGPGSYS
+>DECOY_sp|Q5JR12|PPM1J_HUMAN Protein phosphatase 1J OS=Homo sapiens OX=9606 GN=PPM1J PE=1 SV=1
+SYSGPGGLPIVFVSIDDGSGLKNNPLRWGRDRPTGRAGLVLAQALATYRSHDNPEYASLVRDVTAAVECDTTVDWLGDTGLVLVDDPCHEYQTLDYVRVEPFCSLFPKIPLTSSCVKLSHDGLGRTVGITAMVRAKKGEGCVLPFRLDELEIKKYAWGTMNQDRYLMRQGLEKPLVRRPFELHTFESGLLEPKLFGLLQLRQRETEPTFERSMPIIEGNRVIIARSDGANAVYVKGLLYIVVLACCGGEVQHGRRERAMQEDMLQFANEVAGVVLSEHSVEKQSSWCSQPGLLHSPDSSDPTGPTTPLCLPPPSPDQLIEVLDKLQERIHRHLLRSAMEAAGGGAHGDFLGWYYFCLGQGRSPERPVGTVSRRGEVYVVECCAQDENHRSKGANIVEAYGTSWPLRRGTDPPSQVARGAHDDARRLGGPSLQLFTPRSFSARAEVAKAPTASGSGAKAPPSRPAEPAAAPPASAANPLDPSKPRPPPAGGSSVLHAVASRVRNLM
+>sp|O95428|PPN_HUMAN Papilin OS=Homo sapiens OX=9606 GN=PAPLN PE=2 SV=4
+MRLLLLVPLLLAPAPGSSAPKVRRQSDTWGPWSQWSPCSRTCGGGVSFRERPCYSQRRDGGSSCVGPARSHRSCRTESCPDGARDFRAEQCAEFDGAEFQGRRYRWLPYYSAPNKCELNCIPKGENFYYKHREAVVDGTPCEPGKRDVCVDGSCRVVGCDHELDSSKQEDKCLRCGGDGTTCYPVAGTFDANDLSRGYNQILIVPMGATSILIDEAAASRNFLAVKNVRGEYYLNGHWTIEAARALPAASTILHYERGAEGDLAPERLHARGPTSEPLVIELISQEPNPGVHYEYHLPLRRPSPGFSWSHGSWSDCSAECGGGHQSRLVFCTIDHEAYPDHMCQRQPRPADRRSCNLHPCPETKRWKAGPWAPCSASCGGGSQSRSVYCISSDGAGIQEAVEEAECAGLPGKPPAIQACNLQRCAAWSPEPWGECSVSCGVGVRKRSVTCRGERGSLLHTAACSLEDRPPLTEPCVHEDCPLLSDQAWHVGTWGLCSKSCSSGTRRRQVICAIGPPSHCGSLQHSKPVDVEPCNTQPCHLPQEVPSMQDVHTPASNPWMPLGPQESPASDSRGQWWAAQEHPSARGDHRGERGDPRGDQGTHLSALGPAPSLQQPPYQQPLRSGSGPHDCRHSPHGCCPDGHTASLGPQWQGCPGAPCQQSRYGCCPDRVSVAEGPHHAGCTKSYGGDSTGGMPRSRAVASTVHNTHQPQAQQNEPSECRGSQFGCCYDNVATAAGPLGEGCVGQPSHAYPVRCLLPSAHGSCADWAARWYFVASVGQCNRFWYGGCHGNANNFASEQECMSSCQGSLHGPRRPQPGASGRSTHTDGGGSSPAGEQEPSQHRTGAAVQRKPWPSGGLWRQDQQPGPGEAPHTQAFGEWPWGQELGSRAPGLGGDAGSPAPPFHSSSYRISLAGVEPSLVQAALGQLVRLSCSDDTAPESQAAWQKDGQPISSDRHRLQFDGSLIIHPLQAEDAGTYSCGSTRPGRDSQKIQLRIIGGDMAVLSEAELSRFPQPRDPAQDFGQAGAAGPLGAIPSSHPQPANRLRLDQNQPRVVDASPGQRIRMTCRAEGFPPPAIEWQRDGQPVSSPRHQLQPDGSLVISRVAVEDGGFYTCVAFNGQDRDQRWVQLRVLGELTISGLPPTVTVPEGDTARLLCVVAGESVNIRWSRNGLPVQADGHRVHQSPDGTLLIYNLRARDEGSYTCSAYQGSQAVSRSTEVKVVSPAPTAQPRDPGRDCVDQPELANCDLILQAQLCGNEYYSSFCCASCSRFQPHAQPIWQ
+>DECOY_sp|O95428|PPN_HUMAN Papilin OS=Homo sapiens OX=9606 GN=PAPLN PE=2 SV=4
+QWIPQAHPQFRSCSACCFSSYYENGCLQAQLILDCNALEPQDVCDRGPDRPQATPAPSVVKVETSRSVAQSGQYASCTYSGEDRARLNYILLTGDPSQHVRHGDAQVPLGNRSWRINVSEGAVVCLLRATDGEPVTVTPPLGSITLEGLVRLQVWRQDRDQGNFAVCTYFGGDEVAVRSIVLSGDPQLQHRPSSVPQGDRQWEIAPPPFGEARCTMRIRQGPSADVVRPQNQDLRLRNAPQPHSSPIAGLPGAAGAQGFDQAPDRPQPFRSLEAESLVAMDGGIIRLQIKQSDRGPRTSGCSYTGADEAQLPHIILSGDFQLRHRDSSIPQGDKQWAAQSEPATDDSCSLRVLQGLAAQVLSPEVGALSIRYSSSHFPPAPSGADGGLGPARSGLEQGWPWEGFAQTHPAEGPGPQQDQRWLGGSPWPKRQVAAGTRHQSPEQEGAPSSGGGDTHTSRGSAGPQPRRPGHLSGQCSSMCEQESAFNNANGHCGGYWFRNCQGVSAVFYWRAAWDACSGHASPLLCRVPYAHSPQGVCGEGLPGAATAVNDYCCGFQSGRCESPENQQAQPQHTNHVTSAVARSRPMGGTSDGGYSKTCGAHHPGEAVSVRDPCCGYRSQQCPAGPCGQWQPGLSATHGDPCCGHPSHRCDHPGSGSRLPQQYPPQQLSPAPGLASLHTGQDGRPDGREGRHDGRASPHEQAAWWQGRSDSAPSEQPGLPMWPNSAPTHVDQMSPVEQPLHCPQTNCPEVDVPKSHQLSGCHSPPGIACIVQRRRTGSSCSKSCLGWTGVHWAQDSLLPCDEHVCPETLPPRDELSCAATHLLSGREGRCTVSRKRVGVGCSVSCEGWPEPSWAACRQLNCAQIAPPKGPLGACEAEEVAEQIGAGDSSICYVSRSQSGGGCSASCPAWPGAKWRKTEPCPHLNCSRRDAPRPQRQCMHDPYAEHDITCFVLRSQHGGGCEASCDSWSGHSWSFGPSPRRLPLHYEYHVGPNPEQSILEIVLPESTPGRAHLREPALDGEAGREYHLITSAAPLARAAEITWHGNLYYEGRVNKVALFNRSAAAEDILISTAGMPVILIQNYGRSLDNADFTGAVPYCTTGDGGCRLCKDEQKSSDLEHDCGVVRCSGDVCVDRKGPECPTGDVVAERHKYYFNEGKPICNLECKNPASYYPLWRYRRGQFEAGDFEACQEARFDRAGDPCSETRCSRHSRAPGVCSSGGDRRQSYCPRERFSVGGGCTRSCPSWQSWPGWTDSQRRVKPASSGPAPALLLPVLLLLRM
+>sp|O00743|PPP6_HUMAN Serine/threonine-protein phosphatase 6 catalytic subunit OS=Homo sapiens OX=9606 GN=PPP6C PE=1 SV=1
+MAPLDLDKYVEIARLCKYLPENDLKRLCDYVCDLLLEESNVQPVSTPVTVCGDIHGQFYDLCELFRTGGQVPDTNYIFMGDFVDRGYYSLETFTYLLALKAKWPDRITLLRGNHESRQITQVYGFYDECQTKYGNANAWRYCTKVFDMLTVAALIDEQILCVHGGLSPDIKTLDQIRTIERNQEIPHKGAFCDLVWSDPEDVDTWAISPRGAGWLFGAKVTNEFVHINNLKLICRAHQLVHEGYKFMFDEKLVTVWSAPNYCYRCGNIASIMVFKDVNTREPKLFRAVPDSERVIPPRTTTPYFL
+>DECOY_sp|O00743|PPP6_HUMAN Serine/threonine-protein phosphatase 6 catalytic subunit OS=Homo sapiens OX=9606 GN=PPP6C PE=1 SV=1
+LFYPTTTRPPIVRESDPVARFLKPERTNVDKFVMISAINGCRYCYNPASWVTVLKEDFMFKYGEHVLQHARCILKLNNIHVFENTVKAGFLWGAGRPSIAWTDVDEPDSWVLDCFAGKHPIEQNREITRIQDLTKIDPSLGGHVCLIQEDILAAVTLMDFVKTCYRWANANGYKTQCEDYFGYVQTIQRSEHNGRLLTIRDPWKAKLALLYTFTELSYYGRDVFDGMFIYNTDPVQGGTRFLECLDYFQGHIDGCVTVPTSVPQVNSEELLLDCVYDCLRKLDNEPLYKCLRAIEVYKDLDLPAM
+>sp|Q13522|PPR1A_HUMAN Protein phosphatase 1 regulatory subunit 1A OS=Homo sapiens OX=9606 GN=PPP1R1A PE=1 SV=2
+MEQDNSPRKIQFTVPLLEPHLDPEAAEQIRRRRPTPATLVLTSDQSSPEIDEDRIPNPHLKSTLAMSPRQRKKMTRITPTMKELQMMVEHHLGQQQQGEEPEGAAESTETQESRPPGIPDTEVESRLGTSGTAKKTAECIPKTHERGSKEPSTKEPSTHIPPLDSKGANSV
+>DECOY_sp|Q13522|PPR1A_HUMAN Protein phosphatase 1 regulatory subunit 1A OS=Homo sapiens OX=9606 GN=PPP1R1A PE=1 SV=2
+VSNAGKSDLPPIHTSPEKTSPEKSGREHTKPICEATKKATGSTGLRSEVETDPIGPPRSEQTETSEAAGEPEEGQQQQGLHHEVMMQLEKMTPTIRTMKKRQRPSMALTSKLHPNPIRDEDIEPSSQDSTLVLTAPTPRRRRIQEAAEPDLHPELLPVTFQIKRPSNDQEM
+>sp|Q6ZMI0|PPR21_HUMAN Protein phosphatase 1 regulatory subunit 21 OS=Homo sapiens OX=9606 GN=PPP1R21 PE=1 SV=1
+MASAELQGKYQKLAQEYSKLRAQNQVLKKGVVDEQANSAALKEQLKMKDQSLRKLQQEMDSLTFRNLQLAKRVELLQDELALSEPRGKKNKKSGESSSQLSQEQKSVFDEDLQKKIEENERLHIQFFEADEQHKHVEAELRSRLATLETEAAQHQAVVDGLTRKYMETIEKLQNDKAKLEVKSQTLEKEAKECRLRTEECQLQLKTLHEDLSGRLEESLSIINEKVPFNDTKYSQYNALNVPLHNRRHQLKMRDIAGQALAFVQDLVTALLNFHTYTEQRIQIFPVDSAIDTISPLNQKFSQYLHENASYVRPLEEGMLHLFESITEDTVTVLETTVKLKTFSEHLTSYICFLRKILPYQLKSLEEECESSLCTSALRARNLELSQDMKKMTAVFEKLQTYIALLALPSTEPDGLLRTNYSSVLTNVGAALHGFHDVMKDISKHYSQKAAIEHELPTATQKLITTNDCILSSVVALTNGAGKIASFFSNNLDYFIASLSYGPKAASGFISPLSAECMLQYKKKAAAYMKSLRKPLLESVPYEEALANRRILLSSTESREGLAQQVQQSLEKISKLEQEKEHWMLEAQLAKIKLEKENQRIADKLKNTGSAQLVGLAQENAAVSNTAGQDEATAKAVLEPIQSTSLIGTLTRTSDSEVPDVESREDLIKNHYMARIVELTSQLQLADSKSVHFYAECRALSKRLALAEKSKEALTEEMKLASQNISRLQDELTTTKRSYEDQLSMMSDHLCSMNETLSKQREEIDTLKMSSKGNSKKNKSR
+>DECOY_sp|Q6ZMI0|PPR21_HUMAN Protein phosphatase 1 regulatory subunit 21 OS=Homo sapiens OX=9606 GN=PPP1R21 PE=1 SV=1
+RSKNKKSNGKSSMKLTDIEERQKSLTENMSCLHDSMMSLQDEYSRKTTTLEDQLRSINQSALKMEETLAEKSKEALALRKSLARCEAYFHVSKSDALQLQSTLEVIRAMYHNKILDERSEVDPVESDSTRTLTGILSTSQIPELVAKATAEDQGATNSVAANEQALGVLQASGTNKLKDAIRQNEKELKIKALQAELMWHEKEQELKSIKELSQQVQQALGERSETSSLLIRRNALAEEYPVSELLPKRLSKMYAAAKKKYQLMCEASLPSIFGSAAKPGYSLSAIFYDLNNSFFSAIKGAGNTLAVVSSLICDNTTILKQTATPLEHEIAAKQSYHKSIDKMVDHFGHLAAGVNTLVSSYNTRLLGDPETSPLALLAIYTQLKEFVATMKKMDQSLELNRARLASTCLSSECEEELSKLQYPLIKRLFCIYSTLHESFTKLKVTTELVTVTDETISEFLHLMGEELPRVYSANEHLYQSFKQNLPSITDIASDVPFIQIRQETYTHFNLLATVLDQVFALAQGAIDRMKLQHRRNHLPVNLANYQSYKTDNFPVKENIISLSEELRGSLDEHLTKLQLQCEETRLRCEKAEKELTQSKVELKAKDNQLKEITEMYKRTLGDVVAQHQAAETELTALRSRLEAEVHKHQEDAEFFQIHLRENEEIKKQLDEDFVSKQEQSLQSSSEGSKKNKKGRPESLALEDQLLEVRKALQLNRFTLSDMEQQLKRLSQDKMKLQEKLAASNAQEDVVGKKLVQNQARLKSYEQALKQYKGQLEASAM
+>sp|Q5T8A7|PPR26_HUMAN Protein phosphatase 1 regulatory subunit 26 OS=Homo sapiens OX=9606 GN=PPP1R26 PE=1 SV=1
+MFLMNASPVVALQSKWEAFGPPGSCRFPRCFSEADEGVESASVSARVQMLISTLQRDGAARGTSDERAAQRGHRAEGCHDARPAAKPTVHKEPPALAVCGLVADFDPMGEEETTDFGPLVLDSDSDDSVDRDIEEAIQEYLKAKSGAAQPGAGGAQPGAAQPSRAAGGGSRCKPEPAHGSAPTALCPPKLVPGSGGGPGSQVGSSKDQGSASPVSVSSDDSFEQSIRAEIEQFLNEKRQHETQKCDGSVEKKPDTNENSAKSLLKSHQEPPTKVVHRQGLLGVQKEFAFRKPPRLAKMNVQPRSLRSKVTTTQENEGSTKPATPCRPSEAAQNKGGIKRSASAARRGKRVMSAAQASEASDSSSDDGIEEAIQLYQLQKTRKEADGDLPQRVQLREERAPDPPAHSTSSATKSALPETHRKTPSKKKLVATKTMDPGPGGLDTDHAPKLLKETKAPPPASPASRSEFVERSSCRADTSAELMCAEAILDISKTILPAPVEGSDGSLSASPLFYSPNVPSRSDGDSSSVDSDDSIEQEIRTFLALKAQSGSLLARGESCPQAAQGPLLPPGLNSQTGGHKTPLSKTPDPLLGCKRKRRGGGHVRPSTPKKMQEVVKDGSQDADHSQGRAEPGHERRDLPIQGKASEALGGEGTARGPGDTRMSQGQGKTDEARRLDEKESSEDKSSSLDSDEDLDTAIKDLLRSKRKLKKRCREPRAACRKKVRFSTAQTHFLEQLGGLRRDWKDRGPPVLKSCLSKSKRDSGEGPGKKPPSVFGSTAERMRQEGAASQDAALAFRVRRPASASASEGNPFPRESQGPAPSPGSLSDDSSSVDSNDSIELEIRKFLAEKAKESVSSSEVQAEGPTALGTGGPARPEVLCRKEPAPPPGVCTRSQRARGVPHLAEGLRGTESAGAQGTAGLFSQGGKGLPAAPARGDPVPPRSTSGGVSAKGLSVSRRNVYVHKDQSPRGAEPAAKSAFGQLPSCATAGTEAGGARGTFHMGCGSPSFLTPSPGAERDAGAQADRTPPWSDFAHQSRLPSPWVLRSEGRDAVWRGGVGSERDKGSEGPARGLPSLPLAGFSPLLSTQLFHFGKGVSWGGRQAGLFSPHLGLPLQGPSFSAFREAQAGPSPVFGSPHLLAKKDGGPWPTRKAQAGLSLHDRRSSGSEESILDLRYRRRVNRDDQEQDALGSDASDFSDTSTEDSGGSSVVKV
+>DECOY_sp|Q5T8A7|PPR26_HUMAN Protein phosphatase 1 regulatory subunit 26 OS=Homo sapiens OX=9606 GN=PPP1R26 PE=1 SV=1
+VKVVSSGGSDETSTDSFDSADSGLADQEQDDRNVRRRYRLDLISEESGSSRRDHLSLGAQAKRTPWPGGDKKALLHPSGFVPSPGAQAERFASFSPGQLPLGLHPSFLGAQRGGWSVGKGFHFLQTSLLPSFGALPLSPLGRAPGESGKDRESGVGGRWVADRGESRLVWPSPLRSQHAFDSWPPTRDAQAGADREAGPSPTLFSPSGCGMHFTGRAGGAETGATACSPLQGFASKAAPEAGRPSQDKHVYVNRRSVSLGKASVGGSTSRPPVPDGRAPAAPLGKGGQSFLGATGQAGASETGRLGEALHPVGRARQSRTCVGPPPAPEKRCLVEPRAPGGTGLATPGEAQVESSSVSEKAKEALFKRIELEISDNSDVSSSDDSLSGPSPAPGQSERPFPNGESASASAPRRVRFALAADQSAAGEQRMREATSGFVSPPKKGPGEGSDRKSKSLCSKLVPPGRDKWDRRLGGLQELFHTQATSFRVKKRCAARPERCRKKLKRKSRLLDKIATDLDEDSDLSSSKDESSEKEDLRRAEDTKGQGQSMRTDGPGRATGEGGLAESAKGQIPLDRREHGPEARGQSHDADQSGDKVVEQMKKPTSPRVHGGGRRKRKCGLLPDPTKSLPTKHGGTQSNLGPPLLPGQAAQPCSEGRALLSGSQAKLALFTRIEQEISDDSDVSSSDGDSRSPVNPSYFLPSASLSGDSGEVPAPLITKSIDLIAEACMLEASTDARCSSREVFESRSAPSAPPPAKTEKLLKPAHDTDLGGPGPDMTKTAVLKKKSPTKRHTEPLASKTASSTSHAPPDPAREERLQVRQPLDGDAEKRTKQLQYLQIAEEIGDDSSSDSAESAQAASMVRKGRRAASASRKIGGKNQAAESPRCPTAPKTSGENEQTTTVKSRLSRPQVNMKALRPPKRFAFEKQVGLLGQRHVVKTPPEQHSKLLSKASNENTDPKKEVSGDCKQTEHQRKENLFQEIEARISQEFSDDSSVSVPSASGQDKSSGVQSGPGGGSGPVLKPPCLATPASGHAPEPKCRSGGGAARSPQAAGPQAGGAGPQAAGSKAKLYEQIAEEIDRDVSDDSDSDLVLPGFDTTEEEGMPDFDAVLGCVALAPPEKHVTPKAAPRADHCGEARHGRQAAREDSTGRAAGDRQLTSILMQVRASVSASEVGEDAESFCRPFRCSGPPGFAEWKSQLAVVPSANMLFM
+>sp|Q9H7J1|PPR3E_HUMAN Protein phosphatase 1 regulatory subunit 3E OS=Homo sapiens OX=9606 GN=PPP1R3E PE=1 SV=2
+MSRERPPGTDIPRNLSFIAALTERAYYRSQRPSLEEEPEEEPGEGGTRFGARSRAHAPSRGRRARSAPAGGGGARAPRSRSPDTRKRVRFADALGLELAVVRRFRPGELPRVPRHVQIQLQRDALRHFAPCQPRARGLQEARAALEPASEPGFAARLLTQRICLERAEAGPLGVAGSARVVDLAYEKRVSVRWSADGWRSQREAPAAYAGPAPPPPRADRFAFRLPAPPIGGALLFALRYRVTGHEFWDNNGGRDYALRGPEHPGSGGAPEPQGWIHFI
+>DECOY_sp|Q9H7J1|PPR3E_HUMAN Protein phosphatase 1 regulatory subunit 3E OS=Homo sapiens OX=9606 GN=PPP1R3E PE=1 SV=2
+IFHIWGQPEPAGGSGPHEPGRLAYDRGGNNDWFEHGTVRYRLAFLLAGGIPPAPLRFAFRDARPPPPAPGAYAAPAERQSRWGDASWRVSVRKEYALDVVRASGAVGLPGAEARELCIRQTLLRAAFGPESAPELAARAEQLGRARPQCPAFHRLADRQLQIQVHRPVRPLEGPRFRRVVALELGLADAFRVRKRTDPSRSRPARAGGGGAPASRARRGRSPAHARSRAGFRTGGEGPEEEPEEELSPRQSRYYARETLAAIFSLNRPIDTGPPRERSM
+>sp|Q7Z4L9|PPR42_HUMAN Protein phosphatase 1 regulatory subunit 42 OS=Homo sapiens OX=9606 GN=PPP1R42 PE=2 SV=3
+MVRLTLDLIARNSNLKPRKEETISQCLKKITHINFSDKNIDAIEDLSLCKNLSVLYLYDNCISQITNLNYATNLTHLYLQNNCISCIENLRSLKKLEKLYLGGNYIAVIEGLEGLGELRELHVENQRLPLGEKLLFDPRTLHSLAKSLCILNISNNNIDDITDLELLENLNQLIAVDNQLLHVKDLEFLLNKLMKLWKIDLNGNPVCLKPKYRDRLILVSKSLEFLDGKEIKNIERQFLMNWKASKDAKKISKKRSSKNEDASNSLISNFKTMHHIVPVYYPQVGKPKLAFFSEIQRYPVNANASPESS
+>DECOY_sp|Q7Z4L9|PPR42_HUMAN Protein phosphatase 1 regulatory subunit 42 OS=Homo sapiens OX=9606 GN=PPP1R42 PE=2 SV=3
+SSEPSANANVPYRQIESFFALKPKGVQPYYVPVIHHMTKFNSILSNSADENKSSRKKSIKKADKSAKWNMLFQREINKIEKGDLFELSKSVLILRDRYKPKLCVPNGNLDIKWLKMLKNLLFELDKVHLLQNDVAILQNLNELLELDTIDDINNNSINLICLSKALSHLTRPDFLLKEGLPLRQNEVHLERLEGLGELGEIVAIYNGGLYLKELKKLSRLNEICSICNNQLYLHTLNTAYNLNTIQSICNDYLYLVSLNKCLSLDEIADINKDSFNIHTIKKLCQSITEEKRPKLNSNRAILDLTLRVM
+>sp|Q5VV67|PPRC1_HUMAN Peroxisome proliferator-activated receptor gamma coactivator-related protein 1 OS=Homo sapiens OX=9606 GN=PPRC1 PE=1 SV=1
+MAARRGRRDGVAPPPSGGPGPDPGGGARGSGWGSRSQAPYGTLGAVSGGEQVLLHEEAGDSGFVSLSRLGPSLRDKDLEMEELMLQDETLLGTMQSYMDASLISLIEDFGSLGESRLSLEDQNEVSLLTALTEILDNADSENLSPFDSIPDSELLVSPREGSSLHKLLTLSRTPPERDLITPVDPLGPSTGSSRGSGVEMSLPDPSWDFSPPSFLETSSPKLPSWRPPRSRPRWGQSPPPQQRSDGEEEEEVASFSGQILAGELDNCVSSIPDFPMHLACPEEEDKATAAEMAVPAAGDESISSLSELVRAMHPYCLPNLTHLASLEDELQEQPDDLTLPEGCVVLEIVGQAATAGDDLEIPVVVRQVSPGPRPVLLDDSLETSSALQLLMPTLESETEAAVPKVTLCSEKEGLSLNSEEKLDSACLLKPREVVEPVVPKEPQNPPANAAPGSQRARKGRKKKSKEQPAACVEGYARRLRSSSRGQSTVGTEVTSQVDNLQKQPQEELQKESGPLQGKGKPRAWARAWAAALENSSPKNLERSAGQSSPAKEGPLDLYPKLADTIQTNPIPTHLSLVDSAQASPMPVDSVEADPTAVGPVLAGPVPVDPGLVDLASTSSELVEPLPAEPVLINPVLADSAAVDPAVVPISDNLPPVDAVPSGPAPVDLALVDPVPNDLTPVDPVLVKSRPTDPRRGAVSSALGGSAPQLLVESESLDPPKTIIPEVKEVVDSLKIESGTSATTHEARPRPLSLSEYRRRRQQRQAETEERSPQPPTGKWPSLPETPTGLADIPCLVIPPAPAKKTALQRSPETPLEICLVPVGPSPASPSPEPPVSKPVASSPTEQVPSQEMPLLARPSPPVQSVSPAVPTPPSMSAALPFPAGGLGMPPSLPPPPLQPPSLPLSMGPVLPDPFTHYAPLPSWPCYPHVSPSGYPCLPPPPTVPLVSGTPGAYAVPPTCSVPWAPPPAPVSPYSSTCTYGPLGWGPGPQHAPFWSTVPPPPLPPASIGRAVPQPKMESRGTPAGPPENVLPLSMAPPLSLGLPGHGAPQTEPTKVEVKPVPASPHPKHKVSALVQSPQMKALACVSAEGVTVEEPASERLKPETQETRPREKPPLPATKAVPTPRQSTVPKLPAVHPARLRKLSFLPTPRTQGSEDVVQAFISEIGIEASDLSSLLEQFEKSEAKKECPPPAPADSLAVGNSGGVDIPQEKRPLDRLQAPELANVAGLTPPATPPHQLWKPLAAVSLLAKAKSPKSTAQEGTLKPEGVTEAKHPAAVRLQEGVHGPSRVHVGSGDHDYCVRSRTPPKKMPALVIPEVGSRWNVKRHQDITIKPVLSLGPAAPPPPCIAASREPLDHRTSSEQADPSAPCLAPSSLLSPEASPCRNDMNTRTPPEPSAKQRSMRCYRKACRSASPSSQGWQGRRGRNSRSVSSGSNRTSEASSSSSSSSSSSRSRSRSLSPPHKRWRRSSCSSSGRSRRCSSSSSSSSSSSSSSSSSSSSRSRSRSPSPRRRSDRRRRYSSYRSHDHYQRQRVLQKERAIEERRVVFIGKIPGRMTRSELKQRFSVFGEIEECTIHFRVQGDNYGFVTYRYAEEAFAAIESGHKLRQADEQPFDLCFGGRRQFCKRSYSDLDSNREDFDPAPVKSKFDSLDFDTLLKQAQKNLRR
+>DECOY_sp|Q5VV67|PPRC1_HUMAN Peroxisome proliferator-activated receptor gamma coactivator-related protein 1 OS=Homo sapiens OX=9606 GN=PPRC1 PE=1 SV=1
+RRLNKQAQKLLTDFDLSDFKSKVPAPDFDERNSDLDSYSRKCFQRRGGFCLDFPQEDAQRLKHGSEIAAFAEEAYRYTVFGYNDGQVRFHITCEEIEGFVSFRQKLESRTMRGPIKGIFVVRREEIAREKQLVRQRQYHDHSRYSSYRRRRDSRRRPSPSRSRSRSSSSSSSSSSSSSSSSSSSSCRRSRGSSSCSSRRWRKHPPSLSRSRSRSSSSSSSSSSSAESTRNSGSSVSRSNRGRRGQWGQSSPSASRCAKRYCRMSRQKASPEPPTRTNMDNRCPSAEPSLLSSPALCPASPDAQESSTRHDLPERSAAICPPPPAAPGLSLVPKITIDQHRKVNWRSGVEPIVLAPMKKPPTRSRVCYDHDGSGVHVRSPGHVGEQLRVAAPHKAETVGEPKLTGEQATSKPSKAKALLSVAALPKWLQHPPTAPPTLGAVNALEPAQLRDLPRKEQPIDVGGSNGVALSDAPAPPPCEKKAESKEFQELLSSLDSAEIGIESIFAQVVDESGQTRPTPLFSLKRLRAPHVAPLKPVTSQRPTPVAKTAPLPPKERPRTEQTEPKLRESAPEEVTVGEASVCALAKMQPSQVLASVKHKPHPSAPVPKVEVKTPETQPAGHGPLGLSLPPAMSLPLVNEPPGAPTGRSEMKPQPVARGISAPPLPPPPVTSWFPAHQPGPGWGLPGYTCTSSYPSVPAPPPAWPVSCTPPVAYAGPTGSVLPVTPPPPLCPYGSPSVHPYCPWSPLPAYHTFPDPLVPGMSLPLSPPQLPPPPLSPPMGLGGAPFPLAASMSPPTPVAPSVSQVPPSPRALLPMEQSPVQETPSSAVPKSVPPEPSPSAPSPGVPVLCIELPTEPSRQLATKKAPAPPIVLCPIDALGTPTEPLSPWKGTPPQPSREETEAQRQQRRRRYESLSLPRPRAEHTTASTGSEIKLSDVVEKVEPIITKPPDLSESEVLLQPASGGLASSVAGRRPDTPRSKVLVPDVPTLDNPVPDVLALDVPAPGSPVADVPPLNDSIPVVAPDVAASDALVPNILVPEAPLPEVLESSTSALDVLGPDVPVPGALVPGVATPDAEVSDVPMPSAQASDVLSLHTPIPNTQITDALKPYLDLPGEKAPSSQGASRELNKPSSNELAAAWARAWARPKGKGQLPGSEKQLEEQPQKQLNDVQSTVETGVTSQGRSSSRLRRAYGEVCAAPQEKSKKKRGKRARQSGPAANAPPNQPEKPVVPEVVERPKLLCASDLKEESNLSLGEKESCLTVKPVAAETESELTPMLLQLASSTELSDDLLVPRPGPSVQRVVVPIELDDGATAAQGVIELVVCGEPLTLDDPQEQLEDELSALHTLNPLCYPHMARVLESLSSISEDGAAPVAMEAATAKDEEEPCALHMPFDPISSVCNDLEGALIQGSFSAVEEEEEGDSRQQPPPSQGWRPRSRPPRWSPLKPSSTELFSPPSFDWSPDPLSMEVGSGRSSGTSPGLPDVPTILDREPPTRSLTLLKHLSSGERPSVLLESDPISDFPSLNESDANDLIETLATLLSVENQDELSLRSEGLSGFDEILSILSADMYSQMTGLLTEDQLMLEEMELDKDRLSPGLRSLSVFGSDGAEEHLLVQEGGSVAGLTGYPAQSRSGWGSGRAGGGPDPGPGGSPPPAVGDRRGRRAAM
+>sp|Q86YN6|PRGC2_HUMAN Peroxisome proliferator-activated receptor gamma coactivator 1-beta OS=Homo sapiens OX=9606 GN=PPARGC1B PE=1 SV=2
+MAGNDCGALLDEELSSFFLNYLADTQGGGSGEEQLYADFPELDLSQLDASDFDSATCFGELQWCPENSETEPNQYSPDDSELFQIDSENEALLAELTKTLDDIPEDDVGLAAFPALDGGDALSCTSASPAPSSAPPSPAPEKPSAPAPEVDELSLLQKLLLATSYPTSSSDTQKEGTAWRQAGLRSKSQRPCVKADSTQDKKAPMMQSQSRSCTELHKHLTSAQCCLQDRGLQPPCLQSPRLPAKEDKEPGEDCPSPQPAPASPRDSLALGRADPGAPVSQEDMQAMVQLIRYMHTYCLPQRKLPPQTPEPLPKACSNPSQQVRSRPWSRHHSKASWAEFSILRELLAQDVLCDVSKPYRLATPVYASLTPRSRPRPPKDSQASPGRPSSVEEVRIAASPKSTGPRPSLRPLRLEVKREVRRPARLQQQEEEDEEEEEEEEEEEKEEEEEWGRKRPGRGLPWTKLGRKLESSVCPVRRSRRLNPELGPWLTFADEPLVPSEPQGALPSLCLAPKAYDVERELGSPTDEDSGQDQQLLRGPQIPALESPCESGCGDMDEDPSCPQLPPRDSPRCLMLALSQSDPTFGKKSFEQTLTVELCGTAGLTPPTTPPYKPTEEDPFKPDIKHSLGKEIALSLPSPEGLSLKATPGAAHKLPKKHPERSELLSHLRHATAQPASQAGQKRPFSCSFGDHDYCQVLRPEGVLQRKVLRSWEPSGVHLEDWPQQGAPWAEAQAPGREEDRSCDAGAPPKDSTLLRDHEIRASLTKHFGLLETALEEEDLASCKSPEYDTVFEDSSSSSGESSFLPEEEEEEGEEEEEDDEEEDSGVSPTCSDHCPYQSPPSKANRQLCSRSRSSSGSSPCHSWSPATRRNFRCESRGPCSDRTPSIRHARKRREKAIGEGRVVYIQNLSSDMSSRELKRRFEVFGEIEECEVLTRNRRGEKYGFITYRCSEHAALSLTKGAALRKRNEPSFQLSYGGLRHFCWPRYTDYDSNSEEALPASGKSKYEAMDFDSLLKEAQQSLH
+>DECOY_sp|Q86YN6|PRGC2_HUMAN Peroxisome proliferator-activated receptor gamma coactivator 1-beta OS=Homo sapiens OX=9606 GN=PPARGC1B PE=1 SV=2
+HLSQQAEKLLSDFDMAEYKSKGSAPLAEESNSDYDTYRPWCFHRLGGYSLQFSPENRKRLAAGKTLSLAAHESCRYTIFGYKEGRRNRTLVECEEIEGFVEFRRKLERSSMDSSLNQIYVVRGEGIAKERRKRAHRISPTRDSCPGRSECRFNRRTAPSWSHCPSSGSSSRSRSCLQRNAKSPPSQYPCHDSCTPSVGSDEEEDDEEEEEGEEEEEEPLFSSEGSSSSSDEFVTDYEPSKCSALDEEELATELLGFHKTLSARIEHDRLLTSDKPPAGADCSRDEERGPAQAEAWPAGQQPWDELHVGSPEWSRLVKRQLVGEPRLVQCYDHDGFSCSFPRKQGAQSAPQATAHRLHSLLESREPHKKPLKHAAGPTAKLSLGEPSPLSLAIEKGLSHKIDPKFPDEETPKYPPTTPPTLGATGCLEVTLTQEFSKKGFTPDSQSLALMLCRPSDRPPLQPCSPDEDMDGCGSECPSELAPIQPGRLLQQDQGSDEDTPSGLEREVDYAKPALCLSPLAGQPESPVLPEDAFTLWPGLEPNLRRSRRVPCVSSELKRGLKTWPLGRGPRKRGWEEEEEKEEEEEEEEEEEDEEEQQQLRAPRRVERKVELRLPRLSPRPGTSKPSAAIRVEEVSSPRGPSAQSDKPPRPRSRPTLSAYVPTALRYPKSVDCLVDQALLERLISFEAWSAKSHHRSWPRSRVQQSPNSCAKPLPEPTQPPLKRQPLCYTHMYRILQVMAQMDEQSVPAGPDARGLALSDRPSAPAPQPSPCDEGPEKDEKAPLRPSQLCPPQLGRDQLCCQASTLHKHLETCSRSQSQMMPAKKDQTSDAKVCPRQSKSRLGAQRWATGEKQTDSSSTPYSTALLLKQLLSLEDVEPAPASPKEPAPSPPASSPAPSASTCSLADGGDLAPFAALGVDDEPIDDLTKTLEALLAENESDIQFLESDDPSYQNPETESNEPCWQLEGFCTASDFDSADLQSLDLEPFDAYLQEEGSGGGQTDALYNLFFSSLEEDLLAGCDNGAM
+>sp|Q96MT3|PRIC1_HUMAN Prickle-like protein 1 OS=Homo sapiens OX=9606 GN=PRICKLE1 PE=1 SV=2
+MPLEMEPKMSKLAFGCQRSSTSDDDSGCALEEYAWVPPGLRPEQIQLYFACLPEEKVPYVNSPGEKHRIKQLLYQLPPHDNEVRYCQSLSEEEKKELQVFSAQRKKEALGRGTIKLLSRAVMHAVCEQCGLKINGGEVAVFASRAGPGVCWHPSCFVCFTCNELLVDLIYFYQDGKIHCGRHHAELLKPRCSACDEIIFADECTEAEGRHWHMKHFCCLECETVLGGQRYIMKDGRPFCCGCFESLYAEYCETCGEHIGVDHAQMTYDGQHWHATEACFSCAQCKASLLGCPFLPKQGQIYCSKTCSLGEDVHASDSSDSAFQSARSRDSRRSVRMGKSSRSADQCRQSLLLSPALNYKFPGLSGNADDTLSRKLDDLSLSRQGTSFASEEFWKGRVEQETPEDPEEWADHEDYMTQLLLKFGDKSLFQPQPNEMDIRASEHWISDNMVKSKTELKQNNQSLASKKYQSDMYWAQSQDGLGDSAYGSHPGPASSRRLQELELDHGASGYNHDETQWYEDSLECLSDLKPEQSVRDSMDSLALSNITGASVDGENKPRPSLYSLQNFEEMETEDCEKMSNMGTLNSSMLHRSAESLKSLSSELCPEKILPEEKPVHLPVLRRSKSQSRPQQVKFSDDVIDNGNYDIEIRQPPMSERTRRRVYNFEERGSRSHHHRRRRSRKSRSDNALNLVTERKYSPKDRLRLYTPDNYEKFIQNKSAREIQAYIQNADLYGQYAHATSDYGLQNPGMNRFLGLYGEDDDSWCSSSSSSSDSEEEGYFLGQPIPQPRPQRFAYYTDDLSSPPSALPTPQFGQRTTKSKKKKGHKGKNCIIS
+>DECOY_sp|Q96MT3|PRIC1_HUMAN Prickle-like protein 1 OS=Homo sapiens OX=9606 GN=PRICKLE1 PE=1 SV=2
+SIICNKGKHGKKKKSKTTRQGFQPTPLASPPSSLDDTYYAFRQPRPQPIPQGLFYGEEESDSSSSSSSCWSDDDEGYLGLFRNMGPNQLGYDSTAHAYQGYLDANQIYAQIERASKNQIFKEYNDPTYLRLRDKPSYKRETVLNLANDSRSKRSRRRRHHHSRSGREEFNYVRRRTRESMPPQRIEIDYNGNDIVDDSFKVQQPRSQSKSRRLVPLHVPKEEPLIKEPCLESSLSKLSEASRHLMSSNLTGMNSMKECDETEMEEFNQLSYLSPRPKNEGDVSAGTINSLALSDMSDRVSQEPKLDSLCELSDEYWQTEDHNYGSAGHDLELEQLRRSSAPGPHSGYASDGLGDQSQAWYMDSQYKKSALSQNNQKLETKSKVMNDSIWHESARIDMENPQPQFLSKDGFKLLLQTMYDEHDAWEEPDEPTEQEVRGKWFEESAFSTGQRSLSLDDLKRSLTDDANGSLGPFKYNLAPSLLLSQRCQDASRSSKGMRVSRRSDRSRASQFASDSSDSAHVDEGLSCTKSCYIQGQKPLFPCGLLSAKCQACSFCAETAHWHQGDYTMQAHDVGIHEGCTECYEAYLSEFCGCCFPRGDKMIYRQGGLVTECELCCFHKMHWHRGEAETCEDAFIIEDCASCRPKLLEAHHRGCHIKGDQYFYILDVLLENCTFCVFCSPHWCVGPGARSAFVAVEGGNIKLGCQECVAHMVARSLLKITGRGLAEKKRQASFVQLEKKEEESLSQCYRVENDHPPLQYLLQKIRHKEGPSNVYPVKEEPLCAFYLQIQEPRLGPPVWAYEELACGSDDDSTSSRQCGFALKSMKPEMELPM
+>sp|P04554|PRM2_HUMAN Protamine-2 OS=Homo sapiens OX=9606 GN=PRM2 PE=1 SV=3
+MVRYRVRSLSERSHEVYRQQLHGQEQGHHGQEEQGLSPEHVEVYERTHGQSHYRRRHCSRRRLHRIHRRQHRSCRRRKRRSCRHRRRHRRGCRTRKRTCRRH
+>DECOY_sp|P04554|PRM2_HUMAN Protamine-2 OS=Homo sapiens OX=9606 GN=PRM2 PE=1 SV=3
+HRRCTRKRTRCGRRHRRRHRCSRRKRRRCSRHQRRHIRHLRRRSCHRRRYHSQGHTREYVEVHEPSLGQEEQGHHGQEQGHLQQRYVEHSRESLSRVRYRVM
+>sp|P60673|PROF3_HUMAN Profilin-3 OS=Homo sapiens OX=9606 GN=PFN3 PE=2 SV=1
+MGDWKVYISAVLRDQRIDDVAIVGHADNSCVWASRPGGLLAAISPQEVGVLTGPDRHTFLQAGLSVGGRRCCVIRDHLLAEGDGVLDARTKGLDARAVCVGRAPRALLVLMGRRGVHGGILNKTVHELIRGLRMQGA
+>DECOY_sp|P60673|PROF3_HUMAN Profilin-3 OS=Homo sapiens OX=9606 GN=PFN3 PE=2 SV=1
+AGQMRLGRILEHVTKNLIGGHVGRRGMLVLLARPARGVCVARADLGKTRADLVGDGEALLHDRIVCCRRGGVSLGAQLFTHRDPGTLVGVEQPSIAALLGGPRSAWVCSNDAHGVIAVDDIRQDRLVASIYVKWDGM
+>sp|O75360|PROP1_HUMAN Homeobox protein prophet of Pit-1 OS=Homo sapiens OX=9606 GN=PROP1 PE=1 SV=2
+MEAERRRQAEKPKKGRVGSNLLPERHPATGTPTTTVDSSAPPCRRLPGAGGGRSRFSPQGGQRGRPHSRRRHRTTFSPVQLEQLESAFGRNQYPDIWARESLARDTGLSEARIQVWFQNRRAKQRKQERSLLQPLAHLSPAAFSSFLPESTACPYSYAAPPPPVTCFPHPYSHALPSQPSTGGAFALSHQSEDWYPTLHPAPAGHLPCPPPPPMLPLSLEPSKSWN
+>DECOY_sp|O75360|PROP1_HUMAN Homeobox protein prophet of Pit-1 OS=Homo sapiens OX=9606 GN=PROP1 PE=1 SV=2
+NWSKSPELSLPLMPPPPPCPLHGAPAPHLTPYWDESQHSLAFAGGTSPQSPLAHSYPHPFCTVPPPPAAYSYPCATSEPLFSSFAAPSLHALPQLLSREQKRQKARRNQFWVQIRAESLGTDRALSERAWIDPYQNRGFASELQELQVPSFTTRHRRRSHPRGRQGGQPSFRSRGGGAGPLRRCPPASSDVTTTPTGTAPHREPLLNSGVRGKKPKEAQRRREAEM
+>sp|Q92620|PRP16_HUMAN Pre-mRNA-splicing factor ATP-dependent RNA helicase PRP16 OS=Homo sapiens OX=9606 GN=DHX38 PE=1 SV=2
+MGDTSEDASIHRLEGTDLDCQVGGLICKSKSAASEQHVFKAPAPRPSLLGLDLLASLKRREREEKDDGEDKKKSKVSSYKDWEESKDDQKDAEEEGGDQAGQNIRKDRHYRSARVETPSHPGGVSEEFWERSRQRERERREHGVYASSKEEKDWKKEKSRDRDYDRKRDRDERDRSRHSSRSERDGGSERSSRRNEPESPRHRPKDAATPSRSTWEEEDSGYGSSRRSQWESPSPTPSYRDSERSHRLSTRDRDRSVRGKYSDDTPLPTPSYKYNEWADDRRHLGSTPRLSRGRGRREEGEEGISFDTEEERQQWEDDQRQADRDWYMMDEGYDEFHNPLAYSSEDYVRRREQHLHKQKQKRISAQRRQINEDNERWETNRMLTSGVVHRLEVDEDFEEDNAAKVHLMVHNLVPPFLDGRIVFTKQPEPVIPVKDATSDLAIIARKGSQTVRKHREQKERKKAQHKHWELAGTKLGDIMGVKKEEEPDKAVTEDGKVDYRTEQKFADHMKRKSEASSEFAKKKSILEQRQYLPIFAVQQELLTIIRDNSIVIVVGETGSGKTTQLTQYLHEDGYTDYGMIGCTQPRRVAAMSVAKRVSEEMGGNLGEEVGYAIRFEDCTSENTLIKYMTDGILLRESLREADLDHYSAIIMDEAHERSLNTDVLFGLLREVVARRSDLKLIVTSATMDAEKFAAFFGNVPIFHIPGRTFPVDILFSKTPQEDYVEAAVKQSLQVHLSGAPGDILIFMPGQEDIEVTSDQIVEHLEELENAPALAVLPIYSQLPSDLQAKIFQKAPDGVRKCIVATNIAETSLTVDGIMFVIDSGYCKLKVFNPRIGMDALQIYPISQANANQRSGRAGRTGPGQCFRLYTQSAYKNELLTTTVPEIQRTNLANVVLLLKSLGVQDLLQFHFMDPPPEDNMLNSMYQLWILGALDNTGGLTSTGRLMVEFPLDPALSKMLIVSCDMGCSSEILLIVSMLSVPAIFYRPKGREEESDQIREKFAVPESDHLTYLNVYLQWKNNNYSTIWCNDHFIHAKAMRKVREVRAQLKDIMVQQRMSLASCGTDWDIVRKCICAAYFHQAAKLKGIGEYVNIRTGMPCHLHPTSSLFGMGYTPDYIVYHELVMTTKEYMQCVTAVDGEWLAELGPMFYSVKQAGKSRQENRRRAKEEASAMEEEMALAEEQLRARRQEQEKRSPLGSVRSTKIYTPGRKEQGEPMTPRRTPARFGL
+>DECOY_sp|Q92620|PRP16_HUMAN Pre-mRNA-splicing factor ATP-dependent RNA helicase PRP16 OS=Homo sapiens OX=9606 GN=DHX38 PE=1 SV=2
+LGFRAPTRRPTMPEGQEKRGPTYIKTSRVSGLPSRKEQEQRRARLQEEALAMEEEMASAEEKARRRNEQRSKGAQKVSYFMPGLEALWEGDVATVCQMYEKTTMVLEHYVIYDPTYGMGFLSSTPHLHCPMGTRINVYEGIGKLKAAQHFYAACICKRVIDWDTGCSALSMRQQVMIDKLQARVERVKRMAKAHIFHDNCWITSYNNNKWQLYVNLYTLHDSEPVAFKERIQDSEEERGKPRYFIAPVSLMSVILLIESSCGMDCSVILMKSLAPDLPFEVMLRGTSTLGGTNDLAGLIWLQYMSNLMNDEPPPDMFHFQLLDQVGLSKLLLVVNALNTRQIEPVTTTLLENKYASQTYLRFCQGPGTRGARGSRQNANAQSIPYIQLADMGIRPNFVKLKCYGSDIVFMIGDVTLSTEAINTAVICKRVGDPAKQFIKAQLDSPLQSYIPLVALAPANELEELHEVIQDSTVEIDEQGPMFILIDGPAGSLHVQLSQKVAAEVYDEQPTKSFLIDVPFTRGPIHFIPVNGFFAAFKEADMTASTVILKLDSRRAVVERLLGFLVDTNLSREHAEDMIIASYHDLDAERLSERLLIGDTMYKILTNESTCDEFRIAYGVEEGLNGGMEESVRKAVSMAAVRRPQTCGIMGYDTYGDEHLYQTLQTTKGSGTEGVVIVISNDRIITLLEQQVAFIPLYQRQELISKKKAFESSAESKRKMHDAFKQETRYDVKGDETVAKDPEEEKKVGMIDGLKTGALEWHKHQAKKREKQERHKRVTQSGKRAIIALDSTADKVPIVPEPQKTFVIRGDLFPPVLNHVMLHVKAANDEEFDEDVELRHVVGSTLMRNTEWRENDENIQRRQASIRKQKQKHLHQERRRVYDESSYALPNHFEDYGEDMMYWDRDAQRQDDEWQQREEETDFSIGEEGEERRGRGRSLRPTSGLHRRDDAWENYKYSPTPLPTDDSYKGRVSRDRDRTSLRHSRESDRYSPTPSPSEWQSRRSSGYGSDEEEWTSRSPTAADKPRHRPSEPENRRSSRESGGDRESRSSHRSRDREDRDRKRDYDRDRSKEKKWDKEEKSSAYVGHERRERERQRSREWFEESVGGPHSPTEVRASRYHRDKRINQGAQDGGEEEADKQDDKSEEWDKYSSVKSKKKDEGDDKEERERRKLSALLDLGLLSPRPAPAKFVHQESAASKSKCILGGVQCDLDTGELRHISADESTDGM
+>sp|Q9UMS4|PRP19_HUMAN Pre-mRNA-processing factor 19 OS=Homo sapiens OX=9606 GN=PRPF19 PE=1 SV=1
+MSLICSISNEVPEHPCVSPVSNHVYERRLIEKYIAENGTDPINNQPLSEEQLIDIKVAHPIRPKPPSATSIPAILKALQDEWDAVMLHSFTLRQQLQTTRQELSHALYQHDAACRVIARLTKEVTAAREALATLKPQAGLIVPQAVPSSQPSVVGAGEPMDLGELVGMTPEIIQKLQDKATVLTTERKKRGKTVPEELVKPEELSKYRQVASHVGLHSASIPGILALDLCPSDTNKILTGGADKNVVVFDKSSEQILATLKGHTKKVTSVVFHPSQDLVFSASPDATIRIWSVPNASCVQVVRAHESAVTGLSLHATGDYLLSSSDDQYWAFSDIQTGRVLTKVTDETSGCSLTCAQFHPDGLIFGTGTMDSQIKIWDLKERTNVANFPGHSGPITSIAFSENGYYLATAADDSSVKLWDLRKLKNFKTLQLDNNFEVKSLIFDQSGTYLALGGTDVQIYICKQWTEILHFTEHSGLTTGVAFGHHAKFIASTGMDRSLKFYSL
+>DECOY_sp|Q9UMS4|PRP19_HUMAN Pre-mRNA-processing factor 19 OS=Homo sapiens OX=9606 GN=PRPF19 PE=1 SV=1
+LSYFKLSRDMGTSAIFKAHHGFAVGTTLGSHETFHLIETWQKCIYIQVDTGGLALYTGSQDFILSKVEFNNDLQLTKFNKLKRLDWLKVSSDDAATALYYGNESFAISTIPGSHGPFNAVNTREKLDWIKIQSDMTGTGFILGDPHFQACTLSCGSTEDTVKTLVRGTQIDSFAWYQDDSSSLLYDGTAHLSLGTVASEHARVVQVCSANPVSWIRITADPSASFVLDQSPHFVVSTVKKTHGKLTALIQESSKDFVVVNKDAGGTLIKNTDSPCLDLALIGPISASHLGVHSAVQRYKSLEEPKVLEEPVTKGRKKRETTLVTAKDQLKQIIEPTMGVLEGLDMPEGAGVVSPQSSPVAQPVILGAQPKLTALAERAATVEKTLRAIVRCAADHQYLAHSLEQRTTQLQQRLTFSHLMVADWEDQLAKLIAPISTASPPKPRIPHAVKIDILQEESLPQNNIPDTGNEAIYKEILRREYVHNSVPSVCPHEPVENSISCILSM
+>sp|A6NJB7|PRR19_HUMAN Proline-rich protein 19 OS=Homo sapiens OX=9606 GN=PRR19 PE=1 SV=1
+MDTQGPVSQPFQQPEKPGRVRRRKTRRERNKALVGSRRPLAHHDPPVAIRDPPVVPTASKLVVITQGRLSREHRGLFNHEVKSLDVARLLSSGTLVPGSPTLPAKPSPSPGRAQEPAPRSRDKENQVPGGSGPGPPSSPELSGVGQLLAELQCQLSLPQAFPRRNLIQDARDAIVHTLQACHGCVPDLALVLRGCQPPLPGAKPGVSERKMTPFWINSPDQVPEQERQRKQQGTKEFTFPMPYTSSMPTAHRGSLAPPRGPWPPYFPSLSSPSGTAWGPPTAFDLLKSIWLVATPPPPRPWGVGLPQPLPQPSSPLLPRTSVLDWSPSPPSPLPSLSWVVAQSSPEAWSFPPMRLY
+>DECOY_sp|A6NJB7|PRR19_HUMAN Proline-rich protein 19 OS=Homo sapiens OX=9606 GN=PRR19 PE=1 SV=1
+YLRMPPFSWAEPSSQAVVWSLSPLPSPPSPSWDLVSTRPLLPSSPQPLPQPLGVGWPRPPPPTAVLWISKLLDFATPPGWATGSPSSLSPFYPPWPGRPPALSGRHATPMSSTYPMPFTFEKTGQQKRQREQEPVQDPSNIWFPTMKRESVGPKAGPLPPQCGRLVLALDPVCGHCAQLTHVIADRADQILNRRPFAQPLSLQCQLEALLQGVGSLEPSSPPGPGSGGPVQNEKDRSRPAPEQARGPSPSPKAPLTPSGPVLTGSSLLRAVDLSKVEHNFLGRHERSLRGQTIVVLKSATPVVPPDRIAVPPDHHALPRRSGVLAKNRERRTKRRRVRGPKEPQQFPQSVPGQTDM
+>sp|Q96S07|PRR25_HUMAN Proline-rich protein 25 OS=Homo sapiens OX=9606 GN=PRR25 PE=4 SV=1
+MARTDQKPPCRGGCWGQPGHPNTGGAAAHPTYHPMGHRPRTCILLRGDQTTGGQAPSREISLGPWAAGTHFLAISTTPWGRKTPACISELPTSSGTAQPLANAVCEVQTVPGPGLRPQGTPAMRAPSHKGTPPTPNPWGPEQPQNRHKHPKKGVTGGPSPPPPAASRYGQTPGREPRVQAPGLGPCGRPASGRLLSLHLEKGDGKGTRQRIPLTDAAVGGDRTDIPSAIAAGPARTPDRHGLPIPGSTPTPMVGSGRLGAPVGRSGGGASARSSRPSCANVLLRADASLGTVLSVLWTGQLSRGWALLPPGDAGRHLETSVISAGVAAGIWLVEPGEAAQDPATRRTAPPRRTASPEPPAPGAPLPACPGRIPGAARFGPRSCPLGSPAVLAVTTGWSHRSV
+>DECOY_sp|Q96S07|PRR25_HUMAN Proline-rich protein 25 OS=Homo sapiens OX=9606 GN=PRR25 PE=4 SV=1
+VSRHSWGTTVALVAPSGLPCSRPGFRAAGPIRGPCAPLPAGPAPPEPSATRRPPATRRTAPDQAAEGPEVLWIGAAVGASIVSTELHRGADGPPLLAWGRSLQGTWLVSLVTGLSADARLLVNACSPRSSRASAGGGSRGVPAGLRGSGVMPTPTSGPIPLGHRDPTRAPGAAIASPIDTRDGGVAADTLPIRQRTGKGDGKELHLSLLRGSAPRGCPGLGPAQVRPERGPTQGYRSAAPPPPSPGGTVGKKPHKHRNQPQEPGWPNPTPPTGKHSPARMAPTGQPRLGPGPVTQVECVANALPQATGSSTPLESICAPTKRGWPTTSIALFHTGAAWPGLSIERSPAQGGTTQDGRLLICTRPRHGMPHYTPHAAAGGTNPHGPQGWCGGRCPPKQDTRAM
+>sp|P0C7W0|PRR29_HUMAN Proline-rich protein 29 OS=Homo sapiens OX=9606 GN=PRR29 PE=2 SV=2
+MASGAGGSWGRSPPQSAVPTPWVTFLQPLSWAVPPAPPQPGRVKEDLLELMMLQNAQMHQLLLSRLVAGALQPRPASPCPQVYLEVPQEEPEEEEEEMDVREKGPLVFHHHYLPYLMPSPGALLPWPAPFFPTPACQPYLQDVPRIQHCPASREREVRAVPPPPPPSATGTVGADVPPASDYYDAESLL
+>DECOY_sp|P0C7W0|PRR29_HUMAN Proline-rich protein 29 OS=Homo sapiens OX=9606 GN=PRR29 PE=2 SV=2
+LLSEADYYDSAPPVDAGVTGTASPPPPPPVARVERERSAPCHQIRPVDQLYPQCAPTPFFPAPWPLLAGPSPMLYPLYHHHFVLPGKERVDMEEEEEEPEEQPVELYVQPCPSAPRPQLAGAVLRSLLLQHMQANQLMMLELLDEKVRGPQPPAPPVAWSLPQLFTVWPTPVASQPPSRGWSGGAGSAM
+>sp|Q9H6K5|PRR36_HUMAN Proline-rich protein 36 OS=Homo sapiens OX=9606 GN=PRR36 PE=1 SV=2
+MDNKRDKAKAGAAARTPAARAPGLLTPRPPGSPRPPPPVTPAALRVLGAAGAVGRKPLAERAGGIGGATIPESAPRAGPTRSAGTSSRNPASRPPASGRGERAPPAKNTSPGPVSSPGRASGTTRPGPLGQKGLRISAEETVARGKATEAPKRSALSAGARRDTSGPTPGTPSPAMARRSRAAGTEVGLPRPAPSARPRPPTEGPRKSVSSASEHSTTEPSPAARRRPSAGGGLQRPASRSLSSSATPLSSPARSGPSARGTPRAPAHPSQPKPKGLQALRPPQVTPPRKDAAPALGPLSSSPLATPSPSGTKARPVPPPDNAATPLPATLPPSPPVTPPPPAALQSQAPPTLPATPHSSSLTCQLATPLPLAPPSPSAPPSLQTLPSPPATPPSQVPPTQLIMSFPEAGVSSLATAAFVASVSPSVSSPLQSMPPTQANPALPSLPTLLSPLATPPLSAMSPLQGPVSPATSLGNSAFPLAALPQPGLSALTTPPPQASPSPSPPSLQATPHTLATLPLQDSPLLATLPLQASPSPLTTVSLQDPPLVSPSLLASPPLQAPPHPQAPPSMTTPPMQAPPSLQTIPPIQVPHSLTSPSLQAPPSPLALSSLQATTSLGSPTLQATHSFLTMSPRQTQASLISPSRPASTPPDSPPLQAPLSLPASPPLQTSLSPAVSPLSSPLTIHPLQALSSLASHSPQAPLSSLIMPPLETQSSLAPPSLQTPPASLTTPPLENLPSLAPPPLQTASAPLTTPPLENLPSLAPPPLQTASAPLTTPHLETPPCPAPCPLQAPPSPLTTPPPETPSSIATPPPQAPPALASPPLQGLPSPPLSPLATPPPQAPPALALPPLQAPPSPPASPPLSPLATPSPQAPNALAVHLLQAPFSPPPSPPVQAPFSPPASPPVSPSATPPSQAPPSLAAPPLQVPPSPPASPPMSPSATPPPQAPPPLAAPPLQVPPSPPASPPMSPSATPPPRVPPLLAAPPLQVPPSPPASLPMSPLAKPPPQAPPALATPPLQALPSPPASFPGQAPFSPSASLPMSPLATPPPQAPPVLAAPLLQVPPSPPASPTLQAPRRPPTPGPDTSVSGPRLTLALAPGPPPPPSRSPSSTLSGPDLAGHSSSATSTPEELRGYDSGPEGGAAASPPPDAELAACHPAAWSRGPAPPLAFRGAPGAPLPWPPATGPGSADGLCTIYETEGPESATPAPGALDPGPSPGTSGGKAAAGAGAGASSRSPKQARLGELPLGALQASVVQHLLSRTLLLAAAEGAAGGSGGGPGGAEGGGVTGGARAALSDAELGRWAELLSPLDESRASITSVTSFSPDDVASPQGDWTVVEVETFH
+>DECOY_sp|Q9H6K5|PRR36_HUMAN Proline-rich protein 36 OS=Homo sapiens OX=9606 GN=PRR36 PE=1 SV=2
+HFTEVEVVTWDGQPSAVDDPSFSTVSTISARSEDLPSLLEAWRGLEADSLAARAGGTVGGGEAGGPGGGSGGAAGEAAALLLTRSLLHQVVSAQLAGLPLEGLRAQKPSRSSAGAGAGAAAKGGSTGPSPGPDLAGPAPTASEPGETEYITCLGDASGPGTAPPWPLPAGPAGRFALPPAPGRSWAAPHCAALEADPPPSAAAGGEPGSDYGRLEEPTSTASSSHGALDPGSLTSSPSRSPPPPPGPALALTLRPGSVSTDPGPTPPRRPAQLTPSAPPSPPVQLLPAALVPPAQPPPTALPSMPLSASPSFPAQGPFSAPPSPLAQLPPTALAPPAQPPPKALPSMPLSAPPSPPVQLPPAALLPPVRPPPTASPSMPPSAPPSPPVQLPPAALPPPAQPPPTASPSMPPSAPPSPPVQLPPAALSPPAQSPPTASPSVPPSAPPSFPAQVPPSPPPSFPAQLLHVALANPAQPSPTALPSLPPSAPPSPPAQLPPLALAPPAQPPPTALPSLPPSPLGQLPPSALAPPAQPPPTAISSPTEPPPTTLPSPPAQLPCPAPCPPTELHPTTLPASATQLPPPALSPLNELPPTTLPASATQLPPPALSPLNELPPTTLSAPPTQLSPPALSSQTELPPMILSSLPAQPSHSALSSLAQLPHITLPSSLPSVAPSLSTQLPPSAPLSLPAQLPPSDPPTSAPRSPSILSAQTQRPSMTLFSHTAQLTPSGLSTTAQLSSLALPSPPAQLSPSTLSHPVQIPPITQLSPPAQMPPTTMSPPAQPHPPAQLPPSALLSPSVLPPDQLSVTTLPSPSAQLPLTALLPSDQLPLTALTHPTAQLSPPSPSPSAQPPPTTLASLGPQPLAALPFASNGLSTAPSVPGQLPSMASLPPTALPSLLTPLSPLAPNAQTPPMSQLPSSVSPSVSAVFAATALSSVGAEPFSMILQTPPVQSPPTAPPSPLTQLSPPASPSPPALPLPTALQCTLSSSHPTAPLTPPAQSQLAAPPPPTVPPSPPLTAPLPTAANDPPPVPRAKTGSPSPTALPSSSLPGLAPAADKRPPTVQPPRLAQLGKPKPQSPHAPARPTGRASPGSRAPSSLPTASSSLSRSAPRQLGGGASPRRRAAPSPETTSHESASSVSKRPGETPPRPRASPAPRPLGVETGAARSRRAMAPSPTGPTPGSTDRRAGASLASRKPAETAKGRAVTEEASIRLGKQGLPGPRTTGSARGPSSVPGPSTNKAPPAREGRGSAPPRSAPNRSSTGASRTPGARPASEPITAGGIGGAREALPKRGVAGAAGLVRLAAPTVPPPPRPSGPPRPTLLGPARAAPTRAAAGAKAKDRKNDM
+>sp|P79522|PRR3_HUMAN Proline-rich protein 3 OS=Homo sapiens OX=9606 GN=PRR3 PE=1 SV=2
+MPKRKKQNHHQPPTQQQPPLPEREETGDEEDGSPIGPPSLLGPPPMANGKPGDPKSALHRGPPGSRGPLIPPLLSLPPPPWGRGPIRRGLGPRSSPYGRGWWGVNAEPPFPGPGHGGPTRGSFHKEQRNPRRLKSWSLIKNTCPPKDDPQVMEDKSDRPVCRHFAKKGHCRYEDLCAFYHPGVNGPPL
+>DECOY_sp|P79522|PRR3_HUMAN Proline-rich protein 3 OS=Homo sapiens OX=9606 GN=PRR3 PE=1 SV=2
+LPPGNVGPHYFACLDEYRCHGKKAFHRCVPRDSKDEMVQPDDKPPCTNKILSWSKLRRPNRQEKHFSGRTPGGHGPGPFPPEANVGWWGRGYPSSRPGLGRRIPGRGWPPPPLSLLPPILPGRSGPPGRHLASKPDGPKGNAMPPPGLLSPPGIPSGDEEDGTEEREPLPPQQQTPPQHHNQKKRKPM
+>sp|Q96M27|PRRC1_HUMAN Protein PRRC1 OS=Homo sapiens OX=9606 GN=PRRC1 PE=1 SV=1
+MMEESGIETTPPGTPPPNPAGLAATAMSSTPVPLAATSSFSSPNVSSMESFPPLAYSTPQPPLPPVRPSAPLPFVPPPAVPSVPPLVTSMPPPVSPSTAAAFGNPPVSHFPPSTSAPNTLLPAPPSGPPISGFSVGSTYDITRGHAGRAPQTPLMPSFSAPSGTGLLPTPITQQASLTSLAQGTGTTSAITFPEEQEDPRITRGQDEASAGGIWGFIKGVAGNPMVKSVLDKTKHSVESMITTLDPGMAPYIKSGGELDIVVTSNKEVKVAAVRDAFQEVFGLAVVVGEAGQSNIAPQPVGYAAGLKGAQERIDSLRRTGVIHEKQTAVSVENFIAELLPDKWFDIGCLVVEDPVHGIHLETFTQATPVPLEFVQQAQSLTPQDYNLRWSGLLVTVGEVLEKSLLNVSRTDWHMAFTGMSRRQMIYSAARAIAGMYKQRLPPRTV
+>DECOY_sp|Q96M27|PRRC1_HUMAN Protein PRRC1 OS=Homo sapiens OX=9606 GN=PRRC1 PE=1 SV=1
+VTRPPLRQKYMGAIARAASYIMQRRSMGTFAMHWDTRSVNLLSKELVEGVTVLLGSWRLNYDQPTLSQAQQVFELPVPTAQTFTELHIGHVPDEVVLCGIDFWKDPLLEAIFNEVSVATQKEHIVGTRRLSDIREQAGKLGAAYGVPQPAINSQGAEGVVVALGFVEQFADRVAAVKVEKNSTVVIDLEGGSKIYPAMGPDLTTIMSEVSHKTKDLVSKVMPNGAVGKIFGWIGGASAEDQGRTIRPDEQEEPFTIASTTGTGQALSTLSAQQTIPTPLLGTGSPASFSPMLPTQPARGAHGRTIDYTSGVSFGSIPPGSPPAPLLTNPASTSPPFHSVPPNGFAAATSPSVPPPMSTVLPPVSPVAPPPVFPLPASPRVPPLPPQPTSYALPPFSEMSSVNPSSFSSTAALPVPTSSMATAALGAPNPPPTGPPTTEIGSEEMM
+>sp|Q7Z6L0|PRRT2_HUMAN Proline-rich transmembrane protein 2 OS=Homo sapiens OX=9606 GN=PRRT2 PE=1 SV=1
+MAASSSEISEMKGVEESPKVPGEGPGHSEAETGPPQVLAGVPDQPEAPQPGPNTTAAPVDSGPKAGLAPETTETPAGASETAQATDLSLSPGGESKANCSPEDPCQETVSKPEVSKEATADQGSRLESAAPPEPAPEPAPQPDPRPDSQPTPKPALQPELPTQEDPTPEILSESVGEKQENGAVVPLQAGDGEEGPAPEPHSPPSKKSPPANGAPPRVLQQLVEEDRMRRAHSGHPGSPRGSLSRHPSSQLAGPGVEGGEGTQKPRDYIILAILSCFCPMWPVNIVAFAYAVMSRNSLQQGDVDGAQRLGRVAKLLSIVALVGGVLIIIASCVINLGVYK
+>DECOY_sp|Q7Z6L0|PRRT2_HUMAN Proline-rich transmembrane protein 2 OS=Homo sapiens OX=9606 GN=PRRT2 PE=1 SV=1
+KYVGLNIVCSAIIILVGGVLAVISLLKAVRGLRQAGDVDGQQLSNRSMVAYAFAVINVPWMPCFCSLIALIIYDRPKQTGEGGEVGPGALQSSPHRSLSGRPSGPHGSHARRMRDEEVLQQLVRPPAGNAPPSKKSPPSHPEPAPGEEGDGAQLPVVAGNEQKEGVSESLIEPTPDEQTPLEPQLAPKPTPQSDPRPDPQPAPEPAPEPPAASELRSGQDATAEKSVEPKSVTEQCPDEPSCNAKSEGGPSLSLDTAQATESAGAPTETTEPALGAKPGSDVPAATTNPGPQPAEPQDPVGALVQPPGTEAESHGPGEGPVKPSEEVGKMESIESSSAAM
+>sp|Q7RTY3|PRS45_HUMAN Serine protease 45 OS=Homo sapiens OX=9606 GN=PRSS45 PE=2 SV=1
+MTRHWPWEVSLRMENEHVCGGALIDPSWVVTAAHCIQGTKEYSVVLGTSKLQPMNFSRALWVPVRDIIMHPKYWGRAFIMGDVALVHLQTPVTFSEYVQPICLPEPNFNLKVGTQCWVTGWSQVKQRFSANSMLTPELQEAEVFIMDNKRCDRHYKKSFFPPVVPLVLGDMICATNYGENLCYGDSGGPLACEVEGRWILAGVLSWEKACVKAQNPGVYTRITKYTKWIKKQMSNGAFSGPCASACLLFLCWLLQPQMGS
+>DECOY_sp|Q7RTY3|PRS45_HUMAN Serine protease 45 OS=Homo sapiens OX=9606 GN=PRSS45 PE=2 SV=1
+SGMQPQLLWCLFLLCASACPGSFAGNSMQKKIWKTYKTIRTYVGPNQAKVCAKEWSLVGALIWRGEVECALPGGSDGYCLNEGYNTACIMDGLVLPVVPPFFSKKYHRDCRKNDMIFVEAEQLEPTLMSNASFRQKVQSWGTVWCQTGVKLNFNPEPLCIPQVYESFTVPTQLHVLAVDGMIFARGWYKPHMIIDRVPVWLARSFNMPQLKSTGLVVSYEKTGQICHAATVVWSPDILAGGCVHENEMRLSVEWPWHRTM
+>sp|E5RG02|PRS46_HUMAN Putative serine protease 46 OS=Homo sapiens OX=9606 GN=PRSS46P PE=3 SV=1
+MACGPGDLQSLTSPLSSARLDYQPSIEGPWLRACGQTNVSCRVVKGKLVEVGKWPWQVSILFLGTYICSGSLIHHQWVLTAAHCLQRFKDLSLYSVMVGVHQRPENSTQLPLTRMVIHKDFSNLMSQDIALLKLRDSISWSPFVQPVCLPNIKFKPSIGSMCWVIGWGTTGKKG
+>DECOY_sp|E5RG02|PRS46_HUMAN Putative serine protease 46 OS=Homo sapiens OX=9606 GN=PRSS46P PE=3 SV=1
+GKKGTTGWGIVWCMSGISPKFKINPLCVPQVFPSWSISDRLKLLAIDQSMLNSFDKHIVMRTLPLQTSNEPRQHVGVMVSYLSLDKFRQLCHAATLVWQHHILSGSCIYTGLFLISVQWPWKGVEVLKGKVVRCSVNTQGCARLWPGEISPQYDLRASSLPSTLSQLDGPGCAM
+>sp|Q7RTY5|PRS48_HUMAN Serine protease 48 OS=Homo sapiens OX=9606 GN=PRSS48 PE=2 SV=2
+MGPAGCAFTLLLLLGISVCGQPVYSSRVVGGQDAAAGRWPWQVSLHFDHNFICGGSLVSERLILTAAHCIQPTWTTFSYTVWLGSITVGDSRKRVKYYVSKIVIHPKYQDTTADVALLKLSSQVTFTSAILPICLPSVTKQLAIPPFCWVTGWGKVKESSDRDYHSALQEAEVPIIDRQACEQLYNPIGIFLPALEPVIKEDKICAGDTQNMKDSCKGDSGGPLSCHIDGVWIQTGVVSWGLECGKSLPGVYTNVIYYQKWINATISRANNLDFSDFLFPIVLLSLALLRPSCAFGPNTIHRVGTVAEAVACIQGWEENAWRFSPRGR
+>DECOY_sp|Q7RTY5|PRS48_HUMAN Serine protease 48 OS=Homo sapiens OX=9606 GN=PRSS48 PE=2 SV=2
+RGRPSFRWANEEWGQICAVAEAVTGVRHITNPGFACSPRLLALSLLVIPFLFDSFDLNNARSITANIWKQYYIVNTYVGPLSKGCELGWSVVGTQIWVGDIHCSLPGGSDGKCSDKMNQTDGACIKDEKIVPELAPLFIGIPNYLQECAQRDIIPVEAEQLASHYDRDSSEKVKGWGTVWCFPPIALQKTVSPLCIPLIASTFTVQSSLKLLAVDATTDQYKPHIVIKSVYYKVRKRSDGVTISGLWVTYSFTTWTPQICHAATLILRESVLSGGCIFNHDFHLSVQWPWRGAAADQGGVVRSSYVPQGCVSIGLLLLLTFACGAPGM
+>sp|P62191|PRS4_HUMAN 26S proteasome regulatory subunit 4 OS=Homo sapiens OX=9606 GN=PSMC1 PE=1 SV=1
+MGQSQSGGHGPGGGKKDDKDKKKKYEPPVPTRVGKKKKKTKGPDAASKLPLVTPHTQCRLKLLKLERIKDYLLMEEEFIRNQEQMKPLEEKQEEERSKVDDLRGTPMSVGTLEEIIDDNHAIVSTSVGSEHYVSILSFVDKDLLEPGCSVLLNHKVHAVIGVLMDDTDPLVTVMKVEKAPQETYADIGGLDNQIQEIKESVELPLTHPEYYEEMGIKPPKGVILYGPPGTGKTLLAKAVANQTSATFLRVVGSELIQKYLGDGPKLVRELFRVAEEHAPSIVFIDEIDAIGTKRYDSNSGGEREIQRTMLELLNQLDGFDSRGDVKVIMATNRIETLDPALIRPGRIDRKIEFPLPDEKTKKRIFQIHTSRMTLADDVTLDDLIMAKDDLSGADIKAICTEAGLMALRERRMKVTNEDFKKSKENVLYKKQEGTPEGLYL
+>DECOY_sp|P62191|PRS4_HUMAN 26S proteasome regulatory subunit 4 OS=Homo sapiens OX=9606 GN=PSMC1 PE=1 SV=1
+LYLGEPTGEQKKYLVNEKSKKFDENTVKMRRERLAMLGAETCIAKIDAGSLDDKAMILDDLTVDDALTMRSTHIQFIRKKTKEDPLPFEIKRDIRGPRILAPDLTEIRNTAMIVKVDGRSDFGDLQNLLELMTRQIEREGGSNSDYRKTGIADIEDIFVISPAHEEAVRFLERVLKPGDGLYKQILESGVVRLFTASTQNAVAKALLTKGTGPPGYLIVGKPPKIGMEEYYEPHTLPLEVSEKIEQIQNDLGGIDAYTEQPAKEVKMVTVLPDTDDMLVGIVAHVKHNLLVSCGPELLDKDVFSLISVYHESGVSTSVIAHNDDIIEELTGVSMPTGRLDDVKSREEEQKEELPKMQEQNRIFEEEMLLYDKIRELKLLKLRCQTHPTVLPLKSAADPGKTKKKKKGVRTPVPPEYKKKKDKDDKKGGGPGHGGSQSQGM
+>sp|P0CW18|PRS56_HUMAN Serine protease 56 OS=Homo sapiens OX=9606 GN=PRSS56 PE=1 SV=1
+MLLAVLLLLPLPSSWFAHGHPLYTRLPPSALQVLSAQGTQALQAAQRSAQWAINRVAMEIQHRSHECRGSGRPRPQALLQDPPEPGPCGERRPSTANVTRAHGRIVGGSAAPPGAWPWLVRLQLGGQPLCGGVLVAASWVLTAAHCFVGAPNELLWTVTLAEGSRGEQAEEVPVNRILPHPKFDPRTFHNDLALVQLWTPVSPGGSARPVCLPQEPQEPPAGTACAIAGWGALFEDGPEAEAVREARVPLLSTDTCRRALGPGLRPSTMLCAGYLAGGVDSCQGDSGGPLTCSEPGPRPREVLFGVTSWGDGCGEPGKPGVYTRVAVFKDWLQEQMSASSSREPSCRELLAWDPPQELQADAARLCAFYARLCPGSQGACARLAHQQCLQRRRRCELRSLAHTLLGLLRNAQELLGPRPGLRRLAPALALPAPALRESPLHPARELRLHSGSRAAGTRFPKRRPEPRGEANGCPGLEPLRQKLAALQGAHAWILQVPSEHLAMNFHEVLADLGSKTLTGLFRAWVRAGLGGRHVAFSGLVGLEPATLARSLPRLLVQALQAFRVAALAEGEPEGPWMDVGQGPGLERKGHHPLNPQVPPARQP
+>DECOY_sp|P0CW18|PRS56_HUMAN Serine protease 56 OS=Homo sapiens OX=9606 GN=PRSS56 PE=1 SV=1
+PQRAPPVQPNLPHHGKRELGPGQGVDMWPGEPEGEALAAVRFAQLAQVLLRPLSRALTAPELGVLGSFAVHRGGLGARVWARFLGTLTKSGLDALVEHFNMALHESPVQLIWAHAGQLAALKQRLPELGPCGNAEGRPEPRRKPFRTGAARSGSHLRLERAPHLPSERLAPAPLALAPALRRLGPRPGLLEQANRLLGLLTHALSRLECRRRRQLCQQHALRACAGQSGPCLRAYFACLRAADAQLEQPPDWALLERCSPERSSSASMQEQLWDKFVAVRTYVGPKGPEGCGDGWSTVGFLVERPRPGPESCTLPGGSDGQCSDVGGALYGACLMTSPRLGPGLARRCTDTSLLPVRAERVAEAEPGDEFLAGWGAIACATGAPPEQPEQPLCVPRASGGPSVPTWLQVLALDNHFTRPDFKPHPLIRNVPVEEAQEGRSGEALTVTWLLENPAGVFCHAATLVWSAAVLVGGCLPQGGLQLRVLWPWAGPPAASGGVIRGHARTVNATSPRREGCPGPEPPDQLLAQPRPRGSGRCEHSRHQIEMAVRNIAWQASRQAAQLAQTGQASLVQLASPPLRTYLPHGHAFWSSPLPLLLLVALLM
+>sp|Q2VWP7|PRTG_HUMAN Protogenin OS=Homo sapiens OX=9606 GN=PRTG PE=2 SV=1
+MAPPLRPLARLRPPGMLLRALLLLLLLSPLPGVWCFSELSFVKEPQDVTVTRKDPVVLDCQAHGEVPIKVTWLKNGAKMSENKRIEVLSNGSLYISEVEGRRGEQSDEGFYQCLAMNKYGAILSQKAHLALSTISAFEVQPISTEVHEGGVARFACKISSHPPAVITWEFNRTTLPMTMDRITALPTGVLQIYDVSQRDSGNYRCIAATVAHRRKSMEASLTVIPAKESKSFHTPTIIAGPQNITTSLHQTVVLECMATGNPKPIISWSRLDHKSIDVFNTRVLGNGNLMISDVRLQHAGVYVCRATTPGTRNFTVAMATLTVLAPPSFVEWPESLTRPRAGTARFVCQAEGIPSPKMSWLKNGRKIHSNGRIKMYNSKLVINQIIPEDDAIYQCMAENSQGSILSRARLTVVMSEDRPSAPYNVHAETMSSSAILLAWERPLYNSDKVIAYSVHYMKAEGLNNEEYQVVIGNDTTHYIIDDLEPASNYTFYIVAYMPMGASQMSDHVTQNTLEDVPLRPPEISLTSRSPTDILISWLPIPAKYRRGQVVLYRLSFRLSTENSIQVLELPGTTHEYLLEGLKPDSVYLVRITAATRVGLGESSVWTSHRTPKATSVKAPKSPELHLEPLNCTTISVRWQQDVEDTAAIQGYKLYYKEEGQQENGPIFLDTKDLLYTLSGLDPRRKYHVRLLAYNNIDDGYQADQTVSTPGCVSVRDRMVPPPPPPHHLYAKANTSSSIFLHWRRPAFTAAQIINYTIRCNPVGLQNASLVLYLQTSETHMLVQGLEPNTKYEFAVRLHVDQLSSPWSPVVYHSTLPEAPAGPPVGVKVTLIEDDTALVSWKPPDGPETVVTRYTILYASRKAWIAGEWQVLHREGAITMALLENLVAGNVYIVKISASNEVGEGPFSNSVELAVLPKETSESNQRPKRLDSADAKVYSGYYHLDQKSMTGIAVGVGIALTCILICVLILIYRSKARKSSASKTAQNGTQQLPRTSASLASGNEVGKNLEGAVGNEESLMPMIMPNSFIDAKGGTDLIINSYGPIIKNNSKKKWFFFQDSKKIQVEQPQRRFTPAVCFYQPGTTVLISDEDSPSSPGQTTSFSRPFGVAADTEHSANSEGSHETGDSGRFSHESNDEIHLSSVISTTPPNL
+>DECOY_sp|Q2VWP7|PRTG_HUMAN Protogenin OS=Homo sapiens OX=9606 GN=PRTG PE=2 SV=1
+LNPPTTSIVSSLHIEDNSEHSFRGSDGTEHSGESNASHETDAAVGFPRSFSTTQGPSSPSDEDSILVTTGPQYFCVAPTFRRQPQEVQIKKSDQFFFWKKKSNNKIIPGYSNIILDTGGKADIFSNPMIMPMLSEENGVAGELNKGVENGSALSASTRPLQQTGNQATKSASSKRAKSRYILILVCILICTLAIGVGVAIGTMSKQDLHYYGSYVKADASDLRKPRQNSESTEKPLVALEVSNSFPGEGVENSASIKVIYVNGAVLNELLAMTIAGERHLVQWEGAIWAKRSAYLITYRTVVTEPGDPPKWSVLATDDEILTVKVGVPPGAPAEPLTSHYVVPSWPSSLQDVHLRVAFEYKTNPELGQVLMHTESTQLYLVLSANQLGVPNCRITYNIIQAATFAPRRWHLFISSSTNAKAYLHHPPPPPPVMRDRVSVCGPTSVTQDAQYGDDINNYALLRVHYKRRPDLGSLTYLLDKTDLFIPGNEQQGEEKYYLKYGQIAATDEVDQQWRVSITTCNLPELHLEPSKPAKVSTAKPTRHSTWVSSEGLGVRTAATIRVLYVSDPKLGELLYEHTTGPLELVQISNETSLRFSLRYLVVQGRRYKAPIPLWSILIDTPSRSTLSIEPPRLPVDELTNQTVHDSMQSAGMPMYAVIYFTYNSAPELDDIIYHTTDNGIVVQYEENNLGEAKMYHVSYAIVKDSNYLPREWALLIASSSMTEAHVNYPASPRDESMVVTLRARSLISGQSNEAMCQYIADDEPIIQNIVLKSNYMKIRGNSHIKRGNKLWSMKPSPIGEAQCVFRATGARPRTLSEPWEVFSPPALVTLTAMAVTFNRTGPTTARCVYVGAHQLRVDSIMLNGNGLVRTNFVDISKHDLRSWSIIPKPNGTAMCELVVTQHLSTTINQPGAIITPTHFSKSEKAPIVTLSAEMSKRRHAVTAAICRYNGSDRQSVDYIQLVGTPLATIRDMTMPLTTRNFEWTIVAPPHSSIKCAFRAVGGEHVETSIPQVEFASITSLALHAKQSLIAGYKNMALCQYFGEDSQEGRRGEVESIYLSGNSLVEIRKNESMKAGNKLWTVKIPVEGHAQCDLVVPDKRTVTVDQPEKVFSLESFCWVGPLPSLLLLLLLARLLMGPPRLRALPRLPPAM
+>sp|Q9UIG4|PS1C2_HUMAN Psoriasis susceptibility 1 candidate gene 2 protein OS=Homo sapiens OX=9606 GN=PSORS1C2 PE=1 SV=2
+MILNWKLLGILVLCLHTRGISGSEGHPSHPPAEDREEAGSPTLPQGPPVPGDPWPGAPPLFEDPPPTRPSRPWRDLPETGVWLPEPPRTDPPQPPRPDDPWPAGPQPPENPWPPAPEVDNRPQEEPDLDPPREEYR
+>DECOY_sp|Q9UIG4|PS1C2_HUMAN Psoriasis susceptibility 1 candidate gene 2 protein OS=Homo sapiens OX=9606 GN=PSORS1C2 PE=1 SV=2
+RYEERPPDLDPEEQPRNDVEPAPPWPNEPPQPGAPWPDDPRPPQPPDTRPPEPLWVGTEPLDRWPRSPRTPPPDEFLPPAGPWPDGPVPPGQPLTPSGAEERDEAPPHSPHGESGSIGRTHLCLVLIGLLKWNLIM
+>sp|P25788|PSA3_HUMAN Proteasome subunit alpha type-3 OS=Homo sapiens OX=9606 GN=PSMA3 PE=1 SV=2
+MSSIGTGYDLSASTFSPDGRVFQVEYAMKAVENSSTAIGIRCKDGVVFGVEKLVLSKLYEEGSNKRLFNVDRHVGMAVAGLLADARSLADIAREEASNFRSNFGYNIPLKHLADRVAMYVHAYTLYSAVRPFGCSFMLGSYSVNDGAQLYMIDPSGVSYGYWGCAIGKARQAAKTEIEKLQMKEMTCRDIVKEVAKIIYIVHDEVKDKAFELELSWVGELTNGRHEIVPKDIREEAEKYAKESLKEEDESDDDNM
+>DECOY_sp|P25788|PSA3_HUMAN Proteasome subunit alpha type-3 OS=Homo sapiens OX=9606 GN=PSMA3 PE=1 SV=2
+MNDDDSEDEEKLSEKAYKEAEERIDKPVIEHRGNTLEGVWSLELEFAKDKVEDHVIYIIKAVEKVIDRCTMEKMQLKEIETKAAQRAKGIACGWYGYSVGSPDIMYLQAGDNVSYSGLMFSCGFPRVASYLTYAHVYMAVRDALHKLPINYGFNSRFNSAEERAIDALSRADALLGAVAMGVHRDVNFLRKNSGEEYLKSLVLKEVGFVVGDKCRIGIATSSNEVAKMAYEVQFVRGDPSFTSASLDYGTGISSM
+>sp|P28070|PSB4_HUMAN Proteasome subunit beta type-4 OS=Homo sapiens OX=9606 GN=PSMB4 PE=1 SV=4
+MEAFLGSRSGLWAGGPAPGQFYRIPSTPDSFMDPASALYRGPITRTQNPMVTGTSVLGVKFEGGVVIAADMLGSYGSLARFRNISRIMRVNNSTMLGASGDYADFQYLKQVLGQMVIDEELLGDGHSYSPRAIHSWLTRAMYSRRSKMNPLWNTMVIGGYADGESFLGYVDMLGVAYEAPSLATGYGAYLAQPLLREVLEKQPVLSQTEARDLVERCMRVLYYRDARSYNRFQIATVTEKGVEIEGPLSTETNWDIAHMISGFE
+>DECOY_sp|P28070|PSB4_HUMAN Proteasome subunit beta type-4 OS=Homo sapiens OX=9606 GN=PSMB4 PE=1 SV=4
+EFGSIMHAIDWNTETSLPGEIEVGKETVTAIQFRNYSRADRYYLVRMCREVLDRAETQSLVPQKELVERLLPQALYAGYGTALSPAEYAVGLMDVYGLFSEGDAYGGIVMTNWLPNMKSRRSYMARTLWSHIARPSYSHGDGLLEEDIVMQGLVQKLYQFDAYDGSAGLMTSNNVRMIRSINRFRALSGYSGLMDAAIVVGGEFKVGLVSTGTVMPNQTRTIPGRYLASAPDMFSDPTSPIRYFQGPAPGGAWLGSRSGLFAEM
+>sp|Q99436|PSB7_HUMAN Proteasome subunit beta type-7 OS=Homo sapiens OX=9606 GN=PSMB7 PE=1 SV=1
+MAAVSVYAPPVGGFSFDNCRRNAVLEADFAKRGYKLPKVRKTGTTIAGVVYKDGIVLGADTRATEGMVVADKNCSKIHFISPNIYCCGAGTAADTDMTTQLISSNLELHSLSTGRLPRVVTANRMLKQMLFRYQGYIGAALVLGGVDVTGPHLYSIYPHGSTDKLPYVTMGSGSLAAMAVFEDKFRPDMEEEEAKNLVSEAIAAGIFNDLGSGSNIDLCVISKNKLDFLRPYTVPNKKGTRLGRYRCEKGTTAVLTEKITPLEIEVLEETVQTMDTS
+>DECOY_sp|Q99436|PSB7_HUMAN Proteasome subunit beta type-7 OS=Homo sapiens OX=9606 GN=PSMB7 PE=1 SV=1
+STDMTQVTEELVEIELPTIKETLVATTGKECRYRGLRTGKKNPVTYPRLFDLKNKSIVCLDINSGSGLDNFIGAAIAESVLNKAEEEEMDPRFKDEFVAMAALSGSGMTVYPLKDTSGHPYISYLHPGTVDVGGLVLAAGIYGQYRFLMQKLMRNATVVRPLRGTSLSHLELNSSILQTTMDTDAATGAGCCYINPSIFHIKSCNKDAVVMGETARTDAGLVIGDKYVVGAITTGTKRVKPLKYGRKAFDAELVANRRCNDFSFGGVPPAYVSVAAM
+>sp|P28062|PSB8_HUMAN Proteasome subunit beta type-8 OS=Homo sapiens OX=9606 GN=PSMB8 PE=1 SV=3
+MALLDVCGAPRGQRPESALPVAGSGRRSDPGHYSFSMRSPELALPRGMQPTEFFQSLGGDGERNVQIEMAHGTTTLAFKFQHGVIAAVDSRASAGSYISALRVNKVIEINPYLLGTMSGCAADCQYWERLLAKECRLYYLRNGERISVSAASKLLSNMMCQYRGMGLSMGSMICGWDKKGPGLYYVDEHGTRLSGNMFSTGSGNTYAYGVMDSGYRPNLSPEEAYDLGRRAIAYATHRDSYSGGVVNMYHMKEDGWVKVESTDVSDLLHQYREANQ
+>DECOY_sp|P28062|PSB8_HUMAN Proteasome subunit beta type-8 OS=Homo sapiens OX=9606 GN=PSMB8 PE=1 SV=3
+QNAERYQHLLDSVDTSEVKVWGDEKMHYMNVVGGSYSDRHTAYAIARRGLDYAEEPSLNPRYGSDMVGYAYTNGSGTSFMNGSLRTGHEDVYYLGPGKKDWGCIMSGMSLGMGRYQCMMNSLLKSAASVSIREGNRLYYLRCEKALLREWYQCDAACGSMTGLLYPNIEIVKNVRLASIYSGASARSDVAAIVGHQFKFALTTTGHAMEIQVNREGDGGLSQFFETPQMGRPLALEPSRMSFSYHGPDSRRGSGAVPLASEPRQGRPAGCVDLLAM
+>sp|P28065|PSB9_HUMAN Proteasome subunit beta type-9 OS=Homo sapiens OX=9606 GN=PSMB9 PE=1 SV=2
+MLRAGAPTGDLPRAGEVHTGTTIMAVEFDGGVVMGSDSRVSAGEAVVNRVFDKLSPLHERIYCALSGSAADAQAVADMAAYQLELHGIELEEPPLVLAAANVVRNISYKYREDLSAHLMVAGWDQREGGQVYGTLGGMLTRQPFAIGGSGSTFIYGYVDAAYKPGMSPEECRRFTTDAIALAMSRDGSSGGVIYLVTITAAGVDHRVILGNELPKFYDE
+>DECOY_sp|P28065|PSB9_HUMAN Proteasome subunit beta type-9 OS=Homo sapiens OX=9606 GN=PSMB9 PE=1 SV=2
+EDYFKPLENGLIVRHDVGAATITVLYIVGGSSGDRSMALAIADTTFRRCEEPSMGPKYAADVYGYIFTSGSGGIAFPQRTLMGGLTGYVQGGERQDWGAVMLHASLDERYKYSINRVVNAAALVLPPEELEIGHLELQYAAMDAVAQADAASGSLACYIREHLPSLKDFVRNVVAEGASVRSDSGMVVGGDFEVAMITTGTHVEGARPLDGTPAGARLM
+>sp|O75832|PSD10_HUMAN 26S proteasome non-ATPase regulatory subunit 10 OS=Homo sapiens OX=9606 GN=PSMD10 PE=1 SV=1
+MEGCVSNLMVCNLAYSGKLEELKESILADKSLATRTDQDSRTALHWACSAGHTEIVEFLLQLGVPVNDKDDAGWSPLHIAASAGRDEIVKALLGKGAQVNAVNQNGCTPLHYAASKNRHEIAVMLLEGGANPDAKDHYEATAMHRAAAKGNLKMIHILLYYKASTNIQDTEGNTPLHLACDEERVEEAKLLVSQGASIYIENKEEKTPLQVAKGGLGLILKRMVEG
+>DECOY_sp|O75832|PSD10_HUMAN 26S proteasome non-ATPase regulatory subunit 10 OS=Homo sapiens OX=9606 GN=PSMD10 PE=1 SV=1
+GEVMRKLILGLGGKAVQLPTKEEKNEIYISAGQSVLLKAEEVREEDCALHLPTNGETDQINTSAKYYLLIHIMKLNGKAAARHMATAEYHDKADPNAGGELLMVAIEHRNKSAAYHLPTCGNQNVANVQAGKGLLAKVIEDRGASAAIHLPSWGADDKDNVPVGLQLLFEVIETHGASCAWHLATRSDQDTRTALSKDALISEKLEELKGSYALNCVMLNSVCGEM
+>sp|O00232|PSD12_HUMAN 26S proteasome non-ATPase regulatory subunit 12 OS=Homo sapiens OX=9606 GN=PSMD12 PE=1 SV=3
+MADGGSERADGRIVKMEVDYSATVDQRLPECAKLAKEGRLQEVIETLLSLEKQTRTASDMVSTSRILVAVVKMCYEAKEWDLLNENIMLLSKRRSQLKQAVAKMVQQCCTYVEEITDLPIKLRLIDTLRMVTEGKIYVEIERARLTKTLATIKEQNGDVKEAASILQELQVETYGSMEKKERVEFILEQMRLCLAVKDYIRTQIISKKINTKFFQEENTEKLKLKYYNLMIQLDQHEGSYLSICKHYRAIYDTPCIQAESEKWQQALKSVVLYVILAPFDNEQSDLVHRISGDKKLEEIPKYKDLLKLFTTMELMRWSTLVEDYGMELRKGSLESPATDVFGSTEEGEKRWKDLKNRVVEHNIRIMAKYYTRITMKRMAQLLDLSVDESEAFLSNLVVNKTIFAKVDRLAGIINFQRPKDPNNLLNDWSQKLNSLMSLVNKTTHLIAKEEMIHNLQ
+>DECOY_sp|O00232|PSD12_HUMAN 26S proteasome non-ATPase regulatory subunit 12 OS=Homo sapiens OX=9606 GN=PSMD12 PE=1 SV=3
+QLNHIMEEKAILHTTKNVLSMLSNLKQSWDNLLNNPDKPRQFNIIGALRDVKAFITKNVVLNSLFAESEDVSLDLLQAMRKMTIRTYYKAMIRINHEVVRNKLDKWRKEGEETSGFVDTAPSELSGKRLEMGYDEVLTSWRMLEMTTFLKLLDKYKPIEELKKDGSIRHVLDSQENDFPALIVYLVVSKLAQQWKESEAQICPTDYIARYHKCISLYSGEHQDLQIMLNYYKLKLKETNEEQFFKTNIKKSIIQTRIYDKVALCLRMQELIFEVREKKEMSGYTEVQLEQLISAAEKVDGNQEKITALTKTLRAREIEVYIKGETVMRLTDILRLKIPLDTIEEVYTCCQQVMKAVAQKLQSRRKSLLMINENLLDWEKAEYCMKVVAVLIRSTSVMDSATRTQKELSLLTEIVEQLRGEKALKACEPLRQDVTASYDVEMKVIRGDARESGGDAM
+>sp|Q9BQI7|PSD2_HUMAN PH and SEC7 domain-containing protein 2 OS=Homo sapiens OX=9606 GN=PSD2 PE=2 SV=3
+MEEDKLLSAVPEEGDATRDPGPEPEEEPGVRNGMASEGLNSSLCSPGHERRGTPADTEEPTKDPDVAFHGLSLGLSLTNGLALGPDLNILEDSAESRPWRAGVLAEGDNASRSLYPDAEDPQLGLDGPGEPDVRDGFSATFEKILESELLRGTQYSSLDSLDGLSLTDESDSCVSFEAPLTPLIQQRARDSPEPGAGLGIGDMAFEGDMGAAGGDGELGSPLRRSISSSRSENVLSRLSLMAMPNGFHEDGPQGPGGDEDDDEEDTDKLLNSASDPSLKDGLSDSDSELSSSEGLEPGSADPLANGCQGVSEAAHRLARRLYHLEGFQRCDVARQLGKNNEFSRLVAGEYLSFFDFSGLTLDGALRTFLKAFPLMGETQERERVLTHFSRRYCQCNPDDSTSEDGIHTLTCALMLLNTDLHGHNIGKKMSCQQFIANLDQLNDGQDFAKDLLKTLYNSIKNEKLEWAIDEDELRKSLSELVDDKFGTGTKKVTRILDGGNPFLDVPQALSATTYKHGVLTRKTHADMDGKRTPRGRRGWKKFYAVLKGTILYLQKDEYRPDKALSEGDLKNAIRVHHALATRASDYSKKSNVLKLKTADWRVFLFQAPSKEEMLSWILRINLVAAIFSAPAFPAAVSSMKKFCRPLLPSCTTRLCQEEQLRSHENKLRQLTAELAEHRCHPVERGIKSKEAEEYRLKEHYLTFEKSRYETYIHLLAMKIKVGSDDLERIEARLATLEGDDPSLRKTHSSPALSQGHVTGSKTTKDATGPDT
+>DECOY_sp|Q9BQI7|PSD2_HUMAN PH and SEC7 domain-containing protein 2 OS=Homo sapiens OX=9606 GN=PSD2 PE=2 SV=3
+TDPGTADKTTKSGTVHGQSLAPSSHTKRLSPDDGELTALRAEIRELDDSGVKIKMALLHIYTEYRSKEFTLYHEKLRYEEAEKSKIGREVPHCRHEALEATLQRLKNEHSRLQEEQCLRTTCSPLLPRCFKKMSSVAAPFAPASFIAAVLNIRLIWSLMEEKSPAQFLFVRWDATKLKLVNSKKSYDSARTALAHHVRIANKLDGESLAKDPRYEDKQLYLITGKLVAYFKKWGRRGRPTRKGDMDAHTKRTLVGHKYTTASLAQPVDLFPNGGDLIRTVKKTGTGFKDDVLESLSKRLEDEDIAWELKENKISNYLTKLLDKAFDQGDNLQDLNAIFQQCSMKKGINHGHLDTNLLMLACTLTHIGDESTSDDPNCQCYRRSFHTLVREREQTEGMLPFAKLFTRLAGDLTLGSFDFFSLYEGAVLRSFENNKGLQRAVDCRQFGELHYLRRALRHAAESVGQCGNALPDASGPELGESSSLESDSDSLGDKLSPDSASNLLKDTDEEDDDEDGGPGQPGDEHFGNPMAMLSLRSLVNESRSSSISRRLPSGLEGDGGAAGMDGEFAMDGIGLGAGPEPSDRARQQILPTLPAEFSVCSDSEDTLSLGDLSDLSSYQTGRLLESELIKEFTASFGDRVDPEGPGDLGLQPDEADPYLSRSANDGEALVGARWPRSEASDELINLDPGLALGNTLSLGLSLGHFAVDPDKTPEETDAPTGRREHGPSCLSSNLGESAMGNRVGPEEEPEPGPDRTADGEEPVASLLKDEEM
+>sp|Q9Y248|PSF2_HUMAN DNA replication complex GINS protein PSF2 OS=Homo sapiens OX=9606 GN=GINS2 PE=1 SV=1
+MDAAEVEFLAEKELVTIIPNFSLDKIYLIGGDLGPFNPGLPVEVPLWLAINLKQRQKCRLLPPEWMDVEKLEKMRDHERKEETFTPMPSPYYMELTKLLLNHASDNIPKADEIRTLVKDMWDTRIAKLRVSADSFVRQQEAHAKLDNLTLMEINTSGTFLTQALNHMYKLRTNLQPLESTQSQDF
+>DECOY_sp|Q9Y248|PSF2_HUMAN DNA replication complex GINS protein PSF2 OS=Homo sapiens OX=9606 GN=GINS2 PE=1 SV=1
+FDQSQTSELPQLNTRLKYMHNLAQTLFTGSTNIEMLTLNDLKAHAEQQRVFSDASVRLKAIRTDWMDKVLTRIEDAKPINDSAHNLLLKTLEMYYPSPMPTFTEEKREHDRMKELKEVDMWEPPLLRCKQRQKLNIALWLPVEVPLGPNFPGLDGGILYIKDLSFNPIITVLEKEALFEVEAADM
+>sp|Q9UQ72|PSG11_HUMAN Pregnancy-specific beta-1-glycoprotein 11 OS=Homo sapiens OX=9606 GN=PSG11 PE=2 SV=3
+MGPLSAPPCTEHIKWKGLLLTALLLNFWNLPTTAQVMIEAQPPKVSEGKDVLLLVHNLPQNLTGYIWYKGQIRDLYHYITSYVVDGQIIIYGPAYSGRETVYSNASLLIQNVTREDAGSYTLHIIKRGDGTRGVTGYFTFTLYLETPKPSISSSNLNPREAMETVILTCNPETPDASYLWWMNGQSLPMTHRMQLSETNRTLFLFGVTKYTAGPYECEIWNSGSASRSDPVTLNLLHGPDLPRIFPSVTSYYSGENLDLSCFANSNPPAQYSWTINGKFQLSGQKLFIPQITPKHNGLYACSARNSATGEESSTSLTIRVIAPPGLGTFAFNNPT
+>DECOY_sp|Q9UQ72|PSG11_HUMAN Pregnancy-specific beta-1-glycoprotein 11 OS=Homo sapiens OX=9606 GN=PSG11 PE=2 SV=3
+TPNNFAFTGLGPPAIVRITLSTSSEEGTASNRASCAYLGNHKPTIQPIFLKQGSLQFKGNITWSYQAPPNSNAFCSLDLNEGSYYSTVSPFIRPLDPGHLLNLTVPDSRSASGSNWIECEYPGATYKTVGFLFLTRNTESLQMRHTMPLSQGNMWWLYSADPTEPNCTLIVTEMAERPNLNSSSISPKPTELYLTFTFYGTVGRTGDGRKIIHLTYSGADERTVNQILLSANSYVTERGSYAPGYIIIQGDVVYSTIYHYLDRIQGKYWIYGTLNQPLNHVLLLVDKGESVKPPQAEIMVQATTPLNWFNLLLATLLLGKWKIHETCPPASLPGM
+>sp|Q8TAA3|PSMA8_HUMAN Proteasome subunit alpha-type 8 OS=Homo sapiens OX=9606 GN=PSMA8 PE=2 SV=3
+MASRYDRAITVFSPDGHLFQVEYAQEAVKKGSTAVGIRGTNIVVLGVEKKSVAKLQDERTVRKICALDDHVCMAFAVLTIFIGLTADARVVINRARVECQSHKLTVEDPVTVEYITRFIATLKQKYTQSNGRRPFGISALIVGFDDDGISRLYQTDPSGTYHAWKANAIGRSAKTVREFLEKNYTEDAIASDSEAIKLAIKALLEVVQSGGKNIELAIIRRNQPLKMFSAKEVELYVTEIEKEKEEAEKKKSKKSV
+>DECOY_sp|Q8TAA3|PSMA8_HUMAN Proteasome subunit alpha-type 8 OS=Homo sapiens OX=9606 GN=PSMA8 PE=2 SV=3
+VSKKSKKKEAEEKEKEIETVYLEVEKASFMKLPQNRRIIALEINKGGSQVVELLAKIALKIAESDSAIADETYNKELFERVTKASRGIANAKWAHYTGSPDTQYLRSIGDDDFGVILASIGFPRRGNSQTYKQKLTAIFRTIYEVTVPDEVTLKHSQCEVRARNIVVRADATLGIFITLVAFAMCVHDDLACIKRVTREDQLKAVSKKEVGLVVINTGRIGVATSGKKVAEQAYEVQFLHGDPSFVTIARDYRSAM
+>sp|Q13200|PSMD2_HUMAN 26S proteasome non-ATPase regulatory subunit 2 OS=Homo sapiens OX=9606 GN=PSMD2 PE=1 SV=3
+MEEGGRDKAPVQPQQSPAAAPGGTDEKPSGKERRDAGDKDKEQELSEEDKQLQDELEMLVERLGEKDTSLYRPALEELRRQIRSSTTSMTSVPKPLKFLRPHYGKLKEIYENMAPGENKRFAADIISVLAMTMSGERECLKYRLVGSQEELASWGHEYVRHLAGEVAKEWQELDDAEKVQREPLLTLVKEIVPYNMAHNAEHEACDLLMEIEQVDMLEKDIDENAYAKVCLYLTSCVNYVPEPENSALLRCALGVFRKFSRFPEALRLALMLNDMELVEDIFTSCKDVVVQKQMAFMLGRHGVFLELSEDVEEYEDLTEIMSNVQLNSNFLALARELDIMEPKVPDDIYKTHLENNRFGGSGSQVDSARMNLASSFVNGFVNAAFGQDKLLTDDGNKWLYKNKDHGMLSAAASLGMILLWDVDGGLTQIDKYLYSSEDYIKSGALLACGIVNSGVRNECDPALALLSDYVLHNSNTMRLGSIFGLGLAYAGSNREDVLTLLLPVMGDSKSSMEVAGVTALACGMIAVGSCNGDVTSTILQTIMEKSETELKDTYARWLPLGLGLNHLGKGEAIEAILAALEVVSEPFRSFANTLVDVCAYAGSGNVLKVQQLLHICSEHFDSKEKEEDKDKKEKKDKDKKEAPADMGAHQGVAVLGIALIAMGEEIGAEMALRTFGHLLRYGEPTLRRAVPLALALISVSNPRLNILDTLSKFSHDADPEVSYNSIFAMGMVGSGTNNARLAAMLRQLAQYHAKDPNNLFMVRLAQGLTHLGKGTLTLCPYHSDRQLMSQVAVAGLLTVLVSFLDVRNIILGKSHYVLYGLVAAMQPRMLVTFDEELRPLPVSVRVGQAVDVVGQAGKPKTITGFQTHTTPVLLAHGERAELATEEFLPVTPILEGFVILRKNPNYDL
+>DECOY_sp|Q13200|PSMD2_HUMAN 26S proteasome non-ATPase regulatory subunit 2 OS=Homo sapiens OX=9606 GN=PSMD2 PE=1 SV=3
+LDYNPNKRLIVFGELIPTVPLFEETALEAREGHALLVPTTHTQFGTITKPKGAQGVVDVAQGVRVSVPLPRLEEDFTVLMRPQMAAVLGYLVYHSKGLIINRVDLFSVLVTLLGAVAVQSMLQRDSHYPCLTLTGKGLHTLGQALRVMFLNNPDKAHYQALQRLMAALRANNTGSGVMGMAFISNYSVEPDADHSFKSLTDLINLRPNSVSILALALPVARRLTPEGYRLLHGFTRLAMEAGIEEGMAILAIGLVAVGQHAGMDAPAEKKDKDKKEKKDKDEEKEKSDFHESCIHLLQQVKLVNGSGAYACVDVLTNAFSRFPESVVELAALIAEIAEGKGLHNLGLGLPLWRAYTDKLETESKEMITQLITSTVDGNCSGVAIMGCALATVGAVEMSSKSDGMVPLLLTLVDERNSGAYALGLGFISGLRMTNSNHLVYDSLLALAPDCENRVGSNVIGCALLAGSKIYDESSYLYKDIQTLGGDVDWLLIMGLSAAASLMGHDKNKYLWKNGDDTLLKDQGFAANVFGNVFSSALNMRASDVQSGSGGFRNNELHTKYIDDPVKPEMIDLERALALFNSNLQVNSMIETLDEYEEVDESLELFVGHRGLMFAMQKQVVVDKCSTFIDEVLEMDNLMLALRLAEPFRSFKRFVGLACRLLASNEPEPVYNVCSTLYLCVKAYANEDIDKELMDVQEIEMLLDCAEHEANHAMNYPVIEKVLTLLPERQVKEADDLEQWEKAVEGALHRVYEHGWSALEEQSGVLRYKLCEREGSMTMALVSIIDAAFRKNEGPAMNEYIEKLKGYHPRLFKLPKPVSTMSTTSSRIQRRLEELAPRYLSTDKEGLREVLMELEDQLQKDEESLEQEKDKDGADRREKGSPKEDTGGPAAAPSQQPQVPAKDRGGEEM
+>sp|O43242|PSMD3_HUMAN 26S proteasome non-ATPase regulatory subunit 3 OS=Homo sapiens OX=9606 GN=PSMD3 PE=1 SV=2
+MKQEGSARRRGADKAKPPPGGGEQEPPPPPAPQDVEMKEEAATGGGSTGEADGKTAAAAAEHSQRELDTVTLEDIKEHVKQLEKAVSGKEPRFVLRALRMLPSTSRRLNHYVLYKAVQGFFTSNNATRDFLLPFLEEPMDTEADLQFRPRTGKAASTPLLPEVEAYLQLLVVIFMMNSKRYKEAQKISDDLMQKISTQNRRALDLVAAKCYYYHARVYEFLDKLDVVRSFLHARLRTATLRHDADGQATLLNLLLRNYLHYSLYDQAEKLVSKSVFPEQANNNEWARYLYYTGRIKAIQLEYSEARRTMTNALRKAPQHTAVGFKQTVHKLLIVVELLLGEIPDRLQFRQPSLKRSLMPYFLLTQAVRTGNLAKFNQVLDQFGEKFQADGTYTLIIRLRHNVIKTGVRMISLSYSRISLADIAQKLQLDSPEDAEFIVAKAIRDGVIEASINHEKGYVQSKEMIDIYSTREPQLAFHQRISFCLDIHNMSVKAMRFPPKSYNKDLESAEERREREQQDLEFAKEMAEDDDDSFP
+>DECOY_sp|O43242|PSMD3_HUMAN 26S proteasome non-ATPase regulatory subunit 3 OS=Homo sapiens OX=9606 GN=PSMD3 PE=1 SV=2
+PFSDDDDEAMEKAFELDQQERERREEASELDKNYSKPPFRMAKVSMNHIDLCFSIRQHFALQPERTSYIDIMEKSQVYGKEHNISAEIVGDRIAKAVIFEADEPSDLQLKQAIDALSIRSYSLSIMRVGTKIVNHRLRIILTYTGDAQFKEGFQDLVQNFKALNGTRVAQTLLFYPMLSRKLSPQRFQLRDPIEGLLLEVVILLKHVTQKFGVATHQPAKRLANTMTRRAESYELQIAKIRGTYYLYRAWENNNAQEPFVSKSVLKEAQDYLSYHLYNRLLLNLLTAQGDADHRLTATRLRAHLFSRVVDLKDLFEYVRAHYYYCKAAVLDLARRNQTSIKQMLDDSIKQAEKYRKSNMMFIVVLLQLYAEVEPLLPTSAAKGTRPRFQLDAETDMPEELFPLLFDRTANNSTFFGQVAKYLVYHNLRRSTSPLMRLARLVFRPEKGSVAKELQKVHEKIDELTVTDLERQSHEAAAAATKGDAEGTSGGGTAAEEKMEVDQPAPPPPPEQEGGGPPPKAKDAGRRRASGEQKM
+>sp|Q16401|PSMD5_HUMAN 26S proteasome non-ATPase regulatory subunit 5 OS=Homo sapiens OX=9606 GN=PSMD5 PE=1 SV=3
+MAAQALALLREVARLEAPLEELRALHSVLQAVPLNELRQQAAELRLGPLFSLLNENHREKTTLCVSILERLLQAMEPVHVARNLRVDLQRGLIHPDDSVKILTLSQIGRIVENSDAVTEILNNAELLKQIVYCIGGENLSVAKAAIKSLSRISLTQAGLEALFESNLLDDLKSVMKTNDIVRYRVYELIIEISSVSPESLNYCTTSGLVTQLLRELTGEDVLVRATCIEMVTSLAYTHHGRQYLAQEGVIDQISNIIVGADSDPFSSFYLPGFVKFFGNLAVMDSPQQICERYPIFVEKVFEMIESQDPTMIGVAVDTVGILGSNVEGKQVLQKTGTRFERLLMRIGHQSKNAPVELKIRCLDAISSLLYLPPEQQTDDLLRMTESWFSSLSRDPLELFRGISSQPFPELHCAALKVFTAIANQPWAQKLMFNSPGFVEYVVDRSVEHDKASKDAKYELVKALANSKTIAEIFGNPNYLRLRTYLSEGPYYVKPVSTTAVEGAE
+>DECOY_sp|Q16401|PSMD5_HUMAN 26S proteasome non-ATPase regulatory subunit 5 OS=Homo sapiens OX=9606 GN=PSMD5 PE=1 SV=3
+EAGEVATTSVPKVYYPGESLYTRLRLYNPNGFIEAITKSNALAKVLEYKADKSAKDHEVSRDVVYEVFGPSNFMLKQAWPQNAIATFVKLAACHLEPFPQSSIGRFLELPDRSLSSFWSETMRLLDDTQQEPPLYLLSSIADLCRIKLEVPANKSQHGIRMLLREFRTGTKQLVQKGEVNSGLIGVTDVAVGIMTPDQSEIMEFVKEVFIPYRECIQQPSDMVALNGFFKVFGPLYFSSFPDSDAGVIINSIQDIVGEQALYQRGHHTYALSTVMEICTARVLVDEGTLERLLQTVLGSTTCYNLSEPSVSSIEIILEYVRYRVIDNTKMVSKLDDLLNSEFLAELGAQTLSIRSLSKIAAKAVSLNEGGICYVIQKLLEANNLIETVADSNEVIRGIQSLTLIKVSDDPHILGRQLDVRLNRAVHVPEMAQLLRELISVCLTTKERHNENLLSFLPGLRLEAAQQRLENLPVAQLVSHLARLEELPAELRAVERLLALAQAAM
+>sp|P61289|PSME3_HUMAN Proteasome activator complex subunit 3 OS=Homo sapiens OX=9606 GN=PSME3 PE=1 SV=1
+MASLLKVDQEVKLKVDSFRERITSEAEDLVANFFPKKLLELDSFLKEPILNIHDLTQIHSDMNLPVPDPILLTNSHDGLDGPTYKKRRLDECEEAFQGTKVFVMPNGMLKSNQQLVDIIEKVKPEIRLLIEKCNTVKMWVQLLIPRIEDGNNFGVSIQEETVAELRTVESEAASYLDQISRYYITRAKLVSKIAKYPHVEDYRRTVTEIDEKEYISLRLIISELRNQYVTLHDMILKNIEKIKRPRSSNAETLY
+>DECOY_sp|P61289|PSME3_HUMAN Proteasome activator complex subunit 3 OS=Homo sapiens OX=9606 GN=PSME3 PE=1 SV=1
+YLTEANSSRPRKIKEINKLIMDHLTVYQNRLESIILRLSIYEKEDIETVTRRYDEVHPYKAIKSVLKARTIYYRSIQDLYSAAESEVTRLEAVTEEQISVGFNNGDEIRPILLQVWMKVTNCKEILLRIEPKVKEIIDVLQQNSKLMGNPMVFVKTGQFAEECEDLRRKKYTPGDLGDHSNTLLIPDPVPLNMDSHIQTLDHINLIPEKLFSDLELLKKPFFNAVLDEAESTIRERFSDVKLKVEQDVKLLSAM
+>sp|Q5JS54|PSMG4_HUMAN Proteasome assembly chaperone 4 OS=Homo sapiens OX=9606 GN=PSMG4 PE=1 SV=2
+MEGLVVAAGGDVSLHNFSARLWEQLVHFHVMRLTDSLFLWVGATPHLRNLAVAMCSRYDSIPVSTSLLGDTSDTTSTGLAQRLARKTNKQVFVSYNLQNTDSNFALLVENRIKEEMEAFPEKF
+>DECOY_sp|Q5JS54|PSMG4_HUMAN Proteasome assembly chaperone 4 OS=Homo sapiens OX=9606 GN=PSMG4 PE=1 SV=2
+FKEPFAEMEEKIRNEVLLAFNSDTNQLNYSVFVQKNTKRALRQALGTSTTDSTDGLLSTSVPISDYRSCMAVALNRLHPTAGVWLFLSDTLRMVHFHVLQEWLRASFNHLSVDGGAAVVLGEM
+>sp|P49768|PSN1_HUMAN Presenilin-1 OS=Homo sapiens OX=9606 GN=PSEN1 PE=1 SV=1
+MTELPAPLSYFQNAQMSEDNHLSNTVRSQNDNRERQEHNDRRSLGHPEPLSNGRPQGNSRQVVEQDEEEDEELTLKYGAKHVIMLFVPVTLCMVVVVATIKSVSFYTRKDGQLIYTPFTEDTETVGQRALHSILNAAIMISVIVVMTILLVVLYKYRCYKVIHAWLIISSLLLLFFFSFIYLGEVFKTYNVAVDYITVALLIWNFGVVGMISIHWKGPLRLQQAYLIMISALMALVFIKYLPEWTAWLILAVISVYDLVAVLCPKGPLRMLVETAQERNETLFPALIYSSTMVWLVNMAEGDPEAQRRVSKNSKYNAESTERESQDTVAENDDGGFSEEWEAQRDSHLGPHRSTPESRAAVQELSSSILAGEDPEERGVKLGLGDFIFYSVLVGKASATASGDWNTTIACFVAILIGLCLTLLLLAIFKKALPALPISITFGLVFYFATDYLVQPFMDQLAFHQFYI
+>DECOY_sp|P49768|PSN1_HUMAN Presenilin-1 OS=Homo sapiens OX=9606 GN=PSEN1 PE=1 SV=1
+IYFQHFALQDMFPQVLYDTAFYFVLGFTISIPLAPLAKKFIALLLLTLCLGILIAVFCAITTNWDGSATASAKGVLVSYFIFDGLGLKVGREEPDEGALISSSLEQVAARSEPTSRHPGLHSDRQAEWEESFGGDDNEAVTDQSERETSEANYKSNKSVRRQAEPDGEAMNVLWVMTSSYILAPFLTENREQATEVLMRLPGKPCLVAVLDYVSIVALILWATWEPLYKIFVLAMLASIMILYAQQLRLPGKWHISIMGVVGFNWILLAVTIYDVAVNYTKFVEGLYIFSFFFLLLLSSIILWAHIVKYCRYKYLVVLLITMVVIVSIMIAANLISHLARQGVTETDETFPTYILQGDKRTYFSVSKITAVVVVMCLTVPVFLMIVHKAGYKLTLEEDEEEDQEVVQRSNGQPRGNSLPEPHGLSRRDNHEQRERNDNQSRVTNSLHNDESMQANQFYSLPAPLETM
+>sp|Q6PGN9|PSRC1_HUMAN Proline/serine-rich coiled-coil protein 1 OS=Homo sapiens OX=9606 GN=PSRC1 PE=1 SV=1
+MEDLEEDVRFIVDETLDFGGLSPSDSREEEDITVLVTPEKPLRRGLSHRSDPNAVAPAPQGVRLSLGPLSPEKLEEILDEANRLAAQLEQCALQDRESAGEGLGPRRVKPSPRRETFVLKDSPVRDLLPTVNSLTRSTPSPSSLTPRLRSNDRKGSVRALRATSGKRPSNMKRESPTCNLFPASKSPASSPLTRSTPPVRGRAGPSGRAAASEETRAAKLRVSGSGEFVGLTLKFLHPSPPGPPTPIRSVLAPQPSTSNSQRLPRPQGAAAKSSSQLPIPSAIPRPASRMPLTSRSVPPGRGALPPDSLSTRKGLPRPSTAGHRVRESGHKVPVSQRLNLPVMGATRSNLQPPRKVAVPGPTR
+>DECOY_sp|Q6PGN9|PSRC1_HUMAN Proline/serine-rich coiled-coil protein 1 OS=Homo sapiens OX=9606 GN=PSRC1 PE=1 SV=1
+RTPGPVAVKRPPQLNSRTAGMVPLNLRQSVPVKHGSERVRHGATSPRPLGKRTSLSDPPLAGRGPPVSRSTLPMRSAPRPIASPIPLQSSSKAAAGQPRPLRQSNSTSPQPALVSRIPTPPGPPSPHLFKLTLGVFEGSGSVRLKAARTEESAAARGSPGARGRVPPTSRTLPSSAPSKSAPFLNCTPSERKMNSPRKGSTARLARVSGKRDNSRLRPTLSSPSPTSRTLSNVTPLLDRVPSDKLVFTERRPSPKVRRPGLGEGASERDQLACQELQAALRNAEDLIEELKEPSLPGLSLRVGQPAPAVANPDSRHSLGRRLPKEPTVLVTIDEEERSDSPSLGGFDLTEDVIFRVDEELDEM
+>sp|O95758|PTBP3_HUMAN Polypyrimidine tract-binding protein 3 OS=Homo sapiens OX=9606 GN=PTBP3 PE=1 SV=2
+MDGVVTDLITVGLKRGSDELLSSGIINGPFTMNSSTPSTANGNDSKKFKRDRPPCSPSRVLHLRKIPCDVTEAEIISLGLPFGKVTNLLMLKGKSQAFLEMASEEAAVTMVNYYTPITPHLRSQPVYIQYSNHRELKTDNLPNQARAQAALQAVSAVQSGSLALSGGPSNEGTVLPGQSPVLRIIIENLFYPVTLEVLHQIFSKFGTVLKIITFTKNNQFQALLQYADPVNAHYAKMALDGQNIYNACCTLRIDFSKLTSLNVKYNNDKSRDFTRLDLPTGDGQPSLEPPMAAAFGAPGIISSPYAGAAGFAPAIGFPQATGLSVPAVPGALGPLTITSSAVTGRMAIPGASGIPGNSVLLVTNLNPDLITPHGLFILFGVYGDVHRVKIMFNKKENALVQMADANQAQLAMNHLSGQRLYGKVLRATLSKHQAVQLPREGQEDQGLTKDFSNSPLHRFKKPGSKNFQNIFPPSATLHLSNIPPSVTVDDLKNLFIEAGCSVKAFKFFQKDRKMALIQLGSVEEAIQALIELHNHDLGENHHLRVSFSKSTI
+>DECOY_sp|O95758|PTBP3_HUMAN Polypyrimidine tract-binding protein 3 OS=Homo sapiens OX=9606 GN=PTBP3 PE=1 SV=2
+ITSKSFSVRLHHNEGLDHNHLEILAQIAEEVSGLQILAMKRDKQFFKFAKVSCGAEIFLNKLDDVTVSPPINSLHLTASPPFINQFNKSGPKKFRHLPSNSFDKTLGQDEQGERPLQVAQHKSLTARLVKGYLRQGSLHNMALQAQNADAMQVLANEKKNFMIKVRHVDGYVGFLIFLGHPTILDPNLNTVLLVSNGPIGSAGPIAMRGTVASSTITLPGLAGPVAPVSLGTAQPFGIAPAFGAAGAYPSSIIGPAGFAAAMPPELSPQGDGTPLDLRTFDRSKDNNYKVNLSTLKSFDIRLTCCANYINQGDLAMKAYHANVPDAYQLLAQFQNNKTFTIIKLVTGFKSFIQHLVELTVPYFLNEIIIRLVPSQGPLVTGENSPGGSLALSGSQVASVAQLAAQARAQNPLNDTKLERHNSYQIYVPQSRLHPTIPTYYNVMTVAAEESAMELFAQSKGKLMLLNTVKGFPLGLSIIEAETVDCPIKRLHLVRSPSCPPRDRKFKKSDNGNATSPTSSNMTFPGNIIGSSLLEDSGRKLGVTILDTVVGDM
+>sp|Q9Y3E5|PTH2_HUMAN Peptidyl-tRNA hydrolase 2, mitochondrial OS=Homo sapiens OX=9606 GN=PTRH2 PE=1 SV=1
+MPSKSLVMEYLAHPSTLGLAVGVACGMCLGWSLRVCFGMLPKSKTSKTHTDTESEASILGDSGEYKMILVVRNDLKMGKGKVAAQCSHAAVSAYKQIQRRNPEMLKQWEYCGQPKVVVKAPDEETLIALLAHAKMLGLTVSLIQDAGRTQIAPGSQTVLGIGPGPADLIDKVTGHLKLY
+>DECOY_sp|Q9Y3E5|PTH2_HUMAN Peptidyl-tRNA hydrolase 2, mitochondrial OS=Homo sapiens OX=9606 GN=PTRH2 PE=1 SV=1
+YLKLHGTVKDILDAPGPGIGLVTQSGPAIQTRGADQILSVTLGLMKAHALLAILTEEDPAKVVVKPQGCYEWQKLMEPNRRQIQKYASVAAHSCQAAVKGKGMKLDNRVVLIMKYEGSDGLISAESETDTHTKSTKSKPLMGFCVRLSWGLCMGCAVGVALGLTSPHALYEMVLSKSPM
+>sp|Q9NPH0|PPA6_HUMAN Lysophosphatidic acid phosphatase type 6 OS=Homo sapiens OX=9606 GN=ACP6 PE=1 SV=3
+MITGVFSMRLWTPVGVLTSLAYCLHQRRVALAELQEADGQCPVDRSLLKLKMVQVVFRHGARSPLKPLPLEEQVEWNPQLLEVPPQTQFDYTVTNLAGGPKPYSPYDSQYHETTLKGGMFAGQLTKVGMQQMFALGERLRKNYVEDIPFLSPTFNPQEVFIRSTNIFRNLESTRCLLAGLFQCQKEGPIIIHTDEADSEVLYPNYQSCWSLRQRTRGRRQTASLQPGISEDLKKVKDRMGIDSSDKVDFFILLDNVAAEQAHNLPSCPMLKRFARMIEQRAVDTSLYILPKEDRESLQMAVGPFLHILESNLLKAMDSATAPDKIRKLYLYAAHDVTFIPLLMTLGIFDHKWPPFAVDLTMELYQHLESKEWFVQLYYHGKEQVPRGCPDGLCPLDMFLNAMSVYTLSPEKYHALCSQTQVMEVGNEE
+>DECOY_sp|Q9NPH0|PPA6_HUMAN Lysophosphatidic acid phosphatase type 6 OS=Homo sapiens OX=9606 GN=ACP6 PE=1 SV=3
+EENGVEMVQTQSCLAHYKEPSLTYVSMANLFMDLPCLGDPCGRPVQEKGHYYLQVFWEKSELHQYLEMTLDVAFPPWKHDFIGLTMLLPIFTVDHAAYLYLKRIKDPATASDMAKLLNSELIHLFPGVAMQLSERDEKPLIYLSTDVARQEIMRAFRKLMPCSPLNHAQEAAVNDLLIFFDVKDSSDIGMRDKVKKLDESIGPQLSATQRRGRTRQRLSWCSQYNPYLVESDAEDTHIIIPGEKQCQFLGALLCRTSELNRFINTSRIFVEQPNFTPSLFPIDEVYNKRLREGLAFMQQMGVKTLQGAFMGGKLTTEHYQSDYPSYPKPGGALNTVTYDFQTQPPVELLQPNWEVQEELPLPKLPSRAGHRFVVQVMKLKLLSRDVPCQGDAEQLEALAVRRQHLCYALSTLVGVPTWLRMSFVGTIM
+>sp|P37231|PPARG_HUMAN Peroxisome proliferator-activated receptor gamma OS=Homo sapiens OX=9606 GN=PPARG PE=1 SV=3
+MGETLGDSPIDPESDSFTDTLSANISQEMTMVDTEMPFWPTNFGISSVDLSVMEDHSHSFDIKPFTTVDFSSISTPHYEDIPFTRTDPVVADYKYDLKLQEYQSAIKVEPASPPYYSEKTQLYNKPHEEPSNSLMAIECRVCGDKASGFHYGVHACEGCKGFFRRTIRLKLIYDRCDLNCRIHKKSRNKCQYCRFQKCLAVGMSHNAIRFGRMPQAEKEKLLAEISSDIDQLNPESADLRALAKHLYDSYIKSFPLTKAKARAILTGKTTDKSPFVIYDMNSLMMGEDKIKFKHITPLQEQSKEVAIRIFQGCQFRSVEAVQEITEYAKSIPGFVNLDLNDQVTLLKYGVHEIIYTMLASLMNKDGVLISEGQGFMTREFLKSLRKPFGDFMEPKFEFAVKFNALELDDSDLAIFIAVIILSGDRPGLLNVKPIEDIQDNLLQALELQLKLNHPESSQLFAKLLQKMTDLRQIVTEHVQLLQVIKKTETDMSLHPLLQEIYKDLY
+>DECOY_sp|P37231|PPARG_HUMAN Peroxisome proliferator-activated receptor gamma OS=Homo sapiens OX=9606 GN=PPARG PE=1 SV=3
+YLDKYIEQLLPHLSMDTETKKIVQLLQVHETVIQRLDTMKQLLKAFLQSSEPHNLKLQLELAQLLNDQIDEIPKVNLLGPRDGSLIIVAIFIALDSDDLELANFKVAFEFKPEMFDGFPKRLSKLFERTMFGQGESILVGDKNMLSALMTYIIEHVGYKLLTVQDNLDLNVFGPISKAYETIEQVAEVSRFQCGQFIRIAVEKSQEQLPTIHKFKIKDEGMMLSNMDYIVFPSKDTTKGTLIARAKAKTLPFSKIYSDYLHKALARLDASEPNLQDIDSSIEALLKEKEAQPMRGFRIANHSMGVALCKQFRCYQCKNRSKKHIRCNLDCRDYILKLRITRRFFGKCGECAHVGYHFGSAKDGCVRCEIAMLSNSPEEHPKNYLQTKESYYPPSAPEVKIASQYEQLKLDYKYDAVVPDTRTFPIDEYHPTSISSFDVTTFPKIDFSHSHDEMVSLDVSSIGFNTPWFPMETDVMTMEQSINASLTDTFSDSEPDIPSDGLTEGM
+>sp|Q9UNP9|PPIE_HUMAN Peptidyl-prolyl cis-trans isomerase E OS=Homo sapiens OX=9606 GN=PPIE PE=1 SV=1
+MATTKRVLYVGGLAEEVDDKVLHAAFIPFGDITDIQIPLDYETEKHRGFAFVEFELAEDAAAAIDNMNESELFGRTIRVNLAKPMRIKEGSSRPVWSDDDWLKKFSGKTLEENKEEEGSEPPKAETQEGEPIAKKARSNPQVYMDIKIGNKPAGRIQMLLRSDVVPMTAENFRCLCTHEKGFGFKGSSFHRIIPQFMCQGGDFTNHNGTGGKSIYGKKFDDENFILKHTGPGLLSMANSGPNTNGSQFFLTCDKTDWLDGKHVVFGEVTEGLDVLRQIEAQGSKDGKPKQKVIIADCGEYV
+>DECOY_sp|Q9UNP9|PPIE_HUMAN Peptidyl-prolyl cis-trans isomerase E OS=Homo sapiens OX=9606 GN=PPIE PE=1 SV=1
+VYEGCDAIIVKQKPKGDKSGQAEIQRLVDLGETVEGFVVHKGDLWDTKDCTLFFQSGNTNPGSNAMSLLGPGTHKLIFNEDDFKKGYISKGGTGNHNTFDGGQCMFQPIIRHFSSGKFGFGKEHTCLCRFNEATMPVVDSRLLMQIRGAPKNGIKIDMYVQPNSRAKKAIPEGEQTEAKPPESGEEEKNEELTKGSFKKLWDDDSWVPRSSGEKIRMPKALNVRITRGFLESENMNDIAAAADEALEFEVFAFGRHKETEYDLPIQIDTIDGFPIFAAHLVKDDVEEALGGVYLVRKTTAM
+>sp|Q13427|PPIG_HUMAN Peptidyl-prolyl cis-trans isomerase G OS=Homo sapiens OX=9606 GN=PPIG PE=1 SV=2
+MGIKVQRPRCFFDIAINNQPAGRVVFELFSDVCPKTCENFRCLCTGEKGTGKSTQKPLHYKSCLFHRVVKDFMVQGGDFSEGNGRGGESIYGGFFEDESFAVKHNKEFLLSMANRGKDTNGSQFFITTKPTPHLDGHHVVFGQVISGQEVVREIENQKTDAASKPFAEVRILSCGELIPKSKVKKEEKKRHKSSSSSSSSSSDSDSSSDSQSSSDSSDSESATEEKSKKRKKKHRKNSRKHKKEKKKRKKSKKSASSESEAENLEAQPQSTVRPEEIPPIPENRFLMRKSPPKADEKERKNRERERERECNPPNSQPASYQRRLLVTRSGRKIKGRGPRRYRTPSRSRSRDRFRRSETPPHWRQEMQRAQRMRVSSGERWIKGDKSELNEIKENQRSPVRVKERKITDHRNVSESPNRKNEKEKKVKDHKSNSKERDIRRNSEKDDKYKNKVKKRAKSKSRSKSKEKSKSKERDSKHNRNEEKRMRSRSKGRDHENVKEKEKQSDSKGKDQERSRSKEKSKQLESKSNEHDHSKSKEKDRRAQSRSRECDITKGKHSYNSRTRERSRSRDRSRRVRSRTHDRDRSRSKEYHRYREQEYRRRGRSRSRERRTPPGRSRSKDRRRRRRDSRSSEREESQSRNKDKYRNQESKSSHRKENSESEKRMYSKSRDHNSSNNSREKKADRDQSPFSKIKQSSQDNELKSSMLKNKEDEKIRSSVEKENQKSKGQENDHVHEKNKKFDHESSPGTDEDKSG
+>DECOY_sp|Q13427|PPIG_HUMAN Peptidyl-prolyl cis-trans isomerase G OS=Homo sapiens OX=9606 GN=PPIG PE=1 SV=2
+GSKDEDTGPSSEHDFKKNKEHVHDNEQGKSKQNEKEVSSRIKEDEKNKLMSSKLENDQSSQKIKSFPSQDRDAKKERSNNSSNHDRSKSYMRKESESNEKRHSSKSEQNRYKDKNRSQSEERESSRSDRRRRRRDKSRSRGPPTRRERSRSRGRRRYEQERYRHYEKSRSRDRDHTRSRVRRSRDRSRSRERTRSNYSHKGKTIDCERSRSQARRDKEKSKSHDHENSKSELQKSKEKSRSREQDKGKSDSQKEKEKVNEHDRGKSRSRMRKEENRNHKSDREKSKSKEKSKSRSKSKARKKVKNKYKDDKESNRRIDREKSNSKHDKVKKEKENKRNPSESVNRHDTIKREKVRVPSRQNEKIENLESKDGKIWREGSSVRMRQARQMEQRWHPPTESRRFRDRSRSRSPTRYRRPGRGKIKRGSRTVLLRRQYSAPQSNPPNCERERERERNKREKEDAKPPSKRMLFRNEPIPPIEEPRVTSQPQAELNEAESESSASKKSKKRKKKEKKHKRSNKRHKKKRKKSKEETASESDSSDSSSQSDSSSDSDSSSSSSSSSSKHRKKEEKKVKSKPILEGCSLIRVEAFPKSAADTKQNEIERVVEQGSIVQGFVVHHGDLHPTPKTTIFFQSGNTDKGRNAMSLLFEKNHKVAFSEDEFFGGYISEGGRGNGESFDGGQVMFDKVVRHFLCSKYHLPKQTSKGTGKEGTCLCRFNECTKPCVDSFLEFVVRGAPQNNIAIDFFCRPRQVKIGM
+>sp|Q13356|PPIL2_HUMAN RING-type E3 ubiquitin-protein ligase PPIL2 OS=Homo sapiens OX=9606 GN=PPIL2 PE=1 SV=1
+MGKRQHQKDKMYITCAEYTHFYGGKKPDLPQTNFRRLPFDHCSLSLQPFVYPVCTPDGIVFDLLNIVPWLKKYGTNPSNGEKLDGRSLIKLNFSKNSEGKYHCPVLFTVFTNNTHIVAVRTTGNVYAYEAVEQLNIKAKNFRDLLTDEPFSRQDIITLQDPTNLDKFNVSNFYHVKNNMKIIDPDEEKAKQDPSYYLKNTNAETRETLQELYKEFKGDEILAATMKAPEKKKVDKLNAAHYSTGKVSASFTSTAMVPETTHEAAAIDEDVLRYQFVKKKGYVRLHTNKGDLNLELHCDLTPKTCENFIRLCKKHYYDGTIFHRSIRNFVIQGGDPTGTGTGGESYWGKPFKDEFRPNLSHTGRGILSMANSGPNSNRSQFFITFRSCAYLDKKHTIFGRVVGGFDVLTAMENVESDPKTDRPKEEIRIDATTVFVDPYEEADAQIAQERKTQLKVAPETKVKSSQPQAGSQGPQTFRQGVGKYINPAATKRAAEEEPSTSATVPMSKKKPSRGFGDFSSW
+>DECOY_sp|Q13356|PPIL2_HUMAN RING-type E3 ubiquitin-protein ligase PPIL2 OS=Homo sapiens OX=9606 GN=PPIL2 PE=1 SV=1
+WSSFDGFGRSPKKKSMPVTASTSPEEEAARKTAAPNIYKGVGQRFTQPGQSGAQPQSSKVKTEPAVKLQTKREQAIQADAEEYPDVFVTTADIRIEEKPRDTKPDSEVNEMATLVDFGGVVRGFITHKKDLYACSRFTIFFQSRNSNPGSNAMSLIGRGTHSLNPRFEDKFPKGWYSEGGTGTGTPDGGQIVFNRISRHFITGDYYHKKCLRIFNECTKPTLDCHLELNLDGKNTHLRVYGKKKVFQYRLVDEDIAAAEHTTEPVMATSTFSASVKGTSYHAANLKDVKKKEPAKMTAALIEDGKFEKYLEQLTERTEANTNKLYYSPDQKAKEEDPDIIKMNNKVHYFNSVNFKDLNTPDQLTIIDQRSFPEDTLLDRFNKAKINLQEVAEYAYVNGTTRVAVIHTNNTFVTFLVPCHYKGESNKSFNLKILSRGDLKEGNSPNTGYKKLWPVINLLDFVIGDPTCVPYVFPQLSLSCHDFPLRRFNTQPLDPKKGGYFHTYEACTIYMKDKQHQRKGM
+>sp|P35813|PPM1A_HUMAN Protein phosphatase 1A OS=Homo sapiens OX=9606 GN=PPM1A PE=1 SV=1
+MGAFLDKPKMEKHNAQGQGNGLRYGLSSMQGWRVEMEDAHTAVIGLPSGLESWSFFAVYDGHAGSQVAKYCCEHLLDHITNNQDFKGSAGAPSVENVKNGIRTGFLEIDEHMRVMSEKKHGADRSGSTAVGVLISPQHTYFINCGDSRGLLCRNRKVHFFTQDHKPSNPLEKERIQNAGGSVMIQRVNGSLAVSRALGDFDYKCVHGKGPTEQLVSPEPEVHDIERSEEDDQFIILACDGIWDVMGNEELCDFVRSRLEVTDDLEKVCNEVVDTCLYKGSRDNMSVILICFPNAPKVSPEAVKKEAELDKYLECRVEEIIKKQGEGVPDLVHVMRTLASENIPSLPPGGELASKRNVIEAVYNRLNPYKNDDTDSTSTDDMW
+>DECOY_sp|P35813|PPM1A_HUMAN Protein phosphatase 1A OS=Homo sapiens OX=9606 GN=PPM1A PE=1 SV=1
+WMDDTSTSDTDDNKYPNLRNYVAEIVNRKSALEGGPPLSPINESALTRMVHVLDPVGEGQKKIIEEVRCELYKDLEAEKKVAEPSVKPANPFCILIVSMNDRSGKYLCTDVVENCVKELDDTVELRSRVFDCLEENGMVDWIGDCALIIFQDDEESREIDHVEPEPSVLQETPGKGHVCKYDFDGLARSVALSGNVRQIMVSGGANQIREKELPNSPKHDQTFFHVKRNRCLLGRSDGCNIFYTHQPSILVGVATSGSRDAGHKKESMVRMHEDIELFGTRIGNKVNEVSPAGASGKFDQNNTIHDLLHECCYKAVQSGAHGDYVAFFSWSELGSPLGIVATHADEMEVRWGQMSSLGYRLGNGQGQANHKEMKPKDLFAGM
+>sp|P49593|PPM1F_HUMAN Protein phosphatase 1F OS=Homo sapiens OX=9606 GN=PPM1F PE=1 SV=3
+MSSGAPQKSSPMASGAEETPGFLDTLLQDFPALLNPEDPLPWKAPGTVLSQEEVEGELAELAMGFLGSRKAPPPLAAALAHEAVSQLLQTDLSEFRKLPREEEEEEEDDDEEEKAPVTLLDAQSLAQSFFNRLWEVAGQWQKQVPLAARASQRQWLVSIHAIRNTRRKMEDRHVSLPSFNQLFGLSDPVNRAYFAVFDGHGGVDAARYAAVHVHTNAARQPELPTDPEGALREAFRRTDQMFLRKAKRERLQSGTTGVCALIAGATLHVAWLGDSQVILVQQGQVVKLMEPHRPERQDEKARIEALGGFVSHMDCWRVNGTLAVSRAIGDVFQKPYVSGEADAASRALTGSEDYLLLACDGFFDVVPHQEVVGLVQSHLTRQQGSGLRVAEELVAAARERGSHDNITVMVVFLRDPQELLEGGNQGEGDPQAEGRRQDLPSSLPEPETQAPPRS
+>DECOY_sp|P49593|PPM1F_HUMAN Protein phosphatase 1F OS=Homo sapiens OX=9606 GN=PPM1F PE=1 SV=3
+SRPPAQTEPEPLSSPLDQRRGEAQPDGEGQNGGELLEQPDRLFVVMVTINDHSGRERAAAVLEEAVRLGSGQQRTLHSQVLGVVEQHPVVDFFGDCALLLYDESGTLARSAADAEGSVYPKQFVDGIARSVALTGNVRWCDMHSVFGGLAEIRAKEDQREPRHPEMLKVVQGQQVLIVQSDGLWAVHLTAGAILACVGTTGSQLRERKAKRLFMQDTRRFAERLAGEPDTPLEPQRAANTHVHVAAYRAADVGGHGDFVAFYARNVPDSLGFLQNFSPLSVHRDEMKRRTNRIAHISVLWQRQSARAALPVQKQWQGAVEWLRNFFSQALSQADLLTVPAKEEEDDDEEEEEEERPLKRFESLDTQLLQSVAEHALAAALPPPAKRSGLFGMALEALEGEVEEQSLVTGPAKWPLPDEPNLLAPFDQLLTDLFGPTEEAGSAMPSSKQPAGSSM
+>sp|Q8N3J5|PPM1K_HUMAN Protein phosphatase 1K, mitochondrial OS=Homo sapiens OX=9606 GN=PPM1K PE=1 SV=1
+MSTAALITLVRSGGNQVRRRVLLSSRLLQDDRRVTPTCHSSTSEPRCSRFDPDGSGSPATWDNFGIWDNRIDEPILLPPSIKYGKPIPKISLENVGCASQIGKRKENEDRFDFAQLTDEVLYFAVYDGHGGPAAADFCHTHMEKCIMDLLPKEKNLETLLTLAFLEIDKAFSSHARLSADATLLTSGTTATVALLRDGIELVVASVGDSRAILCRKGKPMKLTIDHTPERKDEKERIKKCGGFVAWNSLGQPHVNGRLAMTRSIGDLDLKTSGVIAEPETKRIKLHHADDSFLVLTTDGINFMVNSQEICDFVNQCHDPNEAAHAVTEQAIQYGTEDNSTAVVVPFGAWGKYKNSEINFSFSRSFASSGRWA
+>DECOY_sp|Q8N3J5|PPM1K_HUMAN Protein phosphatase 1K, mitochondrial OS=Homo sapiens OX=9606 GN=PPM1K PE=1 SV=1
+AWRGSSAFSRSFSFNIESNKYKGWAGFPVVVATSNDETGYQIAQETVAHAAENPDHCQNVFDCIEQSNVMFNIGDTTLVLFSDDAHHLKIRKTEPEAIVGSTKLDLDGISRTMALRGNVHPQGLSNWAVFGGCKKIREKEDKREPTHDITLKMPKGKRCLIARSDGVSAVVLEIGDRLLAVTATTGSTLLTADASLRAHSSFAKDIELFALTLLTELNKEKPLLDMICKEMHTHCFDAAAPGGHGDYVAFYLVEDTLQAFDFRDENEKRKGIQSACGVNELSIKPIPKGYKISPPLLIPEDIRNDWIGFNDWTAPSGSGDPDFRSCRPESTSSHCTPTVRRDDQLLRSSLLVRRRVQNGGSRVLTILAATSM
+>sp|Q8N819|PPM1N_HUMAN Probable protein phosphatase 1N OS=Homo sapiens OX=9606 GN=PPM1N PE=2 SV=2
+MAVLARQLQRLLWTACKKKEREKEGREEEEEEEAGRRAPEGPRSLLTAPRRAQRPHGGAEASGGLRFGASAAQGWRARMEDAHCTWLSLPGLPPGWALFAVLDGHGGARAARFGARHLPGHVLQELGPEPSEPEGVREALRRAFLSADERLRSLWPRVETGGCTAVVLLVSPRFLYLAHCGDSRAVLSRAGAVAFSTEDHRPLRPRERERIHAAGGTIRRRRVEGSLAVSRALGDFTYKEAPGRPPELQLVSAEPEVAALARQAEDEFMLLASDGVWDTVSGAALAGLVASRLRLGLAPELLCAQLLDTCLCKGSLDNMTCILVCFPGAPRPSEEAIRRELALDAALGCRIAELCASAQKPPSLNTVFRTLASEDIPDLPPGGGLDCKATVIAEVYSQICQVSEECGEKGQDGAGKSNPTHLGSALDMEA
+>DECOY_sp|Q8N819|PPM1N_HUMAN Probable protein phosphatase 1N OS=Homo sapiens OX=9606 GN=PPM1N PE=2 SV=2
+AEMDLASGLHTPNSKGAGDQGKEGCEESVQCIQSYVEAIVTAKCDLGGGPPLDPIDESALTRFVTNLSPPKQASACLEAIRCGLAADLALERRIAEESPRPAGPFCVLICTMNDLSGKCLCTDLLQACLLEPALGLRLRSAVLGALAAGSVTDWVGDSALLMFEDEAQRALAAVEPEASVLQLEPPRGPAEKYTFDGLARSVALSGEVRRRRITGGAAHIRERERPRLPRHDETSFAVAGARSLVARSDGCHALYLFRPSVLLVVATCGGTEVRPWLSRLREDASLFARRLAERVGEPESPEPGLEQLVHGPLHRAGFRAARAGGHGDLVAFLAWGPPLGPLSLWTCHADEMRARWGQAASAGFRLGGSAEAGGHPRQARRPATLLSRPGEPARRGAEEEEEEERGEKEREKKKCATWLLRQLQRALVAM
+>sp|Q9Y570|PPME1_HUMAN Protein phosphatase methylesterase 1 OS=Homo sapiens OX=9606 GN=PPME1 PE=1 SV=3
+MSALEKSMHLGRLPSRPPLPGSGGSQSGAKMRMGPGRKRDFSPVPWSQYFESMEDVEVENETGKDTFRVYKSGSEGPVLLLLHGGGHSALSWAVFTAAIISRVQCRIVALDLRSHGETKVKNPEDLSAETMAKDVGNVVEAMYGDLPPPIMLIGHSMGGAIAVHTASSNLVPSLLGLCMIDVVEGTAMDALNSMQNFLRGRPKTFKSLENAIEWSVKSGQIRNLESARVSMVGQVKQCEGITSPEGSKSIVEGIIEEEEEDEEGSESISKRKKEDDMETKKDHPYTWRIELAKTEKYWDGWFRGLSNLFLSCPIPKLLLLAGVDRLDKDLTIGQMQGKFQMQVLPQCGHAVHEDAPDKVAEAVATFLIRHRFAEPIGGFQCVFPGC
+>DECOY_sp|Q9Y570|PPME1_HUMAN Protein phosphatase methylesterase 1 OS=Homo sapiens OX=9606 GN=PPME1 PE=1 SV=3
+CGPFVCQFGGIPEAFRHRILFTAVAEAVKDPADEHVAHGCQPLVQMQFKGQMQGITLDKDLRDVGALLLLKPIPCSLFLNSLGRFWGDWYKETKALEIRWTYPHDKKTEMDDEKKRKSISESGEEDEEEEEIIGEVISKSGEPSTIGECQKVQGVMSVRASELNRIQGSKVSWEIANELSKFTKPRGRLFNQMSNLADMATGEVVDIMCLGLLSPVLNSSATHVAIAGGMSHGILMIPPPLDGYMAEVVNGVDKAMTEASLDEPNKVKTEGHSRLDLAVIRCQVRSIIAATFVAWSLASHGGGHLLLLVPGESGSKYVRFTDKGTENEVEVDEMSEFYQSWPVPSFDRKRGPGMRMKAGSQSGGSGPLPPRSPLRGLHMSKELASM
+>sp|P50336|PPOX_HUMAN Protoporphyrinogen oxidase OS=Homo sapiens OX=9606 GN=PPOX PE=1 SV=1
+MGRTVVVLGGGISGLAASYHLSRAPCPPKVVLVESSERLGGWIRSVRGPNGAIFELGPRGIRPAGALGARTLLLVSELGLDSEVLPVRGDHPAAQNRFLYVGGALHALPTGLRGLLRPSPPFSKPLFWAGLRELTKPRGKEPDETVHSFAQRRLGPEVASLAMDSLCRGVFAGNSRELSIRSCFPSLFQAEQTHRSILLGLLLGAGRTPQPDSALIRQALAERWSQWSLRGGLEMLPQALETHLTSRGVSVLRGQPVCGLSLQAEGRWKVSLRDSSLEADHVISAIPASVLSELLPAEAAPLARALSAITAVSVAVVNLQYQGAHLPVQGFGHLVPSSEDPGVLGIVYDSVAFPEQDGSPPGLRVTVMLGGSWLQTLEASGCVLSQELFQQRAQEAAATQLGLKEMPSHCLVHLHKNCIPQYTLGHWQKLESARQFLTAHRLPLTLAGASYEGVAVNDCIESGRQAAVSVLGTEPNS
+>DECOY_sp|P50336|PPOX_HUMAN Protoporphyrinogen oxidase OS=Homo sapiens OX=9606 GN=PPOX PE=1 SV=1
+SNPETGLVSVAAQRGSEICDNVAVGEYSAGALTLPLRHATLFQRASELKQWHGLTYQPICNKHLHVLCHSPMEKLGLQTAAAEQARQQFLEQSLVCGSAELTQLWSGGLMVTVRLGPPSGDQEPFAVSDYVIGLVGPDESSPVLHGFGQVPLHAGQYQLNVVAVSVATIASLARALPAAEAPLLESLVSAPIASIVHDAELSSDRLSVKWRGEAQLSLGCVPQGRLVSVGRSTLHTELAQPLMELGGRLSWQSWREALAQRILASDPQPTRGAGLLLGLLISRHTQEAQFLSPFCSRISLERSNGAFVGRCLSDMALSAVEPGLRRQAFSHVTEDPEKGRPKTLERLGAWFLPKSFPPSPRLLGRLGTPLAHLAGGVYLFRNQAAPHDGRVPLVESDLGLESVLLLTRAGLAGAPRIGRPGLEFIAGNPGRVSRIWGGLRESSEVLVVKPPCPARSLHYSAALGSIGGGLVVVTRGM
+>sp|O96001|PPR17_HUMAN Protein phosphatase 1 regulatory subunit 17 OS=Homo sapiens OX=9606 GN=PPP1R17 PE=1 SV=2
+MMSTEQMQPLELSEDRLDKLDPRCSHLDDLSDQFIKDCDLKKKPRKGKNVQATLNVESDQKKPRRKDTPALHIPPFIPGVFSEHLIKRYDVQERHPKGKMIPVLHNTDLEQKKPRRKDTPALHMSPFAAGVTLLRDERPKAIVEDDEKDGDKIAI
+>DECOY_sp|O96001|PPR17_HUMAN Protein phosphatase 1 regulatory subunit 17 OS=Homo sapiens OX=9606 GN=PPP1R17 PE=1 SV=2
+IAIKDGDKEDDEVIAKPREDRLLTVGAAFPSMHLAPTDKRRPKKQELDTNHLVPIMKGKPHREQVDYRKILHESFVGPIFPPIHLAPTDKRRPKKQDSEVNLTAQVNKGKRPKKKLDCDKIFQDSLDDLHSCRPDLKDLRDESLELPQMQETSMM
+>sp|O75864|PPR37_HUMAN Protein phosphatase 1 regulatory subunit 37 OS=Homo sapiens OX=9606 GN=PPP1R37 PE=1 SV=4
+MEIAPQEAPPVPGADGDIEEAPAEAGSPSPASPPADGRLKAAAKRVTFPSDEDIVSGAVEPKDPWRHAQNVTVDEVIGAYKQACQKLNCRQIPKLLRQLQEFTDLGHRLDCLDLKGEKLDYKTCEALEEVFKRLQFKVVDLEQTNLDEDGASALFDMIEYYESATHLNISFNKHIGTRGWQAAAHMMRKTSCLQYLDARNTPLLDHSAPFVARALRIRSSLAVLHLENASLSGRPLMLLATALKMNMNLRELYLADNKLNGLQDSAQLGNLLKFNCSLQILDLRNNHVLDSGLAYICEGLKEQRKGLVTLVLWNNQLTHTGMAFLGMTLPHTQSLETLNLGHNPIGNEGVRHLKNGLISNRSVLRLGLASTKLTCEGAVAVAEFIAESPRLLRLDLRENEIKTGGLMALSLALKVNHSLLRLDLDREPKKEAVKSFIETQKALLAEIQNGCKRNLVLAREREEKEQPPQLSASMPETTATEPQPDDEPAAGVQNGAPSPAPSPDSDSDSDSDGEEEEEEEGERDETPCPALVPPTDSLGPGDRSPPGSPSTPTEQRISVSSPGRGHKVFVVTRVESPPERAEPPASPTPPSPPPPPSPPASPSLPPAGAIDTRDTGSSEPQPPPEPPRSGPPLPNGLKPEFALALPPEPPPGPEVKGGSCGLEHELSCSKNEKELEELLLEASQESGQETL
+>DECOY_sp|O75864|PPR37_HUMAN Protein phosphatase 1 regulatory subunit 37 OS=Homo sapiens OX=9606 GN=PPP1R37 PE=1 SV=4
+LTEQGSEQSAELLLEELEKENKSCSLEHELGCSGGKVEPGPPPEPPLALAFEPKLGNPLPPGSRPPEPPPQPESSGTDRTDIAGAPPLSPSAPPSPPPPPSPPTPSAPPEAREPPSEVRTVVFVKHGRGPSSVSIRQETPTSPSGPPSRDGPGLSDTPPVLAPCPTEDREGEEEEEEEGDSDSDSDSDPSPAPSPAGNQVGAAPEDDPQPETATTEPMSASLQPPQEKEERERALVLNRKCGNQIEALLAKQTEIFSKVAEKKPERDLDLRLLSHNVKLALSLAMLGGTKIENERLDLRLLRPSEAIFEAVAVAGECTLKTSALGLRLVSRNSILGNKLHRVGENGIPNHGLNLTELSQTHPLTMGLFAMGTHTLQNNWLVLTVLGKRQEKLGECIYALGSDLVHNNRLDLIQLSCNFKLLNGLQASDQLGNLKNDALYLERLNMNMKLATALLMLPRGSLSANELHLVALSSRIRLARAVFPASHDLLPTNRADLYQLCSTKRMMHAAAQWGRTGIHKNFSINLHTASEYYEIMDFLASAGDEDLNTQELDVVKFQLRKFVEELAECTKYDLKEGKLDLCDLRHGLDTFEQLQRLLKPIQRCNLKQCAQKYAGIVEDVTVNQAHRWPDKPEVAGSVIDEDSPFTVRKAAAKLRGDAPPSAPSPSGAEAPAEEIDGDAGPVPPAEQPAIEM
+>sp|Q6ZSY5|PPR3F_HUMAN Protein phosphatase 1 regulatory subunit 3F OS=Homo sapiens OX=9606 GN=PPP1R3F PE=1 SV=3
+MARTAPVEPPLRHSAPPSPAAGEPRTSVEAAVAPRRVLFADEALGLPLAQLRRYRPWGGPGAGKMAAAAGQDGGGGGGADEDDDGEDGDEGEEEEEACPEPSPLCPVPAGGGFYLVPTFSLPPAPGRLERLGRVMVELEALLPPPGAVPGGAGVWVPGGRPPVLRGLVRVLNRSFEKAVHVRASHDGWASFCDHPARYVPRSPPWAGAGGTGAGDPILDPGLGLGPGQASASSPDDGGRTDRFAFQLPFAEGAGDGARLDFVVRYETPEGTFWANNHGRNYTVLLRIAPAPTPTDAEGLPQQQQLPQLEPQPECQGPVEAEARQLKSCMKPVRRRPAEEELKTKNMDDNTFAMAEHPDVQESVGPLVAPTPLRPWPQMTLQVSDVPMTGNPAEEGDVPRSSPPVAFTEVLQAPAIRIPPSSPLCGLGGSPRDQASGPDASEGATGPFLEPSQQQAEATWGVSSENGGGLEAVSGSEELLGEDTIDQELEQLYLSHLSRLRAAVAAGGAGGGGEGSTDGGMSPSHPLGILTDRDLILKWPGPERALNSALAEEITLHYARLGRGVELIKDTEDPDDEGEGEEGLSVTPSSPEGDSPKESPPEILSGARSVVATMGDVWLPWAEGSGCDGPVVLGTEGQFIGDPEKGMGKDTSSLHMNRVIAGVTESLGEAGTEAQIEVTSEWAGSLDPISGKEPASPVLLQGQNPTLLSPLGAEVCLSSVARPHVSSQDEKDAGPSLEPPKKSPTLAVPAECVCALPPQLRGPLTQTLGVLAGLVVVPVALNSGVSLLVLALCLSLAWFS
+>DECOY_sp|Q6ZSY5|PPR3F_HUMAN Protein phosphatase 1 regulatory subunit 3F OS=Homo sapiens OX=9606 GN=PPP1R3F PE=1 SV=3
+SFWALSLCLALVLLSVGSNLAVPVVVLGALVGLTQTLPGRLQPPLACVCEAPVALTPSKKPPELSPGADKEDQSSVHPRAVSSLCVEAGLPSLLTPNQGQLLVPSAPEKGSIPDLSGAWESTVEIQAETGAEGLSETVGAIVRNMHLSSTDKGMGKEPDGIFQGETGLVVPGDCGSGEAWPLWVDGMTAVVSRAGSLIEPPSEKPSDGEPSSPTVSLGEEGEGEDDPDETDKILEVGRGLRAYHLTIEEALASNLAREPGPWKLILDRDTLIGLPHSPSMGGDTSGEGGGGAGGAAVAARLRSLHSLYLQELEQDITDEGLLEESGSVAELGGGNESSVGWTAEAQQQSPELFPGTAGESADPGSAQDRPSGGLGCLPSSPPIRIAPAQLVETFAVPPSSRPVDGEEAPNGTMPVDSVQLTMQPWPRLPTPAVLPGVSEQVDPHEAMAFTNDDMNKTKLEEEAPRRRVPKMCSKLQRAEAEVPGQCEPQPELQPLQQQQPLGEADTPTPAPAIRLLVTYNRGHNNAWFTGEPTEYRVVFDLRAGDGAGEAFPLQFAFRDTRGGDDPSSASAQGPGLGLGPDLIPDGAGTGGAGAWPPSRPVYRAPHDCFSAWGDHSARVHVAKEFSRNLVRVLGRLVPPRGGPVWVGAGGPVAGPPPLLAELEVMVRGLRELRGPAPPLSFTPVLYFGGGAPVPCLPSPEPCAEEEEEGEDGDEGDDDEDAGGGGGGDQGAAAAMKGAGPGGWPRYRRLQALPLGLAEDAFLVRRPAVAAEVSTRPEGAAPSPPASHRLPPEVPATRAM
+>sp|Q9UMR5|PPT2_HUMAN Lysosomal thioesterase PPT2 OS=Homo sapiens OX=9606 GN=PPT2 PE=1 SV=4
+MLGLCGQRLPAAWVLLLLPFLPLLLLAAPAPHRASYKPVIVVHGLFDSSYSFRHLLEYINETHPGTVVTVLDLFDGRESLRPLWEQVQGFREAVVPIMAKAPQGVHLICYSQGGLVCRALLSVMDDHNVDSFISLSSPQMGQYGDTDYLKWLFPTSMRSNLYRICYSPWGQEFSICNYWHDPHHDDLYLNASSFLALINGERDHPNATVWRKNFLRVGHLVLIGGPDDGVITPWQSSFFGFYDANETVLEMEEQLVYLRDSFGLKTLLARGAIVRCPMAGISHTAWHSNRTLYETCIEPWLS
+>DECOY_sp|Q9UMR5|PPT2_HUMAN Lysosomal thioesterase PPT2 OS=Homo sapiens OX=9606 GN=PPT2 PE=1 SV=4
+SLWPEICTEYLTRNSHWATHSIGAMPCRVIAGRALLTKLGFSDRLYVLQEEMELVTENADYFGFFSSQWPTIVGDDPGGILVLHGVRLFNKRWVTANPHDREGNILALFSSANLYLDDHHPDHWYNCISFEQGWPSYCIRYLNSRMSTPFLWKLYDTDGYQGMQPSSLSIFSDVNHDDMVSLLARCVLGGQSYCILHVGQPAKAMIPVVAERFGQVQEWLPRLSERGDFLDLVTVVTGPHTENIYELLHRFSYSSDFLGHVVIVPKYSARHPAPAALLLLPLFPLLLLVWAAPLRQGCLGLM
+>sp|Q9NRI7|PPY2_HUMAN Putative pancreatic polypeptide 2 OS=Homo sapiens OX=9606 GN=PPY2P PE=5 SV=1
+MAAACRCLSLLLLSTCVALLL
+>DECOY_sp|Q9NRI7|PPY2_HUMAN Putative pancreatic polypeptide 2 OS=Homo sapiens OX=9606 GN=PPY2P PE=5 SV=1
+LLLAVCTSLLLLSLCRCAAAM
+>sp|Q9BU68|PR15L_HUMAN Proline-rich protein 15-like protein OS=Homo sapiens OX=9606 GN=PRR15L PE=3 SV=1
+MTTEIGWWKLTFLRKKKSTPKVLYEIPDTYAQTEGDAEPPRPDAGGPNSDFNTRLEKIVDKSTKGKHVKVSNSGRFKEKKKVRATLAENPNLFDDHEEGRSSK
+>DECOY_sp|Q9BU68|PR15L_HUMAN Proline-rich protein 15-like protein OS=Homo sapiens OX=9606 GN=PRR15L PE=3 SV=1
+KSSRGEEHDDFLNPNEALTARVKKKEKFRGSNSVKVHKGKTSKDVIKELRTNFDSNPGGADPRPPEADGETQAYTDPIEYLVKPTSKKKRLFTLKWWGIETTM
+>sp|A0A0G2JMD5|PRA33_HUMAN PRAME family member 33 OS=Homo sapiens OX=9606 GN=PRAMEF33 PE=3 SV=1
+MSLQAPSRLLELAGQSLLRNQFLTIFTLDELPREVFPLMFMEAFSMRRFEALKLMVQAWPFLRLPLGSLMKTPHLETLQAVLRGLDTLVAQKVRPRRWKLQVLDLQDVDENFWTIWSGARVLSCSPEAMSKRQTVEDCPRMGEHQPLKVFIDLCLKESTLDECLSYLFGWIHYRRGLVHLCCSKVQNYSMPTSSFRNLLERIYPDSIQELEVWKKCSLNKTGKFAPYLSQMSNLRELFLAFGYERELYVSVQWPCIPDLDSPFLCLYYPQMLYIKKISNIKEHLEHLLRYLKNPLGAFIFSDAYLADRDMECLSQYPSLSQLKELRLIHILMWTTNLQPLGALLEKVAATLKTLVLKDCRIQDPQLRVLLPALSHCSQLTTFNFHGNETSMNALKDLLRHTRGLSKLGLELYPAPLESLDYKGHVNWEILTPIRAELMRTLREVRQPKRIFFGPVPCPTCGSWPSEKVDFHLCS
+>DECOY_sp|A0A0G2JMD5|PRA33_HUMAN PRAME family member 33 OS=Homo sapiens OX=9606 GN=PRAMEF33 PE=3 SV=1
+SCLHFDVKESPWSGCTPCPVPGFFIRKPQRVERLTRMLEARIPTLIEWNVHGKYDLSELPAPYLELGLKSLGRTHRLLDKLANMSTENGHFNFTTLQSCHSLAPLLVRLQPDQIRCDKLVLTKLTAAVKELLAGLPQLNTTWMLIHILRLEKLQSLSPYQSLCEMDRDALYADSFIFAGLPNKLYRLLHELHEKINSIKKIYLMQPYYLCLFPSDLDPICPWQVSVYLEREYGFALFLERLNSMQSLYPAFKGTKNLSCKKWVELEQISDPYIRELLNRFSSTPMSYNQVKSCCLHVLGRRYHIWGFLYSLCEDLTSEKLCLDIFVKLPQHEGMRPCDEVTQRKSMAEPSCSLVRAGSWITWFNEDVDQLDLVQLKWRRPRVKQAVLTDLGRLVAQLTELHPTKMLSGLPLRLFPWAQVMLKLAEFRRMSFAEMFMLPFVERPLEDLTFITLFQNRLLSQGALELLRSPAQLSM
+>sp|Q5TYX0|PRAM5_HUMAN PRAME family member 5 OS=Homo sapiens OX=9606 GN=PRAMEF5 PE=2 SV=2
+MSIRTPPRLLELAGRSLLRDQALAMSTLEELPTELFPPLFMEAFSRRRCEALKLMVQAWPFRRLPLRPLIKMPCLEAFQAVLDGLDALLTQGVHPRRWKLQVLDLQDVCENFWMVWSEAMAHGCFLNAKRNKKPVQDCPRMRGQQPLTVFVELWLKNRTLDEYLTCLLLWVKQRKDLLHLCCKKLKILGMPFRNIRSILKMVNLDCIQEVEVNCKWVLPILTQFTPYLGHMRNLQKLVLSHMDVSRYVSPEQKKEIVTQFTTQFLKLCCLQKLSMNSVSFLEGHLDQLLSCLKTSLKVLTITNCVLLESDLKHLSQCPSISQLKTLDLSGIRLTNYSLVPLQILLEKVAATLEYLDLDDCGIIDSQVNAILPALSRCFELNTFSFCGNPISMATLENLLSHTIILKNLCVELYPAPRESYDADGTLCWSRFPQIRAELMKRVRDLRHPKRILFCTDCCPDCGNRSFYDLEADQCCC
+>DECOY_sp|Q5TYX0|PRAM5_HUMAN PRAME family member 5 OS=Homo sapiens OX=9606 GN=PRAMEF5 PE=2 SV=2
+CCCQDAELDYFSRNGCDPCCDTCFLIRKPHRLDRVRKMLEARIQPFRSWCLTGDADYSERPAPYLEVCLNKLIITHSLLNELTAMSIPNGCFSFTNLEFCRSLAPLIANVQSDIIGCDDLDLYELTAAVKELLIQLPVLSYNTLRIGSLDLTKLQSISPCQSLHKLDSELLVCNTITLVKLSTKLCSLLQDLHGELFSVSNMSLKQLCCLKLFQTTFQTVIEKKQEPSVYRSVDMHSLVLKQLNRMHGLYPTFQTLIPLVWKCNVEVEQICDLNVMKLISRINRFPMGLIKLKKCCLHLLDKRQKVWLLLCTLYEDLTRNKLWLEVFVTLPQQGRMRPCDQVPKKNRKANLFCGHAMAESWVMWFNECVDQLDLVQLKWRRPHVGQTLLADLGDLVAQFAELCPMKILPRLPLRRFPWAQVMLKLAECRRRSFAEMFLPPFLETPLEELTSMALAQDRLLSRGALELLRPPTRISM
+>sp|Q92733|PRCC_HUMAN Proline-rich protein PRCC OS=Homo sapiens OX=9606 GN=PRCC PE=1 SV=1
+MSLVAYASSDESEPDEAEPEPEEEEAVAPTSGPALGGLFASLPAPKGPALLPPPPQMLAPAFPPPLLLPPPTGDPRLQPPPPLPFGLGGFPPPPGVSPAEAAGVGEGLGLGLPSPRGPGLNLPPPIGGAGPPLGLPKPKKRKEPVKIAAPELHKGDSDSEEDEPTKKKTILQGSSEGTGLSALLPQPKNLTVKETNRLLLPHAFSRKPSDGSPDTKPSRLASKTKTSSLAPVVGTTTTTPSPSAIKAAAKSAALQVTKQITQEEDDSDEEVAPENFFSLPEKAEPPGVEPYPYPIPTVPEELPPGTEPEPAFQDDAANAPLEFKMAAGSSGAPWMPKPGDDYSYNQFSTYGDANAAGAYYQDYYSGGYYPAQDPALVPPQEIAPDASFIDDEAFKRLQGKRNRGREEINFVEIKGDDQLSGAQQWMTKSLTEEKTMKSFSKKKGEQPTGQQRRKHQITYLIHQAKERELELKNTWSENKLSRRQTQAKYGF
+>DECOY_sp|Q92733|PRCC_HUMAN Proline-rich protein PRCC OS=Homo sapiens OX=9606 GN=PRCC PE=1 SV=1
+FGYKAQTQRRSLKNESWTNKLELEREKAQHILYTIQHKRRQQGTPQEGKKKSFSKMTKEETLSKTMWQQAGSLQDDGKIEVFNIEERGRNRKGQLRKFAEDDIFSADPAIEQPPVLAPDQAPYYGGSYYDQYYAGAANADGYTSFQNYSYDDGPKPMWPAGSSGAAMKFELPANAADDQFAPEPETGPPLEEPVTPIPYPYPEVGPPEAKEPLSFFNEPAVEEDSDDEEQTIQKTVQLAASKAAAKIASPSPTTTTTGVVPALSSTKTKSALRSPKTDPSGDSPKRSFAHPLLLRNTEKVTLNKPQPLLASLGTGESSGQLITKKKTPEDEESDSDGKHLEPAAIKVPEKRKKPKPLGLPPGAGGIPPPLNLGPGRPSPLGLGLGEGVGAAEAPSVGPPPPFGGLGFPLPPPPQLRPDGTPPPLLLPPPFAPALMQPPPPLLAPGKPAPLSAFLGGLAPGSTPAVAEEEEPEPEAEDPESEDSSAYAVLSM
+>sp|Q9NQV6|PRD10_HUMAN PR domain zinc finger protein 10 OS=Homo sapiens OX=9606 GN=PRDM10 PE=1 SV=3
+MDSKDESSHVWPTSAEHEQNAAQVHFVPDTGTVAQIVYTDDQVRPPQQVVYTADGASYTSVDGPEHTLVYIHPVEAAQTLFTDPGQVAYVQQDATAQQASLPVHNQVLPSIESVDGSDPLATLQTPLGRLEAKEEEDEDEDEDTEEDEEEDGEDTDLDDWEPDPPRPFDPHDLWCEECNNAHASVCPKHGPLHPIPNRPVLTRARASLPLVLYIDRFLGGVFSKRRIPKRTQFGPVEGPLVRGSELKDCYIHLKVSLDKGDRKERDLHEDLWFELSDETLCNWMMFVRPAQNHLEQNLVAYQYGHHVYYTTIKNVEPKQELKVWYAASYAEFVNQKIHDISEEERKVLREQEKNWPCYECNRRFISSEQLQQHLNSHDEKLDVFSRTRGRGRGRGKRRFGPGRRPGRPPKFIRLEITSENGEKSDDGTQDLLHFPTKEQFDEAEPATLNGLDQPEQTTIPIPQLPQETQSSLEHEPETHTLHLQPQHEESVVPTQSTLTADDMRRAKRIRLELQNAALQHLFIRKSFRPFKCLQCGKAFREKDKLDQHLRFHGREGNCPLTCDLCNKGFISSTSLESHMKLHSDQKTYSCIFCPESFDRLDLLKDHVAIHINDGYFTCPTCKKRFPDFIQVKKHVRSFHSEKIYQCTECDKAFCRPDKLRLHMLRHSDRKDFLCSTCGKQFKRKDKLREHMQRMHNPEREAKKADRISRSKTFKPRITSTDYDSFTFKCRLCMMGFRRRGMLVNHLSKRHPDMKIEEVPELTLPIIKPNRDYFCQYCDKVYKSASKRKAHILKNHPGAELPPSIRKLRPAGPGEPDPMLSTHTQLTGTIATPPVCCPHCSKQYSSKTKMVQHIRKKHPEFAQLSNTIHTPLTTAVISATPAVLTTDSATGETVVTTDLLTQAMTELSQTLTTDYRTPQGDYQRIQYIPVSQSASGLQQPQHIQLQVVQVASATSPHQSQQSTVDVGQLHDPQPYPQHAIQVQHIQVSGQPLSPSAQQAQQGLSPSHIQGSSSTQGQALQQQQQQQQNSSVQHTYLPSAWNSFRGYSSEIQMMTLPPGQFVITDSGVATPVTTGQVKAVTSGHYVLSESQSELEEKQTSALSGGVQVEPPAHSDSLDPQTNSQQQTTQYIITTTTNGNGSSEVHITKP
+>DECOY_sp|Q9NQV6|PRD10_HUMAN PR domain zinc finger protein 10 OS=Homo sapiens OX=9606 GN=PRDM10 PE=1 SV=3
+PKTIHVESSGNGNTTTTIIYQTTQQQSNTQPDLSDSHAPPEVQVGGSLASTQKEELESQSESLVYHGSTVAKVQGTTVPTAVGSDTIVFQGPPLTMMQIESSYGRFSNWASPLYTHQVSSNQQQQQQQQLAQGQTSSSGQIHSPSLGQQAQQASPSLPQGSVQIHQVQIAHQPYPQPDHLQGVDVTSQQSQHPSTASAVQVVQLQIHQPQQLGSASQSVPIYQIRQYDGQPTRYDTTLTQSLETMAQTLLDTTVVTEGTASDTTLVAPTASIVATTLPTHITNSLQAFEPHKKRIHQVMKTKSSYQKSCHPCCVPPTAITGTLQTHTSLMPDPEGPGAPRLKRISPPLEAGPHNKLIHAKRKSASKYVKDCYQCFYDRNPKIIPLTLEPVEEIKMDPHRKSLHNVLMGRRRFGMMCLRCKFTFSDYDTSTIRPKFTKSRSIRDAKKAEREPNHMRQMHERLKDKRKFQKGCTSCLFDKRDSHRLMHLRLKDPRCFAKDCETCQYIKESHFSRVHKKVQIFDPFRKKCTPCTFYGDNIHIAVHDKLLDLRDFSEPCFICSYTKQDSHLKMHSELSTSSIFGKNCLDCTLPCNGERGHFRLHQDLKDKERFAKGCQLCKFPRFSKRIFLHQLAANQLELRIRKARRMDDATLTSQTPVVSEEHQPQLHLTHTEPEHELSSQTEQPLQPIPITTQEPQDLGNLTAPEAEDFQEKTPFHLLDQTGDDSKEGNESTIELRIFKPPRGPRRGPGFRRKGRGRGRGRTRSFVDLKEDHSNLHQQLQESSIFRRNCEYCPWNKEQERLVKREEESIDHIKQNVFEAYSAAYWVKLEQKPEVNKITTYYVHHGYQYAVLNQELHNQAPRVFMMWNCLTEDSLEFWLDEHLDREKRDGKDLSVKLHIYCDKLESGRVLPGEVPGFQTRKPIRRKSFVGGLFRDIYLVLPLSARARTLVPRNPIPHLPGHKPCVSAHANNCEECWLDHPDFPRPPDPEWDDLDTDEGDEEEDEETDEDEDEDEEEKAELRGLPTQLTALPDSGDVSEISPLVQNHVPLSAQQATADQQVYAVQGPDTFLTQAAEVPHIYVLTHEPGDVSTYSAGDATYVVQQPPRVQDDTYVIQAVTGTDPVFHVQAANQEHEASTPWVHSSEDKSDM
+>sp|Q9HAZ2|PRD16_HUMAN PR domain zinc finger protein 16 OS=Homo sapiens OX=9606 GN=PRDM16 PE=1 SV=3
+MRSKARARKLAKSDGDVVNNMYEPNRDLLASHSAEDEAEDSAMSPIPVGPPSPFPTSEDFTPKEGSPYEAPVYIPEDIPIPADFELRESSIPGAGLGVWAKRKMEAGERLGPCVVVPRAAAKETDFGWEQILTDVEVSPQEGCITKISEDLGSEKFCVDANQAGAGSWLKYIRVACSCDDQNLTMCQISEQIYYKVIKDIEPGEELLVHVKEGVYPLGTVPPGLDEEPTFRCDECDELFQSKLDLRRHKKYTCGSVGAALYEGLAEELKPEGLGGGSGQAHECKDCERMFPNKYSLEQHMVIHTEEREYKCDQCPKAFNWKSNLIRHQMSHDSGKRFECENCVKVFTDPSNLQRHIRSQHVGARAHACPDCGKTFATSSGLKQHKHIHSTVKPFICEVCHKSYTQFSNLCRHKRMHADCRTQIKCKDCGQMFSTTSSLNKHRRFCEGKNHYTPGGIFAPGLPLTPSPMMDKAKPSPSLNHASLGFNEYFPSRPHPGSLPFSTAPPTFPALTPGFPGIFPPSLYPRPPLLPPTSLLKSPLNHTQDAKLPSPLGNPALPLVSAVSNSSQGTTAAAGPEEKFESRLEDSCVEKLKTRSSDMSDGSDFEDVNTTTGTDLDTTTGTGSDLDSDVDSDPDKDKGKGKSAEGQPKFGGGLAPPGAPNSVAEVPVFYSQHSFFPPPDEQLLTATGAAGDSIKAIASIAEKYFGPGFMGMQEKKLGSLPYHSAFPFQFLPNFPHSLYPFTDRALAHNLLVKAEPKSPRDALKVGGPSAECPFDLTTKPKDVKPILPMPKGPSAPASGEEQPLDLSIGSRARASQNGGGREPRKNHVYGERKLGAGEGLPQVCPARMPQQPPLHYAKPSPFFMDPIYSRVEKRKVTDPVGALKEKYLRPSPLLFHPQMSAIETMTEKLESFAAMKADSGSSLQPLPHHPFNFRSPPPTLSDPILRKGKERYTCRYCGKIFPRSANLTRHLRTHTGEQPYRCKYCDRSFSISSNLQRHVRNIHNKEKPFKCHLCNRCFGQQTNLDRHLKKHEHENAPVSQHPGVLTNHLGTSASSPTSESDNHALLDEKEDSYFSEIRNFIANSEMNQASTRTEKRADMQIVDGSAQCPGLASEKQEDVEEEDDDDLEEDDEDSLAGKSQDDTVSPAPEPQAAYEDEEDEEPAASLAVGFDHTRRCAEDHEGGLLALEPMPTFGKGLDLRRAAEEAFEVKDVLNSTLDSEALKHTLCRQAKNQAYAMMLSLSEDTPLHTPSQGSLDAWLKVTGATSESGAFHPINHL
+>DECOY_sp|Q9HAZ2|PRD16_HUMAN PR domain zinc finger protein 16 OS=Homo sapiens OX=9606 GN=PRDM16 PE=1 SV=3
+LHNIPHFAGSESTAGTVKLWADLSGQSPTHLPTDESLSLMMAYAQNKAQRCLTHKLAESDLTSNLVDKVEFAEEAARRLDLGKGFTPMPELALLGGEHDEACRRTHDFGVALSAAPEEDEEDEYAAQPEPAPSVTDDQSKGALSDEDDEELDDDDEEEVDEQKESALGPCQASGDVIQMDARKETRTSAQNMESNAIFNRIESFYSDEKEDLLAHNDSESTPSSASTGLHNTLVGPHQSVPANEHEHKKLHRDLNTQQGFCRNCLHCKFPKEKNHINRVHRQLNSSISFSRDCYKCRYPQEGTHTRLHRTLNASRPFIKGCYRCTYREKGKRLIPDSLTPPPSRFNFPHHPLPQLSSGSDAKMAAFSELKETMTEIASMQPHFLLPSPRLYKEKLAGVPDTVKRKEVRSYIPDMFFPSPKAYHLPPQQPMRAPCVQPLGEGAGLKREGYVHNKRPERGGGNQSARARSGISLDLPQEEGSAPASPGKPMPLIPKVDKPKTTLDFPCEASPGGVKLADRPSKPEAKVLLNHALARDTFPYLSHPFNPLFQFPFASHYPLSGLKKEQMGMFGPGFYKEAISAIAKISDGAAGTATLLQEDPPPFFSHQSYFVPVEAVSNPAGPPALGGGFKPQGEASKGKGKDKDPDSDVDSDLDSGTGTTTDLDTGTTTNVDEFDSGDSMDSSRTKLKEVCSDELRSEFKEEPGAAATTGQSSNSVASVLPLAPNGLPSPLKADQTHNLPSKLLSTPPLLPPRPYLSPPFIGPFGPTLAPFTPPATSFPLSGPHPRSPFYENFGLSAHNLSPSPKAKDMMPSPTLPLGPAFIGGPTYHNKGECFRRHKNLSSTTSFMQGCDKCKIQTRCDAHMRKHRCLNSFQTYSKHCVECIFPKVTSHIHKHQKLGSSTAFTKGCDPCAHARAGVHQSRIHRQLNSPDTFVKVCNECEFRKGSDHSMQHRILNSKWNFAKPCQDCKYEREETHIVMHQELSYKNPFMRECDKCEHAQGSGGGLGEPKLEEALGEYLAAGVSGCTYKKHRRLDLKSQFLEDCEDCRFTPEEDLGPPVTGLPYVGEKVHVLLEEGPEIDKIVKYYIQESIQCMTLNQDDCSCAVRIYKLWSGAGAQNADVCFKESGLDESIKTICGEQPSVEVDTLIQEWGFDTEKAAARPVVVCPGLREGAEMKRKAWVGLGAGPISSERLEFDAPIPIDEPIYVPAEYPSGEKPTFDESTPFPSPPGVPIPSMASDEAEDEASHSALLDRNPEYMNNVVDGDSKALKRARAKSRM
+>sp|Q9NQV7|PRDM9_HUMAN Histone-lysine N-methyltransferase PRDM9 OS=Homo sapiens OX=9606 GN=PRDM9 PE=1 SV=2
+MSPEKSQEESPEEDTERTERKPMVKDAFKDISIYFTKEEWAEMGDWEKTRYRNVKRNYNALITIGLRATRPAFMCHRRQAIKLQVDDTEDSDEEWTPRQQVKPPWMALRVEQRKHQKGMPKASFSNESSLKELSRTANLLNASGSEQAQKPVSPSGEASTSGQHSRLKLELRKKETERKMYSLRERKGHAYKEVSEPQDDDYLYCEMCQNFFIDSCAAHGPPTFVKDSAVDKGHPNRSALSLPPGLRIGPSGIPQAGLGVWNEASDLPLGLHFGPYEGRITEDEEAANNGYSWLITKGRNCYEYVDGKDKSWANWMRYVNCARDDEEQNLVAFQYHRQIFYRTCRVIRPGCELLVWYGDEYGQELGIKWGSKWKKELMAGREPKPEIHPCPSCCLAFSSQKFLSQHVERNHSSQNFPGPSARKLLQPENPCPGDQNQEQQYPDPHSRNDKTKGQEIKERSKLLNKRTWQREISRAFSSPPKGQMGSCRVGKRIMEEESRTGQKVNPGNTGKLFVGVGISRIAKVKYGECGQGFSVKSDVITHQRTHTGEKLYVCRECGRGFSWKSHLLIHQRIHTGEKPYVCRECGRGFSWQSVLLTHQRTHTGEKPYVCRECGRGFSRQSVLLTHQRRHTGEKPYVCRECGRGFSRQSVLLTHQRRHTGEKPYVCRECGRGFSWQSVLLTHQRTHTGEKPYVCRECGRGFSWQSVLLTHQRTHTGEKPYVCRECGRGFSNKSHLLRHQRTHTGEKPYVCRECGRGFRDKSHLLRHQRTHTGEKPYVCRECGRGFRDKSNLLSHQRTHTGEKPYVCRECGRGFSNKSHLLRHQRTHTGEKPYVCRECGRGFRNKSHLLRHQRTHTGEKPYVCRECGRGFSDRSSLCYHQRTHTGEKPYVCREDE
+>DECOY_sp|Q9NQV7|PRDM9_HUMAN Histone-lysine N-methyltransferase PRDM9 OS=Homo sapiens OX=9606 GN=PRDM9 PE=1 SV=2
+EDERCVYPKEGTHTRQHYCLSSRDSFGRGCERCVYPKEGTHTRQHRLLHSKNRFGRGCERCVYPKEGTHTRQHRLLHSKNSFGRGCERCVYPKEGTHTRQHSLLNSKDRFGRGCERCVYPKEGTHTRQHRLLHSKDRFGRGCERCVYPKEGTHTRQHRLLHSKNSFGRGCERCVYPKEGTHTRQHTLLVSQWSFGRGCERCVYPKEGTHTRQHTLLVSQWSFGRGCERCVYPKEGTHRRQHTLLVSQRSFGRGCERCVYPKEGTHRRQHTLLVSQRSFGRGCERCVYPKEGTHTRQHTLLVSQWSFGRGCERCVYPKEGTHIRQHILLHSKWSFGRGCERCVYLKEGTHTRQHTIVDSKVSFGQGCEGYKVKAIRSIGVGVFLKGTNGPNVKQGTRSEEEMIRKGVRCSGMQGKPPSSFARSIERQWTRKNLLKSREKIEQGKTKDNRSHPDPYQQEQNQDGPCPNEPQLLKRASPGPFNQSSHNREVHQSLFKQSSFALCCSPCPHIEPKPERGAMLEKKWKSGWKIGLEQGYEDGYWVLLECGPRIVRCTRYFIQRHYQFAVLNQEEDDRACNVYRMWNAWSKDKGDVYEYCNRGKTILWSYGNNAAEEDETIRGEYPGFHLGLPLDSAENWVGLGAQPIGSPGIRLGPPLSLASRNPHGKDVASDKVFTPPGHAACSDIFFNQCMECYLYDDDQPESVEKYAHGKRERLSYMKRETEKKRLELKLRSHQGSTSAEGSPSVPKQAQESGSANLLNATRSLEKLSSENSFSAKPMGKQHKRQEVRLAMWPPKVQQRPTWEEDSDETDDVQLKIAQRRHCMFAPRTARLGITILANYNRKVNRYRTKEWDGMEAWEEKTFYISIDKFADKVMPKRETRETDEEPSEEQSKEPSM
+>sp|Q13162|PRDX4_HUMAN Peroxiredoxin-4 OS=Homo sapiens OX=9606 GN=PRDX4 PE=1 SV=1
+MEALPLLAATTPDHGRHRRLLLLPLLLFLLPAGAVQGWETEERPRTREEECHFYAGGQVYPGEASRVSVADHSLHLSKAKISKPAPYWEGTAVIDGEFKELKLTDYRGKYLVFFFYPLDFTFVCPTEIIAFGDRLEEFRSINTEVVACSVDSQFTHLAWINTPRRQGGLGPIRIPLLSDLTHQISKDYGVYLEDSGHTLRGLFIIDDKGILRQITLNDLPVGRSVDETLRLVQAFQYTDKHGEVCPAGWKPGSETIIPDPAGKLKYFDKLN
+>DECOY_sp|Q13162|PRDX4_HUMAN Peroxiredoxin-4 OS=Homo sapiens OX=9606 GN=PRDX4 PE=1 SV=1
+NLKDFYKLKGAPDPIITESGPKWGAPCVEGHKDTYQFAQVLRLTEDVSRGVPLDNLTIQRLIGKDDIIFLGRLTHGSDELYVGYDKSIQHTLDSLLPIRIPGLGGQRRPTNIWALHTFQSDVSCAVVETNISRFEELRDGFAIIETPCVFTFDLPYFFFVLYKGRYDTLKLEKFEGDIVATGEWYPAPKSIKAKSLHLSHDAVSVRSAEGPYVQGGAYFHCEEERTRPREETEWGQVAGAPLLFLLLPLLLLRRHRGHDPTTAALLPLAEM
+>sp|P30044|PRDX5_HUMAN Peroxiredoxin-5, mitochondrial OS=Homo sapiens OX=9606 GN=PRDX5 PE=1 SV=4
+MGLAGVCALRRSAGYILVGGAGGQSAAAAARRYSEGEWASGGVRSFSRAAAAMAPIKVGDAIPAVEVFEGEPGNKVNLAELFKGKKGVLFGVPGAFTPGCSKTHLPGFVEQAEALKAKGVQVVACLSVNDAFVTGEWGRAHKAEGKVRLLADPTGAFGKETDLLLDDSLVSIFGNRRLKRFSMVVQDGIVKALNVEPDGTGLTCSLAPNIISQL
+>DECOY_sp|P30044|PRDX5_HUMAN Peroxiredoxin-5, mitochondrial OS=Homo sapiens OX=9606 GN=PRDX5 PE=1 SV=4
+LQSIINPALSCTLGTGDPEVNLAKVIGDQVVMSFRKLRRNGFISVLSDDLLLDTEKGFAGTPDALLRVKGEAKHARGWEGTVFADNVSLCAVVQVGKAKLAEAQEVFGPLHTKSCGPTFAGPVGFLVGKKGKFLEALNVKNGPEGEFVEVAPIADGVKIPAMAAAARSFSRVGGSAWEGESYRRAAAAASQGGAGGVLIYGASRRLACVGALGM
+>sp|Q9Y2Y8|PRG3_HUMAN Proteoglycan 3 OS=Homo sapiens OX=9606 GN=PRG3 PE=1 SV=2
+MQCLLLLPFLLLGTVSALHLENDAPHLESLETQADLGQDLDSSKEQERDLALTEEVIQAEGEEVKASACQDNFEDEEAMESDPAALDKDFQCPREEDIVEVQGSPRCKICRYLLVRTPKTFAEAQNVCSRCYGGNLVSIHDFNFNYRIQCCTSTVNQAQVWIGGNLRGWFLWKRFCWTDGSHWNFAYWSPGQPGNGQGSCVALCTKGGYWRRAQCDKQLPFVCSF
+>DECOY_sp|Q9Y2Y8|PRG3_HUMAN Proteoglycan 3 OS=Homo sapiens OX=9606 GN=PRG3 PE=1 SV=2
+FSCVFPLQKDCQARRWYGGKTCLAVCSGQGNGPQGPSWYAFNWHSGDTWCFRKWLFWGRLNGGIWVQAQNVTSTCCQIRYNFNFDHISVLNGGYCRSCVNQAEAFTKPTRVLLYRCIKCRPSGQVEVIDEERPCQFDKDLAAPDSEMAEEDEFNDQCASAKVEEGEAQIVEETLALDREQEKSSDLDQGLDAQTELSELHPADNELHLASVTGLLLFPLLLLCQM
+>sp|P07737|PROF1_HUMAN Profilin-1 OS=Homo sapiens OX=9606 GN=PFN1 PE=1 SV=2
+MAGWNAYIDNLMADGTCQDAAIVGYKDSPSVWAAVPGKTFVNITPAEVGVLVGKDRSSFYVNGLTLGGQKCSVIRDSLLQDGEFSMDLRTKSTGGAPTFNVTVTKTDKTLVLLMGKEGVHGGLINKKCYEMASHLRRSQY
+>DECOY_sp|P07737|PROF1_HUMAN Profilin-1 OS=Homo sapiens OX=9606 GN=PFN1 PE=1 SV=2
+YQSRRLHSAMEYCKKNILGGHVGEKGMLLVLTKDTKTVTVNFTPAGGTSKTRLDMSFEGDQLLSDRIVSCKQGGLTLGNVYFSSRDKGVLVGVEAPTINVFTKGPVAAWVSPSDKYGVIAADQCTGDAMLNDIYANWGAM
+>sp|Q86UA1|PRP39_HUMAN Pre-mRNA-processing factor 39 OS=Homo sapiens OX=9606 GN=PRPF39 PE=1 SV=3
+MQNSHMDEYRNSSNGSTGNSSEVVVEHPTDFSTEIMNVTEMEQSPDDSPNVNASTEETEMASAVDLPVTLTETEANFPPEYEKFWKTVENNPQDFTGWVYLLQYVEQENHLMAARKAFDRFFIHYPYCYGYWKKYADLEKRHDNIKPSDEVYRRGLQAIPLSVDLWIHYINFLKETLDPGDPETNNTIRGTFEHAVLAAGTDFRSDRLWEMYINWENEQGNLREVTAIYDRILGIPTQLYSHHFQRFKEHVQNNLPRDLLTGEQFIQLRRELASVNGHSGDDGPPGDDLPSGIEDITDPAKLITEIENMRHRIIEIHQEMFNYNEHEVSKRWTFEEGIKRPYFHVKPLEKAQLKNWKEYLEFEIENGTHERVVVLFERCVISCALYEEFWIKYAKYMENHSIEGVRHVFSRACTIHLPKKPMVHMLWAAFEEQQGNINEARNILKTFEECVLGLAMVRLRRVSLERRHGNLEEAEHLLQDAIKNAKSNNESSFYAVKLARHLFKIQKNLPKSRKVLLEAIERDKENTKLYLNLLEMEYSGDLKQNEENILNCFDKAVHGSLPIKMRITFSQRKVEFLEDFGSDVNKLLNAYDEHQTLLKEQDSLKRKAENGSEEPEEKKAHTEDTTSSSTQMIDGDLQANQAVYNYSAWYQYNYQNPWNYGQYYPPPPT
+>DECOY_sp|Q86UA1|PRP39_HUMAN Pre-mRNA-processing factor 39 OS=Homo sapiens OX=9606 GN=PRPF39 PE=1 SV=3
+TPPPPYYQGYNWPNQYNYQYWASYNYVAQNAQLDGDIMQTSSSTTDETHAKKEEPEESGNEAKRKLSDQEKLLTQHEDYANLLKNVDSGFDELFEVKRQSFTIRMKIPLSGHVAKDFCNLINEENQKLDGSYEMELLNLYLKTNEKDREIAELLVKRSKPLNKQIKFLHRALKVAYFSSENNSKANKIADQLLHEAEELNGHRRELSVRRLRVMALGLVCEEFTKLINRAENINGQQEEFAAWLMHVMPKKPLHITCARSFVHRVGEISHNEMYKAYKIWFEEYLACSIVCREFLVVVREHTGNEIEFELYEKWNKLQAKELPKVHFYPRKIGEEFTWRKSVEHENYNFMEQHIEIIRHRMNEIETILKAPDTIDEIGSPLDDGPPGDDGSHGNVSALERRLQIFQEGTLLDRPLNNQVHEKFRQFHHSYLQTPIGLIRDYIATVERLNGQENEWNIYMEWLRDSRFDTGAALVAHEFTGRITNNTEPDGPDLTEKLFNIYHIWLDVSLPIAQLGRRYVEDSPKINDHRKELDAYKKWYGYCYPYHIFFRDFAKRAAMLHNEQEVYQLLYVWGTFDQPNNEVTKWFKEYEPPFNAETETLTVPLDVASAMETEETSANVNPSDDPSQEMETVNMIETSFDTPHEVVVESSNGTSGNSSNRYEDMHSNQM
+>sp|O43395|PRPF3_HUMAN U4/U6 small nuclear ribonucleoprotein Prp3 OS=Homo sapiens OX=9606 GN=PRPF3 PE=1 SV=2
+MALSKRELDELKPWIEKTVKRVLGFSEPTVVTAALNCVGKGMDKKKAADHLKPFLDDSTLRFVDKLFEAVEEGRSSRHSKSSSDRSRKRELKEVFGDDSEISKESSGVKKRRIPRFEEVEEEPEVIPGPPSESPGMLTKLQIKQMMEAATRQIEERKKQLSFISPPTPQPKTPSSSQPERLPIGNTIQPSQAATFMNDAIEKARKAAELQARIQAQLALKPGLIGNANMVGLANLHAMGIAPPKVELKDQTKPTPLILDEQGRTVDATGKEIELTHRMPTLKANIRAVKREQFKQQLKEKPSEDMESNTFFDPRVSIAPSQRQRRTFKFHDKGKFEKIAQRLRTKAQLEKLQAEISQAARKTGIHTSTRLALIAPKKELKEGDIPEIEWWDSYIIPNGFDLTEENPKREDYFGITNLVEHPAQLNPPVDNDTPVTLGVYLTKKEQKKLRRQTRREAQKELQEKVRLGLMPPPEPKVRISNLMRVLGTEAVQDPTKVEAHVRAQMAKRQKAHEEANAARKLTAEQRKVKKIKKLKEDISQGVHISVYRVRNLSNPAKKFKIEANAGQLYLTGVVVLHKDVNVVVVEGGPKAQKKFKRLMLHRIKWDEQTSNTKGDDDEESDEEAVKKTNKCVLVWEGTAKDRSFGEMKFKQCPTENMAREHFKKHGAEHYWDLALSESVLESTD
+>DECOY_sp|O43395|PRPF3_HUMAN U4/U6 small nuclear ribonucleoprotein Prp3 OS=Homo sapiens OX=9606 GN=PRPF3 PE=1 SV=2
+DTSELVSESLALDWYHEAGHKKFHERAMNETPCQKFKMEGFSRDKATGEWVLVCKNTKKVAEEDSEEDDDGKTNSTQEDWKIRHLMLRKFKKQAKPGGEVVVVNVDKHLVVVGTLYLQGANAEIKFKKAPNSLNRVRYVSIHVGQSIDEKLKKIKKVKRQEATLKRAANAEEHAKQRKAMQARVHAEVKTPDQVAETGLVRMLNSIRVKPEPPPMLGLRVKEQLEKQAERRTQRRLKKQEKKTLYVGLTVPTDNDVPPNLQAPHEVLNTIGFYDERKPNEETLDFGNPIIYSDWWEIEPIDGEKLEKKPAILALRTSTHIGTKRAAQSIEAQLKELQAKTRLRQAIKEFKGKDHFKFTRRQRQSPAISVRPDFFTNSEMDESPKEKLQQKFQERKVARINAKLTPMRHTLEIEKGTADVTRGQEDLILPTPKTQDKLEVKPPAIGMAHLNALGVMNANGILGPKLALQAQIRAQLEAAKRAKEIADNMFTAAQSPQITNGIPLREPQSSSPTKPQPTPPSIFSLQKKREEIQRTAAEMMQKIQLKTLMGPSESPPGPIVEPEEEVEEFRPIRRKKVGSSEKSIESDDGFVEKLERKRSRDSSSKSHRSSRGEEVAEFLKDVFRLTSDDLFPKLHDAAKKKDMGKGVCNLAATVVTPESFGLVRKVTKEIWPKLEDLERKSLAM
+>sp|Q96S44|PRPK_HUMAN EKC/KEOPS complex subunit TP53RK OS=Homo sapiens OX=9606 GN=TP53RK PE=1 SV=2
+MAAARATTPADGEEPAPEAEALAAARERSSRFLSGLELVKQGAEARVFRGRFQGRAAVIKHRFPKGYRHPALEARLGRRRTVQEARALLRCRRAGISAPVVFFVDYASNCLYMEEIEGSVTVRDYIQSTMETEKTPQGLSNLAKTIGQVLARMHDEDLIHGDLTTSNMLLKPPLEQLNIVLIDFGLSFISALPEDKGVDLYVLEKAFLSTHPNTETVFEAFLKSYSTSSKKARPVLKKLDEVRLRGRKRSMVG
+>DECOY_sp|Q96S44|PRPK_HUMAN EKC/KEOPS complex subunit TP53RK OS=Homo sapiens OX=9606 GN=TP53RK PE=1 SV=2
+GVMSRKRGRLRVEDLKKLVPRAKKSSTSYSKLFAEFVTETNPHTSLFAKELVYLDVGKDEPLASIFSLGFDILVINLQELPPKLLMNSTTLDGHILDEDHMRALVQGITKALNSLGQPTKETEMTSQIYDRVTVSGEIEEMYLCNSAYDVFFVVPASIGARRCRLLARAEQVTRRRGLRAELAPHRYGKPFRHKIVAARGQFRGRFVRAEAGQKVLELGSLFRSSRERAAALAEAEPAPEEGDAPTTARAAAM
+>sp|P60891|PRPS1_HUMAN Ribose-phosphate pyrophosphokinase 1 OS=Homo sapiens OX=9606 GN=PRPS1 PE=1 SV=2
+MPNIKIFSGSSHQDLSQKIADRLGLELGKVVTKKFSNQETCVEIGESVRGEDVYIVQSGCGEINDNLMELLIMINACKIASASRVTAVIPCFPYARQDKKDKSRAPISAKLVANMLSVAGADHIITMDLHASQIQGFFDIPVDNLYAEPAVLKWIRENISEWRNCTIVSPDAGGAKRVTSIADRLNVDFALIHKERKKANEVDRMVLVGDVKDRVAILVDDMADTCGTICHAADKLLSAGATRVYAILTHGIFSGPAISRINNACFEAVVVTNTIPQEDKMKHCSKIQVIDISMILAEAIRRTHNGESVSYLFSHVPL
+>DECOY_sp|P60891|PRPS1_HUMAN Ribose-phosphate pyrophosphokinase 1 OS=Homo sapiens OX=9606 GN=PRPS1 PE=1 SV=2
+LPVHSFLYSVSEGNHTRRIAEALIMSIDIVQIKSCHKMKDEQPITNTVVVAEFCANNIRSIAPGSFIGHTLIAYVRTAGASLLKDAAHCITGCTDAMDDVLIAVRDKVDGVLVMRDVENAKKREKHILAFDVNLRDAISTVRKAGGADPSVITCNRWESINERIWKLVAPEAYLNDVPIDFFGQIQSAHLDMTIIHDAGAVSLMNAVLKASIPARSKDKKDQRAYPFCPIVATVRSASAIKCANIMILLEMLNDNIEGCGSQVIYVDEGRVSEGIEVCTEQNSFKKTVVKGLELGLRDAIKQSLDQHSSGSFIKINPM
+>sp|Q8TB68|PRR7_HUMAN Proline-rich protein 7 OS=Homo sapiens OX=9606 GN=PRR7 PE=1 SV=1
+MVMSQGTYTFLTCFAGFWLIWGLIVLLCCFCSFLRRRLKRRQEERLREQNLRALELEPLELEGSLAGSPPGLAPPQPPPHRSRLEAPAHAHSHPHVHVHPLLHHGPAQPHAHAHPHPHHHALPHPPPTHLSVPPRPWSYPRQAESDMSKPPCYEEAVLMAEPPPPYSEVLTDTRGLYRKIVTPFLSRRDSAEKQEQPPPSYKPLFLDRGYTSALHLPSAPRPAPPCPALCLQADRGRRVFPSWTDSELSSREPLEHGAWRLPVSIPLFGRTTAV
+>DECOY_sp|Q8TB68|PRR7_HUMAN Proline-rich protein 7 OS=Homo sapiens OX=9606 GN=PRR7 PE=1 SV=1
+VATTRGFLPISVPLRWAGHELPERSSLESDTWSPFVRRGRDAQLCLAPCPPAPRPASPLHLASTYGRDLFLPKYSPPPQEQKEASDRRSLFPTVIKRYLGRTDTLVESYPPPPEAMLVAEEYCPPKSMDSEAQRPYSWPRPPVSLHTPPPHPLAHHHPHPHAHAHPQAPGHHLLPHVHVHPHSHAHAPAELRSRHPPPQPPALGPPSGALSGELELPELELARLNQERLREEQRRKLRRRLFSCFCCLLVILGWILWFGAFCTLFTYTGQSMVM
+>sp|Q99946|PRRT1_HUMAN Proline-rich transmembrane protein 1 OS=Homo sapiens OX=9606 GN=PRRT1 PE=1 SV=2
+MSSEKSGLPDSVPHTSPPPYNAPQPPAEPPAPPPQAAPSSHHHHHHHYHQSGTATLPRLGAGGLASSAATAQRGPSSSATLPRPPHHAPPGPAAGAPPPGCATLPRMPPDPYLQETRFEGPLPPPPPAAAAPPPPAPAQTAQAPGFVVPTHAGTVGTLPLGGYVAPGYPLQLQPCTAYVPVYPVGTPYAGGTPGGTGVTSTLPPPPQGPGLALLEPRRPPHDYMPIAVLTTICCFWPTGIIAIFKAVQVRTALARGDMVSAEIASREARNFSFISLAVGIAAMVLCTILTVVIIIAAQHHENYWDP
+>DECOY_sp|Q99946|PRRT1_HUMAN Proline-rich transmembrane protein 1 OS=Homo sapiens OX=9606 GN=PRRT1 PE=1 SV=2
+PDWYNEHHQAAIIIVVTLITCLVMAAIGVALSIFSFNRAERSAIEASVMDGRALATRVQVAKFIAIIGTPWFCCITTLVAIPMYDHPPRRPELLALGPGQPPPPLTSTVGTGGPTGGAYPTGVPYVPVYATCPQLQLPYGPAVYGGLPLTGVTGAHTPVVFGPAQATQAPAPPPPAAAAPPPPPLPGEFRTEQLYPDPPMRPLTACGPPPAGAAPGPPAHHPPRPLTASSSPGRQATAASSALGGAGLRPLTATGSQHYHHHHHHHSSPAAQPPPAPPEAPPQPANYPPPSTHPVSDPLGSKESSM
+>sp|P54821|PRRX1_HUMAN Paired mesoderm homeobox protein 1 OS=Homo sapiens OX=9606 GN=PRRX1 PE=1 SV=2
+MTSSYGHVLERQPALGGRLDSPGNLDTLQAKKNFSVSHLLDLEEAGDMVAAQADENVGEAGRSLLESPGLTSGSDTPQQDNDQLNSEEKKKRKQRRNRTTFNSSQLQALERVFERTHYPDAFVREDLARRVNLTEARVQVWFQNRRAKFRRNERAMLANKNASLLKSYSGDVTAVEQPIVPRPAPRPTDYLSWGTASPYSAMATYSATCANNSPAQGINMANSIANLRLKAKEYSLQRNQVPTVN
+>DECOY_sp|P54821|PRRX1_HUMAN Paired mesoderm homeobox protein 1 OS=Homo sapiens OX=9606 GN=PRRX1 PE=1 SV=2
+NVTPVQNRQLSYEKAKLRLNAISNAMNIGQAPSNNACTASYTAMASYPSATGWSLYDTPRPAPRPVIPQEVATVDGSYSKLLSANKNALMARENRRFKARRNQFWVQVRAETLNVRRALDERVFADPYHTREFVRELAQLQSSNFTTRNRRQKRKKKEESNLQDNDQQPTDSGSTLGPSELLSRGAEGVNEDAQAAVMDGAEELDLLHSVSFNKKAQLTDLNGPSDLRGGLAPQRELVHGYSSTM
+>sp|Q9BQR3|PRS27_HUMAN Serine protease 27 OS=Homo sapiens OX=9606 GN=PRSS27 PE=1 SV=1
+MRRPAAVPLLLLLCFGSQRAKAATACGRPRMLNRMVGGQDTQEGEWPWQVSIQRNGSHFCGGSLIAEQWVLTAAHCFRNTSETSLYQVLLGARQLVQPGPHAMYARVRQVESNPLYQGTASSADVALVELEAPVPFTNYILPVCLPDPSVIFETGMNCWVTGWGSPSEEDLLPEPRILQKLAVPIIDTPKCNLLYSKDTEFGYQPKTIKNDMLCAGFEEGKKDACKGDSGGPLVCLVGQSWLQAGVISWGEGCARQNRPGVYIRVTAHHNWIHRIIPKLQFQPARLGGQK
+>DECOY_sp|Q9BQR3|PRS27_HUMAN Serine protease 27 OS=Homo sapiens OX=9606 GN=PRSS27 PE=1 SV=1
+KQGGLRAPQFQLKPIIRHIWNHHATVRIYVGPRNQRACGEGWSIVGAQLWSQGVLCVLPGGSDGKCADKKGEEFGACLMDNKITKPQYGFETDKSYLLNCKPTDIIPVALKQLIRPEPLLDEESPSGWGTVWCNMGTEFIVSPDPLCVPLIYNTFPVPAELEVLAVDASSATGQYLPNSEVQRVRAYMAHPGPQVLQRAGLLVQYLSTESTNRFCHAATLVWQEAILSGGCFHSGNRQISVQWPWEGEQTDQGGVMRNLMRPRGCATAAKARQSGFCLLLLLPVAAPRRM
+>sp|Q8N3Z0|PRS35_HUMAN Inactive serine protease 35 OS=Homo sapiens OX=9606 GN=PRSS35 PE=2 SV=2
+MENMLLWLIFFTPGWTLIDGSEMEWDFMWHLRKVPRIVSERTFHLTSPAFEADAKMMVNTVCGIECQKELPTPSLSELEDYLSYETVFENGTRTLTRVKVQDLVLEPTQNITTKGVSVRRKRQVYGTDSRFSILDKRFLTNFPFSTAVKLSTGCSGILISPQHVLTAAHCVHDGKDYVKGSKKLRVGLLKMRNKSGGKKRRGSKRSRREASGGDQREGTREHLRERAKGGRRRKKSGRGQRIAEGRPSFQWTRVKNTHIPKGWARGGMGDATLDYDYALLELKRAHKKKYMELGISPTIKKMPGGMIHFSGFDNDRADQLVYRFCSVSDESNDLLYQYCDAESGSTGSGVYLRLKDPDKKNWKRKIIAVYSGHQWVDVHGVQKDYNVAVRITPLKYAQICLWIHGNDANCAYG
+>DECOY_sp|Q8N3Z0|PRS35_HUMAN Inactive serine protease 35 OS=Homo sapiens OX=9606 GN=PRSS35 PE=2 SV=2
+GYACNADNGHIWLCIQAYKLPTIRVAVNYDKQVGHVDVWQHGSYVAIIKRKWNKKDPDKLRLYVGSGTSGSEADCYQYLLDNSEDSVSCFRYVLQDARDNDFGSFHIMGGPMKKITPSIGLEMYKKKHARKLELLAYDYDLTADGMGGRAWGKPIHTNKVRTWQFSPRGEAIRQGRGSKKRRRGGKARERLHERTGERQDGGSAERRSRKSGRRKKGGSKNRMKLLGVRLKKSGKVYDKGDHVCHAATLVHQPSILIGSCGTSLKVATSFPFNTLFRKDLISFRSDTGYVQRKRRVSVGKTTINQTPELVLDQVKVRTLTRTGNEFVTEYSLYDELESLSPTPLEKQCEIGCVTNVMMKADAEFAPSTLHFTRESVIRPVKRLHWMFDWEMESGDILTWGPTFFILWLLMNEM
+>sp|A1L453|PRS38_HUMAN Serine protease 38 OS=Homo sapiens OX=9606 GN=PRSS38 PE=2 SV=2
+MAAPASVMGPLGPSALGLLLLLLVVAPPRVAALVHRQPENQGISLTGSVACGRPSMEGKILGGVPAPERKWPWQVSVHYAGLHVCGGSILNEYWVLSAAHCFHRDKNIKIYDMYVGLVNLRVAGNHTQWYEVNRVILHPTYEMYHPIGGDVALVQLKTRIVFSESVLPVCLATPEVNLTSANCWATGWGLVSKQGETSDELQEMQLPLILEPWCHLLYGHMSYIMPDMLCAGDILNAKTVCEGDSGGPLVCEFNRSWLQIGIVSWGRGCSNPLYPGVYASVSYFSKWICDNIEITPTPAQPAPALSPALGPTLSVLMAMLAGWSVL
+>DECOY_sp|A1L453|PRS38_HUMAN Serine protease 38 OS=Homo sapiens OX=9606 GN=PRSS38 PE=2 SV=2
+LVSWGALMAMLVSLTPGLAPSLAPAPQAPTPTIEINDCIWKSFYSVSAYVGPYLPNSCGRGWSVIGIQLWSRNFECVLPGGSDGECVTKANLIDGACLMDPMIYSMHGYLLHCWPELILPLQMEQLEDSTEGQKSVLGWGTAWCNASTLNVEPTALCVPLVSESFVIRTKLQVLAVDGGIPHYMEYTPHLIVRNVEYWQTHNGAVRLNVLGVYMDYIKINKDRHFCHAASLVWYENLISGGCVHLGAYHVSVQWPWKREPAPVGGLIKGEMSPRGCAVSGTLSIGQNEPQRHVLAAVRPPAVVLLLLLLGLASPGLPGMVSAPAAM
+>sp|Q7RTY9|PRS41_HUMAN Serine protease 41 OS=Homo sapiens OX=9606 GN=PRSS41 PE=2 SV=1
+MGARGALLLALLLARAGLGKPGELGALQAGPGAARRPGGGGREEACGHREIHALVAGGVESARGRWPWQASLRLRRRHRCGGSLLSRRWVLSAAHCFQKHYYPSEWTVQLGELTSRPTPWNLRAYSSRYKVQDIIVNPDALGVLRNDIALLRLASSVTYNAYIQPICIESSTFNFVHRPDCWVTGWGLISPSGTPLPPPYNLREAQVTILNNTRCNYLFEQPSSRSMIWDSMFCAGAEDGSVDTCKGDSGGPLVCDKDGLWYQVGIVSWGMDCGQPNRPGVYTNISVYFHWIRRVMSHSTPRPNPSQLLLLLALLWAP
+>DECOY_sp|Q7RTY9|PRS41_HUMAN Serine protease 41 OS=Homo sapiens OX=9606 GN=PRSS41 PE=2 SV=1
+PAWLLALLLLLQSPNPRPTSHSMVRRIWHFYVSINTYVGPRNPQGCDMGWSVIGVQYWLGDKDCVLPGGSDGKCTDVSGDEAGACFMSDWIMSRSSPQEFLYNCRTNNLITVQAERLNYPPPLPTGSPSILGWGTVWCDPRHVFNFTSSEICIPQIYANYTVSSALRLLAIDNRLVGLADPNVIIDQVKYRSSYARLNWPTPRSTLEGLQVTWESPYYHKQFCHAASLVWRRSLLSGGCRHRRRLRLSAQWPWRGRASEVGGAVLAHIERHGCAEERGGGGPRRAAGPGAQLAGLEGPKGLGARALLLALLLAGRAGM
+>sp|A8MTI9|PRS47_HUMAN Putative serine protease 47 OS=Homo sapiens OX=9606 GN=PRSS47 PE=5 SV=2
+MGYCQGVSQVAVVLLMFPKEKEAFLALAQLLTSKNLPDTVDGQLPMGPHSRASQVAPETTSSKVDRGVSTVCGKPKVVGKIYGGRDAAAGQWPWQASLLYWGSHLCGAVLIDSCWLVSTTHCFLNKSQAPKNYQVLLGNIQLYHQTQHTQKMSVHRIITHPDFEKLHPFGSDIAMLQLHLPMNFTSYIVPVCLPSRDMQLPSNVSCWITGWGMLTEDHKRGPVHTAVPSRLQAVCCSGCRGQRVGSRVGRFRSMIVHSEGQLRSLMPGDFHLGDSGGPLVCYLPSAWVLVGLASWGLDCRHPAYPSIFTRVTYFINWIDEIMRLTPLSDPALAPHTCSPPKPLRAAGLPGPCAALVLPQTWLLLPLTLRAPWQTL
+>DECOY_sp|A8MTI9|PRS47_HUMAN Putative serine protease 47 OS=Homo sapiens OX=9606 GN=PRSS47 PE=5 SV=2
+LTQWPARLTLPLLLWTQPLVLAACPGPLGAARLPKPPSCTHPALAPDSLPTLRMIEDIWNIFYTVRTFISPYAPHRCDLGWSALGVLVWASPLYCVLPGGSDGLHFDGPMLSRLQGESHVIMSRFRGVRSGVRQGRCGSCCVAQLRSPVATHVPGRKHDETLMGWGTIWCSVNSPLQMDRSPLCVPVIYSTFNMPLHLQLMAIDSGFPHLKEFDPHTIIRHVSMKQTHQTQHYLQINGLLVQYNKPAQSKNLFCHTTSVLWCSDILVAGCLHSGWYLLSAQWPWQGAAADRGGYIKGVVKPKGCVTSVGRDVKSSTTEPAVQSARSHPGMPLQGDVTDPLNKSTLLQALALFAEKEKPFMLLVVAVQSVGQCYGM
+>sp|A0A1B0GVH4|PRS51_HUMAN Serine protease-like protein 51 OS=Homo sapiens OX=9606 GN=PRSS51 PE=3 SV=1
+MFQLLIPLLLALKGHAQDNPENVQCGHRPAFPNSSWLPFHERLQVQNGECPWQVSIQMSRKHLCGGSILHWWWVLTAAHCFRRTLLDMAVVNVTVVMGTRTFSNIHSERKQVQKEEERTWDWCWMAQWVTTNGYDQYDDLNMHLEKLRVVQISRKECAKRINQLSRNMICAWNEPGTNGIFKVLTPAQPPPPSRETVGHLWFVLFMEPRDSSKWVSSVGA
+>DECOY_sp|A0A1B0GVH4|PRS51_HUMAN Serine protease-like protein 51 OS=Homo sapiens OX=9606 GN=PRSS51 PE=3 SV=1
+AGVSSVWKSSDRPEMFLVFWLHGVTERSPPPPQAPTLVKFIGNTGPENWACIMNRSLQNIRKACEKRSIQVVRLKELHMNLDDYQDYGNTTVWQAMWCWDWTREEEKQVQKRESHINSFTRTGMVVTVNVVAMDLLTRRFCHAATLVWWWHLISGGCLHKRSMQISVQWPCEGNQVQLREHFPLWSSNPFAPRHGCQVNEPNDQAHGKLALLLPILLQFM
+>sp|Q8WUY3|PRUN2_HUMAN Protein prune homolog 2 OS=Homo sapiens OX=9606 GN=PRUNE2 PE=1 SV=3
+MEEFLQRAKSKLNRSKRLEKVHVVIGPKSCDLDSLISTFTYAYFLDKVSPPGVLCLPVLNIPRTEFNYFTETRFILEELNISESFHIFRDEINLHQLNDEGKLSITLVGSSVLASEDKTLESAVVKVINPVEQSDANVEFRESSSSLVLKEILQEAPELITEQLAHRLRGSILFKWMTMESEKISEKQEEILSILEEKFPNLPPREDIINVLQETQFSAQGLSIEQTMLKDLKELSDGEIKVAISTVSMNLENCLFHSNITSDLKAFTDKFGFDVLILFSSYLSEEQQPRRQIAVYSENMELCSQICCELEECQNPCLELEPFDCGCDEILVYQQEDPSVTCDQVVLVVKEVINRRCPEMVSNSRTSSTEAVAGSAPLSQGSSGIMELYGSDIEPQPSSVNFIENPPDLNDSNQAQVDANVDLVSPDSGLATIRSSRSSKESSVFLSDDSPVGEGAGPHHTLLPGLDSYSPIPEGAVAEEHAWSGEHGEHFDLFNFDPAPMASGQSQQSSHSADYSPADDFFPNSDLSEGQLPAGPEGLDGMGTNMSNYSSSSLLSGAGKDSLVEHDEEFVQRQDSPRDNSERNLSLTDFVGDESPSPERLKNTGKRIPPTPMNSLVESSPSTEEPASLYTEDMTQKATDTGHMGPPQTHARCSSWWGGLEIDSKNIADAWSSSEQESVFQSPESWKEHKPSSIDRRASDSVFQPKSLEFTKSGPWESEFGQPELGSNDIQDKNEESLPFQNLPMEKSPLPNTSPQGTNHLIEDFASLWHSGRSPTAMPEPWGNPTDDGEPAAVAPFPAWSAFGKEDHDEALKNTWNLHPTSSKTPSVRDPNEWAMAKSGFAFSSSELLDNSPSEINNEAAPEIWGKKNNDSRDHIFAPGNPSSDLDHTWTNSKPPKEDQNGLVDPKTRGKVYEKVDSWNLFEENMKKGGSDVLVPWEDSFLSYKCSDYSASNLGEDSVPSPLDTNYSTSDSYTSPTFAGDEKETEHKPFAKEEGFESKDGNSTAEETDIPPQSLQQSSRNRISSGPGNLDMWASPHTDNSSEINTTHNLDENELKTEHTDGKNISMEDDVGESSQSSYDDPSMMQLYNETNRQLTLLHSSTNSRQTAPDSLDLWNRVILEDTQSTATISDMDNDLDWDDCSGGAAIPSDGQTEGYMAEGSEPETRFTVRQLEPWGLEYQEANQVDWELPASDEHTKDSAPSEHHTLNEKSGQLIANSIWDSVMRDKDMSSFMLPGSSHITDSEQRELPPEIPSHSANVKDTHSPDAPAASGTSESEALISHLDKQDTERETLQSDAASLATRLENPGYFPHPDPWKGHGDGQSESEKEAQGATDRGHLDEEEVIASGVENASGISEKGQSDQELSSLVASEHQEICIKSGKISSLAVTFSPQTEEPEEVLEYEEGSYNLDSRDVQTGMSADNLQPKDTHEKHLMSQRNSGETTETSDGMNFTKYVSVPEKDLEKTEECNFLEPENVGGGPPHRVPRSLDFGDVPIDSDVHVSSTCSEITKNLDVKGSENSLPGAGSSGNFDRDTISSEYTHSSASSPELNDSSVALSSWGQQPSSGYQEENQGNWSEQNHQESELITTDGQVEIVTKVKDLEKNRINEFEKSFDRKTPTFLEIWNDSVDGDSFSSLSSPETGKYSEHSGTHQESNLIASYQEKNEHDISATVQPEDARVISTSSGSDDDSVGGEESIEEEIQVANCHVAEDESRAWDSLNESNKFLVTADPKSENIYDYLDSSEPAENENKSNPFCDNQQSSPDPWTFSPLTETEMQITAVEKEKRSSPETGTTGDVAWQISPKASFPKNEDNSQLEMLGFSADSTEWWKASPQEGRLIESPFERELSDSSGVLEINSSVHQNASPWGVPVQGDIEPVETHYTNPFSDNHQSPFLEGNGKNSHEQLWNIQPRQPDPDADKFSQLVKLDQIKEKDSREQTFVSAAGDELTPETPTQEQCQDTMLPVCDHPDTAFTHAEENSCVTSNVSTNEGQETNQWEQEKSYLGEMTNSSIATENFPAVSSPTQLIMKPGSEWDGSTPSEDSRGTFVPDILHGNFQEGGQLASAAPDLWIDAKKPFSLKADGENPDILTHCEHDSNSQASDSPDICHDSEAKQETEKHLSACMGPEVESSELCLTEPEIDEEPIYEPGREFVPSNAELDSENATVLPPIGYQADIKGSSQPASHKGSPEPSEINGDNSTGLQVSEKGASPDMAPILEPVDRRIPRIENVATSIFVTHQEPTPEGDGSWISDSFSPESQPGARALFDGDPHLSTENPALVPDALLASDTCLDISEAAFDHSFSDASGLNTSTGTIDDMSKLTLSEGHPETPVDGDLGKQDICSSEASWGDFEYDVMGQNIDEDLLREPEHFLYGGDPPLEEDSLKQSLAPYTPPFDLSYLTEPAQSAETIEEAGSPEDESLGCRAAEIVLSALPDRRSEGNQAETKNRLPGSQLAVLHIREDPESVYLPVGAGSNILSPSNVDWEVETDNSDLPAGGDIGPPNGASKEISELEEEKTIPTKEPEQIKSEYKEERCTEKNEDRHALHMDYILVNREENSHSKPETCEERESIAELELYVGSKETGLQGTQLASFPDTCQPASLNERKGLSAEKMSSKSDTRSSFESPAQDQSWMFLGHSEVGDPSLDARDSGPGWSGKTVEPFSELGLGEGPQLQILEEMKPLESLALEEASGPVSQSQKSKSRGRAGPDAVTLQAVTHDNEWEMLSPQPVQKNMIPDTEMEEETEFLELGTRISRPNGLLSEDVGMDIPFEEGVLSPSAADMRPEPPNSLDLNDTHPRRIKLTAPNINLSLDQSEGSILSDDNLDSPDEIDINVDELDTPDEADSFEYTGHDPTANKDSGQESESIPEYTAEEEREDNRLWRTVVIGEQEQRIDMKVIEPYRRVISHGGYYGDGLNAIIVFAACFLPDSSRADYHYVMENLFLYVISTLELMVAEDYMIVYLNGATPRRRMPGLGWMKKCYQMIDRRLRKNLKSFIIVHPSWFIRTILAVTRPFISSKFSSKIKYVNSLSELSGLIPMDCIHIPESIIKLDEELREASEAAKTSCLYNDPEMSSMEKDIDLKLKEKP
+>DECOY_sp|Q8WUY3|PRUN2_HUMAN Protein prune homolog 2 OS=Homo sapiens OX=9606 GN=PRUNE2 PE=1 SV=3
+PKEKLKLDIDKEMSSMEPDNYLCSTKAAESAERLEEDLKIISEPIHICDMPILGSLESLSNVYKIKSSFKSSIFPRTVALITRIFWSPHVIIFSKLNKRLRRDIMQYCKKMWGLGPMRRRPTAGNLYVIMYDEAVMLELTSIVYLFLNEMVYHYDARSSDPLFCAAFVIIANLGDGYYGGHSIVRRYPEIVKMDIRQEQEGIVVTRWLRNDEREEEATYEPISESEQGSDKNATPDHGTYEFSDAEDPTDLEDVNIDIEDPSDLNDDSLISGESQDLSLNINPATLKIRRPHTDNLDLSNPPEPRMDAASPSLVGEEFPIDMGVDESLLGNPRSIRTGLELFETEEEMETDPIMNKQVPQPSLMEWENDHTVAQLTVADPGARGRSKSKQSQSVPGSAEELALSELPKMEELIQLQPGEGLGLESFPEVTKGSWGPGSDRADLSPDGVESHGLFMWSQDQAPSEFSSRTDSKSSMKEASLGKRENLSAPQCTDPFSALQTGQLGTEKSGVYLELEAISEREECTEPKSHSNEERNVLIYDMHLAHRDENKETCREEKYESKIQEPEKTPITKEEELESIEKSAGNPPGIDGGAPLDSNDTEVEWDVNSPSLINSGAGVPLYVSEPDERIHLVALQSGPLRNKTEAQNGESRRDPLASLVIEAARCGLSEDEPSGAEEITEASQAPETLYSLDFPPTYPALSQKLSDEELPPDGGYLFHEPERLLDEDINQGMVDYEFDGWSAESSCIDQKGLDGDVPTEPHGESLTLKSMDDITGTSTNLGSADSFSHDFAAESIDLCTDSALLADPVLAPNETSLHPDGDFLARAGPQSEPSFSDSIWSGDGEPTPEQHTVFISTAVNEIRPIRRDVPELIPAMDPSAGKESVQLGTSNDGNIESPEPSGKHSAPQSSGKIDAQYGIPPLVTANESDLEANSPVFERGPEYIPEEDIEPETLCLESSEVEPGMCASLHKETEQKAESDHCIDPSDSAQSNSDHECHTLIDPNEGDAKLSFPKKADIWLDPAASALQGGEQFNGHLIDPVFTGRSDESPTSGDWESGPKMILQTPSSVAPFNETAISSNTMEGLYSKEQEWQNTEQGENTSVNSTVCSNEEAHTFATDPHDCVPLMTDQCQEQTPTEPTLEDGAASVFTQERSDKEKIQDLKVLQSFKDADPDPQRPQINWLQEHSNKGNGELFPSQHNDSFPNTYHTEVPEIDGQVPVGWPSANQHVSSNIELVGSSDSLEREFPSEILRGEQPSAKWWETSDASFGLMELQSNDENKPFSAKPSIQWAVDGTTGTEPSSRKEKEVATIQMETETLPSFTWPDPSSQQNDCFPNSKNENEAPESSDLYDYINESKPDATVLFKNSENLSDWARSEDEAVHCNAVQIEEEISEEGGVSDDDSGSSTSIVRADEPQVTASIDHENKEQYSAILNSEQHTGSHESYKGTEPSSLSSFSDGDVSDNWIELFTPTKRDFSKEFENIRNKELDKVKTVIEVQGDTTILESEQHNQESWNGQNEEQYGSSPQQGWSSLAVSSDNLEPSSASSHTYESSITDRDFNGSSGAGPLSNESGKVDLNKTIESCTSSVHVDSDIPVDGFDLSRPVRHPPGGGVNEPELFNCEETKELDKEPVSVYKTFNMGDSTETTEGSNRQSMLHKEHTDKPQLNDASMGTQVDRSDLNYSGEEYELVEEPEETQPSFTVALSSIKGSKICIEQHESAVLSSLEQDSQGKESIGSANEVGSAIVEEEDLHGRDTAGQAEKESESQGDGHGKWPDPHPFYGPNELRTALSAADSQLTERETDQKDLHSILAESESTGSAAPADPSHTDKVNASHSPIEPPLERQESDTIHSSGPLMFSSMDKDRMVSDWISNAILQGSKENLTHHESPASDKTHEDSAPLEWDVQNAEQYELGWPELQRVTFRTEPESGEAMYGETQGDSPIAAGGSCDDWDLDNDMDSITATSQTDELIVRNWLDLSDPATQRSNTSSHLLTLQRNTENYLQMMSPDDYSSQSSEGVDDEMSINKGDTHETKLENEDLNHTTNIESSNDTHPSAWMDLNGPGSSIRNRSSQQLSQPPIDTEEATSNGDKSEFGEEKAFPKHETEKEDGAFTPSTYSDSTSYNTDLPSPVSDEGLNSASYDSCKYSLFSDEWPVLVDSGGKKMNEEFLNWSDVKEYVKGRTKPDVLGNQDEKPPKSNTWTHDLDSSPNGPAFIHDRSDNNKKGWIEPAAENNIESPSNDLLESSSFAFGSKAMAWENPDRVSPTKSSTPHLNWTNKLAEDHDEKGFASWAPFPAVAAPEGDDTPNGWPEPMATPSRGSHWLSAFDEILHNTGQPSTNPLPSKEMPLNQFPLSEENKDQIDNSGLEPQGFESEWPGSKTFELSKPQFVSDSARRDISSPKHEKWSEPSQFVSEQESSSWADAINKSDIELGGWWSSCRAHTQPPGMHGTDTAKQTMDETYLSAPEETSPSSEVLSNMPTPPIRKGTNKLREPSPSEDGVFDTLSLNRESNDRPSDQRQVFEEDHEVLSDKGAGSLLSSSSYNSMNTGMGDLGEPGAPLQGESLDSNPFFDDAPSYDASHSSQQSQGSAMPAPDFNFLDFHEGHEGSWAHEEAVAGEPIPSYSDLGPLLTHHPGAGEGVPSDDSLFVSSEKSSRSSRITALGSDPSVLDVNADVQAQNSDNLDPPNEIFNVSSPQPEIDSGYLEMIGSSGQSLPASGAVAETSSTRSNSVMEPCRRNIVEKVVLVVQDCTVSPDEQQYVLIEDCGCDFPELELCPNQCEELECCIQSCLEMNESYVAIQRRPQQEESLYSSFLILVDFGFKDTFAKLDSTINSHFLCNELNMSVTSIAVKIEGDSLEKLDKLMTQEISLGQASFQTEQLVNIIDERPPLNPFKEELISLIEEQKESIKESEMTMWKFLISGRLRHALQETILEPAEQLIEKLVLSSSSERFEVNADSQEVPNIVKVVASELTKDESALVSSGVLTISLKGEDNLQHLNIEDRFIHFSESINLEELIFRTETFYNFETRPINLVPLCLVGPPSVKDLFYAYTFTSILSDLDCSKPGIVVHVKELRKSRNLKSKARQLFEEM
+>sp|A6NEY8|PRXD1_HUMAN Putative prolyl-tRNA synthetase associated domain-containing protein 1 OS=Homo sapiens OX=9606 GN=PRORSD1P PE=5 SV=3
+MAGAELGAALEQRLGALAIHTEVVEHPEVFTVEEMMPHIQHLKGAHSKNLFLKDKKKKNYWLVTVLHDRQINLNELAKQLGVGSGNLRFADETAMLEKLKVGQGCATPLALFCDGGDVKFVLDSAFLEGGHEKVYFHPMTNAATMGLSPEDFLTFVKMTGHDPIILNFD
+>DECOY_sp|A6NEY8|PRXD1_HUMAN Putative prolyl-tRNA synthetase associated domain-containing protein 1 OS=Homo sapiens OX=9606 GN=PRORSD1P PE=5 SV=3
+DFNLIIPDHGTMKVFTLFDEPSLGMTAANTMPHFYVKEHGGELFASDLVFKVDGGDCFLALPTACGQGVKLKELMATEDAFRLNGSGVGLQKALENLNIQRDHLVTVLWYNKKKKDKLFLNKSHAGKLHQIHPMMEEVTFVEPHEVVETHIALAGLRQELAAGLEAGAM
+>sp|O14603|PRY_HUMAN PTPN13-like protein, Y-linked OS=Homo sapiens OX=9606 GN=PRY PE=1 SV=2
+MGATGLGFLLSWRQDNLNGTDCQGCNILYFSETTGSMCSELSLNRGLEARRKKDLKDSFLWRYGKVGCISLPLREMTAWINPPQISEIFQGYHQRVHGADALSLQTNSLRSRLSSQCLGQSFLLRTLERGRGFRALGDICGHVHEED
+>DECOY_sp|O14603|PRY_HUMAN PTPN13-like protein, Y-linked OS=Homo sapiens OX=9606 GN=PRY PE=1 SV=2
+DEEHVHGCIDGLARFGRGRELTRLLFSQGLCQSSLRSRLSNTQLSLADAGHVRQHYGQFIESIQPPNIWATMERLPLSICGVKGYRWLFSDKLDKKRRAELGRNLSLESCMSGTTESFYLINCGQCDTGNLNDQRWSLLFGLGTAGM
+>sp|P25786|PSA1_HUMAN Proteasome subunit alpha type-1 OS=Homo sapiens OX=9606 GN=PSMA1 PE=1 SV=1
+MFRNQYDNDVTVWSPQGRIHQIEYAMEAVKQGSATVGLKSKTHAVLVALKRAQSELAAHQKKILHVDNHIGISIAGLTADARLLCNFMRQECLDSRFVFDRPLPVSRLVSLIGSKTQIPTQRYGRRPYGVGLLIAGYDDMGPHIFQTCPSANYFDCRAMSIGARSQSARTYLERHMSEFMECNLNELVKHGLRALRETLPAEQDLTTKNVSIGIVGKDLEFTIYDDDDVSPFLEGLEERPQRKAQPAQPADEPAEKADEPMEH
+>DECOY_sp|P25786|PSA1_HUMAN Proteasome subunit alpha type-1 OS=Homo sapiens OX=9606 GN=PSMA1 PE=1 SV=1
+HEMPEDAKEAPEDAPQAPQAKRQPREELGELFPSVDDDDYITFELDKGVIGISVNKTTLDQEAPLTERLARLGHKVLENLNCEMFESMHRELYTRASQSRAGISMARCDFYNASPCTQFIHPGMDDYGAILLGVGYPRRGYRQTPIQTKSGILSVLRSVPLPRDFVFRSDLCEQRMFNCLLRADATLGAISIGIHNDVHLIKKQHAALESQARKLAVLVAHTKSKLGVTASGQKVAEMAYEIQHIRGQPSWVTVDNDYQNRFM
+>sp|P60900|PSA6_HUMAN Proteasome subunit alpha type-6 OS=Homo sapiens OX=9606 GN=PSMA6 PE=1 SV=1
+MSRGSSAGFDRHITIFSPEGRLYQVEYAFKAINQGGLTSVAVRGKDCAVIVTQKKVPDKLLDSSTVTHLFKITENIGCVMTGMTADSRSQVQRARYEAANWKYKYGYEIPVDMLCKRIADISQVYTQNAEMRPLGCCMILIGIDEEQGPQVYKCDPAGYYCGFKATAAGVKQTESTSFLEKKVKKKFDWTFEQTVETAITCLSTVLSIDFKPSEIEVGVVTVENPKFRILTEAEIDAHLVALAERD
+>DECOY_sp|P60900|PSA6_HUMAN Proteasome subunit alpha type-6 OS=Homo sapiens OX=9606 GN=PSMA6 PE=1 SV=1
+DREALAVLHADIEAETLIRFKPNEVTVVGVEIESPKFDISLVTSLCTIATEVTQEFTWDFKKKVKKELFSTSETQKVGAATAKFGCYYGAPDCKYVQPGQEEDIGILIMCCGLPRMEANQTYVQSIDAIRKCLMDVPIEYGYKYKWNAAEYRARQVQSRSDATMGTMVCGINETIKFLHTVTSSDLLKDPVKKQTVIVACDKGRVAVSTLGGQNIAKFAYEVQYLRGEPSFITIHRDFGASSGRSM
+>sp|P52701|MSH6_HUMAN DNA mismatch repair protein Msh6 OS=Homo sapiens OX=9606 GN=MSH6 PE=1 SV=2
+MSRQSTLYSFFPKSPALSDANKASARASREGGRAAAAPGASPSPGGDAAWSEAGPGPRPLARSASPPKAKNLNGGLRRSVAPAAPTSCDFSPGDLVWAKMEGYPWWPCLVYNHPFDGTFIREKGKSVRVHVQFFDDSPTRGWVSKRLLKPYTGSKSKEAQKGGHFYSAKPEILRAMQRADEALNKDKIKRLELAVCDEPSEPEEEEEMEVGTTYVTDKSEEDNEIESEEEVQPKTQGSRRSSRQIKKRRVISDSESDIGGSDVEFKPDTKEEGSSDEISSGVGDSESEGLNSPVKVARKRKRMVTGNGSLKRKSSRKETPSATKQATSISSETKNTLRAFSAPQNSESQAHVSGGGDDSSRPTVWYHETLEWLKEEKRRDEHRRRPDHPDFDASTLYVPEDFLNSCTPGMRKWWQIKSQNFDLVICYKVGKFYELYHMDALIGVSELGLVFMKGNWAHSGFPEIAFGRYSDSLVQKGYKVARVEQTETPEMMEARCRKMAHISKYDRVVRREICRIITKGTQTYSVLEGDPSENYSKYLLSLKEKEEDSSGHTRAYGVCFVDTSLGKFFIGQFSDDRHCSRFRTLVAHYPPVQVLFEKGNLSKETKTILKSSLSCSLQEGLIPGSQFWDASKTLRTLLEEEYFREKLSDGIGVMLPQVLKGMTSESDSIGLTPGEKSELALSALGGCVFYLKKCLIDQELLSMANFEEYIPLDSDTVSTTRSGAIFTKAYQRMVLDAVTLNNLEIFLNGTNGSTEGTLLERVDTCHTPFGKRLLKQWLCAPLCNHYAINDRLDAIEDLMVVPDKISEVVELLKKLPDLERLLSKIHNVGSPLKSQNHPDSRAIMYEETTYSKKKIIDFLSALEGFKVMCKIIGIMEEVADGFKSKILKQVISLQTKNPEGRFPDLTVELNRWDTAFDHEKARKTGLITPKAGFDSDYDQALADIRENEQSLLEYLEKQRNRIGCRTIVYWGIGRNRYQLEIPENFTTRNLPEEYELKSTKKGCKRYWTKTIEKKLANLINAEERRDVSLKDCMRRLFYNFDKNYKDWQSAVECIAVLDVLLCLANYSRGGDGPMCRPVILLPEDTPPFLELKGSRHPCITKTFFGDDFIPNDILIGCEEEEQENGKAYCVLVTGPNMGGKSTLMRQAGLLAVMAQMGCYVPAEVCRLTPIDRVFTRLGASDRIMSGESTFFVELSETASILMHATAHSLVLVDELGRGTATFDGTAIANAVVKELAETIKCRTLFSTHYHSLVEDYSQNVAVRLGHMACMVENECEDPSQETITFLYKFIKGACPKSYGFNAARLANLPEEVIQKGHRKAREFEKMNQSLRLFREVCLASERSTVDAEAVHKLLTLIKEL
+>DECOY_sp|P52701|MSH6_HUMAN DNA mismatch repair protein Msh6 OS=Homo sapiens OX=9606 GN=MSH6 PE=1 SV=2
+LEKILTLLKHVAEADVTSRESALCVERFLRLSQNMKEFERAKRHGKQIVEEPLNALRAANFGYSKPCAGKIFKYLFTITEQSPDECENEVMCAMHGLRVAVNQSYDEVLSHYHTSFLTRCKITEALEKVVANAIATGDFTATGRGLEDVLVLSHATAHMLISATESLEVFFTSEGSMIRDSAGLRTFVRDIPTLRCVEAPVYCGMQAMVALLGAQRMLTSKGGMNPGTVLVCYAKGNEQEEEECGILIDNPIFDDGFFTKTICPHRSGKLELFPPTDEPLLIVPRCMPGDGGRSYNALCLLVDLVAICEVASQWDKYNKDFNYFLRRMCDKLSVDRREEANILNALKKEITKTWYRKCGKKTSKLEYEEPLNRTTFNEPIELQYRNRGIGWYVITRCGIRNRQKELYELLSQENERIDALAQDYDSDFGAKPTILGTKRAKEHDFATDWRNLEVTLDPFRGEPNKTQLSIVQKLIKSKFGDAVEEMIGIIKCMVKFGELASLFDIIKKKSYTTEEYMIARSDPHNQSKLPSGVNHIKSLLRELDPLKKLLEVVESIKDPVVMLDEIADLRDNIAYHNCLPACLWQKLLRKGFPTHCTDVRELLTGETSGNTGNLFIELNNLTVADLVMRQYAKTFIAGSRTTSVTDSDLPIYEEFNAMSLLEQDILCKKLYFVCGGLASLALESKEGPTLGISDSESTMGKLVQPLMVGIGDSLKERFYEEELLTRLTKSADWFQSGPILGEQLSCSLSSKLITKTEKSLNGKEFLVQVPPYHAVLTRFRSCHRDDSFQGIFFKGLSTDVFCVGYARTHGSSDEEKEKLSLLYKSYNESPDGELVSYTQTGKTIIRCIERRVVRDYKSIHAMKRCRAEMMEPTETQEVRAVKYGKQVLSDSYRGFAIEPFGSHAWNGKMFVLGLESVGILADMHYLEYFKGVKYCIVLDFNQSKIQWWKRMGPTCSNLFDEPVYLTSADFDPHDPRRRHEDRRKEEKLWELTEHYWVTPRSSDDGGGSVHAQSESNQPASFARLTNKTESSISTAQKTASPTEKRSSKRKLSGNGTVMRKRKRAVKVPSNLGESESDGVGSSIEDSSGEEKTDPKFEVDSGGIDSESDSIVRRKKIQRSSRRSGQTKPQVEEESEIENDEESKDTVYTTGVEMEEEEEPESPEDCVALELRKIKDKNLAEDARQMARLIEPKASYFHGGKQAEKSKSGTYPKLLRKSVWGRTPSDDFFQVHVRVSKGKERIFTGDFPHNYVLCPWWPYGEMKAWVLDGPSFDCSTPAAPAVSRRLGGNLNKAKPPSASRALPRPGPGAESWAADGGPSPSAGPAAAARGGERSARASAKNADSLAPSKPFFSYLTSQRSM
+>sp|Q8NHP6|MSPD2_HUMAN Motile sperm domain-containing protein 2 OS=Homo sapiens OX=9606 GN=MOSPD2 PE=1 SV=1
+MAENHAQNKAKLISETRRRFEAEYVTDKSDKYDARDVERLQQDDNWVESYLSWRHNIVDETLKMLDESFQWRKEISVNDLNESSIPRWLLEIGVIYLHGYDKEGNKLFWIRVKYHVKDQKTILDKKKLIAFWLERYAKRENGKPVTVMFDLSETGINSIDMDFVRFIINCFKVYYPKYLSKIVIFDMPWLMNAAFKIVKTWLGPEAVSLLKFTSKNEVQDYVSVEYLPPHMGGTDPFKYSYPPLVDDDFQTPLCENGPITSEDETSSKEDIESDGKETLETISNEEQTPLLKKINPTESTSKAEENEKVDSKVKAFKKPLSVFKGPLLHISPAEELYFGSTESGEKKTLIVLTNVTKNIVAFKVRTTAPEKYRVKPSNSSCDPGASVDIVVSPHGGLTVSAQDRFLIMAAEMEQSSGTGPAELTQFWKEVPRNKVMEHRLRCHTVESSKPNTLTLKDNAFNMSDKTSEDICLQLSRLLESNRKLEDQVQRCIWFQQLLLSLTMLLLAFVTSFFYLLYS
+>DECOY_sp|Q8NHP6|MSPD2_HUMAN Motile sperm domain-containing protein 2 OS=Homo sapiens OX=9606 GN=MOSPD2 PE=1 SV=1
+SYLLYFFSTVFALLLMTLSLLLQQFWICRQVQDELKRNSELLRSLQLCIDESTKDSMNFANDKLTLTNPKSSEVTHCRLRHEMVKNRPVEKWFQTLEAPGTGSSQEMEAAMILFRDQASVTLGGHPSVVIDVSAGPDCSSNSPKVRYKEPATTRVKFAVINKTVNTLVILTKKEGSETSGFYLEEAPSIHLLPGKFVSLPKKFAKVKSDVKENEEAKSTSETPNIKKLLPTQEENSITELTEKGDSEIDEKSSTEDESTIPGNECLPTQFDDDVLPPYSYKFPDTGGMHPPLYEVSVYDQVENKSTFKLLSVAEPGLWTKVIKFAANMLWPMDFIVIKSLYKPYYVKFCNIIFRVFDMDISNIGTESLDFMVTVPKGNERKAYRELWFAILKKKDLITKQDKVHYKVRIWFLKNGEKDYGHLYIVGIELLWRPISSENLDNVSIEKRWQFSEDLMKLTEDVINHRWSLYSEVWNDDQQLREVDRADYKDSKDTVYEAEFRRRTESILKAKNQAHNEAM
+>sp|Q5H9M0|MUML1_HUMAN PWWP domain-containing protein MUM1L1 OS=Homo sapiens OX=9606 GN=MUM1L1 PE=2 SV=1
+MESEYVLCNWKDQLWPAKVLSRSETSSNSKRKKAFSLEVQILSLDEKIKLDSTETKILNKSQIEAIAASLGLQSEDSAPPTEETAYGRSLKVALGILNERTNLSQASTSDEEEITMLSQNVPQKQSDSPPHKKYRKDEGDLPGCLEERENSACLLASSESDDSLYDDKSQAPTMVDTIPSEVETKSLQNSSWCETFPSLSEDNDEKENKNKIDISAVMSVHSAVKEESACVKDEKFAPPLSPLSSDMLIMPKALKEESEDTCLETLAVPSECSAFSENIEDPGEGPSNPCLDTSQNQPSMESEMGAAACPGSCSRECEVSFSASNPVWDYSHLMSSERNFQRLDFEELEEEGQASDKSLLPSRINLSLLDDDEEDEELPRFILHYETHPFETGMIVWFKYQKYPFWPAVIKSIRRKERKASVLFVEANMNSEKKGIRVNFRRLKKFDCKEKQMLVDKAREDYSESIDWCISLICDYRVRIGCGSFTGSLLEYYAADISYPVRKETKQDTFRNKFPKLHNEDAREPMAVTSQTKKMSFQKILPDRMKAARDRANKNLVDFIVNAKGTENHLLAIVNGTKGSRWLKSFLNANRFTPCIETYFEDEDQLDEVVKYLQEVCNQIDQIMPTWIKDDKIKFILEVLLPEAIICSISAVDGLDYEAAEAKYLKGPCLGYRERELFDAKIIYEKRRKAPTNEAH
+>DECOY_sp|Q5H9M0|MUML1_HUMAN PWWP domain-containing protein MUM1L1 OS=Homo sapiens OX=9606 GN=MUM1L1 PE=2 SV=1
+HAENTPAKRRKEYIIKADFLERERYGLCPGKLYKAEAAEYDLGDVASISCIIAEPLLVELIFKIKDDKIWTPMIQDIQNCVEQLYKVVEDLQDEDEFYTEICPTFRNANLFSKLWRSGKTGNVIALLHNETGKANVIFDVLNKNARDRAAKMRDPLIKQFSMKKTQSTVAMPERADENHLKPFKNRFTDQKTEKRVPYSIDAAYYELLSGTFSGCGIRVRYDCILSICWDISESYDERAKDVLMQKEKCDFKKLRRFNVRIGKKESNMNAEVFLVSAKREKRRISKIVAPWFPYKQYKFWVIMGTEFPHTEYHLIFRPLEEDEEDDDLLSLNIRSPLLSKDSAQGEEELEEFDLRQFNRESSMLHSYDWVPNSASFSVECERSCSGPCAAAGMESEMSPQNQSTDLCPNSPGEGPDEINESFASCESPVALTELCTDESEEKLAKPMILMDSSLPSLPPAFKEDKVCASEEKVASHVSMVASIDIKNKNEKEDNDESLSPFTECWSSNQLSKTEVESPITDVMTPAQSKDDYLSDDSESSALLCASNEREELCGPLDGEDKRYKKHPPSDSQKQPVNQSLMTIEEEDSTSAQSLNTRENLIGLAVKLSRGYATEETPPASDESQLGLSAAIAEIQSKNLIKTETSDLKIKEDLSLIQVELSFAKKRKSNSSTESRSLVKAPWLQDKWNCLVYESEM
+>sp|O15146|MUSK_HUMAN Muscle, skeletal receptor tyrosine-protein kinase OS=Homo sapiens OX=9606 GN=MUSK PE=1 SV=1
+MRELVNIPLVHILTLVAFSGTEKLPKAPVITTPLETVDALVEEVATFMCAVESYPQPEISWTRNKILIKLFDTRYSIRENGQLLTILSVEDSDDGIYCCTANNGVGGAVESCGALQVKMKPKITRPPINVKIIEGLKAVLPCTTMGNPKPSVSWIKGDSPLRENSRIAVLESGSLRIHNVQKEDAGQYRCVAKNSLGTAYSKVVKLEVEVFARILRAPESHNVTFGSFVTLHCTATGIPVPTITWIENGNAVSSGSIQESVKDRVIDSRLQLFITKPGLYTCIATNKHGEKFSTAKAAATISIAEWSKPQKDNKGYCAQYRGEVCNAVLAKDALVFLNTSYADPEEAQELLVHTAWNELKVVSPVCRPAAEALLCNHIFQECSPGVVPTPIPICREYCLAVKELFCAKEWLVMEEKTHRGLYRSEMHLLSVPECSKLPSMHWDPTACARLPHLDYNKENLKTFPPMTSSKPSVDIPNLPSSSSSSFSVSPTYSMTVIISIMSSFAIFVLLTITTLYCCRRRKQWKNKKRESAAVTLTTLPSELLLDRLHPNPMYQRMPLLLNPKLLSLEYPRNNIEYVRDIGEGAFGRVFQARAPGLLPYEPFTMVAVKMLKEEASADMQADFQREAALMAEFDNPNIVKLLGVCAVGKPMCLLFEYMAYGDLNEFLRSMSPHTVCSLSHSDLSMRAQVSSPGPPPLSCAEQLCIARQVAAGMAYLSERKFVHRDLATRNCLVGENMVVKIADFGLSRNIYSADYYKANENDAIPIRWMPPESIFYNRYTTESDVWAYGVVLWEIFSYGLQPYYGMAHEEVIYYVRDGNILSCPENCPVELYNLMRLCWSKLPADRPSFTSIHRILERMCERAEGTVSV
+>DECOY_sp|O15146|MUSK_HUMAN Muscle, skeletal receptor tyrosine-protein kinase OS=Homo sapiens OX=9606 GN=MUSK PE=1 SV=1
+VSVTGEARECMRELIRHISTFSPRDAPLKSWCLRMLNYLEVPCNEPCSLINGDRVYYIVEEHAMGYYPQLGYSFIEWLVVGYAWVDSETTYRNYFISEPPMWRIPIADNENAKYYDASYINRSLGFDAIKVVMNEGVLCNRTALDRHVFKRESLYAMGAAVQRAICLQEACSLPPPGPSSVQARMSLDSHSLSCVTHPSMSRLFENLDGYAMYEFLLCMPKGVACVGLLKVINPNDFEAMLAAERQFDAQMDASAEEKLMKVAVMTFPEYPLLGPARAQFVRGFAGEGIDRVYEINNRPYELSLLKPNLLLPMRQYMPNPHLRDLLLESPLTTLTVAASERKKNKWQKRRRCCYLTTITLLVFIAFSSMISIIVTMSYTPSVSFSSSSSSPLNPIDVSPKSSTMPPFTKLNEKNYDLHPLRACATPDWHMSPLKSCEPVSLLHMESRYLGRHTKEEMVLWEKACFLEKVALCYERCIPIPTPVVGPSCEQFIHNCLLAEAAPRCVPSVVKLENWATHVLLEQAEEPDAYSTNLFVLADKALVANCVEGRYQACYGKNDKQPKSWEAISITAAAKATSFKEGHKNTAICTYLGPKTIFLQLRSDIVRDKVSEQISGSSVANGNEIWTITPVPIGTATCHLTVFSGFTVNHSEPARLIRAFVEVELKVVKSYATGLSNKAVCRYQGADEKQVNHIRLSGSELVAIRSNERLPSDGKIWSVSPKPNGMTTCPLVAKLGEIIKVNIPPRTIKPKMKVQLAGCSEVAGGVGNNATCCYIGDDSDEVSLITLLQGNERISYRTDFLKILIKNRTWSIEPQPYSEVACMFTAVEEVLADVTELPTTIVPAKPLKETGSFAVLTLIHVLPINVLERM
+>sp|Q96S97|MYADM_HUMAN Myeloid-associated differentiation marker OS=Homo sapiens OX=9606 GN=MYADM PE=1 SV=2
+MPVTVTRTTITTTTTSSSGLGSPMIVGSPRALTQPLGLLRLLQLVSTCVAFSLVASVGAWTGSMGNWSMFTWCFCFSVTLIILIVELCGLQARFPLSWRNFPITFACYAALFCLSASIIYPTTYVQFLSHGRSRDHAIAATFFSCIACVAYATEVAWTRARPGEITGYMATVPGLLKVLETFVACIIFAFISDPNLYQHQPALEWCVAVYAICFILAAIAILLNLGECTNVLPIPFPSFLSGLALLSVLLYATALVLWPLYQFDEKYGGQPRRSRDVSCSRSHAYYVCAWDRRLAVAILTAINLLAYVADLVHSAHLVFVKV
+>DECOY_sp|Q96S97|MYADM_HUMAN Myeloid-associated differentiation marker OS=Homo sapiens OX=9606 GN=MYADM PE=1 SV=2
+VKVFVLHASHVLDAVYALLNIATLIAVALRRDWACVYYAHSRSCSVDRSRRPQGGYKEDFQYLPWLVLATAYLLVSLLALGSLFSPFPIPLVNTCEGLNLLIAIAALIFCIAYVAVCWELAPQHQYLNPDSIFAFIICAVFTELVKLLGPVTAMYGTIEGPRARTWAVETAYAVCAICSFFTAAIAHDRSRGHSLFQVYTTPYIISASLCFLAAYCAFTIPFNRWSLPFRAQLGCLEVILIILTVSFCFCWTFMSWNGMSGTWAGVSAVLSFAVCTSVLQLLRLLGLPQTLARPSGVIMPSGLGSSSTTTTTITTRTVTVPM
+>sp|Q99417|MYCBP_HUMAN c-Myc-binding protein OS=Homo sapiens OX=9606 GN=MYCBP PE=1 SV=3
+MAHYKAADSKREQFRRYLEKSGVLDTLTKVLVALYEEPEKPNSALDFLKHHLGAATPENPEIELLRLELAEMKEKYEAIVEENKKLKAKLAQYEPPQEEKRAE
+>DECOY_sp|Q99417|MYCBP_HUMAN c-Myc-binding protein OS=Homo sapiens OX=9606 GN=MYCBP PE=1 SV=3
+EARKEEQPPEYQALKAKLKKNEEVIAEYKEKMEALELRLLEIEPNEPTAAGLHHKLFDLASNPKEPEEYLAVLVKTLTDLVGSKELYRRFQERKSDAAKYHAM
+>sp|Q8IZQ8|MYCD_HUMAN Myocardin OS=Homo sapiens OX=9606 GN=MYOCD PE=1 SV=1
+MTLLGSEHSLLIRSKFRSVLQLRLQQRRTQEQLANQGIIPPLKRPAEFHEQRKHLDSDKAKNSLKRKARNRCNSADLVNMHILQASTAERSIPTAQMKLKRARLADDLNEKIALRPGPLELVEKNILPVDSAVKEAIKGNQVSFSKSTDAFAFEEDSSSDGLSPDQTRSEDPQNSAGSPPDAKASDTPSTGSLGTNQDLASGSENDRNDSASQPSHQSDAGKQGLGPPSTPIAVHAAVKSKSLGDSKNRHKKPKDPKPKVKKLKYHQYIPPDQKAEKSPPPMDSAYARLLQQQQLFLQLQILSQQQQQQQHRFSYLGMHQAQLKEPNEQMVRNPNSSSTPLSNTPLSPVKNSFSGQTGVSSFKPGPLPPNLDDLKVSELRQQLRIRGLPVSGTKTALMDRLRPFQDCSGNPVPNFGDITTVTFPVTPNTLPNYQSSSSTSALSNGFYHFGSTSSSPPISPASSDLSVAGSLPDTFNDASPSFGLHPSPVHVCTEESLMSSLNGGSVPSELDGLDSEKDKMLVEKQKVINELTWKLQQEQRQVEELRMQLQKQKRNNCSEKKPLPFLAASIKQEEAVSSCPFASQVPVKRQSSSSECHPPACEAAQLQPLGNAHCVESSDQTNVLSSTFLSPQCSPQHSPLGAVKSPQHISLPPSPNNPHFLPSSSGAQGEGHRVSSPISSQVCTAQMAGLHSSDKVGPKFSIPSPTFSKSSSAISEVTQPPSYEDAVKQQMTRSQQMDELLDVLIESGEMPADAREDHSCLQKVPKIPRSSRSPTAVLTKPSASFEQASSGSQIPFDPYATDSDEHLEVLLNSQSPLGKMSDVTLLKIGSEEPHFDGIMDGFSGKAAEDLFNAHEILPGPLSPMQTQFSPSSVDSNGLQLSFTESPWETMEWLDLTPPNSTPGFSALTTSSPSIFNIDFLDVTDLNLNSSMDLHLQQW
+>DECOY_sp|Q8IZQ8|MYCD_HUMAN Myocardin OS=Homo sapiens OX=9606 GN=MYOCD PE=1 SV=1
+WQQLHLDMSSNLNLDTVDLFDINFISPSSTTLASFGPTSNPPTLDLWEMTEWPSETFSLQLGNSDVSSPSFQTQMPSLPGPLIEHANFLDEAAKGSFGDMIGDFHPEESGIKLLTVDSMKGLPSQSNLLVELHEDSDTAYPDFPIQSGSSAQEFSASPKTLVATPSRSSRPIKPVKQLCSHDERADAPMEGSEILVDLLEDMQQSRTMQQKVADEYSPPQTVESIASSSKSFTPSPISFKPGVKDSSHLGAMQATCVQSSIPSSVRHGEGQAGSSSPLFHPNNPSPPLSIHQPSKVAGLPSHQPSCQPSLFTSSLVNTQDSSEVCHANGLPQLQAAECAPPHCESSSSQRKVPVQSAFPCSSVAEEQKISAALFPLPKKESCNNRKQKQLQMRLEEVQRQEQQLKWTLENIVKQKEVLMKDKESDLGDLESPVSGGNLSSMLSEETCVHVPSPHLGFSPSADNFTDPLSGAVSLDSSAPSIPPSSSTSGFHYFGNSLASTSSSSQYNPLTNPTVPFTVTTIDGFNPVPNGSCDQFPRLRDMLATKTGSVPLGRIRLQQRLESVKLDDLNPPLPGPKFSSVGTQGSFSNKVPSLPTNSLPTSSSNPNRVMQENPEKLQAQHMGLYSFRHQQQQQQQSLIQLQLFLQQQQLLRAYASDMPPPSKEAKQDPPIYQHYKLKKVKPKPDKPKKHRNKSDGLSKSKVAAHVAIPTSPPGLGQKGADSQHSPQSASDNRDNESGSALDQNTGLSGTSPTDSAKADPPSGASNQPDESRTQDPSLGDSSSDEEFAFADTSKSFSVQNGKIAEKVASDVPLINKEVLELPGPRLAIKENLDDALRARKLKMQATPISREATSAQLIHMNVLDASNCRNRAKRKLSNKAKDSDLHKRQEHFEAPRKLPPIIGQNALQEQTRRQQLRLQLVSRFKSRILLSHESGLLTM
+>sp|P12525|MYCP1_HUMAN Putative myc-like protein MYCLP1 OS=Homo sapiens OX=9606 GN=MYCLP1 PE=5 SV=2
+MDRDSYHHYFYDYDGGEDFYRSTTPSEDIWKKFELVPPPWDLGPAAGNPALSFGLLEPWPVGCAGDETESQDYWKAWDANYASLIRRDCMWSGFSTQEPLERAVSDLLAVGAPSGYSPKEFATPDYTPELEAGNLAPIFPCLLGEPKIQACSRSESPSDSEGEEIDVTVKKRQSLSTRKPVIIAVRADLLDPRMNLFHISIHQQQHNYAAPFPPESCFQEGAPKRMPPKEALEREAPGGKDDKEDEEIVSLPPVESEAAQSCQPKPIHYDTENWTKKKYHSYLERKRRNDQRSRFLALRDEVPALASCSRVSKVMILVKATEYLHELAEAEERMATEKRQLECQRRQLQKRIEYLSSY
+>DECOY_sp|P12525|MYCP1_HUMAN Putative myc-like protein MYCLP1 OS=Homo sapiens OX=9606 GN=MYCLP1 PE=5 SV=2
+YSSLYEIRKQLQRRQCELQRKETAMREEAEALEHLYETAKVLIMVKSVRSCSALAPVEDRLALFRSRQDNRRKRELYSHYKKKTWNETDYHIPKPQCSQAAESEVPPLSVIEEDEKDDKGGPAERELAEKPPMRKPAGEQFCSEPPFPAAYNHQQQHISIHFLNMRPDLLDARVAIIVPKRTSLSQRKKVTVDIEEGESDSPSESRSCAQIKPEGLLCPFIPALNGAELEPTYDPTAFEKPSYGSPAGVALLDSVARELPEQTSFGSWMCDRRILSAYNADWAKWYDQSETEDGACGVPWPELLGFSLAPNGAAPGLDWPPPVLEFKKWIDESPTTSRYFDEGGDYDYFYHHYSDRDM
+>sp|Q01538|MYT1_HUMAN Myelin transcription factor 1 OS=Homo sapiens OX=9606 GN=MYT1 PE=1 SV=2
+MSLENEDKRARTRSKALRGPPETTAADLSCPTPGCTGSGHVRGKYSRHRSLQSCPLAKKRKLEGAEAEHLVSKRKSHPLKLALDEGYGVDSDGSEDTEVKDASVSDESEGTLEGAEAETSGQDEIHRPETAEGRSPVKSHFGSNPIGSATASSKGSYSSYQGIIATSLLNLGQIAEETLVEEDLGQAAKPGPGIVHLLQEAAEGAASEEGEKGLFIQPEDAEEVVEVTTERSQDLCPQSLEDAASEESSKQKGILSHEEEDEEEEEEEEEEEEDEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEAAPDVIFQEDTSHTSAQKAPELRGPESPSPKPEYSVIVEVRSDDDKDEDTHSRKSTVTDESEMQDMMTRGNLGLLEQAIALKAEQVRTVCEPGCPPAEQSQLGLGEPGKAAKPLDTVRKSYYSKDPSRAEKREIKCPTPGCDGTGHVTGLYPHHRSLSGCPHKDRIPPEILAMHENVLKCPTPGCTGQGHVNSNRNTHRSLSGCPIAAAEKLAKSHEKQQPQTGDPSKSSSNSDRILRPMCFVKQLEVPPYGSYRPNVAPATPRANLAKELEKFSKVTFDYASFDAQVFGKRMLAPKIQTSETSPKAFQCFDYSQDAEAAHMAATAILNLSTRCWEMPENLSTKPQDLPSKSVDIEVDENGTLDLSMHKHRKRENAFPSSSSCSSSPGVKSPDASQRHSSTSAPSSSMTSPQSSQASRQDEWDRPLDYTKPSRLREEEPEESEPAAHSFASSEADDQEVSEENFEERKYPGEVTLTNFKLKFLSKDIKKELLTCPTPGCDGSGHITGNYASHRSLSGCPLADKSLRNLMAAHSADLKCPTPGCDGSGHITGNYASHRSLSGCPRAKKSGVKVAPTKDDKEDPELMKCPVPGCVGLGHISGKYASHRSASGCPLAARRQKEGSLNGSSFSWKSLKNEGPTCPTPGCDGSGHANGSFLTHRSLSGCPRATFAGKKGKLSGDEVLSPKFKTSDVLENDEEIKQLNQEIRDLNESNSEMEAAMVQLQSQISSMEKNLKNIEEENKLIEEQNEALFLELSGLSQALIQSLANIRLPHMEPICEQNFDAYVSTLTDMYSNQDPENKDLLESIKQAVRGIQV
+>DECOY_sp|Q01538|MYT1_HUMAN Myelin transcription factor 1 OS=Homo sapiens OX=9606 GN=MYT1 PE=1 SV=2
+VQIGRVAQKISELLDKNEPDQNSYMDTLTSVYADFNQECIPEMHPLRINALSQILAQSLGSLELFLAENQEEILKNEEEINKLNKEMSSIQSQLQVMAAEMESNSENLDRIEQNLQKIEEDNELVDSTKFKPSLVEDGSLKGKKGAFTARPCGSLSRHTLFSGNAHGSGDCGPTPCTPGENKLSKWSFSSGNLSGEKQRRAALPCGSASRHSAYKGSIHGLGVCGPVPCKMLEPDEKDDKTPAVKVGSKKARPCGSLSRHSAYNGTIHGSGDCGPTPCKLDASHAAMLNRLSKDALPCGSLSRHSAYNGTIHGSGDCGPTPCTLLEKKIDKSLFKLKFNTLTVEGPYKREEFNEESVEQDDAESSAFSHAAPESEEPEEERLRSPKTYDLPRDWEDQRSAQSSQPSTMSSSPASTSSHRQSADPSKVGPSSSCSSSSPFANERKRHKHMSLDLTGNEDVEIDVSKSPLDQPKTSLNEPMEWCRTSLNLIATAAMHAAEADQSYDFCQFAKPSTESTQIKPALMRKGFVQADFSAYDFTVKSFKELEKALNARPTAPAVNPRYSGYPPVELQKVFCMPRLIRDSNSSSKSPDGTQPQQKEHSKALKEAAAIPCGSLSRHTNRNSNVHGQGTCGPTPCKLVNEHMALIEPPIRDKHPCGSLSRHHPYLGTVHGTGDCGPTPCKIERKEARSPDKSYYSKRVTDLPKAAKGPEGLGLQSQEAPPCGPECVTRVQEAKLAIAQELLGLNGRTMMDQMESEDTVTSKRSHTDEDKDDDSRVEVIVSYEPKPSPSEPGRLEPAKQASTHSTDEQFIVDPAAEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEDEEEEEEEEEEEEDEEEHSLIGKQKSSEESAADELSQPCLDQSRETTVEVVEEADEPQIFLGKEGEESAAGEAAEQLLHVIGPGPKAAQGLDEEVLTEEAIQGLNLLSTAIIGQYSSYSGKSSATASGIPNSGFHSKVPSRGEATEPRHIEDQGSTEAEAGELTGESEDSVSADKVETDESGDSDVGYGEDLALKLPHSKRKSVLHEAEAGELKRKKALPCSQLSRHRSYKGRVHGSGTCGPTPCSLDAATTEPPGRLAKSRTRARKDENELSM
+>sp|Q9BXJ9|NAA15_HUMAN N-alpha-acetyltransferase 15, NatA auxiliary subunit OS=Homo sapiens OX=9606 GN=NAA15 PE=1 SV=1
+MPAVSLPPKENALFKRILRCYEHKQYRNGLKFCKQILSNPKFAEHGETLAMKGLTLNCLGKKEEAYELVRRGLRNDLKSHVCWHVYGLLQRSDKKYDEAIKCYRNALKWDKDNLQILRDLSLLQIQMRDLEGYRETRYQLLQLRPAQRASWIGYAIAYHLLEDYEMAAKILEEFRKTQQTSPDKVDYEYSELLLYQNQVLREAGLYREALEHLCTYEKQICDKLAVEETKGELLLQLCRLEDAADVYRGLQERNPENWAYYKGLEKALKPANMLERLKIYEEAWTKYPRGLVPRRLPLNFLSGEKFKECLDKFLRMNFSKGCPPVFNTLRSLYKDKEKVAIIEELVVGYETSLKSCRLFNPNDDGKEEPPTTLLWVQYYLAQHYDKIGQPSIALEYINTAIESTPTLIELFLVKAKIYKHAGNIKEAARWMDEAQALDTADRFINSKCAKYMLKANLIKEAEEMCSKFTREGTSAVENLNEMQCMWFQTECAQAYKAMNKFGEALKKCHEIERHFIEITDDQFDFHTYCMRKITLRSYVDLLKLEDVLRQHPFYFKAARIAIEIYLKLHDNPLTDENKEHEADTANMSDKELKKLRNKQRRAQKKAQIEEEKKNAEKEKQQRNQKKKKDDDDEEIGGPKEELIPEKLAKVETPLEEAIKFLTPLKNLVKNKIETHLFAFEIYFRKEKFLLMLQSVKRAFAIDSSHPWLHECMIRLFNTAVCESKDLSDTVRTVLKQEMNRLFGATNPKNFNETFLKRNSDSLPHRLSAAKMVYYLDPSSQKRAIELATTLDESLTNRNLQTCMEVLEALYDGSLGDCKEAAEIYRANCHKLFPYALAFMPPGYEEDMKITVNGDSSAEAEELANEI
+>DECOY_sp|Q9BXJ9|NAA15_HUMAN N-alpha-acetyltransferase 15, NatA auxiliary subunit OS=Homo sapiens OX=9606 GN=NAA15 PE=1 SV=1
+IENALEEAEASSDGNVTIKMDEEYGPPMFALAYPFLKHCNARYIEAAEKCDGLSGDYLAELVEMCTQLNRNTLSEDLTTALEIARKQSSPDLYYVMKAASLRHPLSDSNRKLFTENFNKPNTAGFLRNMEQKLVTRVTDSLDKSECVATNFLRIMCEHLWPHSSDIAFARKVSQLMLLFKEKRFYIEFAFLHTEIKNKVLNKLPTLFKIAEELPTEVKALKEPILEEKPGGIEEDDDDKKKKQNRQQKEKEANKKEEEIQAKKQARRQKNRLKKLEKDSMNATDAEHEKNEDTLPNDHLKLYIEIAIRAAKFYFPHQRLVDELKLLDVYSRLTIKRMCYTHFDFQDDTIEIFHREIEHCKKLAEGFKNMAKYAQACETQFWMCQMENLNEVASTGERTFKSCMEEAEKILNAKLMYKACKSNIFRDATDLAQAEDMWRAAEKINGAHKYIKAKVLFLEILTPTSEIATNIYELAISPQGIKDYHQALYYQVWLLTTPPEEKGDDNPNFLRCSKLSTEYGVVLEEIIAVKEKDKYLSRLTNFVPPCGKSFNMRLFKDLCEKFKEGSLFNLPLRRPVLGRPYKTWAEEYIKLRELMNAPKLAKELGKYYAWNEPNREQLGRYVDAADELRCLQLLLEGKTEEVALKDCIQKEYTCLHELAERYLGAERLVQNQYLLLESYEYDVKDPSTQQTKRFEELIKAAMEYDELLHYAIAYGIWSARQAPRLQLLQYRTERYGELDRMQIQLLSLDRLIQLNDKDWKLANRYCKIAEDYKKDSRQLLGYVHWCVHSKLDNRLGRRVLEYAEEKKGLCNLTLGKMALTEGHEAFKPNSLIQKCFKLGNRYQKHEYCRLIRKFLANEKPPLSVAPM
+>sp|Q15742|NAB2_HUMAN NGFI-A-binding protein 2 OS=Homo sapiens OX=9606 GN=NAB2 PE=1 SV=1
+MHRAPSPTAEQPPGGGDSARRTLQPRLKPSARAMALPRTLGELQLYRVLQRANLLSYYETFIQQGGDDVQQLCEAGEEEFLEIMALVGMATKPLHVRRLQKALREWATNPGLFSQPVPAVPVSSIPLFKISETAGTRKGSMSNGHGSPGEKAGSARSFSPKSPLELGEKLSPLPGGPGAGDPRIWPGRSTPESDVGAGGEEEAGSPPFSPPAGGGVPEGTGAGGLAAGGTGGGPDRLEPEMVRMVVESVERIFRSFPRGDAGEVTSLLKLNKKLARSVGHIFEMDDNDSQKEEEIRKYSIIYGRFDSKRREGKQLSLHELTINEAAAQFCMRDNTLLLRRVELFSLSRQVARESTYLSSLKGSRLHPEELGGPPLKKLKQEVGEQSHPEIQQPPPGPESYVPPYRPSLEEDSASLSGESLDGHLQAVGSCPRLTPPPADLPLALPAHGLWSRHILQQTLMDEGLRLARLVSHDRVGRLSPCVPAKPPLAEFEEGLLDRCPAPGPHPALVEGRRSSVKVEAEASRQ
+>DECOY_sp|Q15742|NAB2_HUMAN NGFI-A-binding protein 2 OS=Homo sapiens OX=9606 GN=NAB2 PE=1 SV=1
+QRSAEAEVKVSSRRGEVLAPHPGPAPCRDLLGEEFEALPPKAPVCPSLRGVRDHSVLRALRLGEDMLTQQLIHRSWLGHAPLALPLDAPPPTLRPCSGVAQLHGDLSEGSLSASDEELSPRYPPVYSEPGPPPQQIEPHSQEGVEQKLKKLPPGGLEEPHLRSGKLSSLYTSERAVQRSLSFLEVRRLLLTNDRMCFQAAAENITLEHLSLQKGERRKSDFRGYIISYKRIEEEKQSDNDDMEFIHGVSRALKKNLKLLSTVEGADGRPFSRFIREVSEVVMRVMEPELRDPGGGTGGAALGGAGTGEPVGGGAPPSFPPSGAEEEGGAGVDSEPTSRGPWIRPDGAGPGGPLPSLKEGLELPSKPSFSRASGAKEGPSGHGNSMSGKRTGATESIKFLPISSVPVAPVPQSFLGPNTAWERLAKQLRRVHLPKTAMGVLAMIELFEEEGAECLQQVDDGGQQIFTEYYSLLNARQLVRYLQLEGLTRPLAMARASPKLRPQLTRRASDGGGPPQEATPSPARHM
+>sp|Q13765|NACA_HUMAN Nascent polypeptide-associated complex subunit alpha OS=Homo sapiens OX=9606 GN=NACA PE=1 SV=1
+MPGEATETVPATEQELPQPQAETGSGTESDSDESVPELEEQDSTQATTQQAQLAAAAEIDEEPVSKAKQSRSEKKARKAMSKLGLRQVTGVTRVTIRKSKNILFVITKPDVYKSPASDTYIVFGEAKIEDLSQQAQLAAAEKFKVQGEAVSNIQENTQTPTVQEESEEEEVDETGVEVKDIELVMSQANVSRAKAVRALKNNSNDIVNAIMELTM
+>DECOY_sp|Q13765|NACA_HUMAN Nascent polypeptide-associated complex subunit alpha OS=Homo sapiens OX=9606 GN=NACA PE=1 SV=1
+MTLEMIANVIDNSNNKLARVAKARSVNAQSMVLEIDKVEVGTEDVEEEESEEQVTPTQTNEQINSVAEGQVKFKEAAALQAQQSLDEIKAEGFVIYTDSAPSKYVDPKTIVFLINKSKRITVRTVGTVQRLGLKSMAKRAKKESRSQKAKSVPEEDIEAAAALQAQQTTAQTSDQEELEPVSEDSDSETGSGTEAQPQPLEQETAPVTETAEGPM
+>sp|Q9BWU0|NADAP_HUMAN Kanadaptin OS=Homo sapiens OX=9606 GN=SLC4A1AP PE=1 SV=1
+MLAPLRNAPGREGATSPSPPTDATGSLGEWDVDRNVKTEGWVSKERISKLHRLRMADILSQSETLASQDLSGDFKKPALPVSPAARSKAPASSSSNPEEVQKEGPTALQDSNSGEPDIPPPQPDCGDFRSLQEEQSRPPTAVSSPGGPARAPPYQEPPWGGPATAPYSLETLKGGTILGTRSLKGTSYCLFGRLSGCDVCLEHPSVSRYHAVLQHRASGPDGECDSNGPGFYLYDLGSTHGTFLNKTRIPPRTYCRVHVGHVVRFGGSTRLFILQGPEEDREAESELTVTQLKELRKQQQILLEKKMLGEDSDEEEEMDTSERKINAGSQDDEMGCTWGMGEDAVEDDAEENPIVLEFQQEREAFYIKDPKKALQGFFDREGEELEYEFDEQGHSTWLCRVRLPVDDSTGKQLVAEAIHSGKKKEAMIQCSLEACRILDTLGLLRQEAVSRKRKAKNWEDEDFYDSDDDTFLDRTGLIEKKRLNRMKKAGKIDEKPETFESLVAKLNDAERELSEISERLKASSQVLSESPSQDSLDAFMSEMKSGSTLDGVSRKKLHLRTFELRKEQQRLKGLIKIVKPAEIPELKKTETQTTGAENKAKKLTLPLFGAMKGGSKFKLKTGTVGKLPPKRPELPPTLMRMKDEPEVEEEEEEEEEEEKEKEEHEKKKLEDGSLSRPQPEIEPEAAVQEMRPPTDLTHFKETQTHENMSQLSEEEQNKDYQDCSKTTSLCAGPSASKNEYEKSRGELKKKKTPGPGKLPPTLSSKYPEDDPDYCVWVPPEGQSGDGRTHLNDKYGY
+>DECOY_sp|Q9BWU0|NADAP_HUMAN Kanadaptin OS=Homo sapiens OX=9606 GN=SLC4A1AP PE=1 SV=1
+YGYKDNLHTRGDGSQGEPPVWVCYDPDDEPYKSSLTPPLKGPGPTKKKKLEGRSKEYENKSASPGACLSTTKSCDQYDKNQEEESLQSMNEHTQTEKFHTLDTPPRMEQVAAEPEIEPQPRSLSGDELKKKEHEEKEKEEEEEEEEEEEVEPEDKMRMLTPPLEPRKPPLKGVTGTKLKFKSGGKMAGFLPLTLKKAKNEAGTTQTETKKLEPIEAPKVIKILGKLRQQEKRLEFTRLHLKKRSVGDLTSGSKMESMFADLSDQSPSESLVQSSAKLRESIESLEREADNLKAVLSEFTEPKEDIKGAKKMRNLRKKEILGTRDLFTDDDSDYFDEDEWNKAKRKRSVAEQRLLGLTDLIRCAELSCQIMAEKKKGSHIAEAVLQKGTSDDVPLRVRCLWTSHGQEDFEYELEEGERDFFGQLAKKPDKIYFAEREQQFELVIPNEEADDEVADEGMGWTCGMEDDQSGANIKRESTDMEEEEDSDEGLMKKELLIQQQKRLEKLQTVTLESEAERDEEPGQLIFLRTSGGFRVVHGVHVRCYTRPPIRTKNLFTGHTSGLDYLYFGPGNSDCEGDPGSARHQLVAHYRSVSPHELCVDCGSLRGFLCYSTGKLSRTGLITGGKLTELSYPATAPGGWPPEQYPPARAPGGPSSVATPPRSQEEQLSRFDGCDPQPPPIDPEGSNSDQLATPGEKQVEEPNSSSSAPAKSRAAPSVPLAPKKFDGSLDQSALTESQSLIDAMRLRHLKSIREKSVWGETKVNRDVDWEGLSGTADTPPSPSTAGERGPANRLPALM
+>sp|Q8NFP4|MDGA1_HUMAN MAM domain-containing glycosylphosphatidylinositol anchor protein 1 OS=Homo sapiens OX=9606 GN=MDGA1 PE=1 SV=1
+MEVTCLLLLALIPFHCRGQGVYAPAQAQIVHAGQACVVKEDNISERVYTIREGDTLMLQCLVTGHPRPQVRWTKTAGSASDKFQETSVFNETLRIERIARTQGGRYYCKAENGVGVPAIKSIRVDVQYLDEPMLTVHQTVSDVRGNFYQEKTVFLRCTVNSNPPARFIWKRGSDTLSHSQDNGVDIYEPLYTQGETKVLKLKNLRPQDYASYTCQVSVRNVCGIPDKAITFRLTNTTAPPALKLSVNETLVVNPGENVTVQCLLTGGDPLPQLQWSHGPGPLPLGALAQGGTLSIPSVQARDSGYYNCTATNNVGNPAKKTVNLLVRSMKNATFQITPDVIKESENIQLGQDLKLSCHVDAVPQEKVTYQWFKNGKPARMSKRLLVTRNDPELPAVTSSLELIDLHFSDYGTYLCMASFPGAPVPDLSVEVNISSETVPPTISVPKGRAVVTVREGSPAELQCEVRGKPRPPVLWSRVDKEAALLPSGLPLEETPDGKLRLERVSRDMSGTYRCQTARYNGFNVRPREAQVQLNVQFPPEVEPSSQDVRQALGRPVLLRCSLLRGSPQRIASAVWRFKGQLLPPPPVVPAAAEAPDHAELRLDAVTRDSSGSYECSVSNDVGSAACLFQVSAKAYSPEFYFDTPNPTRSHKLSKNYSYVLQWTQREPDAVDPVLNYRLSIRQLNQHNAVVKAIPVRRVEKGQLLEYILTDLRVPHSYEVRLTPYTTFGAGDMASRIIHYTEPINSPNLSDNTCHFEDEKICGYTQDLTDNFDWTRQNALTQNPKRSPNTGPPTDISGTPEGYYMFIETSRPRELGDRARLVSPLYNASAKFYCVSFFYHMYGKHIGSLNLLVRSRNKGALDTHAWSLSGNKGNVWQQAHVPISPSGPFQIIFEGVRGPGYLGDIAIDDVTLKKGECPRKQTDPNKVVVMPGSGAPCQSSPQLWGPMAIFLLALQR
+>DECOY_sp|Q8NFP4|MDGA1_HUMAN MAM domain-containing glycosylphosphatidylinositol anchor protein 1 OS=Homo sapiens OX=9606 GN=MDGA1 PE=1 SV=1
+RQLALLFIAMPGWLQPSSQCPAGSGPMVVVKNPDTQKRPCEGKKLTVDDIAIDGLYGPGRVGEFIIQFPGSPSIPVHAQQWVNGKNGSLSWAHTDLAGKNRSRVLLNLSGIHKGYMHYFFSVCYFKASANYLPSVLRARDGLERPRSTEIFMYYGEPTGSIDTPPGTNPSRKPNQTLANQRTWDFNDTLDQTYGCIKEDEFHCTNDSLNPSNIPETYHIIRSAMDGAGFTTYPTLRVEYSHPVRLDTLIYELLQGKEVRRVPIAKVVANHQNLQRISLRYNLVPDVADPERQTWQLVYSYNKSLKHSRTPNPTDFYFEPSYAKASVQFLCAASGVDNSVSCEYSGSSDRTVADLRLEAHDPAEAAAPVVPPPPLLQGKFRWVASAIRQPSGRLLSCRLLVPRGLAQRVDQSSPEVEPPFQVNLQVQAERPRVNFGNYRATQCRYTGSMDRSVRELRLKGDPTEELPLGSPLLAAEKDVRSWLVPPRPKGRVECQLEAPSGERVTVVARGKPVSITPPVTESSINVEVSLDPVPAGPFSAMCLYTGYDSFHLDILELSSTVAPLEPDNRTVLLRKSMRAPKGNKFWQYTVKEQPVADVHCSLKLDQGLQINESEKIVDPTIQFTANKMSRVLLNVTKKAPNGVNNTATCNYYGSDRAQVSPISLTGGQALAGLPLPGPGHSWQLQPLPDGGTLLCQVTVNEGPNVVLTENVSLKLAPPATTNTLRFTIAKDPIGCVNRVSVQCTYSAYDQPRLNKLKLVKTEGQTYLPEYIDVGNDQSHSLTDSGRKWIFRAPPNSNVTCRLFVTKEQYFNGRVDSVTQHVTLMPEDLYQVDVRISKIAPVGVGNEAKCYYRGGQTRAIREIRLTENFVSTEQFKDSASGATKTWRVQPRPHGTVLCQLMLTDGERITYVRESINDEKVVCAQGAHVIQAQAPAYVGQGRCHFPILALLLLCTVEM
+>sp|Q7Z553|MDGA2_HUMAN MAM domain-containing glycosylphosphatidylinositol anchor protein 2 OS=Homo sapiens OX=9606 GN=MDGA2 PE=1 SV=2
+MDLLYGLVWLLTVLLEGISGQGVYAPPTVRIVHSGLACNIEEERYSERVYTIREGETLELTCLVTGHPRPQIRWTKTAGSASDRFQDSSVFNETLRITNIQRHQGGRYYCKAENGLGSPAIKSIRVDVYYLDDPVVTVHQSIGEAKEQFYYERTVFLRCVANSNPPVRYSWRRGQEVLLQGSDKGVEIYEPFFTQGETKILKLKNLRPQDYANYSCIASVRNVCNIPDKMVSFRLSNKTASPSIKLLVDDPIVVNPGEAITLVCVTTGGEPAPSLTWVRSFGTLPEKTVLNGGTLTIPAITSDDAGTYSCIANNNVGNPAKKSTNIIVRALKKGRFWITPDPYHKDDNIQIGREVKISCQVEAVPSEELTFSWFKNGRPLRSSERMVITQTDPDVSPGTTNLDIIDLKFTDFGTYTCVASLKGGGISDISIDVNISSSTVPPNLTVPQEKSPLVTREGDTIELQCQVTGKPKPIILWSRADKEVAMPDGSMQMESYDGTLRIVNVSREMSGMYRCQTSQYNGFNVKPREALVQLIVQYPPAVEPAFLEIRQGQDRSVTMSCRVLRAYPIRVLTYEWRLGNKLLRTGQFDSQEYTEYAVKSLSNENYGVYNCSIINEAGAGRCSFLVTGKAYAPEFYYDTYNPVWQNRHRVYSYSLQWTQMNPDAVDRIVAYRLGIRQAGQQRWWEQEIKINGNIQKGELITYNLTELIKPEAYEVRLTPLTKFGEGDSTIRVIKYSAPVNPHLREFHCGFEDGNICLFTQDDTDNFDWTKQSTATRNTKYTPNTGPNADRSGSKEGFYMYIETSRPRLEGEKARLLSPVFSIAPKNPYGPTNTAYCFSFFYHMYGQHIGVLNVYLRLKGQTTIENPLWSSSGNKGQRWNEAHVNIYPITSFQLIFEGIRGPGIEGDIAIDDVSIAEGECAKQDLATKNSVDGAVGILVHIWLFPIIVLISILSPRR
+>DECOY_sp|Q7Z553|MDGA2_HUMAN MAM domain-containing glycosylphosphatidylinositol anchor protein 2 OS=Homo sapiens OX=9606 GN=MDGA2 PE=1 SV=2
+RRPSLISILVIIPFLWIHVLIGVAGDVSNKTALDQKACEGEAISVDDIAIDGEIGPGRIGEFILQFSTIPYINVHAENWRQGKNGSSSWLPNEITTQGKLRLYVNLVGIHQGYMHYFFSFCYATNTPGYPNKPAISFVPSLLRAKEGELRPRSTEIYMYFGEKSGSRDANPGTNPTYKTNRTATSQKTWDFNDTDDQTFLCINGDEFGCHFERLHPNVPASYKIVRITSDGEGFKTLPTLRVEYAEPKILETLNYTILEGKQINGNIKIEQEWWRQQGAQRIGLRYAVIRDVADPNMQTWQLSYSYVRHRNQWVPNYTDYYFEPAYAKGTVLFSCRGAGAENIISCNYVGYNENSLSKVAYETYEQSDFQGTRLLKNGLRWEYTLVRIPYARLVRCSMTVSRDQGQRIELFAPEVAPPYQVILQVLAERPKVNFGNYQSTQCRYMGSMERSVNVIRLTGDYSEMQMSGDPMAVEKDARSWLIIPKPKGTVQCQLEITDGERTVLPSKEQPVTLNPPVTSSSINVDISIDSIGGGKLSAVCTYTGFDTFKLDIIDLNTTGPSVDPDTQTIVMRESSRLPRGNKFWSFTLEESPVAEVQCSIKVERGIQINDDKHYPDPTIWFRGKKLARVIINTSKKAPNGVNNNAICSYTGADDSTIAPITLTGGNLVTKEPLTGFSRVWTLSPAPEGGTTVCVLTIAEGPNVVIPDDVLLKISPSATKNSLRFSVMKDPINCVNRVSAICSYNAYDQPRLNKLKLIKTEGQTFFPEYIEVGKDSGQLLVEQGRRWSYRVPPNSNAVCRLFVTREYYFQEKAEGISQHVTVVPDDLYYVDVRISKIAPSGLGNEAKCYYRGGQHRQINTIRLTENFVSSDQFRDSASGATKTWRIQPRPHGTVLCTLELTEGERITYVRESYREEEINCALGSHVIRVTPPAYVGQGSIGELLVTLLWVLGYLLDM
+>sp|Q00987|MDM2_HUMAN E3 ubiquitin-protein ligase Mdm2 OS=Homo sapiens OX=9606 GN=MDM2 PE=1 SV=1
+MCNTNMSVPTDGAVTTSQIPASEQETLVRPKPLLLKLLKSVGAQKDTYTMKEVLFYLGQYIMTKRLYDEKQQHIVYCSNDLLGDLFGVPSFSVKEHRKIYTMIYRNLVVVNQQESSDSGTSVSENRCHLEGGSDQKDLVQELQEEKPSSSHLVSRPSTSSRRRAISETEENSDELSGERQRKRHKSDSISLSFDESLALCVIREICCERSSSSESTGTPSNPDLDAGVSEHSGDWLDQDSVSDQFSVEFEVESLDSEDYSLSEEGQELSDEDDEVYQVTVYQAGESDTDSFEEDPEISLADYWKCTSCNEMNPPLPSHCNRCWALRENWLPEDKGKDKGEISEKAKLENSTQAEEGFDVPDCKKTIVNDSRESCVEENDDKITQASQSQESEDYSQPSTSSSIIYSSQEDVKEFEREETQDKEESVESSLPLNAIEPCVICQGRPKNGCIVHGKTGHLMACFTCAKKLKKRNKPCPVCRQPIQMIVLTYFP
+>DECOY_sp|Q00987|MDM2_HUMAN E3 ubiquitin-protein ligase Mdm2 OS=Homo sapiens OX=9606 GN=MDM2 PE=1 SV=1
+PFYTLVIMQIPQRCVPCPKNRKKLKKACTFCAMLHGTKGHVICGNKPRGQCIVCPEIANLPLSSEVSEEKDQTEEREFEKVDEQSSYIISSSTSPQSYDESEQSQSAQTIKDDNEEVCSERSDNVITKKCDPVDFGEEAQTSNELKAKESIEGKDKGKDEPLWNERLAWCRNCHSPLPPNMENCSTCKWYDALSIEPDEEFSDTDSEGAQYVTVQYVEDDEDSLEQGEESLSYDESDLSEVEFEVSFQDSVSDQDLWDGSHESVGADLDPNSPTGTSESSSSRECCIERIVCLALSEDFSLSISDSKHRKRQREGSLEDSNEETESIARRRSSTSPRSVLHSSSPKEEQLEQVLDKQDSGGELHCRNESVSTGSDSSEQQNVVVLNRYIMTYIKRHEKVSFSPVGFLDGLLDNSCYVIHQQKEDYLRKTMIYQGLYFLVEKMTYTDKQAGVSKLLKLLLPKPRVLTEQESAPIQSTTVAGDTPVSMNTNCM
+>sp|P08183|MDR1_HUMAN Multidrug resistance protein 1 OS=Homo sapiens OX=9606 GN=ABCB1 PE=1 SV=3
+MDLEGDRNGGAKKKNFFKLNNKSEKDKKEKKPTVSVFSMFRYSNWLDKLYMVVGTLAAIIHGAGLPLMMLVFGEMTDIFANAGNLEDLMSNITNRSDINDTGFFMNLEEDMTRYAYYYSGIGAGVLVAAYIQVSFWCLAAGRQIHKIRKQFFHAIMRQEIGWFDVHDVGELNTRLTDDVSKINEGIGDKIGMFFQSMATFFTGFIVGFTRGWKLTLVILAISPVLGLSAAVWAKILSSFTDKELLAYAKAGAVAEEVLAAIRTVIAFGGQKKELERYNKNLEEAKRIGIKKAITANISIGAAFLLIYASYALAFWYGTTLVLSGEYSIGQVLTVFFSVLIGAFSVGQASPSIEAFANARGAAYEIFKIIDNKPSIDSYSKSGHKPDNIKGNLEFRNVHFSYPSRKEVKILKGLNLKVQSGQTVALVGNSGCGKSTTVQLMQRLYDPTEGMVSVDGQDIRTINVRFLREIIGVVSQEPVLFATTIAENIRYGRENVTMDEIEKAVKEANAYDFIMKLPHKFDTLVGERGAQLSGGQKQRIAIARALVRNPKILLLDEATSALDTESEAVVQVALDKARKGRTTIVIAHRLSTVRNADVIAGFDDGVIVEKGNHDELMKEKGIYFKLVTMQTAGNEVELENAADESKSEIDALEMSSNDSRSSLIRKRSTRRSVRGSQAQDRKLSTKEALDESIPPVSFWRIMKLNLTEWPYFVVGVFCAIINGGLQPAFAIIFSKIIGVFTRIDDPETKRQNSNLFSLLFLALGIISFITFFLQGFTFGKAGEILTKRLRYMVFRSMLRQDVSWFDDPKNTTGALTTRLANDAAQVKGAIGSRLAVITQNIANLGTGIIISFIYGWQLTLLLLAIVPIIAIAGVVEMKMLSGQALKDKKELEGSGKIATEAIENFRTVVSLTQEQKFEHMYAQSLQVPYRNSLRKAHIFGITFSFTQAMMYFSYAGCFRFGAYLVAHKLMSFEDVLLVFSAVVFGAMAVGQVSSFAPDYAKAKISAAHIIMIIEKTPLIDSYSTEGLMPNTLEGNVTFGEVVFNYPTRPDIPVLQGLSLEVKKGQTLALVGSSGCGKSTVVQLLERFYDPLAGKVLLDGKEIKRLNVQWLRAHLGIVSQEPILFDCSIAENIAYGDNSRVVSQEEIVRAAKEANIHAFIESLPNKYSTKVGDKGTQLSGGQKQRIAIARALVRQPHILLLDEATSALDTESEKVVQEALDKAREGRTCIVIAHRLSTIQNADLIVVFQNGRVKEHGTHQQLLAQKGIYFSMVSVQAGTKRQ
+>DECOY_sp|P08183|MDR1_HUMAN Multidrug resistance protein 1 OS=Homo sapiens OX=9606 GN=ABCB1 PE=1 SV=3
+QRKTGAQVSVMSFYIGKQALLQQHTGHEKVRGNQFVVILDANQITSLRHAIVICTRGERAKDLAEQVVKESETDLASTAEDLLLIHPQRVLARAIAIRQKQGGSLQTGKDGVKTSYKNPLSEIFAHINAEKAARVIEEQSVVRSNDGYAINEAISCDFLIPEQSVIGLHARLWQVNLRKIEKGDLLVKGALPDYFRELLQVVTSKGCGSSGVLALTQGKKVELSLGQLVPIDPRTPYNFVVEGFTVNGELTNPMLGETSYSDILPTKEIIMIIHAASIKAKAYDPAFSSVQGVAMAGFVVASFVLLVDEFSMLKHAVLYAGFRFCGAYSFYMMAQTFSFTIGFIHAKRLSNRYPVQLSQAYMHEFKQEQTLSVVTRFNEIAETAIKGSGELEKKDKLAQGSLMKMEVVGAIAIIPVIALLLLTLQWGYIFSIIIGTGLNAINQTIVALRSGIAGKVQAADNALRTTLAGTTNKPDDFWSVDQRLMSRFVMYRLRKTLIEGAKGFTFGQLFFTIFSIIGLALFLLSFLNSNQRKTEPDDIRTFVGIIKSFIIAFAPQLGGNIIACFVGVVFYPWETLNLKMIRWFSVPPISEDLAEKTSLKRDQAQSGRVSRRTSRKRILSSRSDNSSMELADIESKSEDAANELEVENGATQMTVLKFYIGKEKMLEDHNGKEVIVGDDFGAIVDANRVTSLRHAIVITTRGKRAKDLAVQVVAESETDLASTAEDLLLIKPNRVLARAIAIRQKQGGSLQAGREGVLTDFKHPLKMIFDYANAEKVAKEIEDMTVNERGYRINEAITTAFLVPEQSVVGIIERLFRVNITRIDQGDVSVMGETPDYLRQMLQVTTSKGCGSNGVLAVTQGSQVKLNLGKLIKVEKRSPYSFHVNRFELNGKINDPKHGSKSYSDISPKNDIIKFIEYAAGRANAFAEISPSAQGVSFAGILVSFFVTLVQGISYEGSLVLTTGYWFALAYSAYILLFAAGISINATIAKKIGIRKAEELNKNYRELEKKQGGFAIVTRIAALVEEAVAGAKAYALLEKDTFSSLIKAWVAASLGLVPSIALIVLTLKWGRTFGVIFGTFFTAMSQFFMGIKDGIGENIKSVDDTLRTNLEGVDHVDFWGIEQRMIAHFFQKRIKHIQRGAALCWFSVQIYAAVLVGAGIGSYYYAYRTMDEELNMFFGTDNIDSRNTINSMLDELNGANAFIDTMEGFVLMMLPLGAGHIIAALTGVVMYLKDLWNSYRFMSFVSVTPKKEKKDKESKNNLKFFNKKKAGGNRDGELDM
+>sp|P21439|MDR3_HUMAN Phosphatidylcholine translocator ABCB4 OS=Homo sapiens OX=9606 GN=ABCB4 PE=1 SV=2
+MDLEAAKNGTAWRPTSAEGDFELGISSKQKRKKTKTVKMIGVLTLFRYSDWQDKLFMSLGTIMAIAHGSGLPLMMIVFGEMTDKFVDTAGNFSFPVNFSLSLLNPGKILEEEMTRYAYYYSGLGAGVLVAAYIQVSFWTLAAGRQIRKIRQKFFHAILRQEIGWFDINDTTELNTRLTDDISKISEGIGDKVGMFFQAVATFFAGFIVGFIRGWKLTLVIMAISPILGLSAAVWAKILSAFSDKELAAYAKAGAVAEEALGAIRTVIAFGGQNKELERYQKHLENAKEIGIKKAISANISMGIAFLLIYASYALAFWYGSTLVISKEYTIGNAMTVFFSILIGAFSVGQAAPCIDAFANARGAAYVIFDIIDNNPKIDSFSERGHKPDSIKGNLEFNDVHFSYPSRANVKILKGLNLKVQSGQTVALVGSSGCGKSTTVQLIQRLYDPDEGTINIDGQDIRNFNVNYLREIIGVVSQEPVLFSTTIAENICYGRGNVTMDEIKKAVKEANAYEFIMKLPQKFDTLVGERGAQLSGGQKQRIAIARALVRNPKILLLDEATSALDTESEAEVQAALDKAREGRTTIVIAHRLSTVRNADVIAGFEDGVIVEQGSHSELMKKEGVYFKLVNMQTSGSQIQSEEFELNDEKAATRMAPNGWKSRLFRHSTQKNLKNSQMCQKSLDVETDGLEANVPPVSFLKVLKLNKTEWPYFVVGTVCAIANGGLQPAFSVIFSEIIAIFGPGDDAVKQQKCNIFSLIFLFLGIISFFTFFLQGFTFGKAGEILTRRLRSMAFKAMLRQDMSWFDDHKNSTGALSTRLATDAAQVQGATGTRLALIAQNIANLGTGIIISFIYGWQLTLLLLAVVPIIAVSGIVEMKLLAGNAKRDKKELEAAGKIATEAIENIRTVVSLTQERKFESMYVEKLYGPYRNSVQKAHIYGITFSISQAFMYFSYAGCFRFGAYLIVNGHMRFRDVILVFSAIVFGAVALGHASSFAPDYAKAKLSAAHLFMLFERQPLIDSYSEEGLKPDKFEGNITFNEVVFNYPTRANVPVLQGLSLEVKKGQTLALVGSSGCGKSTVVQLLERFYDPLAGTVFVDFGFQLLDGQEAKKLNVQWLRAQLGIVSQEPILFDCSIAENIAYGDNSRVVSQDEIVSAAKAANIHPFIETLPHKYETRVGDKGTQLSGGQKQRIAIARALIRQPQILLLDEATSALDTESEKVVQEALDKAREGRTCIVIAHRLSTIQNADLIVVFQNGRVKEHGTHQQLLAQKGIYFSMVSVQAGTQNL
+>DECOY_sp|P21439|MDR3_HUMAN Phosphatidylcholine translocator ABCB4 OS=Homo sapiens OX=9606 GN=ABCB4 PE=1 SV=2
+LNQTGAQVSVMSFYIGKQALLQQHTGHEKVRGNQFVVILDANQITSLRHAIVICTRGERAKDLAEQVVKESETDLASTAEDLLLIQPQRILARAIAIRQKQGGSLQTGKDGVRTEYKHPLTEIFPHINAAKAASVIEDQSVVRSNDGYAINEAISCDFLIPEQSVIGLQARLWQVNLKKAEQGDLLQFGFDVFVTGALPDYFRELLQVVTSKGCGSSGVLALTQGKKVELSLGQLVPVNARTPYNFVVENFTINGEFKDPKLGEESYSDILPQREFLMFLHAASLKAKAYDPAFSSAHGLAVAGFVIASFVLIVDRFRMHGNVILYAGFRFCGAYSFYMFAQSISFTIGYIHAKQVSNRYPGYLKEVYMSEFKREQTLSVVTRINEIAETAIKGAAELEKKDRKANGALLKMEVIGSVAIIPVVALLLLTLQWGYIFSIIIGTGLNAINQAILALRTGTAGQVQAADTALRTSLAGTSNKHDDFWSMDQRLMAKFAMSRLRRTLIEGAKGFTFGQLFFTFFSIIGLFLFILSFINCKQQKVADDGPGFIAIIESFIVSFAPQLGGNAIACVTGVVFYPWETKNLKLVKLFSVPPVNAELGDTEVDLSKQCMQSNKLNKQTSHRFLRSKWGNPAMRTAAKEDNLEFEESQIQSGSTQMNVLKFYVGEKKMLESHSGQEVIVGDEFGAIVDANRVTSLRHAIVITTRGERAKDLAAQVEAESETDLASTAEDLLLIKPNRVLARAIAIRQKQGGSLQAGREGVLTDFKQPLKMIFEYANAEKVAKKIEDMTVNGRGYCINEAITTSFLVPEQSVVGIIERLYNVNFNRIDQGDINITGEDPDYLRQILQVTTSKGCGSSGVLAVTQGSQVKLNLGKLIKVNARSPYSFHVDNFELNGKISDPKHGRESFSDIKPNNDIIDFIVYAAGRANAFADICPAAQGVSFAGILISFFVTMANGITYEKSIVLTSGYWFALAYSAYILLFAIGMSINASIAKKIGIEKANELHKQYRELEKNQGGFAIVTRIAGLAEEAVAGAKAYAALEKDSFASLIKAWVAASLGLIPSIAMIVLTLKWGRIFGVIFGAFFTAVAQFFMGVKDGIGESIKSIDDTLRTNLETTDNIDFWGIEQRLIAHFFKQRIKRIQRGAALTWFSVQIYAAVLVGAGLGSYYYAYRTMEEELIKGPNLLSLSFNVPFSFNGATDVFKDTMEGFVIMMLPLGSGHAIAMITGLSMFLKDQWDSYRFLTLVGIMKVTKTKKRKQKSSIGLEFDGEASTPRWATGNKAAELDM
+>sp|A6NDR6|ME3L1_HUMAN Putative homeobox protein Meis3-like 1 OS=Homo sapiens OX=9606 GN=MEIS3P1 PE=5 SV=2
+MGPELGWGHPRGGDVCSSDSFNEDNTAFAKQVRSERPFFSSNPELDNLMIQAIQVLRFHLLELEKGKMPIDLVIEDRDGGCREDFEDYPASCPSLPDQNNIWIRDHEDSGSVHLGTPGPSSGGLASQSGDNSSDQGVGLDTSVASPSSGGEDEDLDQEPRRNKKRGIFPKVATNIMRAWLFQHLSHPYPSEEQKKQLAQDTGLTILQVNNWFINARRRIVQPMIDQSNRTGQGAAFSPEGQPIGGYTETEPHVAFRAPASVGMSLNSEGEWHYL
+>DECOY_sp|A6NDR6|ME3L1_HUMAN Putative homeobox protein Meis3-like 1 OS=Homo sapiens OX=9606 GN=MEIS3P1 PE=5 SV=2
+LYHWEGESNLSMGVSAPARFAVHPETETYGGIPQGEPSFAAGQGTRNSQDIMPQVIRRRANIFWNNVQLITLGTDQALQKKQEESPYPHSLHQFLWARMINTAVKPFIGRKKNRRPEQDLDEDEGGSSPSAVSTDLGVGQDSSNDGSQSALGGSSPGPTGLHVSGSDEHDRIWINNQDPLSPCSAPYDEFDERCGGDRDEIVLDIPMKGKELELLHFRLVQIAQIMLNDLEPNSSFFPRESRVQKAFATNDENFSDSSCVDGGRPHGWGLEPGM
+>sp|Q9BV79|MECR_HUMAN Enoyl-[acyl-carrier-protein] reductase, mitochondrial OS=Homo sapiens OX=9606 GN=MECR PE=1 SV=2
+MWVCSTLWRVRTPARQWRGLLPASGCHGPAASSYSASAEPARVRALVYGHHGDPAKVVELKNLELAAVRGSDVRVKMLAAPINPSDINMIQGNYGFLPELPAVGGNEGVAQVVAVGSNVTGLKPGDWVIPANAGLGTWRTEAVFSEEALIQVPSDIPLQSAATLGVNPCTAYRMLMDFEQLQPGDSVIQNASNSGVGQAVIQIAAALGLRTINVVRDRPDIQKLSDRLKSLGAEHVITEEELRRPEMKNFFKDMPQPRLALNCVGGKSSTELLRQLARGGTMVTYGGMAKQPVVASVSLLIFKDLKLRGFWLSQWKKDHSPDQFKELILTLCDLIRRGQLTAPACSQVPLQDYQSALEASMKPFISSKQILTM
+>DECOY_sp|Q9BV79|MECR_HUMAN Enoyl-[acyl-carrier-protein] reductase, mitochondrial OS=Homo sapiens OX=9606 GN=MECR PE=1 SV=2
+MTLIQKSSIFPKMSAELASQYDQLPVQSCAPATLQGRRILDCLTLILEKFQDPSHDKKWQSLWFGRLKLDKFILLSVSAVVPQKAMGGYTVMTGGRALQRLLETSSKGGVCNLALRPQPMDKFFNKMEPRRLEEETIVHEAGLSKLRDSLKQIDPRDRVVNITRLGLAAAIQIVAQGVGSNSANQIVSDGPQLQEFDMLMRYATCPNVGLTAASQLPIDSPVQILAEESFVAETRWTGLGANAPIVWDGPKLGTVNSGVAVVQAVGENGGVAPLEPLFGYNGQIMNIDSPNIPAALMKVRVDSGRVAALELNKLEVVKAPDGHHGYVLARVRAPEASASYSSAAPGHCGSAPLLGRWQRAPTRVRWLTSCVWM
+>sp|Q9P086|MED11_HUMAN Mediator of RNA polymerase II transcription subunit 11 OS=Homo sapiens OX=9606 GN=MED11 PE=1 SV=2
+MATYSLANERLRALEDIEREIGAILQNAGTVILELSKEKTNERLLDRQAAAFTASVQHVEAELSAQIRYLTQVATGQPHEGSSYSSRKDCQMALKRVDYARLKLSDVARTCEQMLEN
+>DECOY_sp|Q9P086|MED11_HUMAN Mediator of RNA polymerase II transcription subunit 11 OS=Homo sapiens OX=9606 GN=MED11 PE=1 SV=2
+NELMQECTRAVDSLKLRAYDVRKLAMQCDKRSSYSSGEHPQGTAVQTLYRIQASLEAEVHQVSATFAAAQRDLLRENTKEKSLELIVTGANQLIAGIEREIDELARLRENALSYTAM
+>sp|Q9UHV7|MED13_HUMAN Mediator of RNA polymerase II transcription subunit 13 OS=Homo sapiens OX=9606 GN=MED13 PE=1 SV=3
+MSASFVPNGASLEDCHCNLFCLADLTGIKWKKYVWQGPTSAPILFPVTEEDPILSSFSRCLKADVLGVWRRDQRPGRRELWIFWWGEDPSFADLIHHDLSEEEDGVWENGLSYECRTLLFKAVHNLLERCLMNRNFVRIGKWFVKPYEKDEKPINKSEHLSCSFTFFLHGDSNVCTSVEINQHQPVYLLSEEHITLAQQSNSPFQVILCPFGLNGTLTGQAFKMSDSATKKLIGEWKQFYPISCCLKEMSEEKQEDMDWEDDSLAAVEVLVAGVRMIYPACFVLVPQSDIPTPSPVGSTHCSSSCLGVHQVPASTRDPAMSSVTLTPPTSPEEVQTVDPQSVQKWVKFSSVSDGFNSDSTSHHGGKIPRKLANHVVDRVWQECNMNRAQNKRKYSASSGGLCEEATAAKVASWDFVEATQRTNCSCLRHKNLKSRNAGQQGQAPSLGQQQQILPKHKTNEKQEKSEKPQKRPLTPFHHRVSVSDDVGMDADSASQRLVISAPDSQVRFSNIRTNDVAKTPQMHGTEMANSPQPPPLSPHPCDVVDEGVTKTPSTPQSQHFYQMPTPDPLVPSKPMEDRIDSLSQSFPPQYQEAVEPTVYVGTAVNLEEDEANIAWKYYKFPKKKDVEFLPPQLPSDKFKDDPVGPFGQESVTSVTELMVQCKKPLKVSDELVQQYQIKNQCLSAIASDAEQEPKIDPYAFVEGDEEFLFPDKKDRQNSEREAGKKHKVEDGTSSVTVLSHEEDAMSLFSPSIKQDAPRPTSHARPPSTSLIYDSDLAVSYTDLDNLFNSDEDELTPGSKKSANGSDDKASCKESKTGNLDPLSCISTADLHKMYPTPPSLEQHIMGFSPMNMNNKEYGSMDTTPGGTVLEGNSSSIGAQFKIEVDEGFCSPKPSEIKDFSYVYKPENCQILVGCSMFAPLKTLPSQYLPPIKLPEECIYRQSWTVGKLELLSSGPSMPFIKEGDGSNMDQEYGTAYTPQTHTSFGMPPSSAPPSNSGAGILPSPSTPRFPTPRTPRTPRTPRGAGGPASAQGSVKYENSDLYSPASTPSTCRPLNSVEPATVPSIPEAHSLYVNLILSESVMNLFKDCNFDSCCICVCNMNIKGADVGVYIPDPTQEAQYRCTCGFSAVMNRKFGNNSGLFLEDELDIIGRNTDCGKEAEKRFEALRATSAEHVNGGLKESEKLSDDLILLLQDQCTNLFSPFGAADQDPFPKSGVISNWVRVEERDCCNDCYLALEHGRQFMDNMSGGKVDEALVKSSCLHPWSKRNDVSMQCSQDILRMLLSLQPVLQDAIQKKRTVRPWGVQGPLTWQQFHKMAGRGSYGTDESPEPLPIPTFLLGYDYDYLVLSPFALPYWERLMLEPYGSQRDIAYVVLCPENEALLNGAKSFFRDLTAIYESCRLGQHRPVSRLLTDGIMRVGSTASKKLSEKLVAEWFSQAADGNNEAFSKLKLYAQVCRYDLGPYLASLPLDSSLLSQPNLVAPTSQSLITPPQMTNTGNANTPSATLASAASSTMTVTSGVAISTSVATANSTLTTASTSSSSSSNLNSGVSSNKLPSFPPFGSMNSNAAGSMSTQANTVQSGQLGGQQTSALQTAGISGESSSLPTQPHPDVSESTMDRDKVGIPTDGDSHAVTYPPAIVVYIIDPFTYENTDESTNSSSVWTLGLLRCFLEMVQTLPPHIKSTVSVQIIPCQYLLQPVKHEDREIYPQHLKSLAFSAFTQCRRPLPTSTNVKTLTGFGPGLAMETALRSPDRPECIRLYAPPFILAPVKDKQTELGETFGEAGQKYNVLFVGYCLSHDQRWILASCTDLYGELLETCIINIDVPNRARRKKSSARKFGLQKLWEWCLGLVQMSSLPWRVVIGRLGRIGHGELKDWSCLLSRRNLQSLSKRLKDMCRMCGISAADSPSILSACLVAMEPQGSFVIMPDSVSTGSVFGRSTTLNMQTSQLNTPQDTSCTHILVFPTSASVQVASATYTTENLDLAFNPNNDGADGMGIFDLLDTGDDLDPDIINILPASPTGSPVHSPGSHYPHGGDAGKGQSTDRLLSTEPHEEVPNILQQPLALGYFVSTAKAGPLPDWFWSACPQAQYQCPLFLKASLHLHVPSVQSDELLHSKHSHPLDSNQTSDVLRFVLEQYNALSWLTCDPATQDRRSCLPIHFVVLNQLYNFIMNML
+>DECOY_sp|Q9UHV7|MED13_HUMAN Mediator of RNA polymerase II transcription subunit 13 OS=Homo sapiens OX=9606 GN=MED13 PE=1 SV=3
+LMNMIFNYLQNLVVFHIPLCSRRDQTAPDCTLWSLANYQELVFRLVDSTQNSDLPHSHKSHLLEDSQVSPVHLHLSAKLFLPCQYQAQPCASWFWDPLPGAKATSVFYGLALPQQLINPVEEHPETSLLRDTSQGKGADGGHPYHSGPSHVPSGTPSAPLINIIDPDLDDGTDLLDFIGMGDAGDNNPNFALDLNETTYTASAVQVSASTPFVLIHTCSTDQPTNLQSTQMNLTTSRGFVSGTSVSDPMIVFSGQPEMAVLCASLISPSDAASIGCMRCMDKLRKSLSQLNRRSLLCSWDKLEGHGIRGLRGIVVRWPLSSMQVLGLCWEWLKQLGFKRASSKKRRARNPVDINIICTELLEGYLDTCSALIWRQDHSLCYGVFLVNYKQGAEGFTEGLETQKDKVPALIFPPAYLRICEPRDPSRLATEMALGPGFGTLTKVNTSTPLPRRCQTFASFALSKLHQPYIERDEHKVPQLLYQCPIIQVSVTSKIHPPLTQVMELFCRLLGLTWVSSSNTSEDTNEYTFPDIIYVVIAPPYTVAHSDGDTPIGVKDRDMTSESVDPHPQTPLSSSEGSIGATQLASTQQGGLQGSQVTNAQTSMSGAANSNMSGFPPFSPLKNSSVGSNLNSSSSSSTSATTLTSNATAVSTSIAVGSTVTMTSSAASALTASPTNANGTNTMQPPTILSQSTPAVLNPQSLLSSDLPLSALYPGLDYRCVQAYLKLKSFAENNGDAAQSFWEAVLKESLKKSATSGVRMIGDTLLRSVPRHQGLRCSEYIATLDRFFSKAGNLLAENEPCLVVYAIDRQSGYPELMLREWYPLAFPSLVLYDYDYGLLFTPIPLPEPSEDTGYSGRGAMKHFQQWTLPGQVGWPRVTRKKQIADQLVPQLSLLMRLIDQSCQMSVDNRKSWPHLCSSKVLAEDVKGGSMNDMFQRGHELALYCDNCCDREEVRVWNSIVGSKPFPDQDAAGFPSFLNTCQDQLLLILDDSLKESEKLGGNVHEASTARLAEFRKEAEKGCDTNRGIIDLEDELFLGSNNGFKRNMVASFGCTCRYQAEQTPDPIYVGVDAGKINMNCVCICCSDFNCDKFLNMVSESLILNVYLSHAEPISPVTAPEVSNLPRCTSPTSAPSYLDSNEYKVSGQASAPGGAGRPTRPTRPTRPTPFRPTSPSPLIGAGSNSPPASSPPMGFSTHTQPTYATGYEQDMNSGDGEKIFPMSPGSSLLELKGVTWSQRYICEEPLKIPPLYQSPLTKLPAFMSCGVLIQCNEPKYVYSFDKIESPKPSCFGEDVEIKFQAGISSSNGELVTGGPTTDMSGYEKNNMNMPSFGMIHQELSPPTPYMKHLDATSICSLPDLNGTKSEKCSAKDDSGNASKKSGPTLEDEDSNFLNDLDTYSVALDSDYILSTSPPRAHSTPRPADQKISPSFLSMADEEHSLVTVSSTGDEVKHKKGAERESNQRDKKDPFLFEEDGEVFAYPDIKPEQEADSAIASLCQNKIQYQQVLEDSVKLPKKCQVMLETVSTVSEQGFPGVPDDKFKDSPLQPPLFEVDKKKPFKYYKWAINAEDEELNVATGVYVTPEVAEQYQPPFSQSLSDIRDEMPKSPVLPDPTPMQYFHQSQPTSPTKTVGEDVVDCPHPSLPPPQPSNAMETGHMQPTKAVDNTRINSFRVQSDPASIVLRQSASDADMGVDDSVSVRHHFPTLPRKQPKESKEQKENTKHKPLIQQQQGLSPAQGQQGANRSKLNKHRLCSCNTRQTAEVFDWSAVKAATAEECLGGSSASYKRKNQARNMNCEQWVRDVVHNALKRPIKGGHHSTSDSNFGDSVSSFKVWKQVSQPDVTQVEEPSTPPTLTVSSMAPDRTSAPVQHVGLCSSSCHTSGVPSPTPIDSQPVLVFCAPYIMRVGAVLVEVAALSDDEWDMDEQKEESMEKLCCSIPYFQKWEGILKKTASDSMKFAQGTLTGNLGFPCLIVQFPSNSQQALTIHEESLLYVPQHQNIEVSTCVNSDGHLFFTFSCSLHESKNIPKEDKEYPKVFWKGIRVFNRNMLCRELLNHVAKFLLTRCEYSLGNEWVGDEEESLDHHILDAFSPDEGWWFIWLERRGPRQDRRWVGLVDAKLCRSFSSLIPDEETVPFLIPASTPGQWVYKKWKIGTLDALCFLNCHCDELSAGNPVFSASM
+>sp|O60244|MED14_HUMAN Mediator of RNA polymerase II transcription subunit 14 OS=Homo sapiens OX=9606 GN=MED14 PE=1 SV=2
+MAPVQLENHQLVPPGGGGGGSGGPPSAPAPPPPGAAVAAAAAAAASPGYRLSTLIEFLLHRAYSELMVLTDLLPRKSDVERKIEIVQFASRTRQLFVRLLALVKWANNAGKVEKCAMISSFLDQQAILFVDTADRLASLARDALVHARLPSFAIPYAIDVLTTGSYPRLPTCIRDKIIPPDPITKIEKQATLHQLNQILRHRLVTTDLPPQLANLTVANGRVKFRVEGEFEATLTVMGDDPDVPWRLLKLEILVEDKETGDGRALVHSMQISFIHQLVQSRLFADEKPLQDMYNCLHSFCLSLQLEVLHSQTLMLIRERWGDLVQVERYHAGKCLSLSVWNQQVLGRKTGTASVHKVTIKIDENDVSKPLQIFHDPPLPASDSKLVERAMKIDHLSIEKLLIDSVHARAHQKLQELKAILRGFNANENSSIETALPALVVPILEPCGNSECLHIFVDLHSGMFQLMLYGLDQATLDDMEKSVNDDMKRIIPWIQQLKFWLGQQRCKQSIKHLPTISSETLQLSNYSTHPIGNLSKNKLFIKLTRLPQYYIVVEMLEVPNKPTQLSYKYYFMSVNAADREDSPAMALLLQQFKENIQDLVFRTKTGKQTRTNAKRKLSDDPCPVESKKTKRAGEMCAFNKVLAHFVAMCDTNMPFVGLRLELSNLEIPHQGVQVEGDGFSHAIRLLKIPPCKGITEETQKALDRSLLDCTFRLQGRNNRTWVAELVFANCPLNGTSTREQGPSRHVYLTYENLLSEPVGGRKVVEMFLNDWNSIARLYECVLEFARSLPDIPAHLNIFSEVRVYNYRKLILCYGTTKGSSISIQWNSIHQKFHISLGTVGPNSGCSNCHNTILHQLQEMFNKTPNVVQLLQVLFDTQAPLNAINKLPTVPMLGLTQRTNTAYQCFSILPQSSTHIRLAFRNMYCIDIYCRSRGVVAIRDGAYSLFDNSKLVEGFYPAPGLKTFLNMFVDSNQDARRRSVNEDDNPPSPIGGDMMDSLISQLQPPPQQQPFPKQPGTSGAYPLTSPPTSYHSTVNQSPSMMHTQSPGNLHAASSPSGALRAPSPASFVPTPPPSSHGISIGPGASFASPHGTLDPSSPYTMVSPSGRAGNWPGSPQVSGPSPAARMPGMSPANPSLHSPVPDASHSPRAGTSSQTMPTNMPPPRKLPQRSWAASIPTILTHSALNILLLPSPTPGLVPGLAGSYLCSPLERFLGSVIMRRHLQRIIQQETLQLINSNEPGVIMFKTDALKCRVALSPKTNQTLQLKVTPENAGQWKPDELQVLEKFFETRVAGPPFKANTLIAFTKLLGAPTHILRDCVHIMKLELFPDQATQLKWNVQFCLTIPPSAPPIAPPGTPAVVLKSKMLFFLQLTQKTSVPPQEPVSIIVPIIYDMASGTTQQADIPRQQNSSVAAPMMVSNILKRFAEMNPPRQGECTIFAAVRDLMANLTLPPGGRP
+>DECOY_sp|O60244|MED14_HUMAN Mediator of RNA polymerase II transcription subunit 14 OS=Homo sapiens OX=9606 GN=MED14 PE=1 SV=2
+PRGGPPLTLNAMLDRVAAFITCEGQRPPNMEAFRKLINSVMMPAAVSSNQQRPIDAQQTTGSAMDYIIPVIISVPEQPPVSTKQTLQLFFLMKSKLVVAPTGPPAIPPASPPITLCFQVNWKLQTAQDPFLELKMIHVCDRLIHTPAGLLKTFAILTNAKFPPGAVRTEFFKELVQLEDPKWQGANEPTVKLQLTQNTKPSLAVRCKLADTKFMIVGPENSNILQLTEQQIIRQLHRRMIVSGLFRELPSCLYSGALGPVLGPTPSPLLLINLASHTLITPISAAWSRQPLKRPPPMNTPMTQSSTGARPSHSADPVPSHLSPNAPSMGPMRAAPSPGSVQPSGPWNGARGSPSVMTYPSSPDLTGHPSAFSAGPGISIGHSSPPPTPVFSAPSPARLAGSPSSAAHLNGPSQTHMMSPSQNVTSHYSTPPSTLPYAGSTGPQKPFPQQQPPPQLQSILSDMMDGGIPSPPNDDENVSRRRADQNSDVFMNLFTKLGPAPYFGEVLKSNDFLSYAGDRIAVVGRSRCYIDICYMNRFALRIHTSSQPLISFCQYATNTRQTLGLMPVTPLKNIANLPAQTDFLVQLLQVVNPTKNFMEQLQHLITNHCNSCGSNPGVTGLSIHFKQHISNWQISISSGKTTGYCLILKRYNYVRVESFINLHAPIDPLSRAFELVCEYLRAISNWDNLFMEVVKRGGVPESLLNEYTLYVHRSPGQERTSTGNLPCNAFVLEAVWTRNNRGQLRFTCDLLSRDLAKQTEETIGKCPPIKLLRIAHSFGDGEVQVGQHPIELNSLELRLGVFPMNTDCMAVFHALVKNFACMEGARKTKKSEVPCPDDSLKRKANTRTQKGTKTRFVLDQINEKFQQLLLAMAPSDERDAANVSMFYYKYSLQTPKNPVELMEVVIYYQPLRTLKIFLKNKSLNGIPHTSYNSLQLTESSITPLHKISQKCRQQGLWFKLQQIWPIIRKMDDNVSKEMDDLTAQDLGYLMLQFMGSHLDVFIHLCESNGCPELIPVVLAPLATEISSNENANFGRLIAKLEQLKQHARAHVSDILLKEISLHDIKMAREVLKSDSAPLPPDHFIQLPKSVDNEDIKITVKHVSATGTKRGLVQQNWVSLSLCKGAHYREVQVLDGWRERILMLTQSHLVELQLSLCFSHLCNYMDQLPKEDAFLRSQVLQHIFSIQMSHVLARGDGTEKDEVLIELKLLRWPVDPDDGMVTLTAEFEGEVRFKVRGNAVTLNALQPPLDTTVLRHRLIQNLQHLTAQKEIKTIPDPPIIKDRICTPLRPYSGTTLVDIAYPIAFSPLRAHVLADRALSALRDATDVFLIAQQDLFSSIMACKEVKGANNAWKVLALLRVFLQRTRSAFQVIEIKREVDSKRPLLDTLVMLESYARHLLFEILTSLRYGPSAAAAAAAAVAAGPPPPAPASPPGGSGGGGGGPPVLQHNELQVPAM
+>sp|Q9Y2X0|MED16_HUMAN Mediator of RNA polymerase II transcription subunit 16 OS=Homo sapiens OX=9606 GN=MED16 PE=1 SV=2
+MCDLRRPAAGGMMDLAYVCEWEKWSKSTHCPSVPLACAWSCRNLIAFTMDLRSDDQDLTRMIHILDTEHPWDLHSIPSEHHEAITCLEWDQSGSRLLSADADGQIKCWSMADHLANSWESSVGSLVEGDPIVALSWLHNGVKLALHVEKSGASSFGEKFSRVKFSPSLTLFGGKPMEGWIAVTVSGLVTVSLLKPSGQVLTSTESLCRLRGRVALADIAFTGGGNIVVATADGSSASPVQFYKVCVSVVSEKCRIDTEILPSLFMRCTTDLNRKDKFPAITHLKFLARDMSEQVLLCASSQTSSIVECWSLRKEGLPVNNIFQQISPVVGDKQPTILKWRILSATNDLDRVSAVALPKLPISLTNTDLKVASDTQFYPGLGLALAFHDGSVHIVHRLSLQTMAVFYSSAAPRPVDEPAMKRPRTAGPAVHLKAMQLSWTSLALVGIDSHGKLSVLRLSPSMGHPLEVGLALRHLLFLLEYCMVTGYDWWDILLHVQPSMVQSLVEKLHEEYTRQTAALQQVLSTRILAMKASLCKLSPCTVTRVCDYHTKLFLIAISSTLKSLLRPHFLNTPDKSPGDRLTEICTKITDVDIDKVMINLKTEEFVLDMNTLQALQQLLQWVGDFVLYLLASLPNQGSLLRPGHSFLRDGTSLGMLRELMVVIRIWGLLKPSCLPVYTATSDTQDSMSLLFRLLTKLWICCRDEGPASEPDEALVDECCLLPSQLLIPSLDWLPASDGLVSRLQPKQPLRLQFGRAPTLPGSAATLQLDGLARAPGQPKIDHLRRLHLGACPTEECKACTRCGCVTMLKSPNRTTAVKQWEQRWIKNCLAVEGRGPDACVTSRASEEAPAFVQLGPQSTHHSPRTPRSLDHLHPEDRP
+>DECOY_sp|Q9Y2X0|MED16_HUMAN Mediator of RNA polymerase II transcription subunit 16 OS=Homo sapiens OX=9606 GN=MED16 PE=1 SV=2
+PRDEPHLHDLSRPTRPSHHTSQPGLQVFAPAEESARSTVCADPGRGEVALCNKIWRQEWQKVATTRNPSKLMTVCGCRTCAKCEETPCAGLHLRRLHDIKPQGPARALGDLQLTAASGPLTPARGFQLRLPQKPQLRSVLGDSAPLWDLSPILLQSPLLCCEDVLAEDPESAPGEDRCCIWLKTLLRFLLSMSDQTDSTATYVPLCSPKLLGWIRIVVMLERLMGLSTGDRLFSHGPRLLSGQNPLSALLYLVFDGVWQLLQQLAQLTNMDLVFEETKLNIMVKDIDVDTIKTCIETLRDGPSKDPTNLFHPRLLSKLTSSIAILFLKTHYDCVRTVTCPSLKCLSAKMALIRTSLVQQLAATQRTYEEHLKEVLSQVMSPQVHLLIDWWDYGTVMCYELLFLLHRLALGVELPHGMSPSLRLVSLKGHSDIGVLALSTWSLQMAKLHVAPGATRPRKMAPEDVPRPAASSYFVAMTQLSLRHVIHVSGDHFALALGLGPYFQTDSAVKLDTNTLSIPLKPLAVASVRDLDNTASLIRWKLITPQKDGVVPSIQQFINNVPLGEKRLSWCEVISSTQSSACLLVQESMDRALFKLHTIAPFKDKRNLDTTCRMFLSPLIETDIRCKESVVSVCVKYFQVPSASSGDATAVVINGGGTFAIDALAVRGRLRCLSETSTLVQGSPKLLSVTVLGSVTVAIWGEMPKGGFLTLSPSFKVRSFKEGFSSAGSKEVHLALKVGNHLWSLAVIPDGEVLSGVSSEWSNALHDAMSWCKIQGDADASLLRSGSQDWELCTIAEHHESPISHLDWPHETDLIHIMRTLDQDDSRLDMTFAILNRCSWACALPVSPCHTSKSWKEWECVYALDMMGGAAPRRLDCM
+>sp|Q15648|MED1_HUMAN Mediator of RNA polymerase II transcription subunit 1 OS=Homo sapiens OX=9606 GN=MED1 PE=1 SV=4
+MKAQGETEESEKLSKMSSLLERLHAKFNQNRPWSETIKLVRQVMEKRVVMSSGGHQHLVSCLETLQKALKVTSLPAMTDRLESIARQNGLGSHLSASGTECYITSDMFYVEVQLDPAGQLCDVKVAHHGENPVSCPELVQQLREKNFDEFSKHLKGLVNLYNLPGDNKLKTKMYLALQSLEQDLSKMAIMYWKATNAGPLDKILHGSVGYLTPRSGGHLMNLKYYVSPSDLLDDKTASPIILHENNVSRSLGMNASVTIEGTSAVYKLPIAPLIMGSHPVDNKWTPSFSSITSANSVDLPACFFLKFPQPIPVSRAFVQKLQNCTGIPLFETQPTYAPLYELITQFELSKDPDPIPLNHNMRFYAALPGQQHCYFLNKDAPLPDGRSLQGTLVSKITFQHPGRVPLILNLIRHQVAYNTLIGSCVKRTILKEDSPGLLQFEVCPLSESRFSVSFQHPVNDSLVCVVMDVQDSTHVSCKLYKGLSDALICTDDFIAKVVQRCMSIPVTMRAIRRKAETIQADTPALSLIAETVEDMVKKNLPPASSPGYGMTTGNNPMSGTTTPTNTFPGGPITTLFNMSMSIKDRHESVGHGEDFSKVSQNPILTSLLQITGNGGSTIGSSPTPPHHTPPPVSSMAGNTKNHPMLMNLLKDNPAQDFSTLYGSSPLERQNSSSGSPRMEICSGSNKTKKKKSSRLPPEKPKHQTEDDFQRELFSMDVDSQNPIFDVNMTADTLDTPHITPAPSQCSTPPTTYPQPVPHPQPSIQRMVRLSSSDSIGPDVTDILSDIAEEASKLPSTSDDCPAIGTPLRDSSSSGHSQSTLFDSDVFQTNNNENPYTDPADLIADAAGSPSSDSPTNHFFHDGVDFNPDLLNSQSQSGFGEEYFDESSQSGDNDDFKGFASQALNTLGVPMLGGDNGETKFKGNNQADTVDFSIISVAGKALAPADLMEHHSGSQGPLLTTGDLGKEKTQKRVKEGNGTSNSTLSGPGLDSKPGKRSRTPSNDGKSKDKPPKRKKADTEGKSPSHSSSNRPFTPPTSTGGSKSPGSAGRSQTPPGVATPPIPKITIQIPKGTVMVGKPSSHSQYTSSGSVSSSGSKSHHSHSSSSSSSASTSGKMKSSKSEGSSSSKLSSSMYSSQGSSGSSQSKNSSQSGGKPGSSPITKHGLSSGSSSTKMKPQGKPSSLMNPSLSKPNISPSHSRPPGGSDKLASPMKPVPGTPPSSKAKSPISSGSGGSHMSGTSSSSGMKSSSGLGSSGSLSQKTPPSSNSCTASSSSFSSSGSSMSSSQNQHGSSKGKSPSRNKKPSLTAVIDKLKHGVVTSGPGGEDPLDGQMGVSTNSSSHPMSSKHNMSGGEFQGKREKSDKDKSKVSTSGSSVDSSKKTSESKNVGSTGVAKIIISKHDGGSPSIKAKVTLQKPGESSGEGLRPQMASSKNYGSPLISGSTPKHERGSPSHSKSPAYTPQNLDSESESGSSIAEKSYQNSPSSDDGIRPLPEYSTEKHKKHKKEKKKVKDKDRDRDRDKDRDKKKSHSIKPESWSKSPISSDQSLSMTSNTILSADRPSRLSPDFMIGEEDDDLMDVALIGN
+>DECOY_sp|Q15648|MED1_HUMAN Mediator of RNA polymerase II transcription subunit 1 OS=Homo sapiens OX=9606 GN=MED1 PE=1 SV=4
+NGILAVDMLDDDEEGIMFDPSLRSPRDASLITNSTMSLSQDSSIPSKSWSEPKISHSKKKDRDKDRDRDRDKDKVKKKEKKHKKHKETSYEPLPRIGDDSSPSNQYSKEAISSGSESESDLNQPTYAPSKSHSPSGREHKPTSGSILPSGYNKSSAMQPRLGEGSSEGPKQLTVKAKISPSGGDHKSIIIKAVGTSGVNKSESTKKSSDVSSGSTSVKSKDKDSKERKGQFEGGSMNHKSSMPHSSSNTSVGMQGDLPDEGGPGSTVVGHKLKDIVATLSPKKNRSPSKGKSSGHQNQSSSMSSGSSSFSSSSATCSNSSPPTKQSLSGSSGLGSSSKMGSSSSTGSMHSGGSGSSIPSKAKSSPPTGPVPKMPSALKDSGGPPRSHSPSINPKSLSPNMLSSPKGQPKMKTSSSGSSLGHKTIPSSGPKGGSQSSNKSQSSGSSGQSSYMSSSLKSSSSGESKSSKMKGSTSASSSSSSSHSHHSKSGSSSVSGSSTYQSHSSPKGVMVTGKPIQITIKPIPPTAVGPPTQSRGASGPSKSGGTSTPPTFPRNSSSHSPSKGETDAKKRKPPKDKSKGDNSPTRSRKGPKSDLGPGSLTSNSTGNGEKVRKQTKEKGLDGTTLLPGQSGSHHEMLDAPALAKGAVSIISFDVTDAQNNGKFKTEGNDGGLMPVGLTNLAQSAFGKFDDNDGSQSSEDFYEEGFGSQSQSNLLDPNFDVGDHFFHNTPSDSSPSGAADAILDAPDTYPNENNNTQFVDSDFLTSQSHGSSSSDRLPTGIAPCDDSTSPLKSAEEAIDSLIDTVDPGISDSSSLRVMRQISPQPHPVPQPYTTPPTSCQSPAPTIHPTDLTDATMNVDFIPNQSDVDMSFLERQFDDETQHKPKEPPLRSSKKKKTKNSGSCIEMRPSGSSSNQRELPSSGYLTSFDQAPNDKLLNMLMPHNKTNGAMSSVPPPTHHPPTPSSGITSGGNGTIQLLSTLIPNQSVKSFDEGHGVSEHRDKISMSMNFLTTIPGGPFTNTPTTTGSMPNNGTTMGYGPSSAPPLNKKVMDEVTEAILSLAPTDAQITEAKRRIARMTVPISMCRQVVKAIFDDTCILADSLGKYLKCSVHTSDQVDMVVCVLSDNVPHQFSVSFRSESLPCVEFQLLGPSDEKLITRKVCSGILTNYAVQHRILNLILPVRGPHQFTIKSVLTGQLSRGDPLPADKNLFYCHQQGPLAAYFRMNHNLPIPDPDKSLEFQTILEYLPAYTPQTEFLPIGTCNQLKQVFARSVPIPQPFKLFFCAPLDVSNASTISSFSPTWKNDVPHSGMILPAIPLKYVASTGEITVSANMGLSRSVNNEHLIIPSATKDDLLDSPSVYYKLNMLHGGSRPTLYGVSGHLIKDLPGANTAKWYMIAMKSLDQELSQLALYMKTKLKNDGPLNYLNVLGKLHKSFEDFNKERLQQVLEPCSVPNEGHHAVKVDCLQGAPDLQVEVYFMDSTIYCETGSASLHSGLGNQRAISELRDTMAPLSTVKLAKQLTELCSVLHQHGGSSMVVRKEMVQRVLKITESWPRNQNFKAHLRELLSSMKSLKESEETEGQAKM
+>sp|Q71SY5|MED25_HUMAN Mediator of RNA polymerase II transcription subunit 25 OS=Homo sapiens OX=9606 GN=MED25 PE=1 SV=2
+MVPGSEGPARAGSVVADVVFVIEGTANLGPYFEGLRKHYLLPAIEYFNGGPPAETDFGGDYGGTQYSLVVFNTVDCAPESYVQCHAPTSSAYEFVTWLDGIKFMGGGGESCSLIAEGLSTALQLFDDFKKMREQIGQTHRVCLLICNSPPYLLPAVESTTYSGCTTENLVQQIGERGIHFSIVSPRKLPALRLLFEKAAPPALLEPLQPPTDVSQDPRHMVLVRGLVLPVGGGSAPGPLQSKQPVPLPPAAPSGATLSAAPQQPLPPVPPQYQVPGNLSAAQVAAQNAVEAAKNQKAGLGPRFSPITPLQQAAPGVGPPFSQAPAPQLPPGPPGAPKPPPASQPSLVSTVAPGSGLAPTAQPGAPSMAGTVAPGGVSGPSPAQLGAPALGGQQSVSNKLLAWSGVLEWQEKPKPASVDANTKLTRSLPCQVYVNHGENLKTEQWPQKLIMQLIPQQLLTTLGPLFRNSRMVQFHFTNKDLESLKGLYRIMGNGFAGCVHFPHTAPCEVRVLMLLYSSKKKIFMGLIPYDQSGFVNGIRQVITNHKQVQQQKLEQQQRGMGGQQAPPGLGPILEDQARPSQNLLQLRPPQPQPQGTVGASGATGQPQPQGTAQPPPGAPQGPPGAASGPPPPGPILRPQNPGANPQLRSLLLNPPPPQTGVPPPQASLHHLQPPGAPALLPPPHQGLGQPQLGPPLLHPPPAQSWPAQLPPRAPLPGQMLLSGGPRGPVPQPGLQPSVMEDDILMDLI
+>DECOY_sp|Q71SY5|MED25_HUMAN Mediator of RNA polymerase II transcription subunit 25 OS=Homo sapiens OX=9606 GN=MED25 PE=1 SV=2
+ILDMLIDDEMVSPQLGPQPVPGRPGGSLLMQGPLPARPPLQAPWSQAPPPHLLPPGLQPQGLGQHPPPLLAPAGPPQLHHLSAQPPPVGTQPPPPNLLLSRLQPNAGPNQPRLIPGPPPPGSAAGPPGQPAGPPPQATGQPQPQGTAGSAGVTGQPQPQPPRLQLLNQSPRAQDELIPGLGPPAQQGGMGRQQQELKQQQVQKHNTIVQRIGNVFGSQDYPILGMFIKKKSSYLLMLVRVECPATHPFHVCGAFGNGMIRYLGKLSELDKNTFHFQVMRSNRFLPGLTTLLQQPILQMILKQPWQETKLNEGHNVYVQCPLSRTLKTNADVSAPKPKEQWELVGSWALLKNSVSQQGGLAPAGLQAPSPGSVGGPAVTGAMSPAGPQATPALGSGPAVTSVLSPQSAPPPKPAGPPGPPLQPAPAQSFPPGVGPAAQQLPTIPSFRPGLGAKQNKAAEVANQAAVQAASLNGPVQYQPPVPPLPQQPAASLTAGSPAAPPLPVPQKSQLPGPASGGGVPLVLGRVLVMHRPDQSVDTPPQLPELLAPPAAKEFLLRLAPLKRPSVISFHIGREGIQQVLNETTCGSYTTSEVAPLLYPPSNCILLCVRHTQGIQERMKKFDDFLQLATSLGEAILSCSEGGGGMFKIGDLWTVFEYASSTPAHCQVYSEPACDVTNFVVLSYQTGGYDGGFDTEAPPGGNFYEIAPLLYHKRLGEFYPGLNATGEIVFVVDAVVSGARAPGESGPVM
+>sp|Q96HR3|MED30_HUMAN Mediator of RNA polymerase II transcription subunit 30 OS=Homo sapiens OX=9606 GN=MED30 PE=1 SV=1
+MSTPPLAASGMAPGPFAGPQAQQAAREVNTASLCRIGQETVQDIVYRTMEIFQLLRNMQLPNGVTYHTGTYQDRLTKLQDNLRQLSVLFRKLRLVYDKCNENCGGMDPIPVEQLIPYVEEDGSKNDDRAGPPRFASEERREIAEVNKKLKQKNQQLKQIMDQLRNLIWDINAMLAMRN
+>DECOY_sp|Q96HR3|MED30_HUMAN Mediator of RNA polymerase II transcription subunit 30 OS=Homo sapiens OX=9606 GN=MED30 PE=1 SV=1
+NRMALMANIDWILNRLQDMIQKLQQNKQKLKKNVEAIERREESAFRPPGARDDNKSGDEEVYPILQEVPIPDMGGCNENCKDYVLRLKRFLVSLQRLNDQLKTLRDQYTGTHYTVGNPLQMNRLLQFIEMTRYVIDQVTEQGIRCLSATNVERAAQQAQPGAFPGPAMGSAALPPTSM
+>sp|Q9NPJ6|MED4_HUMAN Mediator of RNA polymerase II transcription subunit 4 OS=Homo sapiens OX=9606 GN=MED4 PE=1 SV=1
+MAASSSGEKEKERLGGGLGVAGGNSTRERLLSALEDLEVLSRELIEMLAISRNQKLLQAGEENQVLELLIHRDGEFQELMKLALNQGKIHHEMQVLEKEVEKRDSDIQQLQKQLKEAEQILATAVYQAKEKLKSIEKARKGAISSEEIIKYAHRISASNAVCAPLTWVPGDPRRPYPTDLEMRSGLLGQMNNPSTNGVNGHLPGDALAAGRLPDVLAPQYPWQSNDMSMNMLPPNHSSDFLLEPPGHNKENEDDVEIMSTDSSSSSSESD
+>DECOY_sp|Q9NPJ6|MED4_HUMAN Mediator of RNA polymerase II transcription subunit 4 OS=Homo sapiens OX=9606 GN=MED4 PE=1 SV=1
+DSESSSSSSDTSMIEVDDENEKNHGPPELLFDSSHNPPLMNMSMDNSQWPYQPALVDPLRGAALADGPLHGNVGNTSPNNMQGLLGSRMELDTPYPRRPDGPVWTLPACVANSASIRHAYKIIEESSIAGKRAKEISKLKEKAQYVATALIQEAEKLQKQLQQIDSDRKEVEKELVQMEHHIKGQNLALKMLEQFEGDRHILLELVQNEEGAQLLKQNRSIALMEILERSLVELDELASLLRERTSNGGAVGLGGGLREKEKEGSSSAAM
+>sp|O75586|MED6_HUMAN Mediator of RNA polymerase II transcription subunit 6 OS=Homo sapiens OX=9606 GN=MED6 PE=1 SV=2
+MAAVDIRDNLLGISWVDSSWIPILNSGSVLDYFSERSNPFYDRTCNNEVVKMQRLTLEHLNQMVGIEYILLHAQEPILFIIRKQQRQSPAQVIPLADYYIIAGVIYQAPDLGSVINSRVLTAVHGIQSAFDEAMSYCRYHPSKGYWWHFKDHEEQDKVRPKAKRKEEPSSIFQRQRVDALLLDLRQKFPPKFVQLKPGEKPVPVDQTKKEAEPIPETVKPEEKETTKNVQQTVSAKGPPEKRMRLQ
+>DECOY_sp|O75586|MED6_HUMAN Mediator of RNA polymerase II transcription subunit 6 OS=Homo sapiens OX=9606 GN=MED6 PE=1 SV=2
+QLRMRKEPPGKASVTQQVNKTTEKEEPKVTEPIPEAEKKTQDVPVPKEGPKLQVFKPPFKQRLDLLLADVRQRQFISSPEEKRKAKPRVKDQEEHDKFHWWYGKSPHYRCYSMAEDFASQIGHVATLVRSNIVSGLDPAQYIVGAIIYYDALPIVQAPSQRQQKRIIFLIPEQAHLLIYEIGVMQNLHELTLRQMKVVENNCTRDYFPNSRESFYDLVSGSNLIPIWSSDVWSIGLLNDRIDVAAM
+>sp|O00470|MEIS1_HUMAN Homeobox protein Meis1 OS=Homo sapiens OX=9606 GN=MEIS1 PE=1 SV=1
+MAQRYDDLPHYGGMDGVGIPSTMYGDPHAARSMQPVHHLNHGPPLHSHQYPHTAHTNAMAPSMGSSVNDALKRDKDAIYGHPLFPLLALIFEKCELATCTPREPGVAGGDVCSSESFNEDIAVFAKQIRAEKPLFSSNPELDNLMIQAIQVLRFHLLELEKVHELCDNFCHRYISCLKGKMPIDLVIDDREGGSKSDSEDITRSANLTDQPSWNRDHDDTASTRSGGTPGPSSGGHTSHSGDNSSEQGDGLDNSVASPSTGDDDDPDKDKKRHKKRGIFPKVATNIMRAWLFQHLTHPYPSEEQKKQLAQDTGLTILQVNNWFINARRRIVQPMIDQSNRAVSQGTPYNPDGQPMGGFVMDGQQHMGIRAPGPMSGMGMNMGMEGQWHYM
+>DECOY_sp|O00470|MEIS1_HUMAN Homeobox protein Meis1 OS=Homo sapiens OX=9606 GN=MEIS1 PE=1 SV=1
+MYHWQGEMGMNMGMGSMPGPARIGMHQQGDMVFGGMPQGDPNYPTGQSVARNSQDIMPQVIRRRANIFWNNVQLITLGTDQALQKKQEESPYPHTLHQFLWARMINTAVKPFIGRKKHRKKDKDPDDDDGTSPSAVSNDLGDGQESSNDGSHSTHGGSSPGPTGGSRTSATDDHDRNWSPQDTLNASRTIDESDSKSGGERDDIVLDIPMKGKLCSIYRHCFNDCLEHVKELELLHFRLVQIAQIMLNDLEPNSSFLPKEARIQKAFVAIDENFSESSCVDGGAVGPERPTCTALECKEFILALLPFLPHGYIADKDRKLADNVSSGMSPAMANTHATHPYQHSHLPPGHNLHHVPQMSRAAHPDGYMTSPIGVGDMGGYHPLDDYRQAM
+>sp|Q14680|MELK_HUMAN Maternal embryonic leucine zipper kinase OS=Homo sapiens OX=9606 GN=MELK PE=1 SV=3
+MKDYDELLKYYELHETIGTGGFAKVKLACHILTGEMVAIKIMDKNTLGSDLPRIKTEIEALKNLRHQHICQLYHVLETANKIFMVLEYCPGGELFDYIISQDRLSEEETRVVFRQIVSAVAYVHSQGYAHRDLKPENLLFDEYHKLKLIDFGLCAKPKGNKDYHLQTCCGSLAYAAPELIQGKSYLGSEADVWSMGILLYVLMCGFLPFDDDNVMALYKKIMRGKYDVPKWLSPSSILLLQQMLQVDPKKRISMKNLLNHPWIMQDYNYPVEWQSKNPFIHLDDDCVTELSVHHRNNRQTMEDLISLWQYDHLTATYLLLLAKKARGKPVRLRLSSFSCGQASATPFTDIKSNNWSLEDVTASDKNYVAGLIDYDWCEDDLSTGAATPRTSQFTKYWTESNGVESKSLTPALCRTPANKLKNKENVYTPKSAVKNEEYFMFPEPKTPVNKNQHKREILTTPNRYTTPSKARNQCLKETPIKIPVNSTGTDKLMTGVISPERRCRSVELDLNQAHMEETPKRKGAKVFGSLERGLDKVITVLTRSKRKGSARDGPRRLKLHYNVTTTRLVNPDQLLNEIMSILPKKHVDFVQKGYTLKCQTQSDFGKVTMQFELEVCQLQKPDVVGIRRQRLKGDAWVYKRLVEDILSSCKV
+>DECOY_sp|Q14680|MELK_HUMAN Maternal embryonic leucine zipper kinase OS=Homo sapiens OX=9606 GN=MELK PE=1 SV=3
+VKCSSLIDEVLRKYVWADGKLRQRRIGVVDPKQLQCVELEFQMTVKGFDSQTQCKLTYGKQVFDVHKKPLISMIENLLQDPNVLRTTTVNYHLKLRRPGDRASGKRKSRTLVTIVKDLGRELSGFVKAGKRKPTEEMHAQNLDLEVSRCRREPSIVGTMLKDTGTSNVPIKIPTEKLCQNRAKSPTTYRNPTTLIERKHQNKNVPTKPEPFMFYEENKVASKPTYVNEKNKLKNAPTRCLAPTLSKSEVGNSETWYKTFQSTRPTAAGTSLDDECWDYDILGAVYNKDSATVDELSWNNSKIDTFPTASAQGCSFSSLRLRVPKGRAKKALLLLYTATLHDYQWLSILDEMTQRNNRHHVSLETVCDDDLHIFPNKSQWEVPYNYDQMIWPHNLLNKMSIRKKPDVQLMQQLLLISSPSLWKPVDYKGRMIKKYLAMVNDDDFPLFGCMLVYLLIGMSWVDAESGLYSKGQILEPAAYALSGCCTQLHYDKNGKPKACLGFDILKLKHYEDFLLNEPKLDRHAYGQSHVYAVASVIQRFVVRTEEESLRDQSIIYDFLEGGPCYELVMFIKNATELVHYLQCIHQHRLNKLAEIETKIRPLDSGLTNKDMIKIAVMEGTLIHCALKVKAFGGTGITEHLEYYKLLEDYDKM
+>sp|P35240|MERL_HUMAN Merlin OS=Homo sapiens OX=9606 GN=NF2 PE=1 SV=1
+MAGAIASRMSFSSLKRKQPKTFTVRIVTMDAEMEFNCEMKWKGKDLFDLVCRTLGLRETWFFGLQYTIKDTVAWLKMDKKVLDHDVSKEEPVTFHFLAKFYPENAEEELVQEITQHLFFLQVKKQILDEKIYCPPEASVLLASYAVQAKYGDYDPSVHKRGFLAQEELLPKRVINLYQMTPEMWEERITAWYAEHRGRARDEAEMEYLKIAQDLEMYGVNYFAIRNKKGTELLLGVDALGLHIYDPENRLTPKISFPWNEIRNISYSDKEFTIKPLDKKIDVFKFNSSKLRVNKLILQLCIGNHDLFMRRRKADSLEVQQMKAQAREEKARKQMERQRLAREKQMREEAERTRDELERRLLQMKEEATMANEALMRSEETADLLAEKAQITEEEAKLLAQKAAEAEQEMQRIKATAIRTEEEKRLMEQKVLEAEVLALKMAEESERRAKEADQLKQDLQEAREAERRAKQKLLEIATKPTYPPMNPIPAPLPPDIPSFNLIGDSLSFDFKDTDMKRLSMEIEKEKVEYMEKSKHLQEQLNELKTEIEALKLKERETALDILHNENSDRGGSSKHNTIKKLTLQSAKSRVAFFEEL
+>DECOY_sp|P35240|MERL_HUMAN Merlin OS=Homo sapiens OX=9606 GN=NF2 PE=1 SV=1
+LEEFFAVRSKASQLTLKKITNHKSSGGRDSNENHLIDLATEREKLKLAEIETKLENLQEQLHKSKEMYEVKEKEIEMSLRKMDTDKFDFSLSDGILNFSPIDPPLPAPIPNMPPYTPKTAIELLKQKARREAERAEQLDQKLQDAEKARRESEEAMKLALVEAELVKQEMLRKEEETRIATAKIRQMEQEAEAAKQALLKAEEETIQAKEALLDATEESRMLAENAMTAEEKMQLLRRELEDRTREAEERMQKERALRQREMQKRAKEERAQAKMQQVELSDAKRRRMFLDHNGICLQLILKNVRLKSSNFKFVDIKKDLPKITFEKDSYSINRIENWPFSIKPTLRNEPDYIHLGLADVGLLLETGKKNRIAFYNVGYMELDQAIKLYEMEAEDRARGRHEAYWATIREEWMEPTMQYLNIVRKPLLEEQALFGRKHVSPDYDGYKAQVAYSALLVSAEPPCYIKEDLIQKKVQLFFLHQTIEQVLEEEANEPYFKALFHFTVPEEKSVDHDLVKKDMKLWAVTDKITYQLGFFWTERLGLTRCVLDFLDKGKWKMECNFEMEADMTVIRVTFTKPQKRKLSSFSMRSAIAGAM
+>sp|Q12866|MERTK_HUMAN Tyrosine-protein kinase Mer OS=Homo sapiens OX=9606 GN=MERTK PE=1 SV=2
+MGPAPLPLLLGLFLPALWRRAITEAREEAKPYPLFPGPFPGSLQTDHTPLLSLPHASGYQPALMFSPTQPGRPHTGNVAIPQVTSVESKPLPPLAFKHTVGHIILSEHKGVKFNCSISVPNIYQDTTISWWKDGKELLGAHHAITQFYPDDEVTAIIASFSITSVQRSDNGSYICKMKINNEEIVSDPIYIEVQGLPHFTKQPESMNVTRNTAFNLTCQAVGPPEPVNIFWVQNSSRVNEQPEKSPSVLTVPGLTEMAVFSCEAHNDKGLTVSKGVQINIKAIPSPPTEVSIRNSTAHSILISWVPGFDGYSPFRNCSIQVKEADPLSNGSVMIFNTSALPHLYQIKQLQALANYSIGVSCMNEIGWSAVSPWILASTTEGAPSVAPLNVTVFLNESSDNVDIRWMKPPTKQQDGELVGYRISHVWQSAGISKELLEEVGQNGSRARISVQVHNATCTVRIAAVTRGGVGPFSDPVKIFIPAHGWVDYAPSSTPAPGNADPVLIIFGCFCGFILIGLILYISLAIRKRVQETKFGNAFTEEDSELVVNYIAKKSFCRRAIELTLHSLGVSEELQNKLEDVVIDRNLLILGKILGEGEFGSVMEGNLKQEDGTSLKVAVKTMKLDNSSQREIEEFLSEAACMKDFSHPNVIRLLGVCIEMSSQGIPKPMVILPFMKYGDLHTYLLYSRLETGPKHIPLQTLLKFMVDIALGMEYLSNRNFLHRDLAARNCMLRDDMTVCVADFGLSKKIYSGDYYRQGRIAKMPVKWIAIESLADRVYTSKSDVWAFGVTMWEIATRGMTPYPGVQNHEMYDYLLHGHRLKQPEDCLDELYEIMYSCWRTDPLDRPTFSVLRLQLEKLLESLPDVRNQADVIYVNTQLLESSEGLAQGSTLAPLDLNIDPDSIIASCTPRAAISVVTAEVHDSKPHEGRYILNGGSEEWEDLTSAPSAAVTAEKNSVLPGERLVRNGVSWSHSSMLPLGSSLPDELLFADDSSEGSEVLM
+>DECOY_sp|Q12866|MERTK_HUMAN Tyrosine-protein kinase Mer OS=Homo sapiens OX=9606 GN=MERTK PE=1 SV=2
+MLVESGESSDDAFLLEDPLSSGLPLMSSHSWSVGNRVLREGPLVSNKEATVAASPASTLDEWEESGGNLIYRGEHPKSDHVEATVVSIAARPTCSAIISDPDINLDLPALTSGQALGESSELLQTNVYIVDAQNRVDPLSELLKELQLRLVSFTPRDLPDTRWCSYMIEYLEDLCDEPQKLRHGHLLYDYMEHNQVGPYPTMGRTAIEWMTVGFAWVDSKSTYVRDALSEIAIWKVPMKAIRGQRYYDGSYIKKSLGFDAVCVTMDDRLMCNRAALDRHLFNRNSLYEMGLAIDVMFKLLTQLPIHKPGTELRSYLLYTHLDGYKMFPLIVMPKPIGQSSMEICVGLLRIVNPHSFDKMCAAESLFEEIERQSSNDLKMTKVAVKLSTGDEQKLNGEMVSGFEGEGLIKGLILLNRDIVVDELKNQLEESVGLSHLTLEIARRCFSKKAIYNVVLESDEETFANGFKTEQVRKRIALSIYLILGILIFGCFCGFIILVPDANGPAPTSSPAYDVWGHAPIFIKVPDSFPGVGGRTVAAIRVTCTANHVQVSIRARSGNQGVEELLEKSIGASQWVHSIRYGVLEGDQQKTPPKMWRIDVNDSSENLFVTVNLPAVSPAGETTSALIWPSVASWGIENMCSVGISYNALAQLQKIQYLHPLASTNFIMVSGNSLPDAEKVQISCNRFPSYGDFGPVWSILISHATSNRISVETPPSPIAKINIQVGKSVTLGKDNHAECSFVAMETLGPVTLVSPSKEPQENVRSSNQVWFINVPEPPGVAQCTLNFATNRTVNMSEPQKTFHPLGQVEIYIPDSVIEENNIKMKCIYSGNDSRQVSTISFSAIIATVEDDPYFQTIAHHAGLLEKGDKWWSITTDQYINPVSISCNFKVGKHESLIIHGVTHKFALPPLPKSEVSTVQPIAVNGTHPRGPQTPSFMLAPQYGSAHPLSLLPTHDTQLSGPFPGPFLPYPKAEERAETIARRWLAPLFLGLLLPLPAPGM
+>sp|Q9BUU2|MET22_HUMAN Methyltransferase-like protein 22 OS=Homo sapiens OX=9606 GN=METTL22 PE=1 SV=2
+MVQLAPAAAMDEVTFRSDTVLSDVHLYTPNHRHLMVRLNSVGQPVFLSQFKLLWSQDSWTDSGAKGGSHRDVHTKEPPSAETGSTGSPPGSGHGNEGFSLQAGTDTTGQEVAEAQLDEDGDLDVVRRPRAASDSNPAGPLRDKVHPMILAQEEDDVLGEEAQGSPHDIIRIEHTMATPLEDVGKQVWRGALLLADYILFRQDLFRGCTALELGAGTGLASIIAATMARTVYCTDVGADLLSMCQRNIALNSHLAATGGGIVRVKELDWLKDDLCTDPKVPFSWSQEEISDLYDHTTILFAAEVFYDDDLTDAVFKTLSRLAHRLKNACTAILSVEKRLNFTLRHLDVTCEAYDHFRSCLHALEQLADGKLRFVVEPVEASFPQLLVYERLQQLELWKIIAEPVT
+>DECOY_sp|Q9BUU2|MET22_HUMAN Methyltransferase-like protein 22 OS=Homo sapiens OX=9606 GN=METTL22 PE=1 SV=2
+TVPEAIIKWLELQQLREYVLLQPFSAEVPEVVFRLKGDALQELAHLCSRFHDYAECTVDLHRLTFNLRKEVSLIATCANKLRHALRSLTKFVADTLDDDYFVEAAFLITTHDYLDSIEEQSWSFPVKPDTCLDDKLWDLEKVRVIGGGTAALHSNLAINRQCMSLLDAGVDTCYVTRAMTAAIISALGTGAGLELATCGRFLDQRFLIYDALLLAGRWVQKGVDELPTAMTHEIRIIDHPSGQAEEGLVDDEEQALIMPHVKDRLPGAPNSDSAARPRRVVDLDGDEDLQAEAVEQGTTDTGAQLSFGENGHGSGPPSGTSGTEASPPEKTHVDRHSGGKAGSDTWSDQSWLLKFQSLFVPQGVSNLRVMLHRHNPTYLHVDSLVTDSRFTVEDMAAAPALQVM
+>sp|Q5JXM2|MET24_HUMAN Methyltransferase-like protein 24 OS=Homo sapiens OX=9606 GN=METTL24 PE=2 SV=1
+MARERPPGRGCGVLRRCLLGAVLLFGLRLCAELRRAGPGSPTRSAPPGPAWRPPGPHLPPAPGQPRGASRRQVTYVRSGRRAPPGGGGSGTPEPGCCAPRGRPRRKGPRWHIDLQPWAGSAQSLDEEAWRFLRYISTTQIACNHMNTDSLATDSSPTHKPWSVCLDDRFNLAHQIRNKQCRLYSLGLGSDDTHFEVSMANNGCEVHRFDPSVKSAHILESQHLWYHRLSIDWRDPHPAVAAQKPHSNTRKLGSILNEFGHHKIDVLKADLESAEWKVLENLILEDVLEQIGQLIFEIHLHWPGFEVSGSDSSVVRFWYSLLKELEQKDFRLFHSYKDLSKPQLFLKKDIFNASSCYTLSWVNTRWK
+>DECOY_sp|Q5JXM2|MET24_HUMAN Methyltransferase-like protein 24 OS=Homo sapiens OX=9606 GN=METTL24 PE=2 SV=1
+KWRTNVWSLTYCSSANFIDKKLFLQPKSLDKYSHFLRFDKQELEKLLSYWFRVVSSDSGSVEFGPWHLHIEFILQGIQELVDELILNELVKWEASELDAKLVDIKHHGFENLISGLKRTNSHPKQAAVAPHPDRWDISLRHYWLHQSELIHASKVSPDFRHVECGNNAMSVEFHTDDSGLGLSYLRCQKNRIQHALNFRDDLCVSWPKHTPSSDTALSDTNMHNCAIQTTSIYRLFRWAEEDLSQASGAWPQLDIHWRPGKRRPRGRPACCGPEPTGSGGGGPPARRGSRVYTVQRRSAGRPQGPAPPLHPGPPRWAPGPPASRTPSGPGARRLEACLRLGFLLVAGLLCRRLVGCGRGPPRERAM
+>sp|Q8N3J2|METL4_HUMAN Methyltransferase-like protein 4 OS=Homo sapiens OX=9606 GN=METTL4 PE=2 SV=3
+MSVVHQLSAGWLLDHLSFINKINYQLHQHHEPCCRKKEFTTSVHFESLQMDSVSSSGVCAAFIASDSSTKPENDDGGNYEMFTRKFVFRPELFDVTKPYITPAVHKECQQSNEKEDLMNGVKKEISISIIGKKRKRCVVFNQGELDAMEYHTKIRELILDGSLQLIQEGLKSGFLYPLFEKQDKGSKPITLPLDACSLSELCEMAKHLPSLNEMEHQTLQLVEEDTSVTEQDLFLRVVENNSSFTKVITLMGQKYLLPPKSSFLLSDISCMQPLLNYRKTFDVIVIDPPWQNKSVKRSNRYSYLSPLQIQQIPIPKLAAPNCLLVTWVTNRQKHLRFIKEELYPSWSVEVVAEWHWVKITNSGEFVFPLDSPHKKPYEGLILGRVQEKTALPLRNADVNVLPIPDHKLIVSVPCTLHSHKPPLAEVLKDYIKPDGEYLELFARNLQPGWTSWGNEVLKFQHVDYFIAVESGS
+>DECOY_sp|Q8N3J2|METL4_HUMAN Methyltransferase-like protein 4 OS=Homo sapiens OX=9606 GN=METTL4 PE=2 SV=3
+SGSEVAIFYDVHQFKLVENGWSTWGPQLNRAFLELYEGDPKIYDKLVEALPPKHSHLTCPVSVILKHDPIPLVNVDANRLPLATKEQVRGLILGEYPKKHPSDLPFVFEGSNTIKVWHWEAVVEVSWSPYLEEKIFRLHKQRNTVWTVLLCNPAALKPIPIQQIQLPSLYSYRNSRKVSKNQWPPDIVIVDFTKRYNLLPQMCSIDSLLFSSKPPLLYKQGMLTIVKTFSSNNEVVRLFLDQETVSTDEEVLQLTQHEMENLSPLHKAMECLESLSCADLPLTIPKSGKDQKEFLPYLFGSKLGEQILQLSGDLILERIKTHYEMADLEGQNFVVCRKRKKGIISISIEKKVGNMLDEKENSQQCEKHVAPTIYPKTVDFLEPRFVFKRTFMEYNGGDDNEPKTSSDSAIFAACVGSSSVSDMQLSEFHVSTTFEKKRCCPEHHQHLQYNIKNIFSLHDLLWGASLQHVVSM
+>sp|Q6ZN04|MEX3B_HUMAN RNA-binding protein MEX3B OS=Homo sapiens OX=9606 GN=MEX3B PE=1 SV=1
+MPSSLFADLERNGSGGGGGGSSGGGETLDDQRALQLALDQLSLLGLDSDEGASLYDSEPRKKSVNMTECVPVPSSEHVAEIVGRQGCKIKALRAKTNTYIKTPVRGEEPVFVVTGRKEDVAMARREIISAAEHFSMIRASRNKNTALNGAVPGPPNLPGQTTIQVRVPYRVVGLVVGPKGATIKRIQQQTHTYIVTPSRDKEPVFEVTGMPENVDRAREEIEAHIALRTGGIIELTDENDFHANGTDVGFDLHHGSGGSGPGSLWSKPTPSITPTPGRKPFSSYRNDSSSSLGSASTDSYFGGGTSSSAAATQRLADYSPPSPALSFAHNGNNNNNGNGYTYTAGGEASVPSPDGCPELQPTFDPAPAPPPGAPLIWAQFERSPGGGPAAPVSSSCSSSASSSASSSSVVFPGGGASAPSNANLGLLVHRRLHPGTSCPRLSPPLHMAPGAGEHHLARRVRSDPGGGGLAYAAYANGLGAQLPGLQPSDTSGSSSSSSSSSSSSSSSSGLRRKGSRDCSVCFESEVIAALVPCGHNLFCMECANRICEKSEPECPVCHTAVTQAIRIFS
+>DECOY_sp|Q6ZN04|MEX3B_HUMAN RNA-binding protein MEX3B OS=Homo sapiens OX=9606 GN=MEX3B PE=1 SV=1
+SFIRIAQTVATHCVPCEPESKECIRNACEMCFLNHGCPVLAAIVESEFCVSCDRSGKRRLGSSSSSSSSSSSSSSSSGSTDSPQLGPLQAGLGNAYAAYALGGGGPDSRVRRALHHEGAGPAMHLPPSLRPCSTGPHLRRHVLLGLNANSPASAGGGPFVVSSSSASSSASSSCSSSVPAAPGGGPSREFQAWILPAGPPPAPAPDFTPQLEPCGDPSPVSAEGGATYTYGNGNNNNNGNHAFSLAPSPPSYDALRQTAAASSSTGGGFYSDTSASGLSSSSDNRYSSFPKRGPTPTISPTPKSWLSGPGSGGSGHHLDFGVDTGNAHFDNEDTLEIIGGTRLAIHAEIEERARDVNEPMGTVEFVPEKDRSPTVIYTHTQQQIRKITAGKPGVVLGVVRYPVRVQITTQGPLNPPGPVAGNLATNKNRSARIMSFHEAASIIERRAMAVDEKRGTVVFVPEEGRVPTKIYTNTKARLAKIKCGQRGVIEAVHESSPVPVCETMNVSKKRPESDYLSAGEDSDLGLLSLQDLALQLARQDDLTEGGGSSGGGGGGSGNRELDAFLSSPM
+>sp|Q5U5Q3|MEX3C_HUMAN RNA-binding E3 ubiquitin-protein ligase MEX3C OS=Homo sapiens OX=9606 GN=MEX3C PE=1 SV=3
+MPSGSSAALALAAAPAPLPQPPPPPPPPPPPLPPPSGGPELEGDGLLLRERLAALGLDDPSPAEPGAPALRAPAAAAQGQARRAAELSPEERAPPGRPGAPEAAELELEEDEEEGEEAELDGDLLEEEELEEAEEEDRSSLLLLSPPAATASQTQQIPGGSLGSVLLPAARFDAREAAAAAAAAGVLYGGDDAQGMMAAMLSHAYGPGGCGAAAAALNGEQAALLRRKSVNTTECVPVPSSEHVAEIVGRQGCKIKALRAKTNTYIKTPVRGEEPIFVVTGRKEDVAMAKREILSAAEHFSMIRASRNKNGPALGGLSCSPNLPGQTTVQVRVPYRVVGLVVGPKGATIKRIQQQTHTYIVTPSRDKEPVFEVTGMPENVDRAREEIEMHIAMRTGNYIELNEENDFHYNGTDVSFEGGTLGSAWLSSNPVPPSRARMISNYRNDSSSSLGSGSTDSYFGSNRLADFSPTSPFSTGNFWFGDTLPSVGSEDLAVDSPAFDSLPTSAQTIWTPFEPVNPLSGFGSDPSGNMKTQRRGSQPSTPRLSPTFPESIEHPLARRVRSDPPSTGNHVGLPIYIPAFSNGTNSYSSSNGGSTSSSPPESRRKHDCVICFENEVIAALVPCGHNLFCMECANKICEKRTPSCPVCQTAVTQAIQIHS
+>DECOY_sp|Q5U5Q3|MEX3C_HUMAN RNA-binding E3 ubiquitin-protein ligase MEX3C OS=Homo sapiens OX=9606 GN=MEX3C PE=1 SV=3
+SHIQIAQTVATQCVPCSPTRKECIKNACEMCFLNHGCPVLAAIVENEFCIVCDHKRRSEPPSSSTSGGNSSSYSNTGNSFAPIYIPLGVHNGTSPPDSRVRRALPHEISEPFTPSLRPTSPQSGRRQTKMNGSPDSGFGSLPNVPEFPTWITQASTPLSDFAPSDVALDESGVSPLTDGFWFNGTSFPSTPSFDALRNSGFYSDTSGSGLSSSSDNRYNSIMRARSPPVPNSSLWASGLTGGEFSVDTGNYHFDNEENLEIYNGTRMAIHMEIEERARDVNEPMGTVEFVPEKDRSPTVIYTHTQQQIRKITAGKPGVVLGVVRYPVRVQVTTQGPLNPSCSLGGLAPGNKNRSARIMSFHEAASLIERKAMAVDEKRGTVVFIPEEGRVPTKIYTNTKARLAKIKCGQRGVIEAVHESSPVPVCETTNVSKRRLLAAQEGNLAAAAAGCGGPGYAHSLMAAMMGQADDGGYLVGAAAAAAAAERADFRAAPLLVSGLSGGPIQQTQSATAAPPSLLLLSSRDEEEAEELEEEELLDGDLEAEEGEEEDEELELEAAEPAGPRGPPAREEPSLEAARRAQGQAAAAPARLAPAGPEAPSPDDLGLAALRERLLLGDGELEPGGSPPPLPPPPPPPPPPPQPLPAPAAALALAASSGSPM
+>sp|P55083|MFAP4_HUMAN Microfibril-associated glycoprotein 4 OS=Homo sapiens OX=9606 GN=MFAP4 PE=1 SV=2
+MKALLALPLLLLLSTPPCAPQVSGIRGDALERFCLQQPLDCDDIYAQGYQSDGVYLIYPSGPSVPVPVFCDMTTEGGKWTVFQKRFNGSVSFFRGWNDYKLGFGRADGEYWLGLQNMHLLTLKQKYELRVDLEDFENNTAYAKYADFSISPNAVSAEEDGYTLFVAGFEDGGAGDSLSYHSGQKFSTFDRDQDLFVQNCAALSSGAFWFRSCHFANLNGFYLGGSHLSYANGINWAQWKGFYYSLKRTEMKIRRA
+>DECOY_sp|P55083|MFAP4_HUMAN Microfibril-associated glycoprotein 4 OS=Homo sapiens OX=9606 GN=MFAP4 PE=1 SV=2
+ARRIKMETRKLSYYFGKWQAWNIGNAYSLHSGGLYFGNLNAFHCSRFWFAGSSLAACNQVFLDQDRDFTSFKQGSHYSLSDGAGGDEFGAVFLTYGDEEASVANPSISFDAYKAYATNNEFDELDVRLEYKQKLTLLHMNQLGLWYEGDARGFGLKYDNWGRFFSVSGNFRKQFVTWKGGETTMDCFVPVPVSPGSPYILYVGDSQYGQAYIDDCDLPQQLCFRELADGRIGSVQPACPPTSLLLLLPLALLAKM
+>sp|Q6ZSS7|MFSD6_HUMAN Major facilitator superfamily domain-containing protein 6 OS=Homo sapiens OX=9606 GN=MFSD6 PE=1 SV=2
+MADDKVAILTDDEEEQKRKYVLADPFNGISREPEPPSNETPSSTETSAIPEEEIDWIEKHCVKINNDLLISKVFYFFFYSAYGSLYPLLPVYYKQLGMSPSQSGLLVGIRYFIEFCSAPFWGVVADRFKKGKIVLLFSLLCWVLFNLGIGFVKPATLRCVPKIRPTTHPTNASHQLTILPTNSSFTSFLTISPKMREKRNLLETRLNVSDTVTLPTAPNMNSEPTLQPQTGEITNRMMDLTLNSSTATPVSPGSVTKETTTVIVTTTKSLPSDQVMLVYDQQEVEAIFLVILVVVIIGEFFSASSVTIVDTVTLQYLGKHRDRYGLQRMWGSLGWGLAMLSVGIGIDYTHIEVLIDGKGCKPPEYRNYQIVFIVFGVLMTMALIVATQFRFRYNHFKNDDSKGKEVEIPQVERNNSTESSEETPTTTSHSQAFNFWDLIKLLCSVQYGSVLFVAWFMGFGYGFVFTFLYWHLEDLNGTTTLFGVCSVLSHVSELTAYFFSHKLIELIGHIRVLYIGLACNTARYIYISYLENAWTVLPMEVLQGVTHAAIWAACISYLSAAVPPELRTSAQGILQGLHLGLGRGCGAMIGGVLVNYFGAAATFRGIGMACLVILLLFALIQWLAVPDEEEDKTMLAERIPVPSSPVPIATIDLVQQQTEDVMPRIEPRLPPKKTKHQEEQEDVNKPAWGVSSSPWVTFVYALYQIKEMMQLTRDNRASEIQPLQGTNENRENSPAGRAQPVPCETHSDPSRNQPSPDAAASQTQTSPAHPSVDPCTEESEEQQAQLAAGGH
+>DECOY_sp|Q6ZSS7|MFSD6_HUMAN Major facilitator superfamily domain-containing protein 6 OS=Homo sapiens OX=9606 GN=MFSD6 PE=1 SV=2
+HGGAALQAQQEESEETCPDVSPHAPSTQTQSAAADPSPQNRSPDSHTECPVPQARGAPSNERNENTGQLPQIESARNDRTLQMMEKIQYLAYVFTVWPSSSVGWAPKNVDEQEEQHKTKKPPLRPEIRPMVDETQQQVLDITAIPVPSSPVPIREALMTKDEEEDPVALWQILAFLLLIVLCAMGIGRFTAAAGFYNVLVGGIMAGCGRGLGLHLGQLIGQASTRLEPPVAASLYSICAAWIAAHTVGQLVEMPLVTWANELYSIYIYRATNCALGIYLVRIHGILEILKHSFFYATLESVHSLVSCVGFLTTTGNLDELHWYLFTFVFGYGFGMFWAVFLVSGYQVSCLLKILDWFNFAQSHSTTTPTEESSETSNNREVQPIEVEKGKSDDNKFHNYRFRFQTAVILAMTMLVGFVIFVIQYNRYEPPKCGKGDILVEIHTYDIGIGVSLMALGWGLSGWMRQLGYRDRHKGLYQLTVTDVITVSSASFFEGIIVVVLIVLFIAEVEQQDYVLMVQDSPLSKTTTVIVTTTEKTVSGPSVPTATSSNLTLDMMRNTIEGTQPQLTPESNMNPATPLTVTDSVNLRTELLNRKERMKPSITLFSTFSSNTPLITLQHSANTPHTTPRIKPVCRLTAPKVFGIGLNFLVWCLLSFLLVIKGKKFRDAVVGWFPASCFEIFYRIGVLLGSQSPSMGLQKYYVPLLPYLSGYASYFFFYFVKSILLDNNIKVCHKEIWDIEEEPIASTETSSPTENSPPEPERSIGNFPDALVYKRKQEEEDDTLIAVKDDAM
+>sp|O60291|MGRN1_HUMAN E3 ubiquitin-protein ligase MGRN1 OS=Homo sapiens OX=9606 GN=MGRN1 PE=1 SV=2
+MGSILSRRIAGVEDIDIQANSAYRYPPKSGNYFASHFFMGGEKFDTPHPEGYLFGENMDLNFLGSRPVQFPYVTPAPHEPVKTLRSLVNIRKDSLRLVRYKDDADSPTEDGDKPRVLYSLEFTFDADARVAITIYCQASEEFLNGRAVYSPKSPSLQSETVHYKRGVSQQFSLPSFKIDFSEWKDDELNFDLDRGVFPVVIQAVVDEGDVVEVTGHAHVLLAAFEKHMDGSFSVKPLKQKQIVDRVSYLLQEIYGIENKNNQETKPSDDENSDNSNECVVCLSDLRDTLILPCRHLCLCTSCADTLRYQANNCPICRLPFRALLQIRAVRKKPGALSPVSFSPVLAQSLEHDEHSCPFKKSKPHPASLASKKPKRETNSDSVPPGYEPISLLEALNGLRAVSPAIPSAPLYEEITYSGISDGLSQASCPLAAIDHILDSSRQKGRPQSKAPDSTLRSPSSPIHEEDEEKLSEDVDAPPPLGGAELALRESSSPESFITEEVDESSSPQQGTRAASIENVLQDSSPEHCGRGPPADIYLPALGPDSCSVGIDE
+>DECOY_sp|O60291|MGRN1_HUMAN E3 ubiquitin-protein ligase MGRN1 OS=Homo sapiens OX=9606 GN=MGRN1 PE=1 SV=2
+EDIGVSCSDPGLAPLYIDAPPGRGCHEPSSDQLVNEISAARTGQQPSSSEDVEETIFSEPSSSERLALEAGGLPPPADVDESLKEEDEEHIPSSPSRLTSDPAKSQPRGKQRSSDLIHDIAALPCSAQSLGDSIGSYTIEEYLPASPIAPSVARLGNLAELLSIPEYGPPVSDSNTERKPKKSALSAPHPKSKKFPCSHEDHELSQALVPSFSVPSLAGPKKRVARIQLLARFPLRCIPCNNAQYRLTDACSTCLCLHRCPLILTDRLDSLCVVCENSNDSNEDDSPKTEQNNKNEIGYIEQLLYSVRDVIQKQKLPKVSFSGDMHKEFAALLVHAHGTVEVVDGEDVVAQIVVPFVGRDLDFNLEDDKWESFDIKFSPLSFQQSVGRKYHVTESQLSPSKPSYVARGNLFEESAQCYITIAVRADADFTFELSYLVRPKDGDETPSDADDKYRVLRLSDKRINVLSRLTKVPEHPAPTVYPFQVPRSGLFNLDMNEGFLYGEPHPTDFKEGGMFFHSAFYNGSKPPYRYASNAQIDIDEVGAIRRSLISGM
+>sp|Q09328|MGT5A_HUMAN Alpha-1,6-mannosylglycoprotein 6-beta-N-acetylglucosaminyltransferase A OS=Homo sapiens OX=9606 GN=MGAT5 PE=2 SV=1
+MALFTPWKLSSQKLGFFLVTFGFIWGMMLLHFTIQQRTQPESSSMLREQILDLSKRYIKALAEENRNVVDGPYAGVMTAYDLKKTLAVLLDNILQRIGKLESKVDNLVVNGTGTNSTNSTTAVPSLVALEKINVADIINGAQEKCVLPPMDGYPHCEGKIKWMKDMWRSDPCYADYGVDGSTCSFFIYLSEVENWCPHLPWRAKNPYEEADHNSLAEIRTDFNILYSMMKKHEEFRWMRLRIRRMADAWIQAIKSLAEKQNLEKRKRKKVLVHLGLLTKESGFKIAETAFSGGPLGELVQWSDLITSLYLLGHDIRISASLAELKEIMKKVVGNRSGCPTVGDRIVELIYIDIVGLAQFKKTLGPSWVHYQCMLRVLDSFGTEPEFNHANYAQSKGHKTPWGKWNLNPQQFYTMFPHTPDNSFLGFVVEQHLNSSDIHHINEIKRQNQSLVYGKVDSFWKNKKIYLDIIHTYMEVHATVYGSSTKNIPSYVKNHGILSGRDLQFLLRETKLFVGLGFPYEGPAPLEAIANGCAFLNPKFNPPKSSKNTDFFIGKPTLRELTSQHPYAEVFIGRPHVWTVDLNNQEEVEDAVKAILNQKIEPYMPYEFTCEGMLQRINAFIEKQDFCHGQVMWPPLSALQVKLAEPGQSCKQVCQESQLICEPSFFQHLNKDKDMLKYKVTCQSSELAKDILVPSFDPKNKHCVFQGDLLLFSCAGAHPRHQRVCPCRDFIKGQVALCKDCL
+>DECOY_sp|Q09328|MGT5A_HUMAN Alpha-1,6-mannosylglycoprotein 6-beta-N-acetylglucosaminyltransferase A OS=Homo sapiens OX=9606 GN=MGAT5 PE=2 SV=1
+LCDKCLAVQGKIFDRCPCVRQHRPHAGACSFLLLDGQFVCHKNKPDFSPVLIDKALESSQCTVKYKLMDKDKNLHQFFSPECILQSEQCVQKCSQGPEALKVQLASLPPWMVQGHCFDQKEIFANIRQLMGECTFEYPMYPEIKQNLIAKVADEVEEQNNLDVTWVHPRGIFVEAYPHQSTLERLTPKGIFFDTNKSSKPPNFKPNLFACGNAIAELPAPGEYPFGLGVFLKTERLLFQLDRGSLIGHNKVYSPINKTSSGYVTAHVEMYTHIIDLYIKKNKWFSDVKGYVLSQNQRKIENIHHIDSSNLHQEVVFGLFSNDPTHPFMTYFQQPNLNWKGWPTKHGKSQAYNAHNFEPETGFSDLVRLMCQYHVWSPGLTKKFQALGVIDIYILEVIRDGVTPCGSRNGVVKKMIEKLEALSASIRIDHGLLYLSTILDSWQVLEGLPGGSFATEAIKFGSEKTLLGLHVLVKKRKRKELNQKEALSKIAQIWADAMRRIRLRMWRFEEHKKMMSYLINFDTRIEALSNHDAEEYPNKARWPLHPCWNEVESLYIFFSCTSGDVGYDAYCPDSRWMDKMWKIKGECHPYGDMPPLVCKEQAGNIIDAVNIKELAVLSPVATTSNTSNTGTGNVVLNDVKSELKGIRQLINDLLVALTKKLDYATMVGAYPGDVVNRNEEALAKIYRKSLDLIQERLMSSSEPQTRQQITFHLLMMGWIFGFTVLFFGLKQSSLKWPTFLAM
+>sp|Q8N4Q1|MIA40_HUMAN Mitochondrial intermembrane space import and assembly protein 40 OS=Homo sapiens OX=9606 GN=CHCHD4 PE=1 SV=1
+MSYCRQEGKDRIIFVTKEDHETPSSAELVADDPNDPYEEHGLILPNGNINWNCPCLGGMASGPCGEQFKSAFSCFHYSTEEIKGSDCVDQFRAMQECMQKYPDLYPQEDEDEEEEREKKPAEQAEETAPIEATATKEEEGSS
+>DECOY_sp|Q8N4Q1|MIA40_HUMAN Mitochondrial intermembrane space import and assembly protein 40 OS=Homo sapiens OX=9606 GN=CHCHD4 PE=1 SV=1
+SSGEEEKTATAEIPATEEAQEAPKKEREEEEDEDEQPYLDPYKQMCEQMARFQDVCDSGKIEETSYHFCSFASKFQEGCPGSAMGGLCPCNWNINGNPLILGHEEYPDNPDDAVLEASSPTEHDEKTVFIIRDKGEQRCYSM
+>sp|Q16674|MIA_HUMAN Melanoma-derived growth regulatory protein OS=Homo sapiens OX=9606 GN=MIA PE=1 SV=1
+MARSLVCLGVIILLSAFSGPGVRGGPMPKLADRKLCADQECSHPISMAVALQDYMAPDCRFLTIHRGQVVYVFSKLKGRGRLFWGGSVQGDYYGDLAARLGYFPSSIVREDQTLKPGKVDVKTDKWDFYCQ
+>DECOY_sp|Q16674|MIA_HUMAN Melanoma-derived growth regulatory protein OS=Homo sapiens OX=9606 GN=MIA PE=1 SV=1
+QCYFDWKDTKVDVKGPKLTQDERVISSPFYGLRAALDGYYDGQVSGGWFLRGRGKLKSFVYVVQGRHITLFRCDPAMYDQLAVAMSIPHSCEQDACLKRDALKPMPGGRVGPGSFASLLIIVGLCVLSRAM
+>sp|Q9BRQ6|MIC25_HUMAN MICOS complex subunit MIC25 OS=Homo sapiens OX=9606 GN=CHCHD6 PE=1 SV=1
+MGSTESSEGRRVSFGVDEEERVRVLQGVRLSENVVNRMKEPSSPPPAPTSSTFGLQDGNLRAPHKESTLPRSGSSGGQQPSGMKEGVKRYEQEHAAIQDKLFQVAKREREAATKHSKASLPTGEGSISHEEQKSVRLARELESREAELRRRDTFYKEQLERIERKNAEMYKLSSEQFHEAASKMESTIKPRRVEPVCSGLQAQILHCYRDRPHEVLLCSDLVKAYQRCVSAAHKG
+>DECOY_sp|Q9BRQ6|MIC25_HUMAN MICOS complex subunit MIC25 OS=Homo sapiens OX=9606 GN=CHCHD6 PE=1 SV=1
+GKHAASVCRQYAKVLDSCLLVEHPRDRYCHLIQAQLGSCVPEVRRPKITSEMKSAAEHFQESSLKYMEANKREIRELQEKYFTDRRRLEAERSELERALRVSKQEEHSISGEGTPLSAKSHKTAAERERKAVQFLKDQIAAHEQEYRKVGEKMGSPQQGGSSGSRPLTSEKHPARLNGDQLGFTSSTPAPPPSSPEKMRNVVNESLRVGQLVRVREEEDVGFSVRRGESSETSGM
+>sp|Q8TDZ2|MICA1_HUMAN [F-actin]-monooxygenase MICAL1 OS=Homo sapiens OX=9606 GN=MICAL1 PE=1 SV=2
+MASPTSTNPAHAHFESFLQAQLCQDVLSSFQELCGALGLEPGGGLPQYHKIKDQLNYWSAKSLWTKLDKRAGQPVYQQGRACTSTKCLVVGAGPCGLRVAVELALLGARVVLVEKRTKFSRHNVLHLWPFTIHDLRALGAKKFYGRFCTGTLDHISIRQLQLLLLKVALLLGVEIHWGVTFTGLQPPPRKGSGWRAQLQPNPPAQLANYEFDVLISAAGGKFVPEGFKVREMRGKLAIGITANFVNGRTVEETQVPEISGVARIYNQSFFQSLLKATGIDLENIVYYKDDTHYFVMTAKKQCLLRLGVLRQDWPDTNRLLGSANVVPEALQRFTRAAADFATHGKLGKLEFAQDAHGQPDVSAFDFTSMMRAESSARVQEKHGARLLLGLVGDCLVEPFWPLGTGVARGFLAAFDAAWMVKRWAEGAESLEVLAERESLYQLLSQTSPENMHRNVAQYGLDPATRYPNLNLRAVTPNQVRDLYDVLAKEPVQRNNDKTDTGMPATGSAGTQEELLRWCQEQTAGYPGVHVSDLSSSWADGLALCALVYRLQPGLLEPSELQGLGALEATAWALKVAENELGITPVVSAQAVVAGSDPLGLIAYLSHFHSAFKSMAHSPGPVSQASPGTSSAVLFLSKLQRTLQRSRAKENAEDAGGKKLRLEMEAETPSTEVPPDPEPGVPLTPPSQHQEAGAGDLCALCGEHLYVLERLCVNGHFFHRSCFRCHTCEATLWPGGYEQHPGDGHFYCLQHLPQTDHKAEGSDRGPESPELPTPSENSMPPGLSTPTASQEGAGPVPDPSQPTRRQIRLSSPERQRLSSLNLTPDPEMEPPPKPPRSCSALARHALESSFVGWGLPVQSPQALVAMEKEEKESPFSSEEEEEDVPLDSDVEQALQTFAKTSGTMNNYPTWRRTLLRRAKEEEMKRFCKAQTIQRRLNEIEAALRELEAEGVKLELALRRQSSSPEQQKKLWVGQLLQLVDKKNSLVAEEAELMITVQELNLEEKQWQLDQELRGYMNREENLKTAADRQAEDQVLRKLVDLVNQRDALIRFQEERRLSELALGTGAQG
+>DECOY_sp|Q8TDZ2|MICA1_HUMAN [F-actin]-monooxygenase MICAL1 OS=Homo sapiens OX=9606 GN=MICAL1 PE=1 SV=2
+GQAGTGLALESLRREEQFRILADRQNVLDVLKRLVQDEAQRDAATKLNEERNMYGRLEQDLQWQKEELNLEQVTIMLEAEEAVLSNKKDVLQLLQGVWLKKQQEPSSSQRRLALELKVGEAELERLAAEIENLRRQITQAKCFRKMEEEKARRLLTRRWTPYNNMTGSTKAFTQLAQEVDSDLPVDEEEEESSFPSEKEEKEMAVLAQPSQVPLGWGVFSSELAHRALASCSRPPKPPPEMEPDPTLNLSSLRQREPSSLRIQRRTPQSPDPVPGAGEQSATPTSLGPPMSNESPTPLEPSEPGRDSGEAKHDTQPLHQLCYFHGDGPHQEYGGPWLTAECTHCRFCSRHFFHGNVCLRELVYLHEGCLACLDGAGAEQHQSPPTLPVGPEPDPPVETSPTEAEMELRLKKGGADEANEKARSRQLTRQLKSLFLVASSTGPSAQSVPGPSHAMSKFASHFHSLYAILGLPDSGAVVAQASVVPTIGLENEAVKLAWATAELAGLGQLESPELLGPQLRYVLACLALGDAWSSSLDSVHVGPYGATQEQCWRLLEEQTGASGTAPMGTDTKDNNRQVPEKALVDYLDRVQNPTVARLNLNPYRTAPDLGYQAVNRHMNEPSTQSLLQYLSEREALVELSEAGEAWRKVMWAADFAALFGRAVGTGLPWFPEVLCDGVLGLLLRAGHKEQVRASSEARMMSTFDFASVDPQGHADQAFELKGLKGHTAFDAAARTFRQLAEPVVNASGLLRNTDPWDQRLVGLRLLCQKKATMVFYHTDDKYYVINELDIGTAKLLSQFFSQNYIRAVGSIEPVQTEEVTRGNVFNATIGIALKGRMERVKFGEPVFKGGAASILVDFEYNALQAPPNPQLQARWGSGKRPPPQLGTFTVGWHIEVGLLLAVKLLLLQLQRISIHDLTGTCFRGYFKKAGLARLDHITFPWLHLVNHRSFKTRKEVLVVRAGLLALEVAVRLGCPGAGVVLCKTSTCARGQQYVPQGARKDLKTWLSKASWYNLQDKIKHYQPLGGGPELGLAGCLEQFSSLVDQCLQAQLFSEFHAHAPNTSTPSAM
+>sp|Q8IYU8|MICU2_HUMAN Calcium uptake protein 2, mitochondrial OS=Homo sapiens OX=9606 GN=MICU2 PE=1 SV=2
+MAAAAGSCARVAAWGGKLRRGLAVSRQAVRSPGPLAAAVAGAALAGAGAAWHHSRVSVAARDGSFTVSAQKNVEHGIIYIGKPSLRKQRFMQFSSLEHEGEYYMTPRDFLFSVMFEQMERKTSVKKLTKKDIEDTLSGIQTAGCGSTFFRDLGDKGLISYTEYLFLLTILTKPHSGFHVAFKMLDTDGNEMIEKREFFKLQKIISKQDDLMTVKTNETGYQEAIVKEPEINTTLQMRFFGKRGQRKLHYKEFRRFMENLQTEIQEMEFLQFSKGLSFMRKEDFAEWLLFFTNTENKDIYWKNVREKLSAGESISLDEFKSFCHFTTHLEDFAIAMQMFSLAHRPVRLAEFKRAVKVATGQELSNNILDTVFKIFDLDGDECLSHEEFLGVLKNRMHRGLWVPQHQSIQEYWKCVKKESIKGVKEVWKQAGKGLF
+>DECOY_sp|Q8IYU8|MICU2_HUMAN Calcium uptake protein 2, mitochondrial OS=Homo sapiens OX=9606 GN=MICU2 PE=1 SV=2
+FLGKGAQKWVEKVGKISEKKVCKWYEQISQHQPVWLGRHMRNKLVGLFEEHSLCEDGDLDFIKFVTDLINNSLEQGTAVKVARKFEALRVPRHALSFMQMAIAFDELHTTFHCFSKFEDLSISEGASLKERVNKWYIDKNETNTFFLLWEAFDEKRMFSLGKSFQLFEMEQIETQLNEMFRRFEKYHLKRQGRKGFFRMQLTTNIEPEKVIAEQYGTENTKVTMLDDQKSIIKQLKFFERKEIMENGDTDLMKFAVHFGSHPKTLITLLFLYETYSILGKDGLDRFFTSGCGATQIGSLTDEIDKKTLKKVSTKREMQEFMVSFLFDRPTMYYEGEHELSSFQMFRQKRLSPKGIYIIGHEVNKQASVTFSGDRAAVSVRSHHWAAGAGALAAGAVAAALPGPSRVAQRSVALGRRLKGGWAAVRACSGAAAAM
+>sp|Q504T8|MIDN_HUMAN Midnolin OS=Homo sapiens OX=9606 GN=MIDN PE=1 SV=2
+MEPQPGGARSCRRGAPGGACELGPAAEAAPMSLAIHSTTGTRYDLAVPPDETVEGLRKRLSQRLKVPKERLALLHKDTRLSSGKLQEFGVGDGSKLTLVPTVEAGLMSQASRPEQSVMQALESLTETQVSDFLSGRSPLTLALRVGDHMMFVQLQLAAQHAPLQHRHVLAAAAAAAAARGDPSIASPVSSPCRPVSSAARVPPVPTSPSPASPSPITAGSFRSHAASTTCPEQMDCSPTASSSASPGASTTSTPGASPAPRSRKPGAVIESFVNHAPGVFSGTFSGTLHPNCQDSSGRPRRDIGTILQILNDLLSATRHYQGMPPSLAQLRCHAQCSPASPAPDLAPRTTSCEKLTAAPSASLLQGQSQIRMCKPPGDRLRQTENRATRCKVERLQLLLQQKRLRRKARRDARGPYHWSPSRKAGRSDSSSSGGGGSPSEASGLGLDFEDSVWKPEVNPDIKSEFVVA
+>DECOY_sp|Q504T8|MIDN_HUMAN Midnolin OS=Homo sapiens OX=9606 GN=MIDN PE=1 SV=2
+AVVFESKIDPNVEPKWVSDEFDLGLGSAESPSGGGGSSSSDSRGAKRSPSWHYPGRADRRAKRRLRKQQLLLQLREVKCRTARNETQRLRDGPPKCMRIQSQGQLLSASPAATLKECSTTRPALDPAPSAPSCQAHCRLQALSPPMGQYHRTASLLDNLIQLITGIDRRPRGSSDQCNPHLTGSFTGSFVGPAHNVFSEIVAGPKRSRPAPSAGPTSTTSAGPSASSSATPSCDMQEPCTTSAAHSRFSGATIPSPSAPSPSTPVPPVRAASSVPRCPSSVPSAISPDGRAAAAAAAAALVHRHQLPAHQAALQLQVFMMHDGVRLALTLPSRGSLFDSVQTETLSELAQMVSQEPRSAQSMLGAEVTPVLTLKSGDGVGFEQLKGSSLRTDKHLLALREKPVKLRQSLRKRLGEVTEDPPVALDYRTGTTSHIALSMPAAEAAPGLECAGGPAGRRCSRAGGPQPEM
+>sp|Q7Z3K6|MIER3_HUMAN Mesoderm induction early response protein 3 OS=Homo sapiens OX=9606 GN=MIER3 PE=1 SV=2
+MAEASFGSSSPVGSLSSEDHDFDPTAEMLVHDYDDERTLEEEEMMDEGKNFSSEIEDLEKEGTMPLEDLLAFYGYEPTIPAVANSSANSSPSELADELPDMTLDKEEIAKDLLSGDDEETQSSADDLTPSVTSHETSDFFPRPLRSNTACDGDKESEVEDVETDSGNSPEDLRKEIMIGLQYQAEIPPYLGEYDGNEKVYENEDQLLWCPDVVLESKVKEYLVETSLRTGSEKIMDRISAGTHTRDNEQALYELLKCNHNIKEAIERYCCNGKASQEGMTAWTEEECRSFEHALMLFGKDFHLIQKNKVRTRTVAECVAFYYMWKKSERYDYFAQQTRFGKKRYNHHPGVTDYMDRLVDETEALGGTVNASALTSNRPEPIPDQQLNILNSFTASDLTALTNSVATVCDPTDVNCLDDSFPPLGNTPRGQVNHVPVVTEELLTLPSNGESDCFNLFETGFYHSELNPMNMCSEESERPAKRLKMGIAVPESFMNEVSVNNLGVDFENHTHHITSAKMAVSVADFGSLSANETNGFISAHALHQHAALHSE
+>DECOY_sp|Q7Z3K6|MIER3_HUMAN Mesoderm induction early response protein 3 OS=Homo sapiens OX=9606 GN=MIER3 PE=1 SV=2
+ESHLAAHQHLAHASIFGNTENASLSGFDAVSVAMKASTIHHTHNEFDVGLNNVSVENMFSEPVAIGMKLRKAPRESEESCMNMPNLESHYFGTEFLNFCDSEGNSPLTLLEETVVPVHNVQGRPTNGLPPFSDDLCNVDTPDCVTAVSNTLATLDSATFSNLINLQQDPIPEPRNSTLASANVTGGLAETEDVLRDMYDTVGPHHNYRKKGFRTQQAFYDYRESKKWMYYFAVCEAVTRTRVKNKQILHFDKGFLMLAHEFSRCEEETWATMGEQSAKGNCCYREIAEKINHNCKLLEYLAQENDRTHTGASIRDMIKESGTRLSTEVLYEKVKSELVVDPCWLLQDENEYVKENGDYEGLYPPIEAQYQLGIMIEKRLDEPSNGSDTEVDEVESEKDGDCATNSRLPRPFFDSTEHSTVSPTLDDASSQTEEDDGSLLDKAIEEKDLTMDPLEDALESPSSNASSNAVAPITPEYGYFALLDELPMTGEKELDEIESSFNKGEDMMEEEELTREDDYDHVLMEATPDFDHDESSLSGVPSSSGFSAEAM
+>sp|P14174|MIF_HUMAN Macrophage migration inhibitory factor OS=Homo sapiens OX=9606 GN=MIF PE=1 SV=4
+MPMFIVNTNVPRASVPDGFLSELTQQLAQATGKPPQYIAVHVVPDQLMAFGGSSEPCALCSLHSIGKIGGAQNRSYSKLLCGLLAERLRISPDRVYINYYDMNAANVGWNNSTFA
+>DECOY_sp|P14174|MIF_HUMAN Macrophage migration inhibitory factor OS=Homo sapiens OX=9606 GN=MIF PE=1 SV=4
+AFTSNNWGVNAANMDYYNIYVRDPSIRLREALLGCLLKSYSRNQAGGIKGISHLSCLACPESSGGFAMLQDPVVHVAIYQPPKGTAQALQQTLESLFGDPVSARPVNTNVIFMPM
+>sp|Q8IY33|MILK2_HUMAN MICAL-like protein 2 OS=Homo sapiens OX=9606 GN=MICALL2 PE=1 SV=1
+MAAIRALQQWCRQQCEGYRDVNICNMTTSFRDGLAFCAILHRHRPDLINFSALKKENIYENNKLAFRVAEEHLGIPALLDAEDMVALKVPDRLSILTYVSQYYNYFHGRSPIGGMAGVKRASEDSEEEPSGKKAPVQAAKLPSPAPARKPPLSPAQTNPVVQRRNEGAGGPPPKTDQALAGSLVSSTCGVCGKHVHLVQRHLADGRLYHRSCFRCKQCSCTLHSGAYKATGEPGTFVCTSHLPAAASASPKLTGLVPRQPGAMGVDSRTSCSPQKAQEANKARPSAWEPAAGNSPARASVPAAPNPAATSATSVHVRSPARPSESRLAPTPTEGKVRPRVTNSSPMGWSSAAPCTAAAASHPAVPPSAPDPRPATPQGGGAPRVAAPQTTLSSSSTSAATVDPPAWTPSASRTQQARNKFFQTSAVPPGTSLSGRGPTPSLVLSKDSSKEQARNFLKQALSALEEAGAPAPGRPSPATAAVPSSQPKTEAPQASPLAKPLQSSSPRVLGLPSRMEPPAPLSTSSTSQASALPPAGRRNLAESSGVGRVGAGSRPKPEAPMAKGKSTTLTQDMSTSLQEGQEDGPAGWRANLKPVDRRSPAERTLKPKEPRALAEPRAGEAPRKVSGSFAGSVHITLTPVRPDRTPRPASPGPSLPARSPSPPRRRRLAVPASLDVCDNWLRPEPPGQEARVQSWKEEEKKPHLQGKPGRPLSPANVPALPGETVTSPVRLHPDYLSPEEIQRQLQDIERRLDALELRGVELEKRLRAAEGDDAEDSLMVDWFWLIHEKQLLLRQESELMYKSKAQRLEEQQLDIEGELRRLMAKPEALKSLQERRREQELLEQYVSTVNDRSDIVDSLDEDRLREQEEDQMLRDMIEKLGLQRKKSKFRLSKIWSPKSKSSPSQ
+>DECOY_sp|Q8IY33|MILK2_HUMAN MICAL-like protein 2 OS=Homo sapiens OX=9606 GN=MICALL2 PE=1 SV=1
+QSPSSKSKPSWIKSLRFKSKKRQLGLKEIMDRLMQDEEQERLRDEDLSDVIDSRDNVTSVYQELLEQERRREQLSKLAEPKAMLRRLEGEIDLQQEELRQAKSKYMLESEQRLLLQKEHILWFWDVMLSDEADDGEAARLRKELEVGRLELADLRREIDQLQRQIEEPSLYDPHLRVPSTVTEGPLAPVNAPSLPRGPKGQLHPKKEEEKWSQVRAEQGPPEPRLWNDCVDLSAPVALRRRRPPSPSRAPLSPGPSAPRPTRDPRVPTLTIHVSGAFSGSVKRPAEGARPEALARPEKPKLTREAPSRRDVPKLNARWGAPGDEQGEQLSTSMDQTLTTSKGKAMPAEPKPRSGAGVRGVGSSEALNRRGAPPLASAQSTSSTSLPAPPEMRSPLGLVRPSSSQLPKALPSAQPAETKPQSSPVAATAPSPRGPAPAGAEELASLAQKLFNRAQEKSSDKSLVLSPTPGRGSLSTGPPVASTQFFKNRAQQTRSASPTWAPPDVTAASTSSSSLTTQPAAVRPAGGGQPTAPRPDPASPPVAPHSAAAATCPAASSWGMPSSNTVRPRVKGETPTPALRSESPRAPSRVHVSTASTAAPNPAAPVSARAPSNGAAPEWASPRAKNAEQAKQPSCSTRSDVGMAGPQRPVLGTLKPSASAAAPLHSTCVFTGPEGTAKYAGSHLTCSCQKCRFCSRHYLRGDALHRQVLHVHKGCVGCTSSVLSGALAQDTKPPPGGAGENRRQVVPNTQAPSLPPKRAPAPSPLKAAQVPAKKGSPEEESDESARKVGAMGGIPSRGHFYNYYQSVYTLISLRDPVKLAVMDEADLLAPIGLHEEAVRFALKNNEYINEKKLASFNILDPRHRHLIACFALGDRFSTTMNCINVDRYGECQQRCWQQLARIAAM
+>sp|Q8N5J2|MINY1_HUMAN Ubiquitin carboxyl-terminal hydrolase MINDY-1 OS=Homo sapiens OX=9606 GN=MINDY1 PE=1 SV=2
+MEYHQPEDPAPGKAGTAEAVIPENHEVLAGPDEHPQDTDARDADGEAREREPADQALLPSQCGDNLESPLPEASSAPPGPTLGTLPEVETIRACSMPQELPQSPRTRQPEPDFYCVKWIPWKGEQTPIITQSTNGPCPLLAIMNILFLQWKVKLPPQKEVITSDELMAHLGNCLLSIKPQEKSEGLQLNFQQNVDDAMTVLPKLATGLDVNVRFTGVSDFEYTPECSVFDLLGIPLYHGWLVDPQSPEAVRAVGKLSYNQLVERIITCKHSSDTNLVTEGLIAEQFLETTAAQLTYHGLCELTAAAKEGELSVFFRNNHFSTMTKHKSHLYLLVTDQGFLQEEQVVWESLHNVDGDSCFCDSDFHLSHSLGKGPGAEGGSGSPETQLQVDQDYLIALSLQQQQPRGPLGLTDLELAQQLQQEEYQQQQAAQPVRMRTRVLSLQGRGATSGRPAGERRQRPKHESDCILL
+>DECOY_sp|Q8N5J2|MINY1_HUMAN Ubiquitin carboxyl-terminal hydrolase MINDY-1 OS=Homo sapiens OX=9606 GN=MINDY1 PE=1 SV=2
+LLICDSEHKPRQRREGAPRGSTAGRGQLSLVRTRMRVPQAAQQQQYEEQQLQQALELDTLGLPGRPQQQQLSLAILYDQDVQLQTEPSGSGGEAGPGKGLSHSLHFDSDCFCSDGDVNHLSEWVVQEEQLFGQDTVLLYLHSKHKTMTSFHNNRFFVSLEGEKAAATLECLGHYTLQAATTELFQEAILGETVLNTDSSHKCTIIREVLQNYSLKGVARVAEPSQPDVLWGHYLPIGLLDFVSCEPTYEFDSVGTFRVNVDLGTALKPLVTMADDVNQQFNLQLGESKEQPKISLLCNGLHAMLEDSTIVEKQPPLKVKWQLFLINMIALLPCPGNTSQTIIPTQEGKWPIWKVCYFDPEPQRTRPSQPLEQPMSCARITEVEPLTGLTPGPPASSAEPLPSELNDGCQSPLLAQDAPERERAEGDADRADTDQPHEDPGALVEHNEPIVAEATGAKGPAPDEPQHYEM
+>sp|Q96FF7|MISP3_HUMAN Uncharacterized protein MISP3 OS=Homo sapiens OX=9606 GN=MISP3 PE=2 SV=4
+METPIEREIRRSCEREESLRRSRGLSPGRAGRELVELRVRPVLNLPGPGPALPRALERARAGAQMQRDIEREAHRQAALARPAVPEPRARSPPQPLGELKRFFEAAAGSGSSAGAGDGAGPQRLPEPGGRPRSAVQGGCRVLGSAPPPFTPSLLEQEVRAVREREQELQRQRRSVYGTAEFKEPTPSLTASRGDGKLVVIWPPRRKVSENGLEQEERKP
+>DECOY_sp|Q96FF7|MISP3_HUMAN Uncharacterized protein MISP3 OS=Homo sapiens OX=9606 GN=MISP3 PE=2 SV=4
+PKREEQELGNESVKRRPPWIVVLKGDGRSATLSPTPEKFEATGYVSRRQRQLEQERERVARVEQELLSPTFPPPASGLVRCGGQVASRPRGGPEPLRQPGAGDGAGASSGSGAAAEFFRKLEGLPQPPSRARPEPVAPRALAAQRHAEREIDRQMQAGARARELARPLAPGPGPLNLVPRVRLEVLERGARGPSLGRSRRLSEERECSRRIEREIPTEM
+>sp|Q8IVT2|MISP_HUMAN Mitotic interactor and substrate of PLK1 OS=Homo sapiens OX=9606 GN=MISP PE=1 SV=1
+MDRVTRYPILGIPQAHRGTGLVLDGDTSYTYHLVCMGPEASGWGQDEPQTWPTDHRAQQGVQRQGVSYSVHAYTGQPSPRGLHSENREDEGWQVYRLGARDAHQGRPTWALRPEDGEDKEMKTYRLDAGDADPRRLCDLERERWAVIQGQAVRKSSTVATLQGTPDHGDPRTPGPPRSTPLEENVVDREQIDFLAARQQFLSLEQANKGAPHSSPARGTPAGTTPGASQAPKAFNKPHLANGHVVPIKPQVKGVVREENKVRAVPTWASVQVVDDPGSLASVESPGTPKETPIEREIRLAQEREADLREQRGLRQATDHQELVEIPTRPLLTKLSLITAPRRERGRPSLYVQRDIVQETQREEDHRREGLHVGRASTPDWVSEGPQPGLRRALSSDSILSPAPDARAADPAPEVRKVNRIPPDAYQPYLSPGTPQLEFSAFGAFGKPSSLSTAEAKAATSPKATMSPRHLSESSGKPLSTKQEASKPPRGCPQANRGVVRWEYFRLRPLRFRAPDEPQQAQVPHVWGWEVAGAPALRLQKSQSSDLLERERESVLRREQEVAEERRNALFPEVFSPTPDENSDQNSRSSSQASGITGSYSVSESPFFSPIHLHSNVAWTVEDPVDSAPPGQRKKEQWYAGINPSDGINSEVLEAIRVTRHKNAMAERWESRIYASEEDD
+>DECOY_sp|Q8IVT2|MISP_HUMAN Mitotic interactor and substrate of PLK1 OS=Homo sapiens OX=9606 GN=MISP PE=1 SV=1
+DDEESAYIRSEWREAMANKHRTVRIAELVESNIGDSPNIGAYWQEKKRQGPPASDVPDEVTWAVNSHLHIPSFFPSESVSYSGTIGSAQSSSRSNQDSNEDPTPSFVEPFLANRREEAVEQERRLVSERERELLDSSQSKQLRLAPAGAVEWGWVHPVQAQQPEDPARFRLPRLRFYEWRVVGRNAQPCGRPPKSAEQKTSLPKGSSESLHRPSMTAKPSTAAKAEATSLSSPKGFAGFASFELQPTGPSLYPQYADPPIRNVKRVEPAPDAARADPAPSLISDSSLARRLGPQPGESVWDPTSARGVHLGERRHDEERQTEQVIDRQVYLSPRGRERRPATILSLKTLLPRTPIEVLEQHDTAQRLGRQERLDAEREQALRIEREIPTEKPTGPSEVSALSGPDDVVQVSAWTPVARVKNEERVVGKVQPKIPVVHGNALHPKNFAKPAQSAGPTTGAPTGRAPSSHPAGKNAQELSLFQQRAALFDIQERDVVNEELPTSRPPGPTRPDGHDPTGQLTAVTSSKRVAQGQIVAWRERELDCLRRPDADGADLRYTKMEKDEGDEPRLAWTPRGQHADRAGLRYVQWGEDERNESHLGRPSPQGTYAHVSYSVGQRQVGQQARHDTPWTQPEDQGWGSAEPGMCVLHYTYSTDGDLVLGTGRHAQPIGLIPYRTVRDM
+>sp|Q8WV92|MITD1_HUMAN MIT domain-containing protein 1 OS=Homo sapiens OX=9606 GN=MITD1 PE=1 SV=1
+MAKSGLRQDPQSTAAATVLKRAVELDSESRYPQALVCYQEGIDLLLQVLKGTKDNTKRCNLREKISKYMDRAENIKKYLDQEKEDGKYHKQIKIEENATGFSYESLFREYLNETVTEVWIEDPYIRHTHQLYNFLRFCEMLIKRPCKVKTIHLLTSLDEGIEQVQQSRGLQEIEESLRSHGVLLEVQYSSSIHDREIRFNNGWMIKIGRGLDYFKKPQSRFSLGYCDFDLRPCHETTVDIFHKKHTKNI
+>DECOY_sp|Q8WV92|MITD1_HUMAN MIT domain-containing protein 1 OS=Homo sapiens OX=9606 GN=MITD1 PE=1 SV=1
+INKTHKKHFIDVTTEHCPRLDFDCYGLSFRSQPKKFYDLGRGIKIMWGNNFRIERDHISSSYQVELLVGHSRLSEEIEQLGRSQQVQEIGEDLSTLLHITKVKCPRKILMECFRLFNYLQHTHRIYPDEIWVETVTENLYERFLSEYSFGTANEEIKIQKHYKGDEKEQDLYKKINEARDMYKSIKERLNCRKTNDKTGKLVQLLLDIGEQYCVLAQPYRSESDLEVARKLVTAAATSQPDQRLGSKAM
+>sp|P27361|MK03_HUMAN Mitogen-activated protein kinase 3 OS=Homo sapiens OX=9606 GN=MAPK3 PE=1 SV=4
+MAAAAAQGGGGGEPRRTEGVGPGVPGEVEMVKGQPFDVGPRYTQLQYIGEGAYGMVSSAYDHVRKTRVAIKKISPFEHQTYCQRTLREIQILLRFRHENVIGIRDILRASTLEAMRDVYIVQDLMETDLYKLLKSQQLSNDHICYFLYQILRGLKYIHSANVLHRDLKPSNLLINTTCDLKICDFGLARIADPEHDHTGFLTEYVATRWYRAPEIMLNSKGYTKSIDIWSVGCILAEMLSNRPIFPGKHYLDQLNHILGILGSPSQEDLNCIINMKARNYLQSLPSKTKVAWAKLFPKSDSKALDLLDRMLTFNPNKRITVEEALAHPYLEQYYDPTDEPVAEEPFTFAMELDDLPKERLKELIFQETARFQPGVLEAP
+>DECOY_sp|P27361|MK03_HUMAN Mitogen-activated protein kinase 3 OS=Homo sapiens OX=9606 GN=MAPK3 PE=1 SV=4
+PAELVGPQFRATEQFILEKLREKPLDDLEMAFTFPEEAVPEDTPDYYQELYPHALAEEVTIRKNPNFTLMRDLLDLAKSDSKPFLKAWAVKTKSPLSQLYNRAKMNIICNLDEQSPSGLIGLIHNLQDLYHKGPFIPRNSLMEALICGVSWIDISKTYGKSNLMIEPARYWRTAVYETLFGTHDHEPDAIRALGFDCIKLDCTTNILLNSPKLDRHLVNASHIYKLGRLIQYLFYCIHDNSLQQSKLLKYLDTEMLDQVIYVDRMAELTSARLIDRIGIVNEHRFRLLIQIERLTRQCYTQHEFPSIKKIAVRTKRVHDYASSVMGYAGEGIYQLQTYRPGVDFPQGKVMEVEGPVGPGVGETRRPEGGGGGQAAAAAM
+>sp|Q8N8M0|NAT16_HUMAN Probable N-acetyltransferase 16 OS=Homo sapiens OX=9606 GN=NAT16 PE=2 SV=2
+MKLEASCGTATSEVPKPEKKTARDAEPSSETRPQEVEAEPRSGSGPEAEAEPLDFVVATEREFEEVLAISGGIYGGLDYLPSRYHSWLRDPDRTVVLAKRNGGVIALESVNVIDAGETVLVEGLRVAPWERGKGVAGLLQRFCSQLVKRQHPGVKVARLTRDDQLGPRELKKYRLITKQGILLVRFNASALLAGLGARLAALRTSGTFSPLPTEAVSEAGGDVARLLLSPSVQRDVLPGGTIIQDWQPYRPSESNLRLLAAKGLEWRVDSRARPRVLTLCTRPFPIPHGGDGTWRYLNIDAFGSDGAQVQSQLLWHLQRQAPRLVGLNVMCQLFLEPQLWSQLADFCQVGLGLELVKGYTEQYLLEADI
+>DECOY_sp|Q8N8M0|NAT16_HUMAN Probable N-acetyltransferase 16 OS=Homo sapiens OX=9606 GN=NAT16 PE=2 SV=2
+IDAELLYQETYGKVLELGLGVQCFDALQSWLQPELFLQCMVNLGVLRPAQRQLHWLLQSQVQAGDSGFADINLYRWTGDGGHPIPFPRTCLTLVRPRARSDVRWELGKAALLRLNSESPRYPQWDQIITGGPLVDRQVSPSLLLRAVDGGAESVAETPLPSFTGSTRLAALRAGLGALLASANFRVLLIGQKTILRYKKLERPGLQDDRTLRAVKVGPHQRKVLQSCFRQLLGAVGKGREWPAVRLGEVLVTEGADIVNVSELAIVGGNRKALVVTRDPDRLWSHYRSPLYDLGGYIGGSIALVEEFERETAVVFDLPEAEAEPGSGSRPEAEVEQPRTESSPEADRATKKEPKPVESTATGCSAELKM
+>sp|Q7L1T6|NB5R4_HUMAN Cytochrome b5 reductase 4 OS=Homo sapiens OX=9606 GN=CYB5R4 PE=1 SV=1
+MLNVPSQSFPAPRSQQRVASGGRSKVPLKQGRSLMDWIRLTKSGKDLTGLKGRLIEVTEEELKKHNKKDDCWICIRGFVYNVSPYMEYHPGGEDELMRAAGSDGTELFDQVHRWVNYESMLKECLVGRMAIKPAVLKDYREEEKKVLNGMLPKSQVTDTLAKEGPSYPSYDWFQTDSLVTIAIYTKQKDINLDSIIVDHQNDSFRAETIIKDCLYLIHIGLSHEVQEDFSVRVVESVGKIEIVLQKKENTSWDFLGHPLKNHNSLIPRKDTGLYYRKCQLISKEDVTHDTRLFCLMLPPSTHLQVPIGQHVYLKLPITGTEIVKPYTPVSGSLLSEFKEPVLPNNKYIYFLIKIYPTGLFTPELDRLQIGDFVSVSSPEGNFKISKFQELEDLFLLAAGTGFTPMVKILNYALTDIPSLRKVKLMFFNKTEDDIIWRSQLEKLAFKDKRLDVEFVLSAPISEWNGKQGHISPALLSEFLKRNLDKSKVLVCICGPVPFTEQGVRLLHDLNFSKNEIHSFTA
+>DECOY_sp|Q7L1T6|NB5R4_HUMAN Cytochrome b5 reductase 4 OS=Homo sapiens OX=9606 GN=CYB5R4 PE=1 SV=1
+ATFSHIENKSFNLDHLLRVGQETFPVPGCICVLVKSKDLNRKLFESLLAPSIHGQKGNWESIPASLVFEVDLRKDKFALKELQSRWIIDDETKNFFMLKVKRLSPIDTLAYNLIKVMPTFGTGAALLFLDELEQFKSIKFNGEPSSVSVFDGIQLRDLEPTFLGTPYIKILFYIYKNNPLVPEKFESLLSGSVPTYPKVIETGTIPLKLYVHQGIPVQLHTSPPLMLCFLRTDHTVDEKSILQCKRYYLGTDKRPILSNHNKLPHGLFDWSTNEKKQLVIEIKGVSEVVRVSFDEQVEHSLGIHILYLCDKIITEARFSDNQHDVIISDLNIDKQKTYIAITVLSDTQFWDYSPYSPGEKALTDTVQSKPLMGNLVKKEEERYDKLVAPKIAMRGVLCEKLMSEYNVWRHVQDFLETGDSGAARMLEDEGGPHYEMYPSVNYVFGRICIWCDDKKNHKKLEEETVEILRGKLGTLDKGSKTLRIWDMLSRGQKLPVKSRGGSAVRQQSRPAPFSQSPVNLM
+>sp|A2RRP1|NBAS_HUMAN Neuroblastoma-amplified sequence OS=Homo sapiens OX=9606 GN=NBAS PE=1 SV=2
+MAAPESGPALSPGTAEGEEETILYDLLVNTEWPPETEVQPRGNQKHGASFIITKAIRDRLLFLRQYIWYSPAPFLLPDGLVRLVNKQINWHLVLASNGKLLAAVQDQCVEIRSAKDDFTSIIGKCQVPKDPKPQWRRVAWSYDCTLLAYAESTGTVRVFDLMGSELFVISPASSFIGDLSYAIAGLIFLEYKASAQWSAELLVINYRGELRSYLVSVGTNQSYQESHCFSFSSHYPHGINTAIYHPGHRLLLVGGCETAEVGMSKASSCGLSAWRVLSGSPYYKQVTNGGDGVTAVPKTLGLLRMLSVKFYSRQGQEQDGIFKMSLSPDGMLLAAIHFSGKLSIWAIPSLKQQGEWGQNEQPGYDDLNPDWRLSTEKRKKIKDKESFYPLIDVNWWADSAVTLARCSGALTVSSVKTLKNLLGKSCEWFEPSPQVTATHDGGFLSLECEIKLAPKRSRLETRAGEEDEGEEDSDSDYEISAKARYFGYIKQGLYLVTEMERFAPPRKRPRTITKNYRLVSLRSTTPEELYQRKIESEEYEEALSLAHTYGLDTDLVYQRQWRKSAVNVASIQNYLSKIKKRSWVLHECLERVPENVDAAKELLQYGLKGTDLEALLAIGKGADDGRFTLPGEIDIDSISYEELSPPDEEPAKNKKEKELKKRQELLKLVNFSKLTLEQKELCRCRRKLLTYLDRLATYEEILGVPHASEQRYDAEFFKKFRNQNIVLSARTYAQESNVQALEILFTYHGSDLLPHRLAILSNFPETTSPHEYSVLLPEACFNGDSLMIIPWHEHKHRAKDWCEELACRMVVEPNLQDESEFLYAAQPELLRFRMTQLTVEKVMDWYQTRAEEIEHYARQVDCALSLIRLGMERNIPGLLVLCDNLVTLETLVYEARCDVTLTLKELQQMKDIEKLRLLMNSCSEDKYVTSAYQWMVPFLHRCEKQSPGVANELLKEYLVTLAKGDLKFPLKIFQHSKPDLQQKIIPDQDQLMAIALECIYTCERNDQLCLCYDLLECLPERGYGDKTEATTKLHDMVDQLEQILSVSELLEKHGLEKPISFVKNTQSSSEEARKLMVRLTRHTGRKQPPVSESHWRTLLQDMLTMQQNVYTCLDSDACYEIFTESLLCSSRLENIHLAGQMMHCSACSENPPAGIAHKGKPHYRVSYEKSIDLVLAASREYFNSSTNLTDSCMDLARCCLQLITDRPPAIQEELDLIQAVGCLEEFGVKILPLQVRLCPDRISLIKECISQSPTCYKQSTKLLGLAELLRVAGENPEERRGQVLILLVEQALRFHDYKAASMHCQELMATGYPKSWDVCSQLGQSEGYQDLATRQELMAFALTHCPPSSIELLLAASSSLQTEILYQRVNFQIHHEGGENISASPLTSKAVQEDEVGVPGSNSADLLRWTTATTMKVLSNTTTTTKAVLQAVSDGQWWKKSLTYLRPLQGQKCGGAYQIGTTANEDLEKQGCHPFYESVISNPFVAESEGTYDTYQHVPVESFAEVLLRTGKLAEAKNKGEVFPTTEVLLQLASEALPNDMTLALAYLLALPQVLDANRCFEKQSPSALSLQLAAYYYSLQIYARLAPCFRDKCHPLYRADPKELIKMVTRHVTRHEHEAWPEDLISLTKQLHCYNERLLDFTQAQILQGLRKGVDVQRFTADDQYKRETILGLAETLEESVYSIAISLAQRYSVSRWEVFMTHLEFLFTDSGLSTLEIENRAQDLHLFETLKTDPEAFHQHMVKYIYPTIGGFDHERLQYYFTLLENCGCADLGNCAIKPETHIRLLKKFKVVASGLNYKKLTDENMSPLEALEPVLSSQNILSISKLVPKIPEKDGQMLSPSSLYTIWLQKLFWTGDPHLIKQVPGSSPEWLHAYDVCMKYFDRLHPGDLITVVDAVTFSPKAVTKLSVEARKEMTRKAIKTVKHFIEKPRKRNSEDEAQEAKDSKVTYADTLNHLEKSLAHLETLSHSFILSLKNSEQETLQKYSHLYDLSRSEKEKLHDEAVAICLDGQPLAMIQQLLEVAVGPLDISPKDIVQSAIMKIISALSGGSADLGGPRDPLKVLEGVVAAVHASVDKGEELVSPEDLLEWLRPFCADDAWPVRPRIHVLQILGQSFHLTEEDSKLLVFFRTEAILKASWPQRQVDIADIENEENRYCLFMELLESSHHEAEFQHLVLLLQAWPPMKSEYVITNNPWVRLATVMLTRCTMENKEGLGNEVLKMCRSLYNTKQMLPAEGVKELCLLLLNQSLLLPSLKLLLESRDEHLHEMALEQITAVTTVNDSNCDQELLSLLLDAKLLVKCVSTPFYPRIVDHLLASLQQGRWDAEELGRHLREAGHEAEAGSLLLAVRGTHQAFRTFSTALRAAQHWV
+>DECOY_sp|A2RRP1|NBAS_HUMAN Neuroblastoma-amplified sequence OS=Homo sapiens OX=9606 GN=NBAS PE=1 SV=2
+VWHQAARLATSFTRFAQHTGRVALLLSGAEAEHGAERLHRGLEEADWRGQQLSALLHDVIRPYFPTSVCKVLLKADLLLSLLEQDCNSDNVTTVATIQELAMEHLHEDRSELLLKLSPLLLSQNLLLLCLEKVGEAPLMQKTNYLSRCMKLVENGLGEKNEMTCRTLMVTALRVWPNNTIVYESKMPPWAQLLLVLHQFEAEHHSSELLEMFLCYRNEENEIDAIDVQRQPWSAKLIAETRFFVLLKSDEETLHFSQGLIQLVHIRPRVPWADDACFPRLWELLDEPSVLEEGKDVSAHVAAVVGELVKLPDRPGGLDASGGSLASIIKMIASQVIDKPSIDLPGVAVELLQQIMALPQGDLCIAVAEDHLKEKESRSLDYLHSYKQLTEQESNKLSLIFSHSLTELHALSKELHNLTDAYTVKSDKAEQAEDESNRKRPKEIFHKVTKIAKRTMEKRAEVSLKTVAKPSFTVADVVTILDGPHLRDFYKMCVDYAHLWEPSSGPVQKILHPDGTWFLKQLWITYLSSPSLMQGDKEPIKPVLKSISLINQSSLVPELAELPSMNEDTLKKYNLGSAVVKFKKLLRIHTEPKIACNGLDACGCNELLTFYYQLREHDFGGITPYIYKVMHQHFAEPDTKLTEFLHLDQARNEIELTSLGSDTFLFELHTMFVEWRSVSYRQALSIAISYVSEELTEALGLITERKYQDDATFRQVDVGKRLGQLIQAQTFDLLRENYCHLQKTLSILDEPWAEHEHRTVHRTVMKILEKPDARYLPHCKDRFCPALRAYIQLSYYYAALQLSLASPSQKEFCRNADLVQPLALLYALALTMDNPLAESALQLLVETTPFVEGKNKAEALKGTRLLVEAFSEVPVHQYTDYTGESEAVFPNSIVSEYFPHCGQKELDENATTGIQYAGGCKQGQLPRLYTLSKKWWQGDSVAQLVAKTTTTTNSLVKMTTATTWRLLDASNSGPVGVEDEQVAKSTLPSASINEGGEHHIQFNVRQYLIETQLSSSAALLLEISSPPCHTLAFAMLEQRTALDQYGESQGLQSCVDWSKPYGTAMLEQCHMSAAKYDHFRLAQEVLLILVQGRREEPNEGAVRLLEALGLLKTSQKYCTPSQSICEKILSIRDPCLRVQLPLIKVGFEELCGVAQILDLEEQIAPPRDTILQLCCRALDMCSDTLNTSSNFYERSAALVLDISKEYSVRYHPKGKHAIGAPPNESCASCHMMQGALHINELRSSCLLSETFIEYCADSDLCTYVNQQMTLMDQLLTRWHSESVPPQKRGTHRTLRVMLKRAEESSSQTNKVFSIPKELGHKELLESVSLIQELQDVMDHLKTTAETKDGYGREPLCELLDYCLCLQDNRECTYICELAIAMLQDQDPIIKQQLDPKSHQFIKLPFKLDGKALTVLYEKLLENAVGPSQKECRHLFPVMWQYASTVYKDESCSNMLLRLKEIDKMQQLEKLTLTVDCRAEYVLTELTVLNDCLVLLGPINREMGLRILSLACDVQRAYHEIEEARTQYWDMVKEVTLQTMRFRLLEPQAAYLFESEDQLNPEVVMRCALEECWDKARHKHEHWPIIMLSDGNFCAEPLLVSYEHPSTTEPFNSLIALRHPLLDSGHYTFLIELAQVNSEQAYTRASLVINQNRFKKFFEADYRQESAHPVGLIEEYTALRDLYTLLKRRCRCLEKQELTLKSFNVLKLLEQRKKLEKEKKNKAPEEDPPSLEEYSISDIDIEGPLTFRGDDAGKGIALLAELDTGKLGYQLLEKAADVNEPVRELCEHLVWSRKKIKSLYNQISAVNVASKRWQRQYVLDTDLGYTHALSLAEEYEESEIKRQYLEEPTTSRLSVLRYNKTITRPRKRPPAFREMETVLYLGQKIYGFYRAKASIEYDSDSDEEGEDEEGARTELRSRKPALKIECELSLFGGDHTATVQPSPEFWECSKGLLNKLTKVSSVTLAGSCRALTVASDAWWNVDILPYFSEKDKIKKRKETSLRWDPNLDDYGPQENQGWEGQQKLSPIAWISLKGSFHIAALLMGDPSLSMKFIGDQEQGQRSYFKVSLMRLLGLTKPVATVGDGGNTVQKYYPSGSLVRWASLGCSSAKSMGVEATECGGVLLLRHGPHYIATNIGHPYHSSFSFCHSEQYSQNTGVSVLYSRLEGRYNIVLLEASWQASAKYELFILGAIAYSLDGIFSSAPSIVFLESGMLDFVRVTGTSEAYALLTCDYSWAVRRWQPKPDKPVQCKGIISTFDDKASRIEVCQDQVAALLKGNSALVLHWNIQKNVLRVLGDPLLFPAPSYWIYQRLFLLRDRIAKTIIFSAGHKQNGRPQVETEPPWETNVLLDYLITEEEGEATGPSLAPGSEPAAM
+>sp|Q9H094|NBPF3_HUMAN Neuroblastoma breakpoint family member 3 OS=Homo sapiens OX=9606 GN=NBPF3 PE=2 SV=1
+MPLTPTVQGFQWTLRGPDVETSPFGAPRAASHGVGRHQELRDPTVPGPTSSATNVSMVVSAGPWSGEKAEMNILEINKKSRPQLAENKQQFRNLKQKCLVTQVAYFLANRQNNYDYEDCKDLIKSMLRDERLLTEEKLAEELGQAEELRQYKVLVHSQERELTQLREKLQEGRDASRSLNQHLQALLTPDEPDNSQGRDLREQLAEGCRLAQHLVQKLSPENDDDEDEDVKVEEAEKVQELYAPREVQKAEEKEVPEDSLEECAITCSNSHHPCESNQPYGNTRITFEEDQVDSTLIDSSSHDEWLDAVCIIPENESDHEQEEEKGPVSPRNLQESEEEEAPQESWDEGDWTLSIPPDMSASYQSDRSTFHSVEEQQVGLALDIGRHWCDQVKKEDQEATSPRLSRELLDEKEPEVLQDSLDRFYSTPFEYLELPDLCQPYRSDFYSLQEQHLGLALDLDRMKKDQEEEEDQGPPCPRLSRELPEVVEPEDLQDSLDRWYSTPFSYPELPDSCQPYGSCFYSLEEEHVGFSLDVDEIEKYQEGEEDQKPPCPRLNEVLMEAEEPEVLQDSLDRCYSTTSTYFQLHASFQQYRSAFYSFEEQDVSLALDVDNRFFTLTVIRHHLAFQMGVIFPH
+>DECOY_sp|Q9H094|NBPF3_HUMAN Neuroblastoma breakpoint family member 3 OS=Homo sapiens OX=9606 GN=NBPF3 PE=2 SV=1
+HPFIVGMQFALHHRIVTLTFFRNDVDLALSVDQEEFSYFASRYQQFSAHLQFYTSTTSYCRDLSDQLVEPEEAEMLVENLRPCPPKQDEEGEQYKEIEDVDLSFGVHEEELSYFCSGYPQCSDPLEPYSFPTSYWRDLSDQLDEPEVVEPLERSLRPCPPGQDEEEEQDKKMRDLDLALGLHQEQLSYFDSRYPQCLDPLELYEFPTSYFRDLSDQLVEPEKEDLLERSLRPSTAEQDEKKVQDCWHRGIDLALGVQQEEVSHFTSRDSQYSASMDPPISLTWDGEDWSEQPAEEEESEQLNRPSVPGKEEEQEHDSENEPIICVADLWEDHSSSDILTSDVQDEEFTIRTNGYPQNSECPHHSNSCTIACEELSDEPVEKEEAKQVERPAYLEQVKEAEEVKVDEDEDDDNEPSLKQVLHQALRCGEALQERLDRGQSNDPEDPTLLAQLHQNLSRSADRGEQLKERLQTLEREQSHVLVKYQRLEEAQGLEEALKEETLLREDRLMSKILDKCDEYDYNNQRNALFYAVQTVLCKQKLNRFQQKNEALQPRSKKNIELINMEAKEGSWPGASVVMSVNTASSTPGPVTPDRLEQHRGVGHSAARPAGFPSTEVDPGRLTWQFGQVTPTLPM
+>sp|Q86T75|NBPFB_HUMAN Neuroblastoma breakpoint family member 11 OS=Homo sapiens OX=9606 GN=NBPF11 PE=2 SV=3
+MVVSAGPWSSEKAEMNILEINEKLRPQLAENKQQFRNLKERCFLTQLAGFLANRQKKYKYEECKDLIKFMLRNERQFKEEKLAEQLKQAEELRQYKVLVHSQERELTQLREKLREGRDASRSLNEHLQALLTPDEPDKSQGQDLQEQLAEGCRLAQHLVQKLSPENDEDEDEDVQVEEDEKVLESSAPREVQKAEESKVPEDSLEECAITCSNSHGPCDSIQPHKNIKITFEEDKVNSSLVVDRESSHDGCQDALNILPVPGPTSSATNVSMVVSAGPLSSEKAEMNILEINEKLCPQLAEKKQQFRSLKEKCFVTQVACFLAKQQNKYKYEECKDLIKSMLRNERQFKEEKLAEQLKQAEELRQYKVLVHSQERELTQLREKLREGRDASRSLNEHLQALLTPDEPDKSQGQDLQEQLAEGCRLAQHLVQKLSPENDNDDDEDVQVEVAEKVQKSSSPREMQKAEEKEVPEDSLEECAITCSNSHGPYDSNQPHRKTKITFEEDKVDSTLIGSSSHVEWEDAVHIIPENESDDEEEEEKGPVSPRNLQESEEEEVPQESWDEGYSTLSIPPERLASYQSYSSTFHSLEEQQVCMAVDIGRHRWDQVKKEDQEATGPRLSRELLDEKEPEVLQDSLDRCYSTPSVYLGLTDSCQPYRSAFYVLEQQRIGLAVDMDEIEKYQEVEEDQDPSCPRLSRELLAEKEPEVLQDSLDRCYSTPSGYLELPDLGQPYRSAVYSLEEQYLGLALDVDRIKKDQEEEEDQGPPCPRLSRELLEVVEPEVLQDSLDVIQLLPVVLNSLTPASPTEVPFMHWRKNMLAFLLTWEKLKRRGRGRKEGEEDQRRKEEGEEKKGKKIKTHHAPGSAAC
+>DECOY_sp|Q86T75|NBPFB_HUMAN Neuroblastoma breakpoint family member 11 OS=Homo sapiens OX=9606 GN=NBPF11 PE=2 SV=3
+CAASGPAHHTKIKKGKKEEGEEKRRQDEEGEKRGRGRRKLKEWTLLFALMNKRWHMFPVETPSAPTLSNLVVPLLQIVDLSDQLVEPEVVELLERSLRPCPPGQDEEEEQDKKIRDVDLALGLYQEELSYVASRYPQGLDPLELYGSPTSYCRDLSDQLVEPEKEALLERSLRPCSPDQDEEVEQYKEIEDMDVALGIRQQELVYFASRYPQCSDTLGLYVSPTSYCRDLSDQLVEPEKEDLLERSLRPGTAEQDEKKVQDWRHRGIDVAMCVQQEELSHFTSSYSQYSALREPPISLTSYGEDWSEQPVEEEESEQLNRPSVPGKEEEEEDDSENEPIIHVADEWEVHSSSGILTSDVKDEEFTIKTKRHPQNSDYPGHSNSCTIACEELSDEPVEKEEAKQMERPSSSKQVKEAVEVQVDEDDDNDNEPSLKQVLHQALRCGEALQEQLDQGQSKDPEDPTLLAQLHENLSRSADRGERLKERLQTLEREQSHVLVKYQRLEEAQKLQEALKEEKFQRENRLMSKILDKCEEYKYKNQQKALFCAVQTVFCKEKLSRFQQKKEALQPCLKENIELINMEAKESSLPGASVVMSVNTASSTPGPVPLINLADQCGDHSSERDVVLSSNVKDEEFTIKINKHPQISDCPGHSNSCTIACEELSDEPVKSEEAKQVERPASSELVKEDEEVQVDEDEDEDNEPSLKQVLHQALRCGEALQEQLDQGQSKDPEDPTLLAQLHENLSRSADRGERLKERLQTLEREQSHVLVKYQRLEEAQKLQEALKEEKFQRENRLMFKILDKCEEYKYKKQRNALFGALQTLFCREKLNRFQQKNEALQPRLKENIELINMEAKESSWPGASVVM
+>sp|Q53F19|NCBP3_HUMAN Nuclear cap-binding protein subunit 3 OS=Homo sapiens OX=9606 GN=NCBP3 PE=1 SV=2
+MAAVRGLRVSVKAEAPAGPALGLPSPEAESGVDRGEPEPMEVEEGELEIVPVRRSLKELIPDTSRRYENKAGSFITGIDVTSKEAIEKKEQRAKRFHFRSEVNLAQRNVALDRDMMKKAIPKVRLETIYICGVDEMSTQDVFSYFKEYPPAHIEWLDDTSCNVVWLDEMTATRALINMSSLPAQDKIRSRDASEDKSAEKRKKDKQEDSSDDDEAEEGEVEDENSSDVELDTLSQVEEESLLRNDLRPANKLAKGNRLFMRFATKDDKKELGAARRSQYYMKYGNPNYGGMKGILSNSWKRRYHSRRIQRDVIKKRALIGDDVGLTSYKHRHSGLVNVPEEPIEEEEEEEEEEEEEEEEDQDMDADDRVVVEYHEELPALKQPRERSASRRSSASSSDSDEMDYDLELKMISTPSPKKSMKMTMYADEVESQLKNIRNSMRADSVSSSNIKNRIGNKLPPEKFADVRHLLDEKRQHSRPRPPVSSTKSDIRQRLGKRPHSPEKAFSSNPVVRREPSSDVHSRLGVPRQDSKGLYADTREKKSGNLWTRLGSAPKTKEKNTKKVDHRAPGAEEDDSELQRAWGALIKEKEQSRQKKSRLDNLPSLQIEVSRESSSGSEAES
+>DECOY_sp|Q53F19|NCBP3_HUMAN Nuclear cap-binding protein subunit 3 OS=Homo sapiens OX=9606 GN=NCBP3 PE=1 SV=2
+SEAESGSSSERSVEIQLSPLNDLRSKKQRSQEKEKILAGWARQLESDDEEAGPARHDVKKTNKEKTKPASGLRTWLNGSKKERTDAYLGKSDQRPVGLRSHVDSSPERRVVPNSSFAKEPSHPRKGLRQRIDSKTSSVPPRPRSHQRKEDLLHRVDAFKEPPLKNGIRNKINSSSVSDARMSNRINKLQSEVEDAYMTMKMSKKPSPTSIMKLELDYDMEDSDSSSASSRRSASRERPQKLAPLEEHYEVVVRDDADMDQDEEEEEEEEEEEEEEEEIPEEPVNVLGSHRHKYSTLGVDDGILARKKIVDRQIRRSHYRRKWSNSLIGKMGGYNPNGYKMYYQSRRAAGLEKKDDKTAFRMFLRNGKALKNAPRLDNRLLSEEEVQSLTDLEVDSSNEDEVEGEEAEDDDSSDEQKDKKRKEASKDESADRSRIKDQAPLSSMNILARTATMEDLWVVNCSTDDLWEIHAPPYEKFYSFVDQTSMEDVGCIYITELRVKPIAKKMMDRDLAVNRQALNVESRFHFRKARQEKKEIAEKSTVDIGTIFSGAKNEYRRSTDPILEKLSRRVPVIELEGEEVEMPEPEGRDVGSEAEPSPLGLAPGAPAEAKVSVRLGRVAAM
+>sp|P19878|NCF2_HUMAN Neutrophil cytosol factor 2 OS=Homo sapiens OX=9606 GN=NCF2 PE=1 SV=2
+MSLVEAISLWNEGVLAADKKDWKGALDAFSAVQDPHSRICFNIGCMYTILKNMTEAEKAFTRSINRDKHLAVAYFQRGMLYYQTEKYDLAIKDLKEALIQLRGNQLIDYKILGLQFKLFACEVLYNIAFMYAKKEEWKKAEEQLALATSMKSEPRHSKIDKAMECVWKQKLYEPVVIPVGKLFRPNERQVAQLAKKDYLGKATVVASVVDQDSFSGFAPLQPQAAEPPPRPKTPEIFRALEGEAHRVLFGFVPETKEELQVMPGNIVFVLKKGNDNWATVMFNGQKGLVPCNYLEPVELRIHPQQQPQEESSPQSDIPAPPSSKAPGRPQLSPGQKQKEEPKEVKLSVPMPYTLKVHYKYTVVMKTQPGLPYSQVRDMVSKKLELRLEHTKLSYRPRDSNELVPLSEDSMKDAWGQVKNYCLTLWCENTVGDQGFPDEPKESEKADANNQTTEPQLKKGSQVEALFSYEATQPEDLEFQEGDIILVLSKVNEEWLEGECKGKVGIFPKVFVEDCATTDLESTRREV
+>DECOY_sp|P19878|NCF2_HUMAN Neutrophil cytosol factor 2 OS=Homo sapiens OX=9606 GN=NCF2 PE=1 SV=2
+VERRTSELDTTACDEVFVKPFIGVKGKCEGELWEENVKSLVLIIDGEQFELDEPQTAEYSFLAEVQSGKKLQPETTQNNADAKESEKPEDPFGQDGVTNECWLTLCYNKVQGWADKMSDESLPVLENSDRPRYSLKTHELRLELKKSVMDRVQSYPLGPQTKMVVTYKYHVKLTYPMPVSLKVEKPEEKQKQGPSLQPRGPAKSSPPAPIDSQPSSEEQPQQQPHIRLEVPELYNCPVLGKQGNFMVTAWNDNGKKLVFVINGPMVQLEEKTEPVFGFLVRHAEGELARFIEPTKPRPPPEAAQPQLPAFGSFSDQDVVSAVVTAKGLYDKKALQAVQRENPRFLKGVPIVVPEYLKQKWVCEMAKDIKSHRPESKMSTALALQEEAKKWEEKKAYMFAINYLVECAFLKFQLGLIKYDILQNGRLQILAEKLDKIALDYKETQYYLMGRQFYAVALHKDRNISRTFAKEAETMNKLITYMCGINFCIRSHPDQVASFADLAGKWDKKDAALVGENWLSIAEVLSM
+>sp|P16333|NCK1_HUMAN Cytoplasmic protein NCK1 OS=Homo sapiens OX=9606 GN=NCK1 PE=1 SV=1
+MAEEVVVVAKFDYVAQQEQELDIKKNERLWLLDDSKSWWRVRNSMNKTGFVPSNYVERKNSARKASIVKNLKDTLGIGKVKRKPSVPDSASPADDSFVDPGERLYDLNMPAYVKFNYMAEREDELSLIKGTKVIVMEKCSDGWWRGSYNGQVGWFPSNYVTEEGDSPLGDHVGSLSEKLAAVVNNLNTGQVLHVVQALYPFSSSNDEELNFEKGDVMDVIEKPENDPEWWKCRKINGMVGLVPKNYVTVMQNNPLTSGLEPSPPQCDYIRPSLTGKFAGNPWYYGKVTRHQAEMALNERGHEGDFLIRDSESSPNDFSVSLKAQGKNKHFKVQLKETVYCIGQRKFSTMEELVEHYKKAPIFTSEQGEKLYLVKHLS
+>DECOY_sp|P16333|NCK1_HUMAN Cytoplasmic protein NCK1 OS=Homo sapiens OX=9606 GN=NCK1 PE=1 SV=1
+SLHKVLYLKEGQESTFIPAKKYHEVLEEMTSFKRQGICYVTEKLQVKFHKNKGQAKLSVSFDNPSSESDRILFDGEHGRENLAMEAQHRTVKGYYWPNGAFKGTLSPRIYDCQPPSPELGSTLPNNQMVTVYNKPVLGVMGNIKRCKWWEPDNEPKEIVDMVDGKEFNLEEDNSSSFPYLAQVVHLVQGTNLNNVVAALKESLSGVHDGLPSDGEETVYNSPFWGVQGNYSGRWWGDSCKEMVIVKTGKILSLEDEREAMYNFKVYAPMNLDYLREGPDVFSDDAPSASDPVSPKRKVKGIGLTDKLNKVISAKRASNKREVYNSPVFGTKNMSNRVRWWSKSDDLLWLRENKKIDLEQEQQAVYDFKAVVVVEEAM
+>sp|Q6J4K2|NCLX_HUMAN Mitochondrial sodium/calcium exchanger protein OS=Homo sapiens OX=9606 GN=SLC8B1 PE=1 SV=2
+MAGRRLNLRWALSVLCVLLMAETVSGTRGSSTGAHISPQFPASGVNQTPVVDCRKVCGLNVSDRCDFIRTNPDCHSDGGYLDYLEGIFCHFPPSLLPLAVTLYVSWLLYLFLILGVTAAKFFCPNLSAISTTLKLSHNVAGVTFLAFGNGAPDIFSALVAFSDPHTAGLALGALFGAGVLVTTVVAGGITILHPFMAASRPFFRDIVFYMVAVFLTFLMLFRGRVTLAWALGYLGLYVFYVVTVILCTWIYQRQRRGSLFCPMPVTPEILSDSEEDRVSSNTNSYDYGDEYRPLFFYQETTAQILVRALNPLDYMKWRRKSAYWKALKVFKLPVEFLLLLTVPVVDPDKDDQNWKRPLNCLHLVISPLVVVLTLQSGTYGVYEIGGLVPVWVVVVIAGTALASVTFFATSDSQPPRLHWLFAFLGFLTSALWINAAATEVVNILRSLGVVFRLSNTVLGLTLLAWGNSIGDAFSDFTLARQGYPRMAFSACFGGIIFNILVGVGLGCLLQISRSHTEVKLEPDGLLVWVLAGALGLSLVFSLVSVPLQCFQLSRVYGFCLLLFYLNFLVVALLTEFGVIHLKSM
+>DECOY_sp|Q6J4K2|NCLX_HUMAN Mitochondrial sodium/calcium exchanger protein OS=Homo sapiens OX=9606 GN=SLC8B1 PE=1 SV=2
+MSKLHIVGFETLLAVVLFNLYFLLLCFGYVRSLQFCQLPVSVLSFVLSLGLAGALVWVLLGDPELKVETHSRSIQLLCGLGVGVLINFIIGGFCASFAMRPYGQRALTFDSFADGISNGWALLTLGLVTNSLRFVVGLSRLINVVETAAANIWLASTLFGLFAFLWHLRPPQSDSTAFFTVSALATGAIVVVVWVPVLGGIEYVGYTGSQLTLVVVLPSIVLHLCNLPRKWNQDDKDPDVVPVTLLLLFEVPLKFVKLAKWYASKRRWKMYDLPNLARVLIQATTEQYFFLPRYEDGYDYSNTNSSVRDEESDSLIEPTVPMPCFLSGRRQRQYIWTCLIVTVVYFVYLGLYGLAWALTVRGRFLMLFTLFVAVMYFVIDRFFPRSAAMFPHLITIGGAVVTTVLVGAGFLAGLALGATHPDSFAVLASFIDPAGNGFALFTVGAVNHSLKLTTSIASLNPCFFKAATVGLILFLYLLWSVYLTVALPLLSPPFHCFIGELYDLYGGDSHCDPNTRIFDCRDSVNLGCVKRCDVVPTQNVGSAPFQPSIHAGTSSGRTGSVTEAMLLVCLVSLAWRLNLRRGAM
+>sp|Q13772|NCOA4_HUMAN Nuclear receptor coactivator 4 OS=Homo sapiens OX=9606 GN=NCOA4 PE=1 SV=1
+MNTFQDQSGSSSNREPLLRCSDARRDLELAIGGVLRAEQQIKDNLREVKAQIHSCISRHLECLRSREVWLYEQVDLIYQLKEETLQQQAQQLYSLLGQFNCLTHQLECTQNKDLANQVSVCLERLGSLTLKPEDSTVLLFEADTITLRQTITTFGSLKTIQIPEHLMAHASSANIGPFLEKRGCISMPEQKSASGIVAVPFSEWLLGSKPASGYQAPYIPSTDPQDWLTQKQTLENSQTSSRACNFFNNVGGNLKGLENWLLKSEKSSYQKCNSHSTTSSFSIEMEKVGDQELPDQDEMDLSDWLVTPQESHKLRKPENGSRETSEKFKLLFQSYNVNDWLVKTDSCTNCQGNQPKGVEIENLGNLKCLNDHLEAKKPLSTPSMVTEDWLVQNHQDPCKVEEVCRANEPCTSFAECVCDENCEKEALYKWLLKKEGKDKNGMPVEPKPEPEKHKDSLNMWLCPRKEVIEQTKAPKAMTPSRIADSFQVIKNSPLSEWLIRPPYKEGSPKEVPGTEDRAGKQKFKSPMNTSWCSFNTADWVLPGKKMGNLSQLSSGEDKWLLRKKAQEVLLNSPLQEEHNFPPDHYGLPAVCDLFACMQLKVDKEKWLYRTPLQM
+>DECOY_sp|Q13772|NCOA4_HUMAN Nuclear receptor coactivator 4 OS=Homo sapiens OX=9606 GN=NCOA4 PE=1 SV=1
+MQLPTRYLWKEKDVKLQMCAFLDCVAPLGYHDPPFNHEEQLPSNLLVEQAKKRLLWKDEGSSLQSLNGMKKGPLVWDATNFSCWSTNMPSKFKQKGARDETGPVEKPSGEKYPPRILWESLPSNKIVQFSDAIRSPTMAKPAKTQEIVEKRPCLWMNLSDKHKEPEPKPEVPMGNKDKGEKKLLWKYLAEKECNEDCVCEAFSTCPENARCVEEVKCPDQHNQVLWDETVMSPTSLPKKAELHDNLCKLNGLNEIEVGKPQNGQCNTCSDTKVLWDNVNYSQFLLKFKESTERSGNEPKRLKHSEQPTVLWDSLDMEDQDPLEQDGVKEMEISFSSTTSHSNCKQYSSKESKLLWNELGKLNGGVNNFFNCARSSTQSNELTQKQTLWDQPDTSPIYPAQYGSAPKSGLLWESFPVAVIGSASKQEPMSICGRKELFPGINASSAHAMLHEPIQITKLSGFTTITQRLTITDAEFLLVTSDEPKLTLSGLRELCVSVQNALDKNQTCELQHTLCNFQGLLSYLQQAQQQLTEEKLQYILDVQEYLWVERSRLCELHRSICSHIQAKVERLNDKIQQEARLVGGIALELDRRADSCRLLPERNSSSGSQDQFTNM
+>sp|Q14686|NCOA6_HUMAN Nuclear receptor coactivator 6 OS=Homo sapiens OX=9606 GN=NCOA6 PE=1 SV=3
+MVLDDLPNLEDIYTSLCSSTMEDSEMDFDSGLEDDDTKSDSILEDSTIFVAFKGNIDDKDFKWKLDAILKNVPNLLHMESSKLKVQKVEPWNSVRVTFNIPREAAERLRILAQSNNQQLRDLGILSVQIEGEGAINLALAQNRSQDVRMNGPMGAGNSVRMEAGFPMASGPGIIRMNNPATVMIPPGGNVSSSMMAPGPNPELQPRTPRPASQSDAMDPLLSGLHIQQQSHPSGSLAPPHHPMQPVSVNRQMNPANFPQLQQQQQQQQQQQQQQQQQQQQQQQQQLQARPPQQHQQQQPQGIRPQFTAPTQVPVPPGWNQLPSGALQPPPAQGSLGTMTANQGWKKAPLPGPMQQQLQARPSLATVQTPSHPPPPYPFGSQQASQAHTNFPQMSNPGQFTAPQMKSLQGGPSRVPTPLQQPHLTNKSPASSPSSFQQGSPASSPTVNQTQQQMGPRPPQNNPLPQGFQQPVSSPGRNPMVQQGNVPPNFMVMQQQPPNQGPQSLHPGLGGMPKRLPPGFSAGQANPNFMQGQVPSTTATTPGNSGAPQLQANQNVQHAGGQGAGPPQNQMQVSHGPPNMMQPSLMGIHGNMNNQQAGTSGVPQVNLSNMQGQPQQGPPSQLMGMHQQIVPSQGQMVQQQGTLNPQNPMILSRAQLMPQGQMMVNPPSQNLGPSPQRMTPPKQMLSQQGPQMMAPHNQMMGPQGQVLLQQNPMIEQIMTNQMQGNKQQFNTQNQSNVMPGPAQIMRGPTPNMQGNMVQFTGQMSGQMLPQQGPVNNSPSQVMGIQGQVLRPPGPSPHMAQQHGDPATTANNDVSLSQMMPDVSIQQTNMVPPHVQAMQGNSASGNHFSGHGMSFNAPFSGAPNGNQMSCGQNPGFPVNKDVTLTSPLLVNLLQSDISAGHFGVNNKQNNTNANKPKKKKPPRKKKNSQQDLNTPDTRPAGLEEADQPPLPGEQGINLDNSGPKLPEFSNRPPGYPSQPVEQRPLQQMPPQLMQHVAPPPQPPQQQPQPQLPQQQQPPPPSQPQSQQQQQQQQQMMMMLMMQQDPKSVRLPVSQNVHPPRGPLNPDSQRMPMQQSGSVPVMVSLQGPASVPPSPDKQRMPMPVNTPLGSNSRKMVYQESPQNPSSSPLAEMASLPEASGSEAPSVPGGPNNMPSHVVLPQNQLMMTGPKPGPSPLSATQGATPQQPPVNSLPSSHGHHFPNVAAPTQTSRPKTPNRASPRPYYPQTPNNRPPSTEPSEISLSPERLNASIAGLFPPQINIPLPPRPNLNRGFDQQGLNPTTLKAIGQAPSNLTMNPSNFATPQTHKLDSVVVNSGKQSNSGATKRASPSNSRRSSPGSSRKTTPSPGRQNSKAPKLTLASQTNAALLQNVELPRNVLVSPTPLANPPVPGSFPNNSGLNPQNSTVSVAAVGGVVEDNKESLNVPQDSDCQNSQSRKEQVNIELKAVPAQEVKMVVPEDQSKKDGQPSDPNKLPSVEENKNLVSPAMREAPTSLSQLLDNSGAPNVTIKPPGLTDLEVTPPVVSGEDLKKASVIPTLQDLSSSKEPSNSLNLPHSNELCSSLVHPELSEVSSNVAPSIPPVMSRPVSSSSISTPLPPNQITVFVTSNPITTSANTSAALPTHLQSALMSTVVTMPNAGSKVMVSEGQSAAQSNARPQFITPVFINSSSIIQVMKGSQPSTIPAAPLTTNSGLMPPSVAVVGPLHIPQNIKFSSAPVPPNALSSSPAPNIQTGRPLVLSSRATPVQLPSPPCTSSPVVPSHPPVQQVKELNPDEASPQVNTSADQNTLPSSQSTTMVSPLLTNSPGSSGNRRSPVSSSKGKGKVDKIGQILLTKACKKVTGSLEKGEEQYGADGETEGQGLDTTAPGLMGTEQLSTELDSKTPTPPAPTLLKMTSSPVGPGTASAGPSLPGGALPTSVRSIVTTLVPSELISAVPTTKSNHGGIASESLAGGLVEEKVGSHPELLPSIAPSQNLVSKETSTTALQASVARPELEVNAAIVSGQSSEPKEIVEKSKIPGRRNSRTEEPTVASESVENGHRKRSSRPASASSSTKDITSAVQSKRRKSK
+>DECOY_sp|Q14686|NCOA6_HUMAN Nuclear receptor coactivator 6 OS=Homo sapiens OX=9606 GN=NCOA6 PE=1 SV=3
+KSKRRKSQVASTIDKTSSSASAPRSSRKRHGNEVSESAVTPEETRSNRRGPIKSKEVIEKPESSQGSVIAANVELEPRAVSAQLATTSTEKSVLNQSPAISPLLEPHSGVKEEVLGGALSESAIGGHNSKTTPVASILESPVLTTVISRVSTPLAGGPLSPGASATGPGVPSSTMKLLTPAPPTPTKSDLETSLQETGMLGPATTDLGQGETEGDAGYQEEGKELSGTVKKCAKTLLIQGIKDVKGKGKSSSVPSRRNGSSGPSNTLLPSVMTTSQSSPLTNQDASTNVQPSAEDPNLEKVQQVPPHSPVVPSSTCPPSPLQVPTARSSLVLPRGTQINPAPSSSLANPPVPASSFKINQPIHLPGVVAVSPPMLGSNTTLPAAPITSPQSGKMVQIISSSNIFVPTIFQPRANSQAASQGESVMVKSGANPMTVVTSMLASQLHTPLAASTNASTTIPNSTVFVTIQNPPLPTSISSSSVPRSMVPPISPAVNSSVESLEPHVLSSCLENSHPLNLSNSPEKSSSLDQLTPIVSAKKLDEGSVVPPTVELDTLGPPKITVNPAGSNDLLQSLSTPAERMAPSVLNKNEEVSPLKNPDSPQGDKKSQDEPVVMKVEQAPVAKLEINVQEKRSQSNQCDSDQPVNLSEKNDEVVGGVAAVSVTSNQPNLGSNNPFSGPVPPNALPTPSVLVNRPLEVNQLLAANTQSALTLKPAKSNQRGPSPTTKRSSGPSSRRSNSPSARKTAGSNSQKGSNVVVSDLKHTQPTAFNSPNMTLNSPAQGIAKLTTPNLGQQDFGRNLNPRPPLPINIQPPFLGAISANLREPSLSIESPETSPPRNNPTQPYYPRPSARNPTKPRSTQTPAAVNPFHHGHSSPLSNVPPQQPTAGQTASLPSPGPKPGTMMLQNQPLVVHSPMNNPGGPVSPAESGSAEPLSAMEALPSSSPNQPSEQYVMKRSNSGLPTNVPMPMRQKDPSPPVSAPGQLSVMVPVSGSQQMPMRQSDPNLPGRPPHVNQSVPLRVSKPDQQMMLMMMMQQQQQQQQQSQPQSPPPPQQQQPLQPQPQQQPPQPPPAVHQMLQPPMQQLPRQEVPQSPYGPPRNSFEPLKPGSNDLNIGQEGPLPPQDAEELGAPRTDPTNLDQQSNKKKRPPKKKKPKNANTNNQKNNVGFHGASIDSQLLNVLLPSTLTVDKNVPFGPNQGCSMQNGNPAGSFPANFSMGHGSFHNGSASNGQMAQVHPPVMNTQQISVDPMMQSLSVDNNATTAPDGHQQAMHPSPGPPRLVQGQIGMVQSPSNNVPGQQPLMQGSMQGTFQVMNGQMNPTPGRMIQAPGPMVNSQNQTNFQQKNGQMQNTMIQEIMPNQQLLVQGQPGMMQNHPAMMQPGQQSLMQKPPTMRQPSPGLNQSPPNVMMQGQPMLQARSLIMPNQPNLTGQQQVMQGQSPVIQQHMGMLQSPPGQQPQGQMNSLNVQPVGSTGAQQNNMNGHIGMLSPQMMNPPGHSVQMQNQPPGAGQGGAHQVNQNAQLQPAGSNGPTTATTSPVQGQMFNPNAQGASFGPPLRKPMGGLGPHLSQPGQNPPQQQMVMFNPPVNGQQVMPNRGPSSVPQQFGQPLPNNQPPRPGMQQQTQNVTPSSAPSGQQFSSPSSAPSKNTLHPQQLPTPVRSPGGQLSKMQPATFQGPNSMQPFNTHAQSAQQSGFPYPPPPHSPTQVTALSPRAQLQQQMPGPLPAKKWGQNATMTGLSGQAPPPQLAGSPLQNWGPPVPVQTPATFQPRIGQPQQQQHQQPPRAQLQQQQQQQQQQQQQQQQQQQQQQQQQLQPFNAPNMQRNVSVPQMPHHPPALSGSPHSQQQIHLGSLLPDMADSQSAPRPTRPQLEPNPGPAMMSSSVNGGPPIMVTAPNNMRIIGPGSAMPFGAEMRVSNGAGMPGNMRVDQSRNQALALNIAGEGEIQVSLIGLDRLQQNNSQALIRLREAAERPINFTVRVSNWPEVKQVKLKSSEMHLLNPVNKLIADLKWKFDKDDINGKFAVFITSDELISDSKTDDDELGSDFDMESDEMTSSCLSTYIDELNPLDDLVM
+>sp|Q9Y618|NCOR2_HUMAN Nuclear receptor corepressor 2 OS=Homo sapiens OX=9606 GN=NCOR2 PE=1 SV=2
+MSGSTQPVAQTWRATEPRYPPHSLSYPVQIARTHTDVGLLEYQHHSRDYASHLSPGSIIQPQRRRPSLLSEFQPGNERSQELHLRPESHSYLPELGKSEMEFIESKRPRLELLPDPLLRPSPLLATGQPAGSEDLTKDRSLTGKLEPVSPPSPPHTDPELELVPPRLSKEELIQNMDRVDREITMVEQQISKLKKKQQQLEEEAAKPPEPEKPVSPPPIESKHRSLVQIIYDENRKKAEAAHRILEGLGPQVELPLYNQPSDTRQYHENIKINQAMRKKLILYFKRRNHARKQWEQKFCQRYDQLMEAWEKKVERIENNPRRRAKESKVREYYEKQFPEIRKQRELQERMQSRVGQRGSGLSMSAARSEHEVSEIIDGLSEQENLEKQMRQLAVIPPMLYDADQQRIKFINMNGLMADPMKVYKDRQVMNMWSEQEKETFREKFMQHPKNFGLIASFLERKTVAECVLYYYLTKKNENYKSLVRRSYRRRGKSQQQQQQQQQQQQQQQQQPMPRSSQEEKDEKEKEKEAEKEEEKPEVENDKEDLLKEKTDDTSGEDNDEKEAVASKGRKTANSQGRRKGRITRSMANEANSEEAITPQQSAELASMELNESSRWTEEEMETAKKGLLEHGRNWSAIARMVGSKTVSQCKNFYFNYKKRQNLDEILQQHKLKMEKERNARRKKKKAPAAASEEAAFPPVVEDEEMEASGVSGNEEEMVEEAEALHASGNEVPRGECSGPATVNNSSDTESIPSPHTEAAKDTGQNGPKPPATLGADGPPPGPPTPPPEDIPAPTEPTPASEATGAPTPPPAPPSPSAPPPVVPKEEKEEETAAAPPVEEGEEQKPPAAEELAVDTGKAEEPVKSECTEEAEEGPAKGKDAEAAEATAEGALKAEKKEGGSGRATTAKSSGAPQDSDSSATCSADEVDEAEGGDKNRLLSPRPSLLTPTGDPRANASPQKPLDLKQLKQRAAAIPPIQVTKVHEPPREDAAPTKPAPPAPPPPQNLQPESDAPQQPGSSPRGKSRSPAPPADKEAEKPVFFPAFAAEAQKLPGDPPCWTSGLPFPVPPREVIKASPHAPDPSAFSYAPPGHPLPLGLHDTARPVLPRPPTISNPPPLISSAKHPSVLERQIGAISQGMSVQLHVPYSEHAKAPVGPVTMGLPLPMDPKKLAPFSGVKQEQLSPRGQAGPPESLGVPTAQEASVLRGTALGSVPGGSITKGIPSTRVPSDSAITYRGSITHGTPADVLYKGTITRIIGEDSPSRLDRGREDSLPKGHVIYEGKKGHVLSYEGGMSVTQCSKEDGRSSSGPPHETAAPKRTYDMMEGRVGRAISSASIEGLMGRAIPPERHSPHHLKEQHHIRGSITQGIPRSYVEAQEDYLRREAKLLKREGTPPPPPPSRDLTEAYKTQALGPLKLKPAHEGLVATVKEAGRSIHEIPREELRHTPELPLAPRPLKEGSITQGTPLKYDTGASTTGSKKHDVRSLIGSPGRTFPPVHPLDVMADARALERACYEESLKSRPGTASSSGGSIARGAPVIVPELGKPRQSPLTYEDHGAPFAGHLPRGSPVTTREPTPRLQEGSLSSSKASQDRKLTSTPREIAKSPHSTVPEHHPHPISPYEHLLRGVSGVDLYRSHIPLAFDPTSIPRGIPLDAAAAYYLPRHLAPNPTYPHLYPPYLIRGYPDTAALENRQTIINDYITSQQMHHNAATAMAQRADMLRGLSPRESSLALNYAAGPRGIIDLSQVPHLPVLVPPTPGTPATAMDRLAYLPTAPQPFSSRHSSSPLSPGGPTHLTKPTTTSSSERERDRDRERDRDREREKSILTSTTTVEHAPIWRPGTEQSSGSSGSSGGGGGSSSRPASHSHAHQHSPISPRTQDALQQRPSVLHNTGMKGIITAVEPSTPTVLRSTSTSSPVRPAATFPPATHCPLGGTLDGVYPTLMEPVLLPKEAPRVARPERPRADTGHAFLAKPPARSGLEPASSPSKGSEPRPLVPPVSGHATIARTPAKNLAPHHASPDPPAPPASASDPHREKTQSKPFSIQELELRSLGYHGSSYSPEGVEPVSPVSSPSLTHDKGLPKHLEELDKSHLEGELRPKQPGPVKLGGEAAHLPHLRPLPESQPSSSPLLQTAPGVKGHQRVVTLAQHISEVITQDYTRHHPQQLSAPLPAPLYSFPGASCPVLDLRRPPSDLYLPPPDHGAPARGSPHSEGGKRSPEPNKTSVLGGGEDGIEPVSPPEGMTEPGHSRSAVYPLLYRDGEQTEPSRMGSKSPGNTSQPPAFFSKLTESNSAMVKSKKQEINKKLNTHNRNEPEYNISQPGTEIFNMPAITGTGLMTYRSQAVQEHASTNMGLEAIIRKALMGKYDQWEESPPLSANAFNPLNASASLPAAMPITAADGRSDHTLTSPGGGGKAKVSGRPSSRKAKSPAPGLASGDRPPSVSSVHSEGDCNRRTPLTNRVWEDRPSSAGSTPFPYNPLIMRLQAGVMASPPPPGLPAGSGPLAGPHHAWDEEPKPLLCSQYETLSDSE
+>DECOY_sp|Q9Y618|NCOR2_HUMAN Nuclear receptor corepressor 2 OS=Homo sapiens OX=9606 GN=NCOR2 PE=1 SV=2
+ESDSLTEYQSCLLPKPEEDWAHHPGALPGSGAPLGPPPPSAMVGAQLRMILPNYPFPTSGASSPRDEWVRNTLPTRRNCDGESHVSSVSPPRDGSALGPAPSKAKRSSPRGSVKAKGGGGPSTLTHDSRGDAATIPMAAPLSASANLPNFANASLPPSEEWQDYKGMLAKRIIAELGMNTSAHEQVAQSRYTMLGTGTIAPMNFIETGPQSINYEPENRNHTNLKKNIEQKKSKVMASNSETLKSFFAPPQSTNGPSKSGMRSPETQEGDRYLLPYVASRSHGPETMGEPPSVPEIGDEGGGLVSTKNPEPSRKGGESHPSGRAPAGHDPPPLYLDSPPRRLDLVPCSAGPFSYLPAPLPASLQQPHHRTYDQTIVESIHQALTVVRQHGKVGPATQLLPSSSPQSEPLPRLHPLHAAEGGLKVPGPQKPRLEGELHSKDLEELHKPLGKDHTLSPSSVPSVPEVGEPSYSSGHYGLSRLELEQISFPKSQTKERHPDSASAPPAPPDPSAHHPALNKAPTRAITAHGSVPPVLPRPESGKSPSSAPELGSRAPPKALFAHGTDARPREPRAVRPAEKPLLVPEMLTPYVGDLTGGLPCHTAPPFTAAPRVPSSTSTSRLVTPTSPEVATIIGKMGTNHLVSPRQQLADQTRPSIPSHQHAHSHSAPRSSSGGGGGSSGSSGSSQETGPRWIPAHEVTTTSTLISKERERDRDRERDRDRERESSSTTTPKTLHTPGGPSLPSSSHRSSFPQPATPLYALRDMATAPTGPTPPVLVPLHPVQSLDIIGRPGAAYNLALSSERPSLGRLMDARQAMATAANHHMQQSTIYDNIITQRNELAATDPYGRILYPPYLHPYTPNPALHRPLYYAAAADLPIGRPISTPDFALPIHSRYLDVGSVGRLLHEYPSIPHPHHEPVTSHPSKAIERPTSTLKRDQSAKSSSLSGEQLRPTPERTTVPSGRPLHGAFPAGHDEYTLPSQRPKGLEPVIVPAGRAISGGSSSATGPRSKLSEEYCARELARADAMVDLPHVPPFTRGPSGILSRVDHKKSGTTSAGTDYKLPTGQTISGEKLPRPALPLEPTHRLEERPIEHISRGAEKVTAVLGEHAPKLKLPGLAQTKYAETLDRSPPPPPPTGERKLLKAERRLYDEQAEVYSRPIGQTISGRIHHQEKLHHPSHREPPIARGMLGEISASSIARGVRGEMMDYTRKPAATEHPPGSSSRGDEKSCQTVSMGGEYSLVHGKKGEYIVHGKPLSDERGRDLRSPSDEGIIRTITGKYLVDAPTGHTISGRYTIASDSPVRTSPIGKTISGGPVSGLATGRLVSAEQATPVGLSEPPGAQGRPSLQEQKVGSFPALKKPDMPLPLGMTVPGVPAKAHESYPVHLQVSMGQSIAGIQRELVSPHKASSILPPPNSITPPRPLVPRATDHLGLPLPHGPPAYSFASPDPAHPSAKIVERPPVPFPLGSTWCPPDGPLKQAEAAFAPFFVPKEAEKDAPPAPSRSKGRPSSGPQQPADSEPQLNQPPPPAPPAPKTPAADERPPEHVKTVQIPPIAAARQKLQKLDLPKQPSANARPDGTPTLLSPRPSLLRNKDGGEAEDVEDASCTASSDSDQPAGSSKATTARGSGGEKKEAKLAGEATAEAAEADKGKAPGEEAEETCESKVPEEAKGTDVALEEAAPPKQEEGEEVPPAAATEEEKEEKPVVPPPASPSPPAPPPTPAGTAESAPTPETPAPIDEPPPTPPGPPPGDAGLTAPPKPGNQGTDKAAETHPSPISETDSSNNVTAPGSCEGRPVENGSAHLAEAEEVMEEENGSVGSAEMEEDEVVPPFAAEESAAAPAKKKKRRANREKEMKLKHQQLIEDLNQRKKYNFYFNKCQSVTKSGVMRAIASWNRGHELLGKKATEMEEETWRSSENLEMSALEASQQPTIAEESNAENAMSRTIRGKRRGQSNATKRGKSAVAEKEDNDEGSTDDTKEKLLDEKDNEVEPKEEEKEAEKEKEKEDKEEQSSRPMPQQQQQQQQQQQQQQQQQSKGRRRYSRRVLSKYNENKKTLYYYLVCEAVTKRELFSAILGFNKPHQMFKERFTEKEQESWMNMVQRDKYVKMPDAMLGNMNIFKIRQQDADYLMPPIVALQRMQKELNEQESLGDIIESVEHESRAASMSLGSGRQGVRSQMREQLERQKRIEPFQKEYYERVKSEKARRRPNNEIREVKKEWAEMLQDYRQCFKQEWQKRAHNRRKFYLILKKRMAQNIKINEHYQRTDSPQNYLPLEVQPGLGELIRHAAEAKKRNEDYIIQVLSRHKSEIPPPSVPKEPEPPKAAEEELQQQKKKLKSIQQEVMTIERDVRDMNQILEEKSLRPPVLELEPDTHPPSPPSVPELKGTLSRDKTLDESGAPQGTALLPSPRLLPDPLLELRPRKSEIFEMESKGLEPLYSHSEPRLHLEQSRENGPQFESLLSPRRRQPQIISGPSLHSAYDRSHHQYELLGVDTHTRAIQVPYSLSHPPYRPETARWTQAVPQTSGSM
+>sp|P16435|NCPR_HUMAN NADPH--cytochrome P450 reductase OS=Homo sapiens OX=9606 GN=POR PE=1 SV=2
+MGDSHVDTSSTVSEAVAEEVSLFSMTDMILFSLIVGLLTYWFLFRKKKEEVPEFTKIQTLTSSVRESSFVEKMKKTGRNIIVFYGSQTGTAEEFANRLSKDAHRYGMRGMSADPEEYDLADLSSLPEIDNALVVFCMATYGEGDPTDNAQDFYDWLQETDVDLSGVKFAVFGLGNKTYEHFNAMGKYVDKRLEQLGAQRIFELGLGDDDGNLEEDFITWREQFWPAVCEHFGVEATGEESSIRQYELVVHTDIDAAKVYMGEMGRLKSYENQKPPFDAKNPFLAAVTTNRKLNQGTERHLMHLELDISDSKIRYESGDHVAVYPANDSALVNQLGKILGADLDVVMSLNNLDEESNKKHPFPCPTSYRTALTYYLDITNPPRTNVLYELAQYASEPSEQELLRKMASSSGEGKELYLSWVVEARRHILAILQDCPSLRPPIDHLCELLPRLQARYYSIASSSKVHPNSVHICAVVVEYETKAGRINKGVATNWLRAKEPAGENGGRALVPMFVRKSQFRLPFKATTPVIMVGPGTGVAPFIGFIQERAWLRQQGKEVGETLLYYGCRRSDEDYLYREELAQFHRDGALTQLNVAFSREQSHKVYVQHLLKQDREHLWKLIEGGAHIYVCGDARNMARDVQNTFYDIVAELGAMEHAQAVDYIKKLMTKGRYSLDVWS
+>DECOY_sp|P16435|NCPR_HUMAN NADPH--cytochrome P450 reductase OS=Homo sapiens OX=9606 GN=POR PE=1 SV=2
+SWVDLSYRGKTMLKKIYDVAQAHEMAGLEAVIDYFTNQVDRAMNRADGCVYIHAGGEILKWLHERDQKLLHQVYVKHSQERSFAVNLQTLAGDRHFQALEERYLYDEDSRRCGYYLLTEGVEKGQQRLWAREQIFGIFPAVGTGPGVMIVPTTAKFPLRFQSKRVFMPVLARGGNEGAPEKARLWNTAVGKNIRGAKTEYEVVVACIHVSNPHVKSSSAISYYRAQLRPLLECLHDIPPRLSPCDQLIALIHRRAEVVWSLYLEKGEGSSSAMKRLLEQESPESAYQALEYLVNTRPPNTIDLYYTLATRYSTPCPFPHKKNSEEDLNNLSMVVDLDAGLIKGLQNVLASDNAPYVAVHDGSEYRIKSDSIDLELHMLHRETGQNLKRNTTVAALFPNKADFPPKQNEYSKLRGMEGMYVKAADIDTHVVLEYQRISSEEGTAEVGFHECVAPWFQERWTIFDEELNGDDDGLGLEFIRQAGLQELRKDVYKGMANFHEYTKNGLGFVAFKVGSLDVDTEQLWDYFDQANDTPDGEGYTAMCFVVLANDIEPLSSLDALDYEEPDASMGRMGYRHADKSLRNAFEEATGTQSGYFVIINRGTKKMKEVFSSERVSSTLTQIKTFEPVEEKKKRFLFWYTLLGVILSFLIMDTMSFLSVEEAVAESVTSSTDVHSDGM
+>sp|O95944|NCTR2_HUMAN Natural cytotoxicity triggering receptor 2 OS=Homo sapiens OX=9606 GN=NCR2 PE=1 SV=2
+MAWRALHPLLLLLLLFPGSQAQSKAQVLQSVAGQTLTVRCQYPPTGSLYEKKGWCKEASALVCIRLVTSSKPRTMAWTSRFTIWDDPDAGFFTVTMTDLREEDSGHYWCRIYRPSDNSVSKSVRFYLVVSPASASTQTSWTPRDLVSSQTQTQSCVPPTAGARQAPESPSTIPVPSQPQNSTLRPGPAAPIALVPVFCGLLVAKSLVLSALLVWWGDIWWKTMMELRSLDTQKATCHLQQVTDLPWTSVSSPVEREILYHTVARTKISDDDDEHTL
+>DECOY_sp|O95944|NCTR2_HUMAN Natural cytotoxicity triggering receptor 2 OS=Homo sapiens OX=9606 GN=NCR2 PE=1 SV=2
+LTHEDDDDSIKTRAVTHYLIEREVPSSVSTWPLDTVQQLHCTAKQTDLSRLEMMTKWWIDGWWVLLASLVLSKAVLLGCFVPVLAIPAAPGPRLTSNQPQSPVPITSPSEPAQRAGATPPVCSQTQTQSSVLDRPTWSTQTSASAPSVVLYFRVSKSVSNDSPRYIRCWYHGSDEERLDTMTVTFFGADPDDWITFRSTWAMTRPKSSTVLRICVLASAEKCWGKKEYLSGTPPYQCRVTLTQGAVSQLVQAKSQAQSGPFLLLLLLLPHLARWAM
+>sp|P15531|NDKA_HUMAN Nucleoside diphosphate kinase A OS=Homo sapiens OX=9606 GN=NME1 PE=1 SV=1
+MANCERTFIAIKPDGVQRGLVGEIIKRFEQKGFRLVGLKFMQASEDLLKEHYVDLKDRPFFAGLVKYMHSGPVVAMVWEGLNVVKTGRVMLGETNPADSKPGTIRGDFCIQVGRNIIHGSDSVESAEKEIGLWFHPEELVDYTSCAQNWIYE
+>DECOY_sp|P15531|NDKA_HUMAN Nucleoside diphosphate kinase A OS=Homo sapiens OX=9606 GN=NME1 PE=1 SV=1
+EYIWNQACSTYDVLEEPHFWLGIEKEASEVSDSGHIINRGVQICFDGRITGPKSDAPNTEGLMVRGTKVVNLGEWVMAVVPGSHMYKVLGAFFPRDKLDVYHEKLLDESAQMFKLGVLRFGKQEFRKIIEGVLGRQVGDPKIAIFTRECNAM
+>sp|P01185|NEU2_HUMAN Vasopressin-neurophysin 2-copeptin OS=Homo sapiens OX=9606 GN=AVP PE=1 SV=2
+MPDTMLPACFLGLLAFSSACYFQNCPRGGKRAMSDLELRQCLPCGPGGKGRCFGPSICCADELGCFVGTAEALRCQEENYLPSPCQSGQKACGSGGRCAAFGVCCNDESCVTEPECREGFHRRARASDRSNATQLDGPAGALLLRLVQLAGAPEPFEPAQPDAY
+>DECOY_sp|P01185|NEU2_HUMAN Vasopressin-neurophysin 2-copeptin OS=Homo sapiens OX=9606 GN=AVP PE=1 SV=2
+YADPQAPEFPEPAGALQVLRLLLAGAPGDLQTANSRDSARARRHFGERCEPETVCSEDNCCVGFAACRGGSGCAKQGSQCPSPLYNEEQCRLAEATGVFCGLEDACCISPGFCRGKGGPGCPLCQRLELDSMARKGGRPCNQFYCASSFALLGLFCAPLMTDPM
+>sp|Q99519|NEUR1_HUMAN Sialidase-1 OS=Homo sapiens OX=9606 GN=NEU1 PE=1 SV=1
+MTGERPSTALPDRRWGPRILGFWGGCRVWVFAAIFLLLSLAASWSKAENDFGLVQPLVTMEQLLWVSGRQIGSVDTFRIPLITATPRGTLLAFAEARKMSSSDEGAKFIALRRSMDQGSTWSPTAFIVNDGDVPDGLNLGAVVSDVETGVVFLFYSLCAHKAGCQVASTMLVWSKDDGVSWSTPRNLSLDIGTEVFAPGPGSGIQKQREPRKGRLIVCGHGTLERDGVFCLLSDDHGASWRYGSGVSGIPYGQPKQENDFNPDECQPYELPDGSVVINARNQNNYHCHCRIVLRSYDACDTLRPRDVTFDPELVDPVVAAGAVVTSSGIVFFSNPAHPEFRVNLTLRWSFSNGTSWRKETVQLWPGPSGYSSLATLEGSMDGEEQAPQLYVLYEKGRNHYTESISVAKISVYGTL
+>DECOY_sp|Q99519|NEUR1_HUMAN Sialidase-1 OS=Homo sapiens OX=9606 GN=NEU1 PE=1 SV=1
+LTGYVSIKAVSISETYHNRGKEYLVYLQPAQEEGDMSGELTALSSYGSPGPWLQVTEKRWSTGNSFSWRLTLNVRFEPHAPNSFFVIGSSTVVAGAAVVPDVLEPDFTVDRPRLTDCADYSRLVIRCHCHYNNQNRANIVVSGDPLEYPQCEDPNFDNEQKPQGYPIGSVGSGYRWSAGHDDSLLCFVGDRELTGHGCVILRGKRPERQKQIGSGPGPAFVETGIDLSLNRPTSWSVGDDKSWVLMTSAVQCGAKHACLSYFLFVVGTEVDSVVAGLNLGDPVDGDNVIFATPSWTSGQDMSRRLAIFKAGEDSSSMKRAEAFALLTGRPTATILPIRFTDVSGIQRGSVWLLQEMTVLPQVLGFDNEAKSWSAALSLLLFIAAFVWVRCGGWFGLIRPGWRRDPLATSPREGTM
+>sp|Q8WWR8|NEUR4_HUMAN Sialidase-4 OS=Homo sapiens OX=9606 GN=NEU4 PE=1 SV=3
+MGVPRTPSRTVLFERERTGLTYRVPSLLPVPPGPTLLAFVEQRLSPDDSHAHRLVLRRGTLAGGSVRWGALHVLGTAALAEHRSMNPCPVHDAGTGTVFLFFIAVLGHTPEAVQIATGRNAARLCCVASRDAGLSWGSARDLTEEAIGGAVQDWATFAVGPGHGVQLPSGRLLVPAYTYRVDRRECFGKICRTSPHSFAFYSDDHGRTWRCGGLVPNLRSGECQLAAVDGGQAGSFLYCNARSPLGSRVQALSTDEGTSFLPAERVASLPETAWGCQGSIVGFPAPAPNRPRDDSWSVGPGSPLQPPLLGPGVHEPPEEAAVDPRGGQVPGGPFSRLQPRGDGPRQPGPRPGVSGDVGSWTLALPMPFAAPPQSPTWLLYSHPVGRRARLHMGIRLSQSPLDPRSWTEPWVIYEGPSGYSDLASIGPAPEGGLVFACLYESGARTSYDEISFCTFSLREVLENVPASPKPPNLGDKPRGCCWPS
+>DECOY_sp|Q8WWR8|NEUR4_HUMAN Sialidase-4 OS=Homo sapiens OX=9606 GN=NEU4 PE=1 SV=3
+SPWCCGRPKDGLNPPKPSAPVNELVERLSFTCFSIEDYSTRAGSEYLCAFVLGGEPAPGISALDSYGSPGEYIVWPETWSRPDLPSQSLRIGMHLRARRGVPHSYLLWTPSQPPAAFPMPLALTWSGVDGSVGPRPGPQRPGDGRPQLRSFPGGPVQGGRPDVAAEEPPEHVGPGLLPPQLPSGPGVSWSDDRPRNPAPAPFGVISGQCGWATEPLSAVREAPLFSTGEDTSLAQVRSGLPSRANCYLFSGAQGGDVAALQCEGSRLNPVLGGCRWTRGHDDSYFAFSHPSTRCIKGFCERRDVRYTYAPVLLRGSPLQVGHGPGVAFTAWDQVAGGIAEETLDRASGWSLGADRSAVCCLRAANRGTAIQVAEPTHGLVAIFFLFVTGTGADHVPCPNMSRHEALAATGLVHLAGWRVSGGALTGRRLVLRHAHSDDPSLRQEVFALLTPGPPVPLLSPVRYTLGTREREFLVTRSPTRPVGM
+>sp|Q99574|NEUS_HUMAN Neuroserpin OS=Homo sapiens OX=9606 GN=SERPINI1 PE=1 SV=1
+MAFLGLFSLLVLQSMATGATFPEEAIADLSVNMYNRLRATGEDENILFSPLSIALAMGMMELGAQGSTQKEIRHSMGYDSLKNGEEFSFLKEFSNMVTAKESQYVMKIANSLFVQNGFHVNEEFLQMMKKYFNAAVNHVDFSQNVAVANYINKWVENNTNNLVKDLVSPRDFDAATYLALINAVYFKGNWKSQFRPENTRTFSFTKDDESEVQIPMMYQQGEFYYGEFSDGSNEAGGIYQVLEIPYEGDEISMMLVLSRQEVPLATLEPLVKAQLVEEWANSVKKQKVEVYLPRFTVEQEIDLKDVLKALGITEIFIKDANLTGLSDNKEIFLSKAIHKSFLEVNEEGSEAAAVSGMIAISRMAVLYPQVIVDHPFFFLIRNRRTGTILFMGRVMHPETMNTSGHDFEEL
+>DECOY_sp|Q99574|NEUS_HUMAN Neuroserpin OS=Homo sapiens OX=9606 GN=SERPINI1 PE=1 SV=1
+LEEFDHGSTNMTEPHMVRGMFLITGTRRNRILFFFPHDVIVQPYLVAMRSIAIMGSVAAAESGEENVELFSKHIAKSLFIEKNDSLGTLNADKIFIETIGLAKLVDKLDIEQEVTFRPLYVEVKQKKVSNAWEEVLQAKVLPELTALPVEQRSLVLMMSIEDGEYPIELVQYIGGAENSGDSFEGYYFEGQQYMMPIQVESEDDKTFSFTRTNEPRFQSKWNGKFYVANILALYTAADFDRPSVLDKVLNNTNNEVWKNIYNAVAVNQSFDVHNVAANFYKKMMQLFEENVHFGNQVFLSNAIKMVYQSEKATVMNSFEKLFSFEEGNKLSDYGMSHRIEKQTSGQAGLEMMGMALAISLPSFLINEDEGTARLRNYMNVSLDAIAEEPFTAGTAMSQLVLLSFLGLFAM
+>sp|Q14494|NF2L1_HUMAN Endoplasmic reticulum membrane sensor NFE2L1 OS=Homo sapiens OX=9606 GN=NFE2L1 PE=1 SV=1
+MLSLKKYLTEGLLQFTILLSLIGVRVDVDTYLTSQLPPLREIILGPSSAYTQTQFHNLRNTLDGYGIHPKSIDLDNYFTARRLLSQVRALDRFQVPTTEVNAWLVHRDPEGSVSGSQPNSGLALESSSGLQDVTGPDNGVRESETEQGFGEDLEDLGAVAPPVSGDLTKEDIDLIDILWRQDIDLGAGREVFDYSHRQKEQDVEKELRDGGEQDTWAGEGAEALARNLLVDGETGESFPAQVPSGEDQTALSLEECLRLLEATCPFGENAEFPADISSITEAVPSESEPPALQNNLLSPLLTGTESPFDLEQQWQDLMSIMEMQAMEVNTSASEILYSAPPGDPLSTNYSLAPNTPINQNVSLHQASLGGCSQDFLLFSPEVESLPVASSSTLLPLAPSNSTSLNSTFGSTNLTGLFFPPQLNGTANDTAGPELPDPLGGLLDEAMLDEISLMDLAIEEGFNPVQASQLEEEFDSDSGLSLDSSHSPSSLSSSEGSSSSSSSSSSSSSSASSSASSSFSEEGAVGYSSDSETLDLEEAEGAVGYQPEYSKFCRMSYQDPAQLSCLPYLEHVGHNHTYNMAPSALDSADLPPPSALKKGSKEKQADFLDKQMSRDEHRARAMKIPFTNDKIINLPVEEFNELLSKYQLSEAQLSLIRDIRRRGKNKMAAQNCRKRKLDTILNLERDVEDLQRDKARLLREKVEFLRSLRQMKQKVQSLYQEVFGRLRDENGRPYSPSQYALQYAGDGSVLLIPRTMADQQARRQERKPKDRRK
+>DECOY_sp|Q14494|NF2L1_HUMAN Endoplasmic reticulum membrane sensor NFE2L1 OS=Homo sapiens OX=9606 GN=NFE2L1 PE=1 SV=1
+KRRDKPKREQRRAQQDAMTRPILLVSGDGAYQLAYQSPSYPRGNEDRLRGFVEQYLSQVKQKMQRLSRLFEVKERLLRAKDRQLDEVDRELNLITDLKRKRCNQAAMKNKGRRRIDRILSLQAESLQYKSLLENFEEVPLNIIKDNTFPIKMARARHEDRSMQKDLFDAQKEKSGKKLASPPPLDASDLASPAMNYTHNHGVHELYPLCSLQAPDQYSMRCFKSYEPQYGVAGEAEELDLTESDSSYGVAGEESFSSSASSSASSSSSSSSSSSSSSGESSSLSSPSHSSDLSLGSDSDFEEELQSAQVPNFGEEIALDMLSIEDLMAEDLLGGLPDPLEPGATDNATGNLQPPFFLGTLNTSGFTSNLSTSNSPALPLLTSSSAVPLSEVEPSFLLFDQSCGGLSAQHLSVNQNIPTNPALSYNTSLPDGPPASYLIESASTNVEMAQMEMISMLDQWQQELDFPSETGTLLPSLLNNQLAPPESESPVAETISSIDAPFEANEGFPCTAELLRLCEELSLATQDEGSPVQAPFSEGTEGDVLLNRALAEAGEGAWTDQEGGDRLEKEVDQEKQRHSYDFVERGAGLDIDQRWLIDILDIDEKTLDGSVPPAVAGLDELDEGFGQETESERVGNDPGTVDQLGSSSELALGSNPQSGSVSGEPDRHVLWANVETTPVQFRDLARVQSLLRRATFYNDLDISKPHIGYGDLTNRLNHFQTQTYASSPGLIIERLPPLQSTLYTDVDVRVGILSLLITFQLLGETLYKKLSLM
+>sp|Q9H2A3|NGN2_HUMAN Neurogenin-2 OS=Homo sapiens OX=9606 GN=NEUROG2 PE=2 SV=2
+MFVKSETLELKEEEDVLVLLGSASPALAALTPLSSSADEEEEEEPGASGGARRQRGAEAGQGARGGVAAGAEGCRPARLLGLVHDCKRRPSRARAVSRGAKTAETVQRIKKTRRLKANNRERNRMHNLNAALDALREVLPTFPEDAKLTKIETLRFAHNYIWALTETLRLADHCGGGGGGLPGALFSEAVLLSPGGASAALSSSGDSPSPASTWSCTNSPAPSSSVSSNSTSPYSCTLSPASPAGSDMDYWQPPPPDKHRYAPHLPIARDCI
+>DECOY_sp|Q9H2A3|NGN2_HUMAN Neurogenin-2 OS=Homo sapiens OX=9606 GN=NEUROG2 PE=2 SV=2
+ICDRAIPLHPAYRHKDPPPPQWYDMDSGAPSAPSLTCSYPSTSNSSVSSSPAPSNTCSWTSAPSPSDGSSSLAASAGGPSLLVAESFLAGPLGGGGGGCHDALRLTETLAWIYNHAFRLTEIKTLKADEPFTPLVERLADLAANLNHMRNRERNNAKLRRTKKIRQVTEATKAGRSVARARSPRRKCDHVLGLLRAPRCGEAGAAVGGRAGQGAEAGRQRRAGGSAGPEEEEEEDASSSLPTLAALAPSASGLLVLVDEEEKLELTESKVFM
+>sp|Q9Y4Z2|NGN3_HUMAN Neurogenin-3 OS=Homo sapiens OX=9606 GN=NEUROG3 PE=1 SV=2
+MTPQPSGAPTVQVTRETERSFPRASEDEVTCPTSAPPSPTRTRGNCAEAEEGGCRGAPRKLRARRGGRSRPKSELALSKQRRSRRKKANDRERNRMHNLNSALDALRGVLPTFPDDAKLTKIETLRFAHNYIWALTQTLRIADHSLYALEPPAPHCGELGSPGGSPGDWGSLYSPVSQAGSLSPAASLEERPGLLGATFSACLSPGSLAFSDFL
+>DECOY_sp|Q9Y4Z2|NGN3_HUMAN Neurogenin-3 OS=Homo sapiens OX=9606 GN=NEUROG3 PE=1 SV=2
+LFDSFALSGPSLCASFTAGLLGPREELSAAPSLSGAQSVPSYLSGWDGPSGGPSGLEGCHPAPPELAYLSHDAIRLTQTLAWIYNHAFRLTEIKTLKADDPFTPLVGRLADLASNLNHMRNRERDNAKKRRSRRQKSLALESKPRSRGGRRARLKRPAGRCGGEEAEACNGRTRTPSPPASTPCTVEDESARPFSRETERTVQVTPAGSPQPTM
+>sp|Q9H9Q4|NHEJ1_HUMAN Non-homologous end-joining factor 1 OS=Homo sapiens OX=9606 GN=NHEJ1 PE=1 SV=1
+MEELEQGLLMQPWAWLQLAENSLLAKVFITKQGYALLVSDLQQVWHEQVDTSVVSQRAKELNKRLTAPPAAFLCHLDNLLRPLLKDAAHPSEATFSCDCVADALILRVRSELSGLPFYWNFHCMLASPSLVSQHLIRPLMGMSLALQCQVRELATLLHMKDLEIQDYQESGATLIRDRLKTEPFEENSFLEQFMIEKLPEACSIGDGKPFVMNLQDLYMAVTTQEVQVGQKHQGAGDPHTSNSASLQGIDSQCVNQPEQLVSSAPTLSAPEKESTGTSGPLQRPQLSKVKRKKPRGLFS
+>DECOY_sp|Q9H9Q4|NHEJ1_HUMAN Non-homologous end-joining factor 1 OS=Homo sapiens OX=9606 GN=NHEJ1 PE=1 SV=1
+SFLGRPKKRKVKSLQPRQLPGSTGTSEKEPASLTPASSVLQEPQNVCQSDIGQLSASNSTHPDGAGQHKQGVQVEQTTVAMYLDQLNMVFPKGDGISCAEPLKEIMFQELFSNEEFPETKLRDRILTAGSEQYDQIELDKMHLLTALERVQCQLALSMGMLPRILHQSVLSPSALMCHFNWYFPLGSLESRVRLILADAVCDCSFTAESPHAADKLLPRLLNDLHCLFAAPPATLRKNLEKARQSVVSTDVQEHWVQQLDSVLLAYGQKTIFVKALLSNEALQLWAWPQMLLGQELEEM
+>sp|Q9BPW8|NIPS1_HUMAN Protein NipSnap homolog 1 OS=Homo sapiens OX=9606 GN=NIPSNAP1 PE=1 SV=1
+MAPRLCSISVTARRLLGGPGPRAGDVASAAAARFYSKDNEGSWFRSLFVHKVDPRKDAHSTLLSKKETSNLYKIQFHNVKPEYLDAYNSLTEAVLPKLHLDEDYPCSLVGNWNTWYGEQDQAVHLWRFSGGYPALMDCMNKLKNNKEYLEFRRERSQMLLSRRNQLLLEFSFWNEPQPRMGPNIYELRTYKLKPGTMIEWGNNWARAIKYRQENQEAVGGFFSQIGELYVVHHLWAYKDLQSREETRNAAWRKRGWDENVYYTVPLVRHMESRIMIPLKISPLQ
+>DECOY_sp|Q9BPW8|NIPS1_HUMAN Protein NipSnap homolog 1 OS=Homo sapiens OX=9606 GN=NIPSNAP1 PE=1 SV=1
+QLPSIKLPIMIRSEMHRVLPVTYYVNEDWGRKRWAANRTEERSQLDKYAWLHHVVYLEGIQSFFGGVAEQNEQRYKIARAWNNGWEIMTGPKLKYTRLEYINPGMRPQPENWFSFELLLQNRRSLLMQSRERRFELYEKNNKLKNMCDMLAPYGGSFRWLHVAQDQEGYWTNWNGVLSCPYDEDLHLKPLVAETLSNYADLYEPKVNHFQIKYLNSTEKKSLLTSHADKRPDVKHVFLSRFWSGENDKSYFRAAAASAVDGARPGPGGLLRRATVSISCLRPAM
+>sp|Q86X76|NIT1_HUMAN Deaminated glutathione amidase OS=Homo sapiens OX=9606 GN=NIT1 PE=1 SV=2
+MLGFITRPPHRFLSLLCPGLRIPQLSVLCAQPRPRAMAISSSSCELPLVAVCQVTSTPDKQQNFKTCAELVREAARLGACLAFLPEAFDFIARDPAETLHLSEPLGGKLLEEYTQLARECGLWLSLGGFHERGQDWEQTQKIYNCHVLLNSKGAVVATYRKTHLCDVEIPGQGPMCESNSTMPGPSLESPVSTPAGKIGLAVCYDMRFPELSLALAQAGAEILTYPSAFGSITGPAHWEVLLRARAIETQCYVVAAAQCGRHHEKRASYGHSMVVDPWGTVVARCSEGPGLCLARIDLNYLRQLRRHLPVFQHRRPDLYGNLGHPLS
+>DECOY_sp|Q86X76|NIT1_HUMAN Deaminated glutathione amidase OS=Homo sapiens OX=9606 GN=NIT1 PE=1 SV=2
+SLPHGLNGYLDPRRHQFVPLHRRLQRLYNLDIRALCLGPGESCRAVVTGWPDVVMSHGYSARKEHHRGCQAAAVVYCQTEIARARLLVEWHAPGTISGFASPYTLIEAGAQALALSLEPFRMDYCVALGIKGAPTSVPSELSPGPMTSNSECMPGQGPIEVDCLHTKRYTAVVAGKSNLLVHCNYIKQTQEWDQGREHFGGLSLWLGCERALQTYEELLKGGLPESLHLTEAPDRAIFDFAEPLFALCAGLRAAERVLEACTKFNQQKDPTSTVQCVAVLPLECSSSSIAMARPRPQACLVSLQPIRLGPCLLSLFRHPPRTIFGLM
+>sp|P52952|NKX25_HUMAN Homeobox protein Nkx-2.5 OS=Homo sapiens OX=9606 GN=NKX2-5 PE=1 SV=1
+MFPSPALTPTPFSVKDILNLEQQQRSLAAAGELSARLEATLAPSSCMLAAFKPEAYAGPEAAAPGLPELRAELGRAPSPAKCASAFPAAPAFYPRAYSDPDPAKDPRAEKKELCALQKAVELEKTEADNAERPRARRRRKPRVLFSQAQVYELERRFKQQRYLSAPERDQLASVLKLTSTQVKIWFQNRRYKCKRQRQDQTLELVGLPPPPPPPARRIAVPVLVRDGKPCLGDSAPYAPAYGVGLNPYGYNAYPAYPGYGGAACSPGYSCTAAYPAGPSPAQPATAAANNNFVNFGVGDLNAVQSPGIPQSNSGVSTLHGIRAW
+>DECOY_sp|P52952|NKX25_HUMAN Homeobox protein Nkx-2.5 OS=Homo sapiens OX=9606 GN=NKX2-5 PE=1 SV=1
+WARIGHLTSVGSNSQPIGPSQVANLDGVGFNVFNNNAAATAPQAPSPGAPYAATCSYGPSCAAGGYGPYAPYANYGYPNLGVGYAPAYPASDGLCPKGDRVLVPVAIRRAPPPPPPPLGVLELTQDQRQRKCKYRRNQFWIKVQTSTLKLVSALQDREPASLYRQQKFRRELEYVQAQSFLVRPKRRRRARPREANDAETKELEVAKQLACLEKKEARPDKAPDPDSYARPYFAPAAPFASACKAPSPARGLEARLEPLGPAAAEPGAYAEPKFAALMCSSPALTAELRASLEGAAALSRQQQELNLIDKVSFPTPTLAPSPFM
+>sp|Q9NPP4|NLRC4_HUMAN NLR family CARD domain-containing protein 4 OS=Homo sapiens OX=9606 GN=NLRC4 PE=1 SV=2
+MNFIKDNSRALIQRMGMTVIKQITDDLFVWNVLNREEVNIICCEKVEQDAARGIIHMILKKGSESCNLFLKSLKEWNYPLFQDLNGQSLFHQTSEGDLDDLAQDLKDLYHTPSFLNFYPLGEDIDIIFNLKSTFTEPVLWRKDQHHHRVEQLTLNGLLQALQSPCIIEGESGKGKSTLLQRIAMLWGSGKCKALTKFKFVFFLRLSRAQGGLFETLCDQLLDIPGTIRKQTFMAMLLKLRQRVLFLLDGYNEFKPQNCPEIEALIKENHRFKNMVIVTTTTECLRHIRQFGALTAEVGDMTEDSAQALIREVLIKELAEGLLLQIQKSRCLRNLMKTPLFVVITCAIQMGESEFHSHTQTTLFHTFYDLLIQKNKHKHKGVAASDFIRSLDHCGDLALEGVFSHKFDFELQDVSSVNEDVLLTTGLLCKYTAQRFKPKYKFFHKSFQEYTAGRRLSSLLTSHEPEEVTKGNGYLQKMVSISDITSTYSSLLRYTCGSSVEATRAVMKHLAAVYQHGCLLGLSIAKRPLWRQESLQSVKNTTEQEILKAININSFVECGIHLYQESTSKSALSQEFEAFFQGKSLYINSGNIPDYLFDFFEHLPNCASALDFIKLDFYGGAMASWEKAAEDTGGIHMEEAPETYIPSRAVSLFFNWKQEFRTLEVTLRDFSKLNKQDIRYLGKIFSSATSLRLQIKRCAGVAGSLSLVLSTCKNIYSLMVEASPLTIEDERHITSVTNLKTLSIHDLQNQRLPGGLTDSLGNLKNLTKLIMDNIKMNEEDAIKLAEGLKNLKKMCLFHLTHLSDIGEGMDYIVKSLSSEPCDLEEIQLVSCCLSANAVKILAQNLHNLVKLSILDLSENYLEKDGNEALHELIDRMNVLEQLTALMLPWGCDVQGSLSSLLKHLEEVPQLVKLGLKNWRLTDTEIRILGAFFGKNPLKNFQQLNLAGNRVSSDGWLAFMGVFENLKQLVFFDFSTKEFLPDPALVRKLSQVLSKLTFLQEARLVGWQFDDDDLSVITGAFKLVTA
+>DECOY_sp|Q9NPP4|NLRC4_HUMAN NLR family CARD domain-containing protein 4 OS=Homo sapiens OX=9606 GN=NLRC4 PE=1 SV=2
+ATVLKFAGTIVSLDDDDFQWGVLRAEQLFTLKSLVQSLKRVLAPDPLFEKTSFDFFVLQKLNEFVGMFALWGDSSVRNGALNLQQFNKLPNKGFFAGLIRIETDTLRWNKLGLKVLQPVEELHKLLSSLSGQVDCGWPLMLATLQELVNMRDILEHLAENGDKELYNESLDLISLKVLNHLNQALIKVANASLCCSVLQIEELDCPESSLSKVIYDMGEGIDSLHTLHFLCMKKLNKLGEALKIADEENMKINDMILKTLNKLNGLSDTLGGPLRQNQLDHISLTKLNTVSTIHREDEITLPSAEVMLSYINKCTSLVLSLSGAVGACRKIQLRLSTASSFIKGLYRIDQKNLKSFDRLTVELTRFEQKWNFFLSVARSPIYTEPAEEMHIGGTDEAAKEWSAMAGGYFDLKIFDLASACNPLHEFFDFLYDPINGSNIYLSKGQFFAEFEQSLASKSTSEQYLHIGCEVFSNINIAKLIEQETTNKVSQLSEQRWLPRKAISLGLLCGHQYVAALHKMVARTAEVSSGCTYRLLSSYTSTIDSISVMKQLYGNGKTVEEPEHSTLLSSLRRGATYEQFSKHFFKYKPKFRQATYKCLLGTTLLVDENVSSVDQLEFDFKHSFVGELALDGCHDLSRIFDSAAVGKHKHKNKQILLDYFTHFLTTQTHSHFESEGMQIACTIVVFLPTKMLNRLCRSKQIQLLLGEALEKILVERILAQASDETMDGVEATLAGFQRIHRLCETTTTVIVMNKFRHNEKILAEIEPCNQPKFENYGDLLFLVRQRLKLLMAMFTQKRITGPIDLLQDCLTEFLGGQARSLRLFFVFKFKTLAKCKGSGWLMAIRQLLTSKGKGSEGEIICPSQLAQLLGNLTLQEVRHHHQDKRWLVPETFTSKLNFIIDIDEGLPYFNLFSPTHYLDKLDQALDDLDGESTQHFLSQGNLDQFLPYNWEKLSKLFLNCSESGKKLIMHIIGRAADQEVKECCIINVEERNLVNWVFLDDTIQKIVTMGMRQILARSNDKIFNM
+>sp|Q86WI3|NLRC5_HUMAN Protein NLRC5 OS=Homo sapiens OX=9606 GN=NLRC5 PE=1 SV=3
+MDPVGLQLGNKNLWSCLVRLLTKDPEWLNAKMKFFLPNTDLDSRNETLDPEQRVILQLNKLHVQGSDTWQSFIHCVCMQLEVPLDLEVLLLSTFGYDDGFTSQLGAEGKSQPESQLHHGLKRPHQSCGSSPRRKQCKKQQLELAKKYLQLLRTSAQQRYRSQIPGSGQPHAFHQVYVPPILRRATASLDTPEGAIMGDVKVEDGADVSISDLFNTRVNKGPRVTVLLGKAGMGKTTLAHRLCQKWAEGHLNCFQALFLFEFRQLNLITRFLTPSELLFDLYLSPESDHDTVFQYLEKNADQVLLIFDGLDEALQPMGPDGPGPVLTLFSHLCNGTLLPGCRVMATSRPGKLPACLPAEAAMVHMLGFDGPRVEEYVNHFFSAQPSREGALVELQTNGRLRSLCAVPALCQVACLCLHHLLPDHAPGQSVALLPNMTQLYMQMVLALSPPGHLPTSSLLDLGEVALRGLETGKVIFYAKDIAPPLIAFGATHSLLTSFCVCTGPGHQQTGYAFTHLSLQEFLAALHLMASPKVNKDTLTQYVTLHSRWVQRTKARLGLSDHLPTFLAGLASCTCRPFLSHLAQGNEDCVGAKQAAVVQVLKKLATRKLTGPKVVELCHCVDETQEPELASLTAQSLPYQLPFHNFPLTCTDLATLTNILEHREAPIHLDFDGCPLEPHCPEALVGCGQIENLSFKSRKCGDAFAEALSRSLPTMGRLQMLGLAGSKITARGISHLVKALPLCPQLKEVSFRDNQLSDQVVLNIVEVLPHLPRLRKLDLSSNSICVSTLLCLARVAVTCPTVRMLQAREADLIFLLSPPTETTAELQRAPDLQESDGQRKGAQSRSLTLRLQKCQLQVHDAEALIALLQEGPHLEEVDLSGNQLEDEGCRLMAEAASQLHIARKLDLSNNGLSVAGVHCVLRAVSACWTLAELHISLQHKTVIFMFAQEPEEQKGPQERAAFLDSLMLQMPSELPLSSRRMRLTHCGLQEKHLEQLCKALGGSCHLGHLHLDFSGNALGDEGAARLAQLLPGLGALQSLNLSENGLSLDAVLGLVRCFSTLQWLFRLDISFESQHILLRGDKTSRDMWATGSLPDFPAAAKFLGFRQRCIPRSLCLSECPLEPPSLTRLCATLKDCPGPLELQLSCEFLSDQSLETLLDCLPQLPQLSLLQLSQTGLSPKSPFLLANTLSLCPRVKKVDLRSLHHATLHFRSNEEEEGVCCGRFTGCSLSQEHVESLCWLLSKCKDLSQVDLSANLLGDSGLRCLLECLPQVPISGLLDLSHNSISQESALYLLETLPSCPRVREASVNLGSEQSFRIHFSREDQAGKTLRLSECSFRPEHVSRLATGLSKSLQLTELTLTQCCLGQKQLAILLSLVGRPAGLFSLRVQEPWADRARVLSLLEVCAQASGSVTEISISETQQQLCVQLEFPRQEENPEAVALRLAHCDLGAHHSLLVGQLMETCARLQQLSLSQVNLCEDDDASSLLLQSLLLSLSELKTFRLTSSCVSTEGLAHLASGLGHCHHLEELDLSNNQFDEEGTKALMRALEGKWMLKRLDLSHLLLNSSTLALLTHRLSQMTCLQSLRLNRNSIGDVGCCHLSEALRAATSLEELDLSHNQIGDAGVQHLATILPGLPELRKIDLSGNSISSAGGVQLAESLVLCRRLEELMLGCNALGDPTALGLAQELPQHLRVLHLPFSHLGPGGALSLAQALDGSPHLEEISLAENNLAGGVLRFCMELPLLRQIDLVSCKIDNQTAKLLTSSFTSCPALEVILLSWNLLGDEAAAELAQVLPQMGRLKRVDLEKNQITALGAWLLAEGLAQGSSIQVIRLWNNPIPCDMAQHLKSQEPRLDFAFFDNQPQAPWGT
+>DECOY_sp|Q86WI3|NLRC5_HUMAN Protein NLRC5 OS=Homo sapiens OX=9606 GN=NLRC5 PE=1 SV=3
+TGWPAQPQNDFFAFDLRPEQSKLHQAMDCPIPNNWLRIVQISSGQALGEALLWAGLATIQNKELDVRKLRGMQPLVQALEAAAEDGLLNWSLLIVELAPCSTFSSTLLKATQNDIKCSVLDIQRLLPLEMCFRLVGGALNNEALSIEELHPSGDLAQALSLAGGPGLHSFPLHLVRLHQPLEQALGLATPDGLANCGLMLEELRRCLVLSEALQVGGASSISNGSLDIKRLEPLGPLITALHQVGADGIQNHSLDLEELSTAARLAESLHCCGVDGISNRNLRLSQLCTMQSLRHTLLALTSSNLLLHSLDLRKLMWKGELARMLAKTGEEDFQNNSLDLEELHHCHGLGSALHALGETSVCSSTLRFTKLESLSLLLSQLLLSSADDDECLNVQSLSLQQLRACTEMLQGVLLSHHAGLDCHALRLAVAEPNEEQRPFELQVCLQQQTESISIETVSGSAQACVELLSLVRARDAWPEQVRLSFLGAPRGVLSLLIALQKQGLCCQTLTLETLQLSKSLGTALRSVHEPRFSCESLRLTKGAQDERSFHIRFSQESGLNVSAERVRPCSPLTELLYLASEQSISNHSLDLLGSIPVQPLCELLCRLGSDGLLNASLDVQSLDKCKSLLWCLSEVHEQSLSCGTFRGCCVGEEEENSRFHLTAHHLSRLDVKKVRPCLSLTNALLFPSKPSLGTQSLQLLSLQPLQPLCDLLTELSQDSLFECSLQLELPGPCDKLTACLRTLSPPELPCESLCLSRPICRQRFGLFKAAAPFDPLSGTAWMDRSTKDGRLLIHQSEFSIDLRFLWQLTSFCRVLGLVADLSLGNESLNLSQLAGLGPLLQALRAAGEDGLANGSFDLHLHGLHCSGGLAKCLQELHKEQLGCHTLRMRRSSLPLESPMQLMLSDLFAAREQPGKQEEPEQAFMFIVTKHQLSIHLEALTWCASVARLVCHVGAVSLGNNSLDLKRAIHLQSAAEAMLRCGEDELQNGSLDVEELHPGEQLLAILAEADHVQLQCKQLRLTLSRSQAGKRQGDSEQLDPARQLEATTETPPSLLFILDAERAQLMRVTPCTVAVRALCLLTSVCISNSSLDLKRLRPLHPLVEVINLVVQDSLQNDRFSVEKLQPCLPLAKVLHSIGRATIKSGALGLMQLRGMTPLSRSLAEAFADGCKRSKFSLNEIQGCGVLAEPCHPELPCGDFDLHIPAERHELINTLTALDTCTLPFNHFPLQYPLSQATLSALEPEQTEDVCHCLEVVKPGTLKRTALKKLVQVVAAQKAGVCDENGQALHSLFPRCTCSALGALFTPLHDSLGLRAKTRQVWRSHLTVYQTLTDKNVKPSAMLHLAALFEQLSLHTFAYGTQQHGPGTCVCFSTLLSHTAGFAILPPAIDKAYFIVKGTELGRLAVEGLDLLSSTPLHGPPSLALVMQMYLQTMNPLLAVSQGPAHDPLLHHLCLCAVQCLAPVACLSRLRGNTQLEVLAGERSPQASFFHNVYEEVRPGDFGLMHVMAAEAPLCAPLKGPRSTAMVRCGPLLTGNCLHSFLTLVPGPGDPGMPQLAEDLGDFILLVQDANKELYQFVTDHDSEPSLYLDFLLESPTLFRTILNLQRFEFLFLAQFCNLHGEAWKQCLRHALTTKGMGAKGLLVTVRPGKNVRTNFLDSISVDAGDEVKVDGMIAGEPTDLSATARRLIPPVYVQHFAHPQGSGPIQSRYRQQASTRLLQLYKKALELQQKKCQKRRPSSGCSQHPRKLGHHLQSEPQSKGEAGLQSTFGDDYGFTSLLLVELDLPVELQMCVCHIFSQWTDSGQVHLKNLQLIVRQEPDLTENRSDLDTNPLFFKMKANLWEPDKTLLRVLCSWLNKNGLQLGVPDM
+>sp|P59044|NLRP6_HUMAN NACHT, LRR and PYD domains-containing protein 6 OS=Homo sapiens OX=9606 GN=NLRP6 PE=1 SV=2
+MDQPEAPCSSTGPRLAVARELLLAALEELSQEQLKRFRHKLRDVGPDGRSIPWGRLERADAVDLAEQLAQFYGPEPALEVARKTLKRADARDVAAQLQERRLQRLGLGSGTLLSVSEYKKKYREHVLQLHARVKERNARSVKITKRFTKLLIAPESAAPEEAMGPAEEPEPGRARRSDTHTFNRLFRRDEEGRRPLTVVLQGPAGIGKTMAAKKILYDWAAGKLYQGQVDFAFFMPCGELLERPGTRSLADLILDQCPDRGAPVPQMLAQPQRLLFILDGADELPALGGPEAAPCTDPFEAASGARVLGGLLSKALLPTALLLVTTRAAAPGRLQGRLCSPQCAEVRGFSDKDKKKYFYKYFRDERRAERAYRFVKENETLFALCFVPFVCWIVCTVLRQQLELGRDLSRTSKTTTSVYLLFITSVLSSAPVADGPRLQGDLRNLCRLAREGVLGRRAQFAEKELEQLELRGSKVQTLFLSKKELPGVLETEVTYQFIDQSFQEFLAALSYLLEDGGVPRTAAGGVGTLLRGDAQPHSHLVLTTRFLFGLLSAERMRDIERHFGCMVSERVKQEALRWVQGQGQGCPGVAPEVTEGAKGLEDTEEPEEEEEGEEPNYPLELLYCLYETQEDAFVRQALCRFPELALQRVRFCRMDVAVLSYCVRCCPAGQALRLISCRLVAAQEKKKKSLGKRLQASLGGGSSSQGTTKQLPASLLHPLFQAMTDPLCHLSSLTLSHCKLPDAVCRDLSEALRAAPALTELGLLHNRLSEAGLRMLSEGLAWPQCRVQTVRVQLPDPQRGLQYLVGMLRQSPALTTLDLSGCQLPAPMVTYLCAVLQHQGCGLQTLSLASVELSEQSLQELQAVKRAKPDLVITHPALDGHPQPPKELISTF
+>DECOY_sp|P59044|NLRP6_HUMAN NACHT, LRR and PYD domains-containing protein 6 OS=Homo sapiens OX=9606 GN=NLRP6 PE=1 SV=2
+FTSILEKPPQPHGDLAPHTIVLDPKARKVAQLEQLSQESLEVSALSLTQLGCGQHQLVACLYTVMPAPLQCGSLDLTTLAPSQRLMGVLYQLGRQPDPLQVRVTQVRCQPWALGESLMRLGAESLRNHLLGLETLAPAARLAESLDRCVADPLKCHSLTLSSLHCLPDTMAQFLPHLLSAPLQKTTGQSSSGGGLSAQLRKGLSKKKKEQAAVLRCSILRLAQGAPCCRVCYSLVAVDMRCFRVRQLALEPFRCLAQRVFADEQTEYLCYLLELPYNPEEGEEEEEPEETDELGKAGETVEPAVGPCGQGQGQVWRLAEQKVRESVMCGFHREIDRMREASLLGFLFRTTLVLHSHPQADGRLLTGVGGAATRPVGGDELLYSLAALFEQFSQDIFQYTVETELVGPLEKKSLFLTQVKSGRLELQELEKEAFQARRGLVGERALRCLNRLDGQLRPGDAVPASSLVSTIFLLYVSTTTKSTRSLDRGLELQQRLVTCVIWCVFPVFCLAFLTENEKVFRYAREARREDRFYKYFYKKKDKDSFGRVEACQPSCLRGQLRGPAAARTTVLLLATPLLAKSLLGGLVRAGSAAEFPDTCPAAEPGGLAPLEDAGDLIFLLRQPQALMQPVPAGRDPCQDLILDALSRTGPRELLEGCPMFFAFDVQGQYLKGAAWDYLIKKAAMTKGIGAPGQLVVTLPRRGEEDRRFLRNFTHTDSRRARGPEPEEAPGMAEEPAASEPAILLKTFRKTIKVSRANREKVRAHLQLVHERYKKKYESVSLLTGSGLGLRQLRREQLQAAVDRADARKLTKRAVELAPEPGYFQALQEALDVADARELRGWPISRGDPGVDRLKHRFRKLQEQSLEELAALLLERAVALRPGTSSCPAEPQDM
+>sp|P08949|NMB_HUMAN Neuromedin-B OS=Homo sapiens OX=9606 GN=NMB PE=1 SV=4
+MARRAGGARMFGSLLLFALLAAGVAPLSWDLPEPRSRASKIRVHSRGNLWATGHFMGKKSLEPSSPSPLGTAPHTSLRDQRLQLSHDLLGILLLKKALGVSLSRPAPQIQYRRLLVQILQK
+>DECOY_sp|P08949|NMB_HUMAN Neuromedin-B OS=Homo sapiens OX=9606 GN=NMB PE=1 SV=4
+KQLIQVLLRRYQIQPAPRSLSVGLAKKLLLIGLLDHSLQLRQDRLSTHPATGLPSPSSPELSKKGMFHGTAWLNGRSHVRIKSARSRPEPLDWSLPAVGAALLAFLLLSGFMRAGGARRAM
+>sp|Q8TCU5|NMD3A_HUMAN Glutamate receptor ionotropic, NMDA 3A OS=Homo sapiens OX=9606 GN=GRIN3A PE=1 SV=2
+MRRLSLWWLLSRVCLLLPPPCALVLAGVPSSSSHPQPCQILKRIGHAVRVGAVHLQPWTTAPRAASRAPDDSRAGAQRDEPEPGTRRSPAPSPGARWLGSTLHGRGPPGSRKPGEGARAEALWPRDALLFAVDNLNRVEGLLPYNLSLEVVMAIEAGLGDLPLLPFSSPSSPWSSDPFSFLQSVCHTVVVQGVSALLAFPQSQGEMMELDLVSLVLHIPVISIVRHEFPRESQNPLHLQLSLENSLSSDADVTVSILTMNNWYNFSLLLCQEDWNITDFLLLTQNNSKFHLGSIINITANLPSTQDLLSFLQIQLESIKNSTPTVVMFGCDMESIRRIFEITTQFGVMPPELRWVLGDSQNVEELRTEGLPLGLIAHGKTTQSVFEHYVQDAMELVARAVATATMIQPELALIPSTMNCMEVETTNLTSGQYLSRFLANTTFRGLSGSIRVKGSTIVSSENNFFIWNLQHDPMGKPMWTRLGSWQGGKIVMDYGIWPEQAQRHKTHFQHPSKLHLRVVTLIEHPFVFTREVDDEGLCPAGQLCLDPMTNDSSTLDSLFSSLHSSNDTVPIKFKKCCYGYCIDLLEKIAEDMNFDFDLYIVGDGKYGAWKNGHWTGLVGDLLRGTAHMAVTSFSINTARSQVIDFTSPFFSTSLGILVRTRDTAAPIGAFMWPLHWTMWLGIFVALHITAVFLTLYEWKSPFGLTPKGRNRSKVFSFSSALNICYALLFGRTVAIKPPKCWTGRFLMNLWAIFCMFCLSTYTANLAAVMVGEKIYEELSGIHDPKLHHPSQGFRFGTVRESSAEDYVRQSFPEMHEYMRRYNVPATPDGVEYLKNDPEKLDAFIMDKALLDYEVSIDADCKLLTVGKPFAIEGYGIGLPPNSPLTANISELISQYKSHGFMDMLHDKWYRVVPCGKRSFAVTETLQMGIKHFSGLFVLLCIGFGLSILTTIGEHIVYRLLLPRIKNKSKLQYWLHTSQRLHRAINTSFIEEKQQHFKTKRVEKRSNVGPRQLTVWNTSNLSHDNRRKYIFSDEEGQNQLGIRIHQDIPLPPRRRELPALRTTNGKADSLNVSRNSVMQELSELEKQIQVIRQELQLAVSRKTELEEYQRTSRTCES
+>DECOY_sp|Q8TCU5|NMD3A_HUMAN Glutamate receptor ionotropic, NMDA 3A OS=Homo sapiens OX=9606 GN=GRIN3A PE=1 SV=2
+SECTRSTRQYEELETKRSVALQLEQRIVQIQKELESLEQMVSNRSVNLSDAKGNTTRLAPLERRRPPLPIDQHIRIGLQNQGEEDSFIYKRRNDHSLNSTNWVTLQRPGVNSRKEVRKTKFHQQKEEIFSTNIARHLRQSTHLWYQLKSKNKIRPLLLRYVIHEGITTLISLGFGICLLVFLGSFHKIGMQLTETVAFSRKGCPVVRYWKDHLMDMFGHSKYQSILESINATLPSNPPLGIGYGEIAFPKGVTLLKCDADISVEYDLLAKDMIFADLKEPDNKLYEVGDPTAPVNYRRMYEHMEPFSQRVYDEASSERVTGFRFGQSPHHLKPDHIGSLEEYIKEGVMVAALNATYTSLCFMCFIAWLNMLFRGTWCKPPKIAVTRGFLLAYCINLASSFSFVKSRNRGKPTLGFPSKWEYLTLFVATIHLAVFIGLWMTWHLPWMFAGIPAATDRTRVLIGLSTSFFPSTFDIVQSRATNISFSTVAMHATGRLLDGVLGTWHGNKWAGYKGDGVIYLDFDFNMDEAIKELLDICYGYCCKKFKIPVTDNSSHLSSFLSDLTSSDNTMPDLCLQGAPCLGEDDVERTFVFPHEILTVVRLHLKSPHQFHTKHRQAQEPWIGYDMVIKGGQWSGLRTWMPKGMPDHQLNWIFFNNESSVITSGKVRISGSLGRFTTNALFRSLYQGSTLNTTEVEMCNMTSPILALEPQIMTATAVARAVLEMADQVYHEFVSQTTKGHAILGLPLGETRLEEVNQSDGLVWRLEPPMVGFQTTIEFIRRISEMDCGFMVVTPTSNKISELQIQLFSLLDQTSPLNATINIISGLHFKSNNQTLLLFDTINWDEQCLLLSFNYWNNMTLISVTVDADSSLSNELSLQLHLPNQSERPFEHRVISIVPIHLVLSVLDLEMMEGQSQPFALLASVGQVVVTHCVSQLFSFPDSSWPSSPSSFPLLPLDGLGAEIAMVVELSLNYPLLGEVRNLNDVAFLLADRPWLAEARAGEGPKRSGPPGRGHLTSGLWRAGPSPAPSRRTGPEPEDRQAGARSDDPARSAARPATTWPQLHVAGVRVAHGIRKLIQCPQPHSSSSPVGALVLACPPPLLLCVRSLLWWLSLRRM
+>sp|Q9HAN9|NMNA1_HUMAN Nicotinamide/nicotinic acid mononucleotide adenylyltransferase 1 OS=Homo sapiens OX=9606 GN=NMNAT1 PE=1 SV=1
+MENSEKTEVVLLACGSFNPITNMHLRLFELAKDYMNGTGRYTVVKGIISPVGDAYKKKGLIPAYHRVIMAELATKNSKWVEVDTWESLQKEWKETLKVLRHHQEKLEASDCDHQQNSPTLERPGRKRKWTETQDSSQKKSLEPKTKAVPKVKLLCGADLLESFAVPNLWKSEDITQIVANYGLICVTRAGNDAQKFIYESDVLWKHRSNIHVVNEWIANDISSTKIRRALRRGQSIRYLVPDLVQEYIEKHNLYSSESEDRNAGVILAPLQRNTAEAKT
+>DECOY_sp|Q9HAN9|NMNA1_HUMAN Nicotinamide/nicotinic acid mononucleotide adenylyltransferase 1 OS=Homo sapiens OX=9606 GN=NMNAT1 PE=1 SV=1
+TKAEATNRQLPALIVGANRDESESSYLNHKEIYEQVLDPVLYRISQGRRLARRIKTSSIDNAIWENVVHINSRHKWLVDSEYIFKQADNGARTVCILGYNAVIQTIDESKWLNPVAFSELLDAGCLLKVKPVAKTKPELSKKQSSDQTETWKRKRGPRELTPSNQQHDCDSAELKEQHHRLVKLTEKWEKQLSEWTDVEVWKSNKTALEAMIVRHYAPILGKKKYADGVPSIIGKVVTYRGTGNMYDKALEFLRLHMNTIPNFSGCALLVVETKESNEM
+>sp|Q9HB89|NMUR1_HUMAN Neuromedin-U receptor 1 OS=Homo sapiens OX=9606 GN=NMUR1 PE=2 SV=1
+MTPLCLNCSVLPGDLYPGGARNPMACNGSAARGHFDPEDLNLTDEALRLKYLGPQQTELFMPICATYLLIFVVGAVGNGLTCLVILRHKAMRTPTNYYLFSLAVSDLLVLLVGLPLELYEMWHNYPFLLGVGGCYFRTLLFEMVCLASVLNVTALSVERYVAVVHPLQARSMVTRAHVRRVLGAVWGLAMLCSLPNTSLHGIRQLHVPCRGPVPDSAVCMLVRPRALYNMVVQTTALLFFCLPMAIMSVLYLLIGLRLRRERLLLMQEAKGRGSAAARSRYTCRLQQHDRGRRQVTKMLFVLVVVFGICWAPFHADRVMWSVVSQWTDGLHLAFQHVHVISGIFFYLGSAANPVLYSLMSSRFRETFQEALCLGACCHRLRPRHSSHSLSRMTTGSTLCDVGSLGSWVHPLAGNDGPEAQQETDPS
+>DECOY_sp|Q9HB89|NMUR1_HUMAN Neuromedin-U receptor 1 OS=Homo sapiens OX=9606 GN=NMUR1 PE=2 SV=1
+SPDTEQQAEPGDNGALPHVWSGLSGVDCLTSGTTMRSLSHSSHRPRLRHCCAGLCLAEQFTERFRSSMLSYLVPNAASGLYFFIGSIVHVHQFALHLGDTWQSVVSWMVRDAHFPAWCIGFVVVLVFLMKTVQRRGRDHQQLRCTYRSRAAASGRGKAEQMLLLRERRLRLGILLYLVSMIAMPLCFFLLATTQVVMNYLARPRVLMCVASDPVPGRCPVHLQRIGHLSTNPLSCLMALGWVAGLVRRVHARTVMSRAQLPHVVAVYREVSLATVNLVSALCVMEFLLTRFYCGGVGLLFPYNHWMEYLELPLGVLLVLLDSVALSFLYYNTPTRMAKHRLIVLCTLGNGVAGVVFILLYTACIPMFLETQQPGLYKLRLAEDTLNLDEPDFHGRAASGNCAMPNRAGGPYLDGPLVSCNLCLPTM
+>sp|Q9GZQ4|NMUR2_HUMAN Neuromedin-U receptor 2 OS=Homo sapiens OX=9606 GN=NMUR2 PE=1 SV=2
+MSGMEKLQNASWIYQQKLEDPFQKHLNSTEEYLAFLCGPRRSHFFLPVSVVYVPIFVVGVIGNVLVCLVILQHQAMKTPTNYYLFSLAVSDLLVLLLGMPLEVYEMWRNYPFLFGPVGCYFKTALFETVCFASILSITTVSVERYVAILHPFRAKLQSTRRRALRILGIVWGFSVLFSLPNTSIHGIKFHYFPNGSLVPGSATCTVIKPMWIYNFIIQVTSFLFYLLPMTVISVLYYLMALRLKKDKSLEADEGNANIQRPCRKSVNKMLFVLVLVFAICWAPFHIDRLFFSFVEEWSESLAAVFNLVHVVSGVFFYLSSAVNPIIYNLLSRRFQAAFQNVISSFHKQWHSQHDPQLPPAQRNIFLTECHFVELTEDIGPQFPCQSSMHNSHLPAALSSEQMSRTNYQSFHFNKT
+>DECOY_sp|Q9GZQ4|NMUR2_HUMAN Neuromedin-U receptor 2 OS=Homo sapiens OX=9606 GN=NMUR2 PE=1 SV=2
+TKNFHFSQYNTRSMQESSLAAPLHSNHMSSQCPFQPGIDETLEVFHCETLFINRQAPPLQPDHQSHWQKHFSSIVNQFAAQFRRSLLNYIIPNVASSLYFFVGSVVHVLNFVAALSESWEEVFSFFLRDIHFPAWCIAFVLVLVFLMKNVSKRCPRQINANGEDAELSKDKKLRLAMLYYLVSIVTMPLLYFLFSTVQIIFNYIWMPKIVTCTASGPVLSGNPFYHFKIGHISTNPLSFLVSFGWVIGLIRLARRRTSQLKARFPHLIAVYREVSVTTISLISAFCVTEFLATKFYCGVPGFLFPYNRWMEYVELPMGLLLVLLDSVALSFLYYNTPTKMAQHQLIVLCVLVNGIVGVVFIPVYVVSVPLFFHSRRPGCLFALYEETSNLHKQFPDELKQQYIWSANQLKEMGSM
+>sp|Q9BVI4|NOC4L_HUMAN Nucleolar complex protein 4 homolog OS=Homo sapiens OX=9606 GN=NOC4L PE=1 SV=1
+MEREPGAAGVRRALGRRLEAVLASRSEANAVFDILAVLQSEDQEEIQEAVRTCSRLFGALLERGELFVGQLPSEEMVMTGSQGATRKYKVWMRHRYHSCCNRLGELLGHPSFQVKELALSALLKFVQLEGAHPLEKSKWEGNYLFPRELFKLVVGGLLSPEEDQSLLLSQFREYLDYDDTRYHTMQAAVDAVARVTGQHPEVPPAFWNNAFTLLSAVSLPRREPTVSSFYVKRAELWDTWKVAHLKEHRRVFQAMWLSFLKHKLPLSLYKKVLLIVHDAILPQLAQPTLMIDFLTRACDLGGALSLLALNGLFILIHKHNLEYPDFYRKLYGLLDPSVFHVKYRARFFHLADLFLSSSHLPAYLVAAFAKRLARLALTAPPEALLMVLPFICNLLRRHPACRVLVHRPHGPELDADPYDPGEEDPAQSRALESSLWELQALQRHYHPEVSKAASVINQALSMPEVSIAPLLELTAYEIFERDLKKKGPEPVPLEFIPAQGLLGRPGELCAQHFTLS
+>DECOY_sp|Q9BVI4|NOC4L_HUMAN Nucleolar complex protein 4 homolog OS=Homo sapiens OX=9606 GN=NOC4L PE=1 SV=1
+SLTFHQACLEGPRGLLGQAPIFELPVPEPGKKKLDREFIEYATLELLPAISVEPMSLAQNIVSAAKSVEPHYHRQLAQLEWLSSELARSQAPDEEGPDYPDADLEPGHPRHVLVRCAPHRRLLNCIFPLVMLLAEPPATLALRALRKAFAAVLYAPLHSSSLFLDALHFFRARYKVHFVSPDLLGYLKRYFDPYELNHKHILIFLGNLALLSLAGGLDCARTLFDIMLTPQALQPLIADHVILLVKKYLSLPLKHKLFSLWMAQFVRRHEKLHAVKWTDWLEARKVYFSSVTPERRPLSVASLLTFANNWFAPPVEPHQGTVRAVADVAAQMTHYRTDDYDLYERFQSLLLSQDEEPSLLGGVVLKFLERPFLYNGEWKSKELPHAGELQVFKLLASLALEKVQFSPHGLLEGLRNCCSHYRHRMWVKYKRTAGQSGTMVMEESPLQGVFLEGRELLAGFLRSCTRVAEQIEEQDESQLVALIDFVANAESRSALVAELRRGLARRVGAAGPEREM
+>sp|Q9Y3C1|NOP16_HUMAN Nucleolar protein 16 OS=Homo sapiens OX=9606 GN=NOP16 PE=1 SV=2
+MPKAKGKTRRQKFGYSVNRKRLNRNARRKAAPRIECSHIRHAWDHAKSVRQNLAEMGLAVDPNRAVPLRKRKVKAMEVDIEERPKELVRKPYVLNDLEAEASLPEKKGNTLSRDLIDYVRYMVENHGEDYKAMARDEKNYYQDTPKQIRSKINVYKRFYPAEWQDFLDSLQKRKMEVE
+>DECOY_sp|Q9Y3C1|NOP16_HUMAN Nucleolar protein 16 OS=Homo sapiens OX=9606 GN=NOP16 PE=1 SV=2
+EVEMKRKQLSDLFDQWEAPYFRKYVNIKSRIQKPTDQYYNKEDRAMAKYDEGHNEVMYRVYDILDRSLTNGKKEPLSAEAELDNLVYPKRVLEKPREEIDVEMAKVKRKRLPVARNPDVALGMEALNQRVSKAHDWAHRIHSCEIRPAAKRRANRNLRKRNVSYGFKQRRTKGKAKPM
+>sp|P46087|NOP2_HUMAN Probable 28S rRNA (cytosine(4447)-C(5))-methyltransferase OS=Homo sapiens OX=9606 GN=NOP2 PE=1 SV=2
+MGRKLDPTKEKRGPGRKARKQKGAETELVRFLPAVSDENSKRLSSRARKRAAKRRLGSVEAPKTNKSPEAKPLPGKLPKGISAGAVQTAGKKGPQSLFNAPRGKKRPAPGSDEEEEEEDSEEDGMVNHGDLWGSEDDADTVDDYGADSNSEDEEEGEALLPIERAARKQKAREAAAGIQWSEEETEDEEEEKEVTPESGPPKVEEADGGLQINVDEEPFVLPPAGEMEQDAQAPDLQRVHKRIQDIVGILRDFGAQREEGRSRSEYLNRLKKDLAIYYSYGDFLLGKLMDLFPLSELVEFLEANEVPRPVTLRTNTLKTRRRDLAQALINRGVNLDPLGKWSKTGLVVYDSSVPIGATPEYLAGHYMLQGASSMLPVMALAPQEHERILDMCCAPGGKTSYMAQLMKNTGVILANDANAERLKSVVGNLHRLGVTNTIISHYDGRQFPKVVGGFDRVLLDAPCSGTGVISKDPAVKTNKDEKDILRCAHLQKELLLSAIDSVNATSKTGGYLVYCTCSITVEENEWVVDYALKKRNVRLVPTGLDFGQEGFTRFRERRFHPSLRSTRRFYPHTHNMDGFFIAKFKKFSNSIPQSQTGNSETATPTNVDLPQVIPKSENSSQPAKKAKGAAKTKQQLQKQQHPKKASFQKLNGISKGADSELSTVPSVTKTQASSSFQDSSQPAGKAEGIREPKVTGKLKQRSPKLQSSKKVAFLRQNAPPKGTDTQTPAVLSPSKTQATLKPKDHHQPLGRAKGVEKQQLPEQPFEKAAFQKQNDTPKGPQPPTVSPIRSSRPPPAKRKKSQSRGNSQLLLS
+>DECOY_sp|P46087|NOP2_HUMAN Probable 28S rRNA (cytosine(4447)-C(5))-methyltransferase OS=Homo sapiens OX=9606 GN=NOP2 PE=1 SV=2
+SLLLQSNGRSQSKKRKAPPPRSSRIPSVTPPQPGKPTDNQKQFAAKEFPQEPLQQKEVGKARGLPQHHDKPKLTAQTKSPSLVAPTQTDTGKPPANQRLFAVKKSSQLKPSRQKLKGTVKPERIGEAKGAPQSSDQFSSSAQTKTVSPVTSLESDAGKSIGNLKQFSAKKPHQQKQLQQKTKAAGKAKKAPQSSNESKPIVQPLDVNTPTATESNGTQSQPISNSFKKFKAIFFGDMNHTHPYFRRTSRLSPHFRRERFRTFGEQGFDLGTPVLRVNRKKLAYDVVWENEEVTISCTCYVLYGGTKSTANVSDIASLLLEKQLHACRLIDKEDKNTKVAPDKSIVGTGSCPADLLVRDFGGVVKPFQRGDYHSIITNTVGLRHLNGVVSKLREANADNALIVGTNKMLQAMYSTKGGPACCMDLIREHEQPALAMVPLMSSAGQLMYHGALYEPTAGIPVSSDYVVLGTKSWKGLPDLNVGRNILAQALDRRRTKLTNTRLTVPRPVENAELFEVLESLPFLDMLKGLLFDGYSYYIALDKKLRNLYESRSRGEERQAGFDRLIGVIDQIRKHVRQLDPAQADQEMEGAPPLVFPEEDVNIQLGGDAEEVKPPGSEPTVEKEEEEDETEEESWQIGAAAERAKQKRAAREIPLLAEGEEEDESNSDAGYDDVTDADDESGWLDGHNVMGDEESDEEEEEEDSGPAPRKKGRPANFLSQPGKKGATQVAGASIGKPLKGPLPKAEPSKNTKPAEVSGLRRKAARKRARSSLRKSNEDSVAPLFRVLETEAGKQKRAKRGPGRKEKTPDLKRGM
+>sp|O00567|NOP56_HUMAN Nucleolar protein 56 OS=Homo sapiens OX=9606 GN=NOP56 PE=1 SV=4
+MVLLHVLFEHAVGYALLALKEVEEISLLQPQVEESVLNLGKFHSIVRLVAFCPFASSQVALENANAVSEGVVHEDLRLLLETHLPSKKKKVLLGVGDPKIGAAIQEELGYNCQTGGVIAEILRGVRLHFHNLVKGLTDLSACKAQLGLGHSYSRAKVKFNVNRVDNMIIQSISLLDQLDKDINTFSMRVREWYGYHFPELVKIINDNATYCRLAQFIGNRRELNEDKLEKLEELTMDGAKAKAILDASRSSMGMDISAIDLINIESFSSRVVSLSEYRQSLHTYLRSKMSQVAPSLSALIGEAVGARLIAHAGSLTNLAKYPASTVQILGAEKALFRALKTRGNTPKYGLIFHSTFIGRAAAKNKGRISRYLANKCSIASRIDCFSEVPTSVFGEKLREQVEERLSFYETGEIPRKNLDVMKEAMVQAEEAAAEITRKLEKQEKKRLKKEKKRLAALALASSENSSSTPEECEEMSEKPKKKKKQKPQEVPQENGMEDPSISFSKPKKKKSFSKEELMSSDLEETAGSTSIPKRKKSTPKEETVNDPEEAGHRSGSKKKRKFSKEEPVSSGPEEAVGKSSSKKKKKFHKASQED
+>DECOY_sp|O00567|NOP56_HUMAN Nucleolar protein 56 OS=Homo sapiens OX=9606 GN=NOP56 PE=1 SV=4
+DEQSAKHFKKKKKSSSKGVAEEPGSSVPEEKSFKRKKKSGSRHGAEEPDNVTEEKPTSKKRKPISTSGATEELDSSMLEEKSFSKKKKPKSFSISPDEMGNEQPVEQPKQKKKKKPKESMEECEEPTSSSNESSALALAALRKKEKKLRKKEQKELKRTIEAAAEEAQVMAEKMVDLNKRPIEGTEYFSLREEVQERLKEGFVSTPVESFCDIRSAISCKNALYRSIRGKNKAAARGIFTSHFILGYKPTNGRTKLARFLAKEAGLIQVTSAPYKALNTLSGAHAILRAGVAEGILASLSPAVQSMKSRLYTHLSQRYESLSVVRSSFSEINILDIASIDMGMSSRSADLIAKAKAGDMTLEELKELKDENLERRNGIFQALRCYTANDNIIKVLEPFHYGYWERVRMSFTNIDKDLQDLLSISQIIMNDVRNVNFKVKARSYSHGLGLQAKCASLDTLGKVLNHFHLRVGRLIEAIVGGTQCNYGLEEQIAAGIKPDGVGLLVKKKKSPLHTELLLRLDEHVVGESVANANELAVQSSAFPCFAVLRVISHFKGLNLVSEEVQPQLLSIEEVEKLALLAYGVAHEFLVHLLVM
+>sp|Q9Y314|NOSIP_HUMAN Nitric oxide synthase-interacting protein OS=Homo sapiens OX=9606 GN=NOSIP PE=1 SV=1
+MTRHGKNCTAGAVYTYHEKKKDTAASGYGTQNIRLSRDAVKDFDCCCLSLQPCHDPVVTPDGYLYEREAILEYILHQKKEIARQMKAYEKQRGTRREEQKELQRAASQDHVRGFLEKESAIVSRPLNPFTAKALSGTSPDDVQPGPSVGPPSKDKDKVLPSFWIPSLTPEAKATKLEKPSRTVTCPMSGKPLRMSDLTPVHFTPLDSSVDRVGLITRSERYVCAVTRDSLSNATPCAVLRPSGAVVTLECVEKLIRKDMVDPVTGDKLTDRDIIVLQRGGTGFAGSGVKLQAEKSRPVMQA
+>DECOY_sp|Q9Y314|NOSIP_HUMAN Nitric oxide synthase-interacting protein OS=Homo sapiens OX=9606 GN=NOSIP PE=1 SV=1
+AQMVPRSKEAQLKVGSGAFGTGGRQLVIIDRDTLKDGTVPDVMDKRILKEVCELTVVAGSPRLVACPTANSLSDRTVACVYRESRTILGVRDVSSDLPTFHVPTLDSMRLPKGSMPCTVTRSPKELKTAKAEPTLSPIWFSPLVKDKDKSPPGVSPGPQVDDPSTGSLAKATFPNLPRSVIASEKELFGRVHDQSAARQLEKQEERRTGRQKEYAKMQRAIEKKQHLIYELIAEREYLYGDPTVVPDHCPQLSLCCCDFDKVADRSLRINQTGYGSAATDKKKEHYTYVAGATCNKGHRTM
+>sp|Q04721|NOTC2_HUMAN Neurogenic locus notch homolog protein 2 OS=Homo sapiens OX=9606 GN=NOTCH2 PE=1 SV=3
+MPALRPALLWALLALWLCCAAPAHALQCRDGYEPCVNEGMCVTYHNGTGYCKCPEGFLGEYCQHRDPCEKNRCQNGGTCVAQAMLGKATCRCASGFTGEDCQYSTSHPCFVSRPCLNGGTCHMLSRDTYECTCQVGFTGKECQWTDACLSHPCANGSTCTTVANQFSCKCLTGFTGQKCETDVNECDIPGHCQHGGTCLNLPGSYQCQCPQGFTGQYCDSLYVPCAPSPCVNGGTCRQTGDFTFECNCLPGFEGSTCERNIDDCPNHRCQNGGVCVDGVNTYNCRCPPQWTGQFCTEDVDECLLQPNACQNGGTCANRNGGYGCVCVNGWSGDDCSENIDDCAFASCTPGSTCIDRVASFSCMCPEGKAGLLCHLDDACISNPCHKGALCDTNPLNGQYICTCPQGYKGADCTEDVDECAMANSNPCEHAGKCVNTDGAFHCECLKGYAGPRCEMDINECHSDPCQNDATCLDKIGGFTCLCMPGFKGVHCELEINECQSNPCVNNGQCVDKVNRFQCLCPPGFTGPVCQIDIDDCSSTPCLNGAKCIDHPNGYECQCATGFTGVLCEENIDNCDPDPCHHGQCQDGIDSYTCICNPGYMGAICSDQIDECYSSPCLNDGRCIDLVNGYQCNCQPGTSGVNCEINFDDCASNPCIHGICMDGINRYSCVCSPGFTGQRCNIDIDECASNPCRKGATCINGVNGFRCICPEGPHHPSCYSQVNECLSNPCIHGNCTGGLSGYKCLCDAGWVGINCEVDKNECLSNPCQNGGTCDNLVNGYRCTCKKGFKGYNCQVNIDECASNPCLNQGTCFDDISGYTCHCVLPYTGKNCQTVLAPCSPNPCENAAVCKESPNFESYTCLCAPGWQGQRCTIDIDECISKPCMNHGLCHNTQGSYMCECPPGFSGMDCEEDIDDCLANPCQNGGSCMDGVNTFSCLCLPGFTGDKCQTDMNECLSEPCKNGGTCSDYVNSYTCKCQAGFDGVHCENNINECTESSCFNGGTCVDGINSFSCLCPVGFTGSFCLHEINECSSHPCLNEGTCVDGLGTYRCSCPLGYTGKNCQTLVNLCSRSPCKNKGTCVQKKAESQCLCPSGWAGAYCDVPNVSCDIAASRRGVLVEHLCQHSGVCINAGNTHYCQCPLGYTGSYCEEQLDECASNPCQHGATCSDFIGGYRCECVPGYQGVNCEYEVDECQNQPCQNGGTCIDLVNHFKCSCPPGTRGLLCEENIDDCARGPHCLNGGQCMDRIGGYSCRCLPGFAGERCEGDINECLSNPCSSEGSLDCIQLTNDYLCVCRSAFTGRHCETFVDVCPQMPCLNGGTCAVASNMPDGFICRCPPGFSGARCQSSCGQVKCRKGEQCVHTASGPRCFCPSPRDCESGCASSPCQHGGSCHPQRQPPYYSCQCAPPFSGSRCELYTAPPSTPPATCLSQYCADKARDGVCDEACNSHACQWDGGDCSLTMENPWANCSSPLPCWDYINNQCDELCNTVECLFDNFECQGNSKTCKYDKYCADHFKDNHCDQGCNSEECGWDGLDCAADQPENLAEGTLVIVVLMPPEQLLQDARSFLRALGTLLHTNLRIKRDSQGELMVYPYYGEKSAAMKKQRMTRRSLPGEQEQEVAGSKVFLEIDNRQCVQDSDHCFKNTDAAAALLASHAIQGTLSYPLVSVVSESLTPERTQLLYLLAVAVVIILFIILLGVIMAKRKRKHGSLWLPEGFTLRRDASNHKRREPVGQDAVGLKNLSVQVSEANLIGTGTSEHWVDDEGPQPKKVKAEDEALLSEEDDPIDRRPWTQQHLEAADIRRTPSLALTPPQAEQEVDVLDVNVRGPDGCTPLMLASLRGGSSDLSDEDEDAEDSSANIITDLVYQGASLQAQTDRTGEMALHLAARYSRADAAKRLLDAGADANAQDNMGRCPLHAAVAADAQGVFQILIRNRVTDLDARMNDGTTPLILAARLAVEGMVAELINCQADVNAVDDHGKSALHWAAAVNNVEATLLLLKNGANRDMQDNKEETPLFLAAREGSYEAAKILLDHFANRDITDHMDRLPRDVARDRMHHDIVRLLDEYNVTPSPPGTVLTSALSPVICGPNRSFLSLKHTPMGKKSRRPSAKSTMPTSLPNLAKEAKDAKGSRRKKSLSEKVQLSESSVTLSPVDSLESPHTYVSDTTSSPMITSPGILQASPNPMLATAAPPAPVHAQHALSFSNLHEMQPLAHGASTVLPSVSQLLSHHHIVSPGSGSAGSLSRLHPVPVPADWMNRMEVNETQYNEMFGMVLAPAEGTHPGIAPQSRPPEGKHITTPREPLPPIVTFQLIPKGSIAQPAGAPQPQSTCPPAVAGPLPTMYQIPEMARLPSVAFPTAMMPQQDGQVAQTILPAYHPFPASVGKYPTPPSQHSYASSNAAERTPSHSGHLQGEHPYLTPSPESPDQWSSSSPHSASDWSDVTTSPTPGGAGGGQRGPGTHMSEPPHNNMQVYA
+>DECOY_sp|Q04721|NOTC2_HUMAN Neurogenic locus notch homolog protein 2 OS=Homo sapiens OX=9606 GN=NOTCH2 PE=1 SV=3
+AYVQMNNHPPESMHTGPGRQGGGAGGPTPSTTVDSWDSASHPSSSSWQDPSEPSPTLYPHEGQLHGSHSPTREAANSSAYSHQSPPTPYKGVSAPFPHYAPLITQAVQGDQQPMMATPFAVSPLRAMEPIQYMTPLPGAVAPPCTSQPQPAGAPQAISGKPILQFTVIPPLPERPTTIHKGEPPRSQPAIGPHTGEAPALVMGFMENYQTENVEMRNMWDAPVPVPHLRSLSGASGSGPSVIHHHSLLQSVSPLVTSAGHALPQMEHLNSFSLAHQAHVPAPPAATALMPNPSAQLIGPSTIMPSSTTDSVYTHPSELSDVPSLTVSSESLQVKESLSKKRRSGKADKAEKALNPLSTPMTSKASPRRSKKGMPTHKLSLFSRNPGCIVPSLASTLVTGPPSPTVNYEDLLRVIDHHMRDRAVDRPLRDMHDTIDRNAFHDLLIKAAEYSGERAALFLPTEEKNDQMDRNAGNKLLLLTAEVNNVAAAWHLASKGHDDVANVDAQCNILEAVMGEVALRAALILPTTGDNMRADLDTVRNRILIQFVGQADAAVAAHLPCRGMNDQANADAGADLLRKAADARSYRAALHLAMEGTRDTQAQLSAGQYVLDTIINASSDEADEDEDSLDSSGGRLSALMLPTCGDPGRVNVDLVDVEQEAQPPTLALSPTRRIDAAELHQQTWPRRDIPDDEESLLAEDEAKVKKPQPGEDDVWHESTGTGILNAESVQVSLNKLGVADQGVPERRKHNSADRRLTFGEPLWLSGHKRKRKAMIVGLLIIFLIIVVAVALLYLLQTREPTLSESVVSVLPYSLTGQIAHSALLAAAADTNKFCHDSDQVCQRNDIELFVKSGAVEQEQEGPLSRRTMRQKKMAASKEGYYPYVMLEGQSDRKIRLNTHLLTGLARLFSRADQLLQEPPMLVVIVLTGEALNEPQDAACDLGDWGCEESNCGQDCHNDKFHDACYKDYKCTKSNGQCEFNDFLCEVTNCLEDCQNNIYDWCPLPSSCNAWPNEMTLSCDGGDWQCAHSNCAEDCVGDRAKDACYQSLCTAPPTSPPATYLECRSGSFPPACQCSYYPPQRQPHCSGGHQCPSSACGSECDRPSPCFCRPGSATHVCQEGKRCKVQGCSSQCRAGSFGPPCRCIFGDPMNSAVACTGGNLCPMQPCVDVFTECHRGTFASRCVCLYDNTLQICDLSGESSCPNSLCENIDGECREGAFGPLCRCSYGGIRDMCQGGNLCHPGRACDDINEECLLGRTGPPCSCKFHNVLDICTGGNQCPQNQCEDVEYECNVGQYGPVCECRYGGIFDSCTAGHQCPNSACEDLQEECYSGTYGLPCQCYHTNGANICVGSHQCLHEVLVGRRSAAIDCSVNPVDCYAGAWGSPCLCQSEAKKQVCTGKNKCPSRSCLNVLTQCNKGTYGLPCSCRYTGLGDVCTGENLCPHSSCENIEHLCFSGTFGVPCLCSFSNIGDVCTGGNFCSSETCENINNECHVGDFGAQCKCTYSNVYDSCTGGNKCPESLCENMDTQCKDGTFGPLCLCSFTNVGDMCSGGNQCPNALCDDIDEECDMGSFGPPCECMYSGQTNHCLGHNMCPKSICEDIDITCRQGQWGPACLCTYSEFNPSEKCVAANECPNPSCPALVTQCNKGTYPLVCHCTYGSIDDFCTGQNLCPNSACEDINVQCNYGKFGKKCTCRYGNVLNDCTGGNQCPNSLCENKDVECNIGVWGADCLCKYGSLGGTCNGHICPNSLCENVQSYCSPHHPGEPCICRFGNVGNICTAGKRCPNSACEDIDINCRQGTFGPSCVCSYRNIGDMCIGHICPNSACDDFNIECNVGSTGPQCNCQYGNVLDICRGDNLCPSSYCEDIQDSCIAGMYGPNCICTYSDIGDQCQGHHCPDPDCNDINEECLVGTFGTACQCEYGNPHDICKAGNLCPTSSCDDIDIQCVPGTFGPPCLCQFRNVKDVCQGNNVCPNSQCENIELECHVGKFGPMCLCTFGGIKDLCTADNQCPDSHCENIDMECRPGAYGKLCECHFAGDTNVCKGAHECPNSNAMACEDVDETCDAGKYGQPCTCIYQGNLPNTDCLAGKHCPNSICADDLHCLLGAKGEPCMCSFSAVRDICTSGPTCSAFACDDINESCDDGSWGNVCVCGYGGNRNACTGGNQCANPQLLCEDVDETCFQGTWQPPCRCNYTNVGDVCVGGNQCRHNPCDDINRECTSGEFGPLCNCEFTFDGTQRCTGGNVCPSPACPVYLSDCYQGTFGQPCQCQYSGPLNLCTGGHQCHGPIDCENVDTECKQGTFGTLCKCSFQNAVTTCTSGNACPHSLCADTWQCEKGTFGVQCTCEYTDRSLMHCTGGNLCPRSVFCPHSTSYQCDEGTFGSACRCTAKGLMAQAVCTGGNQCRNKECPDRHQCYEGLFGEPCKCYGTGNHYTVCMGENVCPEYGDRCQLAHAPAACCLWLALLAWLLAPRLAPM
+>sp|A8MTQ0|NOTO_HUMAN Homeobox protein notochord OS=Homo sapiens OX=9606 GN=NOTO PE=2 SV=2
+MPSPRPRGSPPPAPSGSRVRPPRSGRSPAPRSPTGPNTPRAPGRFESPFSVEAILARPDPCAPAASQPSGSACVHPAFWTAASLCATGGLPWACPTSWLPAYLSVGFYPVPGPRVAPVCGLLGFGVTGLELAHCSGLWAFPDWAPTEDLQDTERQQKRVRTMFNLEQLEELEKVFAKQHNLVGKKRAQLAARLKLTENQVRVWFQNRRVKYQKQQKLRAAVTSAEAASLDEPSSSSIASIQSDDAESGVDG
+>DECOY_sp|A8MTQ0|NOTO_HUMAN Homeobox protein notochord OS=Homo sapiens OX=9606 GN=NOTO PE=2 SV=2
+GDVGSEADDSQISAISSSSPEDLSAAEASTVAARLKQQKQYKVRRNQFWVRVQNETLKLRAALQARKKGVLNHQKAFVKELEELQELNFMTRVRKQQRETDQLDETPAWDPFAWLGSCHALELGTVGFGLLGCVPAVRPGPVPYFGVSLYAPLWSTPCAWPLGGTACLSAATWFAPHVCASGSPQSAAPACPDPRALIAEVSFPSEFRGPARPTNPGTPSRPAPSRGSRPPRVRSGSPAPPPSGRPRPSPM
+>sp|Q96PH1|NOX5_HUMAN NADPH oxidase 5 OS=Homo sapiens OX=9606 GN=NOX5 PE=1 SV=1
+MNTSGDPAQTGPEGCRGTMSAEEDARWLRWVTQQFKTIAGEDGEISLQEFKAALHVKESFFAERFFALFDSDRSGTITLQELQEALTLLIHGSPMDKLKFLFQVYDIDVCARQGASAGTEWGAGAGPHWASSPLGTGSGSIDPDELRTVLQSCLRESAISLPDEKLDQLTLALFESADADGNGAITFEELRDELQRFPGVMENLTISAAHWLTAPAPRPRPRRPRQLTRAYWHNHRSQLFCLATYAGLHVLLFGLAASAHRDLGASVMVAKGCGQCLNFDCSFIAVLMLRRCLTWLRATWLAQVLPLDQNIQFHQLMGYVVVGLSLVHTVAHTVNFVLQAQAEASPFQFWELLLTTRPGIGWVHGSASPTGVALLLLLLLMFICSSSCIRRSGHFEVFYWTHLSYLLVWLLLIFHGPNFWKWLLVPGILFFLEKAIGLAVSRMAAVCIMEVNLLPSKVTHLLIKRPPFFHYRPGDYLYLNIPTIARYEWHPFTISSAPEQKDTIWLHIRSQGQWTNRLYESFKASDPLGRGSKRLSRSVTMRKSQRSSKGSEILLEKHKFCNIKCYIDGPYGTPTRRIFASEHAVLIGAGIGITPFASILQSIMYRHQKRKHTCPSCQHSWIEGVQDNMKLHKVDFIWINRDQRSFEWFVSLLTKLEMDQAEEAQYGRFLELHMYMTSALGKNDMKAIGLQMALDLLANKEKKDSITGLQTRTQPGRPDWSKVFQKVAAEKKGKVQVFFCGSPALAKVLKGHCEKFGFRFFQENF
+>DECOY_sp|Q96PH1|NOX5_HUMAN NADPH oxidase 5 OS=Homo sapiens OX=9606 GN=NOX5 PE=1 SV=1
+FNEQFFRFGFKECHGKLVKALAPSGCFFVQVKGKKEAAVKQFVKSWDPRGPQTRTQLGTISDKKEKNALLDLAMQLGIAKMDNKGLASTMYMHLELFRGYQAEEAQDMELKTLLSVFWEFSRQDRNIWIFDVKHLKMNDQVGEIWSHQCSPCTHKRKQHRYMISQLISAFPTIGIGAGILVAHESAFIRRTPTGYPGDIYCKINCFKHKELLIESGKSSRQSKRMTVSRSLRKSGRGLPDSAKFSEYLRNTWQGQSRIHLWITDKQEPASSITFPHWEYRAITPINLYLYDGPRYHFFPPRKILLHTVKSPLLNVEMICVAAMRSVALGIAKELFFLIGPVLLWKWFNPGHFILLLWVLLYSLHTWYFVEFHGSRRICSSSCIFMLLLLLLLAVGTPSASGHVWGIGPRTTLLLEWFQFPSAEAQAQLVFNVTHAVTHVLSLGVVVYGMLQHFQINQDLPLVQALWTARLWTLCRRLMLVAIFSCDFNLCQGCGKAVMVSAGLDRHASAALGFLLVHLGAYTALCFLQSRHNHWYARTLQRPRRPRPRPAPATLWHAASITLNEMVGPFRQLEDRLEEFTIAGNGDADASEFLALTLQDLKEDPLSIASERLCSQLVTRLEDPDISGSGTGLPSSAWHPGAGAGWETGASAGQRACVDIDYVQFLFKLKDMPSGHILLTLAEQLEQLTITGSRDSDFLAFFREAFFSEKVHLAAKFEQLSIEGDEGAITKFQQTVWRLWRADEEASMTGRCGEPGTQAPDGSTNM
+>sp|P61583|NP5_HUMAN Endogenous retrovirus group K member 5 Np9 protein OS=Homo sapiens OX=9606 GN=ERVK-5 PE=3 SV=1
+MNPSEMQRKGPPQRWCLQVYPTAPKRQRPSRTGHDDDGGFVEKKRGKCGEKQERSDCYCVCVERSRHRRLHFVLY
+>DECOY_sp|P61583|NP5_HUMAN Endogenous retrovirus group K member 5 Np9 protein OS=Homo sapiens OX=9606 GN=ERVK-5 PE=3 SV=1
+YLVFHLRRHRSREVCVCYCDSREQKEGCKGRKKEVFGGDDDHGTRSPRQRKPATPYVQLCWRQPPGKRQMESPNM
+>sp|E5RHQ5|NPB11_HUMAN Nuclear pore complex-interacting protein family member B11 OS=Homo sapiens OX=9606 GN=NPIPB11 PE=3 SV=1
+MVKLSIVLTPQFLSHDQGQLTKELQQHVKSVTCPCEYLRKVINTLADHHHRGTDFGGSPWLHIIIAFPTSYKVVITLWIVYLWVSLLKTIFWSRNGHDGSTDVQQRAWRSNRRRQEGLRSICMHTKKRVSSFRGNKIGLKDVITLRRHVETKVRAKIRKRKVTTKINRHDKINGKRKTARKQKMFQRAQELRRRAEDYHKCKIPPSARKALCNWVRMAAAEHRHSSGLPYWPYLTAETLKNRMGHQPPPPTQQHCITDNSLSLKTPLECLLTPLPPSADDNLKTPPECLLTPLPPSADDNLKTPPECLLTPLPPSAPPSAPPSADDNLKTRAECLLHPLPPSADDNLKTPSERQLTPLPPSAPPSADDNIKTTAERLRGPLPPSADDNLKTPSERQLTPLPPSAPPSADDNIKTPAEHLRGPLPPSADDNLKTPSERQLTPLPPSAPPSADDNIKTPAERLRGPLPPSADDNLKTPSERQLTPLPPSAPPSADDNIKTPAEHLRGPLPPSADDNLKTPSERQLTPLPPSAPPSADDNIKTTAEHLRGPLPPSADDNLKTPSERQLTPLPPSAPPSADDNIKTPAEHLQFRFHPQRMIISRDLPSVSSLPFHPQLHPQQMIISRYLLSICGFRFHRQRMIISRHLPSVSSLPFHPQLHPQQMIISRHLPSVCGGRFHPQPMIISRHLPSVSSLPFHPQLHPQQMIISRHLPSVCGGRFHPQPMIISRHLPSVSSLPFHPQLHPQQMIISRHLPSVCGGRFHPQPMIISRHLPSVSSLPFHPQLHPQQMIISRHLPSVCGGRFHPQPMIISRHLPSVSSLPFHPQLHPQQMIISRHLPSVCGGRFHPQPMIISRHLPSVSSLPFHPQLHPQQMIISRHLPSVCGERLWVPLPPSADDNLKTPSKRQLTPLPPSAPPSADDNIKTPAERLRGPLPPSADDNLKTPSKRQLTPLPPSAPPSADDNIKTPAERLRGPLPPSADDNLKTPSERQLTPLPPSAPPSADDNIKTPAERLRGPLPPSADDNLKTPSERQLTPLPPSAPTSADDNIKTPAERLRGPLPPSADDNLKTPPLATQEAEAEKPRKPKRQRAAEMEPPPEPKRRRVGDVEPSRKPKRRRAADVEPSSPEPKRRRVGDVEPSRKPKRRRAADVEPSSPEPKRRRLS
+>DECOY_sp|E5RHQ5|NPB11_HUMAN Nuclear pore complex-interacting protein family member B11 OS=Homo sapiens OX=9606 GN=NPIPB11 PE=3 SV=1
+SLRRRKPEPSSPEVDAARRRKPKRSPEVDGVRRRKPEPSSPEVDAARRRKPKRSPEVDGVRRRKPEPPPEMEAARQRKPKRPKEAEAEQTALPPTKLNDDASPPLPGRLREAPTKINDDASTPASPPLPTLQRESPTKLNDDASPPLPGRLREAPTKINDDASPPASPPLPTLQRESPTKLNDDASPPLPGRLREAPTKINDDASPPASPPLPTLQRKSPTKLNDDASPPLPGRLREAPTKINDDASPPASPPLPTLQRKSPTKLNDDASPPLPVWLREGCVSPLHRSIIMQQPHLQPHFPLSSVSPLHRSIIMPQPHFRGGCVSPLHRSIIMQQPHLQPHFPLSSVSPLHRSIIMPQPHFRGGCVSPLHRSIIMQQPHLQPHFPLSSVSPLHRSIIMPQPHFRGGCVSPLHRSIIMQQPHLQPHFPLSSVSPLHRSIIMPQPHFRGGCVSPLHRSIIMQQPHLQPHFPLSSVSPLHRSIIMPQPHFRGGCVSPLHRSIIMQQPHLQPHFPLSSVSPLHRSIIMRQRHFRFGCISLLYRSIIMQQPHLQPHFPLSSVSPLDRSIIMRQPHFRFQLHEAPTKINDDASPPASPPLPTLQRESPTKLNDDASPPLPGRLHEATTKINDDASPPASPPLPTLQRESPTKLNDDASPPLPGRLHEAPTKINDDASPPASPPLPTLQRESPTKLNDDASPPLPGRLREAPTKINDDASPPASPPLPTLQRESPTKLNDDASPPLPGRLHEAPTKINDDASPPASPPLPTLQRESPTKLNDDASPPLPGRLREATTKINDDASPPASPPLPTLQRESPTKLNDDASPPLPHLLCEARTKLNDDASPPASPPASPPLPTLLCEPPTKLNDDASPPLPTLLCEPPTKLNDDASPPLPTLLCELPTKLSLSNDTICHQQTPPPPQHGMRNKLTEATLYPWYPLGSSHRHEAAAMRVWNCLAKRASPPIKCKHYDEARRRLEQARQFMKQKRATKRKGNIKDHRNIKTTVKRKRIKARVKTEVHRRLTIVDKLGIKNGRFSSVRKKTHMCISRLGEQRRRNSRWARQQVDTSGDHGNRSWFITKLLSVWLYVIWLTIVVKYSTPFAIIIHLWPSGGFDTGRHHHDALTNIVKRLYECPCTVSKVHQQLEKTLQGQDHSLFQPTLVISLKVM
+>sp|P48146|NPBW2_HUMAN Neuropeptides B/W receptor type 2 OS=Homo sapiens OX=9606 GN=NPBWR2 PE=1 SV=2
+MQAAGHPEPLDSRGSFSLPTMGANVSQDNGTGHNATFSEPLPFLYVLLPAVYSGICAVGLTGNTAVILVILRAPKMKTVTNVFILNLAVADGLFTLVLPVNIAEHLLQYWPFGELLCKLVLAVDHYNIFSSIYFLAVMSVDRYLVVLATVRSRHMPWRTYRGAKVASLCVWLGVTVLVLPFFSFAGVYSNELQVPSCGLSFPWPEQVWFKASRVYTLVLGFVLPVCTICVLYTDLLRRLRAVRLRSGAKALGKARRKVTVLVLVVLAVCLLCWTPFHLASVVALTTDLPQTPLVISMSYVITSLSYANSCLNPFLYAFLDDNFRKNFRSILRC
+>DECOY_sp|P48146|NPBW2_HUMAN Neuropeptides B/W receptor type 2 OS=Homo sapiens OX=9606 GN=NPBWR2 PE=1 SV=2
+CRLISRFNKRFNDDLFAYLFPNLCSNAYSLSTIVYSMSIVLPTQPLDTTLAVVSALHFPTWCLLCVALVVLVLVTVKRRAKGLAKAGSRLRVARLRRLLDTYLVCITCVPLVFGLVLTYVRSAKFWVQEPWPFSLGCSPVQLENSYVGAFSFFPLVLVTVGLWVCLSAVKAGRYTRWPMHRSRVTALVVLYRDVSMVALFYISSFINYHDVALVLKCLLEGFPWYQLLHEAINVPLVLTFLGDAVALNLIFVNTVTKMKPARLIVLIVATNGTLGVACIGSYVAPLLVYLFPLPESFTANHGTGNDQSVNAGMTPLSFSGRSDLPEPHGAAQM
+>sp|Q9UHC9|NPCL1_HUMAN NPC1-like intracellular cholesterol transporter 1 OS=Homo sapiens OX=9606 GN=NPC1L1 PE=1 SV=2
+MAEAGLRGWLLWALLLRLAQSEPYTTIHQPGYCAFYDECGKNPELSGSLMTLSNVSCLSNTPARKITGDHLILLQKICPRLYTGPNTQACCSAKQLVSLEASLSITKALLTRCPACSDNFVNLHCHNTCSPNQSLFINVTRVAQLGAGQLPAVVAYEAFYQHSFAEQSYDSCSRVRVPAAATLAVGTMCGVYGSALCNAQRWLNFQGDTGNGLAPLDITFHLLEPGQAVGSGIQPLNEGVARCNESQGDDVATCSCQDCAASCPAIARPQALDSTFYLGQMPGSLVLIIILCSVFAVVTILLVGFRVAPARDKSKMVDPKKGTSLSDKLSFSTHTLLGQFFQGWGTWVASWPLTILVLSVIPVVALAAGLVFTELTTDPVELWSAPNSQARSEKAFHDQHFGPFFRTNQVILTAPNRSSYRYDSLLLGPKNFSGILDLDLLLELLELQERLRHLQVWSPEAQRNISLQDICYAPLNPDNTSLYDCCINSLLQYFQNNRTLLLLTANQTLMGQTSQVDWKDHFLYCANAPLTFKDGTALALSCMADYGAPVFPFLAIGGYKGKDYSEAEALIMTFSLNNYPAGDPRLAQAKLWEEAFLEEMRAFQRRMAGMFQVTFMAERSLEDEINRTTAEDLPIFATSYIVIFLYISLALGSYSSWSRVMVDSKATLGLGGVAVVLGAVMAAMGFFSYLGIRSSLVILQVVPFLVLSVGADNIFIFVLEYQRLPRRPGEPREVHIGRALGRVAPSMLLCSLSEAICFFLGALTPMPAVRTFALTSGLAVILDFLLQMSAFVALLSLDSKRQEASRLDVCCCVKPQELPPPGQGEGLLLGFFQKAYAPFLLHWITRGVVLLLFLALFGVSLYSMCHISVGLDQELALPKDSYLLDYFLFLNRYFEVGAPVYFVTTLGYNFSSEAGMNAICSSAGCNNFSFTQKIQYATEFPEQSYLAIPASSWVDDFIDWLTPSSCCRLYISGPNKDKFCPSTVNSLNCLKNCMSITMGSVRPSVEQFHKYLPWFLNDRPNIKCPKGGLAAYSTSVNLTSDGQVLDTVAILSPRLEYSGTISAHCNLYLLDSTSRFMAYHKPLKNSQDYTEALRAARELAANITADLRKVPGTDPAFEVFPYTITNVFYEQYLTILPEGLFMLSLCLVPTFAVSCLLLGLDLRSGLLNLLSIVMILVDTVGFMALWGISYNAVSLINLVSAVGMSVEFVSHITRSFAISTKPTWLERAKEATISMGSAVFAGVAMTNLPGILVLGLAKAQLIQIFFFRLNLLITLLGLLHGLVFLPVILSYVGPDVNPALALEQKRAEEAVAAVMVASCPNHPSRVSTADNIYVNHSFEGSIKGAGAISNFLPNNGRQF
+>DECOY_sp|Q9UHC9|NPCL1_HUMAN NPC1-like intracellular cholesterol transporter 1 OS=Homo sapiens OX=9606 GN=NPC1L1 PE=1 SV=2
+FQRGNNPLFNSIAGAGKISGEFSHNVYINDATSVRSPHNPCSAVMVAAVAEEARKQELALAPNVDPGVYSLIVPLFVLGHLLGLLTILLNLRFFFIQILQAKALGLVLIGPLNTMAVGAFVASGMSITAEKARELWTPKTSIAFSRTIHSVFEVSMGVASVLNILSVANYSIGWLAMFGVTDVLIMVISLLNLLGSRLDLGLLLCSVAFTPVLCLSLMFLGEPLITLYQEYFVNTITYPFVEFAPDTGPVKRLDATINAALERAARLAETYDQSNKLPKHYAMFRSTSDLLYLNCHASITGSYELRPSLIAVTDLVQGDSTLNVSTSYAALGGKPCKINPRDNLFWPLYKHFQEVSPRVSGMTISMCNKLCNLSNVTSPCFKDKNPGSIYLRCCSSPTLWDIFDDVWSSAPIALYSQEPFETAYQIKQTFSFNNCGASSCIANMGAESSFNYGLTTVFYVPAGVEFYRNLFLFYDLLYSDKPLALEQDLGVSIHCMSYLSVGFLALFLLLVVGRTIWHLLFPAYAKQFFGLLLGEGQGPPPLEQPKVCCCVDLRSAEQRKSDLSLLAVFASMQLLFDLIVALGSTLAFTRVAPMPTLAGLFFCIAESLSCLLMSPAVRGLARGIHVERPEGPRRPLRQYELVFIFINDAGVSLVLFPVVQLIVLSSRIGLYSFFGMAAMVAGLVVAVGGLGLTAKSDVMVRSWSSYSGLALSIYLFIVIYSTAFIPLDEATTRNIEDELSREAMFTVQFMGAMRRQFARMEELFAEEWLKAQALRPDGAPYNNLSFTMILAEAESYDKGKYGGIALFPFVPAGYDAMCSLALATGDKFTLPANACYLFHDKWDVQSTQGMLTQNATLLLLTRNNQFYQLLSNICCDYLSTNDPNLPAYCIDQLSINRQAEPSWVQLHRLREQLELLELLLDLDLIGSFNKPGLLLSDYRYSSRNPATLIVQNTRFFPGFHQDHFAKESRAQSNPASWLEVPDTTLETFVLGAALAVVPIVSLVLITLPWSAVWTGWGQFFQGLLTHTSFSLKDSLSTGKKPDVMKSKDRAPAVRFGVLLITVVAFVSCLIIILVLSGPMQGLYFTSDLAQPRAIAPCSAACDQCSCTAVDDGQSENCRAVGENLPQIGSGVAQGPELLHFTIDLPALGNGTDGQFNLWRQANCLASGYVGCMTGVALTAAAPVRVRSCSDYSQEAFSHQYFAEYAVVAPLQGAGLQAVRTVNIFLSQNPSCTNHCHLNVFNDSCAPCRTLLAKTISLSAELSVLQKASCCAQTNPGTYLRPCIKQLLILHDGTIKRAPTNSLCSVNSLTMLSGSLEPNKGCEDYFACYGPQHITTYPESQALRLLLAWLLWGRLGAEAM
+>sp|Q9GZQ6|NPFF1_HUMAN Neuropeptide FF receptor 1 OS=Homo sapiens OX=9606 GN=NPFFR1 PE=2 SV=1
+MEGEPSQPPNSSWPLSQNGTNTEATPATNLTFSSYYQHTSPVAAMFIVAYALIFLLCMVGNTLVCFIVLKNRHMHTVTNMFILNLAVSDLLVGIFCMPTTLVDNLITGWPFDNATCKMSGLVQGMSVSASVFTLVAIAVERFRCIVHPFREKLTLRKALVTIAVIWALALLIMCPSAVTLTVTREEHHFMVDARNRSYPLYSCWEAWPEKGMRRVYTTVLFSHIYLAPLALIVVMYARIARKLCQAPGPAPGGEEAADPRASRRRARVVHMLVMVALFFTLSWLPLWALLLLIDYGQLSAPQLHLVTVYAFPFAHWLAFFNSSANPIIYGYFNENFRRGFQAAFRARLCPRPSGSHKEAYSERPGGLLHRRVFVVVRPSDSGLPSESGPSSGAPRPGRLPLRNGRVAHHGLPREGPGCSHLPLTIPAWDI
+>DECOY_sp|Q9GZQ6|NPFF1_HUMAN Neuropeptide FF receptor 1 OS=Homo sapiens OX=9606 GN=NPFFR1 PE=2 SV=1
+IDWAPITLPLHSCGPGERPLGHHAVRGNRLPLRGPRPAGSSPGSESPLGSDSPRVVVFVRRHLLGGPRESYAEKHSGSPRPCLRARFAAQFGRRFNENFYGYIIPNASSNFFALWHAFPFAYVTVLHLQPASLQGYDILLLLAWLPLWSLTFFLAVMVLMHVVRARRRSARPDAAEEGGPAPGPAQCLKRAIRAYMVVILALPALYIHSFLVTTYVRRMGKEPWAEWCSYLPYSRNRADVMFHHEERTVTLTVASPCMILLALAWIVAITVLAKRLTLKERFPHVICRFREVAIAVLTFVSASVSMGQVLGSMKCTANDFPWGTILNDVLTTPMCFIGVLLDSVALNLIFMNTVTHMHRNKLVIFCVLTNGVMCLLFILAYAVIFMAAVPSTHQYYSSFTLNTAPTAETNTGNQSLPWSSNPPQSPEGEM
+>sp|E9PIF3|NPIA2_HUMAN Nuclear pore complex-interacting protein family member A2 OS=Homo sapiens OX=9606 GN=NPIPA2 PE=3 SV=1
+MVKLSIVLTPRFLSHDQGQLTKELQQHVKSVTCPCEYLRKVINTLADHRHRGTDFGGSPWLLIITVFLRSYKFAISLCTSYLCVSFLKTIFPSQNGHDGSTDVQQRARRSNRRRQEGIKIVLEDIFTLWRQVETKVRAKICKMKVTTKVNRHDKINGKRKTAKEHLRKLSMKEREHGEKERQVSEAEENGKLDMKEIHTYMEMFQRAQALRRRAEDYYRCKITPSARKPLCNRVRMAAAEHRHSSGLPYWPYLTAETLKNRMGHQPPPPTQQHSIIDNSLSLKTPPECLLTPLPPSALPSADDNLKTPAECLLYPLPPSADDNLKTPPECLLTPLPPSAPPSADDNLKTPPKCVCSLPFHPQRMIISRN
+>DECOY_sp|E9PIF3|NPIA2_HUMAN Nuclear pore complex-interacting protein family member A2 OS=Homo sapiens OX=9606 GN=NPIPA2 PE=3 SV=1
+NRSIIMRQPHFPLSCVCKPPTKLNDDASPPASPPLPTLLCEPPTKLNDDASPPLPYLLCEAPTKLNDDASPLASPPLPTLLCEPPTKLSLSNDIISHQQTPPPPQHGMRNKLTEATLYPWYPLGSSHRHEAAAMRVRNCLPKRASPTIKCRYYDEARRRLAQARQFMEMYTHIEKMDLKGNEEAESVQREKEGHEREKMSLKRLHEKATKRKGNIKDHRNVKTTVKMKCIKARVKTEVQRWLTFIDELVIKIGEQRRRNSRRARQQVDTSGDHGNQSPFITKLFSVCLYSTCLSIAFKYSRLFVTIILLWPSGGFDTGRHRHDALTNIVKRLYECPCTVSKVHQQLEKTLQGQDHSLFRPTLVISLKVM
+>sp|P06748|NPM_HUMAN Nucleophosmin OS=Homo sapiens OX=9606 GN=NPM1 PE=1 SV=2
+MEDSMDMDMSPLRPQNYLFGCELKADKDYHFKVDNDENEHQLSLRTVSLGAGAKDELHIVEAEAMNYEGSPIKVTLATLKMSVQPTVSLGGFEITPPVVLRLKCGSGPVHISGQHLVAVEEDAESEDEEEEDVKLLSISGKRSAPGGGSKVPQKKVKLAADEDDDDDDEEDDDEDDDDDDFDDEEAEEKAPVKKSIRDTPAKNAQKSNQNGKDSKPSSTPRSKGQESFKKQEKTPKTPKGPSSVEDIKAKMQASIEKGGSLPKVEAKFINYVKNCFRMTDQEAIQDLWQWRKSL
+>DECOY_sp|P06748|NPM_HUMAN Nucleophosmin OS=Homo sapiens OX=9606 GN=NPM1 PE=1 SV=2
+LSKRWQWLDQIAEQDTMRFCNKVYNIFKAEVKPLSGGKEISAQMKAKIDEVSSPGKPTKPTKEQKKFSEQGKSRPTSSPKSDKGNQNSKQANKAPTDRISKKVPAKEEAEEDDFDDDDDDEDDDEEDDDDDDEDAALKVKKQPVKSGGGPASRKGSISLLKVDEEEEDESEADEEVAVLHQGSIHVPGSGCKLRLVVPPTIEFGGLSVTPQVSMKLTALTVKIPSGEYNMAEAEVIHLEDKAGAGLSVTRLSLQHENEDNDVKFHYDKDAKLECGFLYNQPRLPSMDMDMSDEM
+>sp|Q8WTW4|NPRL2_HUMAN GATOR complex protein NPRL2 OS=Homo sapiens OX=9606 GN=NPRL2 PE=1 SV=2
+MGSGCRIECIFFSEFHPTLGPKITYQVPEDFISRELFDTVQVYIITKPELQNKLITVTAMEKKLIGCPVCIEHKKYSRNALLFNLGFVCDAQAKTCALEPIVKKLAGYLTTLELESSFVSMEESKQKLVPIMTILLEELNASGRCTLPIDESNTIHLKVIEQRPDPPVAQEYDVPVFTKDKEDFFNSQWDLTTQQILPYIDGFRHIQKISAEADVELNLVRIAIQNLLYYGVVTLVSILQYSNVYCPTPKVQDLVDDKSLQEACLSYVTKQGHKRASLRDVFQLYCSLSPGTTVRDLIGRHPQQLQHVDERKLIQFGLMKNLIRRLQKYPVRVTREEQSHPARLYTGCHSYDEICCKTGMSYHELDERLENDPNIIICWK
+>DECOY_sp|Q8WTW4|NPRL2_HUMAN GATOR complex protein NPRL2 OS=Homo sapiens OX=9606 GN=NPRL2 PE=1 SV=2
+KWCIIINPDNELREDLEHYSMGTKCCIEDYSHCGTYLRAPHSQEERTVRVPYKQLRRILNKMLGFQILKREDVHQLQQPHRGILDRVTTGPSLSCYLQFVDRLSARKHGQKTVYSLCAEQLSKDDVLDQVKPTPCYVNSYQLISVLTVVGYYLLNQIAIRVLNLEVDAEASIKQIHRFGDIYPLIQQTTLDWQSNFFDEKDKTFVPVDYEQAVPPDPRQEIVKLHITNSEDIPLTCRGSANLEELLITMIPVLKQKSEEMSVFSSELELTTLYGALKKVIPELACTKAQADCVFGLNFLLANRSYKKHEICVPCGILKKEMATVTILKNQLEPKTIIYVQVTDFLERSIFDEPVQYTIKPGLTPHFESFFICEIRCGSGM
+>sp|Q15761|NPY5R_HUMAN Neuropeptide Y receptor type 5 OS=Homo sapiens OX=9606 GN=NPY5R PE=2 SV=2
+MDLELDEYYNKTLATENNTAATRNSDFPVWDDYKSSVDDLQYFLIGLYTFVSLLGFMGNLLILMALMKKRNQKTTVNFLIGNLAFSDILVVLFCSPFTLTSVLLDQWMFGKVMCHIMPFLQCVSVLVSTLILISIAIVRYHMIKHPISNNLTANHGYFLIATVWTLGFAICSPLPVFHSLVELQETFGSALLSSRYLCVESWPSDSYRIAFTISLLLVQYILPLVCLTVSHTSVCRSISCGLSNKENRLEENEMINLTLHPSKKSGPQVKLSGSHKWSYSFIKKHRRRYSKKTACVLPAPERPSQENHSRILPENFGSVRSQLSSSSKFIPGVPTCFEIKPEENSDVHELRVKRSVTRIKKRSRSVFYRLTILILVFAVSWMPLHLFHVVTDFNDNLISNRHFKLVYCICHLLGMMSCCLNPILYGFLNNGIKADLVSLIHCLHM
+>DECOY_sp|Q15761|NPY5R_HUMAN Neuropeptide Y receptor type 5 OS=Homo sapiens OX=9606 GN=NPY5R PE=2 SV=2
+MHLCHILSVLDAKIGNNLFGYLIPNLCCSMMGLLHCICYVLKFHRNSILNDNFDTVVHFLHLPMWSVAFVLILITLRYFVSRSRKKIRTVSRKVRLEHVDSNEEPKIEFCTPVGPIFKSSSSLQSRVSGFNEPLIRSHNEQSPREPAPLVCATKKSYRRRHKKIFSYSWKHSGSLKVQPGSKKSPHLTLNIMENEELRNEKNSLGCSISRCVSTHSVTLCVLPLIYQVLLLSITFAIRYSDSPWSEVCLYRSSLLASGFTEQLEVLSHFVPLPSCIAFGLTWVTAILFYGHNATLNNSIPHKIMHYRVIAISILILTSVLVSVCQLFPMIHCMVKGFMWQDLLVSTLTFPSCFLVVLIDSFALNGILFNVTTKQNRKKMLAMLILLNGMFGLLSVFTYLGILFYQLDDVSSKYDDWVPFDSNRTAATNNETALTKNYYEDLELDM
+>sp|Q14995|NR1D2_HUMAN Nuclear receptor subfamily 1 group D member 2 OS=Homo sapiens OX=9606 GN=NR1D2 PE=1 SV=3
+MEVNAGGVIAYISSSSSASSPASCHSEGSENSFQSSSSSVPSSPNSSNSDTNGNPKNGDLANIEGILKNDRIDCSMKTSKSSAPGMTKSHSGVTKFSGMVLLCKVCGDVASGFHYGVHACEGCKGFFRRSIQQNIQYKKCLKNENCSIMRMNRNRCQQCRFKKCLSVGMSRDAVRFGRIPKREKQRMLIEMQSAMKTMMNSQFSGHLQNDTLVEHHEQTALPAQEQLRPKPQLEQENIKSSSPPSSDFAKEEVIGMVTRAHKDTFMYNQEQQENSAESMQPQRGERIPKNMEQYNLNHDHCGNGLSSHFPCSESQQHLNGQFKGRNIMHYPNGHAICIANGHCMNFSNAYTQRVCDRVPIDGFSQNENKNSYLCNTGGRMHLVCPLSKSPYVDPHKSGHEIWEEFSMSFTPAVKEVVEFAKRIPGFRDLSQHDQVNLLKAGTFEVLMVRFASLFDAKERTVTFLSGKKYSVDDLHSMGAGDLLNSMFEFSEKLNALQLSDEEMSLFTAVVLVSADRSGIENVNSVEALQETLIRALRTLIMKNHPNEASIFTKLLLKLPDLRSLNNMHSEELLAFKVHP
+>DECOY_sp|Q14995|NR1D2_HUMAN Nuclear receptor subfamily 1 group D member 2 OS=Homo sapiens OX=9606 GN=NR1D2 PE=1 SV=3
+PHVKFALLEESHMNNLSRLDPLKLLLKTFISAENPHNKMILTRLARILTEQLAEVSNVNEIGSRDASVLVVATFLSMEEDSLQLANLKESFEFMSNLLDGAGMSHLDDVSYKKGSLFTVTREKADFLSAFRVMLVEFTGAKLLNVQDHQSLDRFGPIRKAFEVVEKVAPTFSMSFEEWIEHGSKHPDVYPSKSLPCVLHMRGGTNCLYSNKNENQSFGDIPVRDCVRQTYANSFNMCHGNAICIAHGNPYHMINRGKFQGNLHQQSESCPFHSSLGNGCHDHNLNYQEMNKPIREGRQPQMSEASNEQQEQNYMFTDKHARTVMGIVEEKAFDSSPPSSSKINEQELQPKPRLQEQAPLATQEHHEVLTDNQLHGSFQSNMMTKMASQMEILMRQKERKPIRGFRVADRSMGVSLCKKFRCQQCRNRNMRMISCNENKLCKKYQINQQISRRFFGKCGECAHVGYHFGSAVDGCVKCLLVMGSFKTVGSHSKTMGPASSKSTKMSCDIRDNKLIGEINALDGNKPNGNTDSNSSNPSSPVSSSSSQFSNESGESHCSAPSSASSSSSIYAIVGGANVEM
+>sp|Q9ULX3|NOB1_HUMAN RNA-binding protein NOB1 OS=Homo sapiens OX=9606 GN=NOB1 PE=1 SV=1
+MAPVEHVVADAGAFLRHAALQDIGKNIYTIREVVTEIRDKATRRRLAVLPYELRFKEPLPEYVRLVTEFSKKTGDYPSLSATDIQVLALTYQLEAEFVGVSHLKQEPQKVKVSSSIQHPETPLHISGFHLPYKPKPPQETEKGHSACEPENLEFSSFMFWRNPLPNIDHELQELLIDRGEDVPSEEEEEEENGFEDRKDDSDDDGGGWITPSNIKQIQQELEQCDVPEDVRVGCLTTDFAMQNVLLQMGLHVLAVNGMLIREARSYILRCHGCFKTTSDMSRVFCSHCGNKTLKKVSVTVSDDGTLHMHFSRNPKVLNPRGLRYSLPTPKGGKYAINPHLTEDQRFPQLRLSQKARQKTNVFAPDYIAGVSPFVENDISSRSATLQVRDSTLGAGRRRLNPNASRKKFVKKR
+>DECOY_sp|Q9ULX3|NOB1_HUMAN RNA-binding protein NOB1 OS=Homo sapiens OX=9606 GN=NOB1 PE=1 SV=1
+RKKVFKKRSANPNLRRRGAGLTSDRVQLTASRSSIDNEVFPSVGAIYDPAFVNTKQRAKQSLRLQPFRQDETLHPNIAYKGGKPTPLSYRLGRPNLVKPNRSFHMHLTGDDSVTVSVKKLTKNGCHSCFVRSMDSTTKFCGHCRLIYSRAERILMGNVALVHLGMQLLVNQMAFDTTLCGVRVDEPVDCQELEQQIQKINSPTIWGGGDDDSDDKRDEFGNEEEEEEESPVDEGRDILLEQLEHDINPLPNRWFMFSSFELNEPECASHGKETEQPPKPKYPLHFGSIHLPTEPHQISSSVKVKQPEQKLHSVGVFEAELQYTLALVQIDTASLSPYDGTKKSFETVLRVYEPLPEKFRLEYPLVALRRRTAKDRIETVVERITYINKGIDQLAAHRLFAGADAVVHEVPAM
+>sp|Q9BSC4|NOL10_HUMAN Nucleolar protein 10 OS=Homo sapiens OX=9606 GN=NOL10 PE=1 SV=1
+MQVSSLNEVKIYSLSCGKSLPEWLSDRKKRALQKKDVDVRRRIELIQDFEMPTVCTTIKVSKDGQYILATGTYKPRVRCYDTYQLSLKFERCLDSEVVTFEILSDDYSKIVFLHNDRYIEFHSQSGFYYKTRIPKFGRDFSYHYPSCDLYFVGASSEVYRLNLEQGRYLNPLQTDAAENNVCDINSVHGLFATGTIEGRVECWDPRTRNRVGLLDCALNSVTADSEINSLPTISALKFNGALTMAVGTTTGQVLLYDLRSDKPLLVKDHQYGLPIKSVHFQDSLDLILSADSRIVKMWNKNSGKIFTSLEPEHDLNDVCLYPNSGMLLTANETPKMGIYYIPVLGPAPRWCSFLDNLTEELEENPESTVYDDYKFVTKKDLENLGLTHLIGSPFLRAYMHGFFMDIRLYHKVKLMVNPFAYEEYRKDKIRQKIEETRAQRVQLKKLPKVNKELALKLIEEEEEKQKSTWKKKVKSLPNILTDDRFKVMFENPDFQVDEESEEFRLLNPLVSKISEKRKKKLRLLEQQELREKEEEEEPEGKPSDAESSESSDDEKAWVEEVRKQRRLLQQEEKVKRQERLKEDQQTVLKPQFYEIKAGEEFRSFKDSATKQKLMNKTLEDRLKIEAKNGTLSVSDTTVGSKQLTFTLKRSEQQKKQQEAEKLHRQERKRLRRSAGHLKSRHKRGRSFH
+>DECOY_sp|Q9BSC4|NOL10_HUMAN Nucleolar protein 10 OS=Homo sapiens OX=9606 GN=NOL10 PE=1 SV=1
+HFSRGRKHRSKLHGASRRLRKREQRHLKEAEQQKKQQESRKLTFTLQKSGVTTDSVSLTGNKAEIKLRDELTKNMLKQKTASDKFSRFEEGAKIEYFQPKLVTQQDEKLREQRKVKEEQQLLRRQKRVEEVWAKEDDSSESSEADSPKGEPEEEEEKERLEQQELLRLKKKRKESIKSVLPNLLRFEESEEDVQFDPNEFMVKFRDDTLINPLSKVKKKWTSKQKEEEEEILKLALEKNVKPLKKLQVRQARTEEIKQRIKDKRYEEYAFPNVMLKVKHYLRIDMFFGHMYARLFPSGILHTLGLNELDKKTVFKYDDYVTSEPNEELEETLNDLFSCWRPAPGLVPIYYIGMKPTENATLLMGSNPYLCVDNLDHEPELSTFIKGSNKNWMKVIRSDASLILDLSDQFHVSKIPLGYQHDKVLLPKDSRLDYLLVQGTTTGVAMTLAGNFKLASITPLSNIESDATVSNLACDLLGVRNRTRPDWCEVRGEITGTAFLGHVSNIDCVNNEAADTQLPNLYRGQELNLRYVESSAGVFYLDCSPYHYSFDRGFKPIRTKYYFGSQSHFEIYRDNHLFVIKSYDDSLIEFTVVESDLCREFKLSLQYTDYCRVRPKYTGTALIYQGDKSVKITTCVTPMEFDQILEIRRRVDVDKKQLARKKRDSLWEPLSKGCSLSYIKVENLSSVQM
+>sp|Q9H8H0|NOL11_HUMAN Nucleolar protein 11 OS=Homo sapiens OX=9606 GN=NOL11 PE=1 SV=1
+MAALEEEFTLSSVVLSAGPEGLLGVEQSDKTDQFLVTDSGRTVILYKVSDQKPLGSWSVKQGQIITCPAVCNFQTGEYVVVHDNKVLRIWNNEDVNLDKVFKATLSAEVYRILSVQGTEPLVLFKEGAVRGLEALLADPQQKIETVISDEEVIKWTKFFVVFRHPVLIFITEKHGNYFAYVQMFNSRILTKYTLLLGQDENSVIKSFTASVDRKFISLMSLSSDGCIYETLIPIRPADPEKNQSLVKSLLLKAVVSGNARNGVALTALDQDHVAVLGSPLAASKECLSVWNIKFQTLQTSKELPQGTSGQLWYYGEHLFMLHGKSLTVIPYKCEVSSLAGALGKLKHSQDPGTHVVSHFVNWETPQGCGLGFQNSEQSRRILRRRKIEVSLQPEVPPSKQLLSTIMKDSEKHIEVEVRKFLALKQTPDFHTVIGDTVTGLLERCKAEPSFYPRNCLMQLIQTHVLSYSLCPDLMEIALKKKDVQLLQLCLQQFPDIPESVTCACLKIFLSIGDDSLQETDVNMESVFDYSINSVHDEKMEEQTEILQNGFNPEEDKCNNCDQELNKKPQDETKESTSCPVVQKRAALLNAILHSAYSETFLLPHLKDIPAQHITLFLKYLYFLYLKCSENATMTLPGIHPPTLNQIMDWICLLLDANFTVVVMMPEAKRLLINLYKLVKSQISVYSELNKIEVSFRELQKLNQEKNNRGLYSIEVLELF
+>DECOY_sp|Q9H8H0|NOL11_HUMAN Nucleolar protein 11 OS=Homo sapiens OX=9606 GN=NOL11 PE=1 SV=1
+FLELVEISYLGRNNKEQNLKQLERFSVEIKNLESYVSIQSKVLKYLNILLRKAEPMMVVVTFNADLLLCIWDMIQNLTPPHIGPLTMTANESCKLYLFYLYKLFLTIHQAPIDKLHPLLFTESYASHLIANLLAARKQVVPCSTSEKTEDQPKKNLEQDCNNCKDEEPNFGNQLIETQEEMKEDHVSNISYDFVSEMNVDTEQLSDDGISLFIKLCACTVSEPIDPFQQLCLQLLQVDKKKLAIEMLDPCLSYSLVHTQILQMLCNRPYFSPEAKCRELLGTVTDGIVTHFDPTQKLALFKRVEVEIHKESDKMITSLLQKSPPVEPQLSVEIKRRRLIRRSQESNQFGLGCGQPTEWNVFHSVVHTGPDQSHKLKGLAGALSSVECKYPIVTLSKGHLMFLHEGYYWLQGSTGQPLEKSTQLTQFKINWVSLCEKSAALPSGLVAVHDQDLATLAVGNRANGSVVAKLLLSKVLSQNKEPDAPRIPILTEYICGDSSLSMLSIFKRDVSATFSKIVSNEDQGLLLTYKTLIRSNFMQVYAFYNGHKETIFILVPHRFVVFFKTWKIVEEDSIVTEIKQQPDALLAELGRVAGEKFLVLPETGQVSLIRYVEASLTAKFVKDLNVDENNWIRLVKNDHVVVYEGTQFNCVAPCTIIQGQKVSWSGLPKQDSVKYLIVTRGSDTVLFQDTKDSQEVGLLGEPGASLVVSSLTFEEELAAM
+>sp|Q14978|NOLC1_HUMAN Nucleolar and coiled-body phosphoprotein 1 OS=Homo sapiens OX=9606 GN=NOLC1 PE=1 SV=2
+MADAGIRRVVPSDLYPLVLGFLRDNQLSEVANKFAKATGATQQDANASSLLDIYSFWLKSAKVPERKLQANGPVAKKAKKKASSSDSEDSSEEEEEVQGPPAKKAAVPAKRVGLPPGKAAAKASESSSSEESSDDDDEEDQKKQPVQKGVKPQAKAAKAPPKKAKSSDSDSDSSSEDEPPKNQKPKITPVTVKAQTKAPPKPARAAPKIANGKAASSSSSSSSSSSSDDSEEEKAAATPKKTVPKKQVVAKAPVKAATTPTRKSSSSEDSSSDEEEEQKKPMKNKPGPYSSVPPPSAPPPKKSLGTQPPKKAVEKQQPVESSEDSSDESDSSSEEEKKPPTKAVVSKATTKPPPAKKAAESSSDSSDSDSSEDDEAPSKPAGTTKNSSNKPAVTTKSPAVKPAAAPKQPVGGGQKLLTRKADSSSSEEESSSSEEEKTKKMVATTKPKATAKAALSLPAKQAPQGSRDSSSDSDSSSSEEEEEKTSKSAVKKKPQKVAGGAAPSKPASAKKGKAESSNSSSSDDSSEEEEEKLKGKGSPRPQAPKANGTSALTAQNGKAAKNSEEEEEEKKKAAVVVSKSGSLKKRKQNEAAKEAETPQAKKIKLQTPNTFPKRKKGEKRASSPFRRVREEEIEVDSRVADNSFDAKRGAAGDWGERANQVLKFTKGKSFRHEKTKKKRGSYRGGSISVQVNSIKFDSE
+>DECOY_sp|Q14978|NOLC1_HUMAN Nucleolar and coiled-body phosphoprotein 1 OS=Homo sapiens OX=9606 GN=NOLC1 PE=1 SV=2
+ESDFKISNVQVSISGGRYSGRKKKTKEHRFSKGKTFKLVQNAREGWDGAAGRKADFSNDAVRSDVEIEEERVRRFPSSARKEGKKRKPFTNPTQLKIKKAQPTEAEKAAENQKRKKLSGSKSVVVAAKKKEEEEEESNKAAKGNQATLASTGNAKPAQPRPSGKGKLKEEEEESSDDSSSSNSSEAKGKKASAPKSPAAGGAVKQPKKKVASKSTKEEEEESSSSDSDSSSDRSGQPAQKAPLSLAAKATAKPKTTAVMKKTKEEESSSSEEESSSSDAKRTLLKQGGGVPQKPAAAPKVAPSKTTVAPKNSSNKTTGAPKSPAEDDESSDSDSSDSSSEAAKKAPPPKTTAKSVVAKTPPKKEEESSSDSEDSSDESSEVPQQKEVAKKPPQTGLSKKPPPASPPPVSSYPGPKNKMPKKQEEEEDSSSDESSSSKRTPTTAAKVPAKAVVQKKPVTKKPTAAAKEEESDDSSSSSSSSSSSSAAKGNAIKPAARAPKPPAKTQAKVTVPTIKPKQNKPPEDESSSDSDSDSSKAKKPPAKAAKAQPKVGKQVPQKKQDEEDDDDSSEESSSSESAKAAAKGPPLGVRKAPVAAKKAPPGQVEEEEESSDESDSSSAKKKAKKAVPGNAQLKREPVKASKLWFSYIDLLSSANADQQTAGTAKAFKNAVESLQNDRLFGLVLPYLDSPVVRRIGADAM
+>sp|P46531|NOTC1_HUMAN Neurogenic locus notch homolog protein 1 OS=Homo sapiens OX=9606 GN=NOTCH1 PE=1 SV=4
+MPPLLAPLLCLALLPALAARGPRCSQPGETCLNGGKCEAANGTEACVCGGAFVGPRCQDPNPCLSTPCKNAGTCHVVDRRGVADYACSCALGFSGPLCLTPLDNACLTNPCRNGGTCDLLTLTEYKCRCPPGWSGKSCQQADPCASNPCANGGQCLPFEASYICHCPPSFHGPTCRQDVNECGQKPGLCRHGGTCHNEVGSYRCVCRATHTGPNCERPYVPCSPSPCQNGGTCRPTGDVTHECACLPGFTGQNCEENIDDCPGNNCKNGGACVDGVNTYNCRCPPEWTGQYCTEDVDECQLMPNACQNGGTCHNTHGGYNCVCVNGWTGEDCSENIDDCASAACFHGATCHDRVASFYCECPHGRTGLLCHLNDACISNPCNEGSNCDTNPVNGKAICTCPSGYTGPACSQDVDECSLGANPCEHAGKCINTLGSFECQCLQGYTGPRCEIDVNECVSNPCQNDATCLDQIGEFQCICMPGYEGVHCEVNTDECASSPCLHNGRCLDKINEFQCECPTGFTGHLCQYDVDECASTPCKNGAKCLDGPNTYTCVCTEGYTGTHCEVDIDECDPDPCHYGSCKDGVATFTCLCRPGYTGHHCETNINECSSQPCRHGGTCQDRDNAYLCFCLKGTTGPNCEINLDDCASSPCDSGTCLDKIDGYECACEPGYTGSMCNINIDECAGNPCHNGGTCEDGINGFTCRCPEGYHDPTCLSEVNECNSNPCVHGACRDSLNGYKCDCDPGWSGTNCDINNNECESNPCVNGGTCKDMTSGYVCTCREGFSGPNCQTNINECASNPCLNQGTCIDDVAGYKCNCLLPYTGATCEVVLAPCAPSPCRNGGECRQSEDYESFSCVCPTGWQGQTCEVDINECVLSPCRHGASCQNTHGGYRCHCQAGYSGRNCETDIDDCRPNPCHNGGSCTDGINTAFCDCLPGFRGTFCEEDINECASDPCRNGANCTDCVDSYTCTCPAGFSGIHCENNTPDCTESSCFNGGTCVDGINSFTCLCPPGFTGSYCQHDVNECDSQPCLHGGTCQDGCGSYRCTCPQGYTGPNCQNLVHWCDSSPCKNGGKCWQTHTQYRCECPSGWTGLYCDVPSVSCEVAAQRQGVDVARLCQHGGLCVDAGNTHHCRCQAGYTGSYCEDLVDECSPSPCQNGATCTDYLGGYSCKCVAGYHGVNCSEEIDECLSHPCQNGGTCLDLPNTYKCSCPRGTQGVHCEINVDDCNPPVDPVSRSPKCFNNGTCVDQVGGYSCTCPPGFVGERCEGDVNECLSNPCDARGTQNCVQRVNDFHCECRAGHTGRRCESVINGCKGKPCKNGGTCAVASNTARGFICKCPAGFEGATCENDARTCGSLRCLNGGTCISGPRSPTCLCLGPFTGPECQFPASSPCLGGNPCYNQGTCEPTSESPFYRCLCPAKFNGLLCHILDYSFGGGAGRDIPPPLIEEACELPECQEDAGNKVCSLQCNNHACGWDGGDCSLNFNDPWKNCTQSLQCWKYFSDGHCDSQCNSAGCLFDGFDCQRAEGQCNPLYDQYCKDHFSDGHCDQGCNSAECEWDGLDCAEHVPERLAAGTLVVVVLMPPEQLRNSSFHFLRELSRVLHTNVVFKRDAHGQQMIFPYYGREEELRKHPIKRAAEGWAAPDALLGQVKASLLPGGSEGGRRRRELDPMDVRGSIVYLEIDNRQCVQASSQCFQSATDVAAFLGALASLGSLNIPYKIEAVQSETVEPPPPAQLHFMYVAAAAFVLLFFVGCGVLLSRKRRRQHGQLWFPEGFKVSEASKKKRREPLGEDSVGLKPLKNASDGALMDDNQNEWGDEDLETKKFRFEEPVVLPDLDDQTDHRQWTQQHLDAADLRMSAMAPTPPQGEVDADCMDVNVRGPDGFTPLMIASCSGGGLETGNSEEEEDAPAVISDFIYQGASLHNQTDRTGETALHLAARYSRSDAAKRLLEASADANIQDNMGRTPLHAAVSADAQGVFQILIRNRATDLDARMHDGTTPLILAARLAVEGMLEDLINSHADVNAVDDLGKSALHWAAAVNNVDAAVVLLKNGANKDMQNNREETPLFLAAREGSYETAKVLLDHFANRDITDHMDRLPRDIAQERMHHDIVRLLDEYNLVRSPQLHGAPLGGTPTLSPPLCSPNGYLGSLKPGVQGKKVRKPSSKGLACGSKEAKDLKARRKKSQDGKGCLLDSSGMLSPVDSLESPHGYLSDVASPPLLPSPFQQSPSVPLNHLPGMPDTHLGIGHLNVAAKPEMAALGGGGRLAFETGPPRLSHLPVASGTSTVLGSSSGGALNFTVGGSTSLNGQCEWLSRLQSGMVPNQYNPLRGSVAPGPLSTQAPSLQHGMVGPLHSSLAASALSQMMSYQGLPSTRLATQPHLVQTQQVQPQNLQMQQQNLQPANIQQQQSLQPPPPPPQPHLGVSSAASGHLGRSFLSGEPSQADVQPLGPSSLAVHTILPQESPALPTSLPSSLVPPVTAAQFLTPPSQHSYSSPVDNTPSHQLQVPEHPFLTPSPESPDQWSSSSPHSNVSDWSEGVSSPPTSMQSQIARIPEAFK
+>DECOY_sp|P46531|NOTC1_HUMAN Neurogenic locus notch homolog protein 1 OS=Homo sapiens OX=9606 GN=NOTCH1 PE=1 SV=4
+KFAEPIRAIQSQMSTPPSSVGESWDSVNSHPSSSSWQDPSEPSPTLFPHEPVQLQHSPTNDVPSSYSHQSPPTLFQAATVPPVLSSPLSTPLAPSEQPLITHVALSSPGLPQVDAQSPEGSLFSRGLHGSAASSVGLHPQPPPPPPQLSQQQQINAPQLNQQQMQLNQPQVQQTQVLHPQTALRTSPLGQYSMMQSLASAALSSHLPGVMGHQLSPAQTSLPGPAVSGRLPNYQNPVMGSQLRSLWECQGNLSTSGGVTFNLAGGSSSGLVTSTGSAVPLHSLRPPGTEFALRGGGGLAAMEPKAAVNLHGIGLHTDPMGPLHNLPVSPSQQFPSPLLPPSAVDSLYGHPSELSDVPSLMGSSDLLCGKGDQSKKRRAKLDKAEKSGCALGKSSPKRVKKGQVGPKLSGLYGNPSCLPPSLTPTGGLPAGHLQPSRVLNYEDLLRVIDHHMREQAIDRPLRDMHDTIDRNAFHDLLVKATEYSGERAALFLPTEERNNQMDKNAGNKLLVVAADVNNVAAAWHLASKGLDDVANVDAHSNILDELMGEVALRAALILPTTGDHMRADLDTARNRILIQFVGQADASVAAHLPTRGMNDQINADASAELLRKAADSRSYRAALHLATEGTRDTQNHLSAGQYIFDSIVAPADEEEESNGTELGGGSCSAIMLPTFGDPGRVNVDMCDADVEGQPPTPAMASMRLDAADLHQQTWQRHDTQDDLDPLVVPEEFRFKKTELDEDGWENQNDDMLAGDSANKLPKLGVSDEGLPERRKKKSAESVKFGEPFWLQGHQRRRKRSLLVGCGVFFLLVFAAAAVYMFHLQAPPPPEVTESQVAEIKYPINLSGLSALAGLFAAVDTASQFCQSSAQVCQRNDIELYVISGRVDMPDLERRRRGGESGGPLLSAKVQGLLADPAAWGEAARKIPHKRLEEERGYYPFIMQQGHADRKFVVNTHLVRSLERLFHFSSNRLQEPPMLVVVVLTGAALREPVHEACDLGDWECEASNCGQDCHGDSFHDKCYQDYLPNCQGEARQCDFGDFLCGASNCQSDCHGDSFYKWCQLSQTCNKWPDNFNLSCDGGDWGCAHNNCQLSCVKNGADEQCEPLECAEEILPPPIDRGAGGGFSYDLIHCLLGNFKAPCLCRYFPSESTPECTGQNYCPNGGLCPSSAPFQCEPGTFPGLCLCTPSRPGSICTGGNLCRLSGCTRADNECTAGEFGAPCKCIFGRATNSAVACTGGNKCPKGKCGNIVSECRRGTHGARCECHFDNVRQVCNQTGRADCPNSLCENVDGECREGVFGPPCTCSYGGVQDVCTGNNFCKPSRSVPDVPPNCDDVNIECHVGQTGRPCSCKYTNPLDLCTGGNQCPHSLCEDIEESCNVGHYGAVCKCSYGGLYDTCTAGNQCPSPSCEDVLDECYSGTYGAQCRCHHTNGADVCLGGHQCLRAVDVGQRQAAVECSVSPVDCYLGTWGSPCECRYQTHTQWCKGGNKCPSSDCWHVLNQCNPGTYGQPCTCRYSGCGDQCTGGHLCPQSDCENVDHQCYSGTFGPPCLCTFSNIGDVCTGGNFCSSETCDPTNNECHIGSFGAPCTCTYSDVCDTCNAGNRCPDSACENIDEECFTGRFGPLCDCFATNIGDTCSGGNHCPNPRCDDIDTECNRGSYGAQCHCRYGGHTNQCSAGHRCPSLVCENIDVECTQGQWGTPCVCSFSEYDESQRCEGGNRCPSPACPALVVECTAGTYPLLCNCKYGAVDDICTGQNLCPNSACENINTQCNPGSFGERCTCVYGSTMDKCTGGNVCPNSECENNNIDCNTGSWGPDCDCKYGNLSDRCAGHVCPNSNCENVESLCTPDHYGEPCRCTFGNIGDECTGGNHCPNGACEDININCMSGTYGPECACEYGDIKDLCTGSDCPSSACDDLNIECNPGTTGKLCFCLYANDRDQCTGGHRCPQSSCENINTECHHGTYGPRCLCTFTAVGDKCSGYHCPDPDCEDIDVECHTGTYGETCVCTYTNPGDLCKAGNKCPTSACEDVDYQCLHGTFGTPCECQFENIKDLCRGNHLCPSSACEDTNVECHVGEYGPMCICQFEGIQDLCTADNQCPNSVCENVDIECRPGTYGQLCQCEFSGLTNICKGAHECPNAGLSCEDVDQSCAPGTYGSPCTCIAKGNVPNTDCNSGENCPNSICADNLHCLLGTRGHPCECYFSAVRDHCTAGHFCAASACDDINESCDEGTWGNVCVCNYGGHTNHCTGGNQCANPMLQCEDVDETCYQGTWEPPCRCNYTNVGDVCAGGNKCNNGPCDDINEECNQGTFGPLCACEHTVDGTPRCTGGNQCPSPSCPVYPRECNPGTHTARCVCRYSGVENHCTGGHRCLGPKQGCENVDQRCTPGHFSPPCHCIYSAEFPLCQGGNACPNSACPDAQQCSKGSWGPPCRCKYETLTLLDCTGGNRCPNTLCANDLPTLCLPGSFGLACSCAYDAVGRRDVVHCTGANKCPTSLCPNPDQCRPGVFAGGCVCAETGNAAECKGGNLCTEGPQSCRPGRAALAPLLALCLLPALLPPM
+>sp|Q9Y5X4|NR2E3_HUMAN Photoreceptor-specific nuclear receptor OS=Homo sapiens OX=9606 GN=NR2E3 PE=1 SV=1
+METRPTALMSSTVAAAAPAAGAASRKESPGRWGLGEDPTGVSPSLQCRVCGDSSSGKHYGIYACNGCSGFFKRSVRRRLIYRCQVGAGMCPVDKAHRNQCQACRLKKCLQAGMNQDAVQNERQPRSTAQVHLDSMESNTESRPESLVAPPAPAGRSPRGPTPMSAARALGHHFMASLITAETCAKLEPEDADENIDVTSNDPEFPSSPYSSSSPCGLDSIHETSARLLFMAVKWAKNLPVFSSLPFRDQVILLEEAWSELFLLGAIQWSLPLDSCPLLAPPEASAAGGAQGRLTLASMETRVLQETISRFRALAVDPTEFACMKALVLFKPETRGLKDPEHVEALQDQSQVMLSQHSKAHHPSQPVRFGKLLLLLPSLRFITAERIELLFFRKTIGNTPMEKLLCDMFKN
+>DECOY_sp|Q9Y5X4|NR2E3_HUMAN Photoreceptor-specific nuclear receptor OS=Homo sapiens OX=9606 GN=NR2E3 PE=1 SV=1
+NKFMDCLLKEMPTNGITKRFFLLEIREATIFRLSPLLLLLKGFRVPQSPHHAKSHQSLMVQSQDQLAEVHEPDKLGRTEPKFLVLAKMCAFETPDVALARFRSITEQLVRTEMSALTLRGQAGGAASAEPPALLPCSDLPLSWQIAGLLFLESWAEELLIVQDRFPLSSFVPLNKAWKVAMFLLRASTEHISDLGCPSSSSYPSSPFEPDNSTVDINEDADEPELKACTEATILSAMFHHGLARAASMPTPGRPSRGAPAPPAVLSEPRSETNSEMSDLHVQATSRPQRENQVADQNMGAQLCKKLRCAQCQNRHAKDVPCMGAGVQCRYILRRRVSRKFFGSCGNCAYIGYHKGSSSDGCVRCQLSPSVGTPDEGLGWRGPSEKRSAAGAAPAAAAVTSSMLATPRTEM
+>sp|Q68D85|NR3L1_HUMAN Natural cytotoxicity triggering receptor 3 ligand 1 OS=Homo sapiens OX=9606 GN=NCR3LG1 PE=1 SV=1
+MTWRAAASTCAALLILLWALTTEGDLKVEMMAGGTQITPLNDNVTIFCNIFYSQPLNITSMGITWFWKSLTFDKEVKVFEFFGDHQEAFRPGAIVSPWRLKSGDASLRLPGIQLEEAGEYRCEVVVTPLKAQGTVQLEVVASPASRLLLDQVGMKENEDKYMCESSGFYPEAINITWEKQTQKFPHPIEISEDVITGPTIKNMDGTFNVTSCLKLNSSQEDPGTVYQCVVRHASLHTPLRSNFTLTAARHSLSETEKTDNFSIHWWPISFIGVGLVLLIVLIPWKKICNKSSSAYTPLKCILKHWNSFDTQTLKKEHLIFFCTRAWPSYQLQDGEAWPPEGSVNINTIQQLDVFCRQEGKWSEVPYVQAFFALRDNPDLCQCCRIDPALLTVTSGKSIDDNSTKSEKQTPREHSDAVPDAPILPVSPIWEPPPATTSTTPVLSSQPPTLLLPLQ
+>DECOY_sp|Q68D85|NR3L1_HUMAN Natural cytotoxicity triggering receptor 3 ligand 1 OS=Homo sapiens OX=9606 GN=NCR3LG1 PE=1 SV=1
+QLPLLLTPPQSSLVPTTSTTAPPPEWIPSVPLIPADPVADSHERPTQKESKTSNDDISKGSTVTLLAPDIRCCQCLDPNDRLAFFAQVYPVESWKGEQRCFVDLQQITNINVSGEPPWAEGDQLQYSPWARTCFFILHEKKLTQTDFSNWHKLICKLPTYASSSKNCIKKWPILVILLVLGVGIFSIPWWHISFNDTKETESLSHRAATLTFNSRLPTHLSAHRVVCQYVTGPDEQSSNLKLCSTVNFTGDMNKITPGTIVDESIEIPHPFKQTQKEWTINIAEPYFGSSECMYKDENEKMGVQDLLLRSAPSAVVELQVTGQAKLPTVVVECRYEGAEELQIGPLRLSADGSKLRWPSVIAGPRFAEQHDGFFEFVKVEKDFTLSKWFWTIGMSTINLPQSYFINCFITVNDNLPTIQTGGAMMEVKLDGETTLAWLLILLAACTSAAARWTM
+>sp|Q86VF7|NRAP_HUMAN Nebulin-related-anchoring protein OS=Homo sapiens OX=9606 GN=NRAP PE=1 SV=2
+MNVQPCSRCGYGVYPAEKISCIDQIWHKACFHCEVCKMMLSVNNFVSHQKKPYCHAHNPKNNTFTSVYHTPLNLNVRTFPEAISGIHDQEDGEQCKSVFHWDMKSKDKEGAPNRQPLANERAYWTGYGEGNAWCPGALPDPEIVRMVEARKSLGEEYTEDYEQPRGKGSFPAMITPAYQRAKKANQLASQVEYKRGHDERISRFSTVVDTPELLRSKAGAQLQSDVRYTEDYEQQRGKGSFPAMITPAYQIAKRANELASDVRYHQQYQKEMRGMAGPAIGAEGILTRECADQYGQGYPEEYEEHRGKGSFPAMITPAYQNAKKAHELASDIKYRQDFNKMKGAAHYHSLPAQDNLVLKQAQSVNKLVSEVEYKKDLESSRGHSINYCETPQFRNVSKISKFTSDNKYKENYQNHMRGRYEGVGMDRRTLHAMKVGSLASNVAYKADYKHDIVDYNYPATLTPSYQTAMKLVPLKDANYRQSIDKLKYSSVTDTPQIVQAKINAQQLSHVNYRADYEKNKLNYTLPQDVPQLVKAKTNAKLFSEVKYKEGWEKTKGKGFEMKLDAMSLLAAKASGELASNIKYKEEYEKTKGKAMGTADSRLLHSLQIAKMSSEVEYKKGFEESKTRFHLPMDMVNIRHAKKAQTLASDLDYRKKLHEYTVLPEDMKTQWAKKAYGLQSELQYKADLAWMKGVGWLTEGSLNLEQAKKAGQLVSEKNYRQRVDELKFTSVTDSSQMEHAKKSQELQSGVAYKAGNEQSVHQYTISKDEPLFLQARANAANLSEKLYKSSWENQKAKGFELRLDSLTFLAAKAKRDLASEVKYKEDYERSRGKLIGAKDVQGDSQMSHSLQMSKLQSELEYKKGFEDTKSQCHVSLDMVHLVHARKAQHLATDVGYKTAEHHFTALPTDMKVEWAKKAYGLQSDNQYRADVKWMKGMGWVATGSLNVEQAKKAGELISEKKYRQHPDALKFTSIKDTPEMVQARISYTQAVDRLYREQGENIKHHYTPTADLPEVLLAKLNAMNISETRYKESWSKLRDGGYKLRLDALPFQAAKASGEIISDYKYKEAFEKMKGQMLGSRSLEDDISLAHSVYATSLQSDVNYKKGFEHSKAQFHLPLDMAALVHAKKAQTLASNQDYKHPLPQYTSLAEDLRLSCAKKAHKLQSENLYRSDLNFMRGVACVIPGTLEIEGRKKASELISESKYRQHPHSFKYTAVTDTPNLLHAKFSNQITNERLYKAAGEDARHEYTMTLGLPEFIRAKTNAANLSDARYKESWRNLRAQGYKLTIEALPFQAARASGDIASDFLYRHDFVKERGKLIGPQSVRDDPRIQHCRRMGQLQSELQYRRGATSSQAQFHLPMDMVHLVHAKNAQALASDHDYRTQYHKFTALPEDLKMAWAKKAHALQSELRYKSDLIGMKGIGWLALRSPQMESAKKAGELISETKYRKKPDSIKFTTVVDSPDLVHAKNSYMHCNERMYRSGDAESLHRYTLIPDHPDFTRARLNALHLSDKVYRNSWEQTRAGSYDFRLDAIPFQTARASREIASDFRYKEAFLRDRGLQIGYRSVDDDPRMKHFLNVGRLQSDNEYKKDFAKSRSQFHSSTDQPGLLQAKRSQQLASDVHYRQPLPQPTCDPEQLGLRHAQKAHQLQSDVKYKSDLNLTRGVGWTPPGSYKVEMARRAAELANARGLGLQGAYRGAEAVEAGDHQSGEVNPDATEILHVKKKKALLL
+>DECOY_sp|Q86VF7|NRAP_HUMAN Nebulin-related-anchoring protein OS=Homo sapiens OX=9606 GN=NRAP PE=1 SV=2
+LLLAKKKKVHLIETADPNVEGSQHDGAEVAEAGRYAGQLGLGRANALEAARRAMEVKYSGPPTWGVGRTLNLDSKYKVDSQLQHAKQAHRLGLQEPDCTPQPLPQRYHVDSALQQSRKAQLLGPQDTSSHFQSRSKAFDKKYENDSQLRGVNLFHKMRPDDDVSRYGIQLGRDRLFAEKYRFDSAIERSARATQFPIADLRFDYSGARTQEWSNRYVKDSLHLANLRARTFDPHDPILTYRHLSEADGSRYMRENCHMYSNKAHVLDPSDVVTTFKISDPKKRYKTESILEGAKKASEMQPSRLALWGIGKMGILDSKYRLESQLAHAKKAWAMKLDEPLATFKHYQTRYDHDSALAQANKAHVLHVMDMPLHFQAQSSTAGRRYQLESQLQGMRRCHQIRPDDRVSQPGILKGREKVFDHRYLFDSAIDGSARAAQFPLAEITLKYGQARLNRWSEKYRADSLNAANTKARIFEPLGLTMTYEHRADEGAAKYLRENTIQNSFKAHLLNPTDTVATYKFSHPHQRYKSESILESAKKRGEIELTGPIVCAVGRMFNLDSRYLNESQLKHAKKACSLRLDEALSTYQPLPHKYDQNSALTQAKKAHVLAAMDLPLHFQAKSHEFGKKYNVDSQLSTAYVSHALSIDDELSRSGLMQGKMKEFAEKYKYDSIIEGSAKAAQFPLADLRLKYGGDRLKSWSEKYRTESINMANLKALLVEPLDATPTYHHKINEGQERYLRDVAQTYSIRAQVMEPTDKISTFKLADPHQRYKKESILEGAKKAQEVNLSGTAVWGMGKMWKVDARYQNDSQLGYAKKAWEVKMDTPLATFHHEATKYGVDTALHQAKRAHVLHVMDLSVHCQSKTDEFGKKYELESQLKSMQLSHSMQSDGQVDKAGILKGRSREYDEKYKVESALDRKAKAALFTLSDLRLEFGKAKQNEWSSKYLKESLNAANARAQLFLPEDKSITYQHVSQENGAKYAVGSQLEQSKKAHEMQSSDTVSTFKLEDVRQRYNKESVLQGAKKAQELNLSGETLWGVGKMWALDAKYQLESQLGYAKKAWQTKMDEPLVTYEHLKKRYDLDSALTQAKKAHRINVMDMPLHFRTKSEEFGKKYEVESSMKAIQLSHLLRSDATGMAKGKTKEYEEKYKINSALEGSAKAALLSMADLKMEFGKGKTKEWGEKYKVESFLKANTKAKVLQPVDQPLTYNLKNKEYDARYNVHSLQQANIKAQVIQPTDTVSSYKLKDISQRYNADKLPVLKMATQYSPTLTAPYNYDVIDHKYDAKYAVNSALSGVKMAHLTRRDMGVGEYRGRMHNQYNEKYKNDSTFKSIKSVNRFQPTECYNISHGRSSELDKKYEVESVLKNVSQAQKLVLNDQAPLSHYHAAGKMKNFDQRYKIDSALEHAKKANQYAPTIMAPFSGKGRHEEYEEPYGQGYQDACERTLIGEAGIAPGAMGRMEKQYQQHYRVDSALENARKAIQYAPTIMAPFSGKGRQQEYDETYRVDSQLQAGAKSRLLEPTDVVTSFRSIREDHGRKYEVQSALQNAKKARQYAPTIMAPFSGKGRPQEYDETYEEGLSKRAEVMRVIEPDPLAGPCWANGEGYGTWYARENALPQRNPAGEKDKSKMDWHFVSKCQEGDEQDHIGSIAEPFTRVNLNLPTHYVSTFTNNKPNHAHCYPKKQHSVFNNVSLMMKCVECHFCAKHWIQDICSIKEAPYVGYGCRSCPQVNM
+>sp|Q9NSY0|NRBP2_HUMAN Nuclear receptor-binding protein 2 OS=Homo sapiens OX=9606 GN=NRBP2 PE=1 SV=2
+MAAPEPAPRRAREREREREDESEDESDILEESPCGRWQKRREQVNQGNMPGLQSTFLAMDTEEGVEVVWNELHFGDRKAFAAHEEKIQTVFEQLVLVDHPNIVKLHKYWLDTSEACARVIFITEYVSSGSLKQFLKKTKKNHKAMNARAWKRWCTQILSALSFLHACSPPIIHGNLTSDTIFIQHNGLIKIGSVWHRIFSNALPDDLRSPIRAEREELRNLHFFPPEYGEVADGTAVDIFSFGMCALEMAVLEIQTNGDTRVTEEAIARARHSLSDPNMREFILCCLARDPARRPSAHSLLFHRVLFEVHSLKLLAAHCFIQHQYLMPENVVEEKTKAMDLHAVLAELPRPRRPPLQWRYSEVSFMELDKFLEDVRNGIYPLMNFAATRPLGLPRVLAPPPEEVQKAKTPTPEPFDSETRKVIQMQCNLERSEDKARWHLTLLLVLEDRLHRQLTYDLLPTDSAQDLASELVHYGFLHEDDRMKLAAFLESTFLKYRGTQA
+>DECOY_sp|Q9NSY0|NRBP2_HUMAN Nuclear receptor-binding protein 2 OS=Homo sapiens OX=9606 GN=NRBP2 PE=1 SV=2
+AQTGRYKLFTSELFAALKMRDDEHLFGYHVLESALDQASDTPLLDYTLQRHLRDELVLLLTLHWRAKDESRELNCQMQIVKRTESDFPEPTPTKAKQVEEPPPALVRPLGLPRTAAFNMLPYIGNRVDELFKDLEMFSVESYRWQLPPRRPRPLEALVAHLDMAKTKEEVVNEPMLYQHQIFCHAALLKLSHVEFLVRHFLLSHASPRRAPDRALCCLIFERMNPDSLSHRARAIAEETVRTDGNTQIELVAMELACMGFSFIDVATGDAVEGYEPPFFHLNRLEEREARIPSRLDDPLANSFIRHWVSGIKILGNHQIFITDSTLNGHIIPPSCAHLFSLASLIQTCWRKWARANMAKHNKKTKKLFQKLSGSSVYETIFIVRACAESTDLWYKHLKVINPHDVLVLQEFVTQIKEEHAAFAKRDGFHLENWVVEVGEETDMALFTSQLGPMNGQNVQERRKQWRGCPSEELIDSEDESEDERERERERARRPAPEPAAM
+>sp|O14511|NRG2_HUMAN Pro-neuregulin-2, membrane-bound isoform OS=Homo sapiens OX=9606 GN=NRG2 PE=1 SV=1
+MRQVCCSALPPPPLEKGRCSSYSDSSSSSSERSSSSSSSSSESGSSSRSSSNNSSISRPAAPPEPRPQQQPQPRSPAARRAAARSRAAAAGGMRRDPAPGFSMLLFGVSLACYSPSLKSVQDQAYKAPVVVEGKVQGLVPAGGSSSNSTREPPASGRVALVKVLDKWPLRSGGLQREQVISVGSCVPLERNQRYIFFLEPTEQPLVFKTAFAPLDTNGKNLKKEVGKILCTDCATRPKLKKMKSQTGQVGEKQSLKCEAAAGNPQPSYRWFKDGKELNRSRDIRIKYGNGRKNSRLQFNKVKVEDAGEYVCEAENILGKDTVRGRLYVNSVSTTLSSWSGHARKCNETAKSYCVNGGVCYYIEGINQLSCKCPNGFFGQRCLEKLPLRLYMPDPKQKAEELYQKRVLTITGICVALLVVGIVCVVAYCKTKKQRKQMHNHLRQNMCPAHQNRSLANGPSHPRLDPEEIQMADYISKNVPATDHVIRRETETTFSGSHSCSPSHHCSTATPTSSHRHESHTWSLERSESLTSDSQSGIMLSSVGTSKCNSPACVEARARRAAAYNLEERRRATAPPYHDSVDSLRDSPHSERYVSALTTPARLSPVDFHYSLATQVPTFEITSPNSAHAVSLPPAAPISYRLAEQQPLLRHPAPPGPGPGPGPGPGPGADMQRSYDSYYYPAAGPGPRRGTCALGGSLGSLPASPFRIPEDDEYETTQECAPPPPPRPRARGASRRTSAGPRRWRRSRLNGLAAQRARAARDSLSLSSGSGGGSASASDDDADDADGALAAESTPFLGLRGAHDALRSDSPPLCPAADSRTYYSLDSHSTRASSRHSRGPPPRAKQDSAPL
+>DECOY_sp|O14511|NRG2_HUMAN Pro-neuregulin-2, membrane-bound isoform OS=Homo sapiens OX=9606 GN=NRG2 PE=1 SV=1
+LPASDQKARPPPGRSHRSSARTSHSDLSYYTRSDAAPCLPPSDSRLADHAGRLGLFPTSEAALAGDADDADDDSASASGGGSGSSLSLSDRAARARQAALGNLRSRRWRRPGASTRRSAGRARPRPPPPPACEQTTEYEDDEPIRFPSAPLSGLSGGLACTGRRPGPGAAPYYYSDYSRQMDAGPGPGPGPGPGPGPPAPHRLLPQQEALRYSIPAAPPLSVAHASNPSTIEFTPVQTALSYHFDVPSLRAPTTLASVYRESHPSDRLSDVSDHYPPATARRREELNYAAARRARAEVCAPSNCKSTGVSSLMIGSQSDSTLSESRELSWTHSEHRHSSTPTATSCHHSPSCSHSGSFTTETERRIVHDTAPVNKSIYDAMQIEEPDLRPHSPGNALSRNQHAPCMNQRLHNHMQKRQKKTKCYAVVCVIGVVLLAVCIGTITLVRKQYLEEAKQKPDPMYLRLPLKELCRQGFFGNPCKCSLQNIGEIYYCVGGNVCYSKATENCKRAHGSWSSLTTSVSNVYLRGRVTDKGLINEAECVYEGADEVKVKNFQLRSNKRGNGYKIRIDRSRNLEKGDKFWRYSPQPNGAAAECKLSQKEGVQGTQSKMKKLKPRTACDTCLIKGVEKKLNKGNTDLPAFATKFVLPQETPELFFIYRQNRELPVCSGVSIVQERQLGGSRLPWKDLVKVLAVRGSAPPERTSNSSSGGAPVLGQVKGEVVVPAKYAQDQVSKLSPSYCALSVGFLLMSFGPAPDRRMGGAAAARSRAAARRAAPSRPQPQQQPRPEPPAAPRSISSNNSSSRSSSGSESSSSSSSSSRESSSSSSDSYSSCRGKELPPPPLASCCVQRM
+>sp|Q7Z2Y5|NRK_HUMAN Nik-related protein kinase OS=Homo sapiens OX=9606 GN=NRK PE=1 SV=2
+MAGPGGWRDREVTDLGHLPDPTGIFSLDKTIGLGTYGRIYLGLHEKTGAFTAVKVMNARKTPLPEIGRRVRVNKYQKSVGWRYSDEEEDLRTELNLLRKYSFHKNIVSFYGAFFKLSPPGQRHQLWMVMELCAAGSVTDVVRMTSNQSLKEDWIAYICREILQGLAHLHAHRVIHRDIKGQNVLLTHNAEVKLVDFGVSAQVSRTNGRRNSFIGTPYWMAPEVIDCDEDPRRSYDYRSDVWSVGITAIEMAEGAPPLCNLQPLEALFVILRESAPTVKSSGWSRKFHNFMEKCTIKNFLFRPTSANMLQHPFVRDIKNERHVVESLTRHLTGIIKKRQKKGIPLIFEREEAIKEQYTVRRFRGPSCTHELLRLPTSSRCRPLRVLHGEPSQPRWLPDREEPQVQALQQLQGAARVFMPLQALDSAPKPLKGQAQAPQRLQGAARVFMPLQAQVKAKASKPLQMQIKAPPRLRRAARVLMPLQAQVRAPRLLQVQSQVSKKQQAQTQTSEPQDLDQVPEEFQGQDQVPEQQRQGQAPEQQQRHNQVPEQELEQNQAPEQPEVQEQAAEPAQAETEAEEPESLRVNAQVFLPLLSQDHHVLLPLHLDTQVLIPVEGQTEGSPQAQAWTLEPPQAIGSVQALIEGLSRDLLRAPNSNNSKPLGPLQTLMENLSSNRFYSQPEQAREKKSKVSTLRQALAKRLSPKRFRAKSSWRPEKLELSDLEARRQRRQRRWEDIFNQHEEELRQVDKDKEDESSDNDEVFHSIQAEVQIEPLKPYISNPKKIEVQERSPSVPNNQDHAHHVKFSSSVPQRSLLEQAQKPIDIRQRSSQNRQNWLAASESSSEEESPVTGRRSQSSPPYSTIDQKLLVDIHVPDGFKVGKISPPVYLTNEWVGYNALSEIFRNDWLTPAPVIQPPEEDGDYVELYDASADTDGDDDDESNDTFEDTYDHANGNDDLDNQVDQANDVCKDHDDDNNKFVDDVNNNYYEAPSCPRASYGRDGSCKQDGYDGSRGKEEAYRGYGSHTANRSHGGSAASEDNAAIGDQEEHAANIGSERRGSEGDGGKGVVRTSEESGALGLNGEENCSETDGPGLKRPASQDFEYLQEEPGGGNEASNAIDSGAAPSAPDHESDNKDISESSTQSDFSANHSSPSKGSGMSADANFASAILYAGFVEVPEESPKQPSEVNVNPLYVSPACKKPLIHMYEKEFTSEICCGSLWGVNLLLGTRSNLYLMDRSGKADITKLIRRRPFRQIQVLEPLNLLITISGHKNRLRVYHLTWLRNKILNNDPESKRRQEEMLKTEEACKAIDKLTGCEHFSVLQHEETTYIAIALKSSIHLYAWAPKSFDESTAIKVCIDQSADSEGDYMSYQAYIRILAKIQAADPVNRFKRPDELLHLLKLKVFPTLDHKPVTVDLAIGSEKRLKIFFSSADGYHLIDAESEVMSDVTLPKNPLEIIIPQNIIILPDCLGIGMMLTFNAEALSVEANEQLFKKILEMWKDIPSSIAFECTQRTTGWGQKAIEVRSLQSRVLESELKRRSIKKLRFLCTRGDKLFFTSTLRNHHSRVYFMTLGKLEELQSNYDV
+>DECOY_sp|Q7Z2Y5|NRK_HUMAN Nik-related protein kinase OS=Homo sapiens OX=9606 GN=NRK PE=1 SV=2
+VDYNSQLEELKGLTMFYVRSHHNRLTSTFFLKDGRTCLFRLKKISRRKLESELVRSQLSRVEIAKQGWGTTRQTCEFAISSPIDKWMELIKKFLQENAEVSLAEANFTLMMGIGLCDPLIIINQPIIIELPNKPLTVDSMVESEADILHYGDASSFFIKLRKESGIALDVTVPKHDLTPFVKLKLLHLLEDPRKFRNVPDAAQIKALIRIYAQYSMYDGESDASQDICVKIATSEDFSKPAWAYLHISSKLAIAIYTTEEHQLVSFHECGTLKDIAKCAEETKLMEEQRRKSEPDNNLIKNRLWTLHYVRLRNKHGSITILLNLPELVQIQRFPRRRILKTIDAKGSRDMLYLNSRTGLLLNVGWLSGCCIESTFEKEYMHILPKKCAPSVYLPNVNVESPQKPSEEPVEVFGAYLIASAFNADASMGSGKSPSSHNASFDSQTSSESIDKNDSEHDPASPAAGSDIANSAENGGGPEEQLYEFDQSAPRKLGPGDTESCNEEGNLGLAGSEESTRVVGKGGDGESGRRESGINAAHEEQDGIAANDESAASGGHSRNATHSGYGRYAEEKGRSGDYGDQKCSGDRGYSARPCSPAEYYNNNVDDVFKNNDDDHDKCVDNAQDVQNDLDDNGNAHDYTDEFTDNSEDDDDGDTDASADYLEVYDGDEEPPQIVPAPTLWDNRFIESLANYGVWENTLYVPPSIKGVKFGDPVHIDVLLKQDITSYPPSSQSRRGTVPSEEESSSESAALWNQRNQSSRQRIDIPKQAQELLSRQPVSSSFKVHHAHDQNNPVSPSREQVEIKKPNSIYPKLPEIQVEAQISHFVEDNDSSEDEKDKDVQRLEEEHQNFIDEWRRQRRQRRAELDSLELKEPRWSSKARFRKPSLRKALAQRLTSVKSKKERAQEPQSYFRNSSLNEMLTQLPGLPKSNNSNPARLLDRSLGEILAQVSGIAQPPELTWAQAQPSGETQGEVPILVQTDLHLPLLVHHDQSLLPLFVQANVRLSEPEEAETEAQAPEAAQEQVEPQEPAQNQELEQEPVQNHRQQQEPAQGQRQQEPVQDQGQFEEPVQDLDQPESTQTQAQQKKSVQSQVQLLRPARVQAQLPMLVRAARRLRPPAKIQMQLPKSAKAKVQAQLPMFVRAAGQLRQPAQAQGKLPKPASDLAQLPMFVRAAGQLQQLAQVQPEERDPLWRPQSPEGHLVRLPRCRSSTPLRLLEHTCSPGRFRRVTYQEKIAEEREFILPIGKKQRKKIIGTLHRTLSEVVHRENKIDRVFPHQLMNASTPRFLFNKITCKEMFNHFKRSWGSSKVTPASERLIVFLAELPQLNCLPPAGEAMEIATIGVSWVDSRYDYSRRPDEDCDIVEPAMWYPTGIFSNRRGNTRSVQASVGFDVLKVEANHTLLVNQGKIDRHIVRHAHLHALGQLIERCIYAIWDEKLSQNSTMRVVDTVSGAACLEMVMWLQHRQGPPSLKFFAGYFSVINKHFSYKRLLNLETRLDEEEDSYRWGVSKQYKNVRVRRGIEPLPTKRANMVKVATFAGTKEHLGLYIRGYTGLGITKDLSFIGTPDPLHGLDTVERDRWGGPGAM
+>sp|Q86YC3|NRROS_HUMAN Negative regulator of reactive oxygen species OS=Homo sapiens OX=9606 GN=NRROS PE=1 SV=1
+MELLPLWLCLGFHFLTVGWRNRSGTATAASQGVCKLVGGAADCRGQSLASVPSSLPPHARMLTLDANPLKTLWNHSLQPYPLLESLSLHSCHLERISRGAFQEQGHLRSLVLGDNCLSENYEETAAALHALPGLRRLDLSGNALTEDMAALMLQNLSSLRSVSLAGNTIMRLDDSVFEGLERLRELDLQRNYIFEIEGGAFDGLAELRHLNLAFNNLPCIVDFGLTRLRVLNVSYNVLEWFLATGGEAAFELETLDLSHNQLLFFPLLPQYSKLRTLLLRDNNMGFYRDLYNTSSPREMVAQFLLVDGNVTNITTVSLWEEFSSSDLADLRFLDMSQNQFQYLPDGFLRKMPSLSHLNLHQNCLMTLHIREHEPPGALTELDLSHNQLSELHLAPGLASCLGSLRLFNLSSNQLLGVPPGLFANARNITTLDMSHNQISLCPLPAASDRVGPPSCVDFRNMASLRSLSLEGCGLGALPDCPFQGTSLTYLDLSSNWGVLNGSLAPLQDVAPMLQVLSLRNMGLHSSFMALDFSGFGNLRDLDLSGNCLTTFPRFGGSLALETLDLRRNSLTALPQKAVSEQLSRGLRTIYLSQNPYDCCGVDGWGALQHGQTVADWAMVTCNLSSKIIRVTELPGGVPRDCKWERLDLGLLYLVLILPSCLTLLVACTVIVLTFKKPLLQVIKSRCHWSSVY
+>DECOY_sp|Q86YC3|NRROS_HUMAN Negative regulator of reactive oxygen species OS=Homo sapiens OX=9606 GN=NRROS PE=1 SV=1
+YVSSWHCRSKIVQLLPKKFTLVIVTCAVLLTLCSPLILVLYLLGLDLREWKCDRPVGGPLETVRIIKSSLNCTVMAWDAVTQGHQLAGWGDVGCCDYPNQSLYITRLGRSLQESVAKQPLATLSNRRLDLTELALSGGFRPFTTLCNGSLDLDRLNGFGSFDLAMFSSHLGMNRLSLVQLMPAVDQLPALSGNLVGWNSSLDLYTLSTGQFPCDPLAGLGCGELSLSRLSAMNRFDVCSPPGVRDSAAPLPCLSIQNHSMDLTTINRANAFLGPPVGLLQNSSLNFLRLSGLCSALGPALHLESLQNHSLDLETLAGPPEHERIHLTMLCNQHLNLHSLSPMKRLFGDPLYQFQNQSMDLFRLDALDSSSFEEWLSVTTINTVNGDVLLFQAVMERPSSTNYLDRYFGMNNDRLLLTRLKSYQPLLPFFLLQNHSLDLTELEFAAEGGTALFWELVNYSVNLVRLRTLGFDVICPLNNFALNLHRLEALGDFAGGEIEFIYNRQLDLERLRELGEFVSDDLRMITNGALSVSRLSSLNQLMLAAMDETLANGSLDLRRLGPLAHLAAATEEYNESLCNDGLVLSRLHGQEQFAGRSIRELHCSHLSLSELLPYPQLSHNWLTKLPNADLTLMRAHPPLSSPVSALSQGRCDAAGGVLKCVGQSAATATGSRNRWGVTLFHFGLCLWLPLLEM
+>sp|P58400|NRX1B_HUMAN Neurexin-1-beta OS=Homo sapiens OX=9606 GN=NRXN1 PE=1 SV=3
+MYQRMLRCGAELGSPGGGGGGGGGGGAGGRLALLWIVPLTLSGLLGVAWGASSLGAHHIHHFHGSSKHHSVPIAIYRSPASLRGGHAGTTYIFSKGGGQITYKWPPNDRPSTRADRLAIGFSTVQKEAVLVRVDSSSGLGDYLELHIHQGKIGVKFNVGTDDIAIEESNAIINDGKYHVVRFTRSGGNATLQVDSWPVIERYPAGNNDNERLAIARQRIPYRLGRVVDEWLLDKGRQLTIFNSQATIIIGGKEQGQPFQGQLSGLYYNGLKVLNMAAENDANIAIVGNVRLVGEVPSSMTTESTATAMQSEMSTSIMETTTTLATSTARRGKPPTKEPISQTTDDILVASAECPSDDEDIDPCEPSSGGLANPTRAGGREPYPGSAEVIRESSSTTGMVVGIVAAAALCILILLYAMYKYRNRDEGSYHVDESRNYISNSAQSNGAVVKEKQPSSAKSSNKNKKNKDKEYYV
+>DECOY_sp|P58400|NRX1B_HUMAN Neurexin-1-beta OS=Homo sapiens OX=9606 GN=NRXN1 PE=1 SV=3
+VYYEKDKNKKNKNSSKASSPQKEKVVAGNSQASNSIYNRSEDVHYSGEDRNRYKYMAYLLILICLAAAAVIGVVMGTTSSSERIVEASGPYPERGGARTPNALGGSSPECPDIDEDDSPCEASAVLIDDTTQSIPEKTPPKGRRATSTALTTTTEMISTSMESQMATATSETTMSSPVEGVLRVNGVIAINADNEAAMNLVKLGNYYLGSLQGQFPQGQEKGGIIITAQSNFITLQRGKDLLWEDVVRGLRYPIRQRAIALRENDNNGAPYREIVPWSDVQLTANGGSRTFRVVHYKGDNIIANSEEIAIDDTGVNFKVGIKGQHIHLELYDGLGSSSDVRVLVAEKQVTSFGIALRDARTSPRDNPPWKYTIQGGGKSFIYTTGAHGGRLSAPSRYIAIPVSHHKSSGHFHHIHHAGLSSAGWAVGLLGSLTLPVIWLLALRGGAGGGGGGGGGGGPSGLEAGCRLMRQYM
+>sp|Q15738|NSDHL_HUMAN Sterol-4-alpha-carboxylate 3-dehydrogenase, decarboxylating OS=Homo sapiens OX=9606 GN=NSDHL PE=1 SV=2
+MEPAVSEPMRDQVARTHLTEDTPKVNADIEKVNQNQAKRCTVIGGSGFLGQHMVEQLLARGYAVNVFDIQQGFDNPQVRFFLGDLCSRQDLYPALKGVNTVFHCASPPPSSNNKELFYRVNYIGTKNVIETCKEAGVQKLILTSSASVIFEGVDIKNGTEDLPYAMKPIDYYTETKILQERAVLGANDPEKNFLTTAIRPHGIFGPRDPQLVPILIEAARNGKMKFVIGNGKNLVDFTFVENVVHGHILAAEQLSRDSTLGGKAFHITNDEPIPFWTFLSRILTGLNYEAPKYHIPYWVAYYLALLLSLLVMVISPVIQLQPTFTPMRVALAGTFHYYSCERAKKAMGYQPLVTMDDAMERTVQSFRHLRRVK
+>DECOY_sp|Q15738|NSDHL_HUMAN Sterol-4-alpha-carboxylate 3-dehydrogenase, decarboxylating OS=Homo sapiens OX=9606 GN=NSDHL PE=1 SV=2
+KVRRLHRFSQVTREMADDMTVLPQYGMAKKARECSYYHFTGALAVRMPTFTPQLQIVPSIVMVLLSLLLALYYAVWYPIHYKPAEYNLGTLIRSLFTWFPIPEDNTIHFAKGGLTSDRSLQEAALIHGHVVNEVFTFDVLNKGNGIVFKMKGNRAAEILIPVLQPDRPGFIGHPRIATTLFNKEPDNAGLVAREQLIKTETYYDIPKMAYPLDETGNKIDVGEFIVSASSTLILKQVGAEKCTEIVNKTGIYNVRYFLEKNNSSPPPSACHFVTNVGKLAPYLDQRSCLDGLFFRVQPNDFGQQIDFVNVAYGRALLQEVMHQGLFGSGGIVTCRKAQNQNVKEIDANVKPTDETLHTRAVQDRMPESVAPEM
+>sp|Q9NXX6|NSE4A_HUMAN Non-structural maintenance of chromosomes element 4 homolog A OS=Homo sapiens OX=9606 GN=NSMCE4A PE=1 SV=2
+MSGDSSGRGPEGRGRGRDPHRDRTRSRSRSRSPLSPRSRRGSARERREAPERPSLEDTEPSDSGDEMMDPASLEAEADQGLCRQIRHQYRALINSVQQNREDILNAGDKLTEVLEEANTLFNEVSRAREAVLDAHFLVLASDLGKEKAKQLRSDLSSFDMLRYVETLLTHMGVNPLEAEELIRDEDSPDFEFIVYDSWKITGRTAENTFNKTHTFHFLLGSIYGECPVPKPRVDRPRKVPVIQEERAMPAQLRRMEESHQEATEKEVERILGLLQTYFREDPDTPMSFFDFVVDPHSFPRTVENIFHVSFIIRDGFARIRLDQDRLPVIEPVSINEENEGFEHNTQVRNQGIIALSYRDWEEIVKTFEISEPVITPSQRQQKPSA
+>DECOY_sp|Q9NXX6|NSE4A_HUMAN Non-structural maintenance of chromosomes element 4 homolog A OS=Homo sapiens OX=9606 GN=NSMCE4A PE=1 SV=2
+ASPKQQRQSPTIVPESIEFTKVIEEWDRYSLAIIGQNRVQTNHEFGENEENISVPEIVPLRDQDLRIRAFGDRIIFSVHFINEVTRPFSHPDVVFDFFSMPTDPDERFYTQLLGLIREVEKETAEQHSEEMRRLQAPMAREEQIVPVKRPRDVRPKPVPCEGYISGLLFHFTHTKNFTNEATRGTIKWSDYVIFEFDPSDEDRILEEAELPNVGMHTLLTEVYRLMDFSSLDSRLQKAKEKGLDSALVLFHADLVAERARSVENFLTNAEELVETLKDGANLIDERNQQVSNILARYQHRIQRCLGQDAEAELSAPDMMEDGSDSPETDELSPREPAERRERASGRRSRPSLPSRSRSRSRTRDRHPDRGRGRGEPGRGSSDGSM
+>sp|Q9UH64|NSGX_HUMAN Susceptibility protein NSG-x OS=Homo sapiens OX=9606 GN=CDKN2A-AS1 PE=4 SV=1
+MRQRGQEHLPTSVKSEPRACNNPTVAENRRVPSGLAAVIRNLTALWNPSLGVSERRGGDWEPSRIPRLWARVGWIQLPG
+>DECOY_sp|Q9UH64|NSGX_HUMAN Susceptibility protein NSG-x OS=Homo sapiens OX=9606 GN=CDKN2A-AS1 PE=4 SV=1
+GPLQIWGVRAWLRPIRSPEWDGGRRESVGLSPNWLATLNRIVAALGSPVRRNEAVTPNNCARPESKVSTPLHEQGRQRM
+>sp|Q9NY59|NSMA2_HUMAN Sphingomyelin phosphodiesterase 3 OS=Homo sapiens OX=9606 GN=SMPD3 PE=1 SV=1
+MVLYTTPFPNSCLSALHCVSWALIFPCYWLVDRLAASFIPTTYEKRQRADDPCCLQLLCTALFTPIYLALLVASLPFAFLGFLFWSPLQSARRPYIYSRLEDKGLAGGAALLSEWKGTGPGKSFCFATANVCLLPDSLARVNNLFNTQARAKEIGQRIRNGAARPQIKIYIDSPTNTSISAASFSSLVSPQGGDGVARAVPGSIKRTASVEYKGDGGRHPGDEAANGPASGDPVDSSSPEDACIVRIGGEEGGRPPEADDPVPGGQARNGAGGGPRGQTPNHNQQDGDSGSLGSPSASRESLVKGRAGPDTSASGEPGANSKLLYKASVVKKAAARRRRHPDEAFDHEVSAFFPANLDFLCLQEVFDKRAATKLKEQLHGYFEYILYDVGVYGCQGCCSFKCLNSGLLFASRYPIMDVAYHCYPNKCNDDALASKGALFLKVQVGSTPQDQRIVGYIACTHLHAPQEDSAIRCGQLDLLQDWLADFRKSTSSSSAANPEELVAFDVVCGDFNFDNCSSDDKLEQQHSLFTHYRDPCRLGPGEEKPWAIGTLLDTNGLYDEDVCTPDNLQKVLESEEGRREYLAFPTSKSSGQKGRKELLKGNGRRIDYMLHAEEGLCPDWKAEVEEFSFITQLSGLTDHLPVAMRLMVSSGEEEA
+>DECOY_sp|Q9NY59|NSMA2_HUMAN Sphingomyelin phosphodiesterase 3 OS=Homo sapiens OX=9606 GN=SMPD3 PE=1 SV=1
+AEEEGSSVMLRMAVPLHDTLGSLQTIFSFEEVEAKWDPCLGEEAHLMYDIRRGNGKLLEKRGKQGSSKSTPFALYERRGEESELVKQLNDPTCVDEDYLGNTDLLTGIAWPKEEGPGLRCPDRYHTFLSHQQELKDDSSCNDFNFDGCVVDFAVLEEPNAASSSSTSKRFDALWDQLLDLQGCRIASDEQPAHLHTCAIYGVIRQDQPTSGVQVKLFLAGKSALADDNCKNPYCHYAVDMIPYRSAFLLGSNLCKFSCCGQCGYVGVDYLIYEFYGHLQEKLKTAARKDFVEQLCLFDLNAPFFASVEHDFAEDPHRRRRAAAKKVVSAKYLLKSNAGPEGSASTDPGARGKVLSERSASPSGLSGSDGDQQNHNPTQGRPGGGAGNRAQGGPVPDDAEPPRGGEEGGIRVICADEPSSSDVPDGSAPGNAAEDGPHRGGDGKYEVSATRKISGPVARAVGDGGQPSVLSSFSAASISTNTPSDIYIKIQPRAAGNRIRQGIEKARAQTNFLNNVRALSDPLLCVNATAFCFSKGPGTGKWESLLAAGGALGKDELRSYIYPRRASQLPSWFLFGLFAFPLSAVLLALYIPTFLATCLLQLCCPDDARQRKEYTTPIFSAALRDVLWYCPFILAWSVCHLASLCSNPFPTTYLVM
+>sp|Q9H649|NSUN3_HUMAN tRNA (cytosine(34)-C(5))-methyltransferase, mitochondrial OS=Homo sapiens OX=9606 GN=NSUN3 PE=1 SV=1
+MLTQLKAKSEGKLAKQICKVVLDHFEKQYSKELGDAWNTVREILTSPSCWQYAVLLNRFNYPFELEKDLHLKGYHTLSQGSLPNYPKSVKCYLSRTPGRIPSERHQIGNLKKYYLLNAASLLPVLALELRDGEKVLDLCAAPGGKSIALLQCACPGYLHCNEYDSLRLRWLRQTLESFIPQPLINVIKVSELDGRKMGDAQPEMFDKVLVDAPCSNDRSWLFSSDSQKASCRISQRRNLPLLQIELLRSAIKALRPGGILVYSTCTLSKAENQDVISEILNSHGNIMPMDIKGIARTCSHDFTFAPTGQECGLLVIPDKGKAWGPMYVAKLKKSWSTGKW
+>DECOY_sp|Q9H649|NSUN3_HUMAN tRNA (cytosine(34)-C(5))-methyltransferase, mitochondrial OS=Homo sapiens OX=9606 GN=NSUN3 PE=1 SV=1
+WKGTSWSKKLKAVYMPGWAKGKDPIVLLGCEQGTPAFTFDHSCTRAIGKIDMPMINGHSNLIESIVDQNEAKSLTCTSYVLIGGPRLAKIASRLLEIQLLPLNRRQSIRCSAKQSDSSFLWSRDNSCPADVLVKDFMEPQADGMKRGDLESVKIVNILPQPIFSELTQRLWRLRLSDYENCHLYGPCACQLLAISKGGPAACLDLVKEGDRLELALVPLLSAANLLYYKKLNGIQHRESPIRGPTRSLYCKVSKPYNPLSGQSLTHYGKLHLDKELEFPYNFRNLLVAYQWCSPSTLIERVTNWADGLEKSYQKEFHDLVVKCIQKALKGESKAKLQTLM
+>sp|Q8NE18|NSUN7_HUMAN Putative methyltransferase NSUN7 OS=Homo sapiens OX=9606 GN=NSUN7 PE=2 SV=4
+MLNSTGELEFSNEEDPEIISQLTSLPLSGGKSSAGVPEKTGYPDSVYVMAANIFQGIRIEKSAQKVLIKYGNEPLRSLSESEDQSFQRLSYELAFSALKYQDILETILIDSCIFPSTTIPDHLSSLIIVMLYDFQDRKFQTRVLSDNEEPISEVQEVENLLNSFKIKLAAALARCRIKHDALSIYHILPETVRKQELRASTLPLYAWINTCKISPEEVYNNLKRRGYNKVKSVLHIDDKVFAVDQHCYDVLIFPSHLKNDLINIDLFKDYKLIFQDKSRSLAVHSVKALLNMDDDVLMVNTGSWYTVSHMSILTNNNTSKVFVCGVQSQAKDPDLKTLFTKIGCKNIEILHEKFINIESKDHRLQKVKVILLLPRCSGLGVSNPVEFILNEHEDTEFLKDHSQGGISVDKLHVLAQQQYEQLTHAMKFTKAQAVVYCTCSVFPEENEAVVKKALEFQDLGNKGQPYRLSPPVLPLCSLKEIQLSTDKFFRMEPSEITNGCFLSILTRERDPSETVSVNDVLARAAAKGLLDGIELGKSSKREKKKKKSKTSLTKGATTDNGIQMKIAEFLNRETKASANLSETVTKPPLPQKNTAQVGASSQTRKPNKLAPHPAVPAFVKNTCPSRPRERQTHFLRPRPEDRMVALKPIKIVLPPVFMPFSSPQGIRSRMPTQHLYCRWVAPKALVPTCLPTHSLSRKEEKPKDDTPSSLLRPPRRWL
+>DECOY_sp|Q8NE18|NSUN7_HUMAN Putative methyltransferase NSUN7 OS=Homo sapiens OX=9606 GN=NSUN7 PE=2 SV=4
+LWRRPPRLLSSPTDDKPKEEKRSLSHTPLCTPVLAKPAVWRCYLHQTPMRSRIGQPSSFPMFVPPLVIKIPKLAVMRDEPRPRLFHTQRERPRSPCTNKVFAPVAPHPALKNPKRTQSSAGVQATNKQPLPPKTVTESLNASAKTERNLFEAIKMQIGNDTTAGKTLSTKSKKKKKERKSSKGLEIGDLLGKAAARALVDNVSVTESPDRERTLISLFCGNTIESPEMRFFKDTSLQIEKLSCLPLVPPSLRYPQGKNGLDQFELAKKVVAENEEPFVSCTCYVVAQAKTFKMAHTLQEYQQQALVHLKDVSIGGQSHDKLFETDEHENLIFEVPNSVGLGSCRPLLLIVKVKQLRHDKSEINIFKEHLIEINKCGIKTFLTKLDPDKAQSQVGCVFVKSTNNNTLISMHSVTYWSGTNVMLVDDDMNLLAKVSHVALSRSKDQFILKYDKFLDINILDNKLHSPFILVDYCHQDVAFVKDDIHLVSKVKNYGRRKLNNYVEEPSIKCTNIWAYLPLTSARLEQKRVTEPLIHYISLADHKIRCRALAAALKIKFSNLLNEVEQVESIPEENDSLVRTQFKRDQFDYLMVIILSSLHDPITTSPFICSDILITELIDQYKLASFALEYSLRQFSQDESESLSRLPENGYKILVKQASKEIRIGQFINAAMVYVSDPYGTKEPVGASSKGGSLPLSTLQSIIEPDEENSFELEGTSNLM
+>sp|Q96AB6|NTAN1_HUMAN Protein N-terminal asparagine amidohydrolase OS=Homo sapiens OX=9606 GN=NTAN1 PE=1 SV=3
+MPLLVEGRRVRLPQSAGDLVRAHPPLEERARLLRGQSVQQVGPQGLLYVQQRELAVTSPKDGSISILGSDDATTCHIVVLRHTGNGATCLTHCDGTDTKAEVPLIMNSIKSFSDHAQCGRLEVHLVGGFSDDRQLSQKLTHQLLSEFDRQEDDIHLVTLCVTELNDREENENHFPVIYGIAVNIKTAEIYRASFQDRGPEEQLRAARTLAGGPMISIYDAETEQLRIGPYSWTPFPHVDFWLHQDDKQILENLSTSPLAEPPHFVEHIRSTLMFLKKHPSPAHTLFSGNKALLYKKNEDGLWEKISSPGS
+>DECOY_sp|Q96AB6|NTAN1_HUMAN Protein N-terminal asparagine amidohydrolase OS=Homo sapiens OX=9606 GN=NTAN1 PE=1 SV=3
+SGPSSIKEWLGDENKKYLLAKNGSFLTHAPSPHKKLFMLTSRIHEVFHPPEALPSTSLNELIQKDDQHLWFDVHPFPTWSYPGIRLQETEADYISIMPGGALTRAARLQEEPGRDQFSARYIEATKINVAIGYIVPFHNENEERDNLETVCLTVLHIDDEQRDFESLLQHTLKQSLQRDDSFGGVLHVELRGCQAHDSFSKISNMILPVEAKTDTGDCHTLCTAGNGTHRLVVIHCTTADDSGLISISGDKPSTVALERQQVYLLGQPGVQQVSQGRLLRAREELPPHARVLDGASQPLRVRRGEVLLPM
+>sp|A6NNL0|NTM2B_HUMAN NUT family member 2B OS=Homo sapiens OX=9606 GN=NUTM2B PE=3 SV=2
+MEVKGPSGRSFCCESEGQFKSCLKRHTPSLLLPSSWKGNSGSCLMAEALHRTSPTPNSCPLPLPLCRMSGVLCSRNLFTFKFSLFQLDSGASGEPGHSLGLTLGFSYCGNCQTAVVSAQPEGMASNGAYPVLGPGVTANPGTSLSVFTALPFTTPAPGPAHGPLLVTAGAPPGGPLVLSTFPSTPLVTEQDGCGPSGAGASNVFVQMRTEVGPVKAAQAQTLVLTQAPLVWQAPGALCGGVVCPPPLLLAAAPVVPVMAAQVVGGTQACEGGWSQGLPLPPPPPPAAQLPPIVSQGNAGPWPQGAHGESSLASSQAKAPPDDSCNPRSVYENFRLWQHYKPLARRHLPQSPDTEALSCFLIPVLRSLARRKPTMTLEEGLWRAMREWQHTSNFDRMIFYEMAEKFLEFEAEEEMQIQKSQWMKGPQCLPPPATPRLEPRGPPAPEVVKQPVYLPSKAGPKAPTACLPPPRPQRPVTKARRPPPRPHRRAETKARLPPPRPQRPAETKVPEEIPPEVVQEYVDIMEELLGPSLGATGEPEKQREEGKVKQPQEEDWTPPDPGLLSYIDKLCSQKDFVTKVEAVIHPQFLEELLSPDPQMDFLALSQDLEQEEGLTLAQLVEKRLPPLKEKQHARAAPSRGTARLDSSSSKFAAGQGAERDVPDPQQGVGMETCPPQMTARDSQGRGRAHTGMARSEDSVVLLGCQDSPGLRAAWPTSPPQDHRPTCPGVGTKDALDLPGGSPVRESHGLAQGSSEEEELPSLAFLLGSQHKLLPWWLPQSPVPASGLLSPEKWGPQGTHQSPSAERRGLNLAPSPANKAKKRPLFGSLSPAEKTPYPGPGLRVSGEQSLTWGLGGPSQSQKRKGDPLVSRKEKKQHCSQ
+>DECOY_sp|A6NNL0|NTM2B_HUMAN NUT family member 2B OS=Homo sapiens OX=9606 GN=NUTM2B PE=3 SV=2
+QSCHQKKEKRSVLPDGKRKQSQSPGGLGWTLSQEGSVRLGPGPYPTKEAPSLSGFLPRKKAKNAPSPALNLGRREASPSQHTGQPGWKEPSLLGSAPVPSQPLWWPLLKHQSGLLFALSPLEEEESSGQALGHSERVPSGGPLDLADKTGVGPCTPRHDQPPSTPWAARLGPSDQCGLLVVSDESRAMGTHARGRGQSDRATMQPPCTEMGVGQQPDPVDREAGQGAAFKSSSSDLRATGRSPAARAHQKEKLPPLRKEVLQALTLGEEQELDQSLALFDMQPDPSLLEELFQPHIVAEVKTVFDKQSCLKDIYSLLGPDPPTWDEEQPQKVKGEERQKEPEGTAGLSPGLLEEMIDVYEQVVEPPIEEPVKTEAPRQPRPPPLRAKTEARRHPRPPPRRAKTVPRQPRPPPLCATPAKPGAKSPLYVPQKVVEPAPPGRPELRPTAPPPLCQPGKMWQSKQIQMEEEAEFELFKEAMEYFIMRDFNSTHQWERMARWLGEELTMTPKRRALSRLVPILFCSLAETDPSQPLHRRALPKYHQWLRFNEYVSRPNCSDDPPAKAQSSALSSEGHAGQPWPGANGQSVIPPLQAAPPPPPPLPLGQSWGGECAQTGGVVQAAMVPVVPAAALLLPPPCVVGGCLAGPAQWVLPAQTLVLTQAQAAKVPGVETRMQVFVNSAGAGSPGCGDQETVLPTSPFTSLVLPGGPPAGATVLLPGHAPGPAPTTFPLATFVSLSTGPNATVGPGLVPYAGNSAMGEPQASVVATQCNGCYSFGLTLGLSHGPEGSAGSDLQFLSFKFTFLNRSCLVGSMRCLPLPLPCSNPTPSTRHLAEAMLCSGSNGKWSSPLLLSPTHRKLCSKFQGESECCFSRGSPGKVEM
+>sp|Q5VZR2|NTM2G_HUMAN NUT family member 2G OS=Homo sapiens OX=9606 GN=NUTM2G PE=3 SV=2
+MASNGAYPVLGPGVTVNPGTSLSVFTALPFATPSPGPTHRPPLVTAVVPPAGPLVLSAFPSTPLVAGQDGRGPSGAGASNVFVQMRTEVGPVKPPQAQTLILTQAPLVWQAPGTLCGGVMCPPPLLLAAAPGVPVTSAQVVGGTQACEGGWSHGLPLPPPPPAAQVAPIVSPGNAGPWPQGAHGEGSLAPSQAKARPDDSCKPKSVYENFRLWQHYKPLARRHLPQSPDTEALSCFLIPVLRSLARRKPTMTLEEGLWRAMREWQHTSNFDRMIFYEMAAKFLEFEAEEEMQIQKSQWMKGPQSLPPPAPPRLEPRGPPAPEVVKQPVYLPSKDGPKAPTACLPPPRPQRPAETKAHLPPPRPPRPAETKVPEEIPPEVVQEYVDIMEELLGSHPGDTGEPEGQREKGKVEQPQEEDGMTSDPGLLSYIDKLCSQEDFVTKVEAVIHPRFLEELLSPDPQMDFLALSQELEQEEGLTLAQLVEKRLLSLKEKGCGRAAPRHGTARLDSSPSEFAAGQEAAREVPDPQQRVSVETSPPQTAAQDPQGQGRVRTGMARSEDPAVLLGCQDSPRLKAVRPTSPPQDHRPTCPGLGTKDALGLPGESPVKESHGLAKGSSEETELPGMVYVVGSHHRLRPWRLSQSPVPSSGLLSPGGRGPQGALQSPSAQKRGLSPSPSPASKSKKRPLFGSPSPAEKTPHPGPGLRVSGEQSLAWGLGGPSQSQKRKGDPLASRRKKKRHCSQ
+>DECOY_sp|Q5VZR2|NTM2G_HUMAN NUT family member 2G OS=Homo sapiens OX=9606 GN=NUTM2G PE=3 SV=2
+QSCHRKKKRRSALPDGKRKQSQSPGGLGWALSQEGSVRLGPGPHPTKEAPSPSGFLPRKKSKSAPSPSPSLGRKQASPSQLAGQPGRGGPSLLGSSPVPSQSLRWPRLRHHSGVVYVMGPLETEESSGKALGHSEKVPSEGPLGLADKTGLGPCTPRHDQPPSTPRVAKLRPSDQCGLLVAPDESRAMGTRVRGQGQPDQAATQPPSTEVSVRQQPDPVERAAEQGAAFESPSSDLRATGHRPAARGCGKEKLSLLRKEVLQALTLGEEQELEQSLALFDMQPDPSLLEELFRPHIVAEVKTVFDEQSCLKDIYSLLGPDSTMGDEEQPQEVKGKERQGEPEGTDGPHSGLLEEMIDVYEQVVEPPIEEPVKTEAPRPPRPPPLHAKTEAPRQPRPPPLCATPAKPGDKSPLYVPQKVVEPAPPGRPELRPPAPPPLSQPGKMWQSKQIQMEEEAEFELFKAAMEYFIMRDFNSTHQWERMARWLGEELTMTPKRRALSRLVPILFCSLAETDPSQPLHRRALPKYHQWLRFNEYVSKPKCSDDPRAKAQSPALSGEGHAGQPWPGANGPSVIPAVQAAPPPPPLPLGHSWGGECAQTGGVVQASTVPVGPAAALLLPPPCMVGGCLTGPAQWVLPAQTLILTQAQPPKVPGVETRMQVFVNSAGAGSPGRGDQGAVLPTSPFASLVLPGAPPVVATVLPPRHTPGPSPTAFPLATFVSLSTGPNVTVGPGLVPYAGNSAM
+>sp|Q9BSD7|NTPCR_HUMAN Cancer-related nucleoside-triphosphatase OS=Homo sapiens OX=9606 GN=NTPCR PE=1 SV=1
+MARHVFLTGPPGVGKTTLIHKASEVLKSSGVPVDGFYTEEVRQGGRRIGFDVVTLSGTRGPLSRVGLEPPPGKRECRVGQYVVDLTSFEQLALPVLRNADCSSGPGQRVCVIDEIGKMELFSQLFIQAVRQTLSTPGTIILGTIPVPKGKPLALVEEIRNRKDVKVFNVTKENRNHLLPDIVTCVQSSRK
+>DECOY_sp|Q9BSD7|NTPCR_HUMAN Cancer-related nucleoside-triphosphatase OS=Homo sapiens OX=9606 GN=NTPCR PE=1 SV=1
+KRSSQVCTVIDPLLHNRNEKTVNFVKVDKRNRIEEVLALPKGKPVPITGLIITGPTSLTQRVAQIFLQSFLEMKGIEDIVCVRQGPGSSCDANRLVPLALQEFSTLDVVYQGVRCERKGPPPELGVRSLPGRTGSLTVVDFGIRRGGQRVEETYFGDVPVGSSKLVESAKHILTTKGVGPPGTLFVHRAM
+>sp|Q16620|NTRK2_HUMAN BDNF/NT-3 growth factors receptor OS=Homo sapiens OX=9606 GN=NTRK2 PE=1 SV=1
+MSSWIRWHGPAMARLWGFCWLVVGFWRAAFACPTSCKCSASRIWCSDPSPGIVAFPRLEPNSVDPENITEIFIANQKRLEIINEDDVEAYVGLRNLTIVDSGLKFVAHKAFLKNSNLQHINFTRNKLTSLSRKHFRHLDLSELILVGNPFTCSCDIMWIKTLQEAKSSPDTQDLYCLNESSKNIPLANLQIPNCGLPSANLAAPNLTVEEGKSITLSCSVAGDPVPNMYWDVGNLVSKHMNETSHTQGSLRITNISSDDSGKQISCVAENLVGEDQDSVNLTVHFAPTITFLESPTSDHHWCIPFTVKGNPKPALQWFYNGAILNESKYICTKIHVTNHTEYHGCLQLDNPTHMNNGDYTLIAKNEYGKDEKQISAHFMGWPGIDDGANPNYPDVIYEDYGTAANDIGDTTNRSNEIPSTDVTDKTGREHLSVYAVVVIASVVGFCLLVMLFLLKLARHSKFGMKGPASVISNDDDSASPLHHISNGSNTPSSSEGGPDAVIIGMTKIPVIENPQYFGITNSQLKPDTFVQHIKRHNIVLKRELGEGAFGKVFLAECYNLCPEQDKILVAVKTLKDASDNARKDFHREAELLTNLQHEHIVKFYGVCVEGDPLIMVFEYMKHGDLNKFLRAHGPDAVLMAEGNPPTELTQSQMLHIAQQIAAGMVYLASQHFVHRDLATRNCLVGENLLVKIGDFGMSRDVYSTDYYRVGGHTMLPIRWMPPESIMYRKFTTESDVWSLGVVLWEIFTYGKQPWYQLSNNEVIECITQGRVLQRPRTCPQEVYELMLGCWQREPHMRKNIKGIHTLLQNLAKASPVYLDILG
+>DECOY_sp|Q16620|NTRK2_HUMAN BDNF/NT-3 growth factors receptor OS=Homo sapiens OX=9606 GN=NTRK2 PE=1 SV=1
+GLIDLYVPSAKALNQLLTHIGKINKRMHPERQWCGLMLEYVEQPCTRPRQLVRGQTICEIVENNSLQYWPQKGYTFIEWLVVGLSWVDSETTFKRYMISEPPMWRIPLMTHGGVRYYDTSYVDRSMGFDGIKVLLNEGVLCNRTALDRHVFHQSALYVMGAAIQQAIHLMQSQTLETPPNGEAMLVADPGHARLFKNLDGHKMYEFVMILPDGEVCVGYFKVIHEHQLNTLLEAERHFDKRANDSADKLTKVAVLIKDQEPCLNYCEALFVKGFAGEGLERKLVINHRKIHQVFTDPKLQSNTIGFYQPNEIVPIKTMGIIVADPGGESSSPTNSGNSIHHLPSASDDDNSIVSAPGKMGFKSHRALKLLFLMVLLCFGVVSAIVVVAYVSLHERGTKDTVDTSPIENSRNTTDGIDNAATGYDEYIVDPYNPNAGDDIGPWGMFHASIQKEDKGYENKAILTYDGNNMHTPNDLQLCGHYETHNTVHIKTCIYKSENLIAGNYFWQLAPKPNGKVTFPICWHHDSTPSELFTITPAFHVTLNVSDQDEGVLNEAVCSIQKGSDDSSINTIRLSGQTHSTENMHKSVLNGVDWYMNPVPDGAVSCSLTISKGEEVTLNPAALNASPLGCNPIQLNALPINKSSENLCYLDQTDPSSKAEQLTKIWMIDCSCTFPNGVLILESLDLHRFHKRSLSTLKNRTFNIHQLNSNKLFAKHAVFKLGSDVITLNRLGVYAEVDDENIIELRKQNAIFIETINEPDVSNPELRPFAVIGPSPDSCWIRSASCKCSTPCAFAARWFGVVLWCFGWLRAMAPGHWRIWSSM
+>sp|Q9H093|NUAK2_HUMAN NUAK family SNF1-like kinase 2 OS=Homo sapiens OX=9606 GN=NUAK2 PE=1 SV=1
+MESLVFARRSGPTPSAAELARPLAEGLIKSPKPLMKKQAVKRHHHKHNLRHRYEFLETLGKGTYGKVKKARESSGRLVAIKSIRKDKIKDEQDLMHIRREIEIMSSLNHPHIIAIHEVFENSSKIVIVMEYASRGDLYDYISERQQLSEREARHFFRQIVSAVHYCHQNRVVHRDLKLENILLDANGNIKIADFGLSNLYHQGKFLQTFCGSPLYASPEIVNGKPYTGPEVDSWSLGVLLYILVHGTMPFDGHDHKILVKQISNGAYREPPKPSDACGLIRWLLMVNPTRRATLEDVASHWWVNWGYATRVGEQEAPHEGGHPGSDSARASMADWLRRSSRPLLENGAKVCSFFKQHAPGGGSTTPGLERQHSLKKSRKENDMAQSLHSDTADDTAHRPGKSNLKLPKGILKKKVSASAEGVQEDPPELSPIPASPGQAAPLLPKKGILKKPRQRESGYYSSPEPSESGELLDAGDVFVSGDPKEQKPPQASGLLLHRKGILKLNGKFSQTALELAAPTTFGSLDELAPPRPLARASRPSGAVSEDSILSSESFDQLDLPERLPEPPLRGCVSVDNLTGLEEPPSEGPGSCLRRWRQDPLGDSCFSLTDCQEVTATYRQALRVCSKLT
+>DECOY_sp|Q9H093|NUAK2_HUMAN NUAK family SNF1-like kinase 2 OS=Homo sapiens OX=9606 GN=NUAK2 PE=1 SV=1
+TLKSCVRLAQRYTATVEQCDTLSFCSDGLPDQRWRRLCSGPGESPPEELGTLNDVSVCGRLPPEPLREPLDLQDFSESSLISDESVAGSPRSARALPRPPALEDLSGFTTPAALELATQSFKGNLKLIGKRHLLLGSAQPPKQEKPDGSVFVDGADLLEGSESPEPSSYYGSERQRPKKLIGKKPLLPAAQGPSAPIPSLEPPDEQVGEASASVKKKLIGKPLKLNSKGPRHATDDATDSHLSQAMDNEKRSKKLSHQRELGPTTSGGGPAHQKFFSCVKAGNELLPRSSRRLWDAMSARASDSGPHGGEHPAEQEGVRTAYGWNVWWHSAVDELTARRTPNVMLLWRILGCADSPKPPERYAGNSIQKVLIKHDHGDFPMTGHVLIYLLVGLSWSDVEPGTYPKGNVIEPSAYLPSGCFTQLFKGQHYLNSLGFDAIKINGNADLLINELKLDRHVVRNQHCYHVASVIQRFFHRAERESLQQRESIYDYLDGRSAYEMVIVIKSSNEFVEHIAIIHPHNLSSMIEIERRIHMLDQEDKIKDKRISKIAVLRGSSERAKKVKGYTGKGLTELFEYRHRLNHKHHHRKVAQKKMLPKPSKILGEALPRALEAASPTPGSRRAFVLSEM
+>sp|Q86X67|NUD13_HUMAN Nucleoside diphosphate-linked moiety X motif 13 OS=Homo sapiens OX=9606 GN=NUDT13 PE=2 SV=3
+MSLYCGIACRRKFFWCYRLLSTYVTKTRYLFELKEDDDACKKAQQTGAFYLFHSLAPLLQTSAHQYLAPRHSLLELERLLGKFGQDAQRIEDSVLIGCSEQQEAWFALDLGLDSSFSISASLHKPEMETELKGSFIELRKALFQLNARDASLLSTAQALLRWHDAHQFCSRSGQPTKKNVAGSKRVCPSNNIIYYPQMAPVAITLVSDGTRCLLARQSSFPKGMYSALAGFCDIGESVEETIRREVAEEVGLEVESLQYYASQHWPFPSGSLMIACHATVKPGQTEIQVNLRELETAAWFSHDEVATALKRKGPYTQQQNGTFPFWLPPKLAISHQLIKEWVEKQTCSSLPA
+>DECOY_sp|Q86X67|NUD13_HUMAN Nucleoside diphosphate-linked moiety X motif 13 OS=Homo sapiens OX=9606 GN=NUDT13 PE=2 SV=3
+APLSSCTQKEVWEKILQHSIALKPPLWFPFTGNQQQTYPGKRKLATAVEDHSFWAATELERLNVQIETQGPKVTAHCAIMLSGSPFPWHQSAYYQLSEVELGVEEAVERRITEEVSEGIDCFGALASYMGKPFSSQRALLCRTGDSVLTIAVPAMQPYYIINNSPCVRKSGAVNKKTPQGSRSCFQHADHWRLLAQATSLLSADRANLQFLAKRLEIFSGKLETEMEPKHLSASISFSSDLGLDLAFWAEQQESCGILVSDEIRQADQGFKGLLRELELLSHRPALYQHASTQLLPALSHFLYFAGTQQAKKCADDDEKLEFLYRTKTVYTSLLRYCWFFKRRCAIGCYLSM
+>sp|Q9UKX7|NUP50_HUMAN Nuclear pore complex protein Nup50 OS=Homo sapiens OX=9606 GN=NUP50 PE=1 SV=2
+MAKRNAEKELTDRNWDQEDEAEEVGTFSMASEEVLKNRAIKKAKRRNVGFESDTGGAFKGFKGLVVPSGGGRFSGFGSGAGGKPLEGLSNGNNITSAPPFASAKAAADPKVAFGSLAANGPTTLVDKVSNPKTNGDSQQPSSSGLASSKACVGNAYHKQLAALNCSVRDWIVKHVNTNPLCDLTPIFKDYEKYLANIEQQHGNSGRNSESESNKVAAETQSPSLFGSTKLQQESTFLFHGNKTEDTPDKKMEVASEKKTDPSSLGATSASFNFGKKVDSSVLGSLSSVPLTGFSFSPGNSSLFGKDTTQSKPVSSPFPTKPLEGQAEGDSGECKGGDEEENDEPPKVVVTEVKEEDAFYSKKCKLFYKKDNEFKEKGIGTLHLKPTANQKTQLLVRADTNLGNILLNVLIPPNMPCTRTGKNNVLIVCVPNPPIDEKNATMPVTMLIRVKTSEDADELHKILLEKKDA
+>DECOY_sp|Q9UKX7|NUP50_HUMAN Nuclear pore complex protein Nup50 OS=Homo sapiens OX=9606 GN=NUP50 PE=1 SV=2
+ADKKELLIKHLEDADESTKVRILMTVPMTANKEDIPPNPVCVILVNNKGTRTCPMNPPILVNLLINGLNTDARVLLQTKQNATPKLHLTGIGKEKFENDKKYFLKCKKSYFADEEKVETVVVKPPEDNEEEDGGKCEGSDGEAQGELPKTPFPSSVPKSQTTDKGFLSSNGPSFSFGTLPVSSLSGLVSSDVKKGFNFSASTAGLSSPDTKKESAVEMKKDPTDETKNGHFLFTSEQQLKTSGFLSPSQTEAAVKNSESESNRGSNGHQQEINALYKEYDKFIPTLDCLPNTNVHKVIWDRVSCNLAALQKHYANGVCAKSSALGSSSPQQSDGNTKPNSVKDVLTTPGNAALSGFAVKPDAAAKASAFPPASTINNGNSLGELPKGGAGSGFGSFRGGGSPVVLGKFGKFAGGTDSEFGVNRRKAKKIARNKLVEESAMSFTGVEEAEDEQDWNRDTLEKEANRKAM
+>sp|Q7Z3B4|NUP54_HUMAN Nucleoporin p54 OS=Homo sapiens OX=9606 GN=NUP54 PE=1 SV=2
+MAFNFGAPSGTSGTAAATAAPAGGFGGFGTTSTTAGSAFSFSAPTNTGTTGLFGGTQNKGFGFGTGFGTTTGTSTGLGTGLGTGLGFGGFNTQQQQQTTLGGLFSQPTQAPTQSNQLINTASALSAPTLLGDERDAILAKWNQLQAFWGTGKGYFNNNIPPVEFTQENPFCRFKAVGYSCMPSNKDEDGLVVLVFNKKETEIRSQQQQLVESLHKVLGGNQTLTVNVEGTKTLPDDQTEVVIYVVERSPNGTSRRVPATTLYAHFEQANIKTQLQQLGVTLSMTRTELSPAQIKQLLQNPPAGVDPIIWEQAKVDNPDSEKLIPVPMVGFKELLRRLKVQDQMTKQHQTRLDIISEDISELQKNQTTSVAKIAQYKRKLMDLSHRTLQVLIKQEIQRKSGYAIQADEEQLRVQLDTIQGELNAPTQFKGRLNELMSQIRMQNHFGAVRSEERYYIDADLLREIKQHLKQQQEGLSHLISIIKDDLEDIKLVEHGLNETIHIRGGVFS
+>DECOY_sp|Q7Z3B4|NUP54_HUMAN Nucleoporin p54 OS=Homo sapiens OX=9606 GN=NUP54 PE=1 SV=2
+SFVGGRIHITENLGHEVLKIDELDDKIISILHSLGEQQQKLHQKIERLLDADIYYREESRVAGFHNQMRIQSMLENLRGKFQTPANLEGQITDLQVRLQEEDAQIAYGSKRQIEQKILVQLTRHSLDMLKRKYQAIKAVSTTQNKQLESIDESIIDLRTQHQKTMQDQVKLRRLLEKFGVMPVPILKESDPNDVKAQEWIIPDVGAPPNQLLQKIQAPSLETRTMSLTVGLQQLQTKINAQEFHAYLTTAPVRRSTGNPSREVVYIVVETQDDPLTKTGEVNVTLTQNGGLVKHLSEVLQQQQSRIETEKKNFVLVVLGDEDKNSPMCSYGVAKFRCFPNEQTFEVPPINNNFYGKGTGWFAQLQNWKALIADREDGLLTPASLASATNILQNSQTPAQTPQSFLGGLTTQQQQQTNFGGFGLGTGLGTGLGTSTGTTTGFGTGFGFGKNQTGGFLGTTGTNTPASFSFASGATTSTTGFGGFGGAPAATAAATGSTGSPAGFNFAM
+>sp|Q9H1B4|NXF5_HUMAN Nuclear RNA export factor 5 OS=Homo sapiens OX=9606 GN=NXF5 PE=2 SV=1
+MRRNTQDENMRKWFKVTIPYGIKYDKAWLMNSIQSNCSVPFTPVDFHYIRNRACFFVQVASAASALKDVSYKIYDDENQKICIFVSHFTAPYSVKNKLKPGQMEMLKLTMNKRYNVSQQALDLQNLRFDPDLMGRDIDIILNRRNCMAATLKITERNFPELLSLNLCNNKLYQLDGLSDITEKAPKVKTLNLSKNKLESAWELGKVKGLKLEELWLEGNPLCSTFSDQSAYVSAIRDCFPKLLRLDGRELSAPVIVDIDSSETMKPCKENFTGSETLKHLVLQFLQQSNLCKYFKDSRNIKILKDPYLQRKLLKHTKCPRNVDSLSALPETQHDFTSILVDMWYQTVNTCFLPRAGPESQRWWCLLSLKWKDGLRVLILPSCGPSSLPLAAIPVCAS
+>DECOY_sp|Q9H1B4|NXF5_HUMAN Nuclear RNA export factor 5 OS=Homo sapiens OX=9606 GN=NXF5 PE=2 SV=1
+SACVPIAALPLSSPGCSPLILVRLGDKWKLSLLCWWRQSEPGARPLFCTNVTQYWMDVLISTFDHQTEPLASLSDVNRPCKTHKLLKRQLYPDKLIKINRSDKFYKCLNSQQLFQLVLHKLTESGTFNEKCPKMTESSDIDVIVPASLERGDLRLLKPFCDRIASVYASQDSFTSCLPNGELWLEELKLGKVKGLEWASELKNKSLNLTKVKPAKETIDSLGDLQYLKNNCLNLSLLEPFNRETIKLTAAMCNRRNLIIDIDRGMLDPDFRLNQLDLAQQSVNYRKNMTLKLMEMQGPKLKNKVSYPATFHSVFICIKQNEDDYIKYSVDKLASAASAVQVFFCARNRIYHFDVPTFPVSCNSQISNMLWAKDYKIGYPITVKFWKRMNEDQTNRRM
+>sp|Q6DKJ4|NXN_HUMAN Nucleoredoxin OS=Homo sapiens OX=9606 GN=NXN PE=1 SV=2
+MSGFLEELLGEKLVTGGGEEVDVHSLGARGISLLGLYFGCSLSAPCAQLSASLAAFYGRLRGDAAAGPGPGAGAGAAAEPEPRRRLEIVFVSSDQDQRQWQDFVRDMPWLALPYKEKHRKLKLWNKYRISNIPSLIFLDATTGKVVCRNGLLVIRDDPEGLEFPWGPKPFREVIAGPLLRNNGQSLESSSLEGSHVGVYFSAHWCPPCRSLTRVLVESYRKIKEAGQNFEIIFVSADRSEESFKQYFSEMPWLAVPYTDEARRSRLNRLYGIQGIPTLIMLDPQGEVITRQGRVEVLNDEDCREFPWHPKPVLELSDSNAAQLNEGPCLVLFVDSEDDGESEAAKQLIQPIAEKIIAKYKAKEEEAPLLFFVAGEDDMTDSLRDYTNLPEAAPLLTILDMSARAKYVMDVEEITPAIVEAFVNDFLAEKLKPEPI
+>DECOY_sp|Q6DKJ4|NXN_HUMAN Nucleoredoxin OS=Homo sapiens OX=9606 GN=NXN PE=1 SV=2
+IPEPKLKEALFDNVFAEVIAPTIEEVDMVYKARASMDLITLLPAAEPLNTYDRLSDTMDDEGAVFFLLPAEEEKAKYKAIIKEAIPQILQKAAESEGDDESDVFLVLCPGENLQAANSDSLELVPKPHWPFERCDEDNLVEVRGQRTIVEGQPDLMILTPIGQIGYLRNLRSRRAEDTYPVALWPMESFYQKFSEESRDASVFIIEFNQGAEKIKRYSEVLVRTLSRCPPCWHASFYVGVHSGELSSSELSQGNNRLLPGAIVERFPKPGWPFELGEPDDRIVLLGNRCVVKGTTADLFILSPINSIRYKNWLKLKRHKEKYPLALWPMDRVFDQWQRQDQDSSVFVIELRRRPEPEAAAGAGAGPGPGAAADGRLRGYFAALSASLQACPASLSCGFYLGLLSIGRAGLSHVDVEEGGGTVLKEGLLEELFGSM
+>sp|Q9GZU5|NYX_HUMAN Nyctalopin OS=Homo sapiens OX=9606 GN=NYX PE=1 SV=1
+MKGRGMLVLLLHAVVLGLPSAWAVGACARACPAACACSTVERGCSVRCDRAGLLRVPAELPCEAVSIDLDRNGLRFLGERAFGTLPSLRRLSLRHNNLSFITPGAFKGLPRLAELRLAHNGDLRYLHARTFAALSRLRRLDLAACRLFSVPERLLAELPALRELAAFDNLFRRVPGALRGLANLTHAHLERGRIEAVASSSLQGLRRLRSLSLQANRVRAVHAGAFGDCGVLEHLLLNDNLLAELPADAFRGLRRLRTLNLGGNALDRVARAWFADLAELELLYLDRNSIAFVEEGAFQNLSGLLALHLNGNRLTVLAWVAFQPGFFLGRLFLFRNPWCCDCRLEWLRDWMEGSGRVTDVPCASPGSVAGLDLSQVTFGRSSDGLCVDPEELNLTTSSPGPSPEPAATTVSRFSSLLSKLLAPRVPVEEAANTTGGLANASLSDSLSSRGVGGAGRQPWFLLASCLLPSVAQHVVFGLQMD
+>DECOY_sp|Q9GZU5|NYX_HUMAN Nyctalopin OS=Homo sapiens OX=9606 GN=NYX PE=1 SV=1
+DMQLGFVVHQAVSPLLCSALLFWPQRGAGGVGRSSLSDSLSANALGGTTNAAEEVPVRPALLKSLLSSFRSVTTAAPEPSPGPSSTTLNLEEPDVCLGDSSRGFTVQSLDLGAVSGPSACPVDTVRGSGEMWDRLWELRCDCCWPNRFLFLRGLFFGPQFAVWALVTLRNGNLHLALLGSLNQFAGEEVFAISNRDLYLLELEALDAFWARAVRDLANGGLNLTRLRRLGRFADAPLEALLNDNLLLHELVGCDGFAGAHVARVRNAQLSLSRLRRLGQLSSSAVAEIRGRELHAHTLNALGRLAGPVRRFLNDFAALERLAPLEALLREPVSFLRCAALDLRRLRSLAAFTRAHLYRLDGNHALRLEALRPLGKFAGPTIFSLNNHRLSLRRLSPLTGFAREGLFRLGNRDLDISVAECPLEAPVRLLGARDCRVSCGREVTSCACAAPCARACAGVAWASPLGLVVAHLLLVLMGRGKM
+>sp|P58181|O10A3_HUMAN Olfactory receptor 10A3 OS=Homo sapiens OX=9606 GN=OR10A3 PE=2 SV=1
+MKRQNQSCVVEFILLGFSNFPELQVQLFGVFLVIYVVTLMGNAIITVIISLNQSLHVPMYLFLLNLSVVEVSFSAVITPEMLVVLSTEKTMISFVGCFAQMYFILLFGGTECFLLGAMAYDRFAAICHPLNYPVIMNRGVFMKLVIFSWISGIMVATVQTTWVFSFPFCGPNEINHLFCETPPVLELVCADTFLFEIYAFTGTILIVMVPFLLILLSYIRVLFAILKMPSTTGRQKAFSTCASHLTSVTLFYGTANMTYLQPKSGYSPETKKLISLAYTLLTPLLNPLIYSLRNSEMKRTLIKLWRRKVILHTF
+>DECOY_sp|P58181|O10A3_HUMAN Olfactory receptor 10A3 OS=Homo sapiens OX=9606 GN=OR10A3 PE=2 SV=1
+FTHLIVKRRWLKILTRKMESNRLSYILPNLLPTLLTYALSILKKTEPSYGSKPQLYTMNATGYFLTVSTLHSACTSFAKQRGTTSPMKLIAFLVRIYSLLILLFPVMVILITGTFAYIEFLFTDACVLELVPPTECFLHNIENPGCFPFSFVWTTQVTAVMIGSIWSFIVLKMFVGRNMIVPYNLPHCIAAFRDYAMAGLLFCETGGFLLIFYMQAFCGVFSIMTKETSLVVLMEPTIVASFSVEVVSLNLLFLYMPVHLSQNLSIIVTIIANGMLTVVYIVLFVGFLQVQLEPFNSFGLLIFEVVCSQNQRKM
+>sp|Q9H207|O10A5_HUMAN Olfactory receptor 10A5 OS=Homo sapiens OX=9606 GN=OR10A5 PE=2 SV=1
+MAIGNWTEISEFILMSFSSLPTEIQSLLFLTFLTIYLVTLKGNSLIILVTLADPMLHSPMYFFLRNLSFLEIGFNLVIVPKMLGTLLAQDTTISFLGCATQMYFFFFFGVAECFLLATMAYDRYVAICSPLHYPVIMNQRTRAKLAAASWFPGFPVATVQTTWLFSFPFCGTNKVNHFFCDSPPVLKLVCADTALFEIYAIVGTILVVMIPCLLILCSYTRIAAAILKIPSAKGKHKAFSTCSSHLLVVSLFYISSSLTYFWPKSNNSPESKKLLSLSYTVVTPMLNPIIYSLRNSEVKNALSRTFHKVLALRNCIP
+>DECOY_sp|Q9H207|O10A5_HUMAN Olfactory receptor 10A5 OS=Homo sapiens OX=9606 GN=OR10A5 PE=2 SV=1
+PICNRLALVKHFTRSLANKVESNRLSYIIPNLMPTVVTYSLSLLKKSEPSNNSKPWFYTLSSSIYFLSVVLLHSSCTSFAKHKGKASPIKLIAAAIRTYSCLILLCPIMVVLITGVIAYIEFLATDACVLKLVPPSDCFFHNVKNTGCFPFSFLWTTQVTAVPFGPFWSAAALKARTRQNMIVPYHLPSCIAVYRDYAMTALLFCEAVGFFFFFYMQTACGLFSITTDQALLTGLMKPVIVLNFGIELFSLNRLFFYMPSHLMPDALTVLIILSNGKLTVLYITLFTLFLLSQIETPLSSFSMLIFESIETWNGIAM
+>sp|Q8NGN7|O10D4_HUMAN Putative olfactory receptor 10D4 OS=Homo sapiens OX=9606 GN=OR10D4P PE=5 SV=1
+MRNHTMVTEFILLGIPETEGLETALLFLFSSFYLCTLLGNVLILTAIISSTRLHTPMYFFLGNLSIFDLGFSSTTVPKMLFYLSGNSHAISYAGCVSQLFFYHFLGCTECFLYTVMACDRFVAICFPLRYTVIMNHRVCFMLATGTWMIGCVHAMILTPLTFQLPYCGPNKVGYYFCDIPAVLPLACKDTSLAQRVGFTNVGLLSLICFFLILVSYTCIGISISKIRSAEGRQRAFSTCSAHLTAILCAYGPVIVIYLQPNPSALLGSIIQILNNLVTPMLNPLIYSLRNKDVKSDQP
+>DECOY_sp|Q8NGN7|O10D4_HUMAN Putative olfactory receptor 10D4 OS=Homo sapiens OX=9606 GN=OR10D4P PE=5 SV=1
+PQDSKVDKNRLSYILPNLMPTVLNNLIQIISGLLASPNPQLYIVIVPGYACLIATLHASCTSFARQRGEASRIKSISIGICTYSVLILFFCILSLLGVNTFGVRQALSTDKCALPLVAPIDCFYYGVKNPGCYPLQFTLPTLIMAHVCGIMWTGTALMFCVRHNMIVTYRLPFCIAVFRDCAMVTYLFCETCGLFHYFFLQSVCGAYSIAHSNGSLYFLMKPVTTSSFGLDFISLNGLFFYMPTHLRTSSIIATLILVNGLLTCLYFSSFLFLLATELGETEPIGLLIFETVMTHNRM
+>sp|P0C629|O10J4_HUMAN Olfactory receptor 10J4 OS=Homo sapiens OX=9606 GN=OR10J4 PE=3 SV=1
+MPRPNFMAVTEFTFEGFSIFEWHHRLILFVIFLVLYVLTLASNAIILIVIRLNHQLHTPMYFFLSVLSISETYYTVAINPQMLSGLLSPQQTISIPGCAAQLFFYLTFGVNKCFLLTAMGYDHYVAICNPLQYSVIMGKKACIQLVSGSWNIGLSTAIIQVSSVFSLPFCDANLISHFFCDIRPIMKLACADTTIKEIITLLISLCVLVLPMVLIFISYVLIVTTILKIASAEGRRKAFATCASHLTVVIVHYGRTSFIYLKPKSQNSLQDRLISVTYTVITPLLNPVVYSLRNKEVKDALLRALGRKPLS
+>DECOY_sp|P0C629|O10J4_HUMAN Olfactory receptor 10J4 OS=Homo sapiens OX=9606 GN=OR10J4 PE=3 SV=1
+SLPKRGLARLLADKVEKNRLSYVVPNLLPTIVTYTVSILRDQLSNQSKPKLYIFSTRGYHVIVVTLHSACTAFAKRRGEASAIKLITTVILVYSIFILVMPLVLVCLSILLTIIEKITTDACALKMIPRIDCFFHSILNADCFPLSFVSSVQIIATSLGINWSGSVLQICAKKGMIVSYQLPNCIAVYHDYGMATLLFCKNVGFTLYFFLQAACGPISITQQPSLLGSLMQPNIAVTYYTESISLVSLFFYMPTHLQHNLRIVILIIANSALTLVYLVLFIVFLILRHHWEFISFGEFTFETVAMFNPRPM
+>sp|Q8NGY7|O10J6_HUMAN Putative olfactory receptor 10J6 OS=Homo sapiens OX=9606 GN=OR10J6P PE=5 SV=1
+MRRKNLTEVTEFVFLGFSRFHKHHITLFVVFLILYTLTVAGNAIIMTIICIDRHLHTPMYFFLSMLASSKTVYTLFIIPQMLSSFVTQTQPISLAGCTTQTFFFVTLAINNCFLLTVMGYDHYMAICNPLRYRVITSKKVCVQLVCGAFSIGLAMAAVQVTSIFTLPFCHTVVGHFFCDILPVMKLSCINTTINEIINFVVRLFVILVPMGLVFISYVLIISTVLKIASAEGWKKTFATCAFHLTVVIVHYGCASIAYLMPKSENSIEQDLLLSVT
+>DECOY_sp|Q8NGY7|O10J6_HUMAN Putative olfactory receptor 10J6 OS=Homo sapiens OX=9606 GN=OR10J6P PE=5 SV=1
+TVSLLLDQEISNESKPMLYAISACGYHVIVVTLHFACTAFTKKWGEASAIKLVTSIILVYSIFVLGMPVLIVFLRVVFNIIENITTNICSLKMVPLIDCFFHGVVTHCFPLTFISTVQVAAMALGISFAGCVLQVCVKKSTIVRYRLPNCIAMYHDYGMVTLLFCNNIALTVFFFTQTTCGALSIPQTQTVFSSLMQPIIFLTYVTKSSALMSLFFYMPTHLHRDICIITMIIANGAVTLTYLILFVVFLTIHHKHFRSFGLFVFETVETLNKRRM
+>sp|Q6IF99|O10K2_HUMAN Olfactory receptor 10K2 OS=Homo sapiens OX=9606 GN=OR10K2 PE=3 SV=1
+MERVNETVVREVIFLGFSSLARLQQLLFVIFLLLYLFTLGTNAIIISTIVLDRALHIPMYFFLAILSCSEICYTFIIVPKMLVDLLSQKKTISFLGCAIQMFSFLFLGCSHSFLLAVMGYDRYIAICNPLRYSVLMGHGVCMGLVAAACACGFTVAQIITSLVFHLPFYSSNQLHHFFCDIAPVLKLASHHNHFSQIVIFMLCTLVLAIPLLLILVSYVHILSAILQFPSTLGRCKAFSTCVSHLIIVTVHYGCASFIYLRPQSNYSSSQDALISVSYTIITPLFNPMIYSLRNKEFKSALCKIVRRTISLL
+>DECOY_sp|Q6IF99|O10K2_HUMAN Olfactory receptor 10K2 OS=Homo sapiens OX=9606 GN=OR10K2 PE=3 SV=1
+LLSITRRVIKCLASKFEKNRLSYIMPNFLPTIITYSVSILADQSSSYNSQPRLYIFSACGYHVTVIILHSVCTSFAKCRGLTSPFQLIASLIHVYSVLILLLPIALVLTCLMFIVIQSFHNHHSALKLVPAIDCFFHHLQNSSYFPLHFVLSTIIQAVTFGCACAAAVLGMCVGHGMLVSYRLPNCIAIYRDYGMVALLFSHSCGLFLFSFMQIACGLFSITKKQSLLDVLMKPVIIFTYCIESCSLIALFFYMPIHLARDLVITSIIIANTGLTFLYLLLFIVFLLQQLRALSSFGLFIVERVVTENVREM
+>sp|Q8NGQ4|O10Q1_HUMAN Olfactory receptor 10Q1 OS=Homo sapiens OX=9606 GN=OR10Q1 PE=2 SV=1
+MPVGKLVFNQSEPTEFVFRAFTTATEFQVLLFLLFLLLYLMILCGNTAIIWVVCTHSTLRTPMYFFLSNLSFLELCYTTVVVPLMLSNILGAQKPISLAGCGAQMFFFVTLGSTDCFLLAIMAYDRYVAICHPLHYTLIMTRELCTQMLGGALGLALFPSLQLTALIFTLPFCGHHQEINHFLCDVPPVLRLACADIRVHQAVLYVVSILVLTIPFLLICVSYVFITCAILSIRSAEGRRRAFSTCSFHLTVVLLQYGCCSLVYLRPRSSTSEDEDSQIALVYTFVTPLLNPLLYSLRNKDVKGALRSAIIRKAASDAN
+>DECOY_sp|Q8NGQ4|O10Q1_HUMAN Olfactory receptor 10Q1 OS=Homo sapiens OX=9606 GN=OR10Q1 PE=2 SV=1
+NADSAAKRIIASRLAGKVDKNRLSYLLPNLLPTVFTYVLAIQSDEDESTSSRPRLYVLSCCGYQLLVVTLHFSCTSFARRRGEASRISLIACTIFVYSVCILLFPITLVLISVVYLVAQHVRIDACALRLVPPVDCLFHNIEQHHGCFPLTFILATLQLSPFLALGLAGGLMQTCLERTMILTYHLPHCIAVYRDYAMIALLFCDTSGLTVFFFMQAGCGALSIPKQAGLINSLMLPVVVTTYCLELFSLNSLFFYMPTRLTSHTCVVWIIATNGCLIMLYLLLFLLFLLVQFETATTFARFVFETPESQNFVLKGVPM
+>sp|Q8NGN2|O10S1_HUMAN Olfactory receptor 10S1 OS=Homo sapiens OX=9606 GN=OR10S1 PE=2 SV=2
+MTSRSVCEKMTMTTENPNQTVVSHFFLEGLRYTAKHSSLFFLLFLLIYSITVAGNLLILLTVGSDSHLSLPMYHFLGHLSFLDACLSTVTVPKVMAGLLTLDGKVISFEGCAVQLYCFHFLASTECFLYTVMAYDRYLAICQPLHYPVAMNRRMCAEMAGITWAIGATHAAIHTSLTFRLLYCGPCHIAYFFCDIPPVLKLACTDTTINELVMLASIGIVAAGCLILIVISYIFIVAAVLRIRTAQGRQRAFSPCTAQLTGVLLYYVPPVCIYLQPRSSEAGAGAPAVFYTIVTPMLNPFIYTLRNKEVKHALQRLLCSSFRESTAGSPPP
+>DECOY_sp|Q8NGN2|O10S1_HUMAN Olfactory receptor 10S1 OS=Homo sapiens OX=9606 GN=OR10S1 PE=2 SV=2
+PPPSGATSERFSSCLLRQLAHKVEKNRLTYIFPNLMPTVITYFVAPAGAGAESSRPQLYICVPPVYYLLVGTLQATCPSFARQRGQATRIRLVAAVIFIYSIVILILCGAAVIGISALMVLENITTDTCALKLVPPIDCFFYAIHCPGCYLLRFTLSTHIAAHTAGIAWTIGAMEACMRRNMAVPYHLPQCIALYRDYAMVTYLFCETSALFHFCYLQVACGEFSIVKGDLTLLGAMVKPVTVTSLCADLFSLHGLFHYMPLSLHSDSGVTLLILLNGAVTISYILLFLLFFLSSHKATYRLGELFFHSVVTQNPNETTMTMKECVSRSTM
+>sp|Q8NGF6|O10W1_HUMAN Olfactory receptor 10W1 OS=Homo sapiens OX=9606 GN=OR10W1 PE=2 SV=1
+MEFVFLAYPSCPELHILSFLGVSLVYGLIITGNILIVVSIHTETCLCTSMYYFLGSLSGIEICYTAVVVPHILANTLQSEKTITLLGCATQMAFFIALGSADCFLLAAMAYDRYVAICHPLQYPLLMTLTLCVHLVVASVISGLFLSLQLVAFIFSLPFCQAQGIEHFFCDVPPVMHVVCAQSHIHEQSVLVAAILAIAVPFFLITTSYTFIVAALLKIHSAAGRHRAFSTCSSHLTVVLLQYGCCAFMYLCPSSSYNPKQDRFISLVYTLGTPLLNPLIYALRNSEMKGAVGRVLTRNCLSQNS
+>DECOY_sp|Q8NGF6|O10W1_HUMAN Olfactory receptor 10W1 OS=Homo sapiens OX=9606 GN=OR10W1 PE=2 SV=1
+SNQSLCNRTLVRGVAGKMESNRLAYILPNLLPTGLTYVLSIFRDQKPNYSSSPCLYMFACCGYQLLVVTLHSSCTSFARHRGAASHIKLLAAVIFTYSTTILFFPVAIALIAAVLVSQEHIHSQACVVHMVPPVDCFFHEIGQAQCFPLSFIFAVLQLSLFLGSIVSAVVLHVCLTLTMLLPYQLPHCIAVYRDYAMAALLFCDASGLAIFFAMQTACGLLTITKESQLTNALIHPVVVATYCIEIGSLSGLFYYMSTCLCTETHISVVILINGTIILGYVLSVGLFSLIHLEPCSPYALFVFEM
+>sp|Q8NGY1|O10Z1_HUMAN Olfactory receptor 10Z1 OS=Homo sapiens OX=9606 GN=OR10Z1 PE=3 SV=1
+MGQTNVTSWRDFVFLGFSSSGELQLLLFALFLSLYLVTLTSNVFIIIAIRLDSHLHTPMYLFLSFLSFSETCYTLGIIPRMLSGLAGGDQAISYVGCAAQMFFSASWACTNCFLLAAMGFDRYVAICAPLHYASHMNPTLCAQLVITSFLTGYLFGLGMTLVIFHLSFCSSHEIQHFFCDTPPVLSLACGDTGPSELRIFILSLLVLLVSFFFITISYAYILAAILRIPSAEGQKKAFSTCASHLTVVIIHYGCASFVYLRPKASYSLERDQLIAMTYTVVTPLLNPIVYSLRNRAIQTALRNAFRGRLLGKG
+>DECOY_sp|Q8NGY1|O10Z1_HUMAN Olfactory receptor 10Z1 OS=Homo sapiens OX=9606 GN=OR10Z1 PE=3 SV=1
+GKGLLRGRFANRLATQIARNRLSYVIPNLLPTVVTYTMAILQDRELSYSAKPRLYVFSACGYHIIVVTLHSACTSFAKKQGEASPIRLIAALIYAYSITIFFFSVLLVLLSLIFIRLESPGTDGCALSLVPPTDCFFHQIEHSSCFSLHFIVLTMGLGFLYGTLFSTIVLQACLTPNMHSAYHLPACIAVYRDFGMAALLFCNTCAWSASFFMQAACGVYSIAQDGGALGSLMRPIIGLTYCTESFSLFSLFLYMPTHLHSDLRIAIIIFVNSTLTVLYLSLFLAFLLLQLEGSSSFGLFVFDRWSTVNTQGM
+>sp|Q9GZK7|O11A1_HUMAN Olfactory receptor 11A1 OS=Homo sapiens OX=9606 GN=OR11A1 PE=2 SV=1
+MEIVSTGNETITEFVLLGFYDIPELHFLFFIVFTAVYVFIIIGNMLIIVAVVSSQRLHKPMYIFLANLSFLDILYTSAVMPKMLEGFLQEATISVAGCLLQFFIFGSLATAECLLLAVMAYDRYLAICYPLHYPLLMGPRRYMGLVVTTWLSGFVVDGLVVALVAQLRFCGPNHIDQFYCDFMLFVGLACSDPRVAQVTTLILSVFCLTIPFGLILTSYARIVVAVLRVPAGASRRRAFSTCSSHLAVVTTFYGTLMIFYVAPSAVHSQLLSKVFSLLYTVVTPLFNPVIYTMRNKEVHQALRKILCIKQTETLD
+>DECOY_sp|Q9GZK7|O11A1_HUMAN Olfactory receptor 11A1 OS=Homo sapiens OX=9606 GN=OR11A1 PE=2 SV=1
+DLTETQKICLIKRLAQHVEKNRMTYIVPNFLPTVVTYLLSFVKSLLQSHVASPAVYFIMLTGYFTTVVALHSSCTSFARRRSAGAPVRLVAVVIRAYSTLILGFPITLCFVSLILTTVQAVRPDSCALGVFLMFDCYFQDIHNPGCFRLQAVLAVVLGDVVFGSLWTTVVLGMYRRPGMLLPYHLPYCIALYRDYAMVALLLCEATALSGFIFFQLLCGAVSITAEQLFGELMKPMVASTYLIDLFSLNALFIYMPKHLRQSSVVAVIILMNGIIIFVYVATFVIFFLFHLEPIDYFGLLVFETITENGTSVIEM
+>sp|Q8NG94|O11H1_HUMAN Olfactory receptor 11H1 OS=Homo sapiens OX=9606 GN=OR11H1 PE=3 SV=3
+MCPLTLQVTGLMNVSEPNSSFAFVNEFILQGFSCEWTIQIFLFSLFTTTYALTITGNGAIAFVLWCDRRLHTPMYMFLGNFSFLEIWYVSSTVPKMLVNFLSEKKNISFAGCFLQFYFFFSLGTSECLLLTVMAFDQYLAICRPLLYPNIMTGHLYAKLVILCWVCGFLWFLIPIVLISQMPFCGPNIIDHVVCDPGPRFALDCVSAPRIQLFCYTLSSLVIFGNFLFIIGSYTLVLKAMLGMPSSTGRHKAFSTCGSHLAVVSLCYSSLMVMYVSPGLGHSTGMQKIETLFYAMVTPLFNPLIYSLQNKEIKAALRKVLGSSNII
+>DECOY_sp|Q8NG94|O11H1_HUMAN Olfactory receptor 11H1 OS=Homo sapiens OX=9606 GN=OR11H1 PE=3 SV=3
+IINSSGLVKRLAAKIEKNQLSYILPNFLPTVMAYFLTEIKQMGTSHGLGPSVYMVMLSSYCLSVVALHSGCTSFAKHRGTSSPMGLMAKLVLTYSGIIFLFNGFIVLSSLTYCFLQIRPASVCDLAFRPGPDCVVHDIINPGCFPMQSILVIPILFWLFGCVWCLIVLKAYLHGTMINPYLLPRCIALYQDFAMVTLLLCESTGLSFFFYFQLFCGAFSINKKESLFNVLMKPVTSSVYWIELFSFNGLFMYMPTHLRRDCWLVFAIAGNGTITLAYTTTFLSFLFIQITWECSFGQLIFENVFAFSSNPESVNMLGTVQLTLPCM
+>sp|Q8NGS6|O13C3_HUMAN Olfactory receptor 13C3 OS=Homo sapiens OX=9606 GN=OR13C3 PE=2 SV=2
+MIVQLICTVCFLAVNTFHVRSSFDFLKADDMGEINQTLVSEFLLLGLSGYPKIEIVYFALILVMYLVILIGNGVLIIASIFDSHFHTPMYFFLGNLSFLDICYTSSSVPSTLVSLISKKRNISFSGCAVQMFFGFAMGSTECLLLGMMAFDRYVAICNPLRYPIILSKVAYVLMASVSWLSGGINSAVQTLLAMRLPFCGNNIINHFACEILAVLKLACADISLNIITMVISNMAFLVLPLMVIFFSYMFILYTILQMNSATGRRKAFSTCSAHLTVVIIFYGTIFFMYAKPKSQDLIGEEKLQALDKLISLFYGVVTPMLNPILYSLRNKDVKAAVKYLLNKKPIH
+>DECOY_sp|Q8NGS6|O13C3_HUMAN Olfactory receptor 13C3 OS=Homo sapiens OX=9606 GN=OR13C3 PE=2 SV=2
+HIPKKNLLYKVAAKVDKNRLSYLIPNLMPTVVGYFLSILKDLAQLKEEGILDQSKPKAYMFFITGYFIIVVTLHASCTSFAKRRGTASNMQLITYLIFMYSFFIVMLPLVLFAMNSIVMTIINLSIDACALKLVALIECAFHNIINNGCFPLRMALLTQVASNIGGSLWSVSAMLVYAVKSLIIPYRLPNCIAVYRDFAMMGLLLCETSGMAFGFFMQVACGSFSINRKKSILSVLTSPVSSSTYCIDLFSLNGLFFYMPTHFHSDFISAIILVGNGILIVLYMVLILAFYVIEIKPYGSLGLLLFESVLTQNIEGMDDAKLFDFSSRVHFTNVALFCVTCILQVIM
+>sp|P0DN81|O13C7_HUMAN Olfactory receptor 13C7 OS=Homo sapiens OX=9606 GN=OR13C7 PE=3 SV=1
+MVSANQTASVTEFILLGLSAHPKLEKTFFVLILLMYLVILLGNGVLILMTVSNSHLHMPMYFFLGNLSFLDICYTTSSVPLILDSFLTPRKTISFSACAVQMFLSFAMGATECVLLSMMAFDRYVAICNPLRYPVVMSKAAYMPKAAGSWVAGSTASMVQTSLAMRLPFCGDNIINHFTCEILAVLKLACADISVNVISMGVTNVIFLGVPVLFISFSYVFIIATILRIPSAEGRKKAFSTCSAHLTVVVIFYGTILFMYGKPKSKDPLGADKQDLADKLISLFYGVVTPMLNPIIYSLRNKDVKAAVRDLIFQKCFA
+>DECOY_sp|P0DN81|O13C7_HUMAN Olfactory receptor 13C7 OS=Homo sapiens OX=9606 GN=OR13C7 PE=3 SV=1
+AFCKQFILDRVAAKVDKNRLSYIIPNLMPTVVGYFLSILKDALDQKDAGLPDKSKPKGYMFLITGYFIVVVTLHASCTSFAKKRGEASPIRLITAIIFVYSFSIFLVPVGLFIVNTVGMSIVNVSIDACALKLVALIECTFHNIINDGCFPLRMALSTQVMSATSGAVWSGAAKPMYAAKSMVVPYRLPNCIAVYRDFAMMSLLVCETAGMAFSLFMQVACASFSITKRPTLFSDLILPVSSTTYCIDLFSLNGLFFYMPMHLHSNSVTMLILVGNGLLIVLYMLLILVFFTKELKPHASLGLLIFETVSATQNASVM
+>sp|Q8NGT0|O13C9_HUMAN Olfactory receptor 13C9 OS=Homo sapiens OX=9606 GN=OR13C9 PE=3 SV=1
+MEWENQTILVEFFLKGHSVHPRLELLFFVLIFIMYVVILLGNGTLILISILDPHLHTPMYFFLGNLSFLDICYTTTSIPSTLVSFLSERKTISFSGCAVQMFLGLAMGTTECVLLGMMAFDRYVAICNPLRYPIIMSKNAYVPMAVGSWFAGIVNSAVQTTFVVQLPFCRKNVINHFSCEILAVMKLACADISGNEFLMLVATILFTLMPLLLIVISYSLIISSILKIHSSEGRSKAFSTCSAHLTVVIIFYGTILFMYMKPKSKETLNSDDLDATDKIISMFYGVMTPMMNPLIYSLRNKDVKEAVKHLPNRRFFSK
+>DECOY_sp|Q8NGT0|O13C9_HUMAN Olfactory receptor 13C9 OS=Homo sapiens OX=9606 GN=OR13C9 PE=3 SV=1
+KSFFRRNPLHKVAEKVDKNRLSYILPNMMPTMVGYFMSIIKDTADLDDSNLTEKSKPKMYMFLITGYFIIVVTLHASCTSFAKSRGESSHIKLISSIILSYSIVILLLPMLTFLITAVLMLFENGSIDACALKMVALIECSFHNIVNKRCFPLQVVFTTQVASNVIGAFWSGVAMPVYANKSMIIPYRLPNCIAVYRDFAMMGLLVCETTGMALGLFMQVACGSFSITKRESLFSVLTSPISTTTYCIDLFSLNGLFFYMPTHLHPDLISILILTGNGLLIVVYMIFILVFFLLELRPHVSHGKLFFEVLITQNEWEM
+>sp|Q8NG92|O13H1_HUMAN Olfactory receptor 13H1 OS=Homo sapiens OX=9606 GN=OR13H1 PE=2 SV=1
+MAMDNVTAVFQFLLIGISNYPQWRDTFFTLVLIIYLSTLLGNGFMIFLIHFDPNLHTPIYFFLSNLSFLDLCYGTASMPQALVHCFSTHPYLSYPRCLAQTSVSLALATAECLLLAAMAYDRVVAISNPLRYSVVMNGPVCVCLVATSWGTSLVLTAMLILSLRLHFCGANVINHFACEILSLIKLTCSDTSLNEFMILITSIFTLLLPFGFVLLSYIRIAMAIIRIRSLQGRLKAFTTCGSHLTVVTIFYGSAISMYMKTQSKSYPDQDKFISVFYGALTPMLNPLIYSLRKKDVKRAIRKVMLKRT
+>DECOY_sp|Q8NG92|O13H1_HUMAN Olfactory receptor 13H1 OS=Homo sapiens OX=9606 GN=OR13H1 PE=2 SV=1
+TRKLMVKRIARKVDKKRLSYILPNLMPTLAGYFVSIFKDQDPYSKSQTKMYMSIASGYFITVVTLHSGCTTFAKLRGQLSRIRIIAMAIRIYSLLVFGFPLLLTFISTILIMFENLSTDSCTLKILSLIECAFHNIVNAGCFHLRLSLILMATLVLSTGWSTAVLCVCVPGNMVVSYRLPNSIAVVRDYAMAALLLCEATALALSVSTQALCRPYSLYPHTSFCHVLAQPMSATGYCLDLFSLNSLFFYIPTHLNPDFHILFIMFGNGLLTSLYIILVLTFFTDRWQPYNSIGILLFQFVATVNDMAM
+>sp|Q96R54|O14A2_HUMAN Olfactory receptor 14A2 OS=Homo sapiens OX=9606 GN=OR14A2 PE=3 SV=2
+MANVTLVTGFLLMGFSNIQKLRILYGVLFLLIYLAALMSNLLIITLITLDVKLQTPMYFFLKNLSFLDVFLVSVPIPKFIVNNLTHNNSISILGCAFQLLLMTSFSAGEIFILTAMSYDRYVAICCPLNYEVIMNTGVCVLMASVSWAIGGLFGTAYTAGTFSMPFCGSSVIPQFFCDVPSLLRISCSETLMVIYAGIGVGACLSISCFICIVISYIYIFSTVLKIPTTKGQSKAFSTCFPHLTVFTVFIITAYFVYLKPPSNSPSVIDRLLSVIYTVMPPVFNPVTYSLRNNDMKCALIRLLQKTYGQEAYFI
+>DECOY_sp|Q96R54|O14A2_HUMAN Olfactory receptor 14A2 OS=Homo sapiens OX=9606 GN=OR14A2 PE=3 SV=2
+IFYAEQGYTKQLLRILACKMDNNRLSYTVPNFVPPMVTYIVSLLRDIVSPSNSPPKLYVFYATIIFVTFVTLHPFCTSFAKSQGKTTPIKLVTSFIYIYSIVICIFCSISLCAGVGIGAYIVMLTESCSIRLLSPVDCFFQPIVSSGCFPMSFTGATYATGFLGGIAWSVSAMLVCVGTNMIVEYNLPCCIAVYRDYSMATLIFIEGASFSTMLLLQFACGLISISNNHTLNNVIFKPIPVSVLFVDLFSLNKLFFYMPTQLKVDLTILTIILLNSMLAALYILLFLVGYLIRLKQINSFGMLLFGTVLTVNAM
+>sp|Q8NHC7|O14CZ_HUMAN Olfactory receptor 14C36 OS=Homo sapiens OX=9606 GN=OR14C36 PE=3 SV=1
+MPNSTTVMEFLLMRFSDVWTLQILHSASFFMLYLVTLMGNILIVTVTTCDSSLHMPMYFFLRNLSILDACYISVTVPTSCVNSLLDSTTISKAGCVAQVFLVVFFVYVELLFLTIMAHDRYVAVCQPLHYPVIVNSRICIQMTLASLLSGLVYAGMHTGSTFQLPFCRSNVIHQFFCDIPSLLKLSCSDTFSNEVMIVVSALGVGGGCFIFIIRSYIHIFSTVLGFPRGADRTKAFSTCIPHILVVSVFLSSCSSVYLRPPAIPAATQDLILSGFYSIMPPLFNPIIYSLRNKQIKVAIKKIMKRIFYSENV
+>DECOY_sp|Q8NHC7|O14CZ_HUMAN Olfactory receptor 14C36 OS=Homo sapiens OX=9606 GN=OR14C36 PE=3 SV=1
+VNESYFIRKMIKKIAVKIQKNRLSYIIPNFLPPMISYFGSLILDQTAAPIAPPRLYVSSCSSLFVSVVLIHPICTSFAKTRDAGRPFGLVTSFIHIYSRIIFIFCGGGVGLASVVIMVENSFTDSCSLKLLSPIDCFFQHIVNSRCFPLQFTSGTHMGAYVLGSLLSALTMQICIRSNVIVPYHLPQCVAVYRDHAMITLFLLEVYVFFVVLFVQAVCGAKSITTSDLLSNVCSTPVTVSIYCADLISLNRLFFYMPMHLSSDCTTVTVILINGMLTVLYLMFFSASHLIQLTWVDSFRMLLFEMVTTSNPM
+>sp|Q8NGZ2|O14K1_HUMAN Olfactory receptor 14K1 OS=Homo sapiens OX=9606 GN=OR14K1 PE=3 SV=2
+MTNQTQMMEFLLVRFTENWVLLRLHALLFSLIYLTAVLMNLVIILLMILDHRLHMAMYFFLRHLSFLDLCLISATVPKSILNSVASTDSISFLGCVLQLFLVVLLAGSEIGILTAMSYDRYAAICCPLHCEAVMSRGLCVQLMALSWLNRGALGLLYTAGTFSLNFYGSDELHQFFCDVPALLKLTCSKEHAIISVSVAIGVCYAFSCLVCIVVSYVYIFSAVLRISQRQRQSKAFSNCVPHLIVVTVFLVTGAVAYLKPGSDAPSILDLLVSVFYSVAPPTLNPVIYCLKNKDIKSALSKVLWNVRSSGVMKR
+>DECOY_sp|Q8NGZ2|O14K1_HUMAN Olfactory receptor 14K1 OS=Homo sapiens OX=9606 GN=OR14K1 PE=3 SV=2
+RKMVGSSRVNWLVKSLASKIDKNKLCYIVPNLTPPAVSYFVSVLLDLISPADSGPKLYAVAGTVLFVTVVILHPVCNSFAKSQRQRQSIRLVASFIYVYSVVICVLCSFAYCVGIAVSVSIIAHEKSCTLKLLAPVDCFFQHLEDSGYFNLSFTGATYLLGLAGRNLWSLAMLQVCLGRSMVAECHLPCCIAAYRDYSMATLIGIESGALLVVLFLQLVCGLFSISDTSAVSNLISKPVTASILCLDLFSLHRLFFYMAMHLRHDLIMLLIIVLNMLVATLYILSFLLAHLRLLVWNETFRVLLFEMMQTQNTM
+>sp|Q9H205|O2AG1_HUMAN Olfactory receptor 2AG1 OS=Homo sapiens OX=9606 GN=OR2AG1 PE=1 SV=2
+MELWNFTLGSGFILVGILNDSGSPELLCATITILYLLALISNGLLLLAITMEARLHMPMYLLLGQLSLMDLLFTSVVTPKALADFLRRENTISFGGCALQMFLALTMGGAEDLLLAFMAYDRYVAICHPLTYMTLMSSRACWLMVATSWILASLSALIYTVYTMHYPFCRAQEIRHLLCEIPHLLKVACADTSRYELMVYVMGVTFLIPSLAAILASYTQILLTVLHMPSNEGRKKALVTCSSHLTVVGMFYGAATFMYVLPSSFHSTRQDNIISVFYTIVTPALNPLIYSLRNKEVMRALRRVLGKYMLPAHSTL
+>DECOY_sp|Q9H205|O2AG1_HUMAN Olfactory receptor 2AG1 OS=Homo sapiens OX=9606 GN=OR2AG1 PE=1 SV=2
+LTSHAPLMYKGLVRRLARMVEKNRLSYILPNLAPTVITYFVSIINDQRTSHFSSPLVYMFTAAGYFMGVVTLHSSCTVLAKKRGENSPMHLVTLLIQTYSALIAALSPILFTVGMVYVMLEYRSTDACAVKLLHPIECLLHRIEQARCFPYHMTYVTYILASLSALIWSTAVMLWCARSSMLTMYTLPHCIAVYRDYAMFALLLDEAGGMTLALFMQLACGGFSITNERRLFDALAKPTVVSTFLLDMLSLQGLLLYMPMHLRAEMTIALLLLGNSILALLYLITITACLLEPSGSDNLIGVLIFGSGLTFNWLEM
+>sp|Q8NGE2|O2AP1_HUMAN Olfactory receptor 2AP1 OS=Homo sapiens OX=9606 GN=OR2AP1 PE=3 SV=1
+MKNKTVLTEFILLGLTDVPELQVAVFTFLFLAYLLSILGNLTILILTLLDSHLQTPMYFFLRNFSFLEISFTNIFIPRVLISITTGNKSISFAGCFTQYFFAMFLGATEFYLLAAMSYDRYVAICKPLHYTTIMSSRICIQLIFCSWLGGLMAIIPTITLMSQQDFCASNRLNHYFCDYEPLLELSCSDTSLIEKVVFLVASVTLVVTLVLVILSYAFIIKTILKLPSAQQRTKAFSTCSSHMIVISLSYGSCMFMYINPSAKEGDTFNKGVALLITSVAPLLNPFIYTLRNQQVKQPFKDMVKKLLNL
+>DECOY_sp|Q8NGE2|O2AP1_HUMAN Olfactory receptor 2AP1 OS=Homo sapiens OX=9606 GN=OR2AP1 PE=3 SV=1
+LNLLKKVMDKFPQKVQQNRLTYIFPNLLPAVSTILLAVGKNFTDGEKASPNIYMFMCSGYSLSIVIMHSSCTSFAKTRQQASPLKLITKIIFAYSLIVLVLTVVLTVSAVLFVVKEILSTDSCSLELLPEYDCFYHNLRNSACFDQQSMLTITPIIAMLGGLWSCFILQICIRSSMITTYHLPKCIAVYRDYSMAALLYFETAGLFMAFFYQTFCGAFSISKNGTTISILVRPIFINTFSIELFSFNRLFFYMPTQLHSDLLTLILITLNGLISLLYALFLFTFVAVQLEPVDTLGLLIFETLVTKNKM
+>sp|Q8NH01|O2T11_HUMAN Olfactory receptor 2T11 OS=Homo sapiens OX=9606 GN=OR2T11 PE=2 SV=1
+MTNTSSSDFTLLGLLVNSEAAGIVFTVILAVFLGAVTANLVMIFLIQVDSRLHTPMYFLLSQLSIMDTLFICTTVPKLLADMVSKEKIISFVACGIQIFLYLTMIGSEFFLLGLMAYDCYVAVCNPLRYPVLMNRKKCLLLAAGAWFGGSLDGFLLTPITMNVPYCGSRSINHFFCEIPAVLKLACADTSLYETLMYICCVLMLLIPISIISTSYSLILLTIHRMPSAEGRKKAFTTCSSHLTVVSIFYGAAFYTYVLPQSFHTPEQDKVVSAFYTIVTPMLNPLIYSLRNKDVIGAFKKVFACCSSAQKVATSDA
+>DECOY_sp|Q8NH01|O2T11_HUMAN Olfactory receptor 2T11 OS=Homo sapiens OX=9606 GN=OR2T11 PE=2 SV=1
+ADSTAVKQASSCCAFVKKFAGIVDKNRLSYILPNLMPTVITYFASVVKDQEPTHFSQPLVYTYFAAGYFISVVTLHSSCTTFAKKRGEASPMRHITLLILSYSTSIISIPILLMLVCCIYMLTEYLSTDACALKLVAPIECFFHNISRSGCYPVNMTIPTLLFGDLSGGFWAGAALLLCKKRNMLVPYRLPNCVAVYCDYAMLGLLFFESGIMTLYLFIQIGCAVFSIIKEKSVMDALLKPVTTCIFLTDMISLQSLLFYMPTHLRSDVQILFIMVLNATVAGLFVALIVTFVIGAAESNVLLGLLTFDSSSTNTM
+>sp|Q8NH04|O2T27_HUMAN Olfactory receptor 2T27 OS=Homo sapiens OX=9606 GN=OR2T27 PE=3 SV=1
+MEQSNYSVYADFILLGLFSNARFPWLLFALILLVFLTSIASNVVKIILIHIDSRLHTPMYFLLSQLSLRDILYISTIVPKMLVDQVMSQRAISFAGCTAQHFLYLTLAGAEFFLLGLMSYDRYVAICNPLHYPVLMSRKICWLIVAAAWLGGSIDGFLLTPVTMQFPFCASREINHFFCEVPALLKLSCTDTSAYETAMYVCCIMMLLIPFSVISGSYTRILITVYRMSEAEGRGKAVATCSSHMVVVSLFYGAAMYTYVLPHSYHTPEQDKAVSAFYTILTPMLNPLIYSLRNKDVTGALQKVVGRCVSSGKVTTF
+>DECOY_sp|Q8NH04|O2T27_HUMAN Olfactory receptor 2T27 OS=Homo sapiens OX=9606 GN=OR2T27 PE=3 SV=1
+FTTVKGSSVCRGVVKQLAGTVDKNRLSYILPNLMPTLITYFASVAKDQEPTHYSHPLVYTYMAAGYFLSVVVMHSSCTAVAKGRGEAESMRYVTILIRTYSGSIVSFPILLMMICCVYMATEYASTDTCSLKLLAPVECFFHNIERSACFPFQMTVPTLLFGDISGGLWAAAVILWCIKRSMLVPYHLPNCIAVYRDYSMLGLLFFEAGALTLYLFHQATCGAFSIARQSMVQDVLMKPVITSIYLIDRLSLQSLLFYMPTHLRSDIHILIIKVVNSAISTLFVLLILAFLLWPFRANSFLGLLIFDAYVSYNSQEM
+>sp|Q8NG76|O2T33_HUMAN Olfactory receptor 2T33 OS=Homo sapiens OX=9606 GN=OR2T33 PE=2 SV=1
+MEMRNTTPDFILLGLFNHTRAHQVLFMMVLSIVLTSLFGNSLMILLIHWDHRLHTPMYFLLSQLSLMDMMLVSTTVPKMAADYLTGSKAISRAGCGVQIFFLPTLGGGECFLLAAMAYDRYAAVCHPLRYPTLMSWQLCLRMTMSCWLLGAADGLLQAVVTLSFPYCGAHEIDHFFCETPVLVRLACADTSVFENAMYICCVLMLLVPFSLILSSYGLILAAVLHMRSTEARKKAFATCSSHVAVVGLFYGAAIFTYMRPKSHRSTNHDKVVSAFYTMFTPLLNPLIYSVKNSEVKGALKRWLGTCVNIKHQQNEAHRSR
+>DECOY_sp|Q8NG76|O2T33_HUMAN Olfactory receptor 2T33 OS=Homo sapiens OX=9606 GN=OR2T33 PE=2 SV=1
+RSRHAENQQHKINVCTGLWRKLAGKVESNKVSYILPNLLPTFMTYFASVVKDHNTSRHSKPRMYTFIAAGYFLGVVAVHSSCTAFAKKRAETSRMHLVAALILGYSSLILSFPVLLMLVCCIYMANEFVSTDACALRVLVPTECFFHDIEHAGCYPFSLTVVAQLLGDAAGLLWCSMTMRLCLQWSMLTPYRLPHCVAAYRDYAMAALLFCEGGGLTPLFFIQVGCGARSIAKSGTLYDAAMKPVTTSVLMMDMLSLQSLLFYMPTHLRHDWHILLIMLSNGFLSTLVISLVMMFLVQHARTHNFLGLLIFDPTTNRMEM
+>sp|Q9H2C5|O52A5_HUMAN Olfactory receptor 52A5 OS=Homo sapiens OX=9606 GN=OR52A5 PE=3 SV=1
+MPTFNGSVFMPSAFILIGIPGLESVQCWIGIPFSAMYLIGVIGNSLILVIIKYENSLHIPMYIFLAMLAATDIALNTCILPKMLGIFWFHLPEISFDACLFQMWLIHSFQAIESGILLAMALDRYVAICIPLRHATIFSQQFLTHIGLGVTLRAAILIIPSLGLIKCCLKHYRTTVISHSYCEHMAIVKLATEDIRVNKIYGLFVAFAILGFDIIFITLSYVQIFITVFQLPQKEARFKAFNTCIAHICVFLQFYLLAFFSFFTHRFGSHIPPYIHILLSNLYLLVPPFLNPIVYGVKTKQIRDHIVKVFFFKKVT
+>DECOY_sp|Q9H2C5|O52A5_HUMAN Olfactory receptor 52A5 OS=Homo sapiens OX=9606 GN=OR52A5 PE=3 SV=1
+TVKKFFFVKVIHDRIQKTKVGYVIPNLFPPVLLYLNSLLIHIYPPIHSGFRHTFFSFFALLYFQLFVCIHAICTNFAKFRAEKQPLQFVTIFIQVYSLTIFIIDFGLIAFAVFLGYIKNVRIDETALKVIAMHECYSHSIVTTRYHKLCCKILGLSPIILIAARLTVGLGIHTLFQQSFITAHRLPICIAVYRDLAMALLIGSEIAQFSHILWMQFLCADFSIEPLHFWFIGLMKPLICTNLAIDTAALMALFIYMPIHLSNEYKIIVLILSNGIVGILYMASFPIGIWCQVSELGPIGILIFASPMFVSGNFTPM
+>sp|Q8NGK2|O52B4_HUMAN Olfactory receptor 52B4 OS=Homo sapiens OX=9606 GN=OR52B4 PE=3 SV=2
+MPTVNHSGTSHTVFHLLGIPGLQDQHMWISIPFFISYVTALLGNSLLIFIILTKRSLHEPMYLFLCMLAGADIVLSTCTIPQALAIFWFRAGDISLDRCITQLFFIHSTFISESGILLVMAFDHYIAICYPLRYTTILTNALIKKICVTVSLRSYGTIFPIIFLLKRLTFCQNNIIPHTFCEHIGLAKYACNDIRINIWYGFSILMSTVVLDVVLIFISYMLILHAVFHMPSPDACHKALNTFGSHVCIIILFYGSGIFTILTQRFGRHIPPCIHIPLANVCILAPPMLNPIIYGIKTKQIQEQVVQFLFIKQK
+>DECOY_sp|Q8NGK2|O52B4_HUMAN Olfactory receptor 52B4 OS=Homo sapiens OX=9606 GN=OR52B4 PE=3 SV=2
+KQKIFLFQVVQEQIQKTKIGYIIPNLMPPALICVNALPIHICPPIHRGFRQTLITFIGSGYFLIIICVHSGFTNLAKHCADPSPMHFVAHLILMYSIFILVVDLVVTSMLISFGYWINIRIDNCAYKALGIHECFTHPIINNQCFTLRKLLFIIPFITGYSRLSVTVCIKKILANTLITTYRLPYCIAIYHDFAMVLLIGSESIFTSHIFFLQTICRDLSIDGARFWFIALAQPITCTSLVIDAGALMCLFLYMPEHLSRKTLIIFILLSNGLLATVYSIFFPISIWMHQDQLGPIGLLHFVTHSTGSHNVTPM
+>sp|Q9H346|O52D1_HUMAN Olfactory receptor 52D1 OS=Homo sapiens OX=9606 GN=OR52D1 PE=2 SV=1
+MSDSNLSDNHLPDTFFLTGIPGLEAAHFWIAIPFCAMYLVALVGNAALILVIAMDNALHAPMYLFLCLLSLTDLALSSTTVPKMLAILWLHAGEISFGGCLAQMFCVHSIYALESSILLAMAFDRYVAICNPLRYTTILNHAVIGRIGFVGLFRSVAIVSPFIFLLRRLPYCGHRVMTHTYCEHMGIARLACANITVNIVYGLTVALLAMGLDSILIAISYGFILHAVFHLPSHDAQHKALSTCGSHIGIILVFYIPAFFSFLTHRFGHHEVPKHVHIFLANLYVLVPPVLNPILYGARTKEIRSRLLKLLHLGKTSI
+>DECOY_sp|Q9H346|O52D1_HUMAN Olfactory receptor 52D1 OS=Homo sapiens OX=9606 GN=OR52D1 PE=2 SV=1
+ISTKGLHLLKLLRSRIEKTRAGYLIPNLVPPVLVYLNALFIHVHKPVEHHGFRHTLFSFFAPIYFVLIIGIHSGCTSLAKHQADHSPLHFVAHLIFGYSIAILISDLGMALLAVTLGYVINVTINACALRAIGMHECYTHTMVRHGCYPLRRLLFIFPSVIAVSRFLGVFGIRGIVAHNLITTYRLPNCIAVYRDFAMALLISSELAYISHVCFMQALCGGFSIEGAHLWLIALMKPVTTSSLALDTLSLLCLFLYMPAHLANDMAIVLILAANGVLAVLYMACFPIAIWFHAAELGPIGTLFFTDPLHNDSLNSDSM
+>sp|Q8NH55|O52E5_HUMAN Olfactory receptor 52E5 OS=Homo sapiens OX=9606 GN=OR52E5 PE=3 SV=2
+MLHTNNTQFHPSTFLVVGVPGLEDVHVWIGFPFFAVYLTALLGNIIILFVIQTEQSLHQPMFYFLAMLAGTDLGLSTATIPKMLGIFWFNLGEIAFGACITQMYTIHICTGLESVVLTVTGIDRYIAICNPLRYSMILTNKVIAILGIVIIVRTLVFVTPFTFLILRLPFCGVRIIPHTYCEHMGLAKLACASINVIYGLIAFSVGYIDISVIGFSYVQILRAVFHLPAWDARPKALSTCGSHVCVMLAFYLPALFSFMTHRFGHNIPHYIHILLANLYVVFPPALNSVIYGVKTKQIREQVLRILNPKSFWHFDPKRIFHNNSVRQ
+>DECOY_sp|Q8NH55|O52E5_HUMAN Olfactory receptor 52E5 OS=Homo sapiens OX=9606 GN=OR52E5 PE=3 SV=2
+QRVSNNHFIRKPDFHWFSKPNLIRLVQERIQKTKVGYIVSNLAPPFVVYLNALLIHIYHPINHGFRHTMFSFLAPLYFALMVCVHSGCTSLAKPRADWAPLHFVARLIQVYSFGIVSIDIYGVSFAILGYIVNISACALKALGMHECYTHPIIRVGCFPLRLILFTFPTVFVLTRVIIVIGLIAIVKNTLIMSYRLPNCIAIYRDIGTVTLVVSELGTCIHITYMQTICAGFAIEGLNFWFIGLMKPITATSLGLDTGALMALFYFMPQHLSQETQIVFLIIINGLLATLYVAFFPFGIWVHVDELGPVGVVLFTSPHFQTNNTHLM
+>sp|Q8NGJ2|O52H1_HUMAN Olfactory receptor 52H1 OS=Homo sapiens OX=9606 GN=OR52H1 PE=2 SV=3
+MPSASAMIIFNLSSYNPGPFILVGIPGLEQFHVWIGIPFCIIYIVAVVGNCILLYLIVVEHSLHEPMFFFLSMLAMTDLILSTAGVPKALSIFWLGAREITFPGCLTQMFFLHYNFVLDSAILMAMAFDHYVAICSPLRYTTILTPKTIIKSAMGISFRSFCIILPDVFLLTCLPFCRTRIIPHTYCEHIGVAQLACADISINFWYGFCVPIMTVISDVILIAVSYAHILCAVFGLPSQDACQKALGTCGSHVCVILMFYTPAFFSILAHRFGHNVSRTFHIMFANLYIVIPPALNPMVYGVKTKQIRDKVILLFSKGTG
+>DECOY_sp|Q8NGJ2|O52H1_HUMAN Olfactory receptor 52H1 OS=Homo sapiens OX=9606 GN=OR52H1 PE=2 SV=3
+GTGKSFLLIVKDRIQKTKVGYVMPNLAPPIVIYLNAFMIHFTRSVNHGFRHALISFFAPTYFMLIVCVHSGCTGLAKQCADQSPLGFVACLIHAYSVAILIVDSIVTMIPVCFGYWFNISIDACALQAVGIHECYTHPIIRTRCFPLCTLLFVDPLIICFSRFSIGMASKIITKPTLITTYRLPSCIAVYHDFAMAMLIASDLVFNYHLFFMQTLCGPFTIERAGLWFISLAKPVGATSLILDTMALMSLFFFMPEHLSHEVVILYLLICNGVVAVIYIICFPIGIWVHFQELGPIGVLIFPGPNYSSLNFIIMASASPM
+>sp|Q8NH67|O52I2_HUMAN Olfactory receptor 52I2 OS=Homo sapiens OX=9606 GN=OR52I2 PE=2 SV=3
+MCQQILRDCILLIHHLCINRKKVSLVMLGPAYNHTMETPASFLLVGIPGLQSSHLWLAISLSAMYIIALLGNTIIVTAIWMDSTRHEPMYCFLCVLAAVDIVMASSVVPKMVSIFCSGDSSISFSACFTQMFFVHLATAVETGLLLTMAFDRYVAICKPLHYKRILTPQVMLGMSMAITIRAIIAITPLSWMVSHLPFCGSNVVVHSYCEHIALARLACADPVPSSLYSLIGSSLMVGSDVAFIAASYILILKAVFGLSSKTAQLKALSTCGSHVGVMALYYLPGMASIYAAWLGQDVVPLHTQVLLADLYVIIPATLNPIIYGMRTKQLRERIWSYLMHVLFDHSNLGS
+>DECOY_sp|Q8NH67|O52I2_HUMAN Olfactory receptor 52I2 OS=Homo sapiens OX=9606 GN=OR52I2 PE=2 SV=3
+SGLNSHDFLVHMLYSWIRERLQKTRMGYIIPNLTAPIIVYLDALLVQTHLPVVDQGLWAAYISAMGPLYYLAMVGVHSGCTSLAKLQATKSSLGFVAKLILIYSAAIFAVDSGVMLSSGILSYLSSPVPDACALRALAIHECYSHVVVNSGCFPLHSVMWSLPTIAIIARITIAMSMGLMVQPTLIRKYHLPKCIAVYRDFAMTLLLGTEVATALHVFFMQTFCASFSISSDGSCFISVMKPVVSSAMVIDVAALVCLFCYMPEHRTSDMWIATVIITNGLLAIIYMASLSIALWLHSSQLGPIGVLLFSAPTEMTHNYAPGLMVLSVKKRNICLHHILLICDRLIQQCM
+>sp|Q8NGH7|O52L1_HUMAN Olfactory receptor 52L1 OS=Homo sapiens OX=9606 GN=OR52L1 PE=2 SV=4
+MTLVSFFSFLSKPLIMLLSNSSWRLSQPSFLLVGIPGLEESQHWIALPLGILYLLALVGNVTILFIIWMDPSLHQSMYLFLSMLAAIDLVLASSTAPKALAVLLVHAHEIGYIVCLIQMFFIHAFSSMESGVLVAMALDCYVAICHPLHHSTILHPGVIGCIGMVVLVRGLLLLIPFPILLGKLIFCQATIIGHAYCEHMAVVKLACSETTVNRAYGLTMALLVIGLDVLAIGVSYAHILQAVLKVPGSEARLKAFSTCGSHICVILVFYVPGIFSFLTHRFGHHVPHHVHVLLATWYLLMPPALNPLVYGVKTQQIRQRVLRVFTQKD
+>DECOY_sp|Q8NGH7|O52L1_HUMAN Olfactory receptor 52L1 OS=Homo sapiens OX=9606 GN=OR52L1 PE=2 SV=4
+DKQTFVRLVRQRIQQTKVGYVLPNLAPPMLLYWTALLVHVHHPVHHGFRHTLFSFIGPVYFVLIVCIHSGCTSFAKLRAESGPVKLVAQLIHAYSVGIALVDLGIVLLAMTLGYARNVTTESCALKVVAMHECYAHGIITAQCFILKGLLIPFPILLLLGRVLVVMGICGIVGPHLITSHHLPHCIAVYCDLAMAVLVGSEMSSFAHIFFMQILCVIYGIEHAHVLLVALAKPATSSALVLDIAALMSLFLYMSQHLSPDMWIIFLITVNGVLALLYLIGLPLAIWHQSEELGPIGVLLFSPQSLRWSSNSLLMILPKSLFSFFSVLTM
+>sp|Q8NGF1|O52R1_HUMAN Olfactory receptor 52R1 OS=Homo sapiens OX=9606 GN=OR52R1 PE=3 SV=2
+MVLASGNSSSHPVSFILLGIPGLESFQLWIAFPFCATYAVAVVGNITLLHVIRIDHTLHEPMYLFLAMLAITDLVLSSSTQPKMLAIFWFHAHEIQYHACLIQVFFIHAFSSVESGVLMAMALDCYVAICFPLRHSSILTPSVVIKLGTIVMLRGLLWVSPFCFMVSRMPFCQHQAIPQSYCEHMAVLKLVCADTSISRGNGLFVAFSVAGFDMIVIGMSYVMILRAVLQLPSGEARLKAFSTRSSHICVILALYIPALFSFLTYRFGHDVPRVVHILFANLYLLIPPMLNPIIYGVRTKQIGDRVIQGCCGNIP
+>DECOY_sp|Q8NGF1|O52R1_HUMAN Olfactory receptor 52R1 OS=Homo sapiens OX=9606 GN=OR52R1 PE=3 SV=2
+PINGCCGQIVRDGIQKTRVGYIIPNLMPPILLYLNAFLIHVVRPVDHGFRYTLFSFLAPIYLALIVCIHSSRTSFAKLRAEGSPLQLVARLIMVYSMGIVIMDFGAVSFAVFLGNGRSISTDACVLKLVAMHECYSQPIAQHQCFPMRSVMFCFPSVWLLGRLMVITGLKIVVSPTLISSHRLPFCIAVYCDLAMAMLVGSEVSSFAHIFFVQILCAHYQIEHAHFWFIALMKPQTSSSLVLDTIALMALFLYMPEHLTHDIRIVHLLTINGVVAVAYTACFPFAIWLQFSELGPIGLLIFSVPHSSSNGSALVM
+>sp|Q8NGH8|O56A4_HUMAN Olfactory receptor 56A4 OS=Homo sapiens OX=9606 GN=OR56A4 PE=2 SV=2
+MASPSNDSTAPVSEFLLICFPNFQSWQHWLSLPLSLLFLLAMGANTTLLITIQLEASLHQPLYYLLSLLSLLDIVLCLTVIPKVLAIFWFDLRSISFPACFLQMFIMNSFLTMESCTFMVMAYDRYVAICHPLRYPSIITDQFVARAVVFVIARNAFVSLPVPMLSARLRYCAGNIIKNCICSNLSVSKLSCDDITFNQLYQFVAGWTLLGSDLILIVISYSFILKVVLRIKAEGAVAKALSTCGSHFILILFFSTVLLVLVITNLARKRIPPDVPILLNILHHLIPPALNPIVYGVRTKEIKQGIQNLLKRL
+>DECOY_sp|Q8NGH8|O56A4_HUMAN Olfactory receptor 56A4 OS=Homo sapiens OX=9606 GN=OR56A4 PE=2 SV=2
+LRKLLNQIGQKIEKTRVGYVIPNLAPPILHHLINLLIPVDPPIRKRALNTIVLVLLVTSFFLILIFHSGCTSLAKAVAGEAKIRLVVKLIFSYSIVILILDSGLLTWGAVFQYLQNFTIDDCSLKSVSLNSCICNKIINGACYRLRASLMPVPLSVFANRAIVFVVARAVFQDTIISPYRLPHCIAVYRDYAMVMFTCSEMTLFSNMIFMQLFCAPFSISRLDFWFIALVKPIVTLCLVIDLLSLLSLLYYLPQHLSAELQITILLTTNAGMALLFLLSLPLSLWHQWSQFNPFCILLFESVPATSDNSPSAM
+>sp|Q8NH90|O5AK2_HUMAN Olfactory receptor 5AK2 OS=Homo sapiens OX=9606 GN=OR5AK2 PE=2 SV=1
+MTLGNSTEVTEFYLLGFGAQHEFWCILFIVFLLIYVTSIMGNSGIILLINTDSRFQTLTYFFLQHLAFVDICYTSAITPKMLQSFTEEKNLMLFQGCVIQFLVYATFATSDCYLLAMMAVDPYVAICKPLHYTVIMSRTVCIRLVAGSYIMGSINASVQTGFTCSLSFCKSNSINHFFCDVPPILALSCSNVDINIMLLVVFVGSNLIFTGLVVIFSYIYIMATILKMSSSAGRKKSFSTCASHLTAVTIFYGTLSYMYLQSHSNNSQENMKVAFIFYGTVIPMLNPLIYSLRNKEVKEALKVIGKKLF
+>DECOY_sp|Q8NH90|O5AK2_HUMAN Olfactory receptor 5AK2 OS=Homo sapiens OX=9606 GN=OR5AK2 PE=2 SV=1
+FLKKGIVKLAEKVEKNRLSYILPNLMPIVTGYFIFAVKMNEQSNNSHSQLYMYSLTGYFITVATLHSACTSFSKKRGASSSMKLITAMIYIYSFIVVLGTFILNSGVFVVLLMINIDVNSCSLALIPPVDCFFHNISNSKCFSLSCTFGTQVSANISGMIYSGAVLRICVTRSMIVTYHLPKCIAVYPDVAMMALLYCDSTAFTAYVLFQIVCGQFLMLNKEETFSQLMKPTIASTYCIDVFALHQLFFYTLTQFRSDTNILLIIGSNGMISTVYILLFVIFLICWFEHQAGFGLLYFETVETSNGLTM
+>sp|Q8NGP9|O5AR1_HUMAN Olfactory receptor 5AR1 OS=Homo sapiens OX=9606 GN=OR5AR1 PE=3 SV=1
+MDKENSSMVTEFIFMGITQDPQMEIIFFVVFLIVYLVNVVGNIGMIILITTDTQLHTPMYFFLCNLSFVDLGYSSAIAPRMLADFLTNHKVISFSSCATQFAFFVGFVDAECYVLAAMAYGRFVAICRPLHYSTFMSKQVCLALMLGSYLAGLVSLVAHTTLTFSLSYCGSNIINHFFCEIPPLLALSCSDTYISEILLFSLCGFIEFSTILIIFISYTFILVAIIRMRSAEGRLKAFSTCGSHLTGITLFYGTVMFMYLRPTSSYSLDQDKWASVFYTVIIPMLNPLIYSLRNKDVKAAFKKLIGKKSQ
+>DECOY_sp|Q8NGP9|O5AR1_HUMAN Olfactory receptor 5AR1 OS=Homo sapiens OX=9606 GN=OR5AR1 PE=3 SV=1
+QSKKGILKKFAAKVDKNRLSYILPNLMPIIVTYFVSAWKDQDLSYSSTPRLYMFMVTGYFLTIGTLHSGCTSFAKLRGEASRMRIIAVLIFTYSIFIILITSFEIFGCLSFLLIESIYTDSCSLALLPPIECFFHNIINSGCYSLSFTLTTHAVLSVLGALYSGLMLALCVQKSMFTSYHLPRCIAVFRGYAMAALVYCEADVFGVFFAFQTACSSFSIVKHNTLFDALMRPAIASSYGLDVFSLNCLFFYMPTHLQTDTTILIIMGINGVVNVLYVILFVVFFIIEMQPDQTIGMFIFETVMSSNEKDM
+>sp|A6NJZ3|O6C65_HUMAN Olfactory receptor 6C65 OS=Homo sapiens OX=9606 GN=OR6C65 PE=2 SV=1
+MPNMTSIREFILLGFTDNPELQVVIFFFMLITYLLSVSGNMIIIMLTLSNIHLKTPMYFFLRNFSFLEISFTTVFIPRFLINIATGDTTISYNASMAQVFFLILLGSTEFFLLAVMSYDRYVAICKPLHYTTIMSNKVCNWLVISSWLAGFLIIFPPVIMGLQLDFCDSSTIDHFICDSSPMLLIACTDTQFLELMAFLLAVFTLMVTLALVVLSYTLILKTILKIPSAQQRKKAFSTCSSHMIVVSVSYGSCIFMCVKTSAKEGMALSKGVAVLNTSVAPMLNPFIYTLRNQQVKQALREFTKKILSLNKQ
+>DECOY_sp|A6NJZ3|O6C65_HUMAN Olfactory receptor 6C65 OS=Homo sapiens OX=9606 GN=OR6C65 PE=2 SV=1
+QKNLSLIKKTFERLAQKVQQNRLTYIFPNLMPAVSTNLVAVGKSLAMGEKASTKVCMFICSGYSVSVVIMHSSCTSFAKKRQQASPIKLITKLILTYSLVVLALTVMLTFVALLFAMLELFQTDTCAILLMPSSDCIFHDITSSDCFDLQLGMIVPPFIILFGALWSSIVLWNCVKNSMITTYHLPKCIAVYRDYSMVALLFFETSGLLILFFVQAMSANYSITTDGTAINILFRPIFVTTFSIELFSFNRLFFYMPTKLHINSLTLMIIIMNGSVSLLYTILMFFFIVVQLEPNDTFGLLIFERISTMNPM
+>sp|Q8TD08|MK15_HUMAN Mitogen-activated protein kinase 15 OS=Homo sapiens OX=9606 GN=MAPK15 PE=1 SV=1
+MCTVVDPRIVRRYLLRRQLGQGAYGIVWKAVDRRTGEVVAIKKIFDAFRDKTDAQRTFREITLLQEFGDHPNIISLLDVIRAENDRDIYLVFEFMDTDLNAVIRKGGLLQDVHVRSIFYQLLRATRFLHSGHVVHRDQKPSNVLLDANCTVKLCDFGLARSLGDLPEGPEDQAVTEYVATRWYRAPEVLLSSHRYTLGVDMWSLGCILGEMLRGRPLFPGTSTLHQLELILETIPPPSEEDLLALGSGCRASVLHQLGSRPRQTLDALLPPDTSPEALDLLRRLLVFAPDKRLSATQALQHPYVQRFHCPSDEWAREADVRPRAHEGVQLSVPEYRSRVYQMILECGGSSGTSREKGPEGVSPSQAHLHKPRADPQLPSRTPVQGPRPRPQSSPGHDPAEHESPRAAKNVPRQNSAPLLQTALLGNGERPPGAKEAPPLTLSLVKPSGRGAAPSLTSQAAAQVANQALIRGDWNRGGGVRVASVQQVPPRLPPEARPGRRMFSTSALQGAQGGARALLGGYSQAYGTVCHSALGHLPLLEGHHV
+>DECOY_sp|Q8TD08|MK15_HUMAN Mitogen-activated protein kinase 15 OS=Homo sapiens OX=9606 GN=MAPK15 PE=1 SV=1
+VHHGELLPLHGLASHCVTGYAQSYGGLLARAGGQAGQLASTSFMRRGPRAEPPLRPPVQQVSAVRVGGGRNWDGRILAQNAVQAAAQSTLSPAAGRGSPKVLSLTLPPAEKAGPPREGNGLLATQLLPASNQRPVNKAARPSEHEAPDHGPSSQPRPRPGQVPTRSPLQPDARPKHLHAQSPSVGEPGKERSTGSSGGCELIMQYVRSRYEPVSLQVGEHARPRVDAERAWEDSPCHFRQVYPHQLAQTASLRKDPAFVLLRRLLDLAEPSTDPPLLADLTQRPRSGLQHLVSARCGSGLALLDEESPPPITELILELQHLTSTGPFLPRGRLMEGLICGLSWMDVGLTYRHSSLLVEPARYWRTAVYETVAQDEPGEPLDGLSRALGFDCLKVTCNADLLVNSPKQDRHVVHGSHLFRTARLLQYFISRVHVDQLLGGKRIVANLDTDMFEFVLYIDRDNEARIVDLLSIINPHDGFEQLLTIERFTRQADTKDRFADFIKKIAVVEGTRRDVAKWVIGYAGQGLQRRLLYRRVIRPDVVTCM
+>sp|Q969V6|MKL1_HUMAN MKL/myocardin-like protein 1 OS=Homo sapiens OX=9606 GN=MKL1 PE=1 SV=1
+MPPLKSPAAFHEQRRSLERARTEDYLKRKIRSRPERSELVRMHILEETSAEPSLQAKQLKLKRARLADDLNEKIAQRPGPMELVEKNILPVESSLKEAIIVGQVNYPKVADSSSFDEDSSDALSPEQPASHESQGSVPSPLEARVSEPLLSATSASPTQVVSQLPMGRDSREMLFLAEQPPLPPPPLLPPSLTNGTTIPTAKSTPTLIKQSQPKSASEKSQRSKKAKELKPKVKKLKYHQYIPPDQKQDRGAPPMDSSYAKILQQQQLFLQLQILNQQQQQHHNYQAILPAPPKSAGEALGSSGTPPVRSLSTTNSSSSSGAPGPCGLARQNSTSLTGKPGALPANLDDMKVAELKQELKLRSLPVSGTKTELIERLRAYQDQISPVPGAPKAPAATSILHKAGEVVVAFPAARLSTGPALVAAGLAPAEVVVATVASSGVVKFGSTGSTPPVSPTPSERSLLSTGDENSTPGDTFGEMVTSPLTQLTLQASPLQILVKEEGPRAGSCCLSPGGRAELEGRDKDQMLQEKDKQIEALTRMLRQKQQLVERLKLQLEQEKRAQQPAPAPAPLGTPVKQENSFSSCQLSQQPLGPAHPFNPSLAAPATNHIDPCAVAPGPPSVVVKQEALQPEPEPVPAPQLLLGPQGPSLIKGVAPPTLITDSTGTHLVLTVTNKNADSPGLSSGSPQQPSSQPGSPAPAPSAQMDLEHPLQPLFGTPTSLLKKEPPGYEEAMSQQPKQQENGSSSQQMDDLFDILIQSGEISADFKEPPSLPGKEKPSPKTVCGSPLAAQPSPSAELPQAAPPPPGSPSLPGRLEDFLESSTGLPLLTSGHDGPEPLSLIDDLHSQMLSSTAILDHPPSPMDTSELHFVPEPSSTMGLDLADGHLDSMDWLELSSGGPVLSLAPLSTTAPSLFSTDFLDGHDLQLHWDSCL
+>DECOY_sp|Q969V6|MKL1_HUMAN MKL/myocardin-like protein 1 OS=Homo sapiens OX=9606 GN=MKL1 PE=1 SV=1
+LCSDWHLQLDHGDLFDTSFLSPATTSLPALSLVPGGSSLELWDMSDLHGDALDLGMTSSPEPVFHLESTDMPSPPHDLIATSSLMQSHLDDILSLPEPGDHGSTLLPLGTSSELFDELRGPLSPSGPPPPAAQPLEASPSPQAALPSGCVTKPSPKEKGPLSPPEKFDASIEGSQILIDFLDDMQQSSSGNEQQKPQQSMAEEYGPPEKKLLSTPTGFLPQLPHELDMQASPAPAPSGPQSSPQQPSGSSLGPSDANKNTVTLVLHTGTSDTILTPPAVGKILSPGQPGLLLQPAPVPEPEPQLAEQKVVVSPPGPAVACPDIHNTAPAALSPNFPHAPGLPQQSLQCSSFSNEQKVPTGLPAPAPAPQQARKEQELQLKLREVLQQKQRLMRTLAEIQKDKEQLMQDKDRGELEARGGPSLCCSGARPGEEKVLIQLPSAQLTLQTLPSTVMEGFTDGPTSNEDGTSLLSRESPTPSVPPTSGTSGFKVVGSSAVTAVVVEAPALGAAVLAPGTSLRAAPFAVVVEGAKHLISTAAPAKPAGPVPSIQDQYARLREILETKTGSVPLSRLKLEQKLEAVKMDDLNAPLAGPKGTLSTSNQRALGCPGPAGSSSSSNTTSLSRVPPTGSSGLAEGASKPPAPLIAQYNHHQQQQQNLIQLQLFLQQQQLIKAYSSDMPPAGRDQKQDPPIYQHYKLKKVKPKLEKAKKSRQSKESASKPQSQKILTPTSKATPITTGNTLSPPLLPPPPLPPQEALFLMERSDRGMPLQSVVQTPSASTASLLPESVRAELPSPVSGQSEHSAPQEPSLADSSDEDFSSSDAVKPYNVQGVIIAEKLSSEVPLINKEVLEMPGPRQAIKENLDDALRARKLKLQKAQLSPEASTEELIHMRVLESREPRSRIKRKLYDETRARELSRRQEHFAAPSKLPPM
+>sp|Q9H000|MKRN2_HUMAN Probable E3 ubiquitin-protein ligase makorin-2 OS=Homo sapiens OX=9606 GN=MKRN2 PE=1 SV=2
+MSTKQITCRYFMHGVCREGSQCLFSHDLANSKPSTICKYYQKGYCAYGTRCRYDHTRPSAAAGGAVGTMAHSVPSPAFHSPHPPSEVTASIVKTNSHEPGKREKRTLVLRDRNLSGMAERKTQPSMVSNPGSCSDPQPSPEMKPHSYLDAIRSGLDDVEASSSYSNEQQLCPYAAAGECRFGDACVYLHGEVCEICRLQVLHPFDPEQRKAHEKICMLTFEHEMEKAFAFQASQDKVCSICMEVILEKASASERRFGILSNCNHTYCLSCIRQWRCAKQFENPIIKSCPECRVISEFVIPSVYWVEDQNKKNELIEAFKQGMGKKACKYFEQGKGTCPFGSKCLYRHAYPDGRLAEPEKPRKQLSSQGTVRFFNSVRLWDFIENRESRHVPNNEDVDMTELGDLFMHLSGVESSEP
+>DECOY_sp|Q9H000|MKRN2_HUMAN Probable E3 ubiquitin-protein ligase makorin-2 OS=Homo sapiens OX=9606 GN=MKRN2 PE=1 SV=2
+PESSEVGSLHMFLDGLETMDVDENNPVHRSERNEIFDWLRVSNFFRVTGQSSLQKRPKEPEALRGDPYAHRYLCKSGFPCTGKGQEFYKCAKKGMGQKFAEILENKKNQDEVWYVSPIVFESIVRCEPCSKIIPNEFQKACRWQRICSLCYTHNCNSLIGFRRESASAKELIVEMCISCVKDQSAQFAFAKEMEHEFTLMCIKEHAKRQEPDFPHLVQLRCIECVEGHLYVCADGFRCEGAAAYPCLQQENSYSSSAEVDDLGSRIADLYSHPKMEPSPQPDSCSGPNSVMSPQTKREAMGSLNRDRLVLTRKERKGPEHSNTKVISATVESPPHPSHFAPSPVSHAMTGVAGGAAASPRTHDYRCRTGYACYGKQYYKCITSPKSNALDHSFLCQSGERCVGHMFYRCTIQKTSM
+>sp|Q6NVV0|MKRN5_HUMAN Putative makorin-5 OS=Homo sapiens OX=9606 GN=MKRN9P PE=5 SV=1
+MLLAAVGDDELTDSEDESDLFHEELEDFYDLDL
+>DECOY_sp|Q6NVV0|MKRN5_HUMAN Putative makorin-5 OS=Homo sapiens OX=9606 GN=MKRN9P PE=5 SV=1
+LDLDYFDELEEHFLDSEDESDTLEDDGVAALLM
+>sp|Q9NXB0|MKS1_HUMAN Meckel syndrome type 1 protein OS=Homo sapiens OX=9606 GN=MKS1 PE=1 SV=2
+MAETVWSTDTGEAVYRSRDPVRNLRLRVHLQRITSSNFLHYQPAAELGKDLIDLATFRPQPTASGHRPEEDEEEEIVIGWQEKLFSQFEVDLYQNETACQSPLDYQYRQEILKLENSGGKKNRRIFTYTDSDRYTNLEEHCQRMTTAASEVPSFLVERMANVRRRRQDRRGMEGGILKSRIVTWEPSEEFVRNNHVINTPLQTMHIMADLGPYKKLGYKKYEHVLCTLKVDSNGVITVKPDFTGLKGPYRIETEGEKQELWKYTIDNVSPHAQPEEEERERRVFKDLYGRHKEYLSSLVGTDFEMTVPGALRLFVNGEVVSAQGYEYDNLYVHFFVELPTAHWSSPAFQQLSGVTQTCTTKSLAMDKVAHFSYPFTFEAFFLHEDESSDALPEWPVLYCEVLSLDFWQRYRVEGYGAVVLPATPGSHTLTVSTWRPVELGTVAELRRFFIGGSLELEDLSYVRIPGSFKGERLSRFGLRTETTGTVTFRLHCLQQSRAFMESSSLQKRMRSVLDRLEGFSQQSSIHNVLEAFRRARRRMQEARESLPQDLVSPSGTLVS
+>DECOY_sp|Q9NXB0|MKS1_HUMAN Meckel syndrome type 1 protein OS=Homo sapiens OX=9606 GN=MKS1 PE=1 SV=2
+SVLTGSPSVLDQPLSERAEQMRRRARRFAELVNHISSQQSFGELRDLVSRMRKQLSSSEMFARSQQLCHLRFTVTGTTETRLGFRSLREGKFSGPIRVYSLDELELSGGIFFRRLEAVTGLEVPRWTSVTLTHSGPTAPLVVAGYGEVRYRQWFDLSLVECYLVPWEPLADSSEDEHLFFAEFTFPYSFHAVKDMALSKTTCTQTVGSLQQFAPSSWHATPLEVFFHVYLNDYEYGQASVVEGNVFLRLAGPVTMEFDTGVLSSLYEKHRGYLDKFVRREREEEEPQAHPSVNDITYKWLEQKEGETEIRYPGKLGTFDPKVTIVGNSDVKLTCLVHEYKKYGLKKYPGLDAMIHMTQLPTNIVHNNRVFEESPEWTVIRSKLIGGEMGRRDQRRRRVNAMREVLFSPVESAATTMRQCHEELNTYRDSDTYTFIRRNKKGGSNELKLIEQRYQYDLPSQCATENQYLDVEFQSFLKEQWGIVIEEEEDEEPRHGSATPQPRFTALDILDKGLEAAPQYHLFNSSTIRQLHVRLRLNRVPDRSRYVAEGTDTSWVTEAM
+>sp|Q15773|MLF2_HUMAN Myeloid leukemia factor 2 OS=Homo sapiens OX=9606 GN=MLF2 PE=1 SV=1
+MFRFMRDVEPEDPMFLMDPFAIHRQHMSRMLSGGFGYSPFLSITDGNMPGTRPASRRMQQAGAVSPFGMLGMSGGFMDMFGMMNDMIGNMEHMTAGGNCQTFSSSTVISYSNTGDGAPKVYQETSEMRSAPGGIRETRRTVRDSDSGLEQMSIGHHIRDRAHILQRSRNHRTGDQEERQDYINLDESEAAAFDDEWRRETSRFRQQRPLEFRRLESSGAGGRRAEGPPRLAIQGPEDSPSRQSRRYDW
+>DECOY_sp|Q15773|MLF2_HUMAN Myeloid leukemia factor 2 OS=Homo sapiens OX=9606 GN=MLF2 PE=1 SV=1
+WDYRRSQRSPSDEPGQIALRPPGEARRGGAGSSELRRFELPRQQRFRSTERRWEDDFAAAESEDLNIYDQREEQDGTRHNRSRQLIHARDRIHHGISMQELGSDSDRVTRRTERIGGPASRMESTEQYVKPAGDGTNSYSIVTSSSFTQCNGGATMHEMNGIMDNMMGFMDMFGGSMGLMGFPSVAGAQQMRRSAPRTGPMNGDTISLFPSYGFGGSLMRSMHQRHIAFPDMLFMPDEPEVDRMFRFM
+>sp|Q5VWP3|MLIP_HUMAN Muscular LMNA-interacting protein OS=Homo sapiens OX=9606 GN=MLIP PE=1 SV=3
+MELEKREKRSLLNKNLEEKLTVSAGGSEAKPLIFTFVPTVRRLPTHTQLADTSKFLVKIPEESSDKSPETVNRSKSNDYLTLNAGSQQERDQAKLTCPSEVSGTILQEREFEANKLQGMQQSDLFKAEYVLIVDSEGEDEAASRKVEQGPPGGIGTAAVRPKSLAISSSLVSDVVRPKTQGTDLKTSSHPEMLHGMAPQQKHGQQYKTKSSYKAFAAIPTNTLLLEQKALDEPAKTESVSKDNTLEPPVELYFPAQLRQQTEELCATIDKVLQDSLSMHSSDSPSRSPKTLLGSDTVKTPTTLPRAAGRETKYANLSSPSSTVSESQLTKPGVIRPVPVKSRILLKKEEEVYEPNPFSKYLEDNSDLFSEQDVTVPPKPVSLHPLYQTKLYPPAKSLLHPQTLSHADCLAPGPFSHLSFSLSDEQENSHTLLSHNACNKLSHPMVAIPEHEALDSKEQ
+>DECOY_sp|Q5VWP3|MLIP_HUMAN Muscular LMNA-interacting protein OS=Homo sapiens OX=9606 GN=MLIP PE=1 SV=3
+QEKSDLAEHEPIAVMPHSLKNCANHSLLTHSNEQEDSLSFSLHSFPGPALCDAHSLTQPHLLSKAPPYLKTQYLPHLSVPKPPVTVDQESFLDSNDELYKSFPNPEYVEEEKKLLIRSKVPVPRIVGPKTLQSESVTSSPSSLNAYKTERGAARPLTTPTKVTDSGLLTKPSRSPSDSSHMSLSDQLVKDITACLEETQQRLQAPFYLEVPPELTNDKSVSETKAPEDLAKQELLLTNTPIAAFAKYSSKTKYQQGHKQQPAMGHLMEPHSSTKLDTGQTKPRVVDSVLSSSIALSKPRVAATGIGGPPGQEVKRSAAEDEGESDVILVYEAKFLDSQQMGQLKNAEFEREQLITGSVESPCTLKAQDREQQSGANLTLYDNSKSRNVTEPSKDSSEEPIKVLFKSTDALQTHTPLRRVTPVFTFILPKAESGGASVTLKEELNKNLLSRKERKELEM
+>sp|Q9GZQ8|MLP3B_HUMAN Microtubule-associated proteins 1A/1B light chain 3B OS=Homo sapiens OX=9606 GN=MAP1LC3B PE=1 SV=3
+MPSEKTFKQRRTFEQRVEDVRLIREQHPTKIPVIIERYKGEKQLPVLDKTKFLVPDHVNMSELIKIIRRRLQLNANQAFFLLVNGHSMVSVSTPISEVYESEKDEDGFLYMVYASQETFGMKLSV
+>DECOY_sp|Q9GZQ8|MLP3B_HUMAN Microtubule-associated proteins 1A/1B light chain 3B OS=Homo sapiens OX=9606 GN=MAP1LC3B PE=1 SV=3
+VSLKMGFTEQSAYVMYLFGDEDKESEYVESIPTSVSVMSHGNVLLFFAQNANLQLRRRIIKILESMNVHDPVLFKTKDLVPLQKEGKYREIIVPIKTPHQERILRVDEVRQEFTRRQKFTKESPM
+>sp|Q96A32|MLRS_HUMAN Myosin regulatory light chain 2, skeletal muscle isoform OS=Homo sapiens OX=9606 GN=MYLPF PE=2 SV=1
+MAPKRAKRRTVEGGSSSVFSMFDQTQIQEFKEAFTVIDQNRDGIIDKEDLRDTFAAMGRLNVKNEELDAMMKEASGPINFTVFLTMFGEKLKGADPEDVITGAFKVLDPEGKGTIKKKFLEELLTTQCDRFSQEEIKNMWAAFPPDVGGNVDYKNICYVITHGDAKDQE
+>DECOY_sp|Q96A32|MLRS_HUMAN Myosin regulatory light chain 2, skeletal muscle isoform OS=Homo sapiens OX=9606 GN=MYLPF PE=2 SV=1
+EQDKADGHTIVYCINKYDVNGGVDPPFAAWMNKIEEQSFRDCQTTLLEELFKKKITGKGEPDLVKFAGTIVDEPDAGKLKEGFMTLFVTFNIPGSAEKMMADLEENKVNLRGMAAFTDRLDEKDIIGDRNQDIVTFAEKFEQIQTQDFMSFVSSSGGEVTRRKARKPAM
+>sp|Q10571|MN1_HUMAN Transcriptional activator MN1 OS=Homo sapiens OX=9606 GN=MN1 PE=1 SV=3
+MFGLDQFEPQVNSRNAGQGERNFNETGLSMNTHFKAPAFHTGGPPGPVDPAMSALGEPPILGMNMEPYGFHARGHSELHAGGLQAQPVHGFFGGQQPHHGHPGSHHPHQHHPHFGGNFGGPDPGASCLHGGRLLGYGGAAGGLGSQPPFAEGYEHMAESQGPESFGPQRPGNLPDFHSSGASSHAVPAPCLPLDQSPNRAASFHGLPSSSGSDSHSLEPRRVTNQGAVDSLEYNYPGEAPSGHFDMFSPSDSEGQLPHYAAGRQVPGGAFPGASAMPRAAGMVGLSKMHAQPPQQQPQQQQQPQQQQQQHGVFFERFSGARKMPVGLEPSVGSRHPLMQPPQQAPPPPQQQPPQQPPQQQPPPPPGLLVRQNSCPPALPRPQQGEAGTPSGGLQDGGPMLPSQHAQFEYPIHRLENRSMHPYSEPVFSMQHPPPQQAPNQRLQHFDAPPYMNVAKRPRFDFPGSAGVDRCASWNGSMHNGALDNHLSPSAYPGLPGEFTPPVPDSFPSGPPLQHPAPDHQSLQQQQQQQQQQQQQQQQQQQQQQQQQQQQRQNAALMIKQMASRNQQQRLRQPNLAQLGHPGDVGQGGLVHGGPVGGLAQPNFEREGGSTGAGRLGTFEQQAPHLAQESAWFSGPHPPPGDLLPRRMGGSGLPADCGPHDPSLAPPPPPGGSGVLFRGPLQEPMRMPGEGHVPALPSPGLQFGGSLGGLGQLQSPGAGVGLPSAASERRPPPPDFATSALGGQPGFPFGAAGRQSTPHSGPGVNSPPSAGGGGGSSGGGGGGGAYPPQPDFQPSQRTSASKLGALSLGSFNKPSSKDNLFGQSCLAALSTACQNMIASLGAPNLNVTFNKKNPPEGKRKLSQNETDGAAVAGNPGSDYFPGGTAPGAPGPGGPSGTSSSGSKASGPPNPPAQGDGTSLSPNYTLESTSGNDGKPVSGGGGRGRGRRKRDSGHVSPGTFFDKYSAAPDSGGAPGVSPGQQQASGAAVGGSSAGETRGAPTPHEKALTSPSWGKGAELLLGDQPDLIGSLDGGAKSDSSSPNVGEFASDEVSTSYANEDEVSSSSDNPQALVKASRSPLVTGSPKLPPRGVGAGEHGPKAPPPALGLGIMSNSTSTPDSYGGGGGPGHPGTPGLEQVRTPTSSSGAPPPDEIHPLEILQAQIQLQRQQFSISEDQPLGLKGGKKGECAVGASGAQNGDSELGSCCSEAVKSAMSTIDLDSLMAEHSAAWYMPADKALVDSADDDKTLAPWEKAKPQNPNSKEAHDLPANKASASQPGSHLQCLSVHCTDDVGDAKARASVPTWRSLHSDISNRFGTFVAALT
+>DECOY_sp|Q10571|MN1_HUMAN Transcriptional activator MN1 OS=Homo sapiens OX=9606 GN=MN1 PE=1 SV=3
+TLAAVFTGFRNSIDSHLSRWTPVSARAKADGVDDTCHVSLCQLHSGPQSASAKNAPLDHAEKSNPNQPKAKEWPALTKDDDASDVLAKDAPMYWAASHEAMLSDLDITSMASKVAESCCSGLESDGNQAGSAGVACEGKKGGKLGLPQDESISFQQRQLQIQAQLIELPHIEDPPPAGSSSTPTRVQELGPTGPHGPGGGGGYSDPTSTSNSMIGLGLAPPPAKPGHEGAGVGRPPLKPSGTVLPSRSAKVLAQPNDSSSSVEDENAYSTSVEDSAFEGVNPSSSDSKAGGDLSGILDPQDGLLLEAGKGWSPSTLAKEHPTPAGRTEGASSGGVAAGSAQQQGPSVGPAGGSDPAASYKDFFTGPSVHGSDRKRRGRGRGGGGSVPKGDNGSTSELTYNPSLSTGDGQAPPNPPGSAKSGSSSTGSPGGPGPAGPATGGPFYDSGPNGAVAAGDTENQSLKRKGEPPNKKNFTVNLNPAGLSAIMNQCATSLAALCSQGFLNDKSSPKNFSGLSLAGLKSASTRQSPQFDPQPPYAGGGGGGGSSGGGGGASPPSNVGPGSHPTSQRGAAGFPFGPQGGLASTAFDPPPPRRESAASPLGVGAGPSQLQGLGGLSGGFQLGPSPLAPVHGEGPMRMPEQLPGRFLVGSGGPPPPPALSPDHPGCDAPLGSGGMRRPLLDGPPPHPGSFWASEQALHPAQQEFTGLRGAGTSGGEREFNPQALGGVPGGHVLGGQGVDGPHGLQALNPQRLRQQQNRSAMQKIMLAANQRQQQQQQQQQQQQQQQQQQQQQQQQQQQQLSQHDPAPHQLPPGSPFSDPVPPTFEGPLGPYASPSLHNDLAGNHMSGNWSACRDVGASGPFDFRPRKAVNMYPPADFHQLRQNPAQQPPPHQMSFVPESYPHMSRNELRHIPYEFQAHQSPLMPGGDQLGGSPTGAEGQQPRPLAPPCSNQRVLLGPPPPPQQQPPQQPPQQQPPPPAQQPPQMLPHRSGVSPELGVPMKRAGSFREFFVGHQQQQQQPQQQQQPQQQPPQAHMKSLGVMGAARPMASAGPFAGGPVQRGAAYHPLQGESDSPSFMDFHGSPAEGPYNYELSDVAGQNTVRRPELSHSDSGSSSPLGHFSAARNPSQDLPLCPAPVAHSSAGSSHFDPLNGPRQPGFSEPGQSEAMHEYGEAFPPQSGLGGAAGGYGLLRGGHLCSAGPDPGGFNGGFHPHHQHPHHSGPHGHHPQQGGFFGHVPQAQLGGAHLESHGRAHFGYPEMNMGLIPPEGLASMAPDVPGPPGGTHFAPAKFHTNMSLGTENFNREGQGANRSNVQPEFQDLGFM
+>sp|P41218|MNDA_HUMAN Myeloid cell nuclear differentiation antigen OS=Homo sapiens OX=9606 GN=MNDA PE=1 SV=1
+MVNEYKKILLLKGFELMDDYHFTSIKSLLAYDLGLTTKMQEEYNRIKITDLMEKKFQGVACLDKLIELAKDMPSLKNLVNNLRKEKSKVAKKIKTQEKAPVKKINQEEVGLAAPAPTARNKLTSEARGRIPVAQKRKTPNKEKTEAKRNKVSQEQSKPPGPSGASTSAAVDHPPLPQTSSSTPSNTSFTPNQETQAQRQVDARRNVPQNDPVTVVVLKATAPFKYESPENGKSTMFHATVASKTQYFHVKVFDINLKEKFVRKKVITISDYSECKGVMEIKEASSVSDFNQNFEVPNRIIEIANKTPKISQLYKQASGTMVYGLFMLQKKSVHKKNTIYEIQDNTGSMDVVGSGKWHNIKCEKGDKLRLFCLQLRTVDRKLKLVCGSHSFIKVIKAKKNKEGPMNVN
+>DECOY_sp|P41218|MNDA_HUMAN Myeloid cell nuclear differentiation antigen OS=Homo sapiens OX=9606 GN=MNDA PE=1 SV=1
+NVNMPGEKNKKAKIVKIFSHSGCVLKLKRDVTRLQLCFLRLKDGKECKINHWKGSGVVDMSGTNDQIEYITNKKHVSKKQLMFLGYVMTGSAQKYLQSIKPTKNAIEIIRNPVEFNQNFDSVSSAEKIEMVGKCESYDSITIVKKRVFKEKLNIDFVKVHFYQTKSAVTAHFMTSKGNEPSEYKFPATAKLVVVTVPDNQPVNRRADVQRQAQTEQNPTFSTNSPTSSSTQPLPPHDVAASTSAGSPGPPKSQEQSVKNRKAETKEKNPTKRKQAVPIRGRAESTLKNRATPAPAALGVEEQNIKKVPAKEQTKIKKAVKSKEKRLNNVLNKLSPMDKALEILKDLCAVGQFKKEMLDTIKIRNYEEQMKTTLGLDYALLSKISTFHYDDMLEFGKLLLIKKYENVM
+>sp|Q6Q8B3|MO2R2_HUMAN Cell surface glycoprotein CD200 receptor 2 OS=Homo sapiens OX=9606 GN=CD200R1L PE=2 SV=2
+MSAPRLLISIIIMVSASSSSCMGGKQMTQNYSTIFAEGNISQPVLMDINAVLCCPPIALRNLIIITWEIILRGQPSCTKAYKKETNETKETNCTVERITWVSRPDQNSDLQIRPVDTTHDGYYRGIVVTPDGNFHRGYHLQVLVTPEVNLFQSRNITAVCKAVTGKPAAQISWIPEGSILATKQEYWGNGTVTVKSTCPWEGHKSTVTCHVSHLTGNKSLSVKLNSGLRTSGSPALSLLIILYVKLSLFVVILVTTGFVFFQRINHVRKVL
+>DECOY_sp|Q6Q8B3|MO2R2_HUMAN Cell surface glycoprotein CD200 receptor 2 OS=Homo sapiens OX=9606 GN=CD200R1L PE=2 SV=2
+LVKRVHNIRQFFVFGTTVLIVVFLSLKVYLIILLSLAPSGSTRLGSNLKVSLSKNGTLHSVHCTVTSKHGEWPCTSKVTVTGNGWYEQKTALISGEPIWSIQAAPKGTVAKCVATINRSQFLNVEPTVLVQLHYGRHFNGDPTVVIGRYYGDHTTDVPRIQLDSNQDPRSVWTIREVTCNTEKTENTEKKYAKTCSPQGRLIIEWTIIILNRLAIPPCCLVANIDMLVPQSINGEAFITSYNQTMQKGGMCSSSSASVMIIISILLRPASM
+>sp|Q96BX8|MOB3A_HUMAN MOB kinase activator 3A OS=Homo sapiens OX=9606 GN=MOB3A PE=1 SV=1
+MSNPFLKQVFNKDKTFRPKRKFEPGTQRFELHKKAQASLNAGLDLRLAVQLPPGEDLNDWVAVHVVDFFNRVNLIYGTISDGCTEQSCPVMSGGPKYEYRWQDEHKFRKPTALSAPRYMDLLMDWIEAQINNEDLFPTNVGTPFPKNFLQTVRKILSRLFRVFVHVYIHHFDRIAQMGSEAHVNTCYKHFYYFVKEFGLIDTKELEPLKEMTARMCH
+>DECOY_sp|Q96BX8|MOB3A_HUMAN MOB kinase activator 3A OS=Homo sapiens OX=9606 GN=MOB3A PE=1 SV=1
+HCMRATMEKLPELEKTDILGFEKVFYYFHKYCTNVHAESGMQAIRDFHHIYVHVFVRFLRSLIKRVTQLFNKPFPTGVNTPFLDENNIQAEIWDMLLDMYRPASLATPKRFKHEDQWRYEYKPGGSMVPCSQETCGDSITGYILNVRNFFDVVHVAVWDNLDEGPPLQVALRLDLGANLSAQAKKHLEFRQTGPEFKRKPRFTKDKNFVQKLFPNSM
+>sp|Q13875|MOBP_HUMAN Myelin-associated oligodendrocyte basic protein OS=Homo sapiens OX=9606 GN=MOBP PE=1 SV=2
+MSQKPAKEGPRLSKNQKYSEHFSIHCCPPFTFLNSKKEIVDRKYSICKSGCFYQKKEEDWICCACQKTRTSRRAKSPQRPKQQPAAPPAVVRAPAKPRSPPRSERQPRSPPRSERQPRSPPRSERQPRSPPRSERQPRPRPEVRPPPAKQRPPQKSKQQPRSSPLRGPGASRGGSPVKASRFW
+>DECOY_sp|Q13875|MOBP_HUMAN Myelin-associated oligodendrocyte basic protein OS=Homo sapiens OX=9606 GN=MOBP PE=1 SV=2
+WFRSAKVPSGGRSAGPGRLPSSRPQQKSKQPPRQKAPPPRVEPRPRPQRESRPPSRPQRESRPPSRPQRESRPPSRPQRESRPPSRPKAPARVVAPPAAPQQKPRQPSKARRSTRTKQCACCIWDEEKKQYFCGSKCISYKRDVIEKKSNLFTFPPCCHISFHESYKQNKSLRPGEKAPKQSM
+>sp|Q9HD47|MOG1_HUMAN Ran guanine nucleotide release factor OS=Homo sapiens OX=9606 GN=RANGRF PE=1 SV=1
+MEPTRDCPLFGGAFSAILPMGAIDVSDLRPVPDNQEVFCHPVTDQSLIVELLELQAHVRGEAAARYHFEDVGGVQGARAVHVESVQPLSLENLALRGRCQEAWVLSGKQQIAKENQQVAKDVTLHQALLRLPQYQTDLLLTFNQPPPDNRSSLGPENLSPAPWSLGDFEQLVTSLTLHDPNIFGPQ
+>DECOY_sp|Q9HD47|MOG1_HUMAN Ran guanine nucleotide release factor OS=Homo sapiens OX=9606 GN=RANGRF PE=1 SV=1
+QPGFINPDHLTLSTVLQEFDGLSWPAPSLNEPGLSSRNDPPPQNFTLLLDTQYQPLRLLAQHLTVDKAVQQNEKAIQQKGSLVWAEQCRGRLALNELSLPQVSEVHVARAGQVGGVDEFHYRAAAEGRVHAQLELLEVILSQDTVPHCFVEQNDPVPRLDSVDIAGMPLIASFAGGFLPCDRTPEM
+>sp|Q96PD6|MOGT1_HUMAN 2-acylglycerol O-acyltransferase 1 OS=Homo sapiens OX=9606 GN=MOGAT1 PE=2 SV=2
+MKVEFAPLNIQLARRLQTVAVLQWVLKYLLLGPMSIGITVMLIIHNYLFLYIPYLMWLYFDWHTPERGGRRSSWIKNWTLWKHFKDYFPIHLIKTQDLDPSHNYIFGFHPHGIMAVGAFGNFSVNYSDFKDLFPGFTSYLHVLPLWFWCPVFREYVMSVGLVSVSKKSVSYMVSKEGGGNISVIVLGGAKESLDAHPGKFTLFIRQRKGFVKIALTHGASLVPVVSFGENELFKQTDNPEGSWIRTVQNKLQKIMGFALPLFHARGVFQYNFGLMTYRKAIHTVVGRPIPVRQTLNPTQEQIEELHQTYMEELRKLFEEHKGKYGIPEHETLVLK
+>DECOY_sp|Q96PD6|MOGT1_HUMAN 2-acylglycerol O-acyltransferase 1 OS=Homo sapiens OX=9606 GN=MOGAT1 PE=2 SV=2
+KLVLTEHEPIGYKGKHEEFLKRLEEMYTQHLEEIQEQTPNLTQRVPIPRGVVTHIAKRYTMLGFNYQFVGRAHFLPLAFGMIKQLKNQVTRIWSGEPNDTQKFLENEGFSVVPVLSAGHTLAIKVFGKRQRIFLTFKGPHADLSEKAGGLVIVSINGGGEKSVMYSVSKKSVSVLGVSMVYERFVPCWFWLPLVHLYSTFGPFLDKFDSYNVSFNGFAGVAMIGHPHFGFIYNHSPDLDQTKILHIPFYDKFHKWLTWNKIWSSRRGGREPTHWDFYLWMLYPIYLFLYNHIILMVTIGISMPGLLLYKLVWQLVAVTQLRRALQINLPAFEVKM
+>sp|Q3SYC2|MOGT2_HUMAN 2-acylglycerol O-acyltransferase 2 OS=Homo sapiens OX=9606 GN=MOGAT2 PE=1 SV=2
+MVEFAPLFMPWERRLQTLAVLQFVFSFLALAEICTVGFIALLFTRFWLLTVLYAAWWYLDRDKPRQGGRHIQAIRCWTIWKYMKDYFPISLVKTAELDPSRNYIAGFHPHGVLAVGAFANLCTESTGFSSIFPGIRPHLMMLTLWFRAPFFRDYIMSAGLVTSEKESAAHILNRKGGGNLLGIIVGGAQEALDARPGSFTLLLRNRKGFVRLALTHGAPLVPIFSFGENDLFDQIPNSSGSWLRYIQNRLQKIMGISLPLFHGRGVFQYSFGLIPYRRPITTVVGKPIEVQKTLHPSEEEVNQLHQRYIKELCNLFEAHKLKFNIPADQHLEFC
+>DECOY_sp|Q3SYC2|MOGT2_HUMAN 2-acylglycerol O-acyltransferase 2 OS=Homo sapiens OX=9606 GN=MOGAT2 PE=1 SV=2
+CFELHQDAPINFKLKHAEFLNCLEKIYRQHLQNVEEESPHLTKQVEIPKGVVTTIPRRYPILGFSYQFVGRGHFLPLSIGMIKQLRNQIYRLWSGSSNPIQDFLDNEGFSFIPVLPAGHTLALRVFGKRNRLLLTFSGPRADLAEQAGGVIIGLLNGGGKRNLIHAASEKESTVLGASMIYDRFFPARFWLTLMMLHPRIGPFISSFGTSETCLNAFAGVALVGHPHFGAIYNRSPDLEATKVLSIPFYDKMYKWITWCRIAQIHRGGQRPKDRDLYWWAAYLVTLLWFRTFLLAIFGVTCIEALALFSFVFQLVALTQLRREWPMFLPAFEVM
+>sp|O75439|MPPB_HUMAN Mitochondrial-processing peptidase subunit beta OS=Homo sapiens OX=9606 GN=PMPCB PE=1 SV=2
+MAAAAARVVLSSAARRRLWGFSESLLIRGAAGRSLYFGENRLRSTQAATQVVLNVPETRVTCLESGLRVASEDSGLSTCTVGLWIDAGSRYENEKNNGTAHFLEHMAFKGTKKRSQLDLELEIENMGAHLNAYTSREQTVYYAKAFSKDLPRAVEILADIIQNSTLGEAEIERERGVILREMQEVETNLQEVVFDYLHATAYQNTALGRTILGPTENIKSISRKDLVDYITTHYKGPRIVLAAAGGVSHDELLDLAKFHFGDSLCTHKGEIPALPPCKFTGSEIRVRDDKMPLAHLAIAVEAVGWAHPDTICLMVANTLIGNWDRSFGGGMNLSSKLAQLTCHGNLCHSFQSFNTSYTDTGLWGLYMVCESSTVADMLHVVQKEWMRLCTSVTESEVARARNLLKTNMLLQLDGSTPICEDIGRQMLCYNRRIPIPELEARIDAVNAETIREVCTKYIYNRSPAIAAVGPIKQLPDFKQIRSNMCWLRD
+>DECOY_sp|O75439|MPPB_HUMAN Mitochondrial-processing peptidase subunit beta OS=Homo sapiens OX=9606 GN=PMPCB PE=1 SV=2
+DRLWCMNSRIQKFDPLQKIPGVAAIAPSRNYIYKTCVERITEANVADIRAELEPIPIRRNYCLMQRGIDECIPTSGDLQLLMNTKLLNRARAVESETVSTCLRMWEKQVVHLMDAVTSSECVMYLGWLGTDTYSTNFSQFSHCLNGHCTLQALKSSLNMGGGFSRDWNGILTNAVMLCITDPHAWGVAEVAIALHALPMKDDRVRIESGTFKCPPLAPIEGKHTCLSDGFHFKALDLLEDHSVGGAAALVIRPGKYHTTIYDVLDKRSISKINETPGLITRGLATNQYATAHLYDFVVEQLNTEVEQMERLIVGREREIEAEGLTSNQIIDALIEVARPLDKSFAKAYYVTQERSTYANLHAGMNEIELELDLQSRKKTGKFAMHELFHATGNNKENEYRSGADIWLGVTCTSLGSDESAVRLGSELCTVRTEPVNLVVQTAAQTSRLRNEGFYLSRGAAGRILLSESFGWLRRRAASSLVVRAAAAAM
+>sp|A8MV57|MPTX_HUMAN Putative mucosal pentraxin homolog OS=Homo sapiens OX=9606 GN=MPTX1 PE=5 SV=2
+MGMYLLHIGNAAVTFNGPTPCPRSPYASTHVNVSWESASGIATLWANGKLVGRKGVWKGYSVGEEAKIILGQEQDSFGGHFDENQSFVGVIWDVFLWDHVLPPKEMCDSCYSGSLLNRHTLTYEDNGYVVTKPKVWA
+>DECOY_sp|A8MV57|MPTX_HUMAN Putative mucosal pentraxin homolog OS=Homo sapiens OX=9606 GN=MPTX1 PE=5 SV=2
+AWVKPKTVVYGNDEYTLTHRNLLSGSYCSDCMEKPPLVHDWLFVDWIVGVFSQNEDFHGGFSDQEQGLIIKAEEGVSYGKWVGKRGVLKGNAWLTAIGSASEWSVNVHTSAYPSRPCPTPGNFTVAANGIHLLYMGM
+>sp|Q9Y5S2|MRCKB_HUMAN Serine/threonine-protein kinase MRCK beta OS=Homo sapiens OX=9606 GN=CDC42BPB PE=1 SV=2
+MSAKVRLKKLEQLLLDGPWRNESALSVETLLDVLVCLYTECSHSALRRDKYVAEFLEWAKPFTQLVKEMQLHREDFEIIKVIGRGAFGEVAVVKMKNTERIYAMKILNKWEMLKRAETACFREERDVLVNGDCQWITALHYAFQDENHLYLVMDYYVGGDLLTLLSKFEDKLPEDMARFYIGEMVLAIDSIHQLHYVHRDIKPDNVLLDVNGHIRLADFGSCLKMNDDGTVQSSVAVGTPDYISPEILQAMEDGMGKYGPECDWWSLGVCMYEMLYGETPFYAESLVETYGKIMNHEERFQFPSHVTDVSEEAKDLIQRLICSRERRLGQNGIEDFKKHAFFEGLNWENIRNLEAPYIPDVSSPSDTSNFDVDDDVLRNTEILPPGSHTGFSGLHLPFIGFTFTTESCFSDRGSLKSIMQSNTLTKDEDVQRDLEHSLQMEAYERRIRRLEQEKLELSRKLQESTQTVQSLHGSSRALSNSNRDKEIKKLNEEIERLKNKIADSNRLERQLEDTVALRQEREDSTQRLRGLEKQHRVVRQEKEELHKQLVEASERLKSQAKELKDAHQQRKLALQEFSELNERMAELRAQKQKVSRQLRDKEEEMEVATQKVDAMRQEMRRAEKLRKELEAQLDDAVAEASKERKLREHSENFCKQMESELEALKVKQGGRGAGATLEHQQEISKIKSELEKKVLFYEEELVRREASHVLEVKNVKKEVHDSESHQLALQKEILMLKDKLEKSKRERHNEMEEAVGTIKDKYERERAMLFDENKKLTAENEKLCSFVDKLTAQNRQLEDELQDLAAKKESVAHWEAQIAEIIQWVSDEKDARGYLQALASKMTEELEALRSSSLGSRTLDPLWKVRRSQKLDMSARLELQSALEAEIRAKQLVQEELRKVKDANLTLESKLKDSEAKNRELLEEMEILKKKMEEKFRADTGLKLPDFQDSIFEYFNTAPLAHDLTFRTSSASEQETQAPKPEASPSMSVAASEQQEDMARPPQRPSAVPLPTTQALALAGPKPKAHQFSIKSFSSPTQCSHCTSLMVGLIRQGYACEVCSFACHVSCKDGAPQVCPIPPEQSKRPLGVDVQRGIGTAYKGHVKVPKPTGVKKGWQRAYAVVCDCKLFLYDLPEGKSTQPGVIASQVLDLRDDEFSVSSVLASDVIHATRRDIPCIFRVTASLLGAPSKTSSLLILTENENEKRKWVGILEGLQSILHKNRLRNQVVHVPLEAYDSSLPLIKAILTAAIVDADRIAVGLEEGLYVIEVTRDVIVRAADCKKVHQIELAPREKIVILLCGRNHHVHLYPWSSLDGAEGSFDIKLPETKGCQLMATATLKRNSGTCLFVAVKRLILCYEIQRTKPFHRKFNEIVAPGSVQCLAVLRDRLCVGYPSGFCLLSIQGDGQPLNLVNPNDPSLAFLSQQSFDALCAVELESEEYLLCFSHMGLYVDPQGRRARAQELMWPAAPVACSCSPTHVTVYSEYGVDVFDVRTMEWVQTIGLRRIRPLNSEGTLNLLNCEPPRLIYFKSKFSGAVLNVPDTSDNSKKQMLRTRSKRRFVFKVPEEERLQQRREMLRDPELRSKMISNPTNFNHVAHMGPGDGMQVLMDLPLSAVPPSQEERPGPAPTNLARQPPSRNKPYISWPSSGGSEPSVTVPLRSMSDPDQDFDKEPDSDSTKHSTPSNSSNPSGPPSPNSPHRSQLPLEGLEQPACDT
+>DECOY_sp|Q9Y5S2|MRCKB_HUMAN Serine/threonine-protein kinase MRCK beta OS=Homo sapiens OX=9606 GN=CDC42BPB PE=1 SV=2
+TDCAPQELGELPLQSRHPSNPSPPGSPNSSNSPTSHKTSDSDPEKDFDQDPDSMSRLPVTVSPESGGSSPWSIYPKNRSPPQRALNTPAPGPREEQSPPVASLPLDMLVQMGDGPGMHAVHNFNTPNSIMKSRLEPDRLMERRQQLREEEPVKFVFRRKSRTRLMQKKSNDSTDPVNLVAGSFKSKFYILRPPECNLLNLTGESNLPRIRRLGITQVWEMTRVDFVDVGYESYVTVHTPSCSCAVPAAPWMLEQARARRGQPDVYLGMHSFCLLYEESELEVACLADFSQQSLFALSPDNPNVLNLPQGDGQISLLCFGSPYGVCLRDRLVALCQVSGPAVIENFKRHFPKTRQIEYCLILRKVAVFLCTGSNRKLTATAMLQCGKTEPLKIDFSGEAGDLSSWPYLHVHHNRGCLLIVIKERPALEIQHVKKCDAARVIVDRTVEIVYLGEELGVAIRDADVIAATLIAKILPLSSDYAELPVHVVQNRLRNKHLISQLGELIGVWKRKENENETLILLSSTKSPAGLLSATVRFICPIDRRTAHIVDSALVSSVSFEDDRLDLVQSAIVGPQTSKGEPLDYLFLKCDCVVAYARQWGKKVGTPKPVKVHGKYATGIGRQVDVGLPRKSQEPPIPCVQPAGDKCSVHCAFSCVECAYGQRILGVMLSTCHSCQTPSSFSKISFQHAKPKPGALALAQTTPLPVASPRQPPRAMDEQQESAAVSMSPSAEPKPAQTEQESASSTRFTLDHALPATNFYEFISDQFDPLKLGTDARFKEEMKKKLIEMEELLERNKAESDKLKSELTLNADKVKRLEEQVLQKARIEAELASQLELRASMDLKQSRRVKWLPDLTRSGLSSSRLAELEETMKSALAQLYGRADKEDSVWQIIEAIQAEWHAVSEKKAALDQLEDELQRNQATLKDVFSCLKENEATLKKNEDFLMAREREYKDKITGVAEEMENHRERKSKELKDKLMLIEKQLALQHSESDHVEKKVNKVELVHSAERRVLEEEYFLVKKELESKIKSIEQQHELTAGAGRGGQKVKLAELESEMQKCFNESHERLKREKSAEAVADDLQAELEKRLKEARRMEQRMADVKQTAVEMEEEKDRLQRSVKQKQARLEAMRENLESFEQLALKRQQHADKLEKAQSKLRESAEVLQKHLEEKEQRVVRHQKELGRLRQTSDEREQRLAVTDELQRELRNSDAIKNKLREIEENLKKIEKDRNSNSLARSSGHLSQVTQTSEQLKRSLELKEQELRRIRREYAEMQLSHELDRQVDEDKTLTNSQMISKLSGRDSFCSETTFTFGIFPLHLGSFGTHSGPPLIETNRLVDDDVDFNSTDSPSSVDPIYPAELNRINEWNLGEFFAHKKFDEIGNQGLRRERSCILRQILDKAEESVDTVHSPFQFREEHNMIKGYTEVLSEAYFPTEGYLMEYMCVGLSWWDCEPGYKGMGDEMAQLIEPSIYDPTGVAVSSQVTGDDNMKLCSGFDALRIHGNVDLLVNDPKIDRHVYHLQHISDIALVMEGIYFRAMDEPLKDEFKSLLTLLDGGVYYDMVLYLHNEDQFAYHLATIWQCDGNVLVDREERFCATEARKLMEWKNLIKMAYIRETNKMKVVAVEGFAGRGIVKIIEFDERHLQMEKVLQTFPKAWELFEAVYKDRRLASHSCETYLCVLVDLLTEVSLASENRWPGDLLLQELKKLRVKASM
+>sp|A0A1B0GUY1|MRCOL_HUMAN MARCO-like protein OS=Homo sapiens OX=9606 GN=MARCOL PE=2 SV=1
+MRAFIFFLFMLLAMFSASSTQISNTSVFKLEENPKPALILEEKNEANHLGGQRDSNKQGGSYTQGNPGTFRLQGQPGYFNKLEKPRHFKQGRAGVLNQPGILKNSGKSNQKGNPESSNKQENSGSSSQLGRPGISTQQGNPGSSDQQEKPGSFSQKVMVGSSSQQGKPGSSSQHGNLGSSTQKGNLGSSSLQGHLGLSSHQGKPESSGQQGKPGSSSQQGNLGTSGQQEKPGSSSQQGKPGLSSHQGKPGSSSQQGNLHLSSQQGNQGPSSKQRKPGSSSRQGNL
+>DECOY_sp|A0A1B0GUY1|MRCOL_HUMAN MARCO-like protein OS=Homo sapiens OX=9606 GN=MARCOL PE=2 SV=1
+LNGQRSSSGPKRQKSSPGQNGQQSSLHLNGQQSSSGPKGQHSSLGPKGQQSSSGPKEQQGSTGLNGQQSSSGPKGQQGSSEPKGQHSSLGLHGQLSSSGLNGKQTSSGLNGHQSSSGPKGQQSSSGVMVKQSFSGPKEQQDSSGPNGQQTSIGPRGLQSSSGSNEQKNSSEPNGKQNSKGSNKLIGPQNLVGARGQKFHRPKELKNFYGPQGQLRFTGPNGQTYSGGQKNSDRQGGLHNAENKEELILAPKPNEELKFVSTNSIQTSSASFMALLMFLFFIFARM
+>sp|Q96LU7|MRFL_HUMAN Myelin regulatory factor-like protein OS=Homo sapiens OX=9606 GN=MYRFL PE=2 SV=2
+MDVVGENEALQQFFEAQGANGTLENPALDTSLLEEFLGNDFDLGALQRQLPDTPPYSASDSCSPPQVKGACYPTLRPTAGRTPAPFLHPTAAPAMPPMHPLQSTSGMGDSCQIHGGFHSCHSNASHLATPLDQSVSSHLGIGCSYPQQPLCHSPGASLPPTKKRKCTQALEDSGECRVWACHCRPMTSRSRSSEVQDPDSEGQNRMPTDQCSPALKWQPCHSVPWHSLLNSHYEKLPDVGYRVVTDKGFNFSPADEAFVCQKKNHFQITIHIQVWGSPKFVETEMGLKPIEMFYLKVFGTKVEATNQIIAIEQSQADRSKKIFNPVKIDLLADQVTKVTLGRLHFSETTANNMRKKGKPNPDQRYFMLVVGLYAANQDQFYLLSAHISERIIVRASNPGQFENDSDALWQRGQVPESIVCHGRVGINTDAPDEALVVCGNMKVMGTIMHPSDSRAKQNIQEVDTNEQLKRIAQMRIVEYDYKPEFASAMGINTAHQTGMIAQEVQEILPRAVREVGDVTCGNGETLENFLMVDKDQIFMENVGAVKQLCKLTNNLEERIEELEIWNRKLARLKRLSSWKSSASEASTISKSSRAVSASSPRRAVHKKNNKVYFSGKRQACPNWVFQTLVITLIAVMAFCALTIVALYILSLKDQDRRVPNLPPSNITSSQEPALLPTASSSAPNTSLVTTPASLQVPEITFCEILPCQETYCCPIRGMKEVSSSPVQRQSEEKEFHQRRWSEDKSKSVLARNALSGPDWESDWIDTTISSIQIMEIQQIIDHQYCIQSLQCGSGNYNYNIPVNKHTPTNVKFSLEINTTEPLIVFQCKFTLGNICFHSKRGTKGLESHREISQEMTQGYQHIWSLPVAPFSDSMFHFRVAAPDLADCSTDPYFAGIFFTDYFFYFYRRCA
+>DECOY_sp|Q96LU7|MRFL_HUMAN Myelin regulatory factor-like protein OS=Homo sapiens OX=9606 GN=MYRFL PE=2 SV=2
+ACRRYFYFFYDTFFIGAFYPDTSCDALDPAAVRFHFMSDSFPAVPLSWIHQYGQTMEQSIERHSELGKTGRKSHFCINGLTFKCQFVILPETTNIELSFKVNTPTHKNVPINYNYNGSGCQLSQICYQHDIIQQIEMIQISSITTDIWDSEWDPGSLANRALVSKSKDESWRRQHFEKEESQRQVPSSSVEKMGRIPCCYTEQCPLIECFTIEPVQLSAPTTVLSTNPASSSATPLLAPEQSSTINSPPLNPVRRDQDKLSLIYLAVITLACFAMVAILTIVLTQFVWNPCAQRKGSFYVKNNKKHVARRPSSASVARSSKSITSAESASSKWSSLRKLRALKRNWIELEEIREELNNTLKCLQKVAGVNEMFIQDKDVMLFNELTEGNGCTVDGVERVARPLIEQVEQAIMGTQHATNIGMASAFEPKYDYEVIRMQAIRKLQENTDVEQINQKARSDSPHMITGMVKMNGCVVLAEDPADTNIGVRGHCVISEPVQGRQWLADSDNEFQGPNSARVIIRESIHASLLYFQDQNAAYLGVVLMFYRQDPNPKGKKRMNNATTESFHLRGLTVKTVQDALLDIKVPNFIKKSRDAQSQEIAIIQNTAEVKTGFVKLYFMEIPKLGMETEVFKPSGWVQIHITIQFHNKKQCVFAEDAPSFNFGKDTVVRYGVDPLKEYHSNLLSHWPVSHCPQWKLAPSCQDTPMRNQGESDPDQVESSRSRSTMPRCHCAWVRCEGSDELAQTCKRKKTPPLSAGPSHCLPQQPYSCGIGLHSSVSQDLPTALHSANSHCSHFGGHIQCSDGMGSTSQLPHMPPMAPAATPHLFPAPTRGATPRLTPYCAGKVQPPSCSDSASYPPTDPLQRQLAGLDFDNGLFEELLSTDLAPNELTGNAGQAEFFQQLAENEGVVDM
+>sp|Q96LA9|MRGX4_HUMAN Mas-related G-protein coupled receptor member X4 OS=Homo sapiens OX=9606 GN=MRGPRX4 PE=2 SV=2
+MDPTVPVFGTKLTPINGREETPCYNQTLSFTVLTCIISLVGLTGNAVVLWLLGYRMRRNAVSIYILNLAAADFLFLSFQIIRLPLRLINISHLIRKILVSVMTFPYFTGLSMLSAISTERCLSVLWPIWYRCRRPTHLSAVVCVLLWGLSLLFSMLEWRFCDFLFSGADSSWCETSDFIPVAWLIFLCVVLCVSSLVLLVRILCGSRKMPLTRLYVTILLTVLVFLLCGLPFGILGALIYRMHLNLEVLYCHVYLVCMSLSSLNSSANPIIYFFVGSFRQRQNRQNLKLVLQRALQDKPEVDKGEGQLPEESLELSGSRLGP
+>DECOY_sp|Q96LA9|MRGX4_HUMAN Mas-related G-protein coupled receptor member X4 OS=Homo sapiens OX=9606 GN=MRGPRX4 PE=2 SV=2
+PGLRSGSLELSEEPLQGEGKDVEPKDQLARQLVLKLNQRNQRQRFSGVFFYIIPNASSNLSSLSMCVLYVHCYLVELNLHMRYILAGLIGFPLGCLLFVLVTLLITVYLRTLPMKRSGCLIRVLLVLSSVCLVVCLFILWAVPIFDSTECWSSDAGSFLFDCFRWELMSFLLSLGWLLVCVVASLHTPRRCRYWIPWLVSLCRETSIASLMSLGTFYPFTMVSVLIKRILHSINILRLPLRIIQFSLFLFDAAALNLIYISVANRRMRYGLLWLVVANGTLGVLSIICTLVTFSLTQNYCPTEERGNIPTLKTGFVPVTPDM
+>sp|Q8NDA8|MROH1_HUMAN Maestro heat-like repeat-containing protein family member 1 OS=Homo sapiens OX=9606 GN=MROH1 PE=2 SV=3
+MTESSMKKLASTLLDAITDKDPLVQEQVCSALCSLGEARPVETLRACEEYLRQHDKLAHPYRAAVLRAMERVLSSRASELDKDTASTIILLASSEMTKTKDLVWDWQQAASGVLVAVGRQFISKVMEELLRRLHPGTLPHCAVLHTLASLSVANAFGVVPFLPSVLSSLLPVLGVAKQDTVRVAFCSALQRFSEGALEYLANLDRAPDPTVRKDAFATDIFSAYDVLFHQWLQSREAKLRLAVVEALGPMSHLLPSERLEEQLPKLLPGILALYKKHAETFYLSKSLGQILEAAVSVGSRTLETQLDALLAALHSQICVPVESSSPLVMSNQKEVLRCFTVLACSSPDRLLAFLLPRLDTSNERTRVGTLQVVRHVINSAAAQMEDKKPFILSSMRLPLLDTNSKVKRAVVQVISAMAHHGYLEQPGGEAMIEYIVQQCALPPEQEPEKPGPGSKDPKADSVRAISVRTLYLVSTTVDRMSHVLWPYLLQFLTPVRFTGALTPLCRSLVHLAQKRQEAGADAFLIQYDAHASLPSPYAVTGRLLVVSSSPYLGDGRGAAALRLLSVLHPNIHPLLGQHWETTVPLLLGYLDEHTEETLPQEEWEEKLLMFLRDTLAIISDNAWICQLSLELCRQLPCYDEAPQEKNFLYKCIGTTLGAASSKEVVRKHLQELLETARYQEEAEREGLACCFGICAISHLEDTLAQLEDFVRSEVFRKSIGILNIFKDRSENEVEKVKSALILCYGHVAARAPRELVLAKVESDILRNICQHFSTKVLGIKVETKDPALKLCLVQSVCMVSRAICSSTQAGSFHFTRKAELVAQMMEFIRAEPPDSLRTPIRKKAMLTCTYLVSVEPALDEQARADVIHGCLHSIMALLPEPKEEDGGCQKSLYLETLHALEDLLTSLLQRNMTPQGLQIMIEHLSPWIKSPRGHERARALGLSALLLRYFLEHLRVSALVPFHNLGLLIGLFSPRCADLWPATRQEAVDCVYSLLYLQLGYEGFSRDYRDDVAERLLSLKDGLVHPDPAILFHTCHSVGQIIAKRLPPDQLISLLLTMFEALGDPEKNCSRAATVMINCLLQERGGVLQEKVPEIVSVLRSKLQEAQGEHVLPAAQHSVYLLATQHCAAVVSSLLGSPLPLDSHTCMLWRALAVEPRLAAQVLGLLLEKMSRDVPFKESRAFLLGRTPDRVATLLPLSATCALFEVMSTPAAGPAVLELYPQLFVVLLLRVSCTVGVQLPRNLQAQERRGASPALATRNLEPCSSAVDTLRSMLLRSGSEDVVQRMDLEGGWELLRTSAGHEEGATRLARAMAEHAGPRLPLVLKTLACTHSSAYENQRVTTTAFLAELLNSNVANDLMLLDSLLESLAARQKDTCASVRRLVLRGLANLASGCPDKVRTHGPQLLTAMIGGLDDGDNPHSPVALEAMLGLARLVHLVESWDLRSGLLHVAIRIRPFFDSEKMEFRTASIRLFGHLNKVCHGDCEDVFLDQVVGGLAPLLLHLQDPQATVASACRFALRMCGPNLACEELSAAFQKHLQEGRALHFGEFLNTTCKHLMHHFPDLLGRLLTTCLFYFKSSWENVRAAAPLFTGFLVLHSEPRQQPQVDLDQLIAALQILLKDPAPEVRTRAAEALGRLVKLA
+>DECOY_sp|Q8NDA8|MROH1_HUMAN Maestro heat-like repeat-containing protein family member 1 OS=Homo sapiens OX=9606 GN=MROH1 PE=2 SV=3
+ALKVLRGLAEAARTRVEPAPDKLLIQLAAILQDLDVQPQQRPESHLVLFGTFLPAAARVNEWSSKFYFLCTTLLRGLLDPFHHMLHKCTTNLFEGFHLARGEQLHKQFAASLEECALNPGCMRLAFRCASAVTAQPDQLHLLLPALGGVVQDLFVDECDGHCVKNLHGFLRISATRFEMKESDFFPRIRIAVHLLGSRLDWSEVLHVLRALGLMAELAVPSHPNDGDDLGGIMATLLQPGHTRVKDPCGSALNALGRLVLRRVSACTDKQRAALSELLSDLLMLDNAVNSNLLEALFATTTVRQNEYASSHTCALTKLVLPLRPGAHEAMARALRTAGEEHGASTRLLEWGGELDMRQVVDESGSRLLMSRLTDVASSCPELNRTALAPSAGRREQAQLNRPLQVGVTCSVRLLLVVFLQPYLELVAPGAAPTSMVEFLACTASLPLLTAVRDPTRGLLFARSEKFPVDRSMKELLLGLVQAALRPEVALARWLMCTHSDLPLPSGLLSSVVAACHQTALLYVSHQAAPLVHEGQAEQLKSRLVSVIEPVKEQLVGGREQLLCNIMVTAARSCNKEPDGLAEFMTLLLSILQDPPLRKAIIQGVSHCTHFLIAPDPHVLGDKLSLLREAVDDRYDRSFGEYGLQLYLLSYVCDVAEQRTAPWLDACRPSFLGILLGLNHFPVLASVRLHELFYRLLLASLGLARAREHGRPSKIWPSLHEIMIQLGQPTMNRQLLSTLLDELAHLTELYLSKQCGGDEEKPEPLLAMISHLCGHIVDARAQEDLAPEVSVLYTCTLMAKKRIPTRLSDPPEARIFEMMQAVLEAKRTFHFSGAQTSSCIARSVMCVSQVLCLKLAPDKTEVKIGLVKTSFHQCINRLIDSEVKALVLERPARAAVHGYCLILASKVKEVENESRDKFINLIGISKRFVESRVFDELQALTDELHSIACIGFCCALGEREAEEQYRATELLEQLHKRVVEKSSAAGLTTGICKYLFNKEQPAEDYCPLQRCLELSLQCIWANDSIIALTDRLFMLLKEEWEEQPLTEETHEDLYGLLLPVTTEWHQGLLPHINPHLVSLLRLAAAGRGDGLYPSSSVVLLRGTVAYPSPLSAHADYQILFADAGAEQRKQALHVLSRCLPTLAGTFRVPTLFQLLYPWLVHSMRDVTTSVLYLTRVSIARVSDAKPDKSGPGPKEPEQEPPLACQQVIYEIMAEGGPQELYGHHAMASIVQVVARKVKSNTDLLPLRMSSLIFPKKDEMQAAASNIVHRVVQLTGVRTRENSTDLRPLLFALLRDPSSCALVTFCRLVEKQNSMVLPSSSEVPVCIQSHLAALLADLQTELTRSGVSVAAELIQGLSKSLYFTEAHKKYLALIGPLLKPLQEELRESPLLHSMPGLAEVVALRLKAERSQLWQHFLVDYASFIDTAFADKRVTPDPARDLNALYELAGESFRQLASCFAVRVTDQKAVGLVPLLSSLVSPLFPVVGFANAVSLSALTHLVACHPLTGPHLRRLLEEMVKSIFQRGVAVLVGSAAQQWDWVLDKTKTMESSALLIITSATDKDLESARSSLVREMARLVAARYPHALKDHQRLYEECARLTEVPRAEGLSCLASCVQEQVLPDKDTIADLLTSALKKMSSETM
+>sp|Q5TGP6|MROH9_HUMAN Maestro heat-like repeat-containing protein family member 9 OS=Homo sapiens OX=9606 GN=MROH9 PE=2 SV=1
+MLTRNPKTKSSLQILQDSVKWHHMAHKVNSLLDAYSGLLSNESMILAVNSSFVDPLLQFESQLKIIESSFGMLVVMPSLDKVKEMGSSYEYIEDMENLYHNILNIYENILTSLVSKDLYKLQILKEMLVWMSKDSSYLQERIMVIINKVLRFTVTKVRKYISVDAPCLGLLAAELSLLCSHEDPSIVKQASLGMCHLLYIARCQNDIGTNKPTNGKSHSLQFPSSDVEFLPKEFQQDESKIAQRVGQTLLPPLLTDFVQSLLMKLSSPDDKIASDAASILIFTLEFHAEKVTMVSKIVDAIYRQLCDNNCMKDVMLQVITLLTCTSPKKVIFQLMDYPVPADDTLIQMWKAACSQASVAPHVLKTILLILKGKPGEMEDTVTEGKRFSLDITNLMPLAACQALCTFLPLGSYRKAVAQYFPQLLTTLMFQVFYNSELKPILKDRALYAQDALRVLLNCSGLQQVDITLMKENFWDQLSEDLCYYHGVCFIAKTLSEYNFPQFPETLSYLYKLSVEGPRRSEDTVIVLIFLTEVSFVDCEQLCSHFLFLPKFKSKFQFLVSLPLNVGSYQDLRS
+>DECOY_sp|Q5TGP6|MROH9_HUMAN Maestro heat-like repeat-containing protein family member 9 OS=Homo sapiens OX=9606 GN=MROH9 PE=2 SV=1
+SRLDQYSGVNLPLSVLFQFKSKFKPLFLFHSCLQECDVFSVETLFILVIVTDESRRPGEVSLKYLYSLTEPFQPFNYESLTKAIFCVGHYYCLDESLQDWFNEKMLTIDVQQLGSCNLLVRLADQAYLARDKLIPKLESNYFVQFMLTTLLQPFYQAVAKRYSGLPLFTCLAQCAALPMLNTIDLSFRKGETVTDEMEGPKGKLILLITKLVHPAVSAQSCAAKWMQILTDDAPVPYDMLQFIVKKPSTCTLLTIVQLMVDKMCNNDCLQRYIADVIKSVMTVKEAHFELTFILISAADSAIKDDPSSLKMLLSQVFDTLLPPLLTQGVRQAIKSEDQQFEKPLFEVDSSPFQLSHSKGNTPKNTGIDNQCRAIYLLHCMGLSAQKVISPDEHSCLLSLEAALLGLCPADVSIYKRVKTVTFRLVKNIIVMIREQLYSSDKSMWVLMEKLIQLKYLDKSVLSTLINEYINLINHYLNEMDEIYEYSSGMEKVKDLSPMVVLMGFSSEIIKLQSEFQLLPDVFSSNVALIMSENSLLGSYADLLSNVKHAMHHWKVSDQLIQLSSKTKPNRTLM
+>sp|P33527|MRP1_HUMAN Multidrug resistance-associated protein 1 OS=Homo sapiens OX=9606 GN=ABCC1 PE=1 SV=3
+MALRGFCSADGSDPLWDWNVTWNTSNPDFTKCFQNTVLVWVPCFYLWACFPFYFLYLSRHDRGYIQMTPLNKTKTALGFLLWIVCWADLFYSFWERSRGIFLAPVFLVSPTLLGITMLLATFLIQLERRKGVQSSGIMLTFWLVALVCALAILRSKIMTALKEDAQVDLFRDITFYVYFSLLLIQLVLSCFSDRSPLFSETIHDPNPCPESSASFLSRITFWWITGLIVRGYRQPLEGSDLWSLNKEDTSEQVVPVLVKNWKKECAKTRKQPVKVVYSSKDPAQPKESSKVDANEEVEALIVKSPQKEWNPSLFKVLYKTFGPYFLMSFFFKAIHDLMMFSGPQILKLLIKFVNDTKAPDWQGYFYTVLLFVTACLQTLVLHQYFHICFVSGMRIKTAVIGAVYRKALVITNSARKSSTVGEIVNLMSVDAQRFMDLATYINMIWSAPLQVILALYLLWLNLGPSVLAGVAVMVLMVPVNAVMAMKTKTYQVAHMKSKDNRIKLMNEILNGIKVLKLYAWELAFKDKVLAIRQEELKVLKKSAYLSAVGTFTWVCTPFLVALCTFAVYVTIDENNILDAQTAFVSLALFNILRFPLNILPMVISSIVQASVSLKRLRIFLSHEELEPDSIERRPVKDGGGTNSITVRNATFTWARSDPPTLNGITFSIPEGALVAVVGQVGCGKSSLLSALLAEMDKVEGHVAIKGSVAYVPQQAWIQNDSLRENILFGCQLEEPYYRSVIQACALLPDLEILPSGDRTEIGEKGVNLSGGQKQRVSLARAVYSNADIYLFDDPLSAVDAHVGKHIFENVIGPKGMLKNKTRILVTHSMSYLPQVDVIIVMSGGKISEMGSYQELLARDGAFAEFLRTYASTEQEQDAEENGVTGVSGPGKEAKQMENGMLVTDSAGKQLQRQLSSSSSYSGDISRHHNSTAELQKAEAKKEETWKLMEADKAQTGQVKLSVYWDYMKAIGLFISFLSIFLFMCNHVSALASNYWLSLWTDDPIVNGTQEHTKVRLSVYGALGISQGIAVFGYSMAVSIGGILASRCLHVDLLHSILRSPMSFFERTPSGNLVNRFSKELDTVDSMIPEVIKMFMGSLFNVIGACIVILLATPIAAIIIPPLGLIYFFVQRFYVASSRQLKRLESVSRSPVYSHFNETLLGVSVIRAFEEQERFIHQSDLKVDENQKAYYPSIVANRWLAVRLECVGNCIVLFAALFAVISRHSLSAGLVGLSVSYSLQVTTYLNWLVRMSSEMETNIVAVERLKEYSETEKEAPWQIQETAPPSSWPQVGRVEFRNYCLRYREDLDFVLRHINVTINGGEKVGIVGRTGAGKSSLTLGLFRINESAEGEIIIDGINIAKIGLHDLRFKITIIPQDPVLFSGSLRMNLDPFSQYSDEEVWTSLELAHLKDFVSALPDKLDHECAEGGENLSVGQRQLVCLARALLRKTKILVLDEATAAVDLETDDLIQSTIRTQFEDCTVLTIAHRLNTIMDYTRVIVLDKGEIQEYGAPSDLLQQRGLFYSMAKDAGLV
+>DECOY_sp|P33527|MRP1_HUMAN Multidrug resistance-associated protein 1 OS=Homo sapiens OX=9606 GN=ABCC1 PE=1 SV=3
+VLGADKAMSYFLGRQQLLDSPAGYEQIEGKDLVIVRTYDMITNLRHAITLVTCDEFQTRITSQILDDTELDVAATAEDLVLIKTKRLLARALCVLQRQGVSLNEGGEACEHDLKDPLASVFDKLHALELSTWVEEDSYQSFPDLNMRLSGSFLVPDQPIITIKFRLDHLGIKAINIGDIIIEGEASENIRFLGLTLSSKGAGTRGVIGVKEGGNITVNIHRLVFDLDERYRLCYNRFEVRGVQPWSSPPATEQIQWPAEKETESYEKLREVAVINTEMESSMRVLWNLYTTVQLSYSVSLGVLGASLSHRSIVAFLAAFLVICNGVCELRVALWRNAVISPYYAKQNEDVKLDSQHIFREQEEFARIVSVGLLTENFHSYVPSRSVSELRKLQRSSAVYFRQVFFYILGLPPIIIAAIPTALLIVICAGIVNFLSGMFMKIVEPIMSDVTDLEKSFRNVLNGSPTREFFSMPSRLISHLLDVHLCRSALIGGISVAMSYGFVAIGQSIGLAGYVSLRVKTHEQTGNVIPDDTWLSLWYNSALASVHNCMFLFISLFSIFLGIAKMYDWYVSLKVQGTQAKDAEMLKWTEEKKAEAKQLEATSNHHRSIDGSYSSSSSLQRQLQKGASDTVLMGNEMQKAEKGPGSVGTVGNEEADQEQETSAYTRLFEAFAGDRALLEQYSGMESIKGGSMVIIVDVQPLYSMSHTVLIRTKNKLMGKPGIVNEFIHKGVHADVASLPDDFLYIDANSYVARALSVRQKQGGSLNVGKEGIETRDGSPLIELDPLLACAQIVSRYYPEELQCGFLINERLSDNQIWAQQPVYAVSGKIAVHGEVKDMEALLASLLSSKGCGVQGVVAVLAGEPISFTIGNLTPPDSRAWTFTANRVTISNTGGGDKVPRREISDPELEEHSLFIRLRKLSVSAQVISSIVMPLINLPFRLINFLALSVFATQADLINNEDITVYVAFTCLAVLFPTCVWTFTGVASLYASKKLVKLEEQRIALVKDKFALEWAYLKLVKIGNLIENMLKIRNDKSKMHAVQYTKTKMAMVANVPVMLVMVAVGALVSPGLNLWLLYLALIVQLPASWIMNIYTALDMFRQADVSMLNVIEGVTSSKRASNTIVLAKRYVAGIVATKIRMGSVFCIHFYQHLVLTQLCATVFLLVTYFYGQWDPAKTDNVFKILLKLIQPGSFMMLDHIAKFFFSMLFYPGFTKYLVKFLSPNWEKQPSKVILAEVEENADVKSSEKPQAPDKSSYVVKVPQKRTKACEKKWNKVLVPVVQESTDEKNLSWLDSGELPQRYGRVILGTIWWFTIRSLFSASSEPCPNPDHITESFLPSRDSFCSLVLQILLLSFYVYFTIDRFLDVQADEKLATMIKSRLIALACVLAVLWFTLMIGSSQVGKRRELQILFTALLMTIGLLTPSVLFVPALFIGRSREWFSYFLDAWCVIWLLFGLATKTKNLPTMQIYGRDHRSLYLFYFPFCAWLYFCPVWVLVTNQFCKTFDPNSTNWTVNWDWLPDSGDASCFGRLAM
+>sp|O15438|MRP3_HUMAN Canalicular multispecific organic anion transporter 2 OS=Homo sapiens OX=9606 GN=ABCC3 PE=1 SV=3
+MDALCGSGELGSKFWDSNLSVHTENPDLTPCFQNSLLAWVPCIYLWVALPCYLLYLRHHCRGYIILSHLSKLKMVLGVLLWCVSWADLFYSFHGLVHGRAPAPVFFVTPLVVGVTMLLATLLIQYERLQGVQSSGVLIIFWFLCVVCAIVPFRSKILLAKAEGEISDPFRFTTFYIHFALVLSALILACFREKPPFFSAKNVDPNPYPETSAGFLSRLFFWWFTKMAIYGYRHPLEEKDLWSLKEEDRSQMVVQQLLEAWRKQEKQTARHKASAAPGKNASGEDEVLLGARPRPRKPSFLKALLATFGSSFLISACFKLIQDLLSFINPQLLSILIRFISNPMAPSWWGFLVAGLMFLCSMMQSLILQHYYHYIFVTGVKFRTGIMGVIYRKALVITNSVKRASTVGEIVNLMSVDAQRFMDLAPFLNLLWSAPLQIILAIYFLWQNLGPSVLAGVAFMVLLIPLNGAVAVKMRAFQVKQMKLKDSRIKLMSEILNGIKVLKLYAWEPSFLKQVEGIRQGELQLLRTAAYLHTTTTFTWMCSPFLVTLITLWVYVYVDPNNVLDAEKAFVSVSLFNILRLPLNMLPQLISNLTQASVSLKRIQQFLSQEELDPQSVERKTISPGYAITIHSGTFTWAQDLPPTLHSLDIQVPKGALVAVVGPVGCGKSSLVSALLGEMEKLEGKVHMKGSVAYVPQQAWIQNCTLQENVLFGKALNPKRYQQTLEACALLADLEMLPGGDQTEIGEKGINLSGGQRQRVSLARAVYSDADIFLLDDPLSAVDSHVAKHIFDHVIGPEGVLAGKTRVLVTHGISFLPQTDFIIVLADGQVSEMGPYPALLQRNGSFANFLCNYAPDEDQGHLEDSWTALEGAEDKEALLIEDTLSNHTDLTDNDPVTYVVQKQFMRQLSALSSDGEGQGRPVPRRHLGPSEKVQVTEAKADGALTQEEKAAIGTVELSVFWDYAKAVGLCTTLAICLLYVGQSAAAIGANVWLSAWTNDAMADSRQNNTSLRLGVYAALGILQGFLVMLAAMAMAAGGIQAARVLHQALLHNKIRSPQSFFDTTPSGRILNCFSKDIYVVDEVLAPVILMLLNSFFNAISTLVVIMASTPLFTVVILPLAVLYTLVQRFYAATSRQLKRLESVSRSPIYSHFSETVTGASVIRAYNRSRDFEIISDTKVDANQRSCYPYIISNRWLSIGVEFVGNCVVLFAALFAVIGRSSLNPGLVGLSVSYSLQVTFALNWMIRMMSDLESNIVAVERVKEYSKTETEAPWVVEGSRPPEGWPPRGEVEFRNYSVRYRPGLDLVLRDLSLHVHGGEKVGIVGRTGAGKSSMTLCLFRILEAAKGEIRIDGLNVADIGLHDLRSQLTIIPQDPILFSGTLRMNLDPFGSYSEEDIWWALELSHLHTFVSSQPAGLDFQCSEGGENLSVGQRQLVCLARALLRKSRILVLDEATAAIDLETDNLIQATIRTQFDTCTVLTIAHRLNTIMDYTRVLVLDKGVVAEFDSPANLIAARGIFYGMARDAGLA
+>DECOY_sp|O15438|MRP3_HUMAN Canalicular multispecific organic anion transporter 2 OS=Homo sapiens OX=9606 GN=ABCC3 PE=1 SV=3
+ALGADRAMGYFIGRAAILNAPSDFEAVVGKDLVLVRTYDMITNLRHAITLVTCTDFQTRITAQILNDTELDIAATAEDLVLIRSKRLLARALCVLQRQGVSLNEGGESCQFDLGAPQSSVFTHLHSLELAWWIDEESYSGFPDLNMRLTGSFLIPDQPIITLQSRLDHLGIDAVNLGDIRIEGKAAELIRFLCLTMSSKGAGTRGVIGVKEGGHVHLSLDRLVLDLGPRYRVSYNRFEVEGRPPWGEPPRSGEVVWPAETETKSYEKVREVAVINSELDSMMRIMWNLAFTVQLSYSVSLGVLGPNLSSRGIVAFLAAFLVVCNGVFEVGISLWRNSIIYPYCSRQNADVKTDSIIEFDRSRNYARIVSAGTVTESFHSYIPSRSVSELRKLQRSTAAYFRQVLTYLVALPLIVVTFLPTSAMIVVLTSIANFFSNLLMLIVPALVEDVVYIDKSFCNLIRGSPTTDFFSQPSRIKNHLLAQHLVRAAQIGGAAMAMAALMVLFGQLIGLAAYVGLRLSTNNQRSDAMADNTWASLWVNAGIAAASQGVYLLCIALTTCLGVAKAYDWFVSLEVTGIAAKEEQTLAGDAKAETVQVKESPGLHRRPVPRGQGEGDSSLASLQRMFQKQVVYTVPDNDTLDTHNSLTDEILLAEKDEAGELATWSDELHGQDEDPAYNCLFNAFSGNRQLLAPYPGMESVQGDALVIIFDTQPLFSIGHTVLVRTKGALVGEPGIVHDFIHKAVHSDVASLPDDLLFIDADSYVARALSVRQRQGGSLNIGKEGIETQDGGPLMELDALLACAELTQQYRKPNLAKGFLVNEQLTCNQIWAQQPVYAVSGKMHVKGELKEMEGLLASVLSSKGCGVPGVVAVLAGKPVQIDLSHLTPPLDQAWTFTGSHITIAYGPSITKREVSQPDLEEQSLFQQIRKLSVSAQTLNSILQPLMNLPLRLINFLSVSVFAKEADLVNNPDVYVYVWLTILTVLFPSCMWTFTTTTHLYAATRLLQLEGQRIGEVQKLFSPEWAYLKLVKIGNLIESMLKIRSDKLKMQKVQFARMKVAVAGNLPILLVMFAVGALVSPGLNQWLFYIALIIQLPASWLLNLFPALDMFRQADVSMLNVIEGVTSARKVSNTIVLAKRYIVGMIGTRFKVGTVFIYHYYHQLILSQMMSCLFMLGAVLFGWWSPAMPNSIFRILISLLQPNIFSLLDQILKFCASILFSSGFTALLAKLFSPKRPRPRAGLLVEDEGSANKGPAASAKHRATQKEQKRWAELLQQVVMQSRDEEKLSWLDKEELPHRYGYIAMKTFWWFFLRSLFGASTEPYPNPDVNKASFFPPKERFCALILASLVLAFHIYFTTFRFPDSIEGEAKALLIKSRFPVIACVVCLFWFIILVGSSQVGQLREYQILLTALLMTVGVVLPTVFFVPAPARGHVLGHFSYFLDAWSVCWLLVGLVMKLKSLHSLIIYGRCHHRLYLLYCPLAVWLYICPVWALLSNQFCPTLDPNETHVSLNSDWFKSGLEGSGCLADM
+>sp|O15091|MRPP3_HUMAN Mitochondrial ribonuclease P catalytic subunit OS=Homo sapiens OX=9606 GN=KIAA0391 PE=1 SV=2
+MTFYLFGIRSFPKLWKSPYLGLGPGHSYVSLFLADRCGIRNQQRLFSLKTMSPQNTKATNLIAKARYLRKDEGSNKQVYSVPHFFLAGAAKERSQMNSQTEDHALAPVRNTIQLPTQPLNSEEWDKLKEDLKENTGKTSFESWIISQMAGCHSSIDVAKSLLAWVAAKNNGIVSYDLLVKYLYLCVFHMQTSEVIDVFEIMKARYKTLEPRGYSLLIRGLIHSDRWREALLLLEDIKKVITPSKKNYNDCIQGALLHQDVNTAWNLYQELLGHDIVPMLETLKAFFDFGKDIKDDNYSNKLLDILSYLRNNQLYPGESFAHSIKTWFESVPGKQWKGQFTTVRKSGQCSGCGKTIESIQLSPEEYECLKGKIMRDVIDGGDQYRKTTPQELKRFENFIKSRPPFDVVIDGLNVAKMFPKVRESQLLLNVVSQLAKRNLRLLVLGRKHMLRRSSQWSRDEMEEVQKQASCFFADDISEDDPFLLYATLHSGNHCRFITRDLMRDHKACLPDAKTQRLFFKWQQGHQLAIVNRFPGSKLTFQRILSYDTVVQTTGDSWHIPYDEDLVERCSCEVPTKWLCLHQKT
+>DECOY_sp|O15091|MRPP3_HUMAN Mitochondrial ribonuclease P catalytic subunit OS=Homo sapiens OX=9606 GN=KIAA0391 PE=1 SV=2
+TKQHLCLWKTPVECSCREVLDEDYPIHWSDGTTQVVTDYSLIRQFTLKSGPFRNVIALQHGQQWKFFLRQTKADPLCAKHDRMLDRTIFRCHNGSHLTAYLLFPDDESIDDAFFCSAQKQVEEMEDRSWQSSRRLMHKRGLVLLRLNRKALQSVVNLLLQSERVKPFMKAVNLGDIVVDFPPRSKIFNEFRKLEQPTTKRYQDGGDIVDRMIKGKLCEYEEPSLQISEITKGCGSCQGSKRVTTFQGKWQKGPVSEFWTKISHAFSEGPYLQNNRLYSLIDLLKNSYNDDKIDKGFDFFAKLTELMPVIDHGLLEQYLNWATNVDQHLLAGQICDNYNKKSPTIVKKIDELLLLAERWRDSHILGRILLSYGRPELTKYRAKMIEFVDIVESTQMHFVCLYLYKVLLDYSVIGNNKAAVWALLSKAVDISSHCGAMQSIIWSEFSTKGTNEKLDEKLKDWEESNLPQTPLQITNRVPALAHDETQSNMQSREKAAGALFFHPVSYVQKNSGEDKRLYRAKAILNTAKTNQPSMTKLSFLRQQNRIGCRDALFLSVYSHGPGLGLYPSKWLKPFSRIGFLYFTM
+>sp|Q6ZTZ1|MSD1_HUMAN Myb/SANT-like DNA-binding domain-containing protein 1 OS=Homo sapiens OX=9606 GN=MSANTD1 PE=2 SV=2
+MVRGAGPGPSLSALSHPTGASGMAAAEGPGYLVSPQAEKHRRARNWTDAEMRGLMLVWEEFFDELKQTKRNAKVYEKMASKLFEMTGERRLGEEIKIKITNMTFQYRKLKCMTDSESAPPDWPYYLAIDGILAKVPESCDGKLPDSQPPGPSTSQTEASLSPPAKSTPLYFPYNQCSYEGRFEDDRSDSSSSLLSLKFRSEERPVKKRKVQSCHLQKKQLRLLEAMVEEQRRLSRAVEETCREVRRVLDQQHILQVQSLQLQERMMSLLERIITKSSV
+>DECOY_sp|Q6ZTZ1|MSD1_HUMAN Myb/SANT-like DNA-binding domain-containing protein 1 OS=Homo sapiens OX=9606 GN=MSANTD1 PE=2 SV=2
+VSSKTIIRELLSMMREQLQLSQVQLIHQQDLVRRVERCTEEVARSLRRQEEVMAELLRLQKKQLHCSQVKRKKVPREESRFKLSLLSSSSDSRDDEFRGEYSCQNYPFYLPTSKAPPSLSAETQSTSPGPPQSDPLKGDCSEPVKALIGDIALYYPWDPPASESDTMCKLKRYQFTMNTIKIKIEEGLRREGTMEFLKSAMKEYVKANRKTQKLEDFFEEWVLMLGRMEADTWNRARRHKEAQPSVLYGPGEAAAMGSAGTPHSLASLSPGPGAGRVM
+>sp|Q8NCY6|MSD4_HUMAN Myb/SANT-like DNA-binding domain-containing protein 4 OS=Homo sapiens OX=9606 GN=MSANTD4 PE=1 SV=1
+MKQLKRKRKSNFSVQETQTLLKEITKRKEVIFSKQLNTTINVMKRMAWEEIAQCVNAVGEGEQRTGTEVKRRYLDWRALMKRKRMKANIKLVGSGFPLPSSDLDDSLTEEIDEKIGFRNDANFDWQNVADFRDAGGSLTEVKVEEEERDPQSPEFEIEEEEEMLSSVIPDSRRENELPDFPHIDEFFTLNSTPSRSAYDEPHLLVNIEKQKLELEKRRLDIEAERLQVEKERLQIEKERLRHLDMEHERLQLEKERLQIEREKLRLQIVNSEKPSLENELGQGEKSMLQPQDIETEKLKLERERLQLEKDRLQFLKFESEKLQIEKERLQVEKDRLRIQKEGHLQ
+>DECOY_sp|Q8NCY6|MSD4_HUMAN Myb/SANT-like DNA-binding domain-containing protein 4 OS=Homo sapiens OX=9606 GN=MSANTD4 PE=1 SV=1
+QLHGEKQIRLRDKEVQLREKEIQLKESEFKLFQLRDKELQLRERELKLKETEIDQPQLMSKEGQGLENELSPKESNVIQLRLKEREIQLREKELQLREHEMDLHRLREKEIQLREKEVQLREAEIDLRRKELELKQKEINVLLHPEDYASRSPTSNLTFFEDIHPFDPLENERRSDPIVSSLMEEEEEIEFEPSQPDREEEEVKVETLSGGADRFDAVNQWDFNADNRFGIKEDIEETLSDDLDSSPLPFGSGVLKINAKMRKRKMLARWDLYRRKVETGTRQEGEGVANVCQAIEEWAMRKMVNITTNLQKSFIVEKRKTIEKLLTQTEQVSFNSKRKRKLQKM
+>sp|A6NI15|MSGN1_HUMAN Mesogenin-1 OS=Homo sapiens OX=9606 GN=MSGN1 PE=3 SV=1
+MDNLRETFLSLEDGLGSSDSPGLLSSWDWKDRAGPFELNQASPSQSLSPAPSLESYSSSPCPAVAGLPCEHGGASSGGSEGCSVGGASGLVEVDYNMLAFQPTHLQGGGGPKAQKGTKVRMSVQRRRKASEREKLRMRTLADALHTLRNYLPPVYSQRGQPLTKIQTLKYTIKYIGELTDLLNRGREPRAQSA
+>DECOY_sp|A6NI15|MSGN1_HUMAN Mesogenin-1 OS=Homo sapiens OX=9606 GN=MSGN1 PE=3 SV=1
+ASQARPERGRNLLDTLEGIYKITYKLTQIKTLPQGRQSYVPPLYNRLTHLADALTRMRLKERESAKRRRQVSMRVKTGKQAKPGGGGQLHTPQFALMNYDVEVLGSAGGVSCGESGGSSAGGHECPLGAVAPCPSSSYSELSPAPSLSQSPSAQNLEFPGARDKWDWSSLLGPSDSSGLGDELSLFTERLNDM
+>sp|O43196|MSH5_HUMAN MutS protein homolog 5 OS=Homo sapiens OX=9606 GN=MSH5 PE=1 SV=1
+MASLGANPRRTPQGPRPGAASSGFPSPAPVPGPREAEEEEVEEEEELAEIHLCVLWNSGYLGIAYYDTSDSTIHFMPDAPDHESLKLLQRVLDEINPQSVVTSAKQDENMTRFLGKLASQEHREPKRPEIIFLPSVDFGLEISKQRLLSGNYSFIPDAMTATEKILFLSSIIPFDCLLTVRALGGLLKFLGRRRIGVELEDYNVSVPILGFKKFMLTHLVNIDQDTYSVLQIFKSESHPSVYKVASGLKEGLSLFGILNRCHCKWGEKLLRLWFTRPTHDLGELSSRLDVIQFFLLPQNLDMAQMLHRLLGHIKNVPLILKRMKLSHTKVSDWQVLYKTVYSALGLRDACRSLPQSIQLFRDIAQEFSDDLHHIASLIGKVVDFEGSLAENRFTVLPNIDPEIDEKKRRLMGLPSFLTEVARKELENLDSRIPSCSVIYIPLIGFLLSIPRLPSMVEASDFEINGLDFMFLSEEKLHYRSARTKELDALLGDLHCEIRDQETLLMYQLQCQVLARAAVLTRVLDLASRLDVLLALASAARDYGYSRPRYSPQVLGVRIQNGRHPLMELCARTFVPNSTECGGDKGRVKVITGPNSSGKSIYLKQVGLITFMALVGSFVPAEEAEIGAVDAIFTRIHSCESISLGLSTFMIDLNQVAKAVNNATAQSLVLIDEFGKGTNTVDGLALLAAVLRHWLARGPTCPHIFVATNFLSLVQLQLLPQGPLVQYLTMETCEDGNDLVFFYQVCEGVAKASHASHTAAQAGLPDKLVARGKEVSDLIRSGKPIKPVKDLLKKNQMENCQTLVDKFMKLDLEDPNLDLNVFMSQEVLPAATSIL
+>DECOY_sp|O43196|MSH5_HUMAN MutS protein homolog 5 OS=Homo sapiens OX=9606 GN=MSH5 PE=1 SV=1
+LISTAAPLVEQSMFVNLDLNPDELDLKMFKDVLTQCNEMQNKKLLDKVPKIPKGSRILDSVEKGRAVLKDPLGAQAATHSAHSAKAVGECVQYFFVLDNGDECTEMTLYQVLPGQPLLQLQVLSLFNTAVFIHPCTPGRALWHRLVAALLALGDVTNTGKGFEDILVLSQATANNVAKAVQNLDIMFTSLGLSISECSHIRTFIADVAGIEAEEAPVFSGVLAMFTILGVQKLYISKGSSNPGTIVKVRGKDGGCETSNPVFTRACLEMLPHRGNQIRVGLVQPSYRPRSYGYDRAASALALLVDLRSALDLVRTLVAARALVQCQLQYMLLTEQDRIECHLDGLLADLEKTRASRYHLKEESLFMFDLGNIEFDSAEVMSPLRPISLLFGILPIYIVSCSPIRSDLNELEKRAVETLFSPLGMLRRKKEDIEPDINPLVTFRNEALSGEFDVVKGILSAIHHLDDSFEQAIDRFLQISQPLSRCADRLGLASYVTKYLVQWDSVKTHSLKMRKLILPVNKIHGLLRHLMQAMDLNQPLLFFQIVDLRSSLEGLDHTPRTFWLRLLKEGWKCHCRNLIGFLSLGEKLGSAVKYVSPHSESKFIQLVSYTDQDINVLHTLMFKKFGLIPVSVNYDELEVGIRRRGLFKLLGGLARVTLLCDFPIISSLFLIKETATMADPIFSYNGSLLRQKSIELGFDVSPLFIIEPRKPERHEQSALKGLFRTMNEDQKASTVVSQPNIEDLVRQLLKLSEHDPADPMFHITSDSTDYYAIGLYGSNWLVCLHIEALEEEEEVEEEEAERPGPVPAPSPFGSSAAGPRPGQPTRRPNAGLSAM
+>sp|Q96DH6|MSI2H_HUMAN RNA-binding protein Musashi homolog 2 OS=Homo sapiens OX=9606 GN=MSI2 PE=1 SV=1
+MEANGSQGTSGSANDSQHDPGKMFIGGLSWQTSPDSLRDYFSKFGEIRECMVMRDPTTKRSRGFGFVTFADPASVDKVLGQPHHELDSKTIDPKVAFPRRAQPKMVTRTKKIFVGGLSANTVVEDVKQYFEQFGKVEDAMLMFDKTTNRHRGFGFVTFENEDVVEKVCEIHFHEINNKMVECKKAQPKEVMFPPGTRGRARGLPYTMDAFMLGMGMLGYPNFVATYGRGYPGFAPSYGYQFPGFPAAAYGPVAAAAVAAARGSGSNPARPGGFPGANSPGPVADLYGPASQDSGVGNYISAASPQPGSGFGHGIAGPLIATAFTNGYH
+>DECOY_sp|Q96DH6|MSI2H_HUMAN RNA-binding protein Musashi homolog 2 OS=Homo sapiens OX=9606 GN=MSI2 PE=1 SV=1
+HYGNTFATAILPGAIGHGFGSGPQPSAASIYNGVGSDQSAPGYLDAVPGPSNAGPFGGPRAPNSGSGRAAAVAAAAVPGYAAAPFGPFQYGYSPAFGPYGRGYTAVFNPYGLMGMGLMFADMTYPLGRARGRTGPPFMVEKPQAKKCEVMKNNIEHFHIECVKEVVDENEFTVFGFGRHRNTTKDFMLMADEVKGFQEFYQKVDEVVTNASLGGVFIKKTRTVMKPQARRPFAVKPDITKSDLEHHPQGLVKDVSAPDAFTVFGFGRSRKTTPDRMVMCERIEGFKSFYDRLSDPSTQWSLGGIFMKGPDHQSDNASGSTGQSGNAEM
+>sp|Q68DK7|MSL1_HUMAN Male-specific lethal 1 homolog OS=Homo sapiens OX=9606 GN=MSL1 PE=1 SV=3
+MTMRSAVFKAAAAPAGGNPEQRLDYERAAALGGPEDEPGAAEAHFLPRHRKLKEPGPPLASSQGGSPAPSPAGCGGKGRGLLLPAGAAPGQQEESWGGSVPLPCPPPATKQAGIGGEPAAAGAGCSPRPKYQAVLPIQTGSLVAAAKEPTPWAGDKGGAASPAATASDPAGPPPLPLPGPPPLAPTATAGTLAASEGRWKSMRKSPLGGGGGSGASSQAACLKQILLLQLDLIEQQQQQLQAKEKEIEELKSERDTLLARIERMERRMQLVKKDNEKERHKLFQGYETEEREETELSEKIKLECQPELSETSQTLPPKPFSCGRSGKGHKRKSPFGSTERKTPVKKLAPEFSKVKTKTPKHSPIKEEPCGSLSETVCKRELRSQETPEKPRSSVDTPPRLSTPQKGPSTHPKEKAFSSEIEDLPYLSTTEMYLCRWHQPPPSPLPLRESSPKKEETVARCLMPSSVAGETSVLAVPSWRDHSVEPLRDPNPSDLLENLDDSVFSKRHAKLELDEKRRKRWDIQRIREQRILQRLQLRMYKKKGIQESEPEVTSFFPEPDDVESLMITPFLPVVAFGRPLPKLTPQNFELPWLDERSRCRLEIQKKQTPHRTCRK
+>DECOY_sp|Q68DK7|MSL1_HUMAN Male-specific lethal 1 homolog OS=Homo sapiens OX=9606 GN=MSL1 PE=1 SV=3
+KRCTRHPTQKKQIELRCRSREDLWPLEFNQPTLKPLPRGFAVVPLFPTIMLSEVDDPEPFFSTVEPESEQIGKKKYMRLQLRQLIRQERIRQIDWRKRRKEDLELKAHRKSFVSDDLNELLDSPNPDRLPEVSHDRWSPVALVSTEGAVSSPMLCRAVTEEKKPSSERLPLPSPPPQHWRCLYMETTSLYPLDEIESSFAKEKPHTSPGKQPTSLRPPTDVSSRPKEPTEQSRLERKCVTESLSGCPEEKIPSHKPTKTKVKSFEPALKKVPTKRETSGFPSKRKHGKGSRGCSFPKPPLTQSTESLEPQCELKIKESLETEEREETEYGQFLKHREKENDKKVLQMRREMREIRALLTDRESKLEEIEKEKAQLQQQQQEILDLQLLLIQKLCAAQSSAGSGGGGGLPSKRMSKWRGESAALTGATATPALPPPGPLPLPPPGAPDSATAAPSAAGGKDGAWPTPEKAAAVLSGTQIPLVAQYKPRPSCGAGAAAPEGGIGAQKTAPPPCPLPVSGGWSEEQQGPAAGAPLLLGRGKGGCGAPSPAPSGGQSSALPPGPEKLKRHRPLFHAEAAGPEDEPGGLAAAREYDLRQEPNGGAPAAAAKFVASRMTM
+>sp|Q8IXL7|MSRB3_HUMAN Methionine-R-sulfoxide reductase B3 OS=Homo sapiens OX=9606 GN=MSRB3 PE=1 SV=2
+MSPRRTLPRPLSLCLSLCLCLCLAAALGSAQSGSCRDKKNCKVVFSQQELRKRLTPLQYHVTQEKGTESAFEGEYTHHKDPGIYKCVVCGTPLFKSETKFDSGSGWPSFHDVINSEAITFTDDFSYGMHRVETSCSQCGAHLGHIFDDGPRPTGKRYCINSAALSFTPADSSGTAEGGSGVASPAQADKAEL
+>DECOY_sp|Q8IXL7|MSRB3_HUMAN Methionine-R-sulfoxide reductase B3 OS=Homo sapiens OX=9606 GN=MSRB3 PE=1 SV=2
+LEAKDAQAPSAVGSGGEATGSSDAPTFSLAASNICYRKGTPRPGDDFIHGLHAGCQSCSTEVRHMGYSFDDTFTIAESNIVDHFSPWGSGSDFKTESKFLPTGCVVCKYIGPDKHHTYEGEFASETGKEQTVHYQLPTLRKRLEQQSFVVKCNKKDRCSGSQASGLAAALCLCLCLSLCLSLPRPLTRRPSM
+>sp|Q86U38|NOP9_HUMAN Nucleolar protein 9 OS=Homo sapiens OX=9606 GN=NOP9 PE=1 SV=1
+MGQGPRSPHKVGRRFPAGGKRGRGAKGSGRPLPGRKRQPWPPPDGRSEPAPDSHPHLSPEALGYFRRALSALKEAPETGEERDLMVHNIMKEVETQALALSTNRTGSEMLQELLGFSPLKPLCRVWAALRSNLRTVACHRCGVHVLQSALLQLPRLLGSAAEEEEEEEEDGKDGPTETLEELVLGLAAEVCDDFLVYCGDTHGSFVVRTLLQVLGGTILESERARPRGSQSSEAQKTPAQECKPADFEVPETFLNRLQDLSSSFLKDIAVFITDKISSFCLQVALQVLHRKLPQFCAHLCNAVIGYLSTRGSSVDGSPLLLFLRDQTSSRLLEQVLLVLEPPRLQSLFEEHLQGQLQTLAAHPIANFPLQRLLDAVTTPELLSPVFEELSPVLEAVLAQGHPGVVIALVGACRRVGAYQAKVLQLLLEAFHCAEPSSRQVACVPLFATLMAYEVYYGLTEEEGAVPAEHQVAMAAARALGDVTVLGSLLLQHLLHFSTPGLVLRSLGALTGPQLLSLAQSPAGSHVLDAILTSPSVTRKLRRRVLQNLKGQYVALACSRHGSRVLDAIWSGAALRARKEIAAELGEQNQELIRDPFGHHVARNVALTTFLKRREAWEQQQGAVAKRRRALNSILED
+>DECOY_sp|Q86U38|NOP9_HUMAN Nucleolar protein 9 OS=Homo sapiens OX=9606 GN=NOP9 PE=1 SV=1
+DELISNLARRRKAVAGQQQEWAERRKLFTTLAVNRAVHHGFPDRILEQNQEGLEAAIEKRARLAAGSWIADLVRSGHRSCALAVYQGKLNQLVRRRLKRTVSPSTLIADLVHSGAPSQALSLLQPGTLAGLSRLVLGPTSFHLLHQLLLSGLVTVDGLARAAAMAVQHEAPVAGEEETLGYYVEYAMLTAFLPVCAVQRSSPEACHFAELLLQLVKAQYAGVRRCAGVLAIVVGPHGQALVAELVPSLEEFVPSLLEPTTVADLLRQLPFNAIPHAALTQLQGQLHEEFLSQLRPPELVLLVQELLRSSTQDRLFLLLPSGDVSSGRTSLYGIVANCLHACFQPLKRHLVQLAVQLCFSSIKDTIFVAIDKLFSSSLDQLRNLFTEPVEFDAPKCEQAPTKQAESSQSGRPRARESELITGGLVQLLTRVVFSGHTDGCYVLFDDCVEAALGLVLEELTETPGDKGDEEEEEEEEAASGLLRPLQLLASQLVHVGCRHCAVTRLNSRLAAWVRCLPKLPSFGLLEQLMESGTRNTSLALAQTEVEKMINHVMLDREEGTEPAEKLASLARRFYGLAEPSLHPHSDPAPESRGDPPPWPQRKRGPLPRGSGKAGRGRKGGAPFRRGVKHPSRPGQGM
+>sp|Q9ULW6|NP1L2_HUMAN Nucleosome assembly protein 1-like 2 OS=Homo sapiens OX=9606 GN=NAP1L2 PE=1 SV=1
+MAESENRKELSESSQEEAGNQIMVEGLGEHLERGEDAAAGLGDDGKCGEEAAAGLGEEGENGEDTAAGSGEDGKKGGDTDEDSEADRPKGLIGYVLDTDFVESLPVKVKYRVLALKKLQTRAANLESKFLREFHDIERKFAEMYQPLLEKRRQIINAIYEPTEEECEYKSDSEDCDDEEMCHEEMYGNEEGMVHEYVDEDDGYEDYYYDYAVEEEEEEEEEDDIEATGEENKEEEDPKGIPDFWLTVLKNVDTLTPLIKKYDEPILKLLTDIKVKLSDPGEPLSFTLEFHFKPNEYFKNELLTKTYVLKSKLAYYDPHPYRGTAIEYSTGCEIDWNEGKNVTLKTIKKKQKHRIWGTIRTVTEDFPKDSFFNFFSPHGITSNGRDGNDDFLLGHNLRTYIIPRSVLFFSGDALESQQEGVVREVNDAIYDKIIYDNWMAAIEEVKACCKNLEALVEDIDR
+>DECOY_sp|Q9ULW6|NP1L2_HUMAN Nucleosome assembly protein 1-like 2 OS=Homo sapiens OX=9606 GN=NAP1L2 PE=1 SV=1
+RDIDEVLAELNKCCAKVEEIAAMWNDYIIKDYIADNVERVVGEQQSELADGSFFLVSRPIIYTRLNHGLLFDDNGDRGNSTIGHPSFFNFFSDKPFDETVTRITGWIRHKQKKKITKLTVNKGENWDIECGTSYEIATGRYPHPDYYALKSKLVYTKTLLENKFYENPKFHFELTFSLPEGPDSLKVKIDTLLKLIPEDYKKILPTLTDVNKLVTLWFDPIGKPDEEEKNEEGTAEIDDEEEEEEEEEVAYDYYYDEYGDDEDVYEHVMGEENGYMEEHCMEEDDCDESDSKYECEEETPEYIANIIQRRKELLPQYMEAFKREIDHFERLFKSELNAARTQLKKLALVRYKVKVPLSEVFDTDLVYGILGKPRDAESDEDTDGGKKGDEGSGAATDEGNEGEEGLGAAAEEGCKGDDGLGAAADEGRELHEGLGEVMIQNGAEEQSSESLEKRNESEAM
+>sp|Q96NT1|NP1L5_HUMAN Nucleosome assembly protein 1-like 5 OS=Homo sapiens OX=9606 GN=NAP1L5 PE=1 SV=1
+MADSENQGPAEPSQAAAAAEAAAEEVMAEGGAQGGDCDSAAGDPDSAAGQMAEEPQTPAENAPKPKNDFIESLPNSVKCRVLALKKLQKRCDKIEAKFDKEFQALEKKYNDIYKPLLAKIQELTGEMEGCAWTLEGEEEEEEEYEDDEEEGEDEEEEEAAAEAAAGAKHDDAHAEMPDDAKK
+>DECOY_sp|Q96NT1|NP1L5_HUMAN Nucleosome assembly protein 1-like 5 OS=Homo sapiens OX=9606 GN=NAP1L5 PE=1 SV=1
+KKADDPMEAHADDHKAGAAAEAAAEEEEEDEGEEEDDEYEEEEEEEGELTWACGEMEGTLEQIKALLPKYIDNYKKELAQFEKDFKAEIKDCRKQLKKLALVRCKVSNPLSEIFDNKPKPANEAPTQPEEAMQGAASDPDGAASDCDGGQAGGEAMVEEAAAEAAAAAQSPEAPGQNESDAM
+>sp|A6NFF2|NP1L6_HUMAN Putative nucleosome assembly protein 1-like 6 OS=Homo sapiens OX=9606 GN=NAP1L6 PE=5 SV=1
+MMEGLGEHSTAGEMGPLLGAVAATASPQSLMEYSSDADFIESLPLVVKYRVYTLKKLQAKCAVLEAKYLREFHSVERKFATIYGPLLEKRRQITNALYEPTKEECER
+>DECOY_sp|A6NFF2|NP1L6_HUMAN Putative nucleosome assembly protein 1-like 6 OS=Homo sapiens OX=9606 GN=NAP1L6 PE=5 SV=1
+RECEEKTPEYLANTIQRRKELLPGYITAFKREVSHFERLYKAELVACKAQLKKLTYVRYKVVLPLSEIFDADSSYEMLSQPSATAAVAGLLPGMEGATSHEGLGEMM
+>sp|P61582|NP7_HUMAN Endogenous retrovirus group K member 7 Np9 protein OS=Homo sapiens OX=9606 GN=ERVK-7 PE=3 SV=1
+MNPLEMQRKGPPRRWCLQVYPTAPKRQRPSRTGHDDDGGFVEKKRGKCGEKQERSDCYCVCVERSRHGRLHFVMC
+>DECOY_sp|P61582|NP7_HUMAN Endogenous retrovirus group K member 7 Np9 protein OS=Homo sapiens OX=9606 GN=ERVK-7 PE=3 SV=1
+CMVFHLRGHRSREVCVCYCDSREQKEGCKGRKKEVFGGDDDHGTRSPRQRKPATPYVQLCWRRPPGKRQMELPNM
+>sp|Q9NZP6|NPAP1_HUMAN Nuclear pore-associated protein 1 OS=Homo sapiens OX=9606 GN=NPAP1 PE=1 SV=2
+MGNLLSKFRPGCRRRPLPGPGRGAPAPLSRDASPPGRAHSVPTPRPFRGLFRRNARRRPSAASIFVAPKRPCPLPRAAAAPLGVLPAVGWGLAIRKTPMLPARNPPRFGHPSSVRIPPPSRMFTLLLPSPREPAVKARKPIPATLLEETEVWAQEGPRRVKKDEDPVQIEGEDDEKRTPLSSGEASSTSRSQGTQGDVASFRCSPGPLEGNVYHKFSENSMSEKAQASPASSCLEGPAMPSTHSQAGCARHLGKPDPDATAPPEPAVGCSLLQQKLAAEVLNEEPPPSSLGLPIPLMSGKRMPDEKPFCIPPRSAAPPRAARNRPCKRKMSIPLLLPLPPSLPLLWDRGELPPPAKLPCLSVEGDLHTLEKSPEYKRNSRILEDKTETMTNSSITQPAPSFSQPVQTTDSLPLTTYTSQVSAPLPIPDLADLATGPLILPIPPLSTTPKMDEKIAFTIPNSPLALPADLVPILGDQSNEKGGSYNSVVGAAPLTSDPPTPPSSTPSFKPPVTRESPISMCVDSPPPLSFLTLLPVPSTGTSVITSKPMNSTSVISTVTTNASAHLTSQTAVDPEVVNMDTTAPSQVVIFTSSLSSRVSSLPNSQIHCSAEQRHPGKTSVYTSPLPFIFHNTTPSFNQLFGKEATPQPKFEAPDGQPQKASLPSACVFLSLPIIPPPDTSTLVNSASTASSSKPPIETNAMHTTPPSKAVILQSASVSKKYLPFYLGLPGSGNTQPSGNTASVQGSTSLPAQSVRAPATASNHPLNPGATPQPKFGAPDGPQQKTSLPSAHDFLSLPIMVPPDTSTLVSSASAASLSKPAIDTSDMNTTPPSKTVILQSTFVSRKEEYIRFYMGLPGSGNTLHSDSIASAQVSTSFPAQADRRPTTTSSHPLNTGSISHSTLGATDGQQKSDSSFILGNPATPAPVIGLTSPSVQPLSGSIIPPGFAELTSPYTALGTPVNAEPVEGHNASAFPNGTAKTSGFRIATGMPGTGDSTLLVGNTIPGPQVIMGPGTPMDGGSIGFSMSAPGPSSTSGELNIGQGQSGTPSTTSVFPFGQAAWDPTGHSMAAAPQGASNIPVFGYTSAAAYIPGLDPPTQNSCSGMGGDGTRSIVGGPCVPAFQQCILQHTWTERKFYTSSTHYYGQETYVRRHVCFQLP
+>DECOY_sp|Q9NZP6|NPAP1_HUMAN Nuclear pore-associated protein 1 OS=Homo sapiens OX=9606 GN=NPAP1 PE=1 SV=2
+PLQFCVHRRVYTEQGYYHTSSTYFKRETWTHQLICQQFAPVCPGGVISRTGDGGMGSCSNQTPPDLGPIYAAASTYGFVPINSAGQPAAAMSHGTPDWAAQGFPFVSTTSPTGSQGQGINLEGSTSSPGPASMSFGISGGDMPTGPGMIVQPGPITNGVLLTSDGTGPMGTAIRFGSTKATGNPFASANHGEVPEANVPTGLATYPSTLEAFGPPIISGSLPQVSPSTLGIVPAPTAPNGLIFSSDSKQQGDTAGLTSHSISGTNLPHSSTTTPRRDAQAPFSTSVQASAISDSHLTNGSGPLGMYFRIYEEKRSVFTSQLIVTKSPPTTNMDSTDIAPKSLSAASASSVLTSTDPPVMIPLSLFDHASPLSTKQQPGDPAGFKPQPTAGPNLPHNSATAPARVSQAPLSTSGQVSATNGSPQTNGSGPLGLYFPLYKKSVSASQLIVAKSPPTTHMANTEIPPKSSSATSASNVLTSTDPPPIIPLSLFVCASPLSAKQPQGDPAEFKPQPTAEKGFLQNFSPTTNHFIFPLPSTYVSTKGPHRQEASCHIQSNPLSSVRSSLSSTFIVVQSPATTDMNVVEPDVATQSTLHASANTTVTSIVSTSNMPKSTIVSTGTSPVPLLTLFSLPPPSDVCMSIPSERTVPPKFSPTSSPPTPPDSTLPAAGVVSNYSGGKENSQDGLIPVLDAPLALPSNPITFAIKEDMKPTTSLPPIPLILPGTALDALDPIPLPASVQSTYTTLPLSDTTQVPQSFSPAPQTISSNTMTETKDELIRSNRKYEPSKELTHLDGEVSLCPLKAPPPLEGRDWLLPLSPPLPLLLPISMKRKCPRNRAARPPAASRPPICFPKEDPMRKGSMLPIPLGLSSPPPEENLVEAALKQQLLSCGVAPEPPATADPDPKGLHRACGAQSHTSPMAPGELCSSAPSAQAKESMSNESFKHYVNGELPGPSCRFSAVDGQTGQSRSTSSAEGSSLPTRKEDDEGEIQVPDEDKKVRRPGEQAWVETEELLTAPIPKRAKVAPERPSPLLLTFMRSPPPIRVSSPHGFRPPNRAPLMPTKRIALGWGVAPLVGLPAAAARPLPCPRKPAVFISAASPRRRANRRFLGRFPRPTPVSHARGPPSADRSLPAPAGRGPGPLPRRRCGPRFKSLLNGM
+>sp|Q99742|NPAS1_HUMAN Neuronal PAS domain-containing protein 1 OS=Homo sapiens OX=9606 GN=NPAS1 PE=2 SV=2
+MAAPYPGSGGGSEVKCVGGRGASVPWDFLPGLMVKAPSGPCLQAQRKEKSRNAARSRRGKENLEFFELAKLLPLPGAISSQLDKASIVRLSVTYLRLRRFAALGAPPWGLRAAGPPAGLAPGRRGPAALVSEVFEQHLGGHILQSLDGFVFALNQEGKFLYISETVSIYLGLSQVEMTGSSVFDYIHPGDHSEVLEQLGLRTPTPGPPTPPSVSSSSSSSSSLADTPEIEASLTKVPPSSLVQERSFFVRMKSTLTKRGLHVKASGYKVIHVTGRLRAHALGLVALGHTLPPAPLAELPLHGHMIVFRLSLGLTILACESRVSDHMDLGPSELVGRSCYQFVHGQDATRIRQSHVDLLDKGQVMTGYYRWLQRAGGFVWLQSVATVAGSGKSPGEHHVLWVSHVLSQAEGGQTPLDAFQLPASVACEEASSPGPEPTEPEPPTEGKQAAPAENEAPQTQGKRIKVEPGPRETKGSEDSGDEDPSSHPATPRPEFTSVIRAGVLKQDPVRPWGLAPPGDPPPTLLHAGFLPPVVRGLCTPGTIRYGPAELGLVYPHLQRLGPGPALPEAFYPPLGLPYPGPAGTRLPRKGD
+>DECOY_sp|Q99742|NPAS1_HUMAN Neuronal PAS domain-containing protein 1 OS=Homo sapiens OX=9606 GN=NPAS1 PE=2 SV=2
+DGKRPLRTGAPGPYPLGLPPYFAEPLAPGPGLRQLHPYVLGLEAPGYRITGPTCLGRVVPPLFGAHLLTPPPDGPPALGWPRVPDQKLVGARIVSTFEPRPTAPHSSPDEDGSDESGKTERPGPEVKIRKGQTQPAENEAPAAQKGETPPEPETPEPGPSSAEECAVSAPLQFADLPTQGGEAQSLVHSVWLVHHEGPSKGSGAVTAVSQLWVFGGARQLWRYYGTMVQGKDLLDVHSQRIRTADQGHVFQYCSRGVLESPGLDMHDSVRSECALITLGLSLRFVIMHGHLPLEALPAPPLTHGLAVLGLAHARLRGTVHIVKYGSAKVHLGRKTLTSKMRVFFSREQVLSSPPVKTLSAEIEPTDALSSSSSSSSSVSPPTPPGPTPTRLGLQELVESHDGPHIYDFVSSGTMEVQSLGLYISVTESIYLFKGEQNLAFVFGDLSQLIHGGLHQEFVESVLAAPGRRGPALGAPPGAARLGWPPAGLAAFRRLRLYTVSLRVISAKDLQSSIAGPLPLLKALEFFELNEKGRRSRAANRSKEKRQAQLCPGSPAKVMLGPLFDWPVSAGRGGVCKVESGGGSGPYPAAM
+>sp|E9PKD4|NPIA5_HUMAN Nuclear pore complex-interacting protein family member A5 OS=Homo sapiens OX=9606 GN=NPIPA5 PE=2 SV=1
+MFCCLGYEWLSGGCKTWHSAWVINTLADHRHRGTDFGGSPWLLIITVFLRSYKFAISLCTSYLCVSFLKTIFPSQNGHDGSTDVQQRARRSNCRRQEGIKIVLEDIFTLWRQVETKVRAKIRKMKVTTKVNRHDKINGKRKTAKEHLRKLSMKEREHGEKERQVSEAEENGKLDMKEIHTYMEMFQRAQALRRRAEDYYRCKITPSARKPLCNRVRMAAVEHRHSSGLPYWPYLTAETLKNRMGHQPPPPTQQHSIIDNSLSLKTPSERLLYPLPPSADDNLKTPPECLLTPLPPSALPSADDNLKTPAECLLTPLPPSAPPSADDNLKTPPECVCSLPFHPQRMIISRN
+>DECOY_sp|E9PKD4|NPIA5_HUMAN Nuclear pore complex-interacting protein family member A5 OS=Homo sapiens OX=9606 GN=NPIPA5 PE=2 SV=1
+NRSIIMRQPHFPLSCVCEPPTKLNDDASPPASPPLPTLLCEAPTKLNDDASPLASPPLPTLLCEPPTKLNDDASPPLPYLLRESPTKLSLSNDIISHQQTPPPPQHGMRNKLTEATLYPWYPLGSSHRHEVAAMRVRNCLPKRASPTIKCRYYDEARRRLAQARQFMEMYTHIEKMDLKGNEEAESVQREKEGHEREKMSLKRLHEKATKRKGNIKDHRNVKTTVKMKRIKARVKTEVQRWLTFIDELVIKIGEQRRCNSRRARQQVDTSGDHGNQSPFITKLFSVCLYSTCLSIAFKYSRLFVTIILLWPSGGFDTGRHRHDALTNIVWASHWTKCGGSLWEYGLCCFM
+>sp|C9JG80|NPIB4_HUMAN Nuclear pore complex-interacting protein family member B4 OS=Homo sapiens OX=9606 GN=NPIPB4 PE=3 SV=2
+MVKLSIVLTPQFLSHDQGQLTKELQQHVKSVTCPCEYLRKVINTLADHHHRGTDFGGSPWLHVIIAFPTSYKVVITLWIVYLWVSLLKTIFWSRNGHDGSTDVQQRAWRSNRRRQEGLRSICMHTKKRVSSFRGNKIVLKDVITLRRHVETKVRAKIRKRKVTTKINHHDKINGKRKTARKQKMFQRAQELRRRAEDYHKCKIPPSARKALCNWVRMAAAEHRHSSGLPYWPYLTAETLKNRMGHQPPPPTQQHSITDNSLSLKTPPECLLTPLPPSADDNLKTPPECVLTPLPPSADDNLKTPPECVLTPLPPSADDNLKTPPECLLTPLPPSADDKLKTPPECLLTPLPPSALPSAPPSADDNLKTRAECLLHPLPPSADDNLKTPSERQLTPLPPSAPPSADDNIKTPAERLRGPLPPSADDNLKTPSERQLTPLPPSAPPSADDNIKTPAERLRGPLPPSADDNLKTPSERQLTPLPPSAPPSADDNIKTPAERLRGPLPPSADDNLKTPSERQLTPLPPSAPPSADDNIKTPAERLRGPLPPSADDNLKTPSERQLTPLPPSAPPSADDNIKTPAERLRGPLPPSADDNLKTPSERQLTPLPPSAPPSADDNIKTPAFHPQRMIISRHLPSVSSLPFHPQLHPQQMIISRYLLSVCGFRFHHQPMIISRHLPSVSSLPFHPQLHPQQMIISRHLPSVCGGRFHPQRMIISRHLPSVSSLPFHPQLHPQQMIISRHLPSVCGGRFHPQRMIISRHLPSVSSLPFHPQLHPQQMIISRHLPSVCGGRFHPQRMIISRHLPSVSSLPFHPQLHPQQMIISRHLPSVCGGRFHPQRMIISRHLPSVSSLPFHPQLHPQQMIISRHLPSVCGERLRGPLPPSADDNLKTPSERQLTPLPPSAPPSADDNIKTPAERLRGPLPPSADDNLKTPSERQLTPLPPSAPPSADDNIKTPAERLRGPLPPSADDNLKTPSERQLTPLPPSAPPSADDNIKTPAERLRGPLPPSADDNLKTPPLATQEAEAEKPRKPKRQRAAEMEPPPEPKRRRVGDVEPSRKPKRRRAADVEPSSPEPKRRRVGDVEPSRKPKRRRAADVEPSSPEPKRRRVGDVEPSRKPKRRRAADVEPSLPEPKRRRLS
+>DECOY_sp|C9JG80|NPIB4_HUMAN Nuclear pore complex-interacting protein family member B4 OS=Homo sapiens OX=9606 GN=NPIPB4 PE=3 SV=2
+SLRRRKPEPLSPEVDAARRRKPKRSPEVDGVRRRKPEPSSPEVDAARRRKPKRSPEVDGVRRRKPEPSSPEVDAARRRKPKRSPEVDGVRRRKPEPPPEMEAARQRKPKRPKEAEAEQTALPPTKLNDDASPPLPGRLREAPTKINDDASPPASPPLPTLQRESPTKLNDDASPPLPGRLREAPTKINDDASPPASPPLPTLQRESPTKLNDDASPPLPGRLREAPTKINDDASPPASPPLPTLQRESPTKLNDDASPPLPGRLREGCVSPLHRSIIMQQPHLQPHFPLSSVSPLHRSIIMRQPHFRGGCVSPLHRSIIMQQPHLQPHFPLSSVSPLHRSIIMRQPHFRGGCVSPLHRSIIMQQPHLQPHFPLSSVSPLHRSIIMRQPHFRGGCVSPLHRSIIMQQPHLQPHFPLSSVSPLHRSIIMRQPHFRGGCVSPLHRSIIMQQPHLQPHFPLSSVSPLHRSIIMPQHHFRFGCVSLLYRSIIMQQPHLQPHFPLSSVSPLHRSIIMRQPHFAPTKINDDASPPASPPLPTLQRESPTKLNDDASPPLPGRLREAPTKINDDASPPASPPLPTLQRESPTKLNDDASPPLPGRLREAPTKINDDASPPASPPLPTLQRESPTKLNDDASPPLPGRLREAPTKINDDASPPASPPLPTLQRESPTKLNDDASPPLPGRLREAPTKINDDASPPASPPLPTLQRESPTKLNDDASPPLPGRLREAPTKINDDASPPASPPLPTLQRESPTKLNDDASPPLPHLLCEARTKLNDDASPPASPLASPPLPTLLCEPPTKLKDDASPPLPTLLCEPPTKLNDDASPPLPTLVCEPPTKLNDDASPPLPTLVCEPPTKLNDDASPPLPTLLCEPPTKLSLSNDTISHQQTPPPPQHGMRNKLTEATLYPWYPLGSSHRHEAAAMRVWNCLAKRASPPIKCKHYDEARRRLEQARQFMKQKRATKRKGNIKDHHNIKTTVKRKRIKARVKTEVHRRLTIVDKLVIKNGRFSSVRKKTHMCISRLGEQRRRNSRWARQQVDTSGDHGNRSWFITKLLSVWLYVIWLTIVVKYSTPFAIIVHLWPSGGFDTGRHHHDALTNIVKRLYECPCTVSKVHQQLEKTLQGQDHSLFQPTLVISLKVM
+>sp|E9PJ23|NPIB6_HUMAN Nuclear pore complex-interacting protein family member B6 OS=Homo sapiens OX=9606 GN=NPIPB6 PE=3 SV=1
+MVKLSIVLTPQFLSHDQSQLTKELQQHVKSVTCPCEYLRKVINSLAVYRHRETDFGVGVRDHPGQHGKTPSPQKLDNLIIIIIGFLRRYTFNILFCTSCLCVSFLKTIFWSRNGHDGSMDVQQRAWRSNRSRQKGLRSICMHTKKRVSSFRGNKIGLKDVITLRRHVETKVRAKIRKRKVTTKINRHDKINGKRKTARKQKMFQRAQELRRRAEDYHKCKIPPSARKPLCNWVRMVAAEHRHSSGLPYWPYLTAETLKNRMGRQPPPPTQQHSITDNSLSLKTPTECLLTPLPPSVDDNIKECPLAPLPPSPLPPSVDDNLKECLFVPLPPSPLPPSVDDNLKTPPLATQEAEVEKPPKPKRWRVDEVEQSPKPKRRRVDEVEQSPKPKRQREAEAQQLPKPKRRRLSKLRTRHCTQAWAIRINP
+>DECOY_sp|E9PJ23|NPIB6_HUMAN Nuclear pore complex-interacting protein family member B6 OS=Homo sapiens OX=9606 GN=NPIPB6 PE=3 SV=1
+PNIRIAWAQTCHRTRLKSLRRRKPKPLQQAEAERQRKPKPSQEVEDVRRRKPKPSQEVEDVRWRKPKPPKEVEAEQTALPPTKLNDDVSPPLPSPPLPVFLCEKLNDDVSPPLPSPPLPALPCEKINDDVSPPLPTLLCETPTKLSLSNDTISHQQTPPPPQRGMRNKLTEATLYPWYPLGSSHRHEAAVMRVWNCLPKRASPPIKCKHYDEARRRLEQARQFMKQKRATKRKGNIKDHRNIKTTVKRKRIKARVKTEVHRRLTIVDKLGIKNGRFSSVRKKTHMCISRLGKQRSRNSRWARQQVDMSGDHGNRSWFITKLFSVCLCSTCFLINFTYRRLFGIIIIILNDLKQPSPTKGHQGPHDRVGVGFDTERHRYVALSNIVKRLYECPCTVSKVHQQLEKTLQSQDHSLFQPTLVISLKVM
+>sp|Q9BXD5|NPL_HUMAN N-acetylneuraminate lyase OS=Homo sapiens OX=9606 GN=NPL PE=1 SV=1
+MAFPKKKLQGLVAATITPMTENGEINFSVIGQYVDYLVKEQGVKNIFVNGTTGEGLSLSVSERRQVAEEWVTKGKDKLDQVIIHVGALSLKESQELAQHAAEIGADGIAVIAPFFLKPWTKDILINFLKEVAAAAPALPFYYYHIPALTGVKIRAEELLDGILDKIPTFQGLKFSDTDLLDFGQCVDQNRQQQFAFLFGVDEQLLSALVMGATGAVGSTYNYLGKKTNQMLEAFEQKDFSLALNYQFCIQRFINFVVKLGFGVSQTKAIMTLVSGIPMGPPRLPLQKASREFTDSAEAKLKSLDFLSFTDLKDGNLEAGS
+>DECOY_sp|Q9BXD5|NPL_HUMAN N-acetylneuraminate lyase OS=Homo sapiens OX=9606 GN=NPL PE=1 SV=1
+SGAELNGDKLDTFSLFDLSKLKAEASDTFERSAKQLPLRPPGMPIGSVLTMIAKTQSVGFGLKVVFNIFRQICFQYNLALSFDKQEFAELMQNTKKGLYNYTSGVAGTAGMVLASLLQEDVGFLFAFQQQRNQDVCQGFDLLDTDSFKLGQFTPIKDLIGDLLEEARIKVGTLAPIHYYYFPLAPAAAAVEKLFNILIDKTWPKLFFPAIVAIGDAGIEAAHQALEQSEKLSLAGVHIIVQDLKDKGKTVWEEAVQRRESVSLSLGEGTTGNVFINKVGQEKVLYDVYQGIVSFNIEGNETMPTITAAVLGQLKKKPFAM
+>sp|Q12980|NPRL3_HUMAN GATOR complex protein NPRL3 OS=Homo sapiens OX=9606 GN=NPRL3 PE=1 SV=1
+MRDNTSPISVILVSSGSRGNKLLFRYPFQRSQEHPASQTSKPRSRYAASNTGDHADEQDGDSRFSDVILATILATKSEMCGQKFELKIDNVRFVGHPTLLQHALGQISKTDPSPKREAPTMILFNVVFALRANADPSVINCLHNLSRRIATVLQHEERRCQYLTREAKLILALQDEVSAMADGNEGPQSPFHHILPKCKLARDLKEAYDSLCTSGVVRLHINSWLEVSFCLPHKIHYAASSLIPPEAIERSLKAIRPYHALLLLSDEKSLLGELPIDCSPALVRVIKTTSAVKNLQQLAQDADLALLQVFQLAAHLVYWGKAIIIYPLCENNVYMLSPNASVCLYSPLAEQFSHQFPSHDLPSVLAKFSLPVSLSEFRNPLAPAVQETQLIQMVVWMLQRRLLIQLHTYVCLMASPSEEEPRPREDDVPFTARVGGRSLSTPNALSFGSPTSSDDMTLTSPSMDNSSAELLPSGDSPLNQRMTENLLASLSEHERAAILSVPAAQNPEDLRMFARLLHYFRGRHHLEEIMYNENTRRSQLLMLFDKFRSVLVVTTHEDPVIAVFQALLP
+>DECOY_sp|Q12980|NPRL3_HUMAN GATOR complex protein NPRL3 OS=Homo sapiens OX=9606 GN=NPRL3 PE=1 SV=1
+PLLAQFVAIVPDEHTTVVLVSRFKDFLMLLQSRRTNENYMIEELHHRGRFYHLLRAFMRLDEPNQAAPVSLIAAREHESLSALLNETMRQNLPSDGSPLLEASSNDMSPSTLTMDDSSTPSGFSLANPTSLSRGGVRATFPVDDERPRPEEESPSAMLCVYTHLQILLRRQLMWVVMQILQTEQVAPALPNRFESLSVPLSFKALVSPLDHSPFQHSFQEALPSYLCVSANPSLMYVNNECLPYIIIAKGWYVLHAALQFVQLLALDADQALQQLNKVASTTKIVRVLAPSCDIPLEGLLSKEDSLLLLAHYPRIAKLSREIAEPPILSSAAYHIKHPLCFSVELWSNIHLRVVGSTCLSDYAEKLDRALKCKPLIHHFPSQPGENGDAMASVEDQLALILKAERTLYQCRREEHQLVTAIRRSLNHLCNIVSPDANARLAFVVNFLIMTPAERKPSPDTKSIQGLAHQLLTPHGVFRVNDIKLEFKQGCMESKTALITALIVDSFRSDGDQEDAHDGTNSAAYRSRPKSTQSAPHEQSRQFPYRFLLKNGRSGSSVLIVSIPSTNDRM
+>sp|Q9UFN0|NPS3A_HUMAN Protein NipSnap homolog 3A OS=Homo sapiens OX=9606 GN=NIPSNAP3A PE=1 SV=2
+MLVLRSALTRALASRTLAPQMCSSFATGPRQYDGIFYEFRSYYLKPSKMNEFLENFEKNAHLRTAHSELVGYWSVEFGGRMNTVFHIWKYDNFAHRTEVRKALAKDKEWQEQFLIPNLALIDKQESEITYLVPWCKLEKPPKEGVYELATFQMKPGGPALWGDAFKRAVHAHVNLGYTKLVGVFHTEYGALNRVHVLWWNESADSRAAGRHKSHEDPRVVAAVRESVNYLVSQQNMLLIPTSFSPLK
+>DECOY_sp|Q9UFN0|NPS3A_HUMAN Protein NipSnap homolog 3A OS=Homo sapiens OX=9606 GN=NIPSNAP3A PE=1 SV=2
+KLPSFSTPILLMNQQSVLYNVSERVAAVVRPDEHSKHRGAARSDASENWWLVHVRNLAGYETHFVGVLKTYGLNVHAHVARKFADGWLAPGGPKMQFTALEYVGEKPPKELKCWPVLYTIESEQKDILALNPILFQEQWEKDKALAKRVETRHAFNDYKWIHFVTNMRGGFEVSWYGVLESHATRLHANKEFNELFENMKSPKLYYSRFEYFIGDYQRPGTAFSSCMQPALTRSALARTLASRLVLM
+>sp|Q13133|NR1H3_HUMAN Oxysterols receptor LXR-alpha OS=Homo sapiens OX=9606 GN=NR1H3 PE=1 SV=2
+MSLWLGAPVPDIPPDSAVELWKPGAQDASSQAQGGSSCILREEARMPHSAGGTAGVGLEAAEPTALLTRAEPPSEPTEIRPQKRKKGPAPKMLGNELCSVCGDKASGFHYNVLSCEGCKGFFRRSVIKGAHYICHSGGHCPMDTYMRRKCQECRLRKCRQAGMREECVLSEEQIRLKKLKRQEEEQAHATSLPPRASSPPQILPQLSPEQLGMIEKLVAAQQQCNRRSFSDRLRVTPWPMAPDPHSREARQQRFAHFTELAIVSVQEIVDFAKQLPGFLQLSREDQIALLKTSAIEVMLLETSRRYNPGSESITFLKDFSYNREDFAKAGLQVEFINPIFEFSRAMNELQLNDAEFALLIAISIFSADRPNVQDQLQVERLQHTYVEALHAYVSIHHPHDRLMFPRMLMKLVSLRTLSSVHSEQVFALRLQDKKLPPLLSEIWDVHE
+>DECOY_sp|Q13133|NR1H3_HUMAN Oxysterols receptor LXR-alpha OS=Homo sapiens OX=9606 GN=NR1H3 PE=1 SV=2
+EHVDWIESLLPPLKKDQLRLAFVQESHVSSLTRLSVLKMLMRPFMLRDHPHHISVYAHLAEVYTHQLREVQLQDQVNPRDASFISIAILLAFEADNLQLENMARSFEFIPNIFEVQLGAKAFDERNYSFDKLFTISESGPNYRRSTELLMVEIASTKLLAIQDERSLQLFGPLQKAFDVIEQVSVIALETFHAFRQQRAERSHPDPAMPWPTVRLRDSFSRRNCQQQAAVLKEIMGLQEPSLQPLIQPPSSARPPLSTAHAQEEEQRKLKKLRIQEESLVCEERMGAQRCKRLRCEQCKRRMYTDMPCHGGSHCIYHAGKIVSRRFFGKCGECSLVNYHFGSAKDGCVSCLENGLMKPAPGKKRKQPRIETPESPPEARTLLATPEAAELGVGATGGASHPMRAEERLICSSGGQAQSSADQAGPKWLEVASDPPIDPVPAGLWLSM
+>sp|Q96RI1|NR1H4_HUMAN Bile acid receptor OS=Homo sapiens OX=9606 GN=NR1H4 PE=1 SV=2
+MVMQFQGLENPIQISPHCSCTPSGFFMEMMSMKPAKGVLTEQVAGPLGQNLEVEPYSQYSNVQFPQVQPQISSSSYYSNLGFYPQQPEEWYSPGIYELRRMPAETLYQGETEVAEMPVTKKPRMGASAGRIKGDELCVVCGDRASGYHYNALTCEGCKGFFRRSITKNAVYKCKNGGNCVMDMYMRRKCQECRLRKCKEMGMLAECMYTGLLTEIQCKSKRLRKNVKQHADQTVNEDSEGRDLRQVTSTTKSCREKTELTPDQQTLLHFIMDSYNKQRMPQEITNKILKEEFSAEENFLILTEMATNHVQVLVEFTKKLPGFQTLDHEDQIALLKGSAVEAMFLRSAEIFNKKLPSGHSDLLEERIRNSGISDEYITPMFSFYKSIGELKMTQEEYALLTAIVILSPDRQYIKDREAVEKLQEPLLDVLQKLCKIHQPENPQHFACLLGRLTELRTFNHHHAEMLMSWRVNDHKFTPLLCEIWDVQ
+>DECOY_sp|Q96RI1|NR1H4_HUMAN Bile acid receptor OS=Homo sapiens OX=9606 GN=NR1H4 PE=1 SV=2
+QVDWIECLLPTFKHDNVRWSMLMEAHHHNFTRLETLRGLLCAFHQPNEPQHIKCLKQLVDLLPEQLKEVAERDKIYQRDPSLIVIATLLAYEEQTMKLEGISKYFSFMPTIYEDSIGSNRIREELLDSHGSPLKKNFIEASRLFMAEVASGKLLAIQDEHDLTQFGPLKKTFEVLVQVHNTAMETLILFNEEASFEEKLIKNTIEQPMRQKNYSDMIFHLLTQQDPTLETKERCSKTTSTVQRLDRGESDENVTQDAHQKVNKRLRKSKCQIETLLGTYMCEALMGMEKCKRLRCEQCKRRMYMDMVCNGGNKCKYVANKTISRRFFGKCGECTLANYHYGSARDGCVVCLEDGKIRGASAGMRPKKTVPMEAVETEGQYLTEAPMRRLEYIGPSYWEEPQQPYFGLNSYYSSSSIQPQVQPFQVNSYQSYPEVELNQGLPGAVQETLVGKAPKMSMMEMFFGSPTCSCHPSIQIPNELGQFQMVM
+>sp|Q9UHY1|NRBP_HUMAN Nuclear receptor-binding protein OS=Homo sapiens OX=9606 GN=NRBP1 PE=1 SV=1
+MSEGESQTVLSSGSDPKVESSSSAPGLTSVSPPVTSTTSAASPEEEEESEDESEILEESPCGRWQKRREEVNQRNVPGIDSAYLAMDTEEGVEVVWNEVQFSERKNYKLQEEKVRAVFDNLIQLEHLNIVKFHKYWADIKENKARVIFITEYMSSGSLKQFLKKTKKNHKTMNEKAWKRWCTQILSALSYLHSCDPPIIHGNLTCDTIFIQHNGLIKIGSVAPDTINNHVKTCREEQKNLHFFAPEYGEVTNVTTAVDIYSFGMCALEMAVLEIQGNGESSYVPQEAISSAIQLLEDPLQREFIQKCLQSEPARRPTARELLFHPALFEVPSLKLLAAHCIVGHQHMIPENALEEITKNMDTSAVLAEIPAGPGREPVQTLYSQSPALELDKFLEDVRNGIYPLTAFGLPRPQQPQQEEVTSPVVPPSVKTPTPEPAEVETRKVVLMQCNIESVEEGVKHHLTLLLKLEDKLNRHLSCDLMPNENIPELAAELVQLGFISEADQSRLTSLLEETLNKFNFARNSTLNSAAVTVSS
+>DECOY_sp|Q9UHY1|NRBP_HUMAN Nuclear receptor-binding protein OS=Homo sapiens OX=9606 GN=NRBP1 PE=1 SV=1
+SSVTVAASNLTSNRAFNFKNLTEELLSTLRSQDAESIFGLQVLEAALEPINENPMLDCSLHRNLKDELKLLLTLHHKVGEEVSEINCQMLVVKRTEVEAPEPTPTKVSPPVVPSTVEEQQPQQPRPLGFATLPYIGNRVDELFKDLELAPSQSYLTQVPERGPGAPIEALVASTDMNKTIEELANEPIMHQHGVICHAALLKLSPVEFLAPHFLLERATPRRAPESQLCKQIFERQLPDELLQIASSIAEQPVYSSEGNGQIELVAMELACMGFSYIDVATTVNTVEGYEPAFFHLNKQEERCTKVHNNITDPAVSGIKILGNHQIFITDCTLNGHIIPPDCSHLYSLASLIQTCWRKWAKENMTKHNKKTKKLFQKLSGSSMYETIFIVRAKNEKIDAWYKHFKVINLHELQILNDFVARVKEEQLKYNKRESFQVENWVVEVGEETDMALYASDIGPVNRQNVEERRKQWRGCPSEELIESEDESEEEEEPSAASTTSTVPPSVSTLGPASSSSEVKPDSGSSLVTQSEGESM
+>sp|Q92823|NRCAM_HUMAN Neuronal cell adhesion molecule OS=Homo sapiens OX=9606 GN=NRCAM PE=1 SV=3
+MQLKIMPKKKRLSAGRVPLILFLCQMISALEVPLDPKLLEDLVQPPTITQQSPKDYIIDPRENIVIQCEAKGKPPPSFSWTRNGTHFDIDKDPLVTMKPGTGTLIINIMSEGKAETYEGVYQCTARNERGAAVSNNIVVRPSRSPLWTKEKLEPITLQSGQSLVLPCRPPIGLPPPIIFWMDNSFQRLPQSERVSQGLNGDLYFSNVLPEDTREDYICYARFNHTQTIQQKQPISVKVISVDELNDTIAANLSDTEFYGAKSSRERPPTFLTPEGNASNKEELRGNVLSLECIAEGLPTPIIYWAKEDGMLPKNRTVYKNFEKTLQIIHVSEADSGNYQCIAKNALGAIHHTISVRVKAAPYWITAPQNLVLSPGEDGTLICRANGNPKPRISWLTNGVPIEIAPDDPSRKIDGDTIIFSNVQERSSAVYQCNASNEYGYLLANAFVNVLAEPPRILTPANTLYQVIANRPALLDCAFFGSPLPTIEWFKGAKGSALHEDIYVLHENGTLEIPVAQKDSTGTYTCVARNKLGMAKNEVHLEIKDPTWIVKQPEYAVVQRGSMVSFECKVKHDHTLSLTVLWLKDNRELPSDERFTVDKDHLVVADVSDDDSGTYTCVANTTLDSVSASAVLSVVAPTPTPAPVYDVPNPPFDLELTDQLDKSVQLSWTPGDDNNSPITKFIIEYEDAMHKPGLWHHQTEVSGTQTTAQLKLSPYVNYSFRVMAVNSIGKSLPSEASEQYLTKASEPDKNPTAVEGLGSEPDNLVITWKPLNGFESNGPGLQYKVSWRQKDGDDEWTSVVVANVSKYIVSGTPTFVPYLIKVQALNDMGFAPEPAVVMGHSGEDLPMVAPGNVRVNVVNSTLAEVHWDPVPLKSIRGHLQGYRIYYWKTQSSSKRNRRHIEKKILTFQGSKTHGMLPGLEPFSHYTLNVRVVNGKGEGPASPDRVFNTPEGVPSAPSSLKIVNPTLDSLTLEWDPPSHPNGILTEYTLKYQPINSTHELGPLVDLKIPANKTRWTLKNLNFSTRYKFYFYAQTSAGSGSQITEEAVTTVDEAGILPPDVGAGKVQAVNPRISNLTAAAAETYANISWEYEGPEHVNFYVEYGVAGSKEEWRKEIVNGSRSFFGLKGLMPGTAYKVRVGAVGDSGFVSSEDVFETGPAMASRQVDIATQGWFIGLMCAVALLILILLIVCFIRRNKGGKYPVKEKEDAHADPEIQPMKEDDGTFGEYSDAEDHKPLKKGSRTPSDRTVKKEDSDDSLVDYGEGVNGQFNEDGSFIGQYSGKKEKEPAEGNESSEAPSPVNAMNSFV
+>DECOY_sp|Q92823|NRCAM_HUMAN Neuronal cell adhesion molecule OS=Homo sapiens OX=9606 GN=NRCAM PE=1 SV=3
+VFSNMANVPSPAESSENGEAPEKEKKGSYQGIFSGDENFQGNVGEGYDVLSDDSDEKKVTRDSPTRSGKKLPKHDEADSYEGFTGDDEKMPQIEPDAHADEKEKVPYKGGKNRRIFCVILLILILLAVACMLGIFWGQTAIDVQRSAMAPGTEFVDESSVFGSDGVAGVRVKYATGPMLGKLGFFSRSGNVIEKRWEEKSGAVGYEVYFNVHEPGEYEWSINAYTEAAAATLNSIRPNVAQVKGAGVDPPLIGAEDVTTVAEETIQSGSGASTQAYFYFKYRTSFNLNKLTWRTKNAPIKLDVLPGLEHTSNIPQYKLTYETLIGNPHSPPDWELTLSDLTPNVIKLSSPASPVGEPTNFVRDPSAPGEGKGNVVRVNLTYHSFPELGPLMGHTKSGQFTLIKKEIHRRNRKSSSQTKWYYIRYGQLHGRISKLPVPDWHVEALTSNVVNVRVNGPAVMPLDEGSHGMVVAPEPAFGMDNLAQVKILYPVFTPTGSVIYKSVNAVVVSTWEDDGDKQRWSVKYQLGPGNSEFGNLPKWTIVLNDPESGLGEVATPNKDPESAKTLYQESAESPLSKGISNVAMVRFSYNVYPSLKLQATTQTGSVETQHHWLGPKHMADEYEIIFKTIPSNNDDGPTWSLQVSKDLQDTLELDFPPNPVDYVPAPTPTPAVVSLVASASVSDLTTNAVCTYTGSDDDSVDAVVLHDKDVTFREDSPLERNDKLWLVTLSLTHDHKVKCEFSVMSGRQVVAYEPQKVIWTPDKIELHVENKAMGLKNRAVCTYTGTSDKQAVPIELTGNEHLVYIDEHLASGKAGKFWEITPLPSGFFACDLLAPRNAIVQYLTNAPTLIRPPEALVNVFANALLYGYENSANCQYVASSREQVNSFIITDGDIKRSPDDPAIEIPVGNTLWSIRPKPNGNARCILTGDEGPSLVLNQPATIWYPAAKVRVSITHHIAGLANKAICQYNGSDAESVHIIQLTKEFNKYVTRNKPLMGDEKAWYIIPTPLGEAICELSLVNGRLEEKNSANGEPTLFTPPRERSSKAGYFETDSLNAAITDNLEDVSIVKVSIPQKQQITQTHNFRAYCIYDERTDEPLVNSFYLDGNLGQSVRESQPLRQFSNDMWFIIPPPLGIPPRCPLVLSQGSQLTIPELKEKTWLPSRSPRVVINNSVAAGRENRATCQYVGEYTEAKGESMINIILTGTGPKMTVLPDKDIDFHTGNRTWSFSPPPKGKAECQIVINERPDIIYDKPSQQTITPPQVLDELLKPDLPVELASIMQCLFLILPVRGASLRKKKPMIKLQM
+>sp|O43847|NRDC_HUMAN Nardilysin OS=Homo sapiens OX=9606 GN=NRDC PE=1 SV=2
+MLRRVTVAAVCATRRKLCEAGRELAALWGIETRGRCEDSAAARPFPILAMPGRNKAKSTCSCPDLQPNGQDLGENSRVARLGADESEEEGRRGSLSNAGDPEIVKSPSDPKQYRYIKLQNGLQALLISDLSNMEGKTGNTTDDEEEEEVEEEEDDDEDSGAEIEDDDEEGFDDEDEFDDEHDDDLDTEDNELEELEERAEARKKTTEKQSAAALCVGVGSFADPDDLPGLAHFLEHMVFMGSLKYPDENGFDAFLKKHGGSDNASTDCERTVFQFDVQRKYFKEALDRWAQFFIHPLMIRDAIDREVEAVDSEYQLARPSDANRKEMLFGSLARPGHPMGKFFWGNAETLKHEPRKNNIDTHARLREFWMRYYSSHYMTLVVQSKETLDTLEKWVTEIFSQIPNNGLPRPNFGHLTDPFDTPAFNKLYRVVPIRKIHALTITWALPPQQQHYRVKPLHYISWLVGHEGKGSILSFLRKKCWALALFGGNGETGFEQNSTYSVFSISITLTDEGYEHFYEVAYTVFQYLKMLQKLGPEKRIFEEIRKIEDNEFHYQEQTDPVEYVENMCENMQLYPLQDILTGDQLLFEYKPEVIGEALNQLVPQKANLVLLSGANEGKCDLKEKWFGTQYSIEDIENSWAELWNSNFELNPDLHLPAENKYIATDFTLKAFDCPETEYPVKIVNTPQGCLWYKKDNKFKIPKAYIRFHLISPLIQKSAANVVLFDIFVNILTHNLAEPAYEADVAQLEYKLVAGEHGLIIRVKGFNHKLPLLFQLIIDYLAEFNSTPAVFTMITEQLKKTYFNILIKPETLAKDVRLLILEYARWSMIDKYQALMDGLSLESLLSFVKEFKSQLFVEGLVQGNVTSTESMDFLKYVVDKLNFKPLEQEMPVQFQVVELPSGHHLCKVKALNKGDANSEVTVYYQSGTRSLREYTLMELLVMHMEEPCFDFLRTKQTLGYHVYPTCRNTSGILGFSVTVGTQATKYNSEVVDKKIEEFLSSFEEKIENLTEEAFNTQVTALIKLKECEDTHLGEEVDRNWNEVVTQQYLFDRLAHEIEALKSFSKSDLVNWFKAHRGPGSKMLSVHVVGYGKYELEEDGTPSSEDSNSSCEVMQLTYLPTSPLLADCIIPITDIRAFTTTLNLLPYHKIVK
+>DECOY_sp|O43847|NRDC_HUMAN Nardilysin OS=Homo sapiens OX=9606 GN=NRDC PE=1 SV=2
+KVIKHYPLLNLTTTFARIDTIPIICDALLPSTPLYTLQMVECSSNSDESSPTGDEELEYKGYGVVHVSLMKSGPGRHAKFWNVLDSKSFSKLAEIEHALRDFLYQQTVVENWNRDVEEGLHTDECEKLKILATVQTNFAEETLNEIKEEFSSLFEEIKKDVVESNYKTAQTGVTVSFGLIGSTNRCTPYVHYGLTQKTRLFDFCPEEMHMVLLEMLTYERLSRTGSQYYVTVESNADGKNLAKVKCLHHGSPLEVVQFQVPMEQELPKFNLKDVVYKLFDMSETSTVNGQVLGEVFLQSKFEKVFSLLSELSLGDMLAQYKDIMSWRAYELILLRVDKALTEPKILINFYTKKLQETIMTFVAPTSNFEALYDIILQFLLPLKHNFGKVRIILGHEGAVLKYELQAVDAEYAPEALNHTLINVFIDFLVVNAASKQILPSILHFRIYAKPIKFKNDKKYWLCGQPTNVIKVPYETEPCDFAKLTFDTAIYKNEAPLHLDPNLEFNSNWLEAWSNEIDEISYQTGFWKEKLDCKGENAGSLLVLNAKQPVLQNLAEGIVEPKYEFLLQDGTLIDQLPYLQMNECMNEVYEVPDTQEQYHFENDEIKRIEEFIRKEPGLKQLMKLYQFVTYAVEYFHEYGEDTLTISISFVSYTSNQEFGTEGNGGFLALAWCKKRLFSLISGKGEHGVLWSIYHLPKVRYHQQQPPLAWTITLAHIKRIPVVRYLKNFAPTDFPDTLHGFNPRPLGNNPIQSFIETVWKELTDLTEKSQVVLTMYHSSYYRMWFERLRAHTDINNKRPEHKLTEANGWFFKGMPHGPRALSGFLMEKRNADSPRALQYESDVAEVERDIADRIMLPHIFFQAWRDLAEKFYKRQVDFQFVTRECDTSANDSGGHKKLFADFGNEDPYKLSGMFVMHELFHALGPLDDPDAFSGVGVCLAAASQKETTKKRAEAREELEELENDETDLDDDHEDDFEDEDDFGEEDDDEIEAGSDEDDDEEEEVEEEEEDDTTNGTKGEMNSLDSILLAQLGNQLKIYRYQKPDSPSKVIEPDGANSLSGRRGEEESEDAGLRAVRSNEGLDQGNPQLDPCSCTSKAKNRGPMALIPFPRAAASDECRGRTEIGWLAALERGAECLKRRTACVAAVTVRRLM
+>sp|Q9Y6Y0|NS1BP_HUMAN Influenza virus NS1A-binding protein OS=Homo sapiens OX=9606 GN=IVNS1ABP PE=1 SV=3
+MIPNGYLMFEDENFIESSVAKLNALRKSGQFCDVRLQVCGHEMLAHRAVLACCSPYLFEIFNSDSDPHGISHVKFDDLNPEAVEVLLNYAYTAQLKADKELVKDVYSAAKKLKMDRVKQVCGDYLLSRMDVTSCISYRNFASCMGDSRLLNKVDAYIQEHLLQISEEEEFLKLPRLKLEVMLEDNVCLPSNGKLYTKVINWVQRSIWENGDSLEELMEEVQTLYYSADHKLLDGNLLDGQAEVFGSDDDHIQFVQKKPPRENGHKQISSSSTGCLSSPNATVQSPKHEWKIVASEKTSNNTYLCLAVLDGIFCVIFLHGRNSPQSSPTSTPKLSKSLSFEMQQDELIEKPMSPMQYARSGLGTAEMNGKLIAAGGYNREECLRTVECYNPHTDHWSFLAPMRTPRARFQMAVLMGQLYVVGGSNGHSDDLSCGEMYDSNIDDWIPVPELRTNRCNAGVCALNGKLYIVGGSDPYGQKGLKNCDVFDPVTKLWTSCAPLNIRRHQSAVCELGGYLYIIGGAESWNCLNTVERYNPENNTWTLIAPMNVARRGAGVAVLNGKLFVCGGFDGSHAISCVEMYDPTRNEWKMMGNMTSPRSNAGIATVGNTIYAVGGFDGNEFLNTVEVYNLESNEWSPYTKIFQF
+>DECOY_sp|Q9Y6Y0|NS1BP_HUMAN Influenza virus NS1A-binding protein OS=Homo sapiens OX=9606 GN=IVNS1ABP PE=1 SV=3
+FQFIKTYPSWENSELNYVEVTNLFENGDFGGVAYITNGVTAIGANSRPSTMNGMMKWENRTPDYMEVCSIAHSGDFGGCVFLKGNLVAVGAGRRAVNMPAILTWTNNEPNYREVTNLCNWSEAGGIIYLYGGLECVASQHRRINLPACSTWLKTVPDFVDCNKLGKQGYPDSGGVIYLKGNLACVGANCRNTRLEPVPIWDDINSDYMEGCSLDDSHGNSGGVVYLQGMLVAMQFRARPTRMPALFSWHDTHPNYCEVTRLCEERNYGGAAILKGNMEATGLGSRAYQMPSMPKEILEDQQMEFSLSKSLKPTSTPSSQPSNRGHLFIVCFIGDLVALCLYTNNSTKESAVIKWEHKPSQVTANPSSLCGTSSSSIQKHGNERPPKKQVFQIHDDDSGFVEAQGDLLNGDLLKHDASYYLTQVEEMLEELSDGNEWISRQVWNIVKTYLKGNSPLCVNDELMVELKLRPLKLFEEEESIQLLHEQIYADVKNLLRSDGMCSAFNRYSICSTVDMRSLLYDGCVQKVRDMKLKKAASYVDKVLEKDAKLQATYAYNLLVEVAEPNLDDFKVHSIGHPDSDSNFIEFLYPSCCALVARHALMEHGCVQLRVDCFQGSKRLANLKAVSSEIFNEDEFMLYGNPIM
+>sp|Q96CB9|NSUN4_HUMAN 5-methylcytosine rRNA methyltransferase NSUN4 OS=Homo sapiens OX=9606 GN=NSUN4 PE=1 SV=2
+MAALTLRGVRELLKRVDLATVPRRHRYKKKWAATEPKFPAVRLALQNFDMTYSVQFGDLWPSIRVSLLSEQKYGALVNNFAAWDHVSAKLEQLSAKDFVNEAISHWELQSEGGQSAAPSPASWACSPNLRCFTFDRGDISRFPPARPGSLGVMEYYLMDAASLLPVLALGLQPGDIVLDLCAAPGGKTLALLQTGCCRNLAANDLSPSRIARLQKILHSYVPEEIRDGNQVRVTSWDGRKWGELEGDTYDRVLVDVPCTTDRHSLHEEENNIFKRSRKKERQILPVLQVQLLAAGLLATKPGGHVVYSTCSLSHLQNEYVVQGAIELLANQYSIQVQVEDLTHFRRVFMDTFCFFSSCQVGELVIPNLMANFGPMYFCKMRRLT
+>DECOY_sp|Q96CB9|NSUN4_HUMAN 5-methylcytosine rRNA methyltransferase NSUN4 OS=Homo sapiens OX=9606 GN=NSUN4 PE=1 SV=2
+TLRRMKCFYMPGFNAMLNPIVLEGVQCSSFFCFTDMFVRRFHTLDEVQVQISYQNALLEIAGQVVYENQLHSLSCTSYVVHGGPKTALLGAALLQVQLVPLIQREKKRSRKFINNEEEHLSHRDTTCPVDVLVRDYTDGELEGWKRGDWSTVRVQNGDRIEEPVYSHLIKQLRAIRSPSLDNAALNRCCGTQLLALTKGGPAACLDLVIDGPQLGLALVPLLSAADMLYYEMVGLSGPRAPPFRSIDGRDFTFCRLNPSCAWSAPSPAASQGGESQLEWHSIAENVFDKASLQELKASVHDWAAFNNVLAGYKQESLLSVRISPWLDGFQVSYTMDFNQLALRVAPFKPETAAWKKKYRHRRPVTALDVRKLLERVGRLTLAAM
+>sp|Q96P11|NSUN5_HUMAN Probable 28S rRNA (cytosine-C(5))-methyltransferase OS=Homo sapiens OX=9606 GN=NSUN5 PE=1 SV=2
+MGLYAAAAGVLAGVESRQGSIKGLVYSSNFQNVKQLYALVCETQRYSAVLDAVIASAGLLRAEKKLRPHLAKVLVYELLLGKGFRGGGGRWKALLGRHQARLKAELARLKVHRGVSRNEDLLEVGSRPGPASQLPRFVRVNTLKTCSDDVVDYFKRQGFSYQGRASSLDDLRALKGKHFLLDPLMPELLVFPAQTDLHEHPLYRAGHLILQDRASCLPAMLLDPPPGSHVIDACAAPGNKTSHLAALLKNQGKIFAFDLDAKRLASMATLLARAGVSCCELAEEDFLAVSPSDPRYHEVHYILLDPSCSGSGMPSRQLEEPGAGTPSPVRLHALAGFQQRALCHALTFPSLQRLVYSTCSLCQEENEDVVRDALQQNPGAFRLAPALPAWPHRGLSTFPGAEHCLRASPETTLSSGFFVAVIERVEVPR
+>DECOY_sp|Q96P11|NSUN5_HUMAN Probable 28S rRNA (cytosine-C(5))-methyltransferase OS=Homo sapiens OX=9606 GN=NSUN5 PE=1 SV=2
+RPVEVREIVAVFFGSSLTTEPSARLCHEAGPFTSLGRHPWAPLAPALRFAGPNQQLADRVVDENEEQCLSCTSYVLRQLSPFTLAHCLARQQFGALAHLRVPSPTGAGPEELQRSPMGSGSCSPDLLIYHVEHYRPDSPSVALFDEEALECCSVGARALLTAMSALRKADLDFAFIKGQNKLLAALHSTKNGPAACADIVHSGPPPDLLMAPLCSARDQLILHGARYLPHEHLDTQAPFVLLEPMLPDLLFHKGKLARLDDLSSARGQYSFGQRKFYDVVDDSCTKLTNVRVFRPLQSAPGPRSGVELLDENRSVGRHVKLRALEAKLRAQHRGLLAKWRGGGGRFGKGLLLEYVLVKALHPRLKKEARLLGASAIVADLVASYRQTECVLAYLQKVNQFNSSYVLGKISGQRSEVGALVGAAAAYLGM
+>sp|Q5TFE4|NT5D1_HUMAN 5'-nucleotidase domain-containing protein 1 OS=Homo sapiens OX=9606 GN=NT5DC1 PE=1 SV=1
+MAQHFSLAACDVVGFDLDHTLCRYNLPESAPLIYNSFAQFLVKEKGYDKELLNVTPEDWDFCCKGLALDLEDGNFLKLANNGTVLRASHGTKMMTPEVLAEAYGKKEWKHFLSDTGMACRSGKYYFYDNYFDLPGALLCARVVDYLTKLNNGQKTFDFWKDIVAAIQHNYKMSAFKENCGIYFPEIKRDPGRYLHSCPESVKKWLRQLKNAGKILLLITSSHSDYCRLLCEYILGNDFTDLFDIVITNALKPGFFSHLPSQRPFRTLENDEEQEALPSLDKPGWYSQGNAVHLYELLKKMTGKPEPKVVYFGDSMHSDIFPARHYSNWETVLILEELRGDEGTRSQRPEESEPLEKKGKYEGPKAKPLNTSSKKWGSFFIDSVLGLENTEDSLVYTWSCKRISTYSTIAIPSIEAIAELPLDYKFTRFSSSNSKTAGYYPNPPLVLSSDETLISK
+>DECOY_sp|Q5TFE4|NT5D1_HUMAN 5'-nucleotidase domain-containing protein 1 OS=Homo sapiens OX=9606 GN=NT5DC1 PE=1 SV=1
+KSILTEDSSLVLPPNPYYGATKSNSSSFRTFKYDLPLEAIAEISPIAITSYTSIRKCSWTYVLSDETNELGLVSDIFFSGWKKSSTNLPKAKPGEYKGKKELPESEEPRQSRTGEDGRLEELILVTEWNSYHRAPFIDSHMSDGFYVVKPEPKGTMKKLLEYLHVANGQSYWGPKDLSPLAEQEEDNELTRFPRQSPLHSFFGPKLANTIVIDFLDTFDNGLIYECLLRCYDSHSSTILLLIKGANKLQRLWKKVSEPCSHLYRGPDRKIEPFYIGCNEKFASMKYNHQIAAVIDKWFDFTKQGNNLKTLYDVVRACLLAGPLDFYNDYFYYKGSRCAMGTDSLFHKWEKKGYAEALVEPTMMKTGHSARLVTGNNALKLFNGDELDLALGKCCFDWDEPTVNLLEKDYGKEKVLFQAFSNYILPASEPLNYRCLTHDLDFGVVDCAALSFHQAM
+>sp|Q86YG4|NT5D4_HUMAN 5'-nucleotidase domain-containing protein 4 OS=Homo sapiens OX=9606 GN=NT5DC4 PE=2 SV=2
+MPAWIFVNRSLALGKIRCFGFDMDYTLAAYKSPAYEALTFELLLERLVCIGYPHEILRYTYDPTFPTRRLVFDELYGNLLKVDAHGNVLLGAYGFTFLSEAEIWSFYPSKFIQRDDLQCFYILNMLFNLPETYLYACLVDFFSGCSRYTNCDTGYQHGNLFMSFRSLFQDVTDAMNNIHQSGCLKKTLEDLEKYVKKDPRLPILLGKMKEVGKVFLATNSSYNYTNAIMTYLFSISEAEASGRPWRSYFDLIVVDTQKPHFFAEGLVLRQVNTDSGKLHVGTSTGPHQHCAVYSGGSSDMVCELLGVRGMDILYIGDHIFGDILKSKKRQGWRTCLVVPELSWELDIWAQEKERLEELKRLDTHLADIYQHMDGSSCELQVINFTKREIQMPHESVVEQEQANLDPASCLLSCNQRSLPAKSCLSSAI
+>DECOY_sp|Q86YG4|NT5D4_HUMAN 5'-nucleotidase domain-containing protein 4 OS=Homo sapiens OX=9606 GN=NT5DC4 PE=2 SV=2
+IASSLCSKAPLSRQNCSLLCSAPDLNAQEQEVVSEHPMQIERKTFNIVQLECSSGDMHQYIDALHTDLRKLEELREKEQAWIDLEWSLEPVVLCTRWGQRKKSKLIDGFIHDGIYLIDMGRVGLLECVMDSSGGSYVACHQHPGTSTGVHLKGSDTNVQRLVLGEAFFHPKQTDVVILDFYSRWPRGSAEAESISFLYTMIANTYNYSSNTALFVKGVEKMKGLLIPLRPDKKVYKELDELTKKLCGSQHINNMADTVDQFLSRFSMFLNGHQYGTDCNTYRSCGSFFDVLCAYLYTEPLNFLMNLIYFCQLDDRQIFKSPYFSWIEAESLFTFGYAGLLVNGHADVKLLNGYLEDFVLRRTPFTPDYTYRLIEHPYGICVLRELLLEFTLAEYAPSKYAALTYDMDFGFCRIKGLALSRNVFIWAPM
+>sp|Q8IVF1|NTM2A_HUMAN NUT family member 2A OS=Homo sapiens OX=9606 GN=NUTM2A PE=2 SV=4
+MEVKGPSGRSFCCESEGQFKSCLKRHTPSLLLPSSWKGNSGSCLMAKALHRMSPTPNSCPLPLPLCRMSGVLCSRNLFTFKFSLFQLDSGASGEPGHSLGLTLGFSHCGNCQTAVVSAQPEGMASNGAYPALGPGVTANPGTSLSVFTALPFTTPAPGPAHGPLLVTAGAPPGGPLVLSTLPSTPLVTEQDGCGPSGAGASNVFVQMRTEVGPVKAAQAQTLVLTQAPLVWQAPGALCGGVVCPPPLLLAAAPVVPVMAAQVVGGTQACEGGWSQGLPLPPPPPPAAQLPPIVSQGNAGPWPQGAHGEGSLASSQAKAPPDDSCNPRSVYENFRLWQHYKPLARRHLPQSPDTEALSCFLIPVLRSLARRKPTMTLEEGLWRAMREWQHTSNFDRMIFYEMAEKFLEFEAEEEMQIQKSQWMKGPQCLPPPATPRLEPRGPPAPEVVKQPVYLPSKAGPKAPTACLPPPRPQRPVTKARRPPPRPHRRAETKARLPPPRPQRPAETKVPEEIPPEVVQEYVDIMEELLGPSLGATGEPEKQREEGEVKQPQEEDWTPPDPGLLSYTDKLCSQKDFVTKVEAVIHPQFLEELLSPDPQMDFLALSQELEQEEGLTLAQLVEKRLLPLKEKQHARAAPSRGTARLDSSSSKFAAGQGAERDVPVPQQGVGMETCPPQTTARDSQGRGRAHTGMARSKDSVVLLGCQDSPGLRAARPTSPPQDHRPTCPGVGTKDALDLPGGSPVRESHGLAQGSSEEEELPSLAFLLGSQHKLLPWWLPQSPVPASGLLSPEKWGPQGTHQFPSAERRGLNLAPSPANKAKKRPLFGSLSPAEKTPHPGPGLRVSGEQSLTWGLGGPSQSQKRKGDPLVSRKEKKQRCSQ
+>DECOY_sp|Q8IVF1|NTM2A_HUMAN NUT family member 2A OS=Homo sapiens OX=9606 GN=NUTM2A PE=2 SV=4
+QSCRQKKEKRSVLPDGKRKQSQSPGGLGWTLSQEGSVRLGPGPHPTKEAPSLSGFLPRKKAKNAPSPALNLGRREASPFQHTGQPGWKEPSLLGSAPVPSQPLWWPLLKHQSGLLFALSPLEEEESSGQALGHSERVPSGGPLDLADKTGVGPCTPRHDQPPSTPRAARLGPSDQCGLLVVSDKSRAMGTHARGRGQSDRATTQPPCTEMGVGQQPVPVDREAGQGAAFKSSSSDLRATGRSPAARAHQKEKLPLLRKEVLQALTLGEEQELEQSLALFDMQPDPSLLEELFQPHIVAEVKTVFDKQSCLKDTYSLLGPDPPTWDEEQPQKVEGEERQKEPEGTAGLSPGLLEEMIDVYEQVVEPPIEEPVKTEAPRQPRPPPLRAKTEARRHPRPPPRRAKTVPRQPRPPPLCATPAKPGAKSPLYVPQKVVEPAPPGRPELRPTAPPPLCQPGKMWQSKQIQMEEEAEFELFKEAMEYFIMRDFNSTHQWERMARWLGEELTMTPKRRALSRLVPILFCSLAETDPSQPLHRRALPKYHQWLRFNEYVSRPNCSDDPPAKAQSSALSGEGHAGQPWPGANGQSVIPPLQAAPPPPPPLPLGQSWGGECAQTGGVVQAAMVPVVPAAALLLPPPCVVGGCLAGPAQWVLPAQTLVLTQAQAAKVPGVETRMQVFVNSAGAGSPGCGDQETVLPTSPLTSLVLPGGPPAGATVLLPGHAPGPAPTTFPLATFVSLSTGPNATVGPGLAPYAGNSAMGEPQASVVATQCNGCHSFGLTLGLSHGPEGSAGSDLQFLSFKFTFLNRSCLVGSMRCLPLPLPCSNPTPSMRHLAKAMLCSGSNGKWSSPLLLSPTHRKLCSKFQGESECCFSRGSPGKVEM
+>sp|A1L443|NTM2F_HUMAN NUT family member 2F OS=Homo sapiens OX=9606 GN=NUTM2F PE=2 SV=2
+MASNGAYPVLGPGVTVNPGTSLSVFTALPFATPAPGPAHRPPLVTAVVPPAGPLVLSAFPSTPLVAGQDGRGPSGAGASNVFVQMRTEVGPVKPPQAQTLILTQAPLVWQAPGTLCGGVMCPPPLLLAAAPGVPVTSAQVVGGTQACEGGWSHGLPLPPPPPAAQVAPIVSPGNARPWPQGAHGEGSLAPSQAKARPDDSCKPKSVYENFRLWQHYKPLARRHLPQSPDTEALSCFLIPVLRSLARRKPTMTLEEGLWQAMREWQHTSNFDRMIFYEMAEKFLEFEAEEEMQIQKSQWMKGPQSLPPPAPPRLEPRGPPAPEVVKQPVYLPSKDGPKAPTACLPPPRPQRPAETKAHLPPPRPQRPAETNAHLPPPRPQRPAETKVPEEIPPEVVQEYVDIMEELLGSHPGDTGEPEGQREKGKVEQPQEEDGITSDPGLLSYIDKLCSQEDFVTKVEAVIHPRFLEELLSPDPQMDFLALSQELEQEEGLTLAQLVEKRLLSLKEKGCGRAAPRHGTARLDSSPSEFAAGQEAAREVPDPQQRVSVETSPPQTAAQDPQGQGRVRTGMARSEDPAVLLGCQDSPRLKAVRPTSPPQDHRPTCPGLGTKDALGLPGESPVKESHGLAKGSSEETELPGMVYVVGSHHRLRPWRLSQSPVPSSGLLSPGGRGPQGALQSPSAQKRGLSPSPSPASKSKKRPLFGSPSPAEKTPHPGPGLRVSGEQSLAWGLGGPSQSQKRKGDPLASRRKKKRHCSQ
+>DECOY_sp|A1L443|NTM2F_HUMAN NUT family member 2F OS=Homo sapiens OX=9606 GN=NUTM2F PE=2 SV=2
+QSCHRKKKRRSALPDGKRKQSQSPGGLGWALSQEGSVRLGPGPHPTKEAPSPSGFLPRKKSKSAPSPSPSLGRKQASPSQLAGQPGRGGPSLLGSSPVPSQSLRWPRLRHHSGVVYVMGPLETEESSGKALGHSEKVPSEGPLGLADKTGLGPCTPRHDQPPSTPRVAKLRPSDQCGLLVAPDESRAMGTRVRGQGQPDQAATQPPSTEVSVRQQPDPVERAAEQGAAFESPSSDLRATGHRPAARGCGKEKLSLLRKEVLQALTLGEEQELEQSLALFDMQPDPSLLEELFRPHIVAEVKTVFDEQSCLKDIYSLLGPDSTIGDEEQPQEVKGKERQGEPEGTDGPHSGLLEEMIDVYEQVVEPPIEEPVKTEAPRQPRPPPLHANTEAPRQPRPPPLHAKTEAPRQPRPPPLCATPAKPGDKSPLYVPQKVVEPAPPGRPELRPPAPPPLSQPGKMWQSKQIQMEEEAEFELFKEAMEYFIMRDFNSTHQWERMAQWLGEELTMTPKRRALSRLVPILFCSLAETDPSQPLHRRALPKYHQWLRFNEYVSKPKCSDDPRAKAQSPALSGEGHAGQPWPRANGPSVIPAVQAAPPPPPLPLGHSWGGECAQTGGVVQASTVPVGPAAALLLPPPCMVGGCLTGPAQWVLPAQTLILTQAQPPKVPGVETRMQVFVNSAGAGSPGRGDQGAVLPTSPFASLVLPGAPPVVATVLPPRHAPGPAPTAFPLATFVSLSTGPNVTVGPGLVPYAGNSAM
+>sp|Q9Y2I2|NTNG1_HUMAN Netrin-G1 OS=Homo sapiens OX=9606 GN=NTNG1 PE=1 SV=3
+MYLSRFLSIHALWVTVSSVMQPYPLVWGHYDLCKTQIYTEEGKVWDYMACQPESTDMTKYLKVKLDPPDITCGDPPETFCAMGNPYMCNNECDASTPELAHPPELMFDFEGRHPSTFWQSATWKEYPKPLQVNITLSWSKTIELTDNIVITFESGRPDQMILEKSLDYGRTWQPYQYYATDCLDAFHMDPKSVKDLSQHTVLEIICTEEYSTGYTTNSKIIHFEIKDRFAFFAGPRLRNMASLYGQLDTTKKLRDFFTVTDLRIRLLRPAVGEIFVDELHLARYFYAISDIKVRGRCKCNLHATVCVYDNSKLTCECEHNTTGPDCGKCKKNYQGRPWSPGSYLPIPKGTANTCIPSISSIGNCECFGHSNRCSYIDLLNTVICVSCKHNTRGQHCELCRLGYFRNASAQLDDENVCIECYCNPLGSIHDRCNGSGFCECKTGTTGPKCDECLPGNSWHYGCQPNVCDNELLHCQNGGTCHNNVRCLCPAAYTGILCEKLRCEEAGSCGSDSGQGAPPHGSPALLLLTTLLGTASPLVF
+>DECOY_sp|Q9Y2I2|NTNG1_HUMAN Netrin-G1 OS=Homo sapiens OX=9606 GN=NTNG1 PE=1 SV=3
+FVLPSATGLLTTLLLLAPSGHPPAGQGSDSGCSGAEECRLKECLIGTYAAPCLCRVNNHCTGGNQCHLLENDCVNPQCGYHWSNGPLCEDCKPGTTGTKCECFGSGNCRDHISGLPNCYCEICVNEDDLQASANRFYGLRCLECHQGRTNHKCSVCIVTNLLDIYSCRNSHGFCECNGISSISPICTNATGKPIPLYSGPSWPRGQYNKKCKGCDPGTTNHECECTLKSNDYVCVTAHLNCKCRGRVKIDSIAYFYRALHLEDVFIEGVAPRLLRIRLDTVTFFDRLKKTTDLQGYLSAMNRLRPGAFFAFRDKIEFHIIKSNTTYGTSYEETCIIELVTHQSLDKVSKPDMHFADLCDTAYYQYPQWTRGYDLSKELIMQDPRGSEFTIVINDTLEITKSWSLTINVQLPKPYEKWTASQWFTSPHRGEFDFMLEPPHALEPTSADCENNCMYPNGMACFTEPPDGCTIDPPDLKVKLYKTMDTSEPQCAMYDWVKGEETYIQTKCLDYHGWVLPYPQMVSSVTVWLAHISLFRSLYM
+>sp|Q96CW9|NTNG2_HUMAN Netrin-G2 OS=Homo sapiens OX=9606 GN=NTNG2 PE=1 SV=2
+MLHLLALFLHCLPLASGDYDICKSWVTTDEGPTWEFYACQPKVMRLKDYVKVKVEPSGITCGDPPERFCSHENPYLCSNECDASNPDLAHPPRLMFDKEEEGLATYWQSITWSRYPSPLEANITLSWNKTVELTDDVVMTFEYGRPTVMVLEKSLDNGRTWQPYQFYAEDCMEAFGMSARRARDMSSSSAHRVLCTEEYSRWAGSKKEKHVRFEVRDRFAIFAGPDLRNMDNLYTRLESAKGLKEFFTLTDLRMRLLRPALGGTYVQRENLYKYFYAISNIEVIGRCKCNLHANLCSMREGSLQCECEHNTTGPDCGKCKKNFRTRSWRAGSYLPLPHGSPNACATAGSFGNCECYGHSNRCSYIDFLNVVTCVSCKHNTRGQHCQHCRLGYYRNGSAELDDENVCIECNCNQIGSVHDRCNETGFCECREGAAGPKCDDCLPTHYWRQGCYPNVCDDDQLLCQNGGTCLQNQRCACPRGYTGVRCEQPRCDPADDDGGLDCDRAPGAAPRPATLLGCLLLLGLAARLGR
+>DECOY_sp|Q96CW9|NTNG2_HUMAN Netrin-G2 OS=Homo sapiens OX=9606 GN=NTNG2 PE=1 SV=2
+RGLRAALGLLLLCGLLTAPRPAAGPARDCDLGGDDDAPDCRPQECRVGTYGRPCACRQNQLCTGGNQCLLQDDDCVNPYCGQRWYHTPLCDDCKPGAAGERCECFGTENCRDHVSGIQNCNCEICVNEDDLEASGNRYYGLRCHQCHQGRTNHKCSVCTVVNLFDIYSCRNSHGYCECNGFSGATACANPSGHPLPLYSGARWSRTRFNKKCKGCDPGTTNHECECQLSGERMSCLNAHLNCKCRGIVEINSIAYFYKYLNERQVYTGGLAPRLLRMRLDTLTFFEKLGKASELRTYLNDMNRLDPGAFIAFRDRVEFRVHKEKKSGAWRSYEETCLVRHASSSSMDRARRASMGFAEMCDEAYFQYPQWTRGNDLSKELVMVTPRGYEFTMVVDDTLEVTKNWSLTINAELPSPYRSWTISQWYTALGEEEKDFMLRPPHALDPNSADCENSCLYPNEHSCFREPPDGCTIGSPEVKVKVYDKLRMVKPQCAYFEWTPGEDTTVWSKCIDYDGSALPLCHLFLALLHLM
+>sp|Q9P121|NTRI_HUMAN Neurotrimin OS=Homo sapiens OX=9606 GN=NTM PE=1 SV=1
+MGVCGYLFLPWKCLVVVSLRLLFLVPTGVPVRSGDATFPKAMDNVTVRQGESATLRCTIDNRVTRVAWLNRSTILYAGNDKWCLDPRVVLLSNTQTQYSIEIQNVDVYDEGPYTCSVQTDNHPKTSRVHLIVQVSPKIVEISSDISINEGNNISLTCIATGRPEPTVTWRHISPKAVGFVSEDEYLEIQGITREQSGDYECSASNDVAAPVVRRVKVTVNYPPYISEAKGTGVPVGQKGTLQCEASAVPSAEFQWYKDDKRLIEGKKGVKVENRPFLSKLIFFNVSEHDYGNYTCVASNKLGHTNASIMLFGPGAVSEVSNGTSRRAGCVWLLPLLVLHLLLKF
+>DECOY_sp|Q9P121|NTRI_HUMAN Neurotrimin OS=Homo sapiens OX=9606 GN=NTM PE=1 SV=1
+FKLLLHLVLLPLLWVCGARRSTGNSVESVAGPGFLMISANTHGLKNSAVCTYNGYDHESVNFFILKSLFPRNEVKVGKKGEILRKDDKYWQFEASPVASAECQLTGKQGVPVGTGKAESIYPPYNVTVKVRRVVPAAVDNSASCEYDGSQERTIGQIELYEDESVFGVAKPSIHRWTVTPEPRGTAICTLSINNGENISIDSSIEVIKPSVQVILHVRSTKPHNDTQVSCTYPGEDYVDVNQIEISYQTQTNSLLVVRPDLCWKDNGAYLITSRNLWAVRTVRNDITCRLTASEGQRVTVNDMAKPFTADGSRVPVGTPVLFLLRLSVVVLCKWPLFLYGCVGM
+>sp|P04629|NTRK1_HUMAN High affinity nerve growth factor receptor OS=Homo sapiens OX=9606 GN=NTRK1 PE=1 SV=4
+MLRGGRRGQLGWHSWAAGPGSLLAWLILASAGAAPCPDACCPHGSSGLRCTRDGALDSLHHLPGAENLTELYIENQQHLQHLELRDLRGLGELRNLTIVKSGLRFVAPDAFHFTPRLSRLNLSFNALESLSWKTVQGLSLQELVLSGNPLHCSCALRWLQRWEEEGLGGVPEQKLQCHGQGPLAHMPNASCGVPTLKVQVPNASVDVGDDVLLRCQVEGRGLEQAGWILTELEQSATVMKSGGLPSLGLTLANVTSDLNRKNVTCWAENDVGRAEVSVQVNVSFPASVQLHTAVEMHHWCIPFSVDGQPAPSLRWLFNGSVLNETSFIFTEFLEPAANETVRHGCLRLNQPTHVNNGNYTLLAANPFGQASASIMAAFMDNPFEFNPEDPIPVSFSPVDTNSTSGDPVEKKDETPFGVSVAVGLAVFACLFLSTLLLVLNKCGRRNKFGINRPAVLAPEDGLAMSLHFMTLGGSSLSPTEGKGSGLQGHIIENPQYFSDACVHHIKRRDIVLKWELGEGAFGKVFLAECHNLLPEQDKMLVAVKALKEASESARQDFQREAELLTMLQHQHIVRFFGVCTEGRPLLMVFEYMRHGDLNRFLRSHGPDAKLLAGGEDVAPGPLGLGQLLAVASQVAAGMVYLAGLHFVHRDLATRNCLVGQGLVVKIGDFGMSRDIYSTDYYRVGGRTMLPIRWMPPESILYRKFTTESDVWSFGVVLWEIFTYGKQPWYQLSNTEAIDCITQGRELERPRACPPEVYAIMRGCWQREPQQRHSIKDVHARLQALAQAPPVYLDVLG
+>DECOY_sp|P04629|NTRK1_HUMAN High affinity nerve growth factor receptor OS=Homo sapiens OX=9606 GN=NTRK1 PE=1 SV=4
+GLVDLYVPPAQALAQLRAHVDKISHRQQPERQWCGRMIAYVEPPCARPRELERGQTICDIAETNSLQYWPQKGYTFIEWLVVGFSWVDSETTFKRYLISEPPMWRIPLMTRGGVRYYDTSYIDRSMGFDGIKVVLGQGVLCNRTALDRHVFHLGALYVMGAAVQSAVALLQGLGLPGPAVDEGGALLKADPGHSRLFRNLDGHRMYEFVMLLPRGETCVGFFRVIHQHQLMTLLEAERQFDQRASESAEKLAKVAVLMKDQEPLLNHCEALFVKGFAGEGLEWKLVIDRRKIHHVCADSFYQPNEIIHGQLGSGKGETPSLSSGGLTMFHLSMALGDEPALVAPRNIGFKNRRGCKNLVLLLTSLFLCAFVALGVAVSVGFPTEDKKEVPDGSTSNTDVPSFSVPIPDEPNFEFPNDMFAAMISASAQGFPNAALLTYNGNNVHTPQNLRLCGHRVTENAAPELFETFIFSTENLVSGNFLWRLSPAPQGDVSFPICWHHMEVATHLQVSAPFSVNVQVSVEARGVDNEAWCTVNKRNLDSTVNALTLGLSPLGGSKMVTASQELETLIWGAQELGRGEVQCRLLVDDGVDVSANPVQVKLTPVGCSANPMHALPGQGHCQLKQEPVGGLGEEEWRQLWRLACSCHLPNGSLVLEQLSLGQVTKWSLSELANFSLNLRSLRPTFHFADPAVFRLGSKVITLNRLEGLGRLDRLELHQLHQQNEIYLETLNEAGPLHHLSDLAGDRTCRLGSSGHPCCADPCPAAGASALILWALLSGPGAAWSHWGLQGRRGGRLM
+>sp|P03923|NU6M_HUMAN NADH-ubiquinone oxidoreductase chain 6 OS=Homo sapiens OX=9606 GN=MT-ND6 PE=1 SV=2
+MMYALFLLSVGLVMGFVGFSSKPSPIYGGLVLIVSGVVGCVIILNFGGGYMGLMVFLIYLGGMMVVFGYTTAMAIEEYPEAWGSGVEVLVSVLVGLAMEVGLVLWVKEYDGVVVVVNFNSVGSWMIYEGEGSGLIREDPIGAGALYDYGRWLVVVTGWTLFVGVYIVIEIARGN
+>DECOY_sp|P03923|NU6M_HUMAN NADH-ubiquinone oxidoreductase chain 6 OS=Homo sapiens OX=9606 GN=MT-ND6 PE=1 SV=2
+NGRAIEIVIYVGVFLTWGTVVVLWRGYDYLAGAGIPDERILGSGEGEYIMWSGVSNFNVVVVVGDYEKVWLVLGVEMALGVLVSVLVEVGSGWAEPYEEIAMATTYGFVVMMGGLYILFVMLGMYGGGFNLIIVCGVVGSVILVLGGYIPSPKSSFGVFGMVLGVSLLFLAYMM
+>sp|Q9Y5Y2|NUBP2_HUMAN Cytosolic Fe-S cluster assembly factor NUBP2 OS=Homo sapiens OX=9606 GN=NUBP2 PE=1 SV=1
+MEAAAEPGNLAGVRHIILVLSGKGGVGKSTISTELALALRHAGKKVGILDVDLCGPSIPRMLGAQGRAVHQCDRGWAPVFLDREQSISLMSVGFLLEKPDEAVVWRGPKKNALIKQFVSDVAWGELDYLVVDTPPGTSDEHMATIEALRPYQPLGALVVTTPQAVSVGDVRRELTFCRKTGLRVMGIVENMSGFTCPHCTECTSVFSRGGGEELAQLAGVPFLGSVPLDPALMRTLEEGHDFIQEFPGSPAFAALTSIAQKILDATPACLP
+>DECOY_sp|Q9Y5Y2|NUBP2_HUMAN Cytosolic Fe-S cluster assembly factor NUBP2 OS=Homo sapiens OX=9606 GN=NUBP2 PE=1 SV=1
+PLCAPTADLIKQAISTLAAFAPSGPFEQIFDHGEELTRMLAPDLPVSGLFPVGALQALEEGGGRSFVSTCETCHPCTFGSMNEVIGMVRLGTKRCFTLERRVDGVSVAQPTTVVLAGLPQYPRLAEITAMHEDSTGPPTDVVLYDLEGWAVDSVFQKILANKKPGRWVVAEDPKELLFGVSMLSISQERDLFVPAWGRDCQHVARGQAGLMRPISPGCLDVDLIGVKKGAHRLALALETSITSKGVGGKGSLVLIIHRVGALNGPEAAAEM
+>sp|Q02818|NUCB1_HUMAN Nucleobindin-1 OS=Homo sapiens OX=9606 GN=NUCB1 PE=1 SV=4
+MPPSGPRGTLLLLPLLLLLLLRAVLAVPLERGAPNKEETPATESPDTGLYYHRYLQEVIDVLETDGHFREKLQAANAEDIKSGKLSRELDFVSHHVRTKLDELKRQEVSRLRMLLKAKMDAEQDPNVQVDHLNLLKQFEHLDPQNQHTFEARDLELLIQTATRDLAQYDAAHHEEFKRYEMLKEHERRRYLESLGEEQRKEAERKLEEQQRRHREHPKVNVPGSQAQLKEVWEELDGLDPNRFNPKTFFILHDINSDGVLDEQELEALFTKELEKVYDPKNEEDDMREMEEERLRMREHVMKNVDTNQDRLVTLEEFLASTQRKEFGDTGEGWETVEMHPAYTEEELRRFEEELAAREAELNAKAQRLSQETEALGRSQGRLEAQKRELQQAVLHMEQRKQQQQQQQGHKAPAAHPEGQLKFHPDTDDVPVPAPAGDQKEVDTSEKKLLERLPEVEVPQHL
+>DECOY_sp|Q02818|NUCB1_HUMAN Nucleobindin-1 OS=Homo sapiens OX=9606 GN=NUCB1 PE=1 SV=4
+LHQPVEVEPLRELLKKESTDVEKQDGAPAPVPVDDTDPHFKLQGEPHAAPAKHGQQQQQQQKRQEMHLVAQQLERKQAELRGQSRGLAETEQSLRQAKANLEAERAALEEEFRRLEEETYAPHMEVTEWGEGTDGFEKRQTSALFEELTVLRDQNTDVNKMVHERMRLREEEMERMDDEENKPDYVKELEKTFLAELEQEDLVGDSNIDHLIFFTKPNFRNPDLGDLEEWVEKLQAQSGPVNVKPHERHRRQQEELKREAEKRQEEGLSELYRRREHEKLMEYRKFEEHHAADYQALDRTATQILLELDRAEFTHQNQPDLHEFQKLLNLHDVQVNPDQEADMKAKLLMRLRSVEQRKLEDLKTRVHHSVFDLERSLKGSKIDEANAAQLKERFHGDTELVDIVEQLYRHYYLGTDPSETAPTEEKNPAGRELPVALVARLLLLLLLPLLLLTGRPGSPPM
+>sp|Q9BQG2|NUD12_HUMAN Peroxisomal NADH pyrophosphatase NUDT12 OS=Homo sapiens OX=9606 GN=NUDT12 PE=1 SV=1
+MSSVKRSLKQEIVTQFHCSAAEGDIAKLTGILSHSPSLLNETSENGWTALMYAARNGHPEIVQFLLEKGCDRSIVNKSRQTALDIAVFWGYKHIANLLATAKGGKKPWFLTNEVEECENYFSKTLLDRKSEKRNNSDWLLAKESHPATVFILFSDLNPLVTLGGNKESFQQPEVRLCQLNYTDIKDYLAQPEKITLIFLGVELEIKDKLLNYAGEVPREEEDGLVAWFALGIDPIAAEEFKQRHENCYFLHPPMPALLQLKEKEAGVVAQARSVLAWHSRYKFCPTCGNATKIEEGGYKRLCLKEDCPSLNGVHNTSYPRVDPVVIMQVIHPDGTKCLLGRQKRFPPGMFTCLAGFIEPGETIEDAVRREVEEESGVKVGHVQYVACQPWPMPSSLMIGCLALAVSTEIKVDKNEIEDARWFTREQVLDVLTKGKQQAFFVPPSRAIAHQLIKHWIRINPNL
+>DECOY_sp|Q9BQG2|NUD12_HUMAN Peroxisomal NADH pyrophosphatase NUDT12 OS=Homo sapiens OX=9606 GN=NUDT12 PE=1 SV=1
+LNPNIRIWHKILQHAIARSPPVFFAQQKGKTLVDLVQERTFWRADEIENKDVKIETSVALALCGIMLSSPMPWPQCAVYQVHGVKVGSEEEVERRVADEITEGPEIFGALCTFMGPPFRKQRGLLCKTGDPHIVQMIVVPDVRPYSTNHVGNLSPCDEKLCLRKYGGEEIKTANGCTPCFKYRSHWALVSRAQAVVGAEKEKLQLLAPMPPHLFYCNEHRQKFEEAAIPDIGLAFWAVLGDEEERPVEGAYNLLKDKIELEVGLFILTIKEPQALYDKIDTYNLQCLRVEPQQFSEKNGGLTVLPNLDSFLIFVTAPHSEKALLWDSNNRKESKRDLLTKSFYNECEEVENTLFWPKKGGKATALLNAIHKYGWFVAIDLATQRSKNVISRDCGKELLFQVIEPHGNRAAYMLATWGNESTENLLSPSHSLIGTLKAIDGEAASCHFQTVIEQKLSRKVSSM
+>sp|O95478|NSA2_HUMAN Ribosome biogenesis protein NSA2 homolog OS=Homo sapiens OX=9606 GN=NSA2 PE=1 SV=1
+MPQNEYIELHRKRYGYRLDYHEKKRKKESREAHERSKKAKKMIGLKAKLYHKQRHAEKIQMKKTIKMHEKRNTKQKNDEKTPQGAVPAYLLDREGQSRAKVLSNMIKQKRKEKAGKWEVPLPKVRAQGETEVLKVIRTGKRKKKAWKRMVTKVCFVGDGFTRKPPKYERFIRPMGLRFKKAHVTHPELKATFCLPILGVKKNPSSPLYTTLGVITKGTVIEVNVSELGLVTQGGKVIWGKYAQVTNNPENDGCINAVLLV
+>DECOY_sp|O95478|NSA2_HUMAN Ribosome biogenesis protein NSA2 homolog OS=Homo sapiens OX=9606 GN=NSA2 PE=1 SV=1
+VLLVANICGDNEPNNTVQAYKGWIVKGGQTVLGLESVNVEIVTGKTIVGLTTYLPSSPNKKVGLIPLCFTAKLEPHTVHAKKFRLGMPRIFREYKPPKRTFGDGVFCVKTVMRKWAKKKRKGTRIVKLVETEGQARVKPLPVEWKGAKEKRKQKIMNSLVKARSQGERDLLYAPVAGQPTKEDNKQKTNRKEHMKITKKMQIKEAHRQKHYLKAKLGIMKKAKKSREHAERSEKKRKKEHYDLRYGYRKRHLEIYENQPM
+>sp|Q96MF7|NSE2_HUMAN E3 SUMO-protein ligase NSE2 OS=Homo sapiens OX=9606 GN=NSMCE2 PE=1 SV=2
+MPGRSSSNSGSTGFISFSGVESALSSLKNFQACINSGMDTASSVALDLVESQTEVSSEYSMDKAMVEFATLDRQLNHYVKAVQSTINHVKEERPEKIPDLKLLVEKKFLALQSKNSDADFQNNEKFVQFKQQLKELKKQCGLQADREADGTEGVDEDIIVTQSQTNFTCPITKEEMKKPVKNKVCGHTYEEDAIVRMIESRQKRKKKAYCPQIGCSHTDIRKSDLIQDEALRRAIENHNKKRHRHSE
+>DECOY_sp|Q96MF7|NSE2_HUMAN E3 SUMO-protein ligase NSE2 OS=Homo sapiens OX=9606 GN=NSMCE2 PE=1 SV=2
+ESHRHRKKNHNEIARRLAEDQILDSKRIDTHSCGIQPCYAKKKRKQRSEIMRVIADEEYTHGCVKNKVPKKMEEKTIPCTFNTQSQTVIIDEDVGETGDAERDAQLGCQKKLEKLQQKFQVFKENNQFDADSNKSQLALFKKEVLLKLDPIKEPREEKVHNITSQVAKVYHNLQRDLTAFEVMAKDMSYESSVETQSEVLDLAVSSATDMGSNICAQFNKLSSLASEVGSFSIFGTSGSNSSSRGPM
+>sp|P46459|NSF_HUMAN Vesicle-fusing ATPase OS=Homo sapiens OX=9606 GN=NSF PE=1 SV=3
+MAGRSMQAARCPTDELSLTNCAVVNEKDFQSGQHVIVRTSPNHRYTFTLKTHPSVVPGSIAFSLPQRKWAGLSIGQEIEVSLYTFDKAKQCIGTMTIEIDFLQKKSIDSNPYDTDKMAAEFIQQFNNQAFSVGQQLVFSFNEKLFGLLVKDIEAMDPSILKGEPATGKRQKIEVGLVVGNSQVAFEKAENSSLNLIGKAKTKENRQSIINPDWNFEKMGIGGLDKEFSDIFRRAFASRVFPPEIVEQMGCKHVKGILLYGPPGCGKTLLARQIGKMLNAREPKVVNGPEILNKYVGESEANIRKLFADAEEEQRRLGANSGLHIIIFDEIDAICKQRGSMAGSTGVHDTVVNQLLSKIDGVEQLNNILVIGMTNRPDLIDEALLRPGRLEVKMEIGLPDEKGRLQILHIHTARMRGHQLLSADVDIKELAVETKNFSGAELEGLVRAAQSTAMNRHIKASTKVEVDMEKAESLQVTRGDFLASLENDIKPAFGTNQEDYASYIMNGIIKWGDPVTRVLDDGELLVQQTKNSDRTPLVSVLLEGPPHSGKTALAAKIAEESNFPFIKICSPDKMIGFSETAKCQAMKKIFDDAYKSQLSCVVVDDIERLLDYVPIGPRFSNLVLQALLVLLKKAPPQGRKLLIIGTTSRKDVLQEMEMLNAFSTTIHVPNIATGEQLLEALELLGNFKDKERTTIAQQVKGKKVWIGIKKLLMLIEMSLQMDPEYRVRKFLALLREEGASPLDFD
+>DECOY_sp|P46459|NSF_HUMAN Vesicle-fusing ATPase OS=Homo sapiens OX=9606 GN=NSF PE=1 SV=3
+DFDLPSAGEERLLALFKRVRYEPDMQLSMEILMLLKKIGIWVKKGKVQQAITTREKDKFNGLLELAELLQEGTAINPVHITTSFANLMEMEQLVDKRSTTGIILLKRGQPPAKKLLVLLAQLVLNSFRPGIPVYDLLREIDDVVVCSLQSKYADDFIKKMAQCKATESFGIMKDPSCIKIFPFNSEEAIKAALATKGSHPPGELLVSVLPTRDSNKTQQVLLEGDDLVRTVPDGWKIIGNMIYSAYDEQNTGFAPKIDNELSALFDGRTVQLSEAKEMDVEVKTSAKIHRNMATSQAARVLGELEAGSFNKTEVALEKIDVDASLLQHGRMRATHIHLIQLRGKEDPLGIEMKVELRGPRLLAEDILDPRNTMGIVLINNLQEVGDIKSLLQNVVTDHVGTSGAMSGRQKCIADIEDFIIIHLGSNAGLRRQEEEADAFLKRINAESEGVYKNLIEPGNVVKPERANLMKGIQRALLTKGCGPPGYLLIGKVHKCGMQEVIEPPFVRSAFARRFIDSFEKDLGGIGMKEFNWDPNIISQRNEKTKAKGILNLSSNEAKEFAVQSNGVVLGVEIKQRKGTAPEGKLISPDMAEIDKVLLGFLKENFSFVLQQGVSFAQNNFQQIFEAAMKDTDYPNSDISKKQLFDIEITMTGICQKAKDFTYLSVEIEQGISLGAWKRQPLSFAISGPVVSPHTKLTFTYRHNPSTRVIVHQGSQFDKENVVACNTLSLEDTPCRAAQMSRGAM
+>sp|Q3KNT7|NSN5B_HUMAN Putative NOL1/NOP2/Sun domain family member 5B OS=Homo sapiens OX=9606 GN=NSUN5P1 PE=5 SV=1
+MATLLAWVGVSCCELAEEDFLAVSPLDPRYREVHYVLLDPSCSGSGMPSRQLEDPGAGTPSPVRLHALAGFQQRALCHALTFPSLQRLVYSMCSLCQEENEDMVPDALQQNPGAFRLAPALPARPHRGLSTFPGAEHCLRASPKTTLSGGFFVAVIERVEMPT
+>DECOY_sp|Q3KNT7|NSN5B_HUMAN Putative NOL1/NOP2/Sun domain family member 5B OS=Homo sapiens OX=9606 GN=NSUN5P1 PE=5 SV=1
+TPMEVREIVAVFFGGSLTTKPSARLCHEAGPFTSLGRHPRAPLAPALRFAGPNQQLADPVMDENEEQCLSCMSYVLRQLSPFTLAHCLARQQFGALAHLRVPSPTGAGPDELQRSPMGSGSCSPDLLVYHVERYRPDLPSVALFDEEALECCSVGVWALLTAM
+>sp|Q6ZSK4|NTAS1_HUMAN Putative uncharacterized protein NTM-AS1 OS=Homo sapiens OX=9606 GN=NTM-AS1 PE=5 SV=1
+MKGQEGIRGEGCTDPEIKASPQMWAARFRGMRSRFSPLFSQATEMGPRVSAGWCLSGGGRKVSSLQGDFPPGGFWALSNDSALSLPPLSLPHPHPLRPPGLGVNEFTQGLHPPLHPAASVFQTCFYRKPHYCSTLRPTTT
+>DECOY_sp|Q6ZSK4|NTAS1_HUMAN Putative uncharacterized protein NTM-AS1 OS=Homo sapiens OX=9606 GN=NTM-AS1 PE=5 SV=1
+TTTPRLTSCYHPKRYFCTQFVSAAPHLPPHLGQTFENVGLGPPRLPHPHPLSLPPLSLASDNSLAWFGGPPFDGQLSSVKRGGGSLCWGASVRPGMETAQSFLPSFRSRMGRFRAAWMQPSAKIEPDTCGEGRIGEQGKM
+>sp|P34130|NTF4_HUMAN Neurotrophin-4 OS=Homo sapiens OX=9606 GN=NTF4 PE=1 SV=1
+MLPLPSCSLPILLLFLLPSVPIESQPPPSTLPPFLAPEWDLLSPRVVLSRGAPAGPPLLFLLEAGAFRESAGAPANRSRRGVSETAPASRRGELAVCDAVSGWVTDRRTAVDLRGREVEVLGEVPAAGGSPLRQYFFETRCKADNAEEGGPGAGGGGCRGVDRRHWVSECKAKQSYVRALTADAQGRVGWRWIRIDTACVCTLLSRTGRA
+>DECOY_sp|P34130|NTF4_HUMAN Neurotrophin-4 OS=Homo sapiens OX=9606 GN=NTF4 PE=1 SV=1
+ARGTRSLLTCVCATDIRIWRWGVRGQADATLARVYSQKAKCESVWHRRDVGRCGGGGAGPGGEEANDAKCRTEFFYQRLPSGGAAPVEGLVEVERGRLDVATRRDTVWGSVADCVALEGRRSAPATESVGRRSRNAPAGASERFAGAELLFLLPPGAPAGRSLVVRPSLLDWEPALFPPLTSPPPQSEIPVSPLLFLLLIPLSCSPLPLM
+>sp|Q5VVY1|NTM1B_HUMAN Alpha N-terminal protein methyltransferase 1B OS=Homo sapiens OX=9606 GN=METTL11B PE=1 SV=2
+MAHRGAHFAFRSRWQKTDDELCRHSMSFILHKAIRNDFFQSYLYLLEKIPLVKLYALTSQVINGEMQFYARAKLFYQEVPATEEGMMGNFIELSSPDIQASQKFLRKFVGGPGRAGTDCALDCGSGIGRVSKHVLLPVFNSVELVDMMESFLLEAQNYLQVKGDKVESYHCYSLQEFTPPFRRYDVIWIQWVSGHLTDKDLLAFLSRCRDGLKENGIIILKDNVAREGCILDLSDSSVTRDMDILRSLIRKSGLVVLGQEKQDGFPEQCIPVWMFALHSDRHS
+>DECOY_sp|Q5VVY1|NTM1B_HUMAN Alpha N-terminal protein methyltransferase 1B OS=Homo sapiens OX=9606 GN=METTL11B PE=1 SV=2
+SHRDSHLAFMWVPICQEPFGDQKEQGLVVLGSKRILSRLIDMDRTVSSDSLDLICGERAVNDKLIIIGNEKLGDRCRSLFALLDKDTLHGSVWQIWIVDYRRFPPTFEQLSYCHYSEVKDGKVQLYNQAELLFSEMMDVLEVSNFVPLLVHKSVRGIGSGCDLACDTGARGPGGVFKRLFKQSAQIDPSSLEIFNGMMGEETAPVEQYFLKARAYFQMEGNIVQSTLAYLKVLPIKELLYLYSQFFDNRIAKHLIFSMSHRCLEDDTKQWRSRFAFHAGRHAM
+>sp|P49790|NU153_HUMAN Nuclear pore complex protein Nup153 OS=Homo sapiens OX=9606 GN=NUP153 PE=1 SV=2
+MASGAGGVGGGGGGKIRTRRCHQGPIKPYQQGRQQHQGILSRVTESVKNIVPGWLQRYFNKNEDVCSCSTDTSEVPRWPENKEDHLVYADEESSNITDGRITPEPAVSNTEEPSTTSTASNYPDVLTRPSLHRSHLNFSMLESPALHCQPSTSSAFPIGSSGFSLVKEIKDSTSQHDDDNISTTSGFSSRASDKDITVSKNTSLPPLWSPEAERSHSLSQHTATSSKKPAFNLSAFGTLSPSLGNSSILKTSQLGDSPFYPGKTTYGGAAAAVRQSKLRNTPYQAPVRRQMKAKQLSAQSYGVTSSTARRILQSLEKMSSPLADAKRIPSIVSSPLNSPLDRSGIDITDFQAKREKVDSQYPPVQRLMTPKPVSIATNRSVYFKPSLTPSGEFRKTNQRIDNKCSTGYEKNMTPGQNREQRESGFSYPNFSLPAANGLSSGVGGGGGKMRRERTRFVASKPLEEEEMEVPVLPKISLPITSSSLPTFNFSSPEITTSSPSPINSSQALTNKVQMTSPSSTGSPMFKFSSPIVKSTEANVLPPSSIGFTFSVPVAKTAELSGSSSTLEPIISSSAHHVTTVNSTNCKKTPPEDCEGPFRPAEILKEGSVLDILKSPGFASPKIDSVAAQPTATSPVVYTRPAISSFSSSGIGFGESLKAGSSWQCDTCLLQNKVTDNKCIACQAAKLSPRDTAKQTGIETPNKSGKTTLSASGTGFGDKFKPVIGTWDCDTCLVQNKPEAIKCVACETPKPGTCVKRALTLTVVSESAETMTASSSSCTVTTGTLGFGDKFKRPIGSWECSVCCVSNNAEDNKCVSCMSEKPGSSVPASSSSTVPVSLPSGGSLGLEKFKKPEGSWDCELCLVQNKADSTKCLACESAKPGTKSGFKGFDTSSSSSNSAASSSFKFGVSSSSSGPSQTLTSTGNFKFGDQGGFKIGVSSDSGSINPMSEGFKFSKPIGDFKFGVSSESKPEEVKKDSKNDNFKFGLSSGLSNPVSLTPFQFGVSNLGQEEKKEELPKSSSAGFSFGTGVINSTPAPANTIVTSENKSSFNLGTIETKSASVAPFTCKTSEAKKEEMPATKGGFSFGNVEPASLPSASVFVLGRTEEKQQEPVTSTSLVFGKKADNEEPKCQPVFSFGNSEQTKDENSSKSTFSFSMTKPSEKESEQPAKATFAFGAQTSTTADQGAAKPVFSFLNNSSSSSSTPATSAGGGIFGSSTSSSNPPVATFVFGQSSNPVSSSAFGNTAESSTSQSLLFSQDSKLATTSSTGTAVTPFVFGPGASSNNTTTSGFGFGATTTSSSAGSSFVFGTGPSAPSASPAFGANQTPTFGQSQGASQPNPPGFGSISSSTALFPTGSQPAPPTFGTVSSSSQPPVFGQQPSQSAFGSGTTPNSSSAFQFGSSTTNFNFTNNSPSGVFTFGANSSTPAASAQPSGSGGFPFNQSPAAFTVGSNGKNVFSSSGTSFSGRKIKTAVRRRK
+>DECOY_sp|P49790|NU153_HUMAN Nuclear pore complex protein Nup153 OS=Homo sapiens OX=9606 GN=NUP153 PE=1 SV=2
+KRRRVATKIKRGSFSTGSSSFVNKGNSGVTFAAPSQNFPFGGSGSPQASAAPTSSNAGFTFVGSPSNNTFNFNTTSSGFQFASSSNPTTGSGFASQSPQQGFVPPQSSSSVTGFTPPAPQSGTPFLATSSSISGFGPPNPQSAGQSQGFTPTQNAGFAPSASPASPGTGFVFSSGASSSTTTAGFGFGSTTTNNSSAGPGFVFPTVATGTSSTTALKSDQSFLLSQSTSSEATNGFASSSVPNSSQGFVFTAVPPNSSSTSSGFIGGGASTAPTSSSSSSNNLFSFVPKAAGQDATTSTQAGFAFTAKAPQESEKESPKTMSFSFTSKSSNEDKTQESNGFSFVPQCKPEENDAKKGFVLSTSTVPEQQKEETRGLVFVSASPLSAPEVNGFSFGGKTAPMEEKKAESTKCTFPAVSASKTEITGLNFSSKNESTVITNAPAPTSNIVGTGFSFGASSSKPLEEKKEEQGLNSVGFQFPTLSVPNSLGSSLGFKFNDNKSDKKVEEPKSESSVGFKFDGIPKSFKFGESMPNISGSDSSVGIKFGGQDGFKFNGTSTLTQSPGSSSSSVGFKFSSSAASNSSSSSTDFGKFGSKTGPKASECALCKTSDAKNQVLCLECDWSGEPKKFKELGLSGGSPLSVPVTSSSSAPVSSGPKESMCSVCKNDEANNSVCCVSCEWSGIPRKFKDGFGLTGTTVTCSSSSATMTEASESVVTLTLARKVCTGPKPTECAVCKIAEPKNQVLCTDCDWTGIVPKFKDGFGTGSASLTTKGSKNPTEIGTQKATDRPSLKAAQCAICKNDTVKNQLLCTDCQWSSGAKLSEGFGIGSSSFSSIAPRTYVVPSTATPQAAVSDIKPSAFGPSKLIDLVSGEKLIEAPRFPGECDEPPTKKCNTSNVTTVHHASSSIIPELTSSSGSLEATKAVPVSFTFGISSPPLVNAETSKVIPSSFKFMPSGTSSPSTMQVKNTLAQSSNIPSPSSTTIEPSSFNFTPLSSSTIPLSIKPLVPVEMEEEELPKSAVFRTRERRMKGGGGGVGSSLGNAAPLSFNPYSFGSERQERNQGPTMNKEYGTSCKNDIRQNTKRFEGSPTLSPKFYVSRNTAISVPKPTMLRQVPPYQSDVKERKAQFDTIDIGSRDLPSNLPSSVISPIRKADALPSSMKELSQLIRRATSSTVGYSQASLQKAKMQRRVPAQYPTNRLKSQRVAAAAGGYTTKGPYFPSDGLQSTKLISSNGLSPSLTGFASLNFAPKKSSTATHQSLSHSREAEPSWLPPLSTNKSVTIDKDSARSSFGSTTSINDDDHQSTSDKIEKVLSFGSSGIPFASSTSPQCHLAPSELMSFNLHSRHLSPRTLVDPYNSATSTTSPEETNSVAPEPTIRGDTINSSEEDAYVLHDEKNEPWRPVESTDTSCSCVDENKNFYRQLWGPVINKVSETVRSLIGQHQQRGQQYPKIPGQHCRRTRIKGGGGGGVGGAGSAM
+>sp|Q92621|NU205_HUMAN Nuclear pore complex protein Nup205 OS=Homo sapiens OX=9606 GN=NUP205 PE=1 SV=3
+MATPLAVNSAASLWGPYKDIWHKVGNALWRRQPEAVHLLDKILKKHKPDFISLFKNPPKNVQQHEKVQKASTEGVAIQGQQGTRLLPEQLIKEAFILSDLFDIGELAAVELLLAGEHQQPHFPGLTRGLVAVLLYWDGKRCIANSLKALIQSRRGKTWTLELSPELASMTTRFTDELMEQGLTYKVLTLVSQIDVNNEFEKLQRERGLGSEKHRKEVSDLIKECRQSLAESLFAWACQSPLGKEDTLLLIGHLERVTVEANGSLDAVNLALLMALLYCFDISFIEQSTEERDDMIHQLPLLTEKQYIATIHSRLQDSQLWKLPGLQATVRLAWALALRGISQLPDVTALAEFTEADEAMAELAIADNVFLFLMESVVVSEYFYQEEFYIRRVHNLITDFLALMPMKVKQLRNRADEDARMIHMSMQMGNEPPISLRRDLEHLMLLIGELYKKNPFHLELALEYWCPTEPLQTPTIMGSYLGVAHQRPPQRQVVLSKFVRQMGDLLPPTIYIPYLKMLQGLANGPQCAHYCFSLLKVNGSSHVENIQGAGGSPVSWEHFFHSLMLYHEHLRKDLPSADSVQYRHLPSRGITQKEQDGLIAFLQLTSTIITWSENARLALCEHPQWTPVVVILGLLQCSIPPVLKAELLKTLAAFGKSPEIAASLWQSLEYTQILQTVRIPSQRQAIGIEVELNEIESRCEEYPLTRAFCQLISTLVESSFPSNLGAGLRPPGFDPYLQFLRDSVFLRFRTRAYRRAAEKWEVAEVVLEVFYKLLRDYEPQLEDFVDQFVELQGEEIIAYKPPGFSLMYHLLNESPMLELALSLLEEGVKQLDTYAPFPGKKHLEKAVQHCLALLNLTLQKENLFMDLLRESQLALIVCPLEQLLQGINPRTKKADNVVNIARYLYHGNTNPELAFESAKILCCISCNSNIQIKLVGDFTHDQSISQKLMAGFVECLDCEDAEEFVRLEEGSELEKKLVAIRHETRIHILNLLITSLECNPPNLALYLLGFELKKPVSTTNLQDPGVLGCPRTCLHAILNILEKGTEGRTGPVAVRESPQLAELCYQVIYQLCACSDTSGPTMRYLRTSQDFLFSQLQYLPFSNKEYEISMLNQMSWLMKTASIELRVTSLNRQRSHTQRLLHLLLDDMPVKPYSDGEGGIEDENRSVSGFLHFDTATKVRRKILNILDSIDFSQEIPEPLQLDFFDRAQIEQVIANCEHKNLRGQTVCNVKLLHRVLVAEVNALQGMAAIGQRPLLMEEISTVLQYVVGRNKLLQCLHAKRHALESWRQLVEIILTACPQDLIQAEDRQLIIRDILQDVHDKILDDEAAQELMPVVAGAVFTLTAHLSQAVLTEQKETSVLGPAEAHYAFMLDSCFTSPPPEENPLVGFASIGDSSLYIILKKLLDFILKTGGGFQRVRTHLYGSLLYYLQIAQRPDEPDTLEAAKKTMWERLTAPEDVFSKLQRENIAIIESYGAALMEVVCRDACDGHEIGRMLALALLDRIVSVDKQQQWLLYLSNSGYLKVLVDSLVEDDRTLQSLLTPQPPLLKALYTYESKMAFLTRVAKIQQGALELLRSGVIVRLAQCQVYDMRPETDPQSMFGMRDPPMFIPTPVDRYRQILLPALQLCQVILTSSMAQHLQAAGQVLQFLISHSDTIQAILRCQDVSAGSLQELALLTGIISKAALPGILSELDVDVNEGSLMELQGHIGRFQRQCLGLLSRFGGSDRLRQFKFQDDNVEGDKVSKKDEIELAMQQICANVMEYCQSLMLQSSPTFQHAVCLFTPSLSETVNRDGPRQDTQAPVVPYWRLPGLGIIIYLLKQSANDFFSYYDSHRQSVSKLQNVEQLPPDEIKELCQSVMPAGVDKISTAQKYVLARRRLVKVINNRAKLLSLCSFIIETCLFILWRHLEYYLLHCMPTDSQDSLFASRTLFKSRRLQDSFASETNLDFRSGLAIVSQHDLDQLQADAINAFGESLQKKLLDIEGLYSKVRSRYSFIQALVRRIRGLLRISRN
+>DECOY_sp|Q92621|NU205_HUMAN Nuclear pore complex protein Nup205 OS=Homo sapiens OX=9606 GN=NUP205 PE=1 SV=3
+NRSIRLLGRIRRVLAQIFSYRSRVKSYLGEIDLLKKQLSEGFANIADAQLQDLDHQSVIALGSRFDLNTESAFSDQLRRSKFLTRSAFLSDQSDTPMCHLLYYELHRWLIFLCTEIIFSCLSLLKARNNIVKVLRRRALVYKQATSIKDVGAPMVSQCLEKIEDPPLQEVNQLKSVSQRHSDYYSFFDNASQKLLYIIIGLGPLRWYPVVPAQTDQRPGDRNVTESLSPTFLCVAHQFTPSSQLMLSQCYEMVNACIQQMALEIEDKKSVKDGEVNDDQFKFQRLRDSGGFRSLLGLCQRQFRGIHGQLEMLSGENVDVDLESLIGPLAAKSIIGTLLALEQLSGASVDQCRLIAQITDSHSILFQLVQGAAQLHQAMSSTLIVQCLQLAPLLIQRYRDVPTPIFMPPDRMGFMSQPDTEPRMDYVQCQALRVIVGSRLLELAGQQIKAVRTLFAMKSEYTYLAKLLPPQPTLLSQLTRDDEVLSDVLVKLYGSNSLYLLWQQQKDVSVIRDLLALALMRGIEHGDCADRCVVEMLAAGYSEIIAINERQLKSFVDEPATLREWMTKKAAELTDPEDPRQAIQLYYLLSGYLHTRVRQFGGGTKLIFDLLKKLIIYLSSDGISAFGVLPNEEPPPSTFCSDLMFAYHAEAPGLVSTEKQETLVAQSLHATLTFVAGAVVPMLEQAAEDDLIKDHVDQLIDRIILQRDEAQILDQPCATLIIEVLQRWSELAHRKAHLCQLLKNRGVVYQLVTSIEEMLLPRQGIAAMGQLANVEAVLVRHLLKVNCVTQGRLNKHECNAIVQEIQARDFFDLQLPEPIEQSFDISDLINLIKRRVKTATDFHLFGSVSRNEDEIGGEGDSYPKVPMDDLLLHLLRQTHSRQRNLSTVRLEISATKMLWSMQNLMSIEYEKNSFPLYQLQSFLFDQSTRLYRMTPGSTDSCACLQYIVQYCLEALQPSERVAVPGTRGETGKELINLIAHLCTRPCGLVGPDQLNTTSVPKKLEFGLLYLALNPPNCELSTILLNLIHIRTEHRIAVLKKELESGEELRVFEEADECDLCEVFGAMLKQSISQDHTFDGVLKIQINSNCSICCLIKASEFALEPNTNGHYLYRAINVVNDAKKTRPNIGQLLQELPCVILALQSERLLDMFLNEKQLTLNLLALCHQVAKELHKKGPFPAYTDLQKVGEELLSLALELMPSENLLHYMLSFGPPKYAIIEEGQLEVFQDVFDELQPEYDRLLKYFVELVVEAVEWKEAARRYARTRFRLFVSDRLFQLYPDFGPPRLGAGLNSPFSSEVLTSILQCFARTLPYEECRSEIENLEVEIGIAQRQSPIRVTQLIQTYELSQWLSAAIEPSKGFAALTKLLEAKLVPPISCQLLGLIVVVPTWQPHECLALRANESWTIITSTLQLFAILGDQEKQTIGRSPLHRYQVSDASPLDKRLHEHYLMLSHFFHEWSVPSGGAGQINEVHSSGNVKLLSFCYHACQPGNALGQLMKLYPIYITPPLLDGMQRVFKSLVVQRQPPRQHAVGLYSGMITPTQLPETPCWYELALELHFPNKKYLEGILLMLHELDRRLSIPPENGMQMSMHIMRADEDARNRLQKVKMPMLALFDTILNHVRRIYFEEQYFYESVVVSEMLFLFVNDAIALEAMAEDAETFEALATVDPLQSIGRLALAWALRVTAQLGPLKWLQSDQLRSHITAIYQKETLLPLQHIMDDREETSQEIFSIDFCYLLAMLLALNVADLSGNAEVTVRELHGILLLTDEKGLPSQCAWAFLSEALSQRCEKILDSVEKRHKESGLGRERQLKEFENNVDIQSVLTLVKYTLGQEMLEDTFRTTMSALEPSLELTWTKGRRSQILAKLSNAICRKGDWYLLVAVLGRTLGPFHPQQHEGALLLEVAALEGIDFLDSLIFAEKILQEPLLRTGQQGQIAVGETSAKQVKEHQQVNKPPNKFLSIFDPKHKKLIKDLLHVAEPQRRWLANGVKHWIDKYPGWLSAASNVALPTAM
+>sp|P35658|NU214_HUMAN Nuclear pore complex protein Nup214 OS=Homo sapiens OX=9606 GN=NUP214 PE=1 SV=2
+MGDEMDAMIPEREMKDFQFRALKKVRIFDSPEELPKERSSLLAVSNKYGLVFAGGASGLQIFPTKNLLIQNKPGDDPNKIVDKVQGLLVPMKFPIHHLALSCDNLTLSACMMSSEYGSIIAFFDVRTFSNEAKQQKRPFAYHKLLKDAGGMVIDMKWNPTVPSMVAVCLADGSIAVLQVTETVKVCATLPSTVAVTSVCWSPKGKQLAVGKQNGTVVQYLPTLQEKKVIPCPPFYESDHPVRVLDVLWIGTYVFAIVYAAADGTLETSPDVVMALLPKKEEKHPEIFVNFMEPCYGSCTERQHHYYLSYIEEWDLVLAASAASTEVSILARQSDQINWESWLLEDSSRAELPVTDKSDDSLPMGVVVDYTNQVEITISDEKTLPPAPVLMLLSTDGVLCPFYMINQNPGVKSLIKTPERLSLEGERQPKSPGSTPTTPTSSQAPQKLDASAAAAPASLPPSSPAAPIATFSLLPAGGAPTVFSFGSSSLKSSATVTGEPPSYSSGSDSSKAAPGPGPSTFSFVPPSKASLAPTPAASPVAPSAASFSFGSSGFKPTLESTPVPSVSAPNIAMKPSFPPSTSAVKVNLSEKFTAAATSTPVSSSQSAPPMSPFSSASKPAASGPLSHPTPLSAPPSSVPLKSSVLPSPSGRSAQGSSSPVPSMVQKSPRITPPAAKPGSPQAKSLQPAVAEKQGHQWKDSDPVMAGIGEEIAHFQKELEELKARTSKACFQVGTSEEMKMLRTESDDLHTFLLEIKETTESLHGDISSLKTTLLEGFAGVEEAREQNERNRDSGYLHLLYKRPLDPKSEAQLQEIRRLHQYVKFAVQDVNDVLDLEWDQHLEQKKKQRHLLVPERETLFNTLANNREIINQQRKRLNHLVDSLQQLRLYKQTSLWSLSSAVPSQSSIHSFDSDLESLCNALLKTTIESHTKSLPKVPAKLSPMKQAQLRNFLAKRKTPPVRSTAPASLSRSAFLSQRYYEDLDEVSSTSSVSQSLESEDARTSCKDDEAVVQAPRHAPVVRTPSIQPSLLPHAAPFAKSHLVHGSSPGVMGTSVATSASKIIPQGADSTMLATKTVKHGAPSPSHPISAPQAAAAAALRRQMASQAPAVNTLTESTLKNVPQVVNVQELKNNPATPSTAMGSSVPYSTAKTPHPVLTPVAANQAKQGSLINSLKPSGPTPASGQLSSGDKASGTAKIETAVTSTPSASGQFSKPFSFSPSGTGFNFGIITPTPSSNFTAAQGATPSTKESSQPDAFSSGGGSKPSYEAIPESSPPSGITSASNTTPGEPAASSSRPVAPSGTALSTTSSKLETPPSKLGELLFPSSLAGETLGSFSGLRVGQADDSTKPTNKASSTSLTSTQPTKTSGVPSGFNFTAPPVLGKHTEPPVTSSATTTSVAPPAATSTSSTAVFGSLPVTSAGSSGVISFGGTSLSAGKTSFSFGSQQTNSTVPPSAPPPTTAATPLPTSFPTLSFGSLLSSATTPSLPMSAGRSTEEATSSALPEKPGDSEVSASAASLLEEQQSAQLPQAPPQTSDSVKKEPVLAQPAVSNSGTAASSTSLVALSAEATPATTGVPDARTEAVPPASSFSVPGQTAVTAAAISSAGPVAVETSSTPIASSTTSIVAPGPSAEAAAFGTVTSGSSVFAQPPAASSSSAFNQLTNNTATAPSATPVFGQVAASTAPSLFGQQTGSTASTAAATPQVSSSGFSSPAFGTTAPGVFGQTTFGQASVFGQSASSAASVFSFSQPGFSSVPAFGQPASSTPTSTSGSVFGAASSTSSSSSFSFGQSSPNTGGGLFGQSNAPAFGQSPGFGQGGSVFGGTSAATTTAATSGFSFCQASGFGSSNTGSVFGQAASTGGIVFGQQSSSSSGSVFGSGNTGRGGGFFSGLGGKPSQDAANKNPFSSASGGFGSTATSNTSNLFGNSGAKTFGGFASSSFGEQKPTGTFSSGGGSVASQGFGFSSPNKTGGFGAAPVFGSPPTFGGSPGFGGVPAFGSAPAFTSPLGSTGGKVFGEGTAAASAGGFGFGSSSNTTSFGTLASQNAPTFGSLSQQTSGFGTQSSGFSGFGSGTGGFSFGSNNSSVQGFGGWRS
+>DECOY_sp|P35658|NU214_HUMAN Nuclear pore complex protein Nup214 OS=Homo sapiens OX=9606 GN=NUP214 PE=1 SV=2
+SRWGGFGQVSSNNSGFSFGGTGSGFGSFGSSQTGFGSTQQSLSGFTPANQSALTGFSTTNSSSGFGFGGASAAATGEGFVKGGTSGLPSTFAPASGFAPVGGFGPSGGFTPPSGFVPAAGFGGTKNPSSFGFGQSAVSGGGSSFTGTPKQEGFSSSAFGGFTKAGSNGFLNSTNSTATSGFGGSASSFPNKNAADQSPKGGLGSFFGGGRGTNGSGFVSGSSSSSQQGFVIGGTSAAQGFVSGTNSSGFGSAQCFSFGSTAATTTAASTGGFVSGGQGFGPSQGFAPANSQGFLGGGTNPSSQGFSFSSSSSTSSAAGFVSGSTSTPTSSAPQGFAPVSSFGPQSFSFVSAASSASQGFVSAQGFTTQGFVGPATTGFAPSSFGSSSVQPTAAATSATSGTQQGFLSPATSAAVQGFVPTASPATATNNTLQNFASSSSAAPPQAFVSSGSTVTGFAAAEASPGPAVISTTSSAIPTSSTEVAVPGASSIAAATVATQGPVSFSSAPPVAETRADPVGTTAPTAEASLAVLSTSSAATGSNSVAPQALVPEKKVSDSTQPPAQPLQASQQEELLSAASASVESDGPKEPLASSTAEETSRGASMPLSPTTASSLLSGFSLTPFSTPLPTAATTPPPASPPVTSNTQQSGFSFSTKGASLSTGGFSIVGSSGASTVPLSGFVATSSTSTAAPPAVSTTTASSTVPPETHKGLVPPATFNFGSPVGSTKTPQTSTLSTSSAKNTPKTSDDAQGVRLGSFSGLTEGALSSPFLLEGLKSPPTELKSSTTSLATGSPAVPRSSSAAPEGPTTNSASTIGSPPSSEPIAEYSPKSGGGSSFADPQSSEKTSPTAGQAATFNSSPTPTIIGFNFGTGSPSFSFPKSFQGSASPTSTVATEIKATGSAKDGSSLQGSAPTPGSPKLSNILSGQKAQNAAVPTLVPHPTKATSYPVSSGMATSPTAPNNKLEQVNVVQPVNKLTSETLTNVAPAQSAMQRRLAAAAAAQPASIPHSPSPAGHKVTKTALMTSDAGQPIIKSASTAVSTGMVGPSSGHVLHSKAFPAAHPLLSPQISPTRVVPAHRPAQVVAEDDKCSTRADESELSQSVSSTSSVEDLDEYYRQSLFASRSLSAPATSRVPPTKRKALFNRLQAQKMPSLKAPVKPLSKTHSEITTKLLANCLSELDSDFSHISSQSPVASSLSWLSTQKYLRLQQLSDVLHNLRKRQQNIIERNNALTNFLTEREPVLLHRQKKKQELHQDWELDLVDNVDQVAFKVYQHLRRIEQLQAESKPDLPRKYLLHLYGSDRNRENQERAEEVGAFGELLTTKLSSIDGHLSETTEKIELLFTHLDDSETRLMKMEESTGVQFCAKSTRAKLEELEKQFHAIEEGIGAMVPDSDKWQHGQKEAVAPQLSKAQPSGPKAAPPTIRPSKQVMSPVPSSSGQASRGSPSPLVSSKLPVSSPPASLPTPHSLPGSAAPKSASSFPSMPPASQSSSVPTSTAAATFKESLNVKVASTSPPFSPKMAINPASVSPVPTSELTPKFGSSGFSFSAASPAVPSAAPTPALSAKSPPVFSFTSPGPGPAAKSSDSGSSYSPPEGTVTASSKLSSSGFSFVTPAGGAPLLSFTAIPAAPSSPPLSAPAAAASADLKQPAQSSTPTTPTSGPSKPQREGELSLREPTKILSKVGPNQNIMYFPCLVGDTSLLMLVPAPPLTKEDSITIEVQNTYDVVVGMPLSDDSKDTVPLEARSSDELLWSEWNIQDSQRALISVETSAASAALVLDWEEIYSLYYHHQRETCSGYCPEMFNVFIEPHKEEKKPLLAMVVDPSTELTGDAAAYVIAFVYTGIWLVDLVRVPHDSEYFPPCPIVKKEQLTPLYQVVTGNQKGVALQKGKPSWCVSTVAVTSPLTACVKVTETVQLVAISGDALCVAVMSPVTPNWKMDIVMGGADKLLKHYAFPRKQQKAENSFTRVDFFAIISGYESSMMCASLTLNDCSLALHHIPFKMPVLLGQVKDVIKNPDDGPKNQILLNKTPFIQLGSAGGAFVLGYKNSVALLSSREKPLEEPSDFIRVKKLARFQFDKMEREPIMADMEDGM
+>sp|P03891|NU2M_HUMAN NADH-ubiquinone oxidoreductase chain 2 OS=Homo sapiens OX=9606 GN=MT-ND2 PE=1 SV=2
+MNPLAQPVIYSTIFAGTLITALSSHWFFTWVGLEMNMLAFIPVLTKKMNPRSTEAAIKYFLTQATASMILLMAILFNNMLSGQWTMTNTTNQYSSLMIMMAMAMKLGMAPFHFWVPEVTQGTPLTSGLLLLTWQKLAPISIMYQISPSLNVSLLLTLSILSIMAGSWGGLNQTQLRKILAYSSITHMGWMMAVLPYNPNMTILNLTIYIILTTTAFLLLNLNSSTTTLLLSRTWNKLTWLTPLIPSTLLSLGGLPPLTGFLPKWAIIEEFTKNNSLIIPTIMATITLLNLYFYLRLIYSTSITLLPMSNNVKMKWQFEHTKPTPFLPTLIALTTLLLPISPFMLMIL
+>DECOY_sp|P03891|NU2M_HUMAN NADH-ubiquinone oxidoreductase chain 2 OS=Homo sapiens OX=9606 GN=MT-ND2 PE=1 SV=2
+LIMLMFPSIPLLLTTLAILTPLFPTPKTHEFQWKMKVNNSMPLLTISTSYILRLYFYLNLLTITAMITPIILSNNKTFEEIIAWKPLFGTLPPLGGLSLLTSPILPTLWTLKNWTRSLLLTTTSSNLNLLLFATTTLIIYITLNLITMNPNYPLVAMMWGMHTISSYALIKRLQTQNLGGWSGAMISLISLTLLLSVNLSPSIQYMISIPALKQWTLLLLGSTLPTGQTVEPVWFHFPAMGLKMAMAMMIMLSSYQNTTNTMTWQGSLMNNFLIAMLLIMSATAQTLFYKIAAETSRPNMKKTLVPIFALMNMELGVWTFFWHSSLATILTGAFITSYIVPQALPNM
+>sp|Q8NFH5|NUP35_HUMAN Nucleoporin NUP35 OS=Homo sapiens OX=9606 GN=NUP35 PE=1 SV=1
+MAAFAVEPQGPALGSEPMMLGSPTSPKPGVNAQFLPGFLMGDLPAPVTPQPRSISGPSVGVMEMRSPLLAGGSPPQPVVPAHKDKSGAPPVRSIYDDISSPGLGSTPLTSRRQPNISVMQSPLVGVTSTPGTGQSMFSPASIGQPRKTTLSPAQLDPFYTQGDSLTSEDHLDDSWVTVFGFPQASASYILLQFAQYGNILKHVMSNTGNWMHIRYQSKLQARKALSKDGRIFGESIMIGVKPCIDKSVMESSDRCALSSPSLAFTPPIKTLGTPTQPGSTPRISTMRPLATAYKASTSDYQVISDRQTPKKDESLVSKAMEYMFGW
+>DECOY_sp|Q8NFH5|NUP35_HUMAN Nucleoporin NUP35 OS=Homo sapiens OX=9606 GN=NUP35 PE=1 SV=1
+WGFMYEMAKSVLSEDKKPTQRDSIVQYDSTSAKYATALPRMTSIRPTSGPQTPTGLTKIPPTFALSPSSLACRDSSEMVSKDICPKVGIMISEGFIRGDKSLAKRAQLKSQYRIHMWNGTNSMVHKLINGYQAFQLLIYSASAQPFGFVTVWSDDLHDESTLSDGQTYFPDLQAPSLTTKRPQGISAPSFMSQGTGPTSTVGVLPSQMVSINPQRRSTLPTSGLGPSSIDDYISRVPPAGSKDKHAPVVPQPPSGGALLPSRMEMVGVSPGSISRPQPTVPAPLDGMLFGPLFQANVGPKPSTPSGLMMPESGLAPGQPEVAFAAM
+>sp|P37198|NUP62_HUMAN Nuclear pore glycoprotein p62 OS=Homo sapiens OX=9606 GN=NUP62 PE=1 SV=3
+MSGFNFGGTGAPTGGFTFGTAKTATTTPATGFSFSTSGTGGFNFGAPFQPATSTPSTGLFSLATQTPATQTTGFTFGTATLASGGTGFSLGIGASKLNLSNTAATPAMANPSGFGLGSSNLTNAISSTVTSSQGTAPTGFVFGPSTTSVAPATTSGGFSFTGGSTAQPSGFNIGSAGNSAQPTAPATLPFTPATPAATTAGATQPAAPTPTATITSTGPSLFASIATAPTSSATTGLSLCTPVTTAGAPTAGTQGFSLKAPGAASGTSTTTSTAATATATTTSSSSTTGFALNLKPLAPAGIPSNTAAAVTAPPGPGAAAGAAASSAMTYAQLESLINKWSLELEDQERHFLQQATQVNAWDRTLIENGEKITSLHREVEKVKLDQKRLDQELDFILSQQKELEDLLSPLEELVKEQSGTIYLQHADEEREKTYKLAENIDAQLKRMAQDLKDIIEHLNTSGAPADTSDPLQQICKILNAHMDSLQWIDQNSALLQRKVEEVTKVCEGRRKEQERSFRITFD
+>DECOY_sp|P37198|NUP62_HUMAN Nuclear pore glycoprotein p62 OS=Homo sapiens OX=9606 GN=NUP62 PE=1 SV=3
+DFTIRFSREQEKRRGECVKTVEEVKRQLLASNQDIWQLSDMHANLIKCIQQLPDSTDAPAGSTNLHEIIDKLDQAMRKLQADINEALKYTKEREEDAHQLYITGSQEKVLEELPSLLDELEKQQSLIFDLEQDLRKQDLKVKEVERHLSTIKEGNEILTRDWANVQTAQQLFHREQDELELSWKNILSELQAYTMASSAAAGAAAGPGPPATVAAATNSPIGAPALPKLNLAFGTTSSSSTTTATATAATSTTTSTGSAAGPAKLSFGQTGATPAGATTVPTCLSLGTTASSTPATAISAFLSPGTSTITATPTPAAPQTAGATTAAPTAPTFPLTAPATPQASNGASGINFGSPQATSGGTFSFGGSTTAPAVSTTSPGFVFGTPATGQSSTVTSSIANTLNSSGLGFGSPNAMAPTAATNSLNLKSAGIGLSFGTGGSALTATGFTFGTTQTAPTQTALSFLGTSPTSTAPQFPAGFNFGGTGSTSFSFGTAPTTTATKATGFTFGGTPAGTGGFNFGSM
+>sp|Q8N1F7|NUP93_HUMAN Nuclear pore complex protein Nup93 OS=Homo sapiens OX=9606 GN=NUP93 PE=1 SV=2
+MDTEGFGELLQQAEQLAAETEGISELPHVERNLQEIQQAGERLRSRTLTRTSQETADVKASVLLGSRGLDISHISQRLESLSAATTFEPLEPVKDTDIQGFLKNEKDNALLSAIEESRKRTFGMAEEYHRESMLVEWEQVKQRILHTLLASGEDALDFTQESEPSYISDVGPPGRSSLDNIEMAYARQIYIYNEKIVNGHLQPNLVDLCASVAELDDKSISDMWTMVKQMTDVLLTPATDALKNRSSVEVRMEFVRQALAYLEQSYKNYTLVTVFGNLHQAQLGGVPGTYQLVRSFLNIKLPAPLPGLQDGEVEGHPVWALIYYCMRCGDLLAASQVVNRAQHQLGEFKTWFQEYMNSKDRRLSPATENKLRLHYRRALRNNTDPYKRAVYCIIGRCDVTDNQSEVADKTEDYLWLKLNQVCFDDDGTSSPQDRLTLSQFQKQLLEDYGESHFTVNQQPFLYFQVLFLTAQFEAAVAFLFRMERLRCHAVHVALVLFELKLLLKSSGQSAQLLSHEPGDPPCLRRLNFVRLLMLYTRKFESTDPREALQYFYFLRDEKDSQGENMFLRCVSELVIESREFDMILGKLENDGSRKPGVIDKFTSDTKPIINKVASVAENKGLFEEAAKLYDLAKNADKVLELMNKLLSPVVPQISAPQSNKERLKNMALSIAERYRAQGISANKFVDSTFYLLLDLITFFDEYHSGHIDRAFDIIERLKLVPLNQESVEERVAAFRNFSDEIRHNLSEVLLATMNILFTQFKRLKGTSPSSSSRPQRVIEDRDSQLRSQARTLITFAGMIPYRTSGDTNARLVQMEVLMN
+>DECOY_sp|Q8N1F7|NUP93_HUMAN Nuclear pore complex protein Nup93 OS=Homo sapiens OX=9606 GN=NUP93 PE=1 SV=2
+NMLVEMQVLRANTDGSTRYPIMGAFTILTRAQSRLQSDRDEIVRQPRSSSSPSTGKLRKFQTFLINMTALLVESLNHRIEDSFNRFAAVREEVSEQNLPVLKLREIIDFARDIHGSHYEDFFTILDLLLYFTSDVFKNASIGQARYREAISLAMNKLREKNSQPASIQPVVPSLLKNMLELVKDANKALDYLKAAEEFLGKNEAVSAVKNIIPKTDSTFKDIVGPKRSGDNELKGLIMDFERSEIVLESVCRLFMNEGQSDKEDRLFYFYQLAERPDTSEFKRTYLMLLRVFNLRRLCPPDGPEHSLLQASQGSSKLLLKLEFLVLAVHVAHCRLREMRFLFAVAAEFQATLFLVQFYLFPQQNVTFHSEGYDELLQKQFQSLTLRDQPSSTGDDDFCVQNLKLWLYDETKDAVESQNDTVDCRGIICYVARKYPDTNNRLARRYHLRLKNETAPSLRRDKSNMYEQFWTKFEGLQHQARNVVQSAALLDGCRMCYYILAWVPHGEVEGDQLGPLPAPLKINLFSRVLQYTGPVGGLQAQHLNGFVTVLTYNKYSQELYALAQRVFEMRVEVSSRNKLADTAPTLLVDTMQKVMTWMDSISKDDLEAVSACLDVLNPQLHGNVIKENYIYIQRAYAMEINDLSSRGPPGVDSIYSPESEQTFDLADEGSALLTHLIRQKVQEWEVLMSERHYEEAMGFTRKRSEEIASLLANDKENKLFGQIDTDKVPELPEFTTAASLSELRQSIHSIDLGRSGLLVSAKVDATEQSTRTLTRSRLREGAQQIEQLNREVHPLESIGETEAALQEAQQLLEGFGETDM
+>sp|Q86Y26|NUTM1_HUMAN NUT family member 1 OS=Homo sapiens OX=9606 GN=NUTM1 PE=1 SV=2
+MASDGASALPGPDMSMKPSAAPSPSPALPFLPPTSDPPDHPPREPPPQPIMPSVFSPDNPLMLSAFPSSLLVTGDGGPCLSGAGAGKVIVKVKTEGGSAEPSQTQNFILTQTALNSTAPGTPCGGLEGPAPPFVTASNVKTILPSKAVGVSQEGPPGLPPQPPPPVAQLVPIVPLEKAWPGPHGTTGEGGPVATLSKPSLGDRSKISKDVYENFRQWQRYKALARRHLSQSPDTEALSCFLIPVLRSLARLKPTMTLEEGLPLAVQEWEHTSNFDRMIFYEMAERFMEFEAEEMQIQNTQLMNGSQGLSPATPLKLDPLGPLASEVCQQPVYIPKKAASKTRAPRRRQRKAQRPPAPEAPKEIPPEAVKEYVDIMEWLVGTHLATGESDGKQEEEGQQQEEEGMYPDPGLLSYINELCSQKVFVSKVEAVIHPQFLADLLSPEKQRDPLALIEELEQEEGLTLAQLVQKRLMALEEEEDAEAPPSFSGAQLDSSPSGSVEDEDGDGRLRPSPGLQGAGGAACLGKVSSSGKRAREVHGGQEQALDSPRGMHRDGNTLPSPSSWDLQPELAAPQGTPGPLGVERRGSGKVINQVSLHQDGHLGGAGPPGHCLVADRTSEALPLCWQGGFQPESTPSLDAGLAELAPLQGQGLEKQVLGLQKGQQTGGRGVLPQGKEPLAVPWEGSSGAMWGDDRGTPMAQSYDQNPSPRAAGERDDVCLSPGVWLSSEMDAVGLELPVQIEEVIESFQVEKCVTEYQEGCQGLGSRGNISLGPGETLVPGDTESSVIPCGGTVAAAALEKRNYCSLPGPLRANSPPLRSKENQEQSCETVGHPSDLWAEGCFPLLESGDSTLGSSKETLPPTCQGNLLIMGTEDASSLPEASQEAGSRGNSFSPLLETIEPVNILDVKDDCGLQLRVSEDTCPLNVHSYDPQGEGRVDPDLSKPKNLAPLQESQESYTTGTPKATSSHQGLGSTLPRRGTRNAIVPRETSVSKTHRSADRAKGKEKKKKEAEEEDEELSNFAYLLASKLSLSPREHPLSPHHASGGQGSQRASHLLPAGAKGPSKLPYPVAKSGKRALAGGPAPTEKTPHSGAQLGVPREKPLALGVVRPSQPRKRRCDSFVTGRRKKRRRSQ
+>DECOY_sp|Q86Y26|NUTM1_HUMAN NUT family member 1 OS=Homo sapiens OX=9606 GN=NUTM1 PE=1 SV=2
+QSRRRKKRRGTVFSDCRRKRPQSPRVVGLALPKERPVGLQAGSHPTKETPAPGGALARKGSKAVPYPLKSPGKAGAPLLHSARQSGQGGSAHHPSLPHERPSLSLKSALLYAFNSLEEDEEEAEKKKKEKGKARDASRHTKSVSTERPVIANRTGRRPLTSGLGQHSSTAKPTGTTYSEQSEQLPALNKPKSLDPDVRGEGQPDYSHVNLPCTDESVRLQLGCDDKVDLINVPEITELLPSFSNGRSGAEQSAEPLSSADETGMILLNGQCTPPLTEKSSGLTSDGSELLPFCGEAWLDSPHGVTECSQEQNEKSRLPPSNARLPGPLSCYNRKELAAAAVTGGCPIVSSETDGPVLTEGPGLSINGRSGLGQCGEQYETVCKEVQFSEIVEEIQVPLELGVADMESSLWVGPSLCVDDREGAARPSPNQDYSQAMPTGRDDGWMAGSSGEWPVALPEKGQPLVGRGGTQQGKQLGLVQKELGQGQLPALEALGADLSPTSEPQFGGQWCLPLAESTRDAVLCHGPPGAGGLHGDQHLSVQNIVKGSGRREVGLPGPTGQPAALEPQLDWSSPSPLTNGDRHMGRPSDLAQEQGGHVERARKGSSSVKGLCAAGGAGQLGPSPRLRGDGDEDEVSGSPSSDLQAGSFSPPAEADEEEELAMLRKQVLQALTLGEEQELEEILALPDRQKEPSLLDALFQPHIVAEVKSVFVKQSCLENIYSLLGPDPYMGEEEQQQGEEEQKGDSEGTALHTGVLWEMIDVYEKVAEPPIEKPAEPAPPRQAKRQRRRPARTKSAAKKPIYVPQQCVESALPGLPDLKLPTAPSLGQSGNMLQTNQIQMEEAEFEMFREAMEYFIMRDFNSTHEWEQVALPLGEELTMTPKLRALSRLVPILFCSLAETDPSQSLHRRALAKYRQWQRFNEYVDKSIKSRDGLSPKSLTAVPGGEGTTGHPGPWAKELPVIPVLQAVPPPPQPPLGPPGEQSVGVAKSPLITKVNSATVFPPAPGELGGCPTGPATSNLATQTLIFNQTQSPEASGGETKVKVIVKGAGAGSLCPGGDGTVLLSSPFASLMLPNDPSFVSPMIPQPPPERPPHDPPDSTPPLFPLAPSPSPAASPKMSMDPGPLASAGDSAM
+>sp|Q8IWE2|NXP20_HUMAN Protein NOXP20 OS=Homo sapiens OX=9606 GN=FAM114A1 PE=1 SV=2
+MSDDAGDTLATGDKAEVTEMPNSDSLPEDAEVHCDSAAVSHEPTPADPRGEGHENAAVQGAGAAAIGPPVQPQDANALEPPLNGDVTEDTLAECIDSVSLEAEPRSEIPLQEQNYLAVDSPPSGGGWAGWGSWGKSLLSSASATVGHGLTAVKEKAGATLRIHGVNSGSSEGAQPNTENGVPEITDAATDQGPAESPPTSPSSASRGMLSAITNVVQNTGKSVLTGGLDALEFIGKKTMNVLAESDPGFKRTKTLMERTVSLSQMLREAKEKEKQRLAQQLTMERTAHYGMLFDEYQGLSHLEALEILSNESESKVQSFLASLDGEKLELLKNDLISIKDIFAAKELENEENQEEQGLEEKGEEFARMLTELLFELHVAATPDKLNKAMKRAHDWVEEDQTVVSVDVAKVSEEETKKEEKEEKSQDPQEDKKEEKKTKTIEEVYMSSIESLAEVTARCIEQLHKVAELILHGQEEEKPAQDQAKVLIKLTTAMCNEVASLSKKFTNSLTTVGSNKKAEVLNPMISSVLLEGCNSTTYIQDAFQLLLPVLQVSHIQTSCLKAQP
+>DECOY_sp|Q8IWE2|NXP20_HUMAN Protein NOXP20 OS=Homo sapiens OX=9606 GN=FAM114A1 PE=1 SV=2
+PQAKLCSTQIHSVQLVPLLLQFADQIYTTSNCGELLVSSIMPNLVEAKKNSGVTTLSNTFKKSLSAVENCMATTLKILVKAQDQAPKEEEQGHLILEAVKHLQEICRATVEALSEISSMYVEEITKTKKEEKKDEQPDQSKEEKEEKKTEEESVKAVDVSVVTQDEEVWDHARKMAKNLKDPTAAVHLEFLLETLMRAFEEGKEELGQEEQNEENELEKAAFIDKISILDNKLLELKEGDLSALFSQVKSESENSLIELAELHSLGQYEDFLMGYHATREMTLQQALRQKEKEKAERLMQSLSVTREMLTKTRKFGPDSEALVNMTKKGIFELADLGGTLVSKGTNQVVNTIASLMGRSASSPSTPPSEAPGQDTAADTIEPVGNETNPQAGESSGSNVGHIRLTAGAKEKVATLGHGVTASASSLLSKGWSGWGAWGGGSPPSDVALYNQEQLPIESRPEAELSVSDICEALTDETVDGNLPPELANADQPQVPPGIAAAGAGQVAANEHGEGRPDAPTPEHSVAASDCHVEADEPLSDSNPMETVEAKDGTALTDGADDSM
+>sp|Q969Y0|NXPE3_HUMAN NXPE family member 3 OS=Homo sapiens OX=9606 GN=NXPE3 PE=2 SV=1
+MWTNFFKLRLFCCLLAVLMVVVLVINVTQVEYLDHETVSATFIDSSGQFVSSQVTGISRNPYCGYDQQTLSSQERMEEDSLLAALHRQVPDVGPVPFVKSTDPSSSYFVILNSAAFFKVGSQLEVLVHVQDFQRKPKKYGGDYLQARIHSLKLQAGAVGRVVDYQNGFYKVFFTLLWPGKVKVSVSLVHPSEGIRVLQRLQEDKPDRVYFKSLFRSGRISETTECNVCLPGNLPLCNFTDLYTGEPWFCFKPKKLPCSSRITHFKGGYLKGLLTAAESAFFQSGVNIKMPVNSSGPDWVTVIPRRIKETNSLELSQGSGTFPSGYYYKDQWRPRKFKMRQFNDPDNITECLQRKVVHLFGDSTIRQWFEYLTTFVPDLVEFNLGSPKNVGPFLAVDQKHNILLKYRCHGPPIRFTTVFSNELHYVANELNGIVGGKNTVVAIAVWSHFSTFPLEVYIRRLRNIRRAVVRLLDRSPKTVVVIRTANAQELGPEVSLFNSDWYNFQLDTILRRMFSGVGVYLVDAWEMTLAHYLPHKLHPDEVIVKNQLDMFLSFVCPLET
+>DECOY_sp|Q969Y0|NXPE3_HUMAN NXPE family member 3 OS=Homo sapiens OX=9606 GN=NXPE3 PE=2 SV=1
+TELPCVFSLFMDLQNKVIVEDPHLKHPLYHALTMEWADVLYVGVGSFMRRLITDLQFNYWDSNFLSVEPGLEQANATRIVVVTKPSRDLLRVVARRINRLRRIYVELPFTSFHSWVAIAVVTNKGGVIGNLENAVYHLENSFVTTFRIPPGHCRYKLLINHKQDVALFPGVNKPSGLNFEVLDPVFTTLYEFWQRITSDGFLHVVKRQLCETINDPDNFQRMKFKRPRWQDKYYYGSPFTGSGQSLELSNTEKIRRPIVTVWDPGSSNVPMKINVGSQFFASEAATLLGKLYGGKFHTIRSSCPLKKPKFCFWPEGTYLDTFNCLPLNGPLCVNCETTESIRGSRFLSKFYVRDPKDEQLRQLVRIGESPHVLSVSVKVKGPWLLTFFVKYFGNQYDVVRGVAGAQLKLSHIRAQLYDGGYKKPKRQFDQVHVLVELQSGVKFFAASNLIVFYSSSPDTSKVFPVPGVDPVQRHLAALLSDEEMREQSSLTQQDYGCYPNRSIGTVQSSVFQGSSDIFTASVTEHDLYEVQTVNIVLVVVMLVALLCCFLRLKFFNTWM
+>sp|P58417|NXPH1_HUMAN Neurexophilin-1 OS=Homo sapiens OX=9606 GN=NXPH1 PE=2 SV=1
+MQAACWYVLFLLQPTVYLVTCANLTNGGKSELLKSGSSKSTLKHIWTESSKDLSISRLLSQTFRGKENDTDLDLRYDTPEPYSEQDLWDWLRNSTDLQEPRPRAKRRPIVKTGKFKKMFGWGDFHSNIKTVKLNLLITGKIVDHGNGTFSVYFRHNSTGQGNVSVSLVPPTKIVEFDLAQQTVIDAKDSKSFNCRIEYEKVDKATKNTLCNYDPSKTCYQEQTQSHVSWLCSKPFKVICIYISFYSTDYKLVQKVCPDYNYHSDTPYFPSG
+>DECOY_sp|P58417|NXPH1_HUMAN Neurexophilin-1 OS=Homo sapiens OX=9606 GN=NXPH1 PE=2 SV=1
+GSPFYPTDSHYNYDPCVKQVLKYDTSYFSIYICIVKFPKSCLWSVHSQTQEQYCTKSPDYNCLTNKTAKDVKEYEIRCNFSKSDKADIVTQQALDFEVIKTPPVLSVSVNGQGTSNHRFYVSFTGNGHDVIKGTILLNLKVTKINSHFDGWGFMKKFKGTKVIPRRKARPRPEQLDTSNRLWDWLDQESYPEPTDYRLDLDTDNEKGRFTQSLLRSISLDKSSETWIHKLTSKSSGSKLLESKGGNTLNACTVLYVTPQLLFLVYWCAAQM
+>sp|Q8NGC1|O11G2_HUMAN Olfactory receptor 11G2 OS=Homo sapiens OX=9606 GN=OR11G2 PE=3 SV=2
+MHFLSQNDLNINLIPHLCLHRHSVIAGAFTIHRHMKIFNSPSNSSTFTGFILLGFPCPREGQILLFVLFTVVYLLTLMGNGSIICAVHWDQRLHAPMYILLANFSFLEICYVTSTVPSMLANFLSDTKIISFSGCFLQFYFFFSLGSTECFFLAVMAFDRYLAICRPLRYPTIMTRRLCTNLVVNCWVLGFIWFLIPIVNISQMSFCGSRIIDHFLCDPAPLLTLTCKKGPVIELVFSVLSPLPVFMLFLFIVGSYALVVRAVLRVPSAAGRRKAFSTCGSHLAVVSLFYGSVLVMYGSPPSKNEAGKQKTVTLFYSVVTPLLNPVIYSLRNKDMRKALKKFWGT
+>DECOY_sp|Q8NGC1|O11G2_HUMAN Olfactory receptor 11G2 OS=Homo sapiens OX=9606 GN=OR11G2 PE=3 SV=2
+TGWFKKLAKRMDKNRLSYIVPNLLPTVVSYFLTVTKQKGAENKSPPSGYMVLVSGYFLSVVALHSGCTSFAKRRGAASPVRLVARVVLAYSGVIFLFLMFVPLPSLVSFVLEIVPGKKCTLTLLPAPDCLFHDIIRSGCFSMQSINVIPILFWIFGLVWCNVVLNTCLRRTMITPYRLPRCIALYRDFAMVALFFCETSGLSFFFYFQLFCGSFSIIKTDSLFNALMSPVTSTVYCIELFSFNALLIYMPAHLRQDWHVACIISGNGMLTLLYVVTFLVFLLIQGERPCPFGLLIFGTFTSSNSPSNFIKMHRHITFAGAIVSHRHLCLHPILNINLDNQSLFHM
+>sp|Q8NGC7|O11H6_HUMAN Olfactory receptor 11H6 OS=Homo sapiens OX=9606 GN=OR11H6 PE=3 SV=1
+MFFIIHSLVTSVFLTALGPQNRTMHFVTEFVLLGFHGQREMQSCFFSFILVLYLLTLLGNGAIVCAVKLDRRLHTPMYILLGNFAFLEIWYISSTVPNMLVNILSEIKTISFSGCFLQFYFFFSLGTTECFFLSVMAYDRYLAICRPLHYPSIMTGKFCIILVCVCWVGGFLCYPVPIVLISQLPFCGPNIIDHLVCDPGPLFALACISAPSTELICYTFNSMIIFGPFLSILGSYTLVIRAVLCIPSGAGRTKAFSTCGSHLMVVSLFYGTLMVMYVSPTSGNPAGMQKIITLVYTAMTPFLNPLIYSLRNKDMKDALKRVLGLTVSQN
+>DECOY_sp|Q8NGC7|O11H6_HUMAN Olfactory receptor 11H6 OS=Homo sapiens OX=9606 GN=OR11H6 PE=3 SV=1
+NQSVTLGLVRKLADKMDKNRLSYILPNLFPTMATYVLTIIKQMGAPNGSTPSVYMVMLTGYFLSVVMLHSGCTSFAKTRGAGSPICLVARIVLTYSGLISLFPGFIIMSNFTYCILETSPASICALAFLPGPDCVLHDIINPGCFPLQSILVIPVPYCLFGGVWCVCVLIICFKGTMISPYHLPRCIALYRDYAMVSLFFCETTGLSFFFYFQLFCGSFSITKIESLINVLMNPVTSSIYWIELFAFNGLLIYMPTHLRRDLKVACVIAGNGLLTLLYLVLIFSFFCSQMERQGHFGLLVFETVFHMTRNQPGLATLFVSTVLSHIIFFM
+>sp|B2RN74|O11HC_HUMAN Olfactory receptor 11H12 OS=Homo sapiens OX=9606 GN=OR11H12 PE=2 SV=1
+MCPLTLQVTGLMNVSEPNSSFAFVNEFILQGFTCEWTIQIFLFSLFTTTYALTITGNGAIAFVLWCDWRLHTPMYMFLGNFSFLEIWYVSSTVPKMLVNFLSEKKNISFAGCFLQFYFFFSLGTSECLLLTVMAFDQYLAICRPLLYPNIMTGHLCAKLVILCWVCGFLWFLIPIVLISQMPFCGPNIIDHVVCDPGPRFALDCVSAPRIQLFCYTLSSLVIFGNFLFIIGSYTLVLKAVLGMPSSTGRHKAFSTCGSHLAVVSLCYSSLMVMYVSPGLGHSTGMQKIETLFYAMVTPLFNPLIYSLQNKEIKAALRKVLGSSNII
+>DECOY_sp|B2RN74|O11HC_HUMAN Olfactory receptor 11H12 OS=Homo sapiens OX=9606 GN=OR11H12 PE=2 SV=1
+IINSSGLVKRLAAKIEKNQLSYILPNFLPTVMAYFLTEIKQMGTSHGLGPSVYMVMLSSYCLSVVALHSGCTSFAKHRGTSSPMGLVAKLVLTYSGIIFLFNGFIVLSSLTYCFLQIRPASVCDLAFRPGPDCVVHDIINPGCFPMQSILVIPILFWLFGCVWCLIVLKACLHGTMINPYLLPRCIALYQDFAMVTLLLCESTGLSFFFYFQLFCGAFSINKKESLFNVLMKPVTSSVYWIELFSFNGLFMYMPTHLRWDCWLVFAIAGNGTITLAYTTTFLSFLFIQITWECTFGQLIFENVFAFSSNPESVNMLGTVQLTLPCM
+>sp|Q8NGX0|O11L1_HUMAN Olfactory receptor 11L1 OS=Homo sapiens OX=9606 GN=OR11L1 PE=2 SV=1
+MEPQNTSTVTNFQLLGFQNLLEWQALLFVIFLLIYCLTIIGNVVIITVVSQGLRLHSPMYMFLQHLSFLEVWYTSTTVPLLLANLLSWGQAISFSACMAQLYFFVFLGATECFLLAFMAYDRYLAICSPLRYPFLMHRGLCARLVVVSWCTGVSTGFLPSLMISRLDFCGRNQINHFFCDLPPLMQLSCSRVYITEVTIFILSIAVLCICFFLTLGPYVFIVSSILRIPSTSGRRKTFSTCGSHLAVVTLYYGTMISMYVCPSPHLLPEINKIISVFYTVVTPLLNPVIYSLRNKDFKEAVRKVMRRKCGILWSTSKRKFLY
+>DECOY_sp|Q8NGX0|O11L1_HUMAN Olfactory receptor 11L1 OS=Homo sapiens OX=9606 GN=OR11L1 PE=2 SV=1
+YLFKRKSTSWLIGCKRRMVKRVAEKFDKNRLSYIVPNLLPTVVTYFVSIIKNIEPLLHPSPCVYMSIMTGYYLTVVALHSGCTSFTKRRGSTSPIRLISSVIFVYPGLTLFFCICLVAISLIFITVETIYVRSCSLQMLPPLDCFFHNIQNRGCFDLRSIMLSPLFGTSVGTCWSVVVLRACLGRHMLFPYRLPSCIALYRDYAMFALLFCETAGLFVFFYLQAMCASFSIAQGWSLLNALLLPVTTSTYWVELFSLHQLFMYMPSHLRLGQSVVTIIVVNGIITLCYILLFIVFLLAQWELLNQFGLLQFNTVTSTNQPEM
+>sp|P58182|O12D2_HUMAN Olfactory receptor 12D2 OS=Homo sapiens OX=9606 GN=OR12D2 PE=2 SV=2
+MLNTTSVTEFLLLGVTDIQELQPFLFVVFLTIYFISVTGNGAVLMIVISDPRLHSLMYFFLGNLSYLDICYSTVTLPKMLQNFLSTHKAISFLGCISQLHFFHSLGSTESMLFAVMAFDLSVAICKPLRYTVIMNPQLCTQMAITIWVIGFFHALLHSVMTSRLNFCGSNRIHHFLCDIKPLLKLACGNTELNQWLLSTVTGTIAMGPFFLTLLSYFYIITYLFFKTRSCSMLCKALSTCASHFMVVILFYAPVLFTYIHPALESFMDQDRIVAIMYTVVTPVLNPLIYTLRNKEVKGALGRVIRRL
+>DECOY_sp|P58182|O12D2_HUMAN Olfactory receptor 12D2 OS=Homo sapiens OX=9606 GN=OR12D2 PE=2 SV=2
+LRRIVRGLAGKVEKNRLTYILPNLVPTVVTYMIAVIRDQDMFSELAPHIYTFLVPAYFLIVVMFHSACTSLAKCLMSCSRTKFFLYTIIYFYSLLTLFFPGMAITGTVTSLLWQNLETNGCALKLLPKIDCLFHHIRNSGCFNLRSTMVSHLLAHFFGIVWITIAMQTCLQPNMIVTYRLPKCIAVSLDFAMVAFLMSETSGLSHFFHLQSICGLFSIAKHTSLFNQLMKPLTVTSYCIDLYSLNGLFFYMLSHLRPDSIVIMLVAGNGTVSIFYITLFVVFLFPQLEQIDTVGLLLFETVSTTNLM
+>sp|Q8NGS9|O13C2_HUMAN Olfactory receptor 13C2 OS=Homo sapiens OX=9606 GN=OR13C2 PE=2 SV=1
+MEWENHTILVEFFLKGLSGHPRLELLFFVLIFIMYVVILLGNGTLILISILDPHLHTPMYFFLGNLSFLDICYTTTSIPSTLVSFLSERKTISLSGCAVQMFLGLAMGTTECVLLGMMAFDRYVAICNPLRYPIIMSKDAYVPMAAGSWIIGAVNSAVQSVFVVQLPFCRNNIINHFTCEILAVMKLACADISDNEFIMLVATTLFILTPLLLIIVSYTLIIVSIFKISSSEGRSKASSTCSAHLTVVIIFYGTILFMYMKPKSKETLNSDDLDATDKIISMFYGVMTPMMNPLIYSLRNKDVKEAVKHLLNRRFFSK
+>DECOY_sp|Q8NGS9|O13C2_HUMAN Olfactory receptor 13C2 OS=Homo sapiens OX=9606 GN=OR13C2 PE=2 SV=1
+KSFFRRNLLHKVAEKVDKNRLSYILPNMMPTMVGYFMSIIKDTADLDDSNLTEKSKPKMYMFLITGYFIIVVTLHASCTSSAKSRGESSSIKFISVIILTYSVIILLLPTLIFLTTAVLMIFENDSIDACALKMVALIECTFHNIINNRCFPLQVVFVSQVASNVAGIIWSGAAMPVYADKSMIIPYRLPNCIAVYRDFAMMGLLVCETTGMALGLFMQVACGSLSITKRESLFSVLTSPISTTTYCIDLFSLNGLFFYMPTHLHPDLISILILTGNGLLIVVYMIFILVFFLLELRPHGSLGKLFFEVLITHNEWEM
+>sp|Q8NH95|O13C6_HUMAN Putative olfactory receptor 13C6 OS=Homo sapiens OX=9606 GN=OR13C6P PE=5 SV=2
+MVSANQTASVTEFILLGLSAHPKLEKTFFVLILLMYLVILLGNGVLILMTVSNSHLHMPMYFFLGNLSFLDICYTTSSVPLILDSFLTPRKTISFSACAVQMFLSFAMGATECVLLSMMAFDRYVAICNPLRYPVVMSKAAYMPIRLPAPG
+>DECOY_sp|Q8NH95|O13C6_HUMAN Putative olfactory receptor 13C6 OS=Homo sapiens OX=9606 GN=OR13C6P PE=5 SV=2
+GPAPLRIPMYAAKSMVVPYRLPNCIAVYRDFAMMSLLVCETAGMAFSLFMQVACASFSITKRPTLFSDLILPVSSTTYCIDLFSLNGLFFYMPMHLHSNSVTMLILVGNGLLIVLYMLLILVFFTKELKPHASLGLLIFETVSATQNASVM
+>sp|Q8NGV5|O13D1_HUMAN Olfactory receptor 13D1 OS=Homo sapiens OX=9606 GN=OR13D1 PE=2 SV=3
+MYRFTDFDVSNISIYLNHVLFYTTQQAGDLEHMETRNYSAMTEFFLVGLSQYPELQLFLFLLCLIMYMIILLGNSLLIIITILDSRLHTPMYFFLGNLSFLDICYTSSSIPPMLIIFMSERKSISFIGCALQMVVSLGLGSTECVLLAVMAYDHYVAICNPLRYSIIMNGVLYVQMAAWSWIIGCLTSLLQTVLTMMLPFCGNNVIDHITCEILALLKLVCSDITINVLIMTVTNIVSLVILLLLIFISYVFILSSILRINCAEGRKKAFSTCSAHSIVVILFYGSALFMYMKPKSKNTNTSDEIIGLSYGVVSPMLNPIIYSLRNKEVKEAVKKVLSRHLHLLKM
+>DECOY_sp|Q8NGV5|O13D1_HUMAN Olfactory receptor 13D1 OS=Homo sapiens OX=9606 GN=OR13D1 PE=2 SV=3
+MKLLHLHRSLVKKVAEKVEKNRLSYIIPNLMPSVVGYSLGIIEDSTNTNKSKPKMYMFLASGYFLIVVISHASCTSFAKKRGEACNIRLISSLIFVYSIFILLLLIVLSVINTVTMILVNITIDSCVLKLLALIECTIHDIVNNGCFPLMMTLVTQLLSTLCGIIWSWAAMQVYLVGNMIISYRLPNCIAVYHDYAMVALLVCETSGLGLSVVMQLACGIFSISKRESMFIILMPPISSSTYCIDLFSLNGLFFYMPTHLRSDLITIIILLSNGLLIIMYMILCLLFLFLQLEPYQSLGVLFFETMASYNRTEMHELDGAQQTTYFLVHNLYISINSVDFDTFRYM
+>sp|Q8NGZ3|O13G1_HUMAN Olfactory receptor 13G1 OS=Homo sapiens OX=9606 GN=OR13G1 PE=2 SV=1
+MNHSVVTEFIILGLTKKPELQGIIFLFFLIVYLVAFLGNMLIIIAKIYNNTLHTPMYVFLLTLAVVDIICTTSIIPKMLGTMLTSENTISYAGCMSQLFLFTWSLGAEMVLFTTMAYDRYVAICFPLHYSTIMNHHMCVALLSMVMAIAVTNSWVHTALIMRLTFCGPNTIDHFFCEIPPLLALSCSPVRINEVMVYVADITLAIGDFILTCISYGFIIVAILRIRTVEGKRKAFSTCSSHLTVVTLYYSPVIYTYIRPASSYTFERDKVVAALYTLVTPTLNPMVYSFQNREMQAGIRKVFAFLKH
+>DECOY_sp|Q8NGZ3|O13G1_HUMAN Olfactory receptor 13G1 OS=Homo sapiens OX=9606 GN=OR13G1 PE=2 SV=1
+HKLFAFVKRIGAQMERNQFSYVMPNLTPTVLTYLAAVVKDREFTYSSAPRIYTYIVPSYYLTVVTLHSSCTSFAKRKGEVTRIRLIAVIIFGYSICTLIFDGIALTIDAVYVMVENIRVPSCSLALLPPIECFFHDITNPGCFTLRMILATHVWSNTVAIAMVMSLLAVCMHHNMITSYHLPFCIAVYRDYAMTTFLVMEAGLSWTFLFLQSMCGAYSITNESTLMTGLMKPIISTTCIIDVVALTLLFVYMPTHLTNNYIKAIIILMNGLFAVLYVILFFLFIIGQLEPKKTLGLIIFETVVSHNM
+>sp|Q6IF82|O4A47_HUMAN Olfactory receptor 4A47 OS=Homo sapiens OX=9606 GN=OR4A47 PE=3 SV=2
+MEPRKNVTDFVLLGFTQNPKEQKVLFVMFLLFYILTMVGNLLIVVTVTVSETLGSPMYFFLAGLSFIDIIYSSSISPRLISGLFFGNNSISFQSCMAQLFIEHIFGGSEVFLLLVMAYDCYVAICKPLHYLVIMRQWVCVVLLVVSWVGGFLHSVFQLSIIYGLPFCGPNVIDHFFCDMYPLLKLVCTDTHAIGLLVVANGGLACTIVFLLLLISYGVILHSLKNLSQKGRQKALSTCSSHMTVVVFFFVPCIFMYARPARTFPIDKSVSVFYTVITPMLNPLIYTLRNSEMTSAMKKLWRRDLISSST
+>DECOY_sp|Q6IF82|O4A47_HUMAN Olfactory receptor 4A47 OS=Homo sapiens OX=9606 GN=OR4A47 PE=3 SV=2
+TSSSILDRRWLKKMASTMESNRLTYILPNLMPTIVTYFVSVSKDIPFTRAPRAYMFICPVFFFVVVTMHSSCTSLAKQRGKQSLNKLSHLIVGYSILLLLFVITCALGGNAVVLLGIAHTDTCVLKLLPYMDCFFHDIVNPGCFPLGYIISLQFVSHLFGGVWSVVLLVVCVWQRMIVLYHLPKCIAVYCDYAMVLLLFVESGGFIHEIFLQAMCSQFSISNNGFFLGSILRPSISSSYIIDIFSLGALFFYMPSGLTESVTVTVVILLNGVMTLIYFLLFMVFLVKQEKPNQTFGLLVFDTVNKRPEM
+>sp|A6NHA9|O4C46_HUMAN Olfactory receptor 4C46 OS=Homo sapiens OX=9606 GN=OR4C46 PE=3 SV=1
+MENRNNMTEFVLLGLTENPKMQKIIFVVFFVIYIITVVGYVLIVVTITASPSLGSPMYLSLAYLSFIDACYSSVNTPNLITHSLYGKKAILFNGCMTQVFGEHFFGGAEGILLTVMAYDHYVAICKPLHYMTIMNQCVCALLMGVVWMGGFLHATIQILFIFQLPFCGPNVIDHFMCDLNPLLNLACTDTHMLELFIAANSGFICLLNFALLLVSYVVILCSLRTHSLEARHKALSTCVSHITVVILFFVPCIFVYMRPAATLPIDKAVAIFYTMITPMLNPLIYTLKNAQMKNAIRKLCSRKDISGDK
+>DECOY_sp|A6NHA9|O4C46_HUMAN Olfactory receptor 4C46 OS=Homo sapiens OX=9606 GN=OR4C46 PE=3 SV=1
+KDGSIDKRSCLKRIANKMQANKLTYILPNLMPTIMTYFIAVAKDIPLTAAPRMYVFICPVFFLIVVTIHSVCTSLAKHRAELSHTRLSCLIVVYSVLLLAFNLLCIFGSNAAIFLELMHTDTCALNLLPNLDCMFHDIVNPGCFPLQFIFLIQITAHLFGGMWVVGMLLACVCQNMITMYHLPKCIAVYHDYAMVTLLIGEAGGFFHEGFVQTMCGNFLIAKKGYLSHTILNPTNVSSYCADIFSLYALSLYMPSGLSPSATITVVILVYGVVTIIYIVFFVVFIIKQMKPNETLGLLVFETMNNRNEM
+>sp|O95013|O4F21_HUMAN Olfactory receptor 4F21 OS=Homo sapiens OX=9606 GN=OR4F21 PE=3 SV=2
+MDGENHSVVSEFLFLGLTHSWEIQLLLLVFSSVLYVASITGNIFIVFSVTTDPHLHSPMYFLLASLSFIDLGACSVTSPKMIYDLFRKRKVISFGGCIAQIFFIHVIGGVEMVLLIAMAFDRYVALCKPLHYLTIMSPRMCLSFLAVAWTLGVSHSLFQLAFLVNLAFCGPNVLDSFYCDLPRLLRLACTDTYRLQFMVTVNSGFICVGTFFILLISYVFILFTVWKHSSGGSSKALSTLSAHSTVVLLFFGPPMFVYTRPHPNSQMDKFLAIFDAVLTPFLNPVVYTFRNKEMKAAIKRVCKQLVIYKKIS
+>DECOY_sp|O95013|O4F21_HUMAN Olfactory receptor 4F21 OS=Homo sapiens OX=9606 GN=OR4F21 PE=3 SV=2
+SIKKYIVLQKCVRKIAAKMEKNRFTYVVPNLFPTLVADFIALFKDMQSNPHPRTYVFMPPGFFLLVVTSHASLTSLAKSSGGSSHKWVTFLIFVYSILLIFFTGVCIFGSNVTVMFQLRYTDTCALRLLRPLDCYFSDLVNPGCFALNVLFALQFLSHSVGLTWAVALFSLCMRPSMITLYHLPKCLAVYRDFAMAILLVMEVGGIVHIFFIQAICGGFSIVKRKRFLDYIMKPSTVSCAGLDIFSLSALLFYMPSHLHPDTTVSFVIFINGTISAVYLVSSFVLLLLQIEWSHTLGLFLFESVVSHNEGDM
+>sp|Q9Y5P1|O51B2_HUMAN Olfactory receptor 51B2 OS=Homo sapiens OX=9606 GN=OR51B2 PE=2 SV=4
+MWPNITAAPFLLTGFPGLEAAHHWISIPFFAVYVCILLGNGMLLYLIKHDHSLHEPMYYFLTMLAGTDLMVTLTTMPTVMGILWVNHREISSVGCFLQAYFIHSLSVVESGSLLAMAYDCFIAIRNPLRYASILTNTRVIALGVGVFLRGFVSILPVILRLFSFSYCKSHVITRAFCLHQEIMRLACADITFNRLYPVILISLTIFLDCLIILFSYILILNTVIGIASGEERAKALNTCISHISCVLIFYVTVMGLTFIYRFGKNVPEVVHIIMSYIYFLFPPLMNPVIYSIKTKQIQYGIIRLLSKHRFSS
+>DECOY_sp|Q9Y5P1|O51B2_HUMAN Olfactory receptor 51B2 OS=Homo sapiens OX=9606 GN=OR51B2 PE=2 SV=4
+SSFRHKSLLRIIGYQIQKTKISYIVPNMLPPFLFYIYSMIIHVVEPVNKGFRYIFTLGMVTVYFILVCSIHSICTNLAKAREEGSAIGIVTNLILIYSFLIILCDLFITLSILIVPYLRNFTIDACALRMIEQHLCFARTIVHSKCYSFSFLRLIVPLISVFGRLFVGVGLAIVRTNTLISAYRLPNRIAIFCDYAMALLSGSEVVSLSHIFYAQLFCGVSSIERHNVWLIGMVTPMTTLTVMLDTGALMTLFYYMPEHLSHDHKILYLLMGNGLLICVYVAFFPISIWHHAAELGPFGTLLFPAATINPWM
+>sp|Q8NGF3|O51D1_HUMAN Olfactory receptor 51D1 OS=Homo sapiens OX=9606 GN=OR51D1 PE=2 SV=1
+MQKPQLLVPIIATSNGNLVHAAYFLLVGIPGLGPTIHFWLAFPLCFMYALATLGNLTIVLIIRVERRLHEPMYLFLAMLSTIDLVLSSITMPKMASLFLMGIQEIEFNICLAQMFLIHALSAVESAVLLAMAFDRFVAICHPLRHASVLTGCTVAKIGLSALTRGFVFFFPLPFILKWLSYCQTHTVTHSFCLHQDIMKLSCTDTRVNVVYGLFIILSVMGVDSLFIGFSYILILWAVLELSSRRAALKAFNTCISHLCAVLVFYVPLIGLSVVHRLGGPTSLLHVVMANTYLLLPPVVNPLVYGAKTKEICSRVLCMFSQGGK
+>DECOY_sp|Q8NGF3|O51D1_HUMAN Olfactory receptor 51D1 OS=Homo sapiens OX=9606 GN=OR51D1 PE=2 SV=1
+KGGQSFMCLVRSCIEKTKAGYVLPNVVPPLLLYTNAMVVHLLSTPGGLRHVVSLGILPVYFVLVACLHSICTNFAKLAARRSSLELVAWLILIYSFGIFLSDVGMVSLIIFLGYVVNVRTDTCSLKMIDQHLCFSHTVTHTQCYSLWKLIFPLPFFFVFGRTLASLGIKAVTCGTLVSAHRLPHCIAVFRDFAMALLVASEVASLAHILFMQALCINFEIEQIGMLFLSAMKPMTISSLVLDITSLMALFLYMPEHLRREVRIILVITLNGLTALAYMFCLPFALWFHITPGLGPIGVLLFYAAHVLNGNSTAIIPVLLQPKQM
+>sp|Q8TCB6|O51E1_HUMAN Olfactory receptor 51E1 OS=Homo sapiens OX=9606 GN=OR51E1 PE=2 SV=1
+MVDPNGNESSATYFILIGLPGLEEAQFWLAFPLCSLYLIAVLGNLTIIYIVRTEHSLHEPMYIFLCMLSGIDILISTSSMPKMLAIFWFNSTTIQFDACLLQMFAIHSLSGMESTVLLAMAFDRYVAICHPLRHATVLTLPRVTKIGVAAVVRGAALMAPLPVFIKQLPFCRSNILSHSYCLHQDVMKLACDDIRVNVVYGLIVIISAIGLDSLLISFSYLLILKTVLGLTREAQAKAFGTCVSHVCAVFIFYVPFIGLSMVHRFSKRRDSPLPVILANIYLLVPPVLNPIVYGVKTKEIRQRILRLFHVATHASEP
+>DECOY_sp|Q8TCB6|O51E1_HUMAN Olfactory receptor 51E1 OS=Homo sapiens OX=9606 GN=OR51E1 PE=2 SV=1
+PESAHTAVHFLRLIRQRIEKTKVGYVIPNLVPPVLLYINALIVPLPSDRRKSFRHVMSLGIFPVYFIFVACVHSVCTGFAKAQAERTLGLVTKLILLYSFSILLSDLGIASIIVILGYVVNVRIDDCALKMVDQHLCYSHSLINSRCFPLQKIFVPLPAMLAAGRVVAAVGIKTVRPLTLVTAHRLPHCIAVYRDFAMALLVTSEMGSLSHIAFMQLLCADFQITTSNFWFIALMKPMSSTSILIDIGSLMCLFIYMPEHLSHETRVIYIITLNGLVAILYLSCLPFALWFQAEELGPLGILIFYTASSENGNPDVM
+>sp|Q8NH61|O51F2_HUMAN Olfactory receptor 51F2 OS=Homo sapiens OX=9606 GN=OR51F2 PE=2 SV=2
+MTETSLSSQCFPMSVLNNTIAEPLIFLLMGIPGLKATQYWISIPFCLLYVVAVSGNSMILFVVLCERSLHKPMYYFLSMLSATDLSLSLCTLSTTLGVFWFEAREINLNACIAQMFFLHGFTFMESGVLLAMAFDRFVAICYPLRYTTILTNARIAKIGMSMLIRNVAVMLPVMLFVKRLSFCSSMVLSHSYCYHVDLIQLSCTDNRINSILGLFALLSTTGFDCPCILLSYILIIRSVLSIASSEERRKAFNTCTSHISAVSIFYLPLISLSLVHRYGHSAPPFVHIIMANVFLLIPPVLNPIIYSVKIKQIQKAIIKVLIQKHSKSNHQLFLIRDKAIYE
+>DECOY_sp|Q8NH61|O51F2_HUMAN Olfactory receptor 51F2 OS=Homo sapiens OX=9606 GN=OR51F2 PE=2 SV=2
+EYIAKDRILFLQHNSKSHKQILVKIIAKQIQKIKVSYIIPNLVPPILLFVNAMIIHVFPPASHGYRHVLSLSILPLYFISVASIHSTCTNFAKRREESSAISLVSRIILIYSLLICPCDFGTTSLLAFLGLISNIRNDTCSLQILDVHYCYSHSLVMSSCFSLRKVFLMVPLMVAVNRILMSMGIKAIRANTLITTYRLPYCIAVFRDFAMALLVGSEMFTFGHLFFMQAICANLNIERAEFWFVGLTTSLTCLSLSLDTASLMSLFYYMPKHLSRECLVVFLIMSNGSVAVVYLLCFPISIWYQTAKLGPIGMLLFILPEAITNNLVSMPFCQSSLSTETM
+>sp|Q8NGK0|O51G2_HUMAN Olfactory receptor 51G2 OS=Homo sapiens OX=9606 GN=OR51G2 PE=2 SV=1
+MTLGSLGNSSSSVSATFLLSGIPGLERMHIWISIPLCFMYLVSIPGNCTILFIIKTERSLHEPMYLFLSMLALIDLGLSLCTLPTVLGIFWVGAREISHDACFAQLFFIHCFSFLESSVLLSMAFDRFVAICHPLHYVSILTNTVIGRIGLVSLGRSVALIFPLPFMLKRFPYCGSPVLSHSYCLHQEVMKLACADMKANSIYGMFVIVSTVGIDSLLILFSYALILRTVLSIASRAERFKALNTCVSHICAVLLFYTPMIGLSVIHRFGKQAPHLVQVVMGFMYLLFPPVMNPIVYSVKTKQIRDRVTHAFCY
+>DECOY_sp|Q8NGK0|O51G2_HUMAN Olfactory receptor 51G2 OS=Homo sapiens OX=9606 GN=OR51G2 PE=2 SV=1
+YCFAHTVRDRIQKTKVSYVIPNMVPPFLLYMFGMVVQVLHPAQKGFRHIVSLGIMPTYFLLVACIHSVCTNLAKFREARSAISLVTRLILAYSFLILLSDIGVTSVIVFMGYISNAKMDACALKMVEQHLCYSHSLVPSGCYPFRKLMFPLPFILAVSRGLSVLGIRGIVTNTLISVYHLPHCIAVFRDFAMSLLVSSELFSFCHIFFLQAFCADHSIERAGVWFIGLVTPLTCLSLGLDILALMSLFLYMPEHLSRETKIIFLITCNGPISVLYMFCLPISIWIHMRELGPIGSLLFTASVSSSSNGLSGLTM
+>sp|Q9H344|O51I2_HUMAN Olfactory receptor 51I2 OS=Homo sapiens OX=9606 GN=OR51I2 PE=2 SV=1
+MGLFNVTHPAFFLLTGIPGLESSHSWLSGPLCVMYAVALGGNTVILQAVRVEPSLHEPMYYFLSMLSFSDVAISMATLPTVLRTFCLNARNITFDACLIQMFLIHFFSMMESGILLAMSFDRYVAICDPLRYATVLTTEVIAAMGLGAAARSFITLFPLPFLIKRLPICRSNVLSHSYCLHPDMMRLACADISINSIYGLFVLVSTFGMDLFFIFLSYVLILRSVMATASREERLKALNTCVSHILAVLAFYVPMIGVSTVHRFGKHVPCYIHVLMSNVYLFVPPVLNPLIYSAKTKEIRRAIFRMFHHIKI
+>DECOY_sp|Q9H344|O51I2_HUMAN Olfactory receptor 51I2 OS=Homo sapiens OX=9606 GN=OR51I2 PE=2 SV=1
+IKIHHFMRFIARRIEKTKASYILPNLVPPVFLYVNSMLVHIYCPVHKGFRHVTSVGIMPVYFALVALIHSVCTNLAKLREERSATAMVSRLILVYSLFIFFLDMGFTSVLVFLGYISNISIDACALRMMDPHLCYSHSLVNSRCIPLRKILFPLPFLTIFSRAAAGLGMAAIVETTLVTAYRLPDCIAVYRDFSMALLIGSEMMSFFHILFMQILCADFTINRANLCFTRLVTPLTAMSIAVDSFSLMSLFYYMPEHLSPEVRVAQLIVTNGGLAVAYMVCLPGSLWSHSSELGPIGTLLFFAPHTVNFLGM
+>sp|Q8NGJ9|O51T1_HUMAN Olfactory receptor 51T1 OS=Homo sapiens OX=9606 GN=OR51T1 PE=3 SV=1
+MAIFNNTTSSSSNFLLTAFPGLECAHVWISIPVCCLYTIALLGNSMIFLVIITKRRLHKPMYYFLSMLAAVDLCLTITTLPTVLGVLWFHAREISFKACFIQMFFVHAFSLLESSVLVAMAFDRFVAICNPLNYATILTDRMVLVIGLVICIRPAVFLLPLLVAINTVSFHGGHELSHPFCYHPEVIKYTYSKPWISSFWGLFLQLYLNGTDVLFILFSYVLILRTVLGIVARKKQQKALSTCVCHICAVTIFYVPLISLSLAHRLFHSTPRVLCSTLANIYLLLPPVLNPIIYSLKTKTIRQAMFQLLQSKGSWGFNVRGLRGRWD
+>DECOY_sp|Q8NGJ9|O51T1_HUMAN Olfactory receptor 51T1 OS=Homo sapiens OX=9606 GN=OR51T1 PE=3 SV=1
+DWRGRLGRVNFGWSGKSQLLQFMAQRITKTKLSYIIPNLVPPLLLYINALTSCLVRPTSHFLRHALSLSILPVYFITVACIHCVCTSLAKQQKKRAVIGLVTRLILVYSFLIFLVDTGNLYLQLFLGWFSSIWPKSYTYKIVEPHYCFPHSLEHGGHFSVTNIAVLLPLLFVAPRICIVLGIVLVMRDTLITAYNLPNCIAVFRDFAMAVLVSSELLSFAHVFFMQIFCAKFSIERAHFWLVGLVTPLTTITLCLDVAALMSLFYYMPKHLRRKTIIVLFIMSNGLLAITYLCCVPISIWVHACELGPFATLLFNSSSSTTNNFIAM
+>sp|Q9UKL2|O52A1_HUMAN Olfactory receptor 52A1 OS=Homo sapiens OX=9606 GN=OR52A1 PE=2 SV=2
+MSISNITVYMPSVLTLVGIPGLESVQCWIGIPFCAIYLIAMIGNSLLLSIIKSERSLHEPLYIFLGMLGATDIALASSIMPKMLGIFWFNVPEIYFDSCLLQMWFIHTLQGIESGILVAMALDRYVAICYPLRHANIFTHQLVIQIGTMVVLRAAILVAPCLVLIKCRFQFYHTTVISHSYCEHMAIVKLAAANVQVNKIYGLFVAFTVAGFDLTFITLSYIQIFITVFRLPQKEARFKAFNTCIAHICVFLQFYLLAFFSFFTHRFGSHISPYIHILFSSIYLLVPPFLNPLVYGAKTTQIRIHVVKMFCS
+>DECOY_sp|Q9UKL2|O52A1_HUMAN Olfactory receptor 52A1 OS=Homo sapiens OX=9606 GN=OR52A1 PE=2 SV=2
+SCFMKVVHIRIQTTKAGYVLPNLFPPVLLYISSFLIHIYPSIHSGFRHTFFSFFALLYFQLFVCIHAICTNFAKFRAEKQPLRFVTIFIQIYSLTIFTLDFGAVTFAVFLGYIKNVQVNAAALKVIAMHECYSHSIVTTHYFQFRCKILVLCPAVLIAARLVVMTGIQIVLQHTFINAHRLPYCIAVYRDLAMAVLIGSEIGQLTHIFWMQLLCSDFYIEPVNFWFIGLMKPMISSALAIDTAGLMGLFIYLPEHLSRESKIISLLLSNGIMAILYIACFPIGIWCQVSELGPIGVLTLVSPMYVTINSISM
+>sp|Q8NGH6|O52L2_HUMAN Putative olfactory receptor 52L2 OS=Homo sapiens OX=9606 GN=OR52L2P PE=5 SV=3
+MNLDSFFSFLLKSLIMALSNSSWRLPQPSFFLVGIPGLEESQHWIALPLGILYLLALVGNVTILFIIWMDPSLHQSMYLFLSMLAAIDLVVASSTAPKALAVLLVRAQEIGYTVCLIQMFFTHAFSSMESGVLVAMALDRYVAICHPLHHSTILHPGVIGHIGMVVLVRGLLLLIPFLILLRKLIFCQATIIGHAYCEHMAVVKLACSETTVNRAYGLTVALLVVGLDVLAIGVSYAHILQAVLKVPGNEARLKAFSTCGSHVCVILVFYIPGMFSFLTHRFGHHVPHHVHVLLAILYRLVPPALNPLVYRVKTQKIHQ
+>DECOY_sp|Q8NGH6|O52L2_HUMAN Putative olfactory receptor 52L2 OS=Homo sapiens OX=9606 GN=OR52L2P PE=5 SV=3
+QHIKQTKVRYVLPNLAPPVLRYLIALLVHVHHPVHHGFRHTLFSFMGPIYFVLIVCVHSGCTSFAKLRAENGPVKLVAQLIHAYSVGIALVDLGVVLLAVTLGYARNVTTESCALKVVAMHECYAHGIITAQCFILKRLLILFPILLLLGRVLVVMGIHGIVGPHLITSHHLPHCIAVYRDLAMAVLVGSEMSSFAHTFFMQILCVTYGIEQARVLLVALAKPATSSAVVLDIAALMSLFLYMSQHLSPDMWIIFLITVNGVLALLYLIGLPLAIWHQSEELGPIGVLFFSPQPLRWSSNSLAMILSKLLFSFFSDLNM
+>sp|Q8NGI2|O52N4_HUMAN Olfactory receptor 52N4 OS=Homo sapiens OX=9606 GN=OR52N4 PE=2 SV=2
+MLTLNKTDLIPASFILNGVPGLEDTQLWISFPFCSMYVVAMVGNCGLLYLIHYEDALHKPMYYFLAMLSFTDLVMCSSTIPKALCIFWFHLKDIGFDECLVQMFFTHTFTGMESGVLMLMALDRYVAICYPLRYSTILTNPVIAKVGTATFLRGVLLIIPFTFLTKLLPYCRGNILPHTYCDHMSVAKLSCGNVKVNAIYGLMVALLIWGFDILCITNSYTMILRAVVSLSSADARQKAFNTCTAHICAIVFSYTPAFFSFFSHRFGEHIIPPSCHIIVANIYLLLPPTMNPIVYGVKTKQIRDCVIRILSGSKDTKSYSM
+>DECOY_sp|Q8NGI2|O52N4_HUMAN Olfactory receptor 52N4 OS=Homo sapiens OX=9606 GN=OR52N4 PE=2 SV=2
+MSYSKTDKSGSLIRIVCDRIQKTKVGYVIPNMTPPLLLYINAVIIHCSPPIIHEGFRHSFFSFFAPTYSFVIACIHATCTNFAKQRADASSLSVVARLIMTYSNTICLIDFGWILLAVMLGYIANVKVNGCSLKAVSMHDCYTHPLINGRCYPLLKTLFTFPIILLVGRLFTATGVKAIVPNTLITSYRLPYCIAVYRDLAMLMLVGSEMGTFTHTFFMQVLCEDFGIDKLHFWFICLAKPITSSCMVLDTFSLMALFYYMPKHLADEYHILYLLGCNGVMAVVYMSCFPFSIWLQTDELGPVGNLIFSAPILDTKNLTLM
+>sp|P0C646|O52Z1_HUMAN Olfactory receptor 52Z1 OS=Homo sapiens OX=9606 GN=OR52Z1 PE=3 SV=2
+MGIPGLEGLHTWISIPFSFMYIVAVAGNIFLIFLIMTERSLHEPMYLFLSMLASADFLLATAAAPKVLAILWFHSMDISFGSCVSQMFFIHFIFVAESAILLAMAFDRYVAICYPLRYTILTSSAVRKIGIAAVVRSFFICCPFIFLVYRLTYCGRNIIPHSYCEHIARLACGNINVNIIYGLTVALLSTGLDIVLIIISYTMILHSVFQISSWAARFKALSTCGSHICVIFMFYTPAFFSFLAHRFGGKTIPHHIHILVGSLYVLVPPMLNPIIYGVKTKQIKDRVILLFSPISVCC
+>DECOY_sp|P0C646|O52Z1_HUMAN Olfactory receptor 52Z1 OS=Homo sapiens OX=9606 GN=OR52Z1 PE=3 SV=2
+CCVSIPSFLLIVRDKIQKTKVGYIIPNLMPPVLVYLSGVLIHIHHPITKGGFRHALFSFFAPTYFMFIVCIHSGCTSLAKFRAAWSSIQFVSHLIMTYSIIILVIDLGTSLLAVTLGYIINVNINGCALRAIHECYSHPIINRGCYTLRYVLFIFPCCIFFSRVVAAIGIKRVASSTLITYRLPYCIAVYRDFAMALLIASEAVFIFHIFFMQSVCSGFSIDMSHFWLIALVKPAAATALLFDASALMSLFLYMPEHLSRETMILFILFINGAVAVIYMFSFPISIWTHLGELGPIGM
+>sp|Q8NH54|O56A3_HUMAN Olfactory receptor 56A3 OS=Homo sapiens OX=9606 GN=OR56A3 PE=3 SV=2
+MTTHRNDTLSTEASDFLLNCFVRSPSWQHWLSLPLSLLFLLAVGANTTLLMTIWLEASLHQPLYYLLSLLSLLDIVLCLTVIPKVLTIFWFDLRPISFPACFLQMYIMNCFLAMESCTFMVMAYDRYVAICHPLRYPSIITDHFVVKAAMFILTRNVLMTLPIPILSAQLRYCGRNVIENCICANMSVSRLSCDDVTINHLYQFAGGWTLLGSDLILIFLSYTFILRAVLRLKAEGAVAKALSTCGSHFMLILFFSTILLVFVLTHVAKKKVSPDVPVLLNVLHHVIPAALNPIIYGVRTQEIKQGMQRLLKKGC
+>DECOY_sp|Q8NH54|O56A3_HUMAN Olfactory receptor 56A3 OS=Homo sapiens OX=9606 GN=OR56A3 PE=3 SV=2
+CGKKLLRQMGQKIEQTRVGYIIPNLAAPIVHHLVNLLVPVDPSVKKKAVHTLVFVLLITSFFLILMFHSGCTSLAKAVAGEAKLRLVARLIFTYSLFILILDSGLLTWGGAFQYLHNITVDDCSLRSVSMNACICNEIVNRGCYRLQASLIPIPLTMLVNRTLIFMAAKVVFHDTIISPYRLPHCIAVYRDYAMVMFTCSEMALFCNMIYMQLFCAPFSIPRLDFWFITLVKPIVTLCLVIDLLSLLSLLYYLPQHLSAELWITMLLTTNAGVALLFLLSLPLSLWHQWSPSRVFCNLLFDSAETSLTDNRHTTM
+>sp|Q8NGI3|O56B1_HUMAN Olfactory receptor 56B1 OS=Homo sapiens OX=9606 GN=OR56B1 PE=2 SV=2
+MNHMSASLKISNSSKFQVSEFILLGFPGIHSWQHWLSLPLALLYLSALAANTLILIIIWQNPSLQQPMYIFLGILCMVDMGLATTIIPKILAIFWFDAKVISLPECFAQIYAIHFFVGMESGILLCMAFDRYVAICHPLRYPSIVTSSLILKATLFMVLRNGLFVTPVPVLAAQRDYCSKNEIEHCLCSNLGVTSLACDDRRPNSICQLVLAWLGMGSDLSLIILSYILILYSVLRLNSAEAAAKALSTCSSHLTLILFFYTIVVVISVTHLTEMKATLIPVLLNVLHNIIPPSLNPTVYALQTKELRAAFQKVLFALTKEIRS
+>DECOY_sp|Q8NGI3|O56B1_HUMAN Olfactory receptor 56B1 OS=Homo sapiens OX=9606 GN=OR56B1 PE=2 SV=2
+SRIEKTLAFLVKQFAARLEKTQLAYVTPNLSPPIINHLVNLLVPILTAKMETLHTVSIVVVITYFFLILTLHSSCTSLAKAAAEASNLRLVSYLILIYSLIILSLDSGMGLWALVLQCISNPRRDDCALSTVGLNSCLCHEIENKSCYDRQAALVPVPTVFLGNRLVMFLTAKLILSSTVISPYRLPHCIAVYRDFAMCLLIGSEMGVFFHIAYIQAFCEPLSIVKADFWFIALIKPIITTALGMDVMCLIGLFIYMPQQLSPNQWIIILILTNAALASLYLLALPLSLWHQWSHIGPFGLLIFESVQFKSSNSIKLSASMHNM
+>sp|Q9NZP5|O5AC2_HUMAN Olfactory receptor 5AC2 OS=Homo sapiens OX=9606 GN=OR5AC2 PE=3 SV=2
+MDISEGNKTLVTEFVLTGLTDRPWLHVLFFVVFLVVYLITMVGNLGLIVLIWNDPHLHMPMYLFLGGLAFSDACTSTSITPRMLVNFLDKTAMISLAECITQFYFFASSATTECFLLVMMAYDRYVAICNPLLYPVMMSNKLSAQLLSISYVIGFLHPLVHVSLLLRLTFCRFNIIHYFYCEILQLFKISCNGPSINALMIFIFGAFIQIPTLMTIIISYTRVLFDILKKKSEKGRSKAFSTCGAHLLSVSLYYGTLIFMYVRPASGLAEDQDKVYSLFYTIIIPLLNPFIYSLRNKKVMHALRRVIRK
+>DECOY_sp|Q9NZP5|O5AC2_HUMAN Olfactory receptor 5AC2 OS=Homo sapiens OX=9606 GN=OR5AC2 PE=3 SV=2
+KRIVRRLAHMVKKNRLSYIFPNLLPIIITYFLSYVKDQDEALGSAPRVYMFILTGYYLSVSLLHAGCTSFAKSRGKESKKKLIDFLVRTYSIIITMLTPIQIFAGFIFIMLANISPGNCSIKFLQLIECYFYHIINFRCFTLRLLLSVHVLPHLFGIVYSISLLQASLKNSMMVPYLLPNCIAVYRDYAMMVLLFCETTASSAFFYFQTICEALSIMATKDLFNVLMRPTISTSTCADSFALGGLFLYMPMHLHPDNWILVILGLNGVMTILYVVLFVVFFLVHLWPRDTLGTLVFETVLTKNGESIDM
+>sp|Q8NGC0|O5AU1_HUMAN Olfactory receptor 5AU1 OS=Homo sapiens OX=9606 GN=OR5AU1 PE=2 SV=2
+MTEFHLQSQMPSIRLIFRRLSLGRIKPSQSPRCSTSFMVVPSFSIAEHWRRMKGANLSQGMEFELLGLTTDPQLQRLLFVVFLGMYTATLLGNLVMFLLIHVSATLHTPMYSLLKSLSFLDFCYSSTVVPQTLVNFLAKRKVISYFGCMTQMFFYAGFATSECYLIAAMAYDRYAAICNPLLYSTIMSPEVCASLIVGSYSAGFLNSLIHTGCIFSLKFCGAHVVTHFFCDGPPILSLSCVDTSLCEILLFIFAGFNLLSCTLTILISYFLILNTILKMSSAQGRFKAFSTCASHLTAICLFFGTTLFMYLRPRSSYSLTQDRTVAVIYTVVIPVLNPLMYSLRNKDVKKALIKVWGRKTME
+>DECOY_sp|Q8NGC0|O5AU1_HUMAN Olfactory receptor 5AU1 OS=Homo sapiens OX=9606 GN=OR5AU1 PE=2 SV=2
+EMTKRGWVKILAKKVDKNRLSYMLPNLVPIVVTYIVAVTRDQTLSYSSRPRLYMFLTTGFFLCIATLHSACTSFAKFRGQASSMKLITNLILFYSILITLTCSLLNFGAFIFLLIECLSTDVCSLSLIPPGDCFFHTVVHAGCFKLSFICGTHILSNLFGASYSGVILSACVEPSMITSYLLPNCIAAYRDYAMAAILYCESTAFGAYFFMQTMCGFYSIVKRKALFNVLTQPVVTSSYCFDLFSLSKLLSYMPTHLTASVHILLFMVLNGLLTATYMGLFVVFLLRQLQPDTTLGLLEFEMGQSLNAGKMRRWHEAISFSPVVMFSTSCRPSQSPKIRGLSLRRFILRISPMQSQLHFETM
+>sp|A6NDL8|O6C68_HUMAN Olfactory receptor 6C68 OS=Homo sapiens OX=9606 GN=OR6C68 PE=3 SV=2
+MRKHTAITTFILLGLTEDPQLQVLLFMFLFITYMLSVTGKLTIIALTMLDPHLKTPMYFFLQNLSFLEISFTATCVPRFLYSISTGNKIITYNACVIQLFFADLFGVTEFFLLATMSYDRYVAICKPLHYMAIMSNKVCKTMVICCWMAALMIILPPLSLGFHLEFCDSNVINHFGCDALPILKIPCSDTSLIEQMVVASAVLTFIITLVCVVLSYTYIIRTILKFPSVQQKKKAFSTCSSHITVVSITYGSCIFIYIKPSAKEEVNINKGVSVLISSISPMLNSFIYTLRNEQVKQAFHDSLKKIAFRLKK
+>DECOY_sp|A6NDL8|O6C68_HUMAN Olfactory receptor 6C68 OS=Homo sapiens OX=9606 GN=OR6C68 PE=3 SV=2
+KKLRFAIKKLSDHFAQKVQENRLTYIFSNLMPSISSILVSVGKNINVEEKASPKIYIFICSGYTISVVTIHSSCTSFAKKKQQVSPFKLITRIIYTYSLVVCVLTIIFTLVASAVVMQEILSTDSCPIKLIPLADCGFHNIVNSDCFELHFGLSLPPLIIMLAAMWCCIVMTKCVKNSMIAMYHLPKCIAVYRDYSMTALLFFETVGFLDAFFLQIVCANYTIIKNGTSISYLFRPVCTATFSIELFSLNQLFFYMPTKLHPDLMTLAIITLKGTVSLMYTIFLFMFLLVQLQPDETLGLLIFTTIATHKRM
+>sp|P04181|OAT_HUMAN Ornithine aminotransferase, mitochondrial OS=Homo sapiens OX=9606 GN=OAT PE=1 SV=1
+MFSKLAHLQRFAVLSRGVHSSVASATSVATKKTVQGPPTSDDIFEREYKYGAHNYHPLPVALERGKGIYLWDVEGRKYFDFLSSYSAVNQGHCHPKIVNALKSQVDKLTLTSRAFYNNVLGEYEEYITKLFNYHKVLPMNTGVEAGETACKLARKWGYTVKGIQKYKAKIVFAAGNFWGRTLSAISSSTDPTSYDGFGPFMPGFDIIPYNDLPALERALQDPNVAAFMVEPIQGEAGVVVPDPGYLMGVRELCTRHQVLFIADEIQTGLARTGRWLAVDYENVRPDIVLLGKALSGGLYPVSAVLCDDDIMLTIKPGEHGSTYGGNPLGCRVAIAALEVLEEENLAENADKLGIILRNELMKLPSDVVTAVRGKGLLNAIVIKETKDWDAWKVCLRLRDNGLLAKPTHGDIIRFAPPLVIKEDELRESIEIINKTILSF
+>DECOY_sp|P04181|OAT_HUMAN Ornithine aminotransferase, mitochondrial OS=Homo sapiens OX=9606 GN=OAT PE=1 SV=1
+FSLITKNIIEISERLEDEKIVLPPAFRIIDGHTPKALLGNDRLRLCVKWADWDKTEKIVIANLLGKGRVATVVDSPLKMLENRLIIGLKDANEALNEEELVELAAIAVRCGLPNGGYTSGHEGPKITLMIDDDCLVASVPYLGGSLAKGLLVIDPRVNEYDVALWRGTRALGTQIEDAIFLVQHRTCLERVGMLYGPDPVVVGAEGQIPEVMFAAVNPDQLARELAPLDNYPIIDFGPMFPGFGDYSTPDTSSSIASLTRGWFNGAAFVIKAKYKQIGKVTYGWKRALKCATEGAEVGTNMPLVKHYNFLKTIYEEYEGLVNNYFARSTLTLKDVQSKLANVIKPHCHGQNVASYSSLFDFYKRGEVDWLYIGKGRELAVPLPHYNHAGYKYEREFIDDSTPPGQVTKKTAVSTASAVSSHVGRSLVAFRQLHALKSFM
+>sp|Q96MR7|OBAS1_HUMAN Putative uncharacterized protein OBSCN-AS1 OS=Homo sapiens OX=9606 GN=OBSCN-AS1 PE=5 SV=1
+MYTASSSAETLRTVRRRSVPSSSMPYLALAHNRVSSLNHAASVDGWGTSHRNVADSFSRTSRSCSRFLKGTAGSARREDWNGHLQPWIPRPDRRGWETADRKGERTQVHGLRRSLGPRAPHPGAHRALRPAQSCRSGPRGWTPCRCRGRGPTACRRGS
+>DECOY_sp|Q96MR7|OBAS1_HUMAN Putative uncharacterized protein OBSCN-AS1 OS=Homo sapiens OX=9606 GN=OBSCN-AS1 PE=5 SV=1
+SGRRCATPGRGRCRCPTWGRPGSRCSQAPRLARHAGPHPARPGLSRRLGHVQTREGKRDATEWGRRDPRPIWPQLHGNWDERRASGATGKLFRSCSRSTRSFSDAVNRHSTGWGDVSAAHNLSSVRNHALALYPMSSSPVSRRRVTRLTEASSSATYM
+>sp|Q9BR26|OCSTP_HUMAN Osteoclast stimulatory transmembrane protein OS=Homo sapiens OX=9606 GN=OCSTAMP PE=2 SV=2
+MPGHPGAAEQLVKTGWRSWHLGFWKALAPLQAAWDAFSQPVPASCGQLLTQLLLCASLAAAAAGLVYHWLASLLLYPPGPSAMVATVCGLLVFLSLGLVPPVRCLFALSVPTLGMEQGRRLLLSYSTATLAIAVVPNVLANVGAAGQVLRCVTEGSLESLLNTTHQLHAASRALGPTGQAGSRGLTFEAQDNGSAFYLHMLRVTQQVLEDFSGLESLARAAALGTQRVVTGLFMLGLLVESAWYLHCYLTDLRFDNIYATQQLTQRLAQAQATHLLAPPPTWLLQAAQLRLSQEELLSCLLRLGLLALLLVATAVAVATDHVAFLLAQATVDWAQKLPTVPITLTVKYDVAYTVLGFIPFLFNQLAPESPFLSVHSSYQWELRLTSARCPLLPARRPRAAAPLAAGALQLLAGSTVLLEAYARRLRHAIAASFFTAQEARRVRHLHARLQRRHDRHQGQQLPLGDPSCVPTPRPACKPPAWIDYRLDALRTESSEGEGKELWSCRDLSCNLGPVPPPCVTLGKSLHLSEPRFLHLHNDSIFTIDVTYFPRRDVVRMEGNTGHDRPG
+>DECOY_sp|Q9BR26|OCSTP_HUMAN Osteoclast stimulatory transmembrane protein OS=Homo sapiens OX=9606 GN=OCSTAMP PE=2 SV=2
+GPRDHGTNGEMRVVDRRPFYTVDITFISDNHLHLFRPESLHLSKGLTVCPPPVPGLNCSLDRCSWLEKGEGESSETRLADLRYDIWAPPKCAPRPTPVCSPDGLPLQQGQHRDHRRQLRAHLHRVRRAEQATFFSAAIAHRLRRAYAELLVTSGALLQLAGAALPAAARPRRAPLLPCRASTLRLEWQYSSHVSLFPSEPALQNFLFPIFGLVTYAVDYKVTLTIPVTPLKQAWDVTAQALLFAVHDTAVAVATAVLLLALLGLRLLCSLLEEQSLRLQAAQLLWTPPPALLHTAQAQALRQTLQQTAYINDFRLDTLYCHLYWASEVLLGLMFLGTVVRQTGLAAARALSELGSFDELVQQTVRLMHLYFASGNDQAEFTLGRSGAQGTPGLARSAAHLQHTTNLLSELSGETVCRLVQGAAGVNALVNPVVAIALTATSYSLLLRRGQEMGLTPVSLAFLCRVPPVLGLSLFVLLGCVTAVMASPGPPYLLLSALWHYVLGAAAAALSACLLLQTLLQGCSAPVPQSFADWAAQLPALAKWFGLHWSRWGTKVLQEAAGPHGPM
+>sp|Q9UKG9|OCTC_HUMAN Peroxisomal carnitine O-octanoyltransferase OS=Homo sapiens OX=9606 GN=CROT PE=1 SV=2
+MENQLAKSTEERTFQYQDSLPSLPVPSLEESLKKYLESVKPFANQEEYKKTEEIVQKFQSGIGEKLHQKLLERAKGKRNWLEEWWLNVAYLDVRIPSQLNVNFAGPAAHFEHYWPPKEGTQLERGSITLWHNLNYWQLLRKEKVPVHKVGNTPLDMNQFRMLFSTCKVPGITRDSIMNYFRTESEGRSPNHIVVLCRGRAFVFDVIHEGCLVTPPELLRQLTYIHKKCHSEPDGPGIAALTSEERTRWAKAREYLIGLDPENLALLEKIQSSLLVYSMEDSSPHVTPEDYSEIIAAILIGDPTVRWGDKSYNLISFSNGVFGCNCDHAPFDAMIMVNISYYVDEKIFQNEGRWKGSEKVRDIPLPEELIFIVDEKVLNDINQAKAQYLREASDLQIAAYAFTSFGKKLTKNKMLHPDTFIQLALQLAYYRLHGHPGCCYETAMTRHFYHGRTETMRSCTVEAVRWCQSMQDPSVNLRERQQKMLQAFAKHNKMMKDCSAGKGFDRHLLGLLLIAKEEGLPVPELFTDPLFSKSGGGGNFVLSTSLVGYLRVQGVVVPMVHNGYGFFYHIRDDRFVVACSAWKSCPETDAEKLVQLTFCAFHDMIQLMNSTHL
+>DECOY_sp|Q9UKG9|OCTC_HUMAN Peroxisomal carnitine O-octanoyltransferase OS=Homo sapiens OX=9606 GN=CROT PE=1 SV=2
+LHTSNMLQIMDHFACFTLQVLKEADTEPCSKWASCAVVFRDDRIHYFFGYGNHVMPVVVGQVRLYGVLSTSLVFNGGGGSKSFLPDTFLEPVPLGEEKAILLLGLLHRDFGKGASCDKMMKNHKAFAQLMKQQRERLNVSPDQMSQCWRVAEVTCSRMTETRGHYFHRTMATEYCCGPHGHLRYYALQLALQIFTDPHLMKNKTLKKGFSTFAYAAIQLDSAERLYQAKAQNIDNLVKEDVIFILEEPLPIDRVKESGKWRGENQFIKEDVYYSINVMIMADFPAHDCNCGFVGNSFSILNYSKDGWRVTPDGILIAAIIESYDEPTVHPSSDEMSYVLLSSQIKELLALNEPDLGILYERAKAWRTREESTLAAIGPGDPESHCKKHIYTLQRLLEPPTVLCGEHIVDFVFARGRCLVVIHNPSRGESETRFYNMISDRTIGPVKCTSFLMRFQNMDLPTNGVKHVPVKEKRLLQWYNLNHWLTISGRELQTGEKPPWYHEFHAAPGAFNVNLQSPIRVDLYAVNLWWEELWNRKGKARELLKQHLKEGIGSQFKQVIEETKKYEEQNAFPKVSELYKKLSEELSPVPLSPLSDQYQFTREETSKALQNEM
+>sp|Q2M2E3|ODFP4_HUMAN Outer dense fiber protein 4 OS=Homo sapiens OX=9606 GN=ODF4 PE=1 SV=2
+MDAEYSGNEFPRSEGERDQHQRPGKERKSGEAGWGTGELGQDGRLLSSTLSLSSNRSLGQRQNSPLPFQWRITHSFRWMAQVLASELSLVAFILLLVVAFSKKWLDLSRSLFYQRWPVDVSNRIHTSAHVMSMGLLHFYKSRSCSDLENGKVTFIFSTLMLFPINIWIFELERNVSIPIGWSYFIGWLVLILYFTCAILCYFNHKSFWSLILSHPSGAVSCSSSFGSVEESPRAQTITDTPITQEGVLDPEQKDTHV
+>DECOY_sp|Q2M2E3|ODFP4_HUMAN Outer dense fiber protein 4 OS=Homo sapiens OX=9606 GN=ODF4 PE=1 SV=2
+VHTDKQEPDLVGEQTIPTDTITQARPSEEVSGFSSSCSVAGSPHSLILSWFSKHNFYCLIACTFYLILVLWGIFYSWGIPISVNRELEFIWINIPFLMLTSFIFTVKGNELDSCSRSKYFHLLGMSMVHASTHIRNSVDVPWRQYFLSRSLDLWKKSFAVVLLLIFAVLSLESALVQAMWRFSHTIRWQFPLPSNQRQGLSRNSSLSLTSSLLRGDQGLEGTGWGAEGSKREKGPRQHQDREGESRPFENGSYEADM
+>sp|P36957|ODO2_HUMAN Dihydrolipoyllysine-residue succinyltransferase component of 2-oxoglutarate dehydrogenase complex, mitochondrial OS=Homo sapiens OX=9606 GN=DLST PE=1 SV=4
+MLSRSRCVSRAFSRSLSAFQKGNCPLGRRSLPGVSLCQGPGYPNSRKVVINNSVFSVRFFRTTAVCKDDLVTVKTPAFAESVTEGDVRWEKAVGDTVAEDEVVCEIETDKTSVQVPSPANGVIEALLVPDGGKVEGGTPLFTLRKTGAAPAKAKPAEAPAAAAPKAEPTAAAVPPPAAPIPTQMPPVPSPSQPPSGKPVSAVKPTVAPPLAEPGAGKGLRSEHREKMNRMRQRIAQRLKEAQNTCAMLTTFNEIDMSNIQEMRARHKEAFLKKHNLKLGFMSAFVKASAFALQEQPVVNAVIDDTTKEVVYRDYIDISVAVATPRGLVVPVIRNVEAMNFADIERTITELGEKARKNELAIEDMDGGTFTISNGGVFGSLFGTPIINPPQSAILGMHGIFDRPVAIGGKVEVRPMMYVALTYDHRLIDGREAVTFLRKIKAAVEDPRVLLLDL
+>DECOY_sp|P36957|ODO2_HUMAN Dihydrolipoyllysine-residue succinyltransferase component of 2-oxoglutarate dehydrogenase complex, mitochondrial OS=Homo sapiens OX=9606 GN=DLST PE=1 SV=4
+LDLLLVRPDEVAAKIKRLFTVAERGDILRHDYTLAVYMMPRVEVKGGIAVPRDFIGHMGLIASQPPNIIPTGFLSGFVGGNSITFTGGDMDEIALENKRAKEGLETITREIDAFNMAEVNRIVPVVLGRPTAVAVSIDIYDRYVVEKTTDDIVANVVPQEQLAFASAKVFASMFGLKLNHKKLFAEKHRARMEQINSMDIENFTTLMACTNQAEKLRQAIRQRMRNMKERHESRLGKGAGPEALPPAVTPKVASVPKGSPPQSPSPVPPMQTPIPAAPPPVAAATPEAKPAAAAPAEAPKAKAPAAGTKRLTFLPTGGEVKGGDPVLLAEIVGNAPSPVQVSTKDTEIECVVEDEAVTDGVAKEWRVDGETVSEAFAPTKVTVLDDKCVATTRFFRVSFVSNNIVVKRSNPYGPGQCLSVGPLSRRGLPCNGKQFASLSRSFARSVCRSRSLM
+>sp|Q9NRN5|OLFL3_HUMAN Olfactomedin-like protein 3 OS=Homo sapiens OX=9606 GN=OLFML3 PE=2 SV=1
+MGPSTPLLILFLLSWSGPLQGQQHHLVEYMERRLAALEERLAQCQDQSSRHAAELRDFKNKMLPLLEVAEKEREALRTEADTISGRVDRLEREVDYLETQNPALPCVEFDEKVTGGPGTKGKGRRNEKYDMVTDCGYTISQVRSMKILKRFGGPAGLWTKDPLGQTEKIYVLDGTQNDTAFVFPRLRDFTLAMAARKASRVRVPFPWVGTGQLVYGGFLYFARRPPGRPGGGGEMENTLQLIKFHLANRTVVDSSVFPAEGLIPPYGLTADTYIDLAADEEGLWAVYATREDDRHLCLAKLDPQTLDTEQQWDTPCPRENAEAAFVICGTLYVVYNTRPASRARIQCSFDASGTLTPERAALPYFPRRYGAHASLRYNPRERQLYAWDDGYQIVYKLEMRKKEEEV
+>DECOY_sp|Q9NRN5|OLFL3_HUMAN Olfactomedin-like protein 3 OS=Homo sapiens OX=9606 GN=OLFML3 PE=2 SV=1
+VEEEKKRMELKYVIQYGDDWAYLQRERPNYRLSAHAGYRRPFYPLAAREPTLTGSADFSCQIRARSAPRTNYVVYLTGCIVFAAEANERPCPTDWQQETDLTQPDLKALCLHRDDERTAYVAWLGEEDAALDIYTDATLGYPPILGEAPFVSSDVVTRNALHFKILQLTNEMEGGGGPRGPPRRAFYLFGGYVLQGTGVWPFPVRVRSAKRAAMALTFDRLRPFVFATDNQTGDLVYIKETQGLPDKTWLGAPGGFRKLIKMSRVQSITYGCDTVMDYKENRRGKGKTGPGGTVKEDFEVCPLAPNQTELYDVERELRDVRGSITDAETRLAEREKEAVELLPLMKNKFDRLEAAHRSSQDQCQALREELAALRREMYEVLHHQQGQLPGSWSLLFLILLPTSPGM
+>sp|Q8TAK6|OLIG1_HUMAN Oligodendrocyte transcription factor 1 OS=Homo sapiens OX=9606 GN=OLIG1 PE=1 SV=2
+MYYAVSQARVNAVPGTMLRPQRPGDLQLGASLYELVGYRQPPSSSSSSTSSTSSTSSSSTTAPLLPKAAREKPEAPAEPPGPGPGSGAHPGGSARPDAKEEQQQQLRRKINSRERKRMQDLNLAMDALREVILPYSAAHCQGAPGRKLSKIATLLLARNYILLLGSSLQELRRALGEGAGPAAPRLLLAGLPLLAAAPGSVLLAPGAVGPPDALRPAKYLSLALDEPPCGQFALPGGGAGGPGLCTCAVCKFPHLVPASLGLAAVQAQFSK
+>DECOY_sp|Q8TAK6|OLIG1_HUMAN Oligodendrocyte transcription factor 1 OS=Homo sapiens OX=9606 GN=OLIG1 PE=1 SV=2
+KSFQAQVAALGLSAPVLHPFKCVACTCLGPGGAGGGPLAFQGCPPEDLALSLYKAPRLADPPGVAGPALLVSGPAAALLPLGALLLRPAAPGAGEGLARRLEQLSSGLLLIYNRALLLTAIKSLKRGPAGQCHAASYPLIVERLADMALNLDQMRKRERSNIKRRLQQQQEEKADPRASGGPHAGSGPGPGPPEAPAEPKERAAKPLLPATTSSSSTSSTSSTSSSSSSPPQRYGVLEYLSAGLQLDGPRQPRLMTGPVANVRAQSVAYYM
+>sp|P13725|ONCM_HUMAN Oncostatin-M OS=Homo sapiens OX=9606 GN=OSM PE=1 SV=2
+MGVLLTQRTLLSLVLALLFPSMASMAAIGSCSKEYRVLLGQLQKQTDLMQDTSRLLDPYIRIQGLDVPKLREHCRERPGAFPSEETLRGLGRRGFLQTLNATLGCVLHRLADLEQRLPKAQDLERSGLNIEDLEKLQMARPNILGLRNNIYCMAQLLDNSDTAEPTKAGRGASQPPTPTPASDAFQRKLEGCRFLHGYHRFMHSVGRVFSKWGESPNRSRRHSPHQALRKGVRRTRPSRKGKRLMTRGQLPR
+>DECOY_sp|P13725|ONCM_HUMAN Oncostatin-M OS=Homo sapiens OX=9606 GN=OSM PE=1 SV=2
+RPLQGRTMLRKGKRSPRTRRVGKRLAQHPSHRRSRNPSEGWKSFVRGVSHMFRHYGHLFRCGELKRQFADSAPTPTPPQSAGRGAKTPEATDSNDLLQAMCYINNRLGLINPRAMQLKELDEINLGSRELDQAKPLRQELDALRHLVCGLTANLTQLFGRRGLGRLTEESPFAGPRERCHERLKPVDLGQIRIYPDLLRSTDQMLDTQKQLQGLLVRYEKSCSGIAAMSAMSPFLLALVLSLLTRQTLLVGM
+>sp|P57740|NU107_HUMAN Nuclear pore complex protein Nup107 OS=Homo sapiens OX=9606 GN=NUP107 PE=1 SV=1
+MDRSGFGEISSPVIREAEVTRTARKQSAQKRVLLQASQDENFGNTTPRNQVIPRTPSSFRQPFTPTSRSLLRQPDISCILGTGGKSPRLTQSSGFFGNLSMVTNLDDSNWAAAFSSQRSGLFTNTEPHSITEDVTISAVMLREDDPGEAASMSMFSDFLQSFLKHSSSTVFDLVEEYENICGSQVNILSKIVSRATPGLQKFSKTASMLWLLQQEMVTWRLLASLYRDRIQSALEEESVFAVTAVNASEKTVVEALFQRDSLVRQSQLVVDWLESIAKDEIGEFSDNIEFYAKSVYWENTLHTLKQRQLTSYVGSVRPLVTELDPDAPIRQKMPLDDLDREDEVRLLKYLFTLIRAGMTEEAQRLCKRCGQAWRAATLEGWKLYHDPNVNGGTELEPVEGNPYRRIWKISCWRMAEDELFNRYERAIYAALSGNLKQLLPVCDTWEDTVWAYFRVMVDSLVEQEIQTSVATLDETEELPREYLGANWTLEKVFEELQATDKKRVLEENQEHYHIVQKFLILGDIDGLMDEFSKWLSKSRNNLPGHLLRFMTHLILFFRTLGLQTKEEVSIEVLKTYIQLLIREKHTNLIAFYTCHLPQDLAVAQYALFLESVTEFEQRHHCLELAKEADLDVATITKTVVENIRKKDNGEFSHHDLAPALDTGTTEEDRLKIDVIDWLVFDPAQRAEALKQGNAIMRKFLASKKHEAAKEVFVKIPQDSIAEIYNQCEEQGMESPLPAEDDNAIREHLCIRAYLEAHETFNEWFKHMNSVPQKPALIPQPTFTEKVAHEHKEKKYEMDFGIWKGHLDALTADVKEKMYNVLLFVDGGWMVDVREDAKEDHERTHQMVLLRKLCLPMLCFLLHTILHSTGQYQECLQLADMVSSERHKLYLVFSKEELRKLLQKLRESSLMLLDQGLDPLGYEIQL
+>DECOY_sp|P57740|NU107_HUMAN Nuclear pore complex protein Nup107 OS=Homo sapiens OX=9606 GN=NUP107 PE=1 SV=1
+LQIEYGLPDLGQDLLMLSSERLKQLLKRLEEKSFVLYLKHRESSVMDALQLCEQYQGTSHLITHLLFCLMPLCLKRLLVMQHTREHDEKADERVDVMWGGDVFLLVNYMKEKVDATLADLHGKWIGFDMEYKKEKHEHAVKETFTPQPILAPKQPVSNMHKFWENFTEHAELYARICLHERIANDDEAPLPSEMGQEECQNYIEAISDQPIKVFVEKAAEHKKSALFKRMIANGQKLAEARQAPDFVLWDIVDIKLRDEETTGTDLAPALDHHSFEGNDKKRINEVVTKTITAVDLDAEKALELCHHRQEFETVSELFLAYQAVALDQPLHCTYFAILNTHKERILLQIYTKLVEISVEEKTQLGLTRFFLILHTMFRLLHGPLNNRSKSLWKSFEDMLGDIDGLILFKQVIHYHEQNEELVRKKDTAQLEEFVKELTWNAGLYERPLEETEDLTAVSTQIEQEVLSDVMVRFYAWVTDEWTDCVPLLQKLNGSLAAYIAREYRNFLEDEAMRWCSIKWIRRYPNGEVPELETGGNVNPDHYLKWGELTAARWAQGCRKCLRQAEETMGARILTFLYKLLRVEDERDLDDLPMKQRIPADPDLETVLPRVSGVYSTLQRQKLTHLTNEWYVSKAYFEINDSFEGIEDKAISELWDVVLQSQRVLSDRQFLAEVVTKESANVATVAFVSEEELASQIRDRYLSALLRWTVMEQQLLWLMSATKSFKQLGPTARSVIKSLINVQSGCINEYEEVLDFVTSSSHKLFSQLFDSFMSMSAAEGPDDERLMVASITVDETISHPETNTFLGSRQSSFAAAWNSDDLNTVMSLNGFFGSSQTLRPSKGGTGLICSIDPQRLLSRSTPTFPQRFSSPTRPIVQNRPTTNGFNEDQSAQLLVRKQASQKRATRTVEAERIVPSSIEGFGSRDM
+>sp|P03886|NU1M_HUMAN NADH-ubiquinone oxidoreductase chain 1 OS=Homo sapiens OX=9606 GN=MT-ND1 PE=1 SV=1
+MPMANLLLLIVPILIAMAFLMLTERKILGYMQLRKGPNVVGPYGLLQPFADAMKLFTKEPLKPATSTITLYITAPTLALTIALLLWTPLPMPNPLVNLNLGLLFILATSSLAVYSILWSGWASNSNYALIGALRAVAQTISYEVTLAIILLSTLLMSGSFNLSTLITTQEHLWLLLPSWPLAMMWFISTLAETNRTPFDLAEGESELVSGFNIEYAAGPFALFFMAEYTNIIMMNTLTTTIFLGTTYDALSPELYTTYFVTKTLLLTSLFLWIRTAYPRFRYDQLMHLLWKNFLPLTLALLMWYVSMPITISSIPPQT
+>DECOY_sp|P03886|NU1M_HUMAN NADH-ubiquinone oxidoreductase chain 1 OS=Homo sapiens OX=9606 GN=MT-ND1 PE=1 SV=1
+TQPPISSITIPMSVYWMLLALTLPLFNKWLLHMLQDYRFRPYATRIWLFLSTLLLTKTVFYTTYLEPSLADYTTGLFITTTLTNMMIINTYEAMFFLAFPGAAYEINFGSVLESEGEALDFPTRNTEALTSIFWMMALPWSPLLLWLHEQTTILTSLNFSGSMLLTSLLIIALTVEYSITQAVARLAGILAYNSNSAWGSWLISYVALSSTALIFLLGLNLNVLPNPMPLPTWLLLAITLALTPATIYLTITSTAPKLPEKTFLKMADAFPQLLGYPGVVNPGKRLQMYGLIKRETLMLFAMAILIPVILLLLNAMPM
+>sp|P03897|NU3M_HUMAN NADH-ubiquinone oxidoreductase chain 3 OS=Homo sapiens OX=9606 GN=MT-ND3 PE=1 SV=1
+MNFALILMINTLLALLLMIITFWLPQLNGYMEKSTPYECGFDPMSPARVPFSMKFFLVAITFLLFDLEIALLLPLPWALQTTNLPLMVMSSLLLIIILALSLAYEWLQKGLDWTE
+>DECOY_sp|P03897|NU3M_HUMAN NADH-ubiquinone oxidoreductase chain 3 OS=Homo sapiens OX=9606 GN=MT-ND3 PE=1 SV=1
+ETWDLGKQLWEYALSLALIIILLLSSMVMLPLNTTQLAWPLPLLLAIELDFLLFTIAVLFFKMSFPVRAPSMPDFGCEYPTSKEMYGNLQPLWFTIIMLLLALLTNIMLILAFNM
+>sp|P03901|NU4LM_HUMAN NADH-ubiquinone oxidoreductase chain 4L OS=Homo sapiens OX=9606 GN=MT-ND4L PE=1 SV=1
+MPLIYMNIMLAFTISLLGMLVYRSHLMSSLLCLEGMMLSLFIMATLMTLNTHSLLANIVPIAMLVFAACEAAVGLALLVSISNTYGLDYVHNLNLLQC
+>DECOY_sp|P03901|NU4LM_HUMAN NADH-ubiquinone oxidoreductase chain 4L OS=Homo sapiens OX=9606 GN=MT-ND4L PE=1 SV=1
+CQLLNLNHVYDLGYTNSISVLLALGVAAECAAFVLMAIPVINALLSHTNLTMLTAMIFLSLMMGELCLLSSMLHSRYVLMGLLSITFALMINMYILPM
+>sp|Q96G61|NUD11_HUMAN Diphosphoinositol polyphosphate phosphohydrolase 3-beta OS=Homo sapiens OX=9606 GN=NUDT11 PE=1 SV=1
+MKCKPNQTRTYDPEGFKKRAACLCFRSEREDEVLLVSSSRYPDRWIVPGGGMEPEEEPGGAAVREVYEEAGVKGKLGRLLGVFEQNQDRKHRTYVYVLTVTELLEDWEDSVSIGRKREWFKVEDAIKVLQCHKPVHAEYLEKLKLGGSPTNGNSMAPSSPDSDP
+>DECOY_sp|Q96G61|NUD11_HUMAN Diphosphoinositol polyphosphate phosphohydrolase 3-beta OS=Homo sapiens OX=9606 GN=NUDT11 PE=1 SV=1
+PDSDPSSPAMSNGNTPSGGLKLKELYEAHVPKHCQLVKIADEVKFWERKRGISVSDEWDELLETVTLVYVYTRHKRDQNQEFVGLLRGLKGKVGAEEYVERVAAGGPEEEPEMGGGPVIWRDPYRSSSVLLVEDERESRFCLCAARKKFGEPDYTRTQNPKCKM
+>sp|P53370|NUDT6_HUMAN Nucleoside diphosphate-linked moiety X motif 6 OS=Homo sapiens OX=9606 GN=NUDT6 PE=1 SV=2
+MRQPLSWGRWRAMLARTYGPGPSAGYRWASGAQGYVRNPPVGACDLQGELDRFGGISVRLARLDALDRLDAAAFQKGLQAAVQQWRSEGRTAVWLHIPILQSRFIAPAASLGFCFHHAESDSSTLTLWLREGPSRLPGYASHQVGVAGAVFDESTRKILVVQDRNKLKNMWKFPGGLSEPEEDIGDTAVREVFEETGIKSEFRSVLSIRQQHTNPGAFGKSDMYIICRLKPYSFTINFCQEECLRCEWMDLNDLAKTENTTPITSRVARLLLYGYREGFDKIDLTVEELPAVYTGLFYKLYHKELPENYKTMKGID
+>DECOY_sp|P53370|NUDT6_HUMAN Nucleoside diphosphate-linked moiety X motif 6 OS=Homo sapiens OX=9606 GN=NUDT6 PE=1 SV=2
+DIGKMTKYNEPLEKHYLKYFLGTYVAPLEEVTLDIKDFGERYGYLLLRAVRSTIPTTNETKALDNLDMWECRLCEEQCFNITFSYPKLRCIIYMDSKGFAGPNTHQQRISLVSRFESKIGTEEFVERVATDGIDEEPESLGGPFKWMNKLKNRDQVVLIKRTSEDFVAGAVGVQHSAYGPLRSPGERLWLTLTSSDSEAHHFCFGLSAAPAIFRSQLIPIHLWVATRGESRWQQVAAQLGKQFAAADLRDLADLRALRVSIGGFRDLEGQLDCAGVPPNRVYGQAGSAWRYGASPGPGYTRALMARWRGWSLPQRM
+>sp|P0C024|NUDT7_HUMAN Peroxisomal coenzyme A diphosphatase NUDT7 OS=Homo sapiens OX=9606 GN=NUDT7 PE=1 SV=1
+MSRLGLPEEPVRNSLLDDAKARLRKYDIGGKYSHLPYNKYSVLLPLVAKEGKLHLLFTVRSEKLRRAPGEVCFPGGKRDPTDMDDAATALREAQEEVGLRPHQVEVVCCLVPCLIDTDTLITPFVGLIDHNFQAQPNPAEVKDVFLVPLAYFLHPQVHDQHYVTRLGHRFINHIFEYTNPEDGVTYQIKGMTANLAVLVAFIILEKKPTFEVQFNLNDVLASSEELFLKVHKKATSRL
+>DECOY_sp|P0C024|NUDT7_HUMAN Peroxisomal coenzyme A diphosphatase NUDT7 OS=Homo sapiens OX=9606 GN=NUDT7 PE=1 SV=1
+LRSTAKKHVKLFLEESSALVDNLNFQVEFTPKKELIIFAVLVALNATMGKIQYTVGDEPNTYEFIHNIFRHGLRTVYHQDHVQPHLFYALPVLFVDKVEAPNPQAQFNHDILGVFPTILTDTDILCPVLCCVVEVQHPRLGVEEQAERLATAADDMDTPDRKGGPFCVEGPARRLKESRVTFLLHLKGEKAVLPLLVSYKNYPLHSYKGGIDYKRLRAKADDLLSNRVPEEPLGLRSM
+>sp|Q9BW91|NUDT9_HUMAN ADP-ribose pyrophosphatase, mitochondrial OS=Homo sapiens OX=9606 GN=NUDT9 PE=1 SV=1
+MAGRLLGKALAAVSLSLALASVTIRSSRCRGIQAFRNSFSSSWFHLNTNVMSGSNGSKENSHNKARTSPYPGSKVERSQVPNEKVGWLVEWQDYKPVEYTAVSVLAGPRWADPQISESNFSPKFNEKDGHVERKSKNGLYEIENGRPRNPAGRTGLVGRGLLGRWGPNHAADPIITRWKRDSSGNKIMHPVSGKHILQFVAIKRKDCGEWAIPGGMVDPGEKISATLKREFGEEALNSLQKTSAEKREIEEKLHKLFSQDHLVIYKGYVDDPRNTDNAWMETEAVNYHDETGEIMDNLMLEAGDDAGKVKWVDINDKLKLYASHSQFIKLVAEKRDAHWSEDSEADCHAL
+>DECOY_sp|Q9BW91|NUDT9_HUMAN ADP-ribose pyrophosphatase, mitochondrial OS=Homo sapiens OX=9606 GN=NUDT9 PE=1 SV=1
+LAHCDAESDESWHADRKEAVLKIFQSHSAYLKLKDNIDVWKVKGADDGAELMLNDMIEGTEDHYNVAETEMWANDTNRPDDVYGKYIVLHDQSFLKHLKEEIERKEASTKQLSNLAEEGFERKLTASIKEGPDVMGGPIAWEGCDKRKIAVFQLIHKGSVPHMIKNGSSDRKWRTIIPDAAHNPGWRGLLGRGVLGTRGAPNRPRGNEIEYLGNKSKREVHGDKENFKPSFNSESIQPDAWRPGALVSVATYEVPKYDQWEVLWGVKENPVQSREVKSGPYPSTRAKNHSNEKSGNSGSMVNTNLHFWSSSFSNRFAQIGRCRSSRITVSALALSLSVAALAKGLLRGAM
+>sp|Q9BZD4|NUF2_HUMAN Kinetochore protein Nuf2 OS=Homo sapiens OX=9606 GN=NUF2 PE=1 SV=2
+METLSFPRYNVAEIVIHIRNKILTGADGKNLTKNDLYPNPKPEVLHMIYMRALQIVYGIRLEHFYMMPVNSEVMYPHLMEGFLPFSNLVTHLDSFLPICRVNDFETADILCPKAKRTSRFLSGIINFIHFREACRETYMEFLWQYKSSADKMQQLNAAHQEALMKLERLDSVPVEEQEEFKQLSDGIQELQQSLNQDFHQKTIVLQEGNSQKKSNISEKTKRLNELKLSVVSLKEIQESLKTKIVDSPEKLKNYKEKMKDTVQKLKNARQEVVEKYEIYGDSVDCLPSCQLEVQLYQKKIQDLSDNREKLASILKESLNLEDQIESDESELKKLKTEENSFKRLMIVKKEKLATAQFKINKKHEDVKQYKRTVIEDCNKVQEKRGAVYERVTTINQEIQKIKLGIQQLKDAAEREKLKSQEIFLNLKTALEKYHDGIEKAAEDSYAKIDEKTAELKRKMFKMST
+>DECOY_sp|Q9BZD4|NUF2_HUMAN Kinetochore protein Nuf2 OS=Homo sapiens OX=9606 GN=NUF2 PE=1 SV=2
+TSMKFMKRKLEATKEDIKAYSDEAAKEIGDHYKELATKLNLFIEQSKLKEREAADKLQQIGLKIKQIEQNITTVREYVAGRKEQVKNCDEIVTRKYQKVDEHKKNIKFQATALKEKKVIMLRKFSNEETKLKKLESEDSEIQDELNLSEKLISALKERNDSLDQIKKQYLQVELQCSPLCDVSDGYIEYKEVVEQRANKLKQVTDKMKEKYNKLKEPSDVIKTKLSEQIEKLSVVSLKLENLRKTKESINSKKQSNGEQLVITKQHFDQNLSQQLEQIGDSLQKFEEQEEVPVSDLRELKMLAEQHAANLQQMKDASSKYQWLFEMYTERCAERFHIFNIIGSLFRSTRKAKPCLIDATEFDNVRCIPLFSDLHTVLNSFPLFGEMLHPYMVESNVPMMYFHELRIGYVIQLARMYIMHLVEPKPNPYLDNKTLNKGDAGTLIKNRIHIVIEAVNYRPFSLTEM
+>sp|Q9UHK0|NUFP1_HUMAN Nuclear fragile X mental retardation-interacting protein 1 OS=Homo sapiens OX=9606 GN=NUFIP1 PE=1 SV=2
+MAEPTSDFETPIGWHASPELTPTLGPLSDTAPPRDSWMFWAMLPPPPPPLTSSLPAAGSKPSSESQPPMEAQSLPGAPPPFDAQILPGAQPPFDAQSPLDSQPQPSGQPWNFHASTSWYWRQSSDRFPRHQKSFNPAVKNSYYPRKYDAKFTDFSLPPSRKQKKKKRKEPVFHFFCDTCDRGFKNQEKYDKHMSEHTKCPELDCSFTAHEKIVQFHWRNMHAPGMKKIKLDTPEEIARWREERRKNYPTLANIERKKKLKLEKEKRGAVLTTTQYGKMKGMSRHSQMAKIRSPGKNHKWKNDNSRQRAVTGSGSHLCDLKLEGPPEANADPLGVLINSDSESDKEEKPQHSVIPKEVTPALCSLMSSYGSLSGSESEPEETPIKTEADVLAENQVLDSSAPKSPSQDVKATVRNFSEAKSENRKKSFEKTNPKRKKDYHNYQTLFEPRTHHPYLLEMLLAPDIRHERNVILQCVRYIIKKDFFGLDTNSAKSKDV
+>DECOY_sp|Q9UHK0|NUFP1_HUMAN Nuclear fragile X mental retardation-interacting protein 1 OS=Homo sapiens OX=9606 GN=NUFIP1 PE=1 SV=2
+VDKSKASNTDLGFFDKKIIYRVCQLIVNREHRIDPALLMELLYPHHTRPEFLTQYNHYDKKRKPNTKEFSKKRNESKAESFNRVTAKVDQSPSKPASSDLVQNEALVDAETKIPTEEPESESGSLSGYSSMLSCLAPTVEKPIVSHQPKEEKDSESDSNILVGLPDANAEPPGELKLDCLHSGSGTVARQRSNDNKWKHNKGPSRIKAMQSHRSMGKMKGYQTTTLVAGRKEKELKLKKKREINALTPYNKRREERWRAIEEPTDLKIKKMGPAHMNRWHFQVIKEHATFSCDLEPCKTHESMHKDYKEQNKFGRDCTDCFFHFVPEKRKKKKQKRSPPLSFDTFKADYKRPYYSNKVAPNFSKQHRPFRDSSQRWYWSTSAHFNWPQGSPQPQSDLPSQADFPPQAGPLIQADFPPPAGPLSQAEMPPQSESSPKSGAAPLSSTLPPPPPPLMAWFMWSDRPPATDSLPGLTPTLEPSAHWGIPTEFDSTPEAM
+>sp|Q7Z417|NUFP2_HUMAN Nuclear fragile X mental retardation-interacting protein 2 OS=Homo sapiens OX=9606 GN=NUFIP2 PE=1 SV=1
+MEEKPGQPQPQHHHSHHHPHHHPQQQQQQPHHHHHYYFYNHSHNHHHHHHHQQPHQYLQHGAEGSPKAQPKPLKHEQKHTLQQHQETPKKKTGYGELNGNAGEREISLKNLSSDEATNPISRVLNGNQQVVDTSLKQTVKANTFGKAGIKTKNFIQKNSMDKKNGKSYENKSGENQSVDKSDTIPIPNGVVTNNSGYITNGYMGKGADNDGSGSESGYTTPKKRKARRNSAKGCENLNIVQDKIMQQETSVPTLKQGLETFKPDYSEQKGNRVDGSKPIWKYETGPGGTSRGKPAVGDMLRKSSDSKPGVSSKKFDDRPKGKHASAVASKEDSWTLFKPPPVFPVDNSSAKIVPKISYASKVKENLNKTIQNSSVSPTSSSSSSSSTGETQTQSSSRLSQVPMSALKSVTSANFSNGPVLAGTDGNVYPPGGQPLLTTAANTLTPISSGTDSVLQDMSLTSAAVEQIKTSLFIYPSNMQTMLLSTAQVDLPSQTDQQNLGDIFQNQWGLSFINEPSAGPETVTGKSSEHKVMEVTFQGEYPATLVSQGAEIIPSGTEHPVFPKAYELEKRTSPQVLGSILKSGTTSESGALSLEPSHIGDLQKADTSSQGALVFLSKDYEIESQNPLASPTNTLLGSAKEQRYQRGLERNDSWGSFDLRAAIVYHTKEMESIWNLQKQDPKRIITYNEAMDSPDQ
+>DECOY_sp|Q7Z417|NUFP2_HUMAN Nuclear fragile X mental retardation-interacting protein 2 OS=Homo sapiens OX=9606 GN=NUFIP2 PE=1 SV=1
+QDPSDMAENYTIIRKPDQKQLNWISEMEKTHYVIAARLDFSGWSDNRELGRQYRQEKASGLLTNTPSALPNQSEIEYDKSLFVLAGQSSTDAKQLDGIHSPELSLAGSESTTGSKLISGLVQPSTRKELEYAKPFVPHETGSPIIEAGQSVLTAPYEGQFTVEMVKHESSKGTVTEPGASPENIFSLGWQNQFIDGLNQQDTQSPLDVQATSLLMTQMNSPYIFLSTKIQEVAASTLSMDQLVSDTGSSIPTLTNAATTLLPQGGPPYVNGDTGALVPGNSFNASTVSKLASMPVQSLRSSSQTQTEGTSSSSSSSSTPSVSSNQITKNLNEKVKSAYSIKPVIKASSNDVPFVPPPKFLTWSDEKSAVASAHKGKPRDDFKKSSVGPKSDSSKRLMDGVAPKGRSTGGPGTEYKWIPKSGDVRNGKQESYDPKFTELGQKLTPVSTEQQMIKDQVINLNECGKASNRRAKRKKPTTYGSESGSGDNDAGKGMYGNTIYGSNNTVVGNPIPITDSKDVSQNEGSKNEYSKGNKKDMSNKQIFNKTKIGAKGFTNAKVTQKLSTDVVQQNGNLVRSIPNTAEDSSLNKLSIEREGANGNLEGYGTKKKPTEQHQQLTHKQEHKLPKPQAKPSGEAGHQLYQHPQQHHHHHHHNHSHNYFYYHHHHHPQQQQQQPHHHPHHHSHHHQPQPQGPKEEM
+>sp|Q9BVL2|NUP58_HUMAN Nucleoporin p58/p45 OS=Homo sapiens OX=9606 GN=NUP58 PE=1 SV=1
+MSTGFSFGSGTLGSTTVAAGGTSTGGVFSFGTGASSNPSVGLNFGNLGSTSTPATTSAPSSGFGTGLFGSKPATGFTLGGTNTGIATTITTGLTLGTPATTSAATTGFSLGFNKPAASATPFALPITSTSASGLTLSSALTSTPAASTGFTLNNLGGTTATTTTASTGLSLGGALAGLGGSLFQSTNTGTSGLGQNALGLTLGTTAATSTAGNEGLGGIDFSSSSDKKSDKTGTRPEDSKALKDENLPPVICQDVENLQKFVKEQKQVQEEISRMSSKAMLKVQEDIKALKQLLSLAANGIQRNTLNIDKLKIETAQELKNAEIALRTQKTPPGLQHEYAAPADYFRILVQQFEVQLQQYRQQIEELENHLATQANNSHITPQDLSMAMQKIYQTFVALAAQLQSIHENVKVLKEQYLGYRKMFLGDAVDVFETRRAEAKKWQNTPRVTTGPTPFSTMPNAAAVAMAATLTQQQQPATGPQPSLGVSFGTPFGSGIGTGLQSSGLGSSNLGGFGTSSGFGCSTTGASTFGFGTTNKPSGSLSAGFGSSSTSGFNFSNPGITASAGLTFGVSNPASAGFGTGGQLLQLKKPPAGNKRGKR
+>DECOY_sp|Q9BVL2|NUP58_HUMAN Nucleoporin p58/p45 OS=Homo sapiens OX=9606 GN=NUP58 PE=1 SV=1
+RKGRKNGAPPKKLQLLQGGTGFGASAPNSVGFTLGASATIGPNSFNFGSTSSSGFGASLSGSPKNTTGFGFTSAGTTSCGFGSSTGFGGLNSSGLGSSQLGTGIGSGFPTGFSVGLSPQPGTAPQQQQTLTAAMAVAAANPMTSFPTPGTTVRPTNQWKKAEARRTEFVDVADGLFMKRYGLYQEKLVKVNEHISQLQAALAVFTQYIKQMAMSLDQPTIHSNNAQTALHNELEEIQQRYQQLQVEFQQVLIRFYDAPAAYEHQLGPPTKQTRLAIEANKLEQATEIKLKDINLTNRQIGNAALSLLQKLAKIDEQVKLMAKSSMRSIEEQVQKQEKVFKQLNEVDQCIVPPLNEDKLAKSDEPRTGTKDSKKDSSSSFDIGGLGENGATSTAATTGLTLGLANQGLGSTGTNTSQFLSGGLGALAGGLSLGTSATTTTATTGGLNNLTFGTSAAPTSTLASSLTLGSASTSTIPLAFPTASAAPKNFGLSFGTTAASTTAPTGLTLGTTITTAIGTNTGGLTFGTAPKSGFLGTGFGSSPASTTAPTSTSGLNGFNLGVSPNSSAGTGFSFVGGTSTGGAAVTTSGLTGSGFSFGTSM
+>sp|Q9BXS6|NUSAP_HUMAN Nucleolar and spindle-associated protein 1 OS=Homo sapiens OX=9606 GN=NUSAP1 PE=1 SV=1
+MIIPSLEELDSLKYSDLQNLAKSLGLRANLRATKLLKALKGYIKHEARKGNENQDESQTSASSCDETEIQISNQEEAERQPLGHVTKTRRRCKTVRVDPDSQQNHSEIKISNPTEFQNHEKQESQDLRATAKVPSPPDEHQEAENAVSSGNRDSKVPSEGKKSLYTDESSKPGKNKRTAITTPNFKKLHEAHFKEMESIDQYIERKKKHFEEHNSMNELKQQPINKGGVRTPVPPRGRLSVASTPISQRRSQGRSCGPASQSTLGLKGSLKRSAISAAKTGVRFSAATKDNEHKRSLTKTPARKSAHVTVSGGTPKGEAVLGTHKLKTITGNSAAVITPFKLTTEATQTPVSNKKPVFDLKASLSRPLNYEPHKGKLKPWGQSKENNYLNQHVNRINFYKKTYKQPHLQTKEEQRKKREQERKEKKAKVLGMRRGLILAED
+>DECOY_sp|Q9BXS6|NUSAP_HUMAN Nucleolar and spindle-associated protein 1 OS=Homo sapiens OX=9606 GN=NUSAP1 PE=1 SV=1
+DEALILGRRMGLVKAKKEKREQERKKRQEEKTQLHPQKYTKKYFNIRNVHQNLYNNEKSQGWPKLKGKHPEYNLPRSLSAKLDFVPKKNSVPTQTAETTLKFPTIVAASNGTITKLKHTGLVAEGKPTGGSVTVHASKRAPTKTLSRKHENDKTAASFRVGTKAASIASRKLSGKLGLTSQSAPGCSRGQSRRQSIPTSAVSLRGRPPVPTRVGGKNIPQQKLENMSNHEEFHKKKREIYQDISEMEKFHAEHLKKFNPTTIATRKNKGPKSSEDTYLSKKGESPVKSDRNGSSVANEAEQHEDPPSPVKATARLDQSEQKEHNQFETPNSIKIESHNQQSDPDVRVTKCRRRTKTVHGLPQREAEEQNSIQIETEDCSSASTQSEDQNENGKRAEHKIYGKLAKLLKTARLNARLGLSKALNQLDSYKLSDLEELSPIIM
+>sp|O15381|NVL_HUMAN Nuclear valosin-containing protein-like OS=Homo sapiens OX=9606 GN=NVL PE=1 SV=1
+MKPRPAGFVDNKLKQRVIQYLTSNKCGKYVDIGVLASDLQRVYSIDYGRRKRNAFRIQVEKVFSIISSEKELKNLTELEDEHLAKRARQGEEDNEYTESYSDDDSSMEDYPDPQSANHMNSSLLSLYRKGNPDSVSNTPEMEQRETTSSTPRISSKTGSIPLKTPAKDSEGGWFIDKTPSVKKDSFFLDLSCEKSNPKKPITEIQDSKDSSLLESDMKRKGKLKNKGSKRKKEDLQEVDGEIEAVLQKKAKARGLEFQISNVKFEDVGGNDMTLKEVCKMLIHMRHPEVYHHLGVVPPRGVLLHGPPGCGKTLLAHAIAGELDLPILKVAAPEIVSGVSGESEQKLRELFEQAVSNAPCIIFIDEIDAITPKREVASKDMERRIVAQLLTCMDDLNNVAATARVLVIGATNRPDSLDPALRRAGRFDREICLGIPDEASRERILQTLCRKLRLPQAFDFCHLAHLTPGFVGADLMALCREAAMCAVNRVLMKLQEQQKKNPEMEDLPSKGVQEERLGTEPTSETQDELQRLLGLLRDQDPLSEEQMQGLCIELNDFIVALSSVQPSAKREGFVTVPNVTWADIGALEDIREELTMAILAPVRNPDQFKALGLVTPAGVLLAGPPGCGKTLLAKAVANESGLNFISVKGPELLNMYVGESERAVRQVFQRAKNSAPCVIFFDEVDALCPRRSDRETGASVRVVNQLLTEMDGLEARQQVFIMAATNRPDIIDPAILRPGRLDKTLFVGLPPPADRLAILKTITKNGTKPPLDADVNLEAIAGDLRCDCYTGADLSALVREASICALRQEMARQKSGNEKGELKVSHKHFEEAFKKVRSSISKKDQIMYERLQESLSR
+>DECOY_sp|O15381|NVL_HUMAN Nuclear valosin-containing protein-like OS=Homo sapiens OX=9606 GN=NVL PE=1 SV=1
+RSLSEQLREYMIQDKKSISSRVKKFAEEFHKHSVKLEGKENGSKQRAMEQRLACISAERVLASLDAGTYCDCRLDGAIAELNVDADLPPKTGNKTITKLIALRDAPPPLGVFLTKDLRGPRLIAPDIIDPRNTAAMIFVQQRAELGDMETLLQNVVRVSAGTERDSRRPCLADVEDFFIVCPASNKARQFVQRVARESEGVYMNLLEPGKVSIFNLGSENAVAKALLTKGCGPPGALLVGAPTVLGLAKFQDPNRVPALIAMTLEERIDELAGIDAWTVNPVTVFGERKASPQVSSLAVIFDNLEICLGQMQEESLPDQDRLLGLLRQLEDQTESTPETGLREEQVGKSPLDEMEPNKKQQEQLKMLVRNVACMAAERCLAMLDAGVFGPTLHALHCFDFAQPLRLKRCLTQLIRERSAEDPIGLCIERDFRGARRLAPDLSDPRNTAGIVLVRATAAVNNLDDMCTLLQAVIRREMDKSAVERKPTIADIEDIFIICPANSVAQEFLERLKQESEGSVGSVIEPAAVKLIPLDLEGAIAHALLTKGCGPPGHLLVGRPPVVGLHHYVEPHRMHILMKCVEKLTMDNGGVDEFKVNSIQFELGRAKAKKQLVAEIEGDVEQLDEKKRKSGKNKLKGKRKMDSELLSSDKSDQIETIPKKPNSKECSLDLFFSDKKVSPTKDIFWGGESDKAPTKLPISGTKSSIRPTSSTTERQEMEPTNSVSDPNGKRYLSLLSSNMHNASQPDPYDEMSSDDDSYSETYENDEEGQRARKALHEDELETLNKLEKESSIISFVKEVQIRFANRKRRGYDISYVRQLDSALVGIDVYKGCKNSTLYQIVRQKLKNDVFGAPRPKM
+>sp|Q9GZY0|NXF2_HUMAN Nuclear RNA export factor 2 OS=Homo sapiens OX=9606 GN=NXF2 PE=1 SV=1
+MCSTLKKCGTYRTEVAECHDHGSTFQGRKKGGSSFRDNFDKRSCHYEHGGYERPPSHCQENDGSVEMRDVHKDQQLRHTPYSIRCERRMKWHSEDEIRITTWRNRKPPERKMSQNTQDGYTRNWFKVTIPYGIKYDKAWLMNSIQSHCSDRFTPVDFHYVRNRACFFVQDASAASALKDVSYKIYDDENQKICIFVNHSTAPYSVKNKLKPGQMEMLKLTMNKRYNVSQQALDLQNLRFDPDLMGRDIDIILNRRNCMAATLKIIERNFPELLSLNLCNNKLYQLDGLSDITEKAPKVKTLNLSKNKLESAWELGKVKGLKLEELWLEGNPLCSTFSDQSAYVSAIRDCFPKLLRLDGRELSAPVIVDIDSSETMKPCKENFTGSETLKHLVLQFLQQYYSIYDSGDRQGLLGAYHDEACFSLAIPFDPKDSAPSSLCKYFEDSRNMKTLKDPYLKGELLRRTKRDIVDSLSALPKTQHDLSSILVDVWCQTERMLCFSVNGVFKEVEGQSQGSVLAFTRTFIATPGSSSSLCIVNDELFVRDASPQETQSAFSIPVSTLSSSSEPSLSQEQQEMVQAFSAQSGMKLEWSQKCLQDNEWNYTRAGQAFTMLQTEGKIPAEAFKQIS
+>DECOY_sp|Q9GZY0|NXF2_HUMAN Nuclear RNA export factor 2 OS=Homo sapiens OX=9606 GN=NXF2 PE=1 SV=1
+SIQKFAEAPIKGETQLMTFAQGARTYNWENDQLCKQSWELKMGSQASFAQVMEQQEQSLSPESSSSLTSVPISFASQTEQPSADRVFLEDNVICLSSSSGPTAIFTRTFALVSGQSQGEVEKFVGNVSFCLMRETQCWVDVLISSLDHQTKPLASLSDVIDRKTRRLLEGKLYPDKLTKMNRSDEFYKCLSSPASDKPDFPIALSFCAEDHYAGLLGQRDGSDYISYYQQLFQLVLHKLTESGTFNEKCPKMTESSDIDVIVPASLERGDLRLLKPFCDRIASVYASQDSFTSCLPNGELWLEELKLGKVKGLEWASELKNKSLNLTKVKPAKETIDSLGDLQYLKNNCLNLSLLEPFNREIIKLTAAMCNRRNLIIDIDRGMLDPDFRLNQLDLAQQSVNYRKNMTLKLMEMQGPKLKNKVSYPATSHNVFICIKQNEDDYIKYSVDKLASAASADQVFFCARNRVYHFDVPTFRDSCHSQISNMLWAKDYKIGYPITVKFWNRTYGDQTNQSMKREPPKRNRWTTIRIEDESHWKMRRECRISYPTHRLQQDKHVDRMEVSGDNEQCHSPPREYGGHEYHCSRKDFNDRFSSGGKKRGQFTSGHDHCEAVETRYTGCKKLTSCM
+>sp|Q96CM4|NXNL1_HUMAN Nucleoredoxin-like protein 1 OS=Homo sapiens OX=9606 GN=NXNL1 PE=2 SV=1
+MASLFSGRILIRNNSDQDELDTEAEVSRRLENRLVLLFFGAGACPQCQAFVPILKDFFVRLTDEFYVLRAAQLALVYVSQDSTEEQQDLFLKDMPKKWLFLPFEDDLRRDLGRQFSVERLPAVVVLKPDGDVLTRDGADEIQRLGTACFANWQEAAEVLDRNFQLPEDLEDQEPRSLTECLRRHKYRVEKAARGGRDPGGGGGEEGGAGGLF
+>DECOY_sp|Q96CM4|NXNL1_HUMAN Nucleoredoxin-like protein 1 OS=Homo sapiens OX=9606 GN=NXNL1 PE=2 SV=1
+FLGGAGGEEGGGGGPDRGGRAAKEVRYKHRRLCETLSRPEQDELDEPLQFNRDLVEAAEQWNAFCATGLRQIEDAGDRTLVDGDPKLVVVAPLREVSFQRGLDRRLDDEFPLFLWKKPMDKLFLDQQEETSDQSVYVLALQAARLVYFEDTLRVFFDKLIPVFAQCQPCAGAGFFLLVLRNELRRSVEAETDLEDQDSNNRILIRGSFLSAM
+>sp|P29728|OAS2_HUMAN 2'-5'-oligoadenylate synthase 2 OS=Homo sapiens OX=9606 GN=OAS2 PE=1 SV=3
+MGNGESQLSSVPAQKLGWFIQEYLKPYEECQTLIDEMVNTICDVLQEPEQFPLVQGVAIGGSYGRKTVLRGNSDGTLVLFFSDLKQFQDQKRSQRDILDKTGDKLKFCLFTKWLKNNFEIQKSLDGFTIQVFTKNQRISFEVLAAFNALSLNDNPSPWIYRELKRSLDKTNASPGEFAVCFTELQQKFFDNRPGKLKDLILLIKHWHQQCQKKIKDLPSLSPYALELLTVYAWEQGCRKDNFDIAEGVRTVLELIKCQEKLCIYWMVNYNFEDETIRNILLHQLQSARPVILDPVDPTNNVSGDKICWQWLKKEAQTWLTSPNLDNELPAPSWNVLPAPLFTTPGHLLDKFIKEFLQPNKCFLEQIDSAVNIIRTFLKENCFRQSTAKIQIVRGGSTAKGTALKTGSDADLVVFHNSLKSYTSQKNERHKIVKEIHEQLKAFWREKEEELEVSFEPPKWKAPRVLSFSLKSKVLNESVSFDVLPAFNALGQLSSGSTPSPEVYAGLIDLYKSSDLPGGEFSTCFTVLQRNFIRSRPTKLKDLIRLVKHWYKECERKLKPKGSLPPKYALELLTIYAWEQGSGVPDFDTAEGFRTVLELVTQYQQLCIFWKVNYNFEDETVRKFLLSQLQKTRPVILDPAEPTGDVGGGDRWCWHLLAKEAKEWLSSPCFKDGTGNPIPPWKVPTMQTPGSCGARIHPIVNEMFSSRSHRILNNNSKRNF
+>DECOY_sp|P29728|OAS2_HUMAN 2'-5'-oligoadenylate synthase 2 OS=Homo sapiens OX=9606 GN=OAS2 PE=1 SV=3
+FNRKSNNNLIRHSRSSFMENVIPHIRAGCSGPTQMTPVKWPPIPNGTGDKFCPSSLWEKAEKALLHWCWRDGGGVDGTPEAPDLIVPRTKQLQSLLFKRVTEDEFNYNVKWFICLQQYQTVLELVTRFGEATDFDPVGSGQEWAYITLLELAYKPPLSGKPKLKRECEKYWHKVLRILDKLKTPRSRIFNRQLVTFCTSFEGGPLDSSKYLDILGAYVEPSPTSGSSLQGLANFAPLVDFSVSENLVKSKLSFSLVRPAKWKPPEFSVELEEEKERWFAKLQEHIEKVIKHRENKQSTYSKLSNHFVVLDADSGTKLATGKATSGGRVIQIKATSQRFCNEKLFTRIINVASDIQELFCKNPQLFEKIFKDLLHGPTTFLPAPLVNWSPAPLENDLNPSTLWTQAEKKLWQWCIKDGSVNNTPDVPDLIVPRASQLQHLLINRITEDEFNYNVMWYICLKEQCKILELVTRVGEAIDFNDKRCGQEWAYVTLLELAYPSLSPLDKIKKQCQQHWHKILLILDKLKGPRNDFFKQQLETFCVAFEGPSANTKDLSRKLERYIWPSPNDNLSLANFAALVEFSIRQNKTFVQITFGDLSKQIEFNNKLWKTFLCFKLKDGTKDLIDRQSRKQDQFQKLDSFFLVLTGDSNGRLVTKRGYSGGIAVGQVLPFQEPEQLVDCITNVMEDILTQCEEYPKLYEQIFWGLKQAPVSSLQSEGNGM
+>sp|O15243|OBRG_HUMAN Leptin receptor gene-related protein OS=Homo sapiens OX=9606 GN=LEPROT PE=1 SV=1
+MAGVKALVALSFSGAIGLTFLMLGCALEDYGVYWPLFVLIFHAISPIPHFIAKRVTYDSDATSSACRELAYFFTTGIVVSAFGFPVILARVAVIKWGACGLVLAGNAVIFLTIQGFFLIFGRGDDFSWEQW
+>DECOY_sp|O15243|OBRG_HUMAN Leptin receptor gene-related protein OS=Homo sapiens OX=9606 GN=LEPROT PE=1 SV=1
+WQEWSFDDGRGFILFFGQITLFIVANGALVLGCAGWKIVAVRALIVPFGFASVVIGTTFFYALERCASSTADSDYTVRKAIFHPIPSIAHFILVFLPWYVGYDELACGLMLFTLGIAGSFSLAVLAKVGAM
+>sp|Q02509|OC90_HUMAN Otoconin-90 OS=Homo sapiens OX=9606 GN=OC90 PE=2 SV=4
+MIAFLLTSVLMIPHAGGHPLDTPHLPQELPPGLPNNINITFFSGMFKNVESVAEIFDCLGPHFTWLQAVFTNFPVLIQFVNGMKCVAGLCPRDFEDYGCTCRFEMEGLPVDESDSCCFQHRRCYEEAAEMDCLQDPAKLSTEVNCVSKKIICESKDNCEHLLCTCDKAAIECLARSSLNSSLNLLDTSFCLAQTPETTIKEDLTTLLPRVVPVEPTDTSLTALSGEEAGHDQEGVGAARATSPPGSAEIVATRVTAKIVTLVPAGIKSLGLAVSSVENDPEETTEKACDRFTFLHLGSGDNMQVMPQLGEMLFCLTSRCPEEFESYGCYCGQEGRGEPRDDLDRCCLSHHCCLEQVRRLGCLLERLPWSPVVCVDHTPKCGGQSLCEKLLCACDQTAAECMTSASFNQSLKSPSRLGCPGQPAACEDSLHPVPAAPTLGSSSEEDSEEDPPQEDLGRAKRFLRKSLGPLGIGPLHGR
+>DECOY_sp|Q02509|OC90_HUMAN Otoconin-90 OS=Homo sapiens OX=9606 GN=OC90 PE=2 SV=4
+RGHLPGIGLPGLSKRLFRKARGLDEQPPDEESDEESSSGLTPAAPVPHLSDECAAPQGPCGLRSPSKLSQNFSASTMCEAATQDCACLLKECLSQGGCKPTHDVCVVPSWPLRELLCGLRRVQELCCHHSLCCRDLDDRPEGRGEQGCYCGYSEFEEPCRSTLCFLMEGLQPMVQMNDGSGLHLFTFRDCAKETTEEPDNEVSSVALGLSKIGAPVLTVIKATVRTAVIEASGPPSTARAAGVGEQDHGAEEGSLATLSTDTPEVPVVRPLLTTLDEKITTEPTQALCFSTDLLNLSSNLSSRALCEIAAKDCTCLLHECNDKSECIIKKSVCNVETSLKAPDQLCDMEAAEEYCRRHQFCCSDSEDVPLGEMEFRCTCGYDEFDRPCLGAVCKMGNVFQILVPFNTFVAQLWTFHPGLCDFIEAVSEVNKFMGSFFTININNPLGPPLEQPLHPTDLPHGGAHPIMLVSTLLFAIM
+>sp|Q8IXM7|OD3L1_HUMAN Outer dense fiber protein 3-like protein 1 OS=Homo sapiens OX=9606 GN=ODF3L1 PE=2 SV=1
+MKLPKGTRSSVYFAQHPEKEPLPSRQEVKQTPVIMAKIKGPGPAKYLRPSCTGYIDHDISMFKAPAYTLHSRHSEKRMVCHSSPGPCYLLDPKITRFGMSSCPQVPMEERISNLRLNPTLASCQYYFEKIHPPGERRAPQYTFGYRRPYRVMDLNPAPNQYQMPLLLGPNTPVSRAAPCYSLASRDKNWFYKEDVAGGPGPTTYARPEPSIYQNRSPTYSMAKRFAYPLDLTPRPGPGSHEVQQVTVHKPHIPAFTMGIKHSLHLCPLVIDIRD
+>DECOY_sp|Q8IXM7|OD3L1_HUMAN Outer dense fiber protein 3-like protein 1 OS=Homo sapiens OX=9606 GN=ODF3L1 PE=2 SV=1
+DRIDIVLPCLHLSHKIGMTFAPIHPKHVTVQQVEHSGPGPRPTLDLPYAFRKAMSYTPSRNQYISPEPRAYTTPGPGGAVDEKYFWNKDRSALSYCPAARSVPTNPGLLLPMQYQNPAPNLDMVRYPRRYGFTYQPARREGPPHIKEFYYQCSALTPNLRLNSIREEMPVQPCSSMGFRTIKPDLLYCPGPSSHCVMRKESHRSHLTYAPAKFMSIDHDIYGTCSPRLYKAPGPGKIKAMIVPTQKVEQRSPLPEKEPHQAFYVSSRTGKPLKM
+>sp|Q17RF5|ODAPH_HUMAN Odontogenesis associated phosphoprotein OS=Homo sapiens OX=9606 GN=ODAPH PE=1 SV=1
+MARRHCFSYWLLVCWLVVTVAEGQEEVFTPPGDSQNNADATDCQIFTLTPPPAPRSPVTRAQPITKTPRCPFHFFPRRPRIHFRFPNRPFVPSRCNHRFPFQPFYWPHRYLTYRYFPRRRLQRGSSSEES
+>DECOY_sp|Q17RF5|ODAPH_HUMAN Odontogenesis associated phosphoprotein OS=Homo sapiens OX=9606 GN=ODAPH PE=1 SV=1
+SEESSSGRQLRRRPFYRYTLYRHPWYFPQFPFRHNCRSPVFPRNPFRFHIRPRRPFFHFPCRPTKTIPQARTVPSRPAPPPTLTFIQCDTADANNQSDGPPTFVEEQGEAVTVVLWCVLLWYSFCHRRAM
+>sp|Q14990|ODFP1_HUMAN Outer dense fiber protein 1 OS=Homo sapiens OX=9606 GN=ODF1 PE=1 SV=2
+MAALSCLLDSVRRDIKKVDRELRQLRCIDEFSTRCLCDLYMHPYCCCDLHPYPYCLCYSKRSRSCGLCDLYPCCLCDYKLYCLRPSLRSLERKAIRAIEDEKRELAKLRRTTNRILASSCCSSNILGSVNVCGFEPDQVKVRVKDGKVCVSAERENRYDCLGSKKYSYMNICKEFSLPPCVDEKDVTYSYGLGSCVKIESPCYPCTSPCSPCSPCSPCNPCSPCNPCSPYDPCNPCYPCGSRFSCRKMIL
+>DECOY_sp|Q14990|ODFP1_HUMAN Outer dense fiber protein 1 OS=Homo sapiens OX=9606 GN=ODF1 PE=1 SV=2
+LIMKRCSFRSGCPYCPNCPDYPSCPNCPSCPNCPSCPSCPSCPSTCPYCPSEIKVCSGLGYSYTVDKEDVCPPLSFEKCINMYSYKKSGLCDYRNEREASVCVKGDKVRVKVQDPEFGCVNVSGLINSSCCSSALIRNTTRRLKALERKEDEIARIAKRELSRLSPRLCYLKYDCLCCPYLDCLGCSRSRKSYCLCYPYPHLDCCCYPHMYLDCLCRTSFEDICRLQRLERDVKKIDRRVSDLLCSLAAM
+>sp|Q68BL7|OLM2A_HUMAN Olfactomedin-like protein 2A OS=Homo sapiens OX=9606 GN=OLFML2A PE=2 SV=1
+MAAAALPPRPLLLLPLVLLLSGRPTRADSKVFGDLDQVRMTSEGSDCRCKCIMRPLSKDACSRVRSGRARVEDFYTVETVSSGTDCRCSCTAPPSSLNPCENEWKMEKLKKQAPELLKLQSMVDLLEGTLYSMDLMKVHAYVHKVASQMNTLEESIKANLSRENEVVKDSVRHLSEQLRHYENHSAIMLGIKKELSRLGLQLLQKDAAAAPATPATGTGSKAQDTARGKGKDISKYGSVQKSFADRGLPKPPKEKLLQVEKLRKESGKGSFLQPTAKPRALAQQQAVIRGFTYYKAGKQEVTEAVADNTLQGTSWLEQLPPKVEGRSNSAEPNSAEQDEAEPRSSERVDLASGTPTSIPATTTTATTTPTPTTSLLPTEPPSGPEVSSQGREASCEGTLRAVDPPVRHHSYGRHEGAWMKDPAARDDRIYVTNYYYGNSLVEFRNLENFKQGRWSNMYKLPYNWIGTGHVVYQGAFYYNRAFTKNIIKYDLRQRFVASWALLPDVVYEDTTPWKWRGHSDIDFAVDESGLWVIYPAVDDRDEAQPEVIVLSRLDPGDLSVHRETTWKTRLRRNSYGNCFLVCGILYAVDTYNQQEGQVAYAFDTHTGTDARPQLPFLNEHAYTTQIDYNPKERVLYAWDNGHQLTYTLHFVV
+>DECOY_sp|Q68BL7|OLM2A_HUMAN Olfactomedin-like protein 2A OS=Homo sapiens OX=9606 GN=OLFML2A PE=2 SV=1
+VVFHLTYTLQHGNDWAYLVREKPNYDIQTTYAHENLFPLQPRADTGTHTDFAYAVQGEQQNYTDVAYLIGCVLFCNGYSNRRLRTKWTTERHVSLDGPDLRSLVIVEPQAEDRDDVAPYIVWLGSEDVAFDIDSHGRWKWPTTDEYVVDPLLAWSAVFRQRLDYKIINKTFARNYYFAGQYVVHGTGIWNYPLKYMNSWRGQKFNELNRFEVLSNGYYYNTVYIRDDRAAPDKMWAGEHRGYSHHRVPPDVARLTGECSAERGQSSVEPGSPPETPLLSTTPTPTTTATTTTAPISTPTGSALDVRESSRPEAEDQEASNPEASNSRGEVKPPLQELWSTGQLTNDAVAETVEQKGAKYYTFGRIVAQQQALARPKATPQLFSGKGSEKRLKEVQLLKEKPPKPLGRDAFSKQVSGYKSIDKGKGRATDQAKSGTGTAPTAPAAAADKQLLQLGLRSLEKKIGLMIASHNEYHRLQESLHRVSDKVVENERSLNAKISEELTNMQSAVKHVYAHVKMLDMSYLTGELLDVMSQLKLLEPAQKKLKEMKWENECPNLSSPPATCSCRCDTGSSVTEVTYFDEVRARGSRVRSCADKSLPRMICKCRCDSGESTMRVQDLDGFVKSDARTPRGSLLLVLPLLLLPRPPLAAAAM
+>sp|Q96E52|OMA1_HUMAN Metalloendopeptidase OMA1, mitochondrial OS=Homo sapiens OX=9606 GN=OMA1 PE=1 SV=1
+MSFICGLQSAARNHVFFRFNSLSNWRKCNTLASTSRGCHQVQVNHIVNKYQGLGVNQCDRWSFLPGNFHFYSTFNNKRTGGLSSTKSKEIWRITSKCTVWNDAFSRQLLIKEVTAVPSLSVLHPLSPASIRAIRNFHTSPRFQAAPVPLLLMILKPVQKLFAIIVGRGIRKWWQALPPNKKEVVKENIRKNKWKLFLGLSSFGLLFVVFYFTHLEVSPITGRSKLLLLGKEQFRLLSELEYEAWMEEFKNDMLTEKDARYLAVKEVLCHLIECNKDVPGISQINWVIHVVDSPIINAFVLPNGQMFVFTGFLNSVTDIHQLSFLLGHEIAHAVLGHAAEKAGMVHLLDFLGMIFLTMIWAICPRDSLALLCQWIQSKLQEYMFNRPYSRKLEAEADKIGLLLAAKACADIRASSVFWQQMEFVDSLHGQPKMPEWLSTHPSHGNRVEYLDRLIPQALKIREMCNCPPLSNPDPRLLFKLSTKHFLEESEKEDLNITKKQKMDTLPIQKQEQIPLTYIVEKRTGS
+>DECOY_sp|Q96E52|OMA1_HUMAN Metalloendopeptidase OMA1, mitochondrial OS=Homo sapiens OX=9606 GN=OMA1 PE=1 SV=1
+SGTRKEVIYTLPIQEQKQIPLTDMKQKKTINLDEKESEELFHKTSLKFLLRPDPNSLPPCNCMERIKLAQPILRDLYEVRNGHSPHTSLWEPMKPQGHLSDVFEMQQWFVSSARIDACAKAALLLGIKDAEAELKRSYPRNFMYEQLKSQIWQCLLALSDRPCIAWIMTLFIMGLFDLLHVMGAKEAAHGLVAHAIEHGLLFSLQHIDTVSNLFGTFVFMQGNPLVFANIIPSDVVHIVWNIQSIGPVDKNCEILHCLVEKVALYRADKETLMDNKFEEMWAEYELESLLRFQEKGLLLLKSRGTIPSVELHTFYFVVFLLGFSSLGLFLKWKNKRINEKVVEKKNPPLAQWWKRIGRGVIIAFLKQVPKLIMLLLPVPAAQFRPSTHFNRIARISAPSLPHLVSLSPVATVEKILLQRSFADNWVTCKSTIRWIEKSKTSSLGGTRKNNFTSYFHFNGPLFSWRDCQNVGLGQYKNVIHNVQVQHCGRSTSALTNCKRWNSLSNFRFFVHNRAASQLGCIFSM
+>sp|A6NGQ2|OOEP_HUMAN Oocyte-expressed protein homolog OS=Homo sapiens OX=9606 GN=OOEP PE=1 SV=3
+MVDDAGAAESQRGKQTPAHSLEQLRRLPLPPPQIRIRPWWFPVQELRDPLVFYLEAWLADELFGPDRAIIPEMEWTSQALLTVDIVDSGNLVEITVFGRPRVQNRVKSMLLCLAWFHREHRARAEKMKHLEKNLKAHASDPHSPQDPVA
+>DECOY_sp|A6NGQ2|OOEP_HUMAN Oocyte-expressed protein homolog OS=Homo sapiens OX=9606 GN=OOEP PE=1 SV=3
+AVPDQPSHPDSAHAKLNKELHKMKEARARHERHFWALCLLMSKVRNQVRPRGFVTIEVLNGSDVIDVTLLAQSTWEMEPIIARDPGFLEDALWAELYFVLPDRLEQVPFWWPRIRIQPPPLPLRRLQELSHAPTQKGRQSEAAGADDVM
+>sp|Q9H6K4|OPA3_HUMAN Optic atrophy 3 protein OS=Homo sapiens OX=9606 GN=OPA3 PE=1 SV=1
+MVVGAFPMAKLLYLGIRQVSKPLANRIKEAARRSEFFKTYICLPPAQLYHWVEMRTKMRIMGFRGTVIKPLNEEAAAELGAELLGEATIFIVGGGCLVLEYWRHQAQQRHKEEEQRAAWNALRDEVGHLALALEALQAQVQAAPPQGALEELRTELQEVRAQLCNPGRSASHAVPASKK
+>DECOY_sp|Q9H6K4|OPA3_HUMAN Optic atrophy 3 protein OS=Homo sapiens OX=9606 GN=OPA3 PE=1 SV=1
+KKSAPVAHSASRGPNCLQARVEQLETRLEELAGQPPAAQVQAQLAELALALHGVEDRLANWAARQEEEKHRQQAQHRWYELVLCGGGVIFITAEGLLEAGLEAAAEENLPKIVTGRFGMIRMKTRMEVWHYLQAPPLCIYTKFFESRRAAEKIRNALPKSVQRIGLYLLKAMPFAGVVM
+>sp|O60890|OPHN1_HUMAN Oligophrenin-1 OS=Homo sapiens OX=9606 GN=OPHN1 PE=1 SV=1
+MGHPPLEFSDCYLDSPDFRERLKCYEQELERTNKFIKDVIKDGNALISAMRNYSSAVQKFSQTLQSFQFDFIGDTLTDDEINIAESFKEFAELLNEVENERMMMVHNASDLLIKPLENFRKEQIGFTKERKKKFEKDGERFYSLLDRHLHLSSKKKESQLQEADLQVDKERHNFFESSLDYVYQIQEVQESKKFNIVEPVLAFLHSLFISNSLTVELTQDFLPYKQQLQLSLQNTRNHFSSTREEMEELKKRMKEAPQTCKLPGQPTIEGYLYTQEKWALGISWVKYYCQYEKETKTLTMTPMEQKPGAKQGPLDLTLKYCVRRKTESIDKRFCFDIETNERPGTITLQALSEANRRLWMEAMDGKEPIYHSPITKQQEMELNEVGFKFVRKCINIIETKGIKTEGLYRTVGSNIQVQKLLNAFFDPKCPGDVDFHNSDWDIKTITSSLKFYLRNLSEPVMTYRLHKELVSAAKSDNLDYRLGAIHSLVYKLPEKNREMLELLIRHLVNVCEHSKENLMTPSNMGVIFGPTLMRAQEDTVAAMMNIKFQNIVVEILIEHFGKIYLGPPEESAAPPVPPPRVTARRHKPITISKRLLRERTVFYTSSLDESEDEIQHQTPNGTITSSIEPPKPPQHPKLPIQRSGETDPGRKSPSRPILDGKLEPCPEVDVGKLVSRLQDGGTKITPKATNGPMPGSGPTKTPSFHIKRPAPRPLAHHKEGDADSFSKVRPPGEKPTIIRPPVRPPDPPCRAATPQKPEPKPDIVAGNAGEITSSVVASRTRFFETASRKTGSSQGRLPGDES
+>DECOY_sp|O60890|OPHN1_HUMAN Oligophrenin-1 OS=Homo sapiens OX=9606 GN=OPHN1 PE=1 SV=1
+SEDGPLRGQSSGTKRSATEFFRTRSAVVSSTIEGANGAVIDPKPEPKQPTAARCPPDPPRVPPRIITPKEGPPRVKSFSDADGEKHHALPRPAPRKIHFSPTKTPGSGPMPGNTAKPTIKTGGDQLRSVLKGVDVEPCPELKGDLIPRSPSKRGPDTEGSRQIPLKPHQPPKPPEISSTITGNPTQHQIEDESEDLSSTYFVTRERLLRKSITIPKHRRATVRPPPVPPAASEEPPGLYIKGFHEILIEVVINQFKINMMAAVTDEQARMLTPGFIVGMNSPTMLNEKSHECVNVLHRILLELMERNKEPLKYVLSHIAGLRYDLNDSKAASVLEKHLRYTMVPESLNRLYFKLSSTITKIDWDSNHFDVDGPCKPDFFANLLKQVQINSGVTRYLGETKIGKTEIINICKRVFKFGVENLEMEQQKTIPSHYIPEKGDMAEMWLRRNAESLAQLTITGPRENTEIDFCFRKDISETKRRVCYKLTLDLPGQKAGPKQEMPTMTLTKTEKEYQCYYKVWSIGLAWKEQTYLYGEITPQGPLKCTQPAEKMRKKLEEMEERTSSFHNRTNQLSLQLQQKYPLFDQTLEVTLSNSIFLSHLFALVPEVINFKKSEQVEQIQYVYDLSSEFFNHREKDVQLDAEQLQSEKKKSSLHLHRDLLSYFREGDKEFKKKREKTFGIQEKRFNELPKILLDSANHVMMMRENEVENLLEAFEKFSEAINIEDDTLTDGIFDFQFSQLTQSFKQVASSYNRMASILANGDKIVDKIFKNTRELEQEYCKLRERFDPSDLYCDSFELPPHGM
+>sp|O14841|OPLA_HUMAN 5-oxoprolinase OS=Homo sapiens OX=9606 GN=OPLAH PE=1 SV=3
+MGSPEGRFHFAIDRGGTFTDVFAQCPGGHVRVLKLLSEDPANYADAPTEGIRRILEQEAGMLLPRDQPLDSSHIASIRMGTTVATNALLERKGERVALLVTRGFRDLLHIGTQARGDLFDLAVPMPEVLYEEVLEVDERVVLHRGEAGTGTPVKGRTGDLLEVQQPVDLGALRGKLEGLLSRGIRSLAVVLMHSYTWAQHEQQVGVLARELGFTHVSLSSEAMPMVRIVPRGHTACADAYLTPAIQRYVQGFCRGFQGQLKDVQVLFMRSDGGLAPMDTFSGSSAVLSGPAGGVVGYSATTYQQEGGQPVIGFDMGGTSTDVSRYAGEFEHVFEASTAGVTLQAPQLDINTVAAGGGSRLFFRSGLFVVGPESAGAHPGPACYRKGGPVTVTDANLVLGRLLPASFPCIFGPGENQPLSPEASRKALEAVATEVNSFLTNGPCPASPLSLEEVAMGFVRVANEAMCRPIRALTQARGHDPSAHVLACFGGAGGQHACAIARALGMDTVHIHRHSGLLSALGLALADVVHEAQEPCSLLYAPETFVQLDQRLSRLEEQCVDALQAQGFPRSQISTESFLHLRYQGTDCALMVSAHQHPATARSPRAGDFGAAFVERYMREFGFVIPERPVVVDDVRVRGTGRSGLRLEDAPKAQTGPPRVDKMTQCYFEGGYQETPVYLLAELGYGHKLHGPCLIIDSNSTILVEPGCQAEVTKTGDICISVGAEVPGTVGPQLDPIQLSIFSHRFMSIAEQMGRILQRTAISTNIKERLDFSCALFGPDGGLVSNAPHIPVHLGAMQETVQFQIQHLGADLHPGDVLLSNHPSAGGSHLPDLTVITPVFWPGQTRPVFYVASRGHHADIGGITPGSMPPHSTMLQQEGAVFLSFKLVQGGVFQEEAVTEALRAPGKVPNCSGTRNLHDNLSDLRAQVAANQKGIQLVGELIGQYGLDVVQAYMGHIQANAELAVRDMLRAFGTSRQARGLPLEVSSEDHMDDGSPIRLRVQISLSQGSAVFDFSGTGPEVFGNLNAPRAVTLSALIYCLRCLVGRDIPLNQGCLAPVRVVIPRGSILDPSPEAAVVGGNVLTSQRVVDVILGAFGACAASQGCMNNVTLGNAHMGYYETVAGGAGAGPSWHGRSGVHSHMTNTRITDPEILESRYPVILRRFELRRGSGGRGRFRGGDGVTRELLFREEALLSVLTERRAFRPYGLHGGEPGARGLNLLIRKNGRTVNLGGKTSVTVYPGDVFCLHTPGGGGYGDPEDPAPPPGSPPQALAFPEHGSVYEYRRAQEAV
+>DECOY_sp|O14841|OPLA_HUMAN 5-oxoprolinase OS=Homo sapiens OX=9606 GN=OPLAH PE=1 SV=3
+VAEQARRYEYVSGHEPFALAQPPSGPPPAPDEPDGYGGGGPTHLCFVDGPYVTVSTKGGLNVTRGNKRILLNLGRAGPEGGHLGYPRFARRETLVSLLAEERFLLERTVGDGGRFRGRGGSGRRLEFRRLIVPYRSELIEPDTIRTNTMHSHVGSRGHWSPGAGAGGAVTEYYGMHANGLTVNNMCGQSAACAGFAGLIVDVVRQSTLVNGGVVAAEPSPDLISGRPIVVRVPALCGQNLPIDRGVLCRLCYILASLTVARPANLNGFVEPGTGSFDFVASGQSLSIQVRLRIPSGDDMHDESSVELPLGRAQRSTGFARLMDRVALEANAQIHGMYAQVVDLGYQGILEGVLQIGKQNAAVQARLDSLNDHLNRTGSCNPVKGPARLAETVAEEQFVGGQVLKFSLFVAGEQQLMTSHPPMSGPTIGGIDAHHGRSAVYFVPRTQGPWFVPTIVTLDPLHSGGASPHNSLLVDGPHLDAGLHQIQFQVTEQMAGLHVPIHPANSVLGGDPGFLACSFDLREKINTSIATRQLIRGMQEAISMFRHSFISLQIPDLQPGVTGPVEAGVSICIDGTKTVEAQCGPEVLITSNSDIILCPGHLKHGYGLEALLYVPTEQYGGEFYCQTMKDVRPPGTQAKPADELRLGSRGTGRVRVDDVVVPREPIVFGFERMYREVFAAGFDGARPSRATAPHQHASVMLACDTGQYRLHLFSETSIQSRPFGQAQLADVCQEELRSLRQDLQVFTEPAYLLSCPEQAEHVVDALALGLASLLGSHRHIHVTDMGLARAIACAHQGGAGGFCALVHASPDHGRAQTLARIPRCMAENAVRVFGMAVEELSLPSAPCPGNTLFSNVETAVAELAKRSAEPSLPQNEGPGFICPFSAPLLRGLVLNADTVTVPGGKRYCAPGPHAGASEPGVVFLGSRFFLRSGGGAAVTNIDLQPAQLTVGATSAEFVHEFEGAYRSVDTSTGGMDFGIVPQGGEQQYTTASYGVVGGAPGSLVASSGSFTDMPALGGDSRMFLVQVDKLQGQFGRCFGQVYRQIAPTLYADACATHGRPVIRVMPMAESSLSVHTFGLERALVGVQQEHQAWTYSHMLVVALSRIGRSLLGELKGRLAGLDVPQQVELLDGTRGKVPTGTGAEGRHLVVREDVELVEEYLVEPMPVALDFLDGRAQTGIHLLDRFGRTVLLAVREGKRELLANTAVTTGMRISAIHSSDLPQDRPLLMGAEQELIRRIGETPADAYNAPDESLLKLVRVHGGPCQAFVDTFTGGRDIAFHFRGEPSGM
+>sp|Q8NGW1|OR6B3_HUMAN Olfactory receptor 6B3 OS=Homo sapiens OX=9606 GN=OR6B3 PE=3 SV=1
+MSGENVTRVGTFILVGFPTAPGLQYLLFLLFLLTYLFVLVENLAIILTVWSSTSLHRPMYYFLSSMSFLEIWYVSDITPKMLEGFLLQQKRISFVGCMTQLYFFSSLVCTECVLLASMAYDRYVAICHPLRYHVLVTPGLCLQLVGFSFVSGFTISMIKVCFISSVTFCGSNVLNHFFCDISPILKLACTDFSTAELVDFILAFIILVFPLLATMLSYAHITLAVLRIPSATGCWRAFFTCASHLTVVTVFYTALLFMYVRPQAIDSRSSNKLISVLYTVITPILNPLIYCLRNKEFKNALKKAFGLTSCAVEGRLSSLLELHLQIHSQPL
+>DECOY_sp|Q8NGW1|OR6B3_HUMAN Olfactory receptor 6B3 OS=Homo sapiens OX=9606 GN=OR6B3 PE=3 SV=1
+LPQSHIQLHLELLSSLRGEVACSTLGFAKKLANKFEKNRLCYILPNLIPTIVTYLVSILKNSSRSDIAQPRVYMFLLATYFVTVVTLHSACTFFARWCGTASPIRLVALTIHAYSLMTALLPFVLIIFALIFDVLEATSFDTCALKLIPSIDCFFHNLVNSGCFTVSSIFCVKIMSITFGSVFSFGVLQLCLGPTVLVHYRLPHCIAVYRDYAMSALLVCETCVLSSFFYLQTMCGVFSIRKQQLLFGELMKPTIDSVYWIELFSMSSLFYYMPRHLSTSSWVTLIIALNEVLVFLYTLLFLLFLLYQLGPATPFGVLIFTGVRTVNEGSM
+>sp|Q9NZP2|OR6C2_HUMAN Olfactory receptor 6C2 OS=Homo sapiens OX=9606 GN=OR6C2 PE=3 SV=2
+MKNHTVIRTFILLGLTGDPHLQVLLFIFLFLTYMLSVTGNLTIITLTLVDHHLKTPMYFFLRNFSFLEVSFTTVCIPRFLYNISMGDNTITYNACASQIFFVILFGATEFFLLAAMSYDRYVAICKPLHYVVIMNNRVCTLLVLCCWVAGLMIIVPPLSLGLQLEFCDSNAIDHFSCDAGPLLKISCSDTWVIEQMVILMAVFALIITLVCVILSYLYIVRTILKFPSVQQRKKAFSTCSSHMIVVSIAYGSCIFIYIKPSAKDEVAINKGVSVLTTSVAPLLNPFIYTLRNKQVKQAFSDSIKRIAFLSKK
+>DECOY_sp|Q9NZP2|OR6C2_HUMAN Olfactory receptor 6C2 OS=Homo sapiens OX=9606 GN=OR6C2 PE=3 SV=2
+KKSLFAIRKISDSFAQKVQKNRLTYIFPNLLPAVSTTLVSVGKNIAVEDKASPKIYIFICSGYAISVVIMHSSCTSFAKKRQQVSPFKLITRVIYLYSLIVCVLTIILAFVAMLIVMQEIVWTDSCSIKLLPGADCSFHDIANSDCFELQLGLSLPPVIIMLGAVWCCLVLLTCVRNNMIVVYHLPKCIAVYRDYSMAALLFFETAGFLIVFFIQSACANYTITNDGMSINYLFRPICVTTFSVELFSFNRLFFYMPTKLHHDVLTLTIITLNGTVSLMYTLFLFIFLLVQLHPDGTLGLLIFTRIVTHNKM
+>sp|Q8NGZ6|OR6F1_HUMAN Olfactory receptor 6F1 OS=Homo sapiens OX=9606 GN=OR6F1 PE=2 SV=1
+MDTGNKTLPQDFLLLGFPGSQTLQLSLFMLFLVMYILTVSGNVAILMLVSTSHQLHTPMYFFLSNLSFLEIWYTTAAVPKALAILLGRSQTISFTSCLLQMYFVFSLGCTEYFLLAAMAYDRCLAICYPLHYGAIMSSLLSAQLALGSWVCGFVAIAVPTALISGLSFCGPRAINHFFCDIAPWIALACTNTQAVELVAFVIAVVVILSSCLITFVSYVYIISTILRIPSASGRSKAFSTCSSHLTVVLIWYGSTVFLHVRTSIKDALDLIKAVHVLNTVVTPVLNPFIYTLRNKEVRETLLKKWKGK
+>DECOY_sp|Q8NGZ6|OR6F1_HUMAN Olfactory receptor 6F1 OS=Homo sapiens OX=9606 GN=OR6F1 PE=2 SV=1
+KGKWKKLLTERVEKNRLTYIFPNLVPTVVTNLVHVAKILDLADKISTRVHLFVTSGYWILVVTLHSSCTSFAKSRGSASPIRLITSIIYVYSVFTILCSSLIVVVAIVFAVLEVAQTNTCALAIWPAIDCFFHNIARPGCFSLGSILATPVAIAVFGCVWSGLALQASLLSSMIAGYHLPYCIALCRDYAMAALLFYETCGLSFVFYMQLLCSTFSITQSRGLLIALAKPVAATTYWIELFSLNSLFFYMPTHLQHSTSVLMLIAVNGSVTLIYMVLFLMFLSLQLTQSGPFGLLLFDQPLTKNGTDM
+>sp|Q8NGY3|OR6K3_HUMAN Olfactory receptor 6K3 OS=Homo sapiens OX=9606 GN=OR6K3 PE=3 SV=2
+MCWTMPSPFTGSSTRNMESGNQSTVTEFIFTGFPQLQDGSLLYFFPLLFIYTFIIIDNLLIFSAVRLDTHLHNPMYNFISIFSFLEIWYTTATIPKMLSNLISEKKAISMTGCILQMYFFHSLENSEGILLTTMAIDRYVAICNPLRYQMIMTPRLCAQLSAGSCLFGFLILLPEIVMISTLPFCGPNQIHQIFCDLVPVLSLACTDTSMILIEDVIHAVTIIITFLIIALSYVRIVTVILRIPSSEGRQKAFSTCAGHLMVFPIFFGSVSLMYLRFSDTYPPVLDTAIALMFTVLAPFFNPIIYSLRNKDMNNAIKKLFCLQKVLNKPGG
+>DECOY_sp|Q8NGY3|OR6K3_HUMAN Olfactory receptor 6K3 OS=Homo sapiens OX=9606 GN=OR6K3 PE=3 SV=2
+GGPKNLVKQLCFLKKIANNMDKNRLSYIIPNFFPALVTFMLAIATDLVPPYTDSFRLYMLSVSGFFIPFVMLHGACTSFAKQRGESSPIRLIVTVIRVYSLAIILFTIIITVAHIVDEILIMSTDTCALSLVPVLDCFIQHIQNPGCFPLTSIMVIEPLLILFGFLCSGASLQACLRPTMIMQYRLPNCIAVYRDIAMTTLLIGESNELSHFFYMQLICGTMSIAKKESILNSLMKPITATTYWIELFSFISIFNYMPNHLHTDLRVASFILLNDIIIFTYIFLLPFFYLLSGDQLQPFGTFIFETVTSQNGSEMNRTSSGTFPSPMTWCM
+>sp|Q8NGY5|OR6N1_HUMAN Olfactory receptor 6N1 OS=Homo sapiens OX=9606 GN=OR6N1 PE=2 SV=1
+MDTGNWSQVAEFIILGFPHLQGVQIYLFLLLLLIYLMTVLGNLLIFLVVCLDSRLHTPMYHFVSILSFSELGYTAATIPKMLANLLSEKKTISFSGCLLQIYFFHSLGATECYLLTAMAYDRYLAICRPLHYPTLMTPTLCAEIAIGCWLGGLAGPVVEISLISRLPFCGPNRIQHVFCDFPPVLSLACTDTSINVLVDFVINSCKILATFLLILCSYVQIICTVLRIPSAAGKRKAISTCASHFTVVLIFYGSILSMYVQLKKSYSLDYDQALAVVYSVLTPFLNPFIYSLRNKEIKEAVRRQLKRIGILA
+>DECOY_sp|Q8NGY5|OR6N1_HUMAN Olfactory receptor 6N1 OS=Homo sapiens OX=9606 GN=OR6N1 PE=2 SV=1
+ALIGIRKLQRRVAEKIEKNRLSYIFPNLFPTLVSYVVALAQDYDLSYSKKLQVYMSLISGYFILVVTFHSACTSIAKRKGAASPIRLVTCIIQVYSCLILLFTALIKCSNIVFDVLVNISTDTCALSLVPPFDCFVHQIRNPGCFPLRSILSIEVVPGALGGLWCGIAIEACLTPTMLTPYHLPRCIALYRDYAMATLLYCETAGLSHFFYIQLLCGSFSITKKESLLNALMKPITAATYGLESFSLISVFHYMPTHLRSDLCVVLFILLNGLVTMLYILLLLLFLYIQVGQLHPFGLIIFEAVQSWNGTDM
+>sp|Q8NGX8|OR6Y1_HUMAN Olfactory receptor 6Y1 OS=Homo sapiens OX=9606 GN=OR6Y1 PE=3 SV=1
+MTTIILEVDNHTVTTRFILLGFPTRPAFQLLFFSIFLATYLLTLLENLLIILAIHSDGQLHKPMYFFLSHLSFLEMWYVTVISPKMLVDFLSHDKSISFNGCMTQLYFFVTFVCTEYILLAIMAFDRYVAICNPLRYPVIMTNQLCGTLAGGCWFCGLMTAMIKMVFIAQLHYCGMPQINHYFCDISPLLNVSCEDASQAEMVDFFLALMVIAIPLCVVVASYAAILATILRIPSAQGRQKAFSTCASHLTVVILFYSMTLFTYARPKLMYAYNSNKVVSVLYTVIVPLLNPIIYCLRNHEVKAALRKTIHCRGSGPQGNGAFSS
+>DECOY_sp|Q8NGX8|OR6Y1_HUMAN Olfactory receptor 6Y1 OS=Homo sapiens OX=9606 GN=OR6Y1 PE=3 SV=1
+SSFAGNGQPGSGRCHITKRLAAKVEHNRLCYIIPNLLPVIVTYLVSVVKNSNYAYMLKPRAYTFLTMSYFLIVVTLHSACTSFAKQRGQASPIRLITALIAAYSAVVVCLPIAIVMLALFFDVMEAQSADECSVNLLPSIDCFYHNIQPMGCYHLQAIFVMKIMATMLGCFWCGGALTGCLQNTMIVPYRLPNCIAVYRDFAMIALLIYETCVFTVFFYLQTMCGNFSISKDHSLFDVLMKPSIVTVYWMELFSLHSLFFYMPKHLQGDSHIALIILLNELLTLLYTALFISFFLLQFAPRTPFGLLIFRTTVTHNDVELIITTM
+>sp|Q9Y239|NOD1_HUMAN Nucleotide-binding oligomerization domain-containing protein 1 OS=Homo sapiens OX=9606 GN=NOD1 PE=1 SV=1
+MEEQGHSEMEIIPSESHPHIQLLKSNRELLVTHIRNTQCLVDNLLKNDYFSAEDAEIVCACPTQPDKVRKILDLVQSKGEEVSEFFLYLLQQLADAYVDLRPWLLEIGFSPSLLTQSKVVVNTDPVSRYTQQLRHHLGRDSKFVLCYAQKEELLLEEIYMDTIMELVGFSNESLGSLNSLACLLDHTTGILNEQGETIFILGDAGVGKSMLLQRLQSLWATGRLDAGVKFFFHFRCRMFSCFKESDRLCLQDLLFKHYCYPERDPEEVFAFLLRFPHVALFTFDGLDELHSDLDLSRVPDSSCPWEPAHPLVLLANLLSGKLLKGASKLLTARTGIEVPRQFLRKKVLLRGFSPSHLRAYARRMFPERALQDRLLSQLEANPNLCSLCSVPLFCWIIFRCFQHFRAAFEGSPQLPDCTMTLTDVFLLVTEVHLNRMQPSSLVQRNTRSPVETLHAGRDTLCSLGQVAHRGMEKSLFVFTQEEVQASGLQERDMQLGFLRALPELGPGGDQQSYEFFHLTLQAFFTAFFLVLDDRVGTQELLRFFQEWMPPAGAATTSCYPPFLPFQCLQGSGPAREDLFKNKDHFQFTNLFLCGLLSKAKQKLLRHLVPAAALRRKRKALWAHLFSSLRGYLKSLPRVQVESFNQVQAMPTFIWMLRCIYETQSQKVGQLAARGICANYLKLTYCNACSADCSALSFVLHHFPKRLALDLDNNNLNDYGVRELQPCFSRLTVLRLSVNQITDGGVKVLSEELTKYKIVTYLGLYNNQITDVGARYVTKILDECKGLTHLKLGKNKITSEGGKYLALAVKNSKSISEVGMWGNQVGDEGAKAFAEALRNHPSLTTLSLASNGISTEGGKSLARALQQNTSLEILWLTQNELNDEVAESLAEMLKVNQTLKHLWLIQNQITAKGTAQLADALQSNTGITEICLNGNLIKPEEAKVYEDEKRIICF
+>DECOY_sp|Q9Y239|NOD1_HUMAN Nucleotide-binding oligomerization domain-containing protein 1 OS=Homo sapiens OX=9606 GN=NOD1 PE=1 SV=1
+FCIIRKEDEYVKAEEPKILNGNLCIETIGTNSQLADALQATGKATIQNQILWLHKLTQNVKLMEALSEAVEDNLENQTLWLIELSTNQQLARALSKGGETSIGNSALSLTTLSPHNRLAEAFAKAGEDGVQNGWMGVESISKSNKVALALYKGGESTIKNKGLKLHTLGKCEDLIKTVYRAGVDTIQNNYLGLYTVIKYKTLEESLVKVGGDTIQNVSLRLVTLRSFCPQLERVGYDNLNNNDLDLALRKPFHHLVFSLASCDASCANCYTLKLYNACIGRAALQGVKQSQTEYICRLMWIFTPMAQVQNFSEVQVRPLSKLYGRLSSFLHAWLAKRKRRLAAAPVLHRLLKQKAKSLLGCLFLNTFQFHDKNKFLDERAPGSGQLCQFPLFPPYCSTTAAGAPPMWEQFFRLLEQTGVRDDLVLFFATFFAQLTLHFFEYSQQDGGPGLEPLARLFGLQMDREQLGSAQVEEQTFVFLSKEMGRHAVQGLSCLTDRGAHLTEVPSRTNRQVLSSPQMRNLHVETVLLFVDTLTMTCDPLQPSGEFAARFHQFCRFIIWCFLPVSCLSCLNPNAELQSLLRDQLAREPFMRRAYARLHSPSFGRLLVKKRLFQRPVEIGTRATLLKSAGKLLKGSLLNALLVLPHAPEWPCSSDPVRSLDLDSHLEDLGDFTFLAVHPFRLLFAFVEEPDREPYCYHKFLLDQLCLRDSEKFCSFMRCRFHFFFKVGADLRGTAWLSQLRQLLMSKGVGADGLIFITEGQENLIGTTHDLLCALSNLSGLSENSFGVLEMITDMYIEELLLEEKQAYCLVFKSDRGLHHRLQQTYRSVPDTNVVVKSQTLLSPSFGIELLWPRLDVYADALQQLLYLFFESVEEGKSQVLDLIKRVKDPQTPCACVIEADEASFYDNKLLNDVLCQTNRIHTVLLERNSKLLQIHPHSESPIIEMESHGQEEM
+>sp|Q9UGY1|NOL12_HUMAN Nucleolar protein 12 OS=Homo sapiens OX=9606 GN=NOL12 PE=1 SV=1
+MGRNKKKKRDGDDRRPRLVLSFDEEKRREYLTGFHKRKVERKKAAIEEIKQRLKEEQRKLREERHQEYLKMLAEREEALEEADELDRLVTAKTESVQYDHPNHTVTVTTISDLDLSGARLLGLTPPEGGAGDRSEEEASSTEKPTKALPRKSRDPLLSQRISSLTASLHAHSRKKVKRKHPRRAQDSKKPPRAPRTSKAQRRRLTGKARHSGE
+>DECOY_sp|Q9UGY1|NOL12_HUMAN Nucleolar protein 12 OS=Homo sapiens OX=9606 GN=NOL12 PE=1 SV=1
+EGSHRAKGTLRRRQAKSTRPARPPKKSDQARRPHKRKVKKRSHAHLSATLSSIRQSLLPDRSKRPLAKTPKETSSAEEESRDGAGGEPPTLGLLRAGSLDLDSITTVTVTHNPHDYQVSETKATVLRDLEDAEELAEEREALMKLYEQHREERLKRQEEKLRQKIEEIAAKKREVKRKHFGTLYERRKEEDFSLVLRPRRDDGDRKKKKNRGM
+>sp|O94818|NOL4_HUMAN Nucleolar protein 4 OS=Homo sapiens OX=9606 GN=NOL4 PE=1 SV=2
+MESERDMYRQFQDWCLRTYGDSGKTKTVTRKKYERIVQLLNGSESSSTDNAKFKFWVKSKGFQLGQPDEVRGGGGGAKQVLYVPVKTTDGVGVDEKLSLRRVAVVEDFFDIIYSMHVETGPNGEQIRKHAGQKRTYKAISESYAFLPREAVTRFLMSCSECQKRMHLNPDGTDHKDNGKPPTLVTSMIDYNMPITMAYMKHMKLQLLNSQQDEDESSIESDEFDMSDSTRMSAVNSDLSSNLEERMQSPQNLHGQQDDDSAAESFNGNETLGHSSIASGGTHSREMGDSNSDGKTGLEQDEQPLNLSDSPLSAQLTSEYRIDDHNSNGKNKYKNLLISDLKMEREARENGSKSPAHSYSSYDSGKNESVDRGAEDLSLNRGDEDEDDHEDHDDSEKVNETDGVEAERLKAFNMFVRLFVDENLDRMVPISKQPKEKIQAIIDSCRRQFPEYQERARKRIRTYLKSCRRMKRSGFEMSRPIPSHLTSAVAESILASACESESRNAAKRMRLERQQDESAPADKQCKPEATQATYSTSAVPGSQDVLYINGNGTYSYHSYRGLGGGLLNLNDASSSGPTDLSMKRQLATSSGSSSSSNSRPQLSPTEINAVRQLVAGYRESAAFLLRSADELENLILQQN
+>DECOY_sp|O94818|NOL4_HUMAN Nucleolar protein 4 OS=Homo sapiens OX=9606 GN=NOL4 PE=1 SV=2
+NQQLILNELEDASRLLFAASERYGAVLQRVANIETPSLQPRSNSSSSSGSSTALQRKMSLDTPGSSSADNLNLLGGGLGRYSHYSYTGNGNIYLVDQSGPVASTSYTAQTAEPKCQKDAPASEDQQRELRMRKAANRSESECASALISEAVASTLHSPIPRSMEFGSRKMRRCSKLYTRIRKRAREQYEPFQRRCSDIIAQIKEKPQKSIPVMRDLNEDVFLRVFMNFAKLREAEVGDTENVKESDDHDEHDDEDEDGRNLSLDEAGRDVSENKGSDYSSYSHAPSKSGNERAEREMKLDSILLNKYKNKGNSNHDDIRYESTLQASLPSDSLNLPQEDQELGTKGDSNSDGMERSHTGGSAISSHGLTENGNFSEAASDDDQQGHLNQPSQMREELNSSLDSNVASMRTSDSMDFEDSEISSEDEDQQSNLLQLKMHKMYAMTIPMNYDIMSTVLTPPKGNDKHDTGDPNLHMRKQCESCSMLFRTVAERPLFAYSESIAKYTRKQGAHKRIQEGNPGTEVHMSYIIDFFDEVVAVRRLSLKEDVGVGDTTKVPVYLVQKAGGGGGRVEDPQGLQFGKSKVWFKFKANDTSSSESGNLLQVIREYKKRTVTKTKGSDGYTRLCWDQFQRYMDRESEM
+>sp|Q9UMY1|NOL7_HUMAN Nucleolar protein 7 OS=Homo sapiens OX=9606 GN=NOL7 PE=1 SV=2
+MVQLRPRASRAPASAEAMVDEGQLASEEEEAEHGLLLGQPSSGAAAEPLEEDEEGDDEFDDEAPEELTFASAQAEAREEERRVRETVRRDKTLLKEKRKRREELFIEQKKRKLLPDTILEKLTTASQTNIKKSPGKVKEVNLQKKNEDCEKGNDSKKVKVQKVQSVSQNKSYLAVRLKDQDLRDSRQQAAQAFIHNSLYGPGTNRTTVNKFLSLANKRLPVKRAAVQFLNNAWGIQKKQNAKRFKRRWMVRKMKTKK
+>DECOY_sp|Q9UMY1|NOL7_HUMAN Nucleolar protein 7 OS=Homo sapiens OX=9606 GN=NOL7 PE=1 SV=2
+KKTKMKRVMWRRKFRKANQKKQIGWANNLFQVAARKVPLRKNALSLFKNVTTRNTGPGYLSNHIFAQAAQQRSDRLDQDKLRVALYSKNQSVSQVKQVKVKKSDNGKECDENKKQLNVEKVKGPSKKINTQSATTLKELITDPLLKRKKQEIFLEERRKRKEKLLTKDRRVTERVRREEERAEAQASAFTLEEPAEDDFEDDGEEDEELPEAAAGSSPQGLLLGHEAEEEESALQGEDVMAEASAPARSARPRLQVM
+>sp|Q15233|NONO_HUMAN Non-POU domain-containing octamer-binding protein OS=Homo sapiens OX=9606 GN=NONO PE=1 SV=4
+MQSNKTFNLEKQNHTPRKHHQHHHQQQHHQQQQQQPPPPPIPANGQQASSQNEGLTIDLKNFRKPGEKTFTQRSRLFVGNLPPDITEEEMRKLFEKYGKAGEVFIHKDKGFGFIRLETRTLAEIAKVELDNMPLRGKQLRVRFACHSASLTVRNLPQYVSNELLEEAFSVFGQVERAVVIVDDRGRPSGKGIVEFSGKPAARKALDRCSEGSFLLTTFPRPVTVEPMDQLDDEEGLPEKLVIKNQQFHKEREQPPRFAQPGSFEYEYAMRWKALIEMEKQQQDQVDRNIKEAREKLEMEMEAARHEHQVMLMRQDLMRRQEELRRMEELHNQEVQKRKQLELRQEEERRRREEEMRRQQEEMMRRQQEGFKGTFPDAREQEIRMGQMAMGGAMGINNRGAMPPAPVPAGTPAPPGPATMMPDGTLGLTPPTTERFGQAATMEGIGAIGGTPPAFNRAAPGAEFAPNKRRRY
+>DECOY_sp|Q15233|NONO_HUMAN Non-POU domain-containing octamer-binding protein OS=Homo sapiens OX=9606 GN=NONO PE=1 SV=4
+YRRRKNPAFEAGPAARNFAPPTGGIAGIGEMTAAQGFRETTPPTLGLTGDPMMTAPGPPAPTGAPVPAPPMAGRNNIGMAGGMAMQGMRIEQERADPFTGKFGEQQRRMMEEQQRRMEEERRRREEEQRLELQKRKQVEQNHLEEMRRLEEQRRMLDQRMLMVQHEHRAAEMEMELKERAEKINRDVQDQQQKEMEILAKWRMAYEYEFSGPQAFRPPQEREKHFQQNKIVLKEPLGEEDDLQDMPEVTVPRPFTTLLFSGESCRDLAKRAAPKGSFEVIGKGSPRGRDDVIVVAREVQGFVSFAEELLENSVYQPLNRVTLSASHCAFRVRLQKGRLPMNDLEVKAIEALTRTELRIFGFGKDKHIFVEGAKGYKEFLKRMEEETIDPPLNGVFLRSRQTFTKEGPKRFNKLDITLGENQSSAQQGNAPIPPPPPQQQQQQHHQQQHHHQHHKRPTHNQKELNFTKNSQM
+>sp|P29475|NOS1_HUMAN Nitric oxide synthase, brain OS=Homo sapiens OX=9606 GN=NOS1 PE=1 SV=2
+MEDHMFGVQQIQPNVISVRLFKRKVGGLGFLVKERVSKPPVIISDLIRGGAAEQSGLIQAGDIILAVNGRPLVDLSYDSALEVLRGIASETHVVLILRGPEGFTTHLETTFTGDGTPKTIRVTQPLGPPTKAVDLSHQPPAGKEQPLAVDGASGPGNGPQHAYDDGQEAGSLPHANGLAPRPPGQDPAKKATRVSLQGRGENNELLKEIEPVLSLLTSGSRGVKGGAPAKAEMKDMGIQVDRDLDGKSHKPLPLGVENDRVFNDLWGKGNVPVVLNNPYSEKEQPPTSGKQSPTKNGSPSKCPRFLKVKNWETEVVLTDTLHLKSTLETGCTEYICMGSIMHPSQHARRPEDVRTKGQLFPLAKEFIDQYYSSIKRFGSKAHMERLEEVNKEIDTTSTYQLKDTELIYGAKHAWRNASRCVGRIQWSKLQVFDARDCTTAHGMFNYICNHVKYATNKGNLRSAITIFPQRTDGKHDFRVWNSQLIRYAGYKQPDGSTLGDPANVQFTEICIQQGWKPPRGRFDVLPLLLQANGNDPELFQIPPELVLEVPIRHPKFEWFKDLGLKWYGLPAVSNMLLEIGGLEFSACPFSGWYMGTEIGVRDYCDNSRYNILEEVAKKMNLDMRKTSSLWKDQALVEINIAVLYSFQSDKVTIVDHHSATESFIKHMENEYRCRGGCPADWVWIVPPMSGSITPVFHQEMLNYRLTPSFEYQPDPWNTHVWKGTNGTPTKRRAIGFKKLAEAVKFSAKLMGQAMAKRVKATILYATETGKSQAYAKTLCEIFKHAFDAKVMSMEEYDIVHLEHETLVLVVTSTFGNGDPPENGEKFGCALMEMRHPNSVQEERKSYKVRFNSVSSYSDSQKSSGDGPDLRDNFESAGPLANVRFSVFGLGSRAYPHFCAFGHAVDTLLEELGGERILKMREGDELCGQEEAFRTWAKKVFKAACDVFCVGDDVNIEKANNSLISNDRSWKRNKFRLTFVAEAPELTQGLSNVHKKRVSAARLLSRQNLQSPKSSRSTIFVRLHTNGSQELQYQPGDHLGVFPGNHEDLVNALIERLEDAPPVNQMVKVELLEERNTALGVISNWTDELRLPPCTIFQAFKYYLDITTPPTPLQLQQFASLATSEKEKQRLLVLSKGLQEYEEWKWGKNPTIVEVLEEFPSIQMPATLLLTQLSLLQPRYYSISSSPDMYPDEVHLTVAIVSYRTRDGEGPIHHGVCSSWLNRIQADELVPCFVRGAPSFHLPRNPQVPCILVGPGTGIAPFRSFWQQRQFDIQHKGMNPCPMVLVFGCRQSKIDHIYREETLQAKNKGVFRELYTAYSREPDKPKKYVQDILQEQLAESVYRALKEQGGHIYVCGDVTMAADVLKAIQRIMTQQGKLSAEDAGVFISRMRDDNRYHEDIFGVTLRTYEVTNRLRSESIAFIEESKKDTDEVFSS
+>DECOY_sp|P29475|NOS1_HUMAN Nitric oxide synthase, brain OS=Homo sapiens OX=9606 GN=NOS1 PE=1 SV=2
+SSFVEDTDKKSEEIFAISESRLRNTVEYTRLTVGFIDEHYRNDDRMRSIFVGADEASLKGQQTMIRQIAKLVDAAMTVDGCVYIHGGQEKLARYVSEALQEQLIDQVYKKPKDPERSYATYLERFVGKNKAQLTEERYIHDIKSQRCGFVLVMPCPNMGKHQIDFQRQQWFSRFPAIGTGPGVLICPVQPNRPLHFSPAGRVFCPVLEDAQIRNLWSSCVGHHIPGEGDRTRYSVIAVTLHVEDPYMDPSSSISYYRPQLLSLQTLLLTAPMQISPFEELVEVITPNKGWKWEEYEQLGKSLVLLRQKEKESTALSAFQQLQLPTPPTTIDLYYKFAQFITCPPLRLEDTWNSIVGLATNREELLEVKVMQNVPPADELREILANVLDEHNGPFVGLHDGPQYQLEQSGNTHLRVFITSRSSKPSQLNQRSLLRAASVRKKHVNSLGQTLEPAEAVFTLRFKNRKWSRDNSILSNNAKEINVDDGVCFVDCAAKFVKKAWTRFAEEQGCLEDGERMKLIREGGLEELLTDVAHGFACFHPYARSGLGFVSFRVNALPGASEFNDRLDPGDGSSKQSDSYSSVSNFRVKYSKREEQVSNPHRMEMLACGFKEGNEPPDGNGFTSTVVLVLTEHELHVIDYEEMSMVKADFAHKFIECLTKAYAQSKGTETAYLITAKVRKAMAQGMLKASFKVAEALKKFGIARRKTPTGNTGKWVHTNWPDPQYEFSPTLRYNLMEQHFVPTISGSMPPVIWVWDAPCGGRCRYENEMHKIFSETASHHDVITVKDSQFSYLVAINIEVLAQDKWLSSTKRMDLNMKKAVEELINYRSNDCYDRVGIETGMYWGSFPCASFELGGIELLMNSVAPLGYWKLGLDKFWEFKPHRIPVELVLEPPIQFLEPDNGNAQLLLPLVDFRGRPPKWGQQICIETFQVNAPDGLTSGDPQKYGAYRILQSNWVRFDHKGDTRQPFITIASRLNGKNTAYKVHNCIYNFMGHATTCDRADFVQLKSWQIRGVCRSANRWAHKAGYILETDKLQYTSTTDIEKNVEELREMHAKSGFRKISSYYQDIFEKALPFLQGKTRVDEPRRAHQSPHMISGMCIYETCGTELTSKLHLTDTLVVETEWNKVKLFRPCKSPSGNKTPSQKGSTPPQEKESYPNNLVVPVNGKGWLDNFVRDNEVGLPLPKHSKGDLDRDVQIGMDKMEAKAPAGGKVGRSGSTLLSLVPEIEKLLENNEGRGQLSVRTAKKAPDQGPPRPALGNAHPLSGAEQGDDYAHQPGNGPGSAGDVALPQEKGAPPQHSLDVAKTPPGLPQTVRITKPTGDGTFTTELHTTFGEPGRLILVVHTESAIGRLVELASDYSLDVLPRGNVALIIDGAQILGSQEAAGGRILDSIIVPPKSVREKVLFGLGGVKRKFLRVSIVNPQIQQVGFMHDEM
+>sp|P29474|NOS3_HUMAN Nitric oxide synthase, endothelial OS=Homo sapiens OX=9606 GN=NOS3 PE=1 SV=4
+MGNLKSVAQEPGPPCGLGLGLGLGLCGKQGPATPAPEPSRAPASLLPPAPEHSPPSSPLTQPPEGPKFPRVKNWEVGSITYDTLSAQAQQDGPCTPRRCLGSLVFPRKLQGRPSPGPPAPEQLLSQARDFINQYYSSIKRSGSQAHEQRLQEVEAEVAATGTYQLRESELVFGAKQAWRNAPRCVGRIQWGKLQVFDARDCRSAQEMFTYICNHIKYATNRGNLRSAITVFPQRCPGRGDFRIWNSQLVRYAGYRQQDGSVRGDPANVEITELCIQHGWTPGNGRFDVLPLLLQAPDDPPELFLLPPELVLEVPLEHPTLEWFAALGLRWYALPAVSNMLLEIGGLEFPAAPFSGWYMSTEIGTRNLCDPHRYNILEDVAVCMDLDTRTTSSLWKDKAAVEINVAVLHSYQLAKVTIVDHHAATASFMKHLENEQKARGGCPADWAWIVPPISGSLTPVFHQEMVNYFLSPAFRYQPDPWKGSAAKGTGITRKKTFKEVANAVKISASLMGTVMAKRVKATILYGSETGRAQSYAQQLGRLFRKAFDPRVLCMDEYDVVSLEHETLVLVVTSTFGNGDPPENGESFAAALMEMSGPYNSSPRPEQHKSYKIRFNSISCSDPLVSSWRRKRKESSNTDSAGALGTLRFCVFGLGSRAYPHFCAFARAVDTRLEELGGERLLQLGQGDELCGQEEAFRGWAQAAFQAACETFCVGEDAKAAARDIFSPKRSWKRQRYRLSAQAEGLQLLPGLIHVHRRKMFQATIRSVENLQSSKSTRATILVRLDTGGQEGLQYQPGDHIGVCPPNRPGLVEALLSRVEDPPAPTEPVAVEQLEKGSPGGPPPGWVRDPRLPPCTLRQALTFFLDITSPPSPQLLRLLSTLAEEPREQQELEALSQDPRRYEEWKWFRCPTLLEVLEQFPSVALPAPLLLTQLPLLQPRYYSVSSAPSTHPGEIHLTVAVLAYRTQDGLGPLHYGVCSTWLSQLKPGDPVPCFIRGAPSFRLPPDPSLPCILVGPGTGIAPFRGFWQERLHDIESKGLQPTPMTLVFGCRCSQLDHLYRDEVQNAQQRGVFGRVLTAFSREPDNPKTYVQDILRTELAAEVHRVLCLERGHMFVCGDVTMATNVLQTVQRILATEGDMELDEAGDVIGVLRDQQRYHEDIFGLTLRTQEVTSRIRTQSFSLQERQLRGAVPWAFDPPGSDTNSP
+>DECOY_sp|P29474|NOS3_HUMAN Nitric oxide synthase, endothelial OS=Homo sapiens OX=9606 GN=NOS3 PE=1 SV=4
+PSNTDSGPPDFAWPVAGRLQREQLSFSQTRIRSTVEQTRLTLGFIDEHYRQQDRLVGIVDGAEDLEMDGETALIRQVTQLVNTAMTVDGCVFMHGRELCLVRHVEAALETRLIDQVYTKPNDPERSFATLVRGFVGRQQANQVEDRYLHDLQSCRCGFVLTMPTPQLGKSEIDHLREQWFGRFPAIGTGPGVLICPLSPDPPLRFSPAGRIFCPVPDGPKLQSLWTSCVGYHLPGLGDQTRYALVAVTLHIEGPHTSPASSVSYYRPQLLPLQTLLLPAPLAVSPFQELVELLTPCRFWKWEEYRRPDQSLAELEQQERPEEALTSLLRLLQPSPPSTIDLFFTLAQRLTCPPLRPDRVWGPPPGGPSGKELQEVAVPETPAPPDEVRSLLAEVLGPRNPPCVGIHDGPQYQLGEQGGTDLRVLITARTSKSSQLNEVSRITAQFMKRRHVHILGPLLQLGEAQASLRYRQRKWSRKPSFIDRAAAKADEGVCFTECAAQFAAQAWGRFAEEQGCLEDGQGLQLLREGGLEELRTDVARAFACFHPYARSGLGFVCFRLTGLAGASDTNSSEKRKRRWSSVLPDSCSISNFRIKYSKHQEPRPSSNYPGSMEMLAAAFSEGNEPPDGNGFTSTVVLVLTEHELSVVDYEDMCLVRPDFAKRFLRGLQQAYSQARGTESGYLITAKVRKAMVTGMLSASIKVANAVEKFTKKRTIGTGKAASGKWPDPQYRFAPSLFYNVMEQHFVPTLSGSIPPVIWAWDAPCGGRAKQENELHKMFSATAAHHDVITVKALQYSHLVAVNIEVAAKDKWLSSTTRTDLDMCVAVDELINYRHPDCLNRTGIETSMYWGSFPAAPFELGGIELLMNSVAPLAYWRLGLAAFWELTPHELPVELVLEPPLLFLEPPDDPAQLLLPLVDFRGNGPTWGHQICLETIEVNAPDGRVSGDQQRYGAYRVLQSNWIRFDGRGPCRQPFVTIASRLNGRNTAYKIHNCIYTFMEQASRCDRADFVQLKGWQIRGVCRPANRWAQKAGFVLESERLQYTGTAAVEAEVEQLRQEHAQSGSRKISSYYQNIFDRAQSLLQEPAPPGPSPRGQLKRPFVLSGLCRRPTCPGDQQAQASLTDYTISGVEWNKVRPFKPGEPPQTLPSSPPSHEPAPPLLSAPARSPEPAPTAPGQKGCLGLGLGLGLGCPPGPEQAVSKLNGM
+>sp|P61580|NP10_HUMAN Endogenous retrovirus group K member 10 Np9 protein OS=Homo sapiens OX=9606 GN=ERVK-10 PE=1 SV=1
+MNPSEMQRKGPPRRWCLQVYPTAPKRQRPSRTGHDDDGGFVEKKRGKCGEKQERSNCYCVCVERSRHRRLHFVMC
+>DECOY_sp|P61580|NP10_HUMAN Endogenous retrovirus group K member 10 Np9 protein OS=Homo sapiens OX=9606 GN=ERVK-10 PE=1 SV=1
+CMVFHLRRHRSREVCVCYCNSREQKEGCKGRKKEVFGGDDDHGTRSPRQRKPATPYVQLCWRRPPGKRQMESPNM
+>sp|Q99457|NP1L3_HUMAN Nucleosome assembly protein 1-like 3 OS=Homo sapiens OX=9606 GN=NAP1L3 PE=2 SV=2
+MAEADFKMVSEPVAHGVAEEEMASSTSDSGEESDSSSSSSSTSDSSSSSSTSGSSSGSGSSSSSSGSTSSRSRLYRKKRVPEPSRRARRAPLGTNFVDRLPQAVRNRVQALRNIQDECDKVDTLFLKAIHDLERKYAELNKPLYDRRFQIINAEYEPTEEECEWNSEDEEFSSDEEVQDNTPSEMPPLEGEEEENPKENPEVKAEEKEVPKEIPEVKDEEKEVPKEIPEVKAEEKADSKDCMEATPEVKEDPKEVPQVKADDKEQPKATEAKARAAVRETHKRVPEERLQDSVDLKRARKGKPKREDPKGIPDYWLIVLKNVDKLGPMIQKYDEPILKFLSDVSLKFSKPGQPVSYTFEFHFLPNPYFRNEVLVKTYIIKAKPDHNDPFFSWGWEIEDCKGCKIDWRRGKDVTVTTTQSRTTATGEIEIQPRVVPNASFFNFFSPPEIPMIGKLEPREDAILDEDFEIGQILHDNVILKSIYYYTGEVNGTYYQFGKHYGNKKYRK
+>DECOY_sp|Q99457|NP1L3_HUMAN Nucleosome assembly protein 1-like 3 OS=Homo sapiens OX=9606 GN=NAP1L3 PE=2 SV=2
+KRYKKNGYHKGFQYYTGNVEGTYYYISKLIVNDHLIQGIEFDEDLIADERPELKGIMPIEPPSFFNFFSANPVVRPQIEIEGTATTRSQTTTVTVDKGRRWDIKCGKCDEIEWGWSFFPDNHDPKAKIIYTKVLVENRFYPNPLFHFEFTYSVPQGPKSFKLSVDSLFKLIPEDYKQIMPGLKDVNKLVILWYDPIGKPDERKPKGKRARKLDVSDQLREEPVRKHTERVAARAKAETAKPQEKDDAKVQPVEKPDEKVEPTAEMCDKSDAKEEAKVEPIEKPVEKEEDKVEPIEKPVEKEEAKVEPNEKPNEEEEGELPPMESPTNDQVEEDSSFEEDESNWECEEETPEYEANIIQFRRDYLPKNLEAYKRELDHIAKLFLTDVKDCEDQINRLAQVRNRVAQPLRDVFNTGLPARRARRSPEPVRKKRYLRSRSSTSGSSSSSSGSGSSSGSTSSSSSSDSTSSSSSSSDSEEGSDSTSSAMEEEAVGHAVPESVMKFDAEAM
+>sp|P61581|NP24_HUMAN Endogenous retrovirus group K member 24 Np9 protein OS=Homo sapiens OX=9606 GN=ERVK-24 PE=1 SV=1
+MNPSEMQRKGPPRRWCLQVYPTAPKRQRPSRTGHDDDGGFVEKKRGKCGEKQERSDCYCVCVERSRHRRLHFVMC
+>DECOY_sp|P61581|NP24_HUMAN Endogenous retrovirus group K member 24 Np9 protein OS=Homo sapiens OX=9606 GN=ERVK-24 PE=1 SV=1
+CMVFHLRRHRSREVCVCYCDSREQKEGCKGRKKEVFGGDDDHGTRSPRQRKPATPYVQLCWRRPPGKRQMESPNM
+>sp|A6NHN6|NPB15_HUMAN Nuclear pore complex-interacting protein family member B15 OS=Homo sapiens OX=9606 GN=NPIPB15 PE=3 SV=3
+MRLRFWLLIWLLLGFISHQPTPVINSLAVYRHRETDFGVGVRDHPGQHGKTPSPQKLDNLIIIIIGFLRRDTFTILFCTSYLCVSFLKTIFWSRNGHDGSTDVQQRAWRSNRSRQKGLRSICMHTKKRVSSFRGNKIGLKDVITLRRHVETKVRAKIRKRKVTTKINRHDKINGKRKTARKQKMFQRAQELRRRAEDYHKCKIPPSARKPLCNWVRMAAAEHRHSSGLPCWPYLTAEALKNRMGRQPPPPTQQHSITDNSLSLKTPPECLLHPLPPSVDDNIKECPLAPLPPSVDDNLKEYLLVPLPPSPLPPSVDDNLKDCLFVPLPPSPLPPSVDDNLKTPPLATQEAEAEKPPKPKRWRVDEVEQSPKPKRRRADEVEQSPKPKRQREAEAQQLPKPKRRRLSKLRTRHCTQAWAIRINPWVEKKKKIKKQNKTHAPKTN
+>DECOY_sp|A6NHN6|NPB15_HUMAN Nuclear pore complex-interacting protein family member B15 OS=Homo sapiens OX=9606 GN=NPIPB15 PE=3 SV=3
+NTKPAHTKNQKKIKKKKEVWPNIRIAWAQTCHRTRLKSLRRRKPKPLQQAEAERQRKPKPSQEVEDARRRKPKPSQEVEDVRWRKPKPPKEAEAEQTALPPTKLNDDVSPPLPSPPLPVFLCDKLNDDVSPPLPSPPLPVLLYEKLNDDVSPPLPALPCEKINDDVSPPLPHLLCEPPTKLSLSNDTISHQQTPPPPQRGMRNKLAEATLYPWCPLGSSHRHEAAAMRVWNCLPKRASPPIKCKHYDEARRRLEQARQFMKQKRATKRKGNIKDHRNIKTTVKRKRIKARVKTEVHRRLTIVDKLGIKNGRFSSVRKKTHMCISRLGKQRSRNSRWARQQVDTSGDHGNRSWFITKLFSVCLYSTCFLITFTDRRLFGIIIIILNDLKQPSPTKGHQGPHDRVGVGFDTERHRYVALSNIVPTPQHSIFGLLLWILLWFRLRM
+>sp|O60500|NPHN_HUMAN Nephrin OS=Homo sapiens OX=9606 GN=NPHS1 PE=1 SV=1
+MALGTTLRASLLLLGLLTEGLAQLAIPASVPRGFWALPENLTVVEGASVELRCGVSTPGSAVQWAKDGLLLGPDPRIPGFPRYRLEGDPARGEFHLHIEACDLSDDAEYECQVGRSEMGPELVSPRVILSILVPPKLLLLTPEAGTMVTWVAGQEYVVNCVSGDAKPAPDITILLSGQTISDISANVNEGSQQKLFTVEATARVTPRSSDNRQLLVCEASSPALEAPIKASFTVNVLFPPGPPVIEWPGLDEGHVRAGQSLELPCVARGGNPLATLQWLKNGQPVSTAWGTEHTQAVARSVLVMTVRPEDHGAQLSCEAHNSVSAGTQEHGITLQVTFPPSAIIILGSASQTENKNVTLSCVSKSSRPRVLLRWWLGWRQLLPMEETVMDGLHGGHISMSNLTFLARREDNGLTLTCEAFSEAFTKETFKKSLILNVKYPAQKLWIEGPPEGQKLRAGTRVRLVCLAIGGNPEPSLMWYKDSRTVTESRLPQESRRVHLGSVEKSGSTFSRELVLVTGPSDNQAKFTCKAGQLSASTQLAVQFPPTNVTILANASALRPGDALNLTCVSVSSNPPVNLSWDKEGERLEGVAAPPRRAPFKGSAAARSVLLQVSSRDHGQRVTCRAHSAELRETVSSFYRLNVLYRPEFLGEQVLVVTAVEQGEALLPVSVSANPAPEAFNWTFRGYRLSPAGGPRHRILSSGALHLWNVTRADDGLYQLHCQNSEGTAEARLRLDVHYAPTIRALQDPTEVNVGGSVDIVCTVDANPILPGMFNWERLGEDEEDQSLDDMEKISRGPTGRLRIHHAKLAQAGAYQCIVDNGVAPPARRLLRLVVRFAPQVEHPTPLTKVAAAGDSTSSATLHCRARGVPNIVFTWTKNGVPLDLQDPRYTEHTYHQGGVHSSLLTIANVSAAQDYALFTCTATNALGSDQTNIQLVSISRPDPPSGLKVVSLTPHSVGLEWKPGFDGGLPQRFCIRYEALGTPGFHYVDVVPPQATTFTLTGLQPSTRYRVWLLASNALGDSGLADKGTQLPITTPGLHQPSGEPEDQLPTEPPSGPSGLPLLPVLFALGGLLLLSNASCVGGVLWQRRLRRLAEGISEKTEAGSEEDRVRNEYEESQWTGERDTQSSTVSTTEAEPYYRSLRDFSPQLPPTQEEVSYSRGFTGEDEDMAFPGHLYDEVERTYPPSGAWGPLYDEVQMGPWDLHWPEDTYQDPRGIYDQVAGDLDTLEPDSLPFELRGHLV
+>DECOY_sp|O60500|NPHN_HUMAN Nephrin OS=Homo sapiens OX=9606 GN=NPHS1 PE=1 SV=1
+VLHGRLEFPLSDPELTDLDGAVQDYIGRPDQYTDEPWHLDWPGMQVEDYLPGWAGSPPYTREVEDYLHGPFAMDEDEGTFGRSYSVEEQTPPLQPSFDRLSRYYPEAETTSVTSSQTDREGTWQSEEYENRVRDEESGAETKESIGEALRRLRRQWLVGGVCSANSLLLLGGLAFLVPLLPLGSPGSPPETPLQDEPEGSPQHLGPTTIPLQTGKDALGSDGLANSALLWVRYRTSPQLGTLTFTTAQPPVVDVYHFGPTGLAEYRICFRQPLGGDFGPKWELGVSHPTLSVVKLGSPPDPRSISVLQINTQDSGLANTATCTFLAYDQAASVNAITLLSSHVGGQHYTHETYRPDQLDLPVGNKTWTFVINPVGRARCHLTASSTSDGAAAVKTLPTPHEVQPAFRVVLRLLRRAPPAVGNDVICQYAGAQALKAHHIRLRGTPGRSIKEMDDLSQDEEDEGLREWNFMGPLIPNADVTCVIDVSGGVNVETPDQLARITPAYHVDLRLRAEATGESNQCHLQYLGDDARTVNWLHLAGSSLIRHRPGGAPSLRYGRFTWNFAEPAPNASVSVPLLAEGQEVATVVLVQEGLFEPRYLVNLRYFSSVTERLEASHARCTVRQGHDRSSVQLLVSRAAASGKFPARRPPAAVGELREGEKDWSLNVPPNSSVSVCTLNLADGPRLASANALITVNTPPFQVALQTSASLQGAKCTFKAQNDSPGTVLVLERSFTSGSKEVSGLHVRRSEQPLRSETVTRSDKYWMLSPEPNGGIALCVLRVRTGARLKQGEPPGEIWLKQAPYKVNLILSKKFTEKTFAESFAECTLTLGNDERRALFTLNSMSIHGGHLGDMVTEEMPLLQRWGLWWRLLVRPRSSKSVCSLTVNKNETQSASGLIIIASPPFTVQLTIGHEQTGASVSNHAECSLQAGHDEPRVTMVLVSRAVAQTHETGWATSVPQGNKLWQLTALPNGGRAVCPLELSQGARVHGEDLGPWEIVPPGPPFLVNVTFSAKIPAELAPSSAECVLLQRNDSSRPTVRATAEVTFLKQQSGENVNASIDSITQGSLLITIDPAPKADGSVCNVVYEQGAVWTVMTGAEPTLLLLKPPVLISLIVRPSVLEPGMESRGVQCEYEADDSLDCAEIHLHFEGRAPDGELRYRPFGPIRPDPGLLLGDKAWQVASGPTSVGCRLEVSAGEVVTLNEPLAWFGRPVSAPIALQALGETLLGLLLLSARLTTGLAM
+>sp|Q7Z494|NPHP3_HUMAN Nephrocystin-3 OS=Homo sapiens OX=9606 GN=NPHP3 PE=1 SV=1
+MGTASSLVSPAGGEVIEDTYGAGGGEACEIPVEVKPKARLLRNSFRRGAGAAAGAGPGSLPRGVGAGGLLGASFKSTGSSVPELEYAAAEYERLRKEYEIFRVSKNQELLSMGRREAKLDTENKRLRAELQALQKTYQKILREKESALEAKYQAMERAATFEHDRDKVKRQFKIFRETKENEIQDLLRAKRELESKLQRLQAQGIQVFDPGESDSDDNCTDVTAAGTQCEYWTGGALGSEPSIGSMIQLQQSFRGPEFAHSSIDVEGPFANVNRDDWDIAVASLLQVTPLFSHSLWSNTVRCYLIYTDETQPEMDLFLKDYSPKLKRMCETMGYFFHAVYFPIDVENQYLTVRKWEIEKSSLVILFIHLTLPSLLLEDCEEAFLKNPEGKPRLIFHRLEDGKVSSDSVQQLIDQVSNLNKTSKAKIIDHSGDPAEGVYKTYICVEKIIKQDILGFENTDLETKDLGSEDSIPEEDDFGDVLWDIHDEQEQMETFQQASNSAHELGFEKYYQRLNDLVAAPAPIPPLLVSGGPGSGKSLLLSKWIQLQQKNSPNTLILSHFVGRPMSTSSESSLIIKRLTLKLMQHSWSVSALTLDPAKLLEEFPRWLEKLSARHQGSIIIVIDSIDQVQQVEKHMKWLIDPLPVNVRVIVSVNVETCPPAWRLWPTLHLDPLSPKDAKSIIIAECHSVDIKLSKEQEKKLERHCRSATTCNALYVTLFGKMIARAGRAGNLDKILHQCFQCQDTLSLYRLVLHSIRESMANDVDKELMKQILCLVNVSHNGVSESELMELYPEMSWTFLTSLIHSLYKMCLLTYGCGLLRFQHLQAWETVRLEYLEGPTVTSSYRQKLINYFTLQLSQDRVTWRSADELPWLFQQQGSKQKLHDCLLNLFVSQNLYKRGHFAELLSYWQFVGKDKSAMATEYFDSLKQYEKNCEGEDNMSCLADLYETLGRFLKDLGLLSQAIVPLQRSLEIRETALDPDHPRVAQSLHQLASVYVQWKKFGNAEQLYKQALEISENAYGADHPYTARELEALATLYQKQNKYEQAEHFRKKSFKIHQKAIKKKGNLYGFALLRRRALQLEELTLGKDTPDNARTLNELGVLYYLQNNLETADQFLKRSLEMRERVLGPDHPDCAQSLNNLAALCNEKKQYDKAEELYERALDIRRRALAPDHPSLAYTVKHLAILYKKMGKLDKAVPLYELAVEIRQKSFGPKHPSVATALVNLAVLYSQMKKHVEALPLYERALKIYEDSLGRMHPRVGETLKNLAVLSYEGGDFEKAAELYKRAMEIKEAETSLLGGKAPSRHSSSGDTFSLKTAHSPNVFLQQGQR
+>DECOY_sp|Q7Z494|NPHP3_HUMAN Nephrocystin-3 OS=Homo sapiens OX=9606 GN=NPHP3 PE=1 SV=1
+RQGQQLFVNPSHATKLSFTDGSSSHRSPAKGGLLSTEAEKIEMARKYLEAAKEFDGGEYSLVALNKLTEGVRPHMRGLSDEYIKLAREYLPLAEVHKKMQSYLVALNVLATAVSPHKPGFSKQRIEVALEYLPVAKDLKGMKKYLIALHKVTYALSPHDPALARRRIDLAREYLEEAKDYQKKENCLAALNNLSQACDPHDPGLVRERMELSRKLFQDATELNNQLYYLVGLENLTRANDPTDKGLTLEELQLARRRLLAFGYLNGKKKIAKQHIKFSKKRFHEAQEYKNQKQYLTALAELERATYPHDAGYANESIELAQKYLQEANGFKKWQVYVSALQHLSQAVRPHDPDLATERIELSRQLPVIAQSLLGLDKLFRGLTEYLDALCSMNDEGECNKEYQKLSDFYETAMASKDKGVFQWYSLLEAFHGRKYLNQSVFLNLLCDHLKQKSGQQQFLWPLEDASRWTVRDQSLQLTFYNILKQRYSSTVTPGELYELRVTEWAQLHQFRLLGCGYTLLCMKYLSHILSTLFTWSMEPYLEMLESESVGNHSVNVLCLIQKMLEKDVDNAMSERISHLVLRYLSLTDQCQFCQHLIKDLNGARGARAIMKGFLTVYLANCTTASRCHRELKKEQEKSLKIDVSHCEAIIISKADKPSLPDLHLTPWLRWAPPCTEVNVSVIVRVNVPLPDILWKMHKEVQQVQDISDIVIIISGQHRASLKELWRPFEELLKAPDLTLASVSWSHQMLKLTLRKIILSSESSTSMPRGVFHSLILTNPSNKQQLQIWKSLLLSKGSGPGGSVLLPPIPAPAAVLDNLRQYYKEFGLEHASNSAQQFTEMQEQEDHIDWLVDGFDDEEPISDESGLDKTELDTNEFGLIDQKIIKEVCIYTKYVGEAPDGSHDIIKAKSTKNLNSVQDILQQVSDSSVKGDELRHFILRPKGEPNKLFAEECDELLLSPLTLHIFLIVLSSKEIEWKRVTLYQNEVDIPFYVAHFFYGMTECMRKLKPSYDKLFLDMEPQTEDTYILYCRVTNSWLSHSFLPTVQLLSAVAIDWDDRNVNAFPGEVDISSHAFEPGRFSQQLQIMSGISPESGLAGGTWYECQTGAATVDTCNDDSDSEGPDFVQIGQAQLRQLKSELERKARLLDQIENEKTERFIKFQRKVKDRDHEFTAAREMAQYKAELASEKERLIKQYTKQLAQLEARLRKNETDLKAERRGMSLLEQNKSVRFIEYEKRLREYEAAAYELEPVSSGTSKFSAGLLGGAGVGRPLSGPGAGAAAGAGRRFSNRLLRAKPKVEVPIECAEGGGAGYTDEIVEGGAPSVLSSATGM
+>sp|F8WFD2|NPIA3_HUMAN Nuclear pore complex-interacting protein family member A3 OS=Homo sapiens OX=9606 GN=NPIPA3 PE=3 SV=1
+MFCCLGYEWLSGGCKTWHSAWVINTLADHRHRGTDFGGSPWLLIITVFLRSYKFAISLCTSYLCVSFLKTIFPSQNGHDGSTDVQQRARRSNRRRQEGIKIVLEDIFTLWRQVETKVRAKICKMKVTTKVNRHDKINGKRKTAKEHLRKLSMKEREHGEKERQVSEAEENGKLDMKEIHTYMEMFQRAQALRRRAEDYYRCKITPSARKPLCNRVRMAAAEHRHSSGLPYWPYLTAETLKNRMGHQPPPPTQQHSIIDNSLSLKTPPECLLTPLPPSALPSADDNLKTPAECLLYPLPPSADDNLKTPPECLLTPLPPSAPPSVDDNLKTPPKCVCSLPFHPQRMIISRN
+>DECOY_sp|F8WFD2|NPIA3_HUMAN Nuclear pore complex-interacting protein family member A3 OS=Homo sapiens OX=9606 GN=NPIPA3 PE=3 SV=1
+NRSIIMRQPHFPLSCVCKPPTKLNDDVSPPASPPLPTLLCEPPTKLNDDASPPLPYLLCEAPTKLNDDASPLASPPLPTLLCEPPTKLSLSNDIISHQQTPPPPQHGMRNKLTEATLYPWYPLGSSHRHEAAAMRVRNCLPKRASPTIKCRYYDEARRRLAQARQFMEMYTHIEKMDLKGNEEAESVQREKEGHEREKMSLKRLHEKATKRKGNIKDHRNVKTTVKMKCIKARVKTEVQRWLTFIDELVIKIGEQRRRNSRRARQQVDTSGDHGNQSPFITKLFSVCLYSTCLSIAFKYSRLFVTIILLWPSGGFDTGRHRHDALTNIVWASHWTKCGGSLWEYGLCCFM
+>sp|F8W1W9|NPIB9_HUMAN Nuclear pore complex-interacting protein family member B9 OS=Homo sapiens OX=9606 GN=NPIPB9 PE=3 SV=1
+MPMRVPEEVTLRNPGSSGRKERPEAGTGSWLGRTRNQVINSLAVYRHRETDFGVGVRDHPGQHGKTPSPQKLDNLIIIIIGFLRRYTFNILFCTSCLCVSFLKTIFWSRNGHDGSMDVQQRAWRSNRSRQKGLRSICMHTKKRVSSFRGNKIGLKDVITLRRHVETKVRAKIRKRKVTTKINRHDKINGKRKTARKQKMFQRAQELRRRAEDYHKCKIPPSARKPLCNWVRMAAAEHRHSSGLPYWLYLTAETLKNRMGRQPPPPTQQHSITDNSLSLKTPPECLLTPLPPSVDDNIKECPLAPLPPSPLPPSVDDNLKECLFVPLPPSPLPPSVDDNLKECLFVPLPPSPLPPSVDDNLKTPPLATQEAEVEKPPKPKRWRVDEVEQSPKPKRQREAEAQQLPKPKRRRLSKLRTRHCTQAWAIRINP
+>DECOY_sp|F8W1W9|NPIB9_HUMAN Nuclear pore complex-interacting protein family member B9 OS=Homo sapiens OX=9606 GN=NPIPB9 PE=3 SV=1
+PNIRIAWAQTCHRTRLKSLRRRKPKPLQQAEAERQRKPKPSQEVEDVRWRKPKPPKEVEAEQTALPPTKLNDDVSPPLPSPPLPVFLCEKLNDDVSPPLPSPPLPVFLCEKLNDDVSPPLPSPPLPALPCEKINDDVSPPLPTLLCEPPTKLSLSNDTISHQQTPPPPQRGMRNKLTEATLYLWYPLGSSHRHEAAAMRVWNCLPKRASPPIKCKHYDEARRRLEQARQFMKQKRATKRKGNIKDHRNIKTTVKRKRIKARVKTEVHRRLTIVDKLGIKNGRFSSVRKKTHMCISRLGKQRSRNSRWARQQVDMSGDHGNRSWFITKLFSVCLCSTCFLINFTYRRLFGIIIIILNDLKQPSPTKGHQGPHDRVGVGFDTERHRYVALSNIVQNRTRGLWSGTGAEPREKRGSSGPNRLTVEEPVRMPM
+>sp|Q86SE8|NPM2_HUMAN Nucleoplasmin-2 OS=Homo sapiens OX=9606 GN=NPM2 PE=1 SV=1
+MNLSSASSTEEKAVTTVLWGCELSQERRTWTFRPQLEGKQSCRLLLHTICLGEKAKEEMHRVEILPPANQEDKKMQPVTIASLQASVLPMVSMVGVQLSPPVTFQLRAGSGPVFLSGQERYEASDLTWEEEEEEEGEEEEEEEEDDEDEDADISLEEQSPVKQVKRLVPQKQASVAKKKKLEKEEEEIRASVRDKSPVKKAKATARAKKPGFKK
+>DECOY_sp|Q86SE8|NPM2_HUMAN Nucleoplasmin-2 OS=Homo sapiens OX=9606 GN=NPM2 PE=1 SV=1
+KKFGPKKARATAKAKKVPSKDRVSARIEEEEKELKKKKAVSAQKQPVLRKVQKVPSQEELSIDADEDEDDEEEEEEEEGEEEEEEEWTLDSAEYREQGSLFVPGSGARLQFTVPPSLQVGVMSVMPLVSAQLSAITVPQMKKDEQNAPPLIEVRHMEEKAKEGLCITHLLLRCSQKGELQPRFTWTRREQSLECGWLVTTVAKEETSSASSLNM
+>sp|P0C0P6|NPS_HUMAN Neuropeptide S OS=Homo sapiens OX=9606 GN=NPS PE=3 SV=1
+MISSVKLNLILVLSLSTMHVFWCYPVPSSKVSGKSDYFLILLNSCPTRLDRSKELAFLKPILEKMFVKRSFRNGVGTGMKKTSFQRAKS
+>DECOY_sp|P0C0P6|NPS_HUMAN Neuropeptide S OS=Homo sapiens OX=9606 GN=NPS PE=3 SV=1
+SKARQFSTKKMGTGVGNRFSRKVFMKELIPKLFALEKSRDLRTPCSNLLILFYDSKGSVKSSPVPYCWFVHMTSLSLVLILNLKVSSIM
+>sp|O95436|NPT2B_HUMAN Sodium-dependent phosphate transport protein 2B OS=Homo sapiens OX=9606 GN=SLC34A2 PE=1 SV=3
+MAPWPELGDAQPNPDKYLEGAAGQQPTAPDKSKETNKTDNTEAPVTKIELLPSYSTATLIDEPTEVDDPWNLPTLQDSGIKWSERDTKGKILCFFQGIGRLILLLGFLYFFVCSLDILSSAFQLVGGKMAGQFFSNSSIMSNPLLGLVIGVLVTVLVQSSSTSTSIVVSMVSSSLLTVRAAIPIIMGANIGTSITNTIVALMQVGDRSEFRRAFAGATVHDFFNWLSVLVLLPVEVATHYLEIITQLIVESFHFKNGEDAPDLLKVITKPFTKLIVQLDKKVISQIAMNDEKAKNKSLVKIWCKTFTNKTQINVTVPSTANCTSPSLCWTDGIQNWTMKNVTYKENIAKCQHIFVNFHLPDLAVGTILLILSLLVLCGCLIMIVKILGSVLKGQVATVIKKTINTDFPFPFAWLTGYLAILVGAGMTFIVQSSSVFTSALTPLIGIGVITIERAYPLTLGSNIGTTTTAILAALASPGNALRSSLQIALCHFFFNISGILLWYPIPFTRLPIRMAKGLGNISAKYRWFAVFYLIIFFFLIPLTVFGLSLAGWRVLVGVGVPVVFIIILVLCLRLLQSRCPRVLPKKLQNWNFLPLWMRSLKPWDAVVSKFTGCFQMRCCCCCRVCCRACCLLCDCPKCCRCSKCCEDLEEAQEGQDVPVKAPETFDNITISREAQGEVPASDSKTECTAL
+>DECOY_sp|O95436|NPT2B_HUMAN Sodium-dependent phosphate transport protein 2B OS=Homo sapiens OX=9606 GN=SLC34A2 PE=1 SV=3
+LATCETKSDSAPVEGQAERSITINDFTEPAKVPVDQGEQAEELDECCKSCRCCKPCDCLLCCARCCVRCCCCCRMQFCGTFKSVVADWPKLSRMWLPLFNWNQLKKPLVRPCRSQLLRLCLVLIIIFVVPVGVGVLVRWGALSLGFVTLPILFFFIILYFVAFWRYKASINGLGKAMRIPLRTFPIPYWLLIGSINFFFHCLAIQLSSRLANGPSALAALIATTTTGINSGLTLPYAREITIVGIGILPTLASTFVSSSQVIFTMGAGVLIALYGTLWAFPFPFDTNITKKIVTAVQGKLVSGLIKVIMILCGCLVLLSLILLITGVALDPLHFNVFIHQCKAINEKYTVNKMTWNQIGDTWCLSPSTCNATSPVTVNIQTKNTFTKCWIKVLSKNKAKEDNMAIQSIVKKDLQVILKTFPKTIVKLLDPADEGNKFHFSEVILQTIIELYHTAVEVPLLVLVSLWNFFDHVTAGAFARRFESRDGVQMLAVITNTISTGINAGMIIPIAARVTLLSSSVMSVVISTSTSSSQVLVTVLVGIVLGLLPNSMISSNSFFQGAMKGGVLQFASSLIDLSCVFFYLFGLLLILRGIGQFFCLIKGKTDRESWKIGSDQLTPLNWPDDVETPEDILTATSYSPLLEIKTVPAETNDTKNTEKSKDPATPQQGAAGELYKDPNPQADGLEPWPAM
+>sp|O00476|NPT4_HUMAN Sodium-dependent phosphate transport protein 4 OS=Homo sapiens OX=9606 GN=SLC17A3 PE=1 SV=2
+MATKTELSPTARESKNAQDMQVDETLIPRKVPSLCSARYGIALVLHFCNFTTIAQNVIMNITMVAMVNSTSPQSQLNDSSEVLPVDSFGGLSKAPKSLPAKSSILGGQFAIWEKWGPPQERSRLCSIALSGMLLGCFTAILIGGFISETLGWPFVFYIFGGVGCVCCLLWFVVIYDDPVSYPWISTSEKEYIISSLKQQVGSSKQPLPIKAMLRSLPIWSICLGCFSHQWLVSTMVVYIPTYISSVYHVNIRDNGLLSALPFIVAWVIGMVGGYLADFLLTKKFRLITVRKIATILGSLPSSALIVSLPYLNSGYITATALLTLSCGLSTLCQSGIYINVLDIAPRYSSFLMGASRGFSSIAPVIVPTVSGFLLSQDPEFGWRNVFFLLFAVNLLGLLFYLIFGEADVQEWAKERKLTRL
+>DECOY_sp|O00476|NPT4_HUMAN Sodium-dependent phosphate transport protein 4 OS=Homo sapiens OX=9606 GN=SLC17A3 PE=1 SV=2
+LRTLKREKAWEQVDAEGFILYFLLGLLNVAFLLFFVNRWGFEPDQSLLFGSVTPVIVPAISSFGRSAGMLFSSYRPAIDLVNIYIGSQCLTSLGCSLTLLATATIYGSNLYPLSVILASSPLSGLITAIKRVTILRFKKTLLFDALYGGVMGIVWAVIFPLASLLGNDRINVHYVSSIYTPIYVVMTSVLWQHSFCGLCISWIPLSRLMAKIPLPQKSSGVQQKLSSIIYEKESTSIWPYSVPDDYIVVFWLLCCVCGVGGFIYFVFPWGLTESIFGGILIATFCGLLMGSLAISCLRSREQPPGWKEWIAFQGGLISSKAPLSKPAKSLGGFSDVPLVESSDNLQSQPSTSNVMAVMTINMIVNQAITTFNCFHLVLAIGYRASCLSPVKRPILTEDVQMDQANKSERATPSLETKTAM
+>sp|P47972|NPTX2_HUMAN Neuronal pentraxin-2 OS=Homo sapiens OX=9606 GN=NPTX2 PE=1 SV=2
+MLALLAASVALAVAAGAQDSPAPGSRFVCTALPPEAVHAGCPLPAMPMQGGAQSPEEELRAAVLQLRETVVQQKETLGAQREAIRELTGKLARCEGLAGGKARGAGATGKDTMGDLPRDPGHVVEQLSRSLQTLKDRLESLEHQLRANVSNAGLPGDFREVLQQRLGELERQLLRKVAELEDEKSLLHNETSAHRQKTESTLNALLQRVTELERGNSAFKSPDAFKVSLPLRTNYLYGKIKKTLPELYAFTICLWLRSSASPGIGTPFSYAVPGQANEIVLIEWGNNPIELLINDKVAQLPLFVSDGKWHHICVTWTTRDGMWEAFQDGEKLGTGENLAPWHPIKPGGVLILGQEQDTVGGRFDATQAFVGELSQFNIWDRVLRAQEIVNIANCSTNMPGNIIPWVDNNVDVFGGASKWPVETCEERLLDL
+>DECOY_sp|P47972|NPTX2_HUMAN Neuronal pentraxin-2 OS=Homo sapiens OX=9606 GN=NPTX2 PE=1 SV=2
+LDLLREECTEVPWKSAGGFVDVNNDVWPIINGPMNTSCNAINVIEQARLVRDWINFQSLEGVFAQTADFRGGVTDQEQGLILVGGPKIPHWPALNEGTGLKEGDQFAEWMGDRTTWTVCIHHWKGDSVFLPLQAVKDNILLEIPNNGWEILVIENAQGPVAYSFPTGIGPSASSRLWLCITFAYLEPLTKKIKGYLYNTRLPLSVKFADPSKFASNGRELETVRQLLANLTSETKQRHASTENHLLSKEDELEAVKRLLQRELEGLRQQLVERFDGPLGANSVNARLQHELSELRDKLTQLSRSLQEVVHGPDRPLDGMTDKGTAGAGRAKGGALGECRALKGTLERIAERQAGLTEKQQVVTERLQLVAARLEEEPSQAGGQMPMAPLPCGAHVAEPPLATCVFRSGPAPSDQAGAAVALAVSAALLALM
+>sp|P49146|NPY2R_HUMAN Neuropeptide Y receptor type 2 OS=Homo sapiens OX=9606 GN=NPY2R PE=1 SV=1
+MGPIGAEADENQTVEEMKVEQYGPQTTPRGELVPDPEPELIDSTKLIEVQVVLILAYCSIILLGVIGNSLVIHVVIKFKSMRTVTNFFIANLAVADLLVNTLCLPFTLTYTLMGEWKMGPVLCHLVPYAQGLAVQVSTITLTVIALDRHRCIVYHLESKISKRISFLIIGLAWGISALLASPLAIFREYSLIEIIPDFEIVACTEKWPGEEKSIYGTVYSLSSLLILYVLPLGIISFSYTRIWSKLKNHVSPGAANDHYHQRRQKTTKMLVCVVVVFAVSWLPLHAFQLAVDIDSQVLDLKEYKLIFTVFHIIAMCSTFANPLLYGWMNSNYRKAFLSAFRCEQRLDAIHSEVSVTFKAKKNLEVRKNSGPNDSFTEATNV
+>DECOY_sp|P49146|NPY2R_HUMAN Neuropeptide Y receptor type 2 OS=Homo sapiens OX=9606 GN=NPY2R PE=1 SV=1
+VNTAETFSDNPGSNKRVELNKKAKFTVSVESHIADLRQECRFASLFAKRYNSNMWGYLLPNAFTSCMAIIHFVTFILKYEKLDLVQSDIDVALQFAHLPLWSVAFVVVVCVLMKTTKQRRQHYHDNAAGPSVHNKLKSWIRTYSFSIIGLPLVYLILLSSLSYVTGYISKEEGPWKETCAVIEFDPIIEILSYERFIALPSALLASIGWALGIILFSIRKSIKSELHYVICRHRDLAIVTLTITSVQVALGQAYPVLHCLVPGMKWEGMLTYTLTFPLCLTNVLLDAVALNAIFFNTVTRMSKFKIVVHIVLSNGIVGLLIISCYALILVVQVEILKTSDILEPEPDPVLEGRPTTQPGYQEVKMEEVTQNEDAEAGIPGM
+>sp|O75469|NR1I2_HUMAN Nuclear receptor subfamily 1 group I member 2 OS=Homo sapiens OX=9606 GN=NR1I2 PE=1 SV=1
+MEVRPKESWNHADFVHCEDTESVPGKPSVNADEEVGGPQICRVCGDKATGYHFNVMTCEGCKGFFRRAMKRNARLRCPFRKGACEITRKTRRQCQACRLRKCLESGMKKEMIMSDEAVEERRALIKRKKSERTGTQPLGVQGLTEEQRMMIRELMDAQMKTFDTTFSHFKNFRLPGVLSSGCELPESLQAPSREEAAKWSQVRKDLCSLKVSLQLRGEDGSVWNYKPPADSGGKEIFSLLPHMADMSTYMFKGIISFAKVISYFRDLPIEDQISLLKGAAFELCQLRFNTVFNAETGTWECGRLSYCLEDTAGGFQQLLLEPMLKFHYMLKKLQLHEEEYVLMQAISLFSPDRPGVLQHRVVDQLQEQFAITLKSYIECNRPQPAHRFLFLKIMAMLTELRSINAQHTQRLLRIQDIHPFATPLMQELFGITGS
+>DECOY_sp|O75469|NR1I2_HUMAN Nuclear receptor subfamily 1 group I member 2 OS=Homo sapiens OX=9606 GN=NR1I2 PE=1 SV=1
+SGTIGFLEQMLPTAFPHIDQIRLLRQTHQANISRLETLMAMIKLFLFRHAPQPRNCEIYSKLTIAFQEQLQDVVRHQLVGPRDPSFLSIAQMLVYEEEHLQLKKLMYHFKLMPELLLQQFGGATDELCYSLRGCEWTGTEANFVTNFRLQCLEFAAGKLLSIQDEIPLDRFYSIVKAFSIIGKFMYTSMDAMHPLLSFIEKGGSDAPPKYNWVSGDEGRLQLSVKLSCLDKRVQSWKAAEERSPAQLSEPLECGSSLVGPLRFNKFHSFTTDFTKMQADMLERIMMRQEETLGQVGLPQTGTRESKKRKILARREEVAEDSMIMEKKMGSELCKRLRCAQCQRRTKRTIECAGKRFPCRLRANRKMARRFFGKCGECTMVNFHYGTAKDGCVRCIQPGGVEEDANVSPKGPVSETDECHVFDAHNWSEKPRVEM
+>sp|Q8N912|NRAC_HUMAN Nutritionally-regulated adipose and cardiac enriched protein homolog OS=Homo sapiens OX=9606 GN=NRAC PE=1 SV=1
+MRTAAGAVSPDSRPETRRQTRKNEEAAWGPRVCRAEREDNRKCPPSILKRSRPEHHRPEAKPQRTSRRVWFREPPAVTVHYIADKNATATVRVPGRPRPHGGSLLLQLCVCVLLVLALGLYCGRAKPVATALEDLRARLLGLVLHLRHVALTCWRGLLRL
+>DECOY_sp|Q8N912|NRAC_HUMAN Nutritionally-regulated adipose and cardiac enriched protein homolog OS=Homo sapiens OX=9606 GN=NRAC PE=1 SV=1
+LRLLGRWCTLAVHRLHLVLGLLRARLDELATAVPKARGCYLGLALVLLVCVCLQLLLSGGHPRPRGPVRVTATANKDAIYHVTVAPPERFWVRRSTRQPKAEPRHHEPRSRKLISPPCKRNDEREARCVRPGWAAEENKRTQRRTEPRSDPSVAGAATRM
+>sp|P49279|NRAM1_HUMAN Natural resistance-associated macrophage protein 1 OS=Homo sapiens OX=9606 GN=SLC11A1 PE=2 SV=1
+MTGDKGPQRLSGSSYGSISSPTSPTSPGPQQAPPRETYLSEKIPIPDTKPGTFSLRKLWAFTGPGFLMSIAFLDPGNIESDLQAGAVAGFKLLWVLLWATVLGLLCQRLAARLGVVTGKDLGEVCHLYYPKVPRTVLWLTIELAIVGSDMQEVIGTAIAFNLLSAGRIPLWGGVLITIVDTFFFLFLDNYGLRKLEAFFGLLITIMALTFGYEYVVARPEQGALLRGLFLPSCPGCGHPELLQAVGIVGAIIMPHNIYLHSALVKSREIDRARRADIREANMYFLIEATIALSVSFIINLFVMAVFGQAFYQKTNQAAFNICANSSLHDYAKIFPMNNATVAVDIYQGGVILGCLFGPAALYIWAIGLLAAGQSSTMTGTYAGQFVMEGFLRLRWSRFARVLLTRSCAILPTVLVAVFRDLRDLSGLNDLLNVLQSLLLPFAVLPILTFTSMPTLMQEFANGLLNKVVTSSIMVLVCAINLYFVVSYLPSLPHPAYFGLAALLAAAYLGLSTYLVWTCCLAHGATFLAHSSHHHFLYGLLEEDQKGETSG
+>DECOY_sp|P49279|NRAM1_HUMAN Natural resistance-associated macrophage protein 1 OS=Homo sapiens OX=9606 GN=SLC11A1 PE=2 SV=1
+GSTEGKQDEELLGYLFHHHSSHALFTAGHALCCTWVLYTSLGLYAAALLAALGFYAPHPLSPLYSVVFYLNIACVLVMISSTVVKNLLGNAFEQMLTPMSTFTLIPLVAFPLLLSQLVNLLDNLGSLDRLDRFVAVLVTPLIACSRTLLVRAFRSWRLRLFGEMVFQGAYTGTMTSSQGAALLGIAWIYLAAPGFLCGLIVGGQYIDVAVTANNMPFIKAYDHLSSNACINFAAQNTKQYFAQGFVAMVFLNIIFSVSLAITAEILFYMNAERIDARRARDIERSKVLASHLYINHPMIIAGVIGVAQLLEPHGCGPCSPLFLGRLLAGQEPRAVVYEYGFTLAMITILLGFFAELKRLGYNDLFLFFFTDVITILVGGWLPIRGASLLNFAIATGIVEQMDSGVIALEITLWLVTRPVKPYYLHCVEGLDKGTVVGLRAALRQCLLGLVTAWLLVWLLKFGAVAGAQLDSEINGPDLFAISMLFGPGTFAWLKRLSFTGPKTDPIPIKESLYTERPPAQQPGPSTPSTPSSISGYSSGSLRQPGKDGTM
+>sp|P49281|NRAM2_HUMAN Natural resistance-associated macrophage protein 2 OS=Homo sapiens OX=9606 GN=SLC11A2 PE=1 SV=2
+MVLGPEQKMSDDSVSGDHGESASLGNINPAYSNPSLSQSPGDSEEYFATYFNEKISIPEEEYSCFSFRKLWAFTGPGFLMSIAYLDPGNIESDLQSGAVAGFKLLWILLLATLVGLLLQRLAARLGVVTGLHLAEVCHRQYPKVPRVILWLMVELAIIGSDMQEVIGSAIAINLLSVGRIPLWGGVLITIADTFVFLFLDKYGLRKLEAFFGFLITIMALTFGYEYVTVKPSQSQVLKGMFVPSCSGCRTPQIEQAVGIVGAVIMPHNMYLHSALVKSRQVNRNNKQEVREANKYFFIESCIALFVSFIINVFVVSVFAEAFFGKTNEQVVEVCTNTSSPHAGLFPKDNSTLAVDIYKGGVVLGCYFGPAALYIWAVGILAAGQSSTMTGTYSGQFVMEGFLNLKWSRFARVVLTRSIAIIPTLLVAVFQDVEHLTGMNDFLNVLQSLQLPFALIPILTFTSLRPVMSDFANGLGWRIAGGILVLIICSINMYFVVVYVRDLGHVALYVVAAVVSVAYLGFVFYLGWQCLIALGMSFLDCGHTCHLGLTAQPELYLLNTMDADSLVSR
+>DECOY_sp|P49281|NRAM2_HUMAN Natural resistance-associated macrophage protein 2 OS=Homo sapiens OX=9606 GN=SLC11A2 PE=1 SV=2
+RSVLSDADMTNLLYLEPQATLGLHCTHGCDLFSMGLAILCQWGLYFVFGLYAVSVVAAVVYLAVHGLDRVYVVVFYMNISCIILVLIGGAIRWGLGNAFDSMVPRLSTFTLIPILAFPLQLSQLVNLFDNMGTLHEVDQFVAVLLTPIIAISRTLVVRAFRSWKLNLFGEMVFQGSYTGTMTSSQGAALIGVAWIYLAAPGFYCGLVVGGKYIDVALTSNDKPFLGAHPSSTNTCVEVVQENTKGFFAEAFVSVVFVNIIFSVFLAICSEIFFYKNAERVEQKNNRNVQRSKVLASHLYMNHPMIVAGVIGVAQEIQPTRCGSCSPVFMGKLVQSQSPKVTVYEYGFTLAMITILFGFFAELKRLGYKDLFLFVFTDAITILVGGWLPIRGVSLLNIAIASGIVEQMDSGIIALEVMLWLIVRPVKPYQRHCVEALHLGTVVGLRAALRQLLLGVLTALLLIWLLKFGAVAGSQLDSEINGPDLYAISMLFGPGTFAWLKRFSFCSYEEEPISIKENFYTAFYEESDGPSQSLSPNSYAPNINGLSASEGHDGSVSDDSMKQEPGLVM
+>sp|Q9NQ35|NRIP3_HUMAN Nuclear receptor-interacting protein 3 OS=Homo sapiens OX=9606 GN=NRIP3 PE=1 SV=1
+MFYSGLLTEGGRKETDMREAASLRQQRRMKQAVQFIHKDSADLLPLDGLKKLGSSKDMQPHNILQRRLMETNLSKLRSGPRVPWASKTNKLNQAKSEGLKKSEEDDMILVSCQCAGKDVKALVDTGCLYNLISLACVDRLGLKEHVKSHKHEGEKLSLPRHLKVVGQIEHLVITLGSLRLDCPAAVVDDNEKNLSLGLQTLRSLKCIINLDKHRLIMGKTDKEEIPFVETVSLNEDNTSEA
+>DECOY_sp|Q9NQ35|NRIP3_HUMAN Nuclear receptor-interacting protein 3 OS=Homo sapiens OX=9606 GN=NRIP3 PE=1 SV=1
+AESTNDENLSVTEVFPIEEKDTKGMILRHKDLNIICKLSRLTQLGLSLNKENDDVVAAPCDLRLSGLTIVLHEIQGVVKLHRPLSLKEGEHKHSKVHEKLGLRDVCALSILNYLCGTDVLAKVDKGACQCSVLIMDDEESKKLGESKAQNLKNTKSAWPVRPGSRLKSLNTEMLRRQLINHPQMDKSSGLKKLGDLPLLDASDKHIFQVAQKMRRQQRLSAAERMDTEKRGGETLLGSYFM
+>sp|O14786|NRP1_HUMAN Neuropilin-1 OS=Homo sapiens OX=9606 GN=NRP1 PE=1 SV=3
+MERGLPLLCAVLALVLAPAGAFRNDKCGDTIKIESPGYLTSPGYPHSYHPSEKCEWLIQAPDPYQRIMINFNPHFDLEDRDCKYDYVEVFDGENENGHFRGKFCGKIAPPPVVSSGPFLFIKFVSDYETHGAGFSIRYEIFKRGPECSQNYTTPSGVIKSPGFPEKYPNSLECTYIVFVPKMSEIILEFESFDLEPDSNPPGGMFCRYDRLEIWDGFPDVGPHIGRYCGQKTPGRIRSSSGILSMVFYTDSAIAKEGFSANYSVLQSSVSEDFKCMEALGMESGEIHSDQITASSQYSTNWSAERSRLNYPENGWTPGEDSYREWIQVDLGLLRFVTAVGTQGAISKETKKKYYVKTYKIDVSSNGEDWITIKEGNKPVLFQGNTNPTDVVVAVFPKPLITRFVRIKPATWETGISMRFEVYGCKITDYPCSGMLGMVSGLISDSQITSSNQGDRNWMPENIRLVTSRSGWALPPAPHSYINEWLQIDLGEEKIVRGIIIQGGKHRENKVFMRKFKIGYSNNGSDWKMIMDDSKRKAKSFEGNNNYDTPELRTFPALSTRFIRIYPERATHGGLGLRMELLGCEVEAPTAGPTTPNGNLVDECDDDQANCHSGTGDDFQLTGGTTVLATEKPTVIDSTIQSEFPTYGFNCEFGWGSHKTFCHWEHDNHVQLKWSVLTSKTGPIQDHTGDGNFIYSQADENQKGKVARLVSPVVYSQNSAHCMTFWYHMSGSHVGTLRVKLRYQKPEEYDQLVWMAIGHQGDHWKEGRVLLHKSLKLYQVIFEGEIGKGNLGGIAVDDISINNHISQEDCAKPADLDKKNPEIKIDETGSTPGYEGEGEGDKNISRKPGNVLKTLDPILITIIAMSALGVLLGAVCGVVLYCACWHNGMSERNLSALENYNFELVDGVKLKKDKLNTQSTYSEA
+>DECOY_sp|O14786|NRP1_HUMAN Neuropilin-1 OS=Homo sapiens OX=9606 GN=NRP1 PE=1 SV=3
+AESYTSQTNLKDKKLKVGDVLEFNYNELASLNRESMGNHWCACYLVVGCVAGLLVGLASMAIITILIPDLTKLVNGPKRSINKDGEGEGEYGPTSGTEDIKIEPNKKDLDAPKACDEQSIHNNISIDDVAIGGLNGKGIEGEFIVQYLKLSKHLLVRGEKWHDGQHGIAMWVLQDYEEPKQYRLKVRLTGVHSGSMHYWFTMCHASNQSYVVPSVLRAVKGKQNEDAQSYIFNGDGTHDQIPGTKSTLVSWKLQVHNDHEWHCFTKHSGWGFECNFGYTPFESQITSDIVTPKETALVTTGGTLQFDDGTGSHCNAQDDDCEDVLNGNPTTPGATPAEVECGLLEMRLGLGGHTAREPYIRIFRTSLAPFTRLEPTDYNNNGEFSKAKRKSDDMIMKWDSGNNSYGIKFKRMFVKNERHKGGQIIIGRVIKEEGLDIQLWENIYSHPAPPLAWGSRSTVLRINEPMWNRDGQNSSTIQSDSILGSVMGLMGSCPYDTIKCGYVEFRMSIGTEWTAPKIRVFRTILPKPFVAVVVDTPNTNGQFLVPKNGEKITIWDEGNSSVDIKYTKVYYKKKTEKSIAGQTGVATVFRLLGLDVQIWERYSDEGPTWGNEPYNLRSREASWNTSYQSSATIQDSHIEGSEMGLAEMCKFDESVSSQLVSYNASFGEKAIASDTYFVMSLIGSSSRIRGPTKQGCYRGIHPGVDPFGDWIELRDYRCFMGGPPNSDPELDFSEFELIIESMKPVFVIYTCELSNPYKEPFGPSKIVGSPTTYNQSCEPGRKFIEYRISFGAGHTEYDSVFKIFLFPGSSVVPPPAIKGCFKGRFHGNENEGDFVEVYDYKCDRDELDFHPNFNIMIRQYPDPAQILWECKESPHYSHPYGPSTLYGPSEIKITDGCKDNRFAGAPALVLALVACLLPLGREM
+>sp|Q5VT03|NTM2D_HUMAN NUT family member 2D OS=Homo sapiens OX=9606 GN=NUTM2D PE=3 SV=2
+MFQEPVYFQIFLFQLDSGASGEPGHSLGLTLGFSHCGNCQTAVVSAQPEGMASNGAYPALGPGVTANPGTSLSVFTALPFTTPAPGPAHGPLLVTAGAPPGGPLVLSNFPSTPLVTEQDGCGPSGAGASNVFVQMRTEVGPVKAAQAQTLVLTQTPLVWQAPGALCGGVVCPPPLLLAAAPVVPVMAAQVVGGTQACEGGWSQGLPLPPPPPPAAQLPPIVSQGNAGPWPQGAHGEGSLASSQAKAPPDDSCNPRSVYENFRLWQHYKPLARRHLPQSPDTEALSCFLIPVLRSLARRKPTMTLEEGLWRAMREWQHTSNFDRMIFYEMAEKFLEFEAEEEMQIQKSQWMKGPQCLPPPATPRLEPRGPPAPEVVKQPVYLPSKAGPKAPTACLPPPRPQRPVTKARRPPPRPHRRAETKARLPPPRPQRPAETKVPEEIPPEVVQEYVDIMEELLGPSLGATGEPEKQREEGEVKQPQEEDWTPPDPGLLSYTDKLCSQKDFVTKVEAVIHPQFLEELLSPDPQMDFLALSQELEQEEGLTLAQLVEKRLLPLKEKQHARAAPSRGTARLDSSSSKFAAGQGAERDVPDPQQGVGMETCPPQTTARDSQGRGRAHTGMARSKDSVVLLGCQDSPGLRAARPTSPPQDHRPTCPGVGTKDALDLPGGSPVRESHGLAQGSSEEEELPSLAFLLGSQHKLLPWWLPQSPVPASGLLSPEKWGPQGTHQSPSAERRGLNLAPSPANKAKKRPLFGSLSPAEKTPHPGPGLRVSGEQSLTWGLGGPSQSQKRKGDPLVSRKEKKQRCSQ
+>DECOY_sp|Q5VT03|NTM2D_HUMAN NUT family member 2D OS=Homo sapiens OX=9606 GN=NUTM2D PE=3 SV=2
+QSCRQKKEKRSVLPDGKRKQSQSPGGLGWTLSQEGSVRLGPGPHPTKEAPSLSGFLPRKKAKNAPSPALNLGRREASPSQHTGQPGWKEPSLLGSAPVPSQPLWWPLLKHQSGLLFALSPLEEEESSGQALGHSERVPSGGPLDLADKTGVGPCTPRHDQPPSTPRAARLGPSDQCGLLVVSDKSRAMGTHARGRGQSDRATTQPPCTEMGVGQQPDPVDREAGQGAAFKSSSSDLRATGRSPAARAHQKEKLPLLRKEVLQALTLGEEQELEQSLALFDMQPDPSLLEELFQPHIVAEVKTVFDKQSCLKDTYSLLGPDPPTWDEEQPQKVEGEERQKEPEGTAGLSPGLLEEMIDVYEQVVEPPIEEPVKTEAPRQPRPPPLRAKTEARRHPRPPPRRAKTVPRQPRPPPLCATPAKPGAKSPLYVPQKVVEPAPPGRPELRPTAPPPLCQPGKMWQSKQIQMEEEAEFELFKEAMEYFIMRDFNSTHQWERMARWLGEELTMTPKRRALSRLVPILFCSLAETDPSQPLHRRALPKYHQWLRFNEYVSRPNCSDDPPAKAQSSALSGEGHAGQPWPGANGQSVIPPLQAAPPPPPPLPLGQSWGGECAQTGGVVQAAMVPVVPAAALLLPPPCVVGGCLAGPAQWVLPTQTLVLTQAQAAKVPGVETRMQVFVNSAGAGSPGCGDQETVLPTSPFNSLVLPGGPPAGATVLLPGHAPGPAPTTFPLATFVSLSTGPNATVGPGLAPYAGNSAMGEPQASVVATQCNGCHSFGLTLGLSHGPEGSAGSDLQFLFIQFYVPEQFM
+>sp|Q16288|NTRK3_HUMAN NT-3 growth factor receptor OS=Homo sapiens OX=9606 GN=NTRK3 PE=1 SV=2
+MDVSLCPAKCSFWRIFLLGSVWLDYVGSVLACPANCVCSKTEINCRRPDDGNLFPLLEGQDSGNSNGNASINITDISRNITSIHIENWRSLHTLNAVDMELYTGLQKLTIKNSGLRSIQPRAFAKNPHLRYINLSSNRLTTLSWQLFQTLSLRELQLEQNFFNCSCDIRWMQLWQEQGEAKLNSQNLYCINADGSQLPLFRMNISQCDLPEISVSHVNLTVREGDNAVITCNGSGSPLPDVDWIVTGLQSINTHQTNLNWTNVHAINLTLVNVTSEDNGFTLTCIAENVVGMSNASVALTVYYPPRVVSLEEPELRLEHCIEFVVRGNPPPTLHWLHNGQPLRESKIIHVEYYQEGEISEGCLLFNKPTHYNNGNYTLIAKNPLGTANQTINGHFLKEPFPESTDNFILFDEVSPTPPITVTHKPEEDTFGVSIAVGLAAFACVLLVVLFVMINKYGRRSKFGMKGPVAVISGEEDSASPLHHINHGITTPSSLDAGPDTVVIGMTRIPVIENPQYFRQGHNCHKPDTYVQHIKRRDIVLKRELGEGAFGKVFLAECYNLSPTKDKMLVAVKALKDPTLAARKDFQREAELLTNLQHEHIVKFYGVCGDGDPLIMVFEYMKHGDLNKFLRAHGPDAMILVDGQPRQAKGELGLSQMLHIASQIASGMVYLASQHFVHRDLATRNCLVGANLLVKIGDFGMSRDVYSTDYYRLFNPSGNDFCIWCEVGGHTMLPIRWMPPESIMYRKFTTESDVWSFGVILWEIFTYGKQPWFQLSNTEVIECITQGRVLERPRVCPKEVYDVMLGCWQREPQQRLNIKEIYKILHALGKATPIYLDILG
+>DECOY_sp|Q16288|NTRK3_HUMAN NT-3 growth factor receptor OS=Homo sapiens OX=9606 GN=NTRK3 PE=1 SV=2
+GLIDLYIPTAKGLAHLIKYIEKINLRQQPERQWCGLMVDYVEKPCVRPRELVRGQTICEIVETNSLQFWPQKGYTFIEWLIVGFSWVDSETTFKRYMISEPPMWRIPLMTHGGVECWICFDNGSPNFLRYYDTSYVDRSMGFDGIKVLLNAGVLCNRTALDRHVFHQSALYVMGSAIQSAIHLMQSLGLEGKAQRPQGDVLIMADPGHARLFKNLDGHKMYEFVMILPDGDGCVGYFKVIHEHQLNTLLEAERQFDKRAALTPDKLAKVAVLMKDKTPSLNYCEALFVKGFAGEGLERKLVIDRRKIHQVYTDPKHCNHGQRFYQPNEIVPIRTMGIVVTDPGADLSSPTTIGHNIHHLPSASDEEGSIVAVPGKMGFKSRRGYKNIMVFLVVLLVCAFAALGVAISVGFTDEEPKHTVTIPPTPSVEDFLIFNDTSEPFPEKLFHGNITQNATGLPNKAILTYNGNNYHTPKNFLLCGESIEGEQYYEVHIIKSERLPQGNHLWHLTPPPNGRVVFEICHELRLEPEELSVVRPPYYVTLAVSANSMGVVNEAICTLTFGNDESTVNVLTLNIAHVNTWNLNTQHTNISQLGTVIWDVDPLPSGSGNCTIVANDGERVTLNVHSVSIEPLDCQSINMRFLPLQSGDANICYLNQSNLKAEGQEQWLQMWRIDCSCNFFNQELQLERLSLTQFLQWSLTTLRNSSLNIYRLHPNKAFARPQISRLGSNKITLKQLGTYLEMDVANLTHLSRWNEIHISTINRSIDTINISANGNSNGSDQGELLPFLNGDDPRRCNIETKSCVCNAPCALVSGVYDLWVSGLLFIRWFSCKAPCLSVDM
+>sp|Q8WUM0|NU133_HUMAN Nuclear pore complex protein Nup133 OS=Homo sapiens OX=9606 GN=NUP133 PE=1 SV=2
+MFPAAPSPRTPGTGSRRGPLAGLGPGSTPRTASRKGLPLGSAVSSPVLFSPVGRRSSLSSRGTPTRMFPHHSITESVNYDVKTFGSSLPVKVMEALTLAEVDDQLTINIDEGGWACLVCKEKLIIWKIALSPITKLSVCKELQLPPSDFHWSADLVALSYSSPSGEAHSTQAVAVMVATREGSIRYWPSLAGEDTYTEAFVDSGGDKTYSFLTAVQGGSFILSSSGSQLIRLIPESSGKIHQHILPQGQGMLSGIGRKVSSLFGILSPSSDLTLSSVLWDRERSSFYSLTSSNISKWELDDSSEKHAYSWDINRALKENITDAIWGSESNYEAIKEGVNIRYLDLKQNCDGLVILAAAWHSADNPCLIYYSLITIEDNGCQMSDAVTVEVTQYNPPFQSEDLILCQLTVPNFSNQTAYLYNESAVYVCSTGTGKFSLPQEKIVFNAQGDSVLGAGACGGVPIIFSRNSGLVSITSRENVSILAEDLEGSLASSVAGPNSESMIFETTTKNETIAQEDKIKLLKAAFLQYCRKDLGHAQMVVDELFSSHSDLDSDSELDRAVTQISVDLMDDYPASDPRWAESVPEEAPGFSNTSLIILHQLEDKMKAHSFLMDFIHQVGLFGRLGSFPVRGTPMATRLLLCEHAEKLSAAIVLKNHHSRLSDLVNTAILIALNKREYEIPSNLTPADVFFREVSQVDTICECLLEHEEQVLRDAPMDSIEWAEVVINVNNILKDMLQAASHYRQNRNSLYRREESLEKEPEYVPWTATSGPGGIRTVIIRQHEIVLKVAYPQADSNLRNIVTEQLVALIDCFLDGYVSQLKSVDKSSNRERYDNLEMEYLQKRSDLLSPLLSLGQYLWAASLAEKYCDFDILVQMCEQTDNQSRLQRYMTQFADQNFSDFLFRWYLEKGKRGKLLSQPISQHGQLANFLQAHEHLSWLHEINSQELEKAHATLLGLANMETRYFAKKKTLLGLSKLAALASDFSEDMLQEKIEEMAEQERFLLHQETLPEQLLAEKQLNLSAMPVLTAPQLIGLYICEENRRANEYDFKKALDLLEYIDEEEDININDLKLEILCKALQRDNWSSSDGKDDPIEVSKDSIFVKILQKLLKDGIQLSEYLPEVKDLLQADQLGSLKSNPYFEFVLKANYEYYVQGQI
+>DECOY_sp|Q8WUM0|NU133_HUMAN Nuclear pore complex protein Nup133 OS=Homo sapiens OX=9606 GN=NUP133 PE=1 SV=2
+IQGQVYYEYNAKLVFEFYPNSKLSGLQDAQLLDKVEPLYESLQIGDKLLKQLIKVFISDKSVEIPDDKGDSSSWNDRQLAKCLIELKLDNINIDEEEDIYELLDLAKKFDYENARRNEECIYLGILQPATLVPMASLNLQKEALLQEPLTEQHLLFREQEAMEEIKEQLMDESFDSALAALKSLGLLTKKKAFYRTEMNALGLLTAHAKELEQSNIEHLWSLHEHAQLFNALQGHQSIPQSLLKGRKGKELYWRFLFDSFNQDAFQTMYRQLRSQNDTQECMQVLIDFDCYKEALSAAWLYQGLSLLPSLLDSRKQLYEMELNDYRERNSSKDVSKLQSVYGDLFCDILAVLQETVINRLNSDAQPYAVKLVIEHQRIIVTRIGGPGSTATWPVYEPEKELSEERRYLSNRNQRYHSAAQLMDKLINNVNIVVEAWEISDMPADRLVQEEHELLCECITDVQSVERFFVDAPTLNSPIEYERKNLAILIATNVLDSLRSHHNKLVIAASLKEAHECLLLRTAMPTGRVPFSGLRGFLGVQHIFDMLFSHAKMKDELQHLIILSTNSFGPAEEPVSEAWRPDSAPYDDMLDVSIQTVARDLESDSDLDSHSSFLEDVVMQAHGLDKRCYQLFAAKLLKIKDEQAITENKTTTEFIMSESNPGAVSSALSGELDEALISVNERSTISVLGSNRSFIIPVGGCAGAGLVSDGQANFVIKEQPLSFKGTGTSCVYVASENYLYATQNSFNPVTLQCLILDESQFPPNYQTVEVTVADSMQCGNDEITILSYYILCPNDASHWAAALIVLGDCNQKLDLYRINVGEKIAEYNSESGWIADTINEKLARNIDWSYAHKESSDDLEWKSINSSTLSYFSSRERDWLVSSLTLDSSPSLIGFLSSVKRGIGSLMGQGQPLIHQHIKGSSEPILRILQSGSSSLIFSGGQVATLFSYTKDGGSDVFAETYTDEGALSPWYRISGERTAVMVAVAQTSHAEGSPSSYSLAVLDASWHFDSPPLQLEKCVSLKTIPSLAIKWIILKEKCVLCAWGGEDINITLQDDVEALTLAEMVKVPLSSGFTKVDYNVSETISHHPFMRTPTGRSSLSSRRGVPSFLVPSSVASGLPLGKRSATRPTSGPGLGALPGRRSGTGPTRPSPAAPFM
+>sp|P53384|NUBP1_HUMAN Cytosolic Fe-S cluster assembly factor NUBP1 OS=Homo sapiens OX=9606 GN=NUBP1 PE=1 SV=2
+MEEVPHDCPGADSAQAGRGASCQGCPNQRLCASGAGATPDTAIEEIKEKMKTVKHKILVLSGKGGVGKSTFSAHLAHGLAEDENTQIALLDIDICGPSIPKIMGLEGEQVHQSGSGWSPVYVEDNLGVMSVGFLLSSPDDAVIWRGPKKNGMIKQFLRDVDWGEVDYLIVDTPPGTSDEHLSVVRYLATAHIDGAVIITTPQEVSLQDVRKEINFCRKVKLPIIGVVENMSGFICPKCKKESQIFPPTTGGAELMCQDLEVPLLGRVPLDPLIGKNCDKGQSFFIDAPDSPATLAYRSIIQRIQEFCNLHQSKEENLISS
+>DECOY_sp|P53384|NUBP1_HUMAN Cytosolic Fe-S cluster assembly factor NUBP1 OS=Homo sapiens OX=9606 GN=NUBP1 PE=1 SV=2
+SSILNEEKSQHLNCFEQIRQIISRYALTAPSDPADIFFSQGKDCNKGILPDLPVRGLLPVELDQCMLEAGGTTPPFIQSEKKCKPCIFGSMNEVVGIIPLKVKRCFNIEKRVDQLSVEQPTTIIVAGDIHATALYRVVSLHEDSTGPPTDVILYDVEGWDVDRLFQKIMGNKKPGRWIVADDPSSLLFGVSMVGLNDEVYVPSWGSGSQHVQEGELGMIKPISPGCIDIDLLAIQTNEDEALGHALHASFTSKGVGGKGSLVLIKHKVTKMKEKIEEIATDPTAGAGSACLRQNPCGQCSAGRGAQASDAGPCDHPVEEM
+>sp|Q9H1E3|NUCKS_HUMAN Nuclear ubiquitous casein and cyclin-dependent kinase substrate 1 OS=Homo sapiens OX=9606 GN=NUCKS1 PE=1 SV=1
+MSRPVRNRKVVDYSQFQESDDADEDYGRDSGPPTKKIRSSPREAKNKRRSGKNSQEDSEDSEDKDVKTKKDDSHSAEDSEDEKEDHKNVRQQRQAASKAASKQREMLMEDVGSEEEQEEEDEAPFQEKDSGSDEDFLMEDDDDSDYGSSKKKNKKMVKKSKPERKEKKMPKPRLKATVTPSPVKGKGKVGRPTASKASKEKTPSPKEEDEEPESPPEKKTSTSPPPEKSGDEGSEDEAPSGED
+>DECOY_sp|Q9H1E3|NUCKS_HUMAN Nuclear ubiquitous casein and cyclin-dependent kinase substrate 1 OS=Homo sapiens OX=9606 GN=NUCKS1 PE=1 SV=1
+DEGSPAEDESGEDGSKEPPPSTSTKKEPPSEPEEDEEKPSPTKEKSAKSATPRGVKGKGKVPSPTVTAKLRPKPMKKEKREPKSKKVMKKNKKKSSGYDSDDDDEMLFDEDSGSDKEQFPAEDEEEQEEESGVDEMLMERQKSAAKSAAQRQQRVNKHDEKEDESDEASHSDDKKTKVDKDESDESDEQSNKGSRRKNKAERPSSRIKKTPPGSDRGYDEDADDSEQFQSYDVVKRNRVPRSM
+>sp|P19338|NUCL_HUMAN Nucleolin OS=Homo sapiens OX=9606 GN=NCL PE=1 SV=3
+MVKLAKAGKNQGDPKKMAPPPKEVEEDSEDEEMSEDEEDDSSGEEVVIPQKKGKKAAATSAKKVVVSPTKKVAVATPAKKAAVTPGKKAAATPAKKTVTPAKAVTTPGKKGATPGKALVATPGKKGAAIPAKGAKNGKNAKKEDSDEEEDDDSEEDEEDDEDEDEDEDEIEPAAMKAAAAAPASEDEDDEDDEDDEDDDDDEEDDSEEEAMETTPAKGKKAAKVVPVKAKNVAEDEDEEEDDEDEDDDDDEDDEDDDDEDDEEEEEEEEEEPVKEAPGKRKKEMAKQKAAPEAKKQKVEGTEPTTAFNLFVGNLNFNKSAPELKTGISDVFAKNDLAVVDVRIGMTRKFGYVDFESAEDLEKALELTGLKVFGNEIKLEKPKGKDSKKERDARTLLAKNLPYKVTQDELKEVFEDAAEIRLVSKDGKSKGIAYIEFKTEADAEKTFEEKQGTEIDGRSISLYYTGEKGQNQDYRGGKNSTWSGESKTLVLSNLSYSATEETLQEVFEKATFIKVPQNQNGKSKGYAFIEFASFEDAKEALNSCNKREIEGRAIRLELQGPRGSPNARSQPSKTLFVKGLSEDTTEETLKESFDGSVRARIVTDRETGSSKGFGFVDFNSEEDAKAAKEAMEDGEIDGNKVTLDWAKPKGEGGFGGRGGGRGGFGGRGGGRGGRGGFGGRGRGGFGGRGGFRGGRGGGGDHKPQGKKTKFE
+>DECOY_sp|P19338|NUCL_HUMAN Nucleolin OS=Homo sapiens OX=9606 GN=NCL PE=1 SV=3
+EFKTKKGQPKHDGGGGRGGRFGGRGGFGGRGRGGFGGRGGRGGGRGGFGGRGGGRGGFGGEGKPKAWDLTVKNGDIEGDEMAEKAAKADEESNFDVFGFGKSSGTERDTVIRARVSGDFSEKLTEETTDESLGKVFLTKSPQSRANPSGRPGQLELRIARGEIERKNCSNLAEKADEFSAFEIFAYGKSKGNQNQPVKIFTAKEFVEQLTEETASYSLNSLVLTKSEGSWTSNKGGRYDQNQGKEGTYYLSISRGDIETGQKEEFTKEADAETKFEIYAIGKSKGDKSVLRIEAADEFVEKLEDQTVKYPLNKALLTRADREKKSDKGKPKELKIENGFVKLGTLELAKELDEASEFDVYGFKRTMGIRVDVVALDNKAFVDSIGTKLEPASKNFNLNGVFLNFATTPETGEVKQKKAEPAAKQKAMEKKRKGPAEKVPEEEEEEEEEEDDEDDDDEDDEDDDDDEDEDDEEEDEDEAVNKAKVPVVKAAKKGKAPTTEMAEEESDDEEDDDDDEDDEDDEDDEDESAPAAAAAKMAAPEIEDEDEDEDEDDEEDEESDDDEEEDSDEKKANKGNKAGKAPIAAGKKGPTAVLAKGPTAGKKGPTTVAKAPTVTKKAPTAAAKKGPTVAAKKAPTAVAVKKTPSVVVKKASTAAAKKGKKQPIVVEEGSSDDEEDESMEEDESDEEVEKPPPAMKKPDGQNKGAKALKVM
+>sp|Q8NFP7|NUD10_HUMAN Diphosphoinositol polyphosphate phosphohydrolase 3-alpha OS=Homo sapiens OX=9606 GN=NUDT10 PE=1 SV=1
+MKCKPNQTRTYDPEGFKKRAACLCFRSEREDEVLLVSSSRYPDRWIVPGGGMEPEEEPGGAAVREVYEEAGVKGKLGRLLGVFEQNQDPKHRTYVYVLTVTELLEDWEDSVSIGRKREWFKVEDAIKVLQCHKPVHAEYLEKLKLGGSPTNGNSMAPSSPDSDP
+>DECOY_sp|Q8NFP7|NUD10_HUMAN Diphosphoinositol polyphosphate phosphohydrolase 3-alpha OS=Homo sapiens OX=9606 GN=NUDT10 PE=1 SV=1
+PDSDPSSPAMSNGNTPSGGLKLKELYEAHVPKHCQLVKIADEVKFWERKRGISVSDEWDELLETVTLVYVYTRHKPDQNQEFVGLLRGLKGKVGAEEYVERVAAGGPEEEPEMGGGPVIWRDPYRSSSVLLVEDERESRFCLCAARKKFGEPDYTRTQNPKCKM
+>sp|O95848|NUD14_HUMAN Uridine diphosphate glucose pyrophosphatase OS=Homo sapiens OX=9606 GN=NUDT14 PE=1 SV=2
+MERIEGASVGRCAASPYLRPLTLHYRQNGAQKSWDFMKTHDSVTVLLFNSSRRSLVLVKQFRPAVYAGEVERRFPGSLAAVDQDGPRELQPALPGSAGVTVELCAGLVDQPGLSLEEVACKEAWEECGYHLAPSDLRRVATYWSGVGLTGSRQTMFYTEVTDAQRSGPGGGLVEEGELIEVVHLPLEGAQAFADDPDIPKTLGVIFGVSWFLSQVAPNLDLQ
+>DECOY_sp|O95848|NUD14_HUMAN Uridine diphosphate glucose pyrophosphatase OS=Homo sapiens OX=9606 GN=NUDT14 PE=1 SV=2
+QLDLNPAVQSLFWSVGFIVGLTKPIDPDDAFAQAGELPLHVVEILEGEEVLGGGPGSRQADTVETYFMTQRSGTLGVGSWYTAVRRLDSPALHYGCEEWAEKCAVEELSLGPQDVLGACLEVTVGASGPLAPQLERPGDQDVAALSGPFRREVEGAYVAPRFQKVLVLSRRSSNFLLVTVSDHTKMFDWSKQAGNQRYHLTLPRLYPSAACRGVSAGEIREM
+>sp|Q9NV35|NUD15_HUMAN Nucleotide triphosphate diphosphatase NUDT15 OS=Homo sapiens OX=9606 GN=NUDT15 PE=1 SV=1
+MTASAQPRGRRPGVGVGVVVTSCKHPRCVLLGKRKGSVGAGSFQLPGGHLEFGETWEECAQRETWEEAALHLKNVHFASVVNSFIEKENYHYVTILMKGEVDVTHDSEPKNVEPEKNESWEWVPWEELPPLDQLFWGLRCLKEQGYDPFKEDLNHLVGYKGNHL
+>DECOY_sp|Q9NV35|NUD15_HUMAN Nucleotide triphosphate diphosphatase NUDT15 OS=Homo sapiens OX=9606 GN=NUDT15 PE=1 SV=1
+LHNGKYGVLHNLDEKFPDYGQEKLCRLGWFLQDLPPLEEWPVWEWSENKEPEVNKPESDHTVDVEGKMLITVYHYNEKEIFSNVVSAFHVNKLHLAAEEWTERQACEEWTEGFELHGGPLQFSGAGVSGKRKGLLVCRPHKCSTVVVGVGVGPRRGRPQASATM
+>sp|Q96DE0|NUD16_HUMAN U8 snoRNA-decapping enzyme OS=Homo sapiens OX=9606 GN=NUDT16 PE=1 SV=2
+MAGARRLELGEALALGSGWRHACHALLYAPDPGMLFGRIPLRYAILMQMRFDGRLGFPGGFVDTQDRSLEDGLNRELREELGEAAAAFRVERTDYRSSHVGSGPRVVAHFYAKRLTLEELLAVEAGATRAKDHGLEVLGLVRVPLYTLRDGVGGLPTFLENSFIGSAREQLLEALQDLGLLQSGSISGLKIPAHH
+>DECOY_sp|Q96DE0|NUD16_HUMAN U8 snoRNA-decapping enzyme OS=Homo sapiens OX=9606 GN=NUDT16 PE=1 SV=2
+HHAPIKLGSISGSQLLGLDQLAELLQERASGIFSNELFTPLGGVGDRLTYLPVRVLGLVELGHDKARTAGAEVALLEELTLRKAYFHAVVRPGSGVHSSRYDTREVRFAAAAEGLEERLERNLGDELSRDQTDVFGGPFGLRGDFRMQMLIAYRLPIRGFLMGPDPAYLLAHCAHRWGSGLALAEGLELRRAGAM
+>sp|A8MXV4|NUD19_HUMAN Nucleoside diphosphate-linked moiety X motif 19 OS=Homo sapiens OX=9606 GN=NUDT19 PE=1 SV=1
+MSSSLRPGPSRWRRAASIVLAAGWSRPETATPPSRPPPAEGFRLLLLQRSPHQGFMPGAHVFSGGVLDAADRSADWLGLFAPHHGPPRFGLGPAPFSRTAFPSLPDTDDHKTDNTGTLPEDVAFRICAVREAFEEAGVLLLRPRTSPPGPAPGPGLALEPPPGLASWRDRVRQDPRHFLRLCAHLDCTPDIWALHNWSAWLTPFLRGTTRRFDTAFFLCCLREPPPVYPDLAEVVGYQWSSPSEATESFLSKEIWLPPPQFYEVRRLANFASLSDLHKFCLGRALEGLERWLPIILLTADGMVHLLPGDELYLEDSDFLENLMSTEKKTEEIMKEGKQFHRIVTYHRHLYDIHVTVQPKYKHVYPKNSVVRKSHL
+>DECOY_sp|A8MXV4|NUD19_HUMAN Nucleoside diphosphate-linked moiety X motif 19 OS=Homo sapiens OX=9606 GN=NUDT19 PE=1 SV=1
+LHSKRVVSNKPYVHKYKPQVTVHIDYLHRHYTVIRHFQKGEKMIEETKKETSMLNELFDSDELYLEDGPLLHVMGDATLLIIPLWRELGELARGLCFKHLDSLSAFNALRRVEYFQPPPLWIEKSLFSETAESPSSWQYGVVEALDPYVPPPERLCCLFFATDFRRTTGRLFPTLWASWNHLAWIDPTCDLHACLRLFHRPDQRVRDRWSALGPPPELALGPGPAPGPPSTRPRLLLVGAEEFAERVACIRFAVDEPLTGTNDTKHDDTDPLSPFATRSFPAPGLGFRPPGHHPAFLGLWDASRDAADLVGGSFVHAGPMFGQHPSRQLLLLRFGEAPPPRSPPTATEPRSWGAALVISAARRWRSPGPRLSSSM
+>sp|Q9BRQ3|NUD22_HUMAN Uridine diphosphate glucose pyrophosphatase OS=Homo sapiens OX=9606 GN=NUDT22 PE=1 SV=3
+MDPEVTLLLQCPGGGLPQEQIQAELSPAHDRRPLPGGDEAITAIWETRLKAQPWLFDAPKFRLHSATLAPIGSRGPQLLLRLGLTSYRDFLGTNWSSSAAWLRQQGATDWGDTQAYLADPLGVGAALATADDFLVFLRRSRQVAEAPGLVDVPGGHPEPQALCPGGSPQHQDLAGQLVVHELFSSVLQEICDEVNLPLLTLSQPLLLGIARNETSAGRASAEFYVQCSLTSEQVRKHYLSGGPEAHESTGIFFVETQNVQRLLETEMWAELCPSAKGAIILYNRVQGSPTGAALGSPALLPPL
+>DECOY_sp|Q9BRQ3|NUD22_HUMAN Uridine diphosphate glucose pyrophosphatase OS=Homo sapiens OX=9606 GN=NUDT22 PE=1 SV=3
+LPPLLAPSGLAAGTPSGQVRNYLIIAGKASPCLEAWMETELLRQVNQTEVFFIGTSEHAEPGGSLYHKRVQESTLSCQVYFEASARGASTENRAIGLLLPQSLTLLPLNVEDCIEQLVSSFLEHVVLQGALDQHQPSGGPCLAQPEPHGGPVDVLGPAEAVQRSRRLFVLFDDATALAAGVGLPDALYAQTDGWDTAGQQRLWAASSSWNTGLFDRYSTLGLRLLLQPGRSGIPALTASHLRFKPADFLWPQAKLRTEWIATIAEDGGPLPRRDHAPSLEAQIQEQPLGGGPCQLLLTVEPDM
+>sp|Q8WVJ2|NUDC2_HUMAN NudC domain-containing protein 2 OS=Homo sapiens OX=9606 GN=NUDCD2 PE=1 SV=1
+MSAPFEERSGVVPCGTPWGQWYQTLEEVFIEVQVPPGTRAQDIQCGLQSRHVALSVGGREILKGKLFDSTIADEGTWTLEDRKMVRIVLTKTKRDAANCWTSLLESEYAADPWVQDQMQRKLTLERFQKENPGFDFSGAEISGNYTKGGPDFSNLEK
+>DECOY_sp|Q8WVJ2|NUDC2_HUMAN NudC domain-containing protein 2 OS=Homo sapiens OX=9606 GN=NUDCD2 PE=1 SV=1
+KELNSFDPGGKTYNGSIEAGSFDFGPNEKQFRELTLKRQMQDQVWPDAAYESELLSTWCNAADRKTKTLVIRVMKRDELTWTGEDAITSDFLKGKLIERGGVSLAVHRSQLGCQIDQARTGPPVQVEIFVEELTQYWQGWPTGCPVVGSREEFPASM
+>sp|Q9NZJ9|NUDT4_HUMAN Diphosphoinositol polyphosphate phosphohydrolase 2 OS=Homo sapiens OX=9606 GN=NUDT4 PE=1 SV=2
+MMKFKPNQTRTYDREGFKKRAACLCFRSEQEDEVLLVSSSRYPDQWIVPGGGMEPEEEPGGAAVREVYEEAGVKGKLGRLLGIFENQDRKHRTYVYVLTVTEILEDWEDSVNIGRKREWFKVEDAIKVLQCHKPVHAEYLEKLKLGCSPANGNSTVPSLPDNNALFVTAAQTSGLPSSVR
+>DECOY_sp|Q9NZJ9|NUDT4_HUMAN Diphosphoinositol polyphosphate phosphohydrolase 2 OS=Homo sapiens OX=9606 GN=NUDT4 PE=1 SV=2
+RVSSPLGSTQAATVFLANNDPLSPVTSNGNAPSCGLKLKELYEAHVPKHCQLVKIADEVKFWERKRGINVSDEWDELIETVTLVYVYTRHKRDQNEFIGLLRGLKGKVGAEEYVERVAAGGPEEEPEMGGGPVIWQDPYRSSSVLLVEDEQESRFCLCAARKKFGERDYTRTQNPKFKMM
+>sp|Q9BZ95|NSD3_HUMAN Histone-lysine N-methyltransferase NSD3 OS=Homo sapiens OX=9606 GN=NSD3 PE=1 SV=1
+MDFSFSFMQGIMGNTIQQPPQLIDSANIRQEDAFDNNSDIAEDGGQTPYEATLQQGFQYPATTEDLPPLTNGYPSSISVYETQTKYQSYNQYPNGSANGFGAVRNFSPTDYYHSEIPNTRPHEILEKPSPPQPPPPPSVPQTVIPKKTGSPEIKLKITKTIQNGRELFESSLCGDLLNEVQASEHTKSKHESRKEKRKKSNKHDSSRSEERKSHKIPKLEPEEQNRPNERVDTVSEKPREEPVLKEEAPVQPILSSVPTTEVSTGVKFQVGDLVWSKVGTYPWWPCMVSSDPQLEVHTKINTRGAREYHVQFFSNQPERAWVHEKRVREYKGHKQYEELLAEATKQASNHSEKQKIRKPRPQRERAQWDIGIAHAEKALKMTREERIEQYTFIYIDKQPEEALSQAKKSVASKTEVKKTRRPRSVLNTQPEQTNAGEVASSLSSTEIRRHSQRRHTSAEEEEPPPVKIAWKTAAARKSLPASITMHKGSLDLQKCNMSPVVKIEQVFALQNATGDGKFIDQFVYSTKGIGNKTEISVRGQDRLIISTPNQRNEKPTQSVSSPEATSGSTGSVEKKQQRRSIRTRSESEKSTEVVPKKKIKKEQVETVPQATVKTGLQKGASEISDSCKPLKKRSRASTDVEMTSSAYRDTSDSDSRGLSDLQVGFGKQVDSPSATADADVSDVQSMDSSLSRRGTGMSKKDTVCQICESSGDSLIPCEGECCKHFHLECLGLASLPDSKFICMECKTGQHPCFSCKVSGKDVKRCSVGACGKFYHEACVRKFPTAIFESKGFRCPQHCCSACSMEKDIHKASKGRMMRCLRCPVAYHSGDACIAAGSMLVSSYILICSNHSKRSSNSSAVNVGFCFVCARGLIVQDHSDPMFSSYAYKSHYLLNESNRAELMKLPMIPSSSASKKKCEKGGRLLCCESCPASFHPECLSIEMPEGCWNCNDCKAGKKLHYKQIVWVKLGNYRWWPAEICNPRSVPLNIQGLKHDLGDFPVFFFGSHDYYWVHQGRVFPYVEGDKSFAEGQTSINKTFKKALEEAAKRFQELKAQRESKEALEIEKNSRKPPPYKHIKANKVIGKVQIQVADLSEIPRCNCKPADENPCGLESECLNRMLQYECHPQVCPAGDRCQNQCFTKRLYPDAEIIKTERRGWGLRTKRSIKKGEFVNEYVGELIDEEECRLRIKRAHENSVTNFYMLTVTKDRIIDAGPKGNYSRFMNHSCNPNCETQKWTVNGDVRVGLFALCDIPAGMELTFNYNLDCLGNGRTECHCGADNCSGFLGVRPKSACASTNEEKAKNAKLKQKRRKIKTEPKQMHEDYCFQCGDGGELVMCDKKDCPKAYHLLCLNLTQPPYGKWECPWHQCDECSSAAVSFCEFCPHSFCKDHEKGALVPSALEGRLCCSEHDPMAPVSPEYWSKIKCKWESQDHGEEVKE
+>DECOY_sp|Q9BZ95|NSD3_HUMAN Histone-lysine N-methyltransferase NSD3 OS=Homo sapiens OX=9606 GN=NSD3 PE=1 SV=1
+EKVEEGHDQSEWKCKIKSWYEPSVPAMPDHESCCLRGELASPVLAGKEHDKCFSHPCFECFSVAASSCEDCQHWPCEWKGYPPQTLNLCLLHYAKPCDKKDCMVLEGGDGCQFCYDEHMQKPETKIKRRKQKLKANKAKEENTSACASKPRVGLFGSCNDAGCHCETRGNGLCDLNYNFTLEMGAPIDCLAFLGVRVDGNVTWKQTECNPNCSHNMFRSYNGKPGADIIRDKTVTLMYFNTVSNEHARKIRLRCEEEDILEGVYENVFEGKKISRKTRLGWGRRETKIIEADPYLRKTFCQNQCRDGAPCVQPHCEYQLMRNLCESELGCPNEDAPKCNCRPIESLDAVQIQVKGIVKNAKIHKYPPPKRSNKEIELAEKSERQAKLEQFRKAAEELAKKFTKNISTQGEAFSKDGEVYPFVRGQHVWYYDHSGFFFVPFDGLDHKLGQINLPVSRPNCIEAPWWRYNGLKVWVIQKYHLKKGAKCDNCNWCGEPMEISLCEPHFSAPCSECCLLRGGKECKKKSASSSPIMPLKMLEARNSENLLYHSKYAYSSFMPDSHDQVILGRACVFCFGVNVASSNSSRKSHNSCILIYSSVLMSGAAICADGSHYAVPCRLCRMMRGKSAKHIDKEMSCASCCHQPCRFGKSEFIATPFKRVCAEHYFKGCAGVSCRKVDKGSVKCSFCPHQGTKCEMCIFKSDPLSALGLCELHFHKCCEGECPILSDGSSECIQCVTDKKSMGTGRRSLSSDMSQVDSVDADATASPSDVQKGFGVQLDSLGRSDSDSTDRYASSTMEVDTSARSRKKLPKCSDSIESAGKQLGTKVTAQPVTEVQEKKIKKKPVVETSKESESRTRISRRQQKKEVSGTSGSTAEPSSVSQTPKENRQNPTSIILRDQGRVSIETKNGIGKTSYVFQDIFKGDGTANQLAFVQEIKVVPSMNCKQLDLSGKHMTISAPLSKRAAATKWAIKVPPPEEEEASTHRRQSHRRIETSSLSSAVEGANTQEPQTNLVSRPRRTKKVETKSAVSKKAQSLAEEPQKDIYIFTYQEIREERTMKLAKEAHAIGIDWQARERQPRPKRIKQKESHNSAQKTAEALLEEYQKHGKYERVRKEHVWAREPQNSFFQVHYERAGRTNIKTHVELQPDSSVMCPWWPYTGVKSWVLDGVQFKVGTSVETTPVSSLIPQVPAEEKLVPEERPKESVTDVRENPRNQEEPELKPIKHSKREESRSSDHKNSKKRKEKRSEHKSKTHESAQVENLLDGCLSSEFLERGNQITKTIKLKIEPSGTKKPIVTQPVSPPPPPQPPSPKELIEHPRTNPIESHYYDTPSFNRVAGFGNASGNPYQNYSQYKTQTEYVSISSPYGNTLPPLDETTAPYQFGQQLTAEYPTQGGDEAIDSNNDFADEQRINASDILQPPQQITNGMIGQMFSFSFDM
+>sp|Q8WV22|NSE1_HUMAN Non-structural maintenance of chromosomes element 1 homolog OS=Homo sapiens OX=9606 GN=NSMCE1 PE=1 SV=5
+MQGSTRRMGVMTDVHRRFLQLLMTHGVLEEWDVKRLQTHCYKVHDRNATVDKLEDFINNINSVLESLYIEIKRGVTEDDGRPIYALVNLATTSISKMATDFAENELDLFRKALELIIDSETGFASSTNILNLVDQLKGKKMRKKEAEQVLQKFVQNKWLIEKEGEFTLHGRAILEMEQYIRETYPDAVKICNICHSLLIQGQSCETCGIRMHLPCVAKYFQSNAEPRCPHCNDYWPHEIPKVFDPEKERESGVLKSNKKSLRSRQH
+>DECOY_sp|Q8WV22|NSE1_HUMAN Non-structural maintenance of chromosomes element 1 homolog OS=Homo sapiens OX=9606 GN=NSMCE1 PE=1 SV=5
+HQRSRLSKKNSKLVGSEREKEPDFVKPIEHPWYDNCHPCRPEANSQFYKAVCPLHMRIGCTECSQGQILLSHCINCIKVADPYTERIYQEMELIARGHLTFEGEKEILWKNQVFKQLVQEAEKKRMKKGKLQDVLNLINTSSAFGTESDIILELAKRFLDLENEAFDTAMKSISTTALNVLAYIPRGDDETVGRKIEIYLSELVSNINNIFDELKDVTANRDHVKYCHTQLRKVDWEELVGHTMLLQLFRRHVDTMVGMRRTSGQM
+>sp|Q96MG7|NSE3_HUMAN Non-structural maintenance of chromosomes element 3 homolog OS=Homo sapiens OX=9606 GN=NSMCE3 PE=1 SV=1
+MLQKPRNRGRSGGQAERDRDWSHSGNPGASRAGEDARVLRDGFAEEAPSTSRGPGGSQGSQGPSPQGARRAQAAPAVGPRSQKQLELKVSELVQFLLIKDQKKIPIKRADILKHVIGDYKDIFPDLFKRAAERLQYVFGYKLVELEPKSNTYILINTLEPVEEDAEMRGDQGTPTTGLLMIVLGLIFMKGNTIKETEAWDFLRRLGVYPTKKHLIFGDPKKLITEDFVRQRYLEYRRIPHTDPVDYEFQWGPRTNLETSKMKVLKFVAKVHNQDPKDWPAQYCEALADEENRARPQPSGPAPSS
+>DECOY_sp|Q96MG7|NSE3_HUMAN Non-structural maintenance of chromosomes element 3 homolog OS=Homo sapiens OX=9606 GN=NSMCE3 PE=1 SV=1
+SSPAPGSPQPRARNEEDALAECYQAPWDKPDQNHVKAVFKLVKMKSTELNTRPGWQFEYDVPDTHPIRRYELYRQRVFDETILKKPDGFILHKKTPYVGLRRLFDWAETEKITNGKMFILGLVIMLLGTTPTGQDGRMEADEEVPELTNILIYTNSKPELEVLKYGFVYQLREAARKFLDPFIDKYDGIVHKLIDARKIPIKKQDKILLFQVLESVKLELQKQSRPGVAPAAQARRAGQPSPGQSGQSGGPGRSTSPAEEAFGDRLVRADEGARSAGPNGSHSWDRDREAQGGSRGRNRPKQLM
+>sp|Q9UNZ2|NSF1C_HUMAN NSFL1 cofactor p47 OS=Homo sapiens OX=9606 GN=NSFL1C PE=1 SV=2
+MAAERQEALREFVAVTGAEEDRARFFLESAGWDLQIALASFYEDGGDEDIVTISQATPSSVSRGTAPSDNRVTSFRDLIHDQDEDEEEEEGQRFYAGGSERSGQQIVGPPRKKSPNELVDDLFKGAKEHGAVAVERVTKSPGETSKPRPFAGGGYRLGAAPEEESAYVAGEKRQHSSQDVHVVLKLWKSGFSLDNGELRSYQDPSNAQFLESIRRGEVPAELRRLAHGGQVNLDMEDHRDEDFVKPKGAFKAFTGEGQKLGSTAPQVLSTSSPAQQAENEAKASSSILIDESEPTTNIQIRLADGGRLVQKFNHSHRISDIRLFIVDARPAMAATSFILMTTFPNKELADESQTLKEANLLNAVIVQRLT
+>DECOY_sp|Q9UNZ2|NSF1C_HUMAN NSFL1 cofactor p47 OS=Homo sapiens OX=9606 GN=NSFL1C PE=1 SV=2
+TLRQVIVANLLNAEKLTQSEDALEKNPFTTMLIFSTAAMAPRADVIFLRIDSIRHSHNFKQVLRGGDALRIQINTTPESEDILISSSAKAENEAQQAPSSTSLVQPATSGLKQGEGTFAKFAGKPKVFDEDRHDEMDLNVQGGHALRRLEAPVEGRRISELFQANSPDQYSRLEGNDLSFGSKWLKLVVHVDQSSHQRKEGAVYASEEEPAAGLRYGGGAFPRPKSTEGPSKTVREVAVAGHEKAGKFLDDVLENPSKKRPPGVIQQGSRESGGAYFRQGEEEEEDEDQDHILDRFSTVRNDSPATGRSVSSPTAQSITVIDEDGGDEYFSALAIQLDWGASELFFRARDEEAGTVAVFERLAEQREAAM
+>sp|O60906|NSMA_HUMAN Sphingomyelin phosphodiesterase 2 OS=Homo sapiens OX=9606 GN=SMPD2 PE=1 SV=2
+MKPNFSLRLRIFNLNCWGIPYLSKHRADRMRRLGDFLNQESFDLALLEEVWSEQDFQYLRQKLSPTYPAAHHFRSGIIGSGLCVFSKHPIQELTQHIYTLNGYPYMIHHGDWFSGKAVGLLVLHLSGMVLNAYVTHLHAEYNRQKDIYLAHRVAQAWELAQFIHHTSKKADVVLLCGDLNMHPEDLGCCLLKEWTGLHDAYLETRDFKGSEEGNTMVPKNCYVSQQELKPFPFGVRIDYVLYKAVSGFYISCKSFETTTGFDPHRGTPLSDHEALMATLFVRHSPPQQNPSSTHGPAERSPLMCVLKEAWTELGLGMAQARWWATFASYVIGLGLLLLALLCVLAAGGGAGEAAILLWTPSVGLVLWAGAFYLFHVQEVNGLYRAQAELQHVLGRAREAQDLGPEPQPALLLGQQEGDRTKEQ
+>DECOY_sp|O60906|NSMA_HUMAN Sphingomyelin phosphodiesterase 2 OS=Homo sapiens OX=9606 GN=SMPD2 PE=1 SV=2
+QEKTRDGEQQGLLLAPQPEPGLDQAERARGLVHQLEAQARYLGNVEQVHFLYFAGAWLVLGVSPTWLLIAAEGAGGGAALVCLLALLLLGLGIVYSAFTAWWRAQAMGLGLETWAEKLVCMLPSREAPGHTSSPNQQPPSHRVFLTAMLAEHDSLPTGRHPDFGTTTEFSKCSIYFGSVAKYLVYDIRVGFPFPKLEQQSVYCNKPVMTNGEESGKFDRTELYADHLGTWEKLLCCGLDEPHMNLDGCLLVVDAKKSTHHIFQALEWAQAVRHALYIDKQRNYEAHLHTVYANLVMGSLHLVLLGVAKGSFWDGHHIMYPYGNLTYIHQTLEQIPHKSFVCLGSGIIGSRFHHAAPYTPSLKQRLYQFDQESWVEELLALDFSEQNLFDGLRRMRDARHKSLYPIGWCNLNFIRLRLSFNPKM
+>sp|Q6X4W1|NSMF_HUMAN NMDA receptor synaptonuclear signaling and neuronal migration factor OS=Homo sapiens OX=9606 GN=NSMF PE=1 SV=1
+MGAAASRRRALRSEAMSSVAAKVRAARAFGEYLSQSHPENRNGADHLLADAYSGHDGSPEMQPAPQNKRRLSLVSNGCYEGSLSEEPSIRKPAGEGPQPRVYTISGEPALLPSPEAEAIELAVVKGRRQRHPHHHSQPLRASPGGSREDVSRPCQSWAGSRQGSKECPGCAQLAPGPTPRAFGLDQPPLPETSGRRKKLERMYSVDRVSDDIPIRTWFPKENLFSFQTATTTMQAISVFRGYAERKRRKRENDSASVIQRNFRKHLRMVGSRRVKAQTFAERRERSFSRSWSDPTPMKADTSHDSRDSSDLQSSHCTLDEAFEDLDWDTEKGLEAVACDTEGFVPPKVMLISSKVPKAEYIPTIIRRDDPSIIPILYDHEHATFEDILEEIERKLNVYHKGAKIWKMLIFCQGGPGHLYLLKNKVATFAKVEKEEDMIHFWKRLSRLMSKVNPEPNVIHIMGCYILGNPNGEKLFQNLRTLMTPYRVTFESPLELSAQGKQMIETYFDFRLYRLWKSRQHSKLLDFDDVL
+>DECOY_sp|Q6X4W1|NSMF_HUMAN NMDA receptor synaptonuclear signaling and neuronal migration factor OS=Homo sapiens OX=9606 GN=NSMF PE=1 SV=1
+LVDDFDLLKSHQRSKWLRYLRFDFYTEIMQKGQASLELPSEFTVRYPTMLTRLNQFLKEGNPNGLIYCGMIHIVNPEPNVKSMLRSLRKWFHIMDEEKEVKAFTAVKNKLLYLHGPGGQCFILMKWIKAGKHYVNLKREIEELIDEFTAHEHDYLIPIISPDDRRIITPIYEAKPVKSSILMVKPPVFGETDCAVAELGKETDWDLDEFAEDLTCHSSQLDSSDRSDHSTDAKMPTPDSWSRSFSRERREAFTQAKVRRSGVMRLHKRFNRQIVSASDNERKRRKREAYGRFVSIAQMTTTATQFSFLNEKPFWTRIPIDDSVRDVSYMRELKKRRGSTEPLPPQDLGFARPTPGPALQACGPCEKSGQRSGAWSQCPRSVDERSGGPSARLPQSHHHPHRQRRGKVVALEIAEAEPSPLLAPEGSITYVRPQPGEGAPKRISPEESLSGEYCGNSVLSLRRKNQPAPQMEPSGDHGSYADALLHDAGNRNEPHSQSLYEGFARAARVKAAVSSMAESRLARRRSAAAGM
+>sp|Q63ZY6|NSN5C_HUMAN Putative methyltransferase NSUN5C OS=Homo sapiens OX=9606 GN=NSUN5P2 PE=5 SV=2
+MPELLVFPAQTDLHEHPLYRAGHLILQDRASCLPAMLLDPRQAPMSWMPVPPQAIKTSHLAALLKNQGKIFAFDLDARRLASMATLLAWAGVSCCELAEEDFLAVSPLDPRYREVHYVLLDPSCSGSGMPSRQLEEPGAGTPSPVRLHALAGFQQRALCHALTFPSLQRLVYSMCSLCQEENEDMVQDALQQNPGAFRLAPALPARPHRGLSTFPGAEHCLRASPKTTLSGGFFVAVIERVEMPTSASQAKASAPERTPSPAPKRKKRAKSCSRCLHTALHIAEAPGSLLPGGKGRCLSSPWKTLGPHRRQQFAF
+>DECOY_sp|Q63ZY6|NSN5C_HUMAN Putative methyltransferase NSUN5C OS=Homo sapiens OX=9606 GN=NSUN5P2 PE=5 SV=2
+FAFQQRRHPGLTKWPSSLCRGKGGPLLSGPAEAIHLATHLCRSCSKARKKRKPAPSPTREPASAKAQSASTPMEVREIVAVFFGGSLTTKPSARLCHEAGPFTSLGRHPRAPLAPALRFAGPNQQLADQVMDENEEQCLSCMSYVLRQLSPFTLAHCLARQQFGALAHLRVPSPTGAGPEELQRSPMGSGSCSPDLLVYHVERYRPDLPSVALFDEEALECCSVGAWALLTAMSALRRADLDFAFIKGQNKLLAALHSTKIAQPPVPMWSMPAQRPDLLMAPLCSARDQLILHGARYLPHEHLDTQAPFVLLEPM
+>sp|Q9H0G5|NSRP1_HUMAN Nuclear speckle splicing regulatory protein 1 OS=Homo sapiens OX=9606 GN=NSRP1 PE=1 SV=1
+MAIPGRQYGLILPKKTQQLHPVLQKPSVFGNDSDDDDETSVSESLQREAAKKQAMKQTKLEIQKALAEDATVYEYDSIYDEMQKKKEENNPKLLLGKDRKPKYIHNLLKAVEIRKKEQEKRMEKKIQREREMEKGEFDDKEAFVTSAYKKKLQERAEEEEREKRAAALEACLDVTKQKDLSGFYRHLLNQAVGEEEVPKCSFREARSGIKEEKSRGFSNEVSSKNRIPQEKCILQTDVKVEENPDADSDFDAKSSADDEIEETRVNCRREKVIETPENDFKHHRSQNHSRSPSEERGHSTRHHTKGSRTSRGHEKREDQHQQKQSRDQENHYTDRDYRKERDSHRHREASHRDSHWKRHEQEDKPRARDQRERSDRVWKREKDREKYSQREQERDRQQNDQNRPSEKGEKEEKSKAKEEHMKVRKERYENNDKYRDREKREVGVQSSERNQDRKESSPNSRAKDKFLDQERSNKMRNMAKDKERNQEKPSNSESSLGAKHRLTEEGQEKGKEQERPPEAVSKFAKRNNEETVMSARDRYLARQMARVNAKTYIEKEDD
+>DECOY_sp|Q9H0G5|NSRP1_HUMAN Nuclear speckle splicing regulatory protein 1 OS=Homo sapiens OX=9606 GN=NSRP1 PE=1 SV=1
+DDEKEIYTKANVRAMQRALYRDRASMVTEENNRKAFKSVAEPPREQEKGKEQGEETLRHKAGLSSESNSPKEQNREKDKAMNRMKNSREQDLFKDKARSNPSSEKRDQNRESSQVGVERKERDRYKDNNEYREKRVKMHEEKAKSKEEKEGKESPRNQDNQQRDREQERQSYKERDKERKWVRDSRERQDRARPKDEQEHRKWHSDRHSAERHRHSDREKRYDRDTYHNEQDRSQKQQHQDERKEHGRSTRSGKTHHRTSHGREESPSRSHNQSRHHKFDNEPTEIVKERRCNVRTEEIEDDASSKADFDSDADPNEEVKVDTQLICKEQPIRNKSSVENSFGRSKEEKIGSRAERFSCKPVEEEGVAQNLLHRYFGSLDKQKTVDLCAELAAARKEREEEEAREQLKKKYASTVFAEKDDFEGKEMERERQIKKEMRKEQEKKRIEVAKLLNHIYKPKRDKGLLLKPNNEEKKKQMEDYISDYEYVTADEALAKQIELKTQKMAQKKAAERQLSESVSTEDDDDSDNGFVSPKQLVPHLQQTKKPLILGYQRGPIAM
+>sp|Q86UY8|NT5D3_HUMAN 5'-nucleotidase domain-containing protein 3 OS=Homo sapiens OX=9606 GN=NT5DC3 PE=1 SV=1
+MTMAAAAVVARGAGARAATAAALRGGCGTAARGRPCAGPARPLCTAPGTAPDMKRYLWERYREAKRSTEELVPSIMSNLLNPDAIFSNNEMSLSDIEIYGFDYDYTLVFYSKHLHTLIFNAARDLLINEHRYPAEIRKYEYDPNFAIRGLHYDVQRAVLMKIDAFHYIQLGTVYRGLSVVPDEEVIEMYEGSHVPLEQMSDFYGKSSHGNTMKQFMDIFSLPEMTLLSCVNEYFLKNNIDYEPVHLYKDVKDSIRDVHIKGIMYRAIEADIEKYICYAEQTRAVLAKLADHGKKMFLITNSPSSFVDKGMSYIVGKDWRDLFDVVIVQAEKPNFFNDKRRPFRKMNEKGVLLWDKIHKLQKGQIYKQGNLYEFLKLTGWRGSRVLYFGDHIYSDLADLTLKHGWRTGAIIPELRSELKIMNTEQYIQTMTWLQTLTGLLEQMQVHRDAESQLVLQEWKKERKEMREMTKSFFNAQFGSLFRTDQNPTYFLRRLSRFADIYMASLSCLLNYDVSHTFYPRRTPLQHELPAWSERPPTFGTPLLQEAQAK
+>DECOY_sp|Q86UY8|NT5D3_HUMAN 5'-nucleotidase domain-containing protein 3 OS=Homo sapiens OX=9606 GN=NT5DC3 PE=1 SV=1
+KAQAEQLLPTGFTPPRESWAPLEHQLPTRRPYFTHSVDYNLLCSLSAMYIDAFRSLRRLFYTPNQDTRFLSGFQANFFSKTMERMEKREKKWEQLVLQSEADRHVQMQELLGTLTQLWTMTQIYQETNMIKLESRLEPIIAGTRWGHKLTLDALDSYIHDGFYLVRSGRWGTLKLFEYLNGQKYIQGKQLKHIKDWLLVGKENMKRFPRRKDNFFNPKEAQVIVVDFLDRWDKGVIYSMGKDVFSSPSNTILFMKKGHDALKALVARTQEAYCIYKEIDAEIARYMIGKIHVDRISDKVDKYLHVPEYDINNKLFYENVCSLLTMEPLSFIDMFQKMTNGHSSKGYFDSMQELPVHSGEYMEIVEEDPVVSLGRYVTGLQIYHFADIKMLVARQVDYHLGRIAFNPDYEYKRIEAPYRHENILLDRAANFILTHLHKSYFVLTYDYDFGYIEIDSLSMENNSFIADPNLLNSMISPVLEETSRKAERYREWLYRKMDPATGPATCLPRAPGACPRGRAATGCGGRLAAATAARAGAGRAVVAAAAMTM
+>sp|Q96HA8|NTAQ1_HUMAN Protein N-terminal glutamine amidohydrolase OS=Homo sapiens OX=9606 GN=WDYHV1 PE=1 SV=2
+MEGNGPAAVHYQPASPPRDACVYSSCYCEENIWKLCEYIKNHDQYPLEECYAVFISNERKMIPIWKQQARPGDGPVIWDYHVVLLHVSSGGQNFIYDLDTVLPFPCLFDTYVEDAFKSDDDIHPQFRRKFRVIRADSYLKNFASDRSHMKDSSGNWREPPPPYPCIETGDSKMNLNDFISMDPKVGWGAVYTLSEFTHRFGSKNC
+>DECOY_sp|Q96HA8|NTAQ1_HUMAN Protein N-terminal glutamine amidohydrolase OS=Homo sapiens OX=9606 GN=WDYHV1 PE=1 SV=2
+CNKSGFRHTFESLTYVAGWGVKPDMSIFDNLNMKSDGTEICPYPPPPERWNGSSDKMHSRDSAFNKLYSDARIVRFKRRFQPHIDDDSKFADEVYTDFLCPFPLVTDLDYIFNQGGSSVHLLVVHYDWIVPGDGPRAQQKWIPIMKRENSIFVAYCEELPYQDHNKIYECLKWINEECYCSSYVCADRPPSAPQYHVAAPGNGEM
+>sp|Q0GE19|NTCP7_HUMAN Sodium/bile acid cotransporter 7 OS=Homo sapiens OX=9606 GN=SLC10A7 PE=1 SV=2
+MRLLERMRKDWFMVGIVLAIAGAKLEPSIGVNGGPLKPEITVSYIAVATIFFNSGLSLKTEELTSALVHLKLHLFIQIFTLAFFPATIWLFLQLLSITPINEWLLKGLQTVGCMPPPVSSAVILTKAVGGNEAAAIFNSAFGSFLGIVITPLLLLLFLGSSSSVPFTSIFSQLFMTVVVPLIIGQIVRRYIKDWLERKKPPFGAISSSVLLMIIYTTFCDTFSNPNIDLDKFSLVLILFIIFSIQLSFMLLTFIFSTRNNSGFTPADTVAIIFCSTHKSLTLGIPMLKIVFAGHEHLSLISVPLLIYHPAQILLGSVLVPTIKSWMVSRQKGVKLTRPTV
+>DECOY_sp|Q0GE19|NTCP7_HUMAN Sodium/bile acid cotransporter 7 OS=Homo sapiens OX=9606 GN=SLC10A7 PE=1 SV=2
+VTPRTLKVGKQRSVMWSKITPVLVSGLLIQAPHYILLPVSILSLHEHGAFVIKLMPIGLTLSKHTSCFIIAVTDAPTFGSNNRTSFIFTLLMFSLQISFIIFLILVLSFKDLDINPNSFTDCFTTYIIMLLVSSSIAGFPPKKRELWDKIYRRVIQGIILPVVVTMFLQSFISTFPVSSSSGLFLLLLLPTIVIGLFSGFASNFIAAAENGGVAKTLIVASSVPPPMCGVTQLGKLLWENIPTISLLQLFLWITAPFFALTFIQIFLHLKLHVLASTLEETKLSLGSNFFITAVAIYSVTIEPKLPGGNVGISPELKAGAIALVIGVMFWDKRMRELLRM
+>sp|Q8TB37|NUBPL_HUMAN Iron-sulfur protein NUBPL OS=Homo sapiens OX=9606 GN=NUBPL PE=1 SV=3
+MGIWQRLLLFGGVSLRAGGGATAPLGGSRAMVCGRQLSGAGSETLKQRRTQIMSRGLPKQKPIEGVKQVIVVASGKGGVGKSTTAVNLALALAANDSSKAIGLLDVDVYGPSVPKMMNLKGNPELSQSNLMRPLLNYGIACMSMGFLVEESEPVVWRGLMVMSAIEKLLRQVDWGQLDYLVVDMPPGTGDVQLSVSQNIPITGAVIVSTPQDIALMDAHKGAEMFRRVHVPVLGLVQNMSVFQCPKCKHKTHIFGADGARKLAQTLGLEVLGDIPLHLNIREASDTGQPIVFSQPESDEAKAYLRIAVEVVRRLPSPSE
+>DECOY_sp|Q8TB37|NUBPL_HUMAN Iron-sulfur protein NUBPL OS=Homo sapiens OX=9606 GN=NUBPL PE=1 SV=3
+ESPSPLRRVVEVAIRLYAKAEDSEPQSFVIPQGTDSAERINLHLPIDGLVELGLTQALKRAGDAGFIHTKHKCKPCQFVSMNQVLGLVPVHVRRFMEAGKHADMLAIDQPTSVIVAGTIPINQSVSLQVDGTGPPMDVVLYDLQGWDVQRLLKEIASMVMLGRWVVPESEEVLFGMSMCAIGYNLLPRMLNSQSLEPNGKLNMMKPVSPGYVDVDLLGIAKSSDNAALALALNVATTSKGVGGKGSAVVIVQKVGEIPKQKPLGRSMIQTRRQKLTESGAGSLQRGCVMARSGGLPATAGGGARLSVGGFLLLRQWIGM
+>sp|P80303|NUCB2_HUMAN Nucleobindin-2 OS=Homo sapiens OX=9606 GN=NUCB2 PE=1 SV=3
+MRWRTILLQYCFLLITCLLTALEAVPIDIDKTKVQNIHPVESAKIEPPDTGLYYDEYLKQVIDVLETDKHFREKLQKADIEEIKSGRLSKELDLVSHHVRTKLDELKRQEVGRLRMLIKAKLDSLQDIGMDHQALLKQFDHLNHLNPDKFESTDLDMLIKAATSDLEHYDKTRHEEFKKYEMMKEHERREYLKTLNEEKRKEEESKFEEMKKKHENHPKVNHPGSKDQLKEVWEETDGLDPNDFDPKTFFKLHDVNSDGFLDEQELEALFTKELEKVYDPKNEEDDMVEMEEERLRMREHVMNEVDTNKDRLVTLEEFLKATEKKEFLEPDSWETLDQQQFFTEEELKEYENIIALQENELKKKADELQKQKEELQRQHDQLEAQKLEYHQVIQQMEQKKLQQGIPPSGPAGELKFEPHI
+>DECOY_sp|P80303|NUCB2_HUMAN Nucleobindin-2 OS=Homo sapiens OX=9606 GN=NUCB2 PE=1 SV=3
+IHPEFKLEGAPGSPPIGQQLKKQEMQQIVQHYELKQAELQDHQRQLEEKQKQLEDAKKKLENEQLAIINEYEKLEEETFFQQQDLTEWSDPELFEKKETAKLFEELTVLRDKNTDVENMVHERMRLREEEMEVMDDEENKPDYVKELEKTFLAELEQEDLFGDSNVDHLKFFTKPDFDNPDLGDTEEWVEKLQDKSGPHNVKPHNEHKKKMEEFKSEEEKRKEENLTKLYERREHEKMMEYKKFEEHRTKDYHELDSTAAKILMDLDTSEFKDPNLHNLHDFQKLLAQHDMGIDQLSDLKAKILMRLRGVEQRKLEDLKTRVHHSVLDLEKSLRGSKIEEIDAKQLKERFHKDTELVDIVQKLYEDYYLGTDPPEIKASEVPHINQVKTKDIDIPVAELATLLCTILLFCYQLLITRWRM
+>sp|Q8IVD9|NUDC3_HUMAN NudC domain-containing protein 3 OS=Homo sapiens OX=9606 GN=NUDCD3 PE=1 SV=3
+METGAAELYDQALLGILQHVGNVQDFLRVLFGFLYRKTDFYRLLRHPSDRMGFPPGAAQALVLQVFKTFDHMARQDDEKRRQELEEKIRRKEEEEAKTVSAAAAEKEPVPVPVQEIEIDSTTELDGHQEVEKVQPPGPVKEMAHGSQEAEAPGAVAGAAEVPREPPILPRIQEQFQKNPDSYNGAVRENYTWSQDYTDLEVRVPVPKHVVKGKQVSVALSSSSIRVAMLEENGERVLMEGKLTHKINTESSLWSLEPGKCVLVNLSKVGEYWWNAILEGEEPIDIDKINKERSMATVDEEEQAVLDRLTFDYHQKLQGKPQSHELKVHEMLKKGWDAEGSPFRGQRFDPAMFNISPGAVQF
+>DECOY_sp|Q8IVD9|NUDC3_HUMAN NudC domain-containing protein 3 OS=Homo sapiens OX=9606 GN=NUDCD3 PE=1 SV=3
+FQVAGPSINFMAPDFRQGRFPSGEADWGKKLMEHVKLEHSQPKGQLKQHYDFTLRDLVAQEEEDVTAMSREKNIKDIDIPEEGELIANWWYEGVKSLNVLVCKGPELSWLSSETNIKHTLKGEMLVREGNEELMAVRISSSSLAVSVQKGKVVHKPVPVRVELDTYDQSWTYNERVAGNYSDPNKQFQEQIRPLIPPERPVEAAGAVAGPAEAEQSGHAMEKVPGPPQVKEVEQHGDLETTSDIEIEQVPVPVPEKEAAAASVTKAEEEEKRRIKEELEQRRKEDDQRAMHDFTKFVQLVLAQAAGPPFGMRDSPHRLLRYFDTKRYLFGFLVRLFDQVNGVHQLIGLLAQDYLEAAGTEM
+>sp|O95989|NUDT3_HUMAN Diphosphoinositol polyphosphate phosphohydrolase 1 OS=Homo sapiens OX=9606 GN=NUDT3 PE=1 SV=1
+MMKLKSNQTRTYDGDGYKKRAACLCFRSESEEEVLLVSSSRHPDRWIVPGGGMEPEEEPSVAAVREVCEEAGVKGTLGRLVGIFENQERKHRTYVYVLIVTEVLEDWEDSVNIGRKREWFKIEDAIKVLQYHKPVQASYFETLRQGYSANNGTPVVATTYSVSAQSSMSGIR
+>DECOY_sp|O95989|NUDT3_HUMAN Diphosphoinositol polyphosphate phosphohydrolase 1 OS=Homo sapiens OX=9606 GN=NUDT3 PE=1 SV=1
+RIGSMSSQASVSYTTAVVPTGNNASYGQRLTEFYSAQVPKHYQLVKIADEIKFWERKRGINVSDEWDELVETVILVYVYTRHKREQNEFIGVLRGLTGKVGAEECVERVAAVSPEEEPEMGGGPVIWRDPHRSSSVLLVEEESESRFCLCAARKKYGDGDYTRTQNSKLKMM
+>sp|Q9UKK9|NUDT5_HUMAN ADP-sugar pyrophosphatase OS=Homo sapiens OX=9606 GN=NUDT5 PE=1 SV=1
+MESQEPTESSQNGKQYIISEELISEGKWVKLEKTTYMDPTGKTRTWESVKRTTRKEQTADGVAVIPVLQRTLHYECIVLVKQFRPPMGGYCIEFPAGLIDDGETPEAAALRELEEETGYKGDIAECSPAVCMDPGLSNCTIHIVTVTINGDDAENARPKPKPGDGEFVEVISLPKNDLLQRLDALVAEEHLTVDARVYSYALALKHANAKPFEVPFLKF
+>DECOY_sp|Q9UKK9|NUDT5_HUMAN ADP-sugar pyrophosphatase OS=Homo sapiens OX=9606 GN=NUDT5 PE=1 SV=1
+FKLFPVEFPKANAHKLALAYSYVRADVTLHEEAVLADLRQLLDNKPLSIVEVFEGDGPKPKPRANEADDGNITVTVIHITCNSLGPDMCVAPSCEAIDGKYGTEEELERLAAAEPTEGDDILGAPFEICYGGMPPRFQKVLVICEYHLTRQLVPIVAVGDATQEKRTTRKVSEWTRTKGTPDMYTTKELKVWKGESILEESIIYQKGNQSSETPEQSEM
+>sp|Q14980|NUMA1_HUMAN Nuclear mitotic apparatus protein 1 OS=Homo sapiens OX=9606 GN=NUMA1 PE=1 SV=2
+MTLHATRGAALLSWVNSLHVADPVEAVLQLQDCSIFIKIIDRIHGTEEGQQILKQPVSERLDFVCSFLQKNRKHPSSPECLVSAQKVLEGSELELAKMTMLLLYHSTMSSKSPRDWEQFEYKIQAELAVILKFVLDHEDGLNLNEDLENFLQKAPVPSTCSSTFPEELSPPSHQAKREIRFLELQKVASSSSGNNFLSGSPASPMGDILQTPQFQMRRLKKQLADERSNRDELELELAENRKLLTEKDAQIAMMQQRIDRLALLNEKQAASPLEPKELEELRDKNESLTMRLHETLKQCQDLKTEKSQMDRKINQLSEENGDLSFKLREFASHLQQLQDALNELTEEHSKATQEWLEKQAQLEKELSAALQDKKCLEEKNEILQGKLSQLEEHLSQLQDNPPQEKGEVLGDVLQLETLKQEAATLAANNTQLQARVEMLETERGQQEAKLLAERGHFEEEKQQLSSLITDLQSSISNLSQAKEELEQASQAHGARLTAQVASLTSELTTLNATIQQQDQELAGLKQQAKEKQAQLAQTLQQQEQASQGLRHQVEQLSSSLKQKEQQLKEVAEKQEATRQDHAQQLATAAEEREASLRERDAALKQLEALEKEKAAKLEILQQQLQVANEARDSAQTSVTQAQREKAELSRKVEELQACVETARQEQHEAQAQVAELELQLRSEQQKATEKERVAQEKDQLQEQLQALKESLKVTKGSLEEEKRRAADALEEQQRCISELKAETRSLVEQHKRERKELEEERAGRKGLEARLQQLGEAHQAETEVLRRELAEAMAAQHTAESECEQLVKEVAAWRERYEDSQQEEAQYGAMFQEQLMTLKEECEKARQELQEAKEKVAGIESHSELQISRQQNELAELHANLARALQQVQEKEVRAQKLADDLSTLQEKMAATSKEVARLETLVRKAGEQQETASRELVKEPARAGDRQPEWLEEQQGRQFCSTQAALQAMEREAEQMGNELERLRAALMESQGQQQEERGQQEREVARLTQERGRAQADLALEKAARAELEMRLQNALNEQRVEFATLQEALAHALTEKEGKDQELAKLRGLEAAQIKELEELRQTVKQLKEQLAKKEKEHASGSGAQSEAAGRTEPTGPKLEALRAEVSKLEQQCQKQQEQADSLERSLEAERASRAERDSALETLQGQLEEKAQELGHSQSALASAQRELAAFRTKVQDHSKAEDEWKAQVARGRQEAERKNSLISSLEEEVSILNRQVLEKEGESKELKRLVMAESEKSQKLEERLRLLQAETASNSARAAERSSALREEVQSLREEAEKQRVASENLRQELTSQAERAEELGQELKAWQEKFFQKEQALSTLQLEHTSTQALVSELLPAKHLCQQLQAEQAAAEKRHREELEQSKQAAGGLRAELLRAQRELGELIPLRQKVAEQERTAQQLRAEKASYAEQLSMLKKAHGLLAEENRGLGERANLGRQFLEVELDQAREKYVQELAAVRADAETRLAEVQREAQSTARELEVMTAKYEGAKVKVLEERQRFQEERQKLTAQVEQLEVFQREQTKQVEELSKKLADSDQASKVQQQKLKAVQAQGGESQQEAQRLQAQLNELQAQLSQKEQAAEHYKLQMEKAKTHYDAKKQQNQELQEQLRSLEQLQKENKELRAEAERLGHELQQAGLKTKEAEQTCRHLTAQVRSLEAQVAHADQQLRDLGKFQVATDALKSREPQAKPQLDLSIDSLDLSCEEGTPLSITSKLPRTQPDGTSVPGEPASPISQRLPPKVESLESLYFTPIPARSQAPLESSLDSLGDVFLDSGRKTRSARRRTTQIINITMTKKLDVEEPDSANSSFYSTRSAPASQASLRATSSTQSLARLGSPDYGNSALLSLPGYRPTTRSSARRSQAGVSSGAPPGRNSFYMGTCQDEPEQLDDWNRIAELQQRNRVCPPHLKTCYPLESRPSLSLGTITDEEMKTGDPQETLRRASMQPIQIAEGTGITTRQQRKRVSLEPHQGPGTPESKKATSCFPRPMTPRDRHEGRKQSTTEAQKKAAPASTKQADRRQSMAFSILNTPKKLGNSLLRRGASKKALSKASPNTRSGTRRSPRIATTTASAATAAAIGATPRAKGKAKH
+>DECOY_sp|Q14980|NUMA1_HUMAN Nuclear mitotic apparatus protein 1 OS=Homo sapiens OX=9606 GN=NUMA1 PE=1 SV=2
+HKAKGKARPTAGIAAATAASATTTAIRPSRRTGSRTNPSAKSLAKKSAGRRLLSNGLKKPTNLISFAMSQRRDAQKTSAPAAKKQAETTSQKRGEHRDRPTMPRPFCSTAKKSEPTGPGQHPELSVRKRQQRTTIGTGEAIQIPQMSARRLTEQPDGTKMEEDTITGLSLSPRSELPYCTKLHPPCVRNRQQLEAIRNWDDLQEPEDQCTGMYFSNRGPPAGSSVGAQSRRASSRTTPRYGPLSLLASNGYDPSGLRALSQTSSTARLSAQSAPASRTSYFSSNASDPEEVDLKKTMTINIIQTTRRRASRTKRGSDLFVDGLSDLSSELPAQSRAPIPTFYLSELSEVKPPLRQSIPSAPEGPVSTGDPQTRPLKSTISLPTGEECSLDLSDISLDLQPKAQPERSKLADTAVQFKGLDRLQQDAHAVQAELSRVQATLHRCTQEAEKTKLGAQQLEHGLREAEARLEKNEKQLQELSRLQEQLEQNQQKKADYHTKAKEMQLKYHEAAQEKQSLQAQLENLQAQLRQAEQQSEGGQAQVAKLKQQQVKSAQDSDALKKSLEEVQKTQERQFVELQEVQATLKQREEQFRQREELVKVKAGEYKATMVELERATSQAERQVEALRTEADARVAALEQVYKERAQDLEVELFQRGLNAREGLGRNEEALLGHAKKLMSLQEAYSAKEARLQQATREQEAVKQRLPILEGLERQARLLEARLGGAAQKSQELEERHRKEAAAQEAQLQQCLHKAPLLESVLAQTSTHELQLTSLAQEKQFFKEQWAKLEQGLEEAREAQSTLEQRLNESAVRQKEAEERLSQVEERLASSREAARASNSATEAQLLRLREELKQSKESEAMVLRKLEKSEGEKELVQRNLISVEEELSSILSNKREAEQRGRAVQAKWEDEAKSHDQVKTRFAALERQASALASQSHGLEQAKEELQGQLTELASDREARSAREAELSRELSDAQEQQKQCQQELKSVEARLAELKPGTPETRGAAESQAGSGSAHEKEKKALQEKLQKVTQRLEELEKIQAAELGRLKALEQDKGEKETLAHALAEQLTAFEVRQENLANQLRMELEARAAKELALDAQARGREQTLRAVEREQQGREEQQQGQSEMLAARLRELENGMQEAEREMAQLAAQTSCFQRGQQEELWEPQRDGARAPEKVLERSATEQQEGAKRVLTELRAVEKSTAAMKEQLTSLDDALKQARVEKEQVQQLARALNAHLEALENQQRSIQLESHSEIGAVKEKAEQLEQRAKECEEKLTMLQEQFMAGYQAEEQQSDEYRERWAAVEKVLQECESEATHQAAMAEALERRLVETEAQHAEGLQQLRAELGKRGAREEELEKRERKHQEVLSRTEAKLESICRQQEELADAARRKEEELSGKTVKLSEKLAQLQEQLQDKEQAVREKETAKQQESRLQLELEAVQAQAEHQEQRATEVCAQLEEVKRSLEAKERQAQTVSTQASDRAENAVQLQQQLIELKAAKEKELAELQKLAADRERLSAEREEAATALQQAHDQRTAEQKEAVEKLQQEKQKLSSSLQEVQHRLGQSAQEQQQLTQALQAQKEKAQQKLGALEQDQQQITANLTTLESTLSAVQATLRAGHAQSAQELEEKAQSLNSISSQLDTILSSLQQKEEEFHGREALLKAEQQGRETELMEVRAQLQTNNAALTAAEQKLTELQLVDGLVEGKEQPPNDQLQSLHEELQSLKGQLIENKEELCKKDQLAASLEKELQAQKELWEQTAKSHEETLENLADQLQQLHSAFERLKFSLDGNEESLQNIKRDMQSKETKLDQCQKLTEHLRMTLSENKDRLEELEKPELPSAAQKENLLALRDIRQQMMAIQADKETLLKRNEALELELEDRNSREDALQKKLRRMQFQPTQLIDGMPSAPSGSLFNNGSSSSAVKQLELFRIERKAQHSPPSLEEPFTSSCTSPVPAKQLFNELDENLNLGDEHDLVFKLIVALEAQIKYEFQEWDRPSKSSMTSHYLLLMTMKALELESGELVKQASVLCEPSSPHKRNKQLFSCVFDLRESVPQKLIQQGEETGHIRDIIKIFISCDQLQLVAEVPDAVHLSNVWSLLAAGRTAHLTM
+>sp|Q8NFH4|NUP37_HUMAN Nucleoporin Nup37 OS=Homo sapiens OX=9606 GN=NUP37 PE=1 SV=1
+MKQDASRNAAYTVDCEDYVHVVEFNPFENGDSGNLIAYGGNNYVVIGTCTFQEEEADVEGIQYKTLRTFHHGVRVDGIAWSPETRLDSLPPVIKFCTSAADMKIRLFTSDLQDKNEYKVLEGHTDFINGLVFDPKEGQEIASVSDDHTCRIWNLEGVQTAHFVLHSPGMSVCWHPEETFKLMVAEKNGTIRFYDLLAQQAILSLESEQVPLMSAHWCLKNTFKVGAVAGNDWLIWDITRSSYPQNKRPVHMDRACLFRWSTISENLFATTGYPGKMASQFQIHHLGHPQPILMGSVAVGSGLSWHRTLPLCVIGGDHKLLFWVTEV
+>DECOY_sp|Q8NFH4|NUP37_HUMAN Nucleoporin Nup37 OS=Homo sapiens OX=9606 GN=NUP37 PE=1 SV=1
+VETVWFLLKHDGGIVCLPLTRHWSLGSGVAVSGMLIPQPHGLHHIQFQSAMKGPYGTTAFLNESITSWRFLCARDMHVPRKNQPYSSRTIDWILWDNGAVAGVKFTNKLCWHASMLPVQESELSLIAQQALLDYFRITGNKEAVMLKFTEEPHWCVSMGPSHLVFHATQVGELNWIRCTHDDSVSAIEQGEKPDFVLGNIFDTHGELVKYENKDQLDSTFLRIKMDAASTCFKIVPPLSDLRTEPSWAIGDVRVGHHFTRLTKYQIGEVDAEEEQFTCTGIVVYNNGGYAILNGSDGNEFPNFEVVHVYDECDVTYAANRSADQKM
+>sp|Q8NFH3|NUP43_HUMAN Nucleoporin Nup43 OS=Homo sapiens OX=9606 GN=NUP43 PE=1 SV=1
+MEEIYAKFVSQKISKTRWRPLPPGSLQTAETFATGSWDNEENYISLWSIGDFGNLDSDGGFEGDHQLLCDIRHHGDVMDLQFFDQERIVAASSTGCVTVFLHHPNNQTLSVNQQWTTAHYHTGPGSPSYSSAPCTGVVCNNPEIVTVGEDGRINLFRADHKEAVRTIDNADSSTLHAVTFLRTPEILTVNSIGQLKIWDFRQQGNEPSQILSLTGDRVPLHCVDRHPNQQHVVATGGQDGMLSIWDVRQGTMPVSLLKAHEAEMWEVHFHPSNPEHLFTCSEDGSLWHWDASTDVPEKSSLFHQGGRSSTFLSHSISNQANVHQSVISSWLSTDPAKDRIEITSLLPSRSLSVNTLDVLGPCLVCGTDAEAIYVTRHLFS
+>DECOY_sp|Q8NFH3|NUP43_HUMAN Nucleoporin Nup43 OS=Homo sapiens OX=9606 GN=NUP43 PE=1 SV=1
+SFLHRTVYIAEADTGCVLCPGLVDLTNVSLSRSPLLSTIEIRDKAPDTSLWSSIVSQHVNAQNSISHSLFTSSRGGQHFLSSKEPVDTSADWHWLSGDESCTFLHEPNSPHFHVEWMEAEHAKLLSVPMTGQRVDWISLMGDQGGTAVVHQQNPHRDVCHLPVRDGTLSLIQSPENGQQRFDWIKLQGISNVTLIEPTRLFTVAHLTSSDANDITRVAEKHDARFLNIRGDEGVTVIEPNNCVVGTCPASSYSPSGPGTHYHATTWQQNVSLTQNNPHHLFVTVCGTSSAAVIREQDFFQLDMVDGHHRIDCLLQHDGEFGGDSDLNGFDGISWLSIYNEENDWSGTAFTEATQLSGPPLPRWRTKSIKQSVFKAYIEEM
+>sp|Q99567|NUP88_HUMAN Nuclear pore complex protein Nup88 OS=Homo sapiens OX=9606 GN=NUP88 PE=1 SV=2
+MAAAEGPVGDGELWQTWLPNHVVFLRLREGLKNQSPTEAEKPASSSLPSSPPPQLLTRNVVFGLGGELFLWDGEDSSFLVVRLRGPSGGGEEPALSQYQRLLCINPPLFEIYQVLLSPTQHHVALIGIKGLMVLELPKRWGKNSEFEGGKSTVNCSTTPVAERFFTSSTSLTLKHAAWYPSEILDPHVVLLTSDNVIRIYSLREPQTPTNVIILSEAEEESLVLNKGRAYTASLGETAVAFDFGPLAAVPKTLFGQNGKDEVVAYPLYILYENGETFLTYISLLHSPGNIGKLLGPLPMHPAAEDNYGYDACAVLCLPCVPNILVIATESGMLYHCVVLEGEEEDDHTSEKSWDSRIDLIPSLYVFECVELELALKLASGEDDPFDSDFSCPVKLHRDPKCPSRYHCTHEAGVHSVGLTWIHKLHKFLGSDEEDKDSLQELSTEQKCFVEHILCTKPLPCRQPAPIRGFWIVPDILGPTMICITSTYECLIWPLLSTVHPASPPLLCTREDVEVAESPLRVLAETPDSFEKHIRSILQRSVANPAFLKASEKDIAPPPEECLQLLSRATQVFREQYILKQDLAKEEIQRRVKLLCDQKKKQLEDLSYCREERKSLREMAERLADKYEEAKEKQEDIMNRMKKLLHSFHSELPVLSDSERDMKKELQLIPDQLRHLGNAIKQVTMKKDYQQQKMEKVLSLPKPTIILSAYQRKCIQSILKEEGEHIREMVKQINDIRNHVNF
+>DECOY_sp|Q99567|NUP88_HUMAN Nuclear pore complex protein Nup88 OS=Homo sapiens OX=9606 GN=NUP88 PE=1 SV=2
+FNVHNRIDNIQKVMERIHEGEEKLISQICKRQYASLIITPKPLSLVKEMKQQQYDKKMTVQKIANGLHRLQDPILQLEKKMDRESDSLVPLESHFSHLLKKMRNMIDEQKEKAEEYKDALREAMERLSKREERCYSLDELQKKKQDCLLKVRRQIEEKALDQKLIYQERFVQTARSLLQLCEEPPPAIDKESAKLFAPNAVSRQLISRIHKEFSDPTEALVRLPSEAVEVDERTCLLPPSAPHVTSLLPWILCEYTSTICIMTPGLIDPVIWFGRIPAPQRCPLPKTCLIHEVFCKQETSLEQLSDKDEEDSGLFKHLKHIWTLGVSHVGAEHTCHYRSPCKPDRHLKVPCSFDSDFPDDEGSALKLALELEVCEFVYLSPILDIRSDWSKESTHDDEEEGELVVCHYLMGSETAIVLINPVCPLCLVACADYGYNDEAAPHMPLPGLLKGINGPSHLLSIYTLFTEGNEYLIYLPYAVVEDKGNQGFLTKPVAALPGFDFAVATEGLSATYARGKNLVLSEEEAESLIIVNTPTQPERLSYIRIVNDSTLLVVHPDLIESPYWAAHKLTLSTSSTFFREAVPTTSCNVTSKGGEFESNKGWRKPLELVMLGKIGILAVHHQTPSLLVQYIEFLPPNICLLRQYQSLAPEEGGGSPGRLRVVLFSSDEGDWLFLEGGLGFVVNRTLLQPPPSSPLSSSAPKEAETPSQNKLGERLRLFVVHNPLWTQWLEGDGVPGEAAAM
+>sp|A6NF83|NUPR2_HUMAN Nuclear protein 2 OS=Homo sapiens OX=9606 GN=NUPR2 PE=2 SV=1
+MEAPAERALPRLQALARPPPPISYEEELYDCLDYYYLRDFPACGAGRSKGRTRREQALRTNWPAPGGHERKVAQKLLNGQRKRRQRQLHPKMRTRLT
+>DECOY_sp|A6NF83|NUPR2_HUMAN Nuclear protein 2 OS=Homo sapiens OX=9606 GN=NUPR2 PE=2 SV=1
+TLRTRMKPHLQRQRRKRQGNLLKQAVKREHGGPAPWNTRLAQERRTRGKSRGAGCAPFDRLYYYDLCDYLEEEYSIPPPPRALAQLRPLAREAPAEM
+>sp|Q9P2P1|NYNRI_HUMAN Protein NYNRIN OS=Homo sapiens OX=9606 GN=NYNRIN PE=2 SV=3
+MLLSGGDPPAQEWFMVQTKSKPRVQRQRLQVQRIFRVKLNAFQSRPDTPYFWLQLEGPRENMGKAKEYLKGLCSPELWKEVRYPPILHCAFLGAQGLFLDCLCWSTLAYLVPGPPGSLMVGGLTESFIMTQNWLEELVGRLRWGPAPLLTPRGIWEAEVTRAFGALVWIRGDQHAGDLLQLPPAVQELLLSLVRDAAGKEDIIEWLSRFGISDSHSDPEVLICPPQQQKEAPAMVSVGESPGPFVDMGTLQNRGPENSKRLSSLGATGSLITAQSTPQEAANQLVRVGSNNQDGMDSAQEEGTVQATSSQDSTNHTQALLKQRQVQKIEDKLLFQPPVSALGVCPPWKAWTPGPAFGPLWPGAIAATFWRINELHSLHLAWLLSQACFNFPFWQRPLGPIQLKLPGQNPLPLNLEWKQKELAPLPSAESPAGRPDGGLGGEAALQNCPRPEISPKVTSLLVVPGSSDVKDKVSSDLPQIGPPLTSTPQLQAGGEPGDQGSMQLDFKGLEEGPAPVLPTGQGKPVAQGGLTDQSVPGAQTVPETLKVPMAAAVPKAENPSRTQVPSAAPKLPTSRMMLAVHTEPAAPEVPLAPTKPTAQLMATAQKTVVNQPVLVAQVEPTTPKTPQAQKMPVAKTSPAGPKTPKAQAGPAATVSKAPAASKAPAAPKVPVTPRVSRAPKTPAAQKVPTDAGPTLDVARLLSEVQPTSRASVSLLKGQGQAGRQGPQSSGTLALSSKHQFQMEGLLGAWEGAPRQPPRHLQANSTVTSFQRYHEALNTPFELNLSGEPGNQGLRRVVIDGSSVAMVHGLQHFFSCRGIAMAVQFFWNRGHREVTVFVPTWQLKKNRRVRESHFLTKLHSLKMLSITPSQLENGKKITTYDYRFMVKLAEETDGIIVTNEQIHILMNSSKKLMVKDRLLPFTFAGNLFMVPDDPLGRDGPTLDEFLKKPNRLDTDIGNFLKVWKTLPPSSASVTELSDDADSGPLESLPNMEEVREEKEERQDEEQRQGQGTQKAAEEDDLDSSLASVFRVECPSLSEEILRCLSLHDPPDGALDIDLLPGAASPYLGIPWDGKAPCQQVLAHLAQLTIPSNFTALSFFMGFMDSHRDAIPDYEALVGPLHSLLKQKPDWQWDQEHEEAFLALKRALVSALCLMAPNSQLPFRLEVTVSHVALTAILHQEHSGRKHPIAYTSKPLLPDEESQGPQSGGDSPYAVAWALKHFSRCIGDTPVVLDLSYASRTTADPEVREGRRVSKAWLIRWSLLVQDKGKRALELALLQGLLGENRLLTPAASMPRFFQVLPPFSDLSTFVCIHMSGYCFYREDEWCAGFGLYVLSPTSPPVSLSFSCSPYTPTYAHLAAVACGLERFGQSPLPVVFLTHCNWIFSLLWELLPLWRARGFLSSDGAPLPHPSLLSYIISLTSGLSSLPFIYRTSYRGSLFAVTVDTLAKQGAQGGGQWWSLPKDVPAPTVSPHAMGKRPNLLALQLSDSTLADIIARLQAGQKLSGSSPFSSAFNSLSLDKESGLLMFKGDKKPRVWVVPTQLRRDLIFSVHDIPLGAHQRPEETYKKLRLLGWWPGMQEHVKDYCRSCLFCIPRNLIGSELKVIESPWPLRSTAPWSNLQIEVVGPVTISEEGHKHVLIVADPNTRWVEAFPLKPYTHTAVAQVLLQHVFARWGVPVRLEAAQGPQFARHVLVSCGLALGAQVASLSRDLQFPCLTSSGAYWEFKRALKEFIFLHGKKWAASLPLLHLAFRASSTDATPFKVLTGGESRLTEPLWWEMSSANIEGLKMDVFLLQLVGELLELHWRVADKASEKAENRRFKRESQEKEWNVGDQVLLLSLPRNGSSAKWVGPFYIGDRLSLSLYRIWGFPTPEKLGCIYPSSLMKAFAKSGTPLSFKVLEQ
+>DECOY_sp|Q9P2P1|NYNRI_HUMAN Protein NYNRIN OS=Homo sapiens OX=9606 GN=NYNRIN PE=2 SV=3
+QELVKFSLPTGSKAFAKMLSSPYICGLKEPTPFGWIRYLSLSLRDGIYFPGVWKASSGNRPLSLLLVQDGVNWEKEQSERKFRRNEAKESAKDAVRWHLELLEGVLQLLFVDMKLGEINASSMEWWLPETLRSEGGTLVKFPTADTSSARFALHLLPLSAAWKKGHLFIFEKLARKFEWYAGSSTLCPFQLDRSLSAVQAGLALGCSVLVHRAFQPGQAAELRVPVGWRAFVHQLLVQAVATHTYPKLPFAEVWRTNPDAVILVHKHGEESITVPGVVEIQLNSWPATSRLPWPSEIVKLESGILNRPICFLCSRCYDKVHEQMGPWWGLLRLKKYTEEPRQHAGLPIDHVSFILDRRLQTPVVWVRPKKDGKFMLLGSEKDLSLSNFASSFPSSGSLKQGAQLRAIIDALTSDSLQLALLNPRKGMAHPSVTPAPVDKPLSWWQGGGQAGQKALTDVTVAFLSGRYSTRYIFPLSSLGSTLSIIYSLLSPHPLPAGDSSLFGRARWLPLLEWLLSFIWNCHTLFVVPLPSQGFRELGCAVAALHAYTPTYPSCSFSLSVPPSTPSLVYLGFGACWEDERYFCYGSMHICVFTSLDSFPPLVQFFRPMSAAPTLLRNEGLLGQLLALELARKGKDQVLLSWRILWAKSVRRGERVEPDATTRSAYSLDLVVPTDGICRSFHKLAWAVAYPSDGGSQPGQSEEDPLLPKSTYAIPHKRGSHEQHLIATLAVHSVTVELRFPLQSNPAMLCLASVLARKLALFAEEHEQDWQWDPKQKLLSHLPGVLAEYDPIADRHSDMFGMFFSLATFNSPITLQALHALVQQCPAKGDWPIGLYPSAAGPLLDIDLAGDPPDHLSLCRLIEESLSPCEVRFVSALSSDLDDEEAAKQTGQGQRQEEDQREEKEERVEEMNPLSELPGSDADDSLETVSASSPPLTKWVKLFNGIDTDLRNPKKLFEDLTPGDRGLPDDPVMFLNGAFTFPLLRDKVMLKKSSNMLIHIQENTVIIGDTEEALKVMFRYDYTTIKKGNELQSPTISLMKLSHLKTLFHSERVRRNKKLQWTPVFVTVERHGRNWFFQVAMAIGRCSFFHQLGHVMAVSSGDIVVRRLGQNGPEGSLNLEFPTNLAEHYRQFSTVTSNAQLHRPPQRPAGEWAGLLGEMQFQHKSSLALTGSSQPGQRGAQGQGKLLSVSARSTPQVESLLRAVDLTPGADTPVKQAAPTKPARSVRPTVPVKPAAPAKSAAPAKSVTAAPGAQAKPTKPGAPSTKAVPMKQAQPTKPTTPEVQAVLVPQNVVTKQATAMLQATPKTPALPVEPAAPETHVALMMRSTPLKPAASPVQTRSPNEAKPVAAAMPVKLTEPVTQAGPVSQDTLGGQAVPKGQGTPLVPAPGEELGKFDLQMSGQDGPEGGAQLQPTSTLPPGIQPLDSSVKDKVDSSGPVVLLSTVKPSIEPRPCNQLAAEGGLGGDPRGAPSEASPLPALEKQKWELNLPLPNQGPLKLQIPGLPRQWFPFNFCAQSLLWALHLSHLENIRWFTAAIAGPWLPGFAPGPTWAKWPPCVGLASVPPQFLLKDEIKQVQRQKLLAQTHNTSDQSSTAQVTGEEQASDMGDQNNSGVRVLQNAAEQPTSQATILSGTAGLSSLRKSNEPGRNQLTGMDVFPGPSEGVSVMAPAEKQQQPPCILVEPDSHSDSIGFRSLWEIIDEKGAADRVLSLLLEQVAPPLQLLDGAHQDGRIWVLAGFARTVEAEWIGRPTLLPAPGWRLRGVLEELWNQTMIFSETLGGVMLSGPPGPVLYALTSWCLCDLFLGQAGLFACHLIPPYRVEKWLEPSCLGKLYEKAKGMNERPGELQLWFYPTDPRSQFANLKVRFIRQVQLRQRQVRPKSKTQVMFWEQAPPDGGSLLM
+>sp|Q9H208|O10A2_HUMAN Olfactory receptor 10A2 OS=Homo sapiens OX=9606 GN=OR10A2 PE=2 SV=2
+MSFSSLPTEIQSLLFLTFLTIYLVTLMGNCLIILVTLADPMLHSPMYFFLRNLSFLEIGFNLVIVPKMLGTLLAQDTTISFLGCATQMYFFFFFGVAECFLLATMAYDRYVAICSPLHYPVIMNQRTRAKLAAASWFPGFPVATVQTTWLFSFPFCGTNKVNHFFCDSPPVLRLVCADTALFEIYAIVGTILVVMIPCLLILCSYTHIAAAILKIPSAKGKNKAFSTCSSHLLVVSLFYISLSLTYFRPKSNNSPEGKKLLSLSYTVMTPMLNPIIYSLRNNEVKNALSRTVSKALALRNCIP
+>DECOY_sp|Q9H208|O10A2_HUMAN Olfactory receptor 10A2 OS=Homo sapiens OX=9606 GN=OR10A2 PE=2 SV=2
+PICNRLALAKSVTRSLANKVENNRLSYIIPNLMPTMVTYSLSLLKKGEPSNNSKPRFYTLSLSIYFLSVVLLHSSCTSFAKNKGKASPIKLIAAAIHTYSCLILLCPIMVVLITGVIAYIEFLATDACVLRLVPPSDCFFHNVKNTGCFPFSFLWTTQVTAVPFGPFWSAAALKARTRQNMIVPYHLPSCIAVYRDYAMTALLFCEAVGFFFFFYMQTACGLFSITTDQALLTGLMKPVIVLNFGIELFSLNRLFFYMPSHLMPDALTVLIILCNGMLTVLYITLFTLFLLSQIETPLSSFSM
+>sp|Q8NGE5|O10A7_HUMAN Olfactory receptor 10A7 OS=Homo sapiens OX=9606 GN=OR10A7 PE=3 SV=1
+MICENHTRVTEFILLGFTNNPEMQVSLFIFFLAIYTVTLLGNFLIVTVTSVDLALQTPMYFFLQNLSLLEVCFTLVMVPKMLVDLVSPRKIISFVGCGTQMYFFFFFGSSECFLLSMMAYDRFVAICNPLHYSVIMNRSLCLWMAIGSWMSGVPVSMLQTAWMMALPFCGPNAVDHFFCDGPPVLKLVTVDTTMYEMQALASTLLFIMFPFCLILVSYTRIIITILRMSSATGRQKAFSTCSSHLIVVSLFYGTASLTYLRPKSNQSPESKKLVSLSYTVITPMLNPIIYGLRNNEVKGAVKRTITQKVLQKLDVF
+>DECOY_sp|Q8NGE5|O10A7_HUMAN Olfactory receptor 10A7 OS=Homo sapiens OX=9606 GN=OR10A7 PE=3 SV=1
+FVDLKQLVKQTITRKVAGKVENNRLGYIIPNLMPTIVTYSLSVLKKSEPSQNSKPRLYTLSATGYFLSVVILHSSCTSFAKQRGTASSMRLITIIIRTYSVLILCFPFMIFLLTSALAQMEYMTTDVTVLKLVPPGDCFFHDVANPGCFPLAMMWATQLMSVPVGSMWSGIAMWLCLSRNMIVSYHLPNCIAVFRDYAMMSLLFCESSGFFFFFYMQTGCGVFSIIKRPSVLDVLMKPVMVLTFCVELLSLNQLFFYMPTQLALDVSTVTVILFNGLLTVTYIALFFIFLSVQMEPNNTFGLLIFETVRTHNECIM
+>sp|Q8NGE0|O10AD_HUMAN Olfactory receptor 10AD1 OS=Homo sapiens OX=9606 GN=OR10AD1 PE=2 SV=1
+MLRNGSIVTEFILVGFQQSSTSTRALLFALFLALYSLTMAMNGLIIFITSWTDPKLNSPMYFFLGHLSLLDVCFITTTIPQMLIHLVVRDHIVSFVCCMTQMYFVFCVGVAECILLAFMAYDRYVAICYPLNYVPIISQKVCVRLVGTAWFFGLINGIFLEYISFREPFRRDNHIESFFCEAPIVIGLSCGDPQFSLWAIFADAIVVILSPMVLTVTSYVHILATILSKASSSGRGKTFSTCASHLTVVIFLYTSAMFSYMNPHSTHGPDKDKPFSLLYTIITPMCNPIIYSFRNKEIKEAMVRALGRTRLAQPQSV
+>DECOY_sp|Q8NGE0|O10AD_HUMAN Olfactory receptor 10AD1 OS=Homo sapiens OX=9606 GN=OR10AD1 PE=2 SV=1
+VSQPQALRTRGLARVMAEKIEKNRFSYIIPNCMPTIITYLLSFPKDKDPGHTSHPNMYSFMASTYLFIVVTLHSACTSFTKGRGSSSAKSLITALIHVYSTVTLVMPSLIVVIADAFIAWLSFQPDGCSLGIVIPAECFFSEIHNDRRFPERFSIYELFIGNILGFFWATGVLRVCVKQSIIPVYNLPYCIAVYRDYAMFALLICEAVGVCFVFYMQTMCCVFSVIHDRVVLHILMQPITTTIFCVDLLSLHGLFFYMPSNLKPDTWSTIFIILGNMAMTLSYLALFLAFLLARTSTSSQQFGVLIFETVISGNRLM
+>sp|Q96KK4|O10C1_HUMAN Olfactory receptor 10C1 OS=Homo sapiens OX=9606 GN=OR10C1 PE=2 SV=3
+MSANTSMVTEFLLLGFSHLADLQGLLFSVFLTIYLLTVAGNFLIVVLVSTDAALQSPMYFFLRTLSALEIGYTSVTVPLLLHHLLTGRRHISRSGCALQMFFFLFFGATECCLLAAMAYDRYAAICEPLRYPLLLSHRVCLQLAGSAWACGVLVGLGHTPFIFSLPFCGPNTIPQFFCEIQPVLQLVCGDTSLNELQIILATALLILCPFGLILGSYGRILVTIFRIPSVAGRRKAFSTCSSHLIMVSLFYGTALFIYIRPKASYDPATDPLVSLFYAVVTPILNPIIYSLRNTEVKAALKRTIQKTVPMEI
+>DECOY_sp|Q96KK4|O10C1_HUMAN Olfactory receptor 10C1 OS=Homo sapiens OX=9606 GN=OR10C1 PE=2 SV=3
+IEMPVTKQITRKLAAKVETNRLSYIIPNLIPTVVAYFLSVLPDTAPDYSAKPRIYIFLATGYFLSVMILHSSCTSFAKRRGAVSPIRFITVLIRGYSGLILGFPCLILLATALIIQLENLSTDGCVLQLVPQIECFFQPITNPGCFPLSFIFPTHGLGVLVGCAWASGALQLCVRHSLLLPYRLPECIAAYRDYAMAALLCCETAGFFLFFFMQLACGSRSIHRRGTLLHHLLLPVTVSTYGIELASLTRLFFYMPSQLAADTSVLVVILFNGAVTLLYITLFVSFLLGQLDALHSFGLLLFETVMSTNASM
+>sp|Q8NGC4|O10G3_HUMAN Olfactory receptor 10G3 OS=Homo sapiens OX=9606 GN=OR10G3 PE=3 SV=1
+MERINSTLLTAFILTGIPYPLRLRTLFFVFFFLIYILTQLGNLLILITVWADPRLHARPMYIFLGVLSVIDMSISSIIVPRLMMNFTLGVKPIPFGGCVAQLYFYHFLGSTQCFLYTLMAYDRYLAICQPLRYPVLMTAKLSALLVAGAWMAGSIHGALQAILTFRLPYCGPNQVDYFFCDIPAVLRLACADTTVNELVTFVDIGVVVASCFSLILLSYIQIIQAILRIHTADGRRRAFSTCGAHVTVVTVYYVPCAFIYLRPETNSPLDGAAALVPTAITPFLNPLIYTLRNQEVKLALKRMLRSPRTPSEV
+>DECOY_sp|Q8NGC4|O10G3_HUMAN Olfactory receptor 10G3 OS=Homo sapiens OX=9606 GN=OR10G3 PE=3 SV=1
+VESPTRPSRLMRKLALKVEQNRLTYILPNLFPTIATPVLAAAGDLPSNTEPRLYIFACPVYYVTVVTVHAGCTSFARRRGDATHIRLIAQIIQIYSLLILSFCSAVVVGIDVFTVLENVTTDACALRLVAPIDCFFYDVQNPGCYPLRFTLIAQLAGHISGAMWAGAVLLASLKATMLVPYRLPQCIALYRDYAMLTYLFCQTSGLFHYFYLQAVCGGFPIPKVGLTFNMMLRPVIISSISMDIVSLVGLFIYMPRAHLRPDAWVTILILLNGLQTLIYILFFFVFFLTRLRLPYPIGTLIFATLLTSNIREM
+>sp|Q8NGN3|O10G4_HUMAN Olfactory receptor 10G4 OS=Homo sapiens OX=9606 GN=OR10G4 PE=3 SV=1
+MSNASLVTAFILTGLPHAPGLDALLFGIFLVVYVLTVLGNLLILLVIRVDSHLHTPMYYFLTNLSFIDMWFSTVTVPKMLMTLVSPSGRAISFHSCVAQLYFFHFLGSTECFLYTVMSYDRYLAISYPLRYTSMMSGSRCALLATGTWLSGSLHSAVQTILTFHLPYCGPNQIQHYFCDAPPILKLACADTSANVMVIFVDIGIVASGCFVLIVLSYVSIVCSILRIRTSDGRRRAFQTCASHCIVVLCFFVPCVVIYLRPGSMDAMDGVVAIFYTVLTPLLNPVVYTLRNKEVKKAVLKLRDKVAHPQRK
+>DECOY_sp|Q8NGN3|O10G4_HUMAN Olfactory receptor 10G4 OS=Homo sapiens OX=9606 GN=OR10G4 PE=3 SV=1
+KRQPHAVKDRLKLVAKKVEKNRLTYVVPNLLPTLVTYFIAVVGDMADMSGPRLYIVVCPVFFCLVVICHSACTQFARRRGDSTRIRLISCVISVYSLVILVFCGSAVIGIDVFIVMVNASTDACALKLIPPADCFYHQIQNPGCYPLHFTLITQVASHLSGSLWTGTALLACRSGSMMSTYRLPYSIALYRDYSMVTYLFCETSGLFHFFYLQAVCSHFSIARGSPSVLTMLMKPVTVTSFWMDIFSLNTLFYYMPTHLHSDVRIVLLILLNGLVTLVYVVLFIGFLLADLGPAHPLGTLIFATVLSANSM
+>sp|Q8NGN6|O10G7_HUMAN Olfactory receptor 10G7 OS=Homo sapiens OX=9606 GN=OR10G7 PE=3 SV=1
+MSNATLLTAFILTGLPHAPGLDAPLFGIFLVVYVLTVLGNLLILLVIRVDSHLHTPMYYFLTNLSFIDMWFSTVTVPKMLMTLVSPSGRTISFHSCVAQLYFFHFLGSTECFLYTVMSYDRYLAISYPLRYTNMMTGRSCALLATGTWLSGSLHSAVQTILTFHLPYCGPNQIQHYFCDAPPILKLACADTSANEMVIFVNIGLVASGCFVLIVLSYVSIVCSILRIRTSEGRHRAFQTCASHCIVVLCFFGPGLFIYLRPGSRDALHGVVAVFYTTLTPLFNPVVYTLRNKEVKKALLKLKNGSVFAQGE
+>DECOY_sp|Q8NGN6|O10G7_HUMAN Olfactory receptor 10G7 OS=Homo sapiens OX=9606 GN=OR10G7 PE=3 SV=1
+EGQAFVSGNKLKLLAKKVEKNRLTYVVPNFLPTLTTYFVAVVGHLADRSGPRLYIFLGPGFFCLVVICHSACTQFARHRGESTRIRLISCVISVYSLVILVFCGSAVLGINVFIVMENASTDACALKLIPPADCFYHQIQNPGCYPLHFTLITQVASHLSGSLWTGTALLACSRGTMMNTYRLPYSIALYRDYSMVTYLFCETSGLFHFFYLQAVCSHFSITRGSPSVLTMLMKPVTVTSFWMDIFSLNTLFYYMPTHLHSDVRIVLLILLNGLVTLVYVVLFIGFLPADLGPAHPLGTLIFATLLTANSM
+>sp|Q8NGN4|O10G9_HUMAN Olfactory receptor 10G9 OS=Homo sapiens OX=9606 GN=OR10G9 PE=3 SV=1
+MSKTSLVTAFILTGLPHAPGLDAPLFGIFLVVYVLTVLGNLLILLVIRVDSHLHTPMYYFLTNLSFIDMWFSTVTVPKMLMTLVSPSGRAISFHSCVAQLYFFHFLGSTECFLYTVMSYDRYLAISYPLRYTSMMSGSRCALLATSTWLSGSLHSAVQTILTFHLPYCGPNQIQHYLCDAPPILKLACADTSANEMVIFVDIGLVASGCFLLIVLSYVSIVCSILRIHTSEGRHRAFQTCASHCIVVLCFFVPCVFIYLRPGSRDVVDGVVAIFYTVLTPLLNPVVYTLRNKEVKKAVLKLRDKVAHSQGE
+>DECOY_sp|Q8NGN4|O10G9_HUMAN Olfactory receptor 10G9 OS=Homo sapiens OX=9606 GN=OR10G9 PE=3 SV=1
+EGQSHAVKDRLKLVAKKVEKNRLTYVVPNLLPTLVTYFIAVVGDVVDRSGPRLYIFVCPVFFCLVVICHSACTQFARHRGESTHIRLISCVISVYSLVILLFCGSAVLGIDVFIVMENASTDACALKLIPPADCLYHQIQNPGCYPLHFTLITQVASHLSGSLWTSTALLACRSGSMMSTYRLPYSIALYRDYSMVTYLFCETSGLFHFFYLQAVCSHFSIARGSPSVLTMLMKPVTVTSFWMDIFSLNTLFYYMPTHLHSDVRIVLLILLNGLVTLVYVVLFIGFLPADLGPAHPLGTLIFATVLSTKSM
+>sp|Q9Y4A9|O10H1_HUMAN Olfactory receptor 10H1 OS=Homo sapiens OX=9606 GN=OR10H1 PE=2 SV=1
+MQRANHSTVTQFILVGFSVFPHLQLMLFLLFLLMYLFTLLGNLLIMATVWSERSLHTPMYLFLCALSVSEILYTVAIIPRMLADLLSTQRSIAFLACASQMFFSFSFGFTHSFLLTVMGYDRYVAICHPLRYNVLMSPRGCACLVGCSWAGGLVMGMVVTSAIFHLAFCGHKEIHHFACHVPPLLKLACGDDVLVVAKGVGLVCITALLGCFLLILLSYAFIVAAILKIPSAEGRNKAFSTCASHLTVVVVHYGFASVIYLKPKSPQSLEGDTLMGITYTVLTPFLSPIIFSLRNKELKVAMKKTFFSKLYPEKNVMM
+>DECOY_sp|Q9Y4A9|O10H1_HUMAN Olfactory receptor 10H1 OS=Homo sapiens OX=9606 GN=OR10H1 PE=2 SV=1
+MMVNKEPYLKSFFTKKMAVKLEKNRLSFIIPSLFPTLVTYTIGMLTDGELSQPSKPKLYIVSAFGYHVVVVTLHSACTSFAKNRGEASPIKLIAAVIFAYSLLILLFCGLLATICVLGVGKAVVLVDDGCALKLLPPVHCAFHHIEKHGCFALHFIASTVVMGMVLGGAWSCGVLCACGRPSMLVNYRLPHCIAVYRDYGMVTLLFSHTFGFSFSFFMQSACALFAISRQTSLLDALMRPIIAVTYLIESVSLACLFLYMPTHLSRESWVTAMILLNGLLTFLYMLLFLLFLMLQLHPFVSFGVLIFQTVTSHNARQM
+>sp|O60404|O10H3_HUMAN Olfactory receptor 10H3 OS=Homo sapiens OX=9606 GN=OR10H3 PE=2 SV=1
+MPGQNYRTISEFILSGFSAFPQQLLPVLFLLYLLMFLFTLLGNLLIMATVWIERRLHTPMYLFLCALSISEILFTVAITPRMLADLLFTHRSITFVACAIQMFFSFMFGFTHSFLLMVMGYDHYVTICHPLHYNMLMSPRGCAHLVAWTWAGGSVMGMMVTMMVFHLTFCGSNVIHHFLCHVLSLLKLACGSKTSSVIMGVMLVCVTALIGCLFLIILSFVFIVAAILRIPSAEGRHKTFSTCVSHLTVVVMHYSFASLIYLKPKGLHSMYSDALMATTYTVFTPFLSPIIFSLRNKELKNAINKNFCRRFCPLSS
+>DECOY_sp|O60404|O10H3_HUMAN Olfactory receptor 10H3 OS=Homo sapiens OX=9606 GN=OR10H3 PE=2 SV=1
+SSLPCFRRCFNKNIANKLEKNRLSFIIPSLFPTFVTYTTAMLADSYMSHLGKPKLYILSAFSYHMVVVTLHSVCTSFTKHRGEASPIRLIAAVIFVFSLIILFLCGILATVCVLMVGMIVSSTKSGCALKLLSLVHCLFHHIVNSGCFTLHFVMMTVMMGMVSGGAWTWAVLHACGRPSMLMNYHLPHCITVYHDYGMVMLLFSHTFGFMFSFFMQIACAVFTISRHTFLLDALMRPTIAVTFLIESISLACLFLYMPTHLRREIWVTAMILLNGLLTFLFMLLYLLFLVPLLQQPFASFGSLIFESITRYNQGPM
+>sp|Q8NGA6|O10H5_HUMAN Olfactory receptor 10H5 OS=Homo sapiens OX=9606 GN=OR10H5 PE=2 SV=1
+MQGLNHTSVSEFILVGFSAFPHLQLMLFLLFLLMYLFTLLGNLLIMATVWSERSLHMPMYLFLCALSITEILYTVAIIPRMLADLLSTQRSIAFLACASQMFFSFSFGFTHSFLLTVMGYDRYVAICHPLRYNVLMSLRGCTCRVGCSWAGGLVMGMVVTSAIFHLAFCGHKEIHHFFCHVPPLLKLACGDDVLVVAKGVGLVCITALLGCFLLILLSYAFIVAAILKIPSAEGRNKAFSTCASHLTVVVVHYGFASVIYLKPKGPQSPEGDTLMGITYTVLTPFLSPIIFSLRNKELKVAMKKTCFTKLFPQNC
+>DECOY_sp|Q8NGA6|O10H5_HUMAN Olfactory receptor 10H5 OS=Homo sapiens OX=9606 GN=OR10H5 PE=2 SV=1
+CNQPFLKTFCTKKMAVKLEKNRLSFIIPSLFPTLVTYTIGMLTDGEPSQPGKPKLYIVSAFGYHVVVVTLHSACTSFAKNRGEASPIKLIAAVIFAYSLLILLFCGLLATICVLGVGKAVVLVDDGCALKLLPPVHCFFHHIEKHGCFALHFIASTVVMGMVLGGAWSCGVRCTCGRLSMLVNYRLPHCIAVYRDYGMVTLLFSHTFGFSFSFFMQSACALFAISRQTSLLDALMRPIIAVTYLIETISLACLFLYMPMHLSRESWVTAMILLNGLLTFLYMLLFLLFLMLQLHPFASFGVLIFESVSTHNLGQM
+>sp|Q5JRS4|O10J3_HUMAN Olfactory receptor 10J3 OS=Homo sapiens OX=9606 GN=OR10J3 PE=3 SV=1
+MPKLNSTFVTEFLFEGFSSFRRQHKLVFFVVFLTLYLLTLSGNVIIMTIIRLDHHLHTPMYFFLCMLSISETCYTVAIIPHMLSGLLNPHQPIATQSCATQLFFYLTFGINNCFLLTVMGYDRYVAICNPLRYSVIMGKRACIQLASGSLGIGLGMAIVQVTSVFGLPFCDAFVISHFFCDVRHLLKLACTDTTVNEIINFVVSVCVLVLPMGLVFISYVLIISTILKIASAEGQKKAFATCASHLTVVIIHYGCASIIYLKPKSQSSLGQDRLISVTYTHHSPTEPCCVQPEEQGGQRCSAQSRGAKNSVSLMKRGCEGFSFAFINMY
+>DECOY_sp|Q5JRS4|O10J3_HUMAN Olfactory receptor 10J3 OS=Homo sapiens OX=9606 GN=OR10J3 PE=3 SV=1
+YMNIFAFSFGECGRKMLSVSNKAGRSQASCRQGGQEEPQVCCPETPSHHTYTVSILRDQGLSSQSKPKLYIISACGYHIIVVTLHSACTAFAKKQGEASAIKLITSIILVYSIFVLGMPLVLVCVSVVFNIIENVTTDTCALKLLHRVDCFFHSIVFADCFPLGFVSTVQVIAMGLGIGLSGSALQICARKGMIVSYRLPNCIAVYRDYGMVTLLFCNNIGFTLYFFLQTACSQTAIPQHPNLLGSLMHPIIAVTYCTESISLMCLFFYMPTHLHHDLRIITMIIVNGSLTLLYLTLFVVFFVLKHQRRFSSFGEFLFETVFTSNLKPM
+>sp|Q8NHC4|O10J5_HUMAN Olfactory receptor 10J5 OS=Homo sapiens OX=9606 GN=OR10J5 PE=2 SV=1
+MKRKNFTEVSEFIFLGFSSFGKHQITLFVVFLTVYILTLVANIIIVTIICIDHHLHTPMYFFLSMLASSETVYTLVIVPRMLLSLIFHNQPISLAGCATQMFFFVILATNNCFLLTAMGYDRYVAICRPLRYTVIMSKGLCAQLVCGSFGIGLTMAVLHVTAMFNLPFCGTVVDHFFCDIYPVMKLSCIDTTINEIINYGVSSFVIFVPIGLIFISYVLVISSILQIASAEGRKKTFATCVSHLTVVIVHCGCASIAYLKPKSESSIEKDLVLSVTYTIITPLLNPVVYSLRNKEVKDALCRVVGRNIS
+>DECOY_sp|Q8NHC4|O10J5_HUMAN Olfactory receptor 10J5 OS=Homo sapiens OX=9606 GN=OR10J5 PE=2 SV=1
+SINRGVVRCLADKVEKNRLSYVVPNLLPTIITYTVSLVLDKEISSESKPKLYAISACGCHVIVVTLHSVCTAFTKKRGEASAIQLISSIVLVYSIFILGIPVFIVFSSVGYNIIENITTDICSLKMVPYIDCFFHDVVTGCFPLNFMATVHLVAMTLGIGFSGCVLQACLGKSMIVTYRLPRCIAVYRDYGMATLLFCNNTALIVFFFMQTACGALSIPQNHFILSLLMRPVIVLTYVTESSALMSLFFYMPTHLHHDICIITVIIINAVLTLIYVTLFVVFLTIQHKGFSSFGLFIFESVETFNKRKM
+>sp|Q8NGE3|O10P1_HUMAN Olfactory receptor 10P1 OS=Homo sapiens OX=9606 GN=OR10P1 PE=2 SV=1
+MAGENHTTLPEFLLLGFSDLKALQGPLFWVVLLVYLVTLLGNSLIILLTQVSPALHSPMYFFLRQLSVVELFYTTDIVPRTLANLGSPHPQAISFQGCAAQMYVFIVLGISECCLLTAMAYDRYVAICQPLRYSTLLSPRACMAMVGTSWLTGIITATTHASLIFSLPFRSHPIIPHFLCDILPVLRLASAGKHRSEISVMTATIVFIMIPFSLIVTSYIRILGAILAMASTQSRRKVFSTCSSHLLVVSLFFGTASITYIRPQAGSSVTTDRVLSLFYTVITPMLNPIIYTLRNKDVRRALRHLVKRQRPSP
+>DECOY_sp|Q8NGE3|O10P1_HUMAN Olfactory receptor 10P1 OS=Homo sapiens OX=9606 GN=OR10P1 PE=2 SV=1
+PSPRQRKVLHRLARRVDKNRLTYIIPNLMPTIVTYFLSLVRDTTVSSGAQPRIYTISATGFFLSVVLLHSSCTSFVKRRSQTSAMALIAGLIRIYSTVILSFPIMIFVITATMVSIESRHKGASALRLVPLIDCLFHPIIPHSRFPLSFILSAHTTATIIGTLWSTGVMAMCARPSLLTSYRLPQCIAVYRDYAMATLLCCESIGLVIFVYMQAACGQFSIAQPHPSGLNALTRPVIDTTYFLEVVSLQRLFFYMPSHLAPSVQTLLIILSNGLLTVLYVLLVVWFLPGQLAKLDSFGLLLFEPLTTHNEGAM
+>sp|Q8NGX3|O10T2_HUMAN Olfactory receptor 10T2 OS=Homo sapiens OX=9606 GN=OR10T2 PE=3 SV=1
+MRGFNKTTVVTQFILVGFSSLGELQLLLFVIFLLLYLTILVANVTIMAVIRFSWTLHTPMYGFLFILSFSESCYTFVIIPQLLVHLLSDTKTISFMACATQLFFFLGFACTNCLLIAVMGYDRYVAICHPLRYTLIINKRLGLELISLSGATGFFIALVATNLICDMRFCGPNRVNHYFCDMAPVIKLACTDTHVKELALFSLSILVIMVPFLLILISYGFIVNTILKIPSAEGKKAFVTCASHLTVVFVHYGCASIIYLRPKSKSASDKDQLVAVTYTVVTPLLNPLVYSLRNKEVKTALKRVLGMPVATKMS
+>DECOY_sp|Q8NGX3|O10T2_HUMAN Olfactory receptor 10T2 OS=Homo sapiens OX=9606 GN=OR10T2 PE=3 SV=1
+SMKTAVPMGLVRKLATKVEKNRLSYVLPNLLPTVVTYTVAVLQDKDSASKSKPRLYIISACGYHVFVVTLHSACTVFAKKGEASPIKLITNVIFGYSILILLFPVMIVLISLSFLALEKVHTDTCALKIVPAMDCFYHNVRNPGCFRMDCILNTAVLAIFFGTAGSLSILELGLRKNIILTYRLPHCIAVYRDYGMVAILLCNTCAFGLFFFLQTACAMFSITKTDSLLHVLLQPIIVFTYCSESFSLIFLFGYMPTHLTWSFRIVAMITVNAVLITLYLLLFIVFLLLQLEGLSSFGVLIFQTVVTTKNFGRM
+>sp|Q8NGY0|O10X1_HUMAN Olfactory receptor 10X1 OS=Homo sapiens OX=9606 GN=OR10X1 PE=3 SV=2
+MVLNVYCCFFQISDIQTMKINQTILKEFILVGFSVYPHVQTFLFVVFFCLYLLTLAGNLIIMGLTWVDRSLHTPMYLFLSALSFSETCYTLTIVPKMLEDLLAKDRSISVTGCSLQMCFFLGLGGTNCIILTLMGYDRFLAICNPLRYPLLMTNIVCGQLVASACTAGFFISLTETALIFRDSFCRPNLVKHFFCHMLAVIRLSCIDSNHTEFIITLISVSGLLGTLLLIILTDVFIISTVLRIPSAEGKQKAFTTCASHLTVVIIHFGFASIVYLKPEASGDDTLIAVPYTVITPFLSPIIFSLRNKDMKNAFRRMMGNTVALKK
+>DECOY_sp|Q8NGY0|O10X1_HUMAN Olfactory receptor 10X1 OS=Homo sapiens OX=9606 GN=OR10X1 PE=3 SV=2
+KKLAVTNGMMRRFANKMDKNRLSFIIPSLFPTIVTYPVAILTDDGSAEPKLYVISAFGFHIIVVTLHSACTTFAKQKGEASPIRLVTSIIFVDTLIILLLTGLLGSVSILTIIFETHNSDICSLRIVALMHCFFHKVLNPRCFSDRFILATETLSIFFGATCASAVLQGCVINTMLLPYRLPNCIALFRDYGMLTLIICNTGGLGLFFCMQLSCGTVSISRDKALLDELMKPVITLTYCTESFSLASLFLYMPTHLSRDVWTLGMIILNGALTLLYLCFFVVFLFTQVHPYVSFGVLIFEKLITQNIKMTQIDSIQFFCCYVNLVM
+>sp|Q8NGC9|O11H4_HUMAN Olfactory receptor 11H4 OS=Homo sapiens OX=9606 GN=OR11H4 PE=2 SV=1
+MSFFFVDLRPMNRSATHIVTEFILLGFPGCWKIQIFLFSLFLVIYVLTLLGNGAIIYAVRCNPLLHTPMYFLLGNFAFLEIWYVSSTIPNMLVNILSKTKAISFSGCFLQFYFFFSLGTTECLFLAVMAYDRYLAICHPLQYPAIMTVRFCGKLVSFCWLIGFLGYPIPIFYISQLPFCGPNIIDHFLCDMDPLMALSCAPAPITECIFYTQSSLVLFFTSMYILRSYILLLTAVFQVPSAAGRRKAFSTCGSHLVVVSLFYGTVMVMYVSPTYGIPTLLQKILTLVYSVTTPLFNPLIYTLRNKDMKLALRNVLFGMRIRQNS
+>DECOY_sp|Q8NGC9|O11H4_HUMAN Olfactory receptor 11H4 OS=Homo sapiens OX=9606 GN=OR11H4 PE=2 SV=1
+SNQRIRMGFLVNRLALKMDKNRLTYILPNFLPTTVSYVLTLIKQLLTPIGYTPSVYMVMVTGYFLSVVVLHSGCTSFAKRRGAASPVQFVATLLLIYSRLIYMSTFFLVLSSQTYFICETIPAPACSLAMLPDMDCLFHDIINPGCFPLQSIYFIPIPYGLFGILWCFSVLKGCFRVTMIAPYQLPHCIALYRDYAMVALFLCETTGLSFFFYFQLFCGSFSIAKTKSLINVLMNPITSSVYWIELFAFNGLLFYMPTHLLPNCRVAYIIAGNGLLTLVYIVLFLSFLFIQIKWCGPFGLLIFETVIHTASRNMPRLDVFFFSM
+>sp|Q8NGS5|O13C4_HUMAN Olfactory receptor 13C4 OS=Homo sapiens OX=9606 GN=OR13C4 PE=3 SV=1
+MDKINQTFVREFILLGLSGYPKLEIIFFALILVMYVVILIGNGVLIIASILDSRLHMPMYFFLGNLSFLDICYTTSSIPSTLVSLISKKRNISFSGCAVQMFFGFAMGSTECFLLGMMAFDRYVAICNPLRYPIIMNKVVYVLLTSVSWLSGGINSTVQTSLAMRWPFCGNNIINHFLCEILAVLKLACSDISVNIVTLAVSNIAFLVLPLLVIFFSYMFILYTILRTNSATGRHKAFSTCSAHLTVVIIFYGTIFFMYAKPKSQDLLGKDNLQATEGLVSMFYGVVTPMLNPIIYSLRNKDVKAAIKYLLSRKAINQ
+>DECOY_sp|Q8NGS5|O13C4_HUMAN Olfactory receptor 13C4 OS=Homo sapiens OX=9606 GN=OR13C4 PE=3 SV=1
+QNIAKRSLLYKIAAKVDKNRLSYIIPNLMPTVVGYFMSVLGETAQLNDKGLLDQSKPKAYMFFITGYFIIVVTLHASCTSFAKHRGTASNTRLITYLIFMYSFFIVLLPLVLFAINSVALTVINVSIDSCALKLVALIECLFHNIINNGCFPWRMALSTQVTSNIGGSLWSVSTLLVYVVKNMIIPYRLPNCIAVYRDFAMMGLLFCETSGMAFGFFMQVACGSFSINRKKSILSVLTSPISSTTYCIDLFSLNGLFFYMPMHLRSDLISAIILVGNGILIVVYMVLILAFFIIELKPYGSLGLLIFERVFTQNIKDM
+>sp|Q8NGT2|O13J1_HUMAN Olfactory receptor 13J1 OS=Homo sapiens OX=9606 GN=OR13J1 PE=2 SV=1
+MEPLNRTEVSEFFLKGFSGYPALEHLLFPLCSAMYLVTLLGNTAIMAVSVLDIHLHTPVYFFLGNLSTLDICYTPTFVPLMLVHLLSSRKTISFAVCAIQMCLSLSTGSTECLLLAITAYDRYLAICQPLRYHVLMSHRLCVLLMGAAWVLCLLKSVTEMVISMRLPFCGHHVVSHFTCKILAVLKLACGNTSVSEDFLLAGSILLLPVPLAFICLSYLLILATILRVPSAARCCKAFSTCLAHLAVVLLFYGTIIFMYLKPKSKEAHISDEVFTVLYAMVTTMLNPTIYSLRNKEVKEAARKVWGRSRASR
+>DECOY_sp|Q8NGT2|O13J1_HUMAN Olfactory receptor 13J1 OS=Homo sapiens OX=9606 GN=OR13J1 PE=2 SV=1
+RSARSRGWVKRAAEKVEKNRLSYITPNLMTTVMAYLVTFVEDSIHAEKSKPKLYMFIITGYFLLVVALHALCTSFAKCCRAASPVRLITALILLYSLCIFALPVPLLLISGALLFDESVSTNGCALKLVALIKCTFHSVVHHGCFPLRMSIVMETVSKLLCLVWAAGMLLVCLRHSMLVHYRLPQCIALYRDYATIALLLCETSGTSLSLCMQIACVAFSITKRSSLLHVLMLPVFTPTYCIDLTSLNGLFFYVPTHLHIDLVSVAMIATNGLLTVLYMASCLPFLLHELAPYGSFGKLFFESVETRNLPEM
+>sp|Q8NHA4|O2AE1_HUMAN Olfactory receptor 2AE1 OS=Homo sapiens OX=9606 GN=OR2AE1 PE=2 SV=1
+MWQKNQTSLADFILEGLFDDSLTHLFLFSLTMVVFLIAVSGNTLTILLICIDPQLHTPMYFLLSQLSLMDLMHVSTIILKMATNYLSGKKSISFVGCATQHFLYLCLGGAECFLLAVMSYDRYVAICHPLRYAVLMNKKVGLMMAVMSWLGASVNSLIHMAILMHFPFCGPRKVYHFYCEFPAVVKLVCGDITVYETTVYISSILLLLPIFLISTSYVFILQSVIQMRSSGSKRNAFATCGSHLTVVSLWFGACIFSYMRPRSQCTLLQNKVGSVFYSIITPTLNSLIYTLRNKDVAKALRRVLRRDVITQCIQRLQLWLPRV
+>DECOY_sp|Q8NHA4|O2AE1_HUMAN Olfactory receptor 2AE1 OS=Homo sapiens OX=9606 GN=OR2AE1 PE=2 SV=1
+VRPLWLQLRQICQTIVDRRLVRRLAKAVDKNRLTYILSNLTPTIISYFVSGVKNQLLTCQSRPRMYSFICAGFWLSVVTLHSGCTAFANRKSGSSRMQIVSQLIFVYSTSILFIPLLLLISSIYVTTEYVTIDGCVLKVVAPFECYFHYVKRPGCFPFHMLIAMHILSNVSAGLWSMVAMMLGVKKNMLVAYRLPHCIAVYRDYSMVALLFCEAGGLCLYLFHQTACGVFSISKKGSLYNTAMKLIITSVHMLDMLSLQSLLFYMPTHLQPDICILLITLTNGSVAILFVVMTLSFLFLHTLSDDFLGELIFDALSTQNKQWM
+>sp|Q8NGZ0|O2AJ1_HUMAN Olfactory receptor 2AJ1 OS=Homo sapiens OX=9606 GN=OR2AJ1 PE=3 SV=1
+MGHQNHTFSSDFILLGLFSSSPTSVVFFLVLFVIFIMSVTENTLMILLIRSDSRLHTPMYFLLSHLSLMDILHVSNIVPKMVTNFLSGSRTISFAGCGFQVFLSLTLLGGECLLLAAMSCDRYVAICHPLRYPILMKEYASALMAGGSWLIGVFNSTVHTAYALQFPFCGSRAIDHFFCEVPAMLKLSCADTTRYERGVCVSAVIFLLIPFSLISASYGQIILTVLQMKSSEARKKSFSTCSFHMIVVTMYYGPFIFTYMRPKSYHTPGQDKFLAIFYTILTPTLNPFIYSFRNKDVLAVMKNMLKSNFLHKKMNRKIPECVFCLFLC
+>DECOY_sp|Q8NGZ0|O2AJ1_HUMAN Olfactory receptor 2AJ1 OS=Homo sapiens OX=9606 GN=OR2AJ1 PE=3 SV=1
+CLFLCFVCEPIKRNMKKHLFNSKLMNKMVALVDKNRFSYIFPNLTPTLITYFIALFKDQGPTHYSKPRMYTFIFPGYYMTVVIMHFSCTSFSKKRAESSKMQLVTLIIQGYSASILSFPILLFIVASVCVGREYRTTDACSLKLMAPVECFFHDIARSGCFPFQLAYATHVTSNFVGILWSGGAMLASAYEKMLIPYRLPHCIAVYRDCSMAALLLCEGGLLTLSLFVQFGCGAFSITRSGSLFNTVMKPVINSVHLIDMLSLHSLLFYMPTHLRSDSRILLIMLTNETVSMIFIVFLVLFFVVSTPSSSFLGLLIFDSSFTHNQHGM
+>sp|Q8NG84|O2AK2_HUMAN Olfactory receptor 2AK2 OS=Homo sapiens OX=9606 GN=OR2AK2 PE=2 SV=2
+MNISDVISFDILVSAMKTGNQSFGTDFLLVGLFQYGWINSLLFVVIATLFTVALTGNIMLIHLIRLNTRLHTPMYFLLSQLSIVDLMYISTTVPKMAVSFLSQSKTIRFLGCEIQTYVFLALGGTEALLLGFMSYDRYVAICHPLHYPMLMSKKICCLMVACAWASGSINAFIHTLYVFQLPFCRSRLINHFFCEVPALLSLVCQDTSQYEYTVLLSGLIILLLPFLAILASYARVLIVVFQMSSGKGQAKAVSTCSSHLIVASLFYATTLFTYTRPHSLRSPSRDKAVAVFYTIVTPLLNPFIYSLRNKEVTGAVRRLLGYWICCRKYDFRSLY
+>DECOY_sp|Q8NG84|O2AK2_HUMAN Olfactory receptor 2AK2 OS=Homo sapiens OX=9606 GN=OR2AK2 PE=2 SV=2
+YLSRFDYKRCCIWYGLLRRVAGTVEKNRLSYIFPNLLPTVITYFVAVAKDRSPSRLSHPRTYTFLTTAYFLSAVILHSSCTSVAKAQGKGSSMQFVVILVRAYSALIALFPLLLIILGSLLVTYEYQSTDQCVLSLLAPVECFFHNILRSRCFPLQFVYLTHIFANISGSAWACAVMLCCIKKSMLMPYHLPHCIAVYRDYSMFGLLLAETGGLALFVYTQIECGLFRITKSQSLFSVAMKPVTTSIYMLDVISLQSLLFYMPTHLRTNLRILHILMINGTLAVTFLTAIVVFLLSNIWGYQFLGVLLFDTGFSQNGTKMASVLIDFSIVDSINM
+>sp|Q8NH02|O2T29_HUMAN Olfactory receptor 2T29 OS=Homo sapiens OX=9606 GN=OR2T29 PE=3 SV=2
+MANITRMANHTGRLDFILMGLFRQSKHPALLSVVIFVVFLKALSGNAVLILLIHCDAHLHSPMYFFISQLSLMDMAYISVTVPKMLLDQVMGVNKVSAPECGMQMFLYLTLAGSEFFLLATMAYDRYVAICHPLRYPVLMNHRVCLFLASGCWFLGSVDGFMLTPITMSFPFCRSWEIHHFFCEVPAVTILSCSDTSLYETLMYLCCVLMLLIPVTIISSSYLLILLTVHRMNSAEGRKKAFATCSSHLTVVILFYGAAVYTYMLPSSYHTPEKDMMVSVFYTILTPVLNPLIYSLRNKDVMGALKKMLTVRFVL
+>DECOY_sp|Q8NH02|O2T29_HUMAN Olfactory receptor 2T29 OS=Homo sapiens OX=9606 GN=OR2T29 PE=3 SV=2
+LVFRVTLMKKLAGMVDKNRLSYILPNLVPTLITYFVSVMMDKEPTHYSSPLMYTYVAAGYFLIVVTLHSSCTAFAKKRGEASNMRHVTLLILLYSSSIITVPILLMLVCCLYMLTEYLSTDSCSLITVAPVECFFHHIEWSRCFPFSMTIPTLMFGDVSGLFWCGSALFLCVRHNMLVPYRLPHCIAVYRDYAMTALLFFESGALTLYLFMQMGCEPASVKNVGMVQDLLMKPVTVSIYAMDMLSLQSIFFYMPSHLHADCHILLILVANGSLAKLFVVFIVVSLLAPHKSQRFLGMLIFDLRGTHNAMRTINAM
+>sp|Q8NGX2|O2T35_HUMAN Olfactory receptor 2T35 OS=Homo sapiens OX=9606 GN=OR2T35 PE=3 SV=1
+MGMEGLLQNSTNFVLTGLITHPAFPGLLFAVVFSIFVVAITANLVMILLIHMDSRLHTPMYFLLSQLSIMDTIYICITVPKMLQDLLSKDKTISFLGCAVQIFYLTLIGGEFFLLGLMAYDRYVAVCNPLRYPLLMNRRVCLFMVVGSWVGGSLDGFMLTPVTMSFPFCRSREINHFFCEIPAVLKLSCTDTSLYETLMYACCVLMLLIPLSVISVSYTHILLTVHRMNSAEGRRKAFATCSSHIMVVSVFYGAAFYTNVLPHSYHTPEKDKVVSAFYTILTPMLNPLIYSLRNKDVAAALRKVLGRCGSSQSIRVATVIRKG
+>DECOY_sp|Q8NGX2|O2T35_HUMAN Olfactory receptor 2T35 OS=Homo sapiens OX=9606 GN=OR2T35 PE=3 SV=1
+GKRIVTAVRISQSSGCRGLVKRLAAAVDKNRLSYILPNLMPTLITYFASVVKDKEPTHYSHPLVNTYFAAGYFVSVVMIHSSCTAFAKRRGEASNMRHVTLLIHTYSVSIVSLPILLMLVCCAYMLTEYLSTDTCSLKLVAPIECFFHNIERSRCFPFSMTVPTLMFGDLSGGVWSGVVMFLCVRRNMLLPYRLPNCVAVYRDYAMLGLLFFEGGILTLYFIQVACGLFSITKDKSLLDQLMKPVTICIYITDMISLQSLLFYMPTHLRSDMHILLIMVLNATIAVVFISFVVAFLLGPFAPHTILGTLVFNTSNQLLGEMGM
+>sp|A6NGY5|O51F1_HUMAN Olfactory receptor 51F1 OS=Homo sapiens OX=9606 GN=OR51F1 PE=3 SV=1
+MLQNQDTMEILSNSTSKFPTFLLTGIPGLESAHVWISIPFCCFYAIALSGNSVILFVIITQQSLHEPMYYFLFRLSATDLGLTVSSLSTTLGILWFEAREISLYSCIVQMFFLHGFTFMESGVLVATAFDRYVAICDPLRYTTILTNSRIIQMGLLMITRAIVLILPLLLLLKPLYFCRMNALSHSYCYHPDVIQLACSDIRANSICGLIDLILTTGIDTPCIVLSYILIIHSVLRIASPEEWHKVFSTCVSHVGAVAFFYIHMLSLSLVYRYGRSAPRVVHSVMANVYLLLPPVLNPIIDSVKTKQIRKAMLSLLLTK
+>DECOY_sp|A6NGY5|O51F1_HUMAN Olfactory receptor 51F1 OS=Homo sapiens OX=9606 GN=OR51F1 PE=3 SV=1
+KTLLLSLMAKRIQKTKVSDIIPNLVPPLLLYVNAMVSHVVRPASRGYRYVLSLSLMHIYFFAVAGVHSVCTSFVKHWEEPSAIRLVSHIILIYSLVICPTDIGTTLILDILGCISNARIDSCALQIVDPHYCYSHSLANMRCFYLPKLLLLLPLILVIARTIMLLGMQIIRSNTLITTYRLPDCIAVYRDFATAVLVGSEMFTFGHLFFMQVICSYLSIERAEFWLIGLTTSLSSVTLGLDTASLRFLFYYMPEHLSQQTIIVFLIVSNGSLAIAYFCCFPISIWVHASELGPIGTLLFTPFKSTSNSLIEMTDQNQLM
+>sp|Q8NGK1|O51G1_HUMAN Olfactory receptor 51G1 OS=Homo sapiens OX=9606 GN=OR51G1 PE=2 SV=1
+MTILLNSSLQRATFFLTGFQGLEGLHGWISIPFCFIYLTVILGNLTILHVICTDATLHGPMYYFLGMLAVTDLGLCLSTLPTVLGIFWFDTREIGIPACFTQLFFIHTLSSMESSVLLSMSIDRYVAVCNPLHDSTVLTPACIVKMGLSSVLRSALLILPLPFLLKRFQYCHSHVLAHAYCLHLEIMKLACSSIIVNHIYGLFVVACTVGVDSLLIFLSYALILRTVLSIASHQERLRALNTCVSHICAVLLFYIPMIGLSLVHRFGEHLPRVVHLFMSYVYLLVPPLMNPIIYSIKTKQIRQRIIKKFQFIKSLRCFWKD
+>DECOY_sp|Q8NGK1|O51G1_HUMAN Olfactory receptor 51G1 OS=Homo sapiens OX=9606 GN=OR51G1 PE=2 SV=1
+DKWFCRLSKIFQFKKIIRQRIQKTKISYIIPNMLPPVLLYVYSMFLHVVRPLHEGFRHVLSLGIMPIYFLLVACIHSVCTNLARLREQHSAISLVTRLILAYSLFILLSDVGVTCAVVFLGYIHNVIISSCALKMIELHLCYAHALVHSHCYQFRKLLFPLPLILLASRLVSSLGMKVICAPTLVTSDHLPNCVAVYRDISMSLLVSSEMSSLTHIFFLQTFCAPIGIERTDFWFIGLVTPLTSLCLGLDTVALMGLFYYMPGHLTADTCIVHLITLNGLIVTLYIFCFPISIWGHLGELGQFGTLFFTARQLSSNLLITM
+>sp|Q8NH63|O51H1_HUMAN Olfactory receptor 51H1 OS=Homo sapiens OX=9606 GN=OR51H1 PE=3 SV=1
+MTNLNASQANHRNFILTGIPGTPDKNPWLAFPLGFLYTLTLLGNGTILAVIKVEPSLHEPTYYFLSILALTDVSLSMSTLPSMLSIYWFNAPQIVFDACIMQMFFIHVFGIVESGVLVSMAFDRFVAIRNPLHYVSILTHDVIRKTGIAVLTRAVCVVFPVPFLIKCLPFCHSNVLSHSYCLHQNMMRLACASTRINSLYGLIVVIFTLGLDVLLTLLSYVLTLKTVLGIVSRGERLKTLSTCLSHMSTVLLFYVPFMGAASMIHRFWEHLSPVVHMVMADIYLLLPPVLNPIVYSVKTKQI
+>DECOY_sp|Q8NH63|O51H1_HUMAN Olfactory receptor 51H1 OS=Homo sapiens OX=9606 GN=OR51H1 PE=3 SV=1
+IQKTKVSYVIPNLVPPLLLYIDAMVMHVVPSLHEWFRHIMSAAGMFPVYFLLVTSMHSLCTSLTKLREGRSVIGLVTKLTLVYSLLTLLVDLGLTFIVVILGYLSNIRTSACALRMMNQHLCYSHSLVNSHCFPLCKILFPVPFVVCVARTLVAIGTKRIVDHTLISVYHLPNRIAVFRDFAMSVLVGSEVIGFVHIFFMQMICADFVIQPANFWYISLMSPLTSMSLSVDTLALISLFYYTPEHLSPEVKIVALITGNGLLTLTYLFGLPFALWPNKDPTGPIGTLIFNRHNAQSANLNTM
+>sp|Q9H342|O51J1_HUMAN Olfactory receptor 51J1 OS=Homo sapiens OX=9606 GN=OR51J1 PE=3 SV=2
+MKISNNSLGFLPTTFILVGIPGLESEHLWISVPFSLIYIIIFLGNGIILHVIRTDIALHQPMYLFLAMLALAEVRVSASTLPTVLGIFLFGNTEISLEACLFPDVLHPFFIHDGASCAAGHVFGPLYSHLQPTELHSYPDTAQGLWHRSYYRTEKHYAHGSVAHSLMASALLWPQCPLTFLLSAPQSYLSCGNISVNNIYGIFIVTSTFGLDSLLIVISYGLILHTVLGIATGEGRKKALNTCGSHVCAVLAYYVPMIGLSIVHRLGHRVSPLLQAMMANAYLFFPPVVNPIVYSIKTKEIHGAIVRMLLEKRRRV
+>DECOY_sp|Q9H342|O51J1_HUMAN Olfactory receptor 51J1 OS=Homo sapiens OX=9606 GN=OR51J1 PE=3 SV=2
+VRRRKELLMRVIAGHIEKTKISYVIPNVVPPFFLYANAMMAQLLPSVRHGLRHVISLGIMPVYYALVACVHSGCTNLAKKRGEGTAIGLVTHLILGYSIVILLSDLGFTSTVIFIGYINNVSINGCSLYSQPASLLFTLPCQPWLLASAMLSHAVSGHAYHKETRYYSRHWLGQATDPYSHLETPQLHSYLPGFVHGAACSAGDHIFFPHLVDPFLCAELSIETNGFLFIGLVTPLTSASVRVEALALMALFLYMPQHLAIDTRIVHLIIGNGLFIIIYILSFPVSIWLHESELGPIGVLIFTTPLFGLSNNSIKM
+>sp|Q9H341|O51M1_HUMAN Olfactory receptor 51M1 OS=Homo sapiens OX=9606 GN=OR51M1 PE=3 SV=4
+MSVQYSLSPQFMLLSNITQFSPIFYLTSFPGLEGIKHWIFIPFFFMYMVAISGNCFILIIIKTNPRLHTPMYYLLSLLALTDLGLCVSTLPTTMGIFWFNSHSIYFGACQIQMFCIHSFSFMESSVLLMMSFDRLVAICHPLRYSVIITGQQVVRAGLIVIFRGPVATIPIVLLLKAFPYCGSVVLSHSFCLHQEVIQLACTDITFNNLYGLMVVVFTVMLDLVLIALSYGLILHTVAGLASQEEQRRAFQTCTAPLCAVLVFFVPMMGLSLVHRFGKHAPPAIHLLMANVYLFVPPMLNPIIYSIKTKEIHRAIIKFLGLKKASK
+>DECOY_sp|Q9H341|O51M1_HUMAN Olfactory receptor 51M1 OS=Homo sapiens OX=9606 GN=OR51M1 PE=3 SV=4
+KSAKKLGLFKIIARHIEKTKISYIIPNLMPPVFLYVNAMLLHIAPPAHKGFRHVLSLGMMPVFFVLVACLPATCTQFARRQEEQSALGAVTHLILGYSLAILVLDLMVTFVVVMLGYLNNFTIDTCALQIVEQHLCFSHSLVVSGCYPFAKLLLVIPITAVPGRFIVILGARVVQQGTIIVSYRLPHCIAVLRDFSMMLLVSSEMFSFSHICFMQIQCAGFYISHSNFWFIGMTTPLTSVCLGLDTLALLSLLYYMPTHLRPNTKIIILIFCNGSIAVMYMFFFPIFIWHKIGELGPFSTLYFIPSFQTINSLLMFQPSLSYQVSM
+>sp|Q8NGJ8|O51S1_HUMAN Olfactory receptor 51S1 OS=Homo sapiens OX=9606 GN=OR51S1 PE=2 SV=1
+MSTLPTQIAPNSSTSMAPTFLLVGMPGLSGAPSWWTLPLIAVYLLSALGNGTILWIIALQPALHRPMHFFLFLLSVSDIGLVTALMPTLLGIALAGAHTVPASACLLQMVFIHVFSVMESSVLLAMSIDRALAICRPLHYPALLTNGVISKISLAISFRCLGLHLPLPFLLAYMPYCLPQVLTHSYCLHPDVARLACPEAWGAAYSLFVVLSAMGLDPLLIFFSYGLIGKVLQGVESREDRWKAGQTCAAHLSAVLLFYIPMILLALINHPELPITQHTHTLLSYVHFLLPPLINPILYSVKMKEIRKRILNRLQPRKVGGAQ
+>DECOY_sp|Q8NGJ8|O51S1_HUMAN Olfactory receptor 51S1 OS=Homo sapiens OX=9606 GN=OR51S1 PE=2 SV=1
+QAGGVKRPQLRNLIRKRIEKMKVSYLIPNILPPLLFHVYSLLTHTHQTIPLEPHNILALLIMPIYFLLVASLHAACTQGAKWRDERSEVGQLVKGILGYSFFILLPDLGMASLVVFLSYAAGWAEPCALRAVDPHLCYSHTLVQPLCYPMYALLFPLPLHLGLCRFSIALSIKSIVGNTLLAPYHLPRCIALARDISMALLVSSEMVSFVHIFVMQLLCASAPVTHAGALAIGLLTPMLATVLGIDSVSLLFLFFHMPRHLAPQLAIIWLITGNGLASLLYVAILPLTWWSPAGSLGPMGVLLFTPAMSTSSNPAIQTPLTSM
+>sp|Q9H2C8|O51V1_HUMAN Olfactory receptor 51V1 OS=Homo sapiens OX=9606 GN=OR51V1 PE=3 SV=2
+MFLSSRMITSVSPSTSTNSSFLLTGFSGMEQQYPWLSIPFSSIYAMVLLGNCMVLHVIWTEPSLHQPMFYFLSMLALTDLCMGLSTVYTVLGILWGIIREISLDSCIAQSYFIHGLSFMESSVLLTMAFDRYIAICNPLRYSSILTNSRIIKIGLTIIGRSFFFITPPIICLKFFNYCHFHILSHSFCLHQDLLRLACSDIRFNSYYALMLVICILLLDAILILFSYILILKSVLAVASQEERHKLFQTCISHICAVLVFYIPIISLTMVHRFGKHLSPVAHVLIGNIYILFPPLMNPIIYSVKTQQIHTRMLRLFSLKRY
+>DECOY_sp|Q9H2C8|O51V1_HUMAN Olfactory receptor 51V1 OS=Homo sapiens OX=9606 GN=OR51V1 PE=3 SV=2
+YRKLSFLRLMRTHIQQTKVSYIIPNMLPPFLIYINGILVHAVPSLHKGFRHVMTLSIIPIYFVLVACIHSICTQFLKHREEQSAVALVSKLILIYSFLILIADLLLICIVLMLAYYSNFRIDSCALRLLDQHLCFSHSLIHFHCYNFFKLCIIPPTIFFFSRGIITLGIKIIRSNTLISSYRLPNCIAIYRDFAMTLLVSSEMFSLGHIFYSQAICSDLSIERIIGWLIGLVTYVTSLGMCLDTLALMSLFYFMPQHLSPETWIVHLVMCNGLLVMAYISSFPISLWPYQQEMGSFGTLLFSSNTSTSPSVSTIMRSSLFM
+>sp|Q8NGJ3|O52E1_HUMAN Olfactory receptor 52E1 OS=Homo sapiens OX=9606 GN=OR52E1 PE=3 SV=1
+MNTTLFHPYSFLLLGIPGLESMHLWVGFPFFAVFLTAVLGNITILFVIQTDSSLHHPMFYFLAILSSIDPGLSTSTIPKMLGTFWFTLREISFEGCLTQMFFIHLCTGMESAVLVAMAYDCYVAICDPLCYTLVLTNKVVSVMALAIFLRPLVFVIPFVLFILRLPFCGHQIIPHTYGEHMGIARLSCASIRVNIIYGLCAISILVFDIIAIVISYVQILCAVFLLSSHDARLKAFSTCGSHVCVMLTFYMPAFFSFMTHRFGRNIPHFIHILLANFYVVIPPALNSVIYGVRTKQIRAQVLKMFFNK
+>DECOY_sp|Q8NGJ3|O52E1_HUMAN Olfactory receptor 52E1 OS=Homo sapiens OX=9606 GN=OR52E1 PE=3 SV=1
+KNFFMKLVQARIQKTRVGYIVSNLAPPIVVYFNALLIHIFHPINRGFRHTMFSFFAPMYFTLMVCVHSGCTSFAKLRADHSSLLFVACLIQVYSIVIAIIDFVLISIACLGYIINVRISACSLRAIGMHEGYTHPIIQHGCFPLRLIFLVFPIVFVLPRLFIALAMVSVVKNTLVLTYCLPDCIAVYCDYAMAVLVASEMGTCLHIFFMQTLCGEFSIERLTFWFTGLMKPITSTSLGPDISSLIALFYFMPHHLSSDTQIVFLITINGLVATLFVAFFPFGVWLHMSELGPIGLLLFSYPHFLTTNM
+>sp|Q8NGH9|O52E4_HUMAN Olfactory receptor 52E4 OS=Homo sapiens OX=9606 GN=OR52E4 PE=3 SV=1
+MPSINDTHFYPPFFLLLGIPGLDTLHIWISFPFCIVYLIAIVGNMTILFVIKTEHSLHQPMFYFLAMLSMIDLGLSTSTIPKMLGIFWFNLQEISFGGCLLQMFFIHMFTGMETVLLVVMAYDRFVAICNPLQYTMILTNKTISILASVVVGRNLVLVTPFVFLILRLPFCGHNIVPHTYCEHRGLAGLACAPIKINIIYGLMVISYIIVDVILIASSYVLILRAVFRLPSQDVRLKAFNTCGSHVCVMLCFYTPAFFSFMTHRFGQNIPHYIHILLANLYVVVPPALNPVIYGVRTKQIREQIVKIFVQKE
+>DECOY_sp|Q8NGH9|O52E4_HUMAN Olfactory receptor 52E4 OS=Homo sapiens OX=9606 GN=OR52E4 PE=3 SV=1
+EKQVFIKVIQERIQKTRVGYIVPNLAPPVVVYLNALLIHIYHPINQGFRHTMFSFFAPTYFCLMVCVHSGCTNFAKLRVDQSPLRFVARLILVYSSAILIVDVIIYSIVMLGYIINIKIPACALGALGRHECYTHPVINHGCFPLRLILFVFPTVLVLNRGVVVSALISITKNTLIMTYQLPNCIAVFRDYAMVVLLVTEMGTFMHIFFMQLLCGGFSIEQLNFWFIGLMKPITSTSLGLDIMSLMALFYFMPQHLSHETKIVFLITMNGVIAILYVICFPFSIWIHLTDLGPIGLLLFFPPYFHTDNISPM
+>sp|Q96RD3|O52E6_HUMAN Olfactory receptor 52E6 OS=Homo sapiens OX=9606 GN=OR52E6 PE=3 SV=2
+MPIANDTQFHTSSFLLLGIPGLEDVHIWIGFPFFSVYLIALLGNAAIFFVIQTEQSLHEPMYYCLAMLDSIDLSLSTATIPKMLGIFWFNIKEISFGGYLSQMFFIHFFTVMESIVLVAMAFDRYIAICKPLWYTMILTSKIISLIAGIAVLRSLYMVIPLVFLLLRLPFCGHRIIPHTYCEHMGIARLACASIKVNIMFGLGSISLLLLDVLLIILSHIRILYAVFCLPSWEARLKALNTCGSHIGVILAFSTPAFFSFFTHCFGHDIPQYIHIFLANLYVVVPPTLNPVIYGVRTKHIRETVLRIFFKTDH
+>DECOY_sp|Q96RD3|O52E6_HUMAN Olfactory receptor 52E6 OS=Homo sapiens OX=9606 GN=OR52E6 PE=3 SV=2
+HDTKFFIRLVTERIHKTRVGYIVPNLTPPVVVYLNALFIHIYQPIDHGFCHTFFSFFAPTSFALIVGIHSGCTNLAKLRAEWSPLCFVAYLIRIHSLIILLVDLLLLSISGLGFMINVKISACALRAIGMHECYTHPIIRHGCFPLRLLLFVLPIVMYLSRLVAIGAILSIIKSTLIMTYWLPKCIAIYRDFAMAVLVISEMVTFFHIFFMQSLYGGFSIEKINFWFIGLMKPITATSLSLDISDLMALCYYMPEHLSQETQIVFFIAANGLLAILYVSFFPFGIWIHVDELGPIGLLLFSSTHFQTDNAIPM
+>sp|Q8NH60|O52J3_HUMAN Olfactory receptor 52J3 OS=Homo sapiens OX=9606 GN=OR52J3 PE=3 SV=2
+MFYHNKSIFHPVTFFLIGIPGLEDFHMWISGPFCSVYLVALLGNATILLVIKVEQTLREPMFYFLAILSTIDLALSTTSVPRMLGIFWFDAHEINYGACVAQMFLIHAFTGMEAEVLLAMAFDRYVAVCAPLHYATILTSQVLVGISMCIVIRPVLLTLPMVYLIYRLPFCQAHIIAHSYCEHMGIAKLSCGNIRINGIYGLFVVSFFVLNLVLIGISYVYILRAVFRLPSHDAQLKALSTCGAHVGVICVFYIPSVFSFLTHRFGHQIPGYIHILVANLYLIIPPSLNPIIYGVRTKQIRERVLYVFTKK
+>DECOY_sp|Q8NH60|O52J3_HUMAN Olfactory receptor 52J3 OS=Homo sapiens OX=9606 GN=OR52J3 PE=3 SV=2
+KKTFVYLVRERIQKTRVGYIIPNLSPPIILYLNAVLIHIYGPIQHGFRHTLFSFVSPIYFVCIVGVHAGCTSLAKLQADHSPLRFVARLIYVYSIGILVLNLVFFSVVFLGYIGNIRINGCSLKAIGMHECYSHAIIHAQCFPLRYILYVMPLTLLVPRIVICMSIGVLVQSTLITAYHLPACVAVYRDFAMALLVEAEMGTFAHILFMQAVCAGYNIEHADFWFIGLMRPVSTTSLALDITSLIALFYFMPERLTQEVKIVLLITANGLLAVLYVSCFPGSIWMHFDELGPIGILFFTVPHFISKNHYFM
+>sp|Q8NGK3|O52K2_HUMAN Olfactory receptor 52K2 OS=Homo sapiens OX=9606 GN=OR52K2 PE=2 SV=2
+MSASNITLTHPTAFLLVGIPGLEHLHIWISIPFCLAYTLALLGNCTLLLIIQADAALHEPMYLFLAMLAAIDLVLSSSALPKMLAIFWFRDREINFFACLAQMFFLHSFSIMESAVLLAMAFDRYVAICKPLHYTKVLTGSLITKIGMAAVARAVTLMTPLPFLLRCFHYCRGPVIAHCYCEHMAVVRLACGDTSFNNIYGIAVAMFIVVLDLLLVILSYIFILQAVLLLASQEARYKAFGTCVSHIGAILAFYTTVVISSVMHRVARHAAPHVHILLANFYLLFPPMVNPIIYGVKTKQIRESILGVFPRKDM
+>DECOY_sp|Q8NGK3|O52K2_HUMAN Olfactory receptor 52K2 OS=Homo sapiens OX=9606 GN=OR52K2 PE=2 SV=2
+MDKRPFVGLISERIQKTKVGYIIPNVMPPFLLYFNALLIHVHPAAHRAVRHMVSSIVVTTYFALIAGIHSVCTGFAKYRAEQSALLLVAQLIFIYSLIVLLLDLVVIFMAVAIGYINNFSTDGCALRVVAMHECYCHAIVPGRCYHFCRLLFPLPTMLTVARAVAAMGIKTILSGTLVKTYHLPKCIAVYRDFAMALLVASEMISFSHLFFMQALCAFFNIERDRFWFIALMKPLASSSLVLDIAALMALFLYMPEHLAADAQIILLLTCNGLLALTYALCFPISIWIHLHELGPIGVLLFATPHTLTINSASM
+>sp|Q8NH53|O52N1_HUMAN Olfactory receptor 52N1 OS=Homo sapiens OX=9606 GN=OR52N1 PE=3 SV=1
+MSFLNGTSLTPASFILNGIPGLEDVHLWISFPLCTMYSIAITGNFGLMYLIYCDEALHRPMYVFLALLSFTDVLMCTSTLPNTLFILWFNLKEIDFKACLAQMFFVHTFTGMESGVLMLMALDHCVAICFPLRYATILTNSVIAKAGFLTFLRGVMLVIPSTFLTKRLPYCKGNVIPHTYCDHMSVAKISCGNVRVNAIYGLIVALLIGGFDILCITISYTMILQAVVSLSSADARQKAFSTCTAHFCAIVLTYVPAFFTFFTHHFGGHTIPLHIHIIMANLYLLMPPTMNPIVYGVKTRQVRESVIRFFLKGKDNSHNF
+>DECOY_sp|Q8NH53|O52N1_HUMAN Olfactory receptor 52N1 OS=Homo sapiens OX=9606 GN=OR52N1 PE=3 SV=1
+FNHSNDKGKLFFRIVSERVQRTKVGYVIPNMTPPMLLYLNAMIIHIHLPITHGGFHHTFFTFFAPVYTLVIACFHATCTSFAKQRADASSLSVVAQLIMTYSITICLIDFGGILLAVILGYIANVRVNGCSIKAVSMHDCYTHPIVNGKCYPLRKTLFTSPIVLMVGRLFTLFGAKAIVSNTLITAYRLPFCIAVCHDLAMLMLVGSEMGTFTHVFFMQALCAKFDIEKLNFWLIFLTNPLTSTCMLVDTFSLLALFVYMPRHLAEDCYILYMLGFNGTIAISYMTCLPFSIWLHVDELGPIGNLIFSAPTLSTGNLFSM
+>sp|Q8NH56|O52N5_HUMAN Olfactory receptor 52N5 OS=Homo sapiens OX=9606 GN=OR52N5 PE=2 SV=2
+MPLFNSLCWFPTIHVTPPSFILNGIPGLERVHVWISLPLCTMYIIFLVGNLGLVYLIYYEESLHHPMYFFFGHALSLIDLLTCTTTLPNALCIFWFSLKEINFNACLAQMFFVHGFTGVESGVLMLMALDRYVAICYPLRYATTLTNPIIAKAELATFLRGVLLMIPFPFLVKRLPFCQSNIISHTYCDHMSVVKLSCASIKVNVIYGLMVALLIGVFDICCISLSYTLILKAAISLSSSDARQKAFSTCTAHISAIIITYVPAFFTFFAHRFGGHTIPPSLHIIVANLYLLLPPTLNPIVYGVKTKQIRKSVIKFFQGDKGAG
+>DECOY_sp|Q8NH56|O52N5_HUMAN Olfactory receptor 52N5 OS=Homo sapiens OX=9606 GN=OR52N5 PE=2 SV=2
+GAGKDGQFFKIVSKRIQKTKVGYVIPNLTPPLLLYLNAVIIHLSPPITHGGFRHAFFTFFAPVYTIIIASIHATCTSFAKQRADSSSLSIAAKLILTYSLSICCIDFVGILLAVMLGYIVNVKISACSLKVVSMHDCYTHSIINSQCFPLRKVLFPFPIMLLVGRLFTALEAKAIIPNTLTTAYRLPYCIAVYRDLAMLMLVGSEVGTFGHVFFMQALCANFNIEKLSFWFICLANPLTTTCTLLDILSLAHGFFFYMPHHLSEEYYILYVLGLNGVLFIIYMTCLPLSIWVHVRELGPIGNLIFSPPTVHITPFWCLSNFLPM
+>sp|Q8NGI1|O56B2_HUMAN Putative olfactory receptor 56B2 OS=Homo sapiens OX=9606 GN=OR56B2P PE=5 SV=1
+MLVVLQELRDSNSSKFQVSEFILMGFPGIHSWQHWLSLPLALLYLLALSANILILIIINKEAALHQPMYYFLGILAMADIGLATTIMPKILAILWFNAKTISLLECFAQMYAIHCFVAMESSTFVCMAIDRYVAICRPLRYPSIITESFVFKANGFMALRNSLCLISVPLLAAQRHYCSQNQIEHCLCSNLGVTSLSCDDRRINSINQVLLAWTLMGSDLGLIILSYALILYSVLKLNSPEAASKALSTCTSHLILILFFYTVIIVISITRSTGMRVPLIPVLLNVLHNVIPPALNPMVYALKNKELRQGLYKVLRLGVKGT
+>DECOY_sp|Q8NGI1|O56B2_HUMAN Putative olfactory receptor 56B2 OS=Homo sapiens OX=9606 GN=OR56B2P PE=5 SV=1
+TGKVGLRLVKYLGQRLEKNKLAYVMPNLAPPIVNHLVNLLVPILPVRMGTSRTISIVIIVTYFFLILILHSTCTSLAKSAAEPSNLKLVSYLILAYSLIILGLDSGMLTWALLVQNISNIRRDDCSLSTVGLNSCLCHEIQNQSCYHRQAALLPVSILCLSNRLAMFGNAKFVFSETIISPYRLPRCIAVYRDIAMCVFTSSEMAVFCHIAYMQAFCELLSITKANFWLIALIKPMITTALGIDAMALIGLFYYMPQHLAAEKNIIILILINASLALLYLLALPLSLWHQWSHIGPFGMLIFESVQFKSSNSDRLEQLVVLM
+>sp|P0C628|O5AC1_HUMAN Olfactory receptor 5AC1 OS=Homo sapiens OX=9606 GN=OR5AC1 PE=3 SV=1
+MAEENKILVTHFVLTGLTDHPGLQAPLFLVFLVIYLITLVGNLGLMALIWKDPHLHTPIYLFLGSLAFADACTSSSVTSKMLINFLSKNHMLSMAKCATQFYFFGSNATTECFLLVVMAYDRYVAICNPLLYPVVMSNSLCTQFIGISYFIGFLHSAIHVGLLFRLTFCRSNIIHYFYCEILQLFKISCTNPTVNILLIFIFSAFIQVFTFMTLIVSYSYILSAILKKKSEKGRSKAFSTCSAHLLSVSLFYGTLFFMYVSSRSGSAADQAKMYSLFYTIIIPLLNPFIYSLRNKEVIDALRRIMKK
+>DECOY_sp|P0C628|O5AC1_HUMAN Olfactory receptor 5AC1 OS=Homo sapiens OX=9606 GN=OR5AC1 PE=3 SV=1
+KKMIRRLADIVEKNRLSYIFPNLLPIIITYFLSYMKAQDAASGSRSSVYMFFLTGYFLSVSLLHASCTSFAKSRGKESKKKLIASLIYSYSVILTMFTFVQIFASFIFILLINVTPNTCSIKFLQLIECYFYHIINSRCFTLRFLLGVHIASHLFGIFYSIGIFQTCLSNSMVVPYLLPNCIAVYRDYAMVVLLFCETTANSGFFYFQTACKAMSLMHNKSLFNILMKSTVSSSTCADAFALSGLFLYIPTHLHPDKWILAMLGLNGVLTILYIVLFVLFLPAQLGPHDTLGTLVFHTVLIKNEEAM
+>sp|Q8NH89|O5AK3_HUMAN Putative olfactory receptor 5AK3 OS=Homo sapiens OX=9606 GN=OR5AK3P PE=5 SV=1
+MGRGNSTEVTEFHLLGFGVQHEFQHVLFIVLLLIYVTSLIGNIGMILLIKTDSRLQTPMYFFPQHLAFVDICYTSAITPKMLQSFTEENNLITFRGCVIQFLVYATFATSDCYLLAIMAMDCYVAICKPLRYPMIMSQTVYIQLVAGSYIIGSINASVHTGFTFSLSFCKSNKINHFFCDGLPILALSCSNIDINIILDVVFVGFDLMFTELVIIFSYIYIMVTILKMSSTAGRKKSFSTCASHLTAVTIFYGTLSYMYLQPQSNNSQENMKVASIFYGTVIPMLNPLIYSLRNKEGK
+>DECOY_sp|Q8NH89|O5AK3_HUMAN Putative olfactory receptor 5AK3 OS=Homo sapiens OX=9606 GN=OR5AK3P PE=5 SV=1
+KGEKNRLSYILPNLMPIVTGYFISAVKMNEQSNNSQPQLYMYSLTGYFITVATLHSACTSFSKKRGATSSMKLITVMIYIYSFIIVLETFMLDFGVFVVDLIINIDINSCSLALIPLGDCFFHNIKNSKCFSLSFTFGTHVSANISGIIYSGAVLQIYVTQSMIMPYRLPKCIAVYCDMAMIALLYCDSTAFTAYVLFQIVCGRFTILNNEETFSQLMKPTIASTYCIDVFALHQPFFYMPTQLRSDTKILLIMGINGILSTVYILLLVIFLVHQFEHQVGFGLLHFETVETSNGRGM
+>sp|Q8NGI8|O5AN1_HUMAN Olfactory receptor 5AN1 OS=Homo sapiens OX=9606 GN=OR5AN1 PE=2 SV=1
+MTGGGNITEITYFILLGFSDFPRIIKVLFTIFLVIYITSLAWNLSLIVLIRMDSHLHTPMYFFLSNLSFIDVCYISSTVPKMLSNLLQEQQTITFVGCIIQYFIFSTMGLSESCLMTAMAYDRYAAICNPLLYSSIMSPTLCVWMVLGAYMTGLTASLFQIGALLQLHFCGSNVIRHFFCDMPQLLILSCTDTFFVQVMTAILTMFFGIASALVIMISYGYIGISIMKITSAKGRSKAFNTCASHLTAVSLFYTSGIFVYLSSSSGGSSSFDRFASVFYTVVIPMLNPLIYSLRNKEIKDALKRLQKRKCC
+>DECOY_sp|Q8NGI8|O5AN1_HUMAN Olfactory receptor 5AN1 OS=Homo sapiens OX=9606 GN=OR5AN1 PE=2 SV=1
+CCKRKQLRKLADKIEKNRLSYILPNLMPIVVTYFVSAFRDFSSSGGSSSSLYVFIGSTYFLSVATLHSACTNFAKSRGKASTIKMISIGIYGYSIMIVLASAIGFFMTLIATMVQVFFTDTCSLILLQPMDCFFHRIVNSGCFHLQLLAGIQFLSATLGTMYAGLVMWVCLTPSMISSYLLPNCIAAYRDYAMATMLCSESLGMTSFIFYQIICGVFTITQQEQLLNSLMKPVTSSIYCVDIFSLNSLFFYMPTHLHSDMRILVILSLNWALSTIYIVLFITFLVKIIRPFDSFGLLIFYTIETINGGGTM
+>sp|Q8N127|O5AS1_HUMAN Olfactory receptor 5AS1 OS=Homo sapiens OX=9606 GN=OR5AS1 PE=3 SV=1
+MLESNYTMPTEFLFVGFTDYLPLRVTLFLVFLLVYTLTMVGNILLIILVNINSSLQIPMYYFLSNLSFLDISCSTAITPKMLANFLASRKSISPYGCALQMFFFASFADAECLILAAMAYDRYAAICNPLLYTTLMSRRVCVCFIVLAYFSGSTTSLVHVCLTFRLSFCGSNIVNHFFCDIPPLLALSCTDTQINQLLLFALCSFIQTSTFVVIFISYFCILITVLSIKSSGGRSKTFSTCASHLIAVTLFYGALLFMYLQPTTSYSLDTDKVVAVFYTVVFPMFNPIIYSFRNKDVKNALKKLLERIGYSNEWYLNRLRIVNI
+>DECOY_sp|Q8N127|O5AS1_HUMAN Olfactory receptor 5AS1 OS=Homo sapiens OX=9606 GN=OR5AS1 PE=3 SV=1
+INVIRLRNLYWENSYGIRELLKKLANKVDKNRFSYIIPNFMPFVVTYFVAVVKDTDLSYSTTPQLYMFLLAGYFLTVAILHSACTSFTKSRGGSSKISLVTILICFYSIFIVVFTSTQIFSCLAFLLLQNIQTDTCSLALLPPIDCFFHNVINSGCFSLRFTLCVHVLSTTSGSFYALVIFCVCVRRSMLTTYLLPNCIAAYRDYAMAALILCEADAFSAFFFMQLACGYPSISKRSALFNALMKPTIATSCSIDLFSLNSLFYYMPIQLSSNINVLIILLINGVMTLTYVLLFVLFLTVRLPLYDTFGVFLFETPMTYNSELM
+>sp|A6NDH6|O5H15_HUMAN Olfactory receptor 5H15 OS=Homo sapiens OX=9606 GN=OR5H15 PE=3 SV=1
+MEEENATLLTEFVLTGFLYQPQWKIPLFLAFLVIYLITIMGNLGLIAVIWKDPHLHIPMYLLLGNLAFVDAWISSTVTPKMLNNFLAKSKMISLSECKIQFFSIAIGVTTECFLLATMAYDRYVAICKPLLYPAIMTNGLCIRLLILSYIAGILHALIHEGFLFRLTFCNSNIVHHIYCDTIPLSKISCTDSSINFLMVFIFSGSIQVFSIVTILISYTFVLFTVLEKKSDKGVRKAFSTCGAHLFSVCLYYGPLLLMYVGPASPQADGQNMVEPLFYTVIIPLLNPIIYSLRNKQVIVSFIKMLKRNVKVSY
+>DECOY_sp|A6NDH6|O5H15_HUMAN Olfactory receptor 5H15 OS=Homo sapiens OX=9606 GN=OR5H15 PE=3 SV=1
+YSVKVNRKLMKIFSVIVQKNRLSYIIPNLLPIIVTYFLPEVMNQGDAQPSAPGVYMLLLPGYYLCVSFLHAGCTSFAKRVGKDSKKELVTFLVFTYSILITVISFVQISGSFIFVMLFNISSDTCSIKSLPITDCYIHHVINSNCFTLRFLFGEHILAHLIGAIYSLILLRICLGNTMIAPYLLPKCIAVYRDYAMTALLFCETTVGIAISFFQIKCESLSIMKSKALFNNLMKPTVTSSIWADVFALNGLLLYMPIHLHPDKWIVAILGLNGMITILYIVLFALFLPIKWQPQYLFGTLVFETLLTANEEEM
+>sp|A6NIJ9|O6C70_HUMAN Olfactory receptor 6C70 OS=Homo sapiens OX=9606 GN=OR6C70 PE=3 SV=1
+MKNHTRQIEFILLGLTDNSQLQIVIFLFLLLNCVLSMIGNFTIIALILLDSQLKTPMYFFLRNFSFLEISFTTACIPRFLITIVTREKTISCNGCISQLFFYIFLGVTEFFLLAALSYDRYVAICKPLRYMSIMSNKVCYQLVFSSWVTGFLIIFTPLILGLNLDFCASNIIDHFICDISLILQLSCSDTHLLELIAFLLAVMTLIVTLFLVILSYSYIIKTILKFPSAQQKKKAFSTCSSHMIVVSITYGSCMFIYIKPSANERVALSKGVTVLNTSVAPLLNPFIYTLRNQQVKQAFKAVFRKIFSASDK
+>DECOY_sp|A6NIJ9|O6C70_HUMAN Olfactory receptor 6C70 OS=Homo sapiens OX=9606 GN=OR6C70 PE=3 SV=1
+KDSASFIKRFVAKFAQKVQQNRLTYIFPNLLPAVSTNLVTVGKSLAVRENASPKIYIFMCSGYTISVVIMHSSCTSFAKKKQQASPFKLITKIIYSYSLIVLFLTVILTMVALLFAILELLHTDSCSLQLILSIDCIFHDIINSACFDLNLGLILPTFIILFGTVWSSFVLQYCVKNSMISMYRLPKCIAVYRDYSLAALLFFETVGLFIYFFLQSICGNCSITKERTVITILFRPICATTFSIELFSFNRLFFYMPTKLQSDLLILAIITFNGIMSLVCNLLLFLFIVIQLQSNDTLGLLIFEIQRTHNKM
+>sp|A6NM76|O6C76_HUMAN Olfactory receptor 6C76 OS=Homo sapiens OX=9606 GN=OR6C76 PE=3 SV=1
+MKNRTSVTDFILLGLTDNPQLQVVIFSFLFLTYVLSVTGNLTIISLTLLDSHLKTPMYFFLRNFSLEISFTSVCNPRFLISILTGDKSISYNACAAQLFFFIFLGSTEFFLLASMSYDCYVAICKPLHYTTIMSDRICYQLIISSWLAGFLVIFPPLAMGLQLDFCDSNVIDHFTCDSAPLLQISCTDTSTLELMSFILALFTLISTLILVILSYTYIIRTILRIPSAQQRKKAFSTCSSHVIVVSISYGSCIFMYVKTSAKEGVALTKGVAILNTSVAPMLNPFIYTLRNQQVKQAFKDVLRKISHKKKKH
+>DECOY_sp|A6NM76|O6C76_HUMAN Olfactory receptor 6C76 OS=Homo sapiens OX=9606 GN=OR6C76 PE=3 SV=1
+HKKKKHSIKRLVDKFAQKVQQNRLTYIFPNLMPAVSTNLIAVGKTLAVGEKASTKVYMFICSGYSISVVIVHSSCTSFAKKRQQASPIRLITRIIYTYSLIVLILTSILTFLALIFSMLELTSTDTCSIQLLPASDCTFHDIVNSDCFDLQLGMALPPFIVLFGALWSSIILQYCIRDSMITTYHLPKCIAVYCDYSMSALLFFETSGLFIFFFLQAACANYSISKDGTLISILFRPNCVSTFSIELSFNRLFFYMPTKLHSDLLTLSIITLNGTVSLVYTLFLFSFIVVQLQPNDTLGLLIFDTVSTRNKM
+>sp|Q9Y6K5|OAS3_HUMAN 2'-5'-oligoadenylate synthase 3 OS=Homo sapiens OX=9606 GN=OAS3 PE=1 SV=3
+MDLYSTPAAALDRFVARRLQPRKEFVEKARRALGALAAALRERGGRLGAAAPRVLKTVKGGSSGRGTALKGGCDSELVIFLDCFKSYVDQRARRAEILSEMRASLESWWQNPVPGLRLTFPEQSVPGALQFRLTSVDLEDWMDVSLVPAFNVLGQAGSGVKPKPQVYSTLLNSGCQGGEHAACFTELRRNFVNIRPAKLKNLILLVKHWYHQVCLQGLWKETLPPVYALELLTIFAWEQGCKKDAFSLAEGLRTVLGLIQQHQHLCVFWTVNYGFEDPAVGQFLQRQLKRPRPVILDPADPTWDLGNGAAWHWDLLAQEAASCYDHPCFLRGMGDPVQSWKGPGLPRAGCSGLGHPIQLDPNQKTPENSKSLNAVYPRAGSKPPSCPAPGPTGAASIVPSVPGMALDLSQIPTKELDRFIQDHLKPSPQFQEQVKKAIDIILRCLHENCVHKASRVSKGGSFGRGTDLRDGCDVELIIFLNCFTDYKDQGPRRAEILDEMRAQLESWWQDQVPSLSLQFPEQNVPEALQFQLVSTALKSWTDVSLLPAFDAVGQLSSGTKPNPQVYSRLLTSGCQEGEHKACFAELRRNFMNIRPVKLKNLILLVKHWYRQVAAQNKGKGPAPASLPPAYALELLTIFAWEQGCRQDCFNMAQGFRTVLGLVQQHQQLCVYWTVNYSTEDPAMRMHLLGQLRKPRPLVLDPADPTWNVGHGSWELLAQEAAALGMQACFLSRDGTSVQPWDVMPALLYQTPAGDLDKFISEFLQPNRQFLAQVNKAVDTICSFLKENCFRNSPIKVIKVVKGGSSAKGTALRGRSDADLVVFLSCFSQFTEQGNKRAEIISEIRAQLEACQQERQFEVKFEVSKWENPRVLSFSLTSQTMLDQSVDFDVLPAFDALGQLVSGSRPSSQVYVDLIHSYSNAGEYSTCFTELQRDFIISRPTKLKSLIRLVKHWYQQCTKISKGRGSLPPQHGLELLTVYAWEQGGKDSQFNMAEGFRTVLELVTQYRQLCIYWTINYNAKDKTVGDFLKQQLQKPRPIILDPADPTGNLGHNARWDLLAKEAAACTSALCCMGRNGIPIQPWPVKAAV
+>DECOY_sp|Q9Y6K5|OAS3_HUMAN 2'-5'-oligoadenylate synthase 3 OS=Homo sapiens OX=9606 GN=OAS3 PE=1 SV=3
+VAAKVPWPQIPIGNRGMCCLASTCAAAEKALLDWRANHGLNGTPDAPDLIIPRPKQLQQKLFDGVTKDKANYNITWYICLQRYQTVLELVTRFGEAMNFQSDKGGQEWAYVTLLELGHQPPLSGRGKSIKTCQQYWHKVLRILSKLKTPRSIIFDRQLETFCTSYEGANSYSHILDVYVQSSPRSGSVLQGLADFAPLVDFDVSQDLMTQSTLSFSLVRPNEWKSVEFKVEFQREQQCAELQARIESIIEARKNGQETFQSFCSLFVVLDADSRGRLATGKASSGGKVVKIVKIPSNRFCNEKLFSCITDVAKNVQALFQRNPQLFESIFKDLDGAPTQYLLAPMVDWPQVSTGDRSLFCAQMGLAAAEQALLEWSGHGVNWTPDAPDLVLPRPKRLQGLLHMRMAPDETSYNVTWYVCLQQHQQVLGLVTRFGQAMNFCDQRCGQEWAFITLLELAYAPPLSAPAPGKGKNQAAVQRYWHKVLLILNKLKVPRINMFNRRLEAFCAKHEGEQCGSTLLRSYVQPNPKTGSSLQGVADFAPLLSVDTWSKLATSVLQFQLAEPVNQEPFQLSLSPVQDQWWSELQARMEDLIEARRPGQDKYDTFCNLFIILEVDCGDRLDTGRGFSGGKSVRSAKHVCNEHLCRLIIDIAKKVQEQFQPSPKLHDQIFRDLEKTPIQSLDLAMGPVSPVISAAGTPGPAPCSPPKSGARPYVANLSKSNEPTKQNPDLQIPHGLGSCGARPLGPGKWSQVPDGMGRLFCPHDYCSAAEQALLDWHWAAGNGLDWTPDAPDLIVPRPRKLQRQLFQGVAPDEFGYNVTWFVCLHQHQQILGLVTRLGEALSFADKKCGQEWAFITLLELAYVPPLTEKWLGQLCVQHYWHKVLLILNKLKAPRINVFNRRLETFCAAHEGGQCGSNLLTSYVQPKPKVGSGAQGLVNFAPVLSVDMWDELDVSTLRFQLAGPVSQEPFTLRLGPVPNQWWSELSARMESLIEARRARQDVYSKFCDLFIVLESDCGGKLATGRGSSGGKVTKLVRPAAAGLRGGRERLAAALAGLARRAKEVFEKRPQLRRAVFRDLAAAPTSYLDM
+>sp|P54368|OAZ1_HUMAN Ornithine decarboxylase antizyme 1 OS=Homo sapiens OX=9606 GN=OAZ1 PE=1 SV=3
+MVKSSLQRILNSHCFAREKEGDKPSATIHASRTMPLLSLHSRGGSSSESSRVSLHCCSNPGPGPRWCSDAPHPPLKIPGGRGNSQRDHNLSANLFYSDDRLNVTEELTSNDKTRILNVQSRLTDAKRINWRTVLSGGSLYIEIPGGALPEGSKDSFAVLLEFAEEQLRADHVFICFHKNREDRAALLRTFSFLGFEIVRPGHPLVPKRPDACFMAYTFERESSGEEEE
+>DECOY_sp|P54368|OAZ1_HUMAN Ornithine decarboxylase antizyme 1 OS=Homo sapiens OX=9606 GN=OAZ1 PE=1 SV=3
+EEEEGSSEREFTYAMFCADPRKPVLPHGPRVIEFGLFSFTRLLAARDERNKHFCIFVHDARLQEEAFELLVAFSDKSGEPLAGGPIEIYLSGGSLVTRWNIRKADTLRSQVNLIRTKDNSTLEETVNLRDDSYFLNASLNHDRQSNGRGGPIKLPPHPADSCWRPGPGPNSCCHLSVRSSESSSGGRSHLSLLPMTRSAHITASPKDGEKERAFCHSNLIRQLSSKVM
+>sp|O95190|OAZ2_HUMAN Ornithine decarboxylase antizyme 2 OS=Homo sapiens OX=9606 GN=OAZ2 PE=1 SV=1
+MINTQDSSILPLSNCPQLQCCRHIVPGPLWCSDAPHPLSKIPGGRGGGRDPSLSALIYKDEKLTVTQDLPVNDGKPHIVHFQYEVTEVKVSSWDAVLSSQSLFVEIPDGLLADGSKEGLLALLEFAEEKMKVNYVFICFRKGREDRAPLLKTFSFLGFEIVRPGHPCVPSRPDVMFMVYPLDQNLSDED
+>DECOY_sp|O95190|OAZ2_HUMAN Ornithine decarboxylase antizyme 2 OS=Homo sapiens OX=9606 GN=OAZ2 PE=1 SV=1
+DEDSLNQDLPYVMFMVDPRSPVCPHGPRVIEFGLFSFTKLLPARDERGKRFCIFVYNVKMKEEAFELLALLGEKSGDALLGDPIEVFLSQSSLVADWSSVKVETVEYQFHVIHPKGDNVPLDQTVTLKEDKYILASLSPDRGGGRGGPIKSLPHPADSCWLPGPVIHRCCQLQPCNSLPLISSDQTNIM
+>sp|Q5VST9|OBSCN_HUMAN Obscurin OS=Homo sapiens OX=9606 GN=OBSCN PE=1 SV=3
+MDQPQFSGAPRFLTRPKAFVVSVGKDATLSCQIVGNPTPQVSWEKDQQPVAAGARFRLAQDGDLYRLTILDLALGDSGQYVCRARNAIGEAFAAVGLQVDAEAACAEQAPHFLLRPTSIRVREGSEATFRCRVGGSPRPAVSWSKDGRRLGEPDGPRVRVEELGEASALRIRAARPRDGGTYEVRAENPLGAASAAAALVVDSDAADTASRPGTSTAALLAHLQRRREAMRAEGAPASPPSTGTRTCTVTEGKHARLSCYVTGEPKPETVWKKDGQLVTEGRRHVVYEDAQENFVLKILFCKQSDRGLYTCTASNLVGQTYSSVLVVVREPAVPFKKRLQDLEVREKESATFLCEVPQPSTEAAWFKEETRLWASAKYGIEEEGTERRLTVRNVSADDDAVYICETPEGSRTVAELAVQGNLLRKLPRKTAVRVGDTAMFCVELAVPVGPVHWLRNQEEVVAGGRVAISAEGTRHTLTISQCCLEDVGQVAFMAGDCQTSTQFCVSAPRKPPLQPPVDPVVKARMESSVILSWSPPPHGERPVTIDGYLVEKKKLGTYTWIRCHEAEWVATPELTVADVAEEGNFQFRVSALNSFGQSPYLEFPGTVHLAPKLAVRTPLKAVQAVEGGEVTFSVDLTVASAGEWFLDGQALKASSVYEIHCDRTRHTLTIREVPASLHGAQLKFVANGIESSIRMEVRAAPGLTANKPPAAAAREVLARLHEEAQLLAELSDQAAAVTWLKDGRTLSPGPKYEVQASAGRRVLLVRDVARDDAGLYECVSRGGRIAYQLSVQGLARFLHKDMAGSCVDAVAGGPAQFECETSEAHVHVHWYKDGMELGHSGERFLQEDVGTRHRLVAATVTRQDEGTYSCRVGEDSVDFRLRVSEPKVVFAKEQLARRKLQAEAGASATLSCEVAQAQTEVTWYKDGKKLSSSSKVCMEATGCTRRLVVQQAGQADAGEYSCEAGGQRLSFHLDVKEPKVVFAKDQVAHSEVQAEAGASATLSCEVAQAQTEVMWYKDGKKLSSSLKVHVEAKGCRRRLVVQQAGKTDAGDYSCEARGQRVSFRLHITEPKMMFAKEQSVHNEVQAEAGASAMLSCEVAQAQTEVTWYKDGKKLSSSSKVGMEVKGCTRRLVLPQAGKADAGEYSCEAGGQRVSFHLHITEPKGVFAKEQSVHNEVQAEAGTTAMLSCEVAQPQTEVTWYKDGKKLSSSSKVRMEVKGCTRRLVVQQVGKADAGEYSCEAGGQRVSFQLHITEPKAVFAKEQLVHNEVRTEAGASATLSCEVAQAQTEVTWYKDGKKLSSSSKVRIEAAGCMRQLVVQQAGQADAGEYTCEAGGQRLSFHLDVSEPKAVFAKEQLAHRKVQAEAGAIATLSCEVAQAQTEVTWYKDGKKLSSSSKVRMEAVGCTRRLVVQQACQADTGEYSCEAGGQRLSFSLDVAEPKVVFAKEQPVHREVQAQAGASTTLSCEVAQAQTEVMWYKDGKKLSFSSKVRMEAVGCTRRLVVQQAGQAVAGEYSCEAGSQRLSFHLHVAEPKAVFAKEQPASREVQAEAGTSATLSCEVAQAQTEVTWYKDGKKLSSSSKVRMEAVGCTRRLVVQEAGQADAGEYSCKAGDQRLSFHLHVAEPKVVFAKEQPAHREVQAEAGASATLSCEVAQAQTEVTWYKDGKKLSSSSKVRVEAVGCTRRLVVQQAGQAEAGEYSCEAGGQQLSFRLQVAELEPQISERPCRREPLVVKEHEDIILTATLATPSAATVTWLKDGVEIRRSKRHETASQGDTHTLTVHGAQVLDSAIYSCRVGAEGQDFPVQVEEVAAKFCRLLEPVCGELGGTVTLACELSPACAEVVWRCGNTQLRVGKRFQMVAEGPVRSLTVLGLRAEDAGEYVCESRDDHTSAQLTVSVPRVVKFMSGLSTVVAEEGGEATFQCVVSPSDVAVVWFRDGALLQPSEKFAISQSGASHSLTISDLVLEDAGQITVEAEGASSSAALRVREAPVLFKKKLEPQTVEERSSVTLEVELTRPWPELRWTRNATALAPGKNVEIHAEGARHRLVLHNVGFADRGFFGCETPDDKTQAKLTVEMRQVRLVRGLQAVEAREQGTATMEVQLSHADVDGSWTRDGLRFQQGPTCHLAVRGPMHTLTLSGLRPEDSGLMVFKAEGVHTSARLVVTELPVSFSRPLQDVVTTEKEKVTLECELSRPNVDVRWLKDGVELRAGKTMAIAAQGACRSLTIYRCEFADQGVYVCDAHDAQSSASVKVQGRTYTLIYRRVLAEDAGEIQFVAENAESRAQLRVKELPVTLVRPLRDKIAMEKHRGVLECQVSRASAQVRWFKGSQELQPGPKYELVSDGLYRKLIISDVHAEDEDTYTCDAGDVKTSAQFFVEEQSITIVRGLQDVTVMEPAPAWFECETSIPSVRPPKWLLGKTVLQAGGNVGLEQEGTVHRLMLRRTCSTMTGPVHFTVGKSRSSARLVVSDIPVVLTRPLEPKTGRELQSVVLSCDFRPAPKAVQWYKDDTPLSPSEKFKMSLEGQMAELRILRLMPADAGVYRCQAGSAHSSTEVTVEAREVTVTGPLQDAEATEEGWASFSCELSHEDEEVEWSLNGMPLYNDSFHEISHKGRRHTLVLKSIQRADAGIVRASSLKVSTSARLEVRVKPVVFLKALDDLSAEERGTLALQCEVSDPEAHVVWRKDGVQLGPSDKYDFLHTAGTRGLVVHDVSPEDAGLYTCHVGSEETRARVRVHDLHVGITKRLKTMEVLEGESCSFECVLSHESASDPAMWTVGGKTVGSSSRFQATRQGRKYILVVREAAPSDAGEVVFSVRGLTSKASLIVRERPAAIIKPLEDQWVAPGEDVELRCELSRAGTPVHWLKDRKAIRKSQKYDVVCEGTMAMLVIRGASLKDAGEYTCEVEASKSTASLHVEEKANCFTEELTNLQVEEKGTAVFTCKTEHPAATVTWRKGLLELRASGKHQPSQEGLTLRLTISALEKADSDTYTCDIGQAQSRAQLLVQGRRVHIIEDLEDVDVQEGSSATFRCRISPANYEPVHWFLDKTPLHANELNEIDAQPGGYHVLTLRQLALKDSGTIYFEAGDQRASAALRVTEKPSVFSRELTDATITEGEDLTLVCETSTCDIPVCWTKDGKTLRGSARCQLSHEGHRAQLLITGATLQDSGRYKCEAGGACSSSIVRVHARPVRFQEALKDLEVLEGGAATLRCVLSSVAAPVKWCYGNNVLRPGDKYSLRQEGAMLELVVRNLRPQDSGRYSCSFGDQTTSATLTVTALPAQFIGKLRNKEATEGATATLRCELSKAAPVEWRKGSETLRDGDRYCLRQDGAMCELQIRGLAMVDAAEYSCVCGEERTSASLTIRPMPAHFIGRLRHQESIEGATATLRCELSKAAPVEWRKGRESLRDGDRHSLRQDGAVCELQICGLAVADAGEYSCVCGEERTSATLTVKALPAKFTEGLRNEEAVEGATAMLWCELSKVAPVEWRKGPENLRDGDRYILRQEGTRCELQICGLAMADAGEYLCVCGQERTSATLTIRALPARFIEDVKNQEAREGATAVLQCELNSAAPVEWRKGSETLRDGDRYSLRQDGTKCELQIRGLAMADTGEYSCVCGQERTSAMLTVRALPIKFTEGLRNEEATEGATAVLRCELSKMAPVEWWKGHETLRDGDRHSLRQDGARCELQIRGLVAEDAGEYLCMCGKERTSAMLTVRAMPSKFIEGLRNEEATEGDTATLWCELSKAAPVEWRKGHETLRDGDRHSLRQDGSRCELQIRGLAVVDAGEYSCVCGQERTSATLTVRALPARFIEDVKNQEAREGATAVLQCELSKAAPVEWRKGSETLRGGDRYSLRQDGTRCELQIHGLSVADTGEYSCVCGQERTSATLTVRAPQPVFREPLQSLQAEEGSTATLQCELSEPTATVVWSKGGLQLQANGRREPRLQGCTAELVLQDLQREDTGEYTCTCGSQATSATLTVTAAPVRFLRELQHQEVDEGGTAHLCCELSRAGASVEWRKGSLQLFPCAKYQMVQDGAAAELLVRGVEQEDAGDYTCDTGHTQSMASLSVRVPRPKFKTRLQSLEQETGDIARLCCQLSDAESGAVVQWLKEGVELHAGPKYEMRSQGATRELLIHQLEAKDTGEYACVTGGQKTAASLRVTEPEVTIVRGLVDAEVTADEDVEFSCEVSRAGATGVQWCLQGLPLQSNEVTEVAVRDGRIHTLRLKGVTPEDAGTVSFHLGNHASSAQLTVRAPEVTILEPLQDVQLSEGQDASFQCRLSRASGQEARWALGGVPLQANEMNDITVEQGTLHLLTLHKVTLEDAGTVSFHVGTCSSEAQLKVTAKNTVVRGLENVEALEGGEALFECQLSQPEVAAHTWLLDDEPVHTSENAEVVFFENGLRHLLLLKNLRPQDSCRVTFLAGDMVTSAFLTVRGWRLEILEPLKNAAVRAGAQACFTCTLSEAVPVGEASWYINGAAVQPDDSDWTVTADGSHHALLLRSAQPHHAGEVTFACRDAVASARLTVLGLPDPPEDAEVVARSSHTVTLSWAAPMSDGGGGLCGYRVEVKEGATGQWRLCHELVPGPECVVDGLAPGETYRFRVAAVGPVGAGEPVHLPQTVRLAEPPKPVPPQPSAPESRQVAAGEDVSLELEVVAEAGEVIWHKGMERIQPGGRFEVVSQGRQQMLVIKGFTAEDQGEYHCGLAQGSICPAAATFQVALSPASVDEAPQPSLPPEAAQEGDLHLLWEALARKRRMSREPTLDSISELPEEDGRSQRLPQEAEEVAPDLSEGYSTADELARTGDADLSHTSSDDESRAGTPSLVTYLKKAGRPGTSPLASKVGAPAAPSVKPQQQQEPLAAVRPPLGDLSTKDLGDPSMDKAAVKIQAAFKGYKVRKEMKQQEGPMFSHTFGDTEAQVGDALRLECVVASKADVRARWLKDGVELTDGRHHHIDQLGDGTCSLLITGLDRADAGCYTCQVSNKFGQVTHSACVVVSGSESEAESSSGGELDDAFRRAARRLHRLFRTKSPAEVSDEELFLSADEGPAEPEEPADWQTYREDEHFICIRFEALTEARQAVTRFQEMFATLGIGVEIKLVEQGPRRVEMCISKETPAPVVPPEPLPSLLTSDAAPVFLTELQNQEVQDGYPVSFDCVVTGQPMPSVRWFKDGKLLEEDDHYMINEDQQGGHQLIITAVVPADMGVYRCLAENSMGVSSTKAELRVDLTSTDYDTAADATESSSYFSAQGYLSSREQEGTESTTDEGQLPQVVEELRDLQVAPGTRLAKFQLKVKGYPAPRLYWFKDGQPLTASAHIRMTDKKILHTLEIISVTREDSGQYAAYISNAMGAAYSSARLLVRGPDEPEEKPASDVHEQLVPPRMLERFTPKKVKKGSSITFSVKVEGRPVPTVHWLREEAERGVLWIGPDTPGYTVASSAQQHSLVLLDVGRQHQGTYTCIASNAAGQALCSASLHVSGLPKVEEQEKVKEALISTFLQGTTQAISAQGLETASFADLGGQRKEEPLAAKEALGHLSLAEVGTEEFLQKLTSQITEMVSAKITQAKLQVPGGDSDEDSKTPSASPRHGRSRPSSSIQESSSESEDGDARGEIFDIYVVTADYLPLGAEQDAITLREGQYVEVLDAAHPLRWLVRTKPTKSSPSRQGWVSPAYLDRRLKLSPEWGAAEAPEFPGEAVSEDEYKARLSSVIQELLSSEQAFVEELQFLQSHHLQHLERCPHVPIAVAGQKAVIFRNVRDIGRFHSSFLQELQQCDTDDDVAMCFIKNQAAFEQYLEFLVGRVQAESVVVSTAIQEFYKKYAEEALLAGDPSQPPPPPLQHYLEQPVERVQRYQALLKELIRNKARNRQNCALLEQAYAVVSALPQRAENKLHVSLMENYPGTLQALGEPIRQGHFIVWEGAPGARMPWKGHNRHVFLFRNHLVICKPRRDSRTDTVSYVFRNMMKLSSIDLNDQVEGDDRAFEVWQEREDSVRKYLLQARTAIIKSSWVKEICGIQQRLALPVWRPPDFEEELADCTAELGETVKLACRVTGTPKPVISWYKDGKAVQVDPHHILIEDPDGSCALILDSLTGVDSGQYMCFAASAAGNCSTLGKILVQVPPRFVNKVRASPFVEGEDAQFTCTIEGAPYPQIRWYKDGALLTTGNKFQTLSEPRSGLLVLVIRAASKEDLGLYECELVNRLGSARASAELRIQSPMLQAQEQCHREQLVAAVEDTTLERADQEVTSVLKRLLGPKAPGPSTGDLTGPGPCPRGAPALQETGSQPPVTGTSEAPAVPPRVPQPLLHEGPEQEPEAIARAQEWTVPIRMEGAAWPGAGTGELLWDVHSHVVRETTQRTYTYQAIDTHTARPPSMQVTIEDVQAQTGGTAQFEAIIEGDPQPSVTWYKDSVQLVDSTRLSQQQEGTTYSLVLRHVASKDAGVYTCLAQNTGGQVLCKAELLVLGGDNEPDSEKQSHRRKLHSFYEVKEEIGRGVFGFVKRVQHKGNKILCAAKFIPLRSRTRAQAYRERDILAALSHPLVTGLLDQFETRKTLILILELCSSEELLDRLYRKGVVTEAEVKVYIQQLVEGLHYLHSHGVLHLDIKPSNILMVHPAREDIKICDFGFAQNITPAELQFSQYGSPEFVSPEIIQQNPVSEASDIWAMGVISYLSLTCSSPFAGESDRATLLNVLEGRVSWSSPMAAHLSEDAKDFIKATLQRAPQARPSAAQCLSHPWFLKSMPAEEAHFINTKQLKFLLARSRWQRSLMSYKSILVMRSIPELLRGPPDSPSLGVARHLCRDTGGSSSSSSSSDNELAPFARAKSLPPSPVTHSPLLHPRGFLRPSASLPEEAEASERSTEAPAPPASPEGAGPPAAQGCVPRHSVIRSLFYHQAGESPEHGALAPGSRRHPARRRHLLKGGYIAGALPGLREPLMEHRVLEEEAAREEQATLLAKAPSFETALRLPASGTHLAPGHSHSLEHDSPSTPRPSSEACGEAQRLPSAPSGGAPIRDMGHPQGSKQLPSTGGHPGTAQPERPSPDSPWGQPAPFCHPKQGSAPQEGCSPHPAVAPCPPGSFPPGSCKEAPLVPSSPFLGQPQAPPAPAKASPPLDSKMGPGDISLPGRPKPGPCSSPGSASQASSSQVSSLRVGSSQVGTEPGPSLDAEGWTQEAEDLSDSTPTLQRPQEQATMRKFSLGGRGGYAGVAGYGTFAFGGDAGGMLGQGPMWARIAWAVSQSEEEEQEEARAESQSEEQQEARAESPLPQVSARPVPEVGRAPTRSSPEPTPWEDIGQVSLVQIRDLSGDAEAADTISLDISEVDPAYLNLSDLYDIKYLPFEFMIFRKVPKSAQPEPPSPMAEEELAEFPEPTWPWPGELGPHAGLEITEESEDVDALLAEAAVGRKRKWSSPSRSLFHFPGRHLPLDEPAELGLRERVKASVEHISRILKGRPEGLEKEGPPRKKPGLASFRLSGLKSWDRAPTFLRELSDETVVLGQSVTLACQVSAQPAAQATWSKDGAPLESSSRVLISATLKNFQLLTILVVVAEDLGVYTCSVSNALGTVTTTGVLRKAERPSSSPCPDIGEVYADGVLLVWKPVESYGPVTYIVQCSLEGGSWTTLASDIFDCCYLTSKLSRGGTYTFRTACVSKAGMGPYSSPSEQVLLGGPSHLASEEESQGRSAQPLPSTKTFAFQTQIQRGRFSVVRQCWEKASGRALAAKIIPYHPKDKTAVLREYEALKGLRHPHLAQLHAAYLSPRHLVLILELCSGPELLPCLAERASYSESEVKDYLWQMLSATQYLHNQHILHLDLRSENMIITEYNLLKVVDLGNAQSLSQEKVLPSDKFKDYLETMAPELLEGQGAVPQTDIWAIGVTAFIMLSAEYPVSSEGARDLQRGLRKGLVRLSRCYAGLSGGAVAFLRSTLCAQPWGRPCASSCLQCPWLTEEGPACSRPAPVTFPTARLRVFVRNREKRRALLYKRHNLAQVR
+>DECOY_sp|Q5VST9|OBSCN_HUMAN Obscurin OS=Homo sapiens OX=9606 GN=OBSCN PE=1 SV=3
+RVQALNHRKYLLARRKERNRVFVRLRATPFTVPAPRSCAPGEETLWPCQLCSSACPRGWPQACLTSRLFAVAGGSLGAYCRSLRVLGKRLGRQLDRAGESSVPYEASLMIFATVGIAWIDTQPVAGQGELLEPAMTELYDKFKDSPLVKEQSLSQANGLDVVKLLNYETIIMNESRLDLHLIHQNHLYQTASLMQWLYDKVESESYSAREALCPLLEPGSCLELILVLHRPSLYAAHLQALHPHRLGKLAEYERLVATKDKPHYPIIKAALARGSAKEWCQRVVSFRGRQIQTQFAFTKTSPLPQASRGQSEEESALHSPGGLLVQESPSSYPGMGAKSVCATRFTYTGGRSLKSTLYCCDFIDSALTTWSGGELSCQVIYTVPGYSEVPKWVLLVGDAYVEGIDPCPSSSPREAKRLVGTTTVTGLANSVSCTYVGLDEAVVVLITLLQFNKLTASILVRSSSELPAGDKSWTAQAAPQASVQCALTVSQGLVVTEDSLERLFTPARDWSKLGSLRFSALGPKKRPPGEKELGEPRGKLIRSIHEVSAKVRERLGLEAPEDLPLHRGPFHFLSRSPSSWKRKRGVAAEALLADVDESEETIELGAHPGLEGPWPWTPEPFEALEEEAMPSPPEPQASKPVKRFIMFEFPLYKIDYLDSLNLYAPDVESIDLSITDAAEADGSLDRIQVLSVQGIDEWPTPEPSSRTPARGVEPVPRASVQPLPSEARAEQQEESQSEARAEEQEEEESQSVAWAIRAWMPGQGLMGGADGGFAFTGYGAVGAYGGRGGLSFKRMTAQEQPRQLTPTSDSLDEAEQTWGEADLSPGPETGVQSSGVRLSSVQSSSAQSASGPSSCPGPKPRGPLSIDGPGMKSDLPPSAKAPAPPAQPQGLFPSSPVLPAEKCSGPPFSGPPCPAVAPHPSCGEQPASGQKPHCFPAPQGWPSDPSPREPQATGPHGGTSPLQKSGQPHGMDRIPAGGSPASPLRQAEGCAESSPRPTSPSDHELSHSHGPALHTGSAPLRLATEFSPAKALLTAQEERAAEEELVRHEMLPERLGPLAGAIYGGKLLHRRRAPHRRSGPALAGHEPSEGAQHYFLSRIVSHRPVCGQAAPPGAGEPSAPPAPAETSRESAEAEEPLSASPRLFGRPHLLPSHTVPSPPLSKARAFPALENDSSSSSSSSGGTDRCLHRAVGLSPSDPPGRLLEPISRMVLISKYSMLSRQWRSRALLFKLQKTNIFHAEEAPMSKLFWPHSLCQAASPRAQPARQLTAKIFDKADESLHAAMPSSWSVRGELVNLLTARDSEGAFPSSCTLSLYSIVGMAWIDSAESVPNQQIIEPSVFEPSGYQSFQLEAPTINQAFGFDCIKIDERAPHVMLINSPKIDLHLVGHSHLYHLGEVLQQIYVKVEAETVVGKRYLRDLLEESSCLELILILTKRTEFQDLLGTVLPHSLAALIDRERYAQARTRSRLPIFKAACLIKNGKHQVRKVFGFVGRGIEEKVEYFSHLKRRHSQKESDPENDGGLVLLEAKCLVQGGTNQALCTYVGADKSAVHRLVLSYTTGEQQQSLRTSDVLQVSDKYWTVSPQPDGEIIAEFQATGGTQAQVDEITVQMSPPRATHTDIAQYTYTRQTTERVVHSHVDWLLEGTGAGPWAAGEMRIPVTWEQARAIAEPEQEPGEHLLPQPVRPPVAPAESTGTVPPQSGTEQLAPAGRPCPGPGTLDGTSPGPAKPGLLRKLVSTVEQDARELTTDEVAAVLQERHCQEQAQLMPSQIRLEASARASGLRNVLECEYLGLDEKSAARIVLVLLGSRPESLTQFKNGTTLLAGDKYWRIQPYPAGEITCTFQADEGEVFPSARVKNVFRPPVQVLIKGLTSCNGAASAAFCMYQGSDVGTLSDLILACSGDPDEILIHHPDVQVAKGDKYWSIVPKPTGTVRCALKVTEGLEATCDALEEEFDPPRWVPLALRQQIGCIEKVWSSKIIATRAQLLYKRVSDEREQWVEFARDDGEVQDNLDISSLKMMNRFVYSVTDTRSDRRPKCIVLHNRFLFVHRNHGKWPMRAGPAGEWVIFHGQRIPEGLAQLTGPYNEMLSVHLKNEARQPLASVVAYAQELLACNQRNRAKNRILEKLLAQYRQVREVPQELYHQLPPPPPQSPDGALLAEEAYKKYFEQIATSVVVSEAQVRGVLFELYQEFAAQNKIFCMAVDDDTDCQQLEQLFSSHFRGIDRVNRFIVAKQGAVAIPVHPCRELHQLHHSQLFQLEEVFAQESSLLEQIVSSLRAKYEDESVAEGPFEPAEAAGWEPSLKLRRDLYAPSVWGQRSPSSKTPKTRVLWRLPHAADLVEVYQGERLTIADQEAGLPLYDATVVYIDFIEGRADGDESESSSEQISSSPRSRGHRPSASPTKSDEDSDGGPVQLKAQTIKASVMETIQSTLKQLFEETGVEALSLHGLAEKAALPEEKRQGGLDAFSATELGQASIAQTTGQLFTSILAEKVKEQEEVKPLGSVHLSASCLAQGAANSAICTYTGQHQRGVDLLVLSHQQASSAVTYGPTDPGIWLVGREAEERLWHVTPVPRGEVKVSFTISSGKKVKKPTFRELMRPPVLQEHVDSAPKEEPEDPGRVLLRASSYAAGMANSIYAAYQGSDERTVSIIELTHLIKKDTMRIHASATLPQGDKFWYLRPAPYGKVKLQFKALRTGPAVQLDRLEEVVQPLQGEDTTSETGEQERSSLYGQASFYSSSETADAATDYDTSTLDVRLEAKTSSVGMSNEALCRYVGMDAPVVATIILQHGGQQDENIMYHDDEELLKGDKFWRVSPMPQGTVVCDFSVPYGDQVEQNQLETLFVPAADSTLLSPLPEPPVVPAPTEKSICMEVRRPGQEVLKIEVGIGLTAFMEQFRTVAQRAETLAEFRICIFHEDERYTQWDAPEEPEAPGEDASLFLEEDSVEAPSKTRFLRHLRRAARRFADDLEGGSSSEAESESGSVVVCASHTVQGFKNSVQCTYCGADARDLGTILLSCTGDGLQDIHHHRGDTLEVGDKLWRARVDAKSAVVCELRLADGVQAETDGFTHSFMPGEQQKMEKRVKYGKFAAQIKVAAKDMSPDGLDKTSLDGLPPRVAALPEQQQQPKVSPAAPAGVKSALPSTGPRGAKKLYTVLSPTGARSEDDSSTHSLDADGTRALEDATSYGESLDPAVEEAEQPLRQSRGDEEPLESISDLTPERSMRRKRALAEWLLHLDGEQAAEPPLSPQPAEDVSAPSLAVQFTAAAPCISGQALGCHYEGQDEATFGKIVLMQQRGQSVVEFRGGPQIREMGKHWIVEGAEAVVELELSVDEGAAVQRSEPASPQPPVPKPPEALRVTQPLHVPEGAGVPGVAAVRFRYTEGPALGDVVCEPGPVLEHCLRWQGTAGEKVEVRYGCLGGGGDSMPAAWSLTVTHSSRAVVEADEPPDPLGLVTLRASAVADRCAFTVEGAHHPQASRLLLAHHSGDATVTWDSDDPQVAAGNIYWSAEGVPVAESLTCTFCAQAGARVAANKLPELIELRWGRVTLFASTVMDGALFTVRCSDQPRLNKLLLLHRLGNEFFVVEANESTHVPEDDLLWTHAAVEPQSLQCEFLAEGGELAEVNELGRVVTNKATVKLQAESSCTGVHFSVTGADELTVKHLTLLHLTGQEVTIDNMENAQLPVGGLAWRAEQGSARSLRCQFSADQGESLQVDQLPELITVEPARVTLQASSAHNGLHFSVTGADEPTVGKLRLTHIRGDRVAVETVENSQLPLGQLCWQVGTAGARSVECSFEVDEDATVEADVLGRVITVEPETVRLSAATKQGGTVCAYEGTDKAELQHILLERTAGQSRMEYKPGAHLEVGEKLWQVVAGSEADSLQCCLRAIDGTEQELSQLRTKFKPRPVRVSLSAMSQTHGTDCTYDGADEQEVGRVLLEAAAGDQVMQYKACPFLQLSGKRWEVSAGARSLECCLHATGGEDVEQHQLERLFRVPAATVTLTASTAQSGCTCTYEGTDERQLDQLVLEATCGQLRPERRGNAQLQLGGKSWVVTATPESLECQLTATSGEEAQLSQLPERFVPQPARVTLTASTREQGCVCSYEGTDAVSLGHIQLECRTGDQRLSYRDGGRLTESGKRWEVPAAKSLECQLVATAGERAEQNKVDEIFRAPLARVTLTASTREQGCVCSYEGADVVALGRIQLECRSGDQRLSHRDGDRLTEHGKRWEVPAAKSLECWLTATDGETAEENRLGEIFKSPMARVTLMASTREKGCMCLYEGADEAVLGRIQLECRAGDQRLSHRDGDRLTEHGKWWEVPAMKSLECRLVATAGETAEENRLGETFKIPLARVTLMASTREQGCVCSYEGTDAMALGRIQLECKTGDQRLSYRDGDRLTESGKRWEVPAASNLECQLVATAGERAEQNKVDEIFRAPLARITLTASTREQGCVCLYEGADAMALGCIQLECRTGEQRLIYRDGDRLNEPGKRWEVPAVKSLECWLMATAGEVAEENRLGETFKAPLAKVTLTASTREEGCVCSYEGADAVALGCIQLECVAGDQRLSHRDGDRLSERGKRWEVPAAKSLECRLTATAGEISEQHRLRGIFHAPMPRITLSASTREEGCVCSYEAADVMALGRIQLECMAGDQRLCYRDGDRLTESGKRWEVPAAKSLECRLTATAGETAEKNRLKGIFQAPLATVTLTASTTQDGFSCSYRGSDQPRLNRVVLELMAGEQRLSYKDGPRLVNNGYCWKVPAAVSSLVCRLTAAGGELVELDKLAEQFRVPRAHVRVISSSCAGGAECKYRGSDQLTAGTILLQARHGEHSLQCRASGRLTKGDKTWCVPIDCTSTECVLTLDEGETITADTLERSFVSPKETVRLAASARQDGAEFYITGSDKLALQRLTLVHYGGPQADIENLENAHLPTKDLFWHVPEYNAPSIRCRFTASSGEQVDVDELDEIIHVRRGQVLLQARSQAQGIDCTYTDSDAKELASITLRLTLGEQSPQHKGSARLELLGKRWTVTAAPHETKCTFVATGKEEVQLNTLEETFCNAKEEVHLSATSKSAEVECTYEGADKLSAGRIVLMAMTGECVVDYKQSKRIAKRDKLWHVPTGARSLECRLEVDEGPAVWQDELPKIIAAPRERVILSAKSTLGRVSFVVEGADSPAAERVVLIYKRGQRTAQFRSSSGVTKGGVTWMAPDSASEHSLVCEFSCSEGELVEMTKLRKTIGVHLDHVRVRARTEESGVHCTYLGADEPSVDHVVLGRTGATHLFDYKDSPGLQVGDKRWVVHAEPDSVECQLALTGREEASLDDLAKLFVVPKVRVELRASTSVKLSSARVIGADARQISKLVLTHRRGKHSIEHFSDNYLPMGNLSWEVEEDEHSLECSFSAWGEETAEADQLPGTVTVERAEVTVETSSHASGAQCRYVGADAPMLRLIRLEAMQGELSMKFKESPSLPTDDKYWQVAKPAPRFDCSLVVSQLERGTKPELPRTLVVPIDSVVLRASSRSKGVTFHVPGTMTSCTRRLMLRHVTGEQELGVNGGAQLVTKGLLWKPPRVSPISTECEFWAPAPEMVTVDQLGRVITISQEEVFFQASTKVDGADCTYTDEDEAHVDSIILKRYLGDSVLEYKPGPQLEQSGKFWRVQASARSVQCELVGRHKEMAIKDRLPRVLTVPLEKVRLQARSEANEAVFQIEGADEALVRRYILTYTRGQVKVSASSQADHADCVYVGQDAFECRYITLSRCAGQAAIAMTKGARLEVGDKLWRVDVNPRSLECELTVKEKETTVVDQLPRSFSVPLETVVLRASTHVGEAKFVMLGSDEPRLGSLTLTHMPGRVALHCTPGQQFRLGDRTWSGDVDAHSLQVEMTATGQERAEVAQLGRVLRVQRMEVTLKAQTKDDPTECGFFGRDAFGVNHLVLRHRAGEAHIEVNKGPALATANRTWRLEPWPRTLEVELTVSSREEVTQPELKKKFLVPAERVRLAASSSAGEAEVTIQGADELVLDSITLSHSAGSQSIAFKESPQLLAGDRFWVVAVDSPSVVCQFTAEGGEEAVVTSLGSMFKVVRPVSVTLQASTHDDRSECVYEGADEARLGLVTLSRVPGEAVMQFRKGVRLQTNGCRWVVEACAPSLECALTVTGGLEGCVPELLRCFKAAVEEVQVPFDQGEAGVRCSYIASDLVQAGHVTLTHTDGQSATEHRKSRRIEVGDKLWTVTAASPTALTATLIIDEHEKVVLPERRCPRESIQPELEAVQLRFSLQQGGAECSYEGAEAQGAQQVVLRRTCGVAEVRVKSSSSLKKGDKYWTVETQAQAVECSLTASAGAEAQVERHAPQEKAFVVKPEAVHLHFSLRQDGAKCSYEGADAQGAEQVVLRRTCGVAEMRVKSSSSLKKGDKYWTVETQAQAVECSLTASTGAEAQVERSAPQEKAFVAKPEAVHLHFSLRQSGAECSYEGAVAQGAQQVVLRRTCGVAEMRVKSSFSLKKGDKYWMVETQAQAVECSLTTSAGAQAQVERHVPQEKAFVVKPEAVDLSFSLRQGGAECSYEGTDAQCAQQVVLRRTCGVAEMRVKSSSSLKKGDKYWTVETQAQAVECSLTAIAGAEAQVKRHALQEKAFVAKPESVDLHFSLRQGGAECTYEGADAQGAQQVVLQRMCGAAEIRVKSSSSLKKGDKYWTVETQAQAVECSLTASAGAETRVENHVLQEKAFVAKPETIHLQFSVRQGGAECSYEGADAKGVQQVVLRRTCGKVEMRVKSSSSLKKGDKYWTVETQPQAVECSLMATTGAEAQVENHVSQEKAFVGKPETIHLHFSVRQGGAECSYEGADAKGAQPLVLRRTCGKVEMGVKSSSSLKKGDKYWTVETQAQAVECSLMASAGAEAQVENHVSQEKAFMMKPETIHLRFSVRQGRAECSYDGADTKGAQQVVLRRRCGKAEVHVKLSSSLKKGDKYWMVETQAQAVECSLTASAGAEAQVESHAVQDKAFVVKPEKVDLHFSLRQGGAECSYEGADAQGAQQVVLRRTCGTAEMCVKSSSSLKKGDKYWTVETQAQAVECSLTASAGAEAQLKRRALQEKAFVVKPESVRLRFDVSDEGVRCSYTGEDQRTVTAAVLRHRTGVDEQLFREGSHGLEMGDKYWHVHVHAESTECEFQAPGGAVADVCSGAMDKHLFRALGQVSLQYAIRGGRSVCEYLGADDRAVDRVLLVRRGASAQVEYKPGPSLTRGDKLWTVAAAQDSLEALLQAEEHLRALVERAAAAPPKNATLGPAARVEMRISSEIGNAVFKLQAGHLSAPVERITLTHRTRDCHIEYVSSAKLAQGDLFWEGASAVTLDVSFTVEGGEVAQVAKLPTRVALKPALHVTGPFELYPSQGFSNLASVRFQFNGEEAVDAVTLEPTAVWEAEHCRIWTYTGLKKKEVLYGDITVPREGHPPPSWSLIVSSEMRAKVVPDVPPQLPPKRPASVCFQTSTQCDGAMFAVQGVDELCCQSITLTHRTGEASIAVRGGAVVEEQNRLWHVPGVPVALEVCFMATDGVRVATKRPLKRLLNGQVALEAVTRSGEPTECIYVADDDASVNRVTLRRETGEEEIGYKASAWLRTEEKFWAAETSPQPVECLFTASEKERVELDQLRKKFPVAPERVVVLVSSYTQGVLNSATCTYLGRDSQKCFLIKLVFNEQADEYVVHRRGETVLQGDKKWVTEPKPEGTVYCSLRAHKGETVTCTRTGTSPPSAPAGEARMAERRRQLHALLAATSTGPRSATDAADSDVVLAAAASAAGLPNEARVEYTGGDRPRAARIRLASAEGLEEVRVRPGDPEGLRRGDKSWSVAPRPSGGVRCRFTAESGERVRISTPRLLFHPAQEACAAEADVQLGVAAFAEGIANRARCVYQGSDGLALDLITLRYLDGDQALRFRAGAAVPQQDKEWSVQPTPNGVIQCSLTADKGVSVVFAKPRTLFRPAGSFQPQDM
+>sp|O75147|OBSL1_HUMAN Obscurin-like protein 1 OS=Homo sapiens OX=9606 GN=OBSL1 PE=1 SV=4
+MKASSGDQGSPPCFLRFPRPVRVVSGAEAELKCVVLGEPPPVVVWEKGGQQLAASERLSFPADGAEHGLLLTAALPTDAGVYVCRARNAAGEAYAAAAVTVLEPPASDPELQPAERPLPSPGSGEGAPVFLTGPRSQWVLRGAEVVLTCRAGGLPEPTLYWEKDGMALDEVWDSSHFALQPGRAEDGPGASLALRILAARLPDSGVYVCHARNAHGHAQAGALLQVHQPPESPPADPDEAPAPVVEPLKCAPKTFWVNEGKHAKFRCYVMGKPEPEIEWHWEGRPLLPDRRRLMYRDRDGGFVLKVLYCQAKDRGLYVCAARNSAGQTLSAVQLHVKEPRLRFTRPLQDVEGREHGIAVLECKVPNSRIPTAWFREDQRLLPCRKYEQIEEGTVRRLIIHRLKADDDGIYLCEMRGRVRTVANVTVKGPILKRLPRKLDVLEGENAVLLVETLEAGVEGRWSRDGEELPVICQSSSGHMHALVLPGVTREDAGEVTFSLGNSRTTTLLRVKCVKHSPPGPPILAEMFKGHKNTVLLTWKPPEPAPETPFIYRLERQEVGSEDWIQCFSIEKAGAVEVPGDCVPSEGDYRFRICTVSGHGRSPHVVFHGSAHLVPTARLVAGLEDVQVYDGEDAVFSLDLSTIIQGTWFLNGEELKSNEPEGQVEPGALRYRIEQKGLQHRLILHAVKHQDSGALVGFSCPGVQDSAALTIQESPVHILSPQDRVSLTFTTSERVVLTCELSRVDFPATWYKDGQKVEESELLVVKMDGRKHRLILPEAKVQDSGEFECRTEGVSAFFGVTVQDPPVHIVDPREHVFVHAITSECVMLACEVDREDAPVRWYKDGQEVEESDFVVLENEGPHRRLVLPATQPSDGGEFQCVAGDECAYFTVTITDVSSWIVYPSGKVYVAAVRLERVVLTCELCRPWAEVRWTKDGEEVVESPALLLQKEDTVRRLVLPAVQLEDSGEYLCEIDDESASFTVTVTEPPVRIIYPRDEVTLIAVTLECVVLMCELSREDAPVRWYKDGLEVEESEALVLERDGPRCRLVLPAAQPEDGGEFVCDAGDDSAFFTVTVTAPPERIVHPAARSLDLHFGAPGRVELRCEVAPAGSQVRWYKDGLEVEASDALQLGAEGPTRTLTLPHAQPEDAGEYVCETRHEAITFNVILAEPPVQFLALETTPSPLCVAPGEPVVLSCELSRAGAPVVWSHNGRPVQEGEGLELHAEGPRRVLCIQAAGPAHAGLYTCQSGAAPGAPSLSFTVQVAEPPVRVVAPEAAQTRVRSTPGGDLELVVHLSGPGGPVRWYKDGERLASQGRVQLEQAGARQVLRVQGARSGDAGEYLCDAPQDSRIFLVSVEEPLLVKLVSELTPLTVHEGDDATFRCEVSPPDADVTWLRNGAVVTPGPQVEMAQNGSSRILTLRGCQLGDAGTVTLRAGSTATSARLHVRETELLFLRRLQDVRAEEGQDVCLEVETGRVGAAGAVRWVRGGQPLPHDSRLSMAQDGHIHRLFIHGVILADQGTYGCESHHDRTLARLSVRPRQLRVLRPLEDVTISEGGSATFQLELSQEGVTGEWARGGVQLYPGPKCHIHSDGHRHRLVLNGLGLADSGCVSFTADSLRCAARLIVREVPVTIVRGPHDLEVTEGDTATFECELSQALADVTWEKDGNALTPSPRLRLQALGTRRLLQLRRCGPSDAGTYSCAVGTARAGPVRLTVRERTVAVLSELRSVSAREGDGATFECTVSEVETTGRWELGGRPLRPGARVRIRQEGKKHILVLSELRAEDAGEVRFQAGPAQSLALLEVEALPLQMCRHPPREKTVLVGRRAVLEVTVSRSGGHVCWLREGAELCPGDKYEMRSHGPTHSLVIHDVRPEDQGTYCCQAGQDSTHTRLLVEGN
+>DECOY_sp|O75147|OBSL1_HUMAN Obscurin-like protein 1 OS=Homo sapiens OX=9606 GN=OBSL1 PE=1 SV=4
+NGEVLLRTHTSDQGAQCCYTGQDEPRVDHIVLSHTPGHSRMEYKDGPCLEAGERLWCVHGGSRSVTVELVARRGVLVTKERPPHRCMQLPLAEVELLALSQAPGAQFRVEGADEARLESLVLIHKKGEQRIRVRAGPRLPRGGLEWRGTTEVESVTCEFTAGDGERASVSRLESLVAVTRERVTLRVPGARATGVACSYTGADSPGCRRLQLLRRTGLAQLRLRPSPTLANGDKEWTVDALAQSLECEFTATDGETVELDHPGRVITVPVERVILRAACRLSDATFSVCGSDALGLGNLVLRHRHGDSHIHCKPGPYLQVGGRAWEGTVGEQSLELQFTASGGESITVDELPRLVRLQRPRVSLRALTRDHHSECGYTGQDALIVGHIFLRHIHGDQAMSLRSDHPLPQGGRVWRVAGAAGVRGTEVELCVDQGEEARVDQLRRLFLLETERVHLRASTATSGARLTVTGADGLQCGRLTLIRSSGNQAMEVQPGPTVVAGNRLWTVDADPPSVECRFTADDGEHVTLPTLESVLKVLLPEEVSVLFIRSDQPADCLYEGADGSRAGQVRLVQRAGAQELQVRGQSALREGDKYWRVPGGPGSLHVVLELDGGPTSRVRTQAAEPAVVRVPPEAVQVTFSLSPAGPAAGSQCTYLGAHAPGAAQICLVRRPGEAHLELGEGEQVPRGNHSWVVPAGARSLECSLVVPEGPAVCLPSPTTELALFQVPPEALIVNFTIAEHRTECVYEGADEPQAHPLTLTRTPGEAGLQLADSAEVELGDKYWRVQSGAPAVECRLEVRGPAGFHLDLSRAAPHVIREPPATVTVTFFASDDGADCVFEGGDEPQAAPLVLRCRPGDRELVLAESEEVELGDKYWRVPADERSLECMLVVCELTVAILTVEDRPYIIRVPPETVTVTFSASEDDIECLYEGSDELQVAPLVLRRVTDEKQLLLAPSEVVEEGDKTWRVEAWPRCLECTLVVRELRVAAVYVKGSPYVIWSSVDTITVTFYACEDGAVCQFEGGDSPQTAPLVLRRHPGENELVVFDSEEVEQGDKYWRVPADERDVECALMVCESTIAHVFVHERPDVIHVPPDQVTVGFFASVGETRCEFEGSDQVKAEPLILRHKRGDMKVVLLESEEVKQGDKYWTAPFDVRSLECTLVVRESTTFTLSVRDQPSLIHVPSEQITLAASDQVGPCSFGVLAGSDQHKVAHLILRHQLGKQEIRYRLAGPEVQGEPENSKLEEGNLFWTGQIITSLDLSFVADEGDYVQVDELGAVLRATPVLHASGHFVVHPSRGHGSVTCIRFRYDGESPVCDGPVEVAGAKEISFCQIWDESGVEQRELRYIFPTEPAPEPPKWTLLVTNKHGKFMEALIPPGPPSHKVCKVRLLTTTRSNGLSFTVEGADERTVGPLVLAHMHGSSSQCIVPLEEGDRSWRGEVGAELTEVLLVANEGELVDLKRPLRKLIPGKVTVNAVTRVRGRMECLYIGDDDAKLRHIILRRVTGEEIQEYKRCPLLRQDERFWATPIRSNPVKCELVAIGHERGEVDQLPRTFRLRPEKVHLQVASLTQGASNRAACVYLGRDKAQCYLVKLVFGGDRDRYMLRRRDPLLPRGEWHWEIEPEPKGMVYCRFKAHKGENVWFTKPACKLPEVVPAPAEDPDAPPSEPPQHVQLLAGAQAHGHANRAHCVYVGSDPLRAALIRLALSAGPGDEARGPQLAFHSSDWVEDLAMGDKEWYLTPEPLGGARCTLVVEAGRLVWQSRPGTLFVPAGEGSGPSPLPREAPQLEPDSAPPELVTVAAAAYAEGAANRARCVYVGADTPLAATLLLGHEAGDAPFSLRESAALQQGGKEWVVVPPPEGLVVCKLEAEAGSVVRVPRPFRLFCPPSGQDGSSAKM
+>sp|Q8TAD7|OCC1_HUMAN Overexpressed in colon carcinoma 1 protein OS=Homo sapiens OX=9606 GN=OCC1 PE=1 SV=2
+MGCGNSTATSAGAGQGPAGAAKDVTEESVTEDDKRRNYGGVYVGLPSEAVNMVSSQTKTVRKN
+>DECOY_sp|Q8TAD7|OCC1_HUMAN Overexpressed in colon carcinoma 1 protein OS=Homo sapiens OX=9606 GN=OCC1 PE=1 SV=2
+NKRVTKTQSSVMNVAESPLGVYVGGYNRRKDDETVSEETVDKAAGAPGQGAGASTATSNGCGM
+>sp|P11182|ODB2_HUMAN Lipoamide acyltransferase component of branched-chain alpha-keto acid dehydrogenase complex, mitochondrial OS=Homo sapiens OX=9606 GN=DBT PE=1 SV=3
+MAAVRMLRTWSRNAGKLICVRYFQTCGNVHVLKPNYVCFFGYPSFKYSHPHHFLKTTAALRGQVVQFKLSDIGEGIREVTVKEWYVKEGDTVSQFDSICEVQSDKASVTITSRYDGVIKKLYYNLDDIAYVGKPLVDIETEALKDSEEDVVETPAVSHDEHTHQEIKGRKTLATPAVRRLAMENNIKLSEVVGSGKDGRILKEDILNYLEKQTGAILPPSPKVEIMPPPPKPKDMTVPILVSKPPVFTGKDKTEPIKGFQKAMVKTMSAALKIPHFGYCDEIDLTELVKLREELKPIAFARGIKLSFMPFFLKAASLGLLQFPILNASVDENCQNITYKASHNIGIAMDTEQGLIVPNVKNVQICSIFDIATELNRLQKLGSVGQLSTTDLTGGTFTLSNIGSIGGTFAKPVIMPPEVAIGALGSIKAIPRFNQKGEVYKAQIMNVSWSADHRVIDGATMSRFSNLWKSYLENPAFMLLDLK
+>DECOY_sp|P11182|ODB2_HUMAN Lipoamide acyltransferase component of branched-chain alpha-keto acid dehydrogenase complex, mitochondrial OS=Homo sapiens OX=9606 GN=DBT PE=1 SV=3
+KLDLLMFAPNELYSKWLNSFRSMTAGDIVRHDASWSVNMIQAKYVEGKQNFRPIAKISGLAGIAVEPPMIVPKAFTGGISGINSLTFTGGTLDTTSLQGVSGLKQLRNLETAIDFISCIQVNKVNPVILGQETDMAIGINHSAKYTINQCNEDVSANLIPFQLLGLSAAKLFFPMFSLKIGRAFAIPKLEERLKVLETLDIEDCYGFHPIKLAASMTKVMAKQFGKIPETKDKGTFVPPKSVLIPVTMDKPKPPPPMIEVKPSPPLIAGTQKELYNLIDEKLIRGDKGSGVVESLKINNEMALRRVAPTALTKRGKIEQHTHEDHSVAPTEVVDEESDKLAETEIDVLPKGVYAIDDLNYYLKKIVGDYRSTITVSAKDSQVECISDFQSVTDGEKVYWEKVTVERIGEGIDSLKFQVVQGRLAATTKLFHHPHSYKFSPYGFFCVYNPKLVHVNGCTQFYRVCILKGANRSWTRLMRVAAM
+>sp|A8MYP8|ODF3B_HUMAN Outer dense fiber protein 3B OS=Homo sapiens OX=9606 GN=ODF3B PE=2 SV=1
+MGSDAWVGLWRPHRPRGPIAAHYGGPGPKYKLPPNTGYALHDPSRPRAPAFTFGARFPTQQTTCGPGPGHLVPARMTVRGTDGAPAYSIYGRPRRSAPFLTPGPGRYFPERAGNATYPSAPRHTIAPRNWGVQAEQQSPGPAAYTVPSLLGPRVIGKVSAPTCSIYGRRAAGSFFEDLSKTPGPCAYQVVSPGVYKSRAPQFTILARTSLPQDNTRKPGPAAYNVDQHRKPRGWSFGIRHSDYLAPLVTDADN
+>DECOY_sp|A8MYP8|ODF3B_HUMAN Outer dense fiber protein 3B OS=Homo sapiens OX=9606 GN=ODF3B PE=2 SV=1
+NDADTVLPALYDSHRIGFSWGRPKRHQDVNYAAPGPKRTNDQPLSTRALITFQPARSKYVGPSVVQYACPGPTKSLDEFFSGAARRGYISCTPASVKGIVRPGLLSPVTYAAPGPSQQEAQVGWNRPAITHRPASPYTANGAREPFYRGPGPTLFPASRRPRGYISYAPAGDTGRVTMRAPVLHGPGPGCTTQQTPFRAGFTFAPARPRSPDHLAYGTNPPLKYKPGPGGYHAAIPGRPRHPRWLGVWADSGM
+>sp|Q5BJF6|ODFP2_HUMAN Outer dense fiber protein 2 OS=Homo sapiens OX=9606 GN=ODF2 PE=1 SV=1
+MSASSSGGSPRFPSCGKNGVTSLTQKKVLRAPCGAPSVTVTKSHKRGMKGDTVNVRRSVRVKTKVPWMPPGKSSARPVGCKWENPPHCLEITPPSSEKLVSVMRLSDLSTEDDDSGHCKMNRYDKKIDSLMNAVGCLKSEVKMQKGERQMAKRFLEERKEELEEVAHELAETEHENTVLRHNIERMKEEKDFTILQKKHLQQEKECLMSKLVEAEMDGAAAAKQVMALKDTIGKLKTEKQMTCTDINTLTRQKELLLQKLSTFEETNRTLRDLLREQHCKEDSERLMEQQGALLKRLAEADSEKARLLLLLQDKDKEVEELLQEIQCEKAQAKTASELSKSMESMRGHLQAQLRSKEAENSRLCMQIKNLERSGNQHKAEVEAIMEQLKELKQKGDRDKESLKKAIRAQKERAEKSEEYAEQLHVQLADKDLYVAEALSTLESWRSRYNQVVKEKGDLELEIIVLNDRVTDLVNQQQTLEEKMREDRDSLVERLHRQTAEYSAFKLENERLKASFAPMEDKLNQAHLEVQQLKASVKNYEGMIDNYKSQVMKTRLEADEVAAQLERCDKENKILKDEMNKEIEAARRQFQSQLADLQQLPDILKITEAKLAECQDQLQGYERKNIDLTAIISDLRSRIEHQGDKLEMAREKHQASQKENKQLSLKVDELERKLEATSAQNIEFLQVIAKREEAIHQSQLRLEEKTRECGTLARQLESAIEDARRQVEQTKEHALSKERAAQNKILDLETQLSRTKTELSQLRRSRDDADRRYQSRLQDLKDRLEQSESTNRSMQNYVQFLKSSYANVFGDGPYSTFLTSSPIRSRSPPA
+>DECOY_sp|Q5BJF6|ODFP2_HUMAN Outer dense fiber protein 2 OS=Homo sapiens OX=9606 GN=ODF2 PE=1 SV=1
+APPSRSRIPSSTLFTSYPGDGFVNAYSSKLFQVYNQMSRNTSESQELRDKLDQLRSQYRRDADDRSRRLQSLETKTRSLQTELDLIKNQAAREKSLAHEKTQEVQRRADEIASELQRALTGCERTKEELRLQSQHIAEERKAIVQLFEINQASTAELKRELEDVKLSLQKNEKQSAQHKERAMELKDGQHEIRSRLDSIIATLDINKREYGQLQDQCEALKAETIKLIDPLQQLDALQSQFQRRAAEIEKNMEDKLIKNEKDCRELQAAVEDAELRTKMVQSKYNDIMGEYNKVSAKLQQVELHAQNLKDEMPAFSAKLRENELKFASYEATQRHLREVLSDRDERMKEELTQQQNVLDTVRDNLVIIELELDGKEKVVQNYRSRWSELTSLAEAVYLDKDALQVHLQEAYEESKEAREKQARIAKKLSEKDRDGKQKLEKLQEMIAEVEAKHQNGSRELNKIQMCLRSNEAEKSRLQAQLHGRMSEMSKSLESATKAQAKECQIEQLLEEVEKDKDQLLLLLRAKESDAEALRKLLAGQQEMLRESDEKCHQERLLDRLTRNTEEFTSLKQLLLEKQRTLTNIDTCTMQKETKLKGITDKLAMVQKAAAAGDMEAEVLKSMLCEKEQQLHKKQLITFDKEEKMREINHRLVTNEHETEALEHAVEELEEKREELFRKAMQREGKQMKVESKLCGVANMLSDIKKDYRNMKCHGSDDDETSLDSLRMVSVLKESSPPTIELCHPPNEWKCGVPRASSKGPPMWPVKTKVRVSRRVNVTDGKMGRKHSKTVTVSPAGCPARLVKKQTLSTVGNKGCSPFRPSGGSSSASM
+>sp|A0A1B0GWK0|PVLEF_HUMAN Parvalbumin-like EF-hand-containing protein OS=Homo sapiens OX=9606 GN=PVALEF PE=3 SV=1
+MEEDFSSQMKKMALAMGTSLSDKDIELLPTDMRHHGSFNYLKFFKHIRKLHASGQLDDAIHTAFQSLDKDKSGFIEWNEIKYILSIIPSSGPTTPLTDEEAEAMIQAADTHGDGRINYEEFSELIKKEKIPKKK
+>DECOY_sp|A0A1B0GWK0|PVLEF_HUMAN Parvalbumin-like EF-hand-containing protein OS=Homo sapiens OX=9606 GN=PVALEF PE=3 SV=1
+KKKPIKEKKILESFEEYNIRGDGHTDAAQIMAEAEEDTLPTTPGSSPIISLIYKIENWEIFGSKDKDLSQFATHIADDLQGSAHLKRIHKFFKLYNFSGHHRMDTPLLEIDKDSLSTGMALAMKKMQSSFDEEM
+>sp|Q6NUJ5|PWP2B_HUMAN PWWP domain-containing protein 2B OS=Homo sapiens OX=9606 GN=PWWP2B PE=1 SV=3
+MEPRAGCRLPVRVEQVVNGALVVTVSCGERSFAGILLDCTKKSGLFGLPPLAPLPQVDESPVNDSHGRAPEEGDAEVMQLGSSSPPPARGVQPPETTRPEPPPPLVPPLPAGSLPPYPPYFEGAPFPHPLWLRDTYKLWVPQPPPRTIKRTRRRLSRNRDPGRLILSTIRLRPRQVLCEKCKSTLSPPEASPGPPAAPRARRRLGSGPDRELRKPEEPENGEPTAAATARRSKRERREEDRAPAEQVPRSPVIKISYSTPQGKGEVVKIPSRVHGSLEPFRPQQAPQDDGSQDPEVLDRESRDRPSCAPSASIPKLKLTRPVPAGADLPPPKIRLKPHRLGDSEHEPVYRAELVGELNGYLRDSSPAPCADGPAGGLADLSSGSSGEDDDFKSCPQGPQGREGLAFLVSCPEGRADCASESACSSDSLDEARSSGSEGTPADTGDLSPGHGASAPSVSREARQTVPPLTVRLHTQSVSECITEDGRTVAVGDIVWGKIHGFPWWPARVLDISLGQKEDGEPSWREAKVSWFGSPTTSFLSISKLSPFSEFFKLRFNRKKKGMYRKAITEAANAARHVAPEIRELLTQFET
+>DECOY_sp|Q6NUJ5|PWP2B_HUMAN PWWP domain-containing protein 2B OS=Homo sapiens OX=9606 GN=PWWP2B PE=1 SV=3
+TEFQTLLERIEPAVHRAANAAETIAKRYMGKKKRNFRLKFFESFPSLKSISLFSTTPSGFWSVKAERWSPEGDEKQGLSIDLVRAPWWPFGHIKGWVIDGVAVTRGDETICESVSQTHLRVTLPPVTQRAERSVSPASAGHGPSLDGTDAPTGESGSSRAEDLSDSSCASESACDARGEPCSVLFALGERGQPGQPCSKFDDDEGSSGSSLDALGGAPGDACPAPSSDRLYGNLEGVLEARYVPEHESDGLRHPKLRIKPPPLDAGAPVPRTLKLKPISASPACSPRDRSERDLVEPDQSGDDQPAQQPRFPELSGHVRSPIKVVEGKGQPTSYSIKIVPSRPVQEAPARDEERRERKSRRATAAATPEGNEPEEPKRLERDPGSGLRRRARPAAPPGPSAEPPSLTSKCKECLVQRPRLRITSLILRGPDRNRSLRRRTRKITRPPPQPVWLKYTDRLWLPHPFPAGEFYPPYPPLSGAPLPPVLPPPPEPRTTEPPQVGRAPPPSSSGLQMVEADGEEPARGHSDNVPSEDVQPLPALPPLGFLGSKKTCDLLIGAFSREGCSVTVVLAGNVVQEVRVPLRCGARPEM
+>sp|A1KZ92|PXDNL_HUMAN Peroxidasin-like protein OS=Homo sapiens OX=9606 GN=PXDNL PE=1 SV=3
+MEPRLFCWTTLFLLAGWCLPGLPCPSRCLCFKSTVRCMHLMLDHIPQVPQQTTVLDLRFNRIREIPGSAFKKLKNLNTLLLNNNHIRKISRNAFEGLENLLYLYLYKNEIHALDKQTFKGLISLEHLYIHFNQLEMLQPETFGDLLRLERLFLHNNKLSKIPAGSFSNLDSLKRLRLDSNALVCDCDLMWLGELLQGFAQHGHTQAAATCEYPRRLHGRAVASVTVEEFNCQSPRITFEPQDVEVPSGNTVYFTCRAEGNPKPEIIWIHNNHSLDLEDDTRLNVFDDGTLMIRNTRESDQGVYQCMARNSAGEAKTQSAMLRYSSLPAKPSFVIQPQDTEVLIGTSTTLECMATGHPHPLITWTRDNGLELDGSRHVATSSGLYLQNITQRDHGRFTCHANNSHGTVQAAANIIVQAPPQFTVTPKDQVVLEEHAVEWLCEADGNPPPVIVWTKTGGQLPVEGQHTVLSSGTLRIDRAAQHDQGQYECQAVSSLGVKKVSVQLTVKPKALAVFTQLPQDTSVEVGKNINISCHAQGEPQPIITWNKEGVQITESGKFHVDDEGTLTIYDAGFPDQGRYECVARNSFGLAVTNMFLTVTAIQGRQAGDDFVESSILDAVQRVDSAINSTRRHLFSQKPHTSSDLLAQFHYPRDPLIVEMARAGEIFEHTLQLIRERVKQGLTVDLEGKEFRYNDLVSPRSLSLIANLSGCTARRPLPNCSNRCFHAKYRAHDGTCNNLQQPTWGAALTAFARLLQPAYRDGIRAPRGLGLPVGSRQPLPPPRLVATVWARAAAVTPDHSYTRMLMHWGWFLEHDLDHTVPALSTARFSDGRPCSSVCTNDPPCFPMNTRHADPRGTHAPCMLFARSSPACASGRPSATVDSVYAREQINQQTAYIDGSNVYGSSERESQALRDPSVPRGLLKTGFPWPPSGKPLLPFSTGPPTECARQEQESPCFLAGDHRANEHLALAAMHTLWFREHNRMATELSALNPHWEGNTVYQEARKIVGAELQHITYSHWLPKVLGDPGTRMLRGYRGYNPNVNAGIINSFATAAFRFGHTLINPILYRLNATLGEISEGHLPFHKALFSPSRIIKEGGIDPVLRGLFGVAAKWRAPSYLLSPELTQRLFSAAYSAAVDSAATIIQRGRDHGIPPYVDFRVFCNLTSVKNFEDLQNEIKDSEIRQKLRKLYGSPGDIDLWPALMVEDLIPGTRVGPTLMCLFVTQFQRLRDGDRFWYENPGVFTPAQLTQLKQASLSRVLCDNGDSIQQVQADVFVKAEYPQDYLNCSEIPKVDLRVWQDCCADCRSRGQFRAVTQESQKKRSAQYSYPVDKDMELSHLRSRQQDKIYVGEDARNVTVLAKTKFSQDFSTFAAEIQETITALREQINKLEARLRQAGCTDVRGVPRKAEERWMKEDCTHCICESGQVTCVVEICPPAPCPSPELVKGTCCPVCRDRGMPSDSPEKR
+>DECOY_sp|A1KZ92|PXDNL_HUMAN Peroxidasin-like protein OS=Homo sapiens OX=9606 GN=PXDNL PE=1 SV=3
+RKEPSDSPMGRDRCVPCCTGKVLEPSPCPAPPCIEVVCTVQGSECICHTCDEKMWREEAKRPVGRVDTCGAQRLRAELKNIQERLATITEQIEAAFTSFDQSFKTKALVTVNRADEGVYIKDQQRSRLHSLEMDKDVPYSYQASRKKQSEQTVARFQGRSRCDACCDQWVRLDVKPIESCNLYDQPYEAKVFVDAQVQQISDGNDCLVRSLSAQKLQTLQAPTFVGPNEYWFRDGDRLRQFQTVFLCMLTPGVRTGPILDEVMLAPWLDIDGPSGYLKRLKQRIESDKIENQLDEFNKVSTLNCFVRFDVYPPIGHDRGRQIITAASDVAASYAASFLRQTLEPSLLYSPARWKAAVGFLGRLVPDIGGEKIIRSPSFLAKHFPLHGESIEGLTANLRYLIPNILTHGFRFAATAFSNIIGANVNPNYGRYGRLMRTGPDGLVKPLWHSYTIHQLEAGVIKRAEQYVTNGEWHPNLASLETAMRNHERFWLTHMAALALHENARHDGALFCPSEQEQRACETPPGTSFPLLPKGSPPWPFGTKLLGRPVSPDRLAQSERESSGYVNSGDIYATQQNIQERAYVSDVTASPRGSACAPSSRAFLMCPAHTGRPDAHRTNMPFCPPDNTCVSSCPRGDSFRATSLAPVTHDLDHELFWGWHMLMRTYSHDPTVAAARAWVTAVLRPPPLPQRSGVPLGLGRPARIGDRYAPQLLRAFATLAAGWTPQQLNNCTGDHARYKAHFCRNSCNPLPRRATCGSLNAILSLSRPSVLDNYRFEKGELDVTLGQKVRERILQLTHEFIEGARAMEVILPDRPYHFQALLDSSTHPKQSFLHRRTSNIASDVRQVADLISSEVFDDGAQRGQIATVTLFMNTVALGFSNRAVCEYRGQDPFGADYITLTGEDDVHFKGSETIQVGEKNWTIIPQPEGQAHCSININKGVEVSTDQPLQTFVALAKPKVTLQVSVKKVGLSSVAQCEYQGQDHQAARDIRLTGSSLVTHQGEVPLQGGTKTWVIVPPPNGDAECLWEVAHEELVVQDKPTVTFQPPAQVIINAAAQVTGHSNNAHCTFRGHDRQTINQLYLGSSTAVHRSGDLELGNDRTWTILPHPHGTAMCELTTSTGILVETDQPQIVFSPKAPLSSYRLMASQTKAEGASNRAMCQYVGQDSERTNRIMLTGDDFVNLRTDDELDLSHNNHIWIIEPKPNGEARCTFYVTNGSPVEVDQPEFTIRPSQCNFEEVTVSAVARGHLRRPYECTAAAQTHGHQAFGQLLEGLWMLDCDCVLANSDLRLRKLSDLNSFSGAPIKSLKNNHLFLRELRLLDGFTEPQLMELQNFHIYLHELSILGKFTQKDLAHIENKYLYLYLLNELGEFANRSIKRIHNNNLLLTNLNKLKKFASGPIERIRNFRLDLVTTQQPVQPIHDLMLHMCRVTSKFCLCRSPCPLGPLCWGALLFLTTWCFLRPEM
+>sp|Q92626|PXDN_HUMAN Peroxidasin homolog OS=Homo sapiens OX=9606 GN=PXDN PE=1 SV=2
+MAKRSRGPGRRCLLALVLFCAWGTLAVVAQKPGAGCPSRCLCFRTTVRCMHLLLEAVPAVAPQTSILDLRFNRIREIQPGAFRRLRNLNTLLLNNNQIKRIPSGAFEDLENLKYLYLYKNEIQSIDRQAFKGLASLEQLYLHFNQIETLDPDSFQHLPKLERLFLHNNRITHLVPGTFNHLESMKRLRLDSNTLHCDCEILWLADLLKTYAESGNAQAAAICEYPRRIQGRSVATITPEELNCERPRITSEPQDADVTSGNTVYFTCRAEGNPKPEIIWLRNNNELSMKTDSRLNLLDDGTLMIQNTQETDQGIYQCMAKNVAGEVKTQEVTLRYFGSPARPTFVIQPQNTEVLVGESVTLECSATGHPPPRISWTRGDRTPLPVDPRVNITPSGGLYIQNVVQGDSGEYACSATNNIDSVHATAFIIVQALPQFTVTPQDRVVIEGQTVDFQCEAKGNPPPVIAWTKGGSQLSVDRRHLVLSSGTLRISGVALHDQGQYECQAVNIIGSQKVVAHLTVQPRVTPVFASIPSDTTVEVGANVQLPCSSQGEPEPAITWNKDGVQVTESGKFHISPEGFLTINDVGPADAGRYECVARNTIGSASVSMVLSVNVPDVSRNGDPFVATSIVEAIATVDRAINSTRTHLFDSRPRSPNDLLALFRYPRDPYTVEQARAGEIFERTLQLIQEHVQHGLMVDLNGTSYHYNDLVSPQYLNLIANLSGCTAHRRVNNCSDMCFHQKYRTHDGTCNNLQHPMWGASLTAFERLLKSVYENGFNTPRGINPHRLYNGHALPMPRLVSTTLIGTETVTPDEQFTHMLMQWGQFLDHDLDSTVVALSQARFSDGQHCSNVCSNDPPCFSVMIPPNDSRARSGARCMFFVRSSPVCGSGMTSLLMNSVYPREQINQLTSYIDASNVYGSTEHEARSIRDLASHRGLLRQGIVQRSGKPLLPFATGPPTECMRDENESPIPCFLAGDHRANEQLGLTSMHTLWFREHNRIATELLKLNPHWDGDTIYYETRKIVGAEIQHITYQHWLPKILGEVGMRTLGEYHGYDPGINAGIFNAFATAAFRFGHTLVNPLLYRLDENFQPIAQDHLPLHKAFFSPFRIVNEGGIDPLLRGLFGVAGKMRVPSQLLNTELTERLFSMAHTVALDLAAINIQRGRDHGIPPYHDYRVYCNLSAAHTFEDLKNEIKNPEIREKLKRLYGSTLNIDLFPALVVEDLVPGSRLGPTLMCLLSTQFKRLRDGDRLWYENPGVFSPAQLTQIKQTSLARILCDNADNITRVQSDVFRVAEFPHGYGSCDEIPRVDLRVWQDCCEDCRTRGQFNAFSYHFRGRRSLEFSYQEDKPTKKTRPRKIPSVGRQGEHLSNSTSAFSTRSDASGTNDFREFVLEMQKTITDLRTQIKKLESRLSTTECVDAGGESHANNTKWKKDACTICECKDGQVTCFVEACPPATCAVPVNIPGACCPVCLQKRAEEKP
+>DECOY_sp|Q92626|PXDN_HUMAN Peroxidasin homolog OS=Homo sapiens OX=9606 GN=PXDN PE=1 SV=2
+PKEEARKQLCVPCCAGPINVPVACTAPPCAEVFCTVQGDKCECITCADKKWKTNNAHSEGGADVCETTSLRSELKKIQTRLDTITKQMELVFERFDNTGSADSRTSFASTSNSLHEGQRGVSPIKRPRTKKTPKDEQYSFELSRRGRFHYSFANFQGRTRCDECCDQWVRLDVRPIEDCSGYGHPFEAVRFVDSQVRTINDANDCLIRALSTQKIQTLQAPSFVGPNEYWLRDGDRLRKFQTSLLCMLTPGLRSGPVLDEVVLAPFLDINLTSGYLRKLKERIEPNKIENKLDEFTHAASLNCYVRYDHYPPIGHDRGRQINIAALDLAVTHAMSFLRETLETNLLQSPVRMKGAVGFLGRLLPDIGGENVIRFPSFFAKHLPLHDQAIPQFNEDLRYLLPNVLTHGFRFAATAFANFIGANIGPDYGHYEGLTRMGVEGLIKPLWHQYTIHQIEAGVIKRTEYYITDGDWHPNLKLLETAIRNHERFWLTHMSTLGLQENARHDGALFCPIPSENEDRMCETPPGTAFPLLPKGSRQVIGQRLLGRHSALDRISRAEHETSGYVNSADIYSTLQNIQERPYVSNMLLSTMGSGCVPSSRVFFMCRAGSRARSDNPPIMVSFCPPDNSCVNSCHQGDSFRAQSLAVVTSDLDHDLFQGWQMLMHTFQEDPTVTETGILTTSVLRPMPLAHGNYLRHPNIGRPTNFGNEYVSKLLREFATLSAGWMPHQLNNCTGDHTRYKQHFCMDSCNNVRRHATCGSLNAILNLYQPSVLDNYHYSTGNLDVMLGHQVHEQILQLTREFIEGARAQEVTYPDRPYRFLALLDNPSRPRSDFLHTRTSNIARDVTAIAEVISTAVFPDGNRSVDPVNVSLVMSVSASGITNRAVCEYRGADAPGVDNITLFGEPSIHFKGSETVQVGDKNWTIAPEPEGQSSCPLQVNAGVEVTTDSPISAFVPTVRPQVTLHAVVKQSGIINVAQCEYQGQDHLAVGSIRLTGSSLVLHRRDVSLQSGGKTWAIVPPPNGKAECQFDVTQGEIVVRDQPTVTFQPLAQVIIFATAHVSDINNTASCAYEGSDGQVVNQIYLGGSPTINVRPDVPLPTRDGRTWSIRPPPHGTASCELTVSEGVLVETNQPQIVFTPRAPSGFYRLTVEQTKVEGAVNKAMCQYIGQDTEQTNQIMLTGDDLLNLRSDTKMSLENNNRLWIIEPKPNGEARCTFYVTNGSTVDADQPESTIRPRECNLEEPTITAVSRGQIRRPYECIAAAQANGSEAYTKLLDALWLIECDCHLTNSDLRLRKMSELHNFTGPVLHTIRNNHLFLRELKPLHQFSDPDLTEIQNFHLYLQELSALGKFAQRDISQIENKYLYLYKLNELDEFAGSPIRKIQNNNLLLTNLNRLRRFAGPQIERIRNFRLDLISTQPAVAPVAELLLHMCRVTTRFCLCRSPCGAGPKQAVVALTGWACFLVLALLCRRGPGRSRKAM
+>sp|Q8NFP0|PXT1_HUMAN Peroxisomal testis-specific protein 1 OS=Homo sapiens OX=9606 GN=PXT1 PE=2 SV=2
+MKKKHDGIVYETKEVLNPSPKVTHCCKSLWLKYSFQKAYMTQLVSSQPVPAMSRNPDHNLLSQPKEHSIVQKHHQEEIIHKLAMQLRHIGDNIDHRMVREDLQQDGRDALDHFVFFFFRRVQVLLHFFWNNHLL
+>DECOY_sp|Q8NFP0|PXT1_HUMAN Peroxisomal testis-specific protein 1 OS=Homo sapiens OX=9606 GN=PXT1 PE=2 SV=2
+LLHNNWFFHLLVQVRRFFFFVFHDLADRGDQQLDERVMRHDINDGIHRLQMALKHIIEEQHHKQVISHEKPQSLLNHDPNRSMAPVPQSSVLQTMYAKQFSYKLWLSKCCHTVKPSPNLVEKTEYVIGDHKKKM
+>sp|Q8TE99|PXYP1_HUMAN 2-phosphoxylose phosphatase 1 OS=Homo sapiens OX=9606 GN=PXYLP1 PE=1 SV=1
+MLFRNRFLLLLALAALLAFVSLSLQFFHLIPVSTPKNGMSSKSRKRIMPDPVTEPPVTDPVYEALLYCNIPSVAERSMEGHAPHHFKLVSVHVFIRHGDRYPLYVIPKTKRPEIDCTLVANRKPYHPKLEAFISHMSKGSGASFESPLNSLPLYPNHPLCEMGELTQTGVVQHLQNGQLLRDIYLKKHKLLPNDWSADQLYLETTGKSRTLQSGLALLYGFLPDFDWKKIYFRHQPSALFCSGSCYCPVRNQYLEKEQRRQYLLRLKNSQLEKTYGEMAKIVDVPTKQLRAANPIDSMLCHFCHNVSFPCTRNGCVDMEHFKVIKTHQIEDERERREKKLYFGYSLLGAHPILNQTIGRMQRATEGRKEELFALYSAHDVTLSPVLSALGLSEARFPRFAARLIFELWQDREKPSEHSVRILYNGVDVTFHTSFCQDHHKRSPKPMCPLENLVRFVKRDMFVALGGSGTNYYDACHREGF
+>DECOY_sp|Q8TE99|PXYP1_HUMAN 2-phosphoxylose phosphatase 1 OS=Homo sapiens OX=9606 GN=PXYLP1 PE=1 SV=1
+FGERHCADYYNTGSGGLAVFMDRKVFRVLNELPCMPKPSRKHHDQCFSTHFTVDVGNYLIRVSHESPKERDQWLEFILRAAFRPFRAESLGLASLVPSLTVDHASYLAFLEEKRGETARQMRGITQNLIPHAGLLSYGFYLKKERREREDEIQHTKIVKFHEMDVCGNRTCPFSVNHCFHCLMSDIPNAARLQKTPVDVIKAMEGYTKELQSNKLRLLYQRRQEKELYQNRVPCYCSGSCFLASPQHRFYIKKWDFDPLFGYLLALGSQLTRSKGTTELYLQDASWDNPLLKHKKLYIDRLLQGNQLHQVVGTQTLEGMECLPHNPYLPLSNLPSEFSAGSGKSMHSIFAELKPHYPKRNAVLTCDIEPRKTKPIVYLPYRDGHRIFVHVSVLKFHHPAHGEMSREAVSPINCYLLAEYVPDTVPPETVPDPMIRKRSKSSMGNKPTSVPILHFFQLSLSVFALLAALALLLLFRNRFLM
+>sp|P0DMW2|PYDC4_HUMAN NLR family pyrin domain-containing protein 2B OS=Homo sapiens OX=9606 GN=NLRP2B PE=2 SV=1
+MVSSAQLDFNLQALLGQLSQDDLCKFKSLIRTVSLGNELQKIPQT
+>DECOY_sp|P0DMW2|PYDC4_HUMAN NLR family pyrin domain-containing protein 2B OS=Homo sapiens OX=9606 GN=NLRP2B PE=2 SV=1
+TQPIKQLENGLSVTRILSKFKCLDDQSLQGLLAQLNFDLQASSVM
+>sp|Q9BRQ0|PYGO2_HUMAN Pygopus homolog 2 OS=Homo sapiens OX=9606 GN=PYGO2 PE=1 SV=2
+MAASAPPPPDKLEGGGGPAPPPAPPSTGRKQGKAGLQMKSPEKKRRKSNTQGPAYSHLTEFAPPPTPMVDHLVASNPFEDDFGAPKVGVAAPPFLGSPVPFGGFRVQGGMAGQVPPGYSTGGGGGPQPLRRQPPPFPPNPMGPAFNMPPQGPGYPPPGNMNFPSQPFNQPLGQNFSPPSGQMMPGPVGGFGPMISPTMGQPPRAELGPPSLSQRFAQPGAPFGPSPLQRPGQGLPSLPPNTSPFPGPDPGFPGPGGEDGGKPLNPPASTAFPQEPHSGSPAAAVNGNQPSFPPNSSGRGGGTPDANSLAPPGKAGGGSGPQPPPGLVYPCGACRSEVNDDQDAILCEASCQKWFHRECTGMTESAYGLLTTEASAVWACDLCLKTKEIQSVYIREGMGQLVAANDG
+>DECOY_sp|Q9BRQ0|PYGO2_HUMAN Pygopus homolog 2 OS=Homo sapiens OX=9606 GN=PYGO2 PE=1 SV=2
+GDNAAVLQGMGERIYVSQIEKTKLCLDCAWVASAETTLLGYASETMGTCERHFWKQCSAECLIADQDDNVESRCAGCPYVLGPPPQPGSGGGAKGPPALSNADPTGGGRGSSNPPFSPQNGNVAAAPSGSHPEQPFATSAPPNLPKGGDEGGPGPFGPDPGPFPSTNPPLSPLGQGPRQLPSPGFPAGPQAFRQSLSPPGLEARPPQGMTPSIMPGFGGVPGPMMQGSPPSFNQGLPQNFPQSPFNMNGPPPYGPGQPPMNFAPGMPNPPFPPPQRRLPQPGGGGGTSYGPPVQGAMGGQVRFGGFPVPSGLFPPAAVGVKPAGFDDEFPNSAVLHDVMPTPPPAFETLHSYAPGQTNSKRRKKEPSKMQLGAKGQKRGTSPPAPPPAPGGGGELKDPPPPASAAM
+>sp|O14957|QCR10_HUMAN Cytochrome b-c1 complex subunit 10 OS=Homo sapiens OX=9606 GN=UQCR11 PE=1 SV=1
+MVTRFLGPRYRELVKNWVPTAYTWGAVGAVGLVWATDWRLILDWVPYINGKFKKDN
+>DECOY_sp|O14957|QCR10_HUMAN Cytochrome b-c1 complex subunit 10 OS=Homo sapiens OX=9606 GN=UQCR11 PE=1 SV=1
+NDKKFKGNIYPVWDLILRWDTAWVLGVAGVAGWTYATPVWNKVLERYRPGLFRTVM
+>sp|P31930|QCR1_HUMAN Cytochrome b-c1 complex subunit 1, mitochondrial OS=Homo sapiens OX=9606 GN=UQCRC1 PE=1 SV=3
+MAASVVCRAATAGAQVLLRARRSPALLRTPALRSTATFAQALQFVPETQVSLLDNGLRVASEQSSQPTCTVGVWIDVGSRFETEKNNGAGYFLEHLAFKGTKNRPGSALEKEVESMGAHLNAYSTREHTAYYIKALSKDLPKAVELLGDIVQNCSLEDSQIEKERDVILREMQENDASMRDVVFNYLHATAFQGTPLAQAVEGPSENVRKLSRADLTEYLSTHYKAPRMVLAAAGGVEHQQLLDLAQKHLGGIPWTYAEDAVPTLTPCRFTGSEIRHRDDALPFAHVAIAVEGPGWASPDNVALQVANAIIGHYDCTYGGGVHLSSPLASGAVANKLCQSFQTFSICYAETGLLGAHFVCDRMKIDDMMFVLQGQWMRLCTSATESEVARGKNILRNALVSHLDGTTPVCEDIGRSLLTYGRRIPLAEWESRIAEVDASVVREICSKYIYDQCPAVAGYGPIEQLPDYNRIRSGMFWLRF
+>DECOY_sp|P31930|QCR1_HUMAN Cytochrome b-c1 complex subunit 1, mitochondrial OS=Homo sapiens OX=9606 GN=UQCRC1 PE=1 SV=3
+FRLWFMGSRIRNYDPLQEIPGYGAVAPCQDYIYKSCIERVVSADVEAIRSEWEALPIRRGYTLLSRGIDECVPTTGDLHSVLANRLINKGRAVESETASTCLRMWQGQLVFMMDDIKMRDCVFHAGLLGTEAYCISFTQFSQCLKNAVAGSALPSSLHVGGGYTCDYHGIIANAVQLAVNDPSAWGPGEVAIAVHAFPLADDRHRIESGTFRCPTLTPVADEAYTWPIGGLHKQALDLLQQHEVGGAAALVMRPAKYHTSLYETLDARSLKRVNESPGEVAQALPTGQFATAHLYNFVVDRMSADNEQMERLIVDREKEIQSDELSCNQVIDGLLEVAKPLDKSLAKIYYATHERTSYANLHAGMSEVEKELASGPRNKTGKFALHELFYGAGNNKETEFRSGVDIWVGVTCTPQSSQESAVRLGNDLLSVQTEPVFQLAQAFTATSRLAPTRLLAPSRRARLLVQAGATAARCVVSAAM
+>sp|P22695|QCR2_HUMAN Cytochrome b-c1 complex subunit 2, mitochondrial OS=Homo sapiens OX=9606 GN=UQCRC2 PE=1 SV=3
+MKLLTRAGSFSRFYSLKVAPKVKATAAPAGAPPQPQDLEFTKLPNGLVIASLENYSPVSRIGLFIKAGSRYEDFSNLGTTHLLRLTSSLTTKGASSFKITRGIEAVGGKLSVTATRENMAYTVECLRGDVDILMEFLLNVTTAPEFRRWEVADLQPQLKIDKAVAFQNPQTHVIENLHAAAYRNALANPLYCPDYRIGKVTSEELHYFVQNHFTSARMALIGLGVSHPVLKQVAEQFLNMRGGLGLSGAKANYRGGEIREQNGDSLVHAAFVAESAVAGSAEANAFSVLQHVLGAGPHVKRGSNTTSHLHQAVAKATQQPFDVSAFNASYSDSGLFGIYTISQATAAGDVIKAAYNQVKTIAQGNLSNTDVQAAKNKLKAGYLMSVESSECFLEEVGSQALVAGSYMPPSTVLQQIDSVANADIINAAKKFVSGQKSMAASGNLGHTPFVDEL
+>DECOY_sp|P22695|QCR2_HUMAN Cytochrome b-c1 complex subunit 2, mitochondrial OS=Homo sapiens OX=9606 GN=UQCRC2 PE=1 SV=3
+LEDVFPTHGLNGSAAMSKQGSVFKKAANIIDANAVSDIQQLVTSPPMYSGAVLAQSGVEELFCESSEVSMLYGAKLKNKAAQVDTNSLNGQAITKVQNYAAKIVDGAATAQSITYIGFLGSDSYSANFASVDFPQQTAKAVAQHLHSTTNSGRKVHPGAGLVHQLVSFANAEASGAVASEAVFAAHVLSDGNQERIEGGRYNAKAGSLGLGGRMNLFQEAVQKLVPHSVGLGILAMRASTFHNQVFYHLEESTVKGIRYDPCYLPNALANRYAAAHLNEIVHTQPNQFAVAKDIKLQPQLDAVEWRRFEPATTVNLLFEMLIDVDGRLCEVTYAMNERTATVSLKGGVAEIGRTIKFSSAGKTTLSSTLRLLHTTGLNSFDEYRSGAKIFLGIRSVPSYNELSAIVLGNPLKTFELDQPQPPAGAPAATAKVKPAVKLSYFRSFSGARTLLKM
+>sp|A0A096LP55|QCR6L_HUMAN Cytochrome b-c1 complex subunit 6-like, mitochondrial OS=Homo sapiens OX=9606 GN=UQCRHL PE=3 SV=1
+MGLEDEQKMLTESGDPEEEEEEEEELVDPLTTVREQCEQLEKCVKARERLELYDEHVSSRSHTEEDCTEELFDFLHAKDHCVAHKLFNNLK
+>DECOY_sp|A0A096LP55|QCR6L_HUMAN Cytochrome b-c1 complex subunit 6-like, mitochondrial OS=Homo sapiens OX=9606 GN=UQCRHL PE=3 SV=1
+KLNNFLKHAVCHDKAHLFDFLEETCDEETHSRSSVHEDYLELRERAKVCKELQECQERVTTLPDVLEEEEEEEEEPDGSETLMKQEDELGM
+>sp|P07919|QCR6_HUMAN Cytochrome b-c1 complex subunit 6, mitochondrial OS=Homo sapiens OX=9606 GN=UQCRH PE=1 SV=2
+MGLEDEQKMLTESGDPEEEEEEEEELVDPLTTVREQCEQLEKCVKARERLELCDERVSSRSHTEEDCTEELFDFLHARDHCVAHKLFNNLK
+>DECOY_sp|P07919|QCR6_HUMAN Cytochrome b-c1 complex subunit 6, mitochondrial OS=Homo sapiens OX=9606 GN=UQCRH PE=1 SV=2
+KLNNFLKHAVCHDRAHLFDFLEETCDEETHSRSSVREDCLELRERAKVCKELQECQERVTTLPDVLEEEEEEEEEPDGSETLMKQEDELGM
+>sp|Q9UDW1|QCR9_HUMAN Cytochrome b-c1 complex subunit 9 OS=Homo sapiens OX=9606 GN=UQCR10 PE=1 SV=3
+MAAATLTSKLYSLLFRRTSTFALTIIVGVMFFERAFDQGADAIYDHINEGKLWKHIKHKYENK
+>DECOY_sp|Q9UDW1|QCR9_HUMAN Cytochrome b-c1 complex subunit 9 OS=Homo sapiens OX=9606 GN=UQCR10 PE=1 SV=3
+KNEYKHKIHKWLKGENIHDYIADAGQDFAREFFMVGVIITLAFTSTRRFLLSYLKSTLTAAAM
+>sp|O95825|QORL1_HUMAN Quinone oxidoreductase-like protein 1 OS=Homo sapiens OX=9606 GN=CRYZL1 PE=1 SV=2
+MKGLYFQQSSTDEEITFVFQEKEDLPVTEDNFVKLQVKACALSQINTKLLAEMKMKKDLFPVGREIAGIVLDVGSKVSFFQPDDEVVGILPLDSEDPGLCEVVRVHEHYLVHKPEKVTWTEAAGSIRDGVRAYTALHYLSHLSPGKSVLIMDGASAFGTIAIQLAHHRGAKVISTACSLEDKQCLERFRPPIARVIDVSNGKVHVAESCLEETGGLGVDIVLDAGVRLYSKDDEPAVKLQLLPHKHDIITLLGVGGHWVTTEENLQLDPPDSHCLFLKGATLAFLNDEVWNLSNVQQGKYLCILKDVMEKLSTGVFRPQLDEPIPLYEAKVSMEAVQKNQGRKKQVVQF
+>DECOY_sp|O95825|QORL1_HUMAN Quinone oxidoreductase-like protein 1 OS=Homo sapiens OX=9606 GN=CRYZL1 PE=1 SV=2
+FQVVQKKRGQNKQVAEMSVKAEYLPIPEDLQPRFVGTSLKEMVDKLICLYKGQQVNSLNWVEDNLFALTAGKLFLCHSDPPDLQLNEETTVWHGGVGLLTIIDHKHPLLQLKVAPEDDKSYLRVGADLVIDVGLGGTEELCSEAVHVKGNSVDIVRAIPPRFRELCQKDELSCATSIVKAGRHHALQIAITGFASAGDMILVSKGPSLHSLYHLATYARVGDRISGAAETWTVKEPKHVLYHEHVRVVECLGPDESDLPLIGVVEDDPQFFSVKSGVDLVIGAIERGVPFLDKKMKMEALLKTNIQSLACAKVQLKVFNDETVPLDEKEQFVFTIEEDTSSQQFYLGKM
+>sp|Q16769|QPCT_HUMAN Glutaminyl-peptide cyclotransferase OS=Homo sapiens OX=9606 GN=QPCT PE=1 SV=1
+MAGGRHRRVVGTLHLLLLVAALPWASRGVSPSASAWPEEKNYHQPAILNSSALRQIAEGTSISEMWQNDLQPLLIERYPGSPGSYAARQHIMQRIQRLQADWVLEIDTFLSQTPYGYRSFSNIISTLNPTAKRHLVLACHYDSKYFSHWNNRVFVGATDSAVPCAMMLELARALDKKLLSLKTVSDSKPDLSLQLIFFDGEEAFLHWSPQDSLYGSRHLAAKMASTPHPPGARGTSQLHGMDLLVLLDLIGAPNPTFPNFFPNSARWFERLQAIEHELHELGLLKDHSLEGRYFQNYSYGGVIQDDHIPFLRRGVPVLHLIPSPFPEVWHTMDDNEENLDESTIDNLNKILQVFVLEYLHL
+>DECOY_sp|Q16769|QPCT_HUMAN Glutaminyl-peptide cyclotransferase OS=Homo sapiens OX=9606 GN=QPCT PE=1 SV=1
+LHLYELVFVQLIKNLNDITSEDLNEENDDMTHWVEPFPSPILHLVPVGRRLFPIHDDQIVGGYSYNQFYRGELSHDKLLGLEHLEHEIAQLREFWRASNPFFNPFTPNPAGILDLLVLLDMGHLQSTGRAGPPHPTSAMKAALHRSGYLSDQPSWHLFAEEGDFFILQLSLDPKSDSVTKLSLLKKDLARALELMMACPVASDTAGVFVRNNWHSFYKSDYHCALVLHRKATPNLTSIINSFSRYGYPTQSLFTDIELVWDAQLRQIRQMIHQRAAYSGPSGPYREILLPQLDNQWMESISTGEAIQRLASSNLIAPQHYNKEEPWASASPSVGRSAWPLAAVLLLLHLTGVVRRHRGGAM
+>sp|Q96P65|QRFPR_HUMAN Pyroglutamylated RFamide peptide receptor OS=Homo sapiens OX=9606 GN=QRFPR PE=2 SV=2
+MQALNITPEQFSRLLRDHNLTREQFIALYRLRPLVYTPELPGRAKLALVLTGVLIFALALFGNALVFYVVTRSKAMRTVTNIFICSLALSDLLITFFCIPVTMLQNISDNWLGGAFICKMVPFVQSTAVVTEILTMTCIAVERHQGLVHPFKMKWQYTNRRAFTMLGVVWLVAVIVGSPMWHVQQLEIKYDFLYEKEHICCLEEWTSPVHQKIYTTFILVILFLLPLMVMLILYSKIGYELWIKKRVGDGSVLRTIHGKEMSKIARKKKRAVIMMVTVVALFAVCWAPFHVVHMMIEYSNFEKEYDDVTIKMIFAIVQIIGFSNSICNPIVYAFMNENFKKNVLSAVCYCIVNKTFSPAQRHGNSGITMMRKKAKFSLRENPVEETKGEAFSDGNIEVKLCEQTEEKKKLKRHLALFRSELAENSPLDSGH
+>DECOY_sp|Q96P65|QRFPR_HUMAN Pyroglutamylated RFamide peptide receptor OS=Homo sapiens OX=9606 GN=QRFPR PE=2 SV=2
+HGSDLPSNEALESRFLALHRKLKKKEETQECLKVEINGDSFAEGKTEEVPNERLSFKAKKRMMTIGSNGHRQAPSFTKNVICYCVASLVNKKFNENMFAYVIPNCISNSFGIIQVIAFIMKITVDDYEKEFNSYEIMMHVVHFPAWCVAFLAVVTVMMIVARKKKRAIKSMEKGHITRLVSGDGVRKKIWLEYGIKSYLILMVMLPLLFLIVLIFTTYIKQHVPSTWEELCCIHEKEYLFDYKIELQQVHWMPSGVIVAVLWVVGLMTFARRNTYQWKMKFPHVLGQHREVAICTMTLIETVVATSQVFPVMKCIFAGGLWNDSINQLMTVPICFFTILLDSLALSCIFINTVTRMAKSRTVVYFVLANGFLALAFILVGTLVLALKARGPLEPTYVLPRLRYLAIFQERTLNHDRLLRSFQEPTINLAQM
+>sp|Q2TAL8|QRIC1_HUMAN Glutamine-rich protein 1 OS=Homo sapiens OX=9606 GN=QRICH1 PE=1 SV=1
+MNNSLENTISFEEYIRVKARSVPQHRMKEFLDSLASKGPEALQEFQQTATTTMVYQQGGNCIYTDSTEVAGSLLELACPVTTSVQPQTQQEQQIQVQQPQQVQVQVQVQQSPQQVSAQLSPQLTVHQPTEQPIQVQVQIQGQAPQSAAPSIQTPSLQSPSPSQLQAAQIQVQHVQAAQQIQAAEIPEEHIPHQQIQAQLVAGQSLAGGQQIQIQTVGALSPPPSQQGSPREGERRVGTASVLQPVKKRKVDMPITVSYAISGQPVATVLAIPQGQQQSYVSLRPDLLTVDSAHLYSATGTITSPTGETWTIPVYSAQPRGDPQQQSITHIAIPQEAYNAVHVSGSPTALAAVKLEDDKEKMVGTTSVVKNSHEEVVQTLANSLFPAQFMNGNIHIPVAVQAVAGTYQNTAQTVHIWDPQQQPQQQTPQEQTPPPQQQQQQLQVTCSAQTVQVAEVEPQSQPQPSPELLLPNSLKPEEGLEVWKNWAQTKNAELEKDAQNRLAPIGRRQLLRFQEDLISSAVAELNYGLCLMTREARNGEGEPYDPDVLYYIFLCIQKYLFENGRVDDIFSDLYYVRFTEWLHEVLKDVQPRVTPLGYVLPSHVTEEMLWECKQLGAHSPSTLLTTLMFFNTKYFLLKTVDQHMKLAFSKVLRQTKKNPSNPKDKSTSIRYLKALGIHQTGQKVTDDMYAEQTENPENPLRCPIKLYDFYLFKCPQSVKGRNDTFYLTPEPVVAPNSPIWYSVQPISREQMGQMLTRILVIREIQEAIAVANASTMH
+>DECOY_sp|Q2TAL8|QRIC1_HUMAN Glutamine-rich protein 1 OS=Homo sapiens OX=9606 GN=QRICH1 PE=1 SV=1
+HMTSANAVAIAEQIERIVLIRTLMQGMQERSIPQVSYWIPSNPAVVPEPTLYFTDNRGKVSQPCKFLYFDYLKIPCRLPNEPNETQEAYMDDTVKQGTQHIGLAKLYRISTSKDKPNSPNKKTQRLVKSFALKMHQDVTKLLFYKTNFFMLTTLLTSPSHAGLQKCEWLMEETVHSPLVYGLPTVRPQVDKLVEHLWETFRVYYLDSFIDDVRGNEFLYKQICLFIYYLVDPDYPEGEGNRAERTMLCLGYNLEAVASSILDEQFRLLQRRGIPALRNQADKELEANKTQAWNKWVELGEEPKLSNPLLLEPSPQPQSQPEVEAVQVTQASCTVQLQQQQQQPPPTQEQPTQQQPQQQPDWIHVTQATNQYTGAVAQVAVPIHINGNMFQAPFLSNALTQVVEEHSNKVVSTTGVMKEKDDELKVAALATPSGSVHVANYAEQPIAIHTISQQQPDGRPQASYVPITWTEGTPSTITGTASYLHASDVTLLDPRLSVYSQQQGQPIALVTAVPQGSIAYSVTIPMDVKRKKVPQLVSATGVRREGERPSGQQSPPPSLAGVTQIQIQQGGALSQGAVLQAQIQQHPIHEEPIEAAQIQQAAQVHQVQIQAAQLQSPSPSQLSPTQISPAASQPAQGQIQVQVQIPQETPQHVTLQPSLQASVQQPSQQVQVQVQVQQPQQVQIQQEQQTQPQVSTTVPCALELLSGAVETSDTYICNGGQQYVMTTTATQQFEQLAEPGKSALSDLFEKMRHQPVSRAKVRIYEEFSITNELSNNM
+>sp|Q2KHR3|QSER1_HUMAN Glutamine and serine-rich protein 1 OS=Homo sapiens OX=9606 GN=QSER1 PE=1 SV=3
+MNFLSTAESRTAQAAASGTTLLPQFRAPSWQTGMHSSAATELFATGPLPSTGTLPPSLSAYQHPTTFSNRNFATTSPLVLQDSTFNTTSNGILSHHDPLLQIKTSQGTVPTALAFERLGSSVLSNSIPPQSSTYRSAQESAPHLLQPQFSLLPSALGGSQQTPQAYSSTLFTSSTASIERALLRECSVIKHHQRPSGTQSIQAQLTGSQHSLHSYLSNSSVVNFQETTRQSSLSCSPIGDSTQVSNGGLQQKTSQVSVELAQSYSSAIPSSGYPPSTTKIKSCSTEQPLTSTKTPKPQSIIPPVQTLSYSKPLHNQSSVISGQAQIYSTAQLPSLLSVSQSQNYGLVQPHNVPSIVHSQVYRSSKVEKLPPLYKTLTFSGSSQTVTPENQTLNYSSNQQEVLSSVTNENYPAQTRDLSSVSQSQSYSSGHSQGLSPVSQTQVSYSSQSQVLSVVSLSESYASGESLTLTAPSLSYSSASRAQNLPDSSPTQNYISMHSSQNVQTQESSSPQSQKFLPAVQSSSFASSTHCQTLQNNITSPDPKSYAERKLDSDVYPSSKQEDGFPMQELQVLQPQASLESSTQRLSDGEINAQESTYKVSKADDRYSQSVIRSNSRLEDQVIGVALQASKKEESVVGSVTQLNQQIGQVNNAATLDLKNSTNLIQTPQIRLNTKDLKQQHPLILKVHESKVQEQHDQIINASSQIQIPNHALGHGHQASLPNTQVLLDSACDLQILQQSILQAGLGQVKASLQAQRVQSPQQIVHPFLQMEGHVIQSNGDHSQQQLHPQNSEVMKMDLSESSKPLQQHLTTKGHFSETNQHDSKNQFVSLGSMCFPEAVLLSDERNILSNVDDILAATAAACGVTPTDFSKSTSNETMQAVEDGDSKSHFQQSLDVRHVTSDFNSMTATVGKPQNINDTSLNGNQVTVNLSPVPALQSKMTLDQQHIETPGQNIPTKVTSAVVGPSHEVQEQSSGPFKKQSATNLESEEDSEAPVDSTLNNNRNQEFVSSSRSISGENATSESEFTLGGDDSGVSMNPARSALALLAMAQSGDAVSVKIEEENQDLMHFNLQKKRAKGKGQVKEEDNSNQKQLKRPAQGKRQNPRGTDIYLPYTPPSSESCHDGYQHQEKMRQKIKEVEEKQPEVKTGFIASFLDFLKSGPKQQFSTLAVRMPNRTRRPGTQMVRTFCPPPLPKPSSTTPTPLVSETGGNSPSDKVDNELKNLEHLSSFSSDEDDPGYSQDAYKSVSTPLTTLDATSDKKKKTEALQVATTSPTANTTGTATTSSTTVGAVKQEPLHSTSYAVNILENISSSESSKPIELDGLPSDQFAKGQDTVAIEGFTDEEDTESGGEGQYRERDEFVVKIEDIETFKEALKTGKEPPAIWKVQKALLQKFVPEIRDGQREFAATNSYLGYFGDAKSKYKRIYVKFIENANKKEYVRVCSKKPRNKPSQTIRTVQAKPSSSSKTSDPLASKTTTTKAPSVKPKVKQPKVKAEPPPKKRKKWKEEFSSSQSDSSPEIHTSSSDDEEFEPPAPFVTRFLNTRAMKETFKSYMELLVSIALDPDTMQALEKSNDELLLPHMKKIDGMLNDNRKRLLLNLHLDQSFKNALESFPELTIITRDSKAKSGGTAISKIKMNGKAYNKKTLRTSKTTTKSAQEFAVDPEKIQLYSLYHSLHHYKYHVYLICKDEISSVQKKNEDLGQEEIVQLCMKNVKWVEDLFEKFGELLNHVQQKCS
+>DECOY_sp|Q2KHR3|QSER1_HUMAN Glutamine and serine-rich protein 1 OS=Homo sapiens OX=9606 GN=QSER1 PE=1 SV=3
+SCKQQVHNLLEGFKEFLDEVWKVNKMCLQVIEEQGLDENKKQVSSIEDKCILYVHYKYHHLSHYLSYLQIKEPDVAFEQASKTTTKSTRLTKKNYAKGNMKIKSIATGGSKAKSDRTIITLEPFSELANKFSQDLHLNLLLRKRNDNLMGDIKKMHPLLLEDNSKELAQMTDPDLAISVLLEMYSKFTEKMARTNLFRTVFPAPPEFEEDDSSSTHIEPSSDSQSSSFEEKWKKRKKPPPEAKVKPQKVKPKVSPAKTTTTKSALPDSTKSSSSPKAQVTRITQSPKNRPKKSCVRVYEKKNANEIFKVYIRKYKSKADGFYGLYSNTAAFERQGDRIEPVFKQLLAKQVKWIAPPEKGTKLAEKFTEIDEIKVVFEDRERYQGEGGSETDEEDTFGEIAVTDQGKAFQDSPLGDLEIPKSSESSSINELINVAYSTSHLPEQKVAGVTTSSTTATGTTNATPSTTAVQLAETKKKKDSTADLTTLPTSVSKYADQSYGPDDEDSSFSSLHELNKLENDVKDSPSNGGTESVLPTPTTSSPKPLPPPCFTRVMQTGPRRTRNPMRVALTSFQQKPGSKLFDLFSAIFGTKVEPQKEEVEKIKQRMKEQHQYGDHCSESSPPTYPLYIDTGRPNQRKGQAPRKLQKQNSNDEEKVQGKGKARKKQLNFHMLDQNEEEIKVSVADGSQAMALLALASRAPNMSVGSDDGGLTFESESTANEGSISRSSSVFEQNRNNNLTSDVPAESDEESELNTASQKKFPGSSQEQVEHSPGVVASTVKTPINQGPTEIHQQDLTMKSQLAPVPSLNVTVQNGNLSTDNINQPKGVTATMSNFDSTVHRVDLSQQFHSKSDGDEVAQMTENSTSKSFDTPTVGCAAATAALIDDVNSLINREDSLLVAEPFCMSGLSVFQNKSDHQNTESFHGKTTLHQQLPKSSESLDMKMVESNQPHLQQQSHDGNSQIVHGEMQLFPHVIQQPSQVRQAQLSAKVQGLGAQLISQQLIQLDCASDLLVQTNPLSAQHGHGLAHNPIQIQSSANIIQDHQEQVKSEHVKLILPHQQKLDKTNLRIQPTQILNTSNKLDLTAANNVQGIQQNLQTVSGVVSEEKKSAQLAVGIVQDELRSNSRIVSQSYRDDAKSVKYTSEQANIEGDSLRQTSSELSAQPQLVQLEQMPFGDEQKSSPYVDSDLKREAYSKPDPSTINNQLTQCHTSSAFSSSQVAPLFKQSQPSSSEQTQVNQSSHMSIYNQTPSSDPLNQARSASSYSLSPATLTLSEGSAYSESLSVVSLVQSQSSYSVQTQSVPSLGQSHGSSYSQSQSVSSLDRTQAPYNENTVSSLVEQQNSSYNLTQNEPTVTQSSGSFTLTKYLPPLKEVKSSRYVQSHVISPVNHPQVLGYNQSQSVSLLSPLQATSYIQAQGSIVSSQNHLPKSYSLTQVPPIISQPKPTKTSTLPQETSCSKIKTTSPPYGSSPIASSYSQALEVSVQSTKQQLGGNSVQTSDGIPSCSLSSQRTTEQFNVVSSNSLYSHLSHQSGTLQAQISQTGSPRQHHKIVSCERLLAREISATSSTFLTSSYAQPTQQSGGLASPLLSFQPQLLHPASEQASRYTSSQPPISNSLVSSGLREFALATPVTGQSTKIQLLPDHHSLIGNSTTNFTSDQLVLPSTTAFNRNSFTTPHQYASLSPPLTGTSPLPGTAFLETAASSHMGTQWSPARFQPLLTTGSAAAQATRSEATSLFNM
+>sp|Q6ZRP7|QSOX2_HUMAN Sulfhydryl oxidase 2 OS=Homo sapiens OX=9606 GN=QSOX2 PE=1 SV=3
+MAAAGAAVARSPGIGAGPALRARRSPPPRAARLPRLLVLLAAAAVGPGAGGAARLYRAGEDAVWVLDSGSVRGATANSSAAWLVQFYSSWCGHCIGYAPTWRALAGDVRDWASAIRVAALDCMEEKNQAVCHDYDIHFYPTFRYFKAFTKEFTTGENFKGPDRELRTVRQTMIDFLQNHTEGSRPPACPRLDPIQPSDVLSLLDNRGSHYVAIVFESNSSYLGREVILDLIPYESIVVTRALDGDKAFLEKLGVSSVPSCYLIYPNGSHGLINVVKPLRAFFSSYLKSLPDVRKKSLPLPEKPHKEENSEIVVWREFDKSKLYTVDLESGLHYLLRVELAAHKSLAGAELKTLKDFVTVLAKLFPGRPPVKKLLEMLQEWLASLPLDRIPYNAVLDLVNNKMRISGIFLTNHIKWVGCQGSRSELRGYPCSLWKLFHTLTVEASTHPDALVGTGFEDDPQAVLQTMRRYVHTFFGCKECGEHFEEMAKESMDSVKTPDQAILWLWKKHNMVNGRLAGHLSEDPRFPKLQWPTPDLCPACHEEIKGLASWDEGHVLTFLKQHYGRDNLLDTYSADQGDSSEGGTLARGEEEEKRLTPPEVSHGDRDTQSVRPPGALGPRPALPESLHHSLDGKLQSLDGPGAHKEVGGAAPFLGVDFSSLDMSLCVVLYVASSLFLMVMYFFFRVRSRRWKVKHHHPAV
+>DECOY_sp|Q6ZRP7|QSOX2_HUMAN Sulfhydryl oxidase 2 OS=Homo sapiens OX=9606 GN=QSOX2 PE=1 SV=3
+VAPHHHKVKWRRSRVRFFFYMVMLFLSSAVYLVVCLSMDLSSFDVGLFPAAGGVEKHAGPGDLSQLKGDLSHHLSEPLAPRPGLAGPPRVSQTDRDGHSVEPPTLRKEEEEGRALTGGESSDGQDASYTDLLNDRGYHQKLFTLVHGEDWSALGKIEEHCAPCLDPTPWQLKPFRPDESLHGALRGNVMNHKKWLWLIAQDPTKVSDMSEKAMEEFHEGCEKCGFFTHVYRRMTQLVAQPDDEFGTGVLADPHTSAEVTLTHFLKWLSCPYGRLESRSGQCGVWKIHNTLFIGSIRMKNNVLDLVANYPIRDLPLSALWEQLMELLKKVPPRGPFLKALVTVFDKLTKLEAGALSKHAALEVRLLYHLGSELDVTYLKSKDFERWVVIESNEEKHPKEPLPLSKKRVDPLSKLYSSFFARLPKVVNILGHSGNPYILYCSPVSSVGLKELFAKDGDLARTVVISEYPILDLIVERGLYSSNSEFVIAVYHSGRNDLLSLVDSPQIPDLRPCAPPRSGETHNQLFDIMTQRVTRLERDPGKFNEGTTFEKTFAKFYRFTPYFHIDYDHCVAQNKEEMCDLAAVRIASAWDRVDGALARWTPAYGICHGCWSSYFQVLWAASSNATAGRVSGSDLVWVADEGARYLRAAGGAGPGVAAAALLVLLRPLRAARPPPSRRARLAPGAGIGPSRAVAAGAAAM
+>sp|P0C881|R10B1_HUMAN Radial spoke head 10 homolog B OS=Homo sapiens OX=9606 GN=RSPH10B PE=2 SV=1
+MVKEKKKADKKGEKSARSPSSLSDNLDFSKQDGNTTRQEMSPAGVPLLGMQLNEVKPKKDRQNVQQNEDATQYEESILTKLIVESYEGEKVRGLYEGEGFAAFQGGCTYRGMFSEGLMHGQGTYIWADGLKYEGDFVKNVPMNHGVYTWPDGSMYEGEVVNGMRNGFGMFKCSTQPVSYIGHWCNGKRHGKGSIYYNQEGTCWYEGDWVQNIKKGWGIRCYKSGNIYEGQWEDNMRHGEGRMRWLTTNEEYTGRWERGIQNGFGTHTWFLKRIRSSQYPLRNEYIGEFVNGYRHGRGKFYYASGAMYDGEWVSNKKHGMGRLTFKNGRVYEGAFSNDHIAGFPDLEVEFISCLDLSSGVAPRLSRSAELIRKLDGSESHSVLGSSIELDLNLLLDMYPETVQPEEKKQVEYAVLRNITELRRIYSFYSSLGCGHSLDNTFLMTKLHFWRFLKDCKFHHHKLTLADMDRILSANNDIPVEEIHSPFTTILLRTFLNYLLHLAYHIYHEEFQKRSPSLFLCFTKLMTENIRPNAFQIKGNLFREQQRTLYSMSYMNKCWEIYLAYCRPSAAPPHEPTMKMRHFLWMLKDFKMINKELTAATFMEVIAEDNRFIYDGIDSNFEPELVFLEFFEALLSFAFICVTDQMTKSYTNVPADDVSGNKHETIYTILNQDAQNKSPSAVMSHESDAAHSDSARSSSSKLELSPDVNKIRKSEPKIKKSVSHERVSKMNFKLTGKGITFFSSESKKYERPKDDREEEFNTWVNNMYVFFVNTLFHAYKREEAIKEKIRADRLRSTAQAQQRKMEDDELEARLNIFILREEEAKRHDYEVDITVLKEPADVSSSHLILDPPKEDVTVSPSSKTITSKKKKK
+>DECOY_sp|P0C881|R10B1_HUMAN Radial spoke head 10 homolog B OS=Homo sapiens OX=9606 GN=RSPH10B PE=2 SV=1
+KKKKKSTITKSSPSVTVDEKPPDLILHSSSVDAPEKLVTIDVEYDHRKAEEERLIFINLRAELEDDEMKRQQAQATSRLRDARIKEKIAEERKYAHFLTNVFFVYMNNVWTNFEEERDDKPREYKKSESSFFTIGKGTLKFNMKSVREHSVSKKIKPESKRIKNVDPSLELKSSSSRASDSHAADSEHSMVASPSKNQADQNLITYITEHKNGSVDDAPVNTYSKTMQDTVCIFAFSLLAEFFELFVLEPEFNSDIGDYIFRNDEAIVEMFTAATLEKNIMKFDKLMWLFHRMKMTPEHPPAASPRCYALYIEWCKNMYSMSYLTRQQERFLNGKIQFANPRINETMLKTFCLFLSPSRKQFEEHYIHYALHLLYNLFTRLLITTFPSHIEEVPIDNNASLIRDMDALTLKHHHFKCDKLFRWFHLKTMLFTNDLSHGCGLSSYFSYIRRLETINRLVAYEVQKKEEPQVTEPYMDLLLNLDLEISSGLVSHSESGDLKRILEASRSLRPAVGSSLDLCSIFEVELDPFGAIHDNSFAGEYVRGNKFTLRGMGHKKNSVWEGDYMAGSAYYFKGRGHRYGNVFEGIYENRLPYQSSRIRKLFWTHTGFGNQIGREWRGTYEENTTLWRMRGEGHRMNDEWQGEYINGSKYCRIGWGKKINQVWDGEYWCTGEQNYYISGKGHRKGNCWHGIYSVPQTSCKFMGFGNRMGNVVEGEYMSGDPWTYVGHNMPVNKVFDGEYKLGDAWIYTGQGHMLGESFMGRYTCGGQFAAFGEGEYLGRVKEGEYSEVILKTLISEEYQTADENQQVNQRDKKPKVENLQMGLLPVGAPSMEQRTTNGDQKSFDLNDSLSSPSRASKEGKKDAKKKEKVM
+>sp|Q8IZP6|R113B_HUMAN RING finger protein 113B OS=Homo sapiens OX=9606 GN=RNF113B PE=1 SV=3
+MAAPPSPGRTADQADQVCTFLFKKPGRKGAAGLRKRPACDPEHGESSSSGDEGDTVAQPPRVAPRPRGLHSWQKAAHGDRRGEEAAPESLDVVYRSTRSAKPVGPEDMGATADFEQDTEKEHHTPTILKCSQRVQEALRGREHDHIYRGIHSYLRYLKPKDTSMGNSSSGMARKGPIRAPGHLRATVRWDYQPDICKDYKETGFCGFGDSCKFLHDRSDYKLGWEIERELEEGRYCICEDENHEVGSEEEEIPFRCFICRQAFQNPVVTKCRHYFCESCALEHFRATPRCYICDQPTGGIFNPAKELMAKLQKLQAAEGKKR
+>DECOY_sp|Q8IZP6|R113B_HUMAN RING finger protein 113B OS=Homo sapiens OX=9606 GN=RNF113B PE=1 SV=3
+RKKGEAAQLKQLKAMLEKAPNFIGGTPQDCIYCRPTARFHELACSECFYHRCKTVVPNQFAQRCIFCRFPIEEEESGVEHNEDECICYRGEELEREIEWGLKYDSRDHLFKCSDGFGCFGTEKYDKCIDPQYDWRVTARLHGPARIPGKRAMGSSSNGMSTDKPKLYRLYSHIGRYIHDHERGRLAEQVRQSCKLITPTHHEKETDQEFDATAGMDEPGVPKASRTSRYVVDLSEPAAEEGRRDGHAAKQWSHLGRPRPAVRPPQAVTDGEDGSSSSEGHEPDCAPRKRLGAAGKRGPKKFLFTCVQDAQDATRGPSPPAAM
+>sp|Q6NVV1|R13P3_HUMAN Putative 60S ribosomal protein L13a protein RPL13AP3 OS=Homo sapiens OX=9606 GN=RPL13AP3 PE=5 SV=1
+MLRHKTKRGHASLDCLKVFDGIPPPYDKKKRMVVPAALKVVRLKPTRKFALLGRQAQEVRWKYQAVTATLEEKRKEKAKIHYWKKKQLMRLRKQAEKNVKKN
+>DECOY_sp|Q6NVV1|R13P3_HUMAN Putative 60S ribosomal protein L13a protein RPL13AP3 OS=Homo sapiens OX=9606 GN=RPL13AP3 PE=5 SV=1
+NKKVNKEAQKRLRMLQKKKWYHIKAKEKRKEELTATVAQYKWRVEQAQRGLLAFKRTPKLRVVKLAAPVVMRKKKDYPPPIGDFVKLCDLSAHGRKTKHRLM
+>sp|P50876|R144A_HUMAN E3 ubiquitin-protein ligase RNF144A OS=Homo sapiens OX=9606 GN=RNF144A PE=1 SV=2
+MTTTRYRPTWDLALDPLVSCKLCLGEYPVEQMTTIAQCQCIFCTLCLKQYVELLIKEGLETAISCPDAACPKQGHLQENEIECMVAAEIMQRYKKLQFEREVLFDPCRTWCPASTCQAVCQLQDVGLQTPQPVQCKACRMEFCSTCKASWHPGQGCPETMPITFLPGETSAAFKMEEDDAPIKRCPKCKVYIERDEGCAQMMCKNCKHAFCWYCLESLDDDFLLIHYDKGPCRNKLGHSRASVIWHRTQVVGIFAGFGLLLLVASPFLLLATPFVLCCKCKCSKGDDDPLPT
+>DECOY_sp|P50876|R144A_HUMAN E3 ubiquitin-protein ligase RNF144A OS=Homo sapiens OX=9606 GN=RNF144A PE=1 SV=2
+TPLPDDDGKSCKCKCCLVFPTALLLFPSAVLLLLGFGAFIGVVQTRHWIVSARSHGLKNRCPGKDYHILLFDDDLSELCYWCFAHKCNKCMMQACGEDREIYVKCKPCRKIPADDEEMKFAASTEGPLFTIPMTEPCGQGPHWSAKCTSCFEMRCAKCQVPQPTQLGVDQLQCVAQCTSAPCWTRCPDFLVEREFQLKKYRQMIEAAVMCEIENEQLHGQKPCAADPCSIATELGEKILLEVYQKLCLTCFICQCQAITTMQEVPYEGLCLKCSVLPDLALDWTPRYRTTTM
+>sp|Q7Z419|R144B_HUMAN E3 ubiquitin-protein ligase RNF144B OS=Homo sapiens OX=9606 GN=RNF144B PE=1 SV=1
+MGSAGRLHYLAMTAENPTPGDLAPAPLITCKLCLCEQSLDKMTTLQECQCIFCTACLKQYMQLAIREGCGSPITCPDMVCLNHGTLQEAEIACLVPVDQFQLYQRLKFEREVHLDPYRTWCPVADCQTVCPVASSDPGQPVLVECPSCHLKFCSCCKDAWHAEVSCRDSQPIVLPTEHRALFGTDAEAPIKQCPVCRVYIERNEGCAQMMCKNCKHTFCWYCLQNLDNDIFLRHYDKGPCRNKLGHSRASVMWNRTQVVGILVGLGIIALVTSPLLLLASPCIICCVCKSCRGKKKKHDPSTT
+>DECOY_sp|Q7Z419|R144B_HUMAN E3 ubiquitin-protein ligase RNF144B OS=Homo sapiens OX=9606 GN=RNF144B PE=1 SV=1
+TTSPDHKKKKGRCSKCVCCIICPSALLLLPSTVLAIIGLGVLIGVVQTRNWMVSARSHGLKNRCPGKDYHRLFIDNDLNQLCYWCFTHKCNKCMMQACGENREIYVRCVPCQKIPAEADTGFLARHETPLVIPQSDRCSVEAHWADKCCSCFKLHCSPCEVLVPQGPDSSAVPCVTQCDAVPCWTRYPDLHVEREFKLRQYLQFQDVPVLCAIEAEQLTGHNLCVMDPCTIPSGCGERIALQMYQKLCATCFICQCEQLTTMKDLSQECLCLKCTILPAPALDGPTPNEATMALYHLRGASGM
+>sp|A8MTL3|R212B_HUMAN RING finger protein 212B OS=Homo sapiens OX=9606 GN=RNF212B PE=2 SV=1
+MDWFHCNQCFRKDGAHFFVTSCGHIFCKKCVTLEKCAVCGTACKHLALSDNLKPQEKMFFKSPVETALQYFSHISQVWSFQKKQTDLLIAFYKHRITKLETAMQEAQQALVSQDKELSVLRKENGELKKFLAILKESPSRYQGSRSITPRPVGITSPSQSVTPRPSFQHSSQVVSRSSSAESIPYREAGFGSLGQGGRGLQGRRTPRDSYNETPSPASTHSLSYRTSSASSGQGIFSFRPSPNGHSGHTRVLTPNNFAQRESTTTLESLPSFQLPVLQTLYQQRRHMGLPSGREAWTTSR
+>DECOY_sp|A8MTL3|R212B_HUMAN RING finger protein 212B OS=Homo sapiens OX=9606 GN=RNF212B PE=2 SV=1
+RSTTWAERGSPLGMHRRQQYLTQLVPLQFSPLSELTTTSERQAFNNPTLVRTHGSHGNPSPRFSFIGQGSSASSTRYSLSHTSAPSPTENYSDRPTRRGQLGRGGQGLSGFGAERYPISEASSSRSVVQSSHQFSPRPTVSQSPSTIGVPRPTISRSGQYRSPSEKLIALFKKLEGNEKRLVSLEKDQSVLAQQAEQMATELKTIRHKYFAILLDTQKKQFSWVQSIHSFYQLATEVPSKFFMKEQPKLNDSLALHKCATGCVACKELTVCKKCFIHGCSTVFFHAGDKRFCQNCHFWDM
+>sp|Q59GN2|R39L5_HUMAN Putative 60S ribosomal protein L39-like 5 OS=Homo sapiens OX=9606 GN=RPL39P5 PE=5 SV=2
+MSSHKTFKIKQFLAKKQKQNRPIPQWIRMKTGNKIRYNSKRRHWKRTKLGL
+>DECOY_sp|Q59GN2|R39L5_HUMAN Putative 60S ribosomal protein L39-like 5 OS=Homo sapiens OX=9606 GN=RPL39P5 PE=5 SV=2
+LGLKTRKWHRRKSNYRIKNGTKMRIWQPIPRNQKQKKALFQKIKFTKHSSM
+>sp|Q9Y3T6|R3HC1_HUMAN R3H and coiled-coil domain-containing protein 1 OS=Homo sapiens OX=9606 GN=R3HCC1 PE=1 SV=3
+MALLCLDGVFLSSAENDFVHRIQEELDRFLLQKQLSKVLLFPPLSSRLRYLIHRTAENFDLLSSFSVGEGWKRRTVICHQDIRVPSSDGLSGPCRAPASCPSRYHGPRPISNQGAAAVPRGARAGRWYRGRKPDQPLYVPRVLRRQEEWGLTSTSVLKREAPAGRDPEEPGDVGAGDPNSDQGLPVLMTQGTEDLKGPGQRCENEPLLDPVGPEPLGPESQSGKGDMVEMATRFGSTLQLDLEKGKESLLEKRLVAEEEEDEEEVEEDGPSSCSEDDYSELLQEITDNLTKKEIQIEKIHLDTSSFVEELPGEKDLAHVVEIYDFEPALKTEDLLATFSEFQEKGFRIQWVDDTHALGIFPCLASAAEALTREFSVLKIRPLTQGTKQSKLKALQRPKLLRLVKERPQTNATVARRLVARALGLQHKKKERPAVRGPLPP
+>DECOY_sp|Q9Y3T6|R3HC1_HUMAN R3H and coiled-coil domain-containing protein 1 OS=Homo sapiens OX=9606 GN=R3HCC1 PE=1 SV=3
+PPLPGRVAPREKKKHQLGLARAVLRRAVTANTQPREKVLRLLKPRQLAKLKSQKTGQTLPRIKLVSFERTLAEAASALCPFIGLAHTDDVWQIRFGKEQFESFTALLDETKLAPEFDYIEVVHALDKEGPLEEVFSSTDLHIKEIQIEKKTLNDTIEQLLESYDDESCSSPGDEEVEEEDEEEEAVLRKELLSEKGKELDLQLTSGFRTAMEVMDGKGSQSEPGLPEPGVPDLLPENECRQGPGKLDETGQTMLVPLGQDSNPDGAGVDGPEEPDRGAPAERKLVSTSTLGWEEQRRLVRPVYLPQDPKRGRYWRGARAGRPVAAAGQNSIPRPGHYRSPCSAPARCPGSLGDSSPVRIDQHCIVTRRKWGEGVSFSSLLDFNEATRHILYRLRSSLPPFLLVKSLQKQLLFRDLEEQIRHVFDNEASSLFVGDLCLLAM
+>sp|Q09MP3|R51A2_HUMAN RAD51-associated protein 2 OS=Homo sapiens OX=9606 GN=RAD51AP2 PE=1 SV=1
+MSLPQPTPRMAELRKPTSSLTPPEDPDSQPPSSKRLCLEEPGGVFKAGWRLPLVPRLSEAEKVWELSPRPFKGLLVSTNAIFDNSTDSCVEKSVSGKQICNLKCSNLKFQMSSCLQSPPSQSPDSDLRASGRSEAGLHDREAFSVHRSNSSKAGVSQLLPSTSIHDIHGIRNENRKQQFVQGRDNVHKENPFLDVTFYKETKSPFHEIKNRCKANSVVPSNKRENNISSSVLKISKSQNQPSLEIAKPSYFRDSGTISVPQFPMDLNSKMSSVYLKEIAKKKNDKKEAYVRDFTNIYWSQNRPDVKKQKLQNDKKTVEAENIFSKCYENDYPSLSSQNTCKRKDLISSNYCNCSSIQCNVRDSRKNFAILENANWEEAECLDSYVLTRLEKSQNWDCNVRHILRRNRGNCWIINNCKTKCENMKKTEEKWNWLLLLEIDLLSKEDYHCAKVINAYEEQSKLLVREILGSQTALITTVWLNGKGENDNTLQLRYNTTQKVFHVNNPFESFIIEIFYFHKSISGNKKDNSILTCCNILKCKKQIGIIGIQNLITRNMNTNIKNGILSIYLQDSVSEPLDILLKTNIAFLLNNFDSLTRIENDFELEEECIFKCMLYLKYPKNIVENHTAYLVKILTSSRLLEDNMKPMLKKRKLFRTEQVFEKSKKKLINSFSMTTQNTGFPIFETYEKIPLLMDFDDMDEISLIREITCQNMSCPQQVVNVENWAHYNSSTVKAHGNSCPQFIQNNRGYINENFYEVNMHSQDLNMERKQGHNKISNFDCEHIFEDLCNVRQQAIPASHNIIHNEETHTTSITQVLNFWNLLSEIEEKKYDLILKEEVKVTAESLTNSCQVHKDTKIEKEEKDSFFPMDDMFSVQSVSLISKEVNVEENKYVNQNYVTNTNEYESILPEREIANSKDFHRKNDSALYINHQFETGLSEGNDECFQDLAAKYLSTEALTIVKDFEMKRKFDLVLEELRMFHEISRENELLSTVETNNGQENYFGENDAEKVKMEIEKDLKMVVVNKIRASSSFHDTIAGPNMGKSHQSLFKWKTVPNNGEQEVPNESCYPSRSEEELLYSTSEKDCETPLPKRPAFLPDECKEEFNYLLRGGSHFPHGISRVRPLKTCSRPIRIGLSRKARIKQLHPYLKQMCYGNLKENF
+>DECOY_sp|Q09MP3|R51A2_HUMAN RAD51-associated protein 2 OS=Homo sapiens OX=9606 GN=RAD51AP2 PE=1 SV=1
+FNEKLNGYCMQKLYPHLQKIRAKRSLGIRIPRSCTKLPRVRSIGHPFHSGGRLLYNFEEKCEDPLFAPRKPLPTECDKESTSYLLEEESRSPYCSENPVEQEGNNPVTKWKFLSQHSKGMNPGAITDHFSSSARIKNVVVMKLDKEIEMKVKEADNEGFYNEQGNNTEVTSLLENERSIEHFMRLEELVLDFKRKMEFDKVITLAETSLYKAALDQFCEDNGESLGTEFQHNIYLASDNKRHFDKSNAIEREPLISEYENTNTVYNQNVYKNEEVNVEKSILSVSQVSFMDDMPFFSDKEEKEIKTDKHVQCSNTLSEATVKVEEKLILDYKKEEIESLLNWFNLVQTISTTHTEENHIINHSAPIAQQRVNCLDEFIHECDFNSIKNHGQKREMNLDQSHMNVEYFNENIYGRNNQIFQPCSNGHAKVTSSNYHAWNEVNVVQQPCSMNQCTIERILSIEDMDDFDMLLPIKEYTEFIPFGTNQTTMSFSNILKKKSKEFVQETRFLKRKKLMPKMNDELLRSSTLIKVLYATHNEVINKPYKLYLMCKFICEEELEFDNEIRTLSDFNNLLFAINTKLLIDLPESVSDQLYISLIGNKINTNMNRTILNQIGIIGIQKKCKLINCCTLISNDKKNGSISKHFYFIEIIFSEFPNNVHFVKQTTNYRLQLTNDNEGKGNLWVTTILATQSGLIERVLLKSQEEYANIVKACHYDEKSLLDIELLLLWNWKEETKKMNECKTKCNNIIWCNGRNRRLIHRVNCDWNQSKELRTLVYSDLCEAEEWNANELIAFNKRSDRVNCQISSCNCYNSSILDKRKCTNQSSLSPYDNEYCKSFINEAEVTKKDNQLKQKKVDPRNQSWYINTFDRVYAEKKDNKKKAIEKLYVSSMKSNLDMPFQPVSITGSDRFYSPKAIELSPQNQSKSIKLVSSSINNERKNSPVVSNAKCRNKIEHFPSKTEKYFTVDLFPNEKHVNDRGQVFQQKRNENRIGHIDHISTSPLLQSVGAKSSNSRHVSFAERDHLGAESRGSARLDSDPSQSPPSQLCSSMQFKLNSCKLNCIQKGSVSKEVCSDTSNDFIANTSVLLGKFPRPSLEWVKEAESLRPVLPLRWGAKFVGGPEELCLRKSSPPQSDPDEPPTLSSTPKRLEAMRPTPQPLSM
+>sp|Q9Y620|RA54B_HUMAN DNA repair and recombination protein RAD54B OS=Homo sapiens OX=9606 GN=RAD54B PE=1 SV=1
+MRRSAAPSQLQGNSFKKPKFIPPGRSNPGLNEEITKLNPDIKLFEGVAINNTFLPSQNDLRICSLNLPSEESTREINNRDNCSGKYCFEAPTLATLDPPHTVHSAPKEVAVSKEQEEKSDSLVKYFSVVWCKPSKKKHKKWEGDAVLIVKGKSFILKNLEGKDIGRGIGYKFKELEKIEEGQTLMICGKEIEVMGVISPDDFSSGRCFQLGGGSTAISHSSQVARKCFSNPFKSVCKPSSKENRQNDFQNCKPRHDPYTPNSLVMPRPDKNHQWVFNKNCFPLVDVVIDPYLVYHLRPHQKEGIIFLYECVMGMRMNGRCGAILADEMGLGKTLQCISLIWTLQCQGPYGGKPVIKKTLIVTPGSLVNNWKKEFQKWLGSERIKIFTVDQDHKVEEFIKSIFYSVLIISYEMLLRSLDQIKNIKFDLLICDEGHRLKNSAIKTTTALISLSCEKRIILTGTPIQNDLQEFFALIDFVNPGILGSLSSYRKIYEEPIILSREPSASEEEKELGERRAAELTCLTGLFILRRTQEIINKYLPPKIENVVFCRPGALQIELYRKLLNSQVVRFCLQGLLENSPHLICIGALKKLCNHPCLLFNSIKEKECSSTCDKNEEKSLYKGLLSVFPADYNPLLFTEKESGKLQVLSKLLAVIHELRPTEKVVLVSNYTQTLNILQEVCKRHGYAYTRLDGQTPISQRQQIVDGFNSQHSSFFIFLLSSKAGGVGLNLIGGSHLILYDIDWNPATDIQAMSRVWRDGQKYPVHIYRLLTTGTIEEKIYQRQISKQGLCGAVVDLTKTSEHIQFSVEELKNLFTLHESSDCVTHDLLDCECTGEEVHTGDSLEKFIVSRDCQLGPHHQKSNSLKPLSMSQLKQWKHFSGDHLNLTDPFLERITENVSFIFQNITTQATGT
+>DECOY_sp|Q9Y620|RA54B_HUMAN DNA repair and recombination protein RAD54B OS=Homo sapiens OX=9606 GN=RAD54B PE=1 SV=1
+TGTAQTTINQFIFSVNETIRELFPDTLNLHDGSFHKWQKLQSMSLPKLSNSKQHHPGLQCDRSVIFKELSDGTHVEEGTCECDLLDHTVCDSSEHLTFLNKLEEVSFQIHESTKTLDVVAGCLGQKSIQRQYIKEEITGTTLLRYIHVPYKQGDRWVRSMAQIDTAPNWDIDYLILHSGGILNLGVGGAKSSLLFIFFSSHQSNFGDVIQQRQSIPTQGDLRTYAYGHRKCVEQLINLTQTYNSVLVVKETPRLEHIVALLKSLVQLKGSEKETFLLPNYDAPFVSLLGKYLSKEENKDCTSSCEKEKISNFLLCPHNCLKKLAGICILHPSNELLGQLCFRVVQSNLLKRYLEIQLAGPRCFVVNEIKPPLYKNIIEQTRRLIFLGTLCTLEAARREGLEKEEESASPERSLIIPEEYIKRYSSLSGLIGPNVFDILAFFEQLDNQIPTGTLIIRKECSLSILATTTKIASNKLRHGEDCILLDFKINKIQDLSRLLMEYSIILVSYFISKIFEEVKHDQDVTFIKIRESGLWKQFEKKWNNVLSGPTVILTKKIVPKGGYPGQCQLTWILSICQLTKGLGMEDALIAGCRGNMRMGMVCEYLFIIGEKQHPRLHYVLYPDIVVDVLPFCNKNFVWQHNKDPRPMVLSNPTYPDHRPKCNQFDNQRNEKSSPKCVSKFPNSFCKRAVQSSHSIATSGGGLQFCRGSSFDDPSIVGMVEIEKGCIMLTQGEEIKELEKFKYGIGRGIDKGELNKLIFSKGKVILVADGEWKKHKKKSPKCWVVSFYKVLSDSKEEQEKSVAVEKPASHVTHPPDLTALTPAEFCYKGSCNDRNNIERTSEESPLNLSCIRLDNQSPLFTNNIAVGEFLKIDPNLKTIEENLGPNSRGPPIFKPKKFSNGQLQSPAASRRM
+>sp|Q96JH8|RADIL_HUMAN Ras-associating and dilute domain-containing protein OS=Homo sapiens OX=9606 GN=RADIL PE=1 SV=5
+MFYGTHFIMSPPTKSKLKRQSQLLSSMLSRTLSYKYRDLDSTFSSLGASDDPAELSTQLSAPGVLKVFGDSVCTGTHYKSVLATGTSSARELVKEALERYALDPRQAGQYVLCDVVGQAGDAGQRWQARCFRVFGDSEKPLLIQELWKPREGLSRRFELRKRSDVEELAAKEVDTITAGINAQARRLQRSRAKGTPTPALGDARSSPPPRLRRTVSETSLSPVNALPAAAQGPEEPGPDAMRYSLYQSPHLLLLQGYSQQHDSLVYVLNRDRHTVGQRTPSSKPSISLSAPDILPLHCTIRRQPLPDSGQAAGRLVLEPIPGAHISVNFSEVGHRTVVLHHGDLLSLGLYYLLLFKDPAQAQPLPARALARLRAVPQSCRLCGAALGARGAASPTQAALPRRQQLLLEFEPHLEDTLLQRIMTLIEPGGDDHKLTPAFLLCLCIQHSATHFQPGTFGQLLLKIARLIRETVWEKTKELAEKQAQLQEPISLASCAMADLVPDLQPILFWMSNSIELLYFIQQKCPLYMQSMEEQLDITGSKESLFSCTLTASEEAMAVLEEVVLYAFQQCVYYVSKSLYICLPALLECPPFQTERRESWSSAPELPEELRRVVSVYQAALDLLRQLQVHPEVASQMLAYLFFFSGTLLLNQLLDRGPSLSCFHWPRGVQACARLQQLLEWMRSAGFGAAGEHFFQKLSCTLNLLATPRAQLIQMSWTALRAAFPALSPAQLHRLLTHYQLASAMGPMSTWEPGAQDSPEAFRSEDVLESYENPPPIVLPSDGFQVDLEANCLDDSIYQHLLYVRHFLWGLRSRASPGSPGRPGSGASQPVCPEGMHHVVLDGHLEAPSCPLAPRDPGPAAREVAPERTLPLRGAPWAQAPPGRQPSRGGSQAGPPHTDSSCLLTPPSTPLGPEPGDPDWPESGGPCGKALPERQRNGLSGLRGAAPEGDSAALAEESPPAPSSRSSSTEDFCYVFTVELERGPSGLGMGLIDGMHTHLGAPGLYIQTLLPGSPAAADGRLSLGDRILEVNGSSLLGLGYLRAVDLIRHGGKKMRFLVAKSDVETAKKIHFRTPPL
+>DECOY_sp|Q96JH8|RADIL_HUMAN Ras-associating and dilute domain-containing protein OS=Homo sapiens OX=9606 GN=RADIL PE=1 SV=5
+LPPTRFHIKKATEVDSKAVLFRMKKGGHRILDVARLYGLGLLSSGNVELIRDGLSLRGDAAAPSGPLLTQIYLGPAGLHTHMGDILGMGLGSPGRELEVTFVYCFDETSSSRSSPAPPSEEALAASDGEPAAGRLGSLGNRQREPLAKGCPGGSEPWDPDGPEPGLPTSPPTLLCSSDTHPPGAQSGGRSPQRGPPAQAWPAGRLPLTREPAVERAAPGPDRPALPCSPAELHGDLVVHHMGEPCVPQSAGSGPRGPSGPSARSRLGWLFHRVYLLHQYISDDLCNAELDVQFGDSPLVIPPPNEYSELVDESRFAEPSDQAGPEWTSMPGMASALQYHTLLRHLQAPSLAPFAARLATWSMQILQARPTALLNLTCSLKQFFHEGAAGFGASRMWELLQQLRACAQVGRPWHFCSLSPGRDLLQNLLLTGSFFFLYALMQSAVEPHVQLQRLLDLAAQYVSVVRRLEEPLEPASSWSERRETQFPPCELLAPLCIYLSKSVYYVCQQFAYLVVEELVAMAEESATLTCSFLSEKSGTIDLQEEMSQMYLPCKQQIFYLLEISNSMWFLIPQLDPVLDAMACSALSIPEQLQAQKEALEKTKEWVTERILRAIKLLLQGFTGPQFHTASHQICLCLLFAPTLKHDDGGPEILTMIRQLLTDELHPEFELLLQQRRPLAAQTPSAAGRAGLAAGCLRCSQPVARLRALARAPLPQAQAPDKFLLLYYLGLSLLDGHHLVVTRHGVESFNVSIHAGPIPELVLRGAAQGSDPLPQRRITCHLPLIDPASLSISPKSSPTRQGVTHRDRNLVYVLSDHQQSYGQLLLLHPSQYLSYRMADPGPEEPGQAAAPLANVPSLSTESVTRRLRPPPSSRADGLAPTPTGKARSRQLRRAQANIGATITDVEKAALEEVDSRKRLEFRRSLGERPKWLEQILLPKESDGFVRFCRAQWRQGADGAQGVVDCLVYQGAQRPDLAYRELAEKVLERASSTGTALVSKYHTGTCVSDGFVKLVGPASLQTSLEAPDDSAGLSSFTSDLDRYKYSLTRSLMSSLLQSQRKLKSKTPPSMIFHTGYFM
+>sp|Q7Z5J4|RAI1_HUMAN Retinoic acid-induced protein 1 OS=Homo sapiens OX=9606 GN=RAI1 PE=1 SV=2
+MQSFRERCGFHGKQQNYQQTSQETSRLENYRQPSQAGLSCDRQRLLAKDYYNPQPYPSYEGGAGTPSGTAAAVAADKYHRGSKALPTQQGLQGRPAFPGYGVQDSSPYPGRYAGEESLQAWGAPQPPPPQPQPLPAGVAKYDENLMKKTAVPPSRQYAEQGAQVPFRTHSLHVQQPPPPQQPLAYPKLQRQKLQNDIASPLPFPQGTHFPQHSQSFPTSSTYSSSVQGGGQGAHSYKSCTAPTAQPHDRPLTASSSLAPGQRVQNLHAYQSGRLSYDQQQQQQQQQQQQQQALQSRHHAQETLHYQNLAKYQHYGQQGQGYCQPDAAVRTPEQYYQTFSPSSSHSPARSVGRSPSYSSTPSPLMPNLENFPYSQQPLSTGAFPAGITDHSHFMPLLNPSPTDATSSVDTQAGNCKPLQKDKLPENLLSDLSLQSLTALTSQVENISNTVQQLLLSKAAVPQKKGVKNLVSRTPEQHKSQHCSPEGSGYSAEPAGTPLSEPPSSTPQSTHAEPQEADYLSGSEDPLERSFLYCNQARGSPARVNSNSKAKPESVSTCSVTSPDDMSTKSDDSFQSLHGSLPLDSFSKFVAGERDCPRLLLSALAQEDLASEILGLQEAIGEKADKAWAEAPSLVKDSSKPPFSLENHSACLDSVAKSAWPRPGEPEALPDSLQLDKGGNAKDFSPGLFEDPSVAFATPDPKKTTGPLSFGTKPTLGVPAPDPTTAAFDCFPDTTAASSADSANPFAWPEENLGDACPRWGLHPGELTKGLEQGGKASDGISKGDTHEASACLGFQEEDPPGEKVASLPGDFKQEEVGGVKEEAGGLLQCPEVAKADRWLEDSRHCCSTADFGDLPLLPPTSRKEDLEAEEEYSSLCELLGSPEQRPGMQDPLSPKAPLICTKEEVEEVLDSKAGWGSPCHLSGESVILLGPTVGTESKVQSWFESSLSHMKPGEEGPDGERAPGDSTTSDASLAQKPNKPAVPEAPIAKKEPVPRGKSLRSRRVHRGLPEAEDSPCRAPVLPKDLLLPESCTGPPQGQMEGAGAPGRGASEGLPRMCTRSLTALSEPRTPGPPGLTTTPAPPDKLGGKQRAAFKSGKRVGKPSPKAASSPSNPAALPVASDSSPMGSKTKETDSPSTPGKDQRSMILRSRTKTQEIFHSKRRRPSEGRLPNCRATKKLLDNSHLPATFKVSSSPQKEGRVSQRARVPKPGAGSKLSDRPLHALKRKSAFMAPVPTKKRNLVLRSRSSSSSNASGNGGDGKEERPEGSPTLFKRMSSPKKAKPTKGNGEPATKLPPPETPDACLKLASRAAFQGAMKTKVLPPRKGRGLKLEAIVQKITSPSLKKFACKAPGASPGNPLSPSLSDKDRGLKGAGGSPVGVEEGLVNVGTGQKLPTSGADPLCRNPTNRSLKGKLMNSKKLSSTDCFKTEAFTSPEALQPGGTALAPKKRSRKGRAGAHGLSKGPLEKRPYLGPALLLTPRDRASGTQGASEDNSGGGGKKPKMEELGLASQPPEGRPCQPQTRAQKQPGHTNYSSYSKRKRLTRGRAKNTTSSPCKGRAKRRRQQQVLPLDPAEPEIRLKYISSCKRLRSDSRTPAFSPFVRVEKRDAFTTICTVVNSPGDAPKPHRKPSSSASSSSSSSSFSLDAAGASLATLPGGSILQPRPSLPLSSTMHLGPVVSKALSTSCLVCCLCQNPANFKDLGDLCGPYYPEHCLPKKKPKLKEKVRPEGTCEEASLPLERTLKGPECAAAATAGKPPRPDGPADPAKQGPLRTSARGLSRRLQSCYCCDGREDGGEEAAPADKGRKHECSKEAPAEPGGEAQEHWVHEACAVWTGGVYLVAGKLFGLQEAMKVAVDMMCSSCQEAGATIGCCHKGCLHTYHYPCASDAGCIFIEENFSLKCPKHKRLP
+>DECOY_sp|Q7Z5J4|RAI1_HUMAN Retinoic acid-induced protein 1 OS=Homo sapiens OX=9606 GN=RAI1 PE=1 SV=2
+PLRKHKPCKLSFNEEIFICGADSACPYHYTHLCGKHCCGITAGAEQCSSCMMDVAVKMAEQLGFLKGAVLYVGGTWVACAEHVWHEQAEGGPEAPAEKSCEHKRGKDAPAAEEGGDERGDCCYCSQLRRSLGRASTRLPGQKAPDAPGDPRPPKGATAAAACEPGKLTRELPLSAEECTGEPRVKEKLKPKKKPLCHEPYYPGCLDGLDKFNAPNQCLCCVLCSTSLAKSVVPGLHMTSSLPLSPRPQLISGGPLTALSAGAADLSFSSSSSSSSASSSPKRHPKPADGPSNVVTCITTFADRKEVRVFPSFAPTRSDSRLRKCSSIYKLRIEPEAPDLPLVQQQRRRKARGKCPSSTTNKARGRTLRKRKSYSSYNTHGPQKQARTQPQCPRGEPPQSALGLEEMKPKKGGGGSNDESAGQTGSARDRPTLLLAPGLYPRKELPGKSLGHAGARGKRSRKKPALATGGPQLAEPSTFAETKFCDTSSLKKSNMLKGKLSRNTPNRCLPDAGSTPLKQGTGVNVLGEEVGVPSGGAGKLGRDKDSLSPSLPNGPSAGPAKCAFKKLSPSTIKQVIAELKLGRGKRPPLVKTKMAGQFAARSALKLCADPTEPPPLKTAPEGNGKTPKAKKPSSMRKFLTPSGEPREEKGDGGNGSANSSSSSRSRLVLNRKKTPVPAMFASKRKLAHLPRDSLKSGAGPKPVRARQSVRGEKQPSSSVKFTAPLHSNDLLKKTARCNPLRGESPRRRKSHFIEQTKTRSRLIMSRQDKGPTSPSDTEKTKSGMPSSDSAVPLAAPNSPSSAAKPSPKGVRKGSKFAARQKGGLKDPPAPTTTLGPPGPTRPESLATLSRTCMRPLGESAGRGPAGAGEMQGQPPGTCSEPLLLDKPLVPARCPSDEAEPLGRHVRRSRLSKGRPVPEKKAIPAEPVAPKNPKQALSADSTTSDGPAREGDPGEEGPKMHSLSSEFWSQVKSETGVTPGLLIVSEGSLHCPSGWGAKSDLVEEVEEKTCILPAKPSLPDQMGPRQEPSGLLECLSSYEEEAELDEKRSTPPLLPLDGFDATSCCHRSDELWRDAKAVEPCQLLGGAEEKVGGVEEQKFDGPLSAVKEGPPDEEQFGLCASAEHTDGKSIGDSAKGGQELGKTLEGPHLGWRPCADGLNEEPWAFPNASDASSAATTDPFCDFAATTPDPAPVGLTPKTGFSLPGTTKKPDPTAFAVSPDEFLGPSFDKANGGKDLQLSDPLAEPEGPRPWASKAVSDLCASHNELSFPPKSSDKVLSPAEAWAKDAKEGIAEQLGLIESALDEQALASLLLRPCDREGAVFKSFSDLPLSGHLSQFSDDSKTSMDDPSTVSCTSVSEPKAKSNSNVRAPSGRAQNCYLFSRELPDESGSLYDAEQPEAHTSQPTSSPPESLPTGAPEASYGSGEPSCHQSKHQEPTRSVLNKVGKKQPVAAKSLLLQQVTNSINEVQSTLATLSQLSLDSLLNEPLKDKQLPKCNGAQTDVSSTADTPSPNLLPMFHSHDTIGAPFAGTSLPQQSYPFNELNPMLPSPTSSYSPSRGVSRAPSHSSSPSFTQYYQEPTRVAADPQCYGQGQQGYHQYKALNQYHLTEQAHHRSQLAQQQQQQQQQQQQQQDYSLRGSQYAHLNQVRQGPALSSSATLPRDHPQATPATCSKYSHAGQGGGQVSSSYTSSTPFSQSHQPFHTGQPFPLPSAIDNQLKQRQLKPYALPQQPPPPQQVHLSHTRFPVQAGQEAYQRSPPVATKKMLNEDYKAVGAPLPQPQPPPPQPAGWAQLSEEGAYRGPYPSSDQVGYGPFAPRGQLGQQTPLAKSGRHYKDAAVAAATGSPTGAGGEYSPYPQPNYYDKALLRQRDCSLGAQSPQRYNELRSTEQSTQQYNQQKGHFGCRERFSQM
+>sp|Q86SE5|RALYL_HUMAN RNA-binding Raly-like protein OS=Homo sapiens OX=9606 GN=RALYL PE=1 SV=2
+MTGKTQTSNVTNKNDPKSINSRVFIGNLNTAIVKKVDIEAIFSKYGKIVGCSVHKGYAFVQYMSERHARAAVAGENARVIAGQPLDINMAGEPKPYRPKPGNKRPLSALYRLESKEPFLSVGGYVFDYDYYRDDFYNRLFDYHGRVPPPPRAVIPLKRPRVAVTTTRRGKGVFSMKGGSRSTASGSTGSKLKSDELQTIKKELTQIKTKIDSLLGRLEKIEKQQKAEAEAQKKQLEESLVLIQEECVSEIADHSTEEPAEGGPDADGEEMTDGIEEDFDEDGGHELFLQIK
+>DECOY_sp|Q86SE5|RALYL_HUMAN RNA-binding Raly-like protein OS=Homo sapiens OX=9606 GN=RALYL PE=1 SV=2
+KIQLFLEHGGDEDFDEEIGDTMEEGDADPGGEAPEETSHDAIESVCEEQILVLSEELQKKQAEAEAKQQKEIKELRGLLSDIKTKIQTLEKKITQLEDSKLKSGTSGSATSRSGGKMSFVGKGRRTTTVAVRPRKLPIVARPPPPVRGHYDFLRNYFDDRYYDYDFVYGGVSLFPEKSELRYLASLPRKNGPKPRYPKPEGAMNIDLPQGAIVRANEGAVAARAHRESMYQVFAYGKHVSCGVIKGYKSFIAEIDVKKVIATNLNGIFVRSNISKPDNKNTVNSTQTKGTM
+>sp|Q9BTL3|RAM_HUMAN RNMT-activating mini protein OS=Homo sapiens OX=9606 GN=FAM103A1 PE=1 SV=1
+MTDTAEAVPKFEEMFASRFTENDKEYQEYLKRPPESPPIVEEWNSRAGGNQRNRGNRLQDNRQFRGRDNRWGWPSDNRSNQWHGRSWGNNYPQHRQEPYYPQQYGHYGYNQRPPYGYY
+>DECOY_sp|Q9BTL3|RAM_HUMAN RNMT-activating mini protein OS=Homo sapiens OX=9606 GN=FAM103A1 PE=1 SV=1
+YYGYPPRQNYGYHGYQQPYYPEQRHQPYNNGWSRGHWQNSRNDSPWGWRNDRGRFQRNDQLRNGRNRQNGGARSNWEEVIPPSEPPRKLYEQYEKDNETFRSAFMEEFKPVAEATDTM
+>sp|Q9H6Z4|RANB3_HUMAN Ran-binding protein 3 OS=Homo sapiens OX=9606 GN=RANBP3 PE=1 SV=1
+MADLANEEKPAIAPPVFVFQKDKGQKSPAEQKNLSDSGEEPRGEAEAPHHGTGHPESAGEHALEPPAPAGASASTPPPPAPEAQLPPFPRELAGRSAGGSSPEGGEDSDREDGNYCPPVKRERTSSLTQFPPSQSEERSSGFRLKPPTLIHGQAPSAGLPSQKPKEQQRSVLRPAVLQAPQPKALSQTVPSSGTNGVSLPADCTGAVPAASPDTAAWRSPSEAADEVCALEEKEPQKNESSNASEEEACEKKDPATQQAFVFGQNLRDRVKLINESVDEADMENAGHPSADTPTATNYFLQYISSSLENSTNSADASSNKFVFGQNMSERVLSPPKLNEVSSDANRENAAAESGSESSSQEATPEKESLAESAAAYTKATARKCLLEKVEVITGEEAESNVLQMQCKLFVFDKTSQSWVERGRGLLRLNDMASTDDGTLQSRLVMRTQGSLRLILNTKLWAQMQIDKASEKSIRITAMDTEDQGVKVFLISASSKDTGQLYAALHHRILALRSRVEQEQEAKMPAPEPGAAPSNEEDDSDDDDVLAPSGATAAGAGDEGDGQTTGST
+>DECOY_sp|Q9H6Z4|RANB3_HUMAN Ran-binding protein 3 OS=Homo sapiens OX=9606 GN=RANBP3 PE=1 SV=1
+TSGTTQGDGEDGAGAATAGSPALVDDDDSDDEENSPAAGPEPAPMKAEQEQEVRSRLALIRHHLAAYLQGTDKSSASILFVKVGQDETDMATIRISKESAKDIQMQAWLKTNLILRLSGQTRMVLRSQLTGDDTSAMDNLRLLGRGREVWSQSTKDFVFLKCQMQLVNSEAEEGTIVEVKELLCKRATAKTYAAASEALSEKEPTAEQSSSESGSEAAANERNADSSVENLKPPSLVRESMNQGFVFKNSSADASNTSNELSSSIYQLFYNTATPTDASPHGANEMDAEDVSENILKVRDRLNQGFVFAQQTAPDKKECAEEESANSSENKQPEKEELACVEDAAESPSRWAATDPSAAPVAGTCDAPLSVGNTGSSPVTQSLAKPQPAQLVAPRLVSRQQEKPKQSPLGASPAQGHILTPPKLRFGSSREESQSPPFQTLSSTRERKVPPCYNGDERDSDEGGEPSSGGASRGALERPFPPLQAEPAPPPPTSASAGAPAPPELAHEGASEPHGTGHHPAEAEGRPEEGSDSLNKQEAPSKQGKDKQFVFVPPAIAPKEENALDAM
+>sp|Q13702|RAPSN_HUMAN 43 kDa receptor-associated protein of the synapse OS=Homo sapiens OX=9606 GN=RAPSN PE=1 SV=4
+MGQDQTKQQIEKGLQLYQSNQTEKALQVWTKVLEKSSDLMGRFRVLGCLVTAHSEMGRYKEMLKFAVVQIDTARELEDADFLLESYLNLARSNEKLCEFHKTISYCKTCLGLPGTRAGAQLGGQVSLSMGNAFLGLSVFQKALESFEKALRYAHNNDDAMLECRVCCSLGSFYAQVKDYEKALFFPCKAAELVNNYGKGWSLKYRAMSQYHMAVAYRLLGRLGSAMECCEESMKIALQHGDRPLQALCLLCFADIHRSRGDLETAFPRYDSAMSIMTEIGNRLGQVQALLGVAKCWVARKALDKALDAIERAQDLAEEVGNKLSQLKLHCLSESIYRSKGLQRELRAHVVRFHECVEETELYCGLCGESIGEKNSRLQALPCSHIFHLRCLQNNGTRSCPNCRRSSMKPGFV
+>DECOY_sp|Q13702|RAPSN_HUMAN 43 kDa receptor-associated protein of the synapse OS=Homo sapiens OX=9606 GN=RAPSN PE=1 SV=4
+VFGPKMSSRRCNPCSRTGNNQLCRLHFIHSCPLAQLRSNKEGISEGCLGCYLETEEVCEHFRVVHARLERQLGKSRYISESLCHLKLQSLKNGVEEALDQAREIADLAKDLAKRAVWCKAVGLLAQVQGLRNGIETMISMASDYRPFATELDGRSRHIDAFCLLCLAQLPRDGHQLAIKMSEECCEMASGLRGLLRYAVAMHYQSMARYKLSWGKGYNNVLEAAKCPFFLAKEYDKVQAYFSGLSCCVRCELMADDNNHAYRLAKEFSELAKQFVSLGLFANGMSLSVQGGLQAGARTGPLGLCTKCYSITKHFECLKENSRALNLYSELLFDADELERATDIQVVAFKLMEKYRGMESHATVLCGLVRFRGMLDSSKELVKTWVQLAKETQNSQYLQLGKEIQQKTQDQGM
+>sp|Q99969|RARR2_HUMAN Retinoic acid receptor responder protein 2 OS=Homo sapiens OX=9606 GN=RARRES2 PE=1 SV=1
+MRRLLIPLALWLGAVGVGVAELTEAQRRGLQVALEEFHKHPPVQWAFQETSVESAVDTPFPAGIFVRLEFKLQQTSCRKRDWKKPECKVRPNGRKRKCLACIKLGSEDKVLGRLVHCPIETQVLREAEEHQETQCLRVQRAGEDPHSFYFPGQFAFSKALPRS
+>DECOY_sp|Q99969|RARR2_HUMAN Retinoic acid receptor responder protein 2 OS=Homo sapiens OX=9606 GN=RARRES2 PE=1 SV=1
+SRPLAKSFAFQGPFYFSHPDEGARQVRLCQTEQHEEAERLVQTEIPCHVLRGLVKDESGLKICALCKRKRGNPRVKCEPKKWDRKRCSTQQLKFELRVFIGAPFPTDVASEVSTEQFAWQVPPHKHFEELAVQLGRRQAETLEAVGVGVAGLWLALPILLRRM
+>sp|P01112|RASH_HUMAN GTPase HRas OS=Homo sapiens OX=9606 GN=HRAS PE=1 SV=1
+MTEYKLVVVGAGGVGKSALTIQLIQNHFVDEYDPTIEDSYRKQVVIDGETCLLDILDTAGQEEYSAMRDQYMRTGEGFLCVFAINNTKSFEDIHQYREQIKRVKDSDDVPMVLVGNKCDLAARTVESRQAQDLARSYGIPYIETSAKTRQGVEDAFYTLVREIRQHKLRKLNPPDESGPGCMSCKCVLS
+>DECOY_sp|P01112|RASH_HUMAN GTPase HRas OS=Homo sapiens OX=9606 GN=HRAS PE=1 SV=1
+SLVCKCSMCGPGSEDPPNLKRLKHQRIERVLTYFADEVGQRTKASTEIYPIGYSRALDQAQRSEVTRAALDCKNGVLVMPVDDSDKVRKIQERYQHIDEFSKTNNIAFVCLFGEGTRMYQDRMASYEEQGATDLIDLLCTEGDIVVQKRYSDEITPDYEDVFHNQILQITLASKGVGGAGVVVLKYETM
+>sp|P01111|RASN_HUMAN GTPase NRas OS=Homo sapiens OX=9606 GN=NRAS PE=1 SV=1
+MTEYKLVVVGAGGVGKSALTIQLIQNHFVDEYDPTIEDSYRKQVVIDGETCLLDILDTAGQEEYSAMRDQYMRTGEGFLCVFAINNSKSFADINLYREQIKRVKDSDDVPMVLVGNKCDLPTRTVDTKQAHELAKSYGIPFIETSAKTRQGVEDAFYTLVREIRQYRMKKLNSSDDGTQGCMGLPCVVM
+>DECOY_sp|P01111|RASN_HUMAN GTPase NRas OS=Homo sapiens OX=9606 GN=NRAS PE=1 SV=1
+MVVCPLGMCGQTGDDSSNLKKMRYQRIERVLTYFADEVGQRTKASTEIFPIGYSKALEHAQKTDVTRTPLDCKNGVLVMPVDDSDKVRKIQERYLNIDAFSKSNNIAFVCLFGEGTRMYQDRMASYEEQGATDLIDLLCTEGDIVVQKRYSDEITPDYEDVFHNQILQITLASKGVGGAGVVVLKYETM
+>sp|Q9UL26|RB22A_HUMAN Ras-related protein Rab-22A OS=Homo sapiens OX=9606 GN=RAB22A PE=1 SV=2
+MALRELKVCLLGDTGVGKSSIVWRFVEDSFDPNINPTIGASFMTKTVQYQNELHKFLIWDTAGQERFRALAPMYYRGSAAAIIVYDITKEETFSTLKNWVKELRQHGPPNIVVAIAGNKCDLIDVREVMERDAKDYADSIHAIFVETSAKNAININELFIEISRRIPSTDANLPSGGKGFKLRRQPSEPKRSCC
+>DECOY_sp|Q9UL26|RB22A_HUMAN Ras-related protein Rab-22A OS=Homo sapiens OX=9606 GN=RAB22A PE=1 SV=2
+CCSRKPESPQRRLKFGKGGSPLNADTSPIRRSIEIFLENINIANKASTEVFIAHISDAYDKADREMVERVDILDCKNGAIAVVINPPGHQRLEKVWNKLTSFTEEKTIDYVIIAAASGRYYMPALARFREQGATDWILFKHLENQYQVTKTMFSAGITPNINPDFSDEVFRWVISSKGVGTDGLLCVKLERLAM
+>sp|Q14088|RB33A_HUMAN Ras-related protein Rab-33A OS=Homo sapiens OX=9606 GN=RAB33A PE=1 SV=2
+MAQPILGHGSLQPASAAGLASLELDSSLDQYVQIRIFKIIVIGDSNVGKTCLTFRFCGGTFPDKTEATIGVDFREKTVEIEGEKIKVQVWDTAGQERFRKSMVEHYYRNVHAVVFVYDVTKMTSFTNLKMWIQECNGHAVPPLVPKVLVGNKCDLREQIQVPSNLALKFADAHNMLLFETSAKDPKESQNVESIFMCLACRLKAQKSLLYRDAERQQGKVQKLEFPQEANSKTSCPC
+>DECOY_sp|Q14088|RB33A_HUMAN Ras-related protein Rab-33A OS=Homo sapiens OX=9606 GN=RAB33A PE=1 SV=2
+CPCSTKSNAEQPFELKQVKGQQREADRYLLSKQAKLRCALCMFISEVNQSEKPDKASTEFLLMNHADAFKLALNSPVQIQERLDCKNGVLVKPVLPPVAHGNCEQIWMKLNTFSTMKTVDYVFVVAHVNRYYHEVMSKRFREQGATDWVQVKIKEGEIEVTKERFDVGITAETKDPFTGGCFRFTLCTKGVNSDGIVIIKFIRIQVYQDLSSDLELSALGAASAPQLSGHGLIPQAM
+>sp|Q15291|RBBP5_HUMAN Retinoblastoma-binding protein 5 OS=Homo sapiens OX=9606 GN=RBBP5 PE=1 SV=2
+MNLELLESFGQNYPEEADGTLDCISMALTCTFNRWGTLLAVGCNDGRIVIWDFLTRGIAKIISAHIHPVCSLCWSRDGHKLVSASTDNIVSQWDVLSGDCDQRFRFPSPILKVQYHPRDQNKVLVCPMKSAPVMLTLSDSKHVVLPVDDDSDLNVVASFDRRGEYIYTGNAKGKILVLKTDSQDLVASFRVTTGTSNTTAIKSIEFARKGSCFLINTADRIIRVYDGREILTCGRDGEPEPMQKLQDLVNRTPWKKCCFSGDGEYIVAGSARQHALYIWEKSIGNLVKILHGTRGELLLDVAWHPVRPIIASISSGVVSIWAQNQVENWSAFAPDFKELDENVEYEERESEFDIEDEDKSEPEQTGADAAEDEEVDVTSVDPIAAFCSSDEELEDSKALLYLPIAPEVEDPEENPYGPPPDAVQTSLMDEGASSEKKRQSSADGSQPPKKKPKTTNIELQGVPNDEVHPLLGVKGDGKSKKKQAGRPKGSKGKEKDSPFKPKLYKGDRGLPLEGSAKGKVQAELSQPLTAGGAISELL
+>DECOY_sp|Q15291|RBBP5_HUMAN Retinoblastoma-binding protein 5 OS=Homo sapiens OX=9606 GN=RBBP5 PE=1 SV=2
+LLESIAGGATLPQSLEAQVKGKASGELPLGRDGKYLKPKFPSDKEKGKSGKPRGAQKKKSKGDGKVGLLPHVEDNPVGQLEINTTKPKKKPPQSGDASSQRKKESSAGEDMLSTQVADPPPGYPNEEPDEVEPAIPLYLLAKSDELEEDSSCFAAIPDVSTVDVEEDEAADAGTQEPESKDEDEIDFESEREEYEVNEDLEKFDPAFASWNEVQNQAWISVVGSSISAIIPRVPHWAVDLLLEGRTGHLIKVLNGISKEWIYLAHQRASGAVIYEGDGSFCCKKWPTRNVLDQLKQMPEPEGDRGCTLIERGDYVRIIRDATNILFCSGKRAFEISKIATTNSTGTTVRFSAVLDQSDTKLVLIKGKANGTYIYEGRRDFSAVVNLDSDDDVPLVVHKSDSLTLMVPASKMPCVLVKNQDRPHYQVKLIPSPFRFRQDCDGSLVDWQSVINDTSASVLKHGDRSWCLSCVPHIHASIIKAIGRTLFDWIVIRGDNCGVALLTGWRNFTCTLAMSICDLTGDAEEPYNQGFSELLELNM
+>sp|P20742|PZP_HUMAN Pregnancy zone protein OS=Homo sapiens OX=9606 GN=PZP PE=1 SV=4
+MRKDRLLHLCLVLLLILLSASDSNSTEPQYMVLVPSLLHTEAPKKGCVLLSHLNETVTVSASLESGRENRSLFTDLVAEKDLFHCVSFTLPRISASSEVAFLSIQIKGPTQDFRKRNTVLVLNTQSLVFVQTDKPMYKPGQTVRFRVVSVDENFRPRNELIPLIYLENPRRNRIAQWQSLKLEAGINQLSFPLSSEPIQGSYRVVVQTESGGRIQHPFTVEEFVLPKFEVKVQVPKIISIMDEKVNITVCGEYTYGKPVPGLATVSLCRKLSRVLNCDKQEVCEEFSQQLNSNGCITQQVHTKMLQITNTGFEMKLRVEARIREEGTDLEVTANRISEITNIVSKLKFVKVDSHFRQGIPFFAQVLLVDGKGVPIPNKLFFISVNDANYYSNATTNEQGLAQFSINTTSISVNKLFVRVFTVHPNLCFHYSWVAEDHQGAQHTANRVFSLSGSYIHLEPVAGTLPCGHTETITAHYTLNRQAMGELSELSFHYLIMAKGVIVRSGTHTLPVESGDMKGSFALSFPVESDVAPIARMFIFAILPDGEVVGDSEKFEIENCLANKVDLSFSPAQSPPASHAHLQVAAAPQSLCALRAVDQSVLLMKPEAELSVSSVYNLLTVKDLTNFPDNVDQQEEEQGHCPRPFFIHNGAIYVPLSSNEADIYSFLKGMGLKVFTNSKIRKPKSCSVIPSVSAGAVGQGYYGAGLGVVERPYVPQLGTYNVIPLNNEQSSGPVPETVRSYFPETWIWELVAVNSSGVAEVGVTVPDTITEWKAGAFCLSEDAGLGISSTASLRAFQPFFVELTMPYSVIRGEVFTLKATVLNYLPKCIRVSVQLKASPAFLASQNTKGEESYCICGNERQTLSWTVTPKTLGNVNFSVSAEAMQSLELCGNEVVEVPEIKRKDTVIKTLLVEAEGIEQEKTFSSMTCASGANVSEQLSLKLPSNVVKESARASFSVLGDILGSAMQNIQNLLQMPYGCGEQNMVLFAPNIYVLNYLNETQQLTQEIKAKAVGYLITGYQRQLNYKHQDGSYSTFGERYGRNQGNTWLTAFVLKTFAQARSYIFIDEAHITQSLTWLSQMQKDNGCFRSSGSLLNNAIKGGVEDEATLSAYVTIALLEIPLPVTNPIVRNALFCLESAWNVAKEGTHGSHVYTKALLAYAFSLLGKQNQNREILNSLDKEAVKEDNLVHWERPQRPKAPVGHLYQTQAPSAEVEMTSYVLLAYLTAQPAPTSGDLTSATNIVKWIMKQQNAQGGFSSTQDTVVALHALSRYGAATFTRTEKTAQVTVQDSQTFSTNFQVDNNNLLLLQQISLPELPGEYVITVTGERCVYLQTSMKYNILPEKEDSPFALKVQTVPQTCDGHKAHTSFQISLTISYTGNRPASNMVIVDVKMVSGFIPLKPTVKMLERSSSVSRTEVSNNHVLIYVEQVTNQTLSFSFMVLQDIPVGDLKPAIVKVYDYYETDESVVAEYIAPCSTDTEHGNV
+>DECOY_sp|P20742|PZP_HUMAN Pregnancy zone protein OS=Homo sapiens OX=9606 GN=PZP PE=1 SV=4
+VNGHETDTSCPAIYEAVVSEDTEYYDYVKVIAPKLDGVPIDQLVMFSFSLTQNTVQEVYILVHNNSVETRSVSSSRELMKVTPKLPIFGSVMKVDVIVMNSAPRNGTYSITLSIQFSTHAKHGDCTQPVTQVKLAFPSDEKEPLINYKMSTQLYVCREGTVTIVYEGPLEPLSIQQLLLLNNNDVQFNTSFTQSDQVTVQATKETRTFTAAGYRSLAHLAVVTDQTSSFGGQANQQKMIWKVINTASTLDGSTPAPQATLYALLVYSTMEVEASPAQTQYLHGVPAKPRQPREWHVLNDEKVAEKDLSNLIERNQNQKGLLSFAYALLAKTYVHSGHTGEKAVNWASELCFLANRVIPNTVPLPIELLAITVYASLTAEDEVGGKIANNLLSGSSRFCGNDKQMQSLWTLSQTIHAEDIFIYSRAQAFTKLVFATLWTNGQNRGYREGFTSYSGDQHKYNLQRQYGTILYGVAKAKIEQTLQQTENLYNLVYINPAFLVMNQEGCGYPMQLLNQINQMASGLIDGLVSFSARASEKVVNSPLKLSLQESVNAGSACTMSSFTKEQEIGEAEVLLTKIVTDKRKIEPVEVVENGCLELSQMAEASVSFNVNGLTKPTVTWSLTQRENGCICYSEEGKTNQSALFAPSAKLQVSVRICKPLYNLVTAKLTFVEGRIVSYPMTLEVFFPQFARLSATSSIGLGADESLCFAGAKWETITDPVTVGVEAVGSSNVAVLEWIWTEPFYSRVTEPVPGSSQENNLPIVNYTGLQPVYPREVVGLGAGYYGQGVAGASVSPIVSCSKPKRIKSNTFVKLGMGKLFSYIDAENSSLPVYIAGNHIFFPRPCHGQEEEQQDVNDPFNTLDKVTLLNYVSSVSLEAEPKMLLVSQDVARLACLSQPAAAVQLHAHSAPPSQAPSFSLDVKNALCNEIEFKESDGVVEGDPLIAFIFMRAIPAVDSEVPFSLAFSGKMDGSEVPLTHTGSRVIVGKAMILYHFSLESLEGMAQRNLTYHATITETHGCPLTGAVPELHIYSGSLSFVRNATHQAGQHDEAVWSYHFCLNPHVTFVRVFLKNVSISTTNISFQALGQENTTANSYYNADNVSIFFLKNPIPVGKGDVLLVQAFFPIGQRFHSDVKVFKLKSVINTIESIRNATVELDTGEERIRAEVRLKMEFGTNTIQLMKTHVQQTICGNSNLQQSFEECVEQKDCNLVRSLKRCLSVTALGPVPKGYTYEGCVTINVKEDMISIIKPVQVKVEFKPLVFEEVTFPHQIRGGSETQVVVRYSGQIPESSLPFSLQNIGAELKLSQWQAIRNRRPNELYILPILENRPRFNEDVSVVRFRVTQGPKYMPKDTQVFVLSQTNLVLVTNRKRFDQTPGKIQISLFAVESSASIRPLTFSVCHFLDKEAVLDTFLSRNERGSELSASVTVTENLHSLLVCGKKPAETHLLSPVLVMYQPETSNSDSASLLILLLVLCLHLLRDKRM
+>sp|Q9UPQ7|PZRN3_HUMAN E3 ubiquitin-protein ligase PDZRN3 OS=Homo sapiens OX=9606 GN=PDZRN3 PE=1 SV=2
+MGFELDRFDGDVDPDLKCALCHKVLEDPLTTPCGHVFCAGCVLPWVVQEGSCPARCRGRLSAKELNHVLPLKRLILKLDIKCAYATRGCGRVVKLQQLPEHLERCDFAPARCRHAGCGQVLLRRDVEAHMRDACDARPVGRCQEGCGLPLTHGEQRAGGHCCARALRAHNGALQARLGALHKALKKEALRAGKREKSLVAQLAAAQLELQMTALRYQKKFTEYSARLDSLSRCVAAPPGGKGEETKSLTLVLHRDSGSLGFNIIGGRPSVDNHDGSSSEGIFVSKIVDSGPAAKEGGLQIHDRIIEVNGRDLSRATHDQAVEAFKTAKEPIVVQVLRRTPRTKMFTPPSESQLVDTGTQTDITFEHIMALTKMSSPSPPVLDPYLLPEEHPSAHEYYDPNDYIGDIHQEMDREELELEEVDLYRMNSQDKLGLTVCYRTDDEDDIGIYISEIDPNSIAAKDGRIREGDRIIQINGIEVQNREEAVALLTSEENKNFSLLIARPELQLDEGWMDDDRNDFLDDLHMDMLEEQHHQAMQFTASVLQQKKHDEDGGTTDTATILSNQHEKDSGVGRTDESTRNDESSEQENNGDDATASSNPLAGQRKLTCSQDTLGSGDLPFSNESFISADCTDADYLGIPVDECERFRELLELKCQVKSATPYGLYYPSGPLDAGKSDPESVDKELELLNEELRSIELECLSIVRAHKMQQLKEQYRESWMLHNSGFRNYNTSIDVRRHELSDITELPEKSDKDSSSAYNTGESCRSTPLTLEISPDNSLRRAAEGISCPSSEGAVGTTEAYGPASKNLLSITEDPEVGTPTYSPSLKELDPNQPLESKERRASDGSRSPTPSQKLGSAYLPSYHHSPYKHAHIPAHAQHYQSYMQLIQQKSAVEYAQSQMSLVSMCKDLSSPTPSEPRMEWKVKIRSDGTRYITKRPVRDRLLRERALKIREERSGMTTDDDAVSEMKMGRYWSKEERKQHLVKAKEQRRRREFMMQSRLDCLKEQQAADDRKEMNILELSHKKMMKKRNKKIFDNWMTIQELLTHGTKSPDGTRVYNSFLSVTTV
+>DECOY_sp|Q9UPQ7|PZRN3_HUMAN E3 ubiquitin-protein ligase PDZRN3 OS=Homo sapiens OX=9606 GN=PDZRN3 PE=1 SV=2
+VTTVSLFSNYVRTGDPSKTGHTLLEQITMWNDFIKKNRKKMMKKHSLELINMEKRDDAAQQEKLCDLRSQMMFERRRRQEKAKVLHQKREEKSWYRGMKMESVADDDTTMGSREERIKLARERLLRDRVPRKTIYRTGDSRIKVKWEMRPESPTPSSLDKCMSVLSMQSQAYEVASKQQILQMYSQYHQAHAPIHAHKYPSHHYSPLYASGLKQSPTPSRSGDSARREKSELPQNPDLEKLSPSYTPTGVEPDETISLLNKSAPGYAETTGVAGESSPCSIGEAARRLSNDPSIELTLPTSRCSEGTNYASSSDKDSKEPLETIDSLEHRRVDISTNYNRFGSNHLMWSERYQEKLQQMKHARVISLCELEISRLEENLLELEKDVSEPDSKGADLPGSPYYLGYPTASKVQCKLELLERFRECEDVPIGLYDADTCDASIFSENSFPLDGSGLTDQSCTLKRQGALPNSSATADDGNNEQESSEDNRTSEDTRGVGSDKEHQNSLITATDTTGGDEDHKKQQLVSATFQMAQHHQEELMDMHLDDLFDNRDDDMWGEDLQLEPRAILLSFNKNEESTLLAVAEERNQVEIGNIQIIRDGERIRGDKAAISNPDIESIYIGIDDEDDTRYCVTLGLKDQSNMRYLDVEELELEERDMEQHIDGIYDNPDYYEHASPHEEPLLYPDLVPPSPSSMKTLAMIHEFTIDTQTGTDVLQSESPPTFMKTRPTRRLVQVVIPEKATKFAEVAQDHTARSLDRGNVEIIRDHIQLGGEKAAPGSDVIKSVFIGESSSGDHNDVSPRGGIINFGLSGSDRHLVLTLSKTEEGKGGPPAAVCRSLSDLRASYETFKKQYRLATMQLELQAAALQAVLSKERKGARLAEKKLAKHLAGLRAQLAGNHARLARACCHGGARQEGHTLPLGCGEQCRGVPRADCADRMHAEVDRRLLVQGCGAHRCRAPAFDCRELHEPLQQLKVVRGCGRTAYACKIDLKLILRKLPLVHNLEKASLRGRCRAPCSGEQVVWPLVCGACFVHGCPTTLPDELVKHCLACKLDPDVDGDFRDLEFGM
+>sp|Q6ZMN7|PZRN4_HUMAN PDZ domain-containing RING finger protein 4 OS=Homo sapiens OX=9606 GN=PDZRN4 PE=2 SV=3
+MGFALERFAEAVDPALECKLCGQVLEEPLCTPCGHVFCASCLLPWAVRRRRCPLQCQPLAPGELYRVLPLRSLIQKLRVQCDYRARGCGHSVRLHELEAHVEHCDFGPARRLRSRGGCASGLGGGEVPARGGCGPTPRAGRGGGARGGPPGGRWGRGRGPGPRVLAWRRREKALLAQLWALQGEVQLTARRYQEKFTQYMAHVRNFVGDLGGGHRRDGEHKPFTIVLERENDTLGFNIIGGRPNQNNQEGTSTEGIYVSKILENGPADRADGLEIHDKIMEVNGKDLSKATHEEAVEAFRNAKEPIVVQVLRRTPLSRPAYGMASEVQLMNASTQTDITFEHIMALAKLRPPTPPVPDICPFLLSDSCHSLHPMEHEFYEDNEYISSLPADADRTEDFEYEEVELCRVSSQEKLGLTVCYRTDDEEDTGIYVSEVDPNSIAAKDGRIREGDRILQINGEDVQNREEAVALLSNDECKRIVLLVARPEIQLDEGWLEDERNEFLEELNLEMLEEEHNEAMQPTANEVEQPKKQEEEEGTTDTATSSSNNHEKDSGVGRTDESLRNDESSEQENAAEDPNSTSLKSKRDLGQSQDTLGSVELQYNESLVSGEYIDSDCIGNPDEDCERFRQLLELKCKIRNHGEYDLYYSSSTIECNQGEQEGVEHELQLLNEELRNIELECQNIMQAHRLQKVTDQYGDIWTLHDGGFRNYNTSIDMQRGKLDDIMEHPEKSDKDSSSAYNTAESCRSTPLTVDRSPDSSLPRVINLTNKKNLRSTMAATQSSSGQSSKESTSTKAKTTEQGCSAESKEKVLEGSKLPDQEKAVSEHIPYLSPYHSSSYRYANIPAHARHYQSYMQLIQQKSAVEYAQSQLSLVSMCKESQKCSEPKMEWKVKIRSDGTRYITKRPVRDRILKERALKIKEERSGMTTDDDTMSEMKMGRYWSKEERKQHLVRAKEQRRRREFMMRSRLECLKESPQSGSEGKKEINIIELSHKKMMKKRNKKILDNWMTIQELMTHGAKSPDGTRVHNAFLSVTTV
+>DECOY_sp|Q6ZMN7|PZRN4_HUMAN PDZ domain-containing RING finger protein 4 OS=Homo sapiens OX=9606 GN=PDZRN4 PE=2 SV=3
+VTTVSLFANHVRTGDPSKAGHTMLEQITMWNDLIKKNRKKMMKKHSLEIINIEKKGESGSQPSEKLCELRSRMMFERRRRQEKARVLHQKREEKSWYRGMKMESMTDDDTTMGSREEKIKLAREKLIRDRVPRKTIYRTGDSRIKVKWEMKPESCKQSEKCMSVLSLQSQAYEVASKQQILQMYSQYHRAHAPINAYRYSSSHYPSLYPIHESVAKEQDPLKSGELVKEKSEASCGQETTKAKTSTSEKSSQGSSSQTAAMTSRLNKKNTLNIVRPLSSDPSRDVTLPTSRCSEATNYASSSDKDSKEPHEMIDDLKGRQMDISTNYNRFGGDHLTWIDGYQDTVKQLRHAQMINQCELEINRLEENLLQLEHEVGEQEGQNCEITSSSYYLDYEGHNRIKCKLELLQRFRECDEDPNGICDSDIYEGSVLSENYQLEVSGLTDQSQGLDRKSKLSTSNPDEAANEQESSEDNRLSEDTRGVGSDKEHNNSSSTATDTTGEEEEQKKPQEVENATPQMAENHEEELMELNLEELFENREDELWGEDLQIEPRAVLLVIRKCEDNSLLAVAEERNQVDEGNIQLIRDGERIRGDKAAISNPDVESVYIGTDEEDDTRYCVTLGLKEQSSVRCLEVEEYEFDETRDADAPLSSIYENDEYFEHEMPHLSHCSDSLLFPCIDPVPPTPPRLKALAMIHEFTIDTQTSANMLQVESAMGYAPRSLPTRRLVQVVIPEKANRFAEVAEEHTAKSLDKGNVEMIKDHIELGDARDAPGNELIKSVYIGETSTGEQNNQNPRGGIINFGLTDNERELVITFPKHEGDRRHGGGLDGVFNRVHAMYQTFKEQYRRATLQVEGQLAWLQALLAKERRRWALVRPGPGRGRGWRGGPPGGRAGGGRGARPTPGCGGRAPVEGGGLGSACGGRSRLRRAPGFDCHEVHAELEHLRVSHGCGRARYDCQVRLKQILSRLPLVRYLEGPALPQCQLPCRRRRVAWPLLCSACFVHGCPTCLPEELVQGCLKCELAPDVAEAFRELAFGM
+>sp|Q04671|P_HUMAN P protein OS=Homo sapiens OX=9606 GN=OCA2 PE=1 SV=2
+MHLEGRDGRRYPGAPAVELLQTSVPSGLAELVAGKRRLPRGAGGADPSHSCPRGAAGQSSWAPAGQEFASFLTKGRSHSSLPQMSSSRSKDSCFTENTPLLRNSLQEKGSRCIPVYHPEFITAEESWEDSSADWERRYLLSREVSGLSASASSEKGDLLDSPHIRLRLSKLRRCVQWLKVMGLFAFVVLCSILFSLYPDQGKLWQLLALSPLENYSVNLSSHVDSTLLQVDLAGALVASGPSRPGREEHIVVELTQADALGSRWRRPQQVTHNWTVYLNPRRSEHSVMSRTFEVLTRETVSISIRASLQQTQAVPLLMAHQYLRGSVETQVTIATAILAGVYALIIFEIVHRTLAAMLGSLAALAALAVIGDRPSLTHVVEWIDFETLALLFGMMILVAIFSETGFFDYCAVKAYRLSRGRVWAMIIMLCLIAAVLSAFLDNVTTMLLFTPVTIRLCEVLNLDPRQVLIAEVIFTNIGGAATAIGDPPNVIIVSNQELRKMGLDFAGFTAHMFIGICLVLLVCFPLLRLLYWNRKLYNKEPSEIVELKHEIHVWRLTAQRISPASREETAVRRLLLGKVLALEHLLARRLHTFHRQISQEDKNWETNIQELQKKHRISDGILLAKCLTVLGFVIFMFFLNSFVPGIHLDLGWIAILGAIWLLILADIHDFEIILHRVEWATLLFFAALFVLMEALAHLHLIEYVGEQTALLIKMVPEEQRLIAAIVLVVWVSALASSLIDNIPFTATMIPVLLNLSHDPEVGLPAPPLMYALAFGACLGGNGTLIGASANVVCAGIAEQHGYGFSFMEFFRLGFPMMVVSCTVGMCYLLVAHVVVGWN
+>DECOY_sp|Q04671|P_HUMAN P protein OS=Homo sapiens OX=9606 GN=OCA2 PE=1 SV=2
+NWGVVVHAVLLYCMGVTCSVVMMPFGLRFFEMFSFGYGHQEAIGACVVNASAGILTGNGGLCAGFALAYMLPPAPLGVEPDHSLNLLVPIMTATFPINDILSSALASVWVVLVIAAILRQEEPVMKILLATQEGVYEILHLHALAEMLVFLAAFFLLTAWEVRHLIIEFDHIDALILLWIAGLIAIWGLDLHIGPVFSNLFFMFIVFGLVTLCKALLIGDSIRHKKQLEQINTEWNKDEQSIQRHFTHLRRALLHELALVKGLLLRRVATEERSAPSIRQATLRWVHIEHKLEVIESPEKNYLKRNWYLLRLLPFCVLLVLCIGIFMHATFGAFDLGMKRLEQNSVIIVNPPDGIATAAGGINTFIVEAILVQRPDLNLVECLRITVPTFLLMTTVNDLFASLVAAILCLMIIMAWVRGRSLRYAKVACYDFFGTESFIAVLIMMGFLLALTEFDIWEVVHTLSPRDGIVALAALAALSGLMAALTRHVIEFIILAYVGALIATAITVQTEVSGRLYQHAMLLPVAQTQQLSARISISVTERTLVEFTRSMVSHESRRPNLYVTWNHTVQQPRRWRSGLADAQTLEVVIHEERGPRSPGSAVLAGALDVQLLTSDVHSSLNVSYNELPSLALLQWLKGQDPYLSFLISCLVVFAFLGMVKLWQVCRRLKSLRLRIHPSDLLDGKESSASASLGSVERSLLYRREWDASSDEWSEEATIFEPHYVPICRSGKEQLSNRLLPTNETFCSDKSRSSSMQPLSSHSRGKTLFSAFEQGAPAWSSQGAAGRPCSHSPDAGGAGRPLRRKGAVLEALGSPVSTQLLEVAPAGPYRRGDRGELHM
+>sp|Q53FA7|QORX_HUMAN Quinone oxidoreductase PIG3 OS=Homo sapiens OX=9606 GN=TP53I3 PE=1 SV=2
+MLAVHFDKPGGPENLYVKEVAKPSPGEGEVLLKVAASALNRADLMQRQGQYDPPPGASNILGLEASGHVAELGPGCQGHWKIGDTAMALLPGGGQAQYVTVPEGLLMPIPEGLTLTQAAAIPEAWLTAFQLLHLVGNVQAGDYVLIHAGLSGVGTAAIQLTRMAGAIPLVTAGSQKKLQMAEKLGAAAGFNYKKEDFSEATLKFTKGAGVNLILDCIGGSYWEKNVNCLALDGRWVLYGLMGGGDINGPLFSKLLFKRGSLITSLLRSRDNKYKQMLVNAFTEQILPHFSTEGPQRLLPVLDRIYPVTEIQEAHKYMEANKNIGKIVLELPQ
+>DECOY_sp|Q53FA7|QORX_HUMAN Quinone oxidoreductase PIG3 OS=Homo sapiens OX=9606 GN=TP53I3 PE=1 SV=2
+QPLELVIKGINKNAEMYKHAEQIETVPYIRDLVPLLRQPGETSFHPLIQETFANVLMQKYKNDRSRLLSTILSGRKFLLKSFLPGNIDGGGMLGYLVWRGDLALCNVNKEWYSGGICDLILNVGAGKTFKLTAESFDEKKYNFGAAAGLKEAMQLKKQSGATVLPIAGAMRTLQIAATGVGSLGAHILVYDGAQVNGVLHLLQFATLWAEPIAAAQTLTLGEPIPMLLGEPVTVYQAQGGGPLLAMATDGIKWHGQCGPGLEAVHGSAELGLINSAGPPPDYQGQRQMLDARNLASAAVKLLVEGEGPSPKAVEKVYLNEPGGPKDFHVALM
+>sp|Q15032|R3HD1_HUMAN R3H domain-containing protein 1 OS=Homo sapiens OX=9606 GN=R3HDM1 PE=1 SV=3
+MRMSDTVTVKDETATMKDLEAEVKDTTRVENLIKSENYGKILVEKNEHCIENNIDLQRPLQSFGQTGKRSKSSSKLKLVRSLAVCEESPPPPAPEISQENQEKIQIQLTQSFEKEEKPSKDEAEKEKASDKLPRKMLSRDSSQEYTDSTGIDLHEFLVNTLKNNPRDRMMLLKLEQEILDFIGNNESPRKKFPPMTSYHRMLLHRVAAYFGLDHNVDQSGKSVIVNKTSNTRIPDQKFNEHIKDDKGEDFQKRYILKRDNSSFDKDDNQMRIRLKDDRRSKSIEEREEEYQRARDRIFSQDSLCSQENYIIDKRLQDEDASSTQQRRQIFRVNKDASGRSTNSHQSSTENELKYSEPRPWSSTDSDSSLRNLKPAVTKASSFSGISVLTRGDSSGSSKSIGRLSKTGSESSGSVGSSTGSLSHIQQPLPGTALSQSSHGAPVVYPTVSTHSSLSFDGGLNGQVASPSTSFFLLPLEAAGIPPGSILINPQTGQPFINPDGSPVVYNPPMTQQPVRSQVPGPPQPPLPAPPQQPAANHIFSQDNLGSQFSHMSLARQPSADGSDPHAAMFQSTVVLQSPQQSGYIMTAAPPPHPPPPPPPPPPPPPLPPGQPVPTAGYPASGHPVSQPVLQQQGYIQQPSPQMPACYCAPGHYHSSQPQYRPVPSVHYNSHLNQPLPQPAQQTGYQVIPNQQQNYQGIVGVQQPQSQSLVSGQPNSIGNQIQGVVIPYTSVPTYQVSLPQGSQGIPHQTYQQPVMFPNQSNQGSMPTTGMPVYYSVIPPGQQNNLSSSVGYLQHPGSEQVQFPRTTSPCSSQQLQGHQCTAGPPPPPGGGMVMMQLSVPNNPQSCAHSPPQWKQNKYYCDHQRGQKCVEFSSVDNIVQHSPQLSSPIISPAQSPAPAQLSTLKTVRPSGPPLSIMPQFSRPFVPGQGDSRYPLLGQPLQYNPPAVLHGHIPNQQGQPGSRHGNRGRRQAKKAASTDLGAGETVVGKVLEITELPDGITRMEAEKLFGELFKIGAKIRWLRDPQSQPRRHPLCCGSGDNTANPERSKPSDLASTYTVLATFPSISAAQNALKKQINSVNKFKLRTSKKHYDFHILERASSQ
+>DECOY_sp|Q15032|R3HD1_HUMAN R3H domain-containing protein 1 OS=Homo sapiens OX=9606 GN=R3HDM1 PE=1 SV=3
+QSSARELIHFDYHKKSTRLKFKNVSNIQKKLANQAASISPFTALVTYTSALDSPKSREPNATNDGSGCCLPHRRPQSQPDRLWRIKAGIKFLEGFLKEAEMRTIGDPLETIELVKGVVTEGAGLDTSAAKKAQRRGRNGHRSGPQGQQNPIHGHLVAPPNYQLPQGLLPYRSDGQGPVFPRSFQPMISLPPGSPRVTKLTSLQAPAPSQAPSIIPSSLQPSHQVINDVSSFEVCKQGRQHDCYYKNQKWQPPSHACSQPNNPVSLQMMVMGGGPPPPPGATCQHGQLQQSSCPSTTRPFQVQESGPHQLYGVSSSLNNQQGPPIVSYYVPMGTTPMSGQNSQNPFMVPQQYTQHPIGQSGQPLSVQYTPVSTYPIVVGQIQNGISNPQGSVLSQSQPQQVGVIGQYNQQQNPIVQYGTQQAPQPLPQNLHSNYHVSPVPRYQPQSSHYHGPACYCAPMQPSPQQIYGQQQLVPQSVPHGSAPYGATPVPQGPPLPPPPPPPPPPPPPHPPPAATMIYGSQQPSQLVVTSQFMAAHPDSGDASPQRALSMHSFQSGLNDQSFIHNAAPQQPPAPLPPQPPGPVQSRVPQQTMPPNYVVPSGDPNIFPQGTQPNILISGPPIGAAELPLLFFSTSPSAVQGNLGGDFSLSSHTSVTPYVVPAGHSSQSLATGPLPQQIHSLSGTSSGVSGSSESGTKSLRGISKSSGSSDGRTLVSIGSFSSAKTVAPKLNRLSSDSDTSSWPRPESYKLENETSSQHSNTSRGSADKNVRFIQRRQQTSSADEDQLRKDIIYNEQSCLSDQSFIRDRARQYEEEREEISKSRRDDKLRIRMQNDDKDFSSNDRKLIYRKQFDEGKDDKIHENFKQDPIRTNSTKNVIVSKGSQDVNHDLGFYAAVRHLLMRHYSTMPPFKKRPSENNGIFDLIEQELKLLMMRDRPNNKLTNVLFEHLDIGTSDTYEQSSDRSLMKRPLKDSAKEKEAEDKSPKEEKEFSQTLQIQIKEQNEQSIEPAPPPPSEECVALSRVLKLKSSSKSRKGTQGFSQLPRQLDINNEICHENKEVLIKGYNESKILNEVRTTDKVEAELDKMTATEDKVTVTDSMRM
+>sp|Q96B01|R51A1_HUMAN RAD51-associated protein 1 OS=Homo sapiens OX=9606 GN=RAD51AP1 PE=1 SV=1
+MVRPVRHKKPVNYSQFDHSDSDDDFVSATVPLNKKSRTAPKELKQDKPKPNLNNLRKEEIPVQEKTPKKRLPEGTFSIPASAVPCTKMALDDKLYQRDLEVALALSVKELPTVTTNVQNSQDKSIEKHGSSKIETMNKSPHISNCSVASDYLDLDKITVEDDVGGVQGKRKAASKAAAQQRKILLEGSDGDSANDTEPDFAPGEDSEDDSDFCESEDNDEDFSMRKSKVKEIKKKEVKVKSPVEKKEKKSKSKCNALVTSVDSAPAAVKSESQSLPKKVSLSSDTTRKPLEIRSPSAESKKPKWVPPAASGGSRSSSSPLVVVSVKSPNQSLRLGLSRLARVKPLHPNATST
+>DECOY_sp|Q96B01|R51A1_HUMAN RAD51-associated protein 1 OS=Homo sapiens OX=9606 GN=RAD51AP1 PE=1 SV=1
+TSTANPHLPKVRALRSLGLRLSQNPSKVSVVVLPSSSSRSGGSAAPPVWKPKKSEASPSRIELPKRTTDSSLSVKKPLSQSESKVAAPASDVSTVLANCKSKSKKEKKEVPSKVKVEKKKIEKVKSKRMSFDEDNDESECFDSDDESDEGPAFDPETDNASDGDSGELLIKRQQAAAKSAAKRKGQVGGVDDEVTIKDLDLYDSAVSCNSIHPSKNMTEIKSSGHKEISKDQSNQVNTTVTPLEKVSLALAVELDRQYLKDDLAMKTCPVASAPISFTGEPLRKKPTKEQVPIEEKRLNNLNPKPKDQKLEKPATRSKKNLPVTASVFDDDSDSHDFQSYNVPKKHRVPRVM
+>sp|P61026|RAB10_HUMAN Ras-related protein Rab-10 OS=Homo sapiens OX=9606 GN=RAB10 PE=1 SV=1
+MAKKTYDLLFKLLLIGDSGVGKTCVLFRFSDDAFNTTFISTIGIDFKIKTVELQGKKIKLQIWDTAGQERFHTITTSYYRGAMGIMLVYDITNGKSFENISKWLRNIDEHANEDVERMLLGNKCDMDDKRVVPKGKGEQIAREHGIRFFETSAKANINIEKAFLTLAEDILRKTPVKEPNSENVDISSGGGVTGWKSKCC
+>DECOY_sp|P61026|RAB10_HUMAN Ras-related protein Rab-10 OS=Homo sapiens OX=9606 GN=RAB10 PE=1 SV=1
+CCKSKWGTVGGGSSIDVNESNPEKVPTKRLIDEALTLFAKEININAKASTEFFRIGHERAIQEGKGKPVVRKDDMDCKNGLLMREVDENAHEDINRLWKSINEFSKGNTIDYVLMIGMAGRYYSTTITHFREQGATDWIQLKIKKGQLEVTKIKFDIGITSIFTTNFADDSFRFLVCTKGVGSDGILLLKFLLDYTKKAM
+>sp|Q9NP72|RAB18_HUMAN Ras-related protein Rab-18 OS=Homo sapiens OX=9606 GN=RAB18 PE=1 SV=1
+MDEDVLTTLKILIIGESGVGKSSLLLRFTDDTFDPELAATIGVDFKVKTISVDGNKAKLAIWDTAGQERFRTLTPSYYRGAQGVILVYDVTRRDTFVKLDNWLNELETYCTRNDIVNMLVGNKIDKENREVDRNEGLKFARKHSMLFIEASAKTCDGVQCAFEELVEKIIQTPGLWESENQNKGVKLSHREEGQGGGACGGYCSVL
+>DECOY_sp|Q9NP72|RAB18_HUMAN Ras-related protein Rab-18 OS=Homo sapiens OX=9606 GN=RAB18 PE=1 SV=1
+LVSCYGGCAGGGQGEERHSLKVGKNQNESEWLGPTQIIKEVLEEFACQVGDCTKASAEIFLMSHKRAFKLGENRDVERNEKDIKNGVLMNVIDNRTCYTELENLWNDLKVFTDRRTVDYVLIVGQAGRYYSPTLTRFREQGATDWIALKAKNGDVSITKVKFDVGITAALEPDFTDDTFRLLLSSKGVGSEGIILIKLTTLVDEDM
+>sp|Q92928|RAB1C_HUMAN Putative Ras-related protein Rab-1C OS=Homo sapiens OX=9606 GN=RAB1C PE=5 SV=2
+MNPGYDCLFKLLLIGDSGVGKSCLLLRFADDPYTESYISTIGVDFKIQTIELDGKTIKLQIWDTAGQERFWTITSSYYRGAHGFLVVYDVTDQESYANVKQWLQEIDRHASENVNKLLVGNKSDLTTKKVVDNTTAKEFADSLGIPFLETSAKNATNVEQAFMTMAAEIKKQMGPGAASGGERPNLKIDSTPVKPAGGGCC
+>DECOY_sp|Q92928|RAB1C_HUMAN Putative Ras-related protein Rab-1C OS=Homo sapiens OX=9606 GN=RAB1C PE=5 SV=2
+CCGGGAPKVPTSDIKLNPREGGSAAGPGMQKKIEAAMTMFAQEVNTANKASTELFPIGLSDAFEKATTNDVVKKTTLDSKNGVLLKNVNESAHRDIEQLWQKVNAYSEQDTVDYVVLFGHAGRYYSSTITWFREQGATDWIQLKITKGDLEITQIKFDVGITSIYSETYPDDAFRLLLCSKGVGSDGILLLKFLCDYGPNM
+>sp|O95755|RAB36_HUMAN Ras-related protein Rab-36 OS=Homo sapiens OX=9606 GN=RAB36 PE=2 SV=2
+MVIAGASWMLGRAAASPTQTPPTTSTIRVARRSRVALVAMVIAAAGSGGPGRAEPQLSQPSLDCGRMRSSLTPLGPPVSRDRVIASFPKWYTPEACLQLREHFHGQVSAACQRRNTGTVGLKLSKVVVVGDLYVGKTSLIHRFCKNVFDRDYKATIGVDFEIERFEIAGIPYSLQIWDTAGQEKFKCIASAYYRGAQVIITAFDLTDVQTLEHTRQWLEDALRENEAGSCFIFLVGTKKDLLSGAACEQAEADAVHLAREMQAEYWSVSAKTGENVKAFFSRVAALAFEQSVLQDLERQSSARLQVGNGDLIQMEGSPPETQESKRPSSLGCC
+>DECOY_sp|O95755|RAB36_HUMAN Ras-related protein Rab-36 OS=Homo sapiens OX=9606 GN=RAB36 PE=2 SV=2
+CCGLSSPRKSEQTEPPSGEMQILDGNGVQLRASSQRELDQLVSQEFALAAVRSFFAKVNEGTKASVSWYEAQMERALHVADAEAQECAAGSLLDKKTGVLFIFCSGAENERLADELWQRTHELTQVDTLDFATIIVQAGRYYASAICKFKEQGATDWIQLSYPIGAIEFREIEFDVGITAKYDRDFVNKCFRHILSTKGVYLDGVVVVKSLKLGVTGTNRRQCAASVQGHFHERLQLCAEPTYWKPFSAIVRDRSVPPGLPTLSSRMRGCDLSPQSLQPEARGPGGSGAAAIVMAVLAVRSRRAVRITSTTPPTQTPSAAARGLMWSAGAIVM
+>sp|Q96AX2|RAB37_HUMAN Ras-related protein Rab-37 OS=Homo sapiens OX=9606 GN=RAB37 PE=1 SV=3
+MTGTPGAVATRDGEAPERSPPCSPSYDLTGKVMLLGDTGVGKTCFLIQFKDGAFLSGTFIATVGIDFRNKVVTVDGVRVKLQIWDTAGQERFRSVTHAYYRDAQALLLLYDITNKSSFDNIRAWLTEIHEYAQRDVVIMLLGNKADMSSERVIRSEDGETLAREYGVPFLETSAKTGMNVELAFLAIAKELKYRAGHQADEPSFQIRDYVESQKKRSSCCSFM
+>DECOY_sp|Q96AX2|RAB37_HUMAN Ras-related protein Rab-37 OS=Homo sapiens OX=9606 GN=RAB37 PE=1 SV=3
+MFSCCSSRKKQSEVYDRIQFSPEDAQHGARYKLEKAIALFALEVNMGTKASTELFPVGYERALTEGDESRIVRESSMDAKNGLLMIVVDRQAYEHIETLWARINDFSSKNTIDYLLLLAQADRYYAHTVSRFREQGATDWIQLKVRVGDVTVVKNRFDIGVTAIFTGSLFAGDKFQILFCTKGVGTDGLLMVKGTLDYSPSCPPSREPAEGDRTAVAGPTGTM
+>sp|P20337|RAB3B_HUMAN Ras-related protein Rab-3B OS=Homo sapiens OX=9606 GN=RAB3B PE=1 SV=2
+MASVTDGKTGVKDASDQNFDYMFKLLIIGNSSVGKTSFLFRYADDTFTPAFVSTVGIDFKVKTVYRHEKRVKLQIWDTAGQERYRTITTAYYRGAMGFILMYDITNEESFNAVQDWATQIKTYSWDNAQVILVGNKCDMEEERVVPTEKGQLLAEQLGFDFFEASAKENISVRQAFERLVDAICDKMSDSLDTDPSMLGSSKNTRLSDTPPLLQQNCSC
+>DECOY_sp|P20337|RAB3B_HUMAN Ras-related protein Rab-3B OS=Homo sapiens OX=9606 GN=RAB3B PE=1 SV=2
+CSCNQQLLPPTDSLRTNKSSGLMSPDTDLSDSMKDCIADVLREFAQRVSINEKASAEFFDFGLQEALLQGKETPVVREEEMDCKNGVLIVQANDWSYTKIQTAWDQVANFSEENTIDYMLIFGMAGRYYATTITRYREQGATDWIQLKVRKEHRYVTKVKFDIGVTSVFAPTFTDDAYRFLFSTKGVSSNGIILLKFMYDFNQDSADKVGTKGDTVSAM
+>sp|Q86YS6|RAB43_HUMAN Ras-related protein Rab-43 OS=Homo sapiens OX=9606 GN=RAB43 PE=1 SV=1
+MAGPGPGPGDPDEQYDFLFKLVLVGDASVGKTCVVQRFKTGAFSERQGSTIGVDFTMKTLEIQGKRVKLQIWDTAGQERFRTITQSYYRSANGAILAYDITKRSSFLSVPHWIEDVRKYAGSNIVQLLIGNKSDLSELREVSLAEAQSLAEHYDILCAIETSAKDSSNVEEAFLRVATELIMRHGGPLFSEKSPDHIQLNSKDIGEGWGCGC
+>DECOY_sp|Q86YS6|RAB43_HUMAN Ras-related protein Rab-43 OS=Homo sapiens OX=9606 GN=RAB43 PE=1 SV=1
+CGCGWGEGIDKSNLQIHDPSKESFLPGGHRMILETAVRLFAEEVNSSDKASTEIACLIDYHEALSQAEALSVERLESLDSKNGILLQVINSGAYKRVDEIWHPVSLFSSRKTIDYALIAGNASRYYSQTITRFREQGATDWIQLKVRKGQIELTKMTFDVGITSGQRESFAGTKFRQVVCTKGVSADGVLVLKFLFDYQEDPDGPGPGPGAM
+>sp|P61018|RAB4B_HUMAN Ras-related protein Rab-4B OS=Homo sapiens OX=9606 GN=RAB4B PE=1 SV=1
+MAETYDFLFKFLVIGSAGTGKSCLLHQFIENKFKQDSNHTIGVEFGSRVVNVGGKTVKLQIWDTAGQERFRSVTRSYYRGAAGALLVYDITSRETYNSLAAWLTDARTLASPNIVVILCGNKKDLDPEREVTFLEASRFAQENELMFLETSALTGENVEEAFLKCARTILNKIDSGELDPERMGSGIQYGDASLRQLRQPRSAQAVAPQPCGC
+>DECOY_sp|P61018|RAB4B_HUMAN Ras-related protein Rab-4B OS=Homo sapiens OX=9606 GN=RAB4B PE=1 SV=1
+CGCPQPAVAQASRPQRLQRLSADGYQIGSGMREPDLEGSDIKNLITRACKLFAEEVNEGTLASTELFMLENEQAFRSAELFTVEREPDLDKKNGCLIVVINPSALTRADTLWAALSNYTERSTIDYVLLAGAAGRYYSRTVSRFREQGATDWIQLKVTKGGVNVVRSGFEVGITHNSDQKFKNEIFQHLLCSKGTGASGIVLFKFLFDYTEAM
+>sp|Q9H0N0|RAB6C_HUMAN Ras-related protein Rab-6C OS=Homo sapiens OX=9606 GN=RAB6C PE=1 SV=2
+MSAGGDFGNPLRKFKLVFLGEQSVAKTSLITRFRYDSFDNTYQAIIGIDFLSKTMYLEDGTIGLRLWDTAGQERLRSLIPRYIRDSAAAVVVYDITNVNSFQQTTKWIDDVRTERGSDVIITLVGNRTDLADKRQVSVEEGERKAKGLNVTFIETRAKAGYNVKQLFRRVAAALPGMESTQDGSREDMSDIKLEKPQEQTVSEGGCSCYSPMSSSTLPQKPPYSFIDCSVNIGLNLFPSLITFCNSSLLPVSWR
+>DECOY_sp|Q9H0N0|RAB6C_HUMAN Ras-related protein Rab-6C OS=Homo sapiens OX=9606 GN=RAB6C PE=1 SV=2
+RWSVPLLSSNCFTILSPFLNLGINVSCDIFSYPPKQPLTSSSMPSYCSCGGESVTQEQPKELKIDSMDERSGDQTSEMGPLAAAVRRFLQKVNYGAKARTEIFTVNLGKAKREGEEVSVQRKDALDTRNGVLTIIVDSGRETRVDDIWKTTQQFSNVNTIDYVVVAAASDRIYRPILSRLREQGATDWLRLGITGDELYMTKSLFDIGIIAQYTNDFSDYRFRTILSTKAVSQEGLFVLKFKRLPNGFDGGASM
+>sp|O14966|RAB7L_HUMAN Ras-related protein Rab-7L1 OS=Homo sapiens OX=9606 GN=RAB29 PE=1 SV=1
+MGSRDHLFKVLVVGDAAVGKTSLVQRYSQDSFSKHYKSTVGVDFALKVLQWSDYEIVRLQLWDIAGQERFTSMTRLYYRDASACVIMFDVTNATTFSNSQRWKQDLDSKLTLPNGEPVPCLLLANKCDLSPWAVSRDQIDRFSKENGFTGWTETSVKENKNINEAMRVLIEKMMRNSTEDIMSLSTQGDYINLQTKSSSWSCC
+>DECOY_sp|O14966|RAB7L_HUMAN Ras-related protein Rab-7L1 OS=Homo sapiens OX=9606 GN=RAB29 PE=1 SV=1
+CCSWSSSKTQLNIYDGQTSLSMIDETSNRMMKEILVRMAENINKNEKVSTETWGTFGNEKSFRDIQDRSVAWPSLDCKNALLLCPVPEGNPLTLKSDLDQKWRQSNSFTTANTVDFMIVCASADRYYLRTMSTFREQGAIDWLQLRVIEYDSWQLVKLAFDVGVTSKYHKSFSDQSYRQVLSTKGVAADGVVLVKFLHDRSGM
+>sp|P63000|RAC1_HUMAN Ras-related C3 botulinum toxin substrate 1 OS=Homo sapiens OX=9606 GN=RAC1 PE=1 SV=1
+MQAIKCVVVGDGAVGKTCLLISYTTNAFPGEYIPTVFDNYSANVMVDGKPVNLGLWDTAGQEDYDRLRPLSYPQTDVFLICFSLVSPASFENVRAKWYPEVRHHCPNTPIILVGTKLDLRDDKDTIEKLKEKKLTPITYPQGLAMAKEIGAVKYLECSALTQRGLKTVFDEAIRAVLCPPPVKKRKRKCLLL
+>DECOY_sp|P63000|RAC1_HUMAN Ras-related C3 botulinum toxin substrate 1 OS=Homo sapiens OX=9606 GN=RAC1 PE=1 SV=1
+LLLCKRKRKKVPPPCLVARIAEDFVTKLGRQTLASCELYKVAGIEKAMALGQPYTIPTLKKEKLKEITDKDDRLDLKTGVLIIPTNPCHHRVEPYWKARVNEFSAPSVLSFCILFVDTQPYSLPRLRDYDEQGATDWLGLNVPKGDVMVNASYNDFVTPIYEGPFANTTYSILLCTKGVAGDGVVVCKIAQM
+>sp|Q92878|RAD50_HUMAN DNA repair protein RAD50 OS=Homo sapiens OX=9606 GN=RAD50 PE=1 SV=1
+MSRIEKMSILGVRSFGIEDKDKQIITFFSPLTILVGPNGAGKTTIIECLKYICTGDFPPGTKGNTFVHDPKVAQETDVRAQIRLQFRDVNGELIAVQRSMVCTQKSKKTEFKTLEGVITRTKHGEKVSLSSKCAEIDREMISSLGVSKAVLNNVIFCHQEDSNWPLSEGKALKQKFDEIFSATRYIKALETLRQVRQTQGQKVKEYQMELKYLKQYKEKACEIRDQITSKEAQLTSSKEIVKSYENELDPLKNRLKEIEHNLSKIMKLDNEIKALDSRKKQMEKDNSELEEKMEKVFQGTDEQLNDLYHNHQRTVREKERKLVDCHRELEKLNKESRLLNQEKSELLVEQGRLQLQADRHQEHIRARDSLIQSLATQLELDGFERGPFSERQIKNFHKLVRERQEGEAKTANQLMNDFAEKETLKQKQIDEIRDKKTGLGRIIELKSEILSKKQNELKNVKYELQQLEGSSDRILELDQELIKAERELSKAEKNSNVETLKMEVISLQNEKADLDRTLRKLDQEMEQLNHHTTTRTQMEMLTKDKADKDEQIRKIKSRHSDELTSLLGYFPNKKQLEDWLHSKSKEINQTRDRLAKLNKELASSEQNKNHINNELKRKEEQLSSYEDKLFDVCGSQDFESDLDRLKEEIEKSSKQRAMLAGATAVYSQFITQLTDENQSCCPVCQRVFQTEAELQEVISDLQSKLRLAPDKLKSTESELKKKEKRRDEMLGLVPMRQSIIDLKEKEIPELRNKLQNVNRDIQRLKNDIEEQETLLGTIMPEEESAKVCLTDVTIMERFQMELKDVERKIAQQAAKLQGIDLDRTVQQVNQEKQEKQHKLDTVSSKIELNRKLIQDQQEQIQHLKSTTNELKSEKLQISTNLQRRQQLEEQTVELSTEVQSLYREIKDAKEQVSPLETTLEKFQQEKEELINKKNTSNKIAQDKLNDIKEKVKNIHGYMKDIENYIQDGKDDYKKQKETELNKVIAQLSECEKHKEKINEDMRLMRQDIDTQKIQERWLQDNLTLRKRNEELKEVEEERKQHLKEMGQMQVLQMKSEHQKLEENIDNIKRNHNLALGRQKGYEEEIIHFKKELREPQFRDAEEKYREMMIVMRTTELVNKDLDIYYKTLDQAIMKFHSMKMEEINKIIRDLWRSTYRGQDIEYIEIRSDADENVSASDKRRNYNYRVVMLKGDTALDMRGRCSAGQKVLASLIIRLALAETFCLNCGIIALDEPTTNLDRENIESLAHALVEIIKSRSQQRNFQLLVITHDEDFVELLGRSEYVEKFYRIKKNIDQCSEIVKCSVSSLGFNVH
+>DECOY_sp|Q92878|RAD50_HUMAN DNA repair protein RAD50 OS=Homo sapiens OX=9606 GN=RAD50 PE=1 SV=1
+HVNFGLSSVSCKVIESCQDINKKIRYFKEVYESRGLLEVFDEDHTIVLLQFNRQQSRSKIIEVLAHALSEINERDLNTTPEDLAIIGCNLCFTEALALRIILSALVKQGASCRGRMDLATDGKLMVVRYNYNRRKDSASVNEDADSRIEIYEIDQGRYTSRWLDRIIKNIEEMKMSHFKMIAQDLTKYYIDLDKNVLETTRMVIMMERYKEEADRFQPERLEKKFHIIEEEYGKQRGLALNHNRKINDINEELKQHESKMQLVQMQGMEKLHQKREEEVEKLEENRKRLTLNDQLWREQIKQTDIDQRMLRMDENIKEKHKECESLQAIVKNLETEKQKKYDDKGDQIYNEIDKMYGHINKVKEKIDNLKDQAIKNSTNKKNILEEKEQQFKELTTELPSVQEKADKIERYLSQVETSLEVTQEELQQRRQLNTSIQLKESKLENTTSKLHQIQEQQDQILKRNLEIKSSVTDLKHQKEQKEQNVQQVTRDLDIGQLKAAQQAIKREVDKLEMQFREMITVDTLCVKASEEEPMITGLLTEQEEIDNKLRQIDRNVNQLKNRLEPIEKEKLDIISQRMPVLGLMEDRRKEKKKLESETSKLKDPALRLKSQLDSIVEQLEAETQFVRQCVPCCSQNEDTLQTIFQSYVATAGALMARQKSSKEIEEKLRDLDSEFDQSGCVDFLKDEYSSLQEEKRKLENNIHNKNQESSALEKNLKALRDRTQNIEKSKSHLWDELQKKNPFYGLLSTLEDSHRSKIKRIQEDKDAKDKTLMEMQTRTTTHHNLQEMEQDLKRLTRDLDAKENQLSIVEMKLTEVNSNKEAKSLEREAKILEQDLELIRDSSGELQQLEYKVNKLENQKKSLIESKLEIIRGLGTKKDRIEDIQKQKLTEKEAFDNMLQNATKAEGEQRERVLKHFNKIQRESFPGREFGDLELQTALSQILSDRARIHEQHRDAQLQLRGQEVLLESKEQNLLRSEKNLKELERHCDVLKREKERVTRQHNHYLDNLQEDTGQFVKEMKEELESNDKEMQKKRSDLAKIENDLKMIKSLNHEIEKLRNKLPDLENEYSKVIEKSSTLQAEKSTIQDRIECAKEKYQKLYKLEMQYEKVKQGQTQRVQRLTELAKIYRTASFIEDFKQKLAKGESLPWNSDEQHCFIVNNLVAKSVGLSSIMERDIEACKSSLSVKEGHKTRTIVGELTKFETKKSKQTCVMSRQVAILEGNVDRFQLRIQARVDTEQAVKPDHVFTNGKTGPPFDGTCIYKLCEIITTKGAGNPGVLITLPSFFTIIQKDKDEIGFSRVGLISMKEIRSM
+>sp|P43351|RAD52_HUMAN DNA repair protein RAD52 homolog OS=Homo sapiens OX=9606 GN=RAD52 PE=1 SV=1
+MSGTEEAILGGRDSHPAAGGGSVLCFGQCQYTAEEYQAIQKALRQRLGPEYISSRMAGGGQKVCYIEGHRVINLANEMFGYNGWAHSITQQNVDFVDLNNGKFYVGVCAFVRVQLKDGSYHEDVGYGVSEGLKSKALSLEKARKEAVTDGLKRALRSFGNALGNCILDKDYLRSLNKLPRQLPLEVDLTKAKRQDLEPSVEEARYNSCRPNMALGHPQLQQVTSPSRPSHAVIPADQDCSSRSLSSSAVESEATHQRKLRQKQLQQQFRERMEKQQVRVSTPSAEKSEAAPPAPPVTHSTPVTVSEPLLEKDFLAGVTQELIKTLEDNSEKWAVTPDAGDGVVKPSSRADPAQTSDTLALNNQMVTQNRTPHSVCHQKPQAKSGSWDLQTYSADQRTTGNWESHRKSQDMKKRKYDPS
+>DECOY_sp|P43351|RAD52_HUMAN DNA repair protein RAD52 homolog OS=Homo sapiens OX=9606 GN=RAD52 PE=1 SV=1
+SPDYKRKKMDQSKRHSEWNGTTRQDASYTQLDWSGSKAQPKQHCVSHPTRNQTVMQNNLALTDSTQAPDARSSPKVVGDGADPTVAWKESNDELTKILEQTVGALFDKELLPESVTVPTSHTVPPAPPAAESKEASPTSVRVQQKEMRERFQQQLQKQRLKRQHTAESEVASSSLSRSSCDQDAPIVAHSPRSPSTVQQLQPHGLAMNPRCSNYRAEEVSPELDQRKAKTLDVELPLQRPLKNLSRLYDKDLICNGLANGFSRLARKLGDTVAEKRAKELSLAKSKLGESVGYGVDEHYSGDKLQVRVFACVGVYFKGNNLDVFDVNQQTISHAWGNYGFMENALNIVRHGEIYCVKQGGGAMRSSIYEPGLRQRLAKQIAQYEEATYQCQGFCLVSGGGAAPHSDRGGLIAEETGSM
+>sp|Q9P0K7|RAI14_HUMAN Ankycorbin OS=Homo sapiens OX=9606 GN=RAI14 PE=1 SV=2
+MKSLKAKFRKSDTNEWNKNDDRLLQAVENGDAEKVASLLGKKGASATKHDSEGKTAFHLAAAKGHVECLRVMITHGVDVTAQDTTGHSALHLAAKNSHHECIRKLLQSKCPAESVDSSGKTALHYAAAQGCLQAVQILCEHKSPINLKDLDGNIPLLLAVQNGHSEICHFLLDHGADVNSRNKSGRTALMLACEIGSSNAVEALIKKGADLNLVDSLGYNALHYSKLSENAGIQSLLLSKISQDADLKTPTKPKQHDQVSKISSERSGTPKKRKAPPPPISPTQLSDVSSPRSITSTPLSGKESVFFAEPPFKAEISSIRENKDRLSDSTTGADSLLDISSEADQQDLLSLLQAKVASLTLHNKELQDKLQAKSPKEAEADLSFDSYHSTQTDLGPSLGKPGETSPPDSKSSPSVLIHSLGKSTTDNDVRIQQLQEILQDLQKRLESSEAERKQLQVELQSRRAELVCLNNTEISENSSDLSQKLKETQSKYEEAMKEVLSVQKQMKLGLVSPESMDNYSHFHELRVTEEEINVLKQDLQNALEESERNKEKVRELEEKLVEREKGTVIKPPVEEYEEMKSSYCSVIENMNKEKAFLFEKYQEAQEEIMKLKDTLKSQMTQEASDEAEDMKEAMNRMIDELNKQVSELSQLYKEAQAELEDYRKRKSLEDVTAEYIHKAEHEKLMQLTNVSRAKAEDALSEMKSQYSKVLNELTQLKQLVDAQKENSVSITEHLQVITTLRTAAKEMEEKISNLKEHLASKEVEVAKLEKQLLEEKAAMTDAMVPRSSYEKLQSSLESEVSVLASKLKESVKEKEKVHSEVVQIRSEVSQVKREKENIQTLLKSKEQEVNELLQKFQQAQEELAEMKRYAESSSKLEEDKDKKINEMSKEVTKLKEALNSLSQLSYSTSSSKRQSQQLEALQQQVKQLQNQLAECKKQHQEVISVYRMHLLYAVQGQMDEDVQKVLKQILTMCKNQSQKK
+>DECOY_sp|Q9P0K7|RAI14_HUMAN Ankycorbin OS=Homo sapiens OX=9606 GN=RAI14 PE=1 SV=2
+KKQSQNKCMTLIQKLVKQVDEDMQGQVAYLLHMRYVSIVEQHQKKCEALQNQLQKVQQQLAELQQSQRKSSSTSYSLQSLSNLAEKLKTVEKSMENIKKDKDEELKSSSEAYRKMEALEEQAQQFKQLLENVEQEKSKLLTQINEKERKVQSVESRIQVVESHVKEKEKVSEKLKSALVSVESELSSQLKEYSSRPVMADTMAAKEELLQKELKAVEVEKSALHEKLNSIKEEMEKAATRLTTIVQLHETISVSNEKQADVLQKLQTLENLVKSYQSKMESLADEAKARSVNTLQMLKEHEAKHIYEATVDELSKRKRYDELEAQAEKYLQSLESVQKNLEDIMRNMAEKMDEAEDSAEQTMQSKLTDKLKMIEEQAEQYKEFLFAKEKNMNEIVSCYSSKMEEYEEVPPKIVTGKEREVLKEELERVKEKNRESEELANQLDQKLVNIEEETVRLEHFHSYNDMSEPSVLGLKMQKQVSLVEKMAEEYKSQTEKLKQSLDSSNESIETNNLCVLEARRSQLEVQLQKREAESSELRKQLDQLIEQLQQIRVDNDTTSKGLSHILVSPSSKSDPPSTEGPKGLSPGLDTQTSHYSDFSLDAEAEKPSKAQLKDQLEKNHLTLSAVKAQLLSLLDQQDAESSIDLLSDAGTTSDSLRDKNERISSIEAKFPPEAFFVSEKGSLPTSTISRPSSVDSLQTPSIPPPPAKRKKPTGSRESSIKSVQDHQKPKTPTKLDADQSIKSLLLSQIGANESLKSYHLANYGLSDVLNLDAGKKILAEVANSSGIECALMLATRGSKNRSNVDAGHDLLFHCIESHGNQVALLLPINGDLDKLNIPSKHECLIQVAQLCGQAAAYHLATKGSSDVSEAPCKSQLLKRICEHHSNKAALHLASHGTTDQATVDVGHTIMVRLCEVHGKAAALHFATKGESDHKTASAGKKGLLSAVKEADGNEVAQLLRDDNKNWENTDSKRFKAKLSKM
+>sp|Q9Y5P3|RAI2_HUMAN Retinoic acid-induced protein 2 OS=Homo sapiens OX=9606 GN=RAI2 PE=1 SV=2
+MDDLQSQNLSMDMTDSPPALANNRLENGMAQLITTEAWNINSTDLVKKALVTVPAPSILNPPAESQSGMALKVAATVLQPLCLGESPVVMPIHMQVEGSSAPELNPNGNATYVMTTQGPVQLPVVLEQHVFQHLNSPLVLPQEAPCSSSTIHNNLFQGAEDPEAQPQLLDLRIPSQPQEPTLPFEAVLQNLFPSQGTLGPPPCQPPPGYAPVPPQPFSSPLSPLVPPATLLVPYPVIVPLPVPVPIPIPIPMPQSSESKFSSSFPKPPSSFGLHPFKGTQTPLEKDELKPFDILQPKEYFQLSRHTVIKMGSENEALDLSMKSVPWLKAGEVSPPIFQEDAALDLSVAAHRKSEPPPETLYDSGASVDSSGHTVMEKLPSGMEISFAPATSHEAPAMMDSHISSSDAATEMLSQPNHPSGEVKAENNIEMVGESQAAKVIVSVEDAVPTIFCGKIKGLSGVSTKNFSFKREDSVLQGYDINSQGEESMGNAEPLRKPIKNRSIKLKKVNSQEIHMLPIKKQRLATFFPRK
+>DECOY_sp|Q9Y5P3|RAI2_HUMAN Retinoic acid-induced protein 2 OS=Homo sapiens OX=9606 GN=RAI2 PE=1 SV=2
+KRPFFTALRQKKIPLMHIEQSNVKKLKISRNKIPKRLPEANGMSEEGQSNIDYGQLVSDERKFSFNKTSVGSLGKIKGCFITPVADEVSVIVKAAQSEGVMEINNEAKVEGSPHNPQSLMETAADSSSIHSDMMAPAEHSTAPAFSIEMGSPLKEMVTHGSSDVSAGSDYLTEPPPESKRHAAVSLDLAADEQFIPPSVEGAKLWPVSKMSLDLAENESGMKIVTHRSLQFYEKPQLIDFPKLEDKELPTQTGKFPHLGFSSPPKPFSSSFKSESSQPMPIPIPIPVPVPLPVIVPYPVLLTAPPVLPSLPSSFPQPPVPAYGPPPQCPPPGLTGQSPFLNQLVAEFPLTPEQPQSPIRLDLLQPQAEPDEAGQFLNNHITSSSCPAEQPLVLPSNLHQFVHQELVVPLQVPGQTTMVYTANGNPNLEPASSGEVQMHIPMVVPSEGLCLPQLVTAAVKLAMGSQSEAPPNLISPAPVTVLAKKVLDTSNINWAETTILQAMGNELRNNALAPPSDTMDMSLNQSQLDDM
+>sp|Q8NFJ5|RAI3_HUMAN Retinoic acid-induced protein 3 OS=Homo sapiens OX=9606 GN=GPRC5A PE=1 SV=2
+MATTVPDGCRNGLKSKYYRLCDKAEAWGIVLETVATAGVVTSVAFMLTLPILVCKVQDSNRRKMLPTQFLFLLGVLGIFGLTFAFIIGLDGSTGPTRFFLFGILFSICFSCLLAHAVSLTKLVRGRKPLSLLVILGLAVGFSLVQDVIAIEYIVLTMNRTNVNVFSELSAPRRNEDFVLLLTYVLFLMALTFLMSSFTFCGSFTGWKRHGAHIYLTMLLSIAIWVAWITLLMLPDFDRRWDDTILSSALAANGWVFLLAYVSPEFWLLTKQRNPMDYPVEDAFCKPQLVKKSYGVENRAYSQEEITQGFEETGDTLYAPYSTHFQLQNQPPQKEFSIPRAHAWPSPYKDYEVKKEGS
+>DECOY_sp|Q8NFJ5|RAI3_HUMAN Retinoic acid-induced protein 3 OS=Homo sapiens OX=9606 GN=GPRC5A PE=1 SV=2
+SGEKKVEYDKYPSPWAHARPISFEKQPPQNQLQFHTSYPAYLTDGTEEFGQTIEEQSYARNEVGYSKKVLQPKCFADEVPYDMPNRQKTLLWFEPSVYALLFVWGNAALASSLITDDWRRDFDPLMLLTIWAVWIAISLLMTLYIHAGHRKWGTFSGCFTFSSMLFTLAMLFLVYTLLLVFDENRRPASLESFVNVNTRNMTLVIYEIAIVDQVLSFGVALGLIVLLSLPKRGRVLKTLSVAHALLCSFCISFLIGFLFFRTPGTSGDLGIIFAFTLGFIGLVGLLFLFQTPLMKRRNSDQVKCVLIPLTLMFAVSTVVGATAVTELVIGWAEAKDCLRYYKSKLGNRCGDPVTTAM
+>sp|P61224|RAP1B_HUMAN Ras-related protein Rap-1b OS=Homo sapiens OX=9606 GN=RAP1B PE=1 SV=1
+MREYKLVVLGSGGVGKSALTVQFVQGIFVEKYDPTIEDSYRKQVEVDAQQCMLEILDTAGTEQFTAMRDLYMKNGQGFALVYSITAQSTFNDLQDLREQILRVKDTDDVPMILVGNKCDLEDERVVGKEQGQNLARQWNNCAFLESSAKSKINVNEIFYDLVRQINRKTPVPGKARKKSSCQLL
+>DECOY_sp|P61224|RAP1B_HUMAN Ras-related protein Rap-1b OS=Homo sapiens OX=9606 GN=RAP1B PE=1 SV=1
+LLQCSSKKRAKGPVPTKRNIQRVLDYFIENVNIKSKASSELFACNNWQRALNQGQEKGVVREDELDCKNGVLIMPVDDTDKVRLIQERLDQLDNFTSQATISYVLAFGQGNKMYLDRMATFQETGATDLIELMCQQADVEVQKRYSDEITPDYKEVFIGQVFQVTLASKGVGGSGLVVLKYERM
+>sp|P61225|RAP2B_HUMAN Ras-related protein Rap-2b OS=Homo sapiens OX=9606 GN=RAP2B PE=1 SV=1
+MREYKVVVLGSGGVGKSALTVQFVTGSFIEKYDPTIEDFYRKEIEVDSSPSVLEILDTAGTEQFASMRDLYIKNGQGFILVYSLVNQQSFQDIKPMRDQIIRVKRYERVPMILVGNKVDLEGEREVSYGEGKALAEEWSCPFMETSAKNKASVDELFAEIVRQMNYAAQPNGDEGCCSACVIL
+>DECOY_sp|P61225|RAP2B_HUMAN Ras-related protein Rap-2b OS=Homo sapiens OX=9606 GN=RAP2B PE=1 SV=1
+LIVCASCCGEDGNPQAAYNMQRVIEAFLEDVSAKNKASTEMFPCSWEEALAKGEGYSVEREGELDVKNGVLIMPVREYRKVRIIQDRMPKIDQFSQQNVLSYVLIFGQGNKIYLDRMSAFQETGATDLIELVSPSSDVEIEKRYFDEITPDYKEIFSGTVFQVTLASKGVGGSGLVVVKYERM
+>sp|P10276|RARA_HUMAN Retinoic acid receptor alpha OS=Homo sapiens OX=9606 GN=RARA PE=1 SV=2
+MASNSSSCPTPGGGHLNGYPVPPYAFFFPPMLGGLSPPGALTTLQHQLPVSGYSTPSPATIETQSSSSEEIVPSPPSPPPLPRIYKPCFVCQDKSSGYHYGVSACEGCKGFFRRSIQKNMVYTCHRDKNCIINKVTRNRCQYCRLQKCFEVGMSKESVRNDRNKKKKEVPKPECSESYTLTPEVGELIEKVRKAHQETFPALCQLGKYTTNNSSEQRVSLDIDLWDKFSELSTKCIIKTVEFAKQLPGFTTLTIADQITLLKAACLDILILRICTRYTPEQDTMTFSDGLTLNRTQMHNAGFGPLTDLVFAFANQLLPLEMDDAETGLLSAICLICGDRQDLEQPDRVDMLQEPLLEALKVYVRKRRPSRPHMFPKMLMKITDLRSISAKGAERVITLKMEIPGSMPPLIQEMLENSEGLDTLSGQPGGGGRDGGGLAPPPGSCSPSLSPSSNRSSPATHSP
+>DECOY_sp|P10276|RARA_HUMAN Retinoic acid receptor alpha OS=Homo sapiens OX=9606 GN=RARA PE=1 SV=2
+PSHTAPSSRNSSPSLSPSCSGPPPALGGGDRGGGGPQGSLTDLGESNELMEQILPPMSGPIEMKLTIVREAGKASISRLDTIKMLMKPFMHPRSPRRKRVYVKLAELLPEQLMDVRDPQELDQRDGCILCIASLLGTEADDMELPLLQNAFAFVLDTLPGFGANHMQTRNLTLGDSFTMTDQEPTYRTCIRLILIDLCAAKLLTIQDAITLTTFGPLQKAFEVTKIICKTSLESFKDWLDIDLSVRQESSNNTTYKGLQCLAPFTEQHAKRVKEILEGVEPTLTYSESCEPKPVEKKKKNRDNRVSEKSMGVEFCKQLRCYQCRNRTVKNIICNKDRHCTYVMNKQISRRFFGKCGECASVGYHYGSSKDQCVFCPKYIRPLPPPSPPSPVIEESSSSQTEITAPSPTSYGSVPLQHQLTTLAGPPSLGGLMPPFFFAYPPVPYGNLHGGGPTPCSSSNSAM
+>sp|P20936|RASA1_HUMAN Ras GTPase-activating protein 1 OS=Homo sapiens OX=9606 GN=RASA1 PE=1 SV=1
+MMAAEAGSEEGGPVTAGAGGGGAAAGSSAYPAVCRVKIPAALPVAAAPYPGLVETGVAGTLGGGAALGSEFLGAGSVAGALGGAGLTGGGTAAGVAGAAAGVAGAAVAGPSGDMALTKLPTSLLAETLGPGGGFPPLPPPPYLPPLGAGLGTVDEGDSLDGPEYEEEEVAIPLTAPPTNQWYHGKLDRTIAEERLRQAGKSGSYLIRESDRRPGSFVLSFLSQMNVVNHFRIIAMCGDYYIGGRRFSSLSDLIGYYSHVSCLLKGEKLLYPVAPPEPVEDRRRVRAILPYTKVPDTDEISFLKGDMFIVHNELEDGWMWVTNLRTDEQGLIVEDLVEEVGREEDPHEGKIWFHGKISKQEAYNLLMTVGQVCSFLVRPSDNTPGDYSLYFRTNENIQRFKICPTPNNQFMMGGRYYNSIGDIIDHYRKEQIVEGYYLKEPVPMQDQEQVLNDTVDGKEIYNTIRRKTKDAFYKNIVKKGYLLKKGKGKRWKNLYFILEGSDAQLIYFESEKRATKPKGLIDLSVCSVYVVHDSLFGRPNCFQIVVQHFSEEHYIFYFAGETPEQAEDWMKGLQAFCNLRKSSPGTSNKRLRQVSSLVLHIEEAHKLPVKHFTNPYCNIYLNSVQVAKTHAREGQNPVWSEEFVFDDLPPDINRFEITLSNKTKKSKDPDILFMRCQLSRLQKGHATDEWFLLSSHIPLKGIEPGSLRVRARYSMEKIMPEEEYSEFKELILQKELHVVYALSHVCGQDRTLLASILLRIFLHEKLESLLLCTLNDREISMEDEATTLFRATTLASTLMEQYMKATATQFVHHALKDSILKIMESKQSCELSPSKLEKNEDVNTNLTHLLNILSELVEKIFMASEILPPTLRYIYGCLQKSVQHKWPTNTTMRTRVVSGFVFLRLICPAILNPRMFNIISDSPSPIAARTLILVAKSVQNLANLVEFGAKEPYMEGVNPFIKSNKHRMIMFLDELGNVPELPDTTEHSRTDLSRDLAALHEICVAHSDELRTLSNERGAQQHVLKKLLAITELLQQKQNQYTKTNDVR
+>DECOY_sp|P20936|RASA1_HUMAN Ras GTPase-activating protein 1 OS=Homo sapiens OX=9606 GN=RASA1 PE=1 SV=1
+RVDNTKTYQNQKQQLLETIALLKKLVHQQAGRENSLTRLEDSHAVCIEHLAALDRSLDTRSHETTDPLEPVNGLEDLFMIMRHKNSKIFPNVGEMYPEKAGFEVLNALNQVSKAVLILTRAAIPSPSDSIINFMRPNLIAPCILRLFVFGSVVRTRMTTNTPWKHQVSKQLCGYIYRLTPPLIESAMFIKEVLESLINLLHTLNTNVDENKELKSPSLECSQKSEMIKLISDKLAHHVFQTATAKMYQEMLTSALTTARFLTTAEDEMSIERDNLTCLLLSELKEHLFIRLLISALLTRDQGCVHSLAYVVHLEKQLILEKFESYEEEPMIKEMSYRARVRLSGPEIGKLPIHSSLLFWEDTAHGKQLRSLQCRMFLIDPDKSKKTKNSLTIEFRNIDPPLDDFVFEESWVPNQGERAHTKAVQVSNLYINCYPNTFHKVPLKHAEEIHLVLSSVQRLRKNSTGPSSKRLNCFAQLGKMWDEAQEPTEGAFYFIYHEESFHQVVIQFCNPRGFLSDHVVYVSCVSLDILGKPKTARKESEFYILQADSGELIFYLNKWRKGKGKKLLYGKKVINKYFADKTKRRITNYIEKGDVTDNLVQEQDQMPVPEKLYYGEVIQEKRYHDIIDGISNYYRGGMMFQNNPTPCIKFRQINENTRFYLSYDGPTNDSPRVLFSCVQGVTMLLNYAEQKSIKGHFWIKGEHPDEERGVEEVLDEVILGQEDTRLNTVWMWGDELENHVIFMDGKLFSIEDTDPVKTYPLIARVRRRDEVPEPPAVPYLLKEGKLLCSVHSYYGILDSLSSFRRGGIYYDGCMAIIRFHNVVNMQSLFSLVFSGPRRDSERILYSGSKGAQRLREEAITRDLKGHYWQNTPPATLPIAVEEEEYEPGDLSDGEDVTGLGAGLPPLYPPPPLPPFGGGPGLTEALLSTPLKTLAMDGSPGAVAAGAVGAAAGAVGAATGGGTLGAGGLAGAVSGAGLFESGLAAGGGLTGAVGTEVLGPYPAAAVPLAAPIKVRCVAPYASSGAAAGGGGAGATVPGGEESGAEAAMM
+>sp|Q86WH2|RASF3_HUMAN Ras association domain-containing protein 3 OS=Homo sapiens OX=9606 GN=RASSF3 PE=1 SV=1
+MSSGYSSLEEDAEDFFFTARTSFFRRAPQGKPRSGQQDVEKEKETHSYLSKEEIKEKVHKYNLAVTDKLKMTLNSNGIYTGFIKVQMELCKPPQTSPNSGKLSPSSNGCMNTLHISSTNTVGEVIEALLKKFLVTESPAKFALYKRCHREDQVYACKLSDREHPLYLRLVAGPRTDTLSFVLREHEIGEWEAFSLPELQNFLRILDKEEDEQLQNLKRRYTAYRQKLEEALREVWKPD
+>DECOY_sp|Q86WH2|RASF3_HUMAN Ras association domain-containing protein 3 OS=Homo sapiens OX=9606 GN=RASSF3 PE=1 SV=1
+DPKWVERLAEELKQRYATYRRKLNQLQEDEEKDLIRLFNQLEPLSFAEWEGIEHERLVFSLTDTRPGAVLRLYLPHERDSLKCAYVQDERHCRKYLAFKAPSETVLFKKLLAEIVEGVTNTSSIHLTNMCGNSSPSLKGSNPSTQPPKCLEMQVKIFGTYIGNSNLTMKLKDTVALNYKHVKEKIEEKSLYSHTEKEKEVDQQGSRPKGQPARRFFSTRATFFFDEADEELSSYGSSM
+>sp|Q8WWW0|RASF5_HUMAN Ras association domain-containing protein 5 OS=Homo sapiens OX=9606 GN=RASSF5 PE=1 SV=1
+MAMASPAIGQRPYPLLLDPEPPRYLQSLSGPELPPPPPDRSSRLCVPAPLSTAPGAREGRSARRAARGNLEPPPRASRPARPLRPGLQQRLRRRPGAPRPRDVRSIFEQPQDPRVPAERGEGHCFAELVLPGGPGWCDLCGREVLRQALRCTNCKFTCHPECRSLIQLDCSQQEGLSRDRPSPESTLTVTFSQNVCKPVEETQRPPTLQEIKQKIDSYNTREKNCLGMKLSEDGTYTGFIKVHLKLRRPVTVPAGIRPQSIYDAIKEVNLAATTDKRTSFYLPLDAIKQLHISSTTTVSEVIQGLLKKFMVVDNPQKFALFKRIHKDGQVLFQKLSIADRPLYLRLLAGPDTEVLSFVLKENETGEVEWDAFSIPELQNFLTILEKEEQDKIQQVQKKYDKFRQKLEEALRESQGKPG
+>DECOY_sp|Q8WWW0|RASF5_HUMAN Ras association domain-containing protein 5 OS=Homo sapiens OX=9606 GN=RASSF5 PE=1 SV=1
+GPKGQSERLAEELKQRFKDYKKQVQQIKDQEEKELITLFNQLEPISFADWEVEGTENEKLVFSLVETDPGALLRLYLPRDAISLKQFLVQGDKHIRKFLAFKQPNDVVMFKKLLGQIVESVTTTSSIHLQKIADLPLYFSTRKDTTAALNVEKIADYISQPRIGAPVTVPRRLKLHVKIFGTYTGDESLKMGLCNKERTNYSDIKQKIEQLTPPRQTEEVPKCVNQSFTVTLTSEPSPRDRSLGEQQSCDLQILSRCEPHCTFKCNTCRLAQRLVERGCLDCWGPGGPLVLEAFCHGEGREAPVRPDQPQEFISRVDRPRPAGPRRRLRQQLGPRLPRAPRSARPPPELNGRAARRASRGERAGPATSLPAPVCLRSSRDPPPPPLEPGSLSQLYRPPEPDLLLPYPRQGIAPSAMAM
+>sp|O95294|RASL1_HUMAN RasGAP-activating-like protein 1 OS=Homo sapiens OX=9606 GN=RASAL1 PE=1 SV=3
+MAKSSSLNVRVVEGRALPAKDVSGSSDPYCLVKVDDEVVARTATVWRSLGPFWGEEYTVHLPLDFHQLAFYVLDEDTVGHDDIIGKISLSREAITADPRGIDSWINLSRVDPDAEVQGEICLSVQMLEDGQGRCLRCHVLQARDLAPRDISGTSDPFARVFWGSQSLETSTIKKTRFPHWDEVLELREMPGAPSPLRVELWDWDMVGKNDFLGMVEFSPKTLQQKPPKGWFRLLPFPRAEEDSGGNLGALRVKVRLIEDRVLPSQCYQPLMELLMESVQGPAEEDTASPLALLEELTLGDCRQDLATKLVKLFLGRGLAGRFLDYLTRREVARTMDPNTLFRSNSLASKSMEQFMKLVGMPYLHEVLKPVISRVFEEKKYMELDPCKMDLGRTRRISFKGALSEEQMRETSLGLLTGYLGPIVDAIVGSVGRCPPAMRLAFKQLHRRVEERFPQAEHQDVKYLAISGFLFLRFFAPAILTPKLFDLRDQHADPQTSRSLLLLAKAVQSIGNLGQQLGQGKELWMAPLHPFLLQCVSRVRDFLDRLVDVDGDEAGVPARALFPPSAIVREGYLLKRKEEPAGLATRFAFKKRYVWLSGETLSFSKSPEWQMCHSIPVSHIRAVERVDEGAFQLPHVMQVVTQDGTGALHTTYLQCKNVNELNQWLSALRKASAPNPNKLAACHPGAFRSARWTCCLQAERSAAGCSRTHSAVTLGDWSDPLDPDAEAQTVYRQLLLGRDQLRLKLLEDSNMDTTLEADTGACPEVLARQRAATARLLEVLADLDRAHEEFQQQERGKAALGPLGP
+>DECOY_sp|O95294|RASL1_HUMAN RasGAP-activating-like protein 1 OS=Homo sapiens OX=9606 GN=RASAL1 PE=1 SV=3
+PGLPGLAAKGREQQQFEEHARDLDALVELLRATAARQRALVEPCAGTDAELTTDMNSDELLKLRLQDRGLLLQRYVTQAEADPDLPDSWDGLTVASHTRSCGAASREAQLCCTWRASRFAGPHCAALKNPNPASAKRLASLWQNLENVNKCQLYTTHLAGTGDQTVVQMVHPLQFAGEDVREVARIHSVPISHCMQWEPSKSFSLTEGSLWVYRKKFAFRTALGAPEEKRKLLYGERVIASPPFLARAPVGAEDGDVDVLRDLFDRVRSVCQLLFPHLPAMWLEKGQGLQQGLNGISQVAKALLLLSRSTQPDAHQDRLDFLKPTLIAPAFFRLFLFGSIALYKVDQHEAQPFREEVRRHLQKFALRMAPPCRGVSGVIADVIPGLYGTLLGLSTERMQEESLAGKFSIRRTRGLDMKCPDLEMYKKEEFVRSIVPKLVEHLYPMGVLKMFQEMSKSALSNSRFLTNPDMTRAVERRTLYDLFRGALGRGLFLKVLKTALDQRCDGLTLEELLALPSATDEEAPGQVSEMLLEMLPQYCQSPLVRDEILRVKVRLAGLNGGSDEEARPFPLLRFWGKPPKQQLTKPSFEVMGLFDNKGVMDWDWLEVRLPSPAGPMERLELVEDWHPFRTKKITSTELSQSGWFVRAFPDSTGSIDRPALDRAQLVHCRLCRGQGDELMQVSLCIEGQVEADPDVRSLNIWSDIGRPDATIAERSLSIKGIIDDHGVTDEDLVYFALQHFDLPLHVTYEEGWFPGLSRWVTATRAVVEDDVKVLCYPDSSGSVDKAPLARGEVVRVNLSSSKAM
+>sp|Q9UNT1|RBL2B_HUMAN Rab-like protein 2B OS=Homo sapiens OX=9606 GN=RABL2B PE=1 SV=1
+MAEDKTKPSELDQGKYDADDNVKIICLGDSAVGKSKLMERFLMDGFQPQQLSTYALTLYKHTATVDGRTILVDFWDTAGQERFQSMHASYYHKAHACIMVFDVQRKVTYRNLSTWYTELREFRPEIPCIVVANKIDDINVTQKSFNFAKKFSLPLYFVSAADGTNVVKLFNDAIRLAVSYKQNSQDFMDEIFQELENFSLEQEEEDVPDQEQSSSIETPSEEAASPHS
+>DECOY_sp|Q9UNT1|RBL2B_HUMAN Rab-like protein 2B OS=Homo sapiens OX=9606 GN=RABL2B PE=1 SV=1
+SHPSAAEESPTEISSSQEQDPVDEEEQELSFNELEQFIEDMFDQSNQKYSVALRIADNFLKVVNTGDAASVFYLPLSFKKAFNFSKQTVNIDDIKNAVVICPIEPRFERLETYWTSLNRYTVKRQVDFVMICAHAKHYYSAHMSQFREQGATDWFDVLITRGDVTATHKYLTLAYTSLQQPQFGDMLFREMLKSKGVASDGLCIIKVNDDADYKGQDLESPKTKDEAM
+>sp|P57052|RBM11_HUMAN Splicing regulator RBM11 OS=Homo sapiens OX=9606 GN=RBM11 PE=1 SV=1
+MFPAQEEADRTVFVGNLEARVREEILYELFLQAGPLTKVTICKDREGKPKSFGFVCFKHPESVSYAIALLNGIRLYGRPINVQYRFGSSRSSEPANQSFESCVKINSHNYRNEEMLVGRSSFPMQYFPINNTSLPQEYFLFQKMQWHVYNPVLQLPYYEMTAPLPNSASVSSSLNHVPDLEAGPSSYKWTHQQPSDSDLYQMTAPLPNSASVSSSLNHVPDLEAGPSSYKWTHQQPSDSDLYQMNKRKRQKQTSDSDSSTDNNRGNECSQKFRKSKKKKRY
+>DECOY_sp|P57052|RBM11_HUMAN Splicing regulator RBM11 OS=Homo sapiens OX=9606 GN=RBM11 PE=1 SV=1
+YRKKKKSKRFKQSCENGRNNDTSSDSDSTQKQRKRKNMQYLDSDSPQQHTWKYSSPGAELDPVHNLSSSVSASNPLPATMQYLDSDSPQQHTWKYSSPGAELDPVHNLSSSVSASNPLPATMEYYPLQLVPNYVHWQMKQFLFYEQPLSTNNIPFYQMPFSSRGVLMEENRYNHSNIKVCSEFSQNAPESSRSSGFRYQVNIPRGYLRIGNLLAIAYSVSEPHKFCVFGFSKPKGERDKCITVKTLPGAQLFLEYLIEERVRAELNGVFVTRDAEEQAPFM
+>sp|Q9BX46|RBM24_HUMAN RNA-binding protein 24 OS=Homo sapiens OX=9606 GN=RBM24 PE=1 SV=1
+MHTTQKDTTYTKIFVGGLPYHTTDASLRKYFEVFGEIEEAVVITDRQTGKSRGYGFVTMADRAAAERACKDPNPIIDGRKANVNLAYLGAKPRIMQPGFAFGVQQLHPALIQRPFGIPAHYVYPQAFVQPGVVIPHVQPTAAAASTTPYIDYTGAAYAQYSAAAAAAAAAAAYDQYPYAASPAAAGYVTAGGYGYAVQQPITAAAPGTAAAAAAAAAAAAAFGQYQPQQLQTDRMQ
+>DECOY_sp|Q9BX46|RBM24_HUMAN RNA-binding protein 24 OS=Homo sapiens OX=9606 GN=RBM24 PE=1 SV=1
+QMRDTQLQQPQYQGFAAAAAAAAAAAAATGPAAATIPQQVAYGYGGATVYGAAAPSAAYPYQDYAAAAAAAAAAASYQAYAAGTYDIYPTTSAAAATPQVHPIVVGPQVFAQPYVYHAPIGFPRQILAPHLQQVGFAFGPQMIRPKAGLYALNVNAKRGDIIPNPDKCAREAAARDAMTVFGYGRSKGTQRDTIVVAEEIEGFVEFYKRLSADTTHYPLGGVFIKTYTTDKQTTHM
+>sp|P42696|RBM34_HUMAN RNA-binding protein 34 OS=Homo sapiens OX=9606 GN=RBM34 PE=1 SV=2
+MALEGMSKRKRKRSVQEGENPDDGVRGSPPEDYRLGQVASSLFRGEHHSRGGTGRLASLFSSLEPQIQPVYVPVPKQTIKKTKRNEEEESTSQIERPLSQEPAKKVKAKKKHTNAEKKLADRESALASADLEEEIHQKQGQKRKNSQPGVKVADRKILDDTEDTVVSQRKKIQINQEEERLKNERTVFVGNLPVTCNKKKLKSFFKEYGQIESVRFRSLIPAEGTLSKKLAAIKRKIHPDQKNINAYVVFKEESAATQALKRNGAQIADGFRIRVDLASETSSRDKRSVFVGNLPYKVEESAIEKHFLDCGSIMAVRIVRDKMTGIGKGFGYVLFENTDSVHLALKLNNSELMGRKLRVMRSVNKEKFKQQNSNPRLKNVSKPKQGLNFTSKTAEGHPKSLFIGEKAVLLKTKKKGQKKSGRPKKQRKQK
+>DECOY_sp|P42696|RBM34_HUMAN RNA-binding protein 34 OS=Homo sapiens OX=9606 GN=RBM34 PE=1 SV=2
+KQKRQKKPRGSKKQGKKKTKLLVAKEGIFLSKPHGEATKSTFNLGQKPKSVNKLRPNSNQQKFKEKNVSRMVRLKRGMLESNNLKLALHVSDTNEFLVYGFGKGIGTMKDRVIRVAMISGCDLFHKEIASEEVKYPLNGVFVSRKDRSSTESALDVRIRFGDAIQAGNRKLAQTAASEEKFVVYANINKQDPHIKRKIAALKKSLTGEAPILSRFRVSEIQGYEKFFSKLKKKNCTVPLNGVFVTRENKLREEEQNIQIKKRQSVVTDETDDLIKRDAVKVGPQSNKRKQGQKQHIEEELDASALASERDALKKEANTHKKKAKVKKAPEQSLPREIQSTSEEEENRKTKKITQKPVPVYVPQIQPELSSFLSALRGTGGRSHHEGRFLSSAVQGLRYDEPPSGRVGDDPNEGEQVSRKRKRKSMGELAM
+>sp|Q96LT9|RBM40_HUMAN RNA-binding protein 40 OS=Homo sapiens OX=9606 GN=RNPC3 PE=1 SV=1
+MAAPEQPLAISRGCTSSSSLSPPRGDRTLLVRHLPAELTAEEKEDLLKYFGAQSVRVLSDKGRLKHTAFATFPNEKAAIKALTRLHQLKLLGHTLVVEFAKEQDRVHSPCPTSGSEKKKRSDDPVEDDKEKKELGYLTVENGIAPNHGLTFPLNSCLKYMYPPPSSTILANIVNALASVPKFYVQVLHLMNKMNLPTPFGPITARPPMYEDYMPLHAPLPPTSPQPPEEPPLPDEDEELSSEESEYESTDDEDRQRMNKLMELANLQPKRPKTIKQRHVRKKRKIKDMLNTPLCPSHSSLHPVLLPSDVFDQPQPVGNKRIEFHISTDMPAAFKKDLEKEQNCEEKNHDLPATEVDASNIGFGKIFPKPNLDITEEIKEDSDEMPSECISRRELEKGRISREEMETLSVFRSYEPGEPNCRIYVKNLAKHVQEKDLKYIFGRYVDFSSETQRIMFDIRLMKEGRMKGQAFIGLPNEKAAAKALKEANGYVLFGKPMVVQFARSARPKQDPKEGKRKC
+>DECOY_sp|Q96LT9|RBM40_HUMAN RNA-binding protein 40 OS=Homo sapiens OX=9606 GN=RNPC3 PE=1 SV=1
+CKRKGEKPDQKPRASRAFQVVMPKGFLVYGNAEKLAKAAAKENPLGIFAQGKMRGEKMLRIDFMIRQTESSFDVYRGFIYKLDKEQVHKALNKVYIRCNPEGPEYSRFVSLTEMEERSIRGKELERRSICESPMEDSDEKIEETIDLNPKPFIKGFGINSADVETAPLDHNKEECNQEKELDKKFAAPMDTSIHFEIRKNGVPQPQDFVDSPLLVPHLSSHSPCLPTNLMDKIKRKKRVHRQKITKPRKPQLNALEMLKNMRQRDEDDTSEYESEESSLEEDEDPLPPEEPPQPSTPPLPAHLPMYDEYMPPRATIPGFPTPLNMKNMLHLVQVYFKPVSALANVINALITSSPPPYMYKLCSNLPFTLGHNPAIGNEVTLYGLEKKEKDDEVPDDSRKKKESGSTPCPSHVRDQEKAFEVVLTHGLLKLQHLRTLAKIAAKENPFTAFATHKLRGKDSLVRVSQAGFYKLLDEKEEATLEAPLHRVLLTRDGRPPSLSSSSTCGRSIALPQEPAAM
+>sp|Q9BTD8|RBM42_HUMAN RNA-binding protein 42 OS=Homo sapiens OX=9606 GN=RBM42 PE=1 SV=1
+MAGAGPAPGLPGAGGPVVPGPGAGIPGKSGEERLKEMEAEMALFEQEVLGAPVPGIPTAVPAVPTVPTVPTVEAMQVPAAPVIRPIIATNTYQQVQQTLEARAAAAATVVPPMVGGPPFVGPVGFGPGDRSHLDSPEAREAMFLRRAAVAPQRAPILRPAFVPHVLQRADSALSSAAAGPRPMALRPPHQALVGPPLPGPPGPPMMLPPMARAPGPPLGSMAALRPPLEEPAAPRELGLGLGLGLKEKEEAVVAAAAGLEEASAAVAVGAGGAPAGPAVIGPSLPLALAMPLPEPEPLPLPLEVVRGLLPPLRIPELLSLRPRPRPPRPEPPPGLMALEVPEPLGEDKKKGKPEKLKRCIRTAAGSSWEDPSLLEWDADDFRIFCGDLGNEVNDDILARAFSRFPSFLKAKVIRDKRTGKTKGYGFVSFKDPSDYVRAMREMNGKYVGSRPIKLRKSMWKDRNLDVVRKKQKEKKKLGLR
+>DECOY_sp|Q9BTD8|RBM42_HUMAN RNA-binding protein 42 OS=Homo sapiens OX=9606 GN=RBM42 PE=1 SV=1
+RLGLKKKEKQKKRVVDLNRDKWMSKRLKIPRSGVYKGNMERMARVYDSPDKFSVFGYGKTKGTRKDRIVKAKLFSPFRSFARALIDDNVENGLDGCFIRFDDADWELLSPDEWSSGAATRICRKLKEPKGKKKDEGLPEPVELAMLGPPPEPRPPRPRPRLSLLEPIRLPPLLGRVVELPLPLPEPEPLPMALALPLSPGIVAPGAPAGGAGVAVAASAEELGAAAAVVAEEKEKLGLGLGLGLERPAAPEELPPRLAAMSGLPPGPARAMPPLMMPPGPPGPLPPGVLAQHPPRLAMPRPGAAASSLASDARQLVHPVFAPRLIPARQPAVAARRLFMAERAEPSDLHSRDGPGFGVPGVFPPGGVMPPVVTAAAAARAELTQQVQQYTNTAIIPRIVPAAPVQMAEVTPVTPVTPVAPVATPIGPVPAGLVEQEFLAMEAEMEKLREEGSKGPIGAGPGPVVPGGAGPLGPAPGAGAM
+>sp|Q92804|RBP56_HUMAN TATA-binding protein-associated factor 2N OS=Homo sapiens OX=9606 GN=TAF15 PE=1 SV=1
+MSDSGSYGQSGGEQQSYSTYGNPGSQGYGQASQSYSGYGQTTDSSYGQNYSGYSSYGQSQSGYSQSYGGYENQKQSSYSQQPYNNQGQQQNMESSGSQGGRAPSYDQPDYGQQDSYDQQSGYDQHQGSYDEQSNYDQQHDSYSQNQQSYHSQRENYSHHTQDDRRDVSRYGEDNRGYGGSQGGGRGRGGYDKDGRGPMTGSSGGDRGGFKNFGGHRDYGPRTDADSESDNSDNNTIFVQGLGEGVSTDQVGEFFKQIGIIKTNKKTGKPMINLYTDKDTGKPKGEATVSFDDPPSAKAAIDWFDGKEFHGNIIKVSFATRRPEFMRGGGSGGGRRGRGGYRGRGGFQGRGGDPKSGDWVCPNPSCGNMNFARRNSCNQCNEPRPEDSRPSGGDFRGRGYGGERGYRGRGGRGGDRGGYGGDRSGGGYGGDRSSGGGYSGDRSGGGYGGDRSGGGYGGDRGGGYGGDRGGGYGGDRGGGYGGDRGGYGGDRGGGYGGDRGGYGGDRGGYGGDRGGYGGDRGGYGGDRSRGGYGGDRGGGSGYGGDRSGGYGGDRSGGGYGGDRGGGYGGDRGGYGGKMGGRNDYRNDQRNRPY
+>DECOY_sp|Q92804|RBP56_HUMAN TATA-binding protein-associated factor 2N OS=Homo sapiens OX=9606 GN=TAF15 PE=1 SV=1
+YPRNRQDNRYDNRGGMKGGYGGRDGGYGGGRDGGYGGGSRDGGYGGSRDGGYGSGGGRDGGYGGRSRDGGYGGRDGGYGGRDGGYGGRDGGYGGRDGGYGGGRDGGYGGRDGGYGGGRDGGYGGGRDGGYGGGRDGGYGGGSRDGGYGGGSRDGSYGGGSSRDGGYGGGSRDGGYGGRDGGRGGRGRYGREGGYGRGRFDGGSPRSDEPRPENCQNCSNRRAFNMNGCSPNPCVWDGSKPDGGRGQFGGRGRYGGRGRRGGGSGGGRMFEPRRTAFSVKIINGHFEKGDFWDIAAKASPPDDFSVTAEGKPKGTDKDTYLNIMPKGTKKNTKIIGIQKFFEGVQDTSVGEGLGQVFITNNDSNDSESDADTRPGYDRHGGFNKFGGRDGGSSGTMPGRGDKDYGGRGRGGGQSGGYGRNDEGYRSVDRRDDQTHHSYNERQSHYSQQNQSYSDHQQDYNSQEDYSGQHQDYGSQQDYSDQQGYDPQDYSPARGGQSGSSEMNQQQGQNNYPQQSYSSQKQNEYGGYSQSYGSQSQGYSSYGSYNQGYSSDTTQGYGSYSQSAQGYGQSGPNGYTSYSQQEGGSQGYSGSDSM
+>sp|Q93062|RBPMS_HUMAN RNA-binding protein with multiple splicing OS=Homo sapiens OX=9606 GN=RBPMS PE=1 SV=1
+MNNGGKAEKENTPSEANLQEEEVRTLFVSGLPLDIKPRELYLLFRPFKGYEGSLIKLTSKQPVGFVSFDSRSEAEAAKNALNGIRFDPEIPQTLRLEFAKANTKMAKNKLVGTPNPSTPLPNTVPQFIAREPYELTVPALYPSSPEVWAPYPLYPAELAPALPPPAFTYPASLHAQMRWLPPSEATSQGWKSRQFC
+>DECOY_sp|Q93062|RBPMS_HUMAN RNA-binding protein with multiple splicing OS=Homo sapiens OX=9606 GN=RBPMS PE=1 SV=1
+CFQRSKWGQSTAESPPLWRMQAHLSAPYTFAPPPLAPALEAPYLPYPAWVEPSSPYLAPVTLEYPERAIFQPVTNPLPTSPNPTGVLKNKAMKTNAKAFELRLTQPIEPDFRIGNLANKAAEAESRSDFSVFGVPQKSTLKILSGEYGKFPRFLLYLERPKIDLPLGSVFLTRVEEEQLNAESPTNEKEAKGGNNM
+>sp|Q9HBD1|RC3H2_HUMAN Roquin-2 OS=Homo sapiens OX=9606 GN=RC3H2 PE=1 SV=2
+MPVQAAQWTEFLSCPICYNEFDENVHKPISLGCSHTVCKTCLNKLHRKACPFDQTAINTDIDVLPVNFALLQLVGAQVPDHQSIKLSNLGENKHYEVAKKCVEDLALYLKPLSGGKGVASLNQSALSRPMQRKLVTLVNCQLVEEEGRVRAMRAARSLGERTVTELILQHQNPQQLSANLWAAVRARGCQFLGPAMQEEALKLVLLALEDGSALSRKVLVLFVVQRLEPRFPQASKTSIGHVVQLLYRASCFKVTKRDEDSSLMQLKEEFRSYEALRREHDAQIVHIAMEAGLRISPEQWSSLLYGDLAHKSHMQSIIDKLQSPESFAKSVQELTIVLQRTGDPANLNRLRPHLELLANIDPNPDAVSPTWEQLENAMVAVKTVVHGLVDFIQNYSRKGHETPQPQPNSKYKTSMCRDLRQQGGCPRGTNCTFAHSQEELEKYRLRNKKINATVRTFPLLNKVGVNNTVTTTAGNVISVIGSTETTGKIVPSTNGISNAENSVSQLISRSTDSTLRALETVKKVGKVGANGQNAAGPSADSVTENKIGSPPKTPVSNVAATSAGPSNVGTELNSVPQKSSPFLTRVPVYPPHSENIQYFQDPRTQIPFEVPQYPQTGYYPPPPTVPAGVAPCVPRFVRSNNVPESSLPPASMPYADHYSTFSPRDRMNSSPYQPPPPQPYGPVPPVPSGMYAPVYDSRRIWRPPMYQRDDIIRSNSLPPMDVMHSSVYQTSLRERYNSLDGYYSVACQPPSEPRTTVPLPREPCGHLKTSCEEQIRRKPDQWAQYHTQKAPLVSSTLPVATQSPTPPSPLFSVDFRADFSESVSGTKFEEDHLSHYSPWSCGTIGSCINAIDSEPKDVIANSNAVLMDLDSGDVKRRVHLFETQRRTKEEDPIIPFSDGPIISKWGAISRSSRTGYHTTDPVQATASQGSATKPISVSDYVPYVNAVDSRWSSYGNEATSSAHYVERDRFIVTDLSGHRKHSSTGDLLSLELQQAKSNSLLLQREANALAMQQKWNSLDEGRHLTLNLLSKEIELRNGELQSDYTEDATDTKPDRDIELELSALDTDEPDGQSEPIEEILDIQLGISSQNDQLLNGMAVENGHPVQQHQKEPPKQKKQSLGEDHVILEEQKTILPVTSCFSQPLPVSISNASCLPITTSVSAGNLILKTHVMSEDKNDFLKPVANGKMVNS
+>DECOY_sp|Q9HBD1|RC3H2_HUMAN Roquin-2 OS=Homo sapiens OX=9606 GN=RC3H2 PE=1 SV=2
+SNVMKGNAVPKLFDNKDESMVHTKLILNGASVSTTIPLCSANSISVPLPQSFCSTVPLITKQEELIVHDEGLSQKKQKPPEKQHQQVPHGNEVAMGNLLQDNQSSIGLQIDLIEEIPESQGDPEDTDLASLELEIDRDPKTDTADETYDSQLEGNRLEIEKSLLNLTLHRGEDLSNWKQQMALANAERQLLLSNSKAQQLELSLLDGTSSHKRHGSLDTVIFRDREVYHASSTAENGYSSWRSDVANVYPVYDSVSIPKTASGQSATAQVPDTTHYGTRSSRSIAGWKSIIPGDSFPIIPDEEKTRRQTEFLHVRRKVDGSDLDMLVANSNAIVDKPESDIANICSGITGCSWPSYHSLHDEEFKTGSVSESFDARFDVSFLPSPPTPSQTAVPLTSSVLPAKQTHYQAWQDPKRRIQEECSTKLHGCPERPLPVTTRPESPPQCAVSYYGDLSNYRERLSTQYVSSHMVDMPPLSNSRIIDDRQYMPPRWIRRSDYVPAYMGSPVPPVPGYPQPPPPQYPSSNMRDRPSFTSYHDAYPMSAPPLSSEPVNNSRVFRPVCPAVGAPVTPPPPYYGTQPYQPVEFPIQTRPDQFYQINESHPPYVPVRTLFPSSKQPVSNLETGVNSPGASTAAVNSVPTKPPSGIKNETVSDASPGAANQGNAGVKGVKKVTELARLTSDTSRSILQSVSNEANSIGNTSPVIKGTTETSGIVSIVNGATTTVTNNVGVKNLLPFTRVTANIKKNRLRYKELEEQSHAFTCNTGRPCGGQQRLDRCMSTKYKSNPQPQPTEHGKRSYNQIFDVLGHVVTKVAVMANELQEWTPSVADPNPDINALLELHPRLRNLNAPDGTRQLVITLEQVSKAFSEPSQLKDIISQMHSKHALDGYLLSSWQEPSIRLGAEMAIHVIQADHERRLAEYSRFEEKLQMLSSDEDRKTVKFCSARYLLQVVHGISTKSAQPFRPELRQVVFLVLVKRSLASGDELALLVLKLAEEQMAPGLFQCGRARVAAWLNASLQQPNQHQLILETVTREGLSRAARMARVRGEEEVLQCNVLTVLKRQMPRSLASQNLSAVGKGGSLPKLYLALDEVCKKAVEYHKNEGLNSLKISQHDPVQAGVLQLLAFNVPLVDIDTNIATQDFPCAKRHLKNLCTKCVTHSCGLSIPKHVNEDFENYCIPCSLFETWQAAQVPM
+>sp|Q14206|RCAN2_HUMAN Calcipressin-2 OS=Homo sapiens OX=9606 GN=RCAN2 PE=2 SV=3
+MPAPSMDCDVSTLVACVVDVEVFTNQEVKEKFEGLFRTYDDCVTFQLFKSFRRVRINFSNPKSAARARIELHETQFRGKKLKLYFAQVQTPETDGDKLHLAPPQPAKQFLISPPSSPPVGWQPINDATPVLNYDLLYAVAKLGPGEKYELHAGTESTPSVVVHVCDSDIEEEEDPKTSPKPKIIQTRRPGLPPSVSN
+>DECOY_sp|Q14206|RCAN2_HUMAN Calcipressin-2 OS=Homo sapiens OX=9606 GN=RCAN2 PE=2 SV=3
+NSVSPPLGPRRTQIIKPKPSTKPDEEEEIDSDCVHVVVSPTSETGAHLEYKEGPGLKAVAYLLDYNLVPTADNIPQWGVPPSSPPSILFQKAPQPPALHLKDGDTEPTQVQAFYLKLKKGRFQTEHLEIRARAASKPNSFNIRVRRFSKFLQFTVCDDYTRFLGEFKEKVEQNTFVEVDVVCAVLTSVDCDMSPAPM
+>sp|Q14257|RCN2_HUMAN Reticulocalbin-2 OS=Homo sapiens OX=9606 GN=RCN2 PE=1 SV=1
+MRLGPRTAALGLLLLCAAAAGAGKAEELHYPLGERRSDYDREALLGVQEDVDEYVKLGHEEQQKRLQAIIKKIDLDSDGFLTESELSSWIQMSFKHYAMQEAKQQFVEYDKNSDDTVTWDEYNIQMYDRVIDFDENTALDDAEEESFRKLHLKDKKRFEKANQDSGPGLSLEEFIAFEHPEEVDYMTEFVIQEALEEHDKNGDGFVSLEEFLGDYRWDPTANEDPEWILVEKDRFVNDYDKDNDGRLDPQELLPWVVPNNQGIAQEEALHLIDEMDLNGDKKLSEEEILENPDLFLTSEATDYGRQLHDDYFYHDEL
+>DECOY_sp|Q14257|RCN2_HUMAN Reticulocalbin-2 OS=Homo sapiens OX=9606 GN=RCN2 PE=1 SV=1
+LEDHYFYDDHLQRGYDTAESTLFLDPNELIEEESLKKDGNLDMEDILHLAEEQAIGQNNPVVWPLLEQPDLRGDNDKDYDNVFRDKEVLIWEPDENATPDWRYDGLFEELSVFGDGNKDHEELAEQIVFETMYDVEEPHEFAIFEELSLGPGSDQNAKEFRKKDKLHLKRFSEEEADDLATNEDFDIVRDYMQINYEDWTVTDDSNKDYEVFQQKAEQMAYHKFSMQIWSSLESETLFGDSDLDIKKIIAQLRKQQEEHGLKVYEDVDEQVGLLAERDYDSRREGLPYHLEEAKGAGAAAACLLLLGLAATRPGLRM
+>sp|Q6DKI7|PVRIG_HUMAN Transmembrane protein PVRIG OS=Homo sapiens OX=9606 GN=PVRIG PE=1 SV=1
+MRTEAQVPALQPPEPGLEGAMGHRTLVLPWVLLTLCVTAGTPEVWVQVRMEATELSSFTIRCGFLGSGSISLVTVSWGGPNGAGGTTLAVLHPERGIRQWAPARQARWETQSSISLILEGSGASSPCANTTFCCKFASFPEGSWEACGSLPPSSDPGLSAPPTPAPILRADLAGILGVSGVLLFGCVYLLHLLRRHKHRPAPRLQPSRTSPQAPRARAWAPSQASQAALHVPYATINTSCRPATLDTAHPHGGPSWWASLPTHAAHRPQGPAAWASTPIPARGSFVSVENGLYAQAGERPPHTGPGLTLFPDPRGPRAMEGPLGVR
+>DECOY_sp|Q6DKI7|PVRIG_HUMAN Transmembrane protein PVRIG OS=Homo sapiens OX=9606 GN=PVRIG PE=1 SV=1
+RVGLPGEMARPGRPDPFLTLGPGTHPPREGAQAYLGNEVSVFSGRAPIPTSAWAAPGQPRHAAHTPLSAWWSPGGHPHATDLTAPRCSTNITAYPVHLAAQSAQSPAWARARPAQPSTRSPQLRPAPRHKHRRLLHLLYVCGFLLVGSVGLIGALDARLIPAPTPPASLGPDSSPPLSGCAEWSGEPFSAFKCCFTTNACPSSAGSGELILSISSQTEWRAQRAPAWQRIGREPHLVALTTGGAGNPGGWSVTVLSISGSGLFGCRITFSSLETAEMRVQVWVEPTGATVCLTLLVWPLVLTRHGMAGELGPEPPQLAPVQAETRM
+>sp|P15151|PVR_HUMAN Poliovirus receptor OS=Homo sapiens OX=9606 GN=PVR PE=1 SV=2
+MARAMAAAWPLLLVALLVLSWPPPGTGDVVVQAPTQVPGFLGDSVTLPCYLQVPNMEVTHVSQLTWARHGESGSMAVFHQTQGPSYSESKRLEFVAARLGAELRNASLRMFGLRVEDEGNYTCLFVTFPQGSRSVDIWLRVLAKPQNTAEVQKVQLTGEPVPMARCVSTGGRPPAQITWHSDLGGMPNTSQVPGFLSGTVTVTSLWILVPSSQVDGKNVTCKVEHESFEKPQLLTVNLTVYYPPEVSISGYDNNWYLGQNEATLTCDARSNPEPTGYNWSTTMGPLPPFAVAQGAQLLIRPVDKPINTTLICNVTNALGARQAELTVQVKEGPPSEHSGISRNAIIFLVLGILVFLILLGIGIYFYWSKCSREVLWHCHLCPSSTEHASASANGHVSYSAVSRENSSSQDPQTEGTR
+>DECOY_sp|P15151|PVR_HUMAN Poliovirus receptor OS=Homo sapiens OX=9606 GN=PVR PE=1 SV=2
+RTGETQPDQSSSNERSVASYSVHGNASASAHETSSPCLHCHWLVERSCKSWYFYIGIGLLILFVLIGLVLFIIANRSIGSHESPPGEKVQVTLEAQRAGLANTVNCILTTNIPKDVPRILLQAGQAVAFPPLPGMTTSWNYGTPEPNSRADCTLTAENQGLYWNNDYGSISVEPPYYVTLNVTLLQPKEFSEHEVKCTVNKGDVQSSPVLIWLSTVTVTGSLFGPVQSTNPMGGLDSHWTIQAPPRGGTSVCRAMPVPEGTLQVKQVEATNQPKALVRLWIDVSRSGQPFTVFLCTYNGEDEVRLGFMRLSANRLEAGLRAAVFELRKSESYSPGQTQHFVAMSGSEGHRAWTLQSVHTVEMNPVQLYCPLTVSDGLFGPVQTPAQVVVDGTGPPPWSLVLLAVLLLPWAAAMARAM
+>sp|Q13610|PWP1_HUMAN Periodic tryptophan protein 1 homolog OS=Homo sapiens OX=9606 GN=PWP1 PE=1 SV=1
+MNRSRQVTCVAWVRCGVAKETPDKVELSKEEVKRLIAEAKEKLQEEGGGSDEEETGSPSEDGMQSARTQARPREPLEDGDPEDDRTLDDDELAEYDLDKYDEEGDPDAETLGESLLGLTVYGSNDQDPYVTLKDTEQYEREDFLIKPSDNLIVCGRAEQDQCNLEVHVYNQEEDSFYVHHDILLSAYPLSVEWLNFDPSPDDSTGNYIAVGNMTPVIEVWDLDIVDSLEPVFTLGSKLSKKKKKKGKKSSSAEGHTDAVLDLSWNKLIRNVLASASADNTVILWDMSLGKPAASLAVHTDKVQTLQFHPFEAQTLISGSYDKSVALYDCRSPDESHRMWRFSGQIERVTWNHFSPCHFLASTDDGFVYNLDARSDKPIFTLNAHNDEISGLDLSSQIKGCLVTASADKYVKIWDILGDRPSLVHSRDMKMGVLFCSSCCPDLPFIYAFGGQKEGLRVWDISTVSSVNEAFGRRERLVLGSARNSSISGPFGSRSSDTPMES
+>DECOY_sp|Q13610|PWP1_HUMAN Periodic tryptophan protein 1 homolog OS=Homo sapiens OX=9606 GN=PWP1 PE=1 SV=1
+SEMPTDSSRSGFPGSISSNRASGLVLRERRGFAENVSSVTSIDWVRLGEKQGGFAYIFPLDPCCSSCFLVGMKMDRSHVLSPRDGLIDWIKVYKDASATVLCGKIQSSLDLGSIEDNHANLTFIPKDSRADLNYVFGDDTSALFHCPSFHNWTVREIQGSFRWMRHSEDPSRCDYLAVSKDYSGSILTQAEFPHFQLTQVKDTHVALSAAPKGLSMDWLIVTNDASASALVNRILKNWSLDLVADTHGEASSSKKGKKKKKKSLKSGLTFVPELSDVIDLDWVEIVPTMNGVAIYNGTSDDPSPDFNLWEVSLPYASLLIDHHVYFSDEEQNYVHVELNCQDQEARGCVILNDSPKILFDEREYQETDKLTVYPDQDNSGYVTLGLLSEGLTEADPDGEEDYKDLDYEALEDDDLTRDDEPDGDELPERPRAQTRASQMGDESPSGTEEEDSGGGEEQLKEKAEAILRKVEEKSLEVKDPTEKAVGCRVWAVCTVQRSRNM
+>sp|Q15269|PWP2_HUMAN Periodic tryptophan protein 2 homolog OS=Homo sapiens OX=9606 GN=PWP2 PE=2 SV=2
+MKFAYRFSNLLGTVYRRGNLNFTCDGNSVISPVGNRVTVFDLKNNKSDTLPLATRYNVKCVGLSPDGRLAIIVDEGGDALLVSLVCRSVLHHFHFKGSVHSVSFSPDGRKFVVTKGNIAQMYHAPGKKREFNAFVLDKTYFGPYDETTCIDWTDDSRCFVVGSKDMSTWVFGAERWDNLIYYALGGHKDAIVACFFESNSLDLYSLSQDGVLCMWQCDTPPEGLRLKPPAGWKADLLQREEEEEEEEDQEGDRETTIRGKATPAEEEKTGKVKYSRLAKYFFNKEGDFNNLTAAAFHKKSHLLVTGFASGIFHLHELPEFNLIHSLSISDQSIASVAINSSGDWIAFGCSGLGQLLVWEWQSESYVLKQQGHFNSMVALAYSPDGQYIVTGGDDGKVKVWNTLSGFCFVTFTEHSSGVTGVTFTATGYVVVTSSMDGTVRAFDLHRYRNFRTFTSPRPTQFSCVAVDASGEIVSAGAQDSFEIFVWSMQTGRLLDVLSGHEGPISGLCFNPMKSVLASASWDKTVRLWDMFDSWRTKETLALTSDALAVTFRPDGAELAVATLNSQITFWDPENAVQTGSIEGRHDLKTGRKELDKITAKHAAKGKAFTALCYSADGHSILAGGMSKFVCIYHVREQILMKRFEISCNLSLDAMEEFLNRRKMTEFGNLALIDQDAGQEDGVAIPLPGVRKGDMSSRHFKPEIRVTSLRFSPTGRCWAATTTEGLLIYSLDTRVLFDPFELDTSVTPGRVREALRQQDFTRAILMALRLNESKLVQEALEAVPRGEIEVVTSSLPELYVEKVLEFLASSFEVSRHLEFYLLWTHKLLMLHGQKLKSRAGTLLPVIQFLQKSIQRHLDDLSKLCSWNHYNMQYALAVSKQRGTKRSLDPLGSEEEAEASEDDSLHLLGGGGRDSEEEMLA
+>DECOY_sp|Q15269|PWP2_HUMAN Periodic tryptophan protein 2 homolog OS=Homo sapiens OX=9606 GN=PWP2 PE=2 SV=2
+ALMEEESDRGGGGLLHLSDDESAEAEEESGLPDLSRKTGRQKSVALAYQMNYHNWSCLKSLDDLHRQISKQLFQIVPLLTGARSKLKQGHLMLLKHTWLLYFELHRSVEFSSALFELVKEVYLEPLSSTVVEIEGRPVAELAEQVLKSENLRLAMLIARTFDQQRLAERVRGPTVSTDLEFPDFLVRTDLSYILLGETTTAAWCRGTPSFRLSTVRIEPKFHRSSMDGKRVGPLPIAVGDEQGADQDILALNGFETMKRRNLFEEMADLSLNCSIEFRKMLIQERVHYICVFKSMGGALISHGDASYCLATFAKGKAAHKATIKDLEKRGTKLDHRGEISGTQVANEPDWFTIQSNLTAVALEAGDPRFTVALADSTLALTEKTRWSDFMDWLRVTKDWSASALVSKMPNFCLGSIPGEHGSLVDLLRGTQMSWVFIEFSDQAGASVIEGSADVAVCSFQTPRPSTFTRFNRYRHLDFARVTGDMSSTVVVYGTATFTVGTVGSSHETFTVFCFGSLTNWVKVKGDDGGTVIYQGDPSYALAVMSNFHGQQKLVYSESQWEWVLLQGLGSCGFAIWDGSSNIAVSAISQDSISLSHILNFEPLEHLHFIGSAFGTVLLHSKKHFAAATLNNFDGEKNFFYKALRSYKVKGTKEEEAPTAKGRITTERDGEQDEEEEEEEERQLLDAKWGAPPKLRLGEPPTDCQWMCLVGDQSLSYLDLSNSEFFCAVIADKHGGLAYYILNDWREAGFVWTSMDKSGVVFCRSDDTWDICTTEDYPGFYTKDLVFANFERKKGPAHYMQAINGKTVVFKRGDPSFSVSHVSGKFHFHHLVSRCVLSVLLADGGEDVIIALRGDPSLGVCKVNYRTALPLTDSKNNKLDFVTVRNGVPSIVSNGDCTFNLNGRRYVTGLLNSFRYAFKM
+>sp|Q56P42|PYDC2_HUMAN Pyrin domain-containing protein 2 OS=Homo sapiens OX=9606 GN=PYDC2 PE=1 SV=1
+MASSAELDFNLQALLEQLSQDELSKFKSLIRTISLGKELQTVPQTEVDKANGKQLVEIFTSHSCSYWAGMAAIQVFEKMNQTHLSGRADEHCVMPPP
+>DECOY_sp|Q56P42|PYDC2_HUMAN Pyrin domain-containing protein 2 OS=Homo sapiens OX=9606 GN=PYDC2 PE=1 SV=1
+PPPMVCHEDARGSLHTQNMKEFVQIAAMGAWYSCSHSTFIEVLQKGNAKDVETQPVTQLEKGLSITRILSKFKSLEDQSLQELLAQLNFDLEASSAM
+>sp|Q9NRF8|PYRG2_HUMAN CTP synthase 2 OS=Homo sapiens OX=9606 GN=CTPS2 PE=1 SV=1
+MKYILVTGGVISGIGKGIIASSIGTILKSCGLRVTAIKIDPYINIDAGTFSPYEHGEVFVLNDGGEVDLDLGNYERFLDINLYKDNNITTGKIYQHVINKERRGDYLGKTVQVVPHITDAVQEWVMNQAKVPVDGNKEEPQICVIELGGTIGDIEGMPFVEAFRQFQFKAKRENFCNIHVSLVPQLSATGEQKTKPTQNSVRALRGLGLSPDLIVCRSSTPIEMAVKEKISMFCHVNPEQVICIHDVSSTYRVPVLLEEQSIVKYFKERLHLPIGDSASNLLFKWRNMADRYERLQKICSIALVGKYTKLRDCYASVFKALEHSALAINHKLNLMYIDSIDLEKITETEDPVKFHEAWQKLCKADGILVPGGFGIRGTLGKLQAISWARTKKIPFLGVCLGMQLAVIEFARNCLNLKDADSTEFRPNAPVPLVIDMPEHNPGNLGGTMRLGIRRTVFKTENSILRKLYGDVPFIEERHRHRFEVNPNLIKQFEQNDLSFVGQDVDGDRMEIIELANHPYFVGVQFHPEFSSRPMKPSPPYLGLLLAATGNLNAYLQQGCKLSSSDRYSDASDDSFSEPRIAELEIS
+>DECOY_sp|Q9NRF8|PYRG2_HUMAN CTP synthase 2 OS=Homo sapiens OX=9606 GN=CTPS2 PE=1 SV=1
+SIELEAIRPESFSDDSADSYRDSSSLKCGQQLYANLNGTAALLLGLYPPSPKMPRSSFEPHFQVGVFYPHNALEIIEMRDGDVDQGVFSLDNQEFQKILNPNVEFRHRHREEIFPVDGYLKRLISNETKFVTRRIGLRMTGGLNGPNHEPMDIVLPVPANPRFETSDADKLNLCNRAFEIVALQMGLCVGLFPIKKTRAWSIAQLKGLTGRIGFGGPVLIGDAKCLKQWAEHFKVPDETETIKELDISDIYMLNLKHNIALASHELAKFVSAYCDRLKTYKGVLAISCIKQLREYRDAMNRWKFLLNSASDGIPLHLREKFYKVISQEELLVPVRYTSSVDHICIVQEPNVHCFMSIKEKVAMEIPTSSRCVILDPSLGLGRLARVSNQTPKTKQEGTASLQPVLSVHINCFNERKAKFQFQRFAEVFPMGEIDGITGGLEIVCIQPEEKNGDVPVKAQNMVWEQVADTIHPVVQVTKGLYDGRREKNIVHQYIKGTTINNDKYLNIDLFREYNGLDLDVEGGDNLVFVEGHEYPSFTGADINIYPDIKIATVRLGCSKLITGISSAIIGKGIGSIVGGTVLIYKM
+>sp|P10082|PYY_HUMAN Peptide YY OS=Homo sapiens OX=9606 GN=PYY PE=1 SV=3
+MVFVRRPWPALTTVLLALLVCLGALVDAYPIKPEAPREDASPEELNRYYASLRHYLNLVTRQRYGKRDGPDTLLSKTFFPDGEDRPVRSRSEGPDLW
+>DECOY_sp|P10082|PYY_HUMAN Peptide YY OS=Homo sapiens OX=9606 GN=PYY PE=1 SV=3
+WLDPGESRSRVPRDEGDPFFTKSLLTDPGDRKGYRQRTVLNLYHRLSAYYRNLEEPSADERPAEPKIPYADVLAGLCVLLALLVTTLAPWPRRVFVM
+>sp|Q96PU8|QKI_HUMAN Protein quaking OS=Homo sapiens OX=9606 GN=QKI PE=1 SV=1
+MVGEMETKEKPKPTPDYLMQLMNDKKLMSSLPNFCGIFNHLERLLDEEISRVRKDMYNDTLNGSTEKRSAELPDAVGPIVQLQEKLYVPVKEYPDFNFVGRILGPRGLTAKQLEAETGCKIMVRGKGSMRDKKKEEQNRGKPNWEHLNEDLHVLITVEDAQNRAEIKLKRAVEEVKKLLVPAAEGEDSLKKMQLMELAILNGTYRDANIKSPALAFSLAATAQAAPRIITGPAPVLPPAALRTPTPAGPTIMPLIRQIQTAVMPNGTPHPTAAIVPPGPEAGLIYTPYEYPYTLAPATSILEYPIEPSGVLGAVATKVRRHDMRVHPYQRIVTADRAATGN
+>DECOY_sp|Q96PU8|QKI_HUMAN Protein quaking OS=Homo sapiens OX=9606 GN=QKI PE=1 SV=1
+NGTAARDATVIRQYPHVRMDHRRVKTAVAGLVGSPEIPYELISTAPALTYPYEYPTYILGAEPGPPVIAATPHPTGNPMVATQIQRILPMITPGAPTPTRLAAPPLVPAPGTIIRPAAQATAALSFALAPSKINADRYTGNLIALEMLQMKKLSDEGEAAPVLLKKVEEVARKLKIEARNQADEVTILVHLDENLHEWNPKGRNQEEKKKDRMSGKGRVMIKCGTEAELQKATLGRPGLIRGVFNFDPYEKVPVYLKEQLQVIPGVADPLEASRKETSGNLTDNYMDKRVRSIEEDLLRELHNFIGCFNPLSSMLKKDNMLQMLYDPTPKPKEKTEMEGVM
+>sp|Q96D70|R3HD4_HUMAN R3H domain-containing protein 4 OS=Homo sapiens OX=9606 GN=R3HDM4 PE=1 SV=3
+MVALENPECGPEAAEGTPGGRRLLPLPSCLPALASSQVKRLSASRRKQHFINQAVRNSDLVPKAKGRKSLQRLENTQYLLTLLETDGGLPGLEDGDLAPPASPGIFAEACNNATYVEVWNDFMNRSGEEQERVLRYLEDEGRSKARRRGPGRGEDRRREDPAYTPRECFQRISRRLRAVLKRSRIPMETLETWEERLLRFFSVSPQAVYTAMLDNSFERLLLHAVCQYMDLISASADLEGKRQMKVSNRHLDFLPPGLLLSAYLEQHS
+>DECOY_sp|Q96D70|R3HD4_HUMAN R3H domain-containing protein 4 OS=Homo sapiens OX=9606 GN=R3HDM4 PE=1 SV=3
+SHQELYASLLLGPPLFDLHRNSVKMQRKGELDASASILDMYQCVAHLLLREFSNDLMATYVAQPSVSFFRLLREEWTELTEMPIRSRKLVARLRRSIRQFCERPTYAPDERRRDEGRGPGRRRAKSRGEDELYRLVREQEEGSRNMFDNWVEVYTANNCAEAFIGPSAPPALDGDELGPLGGDTELLTLLYQTNELRQLSKRGKAKPVLDSNRVAQNIFHQKRRSASLRKVQSSALAPLCSPLPLLRRGGPTGEAAEPGCEPNELAVM
+>sp|Q9NX57|RAB20_HUMAN Ras-related protein Rab-20 OS=Homo sapiens OX=9606 GN=RAB20 PE=1 SV=1
+MRKPDSKIVLLGDMNVGKTSLLQRYMERRFPDTVSTVGGAFYLKQWRSYNISIWDTAGREQFHGLGSMYCRGAAAIILTYDVNHRQSLVELEDRFLGLTDTASKDCLFAIVGNKVDLTEEGALAGQEKEECSPNMDAGDRVSPRAPKQVQLEDAVALYKKILKYKMLDEQDVPAAEQMCFETSAKTGYNVDLLFETLFDLVVPMILQQRAERPSHTVDISSHKPPKRTRSGCCA
+>DECOY_sp|Q9NX57|RAB20_HUMAN Ras-related protein Rab-20 OS=Homo sapiens OX=9606 GN=RAB20 PE=1 SV=1
+ACCGSRTRKPPKHSSIDVTHSPREARQQLIMPVVLDFLTEFLLDVNYGTKASTEFCMQEAAPVDQEDLMKYKLIKKYLAVADELQVQKPARPSVRDGADMNPSCEEKEQGALAGEETLDVKNGVIAFLCDKSATDTLGLFRDELEVLSQRHNVDYTLIIAAAGRCYMSGLGHFQERGATDWISINYSRWQKLYFAGGVTSVTDPFRREMYRQLLSTKGVNMDGLLVIKSDPKRM
+>sp|Q13637|RAB32_HUMAN Ras-related protein Rab-32 OS=Homo sapiens OX=9606 GN=RAB32 PE=1 SV=3
+MAGGGAGDPGLGAAAAPAPETREHLFKVLVIGELGVGKTSIIKRYVHQLFSQHYRATIGVDFALKVLNWDSRTLVRLQLWDIAGQERFGNMTRVYYKEAVGAFVVFDISRSSTFEAVLKWKSDLDSKVHLPNGSPIPAVLLANKCDQNKDSSQSPSQVDQFCKEHGFAGWFETSAKDNINIEEAARFLVEKILVNHQSFPNEENDVDKIKLDQETLRAENKSQCC
+>DECOY_sp|Q13637|RAB32_HUMAN Ras-related protein Rab-32 OS=Homo sapiens OX=9606 GN=RAB32 PE=1 SV=3
+CCQSKNEARLTEQDLKIKDVDNEENPFSQHNVLIKEVLFRAAEEININDKASTEFWGAFGHEKCFQDVQSPSQSSDKNQDCKNALLVAPIPSGNPLHVKSDLDSKWKLVAEFTSSRSIDFVVFAGVAEKYYVRTMNGFREQGAIDWLQLRVLTRSDWNLVKLAFDVGITARYHQSFLQHVYRKIISTKGVGLEGIVLVKFLHERTEPAPAAAAGLGPDGAGGGAM
+>sp|Q9BZG1|RAB34_HUMAN Ras-related protein Rab-34 OS=Homo sapiens OX=9606 GN=RAB34 PE=1 SV=1
+MNILAPVRRDRVLAELPQCLRKEAALHGHKDFHPRVTCACQEHRTGTVGFKISKVIVVGDLSVGKTCLINRFCKDTFDKNYKATIGVDFEMERFEVLGIPFSLQLWDTAGQERFKCIASTYYRGAQAIIIVFNLNDVASLEHTKQWLADALKENDPSSVLLFLVGSKKDLSTPAQYALMEKDALQVAQEMKAEYWAVSSLTGENVREFFFRVAALTFEANVLAELEKSGARRIGDVVRINSDDSNLYLTASKKKPTCCP
+>DECOY_sp|Q9BZG1|RAB34_HUMAN Ras-related protein Rab-34 OS=Homo sapiens OX=9606 GN=RAB34 PE=1 SV=1
+PCCTPKKKSATLYLNSDDSNIRVVDGIRRAGSKELEALVNAEFTLAAVRFFFERVNEGTLSSVAWYEAKMEQAVQLADKEMLAYQAPTSLDKKSGVLFLLVSSPDNEKLADALWQKTHELSAVDNLNFVIIIAQAGRYYTSAICKFREQGATDWLQLSFPIGLVEFREMEFDVGITAKYNKDFTDKCFRNILCTKGVSLDGVVIVKSIKFGVTGTRHEQCACTVRPHFDKHGHLAAEKRLCQPLEALVRDRRVPALINM
+>sp|Q15286|RAB35_HUMAN Ras-related protein Rab-35 OS=Homo sapiens OX=9606 GN=RAB35 PE=1 SV=1
+MARDYDHLFKLLIIGDSGVGKSSLLLRFADNTFSGSYITTIGVDFKIRTVEINGEKVKLQIWDTAGQERFRTITSTYYRGTHGVIVVYDVTSAESFVNVKRWLHEINQNCDDVCRILVGNKNDDPERKVVETEDAYKFAGQMGIQLFETSAKENVNVEEMFNCITELVLRAKKDNLAKQQQQQQNDVVKLTKNSKRKKRCC
+>DECOY_sp|Q15286|RAB35_HUMAN Ras-related protein Rab-35 OS=Homo sapiens OX=9606 GN=RAB35 PE=1 SV=1
+CCRKKRKSNKTLKVVDNQQQQQQKALNDKKARLVLETICNFMEEVNVNEKASTEFLQIGMQGAFKYADETEVVKREPDDNKNGVLIRCVDDCNQNIEHLWRKVNVFSEASTVDYVVIVGHTGRYYTSTITRFREQGATDWIQLKVKEGNIEVTRIKFDVGITTIYSGSFTNDAFRLLLSSKGVGSDGIILLKFLHDYDRAM
+>sp|P20336|RAB3A_HUMAN Ras-related protein Rab-3A OS=Homo sapiens OX=9606 GN=RAB3A PE=1 SV=1
+MASATDSRYGQKESSDQNFDYMFKILIIGNSSVGKTSFLFRYADDSFTPAFVSTVGIDFKVKTIYRNDKRIKLQIWDTAGQERYRTITTAYYRGAMGFILMYDITNEESFNAVQDWSTQIKTYSWDNAQVLLVGNKCDMEDERVVSSERGRQLADHLGFEFFEASAKDNINVKQTFERLVDVICEKMSESLDTADPAVTGAKQGPQLSDQQVPPHQDCAC
+>DECOY_sp|P20336|RAB3A_HUMAN Ras-related protein Rab-3A OS=Homo sapiens OX=9606 GN=RAB3A PE=1 SV=1
+CACDQHPPVQQDSLQPGQKAGTVAPDATDLSESMKECIVDVLREFTQKVNINDKASAEFFEFGLHDALQRGRESSVVREDEMDCKNGVLLVQANDWSYTKIQTSWDQVANFSEENTIDYMLIFGMAGRYYATTITRYREQGATDWIQLKIRKDNRYITKVKFDIGVTSVFAPTFSDDAYRFLFSTKGVSSNGIILIKFMYDFNQDSSEKQGYRSDTASAM
+>sp|O95716|RAB3D_HUMAN Ras-related protein Rab-3D OS=Homo sapiens OX=9606 GN=RAB3D PE=1 SV=1
+MASAGDTQAGPRDAADQNFDYMFKLLLIGNSSVGKTSFLFRYADDSFTPAFVSTVGIDFKVKTVYRHDKRIKLQIWDTAGQERYRTITTAYYRGAMGFLLMYDIANQESFAAVQDWATQIKTYSWDNAQVILVGNKCDLEDERVVPAEDGRRLADDLGFEFFEASAKENINVKQVFERLVDVICEKMNESLEPSSSSGSNGKGPAVGDAPAPQPSSCSC
+>DECOY_sp|O95716|RAB3D_HUMAN Ras-related protein Rab-3D OS=Homo sapiens OX=9606 GN=RAB3D PE=1 SV=1
+CSCSSPQPAPADGVAPGKGNSGSSSSPELSENMKECIVDVLREFVQKVNINEKASAEFFEFGLDDALRRGDEAPVVREDELDCKNGVLIVQANDWSYTKIQTAWDQVAAFSEQNAIDYMLLFGMAGRYYATTITRYREQGATDWIQLKIRKDHRYVTKVKFDIGVTSVFAPTFSDDAYRFLFSTKGVSSNGILLLKFMYDFNQDAADRPGAQTDGASAM
+>sp|Q8N4Z0|RAB42_HUMAN Ras-related protein Rab-42 OS=Homo sapiens OX=9606 GN=RAB42 PE=1 SV=2
+MEAEGCRYQFRVALLGDAAVGKTSLLRSYVAGAPGAPEPEPEPEPTVGAECYRRALQLRAGPRVKLQLWDTAGHERFRCITRSFYRNVVGVLLVFDVTNRKSFEHIQDWHQEVMATQGPDKVIFLLVGHKSDLQSTRCVSAQEAEELAASLGMAFVETSVKNNCNVDLAFDTLADAIQQALQQGDIKLEEGWGGVRLIHKTQIPRSPSRKQHSGPCQC
+>DECOY_sp|Q8N4Z0|RAB42_HUMAN Ras-related protein Rab-42 OS=Homo sapiens OX=9606 GN=RAB42 PE=1 SV=2
+CQCPGSHQKRSPSRPIQTKHILRVGGWGEELKIDGQQLAQQIADALTDFALDVNCNNKVSTEVFAMGLSAALEEAEQASVCRTSQLDSKHGVLLFIVKDPGQTAMVEQHWDQIHEFSKRNTVDFVLLVGVVNRYFSRTICRFREHGATDWLQLKVRPGARLQLARRYCEAGVTPEPEPEPEPAGPAGAVYSRLLSTKGVAADGLLAVRFQYRCGEAEM
+>sp|Q9NRW1|RAB6B_HUMAN Ras-related protein Rab-6B OS=Homo sapiens OX=9606 GN=RAB6B PE=1 SV=1
+MSAGGDFGNPLRKFKLVFLGEQSVGKTSLITRFMYDSFDNTYQATIGIDFLSKTMYLEDRTVRLQLWDTAGQERFRSLIPSYIRDSTVAVVVYDITNLNSFQQTSKWIDDVRTERGSDVIIMLVGNKTDLADKRQITIEEGEQRAKELSVMFIETSAKTGYNVKQLFRRVASALPGMENVQEKSKEGMIDIKLDKPQEPPASEGGCSC
+>DECOY_sp|Q9NRW1|RAB6B_HUMAN Ras-related protein Rab-6B OS=Homo sapiens OX=9606 GN=RAB6B PE=1 SV=1
+CSCGGESAPPEQPKDLKIDIMGEKSKEQVNEMGPLASAVRRFLQKVNYGTKASTEIFMVSLEKARQEGEEITIQRKDALDTKNGVLMIIVDSGRETRVDDIWKSTQQFSNLNTIDYVVVAVTSDRIYSPILSRFREQGATDWLQLRVTRDELYMTKSLFDIGITAQYTNDFSDYMFRTILSTKGVSQEGLFVLKFKRLPNGFDGGASM
+>sp|P51149|RAB7A_HUMAN Ras-related protein Rab-7a OS=Homo sapiens OX=9606 GN=RAB7A PE=1 SV=1
+MTSRKKVLLKVIILGDSGVGKTSLMNQYVNKKFSNQYKATIGADFLTKEVMVDDRLVTMQIWDTAGQERFQSLGVAFYRGADCCVLVFDVTAPNTFKTLDSWRDEFLIQASPRDPENFPFVVLGNKIDLENRQVATKRAQAWCYSKNNIPYFETSAKEAINVEQAFQTIARNALKQETEVELYNEFPEPIKLDKNDRAKASAESCSC
+>DECOY_sp|P51149|RAB7A_HUMAN Ras-related protein Rab-7a OS=Homo sapiens OX=9606 GN=RAB7A PE=1 SV=1
+CSCSEASAKARDNKDLKIPEPFENYLEVETEQKLANRAITQFAQEVNIAEKASTEFYPINNKSYCWAQARKTAVQRNELDIKNGLVVFPFNEPDRPSAQILFEDRWSDLTKFTNPATVDFVLVCCDAGRYFAVGLSQFREQGATDWIQMTVLRDDVMVEKTLFDAGITAKYQNSFKKNVYQNMLSTKGVGSDGLIIVKLLVKKRSTM
+>sp|Q7Z6M1|RABEK_HUMAN Rab9 effector protein with kelch motifs OS=Homo sapiens OX=9606 GN=RABEPK PE=1 SV=1
+MKQLPVLEPGDKPRKATWYTLTVPGDSPCARVGHSCSYLPPVGNAKRGKVFIVGGANPNRSFSDVHTMDLGKHQWDLDTCKGLLPRYEHASFIPSCTPDRIWVFGGANQSGNRNCLQVLNPETRTWTTPEVTSPPPSPRTFHTSSAAIGNQLYVFGGGERGAQPVQDTKLHVFDANTLTWSQPETLGNPPSPRHGHVMVAAGTKLFIHGGLAGDRFYDDLHCIDISDMKWQKLNPTGAAPAGCAAHSAVAMGKHVYIFGGMTPAGALDTMYQYHTEEQHWTLLKFDTLLPPGRLDHSMCIIPWPVTCASEKEDSNSLTLNHEAEKEDSADKVMSHSGDSHEESQTATLLCLVFGGMNTEGEIYDDCIVTVVD
+>DECOY_sp|Q7Z6M1|RABEK_HUMAN Rab9 effector protein with kelch motifs OS=Homo sapiens OX=9606 GN=RABEPK PE=1 SV=1
+DVVTVICDDYIEGETNMGGFVLCLLTATQSEEHSDGSHSMVKDASDEKEAEHNLTLSNSDEKESACTVPWPIICMSHDLRGPPLLTDFKLLTWHQEETHYQYMTDLAGAPTMGGFIYVHKGMAVASHAACGAPAAGTPNLKQWKMDSIDICHLDDYFRDGALGGHIFLKTGAAVMVHGHRPSPPNGLTEPQSWTLTNADFVHLKTDQVPQAGREGGGFVYLQNGIAASSTHFTRPSPPPSTVEPTTWTRTEPNLVQLCNRNGSQNAGGFVWIRDPTCSPIFSAHEYRPLLGKCTDLDWQHKGLDMTHVDSFSRNPNAGGVIFVKGRKANGVPPLYSCSHGVRACPSDGPVTLTYWTAKRPKDGPELVPLQKM
+>sp|Q5HYI8|RABL3_HUMAN Rab-like protein 3 OS=Homo sapiens OX=9606 GN=RABL3 PE=1 SV=1
+MASLDRVKVLVLGDSGVGKSSLVHLLCQNQVLGNPSWTVGCSVDVRVHDYKEGTPEEKTYYIELWDVGGSVGSASSVKSTRAVFYNSVNGIIFVHDLTNKKSSQNLRRWSLEALNRDLVPTGVLVTNGDYDQEQFADNQIPLLVIGTKLDQIHETKRHEVLTRTAFLAEDFNPEEINLDCTNPRYLAAGSSNAVKLSRFFDKVIEKRYFLREGNQIPGFPDRKRFGAGTLKSLHYD
+>DECOY_sp|Q5HYI8|RABL3_HUMAN Rab-like protein 3 OS=Homo sapiens OX=9606 GN=RABL3 PE=1 SV=1
+DYHLSKLTGAGFRKRDPFGPIQNGERLFYRKEIVKDFFRSLKVANSSGAALYRPNTCDLNIEEPNFDEALFATRTLVEHRKTEHIQDLKTGIVLLPIQNDAFQEQDYDGNTVLVGTPVLDRNLAELSWRRLNQSSKKNTLDHVFIIGNVSNYFVARTSKVSSASGVSGGVDWLEIYYTKEEPTGEKYDHVRVDVSCGVTWSPNGLVQNQCLLHVLSSKGVGSDGLVLVKVRDLSAM
+>sp|P29373|RABP2_HUMAN Cellular retinoic acid-binding protein 2 OS=Homo sapiens OX=9606 GN=CRABP2 PE=1 SV=2
+MPNFSGNWKIIRSENFEELLKVLGVNVMLRKIAVAAASKPAVEIKQEGDTFYIKTSTTVRTTEINFKVGEEFEEQTVDGRPCKSLVKWESENKMVCEQKLLKGEGPKTSWTRELTNDGELILTMTADDVVCTRVYVRE
+>DECOY_sp|P29373|RABP2_HUMAN Cellular retinoic acid-binding protein 2 OS=Homo sapiens OX=9606 GN=CRABP2 PE=1 SV=2
+ERVYVRTCVVDDATMTLILEGDNTLERTWSTKPGEGKLLKQECVMKNESEWKVLSKCPRGDVTQEEFEEGVKFNIETTRVTTSTKIYFTDGEQKIEVAPKSAAAVAIKRLMVNVGLVKLLEEFNESRIIKWNGSFNPM
+>sp|Q8TD07|RAE1E_HUMAN Retinoic acid early transcript 1E OS=Homo sapiens OX=9606 GN=RAET1E PE=1 SV=1
+MRRISLTSSPVRLLLFLLLLLIALEIMVGGHSLCFNFTIKSLSRPGQPWCEAQVFLNKNLFLQYNSDNNMVKPLGLLGKKVYATSTWGELTQTLGEVGRDLRMLLCDIKPQIKTSDPSTLQVEMFCQREAERCTGASWQFATNGEKSLLFDAMNMTWTVINHEASKIKETWKKDRGLEKYFRKLSKGDCDHWLREFLGHWEAMPEPTVSPVNASDIHWSSSSLPDRWIILGAFILLVLMGIVLICVWWQNGEWQAGLWPLRTS
+>DECOY_sp|Q8TD07|RAE1E_HUMAN Retinoic acid early transcript 1E OS=Homo sapiens OX=9606 GN=RAET1E PE=1 SV=1
+STRLPWLGAQWEGNQWWVCILVIGMLVLLIFAGLIIWRDPLSSSSWHIDSANVPSVTPEPMAEWHGLFERLWHDCDGKSLKRFYKELGRDKKWTEKIKSAEHNIVTWTMNMADFLLSKEGNTAFQWSAGTCREAERQCFMEVQLTSPDSTKIQPKIDCLLMRLDRGVEGLTQTLEGWTSTAYVKKGLLGLPKVMNNDSNYQLFLNKNLFVQAECWPQGPRSLSKITFNFCLSHGGVMIELAILLLLLFLLLRVPSSTLSIRRM
+>sp|P78406|RAE1L_HUMAN mRNA export factor OS=Homo sapiens OX=9606 GN=RAE1 PE=1 SV=1
+MSLFGTTSGFGTSGTSMFGSATTDNHNPMKDIEVTSSPDDSIGCLSFSPPTLPGNFLIAGSWANDVRCWEVQDSGQTIPKAQQMHTGPVLDVCWSDDGSKVFTASCDKTAKMWDLSSNQAIQIAQHDAPVKTIHWIKAPNYSCVMTGSWDKTLKFWDTRSSNPMMVLQLPERCYCADVIYPMAVVATAERGLIVYQLENQPSEFRRIESPLKHQHRCVAIFKDKQNKPTGFALGSIEGRVAIHYINPPNPAKDNFTFKCHRSNGTNTSAPQDIYAVNGIAFHPVHGTLATVGSDGRFSFWDKDARTKLKTSEQLDQPISACCFNHNGNIFAYASSYDWSKGHEFYNPQKKNYIFLRNAAEELKPRNKK
+>DECOY_sp|P78406|RAE1L_HUMAN mRNA export factor OS=Homo sapiens OX=9606 GN=RAE1 PE=1 SV=1
+KKNRPKLEEAANRLFIYNKKQPNYFEHGKSWDYSSAYAFINGNHNFCCASIPQDLQESTKLKTRADKDWFSFRGDSGVTALTGHVPHFAIGNVAYIDQPASTNTGNSRHCKFTFNDKAPNPPNIYHIAVRGEISGLAFGTPKNQKDKFIAVCRHQHKLPSEIRRFESPQNELQYVILGREATAVVAMPYIVDACYCREPLQLVMMPNSSRTDWFKLTKDWSGTMVCSYNPAKIWHITKVPADHQAIQIAQNSSLDWMKATKDCSATFVKSGDDSWCVDLVPGTHMQQAKPITQGSDQVEWCRVDNAWSGAILFNGPLTPPSFSLCGISDDPSSTVEIDKMPNHNDTTASGFMSTGSTGFGSTTGFLSM
+>sp|P26374|RAE2_HUMAN Rab proteins geranylgeranyltransferase component A 2 OS=Homo sapiens OX=9606 GN=CHML PE=1 SV=2
+MADNLPTEFDVVIIGTGLPESILAAACSRSGQRVLHIDSRSYYGGNWASFSFSGLLSWLKEYQQNNDIGEESTVVWQDLIHETEEAITLRKKDETIQHTEAFCYASQDMEDNVEEIGALQKNPSLGVSNTFTEVLDSALPEESQLSYFNSDEMPAKHTQKSDTEISLEVTDVEESVEKEKYCGDKTCMHTVSDKDGDKDESKSTVEDKADEPIRNRITYSQIVKEGRRFNIDLVSKLLYSQGLLIDLLIKSDVSRYVEFKNVTRILAFREGKVEQVPCSRADVFNSKELTMVEKRMLMKFLTFCLEYEQHPDEYQAFRQCSFSEYLKTKKLTPNLQHFVLHSIAMTSESSCTTIDGLNATKNFLQCLGRFGNTPFLFPLYGQGEIPQGFCRMCAVFGGIYCLRHKVQCFVVDKESGRCKAIIDHFGQRINAKYFIVEDSYLSEETCSNVQYKQISRAVLITDQSILKTDLDQQTSILIVPPAEPGACAVRVTELCSSTMTCMKDTYLVHLTCSSSKTAREDLESVVKKLFTPYTETEINEEELTKPRLLWALYFNMRDSSGISRSSYNGLPSNVYVCSGPDCGLGNEHAVKQAETLFQEIFPTEEFCPPPPNPEDIIFDGDDKQPEAPGTNNVVMAKLESSEESKNLESPEKHLQN
+>DECOY_sp|P26374|RAE2_HUMAN Rab proteins geranylgeranyltransferase component A 2 OS=Homo sapiens OX=9606 GN=CHML PE=1 SV=2
+NQLHKEPSELNKSEESSELKAMVVNNTGPAEPQKDDGDFIIDEPNPPPPCFEETPFIEQFLTEAQKVAHENGLGCDPGSCVYVNSPLGNYSSRSIGSSDRMNFYLAWLLRPKTLEEENIETETYPTFLKKVVSELDERATKSSSCTLHVLYTDKMCTMTSSCLETVRVACAGPEAPPVILISTQQDLDTKLISQDTILVARSIQKYQVNSCTEESLYSDEVIFYKANIRQGFHDIIAKCRGSEKDVVFCQVKHRLCYIGGFVACMRCFGQPIEGQGYLPFLFPTNGFRGLCQLFNKTANLGDITTCSSESTMAISHLVFHQLNPTLKKTKLYESFSCQRFAQYEDPHQEYELCFTLFKMLMRKEVMTLEKSNFVDARSCPVQEVKGERFALIRTVNKFEVYRSVDSKILLDILLGQSYLLKSVLDINFRRGEKVIQSYTIRNRIPEDAKDEVTSKSEDKDGDKDSVTHMCTKDGCYKEKEVSEEVDTVELSIETDSKQTHKAPMEDSNFYSLQSEEPLASDLVETFTNSVGLSPNKQLAGIEEVNDEMDQSAYCFAETHQITEDKKRLTIAEETEHILDQWVVTSEEGIDNNQQYEKLWSLLGSFSFSAWNGGYYSRSDIHLVRQGSRSCAAALISEPLGTGIIVVDFETPLNDAM
+>sp|O60896|RAMP3_HUMAN Receptor activity-modifying protein 3 OS=Homo sapiens OX=9606 GN=RAMP3 PE=1 SV=1
+METGALRRPQLLPLLLLLCGGCPRAGGCNETGMLERLPLCGKAFADMMGKVDVWKWCNLSEFIVYYESFTNCTEMEANVVGCYWPNPLAQGFITGIHRQFFSNCTVDRVHLEDPPDEVLIPLIVIPVVLTVAMAGLVVWRSKRTDTLL
+>DECOY_sp|O60896|RAMP3_HUMAN Receptor activity-modifying protein 3 OS=Homo sapiens OX=9606 GN=RAMP3 PE=1 SV=1
+LLTDTRKSRWVVLGAMAVTLVVPIVILPILVEDPPDELHVRDVTCNSFFQRHIGTIFGQALPNPWYCGVVNAEMETCNTFSEYYVIFESLNCWKWVDVKGMMDAFAKGCLPLRELMGTENCGGARPCGGCLLLLLPLLQPRRLAGTEM
+>sp|P62826|RAN_HUMAN GTP-binding nuclear protein Ran OS=Homo sapiens OX=9606 GN=RAN PE=1 SV=3
+MAAQGEPQVQFKLVLVGDGGTGKTTFVKRHLTGEFEKKYVATLGVEVHPLVFHTNRGPIKFNVWDTAGQEKFGGLRDGYYIQAQCAIIMFDVTSRVTYKNVPNWHRDLVRVCENIPIVLCGNKVDIKDRKVKAKSIVFHRKKNLQYYDISAKSNYNFEKPFLWLARKLIGDPNLEFVAMPALAPPEVVMDPALAAQYEHDLEVAQTTALPDEDDDL
+>DECOY_sp|P62826|RAN_HUMAN GTP-binding nuclear protein Ran OS=Homo sapiens OX=9606 GN=RAN PE=1 SV=3
+LDDDEDPLATTQAVELDHEYQAALAPDMVVEPPALAPMAVFELNPDGILKRALWLFPKEFNYNSKASIDYYQLNKKRHFVISKAKVKRDKIDVKNGCLVIPINECVRVLDRHWNPVNKYTVRSTVDFMIIACQAQIYYGDRLGGFKEQGATDWVNFKIPGRNTHFVLPHVEVGLTAVYKKEFEGTLHRKVFTTKGTGGDGVLVLKFQVQPEGQAAM
+>sp|P10114|RAP2A_HUMAN Ras-related protein Rap-2a OS=Homo sapiens OX=9606 GN=RAP2A PE=1 SV=1
+MREYKVVVLGSGGVGKSALTVQFVTGTFIEKYDPTIEDFYRKEIEVDSSPSVLEILDTAGTEQFASMRDLYIKNGQGFILVYSLVNQQSFQDIKPMRDQIIRVKRYEKVPVILVGNKVDLESEREVSSSEGRALAEEWGCPFMETSAKSKTMVDELFAEIVRQMNYAAQPDKDDPCCSACNIQ
+>DECOY_sp|P10114|RAP2A_HUMAN Ras-related protein Rap-2a OS=Homo sapiens OX=9606 GN=RAP2A PE=1 SV=1
+QINCASCCPDDKDPQAAYNMQRVIEAFLEDVMTKSKASTEMFPCGWEEALARGESSSVERESELDVKNGVLIVPVKEYRKVRIIQDRMPKIDQFSQQNVLSYVLIFGQGNKIYLDRMSAFQETGATDLIELVSPSSDVEIEKRYFDEITPDYKEIFTGTVFQVTLASKGVGGSGLVVVKYERM
+>sp|Q14644|RASA3_HUMAN Ras GTPase-activating protein 3 OS=Homo sapiens OX=9606 GN=RASA3 PE=1 SV=3
+MAVEDEGLRVFQSVKIKIGEAKNLPSYPGPSKMRDCYCTVNLDQEEVFRTKIVEKSLCPFYGEDFYCEIPRSFRHLSFYIFDRDVFRRDSIIGKVAIQKEDLQKYHNRDTWFQLQHVDADSEVQGKVHLELRLSEVITDTGVVCHKLATRIVECQGLPIVNGQCDPYATVTLAGPFRSEAKKTKVKRKTNNPQFDEVFYFEVTRPCSYSKKSHFDFEEEDVDKLEIRVDLWNASNLKFGDEFLGELRIPLKVLRQSSSYEAWYFLQPRDNGSKSLKPDDLGSLRLNVVYTEDHVFSSDYYSPLRDLLLKSADVEPVSASAAHILGEVCREKQEAAVPLVRLFLHYGRVVPFISAIASAEVKRTQDPNTIFRGNSLASKCIDETMKLAGMHYLHVTLKPAIEEICQSHKPCEIDPVKLKDGENLENNMENLRQYVDRVFHAITESGVSCPTVMCDIFFSLREAAAKRFQDDPDVRYTAVSSFIFLRFFAPAILSPNLFQLTPHHTDPQTSRTLTLISKTVQTLGSLSKSKSASFKESYMATFYEFFNEQKYADAVKNFLDLISSSGRRDPKSVEQPIVLKEGFMIKRAQGRKRFGMKNFKKRWFRLTNHEFTYHKSKGDQPLYSIPIENILAVEKLEEESFKMKNMFQVIQPERALYIQANNCVEAKDWIDILTKVSQCNQKRLTVYHPSAYLSGHWLCCRAPSDSAPGCSPCTGGLPANIQLDIDGDRETERIYSLFNLYMSKLEKMQEACGSKSVYDGPEQEEYSTFVIDDPQETYKTLKQVIAGVGALEQEHAQYKRDKFKKTKYGSQEHPIGDKSFQNYIRQQSETSTHSI
+>DECOY_sp|Q14644|RASA3_HUMAN Ras GTPase-activating protein 3 OS=Homo sapiens OX=9606 GN=RASA3 PE=1 SV=3
+ISHTSTESQQRIYNQFSKDGIPHEQSGYKTKKFKDRKYQAHEQELAGVGAIVQKLTKYTEQPDDIVFTSYEEQEPGDYVSKSGCAEQMKELKSMYLNFLSYIRETERDGDIDLQINAPLGGTCPSCGPASDSPARCCLWHGSLYASPHYVTLRKQNCQSVKTLIDIWDKAEVCNNAQIYLAREPQIVQFMNKMKFSEEELKEVALINEIPISYLPQDGKSKHYTFEHNTLRFWRKKFNKMGFRKRGQARKIMFGEKLVIPQEVSKPDRRGSSSILDLFNKVADAYKQENFFEYFTAMYSEKFSASKSKSLSGLTQVTKSILTLTRSTQPDTHHPTLQFLNPSLIAPAFFRLFIFSSVATYRVDPDDQFRKAAAERLSFFIDCMVTPCSVGSETIAHFVRDVYQRLNEMNNELNEGDKLKVPDIECPKHSQCIEEIAPKLTVHLYHMGALKMTEDICKSALSNGRFITNPDQTRKVEASAIASIFPVVRGYHLFLRVLPVAAEQKERCVEGLIHAASASVPEVDASKLLLDRLPSYYDSSFVHDETYVVNLRLSGLDDPKLSKSGNDRPQLFYWAEYSSSQRLVKLPIRLEGLFEDGFKLNSANWLDVRIELKDVDEEEFDFHSKKSYSCPRTVEFYFVEDFQPNNTKRKVKTKKAESRFPGALTVTAYPDCQGNVIPLGQCEVIRTALKHCVVGTDTIVESLRLELHVKGQVESDADVHQLQFWTDRNHYKQLDEKQIAVKGIISDRRFVDRDFIYFSLHRFSRPIECYFDEGYFPCLSKEVIKTRFVEEQDLNVTCYCDRMKSPGPYSPLNKAEGIKIKVSQFVRLGEDEVAM
+>sp|Q9NS23|RASF1_HUMAN Ras association domain-containing protein 1 OS=Homo sapiens OX=9606 GN=RASSF1 PE=1 SV=1
+MSGEPELIELRELAPAGRAGKGRTRLERANALRIARGTACNPTRQLVPGRGHRFQPAGPATHTWCDLCGDFIWGVVRKGLQCARLSADCKFTCHYRCRALVCLDCCGPRDLGWEPAVERDTNVDEPVEWETPDLSQAEIEQKIKEYNAQINSNLFMSLNKDGSYTGFIKVQLKLVRPVSVPSSKKPPSLQDARRGPGRGTSVRRRTSFYLPKDAVKHLHVLSRTRAREVIEALLRKFLVVDDPRKFALFERAERHGQVYLRKLLDDEQPLRLRLLAGPSDKALSFVLKENDSGEVNWDAFSMPELHNFLRILQREEEEHLRQILQKYSYCRQKIQEALHACPLG
+>DECOY_sp|Q9NS23|RASF1_HUMAN Ras association domain-containing protein 1 OS=Homo sapiens OX=9606 GN=RASSF1 PE=1 SV=1
+GLPCAHLAEQIKQRCYSYKQLIQRLHEEEERQLIRLFNHLEPMSFADWNVEGSDNEKLVFSLAKDSPGALLRLRLPQEDDLLKRLYVQGHREAREFLAFKRPDDVVLFKRLLAEIVERARTRSLVHLHKVADKPLYFSTRRRVSTGRGPGRRADQLSPPKKSSPVSVPRVLKLQVKIFGTYSGDKNLSMFLNSNIQANYEKIKQEIEAQSLDPTEWEVPEDVNTDREVAPEWGLDRPGCCDLCVLARCRYHCTFKCDASLRACQLGKRVVGWIFDGCLDCWTHTAPGAPQFRHGRGPVLQRTPNCATGRAIRLANARELRTRGKGARGAPALERLEILEPEGSM
+>sp|O75901|RASF9_HUMAN Ras association domain-containing protein 9 OS=Homo sapiens OX=9606 GN=RASSF9 PE=2 SV=2
+MAPFGRNLLKTRHKNRSPTKDMDSEEKEIVVWVCQEEKLVCGLTKRTTSADVIQALLEEHEATFGEKRFLLGKPSDYCIIEKWRGSERVLPPLTRILKLWKAWGDEQPNMQFVLVKADAFLPVPLWRTAEAKLVQNTEKLWELSPANYMKTLPPDKQKRIVRKTFRKLAKIKQDTVSHDRDNMETLVHLIISQDHTIHQQVKRMKELDLEIEKCEAKFHLDRVENDGENYVQDAYLMPSFSEVEQNLDLQYEENQTLEDLSESDGIEQLEERLKYYRILIDKLSAEIEKEVKSVCIDINEDAEGEAASELESSNLESVKCDLEKSMKAGLKIHSHLSGIQKEIKYSDSLLQMKAKEYELLAKEFNSLHISNKDGCQLKENRAKESEVPSSNGEIPPFTQRVFSNYTNDTDSDTGISSNHSQDSETTVGDVVLLST
+>DECOY_sp|O75901|RASF9_HUMAN Ras association domain-containing protein 9 OS=Homo sapiens OX=9606 GN=RASSF9 PE=2 SV=2
+TSLLVVDGVTTESDQSHNSSIGTDSDTDNTYNSFVRQTFPPIEGNSSPVESEKARNEKLQCGDKNSIHLSNFEKALLEYEKAKMQLLSDSYKIEKQIGSLHSHIKLGAKMSKELDCKVSELNSSELESAAEGEADENIDICVSKVEKEIEASLKDILIRYYKLREELQEIGDSESLDELTQNEEYQLDLNQEVESFSPMLYADQVYNEGDNEVRDLHFKAECKEIELDLEKMRKVQQHITHDQSIILHVLTEMNDRDHSVTDQKIKALKRFTKRVIRKQKDPPLTKMYNAPSLEWLKETNQVLKAEATRWLPVPLFADAKVLVFQMNPQEDGWAKWLKLIRTLPPLVRESGRWKEIICYDSPKGLLFRKEGFTAEHEELLAQIVDASTTRKTLGCVLKEEQCVWVVIEKEESDMDKTPSRNKHRTKLLNRGFPAM
+>sp|P01116|RASK_HUMAN GTPase KRas OS=Homo sapiens OX=9606 GN=KRAS PE=1 SV=1
+MTEYKLVVVGAGGVGKSALTIQLIQNHFVDEYDPTIEDSYRKQVVIDGETCLLDILDTAGQEEYSAMRDQYMRTGEGFLCVFAINNTKSFEDIHHYREQIKRVKDSEDVPMVLVGNKCDLPSRTVDTKQAQDLARSYGIPFIETSAKTRQRVEDAFYTLVREIRQYRLKKISKEEKTPGCVKIKKCIIM
+>DECOY_sp|P01116|RASK_HUMAN GTPase KRas OS=Homo sapiens OX=9606 GN=KRAS PE=1 SV=1
+MIICKKIKVCGPTKEEKSIKKLRYQRIERVLTYFADEVRQRTKASTEIFPIGYSRALDQAQKTDVTRSPLDCKNGVLVMPVDESDKVRKIQERYHHIDEFSKTNNIAFVCLFGEGTRMYQDRMASYEEQGATDLIDLLCTEGDIVVQKRYSDEITPDYEDVFHNQILQITLASKGVGGAGVVVLKYETM
+>sp|P28749|RBL1_HUMAN Retinoblastoma-like protein 1 OS=Homo sapiens OX=9606 GN=RBL1 PE=1 SV=3
+MFEDKPHAEGAAVVAAAGEALQALCQELNLDEGSAAEALDDFTAIRGNYSLEGEVTHWLACSLYVACRKSIIPTVGKGIMEGNCVSLTRILRSAKLSLIQFFSKMKKWMDMSNLPQEFRERIERLERNFEVSTVIFKKYEPIFLDIFQNPYEEPPKLPRSRKQRRIPCSVKDLFNFCWTLFVYTKGNFRMIGDDLVNSYHLLLCCLDLIFANAIMCPNRQDLLNPSFKGLPSDFHTADFTASEEPPCIIAVLCELHDGLLVEAKGIKEHYFKPYISKLFDRKILKGECLLDLSSFTDNSKAVNKEYEEYVLTVGDFDERIFLGADAEEEIGTPRKFTRDTPLGKLTAQANVEYNLQQHFEKKRSFAPSTPLTGRRYLREKEAVITPVASATQSVSRLQSIVAGLKNAPSDQLINIFESCVRNPVENIMKILKGIGETFCQHYTQSTDEQPGSHIDFAVNRLKLAEILYYKILETVMVQETRRLHGMDMSVLLEQDIFHRSLMACCLEIVLFAYSSPRTFPWIIEVLNLQPFYFYKVIEVVIRSEEGLSRDMVKHLNSIEEQILESLAWSHDSALWEALQVSANKVPTCEEVIFPNNFETGNGGNVQGHLPLMPMSPLMHPRVKEVRTDSGSLRRDMQPLSPISVHERYSSPTAGSAKRRLFGEDPPKEMLMDKIITEGTKLKIAPSSSITAENVSILPGQTLLTMATAPVTGTTGHKVTIPLHGVANDAGEITLIPLSMNTNQESKVKSPVSLTAHSLIGASPKQTNLTKAQEVHSTGINRPKRTGSLALFYRKVYHLASVRLRDLCLKLDVSNELRRKIWTCFEFTLVHCPDLMKDRHLDQLLLCAFYIMAKVTKEERTFQEIMKSYRNQPQANSHVYRSVLLKSIPREVVAYNKNINDDFEMIDCDLEDATKTPDCSSGPVKEERGDLIKFYNTIYVGRVKSFALKYDLANQDHMMDAPPLSPFPHIKQQPGSPRRISQQHSIYISPHKNGSGLTPRSALLYKFNGSPSKSLKDINNMIRQGEQRTKKRVIAIDSDAESPAKRVCQENDDVLLKRLQDVVSERANH
+>DECOY_sp|P28749|RBL1_HUMAN Retinoblastoma-like protein 1 OS=Homo sapiens OX=9606 GN=RBL1 PE=1 SV=3
+HNARESVVDQLRKLLVDDNEQCVRKAPSEADSDIAIVRKKTRQEGQRIMNNIDKLSKSPSGNFKYLLASRPTLGSGNKHPSIYISHQQSIRRPSGPQQKIHPFPSLPPADMMHDQNALDYKLAFSKVRGVYITNYFKILDGREEKVPGSSCDPTKTADELDCDIMEFDDNINKNYAVVERPISKLLVSRYVHSNAQPQNRYSKMIEQFTREEKTVKAMIYFACLLLQDLHRDKMLDPCHVLTFEFCTWIKRRLENSVDLKLCLDRLRVSALHYVKRYFLALSGTRKPRNIGTSHVEQAKTLNTQKPSAGILSHATLSVPSKVKSEQNTNMSLPILTIEGADNAVGHLPITVKHGTTGTVPATAMTLLTQGPLISVNEATISSSPAIKLKTGETIIKDMLMEKPPDEGFLRRKASGATPSSYREHVSIPSLPQMDRRLSGSDTRVEKVRPHMLPSMPMLPLHGQVNGGNGTEFNNPFIVEECTPVKNASVQLAEWLASDHSWALSELIQEEISNLHKVMDRSLGEESRIVVEIVKYFYFPQLNLVEIIWPFTRPSSYAFLVIELCCAMLSRHFIDQELLVSMDMGHLRRTEQVMVTELIKYYLIEALKLRNVAFDIHSGPQEDTSQTYHQCFTEGIGKLIKMINEVPNRVCSEFINILQDSPANKLGAVISQLRSVSQTASAVPTIVAEKERLYRRGTLPTSPAFSRKKEFHQQLNYEVNAQATLKGLPTDRTFKRPTGIEEEADAGLFIREDFDGVTLVYEEYEKNVAKSNDTFSSLDLLCEGKLIKRDFLKSIYPKFYHEKIGKAEVLLGDHLECLVAIICPPEESATFDATHFDSPLGKFSPNLLDQRNPCMIANAFILDLCCLLLHYSNVLDDGIMRFNGKTYVFLTWCFNFLDKVSCPIRRQKRSRPLKPPEEYPNQFIDLFIPEYKKFIVTSVEFNRELREIRERFEQPLNSMDMWKKMKSFFQILSLKASRLIRTLSVCNGEMIGKGVTPIISKRCAVYLSCALWHTVEGELSYNGRIATFDDLAEAASGEDLNLEQCLAQLAEGAAAVVAAGEAHPKDEFM
+>sp|P98175|RBM10_HUMAN RNA-binding protein 10 OS=Homo sapiens OX=9606 GN=RBM10 PE=1 SV=3
+MEYERRGGRGDRTGRYGATDRSQDDGGENRSRDHDYRDMDYRSYPREYGSQEGKHDYDDSSEEQSAEDSYEASPGSETQRRRRRRHRHSPTGPPGFPRDGDYRDQDYRTEQGEEEEEEEDEEEEEKASNIVMLRMLPQAATEDDIRGQLQSHGVQAREVRLMRNKSSGQSRGFAFVEFSHLQDATRWMEANQHSLNILGQKVSMHYSDPKPKINEDWLCNKCGVQNFKRREKCFKCGVPKSEAEQKLPLGTRLDQQTLPLGGRELSQGLLPLPQPYQAQGVLASQALSQGSEPSSENANDTIILRNLNPHSTMDSILGALAPYAVLSSSNVRVIKDKQTQLNRGFAFIQLSTIVEAAQLLQILQALHPPLTIDGKTINVEFAKGSKRDMASNEGSRISAASVASTAIAAAQWAISQASQGGEGTWATSEEPPVDYSYYQQDEGYGNSQGTESSLYAHGYLKGTKGPGITGTKGDPTGAGPEASLEPGADSVSMQAFSRAQPGAAPGIYQQSAEASSSQGTAANSQSYTIMSPAVLKSELQSPTHPSSALPPATSPTAQESYSQYPVPDVSTYQYDETSGYYYDPQTGLYYDPNSQYYYNAQSQQYLYWDGERRTYVPALEQSADGHKETGAPSKEGKEKKEKHKTKTAQQIAKDMERWARSLNKQKENFKNSFQPISSLRDDERRESATADAGYAILEKKGALAERQHTSMDLPKLASDDRPSPPRGLVAAYSGESDSEEEQERGGPEREEKLTDWQKLACLLCRRQFPSKEALIRHQQLSGLHKQNLEIHRRAHLSENELEALEKNDMEQMKYRDRAAERREKYGIPEPPEPKRRKYGGISTASVDFEQPTRDGLGSDNIGSRMLQAMGWKEGSGLGRKKQGIVTPIEAQTRVRGSGLGARGSSYGVTSTESYKETLHKTMVTRFNEAQ
+>DECOY_sp|P98175|RBM10_HUMAN RNA-binding protein 10 OS=Homo sapiens OX=9606 GN=RBM10 PE=1 SV=3
+QAENFRTVMTKHLTEKYSETSTVGYSSGRAGLGSGRVRTQAEIPTVIGQKKRGLGSGEKWGMAQLMRSGINDSGLGDRTPQEFDVSATSIGGYKRRKPEPPEPIGYKERREAARDRYKMQEMDNKELAELENESLHARRHIELNQKHLGSLQQHRILAEKSPFQRRCLLCALKQWDTLKEEREPGGREQEEESDSEGSYAAVLGRPPSPRDDSALKPLDMSTHQREALAGKKELIAYGADATASERREDDRLSSIPQFSNKFNEKQKNLSRAWREMDKAIQQATKTKHKEKKEKGEKSPAGTEKHGDASQELAPVYTRREGDWYLYQQSQANYYYQSNPDYYLGTQPDYYYGSTEDYQYTSVDPVPYQSYSEQATPSTAPPLASSPHTPSQLESKLVAPSMITYSQSNAATGQSSSAEASQQYIGPAAGPQARSFAQMSVSDAGPELSAEPGAGTPDGKTGTIGPGKTGKLYGHAYLSSETGQSNGYGEDQQYYSYDVPPEESTAWTGEGGQSAQSIAWQAAAIATSAVSAASIRSGENSAMDRKSGKAFEVNITKGDITLPPHLAQLIQLLQAAEVITSLQIFAFGRNLQTQKDKIVRVNSSSLVAYPALAGLISDMTSHPNLNRLIITDNANESSPESGQSLAQSALVGQAQYPQPLPLLGQSLERGGLPLTQQDLRTGLPLKQEAESKPVGCKFCKERRKFNQVGCKNCLWDENIKPKPDSYHMSVKQGLINLSHQNAEMWRTADQLHSFEVFAFGRSQGSSKNRMLRVERAQVGHSQLQGRIDDETAAQPLMRLMVINSAKEEEEEDEEEEEEEGQETRYDQDRYDGDRPFGPPGTPSHRHRRRRRRQTESGPSAEYSDEASQEESSDDYDHKGEQSGYERPYSRYDMDRYDHDRSRNEGGDDQSRDTAGYRGTRDGRGGRREYEM
+>sp|Q96H35|RBM18_HUMAN Probable RNA-binding protein 18 OS=Homo sapiens OX=9606 GN=RBM18 PE=2 SV=1
+MEAETKTLPLENASILSEGSLQEGHRLWIGNLDPKITEYHLLKLLQKFGKVKQFDFLFHKSGALEGQPRGYCFVNFETKQEAEQAIQCLNGKLALSKKLVVRWAHAQVKRYDHNKNDKILPISLEPSSSTEPTQSNLSVTAKIKAIEAKLKMMAENPDAEYPAAPVYSYFKPPDKKRTTPYSRTAWKSRR
+>DECOY_sp|Q96H35|RBM18_HUMAN Probable RNA-binding protein 18 OS=Homo sapiens OX=9606 GN=RBM18 PE=2 SV=1
+RRSKWATRSYPTTRKKDPPKFYSYVPAAPYEADPNEAMMKLKAEIAKIKATVSLNSQTPETSSSPELSIPLIKDNKNHDYRKVQAHAWRVVLKKSLALKGNLCQIAQEAEQKTEFNVFCYGRPQGELAGSKHFLFDFQKVKGFKQLLKLLHYETIKPDLNGIWLRHGEQLSGESLISANELPLTKTEAEM
+>sp|Q9NW64|RBM22_HUMAN Pre-mRNA-splicing factor RBM22 OS=Homo sapiens OX=9606 GN=RBM22 PE=1 SV=1
+MATSLGSNTYNRQNWEDADFPILCQTCLGENPYIRMTKEKYGKECKICARPFTVFRWCPGVRMRFKKTEVCQTCSKLKNVCQTCLLDLEYGLPIQVRDAGLSFKDDMPKSDVNKEYYTQNMEREISNSDGTRPVGMLGKATSTSDMLLKLARTTPYYKRNRPHICSFWVKGECKRGEECPYRHEKPTDPDDPLADQNIKDRYYGINDPVADKLLKRASTMPRLDPPEDKTITTLYVGGLGDTITETDLRNHFYQFGEIRTITVVQRQQCAFIQFATRQAAEVAAEKSFNKLIVNGRRLNVKWGRSQAARGKEKEKDGTTDSGIKLEPVPGLPGALPPPPAAEEEASANYFNLPPSGPPAVVNIALPPPPGIAPPPPPGFGPHMFHPMGPPPPFMRAPGPIHYPSQDPQRMGAHAGKHSSP
+>DECOY_sp|Q9NW64|RBM22_HUMAN Pre-mRNA-splicing factor RBM22 OS=Homo sapiens OX=9606 GN=RBM22 PE=1 SV=1
+PSSHKGAHAGMRQPDQSPYHIPGPARMFPPPPGMPHFMHPGFGPPPPPAIGPPPPLAINVVAPPGSPPLNFYNASAEEEAAPPPPLAGPLGPVPELKIGSDTTGDKEKEKGRAAQSRGWKVNLRRGNVILKNFSKEAAVEAAQRTAFQIFACQQRQVVTITRIEGFQYFHNRLDTETITDGLGGVYLTTITKDEPPDLRPMTSARKLLKDAVPDNIGYYRDKINQDALPDDPDTPKEHRYPCEEGRKCEGKVWFSCIHPRNRKYYPTTRALKLLMDSTSTAKGLMGVPRTGDSNSIEREMNQTYYEKNVDSKPMDDKFSLGADRVQIPLGYELDLLCTQCVNKLKSCTQCVETKKFRMRVGPCWRFVTFPRACIKCEKGYKEKTMRIYPNEGLCTQCLIPFDADEWNQRNYTNSGLSTAM
+>sp|Q9P2N5|RBM27_HUMAN RNA-binding protein 27 OS=Homo sapiens OX=9606 GN=RBM27 PE=1 SV=2
+MLIEDVDALKSWLAKLLEPICDADPSALANYVVALVKKDKPEKELKAFCADQLDVFLQKETSGFVDKLFESLYTKNYLPLLEPVKPEPKPLVQEKEEIKEEVFQEPAEEERDGRKKKYPSPQKTRSESSERRTREKKREDGKWRDYDRYYERNELYREKYDWRRGRSKSRSKSRGLSRSRSRSRGRSKDRDPNRNVEHRERSKFKSERNDLESSYVPVSAPPPNSSEQYSSGAQSIPSTVTVIAPAHHSENTTESWSNYYNNHSSSNSFGRNLPPKRRCRDYDERGFCVLGDLCQFDHGNDPLVVDEVALPSMIPFPPPPPGLPPPPPPGMLMPPMPGPGPGPGPGPGPGPGPGPGPGHSMRLPVPQGHGQPPPSVVLPIPRPPITQSSLINSRDQPGTSAVPNLASVGTRLPPPLPQNLLYTVSERQPMYSREHGAAASERLQLGTPPPLLAARLVPPRNLMGSSIGYHTSVSSPTPLVPDTYEPDGYNPEAPSITSSGRSQYRQFFSRTQTQRPNLIGLTSGDMDVNPRAANIVIQTEPPVPVSINSNITRVVLEPDSRKRAMSGLEGPLTKKPWLGKQGNNNQNKPGFLRKNQYTNTKLEVKKIPQELNNITKLNEHFSKFGTIVNIQVAFKGDPEAALIQYLTNEEARKAISSTEAVLNNRFIRVLWHRENNEQPTLQSSAQLLLQQQQTLSHLSQQHHHLPQHLHQQQVLVAQSAPSTVHGGIQKMMSKPQTSGAYVLNKVPVKHRLGHAGGNQSDASHLLNQSGGAGEDCQIFSTPGHPKMIYSSSNLKTPSKLCSGSKSHDVQEVLKKKQEAMKLQQDMRKKRQEVLEKQIECQKMLISKLEKNKNMKPEERANIMKTLKELGEKISQLKDELKTSSAVSTPSKVKTKTEAQKELLDTELDLHKRLSSGEDTTELRKKLSQLQVEAARLGILPVGRGKTMSSQGRGRGRGRGGRGRGSLNHMVVDHRPKALTVGGFIEEEKEDLLQHFSTANQGPKFKDRRLQISWHKPKVPSISTETEEEEVKEEETETSDLFLPDDDDEDEDEYESRSWRR
+>DECOY_sp|Q9P2N5|RBM27_HUMAN RNA-binding protein 27 OS=Homo sapiens OX=9606 GN=RBM27 PE=1 SV=2
+RRWSRSEYEDEDEDDDDPLFLDSTETEEEKVEEEETETSISPVKPKHWSIQLRRDKFKPGQNATSFHQLLDEKEEEIFGGVTLAKPRHDVVMHNLSGRGRGGRGRGRGRGQSSMTKGRGVPLIGLRAAEVQLQSLKKRLETTDEGSSLRKHLDLETDLLEKQAETKTKVKSPTSVASSTKLEDKLQSIKEGLEKLTKMINAREEPKMNKNKELKSILMKQCEIQKELVEQRKKRMDQQLKMAEQKKKLVEQVDHSKSGSCLKSPTKLNSSSYIMKPHGPTSFIQCDEGAGGSQNLLHSADSQNGGAHGLRHKVPVKNLVYAGSTQPKSMMKQIGGHVTSPASQAVLVQQQHLHQPLHHHQQSLHSLTQQQQLLLQASSQLTPQENNERHWLVRIFRNNLVAETSSIAKRAEENTLYQILAAEPDGKFAVQINVITGFKSFHENLKTINNLEQPIKKVELKTNTYQNKRLFGPKNQNNNGQKGLWPKKTLPGELGSMARKRSDPELVVRTINSNISVPVPPETQIVINAARPNVDMDGSTLGILNPRQTQTRSFFQRYQSRGSSTISPAEPNYGDPEYTDPVLPTPSSVSTHYGISSGMLNRPPVLRAALLPPPTGLQLRESAAAGHERSYMPQRESVTYLLNQPLPPPLRTGVSALNPVASTGPQDRSNILSSQTIPPRPIPLVVSPPPQGHGQPVPLRMSHGPGPGPGPGPGPGPGPGPGPGPMPPMLMGPPPPPPLGPPPPPFPIMSPLAVEDVVLPDNGHDFQCLDGLVCFGREDYDRCRRKPPLNRGFSNSSSHNNYYNSWSETTNESHHAPAIVTVTSPISQAGSSYQESSNPPPASVPVYSSELDNRESKFKSRERHEVNRNPDRDKSRGRSRSRSRSLGRSKSRSKSRGRRWDYKERYLENREYYRDYDRWKGDERKKERTRRESSESRTKQPSPYKKKRGDREEEAPEQFVEEKIEEKEQVLPKPEPKVPELLPLYNKTYLSEFLKDVFGSTEKQLFVDLQDACFAKLEKEPKDKKVLAVVYNALASPDADCIPELLKALWSKLADVDEILM
+>sp|Q9BQ04|RBM4B_HUMAN RNA-binding protein 4B OS=Homo sapiens OX=9606 GN=RBM4B PE=1 SV=1
+MVKLFIGNLPREATEQEIRSLFEQYGKVLECDIIKNYGFVHIEDKTAAEDAIRNLHHYKLHGVNINVEASKNKSKASTKLHVGNISPTCTNQELRAKFEEYGPVIECDIVKDYAFVHMERAEDAVEAIRGLDNTEFQGKRMHVQLSTSRLRTAPGMGDQSGCYRCGKEGHWSKECPVDRTGRVADFTEQYNEQYGAVRTPYTMGYGESMYYNDAYGALDYYKRYRVRSYEAVAAAAAASAYNYAEQTMSHLPQVQSTTVTSHLNSTSVDPYDRHLLPNSGAAATSAAMAAAAATTSSYYGRDRSPLRRAAAMLPTVGEGYGYGPESELSQASAATRNSLYDMARYEREQYVDRARYSAF
+>DECOY_sp|Q9BQ04|RBM4B_HUMAN RNA-binding protein 4B OS=Homo sapiens OX=9606 GN=RBM4B PE=1 SV=1
+FASYRARDVYQEREYRAMDYLSNRTAASAQSLESEPGYGYGEGVTPLMAAARRLPSRDRGYYSSTTAAAAAMAASTAAAGSNPLLHRDYPDVSTSNLHSTVTTSQVQPLHSMTQEAYNYASAAAAAAVAEYSRVRYRKYYDLAGYADNYYMSEGYGMTYPTRVAGYQENYQETFDAVRGTRDVPCEKSWHGEKGCRYCGSQDGMGPATRLRSTSLQVHMRKGQFETNDLGRIAEVADEAREMHVFAYDKVIDCEIVPGYEEFKARLEQNTCTPSINGVHLKTSAKSKNKSAEVNINVGHLKYHHLNRIADEAATKDEIHVFGYNKIIDCELVKGYQEFLSRIEQETAERPLNGIFLKVM
+>sp|Q6IQ22|RAB12_HUMAN Ras-related protein Rab-12 OS=Homo sapiens OX=9606 GN=RAB12 PE=1 SV=3
+MDPGAALQRRAGGGGGLGAGSPALSGGQGRRRKQPPRPADFKLQVIIIGSRGVGKTSLMERFTDDTFCEACKSTVGVDFKIKTVELRGKKIRLQIWDTAGQERFNSITSAYYRSAKGIILVYDITKKETFDDLPKWMKMIDKYASEDAELLLVGNKLDCETDREITRQQGEKFAQQITGMRFCEASAKDNFNVDEIFLKLVDDILKKMPLDILRNELSNSILSLQPEPEIPPELPPPRPHVRCC
+>DECOY_sp|Q6IQ22|RAB12_HUMAN Ras-related protein Rab-12 OS=Homo sapiens OX=9606 GN=RAB12 PE=1 SV=3
+CCRVHPRPPPLEPPIEPEPQLSLISNSLENRLIDLPMKKLIDDVLKLFIEDVNFNDKASAECFRMGTIQQAFKEGQQRTIERDTECDLKNGVLLLEADESAYKDIMKMWKPLDDFTEKKTIDYVLIIGKASRYYASTISNFREQGATDWIQLRIKKGRLEVTKIKFDVGVTSKCAECFTDDTFREMLSTKGVGRSGIIIVQLKFDAPRPPQKRRRGQGGSLAPSGAGLGGGGGARRQLAAGPDM
+>sp|P61106|RAB14_HUMAN Ras-related protein Rab-14 OS=Homo sapiens OX=9606 GN=RAB14 PE=1 SV=4
+MATAPYNYSYIFKYIIIGDMGVGKSCLLHQFTEKKFMADCPHTIGVEFGTRIIEVSGQKIKLQIWDTAGQERFRAVTRSYYRGAAGALMVYDITRRSTYNHLSSWLTDARNLTNPNTVIILIGNKADLEAQRDVTYEEAKQFAEENGLLFLEASAKTGENVEDAFLEAAKKIYQNIQDGSLDLNAAESGVQHKPSAPQGGRLTSEPQPQREGCGC
+>DECOY_sp|P61106|RAB14_HUMAN Ras-related protein Rab-14 OS=Homo sapiens OX=9606 GN=RAB14 PE=1 SV=4
+CGCGERQPQPESTLRGGQPASPKHQVGSEAANLDLSGDQINQYIKKAAELFADEVNEGTKASAELFLLGNEEAFQKAEEYTVDRQAELDAKNGILIIVTNPNTLNRADTLWSSLHNYTSRRTIDYVMLAGAAGRYYSRTVARFREQGATDWIQLKIKQGSVEIIRTGFEVGITHPCDAMFKKETFQHLLCSKGVGMDGIIIYKFIYSYNYPATAM
+>sp|Q9NP90|RAB9B_HUMAN Ras-related protein Rab-9B OS=Homo sapiens OX=9606 GN=RAB9B PE=1 SV=1
+MSGKSLLLKVILLGDGGVGKSSLMNRYVTNKFDSQAFHTIGVEFLNRDLEVDGRFVTLQIWDTAGQERFKSLRTPFYRGADCCLLTFSVDDRQSFENLGNWQKEFIYYADVKDPEHFPFVVLGNKVDKEDRQVTTEEAQTWCMENGDYPYLETSAKDDTNVTVAFEEAVRQVLAVEEQLEHCMLGHTIDLNSGSKAGSSCC
+>DECOY_sp|Q9NP90|RAB9B_HUMAN Ras-related protein Rab-9B OS=Homo sapiens OX=9606 GN=RAB9B PE=1 SV=1
+CCSSGAKSGSNLDITHGLMCHELQEEVALVQRVAEEFAVTVNTDDKASTELYPYDGNEMCWTQAEETTVQRDEKDVKNGLVVFPFHEPDKVDAYYIFEKQWNGLNEFSQRDDVSFTLLCCDAGRYFPTRLSKFREQGATDWIQLTVFRGDVELDRNLFEVGITHFAQSDFKNTVYRNMLSSKGVGGDGLLIVKLLLSKGSM
+>sp|Q15276|RABE1_HUMAN Rab GTPase-binding effector protein 1 OS=Homo sapiens OX=9606 GN=RABEP1 PE=1 SV=2
+MAQPGPASQPDVSLQQRVAELEKINAEFLRAQQQLEQEFNQKRAKFKELYLAKEEDLKRQNAVLQAAQDDLGHLRTQLWEAQAEMENIKAIATVSENTKQEAIDEVKRQWREEVASLQAVMKETVRDYEHQFHLRLEQERTQWAQYRESAEREIADLRRRLSEGQEEENLENEMKKAQEDAEKLRSVVMPMEKEIAALKDKLTEAEDKIKELEASKVKELNHYLEAEKSCRTDLEMYVAVLNTQKSVLQEDAEKLRKELHEVCHLLEQERQQHNQLKHTWQKANDQFLESQRLLMRDMQRMEIVLTSEQLRQVEELKKKDQEDDEQQRLNKRKDHKKADVEEEIKIPVVCALTQEESSAQLSNEEEHLDSTRGSVHSLDAGLLLPSGDPFSKSDNDMFKDGLRRAQSTDSLGTSGSLQSKALGYNYKAKSAGNLDESDFGPLVGADSVSENFDTASLGSLQMPSGFMLTKDQERAIKAMTPEQEETASLLSSVTQGMESAYVSPSGYRLVSETEWNLLQKEVHNAGNKLGRRCDMCSNYEKQLQGIQIQEAETRDQVKKLQLMLRQANDQLEKTMKDKQELEDFIKQSSEDSSHQISALVLRAQASEILLEELQQGLSQAKRDVQEQMAVLMQSREQVSEELVRLQKDNDSLQGKHSLHVSLQQAEDFILPDTTEALRELVLKYREDIINVRTAADHVEEKLKAEILFLKEQIQAEQCLKENLEETLQLEIENCKEEIASISSLKAELERIKVEKGQLESTLREKSQQLESLQEIKISLEEQLKKETAAKATVEQLMFEEKNKAQRLQTELDVSEQVQRDFVKLSQTLQVQLERIRQADSLERIRAILNDTKLTDINQLPET
+>DECOY_sp|Q15276|RABE1_HUMAN Rab GTPase-binding effector protein 1 OS=Homo sapiens OX=9606 GN=RABEP1 PE=1 SV=2
+TEPLQNIDTLKTDNLIARIRELSDAQRIRELQVQLTQSLKVFDRQVQESVDLETQLRQAKNKEEFMLQEVTAKAATEKKLQEELSIKIEQLSELQQSKERLTSELQGKEVKIRELEAKLSSISAIEEKCNEIELQLTEELNEKLCQEAQIQEKLFLIEAKLKEEVHDAATRVNIIDERYKLVLERLAETTDPLIFDEAQQLSVHLSHKGQLSDNDKQLRVLEESVQERSQMLVAMQEQVDRKAQSLGQQLEELLIESAQARLVLASIQHSSDESSQKIFDELEQKDKMTKELQDNAQRLMLQLKKVQDRTEAEQIQIGQLQKEYNSCMDCRRGLKNGANHVEKQLLNWETESVLRYGSPSVYASEMGQTVSSLLSATEEQEPTMAKIAREQDKTLMFGSPMQLSGLSATDFNESVSDAGVLPGFDSEDLNGASKAKYNYGLAKSQLSGSTGLSDTSQARRLGDKFMDNDSKSFPDGSPLLLGADLSHVSGRTSDLHEEENSLQASSEEQTLACVVPIKIEEEVDAKKHDKRKNLRQQEDDEQDKKKLEEVQRLQESTLVIEMRQMDRMLLRQSELFQDNAKQWTHKLQNHQQREQELLHCVEHLEKRLKEADEQLVSKQTNLVAVYMELDTRCSKEAELYHNLEKVKSAELEKIKDEAETLKDKLAAIEKEMPMVVSRLKEADEQAKKMENELNEEEQGESLRRRLDAIEREASERYQAWQTREQELRLHFQHEYDRVTEKMVAQLSAVEERWQRKVEDIAEQKTNESVTAIAKINEMEAQAEWLQTRLHGLDDQAAQLVANQRKLDEEKALYLEKFKARKQNFEQELQQQARLFEANIKELEAVRQQLSVDPQSAPGPQAM
+>sp|Q9UJ41|RABX5_HUMAN Rab5 GDP/GTP exchange factor OS=Homo sapiens OX=9606 GN=RABGEF1 PE=1 SV=2
+MVVVTGREPDSRRQDGAMSSSDAEDDFLEPATPTATQAGHALPLLPQERCAEFPALRGPPTQGACSSCVQRGPVLCHRAPPGAAGEHAATEGREGAPSVSGTHALLQRPLGADCGDRPAACGPAEGPLCQAQVVSRKKMSLKSERRGIHVDQSDLLCKKGCGYYGNPAWQGFCSKCWREEYHKARQKQIQEDWELAERVLLCCPGWSAMVQFQLTATSASWAQVILLLQPPKWLGLQKLQREEEEAFASSQSSQGAQSLTFSKFEEKKTNEKTRKVTTVKKFFSASSRVGSKKEIQEAKAPSPSINRQTSIETDRVSKEFIEFLKTFHKTGQEIYKQTKLFLEGMHYKRDLSIEEQSECAQDFYHNVAERMQTRGKERRFHHVGQAGLELLTSGDPPASASQSAGNTGVEPPHPAVPPERVEKIMDQIEKYIMTRLYKYVFCPETTDDEKKDLAIQKRIRALRWVTPQMLCVPVNEDIPEVSDMVVKAITDIIEMDSKRVPRDKLACITKCSKHIFNAIKITKNEPASADDFLPTLIYIVLKGNPPRLQSNIQYITRFCNPSRLMTGEDGYYFTNLCCAVAFIEKLDAQSLNLSQEDFDRYMSGQTSPRKQEAESWSPDACLGVKQMYKNLDLLSQLNERQERIMNEAKKLEKDLIDWTDGIAREVQDIVEKYPLEIKPPNQPLAAIDSENVENDKLPPPLQPQVYAG
+>DECOY_sp|Q9UJ41|RABX5_HUMAN Rab5 GDP/GTP exchange factor OS=Homo sapiens OX=9606 GN=RABGEF1 PE=1 SV=2
+GAYVQPQLPPPLKDNEVNESDIAALPQNPPKIELPYKEVIDQVERAIGDTWDILDKELKKAENMIREQRENLQSLLDLNKYMQKVGLCADPSWSEAEQKRPSTQGSMYRDFDEQSLNLSQADLKEIFAVACCLNTFYYGDEGTMLRSPNCFRTIYQINSQLRPPNGKLVIYILTPLFDDASAPENKTIKIANFIHKSCKTICALKDRPVRKSDMEIIDTIAKVVMDSVEPIDENVPVCLMQPTVWRLARIRKQIALDKKEDDTTEPCFVYKYLRTMIYKEIQDMIKEVREPPVAPHPPEVGTNGASQSASAPPDGSTLLELGAQGVHHFRREKGRTQMREAVNHYFDQACESQEEISLDRKYHMGELFLKTQKYIEQGTKHFTKLFEIFEKSVRDTEISTQRNISPSPAKAEQIEKKSGVRSSASFFKKVTTVKRTKENTKKEEFKSFTLSQAGQSSQSSAFAEEEERQLKQLGLWKPPQLLLIVQAWSASTATLQFQVMASWGPCCLLVREALEWDEQIQKQRAKHYEERWCKSCFGQWAPNGYYGCGKKCLLDSQDVHIGRRESKLSMKKRSVVQAQCLPGEAPGCAAPRDGCDAGLPRQLLAHTGSVSPAGERGETAAHEGAAGPPARHCLVPGRQVCSSCAGQTPPGRLAPFEACREQPLLPLAHGAQTATPTAPELFDDEADSSSMAGDQRRSDPERGTVVVM
+>sp|P15153|RAC2_HUMAN Ras-related C3 botulinum toxin substrate 2 OS=Homo sapiens OX=9606 GN=RAC2 PE=1 SV=1
+MQAIKCVVVGDGAVGKTCLLISYTTNAFPGEYIPTVFDNYSANVMVDSKPVNLGLWDTAGQEDYDRLRPLSYPQTDVFLICFSLVSPASYENVRAKWFPEVRHHCPSTPIILVGTKLDLRDDKDTIEKLKEKKLAPITYPQGLALAKEIDSVKYLECSALTQRGLKTVFDEAIRAVLCPQPTRQQKRACSLL
+>DECOY_sp|P15153|RAC2_HUMAN Ras-related C3 botulinum toxin substrate 2 OS=Homo sapiens OX=9606 GN=RAC2 PE=1 SV=1
+LLSCARKQQRTPQPCLVARIAEDFVTKLGRQTLASCELYKVSDIEKALALGQPYTIPALKKEKLKEITDKDDRLDLKTGVLIIPTSPCHHRVEPFWKARVNEYSAPSVLSFCILFVDTQPYSLPRLRDYDEQGATDWLGLNVPKSDVMVNASYNDFVTPIYEGPFANTTYSILLCTKGVAGDGVVVCKIAQM
+>sp|Q9NS91|RAD18_HUMAN E3 ubiquitin-protein ligase RAD18 OS=Homo sapiens OX=9606 GN=RAD18 PE=1 SV=2
+MDSLAESRWPPGLAVMKTIDDLLRCGICFEYFNIAMIIPQCSHNYCSLCIRKFLSYKTQCPTCCVTVTEPDLKNNRILDELVKSLNFARNHLLQFALESPAKSPASSSSKNLAVKVYTPVASRQSLKQGSRLMDNFLIREMSGSTSELLIKENKSKFSPQKEASPAAKTKETRSVEEIAPDPSEAKRPEPPSTSTLKQVTKVDCPVCGVNIPESHINKHLDSCLSREEKKESLRSSVHKRKPLPKTVYNLLSDRDLKKKLKEHGLSIQGNKQQLIKRHQEFVHMYNAQCDALHPKSAAEIVREIENIEKTRMRLEASKLNESVMVFTKDQTEKEIDEIHSKYRKKHKSEFQLLVDQARKGYKKIAGMSQKTVTITKEDESTEKLSSVCMGQEDNMTSVTNHFSQSKLDSPEELEPDREEDSSSCIDIQEVLSSSESDSCNSSSSDIIRDLLEEEEAWEASHKNDLQDTEISPRQNRRTRAAESAEIEPRNKRNRN
+>DECOY_sp|Q9NS91|RAD18_HUMAN E3 ubiquitin-protein ligase RAD18 OS=Homo sapiens OX=9606 GN=RAD18 PE=1 SV=2
+NRNRKNRPEIEASEAARTRRNQRPSIETDQLDNKHSAEWAEEEELLDRIIDSSSSNCSDSESSSLVEQIDICSSSDEERDPELEEPSDLKSQSFHNTVSTMNDEQGMCVSSLKETSEDEKTITVTKQSMGAIKKYGKRAQDVLLQFESKHKKRYKSHIEDIEKETQDKTFVMVSENLKSAELRMRTKEINEIERVIEAASKPHLADCQANYMHVFEQHRKILQQKNGQISLGHEKLKKKLDRDSLLNYVTKPLPKRKHVSSRLSEKKEERSLCSDLHKNIHSEPINVGCVPCDVKTVQKLTSTSPPEPRKAESPDPAIEEVSRTEKTKAAPSAEKQPSFKSKNEKILLESTSGSMERILFNDMLRSGQKLSQRSAVPTYVKVALNKSSSSAPSKAPSELAFQLLHNRAFNLSKVLEDLIRNNKLDPETVTVCCTPCQTKYSLFKRICLSCYNHSCQPIIMAINFYEFCIGCRLLDDITKMVALGPPWRSEALSDM
+>sp|O60671|RAD1_HUMAN Cell cycle checkpoint protein RAD1 OS=Homo sapiens OX=9606 GN=RAD1 PE=1 SV=1
+MPLLTQQIQDEDDQYSLVASLDNVRNLSTILKAIHFREHATCFATKNGIKVTVENAKCVQANAFIQAGIFQEFKVQEESVTFRINLTVLLDCLSIFGSSPMPGTLTALRMCYQGYGYPLMLFLEEGGVVTVCKINTQEPEETLDFDFCSTNVINKIILQSEGLREAFSELDMTSEVLQITMSPDKPYFRLSTFGNAGSSHLDYPKDSDLMEAFHCNQTQVNRYKISLLKPSTKALVLSCKVSIRTDNRGFLSLQYMIRNEDGQICFVEYYCCPDEEVPESES
+>DECOY_sp|O60671|RAD1_HUMAN Cell cycle checkpoint protein RAD1 OS=Homo sapiens OX=9606 GN=RAD1 PE=1 SV=1
+SESEPVEEDPCCYYEVFCIQGDENRIMYQLSLFGRNDTRISVKCSLVLAKTSPKLLSIKYRNVQTQNCHFAEMLDSDKPYDLHSSGANGFTSLRFYPKDPSMTIQLVESTMDLESFAERLGESQLIIKNIVNTSCFDFDLTEEPEQTNIKCVTVVGGEELFLMLPYGYGQYCMRLATLTGPMPSSGFISLCDLLVTLNIRFTVSEEQVKFEQFIGAQIFANAQVCKANEVTVKIGNKTAFCTAHERFHIAKLITSLNRVNDLSAVLSYQDDEDQIQQTLLPM
+>sp|Q92698|RAD54_HUMAN DNA repair and recombination protein RAD54-like OS=Homo sapiens OX=9606 GN=RAD54L PE=1 SV=2
+MRRSLAPSQLAKRKPEGRSCDDEDWQPGLVTPRKRKSSSETQIQECFLSPFRKPLSQLTNQPPCLDSSQHEAFIRSILSKPFKVPIPNYQGPLGSRALGLKRAGVRRALHDPLEKDALVLYEPPPLSAHDQLKLDKEKLPVHVVVDPILSKVLRPHQREGVKFLWECVTSRRIPGSHGCIMADEMGLGKTLQCITLMWTLLRQSPECKPEIDKAVVVSPSSLVKNWYNEVGKWLGGRIQPLAIDGGSKDEIDQKLEGFMNQRGARVSSPILIISYETFRLHVGVLQKGSVGLVICDEGHRLKNSENQTYQALDSLNTSRRVLISGTPIQNDLLEYFSLVHFVNSGILGTAHEFKKHFELPILKGRDAAASEADRQLGEERLRELTSIVNRCLIRRTSDILSKYLPVKIEQVVCCRLTPLQTELYKRFLRQAKPAEELLEGKMSVSSLSSITSLKKLCNHPALIYDKCVEEEDGFVGALDLFPPGYSSKALEPQLSGKMLVLDYILAVTRSRSSDKVVLVSNYTQTLDLFEKLCRARRYLYVRLDGTMSIKKRAKVVERFNSPSSPDFVFMLSSKAGGCGLNLIGANRLVMFDPDWNPANDEQAMARVWRDGQKKTCYIYRLLSAGTIEEKIFQRQSHKKALSSCVVDEEQDVERHFSLGELKELFILDEASLSDTHDRLHCRRCVNSRQIRPPPDGSDCTSDLAGWNHCTDKWGLRDEVLQAAWDAASTAITFVFHQRSHEEQRGLR
+>DECOY_sp|Q92698|RAD54_HUMAN DNA repair and recombination protein RAD54-like OS=Homo sapiens OX=9606 GN=RAD54L PE=1 SV=2
+RLGRQEEHSRQHFVFTIATSAADWAAQLVEDRLGWKDTCHNWGALDSTCDSGDPPPRIQRSNVCRRCHLRDHTDSLSAEDLIFLEKLEGLSFHREVDQEEDVVCSSLAKKHSQRQFIKEEITGASLLRYIYCTKKQGDRWVRAMAQEDNAPNWDPDFMVLRNAGILNLGCGGAKSSLMFVFDPSSPSNFREVVKARKKISMTGDLRVYLYRRARCLKEFLDLTQTYNSVLVVKDSSRSRTVALIYDLVLMKGSLQPELAKSSYGPPFLDLAGVFGDEEEVCKDYILAPHNCLKKLSTISSLSSVSMKGELLEEAPKAQRLFRKYLETQLPTLRCCVVQEIKVPLYKSLIDSTRRILCRNVISTLERLREEGLQRDAESAAADRGKLIPLEFHKKFEHATGLIGSNVFHVLSFYELLDNQIPTGSILVRRSTNLSDLAQYTQNESNKLRHGEDCIVLGVSGKQLVGVHLRFTEYSIILIPSSVRAGRQNMFGELKQDIEDKSGGDIALPQIRGGLWKGVENYWNKVLSSPSVVVAKDIEPKCEPSQRLLTWMLTICQLTKGLGMEDAMICGHSGPIRRSTVCEWLFKVGERQHPRLVKSLIPDVVVHVPLKEKDLKLQDHASLPPPEYLVLADKELPDHLARRVGARKLGLARSGLPGQYNPIPVKFPKSLISRIFAEHQSSDLCPPQNTLQSLPKRFPSLFCEQIQTESSSKRKRPTVLGPQWDEDDCSRGEPKRKALQSPALSRRM
+>sp|Q9Y3L5|RAP2C_HUMAN Ras-related protein Rap-2c OS=Homo sapiens OX=9606 GN=RAP2C PE=1 SV=1
+MREYKVVVLGSGGVGKSALTVQFVTGTFIEKYDPTIEDFYRKEIEVDSSPSVLEILDTAGTEQFASMRDLYIKNGQGFILVYSLVNQQSFQDIKPMRDQIVRVKRYEKVPLILVGNKVDLEPEREVMSSEGRALAQEWGCPFMETSAKSKSMVDELFAEIVRQMNYSSLPEKQDQCCTTCVVQ
+>DECOY_sp|Q9Y3L5|RAP2C_HUMAN Ras-related protein Rap-2c OS=Homo sapiens OX=9606 GN=RAP2C PE=1 SV=1
+QVVCTTCCQDQKEPLSSYNMQRVIEAFLEDVMSKSKASTEMFPCGWEQALARGESSMVEREPELDVKNGVLILPVKEYRKVRVIQDRMPKIDQFSQQNVLSYVLIFGQGNKIYLDRMSAFQETGATDLIELVSPSSDVEIEKRYFDEITPDYKEIFTGTVFQVTLASKGVGGSGLVVVKYERM
+>sp|Q70E73|RAPH1_HUMAN Ras-associated and pleckstrin homology domains-containing protein 1 OS=Homo sapiens OX=9606 GN=RAPH1 PE=1 SV=3
+MEQLSDEEIDHGAEEDSDKEDQDLDKMFGAWLGELDKLTQSLDSDKPMEPVKRSPLRQETNMANFSYRFSIYNLNEALNQGETVDLDALMADLCSIEQELSSIGSGNSKRQITETKATQKLPVSRHTLKHGTLKGLSSSSNRIAKPSHASYSLDDVTAQLEQASLSMDEAAQQSVLEDTKPLVTNQHRRTASAGTVSDAEVHSISNSSHSSITSAASSMDSLDIDKVTRPQELDLTHQGQPITEEEQAAKLKAEKIRVALEKIKEAQVKKLVIRVHMSDDSSKTMMVDERQTVRQVLDNLMDKSHCGYSLDWSLVETVSELQMERIFEDHENLVENLLNWTRDSQNKLIFMERIEKYALFKNPQNYLLGKKETAEMADRNKEVLLEECFCGSSVTVPEIEGVLWLKDDGKKSWKKRYFLLRASGIYYVPKGKAKVSRDLVCFLQLDHVNVYYGQDYRNKYKAPTDYCLVLKHPQIQKKSQYIKYLCCDDVRTLHQWVNGIRIAKYGKQLYMNYQEALKRTESAYDWTSLSSSSIKSGSSSSSIPESQSNHSNQSDSGVSDTQPAGHVRSQSIVSSVFSEAWKRGTQLEESSKARMESMNRPYTSLVPPLSPQPKIVTPYTASQPSPPLPPPPPPPPPPPPPPPPPPPPLPSQSAPSAGSAAPMFVKYSTITRLQNASQHSGALFKPPTPPVMQSQSVKPQILVPPNGVVPPPPPPPPPPTPGSAMAQLKPAPCAPSLPQFSAPPPPLKIHQVQHITQVAPPTPPPPPPIPAPLPPQAPPKPLVTIPAPTSTKTVAPVVTQAAPPTPTPPVPPAKKQPAFPASYIPPSPPTPPVPVPPPTLPKQQSFCAKPPPSPLSPVPSVVKQIASQFPPPPTPPAMESQPLKPVPANVAPQSPPAVKAKPKWQPSSIPVPSPDFPPPPPESSLVFPPPPPSPVPAPPPPPPPTASPTPDKSGSPGKKTSKTSSPGGKKPPPTPQRNSSIKSSSGAEHPEPKRPSVDSLVSKFTPPAESGSPSKETLPPPAAPPKPGKLNLSGVNLPGVLQQGCVSAKAPVLSGRGKDSVVEFPSPPSDSDFPPPPPETELPLPPIEIPAVFSGNTSPKVAVVNPQPQQWSKMSVKKAPPPTRPKRNDSTRLTQAEISEQPTMATVVPQVPTSPKSSLSVQPGFLADLNRTLQRKSITRHGSLSSRMSRAEPTATMDDMALPPPPPELLSDQQKAGYGGSHISGYATLRRGPPPAPPKRDQNTKLSRDW
+>DECOY_sp|Q70E73|RAPH1_HUMAN Ras-associated and pleckstrin homology domains-containing protein 1 OS=Homo sapiens OX=9606 GN=RAPH1 PE=1 SV=3
+WDRSLKTNQDRKPPAPPPGRRLTAYGSIHSGGYGAKQQDSLLEPPPPPLAMDDMTATPEARSMRSSLSGHRTISKRQLTRNLDALFGPQVSLSSKPSTPVQPVVTAMTPQESIEAQTLRTSDNRKPRTPPPAKKVSMKSWQQPQPNVVAVKPSTNGSFVAPIEIPPLPLETEPPPPPFDSDSPPSPFEVVSDKGRGSLVPAKASVCGQQLVGPLNVGSLNLKGPKPPAAPPPLTEKSPSGSEAPPTFKSVLSDVSPRKPEPHEAGSSSKISSNRQPTPPPKKGGPSSTKSTKKGPSGSKDPTPSATPPPPPPPAPVPSPPPPPFVLSSEPPPPPFDPSPVPISSPQWKPKAKVAPPSQPAVNAPVPKLPQSEMAPPTPPPPFQSAIQKVVSPVPSLPSPPPKACFSQQKPLTPPPVPVPPTPPSPPIYSAPFAPQKKAPPVPPTPTPPAAQTVVPAVTKTSTPAPITVLPKPPAQPPLPAPIPPPPPPTPPAVQTIHQVQHIKLPPPPASFQPLSPACPAPKLQAMASGPTPPPPPPPPPPVVGNPPVLIQPKVSQSQMVPPTPPKFLAGSHQSANQLRTITSYKVFMPAASGASPASQSPLPPPPPPPPPPPPPPPPPPPPLPPSPQSATYPTVIKPQPSLPPVLSTYPRNMSEMRAKSSEELQTGRKWAESFVSSVISQSRVHGAPQTDSVGSDSQNSHNSQSEPISSSSSGSKISSSSLSTWDYASETRKLAEQYNMYLQKGYKAIRIGNVWQHLTRVDDCCLYKIYQSKKQIQPHKLVLCYDTPAKYKNRYDQGYYVNVHDLQLFCVLDRSVKAKGKPVYYIGSARLLFYRKKWSKKGDDKLWLVGEIEPVTVSSGCFCEELLVEKNRDAMEATEKKGLLYNQPNKFLAYKEIREMFILKNQSDRTWNLLNEVLNEHDEFIREMQLESVTEVLSWDLSYGCHSKDMLNDLVQRVTQREDVMMTKSSDDSMHVRIVLKKVQAEKIKELAVRIKEAKLKAAQEEETIPQGQHTLDLEQPRTVKDIDLSDMSSAASTISSHSSNSISHVEADSVTGASATRRHQNTVLPKTDELVSQQAAEDMSLSAQELQATVDDLSYSAHSPKAIRNSSSSLGKLTGHKLTHRSVPLKQTAKTETIQRKSNGSGISSLEQEISCLDAMLADLDVTEGQNLAENLNYISFRYSFNAMNTEQRLPSRKVPEMPKDSDLSQTLKDLEGLWAGFMKDLDQDEKDSDEEAGHDIEEDSLQEM
+>sp|P10826|RARB_HUMAN Retinoic acid receptor beta OS=Homo sapiens OX=9606 GN=RARB PE=1 SV=2
+MTTSGHACPVPAVNGHMTHYPATPYPLLFPPVIGGLSLPPLHGLHGHPPPSGCSTPSPATIETQSTSSEELVPSPPSPLPPPRVYKPCFVCQDKSSGYHYGVSACEGCKGFFRRSIQKNMIYTCHRDKNCVINKVTRNRCQYCRLQKCFEVGMSKESVRNDRNKKKKETSKQECTESYEMTAELDDLTEKIRKAHQETFPSLCQLGKYTTNSSADHRVRLDLGLWDKFSELATKCIIKIVEFAKRLPGFTGLTIADQITLLKAACLDILILRICTRYTPEQDTMTFSDGLTLNRTQMHNAGFGPLTDLVFTFANQLLPLEMDDTETGLLSAICLICGDRQDLEEPTKVDKLQEPLLEALKIYIRKRRPSKPHMFPKILMKITDLRSISAKGAERVITLKMEIPGSMPPLIQEMLENSEGHEPLTPSSSGNTAEHSPSISPSSVENSGVSQSPLVQ
+>DECOY_sp|P10826|RARB_HUMAN Retinoic acid receptor beta OS=Homo sapiens OX=9606 GN=RARB PE=1 SV=2
+QVLPSQSVGSNEVSSPSISPSHEATNGSSSPTLPEHGESNELMEQILPPMSGPIEMKLTIVREAGKASISRLDTIKMLIKPFMHPKSPRRKRIYIKLAELLPEQLKDVKTPEELDQRDGCILCIASLLGTETDDMELPLLQNAFTFVLDTLPGFGANHMQTRNLTLGDSFTMTDQEPTYRTCIRLILIDLCAAKLLTIQDAITLGTFGPLRKAFEVIKIICKTALESFKDWLGLDLRVRHDASSNTTYKGLQCLSPFTEQHAKRIKETLDDLEATMEYSETCEQKSTEKKKKNRDNRVSEKSMGVEFCKQLRCYQCRNRTVKNIVCNKDRHCTYIMNKQISRRFFGKCGECASVGYHYGSSKDQCVFCPKYVRPPPLPSPPSPVLEESSTSQTEITAPSPTSCGSPPPHGHLGHLPPLSLGGIVPPFLLPYPTAPYHTMHGNVAPVPCAHGSTTM
+>sp|Q9H2L5|RASF4_HUMAN Ras association domain-containing protein 4 OS=Homo sapiens OX=9606 GN=RASSF4 PE=1 SV=2
+MKEDCLPSSHVPISDSKSIQKSELLGLLKTYNCYHEGKSFQLRHREEEGTLIIEGLLNIAWGLRRPIRLQMQDDREQVHLPSTSWMPRRPSCPLKEPSPQNGNITAQGPSIQPVHKAESSTDSSGPLEEAEEAPQLMRTKSDASCMSQRRPKCRAPGEAQRIRRHRFSINGHFYNHKTSVFTPAYGSVTNVRVNSTMTTLQVLTLLLNKFRVEDGPSEFALYIVHESGERTKLKDCEYPLISRILHGPCEKIARIFLMEADLGVEVPHEVAQYIKFEMPVLDSFVEKLKEEEEREIIKLTMKFQALRLTMLQRLEQLVEAK
+>DECOY_sp|Q9H2L5|RASF4_HUMAN Ras association domain-containing protein 4 OS=Homo sapiens OX=9606 GN=RASSF4 PE=1 SV=2
+KAEVLQELRQLMTLRLAQFKMTLKIIEREEEEKLKEVFSDLVPMEFKIYQAVEHPVEVGLDAEMLFIRAIKECPGHLIRSILPYECDKLKTREGSEHVIYLAFESPGDEVRFKNLLLTLVQLTTMTSNVRVNTVSGYAPTFVSTKHNYFHGNISFRHRRIRQAEGPARCKPRRQSMCSADSKTRMLQPAEEAEELPGSSDTSSEAKHVPQISPGQATINGNQPSPEKLPCSPRRPMWSTSPLHVQERDDQMQLRIPRRLGWAINLLGEIILTGEEERHRLQFSKGEHYCNYTKLLGLLESKQISKSDSIPVHSSPLCDEKM
+>sp|Q6ZTQ3|RASF6_HUMAN Ras association domain-containing protein 6 OS=Homo sapiens OX=9606 GN=RASSF6 PE=1 SV=1
+MLWEETGAAPAPARASDLPYRISSDHLKKEEKMTMMAHQYPSWIFINEKTFITREQLNSLLKTYNIFYENQKNLHILYGETEDGKLIVEGMLDIFWGVKRPIQLKIQDEKPFSSFTSMKSSDVFSSKGMTRWGEFDDLYRISELDRTQIPMSEKRNSQEDYLSYHSNTLKPHAKDEPDSPVLYRTMSEAALVRKRMKPLMMDRKERQKNRASINGHFYNHETSIFIPAFESETKVRVNSNMRTEEVIKQLLQKFKIENSPQDFALHIIFATGEQRRLKKTDIPLLQRLLQGPSEKNARIFLMDKDAEEISSDVAQYINFHFSLLESILQRLNEEEKREIQRIVTKFNKEKAIILKCLQNKLVIKTETTV
+>DECOY_sp|Q6ZTQ3|RASF6_HUMAN Ras association domain-containing protein 6 OS=Homo sapiens OX=9606 GN=RASSF6 PE=1 SV=1
+VTTETKIVLKNQLCKLIIAKEKNFKTVIRQIERKEEENLRQLISELLSFHFNIYQAVDSSIEEADKDMLFIRANKESPGQLLRQLLPIDTKKLRRQEGTAFIIHLAFDQPSNEIKFKQLLQKIVEETRMNSNVRVKTESEFAPIFISTEHNYFHGNISARNKQREKRDMMLPKMRKRVLAAESMTRYLVPSDPEDKAHPKLTNSHYSLYDEQSNRKESMPIQTRDLESIRYLDDFEGWRTMGKSSFVDSSKMSTFSSFPKEDQIKLQIPRKVGWFIDLMGEVILKGDETEGYLIHLNKQNEYFINYTKLLSNLQERTIFTKENIFIWSPYQHAMMTMKEEKKLHDSSIRYPLDSARAPAPAAGTEEWLM
+>sp|Q02833|RASF7_HUMAN Ras association domain-containing protein 7 OS=Homo sapiens OX=9606 GN=RASSF7 PE=1 SV=1
+MLLGLAAMELKVWVDGIQRVVCGVSEQTTCQEVVIALAQAIGQTGRFVLVQRLREKERQLLPQECPVGAQATCGQFASDVQFVLRRTGPSLAGRPSSDSCPPPERCLIRASLPVKPRAALGCEPRKTLTPEPAPSLSRPGPAAPVTPTPGCCTDLRGLELRVQRNAEELGHEAFWEQELRREQAREREGQARLQALSAATAEHAARLQALDAQARALEAELQLAAEAPGPPSPMASATERLHQDLAVQERQSAEVQGSLALVSRALEAAERALQAQAQELEELNRELRQCNLQQFIQQTGAALPPPPRPDRGPPGTQGPLPPAREESLLGAPSESHAGAQPRPRGGPHDAELLEVAAAPAPEWCPLAAQPQAL
+>DECOY_sp|Q02833|RASF7_HUMAN Ras association domain-containing protein 7 OS=Homo sapiens OX=9606 GN=RASSF7 PE=1 SV=1
+LAQPQAALPCWEPAPAAAVELLEADHPGGRPRPQAGAHSESPAGLLSEERAPPLPGQTGPPGRDPRPPPPLAAGTQQIFQQLNCQRLERNLEELEQAQAQLAREAAELARSVLALSGQVEASQREQVALDQHLRETASAMPSPPGPAEAALQLEAELARAQADLAQLRAAHEATAASLAQLRAQGERERAQERRLEQEWFAEHGLEEANRQVRLELGRLDTCCGPTPTVPAAPGPRSLSPAPEPTLTKRPECGLAARPKVPLSARILCREPPPCSDSSPRGALSPGTRRLVFQVDSAFQGCTAQAGVPCEQPLLQREKERLRQVLVFRGTQGIAQALAIVVEQCTTQESVGCVVRQIGDVWVKLEMAALGLLM
+>sp|O43374|RASL2_HUMAN Ras GTPase-activating protein 4 OS=Homo sapiens OX=9606 GN=RASA4 PE=2 SV=2
+MAKRSSLYIRIVEGKNLPAKDITGSSDPYCIVKVDNEPIIRTATVWKTLCPFWGEEYQVHLPPTFHAVAFYVMDEDALSRDDVIGKVCLTRDTIASHPKGFSGWAHLTEVDPDEEVQGEIHLRLEVWPGARACRLRCSVLEARDLAPKDRNGTSDPFVRVRYKGRTRETSIVKKSCYPRWNETFEFELQEGAMEALCVEAWDWDLVSRNDFLGKVVIDVQRLRVVQQEEGWFRLQPDQSKSRRHDEGNLGSLQLEVRLRDETVLPSSYYQPLVHLLCHEVKLGMQGPGQLIPLIEETTSTECRQDVATNLLKLFLGQGLAKDFLDLLFQLELSRTSETNTLFRSNSLASKSMESFLKVAGMQYLHGVLGPIINKVFEEKKYVELDPSKVEVKDVGCSGLHRPQTEAEVLEQSAQTLRAHLGALLSALSRSVRACPAVVRATFRQLFRRVRERFPGAQHENVPFIAVTSFLCLRFFSPAIMSPKLFHLRERHADARTSRTLLLLAKAVQNVGNMDTPASRAKEAWMEPLQPTVRQGVAQLKDFITKLVDIEEKDELDLQRTLSLQAPPVKEGPLFIHRTKGKGPLMSSSFKKLYFSLTTEALSFAKTPSSKKSALIKLANIRAAEKVEEKSFGGSHVMQVIYTDDAGRPQTAYLQCKCVNELNQWLSALRKVSINNTGLLGSYHPGVFRGDKWSCCHQKEKTGQGCDKTRSRVTLQEWNDPLDHDLEAQLIYRHLLGVEAMLWERHRELSGGAEAGTVPTSPGKVPEDSLARLLRVLQDLREAHSSSPAGSPPSEPNCLLELQT
+>DECOY_sp|O43374|RASL2_HUMAN Ras GTPase-activating protein 4 OS=Homo sapiens OX=9606 GN=RASA4 PE=2 SV=2
+TQLELLCNPESPPSGAPSSSHAERLDQLVRLLRALSDEPVKGPSTPVTGAEAGGSLERHREWLMAEVGLLHRYILQAELDHDLPDNWEQLTVRSRTKDCGQGTKEKQHCCSWKDGRFVGPHYSGLLGTNNISVKRLASLWQNLENVCKCQLYATQPRGADDTYIVQMVHSGGFSKEEVKEAARINALKILASKKSSPTKAFSLAETTLSFYLKKFSSSMLPGKGKTRHIFLPGEKVPPAQLSLTRQLDLEDKEEIDVLKTIFDKLQAVGQRVTPQLPEMWAEKARSAPTDMNGVNQVAKALLLLTRSTRADAHRERLHFLKPSMIAPSFFRLCLFSTVAIFPVNEHQAGPFRERVRRFLQRFTARVVAPCARVSRSLASLLAGLHARLTQASQELVEAETQPRHLGSCGVDKVEVKSPDLEVYKKEEFVKNIIPGLVGHLYQMGAVKLFSEMSKSALSNSRFLTNTESTRSLELQFLLDLFDKALGQGLFLKLLNTAVDQRCETSTTEEILPILQGPGQMGLKVEHCLLHVLPQYYSSPLVTEDRLRVELQLSGLNGEDHRRSKSQDPQLRFWGEEQQVVRLRQVDIVVKGLFDNRSVLDWDWAEVCLAEMAGEQLEFEFTENWRPYCSKKVISTERTRGKYRVRVFPDSTGNRDKPALDRAELVSCRLRCARAGPWVELRLHIEGQVEEDPDVETLHAWGSFGKPHSAITDRTLCVKGIVDDRSLADEDMVYFAVAHFTPPLHVQYEEGWFPCLTKWVTATRIIPENDVKVICYPDSSGTIDKAPLNKGEVIRIYLSSRKAM
+>sp|O14807|RASM_HUMAN Ras-related protein M-Ras OS=Homo sapiens OX=9606 GN=MRAS PE=1 SV=2
+MATSAVPSDNLPTYKLVVVGDGGVGKSALTIQFFQKIFVPDYDPTIEDSYLKHTEIDNQWAILDVLDTAGQEEFSAMREQYMRTGDGFLIVYSVTDKASFEHVDRFHQLILRVKDRESFPMILVANKVDLMHLRKITREQGKEMATKHNIPYIETSAKDPPLNVDKAFHDLVRVIRQQIPEKSQKKKKKTKWRGDRATGTHKLQCVIL
+>DECOY_sp|O14807|RASM_HUMAN Ras-related protein M-Ras OS=Homo sapiens OX=9606 GN=MRAS PE=1 SV=2
+LIVCQLKHTGTARDGRWKTKKKKKQSKEPIQQRIVRVLDHFAKDVNLPPDKASTEIYPINHKTAMEKGQERTIKRLHMLDVKNAVLIMPFSERDKVRLILQHFRDVHEFSAKDTVSYVILFGDGTRMYQERMASFEEQGATDLVDLIAWQNDIETHKLYSDEITPDYDPVFIKQFFQITLASKGVGGDGVVVLKYTPLNDSPVASTAM
+>sp|P0C0E4|RB40L_HUMAN Ras-related protein Rab-40A-like OS=Homo sapiens OX=9606 GN=RAB40AL PE=1 SV=1
+MSAPGSPDQAYDFLLKFLLVGDRDVGKSEILESLQDGTAESPYSHLGGIDYKTTTILLDGQRVKLKLWDTSGQGRFCTIFRSYSRGAQGVILVYDIANRWSFEGMDRWIKKIEEHAPGVPKILVGNRLHLAFKRQVPREQAQAYAERLGVTFFEVSPLCNFNIIESFTELARIVLLRHRLNWLGRPSKVLSLQDLCCRTIVSCTPVHLVDKLPLPIALRSHLKSFSMAKGLNARMMRGLSYSLTTSSTHKRSSLCKVKIVCPPQSPPKNCTRNSCKIS
+>DECOY_sp|P0C0E4|RB40L_HUMAN Ras-related protein Rab-40A-like OS=Homo sapiens OX=9606 GN=RAB40AL PE=1 SV=1
+SIKCSNRTCNKPPSQPPCVIKVKCLSSRKHTSSTTLSYSLGRMMRANLGKAMSFSKLHSRLAIPLPLKDVLHVPTCSVITRCCLDQLSLVKSPRGLWNLRHRLLVIRALETFSEIINFNCLPSVEFFTVGLREAYAQAQERPVQRKFALHLRNGVLIKPVGPAHEEIKKIWRDMGEFSWRNAIDYVLIVGQAGRSYSRFITCFRGQGSTDWLKLKVRQGDLLITTTKYDIGGLHSYPSEATGDQLSELIESKGVDRDGVLLFKLLFDYAQDPSGPASM
+>sp|Q9NYW8|RBAK_HUMAN RB-associated KRAB zinc finger protein OS=Homo sapiens OX=9606 GN=RBAK PE=1 SV=1
+MNTLQGPVSFKDVAVDFTQEEWQQLDPDEKITYRDVMLENYSHLVSVGYDTTKPNVIIKLEQGEEPWIMGGEFPCQHSPEAWRVDDLIERIQENEDKHSRQAACINSKTLTEEKENTFSQIYMETSLVPSSIIAHNCVSCGKNLESISQLISSDGSYARTKPDECNECGKTYHGEKMCEFNQNGDTYSHNEENILQKISILEKPFEYNECMEALDNEAVFIAHKRAYIGEKPYEWNDSGPDFIQMSNFNAYQRSQMEMKPFECSECGKSFCKKSKFIIHQRAHTGEKPYECNVCGKSFSQKGTLTVHRRSHLEEKPYKCNECGKTFCQKLHLTQHLRTHSGEKPYECSECGKTFCQKTHLTLHQRNHSGERPYPCNECGKSFSRKSALSDHQRTHTGEKLYKCNECGKSYYRKSTLITHQRTHTGEKPYQCSECGKFFSRVSYLTIHYRSHLEEKPYECNECGKTFNLNSAFIRHRKVHTEEKSHECSECGKFSQLYLTDHHTAHLEEKPYECNECGKTFLVNSAFDGHQPLPKGEKSYECNVCGKLFNELSYYTEHYRSHSEEKPYGCSECGKTFSHNSSLFRHQRVHTGEKPYECYECGKFFSQKSYLTIHHRIHSGEKPYECSKCGKVFSRMSNLTVHYRSHSGEKPYECNECGKVFSQKSYLTVHYRTHSGEKPYECNECGKKFHHRSAFNSHQRIHRRGNMNVLDVENL
+>DECOY_sp|Q9NYW8|RBAK_HUMAN RB-associated KRAB zinc finger protein OS=Homo sapiens OX=9606 GN=RBAK PE=1 SV=1
+LNEVDLVNMNGRRHIRQHSNFASRHHFKKGCENCEYPKEGSHTRYHVTLYSKQSFVKGCENCEYPKEGSHSRYHVTLNSMRSFVKGCKSCEYPKEGSHIRHHITLYSKQSFFKGCEYCEYPKEGTHVRQHRFLSSNHSFTKGCESCGYPKEESHSRYHETYYSLENFLKGCVNCEYSKEGKPLPQHGDFASNVLFTKGCENCEYPKEELHATHHDTLYLQSFKGCESCEHSKEETHVKRHRIFASNLNFTKGCENCEYPKEELHSRYHITLYSVRSFFKGCESCQYPKEGTHTRQHTILTSKRYYSKGCENCKYLKEGTHTRQHDSLASKRSFSKGCENCPYPREGSHNRQHLTLHTKQCFTKGCESCEYPKEGSHTRLHQTLHLKQCFTKGCENCKYPKEELHSRRHVTLTGKQSFSKGCVNCEYPKEGTHARQHIIFKSKKCFSKGCESCEFPKMEMQSRQYANFNSMQIFDPGSDNWEYPKEGIYARKHAIFVAENDLAEMCENYEFPKELISIKQLINEENHSYTDGNQNFECMKEGHYTKGCENCEDPKTRAYSGDSSILQSISELNKGCSVCNHAIISSPVLSTEMYIQSFTNEKEETLTKSNICAAQRSHKDENEQIREILDDVRWAEPSHQCPFEGGMIWPEEGQELKIIVNPKTTDYGVSVLHSYNELMVDRYTIKEDPDLQQWEEQTFDVAVDKFSVPGQLTNM
+>sp|B7ZAP0|RBG10_HUMAN Rab GTPase-activating protein 1-like, isoform 10 OS=Homo sapiens OX=9606 GN=RABGAP1L PE=1 SV=1
+MIENSSWSMTFEERENRRLQEASMRLEQENDDLAHELVTSKIALRNDLDQAEDKADVLNKELLLTKQRLVETEEEKRKQEEETAQLKEVFRKQLEKAEYEIKKTTAIIAEYKQICSQLSTRLEKQQAASKEELEVVKGKMMACKHCSDIFSKEGALKLAATGREDQGIETDDEKDSLKKQLREMELELAQTKLQLVEAKCKIQELEHQRGALMNEIQAAKNSWFSKTLNSIKTATGTQPLQPAPVTQPPKEST
+>DECOY_sp|B7ZAP0|RBG10_HUMAN Rab GTPase-activating protein 1-like, isoform 10 OS=Homo sapiens OX=9606 GN=RABGAP1L PE=1 SV=1
+TSEKPPQTVPAPQLPQTGTATKISNLTKSFWSNKAAQIENMLAGRQHELEQIKCKAEVLQLKTQALELEMERLQKKLSDKEDDTEIGQDERGTAALKLAGEKSFIDSCHKCAMMKGKVVELEEKSAAQQKELRTSLQSCIQKYEAIIATTKKIEYEAKELQKRFVEKLQATEEEQKRKEEETEVLRQKTLLLEKNLVDAKDEAQDLDNRLAIKSTVLEHALDDNEQELRMSAEQLRRNEREEFTMSWSSNEIM
+>sp|Q5R372|RBG1L_HUMAN Rab GTPase-activating protein 1-like OS=Homo sapiens OX=9606 GN=RABGAP1L PE=1 SV=1
+MEVRASLQKVSGSSDSVATMNSEEFVLVPQYADDNSTKHEEKPQLKIVSNGDEQLEKAMEEILRDSEKRPSSLLVDCQSSSEISDHSFGDIPASQTNKPSLQLILDPSNTEISTPRPSSPGGLPEEDSVLFNKLTYLGCMKVSSPRNEVEALRAMATMKSSSQYPFPVTLYVPNVPEGSVRIIDQSSNVEIASFPIYKVLFCARGHDGTTESNCFAFTESSHGSEEFQIHVFSCEIKEAVSRILYSFCTAFKRSSRQVSDVKDSVIPTPDSDVFTFSVSLEVKEDDGKGNFSPVPKDRDKFYFKLKQGIEKKVVITVQQLSNKELAIERCFGMLLSPGRNVKNSDMHLLDMESMGKSYDGRAYVITGMWNPNAPVFLALNEETPKDKQVYMTVAVDMVVTEVVEPVRFLLETVVRVYPANERFWYFSRKTFTETFFMRLKQSEGKGHTNAGDAIYEVVSLQRESDKEEPVTPTSGGGPMSPQDDEAEEESDNELSSGTGDVSKDCPEKILYSWGELLGKWHSNLGARPKGLSTLVKSGVPEALRAEVWQLLAGCHDNQAMLDRYRILITKDSAQESVITRDIHRTFPAHDYFKDTGGDGQESLYKICKAYSVYDEDIGYCQGQSFLAAVLLLHMPEEQAFCVLVKIMYDYGLRDLYRNNFEDLHCKFYQLERLMQEQLPDLHSHFSDLNLEAHMYASQWFLTLFTAKFPLCMVFHIIDLLLCEGLNIIFHVALALLKTSKEDLLQADFEGALKFFRVQLPKRYRAEENARRLMEQACNIKVPTKKLKKYEKEYQTMRESQLQQEDPMDRYKFVYL
+>DECOY_sp|Q5R372|RBG1L_HUMAN Rab GTPase-activating protein 1-like OS=Homo sapiens OX=9606 GN=RABGAP1L PE=1 SV=1
+LYVFKYRDMPDEQQLQSERMTQYEKEYKKLKKTPVKINCAQEMLRRANEEARYRKPLQVRFFKLAGEFDAQLLDEKSTKLLALAVHFIINLGECLLLDIIHFVMCLPFKATFLTLFWQSAYMHAELNLDSFHSHLDPLQEQMLRELQYFKCHLDEFNNRYLDRLGYDYMIKVLVCFAQEEPMHLLLVAALFSQGQCYGIDEDYVSYAKCIKYLSEQGDGGTDKFYDHAPFTRHIDRTIVSEQASDKTILIRYRDLMAQNDHCGALLQWVEARLAEPVGSKVLTSLGKPRAGLNSHWKGLLEGWSYLIKEPCDKSVDGTGSSLENDSEEEAEDDQPSMPGGGSTPTVPEEKDSERQLSVVEYIADGANTHGKGESQKLRMFFTETFTKRSFYWFRENAPYVRVVTELLFRVPEVVETVVMDVAVTMYVQKDKPTEENLALFVPANPNWMGTIVYARGDYSKGMSEMDLLHMDSNKVNRGPSLLMGFCREIALEKNSLQQVTIVVKKEIGQKLKFYFKDRDKPVPSFNGKGDDEKVELSVSFTFVDSDPTPIVSDKVDSVQRSSRKFATCFSYLIRSVAEKIECSFVHIQFEESGHSSETFAFCNSETTGDHGRACFLVKYIPFSAIEVNSSQDIIRVSGEPVNPVYLTVPFPYQSSSKMTAMARLAEVENRPSSVKMCGLYTLKNFLVSDEEPLGGPSSPRPTSIETNSPDLILQLSPKNTQSAPIDGFSHDSIESSSQCDVLLSSPRKESDRLIEEMAKELQEDGNSVIKLQPKEEHKTSNDDAYQPVLVFEESNMTAVSDSSGSVKQLSARVEM
+>sp|Q9UBK7|RBL2A_HUMAN Rab-like protein 2A OS=Homo sapiens OX=9606 GN=RABL2A PE=1 SV=1
+MAEDKTKPSELDQGKYDADDNVKIICLGDSAVGKSKLMERFLMDGFQPQQLSTYALTLYKHTATVDGKTILVDFWDTAGQERFQSMHASYYHKAHACIMVFDIQRKVTYRNLSTWYTELREFRPEIPCIVVANKIDDINVTQKSFNFAKKFSLPLYFVSAADGTNVVKLFNDAIRLAVSYKQNSQDFMDEIFQELENFSLEQEEEDVPDQEQSSSIETPSEEVASPHS
+>DECOY_sp|Q9UBK7|RBL2A_HUMAN Rab-like protein 2A OS=Homo sapiens OX=9606 GN=RABL2A PE=1 SV=1
+SHPSAVEESPTEISSSQEQDPVDEEEQELSFNELEQFIEDMFDQSNQKYSVALRIADNFLKVVNTGDAASVFYLPLSFKKAFNFSKQTVNIDDIKNAVVICPIEPRFERLETYWTSLNRYTVKRQIDFVMICAHAKHYYSAHMSQFREQGATDWFDVLITKGDVTATHKYLTLAYTSLQQPQFGDMLFREMLKSKGVASDGLCIIKVNDDADYKGQDLESPKTKDEAM
+>sp|P25800|RBTN1_HUMAN Rhombotin-1 OS=Homo sapiens OX=9606 GN=LMO1 PE=1 SV=1
+MMVLDKEDGVPMLSVQPKGKQKGCAGCNRKIKDRYLLKALDKYWHEDCLKCACCDCRLGEVGSTLYTKANLILCRRDYLRLFGTTGNCAACSKLIPAFEMVMRARDNVYHLDCFACQLCNQRFCVGDKFFLKNNMILCQMDYEEGQLNGTFESQVQ
+>DECOY_sp|P25800|RBTN1_HUMAN Rhombotin-1 OS=Homo sapiens OX=9606 GN=LMO1 PE=1 SV=1
+QVQSEFTGNLQGEEYDMQCLIMNNKLFFKDGVCFRQNCLQCAFCDLHYVNDRARMVMEFAPILKSCAACNGTTGFLRLYDRRCLILNAKTYLTSGVEGLRCDCCACKLCDEHWYKDLAKLLYRDKIKRNCGACGKQKGKPQVSLMPVGDEKDLVMM
+>sp|P25791|RBTN2_HUMAN Rhombotin-2 OS=Homo sapiens OX=9606 GN=LMO2 PE=1 SV=1
+MSSAIERKSLDPSEEPVDEVLQIPPSLLTCGGCQQNIGDRYFLKAIDQYWHEDCLSCDLCGCRLGEVGRRLYYKLGRKLCRRDYLRLFGQDGLCASCDKRIRAYEMTMRVKDKVYHLECFKCAACQKHFCVGDRYLLINSDIVCEQDIYEWTKINGMI
+>DECOY_sp|P25791|RBTN2_HUMAN Rhombotin-2 OS=Homo sapiens OX=9606 GN=LMO2 PE=1 SV=1
+IMGNIKTWEYIDQECVIDSNILLYRDGVCFHKQCAACKFCELHYVKDKVRMTMEYARIRKDCSACLGDQGFLRLYDRRCLKRGLKYYLRRGVEGLRCGCLDCSLCDEHWYQDIAKLFYRDGINQQCGGCTLLSPPIQLVEDVPEESPDLSKREIASSM
+>sp|P0DJD3|RBY1A_HUMAN RNA-binding motif protein, Y chromosome, family 1 member A1 OS=Homo sapiens OX=9606 GN=RBMY1A1 PE=1 SV=1
+MVEADHPGKLFIGGLNRETNEKMLKAVFGKHGPISEVLLIKDRTSKSRGFAFITFENPADAKNAAKDMNGKSLHGKAIKVEQAKKPSFQSGGRRRPPASSRNRSPSGSLRSARGSRGGTRGWLPSHEGHLDDGGYTPDLKMSYSRGLIPVKRGPSSRSGGPPPKKSAPSAVARSNSWMGSQGPMSQRRENYGVPPRRATISSWRNDRMSTRHDGYATNDGNHPSCQETRDYAPPSRGYAYRDNGHSNRDEHSSRGYRNHRSSRETRDYAPPSRGHAYRDYGHSRRDESYSRGYRNRRSSRETREYAPPSRGHGYRDYGHSRRHESYSRGYRNHPSSRETRDYAPPHRDYAYRDYGHSSWDEHSSRGYSYHDGYGEALGRDHSEHLSGSSYRDALQRYGTSHGAPPARGPRMSYGGSTCHAYSNTRDRYGRSWESYSSCGDFHYCDREHVCRKDQRNPPSLGRVLPDPREACGSSSYVASIVDGGESRSEKGDSSRY
+>DECOY_sp|P0DJD3|RBY1A_HUMAN RNA-binding motif protein, Y chromosome, family 1 member A1 OS=Homo sapiens OX=9606 GN=RBMY1A1 PE=1 SV=1
+YRSSDGKESRSEGGDVISAVYSSSGCAERPDPLVRGLSPPNRQDKRCVHERDCYHFDGCSSYSEWSRGYRDRTNSYAHCTSGGYSMRPGRAPPAGHSTGYRQLADRYSSGSLHESHDRGLAEGYGDHYSYGRSSHEDWSSHGYDRYAYDRHPPAYDRTERSSPHNRYGRSYSEHRRSHGYDRYGHGRSPPAYERTERSSRRNRYGRSYSEDRRSHGYDRYAHGRSPPAYDRTERSSRHNRYGRSSHEDRNSHGNDRYAYGRSPPAYDRTEQCSPHNGDNTAYGDHRTSMRDNRWSSITARRPPVGYNERRQSMPGQSGMWSNSRAVASPASKKPPPGGSRSSPGRKVPILGRSYSMKLDPTYGGDDLHGEHSPLWGRTGGRSGRASRLSGSPSRNRSSAPPRRRGGSQFSPKKAQEVKIAKGHLSKGNMDKAANKADAPNEFTIFAFGRSKSTRDKILLVESIPGHKGFVAKLMKENTERNLGGIFLKGPHDAEVM
+>sp|Q9UKA8|RCAN3_HUMAN Calcipressin-3 OS=Homo sapiens OX=9606 GN=RCAN3 PE=1 SV=1
+MLRDTMKSWNDSQSDLCSTDQEEEEEMIFGENEDDLDEMMDLSDLPTSLFACSVHEAVFEAREQKERFEALFTIYDDQVTFQLFKSFRRVRINFSKPEAAARARIELHETDFNGQKLKLYFAQVQMSGEVRDKSYLLPPQPVKQFLISPPASPPVGWKQSEDAMPVINYDLLCAVSKLGPGEKYELHAGTESTPSVVVHVCESETEEEEETKNPKQKIAQTRRPDPPTAALNEPQTFDCAL
+>DECOY_sp|Q9UKA8|RCAN3_HUMAN Calcipressin-3 OS=Homo sapiens OX=9606 GN=RCAN3 PE=1 SV=1
+LACDFTQPENLAATPPDPRRTQAIKQKPNKTEEEEETESECVHVVVSPTSETGAHLEYKEGPGLKSVACLLDYNIVPMADESQKWGVPPSAPPSILFQKVPQPPLLYSKDRVEGSMQVQAFYLKLKQGNFDTEHLEIRARAAAEPKSFNIRVRRFSKFLQFTVQDDYITFLAEFREKQERAEFVAEHVSCAFLSTPLDSLDMMEDLDDENEGFIMEEEEEQDTSCLDSQSDNWSKMTDRLM
+>sp|Q96I51|RCC1L_HUMAN RCC1-like G exchanging factor-like protein OS=Homo sapiens OX=9606 GN=RCC1L PE=1 SV=2
+MALVALVAGARLGRRLSGPGLGRGHWTAARRSRSRREAAEAEAEVPVVQYVGERAARADRVFVWGFSFSGALGVPSFVVPSSGPGPRAGARPRRRIQPVPYRLELDQKISSAACGYGFTLLSSKTADVTKVWGMGLNKDSQLGFHRSRKDKTRGYEYVLEPSPVSLPLDRPQETRVLQVSCGRAHSLVLTDREGVFSMGNNSYGQCGRKVVENEIYSESHRVHRMQDFDGQVVQVACGQDHSLFLTDKGEVYSCGWGADGQTGLGHYNITSSPTKLGGDLAGVNVIQVATYGDCCLAVSADGGLFGWGNSEYLQLASVTDSTQVNVPRCLHFSGVGKVRQAACGGTGCAVLNGEGHVFVWGYGILGKGPNLVESAVPEMIPPTLFGLTEFNPEIQVSRIRCGLSHFAALTNKGELFVWGKNIRGCLGIGRLEDQYFPWRVTMPGEPVDVACGVDHMVTLAKSFI
+>DECOY_sp|Q96I51|RCC1L_HUMAN RCC1-like G exchanging factor-like protein OS=Homo sapiens OX=9606 GN=RCC1L PE=1 SV=2
+IFSKALTVMHDVGCAVDVPEGPMTVRWPFYQDELRGIGLCGRINKGWVFLEGKNTLAAFHSLGCRIRSVQIEPNFETLGFLTPPIMEPVASEVLNPGKGLIGYGWVFVHGEGNLVACGTGGCAAQRVKGVGSFHLCRPVNVQTSDTVSALQLYESNGWGFLGGDASVALCCDGYTAVQIVNVGALDGGLKTPSSTINYHGLGTQGDAGWGCSYVEGKDTLFLSHDQGCAVQVVQGDFDQMRHVRHSESYIENEVVKRGCQGYSNNGMSFVGERDTLVLSHARGCSVQLVRTEQPRDLPLSVPSPELVYEYGRTKDKRSRHFGLQSDKNLGMGWVKTVDATKSSLLTFGYGCAASSIKQDLELRYPVPQIRRRPRAGARPGPGSSPVVFSPVGLAGSFSFGWVFVRDARAAREGVYQVVPVEAEAEAAERRSRSRRAATWHGRGLGPGSLRRGLRAGAVLAVLAM
+>sp|P18754|RCC1_HUMAN Regulator of chromosome condensation OS=Homo sapiens OX=9606 GN=RCC1 PE=1 SV=1
+MSPKRIAKRRSPPADAIPKSKKVKVSHRSHSTEPGLVLTLGQGDVGQLGLGENVMERKKPALVSIPEDVVQAEAGGMHTVCLSKSGQVYSFGCNDEGALGRDTSVEGSEMVPGKVELQEKVVQVSAGDSHTAALTDDGRVFLWGSFRDNNGVIGLLEPMKKSMVPVQVQLDVPVVKVASGNDHLVMLTADGDLYTLGCGEQGQLGRVPELFANRGGRQGLERLLVPKCVMLKSRGSRGHVRFQDAFCGAYFTFAISHEGHVYGFGLSNYHQLGTPGTESCFIPQNLTSFKNSTKSWVGFSGGQHHTVCMDSEGKAYSLGRAEYGRLGLGEGAEEKSIPTLISRLPAVSSVACGASVGYAVTKDGRVFAWGMGTNYQLGTGQDEDAWSPVEMMGKQLENRVVLSVSSGGQHTVLLVKDKEQS
+>DECOY_sp|P18754|RCC1_HUMAN Regulator of chromosome condensation OS=Homo sapiens OX=9606 GN=RCC1 PE=1 SV=1
+SQEKDKVLLVTHQGGSSVSLVVRNELQKGMMEVPSWADEDQGTGLQYNTGMGWAFVRGDKTVAYGVSAGCAVSSVAPLRSILTPISKEEAGEGLGLRGYEARGLSYAKGESDMCVTHHQGGSFGVWSKTSNKFSTLNQPIFCSETGPTGLQHYNSLGFGYVHGEHSIAFTFYAGCFADQFRVHGRSGRSKLMVCKPVLLRELGQRGGRNAFLEPVRGLQGQEGCGLTYLDGDATLMVLHDNGSAVKVVPVDLQVQVPVMSKKMPELLGIVGNNDRFSGWLFVRGDDTLAATHSDGASVQVVKEQLEVKGPVMESGEVSTDRGLAGEDNCGFSYVQGSKSLCVTHMGGAEAQVVDEPISVLAPKKREMVNEGLGLQGVDGQGLTLVLGPETSHSRHSVKVKKSKPIADAPPSRRKAIRKPSM
+>sp|Q9P258|RCC2_HUMAN Protein RCC2 OS=Homo sapiens OX=9606 GN=RCC2 PE=1 SV=2
+MPRKKAAAAAWEEPSSGNGTARAGPRKRGGPAGRKRERPERCSSSSGGGSSGDEDGLELDGAPGGGKRAARPATAGKAGGAAVVITEPEHTKERVKLEGSKCKGQLLIFGATNWDLIGRKEVPKQQAAYRNLGQNLWGPHRYGCLAGVRVRTVVSGSCAAHSLLITTEGKLWSWGRNEKGQLGHGDTKRVEAPRLIEGLSHEVIVSAACGRNHTLALTETGSVFAFGENKMGQLGLGNQTDAVPSPAQIMYNGQPITKMACGAEFSMIMDCKGNLYSFGCPEYGQLGHNSDGKFIARAQRIEYDCELVPRRVAIFIEKTKDGQILPVPNVVVRDVACGANHTLVLDSQKRVFSWGFGGYGRLGHAEQKDEMVPRLVKLFDFPGRGASQIYAGYTCSFAVSEVGGLFFWGATNTSRESTMYPKAVQDLCGWRIRSLACGKSSIIVAADESTISWGPSPTFGELGYGDHKPKSSTAAQEVKTLDGIFSEQVAMGYSHSLVIARDESETEKEKIKKLPEYNPRTL
+>DECOY_sp|Q9P258|RCC2_HUMAN Protein RCC2 OS=Homo sapiens OX=9606 GN=RCC2 PE=1 SV=2
+LTRPNYEPLKKIKEKETESEDRAIVLSHSYGMAVQESFIGDLTKVEQAATSSKPKHDGYGLEGFTPSPGWSITSEDAAVIISSKGCALSRIRWGCLDQVAKPYMTSERSTNTAGWFFLGGVESVAFSCTYGAYIQSAGRGPFDFLKVLRPVMEDKQEAHGLRGYGGFGWSFVRKQSDLVLTHNAGCAVDRVVVNPVPLIQGDKTKEIFIAVRRPVLECDYEIRQARAIFKGDSNHGLQGYEPCGFSYLNGKCDMIMSFEAGCAMKTIPQGNYMIQAPSPVADTQNGLGLQGMKNEGFAFVSGTETLALTHNRGCAASVIVEHSLGEILRPAEVRKTDGHGLQGKENRGWSWLKGETTILLSHAACSGSVVTRVRVGALCGYRHPGWLNQGLNRYAAQQKPVEKRGILDWNTAGFILLQGKCKSGELKVREKTHEPETIVVAAGGAKGATAPRAARKGGGPAGDLELGDEDGSSGGGSSSSCREPRERKRGAPGGRKRPGARATGNGSSPEEWAAAAAKKRPM
+>sp|Q8IZ40|RCOR2_HUMAN REST corepressor 2 OS=Homo sapiens OX=9606 GN=RCOR2 PE=1 SV=2
+MPSVMEKPSAGSGILSRSRAKTVPNGGQPHSEDDSSEEEHSHDSMIRVGTNYQAVIPECKPESPARYSNKELKGMLVWSPNHCVSDAKLDKYIAMAKEKHGYNIEQALGMLLWHKHDVEKSLADLANFTPFPDEWTVEDKVLFEQAFGFHGKCFQRIQQMLPDKLIPSLVKYYYSWKKTRSRTSVMDRQARRLGGRKDKEDSDELEEGRGGVSEGEPDPADPKREPLPSRPLNARPGPGKKEVQVSQYRHHPLRTRRRPPKGMYLSPEGLTAVSGSPDLANLTLRGLDSQLISLKRQVQSMKQTNSSLRQALEGGIDPLRPPEANTKFNSRWTTDEQLLAVQAIRRYGKDFGAIAEVIGNKTLTQVKTFFVSYRRRFNLEEVLQEWEAEQDGAPGAPVPMEEARRGAPLPAPALEEDDEVQITSVSTSVPRSVPPAPPPPPPPTSLSQPPPLLRPPLPTAPTLLRQPPPLQQGRFLQPRLAPNQPPPPLIRPALAAPRHSARPGPQPPPTLIGTPLEPPAPSL
+>DECOY_sp|Q8IZ40|RCOR2_HUMAN REST corepressor 2 OS=Homo sapiens OX=9606 GN=RCOR2 PE=1 SV=2
+LSPAPPELPTGILTPPPQPGPRASHRPAALAPRILPPPPQNPALRPQLFRGQQLPPPQRLLTPATPLPPRLLPPPQSLSTPPPPPPPAPPVSRPVSTSVSTIQVEDDEELAPAPLPAGRRAEEMPVPAGPAGDQEAEWEQLVEELNFRRRYSVFFTKVQTLTKNGIVEAIAGFDKGYRRIAQVALLQEDTTWRSNFKTNAEPPRLPDIGGELAQRLSSNTQKMSQVQRKLSILQSDLGRLTLNALDPSGSVATLGEPSLYMGKPPRRRTRLPHHRYQSVQVEKKGPGPRANLPRSPLPERKPDAPDPEGESVGGRGEELEDSDEKDKRGGLRRAQRDMVSTRSRTKKWSYYYKVLSPILKDPLMQQIRQFCKGHFGFAQEFLVKDEVTWEDPFPTFNALDALSKEVDHKHWLLMGLAQEINYGHKEKAMAIYKDLKADSVCHNPSWVLMGKLEKNSYRAPSEPKCEPIVAQYNTGVRIMSDHSHEEESSDDESHPQGGNPVTKARSRSLIGSGASPKEMVSPM
+>sp|P0DJH9|RD3L_HUMAN Protein RD3-like OS=Homo sapiens OX=9606 GN=RD3L PE=4 SV=1
+MPLFGWMKWPKNDSYKPTHYPGSDIVTKTLLRELKWHLKERERLIQEIENEQKVKKTGVDYNWLRNYQNPHTTIPVTEQRQLEVLCSQVQPCQTGTILSRFREVLAENDVLPWEIVYIFKQVLKDFLSSSDRGSEQEDLEDSGSMDCSAPSVIQGDSSKRADKDEIPTISSYVDKNTKDRFPVFSHRIWNLPYYHPSS
+>DECOY_sp|P0DJH9|RD3L_HUMAN Protein RD3-like OS=Homo sapiens OX=9606 GN=RD3L PE=4 SV=1
+SSPHYYPLNWIRHSFVPFRDKTNKDVYSSITPIEDKDARKSSDGQIVSPASCDMSGSDELDEQESGRDSSSLFDKLVQKFIYVIEWPLVDNEALVERFRSLITGTQCPQVQSCLVELQRQETVPITTHPNQYNRLWNYDVGTKKVKQENEIEQILREREKLHWKLERLLTKTVIDSGPYHTPKYSDNKPWKMWGFLPM
+>sp|P61575|RECK8_HUMAN Endogenous retrovirus group K member 8 Rec protein OS=Homo sapiens OX=9606 GN=ERVK-8 PE=1 SV=1
+MNPSEMQRKAPPRRRRHRNRAPLTHKMNKMVTSEEQMKLPSTKKAEPPTWAQLKKLTQLATKYLENTKVTQTPESMLLAALMIVSMVSAGVLNSSEETATIENGP
+>DECOY_sp|P61575|RECK8_HUMAN Endogenous retrovirus group K member 8 Rec protein OS=Homo sapiens OX=9606 GN=ERVK-8 PE=1 SV=1
+PGNEITATEESSNLVGASVMSVIMLAALLMSEPTQTVKTNELYKTALQTLKKLQAWTPPEAKKTSPLKMQEESTVMKNMKHTLPARNRHRRRRPPAKRQMESPNM
+>sp|Q9H902|REEP1_HUMAN Receptor expression-enhancing protein 1 OS=Homo sapiens OX=9606 GN=REEP1 PE=1 SV=1
+MVSWIISRLVVLIFGTLYPAYYSYKAVKSKDIKEYVKWMMYWIIFALFTTAETFTDIFLCWFPFYYELKIAFVAWLLSPYTKGSSLLYRKFVHPTLSSKEKEIDDCLVQAKDRSYDALVHFGKRGLNVAATAAVMAASKGQGALSERLRSFSMQDLTTIRGDGAPAPSGPPPPGSGRASGKHGQPKMSRSASESASSSGTA
+>DECOY_sp|Q9H902|REEP1_HUMAN Receptor expression-enhancing protein 1 OS=Homo sapiens OX=9606 GN=REEP1 PE=1 SV=1
+ATGSSSASESASRSMKPQGHKGSARGSGPPPPGSPAPAGDGRITTLDQMSFSRLRESLAGQGKSAAMVAATAAVNLGRKGFHVLADYSRDKAQVLCDDIEKEKSSLTPHVFKRYLLSSGKTYPSLLWAVFAIKLEYYFPFWCLFIDTFTEATTFLAFIIWYMMWKVYEKIDKSKVAKYSYYAPYLTGFILVVLRSIIWSVM
+>sp|Q9H6H4|REEP4_HUMAN Receptor expression-enhancing protein 4 OS=Homo sapiens OX=9606 GN=REEP4 PE=1 SV=1
+MVSWMICRLVVLVFGMLCPAYASYKAVKTKNIREYVRWMMYWIVFALFMAAEIVTDIFISWFPFYYEIKMAFVLWLLSPYTKGASLLYRKFVHPSLSRHEKEIDAYIVQAKERSYETVLSFGKRGLNIAASAAVQAATKSQGALAGRLRSFSMQDLRSISDAPAPAYHDPLYLEDQVSHRRPPIGYRAGGLQDSDTEDECWSDTEAVPRAPARPREKPLIRSQSLRVVKRKPPVREGTSRSLKVRTRKKTVPSDVDS
+>DECOY_sp|Q9H6H4|REEP4_HUMAN Receptor expression-enhancing protein 4 OS=Homo sapiens OX=9606 GN=REEP4 PE=1 SV=1
+SDVDSPVTKKRTRVKLSRSTGERVPPKRKVVRLSQSRILPKERPRAPARPVAETDSWCEDETDSDQLGGARYGIPPRRHSVQDELYLPDHYAPAPADSISRLDQMSFSRLRGALAGQSKTAAQVAASAAINLGRKGFSLVTEYSREKAQVIYADIEKEHRSLSPHVFKRYLLSAGKTYPSLLWLVFAMKIEYYFPFWSIFIDTVIEAAMFLAFVIWYMMWRVYERINKTKVAKYSAYAPCLMGFVLVVLRCIMWSVM
+>sp|Q9BYZ8|REG4_HUMAN Regenerating islet-derived protein 4 OS=Homo sapiens OX=9606 GN=REG4 PE=1 SV=1
+MASRSMRLLLLLSCLAKTGVLGDIIMRPSCAPGWFYHKSNCYGYFRKLRNWSDAELECQSYGNGAHLASILSLKEASTIAEYISGYQRSQPIWIGLHDPQKRQQWQWIDGAMYLYRSWSGKSMGGNKHCAEMSSNNNFLTWSSNECNKRQHFLCKYRP
+>DECOY_sp|Q9BYZ8|REG4_HUMAN Regenerating islet-derived protein 4 OS=Homo sapiens OX=9606 GN=REG4 PE=1 SV=1
+PRYKCLFHQRKNCENSSWTLFNNNSSMEACHKNGGMSKGSWSRYLYMAGDIWQWQQRKQPDHLGIWIPQSRQYGSIYEAITSAEKLSLISALHAGNGYSQCELEADSWNRLKRFYGYCNSKHYFWGPACSPRMIIDGLVGTKALCSLLLLLRMSRSAM
+>sp|Q6NUR6|R216L_HUMAN Putative protein RNF216-like OS=Homo sapiens OX=9606 GN=RNF216P1 PE=5 SV=2
+MEEGNNNEEVIHLNNFHCHRGQDFVIFFWKTQIIQREKTESL
+>DECOY_sp|Q6NUR6|R216L_HUMAN Putative protein RNF216-like OS=Homo sapiens OX=9606 GN=RNF216P1 PE=5 SV=2
+LSETKERQIIQTKWFFIVFDQGRHCHFNNLHIVEENNNGEEM
+>sp|Q32P51|RA1L2_HUMAN Heterogeneous nuclear ribonucleoprotein A1-like 2 OS=Homo sapiens OX=9606 GN=HNRNPA1L2 PE=2 SV=2
+MSKSASPKEPEQLRKLFIGGLSFETTDESLRSHFEQWGTLTDCVVMRDPNTKRSRGFGFVTYATVEEVDAAMNTTPHKVDGRVVEPKRAVSREDSQRPGAHLTVKKIFVGGIKEDTEEHHLRDYFEQYGKIEVIEIMTDRGSGKKRGFAFVTFDDHDSVDKIVIQKYHTVKGHNCEVRKALPKQEMASASSSQRGRRGSGNFGGGRGDGFGGNDNFGRGGNFSGRGGFGGSCGGGGYGGSGDGYNGFGNDGSNFGGGGSYNDFGNYNNQSSNFGPMKGGNFGGRSSGPYGGGGQYFAKPQNQGGYGVSSSSSSYGSGRRF
+>DECOY_sp|Q32P51|RA1L2_HUMAN Heterogeneous nuclear ribonucleoprotein A1-like 2 OS=Homo sapiens OX=9606 GN=HNRNPA1L2 PE=2 SV=2
+FRRGSGYSSSSSSVGYGGQNQPKAFYQGGGGYPGSSRGGFNGGKMPGFNSSQNNYNGFDNYSGGGGFNSGDNGFGNYGDGSGGYGGGGCSGGFGGRGSFNGGRGFNDNGGFGDGRGGGFNGSGRRGRQSSSASAMEQKPLAKRVECNHGKVTHYKQIVIKDVSDHDDFTVFAFGRKKGSGRDTMIEIVEIKGYQEFYDRLHHEETDEKIGGVFIKKVTLHAGPRQSDERSVARKPEVVRGDVKHPTTNMAADVEEVTAYTVFGFGRSRKTNPDRMVVCDTLTGWQEFHSRLSEDTTEFSLGGIFLKRLQEPEKPSASKSM
+>sp|O15315|RA51B_HUMAN DNA repair protein RAD51 homolog 2 OS=Homo sapiens OX=9606 GN=RAD51B PE=1 SV=2
+MGSKKLKRVGLSQELCDRLSRHQILTCQDFLCLSPLELMKVTGLSYRGVHELLCMVSRACAPKMQTAYGIKAQRSADFSPAFLSTTLSALDEALHGGVACGSLTEITGPPGCGKTQFCIMMSILATLPTNMGGLEGAVVYIDTESAFSAERLVEIAESRFPRYFNTEEKLLLTSSKVHLYRELTCDEVLQRIESLEEEIISKGIKLVILDSVASVVRKEFDAQLQGNLKERNKFLAREASSLKYLAEEFSIPVILTNQITTHLSGALASQADLVSPADDLSLSEGTSGSSCVIAALGNTWSHSVNTRLILQYLDSERRQILIAKSPLAPFTSFVYTIKEEGLVLQETTFCSVTQAELNWAPEILPPQPPEQLGLQMCHHTQLIF
+>DECOY_sp|O15315|RA51B_HUMAN DNA repair protein RAD51 homolog 2 OS=Homo sapiens OX=9606 GN=RAD51B PE=1 SV=2
+FILQTHHCMQLGLQEPPQPPLIEPAWNLEAQTVSCFTTEQLVLGEEKITYVFSTFPALPSKAILIQRRESDLYQLILRTNVSHSWTNGLAAIVCSSGSTGESLSLDDAPSVLDAQSALAGSLHTTIQNTLIVPISFEEALYKLSSAERALFKNREKLNGQLQADFEKRVVSAVSDLIVLKIGKSIIEEELSEIRQLVEDCTLERYLHVKSSTLLLKEETNFYRPFRSEAIEVLREASFASETDIYVVAGELGGMNTPLTALISMMICFQTKGCGPPGTIETLSGCAVGGHLAEDLASLTTSLFAPSFDASRQAKIGYATQMKPACARSVMCLLEHVGRYSLGTVKMLELPSLCLFDQCTLIQHRSLRDCLEQSLGVRKLKKSGM
+>sp|P51153|RAB13_HUMAN Ras-related protein Rab-13 OS=Homo sapiens OX=9606 GN=RAB13 PE=1 SV=1
+MAKAYDHLFKLLLIGDSGVGKTCLIIRFAEDNFNNTYISTIGIDFKIRTVDIEGKKIKLQVWDTAGQERFKTITTAYYRGAMGIILVYDITDEKSFENIQNWMKSIKENASAGVERLLLGNKCDMEAKRKVQKEQADKLAREHGIRFFETSAKSSMNVDEAFSSLARDILLKSGGRRSGNGNKPPSTDLKTCDKKNTNKCSLG
+>DECOY_sp|P51153|RAB13_HUMAN Ras-related protein Rab-13 OS=Homo sapiens OX=9606 GN=RAB13 PE=1 SV=1
+GLSCKNTNKKDCTKLDTSPPKNGNGSRRGGSKLLIDRALSSFAEDVNMSSKASTEFFRIGHERALKDAQEKQVKRKAEMDCKNGLLLREVGASANEKISKMWNQINEFSKEDTIDYVLIIGMAGRYYATTITKFREQGATDWVQLKIKKGEIDVTRIKFDIGITSIYTNNFNDEAFRIILCTKGVGSDGILLLKFLHDYAKAM
+>sp|Q9H0T7|RAB17_HUMAN Ras-related protein Rab-17 OS=Homo sapiens OX=9606 GN=RAB17 PE=1 SV=2
+MAQAHRTPQPRAAPSQPRVFKLVLLGSGSVGKSSLALRYVKNDFKSILPTVGCAFFTKVVDVGATSLKLEIWDTAGQEKYHSVCHLYFRGANAALLVYDITRKDSFLKAQQWLKDLEEELHPGEVLVMLVGNKTDLSQEREVTFQEGKEFADSQKLLFMETSAKLNHQVSEVFNTVAQELLQRSDEEGQALRGDAAVALNKGPARQAKCCAH
+>DECOY_sp|Q9H0T7|RAB17_HUMAN Ras-related protein Rab-17 OS=Homo sapiens OX=9606 GN=RAB17 PE=1 SV=2
+HACCKAQRAPGKNLAVAADGRLAQGEEDSRQLLEQAVTNFVESVQHNLKASTEMFLLKQSDAFEKGEQFTVEREQSLDTKNGVLMVLVEGPHLEEELDKLWQQAKLFSDKRTIDYVLLAANAGRFYLHCVSHYKEQGATDWIELKLSTAGVDVVKTFFACGVTPLISKFDNKVYRLALSSKGVSGSGLLVLKFVRPQSPAARPQPTRHAQAM
+>sp|A4D1S5|RAB19_HUMAN Ras-related protein Rab-19 OS=Homo sapiens OX=9606 GN=RAB19 PE=2 SV=2
+MHFSSSARAADENFDYLFKIILIGDSNVGKTCVVQHFKSGVYTETQQNTIGVDFTVRSLDIDGKKVKMQVWDTAGQERFRTITQSYYRSAHAAIIAYDLTRRSTFESIPHWIHEIEKYGAANVVIMLIGNKCDLWEKRHVLFEDACTLAEKYGLLAVLETSAKESKNIEEVFVLMAKELIARNSLHLYGESALNGLPLDSSPVLMAQGPSEKTHCTC
+>DECOY_sp|A4D1S5|RAB19_HUMAN Ras-related protein Rab-19 OS=Homo sapiens OX=9606 GN=RAB19 PE=2 SV=2
+CTCHTKESPGQAMLVPSSDLPLGNLASEGYLHLSNRAILEKAMLVFVEEINKSEKASTELVALLGYKEALTCADEFLVHRKEWLDCKNGILMIVVNAAGYKEIEHIWHPISEFTSRRTLDYAIIAAHASRYYSQTITRFREQGATDWVQMKVKKGDIDLSRVTFDVGITNQQTETYVGSKFHQVVCTKGVNSDGILIIKFLYDFNEDAARASSSFHM
+>sp|P62820|RAB1A_HUMAN Ras-related protein Rab-1A OS=Homo sapiens OX=9606 GN=RAB1A PE=1 SV=3
+MSSMNPEYDYLFKLLLIGDSGVGKSCLLLRFADDTYTESYISTIGVDFKIRTIELDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIVVYDVTDQESFNNVKQWLQEIDRYASENVNKLLVGNKCDLTTKKVVDYTTAKEFADSLGIPFLETSAKNATNVEQSFMTMAAEIKKRMGPGATAGGAEKSNVKIQSTPVKQSGGGCC
+>DECOY_sp|P62820|RAB1A_HUMAN Ras-related protein Rab-1A OS=Homo sapiens OX=9606 GN=RAB1A PE=1 SV=3
+CCGGGSQKVPTSQIKVNSKEAGGATAGPGMRKKIEAAMTMFSQEVNTANKASTELFPIGLSDAFEKATTYDVVKKTTLDCKNGVLLKNVNESAYRDIEQLWQKVNNFSEQDTVDYVVIIGHAGRYYSSTITRFREQGATDWIQLKITKGDLEITRIKFDVGITSIYSETYTDDAFRLLLCSKGVGSDGILLLKFLYDYEPNMSSM
+>sp|Q969Q5|RAB24_HUMAN Ras-related protein Rab-24 OS=Homo sapiens OX=9606 GN=RAB24 PE=1 SV=1
+MSGQRVDVKVVMLGKEYVGKTSLVERYVHDRFLVGPYQNTIGAAFVAKVMSVGDRTVTLGIWDTAGSERYEAMSRIYYRGAKAAIVCYDLTDSSSFERAKFWVKELRSLEEGCQIYLCGTKSDLLEEDRRRRRVDFHDVQDYADNIKAQLFETSSKTGQSVDELFQKVAEDYVSVAAFQVMTEDKGVDLGQKPNPYFYSCCHH
+>DECOY_sp|Q969Q5|RAB24_HUMAN Ras-related protein Rab-24 OS=Homo sapiens OX=9606 GN=RAB24 PE=1 SV=1
+HHCCSYFYPNPKQGLDVGKDETMVQFAAVSVYDEAVKQFLEDVSQGTKSSTEFLQAKINDAYDQVDHFDVRRRRRDEELLDSKTGCLYIQCGEELSRLEKVWFKAREFSSSDTLDYCVIAAKAGRYYIRSMAEYRESGATDWIGLTVTRDGVSMVKAVFAAGITNQYPGVLFRDHVYREVLSTKGVYEKGLMVVKVDVRQGSM
+>sp|Q5JT25|RAB41_HUMAN Ras-related protein Rab-41 OS=Homo sapiens OX=9606 GN=RAB41 PE=1 SV=2
+MSAFGHDEAWMEAGGFGLEAAERTEYQSLCKSKLLFLGEQSVGKTSIISRFMYNSFGCACQATVGIDFLSKTMYLEDQIVQLQLWDTAGQERFHSLIPSYIRDSTIAVVVYDITNINSFKETDKWVEHVRAERGDDVVIMLLGNKIDLDNKRQVTAEQGEEKSRNLNVMFIETSAKTGYNVKKLFRRVASALLSTRTSPPPKEGTVEIELESFEESGNRSYC
+>DECOY_sp|Q5JT25|RAB41_HUMAN Ras-related protein Rab-41 OS=Homo sapiens OX=9606 GN=RAB41 PE=1 SV=2
+CYSRNGSEEFSELEIEVTGEKPPPSTRTSLLASAVRRFLKKVNYGTKASTEIFMVNLNRSKEEGQEATVQRKNDLDIKNGLLMIVVDDGREARVHEVWKDTEKFSNINTIDYVVVAITSDRIYSPILSHFREQGATDWLQLQVIQDELYMTKSLFDIGVTAQCACGFSNYMFRSIISTKGVSQEGLFLLKSKCLSQYETREAAELGFGGAEMWAEDHGFASM
+>sp|Q7Z6P3|RAB44_HUMAN Ras-related protein Rab-44 OS=Homo sapiens OX=9606 GN=RAB44 PE=1 SV=4
+METGQRTSRKVRKLGSNRRRQTREPADGEGAAVAPEPESWSSQAAAELQAFFQDCGAKERGFVTREDLAVAKFSFLGSKEESEMIFDWVDVERKGHLSLEEFSSGLKNIFGSSQSPHRLRRRKPLPSKRVSATTSFPALEEADAEEKEAFLAFMEQLGTGHLLPKQMEIWQLWGQLRQEEPQLAGNLAGFLAKMTSRLQEAQADKEALELTLRKRDSDHHREVQQLYEEMEQQIRQEKQQLQAESDSRGLALTSQMQDVLEAKEREVQRLAEGQRELEAQLSHLRSTHQEAASENQQLQEAKRDLAGRLEEVRGQLQVTRGRLDAARGRVSWQVEEKLSFPGAGEKTPDPQAASPEEAPLPGLFGDNDDWDQLLSNFGSPPHGALQLCWSPPPTPRATSGPQTPRVVRQISISEPQAFLFGQEPSSDPDGAPRTPPGVTFSAKDNKGVDPHEQDIRAEQPVEPHDPDPNQEPGSTPEGRLLWGLSGSLVAPAFKVLIPLEDGPPPPANSPPPQAPAGSSKQIQASDPDDKGPGSWAPPSGAQPGAGAGPQEPTQTPPTMTERETQPGPSPTTALTGVGPAKPPRQRDALQQDLHATGSEPRLGTQRARALTLGPAEPFQGLEFVGPVPTERLEQGQAGPAVQEGLPEGLREAHGQVLGLGELSAFPHQELEEEPRSEEGKQEGRGGQDLSSEQSEQSVEAHGLETAHSELPQQDSLLVSLPSATPQAQVEAEGPTPGKSAPPRGSPPRGAQPGAGAGPQEPTQTPPTMAEQEAQPRPSLTTAHAEEQGPPHSREPRAESRLEDPGMDSREAGLTPSPGDPMAGGGPQANPDYLFHVIFLGDSNVGKTSFLHLLHQNSFATGLTATVGVDFRVKTLLVDNKCFVLQLWDTAGQERYHSMTRQLLRKADGVVLMYDITSQESFAHVRYWLDCLQDAGSDGVVILLLGNKMDCEEERQVSVEAGQQLAQELGVYFGECSAALGHNILEPVVNLARSLRMQEEGLKDSLVKVAPKRPPKRFGCCS
+>DECOY_sp|Q7Z6P3|RAB44_HUMAN Ras-related protein Rab-44 OS=Homo sapiens OX=9606 GN=RAB44 PE=1 SV=4
+SCCGFRKPPRKPAVKVLSDKLGEEQMRLSRALNVVPELINHGLAASCEGFYVGLEQALQQGAEVSVQREEECDMKNGLLLIVVGDSGADQLCDLWYRVHAFSEQSTIDYMLVVGDAKRLLQRTMSHYREQGATDWLQLVFCKNDVLLTKVRFDVGVTATLGTAFSNQHLLHLFSTKGVNSDGLFIVHFLYDPNAQPGGGAMPDGPSPTLGAERSDMGPDELRSEARPERSHPPGQEEAHATTLSPRPQAEQEAMTPPTQTPEQPGAGAGPQAGRPPSGRPPASKGPTPGEAEVQAQPTASPLSVLLSDQQPLESHATELGHAEVSQESQESSLDQGGRGEQKGEESRPEEELEQHPFASLEGLGLVQGHAERLGEPLGEQVAPGAQGQELRETPVPGVFELGQFPEAPGLTLARARQTGLRPESGTAHLDQQLADRQRPPKAPGVGTLATTPSPGPQTERETMTPPTQTPEQPGAGAGPQAGSPPAWSGPGKDDPDSAQIQKSSGAPAQPPPSNAPPPPGDELPILVKFAPAVLSGSLGWLLRGEPTSGPEQNPDPDHPEVPQEARIDQEHPDVGKNDKASFTVGPPTRPAGDPDSSPEQGFLFAQPESISIQRVVRPTQPGSTARPTPPPSWCLQLAGHPPSGFNSLLQDWDDNDGFLGPLPAEEPSAAQPDPTKEGAGPFSLKEEVQWSVRGRAADLRGRTVQLQGRVEELRGALDRKAEQLQQNESAAEQHTSRLHSLQAELERQGEALRQVEREKAELVDQMQSTLALGRSDSEAQLQQKEQRIQQEMEEYLQQVERHHDSDRKRLTLELAEKDAQAEQLRSTMKALFGALNGALQPEEQRLQGWLQWIEMQKPLLHGTGLQEMFALFAEKEEADAEELAPFSTTASVRKSPLPKRRRLRHPSQSSGFINKLGSSFEELSLHGKREVDVWDFIMESEEKSGLFSFKAVALDERTVFGREKAGCDQFFAQLEAAAQSSWSEPEPAVAAGEGDAPERTQRRRNSGLKRVKRSTRQGTEM
+>sp|Q53S08|RAB6D_HUMAN Ras-related protein Rab-6D OS=Homo sapiens OX=9606 GN=RAB6D PE=2 SV=1
+MSAGGDFGNPLRKFKLVFLGEQSVAKTSLITRFRYDSFDNTYQAIIGIDFLSKTMYLEDGTIGLRLWDTAGQERLRSLIPRYIRDSAAAVVVYDITNVNSFQQTTKWIDDVRTEGGSDVIITLVGNKTDLADKRQVSIEEGERKAKGLNVTFIETRAKAGYNVKQLFRRVAAALPGMESTQDGSREDMSDIKLEKPQEQTVSEGGCSCYSPMSSSTLPQKPPYSFIDCSVNIGLNLFPSLITFCNSSLLPVSWR
+>DECOY_sp|Q53S08|RAB6D_HUMAN Ras-related protein Rab-6D OS=Homo sapiens OX=9606 GN=RAB6D PE=2 SV=1
+RWSVPLLSSNCFTILSPFLNLGINVSCDIFSYPPKQPLTSSSMPSYCSCGGESVTQEQPKELKIDSMDERSGDQTSEMGPLAAAVRRFLQKVNYGAKARTEIFTVNLGKAKREGEEISVQRKDALDTKNGVLTIIVDSGGETRVDDIWKTTQQFSNVNTIDYVVVAAASDRIYRPILSRLREQGATDWLRLGITGDELYMTKSLFDIGIIAQYTNDFSDYRFRTILSTKAVSQEGLFVLKFKRLPNGFDGGASM
+>sp|Q96AH8|RAB7B_HUMAN Ras-related protein Rab-7b OS=Homo sapiens OX=9606 GN=RAB7B PE=2 SV=1
+MNPRKKVDLKLIIVGAIGVGKTSLLHQYVHKTFYEEYQTTLGASILSKIIILGDTTLKLQIWDTGGQERFRSMVSTFYKGSDGCILAFDVTDLESFEALDIWRGDVLAKIVPMEQSYPMVLLGNKIDLADRKVPQEVAQGWCREKDIPYFEVSAKNDINVVQAFEMLASRALSRYQSILENHLTESIKLSPDQSRSRCC
+>DECOY_sp|Q96AH8|RAB7B_HUMAN Ras-related protein Rab-7b OS=Homo sapiens OX=9606 GN=RAB7B PE=2 SV=1
+CCRSRSQDPSLKISETLHNELISQYRSLARSALMEFAQVVNIDNKASVEFYPIDKERCWGQAVEQPVKRDALDIKNGLLVMPYSQEMPVIKALVDGRWIDLAEFSELDTVDFALICGDSGKYFTSVMSRFREQGGTDWIQLKLTTDGLIIIKSLISAGLTTQYEEYFTKHVYQHLLSTKGVGIAGVIILKLDVKKRPNM
+>sp|P60763|RAC3_HUMAN Ras-related C3 botulinum toxin substrate 3 OS=Homo sapiens OX=9606 GN=RAC3 PE=1 SV=1
+MQAIKCVVVGDGAVGKTCLLISYTTNAFPGEYIPTVFDNYSANVMVDGKPVNLGLWDTAGQEDYDRLRPLSYPQTDVFLICFSLVSPASFENVRAKWYPEVRHHCPHTPILLVGTKLDLRDDKDTIERLRDKKLAPITYPQGLAMAREIGSVKYLECSALTQRGLKTVFDEAIRAVLCPPPVKKPGKKCTVF
+>DECOY_sp|P60763|RAC3_HUMAN Ras-related C3 botulinum toxin substrate 3 OS=Homo sapiens OX=9606 GN=RAC3 PE=1 SV=1
+FVTCKKGPKKVPPPCLVARIAEDFVTKLGRQTLASCELYKVSGIERAMALGQPYTIPALKKDRLREITDKDDRLDLKTGVLLIPTHPCHHRVEPYWKARVNEFSAPSVLSFCILFVDTQPYSLPRLRDYDEQGATDWLGLNVPKGDVMVNASYNDFVTPIYEGPFANTTYSILLCTKGVAGDGVVVCKIAQM
+>sp|O60216|RAD21_HUMAN Double-strand-break repair protein rad21 homolog OS=Homo sapiens OX=9606 GN=RAD21 PE=1 SV=2
+MFYAHFVLSKRGPLAKIWLAAHWDKKLTKAHVFECNLESSVESIISPKVKMALRTSGHLLLGVVRIYHRKAKYLLADCNEAFIKIKMAFRPGVVDLPEENREAAYNAITLPEEFHDFDQPLPDLDDIDVAQQFSLNQSRVEEITMREEVGNISILQENDFGDFGMDDREIMREGSAFEDDDMLVSTTTSNLLLESEQSTSNLNEKINHLEYEDQYKDDNFGEGNDGGILDDKLISNNDGGIFDDPPALSEAGVMLPEQPAHDDMDEDDNVSMGGPDSPDSVDPVEPMPTMTDQTTLVPNEEEAFALEPIDITVKETKAKRKRKLIVDSVKELDSKTIRAQLSDYSDIVTTLDLAPPTKKLMMWKETGGVEKLFSLPAQPLWNNRLLKLFTRCLTPLVPEDLRKRRKGGEADNLDEFLKEFENPEVPREDQQQQHQQRDVIDEPIIEEPSRLQESVMEASRTNIDESAMPPPPPQGVKRKAGQIDPEPVMPPQQVEQMEIPPVELPPEEPPNICQLIPELELLPEKEKEKEKEKEDDEEEEDEDASGGDQDQEERRWNKRTQQMLHGLQRALAKTGAESISLLELCRNTNRKQAAAKFYSFLVLKKQQAIELTQEEPYSDIIATPGPRFHII
+>DECOY_sp|O60216|RAD21_HUMAN Double-strand-break repair protein rad21 homolog OS=Homo sapiens OX=9606 GN=RAD21 PE=1 SV=2
+IIHFRPGPTAIIDSYPEEQTLEIAQQKKLVLFSYFKAAAQKRNTNRCLELLSISEAGTKALARQLGHLMQQTRKNWRREEQDQDGGSADEDEEEEDDEKEKEKEKEKEPLLELEPILQCINPPEEPPLEVPPIEMQEVQQPPMVPEPDIQGAKRKVGQPPPPPMASEDINTRSAEMVSEQLRSPEEIIPEDIVDRQQHQQQQDERPVEPNEFEKLFEDLNDAEGGKRRKRLDEPVLPTLCRTFLKLLRNNWLPQAPLSFLKEVGGTEKWMMLKKTPPALDLTTVIDSYDSLQARITKSDLEKVSDVILKRKRKAKTEKVTIDIPELAFAEEENPVLTTQDTMTPMPEVPDVSDPSDPGGMSVNDDEDMDDHAPQEPLMVGAESLAPPDDFIGGDNNSILKDDLIGGDNGEGFNDDKYQDEYELHNIKENLNSTSQESELLLNSTTTSVLMDDDEFASGERMIERDDMGFDGFDNEQLISINGVEERMTIEEVRSQNLSFQQAVDIDDLDPLPQDFDHFEEPLTIANYAAERNEEPLDVVGPRFAMKIKIFAENCDALLYKAKRHYIRVVGLLLHGSTRLAMKVKPSIISEVSSELNCEFVHAKTLKKDWHAALWIKALPGRKSLVFHAYFM
+>sp|Q6WBX8|RAD9B_HUMAN Cell cycle checkpoint control protein RAD9B OS=Homo sapiens OX=9606 GN=RAD9B PE=1 SV=2
+MLKCVMSGSQVKVFGKAVQALSRISDEFWLDPSKKGLALRCVNSSRSAYGCVLFSPVFFQHYQWSALVKMSENELDTTLHLKCKLGMKSILPIFRCLNSLERNIEKCRIFTRSDKCKVVIQFFYRHGIKRTHNICFQESQPLQVIFDKNVCTNTLMIQPRLLADAIVLFTSSQEEVTLAVTPLNFCLKSSNEESMDLSNAVHSEMFVGSDEFDFFQIGMDTEITFCFKELKGILTFSEATHAPISIYFDFPGKPLALSIDDMLVEANFILATLADEQSRASSPQSLCLSQKRKRSDLIEKKAGKNVTGQALECISKKAAPRRLYPKETLTNISALENCGSPAMKRVDGDVSEVSESSVSNTEEVPGSLCLRKFSCMFFGAVSSDQQEHFNHPFDSLARASDSEEDMNNVCCRKEFNGSDAKYFCII
+>DECOY_sp|Q6WBX8|RAD9B_HUMAN Cell cycle checkpoint control protein RAD9B OS=Homo sapiens OX=9606 GN=RAD9B PE=1 SV=2
+IICFYKADSGNFEKRCCVNNMDEESDSARALSDFPHNFHEQQDSSVAGFFMCSFKRLCLSGPVEETNSVSSESVESVDGDVRKMAPSGCNELASINTLTEKPYLRRPAAKKSICELAQGTVNKGAKKEILDSRKRKQSLCLSQPSSARSQEDALTALIFNAEVLMDDISLALPKGPFDFYISIPAHTAESFTLIGKLEKFCFTIETDMGIQFFDFEDSGVFMESHVANSLDMSEENSSKLCFNLPTVALTVEEQSSTFLVIADALLRPQIMLTNTCVNKDFIVQLPQSEQFCINHTRKIGHRYFFQIVVKCKDSRTFIRCKEINRELSNLCRFIPLISKMGLKCKLHLTTDLENESMKVLASWQYHQFFVPSFLVCGYASRSSNVCRLALGKKSPDLWFEDSIRSLAQVAKGFVKVQSGSMVCKLM
+>sp|P35241|RADI_HUMAN Radixin OS=Homo sapiens OX=9606 GN=RDX PE=1 SV=1
+MPKPINVRVTTMDAELEFAIQPNTTGKQLFDQVVKTVGLREVWFFGLQYVDSKGYSTWLKLNKKVTQQDVKKENPLQFKFRAKFFPEDVSEELIQEITQRLFFLQVKEAILNDEIYCPPETAVLLASYAVQAKYGDYNKEIHKPGYLANDRLLPQRVLEQHKLTKEQWEERIQNWHEEHRGMLREDSMMEYLKIAQDLEMYGVNYFEIKNKKGTELWLGVDALGLNIYEHDDKLTPKIGFPWSEIRNISFNDKKFVIKPIDKKAPDFVFYAPRLRINKRILALCMGNHELYMRRRKPDTIEVQQMKAQAREEKHQKQLERAQLENEKKKREIAEKEKERIEREKEELMERLKQIEEQTIKAQKELEEQTRKALELDQERKRAKEEAERLEKERRAAEEAKSAIAKQAADQMKNQEQLAAELAEFTAKIALLEEAKKKKEEEATEWQHKAFAAQEDLEKTKEELKTVMSAPPPPPPPPVIPPTENEHDEHDENNAEASAELSNEGVMNHRSEEERVTETQKNERVKKQLQALSSELAQARDETKKTQNDVLHAENVKAGRDKYKTLRQIRQGNTKQRIDEFEAM
+>DECOY_sp|P35241|RADI_HUMAN Radixin OS=Homo sapiens OX=9606 GN=RDX PE=1 SV=1
+MAEFEDIRQKTNGQRIQRLTKYKDRGAKVNEAHLVDNQTKKTEDRAQALESSLAQLQKKVRENKQTETVREEESRHNMVGENSLEASAEANNEDHEDHENETPPIVPPPPPPPPASMVTKLEEKTKELDEQAAFAKHQWETAEEEKKKKAEELLAIKATFEALEAALQEQNKMQDAAQKAIASKAEEAARREKELREAEEKARKREQDLELAKRTQEELEKQAKITQEEIQKLREMLEEKEREIREKEKEAIERKKKENELQARELQKQHKEERAQAKMQQVEITDPKRRRMYLEHNGMCLALIRKNIRLRPAYFVFDPAKKDIPKIVFKKDNFSINRIESWPFGIKPTLKDDHEYINLGLADVGLWLETGKKNKIEFYNVGYMELDQAIKLYEMMSDERLMGRHEEHWNQIREEWQEKTLKHQELVRQPLLRDNALYGPKHIEKNYDGYKAQVAYSALLVATEPPCYIEDNLIAEKVQLFFLRQTIEQILEESVDEPFFKARFKFQLPNEKKVDQQTVKKNLKLWTSYGKSDVYQLGFFWVERLGVTKVVQDFLQKGTTNPQIAFELEADMTTVRVNIPKPM
+>sp|P04049|RAF1_HUMAN RAF proto-oncogene serine/threonine-protein kinase OS=Homo sapiens OX=9606 GN=RAF1 PE=1 SV=1
+MEHIQGAWKTISNGFGFKDAVFDGSSCISPTIVQQFGYQRRASDDGKLTDPSKTSNTIRVFLPNKQRTVVNVRNGMSLHDCLMKALKVRGLQPECCAVFRLLHEHKGKKARLDWNTDAASLIGEELQVDFLDHVPLTTHNFARKTFLKLAFCDICQKFLLNGFRCQTCGYKFHEHCSTKVPTMCVDWSNIRQLLLFPNSTIGDSGVPALPSLTMRRMRESVSRMPVSSQHRYSTPHAFTFNTSSPSSEGSLSQRQRSTSTPNVHMVSTTLPVDSRMIEDAIRSHSESASPSALSSSPNNLSPTGWSQPKTPVPAQRERAPVSGTQEKNKIRPRGQRDSSYYWEIEASEVMLSTRIGSGSFGTVYKGKWHGDVAVKILKVVDPTPEQFQAFRNEVAVLRKTRHVNILLFMGYMTKDNLAIVTQWCEGSSLYKHLHVQETKFQMFQLIDIARQTAQGMDYLHAKNIIHRDMKSNNIFLHEGLTVKIGDFGLATVKSRWSGSQQVEQPTGSVLWMAPEVIRMQDNNPFSFQSDVYSYGIVLYELMTGELPYSHINNRDQIIFMVGRGYASPDLSKLYKNCPKAMKRLVADCVKKVKEERPLFPQILSSIELLQHSLPKINRSASEPSLHRAAHTEDINACTLTTSPRLPVF
+>DECOY_sp|P04049|RAF1_HUMAN RAF proto-oncogene serine/threonine-protein kinase OS=Homo sapiens OX=9606 GN=RAF1 PE=1 SV=1
+FVPLRPSTTLTCANIDETHAARHLSPESASRNIKPLSHQLLEISSLIQPFLPREEKVKKVCDAVLRKMAKPCNKYLKSLDPSAYGRGVMFIIQDRNNIHSYPLEGTMLEYLVIGYSYVDSQFSFPNNDQMRIVEPAMWLVSGTPQEVQQSGSWRSKVTALGFDGIKVTLGEHLFINNSKMDRHIINKAHLYDMGQATQRAIDILQFMQFKTEQVHLHKYLSSGECWQTVIALNDKTMYGMFLLINVHRTKRLVAVENRFAQFQEPTPDVVKLIKVAVDGHWKGKYVTGFSGSGIRTSLMVESAEIEWYYSSDRQGRPRIKNKEQTGSVPARERQAPVPTKPQSWGTPSLNNPSSSLASPSASESHSRIADEIMRSDVPLTTSVMHVNPTSTSRQRQSLSGESSPSSTNFTFAHPTSYRHQSSVPMRSVSERMRRMTLSPLAPVGSDGITSNPFLLLQRINSWDVCMTPVKTSCHEHFKYGCTQCRFGNLLFKQCIDCFALKLFTKRAFNHTTLPVHDLFDVQLEEGILSAADTNWDLRAKKGKHEHLLRFVACCEPQLGRVKLAKMLCDHLSMGNRVNVVTRQKNPLFVRITNSTKSPDTLKGDDSARRQYGFQQVITPSICSSGDFVADKFGFGNSITKWAGQIHEM
+>sp|P15918|RAG1_HUMAN V(D)J recombination-activating protein 1 OS=Homo sapiens OX=9606 GN=RAG1 PE=1 SV=2
+MAASFPPTLGLSSAPDEIQHPHIKFSEWKFKLFRVRSFEKTPEEAQKEKKDSFEGKPSLEQSPAVLDKADGQKPVPTQPLLKAHPKFSKKFHDNEKARGKAIHQANLRHLCRICGNSFRADEHNRRYPVHGPVDGKTLGLLRKKEKRATSWPDLIAKVFRIDVKADVDSIHPTEFCHNCWSIMHRKFSSAPCEVYFPRNVTMEWHPHTPSCDICNTARRGLKRKSLQPNLQLSKKLKTVLDQARQARQHKRRAQARISSKDVMKKIANCSKIHLSTKLLAVDFPEHFVKSISCQICEHILADPVETNCKHVFCRVCILRCLKVMGSYCPSCRYPCFPTDLESPVKSFLSVLNSLMVKCPAKECNEEVSLEKYNHHISSHKESKEIFVHINKGGRPRQHLLSLTRRAQKHRLRELKLQVKAFADKEEGGDVKSVCMTLFLLALRARNEHRQADELEAIMQGKGSGLQPAVCLAIRVNTFLSCSQYHKMYRTVKAITGRQIFQPLHALRNAEKVLLPGYHHFEWQPPLKNVSSSTDVGIIDGLSGLSSSVDDYPVDTIAKRFRYDSALVSALMDMEEDILEGMRSQDLDDYLNGPFTVVVKESCDGMGDVSEKHGSGPVVPEKAVRFSFTIMKITIAHSSQNVKVFEEAKPNSELCCKPLCLMLADESDHETLTAILSPLIAEREAMKSSELMLELGGILRTFKFIFRGTGYDEKLVREVEGLEASGSVYICTLCDATRLEASQNLVFHSITRSHAENLERYEVWRSNPYHESVEELRDRVKGVSAKPFIETVPSIDALHCDIGNAAEFYKIFQLEIGEVYKNPNASKEERKRWQATLDKHLRKKMNLKPIMRMNGNFARKLMTKETVDAVCELIPSEERHEALRELMDLYLKMKPVWRSSCPAKECPESLCQYSFNSQRFAELLSTKFKYRYEGKITNYFHKTLAHVPEIIERDGSIGAWASEGNESGNKLFRRFRKMNARQSKCYEMEDVLKHHWLYTSKYLQKFMNAHNALKTSGFTMNPQASLGDPLGIEDSLESQDSMEF
+>DECOY_sp|P15918|RAG1_HUMAN V(D)J recombination-activating protein 1 OS=Homo sapiens OX=9606 GN=RAG1 PE=1 SV=2
+FEMSDQSELSDEIGLPDGLSAQPNMTFGSTKLANHANMFKQLYKSTYLWHHKLVDEMEYCKSQRANMKRFRRFLKNGSENGESAWAGISGDREIIEPVHALTKHFYNTIKGEYRYKFKTSLLEAFRQSNFSYQCLSEPCEKAPCSSRWVPKMKLYLDMLERLAEHREESPILECVADVTEKTMLKRAFNGNMRMIPKLNMKKRLHKDLTAQWRKREEKSANPNKYVEGIELQFIKYFEAANGIDCHLADISPVTEIFPKASVGKVRDRLEEVSEHYPNSRWVEYRELNEAHSRTISHFVLNQSAELRTADCLTCIYVSGSAELGEVERVLKEDYGTGRFIFKFTRLIGGLELMLESSKMAEREAILPSLIATLTEHDSEDALMLCLPKCCLESNPKAEEFVKVNQSSHAITIKMITFSFRVAKEPVVPGSGHKESVDGMGDCSEKVVVTFPGNLYDDLDQSRMGELIDEEMDMLASVLASDYRFRKAITDVPYDDVSSSLGSLGDIIGVDTSSSVNKLPPQWEFHHYGPLLVKEANRLAHLPQFIQRGTIAKVTRYMKHYQSCSLFTNVRIALCVAPQLGSGKGQMIAELEDAQRHENRARLALLFLTMCVSKVDGGEEKDAFAKVQLKLERLRHKQARRTLSLLHQRPRGGKNIHVFIEKSEKHSSIHHNYKELSVEENCEKAPCKVMLSNLVSLFSKVPSELDTPFCPYRCSPCYSGMVKLCRLICVRCFVHKCNTEVPDALIHECIQCSISKVFHEPFDVALLKTSLHIKSCNAIKKMVDKSSIRAQARRKHQRAQRAQDLVTKLKKSLQLNPQLSKRKLGRRATNCIDCSPTHPHWEMTVNRPFYVECPASSFKRHMISWCNHCFETPHISDVDAKVDIRFVKAILDPWSTARKEKKRLLGLTKGDVPGHVPYRRNHEDARFSNGCIRCLHRLNAQHIAKGRAKENDHFKKSFKPHAKLLPQTPVPKQGDAKDLVAPSQELSPKGEFSDKKEKQAEEPTKEFSRVRFLKFKWESFKIHPHQIEDPASSLGLTPPFSAAM
+>sp|P55895|RAG2_HUMAN V(D)J recombination-activating protein 2 OS=Homo sapiens OX=9606 GN=RAG2 PE=1 SV=1
+MSLQMVTVSNNIALIQPGFSLMNFDGQVFFFGQKGWPKRSCPTGVFHLDVKHNHVKLKPTIFSKDSCYLPPLRYPATCTFKGSLESEKHQYIIHGGKTPNNEVSDKIYVMSIVCKNNKKVTFRCTEKDLVGDVPEARYGHSINVVYSRGKSMGVLFGGRSYMPSTHRTTEKWNSVADCLPCVFLVDFEFGCATSYILPELQDGLSFHVSIAKNDTIYILGGHSLANNIRPANLYRIRVDLPLGSPAVNCTVLPGGISVSSAILTQTNNDEFVIVGGYQLENQKRMICNIISLEDNKIEIREMETPDWTPDIKHSKIWFGSNMGNGTVFLGIPGDNKQVVSEGFYFYMLKCAEDDTNEEQTTFTNSQTSTEDPGDSTPFEDSEEFCFSAEANSFDGDDEFDTYNEDDEEDESETGYWITCCPTCDVDINTWVPFYSTELNKPAMIYCSHGDGHWVHAQCMDLAERTLIHLSAGSNKYYCNEHVEIARALHTPQRVLPLKKPPMKSLRKKGSGKILTPAKKSFLRRLFD
+>DECOY_sp|P55895|RAG2_HUMAN V(D)J recombination-activating protein 2 OS=Homo sapiens OX=9606 GN=RAG2 PE=1 SV=1
+DFLRRLFSKKAPTLIKGSGKKRLSKMPPKKLPLVRQPTHLARAIEVHENCYYKNSGASLHILTREALDMCQAHVWHGDGHSCYIMAPKNLETSYFPVWTNIDVDCTPCCTIWYGTESEDEEDDENYTDFEDDGDFSNAEASFCFEESDEFPTSDGPDETSTQSNTFTTQEENTDDEACKLMYFYFGESVVQKNDGPIGLFVTGNGMNSGFWIKSHKIDPTWDPTEMERIEIKNDELSIINCIMRKQNELQYGGVIVFEDNNTQTLIASSVSIGGPLVTCNVAPSGLPLDVRIRYLNAPRINNALSHGGLIYITDNKAISVHFSLGDQLEPLIYSTACGFEFDVLFVCPLCDAVSNWKETTRHTSPMYSRGGFLVGMSKGRSYVVNISHGYRAEPVDGVLDKETCRFTVKKNNKCVISMVYIKDSVENNPTKGGHIIYQHKESELSGKFTCTAPYRLPPLYCSDKSFITPKLKVHNHKVDLHFVGTPCSRKPWGKQGFFFVQGDFNMLSFGPQILAINNSVTVMQLSM
+>sp|P46060|RAGP1_HUMAN Ran GTPase-activating protein 1 OS=Homo sapiens OX=9606 GN=RANGAP1 PE=1 SV=1
+MASEDIAKLAETLAKTQVAGGQLSFKGKSLKLNTAEDAKDVIKEIEDFDSLEALRLEGNTVGVEAARVIAKALEKKSELKRCHWSDMFTGRLRTEIPPALISLGEGLITAGAQLVELDLSDNAFGPDGVQGFEALLKSSACFTLQELKLNNCGMGIGGGKILAAALTECHRKSSAQGKPLALKVFVAGRNRLENDGATALAEAFRVIGTLEEVHMPQNGINHPGITALAQAFAVNPLLRVINLNDNTFTEKGAVAMAETLKTLRQVEVINFGDCLVRSKGAVAIADAIRGGLPKLKELNLSFCEIKRDAALAVAEAMADKAELEKLDLNGNTLGEEGCEQLQEVLEGFNMAKVLASLSDDEDEEEEEEGEEEEEEAEEEEEEDEEEEEEEEEEEEEEPQQRGQGEKSATPSRKILDPNTGEPAPVLSSPPPADVSTFLAFPSPEKLLRLGPKSSVLIAQQTDTSDPEKVVSAFLKVSSVFKDEATVRMAVQDAVDALMQKAFNSSSFNSNTFLTRLLVHMGLLKSEDKVKAIANLYGPLMALNHMVQQDYFPKALAPLLLAFVTKPNSALESCSFARHSLLQTLYKV
+>DECOY_sp|P46060|RAGP1_HUMAN Ran GTPase-activating protein 1 OS=Homo sapiens OX=9606 GN=RANGAP1 PE=1 SV=1
+VKYLTQLLSHRAFSCSELASNPKTVFALLLPALAKPFYDQQVMHNLAMLPGYLNAIAKVKDESKLLGMHVLLRTLFTNSNFSSSNFAKQMLADVADQVAMRVTAEDKFVSSVKLFASVVKEPDSTDTQQAILVSSKPGLRLLKEPSPFALFTSVDAPPPSSLVPAPEGTNPDLIKRSPTASKEGQGRQQPEEEEEEEEEEEEEEDEEEEEEAEEEEEEGEEEEEEDEDDSLSALVKAMNFGELVEQLQECGEEGLTNGNLDLKELEAKDAMAEAVALAADRKIECFSLNLEKLKPLGGRIADAIAVAGKSRVLCDGFNIVEVQRLTKLTEAMAVAGKETFTNDNLNIVRLLPNVAFAQALATIGPHNIGNQPMHVEELTGIVRFAEALATAGDNELRNRGAVFVKLALPKGQASSKRHCETLAAALIKGGGIGMGCNNLKLEQLTFCASSKLLAEFGQVGDPGFANDSLDLEVLQAGATILGEGLSILAPPIETRLRGTFMDSWHCRKLESKKELAKAIVRAAEVGVTNGELRLAELSDFDEIEKIVDKADEATNLKLSKGKFSLQGGAVQTKALTEALKAIDESAM
+>sp|Q5U651|RAIN_HUMAN Ras-interacting protein 1 OS=Homo sapiens OX=9606 GN=RASIP1 PE=1 SV=1
+MLSGERKEGGSPRFGKLHLPVGLWINSPRKQLAKLGRRWPSAASVKSSSSDTGSRSSEPLPPPPPHVELRRVGAVKAAGGASGSRAKRISQLFRGSGTGTTGSSGAGGPGTPGGAQRWASEKKLPELAAGVAPEPPLATRATAPPGVLKIFGAGLASGANYKSVLATARSTARELVAEALERYGLAGSPGGGPGESSCVDAFALCDALGRPAAAGVGSGEWRAEHLRVLGDSERPLLVQELWRARPGWARRFELRGREEARRLEQEAFGAADSEGTGAPSWRPQKNRSRAASGGAALASPGPGTGSGAPAGSGGKERSENLSLRRSVSELSLQGRRRRQQERRQQALSMAPGAADAQIGTADPGDFDQLTQCLIQAPSNRPYFLLLQGYQDAQDFVVYVMTREQHVFGRGGNSSGRGGSPAPYVDTFLNAPDILPRHCTVRAGPEHPAMVRPSRGAPVTHNGCLLLREAELHPGDLLGLGEHFLFMYKDPRTGGSGPARPPWLPARPGATPPGPGWAFSCRLCGRGLQERGEALAAYLDGREPVLRFRPREEEALLGEIVRAAAAGSGDLPPLGPATLLALCVQHSARELELGHLPRLLGRLARLIKEAVWEKIKEIGDRQPENHPEGVPEVPLTPEAVSVELRPLMLWMANTTELLSFVQEKVLEMEKEADQEDPQLCNDLELCDEAMALLDEVIMCTFQQSVYYLTKTLYSTLPALLDSNPFTAGAELPGPGAELGAMPPGLRPTLGVFQAALELTSQCELHPDLVSQTFGYLFFFSNASLLNSLMERGQGRPFYQWSRAVQIRTNLDLVLDWLQGAGLGDIATEFFRKLSMAVNLLCVPRTSLLKASWSSLRTDHPTLTPAQLHHLLSHYQLGPGRGPPAAWDPPPAEREAVDTGDIFESFSSHPPLILPLGSSRLRLTGPVTDDALHRELRRLRRLLWDLEQQELPANYRHGPPVATSP
+>DECOY_sp|Q5U651|RAIN_HUMAN Ras-interacting protein 1 OS=Homo sapiens OX=9606 GN=RASIP1 PE=1 SV=1
+PSTAVPPGHRYNAPLEQQELDWLLRRLRRLERHLADDTVPGTLRLRSSGLPLILPPHSSFSEFIDGTDVAEREAPPPDWAAPPGRGPGLQYHSLLHHLQAPTLTPHDTRLSSWSAKLLSTRPVCLLNVAMSLKRFFETAIDGLGAGQLWDLVLDLNTRIQVARSWQYFPRGQGREMLSNLLSANSFFFLYGFTQSVLDPHLECQSTLELAAQFVGLTPRLGPPMAGLEAGPGPLEAGATFPNSDLLAPLTSYLTKTLYYVSQQFTCMIVEDLLAMAEDCLELDNCLQPDEQDAEKEMELVKEQVFSLLETTNAMWLMLPRLEVSVAEPTLPVEPVGEPHNEPQRDGIEKIKEWVAEKILRALRGLLRPLHGLELERASHQVCLALLTAPGLPPLDGSGAAAARVIEGLLAEEERPRFRLVPERGDLYAALAEGREQLGRGCLRCSFAWGPGPPTAGPRAPLWPPRAPGSGGTRPDKYMFLFHEGLGLLDGPHLEAERLLLCGNHTVPAGRSPRVMAPHEPGARVTCHRPLIDPANLFTDVYPAPSGGRGSSNGGRGFVHQERTMVYVVFDQADQYGQLLLFYPRNSPAQILCQTLQDFDGPDATGIQADAAGPAMSLAQQRREQQRRRRGQLSLESVSRRLSLNESREKGGSGAPAGSGTGPGPSALAAGGSAARSRNKQPRWSPAGTGESDAAGFAEQELRRAEERGRLEFRRAWGPRARWLEQVLLPRESDGLVRLHEARWEGSGVGAAAPRGLADCLAFADVCSSEGPGGGPSGALGYRELAEAVLERATSRATALVSKYNAGSALGAGFIKLVGPPATARTALPPEPAVGAALEPLKKESAWRQAGGPTGPGGAGSSGTTGTGSGRFLQSIRKARSGSAGGAAKVAGVRRLEVHPPPPPLPESSRSGTDSSSSKVSAASPWRRGLKALQKRPSNIWLGVPLHLKGFRPSGGEKREGSLM
+>sp|P11233|RALA_HUMAN Ras-related protein Ral-A OS=Homo sapiens OX=9606 GN=RALA PE=1 SV=1
+MAANKPKGQNSLALHKVIMVGSGGVGKSALTLQFMYDEFVEDYEPTKADSYRKKVVLDGEEVQIDILDTAGQEDYAAIRDNYFRSGEGFLCVFSITEMESFAATADFREQILRVKEDENVPFLLVGNKSDLEDKRQVSVEEAKNRAEQWNVNYVETSAKTRANVDKVFFDLMREIRARKMEDSKEKNGKKKRKSLAKRIRERCCIL
+>DECOY_sp|P11233|RALA_HUMAN Ras-related protein Ral-A OS=Homo sapiens OX=9606 GN=RALA PE=1 SV=1
+LICCRERIRKALSKRKKKGNKEKSDEMKRARIERMLDFFVKDVNARTKASTEVYNVNWQEARNKAEEVSVQRKDELDSKNGVLLFPVNEDEKVRLIQERFDATAAFSEMETISFVCLFGEGSRFYNDRIAAYDEQGATDLIDIQVEEGDLVVKKRYSDAKTPEYDEVFEDYMFQLTLASKGVGGSGVMIVKHLALSNQGKPKNAAM
+>sp|P11234|RALB_HUMAN Ras-related protein Ral-B OS=Homo sapiens OX=9606 GN=RALB PE=1 SV=1
+MAANKSKGQSSLALHKVIMVGSGGVGKSALTLQFMYDEFVEDYEPTKADSYRKKVVLDGEEVQIDILDTAGQEDYAAIRDNYFRSGEGFLLVFSITEHESFTATAEFREQILRVKAEEDKIPLLVVGNKSDLEERRQVPVEEARSKAEEWGVQYVETSAKTRANVDKVFFDLMREIRTKKMSENKDKNGKKSSKNKKSFKERCCLL
+>DECOY_sp|P11234|RALB_HUMAN Ras-related protein Ral-B OS=Homo sapiens OX=9606 GN=RALB PE=1 SV=1
+LLCCREKFSKKNKSSKKGNKDKNESMKKTRIERMLDFFVKDVNARTKASTEVYQVGWEEAKSRAEEVPVQRREELDSKNGVVLLPIKDEEAKVRLIQERFEATATFSEHETISFVLLFGEGSRFYNDRIAAYDEQGATDLIDIQVEEGDLVVKKRYSDAKTPEYDEVFEDYMFQLTLASKGVGGSGVMIVKHLALSSQGKSKNAAM
+>sp|Q9UKM9|RALY_HUMAN RNA-binding protein Raly OS=Homo sapiens OX=9606 GN=RALY PE=1 SV=1
+MSLKLQASNVTNKNDPKSINSRVFIGNLNTALVKKSDVETIFSKYGRVAGCSVHKGYAFVQYSNERHARAAVLGENGRVLAGQTLDINMAGEPKPDRPKGLKRAASAIYSGYIFDYDYYRDDFYDRLFDYRGRLSPVPVPRAVPVKRPRVTVPLVRRVKTNVPVKLFARSTAVTTSSAKIKLKSSELQAIKTELTQIKSNIDALLSRLEQIAAEQKANPDGKKKGDGGGAGGGGGGGGSGGGGSGGGGGGGSSRPPAPQENTTSEAGLPQGEARTRDDGDEEGLLTHSEEELEHSQDTDADDGALQ
+>DECOY_sp|Q9UKM9|RALY_HUMAN RNA-binding protein Raly OS=Homo sapiens OX=9606 GN=RALY PE=1 SV=1
+QLAGDDADTDQSHELEEESHTLLGEEDGDDRTRAEGQPLGAESTTNEQPAPPRSSGGGGGGGSGGGGSGGGGGGGGAGGGDGKKKGDPNAKQEAAIQELRSLLADINSKIQTLETKIAQLESSKLKIKASSTTVATSRAFLKVPVNTKVRRVLPVTVRPRKVPVARPVPVPSLRGRYDFLRDYFDDRYYDYDFIYGSYIASAARKLGKPRDPKPEGAMNIDLTQGALVRGNEGLVAARAHRENSYQVFAYGKHVSCGAVRGYKSFITEVDSKKVLATNLNGIFVRSNISKPDNKNTVNSAQLKLSM
+>sp|P43487|RANG_HUMAN Ran-specific GTPase-activating protein OS=Homo sapiens OX=9606 GN=RANBP1 PE=1 SV=1
+MAAAKDTHEDHDTSTENTDESNHDPQFEPIVSLPEQEIKTLEEDEEELFKMRAKLFRFASENDLPEWKERGTGDVKLLKHKEKGAIRLLMRRDKTLKICANHYITPMMELKPNAGSDRAWVWNTHADFADECPKPELLAIRFLNAENAQKFKTKFEECRKEIEEREKKAGSGKNDHAEKVAEKLEALSVKEETKEDAEEKQ
+>DECOY_sp|P43487|RANG_HUMAN Ran-specific GTPase-activating protein OS=Homo sapiens OX=9606 GN=RANBP1 PE=1 SV=1
+QKEEADEKTEEKVSLAELKEAVKEAHDNKGSGAKKEREEIEKRCEEFKTKFKQANEANLFRIALLEPKPCEDAFDAHTNWVWARDSGANPKLEMMPTIYHNACIKLTKDRRMLLRIAGKEKHKLLKVDGTGREKWEPLDNESAFRFLKARMKFLEEEDEELTKIEQEPLSVIPEFQPDHNSEDTNETSTDHDEHTDKAAAM
+>sp|C9J798|RAS4B_HUMAN Ras GTPase-activating protein 4B OS=Homo sapiens OX=9606 GN=RASA4B PE=3 SV=2
+MAKRSSLYIRIVEGKNLPAKDITGSSDPYCIVKVDNEPIIRTATVWKTLCPFWGEEYQVHLPPTFHAVAFYVMDEDALSRDDVIGKVCLTRDTIASHPKGFSGWAHLTEVDPDEEVQGEIHLRLEVWPGARACRLRCSVLEARDLAPKDRNGTSDPFVRVRYKGRTRETSIVKKSCYPRWNETFEFELQEGAMEALCVEAWDWDLVSRNDFLGKVVIDVQRLRVVQQEEGWFRLQPDQSKSRRHDEGNLGSLQLEVRLRDETVLPSSYYQPLVHLLCHEVKLGMQGPGQLIPLIEETTSTECRQDVATNLLKLFLGQGLAKDFLDLLFQLELSRTSETNTLFRSNSLASKSVESFLKVAGMQYLHGVLGPIINKVFEEKKYVELDPSKVEVKDVGCSGLHRPQTEAEVLEQSAQTLRAHLGALLSALSRSVRACPAVVRATFRQLFRRVRERFPGAQHENVPFIAVTSFLCLRFFSPAIMSPKLFHLRERHADARTSRTLLLLAKAVQNVGNMDTPASRAKEAWMEPLQPTVHQGVAQLKDFITKLVDIEEKDELDLQRTLSLQAPPVKEGPLFIHRTKGKGPLMSSSFKKLYFSLTTEALSFAKTPSSKKSALIKLANIRAAEKVEEKSFGGSHVMQVIYTDDAGRPQTAYLQCKCVNELNQWLSALRKVSINNTGLLGSYHPGVFRGDKWSCCHQKEKTGQGCDKTRSRVTLQEWNDPLDHDLEAQLIYRHLLGVEAMLWERHRELSGGAEAGTVPTSPGKVPEDSLARLLRVLQDLREAHSSSPAGSPPSEPNCLLELQT
+>DECOY_sp|C9J798|RAS4B_HUMAN Ras GTPase-activating protein 4B OS=Homo sapiens OX=9606 GN=RASA4B PE=3 SV=2
+TQLELLCNPESPPSGAPSSSHAERLDQLVRLLRALSDEPVKGPSTPVTGAEAGGSLERHREWLMAEVGLLHRYILQAELDHDLPDNWEQLTVRSRTKDCGQGTKEKQHCCSWKDGRFVGPHYSGLLGTNNISVKRLASLWQNLENVCKCQLYATQPRGADDTYIVQMVHSGGFSKEEVKEAARINALKILASKKSSPTKAFSLAETTLSFYLKKFSSSMLPGKGKTRHIFLPGEKVPPAQLSLTRQLDLEDKEEIDVLKTIFDKLQAVGQHVTPQLPEMWAEKARSAPTDMNGVNQVAKALLLLTRSTRADAHRERLHFLKPSMIAPSFFRLCLFSTVAIFPVNEHQAGPFRERVRRFLQRFTARVVAPCARVSRSLASLLAGLHARLTQASQELVEAETQPRHLGSCGVDKVEVKSPDLEVYKKEEFVKNIIPGLVGHLYQMGAVKLFSEVSKSALSNSRFLTNTESTRSLELQFLLDLFDKALGQGLFLKLLNTAVDQRCETSTTEEILPILQGPGQMGLKVEHCLLHVLPQYYSSPLVTEDRLRVELQLSGLNGEDHRRSKSQDPQLRFWGEEQQVVRLRQVDIVVKGLFDNRSVLDWDWAEVCLAEMAGEQLEFEFTENWRPYCSKKVISTERTRGKYRVRVFPDSTGNRDKPALDRAELVSCRLRCARAGPWVELRLHIEGQVEEDPDVETLHAWGSFGKPHSAITDRTLCVKGIVDDRSLADEDMVYFAVAHFTPPLHVQYEEGWFPCLTKWVTATRIIPENDVKVICYPDSSGTIDKAPLNKGEVIRIYLSSRKAM
+>sp|Q7Z444|RASE_HUMAN GTPase ERas OS=Homo sapiens OX=9606 GN=ERAS PE=2 SV=1
+MELPTKPGTFDLGLATWSPSFQGETHRAQARRRDVGRQLPEYKAVVVGASGVGKSALTIQLNHQCFVEDHDPTIQDSYWKELTLDSGDCILNVLDTAGQAIHRALRDQCLAVCDGVLGVFALDDPSSLIQLQQIWATWGPHPAQPLVLVGNKCDLVTTAGDAHAAAAALAHSWGAHFVETSAKTRQGVEEAFSLLVHEIQRVQEAMAKEPMARSCREKTRHQKATCHCGCSVA
+>DECOY_sp|Q7Z444|RASE_HUMAN GTPase ERas OS=Homo sapiens OX=9606 GN=ERAS PE=2 SV=1
+AVSCGCHCTAKQHRTKERCSRAMPEKAMAEQVRQIEHVLLSFAEEVGQRTKASTEVFHAGWSHALAAAAAHADGATTVLDCKNGVLVLPQAPHPGWTAWIQQLQILSSPDDLAFVGLVGDCVALCQDRLARHIAQGATDLVNLICDGSDLTLEKWYSDQITPDHDEVFCQHNLQITLASKGVGSAGVVVAKYEPLQRGVDRRRAQARHTEGQFSPSWTALGLDFTGPKTPLEM
+>sp|A6NK89|RASFA_HUMAN Ras association domain-containing protein 10 OS=Homo sapiens OX=9606 GN=RASSF10 PE=2 SV=3
+MDPSEKKISVWICQEEKLVSGLSRRTTCSDVVRVLLEDGCRRRRRQRRSRRLGSAGDPHGPGELPEPPNEDDEDDDEALPQGMLCGPPQCYCIVEKWRGFERILPNKTRILRLWAAWGEEQENVRFVLVRSEASLPNAGPRSAEARVVLSRERPCPARGAPARPSLAMTQEKQRRVVRKAFRKLAKLNRRRQQQTPSSCSSTSSSTASSCSSSPRTHESASVERMETLVHLVLSQDHTIRQQVQRLHELDREIDHYEAKVHLDRMRRHGVNYVQDTYLVGAGIELDGSRPGEEPEEVAAEAEEAAAAPPLAGEAQAAALEELARRCDDLLRLQEQRVQQEELLERLSAEIQEELNQRWMRRRQEELAAREEPLEPDGGPDGELLLEQERVRTQLSTSLYIGLRLNTDLEAVKSDLDYSQQQWDSKKRELQGLLQTLHTLELTVAPDGAPGSGSPSREPGPQACADMWVDQARGLAKSGPGNDEDSDTGLSSMHSQDSDSLPMCESLV
+>DECOY_sp|A6NK89|RASFA_HUMAN Ras association domain-containing protein 10 OS=Homo sapiens OX=9606 GN=RASSF10 PE=2 SV=3
+VLSECMPLSDSDQSHMSSLGTDSDEDNGPGSKALGRAQDVWMDACAQPGPERSPSGSGPAGDPAVTLELTHLTQLLGQLERKKSDWQQQSYDLDSKVAELDTNLRLGIYLSTSLQTRVREQELLLEGDPGGDPELPEERAALEEQRRRMWRQNLEEQIEASLRELLEEQQVRQEQLRLLDDCRRALEELAAAQAEGALPPAAAAEEAEAAVEEPEEGPRSGDLEIGAGVLYTDQVYNVGHRRMRDLHVKAEYHDIERDLEHLRQVQQRITHDQSLVLHVLTEMREVSASEHTRPSSSCSSATSSSTSSCSSPTQQQRRRNLKALKRFAKRVVRRQKEQTMALSPRAPAGRAPCPRERSLVVRAEASRPGANPLSAESRVLVFRVNEQEEGWAAWLRLIRTKNPLIREFGRWKEVICYCQPPGCLMGQPLAEDDDEDDENPPEPLEGPGHPDGASGLRRSRRQRRRRRCGDELLVRVVDSCTTRRSLGSVLKEEQCIWVSIKKESPDM
+>sp|Q86YV0|RASL3_HUMAN RAS protein activator like-3 OS=Homo sapiens OX=9606 GN=RASAL3 PE=1 SV=2
+MDPPSPSRTSQTQPTATSPLTSYRWHTGGGGEKAAGGFRWGRFAGWGRALSHQEPMVSTQPAPRSIFRRVLSAPPKESRTSRLRLSKALWGRHKNPPPEPDPEPEQEAPELEPEPELEPPTPQIPEAPTPNVPVWDIGGFTLLDGKLVLLGGEEEGPRRPRVGSASSEGSIHVAMGNFRDPDRMPGKTEPETAGPNQVHNVRGLLKRLKEKKKARLEPRDGPPSALGSRESLATLSELDLGAERDVRIWPLHPSLLGEPHCFQVTWTGGSRCFSCRSAAERDRWIEDLRRQFQPTQDNVEREETWLSVWVHEAKGLPRAAAGAPGVRAELWLDGALLARTAPRAGPGQLFWAERFHFEALPPARRLSLRLRGLGPGSAVLGRVALALEELDAPRAPAAGLERWFPLLGAPAGAALRARIRARRLRVLPSERYKELAEFLTFHYARLCGALEPALPAQAKEELAAAMVRVLRATGRAQALVTDLGTAELARCGGREALLFRENTLATKAIDEYMKLVAQDYLQETLGQVVRRLCASTEDCEVDPSKCPASELPEHQARLRNSCEEVFETIIHSYDWFPAELGIVFSSWREACKERGSEVLGPRLVCASLFLRLLCPAILAPSLFGLAPDHPAPGPARTLTLIAKVIQNLANRAPFGEKEAYMGFMNSFLEEHGPAMQCFLDQVAMVDVDAAPSGYQGSGDLALQLAVLHAQLCTIFAELDQTTRDTLEPLPTILRAIEEGQPVLVSVPMRLPLPPAQVHSSLSAGEKPGFLAPRDLPKHTPLISKSQSLRSVRRSESWARPRPDEERPLRRPRPVQRTQSVPVRRPARRRQSAGPWPRPKGSLSMGPAPRARPWTRDSASLPRKPSVPWQRQMDQPQDRNQALGTHRPVNKLAELQCEVAALREEQKVLSRLVESLSTQIRALTEQQEQLRGQLQDLDSRLRAGSSEFDSEHNLTSNEGHSLKNLEHRLNEMERTQAQLRDAVQSLQLSPRTRGSWSQPQPLKAPCLNGDTT
+>DECOY_sp|Q86YV0|RASL3_HUMAN RAS protein activator like-3 OS=Homo sapiens OX=9606 GN=RASAL3 PE=1 SV=2
+TTDGNLCPAKLPQPQSWSGRTRPSLQLSQVADRLQAQTREMENLRHELNKLSHGENSTLNHESDFESSGARLRSDLDQLQGRLQEQQETLARIQTSLSEVLRSLVKQEERLAAVECQLEALKNVPRHTGLAQNRDQPQDMQRQWPVSPKRPLSASDRTWPRARPAPGMSLSGKPRPWPGASQRRRAPRRVPVSQTRQVPRPRRLPREEDPRPRAWSESRRVSRLSQSKSILPTHKPLDRPALFGPKEGASLSSHVQAPPLPLRMPVSVLVPQGEEIARLITPLPELTDRTTQDLEAFITCLQAHLVALQLALDGSGQYGSPAADVDVMAVQDLFCQMAPGHEELFSNMFGMYAEKEGFPARNALNQIVKAILTLTRAPGPAPHDPALGFLSPALIAPCLLRLFLSACVLRPGLVESGREKCAERWSSFVIGLEAPFWDYSHIITEFVEECSNRLRAQHEPLESAPCKSPDVECDETSACLRRVVQGLTEQLYDQAVLKMYEDIAKTALTNERFLLAERGGCRALEATGLDTVLAQARGTARLVRVMAAALEEKAQAPLAPELAGCLRAYHFTLFEALEKYRESPLVRLRRARIRARLAAGAPAGLLPFWRELGAAPARPADLEELALAVRGLVASGPGLGRLRLSLRRAPPLAEFHFREAWFLQGPGARPATRALLAGDLWLEARVGPAGAAARPLGKAEHVWVSLWTEEREVNDQTPQFQRRLDEIWRDREAASRCSFCRSGGTWTVQFCHPEGLLSPHLPWIRVDREAGLDLESLTALSERSGLASPPGDRPELRAKKKEKLRKLLGRVNHVQNPGATEPETKGPMRDPDRFNGMAVHISGESSASGVRPRRPGEEEGGLLVLKGDLLTFGGIDWVPVNPTPAEPIQPTPPELEPEPELEPAEQEPEPDPEPPPNKHRGWLAKSLRLRSTRSEKPPASLVRRFISRPAPQTSVMPEQHSLARGWGAFRGWRFGGAAKEGGGGTHWRYSTLPSTATPQTQSTRSPSPPDM
+>sp|Q9NYN1|RASLC_HUMAN Ras-like protein family member 12 OS=Homo sapiens OX=9606 GN=RASL12 PE=1 SV=1
+MSSVFGKPRAGSGPQSAPLEVNLAILGRRGAGKSALTVKFLTKRFISEYDPNLEDTYSSEETVDHQPVHLRVMDTADLDTPRNCERYLNWAHAFLVVYSVDSRQSFDSSSSYLELLALHAKETQRSIPALLLGNKLDMAQYRQVTKAEGVALAGRFGCLFFEVSACLDFEHVQHVFHEAVREARRELEKSPLTRPLFISEERALPHQAPLTARHGLASCTFNTLSTINLKEMPTVAQAKLVTVKSSRAQSKRKAPTLTLLKGFKIF
+>DECOY_sp|Q9NYN1|RASLC_HUMAN Ras-like protein family member 12 OS=Homo sapiens OX=9606 GN=RASL12 PE=1 SV=1
+FIKFGKLLTLTPAKRKSQARSSKVTVLKAQAVTPMEKLNITSLTNFTCSALGHRATLPAQHPLAREESIFLPRTLPSKELERRAERVAEHFVHQVHEFDLCASVEFFLCGFRGALAVGEAKTVQRYQAMDLKNGLLLAPISRQTEKAHLALLELYSSSSDFSQRSDVSYVVLFAHAWNLYRECNRPTDLDATDMVRLHVPQHDVTEESSYTDELNPDYESIFRKTLFKVTLASKGAGRRGLIALNVELPASQPGSGARPKGFVSSM
+>sp|Q8IY67|RAVR1_HUMAN Ribonucleoprotein PTB-binding 1 OS=Homo sapiens OX=9606 GN=RAVER1 PE=1 SV=1
+MAADVSVTHRPPLSPKSGAEVEAGDAAERRAPEEELPPLDPEEIRKRLEHTERQFRNRRKILIRGLPGDVTNQEVHDLLSDYELKYCFVDKYKGTAFVTLLNGEQAEAAINAFHQSRLRERELSVQLQPTDALLCVANLPPSLTQQQFEELVRPFGSLERCFLVYSERTGQSKGYGFAEYMKKDSAARAKSDLLGKPLGPRTLYVHWTDAGQLTPALLHSRCLCVDRLPPGFNDVDALCRALSAVHSPTFCQLACGQDGQLKGFAVLEYETAEMAEEAQQQADGLSLGGSHLRVSFCAPGPPGRSMLAALIAAQATALNRGKGLLPEPNILQLLNNLGPSASLQLLLNPLLHGSAGGKQGLLGAPPAMPLLNGPALSTALLQLALQTQGQKKPGILGDSPLGALQPGAQPANPLLGELPAGGGLPPELPPRRGKPPPLLPSVLGPAGGDREALGLGPPAAQLTPPPAPVGLRGSGLRGPLSHFYSGSPTSYFTSGLQAGLKQSHLSKAIGSSPLGSGEGLLGLSPGPNGHSHLLKVRAGGGDMQGWEAPAPQRPLTRPALPSVSRPHWAARNAALPTCCPRPSPAQKAAMWASTPRASAATTRTPT
+>DECOY_sp|Q8IY67|RAVR1_HUMAN Ribonucleoprotein PTB-binding 1 OS=Homo sapiens OX=9606 GN=RAVER1 PE=1 SV=1
+TPTRTTAASARPTSAWMAAKQAPSPRPCCTPLAANRAAWHPRSVSPLAPRTLPRQPAPAEWGQMDGGGARVKLLHSHGNPGPSLGLLGEGSGLPSSGIAKSLHSQKLGAQLGSTFYSTPSGSYFHSLPGRLGSGRLGVPAPPPTLQAAPPGLGLAERDGGAPGLVSPLLPPPKGRRPPLEPPLGGGAPLEGLLPNAPQAGPQLAGLPSDGLIGPKKQGQTQLALQLLATSLAPGNLLPMAPPAGLLGQKGGASGHLLPNLLLQLSASPGLNNLLQLINPEPLLGKGRNLATAQAAILAALMSRGPPGPACFSVRLHSGGLSLGDAQQQAEEAMEATEYELVAFGKLQGDQGCALQCFTPSHVASLARCLADVDNFGPPLRDVCLCRSHLLAPTLQGADTWHVYLTRPGLPKGLLDSKARAASDKKMYEAFGYGKSQGTRESYVLFCRELSGFPRVLEEFQQQTLSPPLNAVCLLADTPQLQVSLERERLRSQHFANIAAEAQEGNLLTVFATGKYKDVFCYKLEYDSLLDHVEQNTVDGPLGRILIKRRNRFQRETHELRKRIEEPDLPPLEEEPARREAADGAEVEAGSKPSLPPRHTVSVDAAM
+>sp|Q8IXT5|RB12B_HUMAN RNA-binding protein 12B OS=Homo sapiens OX=9606 GN=RBM12B PE=1 SV=2
+MAVVIRLLGLPFIAGPVDIRHFFTGLTIPDGGVHIIGGEIGEAFIIFATDEDARRAISRSGGFIKDSSVELFLSSKAEMQKTIEMKRTDRVGRGRPGSGTSGVDSLSNFIESVKEEASNSGYGSSINQDAGFHTNGTGHGNLRPRKTRPLKAENPYLFLRGLPYLVNEDDVRVFFSGLCVDGVIFLKHHDGRNNGDAIVKFASCVDASGGLKCHRSFMGSRFIEVMQGSEQQWIEFGGNAVKEGDVLRRSEEHSPPRGINDRHFRKRSHSKSPRRTRSRSPLGFYVHLKNLSLSIDERDLRNFFRGTDLTDEQIRFLYKDENRTRYAFVMFKTLKDYNTALSLHKTVLQYRPVHIDPISRKQMLKFIARYEKKRSGSLERDRPGHVSQKYSQEGNSGQKLCIYIRNFPFDVTKVEVQKFFADFLLAEDDIYLLYDDKGVGLGEALVKFKSEEQAMKAERLNRRRFLGTEVLLRLISEAQIQEFGVNFSVMSSEKMQARSQSRERGDHSHLFDSKDPPIYSVGAFENFRHQLEDLRQLDNFKHPQRDFRQPDRHPPEDFRHSSEDFRFPPEDFRHSPEDFRRPREEDFRRPSEEDFRRPWEEDFRRPPEDDFRHPREEDWRRPLEEDWRRPLEEDFRRSPTEDFRQLPEEDFRQPPEEDLRWLPEEDFRRPPEEDWRRPPEEDFRRPLQGEWRRPPEDDFRRPPEEDFRHSPEEDFRQSPQEHFRRPPQEHFRRPPPEHFRRPPPEHFRRPPPEHFRRPPPEHFRRPPPEHFRRPPPEHFRRPPQEHFRRPPQEHFRRSREEDFRHPPDEDFRGPPDEDFRHPPDEDFRSPQEEDFRCPSDEDFRQLPEEDLREAPEEDPRLPDNFRPPGEDFRSPPDDFRSHRPFVNFGRPEGGKFDFGKHNMGSFPEGRFMPDPKINCGSGRVTPIKIMNLPFKANVNEILDFFHGYRIIPDSVSIQYNEQGLPTGEAIVAMINYNEAMAAIKDLNDRPVGPRKVKLTLL
+>DECOY_sp|Q8IXT5|RB12B_HUMAN RNA-binding protein 12B OS=Homo sapiens OX=9606 GN=RBM12B PE=1 SV=2
+LLTLKVKRPGVPRDNLDKIAAMAENYNIMAVIAEGTPLGQENYQISVSDPIIRYGHFFDLIENVNAKFPLNMIKIPTVRGSGCNIKPDPMFRGEPFSGMNHKGFDFKGGEPRGFNVFPRHSRFDDPPSRFDEGPPRFNDPLRPDEEPAERLDEEPLQRFDEDSPCRFDEEQPSRFDEDPPHRFDEDPPGRFDEDPPHRFDEERSRRFHEQPPRRFHEQPPRRFHEPPPRRFHEPPPRRFHEPPPRRFHEPPPRRFHEPPPRRFHEPPPRRFHEQPPRRFHEQPSQRFDEEPSHRFDEEPPRRFDDEPPRRWEGQLPRRFDEEPPRRWDEEPPRRFDEEPLWRLDEEPPQRFDEEPLQRFDETPSRRFDEELPRRWDEELPRRWDEERPHRFDDEPPRRFDEEWPRRFDEESPRRFDEERPRRFDEPSHRFDEPPFRFDESSHRFDEPPHRDPQRFDRQPHKFNDLQRLDELQHRFNEFAGVSYIPPDKSDFLHSHDGRERSQSRAQMKESSMVSFNVGFEQIQAESILRLLVETGLFRRRNLREAKMAQEESKFKVLAEGLGVGKDDYLLYIDDEALLFDAFFKQVEVKTVDFPFNRIYICLKQGSNGEQSYKQSVHGPRDRELSGSRKKEYRAIFKLMQKRSIPDIHVPRYQLVTKHLSLATNYDKLTKFMVFAYRTRNEDKYLFRIQEDTLDTGRFFNRLDREDISLSLNKLHVYFGLPSRSRTRRPSKSHSRKRFHRDNIGRPPSHEESRRLVDGEKVANGGFEIWQQESGQMVEIFRSGMFSRHCKLGGSADVCSAFKVIADGNNRGDHHKLFIVGDVCLGSFFVRVDDENVLYPLGRLFLYPNEAKLPRTKRPRLNGHGTGNTHFGADQNISSGYGSNSAEEKVSEIFNSLSDVGSTGSGPRGRGVRDTRKMEITKQMEAKSSLFLEVSSDKIFGGSRSIARRADEDTAFIIFAEGIEGGIIHVGGDPITLGTFFHRIDVPGAIFPLGLLRIVVAM
+>sp|Q8NDT2|RB15B_HUMAN Putative RNA-binding protein 15B OS=Homo sapiens OX=9606 GN=RBM15B PE=1 SV=3
+MKRQSERDSSPSGRGSSSSAKRPREREREAEAGGRRAAHKASGGAKHPVPARARDKPRGSGSGGGGHRDGRGTGDANHRASSGRSSGSGAGGGGRGGKASGDPGASGMSPRASPLPPPPPPPGAEPACPGSSAAAPEYKTLLISSLSPALPAEHLEDRLFHQFKRFGEISLRLSHTPELGRVAYVNFRHPQDAREARQHALARQLLLYDRPLKVEPVYLRGGGGSSRRSSSSSAAASTPPPGPPAPADPLGYLPLHGGYQYKQRSLSPVAAPPLREPRARHAAAAFALDAAAAAAVGLSRERALDYYGLYDDRGRPYGYPAVCEEDLMPEDDQRATRNLFIGNLDHSVSEVELRRAFEKYGIIEEVVIKRPARGQGGAYAFLKFQNLDMAHRAKVAMSGRVIGRNPIKIGYGKANPTTRLWVGGLGPNTSLAALAREFDRFGSIRTIDHVKGDSFAYIQYESLDAAQAACAKMRGFPLGGPDRRLRVDFAKAEETRYPQQYQPSPLPVHYELLTDGYTRHRNLDADLVRDRTPPHLLYSDRDRTFLEGDWTSPSKSSDRRNSLEGYSRSVRSRSGERWGADGDRGLPKPWEERRKRRSLSSDRGRTTHSPYEERSRTKGSGQQSERGSDRTPERSRKENHSSEGTKESSSNSLSNSRHGAEERGHHHHHHEAADSSHGKKARDSERNHRTTEAEPKPLEEPKHETKKLKNLSEYAQTLQLGWNGLLVLKNSCFPTSMHILEGDQGVISSLLKDHTSGSKLTQLKIAQRLRLDQPKLDEVTRRIKQGSPNGYAVLLATQATPSGLGTEGMPTVEPGLQRRLLRNLVSYLKQKQAAGVISLPVGGSKGRDGTGMLYAFPPCDFSQQYLQSALRTLGKLEEEHMVIVIVRDTA
+>DECOY_sp|Q8NDT2|RB15B_HUMAN Putative RNA-binding protein 15B OS=Homo sapiens OX=9606 GN=RBM15B PE=1 SV=3
+ATDRVIVIVMHEEELKGLTRLASQLYQQSFDCPPFAYLMGTGDRGKSGGVPLSIVGAAQKQKLYSVLNRLLRRQLGPEVTPMGETGLGSPTAQTALLVAYGNPSGQKIRRTVEDLKPQDLRLRQAIKLQTLKSGSTHDKLLSSIVGQDGELIHMSTPFCSNKLVLLGNWGLQLTQAYESLNKLKKTEHKPEELPKPEAETTRHNRESDRAKKGHSSDAAEHHHHHHGREEAGHRSNSLSNSSSEKTGESSHNEKRSREPTRDSGRESQQGSGKTRSREEYPSHTTRGRDSSLSRRKRREEWPKPLGRDGDAGWREGSRSRVSRSYGELSNRRDSSKSPSTWDGELFTRDRDSYLLHPPTRDRVLDADLNRHRTYGDTLLEYHVPLPSPQYQQPYRTEEAKAFDVRLRRDPGGLPFGRMKACAAQAADLSEYQIYAFSDGKVHDITRISGFRDFERALAALSTNPGLGGVWLRTTPNAKGYGIKIPNRGIVRGSMAVKARHAMDLNQFKLFAYAGGQGRAPRKIVVEEIIGYKEFARRLEVESVSHDLNGIFLNRTARQDDEPMLDEECVAPYGYPRGRDDYLGYYDLARERSLGVAAAAAADLAFAAAAHRARPERLPPAAVPSLSRQKYQYGGHLPLYGLPDAPAPPGPPPTSAAASSSSSRRSSGGGGRLYVPEVKLPRDYLLLQRALAHQRAERADQPHRFNVYAVRGLEPTHSLRLSIEGFRKFQHFLRDELHEAPLAPSLSSILLTKYEPAAASSGPCAPEAGPPPPPPPLPSARPSMGSAGPDGSAKGGRGGGGAGSGSSRGSSARHNADGTGRGDRHGGGGSGSGRPKDRARAPVPHKAGGSAKHAARRGGAEAERERERPRKASSSSGRGSPSSDRESQRKM
+>sp|Q96DA2|RB39B_HUMAN Ras-related protein Rab-39B OS=Homo sapiens OX=9606 GN=RAB39B PE=1 SV=1
+MEAIWLYQFRLIVIGDSTVGKSCLIRRFTEGRFAQVSDPTVGVDFFSRLVEIEPGKRIKLQIWDTAGQERFRSITRAYYRNSVGGLLLFDITNRRSFQNVHEWLEETKVHVQPYQIVFVLVGHKCDLDTQRQVTRHEAEKLAAAYGMKYIETSARDAINVEKAFTDLTRDIYELVKRGEITIQEGWEGVKSGFVPNVVHSSEEVVKSERRCLC
+>DECOY_sp|Q96DA2|RB39B_HUMAN Ras-related protein Rab-39B OS=Homo sapiens OX=9606 GN=RAB39B PE=1 SV=1
+CLCRRESKVVEESSHVVNPVFGSKVGEWGEQITIEGRKVLEYIDRTLDTFAKEVNIADRASTEIYKMGYAAALKEAEHRTVQRQTDLDCKHGVLVFVIQYPQVHVKTEELWEHVNQFSRRNTIDFLLLGGVSNRYYARTISRFREQGATDWIQLKIRKGPEIEVLRSFFDVGVTPDSVQAFRGETFRRILCSKGVTSDGIVILRFQYLWIAEM
+>sp|Q8WXH6|RB40A_HUMAN Ras-related protein Rab-40A OS=Homo sapiens OX=9606 GN=RAB40A PE=2 SV=2
+MSAPGSPDQAYDFLLKFLLVGDRDVGKSEILESLQDGAAESPYSHLGGIDYKTTTILLDGQRVKLKLWDTSGQGRFCTIFRSYSRGAQGVILVYDIANRWSFEGMDRWIKKIEEHAPGVPKILVGNRLHLAFKRQVPREQAQAYAERLGVTFFEVSPLCNFNIIESFTELARIVLLRHRMNWLGRPSKVLSLQDLCCRTIVSCTPVHLVDKLPLPSTLRSHLKSFSMAKGLNARMMRGLSYSLTTSSTHKSSLCKVEIVCPPQSPPKNCTRNSCKIS
+>DECOY_sp|Q8WXH6|RB40A_HUMAN Ras-related protein Rab-40A OS=Homo sapiens OX=9606 GN=RAB40A PE=2 SV=2
+SIKCSNRTCNKPPSQPPCVIEVKCLSSKHTSSTTLSYSLGRMMRANLGKAMSFSKLHSRLTSPLPLKDVLHVPTCSVITRCCLDQLSLVKSPRGLWNMRHRLLVIRALETFSEIINFNCLPSVEFFTVGLREAYAQAQERPVQRKFALHLRNGVLIKPVGPAHEEIKKIWRDMGEFSWRNAIDYVLIVGQAGRSYSRFITCFRGQGSTDWLKLKVRQGDLLITTTKYDIGGLHSYPSEAAGDQLSELIESKGVDRDGVLLFKLLFDYAQDPSGPASM
+>sp|Q9P1G2|RBAS1_HUMAN Putative uncharacterized protein encoded by RBM12B-AS1 OS=Homo sapiens OX=9606 GN=RBM12B-AS1 PE=5 SV=2
+MAQDFSQHPQTGIIRRHRFYSPKPLSTTPRGQSFLLSRQAKVATWDPMLSPDFQPKSAFKLTWTAQPPCLSVTPSQGQTFHPNSPEGELPPDLTENTCPSQA
+>DECOY_sp|Q9P1G2|RBAS1_HUMAN Putative uncharacterized protein encoded by RBM12B-AS1 OS=Homo sapiens OX=9606 GN=RBM12B-AS1 PE=5 SV=2
+AQSPCTNETLDPPLEGEPSNPHFTQGQSPTVSLCPPQATWTLKFASKPQFDPSLMPDWTAVKAQRSLLFSQGRPTTSLPKPSYFRHRRIIGTQPHQSFDQAM
+>sp|Q09028|RBBP4_HUMAN Histone-binding protein RBBP4 OS=Homo sapiens OX=9606 GN=RBBP4 PE=1 SV=3
+MADKEAAFDDAVEERVINEEYKIWKKNTPFLYDLVMTHALEWPSLTAQWLPDVTRPEGKDFSIHRLVLGTHTSDEQNHLVIASVQLPNDDAQFDASHYDSEKGEFGGFGSVSGKIEIEIKINHEGEVNRARYMPQNPCIIATKTPSSDVLVFDYTKHPSKPDPSGECNPDLRLRGHQKEGYGLSWNPNLSGHLLSASDDHTICLWDISAVPKEGKVVDAKTIFTGHTAVVEDVSWHLLHESLFGSVADDQKLMIWDTRSNNTSKPSHSVDAHTAEVNCLSFNPYSEFILATGSADKTVALWDLRNLKLKLHSFESHKDEIFQVQWSPHNETILASSGTDRRLNVWDLSKIGEEQSPEDAEDGPPELLFIHGGHTAKISDFSWNPNEPWVICSVSEDNIMQVWQMAENIYNDEDPEGSVDPEGQGS
+>DECOY_sp|Q09028|RBBP4_HUMAN Histone-binding protein RBBP4 OS=Homo sapiens OX=9606 GN=RBBP4 PE=1 SV=3
+SGQGEPDVSGEPDEDNYINEAMQWVQMINDESVSCIVWPENPNWSFDSIKATHGGHIFLLEPPGDEADEPSQEEGIKSLDWVNLRRDTGSSALITENHPSWQVQFIEDKHSEFSHLKLKLNRLDWLAVTKDASGTALIFESYPNFSLCNVEATHADVSHSPKSTNNSRTDWIMLKQDDAVSGFLSEHLLHWSVDEVVATHGTFITKADVVKGEKPVASIDWLCITHDDSASLLHGSLNPNWSLGYGEKQHGRLRLDPNCEGSPDPKSPHKTYDFVLVDSSPTKTAIICPNQPMYRARNVEGEHNIKIEIEIKGSVSGFGGFEGKESDYHSADFQADDNPLQVSAIVLHNQEDSTHTGLVLRHISFDKGEPRTVDPLWQATLSPWELAHTMVLDYLFPTNKKWIKYEENIVREEVADDFAAEKDAM
+>sp|Q96PK6|RBM14_HUMAN RNA-binding protein 14 OS=Homo sapiens OX=9606 GN=RBM14 PE=1 SV=2
+MKIFVGNVDGADTTPEELAALFAPYGTVMSCAVMKQFAFVHMRENAGALRAIEALHGHELRPGRALVVEMSRPRPLNTWKIFVGNVSAACTSQELRSLFERRGRVIECDVVKDYAFVHMEKEADAKAAIAQLNGKEVKGKRINVELSTKGQKKGPGLAVQSGDKTKKPGAGDTAFPGTGGFSATFDYQQAFGNSTGGFDGQARQPTPPFFGRDRSPLRRSPPRASYVAPLTAQPATYRAQPSVSLGAAYRAQPSASLGVGYRTQPMTAQAASYRAQPSVSLGAPYRGQLASPSSQSAAASSLGPYGGAQPSASALSSYGGQAAAASSLNSYGAQGSSLASYGNQPSSYGAQAASSYGVRAAASSYNTQGAASSLGSYGAQAASYGAQSAASSLAYGAQAASYNAQPSASYNAQSAPYAAQQAASYSSQPAAYVAQPATAAAYASQPAAYAAQATTPMAGSYGAQPVVQTQLNSYGAQASMGLSGSYGAQSAAAATGSYGAAAAYGAQPSATLAAPYRTQSSASLAASYAAQQHPQAAASYRGQPGNAYDGAGQPSAAYLSMSQGAVANANSTPPPYERTRLSPPRASYDDPYKKAVAMSKRYGSDRRLAELSDYRRLSESQLSFRRSPTKSSLDYRRLPDAHSDYARYSGSYNDYLRAAQMHSGYQRRM
+>DECOY_sp|Q96PK6|RBM14_HUMAN RNA-binding protein 14 OS=Homo sapiens OX=9606 GN=RBM14 PE=1 SV=2
+MRRQYGSHMQAARLYDNYSGSYRAYDSHADPLRRYDLSSKTPSRRFSLQSESLRRYDSLEALRRDSGYRKSMAVAKKYPDDYSARPPSLRTREYPPPTSNANAVAGQSMSLYAASPQGAGDYANGPQGRYSAAAQPHQQAAYSAALSASSQTRYPAALTASPQAGYAAAAGYSGTAAAASQAGYSGSLGMSAQAGYSNLQTQVVPQAGYSGAMPTTAQAAYAAPQSAYAAATAPQAVYAAPQSSYSAAQQAAYPASQANYSASPQANYSAAQAGYALSSAASQAGYSAAQAGYSGLSSAAGQTNYSSAAARVGYSSAAQAGYSSPQNGYSALSSGQAGYSNLSSAAAAQGGYSSLASASPQAGGYPGLSSAAASQSSPSALQGRYPAGLSVSPQARYSAAQATMPQTRYGVGLSASPQARYAAGLSVSPQARYTAPQATLPAVYSARPPSRRLPSRDRGFFPPTPQRAQGDFGGTSNGFAQQYDFTASFGGTGPFATDGAGPKKTKDGSQVALGPGKKQGKTSLEVNIRKGKVEKGNLQAIAAKADAEKEMHVFAYDKVVDCEIVRGRREFLSRLEQSTCAASVNGVFIKWTNLPRPRSMEVVLARGPRLEHGHLAEIARLAGANERMHVFAFQKMVACSMVTGYPAFLAALEEPTTDAGDVNGVFIKM
+>sp|Q9Y4C8|RBM19_HUMAN Probable RNA-binding protein 19 OS=Homo sapiens OX=9606 GN=RBM19 PE=1 SV=3
+MSRLIVKNLPNGMKEERFRQLFAAFGTLTDCSLKFTKDGKFRKFGFIGFKSEEEAQKAQKHFNKSFIDTSRITVEFCKSFGDPAKPRAWSKHAQKPSQPKQPPKDSTTPEIKKDEKKKKVAGQLEKLKEDTEFQEFLSVHQRRAQAATWANDGLDAEPSKGKSKPASDYLNFDSDSGQESEEEGAGEDLEEEASLEPKAAVQKELSDMDYLKSKMVKAGSSSSSEEEESEDEAVHCDEGSEAEEEDSSATPVLQERDSKGAGQEQGMPAGKKRPPEARAETEKPANQKEPTTCHTVKLRGAPFNVTEKNVMEFLAPLKPVAIRIVRNAHGNKTGYIFVDFSNEEEVKQALKCNREYMGGRYIEVFREKNVPTTKGAPKNTTKSWQGRILGENEEEEDLAESGRLFVRNLPYTSTEEDLEKLFSKYGPLSELHYPIDSLTKKPKGFAFITFMFPEHAVKAYSEVDGQVFQGRMLHVLPSTIKKEASEDASALGSSSYKKKKEAQDKANSASSHNWNTLFMGPNAVADAIAQKYNATKSQVFDHETKGSVAVRVALGETQLVQEVRRFLIDNGVSLDSFSQAAAERSKTVILVKNLPAGTLAAQLQETFGHFGSLGRVLLPEGGITAIVEFLEPLEARKAFRHLAYSKFHHVPLYLEWAPVGVFSSTAPQKKKLQDTPSEPMEKDPAEPETVPDGETPEDENPTEEGADNSSAKMEEEEEEEEEEEESLPGCTLFIKNLNFDTTEEKLKEVFSKVGTVKSCSISKKKNKAGVLLSMGFGFVEYRKPEQAQKALKQLQGHVVDGHKLEVRISERATKPAVTLARKKQVPRKQTTSKILVRNIPFQAHSREIRELFSTFGELKTVRLPKKMTGTGTHRGFGFVDFLTKQDAKRAFNALCHSTHLYGRRLVLEWADSEVTLQALRRKTAAHFHEPPKKKRSVVLDEILEQLEGSDSDSEEQTLQL
+>DECOY_sp|Q9Y4C8|RBM19_HUMAN Probable RNA-binding protein 19 OS=Homo sapiens OX=9606 GN=RBM19 PE=1 SV=3
+LQLTQEESDSDSGELQELIEDLVVSRKKKPPEHFHAATKRRLAQLTVESDAWELVLRRGYLHTSHCLANFARKADQKTLFDVFGFGRHTGTGTMKKPLRVTKLEGFTSFLERIERSHAQFPINRVLIKSTTQKRPVQKKRALTVAPKTARESIRVELKHGDVVHGQLQKLAKQAQEPKRYEVFGFGMSLLVGAKNKKKSISCSKVTGVKSFVEKLKEETTDFNLNKIFLTCGPLSEEEEEEEEEEEEMKASSNDAGEETPNEDEPTEGDPVTEPEAPDKEMPESPTDQLKKKQPATSSFVGVPAWELYLPVHHFKSYALHRFAKRAELPELFEVIATIGGEPLLVRGLSGFHGFTEQLQAALTGAPLNKVLIVTKSREAAAQSFSDLSVGNDILFRRVEQVLQTEGLAVRVAVSGKTEHDFVQSKTANYKQAIADAVANPGMFLTNWNHSSASNAKDQAEKKKKYSSSGLASADESAEKKITSPLVHLMRGQFVQGDVESYAKVAHEPFMFTIFAFGKPKKTLSDIPYHLESLPGYKSFLKELDEETSTYPLNRVFLRGSEALDEEEENEGLIRGQWSKTTNKPAGKTTPVNKERFVEIYRGGMYERNCKLAQKVEEENSFDVFIYGTKNGHANRVIRIAVPKLPALFEMVNKETVNFPAGRLKVTHCTTPEKQNAPKETEARAEPPRKKGAPMGQEQGAGKSDREQLVPTASSDEEEAESGEDCHVAEDESEEEESSSSSGAKVMKSKLYDMDSLEKQVAAKPELSAEEELDEGAGEEESEQGSDSDFNLYDSAPKSKGKSPEADLGDNAWTAAQARRQHVSLFEQFETDEKLKELQGAVKKKKEDKKIEPTTSDKPPQKPQSPKQAHKSWARPKAPDGFSKCFEVTIRSTDIFSKNFHKQAKQAEEESKFGIFGFKRFKGDKTFKLSCDTLTGFAAFLQRFREEKMGNPLNKVILRSM
+>sp|Q8IUH3|RBM45_HUMAN RNA-binding protein 45 OS=Homo sapiens OX=9606 GN=RBM45 PE=1 SV=1
+MDEAGSSASGGGFRPGVDSLDEPPNSRIFLVISKYTPESVLRERFSPFGDIQDIWVVRDKHTKESKGIAFVKFARSSQACRAMEEMHGQCLGPNDTKPIKVFIAQSRSSGSHRDVEDEELTRIFVMIPKSYTEEDLREKFKVYGDIEYCSIIKNKVTGESKGLGYVRYLKPSQAAQAIENCDRSFRAILAEPKNKASESSEQDYYSNMRQEALGHEPRVNMFPFVGEQQSEFSSFDKNDSRGQEAISKRLSVVSRVPFTEEQLFSIFDIVPGLEYCEVQRDPYSNYGHGVVQYFNVASAIYAKYKLHGFQYPPGNRIGVSFIDDGSNATDLLRKMATQMVAAQLASMVWNNPSQQQFMQFGGSSGSQLPQIQTDVVLPSCKKKAPAETPVKERLFIVFNPHPLPLDVLEDIFCRFGNLIEVYLVSGKNVGYAKYADRISANDAIATLHGKILNGVRLKVMLADSPREESNKRQRTY
+>DECOY_sp|Q8IUH3|RBM45_HUMAN RNA-binding protein 45 OS=Homo sapiens OX=9606 GN=RBM45 PE=1 SV=1
+YTRQRKNSEERPSDALMVKLRVGNLIKGHLTAIADNASIRDAYKAYGVNKGSVLYVEILNGFRCFIDELVDLPLPHPNFVIFLREKVPTEAPAKKKCSPLVVDTQIQPLQSGSSGGFQMFQQQSPNNWVMSALQAAVMQTAMKRLLDTANSGDDIFSVGIRNGPPYQFGHLKYKAYIASAVNFYQVVGHGYNSYPDRQVECYELGPVIDFISFLQEETFPVRSVVSLRKSIAEQGRSDNKDFSSFESQQEGVFPFMNVRPEHGLAEQRMNSYYDQESSESAKNKPEALIARFSRDCNEIAQAAQSPKLYRVYGLGKSEGTVKNKIISCYEIDGYVKFKERLDEETYSKPIMVFIRTLEEDEVDRHSGSSRSQAIFVKIPKTDNPGLCQGHMEEMARCAQSSRAFKVFAIGKSEKTHKDRVVWIDQIDGFPSFRERLVSEPTYKSIVLFIRSNPPEDLSDVGPRFGGGSASSGAEDM
+>sp|Q9H1K0|RBNS5_HUMAN Rabenosyn-5 OS=Homo sapiens OX=9606 GN=RBSN PE=1 SV=2
+MASLDDPGEVREGFLCPLCLKDLQSFYQLHSHYEEEHSGEDRDVKGQIKSLVQKAKKAKDRLLKREGDDRAESGTQGYESFSYGGVDPYMWEPQELGAVRSHLSDFKKHRAARIDHYVVEVNKLIIRLEKLTAFDRTNTESAKIRAIEKSVVPWVNDQDVPFCPDCGNKFSIRNRRHHCRLCGSIMCKKCMELISLPLANKLTSASKESLSTHTSPSQSPNSVHGSRRGSISSMSSVSSVLDEKDDDRIRCCTHCKDTLLKREQQIDEKEHTPDIVKLYEKLRLCMEKVDQKAPEYIRMAASLNAGETTYSLEHASDLRVEVQKVYELIDALSKKILTLGLNQDPPPHPSNLRLQRMIRYSATLFVQEKLLGLMSLPTKEQFEELKKKRKEEMERKRAVERQAALESQRRLEERQSGLASRAANGEVASLRRGPAPLRKAEGWLPLSGGQGQSEDSDPLLQQIHNITSFIRQAKAAGRMDEVRTLQENLRQLQDEYDQQQTEKAIELSRRQAEEEDLQREQLQMLRERELEREREQFRVASLHTRTRSLDFREIGPFQLEPSREPRTHLAYALDLGSSPVPSSTAPKTPSLSSTQPTRVWSGPPAVGQERLPQSSMPQQHEGPSLNPFDEEDLSSPMEEATTGPPAAGVSLDPSARILKEYNPFEEEDEEEEAVAGNPFIQPDSPAPNPFSEEDEHPQQRLSSPLVPGNPFEEPTCINPFEMDSDSGPEAEEPIEEELLLQQIDNIKAYIFDAKQCGRLDEVEVLTENLRELKHTLAKQKGGTD
+>DECOY_sp|Q9H1K0|RBNS5_HUMAN Rabenosyn-5 OS=Homo sapiens OX=9606 GN=RBSN PE=1 SV=2
+DTGGKQKALTHKLERLNETLVEVEDLRGCQKADFIYAKINDIQQLLLEEEIPEEAEPGSDSDMEFPNICTPEEFPNGPVLPSSLRQQPHEDEESFPNPAPSDPQIFPNGAVAEEEEDEEEFPNYEKLIRASPDLSVGAAPPGTTAEEMPSSLDEEDFPNLSPGEHQQPMSSQPLREQGVAPPGSWVRTPQTSSLSPTKPATSSPVPSSGLDLAYALHTRPERSPELQFPGIERFDLSRTRTHLSAVRFQERERELERERLMQLQERQLDEEEAQRRSLEIAKETQQQDYEDQLQRLNEQLTRVEDMRGAAKAQRIFSTINHIQQLLPDSDESQGQGGSLPLWGEAKRLPAPGRRLSAVEGNAARSALGSQREELRRQSELAAQREVARKREMEEKRKKKLEEFQEKTPLSMLGLLKEQVFLTASYRIMRQLRLNSPHPPPDQNLGLTLIKKSLADILEYVKQVEVRLDSAHELSYTTEGANLSAAMRIYEPAKQDVKEMCLRLKEYLKVIDPTHEKEDIQQERKLLTDKCHTCCRIRDDDKEDLVSSVSSMSSISGRRSGHVSNPSQSPSTHTSLSEKSASTLKNALPLSILEMCKKCMISGCLRCHHRRNRISFKNGCDPCFPVDQDNVWPVVSKEIARIKASETNTRDFATLKELRIILKNVEVVYHDIRAARHKKFDSLHSRVAGLEQPEWMYPDVGGYSFSEYGQTGSEARDDGERKLLRDKAKKAKQVLSKIQGKVDRDEGSHEEEYHSHLQYFSQLDKLCLPCLFGERVEGPDDLSAM
+>sp|Q9H2T7|RBP17_HUMAN Ran-binding protein 17 OS=Homo sapiens OX=9606 GN=RANBP17 PE=2 SV=1
+MALHFQSLAELEVLCTHLYIGTDLTQRIEAEKALLELIDSPECLSKCQLLLEQGTTSYAQLLAATCLSKLVSRVSPLPVEQRMDIRNYILNYVASQPKLAPFVIQALIQVIAKITKLGWFEVQKDQFVFREIIADVKKFLQGTVEHCIIGVIILSELTQEMNLVDYSRPSAKHRKIATSFRDTSLKDVLVLACSLLKEVFAKPLNLQDQCQQNLVMQVLKLVLNCLNFDFIGSSADESADDLCTVQIPTTWRTIFLEPETLDLFFNLYHSLPPLLSQLALSCLVQFASTRRSLFNSPERAKYLGNLIKGVKRILENPQGLSDPGNYHEFCRFLARLKTNYQLGELVMVKEYPEVIRLIANFTITSLQHWEFAPNSVHYLLTLWQRMVASVPFVKSTEPHLLDTYAPEITKAFITSRLDSVAIVVRDHLDDPLDDTATVFQQLEQLCTVSRCEYEKTCALLVQLFDQNAQNYQKLLHPYSGVTVDITIQEGRLAWLVYLVGTVVGGRLTYTSTDEHDAMDGELSCRVFQLISLMDTGLPRCCNEKIELAILWFLDQFRKTYVGDQLQRTSKVYARMSEVLGITDDNHVLETFMTKIVTNLKYWGRYEPVISRTLQFLNDLSVGYILLKKLVKIDAVKFMLKNHTSEHFPFLGISDNHSLSDFRCRTTFYTALTRLLMVDLGEDEDEFENFMLPLTVAFETVLQIFNNNFKQEDVKRMLIGLARDLRGIAFALNTKTSYTMLFDWMYPTYLPLLQNAVERWYGEPTCTTPILKLMAELMQNRSQRLNFDVSSPNGILLFREASKMVCTYGNQILSLGSLSKDQIYPMKLKGISICYSALKSALCGNYVSFGVFKLYGDNHFDNVLQAFVKMLLSVSHSDLLQYRKLSQSYYPLLECLTQDHMSFIINLEPPVLMYVLTSISEGLTTLDTVVSSSCCTSLDYIVTYLFKHIAKEGKKPLRCREATQAGQRLLHFMQQNPDVLQQMMSVLMNTIVFEDCRNQWSVSRPLLGLILLNEKYFSELRASLINSQPLPKQEVLAQCFRNLMEGVEQNLSVKNRDRFTQNLSVFRRDVAEALRSDGNTEPCSLDMMS
+>DECOY_sp|Q9H2T7|RBP17_HUMAN Ran-binding protein 17 OS=Homo sapiens OX=9606 GN=RANBP17 PE=2 SV=1
+SMMDLSCPETNGDSRLAEAVDRRFVSLNQTFRDRNKVSLNQEVGEMLNRFCQALVEQKPLPQSNILSARLESFYKENLLILGLLPRSVSWQNRCDEFVITNMLVSMMQQLVDPNQQMFHLLRQGAQTAERCRLPKKGEKAIHKFLYTVIYDLSTCCSSSVVTDLTTLGESISTLVYMLVPPELNIIFSMHDQTLCELLPYYSQSLKRYQLLDSHSVSLLMKVFAQLVNDFHNDGYLKFVGFSVYNGCLASKLASYCISIGKLKMPYIQDKSLSGLSLIQNGYTCVMKSAERFLLIGNPSSVDFNLRQSRNQMLEAMLKLIPTTCTPEGYWREVANQLLPLYTPYMWDFLMTYSTKTNLAFAIGRLDRALGILMRKVDEQKFNNNFIQLVTEFAVTLPLMFNEFEDEDEGLDVMLLRTLATYFTTRCRFDSLSHNDSIGLFPFHESTHNKLMFKVADIKVLKKLLIYGVSLDNLFQLTRSIVPEYRGWYKLNTVIKTMFTELVHNDDTIGLVESMRAYVKSTRQLQDGVYTKRFQDLFWLIALEIKENCCRPLGTDMLSILQFVRCSLEGDMADHEDTSTYTLRGGVVTGVLYVLWALRGEQITIDVTVGSYPHLLKQYNQANQDFLQVLLACTKEYECRSVTCLQELQQFVTATDDLPDDLHDRVVIAVSDLRSTIFAKTIEPAYTDLLHPETSKVFPVSAVMRQWLTLLYHVSNPAFEWHQLSTITFNAILRIVEPYEKVMVLEGLQYNTKLRALFRCFEHYNGPDSLGQPNELIRKVGKILNGLYKAREPSNFLSRRTSAFQVLCSLALQSLLPPLSHYLNFFLDLTEPELFITRWTTPIQVTCLDDASEDASSGIFDFNLCNLVLKLVQMVLNQQCQDQLNLPKAFVEKLLSCALVLVDKLSTDRFSTAIKRHKASPRSYDVLNMEQTLESLIIVGIICHEVTGQLFKKVDAIIERFVFQDKQVEFWGLKTIKAIVQILAQIVFPALKPQSAVYNLIYNRIDMRQEVPLPSVRSVLKSLCTAALLQAYSTTGQELLLQCKSLCEPSDILELLAKEAEIRQTLDTGIYLHTCLVELEALSQFHLAM
+>sp|A6NDE4|RBY1B_HUMAN RNA-binding motif protein, Y chromosome, family 1 member B OS=Homo sapiens OX=9606 GN=RBMY1B PE=2 SV=2
+MVEADHPGKLFIGGLNRETNEKMLKAVFGKHGPISEVLLIKDRTSKSRGFAFITFENPADAKNAAKDMNGKSLHGKAIKVEQAKKPSFQSGGRRRPPASSRNRSPSGSLRSARGSRGGTRGWLPSQEGHLDDGGYTPDLKMSYSRGLIPVKRGPSSRSGGPPPKKSAPSAVARSNSWMGSQGPMSQRRENYGVPPRRATISSWRNDRMSTRHDGYATNDGNHPSCQETRDYAPPSRGYAYRDNGHSNRDEHSSRGYRNHRSSRETRDYAPPSRGHAYRDYGHSRRDESYSRGYRNRRSSRETREYAPPSRGHGYRDYGHSRRHESYSRGYRNHPSSRETRDYAPPHRDYAYRDYGHSSWDEHSSRGYSYHDGYGEALGRDHSEHLSGSSYRDALQRYGTSHGAPPARGPRMSYGGSTCHAYSNTRDRYGRSWESYSSCGDFHYCDREHVCRKDQRNPPSLGRVLPDPREAYGSSSYVASIVDGGESRSEKGDSSRY
+>DECOY_sp|A6NDE4|RBY1B_HUMAN RNA-binding motif protein, Y chromosome, family 1 member B OS=Homo sapiens OX=9606 GN=RBMY1B PE=2 SV=2
+YRSSDGKESRSEGGDVISAVYSSSGYAERPDPLVRGLSPPNRQDKRCVHERDCYHFDGCSSYSEWSRGYRDRTNSYAHCTSGGYSMRPGRAPPAGHSTGYRQLADRYSSGSLHESHDRGLAEGYGDHYSYGRSSHEDWSSHGYDRYAYDRHPPAYDRTERSSPHNRYGRSYSEHRRSHGYDRYGHGRSPPAYERTERSSRRNRYGRSYSEDRRSHGYDRYAHGRSPPAYDRTERSSRHNRYGRSSHEDRNSHGNDRYAYGRSPPAYDRTEQCSPHNGDNTAYGDHRTSMRDNRWSSITARRPPVGYNERRQSMPGQSGMWSNSRAVASPASKKPPPGGSRSSPGRKVPILGRSYSMKLDPTYGGDDLHGEQSPLWGRTGGRSGRASRLSGSPSRNRSSAPPRRRGGSQFSPKKAQEVKIAKGHLSKGNMDKAANKADAPNEFTIFAFGRSKSTRDKILLVESIPGHKGFVAKLMKENTERNLGGIFLKGPHDAEVM
+>sp|Q15415|RBY1F_HUMAN RNA-binding motif protein, Y chromosome, family 1 member F/J OS=Homo sapiens OX=9606 GN=RBMY1F PE=1 SV=2
+MVEADHPGKLFIGGLNRETNEKMLKAVFGKHGPISEVLLIKDRTSKSRGFAFITFENPADAKNAAKDMNGTSLHGKAIKVEQAKKPSFQSGGRRRPPASSRNRSPSGSLRSARGSSGGTRGWLPSHEGHLDDGGYTPDLKMSYSRGLIPVKRGPSSRSGGPPPKKSAPSAVARSNSWMGSQGPMSQRRENYGVPPRRATISSWRNDRMSTRHDGYATNDGNHPSCQETRDYAPPSRGYAYRDNGHSNRDEHSSRGYRNHRSSRETRDYAPPSRGHAYRDYGHSRRDESYSRGYRNHRSSRETREYAPPSRGHGYRDYGHSRRHESYSRGYRNHPSSRETRDYAPPHRDYAYRDYGHSSWDEHSSRGYSYHDGYGEALGRDHSEHLSGSSYRDALQRYGTSHGAPPARGPRMSYGGSTCHAYSNTRDRYGRSWESYSSCGDFHYCDREHVCRKDQRNPPSLGRVLPDPREAYGSSSYVASIVDGGESRSEKGDSSRY
+>DECOY_sp|Q15415|RBY1F_HUMAN RNA-binding motif protein, Y chromosome, family 1 member F/J OS=Homo sapiens OX=9606 GN=RBMY1F PE=1 SV=2
+YRSSDGKESRSEGGDVISAVYSSSGYAERPDPLVRGLSPPNRQDKRCVHERDCYHFDGCSSYSEWSRGYRDRTNSYAHCTSGGYSMRPGRAPPAGHSTGYRQLADRYSSGSLHESHDRGLAEGYGDHYSYGRSSHEDWSSHGYDRYAYDRHPPAYDRTERSSPHNRYGRSYSEHRRSHGYDRYGHGRSPPAYERTERSSRHNRYGRSYSEDRRSHGYDRYAHGRSPPAYDRTERSSRHNRYGRSSHEDRNSHGNDRYAYGRSPPAYDRTEQCSPHNGDNTAYGDHRTSMRDNRWSSITARRPPVGYNERRQSMPGQSGMWSNSRAVASPASKKPPPGGSRSSPGRKVPILGRSYSMKLDPTYGGDDLHGEHSPLWGRTGGSSGRASRLSGSPSRNRSSAPPRRRGGSQFSPKKAQEVKIAKGHLSTGNMDKAANKADAPNEFTIFAFGRSKSTRDKILLVESIPGHKGFVAKLMKENTERNLGGIFLKGPHDAEVM
+>sp|Q9Y2P8|RCL1_HUMAN RNA 3'-terminal phosphate cyclase-like protein OS=Homo sapiens OX=9606 GN=RCL1 PE=1 SV=3
+MATQAHSLSYAGCNFLRQRLVLSTLSGRPVKIRKIRARDDNPGLRDFEASFIRLLDKITNGSRIEINQTGTTLYYQPGLLYGGSVEHDCSVLRGIGYYLESLLCLAPFMKHPLKIVLRGVTNDQVDPSVDVLKATALPLLKQFGIDGESFELKIVRRGMPPGGGGEVVFSCPVRKVLKPIQLTDPGKIKRIRGMAYSVRVSPQMANRIVDSARSILNKFIPDIYIYTDHMKGVNSGKSPGFGLSLVAETTSGTFLSAELASNPQGQGAAVLPEDLGRNCARLLLEEIYRGGCVDSTNQSLALLLMTLGQQDVSKVLLGPLSPYTIEFLRHLKSFFQIMFKIETKPCGEELKGGDKVLMTCVGIGFSNLSKTLK
+>DECOY_sp|Q9Y2P8|RCL1_HUMAN RNA 3'-terminal phosphate cyclase-like protein OS=Homo sapiens OX=9606 GN=RCL1 PE=1 SV=3
+KLTKSLNSFGIGVCTMLVKDGGKLEEGCPKTEIKFMIQFFSKLHRLFEITYPSLPGLLVKSVDQQGLTMLLLALSQNTSDVCGGRYIEELLLRACNRGLDEPLVAAGQGQPNSALEASLFTGSTTEAVLSLGFGPSKGSNVGKMHDTYIYIDPIFKNLISRASDVIRNAMQPSVRVSYAMGRIRKIKGPDTLQIPKLVKRVPCSFVVEGGGGPPMGRRVIKLEFSEGDIGFQKLLPLATAKLVDVSPDVQDNTVGRLVIKLPHKMFPALCLLSELYYGIGRLVSCDHEVSGGYLLGPQYYLTTGTQNIEIRSGNTIKDLLRIFSAEFDRLGPNDDRARIKRIKVPRGSLTSLVLRQRLFNCGAYSLSHAQTAM
+>sp|Q96D15|RCN3_HUMAN Reticulocalbin-3 OS=Homo sapiens OX=9606 GN=RCN3 PE=1 SV=1
+MMWRPSVLLLLLLLRHGAQGKPSPDAGPHGQGRVHQAAPLSDAPHDDAHGNFQYDHEAFLGREVAKEFDQLTPEESQARLGRIVDRMDRAGDGDGWVSLAELRAWIAHTQQRHIRDSVSAAWDTYDTDRDGRVGWEELRNATYGHYAPGEEFHDVEDAETYKKMLARDERRFRVADQDGDSMATREELTAFLHPEEFPHMRDIVIAETLEDLDRNKDGYVQVEEYIADLYSAEPGEEEPAWVQTERQQFRDFRDLNKDGHLDGSEVGHWVLPPAQDQPLVEANHLLHESDTDKDGRLSKAEILGNWNMFVGSQATNYGEDLTRHHDEL
+>DECOY_sp|Q96D15|RCN3_HUMAN Reticulocalbin-3 OS=Homo sapiens OX=9606 GN=RCN3 PE=1 SV=1
+LEDHHRTLDEGYNTAQSGVFMNWNGLIEAKSLRGDKDTDSEHLLHNAEVLPQDQAPPLVWHGVESGDLHGDKNLDRFDRFQQRETQVWAPEEEGPEASYLDAIYEEVQVYGDKNRDLDELTEAIVIDRMHPFEEPHLFATLEERTAMSDGDQDAVRFRREDRALMKKYTEADEVDHFEEGPAYHGYTANRLEEWGVRGDRDTDYTDWAASVSDRIHRQQTHAIWARLEALSVWGDGDGARDMRDVIRGLRAQSEEPTLQDFEKAVERGLFAEHDYQFNGHADDHPADSLPAAQHVRGQGHPGADPSPKGQAGHRLLLLLLLVSPRWMM
+>sp|P05451|REG1A_HUMAN Lithostathine-1-alpha OS=Homo sapiens OX=9606 GN=REG1A PE=1 SV=3
+MAQTSSYFMLISCLMFLSQSQGQEAQTELPQARISCPEGTNAYRSYCYYFNEDRETWVDADLYCQNMNSGNLVSVLTQAEGAFVASLIKESGTDDFNVWIGLHDPKKNRRWHWSSGSLVSYKSWGIGAPSSVNPGYCVSLTSSTGFQKWKDVPCEDKFSFVCKFKN
+>DECOY_sp|P05451|REG1A_HUMAN Lithostathine-1-alpha OS=Homo sapiens OX=9606 GN=REG1A PE=1 SV=3
+NKFKCVFSFKDECPVDKWKQFGTSSTLSVCYGPNVSSPAGIGWSKYSVLSGSSWHWRRNKKPDHLGIWVNFDDTGSEKILSAVFAGEAQTLVSVLNGSNMNQCYLDADVWTERDENFYYCYSRYANTGEPCSIRAQPLETQAEQGQSQSLFMLCSILMFYSSTQAM
+>sp|Q9H1J1|REN3A_HUMAN Regulator of nonsense transcripts 3A OS=Homo sapiens OX=9606 GN=UPF3A PE=1 SV=1
+MRSEKEGAGGLRAAVAARGPSGREKLSALEVQFHRDSQQQEAETPPTSSSGCGGGAGKPREEKRTALSKVVIRRLPPGLTKEQLEEQLRPLPAHDYFEFFAADLSLYPHLYSRAYINFRNPDDILLFRDRFDGYIFLDSKGLEYPAVVEFAPFQKIAKKKLRKKDAKTGSIEDDPEYKKFLETYCVEEEKTSANPETLLGEMEAKTRELIARRTTPLLEYIKNRKLEKQRIREEKREERRRRELEKKRLREEEKRRRREEERCKKKETDKQKKIAEKEVRIKLLKKPEKGEEPTTEKPKERGEEIDTGGGKQESCAPGAVVKARPMEGSLEEPQETSHSGSDKEHRDVERSQEQESEAQRYHVDDGRRHRAHHEPERLSRRSEDEQRWGKGPGQDRGKKGSQDSGAPGEAMERLGRAQRCDDSPAPRKERLANKDRPALQLYDPGARFRARECGGNRRICKAEGSGTGPEKREEAE
+>DECOY_sp|Q9H1J1|REN3A_HUMAN Regulator of nonsense transcripts 3A OS=Homo sapiens OX=9606 GN=UPF3A PE=1 SV=1
+EAEERKEPGTGSGEAKCIRRNGGCERARFRAGPDYLQLAPRDKNALREKRPAPSDDCRQARGLREMAEGPAGSDQSGKKGRDQGPGKGWRQEDESRRSLREPEHHARHRRGDDVHYRQAESEQEQSREVDRHEKDSGSHSTEQPEELSGEMPRAKVVAGPACSEQKGGGTDIEEGREKPKETTPEEGKEPKKLLKIRVEKEAIKKQKDTEKKKCREEERRRRKEEERLRKKELERRRREERKEERIRQKELKRNKIYELLPTTRRAILERTKAEMEGLLTEPNASTKEEEVCYTELFKKYEPDDEISGTKADKKRLKKKAIKQFPAFEVVAPYELGKSDLFIYGDFRDRFLLIDDPNRFNIYARSYLHPYLSLDAAFFEFYDHAPLPRLQEELQEKTLGPPLRRIVVKSLATRKEERPKGAGGGCGSSSTPPTEAEQQQSDRHFQVELASLKERGSPGRAAVAARLGGAGEKESRM
+>sp|O75787|RENR_HUMAN Renin receptor OS=Homo sapiens OX=9606 GN=ATP6AP2 PE=1 SV=2
+MAVFVVLLALVAGVLGNEFSILKSPGSVVFRNGNWPIPGERIPDVAALSMGFSVKEDLSWPGLAVGNLFHRPRATVMVMVKGVNKLALPPGSVISYPLENAVPFSLDSVANSIHSLFSEETPVVLQLAPSEERVYMVGKANSVFEDLSVTLRQLRNRLFQENSVLSSLPLNSLSRNNEVDLLFLSELQVLHDISSLLSRHKHLAKDHSPDLYSLELAGLDEIGKRYGEDSEQFRDASKILVDALQKFADDMYSLYGGNAVVELVTVKSFDTSLIRKTRTILEAKQAKNPASPYNLAYKYNFEYSVVFNMVLWIMIALALAVIITSYNIWNMDPGYDSIIYRMTNQKIRMD
+>DECOY_sp|O75787|RENR_HUMAN Renin receptor OS=Homo sapiens OX=9606 GN=ATP6AP2 PE=1 SV=2
+DMRIKQNTMRYIISDYGPDMNWINYSTIIVALALAIMIWLVMNFVVSYEFNYKYALNYPSAPNKAQKAELITRTKRILSTDFSKVTVLEVVANGGYLSYMDDAFKQLADVLIKSADRFQESDEGYRKGIEDLGALELSYLDPSHDKALHKHRSLLSSIDHLVQLESLFLLDVENNRSLSNLPLSSLVSNEQFLRNRLQRLTVSLDEFVSNAKGVMYVREESPALQLVVPTEESFLSHISNAVSDLSFPVANELPYSIVSGPPLALKNVGKVMVMVTARPRHFLNGVALGPWSLDEKVSFGMSLAAVDPIREGPIPWNGNRFVVSGPSKLISFENGLVGAVLALLVVFVAM
+>sp|Q96EN9|REX1B_HUMAN Required for excision 1-B domain-containing protein OS=Homo sapiens OX=9606 GN=REX1BD PE=1 SV=1
+MITETAAEPTVPAVPAAEEATEARGREEPAWPWKDAPIRTLVQRIHQLQAERAQGFRRLEEWLAPVQGLRAWGRGLRVPTCRRGHRQYLRSGPDYDFARYRSTVHGVTQAFAAASREVLAVEAELGGPRRQPLLAGHVRSLQELEQTRLGTVALLQLMETPELAGQEDAVRMQQLKMKVIKTMEAISEVLQDLRFDAESAE
+>DECOY_sp|Q96EN9|REX1B_HUMAN Required for excision 1-B domain-containing protein OS=Homo sapiens OX=9606 GN=REX1BD PE=1 SV=1
+EASEADFRLDQLVESIAEMTKIVKMKLQQMRVADEQGALEPTEMLQLLAVTGLRTQELEQLSRVHGALLPQRRPGGLEAEVALVERSAAAFAQTVGHVTSRYRAFDYDPGSRLYQRHGRRCTPVRLGRGWARLGQVPALWEELRRFGQAREAQLQHIRQVLTRIPADKWPWAPEERGRAETAEEAAPVAPVTPEAATETIM
+>sp|P35250|RFC2_HUMAN Replication factor C subunit 2 OS=Homo sapiens OX=9606 GN=RFC2 PE=1 SV=3
+MEVEAVCGGAGEVEAQDSDPAPAFSKAPGSAGHYELPWVEKYRPVKLNEIVGNEDTVSRLEVFAREGNVPNIIIAGPPGTGKTTSILCLARALLGPALKDAMLELNASNDRGIDVVRNKIKMFAQQKVTLPKGRHKIIILDEADSMTDGAQQALRRTMEIYSKTTRFALACNASDKIIEPIQSRCAVLRYTKLTDAQILTRLMNVIEKERVPYTDDGLEAIIFTAQGDMRQALNNLQSTFSGFGFINSENVFKVCDEPHPLLVKEMIQHCVNANIDEAYKILAHLWHLGYSPEDIIGNIFRVCKTFQMAEYLKLEFIKEIGYTHMKIAEGVNSLLQMAGLLARLCQKTMAPVAS
+>DECOY_sp|P35250|RFC2_HUMAN Replication factor C subunit 2 OS=Homo sapiens OX=9606 GN=RFC2 PE=1 SV=3
+SAVPAMTKQCLRALLGAMQLLSNVGEAIKMHTYGIEKIFELKLYEAMQFTKCVRFINGIIDEPSYGLHWLHALIKYAEDINANVCHQIMEKVLLPHPEDCVKFVNESNIFGFGSFTSQLNNLAQRMDGQATFIIAELGDDTYPVREKEIVNMLRTLIQADTLKTYRLVACRSQIPEIIKDSANCALAFRTTKSYIEMTRRLAQQAGDTMSDAEDLIIIKHRGKPLTVKQQAFMKIKNRVVDIGRDNSANLELMADKLAPGLLARALCLISTTKGTGPPGAIIINPVNGERAFVELRSVTDENGVIENLKVPRYKEVWPLEYHGASGPAKSFAPAPDSDQAEVEGAGGCVAEVEM
+>sp|Q8WZ73|RFFL_HUMAN E3 ubiquitin-protein ligase rififylin OS=Homo sapiens OX=9606 GN=RFFL PE=1 SV=1
+MWATCCNWFCLDGQPEEVPPPQGARMQAYSNPGYSSFPSPTGLEPSCKSCGAHFANTARKQTCLDCKKNFCMTCSSQVGNGPRLCLLCQRFRATAFQREELMKMKVKDLRDYLSLHDISTEMCREKEELVLLVLGQQPVISQEDRTRASTLSPDFPEQQAFLTQPHSSMVPPTSPNLPSSSAQATSVPPAQVQENQQANGHVSQDQEEPVYLESVARVPAEDETQSIDSEDSFVPGRRASLSDLTDLEDIEGLTVRQLKEILARNFVNYKGCCEKWELMERVTRLYKDQKGLQHLVSGAEDQNGGAVPSGLEENLCKICMDSPIDCVLLECGHMVTCTKCGKRMNECPICRQYVIRAVHVFRS
+>DECOY_sp|Q8WZ73|RFFL_HUMAN E3 ubiquitin-protein ligase rififylin OS=Homo sapiens OX=9606 GN=RFFL PE=1 SV=1
+SRFVHVARIVYQRCIPCENMRKGCKTCTVMHGCELLVCDIPSDMCIKCLNEELGSPVAGGNQDEAGSVLHQLGKQDKYLRTVREMLEWKECCGKYNVFNRALIEKLQRVTLGEIDELDTLDSLSARRGPVFSDESDISQTEDEAPVRAVSELYVPEEQDQSVHGNAQQNEQVQAPPVSTAQASSSPLNPSTPPVMSSHPQTLFAQQEPFDPSLTSARTRDEQSIVPQQGLVLLVLEEKERCMETSIDHLSLYDRLDKVKMKMLEERQFATARFRQCLLCLRPGNGVQSSCTMCFNKKCDLCTQKRATNAFHAGCSKCSPELGTPSPFSSYGPNSYAQMRAGQPPPVEEPQGDLCFWNCCTAWM
+>sp|Q86YS3|RFIP4_HUMAN Rab11 family-interacting protein 4 OS=Homo sapiens OX=9606 GN=RAB11FIP4 PE=1 SV=1
+MAGGAGWSGAPAALLRSVRRLREVFEVCGRDPDGFLRVERVAALGLRFGQGEEVEKLVKYLDPNDLGRINFKDFCRGVFAMKGCEELLKDVLSVESAGTLPCAPEIPDCVEQGSEVTGPTFADGELIPREPGFFPEDEEEAMTLAPPEGPQELYTDSPMESTQSLEGSVGSPAEKDGGLGGLFLPEDKSLVHTPSMTTSDLSTHSTTSLISNEEQFEDYGEGDDVDCAPSSPCPDDETRTNVYSDLGSSVSSSAGQTPRKMRHVYNSELLDVYCSQCCKKINLLNDLEARLKNLKANSPNRKISSTAFGRQLMHSSNFSSSNGSTEDLFRDSIDSCDNDITEKVSFLEKKVTELENDSLTNGDLKSKLKQENTQLVHRVHELEEMVKDQETTAEQALEEEARRHREAYGKLEREKATEVELLNARVQQLEEENTELRTTVTRLKSQTEKLDEERQRMSDRLEDTSLRLKDEMDLYKRMMDKLRQNRLEFQKEREATQELIEDLRKELEHLQMYKLDCERPGRGRSASSGLGEFNARAREVELEHEVKRLKQENYKLRDQNDDLNGQILSLSLYEAKNLFAAQTKAQSLAAEIDTASRDELMEALKEQEEINFRLRQYMDKIILAILDHNPSILEIKH
+>DECOY_sp|Q86YS3|RFIP4_HUMAN Rab11 family-interacting protein 4 OS=Homo sapiens OX=9606 GN=RAB11FIP4 PE=1 SV=1
+HKIELISPNHDLIALIIKDMYQRLRFNIEEQEKLAEMLEDRSATDIEAALSQAKTQAAFLNKAEYLSLSLIQGNLDDNQDRLKYNEQKLRKVEHELEVERARANFEGLGSSASRGRGPRECDLKYMQLHELEKRLDEILEQTAEREKQFELRNQRLKDMMRKYLDMEDKLRLSTDELRDSMRQREEDLKETQSKLRTVTTRLETNEEELQQVRANLLEVETAKERELKGYAERHRRAEEELAQEATTEQDKVMEELEHVRHVLQTNEQKLKSKLDGNTLSDNELETVKKELFSVKETIDNDCSDISDRFLDETSGNSSSFNSSHMLQRGFATSSIKRNPSNAKLNKLRAELDNLLNIKKCCQSCYVDLLESNYVHRMKRPTQGASSSVSSGLDSYVNTRTEDDPCPSSPACDVDDGEGYDEFQEENSILSTTSHTSLDSTTMSPTHVLSKDEPLFLGGLGGDKEAPSGVSGELSQTSEMPSDTYLEQPGEPPALTMAEEEDEPFFGPERPILEGDAFTPGTVESGQEVCDPIEPACPLTGASEVSLVDKLLEECGKMAFVGRCFDKFNIRGLDNPDLYKVLKEVEEGQGFRLGLAAVREVRLFGDPDRGCVEFVERLRRVSRLLAAPAGSWGAGGAM
+>sp|P0C7P2|RFL3S_HUMAN Putative protein RFPL3S OS=Homo sapiens OX=9606 GN=RFPL3S PE=2 SV=1
+MQTDTSNLSARSCRFCVMSPLRTLLRSSEMRRKLLAVSASKVISTVKRKTSCSASGQKPTPCLSSTSKAQISPDFSFFNSVSSSKIKTFHEETSLFQIFIGMLCGNT
+>DECOY_sp|P0C7P2|RFL3S_HUMAN Putative protein RFPL3S OS=Homo sapiens OX=9606 GN=RFPL3S PE=2 SV=1
+TNGCLMGIFIQFLSTEEHFTKIKSSSVSNFFSFDPSIQAKSTSSLCPTPKQGSASCSTKRKVTSIVKSASVALLKRRMESSRLLTRLPSMVCFRCSRASLNSTDTQM
+>sp|Q8N5W9|RFLB_HUMAN Refilin-B OS=Homo sapiens OX=9606 GN=RFLNB PE=1 SV=1
+MVGRLSLQDVPELVDAKKKGDGVLDSPDSGLPPSPSPSHWGLAAGGGGGERAAAPGTLEPDAAAATPAAPSPASLPLAPGCALRLCPLSFGEGVEFDPLPPKEVRYTSLVKYDSERHFIDDVQLPLGLAVASCSQTVTCVPNGTWRNYKAEVRFEPRHRPTRFLSTTIVYPKYPKAVYTTTLDYNCRKTLRRFLSSVELEAAELPGSDDLSDEC
+>DECOY_sp|Q8N5W9|RFLB_HUMAN Refilin-B OS=Homo sapiens OX=9606 GN=RFLNB PE=1 SV=1
+CEDSLDDSGPLEAAELEVSSLFRRLTKRCNYDLTTTYVAKPYKPYVITTSLFRTPRHRPEFRVEAKYNRWTGNPVCTVTQSCSAVALGLPLQVDDIFHRESDYKVLSTYRVEKPPLPDFEVGEGFSLPCLRLACGPALPLSAPSPAAPTAAAADPELTGPAAAREGGGGGAALGWHSPSPSPPLGSDPSDLVGDGKKKADVLEPVDQLSLRGVM
+>sp|A6NFN3|RFOX3_HUMAN RNA binding protein fox-1 homolog 3 OS=Homo sapiens OX=9606 GN=RBFOX3 PE=2 SV=4
+MAQPYPPAQYPPPPQNGIPAEYAPPPPHPTQDYSGQTPVPTEHGMTLYTPAQTHPEQPGSEASTQPIAGTQTVPQTDEAAQTDSQPLHPSDPTEKQQPKRLHVSNIPFRFRDPDLRQMFGQFGKILDVEIIFNERGSKGFGFVTFETSSDADRAREKLNGTIVEGRKIEVNNATARVMTNKKTGNPYTNGWKLNPVVGAVYGPEFYAVTGFPYPTTGTAVAYRGAHLRGRGRAVYNTFRAAPPPPPIPTYGAVVYQDGFYGAEIYGGYAAYRYAQPAAAAAAYSDSYGRVYAAADPYHHTIGPAATYSIGTM
+>DECOY_sp|A6NFN3|RFOX3_HUMAN RNA binding protein fox-1 homolog 3 OS=Homo sapiens OX=9606 GN=RBFOX3 PE=2 SV=4
+MTGISYTAAPGITHHYPDAAAYVRGYSDSYAAAAAAPQAYRYAAYGGYIEAGYFGDQYVVAGYTPIPPPPPAARFTNYVARGRGRLHAGRYAVATGTTPYPFGTVAYFEPGYVAGVVPNLKWGNTYPNGTKKNTMVRATANNVEIKRGEVITGNLKERARDADSSTEFTVFGFGKSGRENFIIEVDLIKGFQGFMQRLDPDRFRFPINSVHLRKPQQKETPDSPHLPQSDTQAAEDTQPVTQTGAIPQTSAESGPQEPHTQAPTYLTMGHETPVPTQGSYDQTPHPPPPAYEAPIGNQPPPPYQAPPYPQAM
+>sp|O75679|RFPL3_HUMAN Ret finger protein-like 3 OS=Homo sapiens OX=9606 GN=RFPL3 PE=1 SV=2
+MKRLSLVTTNRLSPQGNFLPLCTFPLAVDMAALFQEASSCPVCSDYLEKPMSLECGCTVCLKCINSLQKEPHGEDLLCCCCSMVSQRNKIRPNRQLERLVSHIKELEPKLKKILQMNPRMRKFQVDMTLDADTANNFLLISDDLRSVRSGLITQNRQDLAERFDVSVCILGSPRFTCGRHYWEVDVGTSTEWDLGVCRESVHCKGKIQLTTELGFWTVSLRDGSRLSASTVPLTFLLVDRKLQRVGIFLDMGMQNVSFFDAESGSHVYTFRSVSAEEPLRPFLAPSIPPNGDQGVLSICPLMNSGTTDAPVRPGEAK
+>DECOY_sp|O75679|RFPL3_HUMAN Ret finger protein-like 3 OS=Homo sapiens OX=9606 GN=RFPL3 PE=1 SV=2
+KAEGPRVPADTTGSNMLPCISLVGQDGNPPISPALFPRLPEEASVSRFTYVHSGSEADFFSVNQMGMDLFIGVRQLKRDVLLFTLPVTSASLRSGDRLSVTWFGLETTLQIKGKCHVSERCVGLDWETSTGVDVEWYHRGCTFRPSGLICVSVDFREALDQRNQTILGSRVSRLDDSILLFNNATDADLTMDVQFKRMRPNMQLIKKLKPELEKIHSVLRELQRNPRIKNRQSVMSCCCCLLDEGHPEKQLSNICKLCVTCGCELSMPKELYDSCVPCSSAEQFLAAMDVALPFTCLPLFNGQPSLRNTTVLSLRKM
+>sp|Q6ZWI9|RFPLB_HUMAN Ret finger protein-like 4B OS=Homo sapiens OX=9606 GN=RFPL4B PE=2 SV=2
+MAKRLQAELSCPVCLDFFSCSISLSCTHVFCFDCIQRYILENHDFRAMCPLCRDVVKVPALEEWQVSVLTLMTKQHNSRLEQSLHVREELRHFREDVTLDAATASSLLVFSNDLRSAQCKKIHHDLTKDPRLACVLGTPCFSSGQHYWEVEVGEVKSWSLGVCKEPADRKSNDLFPEHGFWISMKAGAIHANTHLERIPASPRLRRVGIFLDADLEEIQFFDVDNNVLIYTHDGFFSLELLCPFFCLELLGEGESGNVLTICP
+>DECOY_sp|Q6ZWI9|RFPLB_HUMAN Ret finger protein-like 4B OS=Homo sapiens OX=9606 GN=RFPL4B PE=2 SV=2
+PCITLVNGSEGEGLLELCFFPCLLELSFFGDHTYILVNNDVDFFQIEELDADLFIGVRRLRPSAPIRELHTNAHIAGAKMSIWFGHEPFLDNSKRDAPEKCVGLSWSKVEGVEVEWYHQGSSFCPTGLVCALRPDKTLDHHIKKCQASRLDNSFVLLSSATAADLTVDERFHRLEERVHLSQELRSNHQKTMLTLVSVQWEELAPVKVVDRCLPCMARFDHNELIYRQICDFCFVHTCSLSISCSFFDLCVPCSLEAQLRKAM
+>sp|P48380|RFX3_HUMAN Transcription factor RFX3 OS=Homo sapiens OX=9606 GN=RFX3 PE=1 SV=2
+MQTSETGSDTGSTVTLQTSVASQAAVPTQVVQQVPVQQQVQQVQTVQQVQHVYPAQVQYVEGSDTVYTNGAIRTTTYPYTETQMYSQNTGGNYFDTQGSSAQVTTVVSSHSMVGTGGIQMGVTGGQLISSSGGTYLIGNSMENSGHSVTHTTRASPATIEMAIETLQKSDGLSTHRSSLLNSHLQWLLDNYETAEGVSLPRSTLYNHYLRHCQEHKLDPVNAASFGKLIRSIFMGLRTRRLGTRGNSKYHYYGIRVKPDSPLNRLQEDMQYMAMRQQPMQQKQRYKPMQKVDGVADGFTGSGQQTGTSVEQTVIAQSQHHQQFLDASRALPEFGEVEISSLPDGTTFEDIKSLQSLYREHCEAILDVVVNLQFSLIEKLWQTFWRYSPSTPTDGTTITESSNLSEIESRLPKAKLITLCKHESILKWMCNCDHGMYQALVEILIPDVLRPIPSALTQAIRNFAKSLEGWLSNAMNNIPQRMIQTKVAAVSAFAQTLRRYTSLNHLAQAARAVLQNTSQINQMLSDLNRVDFANVQEQASWVCQCDDNMVQRLETDFKMTLQQQSTLEQWAAWLDNVMMQALKPYEGRPSFPKAARQFLLKWSFYSSMVIRDLTLRSAASFGSFHLIRLLYDEYMFYLVEHRVAQATGETPIAVMGEFGDLNAVSPGNLDKDEGSEVESEMDEELDDSSEPQAKREKTELSQAFPVGCMQPVLETGVQPSLLNPIHSEHIVTSTQTIRQCSATGNTYTAV
+>DECOY_sp|P48380|RFX3_HUMAN Transcription factor RFX3 OS=Homo sapiens OX=9606 GN=RFX3 PE=1 SV=2
+VATYTNGTASCQRITQTSTVIHESHIPNLLSPQVGTELVPQMCGVPFAQSLETKERKAQPESSDDLEEDMESEVESGEDKDLNGPSVANLDGFEGMVAIPTEGTAQAVRHEVLYFMYEDYLLRILHFSGFSAASRLTLDRIVMSSYFSWKLLFQRAAKPFSPRGEYPKLAQMMVNDLWAAWQELTSQQQLTMKFDTELRQVMNDDCQCVWSAQEQVNAFDVRNLDSLMQNIQSTNQLVARAAQALHNLSTYRRLTQAFASVAAVKTQIMRQPINNMANSLWGELSKAFNRIAQTLASPIPRLVDPILIEVLAQYMGHDCNCMWKLISEHKCLTILKAKPLRSEIESLNSSETITTGDTPTSPSYRWFTQWLKEILSFQLNVVVDLIAECHERYLSQLSKIDEFTTGDPLSSIEVEGFEPLARSADLFQQHHQSQAIVTQEVSTGTQQGSGTFGDAVGDVKQMPKYRQKQQMPQQRMAMYQMDEQLRNLPSDPKVRIGYYHYKSNGRTGLRRTRLGMFISRILKGFSAANVPDLKHEQCHRLYHNYLTSRPLSVGEATEYNDLLWQLHSNLLSSRHTSLGDSKQLTEIAMEITAPSARTTHTVSHGSNEMSNGILYTGGSSSILQGGTVGMQIGGTGVMSHSSVVTTVQASSGQTDFYNGGTNQSYMQTETYPYTTTRIAGNTYVTDSGEVYQVQAPYVHQVQQVTQVQQVQQQVPVQQVVQTPVAAQSAVSTQLTVTSGTDSGTESTQM
+>sp|P14927|QCR7_HUMAN Cytochrome b-c1 complex subunit 7 OS=Homo sapiens OX=9606 GN=UQCRB PE=1 SV=2
+MAGKQAVSASGKWLDGIRKWYYNAAGFNKLGLMRDDTIYEDEDVKEAIRRLPENLYNDRMFRIKRALDLNLKHQILPKEQWTKYEEENFYLEPYLKEVIRERKEREEWAKK
+>DECOY_sp|P14927|QCR7_HUMAN Cytochrome b-c1 complex subunit 7 OS=Homo sapiens OX=9606 GN=UQCRB PE=1 SV=2
+KKAWEEREKRERIVEKLYPELYFNEEEYKTWQEKPLIQHKLNLDLARKIRFMRDNYLNEPLRRIAEKVDEDEYITDDRMLGLKNFGAANYYWKRIGDLWKGSASVAQKGAM
+>sp|O14949|QCR8_HUMAN Cytochrome b-c1 complex subunit 8 OS=Homo sapiens OX=9606 GN=UQCRQ PE=1 SV=4
+MGREFGNLTRMRHVISYSLSPFEQRAYPHVFTKGIPNVLRRIRESFFRVVPQFVVFYLIYTWGTEEFERSKRKNPAAYENDK
+>DECOY_sp|O14949|QCR8_HUMAN Cytochrome b-c1 complex subunit 8 OS=Homo sapiens OX=9606 GN=UQCRQ PE=1 SV=4
+KDNEYAAPNKRKSREFEETGWTYILYFVVFQPVVRFFSERIRRLVNPIGKTFVHPYARQEFPSLSYSIVHRMRTLNGFERGM
+>sp|Q08257|QOR_HUMAN Quinone oxidoreductase OS=Homo sapiens OX=9606 GN=CRYZ PE=1 SV=1
+MATGQKLMRAVRVFEFGGPEVLKLRSDIAVPIPKDHQVLIKVHACGVNPVETYIRSGTYSRKPLLPYTPGSDVAGVIEAVGDNASAFKKGDRVFTSSTISGGYAEYALAADHTVYKLPEKLDFKQGAAIGIPYFTAYRALIHSACVKAGESVLVHGASGGVGLAACQIARAYGLKILGTAGTEEGQKIVLQNGAHEVFNHREVNYIDKIKKYVGEKGIDIIIEMLANVNLSKDLSLLSHGGRVIVVGSRGTIEINPRDTMAKESSIIGVTLFSSTKEEFQQYAAALQAGMEIGWLKPVIGSQYPLEKVAEAHENIIHGSGATGKMILLL
+>DECOY_sp|Q08257|QOR_HUMAN Quinone oxidoreductase OS=Homo sapiens OX=9606 GN=CRYZ PE=1 SV=1
+LLLIMKGTAGSGHIINEHAEAVKELPYQSGIVPKLWGIEMGAQLAAAYQQFEEKTSSFLTVGIISSEKAMTDRPNIEITGRSGVVIVRGGHSLLSLDKSLNVNALMEIIIDIGKEGVYKKIKDIYNVERHNFVEHAGNQLVIKQGEETGATGLIKLGYARAIQCAALGVGGSAGHVLVSEGAKVCASHILARYATFYPIGIAAGQKFDLKEPLKYVTHDAALAYEAYGGSITSSTFVRDGKKFASANDGVAEIVGAVDSGPTYPLLPKRSYTGSRIYTEVPNVGCAHVKILVQHDKPIPVAIDSRLKLVEPGGFEFVRVARMLKQGTAM
+>sp|Q5T6V5|QSPP_HUMAN Queuosine salvage protein OS=Homo sapiens OX=9606 GN=C9orf64 PE=1 SV=1
+MDGLLNPRESSKFIAENSRDVFIDSGGVRRVAELLLAKAAGPELRVEGWKALHELNPRAADEAAVNWVFVTDTLNFSFWSEQDEHKCVVRYRGKTYSGYWSLCAAVNRALDEGIPITSASYYATVTLDQVRNILRSDTDVSMPLVEERHRILNETGKILLEKFGGSFLNCVRESENSAQKLMHLVVESFPSYRDVTLFEGKRVSFYKRAQILVADTWSVLEGKGDGCFKDISSITMFADYRLPQVLAHLGALKYSDDLLKKLLKGEMLSYGDRQEVEIRGCSLWCVELIRDCLLELIEQKGEKPNGEINSILLDYYLWDYAHDHREDMKGIPFHRIRCIYY
+>DECOY_sp|Q5T6V5|QSPP_HUMAN Queuosine salvage protein OS=Homo sapiens OX=9606 GN=C9orf64 PE=1 SV=1
+YYICRIRHFPIGKMDERHDHAYDWLYYDLLISNIEGNPKEGKQEILELLCDRILEVCWLSCGRIEVEQRDGYSLMEGKLLKKLLDDSYKLAGLHALVQPLRYDAFMTISSIDKFCGDGKGELVSWTDAVLIQARKYFSVRKGEFLTVDRYSPFSEVVLHMLKQASNESERVCNLFSGGFKELLIKGTENLIRHREEVLPMSVDTDSRLINRVQDLTVTAYYSASTIPIGEDLARNVAACLSWYGSYTKGRYRVVCKHEDQESWFSFNLTDTVFVWNVAAEDAARPNLEHLAKWGEVRLEPGAAKALLLEAVRRVGGSDIFVDRSNEAIFKSSERPNLLGDM
+>sp|Q86UN2|R4RL1_HUMAN Reticulon-4 receptor-like 1 OS=Homo sapiens OX=9606 GN=RTN4RL1 PE=1 SV=1
+MLRKGCCVELLLLLVAAELPLGGGCPRDCVCYPAPMTVSCQAHNFAAIPEGIPVDSERVFLQNNRIGLLQPGHFSPAMVTLWIYSNNITYIHPSTFEGFVHLEELDLGDNRQLRTLAPETFQGLVKLHALYLYKCGLSALPAGVFGGLHSLQYLYLQDNHIEYLQDDIFVDLVNLSHLFLHGNKLWSLGPGTFRGLVNLDRLLLHENQLQWVHHKAFHDLRRLTTLFLFNNSLSELQGECLAPLGALEFLRLNGNPWDCGCRARSLWEWLQRFRGSSSAVPCVSPGLRHGQDLKLLRAEDFRNCTGPASPHQIKSHTLTTTDRAARKEHHSPHGPTRSKGHPHGPRPGHRKPGKNCTNPRNRNQISKAGAGKQAPELPDYAPDYQHKFSFDIMPTARPKRKGKCARRTPIRAPSGVQQASSASSLGASLLAWTLGLAVTLR
+>DECOY_sp|Q86UN2|R4RL1_HUMAN Reticulon-4 receptor-like 1 OS=Homo sapiens OX=9606 GN=RTN4RL1 PE=1 SV=1
+RLTVALGLTWALLSAGLSSASSAQQVGSPARIPTRRACKGKRKPRATPMIDFSFKHQYDPAYDPLEPAQKGAGAKSIQNRNRPNTCNKGPKRHGPRPGHPHGKSRTPGHPSHHEKRAARDTTTLTHSKIQHPSAPGTCNRFDEARLLKLDQGHRLGPSVCPVASSSGRFRQLWEWLSRARCGCDWPNGNLRLFELAGLPALCEGQLESLSNNFLFLTTLRRLDHFAKHHVWQLQNEHLLLRDLNVLGRFTGPGLSWLKNGHLFLHSLNVLDVFIDDQLYEIHNDQLYLYQLSHLGGFVGAPLASLGCKYLYLAHLKVLGQFTEPALTRLQRNDGLDLEELHVFGEFTSPHIYTINNSYIWLTVMAPSFHGPQLLGIRNNQLFVRESDVPIGEPIAAFNHAQCSVTMPAPYCVCDRPCGGGLPLEAAVLLLLLEVCCGKRLM
+>sp|Q86UN3|R4RL2_HUMAN Reticulon-4 receptor-like 2 OS=Homo sapiens OX=9606 GN=RTN4RL2 PE=1 SV=1
+MLPGLRRLLQAPASACLLLMLLALPLAAPSCPMLCTCYSSPPTVSCQANNFSSVPLSLPPSTQRLFLQNNLIRTLRPGTFGSNLLTLWLFSNNLSTIYPGTFRHLQALEELDLGDNRHLRSLEPDTFQGLERLQSLHLYRCQLSSLPGNIFRGLVSLQYLYLQENSLLHLQDDLFADLANLSHLFLHGNRLRLLTEHVFRGLGSLDRLLLHGNRLQGVHRAAFRGLSRLTILYLFNNSLASLPGEALADLPSLEFLRLNANPWACDCRARPLWAWFQRARVSSSDVTCATPPERQGRDLRALREADFQACPPAAPTRPGSRARGNSSSNHLYGVAEAGAPPADPSTLYRDLPAEDSRGRQGGDAPTEDDYWGGYGGEDQRGEQMCPGAACQAPPDSRGPALSAGLPSPLLCLLLLVPHHL
+>DECOY_sp|Q86UN3|R4RL2_HUMAN Reticulon-4 receptor-like 2 OS=Homo sapiens OX=9606 GN=RTN4RL2 PE=1 SV=1
+LHHPVLLLLCLLPSPLGASLAPGRSDPPAQCAAGPCMQEGRQDEGGYGGWYDDETPADGGQRGRSDEAPLDRYLTSPDAPPAGAEAVGYLHNSSSNGRARSGPRTPAAPPCAQFDAERLARLDRGQREPPTACTVDSSSVRARQFWAWLPRARCDCAWPNANLRLFELSPLDALAEGPLSALSNNFLYLITLRSLGRFAARHVGQLRNGHLLLRDLSGLGRFVHETLLRLRNGHLFLHSLNALDAFLDDQLHLLSNEQLYLYQLSVLGRFINGPLSSLQCRYLHLSQLRELGQFTDPELSRLHRNDGLDLEELAQLHRFTGPYITSLNNSFLWLTLLNSGFTGPRLTRILNNQLFLRQTSPPLSLPVSSFNNAQCSVTPPSSYCTCLMPCSPAALPLALLMLLLCASAPAQLLRRLGPLM
+>sp|Q6MZT1|R7BP_HUMAN Regulator of G-protein signaling 7-binding protein OS=Homo sapiens OX=9606 GN=RGS7BP PE=2 SV=3
+MSSAPNGRKKRPSRSTRSSIFQISKPPLQSGDWERRGSGSESAHKTQRALDDCKMLVQEFNTQVALYRELVISIGDVSVSCPSLRAEMHKTRTKGCEMARQAHQKLAAISGPEDGEIHPEICRLYIQLQCCLEMYTTEMLKSICLLGSLQFHRKGKEPGGGTKSLDCKIEESAETPALEDSSSSPVDSQQHSWQVSTDIENTERDMREMKNLLSKLRETMPLPLKNQDDSSLLNLTPYPLVRRRKRRFFGLCCLISS
+>DECOY_sp|Q6MZT1|R7BP_HUMAN Regulator of G-protein signaling 7-binding protein OS=Homo sapiens OX=9606 GN=RGS7BP PE=2 SV=3
+SSILCCLGFFRRKRRRVLPYPTLNLLSSDDQNKLPLPMTERLKSLLNKMERMDRETNEIDTSVQWSHQQSDVPSSSSDELAPTEASEEIKCDLSKTGGGPEKGKRHFQLSGLLCISKLMETTYMELCCQLQIYLRCIEPHIEGDEPGSIAALKQHAQRAMECGKTRTKHMEARLSPCSVSVDGISIVLERYLAVQTNFEQVLMKCDDLARQTKHASESGSGRREWDGSQLPPKSIQFISSRTSRSPRKKRGNPASSM
+>sp|Q6ZS82|R9BP_HUMAN Regulator of G-protein signaling 9-binding protein OS=Homo sapiens OX=9606 GN=RGS9BP PE=2 SV=1
+MAREECKALLDGLNKTTACYHHLVLTVGGSADSQNLRQELQKTRQKAQELAVSTCARLTAVLRDRGLAADERAEFERLWVAFSGCLDLLEADMRRALELGAAFPLHAPRRPLVRTGVAGASSGVAARALSTRSLRLEAEGDFDVADLRELEREVLQVGEMIDNMEMKVNVPRWTVQARQAAGAELLSTVSAGPSSVVSLQERGGGCDPRKALAAILFGAVLLAAVALAVCVAKLS
+>DECOY_sp|Q6ZS82|R9BP_HUMAN Regulator of G-protein signaling 9-binding protein OS=Homo sapiens OX=9606 GN=RGS9BP PE=2 SV=1
+SLKAVCVALAVAALLVAGFLIAALAKRPDCGGGREQLSVVSSPGASVTSLLEAGAAQRAQVTWRPVNVKMEMNDIMEGVQLVERELERLDAVDFDGEAELRLSRTSLARAAVGSSAGAVGTRVLPRRPAHLPFAAGLELARRMDAELLDLCGSFAVWLREFEAREDAALGRDRLVATLRACTSVALEQAKQRTKQLEQRLNQSDASGGVTLVLHHYCATTKNLGDLLAKCEERAM
+>sp|O43502|RA51C_HUMAN DNA repair protein RAD51 homolog 3 OS=Homo sapiens OX=9606 GN=RAD51C PE=1 SV=1
+MRGKTFRFEMQRDLVSFPLSPAVRVKLVSAGFQTAEELLEVKPSELSKEVGISKAEALETLQIIRRECLTNKPRYAGTSESHKKCTALELLEQEHTQGFIITFCSALDDILGGGVPLMKTTEICGAPGVGKTQLCMQLAVDVQIPECFGGVAGEAVFIDTEGSFMVDRVVDLATACIQHLQLIAEKHKGEEHRKALEDFTLDNILSHIYYFRCRDYTELLAQVYLLPDFLSEHSKVRLVIVDGIAFPFRHDLDDLSLRTRLLNGLAQQMISLANNHRLAVILTNQMTTKIDRNQALLVPALGESWGHAATIRLIFHWDRKQRLATLYKSPSQKECTVLFQIKPQGFRDTVVTSACSLQTEGSLSTRKRSRDPEEEL
+>DECOY_sp|O43502|RA51C_HUMAN DNA repair protein RAD51 homolog 3 OS=Homo sapiens OX=9606 GN=RAD51C PE=1 SV=1
+LEEEPDRSRKRTSLSGETQLSCASTVVTDRFGQPKIQFLVTCEKQSPSKYLTALRQKRDWHFILRITAAHGWSEGLAPVLLAQNRDIKTTMQNTLIVALRHNNALSIMQQALGNLLRTRLSLDDLDHRFPFAIGDVIVLRVKSHESLFDPLLYVQALLETYDRCRFYYIHSLINDLTFDELAKRHEEGKHKEAILQLHQICATALDVVRDVMFSGETDIFVAEGAVGGFCEPIQVDVALQMCLQTKGVGPAGCIETTKMLPVGGGLIDDLASCFTIIFGQTHEQELLELATCKKHSESTGAYRPKNTLCERRIIQLTELAEAKSIGVEKSLESPKVELLEEATQFGASVLKVRVAPSLPFSVLDRQMEFRFTKGRM
+>sp|Q9H0U4|RAB1B_HUMAN Ras-related protein Rab-1B OS=Homo sapiens OX=9606 GN=RAB1B PE=1 SV=1
+MNPEYDYLFKLLLIGDSGVGKSCLLLRFADDTYTESYISTIGVDFKIRTIELDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIVVYDVTDQESYANVKQWLQEIDRYASENVNKLLVGNKSDLTTKKVVDNTTAKEFADSLGIPFLETSAKNATNVEQAFMTMAAEIKKRMGPGAASGGERPNLKIDSTPVKPAGGGCC
+>DECOY_sp|Q9H0U4|RAB1B_HUMAN Ras-related protein Rab-1B OS=Homo sapiens OX=9606 GN=RAB1B PE=1 SV=1
+CCGGGAPKVPTSDIKLNPREGGSAAGPGMRKKIEAAMTMFAQEVNTANKASTELFPIGLSDAFEKATTNDVVKKTTLDSKNGVLLKNVNESAYRDIEQLWQKVNAYSEQDTVDYVVIIGHAGRYYSSTITRFREQGATDWIQLKITKGDLEITRIKFDVGITSIYSETYTDDAFRLLLCSKGVGSDGILLLKFLYDYEPNM
+>sp|Q9ULC3|RAB23_HUMAN Ras-related protein Rab-23 OS=Homo sapiens OX=9606 GN=RAB23 PE=1 SV=1
+MLEEDMEVAIKMVVVGNGAVGKSSMIQRYCKGIFTKDYKKTIGVDFLERQIQVNDEDVRLMLWDTAGQEEFDAITKAYYRGAQACVLVFSTTDRESFEAVSSWREKVVAEVGDIPTVLVQNKIDLLDDSCIKNEEAEALAKRLKLRFYRTSVKEDLNVNEVFKYLAEKYLQKLKQQIAEDPELTHSSSNKIGVFNTSGGSHSGQNSGTLNGGDVINLRPNKQRTKKNRNPFSSCSIP
+>DECOY_sp|Q9ULC3|RAB23_HUMAN Ras-related protein Rab-23 OS=Homo sapiens OX=9606 GN=RAB23 PE=1 SV=1
+PISCSSFPNRNKKTRQKNPRLNIVDGGNLTGSNQGSHSGGSTNFVGIKNSSSHTLEPDEAIQQKLKQLYKEALYKFVENVNLDEKVSTRYFRLKLRKALAEAEENKICSDDLLDIKNQVLVTPIDGVEAVVKERWSSVAEFSERDTTSFVLVCAQAGRYYAKTIADFEEQGATDWLMLRVDEDNVQIQRELFDVGITKKYDKTFIGKCYRQIMSSKGVAGNGVVVMKIAVEMDEELM
+>sp|Q9ULW5|RAB26_HUMAN Ras-related protein Rab-26 OS=Homo sapiens OX=9606 GN=RAB26 PE=1 SV=3
+MSRKKTPKSKGASTPAASTLPTANGARPARSGTALSGPDAPPNGPLQPGRPSLGGGVDFYDVAFKVMLVGDSGVGKTCLLVRFKDGAFLAGTFISTVGIDFRNKVLDVDGVKVKLQMWDTAGQERFRSVTHAYYRDAHALLLLYDVTNKASFDNIQAWLTEIHEYAQHDVALMLLGNKVDSAHERVVKREDGEKLAKEYGLPFMETSAKTGLNVDLAFTAIAKELKQRSMKAPSEPRFRLHDYVKREGRGASCCRP
+>DECOY_sp|Q9ULW5|RAB26_HUMAN Ras-related protein Rab-26 OS=Homo sapiens OX=9606 GN=RAB26 PE=1 SV=3
+PRCCSAGRGERKVYDHLRFRPESPAKMSRQKLEKAIATFALDVNLGTKASTEMFPLGYEKALKEGDERKVVREHASDVKNGLLMLAVDHQAYEHIETLWAQINDFSAKNTVDYLLLLAHADRYYAHTVSRFREQGATDWMQLKVKVGDVDLVKNRFDIGVTSIFTGALFAGDKFRVLLCTKGVGSDGVLMVKFAVDYFDVGGGLSPRGPQLPGNPPADPGSLATGSRAPRAGNATPLTSAAPTSAGKSKPTKKRSM
+>sp|P51157|RAB28_HUMAN Ras-related protein Rab-28 OS=Homo sapiens OX=9606 GN=RAB28 PE=1 SV=2
+MSDSEEESQDRQLKIVVLGDGASGKTSLTTCFAQETFGKQYKQTIGLDFFLRRITLPGNLNVTLQIWDIGGQTIGGKMLDKYIYGAQGVLLVYDITNYQSFENLEDWYTVVKKVSEESETQPLVALVGNKIDLEHMRTIKPEKHLRFCQENGFSSHFVSAKTGDSVFLCFQKVAAEILGIKLNKAEIEQSQRVVKADIVNYNQEPMSRTVNPPRSSMCAVQ
+>DECOY_sp|P51157|RAB28_HUMAN Ras-related protein Rab-28 OS=Homo sapiens OX=9606 GN=RAB28 PE=1 SV=2
+QVACMSSRPPNVTRSMPEQNYNVIDAKVVRQSQEIEAKNLKIGLIEAAVKQFCLFVSDGTKASVFHSSFGNEQCFRLHKEPKITRMHELDIKNGVLAVLPQTESEESVKKVVTYWDELNEFSQYNTIDYVLLVGQAGYIYKDLMKGGITQGGIDWIQLTVNLNGPLTIRRLFFDLGITQKYQKGFTEQAFCTTLSTKGSAGDGLVVIKLQRDQSEEESDSM
+>sp|Q15771|RAB30_HUMAN Ras-related protein Rab-30 OS=Homo sapiens OX=9606 GN=RAB30 PE=1 SV=2
+MSMEDYDFLFKIVLIGNAGVGKTCLVRRFTQGLFPPGQGATIGVDFMIKTVEINGEKVKLQIWDTAGQERFRSITQSYYRSANALILTYDITCEESFRCLPEWLREIEQYASNKVITVLVGNKIDLAERREVSQQRAEEFSEAQDMYYLETSAKESDNVEKLFLDLACRLISEARQNTLVNNVSSPLPGEGKSISYLTCCNFN
+>DECOY_sp|Q15771|RAB30_HUMAN Ras-related protein Rab-30 OS=Homo sapiens OX=9606 GN=RAB30 PE=1 SV=2
+NFNCCTLYSISKGEGPLPSSVNNVLTNQRAESILRCALDLFLKEVNDSEKASTELYYMDQAESFEEARQQSVERREALDIKNGVLVTIVKNSAYQEIERLWEPLCRFSEECTIDYTLILANASRYYSQTISRFREQGATDWIQLKVKEGNIEVTKIMFDVGITAGQGPPFLGQTFRRVLCTKGVGANGILVIKFLFDYDEMSM
+>sp|P57729|RAB38_HUMAN Ras-related protein Rab-38 OS=Homo sapiens OX=9606 GN=RAB38 PE=1 SV=1
+MQAPHKEHLYKLLVIGDLGVGKTSIIKRYVHQNFSSHYRATIGVDFALKVLHWDPETVVRLQLWDIAGQERFGNMTRVYYREAMGAFIVFDVTRPATFEAVAKWKNDLDSKLSLPNGKPVSVVLLANKCDQGKDVLMNNGLKMDQFCKEHGFVGWFETSAKENINIDEASRCLVKHILANECDLMESIEPDVVKPHLTSTKVASCSGCAKS
+>DECOY_sp|P57729|RAB38_HUMAN Ras-related protein Rab-38 OS=Homo sapiens OX=9606 GN=RAB38 PE=1 SV=1
+SKACGSCSAVKTSTLHPKVVDPEISEMLDCENALIHKVLCRSAEDININEKASTEFWGVFGHEKCFQDMKLGNNMLVDKGQDCKNALLVVSVPKGNPLSLKSDLDNKWKAVAEFTAPRTVDFVIFAGMAERYYVRTMNGFREQGAIDWLQLRVVTEPDWHLVKLAFDVGITARYHSSFNQHVYRKIISTKGVGLDGIVLLKYLHEKHPAQM
+>sp|Q96E17|RAB3C_HUMAN Ras-related protein Rab-3C OS=Homo sapiens OX=9606 GN=RAB3C PE=2 SV=1
+MRHEAPMQMASAQDARYGQKDSSDQNFDYMFKLLIIGNSSVGKTSFLFRYADDSFTSAFVSTVGIDFKVKTVFKNEKRIKLQIWDTAGQERYRTITTAYYRGAMGFILMYDITNEESFNAVQDWSTQIKTYSWDNAQVILVGNKCDMEDERVISTERGQHLGEQLGFEFFETSAKDNINVKQTFERLVDIICDKMSESLETDPAITAAKQNTRLKETPPPPQPNCAC
+>DECOY_sp|Q96E17|RAB3C_HUMAN Ras-related protein Rab-3C OS=Homo sapiens OX=9606 GN=RAB3C PE=2 SV=1
+CACNPQPPPPTEKLRTNQKAATIAPDTELSESMKDCIIDVLREFTQKVNINDKASTEFFEFGLQEGLHQGRETSIVREDEMDCKNGVLIVQANDWSYTKIQTSWDQVANFSEENTIDYMLIFGMAGRYYATTITRYREQGATDWIQLKIRKENKFVTKVKFDIGVTSVFASTFSDDAYRFLFSTKGVSSNGIILLKFMYDFNQDSSDKQGYRADQASAMQMPAEHRM
+>sp|Q96QF0|RAB3I_HUMAN Rab-3A-interacting protein OS=Homo sapiens OX=9606 GN=RAB3IP PE=1 SV=1
+MGLKKMKGLSYDEAFAMANDPLEGFHEVNLASPTSPDLLGVYESGTQEQTTSPSVIYRPHPSALSSVPIQANALDVSELPTQPVYSSPRRLNCAEISSISFHVTDPAPCSTSGVTAGLTKLTTRKDNYNAEREFLQGATITEACDGSDDIFGLSTDSLSRLRSPSVLEVREKGYERLKEELAKAQRELKLKDEECERLSKVRDQLGQELEELTASLFEEAHKMVREANIKQATAEKQLKEAQGKIDVLQAEVAALKTLVLSSSPTSPTQEPLPGGKTPFKKGHTRNKSTSSAMSGSHQDLSVIQPIVKDCKEADLSLYNEFRLWKDEPTMDRTCPFLDKIYQEDIFPCLTFSKSELASAVLEAVENNTLSIEPVGLQPIRFVKASAVECGGPKKCALTGQSKSCKHRIKLGDSSNYYYISPFCRYRITSVCNFFTYIRYIQQGLVKQQDVDQMFWEVMQLRKEMSLAKLGYFKEEL
+>DECOY_sp|Q96QF0|RAB3I_HUMAN Rab-3A-interacting protein OS=Homo sapiens OX=9606 GN=RAB3IP PE=1 SV=1
+LEEKFYGLKALSMEKRLQMVEWFMQDVDQQKVLGQQIYRIYTFFNCVSTIRYRCFPSIYYYNSSDGLKIRHKCSKSQGTLACKKPGGCEVASAKVFRIPQLGVPEISLTNNEVAELVASALESKSFTLCPFIDEQYIKDLFPCTRDMTPEDKWLRFENYLSLDAEKCDKVIPQIVSLDQHSGSMASSTSKNRTHGKKFPTKGGPLPEQTPSTPSSSLVLTKLAAVEAQLVDIKGQAEKLQKEATAQKINAERVMKHAEEFLSATLEELEQGLQDRVKSLRECEEDKLKLERQAKALEEKLREYGKERVELVSPSRLRSLSDTSLGFIDDSGDCAETITAGQLFEREANYNDKRTTLKTLGATVGSTSCPAPDTVHFSISSIEACNLRRPSSYVPQTPLESVDLANAQIPVSSLASPHPRYIVSPSTTQEQTGSEYVGLLDPSTPSALNVEHFGELPDNAMAFAEDYSLGKMKKLGM
+>sp|P20338|RAB4A_HUMAN Ras-related protein Rab-4A OS=Homo sapiens OX=9606 GN=RAB4A PE=1 SV=3
+MSQTAMSETYDFLFKFLVIGNAGTGKSCLLHQFIEKKFKDDSNHTIGVEFGSKIINVGGKYVKLQIWDTAGQERFRSVTRSYYRGAAGALLVYDITSRETYNALTNWLTDARMLASQNIVIILCGNKKDLDADREVTFLEASRFAQENELMFLETSALTGENVEEAFVQCARKILNKIESGELDPERMGSGIQYGDAALRQLRSPRRAQAPNAQECGC
+>DECOY_sp|P20338|RAB4A_HUMAN Ras-related protein Rab-4A OS=Homo sapiens OX=9606 GN=RAB4A PE=1 SV=3
+CGCEQANPAQARRPSRLQRLAADGYQIGSGMREPDLEGSEIKNLIKRACQVFAEEVNEGTLASTELFMLENEQAFRSAELFTVERDADLDKKNGCLIIVINQSALMRADTLWNTLANYTERSTIDYVLLAGAAGRYYSRTVSRFREQGATDWIQLKVYKGGVNIIKSGFEVGITHNSDDKFKKEIFQHLLCSKGTGANGIVLFKFLFDYTESMATQSM
+>sp|P61020|RAB5B_HUMAN Ras-related protein Rab-5B OS=Homo sapiens OX=9606 GN=RAB5B PE=1 SV=1
+MTSRSTARPNGQPQASKICQFKLVLLGESAVGKSSLVLRFVKGQFHEYQESTIGAAFLTQSVCLDDTTVKFEIWDTAGQERYHSLAPMYYRGAQAAIVVYDITNQETFARAKTWVKELQRQASPSIVIALAGNKADLANKRMVEYEEAQAYADDNSLLFMETSAKTAMNVNDLFLAIAKKLPKSEPQNLGGAAGRSRGVDLHEQSQQNKSQCCSN
+>DECOY_sp|P61020|RAB5B_HUMAN Ras-related protein Rab-5B OS=Homo sapiens OX=9606 GN=RAB5B PE=1 SV=1
+NSCCQSKNQQSQEHLDVGRSRGAAGGLNQPESKPLKKAIALFLDNVNMATKASTEMFLLSNDDAYAQAEEYEVMRKNALDAKNGALAIVISPSAQRQLEKVWTKARAFTEQNTIDYVVIAAQAGRYYMPALSHYREQGATDWIEFKVTTDDLCVSQTLFAAGITSEQYEHFQGKVFRLVLSSKGVASEGLLVLKFQCIKSAQPQGNPRATSRSTM
+>sp|P20340|RAB6A_HUMAN Ras-related protein Rab-6A OS=Homo sapiens OX=9606 GN=RAB6A PE=1 SV=3
+MSTGGDFGNPLRKFKLVFLGEQSVGKTSLITRFMYDSFDNTYQATIGIDFLSKTMYLEDRTVRLQLWDTAGQERFRSLIPSYIRDSTVAVVVYDITNVNSFQQTTKWIDDVRTERGSDVIIMLVGNKTDLADKRQVSIEEGERKAKELNVMFIETSAKAGYNVKQLFRRVAAALPGMESTQDRSREDMIDIKLEKPQEQPVSEGGCSC
+>DECOY_sp|P20340|RAB6A_HUMAN Ras-related protein Rab-6A OS=Homo sapiens OX=9606 GN=RAB6A PE=1 SV=3
+CSCGGESVPQEQPKELKIDIMDERSRDQTSEMGPLAAAVRRFLQKVNYGAKASTEIFMVNLEKAKREGEEISVQRKDALDTKNGVLMIIVDSGRETRVDDIWKTTQQFSNVNTIDYVVVAVTSDRIYSPILSRFREQGATDWLQLRVTRDELYMTKSLFDIGITAQYTNDFSDYMFRTILSTKGVSQEGLFVLKFKRLPNGFDGGTSM
+>sp|Q92930|RAB8B_HUMAN Ras-related protein Rab-8B OS=Homo sapiens OX=9606 GN=RAB8B PE=1 SV=2
+MAKTYDYLFKLLLIGDSGVGKTCLLFRFSEDAFNTTFISTIGIDFKIRTIELDGKKIKLQIWDTAGQERFRTITTAYYRGAMGIMLVYDITNEKSFDNIKNWIRNIEEHASSDVERMILGNKCDMNDKRQVSKERGEKLAIDYGIKFLETSAKSSANVEEAFFTLARDIMTKLNRKMNDSNSAGAGGPVKITENRSKKTSFFRCSLL
+>DECOY_sp|Q92930|RAB8B_HUMAN Ras-related protein Rab-8B OS=Homo sapiens OX=9606 GN=RAB8B PE=1 SV=2
+LLSCRFFSTKKSRNETIKVPGGAGASNSDNMKRNLKTMIDRALTFFAEEVNASSKASTELFKIGYDIALKEGREKSVQRKDNMDCKNGLIMREVDSSAHEEINRIWNKINDFSKENTIDYVLMIGMAGRYYATTITRFREQGATDWIQLKIKKGDLEITRIKFDIGITSIFTTNFADESFRFLLCTKGVGSDGILLLKFLYDYTKAM
+>sp|P51151|RAB9A_HUMAN Ras-related protein Rab-9A OS=Homo sapiens OX=9606 GN=RAB9A PE=1 SV=1
+MAGKSSLFKVILLGDGGVGKSSLMNRYVTNKFDTQLFHTIGVEFLNKDLEVDGHFVTMQIWDTAGQERFRSLRTPFYRGSDCCLLTFSVDDSQSFQNLSNWKKEFIYYADVKEPESFPFVILGNKIDISERQVSTEEAQAWCRDNGDYPYFETSAKDATNVAAAFEEAVRRVLATEDRSDHLIQTDTVNLHRKPKPSSSCC
+>DECOY_sp|P51151|RAB9A_HUMAN Ras-related protein Rab-9A OS=Homo sapiens OX=9606 GN=RAB9A PE=1 SV=1
+CCSSSPKPKRHLNVTDTQILHDSRDETALVRRVAEEFAAAVNTADKASTEFYPYDGNDRCWAQAEETSVQRESIDIKNGLIVFPFSEPEKVDAYYIFEKKWNSLNQFSQSDDVSFTLLCCDSGRYFPTRLSRFREQGATDWIQMTVFHGDVELDKNLFEVGITHFLQTDFKNTVYRNMLSSKGVGGDGLLIVKFLSSKGAM
+>sp|Q9H5N1|RABE2_HUMAN Rab GTPase-binding effector protein 2 OS=Homo sapiens OX=9606 GN=RABEP2 PE=1 SV=2
+MAAAAPVAADDDERRRRPGAALEDSRSQEGANGEAESGELSRLRAELAGALAEMETMKAVAEVSESTKAEAVAAVQRQCQEEVASLQAILKDSISSYEAQITALKQERQQQQQDCEEKERELGRLKQLLSRAYPLDSLEKQMEKAHEDSEKLREIVLPMEKEIEELKAKLLRAEELIQEIQRRPRHAPSLHGSTELLPLSRDPSPPLEPLEELSGDGGPAAEAFAHNCDDSASISSFSLGGGVGSSSSLPQSRQGLSPEQEETASLVSTGTLVPEGIYLPPPGYQLVPDTQWEQLQTEGRQLQKDLESVSRERDELQEGLRRSNEDCAKQMQVLLAQVQNSEQLLRTLQGTVSQAQERVQLQMAELVTTHKCLHHEVKRLNEENQGLRAEQLPSSAPQGSQQEQGEEESLPSSVPELQQLLCCTRQEARARLQAQEHGAERLRIEIVTLREALEEETVARASLEGQLRVQREETEVLEASLCSLRTEMERVQQEQSKAQLPDLLSEQRAKVLRLQAELETSEQVQRDFVRLSQALQVRLERIRQAETLEQVRSIMDEAPLTDVRDIKDT
+>DECOY_sp|Q9H5N1|RABE2_HUMAN Rab GTPase-binding effector protein 2 OS=Homo sapiens OX=9606 GN=RABEP2 PE=1 SV=2
+TDKIDRVDTLPAEDMISRVQELTEAQRIRELRVQLAQSLRVFDRQVQESTELEAQLRLVKARQESLLDPLQAKSQEQQVREMETRLSCLSAELVETEERQVRLQGELSARAVTEEELAERLTVIEIRLREAGHEQAQLRARAEQRTCCLLQQLEPVSSPLSEEEGQEQQSGQPASSPLQEARLGQNEENLRKVEHHLCKHTTVLEAMQLQVREQAQSVTGQLTRLLQESNQVQALLVQMQKACDENSRRLGEQLEDRERSVSELDKQLQRGETQLQEWQTDPVLQYGPPPLYIGEPVLTGTSVLSATEEQEPSLGQRSQPLSSSSGVGGGLSFSSISASDDCNHAFAEAAPGGDGSLEELPELPPSPDRSLPLLETSGHLSPAHRPRRQIEQILEEARLLKAKLEEIEKEMPLVIERLKESDEHAKEMQKELSDLPYARSLLQKLRGLEREKEECDQQQQQREQKLATIQAEYSSISDKLIAQLSAVEEQCQRQVAAVAEAKTSESVEAVAKMTEMEALAGALEARLRSLEGSEAEGNAGEQSRSDELAAGPRRRREDDDAAVPAAAAM
+>sp|P63244|RACK1_HUMAN Receptor of activated protein C kinase 1 OS=Homo sapiens OX=9606 GN=RACK1 PE=1 SV=3
+MTEQMTLRGTLKGHNGWVTQIATTPQFPDMILSASRDKTIIMWKLTRDETNYGIPQRALRGHSHFVSDVVISSDGQFALSGSWDGTLRLWDLTTGTTTRRFVGHTKDVLSVAFSSDNRQIVSGSRDKTIKLWNTLGVCKYTVQDESHSEWVSCVRFSPNSSNPIIVSCGWDKLVKVWNLANCKLKTNHIGHTGYLNTVTVSPDGSLCASGGKDGQAMLWDLNEGKHLYTLDGGDIINALCFSPNRYWLCAATGPSIKIWDLEGKIIVDELKQEVISTSSKAEPPQCTSLAWSADGQTLFAGYTDNLVRVWQVTIGTR
+>DECOY_sp|P63244|RACK1_HUMAN Receptor of activated protein C kinase 1 OS=Homo sapiens OX=9606 GN=RACK1 PE=1 SV=3
+RTGITVQWVRVLNDTYGAFLTQGDASWALSTCQPPEAKSSTSIVEQKLEDVIIKGELDWIKISPGTAACLWYRNPSFCLANIIDGGDLTYLHKGENLDWLMAQGDKGGSACLSGDPSVTVTNLYGTHGIHNTKLKCNALNWVKVLKDWGCSVIIPNSSNPSFRVCSVWESHSEDQVTYKCVGLTNWLKITKDRSGSVIQRNDSSFAVSLVDKTHGVFRRTTTGTTLDWLRLTGDWSGSLAFQGDSSIVVDSVFHSHGRLARQPIGYNTEDRTLKWMIITKDRSASLIMDPFQPTTAIQTVWGNHGKLTGRLTMQETM
+>sp|Q06609|RAD51_HUMAN DNA repair protein RAD51 homolog 1 OS=Homo sapiens OX=9606 GN=RAD51 PE=1 SV=1
+MAMQMQLEANADTSVEEESFGPQPISRLEQCGINANDVKKLEEAGFHTVEAVAYAPKKELINIKGISEAKADKILAEAAKLVPMGFTTATEFHQRRSEIIQITTGSKELDKLLQGGIETGSITEMFGEFRTGKTQICHTLAVTCQLPIDRGGGEGKAMYIDTEGTFRPERLLAVAERYGLSGSDVLDNVAYARAFNTDHQTQLLYQASAMMVESRYALLIVDSATALYRTDYSGRGELSARQMHLARFLRMLLRLADEFGVAVVITNQVVAQVDGAAMFAADPKKPIGGNIIAHASTTRLYLRKGRGETRICKIYDSPCLPEAEAMFAINADGVGDAKD
+>DECOY_sp|Q06609|RAD51_HUMAN DNA repair protein RAD51 homolog 1 OS=Homo sapiens OX=9606 GN=RAD51 PE=1 SV=1
+DKADGVGDANIAFMAEAEPLCPSDYIKCIRTEGRGKRLYLRTTSAHAIINGGIPKKPDAAFMAAGDVQAVVQNTIVVAVGFEDALRLLMRLFRALHMQRASLEGRGSYDTRYLATASDVILLAYRSEVMMASAQYLLQTQHDTNFARAYAVNDLVDSGSLGYREAVALLREPRFTGETDIYMAKGEGGGRDIPLQCTVALTHCIQTKGTRFEGFMETISGTEIGGQLLKDLEKSGTTIQIIESRRQHFETATTFGMPVLKAAEALIKDAKAESIGKINILEKKPAYAVAEVTHFGAEELKKVDNANIGCQELRSIPQPGFSEEEVSTDANAELQMQMAM
+>sp|P55042|RAD_HUMAN GTP-binding protein RAD OS=Homo sapiens OX=9606 GN=RRAD PE=1 SV=2
+MTLNGGGSGAGGSRGGGQERERRRGSTPWGPAPPLHRRSMPVDERDLQAALTPGALTAAAAGTGTQGPRLDWPEDSEDSLSSGGSDSDESVYKVLLLGAPGVGKSALARIFGGVEDGPEAEAAGHTYDRSIVVDGEEASLMVYDIWEQDGGRWLPGHCMAMGDAYVIVYSVTDKGSFEKASELRVQLRRARQTDDVPIILVGNKSDLVRSREVSVDEGRACAVVFDCKFIETSAALHHNVQALFEGVVRQIRLRRDSKEANARRQAGTRRRESLGKKAKRFLGRIVARNSRKMAFRAKSKSCHDLSVL
+>DECOY_sp|P55042|RAD_HUMAN GTP-binding protein RAD OS=Homo sapiens OX=9606 GN=RRAD PE=1 SV=2
+LVSLDHCSKSKARFAMKRSNRAVIRGLFRKAKKGLSERRRTGAQRRANAEKSDRRLRIQRVVGEFLAQVNHHLAASTEIFKCDFVVACARGEDVSVERSRVLDSKNGVLIIPVDDTQRARRLQVRLESAKEFSGKDTVSYVIVYADGMAMCHGPLWRGGDQEWIDYVMLSAEEGDVVISRDYTHGAAEAEPGDEVGGFIRALASKGVGPAGLLLVKYVSEDSDSGGSSLSDESDEPWDLRPGQTGTGAAAATLAGPTLAAQLDREDVPMSRRHLPPAPGWPTSGRRREREQGGGRSGGAGSGGGNLTM
+>sp|P24386|RAE1_HUMAN Rab proteins geranylgeranyltransferase component A 1 OS=Homo sapiens OX=9606 GN=CHM PE=1 SV=3
+MADTLPSEFDVIVIGTGLPESIIAAACSRSGRRVLHVDSRSYYGGNWASFSFSGLLSWLKEYQENSDIVSDSPVWQDQILENEEAIALSRKDKTIQHVEVFCYASQDLHEDVEEAGALQKNHALVTSANSTEAADSAFLPTEDESLSTMSCEMLTEQTPSSDPENALEVNGAEVTGEKENHCDDKTCVPSTSAEDMSENVPIAEDTTEQPKKNRITYSQIIKEGRRFNIDLVSKLLYSRGLLIDLLIKSNVSRYAEFKNITRILAFREGRVEQVPCSRADVFNSKQLTMVEKRMLMKFLTFCMEYEKYPDEYKGYEEITFYEYLKTQKLTPNLQYIVMHSIAMTSETASSTIDGLKATKNFLHCLGRYGNTPFLFPLYGQGELPQCFCRMCAVFGGIYCLRHSVQCLVVDKESRKCKAIIDQFGQRIISEHFLVEDSYFPENMCSRVQYRQISRAVLITDRSVLKTDSDQQISILTVPAEEPGTFAVRVIELCSSTMTCMKGTYLVHLTCTSSKTAREDLESVVQKLFVPYTEMEIENEQVEKPRILWALYFNMRDSSDISRSCYNDLPSNVYVCSGPDCGLGNDNAVKQAETLFQEICPNEDFCPPPPNPEDIILDGDSLQPEASESSAIPEANSETFKESTNLGNLEESSE
+>DECOY_sp|P24386|RAE1_HUMAN Rab proteins geranylgeranyltransferase component A 1 OS=Homo sapiens OX=9606 GN=CHM PE=1 SV=3
+ESSEELNGLNTSEKFTESNAEPIASSESAEPQLSDGDLIIDEPNPPPPCFDENPCIEQFLTEAQKVANDNGLGCDPGSCVYVNSPLDNYCSRSIDSSDRMNFYLAWLIRPKEVQENEIEMETYPVFLKQVVSELDERATKSSTCTLHVLYTGKMCTMTSSCLEIVRVAFTGPEEAPVTLISIQQDSDTKLVSRDTILVARSIQRYQVRSCMNEPFYSDEVLFHESIIRQGFQDIIAKCKRSEKDVVLCQVSHRLCYIGGFVACMRCFCQPLEGQGYLPFLFPTNGYRGLCHLFNKTAKLGDITSSATESTMAISHMVIYQLNPTLKQTKLYEYFTIEEYGKYEDPYKEYEMCFTLFKMLMRKEVMTLQKSNFVDARSCPVQEVRGERFALIRTINKFEAYRSVNSKILLDILLGRSYLLKSVLDINFRRGEKIIQSYTIRNKKPQETTDEAIPVNESMDEASTSPVCTKDDCHNEKEGTVEAGNVELANEPDSSPTQETLMECSMTSLSEDETPLFASDAAETSNASTVLAHNKQLAGAEEVDEHLDQSAYCFVEVHQITKDKRSLAIAEENELIQDQWVPSDSVIDSNEQYEKLWSLLGSFSFSAWNGGYYSRSDVHLVRRGSRSCAAAIISEPLGTGIVIVDFESPLTDAM
+>sp|Q15109|RAGE_HUMAN Advanced glycosylation end product-specific receptor OS=Homo sapiens OX=9606 GN=AGER PE=1 SV=1
+MAAGTAVGAWVLVLSLWGAVVGAQNITARIGEPLVLKCKGAPKKPPQRLEWKLNTGRTEAWKVLSPQGGGPWDSVARVLPNGSLFLPAVGIQDEGIFRCQAMNRNGKETKSNYRVRVYQIPGKPEIVDSASELTAGVPNKVGTCVSEGSYPAGTLSWHLDGKPLVPNEKGVSVKEQTRRHPETGLFTLQSELMVTPARGGDPRPTFSCSFSPGLPRHRALRTAPIQPRVWEPVPLEEVQLVVEPEGGAVAPGGTVTLTCEVPAQPSPQIHWMKDGVPLPLPPSPVLILPEIGPQDQGTYSCVATHSSHGPQESRAVSISIIEPGEEGPTAGSVGGSGLGTLALALGILGGLGTAALLIGVILWQRRQRRGEERKAPENQEEEEERAELNQSEEPEAGESSTGGP
+>DECOY_sp|Q15109|RAGE_HUMAN Advanced glycosylation end product-specific receptor OS=Homo sapiens OX=9606 GN=AGER PE=1 SV=1
+PGGTSSEGAEPEESQNLEAREEEEEQNEPAKREEGRRQRRQWLIVGILLAATGLGGLIGLALALTGLGSGGVSGATPGEEGPEIISISVARSEQPGHSSHTAVCSYTGQDQPGIEPLILVPSPPLPLPVGDKMWHIQPSPQAPVECTLTVTGGPAVAGGEPEVVLQVEELPVPEWVRPQIPATRLARHRPLGPSFSCSFTPRPDGGRAPTVMLESQLTFLGTEPHRRTQEKVSVGKENPVLPKGDLHWSLTGAPYSGESVCTGVKNPVGATLESASDVIEPKGPIQYVRVRYNSKTEKGNRNMAQCRFIGEDQIGVAPLFLSGNPLVRAVSDWPGGGQPSLVKWAETRGTNLKWELRQPPKKPAGKCKLVLPEGIRATINQAGVVAGWLSLVLVWAGVATGAAM
+>sp|O60894|RAMP1_HUMAN Receptor activity-modifying protein 1 OS=Homo sapiens OX=9606 GN=RAMP1 PE=1 SV=1
+MARALCRLPRRGLWLLLAHHLFMTTACQEANYGALLRELCLTQFQVDMEAVGETLWCDWGRTIRSYRELADCTWHMAEKLGCFWPNAEVDRFFLAVHGRYFRSCPISGRAVRDPPGSILYPFIVVPITVTLLVTALVVWQSKRTEGIV
+>DECOY_sp|O60894|RAMP1_HUMAN Receptor activity-modifying protein 1 OS=Homo sapiens OX=9606 GN=RAMP1 PE=1 SV=1
+VIGETRKSQWVVLATVLLTVTIPVVIFPYLISGPPDRVARGSIPCSRFYRGHVALFFRDVEANPWFCGLKEAMHWTCDALERYSRITRGWDCWLTEGVAEMDVQFQTLCLERLLAGYNAEQCATTMFLHHALLLWLGRRPLRCLARAM
+>sp|O60895|RAMP2_HUMAN Receptor activity-modifying protein 2 OS=Homo sapiens OX=9606 GN=RAMP2 PE=1 SV=2
+MASLRVERAGGPRLPRTRVGRPAALRLLLLLGAVLNPHEALAQPLPTTGTPGSEGGTVKNYETAVQFCWNHYKDQMDPIEKDWCDWAMISRPYSTLRDCLEHFAELFDLGFPNPLAERIIFETHQIHFANCSLVQPTFSDPPEDVLLAMIIAPICLIPFLITLVVWRSKDSEAQA
+>DECOY_sp|O60895|RAMP2_HUMAN Receptor activity-modifying protein 2 OS=Homo sapiens OX=9606 GN=RAMP2 PE=1 SV=2
+AQAESDKSRWVVLTILFPILCIPAIIMALLVDEPPDSFTPQVLSCNAFHIQHTEFIIREALPNPFGLDFLEAFHELCDRLTSYPRSIMAWDCWDKEIPDMQDKYHNWCFQVATEYNKVTGGESGPTGTTPLPQALAEHPNLVAGLLLLLRLAAPRGVRTRPLRPGGAREVRLSAM
+>sp|Q96S59|RANB9_HUMAN Ran-binding protein 9 OS=Homo sapiens OX=9606 GN=RANBP9 PE=1 SV=1
+MSGQPPPPPPQQQQQQQQLSPPPPAALAPVSGVVLPAPPAVSAGSSPAGSPGGGAGGEGLGAAAAALLLHPPPPPPPATAAPPPPPPPPPPPASAAAPASGPPAPPGLAAGPGPAGGAPTPALVAGSSAAAPFPHGDSALNEQEKELQRRLKRLYPAVDEQETPLPRSWSPKDKFSYIGLSQNNLRVHYKGHGKTPKDAASVRATHPIPAACGIYYFEVKIVSKGRDGYMGIGLSAQGVNMNRLPGWDKHSYGYHGDDGHSFCSSGTGQPYGPTFTTGDVIGCCVNLINNTCFYTKNGHSLGIAFTDLPPNLYPTVGLQTPGEVVDANFGQHPFVFDIEDYMREWRTKIQAQIDRFPIGDREGEWQTMIQKMVSSYLVHHGYCATAEAFARSTDQTVLEELASIKNRQRIQKLVLAGRMGEAIETTQQLYPSLLERNPNLLFTLKVRQFIEMVNGTDSEVRCLGGRSPKSQDSYPVSPRPFSSPSMSPSHGMNIHNLASGKGSTAHFSGFESCSNGVISNKAHQSYCHSNKHQSSNLNVPELNSINMSRSQQVNNFTSNDVDMETDHYSNGVGETSSNGFLNGSSKHDHEMEDCDTEMEVDSSQLRRQLCGGSQAAIERMIHFGRELQAMSEQLRRDCGKNTANKKMLKDAFSLLAYSDPWNSPVGNQLDPIQREPVCSALNSAILETHNLPKQPPLALAMGQATQCLGLMARSGIGSCAFATVEDYLH
+>DECOY_sp|Q96S59|RANB9_HUMAN Ran-binding protein 9 OS=Homo sapiens OX=9606 GN=RANBP9 PE=1 SV=1
+HLYDEVTAFACSGIGSRAMLGLCQTAQGMALALPPQKPLNHTELIASNLASCVPERQIPDLQNGVPSNWPDSYALLSFADKLMKKNATNKGCDRRLQESMAQLERGFHIMREIAAQSGGCLQRRLQSSDVEMETDCDEMEHDHKSSGNLFGNSSTEGVGNSYHDTEMDVDNSTFNNVQQSRSMNISNLEPVNLNSSQHKNSHCYSQHAKNSIVGNSCSEFGSFHATSGKGSALNHINMGHSPSMSPSSFPRPSVPYSDQSKPSRGGLCRVESDTGNVMEIFQRVKLTFLLNPNRELLSPYLQQTTEIAEGMRGALVLKQIRQRNKISALEELVTQDTSRAFAEATACYGHHVLYSSVMKQIMTQWEGERDGIPFRDIQAQIKTRWERMYDEIDFVFPHQGFNADVVEGPTQLGVTPYLNPPLDTFAIGLSHGNKTYFCTNNILNVCCGIVDGTTFTPGYPQGTGSSCFSHGDDGHYGYSHKDWGPLRNMNVGQASLGIGMYGDRGKSVIKVEFYYIGCAAPIPHTARVSAADKPTKGHGKYHVRLNNQSLGIYSFKDKPSWSRPLPTEQEDVAPYLRKLRRQLEKEQENLASDGHPFPAAASSGAVLAPTPAGGAPGPGAALGPPAPPGSAPAAASAPPPPPPPPPPPAATAPPPPPPPHLLLAAAAAGLGEGGAGGGPSGAPSSGASVAPPAPLVVGSVPALAAPPPPSLQQQQQQQQPPPPPPQGSM
+>sp|P13631|RARG_HUMAN Retinoic acid receptor gamma OS=Homo sapiens OX=9606 GN=RARG PE=1 SV=1
+MATNKERLFAAGALGPGSGYPGAGFPFAFPGALRGSPPFEMLSPSFRGLGQPDLPKEMASLSVETQSTSSEEMVPSSPSPPPPPRVYKPCFVCNDKSSGYHYGVSSCEGCKGFFRRSIQKNMVYTCHRDKNCIINKVTRNRCQYCRLQKCFEVGMSKEAVRNDRNKKKKEVKEEGSPDSYELSPQLEELITKVSKAHQETFPSLCQLGKYTTNSSADHRVQLDLGLWDKFSELATKCIIKIVEFAKRLPGFTGLSIADQITLLKAACLDILMLRICTRYTPEQDTMTFSDGLTLNRTQMHNAGFGPLTDLVFAFAGQLLPLEMDDTETGLLSAICLICGDRMDLEEPEKVDKLQEPLLEALRLYARRRRPSQPYMFPRMLMKITDLRGISTKGAERAITLKMEIPGPMPPLIREMLENPEMFEDDSSQPGPHPNASSEDEVPGGQGKGGLKSPA
+>DECOY_sp|P13631|RARG_HUMAN Retinoic acid receptor gamma OS=Homo sapiens OX=9606 GN=RARG PE=1 SV=1
+APSKLGGKGQGGPVEDESSANPHPGPQSSDDEFMEPNELMERILPPMPGPIEMKLTIAREAGKTSIGRLDTIKMLMRPFMYPQSPRRRRAYLRLAELLPEQLKDVKEPEELDMRDGCILCIASLLGTETDDMELPLLQGAFAFVLDTLPGFGANHMQTRNLTLGDSFTMTDQEPTYRTCIRLMLIDLCAAKLLTIQDAISLGTFGPLRKAFEVIKIICKTALESFKDWLGLDLQVRHDASSNTTYKGLQCLSPFTEQHAKSVKTILEELQPSLEYSDPSGEEKVEKKKKNRDNRVAEKSMGVEFCKQLRCYQCRNRTVKNIICNKDRHCTYVMNKQISRRFFGKCGECSSVGYHYGSSKDNCVFCPKYVRPPPPPSPSSPVMEESSTSQTEVSLSAMEKPLDPQGLGRFSPSLMEFPPSGRLAGPFAFPFGAGPYGSGPGLAGAAFLREKNTAM
+>sp|Q9HCJ3|RAVR2_HUMAN Ribonucleoprotein PTB-binding 2 OS=Homo sapiens OX=9606 GN=RAVER2 PE=1 SV=2
+MAAAAGDGGGEGGAGLGSAAGLGPGPGLRGQGPSAEAHEGAPDPMPAALHPEEVAARLQRMQRELSNRRKILVKNLPQDSNCQEVHDLLKDYDLKYCYVDRNKRTAFVTLLNGEQAQNAIQMFHQYSFRGKDLIVQLQPTDALLCITNVPISFTSEEFEELVRAYGNIERCFLVYSEVTGHSKGYGFVEYMKKDFAAKARLELLGRQLGASALFAQWMDVNLLASELIHSKCLCIDKLPSDYRDSEELLQIFSSVHKPVFCQLAQDEGSYVGGFAVVEYSTAEQAEEVQQAADGMTIKGSKVQVSFCAPGAPGRSTLAALIAAQRVMHSNQKGLLPEPNPVQIMKSLNNPAMLQVLLQPQLCGRAVKPAVLGTPHSLPHLMNPSISPAFLHLNKAHQSSVMGNTSNLFLQNLSHIPLAQQQLMKFENIHTNNKPGLLGEPPAVVLQTALGIGSVLPLKKELGHHHGEAHKTSSLIPTQTTITAGMGMLPFFPNQHIAGQAGPGHSNTQEKQPATVGMAEGNFSGSQPYLQSFPNLAAGSLLVGHHKQQQSQPKGTEISSGAASKNQTSLLGEPPKEIRLSKNPYLNLASVLPSVCLSSPASKTTLHKTGIASSILDAISQGSESQHALEKCIAYSPPFGDYAQVSSLRNEKRGSSYLISAPEGGSVECVDQHSQGTGAYYMETYLKKKRVY
+>DECOY_sp|Q9HCJ3|RAVR2_HUMAN Ribonucleoprotein PTB-binding 2 OS=Homo sapiens OX=9606 GN=RAVER2 PE=1 SV=2
+YVRKKKLYTEMYYAGTGQSHQDVCEVSGGEPASILYSSGRKENRLSSVQAYDGFPPSYAICKELAHQSESGQSIADLISSAIGTKHLTTKSAPSSLCVSPLVSALNLYPNKSLRIEKPPEGLLSTQNKSAAGSSIETGKPQSQQQKHHGVLLSGAALNPFSQLYPQSGSFNGEAMGVTAPQKEQTNSHGPGAQGAIHQNPFFPLMGMGATITTQTPILSSTKHAEGHHHGLEKKLPLVSGIGLATQLVVAPPEGLLGPKNNTHINEFKMLQQQALPIHSLNQLFLNSTNGMVSSQHAKNLHLFAPSISPNMLHPLSHPTGLVAPKVARGCLQPQLLVQLMAPNNLSKMIQVPNPEPLLGKQNSHMVRQAAILAALTSRGPAGPACFSVQVKSGKITMGDAAQQVEEAQEATSYEVVAFGGVYSGEDQALQCFVPKHVSSFIQLLEESDRYDSPLKDICLCKSHILESALLNVDMWQAFLASAGLQRGLLELRAKAAFDKKMYEVFGYGKSHGTVESYVLFCREINGYARVLEEFEESTFSIPVNTICLLADTPQLQVILDKGRFSYQHFMQIANQAQEGNLLTVFATRKNRDVYCYKLDYDKLLDHVEQCNSDQPLNKVLIKRRNSLERQMRQLRAAVEEPHLAAPMPDPAGEHAEASPGQGRLGPGPGLGAASGLGAGGEGGGDGAAAAM
+>sp|Q96IS3|RAX2_HUMAN Retina and anterior neural fold homeobox protein 2 OS=Homo sapiens OX=9606 GN=RAX2 PE=1 SV=1
+MFLSPGEGPATEGGGLGPGEEAPKKKHRRNRTTFTTYQLHQLERAFEASHYPDVYSREELAAKVHLPEVRVQVWFQNRRAKWRRQERLESGSGAVAAPRLPEAPALPFARPPAMSLPLEPWLGPGPPAVPGLPRLLGPGPGLQASFGPHAFAPTFADGFALEEASLRLLAKEHAQALDRAWPPA
+>DECOY_sp|Q96IS3|RAX2_HUMAN Retina and anterior neural fold homeobox protein 2 OS=Homo sapiens OX=9606 GN=RAX2 PE=1 SV=1
+APPWARDLAQAHEKALLRLSAEELAFGDAFTPAFAHPGFSAQLGPGPGLLRPLGPVAPPGPGLWPELPLSMAPPRAFPLAPAEPLRPAAVAGSGSELREQRRWKARRNQFWVQVRVEPLHVKAALEERSYVDPYHSAEFARELQHLQYTTFTTRNRRHKKKPAEEGPGLGGGETAPGEGPSLFM
+>sp|P62491|RB11A_HUMAN Ras-related protein Rab-11A OS=Homo sapiens OX=9606 GN=RAB11A PE=1 SV=3
+MGTRDDEYDYLFKVVLIGDSGVGKSNLLSRFTRNEFNLESKSTIGVEFATRSIQVDGKTIKAQIWDTAGQERYRAITSAYYRGAVGALLVYDIAKHLTYENVERWLKELRDHADSNIVIMLVGNKSDLRHLRAVPTDEARAFAEKNGLSFIETSALDSTNVEAAFQTILTEIYRIVSQKQMSDRRENDMSPSNNVVPIHVPPTTENKPKVQCCQNI
+>DECOY_sp|P62491|RB11A_HUMAN Ras-related protein Rab-11A OS=Homo sapiens OX=9606 GN=RAB11A PE=1 SV=3
+INQCCQVKPKNETTPPVHIPVVNNSPSMDNERRDSMQKQSVIRYIETLITQFAAEVNTSDLASTEIFSLGNKEAFARAEDTPVARLHRLDSKNGVLMIVINSDAHDRLEKLWREVNEYTLHKAIDYVLLAGVAGRYYASTIARYREQGATDWIQAKITKGDVQISRTAFEVGITSKSELNFENRTFRSLLNSKGVGSDGILVVKFLYDYEDDRTGM
+>sp|P51159|RB27A_HUMAN Ras-related protein Rab-27A OS=Homo sapiens OX=9606 GN=RAB27A PE=1 SV=3
+MSDGDYDYLIKFLALGDSGVGKTSVLYQYTDGKFNSKFITTVGIDFREKRVVYRASGPDGATGRGQRIHLQLWDTAGQERFRSLTTAFFRDAMGFLLLFDLTNEQSFLNVRNWISQLQMHAYCENPDIVLCGNKSDLEDQRVVKEEEAIALAEKYGIPYFETSAANGTNISQAIEMLLDLIMKRMERCVDKSWIPEGVVRSNGHASTDQLSEEKEKGACGC
+>DECOY_sp|P51159|RB27A_HUMAN Ras-related protein Rab-27A OS=Homo sapiens OX=9606 GN=RAB27A PE=1 SV=3
+CGCAGKEKEESLQDTSAHGNSRVVGEPIWSKDVCREMRKMILDLLMEIAQSINTGNAASTEFYPIGYKEALAIAEEEKVVRQDELDSKNGCLVIDPNECYAHMQLQSIWNRVNLFSQENTLDFLLLFGMADRFFATTLSRFREQGATDWLQLHIRQGRGTAGDPGSARYVVRKERFDIGVTTIFKSNFKGDTYQYLVSTKGVGSDGLALFKILYDYDGDSM
+>sp|O00194|RB27B_HUMAN Ras-related protein Rab-27B OS=Homo sapiens OX=9606 GN=RAB27B PE=1 SV=4
+MTDGDYDYLIKLLALGDSGVGKTTFLYRYTDNKFNPKFITTVGIDFREKRVVYNAQGPNGSSGKAFKVHLQLWDTAGQERFRSLTTAFFRDAMGFLLMFDLTSQQSFLNVRNWMSQLQANAYCENPDIVLIGNKADLPDQREVNERQARELADKYGIPYFETSAATGQNVEKAVETLLDLIMKRMEQCVEKTQIPDTVNGGNSGNLDGEKPPEKKCIC
+>DECOY_sp|O00194|RB27B_HUMAN Ras-related protein Rab-27B OS=Homo sapiens OX=9606 GN=RAB27B PE=1 SV=4
+CICKKEPPKEGDLNGSNGGNVTDPIQTKEVCQEMRKMILDLLTEVAKEVNQGTAASTEFYPIGYKDALERAQRENVERQDPLDAKNGILVIDPNECYANAQLQSMWNRVNLFSQQSTLDFMLLFGMADRFFATTLSRFREQGATDWLQLHVKFAKGSSGNPGQANYVVRKERFDIGVTTIFKPNFKNDTYRYLFTTKGVGSDGLALLKILYDYDGDTM
+>sp|Q9H082|RB33B_HUMAN Ras-related protein Rab-33B OS=Homo sapiens OX=9606 GN=RAB33B PE=1 SV=1
+MAEEMESSLEASFSSSGAVSGASGFLPPARSRIFKIIVIGDSNVGKTCLTYRFCAGRFPDRTEATIGVDFRERAVEIDGERIKIQLWDTAGQERFRKSMVQHYYRNVHAVVFVYDMTNMASFHSLPSWIEECKQHLLANDIPRILVGNKCDLRSAIQVPTDLAQKFADTHSMPLFETSAKNPNDNDHVEAIFMTLAHKLKSHKPLMLSQPPDNGIILKPEPKPAMTCWC
+>DECOY_sp|Q9H082|RB33B_HUMAN Ras-related protein Rab-33B OS=Homo sapiens OX=9606 GN=RAB33B PE=1 SV=1
+CWCTMAPKPEPKLIIGNDPPQSLMLPKHSKLKHALTMFIAEVHDNDNPNKASTEFLPMSHTDAFKQALDTPVQIASRLDCKNGVLIRPIDNALLHQKCEEIWSPLSHFSAMNTMDYVFVVAHVNRYYHQVMSKRFREQGATDWLQIKIREGDIEVARERFDVGITAETRDPFRGACFRYTLCTKGVNSDGIVIIKFIRSRAPPLFGSAGSVAGSSSFSAELSSEMEEAM
+>sp|Q15042|RB3GP_HUMAN Rab3 GTPase-activating protein catalytic subunit OS=Homo sapiens OX=9606 GN=RAB3GAP1 PE=1 SV=3
+MAADSEPESEVFEITDFTTASEWERFISKVEEVLNDWKLIGNSLGKPLEKGIFTSGTWEEKSDEISFADFKFSVTHHYLVQESTDKEGKDELLEDVVPQSMQDLLGMNNDFPPRAHCLVRWYGLREFVVIAPAAHSDAVLSESKCNLLLSSVSIALGNTGCQVPLFVQIHHKWRRMYVGECQGPGVRTDFEMVHLRKVPNQYTHLSGLLDIFKSKIGCPLTPLPPVSIAIRFTYVLQDWQQYFWPQQPPDIDALVGGEVGGLEFGKLPFGACEDPISELHLATTWPHLTEGIIVDNDVYSDLDPIQAPHWSVRVRKAENPQCLLGDFVTEFFKICRRKESTDEILGRSAFEEEGKETADITHALSKLTEPASVPIHKLSVSNMVHTAKKKIRKHRGVEESPLNNDVLNTILLFLFPDAVSEKPLDGTTSTDNNNPPSESEDYNLYNQFKSAPSDSLTYKLALCLCMINFYHGGLKGVAHLWQEFVLEMRFRWENNFLIPGLASGPPDLRCCLLHQKLQMLNCCIERKKARDEGKKTSASDVTNIYPGDAGKAGDQLVPDNLKETDKEKGEVGKSWDSWSDSEEEFFECLSDTEELKGNGQESGKKGGPKEMANLRPEGRLYQHGKLTLLHNGEPLYIPVTQEPAPMTEDLLEEQSEVLAKLGTSAEGAHLRARMQSACLLSDMESFKAANPGCSLEDFVRWYSPRDYIEEEVIDEKGNVVLKGELSARMKIPSNMWVEAWETAKPIPARRQRRLFDDTREAEKVLHYLAIQKPADLARHLLPCVIHAAVLKVKEEESLENISSVKKIIKQIISHSSKVLHFPNPEDKKLEEIIHQITNVEALIARARSLKAKFGTEKCEQEEEKEDLERFVSCLLEQPEVLVTGAGRGHAGRIIHKLFVNAQRAAAMTPPEEELKRMGSPEERRQNSVSDFPPPAGREFILRTTVPRPAPYSKALPQRMYSVLTKEDFRLAGAFSSDTSFF
+>DECOY_sp|Q15042|RB3GP_HUMAN Rab3 GTPase-activating protein catalytic subunit OS=Homo sapiens OX=9606 GN=RAB3GAP1 PE=1 SV=3
+FFSTDSSFAGALRFDEKTLVSYMRQPLAKSYPAPRPVTTRLIFERGAPPPFDSVSNQRREEPSGMRKLEEEPPTMAAARQANVFLKHIIRGAHGRGAGTVLVEPQELLCSVFRELDEKEEEQECKETGFKAKLSRARAILAEVNTIQHIIEELKKDEPNPFHLVKSSHSIIQKIIKKVSSINELSEEEKVKLVAAHIVCPLLHRALDAPKQIALYHLVKEAERTDDFLRRQRRAPIPKATEWAEVWMNSPIKMRASLEGKLVVNGKEDIVEEEIYDRPSYWRVFDELSCGPNAAKFSEMDSLLCASQMRARLHAGEASTGLKALVESQEELLDETMPAPEQTVPIYLPEGNHLLTLKGHQYLRGEPRLNAMEKPGGKKGSEQGNGKLEETDSLCEFFEEESDSWSDWSKGVEGKEKDTEKLNDPVLQDGAKGADGPYINTVDSASTKKGEDRAKKREICCNLMQLKQHLLCCRLDPPGSALGPILFNNEWRFRMELVFEQWLHAVGKLGGHYFNIMCLCLALKYTLSDSPASKFQNYLNYDESESPPNNNDTSTTGDLPKESVADPFLFLLITNLVDNNLPSEEVGRHKRIKKKATHVMNSVSLKHIPVSAPETLKSLAHTIDATEKGEEEFASRGLIEDTSEKRRCIKFFETVFDGLLCQPNEAKRVRVSWHPAQIPDLDSYVDNDVIIGETLHPWTTALHLESIPDECAGFPLKGFELGGVEGGVLADIDPPQQPWFYQQWDQLVYTFRIAISVPPLPTLPCGIKSKFIDLLGSLHTYQNPVKRLHVMEFDTRVGPGQCEGVYMRRWKHHIQVFLPVQCGTNGLAISVSSLLLNCKSESLVADSHAAPAIVVFERLGYWRVLCHARPPFDNNMGLLDQMSQPVVDELLEDKGEKDTSEQVLYHHTVSFKFDAFSIEDSKEEWTGSTFIGKELPKGLSNGILKWDNLVEEVKSIFREWESATTFDTIEFVESEPESDAAM
+>sp|Q9H2M9|RBGPR_HUMAN Rab3 GTPase-activating protein non-catalytic subunit OS=Homo sapiens OX=9606 GN=RAB3GAP2 PE=1 SV=1
+MACSIVQFCYFQDLQAARDFLFPHLREEILSGALRRDPSKSTDWEDDGWGAWEENEPQEPEEEGNTCKTQKTSWLQDCVLSLSPTNDLMVIAREQKAVFLVPKWKYSDKGKEEMQFAVGWSGSLNVEEGECVTSALCIPLASQKRSSTGRPDWTCIVVGFTSGYVRFYTENGVLLLAQLLNEDPVLQLKCRTYEIPRHPGVTEQNEELSILYPAAIVTIDGFSLFQSLRACRNQVAKAAASGNENIQPPPLAYKKWGLQDIDTIIDHASVGIMTLSPFDQMKTASNIGGFNAAIKNSPPAMSQYITVGSNPFTGFFYALEGSTQPLLSHVALAVASKLTSALFNAASGWLGWKSKHEEEAVQKQKPKVEPATPLAVRFGLPDSRRHGESICLSPCNTLAAVTDDFGRVILLDVARGIAIRMWKGYRDAQIGWIQTVEDLHERVPEKADFSPFGNSQGPSRVAQFLVIYAPRRGILEVWSTQQGPRVGAFNVGKHCRLLYPGYKIMGLNNVTSQSWQPQTYQICLVDPVSGSVKTVNVPFHLALSDKKSERAKDMHLVKKLAALLKTKSPNLDLVETEIKELILDIKYPATKKQALESILASERLPFSCLRNITQTLMDTLKSQELESVDEGLLQFCANKLKLLQLYESVSQLNSLDFHLDTPFSDNDLALLLRLDEKELLKLQALLEKYKQENTRTNVRFSDDKDGVLPVKTFLEYLEYEKDVLNIKKISEEEYVALGSFFFWKCLHGESSTEDMCHTLESAGLSPQLLLSLLLSVWLSKEKDILDKPQSICCLHTMLSLLSKMKVAIDETWDSQSVSPWWQQMRTACIQSENNGAALLSAHVGHSVAAQISNNMTEKKFSQTVLGADSEALTDSWEALSLDTEYWKLLLKQLEDCLILQTLLHSKGNTQTSKVSSLQAEPLPRLSVKKLLEGGKGGIADSVAKWIFKQDFSPEVLKLANEERDAENPDEPKEGVNRSFLEVSEMEMDLGAIPDLLHLAYEQFPCSLELDVLHAHCCWEYVVQWNKDPEEARFFVRSIEHLKQIFNAHVQNGIALMMWNTFLVKRFSAATYLMDKVGKSPKDRLCRRDVGMSDTAMTSFLGSCLDLLQILMEADVSRDEIQVPVLDTEDAWLSVEGPISIVELALEQKHIHYPLVEHHSILCSILYAVMRFSLKTVKPLSLFDSKGKNAFFKDLTSIQLLPSGEMDPNFISVRQQFLLKVVSAAVQAQHSATKVKDPTEEATPTPFGKDQDWPALAVDLAHHLQVSEDVVRRHYVGELYNYGVDHLGEEAILQVHDKEVLASQLLVLTGQRLAHALLHTQTKEGMELLARLPPTLCTWLKAMDPQDLQNTEVPIATTAKLVNKVIELLPEKHGQYGLALHLIEAVEAISLPSL
+>DECOY_sp|Q9H2M9|RBGPR_HUMAN Rab3 GTPase-activating protein non-catalytic subunit OS=Homo sapiens OX=9606 GN=RAB3GAP2 PE=1 SV=1
+LSPLSIAEVAEILHLALGYQGHKEPLLEIVKNVLKATTAIPVETNQLDQPDMAKLWTCLTPPLRALLEMGEKTQTHLLAHALRQGTLVLLQSALVEKDHVQLIAEEGLHDVGYNYLEGVYHRRVVDESVQLHHALDVALAPWDQDKGFPTPTAEETPDKVKTASHQAQVAASVVKLLFQQRVSIFNPDMEGSPLLQISTLDKFFANKGKSDFLSLPKVTKLSFRMVAYLISCLISHHEVLPYHIHKQELALEVISIPGEVSLWADETDLVPVQIEDRSVDAEMLIQLLDLCSGLFSTMATDSMGVDRRCLRDKPSKGVKDMLYTAASFRKVLFTNWMMLAIGNQVHANFIQKLHEISRVFFRAEEPDKNWQVVYEWCCHAHLVDLELSCPFQEYALHLLDPIAGLDMEMESVELFSRNVGEKPEDPNEADREENALKLVEPSFDQKFIWKAVSDAIGGKGGELLKKVSLRPLPEAQLSSVKSTQTNGKSHLLTQLILCDELQKLLLKWYETDLSLAEWSDTLAESDAGLVTQSFKKETMNNSIQAAVSHGVHASLLAAGNNESQICATRMQQWWPSVSQSDWTEDIAVKMKSLLSLMTHLCCISQPKDLIDKEKSLWVSLLLSLLLQPSLGASELTHCMDETSSEGHLCKWFFFSGLAVYEEESIKKINLVDKEYELYELFTKVPLVGDKDDSFRVNTRTNEQKYKELLAQLKLLEKEDLRLLLALDNDSFPTDLHFDLSNLQSVSEYLQLLKLKNACFQLLGEDVSELEQSKLTDMLTQTINRLCSFPLRESALISELAQKKTAPYKIDLILEKIETEVLDLNPSKTKLLAALKKVLHMDKARESKKDSLALHFPVNVTKVSGSVPDVLCIQYTQPQWSQSTVNNLGMIKYGPYLLRCHKGVNFAGVRPGQQTSWVELIGRRPAYIVLFQAVRSPGQSNGFPSFDAKEPVREHLDEVTQIWGIQADRYGKWMRIAIGRAVDLLIVRGFDDTVAALTNCPSLCISEGHRRSDPLGFRVALPTAPEVKPKQKQVAEEEHKSKWGLWGSAANFLASTLKSAVALAVHSLLPQTSGELAYFFGTFPNSGVTIYQSMAPPSNKIAANFGGINSATKMQDFPSLTMIGVSAHDIITDIDQLGWKKYALPPPQINENGSAAAKAVQNRCARLSQFLSFGDITVIAAPYLISLEENQETVGPHRPIEYTRCKLQLVPDENLLQALLLVGNETYFRVYGSTFGVVICTWDPRGTSSRKQSALPICLASTVCEGEEVNLSGSWGVAFQMEEKGKDSYKWKPVLFVAKQERAIVMLDNTPSLSLVCDQLWSTKQTKCTNGEEEPEQPENEEWAGWGDDEWDTSKSPDRRLAGSLIEERLHPFLFDRAAQLDQFYCFQVISCAM
+>sp|Q9NTZ6|RBM12_HUMAN RNA-binding protein 12 OS=Homo sapiens OX=9606 GN=RBM12 PE=1 SV=1
+MAVVIRLQGLPIVAGTMDIRHFFSGLTIPDGGVHIVGGELGEAFIVFATDEDARLGMMRTGGTIKGSKVTLLLSSKTEMQNMIELSRRRFETANLDIPPANASRSGPPPSSGMSSRVNLPTTVSNFNNPSPSVVTATTSVHESNKNIQTFSTASVGTAPPNMGASFGSPTFSSTVPSTASPMNTVPPPPIPPIPAMPSLPPMPSIPPIPVPPPVPTLPPVPPVPPIPPVPSVPPMTPLPPMSGMPPLNPPPVAPLPAGMNGSGAPMNLNNNLNPMFLGPLNPVNPIQMNSQSSVKPLPINPDDLYVSVHGMPFSAMENDVRDFFHGLRVDAVHLLKDHVGRNNGNGLVKFLSPQDTFEALKRNRMLMIQRYVEVSPATERQWVAAGGHITFKQNMGPSGQTHPPPQTLPRSKSPSGQKRSRSRSPHEAGFCVYLKGLPFEAENKHVIDFFKKLDIVEDSIYIAYGPNGKATGEGFVEFRNEADYKAALCRHKQYMGNRFIQVHPITKKGMLEKIDMIRKRLQNFSYDQREMILNPEGDVNSAKVCAHITNIPFSITKMDVLQFLEGIPVDENAVHVLVDNNGQGLGQALVQFKNEDDARKSERLHRKKLNGREAFVHVVTLEDMREIEKNPPAQGKKGLKMPVPGNPAVPGMPNAGLPGVGLPSAGLPGAGLPSTGLPGSAITSAGLPGAGMPSAGIPSAGGEEHAFLTVGSKEANNGPPFNFPGNFGGSNAFGPPIPPPGLGGGAFGDARPGMPSVGNSGLPGLGLDVPGFGGGPNNLSGPSGFGGGPQNFGNGPGSLGGPPGFGSGPPGLGSAPGHLGGPPAFGPGPGPGPGPGPIHIGGPPGFASSSGKPGPTVIKVQNMPFTVSIDEILDFFYGYQVIPGSVCLKYNEKGMPTGEAMVAFESRDEATAAVIDLNDRPIGSRKVKLVLG
+>DECOY_sp|Q9NTZ6|RBM12_HUMAN RNA-binding protein 12 OS=Homo sapiens OX=9606 GN=RBM12 PE=1 SV=1
+GLVLKVKRSGIPRDNLDIVAATAEDRSEFAVMAEGTPMGKENYKLCVSGPIVQYGYFFDLIEDISVTFPMNQVKIVTPGPKGSSSAFGPPGGIHIPGPGPGPGPGPGFAPPGGLHGPASGLGPPGSGFGPPGGLSGPGNGFNQPGGGFGSPGSLNNPGGGFGPVDLGLGPLGSNGVSPMGPRADGFAGGGLGPPPIPPGFANSGGFNGPFNFPPGNNAEKSGVTLFAHEEGGASPIGASPMGAGPLGASTIASGPLGTSPLGAGPLGASPLGVGPLGANPMGPVAPNGPVPMKLGKKGQAPPNKEIERMDELTVVHVFAERGNLKKRHLRESKRADDENKFQVLAQGLGQGNNDVLVHVANEDVPIGELFQLVDMKTISFPINTIHACVKASNVDGEPNLIMERQDYSFNQLRKRIMDIKELMGKKTIPHVQIFRNGMYQKHRCLAAKYDAENRFEVFGEGTAKGNPGYAIYISDEVIDLKKFFDIVHKNEAEFPLGKLYVCFGAEHPSRSRSRKQGSPSKSRPLTQPPPHTQGSPGMNQKFTIHGGAAVWQRETAPSVEVYRQIMLMRNRKLAEFTDQPSLFKVLGNGNNRGVHDKLLHVADVRLGHFFDRVDNEMASFPMGHVSVYLDDPNIPLPKVSSQSNMQIPNVPNLPGLFMPNLNNNLNMPAGSGNMGAPLPAVPPPNLPPMGSMPPLPTMPPVSPVPPIPPVPPVPPLTPVPPPVPIPPISPMPPLSPMAPIPPIPPPPVTNMPSATSPVTSSFTPSGFSAGMNPPATGVSATSFTQINKNSEHVSTTATVVSPSPNNFNSVTTPLNVRSSMGSSPPPGSRSANAPPIDLNATEFRRRSLEIMNQMETKSSLLLTVKSGKITGGTRMMGLRADEDTAFVIFAEGLEGGVIHVGGDPITLGSFFHRIDMTGAVIPLGQLRIVVAM
+>sp|Q5T481|RBM20_HUMAN RNA-binding protein 20 OS=Homo sapiens OX=9606 GN=RBM20 PE=1 SV=3
+MVLAAAMSQDADPSGPEQPDRVACSVPGARASPAPSGPRGMQQPPPPPQPPPPPQAGLPQIIQNAAKLLDKNPFSVSNPNPLLPSPASLQLAQLQAQLTLHRLKLAQTAVTNNTAAATVLNQVLSKVAMSQPLFNQLRHPSVITGPHGHAGVPQHAAAIPSTRFPSNAIAFSPPSQTRGPGPSMNLPNQPPSAMVMHPFTGVMPQTPGQPAVILGIGKTGPAPATAGFYEYGKASSGQTYGPETDGQPGFLPSSASTSGSVTYEGHYSHTGQDGQAAFSKDFYGPNSQGSHVASGFPAEQAGGLKSEVGPLLQGTNSQWESPHGFSGQSKPDLTAGPMWPPPHNQPYELYDPEEPTSDRTPPSFGGRLNNSKQGFIGAGRRAKEDQALLSVRPLQAHELNDFHGVAPLHLPHICSICDKKVFDLKDWELHVKGKLHAQKCLVFSENAGIRCILGSAEGTLCASPNSTAVYNPAGNEDYASNLGTSYVPIPARSFTQSSPTFPLASVGTTFAQRKGAGRVVHICNLPEGSCTENDVINLGLPFGKVTNYILMKSTNQAFLEMAYTEAAQAMVQYYQEKSAVINGEKLLIRMSKRYKELQLKKPGKAVAAIIQDIHSQRERDMFREADRYGPERPRSRSPVSRSLSPRSHTPSFTSCSSSHSPPGPSRADWGNGRDSWEHSPYARREEERDPAPWRDNGDDKRDRMDPWAHDRKHHPRQLDKAELDERPEGGRPHREKYPRSGSPNLPHSVSSYKSREDGYYRKEPKAKWDKYLKQQQDAPGRSRRKDEARLRESRHPHPDDSGKEDGLGPKVTRAPEGAKAKQNEKNKTKRTDRDQEGADDRKENTMAENEAGKEEQEGMEESPQSVGRQEKEAEFSDPENTRTKKEQDWESESEAEGESWYPTNMEELVTVDEVGEEEDFIVEPDIPELEEIVPIDQKDKICPETCLCVTTTLDLDLAQDFPKEGVKAVGNGAAEISLKSPRELPSASTSCPSDMDVEMPGLNLDAERKPAESETGLSLEDSDCYEKEAKGVESSDVHPAPTVQQMSSPKPAEERARQPSPFVDDCKTRGTPEDGACEGSPLEEKASPPIETDLQNQACQEVLTPENSRYVEMKSLEVRSPEYTEVELKQPLSLPSWEPEDVFSELSIPLGVEFVVPRTGFYCKLCGLFYTSEETAKMSHCRSAVHYRNLQKYLSQLAEEGLKETEGADSPRPEDSGIVPRFERKKL
+>DECOY_sp|Q5T481|RBM20_HUMAN RNA-binding protein 20 OS=Homo sapiens OX=9606 GN=RBM20 PE=1 SV=3
+LKKREFRPVIGSDEPRPSDAGETEKLGEEALQSLYKQLNRYHVASRCHSMKATEESTYFLGCLKCYFGTRPVVFEVGLPISLESFVDEPEWSPLSLPQKLEVETYEPSRVELSKMEVYRSNEPTLVEQCAQNQLDTEIPPSAKEELPSGECAGDEPTGRTKCDDVFPSPQRAREEAPKPSSMQQVTPAPHVDSSEVGKAEKEYCDSDELSLGTESEAPKREADLNLGPMEVDMDSPCSTSASPLERPSKLSIEAAGNGVAKVGEKPFDQALDLDLTTTVCLCTEPCIKDKQDIPVIEELEPIDPEVIFDEEEGVEDVTVLEEMNTPYWSEGEAESESEWDQEKKTRTNEPDSFEAEKEQRGVSQPSEEMGEQEEKGAENEAMTNEKRDDAGEQDRDTRKTKNKENQKAKAGEPARTVKPGLGDEKGSDDPHPHRSERLRAEDKRRSRGPADQQQKLYKDWKAKPEKRYYGDERSKYSSVSHPLNPSGSRPYKERHPRGGEPREDLEAKDLQRPHHKRDHAWPDMRDRKDDGNDRWPAPDREEERRAYPSHEWSDRGNGWDARSPGPPSHSSSCSTFSPTHSRPSLSRSVPSRSRPREPGYRDAERFMDRERQSHIDQIIAAVAKGPKKLQLEKYRKSMRILLKEGNIVASKEQYYQVMAQAAETYAMELFAQNTSKMLIYNTVKGFPLGLNIVDNETCSGEPLNCIHVVRGAGKRQAFTTGVSALPFTPSSQTFSRAPIPVYSTGLNSAYDENGAPNYVATSNPSACLTGEASGLICRIGANESFVLCKQAHLKGKVHLEWDKLDFVKKDCISCIHPLHLPAVGHFDNLEHAQLPRVSLLAQDEKARRGAGIFGQKSNNLRGGFSPPTRDSTPEEPDYLEYPQNHPPPWMPGATLDPKSQGSFGHPSEWQSNTGQLLPGVESKLGGAQEAPFGSAVHSGQSNPGYFDKSFAAQGDQGTHSYHGEYTVSGSTSASSPLFGPQGDTEPGYTQGSSAKGYEYFGATAPAPGTKGIGLIVAPQGPTQPMVGTFPHMVMASPPQNPLNMSPGPGRTQSPPSFAIANSPFRTSPIAAAHQPVGAHGHPGTIVSPHRLQNFLPQSMAVKSLVQNLVTAAATNNTVATQALKLRHLTLQAQLQALQLSAPSPLLPNPNSVSFPNKDLLKAANQIIQPLGAQPPPPPQPPPPPQQMGRPGSPAPSARAGPVSCAVRDPQEPGSPDADQSMAAALVM
+>sp|Q86U06|RBM23_HUMAN Probable RNA-binding protein 23 OS=Homo sapiens OX=9606 GN=RBM23 PE=1 SV=1
+MASDDFDIVIEAMLEAPYKKEEDEQQRKEVKKDYPSNTTSSTSNSGNETSGSSTIGETSKKKRSRSHNKSRDRKRSRSRDRDRYRRRNSRSRSPGRQCRHRSRSWDRRHGSESRSRDHRREDRVHYRSPPLATGYRYGHSKSPHFREKSPVREPVDNLSPEERDARTVFCMQLAARIRPRDLEDFFSAVGKVRDVRIISDRNSRRSKGIAYVEFCEIQSVPLAIGLTGQRLLGVPIIVQASQAEKNRLAAMANNLQKGNGGPMRLYVGSLHFNITEDMLRGIFEPFGKIDNIVLMKDSDTGRSKGYGFITFSDSECARRALEQLNGFELAGRPMRVGHVTERLDGGTDITFPDGDQELDLGSAGGRFQLMAKLAEGAGIQLPSTAAAAAAAAAQAAALQLNGAVPLGALNPAALTALSPALNLASQCFQLSSLFTPQTM
+>DECOY_sp|Q86U06|RBM23_HUMAN Probable RNA-binding protein 23 OS=Homo sapiens OX=9606 GN=RBM23 PE=1 SV=1
+MTQPTFLSSLQFCQSALNLAPSLATLAAPNLAGLPVAGNLQLAAAQAAAAAAAAATSPLQIGAGEALKAMLQFRGGASGLDLEQDGDPFTIDTGGDLRETVHGVRMPRGALEFGNLQELARRACESDSFTIFGYGKSRGTDSDKMLVINDIKGFPEFIGRLMDETINFHLSGVYLRMPGGNGKQLNNAMAALRNKEAQSAQVIIPVGLLRQGTLGIALPVSQIECFEVYAIGKSRRSNRDSIIRVDRVKGVASFFDELDRPRIRAALQMCFVTRADREEPSLNDVPERVPSKERFHPSKSHGYRYGTALPPSRYHVRDERRHDRSRSESGHRRDWSRSRHRCQRGPSRSRSNRRRYRDRDRSRSRKRDRSKNHSRSRKKKSTEGITSSGSTENGSNSTSSTTNSPYDKKVEKRQQEDEEKKYPAELMAEIVIDFDDSAM
+>sp|Q5T8P6|RBM26_HUMAN RNA-binding protein 26 OS=Homo sapiens OX=9606 GN=RBM26 PE=1 SV=3
+MVSKMIIENFEALKSWLSKTLEPICDADPSALAKYVLALVKKDKSEKELKALCIDQLDVFLQKETQIFVEKLFDAVNTKSYLPPPEQPSSGSLKVEFFPHQEKDIKKEEITKEEEREKKFSRRLNHSPPQSSSRYRENRSRDERKKDDRSRKRDYDRNPPRRDSYRDRYNRRRGRSRSYSRSRSRSWSKERLRERDRDRSRTRSRSRTRSRERDLVKPKYDLDRTDPLENNYTPVSSVPSISSGHYPVPTLSSTITVIAPTHHGNNTTESWSEFHEDQVDHNSYVRPPMPKKRCRDYDEKGFCMRGDMCPFDHGSDPVVVEDVNLPGMLPFPAQPPVVEGPPPPGLPPPPPILTPPPVNLRPPVPPPGPLPPSLPPVTGPPPPLPPLQPSGMDAPPNSATSSVPTVVTTGIHHQPPPAPPSLFTADTYDTDGYNPEAPSITNTSRPMYRHRVHAQRPNLIGLTSGDMDLPPREKPPNKSSMRIVVDSESRKRTIGSGEPGVPTKKTWFDKPNFNRTNSPGFQKKVQFGNENTKLELRKVPPELNNISKLNEHFSRFGTLVNLQVAYNGDPEGALIQFATYEEAKKAISSTEAVLNNRFIKVYWHREGSTQQLQTTSPKVMQPLVQQPILPVVKQSVKERLGPVPSSTIEPAEAQSASSDLPQNVTKLSVKDRLGFVSKPSVSATEKVLSTSTGLTKTVYNPAALKAAQKTLLVSTSAVDNNEAQKKKQEALKLQQDVRKRKQEILEKHIETQKMLISKLEKNKTMKSEDKAEIMKTLEVLTKNITKLKDEVKAASPGRCLPKSIKTKTQMQKELLDTELDLYKKMQAGEEVTELRRKYTELQLEAAKRGILSSGRGRGIHSRGRGAVHGRGRGRGRGRGVPGHAVVDHRPRALEISAFTESDREDLLPHFAQYGEIEDCQIDDSSLHAVITFKTRAEAEAAAVHGARFKGQDLKLAWNKPVTNISAVETEEVEPDEEEFQEESLVDDSLLQDDDEEEEDNESRSWRR
+>DECOY_sp|Q5T8P6|RBM26_HUMAN RNA-binding protein 26 OS=Homo sapiens OX=9606 GN=RBM26 PE=1 SV=3
+RRWSRSENDEEEEDDDQLLSDDVLSEEQFEEEDPEVEETEVASINTVPKNWALKLDQGKFRAGHVAAAEAEARTKFTIVAHLSSDDIQCDEIEGYQAFHPLLDERDSETFASIELARPRHDVVAHGPVGRGRGRGRGRGHVAGRGRSHIGRGRGSSLIGRKAAELQLETYKRRLETVEEGAQMKKYLDLETDLLEKQMQTKTKISKPLCRGPSAAKVEDKLKTINKTLVELTKMIEAKDESKMTKNKELKSILMKQTEIHKELIEQKRKRVDQQLKLAEQKKKQAENNDVASTSVLLTKQAAKLAAPNYVTKTLGTSTSLVKETASVSPKSVFGLRDKVSLKTVNQPLDSSASQAEAPEITSSPVPGLREKVSQKVVPLIPQQVLPQMVKPSTTQLQQTSGERHWYVKIFRNNLVAETSSIAKKAEEYTAFQILAGEPDGNYAVQLNVLTGFRSFHENLKSINNLEPPVKRLELKTNENGFQVKKQFGPSNTRNFNPKDFWTKKTPVGPEGSGITRKRSESDVVIRMSSKNPPKERPPLDMDGSTLGILNPRQAHVRHRYMPRSTNTISPAEPNYGDTDYTDATFLSPPAPPPQHHIGTTVVTPVSSTASNPPADMGSPQLPPLPPPPGTVPPLSPPLPGPPPVPPRLNVPPPTLIPPPPPLGPPPPGEVVPPQAPFPLMGPLNVDEVVVPDSGHDFPCMDGRMCFGKEDYDRCRKKPMPPRVYSNHDVQDEHFESWSETTNNGHHTPAIVTITSSLTPVPYHGSSISPVSSVPTYNNELPDTRDLDYKPKVLDRERSRTRSRSRTRSRDRDRERLREKSWSRSRSRSYSRSRGRRRNYRDRYSDRRPPNRDYDRKRSRDDKKREDRSRNERYRSSSQPPSHNLRRSFKKEREEEKTIEEKKIDKEQHPFFEVKLSGSSPQEPPPLYSKTNVADFLKEVFIQTEKQLFVDLQDICLAKLEKESKDKKVLALVYKALASPDADCIPELTKSLWSKLAEFNEIIMKSVM
+>sp|Q96EV2|RBM33_HUMAN RNA-binding protein 33 OS=Homo sapiens OX=9606 GN=RBM33 PE=1 SV=3
+MAAALGASGGAGAGDDDFDQFDKPGAERSWRRRAADEDWDSELEDDLLGEDLLSGKKNQSDLSDEELNDDLLQSDNEDEENFSSQGVTISLNATSGMVTSFELSDNTNDQSGEQESEYEQEQGEDELVYHKSDGSELYTQEYPEEGQYEGHEAELTEDQIEYVEEPEEEQLYTDEVLDIEINEPLDEFTGGMETLELQKDIKEESDEEEEDDEESGRLRFKTERKEGTIIRLSDVTRERRNIPETLELSAEAKAALLEFEERERQHKQGRYSSRRGGRRGGPLMCRGVGDQRRESTERGRMKDHRPALLPTQPPVVPQAPPPPPPPPQQQPIRSLFQPQPLQPLLPVQHPHHPSPPQGMHMPPQLETPRMMMTPPPVTPQQPKNIHINPHFKGTVVTPVQVPLLPVPSQPRPAVGPQRFPGPPEFPQHTPGPVPNSFSQPPRLPLQDQWRAPPPPQDRDPFFLGVSGEPRFPSHLFLEQRSPPPPPPPPTLLNSSHPVPTQSPLPFTQPGPAFNQQGQQPVFPRERPVRPALQPPGPVGILHFSQPGSATTRPFIPPRQPFLPGPGQPFLPTHTQPNLQGPLHPPLPPPHQPQPQQPQQQPPPQHQPPHQPPHQPPPQHQPPPQHPPQHPPQHQHHHHHHHLSVPPPPLMPMSQPQFRPHVQTAQPQASSSRMQCPQRQGLRHNTTSQNVSKRPMQQMQPTAPRNSNLRELPIAPSHVIEMSSSRCSATPSAQVKPIVSASPPSRAVAGSRSSQGKTEVKVKPASPVAQPKEEAKTETEFPDEDEETRLYRLKIEEQKRLREEILKQKELRRQQQAGARKKELLERLAQQQQQLYAPPPPAEQEEQALSPSPTNGNPLLPFPGAQVRQNVKNRLLVKNQDVSISNVQPKTSNFVPSSANMQYQGQQMKALKHLRQTRTVPQSQTQPLHKVLPIKPADVEEPAVPQTPRVASIQGRPQDTKPGVKRTVTHRTNSGGGDGPHISSKVRVIKLSGGGGESDGFFHPEGQPQRLPQPPEVGPQPARKVTLTRGGLQQPPHLPAGPHAHSPVPPGIKSIQGIHPAKKAIMHGRGRGVAGPMGRGRLMPNKQNLRVVECKPQPCVVSVEGLSSSTTDAQLKSLLMSVGPIQSLQMLPQQRKAIAKFKEPAHALAFQQKFHRHMIDLSHINVALIVE
+>DECOY_sp|Q96EV2|RBM33_HUMAN RNA-binding protein 33 OS=Homo sapiens OX=9606 GN=RBM33 PE=1 SV=3
+EVILAVNIHSLDIMHRHFKQQFALAHAPEKFKAIAKRQQPLMQLSQIPGVSMLLSKLQADTTSSSLGEVSVVCPQPKCEVVRLNQKNPMLRGRGMPGAVGRGRGHMIAKKAPHIGQISKIGPPVPSHAHPGAPLHPPQQLGGRTLTVKRAPQPGVEPPQPLRQPQGEPHFFGDSEGGGGSLKIVRVKSSIHPGDGGGSNTRHTVTRKVGPKTDQPRGQISAVRPTQPVAPEEVDAPKIPLVKHLPQTQSQPVTRTQRLHKLAKMQQGQYQMNASSPVFNSTKPQVNSISVDQNKVLLRNKVNQRVQAGPFPLLPNGNTPSPSLAQEEQEAPPPPAYLQQQQQALRELLEKKRAGAQQQRRLEKQKLIEERLRKQEEIKLRYLRTEEDEDPFETETKAEEKPQAVPSAPKVKVETKGQSSRSGAVARSPPSASVIPKVQASPTASCRSSSMEIVHSPAIPLERLNSNRPATPQMQQMPRKSVNQSTTNHRLGQRQPCQMRSSSAQPQATQVHPRFQPQSMPMLPPPPVSLHHHHHHHQHQPPHQPPHQPPPQHQPPPQHPPQHPPQHQPPPQQQPQQPQPQHPPPLPPHLPGQLNPQTHTPLFPQGPGPLFPQRPPIFPRTTASGPQSFHLIGVPGPPQLAPRVPRERPFVPQQGQQNFAPGPQTFPLPSQTPVPHSSNLLTPPPPPPPPSRQELFLHSPFRPEGSVGLFFPDRDQPPPPARWQDQLPLRPPQSFSNPVPGPTHQPFEPPGPFRQPGVAPRPQSPVPLLPVQVPTVVTGKFHPNIHINKPQQPTVPPPTMMMRPTELQPPMHMGQPPSPHHPHQVPLLPQLPQPQFLSRIPQQQPPPPPPPPAQPVVPPQTPLLAPRHDKMRGRETSERRQDGVGRCMLPGGRRGGRRSSYRGQKHQREREEFELLAAKAEASLELTEPINRRERTVDSLRIITGEKRETKFRLRGSEEDDEEEEDSEEKIDKQLELTEMGGTFEDLPENIEIDLVEDTYLQEEEPEEVYEIQDETLEAEHGEYQGEEPYEQTYLESGDSKHYVLEDEGQEQEYESEQEGSQDNTNDSLEFSTVMGSTANLSITVGQSSFNEEDENDSQLLDDNLEEDSLDSQNKKGSLLDEGLLDDELESDWDEDAARRRWSREAGPKDFQDFDDDGAGAGGSAGLAAAM
+>sp|Q9H0Z9|RBM38_HUMAN RNA-binding protein 38 OS=Homo sapiens OX=9606 GN=RBM38 PE=1 SV=2
+MLLQPAPCAPSAGFPRPLAAPGAMHGSQKDTTFTKIFVGGLPYHTTDASLRKYFEGFGDIEEAVVITDRQTGKSRGYGFVTMADRAAAERACKDPNPIIDGRKANVNLAYLGAKPRSLQTGFAIGVQQLHPTLIQRTYGLTPHYIYPPAIVQPSVVIPAAPVPSLSSPYIEYTPASPAYAQYPPATYDQYPYAASPATAASFVGYSYPAAVPQALSAAAPAGTTFVQYQAPQLQPDRMQ
+>DECOY_sp|Q9H0Z9|RBM38_HUMAN RNA-binding protein 38 OS=Homo sapiens OX=9606 GN=RBM38 PE=1 SV=2
+QMRDPQLQPAQYQVFTTGAPAAASLAQPVAAPYSYGVFSAATAPSAAYPYQDYTAPPYQAYAPSAPTYEIYPSSLSPVPAAPIVVSPQVIAPPYIYHPTLGYTRQILTPHLQQVGIAFGTQLSRPKAGLYALNVNAKRGDIIPNPDKCAREAAARDAMTVFGYGRSKGTQRDTIVVAEEIDGFGEFYKRLSADTTHYPLGGVFIKTFTTDKQSGHMAGPAALPRPFGASPACPAPQLLM
+>sp|Q14498|RBM39_HUMAN RNA-binding protein 39 OS=Homo sapiens OX=9606 GN=RBM39 PE=1 SV=2
+MADDIDIEAMLEAPYKKDENKLSSANGHEERSKKRKKSKSRSRSHERKRSKSKERKRSRDRERKKSKSRERKRSRSKERRRSRSRSRDRRFRGRYRSPYSGPKFNSAIRGKIGLPHSIKLSRRRSRSKSPFRKDKSPVREPIDNLTPEERDARTVFCMQLAARIRPRDLEEFFSTVGKVRDVRMISDRNSRRSKGIAYVEFVDVSSVPLAIGLTGQRVLGVPIIVQASQAEKNRAAAMANNLQKGSAGPMRLYVGSLHFNITEDMLRGIFEPFGRIESIQLMMDSETGRSKGYGFITFSDSECAKKALEQLNGFELAGRPMKVGHVTERTDASSASSFLDSDELERTGIDLGTTGRLQLMARLAEGTGLQIPPAAQQALQMSGSLAFGAVAEFSFVIDLQTRLSQQTEASALAAAASVQPLATQCFQLSNMFNPQTEEEVGWDTEIKDDVIEECNKHGGVIHIYVDKNSAQGNVYVKCPSIAAAIAAVNALHGRWFAGKMITAAYVPLPTYHNLFPDSMTATQLLVPSRR
+>DECOY_sp|Q14498|RBM39_HUMAN RNA-binding protein 39 OS=Homo sapiens OX=9606 GN=RBM39 PE=1 SV=2
+RRSPVLLQTATMSDPFLNHYTPLPVYAATIMKGAFWRGHLANVAAIAAAISPCKVYVNGQASNKDVYIHIVGGHKNCEEIVDDKIETDWGVEEETQPNFMNSLQFCQTALPQVSAAAALASAETQQSLRTQLDIVFSFEAVAGFALSGSMQLAQQAAPPIQLGTGEALRAMLQLRGTTGLDIGTRELEDSDLFSSASSADTRETVHGVKMPRGALEFGNLQELAKKACESDSFTIFGYGKSRGTESDMMLQISEIRGFPEFIGRLMDETINFHLSGVYLRMPGASGKQLNNAMAAARNKEAQSAQVIIPVGLVRQGTLGIALPVSSVDVFEVYAIGKSRRSNRDSIMRVDRVKGVTSFFEELDRPRIRAALQMCFVTRADREEPTLNDIPERVPSKDKRFPSKSRSRRRSLKISHPLGIKGRIASNFKPGSYPSRYRGRFRRDRSRSRSRRREKSRSRKRERSKSKKRERDRSRKREKSKSRKREHSRSRSKSKKRKKSREEHGNASSLKNEDKKYPAELMAEIDIDDAM
+>sp|Q8TBY0|RBM46_HUMAN Probable RNA-binding protein 46 OS=Homo sapiens OX=9606 GN=RBM46 PE=2 SV=1
+MNEENIDGTNGCSKVRTGIQNEAALLALMEKTGYNMVQENGQRKFGGPPPGWEGPPPPRGCEVFVGKIPRDMYEDELVPVFERAGKIYEFRLMMEFSGENRGYAFVMYTTKEEAQLAIRILNNYEIRPGKFIGVCVSLDNCRLFIGAIPKEKKKEEILDEMKKVTEGVVDVIVYPSATDKTKNRGFAFVEYESHRAAAMARRKLIPGTFQLWGHTIQVDWADPEKEVDEETMQRVKVLYVRNLMISTTEETIKAEFNKFKPGAVERVKKLRDYAFVHFFNREDAVAAMSVMNGKCIDGASIEVTLAKPVNKENTWRQHLNGQISPNSENLIVFANKEESHPKTLGKLPTLPARLNGQHSPSPPEVERCTYPFYPGTKLTPISMYSLKSNHFNSAVMHLDYYCNKNNWAPPEYYLYSTTSQDGKVLLVYKIVIPAIANGSQSYFMPDKLCTTLEDAKELAAQFTLLHLDYNFHRSSINSLSPVSATLSSGTPSVLPYTSRPYSYPGYPLSPTISLANGSHVGQRLCISNQASFF
+>DECOY_sp|Q8TBY0|RBM46_HUMAN Probable RNA-binding protein 46 OS=Homo sapiens OX=9606 GN=RBM46 PE=2 SV=1
+FFSAQNSICLRQGVHSGNALSITPSLPYGPYSYPRSTYPLVSPTGSSLTASVPSLSNISSRHFNYDLHLLTFQAALEKADELTTCLKDPMFYSQSGNAIAPIVIKYVLLVKGDQSTTSYLYYEPPAWNNKNCYYDLHMVASNFHNSKLSYMSIPTLKTGPYFPYTCREVEPPSPSHQGNLRAPLTPLKGLTKPHSEEKNAFVILNESNPSIQGNLHQRWTNEKNVPKALTVEISAGDICKGNMVSMAAVADERNFFHVFAYDRLKKVREVAGPKFKNFEAKITEETTSIMLNRVYLVKVRQMTEEDVEKEPDAWDVQITHGWLQFTGPILKRRAMAAARHSEYEVFAFGRNKTKDTASPYVIVDVVGETVKKMEDLIEEKKKEKPIAGIFLRCNDLSVCVGIFKGPRIEYNNLIRIALQAEEKTTYMVFAYGRNEGSFEMMLRFEYIKGAREFVPVLEDEYMDRPIKGVFVECGRPPPPGEWGPPPGGFKRQGNEQVMNYGTKEMLALLAAENQIGTRVKSCGNTGDINEENM
+>sp|A0AV96|RBM47_HUMAN RNA-binding protein 47 OS=Homo sapiens OX=9606 GN=RBM47 PE=1 SV=2
+MTAEDSTAAMSSDSAAGSSAKVPEGVAGAPNEAALLALMERTGYSMVQENGQRKYGGPPPGWEGPHPQRGCEVFVGKIPRDVYEDELVPVFEAVGRIYELRLMMDFDGKNRGYAFVMYCHKHEAKRAVRELNNYEIRPGRLLGVCCSVDNCRLFIGGIPKMKKREEILEEIAKVTEGVLDVIVYASAADKMKNRGFAFVEYESHRAAAMARRKLMPGRIQLWGHQIAVDWAEPEIDVDEDVMETVKILYVRNLMIETTEDTIKKSFGQFNPGCVERVKKIRDYAFVHFTSREDAVHAMNNLNGTELEGSCLEVTLAKPVDKEQYSRYQKAARGGGAAEAAQQPSYVYSCDPYTLAYYGYPYNALIGPNRDYFVKAGSIRGRGRGAAGNRAPGPRGSYLGGYSAGRGIYSRYHEGKGKQQEKGYELVPNLEIPTVNPVAIKPGTVAIPAIGAQYSMFPAAPAPKMIEDGKIHTVEHMISPIAVQPDPASAAAAAAAAAAAAAAVIPTVSTPPPFQGRPITPVYTVAPNVQRIPTAGIYGASYVPFAAPATATIATLQKNAAAAAAMYGGYAGYIPQAFPAAAIQVPIPDVYQTY
+>DECOY_sp|A0AV96|RBM47_HUMAN RNA-binding protein 47 OS=Homo sapiens OX=9606 GN=RBM47 PE=1 SV=2
+YTQYVDPIPVQIAAAPFAQPIYGAYGGYMAAAAAANKQLTAITATAPAAFPVYSAGYIGATPIRQVNPAVTYVPTIPRGQFPPPTSVTPIVAAAAAAAAAAAAAASAPDPQVAIPSIMHEVTHIKGDEIMKPAPAAPFMSYQAGIAPIAVTGPKIAVPNVTPIELNPVLEYGKEQQKGKGEHYRSYIGRGASYGGLYSGRPGPARNGAAGRGRGRISGAKVFYDRNPGILANYPYGYYALTYPDCSYVYSPQQAAEAAGGGRAAKQYRSYQEKDVPKALTVELCSGELETGNLNNMAHVADERSTFHVFAYDRIKKVREVCGPNFQGFSKKITDETTEIMLNRVYLIKVTEMVDEDVDIEPEAWDVAIQHGWLQIRGPMLKRRAMAAARHSEYEVFAFGRNKMKDAASAYVIVDLVGETVKAIEELIEERKKMKPIGGIFLRCNDVSCCVGLLRGPRIEYNNLERVARKAEHKHCYMVFAYGRNKGDFDMMLRLEYIRGVAEFVPVLEDEYVDRPIKGVFVECGRQPHPGEWGPPPGGYKRQGNEQVMSYGTREMLALLAAENPAGAVGEPVKASSGAASDSSMAATSDEATM
+>sp|P29558|RBMS1_HUMAN RNA-binding motif, single-stranded-interacting protein 1 OS=Homo sapiens OX=9606 GN=RBMS1 PE=1 SV=3
+MGKVWKQQMYPQYATYYYPQYLQAKQSLVPAHPMAPPSPSTTSSNNNSSSSSNSGWDQLSKTNLYIRGLPPHTTDQDLVKLCQPYGKIVSTKAILDKTTNKCKGYGFVDFDSPAAAQKAVSALKASGVQAQMAKQQEQDPTNLYISNLPLSMDEQELENMLKPFGQVISTRILRDSSGTSRGVGFARMESTEKCEAVIGHFNGKFIKTPPGVSAPTEPLLCKFADGGQKKRQNPNKYIPNGRPWHREGEVRLAGMTLTYDPTTAAIQNGFYPSPYSIATNRMITQTSITPYIASPVSAYQVQSPSWMQPQPYILQHPGAVLTPSMEHTMSLQPASMISPLAQQMSHLSLGSTGTYMPATSAMQGAYLPQYAHMQTTAVPVEEASGQQQVAVETSNDHSPYTFQPNK
+>DECOY_sp|P29558|RBMS1_HUMAN RNA-binding motif, single-stranded-interacting protein 1 OS=Homo sapiens OX=9606 GN=RBMS1 PE=1 SV=3
+KNPQFTYPSHDNSTEVAVQQQGSAEEVPVATTQMHAYQPLYAGQMASTAPMYTGTSGLSLHSMQQALPSIMSAPQLSMTHEMSPTLVAGPHQLIYPQPQMWSPSQVQYASVPSAIYPTISTQTIMRNTAISYPSPYFGNQIAATTPDYTLTMGALRVEGERHWPRGNPIYKNPNQRKKQGGDAFKCLLPETPASVGPPTKIFKGNFHGIVAECKETSEMRAFGVGRSTGSSDRLIRTSIVQGFPKLMNELEQEDMSLPLNSIYLNTPDQEQQKAMQAQVGSAKLASVAKQAAAPSDFDVFGYGKCKNTTKDLIAKTSVIKGYPQCLKVLDQDTTHPPLGRIYLNTKSLQDWGSNSSSSSNNNSSTTSPSPPAMPHAPVLSQKAQLYQPYYYTAYQPYMQQKWVKGM
+>sp|Q15434|RBMS2_HUMAN RNA-binding motif, single-stranded-interacting protein 2 OS=Homo sapiens OX=9606 GN=RBMS2 PE=1 SV=1
+MLLSVTSRPGISTFGYNRNNKKPYVSLAQQMAPPSPSNSTPNSSSGSNGNDQLSKTNLYIRGLQPGTTDQDLVKLCQPYGKIVSTKAILDKTTNKCKGYGFVDFDSPSAAQKAVTALKASGVQAQMAKQQEQDPTNLYISNLPLSMDEQELEGMLKPFGQVISTRILRDTSGTSRGVGFARMESTEKCEAIITHFNGKYIKTPPGVPAPSDPLLCKFADGGPKKRQNQGKFVQNGRAWPRNADMGVMALTYDPTTALQNGFYPAPYNITPNRMLAQSALSPYLSSPVSSYQRVTQTSPLQVPNPSWMHHHSYLMQPSGSVLTPGMDHPISLQPASMMGPLTQQLGHLSLSSTGTYMPTAAAMQGAYISQYTPVPSSSVSVEESSGQQNQVAVDAPSEHGVYSFQFNK
+>DECOY_sp|Q15434|RBMS2_HUMAN RNA-binding motif, single-stranded-interacting protein 2 OS=Homo sapiens OX=9606 GN=RBMS2 PE=1 SV=1
+KNFQFSYVGHESPADVAVQNQQGSSEEVSVSSSPVPTYQSIYAGQMAAATPMYTGTSSLSLHGLQQTLPGMMSAPQLSIPHDMGPTLVSGSPQMLYSHHHMWSPNPVQLPSTQTVRQYSSVPSSLYPSLASQALMRNPTINYPAPYFGNQLATTPDYTLAMVGMDANRPWARGNQVFKGQNQRKKPGGDAFKCLLPDSPAPVGPPTKIYKGNFHTIIAECKETSEMRAFGVGRSTGSTDRLIRTSIVQGFPKLMGELEQEDMSLPLNSIYLNTPDQEQQKAMQAQVGSAKLATVAKQAASPSDFDVFGYGKCKNTTKDLIAKTSVIKGYPQCLKVLDQDTTGPQLGRIYLNTKSLQDNGNSGSSSNPTSNSPSPPAMQQALSVYPKKNNRNYGFTSIGPRSTVSLLM
+>sp|Q9Y388|RBMX2_HUMAN RNA-binding motif protein, X-linked 2 OS=Homo sapiens OX=9606 GN=RBMX2 PE=1 SV=2
+MNPLTKVKLINELNEREVQLGVADKVSWHSEYKDSAWIFLGGLPYELTEGDIICVFSQYGEIVNINLVRDKKTGKSKGFCFLCYEDQRSTILAVDNFNGIKIKGRTIRVDHVSNYRAPKDSEEIDDVTRQLQEKGCGARTPSPSLSESSEDEKPTKKHKKDKKEKKKKKKEKEKADREVQAEQPSSSSPRRKTVKEKDDTGPKKHSSKNSERAQKSEPREGQKLPKSRTAYSGGAEDLERELKKEKPKHEHKSSSRREAREEKTRIRDRGRSSDAHSSWYNGRSEGRSYRSRSRSRDKSHRHKRARRSRERESSNPSDRWRH
+>DECOY_sp|Q9Y388|RBMX2_HUMAN RNA-binding motif protein, X-linked 2 OS=Homo sapiens OX=9606 GN=RBMX2 PE=1 SV=2
+HRWRDSPNSSERERSRRARKHRHSKDRSRSRSRYSRGESRGNYWSSHADSSRGRDRIRTKEERAERRSSSKHEHKPKEKKLERELDEAGGSYATRSKPLKQGERPESKQARESNKSSHKKPGTDDKEKVTKRRPSSSSPQEAQVERDAKEKEKKKKKKEKKDKKHKKTPKEDESSESLSPSPTRAGCGKEQLQRTVDDIEESDKPARYNSVHDVRITRGKIKIGNFNDVALITSRQDEYCLFCFGKSKGTKKDRVLNINVIEGYQSFVCIIDGETLEYPLGGLFIWASDKYESHWSVKDAVGLQVERENLENILKVKTLPNM
+>sp|Q9UBG7|RBPJL_HUMAN Recombining binding protein suppressor of hairless-like protein OS=Homo sapiens OX=9606 GN=RBPJL PE=2 SV=3
+MDPAGAADPSVPPNPLTHLSLQDRSEMQLQSEADRRSLPGTWTRSSPEHTTILRGGVRRCLQQQCEQTVRILHAKVAQKSYGNEKRFFCPPPCVYLSGPGWRVKPGQDQAHQAGETGPTVCGYMGLDSASGSATETQKLNFEQQPDSREFGCAKTLYISDADKRKHFRLVLRLVLRGGRELGTFHSRLIKVISKPSQKKQSLKNTDLCISSGSKVSLFNRLRSQTVSTRYLSVEDGAFVASARQWAAFTLHLADGHSAQGDFPPREGYVRYGSLVQLVCTVTGITLPPMIIRKVAKQCALLDVDEPISQLHKCAFQFPGSPPGGGGTYLCLATEKVVQFQASPCPKEANRALLNDSSCWTIIGTESVEFSFSTSLACTLEPVTPVPLISTLELSGGGDVATLELHGENFHAGLKVWFGDVEAETMYRSPRSLVCVVPDVAAFCSDWRWLRAPITIPMSLVRADGLFYPSAFSFTYTPEYSVRPGHPGVPEPATDADALLESIHQEFTRTNFHLFIQT
+>DECOY_sp|Q9UBG7|RBPJL_HUMAN Recombining binding protein suppressor of hairless-like protein OS=Homo sapiens OX=9606 GN=RBPJL PE=2 SV=3
+TQIFLHFNTRTFEQHISELLADADTAPEPVGPHGPRVSYEPTYTFSFASPYFLGDARVLSMPITIPARLWRWDSCFAAVDPVVCVLSRPSRYMTEAEVDGFWVKLGAHFNEGHLELTAVDGGGSLELTSILPVPTVPELTCALSTSFSFEVSETGIITWCSSDNLLARNAEKPCPSAQFQVVKETALCLYTGGGGPPSGPFQFACKHLQSIPEDVDLLACQKAVKRIIMPPLTIGTVTCVLQVLSGYRVYGERPPFDGQASHGDALHLTFAAWQRASAVFAGDEVSLYRTSVTQSRLRNFLSVKSGSSICLDTNKLSQKKQSPKSIVKILRSHFTGLERGGRLVLRLVLRFHKRKDADSIYLTKACGFERSDPQQEFNLKQTETASGSASDLGMYGCVTPGTEGAQHAQDQGPKVRWGPGSLYVCPPPCFFRKENGYSKQAVKAHLIRVTQECQQQLCRRVGGRLITTHEPSSRTWTGPLSRRDAESQLQMESRDQLSLHTLPNPPVSPDAAGAPDM
+>sp|P62877|RBX1_HUMAN E3 ubiquitin-protein ligase RBX1 OS=Homo sapiens OX=9606 GN=RBX1 PE=1 SV=1
+MAAAMDVDTPSGTNSGAGKKRFEVKKWNAVALWAWDIVVDNCAICRNHIMDLCIECQANQASATSEECTVAWGVCNHAFHFHCISRWLKTRQVCPLDNREWEFQKYGH
+>DECOY_sp|P62877|RBX1_HUMAN E3 ubiquitin-protein ligase RBX1 OS=Homo sapiens OX=9606 GN=RBX1 PE=1 SV=1
+HGYKQFEWERNDLPCVQRTKLWRSICHFHFAHNCVGWAVTCEESTASAQNAQCEICLDMIHNRCIACNDVVIDWAWLAVANWKKVEFRKKGAGSNTGSPTDVDMAAAM
+>sp|Q96NR8|RDH12_HUMAN Retinol dehydrogenase 12 OS=Homo sapiens OX=9606 GN=RDH12 PE=1 SV=3
+MLVTLGLLTSFFSFLYMVAPSIRKFFAGGVCRTNVQLPGKVVVITGANTGIGKETARELASRGARVYIACRDVLKGESAASEIRVDTKNSQVLVRKLDLSDTKSIRAFAEGFLAEEKQLHILINNAGVMMCPYSKTADGFETHLGVNHLGHFLLTYLLLERLKVSAPARVVNVSSVAHHIGKIPFHDLQSEKRYSRGFAYCHSKLANVLFTRELAKRLQGTGVTTYAVHPGVVRSELVRHSSLLCLLWRLFSPFVKTAREGAQTSLHCALAEGLEPLSGKYFSDCKRTWVSPRARNNKTAERLWNVSCELLGIRWE
+>DECOY_sp|Q96NR8|RDH12_HUMAN Retinol dehydrogenase 12 OS=Homo sapiens OX=9606 GN=RDH12 PE=1 SV=3
+EWRIGLLECSVNWLREATKNNRARPSVWTRKCDSFYKGSLPELGEALACHLSTQAGERATKVFPSFLRWLLCLLSSHRVLESRVVGPHVAYTTVGTGQLRKALERTFLVNALKSHCYAFGRSYRKESQLDHFPIKGIHHAVSSVNVVRAPASVKLRELLLYTLLFHGLHNVGLHTEFGDATKSYPCMMVGANNILIHLQKEEALFGEAFARISKTDSLDLKRVLVQSNKTDVRIESAASEGKLVDRCAIYVRAGRSALERATEKGIGTNAGTIVVVKGPLQVNTRCVGGAFFKRISPAVMYLFSFFSTLLGLTVLM
+>sp|Q8NG50|RDM1_HUMAN RAD52 motif-containing protein 1 OS=Homo sapiens OX=9606 GN=RDM1 PE=1 SV=1
+MAELVPFAVPIESDKTLLVWELSSGPTAEALHHSLFTAFSQFGLLYSVRVFPNAAVAHPGFYAVIKFYSARAAHRAQKACDRKQLFQKSPVKVRLGTRHKAVQHQALALNSSKCQELANYYFGFNGCSKRIIKLQELSDLEERENEDSMVPLPKQSLKFFCALEVVLPSCDCRSPGIGLVEEPMDKVEEGPLSFLMKRKTAQKLAIQKALSDAFQKLLIVVLESGKIAVEYRPSEDIVGVRCEEELHGLIQVPCSPWKQYGQEEEGYLSDFSLEEEEFRLPELD
+>DECOY_sp|Q8NG50|RDM1_HUMAN RAD52 motif-containing protein 1 OS=Homo sapiens OX=9606 GN=RDM1 PE=1 SV=1
+DLEPLRFEEEELSFDSLYGEEEQGYQKWPSCPVQILGHLEEECRVGVIDESPRYEVAIKGSELVVILLKQFADSLAKQIALKQATKRKMLFSLPGEEVKDMPEEVLGIGPSRCDCSPLVVELACFFKLSQKPLPVMSDENEREELDSLEQLKIIRKSCGNFGFYYNALEQCKSSNLALAQHQVAKHRTGLRVKVPSKQFLQKRDCAKQARHAARASYFKIVAYFGPHAVAANPFVRVSYLLGFQSFATFLSHHLAEATPGSSLEWVLLTKDSEIPVAFPVLEAM
+>sp|P61574|RE113_HUMAN Endogenous retrovirus group K member 113 Rec protein OS=Homo sapiens OX=9606 GN=HERVK_113 PE=1 SV=1
+MNPSEMQRKAPPRRRRHRNRAPLTHKMNKMVTSEEQMKLPSTKKAEPPTWAQLKKLTQLATKYLENTKVTQTPESMLLAALMIVSMVSYGVPNSSEETATIENGP
+>DECOY_sp|P61574|RE113_HUMAN Endogenous retrovirus group K member 113 Rec protein OS=Homo sapiens OX=9606 GN=HERVK_113 PE=1 SV=1
+PGNEITATEESSNPVGYSVMSVIMLAALLMSEPTQTVKTNELYKTALQTLKKLQAWTPPEAKKTSPLKMQEESTVMKNMKHTLPARNRHRRRRPPAKRQMESPNM
+>sp|P61576|REC04_HUMAN Endogenous retrovirus group K member 104 Rec protein OS=Homo sapiens OX=9606 GN=HERV-K104 PE=1 SV=1
+MNPSEMQRKAPPRRRRHCNRAPLTHKMNKMVTSEEEMKLPSTKKAEPLTWAQLKKLTQLATKCLENTKVTQTPESMLLAALMIVSMVSAGVTNSSKETATIENGP
+>DECOY_sp|P61576|REC04_HUMAN Endogenous retrovirus group K member 104 Rec protein OS=Homo sapiens OX=9606 GN=HERV-K104 PE=1 SV=1
+PGNEITATEKSSNTVGASVMSVIMLAALLMSEPTQTVKTNELCKTALQTLKKLQAWTLPEAKKTSPLKMEEESTVMKNMKHTLPARNCHRRRRPPAKRQMESPNM
+>sp|Q15907|RB11B_HUMAN Ras-related protein Rab-11B OS=Homo sapiens OX=9606 GN=RAB11B PE=1 SV=4
+MGTRDDEYDYLFKVVLIGDSGVGKSNLLSRFTRNEFNLESKSTIGVEFATRSIQVDGKTIKAQIWDTAGQERYRAITSAYYRGAVGALLVYDIAKHLTYENVERWLKELRDHADSNIVIMLVGNKSDLRHLRAVPTDEARAFAEKNNLSFIETSALDSTNVEEAFKNILTEIYRIVSQKQIADRAAHDESPGNNVVDISVPPTTDGQKPNKLQCCQNL
+>DECOY_sp|Q15907|RB11B_HUMAN Ras-related protein Rab-11B OS=Homo sapiens OX=9606 GN=RAB11B PE=1 SV=4
+LNQCCQLKNPKQGDTTPPVSIDVVNNGPSEDHAARDAIQKQSVIRYIETLINKFAEEVNTSDLASTEIFSLNNKEAFARAEDTPVARLHRLDSKNGVLMIVINSDAHDRLEKLWREVNEYTLHKAIDYVLLAGVAGRYYASTIARYREQGATDWIQAKITKGDVQISRTAFEVGITSKSELNFENRTFRSLLNSKGVGSDGILVVKFLYDYEDDRTGM
+>sp|Q14964|RB39A_HUMAN Ras-related protein Rab-39A OS=Homo sapiens OX=9606 GN=RAB39A PE=1 SV=2
+METIWIYQFRLIVIGDSTVGKSCLLHRFTQGRFPGLRSPACDPTVGVDFFSRLLEIEPGKRIKLQLWDTAGQERFRSITRSYYRNSVGGFLVFDITNRRSFEHVKDWLEEAKMYVQPFRIVFLLVGHKCDLASQRQVTREEAEKLSADCGMKYIETSAKDATNVEESFTILTRDIYELIKKGEICIQDGWEGVKSGFVPNTVHSSEEAVKPRKECFC
+>DECOY_sp|Q14964|RB39A_HUMAN Ras-related protein Rab-39A OS=Homo sapiens OX=9606 GN=RAB39A PE=1 SV=2
+CFCEKRPKVAEESSHVTNPVFGSKVGEWGDQICIEGKKILEYIDRTLITFSEEVNTADKASTEIYKMGCDASLKEAEERTVQRQSALDCKHGVLLFVIRFPQVYMKAEELWDKVHEFSRRNTIDFVLFGGVSNRYYSRTISRFREQGATDWLQLKIRKGPEIELLRSFFDVGVTPDCAPSRLGPFRGQTFRHLLCSKGVTSDGIVILRFQYIWITEM
+>sp|Q8NC74|RB8NL_HUMAN RBBP8 N-terminal-like protein OS=Homo sapiens OX=9606 GN=RBBP8NL PE=2 SV=3
+MESFMESLNRLKEIHEKEVLGLQNKLLELNSERCRDAQRIEELFSKNHQLREQQKTLKENLRVLENRLRAGLCDRCMVTQELARKRQQEFESSHLQNLQRIFILTNEMNGLKEENETLKEEVKRLRGLGDRPKPRAKEGTSDPPSPLLLPSPGGWKAITEKPPGGHEEAEEDHQGVGLRGEEKPAGHRTSPVAKISPGATLPESRAPDMSPQRISNQLHGTIAVVRPGSQACPADRGPANGTPPPLPARSSPPSPAYERGLSLDSFLRASRPSAMTHEAPKLSPKVDRLCLLNRPLSLHLQSPHSSPLAPAAAPSDPRLQDLKAREAEAWEEPTELLGLPSALAGMQDLRLEGALHLLLAQQQLRARARAGSVRPRGQPTPGEMLPSLPVGSDSEGPENEGTRAALAAAGLSGGRHTQPAGPGRAQRTEAAATQDCALDKPLDLSEWGRARGQDTPKPAGQHGSLSPAAAHTASPEPPTQSGPLTRSPQALSNGTKGTRVPEQEEASTPMDPSRPLPGSQLSLSSPGSTEDEDTGRPLPPPHPQPPPHPQPPDLDGHPEPSKAEVLRPESDELDETDTPGSEVGLSSQAEATTSTTGEGPECICTQEHGQGPPRKRKRASEPGDKASKKPSRGRRKLTATEGPGSPRDAEDHSPSPNSSPWEET
+>DECOY_sp|Q8NC74|RB8NL_HUMAN RBBP8 N-terminal-like protein OS=Homo sapiens OX=9606 GN=RBBP8NL PE=2 SV=3
+TEEWPSSNPSPSHDEADRPSGPGETATLKRRGRSPKKSAKDGPESARKRKRPPGQGHEQTCICEPGEGTTSTTAEAQSSLGVESGPTDTEDLEDSEPRLVEAKSPEPHGDLDPPQPHPPPQPHPPPLPRGTDEDETSGPSSLSLQSGPLPRSPDMPTSAEEQEPVRTGKTGNSLAQPSRTLPGSQTPPEPSATHAAAPSLSGHQGAPKPTDQGRARGWESLDLPKDLACDQTAAAETRQARGPGAPQTHRGGSLGAAALAARTGENEPGESDSGVPLSPLMEGPTPQGRPRVSGARARARLQQQALLLHLAGELRLDQMGALASPLGLLETPEEWAEAERAKLDQLRPDSPAAAPALPSSHPSQLHLSLPRNLLCLRDVKPSLKPAEHTMASPRSARLFSDLSLGREYAPSPPSSRAPLPPPTGNAPGRDAPCAQSGPRVVAITGHLQNSIRQPSMDPARSEPLTAGPSIKAVPSTRHGAPKEEGRLGVGQHDEEAEEHGGPPKETIAKWGGPSPLLLPSPPDSTGEKARPKPRDGLGRLRKVEEKLTENEEKLGNMENTLIFIRQLNQLHSSEFEQQRKRALEQTVMCRDCLGARLRNELVRLNEKLTKQQERLQHNKSFLEEIRQADRCRESNLELLKNQLGLVEKEHIEKLRNLSEMFSEM
+>sp|Q7Z6E9|RBBP6_HUMAN E3 ubiquitin-protein ligase RBBP6 OS=Homo sapiens OX=9606 GN=RBBP6 PE=1 SV=1
+MSCVHYKFSSKLNYDTVTFDGLHISLCDLKKQIMGREKLKAADCDLQITNAQTKEEYTDDNALIPKNSSVIVRRIPIGGVKSTSKTYVISRTEPAMATTKAIDDSSASISLAQLTKTANLAEANASEEDKIKAMMSQSGHEYDPINYMKKPLGPPPPSYTCFRCGKPGHYIKNCPTNGDKNFESGPRIKKSTGIPRSFMMEVKDPNMKGAMLTNTGKYAIPTIDAEAYAIGKKEKPPFLPEEPSSSSEEDDPIPDELLCLICKDIMTDAVVIPCCGNSYCDECIRTALLESDEHTCPTCHQNDVSPDALIANKFLRQAVNNFKNETGYTKRLRKQLPPPPPPIPPPRPLIQRNLQPLMRSPISRQQDPLMIPVTSSSTHPAPSISSLTSNQSSLAPPVSGNPSSAPAPVPDITATVSISVHSEKSDGPFRDSDNKILPAAALASEHSKGTSSIAITALMEEKGYQVPVLGTPSLLGQSLLHGQLIPTTGPVRINTARPGGGRPGWEHSNKLGYLVSPPQQIRRGERSCYRSINRGRHHSERSQRTQGPSLPATPVFVPVPPPPLYPPPPHTLPLPPGVPPPQFSPQFPPGQPPPAGYSVPPPGFPPAPANLSTPWVSSGVQTAHSNTIPTTQAPPLSREEFYREQRRLKEEEKKKSKLDEFTNDFAKELMEYKKIQKERRRSFSRSKSPYSGSSYSRSSYTYSKSRSGSTRSRSYSRSFSRSHSRSYSRSPPYPRRGRGKSRNYRSRSRSHGYHRSRSRSPPYRRYHSRSRSPQAFRGQSPNKRNVPQGETEREYFNRYREVPPPYDMKAYYGRSVDFRDPFEKERYREWERKYREWYEKYYKGYAAGAQPRPSANRENFSPERFLPLNIRNSPFTRGRREDYVGGQSHRSRNIGSNYPEKLSARDGHNQKDNTKSKEKESENAPGDGKGNKHKKHRKRRKGEESEGFLNPELLETSRKSREPTGVEENKTDSLFVLPSRDDATPVRDEPMDAESITFKSVSEKDKRERDKPKAKGDKTKRKNDGSAVSKKENIVKPAKGPQEKVDGERERSPRSEPPIKKAKEETPKTDNTKSSSSSQKDEKITGTPRKAHSKSAKEHQETKPVKEEKVKKDYSKDVKSEKLTTKEEKAKKPNEKNKPLDNKGEKRKRKTEEKGVDKDFESSSMKISKLEVTEIVKPSPKRKMEPDTEKMDRTPEKDKISLSAPAKKIKLNRETGKKIGSTENISNTKEPSEKLESTSSKVKQEKVKGKVRRKVTGTEGSSSTLVDYTSTSSTGGSPVRKSEEKTDTKRTVIKTMEEYNNDNTAPAEDVIIMIQVPQSKWDKDDFESEEEDVKSTQPISSVGKPASVIKNVSTKPSNIVKYPEKESEPSEKIQKFTKDVSHEIIQHEVKSSKNSASSEKGKTKDRDYSVLEKENPEKRKNSTQPEKESNLDRLNEQGNFKSLSQSSKEARTSDKHDSTRASSNKDFTPNRDKKTDYDTREYSSSKRRDEKNELTRRKDSPSRNKDSASGQKNKPREERDLPKKGTGDSKKSNSSPSRDRKPHDHKATYDTKRPNEETKSVDKNPCKDREKHVLEARNNKESSGNKLLYILNPPETQVEKEQITGQIDKSTVKPKPQLSHSSRLSSDLTRETDEAAFEPDYNESDSESNVSVKEEESSGNISKDLKDKIVEKAKESLDTAAVVQVGISRNQSHSSPSVSPSRSHSPSGSQTRSHSSSASSAESQDSKKKKKKKEKKKHKKHKKHKKHKKHAGTEVELEKSQKHKHKKKKSKKNKDKEKEKEKDDQKVKSVTV
+>DECOY_sp|Q7Z6E9|RBBP6_HUMAN E3 ubiquitin-protein ligase RBBP6 OS=Homo sapiens OX=9606 GN=RBBP6 PE=1 SV=1
+VTVSKVKQDDKEKEKEKDKNKKSKKKKHKHKQSKELEVETGAHKKHKKHKKHKKHKKKEKKKKKKKSDQSEASSASSSHSRTQSGSPSHSRSPSVSPSSHSQNRSIGVQVVAATDLSEKAKEVIKDKLDKSINGSSEEEKVSVNSESDSENYDPEFAAEDTERTLDSSLRSSHSLQPKPKVTSKDIQGTIQEKEVQTEPPNLIYLLKNGSSEKNNRAELVHKERDKCPNKDVSKTEENPRKTDYTAKHDHPKRDRSPSSNSKKSDGTGKKPLDREERPKNKQGSASDKNRSPSDKRRTLENKEDRRKSSSYERTDYDTKKDRNPTFDKNSSARTSDHKDSTRAEKSSQSLSKFNGQENLRDLNSEKEPQTSNKRKEPNEKELVSYDRDKTKGKESSASNKSSKVEHQIIEHSVDKTFKQIKESPESEKEPYKVINSPKTSVNKIVSAPKGVSSIPQTSKVDEEESEFDDKDWKSQPVQIMIIVDEAPATNDNNYEEMTKIVTRKTDTKEESKRVPSGGTSSTSTYDVLTSSSGETGTVKRRVKGKVKEQKVKSSTSELKESPEKTNSINETSGIKKGTERNLKIKKAPASLSIKDKEPTRDMKETDPEMKRKPSPKVIETVELKSIKMSSSEFDKDVGKEETKRKRKEGKNDLPKNKENPKKAKEEKTTLKESKVDKSYDKKVKEEKVPKTEQHEKASKSHAKRPTGTIKEDKQSSSSSKTNDTKPTEEKAKKIPPESRPSREREGDVKEQPGKAPKVINEKKSVASGDNKRKTKDGKAKPKDRERKDKESVSKFTISEADMPEDRVPTADDRSPLVFLSDTKNEEVGTPERSKRSTELLEPNLFGESEEGKRRKRHKKHKNGKGDGPANESEKEKSKTNDKQNHGDRASLKEPYNSGINRSRHSQGGVYDERRGRTFPSNRINLPLFREPSFNERNASPRPQAGAAYGKYYKEYWERYKREWERYREKEFPDRFDVSRGYYAKMDYPPPVERYRNFYERETEGQPVNRKNPSQGRFAQPSRSRSHYRRYPPSRSRSRHYGHSRSRSRYNRSKGRGRRPYPPSRSYSRSHSRSFSRSYSRSRTSGSRSKSYTYSSRSYSSGSYPSKSRSFSRRREKQIKKYEMLEKAFDNTFEDLKSKKKEEEKLRRQERYFEERSLPPAQTTPITNSHATQVGSSVWPTSLNAPAPPFGPPPVSYGAPPPQGPPFQPSFQPPPVGPPLPLTHPPPPYLPPPPVPVFVPTAPLSPGQTRQSRESHHRGRNISRYCSREGRRIQQPPSVLYGLKNSHEWGPRGGGPRATNIRVPGTTPILQGHLLSQGLLSPTGLVPVQYGKEEMLATIAISSTGKSHESALAAAPLIKNDSDRFPGDSKESHVSISVTATIDPVPAPASSPNGSVPPALSSQNSTLSSISPAPHTSSSTVPIMLPDQQRSIPSRMLPQLNRQILPRPPPIPPPPPPLQKRLRKTYGTENKFNNVAQRLFKNAILADPSVDNQHCTPCTHEDSELLATRICEDCYSNGCCPIVVADTMIDKCILCLLEDPIPDDEESSSSPEEPLFPPKEKKGIAYAEADITPIAYKGTNTLMAGKMNPDKVEMMFSRPIGTSKKIRPGSEFNKDGNTPCNKIYHGPKGCRFCTYSPPPPGLPKKMYNIPDYEHGSQSMMAKIKDEESANAEALNATKTLQALSISASSDDIAKTTAMAPETRSIVYTKSTSKVGGIPIRRVIVSSNKPILANDDTYEEKTQANTIQLDCDAAKLKERGMIQKKLDCLSIHLGDFTVTDYNLKSSFKYHVCSM
+>sp|Q16576|RBBP7_HUMAN Histone-binding protein RBBP7 OS=Homo sapiens OX=9606 GN=RBBP7 PE=1 SV=1
+MASKEMFEDTVEERVINEEYKIWKKNTPFLYDLVMTHALQWPSLTVQWLPEVTKPEGKDYALHWLVLGTHTSDEQNHLVVARVHIPNDDAQFDASHCDSDKGEFGGFGSVTGKIECEIKINHEGEVNRARYMPQNPHIIATKTPSSDVLVFDYTKHPAKPDPSGECNPDLRLRGHQKEGYGLSWNSNLSGHLLSASDDHTVCLWDINAGPKEGKIVDAKAIFTGHSAVVEDVAWHLLHESLFGSVADDQKLMIWDTRSNTTSKPSHLVDAHTAEVNCLSFNPYSEFILATGSADKTVALWDLRNLKLKLHTFESHKDEIFQVHWSPHNETILASSGTDRRLNVWDLSKIGEEQSAEDAEDGPPELLFIHGGHTAKISDFSWNPNEPWVICSVSEDNIMQIWQMAENIYNDEESDVTTSELEGQGS
+>DECOY_sp|Q16576|RBBP7_HUMAN Histone-binding protein RBBP7 OS=Homo sapiens OX=9606 GN=RBBP7 PE=1 SV=1
+SGQGELESTTVDSEEDNYINEAMQWIQMINDESVSCIVWPENPNWSFDSIKATHGGHIFLLEPPGDEADEASQEEGIKSLDWVNLRRDTGSSALITENHPSWHVQFIEDKHSEFTHLKLKLNRLDWLAVTKDASGTALIFESYPNFSLCNVEATHADVLHSPKSTTNSRTDWIMLKQDDAVSGFLSEHLLHWAVDEVVASHGTFIAKADVIKGEKPGANIDWLCVTHDDSASLLHGSLNSNWSLGYGEKQHGRLRLDPNCEGSPDPKAPHKTYDFVLVDSSPTKTAIIHPNQPMYRARNVEGEHNIKIECEIKGTVSGFGGFEGKDSDCHSADFQADDNPIHVRAVVLHNQEDSTHTGLVLWHLAYDKGEPKTVEPLWQVTLSPWQLAHTMVLDYLFPTNKKWIKYEENIVREEVTDEFMEKSAM
+>sp|Q8TDY2|RBCC1_HUMAN RB1-inducible coiled-coil protein 1 OS=Homo sapiens OX=9606 GN=RB1CC1 PE=1 SV=3
+MKLYVFLVNTGTTLTFDTELTVQTVADLKHAIQSKYKIAIQHQVLVVNGGECMAADRRVCTYSAGTDTNPIFLFNKEMILCDRPPAIPKTTFSTENDMEIKVEESLMMPAVFHTVASRTQLALEMYEVAKKLCSFCEGLVHDEHLQHQGWAAIMANLEDCSNSYQKLLFKFESIYSNYLQSIEDIKLKLTHLGTAVSVMAKIPLLECLTRHSYRECLGRLDSLPEHEDSEKAEMKRSTELVLSPDMPRTTNESLLTSFPKSVEHVSPDTADAESGKEIRESCQSTVHQQDETTIDTKDGDLPFFNVSLLDWINVQDRPNDVESLVRKCFDSMSRLDPRIIRPFIAECRQTIAKLDNQNMKAIKGLEDRLYALDQMIASCGRLVNEQKELAQGFLANQKRAENLKDASVLPDLCLSHANQLMIMLQNHRKLLDIKQKCTTAKQELANNLHVRLKWCCFVMLHADQDGEKLQALLRLVIELLERVKIVEALSTVPQMYCLAVVEVVRRKMFIKHYREWAGALVKDGKRLYEAEKSKRESFGKLFRKSFLRNRLFRGLDSWPPSFCTQKPRKFDCELPDISLKDLQFLQSFCPSEVQPFLRVPLLCDFEPLHQHVLALHNLVKAAQSLDEMSQTITDLLSEQKASVSQTSPQSASSPRMESTAGITTTTSPRTPPPLTVQDPLCPAVCPLEELSPDSIDAHTFDFETIPHPNIEQTIHQVSLDLDSLAESPESDFMSAVNEFVIEENLSSPNPISDPQSPEMMVESLYSSVINAIDSRRMQDTNVCGKEDFGDHTSLNVQLERCRVVAQDSHFSIQTIKEDLCHFRTFVQKEQCDFSNSLKCTAVEIRNIIEKVKCSLEITLKEKHQKELLSLKNEYEGKLDGLIKETEENENKIKKLKGELVCLEEVLQNKDNEFALVKHEKEAVICLQNEKDQKLLEMENIMHSQNCEIKELKQSREIVLEDLKKLHVENDEKLQLLRAELQSLEQSHLKELEDTLQVRHIQEFEKVMTDHRVSLEELKKENQQIINQIQESHAEIIQEKEKQLQELKLKVSDLSDTRCKLEVELALKEAETDEIKILLEESRAQQKETLKSLLEQETENLRTEISKLNQKIQDNNENYQVGLAELRTLMTIEKDQCISELISRHEEESNILKAELNKVTSLHNQAFEIEKNLKEQIIELQSKLDSELSALERQKDEKITQQEEKYEAIIQNLEKDRQKLVSSQEQDREQLIQKLNCEKDEAIQTALKEFKLEREVVEKELLEKVKHLENQIAKSPAIDSTRGDSSSLVAELQEKLQEEKAKFLEQLEEQEKRKNEEMQNVRTSLIAEQQTNFNTVLTREKMRKENIINDLSDKLKSTMQQQERDKDLIESLSEDRARLLEEKKKLEEEVSKLRSSSFVPSPYVATAPELYGACAPELPGESDRSAVETADEGRVDSAMETSMMSVQENIHMLSEEKQRIMLLERTLQLKEEENKRLNQRLMSQSMSSVSSRHSEKIAIRDFQVGDLVLIILDERHDNYVLFTVSPTLYFLHSESLPALDLKPGEGASGASRRPWVLGKVMEKEYCQAKKAQNRFKVPLGTKFYRVKAVSWNKKV
+>DECOY_sp|Q8TDY2|RBCC1_HUMAN RB1-inducible coiled-coil protein 1 OS=Homo sapiens OX=9606 GN=RB1CC1 PE=1 SV=3
+VKKNWSVAKVRYFKTGLPVKFRNQAKKAQCYEKEMVKGLVWPRRSAGSAGEGPKLDLAPLSESHLFYLTPSVTFLVYNDHREDLIILVLDGVQFDRIAIKESHRSSVSSMSQSMLRQNLRKNEEEKLQLTRELLMIRQKEESLMHINEQVSMMSTEMASDVRGEDATEVASRDSEGPLEPACAGYLEPATAVYPSPVFSSSRLKSVEEELKKKEELLRARDESLSEILDKDREQQQMTSKLKDSLDNIINEKRMKERTLVTNFNTQQEAILSTRVNQMEENKRKEQEELQELFKAKEEQLKEQLEAVLSSSDGRTSDIAPSKAIQNELHKVKELLEKEVVERELKFEKLATQIAEDKECNLKQILQERDQEQSSVLKQRDKELNQIIAEYKEEQQTIKEDKQRELASLESDLKSQLEIIQEKLNKEIEFAQNHLSTVKNLEAKLINSEEEHRSILESICQDKEITMLTRLEALGVQYNENNDQIKQNLKSIETRLNETEQELLSKLTEKQQARSEELLIKIEDTEAEKLALEVELKCRTDSLDSVKLKLEQLQKEKEQIIEAHSEQIQNIIQQNEKKLEELSVRHDTMVKEFEQIHRVQLTDELEKLHSQELSQLEARLLQLKEDNEVHLKKLDELVIERSQKLEKIECNQSHMINEMELLKQDKENQLCIVAEKEHKVLAFENDKNQLVEELCVLEGKLKKIKNENEETEKILGDLKGEYENKLSLLEKQHKEKLTIELSCKVKEIINRIEVATCKLSNSFDCQEKQVFTRFHCLDEKITQISFHSDQAVVRCRELQVNLSTHDGFDEKGCVNTDQMRRSDIANIVSSYLSEVMMEPSQPDSIPNPSSLNEEIVFENVASMFDSEPSEALSDLDLSVQHITQEINPHPITEFDFTHADISDPSLEELPCVAPCLPDQVTLPPPTRPSTTTTIGATSEMRPSSASQPSTQSVSAKQESLLDTITQSMEDLSQAAKVLNHLALVHQHLPEFDCLLPVRLFPQVESPCFSQLFQLDKLSIDPLECDFKRPKQTCFSPPWSDLGRFLRNRLFSKRFLKGFSERKSKEAEYLRKGDKVLAGAWERYHKIFMKRRVVEVVALCYMQPVTSLAEVIKVRELLEIVLRLLAQLKEGDQDAHLMVFCCWKLRVHLNNALEQKATTCKQKIDLLKRHNQLMIMLQNAHSLCLDPLVSADKLNEARKQNALFGQALEKQENVLRGCSAIMQDLAYLRDELGKIAKMNQNDLKAITQRCEAIFPRIIRPDLRSMSDFCKRVLSEVDNPRDQVNIWDLLSVNFFPLDGDKTDITTEDQQHVTSQCSERIEKGSEADATDPSVHEVSKPFSTLLSENTTRPMDPSLVLETSRKMEAKESDEHEPLSDLRGLCERYSHRTLCELLPIKAMVSVATGLHTLKLKIDEISQLYNSYISEFKFLLKQYSNSCDELNAMIAAWGQHQLHEDHVLGECFSCLKKAVEYMELALQTRSAVTHFVAPMMLSEEVKIEMDNETSFTTKPIAPPRDCLIMEKNFLFIPNTDTGASYTCVRRDAAMCEGGNVVLVQHQIAIKYKSQIAHKLDAVTQVTLETDFTLTTGTNVLFVYLKM
+>sp|P78332|RBM6_HUMAN RNA-binding protein 6 OS=Homo sapiens OX=9606 GN=RBM6 PE=1 SV=5
+MWGDSRPANRTGPFRGSQEERFAPGWNRDYPPPPLKSHAQERHSGNFPGRDSLPFDFQGHSGPPFANVEEHSFSYGARDGPHGDYRGGEGPGHDFRGGDFSSSDFQSRDSSQLDFRGRDIHSGDFRDREGPPMDYRGGDGTSMDYRGREAPHMNYRDRDAHAVDFRGRDAPPSDFRGRGTYDLDFRGRDGSHADFRGRDLSDLDFRAREQSRSDFRNRDVSDLDFRDKDGTQVDFRGRGSGTTDLDFRDRDTPHSDFRGRHRSRTDQDFRGREMGSCMEFKDREMPPVDPNILDYIQPSTQDREHSGMNVNRREESTHDHTIERPAFGIQKGEFEHSETREGETQGVAFEHESPADFQNSQSPVQDQDKSQLSGREEQSSDAGLFKEEGGLDFLGRQDTDYRSMEYRDVDHRLPGSQMFGYGQSKSFPEGKTARDAQRDLQDQDYRTGPSEEKPSRLIRLSGVPEDATKEEILNAFRTPDGMPVKNLQLKEYNTGYDYGYVCVEFSLLEDAIGCMEANQGTLMIQDKEVTLEYVSSLDFWYCKRCKANIGGHRSSCSFCKNPREVTEAKQELITYPQPQKTSIPAPLEKQPNQPLRPADKEPEPRKREEGQESRLGHQKREAERYLPPSRREGPTFRRDRERESWSGETRQDGESKTIMLKRIYRSTPPEVIVEVLEPYVRLTTANVRIIKNRTGPMGHTYGFIDLDSHAEALRVVKILQNLDPPFSIDGKMVAVNLATGKRRNDSGDHSDHMHYYQGKKYFRDRRGGGRNSDWSSDTNRQGQQSSSDCYIYDSATGYYYDPLAGTYYDPNTQQEVYVPQDPGLPEEEEIKEKKPTSQGKSSSKKEMSKRDGKEKKDRGVTRFQENASEGKAPAEDVFKKPLPPTVKKEESPPPPKVVNPLIGLLGEYGGDSDYEEEEEEEQTPPPQPRTAQPQKREEQTKKENEEDKLTDWNKLACLLCRRQFPNKEVLIKHQQLSDLHKQNLEIHRKIKQSEQELAYLERREREGKFKGRGNDRREKLQSFDSPERKRIKYSRETDSDRKLVDKEDIDTSSKGGCVQQATGWRKGTGLGYGHPGLASSEEAEGRMRGPSVGASGRTSKRQSNETYRDAVRRVMFARYKELD
+>DECOY_sp|P78332|RBM6_HUMAN RNA-binding protein 6 OS=Homo sapiens OX=9606 GN=RBM6 PE=1 SV=5
+DLEKYRAFMVRRVADRYTENSQRKSTRGSAGVSPGRMRGEAEESSALGPHGYGLGTGKRWGTAQQVCGGKSSTDIDEKDVLKRDSDTERSYKIRKREPSDFSQLKERRDNGRGKFKGERERRELYALEQESQKIKRHIELNQKHLDSLQQHKILVEKNPFQRRCLLCALKNWDTLKDEENEKKTQEERKQPQATRPQPPPTQEEEEEEEYDSDGGYEGLLGILPNVVKPPPPSEEKKVTPPLPKKFVDEAPAKGESANEQFRTVGRDKKEKGDRKSMEKKSSSKGQSTPKKEKIEEEEPLGPDQPVYVEQQTNPDYYTGALPDYYYGTASDYIYCDSSSQQGQRNTDSSWDSNRGGGRRDRFYKKGQYYHMHDSHDGSDNRRKGTALNVAVMKGDISFPPDLNQLIKVVRLAEAHSDLDIFGYTHGMPGTRNKIIRVNATTLRVYPELVEVIVEPPTSRYIRKLMITKSEGDQRTEGSWSERERDRRFTPGERRSPPLYREAERKQHGLRSEQGEERKRPEPEKDAPRLPQNPQKELPAPISTKQPQPYTILEQKAETVERPNKCFSCSSRHGGINAKCRKCYWFDLSSVYELTVEKDQIMLTGQNAEMCGIADELLSFEVCVYGYDYGTNYEKLQLNKVPMGDPTRFANLIEEKTADEPVGSLRILRSPKEESPGTRYDQDQLDRQADRATKGEPFSKSQGYGFMQSGPLRHDVDRYEMSRYDTDQRGLFDLGGEEKFLGADSSQEERGSLQSKDQDQVPSQSNQFDAPSEHEFAVGQTEGERTESHEFEGKQIGFAPREITHDHTSEERRNVNMGSHERDQTSPQIYDLINPDVPPMERDKFEMCSGMERGRFDQDTRSRHRGRFDSHPTDRDRFDLDTTGSGRGRFDVQTGDKDRFDLDSVDRNRFDSRSQERARFDLDSLDRGRFDAHSGDRGRFDLDYTGRGRFDSPPADRGRFDVAHADRDRYNMHPAERGRYDMSTGDGGRYDMPPGERDRFDGSHIDRGRFDLQSSDRSQFDSSSFDGGRFDHGPGEGGRYDGHPGDRAGYSFSHEEVNAFPPGSHGQFDFPLSDRGPFNGSHREQAHSKLPPPPYDRNWGPAFREEQSGRFPGTRNAPRSDGWM
+>sp|P38159|RBMX_HUMAN RNA-binding motif protein, X chromosome OS=Homo sapiens OX=9606 GN=RBMX PE=1 SV=3
+MVEADRPGKLFIGGLNTETNEKALEAVFGKYGRIVEVLLMKDRETNKSRGFAFVTFESPADAKDAARDMNGKSLDGKAIKVEQATKPSFESGRRGPPPPPRSRGPPRGLRGGRGGSGGTRGPPSRGGHMDDGGYSMNFNMSSSRGPLPVKRGPPPRSGGPPPKRSAPSGPVRSSSGMGGRAPVSRGRDSYGGPPRREPLPSRRDVYLSPRDDGYSTKDSYSSRDYPSSRDTRDYAPPPRDYTYRDYGHSSSRDDYPSRGYSDRDGYGRDRDYSDHPSGGSYRDSYESYGNSRSAPPTRGPPPSYGGSSRYDDYSSSRDGYGGSRDSYSSSRSDLYSSGRDRVGRQERGLPPSMERGYPPPRDSYSSSSRGAPRGGGRGGSRSDRGGGRSRY
+>DECOY_sp|P38159|RBMX_HUMAN RNA-binding motif protein, X chromosome OS=Homo sapiens OX=9606 GN=RBMX PE=1 SV=3
+YRSRGGGRDSRSGGRGGGRPAGRSSSSYSDRPPPYGREMSPPLGREQRGVRDRGSSYLDSRSSSYSDRSGGYGDRSSSYDDYRSSGGYSPPPGRTPPASRSNGYSEYSDRYSGGSPHDSYDRDRGYGDRDSYGRSPYDDRSSSHGYDRYTYDRPPPAYDRTDRSSPYDRSSYSDKTSYGDDRPSLYVDRRSPLPERRPPGGYSDRGRSVPARGGMGSSSRVPGSPASRKPPPGGSRPPPGRKVPLPGRSSSMNFNMSYGGDDMHGGRSPPGRTGGSGGRGGRLGRPPGRSRPPPPPGRRGSEFSPKTAQEVKIAKGDLSKGNMDRAADKADAPSEFTVFAFGRSKNTERDKMLLVEVIRGYKGFVAELAKENTETNLGGIFLKGPRDAEVM
+>sp|Q9UBF6|RBX2_HUMAN RING-box protein 2 OS=Homo sapiens OX=9606 GN=RNF7 PE=1 SV=1
+MADVEDGEETCALASHSGSSGSKSGGDKMFSLKKWNAVAMWSWDVECDTCAICRVQVMDACLRCQAENKQEDCVVVWGECNHSFHNCCMSLWVKQNNRCPLCQQDWVVQRIGK
+>DECOY_sp|Q9UBF6|RBX2_HUMAN RING-box protein 2 OS=Homo sapiens OX=9606 GN=RNF7 PE=1 SV=1
+KGIRQVVWDQQCLPCRNNQKVWLSMCCNHFSHNCEGWVVVCDEQKNEAQCRLCADMVQVRCIACTDCEVDWSWMAVANWKKLSFMKDGGSKSGSSGSHSALACTEEGDEVDAM
+>sp|P0DJD4|RBY1C_HUMAN RNA-binding motif protein, Y chromosome, family 1 member C OS=Homo sapiens OX=9606 GN=RBMY1C PE=1 SV=1
+MVEADHPGKLFIGGLNRETNEKMLKAVFGKHGPISEVLLIKDRTSKSRGFAFITFENPADAKNAAKDMNGKSLHGKAIKVEQAQKPSFQSGGRRRPPASSRNRSPSGSLRSARGSRGGTRGWLPSHEGHLDDGGYTPDLKMSYSRGLIPVKRGPSSRSGGPPPKKSAPSAVARSNSWMGSQGPMSQRRENYGVPPRRATISSWRNDRMSTRHDGYATNDGNHPSCQETRDYAPPSRGYAYRDNGHSNRDEHSSRGYRNHRSSRETRDYAPPSRGHAYRDYGHSRRDESYSRGYRNRRSSRETREYAPPSRGHGYRDYGHSRRHESYSRGYRNHPSSRETRDYAPPHRDYAYRDYGHSSWDEHSSRGYSYHDGYGEALGRDHSEHLSGSSYRDALQRYGTSHGAPPARGPRMSYGGSTCHAYSNTRDRYGRSWESYSSCGDFHYCDREHVCRKDQRNPPSLGRVLPDPREACGSSSYVASIVDGGESRSEKGDSSRY
+>DECOY_sp|P0DJD4|RBY1C_HUMAN RNA-binding motif protein, Y chromosome, family 1 member C OS=Homo sapiens OX=9606 GN=RBMY1C PE=1 SV=1
+YRSSDGKESRSEGGDVISAVYSSSGCAERPDPLVRGLSPPNRQDKRCVHERDCYHFDGCSSYSEWSRGYRDRTNSYAHCTSGGYSMRPGRAPPAGHSTGYRQLADRYSSGSLHESHDRGLAEGYGDHYSYGRSSHEDWSSHGYDRYAYDRHPPAYDRTERSSPHNRYGRSYSEHRRSHGYDRYGHGRSPPAYERTERSSRRNRYGRSYSEDRRSHGYDRYAHGRSPPAYDRTERSSRHNRYGRSSHEDRNSHGNDRYAYGRSPPAYDRTEQCSPHNGDNTAYGDHRTSMRDNRWSSITARRPPVGYNERRQSMPGQSGMWSNSRAVASPASKKPPPGGSRSSPGRKVPILGRSYSMKLDPTYGGDDLHGEHSPLWGRTGGRSGRASRLSGSPSRNRSSAPPRRRGGSQFSPKQAQEVKIAKGHLSKGNMDKAANKADAPNEFTIFAFGRSKSTRDKILLVESIPGHKGFVAKLMKENTERNLGGIFLKGPHDAEVM
+>sp|P53805|RCAN1_HUMAN Calcipressin-1 OS=Homo sapiens OX=9606 GN=RCAN1 PE=1 SV=4
+MEDGVAGPQLGAAAEAAEAAEARARPGVTLRPFAPLSGAAEADEGGGDWSFIDCEMEEVDLQDLPSATIACHLDPRVFVDGLCRAKFESLFRTYDKDITFQYFKSFKRVRINFSNPFSAADARLQLHKTEFLGKEMKLYFAQTLHIGSSHLAPPNPDKQFLISPPASPPVGWKQVEDATPVINYDLLYAISKLGPGEKYELHAATDTTPSVVVHVCESDQEKEEEEEMERMRRPKPKIIQTRRPEYTPIHLS
+>DECOY_sp|P53805|RCAN1_HUMAN Calcipressin-1 OS=Homo sapiens OX=9606 GN=RCAN1 PE=1 SV=4
+SLHIPTYEPRRTQIIKPKPRRMREMEEEEEKEQDSECVHVVVSPTTDTAAHLEYKEGPGLKSIAYLLDYNIVPTADEVQKWGVPPSAPPSILFQKDPNPPALHSSGIHLTQAFYLKMEKGLFETKHLQLRADAASFPNSFNIRVRKFSKFYQFTIDKDYTRFLSEFKARCLGDVFVRPDLHCAITASPLDQLDVEEMECDIFSWDGGGEDAEAAGSLPAFPRLTVGPRARAEAAEAAEAAAGLQPGAVGDEM
+>sp|P54727|RD23B_HUMAN UV excision repair protein RAD23 homolog B OS=Homo sapiens OX=9606 GN=RAD23B PE=1 SV=1
+MQVTLKTLQQQTFKIDIDPEETVKALKEKIESEKGKDAFPVAGQKLIYAGKILNDDTALKEYKIDEKNFVVVMVTKPKAVSTPAPATTQQSAPASTTAVTSSTTTTVAQAPTPVPALAPTSTPASITPASATASSEPAPASAAKQEKPAEKPAETPVATSPTATDSTSGDSSRSNLFEDATSALVTGQSYENMVTEIMSMGYEREQVIAALRASFNNPDRAVEYLLMGIPGDRESQAVVDPPQAASTGAPQSSAVAAAAATTTATTTTTSSGGHPLEFLRNQPQFQQMRQIIQQNPSLLPALLQQIGRENPQLLQQISQHQEHFIQMLNEPVQEAGGQGGGGGGGSGGIAEAGSGHMNYIQVTPQEKEAIERLKALGFPEGLVIQAYFACEKNENLAANFLLQQNFDED
+>DECOY_sp|P54727|RD23B_HUMAN UV excision repair protein RAD23 homolog B OS=Homo sapiens OX=9606 GN=RAD23B PE=1 SV=1
+DEDFNQQLLFNAALNENKECAFYAQIVLGEPFGLAKLREIAEKEQPTVQIYNMHGSGAEAIGGSGGGGGGGQGGAEQVPENLMQIFHEQHQSIQQLLQPNERGIQQLLAPLLSPNQQIIQRMQQFQPQNRLFELPHGGSSTTTTTATTTAAAAAVASSQPAGTSAAQPPDVVAQSERDGPIGMLLYEVARDPNNFSARLAAIVQEREYGMSMIETVMNEYSQGTVLASTADEFLNSRSSDGSTSDTATPSTAVPTEAPKEAPKEQKAASAPAPESSATASAPTISAPTSTPALAPVPTPAQAVTTTTSSTVATTSAPASQQTTAPAPTSVAKPKTVMVVVFNKEDIKYEKLATDDNLIKGAYILKQGAVPFADKGKESEIKEKLAKVTEEPDIDIKFTQQQLTKLTVQM
+>sp|Q8NBN7|RDH13_HUMAN Retinol dehydrogenase 13 OS=Homo sapiens OX=9606 GN=RDH13 PE=1 SV=2
+MSRYLLPLSALGTVAGAAVLLKDYVTGGACPSKATIPGKTVIVTGANTGIGKQTALELARRGGNIILACRDMEKCEAAAKDIRGETLNHHVNARHLDLASLKSIREFAAKIIEEEERVDILINNAGVMRCPHWTTEDGFEMQFGVNHLGHFLLTNLLLDKLKASAPSRIINLSSLAHVAGHIDFDDLNWQTRKYNTKAAYCQSKLAIVLFTKELSRRLQGSGVTVNALHPGVARTELGRHTGIHGSTFSSTTLGPIFWLLVKSPELAAQPSTYLAVAEELADVSGKYFDGLKQKAPAPEAEDEEVARRLWAESARLVGLEAPSVREQPLPR
+>DECOY_sp|Q8NBN7|RDH13_HUMAN Retinol dehydrogenase 13 OS=Homo sapiens OX=9606 GN=RDH13 PE=1 SV=2
+RPLPQERVSPAELGVLRASEAWLRRAVEEDEAEPAPAKQKLGDFYKGSVDALEEAVALYTSPQAALEPSKVLLWFIPGLTTSSFTSGHIGTHRGLETRAVGPHLANVTVGSGQLRRSLEKTFLVIALKSQCYAAKTNYKRTQWNLDDFDIHGAVHALSSLNIIRSPASAKLKDLLLNTLLFHGLHNVGFQMEFGDETTWHPCRMVGANNILIDVREEEEIIKAAFERISKLSALDLHRANVHHNLTEGRIDKAAAECKEMDRCALIINGGRRALELATQKGIGTNAGTVIVTKGPITAKSPCAGGTVYDKLLVAAGAVTGLASLPLLYRSM
+>sp|Q92781|RDH1_HUMAN 11-cis retinol dehydrogenase OS=Homo sapiens OX=9606 GN=RDH5 PE=1 SV=1
+MWLPLLLGALLWAVLWLLRDRQSLPASNAFVFITGCDSGFGRLLALQLDQRGFRVLASCLTPSGAEDLQRVASSRLHTTLLDITDPQSVQQAAKWVEMHVKEAGLFGLVNNAGVAGIIGPTPWLTRDDFQRVLNVNTMGPIGVTLALLPLLQQARGRVINITSVLGRLAANGGGYCVSKFGLEAFSDSLRRDVAHFGIRVSIVEPGFFRTPVTNLESLEKTLQACWARLPPATQAHYGGAFLTKYLKMQQRIMNLICDPDLTKVSRCLEHALTARHPRTRYSPGWDAKLLWLPASYLPASLVDAVLTWVLPKPAQAVY
+>DECOY_sp|Q92781|RDH1_HUMAN 11-cis retinol dehydrogenase OS=Homo sapiens OX=9606 GN=RDH5 PE=1 SV=1
+YVAQAPKPLVWTLVADVLSAPLYSAPLWLLKADWGPSYRTRPHRATLAHELCRSVKTLDPDCILNMIRQQMKLYKTLFAGGYHAQTAPPLRAWCAQLTKELSELNTVPTRFFGPEVISVRIGFHAVDRRLSDSFAELGFKSVCYGGGNAALRGLVSTINIVRGRAQQLLPLLALTVGIPGMTNVNLVRQFDDRTLWPTPGIIGAVGANNVLGFLGAEKVHMEVWKAAQQVSQPDTIDLLTTHLRSSAVRQLDEAGSPTLCSALVRFGRQDLQLALLRGFGSDCGTIFVFANSAPLSQRDRLLWLVAWLLAGLLLPLWM
+>sp|Q8TAI7|REBL1_HUMAN GTPase RhebL1 OS=Homo sapiens OX=9606 GN=RHEBL1 PE=1 SV=1
+MPLVRYRKVVILGYRCVGKTSLAHQFVEGEFSEGYDPTVENTYSKIVTLGKDEFHLHLVDTAGQDEYSILPYSFIIGVHGYVLVYSVTSLHSFQVIESLYQKLHEGHGKTRVPVVLVGNKADLSPEREVQAVEGKKLAESWGATFMESSARENQLTQGIFTKVIQEIARVENSYGQERRCHLM
+>DECOY_sp|Q8TAI7|REBL1_HUMAN GTPase RhebL1 OS=Homo sapiens OX=9606 GN=RHEBL1 PE=1 SV=1
+MLHCRREQGYSNEVRAIEQIVKTFIGQTLQNERASSEMFTAGWSEALKKGEVAQVEREPSLDAKNGVLVVPVRTKGHGEHLKQYLSEIVQFSHLSTVSYVLVYGHVGIIFSYPLISYEDQGATDVLHLHFEDKGLTVIKSYTNEVTPDYGESFEGEVFQHALSTKGVCRYGLIVVKRYRVLPM
+>sp|P61578|REC16_HUMAN Endogenous retrovirus group K member 16 Rec protein OS=Homo sapiens OX=9606 GN=ERVK-16 PE=1 SV=1
+MNPSEMQRKAPPRRRRHRNRAPSSHKMNKMMMSEEQMKLPSTNKAEPLTWAQLNKLTQLATKCLENTKMTQTPESMLLAALMIVSTVSAGVPNSSEETVTIENGP
+>DECOY_sp|P61578|REC16_HUMAN Endogenous retrovirus group K member 16 Rec protein OS=Homo sapiens OX=9606 GN=ERVK-16 PE=1 SV=1
+PGNEITVTEESSNPVGASVTSVIMLAALLMSEPTQTMKTNELCKTALQTLKNLQAWTLPEAKNTSPLKMQEESMMMKNMKHSSPARNRHRRRRPPAKRQMESPNM
+>sp|P61571|REC21_HUMAN Endogenous retrovirus group K member 21 Rec protein OS=Homo sapiens OX=9606 GN=ERVK-21 PE=1 SV=1
+MHPSEMQRKAPPRRRRHRNRAPLTHKMNKMVTSEQMKLPSTKKAEPPTWAQLKKLTQLATKYLENTKVTQTPESMLLAALMIVSMVSAGVPNSSEETATIENGP
+>DECOY_sp|P61571|REC21_HUMAN Endogenous retrovirus group K member 21 Rec protein OS=Homo sapiens OX=9606 GN=ERVK-21 PE=1 SV=1
+PGNEITATEESSNPVGASVMSVIMLAALLMSEPTQTVKTNELYKTALQTLKKLQAWTPPEAKKTSPLKMQESTVMKNMKHTLPARNRHRRRRPPAKRQMESPHM
+>sp|O95980|RECK_HUMAN Reversion-inducing cysteine-rich protein with Kazal motifs OS=Homo sapiens OX=9606 GN=RECK PE=1 SV=1
+MATVRASLRGALLLLLAVAGVAEVAGGLAPGSAGALCCNHSKDNQMCRDVCEQIFSSKSESRLKHLLQRAPDYCPETMVEIWNCMNSSLPGVFKKSDGWVGLGCCELAIALECRQACKQASSKNDISKVCRKEYENALFSCISRNEMGSVCCSYAGHHTNCREYCQAIFRTDSSPGPSQIKAVENYCASISPQLIHCVNNYTQSYPMRNPTDSLYCCDRAEDHACQNACKRILMSKKTEMEIVDGLIEGCKTQPLPQDPLWQCFLESSQSVHPGVTVHPPPSTGLDGAKLHCCSKANTSTCRELCTKLYSMSWGNTQSWQEFDRFCEYNPVEVSMLTCLADVREPCQLGCRNLTYCTNFNNRPTELFRSCNAQSDQGAMNDMKLWEKGSIKMPFINIPVLDIKKCQPEMWKAIACSLQIKPCHSKSRGSIICKSDCVEILKKCGDQNKFPEDHTAESICELLSPTDDLKNCIPLDTYLRPSTLGNIVEEVTHPCNPNPCPANELCEVNRKGCPSGDPCLPYFCVQGCKLGEASDFIVRQGTLIQVPSSAGEVGCYKICSCGQSGLLENCMEMHCIDLQKSCIVGGKRKSHGTSFSIDCNVCSCFAGNLVCSTRLCLSEHSSEDDRRTFTGLPCNCADQFVPVCGQNGRTYPSACIARCVGLQDHQFEFGSCMSKDPCNPNPCQKNQRCIPKPQVCLTTFDKFGCSQYECVPRQLACDQVQDPVCDTDHMEHNNLCTLYQRGKSLSYKGPCQPFCRATEPVCGHNGETYSSVCAAYSDRVAVDYYGDCQAVGVLSEHSSVAECASVKCPSLLAAGCKPIIPPGACCPLCAGMLRVLFDKEKLDTIAKVTNKKPITVLEILQKIRMHVSVPQCDVFGYFSIESEIVILIIPVDHYPKALQIEACNKEAEKIESLINSDSPTLASHVPLSALIISQVQVSSSVPSAGVRARPSCHSLLLPLSLGLALHLLWTYN
+>DECOY_sp|O95980|RECK_HUMAN Reversion-inducing cysteine-rich protein with Kazal motifs OS=Homo sapiens OX=9606 GN=RECK PE=1 SV=1
+NYTWLLHLALGLSLPLLLSHCSPRARVGASPVSSSVQVQSIILASLPVHSALTPSDSNILSEIKEAEKNCAEIQLAKPYHDVPIILIVIESEISFYGFVDCQPVSVHMRIKQLIELVTIPKKNTVKAITDLKEKDFLVRLMGACLPCCAGPPIIPKCGAALLSPCKVSACEAVSSHESLVGVAQCDGYYDVAVRDSYAACVSSYTEGNHGCVPETARCFPQCPGKYSLSKGRQYLTCLNNHEMHDTDCVPDQVQDCALQRPVCEYQSCGFKDFTTLCVQPKPICRQNKQCPNPNCPDKSMCSGFEFQHDQLGVCRAICASPYTRGNQGCVPVFQDACNCPLGTFTRRDDESSHESLCLRTSCVLNGAFCSCVNCDISFSTGHSKRKGGVICSKQLDICHMEMCNELLGSQGCSCIKYCGVEGASSPVQILTGQRVIFDSAEGLKCGQVCFYPLCPDGSPCGKRNVECLENAPCPNPNCPHTVEEVINGLTSPRLYTDLPICNKLDDTPSLLECISEATHDEPFKNQDGCKKLIEVCDSKCIISGRSKSHCPKIQLSCAIAKWMEPQCKKIDLVPINIFPMKISGKEWLKMDNMAGQDSQANCSRFLETPRNNFNTCYTLNRCGLQCPERVDALCTLMSVEVPNYECFRDFEQWSQTNGWSMSYLKTCLERCTSTNAKSCCHLKAGDLGTSPPPHVTVGPHVSQSSELFCQWLPDQPLPQTKCGEILGDVIEMETKKSMLIRKCANQCAHDEARDCCYLSDTPNRMPYSQTYNNVCHILQPSISACYNEVAKIQSPGPSSDTRFIAQCYERCNTHHGAYSCCVSGMENRSICSFLANEYEKRCVKSIDNKSSAQKCAQRCELAIALECCGLGVWGDSKKFVGPLSSNMCNWIEVMTEPCYDPARQLLHKLRSESKSSFIQECVDRCMQNDKSHNCCLAGASGPALGGAVEAVGAVALLLLLAGRLSARVTAM
+>sp|Q01201|RELB_HUMAN Transcription factor RelB OS=Homo sapiens OX=9606 GN=RELB PE=1 SV=2
+MLRSGPASGPSVPTGRAMPSRRVARPPAAPELGALGSPDLSSLSLAVSRSTDELEIIDEYIKENGFGLDGGQPGPGEGLPRLVSRGAASLSTVTLGPVAPPATPPPWGCPLGRLVSPAPGPGPQPHLVITEQPKQRGMRFRYECEGRSAGSILGESSTEASKTLPAIELRDCGGLREVEVTACLVWKDWPHRVHPHSLVGKDCTDGICRVRLRPHVSPRHSFNNLGIQCVRKKEIEAAIERKIQLGIDPYNAGSLKNHQEVDMNVVRICFQASYRDQQGQMRRMDPVLSEPVYDKKSTNTSELRICRINKESGPCTGGEELYLLCDKVQKEDISVVFSRASWEGRADFSQADVHRQIAIVFKTPPYEDLEIVEPVTVNVFLQRLTDGVCSEPLPFTYLPRDHDSYGVDKKRKRGMPDVLGELNSSDPHGIESKRRKKKPAILDHFLPNHGSGPFLPPSALLPDPDFFSGTVSLPGLEPPGGPDLLDDGFAYDPTAPTLFTMLDLLPPAPPHASAVVCSGGAGAVVGETPGPEPLTLDSYQAPGPGDGGTASLVGSNMFPNHYREAAFGGGLLSPGPEAT
+>DECOY_sp|Q01201|RELB_HUMAN Transcription factor RelB OS=Homo sapiens OX=9606 GN=RELB PE=1 SV=2
+TAEPGPSLLGGGFAAERYHNPFMNSGVLSATGGDGPGPAQYSDLTLPEPGPTEGVVAGAGGSCVVASAHPPAPPLLDLMTFLTPATPDYAFGDDLLDPGGPPELGPLSVTGSFFDPDPLLASPPLFPGSGHNPLFHDLIAPKKKRRKSEIGHPDSSNLEGLVDPMGRKRKKDVGYSDHDRPLYTFPLPESCVGDTLRQLFVNVTVPEVIELDEYPPTKFVIAIQRHVDAQSFDARGEWSARSFVVSIDEKQVKDCLLYLEEGGTCPGSEKNIRCIRLESTNTSKKDYVPESLVPDMRRMQGQQDRYSAQFCIRVVNMDVEQHNKLSGANYPDIGLQIKREIAAEIEKKRVCQIGLNNFSHRPSVHPRLRVRCIGDTCDKGVLSHPHVRHPWDKWVLCATVEVERLGGCDRLEIAPLTKSAETSSEGLISGASRGECEYRFRMGRQKPQETIVLHPQPGPGPAPSVLRGLPCGWPPPTAPPAVPGLTVTSLSAAGRSVLRPLGEGPGPQGGDLGFGNEKIYEDIIELEDTSRSVALSLSSLDPSGLAGLEPAAPPRAVRRSPMARGTPVSPGSAPGSRLM
+>sp|Q04864|REL_HUMAN Proto-oncogene c-Rel OS=Homo sapiens OX=9606 GN=REL PE=1 SV=1
+MASGAYNPYIEIIEQPRQRGMRFRYKCEGRSAGSIPGEHSTDNNRTYPSIQIMNYYGKGKVRITLVTKNDPYKPHPHDLVGKDCRDGYYEAEFGQERRPLFFQNLGIRCVKKKEVKEAIITRIKAGINPFNVPEKQLNDIEDCDLNVVRLCFQVFLPDEHGNLTTALPPVVSNPIYDNRAPNTAELRICRVNKNCGSVRGGDEIFLLCDKVQKDDIEVRFVLNDWEAKGIFSQADVHRQVAIVFKTPPYCKAITEPVTVKMQLRRPSDQEVSESMDFRYLPDEKDTYGNKAKKQKTTLLFQKLCQDHVETGFRHVDQDGLELLTSGDPPTLASQSAGITVNFPERPRPGLLGSIGEGRYFKKEPNLFSHDAVVREMPTGVSSQAESYYPSPGPISSGLSHHASMAPLPSSSWSSVAHPTPRSGNTNPLSSFSTRTLPSNSQGIPPFLRIPVGNDLNASNACIYNNADDIVGMEASSMPSADLYGISDPNMLSNCSVNMMTTSSDSMGETDNPRLLSMNLENPSCNSVLDPRDLRQLHQMSSSSMSAGANSNTTVFVSQSDAFEGSDFSCADNSMINESGPSNSTNPNSHGFVQDSQYSGIGSMQNEQLSDSFPYEFFQV
+>DECOY_sp|Q04864|REL_HUMAN Proto-oncogene c-Rel OS=Homo sapiens OX=9606 GN=REL PE=1 SV=1
+VQFFEYPFSDSLQENQMSGIGSYQSDQVFGHSNPNTSNSPGSENIMSNDACSFDSGEFADSQSVFVTTNSNAGASMSSSSMQHLQRLDRPDLVSNCSPNELNMSLLRPNDTEGMSDSSTTMMNVSCNSLMNPDSIGYLDASPMSSAEMGVIDDANNYICANSANLDNGVPIRLFPPIGQSNSPLTRTSFSSLPNTNGSRPTPHAVSSWSSSPLPAMSAHHSLGSSIPGPSPYYSEAQSSVGTPMERVVADHSFLNPEKKFYRGEGISGLLGPRPREPFNVTIGASQSALTPPDGSTLLELGDQDVHRFGTEVHDQCLKQFLLTTKQKKAKNGYTDKEDPLYRFDMSESVEQDSPRRLQMKVTVPETIAKCYPPTKFVIAVQRHVDAQSFIGKAEWDNLVFRVEIDDKQVKDCLLFIEDGGRVSGCNKNVRCIRLEATNPARNDYIPNSVVPPLATTLNGHEDPLFVQFCLRVVNLDCDEIDNLQKEPVNFPNIGAKIRTIIAEKVEKKKVCRIGLNQFFLPRREQGFEAEYYGDRCDKGVLDHPHPKYPDNKTVLTIRVKGKGYYNMIQISPYTRNNDTSHEGPISGASRGECKYRFRMGRQRPQEIIEIYPNYAGSAM
+>sp|P00797|RENI_HUMAN Renin OS=Homo sapiens OX=9606 GN=REN PE=1 SV=1
+MDGWRRMPRWGLLLLLWGSCTFGLPTDTTTFKRIFLKRMPSIRESLKERGVDMARLGPEWSQPMKRLTLGNTTSSVILTNYMDTQYYGEIGIGTPPQTFKVVFDTGSSNVWVPSSKCSRLYTACVYHKLFDASDSSSYKHNGTELTLRYSTGTVSGFLSQDIITVGGITVTQMFGEVTEMPALPFMLAEFDGVVGMGFIEQAIGRVTPIFDNIISQGVLKEDVFSFYYNRDSENSQSLGGQIVLGGSDPQHYEGNFHYINLIKTGVWQIQMKGVSVGSSTLLCEDGCLALVDTGASYISGSTSSIEKLMEALGAKKRLFDYVVKCNEGPTLPDISFHLGGKEYTLTSADYVFQESYSSKKLCTLAIHAMDIPPPTGPTWALGATFIRKFYTEFDRRNNRIGFALAR
+>DECOY_sp|P00797|RENI_HUMAN Renin OS=Homo sapiens OX=9606 GN=REN PE=1 SV=1
+RALAFGIRNNRRDFETYFKRIFTAGLAWTPGTPPPIDMAHIALTCLKKSSYSEQFVYDASTLTYEKGGLHFSIDPLTPGENCKVVYDFLRKKAGLAEMLKEISSTSGSIYSAGTDVLALCGDECLLTSSGVSVGKMQIQWVGTKILNIYHFNGEYHQPDSGGLVIQGGLSQSNESDRNYYFSFVDEKLVGQSIINDFIPTVRGIAQEIFGMGVVGDFEALMFPLAPMETVEGFMQTVTIGGVTIIDQSLFGSVTGTSYRLTLETGNHKYSSSDSADFLKHYVCATYLRSCKSSPVWVNSSGTDFVVKFTQPPTGIGIEGYYQTDMYNTLIVSSTTNGLTLRKMPQSWEPGLRAMDVGREKLSERISPMRKLFIRKFTTTDTPLGFTCSGWLLLLLGWRPMRRWGDM
+>sp|Q13127|REST_HUMAN RE1-silencing transcription factor OS=Homo sapiens OX=9606 GN=REST PE=1 SV=3
+MATQVMGQSSGGGGLFTSSGNIGMALPNDMYDLHDLSKAELAAPQLIMLANVALTGEVNGSCCDYLVGEERQMAELMPVGDNNFSDSEEGEGLEESADIKGEPHGLENMELRSLELSVVEPQPVFEASGAPDIYSSNKDLPPETPGAEDKGKSSKTKPFRCKPCQYEAESEEQFVHHIRVHSAKKFFVEESAEKQAKARESGSSTAEEGDFSKGPIRCDRCGYNTNRYDHYTAHLKHHTRAGDNERVYKCIICTYTTVSEYHWRKHLRNHFPRKVYTCGKCNYFSDRKNNYVQHVRTHTGERPYKCELCPYSSSQKTHLTRHMRTHSGEKPFKCDQCSYVASNQHEVTRHARQVHNGPKPLNCPHCDYKTADRSNFKKHVELHVNPRQFNCPVCDYAASKKCNLQYHFKSKHPTCPNKTMDVSKVKLKKTKKREADLPDNITNEKTEIEQTKIKGDVAGKKNEKSVKAEKRDVSKEKKPSNNVSVIQVTTRTRKSVTEVKEMDVHTGSNSEKFSKTKKSKRKLEVDSHSLHGPVNDEESSTKKKKKVESKSKNNSQEVPKGDSKVEENKKQNTCMKKSTKKKTLKNKSSKKSSKPPQKEPVEKGSAQMDPPQMGPAPTEAVQKGPVQVEPPPPMEHAQMEGAQIRPAPDEPVQMEVVQEGPAQKELLPPVEPAQMVGAQIVLAHMELPPPMETAQTEVAQMGPAPMEPAQMEVAQVESAPMQVVQKEPVQMELSPPMEVVQKEPVQIELSPPMEVVQKEPVKIELSPPIEVVQKEPVQMELSPPMGVVQKEPAQREPPPPREPPLHMEPISKKPPLRKDKKEKSNMQSERARKEQVLIEVGLVPVKDSWLLKESVSTEDLSPPSPPLPKENLREEASGDQKLLNTGEGNKEAPLQKVGAEEADESLPGLAANINESTHISSSGQNLNTPEGETLNGKHQTDSIVCEMKMDTDQNTRENLTGINSTVEEPVSPMLPPSAVEEREAVSKTALASPPATMAANESQEIDEDEGIHSHEGSDLSDNMSEGSDDSGLHGARPVPQESSRKNAKEALAVKAAKGDFVCIFCDRSFRKGKDYSKHLNRHLVNVYYLEEAAQGQE
+>DECOY_sp|Q13127|REST_HUMAN RE1-silencing transcription factor OS=Homo sapiens OX=9606 GN=REST PE=1 SV=3
+EQGQAAEELYYVNVLHRNLHKSYDKGKRFSRDCFICVFDGKAAKVALAEKANKRSSEQPVPRAGHLGSDDSGESMNDSLDSGEHSHIGEDEDIEQSENAAMTAPPSALATKSVAEREEVASPPLMPSVPEEVTSNIGTLNERTNQDTDMKMECVISDTQHKGNLTEGEPTNLNQGSSSIHTSENINAALGPLSEDAEEAGVKQLPAEKNGEGTNLLKQDGSAEERLNEKPLPPSPPSLDETSVSEKLLWSDKVPVLGVEILVQEKRARESQMNSKEKKDKRLPPKKSIPEMHLPPERPPPPERQAPEKQVVGMPPSLEMQVPEKQVVEIPPSLEIKVPEKQVVEMPPSLEIQVPEKQVVEMPPSLEMQVPEKQVVQMPASEVQAVEMQAPEMPAPGMQAVETQATEMPPPLEMHALVIQAGVMQAPEVPPLLEKQAPGEQVVEMQVPEDPAPRIQAGEMQAHEMPPPPEVQVPGKQVAETPAPGMQPPDMQASGKEVPEKQPPKSSKKSSKNKLTKKKTSKKMCTNQKKNEEVKSDGKPVEQSNNKSKSEVKKKKKTSSEEDNVPGHLSHSDVELKRKSKKTKSFKESNSGTHVDMEKVETVSKRTRTTVQIVSVNNSPKKEKSVDRKEAKVSKENKKGAVDGKIKTQEIETKENTINDPLDAERKKTKKLKVKSVDMTKNPCTPHKSKFHYQLNCKKSAAYDCVPCNFQRPNVHLEVHKKFNSRDATKYDCHPCNLPKPGNHVQRAHRTVEHQNSAVYSCQDCKFPKEGSHTRMHRTLHTKQSSSYPCLECKYPREGTHTRVHQVYNNKRDSFYNCKGCTYVKRPFHNRLHKRWHYESVTTYTCIICKYVRENDGARTHHKLHATYHDYRNTNYGCRDCRIPGKSFDGEEATSSGSERAKAQKEASEEVFFKKASHVRIHHVFQEESEAEYQCPKCRFPKTKSSKGKDEAGPTEPPLDKNSSYIDPAGSAEFVPQPEVVSLELSRLEMNELGHPEGKIDASEELGEGEESDSFNNDGVPMLEAMQREEGVLYDCCSGNVEGTLAVNALMILQPAALEAKSLDHLDYMDNPLAMGINGSSTFLGGGGSSQGMVQTAM
+>sp|Q9H6L5|RETR1_HUMAN Reticulophagy regulator 1 OS=Homo sapiens OX=9606 GN=RETREG1 PE=1 SV=1
+MASPAPPEHAEEGCPAPAAEEQAPPSPPPPQASPAERQQQEEEAQEAGAAEGAGLQVEEAAGRAAAAVTWLLGEPVLWLGCRADELLSWKRPLRSLLGFVAANLLFWFLALTPWRVYHLISVMILGRVIMQIIKDMVLSRTRGAQLWRSLSESWEVINSKPDERPRLSHCIAESWMNFSIFLQEMSLFKQQSPGKFCLLVCSVCTFFTILGSYIPGVILSYLLLLCAFLCPLFKCNDIGQKIYSKIKSVLLKLDFGIGEYINQKKRERSEADKEKSHKDDSELDFSALCPKISLTVAAKELSVSDTDVSEVSWTDNGTFNLSEGYTPQTDTSDDLDRPSEEVFSRDLSDFPSLENGMGTNDEDELSLGLPTELKRKKEQLDSGHRPSKETQSAAGLTLPLNSDQTFHLMSNLAGDVITAAVTAAIKDQLEGVQQALSQAAPIPEEDTDTEEGDDFELLDQSELDQIESELGLTQDQEAEAQQNKKSSGFLSNLLGGH
+>DECOY_sp|Q9H6L5|RETR1_HUMAN Reticulophagy regulator 1 OS=Homo sapiens OX=9606 GN=RETREG1 PE=1 SV=1
+HGGLLNSLFGSSKKNQQAEAEQDQTLGLESEIQDLESQDLLEFDDGEETDTDEEPIPAAQSLAQQVGELQDKIAATVAATIVDGALNSMLHFTQDSNLPLTLGAASQTEKSPRHGSDLQEKKRKLETPLGLSLEDEDNTGMGNELSPFDSLDRSFVEESPRDLDDSTDTQPTYGESLNFTGNDTWSVESVDTDSVSLEKAAVTLSIKPCLASFDLESDDKHSKEKDAESRERKKQNIYEGIGFDLKLLVSKIKSYIKQGIDNCKFLPCLFACLLLLYSLIVGPIYSGLITFFTCVSCVLLCFKGPSQQKFLSMEQLFISFNMWSEAICHSLRPREDPKSNIVEWSESLSRWLQAGRTRSLVMDKIIQMIVRGLIMVSILHYVRWPTLALFWFLLNAAVFGLLSRLPRKWSLLEDARCGLWLVPEGLLWTVAAAARGAAEEVQLGAGEAAGAEQAEEEQQQREAPSAQPPPPSPPAQEEAAPAPCGEEAHEPPAPSAM
+>sp|Q9UBZ9|REV1_HUMAN DNA repair protein REV1 OS=Homo sapiens OX=9606 GN=REV1 PE=1 SV=1
+MRRGGWRKRAENDGWETWGGYMAAKVQKLEEQFRSDAAMQKDGTSSTIFSGVAIYVNGYTDPSAEELRKLMMLHGGQYHVYYSRSKTTHIIATNLPNAKIKELKGEKVIRPEWIVESIKAGRLLSYIPYQLYTKQSSVQKGLSFNPVCRPEDPLPGPSNIAKQLNNRVNHIVKKIETENEVKVNGMNSWNEEDENNDFSFVDLEQTSPGRKQNGIPHPRGSTAIFNGHTPSSNGALKTQDCLVPMVNSVASRLSPAFSQEEDKAEKSSTDFRDCTLQQLQQSTRNTDALRNPHRTNSFSLSPLHSNTKINGAHHSTVQGPSSTKSTSSVSTFSKAAPSVPSKPSDCNFISNFYSHSRLHHISMWKCELTEFVNTLQRQSNGIFPGREKLKKMKTGRSALVVTDTGDMSVLNSPRHQSCIMHVDMDCFFVSVGIRNRPDLKGKPVAVTSNRGTGRAPLRPGANPQLEWQYYQNKILKGKAADIPDSSLWENPDSAQANGIDSVLSRAEIASCSYEARQLGIKNGMFFGHAKQLCPNLQAVPYDFHAYKEVAQTLYETLASYTHNIEAVSCDEALVDITEILAETKLTPDEFANAVRMEIKDQTKCAASVGIGSNILLARMATRKAKPDGQYHLKPEEVDDFIRGQLVTNLPGVGHSMESKLASLGIKTCGDLQYMTMAKLQKEFGPKTGQMLYRFCRGLDDRPVRTEKERKSVSAEINYGIRFTQPKEAEAFLLSLSEEIQRRLEATGMKGKRLTLKIMVRKPGAPVETAKFGGHGICDNIARTVTLDQATDNAKIIGKAMLNMFHTMKLNISDMRGVGIHVNQLVPTNLNPSTCPSRPSVQSSHFPSGSYSVRDVFQVQKAKKSTEEEHKEVFRAAVDLEISSASRTCTFLPPFPAHLPTSPDTNKAESSGKWNGLHTPVSVQSRLNLSIEVPSPSQLDQSVLEALPPDLREQVEQVCAVQQAESHGDKKKEPVNGCNTGILPQPVGTVLLQIPEPQESNSDAGINLIALPAFSQVDPEVFAALPAELQRELKAAYDQRQRQGENSTHQQSASASVPKNPLLHLKAAVKEKKRNKKKKTIGSPKRIQSPLNNKLLNSPAKTLPGACGSPQKLIDGFLKHEGPPAEKPLEELSASTSGVPGLSSLQSDPAGCVRPPAPNLAGAVEFNDVKTLLREWITTISDPMEEDILQVVKYCTDLIEEKDLEKLDLVIKYMKRLMQQSVESVWNMAFDFILDNVQVVLQQTYGSTLKVT
+>DECOY_sp|Q9UBZ9|REV1_HUMAN DNA repair protein REV1 OS=Homo sapiens OX=9606 GN=REV1 PE=1 SV=1
+TVKLTSGYTQQLVVQVNDLIFDFAMNWVSEVSQQMLRKMYKIVLDLKELDKEEILDTCYKVVQLIDEEMPDSITTIWERLLTKVDNFEVAGALNPAPPRVCGAPDSQLSSLGPVGSTSASLEELPKEAPPGEHKLFGDILKQPSGCAGPLTKAPSNLLKNNLPSQIRKPSGITKKKKNRKKEKVAAKLHLLPNKPVSASASQQHTSNEGQRQRQDYAAKLERQLEAPLAAFVEPDVQSFAPLAILNIGADSNSEQPEPIQLLVTGVPQPLIGTNCGNVPEKKKDGHSEAQQVACVQEVQERLDPPLAELVSQDLQSPSPVEISLNLRSQVSVPTHLGNWKGSSEAKNTDPSTPLHAPFPPLFTCTRSASSIELDVAARFVEKHEEETSKKAKQVQFVDRVSYSGSPFHSSQVSPRSPCTSPNLNTPVLQNVHIGVGRMDSINLKMTHFMNLMAKGIIKANDTAQDLTVTRAINDCIGHGGFKATEVPAGPKRVMIKLTLRKGKMGTAELRRQIEESLSLLFAEAEKPQTFRIGYNIEASVSKREKETRVPRDDLGRCFRYLMQGTKPGFEKQLKAMTMYQLDGCTKIGLSALKSEMSHGVGPLNTVLQGRIFDDVEEPKLHYQGDPKAKRTAMRALLINSGIGVSAACKTQDKIEMRVANAFEDPTLKTEALIETIDVLAEDCSVAEINHTYSALTEYLTQAVEKYAHFDYPVAQLNPCLQKAHGFFMGNKIGLQRAEYSCSAIEARSLVSDIGNAQASDPNEWLSSDPIDAAKGKLIKNQYYQWELQPNAGPRLPARGTGRNSTVAVPKGKLDPRNRIGVSVFFCDMDVHMICSQHRPSNLVSMDGTDTVVLASRGTKMKKLKERGPFIGNSQRQLTNVFETLECKWMSIHHLRSHSYFNSIFNCDSPKSPVSPAAKSFTSVSSTSKTSSPGQVTSHHAGNIKTNSHLPSLSFSNTRHPNRLADTNRTSQQLQQLTCDRFDTSSKEAKDEEQSFAPSLRSAVSNVMPVLCDQTKLAGNSSPTHGNFIATSGRPHPIGNQKRGPSTQELDVFSFDNNEDEENWSNMGNVKVENETEIKKVIHNVRNNLQKAINSPGPLPDEPRCVPNFSLGKQVSSQKTYLQYPIYSLLRGAKISEVIWEPRIVKEGKLEKIKANPLNTAIIHTTKSRSYYVHYQGGHLMMLKRLEEASPDTYGNVYIAVGSFITSSTGDKQMAADSRFQEELKQVKAAMYGGWTEWGDNEARKRWGGRRM
+>sp|P27694|RFA1_HUMAN Replication protein A 70 kDa DNA-binding subunit OS=Homo sapiens OX=9606 GN=RPA1 PE=1 SV=2
+MVGQLSEGAIAAIMQKGDTNIKPILQVINIRPITTGNSPPRYRLLMSDGLNTLSSFMLATQLNPLVEEEQLSSNCVCQIHRFIVNTLKDGRRVVILMELEVLKSAEAVGVKIGNPVPYNEGLGQPQVAPPAPAASPAASSRPQPQNGSSGMGSTVSKAYGASKTFGKAAGPSLSHTSGGTQSKVVPIASLTPYQSKWTICARVTNKSQIRTWSNSRGEGKLFSLELVDESGEIRATAFNEQVDKFFPLIEVNKVYYFSKGTLKIANKQFTAVKNDYEMTFNNETSVMPCEDDHHLPTVQFDFTGIDDLENKSKDSLVDIIGICKSYEDATKITVRSNNREVAKRNIYLMDTSGKVVTATLWGEDADKFDGSRQPVLAIKGARVSDFGGRSLSVLSSSTIIANPDIPEAYKLRGWFDAEGQALDGVSISDLKSGGVGGSNTNWKTLYEVKSENLGQGDKPDYFSSVATVVYLRKENCMYQACPTQDCNKKVIDQQNGLYRCEKCDTEFPNFKYRMILSVNIADFQENQWVTCFQESAEAILGQNAAYLGELKDKNEQAFEEVFQNANFRSFIFRVRVKVETYNDESRIKATVMDVKPVDYREYGRRLVMSIRRSALM
+>DECOY_sp|P27694|RFA1_HUMAN Replication protein A 70 kDa DNA-binding subunit OS=Homo sapiens OX=9606 GN=RPA1 PE=1 SV=2
+MLASRRISMVLRRGYERYDVPKVDMVTAKIRSEDNYTEVKVRVRFIFSRFNANQFVEEFAQENKDKLEGLYAANQGLIAEASEQFCTVWQNEQFDAINVSLIMRYKFNPFETDCKECRYLGNQQDIVKKNCDQTPCAQYMCNEKRLYVVTAVSSFYDPKDGQGLNESKVEYLTKWNTNSGGVGGSKLDSISVGDLAQGEADFWGRLKYAEPIDPNAIITSSSLVSLSRGGFDSVRAGKIALVPQRSGDFKDADEGWLTATVVKGSTDMLYINRKAVERNNSRVTIKTADEYSKCIGIIDVLSDKSKNELDDIGTFDFQVTPLHHDDECPMVSTENNFTMEYDNKVATFQKNAIKLTGKSFYYVKNVEILPFFKDVQENFATARIEGSEDVLELSFLKGEGRSNSWTRIQSKNTVRACITWKSQYPTLSAIPVVKSQTGGSTHSLSPGAAKGFTKSAGYAKSVTSGMGSSGNQPQPRSSAAPSAAPAPPAVQPQGLGENYPVPNGIKVGVAEASKLVELEMLIVVRRGDKLTNVIFRHIQCVCNSSLQEEEVLPNLQTALMFSSLTNLGDSMLLRYRPPSNGTTIPRINIVQLIPKINTDGKQMIAAIAGESLQGVM
+>sp|P40937|RFC5_HUMAN Replication factor C subunit 5 OS=Homo sapiens OX=9606 GN=RFC5 PE=1 SV=1
+METSALKQQEQPAATKIRNLPWVEKYRPQTLNDLISHQDILSTIQKFINEDRLPHLLLYGPPGTGKTSTILACAKQLYKDKEFGSMVLELNASDDRGIDIIRGPILSFASTRTIFKKGFKLVILDEADAMTQDAQNALRRVIEKFTENTRFCLICNYLSKIIPALQSRCTRFRFGPLTPELMVPRLEHVVEEEKVDISEDGMKALVTLSSGDMRRALNILQSTNMAFGKVTEETVYTCTGHPLKSDIANILDWMLNQDFTTAYRNITELKTLKGLALHDILTEIHLFVHRVDFPSSVRIHLLTKMADIEYRLSVGTNEKIQLSSLIAAFQVTRDLIVAEA
+>DECOY_sp|P40937|RFC5_HUMAN Replication factor C subunit 5 OS=Homo sapiens OX=9606 GN=RFC5 PE=1 SV=1
+AEAVILDRTVQFAAILSSLQIKENTGVSLRYEIDAMKTLLHIRVSSPFDVRHVFLHIETLIDHLALGKLTKLETINRYATTFDQNLMWDLINAIDSKLPHGTCTYVTEETVKGFAMNTSQLINLARRMDGSSLTVLAKMGDESIDVKEEEVVHELRPVMLEPTLPGFRFRTCRSQLAPIIKSLYNCILCFRTNETFKEIVRRLANQADQTMADAEDLIVLKFGKKFITRTSAFSLIPGRIIDIGRDDSANLELVMSGFEKDKYLQKACALITSTKGTGPPGYLLLHPLRDENIFKQITSLIDQHSILDNLTQPRYKEVWPLNRIKTAAPQEQQKLASTEM
+>sp|Q7L804|RFIP2_HUMAN Rab11 family-interacting protein 2 OS=Homo sapiens OX=9606 GN=RAB11FIP2 PE=1 SV=1
+MMLSEQAQKWFPTHVQVTVLQAKDLKPKGKSGTNDTYTIIQLGKEKYSTSVAEKTLEPVWKEEASFELPGLLIQGSPEKYILFLIVMHRSLVGLDKFLGQVAINLNDIFEDKQRRKTEWFRLESKQGKRIKNRGEIKVNIQFMRNNMTASMFDLSMKDKTRSPFAKLKDKMKGRKNDGTFSDTSSAIIPSTHMPDANSEFSSGEIQMKSKPKKPFLLGPQRLSSAHSMSDLSGSHMSSEKLKAGTIGQTHLLGHQLDSFGTVPESGSLKSPHRRTLSFDTSKMNQPDSIVDEGELCFGRQNDPFTNVTASLPQKFATLPRKKNPFEESSETWDSSMNLFSKPIEIRKENKREKREKVSLFERVTGKKDSRRSDKLNNGGSDSPCDLKSPNAFSENRQDYFDYESTNPFTAKFRASNIMPSSSFHMSPTSNEDLRKIPDSNPFDATAGYRSLTYEEVLQELVKHKELLRRKDTHIRELEDYIDNLLVRVMEETPSILRVPYEPSRKAGKFSNS
+>DECOY_sp|Q7L804|RFIP2_HUMAN Rab11 family-interacting protein 2 OS=Homo sapiens OX=9606 GN=RAB11FIP2 PE=1 SV=1
+SNSFKGAKRSPEYPVRLISPTEEMVRVLLNDIYDELERIHTDKRRLLEKHKVLEQLVEEYTLSRYGATADFPNSDPIKRLDENSTPSMHFSSSPMINSARFKATFPNTSEYDFYDQRNESFANPSKLDCPSDSGGNNLKDSRRSDKKGTVREFLSVKERKERKNEKRIEIPKSFLNMSSDWTESSEEFPNKKRPLTAFKQPLSATVNTFPDNQRGFCLEGEDVISDPQNMKSTDFSLTRRHPSKLSGSEPVTGFSDLQHGLLHTQGITGAKLKESSMHSGSLDSMSHASSLRQPGLLFPKKPKSKMQIEGSSFESNADPMHTSPIIASSTDSFTGDNKRGKMKDKLKAFPSRTKDKMSLDFMSATMNNRMFQINVKIEGRNKIRKGQKSELRFWETKRRQKDEFIDNLNIAVQGLFKDLGVLSRHMVILFLIYKEPSGQILLGPLEFSAEEKWVPELTKEAVSTSYKEKGLQIITYTDNTGSKGKPKLDKAQLVTVQVHTPFWKQAQESLMM
+>sp|O75154|RFIP3_HUMAN Rab11 family-interacting protein 3 OS=Homo sapiens OX=9606 GN=RAB11FIP3 PE=1 SV=1
+MASAPPASPPGSEPPGPDPEPGGPDGPGAAQLAPGPAELRLGAPVGGPDPQSPGLDEPAPGAAADGGARWSAGPAPGLEGGPRDPGPSAPPPRSGPRGQLASPDAPGPGPRSEAPLPELDPLFSWTEEPEECGPASCPESAPFRLQGSSSSHRARGEVDVFSPFPAPTAGELALEQGPGSPPQPSDLSQTHPLPSEPVGSQEDGPRLRAVFDALDGDGDGFVRIEDFIQFATVYGAEQVKDLTKYLDPSGLGVISFEDFYQGITAIRNGDPDGQCYGGVASAQDEEPLACPDEFDDFVTYEANEVTDSAYMGSESTYSECETFTDEDTSTLVHPELQPEGDADSAGGSAVPSECLDAMEEPDHGALLLLPGRPHPHGQSVITVIGGEEHFEDYGEGSEAELSPETLCNGQLGCSDPAFLTPSPTKRLSSKKVARYLHQSGALTMEALEDPSPELMEGPEEDIADKVVFLERRVLELEKDTAATGEQHSRLRQENLQLVHRANALEEQLKEQELRACEMVLEETRRQKELLCKMEREKSIEIENLQTRLQQLDEENSELRSCTPCLKANIERLEEEKQKLLDEIESLTLRLSEEQENKRRMGDRLSHERHQFQRDKEATQELIEDLRKQLEHLQLLKLEAEQRRGRSSSMGLQEYHSRARESELEQEVRRLKQDNRNLKEQNEELNGQIITLSIQGAKSLFSTAFSESLAAEISSVSRDELMEAIQKQEEINFRLQDYIDRIIVAIMETNPSILEVK
+>DECOY_sp|O75154|RFIP3_HUMAN Rab11 family-interacting protein 3 OS=Homo sapiens OX=9606 GN=RAB11FIP3 PE=1 SV=1
+KVELISPNTEMIAVIIRDIYDQLRFNIEEQKQIAEMLEDRSVSSIEAALSESFATSFLSKAGQISLTIIQGNLEENQEKLNRNDQKLRRVEQELESERARSHYEQLGMSSSRGRRQEAELKLLQLHELQKRLDEILEQTAEKDRQFQHREHSLRDGMRRKNEQEESLRLTLSEIEDLLKQKEEELREINAKLCPTCSRLESNEEDLQQLRTQLNEIEISKEREMKCLLEKQRRTEELVMECARLEQEKLQEELANARHVLQLNEQRLRSHQEGTAATDKELELVRRELFVVKDAIDEEPGEMLEPSPDELAEMTLAGSQHLYRAVKKSSLRKTPSPTLFAPDSCGLQGNCLTEPSLEAESGEGYDEFHEEGGIVTIVSQGHPHPRGPLLLLAGHDPEEMADLCESPVASGGASDADGEPQLEPHVLTSTDEDTFTECESYTSESGMYASDTVENAEYTVFDDFEDPCALPEEDQASAVGGYCQGDPDGNRIATIGQYFDEFSIVGLGSPDLYKTLDKVQEAGYVTAFQIFDEIRVFGDGDGDLADFVARLRPGDEQSGVPESPLPHTQSLDSPQPPSGPGQELALEGATPAPFPSFVDVEGRARHSSSSGQLRFPASEPCSAPGCEEPEETWSFLPDLEPLPAESRPGPGPADPSALQGRPGSRPPPASPGPDRPGGELGPAPGASWRAGGDAAAGPAPEDLGPSQPDPGGVPAGLRLEAPGPALQAAGPGDPGGPEPDPGPPESGPPSAPPASAM
+>sp|Q9NWB1|RFOX1_HUMAN RNA binding protein fox-1 homolog 1 OS=Homo sapiens OX=9606 GN=RBFOX1 PE=1 SV=2
+MNCEREQLRGNQEAAAAPDTMAQPYASAQFAPPQNGIPAEYTAPHPHPAPEYTGQTTVPEHTLNLYPPAQTHSEQSPADTSAQTVSGTATQTDDAAPTDGQPQTQPSENTENKSQPKRLHVSNIPFRFRDPDLRQMFGQFGKILDVEIIFNERGSKGFGFVTFENSADADRAREKLHGTVVEGRKIEVNNATARVMTNKKTVNPYTNGWKLNPVVGAVYSPEFYAGTVLLCQANQEGSSMYSAPSSLVYTSAMPGFPYPAATAAAAYRGAHLRGRGRTVYNTFRAAAPPPPIPAYGGVVYQDGFYGADIYGGYAAYRYAQPTPATAAAYSDSYGRVYAADPYHHALAPAPTYGVGAMNAFAPLTDAKTRSHADDVGLVLSSLQASIYRGGYNRFAPY
+>DECOY_sp|Q9NWB1|RFOX1_HUMAN RNA binding protein fox-1 homolog 1 OS=Homo sapiens OX=9606 GN=RBFOX1 PE=1 SV=2
+YPAFRNYGGRYISAQLSSLVLGVDDAHSRTKADTLPAFANMAGVGYTPAPALAHHYPDAAYVRGYSDSYAAATAPTPQAYRYAAYGGYIDAGYFGDQYVVGGYAPIPPPPAAARFTNYVTRGRGRLHAGRYAAAATAAPYPFGPMASTYVLSSPASYMSSGEQNAQCLLVTGAYFEPSYVAGVVPNLKWGNTYPNVTKKNTMVRATANNVEIKRGEVVTGHLKERARDADASNEFTVFGFGKSGRENFIIEVDLIKGFQGFMQRLDPDRFRFPINSVHLRKPQSKNETNESPQTQPQGDTPAADDTQTATGSVTQASTDAPSQESHTQAPPYLNLTHEPVTTQGTYEPAPHPHPATYEAPIGNQPPAFQASAYPQAMTDPAAAAEQNGRLQERECNM
+>sp|Q96AA3|RFT1_HUMAN Protein RFT1 homolog OS=Homo sapiens OX=9606 GN=RFT1 PE=1 SV=1
+MGSQEVLGHAARLASSGLLLQVLFRLITFVLNAFILRFLSKEIVGVVNVRLTLLYSTTLFLAREAFRRACLSGGTQRDWSQTLNLLWLTVPLGVFWSLFLGWIWLQLLEVPDPNVVPHYATGVVLFGLSAVVELLGEPFWVLAQAHMFVKLKVIAESLSVILKSVLTAFLVLWLPHWGLYIFSLAQLFYTTVLVLCYVIYFTKLLGSPESTKLQTLPVSRITDLLPNITRNGAFINWKEAKLTWSFFKQSFLKQILTEGERYVMTFLNVLNFGDQGVYDIVNNLGSLVARLIFQPIEESFYIFFAKVLERGKDATLQKQEDVAVAAAVLESLLKLALLAGLTITVFGFAYSQLALDIYGGTMLSSGSGPVLLRSYCLYVLLLAINGVTECFTFAAMSKEEVDRYNFVMLALSSSFLVLSYLLTRWCGSVGFILANCFNMGIRITQSLCFIHRYYRRSPHRPLAGLHLSPVLLGTFALSGGVTAVSEVFLCCEQGWPARLAHIAVGAFCLGATLGTAFLTETKLIHFLRTQLGVPRRTDKMT
+>DECOY_sp|Q96AA3|RFT1_HUMAN Protein RFT1 homolog OS=Homo sapiens OX=9606 GN=RFT1 PE=1 SV=1
+TMKDTRRPVGLQTRLFHILKTETLFATGLTAGLCFAGVAIHALRAPWGQECCLFVESVATVGGSLAFTGLLVPSLHLGALPRHPSRRYYRHIFCLSQTIRIGMNFCNALIFGVSGCWRTLLYSLVLFSSSLALMVFNYRDVEEKSMAAFTFCETVGNIALLLVYLCYSRLLVPGSGSSLMTGGYIDLALQSYAFGFVTITLGALLALKLLSELVAAAVAVDEQKQLTADKGRELVKAFFIYFSEEIPQFILRAVLSGLNNVIDYVGQDGFNLVNLFTMVYREGETLIQKLFSQKFFSWTLKAEKWNIFAGNRTINPLLDTIRSVPLTQLKTSEPSGLLKTFYIVYCLVLVTTYFLQALSFIYLGWHPLWLVLFATLVSKLIVSLSEAIVKLKVFMHAQALVWFPEGLLEVVASLGFLVVGTAYHPVVNPDPVELLQLWIWGLFLSWFVGLPVTLWLLNLTQSWDRQTGGSLCARRFAERALFLTTSYLLTLRVNVVGVIEKSLFRLIFANLVFTILRFLVQLLLGSSALRAAHGLVEQSGM
+>sp|Q6PCD5|RFWD3_HUMAN E3 ubiquitin-protein ligase RFWD3 OS=Homo sapiens OX=9606 GN=RFWD3 PE=1 SV=3
+MAHEAMEYDVQVQLNHAEQQPAPAGMASSQGGPALLQPVPADVVSSQGVPSILQPAPAEVISSQATPPLLQPAPQLSVDLTEVEVLGEDTVENINPRTSEQHRQGSDGNHTIPASSLHSMTNFISGLQRLHGMLEFLRPSSSNHSVGPMRTRRRVSASRRARAGGSQRTDSARLRAPLDAYFQVSRTQPDLPATTYDSETRNPVSEELQVSSSSDSDSDSSAEYGGVVDQAEESGAVILEEQLAGVSAEQEVTCIDGGKTLPKQPSPQKSEPLLPSASMDEEEGDTCTICLEQWTNAGDHRLSALRCGHLFGYRCISTWLKGQVRKCPQCNKKARHSDIVVLYARTLRALDTSEQERMKSSLLKEQMLRKQAELESAQCRLQLQVLTDKCTRLQRRVQDLQKLTSHQSQNLQQPRGSQAWVLSCSPSSQGQHKHKYHFQKTFTVSQAGNCRIMAYCDALSCLVISQPSPQASFLPGFGVKMLSTANMKSSQYIPMHGKQIRGLAFSSYLRGLLLSASLDNTIKLTSLETNTVVQTYNAGRPVWSCCWCLDEANYIYAGLANGSILVYDVRNTSSHVQELVAQKARCPLVSLSYMPRAASAAFPYGGVLAGTLEDASFWEQKMDFSHWPHVLPLEPGGCIDFQTENSSRHCLVTYRPDKNHTTIRSVLMEMSYRLDDTGNPICSCQPVHTFFGGPTCKLLTKNAIFQSPENDGNILVCTGDEAANSALLWDAASGSLLQDLQTDQPVLDICPFEVNRNSYLATLTEKMVHIYKWE
+>DECOY_sp|Q6PCD5|RFWD3_HUMAN E3 ubiquitin-protein ligase RFWD3 OS=Homo sapiens OX=9606 GN=RFWD3 PE=1 SV=3
+EWKYIHVMKETLTALYSNRNVEFPCIDLVPQDTQLDQLLSGSAADWLLASNAAEDGTCVLINGDNEPSQFIANKTLLKCTPGGFFTHVPQCSCIPNGTDDLRYSMEMLVSRITTHNKDPRYTVLCHRSSNETQFDICGGPELPLVHPWHSFDMKQEWFSADELTGALVGGYPFAASAARPMYSLSVLPCRAKQAVLEQVHSSTNRVDYVLISGNALGAYIYNAEDLCWCCSWVPRGANYTQVVTNTELSTLKITNDLSASLLLGRLYSSFALGRIQKGHMPIYQSSKMNATSLMKVGFGPLFSAQPSPQSIVLCSLADCYAMIRCNGAQSVTFTKQFHYKHKHQGQSSPSCSLVWAQSGRPQQLNQSQHSTLKQLDQVRRQLRTCKDTLVQLQLRCQASELEAQKRLMQEKLLSSKMREQESTDLARLTRAYLVVIDSHRAKKNCQPCKRVQGKLWTSICRYGFLHGCRLASLRHDGANTWQELCITCTDGEEEDMSASPLLPESKQPSPQKPLTKGGDICTVEQEASVGALQEELIVAGSEEAQDVVGGYEASSDSDSDSSSSVQLEESVPNRTESDYTTAPLDPQTRSVQFYADLPARLRASDTRQSGGARARRSASVRRRTRMPGVSHNSSSPRLFELMGHLRQLGSIFNTMSHLSSAPITHNGDSGQRHQESTRPNINEVTDEGLVEVETLDVSLQPAPQLLPPTAQSSIVEAPAPQLISPVGQSSVVDAPVPQLLAPGGQSSAMGAPAPQQEAHNLQVQVDYEMAEHAM
+>sp|P48378|RFX2_HUMAN DNA-binding protein RFX2 OS=Homo sapiens OX=9606 GN=RFX2 PE=1 SV=2
+MQNSEGGADSPASVALRPSAAAPPVPASPQRVLVQAASSNPKGAQMQPISLPRVQQVPQQVQPVQHVYPAQVQYVEGGDAVYTNGAIRTAYTYNPEPQMYAPSSTASYFEAPGGAQVTVAASSPPAVPSHSMVGITMDVGGSPIVSSAGAYLIHGGMDSTRHSLAHTSRSSPATLEMAIENLQKSEGITSHKSGLLNSHLQWLLDNYETAEGVSLPRSSLYNHYLRHCQEHKLDPVNAASFGKLIRSVFMGLRTRRLGTRGNSKYHYYGIRLKPDSPLNRLQEDTQYMAMRQQPMHQKPRYRPAQKTDSLGDSGSHSGLHSTPEQTMAVQSQHHQQYIDVSHVFPEFPAPDLGSFLLQDGVTLHDVKALQLVYRRHCEATVDVVMNLQFHYIEKLWLSFWNSKASSSDGPTSLPASDEDPEGAVLPKDKLISLCQCDPILRWMRSCDHILYQALVEILIPDVLRPVPSTLTQAIRNFAKSLEGWLTNAMSDFPQQVIQTKVGVVSAFAQTLRRYTSLNHLAQAARAVLQNTSQINQMLSDLNRVDFANVQEQASWVCQCEESVVQRLEQDFKLTLQQQSSLDQWASWLDSVVTQVLKQHAGSPSFPKAARQFLLKWSFYSSMVIRDLTLRSAASFGSFHLIRLLYDEYMFYLVEHRVAEATGETPIAVMGEFNDLASLSLTLLDKDDMGDEQRGSEAGPDARSLGEPLVKRERSDPNHSLQGI
+>DECOY_sp|P48378|RFX2_HUMAN DNA-binding protein RFX2 OS=Homo sapiens OX=9606 GN=RFX2 PE=1 SV=2
+IGQLSHNPDSRERKVLPEGLSRADPGAESGRQEDGMDDKDLLTLSLSALDNFEGMVAIPTEGTAEAVRHEVLYFMYEDYLLRILHFSGFSAASRLTLDRIVMSSYFSWKLLFQRAAKPFSPSGAHQKLVQTVVSDLWSAWQDLSSQQQLTLKFDQELRQVVSEECQCVWSAQEQVNAFDVRNLDSLMQNIQSTNQLVARAAQALHNLSTYRRLTQAFASVVGVKTQIVQQPFDSMANTLWGELSKAFNRIAQTLTSPVPRLVDPILIEVLAQYLIHDCSRMWRLIPDCQCLSILKDKPLVAGEPDEDSAPLSTPGDSSSAKSNWFSLWLKEIYHFQLNMVVDVTAECHRRYVLQLAKVDHLTVGDQLLFSGLDPAPFEPFVHSVDIYQQHHQSQVAMTQEPTSHLGSHSGSDGLSDTKQAPRYRPKQHMPQQRMAMYQTDEQLRNLPSDPKLRIGYYHYKSNGRTGLRRTRLGMFVSRILKGFSAANVPDLKHEQCHRLYHNYLSSRPLSVGEATEYNDLLWQLHSNLLGSKHSTIGESKQLNEIAMELTAPSSRSTHALSHRTSDMGGHILYAGASSVIPSGGVDMTIGVMSHSPVAPPSSAAVTVQAGGPAEFYSATSSPAYMQPEPNYTYATRIAGNTYVADGGEVYQVQAPYVHQVPQVQQPVQQVRPLSIPQMQAGKPNSSAAQVLVRQPSAPVPPAAASPRLAVSAPSDAGGESNQM
+>sp|Q9H0H5|RGAP1_HUMAN Rac GTPase-activating protein 1 OS=Homo sapiens OX=9606 GN=RACGAP1 PE=1 SV=1
+MDTMMLNVRNLFEQLVRRVEILSEGNEVQFIQLAKDFEDFRKKWQRTDHELGKYKDLLMKAETERSALDVKLKHARNQVDVEIKRRQRAEADCEKLERQIQLIREMLMCDTSGSIQLSEEQKSALAFLNRGQPSSSNAGNKRLSTIDESGSILSDISFDKTDESLDWDSSLVKTFKLKKREKRRSTSRQFVDGPPGPVKKTRSIGSAVDQGNESIVAKTTVTVPNDGGPIEAVSTIETVPYWTRSRRKTGTLQPWNSDSTLNSRQLEPRTETDSVGTPQSNGGMRLHDFVSKTVIKPESCVPCGKRIKFGKLSLKCRDCRVVSHPECRDRCPLPCIPTLIGTPVKIGEGMLADFVSQTSPMIPSIVVHCVNEIEQRGLTETGLYRISGCDRTVKELKEKFLRVKTVPLLSKVDDIHAICSLLKDFLRNLKEPLLTFRLNRAFMEAAEITDEDNSIAAMYQAVGELPQANRDTLAFLMIHLQRVAQSPHTKMDVANLAKVFGPTIVAHAVPNPDPVTMLQDIKRQPKVVERLLSLPLEYWSQFMMVEQENIDPLHVIENSNAFSTPQTPDIKVSLLGPVTTPEHQLLKTPSSSSLSQRVRSTLTKNTPRFGSKSKSATNLGRQGNFFASPMLK
+>DECOY_sp|Q9H0H5|RGAP1_HUMAN Rac GTPase-activating protein 1 OS=Homo sapiens OX=9606 GN=RACGAP1 PE=1 SV=1
+KLMPSAFFNGQRGLNTASKSKSGFRPTNKTLTSRVRQSLSSSSPTKLLQHEPTTVPGLLSVKIDPTQPTSFANSNEIVHLPDINEQEVMMFQSWYELPLSLLREVVKPQRKIDQLMTVPDPNPVAHAVITPGFVKALNAVDMKTHPSQAVRQLHIMLFALTDRNAQPLEGVAQYMAAISNDEDTIEAAEMFARNLRFTLLPEKLNRLFDKLLSCIAHIDDVKSLLPVTKVRLFKEKLEKVTRDCGSIRYLGTETLGRQEIENVCHVVISPIMPSTQSVFDALMGEGIKVPTGILTPICPLPCRDRCEPHSVVRCDRCKLSLKGFKIRKGCPVCSEPKIVTKSVFDHLRMGGNSQPTGVSDTETRPELQRSNLTSDSNWPQLTGTKRRSRTWYPVTEITSVAEIPGGDNPVTVTTKAVISENGQDVASGISRTKKVPGPPGDVFQRSTSRRKERKKLKFTKVLSSDWDLSEDTKDFSIDSLISGSEDITSLRKNGANSSSPQGRNLFALASKQEESLQISGSTDCMLMERILQIQRELKECDAEARQRRKIEVDVQNRAHKLKVDLASRETEAKMLLDKYKGLEHDTRQWKKRFDEFDKALQIFQVENGESLIEVRRVLQEFLNRVNLMMTDM
+>sp|Q8IZJ4|RGDSR_HUMAN Ral-GDS-related protein OS=Homo sapiens OX=9606 GN=RGL4 PE=2 SV=1
+MRKLLTNLPAAAVLSAQVYSAVLQGLWEENVCGTPGRTRVCTALLYGQVCPFQDSTDGLRTITSILFNWPPENTSVYYQPPQRSSFRIKLAFRNLSWPGLGLEDHQEIVLGQLVLPEPNEAKPDDPAPRPGQHALTMPALEPAPPLLADLGPALEPESPAALGPPGYLHSAPGPAPAPGEGPPPGTVLEPQSAPESSCPCRGSVKNQPSEELPDMTTFPPRLLAEQLTLMDAELFKKVVLHECLGCIWGQGHLKGNEHMAPTVRATIAHFNRLTNCITTSCLGDHSMRARDRARVVEHWIKVARECLSLNNFSSVHVIVSALCSNPIGQLHKTWAGVSSKSMKELKELCKKDTAVKRDLLIKAGSFKVATQERNPQRVQMRLRRQKKGVVPFLGDFLTELQRLDSAIPDDLDGNTNKRSKEVRVLQEMQLLQVAAMNYRLRPLEKFVTYFTRMEQLSDKESYKLSCQLEPENP
+>DECOY_sp|Q8IZJ4|RGDSR_HUMAN Ral-GDS-related protein OS=Homo sapiens OX=9606 GN=RGL4 PE=2 SV=1
+PNEPELQCSLKYSEKDSLQEMRTFYTVFKELPRLRYNMAAVQLLQMEQLVRVEKSRKNTNGDLDDPIASDLRQLETLFDGLFPVVGKKQRRLRMQVRQPNREQTAVKFSGAKILLDRKVATDKKCLEKLEKMSKSSVGAWTKHLQGIPNSCLASVIVHVSSFNNLSLCERAVKIWHEVVRARDRARMSHDGLCSTTICNTLRNFHAITARVTPAMHENGKLHGQGWICGLCEHLVVKKFLEADMLTLQEALLRPPFTTMDPLEESPQNKVSGRCPCSSEPASQPELVTGPPPGEGPAPAPGPASHLYGPPGLAAPSEPELAPGLDALLPPAPELAPMTLAHQGPRPAPDDPKAENPEPLVLQGLVIEQHDELGLGPWSLNRFALKIRFSSRQPPQYYVSTNEPPWNFLISTITRLGDTSDQFPCVQGYLLATCVRTRGPTGCVNEEWLGQLVASYVQASLVAAAPLNTLLKRM
+>sp|Q8N431|RGF1C_HUMAN Ras-GEF domain-containing family member 1C OS=Homo sapiens OX=9606 GN=RASGEF1C PE=2 SV=2
+MPQTLSASDMVTPGSLSPPPTEPTDGEQAGQPLLDGAPSSASLETLIQHLVPTADYYPEKAYIFTFLLSSRLFIEPRELLARVCHLCIEQQQLDKPVLDKARVRKFGPKLLQLLAEWTETFPRDFQEESTIGHLKDVVGRIAPCDEAYRKRMHQLLQALHQKLAALRQGPEGLVGADKPISYRTKPPASIHRELLGVCSDPYTLAQQLTHVELERLRHIGPEEFVQAFVNKDPLASTKPCFSDKTSNLEAYVKWFNRLCYLVATEICMPAKKKQRAQVIEFFIDVARECFNIGNFNSLMAIISGMNMSPVSRLKKTWAKVRTAKFFILEHQMDPTGNFCNYRTALRGAAHRSLTAHSSREKIVIPFFSLLIKDIYFLNEGCANRLPNGHVNFEKFLELAKQVGEFITWKQVECPFEQDASITHYLYTAPIFSEDGLYLASYESESPENQTEKERWKALRSSILGKT
+>DECOY_sp|Q8N431|RGF1C_HUMAN Ras-GEF domain-containing family member 1C OS=Homo sapiens OX=9606 GN=RASGEF1C PE=2 SV=2
+TKGLISSRLAKWREKETQNEPSESEYSALYLGDESFIPATYLYHTISADQEFPCEVQKWTIFEGVQKALELFKEFNVHGNPLRNACGENLFYIDKILLSFFPIVIKERSSHATLSRHAAGRLATRYNCFNGTPDMQHELIFFKATRVKAWTKKLRSVPSMNMGSIIAMLSNFNGINFCERAVDIFFEIVQARQKKKAPMCIETAVLYCLRNFWKVYAELNSTKDSFCPKTSALPDKNVFAQVFEEPGIHRLRELEVHTLQQALTYPDSCVGLLERHISAPPKTRYSIPKDAGVLGEPGQRLAALKQHLAQLLQHMRKRYAEDCPAIRGVVDKLHGITSEEQFDRPFTETWEALLQLLKPGFKRVRAKDLVPKDLQQQEICLHCVRALLERPEIFLRSSLLFTFIYAKEPYYDATPVLHQILTELSASSPAGDLLPQGAQEGDTPETPPPSLSGPTVMDSASLTQPM
+>sp|O15211|RGL2_HUMAN Ral guanine nucleotide dissociation stimulator-like 2 OS=Homo sapiens OX=9606 GN=RGL2 PE=1 SV=1
+MLPRPLRLLLDTSPPGGVVLSSFRSRDPEEGGGPGGLVVGGGQEEEEEEEEEAPVSVWDEEEDGAVFTVTSRQYRPLDPLVPMPPPRSSRRLRAGTLEALVRHLLDTRTSGTDVSFMSAFLATHRAFTSTPALLGLMADRLEALESHPTDELERTTEVAISVLSTWLASHPEDFGSEAKGQLDRLESFLLQTGYAAGKGVGGGSADLIRNLRSRVDPQAPDLPKPLALPGDPPADPTDVLVFLADHLAEQLTLLDAELFLNLIPSQCLGGLWGHRDRPGHSHLCPSVRATVTQFNKVAGAVVSSVLGATSTGEGPGEVTIRPLRPPQRARLLEKWIRVAEECRLLRNFSSVYAVVSALQSSPIHRLRAAWGEATRDSLRVFSSLCQIFSEEDNYSQSRELLVQEVKLQSPLEPHSKKAPRSGSRGGGVVPYLGTFLKDLVMLDAASKDELENGYINFDKRRKEFAVLSELRRLQNECRGYNLQPDHDIQRWLQGLRPLTEAQSHRVSCEVEPPGSSDPPAPRVLRPTLVISQWTEVLGSVGVPTPLVSCDRPSTGGDEAPTTPAPLLTRLAQHMKWPSVSSLDSALESSPSLHSPADPSHLSPPASSPRPSRGHRRSASCGSPLSGGAEEASGGTGYGGEGSGPGASDCRIIRVQMELGEDGSVYKSILVTSQDKAPSVISRVLKKNNRDSAVASEYELVQLLPGERELTIPASANVFYAMDGASHDFLLRQRRRSSTATPGVTSGPSASGTPPSEGGGGSFPRIKATGRKIARALF
+>DECOY_sp|O15211|RGL2_HUMAN Ral guanine nucleotide dissociation stimulator-like 2 OS=Homo sapiens OX=9606 GN=RGL2 PE=1 SV=1
+FLARAIKRGTAKIRPFSGGGGESPPTGSASPGSTVGPTATSSRRRQRLLFDHSAGDMAYFVNASAPITLEREGPLLQVLEYESAVASDRNNKKLVRSIVSPAKDQSTVLISKYVSGDEGLEMQVRIIRCDSAGPGSGEGGYGTGGSAEEAGGSLPSGCSASRRHGRSPRPSSAPPSLHSPDAPSHLSPSSELASDLSSVSPWKMHQALRTLLPAPTTPAEDGGTSPRDCSVLPTPVGVSGLVETWQSIVLTPRLVRPAPPDSSGPPEVECSVRHSQAETLPRLGQLWRQIDHDPQLNYGRCENQLRRLESLVAFEKRRKDFNIYGNELEDKSAADLMVLDKLFTGLYPVVGGGRSGSRPAKKSHPELPSQLKVEQVLLERSQSYNDEESFIQCLSSFVRLSDRTAEGWAARLRHIPSSQLASVVAYVSSFNRLLRCEEAVRIWKELLRARQPPRLPRITVEGPGEGTSTAGLVSSVVAGAVKNFQTVTARVSPCLHSHGPRDRHGWLGGLCQSPILNLFLEADLLTLQEALHDALFVLVDTPDAPPDGPLALPKPLDPAQPDVRSRLNRILDASGGGVGKGAAYGTQLLFSELRDLQGKAESGFDEPHSALWTSLVSIAVETTRELEDTPHSELAELRDAMLGLLAPTSTFARHTALFASMFSVDTGSTRTDLLHRVLAELTGARLRRSSRPPPMPVLPDLPRYQRSTVTFVAGDEEEDWVSVPAEEEEEEEEEQGGGVVLGGPGGGEEPDRSRFSSLVVGGPPSTDLLLRLPRPLM
+>sp|Q6ZVN8|RGMC_HUMAN Hemojuvelin OS=Homo sapiens OX=9606 GN=HFE2 PE=1 SV=1
+MGEPGQSPSPRSSHGSPPTLSTLTLLLLLCGHAHSQCKILRCNAEYVSSTLSLRGGGSSGALRGGGGGGRGGGVGSGGLCRALRSYALCTRRTARTCRGDLAFHSAVHGIEDLMIQHNCSRQGPTAPPPPRGPALPGAGSGLPAPDPCDYEGRFSRLHGRPPGFLHCASFGDPHVRSFHHHFHTCRVQGAWPLLDNDFLFVQATSSPMALGANATATRKLTIIFKNMQECIDQKVYQAEVDNLPVAFEDGSINGGDRPGGSSLSIQTANPGNHVEIQAAYIGTTIIIRQTAGQLSFSIKVAEDVAMAFSAEQDLQLCVGGCPPSQRLSRSERNRRGAITIDTARRLCKEGLPVEDAYFHSCVFDVLISGDPNFTVAAQAALEDARAFLPDLEKLHLFPSDAGVPLSSATLLAPLLSGLFVLWLCIQ
+>DECOY_sp|Q6ZVN8|RGMC_HUMAN Hemojuvelin OS=Homo sapiens OX=9606 GN=HFE2 PE=1 SV=1
+QICLWLVFLGSLLPALLTASSLPVGADSPFLHLKELDPLFARADELAAQAAVTFNPDGSILVDFVCSHFYADEVPLGEKCLRRATDITIAGRRNRESRSLRQSPPCGGVCLQLDQEASFAMAVDEAVKISFSLQGATQRIIITTGIYAAQIEVHNGPNATQISLSSGGPRDGGNISGDEFAVPLNDVEAQYVKQDICEQMNKFIITLKRTATANAGLAMPSSTAQVFLFDNDLLPWAGQVRCTHFHHHFSRVHPDGFSACHLFGPPRGHLRSFRGEYDCPDPAPLGSGAGPLAPGRPPPPATPGQRSCNHQIMLDEIGHVASHFALDGRCTRATRRTCLAYSRLARCLGGSGVGGGRGGGGGGRLAGSSGGGRLSLTSSVYEANCRLIKCQSHAHGCLLLLLTLTSLTPPSGHSSRPSPSQGPEGM
+>sp|O75916|RGS9_HUMAN Regulator of G-protein signaling 9 OS=Homo sapiens OX=9606 GN=RGS9 PE=1 SV=1
+MTIRHQGQQYRPRMAFLQKIEALVKDMQNPETGVRMQNQRVLVTSVPHAMTGSDVLQWIVQRLWISSLEAQNLGNFIVRYGYIYPLQDPKNLILKPDGSLYRFQTPYFWPTQQWPAEDTDYAIYLAKRNIKKKGILEEYEKENYNFLNQKMNYKWDFVIMQAKEQYRAGKERNKADRYALDCQEKAYWLVHRCPPGMDNVLDYGLDRVTNPNEVKVNQKQTVVAVKKEIMYYQQALMRSTVKSSVSLGGIVKYSEQFSSNDAIMSGCLPSNPWITDDTQFWDLNAKLVEIPTKMRVERWAFNFSELIRDPKGRQSFQYFLKKEFSGENLGFWEACEDLKYGDQSKVKEKAEEIYKLFLAPGARRWINIDGKTMDITVKGLKHPHRYVLDAAQTHIYMLMKKDSYARYLKSPIYKDMLAKAIEPQETTKKSSTLPFMRRHLRSSPSPVILRQLEEEAKAREAANTVDITQPGQHMAPSPHLTVYTGTCMPPSPSSPFSSSCRSPRKPFASPSRFIRRPSTTICPSPIRVALESSSGLEQKGECSGSMAPRGPSVTESSEASLDTSWPRSRPRAPPKARMALSFSRFLRRGCLASPVFARLSPKCPAVSHGRVQPLGDVGQQLPRLKSKRVANFFQIKMDVPTGSGTCLMDSEDAGTGESGDRATEKEVICPWESL
+>DECOY_sp|O75916|RGS9_HUMAN Regulator of G-protein signaling 9 OS=Homo sapiens OX=9606 GN=RGS9 PE=1 SV=1
+LSEWPCIVEKETARDGSEGTGADESDMLCTGSGTPVDMKIQFFNAVRKSKLRPLQQGVDGLPQVRGHSVAPCKPSLRAFVPSALCGRRLFRSFSLAMRAKPPARPRSRPWSTDLSAESSETVSPGRPAMSGSCEGKQELGSSSELAVRIPSPCITTSPRRIFRSPSAFPKRPSRCSSSFPSSPSPPMCTGTYVTLHPSPAMHQGPQTIDVTNAAERAKAEEELQRLIVPSPSSRLHRRMFPLTSSKKTTEQPEIAKALMDKYIPSKLYRAYSDKKMLMYIHTQAADLVYRHPHKLGKVTIDMTKGDINIWRRAGPALFLKYIEEAKEKVKSQDGYKLDECAEWFGLNEGSFEKKLFYQFSQRGKPDRILESFNFAWREVRMKTPIEVLKANLDWFQTDDTIWPNSPLCGSMIADNSSFQESYKVIGGLSVSSKVTSRMLAQQYYMIEKKVAVVTQKQNVKVENPNTVRDLGYDLVNDMGPPCRHVLWYAKEQCDLAYRDAKNREKGARYQEKAQMIVFDWKYNMKQNLFNYNEKEYEELIGKKKINRKALYIAYDTDEAPWQQTPWFYPTQFRYLSGDPKLILNKPDQLPYIYGYRVIFNGLNQAELSSIWLRQVIWQLVDSGTMAHPVSTVLVRQNQMRVGTEPNQMDKVLAEIKQLFAMRPRYQQGQHRITM
+>sp|Q9BWJ2|RHAS1_HUMAN Putative uncharacterized protein encoded by RHPN1-AS1 OS=Homo sapiens OX=9606 GN=RHPN1-AS1 PE=5 SV=1
+MPAFFSLPAERRLQAWPQSEAPLSVSSCFQNRPPEPASFQNLRPEPASLQNLRTEPTSF
+>DECOY_sp|Q9BWJ2|RHAS1_HUMAN Putative uncharacterized protein encoded by RHPN1-AS1 OS=Homo sapiens OX=9606 GN=RHPN1-AS1 PE=5 SV=1
+FSTPETRLNQLSAPEPRLNQFSAPEPPRNQFCSSVSLPAESQPWAQLRREAPLSFFAPM
+>sp|Q9NW13|RBM28_HUMAN RNA-binding protein 28 OS=Homo sapiens OX=9606 GN=RBM28 PE=1 SV=3
+MAGLTLFVGRLPPSARSEQLEELFSQVGPVKQCFVVTEKGSKACRGFGYVTFSMLEDVQRALKEITTFEGCKINVTVAKKKLRNKTKEKGKNENSECPKKEPKAKKAKVADKKARLIIRNLSFKCSEDDLKTVFAQFGAVLEVNIPRKPDGKMRGFGFVQFKNLLEAGKALKGMNMKEIKGRTVAVDWAVAKDKYKDTQSVSAIGEEKSHESKHQESVKKKGREEEDMEEEENDDDDDDDDEEDGVFDDEDEEEENIESKVTKPVQIQKRAVKRPAPAKSSDHSEEDSDLEESDSIDDGEELAQSDTSTEEQEDKAVQVSNKKKRKLPSDVNEGKTVFIRNLSFDSEEEELGELLQQFGELKYVRIVLHPDTEHSKGCAFAQFMTQEAAQKCLLAASPENEAGGLKLDGRQLKVDLAVTRDEAAKLQTTKVKKPTGTRNLYLAREGLIRAGTKAAEGVSAADMAKRERFELLKHQKLKDQNIFVSRTRLCLHNLPKAVDDKQLRKLLLSATSGEKGVRIKECRVMRDLKGVHGNMKGQSLGYAFAEFQEHEHALKALRLINNNPEIFGPLKRPIVEFSLEDRRKLKMKELRIQRSLQKMRSKPATGEPQKGQPEPAKDQQQKAAQHHTEEQSKVPPEQKRKAGSTSWTGFQTKAEVEQVELPDGKKRRKVLALPSHRGPKIRLRDKGKVKPVHPKKPKPQINQWKQEKQQLSSEQVSRKKAKGNKTETRFNQLVEQYKQKLLGPSKGAPLAKRSKWFDS
+>DECOY_sp|Q9NW13|RBM28_HUMAN RNA-binding protein 28 OS=Homo sapiens OX=9606 GN=RBM28 PE=1 SV=3
+SDFWKSRKALPAGKSPGLLKQKYQEVLQNFRTETKNGKAKKRSVQESSLQQKEQKWQNIQPKPKKPHVPKVKGKDRLRIKPGRHSPLALVKRRKKGDPLEVQEVEAKTQFGTWSTSGAKRKQEPPVKSQEETHHQAAKQQQDKAPEPQGKQPEGTAPKSRMKQLSRQIRLEKMKLKRRDELSFEVIPRKLPGFIEPNNNILRLAKLAHEHEQFEAFAYGLSQGKMNGHVGKLDRMVRCEKIRVGKEGSTASLLLKRLQKDDVAKPLNHLCLRTRSVFINQDKLKQHKLLEFRERKAMDAASVGEAAKTGARILGERALYLNRTGTPKKVKTTQLKAAEDRTVALDVKLQRGDLKLGGAENEPSAALLCKQAAEQTMFQAFACGKSHETDPHLVIRVYKLEGFQQLLEGLEEEESDFSLNRIFVTKGENVDSPLKRKKKNSVQVAKDEQEETSTDSQALEEGDDISDSEELDSDEESHDSSKAPAPRKVARKQIQVPKTVKSEINEEEEDEDDFVGDEEDDDDDDDDNEEEEMDEEERGKKKVSEQHKSEHSKEEGIASVSQTDKYKDKAVAWDVAVTRGKIEKMNMGKLAKGAELLNKFQVFGFGRMKGDPKRPINVELVAGFQAFVTKLDDESCKFSLNRIILRAKKDAVKAKKAKPEKKPCESNENKGKEKTKNRLKKKAVTVNIKCGEFTTIEKLARQVDELMSFTVYGFGRCAKSGKETVVFCQKVPGVQSFLEELQESRASPPLRGVFLTLGAM
+>sp|P98179|RBM3_HUMAN RNA-binding protein 3 OS=Homo sapiens OX=9606 GN=RBM3 PE=1 SV=1
+MSSEEGKLFVGGLNFNTDEQALEDHFSSFGPISEVVVVKDRETQRSRGFGFITFTNPEHASVAMRAMNGESLDGRQIRVDHAGKSARGTRGGGFGAHGRGRSYSRGGGDQGYGSGRYYDSRPGGYGYGYGRSRDYNGRNQGGYDRYSGGNYRDNYDN
+>DECOY_sp|P98179|RBM3_HUMAN RNA-binding protein 3 OS=Homo sapiens OX=9606 GN=RBM3 PE=1 SV=1
+NDYNDRYNGGSYRDYGGQNRGNYDRSRGYGYGYGGPRSDYYRGSGYGQDGGGRSYSRGRGHAGFGGGRTGRASKGAHDVRIQRGDLSEGNMARMAVSAHEPNTFTIFGFGRSRQTERDKVVVVESIPGFSSFHDELAQEDTNFNLGGVFLKGEESSM
+>sp|Q6ZSC3|RBM43_HUMAN RNA-binding protein 43 OS=Homo sapiens OX=9606 GN=RBM43 PE=1 SV=1
+MASVLNVKESKAPERTVVVAGLPVDLFSDQLLAVLVKSHFQDIKNEGGDVEDVIYPTRTKGVAYVIFKEKKVAENVIRQKKHWLARKTRHAELTVSLRVSHFGDKIFSSVNAILDLSVFGKEVTLETLVKDLKKKIPSLSFSPLKPNGRISVEGSFLAVKRLRESLLARACSLLEKDRNFTSEERKWNRQNPQRNLQRSNNSLASVRTLVPETARSGEMLVLDTDVFLYLKHKCGSYESTLKKFHILSQEKVDGEITTICLKSIQVGSQPNNAKHVKELIEEWSHALYLKLRKETFILEGKENREKRMIKRACEQLSSRYLEVLINLYRTHIDIIGSSSDTYLFKKGVMKLIGQKVS
+>DECOY_sp|Q6ZSC3|RBM43_HUMAN RNA-binding protein 43 OS=Homo sapiens OX=9606 GN=RBM43 PE=1 SV=1
+SVKQGILKMVGKKFLYTDSSSGIIDIHTRYLNILVELYRSSLQECARKIMRKERNEKGELIFTEKRLKLYLAHSWEEILEKVHKANNPQSGVQISKLCITTIEGDVKEQSLIHFKKLTSEYSGCKHKLYLFVDTDLVLMEGSRATEPVLTRVSALSNNSRQLNRQPNQRNWKREESTFNRDKELLSCARALLSERLRKVALFSGEVSIRGNPKLPSFSLSPIKKKLDKVLTELTVEKGFVSLDLIANVSSFIKDGFHSVRLSVTLEAHRTKRALWHKKQRIVNEAVKKEKFIVYAVGKTRTPYIVDEVDGGENKIDQFHSKVLVALLQDSFLDVPLGAVVVTREPAKSEKVNLVSAM
+>sp|Q9Y580|RBM7_HUMAN RNA-binding protein 7 OS=Homo sapiens OX=9606 GN=RBM7 PE=1 SV=1
+MGAAAAEADRTLFVGNLETKVTEELLFELFHQAGPVIKVKIPKDKDGKPKQFAFVNFKHEVSVPYAMNLLNGIKLYGRPIKIQFRSGSSHAPQDVSLSYPQHHVGNSSPTSTSPSRYERTMDNMTSSAQIIQRSFSSPENFQRQAVMNSALRQMSYGGKFGSSPLDQSGFSPSVQSHSHSFNQSSSSQWRQGTPSSQRKVRMNSYPYLADRHYSREQRYTDHGSDHHYRGKRDDFFYEDRNHDDWSHDYDNRRDSSRDGKWRSSRH
+>DECOY_sp|Q9Y580|RBM7_HUMAN RNA-binding protein 7 OS=Homo sapiens OX=9606 GN=RBM7 PE=1 SV=1
+HRSSRWKGDRSSDRRNDYDHSWDDHNRDEYFFDDRKGRYHHDSGHDTYRQERSYHRDALYPYSNMRVKRQSSPTGQRWQSSSSQNFSHSHSQVSPSFGSQDLPSSGFKGGYSMQRLASNMVAQRQFNEPSSFSRQIIQASSTMNDMTREYRSPSTSTPSSNGVHHQPYSLSVDQPAHSSGSRFQIKIPRGYLKIGNLLNMAYPVSVEHKFNVFAFQKPKGDKDKPIKVKIVPGAQHFLEFLLEETVKTELNGVFLTRDAEAAAAGM
+>sp|Q6VN20|RBP10_HUMAN Ran-binding protein 10 OS=Homo sapiens OX=9606 GN=RANBP10 PE=1 SV=1
+MAAATADPGAGNPQPGDSSGGGAGGGLPSPGEQELSRRLQRLYPAVNQQETPLPRSWSPKDKYNYIGLSQGNLRVHYKGHGKNHKDAASVRATHPIPAACGIYYFEVKIVSKGRDGYMGIGLSAQGVNMNRLPGWDKHSYGYHGDDGHSFCSSGTGQPYGPTFTTGDVIGCCVNLINGTCFYTKNGHSLGIAFTDLPANLYPTVGLQTPGEIVDANFGQQPFLFDIEDYMREWRAKVQGTVHCFPISARLGEWQAVLQNMVSSYLVHHGYCATATAFARMTETPIQEEQASIKNRQKIQKLVLEGRVGEAIETTQRFYPGLLEHNPNLLFMLKCRQFVEMVNGTDSEVRSLSSRSPKSQDSYPGSPSLSPRHGPSSSHMHNTGADSPSCSNGVASTKSKQNHSKYPAPSSSSSSSSSSSSSSPSSVNYSESNSTDSTKSQHHSSTSNQETSDSEMEMEAEHYPNGVLGSMSTRIVNGAYKHEDLQTDESSMDDRHPRRQLCGGNQAATERIILFGRELQALSEQLGREYGKNLAHTEMLQDAFSLLAYSDPWSCPVGQQLDPIQREPVCAALNSAILESQNLPKQPPLMLALGQASECLRLMARAGLGSCSFARVDDYLH
+>DECOY_sp|Q6VN20|RBP10_HUMAN Ran-binding protein 10 OS=Homo sapiens OX=9606 GN=RANBP10 PE=1 SV=1
+HLYDDVRAFSCSGLGARAMLRLCESAQGLALMLPPQKPLNQSELIASNLAACVPERQIPDLQQGVPCSWPDSYALLSFADQLMETHALNKGYERGLQESLAQLERGFLIIRETAAQNGGCLQRRPHRDDMSSEDTQLDEHKYAGNVIRTSMSGLVGNPYHEAEMEMESDSTEQNSTSSHHQSKTSDTSNSESYNVSSPSSSSSSSSSSSSSSPAPYKSHNQKSKTSAVGNSCSPSDAGTNHMHSSSPGHRPSLSPSGPYSDQSKPSRSSLSRVESDTGNVMEVFQRCKLMFLLNPNHELLGPYFRQTTEIAEGVRGELVLKQIKQRNKISAQEEQIPTETMRAFATATACYGHHVLYSSVMNQLVAQWEGLRASIPFCHVTGQVKARWERMYDEIDFLFPQQGFNADVIEGPTQLGVTPYLNAPLDTFAIGLSHGNKTYFCTGNILNVCCGIVDGTTFTPGYPQGTGSSCFSHGDDGHYGYSHKDWGPLRNMNVGQASLGIGMYGDRGKSVIKVEFYYIGCAAPIPHTARVSAADKHNKGHGKYHVRLNGQSLGIYNYKDKPSWSRPLPTEQQNVAPYLRQLRRSLEQEGPSPLGGGAGGGSSDGPQPNGAGPDATAAAM
+>sp|Q6ZRY4|RBPS2_HUMAN RNA-binding protein with multiple splicing 2 OS=Homo sapiens OX=9606 GN=RBPMS2 PE=1 SV=1
+MSNLKPDGEHGGSTGTGSGAGSGGALEEEVRTLFVSGLPVDIKPRELYLLFRPFKGYEGSLIKLTARQPVGFVIFDSRAGAEAAKNALNGIRFDPENPQTLRLEFAKANTKMAKSKLMATPNPSNVHPALGAHFIARDPYDLMGAALIPASPEAWAPYPLYTTELTPAISHAAFTYPTATAAAAALHAQVRWYPSSDTTQQGWKYRQFC
+>DECOY_sp|Q6ZRY4|RBPS2_HUMAN RNA-binding protein with multiple splicing 2 OS=Homo sapiens OX=9606 GN=RBPMS2 PE=1 SV=1
+CFQRYKWGQQTTDSSPYWRVQAHLAAAAATATPYTFAAHSIAPTLETTYLPYPAWAEPSAPILAAGMLDYPDRAIFHAGLAPHVNSPNPTAMLKSKAMKTNAKAFELRLTQPNEPDFRIGNLANKAAEAGARSDFIVFGVPQRATLKILSGEYGKFPRFLLYLERPKIDVPLGSVFLTRVEEELAGGSGAGSGTGTSGGHEGDPKLNSM
+>sp|P0C7P1|RBY1D_HUMAN RNA-binding motif protein, Y chromosome, family 1 member D OS=Homo sapiens OX=9606 GN=RBMY1D PE=2 SV=1
+MVEADHPGKLFIGGLNRETNEKMLKAVFGKHGPISEVLLIKDRTSKSRGFAFITFENPADAKNAAKDMNGKSLHGKAIKVEQAKKPSFQSGGRRRPPASSRNRSPSGSLRSARGSRGGTRGWLPSQEGHLDDGGYTPDLKMSYSRGLIPVKRGPSSRSGGPPPKKSAPSAVARSNSWMGSQGPMSQRRENYGVPPRRATISSWRNDRMSTRHDGYATNDGNHPSCQETRDYAPPSRGYAYRDNGHSNRDEHSSRGYRNHRSSRETRDYAPPSRGHAYRDYGHSRRDESYSRGYRNRRSSRETREYAPPSRGHGYRDYGHSRRHESYSRGYRNHPSSRETRDYAPPHRDYAYRDYGHSSWDEHSSRGYSYHDGYGEALGRDHSEHLSGSSYRDALQRYGTSHGAPPARGPRMSYGGSTCHAYSNTRDRYGRSWESYSSCGDFHYCDREHVCRKDQRNPPSLGRVLPDPREACGSSSYVASIVDGGESRSEKGDSSRY
+>DECOY_sp|P0C7P1|RBY1D_HUMAN RNA-binding motif protein, Y chromosome, family 1 member D OS=Homo sapiens OX=9606 GN=RBMY1D PE=2 SV=1
+YRSSDGKESRSEGGDVISAVYSSSGCAERPDPLVRGLSPPNRQDKRCVHERDCYHFDGCSSYSEWSRGYRDRTNSYAHCTSGGYSMRPGRAPPAGHSTGYRQLADRYSSGSLHESHDRGLAEGYGDHYSYGRSSHEDWSSHGYDRYAYDRHPPAYDRTERSSPHNRYGRSYSEHRRSHGYDRYGHGRSPPAYERTERSSRRNRYGRSYSEDRRSHGYDRYAHGRSPPAYDRTERSSRHNRYGRSSHEDRNSHGNDRYAYGRSPPAYDRTEQCSPHNGDNTAYGDHRTSMRDNRWSSITARRPPVGYNERRQSMPGQSGMWSNSRAVASPASKKPPPGGSRSSPGRKVPILGRSYSMKLDPTYGGDDLHGEQSPLWGRTGGRSGRASRLSGSPSRNRSSAPPRRRGGSQFSPKKAQEVKIAKGHLSKGNMDKAANKADAPNEFTIFAFGRSKSTRDKILLVESIPGHKGFVAKLMKENTERNLGGIFLKGPHDAEVM
+>sp|P06400|RB_HUMAN Retinoblastoma-associated protein OS=Homo sapiens OX=9606 GN=RB1 PE=1 SV=2
+MPPKTPRKTAATAAAAAAEPPAPPPPPPPEEDPEQDSGPEDLPLVRLEFEETEEPDFTALCQKLKIPDHVRERAWLTWEKVSSVDGVLGGYIQKKKELWGICIFIAAVDLDEMSFTFTELQKNIEISVHKFFNLLKEIDTSTKVDNAMSRLLKKYDVLFALFSKLERTCELIYLTQPSSSISTEINSALVLKVSWITFLLAKGEVLQMEDDLVISFQLMLCVLDYFIKLSPPMLLKEPYKTAVIPINGSPRTPRRGQNRSARIAKQLENDTRIIEVLCKEHECNIDEVKNVYFKNFIPFMNSLGLVTSNGLPEVENLSKRYEEIYLKNKDLDARLFLDHDKTLQTDSIDSFETQRTPRKSNLDEEVNVIPPHTPVRTVMNTIQQLMMILNSASDQPSENLISYFNNCTVNPKESILKRVKDIGYIFKEKFAKAVGQGCVEIGSQRYKLGVRLYYRVMESMLKSEEERLSIQNFSKLLNDNIFHMSLLACALEVVMATYSRSTSQNLDSGTDLSFPWILNVLNLKAFDFYKVIESFIKAEGNLTREMIKHLERCEHRIMESLAWLSDSPLFDLIKQSKDREGPTDHLESACPLNLPLQNNHTAADMYLSPVRSPKKKGSTTRVNSTANAETQATSAFQTQKPLKSTSLSLFYKKVYRLAYLRLNTLCERLLSEHPELEHIIWTLFQHTLQNEYELMRDRHLDQIMMCSMYGICKVKNIDLKFKIIVTAYKDLPHAVQETFKRVLIKEEEYDSIIVFYNSVFMQRLKTNILQYASTRPPTLSPIPHIPRSPYKFPSSPLRIPGGNIYISPLKSPYKISEGLPTPTKMTPRSRILVSIGESFGTSEKFQKINQMVCNSDRVLKRSAEGSNPPKPLKKLRFDIEGSDEADGSKHLPGESKFQQKLAEMTSTRTRMQKQKMNDSMDTSNKEEK
+>DECOY_sp|P06400|RB_HUMAN Retinoblastoma-associated protein OS=Homo sapiens OX=9606 GN=RB1 PE=1 SV=2
+KEEKNSTDMSDNMKQKQMRTRTSTMEALKQQFKSEGPLHKSGDAEDSGEIDFRLKKLPKPPNSGEASRKLVRDSNCVMQNIKQFKESTGFSEGISVLIRSRPTMKTPTPLGESIKYPSKLPSIYINGGPIRLPSSPFKYPSRPIHPIPSLTPPRTSAYQLINTKLRQMFVSNYFVIISDYEEEKILVRKFTEQVAHPLDKYATVIIKFKLDINKVKCIGYMSCMMIQDLHRDRMLEYENQLTHQFLTWIIHELEPHESLLRECLTNLRLYALRYVKKYFLSLSTSKLPKQTQFASTAQTEANATSNVRTTSGKKKPSRVPSLYMDAATHNNQLPLNLPCASELHDTPGERDKSQKILDFLPSDSLWALSEMIRHECRELHKIMERTLNGEAKIFSEIVKYFDFAKLNLVNLIWPFSLDTGSDLNQSTSRSYTAMVVELACALLSMHFINDNLLKSFNQISLREEESKLMSEMVRYYLRVGLKYRQSGIEVCGQGVAKAFKEKFIYGIDKVRKLISEKPNVTCNNFYSILNESPQDSASNLIMMLQQITNMVTRVPTHPPIVNVEEDLNSKRPTRQTEFSDISDTQLTKDHDLFLRADLDKNKLYIEEYRKSLNEVEPLGNSTVLGLSNMFPIFNKFYVNKVEDINCEHEKCLVEIIRTDNELQKAIRASRNQGRRPTRPSGNIPIVATKYPEKLLMPPSLKIFYDLVCLMLQFSIVLDDEMQLVEGKALLFTIWSVKLVLASNIETSISSSPQTLYILECTRELKSFLAFLVDYKKLLRSMANDVKTSTDIEKLLNFFKHVSIEINKQLETFTFSMEDLDVAAIFICIGWLEKKKQIYGGLVGDVSSVKEWTLWARERVHDPIKLKQCLATFDPEETEEFELRVLPLDEPGSDQEPDEEPPPPPPPAPPEAAAAAATAATKRPTKPPM
+>sp|O95199|RCBT2_HUMAN RCC1 and BTB domain-containing protein 2 OS=Homo sapiens OX=9606 GN=RCBTB2 PE=1 SV=1
+MEEELPLFSGDSGKPVQATLSSLKMLDVGKWPIFSLCSEEELQLIRQACVFGSAGNEVLYTTVNDEIFVLGTNCCGCLGLGDVQSTIEPRRLDSLNGKKIACLSYGSGPHIVLATTEGEVFTWGHNAYSQLGNGTTNHGLVPCHISTNLSNKQVIEVACGSYHSLVLTSDGEVFAWGYNNSGQVGSGSTVNQPIPRRVTGCLQNKVVVTIACGQMCCMAVVDTGEVYVWGYNGNGQLGLGNSGNQPTPCRVAALQGIRVQRVACGYAHTLVLTDEGQVYAWGANSYGQLGTGNKSNQSYPTPVTVEKDRIIEIAACHSTHTSAAKTQGGHVYMWGQCRGQSVILPHLTHFSCTDDVFACFATPAVTWRLLSVEPDDHLTVAESLKREFDNPDTADLKFLVDGKYIYAHKVLLKIRCEHFRSSLEDNEDDIVEMSEFSYPVYRAFLEYLYTDSISLSPEEAVGLLDLATFYRENRLKKLCQQTIKQGICEENAIALLSAAVKYDAQDLEEFCFRFCINHLTVVTQTSGFAEMDHDLLKNFISKASRVGAFKN
+>DECOY_sp|O95199|RCBT2_HUMAN RCC1 and BTB domain-containing protein 2 OS=Homo sapiens OX=9606 GN=RCBTB2 PE=1 SV=1
+NKFAGVRSAKSIFNKLLDHDMEAFGSTQTVVTLHNICFRFCFEELDQADYKVAASLLAIANEECIGQKITQQCLKKLRNERYFTALDLLGVAEEPSLSISDTYLYELFARYVPYSFESMEVIDDENDELSSRFHECRIKLLVKHAYIYKGDVLFKLDATDPNDFERKLSEAVTLHDDPEVSLLRWTVAPTAFCAFVDDTCSFHTLHPLIVSQGRCQGWMYVHGGQTKAASTHTSHCAAIEIIRDKEVTVPTPYSQNSKNGTGLQGYSNAGWAYVQGEDTLVLTHAYGCAVRQVRIGQLAAVRCPTPQNGSNGLGLQGNGNYGWVYVEGTDVVAMCCMQGCAITVVVKNQLCGTVRRPIPQNVTSGSGVQGSNNYGWAFVEGDSTLVLSHYSGCAVEIVQKNSLNTSIHCPVLGHNTTGNGLQSYANHGWTFVEGETTALVIHPGSGYSLCAIKKGNLSDLRRPEITSQVDGLGLCGCCNTGLVFIEDNVTTYLVENGASGFVCAQRILQLEEESCLSFIPWKGVDLMKLSSLTAQVPKGSDGSFLPLEEEM
+>sp|A6NED2|RCCD1_HUMAN RCC1 domain-containing protein 1 OS=Homo sapiens OX=9606 GN=RCCD1 PE=1 SV=1
+MAEERPGAWFGFGFCGFGQELGSGRGRQVHSPSPLRAGVDICRVSASWSYTAFVTRGGRLELSGSASGAAGRCKDAWASEGLLAVLRAGPGPEALLQVWAAESALRGEPLWAQNVVPEAEGEDDPAGEAQAGRLPLLPCARAYVSPRAPFYRPLAPELRARQLELGAEHALLLDAAGQVFSWGGGRHGQLGHGTLEAELEPRLLEALQGLVMAEVAAGGWHSVCVSETGDIYIWGWNESGQLALPTRNLAEDGETVAREATELNEDGSQVKRTGGAEDGAPAPFIAVQPFPALLDLPMGSDAVKASCGSRHTAVVTRTGELYTWGWGKYGQLGHEDTTSLDRPRRVEYFVDKQLQVKAVTCGPWNTYVYAVEKGKS
+>DECOY_sp|A6NED2|RCCD1_HUMAN RCC1 domain-containing protein 1 OS=Homo sapiens OX=9606 GN=RCCD1 PE=1 SV=1
+SKGKEVAYVYTNWPGCTVAKVQLQKDVFYEVRRPRDLSTTDEHGLQGYKGWGWTYLEGTRTVVATHRSGCSAKVADSGMPLDLLAPFPQVAIFPAPAGDEAGGTRKVQSGDENLETAERAVTEGDEALNRTPLALQGSENWGWIYIDGTESVCVSHWGGAAVEAMVLGQLAELLRPELEAELTGHGLQGHRGGGWSFVQGAADLLLAHEAGLELQRARLEPALPRYFPARPSVYARACPLLPLRGAQAEGAPDDEGEAEPVVNQAWLPEGRLASEAAWVQLLAEPGPGARLVALLGESAWADKCRGAAGSASGSLELRGGRTVFATYSWSASVRCIDVGARLPSPSHVQRGRGSGLEQGFGCFGFGFWAGPREEAM
+>sp|Q15293|RCN1_HUMAN Reticulocalbin-1 OS=Homo sapiens OX=9606 GN=RCN1 PE=1 SV=1
+MARGGRGRRLGLALGLLLALVLAPRVLRAKPTVRKERVVRPDSELGERPPEDNQSFQYDHEAFLGKEDSKTFDQLTPDESKERLGKIVDRIDNDGDGFVTTEELKTWIKRVQKRYIFDNVAKVWKDYDRDKDDKISWEEYKQATYGYYLGNPAEFHDSSDHHTFKKMLPRDERRFKAADLNGDLTATREEFTAFLHPEEFEHMKEIVVLETLEDIDKNGDGFVDQDEYIADMFSHEENGPEPDWVLSEREQFNEFRDLNKDGKLDKDEIRHWILPQDYDHAQAEARHLVYESDKNKDEKLTKEEILENWNMFVGSQATNYGEDLTKNHDEL
+>DECOY_sp|Q15293|RCN1_HUMAN Reticulocalbin-1 OS=Homo sapiens OX=9606 GN=RCN1 PE=1 SV=1
+LEDHNKTLDEGYNTAQSGVFMNWNELIEEKTLKEDKNKDSEYVLHRAEAQAHDYDQPLIWHRIEDKDLKGDKNLDRFENFQERESLVWDPEPGNEEHSFMDAIYEDQDVFGDGNKDIDELTELVVIEKMHEFEEPHLFATFEERTATLDGNLDAAKFRREDRPLMKKFTHHDSSDHFEAPNGLYYGYTAQKYEEWSIKDDKDRDYDKWVKAVNDFIYRKQVRKIWTKLEETTVFGDGDNDIRDVIKGLREKSEDPTLQDFTKSDEKGLFAEHDYQFSQNDEPPREGLESDPRVVREKRVTPKARLVRPALVLALLLGLALGLRRGRGGRAM
+>sp|Q9UKL0|RCOR1_HUMAN REST corepressor 1 OS=Homo sapiens OX=9606 GN=RCOR1 PE=1 SV=2
+MPAMVEKGPEVSGKRRGRNNAAASASAAAASAAASAACASPAATAASGAAASSASAAAASAAAAPNNGQNKSLAAAAPNGNSSSNSWEEGSSGSSSDEEHGGGGMRVGPQYQAVVPDFDPAKLARRSQERDNLGMLVWSPNQNLSEAKLDEYIAIAKEKHGYNMEQALGMLFWHKHNIEKSLADLPNFTPFPDEWTVEDKVLFEQAFSFHGKTFHRIQQMLPDKSIASLVKFYYSWKKTRTKTSVMDRHARKQKREREESEDELEEANGNNPIDIEVDQNKESKKEVPPTETVPQVKKEKHSTQAKNRAKRKPPKGMFLSQEDVEAVSANATAATTVLRQLDMELVSVKRQIQNIKQTNSALKEKLDGGIEPYRLPEVIQKCNARWTTEEQLLAVQAIRKYGRDFQAISDVIGNKSVVQVKNFFVNYRRRFNIDEVLQEWEAEHGKEETNGPSNQKPVKSPDNSIKMPEEEDEAPVLDVRYASAS
+>DECOY_sp|Q9UKL0|RCOR1_HUMAN REST corepressor 1 OS=Homo sapiens OX=9606 GN=RCOR1 PE=1 SV=2
+SASAYRVDLVPAEDEEEPMKISNDPSKVPKQNSPGNTEEKGHEAEWEQLVEDINFRRRYNVFFNKVQVVSKNGIVDSIAQFDRGYKRIAQVALLQEETTWRANCKQIVEPLRYPEIGGDLKEKLASNTQKINQIQRKVSVLEMDLQRLVTTAATANASVAEVDEQSLFMGKPPKRKARNKAQTSHKEKKVQPVTETPPVEKKSEKNQDVEIDIPNNGNAEELEDESEERERKQKRAHRDMVSTKTRTKKWSYYFKVLSAISKDPLMQQIRHFTKGHFSFAQEFLVKDEVTWEDPFPTFNPLDALSKEINHKHWFLMGLAQEMNYGHKEKAIAIYEDLKAESLNQNPSWVLMGLNDREQSRRALKAPDFDPVVAQYQPGVRMGGGGHEEDSSSGSSGEEWSNSSSNGNPAAAALSKNQGNNPAAAASAAAASASSAAAGSAATAAPSACAASAAASAAAASASAAANNRGRRKGSVEPGKEVMAPM
+>sp|Q9H4I0|RD21L_HUMAN Double-strand-break repair protein rad21-like protein 1 OS=Homo sapiens OX=9606 GN=RAD21L1 PE=2 SV=3
+MFYTHVLMSKRGPLAKIWLAAHWEKKLTKAHVFECNLEITIEKILSPKVKIALRTSGHLLLGVVRIYNRKAKYLLADCSEAFLKMKMTFCPGLVDLPKENFEASYNAITLPEEFHDFDTQNMNAIDVSEHFTQNQSRPEEITLRENFDNDLIFQAESFGEESEILRRHSFFDDNILLNSSGPLIEHSSGSLTGERSLFYDSGDGFGDEGAAGEMIDNLLQDDQNILLEDMHLNREISLPSEPPNSLAVEPDNSECICVPENEKMNETILLSTEEEGFTLDPIDISDIAEKRKGKKRRLLIDPIKELSSKVIHKQLTSFADTLMVLELAPPTQRLMMWKKRGGVHTLLSTAAQDLIHAELKMLFTKCFLSSGFKLGRKMIQKESVREEVGNQNIVETSMMQEPNYQQELSKPQTWKDVIGGSQHSSHEDTNKNINSEQDIVEMVSLAAEESSLMNDLFAQEIEYSPVELESLSNEENIETERWNGRILQMLNRLRESNKMGMQSFSLMKLCRNSDRKQAAAKFYSFLVLKKQLAIELSQSAPYADIIATMGPMFYNI
+>DECOY_sp|Q9H4I0|RD21L_HUMAN Double-strand-break repair protein rad21-like protein 1 OS=Homo sapiens OX=9606 GN=RAD21L1 PE=2 SV=3
+INYFMPGMTAIIDAYPASQSLEIALQKKLVLFSYFKAAAQKRDSNRCLKMLSFSQMGMKNSERLRNLMQLIRGNWRETEINEENSLSELEVPSYEIEQAFLDNMLSSEEAALSVMEVIDQESNINKNTDEHSSHQSGGIVDKWTQPKSLEQQYNPEQMMSTEVINQNGVEERVSEKQIMKRGLKFGSSLFCKTFLMKLEAHILDQAATSLLTHVGGRKKWMMLRQTPPALELVMLTDAFSTLQKHIVKSSLEKIPDILLRRKKGKRKEAIDSIDIPDLTFGEEETSLLITENMKENEPVCICESNDPEVALSNPPESPLSIERNLHMDELLINQDDQLLNDIMEGAAGEDGFGDGSDYFLSREGTLSGSSHEILPGSSNLLINDDFFSHRRLIESEEGFSEAQFILDNDFNERLTIEEPRSQNQTFHESVDIANMNQTDFDHFEEPLTIANYSAEFNEKPLDVLGPCFTMKMKLFAESCDALLYKAKRNYIRVVGLLLHGSTRLAIKVKPSLIKEITIELNCEFVHAKTLKKEWHAALWIKALPGRKSMLVHTYFM
+>sp|Q8TC12|RDH11_HUMAN Retinol dehydrogenase 11 OS=Homo sapiens OX=9606 GN=RDH11 PE=1 SV=2
+MVELMFPLLLLLLPFLLYMAAPQIRKMLSSGVCTSTVQLPGKVVVVTGANTGIGKETAKELAQRGARVYLACRDVEKGELVAKEIQTTTGNQQVLVRKLDLSDTKSIRAFAKGFLAEEKHLHVLINNAGVMMCPYSKTADGFEMHIGVNHLGHFLLTHLLLEKLKESAPSRIVNVSSLAHHLGRIHFHNLQGEKFYNAGLAYCHSKLANILFTQELARRLKGSGVTTYSVHPGTVQSELVRHSSFMRWMWWLFSFFIKTPQQGAQTSLHCALTEGLEILSGNHFSDCHVAWVSAQARNETIARRLWDVSCDLLGLPID
+>DECOY_sp|Q8TC12|RDH11_HUMAN Retinol dehydrogenase 11 OS=Homo sapiens OX=9606 GN=RDH11 PE=1 SV=2
+DIPLGLLDCSVDWLRRAITENRAQASVWAVHCDSFHNGSLIELGETLACHLSTQAGQQPTKIFFSFLWWMWRMFSSHRVLESQVTGPHVSYTTVGSGKLRRALEQTFLINALKSHCYALGANYFKEGQLNHFHIRGLHHALSSVNVIRSPASEKLKELLLHTLLFHGLHNVGIHMEFGDATKSYPCMMVGANNILVHLHKEEALFGKAFARISKTDSLDLKRVLVQQNGTTTQIEKAVLEGKEVDRCALYVRAGRQALEKATEKGIGTNAGTVVVVKGPLQVTSTCVGSSLMKRIQPAAMYLLFPLLLLLLPFMLEVM
+>sp|Q8N3Y7|RDHE2_HUMAN Epidermal retinol dehydrogenase 2 OS=Homo sapiens OX=9606 GN=SDR16C5 PE=2 SV=2
+MSFNLQSSKKLFIFLGKSLFSLLEAMIFALLPKPRKNVAGEIVLITGAGSGLGRLLALQFARLGSVLVLWDINKEGNEETCKMAREAGATRVHAYTCDCSQKEGVYRVADQVKKEVGDVSILINNAGIVTGKKFLDCPDELMEKSFDVNFKAHLWTYKAFLPAMIANDHGHLVCISSSAGLSGVNGLADYCASKFAAFGFAESVFVETFVQKQKGIKTTIVCPFFIKTGMFEGCTTGCPSLLPILEPKYAVEKIVEAILQEKMYLYMPKLLYFMMFLKSFLPLKTGLLIADYLGILHAMDGFVDQKKKL
+>DECOY_sp|Q8N3Y7|RDHE2_HUMAN Epidermal retinol dehydrogenase 2 OS=Homo sapiens OX=9606 GN=SDR16C5 PE=2 SV=2
+LKKKQDVFGDMAHLIGLYDAILLGTKLPLFSKLFMMFYLLKPMYLYMKEQLIAEVIKEVAYKPELIPLLSPCGTTCGEFMGTKIFFPCVITTKIGKQKQVFTEVFVSEAFGFAAFKSACYDALGNVGSLGASSSICVLHGHDNAIMAPLFAKYTWLHAKFNVDFSKEMLEDPCDLFKKGTVIGANNILISVDGVEKKVQDAVRYVGEKQSCDCTYAHVRTAGAERAMKCTEENGEKNIDWLVLVSGLRAFQLALLRGLGSGAGTILVIEGAVNKRPKPLLAFIMAELLSFLSKGLFIFLKKSSQLNFSM
+>sp|P78563|RED1_HUMAN Double-stranded RNA-specific editase 1 OS=Homo sapiens OX=9606 GN=ADARB1 PE=1 SV=1
+MDIEDEENMSSSSTDVKENRNLDNVSPKDGSTPGPGEGSQLSNGGGGGPGRKRPLEEGSNGHSKYRLKKRRKTPGPVLPKNALMQLNEIKPGLQYTLLSQTGPVHAPLFVMSVEVNGQVFEGSGPTKKKAKLHAAEKALRSFVQFPNASEAHLAMGRTLSVNTDFTSDQADFPDTLFNGFETPDKAEPPFYVGSNGDDSFSSSGDLSLSASPVPASLAQPPLPVLPPFPPPSGKNPVMILNELRPGLKYDFLSESGESHAKSFVMSVVVDGQFFEGSGRNKKLAKARAAQSALAAIFNLHLDQTPSRQPIPSEGLQLHLPQVLADAVSRLVLGKFGDLTDNFSSPHARRKVLAGVVMTTGTDVKDAKVISVSTGTKCINGEYMSDRGLALNDCHAEIISRRSLLRFLYTQLELYLNNKDDQKRSIFQKSERGGFRLKENVQFHLYISTSPCGDARIFSPHEPILEGSRSYTQAGVQWCNHGSLQPRPPGLLSDPSTSTFQGAGTTEPADRHPNRKARGQLRTKIESGEGTIPVRSNASIQTWDGVLQGERLLTMSCSDKIARWNVVGIQGSLLSIFVEPIYFSSIILGSLYHGDHLSRAMYQRISNIEDLPPLYTLNKPLLSGISNAEARQPGKAPNFSVNWTVGDSAIEVINATTGKDELGRASRLCKHALYCRWMRVHGKVPSHLLRSKITKPNVYHESKLAAKEYQAAKARLFTAFIKAGLGAWVEKPTEQDQFSLTP
+>DECOY_sp|P78563|RED1_HUMAN Double-stranded RNA-specific editase 1 OS=Homo sapiens OX=9606 GN=ADARB1 PE=1 SV=1
+PTLSFQDQETPKEVWAGLGAKIFATFLRAKAAQYEKAALKSEHYVNPKTIKSRLLHSPVKGHVRMWRCYLAHKCLRSARGLEDKGTTANIVEIASDGVTWNVSFNPAKGPQRAEANSIGSLLPKNLTYLPPLDEINSIRQYMARSLHDGHYLSGLIISSFYIPEVFISLLSGQIGVVNWRAIKDSCSMTLLREGQLVGDWTQISANSRVPITGEGSEIKTRLQGRAKRNPHRDAPETTGAGQFTSTSPDSLLGPPRPQLSGHNCWQVGAQTYSRSGELIPEHPSFIRADGCPSTSIYLHFQVNEKLRFGGRESKQFISRKQDDKNNLYLELQTYLFRLLSRRSIIEAHCDNLALGRDSMYEGNICKTGTSVSIVKADKVDTGTTMVVGALVKRRAHPSSFNDTLDGFKGLVLRSVADALVQPLHLQLGESPIPQRSPTQDLHLNFIAALASQAARAKALKKNRGSGEFFQGDVVVSMVFSKAHSEGSESLFDYKLGPRLENLIMVPNKGSPPPFPPLVPLPPQALSAPVPSASLSLDGSSSFSDDGNSGVYFPPEAKDPTEFGNFLTDPFDAQDSTFDTNVSLTRGMALHAESANPFQVFSRLAKEAAHLKAKKKTPGSGEFVQGNVEVSMVFLPAHVPGTQSLLTYQLGPKIENLQMLANKPLVPGPTKRRKKLRYKSHGNSGEELPRKRGPGGGGGNSLQSGEGPGPTSGDKPSVNDLNRNEKVDTSSSSMNEEDEIDM
+>sp|Q13123|RED_HUMAN Protein Red OS=Homo sapiens OX=9606 GN=IK PE=1 SV=3
+MPERDSEPFSNPLAPDGHDVDDPHSFHQSKLTNEDFRKLLMTPRAAPTSAPPSKSRHHEMPREYNEDEDPAARRRKKKSYYAKLRQQEIERERELAEKYRDRAKERRDGVNKDYEETELISTTANYRAVGPTAEADKSAAEKRRQLIQESKFLGGDMEHTHLVKGLDFALLQKVRAEIASKEKEEEELMEKPQKETKKDEDPENKIEFKTRLGRNVYRMLFKSKAYERNELFLPGRMAYVVDLDDEYADTDIPTTLIRSKADCPTMEAQTTLTTNDIVISKLTQILSYLRQGTRNKKLKKKDKGKLEEKKPPEADMNIFEDIGDYVPSTTKTPRDKERERYRERERDRERDRDRDRERERERDRERERERDREREEEKKRHSYFEKPKVDDEPMDVDKGPGSTKELIKSINEKFAGSAGWEGTESLKKPEDKKQLGDFFGMSNSYAECYPATMDDMAVDSDEEVDYSKMDQGNKKGPLGRWDFDTQEEYSEYMNNKEALPKAAFQYGIKMSEGRKTRRFKETNDKAELDRQWKKISAIIEKRKKMEADGVEVKRPKY
+>DECOY_sp|Q13123|RED_HUMAN Protein Red OS=Homo sapiens OX=9606 GN=IK PE=1 SV=3
+YKPRKVEVGDAEMKKRKEIIASIKKWQRDLEAKDNTEKFRRTKRGESMKIGYQFAAKPLAEKNNMYESYEEQTDFDWRGLPGKKNGQDMKSYDVEEDSDVAMDDMTAPYCEAYSNSMGFFDGLQKKDEPKKLSETGEWGASGAFKENISKILEKTSGPGKDVDMPEDDVKPKEFYSHRKKEEERERDRERERERDRERERERDRDRDRERDRERERYREREKDRPTKTTSPVYDGIDEFINMDAEPPKKEELKGKDKKKLKKNRTGQRLYSLIQTLKSIVIDNTTLTTQAEMTPCDAKSRILTTPIDTDAYEDDLDVVYAMRGPLFLENREYAKSKFLMRYVNRGLRTKFEIKNEPDEDKKTEKQPKEMLEEEEKEKSAIEARVKQLLAFDLGKVLHTHEMDGGLFKSEQILQRRKEAASKDAEATPGVARYNATTSILETEEYDKNVGDRREKARDRYKEALEREREIEQQRLKAYYSKKKRRRAAPDEDENYERPMEHHRSKSPPASTPAARPTMLLKRFDENTLKSQHFSHPDDVDHGDPALPNSFPESDREPM
+>sp|Q6NUK4|REEP3_HUMAN Receptor expression-enhancing protein 3 OS=Homo sapiens OX=9606 GN=REEP3 PE=1 SV=1
+MVSWMISRAVVLVFGMLYPAYYSYKAVKTKNVKEYVRWMMYWIVFALYTVIETVADQTVAWFPLYYELKIAFVIWLLSPYTKGASLIYRKFLHPLLSSKEREIDDYIVQAKERGYETMVNFGRQGLNLAATAAVTAAVKSQGAITERLRSFSMHDLTTIQGDEPVGQRPYQPLPEAKKKSKPAPSESAGYGIPLKDGDEKTDEEAEGPYSDNEMLTHKGLRRSQSMKSVKTTKGRKEVRYGSLKYKVKKRPQVYF
+>DECOY_sp|Q6NUK4|REEP3_HUMAN Receptor expression-enhancing protein 3 OS=Homo sapiens OX=9606 GN=REEP3 PE=1 SV=1
+FYVQPRKKVKYKLSGYRVEKRGKTTKVSKMSQSRRLGKHTLMENDSYPGEAEEDTKEDGDKLPIGYGASESPAPKSKKKAEPLPQYPRQGVPEDGQITTLDHMSFSRLRETIAGQSKVAATVAATAALNLGQRGFNVMTEYGREKAQVIYDDIEREKSSLLPHLFKRYILSAGKTYPSLLWIVFAIKLEYYLPFWAVTQDAVTEIVTYLAFVIWYMMWRVYEKVNKTKVAKYSYYAPYLMGFVLVVARSIMWSVM
+>sp|P78509|RELN_HUMAN Reelin OS=Homo sapiens OX=9606 GN=RELN PE=1 SV=3
+MERSGWARQTFLLALLLGATLRARAAAGYYPRFSPFFFLCTHHGELEGDGEQGEVLISLHIAGNPTYYVPGQEYHVTISTSTFFDGLLVTGLYTSTSVQASQSIGGSSAFGFGIMSDHQFGNQFMCSVVASHVSHLPTTNLSFIWIAPPAGTGCVNFMATATHRGQVIFKDALAQQLCEQGAPTDVTVHPHLAEIHSDSIILRDDFDSYHQLQLNPNIWVECNNCETGEQCGAIMHGNAVTFCEPYGPRELITTGLNTTTASVLQFSIGSGSCRFSYSDPSIIVLYAKNNSADWIQLEKIRAPSNVSTIIHILYLPEDAKGENVQFQWKQENLRVGEVYEACWALDNILIINSAHRQVVLEDSLDPVDTGNWLFFPGATVKHSCQSDGNSIYFHGNEGSEFNFATTRDVDLSTEDIQEQWSEEFESQPTGWDVLGAVIGTECGTIESGLSMVFLKDGERKLCTPSMDTTGYGNLRFYFVMGGICDPGNSHENDIILYAKIEGRKEHITLDTLSYSSYKVPSLVSVVINPELQTPATKFCLRQKNHQGHNRNVWAVDFFHVLPVLPSTMSHMIQFSINLGCGTHQPGNSVSLEFSTNHGRSWSLLHTECLPEICAGPHLPHSTVYSSENYSGWNRITIPLPNAALTRNTRIRWRQTGPILGNMWAIDNVYIGPSCLKFCSGRGQCTRHGCKCDPGFSGPACEMASQTFPMFISESFGSSRLSSYHNFYSIRGAEVSFGCGVLASGKALVFNKDGRRQLITSFLDSSQSRFLQFTLRLGSKSVLSTCRAPDQPGEGVLLHYSYDNGITWKLLEHYSYLSYHEPRIISVELPGDAKQFGIQFRWWQPYHSSQREDVWAIDEIIMTSVLFNSISLDFTNLVEVTQSLGFYLGNVQPYCGHDWTLCFTGDSKLASSMRYVETQSMQIGASYMIQFSLVMGCGQKYTPHMDNQVKLEYSTNHGLTWHLVQEECLPSMPSCQEFTSASIYHASEFTQWRRVIVLLPQKTWSSATRFRWSQSYYTAQDEWALDSIYIGQQCPNMCSGHGSCDHGICRCDQGYQGTECHPEAALPSTIMSDFENQNGWESDWQEVIGGEIVKPEQGCGVISSGSSLYFSKAGKRQLVSWDLDTSWVDFVQFYIQIGGESASCNKPDSREEGVLLQYSNNGGIQWHLLAEMYFSDFSKPRFVYLELPAAAKTPCTRFRWWQPVFSGEDYDQWAVDDIIILSEKQKQIIPVINPTLPQNFYEKPAFDYPMNQMSVWLMLANEGMVKNETFCAATPSAMIFGKSDGDRFAVTRDLTLKPGYVLQFKLNIGCANQFSSTAPVLLQYSHDAGMSWFLVKEGCYPASAGKGCEGNSRELSEPTMYHTGDFEEWTRITIVIPRSLASSKTRFRWIQESSSQKNVPPFGLDGVYISEPCPSYCSGHGDCISGVCFCDLGYTAAQGTCVSNVPNHNEMFDRFEGKLSPLWYKITGAQVGTGCGTLNDGKSLYFNGPGKREARTVPLDTRNIRLVQFYIQIGSKTSGITCIKPRTRNEGLIVQYSNDNGILWHLLRELDFMSFLEPQIISIDLPQDAKTPATAFRWWQPQHGKHSAQWALDDVLIGMNDSSQTGFQDKFDGSIDLQANWYRIQGGQVDIDCLSMDTALIFTENIGKPRYAETWDFHVSASTFLQFEMSMGCSKPFSNSHSVQLQYSLNNGKDWHLVTEECVPPTIGCLHYTESSIYTSERFQNWKRITVYLPLSTISPRTRFRWIQANYTVGADSWAIDNVVLASGCPWMCSGRGICDAGRCVCDRGFGGPYCVPVVPLPSILKDDFNGNLHPDLWPEVYGAERGNLNGETIKSGTSLIFKGEGLRMLISRDLDCTNTMYVQFSLRFIAKSTPERSHSILLQFSISGGITWHLMDEFYFPQTTNILFINVPLPYTAQTNATRFRLWQPYNNGKKEEIWIVDDFIIDGNNVNNPVMLLDTFDFGPREDNWFFYPGGNIGLYCPYSSKGAPEEDSAMVFVSNEVGEHSITTRDLNVNENTIIQFEINVGCSTDSSSADPVRLEFSRDFGATWHLLLPLCYHSSSHVSSLCSTEHHPSSTYYAGTMQGWRREVVHFGKLHLCGSVRFRWYQGFYPAGSQPVTWAIDNVYIGPQCEEMCNGQGSCINGTKCICDPGYSGPTCKISTKNPDFLKDDFEGQLESDRFLLMSGGKPSRKCGILSSGNNLFFNEDGLRMLMTRDLDLSHARFVQFFMRLGCGKGVPDPRSQPVLLQYSLNGGLSWSLLQEFLFSNSSNVGRYIALEIPLKARSGSTRLRWWQPSENGHFYSPWVIDQILIGGNISGNTVLEDDFTTLDSRKWLLHPGGTKMPVCGSTGDALVFIEKASTRYVVSTDVAVNEDSFLQIDFAASCSVTDSCYAIELEYSVDLGLSWHPLVRDCLPTNVECSRYHLQRILVSDTFNKWTRITLPLPPYTRSQATRFRWHQPAPFDKQQTWAIDNVYIGDGCIDMCSGHGRCIQGNCVCDEQWGGLYCDDPETSLPTQLKDNFNRAPSSQNWLTVNGGKLSTVCGAVASGMALHFSGGCSRLLVTVDLNLTNAEFIQFYFMYGCLITPNNRNQGVLLEYSVNGGITWNLLMEIFYDQYSKPGFVNILLPPDAKEIATRFRWWQPRHDGLDQNDWAIDNVLISGSADQRTVMLDTFSSAPVPQHERSPADAGPVGRIAFDMFMEDKTSVNEHWLFHDDCTVERFCDSPDGVMLCGSHDGREVYAVTHDLTPTEGWIMQFKISVGCKVSEKIAQNQIHVQYSTDFGVSWNYLVPQCLPADPKCSGSVSQPSVFFPTKGWKRITYPLPESLVGNPVRFRFYQKYSDMQWAIDNFYLGPGCLDNCRGHGDCLREQCICDPGYSGPNCYLTHTLKTFLKERFDSEEIKPDLWMSLEGGSTCTECGILAEDTALYFGGSTVRQAVTQDLDLRGAKFLQYWGRIGSENNMTSCHRPICRKEGVLLDYSTDGGITWTLLHEMDYQKYISVRHDYILLPEDALTNTTRLRWWQPFVISNGIVVSGVERAQWALDNILIGGAEINPSQLVDTFDDEGTSHEENWSFYPNAVRTAGFCGNPSFHLYWPNKKKDKTHNALSSRELIIQPGYMMQFKIVVGCEATSCGDLHSVMLEYTKDARSDSWQLVQTQCLPSSSNSIGCSPFQFHEATIYNSVNSSSWKRITIQLPDHVSSSATQFRWIQKGEETEKQSWAIDHVYIGEACPKLCSGHGYCTTGAICICDESFQGDDCSVFSHDLPSYIKDNFESARVTEANWETIQGGVIGSGCGQLAPYAHGDSLYFNGCQIRQAATKPLDLTRASKIMFVLQIGSMSQTDSCNSDLSGPHAVDKAVLLQYSVNNGITWHVIAQHQPKDFTQAQRVSYNVPLEARMKGVLLRWWQPRHNGTGHDQWALDHVEVVLVSTRKQNYMMNFSRQHGLRHFYNRRRRSLRRYP
+>DECOY_sp|P78509|RELN_HUMAN Reelin OS=Homo sapiens OX=9606 GN=RELN PE=1 SV=3
+PYRRLSRRRRNYFHRLGHQRSFNMMYNQKRTSVLVVEVHDLAWQDHGTGNHRPQWWRLLVGKMRAELPVNYSVRQAQTFDKPQHQAIVHWTIGNNVSYQLLVAKDVAHPGSLDSNCSDTQSMSGIQLVFMIKSARTLDLPKTAAQRIQCGNFYLSDGHAYPALQGCGSGIVGGQITEWNAETVRASEFNDKIYSPLDHSFVSCDDGQFSEDCICIAGTTCYGHGSCLKPCAEGIYVHDIAWSQKETEEGKQIWRFQTASSSVHDPLQITIRKWSSSNVSNYITAEHFQFPSCGISNSSSPLCQTQVLQWSDSRADKTYELMVSHLDGCSTAECGVVIKFQMMYGPQIILERSSLANHTKDKKKNPWYLHFSPNGCFGATRVANPYFSWNEEHSTGEDDFTDVLQSPNIEAGGILINDLAWQAREVGSVVIGNSIVFPQWWRLRTTNTLADEPLLIYDHRVSIYKQYDMEHLLTWTIGGDTSYDLLVGEKRCIPRHCSTMNNESGIRGWYQLFKAGRLDLDQTVAQRVTSGGFYLATDEALIGCETCTSGGELSMWLDPKIEESDFREKLFTKLTHTLYCNPGSYGPDCICQERLCDGHGRCNDLCGPGLYFNDIAWQMDSYKQYFRFRVPNGVLSEPLPYTIRKWGKTPFFVSPQSVSGSCKPDAPLCQPVLYNWSVGFDTSYQVHIQNQAIKESVKCGVSIKFQMIWGETPTLDHTVAYVERGDHSGCLMVGDPSDCFREVTCDDHFLWHENVSTKDEMFMDFAIRGVPGADAPSREHQPVPASSFTDLMVTRQDASGSILVNDIAWDNQDLGDHRPQWWRFRTAIEKADPPLLINVFGPKSYQDYFIEMLLNWTIGGNVSYELLVGQNRNNPTILCGYMFYFQIFEANTLNLDVTVLLRSCGGSFHLAMGSAVAGCVTSLKGGNVTLWNQSSPARNFNDKLQTPLSTEPDDCYLGGWQEDCVCNGQICRGHGSCMDICGDGIYVNDIAWTQQKDFPAPQHWRFRTAQSRTYPPLPLTIRTWKNFTDSVLIRQLHYRSCEVNTPLCDRVLPHWSLGLDVSYELEIAYCSDTVSCSAAFDIQLFSDENVAVDTSVVYRTSAKEIFVLADGTSGCVPMKTGGPHLLWKRSDLTTFDDELVTNGSINGGILIQDIVWPSYFHGNESPQWWRLRTSGSRAKLPIELAIYRGVNSSNSFLFEQLLSWSLGGNLSYQLLVPQSRPDPVGKGCGLRMFFQVFRAHSLDLDRTMLMRLGDENFFLNNGSSLIGCKRSPKGGSMLLFRDSELQGEFDDKLFDPNKTSIKCTPGSYGPDCICKTGNICSGQGNCMEECQPGIYVNDIAWTVPQSGAPYFGQYWRFRVSGCLHLKGFHVVERRWGQMTGAYYTSSPHHETSCLSSVHSSSHYCLPLLLHWTAGFDRSFELRVPDASSSDTSCGVNIEFQIITNENVNLDRTTISHEGVENSVFVMASDEEPAGKSSYPCYLGINGGPYFFWNDERPGFDFTDLLMVPNNVNNGDIIFDDVIWIEEKKGNNYPQWLRFRTANTQATYPLPVNIFLINTTQPFYFEDMLHWTIGGSISFQLLISHSREPTSKAIFRLSFQVYMTNTCDLDRSILMRLGEGKFILSTGSKITEGNLNGREAGYVEPWLDPHLNGNFDDKLISPLPVVPVCYPGGFGRDCVCRGADCIGRGSCMWPCGSALVVNDIAWSDAGVTYNAQIWRFRTRPSITSLPLYVTIRKWNQFRESTYISSETYHLCGITPPVCEETVLHWDKGNNLSYQLQVSHSNSFPKSCGMSMEFQLFTSASVHFDWTEAYRPKGINETFILATDMSLCDIDVQGGQIRYWNAQLDISGDFKDQFGTQSSDNMGILVDDLAWQASHKGHQPQWWRFATAPTKADQPLDISIIQPELFSMFDLERLLHWLIGNDNSYQVILGENRTRPKICTIGSTKSGIQIYFQVLRINRTDLPVTRAERKGPGNFYLSKGDNLTGCGTGVQAGTIKYWLPSLKGEFRDFMENHNPVNSVCTGQAATYGLDCFCVGSICDGHGSCYSPCPESIYVGDLGFPPVNKQSSSEQIWRFRTKSSALSRPIVITIRTWEEFDGTHYMTPESLERSNGECGKGASAPYCGEKVLFWSMGADHSYQLLVPATSSFQNACGINLKFQLVYGPKLTLDRTVAFRDGDSKGFIMASPTAACFTENKVMGENALMLWVSMQNMPYDFAPKEYFNQPLTPNIVPIIQKQKESLIIIDDVAWQDYDEGSFVPQWWRFRTCPTKAAAPLELYVFRPKSFDSFYMEALLHWQIGGNNSYQLLVGEERSDPKNCSASEGGIQIYFQVFDVWSTDLDWSVLQRKGAKSFYLSSGSSIVGCGQEPKVIEGGIVEQWDSEWGNQNEFDSMITSPLAAEPHCETGQYGQDCRCIGHDCSGHGSCMNPCQQGIYISDLAWEDQATYYSQSWRFRTASSWTKQPLLVIVRRWQTFESAHYISASTFEQCSPMSPLCEEQVLHWTLGHNTSYELKVQNDMHPTYKQGCGMVLSFQIMYSAGIQMSQTEVYRMSSALKSDGTFCLTWDHGCYPQVNGLYFGLSQTVEVLNTFDLSISNFLVSTMIIEDIAWVDERQSSHYPQWWRFQIGFQKADGPLEVSIIRPEHYSLYSYHELLKWTIGNDYSYHLLVGEGPQDPARCTSLVSKSGLRLTFQLFRSQSSDLFSTILQRRGDKNFVLAKGSALVGCGFSVEAGRISYFNHYSSLRSSGFSESIFMPFTQSAMECAPGSFGPDCKCGHRTCQGRGSCFKLCSPGIYVNDIAWMNGLIPGTQRWRIRTNRTLAANPLPITIRNWGSYNESSYVTSHPLHPGACIEPLCETHLLSWSRGHNTSFELSVSNGPQHTGCGLNISFQIMHSMTSPLVPLVHFFDVAWVNRNHGQHNKQRLCFKTAPTQLEPNIVVSVLSPVKYSSYSLTDLTIHEKRGEIKAYLIIDNEHSNGPDCIGGMVFYFRLNGYGTTDMSPTCLKREGDKLFVMSLGSEITGCETGIVAGLVDWGTPQSEFEESWQEQIDETSLDVDRTTAFNFESGENGHFYISNGDSQCSHKVTAGPFFLWNGTDVPDLSDELVVQRHASNIILINDLAWCAEYVEGVRLNEQKWQFQVNEGKADEPLYLIHIITSVNSPARIKELQIWDASNNKAYLVIISPDSYSFRCSGSGISFQLVSATTTNLGTTILERPGYPECFTVANGHMIAGCQEGTECNNCEVWINPNLQLQHYSDFDDRLIISDSHIEALHPHVTVDTPAGQECLQQALADKFIVQGRHTATAMFNVCGTGAPPAIWIFSLNTTPLHSVHSAVVSCMFQNGFQHDSMIGFGFASSGGISQSAQVSTSTYLGTVLLGDFFTSTSITVHYEQGPVYYTPNGAIHLSILVEGQEGDGELEGHHTCLFFFPSFRPYYGAAARARLTAGLLLALLFTQRAWGSREM
+>sp|O75628|REM1_HUMAN GTP-binding protein REM 1 OS=Homo sapiens OX=9606 GN=REM1 PE=1 SV=2
+MTLNTEQEAKTPLHRRASTPLPLSPRGHQPGRLSTVPSTQSQHPRLGQSASLNPPTQKPSPAPDDWSSESSDSEGSWEALYRVVLLGDPGVGKTSLASLFAGKQERDLHEQLGEDVYERTLTVDGEDTTLVVVDTWEAEKLDKSWSQESCLQGGSAYVIVYSIADRGSFESASELRIQLRRTHQADHVPIILVGNKADLARCREVSVEEGRACAVVFDCKFIETSATLQHNVAELFEGVVRQLRLRRRDSAAKEPPAPRRPASLAQRARRFLARLTARSARRRALKARSKSCHNLAVL
+>DECOY_sp|O75628|REM1_HUMAN GTP-binding protein REM 1 OS=Homo sapiens OX=9606 GN=REM1 PE=1 SV=2
+LVALNHCSKSRAKLARRRASRATLRALFRRARQALSAPRRPAPPEKAASDRRRLRLQRVVGEFLEAVNHQLTASTEIFKCDFVVACARGEEVSVERCRALDAKNGVLIIPVHDAQHTRRLQIRLESASEFSGRDAISYVIVYASGGQLCSEQSWSKDLKEAEWTDVVVLTTDEGDVTLTREYVDEGLQEHLDREQKGAFLSALSTKGVGPDGLLVVRYLAEWSGESDSSESSWDDPAPSPKQTPPNLSASQGLRPHQSQTSPVTSLRGPQHGRPSLPLPTSARRHLPTKAEQETNLTM
+>sp|Q8IYK8|REM2_HUMAN GTP-binding protein REM 2 OS=Homo sapiens OX=9606 GN=REM2 PE=1 SV=2
+MHTDLDTDMDMDTETTALCPSGSRRASPPGTPTPEADATLLKKSEKLLAELDRSGLPSAPGAPRRRGSMPVPYKHQLRRAQAVDELDWPPQASSSGSSDSLGSGEAAPAQKDGIFKVMLVGESGVGKSTLAGTFGGLQGDSAHEPENPEDTYERRIMVDKEEVTLVVYDIWEQGDAGGWLRDHCLQTGDAFLIVFSVTDRRSFSKVPETLLRLRAGRPHHDLPVILVGNKSDLARSREVSLEEGRHLAGTLSCKHIETSAALHHNTRELFEGAVRQIRLRRGRNHAGGQRPDPGSPEGPAPPARRESLTKKAKRFLANLVPRNAKFFKQRSRSCHDLSVL
+>DECOY_sp|Q8IYK8|REM2_HUMAN GTP-binding protein REM 2 OS=Homo sapiens OX=9606 GN=REM2 PE=1 SV=2
+LVSLDHCSRSRQKFFKANRPVLNALFRKAKKTLSERRAPPAPGEPSGPDPRQGGAHNRGRRLRIQRVAGEFLERTNHHLAASTEIHKCSLTGALHRGEELSVERSRALDSKNGVLIVPLDHHPRGARLRLLTEPVKSFSRRDTVSFVILFADGTQLCHDRLWGGADGQEWIDYVVLTVEEKDVMIRREYTDEPNEPEHASDGQLGGFTGALTSKGVGSEGVLMVKFIGDKQAPAAEGSGLSDSSGSSSAQPPWDLEDVAQARRLQHKYPVPMSGRRRPAGPASPLGSRDLEALLKESKKLLTADAEPTPTGPPSARRSGSPCLATTETDMDMDTDLDTHM
+>sp|Q9BZI7|REN3B_HUMAN Regulator of nonsense transcripts 3B OS=Homo sapiens OX=9606 GN=UPF3B PE=1 SV=1
+MKEEKEHRPKEKRVTLLTPAGATGSGGGTSGDSSKGEDKQDRNKEKKEALSKVVIRRLPPTLTKEQLQEHLQPMPEHDYFEFFSNDTSLYPHMYARAYINFKNQEDIILFRDRFDGYVFLDNKGQEYPAIVEFAPFQKAAKKKTKKRDTKVGTIDDDPEYRKFLESYATDNEKMTSTPETLLEEIEAKNRELIAKKTTPLLSFLKNKQRMREEKREERRRREIERKRQREEERRKWKEEEKRKRKDIEKLKKIDRIPERDKLKDEPKIKVHRFLLQAVNQKNLLKKPEKGDEKELDKREKAKKLDKENLSDERASGQSCTLPKRSDSELKDEKPKRPEDESGRDYREREREYERDQERILRERERLKRQEEERRRQKERYEKEKTFKRKEEEMKKEKDTLRDKGKKAESTESIGSSEKTEKKEEVVKRDRIRNKDRPAMQLYQPGARSRNRLCPPDDSTKSGDSAAERKQESGISHRKEGGEE
+>DECOY_sp|Q9BZI7|REN3B_HUMAN Regulator of nonsense transcripts 3B OS=Homo sapiens OX=9606 GN=UPF3B PE=1 SV=1
+EEGGEKRHSIGSEQKREAASDGSKTSDDPPCLRNRSRAGPQYLQMAPRDKNRIRDRKVVEEKKETKESSGISETSEAKKGKDRLTDKEKKMEEEKRKFTKEKEYREKQRRREEEQRKLRERERLIREQDREYERERERYDRGSEDEPRKPKEDKLESDSRKPLTCSQGSAREDSLNEKDLKKAKERKDLEKEDGKEPKKLLNKQNVAQLLFRHVKIKPEDKLKDREPIRDIKKLKEIDKRKRKEEEKWKRREEERQRKREIERRRREERKEERMRQKNKLFSLLPTTKKAILERNKAEIEELLTEPTSTMKENDTAYSELFKRYEPDDDITGVKTDRKKTKKKAAKQFPAFEVIAPYEQGKNDLFVYGDFRDRFLIIDEQNKFNIYARAYMHPYLSTDNSFFEFYDHEPMPQLHEQLQEKTLTPPLRRIVVKSLAEKKEKNRDQKDEGKSSDGSTGGGSGTAGAPTLLTVRKEKPRHEKEEKM
+>sp|Q92900|RENT1_HUMAN Regulator of nonsense transcripts 1 OS=Homo sapiens OX=9606 GN=UPF1 PE=1 SV=2
+MSVEAYGPSSQTLTFLDTEEAELLGADTQGSEFEFTDFTLPSQTQTPPGGPGGPGGGGAGGPGGAGAGAAAGQLDAQVGPEGILQNGAVDDSVAKTSQLLAELNFEEDEEDTYYTKDLPIHACSYCGIHDPACVVYCNTSKKWFCNGRGNTSGSHIVNHLVRAKCKEVTLHKDGPLGETVLECYNCGCRNVFLLGFIPAKADSVVVLLCRQPCASQSSLKDINWDSSQWQPLIQDRCFLSWLVKIPSEQEQLRARQITAQQINKLEELWKENPSATLEDLEKPGVDEEPQHVLLRYEDAYQYQNIFGPLVKLEADYDKKLKESQTQDNITVRWDLGLNKKRIAYFTLPKTDSGNEDLVIIWLRDMRLMQGDEICLRYKGDLAPLWKGIGHVIKVPDNYGDEIAIELRSSVGAPVEVTHNFQVDFVWKSTSFDRMQSALKTFAVDETSVSGYIYHKLLGHEVEDVIIKCQLPKRFTAQGLPDLNHSQVYAVKTVLQRPLSLIQGPPGTGKTVTSATIVYHLARQGNGPVLVCAPSNIAVDQLTEKIHQTGLKVVRLCAKSREAIDSPVSFLALHNQIRNMDSMPELQKLQQLKDETGELSSADEKRYRALKRTAERELLMNADVICCTCVGAGDPRLAKMQFRSILIDESTQATEPECMVPVVLGAKQLILVGDHCQLGPVVMCKKAAKAGLSQSLFERLVVLGIRPIRLQVQYRMHPALSAFPSNIFYEGSLQNGVTAADRVKKGFDFQWPQPDKPMFFYVTQGQEEIASSGTSYLNRTEAANVEKITTKLLKAGAKPDQIGIITPYEGQRSYLVQYMQFSGSLHTKLYQEVEIASVDAFQGREKDFIILSCVRANEHQGIGFLNDPRRLNVALTRARYGVIIVGNPKALSKQPLWNHLLNYYKEQKVLVEGPLNNLRESLMQFSKPRKLVNTINPGARFMTTAMYDAREAIIPGSVYDRSSQGRPSSMYFQTHDQIGMISAGPSHVAAMNIPIPFNLVMPPMPPPGYFGQANGPAAGRGTPKGKTGRGGRQKNRFGLPGPSQTNLPNSQASQDVASQPFSQGALTQGYISMSQPSQMSQPGLSQPELSQDSYLGDEFKSQIDVALSQDSTYQGERAYQHGGVTGLSQY
+>DECOY_sp|Q92900|RENT1_HUMAN Regulator of nonsense transcripts 1 OS=Homo sapiens OX=9606 GN=UPF1 PE=1 SV=2
+YQSLGTVGGHQYAREGQYTSDQSLAVDIQSKFEDGLYSDQSLEPQSLGPQSMQSPQSMSIYGQTLAGQSFPQSAVDQSAQSNPLNTQSPGPLGFRNKQRGGRGTKGKPTGRGAAPGNAQGFYGPPPMPPMVLNFPIPINMAAVHSPGASIMGIQDHTQFYMSSPRGQSSRDYVSGPIIAERADYMATTMFRAGPNITNVLKRPKSFQMLSERLNNLPGEVLVKQEKYYNLLHNWLPQKSLAKPNGVIIVGYRARTLAVNLRRPDNLFGIGQHENARVCSLIIFDKERGQFADVSAIEVEQYLKTHLSGSFQMYQVLYSRQGEYPTIIGIQDPKAGAKLLKTTIKEVNAAETRNLYSTGSSAIEEQGQTVYFFMPKDPQPWQFDFGKKVRDAATVGNQLSGEYFINSPFASLAPHMRYQVQLRIPRIGLVVLREFLSQSLGAKAAKKCMVVPGLQCHDGVLILQKAGLVVPVMCEPETAQTSEDILISRFQMKALRPDGAGVCTCCIVDANMLLEREATRKLARYRKEDASSLEGTEDKLQQLKQLEPMSDMNRIQNHLALFSVPSDIAERSKACLRVVKLGTQHIKETLQDVAINSPACVLVPGNGQRALHYVITASTVTKGTGPPGQILSLPRQLVTKVAYVQSHNLDPLGQATFRKPLQCKIIVDEVEHGLLKHYIYGSVSTEDVAFTKLASQMRDFSTSKWVFDVQFNHTVEVPAGVSSRLEIAIEDGYNDPVKIVHGIGKWLPALDGKYRLCIEDGQMLRMDRLWIIVLDENGSDTKPLTFYAIRKKNLGLDWRVTINDQTQSEKLKKDYDAELKVLPGFINQYQYADEYRLLVHQPEEDVGPKELDELTASPNEKWLEELKNIQQATIQRARLQEQESPIKVLWSLFCRDQILPQWQSSDWNIDKLSSQSACPQRCLLVVVSDAKAPIFGLLFVNRCGCNYCELVTEGLPGDKHLTVEKCKARVLHNVIHSGSTNGRGNCFWKKSTNCYVVCAPDHIGCYSCAHIPLDKTYYTDEEDEEFNLEALLQSTKAVSDDVAGNQLIGEPGVQADLQGAAAGAGAGGPGGAGGGGPGGPGGPPTQTQSPLTFDTFEFESGQTDAGLLEAEETDLFTLTQSSPGYAEVSM
+>sp|Q6BDI9|REP15_HUMAN Rab15 effector protein OS=Homo sapiens OX=9606 GN=REP15 PE=1 SV=4
+MGQKASQQLALKDSKEVPVVCEVVSEAIVHAAQKLKEYLGFEYPPSKLCPAANTLNEIFLIHFITFCQEKGVDEWLTTTKMTKHQAFLFGADWIWTFWGSNKQIKLQLAVQTLQMSSPPPVESKPCDLSNPESRVEESSWKKSRFDKLEEFCNLIGEDCLGLFIIFGMPGKPKDIRGVVLDSVKSQMVRSHLPGGKAVAQFVLETEDCVFIKELLRNCLSKKDGLREVGKVYISIL
+>DECOY_sp|Q6BDI9|REP15_HUMAN Rab15 effector protein OS=Homo sapiens OX=9606 GN=REP15 PE=1 SV=4
+LISIYVKGVERLGDKKSLCNRLLEKIFVCDETELVFQAVAKGGPLHSRVMQSKVSDLVVGRIDKPKGPMGFIIFLGLCDEGILNCFEELKDFRSKKWSSEEVRSEPNSLDCPKSEVPPPSSMQLTQVALQLKIQKNSGWFTWIWDAGFLFAQHKTMKTTTLWEDVGKEQCFTIFHILFIENLTNAAPCLKSPPYEFGLYEKLKQAAHVIAESVVECVVPVEKSDKLALQQSAKQGM
+>sp|P09455|RET1_HUMAN Retinol-binding protein 1 OS=Homo sapiens OX=9606 GN=RBP1 PE=1 SV=2
+MPVDFTGYWKMLVNENFEEYLRALDVNVALRKIANLLKPDKEIVQDGDHMIIRTLSTFRNYIMDFQVGKEFEEDLTGIDDRKCMTTVSWDGDKLQCVQKGEKEGRGWTQWIEGDELHLEMRVEGVVCKQVFKKVQ
+>DECOY_sp|P09455|RET1_HUMAN Retinol-binding protein 1 OS=Homo sapiens OX=9606 GN=RBP1 PE=1 SV=2
+QVKKFVQKCVVGEVRMELHLEDGEIWQTWGRGEKEGKQVCQLKDGDWSVTTMCKRDDIGTLDEEFEKGVQFDMIYNRFTSLTRIIMHDGDQVIEKDPKLLNAIKRLAVNVDLARLYEEFNENVLMKWYGTFDVPM
+>sp|P82980|RET5_HUMAN Retinol-binding protein 5 OS=Homo sapiens OX=9606 GN=RBP5 PE=1 SV=3
+MPPNLTGYYRFVSQKNMEDYLQALNISLAVRKIALLLKPDKEIEHQGNHMTVRTLSTFRNYTVQFDVGVEFEEDLRSVDGRKCQTIVTWEEEHLVCVQKGEVPNRGWRHWLEGEMLYLELTARDAVCEQVFRKVR
+>DECOY_sp|P82980|RET5_HUMAN Retinol-binding protein 5 OS=Homo sapiens OX=9606 GN=RBP5 PE=1 SV=3
+RVKRFVQECVADRATLELYLMEGELWHRWGRNPVEGKQVCVLHEEEWTVITQCKRGDVSRLDEEFEVGVDFQVTYNRFTSLTRVTMHNGQHEIEKDPKLLLAIKRVALSINLAQLYDEMNKQSVFRYYGTLNPPM
+>sp|Q9HD89|RETN_HUMAN Resistin OS=Homo sapiens OX=9606 GN=RETN PE=1 SV=1
+MKALCLLLLPVLGLLVSSKTLCSMEEAINERIQEVAGSLIFRAISSIGLECQSVTSRGDLATCPRGFAVTGCTCGSACGSWDVRAETTCHCQCAGMDWTGARCCRVQP
+>DECOY_sp|Q9HD89|RETN_HUMAN Resistin OS=Homo sapiens OX=9606 GN=RETN PE=1 SV=1
+PQVRCCRAGTWDMGACQCHCTTEARVDWSGCASGCTCGTVAFGRPCTALDGRSTVSQCELGISSIARFILSGAVEQIRENIAEEMSCLTKSSVLLGLVPLLLLCLAKM
+>sp|Q6NUM9|RETST_HUMAN All-trans-retinol 13,14-reductase OS=Homo sapiens OX=9606 GN=RETSAT PE=1 SV=2
+MWLPLVLLLAVLLLAVLCKVYLGLFSGSSPNPFSEDVKRPPAPLVTDKEARKKVLKQAFSANQVPEKLDVVVIGSGFGGLAAAAILAKAGKRVLVLEQHTKAGGCCHTFGKNGLEFDTGIHYIGRMEEGSIGRFILDQITEGQLDWAPLSSPFDIMVLEGPNGRKEYPMYSGEKAYIQGLKEKFPQEEAIIDKYIKLVKVVSSGAPHAILLKFLPLPVVQLLDRCGLLTRFSPFLQASTQSLAEVLQQLGASSELQAVLSYIFPTYGVTPNHSAFSMHALLVNHYMKGGFYPRGGSSEIAFHTIPVIQRAGGAVLTKATVQSVLLDSAGKACGVSVKKGHELVNIYCPIVVSNAGLFNTYEHLLPGNARCLPGVKQQLGTVRPGLGMTSVFICLRGTKEDLHLPSTNYYVYYDTDMDQAMERYVSMPREEAAEHIPLLFFAFPSAKDPTWEDRFPGRSTMIMLIPTAYEWFEEWQAELKGKRGSDYETFKNSFVEASMSVVLKLFPQLEGKVESVTAGSPLTNQFYLAAPRGACYGADHDLGRLHPCVMASLRAQSPIPNLYLTGQDIFTCGLVGALQGALLCSSAILKRNLYSDLKNLDSRIRAQKKKN
+>DECOY_sp|Q6NUM9|RETST_HUMAN All-trans-retinol 13,14-reductase OS=Homo sapiens OX=9606 GN=RETSAT PE=1 SV=2
+NKKKQARIRSDLNKLDSYLNRKLIASSCLLAGQLAGVLGCTFIDQGTLYLNPIPSQARLSAMVCPHLRGLDHDAGYCAGRPAALYFQNTLPSGATVSEVKGELQPFLKLVVSMSAEVFSNKFTEYDSGRKGKLEAQWEEFWEYATPILMIMTSRGPFRDEWTPDKASPFAFFLLPIHEAAEERPMSVYREMAQDMDTDYYVYYNTSPLHLDEKTGRLCIFVSTMGLGPRVTGLQQKVGPLCRANGPLLHEYTNFLGANSVVIPCYINVLEHGKKVSVGCAKGASDLLVSQVTAKTLVAGGARQIVPITHFAIESSGGRPYFGGKMYHNVLLAHMSFASHNPTVGYTPFIYSLVAQLESSAGLQQLVEALSQTSAQLFPSFRTLLGCRDLLQVVPLPLFKLLIAHPAGSSVVKVLKIYKDIIAEEQPFKEKLGQIYAKEGSYMPYEKRGNPGELVMIDFPSSLPAWDLQGETIQDLIFRGISGEEMRGIYHIGTDFELGNKGFTHCCGGAKTHQELVLVRKGAKALIAAAALGGFGSGIVVVDLKEPVQNASFAQKLVKKRAEKDTVLPAPPRKVDESFPNPSSGSFLGLYVKCLVALLLVALLLVLPLWM
+>sp|Q9UGC7|RF1ML_HUMAN Peptide chain release factor 1-like, mitochondrial OS=Homo sapiens OX=9606 GN=MTRF1L PE=1 SV=1
+MRSRVLWGAARWLWPRRAVGPARRPLSSGSPPLEELFTRGGPLRTFLERQAGSEAHLKVRRPELLAVIKLLNEKERELRETEHLLHDENEDLRKLAENEITLCQKEITQLKHQIILLLVPSEETDENDLILEVTAGVGGQEAMLFTSEIFDMYQQYAAFKRWHFETLEYFPSELGGLRHASASIGGSEAYRHMKFEGGVHRVQRVPKTEKQGRVHTSTMTVAILPQPTEINLVINPKDLRIDTKRASGAGGQHVNTTDSAVRIVHLPTGVVSECQQERSQLKNKELAMTKLRAKLYSMHLEEEINKRQNARKIQIGSKGRSEKIRTYNFPQNRVTDHRINKTLHDLETFMQGDYLLDELVQSLKEYADYESLVEIISQKV
+>DECOY_sp|Q9UGC7|RF1ML_HUMAN Peptide chain release factor 1-like, mitochondrial OS=Homo sapiens OX=9606 GN=MTRF1L PE=1 SV=1
+VKQSIIEVLSEYDAYEKLSQVLEDLLYDGQMFTELDHLTKNIRHDTVRNQPFNYTRIKESRGKSGIQIKRANQRKNIEEELHMSYLKARLKTMALEKNKLQSREQQCESVVGTPLHVIRVASDTTNVHQGGAGSARKTDIRLDKPNIVLNIETPQPLIAVTMTSTHVRGQKETKPVRQVRHVGGEFKMHRYAESGGISASAHRLGGLESPFYELTEFHWRKFAAYQQYMDFIESTFLMAEQGGVGATVELILDNEDTEESPVLLLIIQHKLQTIEKQCLTIENEALKRLDENEDHLLHETERLEREKENLLKIVALLEPRRVKLHAESGAQRELFTRLPGGRTFLEELPPSGSSLPRRAPGVARRPWLWRAAGWLVRSRM
+>sp|Q13156|RFA4_HUMAN Replication protein A 30 kDa subunit OS=Homo sapiens OX=9606 GN=RPA4 PE=1 SV=2
+MSKSGFGSYGSISAADGASGGSDQLCERDATPAIKTQRPKVRIQDVVPCNVNQLLSSTVFDPVFKVRGIIVSQVSIVGVIRGAEKASNHICYKIDDMTAKPIEARQWFGREKVKQVTPLSVGVYVKVFGILKCPTGTKSLEVLKIHVLEDMNEFTVHILETVNAHMMLDKARRDTTVESVPVSPSEVNDAGDNDESHRNFIQDEVLRLIHECPHQEGKSIHELRAQLCDLSVKAIKEAIDYLTVEGHIYPTVDREHFKSAD
+>DECOY_sp|Q13156|RFA4_HUMAN Replication protein A 30 kDa subunit OS=Homo sapiens OX=9606 GN=RPA4 PE=1 SV=2
+DASKFHERDVTPYIHGEVTLYDIAEKIAKVSLDCLQARLEHISKGEQHPCEHILRLVEDQIFNRHSEDNDGADNVESPSVPVSEVTTDRRAKDLMMHANVTELIHVTFENMDELVHIKLVELSKTGTPCKLIGFVKVYVGVSLPTVQKVKERGFWQRAEIPKATMDDIKYCIHNSAKEAGRIVGVISVQSVIIGRVKFVPDFVTSSLLQNVNCPVVDQIRVKPRQTKIAPTADRECLQDSGGSAGDAASISGYSGFGSKSM
+>sp|P35251|RFC1_HUMAN Replication factor C subunit 1 OS=Homo sapiens OX=9606 GN=RFC1 PE=1 SV=4
+MDIRKFFGVIPSGKKLVSETVKKNEKTKSDEETLKAKKGIKEIKVNSSRKEDDFKQKQPSKKKRIIYDSDSESEETLQVKNAKKPPEKLPVSSKPGKISRQDPVTYISETDEEDDFMCKKAASKSKENGRSTNSHLGTSNMKKNEENTKTKNKPLSPIKLTPTSVLDYFGTGSVQRSNKKMVASKRKELSQNTDESGLNDEAIAKQLQLDEDAELERQLHEDEEFARTLAMLDEEPKTKKARKDTEAGETFSSVQANLSKAEKHKYPHKVKTAQVSDERKSYSPRKQSKYESSKESQQHSKSSADKIGEVSSPKASSKLAIMKRKEESSYKEIEPVASKRKENAIKLKGETKTPKKTKSSPAKKESVSPEDSEKKRTNYQAYRSYLNREGPKALGSKEIPKGAENCLEGLIFVITGVLESIERDEAKSLIERYGGKVTGNVSKKTNYLVMGRDSGQSKSDKAAALGTKIIDEDGLLNLIRTMPGKKSKYEIAVETEMKKESKLERTPQKNVQGKRKISPSKKESESKKSRPTSKRDSLAKTIKKETDVFWKSLDFKEQVAEETSGDSKARNLADDSSENKVENLLWVDKYKPTSLKTIIGQQGDQSCANKLLRWLRNWQKSSSEDKKHAAKFGKFSGKDDGSSFKAALLSGPPGVGKTTTASLVCQELGYSYVELNASDTRSKSSLKAIVAESLNNTSIKGFYSNGAASSVSTKHALIMDEVDGMAGNEDRGGIQELIGLIKHTKIPIICMCNDRNHPKIRSLVHYCFDLRFQRPRVEQIKGAMMSIAFKEGLKIPPPAMNEIILGANQDIRQVLHNLSMWCARSKALTYDQAKADSHRAKKDIKMGPFDVARKVFAAGEETAHMSLVDKSDLFFHDYSIAPLFVQENYIHVKPVAAGGDMKKHLMLLSRAADSICDGDLVDSQIRSKQNWSLLPAQAIYASVLPGELMRGYMTQFPTFPSWLGKHSSTGKHDRIVQDLALHMSLRTYSSKRTVNMDYLSLLRDALVQPLTSQGVDGVQDVVALMDTYYLMKEDFENIMEISSWGGKPSPFSKLDPKVKAAFTRAYNKEAHLTPYSLQAIKASRHSTSPSLDSEYNEELNEDDSQSDEKDQDAIETDAMIKKKTKSSKPSKPEKDKEPRKGKGKSSKK
+>DECOY_sp|P35251|RFC1_HUMAN Replication factor C subunit 1 OS=Homo sapiens OX=9606 GN=RFC1 PE=1 SV=4
+KKSSKGKGKRPEKDKEPKSPKSSKTKKKIMADTEIADQDKEDSQSDDENLEENYESDLSPSTSHRSAKIAQLSYPTLHAEKNYARTFAAKVKPDLKSFPSPKGGWSSIEMINEFDEKMLYYTDMLAVVDQVGDVGQSTLPQVLADRLLSLYDMNVTRKSSYTRLSMHLALDQVIRDHKGTSSHKGLWSPFTPFQTMYGRMLEGPLVSAYIAQAPLLSWNQKSRIQSDVLDGDCISDAARSLLMLHKKMDGGAAVPKVHIYNEQVFLPAISYDHFFLDSKDVLSMHATEEGAAFVKRAVDFPGMKIDKKARHSDAKAQDYTLAKSRACWMSLNHLVQRIDQNAGLIIENMAPPPIKLGEKFAISMMAGKIQEVRPRQFRLDFCYHVLSRIKPHNRDNCMCIIPIKTHKILGILEQIGGRDENGAMGDVEDMILAHKTSVSSAAGNSYFGKISTNNLSEAVIAKLSSKSRTDSANLEVYSYGLEQCVLSATTTKGVGPPGSLLAAKFSSGDDKGSFKGFKAAHKKDESSSKQWNRLWRLLKNACSQDGQQGIITKLSTPKYKDVWLLNEVKNESSDDALNRAKSDGSTEEAVQEKFDLSKWFVDTEKKITKALSDRKSTPRSKKSESEKKSPSIKRKGQVNKQPTRELKSEKKMETEVAIEYKSKKGPMTRILNLLGDEDIIKTGLAAAKDSKSQGSDRGMVLYNTKKSVNGTVKGGYREILSKAEDREISELVGTIVFILGELCNEAGKPIEKSGLAKPGERNLYSRYAQYNTRKKESDEPSVSEKKAPSSKTKKPTKTEGKLKIANEKRKSAVPEIEKYSSEEKRKMIALKSSAKPSSVEGIKDASSKSHQQSEKSSEYKSQKRPSYSKREDSVQATKVKHPYKHKEAKSLNAQVSSFTEGAETDKRAKKTKPEEDLMALTRAFEEDEHLQRELEADEDLQLQKAIAEDNLGSEDTNQSLEKRKSAVMKKNSRQVSGTGFYDLVSTPTLKIPSLPKNKTKTNEENKKMNSTGLHSNTSRGNEKSKSAAKKCMFDDEEDTESIYTVPDQRSIKGPKSSVPLKEPPKKANKVQLTEESESDSDYIIRKKKSPQKQKFDDEKRSSNVKIEKIGKKAKLTEEDSKTKENKKVTESVLKKGSPIVGFFKRIDM
+>sp|Q9Y644|RFNG_HUMAN Beta-1,3-N-acetylglucosaminyltransferase radical fringe OS=Homo sapiens OX=9606 GN=RFNG PE=2 SV=3
+MSRARGALCRACLALAAALAALLLLPLPLPRAPAPARTPAPAPRAPPSRPAAPSLRPDDVFIAVKTTRKNHGPRLRLLLRTWISRARQQTFIFTDGDDPELELQGGDRVINTNCSAVRTRQALCCKMSVEYDKFIESGRKWFCHVDDDNYVNARSLLHLLSSFSPSQDVYLGRPSLDHPIEATERVQGGRTVTTVKFWFATGGAGFCLSRGLALKMSPWASLGSFMSTAEQVRLPDDCTVGYIVEGLLGARLLHSPLFHSHLENLQRLPPDTLLQQVTLSHGGPENPHNVVNVAGGFSLHQDPTRFKSIHCLLYPDTDWCPRQKQGAPTSR
+>DECOY_sp|Q9Y644|RFNG_HUMAN Beta-1,3-N-acetylglucosaminyltransferase radical fringe OS=Homo sapiens OX=9606 GN=RFNG PE=2 SV=3
+RSTPAGQKQRPCWDTDPYLLCHISKFRTPDQHLSFGGAVNVVNHPNEPGGHSLTVQQLLTDPPLRQLNELHSHFLPSHLLRAGLLGEVIYGVTCDDPLRVQEATSMFSGLSAWPSMKLALGRSLCFGAGGTAFWFKVTTVTRGGQVRETAEIPHDLSPRGLYVDQSPSFSSLLHLLSRANVYNDDDVHCFWKRGSEIFKDYEVSMKCCLAQRTRVASCNTNIVRDGGQLELEPDDGDTFIFTQQRARSIWTRLLLRLRPGHNKRTTKVAIFVDDPRLSPAAPRSPPARPAPAPTRAPAPARPLPLPLLLLAALAAALALCARCLAGRARSM
+>sp|O43251|RFOX2_HUMAN RNA binding protein fox-1 homolog 2 OS=Homo sapiens OX=9606 GN=RBFOX2 PE=1 SV=3
+MQNEPLTPGYHGFPARDSQGNQEPTTTPDAMVQPFTTIPFPPPPQNGIPTEYGVPHTQDYAGQTGEHNLTLYGSTQAHGEQSSNSPSTQNGSLTTEGGAQTDGQQSQTQSSENSESKSTPKRLHVSNIPFRFRDPDLRQMFGQFGKILDVEIIFNERGSKGFGFVTFENSADADRAREKLHGTVVEGRKIEVNNATARVMTNKKMVTPYANGWKLSPVVGAVYGPELYAASSFQADVSLGNDAAVPLSGRGGINTYIPLISLPLVPGFPYPTAATTAAAFRGAHLRGRGRTVYGAVRAVPPTAIPAYPGVVYQDGFYGADLYGGYAAYRYAQPATATAATAAAAAAAAYSDGYGRVYTADPYHALAPAASYGVGAVASLYRGGYSRFAPY
+>DECOY_sp|O43251|RFOX2_HUMAN RNA binding protein fox-1 homolog 2 OS=Homo sapiens OX=9606 GN=RBFOX2 PE=1 SV=3
+YPAFRSYGGRYLSAVAGVGYSAAPALAHYPDATYVRGYGDSYAAAAAAAATAATATAPQAYRYAAYGGYLDAGYFGDQYVVGPYAPIATPPVARVAGYVTRGRGRLHAGRFAAATTAATPYPFGPVLPLSILPIYTNIGGRGSLPVAADNGLSVDAQFSSAAYLEPGYVAGVVPSLKWGNAYPTVMKKNTMVRATANNVEIKRGEVVTGHLKERARDADASNEFTVFGFGKSGRENFIIEVDLIKGFQGFMQRLDPDRFRFPINSVHLRKPTSKSESNESSQTQSQQGDTQAGGETTLSGNQTSPSNSSQEGHAQTSGYLTLNHEGTQGAYDQTHPVGYETPIGNQPPPPFPITTFPQVMADPTTTPEQNGQSDRAPFGHYGPTLPENQM
+>sp|A6NLU0|RFPLA_HUMAN Ret finger protein-like 4A OS=Homo sapiens OX=9606 GN=RFPL4A PE=1 SV=3
+MAEHFKQIIRCPVCLKDLEEAVQLKCGYACCLQCLNSLQKEPDGEGLLCRFCSVVSQKDDIKPKYKLRALVSIIKELEPKLKSVLTMNPRMRKFQVDMTFDVDTANNYLIISEDLRSFRSGDLSQNRKEQAERFDTALCVLGTPRFTSGRHYWEVDVGTSQVWDVGVCKESVNRQGKIVLSSEHGFLTVGCREGKVFAASTVPMTPLWVSPQLHRVGIFLDVGMRSIAFYNVSDGCHIYTFIEIPVCEPWRPFFAHKRGSQDDQSILSICSVINPSAASAPVSSEGK
+>DECOY_sp|A6NLU0|RFPLA_HUMAN Ret finger protein-like 4A OS=Homo sapiens OX=9606 GN=RFPL4A PE=1 SV=3
+KGESSVPASAASPNIVSCISLISQDDQSGRKHAFFPRWPECVPIEIFTYIHCGDSVNYFAISRMGVDLFIGVRHLQPSVWLPTMPVTSAAFVKGERCGVTLFGHESSLVIKGQRNVSEKCVGVDWVQSTGVDVEWYHRGSTFRPTGLVCLATDFREAQEKRNQSLDGSRFSRLDESIILYNNATDVDFTMDVQFKRMRPNMTLVSKLKPELEKIISVLARLKYKPKIDDKQSVVSCFRCLLGEGDPEKQLSNLCQLCCAYGCKLQVAEELDKLCVPCRIIQKFHEAM
+>sp|P48382|RFX5_HUMAN DNA-binding protein RFX5 OS=Homo sapiens OX=9606 GN=RFX5 PE=1 SV=1
+MAEDEPDAKSPKTGGRAPPGGAEAGEPTTLLQRLRGTISKAVQNKVEGILQDVQKFSDNDKLYLYLQLPSGPTTGDKSSEPSTLSNEEYMYAYRWIRNHLEEHTDTCLPKQSVYDAYRKYCESLACCRPLSTANFGKIIREIFPDIKARRLGGRGQSKYCYSGIRRKTLVSMPPLPGLDLKGSESPEMGPEVTPAPRDELVEAACALTCDWAERILKRSFSSIVEVARFLLQQHLISARSAHAHVLKAMGLAEEDEHAPRERSSKPKNGLENPEGGAHKKPERLAQPPKDLEARTGAGPLARGERKKSVVESSAPGANNLQVNALVARLPLLLPRAPRSLIPPIPVSPPILAPRLSSGALKVATLPLSSRAGAPPAAVPIINMILPTVPALPGPGPGPGRAPPGGLTQPRGTENREVGIGGDQGPHDKGVKRTAEVPVSEASGQAPPAKAAKQDIEDTASDAKRKRGRPRKKSGGSGERNSTPLKSAAAMESAQSSRLPWETWGSGGEGNSAGGAERPGPMGEAEKGAVLAQGQGDGTVSKGGRGPGSQHTKEAEDKIPLVPSKVSVIKGSRSQKEAFPLAKGEVDTAPQGNKDLKEHVLQSSLSQEHKDPKATPP
+>DECOY_sp|P48382|RFX5_HUMAN DNA-binding protein RFX5 OS=Homo sapiens OX=9606 GN=RFX5 PE=1 SV=1
+PPTAKPDKHEQSLSSQLVHEKLDKNGQPATDVEGKALPFAEKQSRSGKIVSVKSPVLPIKDEAEKTHQSGPGRGGKSVTGDGQGQALVAGKEAEGMPGPREAGGASNGEGGSGWTEWPLRSSQASEMAAASKLPTSNREGSGGSKKRPRGRKRKADSATDEIDQKAAKAPPAQGSAESVPVEATRKVGKDHPGQDGGIGVERNETGRPQTLGGPPARGPGPGPGPLAPVTPLIMNIIPVAAPPAGARSSLPLTAVKLAGSSLRPALIPPSVPIPPILSRPARPLLLPLRAVLANVQLNNAGPASSEVVSKKREGRALPGAGTRAELDKPPQALREPKKHAGGEPNELGNKPKSSRERPAHEDEEALGMAKLVHAHASRASILHQQLLFRAVEVISSFSRKLIREAWDCTLACAAEVLEDRPAPTVEPGMEPSESGKLDLGPLPPMSVLTKRRIGSYCYKSQGRGGLRRAKIDPFIERIIKGFNATSLPRCCALSECYKRYADYVSQKPLCTDTHEELHNRIWRYAYMYEENSLTSPESSKDGTTPGSPLQLYLYLKDNDSFKQVDQLIGEVKNQVAKSITGRLRQLLTTPEGAEAGGPPARGGTKPSKADPEDEAM
+>sp|Q2KHR2|RFX7_HUMAN DNA-binding protein RFX7 OS=Homo sapiens OX=9606 GN=RFX7 PE=1 SV=1
+MSSSRAQQMHAFSWIRNTLEEHPETSLPKQEVYDEYKSYCDNLGYHPLSAADFGKIMKNVFPNMKARRLGTRGKSKYCYSGLRKKAFVHMPTLPNLDFHKTGDGLEGAEPSGQLQNIDEEVISSACRLVCEWAQKVLSQPFDTVLELARFLVKSHYIGTKSMAALTVMAAAPAGMKGITQPSAFIPTAESNSFQPQVKTLPSPIDAKQQLQRKIQKKQQEQKLQSPLPGESAAKKSESATSNGVTNLPNGNPSILSPQPIGIVVAAVPSPIPVQRTRQLVTSPSPMSSSDGKVLPLNVQVVTQHMQSVKQAPKTPQNVPASPGGDRSARHRYPQILPKPANTSALTIRSPTTVLFTSSPIKTAVVPASHMSSLNVVKMTTISLTPSNSNTPLKHSASVSSATGTTEESRSVPQIKNGSVVSLQSPGSRSSSAGGTSAVEVKVEPETSSDEHPVQCQENSDEAKAPQTPSALLGQKSNTDGALQKPSNEGVIEIKATKVCDQRTKCKSRCNEMLPGTSTGNNQSTITLSVASQNLTFTSSSSPPNGDSINKDPKLCTKSPRKRLSSTLQETQVPPVKKPIVEQLSAATIEGQKQGSVKKDQKVPHSGKTEGSTAGAQIPSKVSVNVSSHIGANQPLNSSALVISDSALEQQTTPSSSPDIKVKLEGSVFLLDSDSKSVGSFNPNGWQQITKDSEFISASCEQQQDISVMTIPEHSDINDLEKSVWELEGMPQDTYSQQLHSQIQESSLNQIQAHSSDQLPLQSELKEFEPSVSQTNESYFPFDDELTQDSIVEELVLMEQQMSMNNSHSYGNCLGMTLQSQSVTPGAPMSSHTSSTHFYHPIHSNGTPIHTPTPTPTPTPTPTPTPTPTSEMIAGSQSLSRESPCSRLAQTTPVDSALGSSRHTPIGTPHSNCSSSVPPSPVECRNPFAFTPISSSMAYHDASIVSSSPVKPMQRPMATHPDKTKLEWMNNGYSGVGNSSVSGHGILPSYQELVEDRFRKPHAFAVPGQSYQSQSRHHDTHFGRLTPVSPVQHQGATVNNTNKQEGFAVPAPLDNKGTNSSASSNFRCRSVSPAVHRQRNLSGSTLYPVSNIPRSNVTPFGSPVTPEVHVFTNVHTDACANNIAQRSQSVPLTVMMQTAFPNALQKQANSKKITNVLLSKLDSDNDDAVRGLGMNNLPSNYTARMNLTQILEPSTVFPSANPQNMIDSSTSVYEFQTPSYLTKSNSTGQINFSPGDNQAQSEIGEQQLDFNSTVKDLLSGDSLQTNQQLVGQGASDLTNTASDFSSDIRLSSELSGSINDLNTLDPNLLFDPGRQQGQDDEATLEELKNDPLFQQICSESMNSMTSSGFEWIESKDHPTVEMLG
+>DECOY_sp|Q2KHR2|RFX7_HUMAN DNA-binding protein RFX7 OS=Homo sapiens OX=9606 GN=RFX7 PE=1 SV=1
+GLMEVTPHDKSEIWEFGSSTMSNMSESCIQQFLPDNKLEELTAEDDQGQQRGPDFLLNPDLTNLDNISGSLESSLRIDSSFDSATNTLDSAGQGVLQQNTQLSDGSLLDKVTSNFDLQQEGIESQAQNDGPSFNIQGTSNSKTLYSPTQFEYVSTSSDIMNQPNASPFVTSPELIQTLNMRATYNSPLNNMGLGRVADDNDSDLKSLLVNTIKKSNAQKQLANPFATQMMVTLPVSQSRQAINNACADTHVNTFVHVEPTVPSGFPTVNSRPINSVPYLTSGSLNRQRHVAPSVSRCRFNSSASSNTGKNDLPAPVAFGEQKNTNNVTAGQHQVPSVPTLRGFHTDHHRSQSQYSQGPVAFAHPKRFRDEVLEQYSPLIGHGSVSSNGVGSYGNNMWELKTKDPHTAMPRQMPKVPSSSVISADHYAMSSSIPTFAFPNRCEVPSPPVSSSCNSHPTGIPTHRSSGLASDVPTTQALRSCPSERSLSQSGAIMESTPTPTPTPTPTPTPTPTPTHIPTGNSHIPHYFHTSSTHSSMPAGPTVSQSQLTMGLCNGYSHSNNMSMQQEMLVLEEVISDQTLEDDFPFYSENTQSVSPEFEKLESQLPLQDSSHAQIQNLSSEQIQSHLQQSYTDQPMGELEWVSKELDNIDSHEPITMVSIDQQQECSASIFESDKTIQQWGNPNFSGVSKSDSDLLFVSGELKVKIDPSSSPTTQQELASDSIVLASSNLPQNAGIHSSVNVSVKSPIQAGATSGETKGSHPVKQDKKVSGQKQGEITAASLQEVIPKKVPPVQTEQLTSSLRKRPSKTCLKPDKNISDGNPPSSSSTFTLNQSAVSLTITSQNNGTSTGPLMENCRSKCKTRQDCVKTAKIEIVGENSPKQLAGDTNSKQGLLASPTQPAKAEDSNEQCQVPHEDSSTEPEVKVEVASTGGASSSRSGPSQLSVVSGNKIQPVSRSEETTGTASSVSASHKLPTNSNSPTLSITTMKVVNLSSMHSAPVVATKIPSSTFLVTTPSRITLASTNAPKPLIQPYRHRASRDGGPSAPVNQPTKPAQKVSQMHQTVVQVNLPLVKGDSSSMPSPSTVLQRTRQVPIPSPVAAVVIGIPQPSLISPNGNPLNTVGNSTASESKKAASEGPLPSQLKQEQQKKQIKRQLQQKADIPSPLTKVQPQFSNSEATPIFASPQTIGKMGAPAAAMVTLAAMSKTGIYHSKVLFRALELVTDFPQSLVKQAWECVLRCASSIVEEDINQLQGSPEAGELGDGTKHFDLNPLTPMHVFAKKRLGSYCYKSKGRTGLRRAKMNPFVNKMIKGFDAASLPHYGLNDCYSKYEDYVEQKPLSTEPHEELTNRIWSFAHMQQARSSSM
+>sp|Q8N9B8|RGF1A_HUMAN Ras-GEF domain-containing family member 1A OS=Homo sapiens OX=9606 GN=RASGEF1A PE=2 SV=2
+MPQTSVVFSSILGPSCSGQVQPGMGERGGGAGGGSGDLIFQDGHLISGSLEALMEHLVPTVDYYPDRTYIFTFLLSSRVFMPPHDLLARVGQICVEQKQQLEAGPEKAKLKSFSAKIVQLLKEWTEAFPYDFQDEKAMAELKAITHRVTQCDEENGTVKKAIAQMTQSLLLSLAARSQLQELREKLRPPAVDKGPILKTKPPAAQKDILGVCCDPLVLAQQLTHIELDRVSSIYPEDLMQIVSHMDSLDNHRCRGDLTKTYSLEAYDNWFNCLSMLVATEVCRVVKKKHRTRMLEFFIDVARECFNIGNFNSMMAIISGMNLSPVARLKKTWSKVKTAKFDVLEHHMDPSSNFCNYRTALQGATQRSQMANSSREKIVIPVFNLFVKDIYFLHKIHTNHLPNGHINFKKFWEISRQIHEFMTWTQVECPFEKDKKIQSYLLTAPIYSEEALFVASFESEGPENHMEKDSWKTLRTTLLNRA
+>DECOY_sp|Q8N9B8|RGF1A_HUMAN Ras-GEF domain-containing family member 1A OS=Homo sapiens OX=9606 GN=RASGEF1A PE=2 SV=2
+ARNLLTTRLTKWSDKEMHNEPGESEFSAVFLAEESYIPATLLYSQIKKDKEFPCEVQTWTMFEHIQRSIEWFKKFNIHGNPLHNTHIKHLFYIDKVFLNFVPIVIKERSSNAMQSRQTAGQLATRYNCFNSSPDMHHELVDFKATKVKSWTKKLRAVPSLNMGSIIAMMSNFNGINFCERAVDIFFELMRTRHKKKVVRCVETAVLMSLCNFWNDYAELSYTKTLDGRCRHNDLSDMHSVIQMLDEPYISSVRDLEIHTLQQALVLPDCCVGLIDKQAAPPKTKLIPGKDVAPPRLKERLEQLQSRAALSLLLSQTMQAIAKKVTGNEEDCQTVRHTIAKLEAMAKEDQFDYPFAETWEKLLQVIKASFSKLKAKEPGAELQQKQEVCIQGVRALLDHPPMFVRSSLLFTFIYTRDPYYDVTPVLHEMLAELSGSILHGDQFILDGSGGGAGGGREGMGPQVQGSCSPGLISSFVVSTQPM
+>sp|P49796|RGS3_HUMAN Regulator of G-protein signaling 3 OS=Homo sapiens OX=9606 GN=RGS3 PE=1 SV=2
+MPVIPALWEVEMGRSQGQEIETILANRSHSDSTPLPNFLSGSHRPECCTCRLLTASGAQDSLPFGRRLYSGPWRSCEEVCHVSVLSVLSTSCGLSLSLPIFPGWMEWLSPDIALPRRDEWTQTSPARKRITHAKVQGAGQLRLSIDAQDRVLLLHIIEGKGLISKQPGTCDPYVKISLIPEDSRLRHQKTQTVPDCRDPAFHEHFFFPVQEEDDQKRLLVTVWNRASQSRQSGLIGCMSFGVKSLLTPDKEISGWYYLLGEHLGRTKHLKVARRRLRPLRDPLLRMPGGGDTENGKKLKITIPRGKDGFGFTICCDSPVRVQAVDSGGPAERAGLQQLDTVLQLNERPVEHWKCVELAHEIRSCPSEIILLVWRMVPQVKPGPDGGVLRRASCKSTHDLQSPPNKREKNCTHGVQARPEQRHSCHLVCDSSDGLLLGGWERYTEVAKRGGQHTLPALSRATAPTDPNYIILAPLNPGSQLLRPVYQEDTIPEESGSPSKGKSYTGLGKKSRLMKTVQTMKGHGNYQNCPVVRPHATHSSYGTYVTLAPKVLVFPVFVQPLDLCNPARTLLLSEELLLYEGRNKAAEVTLFAYSDLLLFTKEDEPGRCDVLRNPLYLQSVKLQEGSSEDLKFCVLYLAEKAECLFTLEAHSQEQKKRVCWCLSENIAKQQQLAASPPDSKMFETEADEKREMALEEGKGPGAEDSPPSKEPSPGQELPPGQDLPPNKDSPSGQEPAPSQEPLSSKDSATSEGSPPGPDAPPSKDVPPCQEPPPAQDLSPCQDLPAGQEPLPHQDPLLTKDLPAIQESPTRDLPPCQDLPPSQVSLPAKALTEDTMSSGDLLAATGDPPAAPRPAFVIPEVRLDSTYSQKAGAEQGCSGDEEDAEEAEEVEEGEEGEEDEDEDTSDDNYGERSEAKRSSMIETGQGAEGGLSLRVQNSLRRRTHSEGSLLQEPRGPCFASDTTLHCSDGEGAASTWGMPSPSTLKKELGRNGGSMHHLSLFFTGHRKMSGADTVGDDDEASRKRKSKNLAKDMKNKLGIFRRRNESPGAPPAGKADKMMKSFKPTSEEALKWGESLEKLLVHKYGLAVFQAFLRTEFSEENLEFWLACEDFKKVKSQSKMASKAKKIFAEYIAIQACKEVNLDSYTREHTKDNLQSVTRGCFDLAQKRIFGLMEKDSYPRFLRSDLYLDLINQKKMSPPL
+>DECOY_sp|P49796|RGS3_HUMAN Regulator of G-protein signaling 3 OS=Homo sapiens OX=9606 GN=RGS3 PE=1 SV=2
+LPPSMKKQNILDLYLDSRLFRPYSDKEMLGFIRKQALDFCGRTVSQLNDKTHERTYSDLNVEKCAQIAIYEAFIKKAKSAMKSQSKVKKFDECALWFELNEESFETRLFAQFVALGYKHVLLKELSEGWKLAEESTPKFSKMMKDAKGAPPAGPSENRRRFIGLKNKMDKALNKSKRKRSAEDDDGVTDAGSMKRHGTFFLSLHHMSGGNRGLEKKLTSPSPMGWTSAAGEGDSCHLTTDSAFCPGRPEQLLSGESHTRRRLSNQVRLSLGGEAGQGTEIMSSRKAESREGYNDDSTDEDEDEEGEEGEEVEEAEEADEEDGSCGQEAGAKQSYTSDLRVEPIVFAPRPAAPPDGTAALLDGSSMTDETLAKAPLSVQSPPLDQCPPLDRTPSEQIAPLDKTLLPDQHPLPEQGAPLDQCPSLDQAPPPEQCPPVDKSPPADPGPPSGESTASDKSSLPEQSPAPEQGSPSDKNPPLDQGPPLEQGPSPEKSPPSDEAGPGKGEELAMERKEDAETEFMKSDPPSAALQQQKAINESLCWCVRKKQEQSHAELTFLCEAKEALYLVCFKLDESSGEQLKVSQLYLPNRLVDCRGPEDEKTFLLLDSYAFLTVEAAKNRGEYLLLEESLLLTRAPNCLDLPQVFVPFVLVKPALTVYTGYSSHTAHPRVVPCNQYNGHGKMTQVTKMLRSKKGLGTYSKGKSPSGSEEPITDEQYVPRLLQSGPNLPALIIYNPDTPATARSLAPLTHQGGRKAVETYREWGGLLLGDSSDCVLHCSHRQEPRAQVGHTCNKERKNPPSQLDHTSKCSARRLVGGDPGPKVQPVMRWVLLIIESPCSRIEHALEVCKWHEVPRENLQLVTDLQQLGAREAPGGSDVAQVRVPSDCCITFGFGDKGRPITIKLKKGNETDGGGPMRLLPDRLPRLRRRAVKLHKTRGLHEGLLYYWGSIEKDPTLLSKVGFSMCGILGSQRSQSARNWVTVLLRKQDDEEQVPFFFHEHFAPDRCDPVTQTKQHRLRSDEPILSIKVYPDCTGPQKSILGKGEIIHLLLVRDQADISLRLQGAGQVKAHTIRKRAPSTQTWEDRRPLAIDPSLWEMWGPFIPLSLSLGCSTSLVSLVSVHCVEECSRWPGSYLRRGFPLSDQAGSATLLRCTCCEPRHSGSLFNPLPTSDSHSRNALITEIEQGQSRGMEVEWLAPIVPM
+>sp|O15539|RGS5_HUMAN Regulator of G-protein signaling 5 OS=Homo sapiens OX=9606 GN=RGS5 PE=1 SV=1
+MCKGLAALPHSCLERAKEIKIKLGILLQKPDSVGDLVIPYNEKPEKPAKTQKTSLDEALQWRDSLDKLLQNNYGLASFKSFLKSEFSEENLEFWIACEDYKKIKSPAKMAEKAKQIYEEFIQTEAPKEVNIDHFTKDITMKNLVEPSLSSFDMAQKRIHALMEKDSLPRFVRSEFYQELIK
+>DECOY_sp|O15539|RGS5_HUMAN Regulator of G-protein signaling 5 OS=Homo sapiens OX=9606 GN=RGS5 PE=1 SV=1
+KILEQYFESRVFRPLSDKEMLAHIRKQAMDFSSLSPEVLNKMTIDKTFHDINVEKPAETQIFEEYIQKAKEAMKAPSKIKKYDECAIWFELNEESFESKLFSKFSALGYNNQLLKDLSDRWQLAEDLSTKQTKAPKEPKENYPIVLDGVSDPKQLLIGLKIKIEKARELCSHPLAALGKCM
+>sp|P49758|RGS6_HUMAN Regulator of G-protein signaling 6 OS=Homo sapiens OX=9606 GN=RGS6 PE=1 SV=5
+MAQGSGDQRAVGVADPEESSPNMIVYCKIEDIITKMQDDKTGGVPIRTVKSFLSKIPSVVTGTDIVQWLMKNLSIEDPVEAIHLGSLIAAQGYIFPISDHVLTMKDDGTFYRFQAPYFWPSNCWEPENTDYAIYLCKRTMQNKARLELADYEAENLARLQRAFARKWEFIFMQAEAQVKIDRKKDKTERKILDSQERAFWDVHRPVPGCVNTTEMDIRKCRRLKNPQKVKKSVYGVTEESQAQSPVHVLSQPIRKTTKEDIRKQITFLNAQIDRHCLKMSKVAESLIAYTEQYVEYDPLITPAEPSNPWISDDVALWDIEMSKEPSQQRVKRWGFSFDEILKDQVGRDQFLRFLESEFSSENLRFWLAVQDLKKQPLQDVAKRVEEIWQEFLAPGAPSAINLDSHSYEITSQNVKDGGRYTFEDAQEHIYKLMKSDSYARFLRSNAYQDLLLAKKKGKSLAGKRLTGLMQSS
+>DECOY_sp|P49758|RGS6_HUMAN Regulator of G-protein signaling 6 OS=Homo sapiens OX=9606 GN=RGS6 PE=1 SV=5
+SSQMLGTLRKGALSKGKKKALLLDQYANSRLFRAYSDSKMLKYIHEQADEFTYRGGDKVNQSTIEYSHSDLNIASPAGPALFEQWIEEVRKAVDQLPQKKLDQVALWFRLNESSFESELFRLFQDRGVQDKLIEDFSFGWRKVRQQSPEKSMEIDWLAVDDSIWPNSPEAPTILPDYEVYQETYAILSEAVKSMKLCHRDIQANLFTIQKRIDEKTTKRIPQSLVHVPSQAQSEETVGYVSKKVKQPNKLRRCKRIDMETTNVCGPVPRHVDWFAREQSDLIKRETKDKKRDIKVQAEAQMFIFEWKRAFARQLRALNEAEYDALELRAKNQMTRKCLYIAYDTNEPEWCNSPWFYPAQFRYFTGDDKMTLVHDSIPFIYGQAAILSGLHIAEVPDEISLNKMLWQVIDTGTVVSPIKSLFSKVTRIPVGGTKDDQMKTIIDEIKCYVIMNPSSEEPDAVGVARQDGSGQAM
+>sp|P57771|RGS8_HUMAN Regulator of G-protein signaling 8 OS=Homo sapiens OX=9606 GN=RGS8 PE=1 SV=1
+MAALLMPRRNKGMRTRLGCLSHKSDSCSDFTAILPDKPNRALKRLSTEEATRWADSFDVLLSHKYGVAAFRAFLKTEFSEENLEFWLACEEFKKTRSTAKLVSKAHRIFEEFVDVQAPREVNIDFQTREATRKNLQEPSLTCFDQAQGKVHSLMEKDSYPRFLRSKMYLDLLSQSQRRLS
+>DECOY_sp|P57771|RGS8_HUMAN Regulator of G-protein signaling 8 OS=Homo sapiens OX=9606 GN=RGS8 PE=1 SV=1
+SLRRQSQSLLDLYMKSRLFRPYSDKEMLSHVKGQAQDFCTLSPEQLNKRTAERTQFDINVERPAQVDVFEEFIRHAKSVLKATSRTKKFEECALWFELNEESFETKLFARFAAVGYKHSLLVDFSDAWRTAEETSLRKLARNPKDPLIATFDSCSDSKHSLCGLRTRMGKNRRPMLLAAM
+>sp|Q9Y3P4|RHBD3_HUMAN Rhomboid domain-containing protein 3 OS=Homo sapiens OX=9606 GN=RHBDD3 PE=2 SV=1
+MHARGPHGQLSPALPLASSVLMLLMSTLWLVGAGPGLVLAPELLLDPWQVHRLLTHALGHTALPGLLLSLLLLPTVGWQQECHLGTLRFLHASALLALASGLLAVLLAGLGLSSAAGSCGYMPVHLAMLAGEGHRPRRPRGALPPWLSPWLLLALTPLLSSEPPFLQLLCGLLAGLAYAAGAFRWLEPSERRLQVLQEGVLCRTLAGCWPLRLLATPGSLAELPVTHPAGVRPPIPGPPYVASPDLWSHWEDSALPPPSLRPVQPTWEGSSEAGLDWAGASFSPGTPMWAALDEQMLQEGIQASLLDGPAQEPQSAPWLSKSSVSSLRLQQLERMGFPTEQAVVALAATGRVEGAVSLLVGGQVGTETLVTHGKGGPAHSEGPGPP
+>DECOY_sp|Q9Y3P4|RHBD3_HUMAN Rhomboid domain-containing protein 3 OS=Homo sapiens OX=9606 GN=RHBDD3 PE=2 SV=1
+PPGPGESHAPGGKGHTVLTETGVQGGVLLSVAGEVRGTAALAVVAQETPFGMRELQQLRLSSVSSKSLWPASQPEQAPGDLLSAQIGEQLMQEDLAAWMPTGPSFSAGAWDLGAESSGEWTPQVPRLSPPPLASDEWHSWLDPSAVYPPGPIPPRVGAPHTVPLEALSGPTALLRLPWCGALTRCLVGEQLVQLRRESPELWRFAGAAYALGALLGCLLQLFPPESSLLPTLALLLWPSLWPPLAGRPRRPRHGEGALMALHVPMYGCSGAASSLGLGALLVALLGSALALLASAHLFRLTGLHCEQQWGVTPLLLLSLLLGPLATHGLAHTLLRHVQWPDLLLEPALVLGPGAGVLWLTSMLLMLVSSALPLAPSLQGHPGRAHM
+>sp|Q9BYZ6|RHBT2_HUMAN Rho-related BTB domain-containing protein 2 OS=Homo sapiens OX=9606 GN=RHOBTB2 PE=1 SV=2
+MDSDMDYERPNVETIKCVVVGDNAVGKTRLICARACNATLTQYQLLATHVPTVWAIDQYRVCQEVLERSRDVVDDVSVSLRLWDTFGDHHKDRRFAYGRSDVVVLCFSIANPNSLHHVKTMWYPEIKHFCPRAPVILVGCQLDLRYADLEAVNRARRPLARPIKPNEILPPEKGREVAKELGIPYYETSVVAQFGIKDVFDNAIRAALISRRHLQFWKSHLRNVQRPLLQAPFLPPKPPPPIIVVPDPPSSSEECPAHLLEDPLCADVILVLQERVRIFAHKIYLSTSSSKFYDLFLMDLSEGELGGPSEPGGTHPEDHQGHSDQHHHHHHHHHGRDFLLRAASFDVCESVDEAGGSGPAGLRASTSDGILRGNGTGYLPGRGRVLSSWSRAFVSIQEEMAEDPLTYKSRLMVVVKMDSSIQPGPFRAVLKYLYTGELDENERDLMHIAHIAELLEVFDLRMMVANILNNEAFMNQEITKAFHVRRTNRVKECLAKGTFSDVTFILDDGTISAHKPLLISSCDWMAAMFGGPFVESSTREVVFPYTSKSCMRAVLEYLYTGMFTSSPDLDDMKLIILANRLCLPHLVALTEQYTVTGLMEATQMMVDIDGDVLVFLELAQFHCAYQLADWCLHHICTNYNNVCRKFPRDMKAMSPENQEYFEKHRWPPVWYLKEEDHYQRARKEREKEDYLHLKRQPKRRWLFWNSPSSPSSSAASSSSPSSSSAVV
+>DECOY_sp|Q9BYZ6|RHBT2_HUMAN Rho-related BTB domain-containing protein 2 OS=Homo sapiens OX=9606 GN=RHOBTB2 PE=1 SV=2
+VVASSSSPSSSSAASSSPSSPSNWFLWRRKPQRKLHLYDEKEREKRARQYHDEEKLYWVPPWRHKEFYEQNEPSMAKMDRPFKRCVNNYNTCIHHLCWDALQYACHFQALELFVLVDGDIDVMMQTAEMLGTVTYQETLAVLHPLCLRNALIILKMDDLDPSSTFMGTYLYELVARMCSKSTYPFVVERTSSEVFPGGFMAAMWDCSSILLPKHASITGDDLIFTVDSFTGKALCEKVRNTRRVHFAKTIEQNMFAENNLINAVMMRLDFVELLEAIHAIHMLDRENEDLEGTYLYKLVARFPGPQISSDMKVVVMLRSKYTLPDEAMEEQISVFARSWSSLVRGRGPLYGTGNGRLIGDSTSARLGAPGSGGAEDVSECVDFSAARLLFDRGHHHHHHHHHQDSHGQHDEPHTGGPESPGGLEGESLDMLFLDYFKSSSTSLYIKHAFIRVREQLVLIVDACLPDELLHAPCEESSSPPDPVVIIPPPPKPPLFPAQLLPRQVNRLHSKWFQLHRRSILAARIANDFVDKIGFQAVVSTEYYPIGLEKAVERGKEPPLIENPKIPRALPRRARNVAELDAYRLDLQCGVLIVPARPCFHKIEPYWMTKVHHLSNPNAISFCLVVVDSRGYAFRRDKHHDGFTDWLRLSVSVDDVVDRSRELVEQCVRYQDIAWVTPVHTALLQYQTLTANCARACILRTKGVANDGVVVCKITEVNPREYDMDSDM
+>sp|P18577|RHCE_HUMAN Blood group Rh(CE) polypeptide OS=Homo sapiens OX=9606 GN=RHCE PE=1 SV=2
+MSSKYPRSVRRCLPLWALTLEAALILLFYFFTHYDASLEDQKGLVASYQVGQDLTVMAALGLGFLTSNFRRHSWSSVAFNLFMLALGVQWAILLDGFLSQFPPGKVVITLFSIRLATMSAMSVLISAGAVLGKVNLAQLVVMVLVEVTALGTLRMVISNIFNTDYHMNLRHFYVFAAYFGLTVAWCLPKPLPKGTEDNDQRATIPSLSAMLGALFLWMFWPSVNSPLLRSPIQRKNAMFNTYYALAVSVVTAISGSSLAHPQRKISMTYVHSAVLAGGVAVGTSCHLIPSPWLAMVLGLVAGLISIGGAKCLPVCCNRVLGIHHISVMHSIFSLLGLLGEITYIVLLVLHTVWNGNGMIGFQVLLSIGELSLAIVIALTSGLLTGLLLNLKIWKAPHVAKYFDDQVFWKFPHLAVGF
+>DECOY_sp|P18577|RHCE_HUMAN Blood group Rh(CE) polypeptide OS=Homo sapiens OX=9606 GN=RHCE PE=1 SV=2
+FGVALHPFKWFVQDDFYKAVHPAKWIKLNLLLGTLLGSTLAIVIALSLEGISLLVQFGIMGNGNWVTHLVLLVIYTIEGLLGLLSFISHMVSIHHIGLVRNCCVPLCKAGGISILGAVLGLVMALWPSPILHCSTGVAVGGALVASHVYTMSIKRQPHALSSGSIATVVSVALAYYTNFMANKRQIPSRLLPSNVSPWFMWLFLAGLMASLSPITARQDNDETGKPLPKPLCWAVTLGFYAAFVYFHRLNMHYDTNFINSIVMRLTGLATVEVLVMVVLQALNVKGLVAGASILVSMASMTALRISFLTIVVKGPPFQSLFGDLLIAWQVGLALMFLNFAVSSWSHRRFNSTLFGLGLAAMVTLDQGVQYSAVLGKQDELSADYHTFFYFLLILAAELTLAWLPLCRRVSRPYKSSM
+>sp|Q96CC6|RHDF1_HUMAN Inactive rhomboid protein 1 OS=Homo sapiens OX=9606 GN=RHBDF1 PE=1 SV=2
+MSEARRDSTSSLQRKKPPWLKLDIPSAVPLTAEEPSFLQPLRRQAFLRSVSMPAETAHISSPHHELRRPVLQRQTSITQTIRRGTADWFGVSKDSDSTQKWQRKSIRHCSQRYGKLKPQVLRELDLPSQDNVSLTSTETPPPLYVGPCQLGMQKIIDPLARGRAFRVADDTAEGLSAPHTPVTPGAASLCSFSSSRSGFHRLPRRRKRESVAKMSFRAAAALMKGRSVRDGTFRRAQRRSFTPASFLEEDTTDFPDELDTSFFAREGILHEELSTYPDEVFESPSEAALKDWEKAPEQADLTGGALDRSELERSHLMLPLERGWRKQKEGAAAPQPKVRLRQEVVSTAGPRRGQRIAVPVRKLFAREKRPYGLGMVGRLTNRTYRKRIDSFVKRQIEDMDDHRPFFTYWLTFVHSLVTILAVCIYGIAPVGFSQHETVDSVLRNRGVYENVKYVQQENFWIGPSSEALIHLGAKFSPCMRQDPQVHSFIRSAREREKHSACCVRNDRSGCVQTSEEECSSTLAVWVKWPIHPSAPELAGHKRQFGSVCHQDPRVCDEPSSEDPHEWPEDITKWPICTKNSAGNHTNHPHMDCVITGRPCCIGTKGRCEITSREYCDFMRGYFHEEATLCSQVHCMDDVCGLLPFLNPEVPDQFYRLWLSLFLHAGILHCLVSICFQMTVLRDLEKLAGWHRIAIIYLLSGVTGNLASAIFLPYRAEVGPAGSQFGILACLFVELFQSWQILARPWRAFFKLLAVVLFLFTFGLLPWIDNFAHISGFISGLFLSFAFLPYISFGKFDLYRKRCQIIIFQVVFLGLLAGLVVLFYVYPVRCEWCEFLTCIPFTDKFCEKYELDAQLH
+>DECOY_sp|Q96CC6|RHDF1_HUMAN Inactive rhomboid protein 1 OS=Homo sapiens OX=9606 GN=RHBDF1 PE=1 SV=2
+HLQADLEYKECFKDTFPICTLFECWECRVPYVYFLVVLGALLGLFVVQFIIIQCRKRYLDFKGFSIYPLFAFSLFLGSIFGSIHAFNDIWPLLGFTFLFLVVALLKFFARWPRALIQWSQFLEVFLCALIGFQSGAPGVEARYPLFIASALNGTVGSLLYIIAIRHWGALKELDRLVTMQFCISVLCHLIGAHLFLSLWLRYFQDPVEPNLFPLLGCVDDMCHVQSCLTAEEHFYGRMFDCYERSTIECRGKTGICCPRGTIVCDMHPHNTHNGASNKTCIPWKTIDEPWEHPDESSPEDCVRPDQHCVSGFQRKHGALEPASPHIPWKVWVALTSSCEEESTQVCGSRDNRVCCASHKERERASRIFSHVQPDQRMCPSFKAGLHILAESSPGIWFNEQQVYKVNEYVGRNRLVSDVTEHQSFGVPAIGYICVALITVLSHVFTLWYTFFPRHDDMDEIQRKVFSDIRKRYTRNTLRGVMGLGYPRKERAFLKRVPVAIRQGRRPGATSVVEQRLRVKPQPAAAGEKQKRWGRELPLMLHSRELESRDLAGGTLDAQEPAKEWDKLAAESPSEFVEDPYTSLEEHLIGERAFFSTDLEDPFDTTDEELFSAPTFSRRQARRFTGDRVSRGKMLAAAARFSMKAVSERKRRRPLRHFGSRSSSFSCLSAAGPTVPTHPASLGEATDDAVRFARGRALPDIIKQMGLQCPGVYLPPPTETSTLSVNDQSPLDLERLVQPKLKGYRQSCHRISKRQWKQTSDSDKSVGFWDATGRRITQTISTQRQLVPRRLEHHPSSIHATEAPMSVSRLFAQRRLPQLFSPEEATLPVASPIDLKLWPPKKRQLSSTSDRRAESM
+>sp|Q6PJF5|RHDF2_HUMAN Inactive rhomboid protein 2 OS=Homo sapiens OX=9606 GN=RHBDF2 PE=1 SV=2
+MASADKNGGSVSSVSSSRLQSRKPPNLSITIPPPEKETQAPGEQDSMLPEGFQNRRLKKSQPRTWAAHTTACPPSFLPKRKNPAYLKSVSLQEPRSRWQESSEKRPGFRRQASLSQSIRKGAAQWFGVSGDWEGQRQQWQRRSLHHCSMRYGRLKASCQRDLELPSQEAPSFQGTESPKPCKMPKIVDPLARGRAFRHPEEMDRPHAPHPPLTPGVLSLTSFTSVRSGYSHLPRRKRMSVAHMSLQAAAALLKGRSVLDATGQRCRVVKRSFAFPSFLEEDVVDGADTFDSSFFSKEEMSSMPDDVFESPPLSASYFRGIPHSASPVSPDGVQIPLKEYGRAPVPGPRRGKRIASKVKHFAFDRKKRHYGLGVVGNWLNRSYRRSISSTVQRQLESFDSHRPYFTYWLTFVHVIITLLVICTYGIAPVGFAQHVTTQLVLRNKGVYESVKYIQQENFWVGPSSIDLIHLGAKFSPCIRKDGQIEQLVLRERDLERDSGCCVQNDHSGCIQTQRKDCSETLATFVKWQDDTGPPMDKSDLGQKRTSGAVCHQDPRTCEEPASSGAHIWPDDITKWPICTEQARSNHTGFLHMDCEIKGRPCCIGTKGSCEITTREYCEFMHGYFHEEATLCSQVHCLDKVCGLLPFLNPEVPDQFYRLWLSLFLHAGVVHCLVSVVFQMTILRDLEKLAGWHRIAIIFILSGITGNLASAIFLPYRAEVGPAGSQFGLLACLFVELFQSWPLLERPWKAFLNLSAIVLFLFICGLLPWIDNIAHIFGFLSGLLLAFAFLPYITFGTSDKYRKRALILVSLLAFAGLFAALVLWLYIYPINWPWIEHLTCFPFTSRFCEKYELDQVLH
+>DECOY_sp|Q6PJF5|RHDF2_HUMAN Inactive rhomboid protein 2 OS=Homo sapiens OX=9606 GN=RHBDF2 PE=1 SV=2
+HLVQDLEYKECFRSTFPFCTLHEIWPWNIPYIYLWLVLAAFLGAFALLSVLILARKRYKDSTGFTIYPLFAFALLLGSLFGFIHAINDIWPLLGCIFLFLVIASLNLFAKWPRELLPWSQFLEVFLCALLGFQSGAPGVEARYPLFIASALNGTIGSLIFIIAIRHWGALKELDRLITMQFVVSVLCHVVGAHLFLSLWLRYFQDPVEPNLFPLLGCVKDLCHVQSCLTAEEHFYGHMFECYERTTIECSGKTGICCPRGKIECDMHLFGTHNSRAQETCIPWKTIDDPWIHAGSSAPEECTRPDQHCVAGSTRKQGLDSKDMPPGTDDQWKVFTALTESCDKRQTQICGSHDNQVCCGSDRELDRERLVLQEIQGDKRICPSFKAGLHILDISSPGVWFNEQQIYKVSEYVGKNRLVLQTTVHQAFGVPAIGYTCIVLLTIIVHVFTLWYTFYPRHSDFSELQRQVTSSISRRYSRNLWNGVVGLGYHRKKRDFAFHKVKSAIRKGRRPGPVPARGYEKLPIQVGDPSVPSASHPIGRFYSASLPPSEFVDDPMSSMEEKSFFSSDFTDAGDVVDEELFSPFAFSRKVVRCRQGTADLVSRGKLLAAAAQLSMHAVSMRKRRPLHSYGSRVSTFSTLSLVGPTLPPHPAHPRDMEEPHRFARGRALPDVIKPMKCPKPSETGQFSPAEQSPLELDRQCSAKLRGYRMSCHHLSRRQWQQRQGEWDGSVGFWQAAGKRISQSLSAQRRFGPRKESSEQWRSRPEQLSVSKLYAPNKRKPLFSPPCATTHAAWTRPQSKKLRRNQFGEPLMSDQEGPAQTEKEPPPITISLNPPKRSQLRSSSVSSVSGGNKDASAM
+>sp|Q02161|RHD_HUMAN Blood group Rh(D) polypeptide OS=Homo sapiens OX=9606 GN=RHD PE=1 SV=3
+MSSKYPRSVRRCLPLWALTLEAALILLFYFFTHYDASLEDQKGLVASYQVGQDLTVMAAIGLGFLTSSFRRHSWSSVAFNLFMLALGVQWAILLDGFLSQFPSGKVVITLFSIRLATMSALSVLISVDAVLGKVNLAQLVVMVLVEVTALGNLRMVISNIFNTDYHMNMMHIYVFAAYFGLSVAWCLPKPLPEGTEDKDQTATIPSLSAMLGALFLWMFWPSFNSALLRSPIERKNAVFNTYYAVAVSVVTAISGSSLAHPQGKISKTYVHSAVLAGGVAVGTSCHLIPSPWLAMVLGLVAGLISVGGAKYLPGCCNRVLGIPHSSIMGYNFSLLGLLGEIIYIVLLVLDTVGAGNGMIGFQVLLSIGELSLAIVIALMSGLLTGLLLNLKIWKAPHEAKYFDDQVFWKFPHLAVGF
+>DECOY_sp|Q02161|RHD_HUMAN Blood group Rh(D) polypeptide OS=Homo sapiens OX=9606 GN=RHD PE=1 SV=3
+FGVALHPFKWFVQDDFYKAEHPAKWIKLNLLLGTLLGSMLAIVIALSLEGISLLVQFGIMGNGAGVTDLVLLVIYIIEGLLGLLSFNYGMISSHPIGLVRNCCGPLYKAGGVSILGAVLGLVMALWPSPILHCSTGVAVGGALVASHVYTKSIKGQPHALSSGSIATVVSVAVAYYTNFVANKREIPSRLLASNFSPWFMWLFLAGLMASLSPITATQDKDETGEPLPKPLCWAVSLGFYAAFVYIHMMNMHYDTNFINSIVMRLNGLATVEVLVMVVLQALNVKGLVADVSILVSLASMTALRISFLTIVVKGSPFQSLFGDLLIAWQVGLALMFLNFAVSSWSHRRFSSTLFGLGIAAMVTLDQGVQYSAVLGKQDELSADYHTFFYFLLILAAELTLAWLPLCRRVSRPYKSSM
+>sp|P0C7M4|RHF2B_HUMAN Rhox homeobox family member 2B OS=Homo sapiens OX=9606 GN=RHOXF2B PE=1 SV=1
+MEPPDQCSQYMTSLLSPAVDDEKELQDMNAMVLSLTEEVKEEEEDAQPEPEQGTAAGEKLKSAGAQGGEEKDGGGEEKDGGGAGVPGHLWEGNLEGTSGSDGNVEDSDQSEKEPGQQYSRPQGAVGGLEPGNAQQPNVHAFTPLQLQELECIFQREQFPSEFLRRRLARSMNVTELAVQIWFENRRAKWRRHQRALMARNMLPFMAVGQPVMVTAAEAITAPLFISGMRDDYFWDHSHSSSLCFPMPPFPPPSLPLPLMLLPPMPPAGQAEFGPFPFVIVPSFTFPNV
+>DECOY_sp|P0C7M4|RHF2B_HUMAN Rhox homeobox family member 2B OS=Homo sapiens OX=9606 GN=RHOXF2B PE=1 SV=1
+VNPFTFSPVIVFPFPGFEAQGAPPMPPLLMLPLPLSPPPFPPMPFCLSSSHSHDWFYDDRMGSIFLPATIAEAATVMVPQGVAMFPLMNRAMLARQHRRWKARRNEFWIQVALETVNMSRALRRRLFESPFQERQFICELEQLQLPTFAHVNPQQANGPELGGVAGQPRSYQQGPEKESQDSDEVNGDSGSTGELNGEWLHGPVGAGGGDKEEGGGDKEEGGQAGASKLKEGAATGQEPEPQADEEEEKVEETLSLVMANMDQLEKEDDVAPSLLSTMYQSCQDPPEM
+>sp|Q13017|RHG05_HUMAN Rho GTPase-activating protein 5 OS=Homo sapiens OX=9606 GN=ARHGAP5 PE=1 SV=2
+MMAKNKEPRPPSYTISIVGLSGTEKDKGNCGVGKSCLCNRFVRSKADEYYPEHTSVLSTIDFGGRVVNNDHFLYWGDIIQNSEDGVECKIHVIEQTEFIDDQTFLPHRSTNLQPYIKRAAASKLQSAEKLMYICTDQLGLEQDFEQKQMPEGKLNVDGFLLCIDVSQGCNRKFDDQLKFVNNLFVQLSKSKKPVIIAATKCDECVDHYLREVQAFASNKKNLLVVETSARFNVNIETCFTALVQMLDKTRSKPKIIPYLDAYKTQRQLVVTATDKFEKLVQTVRDYHATWKTVSNKLKNHPDYEEYINLEGTRKARNTFSKHIEQLKQEHIRKRREEYINTLPRAFNTLLPNLEEIEHLNWSEALKLMEKRADFQLCFVVLEKTPWDETDHIDKINDRRIPFDLLSTLEAEKVYQNHVQHLISEKRRVEMKEKFKKTLEKIQFISPGQPWEEVMCFVMEDEAYKYITEADSKEVYGRHQREIVEKAKEEFQEMLFEHSELFYDLDLNATPSSDKMSEIHTVLSEEPRYKALQKLAPDRESLLLKHIGFVYHPTKETCLSGQNCTDIKVEQLLASSLLQLDHGRLRLYHDSTNIDKVNLFILGKDGLAQELANEIRTQSTDDEYALDGKIYELDLRPVDAKSPYFLSQLWTAAFKPHGCFCVFNSIESLSFIGEFIGKIRTEASQIRKDKYMANLPFTLILANQRDSISKNLPILRHQGQQLANKLQCPFVDVPAGTYPRKFNETQIKQALRGVLESVKHNLDVVSPIPANKDLSEADLRIVMCAMCGDPFSVDLILSPFLDSHSCSAAQAGQNNSLMLDKIIGEKRRRIQITILSYHSSIGVRKDELVHGYILVYSAKRKASMGMLRAFLSEVQDTIPVQLVAVTDSQADFFENEAIKELMTEGEHIATEITAKFTALYSLSQYHRQTEVFTLFFSDVLEKKNMIENSYLSDNTRESTHQSEDVFLPSPRDCFPYNNYPDSDDDTEAPPPYSPIGDDVQLLPTPSDRSRYRLDLEGNEYPIHSTPNCHDHERNHKVPPPIKPKPVVPKTNVKKLDPNLLKTIEAGIGKNPRKQTSRVPLAHPEDMDPSDNYAEPIDTIFKQKGYSDEIYVVPDDSQNRIKIRNSFVNNTQGDEENGFSDRTSKSHGERRPSKYKYKSKTLFSKAKSYYRRTHSDASDDEAFTTSKTKRKGRHRGSEEDPLLSPVETWKGGIDNPAITSDQELDDKKMKKKTHKVKEDKKQKKKTKNFNPPTRRNWESNYFGMPLQDLVTAEKPIPLFVEKCVEFIEDTGLCTEGLYRVSGNKTDQDNIQKQFDQDHNINLVSMEVTVNAVAGALKAFFADLPDPLIPYSLHPELLEAAKIPDKTERLHALKEIVKKFHPVNYDVFRYVITHLNRVSQQHKINLMTADNLSICFWPTLMRPDFENREFLSTTKIHQSVVETFIQQCQFFFYNGEIVETTNIVAPPPPSNPGQLVEPMVPLQLPPPLQPQLIQPQLQTDPLGII
+>DECOY_sp|Q13017|RHG05_HUMAN Rho GTPase-activating protein 5 OS=Homo sapiens OX=9606 GN=ARHGAP5 PE=1 SV=2
+IIGLPDTQLQPQILQPQLPPPLQLPVMPEVLQGPNSPPPPAVINTTEVIEGNYFFFQCQQIFTEVVSQHIKTTSLFERNEFDPRMLTPWFCISLNDATMLNIKHQQSVRNLHTIVYRFVDYNVPHFKKVIEKLAHLRETKDPIKAAELLEPHLSYPILPDPLDAFFAKLAGAVANVTVEMSVLNINHDQDFQKQINDQDTKNGSVRYLGETCLGTDEIFEVCKEVFLPIPKEATVLDQLPMGFYNSEWNRRTPPNFNKTKKKQKKDEKVKHTKKKMKKDDLEQDSTIAPNDIGGKWTEVPSLLPDEESGRHRGKRKTKSTTFAEDDSADSHTRRYYSKAKSFLTKSKYKYKSPRREGHSKSTRDSFGNEEDGQTNNVFSNRIKIRNQSDDPVVYIEDSYGKQKFITDIPEAYNDSPDMDEPHALPVRSTQKRPNKGIGAEITKLLNPDLKKVNTKPVVPKPKIPPPVKHNREHDHCNPTSHIPYENGELDLRYRSRDSPTPLLQVDDGIPSYPPPAETDDDSDPYNNYPFCDRPSPLFVDESQHTSERTNDSLYSNEIMNKKELVDSFFLTFVETQRHYQSLSYLATFKATIETAIHEGETMLEKIAENEFFDAQSDTVAVLQVPITDQVESLFARLMGMSAKRKASYVLIYGHVLEDKRVGISSHYSLITIQIRRRKEGIIKDLMLSNNQGAQAASCSHSDLFPSLILDVSFPDGCMACMVIRLDAESLDKNAPIPSVVDLNHKVSELVGRLAQKIQTENFKRPYTGAPVDVFPCQLKNALQQGQHRLIPLNKSISDRQNALILTFPLNAMYKDKRIQSAETRIKGIFEGIFSLSEISNFVCFCGHPKFAATWLQSLFYPSKADVPRLDLEYIKGDLAYEDDTSQTRIENALEQALGDKGLIFLNVKDINTSDHYLRLRGHDLQLLSSALLQEVKIDTCNQGSLCTEKTPHYVFGIHKLLLSERDPALKQLAKYRPEESLVTHIESMKDSSPTANLDLDYFLESHEFLMEQFEEKAKEVIERQHRGYVEKSDAETIYKYAEDEMVFCMVEEWPQGPSIFQIKELTKKFKEKMEVRRKESILHQVHNQYVKEAELTSLLDFPIRRDNIKDIHDTEDWPTKELVVFCLQFDARKEMLKLAESWNLHEIEELNPLLTNFARPLTNIYEERRKRIHEQKLQEIHKSFTNRAKRTGELNIYEEYDPHNKLKNSVTKWTAHYDRVTQVLKEFKDTATVVLQRQTKYADLYPIIKPKSRTKDLMQVLATFCTEINVNFRASTEVVLLNKKNSAFAQVERLYHDVCEDCKTAAIIVPKKSKSLQVFLNNVFKLQDDFKRNCGQSVDICLLFGDVNLKGEPMQKQEFDQELGLQDTCIYMLKEASQLKSAAARKIYPQLNTSRHPLFTQDDIFETQEIVHIKCEVGDESNQIIDGWYLFHDNNVVRGGFDITSLVSTHEPYYEDAKSRVFRNCLCSKGVGCNGKDKETGSLGVISITYSPPRPEKNKAMM
+>sp|Q96QB1|RHG07_HUMAN Rho GTPase-activating protein 7 OS=Homo sapiens OX=9606 GN=DLC1 PE=1 SV=4
+MSVAIRKRSWEEHVTHWMGQPFNSDDRNTACHHGLVADSLQASMEKDATLNVDRKEKCVSLPDCCHGSELRDFPGRPMGHLSKDVDENDSHEGEDQFLSLEASTETLVHVSDEDNNADLCLTDDKQVLNTQGQKTSGQHMIQGAGSLEKALPIIQSNQVSSNSWGIAGETELALVKESGERKVTDSISKSLELCNEISLSEIKDAPKVNAVDTLNVKDIAPEKQLLNSAVIAQQRRKPDPPKDENERSTCNVVQNEFLDTPCTNRGLPLLKTDFGSCLLQPPSCPNGMSAENGLEKSGFSQHQNKSPPKVKAEDGMQCLQLKETLATQEPTDNQVRLRKRKEIREDRDRARLDSMVLLIMKLDQLDQDIENALSTSSSPSGTPTNLRRHVPDLESGSESGADTISVNQTRVNLSSDTESTDLPSSTPVANSGTKPKTTAIQGISEKEKAEIEAKEACDWLRATGFPQYAQLYEDFLFPIDISLVKREHDFLDRDAIEALCRRLNTLNKCAVMKLEISPHRKRSDDSDEDEPCAISGKWTFQRDSKRWSRLEEFDVFSPKQDLVPGSPDDSHPKDGPSPGGTLMDLSERQEVSSVRSLSSTGSLPSHAPPSEDAATPRTNSVISVCSSSNLAGNDDSFGSLPSPKELSSFSFSMKGHEKTAKSKTRSLLKRMESLKLKSSHHSKHKAPSKLGLIISGPILQEGMDEEKLKQLNCVEISALNGNRINVPMVRKRSVSNSTQTSSSSSQSETSSAVSTPSPVTRTRSLSACNKRVGMYLEGFDPFNQSTFNNVVEQNFKNRESYPEDTVFYIPEDHKPGTFPKALTNGSFSPSGNNGSVNWRTGSFHGPGHISLRRENSSDSPKELKRRNSSSSMSSRLSIYDNVPGSILYSSSGDLADLENEDIFPELDDILYHVKGMQRIVNQWSEKFSDEGDSDSALDSVSPCPSSPKQIHLDVDNDRTTPSDLDSTGNSLNEPEEPSEIPERRDSGVGASLTRSNRHRLRWHSFQSSHRPSLNSVSLQINCQSVAQMNLLQKYSLLKLTALLEKYTPSNKHGFSWAVPKFMKRIKVPDYKDRSVFGVPLTVNVQRTGQPLPQSIQQAMRYLRNHCLDQVGLFRKSGVKSRIQALRQMNEGAIDCVNYEGQSAYDVADMLKQYFRDLPEPLMTNKLSETFLQIYQYVPKDQRLQAIKAAIMLLPDENREVLQTLLYFLSDVTAAVKENQMTPTNLAVCLAPSLFHLNTLKRENSSPRVMQRKQSLGKPDQKDLNENLAATQGLAHMIAECKKLFQVPEEMSRCRNSYTEQELKPLTLEALGHLGNDDSADYQHFLQDCVDGLFKEVKEKFKGWVSYSTSEQAELSYKKVSEGPPLRLWRSVIEVPAVPEEILKRLLKEQHLWDVDLLDSKVIEILDSQTEIYQYVQNSMAPHPARDYVVLRTWRTNLPKGACALLLTSVDHDRAPVVGVRVNVLLSRYLIEPCGPGKSKLTYMCRVDLRGHMPEWYTKSFGHLCAAEVVKIRDSFSNQNTETKDTKSR
+>DECOY_sp|Q96QB1|RHG07_HUMAN Rho GTPase-activating protein 7 OS=Homo sapiens OX=9606 GN=DLC1 PE=1 SV=4
+RSKTDKTETNQNSFSDRIKVVEAACLHGFSKTYWEPMHGRLDVRCMYTLKSKGPGCPEILYRSLLVNVRVGVVPARDHDVSTLLLACAGKPLNTRWTRLVVYDRAPHPAMSNQVYQYIETQSDLIEIVKSDLLDVDWLHQEKLLRKLIEEPVAPVEIVSRWLRLPPGESVKKYSLEAQESTSYSVWGKFKEKVEKFLGDVCDQLFHQYDASDDNGLHGLAELTLPKLEQETYSNRCRSMEEPVQFLKKCEAIMHALGQTAALNENLDKQDPKGLSQKRQMVRPSSNERKLTNLHFLSPALCVALNTPTMQNEKVAATVDSLFYLLTQLVERNEDPLLMIAAKIAQLRQDKPVYQYIQLFTESLKNTMLPEPLDRFYQKLMDAVDYASQGEYNVCDIAGENMQRLAQIRSKVGSKRFLGVQDLCHNRLYRMAQQISQPLPQGTRQVNVTLPVGFVSRDKYDPVKIRKMFKPVAWSFGHKNSPTYKELLATLKLLSYKQLLNMQAVSQCNIQLSVSNLSPRHSSQFSHWRLRHRNSRTLSAGVGSDRREPIESPEEPENLSNGTSDLDSPTTRDNDVDLHIQKPSSPCPSVSDLASDSDGEDSFKESWQNVIRQMGKVHYLIDDLEPFIDENELDALDGSSSYLISGPVNDYISLRSSMSSSSNRRKLEKPSDSSNERRLSIHGPGHFSGTRWNVSGNNGSPSFSGNTLAKPFTGPKHDEPIYFVTDEPYSERNKFNQEVVNNFTSQNFPDFGELYMGVRKNCASLSRTRTVPSPTSVASSTESQSSSSSTQTSNSVSRKRVMPVNIRNGNLASIEVCNLQKLKEEDMGEQLIPGSIILGLKSPAKHKSHHSSKLKLSEMRKLLSRTKSKATKEHGKMSFSFSSLEKPSPLSGFSDDNGALNSSSCVSIVSNTRPTAADESPPAHSPLSGTSSLSRVSSVEQRESLDMLTGGPSPGDKPHSDDPSGPVLDQKPSFVDFEELRSWRKSDRQFTWKGSIACPEDEDSDDSRKRHPSIELKMVACKNLTNLRRCLAEIADRDLFDHERKVLSIDIPFLFDEYLQAYQPFGTARLWDCAEKAEIEAKEKESIGQIATTKPKTGSNAVPTSSPLDTSETDSSLNVRTQNVSITDAGSESGSELDPVHRRLNTPTGSPSSSTSLANEIDQDLQDLKMILLVMSDLRARDRDERIEKRKRLRVQNDTPEQTALTEKLQLCQMGDEAKVKPPSKNQHQSFGSKELGNEASMGNPCSPPQLLCSGFDTKLLPLGRNTCPTDLFENQVVNCTSRENEDKPPDPKRRQQAIVASNLLQKEPAIDKVNLTDVANVKPADKIESLSIENCLELSKSISDTVKREGSEKVLALETEGAIGWSNSSVQNSQIIPLAKELSGAGQIMHQGSTKQGQTNLVQKDDTLCLDANNDEDSVHVLTETSAELSLFQDEGEHSDNEDVDKSLHGMPRGPFDRLESGHCCDPLSVCKEKRDVNLTADKEMSAQLSDAVLGHHCATNRDDSNFPQGMWHTVHEEWSRKRIAVSM
+>sp|Q9P2F6|RHG20_HUMAN Rho GTPase-activating protein 20 OS=Homo sapiens OX=9606 GN=ARHGAP20 PE=1 SV=2
+MEAMSPQQETLGGQPGRSSSLTGVSRLAGGSCTKKKMKTLAERRRSAPSLILDKALQKRPTTRDSPSASVDTCTFLSSLVCSNRTLLIDGRAELKRGLQRQERHLFLFNDLFVVAKIKYNNNFKIKNKIKLTDMWTASCVDEVGEGNTNAMKSFVLGWPTVNFVATFSSPEQKDKWLSLLQRYINLEKEKDYPKSIPLKIFAKDIGNCAYSKTITVMNSDTANEVINMSLPMLGITGSERDYQLWVNSGKEEAPYPLIGHEYPYGIKMSHLRDSALLTPGSKDSTTPFNLQEPFLMEQLPREMQCQFILKPSRLAAAQQLSDSGHKTFKRRRSIINWAFWRGSSTHLDNLPSSPTSPMPGQLFGISLPNICENDNLPKPVLDMLFFLNQKGPLTKGIFRQSANVKSCRELKEKLNSGVEVHLDCESIFVIASVLKDFLRNIPGSIFSSDLYDHWVSVMDQGNDEEKINTVQRLLDQLPRANVVLLRYLFGVLHNIEQHSSSNQMTAFNLAVCVAPSILWPPASSSPELENEFTKKVSLLIQFLIENCLRIFGEEITSLFREVSVRCDTRENASDISCFQLNDSSYDSLENELNEDVDAPCSDLVKKLGQGSRSMDSVLTLSDYDLDQPEVEGLLTLSDFDLAHSKDEDVQMKRPLESKPVNILVYTKIPLRDHARAPSAMCTPSYLSTAAANAAKSLRRHRRCSEPSIDYLDSKLSYLREFYQKKLRKSSCDAILSQKDEDYLKQNQPLQEEGKTCFKQSLVTGTDVSKKNATTQNTKKKSLSGSEGNHVKLFPKSKPVAISVASYSPMSSQDHSKNQPFDVNTSGYSPPHTADALKGPRTHRRCSEPNIEDQNRKLTYLRGIYSKKQHKTSCEAGLLHGEEDYLKRHKSLQMEGQKLINQSLVMGIEVGKSSATNQNTEKVLPPRLNLCPRTSYSSLSSPGTSPSGSSVSSQDSAFSQISEHSVFTPTETSSPIDCTFQAQRKREDLSPDFSNASHVSGMPGPSSGQACSRPAYTKKDTMEWHSQMHSVTLHPSTWLRNGVASLKNWSLKKKAKAARPEEEKIASPKGPLEPPPHASGVPEANSLQEEQKDLPLRAAEGLSPVQSAQRCSSSPFQDSERHCSSPFSLVESRLKLCMKSHEEIEPGSQSSSGSLPWERASASSWTLEDATSPDSGPTVVCDIEDRYLTKDI
+>DECOY_sp|Q9P2F6|RHG20_HUMAN Rho GTPase-activating protein 20 OS=Homo sapiens OX=9606 GN=ARHGAP20 PE=1 SV=2
+IDKTLYRDEIDCVVTPGSDPSTADELTWSSASAREWPLSGSSSQSGPEIEEHSKMCLKLRSEVLSFPSSCHRESDQFPSSSCRQASQVPSLGEAARLPLDKQEEQLSNAEPVGSAHPPPELPGKPSAIKEEEPRAAKAKKKLSWNKLSAVGNRLWTSPHLTVSHMQSHWEMTDKKTYAPRSCAQGSSPGPMGSVHSANSFDPSLDERKRQAQFTCDIPSSTETPTFVSHESIQSFASDQSSVSSGSPSTGPSSLSSYSTRPCLNLRPPLVKETNQNTASSKGVEIGMVLSQNILKQGEMQLSKHRKLYDEEGHLLGAECSTKHQKKSYIGRLYTLKRNQDEINPESCRRHTRPGKLADATHPPSYGSTNVDFPQNKSHDQSSMPSYSAVSIAVPKSKPFLKVHNGESGSLSKKKTNQTTANKKSVDTGTVLSQKFCTKGEEQLPQNQKLYDEDKQSLIADCSSKRLKKQYFERLYSLKSDLYDISPESCRRHRRLSKAANAAATSLYSPTCMASPARAHDRLPIKTYVLINVPKSELPRKMQVDEDKSHALDFDSLTLLGEVEPQDLDYDSLTLVSDMSRSGQGLKKVLDSCPADVDENLENELSDYSSDNLQFCSIDSANERTDCRVSVERFLSTIEEGFIRLCNEILFQILLSVKKTFENELEPSSSAPPWLISPAVCVALNFATMQNSSSHQEINHLVGFLYRLLVVNARPLQDLLRQVTNIKEEDNGQDMVSVWHDYLDSSFISGPINRLFDKLVSAIVFISECDLHVEVGSNLKEKLERCSKVNASQRFIGKTLPGKQNLFFLMDLVPKPLNDNECINPLSIGFLQGPMPSTPSSPLNDLHTSSGRWFAWNIISRRRKFTKHGSDSLQQAAALRSPKLIFQCQMERPLQEMLFPEQLNFPTTSDKSGPTLLASDRLHSMKIGYPYEHGILPYPAEEKGSNVWLQYDRESGTIGLMPLSMNIVENATDSNMVTITKSYACNGIDKAFIKLPISKPYDKEKELNIYRQLLSLWKDKQEPSSFTAVFNVTPWGLVFSKMANTNGEGVEDVCSATWMDTLKIKNKIKFNNNYKIKAVVFLDNFLFLHREQRQLGRKLEARGDILLTRNSCVLSSLFTCTDVSASPSDRTTPRKQLAKDLILSPASRRREALTKMKKKTCSGGALRSVGTLSSSRGPQGGLTEQQPSMAEM
+>sp|Q5T5U3|RHG21_HUMAN Rho GTPase-activating protein 21 OS=Homo sapiens OX=9606 GN=ARHGAP21 PE=1 SV=1
+MATRRTGLSEGDGDKLKACEVSKNKDGKEQSETVSLSEDETFSWPGPKTVTLKRTSQGFGFTLRHFIVYPPESAIQFSYKDEENGNRGGKQRNRLEPMDTIFVKQVKEGGPAFEAGLCTGDRIIKVNGESVIGKTYSQVIALIQNSDTTLELSVMPKDEDILQVLQFTKDVTALAYSQDAYLKGNEAYSGNARNIPEPPPICYPWLPSAPSAMAQPVEISPPDSSLSKQQTSTPVLTQPGRAYRMEIQVPPSPTDVAKSNTAVCVCNESVRTVIVPSEKVVDLLSNRNNHTGPSHRTEEVRYGVSEQTSLKTVSRTTSPPLSIPTTHLIHQPAGSRSLEPSGILLKSGNYSGHSDGISSSRSQAVEAPSVSVNHYSPNSHQHIDWKNYKTYKEYIDNRRLHIGCRTIQERLDSLRAASQSTTDYNQVVPNRTTLQGRRRSTSHDRVPQSVQIRQRSVSQERLEDSVLMKYCPRSASQGALTSPSVSFSNHRTRSWDYIEGQDETLENVNSGTPIPDSNGEKKQTYKWSGFTEQDDRRGICERPRQQEIHKSFRGSNFTVAPSVVNSDNRRMSGRGVGSVSQFKKIPPDLKTLQSNRNFQTTCGMSLPRGISQDRSPLVKVRSNSLKAPSTHVTKPSFSQKSFVSIKDQRPVNHLHQNSLLNQQTWVRTDSAPDQQVETGKSPSLSGASAKPAPQSSENAGTSDLELPVSQRNQDLSLQEAETEQSDTLDNKEAVILREKPPSGRQTPQPLRHQSYILAVNDQETGSDTTCWLPNDARREVHIKRMEERKASSTSPPGDSLASIPFIDEPTSPSIDHDIAHIPASAVISASTSQVPSIATVPPCLTTSAPLIRRQLSHDHESVGPPSLDAQPNSKTERSKSYDEGLDDYREDAKLSFKHVSSLKGIKIADSQKSSEDSGSRKDSSSEVFSDAAKEGWLHFRPLVTDKGKRVGGSIRPWKQMYVVLRGHSLYLYKDKREQTTPSEEEQPISVNACLIDISYSETKRKNVFRLTTSDCECLFQAEDRDDMLAWIKTIQESSNLNEEDTGVTNRDLISRRIKEYNNLMSKAEQLPKTPRQSLSIRQTLLGAKSEPKTQSPHSPKEESERKLLSKDDTSPPKDKGTWRKGIPSIMRKTFEKKPTATGTFGVRLDDCPPAHTNRYIPLIVDICCKLVEERGLEYTGIYRVPGNNAAISSMQEELNKGMADIDIQDDKWRDLNVISSLLKSFFRKLPEPLFTNDKYADFIEANRKEDPLDRLKTLKRLIHDLPEHHYETLKFLSAHLKTVAENSEKNKMEPRNLAIVFGPTLVRTSEDNMTHMVTHMPDQYKIVETLIQHHDWFFTEEGAEEPLTTVQEESTVDSQPVPNIDHLLTNIGRTGVSPGDVSDSATSDSTKSKGSWGSGKDQYSRELLVSSIFAAASRKRKKPKEKAQPSSSEDELDNVFFKKENVEQCHNDTKEESKKESETLGRKQKIIIAKENSTRKDPSTTKDEKISLGKESTPSEEPSPPHNSKHNKSPTLSCRFAILKESPRSLLAQKSSHLEETGSDSGTLLSTSSQASLARFSMKKSTSPETKHSEFLANVSTITSDYSTTSSATYLTSLDSSRLSPEVQSVAESKGDEADDERSELISEGRPVETDSESEFPVFPTALTSERLFRGKLQEVTKSSRRNSEGSELSCTEGSLTSSLDSRRQLFSSHKLIECDTLSRKKSARFKSDSGSLGDAKNEKEAPSLTKVFDVMKKGKSTGSLLTPTRGESEKQEPTWKTKIADRLKLRPRAPADDMFGVGNHKVNAETAKRKSIRRRHTLGGHRDATEISVLNFWKVHEQSGERESELSAVNRLKPKCSAQDLSISDWLARERLRTSTSDLSRGEIGDPQTENPSTREIATTDTPLSLHCNTGSSSSTLASTNRPLLSIPPQSPDQINGESFQNVSKNASSAANAQPHKLSETPGSKAEFHPCL
+>DECOY_sp|Q5T5U3|RHG21_HUMAN Rho GTPase-activating protein 21 OS=Homo sapiens OX=9606 GN=ARHGAP21 PE=1 SV=1
+LCPHFEAKSGPTESLKHPQANAASSANKSVNQFSEGNIQDPSQPPISLLPRNTSALTSSSSGTNCHLSLPTDTTAIERTSPNETQPDGIEGRSLDSTSTRLRERALWDSISLDQASCKPKLRNVASLESEREGSQEHVKWFNLVSIETADRHGGLTHRRRISKRKATEANVKHNGVGFMDDAPARPRLKLRDAIKTKWTPEQKESEGRTPTLLSGTSKGKKMVDFVKTLSPAEKENKADGLSGSDSKFRASKKRSLTDCEILKHSSFLQRRSDLSSTLSGETCSLESGESNRRSSKTVEQLKGRFLRESTLATPFVPFESESDTEVPRGESILESREDDAEDGKSEAVSQVEPSLRSSDLSTLYTASSTTSYDSTITSVNALFESHKTEPSTSKKMSFRALSAQSSTSLLTGSDSGTEELHSSKQALLSRPSEKLIAFRCSLTPSKNHKSNHPPSPEESPTSEKGLSIKEDKTTSPDKRTSNEKAIIIKQKRGLTESEKKSEEKTDNHCQEVNEKKFFVNDLEDESSSPQAKEKPKKRKRSAAAFISSVLLERSYQDKGSGWSGKSKTSDSTASDSVDGPSVGTRGINTLLHDINPVPQSDVTSEEQVTTLPEEAGEETFFWDHHQILTEVIKYQDPMHTVMHTMNDESTRVLTPGFVIALNRPEMKNKESNEAVTKLHASLFKLTEYHHEPLDHILRKLTKLRDLPDEKRNAEIFDAYKDNTFLPEPLKRFFSKLLSSIVNLDRWKDDQIDIDAMGKNLEEQMSSIAANNGPVRYIGTYELGREEVLKCCIDVILPIYRNTHAPPCDDLRVGFTGTATPKKEFTKRMISPIGKRWTGKDKPPSTDDKSLLKRESEEKPSHPSQTKPESKAGLLTQRISLSQRPTKPLQEAKSMLNNYEKIRRSILDRNTVGTDEENLNSSEQITKIWALMDDRDEAQFLCECDSTTLRFVNKRKTESYSIDILCANVSIPQEEESPTTQERKDKYLYLSHGRLVVYMQKWPRISGGVRKGKDTVLPRFHLWGEKAADSFVESSSDKRSGSDESSKQSDAIKIGKLSSVHKFSLKADERYDDLGEDYSKSRETKSNPQADLSPPGVSEHDHSLQRRILPASTTLCPPVTAISPVQSTSASIVASAPIHAIDHDISPSTPEDIFPISALSDGPPSTSSAKREEMRKIHVERRADNPLWCTTDSGTEQDNVALIYSQHRLPQPTQRGSPPKERLIVAEKNDLTDSQETEAEQLSLDQNRQSVPLELDSTGANESSQPAPKASAGSLSPSKGTEVQQDPASDTRVWTQQNLLSNQHLHNVPRQDKISVFSKQSFSPKTVHTSPAKLSNSRVKVLPSRDQSIGRPLSMGCTTQFNRNSQLTKLDPPIKKFQSVSGVGRGSMRRNDSNVVSPAVTFNSGRFSKHIEQQRPRECIGRRDDQETFGSWKYTQKKEGNSDPIPTGSNVNELTEDQGEIYDWSRTRHNSFSVSPSTLAGQSASRPCYKMLVSDELREQSVSRQRIQVSQPVRDHSTSRRRGQLTTRNPVVQNYDTTSQSAARLSDLREQITRCGIHLRRNDIYEKYTKYNKWDIHQHSNPSYHNVSVSPAEVAQSRSSSIGDSHGSYNGSKLLIGSPELSRSGAPQHILHTTPISLPPSTTRSVTKLSTQESVGYRVEETRHSPGTHNNRNSLLDVVKESPVIVTRVSENCVCVATNSKAVDTPSPPVQIEMRYARGPQTLVPTSTQQKSLSSDPPSIEVPQAMASPASPLWPYCIPPPEPINRANGSYAENGKLYADQSYALATVDKTFQLVQLIDEDKPMVSLELTTDSNQILAIVQSYTKGIVSEGNVKIIRDGTCLGAEFAPGGEKVQKVFITDMPELRNRQKGGRNGNEEDKYSFQIASEPPYVIFHRLTFGFGQSTRKLTVTKPGPWSFTEDESLSVTESQEKGDKNKSVECAKLKDGDGESLGTRRTAM
+>sp|O14559|RHG33_HUMAN Rho GTPase-activating protein 33 OS=Homo sapiens OX=9606 GN=ARHGAP33 PE=1 SV=2
+MVARSTDSLDGPGEGSVQPLPTAGGPSVKGKPGKRLSAPRGPFPRLADCAHFHYENVDFGHIQLLLSPDREGPSLSGENELVFGVQVTCQGRSWPVLRSYDDFRSLDAHLHRCIFDRRFSCLPELPPPPEGARAAQMLVPLLLQYLETLSGLVDSNLNCGPVLTWMELDNHGRRLLLSEEASLNIPAVAAAHVIKRYTAQAPDELSFEVGDIVSVIDMPPTEDRSWWRGKRGFQVGFFPSECVELFTERPGPGLKADADGPPCGIPAPQGISSLTSAVPRPRGKLAGLLRTFMRSRPSRQRLRQRGILRQRVFGCDLGEHLSNSGQDVPQVLRCCSEFIEAHGVVDGIYRLSGVSSNIQRLRHEFDSERIPELSGPAFLQDIHSVSSLCKLYFRELPNPLLTYQLYGKFSEAMSVPGEEERLVRVHDVIQQLPPPHYRTLEYLLRHLARMARHSANTSMHARNLAIVWAPNLLRSMELESVGMGGAAAFREVRVQSVVVEFLLTHVDVLFSDTFTSAGLDPAGRCLLPRPKSLAGSCPSTRLLTLEEAQARTQGRLGTPTEPTTPKAPASPAERRKGERGEKQRKPGGSSWKTFFALGRGPSVPRKKPLPWLGGTRAPPQPSGSRPDTVTLRSAKSEESLSSQASGAGLQRLHRLRRPHSSSDAFPVGPAPAGSCESLSSSSSSESSSSESSSSSSESSAAGLGALSGSPSHRTSAWLDDGDELDFSPPRCLEGLRGLDFDPLTFRCSSPTPGDPAPPASPAPPAPASAFPPRVTPQAISPRGPTSPASPAALDISEPLAVSVPPAVLELLGAGGAPASATPTPALSPGRSLRPHLIPLLLRGAEAPLTDACQQEMCSKLRGAQGPLGPDMESPLPPPPLSLLRPGGAPPPPPKNPARLMALALAERAQQVAEQQSQQECGGTPPASQSPFHRSLSLEVGGEPLGTSGSGPPPNSLAHPGAWVPGPPPYLPRQQSDGSLLRSQRPMGTSRRGLRGPAQVSAQLRAGGGGRDAPEAAAQSPCSVPSQVPTPGFFSPAPRECLPPFLGVPKPGLYPLGPPSFQPSSPAPVWRSSLGPPAPLDRGENLYYEIGASEGSPYSGPTRSWSPFRSMPPDRLNASYGMLGQSPPLHRSPDFLLSYPPAPSCFPPDHLGYSAPQHPARRPTPPEPLYVNLALGPRGPSPASSSSSSPPAHPRSRSDPGPPVPRLPQKQRAPWGPRTPHRVPGPWGPPEPLLLYRAAPPAYGRGGELHRGSLYRNGGQRGEGAGPPPPYPTPSWSLHSEGQTRSYC
+>DECOY_sp|O14559|RHG33_HUMAN Rho GTPase-activating protein 33 OS=Homo sapiens OX=9606 GN=ARHGAP33 PE=1 SV=2
+CYSRTQGESHLSWSPTPYPPPPGAGEGRQGGNRYLSGRHLEGGRGYAPPAARYLLLPEPPGWPGPVRHPTRPGWPARQKQPLRPVPPGPDSRSRPHAPPSSSSSSAPSPGRPGLALNVYLPEPPTPRRAPHQPASYGLHDPPFCSPAPPYSLLFDPSRHLPPSQGLMGYSANLRDPPMSRFPSWSRTPGSYPSGESAGIEYYLNEGRDLPAPPGLSSRWVPAPSSPQFSPPGLPYLGPKPVGLFPPLCERPAPSFFGPTPVQSPVSCPSQAAAEPADRGGGGARLQASVQAPGRLGRRSTGMPRQSRLLSGDSQQRPLYPPPGPVWAGPHALSNPPPGSGSTGLPEGGVELSLSRHFPSQSAPPTGGCEQQSQQEAVQQAREALALAMLRAPNKPPPPPAGGPRLLSLPPPPLPSEMDPGLPGQAGRLKSCMEQQCADTLPAEAGRLLLPILHPRLSRGPSLAPTPTASAPAGGAGLLELVAPPVSVALPESIDLAAPSAPSTPGRPSIAQPTVRPPFASAPAPPAPSAPPAPDGPTPSSCRFTLPDFDLGRLGELCRPPSFDLEDGDDLWASTRHSPSGSLAGLGAASSESSSSSSESSSSESSSSSSLSECSGAPAPGVPFADSSSHPRRLRHLRQLGAGSAQSSLSEESKASRLTVTDPRSGSPQPPARTGGLWPLPKKRPVSPGRGLAFFTKWSSGGPKRQKEGREGKRREAPSAPAKPTTPETPTGLRGQTRAQAEELTLLRTSPCSGALSKPRPLLCRGAPDLGASTFTDSFLVDVHTLLFEVVVSQVRVERFAAAGGMGVSELEMSRLLNPAWVIALNRAHMSTNASHRAMRALHRLLYELTRYHPPPLQQIVDHVRVLREEEGPVSMAESFKGYLQYTLLPNPLERFYLKCLSSVSHIDQLFAPGSLEPIRESDFEHRLRQINSSVGSLRYIGDVVGHAEIFESCCRLVQPVDQGSNSLHEGLDCGFVRQRLIGRQRLRQRSPRSRMFTRLLGALKGRPRPVASTLSSIGQPAPIGCPPGDADAKLGPGPRETFLEVCESPFFGVQFGRKGRWWSRDETPPMDIVSVIDGVEFSLEDPAQATYRKIVHAAAVAPINLSAEESLLLRRGHNDLEMWTLVPGCNLNSDVLGSLTELYQLLLPVLMQAARAGEPPPPLEPLCSFRRDFICRHLHADLSRFDDYSRLVPWSRGQCTVQVGFVLENEGSLSPGERDPSLLLQIHGFDVNEYHFHACDALRPFPGRPASLRKGPKGKVSPGGATPLPQVSGEGPGDLSDTSRAVM
+>sp|A6NI28|RHG42_HUMAN Rho GTPase-activating protein 42 OS=Homo sapiens OX=9606 GN=ARHGAP42 PE=1 SV=3
+MGLPTLEFSDSYLDSPDFRERLQCHEIELERTNKFIKELIKDGSLLIGALRNLSMAVQKFSQSLQDFQFECIGDAETDDEISIAQSLKEFARLLIAVEEERRRLIQNANDVLIAPLEKFRKEQIGAAKDGKKKFDKESEKYYSILEKHLNLSAKKKESHLQEADTQIDREHQNFYEASLEYVFKIQEVQEKKKFEFVEPLLSFLQGLFTFYHEGYELAQEFAPYKQQLQFNLQNTRNNFESTRQEVERLMQRMKSANQDYRPPSQWTMEGYLYVQEKRPLGFTWIKHYCTYDKGSKTFTMSVSEMKSSGKMNGLVTSSPEMFKLKSCIRRKTDSIDKRFCFDIEVVERHGIITLQAFSEANRKLWLEAMDGKEPIYTLPAIISKKEEMYLNEAGFNFVRKCIQAVETRGITILGLYRIGGVNSKVQKLMNTTFSPKSPPDIDIDIELWDNKTITSGLKNYLRCLAEPLMTYKLHKDFIIAVKSDDQNYRVEAVHALVHKLPEKNREMLDILIKHLVKVSLHSQQNLMTVSNLGVIFGPTLMRAQEETVAAMMNIKFQNIVVEILIEHYEKIFHTAPDPSIPLPQPQSRSGSRRTRAICLSTGSRKPRGRYTPCLAEPDSDSYSSSPDSTPMGSIESLSSHSSEQNSTTKSASCQPREKSGGIPWIATPSSSNGQKSLGLWTTSPESSSREDATKTDAESDCQSVASVTSPGDVSPPIDLVKKEPYGLSGLKRASASSLRSISAAEGNKSYSGSIQSLTSVGSKETPKASPNPDLPPKMCRRLRLDTASSNGYQRPGSVVAAKAQLFENVGSPKPVSSGRQAKAMYSCKAEHSHELSFPQGAIFSNVYPSVEPGWLKATYEGKTGLVPENYVVFL
+>DECOY_sp|A6NI28|RHG42_HUMAN Rho GTPase-activating protein 42 OS=Homo sapiens OX=9606 GN=ARHGAP42 PE=1 SV=3
+LFVVYNEPVLGTKGEYTAKLWGPEVSPYVNSFIAGQPFSLEHSHEAKCSYMAKAQRGSSVPKPSGVNEFLQAKAAVVSGPRQYGNSSATDLRLRRCMKPPLDPNPSAKPTEKSGVSTLSQISGSYSKNGEAASISRLSSASARKLGSLGYPEKKVLDIPPSVDGPSTVSAVSQCDSEADTKTADERSSSEPSTTWLGLSKQGNSSSPTAIWPIGGSKERPQCSASKTTSNQESSHSSLSEISGMPTSDPSSSYSDSDPEALCPTYRGRPKRSGTSLCIARTRRSGSRSQPQPLPISPDPATHFIKEYHEILIEVVINQFKINMMAAVTEEQARMLTPGFIVGLNSVTMLNQQSHLSVKVLHKILIDLMERNKEPLKHVLAHVAEVRYNQDDSKVAIIFDKHLKYTMLPEALCRLYNKLGSTITKNDWLEIDIDIDPPSKPSFTTNMLKQVKSNVGGIRYLGLITIGRTEVAQICKRVFNFGAENLYMEEKKSIIAPLTYIPEKGDMAELWLKRNAESFAQLTIIGHREVVEIDFCFRKDISDTKRRICSKLKFMEPSSTVLGNMKGSSKMESVSMTFTKSGKDYTCYHKIWTFGLPRKEQVYLYGEMTWQSPPRYDQNASKMRQMLREVEQRTSEFNNRTNQLNFQLQQKYPAFEQALEYGEHYFTFLGQLFSLLPEVFEFKKKEQVEQIKFVYELSAEYFNQHERDIQTDAEQLHSEKKKASLNLHKELISYYKESEKDFKKKGDKAAGIQEKRFKELPAILVDNANQILRRREEEVAILLRAFEKLSQAISIEDDTEADGICEFQFDQLSQSFKQVAMSLNRLAGILLSGDKILEKIFKNTRELEIEHCQLRERFDPSDLYSDSFELTPLGM
+>sp|Q17R89|RHG44_HUMAN Rho GTPase-activating protein 44 OS=Homo sapiens OX=9606 GN=ARHGAP44 PE=1 SV=1
+MKKQFNRMRQLANQTVGRAEKTEVLSEDLLQVEKRLELVKQVSHSTHKKLTACLQGQQGAEADKRSKKLPLTTLAQCLMEGSAILGDDTLLGKMLKLCGETEDKLAQELIHFELQVERDVIEPLFLLAEVEIPNIQKQRKHLAKLVLDMDSSRTRWQQTSKSSGLSSSLQPAGAKADALREEMEEAANRVEICRDQLSADMYSFVAKEIDYANYFQTLIEVQAEYHRKSLTLLQAVLPQIKAQQEAWVEKPSFGKPLEEHLTISGREIAFPIEACVTMLLECGMQEEGLFRVAPSASKLKKLKAALDCCVVDVQEYSADPHAIAGALKSYLRELPEPLMTFELYDEWIQASNVQEQDKKLQALWNACEKLPKANHNNIRYLIKFLSKLSEYQDVNKMTPSNMAIVLGPNLLWPQAEGNITEMMTTVSLQIVGIIEPIIQHADWFFPGEIEFNITGNYGSPVHVNHNANYSSMPSPDMDPADRRQPEQARRPLSVATDNMMLEFYKKDGLRKIQSMGVRVMDTNWVARRGSSAGRKVSCAPPSMQPPAPPAELAAPLPSPLPEQPLDSPAAPALSPSGLGLQPGPERTSTTKSKELSPGSAQKGSPGSSQGTACAGTQPGAQPGAQPGASPSPSQPPADQSPHTLRKVSKKLAPIPPKVPFGQPGAMADQSAGQPSPVSLSPTPPSTPSPYGLSYPQGYSLASGQLSPAAAPPLASPSVFTSTLSKSRPTPKPRQRPTLPPPQPPTVNLSASSPQSTEAPMLDGMSPGESMSTDLVHFDIPSIHIELGSTLRLSPLEHMRRHSVTDKRDSEEESESTAL
+>DECOY_sp|Q17R89|RHG44_HUMAN Rho GTPase-activating protein 44 OS=Homo sapiens OX=9606 GN=ARHGAP44 PE=1 SV=1
+LATSESEEESDRKDTVSHRRMHELPSLRLTSGLEIHISPIDFHVLDTSMSEGPSMGDLMPAETSQPSSASLNVTPPQPPPLTPRQRPKPTPRSKSLTSTFVSPSALPPAAAPSLQGSALSYGQPYSLGYPSPTSPPTPSLSVPSPQGASQDAMAGPQGFPVKPPIPALKKSVKRLTHPSQDAPPQSPSPSAGPQAGPQAGPQTGACATGQSSGPSGKQASGPSLEKSKTTSTREPGPQLGLGSPSLAPAAPSDLPQEPLPSPLPAALEAPPAPPQMSPPACSVKRGASSGRRAVWNTDMVRVGMSQIKRLGDKKYFELMMNDTAVSLPRRAQEPQRRDAPDMDPSPMSSYNANHNVHVPSGYNGTINFEIEGPFFWDAHQIIPEIIGVIQLSVTTMMETINGEAQPWLLNPGLVIAMNSPTMKNVDQYESLKSLFKILYRINNHNAKPLKECANWLAQLKKDQEQVNSAQIWEDYLEFTMLPEPLERLYSKLAGAIAHPDASYEQVDVVCCDLAAKLKKLKSASPAVRFLGEEQMGCELLMTVCAEIPFAIERGSITLHEELPKGFSPKEVWAEQQAKIQPLVAQLLTLSKRHYEAQVEILTQFYNAYDIEKAVFSYMDASLQDRCIEVRNAAEEMEERLADAKAGAPQLSSSLGSSKSTQQWRTRSSDMDLVLKALHKRQKQINPIEVEALLFLPEIVDREVQLEFHILEQALKDETEGCLKLMKGLLTDDGLIASGEMLCQALTTLPLKKSRKDAEAGQQGQLCATLKKHTSHSVQKVLELRKEVQLLDESLVETKEARGVTQNALQRMRNFQKKM
+>sp|Q3KRB8|RHGBB_HUMAN Rho GTPase-activating protein 11B OS=Homo sapiens OX=9606 GN=ARHGAP11B PE=2 SV=1
+MWDQRLVKLALLQHLRAFYGIKVKGVRGQCDRRRHETAATEIGGKIFGVPFNALPHSAVPEYGHIPSFLVDACTSLEEHIHTEGLFRKSGSVIRLKALKNKVDHGEGCLSSAPPCDIAGLLKQFFRELPEPILPADLHEALLKAQQLGTEEKNKAILLLSCLLADHTVHVLRYFFNFLRNVSLRSSENKMDSSNLAVIFAPNLLQTSEGHEKMSSNAEKKGVYQTLSWKRYQPCWVLMVSVLLHHWKALKKVNMKLLVNIREREDNV
+>DECOY_sp|Q3KRB8|RHGBB_HUMAN Rho GTPase-activating protein 11B OS=Homo sapiens OX=9606 GN=ARHGAP11B PE=2 SV=1
+VNDERERINVLLKMNVKKLAKWHHLLVSVMLVWCPQYRKWSLTQYVGKKEANSSMKEHGESTQLLNPAFIVALNSSDMKNESSRLSVNRLFNFFYRLVHVTHDALLCSLLLIAKNKEETGLQQAKLLAEHLDAPLIPEPLERFFQKLLGAIDCPPASSLCGEGHDVKNKLAKLRIVSGSKRFLGETHIHEELSTCADVLFSPIHGYEPVASHPLANFPVGFIKGGIETAATEHRRRDCQGRVGKVKIGYFARLHQLLALKVLRQDWM
+>sp|P62834|RAP1A_HUMAN Ras-related protein Rap-1A OS=Homo sapiens OX=9606 GN=RAP1A PE=1 SV=1
+MREYKLVVLGSGGVGKSALTVQFVQGIFVEKYDPTIEDSYRKQVEVDCQQCMLEILDTAGTEQFTAMRDLYMKNGQGFALVYSITAQSTFNDLQDLREQILRVKDTEDVPMILVGNKCDLEDERVVGKEQGQNLARQWCNCAFLESSAKSKINVNEIFYDLVRQINRKTPVEKKKPKKKSCLLL
+>DECOY_sp|P62834|RAP1A_HUMAN Ras-related protein Rap-1A OS=Homo sapiens OX=9606 GN=RAP1A PE=1 SV=1
+LLLCSKKKPKKKEVPTKRNIQRVLDYFIENVNIKSKASSELFACNCWQRALNQGQEKGVVREDELDCKNGVLIMPVDETDKVRLIQERLDQLDNFTSQATISYVLAFGQGNKMYLDRMATFQETGATDLIELMCQQCDVEVQKRYSDEITPDYKEVFIGQVFQVTLASKGVGGSGLVVLKYERM
+>sp|Q15283|RASA2_HUMAN Ras GTPase-activating protein 2 OS=Homo sapiens OX=9606 GN=RASA2 PE=1 SV=3
+MAAAAPAAAAASSEAPAASATAEPEAGDQDSREVRVLQSLRGKICEAKNLLPYLGPHKMRDCFCTINLDQEEVYRTQVVEKSLSPFFSEEFYFEIPRTFQYLSFYVYDKNVLQRDLRIGKVAIKKEDLCNHSGKETWFSLQPVDSNSEVQGKVHLELKLNELITENGTVCQQLVVHIKACHGLPLINGQSCDPYATVSLVGPSRNDQKKTKVKKKTSNPQFNEIFYFEVTRSSSYTRKSQFQVEEEDIEKLEIRIDLWNNGNLVQDVFLGEIKVPVNVLRTDSSHQAWYLLQPRDNGNKSSKTDDLGSLRLNICYTEDYVLPSEYYGPLKTLLLKSPDVQPISASAAYILSEICRDKNDAVLPLVRLLLHHDKLVPFATAVAELDLKDTQDANTIFRGNSLATRCLDEMMKIVGGHYLKVTLKPILDEICDSSKSCEIDPIKLKEGDNVENNKENLRYYVDKLFNTIVKSSMSCPTVMCDIFYSLRQMATQRFPNDPHVQYSAVSSFVFLRFFAVAVVSPHTFHLRPHHPDAQTIRTLTLISKTIQTLGSWGSLSKSKSSFKETFMCEFFKMFQEEGYIIAVKKFLDEISSTETKESSGTSEPVHLKEGEMYKRAQGRTRIGKKNFKKRWFCLTSRELTYHKQPGSKDAIYTIPVKNILAVEKLEESSFNKKNMFQVIHTEKPLYVQANNCVEANEWIDVLCRVSRCNQNRLSFYHPSVYLNGNWLCCQETGENTLGCKPCTAGVPADIQIDIDEDRETERIYSLFTLSLLKLQKMEEACGTIAVYQGPQKEPDDYSNFVIEDSVTTFKTIQQIKSIIEKLDEPHEKYRKKRSSSAKYGSKENPIVGKAS
+>DECOY_sp|Q15283|RASA2_HUMAN Ras GTPase-activating protein 2 OS=Homo sapiens OX=9606 GN=RASA2 PE=1 SV=3
+SAKGVIPNEKSGYKASSSRKKRYKEHPEDLKEIISKIQQITKFTTVSDEIVFNSYDDPEKQPGQYVAITGCAEEMKQLKLLSLTFLSYIRETERDEDIDIQIDAPVGATCPKCGLTNEGTEQCCLWNGNLYVSPHYFSLRNQNCRSVRCLVDIWENAEVCNNAQVYLPKETHIVQFMNKKNFSSEELKEVALINKVPITYIADKSGPQKHYTLERSTLCFWRKKFNKKGIRTRGQARKYMEGEKLHVPESTGSSEKTETSSIEDLFKKVAIIYGEEQFMKFFECMFTEKFSSKSKSLSGWSGLTQITKSILTLTRITQADPHHPRLHFTHPSVVAVAFFRLFVFSSVASYQVHPDNPFRQTAMQRLSYFIDCMVTPCSMSSKVITNFLKDVYYRLNEKNNEVNDGEKLKIPDIECSKSSDCIEDLIPKLTVKLYHGGVIKMMEDLCRTALSNGRFITNADQTDKLDLEAVATAFPVLKDHHLLLRVLPLVADNKDRCIESLIYAASASIPQVDPSKLLLTKLPGYYESPLVYDETYCINLRLSGLDDTKSSKNGNDRPQLLYWAQHSSDTRLVNVPVKIEGLFVDQVLNGNNWLDIRIELKEIDEEEVQFQSKRTYSSSRTVEFYFIENFQPNSTKKKVKTKKQDNRSPGVLSVTAYPDCSQGNILPLGHCAKIHVVLQQCVTGNETILENLKLELHVKGQVESNSDVPQLSFWTEKGSHNCLDEKKIAVKGIRLDRQLVNKDYVYFSLYQFTRPIEFYFEESFFPSLSKEVVQTRYVEEQDLNITCFCDRMKHPGLYPLLNKAECIKGRLSQLVRVERSDQDGAEPEATASAAPAESSAAAAAPAAAAM
+>sp|Q9Y272|RASD1_HUMAN Dexamethasone-induced Ras-related protein 1 OS=Homo sapiens OX=9606 GN=RASD1 PE=1 SV=1
+MKLAAMIKKMCPSDSELSIPAKNCYRMVILGSSKVGKTAIVSRFLTGRFEDAYTPTIEDFHRKFYSIRGEVYQLDILDTSGNHPFPAMRRLSILTGDVFILVFSLDNRDSFEEVQRLRQQILDTKSCLKNKTKENVDVPLVICGNKGDRDFYREVDQREIEQLVGDDPQRCAYFEISAKKNSSLDQMFRALFAMAKLPSEMSPDLHRKVSVQYCDVLHKKALRNKKLLRAGSGGGGGDPGDAFGIVAPFARRPSVHSDLMYIREKASAGSQAKDKERCVIS
+>DECOY_sp|Q9Y272|RASD1_HUMAN Dexamethasone-induced Ras-related protein 1 OS=Homo sapiens OX=9606 GN=RASD1 PE=1 SV=1
+SIVCREKDKAQSGASAKERIYMLDSHVSPRRAFPAVIGFADGPDGGGGGSGARLLKKNRLAKKHLVDCYQVSVKRHLDPSMESPLKAMAFLARFMQDLSSNKKASIEFYACRQPDDGVLQEIERQDVERYFDRDGKNGCIVLPVDVNEKTKNKLCSKTDLIQQRLRQVEEFSDRNDLSFVLIFVDGTLISLRRMAPFPHNGSTDLIDLQYVEGRISYFKRHFDEITPTYADEFRGTLFRSVIATKGVKSSGLIVMRYCNKAPISLESDSPCMKKIMAALKM
+>sp|Q8IZ41|RASEF_HUMAN Ras and EF-hand domain-containing protein OS=Homo sapiens OX=9606 GN=RASEF PE=1 SV=1
+MEADGDGEELARLRSVFAACDANRSGRLEREEFRALCTELRVRPADAEAVFQRLDADRDGAITFQEFARGFLGSLRGGRRRDWGPLDPAPAVSEAGPETHDSEEDEGDEDAAAALATSCGPASPGRAWQDFQARLGDEAKFIPREEQVSTLYQNINLVEPRLIQPYEHVIKNFIREIRLQSTEMENLAIAVKRAQDKAAMQLSELEEEMDQRIQAAEHKTRKDEKRKAEEALSDLRRQYETEVGDLQVTIKKLRKLEEQSKRVSQKEDVAALKKQIYDLSMENQKVKKDLLEAQTNIAFLQSELDALKSDYADQSLNTERDLEIIRAYTEDRNSLERQIEILQTANRKLHDSNDGLRSALENSYSKFNRSLHINNISPGNTISRSSPKFIGHSPQPLGYDRSSRSSYVDEDCDSLALCDPLQRTNCEVDSLPESCFDSGLSTLRDPNEYDSEVEYKHQRGFQRSHGVQESFGGDASDTDVPDIRDEETFGLEDVASVLDWKPQGSVSEGSIVSSSRKPISALSPQTDLVDDNAKSFSSQKAYKIVLAGDAAVGKSSFLMRLCKNEFRENISATLGVDFQMKTLIVDGERTVLQLWDTAGQERFRSIAKSYFRKADGVLLLYDVTCEKSFLNIREWVDMIEDAAHETVPIMLVGNKADIRDTAATEGQKCVPGHFGEKLAMTYGALFCETSAKDGSNIVEAVLHLAREVKKRTDKDDSRSITNLTGTNSKKSPQMKNCCNG
+>DECOY_sp|Q8IZ41|RASEF_HUMAN Ras and EF-hand domain-containing protein OS=Homo sapiens OX=9606 GN=RASEF PE=1 SV=1
+GNCCNKMQPSKKSNTGTLNTISRSDDKDTRKKVERALHLVAEVINSGDKASTECFLAGYTMALKEGFHGPVCKQGETAATDRIDAKNGVLMIPVTEHAADEIMDVWERINLFSKECTVDYLLLVGDAKRFYSKAISRFREQGATDWLQLVTREGDVILTKMQFDVGLTASINERFENKCLRMLFSSKGVAADGALVIKYAKQSSFSKANDDVLDTQPSLASIPKRSSSVISGESVSGQPKWDLVSAVDELGFTEEDRIDPVDTDSADGGFSEQVGHSRQFGRQHKYEVESDYENPDRLTSLGSDFCSEPLSDVECNTRQLPDCLALSDCDEDVYSSRSSRDYGLPQPSHGIFKPSSRSITNGPSINNIHLSRNFKSYSNELASRLGDNSDHLKRNATQLIEIQRELSNRDETYARIIELDRETNLSQDAYDSKLADLESQLFAINTQAELLDKKVKQNEMSLDYIQKKLAAVDEKQSVRKSQEELKRLKKITVQLDGVETEYQRRLDSLAEEAKRKEDKRTKHEAAQIRQDMEEELESLQMAAKDQARKVAIALNEMETSQLRIERIFNKIVHEYPQILRPEVLNINQYLTSVQEERPIFKAEDGLRAQFDQWARGPSAPGCSTALAAAADEDGEDEESDHTEPGAESVAPAPDLPGWDRRRGGRLSGLFGRAFEQFTIAGDRDADLRQFVAEADAPRVRLETCLARFEERELRGSRNADCAAFVSRLRALEEGDGDAEM
+>sp|P50749|RASF2_HUMAN Ras association domain-containing protein 2 OS=Homo sapiens OX=9606 GN=RASSF2 PE=1 SV=1
+MDYSHQTSLVPCGQDKYISKNELLLHLKTYNLYYEGQNLQLRHREEEDEFIVEGLLNISWGLRRPIRLQMQDDNERIRPPPSSSSWHSGCNLGAQGTTLKPLTVPKVQISEVDAPPEGDQMPSSTDSRGLKPLQEDTPQLMRTRSDVGVRRRGNVRTPSDQRRIRRHRFSINGHFYNHKTSVFTPAYGSVTNVRINSTMTTPQVLKLLLNKFKIENSAEEFALYVVHTSGEKQKLKATDYPLIARILQGPCEQISKVFLMEKDQVEEVTYDVAQYIKFEMPVLKSFIQKLQEEEDREVKKLMRKYTVLRLMIRQRLEEIAETPATI
+>DECOY_sp|P50749|RASF2_HUMAN Ras association domain-containing protein 2 OS=Homo sapiens OX=9606 GN=RASSF2 PE=1 SV=1
+ITAPTEAIEELRQRIMLRLVTYKRMLKKVERDEEEQLKQIFSKLVPMEFKIYQAVDYTVEEVQDKEMLFVKSIQECPGQLIRAILPYDTAKLKQKEGSTHVVYLAFEEASNEIKFKNLLLKLVQPTTMTSNIRVNTVSGYAPTFVSTKHNYFHGNISFRHRRIRRQDSPTRVNGRRRVGVDSRTRMLQPTDEQLPKLGRSDTSSPMQDGEPPADVESIQVKPVTLPKLTTGQAGLNCGSHWSSSSPPPRIRENDDQMQLRIPRRLGWSINLLGEVIFEDEEERHRLQLNQGEYYLNYTKLHLLLENKSIYKDQGCPVLSTQHSYDM
+>sp|Q8NHQ8|RASF8_HUMAN Ras association domain-containing protein 8 OS=Homo sapiens OX=9606 GN=RASSF8 PE=1 SV=2
+MELKVWVDGVQRIVCGVTEVTTCQEVVIALAQAIGRTGRYTLIEKWRDTERHLAPHENPIISLNKWGQYASDVQLILRRTGPSLSERPTSDSVARIPERTLYRQSLPPLAKLRPQIDKSIKRREPKRKSLTFTGGAKGLMDIFGKGKETEFKQKVLNNCKTTADELKKLIRLQTEKLQSIEKQLESNEIEIRFWEQKYNSNLEEEIVRLEQKIKRNDVEIEEEEFWENELQIEQENEKQLKDQLQEIRQKITECENKLKDYLAQIRTMESGLEAEKLQREVQEAQVNEEEVKGKIGKVKGEIDIQGQQSLRLENGIKAVERSLGQATKRLQDKEQELEQLTKELRQVNLQQFIQQTGTKVTVLPAEPIEIEASHADIEREAPFQSGSLKRPGSSRQLPSNLRILQNPISSGFNPEGIYV
+>DECOY_sp|Q8NHQ8|RASF8_HUMAN Ras association domain-containing protein 8 OS=Homo sapiens OX=9606 GN=RASSF8 PE=1 SV=2
+VYIGEPNFGSSIPNQLIRLNSPLQRSSGPRKLSGSQFPAEREIDAHSAEIEIPEAPLVTVKTGTQQIFQQLNVQRLEKTLQELEQEKDQLRKTAQGLSREVAKIGNELRLSQQGQIDIEGKVKGIKGKVEEENVQAEQVERQLKEAELGSEMTRIQALYDKLKNECETIKQRIEQLQDKLQKENEQEIQLENEWFEEEEIEVDNRKIKQELRVIEEELNSNYKQEWFRIEIENSELQKEISQLKETQLRILKKLEDATTKCNNLVKQKFETEKGKGFIDMLGKAGGTFTLSKRKPERRKISKDIQPRLKALPPLSQRYLTREPIRAVSDSTPRESLSPGTRRLILQVDSAYQGWKNLSIIPNEHPALHRETDRWKEILTYRGTRGIAQALAIVVEQCTTVETVGCVIRQVGDVWVKLEM
+>sp|Q12829|RB40B_HUMAN Ras-related protein Rab-40B OS=Homo sapiens OX=9606 GN=RAB40B PE=2 SV=1
+MSALGSPVRAYDFLLKFLLVGDSDVGKGEILASLQDGAAESPYGHPAGIDYKTTTILLDGRRVKLQLWDTSGQGRFCTIFRSYSRGAQGVILVYDIANRWSFDGIDRWIKEIDEHAPGVPKILVGNRLHLAFKRQVPTEQAQAYAERLGVTFFEVSPLCNFNITESFTELARIVLLRHGMDRLWRPSKVLSLQDLCCRAVVSCTPVHLVDKLPLPIALRSHLKSFSMANGLNARMMHGGSYSLTTSSTHKRSSLRKVKLVRPPQSPPKNCTRNSCKIS
+>DECOY_sp|Q12829|RB40B_HUMAN Ras-related protein Rab-40B OS=Homo sapiens OX=9606 GN=RAB40B PE=2 SV=1
+SIKCSNRTCNKPPSQPPRVLKVKRLSSRKHTSSTTLSYSGGHMMRANLGNAMSFSKLHSRLAIPLPLKDVLHVPTCSVVARCCLDQLSLVKSPRWLRDMGHRLLVIRALETFSETINFNCLPSVEFFTVGLREAYAQAQETPVQRKFALHLRNGVLIKPVGPAHEDIEKIWRDIGDFSWRNAIDYVLIVGQAGRSYSRFITCFRGQGSTDWLQLKVRRGDLLITTTKYDIGAPHGYPSEAAGDQLSALIEGKGVDSDGVLLFKLLFDYARVPSGLASM
+>sp|Q96S21|RB40C_HUMAN Ras-related protein Rab-40C OS=Homo sapiens OX=9606 GN=RAB40C PE=1 SV=1
+MGSQGSPVKSYDYLLKFLLVGDSDVGKGEILESLQDGAAESPYAYSNGIDYKTTTILLDGRRVKLELWDTSGQGRFCTIFRSYSRGAQGILLVYDITNRWSFDGIDRWIKEIDEHAPGVPRILVGNRLHLAFKRQVPTEQARAYAEKNCMTFFEVSPLCNFNVIESFTELSRIVLMRHGMEKIWRPNRVFSLQDLCCRAIVSCTPVHLIDKLPLPVTIKSHLKSFSMANGMNAVMMHGRSYSLASGAGGGGSKGNSLKRSKSIRPPQSPPQNCSRSNCKIS
+>DECOY_sp|Q96S21|RB40C_HUMAN Ras-related protein Rab-40C OS=Homo sapiens OX=9606 GN=RAB40C PE=1 SV=1
+SIKCNSRSCNQPPSQPPRISKSRKLSNGKSGGGGAGSALSYSRGHMMVANMGNAMSFSKLHSKITVPLPLKDILHVPTCSVIARCCLDQLSFVRNPRWIKEMGHRMLVIRSLETFSEIVNFNCLPSVEFFTMCNKEAYARAQETPVQRKFALHLRNGVLIRPVGPAHEDIEKIWRDIGDFSWRNTIDYVLLIGQAGRSYSRFITCFRGQGSTDWLELKVRRGDLLITTTKYDIGNSYAYPSEAAGDQLSELIEGKGVDSDGVLLFKLLYDYSKVPSGQSGM
+>sp|Q8IUD2|RB6I2_HUMAN ELKS/Rab6-interacting/CAST family member 1 OS=Homo sapiens OX=9606 GN=ERC1 PE=1 SV=1
+MYGSARSVGKVEPSSQSPGRSPRLPRSPRLGHRRTNSTGGSSGSSVGGGSGKTLSMENIQSLNAAYATSGPMYLSDHENVGSETPKSTMTLGRSGGRLPYGVRMTAMGSSPNIASSGVASDTIAFGEHHLPPVSMASTVPHSLRQARDNTIMDLQTQLKEVLRENDLLRKDVEVKESKLSSSMNSIKTFWSPELKKERALRKDEASKITIWKEQYRVVQEENQHMQMTIQALQDELRIQRDLNQLFQQDSSSRTGEPCVAELTEENFQRLHAEHERQAKELFLLRKTLEEMELRIETQKQTLNARDESIKKLLEMLQSKGLSAKATEEDHERTRRLAEAEMHVHHLESLLEQKEKENSMLREEMHRRFENAPDSAKTKALQTVIEMKDSKISSMERGLRDLEEEIQMLKSNGALSTEEREEEMKQMEVYRSHSKFMKNKVEQLKEELSSKEAQWEELKKKAAGLQAEIGQVKQELSRKDTELLALQTKLETLTNQFSDSKQHIEVLKESLTAKEQRAAILQTEVDALRLRLEEKETMLNKKTKQIQDMAEEKGTQAGEIHDLKDMLDVKERKVNVLQKKIENLQEQLRDKEKQMSSLKERVKSLQADTTNTDTALTTLEEALAEKERTIERLKEQRDRDEREKQEEIDNYKKDLKDLKEKVSLLQGDLSEKEASLLDLKEHASSLASSGLKKDSRLKTLEIALEQKKEECLKMESQLKKAHEAALEARASPEMSDRIQHLEREITRYKDESSKAQAEVDRLLEILKEVENEKNDKDKKIAELERQVKDQNKKVANLKHKEQVEKKKSAQMLEEARRREDNLNDSSQQLQDSLRKKDDRIEELEEALRESVQITAEREMVLAQEESARTNAEKQVEELLMAMEKVKQELESMKAKLSSTQQSLAEKETHLTNLRAERRKHLEEVLEMKQEALLAAISEKDANIALLELSSSKKKTQEEVAALKREKDRLVQQLKQQTQNRMKLMADNYEDDHFKSSHSNQTNHKPSPDQIIQPLLELDQNRSKLKLYIGHLTTLCHDRDPLILRGLTPPASYNLDDDQAAWENELQKMTRGQLQDELEKGERDNAELQEFANAILQQIADHCPDILEQVVNALEESS
+>DECOY_sp|Q8IUD2|RB6I2_HUMAN ELKS/Rab6-interacting/CAST family member 1 OS=Homo sapiens OX=9606 GN=ERC1 PE=1 SV=1
+SSEELANVVQELIDPCHDAIQQLIANAFEQLEANDREGKELEDQLQGRTMKQLENEWAAQDDDLNYSAPPTLGRLILPDRDHCLTTLHGIYLKLKSRNQDLELLPQIIQDPSPKHNTQNSHSSKFHDDEYNDAMLKMRNQTQQKLQQVLRDKERKLAAVEEQTKKKSSSLELLAINADKESIAALLAEQKMELVEELHKRREARLNTLHTEKEALSQQTSSLKAKMSELEQKVKEMAMLLEEVQKEANTRASEEQALVMEREATIQVSERLAEELEEIRDDKKRLSDQLQQSSDNLNDERRRAEELMQASKKKEVQEKHKLNAVKKNQDKVQRELEAIKKDKDNKENEVEKLIELLRDVEAQAKSSEDKYRTIERELHQIRDSMEPSARAELAAEHAKKLQSEMKLCEEKKQELAIELTKLRSDKKLGSSALSSAHEKLDLLSAEKESLDGQLLSVKEKLDKLDKKYNDIEEQKEREDRDRQEKLREITREKEALAEELTTLATDTNTTDAQLSKVREKLSSMQKEKDRLQEQLNEIKKQLVNVKREKVDLMDKLDHIEGAQTGKEEAMDQIQKTKKNLMTEKEELRLRLADVETQLIAARQEKATLSEKLVEIHQKSDSFQNTLTELKTQLALLETDKRSLEQKVQGIEAQLGAAKKKLEEWQAEKSSLEEKLQEVKNKMFKSHSRYVEMQKMEEEREETSLAGNSKLMQIEEELDRLGREMSSIKSDKMEIVTQLAKTKASDPANEFRRHMEERLMSNEKEKQELLSELHHVHMEAEALRRTREHDEETAKASLGKSQLMELLKKISEDRANLTQKQTEIRLEMEELTKRLLFLEKAQREHEAHLRQFNEETLEAVCPEGTRSSSDQQFLQNLDRQIRLEDQLAQITMQMHQNEEQVVRYQEKWITIKSAEDKRLAREKKLEPSWFTKISNMSSSLKSEKVEVDKRLLDNERLVEKLQTQLDMITNDRAQRLSHPVTSAMSVPPLHHEGFAITDSAVGSSAINPSSGMATMRVGYPLRGGSRGLTMTSKPTESGVNEHDSLYMPGSTAYAANLSQINEMSLTKGSGGGVSSGSSGGTSNTRRHGLRPSRPLRPSRGPSQSSPEVKGVSRASGYM
+>sp|O75884|RBBP9_HUMAN Putative hydrolase RBBP9 OS=Homo sapiens OX=9606 GN=RBBP9 PE=1 SV=2
+MASPSKAVIVPGNGGGDVTTHGWYGWVKKELEKIPGFQCLAKNMPDPITARESIWLPFMETELHCDEKTIIIGHSSGAIAAMRYAETHRVYAIVLVSAYTSDLGDENERASGYFTRPWQWEKIKANCPYIVQFGSTDDPFLPWKEQQEVADRLETKLHKFTDCGHFQNTEFHELITVVKSLLKVPA
+>DECOY_sp|O75884|RBBP9_HUMAN Putative hydrolase RBBP9 OS=Homo sapiens OX=9606 GN=RBBP9 PE=1 SV=2
+APVKLLSKVVTILEHFETNQFHGCDTFKHLKTELRDAVEQQEKWPLFPDDTSGFQVIYPCNAKIKEWQWPRTFYGSARENEDGLDSTYASVLVIAYVRHTEAYRMAAIAGSSHGIIITKEDCHLETEMFPLWISERATIPDPMNKALCQFGPIKELEKKVWGYWGHTTVDGGGNGPVIVAKSPSAM
+>sp|Q8N0V3|RBFA_HUMAN Putative ribosome-binding factor A, mitochondrial OS=Homo sapiens OX=9606 GN=RBFA PE=1 SV=3
+MWAAAGGLWRSRAGLRALFRSRDAALFPGCERGLHCSAVSCKNWLKKFASKTKKKVWYESPSLGSHSTYKPSKLEFLMRSTSKKTRKEDHARLRALNGLLYKALTDLLCTPEVSQELYDLNVELSKVSLTPDFSACRAYWKTTLSAEQNAHMEAVLQRSAAHMRHLLMSQQTLRNVPPIVFVQDKGNAALAELDQLLAVADFGPRDERDNFVQNDFRDPDAPQPCGTTEPTTSSSLCGIDHEALNKQIMEYKRRKDKGLGGLVWQGQVAELTTQMKKGRKRAKPRLEQDSSLKSYLSGEEVEDDLDLVGAPEYECYAPDTEELEAERGGGRTEDGHSCGASRE
+>DECOY_sp|Q8N0V3|RBFA_HUMAN Putative ribosome-binding factor A, mitochondrial OS=Homo sapiens OX=9606 GN=RBFA PE=1 SV=3
+ERSAGCSHGDETRGGGREAELEETDPAYCEYEPAGVLDLDDEVEEGSLYSKLSSDQELRPKARKRGKKMQTTLEAVQGQWVLGGLGKDKRRKYEMIQKNLAEHDIGCLSSSTTPETTGCPQPADPDRFDNQVFNDREDRPGFDAVALLQDLEALAANGKDQVFVIPPVNRLTQQSMLLHRMHAASRQLVAEMHANQEASLTTKWYARCASFDPTLSVKSLEVNLDYLEQSVEPTCLLDTLAKYLLGNLARLRAHDEKRTKKSTSRMLFELKSPKYTSHSGLSPSEYWVKKKTKSAFKKLWNKCSVASCHLGRECGPFLAADRSRFLARLGARSRWLGGAAAWM
+>sp|Q9Y3P9|RBGP1_HUMAN Rab GTPase-activating protein 1 OS=Homo sapiens OX=9606 GN=RABGAP1 PE=1 SV=3
+MDDKASVGKISVSSDSVSTLNSEDFVLVSRQGDETPSTNNGSDDEKTGLKIVGNGSEQQLQKELADVLMDPPMDDQPGEKELVKRSQLDGEGDGPLSNQLSASSTINPVPLVGLQKPEMSLPVKPGQGDSEASSPFTPVADEDSVVFSKLTYLGCASVNAPRSEVEALRMMSILRSQCQISLDVTLSVPNVSEGIVRLLDPQTNTEIANYPIYKILFCVRGHDGTPESDCFAFTESHYNAELFRIHVFRCEIQEAVSRILYSFATAFRRSAKQTPLSATAAPQTPDSDIFTFSVSLEIKEDDGKGYFSAVPKDKDRQCFKLRQGIDKKIVIYVQQTTNKELAIERCFGLLLSPGKDVRNSDMHLLDLESMGKSSDGKSYVITGSWNPKSPHFQVVNEETPKDKVLFMTTAVDLVITEVQEPVRFLLETKVRVCSPNERLFWPFSKRSTTENFFLKLKQIKQRERKNNTDTLYEVVCLESESERERRKTTASPSVRLPQSGSQSSVIPSPPEDDEEEDNDEPLLSGSGDVSKECAEKILETWGELLSKWHLNLNVRPKQLSSLVRNGVPEALRGEVWQLLAGCHNNDHLVEKYRILITKESPQDSAITRDINRTFPAHDYFKDTGGDGQDSLYKICKAYSVYDEEIGYCQGQSFLAAVLLLHMPEEQAFSVLVKIMFDYGLRELFKQNFEDLHCKFYQLERLMQEYIPDLYNHFLDISLEAHMYASQWFLTLFTAKFPLYMVFHIIDLLLCEGISVIFNVALGLLKTSKDDLLLTDFEGALKFFRVQLPKRYRSEENAKKLMELACNMKISQKKLKKYEKEYHTMREQQAQQEDPIERFERENRRLQEANMRLEQENDDLAHELVTSKIALRKDLDNAEEKADALNKELLMTKQKLIDAEEEKRRLEEESAQLKEMCRRELDKAESEIKKNSSIIGDYKQICSQLSERLEKQQTANKVEIEKIRQKVDDCERCREFFNKEGRVKGISSTKEVLDEDTDEEKETLKNQLREMELELAQTKLQLVEAECKIQDLEHHLGLALNEVQAAKKTWFNRTLSSIKTATGVQGKETC
+>DECOY_sp|Q9Y3P9|RBGP1_HUMAN Rab GTPase-activating protein 1 OS=Homo sapiens OX=9606 GN=RABGAP1 PE=1 SV=3
+CTEKGQVGTATKISSLTRNFWTKKAAQVENLALGLHHELDQIKCEAEVLQLKTQALELEMERLQNKLTEKEEDTDEDLVEKTSSIGKVRGEKNFFERCRECDDVKQRIKEIEVKNATQQKELRESLQSCIQKYDGIISSNKKIESEAKDLERRCMEKLQASEEELRRKEEEADILKQKTMLLEKNLADAKEEANDLDKRLAIKSTVLEHALDDNEQELRMNAEQLRRNEREFREIPDEQQAQQERMTHYEKEYKKLKKQSIKMNCALEMLKKANEESRYRKPLQVRFFKLAGEFDTLLLDDKSTKLLGLAVNFIVSIGECLLLDIIHFVMYLPFKATFLTLFWQSAYMHAELSIDLFHNYLDPIYEQMLRELQYFKCHLDEFNQKFLERLGYDFMIKVLVSFAQEEPMHLLLVAALFSQGQCYGIEEDYVSYAKCIKYLSDQGDGGTDKFYDHAPFTRNIDRTIASDQPSEKTILIRYKEVLHDNNHCGALLQWVEGRLAEPVGNRVLSSLQKPRVNLNLHWKSLLEGWTELIKEACEKSVDGSGSLLPEDNDEEEDDEPPSPIVSSQSGSQPLRVSPSATTKRRERESESELCVVEYLTDTNNKRERQKIQKLKLFFNETTSRKSFPWFLRENPSCVRVKTELLFRVPEQVETIVLDVATTMFLVKDKPTEENVVQFHPSKPNWSGTIVYSKGDSSKGMSELDLLHMDSNRVDKGPSLLLGFCREIALEKNTTQQVYIVIKKDIGQRLKFCQRDKDKPVASFYGKGDDEKIELSVSFTFIDSDPTQPAATASLPTQKASRRFATAFSYLIRSVAEQIECRFVHIRFLEANYHSETFAFCDSEPTGDHGRVCFLIKYIPYNAIETNTQPDLLRVIGESVNPVSLTVDLSIQCQSRLISMMRLAEVESRPANVSACGLYTLKSFVVSDEDAVPTFPSSAESDGQGPKVPLSMEPKQLGVLPVPNITSSASLQNSLPGDGEGDLQSRKVLEKEGPQDDMPPDMLVDALEKQLQQESGNGVIKLGTKEDDSGNNTSPTEDGQRSVLVFDESNLTSVSDSSVSIKGVSAKDDM
+>sp|Q08999|RBL2_HUMAN Retinoblastoma-like protein 2 OS=Homo sapiens OX=9606 GN=RBL2 PE=1 SV=3
+MPSGGDQSPPPPPPPPAAAASDEEEEDDGEAEDAAPPAESPTPQIQQRFDELCSRLNMDEAARAEAWDSYRSMSESYTLEGNDLHWLACALYVACRKSVPTVSKGTVEGNYVSLTRILKCSEQSLIEFFNKMKKWEDMANLPPHFRERTERLERNFTVSAVIFKKYEPIFQDIFKYPQEEQPRQQRGRKQRRQPCTVSEIFHFCWVLFIYAKGNFPMISDDLVNSYHLLLCALDLVYGNALQCSNRKELVNPNFKGLSEDFHAKDSKPSSDPPCIIEKLCSLHDGLVLEAKGIKEHFWKPYIRKLYEKKLLKGKEENLTGFLEPGNFGESFKAINKAYEEYVLSVGNLDERIFLGEDAEEEIGTLSRCLNAGSGTETAERVQMKNILQQHFDKSKALRISTPLTGVRYIKENSPCVTPVSTATHSLSRLHTMLTGLRNAPSEKLEQILRTCSRDPTQAIANRLKEMFEIYSQHFQPDEDFSNCAKEIASKHFRFAEMLYYKVLESVIEQEQKRLGDMDLSGILEQDAFHRSLLACCLEVVTFSYKPPGNFPFITEIFDVPLYHFYKVIEVFIRAEDGLCREVVKHLNQIEEQILDHLAWKPESPLWEKIRDNENRVPTCEEVMPPQNLERADEICIAGSPLTPRRVTEVRADTGGLGRSITSPTTLYDRYSSPPASTTRRRLFVENDSPSDGGTPGRMPPQPLVNAVPVQNVSGETVSVTPVPGQTLVTMATATVTANNGQTVTIPVQGIANENGGITFFPVQVNVGGQAQAVTGSIQPLSAQALAGSLSSQQVTGTTLQVPGQVAIQQISPGGQQQKQGQSVTSSSNRPRKTSSLSLFFRKVYHLAAVRLRDLCAKLDISDELRKKIWTCFEFSIIQCPELMMDRHLDQLLMCAIYVMAKVTKEDKSFQNIMRCYRTQPQARSQVYRSVLIKGKRKRRNSGSSDSRSHQNSPTELNKDRTSRDSSPVMRSSSTLPVPQPSSAPPTPTRLTGANSDMEEEERGDLIQFYNNIYIKQIKTFAMKYSQANMDAPPLSPYPFVRTGSPRRIQLSQNHPVYISPHKNETMLSPREKIFYYFSNSPSKRLREINSMIRTGETPTKKRGILLEDGSESPAKRICPENHSALLRRLQDVANDRGSH
+>DECOY_sp|Q08999|RBL2_HUMAN Retinoblastoma-like protein 2 OS=Homo sapiens OX=9606 GN=RBL2 PE=1 SV=3
+HSGRDNAVDQLRRLLASHNEPCIRKAPSESGDELLIGRKKTPTEGTRIMSNIERLRKSPSNSFYYFIKERPSLMTENKHPSIYVPHNQSLQIRRPSGTRVFPYPSLPPADMNAQSYKMAFTKIQKIYINNYFQILDGREEEEMDSNAGTLRTPTPPASSPQPVPLTSSSRMVPSSDRSTRDKNLETPSNQHSRSDSSGSNRRKRKGKILVSRYVQSRAQPQTRYCRMINQFSKDEKTVKAMVYIACMLLQDLHRDMMLEPCQIISFEFCTWIKKRLEDSIDLKACLDRLRVAALHYVKRFFLSLSSTKRPRNSSSTVSQGQKQQQGGPSIQQIAVQGPVQLTTGTVQQSSLSGALAQASLPQISGTVAQAQGGVNVQVPFFTIGGNENAIGQVPITVTQGNNATVTATAMTVLTQGPVPTVSVTEGSVNQVPVANVLPQPPMRGPTGGDSPSDNEVFLRRRTTSAPPSSYRDYLTTPSTISRGLGGTDARVETVRRPTLPSGAICIEDARELNQPPMVEECTPVRNENDRIKEWLPSEPKWALHDLIQEEIQNLHKVVERCLGDEARIFVEIVKYFHYLPVDFIETIFPFNGPPKYSFTVVELCCALLSRHFADQELIGSLDMDGLRKQEQEIVSELVKYYLMEAFRFHKSAIEKACNSFDEDPQFHQSYIEFMEKLRNAIAQTPDRSCTRLIQELKESPANRLGTLMTHLRSLSHTATSVPTVCPSNEKIYRVGTLPTSIRLAKSKDFHQQLINKMQVREATETGSGANLCRSLTGIEEEADEGLFIREDLNGVSLVYEEYAKNIAKFSEGFNGPELFGTLNEEKGKLLKKEYLKRIYPKWFHEKIGKAELVLGDHLSCLKEIICPPDSSPKSDKAHFDESLGKFNPNVLEKRNSCQLANGYVLDLACLLLHYSNVLDDSIMPFNGKAYIFLVWCFHFIESVTCPQRRQKRGRQQRPQEEQPYKFIDQFIPEYKKFIVASVTFNRELRETRERFHPPLNAMDEWKKMKNFFEILSQESCKLIRTLSVYNGEVTGKSVTPVSKRCAVYLACALWHLDNGELTYSESMSRYSDWAEARAAEDMNLRSCLEDFRQQIQPTPSEAPPAADEAEGDDEEEEDSAAAAPPPPPPPPSQDGGSPM
+>sp|Q96T37|RBM15_HUMAN RNA-binding protein 15 OS=Homo sapiens OX=9606 GN=RBM15 PE=1 SV=2
+MRTAGRDPVPRRSPRWRRAVPLCETSAGRRVTQLRGDDLRRPATMKGKERSPVKAKRSRGGEDSTSRGERSKKLGGSGGSNGSSSGKTDSGGGSRRSLHLDKSSSRGGSREYDTGGGSSSSRLHSYSSPSTKNSSGGGESRSSSRGGGGESRSSGAASSAPGGGDGAEYKTLKISELGSQLSDEAVEDGLFHEFKRFGDVSVKISHLSGSGSGDERVAFVNFRRPEDARAAKHARGRLVLYDRPLKIEAVYVSRRRSRSPLDKDTYPPSASVVGASVGGHRHPPGGGGGQRSLSPGGAALGYRDYRLQQLALGRLPPPPPPPLPRDLERERDYPFYERVRPAYSLEPRVGAGAGAAPFREVDEISPEDDQRANRTLFLGNLDITVTESDLRRAFDRFGVITEVDIKRPSRGQTSTYGFLKFENLDMSHRAKLAMSGKIIIRNPIKIGYGKATPTTRLWVGGLGPWVPLAALAREFDRFGTIRTIDYRKGDSWAYIQYESLDAAHAAWTHMRGFPLGGPDRRLRVDFADTEHRYQQQYLQPLPLTHYELVTDAFGHRAPDPLRGARDRTPPLLYRDRDRDLYPDSDWVPPPPPVRERSTRTAATSVPAYEPLDSLDRRRDGWSLDRDRGDRDLPSSRDQPRKRRLPEESGGRHLDRSPESDRPRKRHCAPSPDRSPELSSSRDRYNSDNDRSSRLLLERPSPIRDRRGSLEKSQGDKRDRKNSASAERDRKHRTTAPTEGKSPLKKEDRSDGSAPSTSTASSKLKSPSQKQDGGTAPVASASPKLCLAWQGMLLLKNSNFPSNMHLLQGDLQVASSLLVEGSTGGKVAQLKITQRLRLDQPKLDEVTRRIKVAGPNGYAILLAVPGSSDSRSSSSSAASDTATSTQRPLRNLVSYLKQKQAAGVISLPVGGNKDKENTGVLHAFPPCEFSQQFLDSPAKALAKSEEDYLVMIIVRGFGFQIGVRYENKKRENLALTLL
+>DECOY_sp|Q96T37|RBM15_HUMAN RNA-binding protein 15 OS=Homo sapiens OX=9606 GN=RBM15 PE=1 SV=2
+LLTLALNERKKNEYRVGIQFGFGRVIIMVLYDEESKALAKAPSDLFQQSFECPPFAHLVGTNEKDKNGGVPLSIVGAAQKQKLYSVLNRLPRQTSTATDSAASSSSSRSDSSGPVALLIAYGNPGAVKIRRTVEDLKPQDLRLRQTIKLQAVKGGTSGEVLLSSAVQLDGQLLHMNSPFNSNKLLLMGQWALCLKPSASAVPATGGDQKQSPSKLKSSATSTSPASGDSRDEKKLPSKGETPATTRHKRDREASASNKRDRKDGQSKELSGRRDRIPSPRELLLRSSRDNDSNYRDRSSSLEPSRDPSPACHRKRPRDSEPSRDLHRGGSEEPLRRKRPQDRSSPLDRDGRDRDLSWGDRRRDLSDLPEYAPVSTAATRTSRERVPPPPPVWDSDPYLDRDRDRYLLPPTRDRAGRLPDPARHGFADTVLEYHTLPLPQLYQQQYRHETDAFDVRLRRDPGGLPFGRMHTWAAHAADLSEYQIYAWSDGKRYDITRITGFRDFERALAALPVWPGLGGVWLRTTPTAKGYGIKIPNRIIIKGSMALKARHSMDLNEFKLFGYTSTQGRSPRKIDVETIVGFRDFARRLDSETVTIDLNGLFLTRNARQDDEPSIEDVERFPAAGAGAGVRPELSYAPRVREYFPYDRERELDRPLPPPPPPPLRGLALQQLRYDRYGLAAGGPSLSRQGGGGGPPHRHGGVSAGVVSASPPYTDKDLPSRSRRRSVYVAEIKLPRDYLVLRGRAHKAARADEPRRFNVFAVREDGSGSGSLHSIKVSVDGFRKFEHFLGDEVAEDSLQSGLESIKLTKYEAGDGGGPASSAAGSSRSEGGGGRSSSRSEGGGSSNKTSPSSYSHLRSSSSGGGTDYERSGGRSSSKDLHLSRRSGGGSDTKGSSSGNSGGSGGLKKSREGRSTSDEGGRSRKAKVPSREKGKMTAPRRLDDGRLQTVRRGASTECLPVARRWRPSRRPVPDRGATRM
+>sp|P49756|RBM25_HUMAN RNA-binding protein 25 OS=Homo sapiens OX=9606 GN=RBM25 PE=1 SV=3
+MSFPPHLNRPPMGIPALPPGIPPPQFPGFPPPVPPGTPMIPVPMSIMAPAPTVLVPTVSMVGKHLGARKDHPGLKAKENDENCGPTTTVFVGNISEKASDMLIRQLLAKCGLVLSWKRVQGASGKLQAFGFCEYKEPESTLRALRLLHDLQIGEKKLLVKVDAKTKAQLDEWKAKKKASNGNARPETVTNDDEEALDEETKRRDQMIKGAIEVLIREYSSELNAPSQESDSHPRKKKKEKKEDIFRRFPVAPLIPYPLITKEDINAIEMEEDKRDLISREISKFRDTHKKLEEEKGKKEKERQEIEKERRERERERERERERREREREREREREREKEKERERERERDRDRDRTKERDRDRDRERDRDRDRERSSDRNKDRSRSREKSRDREREREREREREREREREREREREREREREREREREKDKKRDREEDEEDAYERRKLERKLREKEAAYQERLKNWEIRERKKTREYEKEAEREEERRREMAKEAKRLKEFLEDYDDDRDDPKYYRGSALQKRLRDREKEMEADERDRKREKEELEEIRQRLLAEGHPDPDAELQRMEQEAERRRQPQIKQEPESEEEEEEKQEKEEKREEPMEEEEEPEQKPCLKPTLRPISSAPSVSSASGNATPNTPGDESPCGIIIPHENSPDQQQPEEHRPKIGLSLKLGASNSPGQPNSVKRKKLPVDSVFNKFEDEDSDDVPRKRKLVPLDYGEDDKNATKGTVNTEEKRKHIKSLIEKIPTAKPELFAYPLDWSIVDSILMERRIRPWINKKIIEYIGEEEATLVDFVCSKVMAHSSPQSILDDVAMVLDEEAEVFIVKMWRLLIYETEAKKIGLVK
+>DECOY_sp|P49756|RBM25_HUMAN RNA-binding protein 25 OS=Homo sapiens OX=9606 GN=RBM25 PE=1 SV=3
+KVLGIKKAETEYILLRWMKVIFVEAEEDLVMAVDDLISQPSSHAMVKSCVFDVLTAEEEGIYEIIKKNIWPRIRREMLISDVISWDLPYAFLEPKATPIKEILSKIHKRKEETNVTGKTANKDDEGYDLPVLKRKRPVDDSDEDEFKNFVSDVPLKKRKVSNPQGPSNSAGLKLSLGIKPRHEEPQQQDPSNEHPIIIGCPSEDGPTNPTANGSASSVSPASSIPRLTPKLCPKQEPEEEEEMPEERKEEKEQKEEEEEESEPEQKIQPQRRREAEQEMRQLEADPDPHGEALLRQRIEELEEKERKRDREDAEMEKERDRLRKQLASGRYYKPDDRDDDYDELFEKLRKAEKAMERRREEEREAEKEYERTKKRERIEWNKLREQYAAEKERLKRELKRREYADEEDEERDRKKDKERERERERERERERERERERERERERERERERERERDRSKERSRSRDKNRDSSRERDRDRDRERDRDRDREKTRDRDRDREREREREKEKERERERERERERERRERERERERERERREKEIEQREKEKKGKEEELKKHTDRFKSIERSILDRKDEEMEIANIDEKTILPYPILPAVPFRRFIDEKKEKKKKRPHSDSEQSPANLESSYERILVEIAGKIMQDRRKTEEDLAEEDDNTVTEPRANGNSAKKKAKWEDLQAKTKADVKVLLKKEGIQLDHLLRLARLTSEPEKYECFGFAQLKGSAGQVRKWSLVLGCKALLQRILMDSAKESINGVFVTTTPGCNEDNEKAKLGPHDKRAGLHKGVMSVTPVLVTPAPAMISMPVPIMPTGPPVPPPFGPFQPPPIGPPLAPIGMPPRNLHPPFSM
+>sp|Q96IZ5|RBM41_HUMAN RNA-binding protein 41 OS=Homo sapiens OX=9606 GN=RBM41 PE=1 SV=2
+MKRVNSCVKSDEHVLEELETEGERQLKSLLQHQLDTSVSIEECMSKKESFAPGTMYKPFGKEAAGTMTLSQFQTLHEKDQETASLRELGLNETEILIWKSHVSGEKKTKLRATPEAIQNRLQDIEERISERQRILCLPQRFAKSKQLTRREMEIEKSLFQGADRHSFLKALYYQDEPQKKNKGDPMNNLESFYQEMIMKKRLEEFQLMRGEPFASHSLVSATSVGDSGTAESPSLLQDKGKQAAQGKGPSLHVANVIDFSPEQCWTGPKKLTQPIEFVPEDEIQRNRLSEEEIRKIPMFSSYNPGEPNKVLYLKNLSPRVTERDLVSLFARFQEKKGPPIQFRMMTGRMRGQAFITFPNKEIAWQALHLVNGYKLHGKILVIEFGKNKKQRSNLQATSLISCATGSTTEISGS
+>DECOY_sp|Q96IZ5|RBM41_HUMAN RNA-binding protein 41 OS=Homo sapiens OX=9606 GN=RBM41 PE=1 SV=2
+SGSIETTSGTACSILSTAQLNSRQKKNKGFEIVLIKGHLKYGNVLHLAQWAIEKNPFTIFAQGRMRGTMMRFQIPPGKKEQFRAFLSVLDRETVRPSLNKLYLVKNPEGPNYSSFMPIKRIEEESLRNRQIEDEPVFEIPQTLKKPGTWCQEPSFDIVNAVHLSPGKGQAAQKGKDQLLSPSEATGSDGVSTASVLSHSAFPEGRMLQFEELRKKMIMEQYFSELNNMPDGKNKKQPEDQYYLAKLFSHRDAGQFLSKEIEMERRTLQKSKAFRQPLCLIRQRESIREEIDQLRNQIAEPTARLKTKKEGSVHSKWILIETENLGLERLSATEQDKEHLTQFQSLTMTGAAEKGFPKYMTGPAFSEKKSMCEEISVSTDLQHQLLSKLQREGETELEELVHEDSKVCSNVRKM
+>sp|Q6ZP01|RBM44_HUMAN RNA-binding protein 44 OS=Homo sapiens OX=9606 GN=RBM44 PE=2 SV=2
+MQATAVVETASGKGYHSNGGNLQKDKPSNPKKENLLLSSNGCDEVKLTFPDDDWNSSTLEQRANNKEISNIDKMDLLEPFFSVSQDTNTESTQFQSSELEDSTDYAFLNKTYSIPYSESKLKKESLTPLSSELDPEVQKKEEVFFNILEHQDKTVGLERIYNISDANYRESAEDTQKHDTDEDSQQEYHSAEEQEYISNHLSFDQTKALDISNPEVVELGNSGYEVKCASNVEDNRVNSGSGSIISFDSLDVYGQEESLHVSKFQNSVMLREYHDLKHEKYKEQETNSMYHTVFDGSVLRSNSPGNQESQSKSGSLSPQKVLKMKIYTENMKSQINEGKDFCGNKIVENKILLHLENPSTLPQDKALETLLQPCKDCQTSWTSVFDDSIISACGYYESLQNTADSALDFSAMLPKIAVRDNQAIEDNTSLKVAHSSTTKKTCFHNIGEMCTKSLTDAASCTVTINQTVDVSTDFRACFTTSRATSARPSVVSTSSNTEITMMNKKRPDEWQNEKQKSVACSTDWSYSEDCIDTQMAITKGSGKSLSVDSLKPNGNFLNKDFLELRKACGITDLKKHPEREFQLFKDTEKDLPSMCCQKIMQRAIKAELHLLNVHYQMCRRHCCDIYKLVMENREGLNMNLSSNSAKKELGSALLSLLGDLKVRYVTLKEKIHKGIPLEELPPLSLESKLLSTFSTFASRLMKKETHVFSEADAEQDNQRAHDVDVSSNLKKTLSQMSLSSDNSHATQNISPKKDDFKNGDINADFSQLKLGDKDCRHYQETSEDWSDAKESLTGVDVSGTQGNQVEQDTWNLDLTGEMKNVEPSQRDKGYLIHVGGLCPSVSEADLRSHFQKYQVSEISIYDSTNYRYASLAFTKNSDAKIAVKEMNGIEINGKSVNVWPVKILGEYTSPLSSKNGNRISSNNLEKSTNKQIHSEFSISRLPRTRPRQLGSEQDSEVFPSDQGVKKNCKQIESAKLLPDTPVQFIPPNTLNLRSFTKIIKRLAELHPEVSRDHIINALQEVRIRHKGFLNGLSITTIVEMTSSLLKNSASS
+>DECOY_sp|Q6ZP01|RBM44_HUMAN RNA-binding protein 44 OS=Homo sapiens OX=9606 GN=RBM44 PE=2 SV=2
+SSASNKLLSSTMEVITTISLGNLFGKHRIRVEQLANIIHDRSVEPHLEALRKIIKTFSRLNLTNPPIFQVPTDPLLKASEIQKCNKKVGQDSPFVESDQESGLQRPRTRPLRSISFESHIQKNTSKELNNSSIRNGNKSSLPSTYEGLIKVPWVNVSKGNIEIGNMEKVAIKADSNKTFALSAYRYNTSDYISIESVQYKQFHSRLDAESVSPCLGGVHILYGKDRQSPEVNKMEGTLDLNWTDQEVQNGQTGSVDVGTLSEKADSWDESTEQYHRCDKDGLKLQSFDANIDGNKFDDKKPSINQTAHSNDSSLSMQSLTKKLNSSVDVDHARQNDQEADAESFVHTEKKMLRSAFTSFTSLLKSELSLPPLEELPIGKHIKEKLTVYRVKLDGLLSLLASGLEKKASNSSLNMNLGERNEMVLKYIDCCHRRCMQYHVNLLHLEAKIARQMIKQCCMSPLDKETDKFLQFEREPHKKLDTIGCAKRLELFDKNLFNGNPKLSDVSLSKGSGKTIAMQTDICDESYSWDTSCAVSKQKENQWEDPRKKNMMTIETNSSTSVVSPRASTARSTTFCARFDTSVDVTQNITVTCSAADTLSKTCMEGINHFCTKKTTSSHAVKLSTNDEIAQNDRVAIKPLMASFDLASDATNQLSEYYGCASIISDDFVSTWSTQCDKCPQLLTELAKDQPLTSPNELHLLIKNEVIKNGCFDKGENIQSKMNETYIKMKLVKQPSLSGSKSQSEQNGPSNSRLVSGDFVTHYMSNTEQEKYKEHKLDHYERLMVSNQFKSVHLSEEQGYVDLSDFSIISGSGSNVRNDEVNSACKVEYGSNGLEVVEPNSIDLAKTQDFSLHNSIYEQEEASHYEQQSDEDTDHKQTDEASERYNADSINYIRELGVTKDQHELINFFVEEKKQVEPDLESSLPTLSEKKLKSESYPISYTKNLFAYDTSDELESSQFQTSETNTDQSVSFFPELLDMKDINSIEKNNARQELTSSNWDDDPFTLKVEDCGNSSLLLNEKKPNSPKDKQLNGGNSHYGKGSATEVVATAQM
+>sp|Q5RL73|RBM48_HUMAN RNA-binding protein 48 OS=Homo sapiens OX=9606 GN=RBM48 PE=2 SV=1
+MASSGGELGSLFDHHVQRAVCDTRAKYREGRRPRAVKVYTINLESQYLLIQGVPAVGVMKELVERFALYGAIEQYNALDEYPAEDFTEVYLIKFMNLQSARTAKRKMDEQSFFGGLLHVCYAPEFETVEETRKKLQMRKAYVVKTTENKDHYVTKKKLVTEHKDTEDFRQDFHSEMSGFCKAALNTSAGNSNPYLPYSCELPLCYFSSKCMCSSGGPVDRAPDSSKDGRNHHKTMGHYNHNDSLRKTQINSLKNSVACPGAQKAITSSEAVDRFMPRTTQLQERKRRREDDRKLGTFLQTNPTGNEIMIGPLLPDISKVDMHDDSLNTTANLIRHKLKEVISSVPKPPEDKPEDVHTSHPLKQRRRI
+>DECOY_sp|Q5RL73|RBM48_HUMAN RNA-binding protein 48 OS=Homo sapiens OX=9606 GN=RBM48 PE=2 SV=1
+IRRRQKLPHSTHVDEPKDEPPKPVSSIVEKLKHRILNATTNLSDDHMDVKSIDPLLPGIMIENGTPNTQLFTGLKRDDERRRKREQLQTTRPMFRDVAESSTIAKQAGPCAVSNKLSNIQTKRLSDNHNYHGMTKHHNRGDKSSDPARDVPGGSSCMCKSSFYCLPLECSYPLYPNSNGASTNLAAKCFGSMESHFDQRFDETDKHETVLKKKTVYHDKNETTKVVYAKRMQLKKRTEEVTEFEPAYCVHLLGGFFSQEDMKRKATRASQLNMFKILYVETFDEAPYEDLANYQEIAGYLAFREVLEKMVGVAPVGQILLYQSELNITYVKVARPRRGERYKARTDCVARQVHHDFLSGLEGGSSAM
+>sp|Q9BWF3|RBM4_HUMAN RNA-binding protein 4 OS=Homo sapiens OX=9606 GN=RBM4 PE=1 SV=1
+MVKLFIGNLPREATEQEIRSLFEQYGKVLECDIIKNYGFVHIEDKTAAEDAIRNLHHYKLHGVNINVEASKNKSKTSTKLHVGNISPTCTNKELRAKFEEYGPVIECDIVKDYAFVHMERAEDAVEAIRGLDNTEFQGKRMHVQLSTSRLRTAPGMGDQSGCYRCGKEGHWSKECPIDRSGRVADLTEQYNEQYGAVRTPYTMSYGDSLYYNNAYGALDAYYKRCRAARSYEAVAAAAASVYNYAEQTLSQLPQVQNTAMASHLTSTSLDPYDRHLLPTSGAAATAAAAAAAAAAVTAASTSYYGRDRSPLRRATAPVPTVGEGYGYGHESELSQASAAARNSLYDMARYEREQYADRARYSAF
+>DECOY_sp|Q9BWF3|RBM4_HUMAN RNA-binding protein 4 OS=Homo sapiens OX=9606 GN=RBM4 PE=1 SV=1
+FASYRARDAYQEREYRAMDYLSNRAAASAQSLESEHGYGYGEGVTPVPATARRLPSRDRGYYSTSAATVAAAAAAAAAATAAAGSTPLLHRDYPDLSTSTLHSAMATNQVQPLQSLTQEAYNYVSAAAAAVAEYSRAARCRKYYADLAGYANNYYLSDGYSMTYPTRVAGYQENYQETLDAVRGSRDIPCEKSWHGEKGCRYCGSQDGMGPATRLRSTSLQVHMRKGQFETNDLGRIAEVADEAREMHVFAYDKVIDCEIVPGYEEFKARLEKNTCTPSINGVHLKTSTKSKNKSAEVNINVGHLKYHHLNRIADEAATKDEIHVFGYNKIIDCELVKGYQEFLSRIEQETAERPLNGIFLKVM
+>sp|P52756|RBM5_HUMAN RNA-binding protein 5 OS=Homo sapiens OX=9606 GN=RBM5 PE=1 SV=2
+MGSDKRVSRTERSGRYGSIIDRDDRDERESRSRRRDSDYKRSSDDRRGDRYDDYRDYDSPERERERRNSDRSEDGYHSDGDYGEHDYRHDISDERESKTIMLRGLPITITESDIREMMESFEGPQPADVRLMKRKTGVSRGFAFVEFYHLQDATSWMEANQKKLVIQGKHIAMHYSNPRPKFEDWLCNKCCLNNFRKRLKCFRCGADKFDSEQEVPPGTTESVQSVDYYCDTIILRNIAPHTVVDSIMTALSPYASLAVNNIRLIKDKQTQQNRGFAFVQLSSAMDASQLLQILQSLHPPLKIDGKTIGVDFAKSARKDLVLSDGNRVSAFSVASTAIAAAQWSSTQSQSGEGGSVDYSYLQPGQDGYAQYAQYSQDYQQFYQQQAGGLESDASSASGTAVTTTSAAVVSQSPQLYNQTSNPPGSPTEEAQPSTSTSTQAPAASPTGVVPGTKYAVPDTSTYQYDESSGYYYDPTTGLYYDPNSQYYYNSLTQQYLYWDGEKETYVPAAESSSHQQSGLPPAKEGKEKKEKPKSKTAQQIAKDMERWAKSLNKQKENFKNSFQPVNSLREEERRESAAADAGFALFEKKGALAERQQLIPELVRNGDEENPLKRGLVAAYSGDSDNEEELVERLESEEEKLADWKKMACLLCRRQFPNKDALVRHQQLSDLHKQNMDIYRRSRLSEQELEALELREREMKYRDRAAERREKYGIPEPPEPKRKKQFDAGTVNYEQPTKDGIDHSNIGNKMLQAMGWREGSGLGRKCQGITAPIEAQVRLKGAGLGAKGSAYGLSGADSYKDAVRKAMFARFTEME
+>DECOY_sp|P52756|RBM5_HUMAN RNA-binding protein 5 OS=Homo sapiens OX=9606 GN=RBM5 PE=1 SV=2
+EMETFRAFMAKRVADKYSDAGSLGYASGKAGLGAGKLRVQAEIPATIGQCKRGLGSGERWGMAQLMKNGINSHDIGDKTPQEYNVTGADFQKKRKPEPPEPIGYKERREAARDRYKMERERLELAELEQESLRSRRYIDMNQKHLDSLQQHRVLADKNPFQRRCLLCAMKKWDALKEEESELREVLEEENDSDGSYAAVLGRKLPNEEDGNRVLEPILQQREALAGKKEFLAFGADAAASERREEERLSNVPQFSNKFNEKQKNLSKAWREMDKAIQQATKSKPKEKKEKGEKAPPLGSQQHSSSEAAPVYTEKEGDWYLYQQTLSNYYYQSNPDYYLGTTPDYYYGSSEDYQYTSTDPVAYKTGPVVGTPSAAPAQTSTSTSPQAEETPSGPPNSTQNYLQPSQSVVAASTTTVATGSASSADSELGGAQQQYFQQYDQSYQAYQAYGDQGPQLYSYDVSGGEGSQSQTSSWQAAAIATSAVSFASVRNGDSLVLDKRASKAFDVGITKGDIKLPPHLSQLIQLLQSADMASSLQVFAFGRNQQTQKDKILRINNVALSAYPSLATMISDVVTHPAINRLIITDCYYDVSQVSETTGPPVEQESDFKDAGCRFCKLRKRFNNLCCKNCLWDEFKPRPNSYHMAIHKGQIVLKKQNAEMWSTADQLHYFEVFAFGRSVGTKRKMLRVDAPQPGEFSEMMERIDSETITIPLGRLMITKSEREDSIDHRYDHEGYDGDSHYGDESRDSNRREREREPSDYDRYDDYRDGRRDDSSRKYDSDRRRSRSEREDRDDRDIISGYRGSRETRSVRKDSGM
+>sp|Q9Y5S9|RBM8A_HUMAN RNA-binding protein 8A OS=Homo sapiens OX=9606 GN=RBM8A PE=1 SV=1
+MADVLDLHEAGGEDFAMDEDGDESIHKLKEKAKKRKGRGFGSEEGSRARMREDYDSVEQDGDEPGPQRSVEGWILFVTGVHEEATEEDIHDKFAEYGEIKNIHLNLDRRTGYLKGYTLVEYETYKEAQAAMEGLNGQDLMGQPISVDWCFVRGPPKGKRRGGRRRSRSPDRRRR
+>DECOY_sp|Q9Y5S9|RBM8A_HUMAN RNA-binding protein 8A OS=Homo sapiens OX=9606 GN=RBM8A PE=1 SV=1
+RRRRDPSRSRRRGGRRKGKPPGRVFCWDVSIPQGMLDQGNLGEMAAQAEKYTEYEVLTYGKLYGTRRDLNLHINKIEGYEAFKDHIDEETAEEHVGTVFLIWGEVSRQPGPEDGDQEVSDYDERMRARSGEESGFGRGKRKKAKEKLKHISEDGDEDMAFDEGGAEHLDLVDAM
+>sp|Q6XE24|RBMS3_HUMAN RNA-binding motif, single-stranded-interacting protein 3 OS=Homo sapiens OX=9606 GN=RBMS3 PE=1 SV=1
+MGKRLDQPQMYPQYTYYYPHYLQTKQSYAPAPHPMAPPSPSTNSSSNNSSNNSSGEQLSKTNLYIRGLPPGTTDQDLIKLCQPYGKIVSTKAILDKNTNQCKGYGFVDFDSPAAAQKAVASLKANGVQAQMAKQQEQDPTNLYISNLPISMDEQELENMLKPFGHVISTRILRDANGVSRGVGFARMESTEKCEVVIQHFNGKYLKTPPGIPAPSEPLLCKFADGGQKKRQNQSKYTQNGRPWPREGEAGMALTYDPTAAIQNGFYSSPYSIATNRMIPQTSITPFIAASPVSTYQVQSTSWMPHPPYVMQPTGAVITPTMDHPMSMQPANMMGPLTQQMNHLSLGTTGTIQSQDRIMILHQLLCQYMTAAAPMQGTYIPQYTPVPPTAVSIEGVVADTSPQTVAPSSQDTSGQQQQIAVDTSNEHAPAYSYQQSKP
+>DECOY_sp|Q6XE24|RBMS3_HUMAN RNA-binding motif, single-stranded-interacting protein 3 OS=Homo sapiens OX=9606 GN=RBMS3 PE=1 SV=1
+PKSQQYSYAPAHENSTDVAIQQQQGSTDQSSPAVTQPSTDAVVGEISVATPPVPTYQPIYTGQMPAAATMYQCLLQHLIMIRDQSQITGTTGLSLHNMQQTLPGMMNAPQMSMPHDMTPTIVAGTPQMVYPPHPMWSTSQVQYTSVPSAAIFPTISTQPIMRNTAISYPSSYFGNQIAATPDYTLAMGAEGERPWPRGNQTYKSQNQRKKQGGDAFKCLLPESPAPIGPPTKLYKGNFHQIVVECKETSEMRAFGVGRSVGNADRLIRTSIVHGFPKLMNELEQEDMSIPLNSIYLNTPDQEQQKAMQAQVGNAKLSAVAKQAAAPSDFDVFGYGKCQNTNKDLIAKTSVIKGYPQCLKILDQDTTGPPLGRIYLNTKSLQEGSSNNSSNNSSSNTSPSPPAMPHPAPAYSQKTQLYHPYYYTYQPYMQPQDLRKGM
+>sp|Q15311|RBP1_HUMAN RalA-binding protein 1 OS=Homo sapiens OX=9606 GN=RALBP1 PE=1 SV=3
+MTECFLPPTSSPSEHRRVEHGSGLTRTPSSEEISPTKFPGLYRTGEPSPPHDILHEPPDVVSDDEKDHGKKKGKFKKKEKRTEGYAAFQEDSSGDEAESPSKMKRSKGIHVFKKPSFSKKKEKDFKIKEKPKEEKHKEEKHKEEKHKEKKSKDLTAADVVKQWKEKKKKKKPIQEPEVPQIDVPNLKPIFGIPLADAVERTMMYDGIRLPAVFRECIDYVEKYGMKCEGIYRVSGIKSKVDELKAAYDREESTNLEDYEPNTVASLLKQYLRDLPENLLTKELMPRFEEACGRTTETEKVQEFQRLLKELPECNYLLISWLIVHMDHVIAKELETKMNIQNISIVLSPTVQISNRVLYVFFTHVQELFGNVVLKQVMKPLRWSNMATMPTLPETQAGIKEEIRRQEFLLNCLHRDLQGGIKDLSKEERLWEVQRILTALKRKLREAKRQECETKIAQEIASLSKEDVSKEEMNENEEVINILLAQENEILTEQEELLAMEQFLRRQIASEKEEIERLRAEIAEIQSRQQHGRSETEEYSSESESESEDEEELQIILEDLQRQNEELEIKNNHLNQAIHEEREAIIELRVQLRLLQMQRAKAEQQAQEDEEPEWRGGAVQPPRDGVLEPKAAKEQPKAGKEPAKPSPSRDRKETSI
+>DECOY_sp|Q15311|RBP1_HUMAN RalA-binding protein 1 OS=Homo sapiens OX=9606 GN=RALBP1 PE=1 SV=3
+ISTEKRDRSPSPKAPEKGAKPQEKAAKPELVGDRPPQVAGGRWEPEEDEQAQQEAKARQMQLLRLQVRLEIIAEREEHIAQNLHNNKIELEENQRQLDELIIQLEEEDESESESESSYEETESRGHQQRSQIEAIEARLREIEEKESAIQRRLFQEMALLEEQETLIENEQALLINIVEENENMEEKSVDEKSLSAIEQAIKTECEQRKAERLKRKLATLIRQVEWLREEKSLDKIGGQLDRHLCNLLFEQRRIEEKIGAQTEPLTPMTAMNSWRLPKMVQKLVVNGFLEQVHTFFVYLVRNSIQVTPSLVISINQINMKTELEKAIVHDMHVILWSILLYNCEPLEKLLRQFEQVKETETTRGCAEEFRPMLEKTLLNEPLDRLYQKLLSAVTNPEYDELNTSEERDYAAKLEDVKSKIGSVRYIGECKMGYKEVYDICERFVAPLRIGDYMMTREVADALPIGFIPKLNPVDIQPVEPEQIPKKKKKKEKWQKVVDAATLDKSKKEKHKEEKHKEEKHKEEKPKEKIKFDKEKKKSFSPKKFVHIGKSRKMKSPSEAEDGSSDEQFAAYGETRKEKKKFKGKKKGHDKEDDSVVDPPEHLIDHPPSPEGTRYLGPFKTPSIEESSPTRTLGSGHEVRRHESPSSTPPLFCETM
+>sp|P49792|RBP2_HUMAN E3 SUMO-protein ligase RanBP2 OS=Homo sapiens OX=9606 GN=RANBP2 PE=1 SV=2
+MRRSKADVERYIASVQGSTPSPRQKSMKGFYFAKLYYEAKEYDLAKKYICTYINVQERDPKAHRFLGLLYELEENTDKAVECYRRSVELNPTQKDLVLKIAELLCKNDVTDGRAKYWLERAAKLFPGSPAIYKLKEQLLDCEGEDGWNKLFDLIQSELYVRPDDVHVNIRLVEVYRSTKRLKDAVAHCHEAERNIALRSSLEWNSCVVQTLKEYLESLQCLESDKSDWRATNTDLLLAYANLMLLTLSTRDVQESRELLQSFDSALQSVKSLGGNDELSATFLEMKGHFYMHAGSLLLKMGQHSSNVQWRALSELAALCYLIAFQVPRPKIKLIKGEAGQNLLEMMACDRLSQSGHMLLNLSRGKQDFLKEIVETFANKSGQSALYDALFSSQSPKDTSFLGSDDIGNIDVREPELEDLTRYDVGAIRAHNGSLQHLTWLGLQWNSLPALPGIRKWLKQLFHHLPHETSRLETNAPESICILDLEVFLLGVVYTSHLQLKEKCNSHHSSYQPLCLPLPVCKQLCTERQKSWWDAVCTLIHRKAVPGNVAKLRLLVQHEINTLRAQEKHGLQPALLVHWAECLQKTGSGLNSFYDQREYIGRSVHYWKKVLPLLKIIKKKNSIPEPIDPLFKHFHSVDIQASEIVEYEEDAHITFAILDAVNGNIEDAVTAFESIKSVVSYWNLALIFHRKAEDIENDALSPEEQEECKNYLRKTRDYLIKIIDDSDSNLSVVKKLPVPLESVKEMLNSVMQELEDYSEGGPLYKNGSLRNADSEIKHSTPSPTRYSLSPSKSYKYSPKTPPRWAEDQNSLLKMICQQVEAIKKEMQELKLNSSNSASPHRWPTENYGPDSVPDGYQGSQTFHGAPLTVATTGPSVYYSQSPAYNSQYLLRPAANVTPTKGPVYGMNRLPPQQHIYAYPQQMHTPPVQSSSACMFSQEMYGPPALRFESPATGILSPRGDDYFNYNVQQTSTNPPLPEPGYFTKPPIAAHASRSAESKTIEFGKTNFVQPMPGEGLRPSLPTQAHTTQPTPFKFNSNFKSNDGDFTFSSPQVVTQPPPAAYSNSESLLGLLTSDKPLQGDGYSGAKPIPGGQTIGPRNTFNFGSKNVSGISFTENMGSSQQKNSGFRRSDDMFTFHGPGKSVFGTPTLETANKNHETDGGSAHGDDDDDGPHFEPVVPLPDKIEVKTGEEDEEEFFCNRAKLFRFDVESKEWKERGIGNVKILRHKTSGKIRLLMRREQVLKICANHYISPDMKLTPNAGSDRSFVWHALDYADELPKPEQLAIRFKTPEEAALFKCKFEEAQSILKAPGTNVAMASNQAVRIVKEPTSHDNKDICKSDAGNLNFEFQVAKKEGSWWHCNSCSLKNASTAKKCVSCQNLNPSNKELVGPPLAETVFTPKTSPENVQDRFALVTPKKEGHWDCSICLVRNEPTVSRCIACQNTKSANKSGSSFVHQASFKFGQGDLPKPINSDFRSVFSTKEGQWDCSACLVQNEGSSTKCAACQNPRKQSLPATSIPTPASFKFGTSETSKTLKSGFEDMFAKKEGQWDCSSCLVRNEANATRCVACQNPDKPSPSTSVPAPASFKFGTSETSKAPKSGFEGMFTKKEGQWDCSVCLVRNEASATKCIACQNPGKQNQTTSAVSTPASSETSKAPKSGFEGMFTKKEGQWDCSVCLVRNEASATKCIACQNPGKQNQTTSAVSTPASSETSKAPKSGFEGMFTKKEGQWDCSVCLVRNEASATKCIACQCPSKQNQTTAISTPASSEISKAPKSGFEGMFIRKGQWDCSVCCVQNESSSLKCVACDASKPTHKPIAEAPSAFTLGSEMKLHDSSGSQVGTGFKSNFSEKASKFGNTEQGFKFGHVDQENSPSFMFQGSSNTEFKSTKEGFSIPVSADGFKFGISEPGNQEKKSEKPLENGTGFQAQDISGQKNGRGVIFGQTSSTFTFADLAKSTSGEGFQFGKKDPNFKGFSGAGEKLFSSQYGKMANKANTSGDFEKDDDAYKTEDSDDIHFEPVVQMPEKVELVTGEEDEKVLYSQRVKLFRFDAEVSQWKERGLGNLKILKNEVNGKLRMLMRREQVLKVCANHWITTTMNLKPLSGSDRAWMWLASDFSDGDAKLEQLAAKFKTPELAEEFKQKFEECQRLLLDIPLQTPHKLVDTGRAAKLIQRAEEMKSGLKDFKTFLTNDQTKVTEEENKGSGTGAAGASDTTIKPNPENTGPTLEWDNYDLREDALDDSVSSSSVHASPLASSPVRKNLFRFGESTTGFNFSFKSALSPSKSPAKLNQSGTSVGTDEESDVTQEEERDGQYFEPVVPLPDLVEVSSGEENEQVVFSHRAKLYRYDKDVGQWKERGIGDIKILQNYDNKQVRIVMRRDQVLKLCANHRITPDMTLQNMKGTERVWLWTACDFADGERKVEHLAVRFKLQDVADSFKKIFDEAKTAQEKDSLITPHVSRSSTPRESPCGKIAVAVLEETTRERTDVIQGDDVADATSEVEVSSTSETTPKAVVSPPKFVFGSESVKSIFSSEKSKPFAFGNSSATGSLFGFSFNAPLKSNNSETSSVAQSGSESKVEPKKCELSKNSDIEQSSDSKVKNLFASFPTEESSINYTFKTPEKAKEKKKPEDSPSDDDVLIVYELTPTAEQKALATKLKLPPTFFCYKNRPDYVSEEEEDDEDFETAVKKLNGKLYLDGSEKCRPLEENTADNEKECIIVWEKKPTVEEKAKADTLKLPPTFFCGVCSDTDEDNGNGEDFQSELQKVQEAQKSQTEEITSTTDSVYTGGTEVMVPSFCKSEEPDSITKSISSPSVSSETMDKPVDLSTRKEIDTDSTSQGESKIVSFGFGSSTGLSFADLASSNSGDFAFGSKDKNFQWANTGAAVFGTQSVGTQSAGKVGEDEDGSDEEVVHNEDIHFEPIVSLPEVEVKSGEEDEEILFKERAKLYRWDRDVSQWKERGVGDIKILWHTMKNYYRILMRRDQVFKVCANHVITKTMELKPLNVSNNALVWTASDYADGEAKVEQLAVRFKTKEVADCFKKTFEECQQNLMKLQKGHVSLAAELSKETNPVVFFDVCADGEPLGRITMELFSNIVPRTAENFRALCTGEKGFGFKNSIFHRVIPDFVCQGGDITKHDGTGGQSIYGDKFEDENFDVKHTGPGLLSMANQGQNTNNSQFVITLKKAEHLDFKHVVFGFVKDGMDTVKKIESFGSPKGSVCRRITITECGQI
+>DECOY_sp|P49792|RBP2_HUMAN E3 SUMO-protein ligase RanBP2 OS=Homo sapiens OX=9606 GN=RANBP2 PE=1 SV=2
+IQGCETITIRRCVSGKPSGFSEIKKVTDMGDKVFGFVVHKFDLHEAKKLTIVFQSNNTNQGQNAMSLLGPGTHKVDFNEDEFKDGYISQGGTGDHKTIDGGQCVFDPIVRHFISNKFGFGKEGTCLARFNEATRPVINSFLEMTIRGLPEGDACVDFFVVPNTEKSLEAALSVHGKQLKMLNQQCEEFTKKFCDAVEKTKFRVALQEVKAEGDAYDSATWVLANNSVNLPKLEMTKTIVHNACVKFVQDRRMLIRYYNKMTHWLIKIDGVGREKWQSVDRDWRYLKAREKFLIEEDEEGSKVEVEPLSVIPEFHIDENHVVEEDSGDEDEGVKGASQTGVSQTGFVAAGTNAWQFNKDKSGFAFDGSNSSALDAFSLGTSSGFGFSVIKSEGQSTSDTDIEKRTSLDVPKDMTESSVSPSSISKTISDPEESKCFSPVMVETGGTYVSDTTSTIEETQSKQAEQVKQLESQFDEGNGNDEDTDSCVGCFFTPPLKLTDAKAKEEVTPKKEWVIICEKENDATNEELPRCKESGDLYLKGNLKKVATEFDEDDEEEESVYDPRNKYCFFTPPLKLKTALAKQEATPTLEYVILVDDDSPSDEPKKKEKAKEPTKFTYNISSEETPFSAFLNKVKSDSSQEIDSNKSLECKKPEVKSESGSQAVSSTESNNSKLPANFSFGFLSGTASSNGFAFPKSKESSFISKVSESGFVFKPPSVVAKPTTESTSSVEVESTADAVDDGQIVDTRERTTEELVAVAIKGCPSERPTSSRSVHPTILSDKEQATKAEDFIKKFSDAVDQLKFRVALHEVKREGDAFDCATWLWVRETGKMNQLTMDPTIRHNACLKLVQDRRMVIRVQKNDYNQLIKIDGIGREKWQGVDKDYRYLKARHSFVVQENEEGSSVEVLDPLPVVPEFYQGDREEEQTVDSEEDTGVSTGSQNLKAPSKSPSLASKFSFNFGTTSEGFRFLNKRVPSSALPSAHVSSSSVSDDLADERLDYNDWELTPGTNEPNPKITTDSAGAAGTGSGKNEEETVKTQDNTLFTKFDKLGSKMEEARQILKAARGTDVLKHPTQLPIDLLLRQCEEFKQKFEEALEPTKFKAALQELKADGDSFDSALWMWARDSGSLPKLNMTTTIWHNACVKLVQERRMLMRLKGNVENKLIKLNGLGREKWQSVEADFRFLKVRQSYLVKEDEEGTVLEVKEPMQVVPEFHIDDSDETKYADDDKEFDGSTNAKNAMKGYQSSFLKEGAGSFGKFNPDKKGFQFGEGSTSKALDAFTFTSSTQGFIVGRGNKQGSIDQAQFGTGNELPKESKKEQNGPESIGFKFGDASVPISFGEKTSKFETNSSGQFMFSPSNEQDVHGFKFGQETNGFKSAKESFNSKFGTGVQSGSSDHLKMESGLTFASPAEAIPKHTPKSADCAVCKLSSSENQVCCVSCDWQGKRIFMGEFGSKPAKSIESSAPTSIATTQNQKSPCQCAICKTASAENRVLCVSCDWQGEKKTFMGEFGSKPAKSTESSAPTSVASTTQNQKGPNQCAICKTASAENRVLCVSCDWQGEKKTFMGEFGSKPAKSTESSAPTSVASTTQNQKGPNQCAICKTASAENRVLCVSCDWQGEKKTFMGEFGSKPAKSTESTGFKFSAPAPVSTSPSPKDPNQCAVCRTANAENRVLCSSCDWQGEKKAFMDEFGSKLTKSTESTGFKFSAPTPISTAPLSQKRPNQCAACKTSSGENQVLCASCDWQGEKTSFVSRFDSNIPKPLDGQGFKFSAQHVFSSGSKNASKTNQCAICRSVTPENRVLCISCDWHGEKKPTVLAFRDQVNEPSTKPTFVTEALPPGVLEKNSPNLNQCSVCKKATSANKLSCSNCHWWSGEKKAVQFEFNLNGADSKCIDKNDHSTPEKVIRVAQNSAMAVNTGPAKLISQAEEFKCKFLAAEEPTKFRIALQEPKPLEDAYDLAHWVFSRDSGANPTLKMDPSIYHNACIKLVQERRMLLRIKGSTKHRLIKVNGIGREKWEKSEVDFRFLKARNCFFEEEDEEGTKVEIKDPLPVVPEFHPGDDDDDGHASGGDTEHNKNATELTPTGFVSKGPGHFTFMDDSRRFGSNKQQSSGMNETFSIGSVNKSGFNFTNRPGITQGGPIPKAGSYGDGQLPKDSTLLGLLSESNSYAAPPPQTVVQPSSFTFDGDNSKFNSNFKFPTPQTTHAQTPLSPRLGEGPMPQVFNTKGFEITKSEASRSAHAAIPPKTFYGPEPLPPNTSTQQVNYNFYDDGRPSLIGTAPSEFRLAPPGYMEQSFMCASSSQVPPTHMQQPYAYIHQQPPLRNMGYVPGKTPTVNAAPRLLYQSNYAPSQSYYVSPGTTAVTLPAGHFTQSGQYGDPVSDPGYNETPWRHPSASNSSNLKLEQMEKKIAEVQQCIMKLLSNQDEAWRPPTKPSYKYSKSPSLSYRTPSPTSHKIESDANRLSGNKYLPGGESYDELEQMVSNLMEKVSELPVPLKKVVSLNSDSDDIIKILYDRTKRLYNKCEEQEEPSLADNEIDEAKRHFILALNWYSVVSKISEFATVADEINGNVADLIAFTIHADEEYEVIESAQIDVSHFHKFLPDIPEPISNKKKIIKLLPLVKKWYHVSRGIYERQDYFSNLGSGTKQLCEAWHVLLAPQLGHKEQARLTNIEHQVLLRLKAVNGPVAKRHILTCVADWWSKQRETCLQKCVPLPLCLPQYSSHHSNCKEKLQLHSTYVVGLLFVELDLICISEPANTELRSTEHPLHHFLQKLWKRIGPLAPLSNWQLGLWTLHQLSGNHARIAGVDYRTLDELEPERVDINGIDDSGLFSTDKPSQSSFLADYLASQGSKNAFTEVIEKLFDQKGRSLNLLMHGSQSLRDCAMMELLNQGAEGKILKIKPRPVQFAILYCLAALESLARWQVNSSHQGMKLLLSGAHMYFHGKMELFTASLEDNGGLSKVSQLASDFSQLLERSEQVDRTSLTLLMLNAYALLLDTNTARWDSKDSELCQLSELYEKLTQVVCSNWELSSRLAINREAEHCHAVADKLRKTSRYVEVLRINVHVDDPRVYLESQILDFLKNWGDEGECDLLQEKLKYIAPSGPFLKAARELWYKARGDTVDNKCLLEAIKLVLDKQTPNLEVSRRYCEVAKDTNEELEYLLGLFRHAKPDREQVNIYTCIYKKALDYEKAEYYLKAFYFGKMSKQRPSPTSGQVSAIYREVDAKSRRM
+>sp|Q9H477|RBSK_HUMAN Ribokinase OS=Homo sapiens OX=9606 GN=RBKS PE=1 SV=1
+MAASGEPQRQWQEEVAAVVVVGSCMTDLVSLTSRLPKTGETIHGHKFFIGFGGKGANQCVQAARLGAMTSMVCKVGKDSFGNDYIENLKQNDISTEFTYQTKDAATGTASIIVNNEGQNIIVIVAGANLLLNTEDLRAAANVISRAKVMVCQLEITPATSLEALTMARRSGVKTLFNPAPAIADLDPQFYTLSDVFCCNESEAEILTGLTVGSAADAGEAALVLLKRGCQVVIITLGAEGCVVLSQTEPEPKHIPTEKVKAVDTTGAGDSFVGALAFYLAYYPNLSLEDMLNRSNFIAAVSVQAAGTQSSYPYKKDLPLTLF
+>DECOY_sp|Q9H477|RBSK_HUMAN Ribokinase OS=Homo sapiens OX=9606 GN=RBKS PE=1 SV=1
+FLTLPLDKKYPYSSQTGAAQVSVAAIFNSRNLMDELSLNPYYALYFALAGVFSDGAGTTDVAKVKETPIHKPEPETQSLVVCGEAGLTIIVVQCGRKLLVLAAEGADAASGVTLGTLIEAESENCCFVDSLTYFQPDLDAIAPAPNFLTKVGSRRAMTLAELSTAPTIELQCVMVKARSIVNAAARLDETNLLLNAGAVIVIINQGENNVIISATGTAADKTQYTFETSIDNQKLNEIYDNGFSDKGVKCVMSTMAGLRAAQVCQNAGKGGFGIFFKHGHITEGTKPLRSTLSVLDTMCSGVVVVAAVEEQWQRQPEGSAAM
+>sp|O00559|RCAS1_HUMAN Receptor-binding cancer antigen expressed on SiSo cells OS=Homo sapiens OX=9606 GN=EBAG9 PE=1 SV=1
+MAITQFRLFKFCTCLATVFSFLKRLICRSGRGRKLSGDQITLPTTVDYSSVPKQTDVEEWTSWDEDAPTSVKIEGGNGNVATQQNSLEQLEPDYFKDMTPTIRKTQKIVIKKREPLNFGIPDGSTGFSSRLAATQDLPFIHQSSELGDLDTWQENTNAWEEEEDAAWQAEEVLRQQKLADREKRAAEQQRKKMEKEAQRLMKKEQNKIGVKLS
+>DECOY_sp|O00559|RCAS1_HUMAN Receptor-binding cancer antigen expressed on SiSo cells OS=Homo sapiens OX=9606 GN=EBAG9 PE=1 SV=1
+SLKVGIKNQEKKMLRQAEKEMKKRQQEAARKERDALKQQRLVEEAQWAADEEEEWANTNEQWTDLDGLESSQHIFPLDQTAALRSSFGTSGDPIGFNLPERKKIVIKQTKRITPTMDKFYDPELQELSNQQTAVNGNGGEIKVSTPADEDWSTWEEVDTQKPVSSYDVTTPLTIQDGSLKRGRGSRCILRKLFSFVTALCTCFKFLRFQTIAM
+>sp|Q9P2K3|RCOR3_HUMAN REST corepressor 3 OS=Homo sapiens OX=9606 GN=RCOR3 PE=1 SV=2
+MRVGAEYQARIPEFDPGATKYTDKDNGGMLVWSPYHSIPDAKLDEYIAIAKEKHGYNVEQALGMLFWHKHNIEKSLADLPNFTPFPDEWTVEDKVLFEQAFSFHGKSFHRIQQMLPDKTIASLVKYYYSWKKTRSRTSLMDRQARKLANRHNQGDSDDDVEETHPMDGNDSDYDPKKEAKKEGNTEQPVQTSKIGLGRREYQSLQHRHHSQRSKCRPPKGMYLTQEDVVAVSCSPNAANTILRQLDMELISLKRQVQNAKQVNSALKQKMEGGIEEFKPPESNQKINARWTTEEQLLAVQGVRKYGKDFQAIADVIGNKTVGQVKNFFVNYRRRFNLEEVLQEWEAEQGTQASNGDASTLGEETKSASNVPSGKSTDEEEEAQTPQAPRTLGPSPPAPSSTPTPTAPIATLNQPPPLLRPTLPAAPALHRQPPPLQQQARFIQPRPTLNQPPPPLIRPANSMPPRLNPRPVLSTVGGQQPPSLIGIQTDSQSSLH
+>DECOY_sp|Q9P2K3|RCOR3_HUMAN REST corepressor 3 OS=Homo sapiens OX=9606 GN=RCOR3 PE=1 SV=2
+HLSSQSDTQIGILSPPQQGGVTSLVPRPNLRPPMSNAPRILPPPPQNLTPRPQIFRAQQQLPPPQRHLAPAAPLTPRLLPPPQNLTAIPATPTPTSSPAPPSPGLTRPAQPTQAEEEEDTSKGSPVNSASKTEEGLTSADGNSAQTGQEAEWEQLVEELNFRRRYNVFFNKVQGVTKNGIVDAIAQFDKGYKRVGQVALLQEETTWRANIKQNSEPPKFEEIGGEMKQKLASNVQKANQVQRKLSILEMDLQRLITNAANPSCSVAVVDEQTLYMGKPPRCKSRQSHHRHQLSQYERRGLGIKSTQVPQETNGEKKAEKKPDYDSDNGDMPHTEEVDDDSDGQNHRNALKRAQRDMLSTRSRTKKWSYYYKVLSAITKDPLMQQIRHFSKGHFSFAQEFLVKDEVTWEDPFPTFNPLDALSKEINHKHWFLMGLAQEVNYGHKEKAIAIYEDLKADPISHYPSWVLMGGNDKDTYKTAGPDFEPIRAQYEAGVRM
+>sp|P54725|RD23A_HUMAN UV excision repair protein RAD23 homolog A OS=Homo sapiens OX=9606 GN=RAD23A PE=1 SV=1
+MAVTITLKTLQQQTFKIRMEPDETVKVLKEKIEAEKGRDAFPVAGQKLIYAGKILSDDVPIRDYRIDEKNFVVVMVTKTKAGQGTSAPPEASPTAAPESSTSFPPAPTSGMSHPPPAAREDKSPSEESAPTTSPESVSGSVPSSGSSGREEDAASTLVTGSEYETMLTEIMSMGYERERVVAALRASYNNPHRAVEYLLTGIPGSPEPEHGSVQESQVSEQPATEAAGENPLEFLRDQPQFQNMRQVIQQNPALLPALLQQLGQENPQLLQQISRHQEQFIQMLNEPPGELADISDVEGEVGAIGEEAPQMNYIQVTPQEKEAIERLKALGFPESLVIQAYFACEKNENLAANFLLSQNFDDE
+>DECOY_sp|P54725|RD23A_HUMAN UV excision repair protein RAD23 homolog A OS=Homo sapiens OX=9606 GN=RAD23A PE=1 SV=1
+EDDFNQSLLFNAALNENKECAFYAQIVLSEPFGLAKLREIAEKEQPTVQIYNMQPAEEGIAGVEGEVDSIDALEGPPENLMQIFQEQHRSIQQLLQPNEQGLQQLLAPLLAPNQQIVQRMNQFQPQDRLFELPNEGAAETAPQESVQSEQVSGHEPEPSGPIGTLLYEVARHPNNYSARLAAVVREREYGMSMIETLMTEYESGTVLTSAADEERGSSGSSPVSGSVSEPSTTPASEESPSKDERAAPPPHSMGSTPAPPFSTSSEPAATPSAEPPASTGQGAKTKTVMVVVFNKEDIRYDRIPVDDSLIKGAYILKQGAVPFADRGKEAEIKEKLVKVTEDPEMRIKFTQQQLTKLTITVAM
+>sp|Q8IZV5|RDH10_HUMAN Retinol dehydrogenase 10 OS=Homo sapiens OX=9606 GN=RDH10 PE=1 SV=1
+MNIVVEFFVVTFKVLWAFVLAAARWLVRPKEKSVAGQVCLITGAGSGLGRLFALEFARRRALLVLWDINTQSNEETAGMVRHIYRDLEAADAAALQAGNGEEEILPHCNLQVFTYTCDVGKRENVYLTAERVRKEVGEVSVLVNNAGVVSGHHLLECPDELIERTMMVNCHAHFWTTKAFLPTMLEINHGHIVTVASSLGLFSTAGVEDYCASKFGVVGFHESLSHELKAAEKDGIKTTLVCPYLVDTGMFRGCRIRKEIEPFLPPLKPDYCVKQAMKAILTDQPMICTPRLMYIVTFMKSILPFEAVVCMYRFLGADKCMYPFIAQRKQATNNNEAKNGI
+>DECOY_sp|Q8IZV5|RDH10_HUMAN Retinol dehydrogenase 10 OS=Homo sapiens OX=9606 GN=RDH10 PE=1 SV=1
+IGNKAENNNTAQKRQAIFPYMCKDAGLFRYMCVVAEFPLISKMFTVIYMLRPTCIMPQDTLIAKMAQKVCYDPKLPPLFPEIEKRIRCGRFMGTDVLYPCVLTTKIGDKEAAKLEHSLSEHFGVVGFKSACYDEVGATSFLGLSSAVTVIHGHNIELMTPLFAKTTWFHAHCNVMMTREILEDPCELLHHGSVVGANNVLVSVEGVEKRVREATLYVNERKGVDCTYTFVQLNCHPLIEEEGNGAQLAAADAAELDRYIHRVMGATEENSQTNIDWLVLLARRRAFELAFLRGLGSGAGTILCVQGAVSKEKPRVLWRAAALVFAWLVKFTVVFFEVVINM
+>sp|Q9HBH5|RDH14_HUMAN Retinol dehydrogenase 14 OS=Homo sapiens OX=9606 GN=RDH14 PE=1 SV=1
+MAVATAAAVLAALGGALWLAARRFVGPRVQRLRRGGDPGLMHGKTVLITGANSGLGRATAAELLRLGARVIMGCRDRARAEEAAGQLRRELRQAAECGPEPGVSGVGELIVRELDLASLRSVRAFCQEMLQEEPRLDVLINNAGIFQCPYMKTEDGFEMQFGVNHLGHFLLTNLLLGLLKSSAPSRIVVVSSKLYKYGDINFDDLNSEQSYNKSFCYSRSKLANILFTRELARRLEGTNVTVNVLHPGIVRTNLGRHIHIPLLVKPLFNLVSWAFFKTPVEGAQTSIYLASSPEVEGVSGRYFGDCKEEELLPKAMDESVARKLWDISEVMVGLLK
+>DECOY_sp|Q9HBH5|RDH14_HUMAN Retinol dehydrogenase 14 OS=Homo sapiens OX=9606 GN=RDH14 PE=1 SV=1
+KLLGVMVESIDWLKRAVSEDMAKPLLEEEKCDGFYRGSVGEVEPSSALYISTQAGEVPTKFFAWSVLNFLPKVLLPIHIHRGLNTRVIGPHLVNVTVNTGELRRALERTFLINALKSRSYCFSKNYSQESNLDDFNIDGYKYLKSSVVVIRSPASSKLLGLLLNTLLFHGLHNVGFQMEFGDETKMYPCQFIGANNILVDLRPEEQLMEQCFARVSRLSALDLERVILEGVGSVGPEPGCEAAQRLERRLQGAAEEARARDRCGMIVRAGLRLLEAATARGLGSNAGTILVTKGHMLGPDGGRRLRQVRPGVFRRAALWLAGGLAALVAAATAVAM
+>sp|Q7Z4M0|RE114_HUMAN Meiotic recombination protein REC114 OS=Homo sapiens OX=9606 GN=REC114 PE=2 SV=2
+MAEAGKVPLSLGLTGGEAAEWPLQRYARCIPSNTRDPPGPCLEAGTAPCPTWKVFDSNEESGYLVLTIVISGHFFIFQGQTLLEGFSLIGSKDWLKIVRRVDCLLFGTTIKDKSRLFRVQFSGESKEQALEHCCSCVQKLAQYITVQVPDGNIQELQLIPGPPRATESQGKDSAKSVPRQPGSHQHSEQQQVCVTAGTGAPDGRTSLTQLAQTLLASEELPHVYEQSAWGAEELGPFLRLCLMDQNFPAFVEEVEKELKKLAGLRN
+>DECOY_sp|Q7Z4M0|RE114_HUMAN Meiotic recombination protein REC114 OS=Homo sapiens OX=9606 GN=REC114 PE=2 SV=2
+NRLGALKKLEKEVEEVFAPFNQDMLCLRLFPGLEEAGWASQEYVHPLEESALLTQALQTLSTRGDPAGTGATVCVQQQESHQHSGPQRPVSKASDKGQSETARPPGPILQLEQINGDPVQVTIYQALKQVCSCCHELAQEKSEGSFQVRFLRSKDKITTGFLLCDVRRVIKLWDKSGILSFGELLTQGQFIFFHGSIVITLVLYGSEENSDFVKWTPCPATGAELCPGPPDRTNSPICRAYRQLPWEAAEGGTLGLSLPVKGAEAM
+>sp|Q9BRK0|REEP2_HUMAN Receptor expression-enhancing protein 2 OS=Homo sapiens OX=9606 GN=REEP2 PE=1 SV=2
+MVSWIISRLVVLIFGTLYPAYSSYKAVKTKNVKEYVKWMMYWIVFAFFTTAETLTDIVLSWFPFYFELKIAFVIWLLSPYTKGSSVLYRKFVHPTLSNKEKEIDEYITQARDKSYETMMRVGKRGLNLAANAAVTAAAKGVLSEKLRSFSMQDLTLIRDEDALPLQRPDGRLRPSPGSLLDTIEDLGDDPALSLRSSTNPADSRTEASEDDMGDKAPKRAKPIKKAPKAEPLASKTLKTRPKKKTSGGGDSA
+>DECOY_sp|Q9BRK0|REEP2_HUMAN Receptor expression-enhancing protein 2 OS=Homo sapiens OX=9606 GN=REEP2 PE=1 SV=2
+ASDGGGSTKKKPRTKLTKSALPEAKPAKKIPKARKPAKDGMDDESAETRSDAPNTSSRLSLAPDDGLDEITDLLSGPSPRLRGDPRQLPLADEDRILTLDQMSFSRLKESLVGKAAATVAANAALNLGRKGVRMMTEYSKDRAQTIYEDIEKEKNSLTPHVFKRYLVSSGKTYPSLLWIVFAIKLEFYFPFWSLVIDTLTEATTFFAFVIWYMMWKVYEKVNKTKVAKYSSYAPYLTGFILVVLRSIIWSVM
+>sp|Q00765|REEP5_HUMAN Receptor expression-enhancing protein 5 OS=Homo sapiens OX=9606 GN=REEP5 PE=1 SV=3
+MSAAMRERFDRFLHEKNCMTDLLAKLEAKTGVNRSFIALGVIGLVALYLVFGYGASLLCNLIGFGYPAYISIKAIESPNKEDDTQWLTYWVVYGVFSIAEFFSDIFLSWFPFYYMLKCGFLLWCMAPSPSNGAELLYKRIIRPFFLKHESQMDSVVKDLKDKAKETADAITKEAKKATVNLLGEEKKST
+>DECOY_sp|Q00765|REEP5_HUMAN Receptor expression-enhancing protein 5 OS=Homo sapiens OX=9606 GN=REEP5 PE=1 SV=3
+TSKKEEGLLNVTAKKAEKTIADATEKAKDKLDKVVSDMQSEHKLFFPRIIRKYLLEAGNSPSPAMCWLLFGCKLMYYFPFWSLFIDSFFEAISFVGYVVWYTLWQTDDEKNPSEIAKISIYAPYGFGILNCLLSAGYGFVLYLAVLGIVGLAIFSRNVGTKAELKALLDTMCNKEHLFRDFRERMAASM
+>sp|P48304|REG1B_HUMAN Lithostathine-1-beta OS=Homo sapiens OX=9606 GN=REG1B PE=1 SV=1
+MAQTNSFFMLISSLMFLSLSQGQESQTELPNPRISCPEGTNAYRSYCYYFNEDPETWVDADLYCQNMNSGNLVSVLTQAEGAFVASLIKESSTDDSNVWIGLHDPKKNRRWHWSSGSLVSYKSWDTGSPSSANAGYCASLTSCSGFKKWKDESCEKKFSFVCKFKN
+>DECOY_sp|P48304|REG1B_HUMAN Lithostathine-1-beta OS=Homo sapiens OX=9606 GN=REG1B PE=1 SV=1
+NKFKCVFSFKKECSEDKWKKFGSCSTLSACYGANASSPSGTDWSKYSVLSGSSWHWRRNKKPDHLGIWVNSDDTSSEKILSAVFAGEAQTLVSVLNGSNMNQCYLDADVWTEPDENFYYCYSRYANTGEPCSIRPNPLETQSEQGQSLSLFMLSSILMFFSNTQAM
+>sp|Q8WXF3|REL3_HUMAN Relaxin-3 OS=Homo sapiens OX=9606 GN=RLN3 PE=1 SV=1
+MARYMLLLLLAVWVLTGELWPGAEARAAPYGVRLCGREFIRAVIFTCGGSRWRRSDILAHEAMGDTFPDADADEDSLAGELDEAMGSSEWLALTKSPQAFYRGRPSWQGTPGVLRGSRDVLAGLSSSCCKWGCSKSEISSLC
+>DECOY_sp|Q8WXF3|REL3_HUMAN Relaxin-3 OS=Homo sapiens OX=9606 GN=RLN3 PE=1 SV=1
+CLSSIESKSCGWKCCSSSLGALVDRSGRLVGPTGQWSPRGRYFAQPSKTLALWESSGMAEDLEGALSDEDADADPFTDGMAEHALIDSRRWRSGGCTFIVARIFERGCLRVGYPAARAEAGPWLEGTLVWVALLLLLMYRAM
+>sp|O15258|RER1_HUMAN Protein RER1 OS=Homo sapiens OX=9606 GN=RER1 PE=1 SV=1
+MSEGDSVGESVHGKPSVVYRFFTRLGQIYQSWLDKSTPYTAVRWVVTLGLSFVYMIRVYLLQGWYIVTYALGIYHLNLFIAFLSPKVDPSLMEDSDDGPSLPTKQNEEFRPFIRRLPEFKFWHAATKGILVAMVCTFFDAFNVPVFWPILVMYFIMLFCITMKRQIKHMIKYRYIPFTHGKRRYRGKEDAGKAFAS
+>DECOY_sp|O15258|RER1_HUMAN Protein RER1 OS=Homo sapiens OX=9606 GN=RER1 PE=1 SV=1
+SAFAKGADEKGRYRRKGHTFPIYRYKIMHKIQRKMTICFLMIFYMVLIPWFVPVNFADFFTCVMAVLIGKTAAHWFKFEPLRRIFPRFEENQKTPLSPGDDSDEMLSPDVKPSLFAIFLNLHYIGLAYTVIYWGQLLYVRIMYVFSLGLTVVWRVATYPTSKDLWSQYIQGLRTFFRYVVSPKGHVSEGVSDGESM
+>sp|Q9P2R6|RERE_HUMAN Arginine-glutamic acid dipeptide repeats protein OS=Homo sapiens OX=9606 GN=RERE PE=1 SV=2
+MTADKDKDKDKEKDRDRDRDREREKRDKARESENSRPRRSCTLEGGAKNYAESDHSEDEDNDNNSATAEESTKKNKKKPPKKKSRYERTDTGEITSYITEDDVVYRPGDCVYIESRRPNTPYFICSIQDFKLVHNSQACCRSPTPALCDPPACSLPVASQPPQHLSEAGRGPVGSKRDHLLMNVKWYYRQSEVPDSVYQHLVQDRHNENDSGRELVITDPVIKNRELFISDYVDTYHAAALRGKCNISHFSDIFAAREFKARVDSFFYILGYNPETRRLNSTQGEIRVGPSHQAKLPDLQPFPSPDGDTVTQHEELVWMPGVNDCDLLMYLRAARSMAAFAGMCDGGSTEDGCVAASRDDTTLNALNTLHESGYDAGKALQRLVKKPVPKLIEKCWTEDEVKRFVKGLRQYGKNFFRIRKELLPNKETGELITFYYYWKKTPEAASSRAHRRHRRQAVFRRIKTRTASTPVNTPSRPPSSEFLDLSSASEDDFDSEDSEQELKGYACRHCFTTTSKDWHHGGRENILLCTDCRIHFKKYGELPPIEKPVDPPPFMFKPVKEEDDGLSGKHSMRTRRSRGSMSTLRSGRKKQPASPDGRTSPINEDIRSSGRNSPSAASTSSNDSKAETVKKSAKKVKEEASSPLKSNKRQREKVASDTEEADRTSSKKTKTQEISRPNSPSEGEGESSDSRSVNDEGSSDPKDIDQDNRSTSPSIPSPQDNESDSDSSAQQQMLQAQPPALQAPTGVTPAPSSAPPGTPQLPTPGPTPSATAVPPQGSPTASQAPNQPQAPTAPVPHTHIQQAPALHPQRPPSPHPPPHPSPHPPLQPLTGSAGQPSAPSHAQPPLHGQGPPGPHSLQAGPLLQHPGPPQPFGLPPQASQGQAPLGTSPAAAYPHTSLQLPASQSALQSQQPPREQPLPPAPLAMPHIKPPPTTPIPQLPAPQAHKHPPHLSGPSPFSMNANLPPPPALKPLSSLSTHHPPSAHPPPLQLMPQSQPLPSSPAQPPGLTQSQNLPPPPASHPPTGLHQVAPQPPFAQHPFVPGGPPPITPPTCPSTSTPPAGPGTSAQPPCSGAAASGGSIAGGSSCPLPTVQIKEEALDDAEEPESPPPPPRSPSPEPTVVDTPSHASQSARFYKHLDRGYNSCARTDLYFMPLAGSKLAKKREEAIEKAKREAEQKAREEREREKEKEKEREREREREREAERAAKASSSAHEGRLSDPQLSGPGHMRPSFEPPPTTIAAVPPYIGPDTPALRTLSEYARPHVMSPTNRNHPFYMPLNPTDPLLAYHMPGLYNVDPTIRERELREREIREREIRERELRERMKPGFEVKPPELDPLHPAANPMEHFARHSALTIPPTAGPHPFASFHPGLNPLERERLALAGPQLRPEMSYPDRLAAERIHAERMASLTSDPLARLQMFNVTPHHHQHSHIHSHLHLHQQDPLHQGSAGPVHPLVDPLTAGPHLARFPYPPGTLPNPLLGQPPHEHEMLRHPVFGTPYPRDLPGAIPPPMSAAHQLQAMHAQSAELQRLAMEQQWLHGHPHMHGGHLPSQEDYYSRLKKEGDKQL
+>DECOY_sp|Q9P2R6|RERE_HUMAN Arginine-glutamic acid dipeptide repeats protein OS=Homo sapiens OX=9606 GN=RERE PE=1 SV=2
+LQKDGEKKLRSYYDEQSPLHGGHMHPHGHLWQQEMALRQLEASQAHMAQLQHAASMPPPIAGPLDRPYPTGFVPHRLMEHEHPPQGLLPNPLTGPPYPFRALHPGATLPDVLPHVPGASGQHLPDQQHLHLHSHIHSHQHHHPTVNFMQLRALPDSTLSAMREAHIREAALRDPYSMEPRLQPGALALRERELPNLGPHFSAFPHPGATPPITLASHRAFHEMPNAAPHLPDLEPPKVEFGPKMRERLERERIERERIERERLERERITPDVNYLGPMHYALLPDTPNLPMYFPHNRNTPSMVHPRAYESLTRLAPTDPGIYPPVAAITTPPPEFSPRMHGPGSLQPDSLRGEHASSSAKAAREAEREREREREREKEKEKEREREERAKQEAERKAKEIAEERKKALKSGALPMFYLDTRACSNYGRDLHKYFRASQSAHSPTDVVTPEPSPSRPPPPPSEPEEADDLAEEKIQVTPLPCSSGGAISGGSAAAGSCPPQASTGPGAPPTSTSPCTPPTIPPPGGPVFPHQAFPPQPAVQHLGTPPHSAPPPPLNQSQTLGPPQAPSSPLPQSQPMLQLPPPHASPPHHTSLSSLPKLAPPPPLNANMSFPSPGSLHPPHKHAQPAPLQPIPTTPPPKIHPMALPAPPLPQERPPQQSQLASQSAPLQLSTHPYAAAPSTGLPAQGQSAQPPLGFPQPPGPHQLLPGAQLSHPGPPGQGHLPPQAHSPASPQGASGTLPQLPPHPSPHPPPHPSPPRQPHLAPAQQIHTHPVPATPAQPQNPAQSATPSGQPPVATASPTPGPTPLQPTGPPASSPAPTVGTPAQLAPPQAQLMQQQASSDSDSENDQPSPISPSTSRNDQDIDKPDSSGEDNVSRSDSSEGEGESPSNPRSIEQTKTKKSSTRDAEETDSAVKERQRKNSKLPSSAEEKVKKASKKVTEAKSDNSSTSAASPSNRGSSRIDENIPSTRGDPSAPQKKRGSRLTSMSGRSRRTRMSHKGSLGDDEEKVPKFMFPPPDVPKEIPPLEGYKKFHIRCDTCLLINERGGHHWDKSTTTFCHRCAYGKLEQESDESDFDDESASSLDLFESSPPRSPTNVPTSATRTKIRRFVAQRRHRRHARSSAAEPTKKWYYYFTILEGTEKNPLLEKRIRFFNKGYQRLGKVFRKVEDETWCKEILKPVPKKVLRQLAKGADYGSEHLTNLANLTTDDRSAAVCGDETSGGDCMGAFAAMSRAARLYMLLDCDNVGPMWVLEEHQTVTDGDPSPFPQLDPLKAQHSPGVRIEGQTSNLRRTEPNYGLIYFFSDVRAKFERAAFIDSFHSINCKGRLAAAHYTDVYDSIFLERNKIVPDTIVLERGSDNENHRDQVLHQYVSDPVESQRYYWKVNMLLHDRKSGVPGRGAESLHQPPQSAVPLSCAPPDCLAPTPSRCCAQSNHVLKFDQISCIFYPTNPRRSEIYVCDGPRYVVDDETIYSTIEGTDTREYRSKKKPPKKKNKKTSEEATASNNDNDEDESHDSEAYNKAGGELTCSRRPRSNESERAKDRKERERDRDRDRDKEKDKDKDKDATM
+>sp|Q96A58|RERG_HUMAN Ras-related and estrogen-regulated growth inhibitor OS=Homo sapiens OX=9606 GN=RERG PE=1 SV=1
+MAKSAEVKLAIFGRAGVGKSALVVRFLTKRFIWEYDPTLESTYRHQATIDDEVVSMEILDTAGQEDTIQREGHMRWGEGFVLVYDITDRGSFEEVLPLKNILDEIKKPKNVTLILVGNKADLDHSRQVSTEEGEKLATELACAFYECSACTGEGNITEIFYELCREVRRRRMVQGKTRRRSSTTHVKQAINKMLTKISS
+>DECOY_sp|Q96A58|RERG_HUMAN Ras-related and estrogen-regulated growth inhibitor OS=Homo sapiens OX=9606 GN=RERG PE=1 SV=1
+SSIKTLMKNIAQKVHTTSSRRRTKGQVMRRRRVERCLEYFIETINGEGTCASCEYFACALETALKEGEETSVQRSHDLDAKNGVLILTVNKPKKIEDLINKLPLVEEFSGRDTIDYVLVFGEGWRMHGERQITDEQGATDLIEMSVVEDDITAQHRYTSELTPDYEWIFRKTLFRVVLASKGVGARGFIALKVEASKAM
+>sp|P10745|RET3_HUMAN Retinol-binding protein 3 OS=Homo sapiens OX=9606 GN=RBP3 PE=1 SV=2
+MMREWVLLMSVLLCGLAGPTHLFQPSLVLDMAKVLLDNYCFPENLLGMQEAIQQAIKSHEILSISDPQTLASVLTAGVQSSLNDPRLVISYEPSTPEPPPQVPALTSLSEEELLAWLQRGLRHEVLEGNVGYLRVDSVPGQEVLSMMGEFLVAHVWGNLMGTSALVLDLRHCTGGQVSGIPYIISYLHPGNTILHVDTIYNRPSNTTTEIWTLPQVLGERYGADKDVVVLTSSQTRGVAEDIAHILKQMRRAIVVGERTGGGALDLRKLRIGESDFFFTVPVSRSLGPLGGGSQTWEGSGVLPCVGTPAEQALEKALAILTLRSALPGVVHCLQEVLKDYYTLVDRVPTLLQHLASMDFSTVVSEEDLVTKLNAGLQAASEDPRLLVRAIGPTETPSWPAPDAAAEDSPGVAPELPEDEAIRQALVDSVFQVSVLPGNVGYLRFDSFADASVLGVLAPYVLRQVWEPLQDTEHLIMDLRHNPGGPSSAVPLLLSYFQGPEAGPVHLFTTYDRRTNITQEHFSHMELPGPRYSTQRGVYLLTSHRTATAAEEFAFLMQSLGWATLVGEITAGNLLHTRTVPLLDTPEGSLALTVPVLTFIDNHGEAWLGGGVVPDAIVLAEEALDKAQEVLEFHQSLGALVEGTGHLLEAHYARPEVVGQTSALLRAKLAQGAYRTAVDLESLASQLTADLQEVSGDHRLLVFHSPGELVVEEAPPPPPAVPSPEELTYLIEALFKTEVLPGQLGYLRFDAMAELETVKAVGPQLVRLVWQQLVDTAALVIDLRYNPGSYSTAIPLLCSYFFEAEPRQHLYSVFDRATSKVTEVWTLPQVAGQRYGSHKDLYILMSHTSGSAAEAFAHTMQDLQRATVIGEPTAGGALSVGIYQVGSSPLYASMPTQMAMSATTGKAWDLAGVEPDITVPMSEALSIAQDIVALRAKVPTVLQTAGKLVADNYASAELGAKMATKLSGLQSRYSRVTSEVALAEILGADLQMLSGDPHLKAAHIPENAKDRIPGIVPMQIPSPEVFEELIKFSFHTNVLEDNIGYLRFDMFGDGELLTQVSRLLVEHIWKKIMHTDAMIIDMRFNIGGPTSSIPILCSYFFDEGPPVLLDKIYSRPDDSVSELWTHAQVVGERYGSKKSMVILTSSVTAGTAEEFTYIMKRLGRALVIGEVTSGGCQPPQTYHVDDTNLYLTIPTARSVGASDGSSWEGVGVTPHVVVPAEEALARAKEMLQHNQLRVKRSPGLQDHL
+>DECOY_sp|P10745|RET3_HUMAN Retinol-binding protein 3 OS=Homo sapiens OX=9606 GN=RBP3 PE=1 SV=2
+LHDQLGPSRKVRLQNHQLMEKARALAEEAPVVVHPTVGVGEWSSGDSAGVSRATPITLYLNTDDVHYTQPPQCGGSTVEGIVLARGLRKMIYTFEEATGATVSSTLIVMSKKSGYREGVVQAHTWLESVSDDPRSYIKDLLVPPGEDFFYSCLIPISSTPGGINFRMDIIMADTHMIKKWIHEVLLRSVQTLLEGDGFMDFRLYGINDELVNTHFSFKILEEFVEPSPIQMPVIGPIRDKANEPIHAAKLHPDGSLMQLDAGLIEALAVESTVRSYRSQLGSLKTAMKAGLEASAYNDAVLKGATQLVTPVKARLAVIDQAISLAESMPVTIDPEVGALDWAKGTTASMAMQTPMSAYLPSSGVQYIGVSLAGGATPEGIVTARQLDQMTHAFAEAASGSTHSMLIYLDKHSGYRQGAVQPLTWVETVKSTARDFVSYLHQRPEAEFFYSCLLPIATSYSGPNYRLDIVLAATDVLQQWVLRVLQPGVAKVTELEAMADFRLYGLQGPLVETKFLAEILYTLEEPSPVAPPPPPAEEVVLEGPSHFVLLRHDGSVEQLDATLQSALSELDVATRYAGQALKARLLASTQGVVEPRAYHAELLHGTGEVLAGLSQHFELVEQAKDLAEEALVIADPVVGGGLWAEGHNDIFTLVPVTLALSGEPTDLLPVTRTHLLNGATIEGVLTAWGLSQMLFAFEEAATATRHSTLLYVGRQTSYRPGPLEMHSFHEQTINTRRDYTTFLHVPGAEPGQFYSLLLPVASSPGGPNHRLDMILHETDQLPEWVQRLVYPALVGLVSADAFSDFRLYGVNGPLVSVQFVSDVLAQRIAEDEPLEPAVGPSDEAAADPAPWSPTETPGIARVLLRPDESAAQLGANLKTVLDEESVVTSFDMSALHQLLTPVRDVLTYYDKLVEQLCHVVGPLASRLTLIALAKELAQEAPTGVCPLVGSGEWTQSGGGLPGLSRSVPVTFFFDSEGIRLKRLDLAGGGTREGVVIARRMQKLIHAIDEAVGRTQSSTLVVVDKDAGYREGLVQPLTWIETTTNSPRNYITDVHLITNGPHLYSIIYPIGSVQGGTCHRLDLVLASTGMLNGWVHAVLFEGMMSLVEQGPVSDVRLYGVNGELVEHRLGRQLWALLEEESLSTLAPVQPPPEPTSPEYSIVLRPDNLSSQVGATLVSALTQPDSISLIEHSKIAQQIAEQMGLLNEPFCYNDLLVKAMDLVLSPQFLHTPGALGCLLVSMLLVWERMM
+>sp|Q96R05|RET7_HUMAN Retinoid-binding protein 7 OS=Homo sapiens OX=9606 GN=RBP7 PE=1 SV=1
+MPADLSGTWTLLSSDNFEGYMLALGIDFATRKIAKLLKPQKVIEQNGDSFTIHTNSSLRNYFVKFKVGEEFDEDNRGLDNRKCKSLVIWDNDRLTCIQKGEKKNRGWTHWIEGDKLHLEMFCEGQVCKQTFQRA
+>DECOY_sp|Q96R05|RET7_HUMAN Retinoid-binding protein 7 OS=Homo sapiens OX=9606 GN=RBP7 PE=1 SV=1
+ARQFTQKCVQGECFMELHLKDGEIWHTWGRNKKEGKQICTLRDNDWIVLSKCKRNDLGRNDEDFEEGVKFKVFYNRLSSNTHITFSDGNQEIVKQPKLLKAIKRTAFDIGLALMYGEFNDSSLLTWTGSLDAPM
+>sp|P10515|ODP2_HUMAN Dihydrolipoyllysine-residue acetyltransferase component of pyruvate dehydrogenase complex, mitochondrial OS=Homo sapiens OX=9606 GN=DLAT PE=1 SV=3
+MWRVCARRAQNVAPWAGLEARWTALQEVPGTPRVTSRSGPAPARRNSVTTGYGGVRALCGWTPSSGATPRNRLLLQLLGSPGRRYYSLPPHQKVPLPSLSPTMQAGTIARWEKKEGDKINEGDLIAEVETDKATVGFESLEECYMAKILVAEGTRDVPIGAIICITVGKPEDIEAFKNYTLDSSAAPTPQAAPAPTPAATASPPTPSAQAPGSSYPPHMQVLLPALSPTMTMGTVQRWEKKVGEKLSEGDLLAEIETDKATIGFEVQEEGYLAKILVPEGTRDVPLGTPLCIIVEKEADISAFADYRPTEVTDLKPQVPPPTPPPVAAVPPTPQPLAPTPSAPCPATPAGPKGRVFVSPLAKKLAVEKGIDLTQVKGTGPDGRITKKDIDSFVPSKVAPAPAAVVPPTGPGMAPVPTGVFTDIPISNIRRVIAQRLMQSKQTIPHYYLSIDVNMGEVLLVRKELNKILEGRSKISVNDFIIKASALACLKVPEANSSWMDTVIRQNHVVDVSVAVSTPAGLITPIVFNAHIKGVETIANDVVSLATKAREGKLQPHEFQGGTFTISNLGMFGIKNFSAIINPPQACILAIGASEDKLVPADNEKGFDVASMMSVTLSCDHRVVDGAVGAQWLAEFRKYLEKPITMLL
+>DECOY_sp|P10515|ODP2_HUMAN Dihydrolipoyllysine-residue acetyltransferase component of pyruvate dehydrogenase complex, mitochondrial OS=Homo sapiens OX=9606 GN=DLAT PE=1 SV=3
+LLMTIPKELYKRFEALWQAGVAGDVVRHDCSLTVSMMSAVDFGKENDAPVLKDESAGIALICAQPPNIIASFNKIGFMGLNSITFTGGQFEHPQLKGERAKTALSVVDNAITEVGKIHANFVIPTILGAPTSVAVSVDVVHNQRIVTDMWSSNAEPVKLCALASAKIIFDNVSIKSRGELIKNLEKRVLLVEGMNVDISLYYHPITQKSQMLRQAIVRRINSIPIDTFVGTPVPAMGPGTPPVVAAPAPAVKSPVFSDIDKKTIRGDPGTGKVQTLDIGKEVALKKALPSVFVRGKPGAPTAPCPASPTPALPQPTPPVAAVPPPTPPPVQPKLDTVETPRYDAFASIDAEKEVIICLPTGLPVDRTGEPVLIKALYGEEQVEFGITAKDTEIEALLDGESLKEGVKKEWRQVTGMTMTPSLAPLLVQMHPPYSSGPAQASPTPPSATAAPTPAPAAQPTPAASSDLTYNKFAEIDEPKGVTICIIAGIPVDRTGEAVLIKAMYCEELSEFGVTAKDTEVEAILDGENIKDGEKKEWRAITGAQMTPSLSPLPVKQHPPLSYYRRGPSGLLQLLLRNRPTAGSSPTWGCLARVGGYGTTVSNRRAPAPGSRSTVRPTGPVEQLATWRAELGAWPAVNQARRACVRWM
+>sp|P29803|ODPAT_HUMAN Pyruvate dehydrogenase E1 component subunit alpha, testis-specific form, mitochondrial OS=Homo sapiens OX=9606 GN=PDHA2 PE=1 SV=1
+MLAAFISRVLRRVAQKSARRVLVASRNSSNDATFEIKKCDLYLLEEGPPVTTVLTRAEGLKYYRMMLTVRRMELKADQLYKQKFIRGFCHLCDGQEACCVGLEAGINPSDHVITSYRAHGVCYTRGLSVRSILAELTGRRGGCAKGKGGSMHMYTKNFYGGNGIVGAQGPLGAGIALACKYKGNDEICLTLYGDGAANQGQIAEAFNMAALWKLPCVFICENNLYGMGTSTERAAASPDYYKRGNFIPGLKVDGMDVLCVREATKFAANYCRSGKGPILMELQTYRYHGHSMSDPGVSYRTREEIQEVRSKRDPIIILQDRMVNSKLATVEELKEIGAEVRKEIDDAAQFATTDPEPHLEELGHHIYSSDSSFEVRGANPWIKFKSVS
+>DECOY_sp|P29803|ODPAT_HUMAN Pyruvate dehydrogenase E1 component subunit alpha, testis-specific form, mitochondrial OS=Homo sapiens OX=9606 GN=PDHA2 PE=1 SV=1
+SVSKFKIWPNAGRVEFSSDSSYIHHGLEELHPEPDTTAFQAADDIEKRVEAGIEKLEEVTALKSNVMRDQLIIIPDRKSRVEQIEERTRYSVGPDSMSHGHYRYTQLEMLIPGKGSRCYNAAFKTAERVCLVDMGDVKLGPIFNGRKYYDPSAAARETSTGMGYLNNECIFVCPLKWLAAMNFAEAIQGQNAAGDGYLTLCIEDNGKYKCALAIGAGLPGQAGVIGNGGYFNKTYMHMSGGKGKACGGRRGTLEALISRVSLGRTYCVGHARYSTIVHDSPNIGAELGVCCAEQGDCLHCFGRIFKQKYLQDAKLEMRRVTLMMRYYKLGEARTLVTTVPPGEELLYLDCKKIEFTADNSSNRSAVLVRRASKQAVRRLVRSIFAALM
+>sp|Q6N063|OGFD2_HUMAN 2-oxoglutarate and iron-dependent oxygenase domain-containing protein 2 OS=Homo sapiens OX=9606 GN=OGFOD2 PE=2 SV=2
+MATVGAPRHFCRCACFCTDNLYVARYGLHVRFRGEQQLRRDYGPILRSRGCVSAKDFQQLLAELEQEVERRQRLGQESAARKALIASSYHPARPEVYDSLQDAALAPEFLAVTEYSVSPDADLKGLLQRLETVSEEKRIYRVPVFTAPFCQALLEELEHFEQSDMPKGRPNTMNNYGVLLHELGLDEPLMTPLRERFLQPLMALLYPDCGGGRLDSHRAFVVKYAPGQDLELGCHYDNAELTLNVALGKVFTGGALYFGGLFQAPTALTEPLEVEHVVGQGVLHRGGQLHGARPLGTGERWNLVVWLRASAVRNSLCPMCCREPDLVDDEGFGDGFTREEPATVDVCALT
+>DECOY_sp|Q6N063|OGFD2_HUMAN 2-oxoglutarate and iron-dependent oxygenase domain-containing protein 2 OS=Homo sapiens OX=9606 GN=OGFOD2 PE=2 SV=2
+TLACVDVTAPEERTFGDGFGEDDVLDPERCCMPCLSNRVASARLWVVLNWREGTGLPRAGHLQGGRHLVGQGVVHEVELPETLATPAQFLGGFYLAGGTFVKGLAVNLTLEANDYHCGLELDQGPAYKVVFARHSDLRGGGCDPYLLAMLPQLFRERLPTMLPEDLGLEHLLVGYNNMTNPRGKPMDSQEFHELEELLAQCFPATFVPVRYIRKEESVTELRQLLGKLDADPSVSYETVALFEPALAADQLSDYVEPRAPHYSSAILAKRAASEQGLRQRREVEQELEALLQQFDKASVCGRSRLIPGYDRRLQQEGRFRVHLGYRAVYLNDTCFCACRCFHRPAGVTAM
+>sp|Q8WWZ8|OIT3_HUMAN Oncoprotein-induced transcript 3 protein OS=Homo sapiens OX=9606 GN=OIT3 PE=1 SV=2
+MPPFLLLTCLFITGTSVSPVALDPCSAYISLNEPWRNTDHQLDESQGPPLCDNHVNGEWYHFTGMAGDAMPTFCIPENHCGTHAPVWLNGSHPLEGDGIVQRQACASFNGNCCLWNTTVEVKACPGGYYVYRLTKPSVCFHVYCGHFYDICDEDCHGSCSDTSECTCAPGTVLGPDRQTCFDENECEQNNGGCSEICVNLKNSYRCECGVGRVLRSDGKTCEDVEGCHNNNGGCSHSCLGSEKGYQCECPRGLVLSEDNHTCQVPVLCKSNAIEVNIPRELVGGLELFLTNTSCRGVSNGTHVNILFSLKTCGTVVDVVNDKIVASNLVTGLPKQTPGSSGDFIIRTSKLLIPVTCEFPRLYTISEGYVPNLRNSPLEIMSRNHGIFPFTLEIFKDNEFEEPYREALPTLKLRDSLYFGIEPVVHVSGLESLVESCFATPTSKIDEVLKYYLIRDGCVSDDSVKQYTSRDHLAKHFQVPVFKFVGKDHKEVFLHCRVLVCGVLDERSRCAQGCHRRMRRGAGGEDSAGLQGQTLTGGPIRIDWED
+>DECOY_sp|Q8WWZ8|OIT3_HUMAN Oncoprotein-induced transcript 3 protein OS=Homo sapiens OX=9606 GN=OIT3 PE=1 SV=2
+DEWDIRIPGGTLTQGQLGASDEGGAGRRMRRHCGQACRSREDLVGCVLVRCHLFVEKHDKGVFKFVPVQFHKALHDRSTYQKVSDDSVCGDRILYYKLVEDIKSTPTAFCSEVLSELGSVHVVPEIGFYLSDRLKLTPLAERYPEEFENDKFIELTFPFIGHNRSMIELPSNRLNPVYGESITYLRPFECTVPILLKSTRIIFDGSSGPTQKPLGTVLNSAVIKDNVVDVVTGCTKLSFLINVHTGNSVGRCSTNTLFLELGGVLERPINVEIANSKCLVPVQCTHNDESLVLGRPCECQYGKESGLCSHSCGGNNNHCGEVDECTKGDSRLVRGVGCECRYSNKLNVCIESCGGNNQECENEDFCTQRDPGLVTGPACTCESTDSCSGHCDEDCIDYFHGCYVHFCVSPKTLRYVYYGGPCAKVEVTTNWLCCNGNFSACAQRQVIGDGELPHSGNLWVPAHTGCHNEPICFTPMADGAMGTFHYWEGNVHNDCLPPGQSEDLQHDTNRWPENLSIYASCPDLAVPSVSTGTIFLCTLLLFPPM
+>sp|Q9NTK5|OLA1_HUMAN Obg-like ATPase 1 OS=Homo sapiens OX=9606 GN=OLA1 PE=1 SV=2
+MPPKKGGDGIKPPPIIGRFGTSLKIGIVGLPNVGKSTFFNVLTNSQASAENFPFCTIDPNESRVPVPDERFDFLCQYHKPASKIPAFLNVVDIAGLVKGAHNGQGLGNAFLSHISACDGIFHLTRAFEDDDITHVEGSVDPIRDIEIIHEELQLKDEEMIGPIIDKLEKVAVRGGDKKLKPEYDIMCKVKSWVIDQKKPVRFYHDWNDKEIEVLNKHLFLTSKPMVYLVNLSEKDYIRKKNKWLIKIKEWVDKYDPGALVIPFSGALELKLQELSAEERQKYLEANMTQSALPKIIKAGFAALQLEYFFTAGPDEVRAWTIRKGTKAPQAAGKIHTDFEKGFIMAEVMKYEDFKEEGSENAVKAAGKYRQQGRNYIVEDGDIIFFKFNTPQQPKKK
+>DECOY_sp|Q9NTK5|OLA1_HUMAN Obg-like ATPase 1 OS=Homo sapiens OX=9606 GN=OLA1 PE=1 SV=2
+KKKPQQPTNFKFFIIDGDEVIYNRGQQRYKGAAKVANESGEEKFDEYKMVEAMIFGKEFDTHIKGAAQPAKTGKRITWARVEDPGATFFYELQLAAFGAKIIKPLASQTMNAELYKQREEASLEQLKLELAGSFPIVLAGPDYKDVWEKIKILWKNKKRIYDKESLNVLYVMPKSTLFLHKNLVEIEKDNWDHYFRVPKKQDIVWSKVKCMIDYEPKLKKDGGRVAVKELKDIIPGIMEEDKLQLEEHIIEIDRIPDVSGEVHTIDDDEFARTLHFIGDCASIHSLFANGLGQGNHAGKVLGAIDVVNLFAPIKSAPKHYQCLFDFREDPVPVRSENPDITCFPFNEASAQSNTLVNFFTSKGVNPLGVIGIKLSTGFRGIIPPPKIGDGGKKPPM
+>sp|Q99983|OMD_HUMAN Osteomodulin OS=Homo sapiens OX=9606 GN=OMD PE=1 SV=1
+MGFLSPIYVIFFFFGVKVHCQYETYQWDEDYDQEPDDDYQTGFPFRQNVDYGVPFHQYTLGCVSECFCPTNFPSSMYCDNRKLKTIPNIPMHIQQLYLQFNEIEAVTANSFINATHLKEINLSHNKIKSQKIDYGVFAKLPNLLQLHLEHNNLEEFPFPLPKSLERLLLGYNEISKLQTNAMDGLVNLTMLDLCYNYLHDSLLKDKIFAKMEKLMQLNLCSNRLESMPPGLPSSLMYLSLENNSISSIPEKYFDKLPKLHTLRMSHNKLQDIPYNIFNLPNIVELSVGHNKLKQAFYIPRNLEHLYLQNNEIEKMNLTVMCPSIDPLHYHHLTYIRVDQNKLKEPISSYIFFCFPHIHTIYYGEQRSTNGQTIQLKTQVFRRFPDDDDESEDHDDPDNAHESPEQEGAEGHFDLHYYENQE
+>DECOY_sp|Q99983|OMD_HUMAN Osteomodulin OS=Homo sapiens OX=9606 GN=OMD PE=1 SV=1
+EQNEYYHLDFHGEAGEQEPSEHANDPDDHDESEDDDDPFRRFVQTKLQITQGNTSRQEGYYITHIHPFCFFIYSSIPEKLKNQDVRIYTLHHYHLPDISPCMVTLNMKEIENNQLYLHELNRPIYFAQKLKNHGVSLEVINPLNFINYPIDQLKNHSMRLTHLKPLKDFYKEPISSISNNELSLYMLSSPLGPPMSELRNSCLNLQMLKEMKAFIKDKLLSDHLYNYCLDLMTLNVLGDMANTQLKSIENYGLLLRELSKPLPFPFEELNNHELHLQLLNPLKAFVGYDIKQSKIKNHSLNIEKLHTANIFSNATVAEIENFQLYLQQIHMPINPITKLKRNDCYMSSPFNTPCFCESVCGLTYQHFPVGYDVNQRFPFGTQYDDDPEQDYDEDWQYTEYQCHVKVGFFFFIVYIPSLFGM
+>sp|P0CE72|ONCO_HUMAN Oncomodulin-1 OS=Homo sapiens OX=9606 GN=OCM PE=1 SV=1
+MSITDVLSADDIAAALQECRDPDTFEPQKFFQTSGLSKMSANQVKDVFRFIDNDQSGYLDEEELKFFLQKFESGARELTESETKSLMAAADNDGDGKIGAEEFQEMVHS
+>DECOY_sp|P0CE72|ONCO_HUMAN Oncomodulin-1 OS=Homo sapiens OX=9606 GN=OCM PE=1 SV=1
+SHVMEQFEEAGIKGDGDNDAAAMLSKTESETLERAGSEFKQLFFKLEEEDLYGSQDNDIFRFVDKVQNASMKSLGSTQFFKQPEFTDPDRCEQLAAAIDDASLVDTISM
+>sp|O60422|ONEC3_HUMAN One cut domain family member 3 OS=Homo sapiens OX=9606 GN=ONECUT3 PE=3 SV=2
+MELSLESLGGLHSVAHAQAGELLSPGHARSAAAQHRGLVAPGRPGLVAGMASLLDGGGGGGGGGAGGAGGAGSAGGGADFRGELAGPLHPAMGMACEAPGLGGTYTTLTPLQHLPPLAAVADKFHQHAAAAAVAGAHGGHPHAHPHPAAAPPPPPPPQRLAASVSGSFTLMRDERAALASVGHLYGPYGKELPAMGSPLSPLPNALPPALHGAPQPPPPPPPPPLAAYGPPGHLAGDKLLPPAAFEPHAALLGRAEDALARGLPGGGGGTGSGGAGSGSAAGLLAPLGGLAAAGAHGPHGGGGGPGGSGGGPSAGAAAEEINTKEVAQRITAELKRYSIPQAIFAQRILCRSQGTLSDLLRNPKPWSKLKSGRETFRRMWKWLQEPEFQRMSALRLAACKRKEQEQQKERALQPKKQRLVFTDLQRRTLIAIFKENKRPSKEMQVTISQQLGLELNTVSNFFMNARRRCMNRWAEEPSTAPGGPAGATATFSKA
+>DECOY_sp|O60422|ONEC3_HUMAN One cut domain family member 3 OS=Homo sapiens OX=9606 GN=ONECUT3 PE=3 SV=2
+AKSFTATAGAPGGPATSPEEAWRNMCRRRANMFFNSVTNLELGLQQSITVQMEKSPRKNEKFIAILTRRQLDTFVLRQKKPQLAREKQQEQEKRKCAALRLASMRQFEPEQLWKWMRRFTERGSKLKSWPKPNRLLDSLTGQSRCLIRQAFIAQPISYRKLEATIRQAVEKTNIEEAAAGASPGGGSGGPGGGGGHPGHAGAAALGGLPALLGAASGSGAGGSGTGGGGGPLGRALADEARGLLAAHPEFAAPPLLKDGALHGPPGYAALPPPPPPPPPQPAGHLAPPLANPLPSLPSGMAPLEKGYPGYLHGVSALAAREDRMLTFSGSVSAALRQPPPPPPPAAAPHPHAHPHGGHAGAVAAAAAHQHFKDAVAALPPLHQLPTLTTYTGGLGPAECAMGMAPHLPGALEGRFDAGGGASGAGGAGGAGGGGGGGGGDLLSAMGAVLGPRGPAVLGRHQAAASRAHGPSLLEGAQAHAVSHLGGLSELSLEM
+>sp|Q96PE5|OPALI_HUMAN Opalin OS=Homo sapiens OX=9606 GN=OPALIN PE=2 SV=1
+MSFSLNFTLPANTTSSPVTGGKETDCGPSLGLAAGIPLLVATALLVALLFTLIHRRRSSIEAMEESDRPCEISEIDDNPKISENPRRSPTHEKNTMGAQEAHIYVKTVAGSEEPVHDRYRPTIEMERRRGLWWLVPRLSLE
+>DECOY_sp|Q96PE5|OPALI_HUMAN Opalin OS=Homo sapiens OX=9606 GN=OPALIN PE=2 SV=1
+ELSLRPVLWWLGRRREMEITPRYRDHVPEESGAVTKVYIHAEQAGMTNKEHTPSRRPNESIKPNDDIESIECPRDSEEMAEISSRRRHILTFLLAVLLATAVLLPIGAALGLSPGCDTEKGGTVPSSTTNAPLTFNLSFSM
+>sp|Q6U736|OPN5_HUMAN Opsin-5 OS=Homo sapiens OX=9606 GN=OPN5 PE=1 SV=3
+MALNHTALPQDERLPHYLRDGDPFASKLSWEADLVAGFYLTIIGILSTFGNGYVLYMSSRRKKKLRPAEIMTINLAVCDLGISVVGKPFTIISCFCHRWVFGWIGCRWYGWAGFFFGCGSLITMTAVSLDRYLKICYLSYGVWLKRKHAYICLAAIWAYASFWTTMPLVGLGDYVPEPFGTSCTLDWWLAQASVGGQVFILNILFFCLLLPTAVIVFSYVKIIAKVKSSSKEVAHFDSRIHSSHVLEMKLTKVAMLICAGFLIAWIPYAVVSVWSAFGRPDSIPIQLSVVPTLLAKSAAMYNPIIYQVIDYKFACCQTGGLKATKKKSLEGFRLHTVTTVRKSSAVLEIHEEWE
+>DECOY_sp|Q6U736|OPN5_HUMAN Opsin-5 OS=Homo sapiens OX=9606 GN=OPN5 PE=1 SV=3
+EWEEHIELVASSKRVTTVTHLRFGELSKKKTAKLGGTQCCAFKYDIVQYIIPNYMAASKALLTPVVSLQIPISDPRGFASWVSVVAYPIWAILFGACILMAVKTLKMELVHSSHIRSDFHAVEKSSSKVKAIIKVYSFVIVATPLLLCFFLINLIFVQGGVSAQALWWDLTCSTGFPEPVYDGLGVLPMTTWFSAYAWIAALCIYAHKRKLWVGYSLYCIKLYRDLSVATMTILSGCGFFFGAWGYWRCGIWGFVWRHCFCSIITFPKGVVSIGLDCVALNITMIEAPRLKKKRRSSMYLVYGNGFTSLIGIITLYFGAVLDAEWSLKSAFPDGDRLYHPLREDQPLATHNLAM
+>sp|Q96CV9|OPTN_HUMAN Optineurin OS=Homo sapiens OX=9606 GN=OPTN PE=1 SV=3
+MSHQPLSCLTEKEDSPSESTGNGPPHLAHPNLDTFTPEELLQQMKELLTENHQLKEAMKLNNQAMKGRFEELSAWTEKQKEERQFFEIQSKEAKERLMALSHENEKLKEELGKLKGKSERSSEDPTDDSRLPRAEAEQEKDQLRTQVVRLQAEKADLLGIVSELQLKLNSSGSSEDSFVEIRMAEGEAEGSVKEIKHSPGPTRTVSTGTALSKYRSRSADGAKNYFEHEELTVSQLLLCLREGNQKVERLEVALKEAKERVSDFEKKTSNRSEIETQTEGSTEKENDEEKGPETVGSEVEALNLQVTSLFKELQEAHTKLSEAELMKKRLQEKCQALERKNSAIPSELNEKQELVYTNKKLELQVESMLSEIKMEQAKTEDEKSKLTVLQMTHNKLLQEHNNALKTIEELTRKESEKVDRAVLKELSEKLELAEKALASKQLQMDEMKQTIAKQEEDLETMTILRAQMEVYCSDFHAERAAREKIHEEKEQLALQLAVLLKENDAFEDGGRQSLMEMQSRHGARTSDSDQQAYLVQRGAEDRDWRQQRNIPIHSCPKCGEVLPDIDTLQIHVMDCII
+>DECOY_sp|Q96CV9|OPTN_HUMAN Optineurin OS=Homo sapiens OX=9606 GN=OPTN PE=1 SV=3
+IICDMVHIQLTDIDPLVEGCKPCSHIPINRQQRWDRDEAGRQVLYAQQDSDSTRAGHRSQMEMLSQRGGDEFADNEKLLVALQLALQEKEEHIKERAAREAHFDSCYVEMQARLITMTELDEEQKAITQKMEDMQLQKSALAKEALELKESLEKLVARDVKESEKRTLEEITKLANNHEQLLKNHTMQLVTLKSKEDETKAQEMKIESLMSEVQLELKKNTYVLEQKENLESPIASNKRELAQCKEQLRKKMLEAESLKTHAEQLEKFLSTVQLNLAEVESGVTEPGKEEDNEKETSGETQTEIESRNSTKKEFDSVREKAEKLAVELREVKQNGERLCLLLQSVTLEEHEFYNKAGDASRSRYKSLATGTSVTRTPGPSHKIEKVSGEAEGEAMRIEVFSDESSGSSNLKLQLESVIGLLDAKEAQLRVVQTRLQDKEQEAEARPLRSDDTPDESSRESKGKLKGLEEKLKENEHSLAMLREKAEKSQIEFFQREEKQKETWASLEEFRGKMAQNNLKMAEKLQHNETLLEKMQQLLEEPTFTDLNPHALHPPGNGTSESPSDEKETLCSLPQHSM
+>sp|Q9P1Q5|OR1A1_HUMAN Olfactory receptor 1A1 OS=Homo sapiens OX=9606 GN=OR1A1 PE=2 SV=2
+MRENNQSSTLEFILLGVTGQQEQEDFFYILFLFIYPITLIGNLLIVLAICSDVRLHNPMYFLLANLSLVDIFFSSVTIPKMLANHLLGSKSISFGGCLTQMYFMIALGNTDSYILAAMAYDRAVAISRPLHYTTIMSPRSCIWLIAGSWVIGNANALPHTLLTASLSFCGNQEVANFYCDITPLLKLSCSDIHFHVKMMYLGVGIFSVPLLCIIVSYIRVFSTVFQVPSTKGVLKAFSTCGSHLTVVSLYYGTVMGTYFRPLTNYSLKDAVITVMYTAVTPMLNPFIYSLRNRDMKAALRKLFNKRISS
+>DECOY_sp|Q9P1Q5|OR1A1_HUMAN Olfactory receptor 1A1 OS=Homo sapiens OX=9606 GN=OR1A1 PE=2 SV=2
+SSIRKNFLKRLAAKMDRNRLSYIFPNLMPTVATYMVTIVADKLSYNTLPRFYTGMVTGYYLSVVTLHSGCTSFAKLVGKTSPVQFVTSFVRIYSVIICLLPVSFIGVGLYMMKVHFHIDSCSLKLLPTIDCYFNAVEQNGCFSLSATLLTHPLANANGIVWSGAILWICSRPSMITTYHLPRSIAVARDYAMAALIYSDTNGLAIMFYMQTLCGGFSISKSGLLHNALMKPITVSSFFIDVLSLNALLFYMPNHLRVDSCIALVILLNGILTIPYIFLFLIYFFDEQEQQGTVGLLIFELTSSQNNERM
+>sp|O43749|OR1F1_HUMAN Olfactory receptor 1F1 OS=Homo sapiens OX=9606 GN=OR1F1 PE=2 SV=1
+MSGTNQSSVSEFLLLGLSRQPQQQHLLFVFFLSMYLATVLGNLLIILSVSIDSCLHTPMYFFLSNLSFVDICFSFTTVPKMLANHILETQTISFCGCLTQMYFVFMFVDMDNFLLAVMAYDHFVAVCHPLHYTAKMTHQLCALLVAGLWVVANLNVLLHTLLMAPLSFCADNAITHFFCDVTPLLKLSCSDTHLNEVIILSEGALVMITPFLCILASYMHITCTVLKVPSTKGRWKAFSTCGSHLAVVLLFYSTIIAVYFNPLSSHSAEKDTMATVLYTVVTPMLNPFIYSLRNRYLKGALKKVVGRVVFSV
+>DECOY_sp|O43749|OR1F1_HUMAN Olfactory receptor 1F1 OS=Homo sapiens OX=9606 GN=OR1F1 PE=2 SV=1
+VSFVVRGVVKKLAGKLYRNRLSYIFPNLMPTVVTYLVTAMTDKEASHSSLPNFYVAIITSYFLLVVALHSGCTSFAKWRGKTSPVKLVTCTIHMYSALICLFPTIMVLAGESLIIVENLHTDSCSLKLLPTVDCFFHTIANDACFSLPAMLLTHLLVNLNAVVWLGAVLLACLQHTMKATYHLPHCVAVFHDYAMVALLFNDMDVFMFVFYMQTLCGCFSITQTELIHNALMKPVTTFSFCIDVFSLNSLFFYMPTHLCSDISVSLIILLNGLVTALYMSLFFVFLLHQQQPQRSLGLLLFESVSSQNTGSM
+>sp|Q8NGR3|OR1K1_HUMAN Olfactory receptor 1K1 OS=Homo sapiens OX=9606 GN=OR1K1 PE=2 SV=1
+MEAANESSEGISFVLLGLTTSPGQQRPLFVLFLLLYVASLLGNGLIVAAIQASPALHAPMYFLLAHLSFADLCFASVTVPKMLANLLAHDHSISLAGCLTQMYFFFALGVTDSCLLAAMAYDCYVAIRHPLPYATRMSRAMCAALVGMAWLVSHVHSLLYILLMARLSFCASHQVPHFFCDHQPLLRLSCSDTHHIQLLIFTEGAAVVVTPFLLILASYGAIAAAVLQLPSASGRLRAVSTCGSHLAVVSLFYGTVIAVYFQATSRREAEWGRVATVMYTVVTPMLNPIIYSLWNRDVQGALRALLIGRRISASDS
+>DECOY_sp|Q8NGR3|OR1K1_HUMAN Olfactory receptor 1K1 OS=Homo sapiens OX=9606 GN=OR1K1 PE=2 SV=1
+SDSASIRRGILLARLAGQVDRNWLSYIIPNLMPTVVTYMVTAVRGWEAERRSTAQFYVAIVTGYFLSVVALHSGCTSVARLRGSASPLQLVAAAIAGYSALILLFPTVVVAAGETFILLQIHHTDSCSLRLLPQHDCFFHPVQHSACFSLRAMLLIYLLSHVHSVLWAMGVLAACMARSMRTAYPLPHRIAVYCDYAMAALLCSDTVGLAFFFYMQTLCGALSISHDHALLNALMKPVTVSAFCLDAFSLHALLFYMPAHLAPSAQIAAVILGNGLLSAVYLLLFLVFLPRQQGPSTTLGLLVFSIGESSENAAEM
+>sp|Q8NGR2|OR1L6_HUMAN Olfactory receptor 1L6 OS=Homo sapiens OX=9606 GN=OR1L6 PE=3 SV=2
+MSYFYRLKLMKEAVLVKLPFTSLPLLLQTLSRKSRDMEIKNYSSSTSGFILLGLSSNPQLQKPLFAIFLIMYLLAAVGNVLIIPAIYSDPRLHTPMYFFLSNLSFMDICFTTVIVPKMLVNFLSETKVISYVGCLAQMYFFMAFGNTDSYLLASMAIDRLVAICNPLHYDVVMKPRHCLLMLLGSCSISHLHSLFRVLLMSRLSFCASHIIKHFFCDTQPVLKLSCSDTSSSQMVVMTETLAVIVTPFLCIIFSYLRIMVTVLRIPSAAGKWKAFSTCGSHLTAVALFYGSIIYVYFRPLSMYSVVRDRVATVMYTVVTPMLNPFIYSLRNKDMKRGLKKLQDRIYR
+>DECOY_sp|Q8NGR2|OR1L6_HUMAN Olfactory receptor 1L6 OS=Homo sapiens OX=9606 GN=OR1L6 PE=3 SV=2
+RYIRDQLKKLGRKMDKNRLSYIFPNLMPTVVTYMVTAVRDRVVSYMSLPRFYVYIISGYFLAVATLHSGCTSFAKWKGAASPIRLVTVMIRLYSFIICLFPTVIVALTETMVVMQSSSTDSCSLKLVPQTDCFFHKIIHSACFSLRSMLLVRFLSHLHSISCSGLLMLLCHRPKMVVDYHLPNCIAVLRDIAMSALLYSDTNGFAMFFYMQALCGVYSIVKTESLFNVLMKPVIVTTFCIDMFSLNSLFFYMPTHLRPDSYIAPIILVNGVAALLYMILFIAFLPKQLQPNSSLGLLIFGSTSSSYNKIEMDRSKRSLTQLLLPLSTFPLKVLVAEKMLKLRYFYSM
+>sp|Q8NGS0|OR1N1_HUMAN Olfactory receptor 1N1 OS=Homo sapiens OX=9606 GN=OR1N1 PE=3 SV=1
+MENQSSISEFFLRGISAPPEQQQSLFGIFLCMYLVTLTGNLLIILAIGSDLHLHTPMYFFLANLSFVDMGLTSSTVTKMLVNIQTRHHTISYTGCLTQMYFFLMFGDLDSFFLAAMAYDRYVAICHPLCYSTVMRPQVCALMLALCWVLTNIVALTHTFLMARLSFCVTGEIAHFFCDITPVLKLSCSDTHINEMMVFVLGGTVLIVPFLCIVTSYIHIVPAILRVRTRGGVGKAFSTCSSHLCVVCVFYGTLFSAYLCPPSIASEEKDIAAAAMYTIVTPMLNPFIYSLRNKDMKGALKRLFSHRSIVSS
+>DECOY_sp|Q8NGS0|OR1N1_HUMAN Olfactory receptor 1N1 OS=Homo sapiens OX=9606 GN=OR1N1 PE=3 SV=1
+SSVISRHSFLRKLAGKMDKNRLSYIFPNLMPTVITYMAAAAIDKEESAISPPCLYASFLTGYFVCVVCLHSSCTSFAKGVGGRTRVRLIAPVIHIYSTVICLFPVILVTGGLVFVMMENIHTDSCSLKLVPTIDCFFHAIEGTVCFSLRAMLFTHTLAVINTLVWCLALMLACVQPRMVTSYCLPHCIAVYRDYAMAALFFSDLDGFMLFFYMQTLCGTYSITHHRTQINVLMKTVTSSTLGMDVFSLNALFFYMPTHLHLDSGIALIILLNGTLTVLYMCLFIGFLSQQQEPPASIGRLFFESISSQNEM
+>sp|Q8NGQ3|OR1S2_HUMAN Olfactory receptor 1S2 OS=Homo sapiens OX=9606 GN=OR1S2 PE=3 SV=2
+MKTLCSFLQISRNMHQENQTTITEFILLGLSNQAEHQNLLFVLFLSMYVVTVVGNGLIIVAISLDIYLHTPMYLFLAYLSFADISSISNSVPKMLVNIQTNSQSISYESCITQMYFSIVFVVTDNLLLGTMAFDHFVAICHPLNYTTFMRARFGTLLTVISWFLSNIIALTHTLLLIQLLFCDHNTLPHFFCDLAPLLKLSCSDTMINELVLFIVGLSVIIFPFVLIFFSYVCIIRAVLGVSSTQGKWKAFSTCGSHLTIALLFYGTTVGVYFFPSSTHPEDTDKIGAVLFTVVTPMMNPFIYSLRNKDMKGALRKLINRKISSL
+>DECOY_sp|Q8NGQ3|OR1S2_HUMAN Olfactory receptor 1S2 OS=Homo sapiens OX=9606 GN=OR1S2 PE=3 SV=2
+LSSIKRNILKRLAGKMDKNRLSYIFPNMMPTVVTFLVAGIKDTDEPHTSSPFFYVGVTTGYFLLAITLHSGCTSFAKWKGQTSSVGLVARIICVYSFFILVFPFIIVSLGVIFLVLENIMTDSCSLKLLPALDCFFHPLTNHDCFLLQILLLTHTLAIINSLFWSIVTLLTGFRARMFTTYNLPHCIAVFHDFAMTGLLLNDTVVFVISFYMQTICSEYSISQSNTQINVLMKPVSNSISSIDAFSLYALFLYMPTHLYIDLSIAVIILGNGVVTVVYMSLFLVFLLNQHEAQNSLGLLIFETITTQNEQHMNRSIQLFSCLTKM
+>sp|Q6IF42|OR2A2_HUMAN Olfactory receptor 2A2 OS=Homo sapiens OX=9606 GN=OR2A2 PE=2 SV=2
+MEGNQTWITDITLLGFQVGPALAILLCGLFSVFYTLTLLGNGVIFGIICLDSKLHTPMYFFLSHLAIIDMSYASNNVPKMLANLMNQKRTISFVPCIMQTFLYLAFAVTECLILVVMSYDRYVAICHPFQYTVIMSWRVCTILVLTSWSCGFALSLVHEILLLRLPFCGPRDVNHLFCEILSVLKLACADTWVNQVVIFATCVFVLVGPLSLILVSYMHILGAILKIQTKEGRIKAFSTCSSHLCVVGLFFGIAMVVYMVPDSNQREEQEKMLSLFHSVFNPMLNPLIYSLRNAQLKGALHRALQRKRSMRTVYGLCL
+>DECOY_sp|Q6IF42|OR2A2_HUMAN Olfactory receptor 2A2 OS=Homo sapiens OX=9606 GN=OR2A2 PE=2 SV=2
+LCLGYVTRMSRKRQLARHLAGKLQANRLSYILPNLMPNFVSHFLSLMKEQEERQNSDPVMYVVMAIGFFLGVVCLHSSCTSFAKIRGEKTQIKLIAGLIHMYSVLILSLPGVLVFVCTAFIVVQNVWTDACALKLVSLIECFLHNVDRPGCFPLRLLLIEHVLSLAFGCSWSTLVLITCVRWSMIVTYQFPHCIAVYRDYSMVVLILCETVAFALYLFTQMICPVFSITRKQNMLNALMKPVNNSAYSMDIIALHSLFFYMPTHLKSDLCIIGFIVGNGLLTLTYFVSFLGCLLIALAPGVQFGLLTIDTIWTQNGEM
+>sp|P58173|OR2B6_HUMAN Olfactory receptor 2B6 OS=Homo sapiens OX=9606 GN=OR2B6 PE=2 SV=1
+MNWVNDSIIQEFILLGFSDRPWLEFPLLVVFLISYTVTIFGNLTIILVSRLDTKLHTPMYFFLTNLSLLDLCYTTCTVPQMLVNLCSIRKVISYRGCVAQLFIFLALGATEYLLLAVMSFDRFVAICRPLHYSVIMHQRLCLQLAAASWVTGFSNSVWLSTLTLQLPLCDPYVIDHFLCEVPALLKLSCVETTANEAELFLVSELFHLIPLTLILISYAFIVRAVLRIQSAEGRQKAFGTCGSHLIVVSLFYSTAVSVYLQPPSPSSKDQGKMVSLFYGIIAPMLNPLIYTLRNKEVKEGFKRLVARVFLIKK
+>DECOY_sp|P58173|OR2B6_HUMAN Olfactory receptor 2B6 OS=Homo sapiens OX=9606 GN=OR2B6 PE=2 SV=1
+KKILFVRAVLRKFGEKVEKNRLTYILPNLMPAIIGYFLSVMKGQDKSSPSPPQLYVSVATSYFLSVVILHSGCTGFAKQRGEASQIRLVARVIFAYSILILTLPILHFLESVLFLEAENATTEVCSLKLLAPVECLFHDIVYPDCLPLQLTLTSLWVSNSFGTVWSAAALQLCLRQHMIVSYHLPRCIAVFRDFSMVALLLYETAGLALFIFLQAVCGRYSIVKRISCLNVLMQPVTCTTYCLDLLSLNTLFFYMPTHLKTDLRSVLIITLNGFITVTYSILFVVLLPFELWPRDSFGLLIFEQIISDNVWNM
+>sp|Q8N628|OR2C3_HUMAN Olfactory receptor 2C3 OS=Homo sapiens OX=9606 GN=OR2C3 PE=2 SV=3
+MMEIANVSSPEVFVLLGFSTRPSLETVLFIVVLSFYMVSILGNGIIILVSHTDVHLHTPMYFFLANLPFLDMSFTTSIVPQLLANLWGPQKTISYGGCVVQFYISHWLGATECVLLATMSYDRYAAICRPLHYTVIMHPQLCLGLALASWLGGLTTSMVGSTLTMLLPLCGNNCIDHFFCEMPLIMQLACVDTSLNEMEMYLASFVFVVLPLGLILVSYGHIARAVLKIRSAEGRRKAFNTCSSHVAVVSLFYGSIIFMYLQPAKSTSHEQGKFIALFYTVVTPALNPLIYTLRNTEVKSALRHMVLENCCGSAGKLAQI
+>DECOY_sp|Q8N628|OR2C3_HUMAN Olfactory receptor 2C3 OS=Homo sapiens OX=9606 GN=OR2C3 PE=2 SV=3
+IQALKGASGCCNELVMHRLASKVETNRLTYILPNLAPTVVTYFLAIFKGQEHSTSKAPQLYMFIISGYFLSVVAVHSSCTNFAKRRGEASRIKLVARAIHGYSVLILGLPLVVFVFSALYMEMENLSTDVCALQMILPMECFFHDICNNGCLPLLMTLTSGVMSTTLGGLWSALALGLCLQPHMIVTYHLPRCIAAYRDYSMTALLVCETAGLWHSIYFQVVCGGYSITKQPGWLNALLQPVISTTFSMDLFPLNALFFYMPTHLHVDTHSVLIIIGNGLISVMYFSLVVIFLVTELSPRTSFGLLVFVEPSSVNAIEMM
+>sp|Q8NGN8|OR4A4_HUMAN Putative olfactory receptor 4A4 OS=Homo sapiens OX=9606 GN=OR4A4P PE=5 SV=1
+MEPRKNVTDFVLLGFTQNPKEQKVLFVMFLLFYILTMVGNLLIVVTVTVSETLGSPMSFFLAGLTFIDIIYSSSISPRLISDLFFGNNSISFQSFMAQLFIEHLFGGSEVFLLLVMAYDRYVAICKPLHYLVIMRQWVCVLLLVVSWVGGFLQSVFQLSIIYGLPFCGPNVIDHFFCDMYPLLKLACTDTHVIGLLVVANGGLSCTIAFLLLLISYGVILHSLKKLSQKGRQKAHSTCSSHITVVVFFFVPCIFMCARPARTFSIDKSVSVFYTVITPMLNPLIYTLRNSEMTSAMKKL
+>DECOY_sp|Q8NGN8|OR4A4_HUMAN Putative olfactory receptor 4A4 OS=Homo sapiens OX=9606 GN=OR4A4P PE=5 SV=1
+LKKMASTMESNRLTYILPNLMPTIVTYFVSVSKDISFTRAPRACMFICPVFFFVVVTIHSSCTSHAKQRGKQSLKKLSHLIVGYSILLLLFAITCSLGGNAVVLLGIVHTDTCALKLLPYMDCFFHDIVNPGCFPLGYIISLQFVSQLFGGVWSVVLLLVCVWQRMIVLYHLPKCIAVYRDYAMVLLLFVESGGFLHEIFLQAMFSQFSISNNGFFLDSILRPSISSSYIIDIFTLGALFFSMPSGLTESVTVTVVILLNGVMTLIYFLLFMVFLVKQEKPNQTFGLLVFDTVNKRPEM
+>sp|P0C604|OR4A8_HUMAN Olfactory receptor 4A8 OS=Homo sapiens OX=9606 GN=OR4A8 PE=3 SV=1
+MRQNNNITEFVLLGFSQYPDVQNALFVMFLLIYIVTMVGNLLIVVSIIASPFLGSPVYFFLACLSFIDAVYSTTISPVLIVDLLCDKKTISFPACMGQLFIEHLFGDTDVFLLVVMAYDRYVATCKPLRYLTIMNRQVCILLLVVAVTGGFLHSVFQILVVYSLPFCGPNVIYHFFCNIYPLLDLECTDTYFVGLAVVFNGGAICMVIFTLLLISYGVILNSLKTYSPEGRHKAPFICSSHFIMVILFFVPCIFLYVRPVSNFPIDKFLTVFYSVITPKLNPFIYMLRNSEMRNAIENLLGYQSGKTGFRCSKLN
+>DECOY_sp|P0C604|OR4A8_HUMAN Olfactory receptor 4A8 OS=Homo sapiens OX=9606 GN=OR4A8 PE=3 SV=1
+NLKSCRFGTKGSQYGLLNEIANRMESNRLMYIFPNLKPTIVSYFVTLFKDIPFNSVPRVYLFICPVFFLIVMIFHSSCIFPAKHRGEPSYTKLSNLIVGYSILLLTFIVMCIAGGNFVVALGVFYTDTCELDLLPYINCFFHYIVNPGCFPLSYVVLIQFVSHLFGGTVAVVLLLICVQRNMITLYRLPKCTAVYRDYAMVVLLFVDTDGFLHEIFLQGMCAPFSITKKDCLLDVILVPSITTSYVADIFSLCALFFYVPSGLFPSAIISVVILLNGVMTVIYILLFMVFLANQVDPYQSFGLLVFETINNNQRM
+>sp|Q8NGB2|OR4C5_HUMAN Olfactory receptor 4C5 OS=Homo sapiens OX=9606 GN=OR4C5 PE=3 SV=1
+MYVSNCNPCAIHRKINYPNTKLDFEQVNNITEFILLGLTQNAEAQKLLFAVFTLIYFLTMVDNLIIVVTITTSPALDSPVYFFLSFFSFIDGCSSSTMAPKMIFDLLTEKKTISFSGCMTQLFVEHFFGGVEIILLVVMAYDCYVAICKPLYYLITMNRQVCGLLVAMAWVGGFLHALIQMLLIVWLPFCGPNVIDHFICDLFPLLKLSCTDTHVFGLFVAANSGLMCMLIFSILITSYVLILCSQRKALSTCAFHITVVVLFFVPCILVYLRPMITFPIDKAVSVFYTVVTPMLNPLIYTLRNTEVKNAMKQLWSQIIWGNNLCD
+>DECOY_sp|Q8NGB2|OR4C5_HUMAN Olfactory receptor 4C5 OS=Homo sapiens OX=9606 GN=OR4C5 PE=3 SV=1
+DCLNNGWIIQSWLQKMANKVETNRLTYILPNLMPTVVTYFVSVAKDIPFTIMPRLYVLICPVFFLVVVTIHFACTSLAKRQSCLILVYSTILISFILMCMLGSNAAVFLGFVHTDTCSLKLLPFLDCIFHDIVNPGCFPLWVILLMQILAHLFGGVWAMAVLLGCVQRNMTILYYLPKCIAVYCDYAMVVLLIIEVGGFFHEVFLQTMCGSFSITKKETLLDFIMKPAMTSSSCGDIFSFFSLFFYVPSDLAPSTTITVVIILNDVMTLFYILTFVAFLLKQAEANQTLGLLIFETINNVQEFDLKTNPYNIKRHIACPNCNSVYM
+>sp|Q96R67|OR4CC_HUMAN Olfactory receptor 4C12 OS=Homo sapiens OX=9606 GN=OR4C12 PE=2 SV=2
+MEKKKNVTEFILIGLTQNPIMEKVTFVVFLVLYMITLSGNLLIVVTITTSQALSSPMYFFLTHLSLIDTVYSSSSAPKLIVDSFQEKKIISFNGCMAQAYAEHIFGATEIILLTVMACDCYVAICKPLNYTTIMSHSLCILLVAVAWVGGFLHATIQILFTVWLPFCGPNVIGHFMCDLYPLLKLVCIDTHTLGLFVAVNSGFICLLNFLILVVSYVIILRSLKNNSLEGRCKALSTCISHIIVVVLFFVPCIFVYLRSVTTLPIDKAVAVFYTMVVPMLNPVVYTLRNAEVKSAIRKLWRKKVTSDND
+>DECOY_sp|Q96R67|OR4CC_HUMAN Olfactory receptor 4C12 OS=Homo sapiens OX=9606 GN=OR4C12 PE=2 SV=2
+DNDSTVKKRWLKRIASKVEANRLTYVVPNLMPVVMTYFVAVAKDIPLTTVSRLYVFICPVFFLVVVIIHSICTSLAKCRGELSNNKLSRLIIVYSVVLILFNLLCIFGSNVAVFLGLTHTDICVLKLLPYLDCMFHGIVNPGCFPLWVTFLIQITAHLFGGVWAVAVLLICLSHSMITTYNLPKCIAVYCDCAMVTLLIIETAGFIHEAYAQAMCGNFSIIKKEQFSDVILKPASSSSYVTDILSLHTLFFYMPSSLAQSTTITVVILLNGSLTIMYLVLFVVFTVKEMIPNQTLGILIFETVNKKKEM
+>sp|P58180|OR4D2_HUMAN Olfactory receptor 4D2 OS=Homo sapiens OX=9606 GN=OR4D2 PE=2 SV=1
+METGNLTWVSDFVFLGLSQTRELQRFLFLMFLFVYITTVMGNILIIITVTSDSQLHTPMYFLLRNLAVLDLCFSSVTAPKMLVDLLSEKKTISYQGCMGQIFFFHFLGGAMVFFLSVMAFDRLIAISRPLRYVTVMNTQLWVGLVVATWVGGFVHSIVQLALMLPLPFCGPNILDNFYCDVPQVLRLACTDTSLLEFLKISNSGLLDVVWFFLLLMSYLFILVMLRSHPGEARRKAASTCTTHIIVVSMIFVPSIYLYARPFTPFPMDKLVSIGHTVMTPMLNPMIYTLRNQDMQAAVRRLGRHRLV
+>DECOY_sp|P58180|OR4D2_HUMAN Olfactory receptor 4D2 OS=Homo sapiens OX=9606 GN=OR4D2 PE=2 SV=1
+VLRHRGLRRVAAQMDQNRLTYIMPNLMPTMVTHGISVLKDMPFPTFPRAYLYISPVFIMSVVIIHTTCTSAAKRRAEGPHSRLMVLIFLYSMLLLFFWVVDLLGSNSIKLFELLSTDTCALRLVQPVDCYFNDLINPGCFPLPLMLALQVISHVFGGVWTAVVLGVWLQTNMVTVYRLPRSIAILRDFAMVSLFFVMAGGLFHFFFIQGMCGQYSITKKESLLDVLMKPATVSSFCLDLVALNRLLFYMPTHLQSDSTVTIIILINGMVTTIYVFLFMLFLFRQLERTQSLGLFVFDSVWTLNGTEM
+>sp|Q8NGI6|OR4DA_HUMAN Olfactory receptor 4D10 OS=Homo sapiens OX=9606 GN=OR4D10 PE=2 SV=1
+MEMENCTRVKEFIFLGLTQNREVSLVLFLFLLLVYVTTLLGNLLIMVTVTCESRLHTPMYFLLHNLSIADICFSSITVPKVLVDLLSERKTISFNHCFTQMFLFHLIGGVDVFSLSVMALDRYVAISKPLHYATIMSRDHCIGLTVAAWLGGFVHSIVQISLLLPLPFCGPNVLDTFYCDVHRVLKLAHTDIFILELLMISNNGLLTTLWFFLLLVSYIVILSLPKSQAGEGRRKAISTCTSHITVVTLHFVPCIYVYARPFTALPMDKAISVTFTVISPLLNPLIYTLRNHEMKSAMRRLKRRLVPSDRK
+>DECOY_sp|Q8NGI6|OR4DA_HUMAN Olfactory receptor 4D10 OS=Homo sapiens OX=9606 GN=OR4D10 PE=2 SV=1
+KRDSPVLRRKLRRMASKMEHNRLTYILPNLLPSIVTFTVSIAKDMPLATFPRAYVYICPVFHLTVVTIHSTCTSIAKRRGEGAQSKPLSLIVIYSVLLLFFWLTTLLGNNSIMLLELIFIDTHALKLVRHVDCYFTDLVNPGCFPLPLLLSIQVISHVFGGLWAAVTLGICHDRSMITAYHLPKSIAVYRDLAMVSLSFVDVGGILHFLFMQTFCHNFSITKRESLLDVLVKPVTISSFCIDAISLNHLLFYMPTHLRSECTVTVMILLNGLLTTVYVLLLFLFLVLSVERNQTLGLFIFEKVRTCNEMEM
+>sp|P0C645|OR4E1_HUMAN Olfactory receptor 4E1 OS=Homo sapiens OX=9606 GN=OR4E1 PE=3 SV=2
+MEEAILLNQTSLVTYFRLRGLSVNHKARIAMFSMFLIFYVLTLIGNVLIVITIIYDHRLHTPMYFFLSNLSFIDVCHSTVTVPKMLRDVWSEEKLISFDACVTQMFFLHLFACTEIFLLTVMAYDRYVAICKPLQYMIVMNWKVCVLLAVALWTGGTIHSIALTSLTIKLPYCGPDEIDNFFCDVPQVIKLACIDTHVIEILIVSNSGLISVVCFVVLVVSYAVILVSLRQQISKGKRKALSTCAAHLTVVTLFLGHCIFIYSRPSTSLPEDKVVSVFFTAVTPLLNPIIYTLRNEEMKSALNKLVGRKERKEEK
+>DECOY_sp|P0C645|OR4E1_HUMAN Olfactory receptor 4E1 OS=Homo sapiens OX=9606 GN=OR4E1 PE=3 SV=2
+KEEKREKRGVLKNLASKMEENRLTYIIPNLLPTVATFFVSVVKDEPLSTSPRSYIFICHGLFLTVVTLHAACTSLAKRKGKSIQQRLSVLIVAYSVVLVVFCVVSILGSNSVILIEIVHTDICALKIVQPVDCFFNDIEDPGCYPLKITLSTLAISHITGGTWLAVALLVCVKWNMVIMYQLPKCIAVYRDYAMVTLLFIETCAFLHLFFMQTVCADFSILKEESWVDRLMKPVTVTSHCVDIFSLNSLFFYMPTHLRHDYIITIVILVNGILTLVYFILFMSFMAIRAKHNVSLGRLRFYTVLSTQNLLIAEEM
+>sp|Q8NH21|OR4F5_HUMAN Olfactory receptor 4F5 OS=Homo sapiens OX=9606 GN=OR4F5 PE=3 SV=1
+MVTEFIFLGLSDSQELQTFLFMLFFVFYGGIVFGNLLIVITVVSDSHLHSPMYFLLANLSLIDLSLSSVTAPKMITDFFSQRKVISFKGCLVQIFLLHFFGGSEMVILIAMGFDRYIAICKPLHYTTIMCGNACVGIMAVTWGIGFLHSVSQLAFAVHLLFCGPNEVDSFYCDLPRVIKLACTDTYRLDIMVIANSGVLTVCSFVLLIISYTIILMTIQHRPLDKSSKALSTLTAHITVVLLFFGPCVFIYAWPFPIKSLDKFLAVFYSVITPLLNPIIYTLRNKDMKTAIRQLRKWDAHSSVKF
+>DECOY_sp|Q8NH21|OR4F5_HUMAN Olfactory receptor 4F5 OS=Homo sapiens OX=9606 GN=OR4F5 PE=3 SV=1
+FKVSSHADWKRLQRIATKMDKNRLTYIIPNLLPTIVSYFVALFKDLSKIPFPWAYIFVCPGFFLLVVTIHATLTSLAKSSKDLPRHQITMLIITYSIILLVFSCVTLVGSNAIVMIDLRYTDTCALKIVRPLDCYFSDVENPGCFLLHVAFALQSVSHLFGIGWTVAMIGVCANGCMITTYHLPKCIAIYRDFGMAILIVMESGGFFHLLFIQVLCGKFSIVKRQSFFDTIMKPATVSSLSLDILSLNALLFYMPSHLHSDSVVTIVILLNGFVIGGYFVFFLMFLFTQLEQSDSLGLFIFETVM
+>sp|Q8NGD2|OR4K2_HUMAN Olfactory receptor 4K2 OS=Homo sapiens OX=9606 GN=OR4K2 PE=2 SV=1
+MDVGNKSTMSEFVLLGLSNSWELQMFFFMVFSLLYVATMVGNSLIVITVIVDPHLHSPMYFLLTNLSIIDMSLASFATPKMITDYLTGHKTISFDGCLTQIFFLHLFTGTEIILLMAMSFDRYIAICKPLHYASVISPQVCVALVVASWIMGVMHSMSQVIFALTLPFCGPYEVDSFFCDLPVVFQLACVDTYVLGLFMISTSGIIALSCFIVLFNSYVIVLVTVKHHSSRGSSKALSTCTAHFIVVFLFFGPCIFIYMWPLSSFLTDKILSVFYTIFTPTLNPIIYTLRNQEVKIAMRKLKNRFLNFNKAMPS
+>DECOY_sp|Q8NGD2|OR4K2_HUMAN Olfactory receptor 4K2 OS=Homo sapiens OX=9606 GN=OR4K2 PE=2 SV=1
+SPMAKNFNLFRNKLKRMAIKVEQNRLTYIIPNLTPTFITYFVSLIKDTLFSSLPWMYIFICPGFFLFVVIFHATCTSLAKSSGRSSHHKVTVLVIVYSNFLVIFCSLAIIGSTSIMFLGLVYTDVCALQFVVPLDCFFSDVEYPGCFPLTLAFIVQSMSHMVGMIWSAVVLAVCVQPSIVSAYHLPKCIAIYRDFSMAMLLIIETGTFLHLFFIQTLCGDFSITKHGTLYDTIMKPTAFSALSMDIISLNTLLFYMPSHLHPDVIVTIVILSNGVMTAVYLLSFVMFFFMQLEWSNSLGLLVFESMTSKNGVDM
+>sp|Q8NH41|OR4KF_HUMAN Olfactory receptor 4K15 OS=Homo sapiens OX=9606 GN=OR4K15 PE=2 SV=2
+MLTSLTDLCFSPIQVAEIKSLPKSMNETNHSRVTEFVLLGLSSSRELQPFLFLTFSLLYLAILLGNFLIILTVTSDSRLHTPMYFLLANLSFIDVCVASFATPKMIADFLVERKTISFDACLAQIFFVHLFTGSEMVLLVSMAYDRYVAICKPLHYMTVMSRRVCVVLVLISWFVGFIHTTSQLAFTVNLPFCGPNKVDSFFCDLPLVTKLACIDTYVVSLLIVADSGFLSLSSFLLLVVSYTVILVTVRNRSSASMAKARSTLTAHITVVTLFFGPCIFIYVWPFSSYSVDKVLAVFYTIFTLILNPVIYTLRNKEVKAAMSKLKSRYLKPSQVSVVIRNVLFLETK
+>DECOY_sp|Q8NH41|OR4KF_HUMAN Olfactory receptor 4K15 OS=Homo sapiens OX=9606 GN=OR4K15 PE=2 SV=2
+KTELFLVNRIVVSVQSPKLYRSKLKSMAAKVEKNRLTYIVPNLILTFITYFVALVKDVSYSSFPWVYIFICPGFFLTVVTIHATLTSRAKAMSASSRNRVTVLIVTYSVVLLLFSSLSLFGSDAVILLSVVYTDICALKTVLPLDCFFSDVKNPGCFPLNVTFALQSTTHIFGVFWSILVLVVCVRRSMVTMYHLPKCIAVYRDYAMSVLLVMESGTFLHVFFIQALCADFSITKREVLFDAIMKPTAFSAVCVDIFSLNALLFYMPTHLRSDSTVTLIILFNGLLIALYLLSFTLFLFPQLERSSSLGLLVFETVRSHNTENMSKPLSKIEAVQIPSFCLDTLSTLM
+>sp|Q8NGB6|OR4M2_HUMAN Olfactory receptor 4M2 OS=Homo sapiens OX=9606 GN=OR4M2 PE=2 SV=2
+METANYTKVTEFVLTGLSQTPEVQLVLFVIFLSFYLFILPGNILIICTISLDPHLTSPMYFLLANLAFLDIWYSSITAPEMLIDFFVERKIISFDGCIAQLFFLHFAGASEMFLLTVMAFDLYTAICRPLHYATIMNQRLCCILVALSWRGGFIHSIIQVALIVRLPFCGPNELDSYFCDITQVVRIACANTFPEELVMICSSGLISVVCLIALLMSYAFLLALFKKLSGSGENTNRAMSTCYSHITIVVLMFGPSIYIYARPFDSFSLDKVVSVFNTLIFPLRNPIIYTLRNKEVKAAMRKLVTKYILCKEK
+>DECOY_sp|Q8NGB6|OR4M2_HUMAN Olfactory receptor 4M2 OS=Homo sapiens OX=9606 GN=OR4M2 PE=2 SV=2
+KEKCLIYKTVLKRMAAKVEKNRLTYIIPNRLPFILTNFVSVVKDLSFSDFPRAYIYISPGFMLVVITIHSYCTSMARNTNEGSGSLKKFLALLFAYSMLLAILCVVSILGSSCIMVLEEPFTNACAIRVVQTIDCFYSDLENPGCFPLRVILAVQIISHIFGGRWSLAVLICCLRQNMITAYHLPRCIATYLDFAMVTLLFMESAGAFHLFFLQAICGDFSIIKREVFFDILMEPATISSYWIDLFALNALLFYMPSTLHPDLSITCIILINGPLIFLYFSLFIVFLVLQVEPTQSLGTLVFETVKTYNATEM
+>sp|Q8IXE1|OR4N5_HUMAN Olfactory receptor 4N5 OS=Homo sapiens OX=9606 GN=OR4N5 PE=3 SV=1
+METQNLTVVTEFILLGLTQSQDAQLLVFVLVLIFYLIILPGNFLIIFTIKSDPGLTAPLYFFLGNLALLDASYSFIVVPRMLVDFLSEKKVISYRSCITQLFFLHFLGAGEMFLLVVMAFDRYIAICRPLHYSTIMNPRACYALSLVLWLGGFIHSIVQVALILHLPFCGPNQLDNFFCDVPQVIKLACTNTFVVELLMVSNSGLLSLLCFLGLLASYAVILCRIREHSSEGKSKAISTCTTHIIIIFLMFGPAIFIYTCPFQAFPADKVVSLFHTVIFPLMNPVIYTLRNQEVKASMRKLLSQHMFC
+>DECOY_sp|Q8IXE1|OR4N5_HUMAN Olfactory receptor 4N5 OS=Homo sapiens OX=9606 GN=OR4N5 PE=3 SV=1
+CFMHQSLLKRMSAKVEQNRLTYIVPNMLPFIVTHFLSVVKDAPFAQFPCTYIFIAPGFMLFIIIIHTTCTSIAKSKGESSHERIRCLIVAYSALLGLFCLLSLLGSNSVMLLEVVFTNTCALKIVQPVDCFFNDLQNPGCFPLHLILAVQVISHIFGGLWLVLSLAYCARPNMITSYHLPRCIAIYRDFAMVVLLFMEGAGLFHLFFLQTICSRYSIVKKESLFDVLMRPVVIFSYSADLLALNGLFFYLPATLGPDSKITFIILFNGPLIILYFILVLVFVLLQADQSQTLGLLIFETVVTLNQTEM
+>sp|Q8NGL7|OR4P4_HUMAN Olfactory receptor 4P4 OS=Homo sapiens OX=9606 GN=OR4P4 PE=3 SV=1
+MEKSNNSTLFILLGFSQNKNIEVLCFVLFLFCYIAIWMGNLLIMISITCTQLIHQPMYFFLNYLSLSDLCYTSTVTPKLMVDLLAERKTISYNNCMIQLFTTHFFGGIEIFILTGMAYDRYVAICKPLHYTIIMSRQKCNTIIIVCCTGGFIHSASQFLLTIFVPFCGPNEIDHYFCDVYPLLKLACSNIHMIGLLVIANSGLIALVTFVVLLLSYVFILYTIRAYSAERRSKALATCSSHVIVVVLFFAPALFIYIRPVTTFSEDKVFALFYTIIAPMFNPLIYTLRNTEMKNAMRKVWCCQILLKRNQLF
+>DECOY_sp|Q8NGL7|OR4P4_HUMAN Olfactory receptor 4P4 OS=Homo sapiens OX=9606 GN=OR4P4 PE=3 SV=1
+FLQNRKLLIQCCWVKRMANKMETNRLTYILPNFMPAIITYFLAFVKDESFTTVPRIYIFLAPAFFLVVVIVHSSCTALAKSRREASYARITYLIFVYSLLLVVFTVLAILGSNAIVLLGIMHINSCALKLLPYVDCFYHDIENPGCFPVFITLLFQSASHIFGGTCCVIIITNCKQRSMIITYHLPKCIAVYRDYAMGTLIFIEIGGFFHTTFLQIMCNNYSITKREALLDVMLKPTVTSTYCLDSLSLYNLFFYMPQHILQTCTISIMILLNGMWIAIYCFLFLVFCLVEINKNQSFGLLIFLTSNNSKEM
+>sp|Q8NGF9|OR4X2_HUMAN Olfactory receptor 4X2 OS=Homo sapiens OX=9606 GN=OR4X2 PE=2 SV=1
+MTEFIFLVLSPNQEVQRVCFVIFLFLYTAIVLGNFLIVLTVMTSRSLGSPMYFFLSYLSFMEICYSSATAPKLISDLLAERKVISWWGCMAQLFFLHFFGGTEIFLLTVMAYDHYVAICKPLSYTTIMNWQVCTVLVGIAWVGGFMHSFAQILLIFHLLFCGPNVINHYFCDLVPLLKLACSDTFLIGLLIVANGGTLSVISFGVLLASYMVILLHLRTWSSEGWCKALSTCGSHFAVVILFFGPCVFNSLRPSTTLPIDKMVAVFYTVITAILNPVIYSLRNAEMRKAMKRLWIRTLRLNEK
+>DECOY_sp|Q8NGF9|OR4X2_HUMAN Olfactory receptor 4X2 OS=Homo sapiens OX=9606 GN=OR4X2 PE=2 SV=1
+KENLRLTRIWLRKMAKRMEANRLSYIVPNLIATIVTYFVAVMKDIPLTTSPRLSNFVCPGFFLIVVAFHSGCTSLAKCWGESSWTRLHLLIVMYSALLVGFSIVSLTGGNAVILLGILFTDSCALKLLPVLDCFYHNIVNPGCFLLHFILLIQAFSHMFGGVWAIGVLVTCVQWNMITTYSLPKCIAVYHDYAMVTLLFIETGGFFHLFFLQAMCGWWSIVKREALLDSILKPATASSYCIEMFSLYSLFFYMPSGLSRSTMVTLVILFNGLVIATYLFLFIVFCVRQVEQNPSLVLFIFETM
+>sp|Q8NGI9|OR5A2_HUMAN Olfactory receptor 5A2 OS=Homo sapiens OX=9606 GN=OR5A2 PE=2 SV=1
+MAVGRNNTIVTKFILLGLSDHPQMKIFLFMLFLGLYLLTLAWNLSLIALIKMDSHLHMPMYFFLSNLSFLDICYVSSTAPKMLSDIITEQKTISFVGCATQYFVFCGMGLTECFLLAAMAYDRYAAICNPLLYTVLISHTLCLKMVVGAYVGGFLSSFIETYSVYQHDFCGPYMINHFFCDLPPVLALSCSDTFTSEVVTFIVSVVVGIVSVLVVLISYGYIVAAVVKISSATGRTKAFSTCASHLTAVTLFYGSGFFMYMRPSSSYSLNRDKVVSIFYALVIPVVNPIIYSFRNKEIKNAMRKAMERDPGISHGGPFIFMTLG
+>DECOY_sp|Q8NGI9|OR5A2_HUMAN Olfactory receptor 5A2 OS=Homo sapiens OX=9606 GN=OR5A2 PE=2 SV=1
+GLTMFIFPGGHSIGPDREMAKRMANKIEKNRFSYIIPNVVPIVLAYFISVVKDRNLSYSSSPRMYMFFGSGYFLTVATLHSACTSFAKTRGTASSIKVVAAVIYGYSILVVLVSVIGVVVSVIFTVVESTFTDSCSLALVPPLDCFFHNIMYPGCFDHQYVSYTEIFSSLFGGVYAGVVMKLCLTHSILVTYLLPNCIAAYRDYAMAALLFCETLGMGCFVFYQTACGVFSITKQETIIDSLMKPATSSVYCIDLFSLNSLFFYMPMHLHSDMKILAILSLNWALTLLYLGLFLMFLFIKMQPHDSLGLLIFKTVITNNRGVAM
+>sp|Q96R08|OR5BC_HUMAN Olfactory receptor 5B12 OS=Homo sapiens OX=9606 GN=OR5B12 PE=2 SV=2
+MENNTEVTEFILVGLTDDPELQIPLFIVFLFIYLITLVGNLGMIELILLDSCLHTPMYFFLSNLSLVDFGYSSAVTPKVMVGFLTGDKFILYNACATQFFFFVAFITAESFLLASMAYDRYAALCKPLHYTTTMTTNVCACLAIGSYICGFLNASIHTGNTFRLSFCRSNVVEHFFCDAPPLLTLSCSDNYISEMVIFFVVGFNDLFSILVILISYLFIFITIMKMRSPEGRQKAFSTCASHLTAVSIFYGTGIFMYLRPNSSHFMGTDKMASVFYAIVIPMLNPLVYSLRNKEVKSAFKKTVGKAKASIGFIF
+>DECOY_sp|Q96R08|OR5BC_HUMAN Olfactory receptor 5B12 OS=Homo sapiens OX=9606 GN=OR5B12 PE=2 SV=2
+FIFGISAKAKGVTKKFASKVEKNRLSYVLPNLMPIVIAYFVSAMKDTGMFHSSNPRLYMFIGTGYFISVATLHSACTSFAKQRGEPSRMKMITIFIFLYSILIVLISFLDNFGVVFFIVMESIYNDSCSLTLLPPADCFFHEVVNSRCFSLRFTNGTHISANLFGCIYSGIALCACVNTTMTTTYHLPKCLAAYRDYAMSALLFSEATIFAVFFFFQTACANYLIFKDGTLFGVMVKPTVASSYGFDVLSLNSLFFYMPTHLCSDLLILEIMGLNGVLTILYIFLFVIFLPIQLEPDDTLGVLIFETVETNNEM
+>sp|A6NL26|OR5BL_HUMAN Olfactory receptor 5B21 OS=Homo sapiens OX=9606 GN=OR5B21 PE=3 SV=1
+MENSTEVTEFILLGLTDDPNLQIPLLLAFLFIYLITLLGNGGMMVIIHSDSHLHTPMYFFLSNLSLVDLGYSSAVAPKTVAALRSGDKAISYDGCAAQFFFFVGFATVECYLLASMAYDRHAAVCRPLHYTTTMTAGVCALLATGSYVSGFLNASIHAAGTFRLSFCGSNEINHFFCDIPPLLALSCSDTRISKLVVFVAGFNVFFTLLVILISYFFICITIQRMHSAEGQKKVFSTCASHLTALSIFYGTIIFMYLQPNSSQSVDTDKIASVFYTVVIPMLNPLIYSLRNKEVKSALWKILNKLYPQY
+>DECOY_sp|A6NL26|OR5BL_HUMAN Olfactory receptor 5B21 OS=Homo sapiens OX=9606 GN=OR5B21 PE=3 SV=1
+YQPYLKNLIKWLASKVEKNRLSYILPNLMPIVVTYFVSAIKDTDVSQSSNPQLYMFIITGYFISLATLHSACTSFVKKQGEASHMRQITICIFFYSILIVLLTFFVNFGAVFVVLKSIRTDSCSLALLPPIDCFFHNIENSGCFSLRFTGAAHISANLFGSVYSGTALLACVGATMTTTYHLPRCVAAHRDYAMSALLYCEVTAFGVFFFFQAACGDYSIAKDGSRLAAVTKPAVASSYGLDVLSLNSLFFYMPTHLHSDSHIIVMMGGNGLLTILYIFLFALLLPIQLNPDDTLGLLIFETVETSNEM
+>sp|Q8NGL4|OR5DD_HUMAN Olfactory receptor 5D13 OS=Homo sapiens OX=9606 GN=OR5D13 PE=3 SV=2
+MMASERNQSSTPTFILLGFSEYPEIQVPLFLVFLFVYTVTVVGNLGMIIIIRLNSKLHTIMCFFLSHLSLTDFCFSTVVTPKLLENLVVEYRTISFSGCIMQFCFACIFGVTETFMLAAMAYDRFVAVCKPLLYTTIMSQKLCALLVAGSYTWGIVCSLILTYFLLDLSFCESTFINNFICDHSVIVSASYSDPYISQRLCFIIAIFNEVSSLIIILTSYMLIFTTIMKMRSASGRQKTFSTCASHLTAITIFHGTILFLYCVPNPKTSSLIVTVASVFYTVAIPMLNPLIYSLRNKDINNMFEKLVVTKLIYH
+>DECOY_sp|Q8NGL4|OR5DD_HUMAN Olfactory receptor 5D13 OS=Homo sapiens OX=9606 GN=OR5D13 PE=3 SV=2
+HYILKTVVLKEFMNNIDKNRLSYILPNLMPIAVTYFVSAVTVILSSTKPNPVCYLFLITGHFITIATLHSACTSFTKQRGSASRMKMITTFILMYSTLIIILSSVENFIAIIFCLRQSIYPDSYSASVIVSHDCIFNNIFTSECFSLDLLFYTLILSCVIGWTYSGAVLLACLKQSMITTYLLPKCVAVFRDYAMAALMFTETVGFICAFCFQMICGSFSITRYEVVLNELLKPTVVTSFCFDTLSLHSLFFCMITHLKSNLRIIIIMGLNGVVTVTYVFLFVLFLPVQIEPYESFGLLIFTPTSSQNRESAMM
+>sp|Q8NGL1|OR5DI_HUMAN Olfactory receptor 5D18 OS=Homo sapiens OX=9606 GN=OR5D18 PE=2 SV=1
+MLLTDRNTSGTTFTLLGFSDYPELQVPLFLVFLAIYNVTVLGNIGLIVIIKINPKLHTPMYFFLSQLSFVDFCYSSIIAPKMLVNLVVKDRTISFLGCVVQFFFFCTFVVTESFLLAVMAYDRFVAICNPLLYTVNMSQKLCVLLVVGSYAWGVSCSLELTCSALKLCFHGFNTINHFFCEFSSLLSLSCSDTYINQWLLFFLATFNEISTLLIVLTSYAFIVVTILKMRSVSGRRKAFSTCASHLTAITIFHGTILFLYCVPNSKNSRHTVKVASVFYTVVIPMLNPLIYSLRNKDVKDTVTEILDTKVFSY
+>DECOY_sp|Q8NGL1|OR5DI_HUMAN Olfactory receptor 5D18 OS=Homo sapiens OX=9606 GN=OR5D18 PE=2 SV=1
+YSFVKTDLIETVTDKVDKNRLSYILPNLMPIVVTYFVSAVKVTHRSNKSNPVCYLFLITGHFITIATLHSACTSFAKRRGSVSRMKLITVVIFAYSTLVILLTSIENFTALFFLLWQNIYTDSCSLSLLSSFECFFHNITNFGHFCLKLASCTLELSCSVGWAYSGVVLLVCLKQSMNVTYLLPNCIAVFRDYAMVALLFSETVVFTCFFFFQVVCGLFSITRDKVVLNVLMKPAIISSYCFDVFSLQSLFFYMPTHLKPNIKIIVILGINGLVTVNYIALFVLFLPVQLEPYDSFGLLTFTTGSTNRDTLLM
+>sp|Q8NGV6|OR5H6_HUMAN Olfactory receptor 5H6 OS=Homo sapiens OX=9606 GN=OR5H6 PE=2 SV=2
+MFLYLCFIFQRTCSEEMEEENATLLTEFVLTGFLHQPDCKIPLFLAFLVIYLITIMGNLGLIVLIWKDPHLHIPMYLFLGSLAFVDASLSSTVTPKMLINFLAKSKMISLSECMVQFFSLVTTVTTECFLLATMAYDRYVAICKALLYPVIMTNELCIQLLVLSFIGGLLHALIHEAFSFRLTFCNSNIIQHFYCDIIPLLKISCTDSSINFLMVFIFAGSVQVFTIGTILISYTIILFTILEKKSIKGIRKAVSTCGAHLLSVSLYYGPLTFKYLGSASPQADDQDMMESLFYTVIVPLLNPMIYSLRNKQVIASFTKMFKSNV
+>DECOY_sp|Q8NGV6|OR5H6_HUMAN Olfactory receptor 5H6 OS=Homo sapiens OX=9606 GN=OR5H6 PE=2 SV=2
+VNSKFMKTFSAIVQKNRLSYIMPNLLPVIVTYFLSEMMDQDDAQPSASGLYKFTLPGYYLSVSLLHAGCTSVAKRIGKISKKELITFLIITYSILITGITFVQVSGAFIFVMLFNISSDTCSIKLLPIIDCYFHQIINSNCFTLRFSFAEHILAHLLGGIFSLVLLQICLENTMIVPYLLAKCIAVYRDYAMTALLFCETTVTTVLSFFQVMCESLSIMKSKALFNILMKPTVTSSLSADVFALSGLFLYMPIHLHPDKWILVILGLNGMITILYIVLFALFLPIKCDPQHLFGTLVFETLLTANEEEMEESCTRQFIFCLYLFM
+>sp|Q8NHB8|OR5K2_HUMAN Olfactory receptor 5K2 OS=Homo sapiens OX=9606 GN=OR5K2 PE=2 SV=3
+MVEENHTMKNEFILTGFTDHPELKTLLFVVFFAIYLITVVGNISLVALIFTHCRLHTPMYIFLGNLALVDSCCACAITPKMLENFFSEGKRISLYECAVQFYFLCTVETADCFLLAAVAYDRYVAICNPLQYHIMMSKKLCIQMTTGAFIAGNLHSMIHVGLVFRLVFCGLNHINHFYCDTLPLYRLSCVDPFINELVLFIFSGSVQVFTIGSVLISYLYILLTIFRMKSKEGRAKAFSTCASHFSSVSLFYGSIFFLYIRPNLLEEGGNDIPAAILFTIVVPLLNPFIYSLRNKEVISVLRKILLKIKSQGSVNK
+>DECOY_sp|Q8NHB8|OR5K2_HUMAN Olfactory receptor 5K2 OS=Homo sapiens OX=9606 GN=OR5K2 PE=2 SV=3
+KNVSGQSKIKLLIKRLVSIVEKNRLSYIFPNLLPVVITFLIAAPIDNGGEELLNPRIYLFFISGYFLSVSSFHSACTSFAKARGEKSKMRFITLLIYLYSILVSGITFVQVSGSFIFLVLENIFPDVCSLRYLPLTDCYFHNIHNLGCFVLRFVLGVHIMSHLNGAIFAGTTMQICLKKSMMIHYQLPNCIAVYRDYAVAALLFCDATEVTCLFYFQVACEYLSIRKGESFFNELMKPTIACACCSDVLALNGLFIYMPTHLRCHTFILAVLSINGVVTILYIAFFVVFLLTKLEPHDTFGTLIFENKMTHNEEVM
+>sp|Q8NGP8|OR5M1_HUMAN Olfactory receptor 5M1 OS=Homo sapiens OX=9606 GN=OR5M1 PE=3 SV=1
+MFSPNHTIVTEFILLGLTDDPVLEKILFGVFLAIYLITLAGNLCMILLIRTNSHLQTPMYFFLGHLSFVDICYSSNVTPNMLHNFLSEQKTISYAGCFTQCLLFIALVITEFYILASMALDRYVAICSPLHYSSRMSKNICVCLVTIPYMYGFLSGFSQSLLTFHLSFCGSLEINHFYCADPPLIMLACSDTRVKKMAMFVVAGFNLSSSLFIILLSYLFIFAAIFRIRSAEGRHKAFSTCASHLTIVTLFYGTLFCMYVRPPSEKSVEESKITAVFYTFLSPMLNPLIYSLRNTDVILAMQQMIRGKSFHKIAV
+>DECOY_sp|Q8NGP8|OR5M1_HUMAN Olfactory receptor 5M1 OS=Homo sapiens OX=9606 GN=OR5M1 PE=3 SV=1
+VAIKHFSKGRIMQQMALIVDTNRLSYILPNLMPSLFTYFVATIKSEEVSKESPPRVYMCFLTGYFLTVITLHSACTSFAKHRGEASRIRFIAAFIFLYSLLIIFLSSSLNFGAVVFMAMKKVRTDSCALMILPPDACYFHNIELSGCFSLHFTLLSQSFGSLFGYMYPITVLCVCINKSMRSSYHLPSCIAVYRDLAMSALIYFETIVLAIFLLCQTFCGAYSITKQESLFNHLMNPTVNSSYCIDVFSLHGLFFYMPTQLHSNTRILLIMCLNGALTILYIALFVGFLIKELVPDDTLGLLIFETVITHNPSFM
+>sp|Q8NGP6|OR5M8_HUMAN Olfactory receptor 5M8 OS=Homo sapiens OX=9606 GN=OR5M8 PE=2 SV=1
+MRRNCTLVTEFILLGLTSRRELQILLFTLFLAIYMVTVAGNLGMIVLIQANAWLHMPMYFFLSHLSFVDLCFSSNVTPKMLEIFLSEKKSISYPACLVQCYLFIALVHVEIYILAVMAFDRYMAICNPLLYGSRMSKSVCSFLITVPYVYGALTGLMETMWTYNLAFCGPNEINHFYCADPPLIKLACSDTYNKELSMFIVAGWNLSFSLFIICISYLYIFPAILKIRSTEGRQKAFSTCGSHLTAVTIFYATLFFMYLRPPSKESVEQGKMVAVFYTTVIPMLNLIIYSLRNKNVKEALIKELSMKIYFS
+>DECOY_sp|Q8NGP6|OR5M8_HUMAN Olfactory receptor 5M8 OS=Homo sapiens OX=9606 GN=OR5M8 PE=2 SV=1
+SFYIKMSLEKILAEKVNKNRLSYIILNLMPIVTTYFVAVMKGQEVSEKSPPRLYMFFLTAYFITVATLHSGCTSFAKQRGETSRIKLIAPFIYLYSICIIFLSFSLNWGAVIFMSLEKNYTDSCALKILPPDACYFHNIENPGCFALNYTWMTEMLGTLAGYVYPVTILFSCVSKSMRSGYLLPNCIAMYRDFAMVALIYIEVHVLAIFLYCQVLCAPYSISKKESLFIELMKPTVNSSFCLDVFSLHSLFFYMPMHLWANAQILVIMGLNGAVTVMYIALFLTFLLIQLERRSTLGLLIFETVLTCNRRM
+>sp|Q9UGF6|OR5V1_HUMAN Olfactory receptor 5V1 OS=Homo sapiens OX=9606 GN=OR5V1 PE=2 SV=1
+MERKNQTAITEFIILGFSNLNELQFLLFTIFFLTYFCTLGGNILIILTTVTDPHLHTPMYYFLGNLAFIDICYTTSNVPQMMVHLLSKKKSISYVGCVVQLFAFVFFVGSECLLLAAMAYDRYIAICNPLRYSVILSKVLCNQLAASCWAAGFLNSVVHTVLTFCLPFCGNNQINYFFCDIPPLLILSCGNTSVNELALLSTGVFIGWTPFLCIVLSYICIISTILRIQSSEGRRKAFSTCASHLAIVFLFYGSAIFTYVRPISTYSLKKDRLVSVLYSVVTPMLNPIIYTLRNKDIKEAVKTIGSKWQPPISSLDSKLTY
+>DECOY_sp|Q9UGF6|OR5V1_HUMAN Olfactory receptor 5V1 OS=Homo sapiens OX=9606 GN=OR5V1 PE=2 SV=1
+YTLKSDLSSIPPQWKSGITKVAEKIDKNRLTYIIPNLMPTVVSYLVSVLRDKKLSYTSIPRVYTFIASGYFLFVIALHSACTSFAKRRGESSQIRLITSIICIYSLVICLFPTWGIFVGTSLLALENVSTNGCSLILLPPIDCFFYNIQNNGCFPLCFTLVTHVVSNLFGAAWCSAALQNCLVKSLIVSYRLPNCIAIYRDYAMAALLLCESGVFFVFAFLQVVCGVYSISKKKSLLHVMMQPVNSTTYCIDIFALNGLFYYMPTHLHPDTVTTLIILINGGLTCFYTLFFITFLLFQLENLNSFGLIIFETIATQNKREM
+>sp|Q53FV1|ORML2_HUMAN ORM1-like protein 2 OS=Homo sapiens OX=9606 GN=ORMDL2 PE=1 SV=2
+MNVGVAHSEVNPNTRVMNSRGIWLAYIILVGLLHMVLLSIPFFSIPVVWTLTNVIHNLATYVFLHTVKGTPFETPDQGKARLLTHWEQMDYGLQFTSSRKFLSISPIVLYLLASFYTKYDAAHFLINTASLLSVLLPKLPQFHGVRVFGINKY
+>DECOY_sp|Q53FV1|ORML2_HUMAN ORM1-like protein 2 OS=Homo sapiens OX=9606 GN=ORMDL2 PE=1 SV=2
+YKNIGFVRVGHFQPLKPLLVSLLSATNILFHAADYKTYFSALLYLVIPSISLFKRSSTFQLGYDMQEWHTLLRAKGQDPTEFPTGKVTHLFVYTALNHIVNTLTWVVPISFFPISLLVMHLLGVLIIYALWIGRSNMVRTNPNVESHAVGVNM
+>sp|P39656|OST48_HUMAN Dolichyl-diphosphooligosaccharide--protein glycosyltransferase 48 kDa subunit OS=Homo sapiens OX=9606 GN=DDOST PE=1 SV=4
+MGYFRCARAGSFGRRRKMEPSTAARAWALFWLLLPLLGAVCASGPRTLVLLDNLNVRETHSLFFRSLKDRGFELTFKTADDPSLSLIKYGEFLYDNLIIFSPSVEDFGGNINVETISAFIDGGGSVLVAASSDIGDPLRELGSECGIEFDEEKTAVIDHHNYDISDLGQHTLIVADTENLLKAPTIVGKSSLNPILFRGVGMVADPDNPLVLDILTGSSTSYSFFPDKPITQYPHAVGKNTLLIAGLQARNNARVIFSGSLDFFSDSFFNSAVQKAAPGSQRYSQTGNYELAVALSRWVFKEEGVLRVGPVSHHRVGETAPPNAYTVTDLVEYSIVIQQLSNGKWVPFDGDDIQLEFVRIDPFVRTFLKKKGGKYSVQFKLPDVYGVFQFKVDYNRLGYTHLYSSTQVSVRPLQHTQYERFIPSAYPYYASAFSMMLGLFIFSIVFLHMKEKEKSD
+>DECOY_sp|P39656|OST48_HUMAN Dolichyl-diphosphooligosaccharide--protein glycosyltransferase 48 kDa subunit OS=Homo sapiens OX=9606 GN=DDOST PE=1 SV=4
+DSKEKEKMHLFVISFIFLGLMMSFASAYYPYASPIFREYQTHQLPRVSVQTSSYLHTYGLRNYDVKFQFVGYVDPLKFQVSYKGGKKKLFTRVFPDIRVFELQIDDGDFPVWKGNSLQQIVISYEVLDTVTYANPPATEGVRHHSVPGVRLVGEEKFVWRSLAVALEYNGTQSYRQSGPAAKQVASNFFSDSFFDLSGSFIVRANNRAQLGAILLTNKGVAHPYQTIPKDPFFSYSTSSGTLIDLVLPNDPDAVMGVGRFLIPNLSSKGVITPAKLLNETDAVILTHQGLDSIDYNHHDIVATKEEDFEIGCESGLERLPDGIDSSAAVLVSGGGDIFASITEVNINGGFDEVSPSFIILNDYLFEGYKILSLSPDDATKFTLEFGRDKLSRFFLSHTERVNLNDLLVLTRPGSACVAGLLPLLLWFLAWARAATSPEMKRRRGFSGARACRFYGM
+>sp|P0C6T2|OST4_HUMAN Dolichyl-diphosphooligosaccharide--protein glycosyltransferase subunit 4 OS=Homo sapiens OX=9606 GN=OST4 PE=1 SV=1
+MITDVQLAIFANMLGVSLFLLVVLYHYVAVNNPKKQE
+>DECOY_sp|P0C6T2|OST4_HUMAN Dolichyl-diphosphooligosaccharide--protein glycosyltransferase subunit 4 OS=Homo sapiens OX=9606 GN=OST4 PE=1 SV=1
+EQKKPNNVAVYHYLVVLLFLSVGLMNAFIALQVDTIM
+>sp|Q86UW2|OSTB_HUMAN Organic solute transporter subunit beta OS=Homo sapiens OX=9606 GN=SLC51B PE=2 SV=2
+MEHSEGAPGDPAGTVVPQELLEEMLWFFRVEDASPWNHSILALAAVVVIISMVLLGRSIQASRKEKMQPPEKETPEVLHLDEAKDHNSLNNLRETLLSEKPNLAQVELELKERDVLSVFLPDVPETES
+>DECOY_sp|Q86UW2|OSTB_HUMAN Organic solute transporter subunit beta OS=Homo sapiens OX=9606 GN=SLC51B PE=2 SV=2
+SETEPVDPLFVSLVDREKLELEVQALNPKESLLTERLNNLSNHDKAEDLHLVEPTEKEPPQMKEKRSAQISRGLLVMSIIVVVAALALISHNWPSADEVRFFWLMEELLEQPVVTGAPDGPAGESHEM
+>sp|Q9NRP0|OSTC_HUMAN Oligosaccharyltransferase complex subunit OSTC OS=Homo sapiens OX=9606 GN=OSTC PE=1 SV=1
+METLYRVPFLVLECPNLKLKKPPWLHMPSAMTVYALVVVSYFLITGGIIYDVIVEPPSVGSMTDEHGHQRPVAFLAYRVNGQYIMEGLASSFLFTMGGLGFIILDRSNAPNIPKLNRFLLLFIGFVCVLLSFFMARVFMRMKLPGYLMG
+>DECOY_sp|Q9NRP0|OSTC_HUMAN Oligosaccharyltransferase complex subunit OSTC OS=Homo sapiens OX=9606 GN=OSTC PE=1 SV=1
+GMLYGPLKMRMFVRAMFFSLLVCVFGIFLLLFRNLKPINPANSRDLIIFGLGGMTFLFSSALGEMIYQGNVRYALFAVPRQHGHEDTMSGVSPPEVIVDYIIGGTILFYSVVVLAYVTMASPMHLWPPKKLKLNPCELVLFPVRYLTEM
+>sp|Q86WC4|OSTM1_HUMAN Osteopetrosis-associated transmembrane protein 1 OS=Homo sapiens OX=9606 GN=OSTM1 PE=1 SV=1
+MEPGPTAAQRRCSLPPWLPLGLLLWSGLALGALPFGSSPHRVFHDLLSEQQLLEVEDLSLSLLQGGGLGPLSLPPDLPDLDPECRELLLDFANSSAELTGCLVRSARPVRLCQTCYPLFQQVVSKMDNISRAAGNTSESQSCARSLLMADRMQIVVILSEFFNTTWQEANCANCLTNNSEELSNSTVYFLNLFNHTLTCFEHNLQGNAHSLLQTKNYSEVCKNCREAYKTLSSLYSEMQKMNELENKAEPGTHLCIDVEDAMNITRKLWSRTFNCSVPCSDTVPVIAVSVFILFLPVVFYLSSFLHSEQKKRKLILPKRLKSSTSFANIQENSN
+>DECOY_sp|Q86WC4|OSTM1_HUMAN Osteopetrosis-associated transmembrane protein 1 OS=Homo sapiens OX=9606 GN=OSTM1 PE=1 SV=1
+NSNEQINAFSTSSKLRKPLILKRKKQESHLFSSLYFVVPLFLIFVSVAIVPVTDSCPVSCNFTRSWLKRTINMADEVDICLHTGPEAKNELENMKQMESYLSSLTKYAERCNKCVESYNKTQLLSHANGQLNHEFCTLTHNFLNLFYVTSNSLEESNNTLCNACNAEQWTTNFFESLIVVIQMRDAMLLSRACSQSESTNGAARSINDMKSVVQQFLPYCTQCLRVPRASRVLCGTLEASSNAFDLLLERCEPDLDPLDPPLSLPGLGGGQLLSLSLDEVELLQQESLLDHFVRHPSSGFPLAGLALGSWLLLGLPLWPPLSCRRQAATPGPEM
+>sp|Q7RTW8|OTOAN_HUMAN Otoancorin OS=Homo sapiens OX=9606 GN=OTOA PE=1 SV=1
+MSQEPTTYSLFLFLFLSHGVSSYTVPNSRQDLHPLLQNMAEEIIDGSYLNALLDLIQFQSSHVWTDDLSHRVLAYLNSRNVAFTIPSLQAAVENHLEQRLHQPQKLLEDLRKTDAQQFRTAMKCLLEDKKDGLDLKDIIIDLGEIRERALQSPGVNRSLFLITLERCFQMLNSLECVEILGKVLRGSSGSFLQPDITERLPRDLREDAFKNLSAVFKDLYDKTSAHSQRALYSWMTGILQTSSNATDDSASWVSAEHLWVLGRYMVHLSFEEITKISPIEIGLFISYDNATKQLDMVYDITPELAQAFLERISSSNFNMRNTSTIHRQAHELWALEPFPKMLGLLVCFYNDLELLDATVAQVLLYQMIKCSHLRGFQAGVQKLKAELLDIAMENQTLNETLGSLSDAVVGLTYSQLESLSPEAVHGAISTLNQVSGWAKSQVIILSAKYLAHEKVLSFYNVSQMGALLAGVSTQAFCSMKRKDISQVLRSAVSQYVSDLSPAQQQGILSKMVQAEDTAPGIVEIQGAFFKEVSLFDLRRQPGFNSTVLKDKELGRSQALFLYELLLKTTRRPEELLSAGQLVKGVTCSHIDAMSTDFFLAHFQDFQNNFALLSPYQVNCLAWKYWEVSRLSMPPFLLAALPARYLASVPASQCVPFLISLGKSWLDSLVLDSHKKTSVLRKVQQCLDDSIADEYTVDIMGNLLCHLPAAIIDRGISPRAWATALHGLRDCPDLNPEQKAAVRLKLLGQYGLPQHWTAETTKDLGPFLVLFSGDELSSIATKFPEILLQAASKMARTLPTKEFLWAVFQSVRNSSDKIPSYDPMPGCHGVVAPSSDDIFKLAEANACWALEDLRCMEEDTFIRTVELLGAVQGFSRPQLMTLKEKAIQVWDMPSYWREHHIVSLGRIALALNESELEQLDLSSIDTVASLSWQTEWTPGQAESILQGYLDDSGYSIQDLKSFHLVGLGATLCAINITEIPLIKISEFRVVVARIGTLLCSTHVLAEFKRKAEVVFGDPTEWTSSVLQELGTIAAGLTKAELRMLDKDLMPYFQPSAIKCLPDEIFKELSAEQIASLGPENAAAVTHAQRRRLSPLQLQSLQQALDGAKTHSWQDAPASAGPTRTSSSRSPAGALQSWGLWLGCPLLVLMAKLLW
+>DECOY_sp|Q7RTW8|OTOAN_HUMAN Otoancorin OS=Homo sapiens OX=9606 GN=OTOA PE=1 SV=1
+WLLKAMLVLLPCGLWLGWSQLAGAPSRSSSTRTPGASAPADQWSHTKAGDLAQQLSQLQLPSLRRRQAHTVAAANEPGLSAIQEASLEKFIEDPLCKIASPQFYPMLDKDLMRLEAKTLGAAITGLEQLVSSTWETPDGFVVEAKRKFEALVHTSCLLTGIRAVVVRFESIKILPIETINIACLTAGLGVLHFSKLDQISYGSDDLYGQLISEAQGPTWETQWSLSAVTDISSLDLQELESENLALAIRGLSVIHHERWYSPMDWVQIAKEKLTMLQPRSFGQVAGLLEVTRIFTDEEMCRLDELAWCANAEALKFIDDSSPAVVGHCGPMPDYSPIKDSSNRVSQFVAWLFEKTPLTRAMKSAAQLLIEPFKTAISSLEDGSFLVLFPGLDKTTEATWHQPLGYQGLLKLRVAAKQEPNLDPCDRLGHLATAWARPSIGRDIIAAPLHCLLNGMIDVTYEDAISDDLCQQVKRLVSTKKHSDLVLSDLWSKGLSILFPVCQSAPVSALYRAPLAALLFPPMSLRSVEWYKWALCNVQYPSLLAFNNQFDQFHALFFDTSMADIHSCTVGKVLQGASLLEEPRRTTKLLLEYLFLAQSRGLEKDKLVTSNFGPQRRLDFLSVEKFFAGQIEVIGPATDEAQVMKSLIGQQQAPSLDSVYQSVASRLVQSIDKRKMSCFAQTSVGALLAGMQSVNYFSLVKEHALYKASLIIVQSKAWGSVQNLTSIAGHVAEPSLSELQSYTLGVVADSLSGLTENLTQNEMAIDLLEAKLKQVGAQFGRLHSCKIMQYLLVQAVTADLLELDNYFCVLLGLMKPFPELAWLEHAQRHITSTNRMNFNSSSIRELFAQALEPTIDYVMDLQKTANDYSIFLGIEIPSIKTIEEFSLHVMYRGLVWLHEASVWSASDDTANSSTQLIGTMWSYLARQSHASTKDYLDKFVASLNKFADERLDRPLRETIDPQLFSGSSGRLVKGLIEVCELSNLMQFCRELTILFLSRNVGPSQLARERIEGLDIIIDKLDLGDKKDELLCKMATRFQQADTKRLDELLKQPQHLRQELHNEVAAQLSPITFAVNRSNLYALVRHSLDDTWVHSSQFQILDLLANLYSGDIIEEAMNQLLPHLDQRSNPVTYSSVGHSLFLFLFLSYTTPEQSM
+>sp|Q9HC10|OTOF_HUMAN Otoferlin OS=Homo sapiens OX=9606 GN=OTOF PE=1 SV=3
+MALLIHLKTVSELRGRGDRIAKVTFRGQSFYSRVLENCEDVADFDETFRWPVASSIDRNEMLEIQVFNYSKVFSNKLIGTFRMVLQKVVEESHVEVTDTLIDDNNAIIKTSLCVEVRYQATDGTVGSWDDGDFLGDESLQEEEKDSQETDGLLPGSRPSSRPPGEKSFRRAGRSVFSAMKLGKNRSHKEEPQRPDEPAVLEMEDLDHLAIRLGDGLDPDSVSLASVTALTTNVSNKRSKPDIKMEPSAGRPMDYQVSITVIEARQLVGLNMDPVVCVEVGDDKKYTSMKESTNCPYYNEYFVFDFHVSPDVMFDKIIKISVIHSKNLLRSGTLVGSFKMDVGTVYSQPEHQFHHKWAILSDPDDISSGLKGYVKCDVAVVGKGDNIKTPHKANETDEDDIEGNLLLPEGVPPERQWARFYVKIYRAEGLPRMNTSLMANVKKAFIGENKDLVDPYVQVFFAGQKGKTSVQKSSYEPLWNEQVVFTDLFPPLCKRMKVQIRDSDKVNDVAIGTHFIDLRKISNDGDKGFLPTLGPAWVNMYGSTRNYTLLDEHQDLNEGLGEGVSFRARLLLGLAVEIVDTSNPELTSSTEVQVEQATPISESCAGKMEEFFLFGAFLEASMIDRRNGDKPITFEVTIGNYGNEVDGLSRPQRPRPRKEPGDEEEVDLIQNASDDEAGDAGDLASVSSTPPMRPQVTDRNYFHLPYLERKPCIYIKSWWPDQRRRLYNANIMDHIADKLEEGLNDIQEMIKTEKSYPERRLRGVLEELSCGCCRFLSLADKDQGHSSRTRLDRERLKSCMRELENMGQQARMLRAQVKRHTVRDKLRLCQNFLQKLRFLADEPQHSIPDIFIWMMSNNKRVAYARVPSKDLLFSIVEEETGKDCAKVKTLFLKLPGKRGFGSAGWTVQAKVELYLWLGLSKQRKEFLCGLPCGFQEVKAAQGLGLHAFPPVSLVYTKKQAFQLRAHMYQARSLFAADSSGLSDPFARVFFINQSQCTEVLNETLCPTWDQMLVFDNLELYGEAHELRDDPPIIVIEIYDQDSMGKADFMGRTFAKPLVKMADEAYCPPRFPPQLEYYQIYRGNATAGDLLAAFELLQIGPAGKADLPPINGPVDVDRGPIMPVPMGIRPVLSKYRVEVLFWGLRDLKRVNLAQVDRPRVDIECAGKGVQSSLIHNYKKNPNFNTLVKWFEVDLPENELLHPPLNIRVVDCRAFGRYTLVGSHAVSSLRRFIYRPPDRSAPSWNTTVRLLRRCRVLCNGGSSSHSTGEVVVTMEPEVPIKKLETMVKLDATSEAVVKVDVAEEEKEKKKKKKGTAEEPEEEEPDESMLDWWSKYFASIDTMKEQLRQQEPSGIDLEEKEEVDNTEGLKGSMKGKEKARAAKEEKKKKTQSSGSGQGSEAPEKKKPKIDELKVYPKELESEFDNFEDWLHTFNLLRGKTGDDEDGSTEEERIVGRFKGSLCVYKVPLPEDVSREAGYDSTYGMFQGIPSNDPINVLVRVYVVRATDLHPADINGKADPYIAIRLGKTDIRDKENYISKQLNPVFGKSFDIEASFPMESMLTVAVYDWDLVGTDDLIGETKIDLENRFYSKHRATCGIAQTYSTHGYNIWRDPMKPSQILTRLCKDGKVDGPHFGPPGRVKVANRVFTGPSEIEDENGQRKPTDEHVALLALRHWEDIPRAGCRLVPEHVETRPLLNPDKPGIEQGRLELWVDMFPMDMPAPGTPLDISPRKPKKYELRVIIWNTDEVVLEDDDFFTGEKSSDIFVRGWLKGQQEDKQDTDVHYHSLTGEGNFNWRYLFPFDYLAAEEKIVISKKESMFSWDETEYKIPARLTLQIWDADHFSADDFLGAIELDLNRFPRGAKTAKQCTMEMATGEVDVPLVSIFKQKRVKGWWPLLARNENDEFELTGKVEAELHLLTAEEAEKNPVGLARNEPDPLEKPNRPDTSFIWFLNPLKSARYFLWHTYRWLLLKLLLLLLLLLLLALFLYSVPGYLVKKILGA
+>DECOY_sp|Q9HC10|OTOF_HUMAN Otoferlin OS=Homo sapiens OX=9606 GN=OTOF PE=1 SV=3
+AGLIKKVLYGPVSYLFLALLLLLLLLLLLKLLLWRYTHWLFYRASKLPNLFWIFSTDPRNPKELPDPENRALGVPNKEAEEATLLHLEAEVKGTLEFEDNENRALLPWWGKVRKQKFISVLPVDVEGTAMEMTCQKATKAGRPFRNLDLEIAGLFDDASFHDADWIQLTLRAPIKYETEDWSFMSEKKSIVIKEEAALYDFPFLYRWNFNGEGTLSHYHVDTDQKDEQQGKLWGRVFIDSSKEGTFFDDDELVVEDTNWIIVRLEYKKPKRPSIDLPTGPAPMDMPFMDVWLELRGQEIGPKDPNLLPRTEVHEPVLRCGARPIDEWHRLALLAVHEDTPKRQGNEDEIESPGTFVRNAVKVRGPPGFHPGDVKGDKCLRTLIQSPKMPDRWINYGHTSYTQAIGCTARHKSYFRNELDIKTEGILDDTGVLDWDYVAVTLMSEMPFSAEIDFSKGFVPNLQKSIYNEKDRIDTKGLRIAIYPDAKGNIDAPHLDTARVVYVRVLVNIPDNSPIGQFMGYTSDYGAERSVDEPLPVKYVCLSGKFRGVIREEETSGDEDDGTKGRLLNFTHLWDEFNDFESELEKPYVKLEDIKPKKKEPAESGQGSGSSQTKKKKEEKAARAKEKGKMSGKLGETNDVEEKEELDIGSPEQQRLQEKMTDISAFYKSWWDLMSEDPEEEEPEEATGKKKKKKEKEEEAVDVKVVAESTADLKVMTELKKIPVEPEMTVVVEGTSHSSSGGNCLVRCRRLLRVTTNWSPASRDPPRYIFRRLSSVAHSGVLTYRGFARCDVVRINLPPHLLENEPLDVEFWKVLTNFNPNKKYNHILSSQVGKGACEIDVRPRDVQALNVRKLDRLGWFLVEVRYKSLVPRIGMPVPMIPGRDVDVPGNIPPLDAKGAPGIQLLEFAALLDGATANGRYIQYYELQPPFRPPCYAEDAMKVLPKAFTRGMFDAKGMSDQDYIEIVIIPPDDRLEHAEGYLELNDFVLMQDWTPCLTENLVETCQSQNIFFVRAFPDSLGSSDAAFLSRAQYMHARLQFAQKKTYVLSVPPFAHLGLGQAAKVEQFGCPLGCLFEKRQKSLGLWLYLEVKAQVTWGASGFGRKGPLKLFLTKVKACDKGTEEEVISFLLDKSPVRAYAVRKNNSMMWIFIDPISHQPEDALFRLKQLFNQCLRLKDRVTHRKVQARLMRAQQGMNELERMCSKLRERDLRTRSSHGQDKDALSLFRCCGCSLEELVGRLRREPYSKETKIMEQIDNLGEELKDAIHDMINANYLRRRQDPWWSKIYICPKRELYPLHFYNRDTVQPRMPPTSSVSALDGADGAEDDSANQILDVEEEDGPEKRPRPRQPRSLGDVENGYNGITVEFTIPKDGNRRDIMSAELFAGFLFFEEMKGACSESIPTAQEVQVETSSTLEPNSTDVIEVALGLLLRARFSVGEGLGENLDQHEDLLTYNRTSGYMNVWAPGLTPLFGKDGDNSIKRLDIFHTGIAVDNVKDSDRIQVKMRKCLPPFLDTFVVQENWLPEYSSKQVSTKGKQGAFFVQVYPDVLDKNEGIFAKKVNAMLSTNMRPLGEARYIKVYFRAWQREPPVGEPLLLNGEIDDEDTENAKHPTKINDGKGVVAVDCKVYGKLGSSIDDPDSLIAWKHHFQHEPQSYVTGVDMKFSGVLTGSRLLNKSHIVSIKIIKDFMVDPSVHFDFVFYENYYPCNTSEKMSTYKKDDGVEVCVVPDMNLGVLQRAEIVTISVQYDMPRGASPEMKIDPKSRKNSVNTTLATVSALSVSDPDLGDGLRIALHDLDEMELVAPEDPRQPEEKHSRNKGLKMASFVSRGARRFSKEGPPRSSPRSGPLLGDTEQSDKEEEQLSEDGLFDGDDWSGVTGDTAQYRVEVCLSTKIIANNDDILTDTVEVHSEEVVKQLVMRFTGILKNSFVKSYNFVQIELMENRDISSAVPWRFTEDFDAVDECNELVRSYFSQGRFTVKAIRDGRGRLESVTKLHILLAM
+>sp|Q3ZCN5|OTOGL_HUMAN Otogelin-like protein OS=Homo sapiens OX=9606 GN=OTOGL PE=2 SV=5
+MIPWSIFLLHVLLFSLQEYICASSILMGTSKNGFNENRQKRALLAAQFEATSPRYFFHDAINWGESKIKGSCPYECLNGAFCSKTGTCDCQIFQALGTRCQIIPNMGNGRDGICKTWGQYHFETFDGIYYYFPGNCSYIFAKDCGDLEPRYTVWVHNSPKCLGSVYSCYRSISLFFSNQEEIRIYGHEIKKNGISLTLPQTIGQIFIEKLADYILVKTTFGFSLAWDGISGIYLKLSEDHKGKSCGLCGNYNDIQSDDFIILQEDYTEDIAMFANSWSVQTPDDTKCVLTPSDFPNPCSSGMPAFEAIFFKCQILLQFPFLSCHEYIDPYLYIASCVNDLCKTDDDETYCRAATEYARACSHAGYPIQDWRDDFPACTDKCDDSFVHRDCISCCPPTCTFEKQCLGSNLHCLDGCYCPDGLVMDNGTCISLENCPCGFHGLAYSVGSKIEQECTECVCVGGVWNCTEQDCPVQCSVVGDSHFTTFDGRHYSFIGMCQYILVKGTGKDKFTITLQKAPCEQNLGLVCLQSITLILEDDFNKQVTLGRGGQILTSPNQGFNLNGIVEIQTLSSLFILLKTTFGLKILFAIDGERIYIQLTSAWKRRTLGLCGTFNGNIRDDFLSPSGMIEGTPQLHANAWRVSSTCFAPVHVPVVDPCNINQQNIGYAAHCDVIHQELFAPCHIYISPGLYYQLCRHDACKCGSSCLCNALAHYAYLCGQHGVPIDFRTQISFCAVVCQKGMLYHHCSSFCLHSCISLSSPEQCSDDCAEGCNCPEGKFYEDTLNFCVPIFHCRCHYRGSVYQPGELIPTPSGLCQCSNGTVKCDELATPSAVHICPEGKEYFDCRFPDPELPAGGVNCETTCANLAMNFTCTPSSPCISGCVCAPGMAEHRGKCYVPESCPCIWKDWEYLSGEVIATPCYTCVCRRGMFNCTYYPCPAVCTIYGDRHYYSFDGLEYDYISDCQVFLIKSADDSDISVIAQNKKCFDNDIVCSKSVLISVGDTEIYLNDTPYKQKQSGFFLENKSTYQLWKAGYYIVVYFPEKDITILWDRKTTIHIKVGPQWKNKLSGLCGNFDKCTSNDMTTSNNLEVRNARVFGDSWALGQCESPDETIKPCEAHQNKFPYAKKECSILYSDIFASCRNVIDVTSFAKNCHEDTCNCNLGGDCECLCTSIAAYAYKCCQEGISIHWRSSTVCSLDCEYYNEGLGEGPYMLASYGQSGLVLGANMTSRSVFCLPRSSVHTSLFFYFMITPGLFKEKVSSLALVSLESAERPNYFLYVHDNDTLSLELWEANSAFHRRATFFHHQGLWIPGYSAFELYSKKGFFIIFTDSSVKASKYDDSEEFKHSSSFSIEEIQAAVPYRKMCEWRYEPCATPCFKTCSDPEALACKFLPPVEGCLPYCPKNMILDEVTLKCVYPRDCIPVIPTEPTLMPPAKPTVPITVFDMLTPTTGLECEPQKFDPVYDCSQYICLNMEWQLYNWSLNCPKDVEMPDCGFRGRPVQVNSDICCPEWECPCRCSMLSELSIITFDGNNAALYSMASYILVRIPGEIIVAHIEKCSMNQNGNSLKKLAPSGRISGLCFKKLNVTTPIHKIIVNRLARKVEVDSIVVPLPFSSQELSIEDSGSMYVITTPAGLIIKWSHLTGIIDIHFGFRFNLSSYTEGLCGICNEDPDDDLRMQNGTIITNMEDIGLFIESWEIEKSFEVTMRRPVRNCTEHDCSQCIDLLNRRIFIPCHDKVSPEDFCEKMWINYTYFWNYECDALSAYVALCNKFDICIQWRTPDYCSLSCPEGKEYQPCVRPCEARTCLNQWFYGHTSCLNLREDCVCKVGTILHRPHSAQCIPEKECACTDSEDQPRTAGEIWNGGIDECTLYKCLENGSIIPIEPDCDEEPTPVCEREAEVVMGIIDKWTCCSKEVCGCDTTLCETSIPTCTNSQKLIVGHSPLSCCPQYKCECDPLKCPSISTPECREDQFMIQVRQEEPCCFSPFCVCESCTKPVPLCHDGEFLTVDLNSTHFCCPQYYCVCEPNLCPMPLLNCAEDMNLVKENVSGQCCPTWHCECNCENLIMPTCEVGEFTAIDHNFQSDCGCIQYLCEKDDVCVFQEVSVLNPGQSMIKYLEEDFCYAIECLEEKDNHTGFHTLNFTLVNCSKKCDVHQVYTPSPSDYGCCGTCKNVSCKFHMENGTSVVYAVGSTWHYNCTTYECVKTDEGAIILNYTMVCPPFNETECKMNEGIVKLYNEGCCKICKREERICQKVIIKSVIRKQDCMSQSPINVASCDGKCPSATIYNINIESHLRFCKCCRENGVRNLSVPLYCSGNGTEIMYTLQEPIDCTCQWN
+>DECOY_sp|Q3ZCN5|OTOGL_HUMAN Otogelin-like protein OS=Homo sapiens OX=9606 GN=OTOGL PE=2 SV=5
+NWQCTCDIPEQLTYMIETGNGSCYLPVSLNRVGNERCCKCFRLHSEININYITASPCKGDCSAVNIPSQSMCDQKRIVSKIIVKQCIREERKCIKCCGENYLKVIGENMKCETENFPPCVMTYNLIIAGEDTKVCEYTTCNYHWTSGVAYVVSTGNEMHFKCSVNKCTGCCGYDSPSPTYVQHVDCKKSCNVLTFNLTHFGTHNDKEELCEIAYCFDEELYKIMSQGPNLVSVEQFVCVDDKECLYQICGCDSQFNHDIATFEGVECTPMILNECNCECHWTPCCQGSVNEKVLNMDEACNLLPMPCLNPECVCYYQPCCFHTSNLDVTLFEGDHCLPVPKTCSECVCFPSFCCPEEQRVQIMFQDERCEPTSISPCKLPDCECKYQPCCSLPSHGVILKQSNTCTPISTECLTTDCGCVEKSCCTWKDIIGMVVEAERECVPTPEEDCDPEIPIISGNELCKYLTCEDIGGNWIEGATRPQDESDTCACEKEPICQASHPRHLITGVKCVCDERLNLCSTHGYFWQNLCTRAECPRVCPQYEKGEPCSLSCYDPTRWQICIDFKNCLAVYASLADCEYNWFYTYNIWMKECFDEPSVKDHCPIFIRRNLLDICQSCDHETCNRVPRRMTVEFSKEIEWSEIFLGIDEMNTIITGNQMRLDDDPDENCIGCLGETYSSLNFRFGFHIDIIGTLHSWKIILGAPTTIVYMSGSDEISLEQSSFPLPVVISDVEVKRALRNVIIKHIPTTVNLKKFCLGSIRGSPALKKLSNGNQNMSCKEIHAVIIEGPIRVLIYSAMSYLAANNGDFTIISLESLMSCRCPCEWEPCCIDSNVQVPRGRFGCDPMEVDKPCNLSWNYLQWEMNLCIYQSCDYVPDFKQPECELGTTPTLMDFVTIPVTPKAPPMLTPETPIVPICDRPYVCKLTVEDLIMNKPCYPLCGEVPPLFKCALAEPDSCTKFCPTACPEYRWECMKRYPVAAQIEEISFSSSHKFEESDDYKSAKVSSDTFIIFFGKKSYLEFASYGPIWLGQHHFFTARRHFASNAEWLELSLTDNDHVYLFYNPREASELSVLALSSVKEKFLGPTIMFYFFLSTHVSSRPLCFVSRSTMNAGLVLGSQGYSALMYPGEGLGENYYECDLSCVTSSRWHISIGEQCCKYAYAAISTCLCECDGGLNCNCTDEHCNKAFSTVDIVNRCSAFIDSYLISCEKKAYPFKNQHAECPKITEDPSECQGLAWSDGFVRANRVELNNSTTMDNSTCKDFNGCLGSLKNKWQPGVKIHITTKRDWLITIDKEPFYVVIYYGAKWLQYTSKNELFFGSQKQKYPTDNLYIETDGVSILVSKSCVIDNDFCKKNQAIVSIDSDDASKILFVQCDSIYDYELGDFSYYHRDGYITCVAPCPYYTCNFMGRRCVCTYCPTAIVEGSLYEWDKWICPCSEPVYCKGRHEAMGPACVCGSICPSSPTCTFNMALNACTTECNVGGAPLEPDPFRCDFYEKGEPCIHVASPTALEDCKVTGNSCQCLGSPTPILEGPQYVSGRYHCRCHFIPVCFNLTDEYFKGEPCNCGEACDDSCQEPSSLSICSHLCFSSCHHYLMGKQCVVACFSIQTRFDIPVGHQGCLYAYHALANCLCSSGCKCADHRCLQYYLGPSIYIHCPAFLEQHIVDCHAAYGINQQNINCPDVVPVHVPAFCTSSVRWANAHLQPTGEIMGSPSLFDDRINGNFTGCLGLTRRKWASTLQIYIREGDIAFLIKLGFTTKLLIFLSSLTQIEVIGNLNFGQNPSTLIQGGRGLTVQKNFDDELILTISQLCVLGLNQECPAKQLTITFKDKGTGKVLIYQCMGIFSYHRGDFTTFHSDGVVSCQVPCDQETCNWVGGVCVCETCEQEIKSGVSYALGHFGCPCNELSICTGNDMVLGDPCYCGDLCHLNSGLCQKEFTCTPPCCSICDRHVFSDDCKDTCAPFDDRWDQIPYGAHSCARAYETAARCYTEDDDTKCLDNVCSAIYLYPDIYEHCSLFPFQLLIQCKFFIAEFAPMGSSCPNPFDSPTLVCKTDDPTQVSWSNAFMAIDETYDEQLIIFDDSQIDNYNGCLGCSKGKHDESLKLYIGSIGDWALSFGFTTKVLIYDALKEIFIQGITQPLTLSIGNKKIEHGYIRIEEQNSFFLSISRYCSYVSGLCKPSNHVWVTYRPELDGCDKAFIYSCNGPFYYYIGDFTEFHYQGWTKCIGDRGNGMNPIIQCRTGLAQFIQCDCTGTKSCFAGNLCEYPCSGKIKSEGWNIADHFFYRPSTAEFQAALLARKQRNENFGNKSTGMLISSACIYEQLSFLLVHLLFISWPIM
+>sp|Q8NHW6|OTOSP_HUMAN Otospiralin OS=Homo sapiens OX=9606 GN=OTOS PE=2 SV=1
+MQACMVPGLALCLLLGPLAGAKPVQEEGDPYAELPAMPYWPFSTSDFWNYVQHFQALGAYPQIEDMARTFFAHFPLGSTLGFHVPYQED
+>DECOY_sp|Q8NHW6|OTOSP_HUMAN Otospiralin OS=Homo sapiens OX=9606 GN=OTOS PE=2 SV=1
+DEQYPVHFGLTSGLPFHAFFTRAMDEIQPYAGLAQFHQVYNWFDSTSFPWYPMAPLEAYPDGEEQVPKAGALPGLLLCLALGPVMCAQM
+>sp|Q8WZ82|OVCA2_HUMAN Esterase OVCA2 OS=Homo sapiens OX=9606 GN=OVCA2 PE=1 SV=1
+MAAQRPLRVLCLAGFRQSERGFREKTGALRKALRGRAELVCLSGPHPVPDPPGPEGARSDFGSCPPEEQPRGWWFSEQEADVFSALEEPAVCRGLEESLGMVAQALNRLGPFDGLLGFSQGAALAALVCALGQAGDPRFPLPRFILLVSGFCPRGIGFKESILQRPLSLPSLHVFGDTDKVIPSQESVQLASQFPGAITLTHSGGHFIPAAAPQRQAYLKFLDQFAE
+>DECOY_sp|Q8WZ82|OVCA2_HUMAN Esterase OVCA2 OS=Homo sapiens OX=9606 GN=OVCA2 PE=1 SV=1
+EAFQDLFKLYAQRQPAAAPIFHGGSHTLTIAGPFQSALQVSEQSPIVKDTDGFVHLSPLSLPRQLISEKFGIGRPCFGSVLLIFRPLPFRPDGAQGLACVLAALAAGQSFGLLGDFPGLRNLAQAVMGLSEELGRCVAPEELASFVDAEQESFWWGRPQEEPPCSGFDSRAGEPGPPDPVPHPGSLCVLEARGRLAKRLAGTKERFGRESQRFGALCLVRLPRQAAM
+>sp|Q7RTY7|OVCH1_HUMAN Ovochymase-1 OS=Homo sapiens OX=9606 GN=OVCH1 PE=2 SV=2
+MGLLASAGLLLLLVIGHPRSLGLKCGIRMVNMKSKEPAVGSRFFSRISSWRNSTVTGHPWQVSLKSDEHHFCGGSLIQEDRVVTAAHCLDSLSEKQLKNITVTSGEYSLFQKDKQEQNIPVSKIITHPEYNSREYMSPDIALLYLKHKVKFGNAVQPICLPDSDDKVEPGILCLSSGWGKISKTSEYSNVLQEMELPIMDDRACNTVLKSMNLPPLGRTMLCAGFPDWGMDACQGDSGGPLVCRRGGGIWILAGITSWVAGCAGGSVPVRNNHVKASLGIFSKVSELMDFITQNLFTGLDRGQPLSKVGSRYITKALSSVQEVNGSQRGKGILDMEKQVGCDHDYVSLRSSSGVLFNQRSLMEDDGKQNKRVCGKILPSPLLAETSEAMVPFVSDTEDSGSGFELTVTAVQKSEAGSGCGSLAILVEEGTNHSAKYPDLYPSNIRCHWFICAPEKHIIKLTFEDFAVKFSPNCIYDAVVIYGDSEEKHKLAKLCGMLTITSIFSSSNMTVIYFKSDGKNRLQGFKARFTILPSESLNKFEPKLPPQNNPVSTVKAILHDVCGIPPFSPQWLSRRIAGGEEACPHCWPWQVGLRFLGDYQCGGAIINPVWILTAAHCVQLKNNPLSWTIIAGDHDRNLKESTEQVRRAKHIIVHEDFNTLSYDSDIALIQLSSPLEYNSVVRPVCLPHSAEPLFSSEICAVTGWGSISADGGLASRLQQIQVHVLEREVCEHTYYSAHPGGITEKMICAGFAASGEKDFCQGDSGGPLVCRHENGPFVLYGIVSWGAGCVQPWKPGVFARVMIFLDWIQSKINGPASLQTNNKCKTLKQQLPPPTPSPDSASWPGCCSEAELEKPRGFFPTPRYLLDYRGRLECSWVLRVSPSSMAKFTIEYLSLLGSPVCQDSVLIIYEERHSKRKTAGGLHGRRLYSMTFMSPGPLVRVTFHALVRGAFGISYIDLKVLGPKDSKITRLSQSSNREHLVPCEDVLLTKPEGIMQIPRNSHRTTMGCQWRLVAPLNHIIQLNIINFPMKPTTFVCHGHLRVYEGFGPGKKLIASFAGTLAMILTKDILKREKLNFINTYIMHIWENSVYDNVRSVGKRKQKKFASNLSYSMEAEKSRIQVPADLVPAKGSLSGS
+>DECOY_sp|Q7RTY7|OVCH1_HUMAN Ovochymase-1 OS=Homo sapiens OX=9606 GN=OVCH1 PE=2 SV=2
+SGSLSGKAPVLDAPVQIRSKEAEMSYSLNSAFKKQKRKGVSRVNDYVSNEWIHMIYTNIFNLKERKLIDKTLIMALTGAFSAILKKGPGFGEYVRLHGHCVFTTPKMPFNIINLQIIHNLPAVLRWQCGMTTRHSNRPIQMIGEPKTLLVDECPVLHERNSSQSLRTIKSDKPGLVKLDIYSIGFAGRVLAHFTVRVLPGPSMFTMSYLRRGHLGGATKRKSHREEYIILVSDQCVPSGLLSLYEITFKAMSSPSVRLVWSCELRGRYDLLYRPTPFFGRPKELEAESCCGPWSASDPSPTPPPLQQKLTKCKNNTQLSAPGNIKSQIWDLFIMVRAFVGPKWPQVCGAGWSVIGYLVFPGNEHRCVLPGGSDGQCFDKEGSAAFGACIMKETIGGPHASYYTHECVERELVHVQIQQLRSALGGDASISGWGTVACIESSFLPEASHPLCVPRVVSNYELPSSLQILAIDSDYSLTNFDEHVIIHKARRVQETSEKLNRDHDGAIITWSLPNNKLQVCHAATLIWVPNIIAGGCQYDGLFRLGVQWPWCHPCAEEGGAIRRSLWQPSFPPIGCVDHLIAKVTSVPNNQPPLKPEFKNLSESPLITFRAKFGQLRNKGDSKFYIVTMNSSSFISTITLMGCLKALKHKEESDGYIVVADYICNPSFKVAFDEFTLKIIHKEPACIFWHCRINSPYLDPYKASHNTGEEVLIALSGCGSGAESKQVATVTLEFGSGSDETDSVFPVMAESTEALLPSPLIKGCVRKNQKGDDEMLSRQNFLVGSSSRLSVYDHDCGVQKEMDLIGKGRQSGNVEQVSSLAKTIYRSGVKSLPQGRDLGTFLNQTIFDMLESVKSFIGLSAKVHNNRVPVSGGACGAVWSTIGALIWIGGGRRCVLPGGSDGQCADMGWDPFGACLMTRGLPPLNMSKLVTNCARDDMIPLEMEQLVNSYESTKSIKGWGSSLCLIGPEVKDDSDPLCIPQVANGFKVKHKLYLLAIDPSMYERSNYEPHTIIKSVPINQEQKDKQFLSYEGSTVTINKLQKESLSDLCHAATVVRDEQILSGGCFHHEDSKLSVQWPHGTVTSNRWSSIRSFFRSGVAPEKSKMNVMRIGCKLGLSRPHGIVLLLLLGASALLGM
+>sp|Q7RTZ1|OVCH2_HUMAN Ovochymase-2 OS=Homo sapiens OX=9606 GN=OVCH2 PE=3 SV=2
+MLISRNKLILLLGIVFFERGKSATLSLPKAPSCGQSLVKVQPWNYFNIFSRILGGSQVEKGSYPWQVSLKQRQKHICGGSIVSPQWVITAAHCIANRNIVSTLNVTAGEYDLSQTDPGEQTLTIETVIIHPHFSTKKPMDYDIALLKMAGAFQFGHFVGPICLPELREQFEAGFICTTAGWGRLTEGGVLSQVLQEVNLPILTWEECVAALLTLKRPISGKTFLCTGFPDGGRDACQGDSGGSLMCRNKKGAWTLAGVTSWGLGCGRGWRNNVRKSDQGSPGIFTDISKVLPWIHEHIQTGNRRKSSRAWCSEQDVIVSGAEGKLHFPESLHLYYESKQRCVWTLLVPEEMHVLLSFSHLDVESCHHSYLSMYSLEDRPIGKFCGESLPSSILIGSNSLRLKFVSDATDNAARFNLTYKALKPNYIPDSGCSYLTVLFEEGLIQSLNYPENYSDKANCDWIFQASKHHLIKLSFQSLEIEESGDCTSDYVTVHSDVERKKEIARLCGYDVPTPVLSPSSIMLISFHSDENGTCRGFQATVSFIPKAGKKIELPTLWFPVLILVM
+>DECOY_sp|Q7RTZ1|OVCH2_HUMAN Ovochymase-2 OS=Homo sapiens OX=9606 GN=OVCH2 PE=3 SV=2
+MVLILVPFWLTPLEIKKGAKPIFSVTAQFGRCTGNEDSHFSILMISSPSLVPTPVDYGCLRAIEKKREVDSHVTVYDSTCDGSEEIELSQFSLKILHHKSAQFIWDCNAKDSYNEPYNLSQILGEEFLVTLYSCGSDPIYNPKLAKYTLNFRAANDTADSVFKLRLSNSGILISSPLSEGCFKGIPRDELSYMSLYSHHCSEVDLHSFSLLVHMEEPVLLTWVCRQKSEYYLHLSEPFHLKGEAGSVIVDQESCWARSSKRRNGTQIHEHIWPLVKSIDTFIGPSGQDSKRVNNRWGRGCGLGWSTVGALTWAGKKNRCMLSGGSDGQCADRGGDPFGTCLFTKGSIPRKLTLLAAVCEEWTLIPLNVEQLVQSLVGGETLRGWGATTCIFGAEFQERLEPLCIPGVFHGFQFAGAMKLLAIDYDMPKKTSFHPHIIVTEITLTQEGPDTQSLDYEGATVNLTSVINRNAICHAATIVWQPSVISGGCIHKQRQKLSVQWPYSGKEVQSGGLIRSFINFYNWPQVKVLSQGCSPAKPLSLTASKGREFFVIGLLLILKNRSILM
+>sp|O43614|OX2R_HUMAN Orexin receptor type 2 OS=Homo sapiens OX=9606 GN=HCRTR2 PE=1 SV=2
+MSGTKLEDSPPCRNWSSASELNETQEPFLNPTDYDDEEFLRYLWREYLHPKEYEWVLIAGYIIVFVVALIGNVLVCVAVWKNHHMRTVTNYFIVNLSLADVLVTITCLPATLVVDITETWFFGQSLCKVIPYLQTVSVSVSVLTLSCIALDRWYAICHPLMFKSTAKRARNSIVIIWIVSCIIMIPQAIVMECSTVFPGLANKTTLFTVCDERWGGEIYPKMYHICFFLVTYMAPLCLMVLAYLQIFRKLWCRQIPGTSSVVQRKWKPLQPVSQPRGPGQPTKSRMSAVAAEIKQIRARRKTARMLMIVLLVFAICYLPISILNVLKRVFGMFAHTEDRETVYAWFTFSHWLVYANSAANPIIYNFLSGKFREEFKAAFSCCCLGVHHRQEDRLTRGRTSTESRKSLTTQISNFDNISKLSEQVVLTSISTLPAANGAGPLQNW
+>DECOY_sp|O43614|OX2R_HUMAN Orexin receptor type 2 OS=Homo sapiens OX=9606 GN=HCRTR2 PE=1 SV=2
+WNQLPGAGNAAPLTSISTLVVQESLKSINDFNSIQTTLSKRSETSTRGRTLRDEQRHHVGLCCCSFAAKFEERFKGSLFNYIIPNAASNAYVLWHSFTFWAYVTERDETHAFMGFVRKLVNLISIPLYCIAFVLLVIMLMRATKRRARIQKIEAAVASMRSKTPQGPGRPQSVPQLPKWKRQVVSSTGPIQRCWLKRFIQLYALVMLCLPAMYTVLFFCIHYMKPYIEGGWREDCVTFLTTKNALGPFVTSCEMVIAQPIMIICSVIWIIVISNRARKATSKFMLPHCIAYWRDLAICSLTLVSVSVSVTQLYPIVKCLSQGFFWTETIDVVLTAPLCTITVLVDALSLNVIFYNTVTRMHHNKWVAVCVLVNGILAVVFVIIYGAILVWEYEKPHLYERWLYRLFEEDDYDTPNLFPEQTENLESASSWNRCPPSDELKTGSM
+>sp|Q15072|OZF_HUMAN Zinc finger protein OZF OS=Homo sapiens OX=9606 GN=ZNF146 PE=1 SV=2
+MSHLSQQRIYSGENPFACKVCGKVFSHKSNLTEHEHFHTREKPFECNECGKAFSQKQYVIKHQNTHTGEKLFECNECGKSFSQKENLLTHQKIHTGEKPFECKDCGKAFIQKSNLIRHQRTHTGEKPFVCKECGKTFSGKSNLTEHEKIHIGEKPFKCSECGTAFGQKKYLIKHQNIHTGEKPYECNECGKAFSQRTSLIVHVRIHSGDKPYECNVCGKAFSQSSSLTVHVRSHTGEKPYGCNECGKAFSQFSTLALHLRIHTGKKPYQCSECGKAFSQKSHHIRHQKIHTH
+>DECOY_sp|Q15072|OZF_HUMAN Zinc finger protein OZF OS=Homo sapiens OX=9606 GN=ZNF146 PE=1 SV=2
+HTHIKQHRIHHSKQSFAKGCESCQYPKKGTHIRLHLALTSFQSFAKGCENCGYPKEGTHSRVHVTLSSSQSFAKGCVNCEYPKDGSHIRVHVILSTRQSFAKGCENCEYPKEGTHINQHKILYKKQGFATGCESCKFPKEGIHIKEHETLNSKGSFTKGCEKCVFPKEGTHTRQHRILNSKQIFAKGCDKCEFPKEGTHIKQHTLLNEKQSFSKGCENCEFLKEGTHTNQHKIVYQKQSFAKGCENCEFPKERTHFHEHETLNSKHSFVKGCVKCAFPNEGSYIRQQSLHSM
+>sp|Q3SYA9|P12L1_HUMAN Putative POM121-like protein 1 OS=Homo sapiens OX=9606 GN=POM121L1P PE=5 SV=2
+MDSLWGPGAGSHPFGVHNSRLSPDLCPGKIVLRALKESGAGMPEQDKDPRVQENPGDQRRVPEVTGDAPSAFRPLRDNGGLSPFVPGPGPLQTDLHAQRSEIRYNQTSQTSWTSSCTNRNAISSSYSSTGGLPGLKRRRGPASSHCQLTLSSSKTVSEDRPQAVSSGHTQCEKVAEIAPGQTLALRNDSSRSEASRPSTRKFPLLPRRRGEPLMLPPPVELGYRVTAEDLDWEKEAAFQCIKSALQVEDKAISDCRPSRPSHTLSSLATGASGLPAVSKAPSMDAQQERHKSQDCLGLVAPLASATEVPSTAPMSGEKHRPPGPLFSSSDPLPATSSHSQDSAQVTSLIPAPFPAASMDAGMRRTRPGTSAPAAAAAAPPPSTLNRTLGSLLEWMEALHISGPQPQLQQVPRGQNQRSQTSRTSSCPK
+>DECOY_sp|Q3SYA9|P12L1_HUMAN Putative POM121-like protein 1 OS=Homo sapiens OX=9606 GN=POM121L1P PE=5 SV=2
+KPCSSTRSTQSRQNQGRPVQQLQPQPGSIHLAEMWELLSGLTRNLTSPPPAAAAAAPASTGPRTRRMGADMSAAPFPAPILSTVQASDQSHSSTAPLPDSSSFLPGPPRHKEGSMPATSPVETASALPAVLGLCDQSKHREQQADMSPAKSVAPLGSAGTALSSLTHSPRSPRCDSIAKDEVQLASKICQFAAEKEWDLDEATVRYGLEVPPPLMLPEGRRRPLLPFKRTSPRSAESRSSDNRLALTQGPAIEAVKECQTHGSSVAQPRDESVTKSSSLTLQCHSSAPGRRRKLGPLGGTSSYSSSIANRNTCSSTWSTQSTQNYRIESRQAHLDTQLPGPGPVFPSLGGNDRLPRFASPADGTVEPVRRQDGPNEQVRPDKDQEPMGAGSEKLARLVIKGPCLDPSLRSNHVGFPHSGAGPGWLSDM
+>sp|Q86YP4|P66A_HUMAN Transcriptional repressor p66-alpha OS=Homo sapiens OX=9606 GN=GATAD2A PE=1 SV=1
+MTEEACRTRSQKRALERDPTEDDVESKKIKMERGLLASDLNTDGDMRVTPEPGAGPTQGLLRATEATAMAMGRGEGLVGDGPVDMRTSHSDMKSERRPPSPDVIVLSDNEQPSSPRVNGLTTVALKETSTEALMKSSPEERERMIKQLKEELRLEEAKLVLLKKLRQSQIQKEATAQKPTGSVGSTVTTPPPLVRGTQNIPAGKPSLQTSSARMPGSVIPPPLVRGGQQASSKLGPQASSQVVMPPLVRGAQQIHSIRQHSSTGPPPLLLAPRASVPSVQIQGQRIIQQGLIRVANVPNTSLLVNIPQPTPASLKGTTATSAQANSTPTSVASVVTSAESPASRQAAAKLALRKQLEKTLLEIPPPKPPAPEMNFLPSAANNEFIYLVGLEEVVQNLLETQGRMSAATVLSREPYMCAQCKTDFTCRWREEKSGAIMCENCMTTNQKKALKVEHTSRLKAAFVKALQQEQEIEQRLLQQGTAPAQAKAEPTAAPHPVLKQVIKPRRKLAFRSGEARDWSNGAVLQASSQLSRGSATTPRGVLHTFSPSPKLQNSASATALVSRTGRHSERTVSAGKGSATSNWKKTPLSTGGTLAFVSPSLAVHKSSSAVDRQREYLLDMIPPRSIPQSATWK
+>DECOY_sp|Q86YP4|P66A_HUMAN Transcriptional repressor p66-alpha OS=Homo sapiens OX=9606 GN=GATAD2A PE=1 SV=1
+KWTASQPISRPPIMDLLYERQRDVASSSKHVALSPSVFALTGGTSLPTKKWNSTASGKGASVTRESHRGTRSVLATASASNQLKPSPSFTHLVGRPTTASGRSLQSSAQLVAGNSWDRAEGSRFALKRRPKIVQKLVPHPAATPEAKAQAPATGQQLLRQEIEQEQQLAKVFAAKLRSTHEVKLAKKQNTTMCNECMIAGSKEERWRCTFDTKCQACMYPERSLVTAASMRGQTELLNQVVEELGVLYIFENNAASPLFNMEPAPPKPPPIELLTKELQKRLALKAAAQRSAPSEASTVVSAVSTPTSNAQASTATTGKLSAPTPQPINVLLSTNPVNAVRILGQQIIRQGQIQVSPVSARPALLLPPPGTSSHQRISHIQQAGRVLPPMVVQSSAQPGLKSSAQQGGRVLPPPIVSGPMRASSTQLSPKGAPINQTGRVLPPPTTVTSGVSGTPKQATAEKQIQSQRLKKLLVLKAEELRLEEKLQKIMREREEPSSKMLAETSTEKLAVTTLGNVRPSSPQENDSLVIVDPSPPRRESKMDSHSTRMDVPGDGVLGEGRGMAMATAETARLLGQTPGAGPEPTVRMDGDTNLDSALLGREMKIKKSEVDDETPDRELARKQSRTRCAEETM
+>sp|P68402|PA1B2_HUMAN Platelet-activating factor acetylhydrolase IB subunit beta OS=Homo sapiens OX=9606 GN=PAFAH1B2 PE=1 SV=1
+MSQGDSNPAAIPHAAEDIQGDDRWMSQHNRFVLDCKDKEPDVLFVGDSMVQLMQQYEIWRELFSPLHALNFGIGGDTTRHVLWRLKNGELENIKPKVIVVWVGTNNHENTAEEVAGGIEAIVQLINTRQPQAKIIVLGLLPRGEKPNPLRQKNAKVNQLLKVSLPKLANVQLLDTDGGFVHSDGAISCHDMFDFLHLTGGGYAKICKPLHELIMQLLEETPEEKQTTIA
+>DECOY_sp|P68402|PA1B2_HUMAN Platelet-activating factor acetylhydrolase IB subunit beta OS=Homo sapiens OX=9606 GN=PAFAH1B2 PE=1 SV=1
+AITTQKEEPTEELLQMILEHLPKCIKAYGGGTLHLFDFMDHCSIAGDSHVFGGDTDLLQVNALKPLSVKLLQNVKANKQRLPNPKEGRPLLGLVIIKAQPQRTNILQVIAEIGGAVEEATNEHNNTGVWVVIVKPKINELEGNKLRWLVHRTTDGGIGFNLAHLPSFLERWIEYQQMLQVMSDGVFLVDPEKDKCDLVFRNHQSMWRDDGQIDEAAHPIAAPNSDGQSM
+>sp|Q15102|PA1B3_HUMAN Platelet-activating factor acetylhydrolase IB subunit gamma OS=Homo sapiens OX=9606 GN=PAFAH1B3 PE=1 SV=1
+MSGEENPASKPTPVQDVQGDGRWMSLHHRFVADSKDKEPEVVFIGDSLVQLMHQCEIWRELFSPLHALNFGIGGDGTQHVLWRLENGELEHIRPKIVVVWVGTNNHGHTAEQVTGGIKAIVQLVNERQPQARVVVLGLLPRGQHPNPLREKNRQVNELVRAALAGHPRAHFLDADPGFVHSDGTISHHDMYDYLHLSRLGYTPVCRALHSLLLRLLAQDQGQGAPLLEPAP
+>DECOY_sp|Q15102|PA1B3_HUMAN Platelet-activating factor acetylhydrolase IB subunit gamma OS=Homo sapiens OX=9606 GN=PAFAH1B3 PE=1 SV=1
+PAPELLPAGQGQDQALLRLLLSHLARCVPTYGLRSLHLYDYMDHHSITGDSHVFGPDADLFHARPHGALAARVLENVQRNKERLPNPHQGRPLLGLVVVRAQPQRENVLQVIAKIGGTVQEATHGHNNTGVWVVVIKPRIHELEGNELRWLVHQTGDGGIGFNLAHLPSFLERWIECQHMLQVLSDGIFVVEPEKDKSDAVFRHHLSMWRGDGQVDQVPTPKSAPNEEGSM
+>sp|P53816|PA216_HUMAN HRAS-like suppressor 3 OS=Homo sapiens OX=9606 GN=PLA2G16 PE=1 SV=2
+MRAPIPEPKPGDLIEIFRPFYRHWAIYVGDGYVVHLAPPSEVAGAGAASVMSALTDKAIVKKELLYDVAGSDKYQVNNKHDDKYSPLPCSKIIQRAEELVGQEVLYKLTSENCEHFVNELRYGVARSDQVRDVIIAASVAGMGLAAMSLIGVMFSRNKRQKQ
+>DECOY_sp|P53816|PA216_HUMAN HRAS-like suppressor 3 OS=Homo sapiens OX=9606 GN=PLA2G16 PE=1 SV=2
+QKQRKNRSFMVGILSMAALGMGAVSAAIIVDRVQDSRAVGYRLENVFHECNESTLKYLVEQGVLEEARQIIKSCPLPSYKDDHKNNVQYKDSGAVDYLLEKKVIAKDTLASMVSAAGAGAVESPPALHVVYGDGVYIAWHRYFPRFIEILDGPKPEPIPARM
+>sp|P47712|PA24A_HUMAN Cytosolic phospholipase A2 OS=Homo sapiens OX=9606 GN=PLA2G4A PE=1 SV=2
+MSFIDPYQHIIVEHQYSHKFTVVVLRATKVTKGAFGDMLDTPDPYVELFISTTPDSRKRTRHFNNDINPVWNETFEFILDPNQENVLEITLMDANYVMDETLGTATFTVSSMKVGEKKEVPFIFNQVTEMVLEMSLEVCSCPDLRFSMALCDQEKTFRQQRKEHIRESMKKLLGPKNSEGLHSARDVPVVAILGSGGGFRAMVGFSGVMKALYESGILDCATYVAGLSGSTWYMSTLYSHPDFPEKGPEEINEELMKNVSHNPLLLLTPQKVKRYVESLWKKKSSGQPVTFTDIFGMLIGETLIHNRMNTTLSSLKEKVNTAQCPLPLFTCLHVKPDVSELMFADWVEFSPYEIGMAKYGTFMAPDLFGSKFFMGTVVKKYEENPLHFLMGVWGSAFSILFNRVLGVSGSQSRGSTMEEELENITTKHIVSNDSSDSDDESHEPKGTENEDAGSDYQSDNQASWIHRMIMALVSDSALFNTREGRAGKVHNFMLGLNLNTSYPLSPLSDFATQDSFDDDELDAAVADPDEFERIYEPLDVKSKKIHVVDSGLTFNLPYPLILRPQRGVDLIISFDFSARPSDSSPPFKELLLAEKWAKMNKLPFPKIDPYVFDREGLKECYVFKPKNPDMEKDCPTIIHFVLANINFRKYRAPGVPRETEEEKEIADFDIFDDPESPFSTFNFQYPNQAFKRLHDLMHFNTLNNIDVIKEAMVESIEYRRQNPSRCSVSLSNVEARRFFNKEFLSKPKA
+>DECOY_sp|P47712|PA24A_HUMAN Cytosolic phospholipase A2 OS=Homo sapiens OX=9606 GN=PLA2G4A PE=1 SV=2
+AKPKSLFEKNFFRRAEVNSLSVSCRSPNQRRYEISEVMAEKIVDINNLTNFHMLDHLRKFAQNPYQFNFTSFPSEPDDFIDFDAIEKEEETERPVGPARYKRFNINALVFHIITPCDKEMDPNKPKFVYCEKLGERDFVYPDIKPFPLKNMKAWKEALLLEKFPPSSDSPRASFDFSIILDVGRQPRLILPYPLNFTLGSDVVHIKKSKVDLPEYIREFEDPDAVAADLEDDDFSDQTAFDSLPSLPYSTNLNLGLMFNHVKGARGERTNFLASDSVLAMIMRHIWSAQNDSQYDSGADENETGKPEHSEDDSDSSDNSVIHKTTINELEEEMTSGRSQSGSVGLVRNFLISFASGWVGMLFHLPNEEYKKVVTGMFFKSGFLDPAMFTGYKAMGIEYPSFEVWDAFMLESVDPKVHLCTFLPLPCQATNVKEKLSSLTTNMRNHILTEGILMGFIDTFTVPQGSSKKKWLSEVYRKVKQPTLLLLPNHSVNKMLEENIEEPGKEPFDPHSYLTSMYWTSGSLGAVYTACDLIGSEYLAKMVGSFGVMARFGGGSGLIAVVPVDRASHLGESNKPGLLKKMSERIHEKRQQRFTKEQDCLAMSFRLDPCSCVELSMELVMETVQNFIFPVEKKEGVKMSSVTFTATGLTEDMVYNADMLTIELVNEQNPDLIFEFTENWVPNIDNNFHRTRKRSDPTTSIFLEVYPDPTDLMDGFAGKTVKTARLVVVTFKHSYQHEVIIHQYPDIFSM
+>sp|Q9UP65|PA24C_HUMAN Cytosolic phospholipase A2 gamma OS=Homo sapiens OX=9606 GN=PLA2G4C PE=1 SV=2
+MGSSEVSIIPGLQKEEKAAVERRRLHVLKALKKLRIEADEAPVVAVLGSGGGLRAHIACLGVLSEMKEQGLLDAVTYLAGVSGSTWAISSLYTNDGDMEALEADLKHRFTRQEWDLAKSLQKTIQAARSENYSLTDFWAYMVISKQTRELPESHLSNMKKPVEEGTLPYPIFAAIDNDLQPSWQEARAPETWFEFTPHHAGFSALGAFVSITHFGSKFKKGRLVRTHPERDLTFLRGLWGSALGNTEVIREYIFDQLRNLTLKGLWRRAVANAKSIGHLIFARLLRLQESSQGEHPPPEDEGGEPEHTWLTEMLENWTRTSLEKQEQPHEDPERKGSLSNLMDFVKKTGICASKWEWGTTHNFLYKHGGIRDKIMSSRKHLHLVDAGLAINTPFPLVLPPTREVHLILSFDFSAGDPFETIRATTDYCRRHKIPFPQVEEAELDLWSKAPASCYILKGETGPVVMHFPLFNIDACGGDIEAWSDTYDTFKLADTYTLDVVVLLLALAKKNVRENKKKILRELMNVAGLYYPKDSARSCCLA
+>DECOY_sp|Q9UP65|PA24C_HUMAN Cytosolic phospholipase A2 gamma OS=Homo sapiens OX=9606 GN=PLA2G4C PE=1 SV=2
+ALCCSRASDKPYYLGAVNMLERLIKKKNERVNKKALALLLVVVDLTYTDALKFTDYTDSWAEIDGGCADINFLPFHMVVPGTEGKLIYCSAPAKSWLDLEAEEVQPFPIKHRRCYDTTARITEFPDGASFDFSLILHVERTPPLVLPFPTNIALGADVLHLHKRSSMIKDRIGGHKYLFNHTTGWEWKSACIGTKKVFDMLNSLSGKREPDEHPQEQKELSTRTWNELMETLWTHEPEGGEDEPPPHEGQSSEQLRLLRAFILHGISKANAVARRWLGKLTLNRLQDFIYERIVETNGLASGWLGRLFTLDREPHTRVLRGKKFKSGFHTISVFAGLASFGAHHPTFEFWTEPARAEQWSPQLDNDIAAFIPYPLTGEEVPKKMNSLHSEPLERTQKSIVMYAWFDTLSYNESRAAQITKQLSKALDWEQRTFRHKLDAELAEMDGDNTYLSSIAWTSGSVGALYTVADLLGQEKMESLVGLCAIHARLGGGSGLVAVVPAEDAEIRLKKLAKLVHLRRREVAAKEEKQLGPIISVESSGM
+>sp|Q86XP0|PA24D_HUMAN Cytosolic phospholipase A2 delta OS=Homo sapiens OX=9606 GN=PLA2G4D PE=1 SV=2
+MESLSPGGPPGHPYQGEASTCWQLTVRVLEARNLRWADLLSEADPYVILQLSTAPGMKFKTKTLTDTSHPVWNEAFRFLIQSQVKNVLELSIYDEDSVTEDDICFKVLYDISEVLPGKLLRKTFSQSPQGEEELDVEFLMEETSDRPENLITNKVIVARELSCLDVHLDSTGSTAVVADQDKLELELVLKGSYEDTQTSFLGTASAFRFHYMAALETELSGRLRSSRSNGWNGDNSAGYLTVPLRPLTIGKEVTMDVPAPNAPGVRLQLKAEGCPEELAVHLGFNLCAEEQAFLSRRKQVVAKALKQALQLDRDLQEDEVPVVGIMATGGGARAMTSLYGHLLALQKLGLLDCVTYFSGISGSTWTMAHLYGDPEWSQRDLEGPIRYAREHLAKSKLEVFSPERLASYRRELELRAEQGHPTTFVDLWALVLESMLHGQVMDQKLSGQRAALERGQNPLPLYLSLNVKENNLETLDFKEWVEFSPYEVGFLKYGAFVPPELFGSEFFMGRLMRRIPEPRICFLEAIWSNIFSLNLLDAWYDLTSSGESWKQHIKDKTRSLEKEPLTTSGTSSRLEASWLQPGTALAQAFKGFLTGRPLHQRSPNFLQGLQLHQDYCSHKDFSTWADYQLDSMPSQLTPKEPRLCLVDAAYFINTSSPSMFRPGRRLDLILSFDYSLSAPFEALQQTELYCRARGLPFPRVEPSPQDQHQPRECHLFSDPACPEAPILLHFPLVNASFKDHSAPGVQRSPAELQGGQVDLTGATCPYTLSNMTYKEEDFERLLRLSDYNVQTSQGAILQALRTALKHRTLEARPPRAQT
+>DECOY_sp|Q86XP0|PA24D_HUMAN Cytosolic phospholipase A2 delta OS=Homo sapiens OX=9606 GN=PLA2G4D PE=1 SV=2
+TQARPPRAELTRHKLATRLAQLIAGQSTQVNYDSLRLLREFDEEKYTMNSLTYPCTAGTLDVQGGQLEAPSRQVGPASHDKFSANVLPFHLLIPAEPCAPDSFLHCERPQHQDQPSPEVRPFPLGRARCYLETQQLAEFPASLSYDFSLILDLRRGPRFMSPSSTNIFYAADVLCLRPEKPTLQSPMSDLQYDAWTSFDKHSCYDQHLQLGQLFNPSRQHLPRGTLFGKFAQALATGPQLWSAELRSSTGSTTLPEKELSRTKDKIHQKWSEGSSTLDYWADLLNLSFINSWIAELFCIRPEPIRRMLRGMFFESGFLEPPVFAGYKLFGVEYPSFEVWEKFDLTELNNEKVNLSLYLPLPNQGRELAARQGSLKQDMVQGHLMSELVLAWLDVFTTPHGQEARLELERRYSALREPSFVELKSKALHERAYRIPGELDRQSWEPDGYLHAMTWTSGSIGSFYTVCDLLGLKQLALLHGYLSTMARAGGGTAMIGVVPVEDEQLDRDLQLAQKLAKAVVQKRRSLFAQEEACLNFGLHVALEEPCGEAKLQLRVGPANPAPVDMTVEKGITLPRLPVTLYGASNDGNWGNSRSSRLRGSLETELAAMYHFRFASATGLFSTQTDEYSGKLVLELELKDQDAVVATSGTSDLHVDLCSLERAVIVKNTILNEPRDSTEEMLFEVDLEEEGQPSQSFTKRLLKGPLVESIDYLVKFCIDDETVSDEDYISLELVNKVQSQILFRFAENWVPHSTDTLTKTKFKMGPATSLQLIVYPDAESLLDAWRLNRAELVRVTLQWCTSAEGQYPHGPPGGPSLSEM
+>sp|Q9BSM1|PCGF1_HUMAN Polycomb group RING finger protein 1 OS=Homo sapiens OX=9606 GN=PCGF1 PE=1 SV=2
+MASPQGGQIAIAMRLRNQLQSVYKMDPLRNEEEVRVKIKDLNEHIVCCLCAGYFVDATTITECLHTFCKSCIVKYLQTSKYCPMCNIKIHETQPLLNLKLDRVMQDIVYKLVPGLQDSEEKRIREFYQSRGLDRVTQPTGEEPALSNLGLPFSSFDHSKAHYYRYDEQLNLCLERLSSGKDKNKSVLQNKYVRCSVRAEVRHLRRVLCHRLMLNPQHVQLLFDNEVLPDHMTMKQIWLSRWFGKPSPLLLQYSVKEKRR
+>DECOY_sp|Q9BSM1|PCGF1_HUMAN Polycomb group RING finger protein 1 OS=Homo sapiens OX=9606 GN=PCGF1 PE=1 SV=2
+RRKEKVSYQLLLPSPKGFWRSLWIQKMTMHDPLVENDFLLQVHQPNLMLRHCLVRRLHRVEARVSCRVYKNQLVSKNKDKGSSLRELCLNLQEDYRYYHAKSHDFSSFPLGLNSLAPEEGTPQTVRDLGRSQYFERIRKEESDQLGPVLKYVIDQMVRDLKLNLLPQTEHIKINCMPCYKSTQLYKVICSKCFTHLCETITTADVFYGACLCCVIHENLDKIKVRVEEENRLPDMKYVSQLQNRLRMAIAIQGGQPSAM
+>sp|Q9NZQ7|PD1L1_HUMAN Programmed cell death 1 ligand 1 OS=Homo sapiens OX=9606 GN=CD274 PE=1 SV=1
+MRIFAVFIFMTYWHLLNAFTVTVPKDLYVVEYGSNMTIECKFPVEKQLDLAALIVYWEMEDKNIIQFVHGEEDLKVQHSSYRQRARLLKDQLSLGNAALQITDVKLQDAGVYRCMISYGGADYKRITVKVNAPYNKINQRILVVDPVTSEHELTCQAEGYPKAEVIWTSSDHQVLSGKTTTTNSKREEKLFNVTSTLRINTTTNEIFYCTFRRLDPEENHTAELVIPELPLAHPPNERTHLVILGAILLCLGVALTFIFRLRKGRMMDVKKCGIQDTNSKKQSDTHLEET
+>DECOY_sp|Q9NZQ7|PD1L1_HUMAN Programmed cell death 1 ligand 1 OS=Homo sapiens OX=9606 GN=CD274 PE=1 SV=1
+TEELHTDSQKKSNTDQIGCKKVDMMRGKRLRFIFTLAVGLCLLIAGLIVLHTRENPPHALPLEPIVLEATHNEEPDLRRFTCYFIENTTTNIRLTSTVNFLKEERKSNTTTTKGSLVQHDSSTWIVEAKPYGEAQCTLEHESTVPDVVLIRQNIKNYPANVKVTIRKYDAGGYSIMCRYVGADQLKVDTIQLAANGLSLQDKLLRARQRYSSHQVKLDEEGHVFQIINKDEMEWYVILAALDLQKEVPFKCEITMNSGYEVVYLDKPVTVTFANLLHWYTMFIFVAFIRM
+>sp|Q8WUM4|PDC6I_HUMAN Programmed cell death 6-interacting protein OS=Homo sapiens OX=9606 GN=PDCD6IP PE=1 SV=1
+MATFISVQLKKTSEVDLAKPLVKFIQQTYPSGGEEQAQYCRAAEELSKLRRAAVGRPLDKHEGALETLLRYYDQICSIEPKFPFSENQICLTFTWKDAFDKGSLFGGSVKLALASLGYEKSCVLFNCAALASQIAAEQNLDNDEGLKIAAKHYQFASGAFLHIKETVLSALSREPTVDISPDTVGTLSLIMLAQAQEVFFLKATRDKMKDAIIAKLANQAADYFGDAFKQCQYKDTLPKEVFPVLAAKHCIMQANAEYHQSILAKQQKKFGEEIARLQHAAELIKTVASRYDEYVNVKDFSDKINRALAAAKKDNDFIYHDRVPDLKDLDPIGKATLVKSTPVNVPISQKFTDLFEKMVPVSVQQSLAAYNQRKADLVNRSIAQMREATTLANGVLASLNLPAAIEDVSGDTVPQSILTKSRSVIEQGGIQTVDQLIKELPELLQRNREILDESLRLLDEEEATDNDLRAKFKERWQRTPSNELYKPLRAEGTNFRTVLDKAVQADGQVKECYQSHRDTIVLLCKPEPELNAAIPSANPAKTMQGSEVVNVLKSLLSNLDEVKKEREGLENDLKSVNFDMTSKFLTALAQDGVINEEALSVTELDRVYGGLTTKVQESLKKQEGLLKNIQVSHQEFSKMKQSNNEANLREEVLKNLATAYDNFVELVANLKEGTKFYNELTEILVRFQNKCSDIVFARKTERDELLKDLQQSIAREPSAPSIPTPAYQSSPAGGHAPTPPTPAPRTMPPTKPQPPARPPPPVLPANRAPSATAPSPVGAGTAAPAPSQTPGSAPPPQAQGPPYPTYPGYPGYCQMPMPMGYNPYAYGQYNMPYPPVYHQSPGQAPYPGPQQPSYPFPQPPQQSYYPQQ
+>DECOY_sp|Q8WUM4|PDC6I_HUMAN Programmed cell death 6-interacting protein OS=Homo sapiens OX=9606 GN=PDCD6IP PE=1 SV=1
+QQPYYSQQPPQPFPYSPQQPGPYPAQGPSQHYVPPYPMNYQGYAYPNYGMPMPMQCYGPYGPYTPYPPGQAQPPPASGPTQSPAPAATGAGVPSPATASPARNAPLVPPPPRAPPQPKTPPMTRPAPTPPTPAHGGAPSSQYAPTPISPASPERAISQQLDKLLEDRETKRAFVIDSCKNQFRVLIETLENYFKTGEKLNAVLEVFNDYATALNKLVEERLNAENNSQKMKSFEQHSVQINKLLGEQKKLSEQVKTTLGGYVRDLETVSLAEENIVGDQALATLFKSTMDFNVSKLDNELGEREKKVEDLNSLLSKLVNVVESGQMTKAPNASPIAANLEPEPKCLLVITDRHSQYCEKVQGDAQVAKDLVTRFNTGEARLPKYLENSPTRQWREKFKARLDNDTAEEEDLLRLSEDLIERNRQLLEPLEKILQDVTQIGGQEIVSRSKTLISQPVTDGSVDEIAAPLNLSALVGNALTTAERMQAISRNVLDAKRQNYAALSQQVSVPVMKEFLDTFKQSIPVNVPTSKVLTAKGIPDLDKLDPVRDHYIFDNDKKAAALARNIKDSFDKVNVYEDYRSAVTKILEAAHQLRAIEEGFKKQQKALISQHYEANAQMICHKAALVPFVEKPLTDKYQCQKFADGFYDAAQNALKAIIADKMKDRTAKLFFVEQAQALMILSLTGVTDPSIDVTPERSLASLVTEKIHLFAGSAFQYHKAAIKLGEDNDLNQEAAIQSALAACNFLVCSKEYGLSALALKVSGGFLSGKDFADKWTFTLCIQNESFPFKPEISCIQDYYRLLTELAGEHKDLPRGVAARRLKSLEEAARCYQAQEEGGSPYTQQIFKVLPKALDVESTKKLQVSIFTAM
+>sp|Q53EL6|PDCD4_HUMAN Programmed cell death protein 4 OS=Homo sapiens OX=9606 GN=PDCD4 PE=1 SV=2
+MDVENEQILNVNPADPDNLSDSLFSGDEENAGTEEIKNEINGNWISASSINEARINAKAKRRLRKNSSRDSGRGDSVSDSGSDALRSGLTVPTSPKGRLLDRRSRSGKGRGLPKKGGAGGKGVWGTPGQVYDVEEVDVKDPNYDDDQENCVYETVVLPLDERAFEKTLTPIIQEYFEHGDTNEVAEMLRDLNLGEMKSGVPVLAVSLALEGKASHREMTSKLLSDLCGTVMSTTDVEKSFDKLLKDLPELALDTPRAPQLVGQFIARAVGDGILCNTYIDSYKGTVDCVQARAALDKATVLLSMSKGGKRKDSVWGSGGGQQSVNHLVKEIDMLLKEYLLSGDISEAEHCLKELEVPHFHHELVYEAIIMVLESTGESTFKMILDLLKSLWKSSTITVDQMKRGYERIYNEIPDINLDVPHSYSVLERFVEECFQAGIISKQLRDLCPSRGRKRFVSEGDGGRLKPESY
+>DECOY_sp|Q53EL6|PDCD4_HUMAN Programmed cell death protein 4 OS=Homo sapiens OX=9606 GN=PDCD4 PE=1 SV=2
+YSEPKLRGGDGESVFRKRGRSPCLDRLQKSIIGAQFCEEVFRELVSYSHPVDLNIDPIENYIREYGRKMQDVTITSSKWLSKLLDLIMKFTSEGTSELVMIIAEYVLEHHFHPVELEKLCHEAESIDGSLLYEKLLMDIEKVLHNVSQQGGGSGWVSDKRKGGKSMSLLVTAKDLAARAQVCDVTGKYSDIYTNCLIGDGVARAIFQGVLQPARPTDLALEPLDKLLKDFSKEVDTTSMVTGCLDSLLKSTMERHSAKGELALSVALVPVGSKMEGLNLDRLMEAVENTDGHEFYEQIIPTLTKEFAREDLPLVVTEYVCNEQDDDYNPDKVDVEEVDYVQGPTGWVGKGGAGGKKPLGRGKGSRSRRDLLRGKPSTPVTLGSRLADSGSDSVSDGRGSDRSSNKRLRRKAKANIRAENISSASIWNGNIENKIEETGANEEDGSFLSDSLNDPDAPNVNLIQENEVDM
+>sp|Q8N8D1|PDCD7_HUMAN Programmed cell death protein 7 OS=Homo sapiens OX=9606 GN=PDCD7 PE=1 SV=1
+MALPPFFGQGRPGPPPPQPPPPAPFGCPPPPLPSPAFPPPLPQRPGPFPGASAPFLQPPLALQPRASAEASRGGGGAGAFYPVPPPPLPPPPPQCRPFPGTDAGERPRPPPPGPGPPWSPRWPEAPPPPADVLGDAALQRLRDRQWLEAVFGTPRRAGCPVPQRTHAGPSLGEVRARLLRALRLVRRLRGLSQALREAEADGAAWVLLYSQTAPLRAELAERLQPLTQAAYVGEARRRLERVRRRRLRLRERAREREAEREAEAARAVEREQEIDRWRVKCVQEVEEKKREQELKAAADGVLSEVRKKQADTKRMVDILRALEKLRKLRKEAAARKGVCPPASADETFTHHLQRLRKLIKKRSELYEAEERALRVMLEGEQEEERKRELEKKQRKEKEKILLQKREIESKLFGDPDEFPLAHLLEPFRQYYLQAEHSLPALIQIRHDWDQYLVPSDHPKGNFVPQGWVLPPLPSNDIWATAVKLH
+>DECOY_sp|Q8N8D1|PDCD7_HUMAN Programmed cell death protein 7 OS=Homo sapiens OX=9606 GN=PDCD7 PE=1 SV=1
+HLKVATAWIDNSPLPPLVWGQPVFNGKPHDSPVLYQDWDHRIQILAPLSHEAQLYYQRFPELLHALPFEDPDGFLKSEIERKQLLIKEKEKRQKKELERKREEEQEGELMVRLAREEAEYLESRKKILKRLRQLHHTFTEDASAPPCVGKRAAAEKRLKRLKELARLIDVMRKTDAQKKRVESLVGDAAAKLEQERKKEEVEQVCKVRWRDIEQEREVARAAEAEREAERERARERLRLRRRRVRELRRRAEGVYAAQTLPQLREALEARLPATQSYLLVWAAGDAEAERLAQSLGRLRRVLRLARLLRARVEGLSPGAHTRQPVPCGARRPTGFVAELWQRDRLRQLAADGLVDAPPPPAEPWRPSWPPGPGPPPPRPREGADTGPFPRCQPPPPPLPPPPVPYFAGAGGGGRSAEASARPQLALPPQLFPASAGPFPGPRQPLPPPFAPSPLPPPPCGFPAPPPPQPPPPGPRGQGFFPPLAM
+>sp|P16499|PDE6A_HUMAN Rod cGMP-specific 3',5'-cyclic phosphodiesterase subunit alpha OS=Homo sapiens OX=9606 GN=PDE6A PE=1 SV=4
+MGEVTAEEVEKFLDSNIGFAKQYYNLHYRAKLISDLLGAKEAAVDFSNYHSPSSMEESEIIFDLLRDFQENLQTEKCIFNVMKKLCFLLQADRMSLFMYRTRNGIAELATRLFNVHKDAVLEDCLVMPDQEIVFPLDMGIVGHVAHSKKIANVPNTEEDEHFCDFVDILTEYKTKNILASPIMNGKDVVAIIMAVNKVDGSHFTKRDEEILLKYLNFANLIMKVYHLSYLHNCETRRGQILLWSGSKVFEELTDIERQFHKALYTVRAFLNCDRYSVGLLDMTKQKEFFDVWPVLMGEVPPYSGPRTPDGREINFYKVIDYILHGKEDIKVIPNPPPDHWALVSGLPAYVAQNGLICNIMNAPAEDFFAFQKEPLDESGWMIKNVLSMPIVNKKEEIVGVATFYNRKDGKPFDEMDETLMESLTQFLGWSVLNPDTYESMNKLENRKDIFQDIVKYHVKCDNEEIQKILKTREVYGKEPWECEEEELAEILQAELPDADKYEINKFHFSDLPLTELELVKCGIQMYYELKVVDKFHIPQEALVRFMYSLSKGYRKITYHNWRHGFNVGQTMFSLLVTGKLKRYFTDLEALAMVTAAFCHDIDHRGTNNLYQMKSQNPLAKLHGSSILERHHLEFGKTLLRDESLNIFQNLNRRQHEHAIHMMDIAIIATDLALYFKKRTMFQKIVDQSKTYESEQEWTQYMMLEQTRKEIVMAMMMTACDLSAITKPWEVQSQVALLVAAEFWEQGDLERTVLQQNPIPMMDRNKADELPKLQVGFIDFVCTFVYKEFSRFHEEITPMLDGITNNRKEWKALADEYDAKMKVQEEKKQKQQSAKSAAAGNQPGGNPSPGGATTSKSCCIQ
+>DECOY_sp|P16499|PDE6A_HUMAN Rod cGMP-specific 3',5'-cyclic phosphodiesterase subunit alpha OS=Homo sapiens OX=9606 GN=PDE6A PE=1 SV=4
+QICCSKSTTAGGPSPNGGPQNGAAASKASQQKQKKEEQVKMKADYEDALAKWEKRNNTIGDLMPTIEEHFRSFEKYVFTCVFDIFGVQLKPLEDAKNRDMMPIPNQQLVTRELDGQEWFEAAVLLAVQSQVEWPKTIASLDCATMMMAMVIEKRTQELMMYQTWEQESEYTKSQDVIKQFMTRKKFYLALDTAIIAIDMMHIAHEHQRRNLNQFINLSEDRLLTKGFELHHRELISSGHLKALPNQSKMQYLNNTGRHDIDHCFAATVMALAELDTFYRKLKGTVLLSFMTQGVNFGHRWNHYTIKRYGKSLSYMFRVLAEQPIHFKDVVKLEYYMQIGCKVLELETLPLDSFHFKNIEYKDADPLEAQLIEALEEEECEWPEKGYVERTKLIKQIEENDCKVHYKVIDQFIDKRNELKNMSEYTDPNLVSWGLFQTLSEMLTEDMEDFPKGDKRNYFTAVGVIEEKKNVIPMSLVNKIMWGSEDLPEKQFAFFDEAPANMINCILGNQAVYAPLGSVLAWHDPPPNPIVKIDEKGHLIYDIVKYFNIERGDPTRPGSYPPVEGMLVPWVDFFEKQKTMDLLGVSYRDCNLFARVTYLAKHFQREIDTLEEFVKSGSWLLIQGRRTECNHLYSLHYVKMILNAFNLYKLLIEEDRKTFHSGDVKNVAMIIAVVDKGNMIPSALINKTKYETLIDVFDCFHEDEETNPVNAIKKSHAVHGVIGMDLPFVIEQDPMVLCDELVADKHVNFLRTALEAIGNRTRYMFLSMRDAQLLFCLKKMVNFICKETQLNEQFDRLLDFIIESEEMSSPSHYNSFDVAAEKAGLLDSILKARYHLNYYQKAFGINSDLFKEVEEATVEGM
+>sp|Q9NR12|PDLI7_HUMAN PDZ and LIM domain protein 7 OS=Homo sapiens OX=9606 GN=PDLIM7 PE=1 SV=1
+MDSFKVVLEGPAPWGFRLQGGKDFNVPLSISRLTPGGKAAQAGVAVGDWVLSIDGENAGSLTHIEAQNKIRACGERLSLGLSRAQPVQSKPQKASAPAADPPRYTFAPSVSLNKTARPFGAPPPADSAPQQNGQPLRPLVPDASKQRLMENTEDWRPRPGTGQSRSFRILAHLTGTEFMQDPDEEHLKKSSQVPRTEAPAPASSTPQEPWPGPTAPSPTSRPPWAVDPAFAERYAPDKTSTVLTRHSQPATPTPLQSRTSIVQAAAGGVPGGGSNNGKTPVCHQCHKVIRGRYLVALGHAYHPEEFVCSQCGKVLEEGGFFEEKGAIFCPPCYDVRYAPSCAKCKKKITGEIMHALKMTWHVHCFTCAACKTPIRNRAFYMEEGVPYCERDYEKMFGTKCHGCDFKIDAGDRFLEALGFSWHDTCFVCAICQINLEGKTFYSKKDRPLCKSHAFSHV
+>DECOY_sp|Q9NR12|PDLI7_HUMAN PDZ and LIM domain protein 7 OS=Homo sapiens OX=9606 GN=PDLIM7 PE=1 SV=1
+VHSFAHSKCLPRDKKSYFTKGELNIQCIACVFCTDHWSFGLAELFRDGADIKFDCGHCKTGFMKEYDRECYPVGEEMYFARNRIPTKCAACTFCHVHWTMKLAHMIEGTIKKKCKACSPAYRVDYCPPCFIAGKEEFFGGEELVKGCQSCVFEEPHYAHGLAVLYRGRIVKHCQHCVPTKGNNSGGGPVGGAAAQVISTRSQLPTPTAPQSHRTLVTSTKDPAYREAFAPDVAWPPRSTPSPATPGPWPEQPTSSAPAPAETRPVQSSKKLHEEDPDQMFETGTLHALIRFSRSQGTGPRPRWDETNEMLRQKSADPVLPRLPQGNQQPASDAPPPAGFPRATKNLSVSPAFTYRPPDAAPASAKQPKSQVPQARSLGLSLREGCARIKNQAEIHTLSGANEGDISLVWDGVAVGAQAAKGGPTLRSISLPVNFDKGGQLRFGWPAPGELVVKFSDM
+>sp|Q9P2J9|PDP2_HUMAN [Pyruvate dehydrogenase [acetyl-transferring]]-phosphatase 2, mitochondrial OS=Homo sapiens OX=9606 GN=PDP2 PE=2 SV=2
+MSSTVSYWILNSTRNSIATLQGGRRLYSRYVSNRNKLKWRLFSRVPPTLNSSPCGGFTLCKAYRHTSTEEDDFHLQLSPEQINEVLRAGETTHKILDLESRVPNSVLRFESNQLAANSPVEDRRGVASCLQTNGLMFGIFDGHGGHACAQAVSERLFYYVAVSLMSHQTLEHMEGAMESMKPLLPILHWLKHPGDSIYKDVTSVHLDHLRVYWQELLDLHMEMGLSIEEALMYSFQRLDSDISLEIQAPLEDEVTRNLSLQVAFSGATACMAHVDGIHLHVANAGDCRAILGVQEDNGMWSCLPLTRDHNAWNQAELSRLKREHPESEDRTIIMEDRLLGVLIPCRAFGDVQLKWSKELQRSILERGFNTEALNIYQFTPPHYYTPPYLTAEPEVTYHRLRPQDKFLVLASDGLWDMLSNEDVVRLVVGHLAEADWHKTDLAQRPANLGLMQSLLLQRKASGLHEADQNAATRLIRHAIGNNEYGEMEAERLAAMLTLPEDLARMYRDDITVTVVYFNSESIGAYYKGG
+>DECOY_sp|Q9P2J9|PDP2_HUMAN [Pyruvate dehydrogenase [acetyl-transferring]]-phosphatase 2, mitochondrial OS=Homo sapiens OX=9606 GN=PDP2 PE=2 SV=2
+GGKYYAGISESNFYVVTVTIDDRYMRALDEPLTLMAALREAEMEGYENNGIAHRILRTAANQDAEHLGSAKRQLLLSQMLGLNAPRQALDTKHWDAEALHGVVLRVVDENSLMDWLGDSALVLFKDQPRLRHYTVEPEATLYPPTYYHPPTFQYINLAETNFGRELISRQLEKSWKLQVDGFARCPILVGLLRDEMIITRDESEPHERKLRSLEAQNWANHDRTLPLCSWMGNDEQVGLIARCDGANAVHLHIGDVHAMCATAGSFAVQLSLNRTVEDELPAQIELSIDSDLRQFSYMLAEEISLGMEMHLDLLEQWYVRLHDLHVSTVDKYISDGPHKLWHLIPLLPKMSEMAGEMHELTQHSMLSVAVYYFLRESVAQACAHGGHGDFIGFMLGNTQLCSAVGRRDEVPSNAALQNSEFRLVSNPVRSELDLIKHTTEGARLVENIQEPSLQLHFDDEETSTHRYAKCLTFGGCPSSNLTPPVRSFLRWKLKNRNSVYRSYLRRGGQLTAISNRTSNLIWYSVTSSM
+>sp|Q8WWR9|PDPFL_HUMAN Pancreatic progenitor cell differentiation and proliferation factor-like protein OS=Homo sapiens OX=9606 GN=PPDPFL PE=3 SV=1
+MASVPSIGCLLARNQYYRKSSVSSVSSLTSSDSVNFIDDDKPQQGLPEVAESTWWFKSFFHSEPVLSNVRIKDLSATGSLSGRS
+>DECOY_sp|Q8WWR9|PDPFL_HUMAN Pancreatic progenitor cell differentiation and proliferation factor-like protein OS=Homo sapiens OX=9606 GN=PPDPFL PE=3 SV=1
+SRGSLSGTASLDKIRVNSLVPESHFFSKFWWTSEAVEPLGQQPKDDDIFNVSDSSTLSSVSSVSSKRYYQNRALLCGISPVSAM
+>sp|Q13951|PEBB_HUMAN Core-binding factor subunit beta OS=Homo sapiens OX=9606 GN=CBFB PE=1 SV=2
+MPRVVPDQRSKFENEEFFRKLSRECEIKYTGFRDRPHEERQARFQNACRDGRSEIAFVATGTNLSLQFFPASWQGEQRQTPSREYVDLEREAGKVYLKAPMILNGVCVIWKGWIDLQRLDGMGCLEFDEERAQQEDALAQQAFEEARRRTREFEDRDRSHREEMEVRVSQLLAVTGKKTTRP
+>DECOY_sp|Q13951|PEBB_HUMAN Core-binding factor subunit beta OS=Homo sapiens OX=9606 GN=CBFB PE=1 SV=2
+PRTTKKGTVALLQSVRVEMEERHSRDRDEFERTRRRAEEFAQQALADEQQAREEDFELCGMGDLRQLDIWGKWIVCVGNLIMPAKLYVKGAERELDVYERSPTQRQEGQWSAPFFQLSLNTGTAVFAIESRGDRCANQFRAQREEHPRDRFGTYKIECERSLKRFFEENEFKSRQDPVVRPM
+>sp|P16284|PECA1_HUMAN Platelet endothelial cell adhesion molecule OS=Homo sapiens OX=9606 GN=PECAM1 PE=1 SV=2
+MQPRWAQGATMWLGVLLTLLLCSSLEGQENSFTINSVDMKSLPDWTVQNGKNLTLQCFADVSTTSHVKPQHQMLFYKDDVLFYNISSMKSTESYFIPEVRIYDSGTYKCTVIVNNKEKTTAEYQVLVEGVPSPRVTLDKKEAIQGGIVRVNCSVPEEKAPIHFTIEKLELNEKMVKLKREKNSRDQNFVILEFPVEEQDRVLSFRCQARIISGIHMQTSESTKSELVTVTESFSTPKFHISPTGMIMEGAQLHIKCTIQVTHLAQEFPEIIIQKDKAIVAHNRHGNKAVYSVMAMVEHSGNYTCKVESSRISKVSSIVVNITELFSKPELESSFTHLDQGERLNLSCSIPGAPPANFTIQKEDTIVSQTQDFTKIASKSDSGTYICTAGIDKVVKKSNTVQIVVCEMLSQPRISYDAQFEVIKGQTIEVRCESISGTLPISYQLLKTSKVLENSTKNSNDPAVFKDNPTEDVEYQCVADNCHSHAKMLSEVLRVKVIAPVDEVQISILSSKVVESGEDIVLQCAVNEGSGPITYKFYREKEGKPFYQMTSNATQAFWTKQKASKEQEGEYYCTAFNRANHASSVPRSKILTVRVILAPWKKGLIAVVIIGVIIALLIIAAKCYFLRKAKAKQMPVEMSRPAVPLLNSNNEKMSDPNMEANSHYGHNDDVRNHAMKPINDNKEPLNSDVQYTEVQVSSAESHKDLGKKDTETVYSEVRKAVPDAVESRYSRTEGSLDGT
+>DECOY_sp|P16284|PECA1_HUMAN Platelet endothelial cell adhesion molecule OS=Homo sapiens OX=9606 GN=PECAM1 PE=1 SV=2
+TGDLSGETRSYRSEVADPVAKRVESYVTETDKKGLDKHSEASSVQVETYQVDSNLPEKNDNIPKMAHNRVDDNHGYHSNAEMNPDSMKENNSNLLPVAPRSMEVPMQKAKAKRLFYCKAAIILLAIIVGIIVVAILGKKWPALIVRVTLIKSRPVSSAHNARNFATCYYEGEQEKSAKQKTWFAQTANSTMQYFPKGEKERYFKYTIPGSGENVACQLVIDEGSEVVKSSLISIQVEDVPAIVKVRLVESLMKAHSHCNDAVCQYEVDETPNDKFVAPDNSNKTSNELVKSTKLLQYSIPLTGSISECRVEITQGKIVEFQADYSIRPQSLMECVVIQVTNSKKVVKDIGATCIYTGSDSKSAIKTFDQTQSVITDEKQITFNAPPAGPISCSLNLREGQDLHTFSSELEPKSFLETINVVISSVKSIRSSEVKCTYNGSHEVMAMVSYVAKNGHRNHAVIAKDKQIIIEPFEQALHTVQITCKIHLQAGEMIMGTPSIHFKPTSFSETVTVLESKTSESTQMHIGSIIRAQCRFSLVRDQEEVPFELIVFNQDRSNKERKLKVMKENLELKEITFHIPAKEEPVSCNVRVIGGQIAEKKDLTVRPSPVGEVLVQYEATTKEKNNVIVTCKYTGSDYIRVEPIFYSETSKMSSINYFLVDDKYFLMQHQPKVHSTTSVDAFCQLTLNKGNQVTWDPLSKMDVSNITFSNEQGELSSCLLLTLLVGLWMTAGQAWRPQM
+>sp|P12955|PEPD_HUMAN Xaa-Pro dipeptidase OS=Homo sapiens OX=9606 GN=PEPD PE=1 SV=3
+MAAATGPSFWLGNETLKVPLALFALNRQRLCERLRKNPAVQAGSIVVLQGGEETQRYCTDTGVLFRQESFFHWAFGVTEPGCYGVIDVDTGKSTLFVPRLPASHATWMGKIHSKEHFKEKYAVDDVQYVDEIASVLTSQKPSVLLTLRGVNTDSGSVCREASFDGISKFEVNNTILHPEIVECRVFKTDMELEVLRYTNKISSEAHREVMKAVKVGMKEYELESLFEHYCYSRGGMRHSSYTCICGSGENSAVLHYGHAGAPNDRTIQNGDMCLFDMGGEYYCFASDITCSFPANGKFTADQKAVYEAVLRSSRAVMGAMKPGVWWPDMHRLADRIHLEELAHMGILSGSVDAMVQAHLGAVFMPHGLGHFLGIDVHDVGGYPEGVERIDEPGLRSLRTARHLQPGMVLTVEPGIYFIDHLLDEALADPARASFLNREVLQRFRGFGGVRIEEDVVVTDSGIELLTCVPRTVEEIEACMAGCDKAFTPFSGPK
+>DECOY_sp|P12955|PEPD_HUMAN Xaa-Pro dipeptidase OS=Homo sapiens OX=9606 GN=PEPD PE=1 SV=3
+KPGSFPTFAKDCGAMCAEIEEVTRPVCTLLEIGSDTVVVDEEIRVGGFGRFRQLVERNLFSARAPDALAEDLLHDIFYIGPEVTLVMGPQLHRATRLSRLGPEDIREVGEPYGGVDHVDIGLFHGLGHPMFVAGLHAQVMADVSGSLIGMHALEELHIRDALRHMDPWWVGPKMAGMVARSSRLVAEYVAKQDATFKGNAPFSCTIDSAFCYYEGGMDFLCMDGNQITRDNPAGAHGYHLVASNEGSGCICTYSSHRMGGRSYCYHEFLSELEYEKMGVKVAKMVERHAESSIKNTYRLVELEMDTKFVRCEVIEPHLITNNVEFKSIGDFSAERCVSGSDTNVGRLTLLVSPKQSTLVSAIEDVYQVDDVAYKEKFHEKSHIKGMWTAHSAPLRPVFLTSKGTDVDIVGYCGPETVGFAWHFFSEQRFLVGTDTCYRQTEEGGQLVVISGAQVAPNKRLRECLRQRNLAFLALPVKLTENGLWFSPGTAAAM
+>sp|Q8NDH3|PEPL1_HUMAN Probable aminopeptidase NPEPL1 OS=Homo sapiens OX=9606 GN=NPEPL1 PE=1 SV=3
+MANVGLQFQASAGDSDPQSRPLLLLGQLHHLHRVPWSHVRGKLQPRVTEELWQAALSTLNPNPTDSCPLYLNYATVAALPCRVSRHNSPSAAHFITRLVRTCLPPGAHRCIVMVCEQPEVFASACALARAFPLFTHRSGASRRLEKKTVTVEFFLVGQDNGPVEVSTLQCLANATDGVRLAARIVDTPCNEMNTDTFLEEINKVGKELGIIPTIIRDEELKTRGFGGIYGVGKAALHPPALAVLSHTPDGATQTIAWVGKGIVYDTGGLSIKGKTTMPGMKRDCGGAAAVLGAFRAAIKQGFKDNLHAVFCLAENSVGPNATRPDDIHLLYSGKTVEINNTDAEGRLVLADGVSYACKDLGADIILDMATLTGAQGIATGKYHAAVLTNSAEWEAACVKAGRKCGDLVHPLVYCPELHFSEFTSAVADMKNSVADRDNSPSSCAGLFIASHIGFDWPGVWVHLDIAAPVHAGERATGFGVALLLALFGRASEDPLLNLVSPLGCEVDVEEGDLGRDSKRRRLV
+>DECOY_sp|Q8NDH3|PEPL1_HUMAN Probable aminopeptidase NPEPL1 OS=Homo sapiens OX=9606 GN=NPEPL1 PE=1 SV=3
+VLRRRKSDRGLDGEEVDVECGLPSVLNLLPDESARGFLALLLAVGFGTAREGAHVPAAIDLHVWVGPWDFGIHSAIFLGACSSPSNDRDAVSNKMDAVASTFESFHLEPCYVLPHVLDGCKRGAKVCAAEWEASNTLVAAHYKGTAIGQAGTLTAMDLIIDAGLDKCAYSVGDALVLRGEADTNNIEVTKGSYLLHIDDPRTANPGVSNEALCFVAHLNDKFGQKIAARFAGLVAAAGGCDRKMGPMTTKGKISLGGTDYVIGKGVWAITQTAGDPTHSLVALAPPHLAAKGVGYIGGFGRTKLEEDRIITPIIGLEKGVKNIEELFTDTNMENCPTDVIRAALRVGDTANALCQLTSVEVPGNDQGVLFFEVTVTKKELRRSAGSRHTFLPFARALACASAFVEPQECVMVICRHAGPPLCTRVLRTIFHAASPSNHRSVRCPLAAVTAYNLYLPCSDTPNPNLTSLAAQWLEETVRPQLKGRVHSWPVRHLHHLQGLLLLPRSQPDSDGASAQFQLGVNAM
+>sp|O15534|PER1_HUMAN Period circadian protein homolog 1 OS=Homo sapiens OX=9606 GN=PER1 PE=1 SV=2
+MSGPLEGADGGGDPRPGESFCPGGVPSPGPPQHRPCPGPSLADDTDANSNGSSGNESNGHESRGASQRSSHSSSSGNGKDSALLETTESSKSTNSQSPSPPSSSIAYSLLSASSEQDNPSTSGCSSEQSARARTQKELMTALRELKLRLPPERRGKGRSGTLATLQYALACVKQVQANQEYYQQWSLEEGEPCSMDMSTYTLEELEHITSEYTLQNQDTFSVAVSFLTGRIVYISEQAAVLLRCKRDVFRGTRFSELLAPQDVGVFYGSTAPSRLPTWGTGASAGSGLRDFTQEKSVFCRIRGGPDRDPGPRYQPFRLTPYVTKIRVSDGAPAQPCCLLIAERIHSGYEAPRIPPDKRIFTTRHTPSCLFQDVDERAAPLLGYLPQDLLGAPVLLFLHPEDRPLMLAIHKKILQLAGQPFDHSPIRFCARNGEYVTMDTSWAGFVHPWSRKVAFVLGRHKVRTAPLNEDVFTPPAPSPAPSLDTDIQELSEQIHRLLLQPVHSPSPTGLCGVGAVTSPGPLHSPGSSSDSNGGDAEGPGPPAPVTFQQICKDVHLVKHQGQQLFIESRARPQSRPRLPATGTFKAKALPCQSPDPELEAGSAPVQAPLALVPEEAERKEASSCSYQQINCLDSILRYLESCNLPSTTKRKCASSSSYTTSSASDDDRQRTGPVSVGTKKDPPSAALSGEGATPRKEPVVGGTLSPLALANKAESVVSVTSQCSFSSTIVHVGDKKPPESDIIMMEDLPGLAPGPAPSPAPSPTVAPDPAPDAYRPVGLTKAVLSLHTQKEEQAFLSRFRDLGRLRGLDSSSTAPSALGERGCHHGPAPPSRRHHCRSKAKRSRHHQNPRAEAPCYVSHPSPVPPSTPWPTPPATTPFPAVVQPYPLPVFSPRGGPQPLPPAPTSVPPAAFPAPLVTPMVALVLPNYLFPTPSSYPYGALQTPAEGPPTPASHSPSPSLPALAPSPPHRPDSPLFNSRCSSPLQLNLLQLEELPRAEGAAVAGGPGSSAGPPPPSAEAAEPEARLAEVTESSNQDALSGSSDLLELLLQEDSRSGTGSAASGSLGSGLGSGSGSGSHEGGSTSASITRSSQSSHTSKYFGSIDSSEAEAGAARGGAEPGDQVIKYVLQDPIWLLMANADQRVMMTYQVPSRDMTSVLKQDRERLRAMQKQQPRFSEDQRRELGAVHSWVRKGQLPRALDVMACVDCGSSTQDPGHPDDPLFSELDGLGLEPMEEGGGEQGSSGGGSGEGEGCEEAQGGAKASSSQDLAMEEEEEGRSSSSPALPTAGNCTS
+>DECOY_sp|O15534|PER1_HUMAN Period circadian protein homolog 1 OS=Homo sapiens OX=9606 GN=PER1 PE=1 SV=2
+STCNGATPLAPSSSSRGEEEEEMALDQSSSAKAGGQAEECGEGEGSGGGSSGQEGGGEEMPELGLGDLESFLPDDPHGPDQTSSGCDVCAMVDLARPLQGKRVWSHVAGLERRQDESFRPQQKQMARLRERDQKLVSTMDRSPVQYTMMVRQDANAMLLWIPDQLVYKIVQDGPEAGGRAAGAEAESSDISGFYKSTHSSQSSRTISASTSGGEHSGSGSGSGLGSGLSGSAASGTGSRSDEQLLLELLDSSGSLADQNSSETVEALRAEPEAAEASPPPPGASSGPGGAVAAGEARPLEELQLLNLQLPSSCRSNFLPSDPRHPPSPALAPLSPSPSHSAPTPPGEAPTQLAGYPYSSPTPFLYNPLVLAVMPTVLPAPFAAPPVSTPAPPLPQPGGRPSFVPLPYPQVVAPFPTTAPPTPWPTSPPVPSPHSVYCPAEARPNQHHRSRKAKSRCHHRRSPPAPGHHCGREGLASPATSSSDLGRLRGLDRFRSLFAQEEKQTHLSLVAKTLGVPRYADPAPDPAVTPSPAPSPAPGPALGPLDEMMIIDSEPPKKDGVHVITSSFSCQSTVSVVSEAKNALALPSLTGGVVPEKRPTAGEGSLAASPPDKKTGVSVPGTRQRDDDSASSTTYSSSSACKRKTTSPLNCSELYRLISDLCNIQQYSCSSAEKREAEEPVLALPAQVPASGAELEPDPSQCPLAKAKFTGTAPLRPRSQPRARSEIFLQQGQHKVLHVDKCIQQFTVPAPPGPGEADGGNSDSSSGPSHLPGPSTVAGVGCLGTPSPSHVPQLLLRHIQESLEQIDTDLSPAPSPAPPTFVDENLPATRVKHRGLVFAVKRSWPHVFGAWSTDMTVYEGNRACFRIPSHDFPQGALQLIKKHIALMLPRDEPHLFLLVPAGLLDQPLYGLLPAAREDVDQFLCSPTHRTTFIRKDPPIRPAEYGSHIREAILLCCPQAPAGDSVRIKTVYPTLRFPQYRPGPDRDPGGRIRCFVSKEQTFDRLGSGASAGTGWTPLRSPATSGYFVGVDQPALLESFRTGRFVDRKCRLLVAAQESIYVIRGTLFSVAVSFTDQNQLTYESTIHELEELTYTSMDMSCPEGEELSWQQYYEQNAQVQKVCALAYQLTALTGSRGKGRREPPLRLKLERLATMLEKQTRARASQESSCGSTSPNDQESSASLLSYAISSSPPSPSQSNTSKSSETTELLASDKGNGSSSSHSSRQSAGRSEHGNSENGSSGNSNADTDDALSPGPCPRHQPPGPSPVGGPCFSEGPRPDGGGDAGELPGSM
+>sp|Q01813|PFKAP_HUMAN ATP-dependent 6-phosphofructokinase, platelet type OS=Homo sapiens OX=9606 GN=PFKP PE=1 SV=2
+MDADDSRAPKGSLRKFLEHLSGAGKAIGVLTSGGDAQGMNAAVRAVVRMGIYVGAKVYFIYEGYQGMVDGGSNIAEADWESVSSILQVGGTIIGSARCQAFRTREGRLKAACNLLQRGITNLCVIGGDGSLTGANLFRKEWSGLLEELARNGQIDKEAVQKYAYLNVVGMVGSIDNDFCGTDMTIGTDSALHRIIEVVDAIMTTAQSHQRTFVLEVMGRHCGYLALVSALACGADWVFLPESPPEEGWEEQMCVKLSENRARKKRLNIIIVAEGAIDTQNKPITSEKIKELVVTQLGYDTRVTILGHVQRGGTPSAFDRILASRMGVEAVIALLEATPDTPACVVSLNGNHAVRLPLMECVQMTQDVQKAMDERRFQDAVRLRGRSFAGNLNTYKRLAIKLPDDQIPKTNCNVAVINVGAPAAGMNAAVRSAVRVGIADGHRMLAIYDGFDGFAKGQIKEIGWTDVGGWTGQGGSILGTKRVLPGKYLEEIATQMRTHSINALLIIGGFEAYLGLLELSAAREKHEEFCVPMVMVPATVSNNVPGSDFSIGADTALNTITDTCDRIKQSASGTKRRVFIIETMGGYCGYLANMGGLAAGADAAYIFEEPFDIRDLQSNVEHLTEKMKTTIQRGLVLRNESCSENYTTDFIYQLYSEEGKGVFDCRKNVLGHMQQGGAPSPFDRNFGTKISARAMEWITAKLKEARGRGKKFTTDDSICVLGISKRNVIFQPVAELKKQTDFEHRIPKEQWWLKLRPLMKILAKYKASYDVSDSGQLEHVQPWSV
+>DECOY_sp|Q01813|PFKAP_HUMAN ATP-dependent 6-phosphofructokinase, platelet type OS=Homo sapiens OX=9606 GN=PFKP PE=1 SV=2
+VSWPQVHELQGSDSVDYSAKYKALIKMLPRLKLWWQEKPIRHEFDTQKKLEAVPQFIVNRKSIGLVCISDDTTFKKGRGRAEKLKATIWEMARASIKTGFNRDFPSPAGGQQMHGLVNKRCDFVGKGEESYLQYIFDTTYNESCSENRLVLGRQITTKMKETLHEVNSQLDRIDFPEEFIYAADAGAALGGMNALYGCYGGMTEIIFVRRKTGSASQKIRDCTDTITNLATDAGISFDSGPVNNSVTAPVMVMPVCFEEHKERAASLELLGLYAEFGGIILLANISHTRMQTAIEELYKGPLVRKTGLISGGQGTWGGVDTWGIEKIQGKAFGDFGDYIALMRHGDAIGVRVASRVAANMGAAPAGVNIVAVNCNTKPIQDDPLKIALRKYTNLNGAFSRGRLRVADQFRREDMAKQVDQTMQVCEMLPLRVAHNGNLSVVCAPTDPTAELLAIVAEVGMRSALIRDFASPTGGRQVHGLITVRTDYGLQTVVLEKIKESTIPKNQTDIAGEAVIIINLRKKRARNESLKVCMQEEWGEEPPSEPLFVWDAGCALASVLALYGCHRGMVELVFTRQHSQATTMIADVVEIIRHLASDTGITMDTGCFDNDISGVMGVVNLYAYKQVAEKDIQGNRALEELLGSWEKRFLNAGTLSGDGGIVCLNTIGRQLLNCAAKLRGERTRFAQCRASGIITGGVQLISSVSEWDAEAINSGGDVMGQYGEYIFYVKAGVYIGMRVVARVAANMGQADGGSTLVGIAKGAGSLHELFKRLSGKPARSDDADM
+>sp|A6NDG6|PGP_HUMAN Glycerol-3-phosphate phosphatase OS=Homo sapiens OX=9606 GN=PGP PE=1 SV=1
+MAAAEAGGDDARCVRLSAERAQALLADVDTLLFDCDGVLWRGETAVPGAPEALRALRARGKRLGFITNNSSKTRAAYAEKLRRLGFGGPAGPGASLEVFGTAYCTALYLRQRLAGAPAPKAYVLGSPALAAELEAVGVASVGVGPEPLQGEGPGDWLHAPLEPDVRAVVVGFDPHFSYMKLTKALRYLQQPGCLLVGTNMDNRLPLENGRFIAGTGCLVRAVEMAAQRQADIIGKPSRFIFDCVSQEYGINPERTVMVGDRLDTDILLGATCGLKTILTLTGVSTLGDVKNNQESDCVSKKKMVPDFYVDSIADLLPALQG
+>DECOY_sp|A6NDG6|PGP_HUMAN Glycerol-3-phosphate phosphatase OS=Homo sapiens OX=9606 GN=PGP PE=1 SV=1
+GQLAPLLDAISDVYFDPVMKKKSVCDSEQNNKVDGLTSVGTLTLITKLGCTAGLLIDTDLRDGVMVTREPNIGYEQSVCDFIFRSPKGIIDAQRQAAMEVARVLCGTGAIFRGNELPLRNDMNTGVLLCGPQQLYRLAKTLKMYSFHPDFGVVVARVDPELPAHLWDGPGEGQLPEPGVGVSAVGVAELEAALAPSGLVYAKPAPAGALRQRLYLATCYATGFVELSAGPGAPGGFGLRRLKEAYAARTKSSNNTIFGLRKGRARLARLAEPAGPVATEGRWLVGDCDFLLTDVDALLAQAREASLRVCRADDGGAEAAAM
+>sp|O75594|PGRP1_HUMAN Peptidoglycan recognition protein 1 OS=Homo sapiens OX=9606 GN=PGLYRP1 PE=1 SV=1
+MSRRSMLLAWALPSLLRLGAAQETEDPACCSPIVPRNEWKALASECAQHLSLPLRYVVVSHTAGSSCNTPASCQQQARNVQHYHMKTLGWCDVGYNFLIGEDGLVYEGRGWNFTGAHSGHLWNPMSIGISFMGNYMDRVPTPQAIRAAQGLLACGVAQGALRSNYVLKGHRDVQRTLSPGNQLYHLIQNWPHYRSP
+>DECOY_sp|O75594|PGRP1_HUMAN Peptidoglycan recognition protein 1 OS=Homo sapiens OX=9606 GN=PGLYRP1 PE=1 SV=1
+PSRYHPWNQILHYLQNGPSLTRQVDRHGKLVYNSRLAGQAVGCALLGQAARIAQPTPVRDMYNGMFSIGISMPNWLHGSHAGTFNWGRGEYVLGDEGILFNYGVDCWGLTKMHYHQVNRAQQQCSAPTNCSSGATHSVVVYRLPLSLHQACESALAKWENRPVIPSCCAPDETEQAAGLRLLSPLAWALLMSRRSM
+>sp|Q92696|PGTA_HUMAN Geranylgeranyl transferase type-2 subunit alpha OS=Homo sapiens OX=9606 GN=RABGGTA PE=1 SV=2
+MHGRLKVKTSEEQAEAKRLEREQKLKLYQSATQAVFQKRQAGELDESVLELTSQILGANPDFATLWNCRREVLQQLETQKSPEELAALVKAELGFLESCLRVNPKSYGTWHHRCWLLGRLPEPNWTRELELCARFLEVDERNFHCWDYRRFVATQAAVPPAEELAFTDSLITRNFSNYSSWHYRSCLLPQLHPQPDSGPQGRLPEDVLLKELELVQNAFFTDPNDQSAWFYHRWLLGRADPQDALRCLHVSRDEACLTVSFSRPLLVGSRMEILLLMVDDSPLIVEWRTPDGRNRPSHVWLCDLPAASLNDQLPQHTFRVIWTAGDVQKECVLLKGRQEGWCRDSTTDEQLFRCELSVEKSTVLQSELESCKELQELEPENKWCLLTIILLMRALDPLLYEKETLQYFQTLKAVDPMRATYLDDLRSKFLLENSVLKMEYAEVRVLHLAHKDLTVLCHLEQLLLVTHLDLSHNRLRTLPPALAALRCLEVLQASDNAIESLDGVTNLPRLQELLLCNNRLQQPAVLQPLASCPRLVLLNLQGNPLCQAVGILEQLAELLPSVSSVLT
+>DECOY_sp|Q92696|PGTA_HUMAN Geranylgeranyl transferase type-2 subunit alpha OS=Homo sapiens OX=9606 GN=RABGGTA PE=1 SV=2
+TLVSSVSPLLEALQELIGVAQCLPNGQLNLLVLRPCSALPQLVAPQQLRNNCLLLEQLRPLNTVGDLSEIANDSAQLVELCRLAALAPPLTRLRNHSLDLHTVLLLQELHCLVTLDKHALHLVRVEAYEMKLVSNELLFKSRLDDLYTARMPDVAKLTQFYQLTEKEYLLPDLARMLLIITLLCWKNEPELEQLEKCSELESQLVTSKEVSLECRFLQEDTTSDRCWGEQRGKLLVCEKQVDGATWIVRFTHQPLQDNLSAAPLDCLWVHSPRNRGDPTRWEVILPSDDVMLLLIEMRSGVLLPRSFSVTLCAEDRSVHLCRLADQPDARGLLWRHYFWASQDNPDTFFANQVLELEKLLVDEPLRGQPGSDPQPHLQPLLCSRYHWSSYNSFNRTILSDTFALEEAPPVAAQTAVFRRYDWCHFNREDVELFRACLELERTWNPEPLRGLLWCRHHWTGYSKPNVRLCSELFGLEAKVLAALEEPSKQTELQQLVERRCNWLTAFDPNAGLIQSTLELVSEDLEGAQRKQFVAQTASQYLKLKQERELRKAEAQEESTKVKLRGHM
+>sp|P61457|PHS_HUMAN Pterin-4-alpha-carbinolamine dehydratase OS=Homo sapiens OX=9606 GN=PCBD1 PE=1 SV=2
+MAGKAHRLSAEERDQLLPNLRAVGWNELEGRDAIFKQFHFKDFNRAFGFMTRVALQAEKLDHHPEWFNVYNKVHITLSTHECAGLSERDINLASFIEQVAVSMT
+>DECOY_sp|P61457|PHS_HUMAN Pterin-4-alpha-carbinolamine dehydratase OS=Homo sapiens OX=9606 GN=PCBD1 PE=1 SV=2
+TMSVAVQEIFSALNIDRESLGACEHTSLTIHVKNYVNFWEPHHDLKEAQLAVRTMFGFARNFDKFHFQKFIADRGELENWGVARLNPLLQDREEASLRHAKGAM
+>sp|O43692|PI15_HUMAN Peptidase inhibitor 15 OS=Homo sapiens OX=9606 GN=PI15 PE=1 SV=1
+MIAISAVSSALLFSLLCEASTVVLLNSTDSSPPTNNFTDIEAALKAQLDSADIPKARRKRYISQNDMIAILDYHNQVRGKVFPPAANMEYMVWDENLAKSAEAWAATCIWDHGPSYLLRFLGQNLSVRTGRYRSILQLVKPWYDEVKDYAFPYPQDCNPRCPMRCFGPMCTHYTQMVWATSNRIGCAIHTCQNMNVWGSVWRRAVYLVCNYAPKGNWIGEAPYKVGVPCSSCPPSYGGSCTDNLCFPGVTSNYLYWFK
+>DECOY_sp|O43692|PI15_HUMAN Peptidase inhibitor 15 OS=Homo sapiens OX=9606 GN=PI15 PE=1 SV=1
+KFWYLYNSTVGPFCLNDTCSGGYSPPCSSCPVGVKYPAEGIWNGKPAYNCVLYVARRWVSGWVNMNQCTHIACGIRNSTAWVMQTYHTCMPGFCRMPCRPNCDQPYPFAYDKVEDYWPKVLQLISRYRGTRVSLNQGLFRLLYSPGHDWICTAAWAEASKALNEDWVMYEMNAAPPFVKGRVQNHYDLIAIMDNQSIYRKRRAKPIDASDLQAKLAAEIDTFNNTPPSSDTSNLLVVTSAECLLSFLLASSVASIAIM
+>sp|Q8WYR1|PI3R5_HUMAN Phosphoinositide 3-kinase regulatory subunit 5 OS=Homo sapiens OX=9606 GN=PIK3R5 PE=1 SV=1
+MQPGATTCTEDRIQHALERCLHGLSLSRRSTSWSAGLCLNCWSLQELVSRDPGHFLILLEQILQKTREVQEKGTYDLLTPLALLFYSTVLCTPHFPPDSDLLLKAASTYHRFLTWPVPYCSICQELLTFIDAELKAPGISYQRLVRAEQGLPIRSHRSSTVTVLLLNPVEVQAEFLAVANKLSTPGHSPHSAYTTLLLHAFQATFGAHCDVPGLHCRLQAKTLAELEDIFTETAEAQELASGIGDAAEARRWLRTKLQAVGEKAGFPGVLDTAKPGKLHTIPIPVARCYTYSWSQDSFDILQEILLKEQELLQPGILGDDEEEEEEEEEVEEDLETDGHCAERDSLLSTSSLASHDSTLSLASSQASGPALSRHLLTSFVSGLSDGMDSGYVEDSEESSSEWPWRRGSQERRGHRRPGQKFIRIYKLFKSTSQLVLRRDSRSLEGSSDTALPLRRAGSLCSPLDEPVSPPSRAQRSRSLPQPKLGTQLPSWLLAPASRPQRRRPFLSGDEDPKASTLRVVVFGSDRISGKVARAYSNLRRLENNRPLLTRFFKLQFFYVPVKRSHGTSPGACPPPRSQTPSPPTDSPRHASPGELGTTPWEESTNDISHYLGMLDPWYERNVLGLMHLPPEVLCQQSLKAEAQALEGSPTQLPILADMLLYYCRFAARPVLLQVYQTELTFITGEKTTEIFIHSLELGHSAATRAIKASGPGSKRLGIDGDREAVPLTLQIIYSKGAISGRSRWSNLEKVCTSVNLNKACRKQEELDSSMEALTLNLTEVVKRQNSKSKKGFNQISTSQIKVDKVQIIGSNSCPFAVCLDQDERKILQSVVRCEVSPCYKPEKSDLSSPPQTPPDLPAQAAPDLCSLLCLPIMTFSGALP
+>DECOY_sp|Q8WYR1|PI3R5_HUMAN Phosphoinositide 3-kinase regulatory subunit 5 OS=Homo sapiens OX=9606 GN=PIK3R5 PE=1 SV=1
+PLAGSFTMIPLCLLSCLDPAAQAPLDPPTQPPSSLDSKEPKYCPSVECRVVSQLIKREDQDLCVAFPCSNSGIIQVKDVKIQSTSIQNFGKKSKSNQRKVVETLNLTLAEMSSDLEEQKRCAKNLNVSTCVKELNSWRSRGSIAGKSYIIQLTLPVAERDGDIGLRKSGPGSAKIARTAASHGLELSHIFIETTKEGTIFTLETQYVQLLVPRAAFRCYYLLMDALIPLQTPSGELAQAEAKLSQQCLVEPPLHMLGLVNREYWPDLMGLYHSIDNTSEEWPTTGLEGPSAHRPSDTPPSPTQSRPPPCAGPSTGHSRKVPVYFFQLKFFRTLLPRNNELRRLNSYARAVKGSIRDSGFVVVRLTSAKPDEDGSLFPRRRQPRSAPALLWSPLQTGLKPQPLSRSRQARSPPSVPEDLPSCLSGARRLPLATDSSGELSRSDRRLVLQSTSKFLKYIRIFKQGPRRHGRREQSGRRWPWESSSEESDEVYGSDMGDSLGSVFSTLLHRSLAPGSAQSSALSLTSDHSALSSTSLLSDREACHGDTELDEEVEEEEEEEEEDDGLIGPQLLEQEKLLIEQLIDFSDQSWSYTYCRAVPIPITHLKGPKATDLVGPFGAKEGVAQLKTRLWRRAEAADGIGSALEQAEATETFIDELEALTKAQLRCHLGPVDCHAGFTAQFAHLLLTTYASHPSHGPTSLKNAVALFEAQVEVPNLLLVTVTSSRHSRIPLGQEARVLRQYSIGPAKLEADIFTLLEQCISCYPVPWTLFRHYTSAAKLLLDSDPPFHPTCLVTSYFLLALPTLLDYTGKEQVERTKQLIQELLILFHGPDRSVLEQLSWCNLCLGASWSTSRRSLSLGHLCRELAHQIRDETCTTAGPQM
+>sp|O75925|PIAS1_HUMAN E3 SUMO-protein ligase PIAS1 OS=Homo sapiens OX=9606 GN=PIAS1 PE=1 SV=2
+MADSAELKQMVMSLRVSELQVLLGYAGRNKHGRKHELLTKALHLLKAGCSPAVQMKIKELYRRRFPQKIMTPADLSIPNVHSSPMPATLSPSTIPQLTYDGHPASSPLLPVSLLGPKHELELPHLTSALHPVHPDIKLQKLPFYDLLDELIKPTSLASDNSQRFRETCFAFALTPQQVQQISSSMDISGTKCDFTVQVQLRFCLSETSCPQEDHFPPNLCVKVNTKPCSLPGYLPPTKNGVEPKRPSRPINITSLVRLSTTVPNTIVVSWTAEIGRNYSMAVYLVKQLSSTVLLQRLRAKGIRNPDHSRALIKEKLTADPDSEIATTSLRVSLLCPLGKMRLTIPCRALTCSHLQCFDATLYIQMNEKKPTWVCPVCDKKAPYEHLIIDGLFMEILKYCTDCDEIQFKEDGTWAPMRSKKEVQEVSASYNGVDGCLSSTLEHQVASHHQSSNKNKKVEVIDLTIDSSSDEEEEEPSAKRTCPSLSPTSPLNNKGILSLPHQASPVSRTPSLPAVDTSYINTSLIQDYRHPFHMTPMPYDLQGLDFFPFLSGDNQHYNTSLLAAAAAAVSDDQDLLHSSRFFPYTSSQMFLDQLSAGGSTSLPTTNGSSSGSNSSLVSSNSLRESHSHTVTNRSSTDTASIFGIIPDIISLD
+>DECOY_sp|O75925|PIAS1_HUMAN E3 SUMO-protein ligase PIAS1 OS=Homo sapiens OX=9606 GN=PIAS1 PE=1 SV=2
+DLSIIDPIIGFISATDTSSRNTVTHSHSERLSNSSVLSSNSGSSSGNTTPLSTSGGASLQDLFMQSSTYPFFRSSHLLDQDDSVAAAAAALLSTNYHQNDGSLFPFFDLGQLDYPMPTMHFPHRYDQILSTNIYSTDVAPLSPTRSVPSAQHPLSLIGKNNLPSTPSLSPCTRKASPEEEEEDSSSDITLDIVEVKKNKNSSQHHSAVQHELTSSLCGDVGNYSASVEQVEKKSRMPAWTGDEKFQIEDCDTCYKLIEMFLGDIILHEYPAKKDCVPCVWTPKKENMQIYLTADFCQLHSCTLARCPITLRMKGLPCLLSVRLSTTAIESDPDATLKEKILARSHDPNRIGKARLRQLLVTSSLQKVLYVAMSYNRGIEATWSVVITNPVTTSLRVLSTINIPRSPRKPEVGNKTPPLYGPLSCPKTNVKVCLNPPFHDEQPCSTESLCFRLQVQVTFDCKTGSIDMSSSIQQVQQPTLAFAFCTERFRQSNDSALSTPKILEDLLDYFPLKQLKIDPHVPHLASTLHPLELEHKPGLLSVPLLPSSAPHGDYTLQPITSPSLTAPMPSSHVNPISLDAPTMIKQPFRRRYLEKIKMQVAPSCGAKLLHLAKTLLEHKRGHKNRGAYGLLVQLESVRLSMVMQKLEASDAM
+>sp|Q92508|PIEZ1_HUMAN Piezo-type mechanosensitive ion channel component 1 OS=Homo sapiens OX=9606 GN=PIEZO1 PE=1 SV=4
+MEPHVLGAVLYWLLLPCALLAACLLRFSGLSLVYLLFLLLLPWFPGPTRCGLQGHTGRLLRALLGLSLLFLVAHLALQICLHIVPRLDQLLGPSCSRWETLSRHIGVTRLDLKDIPNAIRLVAPDLGILVVSSVCLGICGRLARNTRQSPHPRELDDDERDVDASPTAGLQEAATLAPTRRSRLAARFRVTAHWLLVAAGRVLAVTLLALAGIAHPSALSSVYLLLFLALCTWWACHFPISTRGFSRLCVAVGCFGAGHLICLYCYQMPLAQALLPPAGIWARVLGLKDFVGPTNCSSPHALVLNTGLDWPVYASPGVLLLLCYATASLRKLRAYRPSGQRKEAAKGYEARELELAELDQWPQERESDQHVVPTAPDTEADNCIVHELTGQSSVLRRPVRPKRAEPREASPLHSLGHLIMDQSYVCALIAMMVWSITYHSWLTFVLLLWACLIWTVRSRHQLAMLCSPCILLYGMTLCCLRYVWAMDLRPELPTTLGPVSLRQLGLEHTRYPCLDLGAMLLYTLTFWLLLRQFVKEKLLKWAESPAALTEVTVADTEPTRTQTLLQSLGELVKGVYAKYWIYVCAGMFIVVSFAGRLVVYKIVYMFLFLLCLTLFQVYYSLWRKLLKAFWWLVVAYTMLVLIAVYTFQFQDFPAYWRNLTGFTDEQLGDLGLEQFSVSELFSSILVPGFFLLACILQLHYFHRPFMQLTDMEHVSLPGTRLPRWAHRQDAVSGTPLLREEQQEHQQQQQEEEEEEEDSRDEGLGVATPHQATQVPEGAAKWGLVAERLLELAAGFSDVLSRVQVFLRRLLELHVFKLVALYTVWVALKEVSVMNLLLVVLWAFALPYPRFRPMASCLSTVWTCVIIVCKMLYQLKVVNPQEYSSNCTEPFPNSTNLLPTEISQSLLYRGPVDPANWFGVRKGFPNLGYIQNHLQVLLLLVFEAIVYRRQEHYRRQHQLAPLPAQAVFASGTRQQLDQDLLGCLKYFINFFFYKFGLEICFLMAVNVIGQRMNFLVTLHGCWLVAILTRRHRQAIARLWPNYCLFLALFLLYQYLLCLGMPPALCIDYPWRWSRAVPMNSALIKWLYLPDFFRAPNSTNLISDFLLLLCASQQWQVFSAERTEEWQRMAGVNTDRLEPLRGEPNPVPNFIHCRSYLDMLKVAVFRYLFWLVLVVVFVTGATRISIFGLGYLLACFYLLLFGTALLQRDTRARLVLWDCLILYNVTVIISKNMLSLLACVFVEQMQTGFCWVIQLFSLVCTVKGYYDPKEMMDRDQDCLLPVEEAGIIWDSVCFFFLLLQRRVFLSHYYLHVRADLQATALLASRGFALYNAANLKSIDFHRRIEEKSLAQLKRQMERIRAKQEKHRQGRVDRSRPQDTLGPKDPGLEPGPDSPGGSSPPRRQWWRPWLDHATVIHSGDYFLFESDSEEEEEAVPEDPRPSAQSAFQLAYQAWVTNAQAVLRRRQQEQEQARQEQAGQLPTGGGPSQEVEPAEGPEEAAAGRSHVVQRVLSTAQFLWMLGQALVDELTRWLQEFTRHHGTMSDVLRAERYLLTQELLQGGEVHRGVLDQLYTSQAEATLPGPTEAPNAPSTVSSGLGAEEPLSSMTDDMGSPLSTGYHTRSGSEEAVTDPGEREAGASLYQGLMRTASELLLDRRLRIPELEEAELFAEGQGRALRLLRAVYQCVAAHSELLCYFIIILNHMVTASAGSLVLPVLVFLWAMLSIPRPSKRFWMTAIVFTEIAVVVKYLFQFGFFPWNSHVVLRRYENKPYFPPRILGLEKTDGYIKYDLVQLMALFFHRSQLLCYGLWDHEEDSPSKEHDKSGEEEQGAEEGPGVPAATTEDHIQVEARVGPTDGTPEPQVELRPRDTRRISLRFRRRKKEGPARKGAAAIEAEDREEEEGEEEKEAPTGREKRPSRSGGRVRAAGRRLQGFCLSLAQGTYRPLRRFFHDILHTKYRAATDVYALMFLADVVDFIIIIFGFWAFGKHSAATDITSSLSDDQVPEAFLVMLLIQFSTMVVDRALYLRKTVLGKLAFQVALVLAIHLWMFFILPAVTERMFNQNVVAQLWYFVKCIYFALSAYQIRCGYPTRILGNFLTKKYNHLNLFLFQGFRLVPFLVELRAVMDWVWTDTTLSLSSWMCVEDIYANIFIIKCSRETEKKYPQPKGQKKKKIVKYGMGGLIILFLIAIIWFPLLFMSLVRSVVGVVNQPIDVTVTLKLGGYEPLFTMSAQQPSIIPFTAQAYEELSRQFDPQPLAMQFISQYSPEDIVTAQIEGSSGALWRISPPSRAQMKRELYNGTADITLRFTWNFQRDLAKGGTVEYANEKHMLALAPNSTARRQLASLLEGTSDQSVVIPNLFPKYIRAPNGPEANPVKQLQPNEEADYLGVRIQLRREQGAGATGFLEWWVIELQECRTDCNLLPMVIFSDKVSPPSLGFLAGYGIMGLYVSIVLVIGKFVRGFFSEISHSIMFEELPCVDRILKLCQDIFLVRETRELELEEELYAKLIFLYRSPETMIKWTREKE
+>DECOY_sp|Q92508|PIEZ1_HUMAN Piezo-type mechanosensitive ion channel component 1 OS=Homo sapiens OX=9606 GN=PIEZO1 PE=1 SV=4
+EKERTWKIMTEPSRYLFILKAYLEEELELERTERVLFIDQCLKLIRDVCPLEEFMISHSIESFFGRVFKGIVLVISVYLGMIGYGALFGLSPPSVKDSFIVMPLLNCDTRCEQLEIVWWELFGTAGAGQERRLQIRVGLYDAEENPQLQKVPNAEPGNPARIYKPFLNPIVVSQDSTGELLSALQRRATSNPALALMHKENAYEVTGGKALDRQFNWTFRLTIDATGNYLERKMQARSPPSIRWLAGSSGEIQATVIDEPSYQSIFQMALPQPDFQRSLEEYAQATFPIISPQQASMTFLPEYGGLKLTVTVDIPQNVVGVVSRVLSMFLLPFWIIAILFLIILGGMGYKVIKKKKQGKPQPYKKETERSCKIIFINAYIDEVCMWSSLSLTTDTWVWDMVARLEVLFPVLRFGQFLFLNLHNYKKTLFNGLIRTPYGCRIQYASLAFYICKVFYWLQAVVNQNFMRETVAPLIFFMWLHIALVLAVQFALKGLVTKRLYLARDVVMTSFQILLMVLFAEPVQDDSLSSTIDTAASHKGFAWFGFIIIIFDVVDALFMLAYVDTAARYKTHLIDHFFRRLPRYTGQALSLCFGQLRRGAARVRGGSRSPRKERGTPAEKEEEGEEEERDEAEIAAAGKRAPGEKKRRRFRLSIRRTDRPRLEVQPEPTGDTPGVRAEVQIHDETTAAPVGPGEEAGQEEEGSKDHEKSPSDEEHDWLGYCLLQSRHFFLAMLQVLDYKIYGDTKELGLIRPPFYPKNEYRRLVVHSNWPFFGFQFLYKVVVAIETFVIATMWFRKSPRPISLMAWLFVLVPLVLSGASATVMHNLIIIFYCLLESHAAVCQYVARLLRLARGQGEAFLEAEELEPIRLRRDLLLESATRMLGQYLSAGAEREGPDTVAEESGSRTHYGTSLPSGMDDTMSSLPEEAGLGSSVTSPANPAETPGPLTAEAQSTYLQDLVGRHVEGGQLLEQTLLYREARLVDSMTGHHRTFEQLWRTLEDVLAQGLMWLFQATSLVRQVVHSRGAAAEEPGEAPEVEQSPGGGTPLQGAQEQRAQEQEQQRRRLVAQANTVWAQYALQFASQASPRPDEPVAEEEEESDSEFLFYDGSHIVTAHDLWPRWWQRRPPSSGGPSDPGPELGPDKPGLTDQPRSRDVRGQRHKEQKARIREMQRKLQALSKEEIRRHFDISKLNAANYLAFGRSALLATAQLDARVHLYYHSLFVRRQLLLFFFCVSDWIIGAEEVPLLCDQDRDMMEKPDYYGKVTCVLSFLQIVWCFGTQMQEVFVCALLSLMNKSIIVTVNYLILCDWLVLRARTDRQLLATGFLLLYFCALLYGLGFISIRTAGTVFVVVLVLWFLYRFVAVKLMDLYSRCHIFNPVPNPEGRLPELRDTNVGAMRQWEETREASFVQWQQSACLLLLFDSILNTSNPARFFDPLYLWKILASNMPVARSWRWPYDICLAPPMGLCLLYQYLLFLALFLCYNPWLRAIAQRHRRTLIAVLWCGHLTVLFNMRQGIVNVAMLFCIELGFKYFFFNIFYKLCGLLDQDLQQRTGSAFVAQAPLPALQHQRRYHEQRRYVIAEFVLLLLVQLHNQIYGLNPFGKRVGFWNAPDVPGRYLLSQSIETPLLNTSNPFPETCNSSYEQPNVVKLQYLMKCVIIVCTWVTSLCSAMPRFRPYPLAFAWLVVLLLNMVSVEKLAVWVTYLAVLKFVHLELLRRLFVQVRSLVDSFGAALELLREAVLGWKAAGEPVQTAQHPTAVGLGEDRSDEEEEEEEQQQQQHEQQEERLLPTGSVADQRHAWRPLRTGPLSVHEMDTLQMFPRHFYHLQLICALLFFGPVLISSFLESVSFQELGLDGLQEDTFGTLNRWYAPFDQFQFTYVAILVLMTYAVVLWWFAKLLKRWLSYYVQFLTLCLLFLFMYVIKYVVLRGAFSVVIFMGACVYIWYKAYVGKVLEGLSQLLTQTRTPETDAVTVETLAAPSEAWKLLKEKVFQRLLLWFTLTYLLMAGLDLCPYRTHELGLQRLSVPGLTTPLEPRLDMAWVYRLCCLTMGYLLICPSCLMALQHRSRVTWILCAWLLLVFTLWSHYTISWVMMAILACVYSQDMILHGLSHLPSAERPEARKPRVPRRLVSSQGTLEHVICNDAETDPATPVVHQDSEREQPWQDLEALELERAEYGKAAEKRQGSPRYARLKRLSATAYCLLLLVGPSAYVPWDLGTNLVLAHPSSCNTPGVFDKLGLVRAWIGAPPLLAQALPMQYCYLCILHGAGFCGVAVCLRSFGRTSIPFHCAWWTCLALFLLLYVSSLASPHAIGALALLTVALVRGAAVLLWHATVRFRAALRSRRTPALTAAEQLGATPSADVDREDDDLERPHPSQRTNRALRGCIGLCVSSVVLIGLDPAVLRIANPIDKLDLRTVGIHRSLTEWRSCSPGLLQDLRPVIHLCIQLALHAVLFLLSLGLLARLLRGTHGQLGCRTPGPFWPLLLLFLLYVLSLGSFRLLCAALLACPLLLWYLVAGLVHPEM
+>sp|Q9H5I5|PIEZ2_HUMAN Piezo-type mechanosensitive ion channel component 2 OS=Homo sapiens OX=9606 GN=PIEZO2 PE=1 SV=2
+MASEVVCGLIFRLLLPICLAVACAFRYNGLSFVYLIYLLLIPLFSEPTKTTMQGHTGRLLKSLCFISLSFLLLHIIFHITLVSLEAQHRIAPGYNCSTWEKTFRQIGFESLKGADAGNGIRVFVPDIGMFIASLTIWLLCRNIVQKPVTDEAAQSNPEFENEELAEGEKIDSEEALIYEEDFNGGDGVEGELEESTKLKMFRRLASVASKLKEFIGNMITTAGKVVVTILLGSSGMMLPSLTSSVYFFVFLGLCTWWSWCRTFDPLLFSCLCVLLAIFTAGHLIGLYLYQFQFFQEAVPPNDYYARLFGIKSVIQTDCSSTWKIIVNPDLSWYHHANPILLLVMYYTLATLIRIWLQEPLVQDEGTKEEDKALACSPIQITAGRRRSLWYATHYPTDERKLLSMTQDDYKPSDGLLVTVNGNPVDYHTIHPSLPMENGPGKADLYSTPQYRWEPSDESSEKREEEEEEKEEFEEERSREEKRSIKVHAMVSVFQFIMKQSYICALIAMMAWSITYHSWLTFVLLIWSCTLWMIRNRRKYAMISSPFMVVYGNLLLILQYIWSFELPEIKKVPGFLEKKEPGELASKILFTITFWLLLRQHLTEQKALQEKEALLSEVKIGSQENEEKDEELQDIQVEGEPKEEEEEEAKEEKQERKKVEQEEAEEEDEQDIMKVLGNLVVAMFIKYWIYVCGGMFFFVSFEGKIVMYKIIYMVLFLFCVALYQVHYEWWRKILKYFWMSVVIYTMLVLIFIYTYQFENFPGLWQNMTGLKKEKLEDLGLKQFTVAELFTRIFIPTSFLLVCILHLHYFHDRFLELTDLKSIPSKEDNTIYRLAHPEGSLPDLTMMHLTASLEKPEVRKLAEPGEEKLEGYSEKAQKGDLGKDSEESEEDGEEEEESEEEEETSDLRNKWHLVIDRLTVLFLKFLEYFHKLQVFMWWILELHIIKIVSSYIIWVSVKEVSLFNYVFLISWAFALPYAKLRRLASSVCTVWTCVIIVCKMLYQLQTIKPENFSVNCSLPNENQTNIPFNELNKSLLYSAPIDPTEWVGLRKSSPLLVYLRNNLLMLAILAFEVTIYRHQEYYRGRNNLTAPVSRTIFHDITRLHLDDGLINCAKYFINYFFYKFGLETCFLMSVNVIGQRMDFYAMIHACWLIAVLYRRRRKAIAEIWPKYCCFLACIITFQYFICIGIPPAPCRDYPWRFKGASFNDNIIKWLYFPDFIVRPNPVFLVYDFMLLLCASLQRQIFEDENKAAVRIMAGDNVEICMNLDAASFSQHNPVPDFIHCRSYLDMSKVIIFSYLFWFVLTIIFITGTTRISIFCMGYLVACFYFLLFGGDLLLKPIKSILRYWDWLIAYNVFVITMKNILSIGACGYIGTLVHNSCWLIQAFSLACTVKGYQMPAANSPCTLPSGEAGIIWDSICFAFLLLQRRVFMSYYFLHVVADIKASQILASRGAELFQATIVKAVKARIEEEKKSMDQLKRQMDRIKARQQKYKKGKERMLSLTQEPGEGQDMQKLSEEDDEREADKQKAKGKKKQWWRPWVDHASMVRSGDYYLFETDSEEEEEEELKKEDEEPPRRSAFQFVYQAWITDPKTALRQRHKEKKRSAREERKRRRKGSKEGPVEWEDREDEPIKKKSDGPDNIIKRIFNILKFTWVLFLATVDSFTTWLNSISREHIDISTVLRIERCMLTREIKKGNVPTRESIHMYYQNHIMNLSRESGLDTIDEHPGAASGAQTAHRMDSLDSHDSISSEPTQCTMLYSRQGTTETIEEVEAEQEEEAGSTAPEPREAKEYEATGYDVGAMGAEEASLTPEEELTQFSTLDGDVEAPPSYSKAVSFEHLSFGSQDDSAGKNRMAVSPDDSRTDKLGSSILPPLTHELTASELLLKKMFHDDELEESEKFYVGQPRFLLLFYAMYNTLVARSEMVCYFVIILNHMVSASMITLLLPILIFLWAMLSVPRPSRRFWMMAIVYTEVAIVVKYFFQFGFFPWNKNVEVNKDKPYHPPNIIGVEKKEGYVLYDLIQLLALFFHRSILKCHGLWDEDDMTESGMAREESDDELSLGHGRRDSSDSLKSINLAASVESVHVTFPEQQTAVRRKRSGSSSEPSQRSSFSSNRSQRGSTSTRNSSQKGSSVLSIKQKGKRELYMEKLQEHLIKAKAFTIKKTLEIYVPIKQFFYNLIHPEYSAVTDVYVLMFLADTVDFIIIVFGFWAFGKHSAAADITSSLSEDQVPGPFLVMVLIQFGTMVVDRALYLRKTVLGKVIFQVILVFGIHFWMFFILPGVTERKFSQNLVAQLWYFVKCVYFGLSAYQIRCGYPTRVLGNFLTKSYNYVNLFLFQGFRLVPFLTELRAVMDWVWTDTTLSLSSWICVEDIYAHIFILKCWRESEKRYPQPRGQKKKKVVKYGMGGMIIVLLICIVWFPLLFMSLIKSVAGVINQPLDVSVTITLGGYQPIFTMSAQQSQLKVMDQQSFNKFIQAFSRDTGAMQFLENYEKEDITVAELEGNSNSLWTISPPSKQKMIHELLDPNSSFSVVFSWSIQRNLSLGAKSEIATDKLSFPLKNITRKNIAKMIAGNSTESSKTPVTIEKIYPYYVKAPSDSNSKPIKQLLSENNFMDITIILSRDNTTKYNSEWWVLNLTGNRIYNPNSQALELVVFNDKVSPPSLGFLAGYGIMGLYASVVLVIGKFVREFFSGISHSIMFEELPNVDRILKLCTDIFLVRETGELELEEDLYAKLIFLYRSPETMIKWTREKTN
+>DECOY_sp|Q9H5I5|PIEZ2_HUMAN Piezo-type mechanosensitive ion channel component 2 OS=Homo sapiens OX=9606 GN=PIEZO2 PE=1 SV=2
+NTKERTWKIMTEPSRYLFILKAYLDEELELEGTERVLFIDTCLKLIRDVNPLEEFMISHSIGSFFERVFKGIVLVVSAYLGMIGYGALFGLSPPSVKDNFVVLELAQSNPNYIRNGTLNLVWWESNYKTTNDRSLIITIDMFNNESLLQKIPKSNSDSPAKVYYPYIKEITVPTKSSETSNGAIMKAINKRTINKLPFSLKDTAIESKAGLSLNRQISWSFVVSFSSNPDLLEHIMKQKSPPSITWLSNSNGELEAVTIDEKEYNELFQMAGTDRSFAQIFKNFSQQDMVKLQSQQASMTFIPQYGGLTITVSVDLPQNIVGAVSKILSMFLLPFWVICILLVIIMGGMGYKVVKKKKQGRPQPYRKESERWCKLIFIHAYIDEVCIWSSLSLTTDTWVWDMVARLETLFPVLRFGQFLFLNVYNYSKTLFNGLVRTPYGCRIQYASLGFYVCKVFYWLQAVLNQSFKRETVGPLIFFMWFHIGFVLIVQFIVKGLVTKRLYLARDVVMTGFQILVMVLFPGPVQDESLSSTIDAAASHKGFAWFGFVIIIFDVTDALFMLVYVDTVASYEPHILNYFFQKIPVYIELTKKITFAKAKILHEQLKEMYLERKGKQKISLVSSGKQSSNRTSTSGRQSRNSSFSSRQSPESSSGSRKRRVATQQEPFTVHVSEVSAALNISKLSDSSDRRGHGLSLEDDSEERAMGSETMDDEDWLGHCKLISRHFFLALLQILDYLVYGEKKEVGIINPPHYPKDKNVEVNKNWPFFGFQFFYKVVIAVETYVIAMMWFRRSPRPVSLMAWLFILIPLLLTIMSASVMHNLIIVFYCVMESRAVLTNYMAYFLLLFRPQGVYFKESEELEDDHFMKKLLLESATLEHTLPPLISSGLKDTRSDDPSVAMRNKGASDDQSGFSLHEFSVAKSYSPPAEVDGDLTSFQTLEEEPTLSAEEAGMAGVDYGTAEYEKAERPEPATSGAEEEQEAEVEEITETTGQRSYLMTCQTPESSISDHSDLSDMRHATQAGSAAGPHEDITDLGSERSLNMIHNQYYMHISERTPVNGKKIERTLMCREIRLVTSIDIHERSISNLWTTFSDVTALFLVWTFKLINFIRKIINDPGDSKKKIPEDERDEWEVPGEKSGKRRRKREERASRKKEKHRQRLATKPDTIWAQYVFQFASRRPPEEDEKKLEEEEEEESDTEFLYYDGSRVMSAHDVWPRWWQKKKGKAKQKDAEREDDEESLKQMDQGEGPEQTLSLMREKGKKYKQQRAKIRDMQRKLQDMSKKEEEIRAKVAKVITAQFLEAGRSALIQSAKIDAVVHLFYYSMFVRRQLLLFAFCISDWIIGAEGSPLTCPSNAAPMQYGKVTCALSFAQILWCSNHVLTGIYGCAGISLINKMTIVFVNYAILWDWYRLISKIPKLLLDGGFLLFYFCAVLYGMCFISIRTTGTIFIITLVFWFLYSFIIVKSMDLYSRCHIFDPVPNHQSFSAADLNMCIEVNDGAMIRVAAKNEDEFIQRQLSACLLLMFDYVLFVPNPRVIFDPFYLWKIINDNFSAGKFRWPYDRCPAPPIGICIFYQFTIICALFCCYKPWIEAIAKRRRRYLVAILWCAHIMAYFDMRQGIVNVSMLFCTELGFKYFFYNIFYKACNILGDDLHLRTIDHFITRSVPATLNNRGRYYEQHRYITVEFALIALMLLNNRLYVLLPSSKRLGVWETPDIPASYLLSKNLENFPINTQNENPLSCNVSFNEPKITQLQYLMKCVIIVCTWVTCVSSALRRLKAYPLAFAWSILFVYNFLSVEKVSVWIIYSSVIKIIHLELIWWMFVQLKHFYELFKLFLVTLRDIVLHWKNRLDSTEEEEESEEEEEGDEESEESDKGLDGKQAKESYGELKEEGPEALKRVEPKELSATLHMMTLDPLSGEPHALRYITNDEKSPISKLDTLELFRDHFYHLHLICVLLFSTPIFIRTFLEAVTFQKLGLDELKEKKLGTMNQWLGPFNEFQYTYIFILVLMTYIVVSMWFYKLIKRWWEYHVQYLAVCFLFLVMYIIKYMVIKGEFSVFFFMGGCVYIWYKIFMAVVLNGLVKMIDQEDEEEAEEQEVKKREQKEEKAEEEEEEKPEGEVQIDQLEEDKEENEQSGIKVESLLAEKEQLAKQETLHQRLLLWFTITFLIKSALEGPEKKELFGPVKKIEPLEFSWIYQLILLLNGYVVMFPSSIMAYKRRNRIMWLTCSWILLVFTLWSHYTISWAMMAILACIYSQKMIFQFVSVMAHVKISRKEERSREEEFEEKEEEEEERKESSEDSPEWRYQPTSYLDAKGPGNEMPLSPHITHYDVPNGNVTVLLGDSPKYDDQTMSLLKREDTPYHTAYWLSRRRGATIQIPSCALAKDEEKTGEDQVLPEQLWIRILTALTYYMVLLLIPNAHHYWSLDPNVIIKWTSSCDTQIVSKIGFLRAYYDNPPVAEQFFQFQYLYLGILHGATFIALLVCLCSFLLPDFTRCWSWWTCLGLFVFFYVSSTLSPLMMGSSGLLITVVVKGATTIMNGIFEKLKSAVSALRRFMKLKTSEELEGEVGDGGNFDEEYILAEESDIKEGEALEENEFEPNSQAAEDTVPKQVINRCLLWITLSAIFMGIDPVFVRIGNGADAGKLSEFGIQRFTKEWTSCNYGPAIRHQAELSVLTIHFIIHLLLFSLSIFCLSKLLRGTHGQMTTKTPESFLPILLLYILYVFSLGNYRFACAVALCIPLLLRFILGCVVESAM
+>sp|Q86VD9|PIGZ_HUMAN GPI mannosyltransferase 4 OS=Homo sapiens OX=9606 GN=PIGZ PE=2 SV=4
+MQICGSSVASVAAGTSFQVLGPVCWQQLDLKMAVRVLWGGLSLLRVLWCLLPQTGYVHPDEFFQSPEVMAEDILGVQAARPWEFYPSSSCRSVLFPLLISGSTFWLLRLWEELGPWPGLVSGYALLVGPRLLLTALSFALDGAVYHLAPPMGADRWNALALLSGSYVTLVFYTRTFSNTIEGLLFTWLLVLVSSHVTWGPTRKEPAPGPRWRSWLLGGIVAAGFFNRPTFLAFAVVPLYLWGTRGATNPGLKSLTREALVLLPGAALTAAVFVATDSWYFSSPATSRNLVLTPVNFLHYNLNPQNLARHGTHARLTHLAVNGFLLFGVLHAQALQAAWQRLQVGLQASAQMGLLRALGARSLLSSPRSYLLLLYFMPLALLSAFSHQEARFLIPLLVPLVLLCSPQTQPVPWKGTVVLFNALGALLFGCLHQGGLVPGLEYLEQVVHAPVLPSTPTHYTLLFTHTYMPPRHLLHLPGLGAPVEVVDMGGTEDWALCQTLKSFTRQPACQVAGGPWLCRLFVVTPGTTRRAVEKCSFPFKNETLLFPHLTLEDPPALSSLLSGAWRDHLSLHIVELGEET
+>DECOY_sp|Q86VD9|PIGZ_HUMAN GPI mannosyltransferase 4 OS=Homo sapiens OX=9606 GN=PIGZ PE=2 SV=4
+TEEGLEVIHLSLHDRWAGSLLSSLAPPDELTLHPFLLTENKFPFSCKEVARRTTGPTVVFLRCLWPGGAVQCAPQRTFSKLTQCLAWDETGGMDVVEVPAGLGPLHLLHRPPMYTHTFLLTYHTPTSPLVPAHVVQELYELGPVLGGQHLCGFLLAGLANFLVVTGKWPVPQTQPSCLLVLPVLLPILFRAEQHSFASLLALPMFYLLLLYSRPSSLLSRAGLARLLGMQASAQLGVQLRQWAAQLAQAHLVGFLLFGNVALHTLRAHTGHRALNQPNLNYHLFNVPTLVLNRSTAPSSFYWSDTAVFVAATLAAGPLLVLAERTLSKLGPNTAGRTGWLYLPVVAFALFTPRNFFGAAVIGGLLWSRWRPGPAPEKRTPGWTVHSSVLVLLWTFLLGEITNSFTRTYFVLTVYSGSLLALANWRDAGMPPALHYVAGDLAFSLATLLLRPGVLLAYGSVLGPWPGLEEWLRLLWFTSGSILLPFLVSRCSSSPYFEWPRAAQVGLIDEAMVEPSQFFEDPHVYGTQPLLCWLVRLLSLGGWLVRVAMKLDLQQWCVPGLVQFSTGAAVSAVSSGCIQM
+>sp|Q8WWB5|PIHD2_HUMAN PIH1 domain-containing protein 2 OS=Homo sapiens OX=9606 GN=PIH1D2 PE=1 SV=1
+METSSKGLLTQVTQFWNLLDDLAQSDPEGYEKFIQQQLKEGKQLCAAPEPQLCLQTRILKPKEKILFINLCQWTRIPAPQSTTHPVPLTVGKPEDTTEISDAYTVIDVAYNPDVLHAAEKDQVKKNQLIQMAMKCIEEKFQFTLSHSYHITKFRIKGSIQRMKQNLMGIQTDSIDLREKMRRELTLGQIRSSTMSNPDHFPQLLLPKDQVSGKAVCLIEEISSTEIQVEMKMPAYELKIVHDHSEKPLKIELKVELPGINSVSLCDLSVSEDDLLIEVSEKYRLHLNLPKLIDTEMTTAKFIKEKSTLIITMPLV
+>DECOY_sp|Q8WWB5|PIHD2_HUMAN PIH1 domain-containing protein 2 OS=Homo sapiens OX=9606 GN=PIH1D2 PE=1 SV=1
+VLPMTIILTSKEKIFKATTMETDILKPLNLHLRYKESVEILLDDESVSLDCLSVSNIGPLEVKLEIKLPKESHDHVIKLEYAPMKMEVQIETSSIEEILCVAKGSVQDKPLLLQPFHDPNSMTSSRIQGLTLERRMKERLDISDTQIGMLNQKMRQISGKIRFKTIHYSHSLTFQFKEEICKMAMQILQNKKVQDKEAAHLVDPNYAVDIVTYADSIETTDEPKGVTLPVPHTTSQPAPIRTWQCLNIFLIKEKPKLIRTQLCLQPEPAACLQKGEKLQQQIFKEYGEPDSQALDDLLNWFQTVQTLLGKSSTEM
+>sp|Q9NQM4|PIHD3_HUMAN Protein PIH1D3 OS=Homo sapiens OX=9606 GN=PIH1D3 PE=1 SV=1
+MESENMDSENMKTENMESQNVDFESVSSVTALEALSKLLNPEEEDDSDYGQTNGLSTIGAMGPGNIGPPQIEELKVIPETSEENNEDIWNSEEIPEGAEYDDMWDVREIPEYEIIFRQQVGTEDIFLGLSKKDSSTGCCSELVAKIKLPNTNPSDIQIDIQETILDLRTPQKKLLITLPELVECTSAKAFYIPETETLEITMTMKRELDIANFF
+>DECOY_sp|Q9NQM4|PIHD3_HUMAN Protein PIH1D3 OS=Homo sapiens OX=9606 GN=PIH1D3 PE=1 SV=1
+FFNAIDLERKMTMTIELTETEPIYFAKASTCEVLEPLTILLKKQPTRLDLITEQIDIQIDSPNTNPLKIKAVLESCCGTSSDKKSLGLFIDETGVQQRFIIEYEPIERVDWMDDYEAGEPIEESNWIDENNEESTEPIVKLEEIQPPGINGPGMAGITSLGNTQGYDSDDEEEPNLLKSLAELATVSSVSEFDVNQSEMNETKMNESDMNESEM
+>sp|P0C8F1|PATE4_HUMAN Prostate and testis expressed protein 4 OS=Homo sapiens OX=9606 GN=PATE4 PE=2 SV=2
+MRKMNTLLLVSLSFLYLKEVMGLKCNTCIYTEGWKCMAGRGTCIAKENELCSTTAYFRGDKHMYSTHMCKYKCREEESSKRGLLRVTLCCDRNFCNVF
+>DECOY_sp|P0C8F1|PATE4_HUMAN Prostate and testis expressed protein 4 OS=Homo sapiens OX=9606 GN=PATE4 PE=2 SV=2
+FVNCFNRDCCLTVRLLGRKSSEEERCKYKCMHTSYMHKDGRFYATTSCLENEKAICTGRGAMCKWGETYICTNCKLGMVEKLYLFSLSVLLLTNMKRM
+>sp|C9JE40|PATL2_HUMAN Protein PAT1 homolog 2 OS=Homo sapiens OX=9606 GN=PATL2 PE=1 SV=1
+MNCLEGPGKTCGPLASEEELVSACQLEKEEENEGEEEEEEEDEEDLDPDLDPDLEEEENDLGDPAVLGAVHNTQRALLSSPGVKAPGMLGMSLASLHFLWQTLDYLSPIPFWPTFPSTSSPAQHFGPRLPSPDPTLFCSLLTSWPPRFSHLTQLHPRHQRILQQQQHSQTPSPPAKKPWSQQPDPYANLMTRKEKDWVIKVQMVQLQSAKPRLDDYYYQEYYQKLEKKQADEELLGRRNRVESLKLVTPYIPKAEAYESVVRIEGSLGQVAVSTCFSPRRAIDAVPHGTQEQDIEAASSQRLRVLYRIEKMFLQLLEIEEGWKYRPPPPCFSEQQSNQVEKLFQTLKTQEQNNLEEAADGFLQVLSVRKGKALVARLLPFLPQDQAVTILLAITHHLPLLVRRDVADQALQMLFKPLGKCISHLTLHELLQGLQGLTLLPPGSSERPVTVVLQNQFGISLLYALLSHGEQLVSLHSSLEEPNSDHTAWTDMVVLIAWEIAQMPTASLAEPLAFPSNLLPLFCHHVDKQLVQQLEARMEFAWIY
+>DECOY_sp|C9JE40|PATL2_HUMAN Protein PAT1 homolog 2 OS=Homo sapiens OX=9606 GN=PATL2 PE=1 SV=1
+YIWAFEMRAELQQVLQKDVHHCFLPLLNSPFALPEALSATPMQAIEWAILVVMDTWATHDSNPEELSSHLSVLQEGHSLLAYLLSIGFQNQLVVTVPRESSGPPLLTLGQLGQLLEHLTLHSICKGLPKFLMQLAQDAVDRRVLLPLHHTIALLITVAQDQPLFPLLRAVLAKGKRVSLVQLFGDAAEELNNQEQTKLTQFLKEVQNSQQESFCPPPPRYKWGEEIELLQLFMKEIRYLVRLRQSSAAEIDQEQTGHPVADIARRPSFCTSVAVQGLSGEIRVVSEYAEAKPIYPTVLKLSEVRNRRGLLEEDAQKKELKQYYEQYYYDDLRPKASQLQVMQVKIVWDKEKRTMLNAYPDPQQSWPKKAPPSPTQSHQQQQLIRQHRPHLQTLHSFRPPWSTLLSCFLTPDPSPLRPGFHQAPSSTSPFTPWFPIPSLYDLTQWLFHLSALSMGLMGPAKVGPSSLLARQTNHVAGLVAPDGLDNEEEELDPDLDPDLDEEDEEEEEEEGENEEEKELQCASVLEEESALPGCTKGPGELCNM
+>sp|Q9HBE1|PATZ1_HUMAN POZ-, AT hook-, and zinc finger-containing protein 1 OS=Homo sapiens OX=9606 GN=PATZ1 PE=1 SV=1
+MERVNDASCGPSGCYTYQVSRHSTEMLHNLNQQRKNGGRFCDVLLRVGDESFPAHRAVLAACSEYFESVFSAQLGDGGAADGGPADVGGATAAPGGGAGGSRELEMHTISSKVFGDILDFAYTSRIVVRLESFPELMTAAKFLLMRSVIEICQEVIKQSNVQILVPPARADIMLFRPPGTSDLGFPLDMTNGAALAANSNGIAGSMQPEEEAARAAGAAIAGQASLPVLPGVDRLPMVAGPLSPQLLTSPFPSVASSAPPLTGKRGRGRPRKANLLDSMFGSPGGLREAGILPCGLCGKVFTDANRLRQHEAQHGVTSLQLGYIDLPPPRLGENGLPISEDPDGPRKRSRTRKQVACEICGKIFRDVYHLNRHKLSHSGEKPYSCPVCGLRFKRKDRMSYHVRSHDGSVGKPYICQSCGKGFSRPDHLNGHIKQVHTSERPHKCQTCNASFATRDRLRSHLACHEDKVPCQVCGKYLRAAYMADHLKKHSEGPSNFCSICNRGFSSASYLKVHVKTHHGVPLPQVSRHQEPILNGGAAFHCARTYGNKEGQKCSHQDPIESSDSYGDLSDASDLKTPEKQSANGSFSCDMAVPKNKMESDGEKKYPCPECGSFFRSKSYLNKHIQKVHVRALGGPLGDLGPALGSPFSPQQNMSLLESFGFQIVQSAFASSLVDPEVDQQPMGPEGK
+>DECOY_sp|Q9HBE1|PATZ1_HUMAN POZ-, AT hook-, and zinc finger-containing protein 1 OS=Homo sapiens OX=9606 GN=PATZ1 PE=1 SV=1
+KGEPGMPQQDVEPDVLSSAFASQVIQFGFSELLSMNQQPSFPSGLAPGLDGLPGGLARVHVKQIHKNLYSKSRFFSGCEPCPYKKEGDSEMKNKPVAMDCSFSGNASQKEPTKLDSADSLDGYSDSSEIPDQHSCKQGEKNGYTRACHFAAGGNLIPEQHRSVQPLPVGHHTKVHVKLYSASSFGRNCISCFNSPGESHKKLHDAMYAARLYKGCVQCPVKDEHCALHSRLRDRTAFSANCTQCKHPRESTHVQKIHGNLHDPRSFGKGCSQCIYPKGVSGDHSRVHYSMRDKRKFRLGCVPCSYPKEGSHSLKHRNLHYVDRFIKGCIECAVQKRTRSRKRPGDPDESIPLGNEGLRPPPLDIYGLQLSTVGHQAEHQRLRNADTFVKGCLGCPLIGAERLGGPSGFMSDLLNAKRPRGRGRKGTLPPASSAVSPFPSTLLQPSLPGAVMPLRDVGPLVPLSAQGAIAAGAARAAEEEPQMSGAIGNSNAALAAGNTMDLPFGLDSTGPPRFLMIDARAPPVLIQVNSQKIVEQCIEIVSRMLLFKAATMLEPFSELRVVIRSTYAFDLIDGFVKSSITHMELERSGGAGGGPAATAGGVDAPGGDAAGGDGLQASFVSEFYESCAALVARHAPFSEDGVRLLVDCFRGGNKRQQNLNHLMETSHRSVQYTYCGSPGCSADNVREM
+>sp|P26367|PAX6_HUMAN Paired box protein Pax-6 OS=Homo sapiens OX=9606 GN=PAX6 PE=1 SV=2
+MQNSHSGVNQLGGVFVNGRPLPDSTRQKIVELAHSGARPCDISRILQVSNGCVSKILGRYYETGSIRPRAIGGSKPRVATPEVVSKIAQYKRECPSIFAWEIRDRLLSEGVCTNDNIPSVSSINRVLRNLASEKQQMGADGMYDKLRMLNGQTGSWGTRPGWYPGTSVPGQPTQDGCQQQEGGGENTNSISSNGEDSDEAQMRLQLKRKLQRNRTSFTQEQIEALEKEFERTHYPDVFARERLAAKIDLPEARIQVWFSNRRAKWRREEKLRNQRRQASNTPSHIPISSSFSTSVYQPIPQPTTPVSSFTSGSMLGRTDTALTNTYSALPPMPSFTMANNLPMQPPVPSQTSSYSCMLPTSPSVNGRSYDTYTPPHMQTHMNSQPMGTSGTTSTGLISPGVSVPVQVPGSEPDMSQYWPRLQ
+>DECOY_sp|P26367|PAX6_HUMAN Paired box protein Pax-6 OS=Homo sapiens OX=9606 GN=PAX6 PE=1 SV=2
+QLRPWYQSMDPESGPVQVPVSVGPSILGTSTTGSTGMPQSNMHTQMHPPTYTDYSRGNVSPSTPLMCSYSSTQSPVPPQMPLNNAMTFSPMPPLASYTNTLATDTRGLMSGSTFSSVPTTPQPIPQYVSTSFSSSIPIHSPTNSAQRRQNRLKEERRWKARRNSFWVQIRAEPLDIKAALRERAFVDPYHTREFEKELAEIQEQTFSTRNRQLKRKLQLRMQAEDSDEGNSSISNTNEGGGEQQQCGDQTPQGPVSTGPYWGPRTGWSGTQGNLMRLKDYMGDAGMQQKESALNRLVRNISSVSPINDNTCVGESLLRDRIEWAFISPCERKYQAIKSVVEPTAVRPKSGGIARPRISGTEYYRGLIKSVCGNSVQLIRSIDCPRAGSHALEVIKQRTSDPLPRGNVFVGGLQNVGSHSNQM
+>sp|Q06710|PAX8_HUMAN Paired box protein Pax-8 OS=Homo sapiens OX=9606 GN=PAX8 PE=1 SV=2
+MPHNSIRSGHGGLNQLGGAFVNGRPLPEVVRQRIVDLAHQGVRPCDISRQLRVSHGCVSKILGRYYETGSIRPGVIGGSKPKVATPKVVEKIGDYKRQNPTMFAWEIRDRLLAEGVCDNDTVPSVSSINRIIRTKVQQPFNLPMDSCVATKSLSPGHTLIPSSAVTPPESPQSDSLGSTYSINGLLGIAQPGSDKRKMDDSDQDSCRLSIDSQSSSSGPRKHLRTDAFSQHHLEPLECPFERQHYPEAYASPSHTKGEQGLYPLPLLNSTLDDGKATLTPSNTPLGRNLSTHQTYPVVADPHSPFAIKQETPEVSSSSSTPSSLSSSAFLDLQQVGSGVPPFNAFPHAASVYGQFTGQALLSGREMVGPTLPGYPPHIPTSGQGSYASSAIAGMVAGSEYSGNAYGHTPYSSYSEAWRFPNSSLLSSPYYYSSTSRPSAPPTTATAFDHL
+>DECOY_sp|Q06710|PAX8_HUMAN Paired box protein Pax-8 OS=Homo sapiens OX=9606 GN=PAX8 PE=1 SV=2
+LHDFATATTPPASPRSTSSYYYPSSLLSSNPFRWAESYSSYPTHGYANGSYESGAVMGAIASSAYSGQGSTPIHPPYGPLTPGVMERGSLLAQGTFQGYVSAAHPFANFPPVGSGVQQLDLFASSSLSSPTSSSSSVEPTEQKIAFPSHPDAVVPYTQHTSLNRGLPTNSPTLTAKGDDLTSNLLPLPYLGQEGKTHSPSAYAEPYHQREFPCELPELHHQSFADTRLHKRPGSSSSQSDISLRCSDQDSDDMKRKDSGPQAIGLLGNISYTSGLSDSQPSEPPTVASSPILTHGPSLSKTAVCSDMPLNFPQQVKTRIIRNISSVSPVTDNDCVGEALLRDRIEWAFMTPNQRKYDGIKEVVKPTAVKPKSGGIVGPRISGTEYYRGLIKSVCGHSVRLQRSIDCPRVGQHALDVIRQRVVEPLPRGNVFAGGLQNLGGHGSRISNHPM
+>sp|Q9BVG4|PBDC1_HUMAN Protein PBDC1 OS=Homo sapiens OX=9606 GN=PBDC1 PE=1 SV=1
+MAATSGTDEPVSGELVSVAHALSLPAESYGNDPDIEMAWAMRAMQHAEVYYKLISSVDPQFLKLTKVDDQIYSEFRKNFETLRIDVLDPEELKSESAKEKWRPFCLKFNGIVEDFNYGTLLRLDCSQGYTEENTIFAPRIQFFAIEIARNREGYNKAVYISVQDKEGEKGVNNGGEKRADSGEEENTKNGGEKGADSGEEKEEGINREDKTDKGGEKGKEADKEINKSGEKAM
+>DECOY_sp|Q9BVG4|PBDC1_HUMAN Protein PBDC1 OS=Homo sapiens OX=9606 GN=PBDC1 PE=1 SV=1
+MAKEGSKNIEKDAEKGKEGGKDTKDERNIGEEKEEGSDAGKEGGNKTNEEEGSDARKEGGNNVGKEGEKDQVSIYVAKNYGERNRAIEIAFFQIRPAFITNEETYGQSCDLRLLTGYNFDEVIGNFKLCFPRWKEKASESKLEEPDLVDIRLTEFNKRFESYIQDDVKTLKLFQPDVSSILKYYVEAHQMARMAWAMEIDPDNGYSEAPLSLAHAVSVLEGSVPEDTGSTAAM
+>sp|Q96AQ6|PBIP1_HUMAN Pre-B-cell leukemia transcription factor-interacting protein 1 OS=Homo sapiens OX=9606 GN=PBXIP1 PE=1 SV=1
+MASCPDSDNSWVLAGSESLPVETLGPASRMDPESERALQAPHSPSKTDGKELAGTMDGEGTLFQTESPQSGSILTEETEVKGTLEGDVCGVEPPGPGDTVVQGDLQETTVVTGLGPDTQDLEGQSPPQSLPSTPKAAWIREEGRCSSSDDDTDVDMEGLRRRRGREAGPPQPMVPLAVENQAGGEGAGGELGISLNMCLLGALVLLGLGVLLFSGGLSESETGPMEEVERQVLPDPEVLEAVGDRQDGLREQLQAPVPPDSVPSLQNMGLLLDKLAKENQDIRLLQAQLQAQKEELQSLMHQPKGLEEENAQLRGALQQGEAFQRALESELQQLRARLQGLEADCVRGPDGVCLSGGRGPQGDKAIREQGPREQEPELSFLKQKEQLEAEAQALRQELERQRRLLGSVQQDLERSLQDASRGDPAHAGLAELGHRLAQKLQGLENWGQDPGVSANASKAWHQKSHFQNSREWSGKEKWWDGQRDRKAEHWKHKKEESGRERKKNWGGQEDREPAGRWKEGRPRVEESGSKKEGKRQGPKEPPRKSGSFHSSGEKQKQPRWREGTKDSHDPLPSWAELLRPKYRAPQGCSGVDECARQEGLTFFGTELAPVRQQELASLLRTYLARLPWAGQLTKELPLSPAFFGEDGIFRHDRLRFRDFVDALEDSLEEVAVQQTGDDDEVDDFEDFIFSHFFGDKALKKRSGKKDKHSQSPRAAGPREGHSHSHHHHHRG
+>DECOY_sp|Q96AQ6|PBIP1_HUMAN Pre-B-cell leukemia transcription factor-interacting protein 1 OS=Homo sapiens OX=9606 GN=PBXIP1 PE=1 SV=1
+GRHHHHHSHSHGERPGAARPSQSHKDKKGSRKKLAKDGFFHSFIFDEFDDVEDDDGTQQVAVEELSDELADVFDRFRLRDHRFIGDEGFFAPSLPLEKTLQGAWPLRALYTRLLSALEQQRVPALETGFFTLGEQRACEDVGSCGQPARYKPRLLEAWSPLPDHSDKTGERWRPQKQKEGSSHFSGSKRPPEKPGQRKGEKKSGSEEVRPRGEKWRGAPERDEQGGWNKKRERGSEEKKHKWHEAKRDRQGDWWKEKGSWERSNQFHSKQHWAKSANASVGPDQGWNELGQLKQALRHGLEALGAHAPDGRSADQLSRELDQQVSGLLRRQRELEQRLAQAEAELQEKQKLFSLEPEQERPGQERIAKDGQPGRGGSLCVGDPGRVCDAELGQLRARLQQLESELARQFAEGQQLAGRLQANEEELGKPQHMLSQLEEKQAQLQAQLLRIDQNEKALKDLLLGMNQLSPVSDPPVPAQLQERLGDQRDGVAELVEPDPLVQREVEEMPGTESESLGGSFLLVGLGLLVLAGLLCMNLSIGLEGGAGEGGAQNEVALPVMPQPPGAERGRRRRLGEMDVDTDDDSSSCRGEERIWAAKPTSPLSQPPSQGELDQTDPGLGTVVTTEQLDGQVVTDGPGPPEVGCVDGELTGKVETEETLISGSQPSETQFLTGEGDMTGALEKGDTKSPSHPAQLARESEPDMRSAPGLTEVPLSESGALVWSNDSDPCSAM
+>sp|P40424|PBX1_HUMAN Pre-B-cell leukemia transcription factor 1 OS=Homo sapiens OX=9606 GN=PBX1 PE=1 SV=1
+MDEQPRLMHSHAGVGMAGHPGLSQHLQDGAGGTEGEGGRKQDIGDILQQIMTITDQSLDEAQARKHALNCHRMKPALFNVLCEIKEKTVLSIRGAQEEEPTDPQLMRLDNMLLAEGVAGPEKGGGSAAAAAAAAASGGAGSDNSVEHSDYRAKLSQIRQIYHTELEKYEQACNEFTTHVMNLLREQSRTRPISPKEIERMVSIIHRKFSSIQMQLKQSTCEAVMILRSRFLDARRKRRNFNKQATEILNEYFYSHLSNPYPSEEAKEELAKKCGITVSQVSNWFGNKRIRYKKNIGKFQEEANIYAAKTAVTATNVSAHGSQANSPSTPNSAGSSSSFNMSNSGDLFMSVQSLNGDSYQGAQVGANVQSQVDTLRHVISQTGGYSDGLAASQMYSPQGISANGGWQDATTPSSVTSPTEGPGSVHSDTSN
+>DECOY_sp|P40424|PBX1_HUMAN Pre-B-cell leukemia transcription factor 1 OS=Homo sapiens OX=9606 GN=PBX1 PE=1 SV=1
+NSTDSHVSGPGETPSTVSSPTTADQWGGNASIGQPSYMQSAALGDSYGGTQSIVHRLTDVQSQVNAGVQAGQYSDGNLSQVSMFLDGSNSMNFSSSSGASNPTSPSNAQSGHASVNTATVATKAAYINAEEQFKGINKKYRIRKNGFWNSVQSVTIGCKKALEEKAEESPYPNSLHSYFYENLIETAQKNFNRRKRRADLFRSRLIMVAECTSQKLQMQISSFKRHIISVMREIEKPSIPRTRSQERLLNMVHTTFENCAQEYKELETHYIQRIQSLKARYDSHEVSNDSGAGGSAAAAAAAAASGGGKEPGAVGEALLMNDLRMLQPDTPEEEQAGRISLVTKEKIECLVNFLAPKMRHCNLAHKRAQAEDLSQDTITMIQQLIDGIDQKRGGEGETGGAGDQLHQSLGPHGAMGVGAHSHMLRPQEDM
+>sp|Q7L5N7|PCAT2_HUMAN Lysophosphatidylcholine acyltransferase 2 OS=Homo sapiens OX=9606 GN=LPCAT2 PE=1 SV=1
+MSRCAQAAEVAATVPGAGVGNVGLRPPMVPRQASFFPPPVPNPFVQQTQIGSARRVQIVLLGIILLPIRVLLVALILLLAWPFAAISTVCCPEKLTHPITGWRRKITQTALKFLGRAMFFSMGFIVAVKGKIASPLEAPVFVAAPHSTFFDGIACVVAGLPSMVSRNENAQVPLIGRLLRAVQPVLVSRVDPDSRKNTINEIIKRTTSGGEWPQILVFPEGTCTNRSCLITFKPGAFIPGVPVQPVLLRYPNKLDTVTWTWQGYTFIQLCMLTFCQLFTKVEVEFMPVQVPNDEEKNDPVLFANKVRNLMAEALGIPVTDHTYEDCRLMISAGQLTLPMEAGLVEFTKISRKLKLDWDGVRKHLDEYASIASSSKGGRIGIEEFAKYLKLPVSDVLRQLFALFDRNHDGSIDFREYVIGLAVLCNPSNTEEIIQVAFKLFDVDEDGYITEEEFSTILQASLGVPDLDVSGLFKEIAQGDSISYEEFKSFALKHPEYAKIFTTYLDLQTCHVFSLPKEVQTTPSTASNKVSPEKHEESTSDKKDD
+>DECOY_sp|Q7L5N7|PCAT2_HUMAN Lysophosphatidylcholine acyltransferase 2 OS=Homo sapiens OX=9606 GN=LPCAT2 PE=1 SV=1
+DDKKDSTSEEHKEPSVKNSATSPTTQVEKPLSFVHCTQLDLYTTFIKAYEPHKLAFSKFEEYSISDGQAIEKFLGSVDLDPVGLSAQLITSFEEETIYGDEDVDFLKFAVQIIEETNSPNCLVALGIVYERFDISGDHNRDFLAFLQRLVDSVPLKLYKAFEEIGIRGGKSSSAISAYEDLHKRVGDWDLKLKRSIKTFEVLGAEMPLTLQGASIMLRCDEYTHDTVPIGLAEAMLNRVKNAFLVPDNKEEDNPVQVPMFEVEVKTFLQCFTLMCLQIFTYGQWTWTVTDLKNPYRLLVPQVPVGPIFAGPKFTILCSRNTCTGEPFVLIQPWEGGSTTRKIIENITNKRSDPDVRSVLVPQVARLLRGILPVQANENRSVMSPLGAVVCAIGDFFTSHPAAVFVPAELPSAIKGKVAVIFGMSFFMARGLFKLATQTIKRRWGTIPHTLKEPCCVTSIAAFPWALLLILAVLLVRIPLLIIGLLVIQVRRASGIQTQQVFPNPVPPPFFSAQRPVMPPRLGVNGVGAGPVTAAVEAAQACRSM
+>sp|Q9P2E7|PCD10_HUMAN Protocadherin-10 OS=Homo sapiens OX=9606 GN=PCDH10 PE=2 SV=2
+MIVLLLFALLWMVEGVFSQLHYTVQEEQEHGTFVGNIAEDLGLDITKLSARGFQTVPNSRTPYLDLNLETGVLYVNEKIDREQICKQSPSCVLHLEVFLENPLELFQVEIEVLDINDNPPSFPEPDLTVEISESATPGTRFPLESAFDPDVGTNSLRDYEITPNSYFSLDVQTQGDGNRFAELVLEKPLDREQQAVHRYVLTAVDGGGGGGVGEGGGGGGGAGLPPQQQRTGTALLTIRVLDSNDNVPAFDQPVYTVSLPENSPPGTLVIQLNATDPDEGQNGEVVYSFSSHISPRARELFGLSPRTGRLEVSGELDYEESPVYQVYVQAKDLGPNAVPAHCKVLVRVLDANDNAPEISFSTVKEAVSEGAAPGTVVALFSVTDRDSEENGQVQCELLGDVPFRLKSSFKNYYTIVTEAPLDREAGDSYTLTVVARDRGEPALSTSKSIQVQVSDVNDNAPRFSQPVYDVYVTENNVPGAYIYAVSATDRDEGANAQLAYSILECQIQGMSVFTYVSINSENGYLYALRSFDYEQLKDFSFQVEARDAGSPQALAGNATVNILIVDQNDNAPAIVAPLPGRNGTPAREVLPRSAEPGYLLTRVAAVDADDGENARLTYSIVRGNEMNLFRMDWRTGELRTARRVPAKRDPQRPYELVIEVRDHGQPPLSSTATLVVQLVDGAVEPQGGGGSGGGGSGEHQRPSRSGGGETSLDLTLILIIALGSVSFIFLLAMIVLAVRCQKEKKLNIYTCLASDCCLCCCCCGGGGSTCCGRQARARKKKLSKSDIMLVQSSNVPSNPAQVPIEESGGFGSHHHNQNYCYQVCLTPESAKTDLMFLKPCSPSRSTDTEHNPCGAIVTGYTDQQPDIISNGSILSNETKHQRAELSYLVDRPRRVNSSAFQEADIVSSKDSGHGDSEQGDSDHDATNRAQSAGMDLFSNCTEECKALGHSDRCWMPSFVPSDGRQAADYRSNLHVPGMDSVPDTEVFETPEAQPGAERSFSTFGKEKALHSTLERKELDGLLTNTRAPYKPPYLTRKRIC
+>DECOY_sp|Q9P2E7|PCD10_HUMAN Protocadherin-10 OS=Homo sapiens OX=9606 GN=PCDH10 PE=2 SV=2
+CIRKRTLYPPKYPARTNTLLGDLEKRELTSHLAKEKGFTSFSREAGPQAEPTEFVETDPVSDMGPVHLNSRYDAAQRGDSPVFSPMWCRDSHGLAKCEETCNSFLDMGASQARNTADHDSDGQESDGHGSDKSSVIDAEQFASSNVRRPRDVLYSLEARQHKTENSLISGNSIIDPQQDTYGTVIAGCPNHETDTSRSPSCPKLFMLDTKASEPTLCVQYCYNQNHHHSGFGGSEEIPVQAPNSPVNSSQVLMIDSKSLKKKRARAQRGCCTSGGGGCCCCCLCCDSALCTYINLKKEKQCRVALVIMALLFIFSVSGLAIILILTLDLSTEGGGSRSPRQHEGSGGGGSGGGGQPEVAGDVLQVVLTATSSLPPQGHDRVEIVLEYPRQPDRKAPVRRATRLEGTRWDMRFLNMENGRVISYTLRANEGDDADVAAVRTLLYGPEASRPLVERAPTGNRGPLPAVIAPANDNQDVILINVTANGALAQPSGADRAEVQFSFDKLQEYDFSRLAYLYGNESNISVYTFVSMGQIQCELISYALQANAGEDRDTASVAYIYAGPVNNETVYVDYVPQSFRPANDNVDSVQVQISKSTSLAPEGRDRAVVTLTYSDGAERDLPAETVITYYNKFSSKLRFPVDGLLECQVQGNEESDRDTVSFLAVVTGPAAGESVAEKVTSFSIEPANDNADLVRVLVKCHAPVANPGLDKAQVYVQYVPSEEYDLEGSVELRGTRPSLGFLERARPSIHSSFSYVVEGNQGEDPDTANLQIVLTGPPSNEPLSVTYVPQDFAPVNDNSDLVRITLLATGTRQQQPPLGAGGGGGGGEGVGGGGGGDVATLVYRHVAQQERDLPKELVLEAFRNGDGQTQVDLSFYSNPTIEYDRLSNTGVDPDFASELPFRTGPTASESIEVTLDPEPFSPPNDNIDLVEIEVQFLELPNELFVELHLVCSPSQKCIQERDIKENVYLVGTELNLDLYPTRSNPVTQFGRASLKTIDLGLDEAINGVFTGHEQEEQVTYHLQSFVGEVMWLLAFLLLVIM
+>sp|Q6V1P9|PCD23_HUMAN Protocadherin-23 OS=Homo sapiens OX=9606 GN=DCHS2 PE=2 SV=1
+MRLDEITKSENEDGEKKMFTQQFESTDACVYEVYVKINSIVKSQSQCGLKRGSPETELWGAIVFRSQEENAKPVKETEVTASDADSGLYGFIEYSLYDGFLSYEAPQAFRIDPHDGQICVSQDIDRERDPATYDLLVEAKDGDEQRMTHLALVKGGLSAQAFVRVDLEDVNDNHPVFNPSTYVTSISDETQPGTEIINVLATDQDSGIYGTVAYELIPGNVSSLFTIDSTTGLYSPEVEILSAVNFSADKEVMNSLEMFLPLLRHFKKVERDEEAAEKKFEASRGWFMRFKGRRHLHYLKVQDEAASADGEAAARYVANLAKILGEGIIYLTLPLSHLESTTLSLMVSAQDGGGLTAVINADVTIHIFQTTLAPAEFERPKYTFLVYEDVPEDSPIGTVKAREPLNSSEPIFYRISSGDLGGKFSIHPRLGTIRTRKPLDHETQPVVVLTVQAQLGSAPACSSTEVNITVMDVNDNHPAFLRTSDEIRISQTTPPGTALYLARAEDRDSGRNGLIRYSIASPQPGVFAIDRALGVLFLNGSLGAGEQRELTLTLRAEDQGVHPQAALLVLTVVIEKREHSPSWTFEHLVYQVEVSESLSPMTQMLQTQAHPLGPQRAASPLRYSLEPSVDSAMFGIRPYTGWIYLRRQFDYESTQTYNFRVFAWIPEDGFLQNVSTTVIVRVWDENDNSPTFLHDVLFLKVEESPVPQGVIGKITAIDMDSGKNGQLLYFLLSDGKFFKMNPNTGPAGTIYVITWADGAAAFSGTDFAFSSDELQAFVLKSLFCELGEGELINWVALDREHRGHHEMTVLVTDRGSPPRNATMAVYVSVTDINDNRPFFPQCLPGKELHVKVLEGQPVNMLVTTVFAKDPDEGNNAEVTYSVSSEDSSDHFKIDANNGEIRTTTILSYDYRPSYRMSVIATDQGVPPLQGQAVVNIQVIPLSKGRAIMSQNIRHLIIPENLKPTKIMSLIKSSDHLQQHYNGKLHFSIVADDKDGHFEIDSSTGDLFLSKELDYETTSHYLFRVITTDHSKNLSLSSTVFLSIDVEDQNDHSPSFQDELIVISVEENVPIGTLVYVFNAKDDDGSFLNSRIQYYIESHNPGTNPFLIHPSFGTLVTVSRLDRESIPTVILTVTASDQAVNVTDRRLRSLTAQIVILDVNDHNPTFISFPNAHVKEDVTVGSLVHHITAHDPDEGRNGKVTYSILSGNENMTFMLDESSGLLTTTCPLDYEMKTQHILTVLALDDGTPALSSSQTLTVTVLDVNDEAPVFKQHLYEASVKENQNPGEFVTRVEALDRDSGVNSKLQFEIMPGASFELFEINSDTGEVVTTTILDREIQEVFTLRVLVRDGGFPSLSSTTTILCTVEDENDHAPEFIVSSYDIEVLENQEPEVVYTVLASDMDAGNNRAVEYHIIDGNTDECFTINEMSGELSTTRALDREQISNFTLVILCSDLGDPPRSSVIHLQVRVLDANDHSPSFPTLYYQSSVREDAEVGTVVLVLSAVDKDEGLNGQTEYFLTDEASGAFTIDPMSGTLKTSNTLDREARSQHTFSAVARDCSIQGSRSTTVIIKVYVTDVNDNDPVLEQNPFDVFLSPESPTNQTTVIVRADDLDLGPNGTVVFSFAETQSMFSIDKYTGEIQFQQNPSSEYFPIWLQLKVTDQGIPARTTTGLLVIHMEGEDVKISFSHHLYKGLVTENCEAGTSIVTVKAFAPDSIQDSMKYSIFSGNEDGVLSLCSKSGQLTVKEPKFLDFEVRNEVQLIVLAESSGHRAYCKVAVLIQDENDNSPCFEQSIYQASVSESQLYNAHVIQVFATDLDSGLNGLIEYSILSGNQEEAFQIDALSGVITTKAILDYELTSSYSLIVQATDKGMPRLSNTTVIKVQVTDINDNAPAFLPSEAVEITEDSLPGVIVTHVSVHDVDLNSAFIFSFAKESNPGTKFAIDQNTGVVVLVKTLDFEEMTEYELLIQISDSVHYTEGALVVRVLDVNDNPPVFSQDFYQVTVPESIPVGYSVLTLSATDLESNENISYRILSSSKEFSIDPKNGTIFTISPVLLLDTISTTQFLVEASDGGNPDLRALTLVEIGIEDMNNYAPEFTVKSYNLSLSEDALVGSTLVTFSNIDHDWTRENTYVEYSIISGNSQNNFHVETKFFHSEYPYKQVGYLVLLHSLDREASASHELVILASDSGCPPLSSTAVISIQVLDVNDNPPNFSSLSYHTHVKESTPLGSHITVVSANDRDTGSHAEIIYNIISGNEKGHFYLEENTGVLYLIKPLDYEKMTKFTLTVQASDAEKKHFSFAVVFVSVLDDNDHAPQFMFSSFSCIVPENLPISSTICSINALDFDAGPYGELTYSIVSPCFLTHGMSYDHDLFLIDPLTGDIHAKQILDYENGNKYCLTVQAKDKGDATASLVVWVDIEGIDEFEPIFTQDQYFFTLPEKNKDRQLIGRVEASDADAGIDGVILYSLGTSSPFFSVNKTNGNIYLIRALPLIKSQLNKEDTLEMKIIAHSPKSDSKFASCTVFVNVSFSSEGTPLAVFASSFSISLVVSFLVFLILICILIVMILRHKQKDTINNYEEKKTSSLDADLRVTRDASVLKAFQKTDDCSNEVVPVDATPEWLSLISIMEKDIVNLYRHSNSSGHCSVEGETAEDKEIQRINEHPYRKCSDSALSDHESRVPDSGIPRDSDQLSCLSGETDVMVTAETAEASQTFGEGDQGEGCSTTCAQNNVLPQTVQKREAKESILADVRKESVFISGDQEVRCAALSTQTTSDHDGKDNYHWNYLLSWEPKFQPLASVFNDIAKLKDEHLHMPGIPKEKKSFVFPPPLITAVAQPGIKAVPPRMPAVNLGQVPPKHPRSPIPYHLGSLPEGMTPNFSPSLSLLTMQPPALSPLLREGELLGTHISGTCHELKAEDEVQI
+>DECOY_sp|Q6V1P9|PCD23_HUMAN Protocadherin-23 OS=Homo sapiens OX=9606 GN=DCHS2 PE=2 SV=1
+IQVEDEAKLEHCTGSIHTGLLEGERLLPSLAPPQMTLLSLSPSFNPTMGEPLSGLHYPIPSRPHKPPVQGLNVAPMRPPVAKIGPQAVATILPPPFVFSKKEKPIGPMHLHEDKLKAIDNFVSALPQFKPEWSLLYNWHYNDKGDHDSTTQTSLAACRVEQDGSIFVSEKRVDALISEKAERKQVTQPLVNNQACTTSCGEGQDGEGFTQSAEATEATVMVDTEGSLCSLQDSDRPIGSDPVRSEHDSLASDSCKRYPHENIRQIEKDEATEGEVSCHGSSNSHRYLNVIDKEMISILSLWEPTADVPVVENSCDDTKQFAKLVSADRTVRLDADLSSTKKEEYNNITDKQKHRLIMVILICILILFVLFSVVLSISFSSAFVALPTGESSFSVNVFVTCSAFKSDSKPSHAIIKMELTDEKNLQSKILPLARILYINGNTKNVSFFPSSTGLSYLIVGDIGADADSAEVRGILQRDKNKEPLTFFYQDQTFIPEFEDIGEIDVWVVLSATADGKDKAQVTLCYKNGNEYDLIQKAHIDGTLPDILFLDHDYSMGHTLFCPSVISYTLEGYPGADFDLANISCITSSIPLNEPVICSFSSFMFQPAHDNDDLVSVFVVAFSFHKKEADSAQVTLTFKTMKEYDLPKILYLVGTNEELYFHGKENGSIINYIIEAHSGTDRDNASVVTIHSGLPTSEKVHTHYSLSSFNPPNDNVDLVQISIVATSSLPPCGSDSALIVLEHSASAERDLSHLLVLYGVQKYPYESHFFKTEVHFNNQSNGSIISYEVYTNERTWDHDINSFTVLTSGVLADESLSLNYSKVTFEPAYNNMDEIGIEVLTLARLDPNGGDSAEVLFQTTSITDLLLVPSITFITGNKPDISFEKSSSLIRYSINENSELDTASLTLVSYGVPISEPVTVQYFDQSFVPPNDNVDLVRVVLAGETYHVSDSIQILLEYETMEEFDLTKVLVVVGTNQDIAFKTGPNSEKAFSFIFASNLDVDHVSVHTVIVGPLSDETIEVAESPLFAPANDNIDTVQVKIVTTNSLRPMGKDTAQVILSYSSTLEYDLIAKTTIVGSLADIQFAEEQNGSLISYEILGNLGSDLDTAFVQIVHANYLQSESVSAQYISQEFCPSNDNEDQILVAVKCYARHGSSEALVILQVENRVEFDLFKPEKVTLQGSKSCLSLVGDENGSFISYKMSDQISDPAFAKVTVISTGAECNETVLGKYLHHSFSIKVDEGEMHIVLLGTTTRAPIGQDTVKLQLWIPFYESSPNQQFQIEGTYKDISFMSQTEAFSFVVTGNPGLDLDDARVIVTTQNTPSEPSLFVDFPNQELVPDNDNVDTVYVKIIVTTSRSGQISCDRAVASFTHQSRAERDLTNSTKLTGSMPDITFAGSAEDTLFYETQGNLGEDKDVASLVLVVTGVEADERVSSQYYLTPFSPSHDNADLVRVQLHIVSSRPPDGLDSCLIVLTFNSIQERDLARTTSLEGSMENITFCEDTNGDIIHYEVARNNGADMDSALVTYVVEPEQNELVEIDYSSVIFEPAHDNEDEVTCLITTTSSLSPFGGDRVLVRLTFVEQIERDLITTTVVEGTDSNIEFLEFSAGPMIEFQLKSNVGSDRDLAEVRTVFEGPNQNEKVSAEYLHQKFVPAEDNVDLVTVTLTQSSSLAPTGDDLALVTLIHQTKMEYDLPCTTTLLGSSEDLMFTMNENGSLISYTVKGNRGEDPDHATIHHVLSGVTVDEKVHANPFSIFTPNHDNVDLIVIQATLSRLRRDTVNVAQDSATVTLIVTPISERDLRSVTVLTGFSPHILFPNTGPNHSEIYYQIRSNLFSGDDDKANFVYVLTGIPVNEEVSIVILEDQFSPSHDNQDEVDISLFVTSSLSLNKSHDTTIVRFLYHSTTEYDLEKSLFLDGTSSDIEFHGDKDDAVISFHLKGNYHQQLHDSSKILSMIKTPKLNEPIILHRINQSMIARGKSLPIVQINVVAQGQLPPVGQDTAIVSMRYSPRYDYSLITTTRIEGNNADIKFHDSSDESSVSYTVEANNGEDPDKAFVTTVLMNVPQGELVKVHLEKGPLCQPFFPRNDNIDTVSVYVAMTANRPPSGRDTVLVTMEHHGRHERDLAVWNILEGEGLECFLSKLVFAQLEDSSFAFDTGSFAAAGDAWTIVYITGAPGTNPNMKFFKGDSLLFYLLQGNKGSDMDIATIKGIVGQPVPSEEVKLFLVDHLFTPSNDNEDWVRVIVTTSVNQLFGDEPIWAFVRFNYTQTSEYDFQRRLYIWGTYPRIGFMASDVSPELSYRLPSAARQPGLPHAQTQLMQTMPSLSESVEVQYVLHEFTWSPSHERKEIVVTLVLLAAQPHVGQDEARLTLTLERQEGAGLSGNLFLVGLARDIAFVGPQPSAISYRILGNRGSDRDEARALYLATGPPTTQSIRIEDSTRLFAPHNDNVDMVTINVETSSCAPASGLQAQVTLVVVPQTEHDLPKRTRITGLRPHISFKGGLDGSSIRYFIPESSNLPERAKVTGIPSDEPVDEYVLFTYKPREFEAPALTTQFIHITVDANIVATLGGGDQASVMLSLTTSELHSLPLTLYIIGEGLIKALNAVYRAAAEGDASAAEDQVKLYHLHRRGKFRMFWGRSAEFKKEAAEEDREVKKFHRLLPLFMELSNMVEKDASFNVASLIEVEPSYLGTTSDITFLSSVNGPILEYAVTGYIGSDQDTALVNIIETGPQTEDSISTVYTSPNFVPHNDNVDELDVRVFAQASLGGKVLALHTMRQEDGDKAEVLLDYTAPDRERDIDQSVCIQGDHPDIRFAQPAEYSLFGDYLSYEIFGYLGSDADSATVETEKVPKANEEQSRFVIAGWLETEPSGRKLGCQSQSKVISNIKVYVEYVCADTSEFQQTFMKKEGDENESKTIEDLRM
+>sp|Q9Y5H7|PCDA5_HUMAN Protocadherin alpha-5 OS=Homo sapiens OX=9606 GN=PCDHA5 PE=2 SV=1
+MVYSRRGSLGSRLLLLWLLLAYWKAGSGQLHYSIPEEAKHGTFVGRIAQDLGLELAELVPRLFRVASKGRGDLLEVNLQNGILFVNSRIDREELCRRRAECSIHLEVIVDRPLQVFHVEVAVKDINDNPPRFSRQEQRLFILESRMPDSRFPLEGASDLDIGANAQLRYRLNPNEYFDLDVKTNEEETNFLELVLRKSLDREETQEHRLLVIATDGGKPELTGTVQLLINVLDANDNAPEFDKSIYNVRLLENAPSGTLVIKLNASDADEGINKEIVYFFSNLVLDDVKSKFIINSNTGEIKVNGELDYEDYNSYEINIDAMDKSTFPLSGHCKVVVKLLDVNDNTPEMAITTLFLPVKEDAPLSTVIALISVSDRDSGANGQVTCSLMPHVPFKLVSTFKNYYSLVLDSALDRESVSVYELVVTARDGGSPSLWATASVSVEVADVNDNAPAFAQPQYTVFVKENNPPGCHIFTVSARDADAQENALVSYSLVERRVGERPLSSYVSVHAESGKVYALQPLDHEEVELLQFQVSARDAGVPPLGSNVTLQVFVLDENDNAPALLVPRVGGTGGAVSELVPRSVGAGHVVAKVRAVDPDSGYNAWLSYELQPAPGSARIPFRVGLYTGEISTTRSLDETEAPRHRLLVLVKDHGEPPLTATATVLVSLVESGQAPKASSRASAGAVGPEAALVDVNVYLIIAICAVSSLLVLTLLLYTALRCSAQPTEAVCTRGKPTLLCSSAVGSWSYSQQRRQRVCSGEAPPKTDLMAFSPSLPQGPTSTDNPRQPNPDWRYSASLRAGMHSSVHLEEAGILRAGPGGPDQQWPTVSSATPEPEAGEVSPPVGAGVNSNSWTFKYGPGNPKQSGPGELPDKFIIPGSPAIISIRQEPTNSQIDKSDFITFGKKEETKKKKKKKKGNKTQEKKEKGNSTTDNSDQ
+>DECOY_sp|Q9Y5H7|PCDA5_HUMAN Protocadherin alpha-5 OS=Homo sapiens OX=9606 GN=PCDHA5 PE=2 SV=1
+QDSNDTTSNGKEKKEQTKNGKKKKKKKKTEEKKGFTIFDSKDIQSNTPEQRISIIAPSGPIIFKDPLEGPGSQKPNGPGYKFTWSNSNVGAGVPPSVEGAEPEPTASSVTPWQQDPGGPGARLIGAEELHVSSHMGARLSASYRWDPNPQRPNDTSTPGQPLSPSFAMLDTKPPAEGSCVRQRRQQSYSWSGVASSCLLTPKGRTCVAETPQASCRLATYLLLTLVLLSSVACIAIILYVNVDVLAAEPGVAGASARSSAKPAQGSEVLSVLVTATATLPPEGHDKVLVLLRHRPAETEDLSRTTSIEGTYLGVRFPIRASGPAPQLEYSLWANYGSDPDVARVKAVVHGAGVSRPVLESVAGGTGGVRPVLLAPANDNEDLVFVQLTVNSGLPPVGADRASVQFQLLEVEEHDLPQLAYVKGSEAHVSVYSSLPREGVRREVLSYSVLANEQADADRASVTFIHCGPPNNEKVFVTYQPQAFAPANDNVDAVEVSVSATAWLSPSGGDRATVVLEYVSVSERDLASDLVLSYYNKFTSVLKFPVHPMLSCTVQGNAGSDRDSVSILAIVTSLPADEKVPLFLTTIAMEPTNDNVDLLKVVVKCHGSLPFTSKDMADINIEYSNYDEYDLEGNVKIEGTNSNIIFKSKVDDLVLNSFFYVIEKNIGEDADSANLKIVLTGSPANELLRVNYISKDFEPANDNADLVNILLQVTGTLEPKGGDTAIVLLRHEQTEERDLSKRLVLELFNTEEENTKVDLDFYENPNLRYRLQANAGIDLDSAGELPFRSDPMRSELIFLRQEQRSFRPPNDNIDKVAVEVHFVQLPRDVIVELHISCEARRRCLEERDIRSNVFLIGNQLNVELLDGRGKSAVRFLRPVLEALELGLDQAIRGVFTGHKAEEPISYHLQGSGAKWYALLLWLLLLRSGLSGRRSYVM
+>sp|Q9UN73|PCDA6_HUMAN Protocadherin alpha-6 OS=Homo sapiens OX=9606 GN=PCDHA6 PE=2 SV=1
+MVFTPEDRLGKQCLLLPLLLLAAWKVGSGQLHYSVPEEAKHGTFVGRIAQDLGLELAELVPRLFRMASKDREDLLEVNLQNGILFVNSRIDREELCGRSAECSIHLEVIVDRPLQVFHVDVEVRDINDNPPLFPVEEQRVLIYESRLPDSVFPLEGASDADVGSNSILTYKLSSSEYFGLDVKINSDDNKQIGLLLKKSLDREEAPAHNLFLTATDGGKPELTGTVQLLVTVLDVNDNAPTFEQSEYEVRIFENADNGTTVIRLNASDRDEGANGAISYSFNSLVAAMVIDHFSIDRNTGEIVIRGNLDFEQENLYKILIDATDKGHPPMAGHCTVLVRILDKNDNVPEIALTSLSLPVREDAQFGTVIALISVNDLDSGANGQVNCSLTPHVPFKLVSTFKNYYSLVLDSALDRESVSAYELVVTARDGGSPSLWATASLSVEVADMNDNAPAFAQPEYTVFVKENNPPGCHIFTVSARDADAQENALVSYSLVERRVGERALSSYISVHAESGKVYALQPLDHEELELLQFQVSARDAGVPPLGSNVTLQVFVLDENDNAPALLAPRVGGTGGAVSELVPRSLGAGQVVAKVRAVDADSGYNAWLSYELQPPASSARFPFRVGLYTGEISTTRVLDEADSPRHRLLVLVKDHGEPALTATATVLVSLVESGQAPKASSRASVGAAGPEAALVDVNVYLIIAICAVSSLLVLTLLLYTALRCSAPPTEGACTADKPTLVCSSAVGSWSYSQQRRQRVCSGEGPPKMDLMAFSPSLSPCPIMMGKAENQDLNEDHDAKPRQPNPDWRYSASLRAGMHSSVHLEEAGILRAGPGGPDQQWPTVSSATPEPEAGEVSPPVGAGVNSNSWTFKYGPGNPKQSGPGELPDKFIIPGSPAIISIRQEPTNSQIDKSDFITFGKKEETKKKKKKKKGNKTQEKKEKGNSTTDNSDQ
+>DECOY_sp|Q9UN73|PCDA6_HUMAN Protocadherin alpha-6 OS=Homo sapiens OX=9606 GN=PCDHA6 PE=2 SV=1
+QDSNDTTSNGKEKKEQTKNGKKKKKKKKTEEKKGFTIFDSKDIQSNTPEQRISIIAPSGPIIFKDPLEGPGSQKPNGPGYKFTWSNSNVGAGVPPSVEGAEPEPTASSVTPWQQDPGGPGARLIGAEELHVSSHMGARLSASYRWDPNPQRPKADHDENLDQNEAKGMMIPCPSLSPSFAMLDMKPPGEGSCVRQRRQQSYSWSGVASSCVLTPKDATCAGETPPASCRLATYLLLTLVLLSSVACIAIILYVNVDVLAAEPGAAGVSARSSAKPAQGSEVLSVLVTATATLAPEGHDKVLVLLRHRPSDAEDLVRTTSIEGTYLGVRFPFRASSAPPQLEYSLWANYGSDADVARVKAVVQGAGLSRPVLESVAGGTGGVRPALLAPANDNEDLVFVQLTVNSGLPPVGADRASVQFQLLELEEHDLPQLAYVKGSEAHVSIYSSLAREGVRREVLSYSVLANEQADADRASVTFIHCGPPNNEKVFVTYEPQAFAPANDNMDAVEVSLSATAWLSPSGGDRATVVLEYASVSERDLASDLVLSYYNKFTSVLKFPVHPTLSCNVQGNAGSDLDNVSILAIVTGFQADERVPLSLSTLAIEPVNDNKDLIRVLVTCHGAMPPHGKDTADILIKYLNEQEFDLNGRIVIEGTNRDISFHDIVMAAVLSNFSYSIAGNAGEDRDSANLRIVTTGNDANEFIRVEYESQEFTPANDNVDLVTVLLQVTGTLEPKGGDTATLFLNHAPAEERDLSKKLLLGIQKNDDSNIKVDLGFYESSSLKYTLISNSGVDADSAGELPFVSDPLRSEYILVRQEEVPFLPPNDNIDRVEVDVHFVQLPRDVIVELHISCEASRGCLEERDIRSNVFLIGNQLNVELLDERDKSAMRFLRPVLEALELGLDQAIRGVFTGHKAEEPVSYHLQGSGVKWAALLLLPLLLCQKGLRDEPTFVM
+>sp|Q9Y5H5|PCDA9_HUMAN Protocadherin alpha-9 OS=Homo sapiens OX=9606 GN=PCDHA9 PE=2 SV=1
+MLYSSRGDPEGQPLLLSLLILAMWVVGSGQLHYSVPEEAEHGTFVGRIAQDLGLELAELVPRLFQLDSKGRGDLLEVNLQNGILFVNSRIDREELCGRSAECSIHLEVIVDRPLQVFHVDVEVKDINDNPPVFPATQKNLFIAESRPLDSRFPLEGASDADIGENALLTYRLSPNEYFFLDVPTSNQQVKPLGLVLRKLLDREETPELHLLLTATDGGKPELTGTVQLLITVLDNNDNAPVFDRTLYTVKLPENVSIGTLVIHPNASDLDEGLNGDIIYSFSSDVSPDIKSKFHMDPLSGAITVIGHMDFEESRAHKIPVEAVDKGFPPLAGHCTLLVEVVDVNDNAPQLTIKTLSVPVKEDAQLGTVIALISVIDLDADANGQVTCSLTPHVPFKLVSTYKNYYSLVLDRALDRESVSAYELVVTARDGGSPSLWATARVSVEVADVNDNAPAFAQSEYTVFVKENNPPGCHIFTVSARDADAQENALVSYSLVERRLGERSLSSYVSVHAESGKVYALQPLDHEELELLQFQVSARDAGVPPLGSNVTLQVFVLDENDNAPALLTPRMRGTDGAVSEMVLRSVGAGVVVGKVRAVDADSGYNAWLSYELQPETASASIPFRVGLYTGEISTTRALDETDAPRQRLLVLVKDHGEPALTATATVLVSLVESGQAPKSSSRASVGATGPEVTLVDVNVYLIIAICAVSSLLVLTLLLYTVLRCSAMPTEGECAPGKPTLVCSSAVGSWSYSQQRRQRVCSGEGKQKTDLMAFSPGLSPCAGSTERTGEPSASSDSTGKPRQPNPDWRYSASLRAGMHSSVHLEEAGILRAGPGGPDQQWPTVSSATPEPEAGEVSPPVGAGVNSNSWTFKYGPGNPKQSGPGELPDKFIIPGSPAIISIRQEPTNSQIDKSDFITFGKKEETKKKKKKKKGNKTQEKKEKGNSTTDNSDQ
+>DECOY_sp|Q9Y5H5|PCDA9_HUMAN Protocadherin alpha-9 OS=Homo sapiens OX=9606 GN=PCDHA9 PE=2 SV=1
+QDSNDTTSNGKEKKEQTKNGKKKKKKKKTEEKKGFTIFDSKDIQSNTPEQRISIIAPSGPIIFKDPLEGPGSQKPNGPGYKFTWSNSNVGAGVPPSVEGAEPEPTASSVTPWQQDPGGPGARLIGAEELHVSSHMGARLSASYRWDPNPQRPKGTSDSSASPEGTRETSGACPSLGPSFAMLDTKQKGEGSCVRQRRQQSYSWSGVASSCVLTPKGPACEGETPMASCRLVTYLLLTLVLLSSVACIAIILYVNVDVLTVEPGTAGVSARSSSKPAQGSEVLSVLVTATATLAPEGHDKVLVLLRQRPADTEDLARTTSIEGTYLGVRFPISASATEPQLEYSLWANYGSDADVARVKGVVVGAGVSRLVMESVAGDTGRMRPTLLAPANDNEDLVFVQLTVNSGLPPVGADRASVQFQLLELEEHDLPQLAYVKGSEAHVSVYSSLSREGLRREVLSYSVLANEQADADRASVTFIHCGPPNNEKVFVTYESQAFAPANDNVDAVEVSVRATAWLSPSGGDRATVVLEYASVSERDLARDLVLSYYNKYTSVLKFPVHPTLSCTVQGNADADLDIVSILAIVTGLQADEKVPVSLTKITLQPANDNVDVVEVLLTCHGALPPFGKDVAEVPIKHARSEEFDMHGIVTIAGSLPDMHFKSKIDPSVDSSFSYIIDGNLGEDLDSANPHIVLTGISVNEPLKVTYLTRDFVPANDNNDLVTILLQVTGTLEPKGGDTATLLLHLEPTEERDLLKRLVLGLPKVQQNSTPVDLFFYENPSLRYTLLANEGIDADSAGELPFRSDLPRSEAIFLNKQTAPFVPPNDNIDKVEVDVHFVQLPRDVIVELHISCEASRGCLEERDIRSNVFLIGNQLNVELLDGRGKSDLQFLRPVLEALELGLDQAIRGVFTGHEAEEPVSYHLQGSGVVWMALILLSLLLPQGEPDGRSSYLM
+>sp|Q9Y5E7|PCDB2_HUMAN Protocadherin beta-2 OS=Homo sapiens OX=9606 GN=PCDHB2 PE=1 SV=1
+MEAGEGKERVPKQRQVLIFFVLLGIAQASCQPRHYSVAEETESGSFVANLLKDLGLEIGELAVRGARVVSKGKKMHLQFDRQTGDLLLNEKLDREELCGPTEPCVLPFQVLLENPLQFFQAELRIRDVNDHSPVFLDKEILLKIPESITPGTTFLIERAQDLDVGTNSLQNYTISPNFHFHLNLQDSLDGIILPQLVLNRALDREEQPEIRLTLTALDGGSPPRSGTALVRIEVVDINDNVPEFAKLLYEVQIPEDSPVGSQVAIVSARDLDIGTNGEISYAFSQASEDIRKTFRLSAKSGELLLRQKLDFESIQTYTVNIQATDGGGLSGTCVVFVQVMDLNDNPPELTMSTLINQIPENLQDTLIAVFSVSDPDSGDNGRMVCSIQDDLPFFLKPSVENFYTLVISTALDRETRSEYNITITVTDFGTPRLKTEHNITVLVSDVNDNAPAFTQTSYTLFVRENNSPALHIGSVSATDRDSGTNAQVTYSLLPPQDPHLPLASLVSINADNGHLFALQSLDYEALQAFEFRVGAADRGSPALSSEALVRVLVLDANDNSPFVLYPLQNGSAPCTELVPRAAEPGYLVTKVVAVDGDSGQNAWLSYQLLKATEPGLFGVWAHNGEVRTARLLRERDAAKQRLVVLVKDNGEPPRSATATLHVLLVDGFSQPYLLLPEAAPAQAQADLLTVYLVVALASVSSLFLFSVLLFVAVRLCRRSRAASVGRCSVPEGPFPGQMVDVSGTGTLSQSYQYEVCLTGGSGTNEFKFLKPIIPNFVAQGAERVSEANPSFRKSFEFT
+>DECOY_sp|Q9Y5E7|PCDB2_HUMAN Protocadherin beta-2 OS=Homo sapiens OX=9606 GN=PCDHB2 PE=1 SV=1
+TFEFSKRFSPNAESVREAGQAVFNPIIPKLFKFENTGSGGTLCVEYQYSQSLTGTGSVDVMQGPFPGEPVSCRGVSAARSRRCLRVAVFLLVSFLFLSSVSALAVVLYVTLLDAQAQAPAAEPLLLYPQSFGDVLLVHLTATASRPPEGNDKVLVVLRQKAADRERLLRATRVEGNHAWVGFLGPETAKLLQYSLWANQGSDGDVAVVKTVLYGPEAARPVLETCPASGNQLPYLVFPSNDNADLVLVRVLAESSLAPSGRDAAGVRFEFAQLAEYDLSQLAFLHGNDANISVLSALPLHPDQPPLLSYTVQANTGSDRDTASVSGIHLAPSNNERVFLTYSTQTFAPANDNVDSVLVTINHETKLRPTGFDTVTITINYESRTERDLATSIVLTYFNEVSPKLFFPLDDQISCVMRGNDGSDPDSVSFVAILTDQLNEPIQNILTSMTLEPPNDNLDMVQVFVVCTGSLGGGDTAQINVTYTQISEFDLKQRLLLEGSKASLRFTKRIDESAQSFAYSIEGNTGIDLDRASVIAVQSGVPSDEPIQVEYLLKAFEPVNDNIDVVEIRVLATGSRPPSGGDLATLTLRIEPQEERDLARNLVLQPLIIGDLSDQLNLHFHFNPSITYNQLSNTGVDLDQAREILFTTGPTISEPIKLLIEKDLFVPSHDNVDRIRLEAQFFQLPNELLVQFPLVCPETPGCLEERDLKENLLLDGTQRDFQLHMKKGKSVVRAGRVALEGIELGLDKLLNAVFSGSETEEAVSYHRPQCSAQAIGLLVFFILVQRQKPVREKGEGAEM
+>sp|Q9Y5E5|PCDB4_HUMAN Protocadherin beta-4 OS=Homo sapiens OX=9606 GN=PCDHB4 PE=2 SV=1
+MKKLGRIHPNRQVLAFILMVFLSQVRLEPIRYSVLEETESGSFVAHLAKDLGLGIGELASRSARVLSDDDKQRLQLDRQTGDLLLREKLDREELCGPIEPCVLHFQVFLEMPVQFFQGELLIQDINDHSPIFPEREVLLKILENSQPGTLFPLLIAEDLDVGSNGLQKYTISPNSHFHILTRNHSEGKKYPDLVQDKPLDREEQPEFSLTLVALDGGSPPRSGTVMVRILIMDINDNAPEFVHTPYGVQVLENSPLDSPIVRVLARDIDAGNFGSVSYGLFQASDEIKQTFSINEVTGEILLKKKLDFEKIKSYHVEIEATDGGGLSGKGTVVIEVVDVNDNPPELIISSLTSSIPENAPETVVSIFRIRDRDSGENGKMICSIPDNLPFILKPTLKNFYTLVTERPLDRETSAEYNITIAVTDLGTPRLKTQQNITVQVSDVNDNAPAFTQTSYTLFVRENNSPALHIGSVSATDRDSGTNAQVTYSLLPPQDPHLPLASLVSINADNGHLFALRSLDYEALQAFEFRVGASDRGSPALSSEALVRVLVLDTNDNSPFVLYPLQNGSAPCTELVPRAAEPGYLVTKVVAVDGDSGQNAWLSYQLLKATEPGLFGVWAHNGEVRTARLLSERDAAKHRLVVLVKDNGEPPRSATATLHVLLVDGFSQPYLPLPEAAPAQAQADSLTVYLVVALASVSSLFLFSVLLFVAVRLCRRSRAASVGRCSVPEGPFPGHLVDVSGTGTLSQSYQYEVCLTGDSGTGEFKFLKPIFPNLLVQDTGREVKENPKFRNSLVFS
+>DECOY_sp|Q9Y5E5|PCDB4_HUMAN Protocadherin beta-4 OS=Homo sapiens OX=9606 GN=PCDHB4 PE=2 SV=1
+SFVLSNRFKPNEKVERGTDQVLLNPFIPKLFKFEGTGSDGTLCVEYQYSQSLTGTGSVDVLHGPFPGEPVSCRGVSAARSRRCLRVAVFLLVSFLFLSSVSALAVVLYVTLSDAQAQAPAAEPLPLYPQSFGDVLLVHLTATASRPPEGNDKVLVVLRHKAADRESLLRATRVEGNHAWVGFLGPETAKLLQYSLWANQGSDGDVAVVKTVLYGPEAARPVLETCPASGNQLPYLVFPSNDNTDLVLVRVLAESSLAPSGRDSAGVRFEFAQLAEYDLSRLAFLHGNDANISVLSALPLHPDQPPLLSYTVQANTGSDRDTASVSGIHLAPSNNERVFLTYSTQTFAPANDNVDSVQVTINQQTKLRPTGLDTVAITINYEASTERDLPRETVLTYFNKLTPKLIFPLNDPISCIMKGNEGSDRDRIRFISVVTEPANEPISSTLSSIILEPPNDNVDVVEIVVTGKGSLGGGDTAEIEVHYSKIKEFDLKKKLLIEGTVENISFTQKIEDSAQFLGYSVSGFNGADIDRALVRVIPSDLPSNELVQVGYPTHVFEPANDNIDMILIRVMVTGSRPPSGGDLAVLTLSFEPQEERDLPKDQVLDPYKKGESHNRTLIHFHSNPSITYKQLGNSGVDLDEAILLPFLTGPQSNELIKLLVEREPFIPSHDNIDQILLEGQFFQVPMELFVQFHLVCPEIPGCLEERDLKERLLLDGTQRDLQLRQKDDDSLVRASRSALEGIGLGLDKALHAVFSGSETEELVSYRIPELRVQSLFVMLIFALVQRNPHIRGLKKM
+>sp|Q9Y5E4|PCDB5_HUMAN Protocadherin beta-5 OS=Homo sapiens OX=9606 GN=PCDHB5 PE=2 SV=1
+METALAKTPQKRQVMFLAILLLLWEAGSEAVRYSIPEETESGYSVANLAKDLGLGVGELATRGARMHYKGNKELLQLDIKTGNLLLYEKLDREVMCGATEPCILHFQLLLENPVQFFQTDLQLTDINDHAPEFPEKEMLLKIPESTQPGTVFPLKIAQDFDIGSNTVQNYTISPNSHFHVATHNRGDGRKYPELVLDKALDREERPELSLTLTALDGGAPPRSGTTTIRIVVLDNNDNAPEFLQSFYEVQVPENSPLNSLVVVVSARDLDAGAYGSVAYALFQGDEVTQPFVIDEKTAEIRLKRALDFEATPYYNVEIVATDGGGLSGKCTVAIEVVDVNDNAPELTMSTLSSPTPENAPETVVAVFSVSDPDSGDNGRMICSIQNDLPFLLKPTLKNFYTLVTQRTLDRESQAEYNITITVTDMGTPRLKTEHNITVLVSDVNDNAPAFTQTSYTLFVRENNSPALHIGSVSATDRDSGTNAQVTYSLLPPQNPHLRLASLVSINADNGHLFALRSLDYEALQAFEFRVGATDRGSPALSSEALVRVLVLDANDNSPFVLYPLQNGSAPCTELVPRAAEPGYLVTKVVAVDGDSGQNAWLSYQLLKATEPGLFSMWAHNGEVRTARLLSERDAAKHRLVVLVKDNGEPPRSATATLHVLLVDGFSQPYLPLPEAAPAQAQADSLTVYLVVALASVSSLFLFSVLLFVAVRLCRRSRAASVGRCSVPEGPFPGHLVDVSGTGTLSQSYHYEVCLTGDSGAGEFKFLKPIIPNLLPQGAGEEIGKTAAFRNSFGLN
+>DECOY_sp|Q9Y5E4|PCDB5_HUMAN Protocadherin beta-5 OS=Homo sapiens OX=9606 GN=PCDHB5 PE=2 SV=1
+NLGFSNRFAATKGIEEGAGQPLLNPIIPKLFKFEGAGSDGTLCVEYHYSQSLTGTGSVDVLHGPFPGEPVSCRGVSAARSRRCLRVAVFLLVSFLFLSSVSALAVVLYVTLSDAQAQAPAAEPLPLYPQSFGDVLLVHLTATASRPPEGNDKVLVVLRHKAADRESLLRATRVEGNHAWMSFLGPETAKLLQYSLWANQGSDGDVAVVKTVLYGPEAARPVLETCPASGNQLPYLVFPSNDNADLVLVRVLAESSLAPSGRDTAGVRFEFAQLAEYDLSRLAFLHGNDANISVLSALRLHPNQPPLLSYTVQANTGSDRDTASVSGIHLAPSNNERVFLTYSTQTFAPANDNVDSVLVTINHETKLRPTGMDTVTITINYEAQSERDLTRQTVLTYFNKLTPKLLFPLDNQISCIMRGNDGSDPDSVSFVAVVTEPANEPTPSSLTSMTLEPANDNVDVVEIAVTCKGSLGGGDTAVIEVNYYPTAEFDLARKLRIEATKEDIVFPQTVEDGQFLAYAVSGYAGADLDRASVVVVLSNLPSNEPVQVEYFSQLFEPANDNNDLVVIRITTTGSRPPAGGDLATLTLSLEPREERDLAKDLVLEPYKRGDGRNHTAVHFHSNPSITYNQVTNSGIDFDQAIKLPFVTGPQTSEPIKLLMEKEPFEPAHDNIDTLQLDTQFFQVPNELLLQFHLICPETAGCMVERDLKEYLLLNGTKIDLQLLEKNGKYHMRAGRTALEGVGLGLDKALNAVSYGSETEEPISYRVAESGAEWLLLLIALFMVQRKQPTKALATEM
+>sp|Q9Y5E2|PCDB7_HUMAN Protocadherin beta-7 OS=Homo sapiens OX=9606 GN=PCDHB7 PE=2 SV=1
+MEARVERAVQKRQVLFLCVFLGMSWAGAEPLRYFVAEETERGTFLTNLAKDLGLGVGELRARGTRIVSDQNMQILLLSSLTGDLLLNEKLDREELCGPREPCVLPFQLLLEKPFQIFRAELWVRDINDHAPVFLDREISLKILESTTPGAAFLLESAQDSDVGTNSLSNYTISPNAYFHINVHDSGEGNIYPELVLNQVLDREEIPEFSLTLTALDGGSPPRSGTALVRILVLDVNDNAPDFVRSLYKVQVPENSPVGSMVVSVSARDLDTGSNGEIAYAFSYATERILKTFQINPTSGSLHLKAQLDYEAIQTYTLTIQAKDGGGLSGKCTVVVDVTDINDNRPELLLSSLTSPIAENSPETVVAVFRIRDRDSGNNGKTVCSIQDDVPFILKPSVENFYTLVTEKPLDRERNTEYNITITVTDLGTPRLKTEHNITVLVSDVNDNAPAFTQTSYTLFVRENNSPALPIGSVSATDRDSGTNAQVIYSLLPSQDPHLPLASLVSINADNGHLFALRSLDYEALQAFEFRVGATDRGSPALSSEALVRVLVLDANDNSPFVLYPLQNSSAPCTEPLPRAAEPGYLVTKVVAVDGDSGQNAWLSYQLLKATEPGLFGVWAHNGEVRTARLLSERDAAKQRLVVLVKDNGEPPRSATATLHVLLVDGFSQPYLRLPEAAPDQANSLTVYLVVALASVSSLFLLSVLLFVAVRLCRRSRAAPVGRCSVPEGPFPRHLVDLSGTGTLSQSYQYEVCLTGGSGTNEFKFLKPIIPNLLPQSTGREVEENRPFQNNLGF
+>DECOY_sp|Q9Y5E2|PCDB7_HUMAN Protocadherin beta-7 OS=Homo sapiens OX=9606 GN=PCDHB7 PE=2 SV=1
+FGLNNQFPRNEEVERGTSQPLLNPIIPKLFKFENTGSGGTLCVEYQYSQSLTGTGSLDVLHRPFPGEPVSCRGVPAARSRRCLRVAVFLLVSLLFLSSVSALAVVLYVTLSNAQDPAAEPLRLYPQSFGDVLLVHLTATASRPPEGNDKVLVVLRQKAADRESLLRATRVEGNHAWVGFLGPETAKLLQYSLWANQGSDGDVAVVKTVLYGPEAARPLPETCPASSNQLPYLVFPSNDNADLVLVRVLAESSLAPSGRDTAGVRFEFAQLAEYDLSRLAFLHGNDANISVLSALPLHPDQSPLLSYIVQANTGSDRDTASVSGIPLAPSNNERVFLTYSTQTFAPANDNVDSVLVTINHETKLRPTGLDTVTITINYETNRERDLPKETVLTYFNEVSPKLIFPVDDQISCVTKGNNGSDRDRIRFVAVVTEPSNEAIPSTLSSLLLEPRNDNIDTVDVVVTCKGSLGGGDKAQITLTYTQIAEYDLQAKLHLSGSTPNIQFTKLIRETAYSFAYAIEGNSGTDLDRASVSVVMSGVPSNEPVQVKYLSRVFDPANDNVDLVLIRVLATGSRPPSGGDLATLTLSFEPIEERDLVQNLVLEPYINGEGSDHVNIHFYANPSITYNSLSNTGVDSDQASELLFAAGPTTSELIKLSIERDLFVPAHDNIDRVWLEARFIQFPKELLLQFPLVCPERPGCLEERDLKENLLLDGTLSSLLLIQMNQDSVIRTGRARLEGVGLGLDKALNTLFTGRETEEAVFYRLPEAGAWSMGLFVCLFLVQRKQVAREVRAEM
+>sp|Q9UN67|PCDBA_HUMAN Protocadherin beta-10 OS=Homo sapiens OX=9606 GN=PCDHB10 PE=1 SV=1
+MAVRELCFPRQRQVLFLFLFWGVSLAGSGFGRYSVTEETEKGSFVVNLAKDLGLAEGELAARGTRVVSDDNKQYLLLDSHTGNLLTNEKLDREKLCGPKEPCMLYFQILMDDPFQIYRAELRVRDINDHAPVFQDKETVLKISENTAEGTAFRLERAQDPDGGLNGIQNYTISPNSFFHINISGGDEGMIYPELVLDKALDREEQGELSLTLTALDGGSPSRSGTSTVRIVVLDVNDNAPQFAQALYETQAPENSPIGFLIVKVWAEDVDSGVNAEVSYSFFDASENIRTTFQINPFSGEIFLRELLDYELVNSYKINIQAMDGGGLSARCRVLVEVLDTNDNPPELIVSSFSNSVAENSPETPLAVFKINDRDSGENGKMVCYIQENLPFLLKPSVENFYILITEGALDREIRAEYNITITVTDLGTPRLKTEHNITVLVSDVNDNAPAFTQTSYTLFVRENNSPALHIGSVSATDRDSGTNAQVTYSLLPPQDPHLPLASLVSINADNGHLFALRSLDYEALQAFEFRVGATDRGSPALSREALVRVLVLDANDNSPFVLYPLQNGSAPCTELVPRAAEPGYLVTKVVAVDGDSGQNAWLSYQLLKATEPGLFGVWAHNGEVRTARLLSERDAAKHRLVVLVKDNGEPPRSATATLHLLLVDGFSQPYLPLPEAAPAQAQAEADLLTVYLVVALASVSSLFLLSVLLFVAVRLCRRSRAASVGRCSVPEGPFPGHLVDVRGAETLSQSYQYEVCLTGGPGTSEFKFLKPVISDIQAQGPGRKGEENSTFRNSFGFNIQ
+>DECOY_sp|Q9UN67|PCDBA_HUMAN Protocadherin beta-10 OS=Homo sapiens OX=9606 GN=PCDHB10 PE=1 SV=1
+QINFGFSNRFTSNEEGKRGPGQAQIDSIVPKLFKFESTGPGGTLCVEYQYSQSLTEAGRVDVLHGPFPGEPVSCRGVSAARSRRCLRVAVFLLVSLLFLSSVSALAVVLYVTLLDAEAQAQAPAAEPLPLYPQSFGDVLLLHLTATASRPPEGNDKVLVVLRHKAADRESLLRATRVEGNHAWVGFLGPETAKLLQYSLWANQGSDGDVAVVKTVLYGPEAARPVLETCPASGNQLPYLVFPSNDNADLVLVRVLAERSLAPSGRDTAGVRFEFAQLAEYDLSRLAFLHGNDANISVLSALPLHPDQPPLLSYTVQANTGSDRDTASVSGIHLAPSNNERVFLTYSTQTFAPANDNVDSVLVTINHETKLRPTGLDTVTITINYEARIERDLAGETILIYFNEVSPKLLFPLNEQIYCVMKGNEGSDRDNIKFVALPTEPSNEAVSNSFSSVILEPPNDNTDLVEVLVRCRASLGGGDMAQINIKYSNVLEYDLLERLFIEGSFPNIQFTTRINESADFFSYSVEANVGSDVDEAWVKVILFGIPSNEPAQTEYLAQAFQPANDNVDLVVIRVTSTGSRSPSGGDLATLTLSLEGQEERDLAKDLVLEPYIMGEDGGSINIHFFSNPSITYNQIGNLGGDPDQARELRFATGEATNESIKLVTEKDQFVPAHDNIDRVRLEARYIQFPDDMLIQFYLMCPEKPGCLKERDLKENTLLNGTHSDLLLYQKNDDSVVRTGRAALEGEALGLDKALNVVFSGKETEETVSYRGFGSGALSVGWFLFLFLVQRQRPFCLERVAM
+>sp|Q9Y5F1|PCDBC_HUMAN Protocadherin beta-12 OS=Homo sapiens OX=9606 GN=PCDHB12 PE=2 SV=1
+MENGGAGTLQIRQVLLFFVLLGMSQAGSETGNFLVMEELQSGSFVGNLAKTLGLEVSELSSRGARVVSNDNKECLQLDTNTGDLLLREMLDREELCGSNEPCVLYFQVLMKNPTQFLQIELQVRDINDHSPVFLEKEMLLEIPENSPVGAVFLLESAKDLDVGINAVKSYTINPNSHFHVKIRVNPDNRKYPELVLDKALDYEERPELSFILTALDGGSPPRSGTALVRVVVVDINDNSPEFEQAFYEVKILENSILGSLVVTVSAWDLDSGTNSELSYTFSHASEDIRKTFEINQKSGDITLTAPLDFEAIESYSIIIQATDGGGLFGKSTVRIQVMDVNDNAPEITVSSITSPIPENTPETVVMVFRIRDRDSGDNGKMVCSIPEDIPFVLKSSVNNYYTLETERPLDRESRAEYNITITVTDLGTPRLKTEHNITVLVSDVNDNAPAFTQTSYALFVRENNSPALHIGSISATDRDSGTNAQVNYSLLPSQDPHLPLASLVSINADNGHLFALRSLDYEALQGFQFRVGATDHGSPALSSEALVRVLVLDANDNSPFVLYPLQNGSAPCTELVPWAAEPGYLVTKVVAVDGDSGQNAWLSYQLLKATEPGLFGVWAHNGEVRTARLLSERDAAKHRLVVLVKDNGEPPRSATATLHVLLVDGFSQPYLPLPEAAPAQAQADSLTVYLVVALASVSSLFLFSVLLFVAVRLCRRSRAAPVGRCSVPEGPFPGHLVDVSGTGTLSQSYHYEVCVTGGSRSNKFKFLKPIIPNFLPQSTGSEVEENPPFQNNLGF
+>DECOY_sp|Q9Y5F1|PCDBC_HUMAN Protocadherin beta-12 OS=Homo sapiens OX=9606 GN=PCDHB12 PE=2 SV=1
+FGLNNQFPPNEEVESGTSQPLFNPIIPKLFKFKNSRSGGTVCVEYHYSQSLTGTGSVDVLHGPFPGEPVSCRGVPAARSRRCLRVAVFLLVSFLFLSSVSALAVVLYVTLSDAQAQAPAAEPLPLYPQSFGDVLLVHLTATASRPPEGNDKVLVVLRHKAADRESLLRATRVEGNHAWVGFLGPETAKLLQYSLWANQGSDGDVAVVKTVLYGPEAAWPVLETCPASGNQLPYLVFPSNDNADLVLVRVLAESSLAPSGHDTAGVRFQFGQLAEYDLSRLAFLHGNDANISVLSALPLHPDQSPLLSYNVQANTGSDRDTASISGIHLAPSNNERVFLAYSTQTFAPANDNVDSVLVTINHETKLRPTGLDTVTITINYEARSERDLPRETELTYYNNVSSKLVFPIDEPISCVMKGNDGSDRDRIRFVMVVTEPTNEPIPSTISSVTIEPANDNVDMVQIRVTSKGFLGGGDTAQIIISYSEIAEFDLPATLTIDGSKQNIEFTKRIDESAHSFTYSLESNTGSDLDWASVTVVLSGLISNELIKVEYFAQEFEPSNDNIDVVVVRVLATGSRPPSGGDLATLIFSLEPREEYDLAKDLVLEPYKRNDPNVRIKVHFHSNPNITYSKVANIGVDLDKASELLFVAGVPSNEPIELLMEKELFVPSHDNIDRVQLEIQLFQTPNKMLVQFYLVCPENSGCLEERDLMERLLLDGTNTDLQLCEKNDNSVVRAGRSSLESVELGLTKALNGVFSGSQLEEMVLFNGTESGAQSMGLLVFFLLVQRIQLTGAGGNEM
+>sp|Q9Y5E8|PCDBF_HUMAN Protocadherin beta-15 OS=Homo sapiens OX=9606 GN=PCDHB15 PE=2 SV=1
+MEPAGERFPEQRQVLILLLLLEVTLAGWEPRRYSVMEETERGSFVANLANDLGLGVGELAERGARVVSEDNEQGLQLDLQTGQLILNEKLDREKLCGPTEPCIMHFQVLLKKPLEVFRAELLVTDINDHSPEFPEREMTLKIPETSSLGTVFPLKKARDLDVGSNNVQNYNISPNSHFHVSTRTRGDGRKYPELVLDTELDREEQAELRLTLTAVDGGSPPRSGTVQILILVLDANDNAPEFVQALYEVQVPENSPVGSLVVKVSARDLDTGTNGEISYSLYYSSQEIDKPFELSSLSGEIRLIKKLDFETMSSYDLDIEASDGGGLSGKCSVSVKVLDVNDNFPELSISSLTSPIPENSPETEVALFRIRDRDSGENGKMICSIQDDVPFKLKPSVENFYRLVTEGALDRETRAEYNITITITDLGTPRLKTEQSITVLVSDVNDNAPAFTQTSYTLFVRENNSPALHIGSVSATDRDSGTNAQVTYSLLPPRDPHLPLTSLVSINTDNGHLFALQSLDYEALQAFEFRVGATDRGFPALSSEALVRVLVLDANDNSPFVLYPLQNGSAPCTELVPRAAEPGYLVTKVVAVDGDSGQNAWLSYQLLKATEPGLFGVWAHNGEVRTARLLSERDVAKHRLVVLVKDNGEPPRSATATLQVLLVDGFSQPYLPLPEAAPAQAQADSLTVYLVVALASVSSLFLFSVFLFVAVRLCRRSRAASVGRCSVPEGPFPGHLVDVSGTGTLSQSYQYEVCLTGGSESNDFKFLKPIFPNIVSQDSRRKSEFLE
+>DECOY_sp|Q9Y5E8|PCDBF_HUMAN Protocadherin beta-15 OS=Homo sapiens OX=9606 GN=PCDHB15 PE=2 SV=1
+ELFESKRRSDQSVINPFIPKLFKFDNSESGGTLCVEYQYSQSLTGTGSVDVLHGPFPGEPVSCRGVSAARSRRCLRVAVFLFVSFLFLSSVSALAVVLYVTLSDAQAQAPAAEPLPLYPQSFGDVLLVQLTATASRPPEGNDKVLVVLRHKAVDRESLLRATRVEGNHAWVGFLGPETAKLLQYSLWANQGSDGDVAVVKTVLYGPEAARPVLETCPASGNQLPYLVFPSNDNADLVLVRVLAESSLAPFGRDTAGVRFEFAQLAEYDLSQLAFLHGNDTNISVLSTLPLHPDRPPLLSYTVQANTGSDRDTASVSGIHLAPSNNERVFLTYSTQTFAPANDNVDSVLVTISQETKLRPTGLDTITITINYEARTERDLAGETVLRYFNEVSPKLKFPVDDQISCIMKGNEGSDRDRIRFLAVETEPSNEPIPSTLSSISLEPFNDNVDLVKVSVSCKGSLGGGDSAEIDLDYSSMTEFDLKKILRIEGSLSSLEFPKDIEQSSYYLSYSIEGNTGTDLDRASVKVVLSGVPSNEPVQVEYLAQVFEPANDNADLVLILIQVTGSRPPSGGDVATLTLRLEAQEERDLETDLVLEPYKRGDGRTRTSVHFHSNPSINYNQVNNSGVDLDRAKKLPFVTGLSSTEPIKLTMEREPFEPSHDNIDTVLLEARFVELPKKLLVQFHMICPETPGCLKERDLKENLILQGTQLDLQLGQENDESVVRAGREALEGVGLGLDNALNAVFSGRETEEMVSYRRPEWGALTVELLLLLILVQRQEPFREGAPEM
+>sp|P12004|PCNA_HUMAN Proliferating cell nuclear antigen OS=Homo sapiens OX=9606 GN=PCNA PE=1 SV=1
+MFEARLVQGSILKKVLEALKDLINEACWDISSSGVNLQSMDSSHVSLVQLTLRSEGFDTYRCDRNLAMGVNLTSMSKILKCAGNEDIITLRAEDNADTLALVFEAPNQEKVSDYEMKLMDLDVEQLGIPEQEYSCVVKMPSGEFARICRDLSHIGDAVVISCAKDGVKFSASGELGNGNIKLSQTSNVDKEEEAVTIEMNEPVQLTFALRYLNFFTKATPLSSTVTLSMSADVPLVVEYKIADMGHLKYYLAPKIEDEEGS
+>DECOY_sp|P12004|PCNA_HUMAN Proliferating cell nuclear antigen OS=Homo sapiens OX=9606 GN=PCNA PE=1 SV=1
+SGEEDEIKPALYYKLHGMDAIKYEVVLPVDASMSLTVTSSLPTAKTFFNLYRLAFTLQVPENMEITVAEEEKDVNSTQSLKINGNGLEGSASFKVGDKACSIVVADGIHSLDRCIRAFEGSPMKVVCSYEQEPIGLQEVDLDMLKMEYDSVKEQNPAEFVLALTDANDEARLTIIDENGACKLIKSMSTLNVGMALNRDCRYTDFGESRLTLQVLSVHSSDMSQLNVGSSSIDWCAENILDKLAELVKKLISGQVLRAEFM
+>sp|P48539|PCP4_HUMAN Calmodulin regulator protein PCP4 OS=Homo sapiens OX=9606 GN=PCP4 PE=1 SV=3
+MSERQGAGATNGKDKTSGENDGQKKVQEEFDIDMDAPETERAAVAIQSQFRKFQKKKAGSQS
+>DECOY_sp|P48539|PCP4_HUMAN Calmodulin regulator protein PCP4 OS=Homo sapiens OX=9606 GN=PCP4 PE=1 SV=3
+SQSGAKKKQFKRFQSQIAVAARETEPADMDIDFEEQVKKQGDNEGSTKDKGNTAGAGQRESM
+>sp|Q9BQ51|PD1L2_HUMAN Programmed cell death 1 ligand 2 OS=Homo sapiens OX=9606 GN=PDCD1LG2 PE=1 SV=2
+MIFLLLMLSLELQLHQIAALFTVTVPKELYIIEHGSNVTLECNFDTGSHVNLGAITASLQKVENDTSPHRERATLLEEQLPLGKASFHIPQVQVRDEGQYQCIIIYGVAWDYKYLTLKVKASYRKINTHILKVPETDEVELTCQATGYPLAEVSWPNVSVPANTSHSRTPEGLYQVTSVLRLKPPPGRNFSCVFWNTHVRELTLASIDLQSQMEPRTHPTWLLHIFIPFCIIAFIFIATVIALRKQLCQKLYSSKDTTKRPVTTTKREVNSAI
+>DECOY_sp|Q9BQ51|PD1L2_HUMAN Programmed cell death 1 ligand 2 OS=Homo sapiens OX=9606 GN=PDCD1LG2 PE=1 SV=2
+IASNVERKTTTVPRKTTDKSSYLKQCLQKRLAIVTAIFIFAIICFPIFIHLLWTPHTRPEMQSQLDISALTLERVHTNWFVCSFNRGPPPKLRLVSTVQYLGEPTRSHSTNAPVSVNPWSVEALPYGTAQCTLEVEDTEPVKLIHTNIKRYSAKVKLTLYKYDWAVGYIIICQYQGEDRVQVQPIHFSAKGLPLQEELLTARERHPSTDNEVKQLSATIAGLNVHSGTDFNCELTVNSGHEIIYLEKPVTVTFLAAIQHLQLELSLMLLLFIM
+>sp|Q9Y5Y4|PD2R2_HUMAN Prostaglandin D2 receptor 2 OS=Homo sapiens OX=9606 GN=PTGDR2 PE=1 SV=3
+MSANATLKPLCPILEQMSRLQSHSNTSIRYIDHAAVLLHGLASLLGLVENGVILFVVGCRMRQTVVTTWVLHLALSDLLASASLPFFTYFLAVGHSWELGTTFCKLHSSIFFLNMFASGFLLSAISLDRCLQVVRPVWAQNHRTVAAAHKVCLVLWALAVLNTVPYFVFRDTISRLDGRIMCYYNVLLLNPGPDRDATCNSRQVALAVSKFLLAFLVPLAIIASSHAAVSLRLQHRGRRRPGRFVRLVAAVVAAFALCWGPYHVFSLLEARAHANPGLRPLVWRGLPFVTSLAFFNSVANPVLYVLTCPDMLRKLRRSLRTVLESVLVDDSELGGAGSSRRRRTSSTARSASPLALCSRPEEPRGPARLLGWLLGSCAASPQTGPLNRALSSTSS
+>DECOY_sp|Q9Y5Y4|PD2R2_HUMAN Prostaglandin D2 receptor 2 OS=Homo sapiens OX=9606 GN=PTGDR2 PE=1 SV=3
+SSTSSLARNLPGTQPSAACSGLLWGLLRAPGRPEEPRSCLALPSASRATSSTRRRRSSGAGGLESDDVLVSELVTRLSRRLKRLMDPCTLVYLVPNAVSNFFALSTVFPLGRWVLPRLGPNAHARAELLSFVHYPGWCLAFAAVVAAVLRVFRGPRRRGRHQLRLSVAAHSSAIIALPVLFALLFKSVALAVQRSNCTADRDPGPNLLLVNYYCMIRGDLRSITDRFVFYPVTNLVALAWLVLCVKHAAAVTRHNQAWVPRVVQLCRDLSIASLLFGSAFMNLFFISSHLKCFTTGLEWSHGVALFYTFFPLSASALLDSLALHLVWTTVVTQRMRCGVVFLIVGNEVLGLLSALGHLLVAAHDIYRISTNSHSQLRSMQELIPCLPKLTANASM
+>sp|Q13258|PD2R_HUMAN Prostaglandin D2 receptor OS=Homo sapiens OX=9606 GN=PTGDR PE=2 SV=2
+MKSPFYRCQNTTSVEKGNSAVMGGVLFSTGLLGNLLALGLLARSGLGWCSRRPLRPLPSVFYMLVCGLTVTDLLGKCLLSPVVLAAYAQNRSLRVLAPALDNSLCQAFAFFMSFFGLSSTLQLLAMALECWLSLGHPFFYRRHITLRLGALVAPVVSAFSLAFCALPFMGFGKFVQYCPGTWCFIQMVHEEGSLSVLGYSVLYSSLMALLVLATVLCNLGAMRNLYAMHRRLQRHPRSCTRDCAEPRADGREASPQPLEELDHLLLLALMTVLFTMCSLPVIYRAYYGAFKDVKEKNRTSEEAEDLRALRFLSVISIVDPWIFIIFRSPVFRIFFHKIFIRPLRYRSRCSNSTNMESSL
+>DECOY_sp|Q13258|PD2R_HUMAN Prostaglandin D2 receptor OS=Homo sapiens OX=9606 GN=PTGDR PE=2 SV=2
+LSSEMNTSNSCRSRYRLPRIFIKHFFIRFVPSRFIIFIWPDVISIVSLFRLARLDEAEESTRNKEKVDKFAGYYARYIVPLSCMTFLVTMLALLLLHDLEELPQPSAERGDARPEACDRTCSRPHRQLRRHMAYLNRMAGLNCLVTALVLLAMLSSYLVSYGLVSLSGEEHVMQIFCWTGPCYQVFKGFGMFPLACFALSFASVVPAVLAGLRLTIHRRYFFPHGLSLWCELAMALLQLTSSLGFFSMFFAFAQCLSNDLAPALVRLSRNQAYAALVVPSLLCKGLLDTVTLGCVLMYFVSPLPRLPRRSCWGLGSRALLGLALLNGLLGTSFLVGGMVASNGKEVSTTNQCRYFPSKM
+>sp|Q01064|PDE1B_HUMAN Calcium/calmodulin-dependent 3',5'-cyclic nucleotide phosphodiesterase 1B OS=Homo sapiens OX=9606 GN=PDE1B PE=1 SV=2
+MELSPRSPPEMLEESDCPSPLELKSAPSKKMWIKLRSLLRYMVKQLENGEINIEELKKNLEYTASLLEAVYIDETRQILDTEDELQELRSDAVPSEVRDWLASTFTQQARAKGRRAEEKPKFRSIVHAVQAGIFVERMFRRTYTSVGPTYSTAVLNCLKNLDLWCFDVFSLNQAADDHALRTIVFELLTRHNLISRFKIPTVFLMSFLDALETGYGKYKNPYHNQIHAADVTQTVHCFLLRTGMVHCLSEIELLAIIFAAAIHDYEHTGTTNSFHIQTKSECAIVYNDRSVLENHHISSVFRLMQDDEMNIFINLTKDEFVELRALVIEMVLATDMSCHFQQVKTMKTALQQLERIDKPKALSLLLHAADISHPTKQWLVHSRWTKALMEEFFRQGDKEAELGLPFSPLCDRTSTLVAQSQIGFIDFIVEPTFSVLTDVAEKSVQPLADEDSKSKNQPSFQWRQPSLDVEVGDPNPDVVSFRSTWVKRIQENKQKWKERAASGITNQMSIDELSPCEEEAPPSPAEDEHNQNGNLD
+>DECOY_sp|Q01064|PDE1B_HUMAN Calcium/calmodulin-dependent 3',5'-cyclic nucleotide phosphodiesterase 1B OS=Homo sapiens OX=9606 GN=PDE1B PE=1 SV=2
+DLNGNQNHEDEAPSPPAEEECPSLEDISMQNTIGSAAREKWKQKNEQIRKVWTSRFSVVDPNPDGVEVDLSPQRWQFSPQNKSKSDEDALPQVSKEAVDTLVSFTPEVIFDIFGIQSQAVLTSTRDCLPSFPLGLEAEKDGQRFFEEMLAKTWRSHVLWQKTPHSIDAAHLLLSLAKPKDIRELQQLATKMTKVQQFHCSMDTALVMEIVLARLEVFEDKTLNIFINMEDDQMLRFVSSIHHNELVSRDNYVIACESKTQIHFSNTTGTHEYDHIAAAFIIALLEIESLCHVMGTRLLFCHVTQTVDAAHIQNHYPNKYKGYGTELADLFSMLFVTPIKFRSILNHRTLLEFVITRLAHDDAAQNLSFVDFCWLDLNKLCNLVATSYTPGVSTYTRRFMREVFIGAQVAHVISRFKPKEEARRGKARAQQTFTSALWDRVESPVADSRLEQLEDETDLIQRTEDIYVAELLSATYELNKKLEEINIEGNELQKVMYRLLSRLKIWMKKSPASKLELPSPCDSEELMEPPSRPSLEM
+>sp|Q13370|PDE3B_HUMAN cGMP-inhibited 3',5'-cyclic phosphodiesterase B OS=Homo sapiens OX=9606 GN=PDE3B PE=1 SV=2
+MRRDERDAKAMRSLQPPDGAGSPPESLRNGYVKSCVSPLRQDPPRGFFFHLCRFCNVELRPPPASPQQPRRCSPFCRARLSLGALAAFVLALLLGAEPESWAAGAAWLRTLLSVCSHSLSPLFSIACAFFFLTCFLTRTKRGPGPGRSCGSWWLLALPACCYLGDFLVWQWWSWPWGDGDAGSAAPHTPPEAAAGRLLLVLSCVGLLLTLAHPLRLRHCVLVLLLASFVWWVSFTSLGSLPSALRPLLSGLVGGAGCLLALGLDHFFQIREAPLHPRLSSAAEEKVPVIRPRRRSSCVSLGETAASYYGSCKIFRRPSLPCISREQMILWDWDLKQWYKPHYQNSGGGNGVDLSVLNEARNMVSDLLTDPSLPPQVISSLRSISSLMGAFSGSCRPKINPLTPFPGFYPCSEIEDPAEKGDRKLNKGLNRNSLPTPQLRRSSGTSGLLPVEQSSRWDRNNGKRPHQEFGISSQGCYLNGPFNSNLLTIPKQRSSSVSLTHHVGLRRAGVLSSLSPVNSSNHGPVSTGSLTNRSPIEFPDTADFLNKPSVILQRSLGNAPNTPDFYQQLRNSDSNLCNSCGHQMLKYVSTSESDGTDCCSGKSGEEENIFSKESFKLMETQQEEETEKKDSRKLFQEGDKWLTEEAQSEQQTNIEQEVSLDLILVEEYDSLIEKMSNWNFPIFELVEKMGEKSGRILSQVMYTLFQDTGLLEIFKIPTQQFMNYFRALENGYRDIPYHNRIHATDVLHAVWYLTTRPVPGLQQIHNGCGTGNETDSDGRINHGRIAYISSKSCSNPDESYGCLSSNIPALELMALYVAAAMHDYDHPGRTNAFLVATNAPQAVLYNDRSVLENHHAASAWNLYLSRPEYNFLLHLDHVEFKRFRFLVIEAILATDLKKHFDFLAEFNAKANDVNSNGIEWSNENDRLLVCQVCIKLADINGPAKVRDLHLKWTEGIVNEFYEQGDEEANLGLPISPFMDRSSPQLAKLQESFITHIVGPLCNSYDAAGLLPGQWLEAEEDNDTESGDDEDGEELDTEDEEMENNLNPKPPRRKSRRRIFCQLMHHLTENHKIWKEIVEEEEKCKADGNKLQVENSSLPQADEIQVIEEADEEE
+>DECOY_sp|Q13370|PDE3B_HUMAN cGMP-inhibited 3',5'-cyclic phosphodiesterase B OS=Homo sapiens OX=9606 GN=PDE3B PE=1 SV=2
+EEEDAEEIVQIEDAQPLSSNEVQLKNGDAKCKEEEEVIEKWIKHNETLHHMLQCFIRRRSKRRPPKPNLNNEMEEDETDLEEGDEDDGSETDNDEEAELWQGPLLGAADYSNCLPGVIHTIFSEQLKALQPSSRDMFPSIPLGLNAEEDGQEYFENVIGETWKLHLDRVKAPGNIDALKICVQCVLLRDNENSWEIGNSNVDNAKANFEALFDFHKKLDTALIAEIVLFRFRKFEVHDLHLLFNYEPRSLYLNWASAAHHNELVSRDNYLVAQPANTAVLFANTRGPHDYDHMAAAVYLAMLELAPINSSLCGYSEDPNSCSKSSIYAIRGHNIRGDSDTENGTGCGNHIQQLGPVPRTTLYWVAHLVDTAHIRNHYPIDRYGNELARFYNMFQQTPIKFIELLGTDQFLTYMVQSLIRGSKEGMKEVLEFIPFNWNSMKEILSDYEEVLILDLSVEQEINTQQESQAEETLWKDGEQFLKRSDKKETEEEQQTEMLKFSEKSFINEEEGSKGSCCDTGDSESTSVYKLMQHGCSNCLNSDSNRLQQYFDPTNPANGLSRQLIVSPKNLFDATDPFEIPSRNTLSGTSVPGHNSSNVPSLSSLVGARRLGVHHTLSVSSSRQKPITLLNSNFPGNLYCGQSSIGFEQHPRKGNNRDWRSSQEVPLLGSTGSSRRLQPTPLSNRNLGKNLKRDGKEAPDEIESCPYFGPFPTLPNIKPRCSGSFAGMLSSISRLSSIVQPPLSPDTLLDSVMNRAENLVSLDVGNGGGSNQYHPKYWQKLDWDWLIMQERSICPLSPRRFIKCSGYYSAATEGLSVCSSRRRPRIVPVKEEAASSLRPHLPAERIQFFHDLGLALLCGAGGVLGSLLPRLASPLSGLSTFSVWWVFSALLLVLVCHRLRLPHALTLLLGVCSLVLLLRGAAAEPPTHPAASGADGDGWPWSWWQWVLFDGLYCCAPLALLWWSGCSRGPGPGRKTRTLFCTLFFFACAISFLPSLSHSCVSLLTRLWAAGAAWSEPEAGLLLALVFAALAGLSLRARCFPSCRRPQQPSAPPPRLEVNCFRCLHFFFGRPPDQRLPSVCSKVYGNRLSEPPSGAGDPPQLSRMAKADREDRRM
+>sp|Q07343|PDE4B_HUMAN cAMP-specific 3',5'-cyclic phosphodiesterase 4B OS=Homo sapiens OX=9606 GN=PDE4B PE=1 SV=1
+MKKSRSVMTVMADDNVKDYFECSLSKSYSSSSNTLGIDLWRGRRCCSGNLQLPPLSQRQSERARTPEGDGISRPTTLPLTTLPSIAITTVSQECFDVENGPSPGRSPLDPQASSSAGLVLHATFPGHSQRRESFLYRSDSDYDLSPKAMSRNSSLPSEQHGDDLIVTPFAQVLASLRSVRNNFTILTNLHGTSNKRSPAASQPPVSRVNPQEESYQKLAMETLEELDWCLDQLETIQTYRSVSEMASNKFKRMLNRELTHLSEMSRSGNQVSEYISNTFLDKQNDVEIPSPTQKDREKKKKQQLMTQISGVKKLMHSSSLNNTSISRFGVNTENEDHLAKELEDLNKWGLNIFNVAGYSHNRPLTCIMYAIFQERDLLKTFRISSDTFITYMMTLEDHYHSDVAYHNSLHAADVAQSTHVLLSTPALDAVFTDLEILAAIFAAAIHDVDHPGVSNQFLINTNSELALMYNDESVLENHHLAVGFKLLQEEHCDIFMNLTKKQRQTLRKMVIDMVLATDMSKHMSLLADLKTMVETKKVTSSGVLLLDNYTDRIQVLRNMVHCADLSNPTKSLELYRQWTDRIMEEFFQQGDKERERGMEISPMCDKHTASVEKSQVGFIDYIVHPLWETWADLVQPDAQDILDTLEDNRNWYQSMIPQSPSPPLDEQNRDCQGLMEKFQFELTLDEEDSEGPEKEGEGHSYFSSTKTLCVIDPENRDSLGETDIDIATEDKSPVDT
+>DECOY_sp|Q07343|PDE4B_HUMAN cAMP-specific 3',5'-cyclic phosphodiesterase 4B OS=Homo sapiens OX=9606 GN=PDE4B PE=1 SV=1
+TDVPSKDETAIDIDTEGLSDRNEPDIVCLTKTSSFYSHGEGEKEPGESDEEDLTLEFQFKEMLGQCDRNQEDLPPSPSQPIMSQYWNRNDELTDLIDQADPQVLDAWTEWLPHVIYDIFGVQSKEVSATHKDCMPSIEMGREREKDGQQFFEEMIRDTWQRYLELSKTPNSLDACHVMNRLVQIRDTYNDLLLVGSSTVKKTEVMTKLDALLSMHKSMDTALVMDIVMKRLTQRQKKTLNMFIDCHEEQLLKFGVALHHNELVSEDNYMLALESNTNILFQNSVGPHDVDHIAAAFIAALIELDTFVADLAPTSLLVHTSQAVDAAHLSNHYAVDSHYHDELTMMYTIFTDSSIRFTKLLDREQFIAYMICTLPRNHSYGAVNFINLGWKNLDELEKALHDENETNVGFRSISTNNLSSSHMLKKVGSIQTMLQQKKKKERDKQTPSPIEVDNQKDLFTNSIYESVQNGSRSMESLHTLERNLMRKFKNSAMESVSRYTQITELQDLCWDLEELTEMALKQYSEEQPNVRSVPPQSAAPSRKNSTGHLNTLITFNNRVSRLSALVQAFPTVILDDGHQESPLSSNRSMAKPSLDYDSDSRYLFSERRQSHGPFTAHLVLGASSSAQPDLPSRGPSPGNEVDFCEQSVTTIAISPLTTLPLTTPRSIGDGEPTRARESQRQSLPPLQLNGSCCRRGRWLDIGLTNSSSSYSKSLSCEFYDKVNDDAMVTMVSRSKKM
+>sp|Q13087|PDIA2_HUMAN Protein disulfide-isomerase A2 OS=Homo sapiens OX=9606 GN=PDIA2 PE=1 SV=2
+MSRQLLPVLLLLLLRASCPWGQEQGARSPSEEPPEEEIPKEDGILVLSRHTLGLALREHPALLVEFYAPWCGHCQALAPEYSKAAAVLAAESMVVTLAKVDGPAQRELAEEFGVTEYPTLKFFRNGNRTHPEEYTGPRDAEGIAEWLRRRVGPSAMRLEDEAAAQALIGGRDLVVIGFFQDLQDEDVATFLALAQDALDMTFGLTDRPRLFQQFGLTKDTVVLFKKFDEGRADFPVDEELGLDLGDLSRFLVTHSMRLVTEFNSQTSAKIFAARILNHLLLFVNQTLAAHRELLAGFGEAAPRFRGQVLFVVVDVAADNEHVLQYFGLKAEAAPTLRLVNLETTKKYAPVDGGPVTAASITAFCHAVLNGQVKPYLLSQEIPPDWDQRPVKTLVGKNFEQVAFDETKNVFVKFYAPWCTHCKEMAPAWEALAEKYQDHEDIIIAELDATANELDAFAVHGFPTLKYFPAGPGRKVIEYKSTRDLETFSKFLDNGGVLPTEEPPEEPAAPFPEPPANSTMGSKEEL
+>DECOY_sp|Q13087|PDIA2_HUMAN Protein disulfide-isomerase A2 OS=Homo sapiens OX=9606 GN=PDIA2 PE=1 SV=2
+LEEKSGMTSNAPPEPFPAAPEEPPEETPLVGGNDLFKSFTELDRTSKYEIVKRGPGAPFYKLTPFGHVAFADLENATADLEAIIIDEHDQYKEALAEWAPAMEKCHTCWPAYFKVFVNKTEDFAVQEFNKGVLTKVPRQDWDPPIEQSLLYPKVQGNLVAHCFATISAATVPGGDVPAYKKTTELNVLRLTPAAEAKLGFYQLVHENDAAVDVVVFLVQGRFRPAAEGFGALLERHAALTQNVFLLLHNLIRAAFIKASTQSNFETVLRMSHTVLFRSLDGLDLGLEEDVPFDARGEDFKKFLVVTDKTLGFQQFLRPRDTLGFTMDLADQALALFTAVDEDQLDQFFGIVVLDRGGILAQAAAEDELRMASPGVRRRLWEAIGEADRPGTYEEPHTRNGNRFFKLTPYETVGFEEALERQAPGDVKALTVVMSEAALVAAAKSYEPALAQCHGCWPAYFEVLLAPHERLALGLTHRSLVLIGDEKPIEEEPPEESPSRAGQEQGWPCSARLLLLLLVPLLQRSM
+>sp|P13667|PDIA4_HUMAN Protein disulfide-isomerase A4 OS=Homo sapiens OX=9606 GN=PDIA4 PE=1 SV=2
+MRPRKAFLLLLLLGLVQLLAVAGAEGPDEDSSNRENAIEDEEEEEEEDDDEEEDDLEVKEENGVLVLNDANFDNFVADKDTVLLEFYAPWCGHCKQFAPEYEKIANILKDKDPPIPVAKIDATSASVLASRFDVSGYPTIKILKKGQAVDYEGSRTQEEIVAKVREVSQPDWTPPPEVTLVLTKENFDEVVNDADIILVEFYAPWCGHCKKLAPEYEKAAKELSKRSPPIPLAKVDATAETDLAKRFDVSGYPTLKIFRKGRPYDYNGPREKYGIVDYMIEQSGPPSKEILTLKQVQEFLKDGDDVIIIGVFKGESDPAYQQYQDAANNLREDYKFHHTFSTEIAKFLKVSQGQLVVMQPEKFQSKYEPRSHMMDVQGSTQDSAIKDFVLKYALPLVGHRKVSNDAKRYTRRPLVVVYYSVDFSFDYRAATQFWRSKVLEVAKDFPEYTFAIADEEDYAGEVKDLGLSESGEDVNAAILDESGKKFAMEPEEFDSDTLREFVTAFKKGKLKPVIKSQPVPKNNKGPVKVVVGKTFDSIVMDPKKDVLIEFYAPWCGHCKQLEPVYNSLAKKYKGQKGLVIAKMDATANDVPSDRYKVEGFPTIYFAPSGDKKNPVKFEGGDRDLEHLSKFIEEHATKLSRTKEEL
+>DECOY_sp|P13667|PDIA4_HUMAN Protein disulfide-isomerase A4 OS=Homo sapiens OX=9606 GN=PDIA4 PE=1 SV=2
+LEEKTRSLKTAHEEIFKSLHELDRDGGEFKVPNKKDGSPAFYITPFGEVKYRDSPVDNATADMKAIVLGKQGKYKKALSNYVPELQKCHGCWPAYFEILVDKKPDMVISDFTKGVVVKVPGKNNKPVPQSKIVPKLKGKKFATVFERLTDSDFEEPEMAFKKGSEDLIAANVDEGSESLGLDKVEGAYDEEDAIAFTYEPFDKAVELVKSRWFQTAARYDFSFDVSYYVVVLPRRTYRKADNSVKRHGVLPLAYKLVFDKIASDQTSGQVDMMHSRPEYKSQFKEPQMVVLQGQSVKLFKAIETSFTHHFKYDERLNNAADQYQQYAPDSEGKFVGIIIVDDGDKLFEQVQKLTLIEKSPPGSQEIMYDVIGYKERPGNYDYPRGKRFIKLTPYGSVDFRKALDTEATADVKALPIPPSRKSLEKAAKEYEPALKKCHGCWPAYFEVLIIDADNVVEDFNEKTLVLTVEPPPTWDPQSVERVKAVIEEQTRSGEYDVAQGKKLIKITPYGSVDFRSALVSASTADIKAVPIPPDKDKLINAIKEYEPAFQKCHGCWPAYFELLVTDKDAVFNDFNADNLVLVGNEEKVELDDEEEDDDEEEEEEEDEIANERNSSDEDPGEAGAVALLQVLGLLLLLLFAKRPRM
+>sp|Q8N807|PDILT_HUMAN Protein disulfide-isomerase-like protein of the testis OS=Homo sapiens OX=9606 GN=PDILT PE=1 SV=2
+MDLLWMPLLLVAACVSAVHSSPEVNAGVSSIHITKPVHILEERSLLVLTPAGLTQMLNQTRFLMVLFHNPSSKQSRNLAEELGKAVEIMGKGKNGIGFGKVDITIEKELQQEFGITKAPELKLFFEGNRSEPISCKGVVESAALVVWLRRQISQKAFLFNSSEQVAEFVISRPLVIVGFFQDLEEEVAELFYDVIKDFPELTFGVITIGNVIGRFHVTLDSVLVFKKGKIVNRQKLINDSTNKQELNRVIKQHLTDFVIEYNTENKDLISELHIMSHMLLFVSKSSESYGIIIQHYKLASKEFQNKILFILVDADEPRNGRVFKYFRVTEVDIPSVQILNLSSDARYKMPSDDITYESLKKFGRSFLSKNATKHQSSEEIPKYWDQGLVKQLVGKNFNVVVFDKEKDVFVMFYAPWSKKCKMLFPLLEELGRKYQNHSTIIIAKIDVTANDIQLMYLDRYPFFRLFPSGSQQAVLYKGEHTLKGFSDFLESHIKTKIEDEDELLSVEQNEVIEEEVLAEEKEVPMMRKGLPEQQSPELENMTKYVSKLEEPAGKKKTSEEVVVVVAKPKGPPVQKKKPKVKEEL
+>DECOY_sp|Q8N807|PDILT_HUMAN Protein disulfide-isomerase-like protein of the testis OS=Homo sapiens OX=9606 GN=PDILT PE=1 SV=2
+LEEKVKPKKKQVPPGKPKAVVVVVEESTKKKGAPEELKSVYKTMNELEPSQQEPLGKRMMPVEKEEALVEEEIVENQEVSLLEDEDEIKTKIHSELFDSFGKLTHEGKYLVAQQSGSPFLRFFPYRDLYMLQIDNATVDIKAIIITSHNQYKRGLEELLPFLMKCKKSWPAYFMVFVDKEKDFVVVNFNKGVLQKVLGQDWYKPIEESSQHKTANKSLFSRGFKKLSEYTIDDSPMKYRADSSLNLIQVSPIDVETVRFYKFVRGNRPEDADVLIFLIKNQFEKSALKYHQIIIGYSESSKSVFLLMHSMIHLESILDKNETNYEIVFDTLHQKIVRNLEQKNTSDNILKQRNVIKGKKFVLVSDLTVHFRGIVNGITIVGFTLEPFDKIVDYFLEAVEEELDQFFGVIVLPRSIVFEAVQESSNFLFAKQSIQRRLWVVLAASEVVGKCSIPESRNGEFFLKLEPAKTIGFEQQLEKEITIDVKGFGIGNKGKGMIEVAKGLEEALNRSQKSSPNHFLVMLFRTQNLMQTLGAPTLVLLSREELIHVPKTIHISSVGANVEPSSHVASVCAAVLLLPMWLLDM
+>sp|Q15119|PDK2_HUMAN [Pyruvate dehydrogenase (acetyl-transferring)] kinase isozyme 2, mitochondrial OS=Homo sapiens OX=9606 GN=PDK2 PE=1 SV=2
+MRWVWALLKNASLAGAPKYIEHFSKFSPSPLSMKQFLDFGSSNACEKTSFTFLRQELPVRLANIMKEINLLPDRVLSTPSVQLVQSWYVQSLLDIMEFLDKDPEDHRTLSQFTDALVTIRNRHNDVVPTMAQGVLEYKDTYGDDPVSNQNIQYFLDRFYLSRISIRMLINQHTLIFDGSTNPAHPKHIGSIDPNCNVSEVVKDAYDMAKLLCDKYYMASPDLEIQEINAANSKQPIHMVYVPSHLYHMLFELFKNAMRATVESHESSLILPPIKVMVALGEEDLSIKMSDRGGGVPLRKIERLFSYMYSTAPTPQPGTGGTPLAGFGYGLPISRLYAKYFQGDLQLFSMEGFGTDAVIYLKALSTDSVERLPVYNKSAWRHYQTIQEAGDWCVPSTEPKNTSTYRVS
+>DECOY_sp|Q15119|PDK2_HUMAN [Pyruvate dehydrogenase (acetyl-transferring)] kinase isozyme 2, mitochondrial OS=Homo sapiens OX=9606 GN=PDK2 PE=1 SV=2
+SVRYTSTNKPETSPVCWDGAEQITQYHRWASKNYVPLREVSDTSLAKLYIVADTGFGEMSFLQLDGQFYKAYLRSIPLGYGFGALPTGGTGPQPTPATSYMYSFLREIKRLPVGGGRDSMKISLDEEGLAVMVKIPPLILSSEHSEVTARMANKFLEFLMHYLHSPVYVMHIPQKSNAANIEQIELDPSAMYYKDCLLKAMDYADKVVESVNCNPDISGIHKPHAPNTSGDFILTHQNILMRISIRSLYFRDLFYQINQNSVPDDGYTDKYELVGQAMTPVVDNHRNRITVLADTFQSLTRHDEPDKDLFEMIDLLSQVYWSQVLQVSPTSLVRDPLLNIEKMINALRVPLEQRLFTFSTKECANSSGFDLFQKMSLPSPSFKSFHEIYKPAGALSANKLLAWVWRM
+>sp|O00151|PDLI1_HUMAN PDZ and LIM domain protein 1 OS=Homo sapiens OX=9606 GN=PDLIM1 PE=1 SV=4
+MTTQQIDLQGPGPWGFRLVGGKDFEQPLAISRVTPGSKAALANLCIGDVITAIDGENTSNMTHLEAQNRIKGCTDNLTLTVARSEHKVWSPLVTEEGKRHPYKMNLASEPQEVLHIGSAHNRSAMPFTASPASSTTARVITNQYNNPAGLYSSENISNFNNALESKTAASGVEANSRPLDHAQPPSSLVIDKESEVYKMLQEKQELNEPPKQSTSFLVLQEILESEEKGDPNKPSGFRSVKAPVTKVAASIGNAQKLPMCDKCGTGIVGVFVKLRDRHRHPECYVCTDCGTNLKQKGHFFVEDQIYCEKHARERVTPPEGYEVVTVFPK
+>DECOY_sp|O00151|PDLI1_HUMAN PDZ and LIM domain protein 1 OS=Homo sapiens OX=9606 GN=PDLIM1 PE=1 SV=4
+KPFVTVVEYGEPPTVRERAHKECYIQDEVFFHGKQKLNTGCDTCVYCEPHRHRDRLKVFVGVIGTGCKDCMPLKQANGISAAVKTVPAKVSRFGSPKNPDGKEESELIEQLVLFSTSQKPPENLEQKEQLMKYVESEKDIVLSSPPQAHDLPRSNAEVGSAATKSELANNFNSINESSYLGAPNNYQNTIVRATTSSAPSATFPMASRNHASGIHLVEQPESALNMKYPHRKGEETVLPSWVKHESRAVTLTLNDTCGKIRNQAELHTMNSTNEGDIATIVDGICLNALAAKSGPTVRSIALPQEFDKGGVLRFGWPGPGQLDIQQTTM
+>sp|P01213|PDYN_HUMAN Proenkephalin-B OS=Homo sapiens OX=9606 GN=PDYN PE=1 SV=1
+MAWQGLVLAACLLMFPSTTADCLSRCSLCAVKTQDGPKPINPLICSLQCQAALLPSEEWERCQSFLSFFTPSTLGLNDKEDLGSKSVGEGPYSELAKLSGSFLKELEKSKFLPSISTKENTLSKSLEEKLRGLSDGFREGAESELMRDAQLNDGAMETGTLYLAEEDPKEQVKRYGGFLRKYPKRSSEVAGEGDGDSMGHEDLYKRYGGFLRRIRPKLKWDNQKRYGGFLRRQFKVVTRSQEDPNAYSGELFDA
+>DECOY_sp|P01213|PDYN_HUMAN Proenkephalin-B OS=Homo sapiens OX=9606 GN=PDYN PE=1 SV=1
+ADFLEGSYANPDEQSRTVVKFQRRLFGGYRKQNDWKLKPRIRRLFGGYRKYLDEHGMSDGDGEGAVESSRKPYKRLFGGYRKVQEKPDEEALYLTGTEMAGDNLQADRMLESEAGERFGDSLGRLKEELSKSLTNEKTSISPLFKSKELEKLFSGSLKALESYPGEGVSKSGLDEKDNLGLTSPTFFSLFSQCREWEESPLLAAQCQLSCILPNIPKPGDQTKVACLSCRSLCDATTSPFMLLCAALVLGQWAM
+>sp|Q96S96|PEBP4_HUMAN Phosphatidylethanolamine-binding protein 4 OS=Homo sapiens OX=9606 GN=PEBP4 PE=1 SV=3
+MGWTMRLVTAALLLGLMMVVTGDEDENSPCAHEALLDEDTLFCQGLEVFYPELGNIGCKVVPDCNNYRQKITSWMEPIVKFPGAVDGATYILVMVDPDAPSRAEPRQRFWRHWLVTDIKGADLKKGKIQGQELSAYQAPSPPAHSGFHRYQFFVYLQEGKVISLLPKENKTRGSWKMDRFLNRFHLGEPEASTQFMTQNYQDSPTLQAPRERASEPKHKNQAEIAAC
+>DECOY_sp|Q96S96|PEBP4_HUMAN Phosphatidylethanolamine-binding protein 4 OS=Homo sapiens OX=9606 GN=PEBP4 PE=1 SV=3
+CAAIEAQNKHKPESARERPAQLTPSDQYNQTMFQTSAEPEGLHFRNLFRDMKWSGRTKNEKPLLSIVKGEQLYVFFQYRHFGSHAPPSPAQYASLEQGQIKGKKLDAGKIDTVLWHRWFRQRPEARSPADPDVMVLIYTAGDVAGPFKVIPEMWSTIKQRYNNCDPVVKCGINGLEPYFVELGQCFLTDEDLLAEHACPSNEDEDGTVVMMLGLLLAATVLRMTWGM
+>sp|Q9Y5Y5|PEX16_HUMAN Peroxisomal membrane protein PEX16 OS=Homo sapiens OX=9606 GN=PEX16 PE=1 SV=2
+MEKLRLLGLRYQEYVTRHPAATAQLETAVRGFSYLLAGRFADSHELSELVYSASNLLVLLNDGILRKELRKKLPVSLSQQKLLTWLSVLECVEVFMEMGAAKVWGEVGRWLVIALVQLAKAVLRMLLLLWFKAGLQTSPPIVPLDRETQAQPPDGDHSPGNHEQSYVGKRSNRVVRTLQNTPSLHSRHWGAPQQREGRQQQHHEELSATPTPLGLQETIAEFLYIARPLLHLLSLGLWGQRSWKPWLLAGVVDVTSLSLLSDRKGLTRRERRELRRRTILLLYYLLRSPFYDRFSEARILFLLQLLADHVPGVGLVTRPLMDYLPTWQKIYFYSWG
+>DECOY_sp|Q9Y5Y5|PEX16_HUMAN Peroxisomal membrane protein PEX16 OS=Homo sapiens OX=9606 GN=PEX16 PE=1 SV=2
+GWSYFYIKQWTPLYDMLPRTVLGVGPVHDALLQLLFLIRAESFRDYFPSRLLYYLLLITRRRLERRERRTLGKRDSLLSLSTVDVVGALLWPKWSRQGWLGLSLLHLLPRAIYLFEAITEQLGLPTPTASLEEHHQQQRGERQQPAGWHRSHLSPTNQLTRVVRNSRKGVYSQEHNGPSHDGDPPQAQTERDLPVIPPSTQLGAKFWLLLLMRLVAKALQVLAIVLWRGVEGWVKAAGMEMFVEVCELVSLWTLLKQQSLSVPLKKRLEKRLIGDNLLVLLNSASYVLESLEHSDAFRGALLYSFGRVATELQATAAPHRTVYEQYRLGLLRLKEM
+>sp|Q96BD5|PF21A_HUMAN PHD finger protein 21A OS=Homo sapiens OX=9606 GN=PHF21A PE=1 SV=1
+MELQTLQEALKVEIQVHQKLVAQMKQDPQNADLKKQLHELQAKITALSEKQKRVVEQLRKNLIVKQEQPDKFQIQPLPQSENKLQTAQQQPLQQLQQQQQYHHHHAQQSAAASPNLTASQKTVTTASMITTKTLPLVLKAATATMPASVVGQRPTIAMVTAINSQKAVLSTDVQNTPVNLQTSSKVTGPGAEAVQIVAKNTVTLVQATPPQPIKVPQFIPPPRLTPRPNFLPQVRPKPVAQNNIPIAPAPPPMLAAPQLIQRPVMLTKFTPTTLPTSQNSIHPVRVVNGQTATIAKTFPMAQLTSIVIATPGTRLAGPQTVQLSKPSLEKQTVKSHTETDEKQTESRTITPPAAPKPKREENPQKLAFMVSLGLVTHDHLEEIQSKRQERKRRTTANPVYSGAVFEPERKKSAVTYLNSTMHPGTRKRGRPPKYNAVLGFGALTPTSPQSSHPDSPENEKTETTFTFPAPVQPVSLPSPTSTDGDIHEDFCSVCRKSGQLLMCDTCSRVYHLDCLDPPLKTIPKGMWICPRCQDQMLKKEEAIPWPGTLAIVHSYIAYKAAKEEEKQKLLKWSSDLKQEREQLEQKVKQLSNSISKCMEMKNTILARQKEMHSSLEKVKQLIRLIHGIDLSKPVDSEATVGAISNGPDCTPPANAATSTPAPSPSSQSCTANCNQGEETK
+>DECOY_sp|Q96BD5|PF21A_HUMAN PHD finger protein 21A OS=Homo sapiens OX=9606 GN=PHF21A PE=1 SV=1
+KTEEGQNCNATCSQSSPSPAPTSTAANAPPTCDPGNSIAGVTAESDVPKSLDIGHILRILQKVKELSSHMEKQRALITNKMEMCKSISNSLQKVKQELQEREQKLDSSWKLLKQKEEEKAAKYAIYSHVIALTGPWPIAEEKKLMQDQCRPCIWMGKPITKLPPDLCDLHYVRSCTDCMLLQGSKRCVSCFDEHIDGDTSTPSPLSVPQVPAPFTFTTETKENEPSDPHSSQPSTPTLAGFGLVANYKPPRGRKRTGPHMTSNLYTVASKKREPEFVAGSYVPNATTRRKREQRKSQIEELHDHTVLGLSVMFALKQPNEERKPKPAAPPTITRSETQKEDTETHSKVTQKELSPKSLQVTQPGALRTGPTAIVISTLQAMPFTKAITATQGNVVRVPHISNQSTPLTTPTFKTLMVPRQILQPAALMPPPAPAIPINNQAVPKPRVQPLFNPRPTLRPPPIFQPVKIPQPPTAQVLTVTNKAVIQVAEAGPGTVKSSTQLNVPTNQVDTSLVAKQSNIATVMAITPRQGVVSAPMTATAAKLVLPLTKTTIMSATTVTKQSATLNPSAAASQQAHHHHYQQQQQLQQLPQQQATQLKNESQPLPQIQFKDPQEQKVILNKRLQEVVRKQKESLATIKAQLEHLQKKLDANQPDQKMQAVLKQHVQIEVKLAEQLTQLEM
+>sp|P43088|PF2R_HUMAN Prostaglandin F2-alpha receptor OS=Homo sapiens OX=9606 GN=PTGFR PE=1 SV=1
+MSMNNSKQLVSPAAALLSNTTCQTENRLSVFFSVIFMTVGILSNSLAIAILMKAYQRFRQKSKASFLLLASGLVITDFFGHLINGAIAVFVYASDKEWIRFDQSNVLCSIFGICMVFSGLCPLLLGSVMAIERCIGVTKPIFHSTKITSKHVKMMLSGVCLFAVFIALLPILGHRDYKIQASRTWCFYNTEDIKDWEDRFYLLLFSFLGLLALGVSLLCNAITGITLLRVKFKSQQHRQGRSHHLEMVIQLLAIMCVSCICWSPFLVTMANIGINGNHSLETCETTLFALRMATWNQILDPWVYILLRKAVLKNLYKLASQCCGVHVISLHIWELSSIKNSLKVAAISESPVAEKSAST
+>DECOY_sp|P43088|PF2R_HUMAN Prostaglandin F2-alpha receptor OS=Homo sapiens OX=9606 GN=PTGFR PE=1 SV=1
+TSASKEAVPSESIAAVKLSNKISSLEWIHLSIVHVGCCQSALKYLNKLVAKRLLIYVWPDLIQNWTAMRLAFLTTECTELSHNGNIGINAMTVLFPSWCICSVCMIALLQIVMELHHSRGQRHQQSKFKVRLLTIGTIANCLLSVGLALLGLFSFLLLYFRDEWDKIDETNYFCWTRSAQIKYDRHGLIPLLAIFVAFLCVGSLMMKVHKSTIKTSHFIPKTVGICREIAMVSGLLLPCLGSFVMCIGFISCLVNSQDFRIWEKDSAYVFVAIAGNILHGFFDTIVLGSALLLFSAKSKQRFRQYAKMLIAIALSNSLIGVTMFIVSFFVSLRNETQCTTNSLLAAAPSVLQKSNNMSM
+>sp|Q9NQP4|PFD4_HUMAN Prefoldin subunit 4 OS=Homo sapiens OX=9606 GN=PFDN4 PE=1 SV=1
+MAATMKKAAAEDVNVTFEDQQKINKFARNTSRITELKEEIEVKKKQLQNLEDACDDIMLADDDCLMIPYQIGDVFISHSQEETQEMLEEAKKNLQEEIDALESRVESIQRVLADLKVQLYAKFGSNINLEADES
+>DECOY_sp|Q9NQP4|PFD4_HUMAN Prefoldin subunit 4 OS=Homo sapiens OX=9606 GN=PFDN4 PE=1 SV=1
+SEDAELNINSGFKAYLQVKLDALVRQISEVRSELADIEEQLNKKAEELMEQTEEQSHSIFVDGIQYPIMLCDDDALMIDDCADELNQLQKKKVEIEEKLETIRSTNRAFKNIKQQDEFTVNVDEAAAKKMTAAM
+>sp|P15428|PGDH_HUMAN 15-hydroxyprostaglandin dehydrogenase [NAD(+)] OS=Homo sapiens OX=9606 GN=HPGD PE=1 SV=1
+MHVNGKVALVTGAAQGIGRAFAEALLLKGAKVALVDWNLEAGVQCKAALDEQFEPQKTLFIQCDVADQQQLRDTFRKVVDHFGRLDILVNNAGVNNEKNWEKTLQINLVSVISGTYLGLDYMSKQNGGEGGIIINMSSLAGLMPVAQQPVYCASKHGIVGFTRSAALAANLMNSGVRLNAICPGFVNTAILESIEKEENMGQYIEYKDHIKDMIKYYGILDPPLIANGLITLIEDDALNGAIMKITTSKGIHFQDYDTTPFQAKTQ
+>DECOY_sp|P15428|PGDH_HUMAN 15-hydroxyprostaglandin dehydrogenase [NAD(+)] OS=Homo sapiens OX=9606 GN=HPGD PE=1 SV=1
+QTKAQFPTTDYDQFHIGKSTTIKMIAGNLADDEILTILGNAILPPDLIGYYKIMDKIHDKYEIYQGMNEEKEISELIATNVFGPCIANLRVGSNMLNAALAASRTFGVIGHKSACYVPQQAVPMLGALSSMNIIIGGEGGNQKSMYDLGLYTGSIVSVLNIQLTKEWNKENNVGANNVLIDLRGFHDVVKRFTDRLQQQDAVDCQIFLTKQPEFQEDLAAKCQVGAELNWDVLAVKAGKLLLAEAFARGIGQAAGTVLAVKGNVHM
+>sp|Q9H7Z7|PGES2_HUMAN Prostaglandin E synthase 2 OS=Homo sapiens OX=9606 GN=PTGES2 PE=1 SV=1
+MDPAARVVRALWPGGCALAWRLGGRPQPLLPTQSRAGFAGAAGGPSPVAAARKGSPRLLGAAALALGGALGLYHTARWHLRAQDLHAERSAAQLSLSSRLQLTLYQYKTCPFCSKVRAFLDFHALPYQVVEVNPVRRAEIKFSSYRKVPILVAQEGESSQQLNDSSVIISALKTYLVSGQPLEEIITYYPAMKAVNEQGKEVTEFGNKYWLMLNEKEAQQVYGGKEARTEEMKWRQWADDWLVHLISPNVYRTPTEALASFDYIVREGKFGAVEGAVAKYMGAAAMYLISKRLKSRHRLQDNVREDLYEAADKWVAAVGKDRPFMGGQKPNLADLAVYGVLRVMEGLDAFDDLMQHTHIQPWYLRVERAITEASPAH
+>DECOY_sp|Q9H7Z7|PGES2_HUMAN Prostaglandin E synthase 2 OS=Homo sapiens OX=9606 GN=PTGES2 PE=1 SV=1
+HAPSAETIAREVRLYWPQIHTHQMLDDFADLGEMVRLVGYVALDALNPKQGGMFPRDKGVAAVWKDAAEYLDERVNDQLRHRSKLRKSILYMAAAGMYKAVAGEVAGFKGERVIYDFSALAETPTRYVNPSILHVLWDDAWQRWKMEETRAEKGGYVQQAEKENLMLWYKNGFETVEKGQENVAKMAPYYTIIEELPQGSVLYTKLASIIVSSDNLQQSSEGEQAVLIPVKRYSSFKIEARRVPNVEVVQYPLAHFDLFARVKSCFPCTKYQYLTLQLRSSLSLQAASREAHLDQARLHWRATHYLGLAGGLALAAAGLLRPSGKRAAAVPSPGGAAGAFGARSQTPLLPQPRGGLRWALACGGPWLARVVRAAPDM
+>sp|P16234|PGFRA_HUMAN Platelet-derived growth factor receptor alpha OS=Homo sapiens OX=9606 GN=PDGFRA PE=1 SV=1
+MGTSHPAFLVLGCLLTGLSLILCQLSLPSILPNENEKVVQLNSSFSLRCFGESEVSWQYPMSEEESSDVEIRNEENNSGLFVTVLEVSSASAAHTGLYTCYYNHTQTEENELEGRHIYIYVPDPDVAFVPLGMTDYLVIVEDDDSAIIPCRTTDPETPVTLHNSEGVVPASYDSRQGFNGTFTVGPYICEATVKGKKFQTIPFNVYALKATSELDLEMEALKTVYKSGETIVVTCAVFNNEVVDLQWTYPGEVKGKGITMLEEIKVPSIKLVYTLTVPEATVKDSGDYECAARQATREVKEMKKVTISVHEKGFIEIKPTFSQLEAVNLHEVKHFVVEVRAYPPPRISWLKNNLTLIENLTEITTDVEKIQEIRYRSKLKLIRAKEEDSGHYTIVAQNEDAVKSYTFELLTQVPSSILDLVDDHHGSTGGQTVRCTAEGTPLPDIEWMICKDIKKCNNETSWTILANNVSNIITEIHSRDRSTVEGRVTFAKVEETIAVRCLAKNLLGAENRELKLVAPTLRSELTVAAAVLVLLVIVIISLIVLVVIWKQKPRYEIRWRVIESISPDGHEYIYVDPMQLPYDSRWEFPRDGLVLGRVLGSGAFGKVVEGTAYGLSRSQPVMKVAVKMLKPTARSSEKQALMSELKIMTHLGPHLNIVNLLGACTKSGPIYIITEYCFYGDLVNYLHKNRDSFLSHHPEKPKKELDIFGLNPADESTRSYVILSFENNGDYMDMKQADTTQYVPMLERKEVSKYSDIQRSLYDRPASYKKKSMLDSEVKNLLSDDNSEGLTLLDLLSFTYQVARGMEFLASKNCVHRDLAARNVLLAQGKIVKICDFGLARDIMHDSNYVSKGSTFLPVKWMAPESIFDNLYTTLSDVWSYGILLWEIFSLGGTPYPGMMVDSTFYNKIKSGYRMAKPDHATSEVYEIMVKCWNSEPEKRPSFYHLSEIVENLLPGQYKKSYEKIHLDFLKSDHPAVARMRVDSDNAYIGVTYKNEEDKLKDWEGGLDEQRLSADSGYIIPLPDIDPVPEEEDLGKRNRHSSQTSEESAIETGSSSSTFIKREDETIEDIDMMDDIGIDSSDLVEDSFL
+>DECOY_sp|P16234|PGFRA_HUMAN Platelet-derived growth factor receptor alpha OS=Homo sapiens OX=9606 GN=PDGFRA PE=1 SV=1
+LFSDEVLDSSDIGIDDMMDIDEITEDERKIFTSSSSGTEIASEESTQSSHRNRKGLDEEEPVPDIDPLPIIYGSDASLRQEDLGGEWDKLKDEENKYTVGIYANDSDVRMRAVAPHDSKLFDLHIKEYSKKYQGPLLNEVIESLHYFSPRKEPESNWCKVMIEYVESTAHDPKAMRYGSKIKNYFTSDVMMGPYPTGGLSFIEWLLIGYSWVDSLTTYLNDFISEPAMWKVPLFTSGKSVYNSDHMIDRALGFDCIKVIKGQALLVNRAALDRHVCNKSALFEMGRAVQYTFSLLDLLTLGESNDDSLLNKVESDLMSKKKYSAPRDYLSRQIDSYKSVEKRELMPVYQTTDAQKMDMYDGNNEFSLIVYSRTSEDAPNLGFIDLEKKPKEPHHSLFSDRNKHLYNVLDGYFCYETIIYIPGSKTCAGLLNVINLHPGLHTMIKLESMLAQKESSRATPKLMKVAVKMVPQSRSLGYATGEVVKGFAGSGLVRGLVLGDRPFEWRSDYPLQMPDVYIYEHGDPSISEIVRWRIEYRPKQKWIVVLVILSIIVIVLLVLVAAAVTLESRLTPAVLKLERNEAGLLNKALCRVAITEEVKAFTVRGEVTSRDRSHIETIINSVNNALITWSTENNCKKIDKCIMWEIDPLPTGEATCRVTQGGTSGHHDDVLDLISSPVQTLLEFTYSKVADENQAVITYHGSDEEKARILKLKSRYRIEQIKEVDTTIETLNEILTLNNKLWSIRPPPYARVEVVFHKVEHLNVAELQSFTPKIEIFGKEHVSITVKKMEKVERTAQRAACEYDGSDKVTAEPVTLTYVLKISPVKIEELMTIGKGKVEGPYTWQLDVVENNFVACTVVITEGSKYVTKLAEMELDLESTAKLAYVNFPITQFKKGKVTAECIYPGVTFTGNFGQRSDYSAPVVGESNHLTVPTEPDTTRCPIIASDDDEVIVLYDTMGLPVFAVDPDPVYIYIHRGELENEETQTHNYYCTYLGTHAASASSVELVTVFLGSNNEENRIEVDSSEEESMPYQWSVESEGFCRLSFSSNLQVVKENENPLISPLSLQCLILSLGTLLCGLVLFAPHSTGM
+>sp|P09619|PGFRB_HUMAN Platelet-derived growth factor receptor beta OS=Homo sapiens OX=9606 GN=PDGFRB PE=1 SV=1
+MRLPGAMPALALKGELLLLSLLLLLEPQISQGLVVTPPGPELVLNVSSTFVLTCSGSAPVVWERMSQEPPQEMAKAQDGTFSSVLTLTNLTGLDTGEYFCTHNDSRGLETDERKRLYIFVPDPTVGFLPNDAEELFIFLTEITEITIPCRVTDPQLVVTLHEKKGDVALPVPYDHQRGFSGIFEDRSYICKTTIGDREVDSDAYYVYRLQVSSINVSVNAVQTVVRQGENITLMCIVIGNEVVNFEWTYPRKESGRLVEPVTDFLLDMPYHIRSILHIPSAELEDSGTYTCNVTESVNDHQDEKAINITVVESGYVRLLGEVGTLQFAELHRSRTLQVVFEAYPPPTVLWFKDNRTLGDSSAGEIALSTRNVSETRYVSELTLVRVKVAEAGHYTMRAFHEDAEVQLSFQLQINVPVRVLELSESHPDSGEQTVRCRGRGMPQPNIIWSACRDLKRCPRELPPTLLGNSSEEESQLETNVTYWEEEQEFEVVSTLRLQHVDRPLSVRCTLRNAVGQDTQEVIVVPHSLPFKVVVISAILALVVLTIISLIILIMLWQKKPRYEIRWKVIESVSSDGHEYIYVDPMQLPYDSTWELPRDQLVLGRTLGSGAFGQVVEATAHGLSHSQATMKVAVKMLKSTARSSEKQALMSELKIMSHLGPHLNVVNLLGACTKGGPIYIITEYCRYGDLVDYLHRNKHTFLQHHSDKRRPPSAELYSNALPVGLPLPSHVSLTGESDGGYMDMSKDESVDYVPMLDMKGDVKYADIESSNYMAPYDNYVPSAPERTCRATLINESPVLSYMDLVGFSYQVANGMEFLASKNCVHRDLAARNVLICEGKLVKICDFGLARDIMRDSNYISKGSTFLPLKWMAPESIFNSLYTTLSDVWSFGILLWEIFTLGGTPYPELPMNEQFYNAIKRGYRMAQPAHASDEIYEIMQKCWEEKFEIRPPFSQLVLLLERLLGEGYKKKYQQVDEEFLRSDHPAILRSQARLPGFHGLRSPLDTSSVLYTAVQPNEGDNDYIIPLPDPKPEVADEGPLEGSPSLASSTLNEVNTSSTISCDSPLEPQDEPEPEPQLELQVEPEPELEQLPDSGCPAPRAEAEDSFL
+>DECOY_sp|P09619|PGFRB_HUMAN Platelet-derived growth factor receptor beta OS=Homo sapiens OX=9606 GN=PDGFRB PE=1 SV=1
+LFSDEAEARPAPCGSDPLQELEPEPEVQLELQPEPEPEDQPELPSDCSITSSTNVENLTSSALSPSGELPGEDAVEPKPDPLPIIYDNDGENPQVATYLVSSTDLPSRLGHFGPLRAQSRLIAPHDSRLFEEDVQQYKKKYGEGLLRELLLVLQSFPPRIEFKEEWCKQMIEYIEDSAHAPQAMRYGRKIANYFQENMPLEPYPTGGLTFIEWLLIGFSWVDSLTTYLSNFISEPAMWKLPLFTSGKSIYNSDRMIDRALGFDCIKVLKGECILVNRAALDRHVCNKSALFEMGNAVQYSFGVLDMYSLVPSENILTARCTREPASPVYNDYPAMYNSSEIDAYKVDGKMDLMPVYDVSEDKSMDMYGGDSEGTLSVHSPLPLGVPLANSYLEASPPRRKDSHHQLFTHKNRHLYDVLDGYRCYETIIYIPGGKTCAGLLNVVNLHPGLHSMIKLESMLAQKESSRATSKLMKVAVKMTAQSHSLGHATAEVVQGFAGSGLTRGLVLQDRPLEWTSDYPLQMPDVYIYEHGDSSVSEIVKWRIEYRPKKQWLMILIILSIITLVVLALIASIVVVKFPLSHPVVIVEQTDQGVANRLTCRVSLPRDVHQLRLTSVVEFEQEEEWYTVNTELQSEEESSNGLLTPPLERPCRKLDRCASWIINPQPMGRGRCRVTQEGSDPHSESLELVRVPVNIQLQFSLQVEADEHFARMTYHGAEAVKVRVLTLESVYRTESVNRTSLAIEGASSDGLTRNDKFWLVTPPPYAEFVVQLTRSRHLEAFQLTGVEGLLRVYGSEVVTINIAKEDQHDNVSETVNCTYTGSDELEASPIHLISRIHYPMDLLFDTVPEVLRGSEKRPYTWEFNVVENGIVICMLTINEGQRVVTQVANVSVNISSVQLRYVYYADSDVERDGITTKCIYSRDEFIGSFGRQHDYPVPLAVDGKKEHLTVVLQPDTVRCPITIETIETLFIFLEEADNPLFGVTPDPVFIYLRKREDTELGRSDNHTCFYEGTDLGTLNTLTLVSSFTGDQAKAMEQPPEQSMREWVVPASGSCTLVFTSSVNLVLEPGPPTVVLGQSIQPELLLLLSLLLLEGKLALAPMAGPLRM
+>sp|P00558|PGK1_HUMAN Phosphoglycerate kinase 1 OS=Homo sapiens OX=9606 GN=PGK1 PE=1 SV=3
+MSLSNKLTLDKLDVKGKRVVMRVDFNVPMKNNQITNNQRIKAAVPSIKFCLDNGAKSVVLMSHLGRPDGVPMPDKYSLEPVAVELKSLLGKDVLFLKDCVGPEVEKACANPAAGSVILLENLRFHVEEEGKGKDASGNKVKAEPAKIEAFRASLSKLGDVYVNDAFGTAHRAHSSMVGVNLPQKAGGFLMKKELNYFAKALESPERPFLAILGGAKVADKIQLINNMLDKVNEMIIGGGMAFTFLKVLNNMEIGTSLFDEEGAKIVKDLMSKAEKNGVKITLPVDFVTADKFDENAKTGQATVASGIPAGWMGLDCGPESSKKYAEAVTRAKQIVWNGPVGVFEWEAFARGTKALMDEVVKATSRGCITIIGGGDTATCCAKWNTEDKVSHVSTGGGASLELLEGKVLPGVDALSNI
+>DECOY_sp|P00558|PGK1_HUMAN Phosphoglycerate kinase 1 OS=Homo sapiens OX=9606 GN=PGK1 PE=1 SV=3
+INSLADVGPLVKGELLELSAGGGTSVHSVKDETNWKACCTATDGGGIITICGRSTAKVVEDMLAKTGRAFAEWEFVGVPGNWVIQKARTVAEAYKKSSEPGCDLGMWGAPIGSAVTAQGTKANEDFKDATVFDVPLTIKVGNKEAKSMLDKVIKAGEEDFLSTGIEMNNLVKLFTFAMGGGIIMENVKDLMNNILQIKDAVKAGGLIALFPREPSELAKAFYNLEKKMLFGGAKQPLNVGVMSSHARHATGFADNVYVDGLKSLSARFAEIKAPEAKVKNGSADKGKGEEEVHFRLNELLIVSGAAPNACAKEVEPGVCDKLFLVDKGLLSKLEVAVPELSYKDPMPVGDPRGLHSMLVVSKAGNDLCFKISPVAAKIRQNNTIQNNKMPVNFDVRMVVRKGKVDLKDLTLKNSLSM
+>sp|Q7Z2X4|PCLI1_HUMAN PTB-containing, cubilin and LRP1-interacting protein OS=Homo sapiens OX=9606 GN=PID1 PE=1 SV=1
+MFSLPLSLPLCEDTAFLPSKCCSSHKTIKQARTLIMIFLASGTHFQTMLKSKLNVLTLKKEPLPAVIFHEPEAIELCTTTPLMKTRTHSGCKVTYLGKVSTTGMQFLSGCTEKPVIELWKKHTLAREDVFPANALLEIRPFQVWLHHLDHKGEATVHMDTFQVARIAYCTADHNVSPNIFAWVYREINDDLSYQMDCHAVECESKLEAKKLAHAMMEAFRKTFHSMKSDGRIHSNSSSEEVSQELESDDG
+>DECOY_sp|Q7Z2X4|PCLI1_HUMAN PTB-containing, cubilin and LRP1-interacting protein OS=Homo sapiens OX=9606 GN=PID1 PE=1 SV=1
+GDDSELEQSVEESSSNSHIRGDSKMSHFTKRFAEMMAHALKKAELKSECEVAHCDMQYSLDDNIERYVWAFINPSVNHDATCYAIRAVQFTDMHVTAEGKHDLHHLWVQFPRIELLANAPFVDERALTHKKWLEIVPKETCGSLFQMGTTSVKGLYTVKCGSHTRTKMLPTTTCLEIAEPEHFIVAPLPEKKLTLVNLKSKLMTQFHTGSALFIMILTRAQKITKHSSCCKSPLFATDECLPLSLPLSFM
+>sp|Q9Y6V0|PCLO_HUMAN Protein piccolo OS=Homo sapiens OX=9606 GN=PCLO PE=1 SV=5
+MGNEASLEGEGLPEGLAAAAAAGGGASGAGSPSHTAIPAGMEADLSQLSEEERRQIAAVMSRAQGLPKGSVPPAAAESPSMHRKQELDSSHPPKQSGRPPDPGRPAQPGLSKSRTTDTFRSEQKLPGRSPSTISLKESKSRTDLKEEHKSSMMPGFLSEVNALSAVSSVVNKFNPFDLISDSEASQEETTKKQKVVQKEQGKPEGIIKPPLQQQPPKPIPKQQGPGRDPLQQDGTPKSISSQQPEKIKSQPPGTGKPIQGPTQTPQTDHAKLPLQRDASRPQTKQADIVRGESVKPSLPSPSKPPIQQPTPGKPPAQQPGHEKSQPGPAKPPAQPSGLTKPLAQQPGTVKPPVQPPGTTKPPAQPLGPAKPPAQQTGSEKPSSEQPGPKALAQPPGVGKTPAQQPGPAKPPTQQVGTPKPLAQQPGLQSPAKAPGPTKTPVQQPGPGKIPAQQAGPGKTSAQQTGPTKPPSQLPGPAKPPPQQPGPAKPPPQQPGSAKPPSQQPGSTKPPPQQPGPAKPSPQQPGSTKPPSQQPGSAKPSAQQPSPAKPSAQQSTKPVSQTGSGKPLQPPTVSPSAKQPPSQGLPKTICPLCNTTELLLHVPEKANFNTCTECQTTVCSLCGFNPNPHLTEVKEWLCLNCQMKRALGGDLAPVPSSPQPKLKTAPVTTTSAVSKSSPQPQQTSPKKDAAPKQDLSKAPEPKKPPPLVKQPTLHGSPSAKAKQPPEADSLSKPAPPKEPSVPSEQDKAPVADDKPKQPKMVKPTTDLVSSSSATTKPDIPSSKVQSQAEEKTTPPLKTDSAKPSQSFPPTGEKVSPFDSKAIPRPASDSKIISHPGPSSESKGQKQVDPVQKKEEPKKAQTKMSPKPDAKPMPKGSPTPPGPRPTAGQTVPTPQQSPKPQEQSRRFSLNLGSITDAPKSQPTTPQETVTGKLFGFGASIFSQASNLISTAGQPGPHSQSGPGAPMKQAPAPSQPPTSQGPPKSTGQAPPAPAKSIPVKKETKAPAAEKLEPKAEQAPTVKRTETEKKPPPIKDSKSLTAEPQKAVLPTKLEKSPKPESTCPLCKTELNIGSKDPPNFNTCTECKNQVCNLCGFNPTPHLTEIQEWLCLNCQTQRAISGQLGDIRKMPPAPSGPKASPMPVPTESSSQKTAVPPQVKLVKKQEQEVKTEAEKVILEKVKETLSMEKIPPMVTTDQKQEESKLEKDKASALQEKKPLPEEKKLIPEEEKIRSEEKKPLLEEKKPTPEDKKLLPEAKTSAPEEQKHDLLKSQVQIAEEKLEGRVAPKTVQEGKQPQTKMEGLPSGTPQSLPKEDDKTTKTIKEQPQPPCTAKPDQVEPGKEKTEKEDDKSDTSSSQQPKSPQGLSDTGYSSDGISSSLGEIPSLIPTDEKDILKGLKKDSFSQESSPSSPSDLAKLESTVLSILEAQASTLADEKSEKKTQPHEVSPEQPKDQEKTQSLSETLEITISEEEIKESQEERKDTFKKDSQQDIPSSKDHKEKSEFVDDITTRREPYDSVEESSESENSPVPQRKRRTSVGSSSSDEYKQEDSQGSGEEEDFIRKQIIEMSADEDASGSEDDEFIRNQLKEISSSTESQKKEETKGKGKITAGKHRRLTRKSSTSIDEDAGRRHSWHDEDDEAFDESPELKYRETKSQESEELVVTGGGGLRRFKTIELNSTIADKYSAESSQKKTSLYFDEEPELEMESLTDSPEDRSRGEGSSSLHASSFTPGTSPTSVSSLDEDSDSSPSHKKGESKQQRKARHRPHGPLLPTIEDSSEEEELREEEELLKEQEKQREIEQQQRKSSSKKSKKDKDELRAQRRRERPKTPPSNLSPIEDASPTEELRQAAEMEELHRSSCSEYSPSIESDPEGFEISPEKIIEVQKVYKLPTAVSLYSPTDEQSIMQKEGSQKALKSAEEMYEEMMHKTHKYKAFPAANERDEVFEKEPLYGGMLIEDYIYESLVEDTYNGSVDGSLLTRQEEENGFMQQKGREQKIRLSEQIYEDPMQKITDLQKEFYELESLHSVVPQEDIVSSSFIIPESHEIVDLGTMVTSTEEERKLLDADAAYEELMKRQQMQLTPGSSPTQAPIGEDMTESTMDFDRMPDASLTSSVLSGASLTDSTSSATLSIPDVKITQHFSTEEIEDEYVTDYTREIQEIIAHESLILTYSEPSESATSVPPSDTPSLTSSVSSVCTTDSSSPITTLDSITTVYTEPVDMITKFEDSEEISSSTYFPGSIIDYPEEISVSLDRTAPPDGRASADHIVISLSDMASSIIESVVPKPEGPVADTVSTDLLISEKDPVKKAKKETGNGIILEVLEAYRDKKELEAERTKSSLSETVFDHPPSSVIALPMKEQLSTTYFTSGETFGQEKPASQLPSGSPSVSSLPAKPRPFFRSSSLDISAQPPPPPPPPPPPPPPPPPPPPPPLPPPTSPKPTILPKKKLTVASPVTTATPLFDAVTTLETTAVLRSNGLPVTRICTTAPPPVPPKPSSIPSGLVFTHRPEPSKPPIAPKPVIPQLPTTTQKPTDIHPKPTGLSLTSSMTLNLVTSADYKLPSPTSPLSPHSNKSSPRFSKSLTETYVVITLPSEPGTPTDSSASQAITSWPLGSPSKDLVSVEPVFSVVPPVTAVEIPISSEQTFYISGALQTFSATPVTAPSSFQAAPTSVTQFLTTEVSKTEVSATRSTAPSVGLSSISITIPPEPLALDNIHLEKPQYKEDGKLQLVGDVIDLRTVPKVEVKTTDKCIDLSASTMDVKRQITANEVYGKQISAVQPSIINLSVTSSIVTPVSLATETVTFVTCTASASYTTGTESLVGAEHAMTTPLQLTTSKHAEPPYRIPSDQVFPIAREEAPINLSLGTPAHAVTLAITKPVTVPPVGVTNGWTDSTVSQGITDGEVVDLSTTKSHRTVVTMDESTSSVMTKIIEDEKPVDLTAGRRAVCCDVVYKLPFGRSCTAQQPATTLPEDRFGYRDDHYQYDRSGPYGYRGIGGMKPSMSDTNLAEAGHFFYKSKNAFDYSEGTDTAVDLTSGRVTTGEVMDYSSKTTGPYPETRQVISGAGISTPQYSTARMTPPPGPQYCVGSVLRSSNGVVYSSVATPTPSTFAITTQPGSIFSTTVRDLSGIHTADAVTSLPAMHHSQPMPRSYFITTGASETDIAVTGIDISASLQTITMESLTAETIDSVPTLTTASEVFPEVVGDESALLIVPEEDKQQQQLDLERELLELEKIKQQRFAEELEWERQEIQRFREQEKIMVQKKLEELQSMKQHLLFQQEEERQAQFMMRQETLAQQQLQLEQIQQLQQQLHQQLEEQKIRQIYQYNYDPSGTASPQTTTEQAILEGQYAALEGSQFWATEDATTTASAVVAIEIPQSQGWYTVQSDGVTQYIAPPGILSTVSEIPLTDVVVKEEKQPKKRSSGAKVRGQYDDMGENMTDDPRSFKKIVDSGVQTDDEDATDRSYVSRRRRTKKSVDTSVQTDDEDQDEWDMPTRSRRKARVGKYGDSMTEADKTKPLSKVSSIAVQTVAEISVQTEPVGTIRTPSIRARVDAKVEIIKHISAPEKTYKGGSLGCQTEADSDTQSPQYLSATSPPKDKKRPTPLEIGYSSHLRADSTVQLAPSPPKSPKVLYSPISPLSPGKALESAFVPYEKPLPDDISPQKVLHPDMAKVPPASPKTAKMMQRSMSDPKPLSPTADESSRAPFQYTEGYTTKGSQTMTSSGAQKKVKRTLPNPPPEEISTGTQSTFSTMGTVSRRRICRTNTMARAKILQDIDRELDLVERESAKLRKKQAELDEEEKEIDAKLRYLEMGINRRKEALLKEREKRERAYLQGVAEDRDYMSDSEVSSTRPTRIESQHGIERPRTAPQTEFSQFIPPQTQTESQLVPPTSPYTQYQYSSPALPTQAPTSYTQQSHFEQQTLYHQQVSPYQTQPTFQAVATMSFTPQVQPTPTPQPSYQLPSQMMVIQQKPRQTTLYLEPKITSNYEVIRNQPLMIAPVSTDNTFAVSHLGSKYNSLDLRIGLEERSSMASSPISSISADSFYADIDHHTPRNYVLIDDIGEITKGTAALSTAFSLHEKDLSKTDRLLRTTETRRSQEVTDFLAPLQSSSRLHSYVKAEEDPMEDPYELKLLKHQIKQEFRRGTESLDHLAGLSHYYHADTSYRHFPKSEKYSISRLTLEKQAAKQLPAAILYQKQSKHKKSLIDPKMSKFSPIQESRDLEPDYSSYMTSSTSSIGGISSRARLLQDDITFGLRKNITDQQKFMGSSLGTGLGTLGNTIRSALQDEADKPYSSGSRSRPSSRPSSVYGLDLSIKRDSSSSSLRLKAQEAEALDVSFSHASSSARTKPTSLPISQSRGRIPIVAQNSEEESPLSPVGQPMGMARAAAGPLPPISADTRDQFGSSHSLPEVQQHMREESRTRGYDRDIAFIMDDFQHAMSDSEAYHLRREETDWFDKPRESRLENGHGLDRKLPERLVHSRPLSQHQEQIIQMNGKTMHYIFPHARIKITRDSKDHTVSGNGLGIRIVGGKEIPGHSGEIGAYIAKILPGGSAEQTGKLMEGMQVLEWNGIPLTSKTYEEVQSIISQQSGEAEICVRLDLNMLSDSENSQHLELHEPPKAVDKAKSPGVDPKQLAAELQKVSLQQSPLVLSSVVEKGSHVHSGPTSAGSSSVPSPGQPGSPSVSKKKHGSSKPTDGTKVVSHPITGEIQLQINYDLGNLIIHILQARNLVPRDNNGYSDPFVKVYLLPGRGQVMVVQNASAEYKRRTKHVQKSLNPEWNQTVIYKSISMEQLKKKTLEVTVWDYDRFSSNDFLGEVLIDLSSTSHLDNTPRWYPLKEQTESIDHGKSHSSQSSQQSPKPSVIKSRSHGIFPDPSKDMQVPTIEKSHSSPGSSKSSSEGHLRSHGPSRSQSKTSVTQTHLEDAGAAIAAAEAAVQQLRIQPTKPPNHRPAESSVSTGSSGSSFGSGYSVDSEGSSSTAGETNLFPIPRIGKMGQNGQEPVKQPGVGVGLADTEAKTQVMGEIKIALKKEMKTDGEQLIVEILQCRNITYKFKSPDHLPDLYVKIYVMNISTQKKVIKKKTRVCRHDREPSFNETFRFSLSPAGHSLQILLFSNGGKFMKKTLIGEACIWLDKVDLRKRIVNWHKLLVSPTQTH
+>DECOY_sp|Q9Y6V0|PCLO_HUMAN Protein piccolo OS=Homo sapiens OX=9606 GN=PCLO PE=1 SV=5
+HTQTPSVLLKHWNVIRKRLDVKDLWICAEGILTKKMFKGGNSFLLIQLSHGAPSLSFRFTENFSPERDHRCVRTKKKIVKKQTSINMVYIKVYLDPLHDPSKFKYTINRCQLIEVILQEGDTKMEKKLAIKIEGMVQTKAETDALGVGVGPQKVPEQGNQGMKGIRPIPFLNTEGATSSSGESDVSYGSGFSSGSSGTSVSSEAPRHNPPKTPQIRLQQVAAEAAAIAAGADELHTQTVSTKSQSRSPGHSRLHGESSSKSSGPSSHSKEITPVQMDKSPDPFIGHSRSKIVSPKPSQQSSQSSHSKGHDISETQEKLPYWRPTNDLHSTSSLDILVEGLFDNSSFRDYDWVTVELTKKKLQEMSISKYIVTQNWEPNLSKQVHKTRRKYEASANQVVMVQGRGPLLYVKVFPDSYGNNDRPVLNRAQLIHIILNGLDYNIQLQIEGTIPHSVVKTGDTPKSSGHKKKSVSPSGPQGPSPVSSSGASTPGSHVHSGKEVVSSLVLPSQQLSVKQLEAALQKPDVGPSKAKDVAKPPEHLELHQSNESDSLMNLDLRVCIEAEGSQQSIISQVEEYTKSTLPIGNWELVQMGEMLKGTQEASGGPLIKAIYAGIEGSHGPIEKGGVIRIGLGNGSVTHDKSDRTIKIRAHPFIYHMTKGNMQIIQEQHQSLPRSHVLREPLKRDLGHGNELRSERPKDFWDTEERRLHYAESDSMAHQFDDMIFAIDRDYGRTRSEERMHQQVEPLSHSSGFQDRTDASIPPLPGAAARAMGMPQGVPSLPSEEESNQAVIPIRGRSQSIPLSTPKTRASSSAHSFSVDLAEAEQAKLRLSSSSSDRKISLDLGYVSSPRSSPRSRSGSSYPKDAEDQLASRITNGLTGLGTGLSSGMFKQQDTINKRLGFTIDDQLLRARSSIGGISSTSSTMYSSYDPELDRSEQIPSFKSMKPDILSKKHKSQKQYLIAAPLQKAAQKELTLRSISYKESKPFHRYSTDAHYYHSLGALHDLSETGRRFEQKIQHKLLKLEYPDEMPDEEAKVYSHLRSSSQLPALFDTVEQSRRTETTRLLRDTKSLDKEHLSFATSLAATGKTIEGIDDILVYNRPTHHDIDAYFSDASISSIPSSAMSSREELGIRLDLSNYKSGLHSVAFTNDTSVPAIMLPQNRIVEYNSTIKPELYLTTQRPKQQIVMMQSPLQYSPQPTPTPQVQPTFSMTAVAQFTPQTQYPSVQQHYLTQQEFHSQQTYSTPAQTPLAPSSYQYQTYPSTPPVLQSETQTQPPIFQSFETQPATRPREIGHQSEIRTPRTSSVESDSMYDRDEAVGQLYARERKEREKLLAEKRRNIGMELYRLKADIEKEEEDLEAQKKRLKASEREVLDLERDIDQLIKARAMTNTRCIRRRSVTGMTSFTSQTGTSIEEPPPNPLTRKVKKQAGSSTMTQSGKTTYGETYQFPARSSEDATPSLPKPDSMSRQMMKATKPSAPPVKAMDPHLVKQPSIDDPLPKEYPVFASELAKGPSLPSIPSYLVKPSKPPSPALQVTSDARLHSSYGIELPTPRKKDKPPSTASLYQPSQTDSDAETQCGLSGGKYTKEPASIHKIIEVKADVRARISPTRITGVPETQVSIEAVTQVAISSVKSLPKTKDAETMSDGYKGVRAKRRSRTPMDWEDQDEDDTQVSTDVSKKTRRRRSVYSRDTADEDDTQVGSDVIKKFSRPDDTMNEGMDDYQGRVKAGSSRKKPQKEEKVVVDTLPIESVTSLIGPPAIYQTVGDSQVTYWGQSQPIEIAVVASATTTADETAWFQSGELAAYQGELIAQETTTQPSATGSPDYNYQYIQRIKQEELQQHLQQQLQQIQELQLQQQALTEQRMMFQAQREEEQQFLLHQKMSQLEELKKQVMIKEQERFRQIEQREWELEEAFRQQKIKELELLERELDLQQQQKDEEPVILLASEDGVVEPFVESATTLTPVSDITEATLSEMTITQLSASIDIGTVAIDTESAGTTIFYSRPMPQSHHMAPLSTVADATHIGSLDRVTTSFISGPQTTIAFTSPTPTAVSSYVVGNSSRLVSGVCYQPGPPPTMRATSYQPTSIGAGSIVQRTEPYPGTTKSSYDMVEGTTVRGSTLDVATDTGESYDFANKSKYFFHGAEALNTDSMSPKMGGIGRYGYPGSRDYQYHDDRYGFRDEPLTTAPQQATCSRGFPLKYVVDCCVARRGATLDVPKEDEIIKTMVSSTSEDMTVVTRHSKTTSLDVVEGDTIGQSVTSDTWGNTVGVPPVTVPKTIALTVAHAPTGLSLNIPAEERAIPFVQDSPIRYPPEAHKSTTLQLPTTMAHEAGVLSETGTTYSASATCTVFTVTETALSVPTVISSTVSLNIISPQVASIQKGYVENATIQRKVDMTSASLDICKDTTKVEVKPVTRLDIVDGVLQLKGDEKYQPKELHINDLALPEPPITISISSLGVSPATSRTASVETKSVETTLFQTVSTPAAQFSSPATVPTASFTQLAGSIYFTQESSIPIEVATVPPVVSFVPEVSVLDKSPSGLPWSTIAQSASSDTPTGPESPLTIVVYTETLSKSFRPSSKNSHPSLPSTPSPLKYDASTVLNLTMSSTLSLGTPKPHIDTPKQTTTPLQPIVPKPAIPPKSPEPRHTFVLGSPISSPKPPVPPPATTCIRTVPLGNSRLVATTELTTVADFLPTATTVPSAVTLKKKPLITPKPSTPPPLPPPPPPPPPPPPPPPPPPPPPPQASIDLSSSRFFPRPKAPLSSVSPSGSPLQSAPKEQGFTEGSTFYTTSLQEKMPLAIVSSPPHDFVTESLSSKTREAELEKKDRYAELVELIIGNGTEKKAKKVPDKESILLDTSVTDAVPGEPKPVVSEIISSAMDSLSIVIHDASARGDPPATRDLSVSIEEPYDIISGPFYTSSSIEESDEFKTIMDVPETYVTTISDLTTIPSSSDTTCVSSVSSTLSPTDSPPVSTASESPESYTLILSEHAIIEQIERTYDTVYEDEIEETSFHQTIKVDPISLTASSTSDTLSAGSLVSSTLSADPMRDFDMTSETMDEGIPAQTPSSGPTLQMQQRKMLEEYAADADLLKREEETSTVMTGLDVIEHSEPIIFSSSVIDEQPVVSHLSELEYFEKQLDTIKQMPDEYIQESLRIKQERGKQQMFGNEEEQRTLLSGDVSGNYTDEVLSEYIYDEILMGGYLPEKEFVEDRENAAPFAKYKHTKHMMEEYMEEASKLAKQSGEKQMISQEDTPSYLSVATPLKYVKQVEIIKEPSIEFGEPDSEISPSYESCSSRHLEEMEAAQRLEETPSADEIPSLNSPPTKPRERRRQARLEDKDKKSKKSSSKRQQQEIERQKEQEKLLEEEERLEEEESSDEITPLLPGHPRHRAKRQQKSEGKKHSPSSDSDEDLSSVSTPSTGPTFSSAHLSSSGEGRSRDEPSDTLSEMELEPEEDFYLSTKKQSSEASYKDAITSNLEITKFRRLGGGGTVVLEESEQSKTERYKLEPSEDFAEDDEDHWSHRRGADEDISTSSKRTLRRHKGATIKGKGKTEEKKQSETSSSIEKLQNRIFEDDESGSADEDASMEIIQKRIFDEEEGSGQSDEQKYEDSSSSGVSTRRKRQPVPSNESESSEEVSDYPERRTTIDDVFESKEKHDKSSPIDQQSDKKFTDKREEQSEKIEEESITIELTESLSQTKEQDKPQEPSVEHPQTKKESKEDALTSAQAELISLVTSELKALDSPSSPSSEQSFSDKKLGKLIDKEDTPILSPIEGLSSSIGDSSYGTDSLGQPSKPQQSSSTDSKDDEKETKEKGPEVQDPKATCPPQPQEKITKTTKDDEKPLSQPTGSPLGEMKTQPQKGEQVTKPAVRGELKEEAIQVQSKLLDHKQEEPASTKAEPLLKKDEPTPKKEELLPKKEESRIKEEEPILKKEEPLPKKEQLASAKDKELKSEEQKQDTTVMPPIKEMSLTEKVKELIVKEAETKVEQEQKKVLKVQPPVATKQSSSETPVPMPSAKPGSPAPPMKRIDGLQGSIARQTQCNLCLWEQIETLHPTPNFGCLNCVQNKCETCTNFNPPDKSGINLETKCLPCTSEPKPSKELKTPLVAKQPEATLSKSDKIPPPKKETETRKVTPAQEAKPELKEAAPAKTEKKVPISKAPAPPAQGTSKPPGQSTPPQSPAPAQKMPAGPGSQSHPGPQGATSILNSAQSFISAGFGFLKGTVTEQPTTPQSKPADTISGLNLSFRRSQEQPKPSQQPTPVTQGATPRPGPPTPSGKPMPKADPKPSMKTQAKKPEEKKQVPDVQKQGKSESSPGPHSIIKSDSAPRPIAKSDFPSVKEGTPPFSQSPKASDTKLPPTTKEEAQSQVKSSPIDPKTTASSSSVLDTTPKVMKPQKPKDDAVPAKDQESPVSPEKPPAPKSLSDAEPPQKAKASPSGHLTPQKVLPPPKKPEPAKSLDQKPAADKKPSTQQPQPSSKSVASTTTVPATKLKPQPSSPVPALDGGLARKMQCNLCLWEKVETLHPNPNFGCLSCVTTQCETCTNFNAKEPVHLLLETTNCLPCITKPLGQSPPQKASPSVTPPQLPKGSGTQSVPKTSQQASPKAPSPQQASPKASGPQQSPPKTSGPQQPSPKAPGPQQPPPKTSGPQQSPPKASGPQQPPPKAPGPQQPPPKAPGPLQSPPKTPGTQQASTKGPGAQQAPIKGPGPQQVPTKTPGPAKAPSQLGPQQALPKPTGVQQTPPKAPGPQQAPTKGVGPPQALAKPGPQESSPKESGTQQAPPKAPGLPQAPPKTTGPPQVPPKVTGPQQALPKTLGSPQAPPKAPGPQSKEHGPQQAPPKGPTPQQIPPKSPSPLSPKVSEGRVIDAQKTQPRSADRQLPLKAHDTQPTQTPGQIPKGTGPPQSKIKEPQQSSISKPTGDQQLPDRGPGQQKPIPKPPQQQLPPKIIGEPKGQEKQVVKQKKTTEEQSAESDSILDFPNFKNVVSSVASLANVESLFGPMMSSKHEEKLDTRSKSEKLSITSPSRGPLKQESRFTDTTRSKSLGPQAPRGPDPPRGSQKPPHSSDLEQKRHMSPSEAAAPPVSGKPLGQARSMVAAIQRREEESLQSLDAEMGAPIATHSPSGAGSAGGGAAAAAALGEPLGEGELSAENGM
+>sp|Q15154|PCM1_HUMAN Pericentriolar material 1 protein OS=Homo sapiens OX=9606 GN=PCM1 PE=1 SV=5
+MATGGGPFEDGMNDQDLPNWSNENVDDRLNNMDWGAQQKKANRSSEKNKKKFGVESDKRVTNDISPESSPGVGRRRTKTPHTFPHSRYMSQMSVPEQAELEKLKQRINFSDLDQRSIGSDSQGRATAANNKRQLSENRKPFNFLPMQINTNKSKDASTNPPNRETIGSAQCKELFASALSNDLLQNCQVSEEDGRGEPAMESSQIVSRLVQIRDYITKASSMREDLVEKNERSANVERLTHLIDHLKEQEKSYMKFLKKILARDPQQEPMEEIENLKKQHDLLKRMLQQQEQLRALQGRQAALLALQHKAEQAIAVMDDSVVAETAGSLSGVSITSELNEELNDLIQRFHNQLRDSQPPAVPDNRRQAESLSLTREVSQSRKPSASERLPDEKVELFSKMRVLQEKKQKMDKLLGELHTLRDQHLNNSSASPQRSVDQRSTSAPSASVGLAPVVNGESNSLTSSVPYPTASLVSQNESENEGHLNPSEKLQKLNEVRKRLNELRELVHYYEQTSDMMTDAVNENRKDEETEESEYDSEHENSEPVTNIRNPQVASTWNEVNSHSNAQCVSNNRDGRTVNSNCEINNRSAANIRALNMPPSLDCRYNREGEQEIHVAQGEDDEEEEEEAEEEGVSGASLSSHRSSLVDEHPEDAEFEQKINRLMAAKQKLRQLQDLVAMVQDDDAAQGVISASASNLDDFYPAEEDTKQNSNNTRGNANKTQKDTGVNEKAREKFYEAKLQQQQRELKQLQEERKKLIDIQEKIQALQTACPDLQLSAASVGNCPTKKYMPAVTSTPTVNQHETSTSKSVFEPEDSSIVDNELWSEMRRHEMLREELRQRRKQLEALMAEHQRRQGLAETASPVAVSLRSDGSENLCTPQQSRTEKTMATWGGSTQCALDEEGDEDGYLSEGIVRTDEEEEEEQDASSNDNFSVCPSNSVNHNSYNGKETKNRWKNNCPFSADENYRPLAKTRQQNISMQRQENLRWVSELSYVEEKEQWQEQINQLKKQLDFSVSICQTLMQDQQTLSCLLQTLLTGPYSVMPSNVASPQVHFIMHQLNQCYTQLTWQQNNVQRLKQMLNELMRQQNQHPEKPGGKERGSSASHPPSPSLFCPFSFPTQPVNLFNIPGFTNFSSFAPGMNFSPLFPSNFGDFSQNISTPSEQQQPLAQNSSGKTEYMAFPKPFESSSSIGAEKPRNKKLPEEEVESSRTPWLYEQEGEVEKPFIKTGFSVSVEKSTSSNRKNQLDTNGRRRQFDEESLESFSSMPDPVDPTTVTKTFKTRKASAQASLASKDKTPKSKSKKRNSTQLKSRVKNIRYESASMSSTCEPCKSRNRHSAQTEEPVQAKVFSRKNHEQLEKIIKCNRSTEISSETGSDFSMFEALRDTIYSEVATLISQNESRPHFLIELFHELQLLNTDYLRQRALYALQDIVSRHISESHEKGENVKSVNSGTWIASNSELTPSESLATTDDETFEKNFERETHKISEQNDADNASVLSVSSNFEPFATDDLGNTVIHLDQALARMREYERMKTEAESNSNMRCTCRIIEDGDGAGAGTTVNNLEETPVIENRSSQQPVSEVSTIPCPRIDTQQLDRQIKAIMKEVIPFLKEHMDEVCSSQLLTSVRRMVLTLTQQNDESKEFVKFFHKQLGSILQDSLAKFAGRKLKDCGEDLLVEISEVLFNELAFFKLMQDLDNNSITVKQRCKRKIEATGVIQSCAKEAKRILEDHGSPAGEIDDEDKDKDETETVKQTQTSEVYDGPKNVRSDISDQEEDEESEGCPVSINLSKAETQALTNYGSGEDENEDEEMEEFEEGPVDVQTSLQANTEATEENEHDEQVLQRDFKKTAESKNVPLEREATSKNDQNNCPVKPCYLNILEDEQPLNSAAHKESPPTVDSTQQPNPLPLRLPEMEPLVPRVKEVKSAQETPESSLAGSPDTESPVLVNDYEAESGNISQKSDEEDFVKVEDLPLKLTIYSEADLRKKMVEEEQKNHLSGEICEMQTEELAGNSETLKEPETVGAQSI
+>DECOY_sp|Q15154|PCM1_HUMAN Pericentriolar material 1 protein OS=Homo sapiens OX=9606 GN=PCM1 PE=1 SV=5
+ISQAGVTEPEKLTESNGALEETQMECIEGSLHNKQEEEVMKKRLDAESYITLKLPLDEVKVFDEEDSKQSINGSEAEYDNVLVPSETDPSGALSSEPTEQASKVEKVRPVLPEMEPLRLPLPNPQQTSDVTPPSEKHAASNLPQEDELINLYCPKVPCNNQDNKSTAERELPVNKSEATKKFDRQLVQEDHENEETAETNAQLSTQVDVPGEEFEEMEEDENEDEGSGYNTLAQTEAKSLNISVPCGESEEDEEQDSIDSRVNKPGDYVESTQTQKVTETEDKDKDEDDIEGAPSGHDELIRKAEKACSQIVGTAEIKRKCRQKVTISNNDLDQMLKFFALENFLVESIEVLLDEGCDKLKRGAFKALSDQLISGLQKHFFKVFEKSEDNQQTLTLVMRRVSTLLQSSCVEDMHEKLFPIVEKMIAKIQRDLQQTDIRPCPITSVESVPQQSSRNEIVPTEELNNVTTGAGAGDGDEIIRCTCRMNSNSEAETKMREYERMRALAQDLHIVTNGLDDTAFPEFNSSVSLVSANDADNQESIKHTEREFNKEFTEDDTTALSESPTLESNSAIWTGSNVSKVNEGKEHSESIHRSVIDQLAYLARQRLYDTNLLQLEHFLEILFHPRSENQSILTAVESYITDRLAEFMSFDSGTESSIETSRNCKIIKELQEHNKRSFVKAQVPEETQASHRNRSKCPECTSSMSASEYRINKVRSKLQTSNRKKSKSKPTKDKSALSAQASAKRTKFTKTVTTPDVPDPMSSFSELSEEDFQRRRGNTDLQNKRNSSTSKEVSVSFGTKIFPKEVEGEQEYLWPTRSSEVEEEPLKKNRPKEAGISSSSEFPKPFAMYETKGSSNQALPQQQESPTSINQSFDGFNSPFLPSFNMGPAFSSFNTFGPINFLNVPQTPFSFPCFLSPSPPHSASSGREKGGPKEPHQNQQRMLENLMQKLRQVNNQQWTLQTYCQNLQHMIFHVQPSAVNSPMVSYPGTLLTQLLCSLTQQDQMLTQCISVSFDLQKKLQNIQEQWQEKEEVYSLESVWRLNEQRQMSINQQRTKALPRYNEDASFPCNNKWRNKTEKGNYSNHNVSNSPCVSFNDNSSADQEEEEEEDTRVIGESLYGDEDGEEDLACQTSGGWTAMTKETRSQQPTCLNESGDSRLSVAVPSATEALGQRRQHEAMLAELQKRRQRLEERLMEHRRMESWLENDVISSDEPEFVSKSTSTEHQNVTPTSTVAPMYKKTPCNGVSAASLQLDPCATQLAQIKEQIDILKKREEQLQKLERQQQQLKAEYFKERAKENVGTDKQTKNANGRTNNSNQKTDEEAPYFDDLNSASASIVGQAADDDQVMAVLDQLQRLKQKAAMLRNIKQEFEADEPHEDVLSSRHSSLSAGSVGEEEAEEEEEEDDEGQAVHIEQEGERNYRCDLSPPMNLARINAASRNNIECNSNVTRGDRNNSVCQANSHSNVENWTSAVQPNRINTVPESNEHESDYESEETEEDKRNENVADTMMDSTQEYYHVLERLENLRKRVENLKQLKESPNLHGENESENQSVLSATPYPVSSTLSNSEGNVVPALGVSASPASTSRQDVSRQPSASSNNLHQDRLTHLEGLLKDMKQKKEQLVRMKSFLEVKEDPLRESASPKRSQSVERTLSLSEAQRRNDPVAPPQSDRLQNHFRQILDNLEENLESTISVGSLSGATEAVVSDDMVAIAQEAKHQLALLAAQRGQLARLQEQQQLMRKLLDHQKKLNEIEEMPEQQPDRALIKKLFKMYSKEQEKLHDILHTLREVNASRENKEVLDERMSSAKTIYDRIQVLRSVIQSSEMAPEGRGDEESVQCNQLLDNSLASAFLEKCQASGITERNPPNTSADKSKNTNIQMPLFNFPKRNESLQRKNNAATARGQSDSGISRQDLDSFNIRQKLKELEAQEPVSMQSMYRSHPFTHPTKTRRRGVGPSSEPSIDNTVRKDSEVGFKKKNKESSRNAKKQQAGWDMNNLRDDVNENSWNPLDQDNMGDEFPGGGTAM
+>sp|Q9UHG2|PCSK1_HUMAN ProSAAS OS=Homo sapiens OX=9606 GN=PCSK1N PE=1 SV=1
+MAGSPLLWGPRAGGVGLLVLLLLGLFRPPPALCARPVKEPRGLSAASPPLAETGAPRRFRRSVPRGEAAGAVQELARALAHLLEAERQERARAEAQEAEDQQARVLAQLLRVWGAPRNSDPALGLDDDPDAPAAQLARALLRARLDPAALAAQLVPAPVPAAALRPRPPVYDDGPAGPDAEEAGDETPDVDPELLRYLLGRILAGSADSEGVAAPRRLRRAADHDVGSELPPEGVLGALLRVKRLETPAPQVPARRLLPP
+>DECOY_sp|Q9UHG2|PCSK1_HUMAN ProSAAS OS=Homo sapiens OX=9606 GN=PCSK1N PE=1 SV=1
+PPLLRRAPVQPAPTELRKVRLLAGLVGEPPLESGVDHDAARRLRRPAAVGESDASGALIRGLLYRLLEPDVDPTEDGAEEADPGAPGDDYVPPRPRLAAAPVPAPVLQAALAAPDLRARLLARALQAAPADPDDDLGLAPDSNRPAGWVRLLQALVRAQQDEAEQAEARAREQREAELLHALARALEQVAGAAEGRPVSRRFRRPAGTEALPPSAASLGRPEKVPRACLAPPPRFLGLLLLVLLGVGGARPGWLLPSGAM
+>sp|Q6UW60|PCSK4_HUMAN Proprotein convertase subtilisin/kexin type 4 OS=Homo sapiens OX=9606 GN=PCSK4 PE=1 SV=2
+MRPAPIALWLRLVLALALVRPRAVGWAPVRAPIYVSSWAVQVSQGNREVERLARKFGFVNLGPIFPDGQYFHLRHRGVVQQSLTPHWGHRLHLKKNPKVQWFQQQTLQRRVKRSVVVPTDPWFSKQWYMNSEAQPDLSILQAWSQGLSGQGIVVSVLDDGIEKDHPDLWANYDPLASYDFNDYDPDPQPRYTPSKENRHGTRCAGEVAAMANNGFCGVGVAFNARIGGVRMLDGTITDVIEAQSLSLQPQHIHIYSASWGPEDDGRTVDGPGILTREAFRRGVTKGRGGLGTLFIWASGNGGLHYDNCNCDGYTNSIHTLSVGSTTQQGRVPWYSEACASTLTTTYSSGVATDPQIVTTDLHHGCTDQHTGTSASAPLAAGMIALALEANPFLTWRDMQHLVVRASKPAHLQAEDWRTNGVGRQVSHHYGYGLLDAGLLVDTARTWLPTQPQRKCAVRVQSRPTPILPLIYIRENVSACAGLHNSIRSLEHVQAQLTLSYSRRGDLEISLTSPMGTRSTLVAIRPLDVSTEGYNNWVFMSTHFWDENPQGVWTLGLENKGYYFNTGTLYRYTLLLYGTAEDMTARPTGPQVTSSACVQRDTEGLCQACDGPAYILGQLCLAYCPPRFFNHTRLVTAGPGHTAAPALRVCSSCHASCYTCRGGSPRDCTSCPPSSTLDQQQGSCMGPTTPDSRPRLRAAACPHHRCPASAMVLSLLAVTLGGPVLCGMSMDLPLYAWLSRARATPTKPQVWLPAGT
+>DECOY_sp|Q6UW60|PCSK4_HUMAN Proprotein convertase subtilisin/kexin type 4 OS=Homo sapiens OX=9606 GN=PCSK4 PE=1 SV=2
+TGAPLWVQPKTPTARARSLWAYLPLDMSMGCLVPGGLTVALLSLVMASAPCRHHPCAAARLRPRSDPTTPGMCSGQQQDLTSSPPCSTCDRPSGGRCTYCSAHCSSCVRLAPAATHGPGATVLRTHNFFRPPCYALCLQGLIYAPGDCAQCLGETDRQVCASSTVQPGTPRATMDEATGYLLLTYRYLTGTNFYYGKNELGLTWVGQPNEDWFHTSMFVWNNYGETSVDLPRIAVLTSRTGMPSTLSIELDGRRSYSLTLQAQVHELSRISNHLGACASVNERIYILPLIPTPRSQVRVACKRQPQTPLWTRATDVLLGADLLGYGYHHSVQRGVGNTRWDEAQLHAPKSARVVLHQMDRWTLFPNAELALAIMGAALPASASTGTHQDTCGHHLDTTVIQPDTAVGSSYTTTLTSACAESYWPVRGQQTTSGVSLTHISNTYGDCNCNDYHLGGNGSAWIFLTGLGGRGKTVGRRFAERTLIGPGDVTRGDDEPGWSASYIHIHQPQLSLSQAEIVDTITGDLMRVGGIRANFAVGVGCFGNNAMAAVEGACRTGHRNEKSPTYRPQPDPDYDNFDYSALPDYNAWLDPHDKEIGDDLVSVVIGQGSLGQSWAQLISLDPQAESNMYWQKSFWPDTPVVVSRKVRRQLTQQQFWQVKPNKKLHLRHGWHPTLSQQVVGRHRLHFYQGDPFIPGLNVFGFKRALREVERNGQSVQVAWSSVYIPARVPAWGVARPRVLALALVLRLWLAIPAPRM
+>sp|P29122|PCSK6_HUMAN Proprotein convertase subtilisin/kexin type 6 OS=Homo sapiens OX=9606 GN=PCSK6 PE=1 SV=1
+MPPRAPPAPGPRPPPRAAAATDTAAGAGGAGGAGGAGGPGFRPLAPRPWRWLLLLALPAACSAPPPRPVYTNHWAVQVLGGPAEADRVAAAHGYLNLGQIGNLEDYYHFYHSKTFKRSTLSSRGPHTFLRMDPQVKWLQQQEVKRRVKRQVRSDPQALYFNDPIWSNMWYLHCGDKNSRCRSEMNVQAAWKRGYTGKNVVVTILDDGIERNHPDLAPNYDSYASYDVNGNDYDPSPRYDASNENKHGTRCAGEVAASANNSYCIVGIAYNAKIGGIRMLDGDVTDVVEAKSLGIRPNYIDIYSASWGPDDDGKTVDGPGRLAKQAFEYGIKKGRQGLGSIFVWASGNGGREGDYCSCDGYTNSIYTISVSSATENGYKPWYLEECASTLATTYSSGAFYERKIVTTDLRQRCTDGHTGTSVSAPMVAGIIALALEANSQLTWRDVQHLLVKTSRPAHLKASDWKVNGAGHKVSHFYGFGLVDAEALVVEAKKWTAVPSQHMCVAASDKRPRSIPLVQVLRTTALTSACAEHSDQRVVYLEHVVVRTSISHPRRGDLQIYLVSPSGTKSQLLAKRLLDLSNEGFTNWEFMTVHCWGEKAEGQWTLEIQDLPSQVRNPEKQGKLKEWSLILYGTAEHPYHTFSAHQSRSRMLELSAPELEPPKAALSPSQVEVPEDEEDYTAQSTPGSANILQTSVCHPECGDKGCDGPNADQCLNCVHFSLGSVKTSRKCVSVCPLGYFGDTAARRCRRCHKGCETCSSRAATQCLSCRRGFYHHQEMNTCVTLCPAGFYADESQKNCLKCHPSCKKCVDEPEKCTVCKEGFSLARGSCIPDCEPGTYFDSELIRCGECHHTCGTCVGPGREECIHCAKNFHFHDWKCVPACGEGFYPEEMPGLPHKVCRRCDENCLSCAGSSRNCSRCKTGFTQLGTSCITNHTCSNADETFCEMVKSNRLCERKLFIQFCCRTCLLAG
+>DECOY_sp|P29122|PCSK6_HUMAN Proprotein convertase subtilisin/kexin type 6 OS=Homo sapiens OX=9606 GN=PCSK6 PE=1 SV=1
+GALLCTRCCFQIFLKRECLRNSKVMECFTEDANSCTHNTICSTGLQTFGTKCRSCNRSSGACSLCNEDCRRCVKHPLGPMEEPYFGEGCAPVCKWDHFHFNKACHICEERGPGVCTGCTHHCEGCRILESDFYTGPECDPICSGRALSFGEKCVTCKEPEDVCKKCSPHCKLCNKQSEDAYFGAPCLTVCTNMEQHHYFGRRCSLCQTAARSSCTECGKHCRRCRRAATDGFYGLPCVSVCKRSTKVSGLSFHVCNLCQDANPGDCGKDGCEPHCVSTQLINASGPTSQATYDEEDEPVEVQSPSLAAKPPELEPASLELMRSRSQHASFTHYPHEATGYLILSWEKLKGQKEPNRVQSPLDQIELTWQGEAKEGWCHVTMFEWNTFGENSLDLLRKALLQSKTGSPSVLYIQLDGRRPHSISTRVVVHELYVVRQDSHEACASTLATTRLVQVLPISRPRKDSAAVCMHQSPVATWKKAEVVLAEADVLGFGYFHSVKHGAGNVKWDSAKLHAPRSTKVLLHQVDRWTLQSNAELALAIIGAVMPASVSTGTHGDTCRQRLDTTVIKREYFAGSSYTTALTSACEELYWPKYGNETASSVSITYISNTYGDCSCYDGERGGNGSAWVFISGLGQRGKKIGYEFAQKALRGPGDVTKGDDDPGWSASYIDIYNPRIGLSKAEVVDTVDGDLMRIGGIKANYAIGVICYSNNASAAVEGACRTGHKNENSADYRPSPDYDNGNVDYSAYSDYNPALDPHNREIGDDLITVVVNKGTYGRKWAAQVNMESRCRSNKDGCHLYWMNSWIPDNFYLAQPDSRVQRKVRRKVEQQQLWKVQPDMRLFTHPGRSSLTSRKFTKSHYFHYYDELNGIQGLNLYGHAAAVRDAEAPGGLVQVAWHNTYVPRPPPASCAAPLALLLLWRWPRPALPRFGPGGAGGAGGAGGAGAATDTAAAARPPPRPGPAPPARPPM
+>sp|A6NKB5|PCX2_HUMAN Pecanex-like protein 2 OS=Homo sapiens OX=9606 GN=PCNX2 PE=2 SV=3
+MVSQVLQLLRQGVWAALTGGWYHDPEQSKFTNSCHLYLWLFLLLLPLALHLAFPPNAIIVFFYCSAVTIFFTIIKLVSYRLHLMFDKGEVIQQKPSRKEEKPNKDKEAKGEHITNHRNPSNNRQIHNGKKEEASRNLSTPPLRCSSRGQSITSHHSSGPLELSAQETVEDLKGVILLEDHPIAPVSSTSPGIKVESLPASQAHMLETTTKSVIPVKPVATETLINGKGKERGGKGQPPLRHRSEGGLVDKGPLKKLPHLSLSQYDLLETDVSFQPWGSENSVLIPEPVSCPRGSIRERVQSKSPQDSLSSSCPQCDTIVAKPVEEPADTSCQVDTSCQGDLPLHQEVDSSDSEVAVTLIDTSQPGDPLSLHEPIKIVITMSSTPNSMTDLESSLHLRVVGTEKTSVKSDAEPTNPGAAGSPNAEQISIPVITLDLPEGGGGGVPCPEGNGSERTPERLKTRVSTNQCSGYGSGEGGNAIKDHSSSSREPWESVSRLTPDTGSESKVGKEGQTNLDPSSCKSSHEKRHARVLSVDSGTDVFLSKSSAEIVNDTEKTMPTSKSDLEAKEGQMPNESNFLEFVSLLESINTSKMTASSQLNGSAEQNEESGLLRDNCSQEKKEEILENEKPSGHSSKQGKPDLQSQDHTSTGPACTQPAKTTAFFQGNRQRQIIYRVTSQQDSSVLQVISGPETSVQEEISVDAMHVFIDEHGEIRSCYLKSGNQKEGPLQPLPSNNDCLSQAREMQVSSSSTTTSESQDPSSGDPAVSALQQQLLLMVARRTQSETPRHVSQDLEASSCSSTQGKFNREQFYKFIIFPGKWIKVWYDRLTLLALLDRTEDIKENVLAILLIVLVSLLGFLTLSQGFCKDMWVLLFCLVMASCQYSLLKSVQPDPASPIHGHNQIITYSRPIYFCVLCGLILLLDTGAKARHPPSYVVYGLKLFSPVFLQSARDYLIVFLYCFPAISLLGLFPQINTFCTYLLEQIDMLFFGGSAVSGITSAVYSVARSVLAAALLHAVCFSAVKEPWSMQHIPALFSAFCGLLVALSYHLSRQSSDPSVLMSFIQCRLFPKFLHQNLAESAADPLPKKMKDSVTDVLKWDLIVCAVVAVLSFAVSASTVFLSLRPFLSIVLFALAGAVGFVTHYVLPQLRKHHPWMWISHPILKNKEYHQREVRDVAHLMWFERLYVWLQCFEKYILYPALILNALTIDAFLISNHRRLGTHWDIFLMIIAGMKLLRTSFCNPVYQFINLSFTVIFFHFDYKDISESFLLDFFMVSILFSKLGDLLHKLQFVLTYVAPWQMAWGSSFHVFAQLFAIPHSAMLFFQTIATSIFSTPLSPFLGSVIFITSYVRPVKFWEKNYNTRRVDNSNTRLAVQIERDPGNDDNNLNSIFYEHLTRTLQESLCGDLVLGRWGNYSSGDCFILASDDLNAFVHLIEIGNGLVTFQLRGLEFRGTYCQQREVEAIMEGDEEDRGCCCCKPGHLPHLLSCNAAFHLRWLTWEITQTQYILEGYSILDNNAATMLQVFDLRRILIRYYIKSIIYYMVTSPKLLSWIKNESLLKSLQPFAKWHYIERDLAMFNINIDDDYVPCLQGITRASFCNVYLEWIQHCARKRQEPSTTLDSDEDSPLVTLSFALCTLGRRALGTAAHNMAISLDSFLYGLHVLFKGDFRITARDEWVFADMDLLHKVVAPAIRMSLKLHQDQFTCPDEYEDPAVLYEAIQSFEKKVVICHEGDPAWRGAVLSNKEELLTLRHVVDEGADEYKVIMLHRSFLSFKVIKVNKECVRGLWAGQQQELIFLRNRNPERGSIQNNKQVLRNLINSSCDQPLGYPMYVSPLTTSYLGTHRQLKNIWGGPITLDRIRTWFWTKWVRMRKDCNARQHSGGNIEDVDGGGAPTTGGNNAPSGGSQESSAEQPRKGGAQHGVSSCEGTQRTGRRKGRSQSVQAHSALSQRPPMLSSSGPILESRQTFLQTSTSVHELAQRLSGSRLSLHASATSLHSQPPPVTTTGHLSVRERAEALIRSSLGSSTSSTLSFLFGKRSFSSALVISGLSAAEGGNTSDTQSSSSVNIVMGPSARAASQATRHLSEPCEPPDATEQGQLHDRCLAEAVADTLGVVCRRASQEDMGLDDTASQQSVSDEQ
+>DECOY_sp|A6NKB5|PCX2_HUMAN Pecanex-like protein 2 OS=Homo sapiens OX=9606 GN=PCNX2 PE=2 SV=3
+QEDSVSQQSATDDLGMDEQSARRCVVGLTDAVAEALCRDHLQGQETADPPECPESLHRTAQSAARASPGMVINVSSSSQTDSTNGGEAASLGSIVLASSFSRKGFLFSLTSSTSSGLSSRILAEARERVSLHGTTTVPPPQSHLSTASAHLSLRSGSLRQALEHVSTSTQLFTQRSELIPGSSSLMPPRQSLASHAQVSQSRGKRRGTRQTGECSSVGHQAGGKRPQEASSEQSGGSPANNGGTTPAGGGDVDEINGGSHQRANCDKRMRVWKTWFWTRIRDLTIPGGWINKLQRHTGLYSTTLPSVYMPYGLPQDCSSNILNRLVQKNNQISGREPNRNRLFILEQQQGAWLGRVCEKNVKIVKFSLFSRHLMIVKYEDAGEDVVHRLTLLEEKNSLVAGRWAPDGEHCIVVKKEFSQIAEYLVAPDEYEDPCTFQDQHLKLSMRIAPAVVKHLLDMDAFVWEDRATIRFDGKFLVHLGYLFSDLSIAMNHAATGLARRGLTCLAFSLTVLPSDEDSDLTTSPEQRKRACHQIWELYVNCFSARTIGQLCPVYDDDININFMALDREIYHWKAFPQLSKLLSENKIWSLLKPSTVMYYIISKIYYRILIRRLDFVQLMTAANNDLISYGELIYQTQTIEWTLWRLHFAANCSLLHPLHGPKCCCCGRDEEDGEMIAEVERQQCYTGRFELGRLQFTVLGNGIEILHVFANLDDSALIFCDGSSYNGWRGLVLDGCLSEQLTRTLHEYFISNLNNDDNGPDREIQVALRTNSNDVRRTNYNKEWFKVPRVYSTIFIVSGLFPSLPTSFISTAITQFFLMASHPIAFLQAFVHFSSGWAMQWPAVYTLVFQLKHLLDGLKSFLISVMFFDLLFSESIDKYDFHFFIVTFSLNIFQYVPNCFSTRLLKMGAIIMLFIDWHTGLRRHNSILFADITLANLILAPYLIYKEFCQLWVYLREFWMLHAVDRVERQHYEKNKLIPHSIWMWPHHKRLQPLVYHTVFGVAGALAFLVISLFPRLSLFVTSASVAFSLVAVVACVILDWKLVDTVSDKMKKPLPDAASEALNQHLFKPFLRCQIFSMLVSPDSSQRSLHYSLAVLLGCFASFLAPIHQMSWPEKVASFCVAHLLAAALVSRAVSYVASTIGSVASGGFFLMDIQELLYTCFTNIQPFLGLLSIAPFCYLFVILYDRASQLFVPSFLKLGYVVYSPPHRAKAGTDLLLILGCLVCFYIPRSYTIIQNHGHIPSAPDPQVSKLLSYQCSAMVLCFLLVWMDKCFGQSLTLFGLLSVLVILLIALVNEKIDETRDLLALLTLRDYWVKIWKGPFIIFKYFQERNFKGQTSSCSSAELDQSVHRPTESQTRRAVMLLLQQQLASVAPDGSSPDQSESTTTSSSSVQMERAQSLCDNNSPLPQLPGEKQNGSKLYCSRIEGHEDIFVHMADVSIEEQVSTEPGSIVQLVSSDQQSTVRYIIQRQRNGQFFATTKAPQTCAPGTSTHDQSQLDPKGQKSSHGSPKENELIEEKKEQSCNDRLLGSEENQEASGNLQSSATMKSTNISELLSVFELFNSENPMQGEKAELDSKSTPMTKETDNVIEASSKSLFVDTGSDVSLVRAHRKEHSSKCSSPDLNTQGEKGVKSESGTDPTLRSVSEWPERSSSSHDKIANGGEGSGYGSCQNTSVRTKLREPTRESGNGEPCPVGGGGGEPLDLTIVPISIQEANPSGAAGPNTPEADSKVSTKETGVVRLHLSSELDTMSNPTSSMTIVIKIPEHLSLPDGPQSTDILTVAVESDSSDVEQHLPLDGQCSTDVQCSTDAPEEVPKAVITDCQPCSSSLSDQPSKSQVRERISGRPCSVPEPILVSNESGWPQFSVDTELLDYQSLSLHPLKKLPGKDVLGGESRHRLPPQGKGGREKGKGNILTETAVPKVPIVSKTTTELMHAQSAPLSEVKIGPSTSSVPAIPHDELLIVGKLDEVTEQASLELPGSSHHSTISQGRSSCRLPPTSLNRSAEEKKGNHIQRNNSPNRHNTIHEGKAEKDKNPKEEKRSPKQQIVEGKDFMLHLRYSVLKIITFFITVASCYFFVIIANPPFALHLALPLLLLFLWLYLHCSNTFKSQEPDHYWGGTLAAWVGQRLLQLVQSVM
+>sp|Q9NP56|PDE7B_HUMAN cAMP-specific 3',5'-cyclic phosphodiesterase 7B OS=Homo sapiens OX=9606 GN=PDE7B PE=1 SV=1
+MSCLMVERCGEILFENPDQNAKCVCMLGDIRLRGQTGVRAERRGSYPFIDFRLLNSTTYSGEIGTKKKVKRLLSFQRYFHASRLLRGIIPQAPLHLLDEDYLGQARHMLSKVGMWDFDIFLFDRLTNGNSLVTLLCHLFNTHGLIHHFKLDMVTLHRFLVMVQEDYHSQNPYHNAVHAADVTQAMHCYLKEPKLASFLTPLDIMLGLLAAAAHDVDHPGVNQPFLIKTNHHLANLYQNMSVLENHHWRSTIGMLRESRLLAHLPKEMTQDIEQQLGSLILATDINRQNEFLTRLKAHLHNKDLRLEDAQDRHFMLQIALKCADICNPCRIWEMSKQWSERVCEEFYRQGELEQKFELEISPLCNQQKDSIPSIQIGFMSYIVEPLFREWAHFTGNSTLSENMLGHLAHNKAQWKSLLPRQHRSRGSSGSGPDHDHAGQGTESEEQEGDSP
+>DECOY_sp|Q9NP56|PDE7B_HUMAN cAMP-specific 3',5'-cyclic phosphodiesterase 7B OS=Homo sapiens OX=9606 GN=PDE7B PE=1 SV=1
+PSDGEQEESETGQGAHDHDPGSGSSGRSRHQRPLLSKWQAKNHALHGLMNESLTSNGTFHAWERFLPEVIYSMFGIQISPISDKQQNCLPSIELEFKQELEGQRYFEECVRESWQKSMEWIRCPNCIDACKLAIQLMFHRDQADELRLDKNHLHAKLRTLFENQRNIDTALILSGLQQEIDQTMEKPLHALLRSERLMGITSRWHHNELVSMNQYLNALHHNTKILFPQNVGPHDVDHAAAALLGLMIDLPTLFSALKPEKLYCHMAQTVDAAHVANHYPNQSHYDEQVMVLFRHLTVMDLKFHHILGHTNFLHCLLTVLSNGNTLRDFLFIDFDWMGVKSLMHRAQGLYDEDLLHLPAQPIIGRLLRSAHFYRQFSLLRKVKKKTGIEGSYTTSNLLRFDIFPYSGRREARVGTQGRLRIDGLMCVCKANQDPNEFLIEGCREVMLCSM
+>sp|O60658|PDE8A_HUMAN High affinity cAMP-specific and IBMX-insensitive 3',5'-cyclic phosphodiesterase 8A OS=Homo sapiens OX=9606 GN=PDE8A PE=1 SV=2
+MGCAPSIHISERLVAEDAPSPAAPPLSSGGPRLPQGQKTAALPRTRGAGLLESELRDGSGKKVAVADVQFGPMRFHQDQLQVLLVFTKEDNQCNGFCRACEKAGFKCTVTKEAQAVLACFLDKHHDIIIIDHRNPRQLDAEALCRSIRSSKLSENTVIVGVVRRVDREELSVMPFISAGFTRRYVENPNIMACYNELLQLEFGEVRSQLKLRACNSVFTALENSEDAIEITSEDRFIQYANPAFETTMGYQSGELIGKELGEVPINEKKADLLDTINSCIRIGKEWQGIYYAKKKNGDNIQQNVKIIPVIGQGGKIRHYVSIIRVCNGNNKAEKISECVQSDTHTDNQTGKHKDRRKGSLDVKAVASRATEVSSQRRHSSMARIHSMTIEAPITKVINIINAAQESSPMPVTEALDRVLEILRTTELYSPQFGAKDDDPHANDLVGGLMSDGLRRLSGNEYVLSTKNTQMVSSNIITPISLDDVPPRIARAMENEEYWDFDIFELEAATHNRPLIYLGLKMFARFGICEFLHCSESTLRSWLQIIEANYHSSNPYHNSTHSADVLHATAYFLSKERIKETLDPIDEVAALIAATIHDVDHPGRTNSFLCNAGSELAILYNDTAVLESHHAALAFQLTTGDDKCNIFKNMERNDYRTLRQGIIDMVLATEMTKHFEHVNKFVNSINKPLATLEENGETDKNQEVINTMLRTPENRTLIKRMLIKCADVSNPCRPLQYCIEWAARISEEYFSQTDEEKQQGLPVVMPVFDRNTCSIPKSQISFIDYFITDMFDAWDAFVDLPDLMQHLDNNFKYWKGLDEMKLRNLRPPPE
+>DECOY_sp|O60658|PDE8A_HUMAN High affinity cAMP-specific and IBMX-insensitive 3',5'-cyclic phosphodiesterase 8A OS=Homo sapiens OX=9606 GN=PDE8A PE=1 SV=2
+EPPPRLNRLKMEDLGKWYKFNNDLHQMLDPLDVFADWADFMDTIFYDIFSIQSKPISCTNRDFVPMVVPLGQQKEEDTQSFYEESIRAAWEICYQLPRCPNSVDACKILMRKILTRNEPTRLMTNIVEQNKDTEGNEELTALPKNISNVFKNVHEFHKTMETALVMDIIGQRLTRYDNREMNKFINCKDDGTTLQFALAAHHSELVATDNYLIALESGANCLFSNTRGPHDVDHITAAILAAVEDIPDLTEKIREKSLFYATAHLVDASHTSNHYPNSSHYNAEIIQLWSRLTSESCHLFECIGFRAFMKLGLYILPRNHTAAELEFIDFDWYEENEMARAIRPPVDDLSIPTIINSSVMQTNKTSLVYENGSLRRLGDSMLGGVLDNAHPDDDKAGFQPSYLETTRLIELVRDLAETVPMPSSEQAANIINIVKTIPAEITMSHIRAMSSHRRQSSVETARSAVAKVDLSGKRRDKHKGTQNDTHTDSQVCESIKEAKNNGNCVRIISVYHRIKGGQGIVPIIKVNQQINDGNKKKAYYIGQWEKGIRICSNITDLLDAKKENIPVEGLEKGILEGSQYGMTTEFAPNAYQIFRDESTIEIADESNELATFVSNCARLKLQSRVEGFELQLLENYCAMINPNEVYRRTFGASIFPMVSLEERDVRRVVGVIVTNESLKSSRISRCLAEADLQRPNRHDIIIIDHHKDLFCALVAQAEKTVTCKFGAKECARCFGNCQNDEKTFVLLVQLQDQHFRMPGFQVDAVAVKKGSGDRLESELLGAGRTRPLAATKQGQPLRPGGSSLPPAAPSPADEAVLRESIHISPACGM
+>sp|P01127|PDGFB_HUMAN Platelet-derived growth factor subunit B OS=Homo sapiens OX=9606 GN=PDGFB PE=1 SV=1
+MNRCWALFLSLCCYLRLVSAEGDPIPEELYEMLSDHSIRSFDDLQRLLHGDPGEEDGAELDLNMTRSHSGGELESLARGRRSLGSLTIAEPAMIAECKTRTEVFEISRRLIDRTNANFLVWPPCVEVQRCSGCCNNRNVQCRPTQVQLRPVQVRKIEIVRKKPIFKKATVTLEDHLACKCETVAAARPVTRSPGGSQEQRAKTPQTRVTIRTVRVRRPPKGKHRKFKHTHDKTALKETLGA
+>DECOY_sp|P01127|PDGFB_HUMAN Platelet-derived growth factor subunit B OS=Homo sapiens OX=9606 GN=PDGFB PE=1 SV=1
+AGLTEKLATKDHTHKFKRHKGKPPRRVRVTRITVRTQPTKARQEQSGGPSRTVPRAAAVTECKCALHDELTVTAKKFIPKKRVIEIKRVQVPRLQVQTPRCQVNRNNCCGSCRQVEVCPPWVLFNANTRDILRRSIEFVETRTKCEAIMAPEAITLSGLSRRGRALSELEGGSHSRTMNLDLEAGDEEGPDGHLLRQLDDFSRISHDSLMEYLEEPIPDGEASVLRLYCCLSLFLAWCRNM
+>sp|Q96JY6|PDLI2_HUMAN PDZ and LIM domain protein 2 OS=Homo sapiens OX=9606 GN=PDLIM2 PE=1 SV=1
+MALTVDVAGPAPWGFRITGGRDFHTPIMVTKVAERGKAKDADLRPGDIIVAINGESAEGMLHAEAQSKIRQSPSPLRLQLDRSQATSPGQTNGDSSLEVLATRFQGSVRTYTESQSSLRSSYSSPTSLSPRAGSPFSPPPSSSSLTGEAAISRSFQSLACSPGLPAADRLSYSGRPGSRQAGLGRAGDSAVLVLPPSPGPRSSRPSMDSEGGSLLLDEDSEVFKMLQENREGRAAPRQSSSFRLLQEALEAEERGGTPAFLPSSLSPQSSLPASRALATPPKLHTCEKCSTSIANQAVRIQEGRYRHPGCYTCADCGLNLKMRGHFWVGDELYCEKHARQRYSAPATLSSRA
+>DECOY_sp|Q96JY6|PDLI2_HUMAN PDZ and LIM domain protein 2 OS=Homo sapiens OX=9606 GN=PDLIM2 PE=1 SV=1
+ARSSLTAPASYRQRAHKECYLEDGVWFHGRMKLNLGCDACTYCGPHRYRGEQIRVAQNAISTSCKECTHLKPPTALARSAPLSSQPSLSSPLFAPTGGREEAELAEQLLRFSSSQRPAARGERNEQLMKFVESDEDLLLSGGESDMSPRSSRPGPSPPLVLVASDGARGLGAQRSGPRGSYSLRDAAPLGPSCALSQFSRSIAAEGTLSSSSPPPSFPSGARPSLSTPSSYSSRLSSQSETYTRVSGQFRTALVELSSDGNTQGPSTAQSRDLQLRLPSPSQRIKSQAEAHLMGEASEGNIAVIIDGPRLDADKAKGREAVKTVMIPTHFDRGGTIRFGWPAPGAVDVTLAM
+>sp|Q9P0J1|PDP1_HUMAN [Pyruvate dehydrogenase [acetyl-transferring]]-phosphatase 1, mitochondrial OS=Homo sapiens OX=9606 GN=PDP1 PE=1 SV=3
+MPAPTQLFFPLIRNCELSRIYGTACYCHHKHLCCSSSYIPQSRLRYTPHPAYATFCRPKENWWQYTQGRRYASTPQKFYLTPPQVNSILKANEYSFKVPEFDGKNVSSILGFDSNQLPANAPIEDRRSAATCLQTRGMLLGVFDGHAGCACSQAVSERLFYYIAVSLLPHETLLEIENAVESGRALLPILQWHKHPNDYFSKEASKLYFNSLRTYWQELIDLNTGESTDIDVKEALINAFKRLDNDISLEAQVGDPNSFLNYLVLRVAFSGATACVAHVDGVDLHVANTGDSRAMLGVQEEDGSWSAVTLSNDHNAQNERELERLKLEHPKSEAKSVVKQDRLLGLLMPFRAFGDVKFKWSIDLQKRVIESGPDQLNDNEYTKFIPPNYHTPPYLTAEPEVTYHRLRPQDKFLVLATDGLWETMHRQDVVRIVGEYLTGMHHQQPIAVGGYKVTLGQMHGLLTERRTKMSSVFEDQNAATHLIRHAVGNNEFGTVDHERLSKMLSLPEELARMYRDDITIIVVQFNSHVVGAYQNQE
+>DECOY_sp|Q9P0J1|PDP1_HUMAN [Pyruvate dehydrogenase [acetyl-transferring]]-phosphatase 1, mitochondrial OS=Homo sapiens OX=9606 GN=PDP1 PE=1 SV=3
+EQNQYAGVVHSNFQVVIITIDDRYMRALEEPLSLMKSLREHDVTGFENNGVAHRILHTAANQDEFVSSMKTRRETLLGHMQGLTVKYGGVAIPQQHHMGTLYEGVIRVVDQRHMTEWLGDTALVLFKDQPRLRHYTVEPEATLYPPTHYNPPIFKTYENDNLQDPGSEIVRKQLDISWKFKVDGFARFPMLLGLLRDQKVVSKAESKPHELKLRELERENQANHDNSLTVASWSGDEEQVGLMARSDGTNAVHLDVGDVHAVCATAGSFAVRLVLYNLFSNPDGVQAELSIDNDLRKFANILAEKVDIDTSEGTNLDILEQWYTRLSNFYLKSAEKSFYDNPHKHWQLIPLLARGSEVANEIELLTEHPLLSVAIYYFLRESVAQSCACGAHGDFVGLLMGRTQLCTAASRRDEIPANAPLQNSDFGLISSVNKGDFEPVKFSYENAKLISNVQPPTLYFKQPTSAYRRGQTYQWWNEKPRCFTAYAPHPTYRLRSQPIYSSSCCLHKHHCYCATGYIRSLECNRILPFFLQTPAPM
+>sp|Q86YL7|PDPN_HUMAN Podoplanin OS=Homo sapiens OX=9606 GN=PDPN PE=1 SV=3
+MWKVSALLFVLGSASLWVLAEGASTGQPEDDTETTGLEGGVAMPGAEDDVVTPGTSEDRYKSGLTTLVATSVNSVTGIRIEDLPTSESTVHAQEQSPSATASNVATSHSTEKVDGDTQTTVEKDGLSTVTLVGIIVGVLLAIGFIGAIIVVVMRKMSGRYSP
+>DECOY_sp|Q86YL7|PDPN_HUMAN Podoplanin OS=Homo sapiens OX=9606 GN=PDPN PE=1 SV=3
+PSYRGSMKRMVVVIIAGIFGIALLVGVIIGVLTVTSLGDKEVTTQTDGDVKETSHSTAVNSATASPSQEQAHVTSESTPLDEIRIGTVSNVSTAVLTTLGSKYRDESTGPTVVDDEAGPMAVGGELGTTETDDEPQGTSAGEALVWLSASGLVFLLASVKWM
+>sp|Q86TG7|PEG10_HUMAN Retrotransposon-derived protein PEG10 OS=Homo sapiens OX=9606 GN=PEG10 PE=1 SV=2
+MTERRRDELSEEINNLREKVMKQSEENNNLQSQVQKLTEENTTLREQVEPTPEDEDDDIELRGAAAAAAPPPPIEEECPEDLPEKFDGNPDMLAPFMAQCQIFMEKSTRDFSVDRVRVCFVTSMMTGRAARWASAKLERSHYLMHNYPAFMMEMKHVFEDPQRREVAKRKIRRLRQGMGSVIDYSNAFQMIAQDLDWNEPALIDQYHEGLSDHIQEELSHLEVAKSLSALIGQCIHIERRLARAAAARKPRSPPRALVLPHIASHHQVDPTEPVGGARMRLTQEEKERRRKLNLCLYCGTGGHYADNCPAKASKSSPAGKLPGPAVEGPSATGPEIIRSPQDDASSPHLQVMLQIHLPGRHTLFVRAMIDSGASGNFIDHEYVAQNGIPLRIKDWPILVEAIDGRPIASGPVVHETHDLIVDLGDHREVLSFDVTQSPFFPVVLGVRWLSTHDPNITWSTRSIVFDSEYCRYHCRMYSPIPPSLPPPAPQPPLYYPVDGYRVYQPVRYYYVQNVYTPVDEHVYPDHRLVDPHIEMIPGAHSIPSGHVYSLSEPEMAALRDFVARNVKDGLITPTIAPNGAQVLQVKRGWKLQVSYDCRAPNNFTIQNQYPRLSIPNLEDQAHLATYTEFVPQIPGYQTYPTYAAYPTYPVGFAWYPVGRDGQGRSLYVPVMITWNPHWYRQPPVPQYPPPQPPPPPPPPPPPPSYSTL
+>DECOY_sp|Q86TG7|PEG10_HUMAN Retrotransposon-derived protein PEG10 OS=Homo sapiens OX=9606 GN=PEG10 PE=1 SV=2
+LTSYSPPPPPPPPPPPPQPPPYQPVPPQRYWHPNWTIMVPVYLSRGQGDRGVPYWAFGVPYTPYAAYTPYTQYGPIQPVFETYTALHAQDELNPISLRPYQNQITFNNPARCDYSVQLKWGRKVQLVQAGNPAITPTILGDKVNRAVFDRLAAMEPESLSYVHGSPISHAGPIMEIHPDVLRHDPYVHEDVPTYVNQVYYYRVPQYVRYGDVPYYLPPQPAPPPLSPPIPSYMRCHYRCYESDFVISRTSWTINPDHTSLWRVGLVVPFFPSQTVDFSLVERHDGLDVILDHTEHVVPGSAIPRGDIAEVLIPWDKIRLPIGNQAVYEHDIFNGSAGSDIMARVFLTHRGPLHIQLMVQLHPSSADDQPSRIIEPGTASPGEVAPGPLKGAPSSKSAKAPCNDAYHGGTGCYLCLNLKRRREKEEQTLRMRAGGVPETPDVQHHSAIHPLVLARPPSRPKRAAAARALRREIHICQGILASLSKAVELHSLEEQIHDSLGEHYQDILAPENWDLDQAIMQFANSYDIVSGMGQRLRRIKRKAVERRQPDEFVHKMEMMFAPYNHMLYHSRELKASAWRAARGTMMSTVFCVRVRDVSFDRTSKEMFIQCQAMFPALMDPNGDFKEPLDEPCEEEIPPPPAAAAAAGRLEIDDDEDEPTPEVQERLTTNEETLKQVQSQLNNNEESQKMVKERLNNIEESLEDRRRETM
+>sp|Q9HAT8|PELI2_HUMAN E3 ubiquitin-protein ligase pellino homolog 2 OS=Homo sapiens OX=9606 GN=PELI2 PE=1 SV=1
+MFSPGQEEHCAPNKEPVKYGELVVLGYNGALPNGDRGRRKSRFALYKRPKANGVKPSTVHVISTPQASKAISCKGQHSISYTLSRNQTVVVEYTHDKDTDMFQVGRSTESPIDFVVTDTISGSQNTDEAQITQSTISRFACRIVCDRNEPYTARIFAAGFDSSKNIFLGEKAAKWKNPDGHMDGLTTNGVLVMHPRGGFTEESQPGVWREISVCGDVYTLRETRSAQQRGKLVESETNVLQDGSLIDLCGATLLWRTADGLFHTPTQKHIEALRQEINAARPQCPVGLNTLAFPSINRKEVVEEKQPWAYLSCGHVHGYHNWGHRSDTEANERECPMCRTVGPYVPLWLGCEAGFYVDAGPPTHAFTPCGHVCSEKSAKYWSQIPLPHGTHAFHAACPFCATQLVGEQNCIKLIFQGPID
+>DECOY_sp|Q9HAT8|PELI2_HUMAN E3 ubiquitin-protein ligase pellino homolog 2 OS=Homo sapiens OX=9606 GN=PELI2 PE=1 SV=1
+DIPGQFILKICNQEGVLQTACFPCAAHFAHTGHPLPIQSWYKASKESCVHGCPTFAHTPPGADVYFGAECGLWLPVYPGVTRCMPCERENAETDSRHGWNHYGHVHGCSLYAWPQKEEVVEKRNISPFALTNLGVPCQPRAANIEQRLAEIHKQTPTHFLGDATRWLLTAGCLDILSGDQLVNTESEVLKGRQQASRTERLTYVDGCVSIERWVGPQSEETFGGRPHMVLVGNTTLGDMHGDPNKWKAAKEGLFINKSSDFGAAFIRATYPENRDCVIRCAFRSITSQTIQAEDTNQSGSITDTVVFDIPSETSRGVQFMDTDKDHTYEVVVTQNRSLTYSISHQGKCSIAKSAQPTSIVHVTSPKVGNAKPRKYLAFRSKRRGRDGNPLAGNYGLVVLEGYKVPEKNPACHEEQGPSFM
+>sp|Q9UBM1|PEMT_HUMAN Phosphatidylethanolamine N-methyltransferase OS=Homo sapiens OX=9606 GN=PEMT PE=1 SV=4
+MTRLLGYVDPLDPSFVAAVITITFNPLYWNVVARWEHKTRKLSRAFGSPYLACYSLSVTILLLNFLRSHCFTQAMLSQPRMESLDTPAAYSLGLALLGLGVVLVLSSFFALGFAGTFLGDYFGILKEARVTVFPFNILDNPMYWGSTANYLGWAIMHASPTGLLLTVLVALTYIVALLYEEPFTAEIYRQKASGSHKRS
+>DECOY_sp|Q9UBM1|PEMT_HUMAN Phosphatidylethanolamine N-methyltransferase OS=Homo sapiens OX=9606 GN=PEMT PE=1 SV=4
+SRKHSGSAKQRYIEATFPEEYLLAVIYTLAVLVTLLLGTPSAHMIAWGLYNATSGWYMPNDLINFPFVTVRAEKLIGFYDGLFTGAFGLAFFSSLVLVVGLGLLALGLSYAAPTDLSEMRPQSLMAQTFCHSRLFNLLLITVSLSYCALYPSGFARSLKRTKHEWRAVVNWYLPNFTITIVAAVFSPDLPDVYGLLRTM
+>sp|Q96FX8|PERP_HUMAN p53 apoptosis effector related to PMP-22 OS=Homo sapiens OX=9606 GN=PERP PE=2 SV=1
+MIRCGLACERCRWILPLLLLSAIAFDIIALAGRGWLQSSDHGQTSSLWWKCSQEGGGSGSYEEGCQSLMEYAWGRAAAAMLFCGFIILVICFILSFFALCGPQMLVFLRVIGGLLALAAVFQIISLVIYPVKYTQTFTLHANPAVTYIYNWAYGFGWAATIILIGCAFFFCCLPNYEDDLLGNAKPRYFYTSA
+>DECOY_sp|Q96FX8|PERP_HUMAN p53 apoptosis effector related to PMP-22 OS=Homo sapiens OX=9606 GN=PERP PE=2 SV=1
+ASTYFYRPKANGLLDDEYNPLCCFFFACGILIITAAWGFGYAWNYIYTVAPNAHLTFTQTYKVPYIVLSIIQFVAALALLGGIVRLFVLMQPGCLAFFSLIFCIVLIIFGCFLMAAAARGWAYEMLSQCGEEYSGSGGGEQSCKWWLSSTQGHDSSQLWGRGALAIIDFAIASLLLLPLIWRCRECALGCRIM
+>sp|P50542|PEX5_HUMAN Peroxisomal targeting signal 1 receptor OS=Homo sapiens OX=9606 GN=PEX5 PE=1 SV=3
+MAMRELVEAECGGANPLMKLAGHFTQDKALRQEGLRPGPWPPGAPASEAASKPLGVASEDELVAEFLQDQNAPLVSRAPQTFKMDDLLAEMQQIEQSNFRQAPQRAPGVADLALSENWAQEFLAAGDAVDVTQDYNETDWSQEFISEVTDPLSVSPARWAEEYLEQSEEKLWLGEPEGTATDRWYDEYHPEEDLQHTASDFVAKVDDPKLANSEFLKFVRQIGEGQVSLESGAGSGRAQAEQWAAEFIQQQGTSDAWVDQFTRPVNTSALDMEFERAKSAIESDVDFWDKLQAELEEMAKRDAEAHPWLSDYDDLTSATYDKGYQFEEENPLRDHPQPFEEGLRRLQEGDLPNAVLLFEAAVQQDPKHMEAWQYLGTTQAENEQELLAISALRRCLELKPDNQTALMALAVSFTNESLQRQACETLRDWLRYTPAYAHLVTPAEEGAGGAGLGPSKRILGSLLSDSLFLEVKELFLAAVRLDPTSIDPDVQCGLGVLFNLSGEYDKAVDCFTAALSVRPNDYLLWNKLGATLANGNQSEEAVAAYRRALELQPGYIRSRYNLGISCINLGAHREAVEHFLEALNMQRKSRGPRGEGGAMSENIWSTLRLALSMLGQSDAYGAADARDLSTLLTMFGLPQ
+>DECOY_sp|P50542|PEX5_HUMAN Peroxisomal targeting signal 1 receptor OS=Homo sapiens OX=9606 GN=PEX5 PE=1 SV=3
+QPLGFMTLLTSLDRADAAGYADSQGLMSLALRLTSWINESMAGGEGRPGRSKRQMNLAELFHEVAERHAGLNICSIGLNYRSRIYGPQLELARRYAAVAEESQNGNALTAGLKNWLLYDNPRVSLAATFCDVAKDYEGSLNFLVGLGCQVDPDISTPDLRVAALFLEKVELFLSDSLLSGLIRKSPGLGAGGAGEEAPTVLHAYAPTYRLWDRLTECAQRQLSENTFSVALAMLATQNDPKLELCRRLASIALLEQENEAQTTGLYQWAEMHKPDQQVAAEFLLVANPLDGEQLRRLGEEFPQPHDRLPNEEEFQYGKDYTASTLDDYDSLWPHAEADRKAMEELEAQLKDWFDVDSEIASKAREFEMDLASTNVPRTFQDVWADSTGQQQIFEAAWQEAQARGSGAGSELSVQGEGIQRVFKLFESNALKPDDVKAVFDSATHQLDEEPHYEDYWRDTATGEPEGLWLKEESQELYEEAWRAPSVSLPDTVESIFEQSWDTENYDQTVDVADGAALFEQAWNESLALDAVGPARQPAQRFNSQEIQQMEALLDDMKFTQPARSVLPANQDQLFEAVLEDESAVGLPKSAAESAPAGPPWPGPRLGEQRLAKDQTFHGALKMLPNAGGCEAEVLERMAM
+>sp|Q9BZM1|PG12A_HUMAN Group XIIA secretory phospholipase A2 OS=Homo sapiens OX=9606 GN=PLA2G12A PE=1 SV=1
+MALLSRPALTLLLLLMAAVVRCQEQAQTTDWRATLKTIRNGVHKIDTYLNAALDLLGGEDGLCQYKCSDGSKPFPRYGYKPSPPNGCGSPLFGVHLNIGIPSLTKCCNQHDRCYETCGKSKNDCDEEFQYCLSKICRDVQKTLGLTQHVQACETTVELLFDSVIHLGCKPYLDSQRAACRCHYEEKTDL
+>DECOY_sp|Q9BZM1|PG12A_HUMAN Group XIIA secretory phospholipase A2 OS=Homo sapiens OX=9606 GN=PLA2G12A PE=1 SV=1
+LDTKEEYHCRCAARQSDLYPKCGLHIVSDFLLEVTTECAQVHQTLGLTKQVDRCIKSLCYQFEEDCDNKSKGCTEYCRDHQNCCKTLSPIGINLHVGFLPSGCGNPPSPKYGYRPFPKSGDSCKYQCLGDEGGLLDLAANLYTDIKHVGNRITKLTARWDTTQAQEQCRVVAAMLLLLLTLAPRSLLAM
+>sp|Q96JS3|PGBD1_HUMAN PiggyBac transposable element-derived protein 1 OS=Homo sapiens OX=9606 GN=PGBD1 PE=1 SV=1
+MYEALPGPAPENEDGLVKVKEEDPTWEQVCNSQEGSSHTQEICRLRFRHFCYQEAHGPQEALAQLRELCHQWLRPEMHTKEQIMELLVLEQFLTILPKELQPCVKTYPLESGEEAVTVLENLETGSGDTGQQASVYIQGQDMHPMVAEYQGVSLECQSLQLLPGITTLKCEPPQRPQGNPQEVSGPVPHGSAHLQEKNPRDKAVVPVFNPVRSQTLVKTEEETAQAVAAEKWSHLSLTRRNLCGNSAQETVMSLSPMTEEIVTKDRLFKAKQETSEEMEQSGEASGKPNRECAPQIPCSTPIATERTVAHLNTLKDRHPGDLWARMHISSLEYAAGDITRKGRKKDKARVSELLQGLSFSGDSDVEKDNEPEIQPAQKKLKVSCFPEKSWTKRDIKPNFPSWSALDSGLLNLKSEKLNPVELFELFFDDETFNLIVNETNNYASQKNVSLEVTVQEMRCVFGVLLLSGFMRHPRREMYWEVSDTDQNLVRDAIRRDRFELIFSNLHFADNGHLDQKDKFTKLRPLIKQMNKNFLLYAPLEEYYCFDKSMCECFDSDQFLNGKPIRIGYKIWCGTTTQGYLVWFEPYQEESTMKVDEDPDLGLGGNLVMNFADVLLERGQYPYHLCFDSFFTSVKLLSALKKKGVRATGTIRENRTEKCPLMNVEHMKKMKRGYFDFRIEENNEIILCRWYGDGIISLCSNAVGIEPVNEVSCCDADNEEIPQISQPSIVKVYDECKEGVAKMDQIISKYRVRIRSKKWYSILVSYMIDVAMNNAWQLHRACNPGASLDPLDFRRFVAHFYLEHNAHLSD
+>DECOY_sp|Q96JS3|PGBD1_HUMAN PiggyBac transposable element-derived protein 1 OS=Homo sapiens OX=9606 GN=PGBD1 PE=1 SV=1
+DSLHANHELYFHAVFRRFDLPDLSAGPNCARHLQWANNMAVDIMYSVLISYWKKSRIRVRYKSIIQDMKAVGEKCEDYVKVISPQSIQPIEENDADCCSVENVPEIGVANSCLSIIGDGYWRCLIIENNEEIRFDFYGRKMKKMHEVNMLPCKETRNERITGTARVGKKKLASLLKVSTFFSDFCLHYPYQGRELLVDAFNMVLNGGLGLDPDEDVKMTSEEQYPEFWVLYGQTTTGCWIKYGIRIPKGNLFQDSDFCECMSKDFCYYEELPAYLLFNKNMQKILPRLKTFKDKQDLHGNDAFHLNSFILEFRDRRIADRVLNQDTDSVEWYMERRPHRMFGSLLLVGFVCRMEQVTVELSVNKQSAYNNTENVILNFTEDDFFLEFLEVPNLKESKLNLLGSDLASWSPFNPKIDRKTWSKEPFCSVKLKKQAPQIEPENDKEVDSDGSFSLGQLLESVRAKDKKRGKRTIDGAAYELSSIHMRAWLDGPHRDKLTNLHAVTRETAIPTSCPIQPACERNPKGSAEGSQEMEESTEQKAKFLRDKTVIEETMPSLSMVTEQASNGCLNRRTLSLHSWKEAAVAQATEEETKVLTQSRVPNFVPVVAKDRPNKEQLHASGHPVPGSVEQPNGQPRQPPECKLTTIGPLLQLSQCELSVGQYEAVMPHMDQGQIYVSAQQGTDGSGTELNELVTVAEEGSELPYTKVCPQLEKPLITLFQELVLLEMIQEKTHMEPRLWQHCLERLQALAEQPGHAEQYCFHRFRLRCIEQTHSSGEQSNCVQEWTPDEEKVKVLGDENEPAPGPLAEYM
+>sp|Q96GW7|PGCB_HUMAN Brevican core protein OS=Homo sapiens OX=9606 GN=BCAN PE=1 SV=2
+MAQLFLPLLAALVLAQAPAALADVLEGDSSEDRAFRVRIAGDAPLQGVLGGALTIPCHVHYLRPPPSRRAVLGSPRVKWTFLSRGREAEVLVARGVRVKVNEAYRFRVALPAYPASLTDVSLALSELRPNDSGIYRCEVQHGIDDSSDAVEVKVKGVVFLYREGSARYAFSFSGAQEACARIGAHIATPEQLYAAYLGGYEQCDAGWLSDQTVRYPIQTPREACYGDMDGFPGVRNYGVVDPDDLYDVYCYAEDLNGELFLGDPPEKLTLEEARAYCQERGAEIATTGQLYAAWDGGLDHCSPGWLADGSVRYPIVTPSQRCGGGLPGVKTLFLFPNQTGFPNKHSRFNVYCFRDSAQPSAIPEASNPASNPASDGLEAIVTVTETLEELQLPQEATESESRGAIYSIPIMEDGGGGSSTPEDPAEAPRTLLEFETQSMVPPTGFSEEEGKALEEEEKYEDEEEKEEEEEEEEVEDEALWAWPSELSSPGPEASLPTEPAAQEESLSQAPARAVLQPGASPLPDGESEASRPPRVHGPPTETLPTPRERNLASPSPSTLVEAREVGEATGGPELSGVPRGESEETGSSEGAPSLLPATRAPEGTRELEAPSEDNSGRTAPAGTSVQAQPVLPTDSASRGGVAVVPASGDCVPSPCHNGGTCLEEEEGVRCLCLPGYGGDLCDVGLRFCNPGWDAFQGACYKHFSTRRSWEEAETQCRMYGAHLASISTPEEQDFINNRYREYQWIGLNDRTIEGDFLWSDGVPLLYENWNPGQPDSYFLSGENCVVMVWHDQGQWSDVPCNYHLSYTCKMGLVSCGPPPELPLAQVFGRPRLRYEVDTVLRYRCREGLAQRNLPLIRCQENGRWEAPQISCVPRRPARALHPEEDPEGRQGRLLGRWKALLIPPSSPMPGP
+>DECOY_sp|Q96GW7|PGCB_HUMAN Brevican core protein OS=Homo sapiens OX=9606 GN=BCAN PE=1 SV=2
+PGPMPSSPPILLAKWRGLLRGQRGEPDEEPHLARAPRRPVCSIQPAEWRGNEQCRILPLNRQALGERCRYRLVTDVEYRLRPRGFVQALPLEPPPGCSVLGMKCTYSLHYNCPVDSWQGQDHWVMVVCNEGSLFYSDPQGPNWNEYLLPVGDSWLFDGEITRDNLGIWQYERYRNNIFDQEEPTSISALHAGYMRCQTEAEEWSRRTSFHKYCAGQFADWGPNCFRLGVDCLDGGYGPLCLCRVGEEEELCTGGNHCPSPVCDGSAPVVAVGGRSASDTPLVPQAQVSTGAPATRGSNDESPAELERTGEPARTAPLLSPAGESSGTEESEGRPVGSLEPGGTAEGVERAEVLTSPSPSALNRERPTPLTETPPGHVRPPRSAESEGDPLPSAGPQLVARAPAQSLSEEQAAPETPLSAEPGPSSLESPWAWLAEDEVEEEEEEEEKEEEDEYKEEEELAKGEEESFGTPPVMSQTEFELLTRPAEAPDEPTSSGGGGDEMIPISYIAGRSESETAEQPLQLEELTETVTVIAELGDSAPNSAPNSAEPIASPQASDRFCYVNFRSHKNPFGTQNPFLFLTKVGPLGGGCRQSPTVIPYRVSGDALWGPSCHDLGGDWAAYLQGTTAIEAGREQCYARAEELTLKEPPDGLFLEGNLDEAYCYVDYLDDPDVVGYNRVGPFGDMDGYCAERPTQIPYRVTQDSLWGADCQEYGGLYAAYLQEPTAIHAGIRACAEQAGSFSFAYRASGERYLFVVGKVKVEVADSSDDIGHQVECRYIGSDNPRLESLALSVDTLSAPYAPLAVRFRYAENVKVRVGRAVLVEAERGRSLFTWKVRPSGLVARRSPPPRLYHVHCPITLAGGLVGQLPADGAIRVRFARDESSDGELVDALAAPAQALVLAALLPLFLQAM
+>sp|Q96LB8|PGRP4_HUMAN Peptidoglycan recognition protein 4 OS=Homo sapiens OX=9606 GN=PGLYRP4 PE=1 SV=2
+MLPWLLVFSALGIQAWGDSSWNKTQAKQVSEGLQYLFENISQLTEKGLPTDVSTTVSRKAWGAEAVGCSIQLTTPVNVLVIHHVPGLECHDQTVCSQRLRELQAHHVHNNSGCDVAYNFLVGDDGRVYEGVGWNIQGVHTQGYNNISLGFAFFGTKKGHSPSPAALSAMENLITYAVQKGHLSSSYVQPLLGKGENCLAPRQKTSLKKACPGVVPRSVWGARETHCPRMTLPAKYGIIIHTAGRTCNISDECRLLVRDIQSFYIDRLKSCDIGYNFLVGQDGAIYEGVGWNVQGSSTPGYDDIALGITFMGTFTGIPPNAAALEAAQDLIQCAMVKGYLTPNYLLVGHSDVARTLSPGQALYNIISTWPHFKH
+>DECOY_sp|Q96LB8|PGRP4_HUMAN Peptidoglycan recognition protein 4 OS=Homo sapiens OX=9606 GN=PGLYRP4 PE=1 SV=2
+HKFHPWTSIINYLAQGPSLTRAVDSHGVLLYNPTLYGKVMACQILDQAAELAAANPPIGTFTGMFTIGLAIDDYGPTSSGQVNWGVGEYIAGDQGVLFNYGIDCSKLRDIYFSQIDRVLLRCEDSINCTRGATHIIIGYKAPLTMRPCHTERAGWVSRPVVGPCAKKLSTKQRPALCNEGKGLLPQVYSSSLHGKQVAYTILNEMASLAAPSPSHGKKTGFFAFGLSINNYGQTHVGQINWGVGEYVRGDDGVLFNYAVDCGSNNHVHHAQLERLRQSCVTQDHCELGPVHHIVLVNVPTTLQISCGVAEAGWAKRSVTTSVDTPLGKETLQSINEFLYQLGESVQKAQTKNWSSDGWAQIGLASFVLLWPLM
+>sp|P21810|PGS1_HUMAN Biglycan OS=Homo sapiens OX=9606 GN=BGN PE=1 SV=2
+MWPLWRLVSLLALSQALPFEQRGFWDFTLDDGPFMMNDEEASGADTSGVLDPDSVTPTYSAMCPFGCHCHLRVVQCSDLGLKSVPKEISPDTTLLDLQNNDISELRKDDFKGLQHLYALVLVNNKISKIHEKAFSPLRKLQKLYISKNHLVEIPPNLPSSLVELRIHDNRIRKVPKGVFSGLRNMNCIEMGGNPLENSGFEPGAFDGLKLNYLRISEAKLTGIPKDLPETLNELHLDHNKIQAIELEDLLRYSKLYRLGLGHNQIRMIENGSLSFLPTLRELHLDNNKLARVPSGLPDLKLLQVVYLHSNNITKVGVNDFCPMGFGVKRAYYNGISLFNNPVPYWEVQPATFRCVTDRLAIQFGNYKK
+>DECOY_sp|P21810|PGS1_HUMAN Biglycan OS=Homo sapiens OX=9606 GN=BGN PE=1 SV=2
+KKYNGFQIALRDTVCRFTAPQVEWYPVPNNFLSIGNYYARKVGFGMPCFDNVGVKTINNSHLYVVQLLKLDPLGSPVRALKNNDLHLERLTPLFSLSGNEIMRIQNHGLGLRYLKSYRLLDELEIAQIKNHDLHLENLTEPLDKPIGTLKAESIRLYNLKLGDFAGPEFGSNELPNGGMEICNMNRLGSFVGKPVKRIRNDHIRLEVLSSPLNPPIEVLHNKSIYLKQLKRLPSFAKEHIKSIKNNVLVLAYLHQLGKFDDKRLESIDNNQLDLLTTDPSIEKPVSKLGLDSCQVVRLHCHCGFPCMASYTPTVSDPDLVGSTDAGSAEEDNMMFPGDDLTFDWFGRQEFPLAQSLALLSVLRWLPWM
+>sp|P53609|PGTB1_HUMAN Geranylgeranyl transferase type-1 subunit beta OS=Homo sapiens OX=9606 GN=PGGT1B PE=1 SV=2
+MAATEDERLAGSGEGERLDFLRDRHVRFFQRCLQVLPERYSSLETSRLTIAFFALSGLDMLDSLDVVNKDDIIEWIYSLQVLPTEDRSNLNRCGFRGSSYLGIPFNPSKAPGTAHPYDSGHIAMTYTGLSCLVILGDDLSRVNKEACLAGLRALQLEDGSFCAVPEGSENDMRFVYCASCICYMLNNWSGMDMKKAITYIRRSMSYDNGLAQGAGLESHGGSTFCGIASLCLMGKLEEVFSEKELNRIKRWCIMRQQNGYHGRPNKPVDTCYSFWVGATLKLLKIFQYTNFEKNRNYILSTQDRLVGGFAKWPDSHPDALHAYFGICGLSLMEESGICKVHPALNVSTRTSERLLDLHQSWKTKDSKQCSENVHIST
+>DECOY_sp|P53609|PGTB1_HUMAN Geranylgeranyl transferase type-1 subunit beta OS=Homo sapiens OX=9606 GN=PGGT1B PE=1 SV=2
+TSIHVNESCQKSDKTKWSQHLDLLRESTRTSVNLAPHVKCIGSEEMLSLGCIGFYAHLADPHSDPWKAFGGVLRDQTSLIYNRNKEFNTYQFIKLLKLTAGVWFSYCTDVPKNPRGHYGNQQRMICWRKIRNLEKESFVEELKGMLCLSAIGCFTSGGHSELGAGQALGNDYSMSRRIYTIAKKMDMGSWNNLMYCICSACYVFRMDNESGEPVACFSGDELQLARLGALCAEKNVRSLDDGLIVLCSLGTYTMAIHGSDYPHATGPAKSPNFPIGLYSSGRFGCRNLNSRDETPLVQLSYIWEIIDDKNVVDLSDLMDLGSLAFFAITLRSTELSSYREPLVQLCRQFFRVHRDRLFDLREGEGSGALREDETAAM
+>sp|Q9C0D0|PHAR1_HUMAN Phosphatase and actin regulator 1 OS=Homo sapiens OX=9606 GN=PHACTR1 PE=1 SV=3
+MDYPKMDYFLDVESAHRLLDVESAQRFFYSQGAQARRATLLLPPTLMAASSEDDIDRRPIRRVRSKSDTPYLAEARISFNLGAAEEVERLAAMRSDSLVPGTHTPPIRRRSKFANLGRIFKPWKWRKKKSEKFKHTSAALERKISMRQSREELIKRGVLKEIYDKDGELSISNEEDSLENGQSLSSSQLSLPALSEMEPVPMPRDPCSYEVLQPSDIMDGPDPGAPVKLPCLPVKLSPPLPPKKVMICMPVGGPDLSLVSYTAQKSGQQGVAQHHHTVLPSQIQHQLQYGSHGQHLPSTTGSLPMHPSGCRMIDELNKTLAMTMQRLESSEQRVPCSTSYHSSGLHSGDGVTKAGPMGLPEIRQVPTVVIECDDNKENVPHESDYEDSSCLYTREEEEEEEDEDDDSSLYTSSLAMKVCRKDSLAIKLSNRPSKRELEEKNILPRQTDEERLELRQQIGTKLTRRLSQRPTAEELEQRNILKPRNEQEEQEEKREIKRRLTRKLSQRPTVEELRERKILIRFSDYVEVADAQDYDRRADKPWTRLTAADKAAIRKELNEFKSTEMEVHELSRHLTRFHRP
+>DECOY_sp|Q9C0D0|PHAR1_HUMAN Phosphatase and actin regulator 1 OS=Homo sapiens OX=9606 GN=PHACTR1 PE=1 SV=3
+PRHFRTLHRSLEHVEMETSKFENLEKRIAAKDAATLRTWPKDARRDYDQADAVEVYDSFRILIKRERLEEVTPRQSLKRTLRRKIERKEEQEEQENRPKLINRQELEEATPRQSLRRTLKTGIQQRLELREEDTQRPLINKEELERKSPRNSLKIALSDKRCVKMALSSTYLSSDDDEDEEEEEEERTYLCSSDEYDSEHPVNEKNDDCEIVVTPVQRIEPLGMPGAKTVGDGSHLGSSHYSTSCPVRQESSELRQMTMALTKNLEDIMRCGSPHMPLSGTTSPLHQGHSGYQLQHQIQSPLVTHHHQAVGQQGSKQATYSVLSLDPGGVPMCIMVKKPPLPPSLKVPLCPLKVPAGPDPGDMIDSPQLVEYSCPDRPMPVPEMESLAPLSLQSSSLSQGNELSDEENSISLEGDKDYIEKLVGRKILEERSQRMSIKRELAASTHKFKESKKKRWKWPKFIRGLNAFKSRRRIPPTHTGPVLSDSRMAALREVEEAAGLNFSIRAEALYPTDSKSRVRRIPRRDIDDESSAAMLTPPLLLTARRAQAGQSYFFRQASEVDLLRHASEVDLFYDMKPYDM
+>sp|Q9H814|PHAX_HUMAN Phosphorylated adapter RNA export protein OS=Homo sapiens OX=9606 GN=PHAX PE=1 SV=1
+MALEVGDMEDGQLSDSDSDMTVAPSDRPLQLPKVLGGDSAMRAFQNTATACAPVSHYRAVESVDSSEESFSDSDDDSCLWKRKRQKCFNPPPKPEPFQFGQSSQKPPVAGGKKINNIWGAVLQEQNQDAVATELGILGMEGTIDRSRQSETYNYLLAKKLRKESQEHTKDLDKELDEYMHGGKKMGSKEEENGQGHLKRKRPVKDRLGNRPEMNYKGRYEITAEDSQEKVADEISFRLQEPKKDLIARVVRIIGNKKAIELLMETAEVEQNGGLFIMNGSRRRTPGGVFLNLLKNTPSISEEQIKDIFYIENQKEYENKKAARKRRTQVLGKKMKQAIKSLNFQEDDDTSRETFASDTNEALASLDESQEGHAEAKLEAEEAIEVDHSHDLDIF
+>DECOY_sp|Q9H814|PHAX_HUMAN Phosphorylated adapter RNA export protein OS=Homo sapiens OX=9606 GN=PHAX PE=1 SV=1
+FIDLDHSHDVEIAEEAELKAEAHGEQSEDLSALAENTDSAFTERSTDDDEQFNLSKIAQKMKKGLVQTRRKRAAKKNEYEKQNEIYFIDKIQEESISPTNKLLNLFVGGPTRRRSGNMIFLGGNQEVEATEMLLEIAKKNGIIRVVRAILDKKPEQLRFSIEDAVKEQSDEATIEYRGKYNMEPRNGLRDKVPRKRKLHGQGNEEEKSGMKKGGHMYEDLEKDLDKTHEQSEKRLKKALLYNYTESQRSRDITGEMGLIGLETAVADQNQEQLVAGWINNIKKGGAVPPKQSSQGFQFPEPKPPPNFCKQRKRKWLCSDDDSDSFSEESSDVSEVARYHSVPACATATNQFARMASDGGLVKPLQLPRDSPAVTMDSDSDSLQGDEMDGVELAM
+>sp|P35232|PHB_HUMAN Prohibitin OS=Homo sapiens OX=9606 GN=PHB PE=1 SV=1
+MAAKVFESIGKFGLALAVAGGVVNSALYNVDAGHRAVIFDRFRGVQDIVVGEGTHFLIPWVQKPIIFDCRSRPRNVPVITGSKDLQNVNITLRILFRPVASQLPRIFTSIGEDYDERVLPSITTEILKSVVARFDAGELITQRELVSRQVSDDLTERAATFGLILDDVSLTHLTFGKEFTEAVEAKQVAQQEAERARFVVEKAEQQKKAAIISAEGDSKAAELIANSLATAGDGLIELRKLEAAEDIAYQLSRSRNITYLPAGQSVLLQLPQ
+>DECOY_sp|P35232|PHB_HUMAN Prohibitin OS=Homo sapiens OX=9606 GN=PHB PE=1 SV=1
+QPLQLLVSQGAPLYTINRSRSLQYAIDEAAELKRLEILGDGATALSNAILEAAKSDGEASIIAAKKQQEAKEVVFRAREAEQQAVQKAEVAETFEKGFTLHTLSVDDLILGFTAARETLDDSVQRSVLERQTILEGADFRAVVSKLIETTISPLVREDYDEGISTFIRPLQSAVPRFLIRLTINVNQLDKSGTIVPVNRPRSRCDFIIPKQVWPILFHTGEGVVIDQVGRFRDFIVARHGADVNYLASNVVGGAVALALGFKGISEFVKAAM
+>sp|Q8IXK0|PHC2_HUMAN Polyhomeotic-like protein 2 OS=Homo sapiens OX=9606 GN=PHC2 PE=1 SV=1
+MENELPVPHTSSSACATSSTSGASSSSGCNNSSSGGSGRPTGPQISVYSGIPDRQTVQVIQQALHRQPSTAAQYLQQMYAAQQQHLMLQTAALQQQHLSSAQLQSLAAVQQASLVSNRQGSTSGSNVSAQAPAQSSSINLAASPAAAQLLNRAQSVNSAAASGIAQQAVLLGNTSSPALTASQAQMYLRAQMLIFTPTATVATVQPELGTGSPARPPTPAQVQNLTLRTQQTPAAAASGPTPTQPVLPSLALKPTPGGSQPLPTPAQSRNTAQASPAGAKPGIADSVMEPHKKGDGNSSVPGSMEGRAGLSRTVPAVAAHPLIAPAYAQLQPHQLLPQPSSKHLQPQFVIQQQPQPQQQQPPPQQSRPVLQAEPHPQLASVSPSVALQPSSEAHAMPLGPVTPALPLQCPTANLHKPGGSQQCHPPTPDTGPQNGHPEGVPHTPQRRFQHTSAVILQLQPASPPQQCVPDDWKEVAPGEKSVPETRSGPSPHQQAIVTAMPGGLPVPTSPNIQPSPAHETGQGIVHALTDLSSPGMTSGNGNSASSIAGTAPQNGENKPPQAIVKPQILTHVIEGFVIQEGAEPFPVGRSSLLVGNLKKKYAQGFLPEKLPQQDHTTTTDSEMEEPYLQESKEEGAPLKLKCELCGRVDFAYKFKRSKRFCSMACAKRYNVGCTKRVGLFHSDRSKLQKAGAATHNRRRASKASLPPLTKDTKKQPTGTVPLSVTAALQLTHSQEDSSRCSDNSSYEEPLSPISASSSTSRRRQGQRDLELPDMHMRDLVGMGHHFLPSEPTKWNVEDVYEFIRSLPGCQEIAEEFRAQEIDGQALLLLKEDHLMSAMNIKLGPALKIYARISMLKDS
+>DECOY_sp|Q8IXK0|PHC2_HUMAN Polyhomeotic-like protein 2 OS=Homo sapiens OX=9606 GN=PHC2 PE=1 SV=1
+SDKLMSIRAYIKLAPGLKINMASMLHDEKLLLLAQGDIEQARFEEAIEQCGPLSRIFEYVDEVNWKTPESPLFHHGMGVLDRMHMDPLELDRQGQRRRSTSSSASIPSLPEEYSSNDSCRSSDEQSHTLQLAATVSLPVTGTPQKKTDKTLPPLSAKSARRRNHTAAGAKQLKSRDSHFLGVRKTCGVNYRKACAMSCFRKSRKFKYAFDVRGCLECKLKLPAGEEKSEQLYPEEMESDTTTTHDQQPLKEPLFGQAYKKKLNGVLLSSRGVPFPEAGEQIVFGEIVHTLIQPKVIAQPPKNEGNQPATGAISSASNGNGSTMGPSSLDTLAHVIGQGTEHAPSPQINPSTPVPLGGPMATVIAQQHPSPGSRTEPVSKEGPAVEKWDDPVCQQPPSAPQLQLIVASTHQFRRQPTHPVGEPHGNQPGTDPTPPHCQQSGGPKHLNATPCQLPLAPTVPGLPMAHAESSPQLAVSPSVSALQPHPEAQLVPRSQQPPPQQQQPQPQQQIVFQPQLHKSSPQPLLQHPQLQAYAPAILPHAAVAPVTRSLGARGEMSGPVSSNGDGKKHPEMVSDAIGPKAGAPSAQATNRSQAPTPLPQSGGPTPKLALSPLVPQTPTPGSAAAAPTQQTRLTLNQVQAPTPPRAPSGTGLEPQVTAVTATPTFILMQARLYMQAQSATLAPSSTNGLLVAQQAIGSAAASNVSQARNLLQAAAPSAALNISSSQAPAQASVNSGSTSGQRNSVLSAQQVAALSQLQASSLHQQQLAATQLMLHQQQAAYMQQLYQAATSPQRHLAQQIVQVTQRDPIGSYVSIQPGTPRGSGGSSSNNCGSSSSAGSTSSTACASSSTHPVPLENEM
+>sp|P78562|PHEX_HUMAN Phosphate-regulating neutral endopeptidase OS=Homo sapiens OX=9606 GN=PHEX PE=1 SV=1
+MEAETGSSVETGKKANRGTRIALVVFVGGTLVLGTILFLVSQGLLSLQAKQEYCLKPECIEAAAAILSKVNLSVDPCDNFFRFACDGWISNNPIPEDMPSYGVYPWLRHNVDLKLKELLEKSISRRRDTEAIQKAKILYSSCMNEKAIEKADAKPLLHILRHSPFRWPVLESNIGPEGVWSERKFSLLQTLATFRGQYSNSVFIRLYVSPDDKASNEHILKLDQATLSLAVREDYLDNSTEAKSYRDALYKFMVDTAVLLGANSSRAEHDMKSVLRLEIKIAEIMIPHENRTSEAMYNKMNISELSAMIPQFDWLGYIKKVIDTRLYPHLKDISPSENVVVRVPQYFKDLFRILGSERKKTIANYLVWRMVYSRIPNLSRRFQYRWLEFSRVIQGTTTLLPQWDKCVNFIESALPYVVGKMFVDVYFQEDKKEMMEELVEGVRWAFIDMLEKENEWMDAGTKRKAKEKARAVLAKVGYPEFIMNDTHVNEDLKAIKFSEADYFGNVLQTRKYLAQSDFFWLRKAVPKTEWFTNPTTVNAFYSASTNQIRFPAGELQKPFFWGTEYPRSLSYGAIGVIVGHEFTHGFDNNGRKYDKNGNLDPWWSTESEEKFKEKTKCMINQYSNYYWKKAGLNVKGKRTLGENIADNGGLREAFRAYRKWINDRRQGLEEPLLPGITFTNNQLFFLSYAHVRCNSYRPEAAREQVQIGAHSPPQFRVNGAISNFEEFQKAFNCPPNSTMNRGMDSCRLW
+>DECOY_sp|P78562|PHEX_HUMAN Phosphate-regulating neutral endopeptidase OS=Homo sapiens OX=9606 GN=PHEX PE=1 SV=1
+WLRCSDMGRNMTSNPPCNFAKQFEEFNSIAGNVRFQPPSHAGIQVQERAAEPRYSNCRVHAYSLFFLQNNTFTIGPLLPEELGQRRDNIWKRYARFAERLGGNDAINEGLTRKGKVNLGAKKWYYNSYQNIMCKTKEKFKEESETSWWPDLNGNKDYKRGNNDFGHTFEHGVIVGIAGYSLSRPYETGWFFPKQLEGAPFRIQNTSASYFANVTTPNTFWETKPVAKRLWFFDSQALYKRTQLVNGFYDAESFKIAKLDENVHTDNMIFEPYGVKALVARAKEKAKRKTGADMWENEKELMDIFAWRVGEVLEEMMEKKDEQFYVDVFMKGVVYPLASEIFNVCKDWQPLLTTTGQIVRSFELWRYQFRRSLNPIRSYVMRWVLYNAITKKRESGLIRFLDKFYQPVRVVVNESPSIDKLHPYLRTDIVKKIYGLWDFQPIMASLESINMKNYMAESTRNEHPIMIEAIKIELRLVSKMDHEARSSNAGLLVATDVMFKYLADRYSKAETSNDLYDERVALSLTAQDLKLIHENSAKDDPSVYLRIFVSNSYQGRFTALTQLLSFKRESWVGEPGINSELVPWRFPSHRLIHLLPKADAKEIAKENMCSSYLIKAKQIAETDRRRSISKELLEKLKLDVNHRLWPYVGYSPMDEPIPNNSIWGDCAFRFFNDCPDVSLNVKSLIAAAAEICEPKLCYEQKAQLSLLGQSVLFLITGLVLTGGVFVVLAIRTGRNAKKGTEVSSGTEAEM
+>sp|Q92576|PHF3_HUMAN PHD finger protein 3 OS=Homo sapiens OX=9606 GN=PHF3 PE=1 SV=3
+MDIVDTFNHLIPTEHLDDALFLGSNLENEVCEDFSASQNVLEDSLKNMLSDKDPMLGSASNQFCLPVLDSNDPNFQMPCSTVVGLDDIMDEGVVKESGNDTIDEEELILPNRNLRDKVEENSVRSPRKSPRLMAQEQVRSLRQSTIAKRSNAAPLSNTKKASGKTVSTAKAGVKQPERSQVKEEVCMSLKPEYHKENRRCSRNSGQIEVVPEVSVSSSHSSVSSCLEMKDEDGLDSKHKCNNPGEIDVPSHELNCSLLSETCVTIGEKKNEALMECKAKPVGSPLFKFSDKEEHEQNDSISGKTGETVVEEMIATRKVEQDSKETVKLSHEDDHILEDAGSSDISSDAACTNPNKTENSLVGLPSCVDEVTECNLELKDTMGIADKTENTLERNKIEPLGYCEDAESNRQLESTEFNKSNLEVVDTSTFGPESNILENAICDVPDQNSKQLNAIESTKIESHETANLQDDRNSQSSSVSYLESKSVKSKHTKPVIHSKQNMTTDAPKKIVAAKYEVIHSKTKVNVKSVKRNTDVPESQQNFHRPVKVRKKQIDKEPKIQSCNSGVKSVKNQAHSVLKKTLQDQTLVQIFKPLTHSLSDKSHAHPGCLKEPHHPAQTGHVSHSSQKQCHKPQQQAPAMKTNSHVKEELEHPGVEHFKEEDKLKLKKPEKNLQPRQRRSSKSFSLDEPPLFIPDNIATIRREGSDHSSSFESKYMWTPSKQCGFCKKPHGNRFMVGCGRCDDWFHGDCVGLSLSQAQQMGEEDKEYVCVKCCAEEDKKTEILDPDTLENQATVEFHSGDKTMECEKLGLSKHTTNDRTKYIDDTVKHKVKILKRESGEGRNSSDCRDNEIKKWQLAPLRKMGQPVLPRRSSEEKSEKIPKESTTVTCTGEKASKPGTHEKQEMKKKKVEKGVLNVHPAASASKPSADQIRQSVRHSLKDILMKRLTDSNLKVPEEKAAKVATKIEKELFSFFRDTDAKYKNKYRSLMFNLKDPKNNILFKKVLKGEVTPDHLIRMSPEELASKELAAWRRRENRHTIEMIEKEQREVERRPITKITHKGEIEIESDAPMKEQEAAMEIQEPAANKSLEKPEGSEKQKEEVDSMSKDTTSQHRQHLFDLNCKICIGRMAPPVDDLSPKKVKVVVGVARKHSDNEAESIADALSSTSNILASEFFEEEKQESPKSTFSPAPRPEMPGTVEVESTFLARLNFIWKGFINMPSVAKFVTKAYPVSGSPEYLTEDLPDSIQVGGRISPQTVWDYVEKIKASGTKEICVVRFTPVTEEDQISYTLLFAYFSSRKRYGVAANNMKQVKDMYLIPLGATDKIPHPLVPFDGPGLELHRPNLLLGLIIRQKLKRQHSACASTSHIAETPESAPPIALPPDKKSKIEVSTEEAPEEENDFFNSFTTVLHKQRNKPQQNLQEDLPTAVEPLMEVTKQEPPKPLRFLPGVLIGWENQPTTLELANKPLPVDDILQSLLGTTGQVYDQAQSVMEQNTVKEIPFLNEQTNSKIEKTDNVEVTDGENKEIKVKVDNISESTDKSAEIETSVVGSSSISAGSLTSLSLRGKPPDVSTEAFLTNLSIQSKQEETVESKEKTLKRQLQEDQENNLQDNQTSNSSPCRSNVGKGNIDGNVSCSENLVANTARSPQFINLKRDPRQAAGRSQPVTTSESKDGDSCRNGEKHMLPGLSHNKEHLTEQINVEEKLCSAEKNSCVQQSDNLKVAQNSPSVENIQTSQAEQAKPLQEDILMQNIETVHPFRRGSAVATSHFEVGNTCPSEFPSKSITFTSRSTSPRTSTNFSPMRPQQPNLQHLKSSPPGFPFPGPPNFPPQSMFGFPPHLPPPLLPPPGFGFAQNPMVPWPPVVHLPGQPQRMMGPLSQASRYIGPQNFYQVKDIRRPERRHSDPWGRQDQQQLDRPFNRGKGDRQRFYSDSHHLKRERHEKEWEQESERHRRRDRSQDKDRDRKSREEGHKDKERARLSHGDRGTDGKASRDSRNVDKKPDKPKSEDYEKDKEREKSKHREGEKDRDRYHKDRDHTDRTKSKR
+>DECOY_sp|Q92576|PHF3_HUMAN PHD finger protein 3 OS=Homo sapiens OX=9606 GN=PHF3 PE=1 SV=3
+RKSKTRDTHDRDKHYRDRDKEGERHKSKEREKDKEYDESKPKDPKKDVNRSDRSAKGDTGRDGHSLRAREKDKHGEERSKRDRDKDQSRDRRRHRESEQEWEKEHRERKLHHSDSYFRQRDGKGRNFPRDLQQQDQRGWPDSHRREPRRIDKVQYFNQPGIYRSAQSLPGMMRQPQGPLHVVPPWPVMPNQAFGFGPPPLLPPPLHPPFGFMSQPPFNPPGPFPFGPPSSKLHQLNPQQPRMPSFNTSTRPSTSRSTFTISKSPFESPCTNGVEFHSTAVASGRRFPHVTEINQMLIDEQLPKAQEAQSTQINEVSPSNQAVKLNDSQQVCSNKEASCLKEEVNIQETLHEKNHSLGPLMHKEGNRCSDGDKSESTTVPQSRGAAQRPDRKLNIFQPSRATNAVLNESCSVNGDINGKGVNSRCPSSNSTQNDQLNNEQDEQLQRKLTKEKSEVTEEQKSQISLNTLFAETSVDPPKGRLSLSTLSGASISSSGVVSTEIEASKDTSESINDVKVKIEKNEGDTVEVNDTKEIKSNTQENLFPIEKVTNQEMVSQAQDYVQGTTGLLSQLIDDVPLPKNALELTTPQNEWGILVGPLFRLPKPPEQKTVEMLPEVATPLDEQLNQQPKNRQKHLVTTFSNFFDNEEEPAEETSVEIKSKKDPPLAIPPASEPTEAIHSTSACASHQRKLKQRIILGLLLNPRHLELGPGDFPVLPHPIKDTAGLPILYMDKVQKMNNAAVGYRKRSSFYAFLLTYSIQDEETVPTFRVVCIEKTGSAKIKEVYDWVTQPSIRGGVQISDPLDETLYEPSGSVPYAKTVFKAVSPMNIFGKWIFNLRALFTSEVEVTGPMEPRPAPSFTSKPSEQKEEEFFESALINSTSSLADAISEAENDSHKRAVGVVVKVKKPSLDDVPPAMRGICIKCNLDFLHQRHQSTTDKSMSDVEEKQKESGEPKELSKNAAPEQIEMAAEQEKMPADSEIEIEGKHTIKTIPRREVERQEKEIMEITHRNERRRWAALEKSALEEPSMRILHDPTVEGKLVKKFLINNKPDKLNFMLSRYKNKYKADTDRFFSFLEKEIKTAVKAAKEEPVKLNSDTLRKMLIDKLSHRVSQRIQDASPKSASAAPHVNLVGKEVKKKKMEQKEHTGPKSAKEGTCTVTTSEKPIKESKEESSRRPLVPQGMKRLPALQWKKIENDRCDSSNRGEGSERKLIKVKHKVTDDIYKTRDNTTHKSLGLKECEMTKDGSHFEVTAQNELTDPDLIETKKDEEACCKVCVYEKDEEGMQQAQSLSLGVCDGHFWDDCRGCGVMFRNGHPKKCFGCQKSPTWMYKSEFSSSHDSGERRITAINDPIFLPPEDLSFSKSSRRQRPQLNKEPKKLKLKDEEKFHEVGPHELEEKVHSNTKMAPAQQQPKHCQKQSSHSVHGTQAPHHPEKLCGPHAHSKDSLSHTLPKFIQVLTQDQLTKKLVSHAQNKVSKVGSNCSQIKPEKDIQKKRVKVPRHFNQQSEPVDTNRKVSKVNVKTKSHIVEYKAAVIKKPADTTMNQKSHIVPKTHKSKVSKSELYSVSSSQSNRDDQLNATEHSEIKTSEIANLQKSNQDPVDCIANELINSEPGFTSTDVVELNSKNFETSELQRNSEADECYGLPEIKNRELTNETKDAIGMTDKLELNCETVEDVCSPLGVLSNETKNPNTCAADSSIDSSGADELIHDDEHSLKVTEKSDQEVKRTAIMEEVVTEGTKGSISDNQEHEEKDSFKFLPSGVPKAKCEMLAENKKEGITVCTESLLSCNLEHSPVDIEGPNNCKHKSDLGDEDKMELCSSVSSHSSSVSVEPVVEIQGSNRSCRRNEKHYEPKLSMCVEEKVQSREPQKVGAKATSVTKGSAKKTNSLPAANSRKAITSQRLSRVQEQAMLRPSKRPSRVSNEEVKDRLNRNPLILEEEDITDNGSEKVVGEDMIDDLGVVTSCPMQFNPDNSDLVPLCFQNSASGLMPDKDSLMNKLSDELVNQSASFDECVENELNSGLFLADDLHETPILHNFTDVIDM
+>sp|C9JFL3|PHGR1_HUMAN Proline, histidine and glycine-rich protein 1 OS=Homo sapiens OX=9606 GN=PHGR1 PE=4 SV=1
+MDPGPKGHCHCGGHGHPPGHCGPPPGHGPGPCGPPPHHGPGPCGPPPGHGPGPCGPPPHHGPGPCGPPPGHGPGHPPPGPHH
+>DECOY_sp|C9JFL3|PHGR1_HUMAN Proline, histidine and glycine-rich protein 1 OS=Homo sapiens OX=9606 GN=PHGR1 PE=4 SV=1
+HHPGPPPHGPGHGPPPGCPGPGHHPPPGCPGPGHGPPPGCPGPGHHPPPGCPGPGHGPPPGCHGPPHGHGGCHCHGKPGPDM
+>sp|P15735|PHKG2_HUMAN Phosphorylase b kinase gamma catalytic chain, liver/testis isoform OS=Homo sapiens OX=9606 GN=PHKG2 PE=1 SV=1
+MTLDVGPEDELPDWAAAKEFYQKYDPKDVIGRGVSSVVRRCVHRATGHEFAVKIMEVTAERLSPEQLEEVREATRRETHILRQVAGHPHIITLIDSYESSSFMFLVFDLMRKGELFDYLTEKVALSEKETRSIMRSLLEAVSFLHANNIVHRDLKPENILLDDNMQIRLSDFGFSCHLEPGEKLRELCGTPGYLAPEILKCSMDETHPGYGKEVDLWACGVILFTLLAGSPPFWHRRQILMLRMIMEGQYQFSSPEWDDRSSTVKDLISRLLQVDPEARLTAEQALQHPFFERCEGSQPWNLTPRQRFRVAVWTVLAAGRVALSTHRVRPLTKNALLRDPYALRSVRHLIDNCAFRLYGHWVKKGEQQNRAALFQHRPPGPFPIMGPEEEGDSAAITEDEAVLVLG
+>DECOY_sp|P15735|PHKG2_HUMAN Phosphorylase b kinase gamma catalytic chain, liver/testis isoform OS=Homo sapiens OX=9606 GN=PHKG2 PE=1 SV=1
+GLVLVAEDETIAASDGEEEPGMIPFPGPPRHQFLAARNQQEGKKVWHGYLRFACNDILHRVSRLAYPDRLLANKTLPRVRHTSLAVRGAALVTWVAVRFRQRPTLNWPQSGECREFFPHQLAQEATLRAEPDVQLLRSILDKVTSSRDDWEPSSFQYQGEMIMRLMLIQRRHWFPPSGALLTFLIVGCAWLDVEKGYGPHTEDMSCKLIEPALYGPTGCLERLKEGPELHCSFGFDSLRIQMNDDLLINEPKLDRHVINNAHLFSVAELLSRMISRTEKESLAVKETLYDFLEGKRMLDFVLFMFSSSEYSDILTIIHPHGAVQRLIHTERRTAERVEELQEPSLREATVEMIKVAFEHGTARHVCRRVVSSVGRGIVDKPDYKQYFEKAAAWDPLEDEPGVDLTM
+>sp|Q86SE9|PCGF5_HUMAN Polycomb group RING finger protein 5 OS=Homo sapiens OX=9606 GN=PCGF5 PE=1 SV=1
+MATQRKHLVKDFNPYITCYICKGYLIKPTTVTECLHTFCKTCIVQHFEDSNDCPRCGNQVHETNPLEMLRLDNTLEEIIFKLVPGLREQELERESEFWKKNKPQENGQDDTSKADKPKVDEEGDENEDDKDYHRSDPQIAICLDCLRNNGQSGDNVVKGLMKKFIRCSTRVTVGTIKKFLSLKLKLPSSYELDVLCNGEIMGKDHTMEFIYMTRWRLRGENFRCLNCSASQVCSQDGPLYQSYPMVLQYRPRIDFG
+>DECOY_sp|Q86SE9|PCGF5_HUMAN Polycomb group RING finger protein 5 OS=Homo sapiens OX=9606 GN=PCGF5 PE=1 SV=1
+GFDIRPRYQLVMPYSQYLPGDQSCVQSASCNLCRFNEGRLRWRTMYIFEMTHDKGMIEGNCLVDLEYSSPLKLKLSLFKKITGVTVRTSCRIFKKMLGKVVNDGSQGNNRLCDLCIAIQPDSRHYDKDDENEDGEEDVKPKDAKSTDDQGNEQPKNKKWFESERELEQERLGPVLKFIIEELTNDLRLMELPNTEHVQNGCRPCDNSDEFHQVICTKCFTHLCETVTTPKILYGKCIYCTIYPNFDKVLHKRQTAM
+>sp|Q15645|PCH2_HUMAN Pachytene checkpoint protein 2 homolog OS=Homo sapiens OX=9606 GN=TRIP13 PE=1 SV=2
+MDEAVGDLKQALPCVAESPTVHVEVHQRGSSTAKKEDINLSVRKLLNRHNIVFGDYTWTEFDEPFLTRNVQSVSIIDTELKVKDSQPIDLSACTVALHIFQLNEDGPSSENLEEETENIIAANHWVLPAAEFHGLWDSLVYDVEVKSHLLDYVMTTLLFSDKNVNSNLITWNRVVLLHGPPGTGKTSLCKALAQKLTIRLSSRYRYGQLIEINSHSLFSKWFSESGKLVTKMFQKIQDLIDDKDALVFVLIDEVESLTAARNACRAGTEPSDAIRVVNAVLTQIDQIKRHSNVVILTTSNITEKIDVAFVDRADIKQYIGPPSAAAIFKIYLSCLEELMKCQIIYPRQQLLTLRELEMIGFIENNVSKLSLLLNDISRKSEGLSGRVLRKLPFLAHALYVQAPTVTIEGFLQALSLAVDKQFEERKKLAAYI
+>DECOY_sp|Q15645|PCH2_HUMAN Pachytene checkpoint protein 2 homolog OS=Homo sapiens OX=9606 GN=TRIP13 PE=1 SV=2
+IYAALKKREEFQKDVALSLAQLFGEITVTPAQVYLAHALFPLKRLVRGSLGESKRSIDNLLLSLKSVNNEIFGIMELERLTLLQQRPYIIQCKMLEELCSLYIKFIAAASPPGIYQKIDARDVFAVDIKETINSTTLIVVNSHRKIQDIQTLVANVVRIADSPETGARCANRAATLSEVEDILVFVLADKDDILDQIKQFMKTVLKGSESFWKSFLSHSNIEILQGYRYRSSLRITLKQALAKCLSTKGTGPPGHLLVVRNWTILNSNVNKDSFLLTTMVYDLLHSKVEVDYVLSDWLGHFEAAPLVWHNAAIINETEEELNESSPGDENLQFIHLAVTCASLDIPQSDKVKLETDIISVSQVNRTLFPEDFETWTYDGFVINHRNLLKRVSLNIDEKKATSSGRQHVEVHVTPSEAVCPLAQKLDGVAEDM
+>sp|Q15113|PCOC1_HUMAN Procollagen C-endopeptidase enhancer 1 OS=Homo sapiens OX=9606 GN=PCOLCE PE=1 SV=2
+MLPAATASLLGPLLTACALLPFAQGQTPNYTRPVFLCGGDVKGESGYVASEGFPNLYPPNKECIWTITVPEGQTVSLSFRVFDLELHPACRYDALEVFAGSGTSGQRLGRFCGTFRPAPLVAPGNQVTLRMTTDEGTGGRGFLLWYSGRATSGTEHQFCGGRLEKAQGTLTTPNWPESDYPPGISCSWHIIAPPDQVIALTFEKFDLEPDTYCRYDSVSVFNGAVSDDSRRLGKFCGDAVPGSISSEGNELLVQFVSDLSVTADGFSASYKTLPRGTAKEGQGPGPKRGTEPKVKLPPKSQPPEKTEESPSAPDAPTCPKQCRRTGTLQSNFCASSLVVTATVKSMVREPGEGLAVTVSLIGAYKTGGLDLPSPPTGASLKFYVPCKQCPPMKKGVSYLLMGQVEENRGPVLPPESFVVLHRPNQDQILTNLSKRKCPSQPVRAAASQD
+>DECOY_sp|Q15113|PCOC1_HUMAN Procollagen C-endopeptidase enhancer 1 OS=Homo sapiens OX=9606 GN=PCOLCE PE=1 SV=2
+DQSAAARVPQSPCKRKSLNTLIQDQNPRHLVVFSEPPLVPGRNEEVQGMLLYSVGKKMPPCQKCPVYFKLSAGTPPSPLDLGGTKYAGILSVTVALGEGPERVMSKVTATVVLSSACFNSQLTGTRRCQKPCTPADPASPSEETKEPPQSKPPLKVKPETGRKPGPGQGEKATGRPLTKYSASFGDATVSLDSVFQVLLENGESSISGPVADGCFKGLRRSDDSVAGNFVSVSDYRCYTDPELDFKEFTLAIVQDPPAIIHWSCSIGPPYDSEPWNPTTLTGQAKELRGGCFQHETGSTARGSYWLLFGRGGTGEDTTMRLTVQNGPAVLPAPRFTGCFRGLRQGSTGSGAFVELADYRCAPHLELDFVRFSLSVTQGEPVTITWICEKNPPYLNPFGESAVYGSEGKVDGGCLFVPRTYNPTQGQAFPLLACATLLPGLLSATAAPLM
+>sp|Q9UKZ9|PCOC2_HUMAN Procollagen C-endopeptidase enhancer 2 OS=Homo sapiens OX=9606 GN=PCOLCE2 PE=1 SV=1
+MRGANAWAPLCLLLAAATQLSRQQSPERPVFTCGGILTGESGFIGSEGFPGVYPPNSKCTWKITVPEGKVVVLNFRFIDLESDNLCRYDFVDVYNGHANGQRIGRFCGTFRPGALVSSGNKMMVQMISDANTAGNGFMAMFSAAEPNERGDQYCGGLLDRPSGSFKTPNWPDRDYPAGVTCVWHIVAPKNQLIELKFEKFDVERDNYCRYDYVAVFNGGEVNDARRIGKYCGDSPPAPIVSERNELLIQFLSDLSLTADGFIGHYIFRPKKLPTTTEQPVTTTFPVTTGLKPTVALCQQKCRRTGTLEGNYCSSDFVLAGTVITTITRDGSLHATVSIINIYKEGNLAIQQAGKNMSARLTVVCKQCPLLRRGLNYIIMGQVGEDGRGKIMPNSFIMMFKTKNQKLLDALKNKQC
+>DECOY_sp|Q9UKZ9|PCOC2_HUMAN Procollagen C-endopeptidase enhancer 2 OS=Homo sapiens OX=9606 GN=PCOLCE2 PE=1 SV=1
+CQKNKLADLLKQNKTKFMMIFSNPMIKGRGDEGVQGMIIYNLGRRLLPCQKCVVTLRASMNKGAQQIALNGEKYINIISVTAHLSGDRTITTIVTGALVFDSSCYNGELTGTRRCKQQCLAVTPKLGTTVPFTTTVPQETTTPLKKPRFIYHGIFGDATLSLDSLFQILLENRESVIPAPPSDGCYKGIRRADNVEGGNFVAVYDYRCYNDREVDFKEFKLEILQNKPAVIHWVCTVGAPYDRDPWNPTKFSGSPRDLLGGCYQDGRENPEAASFMAMFGNGATNADSIMQVMMKNGSSVLAGPRFTGCFRGIRQGNAHGNYVDVFDYRCLNDSELDIFRFNLVVVKGEPVTIKWTCKSNPPYVGPFGESGIFGSEGTLIGGCTFVPREPSQQRSLQTAAALLLCLPAWANAGRM
+>sp|Q9H6A9|PCX3_HUMAN Pecanex-like protein 3 OS=Homo sapiens OX=9606 GN=PCNX3 PE=1 SV=2
+MGSQVLQILRQGVWASLTGGWFFDPHQSTFSNCFHLYVWIFLLIFPFLLYMVLPPSLMVAGVYCLVVAVIFATIKTVNYRLHAMFDQGEIVEKRSSTMGELEEEPAQGDSNPPRDPGVEMTVFRKVSSTPPVRCSSQHSVFGFNQVSELLPRMEDSGPLRDIKELVREQGSNNVIVTSADREMLKLSSQEKLIGDLPQTPPGAVPDPSLASTDSSEPSPLAGDGAPWSGSSMADTPMSPLLKGSLSQELSKSFLTLTQPDRALVRTSSRREQRRGAGGYQPLDRRGSGEPTPQKAGSSDSCFSGTDRETLSSFKSEKTNSTHLDSPPGGPAPEGSDTDPPSEAELPASPDAGVPSDDTLRSFDTVIGAGTPPGLAEPLLVVRPKDLALLRPSKRQPPLRRHSPPGRAPRRPLLEGGGFFEDEDTSEGSELSPASSLRSQRRYSTDSSSSTSCYSPESSRGAAGGPRKRRAPHGAEEGTAVPPKRPYGTQRTPSTASAKTHARVLSMDGAGGDVLRPPLAGCKAELEAQVGVEQAASEPVVLPAEARRGPAANQPGWRGELQEEGAVGGAAEETGRRDRSSSVRRTQAIRRRHNAGSNPTPPASVMGSPPSSLQEAQRGRAASHSRALTLPSALHFASSLLLTRAGANVHEACTFDDTSEGAVHYFYDESGVRRSYTFGLAGGGYENPVGQQGEQTANGAWDRHSHSSSFHSADVPEATGGLNLLQPRPVVLQGMQVRRVPLEIPEEQTLMEEAPPRAQHSYKYWLLPGRWTSVRYERLALLALLDRTRGVLENIFGVGLSSLVAFLGYLLLLKGFFTDIWVFQFCLVIASCQYSLLKSVQPDAASPMHGHNWVIAYSRPVYFCICCLLIWLLDALGSAQPFPPVSLYGLTLFSASFFFCARDVATVFTLCFPFVFLLGLLPQVNTCLMYLLEQIDMHGFGGTAATSPLTAVFSLSRSLLAAALLYGFCLGAIKTPWPEQHVPVLFSVFCGLLVALSYHLSRQSSDPTVLWSLIRSKLFPELEERSLETARAEPPDPLPDKMRQSVREVLHSDLVMCVVIAVLTFAISASTVFIALKSVLGFVLYALAGAVGFFTHYLLPQLRKQLPWFCLSQPVLKPLEYSQYEVRGAAQVMWFEKLYAGLQCVEKYLIYPAVVLNALTVDAHTVVSHPDKYCFYCRALLMTVAGLKLLRSAFCCPPQQYLTLAFTVLLFHFDYPRLSQGFLLDYFLMSLLCSKLWDLLYKLRFVLTYIAPWQITWGSAFHAFAQPFAVPHSAMLFVQALLSGLFSTPLNPLLGSAVFIMSYARPLKFWERDYNTKRVDHSNTRLVTQLDRNPGADDNNLNSIFYEHLTRSLQHTLCGDLVLGRWGNYGPGDCFVLASDYLNALVHLIEVGNGLVTFQLRGLEFRGTYCQQREVEAITEGVEEDEGCCCCEPGHLPRVLSFNAAFGQRWLAWEVTASKYVLEGYSISDNNAASMLQVFDLRKILITYYVKSIIYYVSRSPKLEVWLSHEGITAALRPVRVPGYADSDPTFSLSVDEDYDLRLSGLSLPSFCAVHLEWIQYCASRRSQPVDQDWNSPLVTLCFGLCVLGRRALGTASHSMSASLEPFLYGLHALFKGDFRITSPRDEWVFADMDLLHRVVAPGVRMALKLHQDHFTSPDEYEEPAALYDAIAANEERLVISHEGDPAWRSAILSNTPSLLALRHVLDDASDEYKIIMLNRRHLSFRVIKVNRECVRGLWAGQQQELVFLRNRNPERGSIQNAKQALRNMINSSCDQPLGYPIYVSPLTTSLAGSHPQLRALWGGPISLGAIAHWLLRTWERLHKGCGAGCNSGGNVDDSDCSGGGGLTSLSNNPPVAHPTPENTAGNGDQPLPPGPGWGPRSSLSGSGDGRPPPLLQWPPPRLPGPPPASPIPTEGPRTSRPPGPGLLSSEGPSGKWSLGGRKGLGGSDGEPASGSPKGGTPKSQAPLDLSLSLSLSLSPDVSTEASPPRASQDIPCLDSSAPESGTPMGALGDWPAPIEERESPAAQPLLEHQY
+>DECOY_sp|Q9H6A9|PCX3_HUMAN Pecanex-like protein 3 OS=Homo sapiens OX=9606 GN=PCNX3 PE=1 SV=2
+YQHELLPQAAPSEREEIPAPWDGLAGMPTGSEPASSDLCPIDQSARPPSAETSVDPSLSLSLSLSLDLPAQSKPTGGKPSGSAPEGDSGGLGKRGGLSWKGSPGESSLLGPGPPRSTRPGETPIPSAPPPGPLRPPPWQLLPPPRGDGSGSLSSRPGWGPGPPLPQDGNGATNEPTPHAVPPNNSLSTLGGGGSCDSDDVNGGSNCGAGCGKHLREWTRLLWHAIAGLSIPGGWLARLQPHSGALSTTLPSVYIPYGLPQDCSSNIMNRLAQKANQISGREPNRNRLFVLEQQQGAWLGRVCERNVKIVRFSLHRRNLMIIKYEDSADDLVHRLALLSPTNSLIASRWAPDGEHSIVLREENAAIADYLAAPEEYEDPSTFHDQHLKLAMRVGPAVVRHLLDMDAFVWEDRPSTIRFDGKFLAHLGYLFPELSASMSHSATGLARRGLVCLGFCLTVLPSNWDQDVPQSRRSACYQIWELHVACFSPLSLGSLRLDYDEDVSLSFTPDSDAYGPVRVPRLAATIGEHSLWVELKPSRSVYYIISKVYYTILIKRLDFVQLMSAANNDSISYGELVYKSATVEWALWRQGFAANFSLVRPLHGPECCCCGEDEEVGETIAEVERQQCYTGRFELGRLQFTVLGNGVEILHVLANLYDSALVFCDGPGYNGWRGLVLDGCLTHQLSRTLHEYFISNLNNDDAGPNRDLQTVLRTNSHDVRKTNYDREWFKLPRAYSMIFVASGLLPNLPTSFLGSLLAQVFLMASHPVAFPQAFAHFASGWTIQWPAIYTLVFRLKYLLDWLKSCLLSMLFYDLLFGQSLRPYDFHFLLVTFALTLYQQPPCCFASRLLKLGAVTMLLARCYFCYKDPHSVVTHADVTLANLVVAPYILYKEVCQLGAYLKEFWMVQAAGRVEYQSYELPKLVPQSLCFWPLQKRLQPLLYHTFFGVAGALAYLVFGLVSKLAIFVTSASIAFTLVAIVVCMVLDSHLVERVSQRMKDPLPDPPEARATELSREELEPFLKSRILSWLVTPDSSQRSLHYSLAVLLGCFVSFLVPVHQEPWPTKIAGLCFGYLLAAALLSRSLSFVATLPSTAATGGFGHMDIQELLYMLCTNVQPLLGLLFVFPFCLTFVTAVDRACFFFSASFLTLGYLSVPPFPQASGLADLLWILLCCICFYVPRSYAIVWNHGHMPSAADPQVSKLLSYQCSAIVLCFQFVWIDTFFGKLLLLYGLFAVLSSLGVGFINELVGRTRDLLALLALREYRVSTWRGPLLWYKYSHQARPPAEEMLTQEEPIELPVRRVQMGQLVVPRPQLLNLGGTAEPVDASHFSSSHSHRDWAGNATQEGQQGVPNEYGGGALGFTYSRRVGSEDYFYHVAGESTDDFTCAEHVNAGARTLLLSSAFHLASPLTLARSHSAARGRQAEQLSSPPSGMVSAPPTPNSGANHRRRIAQTRRVSSSRDRRGTEEAAGGVAGEEQLEGRWGPQNAAPGRRAEAPLVVPESAAQEVGVQAELEAKCGALPPRLVDGGAGDMSLVRAHTKASATSPTRQTGYPRKPPVATGEEAGHPARRKRPGGAAGRSSEPSYCSTSSSSDTSYRRQSRLSSAPSLESGESTDEDEFFGGGELLPRRPARGPPSHRRLPPQRKSPRLLALDKPRVVLLPEALGPPTGAGIVTDFSRLTDDSPVGADPSAPLEAESPPDTDSGEPAPGGPPSDLHTSNTKESKFSSLTERDTGSFCSDSSGAKQPTPEGSGRRDLPQYGGAGRRQERRSSTRVLARDPQTLTLFSKSLEQSLSGKLLPSMPTDAMSSGSWPAGDGALPSPESSDTSALSPDPVAGPPTQPLDGILKEQSSLKLMERDASTVIVNNSGQERVLEKIDRLPGSDEMRPLLESVQNFGFVSHQSSCRVPPTSSVKRFVTMEVGPDRPPNSDGQAPEEELEGMTSSRKEVIEGQDFMAHLRYNVTKITAFIVAVVLCYVGAVMLSPPLVMYLLFPFILLFIWVYLHFCNSFTSQHPDFFWGGTLSAWVGQRLIQLVQSGM
+>sp|P49585|PCY1A_HUMAN Choline-phosphate cytidylyltransferase A OS=Homo sapiens OX=9606 GN=PCYT1A PE=1 SV=2
+MDAQCSAKVNARKRRKEAPGPNGATEEDGVPSKVQRCAVGLRQPAPFSDEIEVDFSKPYVRVTMEEASRGTPCERPVRVYADGIFDLFHSGHARALMQAKNLFPNTYLIVGVCSDELTHNFKGFTVMNENERYDAVQHCRYVDEVVRNAPWTLTPEFLAEHRIDFVAHDDIPYSSAGSDDVYKHIKEAGMFAPTQRTEGISTSDIITRIVRDYDVYARRNLQRGYTAKELNVSFINEKKYHLQERVDKVKKKVKDVEEKSKEFVQKVEEKSIDLIQKWEEKSREFIGSFLEMFGPEGALKHMLKEGKGRMLQAISPKQSPSSSPTRERSPSPSFRWPFSGKTSPPCSPANLSRHKAAAYDISEDEED
+>DECOY_sp|P49585|PCY1A_HUMAN Choline-phosphate cytidylyltransferase A OS=Homo sapiens OX=9606 GN=PCYT1A PE=1 SV=2
+DEEDESIDYAAAKHRSLNAPSCPPSTKGSFPWRFSPSPSRERTPSSSPSQKPSIAQLMRGKGEKLMHKLAGEPGFMELFSGIFERSKEEWKQILDISKEEVKQVFEKSKEEVDKVKKKVKDVREQLHYKKENIFSVNLEKATYGRQLNRRAYVDYDRVIRTIIDSTSIGETRQTPAFMGAEKIHKYVDDSGASSYPIDDHAVFDIRHEALFEPTLTWPANRVVEDVYRCHQVADYRENENMVTFGKFNHTLEDSCVGVILYTNPFLNKAQMLARAHGSHFLDFIGDAYVRVPRECPTGRSAEEMTVRVYPKSFDVEIEDSFPAPQRLGVACRQVKSPVGDEETAGNPGPAEKRRKRANVKASCQADM
+>sp|Q9Y5K3|PCY1B_HUMAN Choline-phosphate cytidylyltransferase B OS=Homo sapiens OX=9606 GN=PCYT1B PE=1 SV=1
+MPVVTTDAESETGIPKSLSNEPPSETMEEIEHTCPQPRLTLTAPAPFADETNCQCQAPHEKLTIAQARLGTPADRPVRVYADGIFDLFHSGHARALMQAKTLFPNSYLLVGVCSDDLTHKFKGFTVMNEAERYEALRHCRYVDEVIRDAPWTLTPEFLEKHKIDFVAHDDIPYSSAGSDDVYKHIKEAGMFVPTQRTEGISTSDIITRIVRDYDVYARRNLQRGYTAKELNVSFINEKRYRFQNQVDKMKEKVKNVEERSKEFVNRVEEKSHDLIQKWEEKSREFIGNFLELFGPDGAWKQMFQERSSRMLQALSPKQSPVSSPTRSRSPSRSPSPTFSWLPLKTSPPSSPKAASASISSMSEGDEDEK
+>DECOY_sp|Q9Y5K3|PCY1B_HUMAN Choline-phosphate cytidylyltransferase B OS=Homo sapiens OX=9606 GN=PCYT1B PE=1 SV=1
+KEDEDGESMSSISASAAKPSSPPSTKLPLWSFTPSPSRSPSRSRTPSSVPSQKPSLAQLMRSSREQFMQKWAGDPGFLELFNGIFERSKEEWKQILDHSKEEVRNVFEKSREEVNKVKEKMKDVQNQFRYRKENIFSVNLEKATYGRQLNRRAYVDYDRVIRTIIDSTSIGETRQTPVFMGAEKIHKYVDDSGASSYPIDDHAVFDIKHKELFEPTLTWPADRIVEDVYRCHRLAEYREAENMVTFGKFKHTLDDSCVGVLLYSNPFLTKAQMLARAHGSHFLDFIGDAYVRVPRDAPTGLRAQAITLKEHPAQCQCNTEDAFPAPATLTLRPQPCTHEIEEMTESPPENSLSKPIGTESEADTTVVPM
+>sp|Q99447|PCY2_HUMAN Ethanolamine-phosphate cytidylyltransferase OS=Homo sapiens OX=9606 GN=PCYT2 PE=1 SV=1
+MIRNGRGAAGGAEQPGPGGRRAVRVWCDGCYDMVHYGHSNQLRQARAMGDYLIVGVHTDEEIAKHKGPPVFTQEERYKMVQAIKWVDEVVPAAPYVTTLETLDKYNCDFCVHGNDITLTVDGRDTYEEVKQAGRYRECKRTQGVSTTDLVGRMLLVTKAHHSSQEMSSEYREYADSFGKCPGGRNPWTGVSQFLQTSQKIIQFASGKEPQPGETVIYVAGAFDLFHIGHVDFLEKVHRLAERPYIIAGLHFDQEVNHYKGKNYPIMNLHERTLSVLACRYVSEVVIGAPYAVTAELLSHFKVDLVCHGKTEIIPDRDGSDPYQEPKRRGIFRQIDSGSNLTTDLIVQRIITNRLEYEARNQKKEAKELAFLEAARQQAAQPLGERDGDF
+>DECOY_sp|Q99447|PCY2_HUMAN Ethanolamine-phosphate cytidylyltransferase OS=Homo sapiens OX=9606 GN=PCYT2 PE=1 SV=1
+FDGDREGLPQAAQQRAAELFALEKAEKKQNRAEYELRNTIIRQVILDTTLNSGSDIQRFIGRRKPEQYPDSGDRDPIIETKGHCVLDVKFHSLLEATVAYPAGIVVESVYRCALVSLTREHLNMIPYNKGKYHNVEQDFHLGAIIYPREALRHVKELFDVHGIHFLDFAGAVYIVTEGPQPEKGSAFQIIKQSTQLFQSVGTWPNRGGPCKGFSDAYERYESSMEQSSHHAKTVLLMRGVLDTTSVGQTRKCERYRGAQKVEEYTDRGDVTLTIDNGHVCFDCNYKDLTELTTVYPAAPVVEDVWKIAQVMKYREEQTFVPPGKHKAIEEDTHVGVILYDGMARAQRLQNSHGYHVMDYCGDCWVRVARRGGPGPQEAGGAAGRGNRIM
+>sp|P43116|PE2R2_HUMAN Prostaglandin E2 receptor EP2 subtype OS=Homo sapiens OX=9606 GN=PTGER2 PE=2 SV=2
+MGNASNDSQSEDCETRQWLPPGESPAISSVMFSAGVLGNLIALALLARRWRGDVGCSAGRRSSLSLFHVLVTELVFTDLLGTCLISPVVLASYARNQTLVALAPESRACTYFAFAMTFFSLATMLMLFAMALERYLSIGHPYFYQRRVSRSGGLAVLPVIYAVSLLFCSLPLLDYGQYVQYCPGTWCFIRHGRTAYLQLYATLLLLLIVSVLACNFSVILNLIRMHRRSRRSRCGPSLGSGRGGPGARRRGERVSMAEETDHLILLAIMTITFAVCSLPFTIFAYMNETSSRKEKWDLQALRFLSINSIIDPWVFAILRPPVLRLMRSVLCCRISLRTQDATQTSCSTQSDASKQADL
+>DECOY_sp|P43116|PE2R2_HUMAN Prostaglandin E2 receptor EP2 subtype OS=Homo sapiens OX=9606 GN=PTGER2 PE=2 SV=2
+LDAQKSADSQTSCSTQTADQTRLSIRCCLVSRMLRLVPPRLIAFVWPDIISNISLFRLAQLDWKEKRSSTENMYAFITFPLSCVAFTITMIALLILHDTEEAMSVREGRRRAGPGGRGSGLSPGCRSRRSRRHMRILNLIVSFNCALVSVILLLLLTAYLQLYATRGHRIFCWTGPCYQVYQGYDLLPLSCFLLSVAYIVPLVALGGSRSVRRQYFYPHGISLYRELAMAFLMLMTALSFFTMAFAFYTCARSEPALAVLTQNRAYSALVVPSILCTGLLDTFVLETVLVHFLSLSSRRGASCGVDGRWRRALLALAILNGLVGASFMVSSIAPSEGPPLWQRTECDESQSDNSANGM
+>sp|P30086|PEBP1_HUMAN Phosphatidylethanolamine-binding protein 1 OS=Homo sapiens OX=9606 GN=PEBP1 PE=1 SV=3
+MPVDLSKWSGPLSLQEVDEQPQHPLHVTYAGAAVDELGKVLTPTQVKNRPTSISWDGLDSGKLYTLVLTDPDAPSRKDPKYREWHHFLVVNMKGNDISSGTVLSDYVGSGPPKGTGLHRYVWLVYEQDRPLKCDEPILSNRSGDHRGKFKVASFRKKYELRAPVAGTCYQAEWDDYVPKLYEQLSGK
+>DECOY_sp|P30086|PEBP1_HUMAN Phosphatidylethanolamine-binding protein 1 OS=Homo sapiens OX=9606 GN=PEBP1 PE=1 SV=3
+KGSLQEYLKPVYDDWEAQYCTGAVPARLEYKKRFSAVKFKGRHDGSRNSLIPEDCKLPRDQEYVLWVYRHLGTGKPPGSGVYDSLVTGSSIDNGKMNVVLFHHWERYKPDKRSPADPDTLVLTYLKGSDLGDWSISTPRNKVQTPTLVKGLEDVAAGAYTVHLPHQPQEDVEQLSLPGSWKSLDVPM
+>sp|Q9H1Q7|PED1A_HUMAN PC-esterase domain-containing protein 1A OS=Homo sapiens OX=9606 GN=PCED1A PE=1 SV=1
+MVFCLSSEEPRRPLRSDMVHFQASEVQQLLHNKFVVILGDSIQRAVYKDLVLLLQKDSLLTAAQLKAKGELSFEQDQLVAGGQLGELHNGTQYREVRQFCSGSGHHLVRFYFLTRVYSEYLEDVLEELTYGPAPDLVIINSCLWDLSRYGRCSMESYRENLERVFVRMDQVLPDSCLLVWNMAMPLGERITGGFLLPELQPLAGSLRRDVVEGNFYSATLAGDHCFDVLDLHFHFRHAVQHRHRDGVHWDQHAHRHLSHLLLTHVADAWGVELPKRGYPPDPWIEDWAEMNHPFQGSHRQTPDFGEHLALLPPPPSSLPPPMPFPYPLPQPSPPPLFPPLPQDTPFFPGQPFPPHEFFNYNPVEDFSMPPHLGCGPGVNFVPGPLPPPIPGPNPHGQHWGPVVHRGMPRYVPNSPYHVRRMGGPCRQRLRHSERLIHTYKLDRRPPAHSGTWPG
+>DECOY_sp|Q9H1Q7|PED1A_HUMAN PC-esterase domain-containing protein 1A OS=Homo sapiens OX=9606 GN=PCED1A PE=1 SV=1
+GPWTGSHAPPRRDLKYTHILRESHRLRQRCPGGMRRVHYPSNPVYRPMGRHVVPGWHQGHPNPGPIPPPLPGPVFNVGPGCGLHPPMSFDEVPNYNFFEHPPFPQGPFFPTDQPLPPFLPPPSPQPLPYPFPMPPPLSSPPPPLLALHEGFDPTQRHSGQFPHNMEAWDEIWPDPPYGRKPLEVGWADAVHTLLLHSLHRHAHQDWHVGDRHRHQVAHRFHFHLDLVDFCHDGALTASYFNGEVVDRRLSGALPQLEPLLFGGTIREGLPMAMNWVLLCSDPLVQDMRVFVRELNERYSEMSCRGYRSLDWLCSNIIVLDPAPGYTLEELVDELYESYVRTLFYFRVLHHGSGSCFQRVERYQTGNHLEGLQGGAVLQDQEFSLEGKAKLQAATLLSDKQLLLVLDKYVARQISDGLIVVFKNHLLQQVESAQFHVMDSRLPRRPEESSLCFVM
+>sp|P01210|PENK_HUMAN Proenkephalin-A OS=Homo sapiens OX=9606 GN=PENK PE=1 SV=1
+MARFLTLCTWLLLLGPGLLATVRAECSQDCATCSYRLVRPADINFLACVMECEGKLPSLKIWETCKELLQLSKPELPQDGTSTLRENSKPEESHLLAKRYGGFMKRYGGFMKKMDELYPMEPEEEANGSEILAKRYGGFMKKDAEEDDSLANSSDLLKELLETGDNRERSHHQDGSDNEEEVSKRYGGFMRGLKRSPQLEDEAKELQKRYGGFMRRVGRPEWWMDYQKRYGGFLKRFAEALPSDEEGESYSKEVPEMEKRYGGFMRF
+>DECOY_sp|P01210|PENK_HUMAN Proenkephalin-A OS=Homo sapiens OX=9606 GN=PENK PE=1 SV=1
+FRMFGGYRKEMEPVEKSYSEGEEDSPLAEAFRKLFGGYRKQYDMWWEPRGVRRMFGGYRKQLEKAEDELQPSRKLGRMFGGYRKSVEEENDSGDQHHSRERNDGTELLEKLLDSSNALSDDEEADKKMFGGYRKALIESGNAEEEPEMPYLEDMKKMFGGYRKMFGGYRKALLHSEEPKSNERLTSTGDQPLEPKSLQLLEKCTEWIKLSPLKGECEMVCALFNIDAPRVLRYSCTACDQSCEARVTALLGPGLLLLWTCLTLFRAM
+>sp|P14222|PERF_HUMAN Perforin-1 OS=Homo sapiens OX=9606 GN=PRF1 PE=1 SV=1
+MAARLLLLGILLLLLPLPVPAPCHTAARSECKRSHKFVPGAWLAGEGVDVTSLRRSGSFPVDTQRFLRPDGTCTLCENALQEGTLQRLPLALTNWRAQGSGCQRHVTRAKVSSTEAVARDAARSIRNDWKVGLDVTPKPTSNVHVSVAGSHSQAANFAAQKTHQDQYSFSTDTVECRFYSFHVVHTPPLHPDFKRALGDLPHHFNASTQPAYLRLISNYGTHFIRAVELGGRISALTALRTCELALEGLTDNEVEDCLTVEAQVNIGIHGSISAEAKACEEKKKKHKMTASFHQTYRERHSEVVGGHHTSINDLLFGIQAGPEQYSAWVNSLPGSPGLVDYTLEPLHVLLDSQDPRREALRRALSQYLTDRARWRDCSRPCPPGRQKSPRDPCQCVCHGSAVTTQDCCPRQRGLAQLEVTFIQAWGLWGDWFTATDAYVKLFFGGQELRTSTVWDNNNPIWSVRLDFGDVLLATGGPLRLQVWDQDSGRDDDLLGTCDQAPKSGSHEVRCNLNHGHLKFRYHARCLPHLGGGTCLDYVPQMLLGEPPGNRSGAVW
+>DECOY_sp|P14222|PERF_HUMAN Perforin-1 OS=Homo sapiens OX=9606 GN=PRF1 PE=1 SV=1
+WVAGSRNGPPEGLLMQPVYDLCTGGGLHPLCRAHYRFKLHGHNLNCRVEHSGSKPAQDCTGLLDDDRGSDQDWVQLRLPGGTALLVDGFDLRVSWIPNNNDWVTSTRLEQGGFFLKVYADTATFWDGWLGWAQIFTVELQALGRQRPCCDQTTVASGHCVCQCPDRPSKQRGPPCPRSCDRWRARDTLYQSLARRLAERRPDQSDLLVHLPELTYDVLGPSGPLSNVWASYQEPGAQIGFLLDNISTHHGGVVESHRERYTQHFSATMKHKKKKEECAKAEASISGHIGINVQAEVTLCDEVENDTLGELALECTRLATLASIRGGLEVARIFHTGYNSILRLYAPQTSANFHHPLDGLARKFDPHLPPTHVVHFSYFRCEVTDTSFSYQDQHTKQAAFNAAQSHSGAVSVHVNSTPKPTVDLGVKWDNRISRAADRAVAETSSVKARTVHRQCGSGQARWNTLALPLRQLTGEQLANECLTCTGDPRLFRQTDVPFSGSRRLSTVDVGEGALWAGPVFKHSRKCESRAATHCPAPVPLPLLLLLIGLLLLRAAM
+>sp|Q5SV97|PERM1_HUMAN PGC-1 and ERR-induced regulator in muscle protein 1 OS=Homo sapiens OX=9606 GN=PERM1 PE=2 SV=4
+MENFQYSVQLSDQDWAEFSATADECGLLQAGLASGDELLSSDIDQGDSSGSSPPRAPPLPTGQLAAGGRSRRGCEEEDVATQQPVSRSQGEPVLALGTGQQTPSTSARAEAPPSLGPGASPPSQFSSCPGPASSGDQMQRLLQGPAPRPPGEPPGSPKSPGHSTGSQRPPDSPGAPPRSPSRKKRRAVGAKGGGHTGASASAQTGSPLLPAASPETAKLMAKAGQEELGPGPAGAPEPGPRSPVQEDRPGPGLGLSTPVPVTEQGTDQIRTPRRAKLHTVSTTVWEALPDVSRAKSDMAVSTPASEPQPDRDMAVSTPASEPQSDRDMAVSTPASEPQPDTDMAVSTPASEPQPDRDMAVSIPASKPQSDTAVSTPASEPQSSVALSTPISKPQLDTDVAVSTPASKHGLDVALPTAGPVAKLEVASSPPVSEAVPRMTESSGLVSTPVPRADAAGLAWPPTRRAGPDVVEMEAVVSEPSAGAPGCCSGAPALGLTQVPRKKKVRFSVAGPSPNKPGSGQASARPSAPQTATGAHGGPGAWEAVAVGPRPHQPRILKHLPRPPPSAVTRVGPGSSFAVTLPEAYEFFFCDTIEENEEAEAAAAGQDPAGVQWPDMCEFFFPDVGAQRSRRRGSPEPLPRADPVPAPIPGDPVPISIPEVYEHFFFGEDRLEGVLGPAVPLPLQALEPPRSASEGAGPGTPLKPAVVERLHLALRRAGELRGPVPSFAFSQNDMCLVFVAFATWAVRTSDPHTPDAWKTALLANVGTISAIRYFRRQVGQGRRSHSPSPSS
+>DECOY_sp|Q5SV97|PERM1_HUMAN PGC-1 and ERR-induced regulator in muscle protein 1 OS=Homo sapiens OX=9606 GN=PERM1 PE=2 SV=4
+SSPSPSHSRRGQGVQRRFYRIASITGVNALLATKWADPTHPDSTRVAWTAFAVFVLCMDNQSFAFSPVPGRLEGARRLALHLREVVAPKLPTGPGAGESASRPPELAQLPLPVAPGLVGELRDEGFFFHEYVEPISIPVPDGPIPAPVPDARPLPEPSGRRRSRQAGVDPFFFECMDPWQVGAPDQGAAAAEAEENEEITDCFFFEYAEPLTVAFSSGPGVRTVASPPPRPLHKLIRPQHPRPGVAVAEWAGPGGHAGTATQPASPRASAQGSGPKNPSPGAVSFRVKKKRPVQTLGLAPAGSCCGPAGASPESVVAEMEVVDPGARRTPPWALGAADARPVPTSVLGSSETMRPVAESVPPSSAVELKAVPGATPLAVDLGHKSAPTSVAVDTDLQPKSIPTSLAVSSQPESAPTSVATDSQPKSAPISVAMDRDPQPESAPTSVAMDTDPQPESAPTSVAMDRDSQPESAPTSVAMDRDPQPESAPTSVAMDSKARSVDPLAEWVTTSVTHLKARRPTRIQDTGQETVPVPTSLGLGPGPRDEQVPSRPGPEPAGAPGPGLEEQGAKAMLKATEPSAAPLLPSGTQASASAGTHGGGKAGVARRKKRSPSRPPAGPSDPPRQSGTSHGPSKPSGPPEGPPRPAPGQLLRQMQDGSSAPGPCSSFQSPPSAGPGLSPPAEARASTSPTQQGTGLALVPEGQSRSVPQQTAVDEEECGRRSRGGAALQGTPLPPARPPSSGSSDGQDIDSSLLEDGSALGAQLLGCEDATASFEAWDQDSLQVSYQFNEM
+>sp|P05164|PERM_HUMAN Myeloperoxidase OS=Homo sapiens OX=9606 GN=MPO PE=1 SV=1
+MGVPFFSSLRCMVDLGPCWAGGLTAEMKLLLALAGLLAILATPQPSEGAAPAVLGEVDTSLVLSSMEEAKQLVDKAYKERRESIKQRLRSGSASPMELLSYFKQPVAATRTAVRAADYLHVALDLLERKLRSLWRRPFNVTDVLTPAQLNVLSKSSGCAYQDVGVTCPEQDKYRTITGMCNNRRSPTLGASNRAFVRWLPAEYEDGFSLPYGWTPGVKRNGFPVALARAVSNEIVRFPTDQLTPDQERSLMFMQWGQLLDHDLDFTPEPAARASFVTGVNCETSCVQQPPCFPLKIPPNDPRIKNQADCIPFFRSCPACPGSNITIRNQINALTSFVDASMVYGSEEPLARNLRNMSNQLGLLAVNQRFQDNGRALLPFDNLHDDPCLLTNRSARIPCFLAGDTRSSEMPELTSMHTLLLREHNRLATELKSLNPRWDGERLYQEARKIVGAMVQIITYRDYLPLVLGPTAMRKYLPTYRSYNDSVDPRIANVFTNAFRYGHTLIQPFMFRLDNRYQPMEPNPRVPLSRVFFASWRVVLEGGIDPILRGLMATPAKLNRQNQIAVDEIRERLFEQVMRIGLDLPALNMQRSRDHGLPGYNAWRRFCGLPQPETVGQLGTVLRNLKLARKLMEQYGTPNNIDIWMGGVSEPLKRKGRVGPLLACIIGTQFRKLRDGDRFWWENEGVFSMQQRQALAQISLPRIICDNTGITTVSKNNIFMSNSYPRDFVNCSTLPALNLASWREAS
+>DECOY_sp|P05164|PERM_HUMAN Myeloperoxidase OS=Homo sapiens OX=9606 GN=MPO PE=1 SV=1
+SAERWSALNLAPLTSCNVFDRPYSNSMFINNKSVTTIGTNDCIIRPLSIQALAQRQQMSFVGENEWWFRDGDRLKRFQTGIICALLPGVRGKRKLPESVGGMWIDINNPTGYQEMLKRALKLNRLVTGLQGVTEPQPLGCFRRWANYGPLGHDRSRQMNLAPLDLGIRMVQEFLRERIEDVAIQNQRNLKAPTAMLGRLIPDIGGELVVRWSAFFVRSLPVRPNPEMPQYRNDLRFMFPQILTHGYRFANTFVNAIRPDVSDNYSRYTPLYKRMATPGLVLPLYDRYTIIQVMAGVIKRAEQYLREGDWRPNLSKLETALRNHERLLLTHMSTLEPMESSRTDGALFCPIRASRNTLLCPDDHLNDFPLLARGNDQFRQNVALLGLQNSMNRLNRALPEESGYVMSADVFSTLANIQNRITINSGPCAPCSRFFPICDAQNKIRPDNPPIKLPFCPPQQVCSTECNVGTVFSARAAPEPTFDLDHDLLQGWQMFMLSREQDPTLQDTPFRVIENSVARALAVPFGNRKVGPTWGYPLSFGDEYEAPLWRVFARNSAGLTPSRRNNCMGTITRYKDQEPCTVGVDQYACGSSKSLVNLQAPTLVDTVNFPRRWLSRLKRELLDLAVHLYDAARVATRTAAVPQKFYSLLEMPSASGSRLRQKISERREKYAKDVLQKAEEMSSLVLSTDVEGLVAPAAGESPQPTALIALLGALALLLKMEATLGGAWCPGLDVMCRLSSFFPVGM
+>sp|O00628|PEX7_HUMAN Peroxisomal targeting signal 2 receptor OS=Homo sapiens OX=9606 GN=PEX7 PE=1 SV=1
+MSAVCGGAARMLRTPGRHGYAAEFSPYLPGRLACATAQHYGIAGCGTLLILDPDEAGLRLFRSFDWNDGLFDVTWSENNEHVLITCSGDGSLQLWDTAKAAGPLQVYKEHAQEVYSVDWSQTRGEQLVVSGSWDQTVKLWDPTVGKSLCTFRGHESIIYSTIWSPHIPGCFASASGDQTLRIWDVKAAGVRIVIPAHQAEILSCDWCKYNENLLVTGAVDCSLRGWDLRNVRQPVFELLGHTYAIRRVKFSPFHASVLASCSYDFTVRFWNFSKPDSLLETVEHHTEFTCGLDFSLQSPTQVADCSWDETIKIYDPACLTIPA
+>DECOY_sp|O00628|PEX7_HUMAN Peroxisomal targeting signal 2 receptor OS=Homo sapiens OX=9606 GN=PEX7 PE=1 SV=1
+APITLCAPDYIKITEDWSCDAVQTPSQLSFDLGCTFETHHEVTELLSDPKSFNWFRVTFDYSCSALVSAHFPSFKVRRIAYTHGLLEFVPQRVNRLDWGRLSCDVAGTVLLNENYKCWDCSLIEAQHAPIVIRVGAAKVDWIRLTQDGSASAFCGPIHPSWITSYIISEHGRFTCLSKGVTPDWLKVTQDWSGSVVLQEGRTQSWDVSYVEQAHEKYVQLPGAAKATDWLQLSGDGSCTILVHENNESWTVDFLGDNWDFSRFLRLGAEDPDLILLTGCGAIGYHQATACALRGPLYPSFEAAYGHRGPTRLMRAAGGCVASM
+>sp|P10720|PF4V_HUMAN Platelet factor 4 variant OS=Homo sapiens OX=9606 GN=PF4V1 PE=1 SV=1
+MSSAARSRLTRATRQEMLFLALLLLPVVVAFARAEAEEDGDLQCLCVKTTSQVRPRHITSLEVIKAGPHCPTAQLIATLKNGRKICLDLQALLYKKIIKEHLES
+>DECOY_sp|P10720|PF4V_HUMAN Platelet factor 4 variant OS=Homo sapiens OX=9606 GN=PF4V1 PE=1 SV=1
+SELHEKIIKKYLLAQLDLCIKRGNKLTAILQATPCHPGAKIVELSTIHRPRVQSTTKVCLCQLDGDEEAEARAFAVVVPLLLLALFLMEQRTARTLRSRAASSM
+>sp|P08237|PFKAM_HUMAN ATP-dependent 6-phosphofructokinase, muscle type OS=Homo sapiens OX=9606 GN=PFKM PE=1 SV=2
+MTHEEHHAAKTLGIGKAIAVLTSGGDAQGMNAAVRAVVRVGIFTGARVFFVHEGYQGLVDGGDHIKEATWESVSMMLQLGGTVIGSARCKDFREREGRLRAAYNLVKRGITNLCVIGGDGSLTGADTFRSEWSDLLSDLQKAGKITDEEATKSSYLNIVGLVGSIDNDFCGTDMTIGTDSALHRIMEIVDAITTTAQSHQRTFVLEVMGRHCGYLALVTSLSCGADWVFIPECPPDDDWEEHLCRRLSETRTRGSRLNIIIVAEGAIDKNGKPITSEDIKNLVVKRLGYDTRVTVLGHVQRGGTPSAFDRILGSRMGVEAVMALLEGTPDTPACVVSLSGNQAVRLPLMECVQVTKDVTKAMDEKKFDEALKLRGRSFMNNWEVYKLLAHVRPPVSKSGSHTVAVMNVGAPAAGMNAAVRSTVRIGLIQGNRVLVVHDGFEGLAKGQIEEAGWSYVGGWTGQGGSKLGTKRTLPKKSFEQISANITKFNIQGLVIIGGFEAYTGGLELMEGRKQFDELCIPFVVIPATVSNNVPGSDFSVGADTALNTICTTCDRIKQSAAGTKRRVFIIETMGGYCGYLATMAGLAAGADAAYIFEEPFTIRDLQANVEHLVQKMKTTVKRGLVLRNEKCNENYTTDFIFNLYSEEGKGIFDSRKNVLGHMQQGGSPTPFDRNFATKMGAKAMNWMSGKIKESYRNGRIFANTPDSGCVLGMRKRALVFQPVAELKDQTDFEHRIPKEQWWLKLRPILKILAKYEIDLDTSDHAHLEHITRKRSGEAAV
+>DECOY_sp|P08237|PFKAM_HUMAN ATP-dependent 6-phosphofructokinase, muscle type OS=Homo sapiens OX=9606 GN=PFKM PE=1 SV=2
+VAAEGSRKRTIHELHAHDSTDLDIEYKALIKLIPRLKLWWQEKPIRHEFDTQDKLEAVPQFVLARKRMGLVCGSDPTNAFIRGNRYSEKIKGSMWNMAKAGMKTAFNRDFPTPSGGQQMHGLVNKRSDFIGKGEESYLNFIFDTTYNENCKENRLVLGRKVTTKMKQVLHEVNAQLDRITFPEEFIYAADAGAALGAMTALYGCYGGMTEIIFVRRKTGAASQKIRDCTTCITNLATDAGVSFDSGPVNNSVTAPIVVFPICLEDFQKRGEMLELGGTYAEFGGIIVLGQINFKTINASIQEFSKKPLTRKTGLKSGGQGTWGGVYSWGAEEIQGKALGEFGDHVVLVRNGQILGIRVTSRVAANMGAAPAGVNMVAVTHSGSKSVPPRVHALLKYVEWNNMFSRGRLKLAEDFKKEDMAKTVDKTVQVCEMLPLRVAQNGSLSVVCAPTDPTGELLAMVAEVGMRSGLIRDFASPTGGRQVHGLVTVRTDYGLRKVVLNKIDESTIPKGNKDIAGEAVIIINLRSGRTRTESLRRCLHEEWDDDPPCEPIFVWDAGCSLSTVLALYGCHRGMVELVFTRQHSQATTTIADVIEMIRHLASDTGITMDTGCFDNDISGVLGVINLYSSKTAEEDTIKGAKQLDSLLDSWESRFTDAGTLSGDGGIVCLNTIGRKVLNYAARLRGERERFDKCRASGIVTGGLQLMMSVSEWTAEKIHDGGDVLGQYGEHVFFVRAGTFIGVRVVARVAANMGQADGGSTLVAIAKGIGLTKAAHHEEHTM
+>sp|Q9BX93|PG12B_HUMAN Group XIIB secretory phospholipase A2-like protein OS=Homo sapiens OX=9606 GN=PLA2G12B PE=1 SV=2
+MKLASGFLVLWLSLGGGLAQSDTSPDTEESYSDWGLRHLRGSFESVNSYFDSFLELLGGKNGVCQYRCRYGKAPMPRPGYKPQEPNGCGSYFLGLKVPESMDLGIPAMTKCCNQLDVCYDTCGANKYRCDAKFRWCLHSICSDLKRSLGFVSKVEAACDSLVDTVFNTVWTLGCRPFMNSQRAACICAEEEKEEL
+>DECOY_sp|Q9BX93|PG12B_HUMAN Group XIIB secretory phospholipase A2-like protein OS=Homo sapiens OX=9606 GN=PLA2G12B PE=1 SV=2
+LEEKEEEACICAARQSNMFPRCGLTWVTNFVTDVLSDCAAEVKSVFGLSRKLDSCISHLCWRFKADCRYKNAGCTDYCVDLQNCCKTMAPIGLDMSEPVKLGLFYSGCGNPEQPKYGPRPMPAKGYRCRYQCVGNKGGLLELFSDFYSNVSEFSGRLHRLGWDSYSEETDPSTDSQALGGGLSLWLVLFGSALKM
+>sp|Q9H720|PG2IP_HUMAN PGAP2-interacting protein OS=Homo sapiens OX=9606 GN=CWH43 PE=2 SV=2
+MPSLWREILLESLLGCVSWSLYHDLGPMIYYFPLQTLELTGLEGFSIAFLSPIFLTITPFWKLVNKKWMLTLLRIITIGSIASFQAPNAKLRLMVLALGVSSSLIVQAVTWWSGSHLQRYLRIWGFILGQIVLVVLRIWYTSLNPIWSYQMSNKVILTLSAIATLDRIGTDGDCSKPEEKKTGEVATGMASRPNWLLAGAAFGSLVFLTHWVFGEVSLVSRWAVSGHPHPGPDPNPFGGAVLLCLASGLMLPSCLWFRGTGLIWWVTGTASAAGLLYLHTWAAAVSGCVFAIFTASMWPQTLGHLINSGTNPGKTMTIAMIFYLLEIFFCAWCTAFKFVPGGVYARERSDVLLGTMMLIIGLNMLFGPKKNLDLLLQTKNSSKVLFRKSEKYMKLFLWLLVGVGLLGLGLRHKAYERKLGKVAPTKEVSAAIWPFRFGYDNEGWSSLERSAHLLNETGADFITILESDASKPYMGNNDLTMWLGEKLGFYTDFGPSTRYHTWGIMALSRYPIVKSEHHLLPSPEGEIAPAITLTVNISGKLVDFVVTHFGNHEDDLDRKLQAIAVSKLLKSSSNQVIFLGYITSAPGSRDYLQLTEHGNVKDIDSTDHDRWCEYIMYRGLIRLGYARISHAELSDSEIQMAKFRIPDDPTNYRDNQKVVIDHREVSEKIHFNPRFGSYKEGHNYENNHHFHMNTPKYFL
+>DECOY_sp|Q9H720|PG2IP_HUMAN PGAP2-interacting protein OS=Homo sapiens OX=9606 GN=CWH43 PE=2 SV=2
+LFYKPTNMHFHHNNEYNHGEKYSGFRPNFHIKESVERHDIVVKQNDRYNTPDDPIRFKAMQIESDSLEAHSIRAYGLRILGRYMIYECWRDHDTSDIDKVNGHETLQLYDRSGPASTIYGLFIVQNSSSKLLKSVAIAQLKRDLDDEHNGFHTVVFDVLKGSINVTLTIAPAIEGEPSPLLHHESKVIPYRSLAMIGWTHYRTSPGFDTYFGLKEGLWMTLDNNGMYPKSADSELITIFDAGTENLLHASRELSSWGENDYGFRFPWIAASVEKTPAVKGLKREYAKHRLGLGLLGVGVLLWLFLKMYKESKRFLVKSSNKTQLLLDLNKKPGFLMNLGIILMMTGLLVDSRERAYVGGPVFKFATCWACFFIELLYFIMAITMTKGPNTGSNILHGLTQPWMSATFIAFVCGSVAAAWTHLYLLGAASATGTVWWILGTGRFWLCSPLMLGSALCLLVAGGFPNPDPGPHPHGSVAWRSVLSVEGFVWHTLFVLSGFAAGALLWNPRSAMGTAVEGTKKEEPKSCDGDTGIRDLTAIASLTLIVKNSMQYSWIPNLSTYWIRLVVLVIQGLIFGWIRLYRQLHSGSWWTVAQVILSSSVGLALVMLRLKANPAQFSAISGITIIRLLTLMWKKNVLKWFPTITLFIPSLFAISFGELGTLELTQLPFYYIMPGLDHYLSWSVCGLLSELLIERWLSPM
+>sp|P18669|PGAM1_HUMAN Phosphoglycerate mutase 1 OS=Homo sapiens OX=9606 GN=PGAM1 PE=1 SV=2
+MAAYKLVLIRHGESAWNLENRFSGWYDADLSPAGHEEAKRGGQALRDAGYEFDICFTSVQKRAIRTLWTVLDAIDQMWLPVVRTWRLNERHYGGLTGLNKAETAAKHGEAQVKIWRRSYDVPPPPMEPDHPFYSNISKDRRYADLTEDQLPSCESLKDTIARALPFWNEEIVPQIKEGKRVLIAAHGNSLRGIVKHLEGLSEEAIMELNLPTGIPIVYELDKNLKPIKPMQFLGDEETVRKAMEAVAAQGKAKK
+>DECOY_sp|P18669|PGAM1_HUMAN Phosphoglycerate mutase 1 OS=Homo sapiens OX=9606 GN=PGAM1 PE=1 SV=2
+KKAKGQAAVAEMAKRVTEEDGLFQMPKIPKLNKDLEYVIPIGTPLNLEMIAEESLGELHKVIGRLSNGHAAILVRKGEKIQPVIEENWFPLARAITDKLSECSPLQDETLDAYRRDKSINSYFPHDPEMPPPPVDYSRRWIKVQAEGHKAATEAKNLGTLGGYHRENLRWTRVVPLWMQDIADLVTWLTRIARKQVSTFCIDFEYGADRLAQGGRKAEEHGAPSLDADYWGSFRNELNWASEGHRILVLKYAAM
+>sp|P53611|PGTB2_HUMAN Geranylgeranyl transferase type-2 subunit beta OS=Homo sapiens OX=9606 GN=RABGGTB PE=1 SV=2
+MGTPQKDVIIKSDAPDTLLLEKHADYIASYGSKKDDYEYCMSEYLRMSGIYWGLTVMDLMGQLHRMNREEILAFIKSCQHECGGISASIGHDPHLLYTLSAVQILTLYDSINVIDVNKVVEYVKGLQKEDGSFAGDIWGEIDTRFSFCAVATLALLGKLDAINVEKAIEFVLSCMNFDGGFGCRPGSESHAGQIYCCTGFLAITSQLHQVNSDLLGWWLCERQLPSGGLNGRPEKLPDVCYSWWVLASLKIIGRLHWIDREKLRNFILACQDEETGGFADRPGDMVDPFHTLFGIAGLSLLGEEQIKPVNPVFCMPEEVLQRVNVQPELVS
+>DECOY_sp|P53611|PGTB2_HUMAN Geranylgeranyl transferase type-2 subunit beta OS=Homo sapiens OX=9606 GN=RABGGTB PE=1 SV=2
+SVLEPQVNVRQLVEEPMCFVPNVPKIQEEGLLSLGAIGFLTHFPDVMDGPRDAFGGTEEDQCALIFNRLKERDIWHLRGIIKLSALVWWSYCVDPLKEPRGNLGGSPLQRECLWWGLLDSNVQHLQSTIALFGTCCYIQGAHSESGPRCGFGGDFNMCSLVFEIAKEVNIADLKGLLALTAVACFSFRTDIEGWIDGAFSGDEKQLGKVYEVVKNVDIVNISDYLTLIQVASLTYLLHPDHGISASIGGCEHQCSKIFALIEERNMRHLQGMLDMVTLGWYIGSMRLYESMCYEYDDKKSGYSAIYDAHKELLLTDPADSKIIVDKQPTGM
+>sp|Q8NDX5|PHC3_HUMAN Polyhomeotic-like protein 3 OS=Homo sapiens OX=9606 GN=PHC3 PE=1 SV=1
+MDTEPNPGTSSVSTTTSSTTTTTITTSSSRMQQPQISVYSGSDRHAVQVIQQALHRPPSSAAQYLQQMYAAQQQHLMLHTAALQQQHLSSSQLQSLAAVQASLSSGRPSTSPTGSVTQQSSMSQTSINLSTSPTPAQLISRSQASSSTSGSITQQTMLLGSTSPTLTASQAQMYLRAQMLIFTPATTVAAVQSDIPVVSSSSSSSCQSAATQVQNLTLRSQKLGVLSSSQNGPPKSTSQTQSLTICHNKTTVTSSKISQRDPSPESNKKGESPSLESRSTAVTRTSSIHQLIAPASYSPIQPHSLIKHQQIPLHSPPSKVSHHQLILQQQQQQIQPITLQNSTQDPPPSQHCIPLQNHGLPPAPSNAQSQHCSPIQSHPSPLTVSPNQSQSAQQSVVVSPPPPHSPSQSPTIIIHPQALIQPHPLVSSALQPGPNLQQSTANQVQATAQLNLPSHLPLPASPVVHIGPVQQSALVSPGQQIVSPSHQQYSSLQSSPIPIASPPQMSTSPPAQIPPLPLQSMQSLQVQPEILSQGQVLVQNALVSEEELPAAEALVQLPFQTLPPPQTVAVNLQVQPPAPVDPPVVYQVEDVCEEEMPEESDECVRMDRTPPPPTLSPAAITVGRGEDLTSEHPLLEQVELPAVASVSASVIKSPSDPSHVSVPPPPLLLPAATTRSNSTSMHSSIPSIENKPPQAIVKPQILTHVIEGFVIQEGLEPFPVSRSSLLIEQPVKKRPLLDNQVINSVCVQPELQNNTKHADNSSDTEMEDMIAEETLEEMDSELLKCEFCGKMGYANEFLRSKRFCTMSCAKRYNVSCSKKFALSRWNRKPDNQSLGHRGRRPSGPDGAAREHILRQLPITYPSAEEDLASHEDSVPSAMTTRLRRQSERERERELRDVRIRKMPENSDLLPVAQTEPSIWTVDDVWAFIHSLPGCQDIADEFRAQEIDGQALLLLKEDHLMSAMNIKLGPALKICARINSLKES
+>DECOY_sp|Q8NDX5|PHC3_HUMAN Polyhomeotic-like protein 3 OS=Homo sapiens OX=9606 GN=PHC3 PE=1 SV=1
+SEKLSNIRACIKLAPGLKINMASMLHDEKLLLLAQGDIEQARFEDAIDQCGPLSHIFAWVDDVTWISPETQAVPLLDSNEPMKRIRVDRLERERERESQRRLRTTMASPVSDEHSALDEEASPYTIPLQRLIHERAAGDPGSPRRGRHGLSQNDPKRNWRSLAFKKSCSVNYRKACSMTCFRKSRLFENAYGMKGCFECKLLESDMEELTEEAIMDEMETDSSNDAHKTNNQLEPQVCVSNIVQNDLLPRKKVPQEILLSSRSVPFPELGEQIVFGEIVHTLIQPKVIAQPPKNEISPISSHMSTSNSRTTAAPLLLPPPPVSVHSPDSPSKIVSASVSAVAPLEVQELLPHESTLDEGRGVTIAAPSLTPPPPTRDMRVCEDSEEPMEEECVDEVQYVVPPDVPAPPQVQLNVAVTQPPPLTQFPLQVLAEAAPLEEESVLANQVLVQGQSLIEPQVQLSQMSQLPLPPIQAPPSTSMQPPSAIPIPSSQLSSYQQHSPSVIQQGPSVLASQQVPGIHVVPSAPLPLHSPLNLQATAQVQNATSQQLNPGPQLASSVLPHPQILAQPHIIITPSQSPSHPPPPSVVVSQQASQSQNPSVTLPSPHSQIPSCHQSQANSPAPPLGHNQLPICHQSPPPDQTSNQLTIPQIQQQQQQLILQHHSVKSPPSHLPIQQHKILSHPQIPSYSAPAILQHISSTRTVATSRSELSPSEGKKNSEPSPDRQSIKSSTVTTKNHCITLSQTQSTSKPPGNQSSSLVGLKQSRLTLNQVQTAASQCSSSSSSSVVPIDSQVAAVTTAPTFILMQARLYMQAQSATLTPSTSGLLMTQQTISGSTSSSAQSRSILQAPTPSTSLNISTQSMSSQQTVSGTPSTSPRGSSLSAQVAALSQLQSSSLHQQQLAATHLMLHQQQAAYMQQLYQAASSPPRHLAQQIVQVAHRDSGSYVSIQPQQMRSSSTTITTTTTSSTTTSVSSTGPNPETDM
+>sp|Q9BWX1|PHF7_HUMAN PHD finger protein 7 OS=Homo sapiens OX=9606 GN=PHF7 PE=1 SV=1
+MKTVKEKKECQRLRKSAKTRRVTQRKPSSGPVCWLCLREPGDPEKLGEFLQKDNISVHYFCLILSSKLPQRGQSNRGFHGFLPEDIKKEAARASRKICFVCKKKGAAINCQKDQCLRNFHLPCGQERGCLSQFFGEYKSFCDKHRPTQNIQHGHVGEESCILCCEDLSQQSVENIQSPCCSQAIYHRKCIQKYAHTSAKHFFKCPQCNNRKEFPQEMLRMGIHIPDRDAAWELEPGAFSDLYQRYQHCDAPICLYEQGRDSFEDEGRWCLILCATCGSHGTHRDCSSLRSNSKKWECEECSPAAATDYIPENSGDIPCCSSTFHPEEHFCRDNTLEENPGLSWTDWPEPSLLEKPESSRGRRSYSWRSKGVRITNSCKKSK
+>DECOY_sp|Q9BWX1|PHF7_HUMAN PHD finger protein 7 OS=Homo sapiens OX=9606 GN=PHF7 PE=1 SV=1
+KSKKCSNTIRVGKSRWSYSRRGRSSEPKELLSPEPWDTWSLGPNEELTNDRCFHEEPHFTSSCCPIDGSNEPIYDTAAAPSCEECEWKKSNSRLSSCDRHTGHSGCTACLILCWRGEDEFSDRGQEYLCIPADCHQYRQYLDSFAGPELEWAADRDPIHIGMRLMEQPFEKRNNCQPCKFFHKASTHAYKQICKRHYIAQSCCPSQINEVSQQSLDECCLICSEEGVHGHQINQTPRHKDCFSKYEGFFQSLCGREQGCPLHFNRLCQDKQCNIAAGKKKCVFCIKRSARAAEKKIDEPLFGHFGRNSQGRQPLKSSLILCFYHVSINDKQLFEGLKEPDGPERLCLWCVPGSSPKRQTVRRTKASKRLRQCEKKEKVTKM
+>sp|Q9UPP1|PHF8_HUMAN Histone lysine demethylase PHF8 OS=Homo sapiens OX=9606 GN=PHF8 PE=1 SV=3
+MNRSRAIVQRGRVLPPPAPLDTTNLAGRRTLQGRAKMASVPVYCLCRLPYDVTRFMIECDMCQDWFHGSCVGVEEEKAADIDLYHCPNCEVLHGPSIMKKRRGSSKGHDTHKGKPVKTGSPTFVRELRSRTFDSSDEVILKPTGNQLTVEFLEENSFSVPILVLKKDGLGMTLPSPSFTVRDVEHYVGSDKEIDVIDVTRQADCKMKLGDFVKYYYSGKREKVLNVISLEFSDTRLSNLVETPKIVRKLSWVENLWPEECVFERPNVQKYCLMSVRDSYTDFHIDFGGTSVWYHVLKGEKIFYLIRPTNANLTLFECWSSSSNQNEMFFGDQVDKCYKCSVKQGQTLFIPTGWIHAVLTPVDCLAFGGNFLHSLNIEMQLKAYEIEKRLSTADLFRFPNFETICWYVGKHILDIFRGLRENRRHPASYLVHGGKALNLAFRAWTRKEALPDHEDEIPETVRTVQLIKDLAREIRLVEDIFQQNVGKTSNIFGLQRIFPAGSIPLTRPAHSTSVSMSRLSLPSKNGSKKKGLKPKELFKKAERKGKESSALGPAGQLSYNLMDTYSHQALKTGSFQKAKFNITGACLNDSDDDSPDLDLDGNESPLALLMSNGSTKRVKSLSKSRRTKIAKKVDKARLMAEQVMEDEFDLDSDDELQIDERLGKEKATLIIRPKFPRKLPRAKPCSDPNRVREPGEVEFDIEEDYTTDEDMVEGVEGKLGNGSGAGGILDLLKASRQVGGPDYAALTEAPASPSTQEAIQGMLCMANLQSSSSSPATSSLQAWWTGGQDRSSGSSSSGLGTVSNSPASQRTPGKRPIKRPAYWRTESEEEEENASLDEQDSLGACFKDAEYIYPSLESDDDDPALKSRPKKKKNSDDAPWSPKARVTPTLPKQDRPVREGTRVASIETGLAAAAAKLAQQELQKAQKKKYIKKKPLLKEVEQPRPQDSNLSLTVPAPTVAATPQLVTSSSPLPPPEPKQEALSGSLADHEYTARPNAFGMAQANRSTTPMAPGVFLTQRRPSVGSQSNQAGQGKRPKKGLATAKQRLGRILKIHRNGKLLL
+>DECOY_sp|Q9UPP1|PHF8_HUMAN Histone lysine demethylase PHF8 OS=Homo sapiens OX=9606 GN=PHF8 PE=1 SV=3
+LLLKGNRHIKLIRGLRQKATALGKKPRKGQGAQNSQSGVSPRRQTLFVGPAMPTTSRNAQAMGFANPRATYEHDALSGSLAEQKPEPPPLPSSSTVLQPTAAVTPAPVTLSLNSDQPRPQEVEKLLPKKKIYKKKQAKQLEQQALKAAAAALGTEISAVRTGERVPRDQKPLTPTVRAKPSWPADDSNKKKKPRSKLAPDDDDSELSPYIYEADKFCAGLSDQEDLSANEEEEESETRWYAPRKIPRKGPTRQSAPSNSVTGLGSSSSGSSRDQGGTWWAQLSSTAPSSSSSQLNAMCLMGQIAEQTSPSAPAETLAAYDPGGVQRSAKLLDLIGGAGSGNGLKGEVGEVMDEDTTYDEEIDFEVEGPERVRNPDSCPKARPLKRPFKPRIILTAKEKGLREDIQLEDDSDLDFEDEMVQEAMLRAKDVKKAIKTRRSKSLSKVRKTSGNSMLLALPSENGDLDLDPSDDDSDNLCAGTINFKAKQFSGTKLAQHSYTDMLNYSLQGAPGLASSEKGKREAKKFLEKPKLGKKKSGNKSPLSLRSMSVSTSHAPRTLPISGAPFIRQLGFINSTKGVNQQFIDEVLRIERALDKILQVTRVTEPIEDEHDPLAEKRTWARFALNLAKGGHVLYSAPHRRNERLGRFIDLIHKGVYWCITEFNPFRFLDATSLRKEIEYAKLQMEINLSHLFNGGFALCDVPTLVAHIWGTPIFLTQGQKVSCKYCKDVQDGFFMENQNSSSSWCEFLTLNANTPRILYFIKEGKLVHYWVSTGGFDIHFDTYSDRVSMLCYKQVNPREFVCEEPWLNEVWSLKRVIKPTEVLNSLRTDSFELSIVNLVKERKGSYYYKVFDGLKMKCDAQRTVDIVDIEKDSGVYHEVDRVTFSPSPLTMGLGDKKLVLIPVSFSNEELFEVTLQNGTPKLIVEDSSDFTRSRLERVFTPSGTKVPKGKHTDHGKSSGRRKKMISPGHLVECNPCHYLDIDAAKEEEVGVCSGHFWDQCMDCEIMFRTVDYPLRCLCYVPVSAMKARGQLTRRGALNTTDLPAPPPLVRGRQVIARSRNM
+>sp|Q16816|PHKG1_HUMAN Phosphorylase b kinase gamma catalytic chain, skeletal muscle/heart isoform OS=Homo sapiens OX=9606 GN=PHKG1 PE=2 SV=3
+MTRDEALPDSHSAQDFYENYEPKEILGRGVSSVVRRCIHKPTSQEYAVKVIDVTGGGSFSPEEVRELREATLKEVDILRKVSGHPNIIQLKDTYETNTFFFLVFDLMKRGELFDYLTEKVTLSEKETRKIMRALLEVICTLHKLNIVHRDLKPENILLDDNMNIKLTDFGFSCQLEPGERLREVCGTPSYLAPEIIECSMNEDHPGYGKEVDMWSTGVIMYTLLAGSPPFWHRKQMLMLRMIMSGNYQFGSPEWDDYSDTVKDLVSRFLVVQPQNRYTAEEALAHPFFQQYLVEEVRHFSPRGKFKVIALTVLASVRIYYQYRRVKPVTREIVIRDPYALRPLRRLIDAYAFRIYGHWVKKGQQQNRAALFENTPKAVLLSLAEEDY
+>DECOY_sp|Q16816|PHKG1_HUMAN Phosphorylase b kinase gamma catalytic chain, skeletal muscle/heart isoform OS=Homo sapiens OX=9606 GN=PHKG1 PE=2 SV=3
+YDEEALSLLVAKPTNEFLAARNQQQGKKVWHGYIRFAYADILRRLPRLAYPDRIVIERTVPKVRRYQYYIRVSALVTLAIVKFKGRPSFHRVEEVLYQQFFPHALAEEATYRNQPQVVLFRSVLDKVTDSYDDWEPSGFQYNGSMIMRLMLMQKRHWFPPSGALLTYMIVGTSWMDVEKGYGPHDENMSCEIIEPALYSPTGCVERLREGPELQCSFGFDTLKINMNDDLLINEPKLDRHVINLKHLTCIVELLARMIKRTEKESLTVKETLYDFLEGRKMLDFVLFFFTNTEYTDKLQIINPHGSVKRLIDVEKLTAERLERVEEPSFSGGGTVDIVKVAYEQSTPKHICRRVVSSVGRGLIEKPEYNEYFDQASHSDPLAEDRTM
+>sp|Q9Y3A3|PHOCN_HUMAN MOB-like protein phocein OS=Homo sapiens OX=9606 GN=MOB4 PE=1 SV=1
+MVMAEGTAVLRRNRPGTKAQDFYNWPDESFDEMDSTLAVQQYIQQNIRADCSNIDKILEPPEGQDEGVWKYEHLRQFCLELNGLAVKLQSECHPDTCTQMTATEQWIFLCAAHKTPKECPAIDYTRHTLDGAACLLNSNKYFPSRVSIKESSVAKLGSVCRRIYRIFSHAYFHHRQIFDEYENETFLCHRFTKFVMKYNLMSKDNLIVPILEEEVQNSVSGESEA
+>DECOY_sp|Q9Y3A3|PHOCN_HUMAN MOB-like protein phocein OS=Homo sapiens OX=9606 GN=MOB4 PE=1 SV=1
+AESEGSVSNQVEEELIPVILNDKSMLNYKMVFKTFRHCLFTENEYEDFIQRHHFYAHSFIRYIRRCVSGLKAVSSEKISVRSPFYKNSNLLCAAGDLTHRTYDIAPCEKPTKHAACLFIWQETATMQTCTDPHCESQLKVALGNLELCFQRLHEYKWVGEDQGEPPELIKDINSCDARINQQIYQQVALTSDMEDFSEDPWNYFDQAKTGPRNRRLVATGEAMVM
+>sp|Q8TCT1|PHOP1_HUMAN Phosphoethanolamine/phosphocholine phosphatase OS=Homo sapiens OX=9606 GN=PHOSPHO1 PE=1 SV=1
+MSGCFPVSGLRCLSRDGRMAAQGAPRFLLTFDFDETIVDENSDDSIVRAAPGQRLPESLRATYREGFYNEYMQRVFKYLGEQGVRPRDLSAIYEAIPLSPGMSDLLQFVAKQGACFEVILISDANTFGVESSLRAAGHHSLFRRILSNPSGPDARGLLALRPFHTHSCARCPANMCKHKVLSDYLRERAHDGVHFERLFYVGDGANDFCPMGLLAGGDVAFPRRGYPMHRLIQEAQKAEPSSFRASVVPWETAADVRLHLQQVLKSC
+>DECOY_sp|Q8TCT1|PHOP1_HUMAN Phosphoethanolamine/phosphocholine phosphatase OS=Homo sapiens OX=9606 GN=PHOSPHO1 PE=1 SV=1
+CSKLVQQLHLRVDAATEWPVVSARFSSPEAKQAEQILRHMPYGRRPFAVDGGALLGMPCFDNAGDGVYFLREFHVGDHARERLYDSLVKHKCMNAPCRACSHTHFPRLALLGRADPGSPNSLIRRFLSHHGAARLSSEVGFTNADSILIVEFCAGQKAVFQLLDSMGPSLPIAEYIASLDRPRVGQEGLYKFVRQMYENYFGERYTARLSEPLRQGPAARVISDDSNEDVITEDFDFTLLFRPAGQAAMRGDRSLCRLGSVPFCGSM
+>sp|P20941|PHOS_HUMAN Phosducin OS=Homo sapiens OX=9606 GN=PDC PE=1 SV=1
+MEEAKSQSLEEDFEGQATHTGPKGVINDWRKFKLESQDSDSIPPSKKEILRQMSSPQSRNGKDSKERVSRKMSIQEYELIHKEKEDENCLRKYRRQCMQDMHQKLSFGPRYGFVYELETGKQFLETIEKELKITTIVVHIYEDGIKGCDALNSSLTCLAAEYPIVKFCKIKASNTGAGDRFSLDVLPTLLIYKGGELISNFISVAEQFAEEFFAGDVESFLNEYGLLPEREVHVLEHTKIEEEDVE
+>DECOY_sp|P20941|PHOS_HUMAN Phosducin OS=Homo sapiens OX=9606 GN=PDC PE=1 SV=1
+EVDEEEIKTHELVHVEREPLLGYENLFSEVDGAFFEEAFQEAVSIFNSILEGGKYILLTPLVDLSFRDGAGTNSAKIKCFKVIPYEAALCTLSSNLADCGKIGDEYIHVVITTIKLEKEITELFQKGTELEYVFGYRPGFSLKQHMDQMCQRRYKRLCNEDEKEKHILEYEQISMKRSVREKSDKGNRSQPSSMQRLIEKKSPPISDSDQSELKFKRWDNIVGKPGTHTAQGEFDEELSQSKAEEM
+>sp|O14813|PHX2A_HUMAN Paired mesoderm homeobox protein 2A OS=Homo sapiens OX=9606 GN=PHOX2A PE=1 SV=2
+MDYSYLNSYDSCVAAMEASAYGDFGACSQPGGFQYSPLRPAFPAAGPPCPALGSSNCALGALRDHQPAPYSAVPYKFFPEPSGLHEKRKQRRIRTTFTSAQLKELERVFAETHYPDIYTREELALKIDLTEARVQVWFQNRRAKFRKQERAASAKGAAGAAGAKKGEARCSSEDDDSKESTCSPTPDSTASLPPPPAPGLASPRLSPSPLPVALGSGPGPGPGPQPLKGALWAGVAGGGGGGPGAGAAELLKAWQPAESGPGPFSGVLSSFHRKPGPALKTNLF
+>DECOY_sp|O14813|PHX2A_HUMAN Paired mesoderm homeobox protein 2A OS=Homo sapiens OX=9606 GN=PHOX2A PE=1 SV=2
+FLNTKLAPGPKRHFSSLVGSFPGPGSEAPQWAKLLEAAGAGPGGGGGGAVGAWLAGKLPQPGPGPGPGSGLAVPLPSPSLRPSALGPAPPPPLSATSDPTPSCTSEKSDDDESSCRAEGKKAGAAGAAGKASAAREQKRFKARRNQFWVQVRAETLDIKLALEERTYIDPYHTEAFVRELEKLQASTFTTRIRRQKRKEHLGSPEPFFKYPVASYPAPQHDRLAGLACNSSGLAPCPPGAAPFAPRLPSYQFGGPQSCAGFDGYASAEMAAVCSDYSNLYSYDM
+>sp|Q8TEW8|PAR3L_HUMAN Partitioning defective 3 homolog B OS=Homo sapiens OX=9606 GN=PARD3B PE=1 SV=2
+MKVTVCFGRTGIVVPCKEGQLRVGELTQQALQRYLKTREKGPGYWVKIHHLEYTDGGILDPDDVLADVVEDKDKLIAVFEEQEPLHKIESPSGNPADRQSPDAFETEVAAQLAAFKPIGGEIEVTPSALKLGTPLLVRRSSDPVPGPPADTQPSASHPGGQSLKLVVPDSTQNLEDREVLNGVQTELLTSPRTKDTLSDMTRTVEISGEGGPLGIHVVPFFSSLSGRILGLFIRGIEDNSRSKREGLFHENECIVKINNVDLVDKTFAQAQDVFRQAMKSPSVLLHVLPPQNREQYEKSVIGSLNIFGNNDGVLKTKVPPPVHGKSGLKTANLTGTDSPETDASASLQQNKSPRVPRLGGKPSSPSLSPLMGFGSNKNAKKIKIDLKKGPEGLGFTVVTRDSSIHGPGPIFVKNILPKGAAIKDGRLQSGDRILEVNGRDVTGRTQEELVAMLRSTKQGETASLVIARQEGHFLPRELKGEPDCCALSLETSEQLTFEIPLNDSGSAGLGVSLKGNKSRETGTDLGIFIKSIIHGGAAFKDGRLRMNDQLIAVNGESLLGKSNHEAMETLRRSMSMEGNIRGMIQLVILRRPERPMEDPAECGAFSKPCFENCQNAVTTSRRNDNSILHPLGTCSPQDKQKGLLLPNDGWAESEVPPSPTPHSALGLGLEDYSHSSGVDSAVYFPDQHINFRSVTPARQPESINLKASKSMDLVPDESKVHSLAGQKSESPSKDFGPTLGLKKSSSLESLQTAVAEVRKNDLPFHRPRPHMVRGRGCNESFRAAIDKSYDGPEEIEADGLSDKSSHSGQGALNCESAPQGNSELEDMENKARKVKKTKEKEKKKEKGKLKVKEKKRKEENEDPERKIKKKGFGAMLRFGKKKEDKGGKAEQKGTLKHGGLREEELEKMKEERERIGAKHQELREKQARGLLDYATGAIGSVYDMDDDEMDPNYARVNHFREPCTSANVFRSPSPPRAGPFGYPRDGHPLSPERDHLEGLYAKVNKPYHPLVPADSGRPTGGSTDRIQKLRKEYYQARREGFPLYEDDEGRARPSEYDLLWVPGRGPDGNAHNLRFEGMERQYASLPRGGPADPVDYLPAAPRGLYKERELPYYPGAHPMHPPKGSYPRPTELRVADLRYPQHYPPPPAPQHKGPFRQDVPPSPPQHQRMPAYQETGRPGPRGGSPDQYPYRTQDSRQKNPMTAAV
+>DECOY_sp|Q8TEW8|PAR3L_HUMAN Partitioning defective 3 homolog B OS=Homo sapiens OX=9606 GN=PARD3B PE=1 SV=2
+VAATMPNKQRSDQTRYPYQDPSGGRPGPRGTEQYAPMRQHQPPSPPVDQRFPGKHQPAPPPPYHQPYRLDAVRLETPRPYSGKPPHMPHAGPYYPLEREKYLGRPAAPLYDVPDAPGGRPLSAYQREMGEFRLNHANGDPGRGPVWLLDYESPRARGEDDEYLPFGERRAQYYEKRLKQIRDTSGGTPRGSDAPVLPHYPKNVKAYLGELHDREPSLPHGDRPYGFPGARPPSPSRFVNASTCPERFHNVRAYNPDMEDDDMDYVSGIAGTAYDLLGRAQKERLEQHKAGIREREEKMKELEEERLGGHKLTGKQEAKGGKDEKKKGFRLMAGFGKKKIKREPDENEEKRKKEKVKLKGKEKKKEKEKTKKVKRAKNEMDELESNGQPASECNLAGQGSHSSKDSLGDAEIEEPGDYSKDIAARFSENCGRGRVMHPRPRHFPLDNKRVEAVATQLSELSSSKKLGLTPGFDKSPSESKQGALSHVKSEDPVLDMSKSAKLNISEPQRAPTVSRFNIHQDPFYVASDVGSSHSYDELGLGLASHPTPSPPVESEAWGDNPLLLGKQKDQPSCTGLPHLISNDNRRSTTVANQCNEFCPKSFAGCEAPDEMPREPRRLIVLQIMGRINGEMSMSRRLTEMAEHNSKGLLSEGNVAILQDNMRLRGDKFAAGGHIISKIFIGLDTGTERSKNGKLSVGLGASGSDNLPIEFTLQESTELSLACCDPEGKLERPLFHGEQRAIVLSATEGQKTSRLMAVLEEQTRGTVDRGNVELIRDGSQLRGDKIAAGKPLINKVFIPGPGHISSDRTVVTFGLGEPGKKLDIKIKKANKNSGFGMLPSLSPSSPKGGLRPVRPSKNQQLSASADTEPSDTGTLNATKLGSKGHVPPPVKTKLVGDNNGFINLSGIVSKEYQERNQPPLVHLLVSPSKMAQRFVDQAQAFTKDVLDVNNIKVICENEHFLGERKSRSNDEIGRIFLGLIRGSLSSFFPVVHIGLPGGEGSIEVTRTMDSLTDKTRPSTLLETQVGNLVERDELNQTSDPVVLKLSQGGPHSASPQTDAPPGPVPDSSRRVLLPTGLKLASPTVEIEGGIPKFAALQAAVETEFADPSQRDAPNGSPSEIKHLPEQEEFVAILKDKDEVVDALVDDPDLIGGDTYELHHIKVWYGPGKERTKLYRQLAQQTLEGVRLQGEKCPVVIGTRGFCVTVKM
+>sp|Q9BYG5|PAR6B_HUMAN Partitioning defective 6 homolog beta OS=Homo sapiens OX=9606 GN=PARD6B PE=1 SV=1
+MNRSHRHGAGSGCLGTMEVKSKFGAEFRRFSLERSKPGKFEEFYGLLQHVHKIPNVDVLVGYADIHGDLLPINNDDNYHKAVSTANPLLRIFIQKKEEADYSAFGTDTLIKKKNVLTNVLRPDNHRKKPHIVISMPQDFRPVSSIIDVDILPETHRRVRLYKYGTEKPLGFYIRDGSSVRVTPHGLEKVPGIFISRLVPGGLAQSTGLLAVNDEVLEVNGIEVSGKSLDQVTDMMIANSRNLIITVRPANQRNNVVRNSRTSGSSGQSTDNSLLGYPQQIEPSFEPEDEDSEEDDIIIEDNGVPQQIPKAVPNTESLESLTQIELSFESGQNGFIPSNEVSLAAIASSSNTEFETHAPDQKLLEEDGTIITL
+>DECOY_sp|Q9BYG5|PAR6B_HUMAN Partitioning defective 6 homolog beta OS=Homo sapiens OX=9606 GN=PARD6B PE=1 SV=1
+LTIITGDEELLKQDPAHTEFETNSSSAIAALSVENSPIFGNQGSEFSLEIQTLSELSETNPVAKPIQQPVGNDEIIIDDEESDEDEPEFSPEIQQPYGLLSNDTSQGSSGSTRSNRVVNNRQNAPRVTIILNRSNAIMMDTVQDLSKGSVEIGNVELVEDNVALLGTSQALGGPVLRSIFIGPVKELGHPTVRVSSGDRIYFGLPKETGYKYLRVRRHTEPLIDVDIISSVPRFDQPMSIVIHPKKRHNDPRLVNTLVNKKKILTDTGFASYDAEEKKQIFIRLLPNATSVAKHYNDDNNIPLLDGHIDAYGVLVDVNPIKHVHQLLGYFEEFKGPKSRELSFRRFEAGFKSKVEMTGLCGSGAGHRHSRNM
+>sp|Q9H300|PARL_HUMAN Presenilins-associated rhomboid-like protein, mitochondrial OS=Homo sapiens OX=9606 GN=PARL PE=1 SV=2
+MAWRGWAQRGWGCGQAWGASVGGRSCEELTAVLTPPQLLGRRFNFFIQQKCGFRKAPRKVEPRRSDPGTSGEAYKRSALIPPVEETVFYPSPYPIRSLIKPLFFTVGFTGCAFGSAAIWQYESLKSRVQSYFDGIKADWLDSIRPQKEGDFRKEINKWWNNLSDGQRTVTGIIAANVLVFCLWRVPSLQRTMIRYFTSNPASKVLCSPMLLSTFSHFSLFHMAANMYVLWSFSSSIVNILGQEQFMAVYLSAGVISNFVSYVGKVATGRYGPSLGASGAIMTVLAAVCTKIPEGRLAIIFLPMFTFTAGNALKAIIAMDTAGMILGWKFFDHAAHLGGALFGIWYVTYGHELIWKNREPLVKIWHEIRTNGPKKGGGSK
+>DECOY_sp|Q9H300|PARL_HUMAN Presenilins-associated rhomboid-like protein, mitochondrial OS=Homo sapiens OX=9606 GN=PARL PE=1 SV=2
+KSGGGKKPGNTRIEHWIKVLPERNKWILEHGYTVYWIGFLAGGLHAAHDFFKWGLIMGATDMAIIAKLANGATFTFMPLFIIALRGEPIKTCVAALVTMIAGSAGLSPGYRGTAVKGVYSVFNSIVGASLYVAMFQEQGLINVISSSFSWLVYMNAAMHFLSFHSFTSLLMPSCLVKSAPNSTFYRIMTRQLSPVRWLCFVLVNAAIIGTVTRQGDSLNNWWKNIEKRFDGEKQPRISDLWDAKIGDFYSQVRSKLSEYQWIAASGFACGTFGVTFFLPKILSRIPYPSPYFVTEEVPPILASRKYAEGSTGPDSRRPEVKRPAKRFGCKQQIFFNFRRGLLQPPTLVATLEECSRGGVSAGWAQGCGWGRQAWGRWAM
+>sp|O95453|PARN_HUMAN Poly(A)-specific ribonuclease PARN OS=Homo sapiens OX=9606 GN=PARN PE=1 SV=1
+MEIIRSNFKSNLHKVYQAIEEADFFAIDGEFSGISDGPSVSALTNGFDTPEERYQKLKKHSMDFLLFQFGLCTFKYDYTDSKYITKSFNFYVFPKPFNRSSPDVKFVCQSSSIDFLASQGFDFNKVFRNGIPYLNQEEERQLREQYDEKRSQANGAGALSYVSPNTSKCPVTIPEDQKKFIDQVVEKIEDLLQSEENKNLDLEPCTGFQRKLIYQTLSWKYPKGIHVETLETEKKERYIVISKVDEEERKRREQQKHAKEQEELNDAVGFSRVIHAIANSGKLVIGHNMLLDVMHTVHQFYCPLPADLSEFKEMTTCVFPRLLDTKLMASTQPFKDIINNTSLAELEKRLKETPFNPPKVESAEGFPSYDTASEQLHEAGYDAYITGLCFISMANYLGSFLSPPKIHVSARSKLIEPFFNKLFLMRVMDIPYLNLEGPDLQPKRDHVLHVTFPKEWKTSDLYQLFSAFGNIQISWIDDTSAFVSLSQPEQVKIAVNTSKYAESYRIQTYAEYMGRKQEEKQIKRKWTEDSWKEADSKRLNPQCIPYTLQNHYYRNNSFTAPSTVGKRNLSPSQEEAGLEDGVSGEISDTELEQTDSCAEPLSEGRKKAKKLKRMKKELSPAGSISKNSPATLFEVPDTW
+>DECOY_sp|O95453|PARN_HUMAN Poly(A)-specific ribonuclease PARN OS=Homo sapiens OX=9606 GN=PARN PE=1 SV=1
+WTDPVEFLTAPSNKSISGAPSLEKKMRKLKKAKKRGESLPEACSDTQELETDSIEGSVGDELGAEEQSPSLNRKGVTSPATFSNNRYYHNQLTYPICQPNLRKSDAEKWSDETWKRKIQKEEQKRGMYEAYTQIRYSEAYKSTNVAIKVQEPQSLSVFASTDDIWSIQINGFASFLQYLDSTKWEKPFTVHLVHDRKPQLDPGELNLYPIDMVRMLFLKNFFPEILKSRASVHIKPPSLFSGLYNAMSIFCLGTIYADYGAEHLQESATDYSPFGEASEVKPPNFPTEKLRKELEALSTNNIIDKFPQTSAMLKTDLLRPFVCTTMEKFESLDAPLPCYFQHVTHMVDLLMNHGIVLKGSNAIAHIVRSFGVADNLEEQEKAHKQQERRKREEEDVKSIVIYREKKETELTEVHIGKPYKWSLTQYILKRQFGTCPELDLNKNEESQLLDEIKEVVQDIFKKQDEPITVPCKSTNPSVYSLAGAGNAQSRKEDYQERLQREEEQNLYPIGNRFVKNFDFGQSALFDISSSQCVFKVDPSSRNFPKPFVYFNFSKTIYKSDTYDYKFTCLGFQFLLFDMSHKKLKQYREEPTDFGNTLASVSPGDSIGSFEGDIAFFDAEEIAQYVKHLNSKFNSRIIEM
+>sp|P09874|PARP1_HUMAN Poly [ADP-ribose] polymerase 1 OS=Homo sapiens OX=9606 GN=PARP1 PE=1 SV=4
+MAESSDKLYRVEYAKSGRASCKKCSESIPKDSLRMAIMVQSPMFDGKVPHWYHFSCFWKVGHSIRHPDVEVDGFSELRWDDQQKVKKTAEAGGVTGKGQDGIGSKAEKTLGDFAAEYAKSNRSTCKGCMEKIEKGQVRLSKKMVDPEKPQLGMIDRWYHPGCFVKNREELGFRPEYSASQLKGFSLLATEDKEALKKQLPGVKSEGKRKGDEVDGVDEVAKKKSKKEKDKDSKLEKALKAQNDLIWNIKDELKKVCSTNDLKELLIFNKQQVPSGESAILDRVADGMVFGALLPCEECSGQLVFKSDAYYCTGDVTAWTKCMVKTQTPNRKEWVTPKEFREISYLKKLKVKKQDRIFPPETSASVAATPPPSTASAPAAVNSSASADKPLSNMKILTLGKLSRNKDEVKAMIEKLGGKLTGTANKASLCISTKKEVEKMNKKMEEVKEANIRVVSEDFLQDVSASTKSLQELFLAHILSPWGAEVKAEPVEVVAPRGKSGAALSKKSKGQVKEEGINKSEKRMKLTLKGGAAVDPDSGLEHSAHVLEKGGKVFSATLGLVDIVKGTNSYYKLQLLEDDKENRYWIFRSWGRVGTVIGSNKLEQMPSKEDAIEHFMKLYEEKTGNAWHSKNFTKYPKKFYPLEIDYGQDEEAVKKLTVNPGTKSKLPKPVQDLIKMIFDVESMKKAMVEYEIDLQKMPLGKLSKRQIQAAYSILSEVQQAVSQGSSDSQILDLSNRFYTLIPHDFGMKKPPLLNNADSVQAKVEMLDNLLDIEVAYSLLRGGSDDSSKDPIDVNYEKLKTDIKVVDRDSEEAEIIRKYVKNTHATTHNAYDLEVIDIFKIEREGECQRYKPFKQLHNRRLLWHGSRTTNFAGILSQGLRIAPPEAPVTGYMFGKGIYFADMVSKSANYCHTSQGDPIGLILLGEVALGNMYELKHASHISKLPKGKHSVKGLGKTTPDPSANISLDGVDVPLGTGISSGVNDTSLLYNEYIVYDIAQVNLKYLLKLKFNFKTSLW
+>DECOY_sp|P09874|PARP1_HUMAN Poly [ADP-ribose] polymerase 1 OS=Homo sapiens OX=9606 GN=PARP1 PE=1 SV=4
+WLSTKFNFKLKLLYKLNVQAIDYVIYENYLLSTDNVGSSIGTGLPVDVGDLSINASPDPTTKGLGKVSHKGKPLKSIHSAHKLEYMNGLAVEGLLILGIPDGQSTHCYNASKSVMDAFYIGKGFMYGTVPAEPPAIRLGQSLIGAFNTTRSGHWLLRRNHLQKFPKYRQCEGEREIKFIDIVELDYANHTTAHTNKVYKRIIEAEESDRDVVKIDTKLKEYNVDIPDKSSDDSGGRLLSYAVEIDLLNDLMEVKAQVSDANNLLPPKKMGFDHPILTYFRNSLDLIQSDSSGQSVAQQVESLISYAAQIQRKSLKGLPMKQLDIEYEVMAKKMSEVDFIMKILDQVPKPLKSKTGPNVTLKKVAEEDQGYDIELPYFKKPYKTFNKSHWANGTKEEYLKMFHEIADEKSPMQELKNSGIVTGVRGWSRFIWYRNEKDDELLQLKYYSNTGKVIDVLGLTASFVKGGKELVHASHELGSDPDVAAGGKLTLKMRKESKNIGEEKVQGKSKKSLAAGSKGRPAVVEVPEAKVEAGWPSLIHALFLEQLSKTSASVDQLFDESVVRINAEKVEEMKKNMKEVEKKTSICLSAKNATGTLKGGLKEIMAKVEDKNRSLKGLTLIKMNSLPKDASASSNVAAPASATSPPPTAAVSASTEPPFIRDQKKVKLKKLYSIERFEKPTVWEKRNPTQTKVMCKTWATVDGTCYYADSKFVLQGSCEECPLLAGFVMGDAVRDLIASEGSPVQQKNFILLEKLDNTSCVKKLEDKINWILDNQAKLAKELKSDKDKEKKSKKKAVEDVGDVEDGKRKGESKVGPLQKKLAEKDETALLSFGKLQSASYEPRFGLEERNKVFCGPHYWRDIMGLQPKEPDVMKKSLRVQGKEIKEMCGKCTSRNSKAYEAAFDGLTKEAKSGIGDQGKGTVGGAEATKKVKQQDDWRLESFGDVEVDPHRISHGVKWFCSFHYWHPVKGDFMPSQVMIAMRLSDKPISESCKKCSARGSKAYEVRYLKDSSEAM
+>sp|Q9Y6F1|PARP3_HUMAN Poly [ADP-ribose] polymerase 3 OS=Homo sapiens OX=9606 GN=PARP3 PE=1 SV=4
+MAPKPKPWVQTEGPEKKKGRQAGREEDPFRSTAEALKAIPAEKRIIRVDPTCPLSSNPGTQVYEDYNCTLNQTNIENNNNKFYIIQLLQDSNRFFTCWNRWGRVGEVGQSKINHFTRLEDAKKDFEKKFREKTKNNWAERDHFVSHPGKYTLIEVQAEDEAQEAVVKVDRGPVRTVTKRVQPCSLDPATQKLITNIFSKEMFKNTMALMDLDVKKMPLGKLSKQQIARGFEALEALEEALKGPTDGGQSLEELSSHFYTVIPHNFGHSQPPPINSPELLQAKKDMLLVLADIELAQALQAVSEQEKTVEEVPHPLDRDYQLLKCQLQLLDSGAPEYKVIQTYLEQTGSNHRCPTLQHIWKVNQEGEEDRFQAHSKLGNRKLLWHGTNMAVVAAILTSGLRIMPHSGGRVGKGIYFASENSKSAGYVIGMKCGAHHVGYMFLGEVALGREHHINTDNPSLKSPPPGFDSVIARGHTEPDPTQDTELELDGQQVVVPQGQPVPCPEFSSSTFSQSEYLIYQESQCRLRYLLEVHL
+>DECOY_sp|Q9Y6F1|PARP3_HUMAN Poly [ADP-ribose] polymerase 3 OS=Homo sapiens OX=9606 GN=PARP3 PE=1 SV=4
+LHVELLYRLRCQSEQYILYESQSFTSSSFEPCPVPQGQPVVVQQGDLELETDQTPDPETHGRAIVSDFGPPPSKLSPNDTNIHHERGLAVEGLFMYGVHHAGCKMGIVYGASKSNESAFYIGKGVRGGSHPMIRLGSTLIAAVVAMNTGHWLLKRNGLKSHAQFRDEEGEQNVKWIHQLTPCRHNSGTQELYTQIVKYEPAGSDLLQLQCKLLQYDRDLPHPVEEVTKEQESVAQLAQALEIDALVLLMDKKAQLLEPSNIPPPQSHGFNHPIVTYFHSSLEELSQGGDTPGKLAEELAELAEFGRAIQQKSLKGLPMKKVDLDMLAMTNKFMEKSFINTILKQTAPDLSCPQVRKTVTRVPGRDVKVVAEQAEDEAQVEILTYKGPHSVFHDREAWNNKTKERFKKEFDKKADELRTFHNIKSQGVEGVRGWRNWCTFFRNSDQLLQIIYFKNNNNEINTQNLTCNYDEYVQTGPNSSLPCTPDVRIIRKEAPIAKLAEATSRFPDEERGAQRGKKKEPGETQVWPKPKPAM
+>sp|Q02962|PAX2_HUMAN Paired box protein Pax-2 OS=Homo sapiens OX=9606 GN=PAX2 PE=1 SV=4
+MDMHCKADPFSAMHPGHGGVNQLGGVFVNGRPLPDVVRQRIVELAHQGVRPCDISRQLRVSHGCVSKILGRYYETGSIKPGVIGGSKPKVATPKVVDKIAEYKRQNPTMFAWEIRDRLLAEGICDNDTVPSVSSINRIIRTKVQQPFHPTPDGAGTGVTAPGHTIVPSTASPPVSSASNDPVGSYSINGILGIPRSNGEKRKRDEVEVYTDPAHIRGGGGLHLVWTLRDVSEGSVPNGDSQSGVDSLRKHLRADTFTQQQLEALDRVFERPSYPDVFQASEHIKSEQGNEYSLPALTPGLDEVKSSLSASTNPELGSNVSGTQTYPVVTGRDMASTTLPGYPPHVPPTGQGSYPTSTLAGMVPGSEFSGNPYSHPQYTAYNEAWRFSNPALLSSPYYYSAAPRGSAPAAAAAAYDRH
+>DECOY_sp|Q02962|PAX2_HUMAN Paired box protein Pax-2 OS=Homo sapiens OX=9606 GN=PAX2 PE=1 SV=4
+HRDYAAAAAAPASGRPAASYYYPSSLLAPNSFRWAENYATYQPHSYPNGSFESGPVMGALTSTPYSGQGTPPVHPPYGPLTTSAMDRGTVVPYTQTGSVNSGLEPNTSASLSSKVEDLGPTLAPLSYENGQESKIHESAQFVDPYSPREFVRDLAELQQQTFTDARLHKRLSDVGSQSDGNPVSGESVDRLTWVLHLGGGGRIHAPDTYVEVEDRKRKEGNSRPIGLIGNISYSGVPDNSASSVPPSATSPVITHGPATVGTGAGDPTPHFPQQVKTRIIRNISSVSPVTDNDCIGEALLRDRIEWAFMTPNQRKYEAIKDVVKPTAVKPKSGGIVGPKISGTEYYRGLIKSVCGHSVRLQRSIDCPRVGQHALEVIRQRVVDPLPRGNVFVGGLQNVGGHGPHMASFPDAKCHMDM
+>sp|P40426|PBX3_HUMAN Pre-B-cell leukemia transcription factor 3 OS=Homo sapiens OX=9606 GN=PBX3 PE=1 SV=1
+MDDQSRMLQTLAGVNLAGHSVQGGMALPPPPHGHEGADGDGRKQDIGDILHQIMTITDQSLDEAQAKKHALNCHRMKPALFSVLCEIKEKTGLSIRGAQEEDPPDPQLMRLDNMLLAEGVSGPEKGGGSAAAAAAAAASGGSSDNSIEHSDYRAKLTQIRQIYHTELEKYEQACNEFTTHVMNLLREQSRTRPISPKEIERMVGIIHRKFSSIQMQLKQSTCEAVMILRSRFLDARRKRRNFSKQATEILNEYFYSHLSNPYPSEEAKEELAKKCSITVSQVSNWFGNKRIRYKKNIGKFQEEANLYAAKTAVTAAHAVAAAVQNNQTNSPTTPNSGSSGSFNLPNSGDMFMNMQSLNGDSYQGSQVGANVQSQVDTLRHVINQTGGYSDGLGGNSLYSPHNLNANGGWQDATTPSSVTSPTEGPGSVHSDTSN
+>DECOY_sp|P40426|PBX3_HUMAN Pre-B-cell leukemia transcription factor 3 OS=Homo sapiens OX=9606 GN=PBX3 PE=1 SV=1
+NSTDSHVSGPGETPSTVSSPTTADQWGGNANLNHPSYLSNGGLGDSYGGTQNIVHRLTDVQSQVNAGVQSGQYSDGNLSQMNMFMDGSNPLNFSGSSGSNPTTPSNTQNNQVAAAVAHAATVATKAAYLNAEEQFKGINKKYRIRKNGFWNSVQSVTISCKKALEEKAEESPYPNSLHSYFYENLIETAQKSFNRRKRRADLFRSRLIMVAECTSQKLQMQISSFKRHIIGVMREIEKPSIPRTRSQERLLNMVHTTFENCAQEYKELETHYIQRIQTLKARYDSHEISNDSSGGSAAAAAAAAASGGGKEPGSVGEALLMNDLRMLQPDPPDEEQAGRISLGTKEKIECLVSFLAPKMRHCNLAHKKAQAEDLSQDTITMIQHLIDGIDQKRGDGDAGEHGHPPPPLAMGGQVSHGALNVGALTQLMRSQDDM
+>sp|Q8NF37|PCAT1_HUMAN Lysophosphatidylcholine acyltransferase 1 OS=Homo sapiens OX=9606 GN=LPCAT1 PE=1 SV=2
+MRLRGCGPRAAPASSAGASDARLLAPPGRNPFVHELRLSALQKAQVALMTLTLFPVRLLVAAAMMLLAWPLALVASLGSAEKEPEQPPALWRKVVDFLLKAIMRTMWFAGGFHRVAVKGRQALPTEAAILTLAPHSSYFDAIPVTMTMSSIVMKAESRDIPIWGTLIQYIRPVFVSRSDQDSRRKTVEEIKRRAQSNGKWPQIMIFPEGTCTNRTCLITFKPGAFIPGAPVQPVVLRYPNKLDTITWTWQGPGALEILWLTLCQFHNQVEIEFLPVYSPSEEEKRNPALYASNVRRVMAEALGVSVTDYTFEDCQLALAEGQLRLPADTCLLEFARLVRGLGLKPEKLEKDLDRYSERARMKGGEKIGIAEFAASLEVPVSDLLEDMFSLFDESGSGEVDLRECVVALSVVCRPARTLDTIQLAFKMYGAQEDGSVGEGDLSCILKTALGVAELTVTDLFRAIDQEEKGKITFADFHRFAEMYPAFAEEYLYPDQTHFESCAETSPAPIPNGFCADFSPENSDAGRKPVRKKLD
+>DECOY_sp|Q8NF37|PCAT1_HUMAN Lysophosphatidylcholine acyltransferase 1 OS=Homo sapiens OX=9606 GN=LPCAT1 PE=1 SV=2
+DLKKRVPKRGADSNEPSFDACFGNPIPAPSTEACSEFHTQDPYLYEEAFAPYMEAFRHFDAFTIKGKEEQDIARFLDTVTLEAVGLATKLICSLDGEGVSGDEQAGYMKFALQITDLTRAPRCVVSLAVVCERLDVEGSGSEDFLSFMDELLDSVPVELSAAFEAIGIKEGGKMRARESYRDLDKELKEPKLGLGRVLRAFELLCTDAPLRLQGEALALQCDEFTYDTVSVGLAEAMVRRVNSAYLAPNRKEEESPSYVPLFEIEVQNHFQCLTLWLIELAGPGQWTWTITDLKNPYRLVVPQVPAGPIFAGPKFTILCTRNTCTGEPFIMIQPWKGNSQARRKIEEVTKRRSDQDSRSVFVPRIYQILTGWIPIDRSEAKMVISSMTMTVPIADFYSSHPALTLIAAETPLAQRGKVAVRHFGGAFWMTRMIAKLLFDVVKRWLAPPQEPEKEASGLSAVLALPWALLMMAAAVLLRVPFLTLTMLAVQAKQLASLRLEHVFPNRGPPALLRADSAGASSAPAARPGCGRLRM
+>sp|P05165|PCCA_HUMAN Propionyl-CoA carboxylase alpha chain, mitochondrial OS=Homo sapiens OX=9606 GN=PCCA PE=1 SV=4
+MAGFWVGTAPLVAAGRRGRWPPQQLMLSAALRTLKHVLYYSRQCLMVSRNLGSVGYDPNEKTFDKILVANRGEIACRVIRTCKKMGIKTVAIHSDVDASSVHVKMADEAVCVGPAPTSKSYLNMDAIMEAIKKTRAQAVHPGYGFLSENKEFARCLAAEDVVFIGPDTHAIQAMGDKIESKLLAKKAEVNTIPGFDGVVKDAEEAVRIAREIGYPVMIKASAGGGGKGMRIAWDDEETRDGFRLSSQEAASSFGDDRLLIEKFIDNPRHIEIQVLGDKHGNALWLNERECSIQRRNQKVVEEAPSIFLDAETRRAMGEQAVALARAVKYSSAGTVEFLVDSKKNFYFLEMNTRLQVEHPVTECITGLDLVQEMIRVAKGYPLRHKQADIRINGWAVECRVYAEDPYKSFGLPSIGRLSQYQEPLHLPGVRVDSGIQPGSDISIYYDPMISKLITYGSDRTEALKRMADALDNYVIRGVTHNIALLREVIINSRFVKGDISTKFLSDVYPDGFKGHMLTKSEKNQLLAIASSLFVAFQLRAQHFQENSRMPVIKPDIANWELSVKLHDKVHTVVASNNGSVFSVEVDGSKLNVTSTWNLASPLLSVSVDGTQRTVQCLSREAGGNMSIQFLGTVYKVNILTRLAAELNKFMLEKVTEDTSSVLRSPMPGVVVAVSVKPGDAVAEGQEICVIEAMKMQNSMTAGKTGTVKSVHCQAGDTVGEGDLLVELE
+>DECOY_sp|P05165|PCCA_HUMAN Propionyl-CoA carboxylase alpha chain, mitochondrial OS=Homo sapiens OX=9606 GN=PCCA PE=1 SV=4
+ELEVLLDGEGVTDGAQCHVSKVTGTKGATMSNQMKMAEIVCIEQGEAVADGPKVSVAVVVGPMPSRLVSSTDETVKELMFKNLEAALRTLINVKYVTGLFQISMNGGAERSLCQVTRQTGDVSVSLLPSALNWTSTVNLKSGDVEVSFVSGNNSAVVTHVKDHLKVSLEWNAIDPKIVPMRSNEQFHQARLQFAVFLSSAIALLQNKESKTLMHGKFGDPYVDSLFKTSIDGKVFRSNIIVERLLAINHTVGRIVYNDLADAMRKLAETRDSGYTILKSIMPDYYISIDSGPQIGSDVRVGPLHLPEQYQSLRGISPLGFSKYPDEAYVRCEVAWGNIRIDAQKHRLPYGKAVRIMEQVLDLGTICETVPHEVQLRTNMELFYFNKKSDVLFEVTGASSYKVARALAVAQEGMARRTEADLFISPAEEVVKQNRRQISCERENLWLANGHKDGLVQIEIHRPNDIFKEILLRDDGFSSAAEQSSLRFGDRTEEDDWAIRMGKGGGGASAKIMVPYGIERAIRVAEEADKVVGDFGPITNVEAKKALLKSEIKDGMAQIAHTDPGIFVVDEAALCRAFEKNESLFGYGPHVAQARTKKIAEMIADMNLYSKSTPAPGVCVAEDAMKVHVSSADVDSHIAVTKIGMKKCTRIVRCAIEGRNAVLIKDFTKENPDYGVSGLNRSVMLCQRSYYLVHKLTRLAASLMLQQPPWRGRRGAAVLPATGVWFGAM
+>sp|Q9HCL0|PCD18_HUMAN Protocadherin-18 OS=Homo sapiens OX=9606 GN=PCDH18 PE=2 SV=3
+MHQMNAKMHFRFVFALLIVSFNHDVLGKNLKYRIYEEQRVGSVIARLSEDVADVLLKLPNPSTVRFRAMQRGNSPLLVVNEDNGEISIGATIDREQLCQKNLNCSIEFDVITLPTEHLQLFHIEVEVLDINDNSPQFSRSLIPIEISESAAVGTRIPLDSAFDPDVGENSLHTYSLSANDFFNIEVRTRTDGAKYAELIVVRELDRELKSSYELQLTASDMGVPQRSGSSILKISISDSNDNSPAFEQQSYIIQLLENSPVGTLLLDLNATDPDEGANGKIVYSFSSHVSPKIMETFKIDSERGHLTLFKQVDYEITKSYEIDVQAQDLGPNSIPAHCKIIIKVVDVNDNKPEININLMSPGKEEISYIFEGDPIDTFVALVRVQDKDSGLNGEIVCKLHGHGHFKLQKTYENNYLILTNATLDREKRSEYSLTVIAEDRGTPSLSTVKHFTVQINDINDNPPHFQRSRYEFVISENNSPGAYITTVTATDPDLGENGQVTYTILESFILGSSITTYVTIDPSNGAIYALRIFDHEEVSQITFVVEARDGGSPKQLVSNTTVVLTIIDENDNVPVVIGPALRNNTAEITIPKGAESGFHVTRIRAIDRDSGVNAELSCAIVAGNEENIFIIDPRSCDIHTNVSMDSVPYTEWELSVIIQDKGNPQLHTKVLLKCMIFEYAESVTSTAMTSVSQASLDVSMIIIISLGAICAVLLVIMVLFATRCNREKKDTRSYNCRVAESTYQHHPKRPSRQIHKGDITLVPTINGTLPIRSHHRSSPSSSPTLERGQMGSRQSHNSHQSLNSLVTISSNHVPENFSLELTHATPAVEQVSQLLSMLHQGQYQPRPSFRGNKYSRSYRYALQDMDKFSLKDSGRGDSEAGDSDYDLGRDSPIDRLLGEGFSDLFLTDGRIPAAMRLCTEECRVLGHSDQCWMPPLPSPSSDYRSNMFIPGEEFPTQPQQQHPHQSLEDDAQPADSGEKKKSFSTFGKDSPNDEDTGDTSTSSLLSEMSSVFQRLLPPSLDTYSECSEVDRSNSLERRKGPLPAKTVGYPQGVAAWAASTHFQNPTTNCGPPLGTHSSVQPSSKWLPAMEEIPENYEEDDFDNVLNHLNDGKHELMDASELVAEINKLLQDVRQS
+>DECOY_sp|Q9HCL0|PCD18_HUMAN Protocadherin-18 OS=Homo sapiens OX=9606 GN=PCDH18 PE=2 SV=3
+SQRVDQLLKNIEAVLESADMLEHKGDNLHNLVNDFDDEEYNEPIEEMAPLWKSSPQVSSHTGLPPGCNTTPNQFHTSAAWAAVGQPYGVTKAPLPGKRRELSNSRDVESCESYTDLSPPLLRQFVSSMESLLSSTSTDGTDEDNPSDKGFTSFSKKKEGSDAPQADDELSQHPHQQQPQTPFEEGPIFMNSRYDSSPSPLPPMWCQDSHGLVRCEETCLRMAAPIRGDTLFLDSFGEGLLRDIPSDRGLDYDSDGAESDGRGSDKLSFKDMDQLAYRYSRSYKNGRFSPRPQYQGQHLMSLLQSVQEVAPTAHTLELSFNEPVHNSSITVLSNLSQHSNHSQRSGMQGRELTPSSSPSSRHHSRIPLTGNITPVLTIDGKHIQRSPRKPHHQYTSEAVRCNYSRTDKKERNCRTAFLVMIVLLVACIAGLSIIIIMSVDLSAQSVSTMATSTVSEAYEFIMCKLLVKTHLQPNGKDQIIVSLEWETYPVSDMSVNTHIDCSRPDIIFINEENGAVIACSLEANVGSDRDIARIRTVHFGSEAGKPITIEATNNRLAPGIVVPVNDNEDIITLVVTTNSVLQKPSGGDRAEVVFTIQSVEEHDFIRLAYIAGNSPDITVYTTISSGLIFSELITYTVQGNEGLDPDTATVTTIYAGPSNNESIVFEYRSRQFHPPNDNIDNIQVTFHKVTSLSPTGRDEAIVTLSYESRKERDLTANTLILYNNEYTKQLKFHGHGHLKCVIEGNLGSDKDQVRVLAVFTDIPDGEFIYSIEEKGPSMLNINIEPKNDNVDVVKIIIKCHAPISNPGLDQAQVDIEYSKTIEYDVQKFLTLHGRESDIKFTEMIKPSVHSSFSYVIKGNAGEDPDTANLDLLLTGVPSNELLQIIYSQQEFAPSNDNSDSISIKLISSGSRQPVGMDSATLQLEYSSKLERDLERVVILEAYKAGDTRTRVEINFFDNASLSYTHLSNEGVDPDFASDLPIRTGVAASESIEIPILSRSFQPSNDNIDLVEVEIHFLQLHETPLTIVDFEISCNLNKQCLQERDITAGISIEGNDENVVLLPSNGRQMARFRVTSPNPLKLLVDAVDESLRAIVSGVRQEEYIRYKLNKGLVDHNFSVILLAFVFRFHMKANMQHM
+>sp|Q96NT5|PCFT_HUMAN Proton-coupled folate transporter OS=Homo sapiens OX=9606 GN=SLC46A1 PE=1 SV=1
+MEGSASPPEKPRARPAAAVLCRGPVEPLVFLANFALVLQGPLTTQYLWHRFSADLGYNGTRQRGGCSNRSADPTMQEVETLTSHWTLYMNVGGFLVGLFSSTLLGAWSDSVGRRPLLVLASLGLLLQALVSVFVVQLQLHVGYFVLGRILCALLGDFGGLLAASFASVADVSSSRSRTFRMALLEASIGVAGMLASLLGGHWLRAQGYANPFWLALALLIAMTLYAAFCFGETLKEPKSTRLFTFRHHRSIVQLYVAPAPEKSRKHLALYSLAIFVVITVHFGAQDILTLYELSTPLCWDSKLIGYGSAAQHLPYLTSLLALKLLQYCLADAWVAEIGLAFNILGMVVFAFATITPLMFTGYGLLFLSLVITPVIRAKLSKLVRETEQGALFSAVACVNSLAMLTASGIFNSLYPATLNFMKGFPFLLGAGLLLIPAVLIGMLEKADPHLEFQQFPQSP
+>DECOY_sp|Q96NT5|PCFT_HUMAN Proton-coupled folate transporter OS=Homo sapiens OX=9606 GN=SLC46A1 PE=1 SV=1
+PSQPFQQFELHPDAKELMGILVAPILLLGAGLLFPFGKMFNLTAPYLSNFIGSATLMALSNVCAVASFLAGQETERVLKSLKARIVPTIVLSLFLLGYGTFMLPTITAFAFVVMGLINFALGIEAVWADALCYQLLKLALLSTLYPLHQAASGYGILKSDWCLPTSLEYLTLIDQAGFHVTIVVFIALSYLALHKRSKEPAPAVYLQVISRHHRFTFLRTSKPEKLTEGFCFAAYLTMAILLALALWFPNAYGQARLWHGGLLSALMGAVGISAELLAMRFTRSRSSSVDAVSAFSAALLGGFDGLLACLIRGLVFYGVHLQLQVVFVSVLAQLLLGLSALVLLPRRGVSDSWAGLLTSSFLGVLFGGVNMYLTWHSTLTEVEQMTPDASRNSCGGRQRTGNYGLDASFRHWLYQTTLPGQLVLAFNALFVLPEVPGRCLVAAAPRARPKEPPSASGEM
+>sp|Q9BYE7|PCGF6_HUMAN Polycomb group RING finger protein 6 OS=Homo sapiens OX=9606 GN=PCGF6 PE=1 SV=2
+MEGVAVVTAGSVGAAKTEGAAALPPPPPVSPPALTPAPAAGEEGPAPLSETGAPGCSGSRPPELEPERSLGRFRGRFEDEDEELEEEEELEEEEEEEEEDMSHFSLRLEGGRQDSEDEEERLINLSELTPYILCSICKGYLIDATTITECLHTFCKSCIVRHFYYSNRCPKCNIVVHQTQPLYNIRLDRQLQDIVYKLVINLEEREKKQMHDFYKERGLEVPKPAVPQPVPSSKGRSKKVLESVFRIPPELDMSLLLEFIGANEGTGHFKPLEKKFVRVSGEATIGHVEKFLRRKMGLDPACQVDIICGDHLLEQYQTLREIRRAIGDAAMQDGLLVLHYGLVVSPLKIT
+>DECOY_sp|Q9BYE7|PCGF6_HUMAN Polycomb group RING finger protein 6 OS=Homo sapiens OX=9606 GN=PCGF6 PE=1 SV=2
+TIKLPSVVLGYHLVLLGDQMAADGIARRIERLTQYQELLHDGCIIDVQCAPDLGMKRRLFKEVHGITAEGSVRVFKKELPKFHGTGENAGIFELLLSMDLEPPIRFVSELVKKSRGKSSPVPQPVAPKPVELGREKYFDHMQKKEREELNIVLKYVIDQLQRDLRINYLPQTQHVVINCKPCRNSYYFHRVICSKCFTHLCETITTADILYGKCISCLIYPTLESLNILREEEDESDQRGGELRLSFHSMDEEEEEEEEELEEEEELEEDEDEFRGRFRGLSREPELEPPRSGSCGPAGTESLPAPGEEGAAPAPTLAPPSVPPPPPLAAAGETKAAGVSGATVVAVGEM
+>sp|Q96MG8|PCMD1_HUMAN Protein-L-isoaspartate O-methyltransferase domain-containing protein 1 OS=Homo sapiens OX=9606 GN=PCMTD1 PE=1 SV=2
+MGGAVSAGEDNDDLIDNLKEAQYIRTERVEQAFRAIDRGDYYLEGYRDNAYKDLAWKHGNIHLSAPCIYSEVMEALKLQPGLSFLNLGSGTGYLSTMVGLILGPFGINHGIELHSDVVEYAKEKLESFIKNSDSFDKFEFCEPAFVVGNCLQIASDSHQYDRIYCGAGVQKDHENYMKILLKVGGILVMPIEDQLTQIMRTGQNTWESKNILAVSFAPLVQPSKNDNGKPDSVGLPPCAVRNLQDLARIYIRRTLRNFINDEMQAKGIPQRAPPKRKRKRVKQRINTYVFVGNQLIPQPLDSEEDEKMEEDNKEEEEKDHNEAMKPEEPPQNLLREKIMKLPLPESLKAYLTYFRDK
+>DECOY_sp|Q96MG8|PCMD1_HUMAN Protein-L-isoaspartate O-methyltransferase domain-containing protein 1 OS=Homo sapiens OX=9606 GN=PCMTD1 PE=1 SV=2
+KDRFYTLYAKLSEPLPLKMIKERLLNQPPEEPKMAENHDKEEEEKNDEEMKEDEESDLPQPILQNGVFVYTNIRQKVRKRKRKPPARQPIGKAQMEDNIFNRLTRRIYIRALDQLNRVACPPLGVSDPKGNDNKSPQVLPAFSVALINKSEWTNQGTRMIQTLQDEIPMVLIGGVKLLIKMYNEHDKQVGAGCYIRDYQHSDSAIQLCNGVVFAPECFEFKDFSDSNKIFSELKEKAYEVVDSHLEIGHNIGFPGLILGVMTSLYGTGSGLNLFSLGPQLKLAEMVESYICPASLHINGHKWALDKYANDRYGELYYDGRDIARFAQEVRETRIYQAEKLNDILDDNDEGASVAGGM
+>sp|Q8WW12|PCNP_HUMAN PEST proteolytic signal-containing nuclear protein OS=Homo sapiens OX=9606 GN=PCNP PE=1 SV=2
+MADGKAGDEKPEKSQRAGAAGGPEEEAEKPVKTKTVSSSNGGESSSRSAEKRSAEEEAADLPTKPTKISKFGFAIGSQTTKKASAISIKLGSSKPKETVPTLAPKTLSVAAAFNEDEDSEPEEMPPEAKMRMKNIGRDTPTSAGPNSFNKGKHGFSDNQKLWERNIKSHLGNVHDQDN
+>DECOY_sp|Q8WW12|PCNP_HUMAN PEST proteolytic signal-containing nuclear protein OS=Homo sapiens OX=9606 GN=PCNP PE=1 SV=2
+NDQDHVNGLHSKINREWLKQNDSFGHKGKNFSNPGASTPTDRGINKMRMKAEPPMEEPESDEDENFAAAVSLTKPALTPVTEKPKSSGLKISIASAKKTTQSGIAFGFKSIKTPKTPLDAAEEEASRKEASRSSSEGGNSSSVTKTKVPKEAEEEPGGAAGARQSKEPKEDGAKGDAM
+>sp|O95613|PCNT_HUMAN Pericentrin OS=Homo sapiens OX=9606 GN=PCNT PE=1 SV=4
+MEVEQEQRRRKVEAGRTKLAHFRQRKTKGDSSHSEKKTAKRKGSAVDASVQEESPVTKEDSALCGGGDICKSTSCDDTPDGAGGAFAAQPEDCDGEKREDLEQLQQKQVNDHPPEQCGMFTVSDHPPEQHGMFTVGDHPPEQRGMFTVSDHPPEQHGMFTVSDHPPEQRGMFTISDHQPEQRGMFTVSDHTPEQRGIFTISDHPAEQRGMFTKECEQECELAITDLESGREDEAGLHQSQAVHGLELEALRLSLSNMHTAQLELTQANLQKEKETALTELREMLNSRRAQELALLQSRQQHELELLREQHAREKEEVVLRCGQEAAELKEKLQSEMEKNAQIVKTLKEDWESEKDLCLENLRKELSAKHQSEMEDLQNQFQKELAEQRAELEKIFQDKNQAERALRNLESHHQAAIEKLREDLQSEHGRCLEDLEFKFKESEKEKQLELENLQASYEDLKAQSQEEIRRLWSQLDSARTSRQELSELHEQLLARTSRVEDLEQLKQREKTQHESELEQLRIYFEKKLRDAEKTYQEDLTLLQQRLQGAREDALLDSVEVGLSCVGLEEKPEKGRKDHVDELEPERHKESLPRFQAELEESHRHQLEALESPLCIQHEGHVSDRCCVETSALGHEWRLEPSEGHSQELPWVHLQGVQDGDLEADTERAARVLGLETEHKVQLSLLQTELKEEIELLKIENRNLYGKLQHETRLKDDLEKVKHNLIEDHQKELNNAKQKTELMKQEFQRKETDWKVMKEELQREAEEKLTLMLLELREKAESEKQTIINKFELREAEMRQLQDQQAAQILDLERSLTEQQGRLQQLEQDLTSDDALHCSQCGREPPTAQDGELAALHVKEDCALQLMLARSRFLEERKEITEKFSAEQDAFLQEAQEQHARELQLLQERHQQQLLSVTAELEARHQAALGELTASLESKQGALLAARVAELQTKHAADLGALETRHLSSLDSLESCYLSEFQTIREEHRQALELLRADFEEQLWKKDSLHQTILTQELEKLKRKHEGELQSVRDHLRTEVSTELAGTVAHELQGVHQGEFGSEKKTALHEKEETLRLQSAQAQPFHQEEKESLSLQLQKKNHQVQQLKDQVLSLSHEIEECRSELEVLQQRRERENREGANLLSMLKADVNLSHSERGALQDALRRLLGLFGETLRAAVTLRSRIGERVGLCLDDAGAGLALSTAPALEETWSDVALPELDRTLSECAEMSSVAEISSHMRESFLMSPESVRECEQPIRRVFQSLSLAVDGLMEMALDSSRQLEEARQIHSRFEKEFSFKNEETAQVVRKHQELLECLKEESAAKAELALELHKTQGTLEGFKVETADLKEVLAGKEDSEHRLVLELESLRRQLQQAAQEQAALREECTRLWSRGEATATDAEAREAALRKEVEDLTKEQSETRKQAEKDRSALLSQMKILESELEEQLSQHRGCAKQAEAVTALEQQVASLDKHLRNQRQFMDEQAAEREHEREEFQQEIQRLEGQLRQAAKPQPWGPRDSQQAPLDGEVELLQQKLREKLDEFNELAIQKESADRQVLMQEEEIKRLEEMNINIRKKVAQLQEEVEKQKNIVKGLEQDKEVLKKQQMSSLLLASTLQSTLDAGRCPEPPSGSPPEGPEIQLEVTQRALLRRESEVLDLKEQLEKMKGDLESKNEEILHLNLKLDMQNSQTAVSLRELEEENTSLKVIYTRSSEIEELKATIENLQENQKRLQKEKAEEIEQLHEVIEKLQHELSLMGPVVHEVSDSQAGSLQSELLCSQAGGPRGQALQGELEAALEAKEALSRLLADQERRHSQALEALQQRLQGAEEAAELQLAELERNVALREAEVEDMASRIQEFEAALKAKEATIAERNLEIDALNQRKAAHSAELEAVLLALARIRRALEQQPLAAGAAPPELQWLRAQCARLSRQLQVLHQRFLRCQVELDRRQARRATAHTRVPGAHPQPRMDGGAKAQVTGDVEASHDAALEPVVPDPQGDLQPVLVTLKDAPLCKQEGVMSVLTVCQRQLQSELLLVKNEMRLSLEDGGKGKEKVLEDCQLPKVDLVAQVKQLQEKLNRLLYSMTFQNVDAADTKSLWPMASAHLLESSWSDDSCDGEEPDISPHIDTCDANTATGGVTDVIKNQAIDACDANTTPGGVTDVIKNWDSLIPDEMPDSPIQEKSECQDMSLSSPTSVLGGSRHQSHTAEAGPRKSPVGMLDLSSWSSPEVLRKDWTLEPWPSLPVTPHSGALSLCSADTSLGDRADTSLPQTQGPGLLCSPGVSAAALALQWAESPPADDHHVQRTAVEKDVEDFITTSFDSQETLSSPPPGLEGKADRSEKSDGSGFGARLSPGSGGPEAQTAGPVTPASISGRFQPLPEAMKEKEVRPKHVKALLQMVRDESHQILALSEGLAPPSGEPHPPRKEDEIQDISLHGGKTQEVPTACPDWRGDLLQVVQEAFEKEQEMQGVELQPRLSGSDLGGHSSLLERLEKIIREQGDLQEKSLEHLRLPDRSSLLSEIQALRAQLRMTHLQNQEKLQHLRTALTSAEARGSQQEHQLRRQVELLAYKVEQEKCIAGDLQKTLSEEQEKANSVQKLLAAEQTVVRDLKSDLCESRQKSEQLSRSLCEVQQEVLQLRSMLSSKENELKAALQELESEQGKGRALQSQLEEEQLRHLQRESQSAKALEELRASLETQRAQSSRLCVALKHEQTAKDNLQKELRIEHSRCEALLAQERSQLSELQKDLAAEKSRTLELSEALRHERLLTEQLSQRTQEACVHQDTQAHHALLQKLKEEKSRVVDLQAMLEKVQQQALHSQQQLEAEAQKHCEALRREKEVSATLKSTVEALHTQKRELRCSLEREREKPAWLQAELEQSHPRLKEQEGRKAARRSAEARQSPAAAEQWRKWQRDKEKLRELELQRQRDLHKIKQLQQTVRDLESKDEVPGSRLHLGSARRAAGSDADHLREQQRELEAMRQRLLSAARLLTSFTSQAVDRTVNDWTSSNEKAVMSLLHTLEELKSDLSRPTSSQKKMAAELQFQFVDVLLKDNVSLTKALSTVTQEKLELSRAVSKLEKLLKHHLQKGCSPSRSERSAWKPDETAPQSSLRRPDPGRLPPAASEEAHTSNVKMEKLYLHYLRAESFRKALIYQKKYLLLLIGGFQDSEQETLSMIAHLGVFPSKAERKITSRPFTRFRTAVRVVIAILRLRFLVKKWQEVDRKGALAQGKAPRPGPRARQPQSPPRTRESPPTRDVPSGHTRDPARGRRLAAAASPHSGGRATPSPNSRLERSLTASQDPEHSLTEYIHHLEVIQQRLGGVLPDSTSKKSCHPMIKQ
+>DECOY_sp|O95613|PCNT_HUMAN Pericentrin OS=Homo sapiens OX=9606 GN=PCNT PE=1 SV=4
+QKIMPHCSKKSTSDPLVGGLRQQIVELHHIYETLSHEPDQSATLSRELRSNPSPTARGGSHPSAAAALRRGRAPDRTHGSPVDRTPPSERTRPPSQPQRARPGPRPAKGQALAGKRDVEQWKKVLFRLRLIAIVVRVATRFRTFPRSTIKREAKSPFVGLHAIMSLTEQESDQFGGILLLLYKKQYILAKRFSEARLYHLYLKEMKVNSTHAEESAAPPLRGPDPRRLSSQPATEDPKWASRESRSPSCGKQLHHKLLKELKSVARSLELKEQTVTSLAKTLSVNDKLLVDVFQFQLEAAMKKQSSTPRSLDSKLEELTHLLSMVAKENSSTWDNVTRDVAQSTFSTLLRAASLLRQRMAELERQQERLHDADSGAARRASGLHLRSGPVEDKSELDRVTQQLQKIKHLDRQRQLELERLKEKDRQWKRWQEAAAPSQRAEASRRAAKRGEQEKLRPHSQELEAQLWAPKERERELSCRLERKQTHLAEVTSKLTASVEKERRLAECHKQAEAELQQQSHLAQQQVKELMAQLDVVRSKEEKLKQLLAHHAQTDQHVCAEQTRQSLQETLLREHRLAESLELTRSKEAALDKQLESLQSREQALLAECRSHEIRLEKQLNDKATQEHKLAVCLRSSQARQTELSARLEELAKASQSERQLHRLQEEELQSQLARGKGQESELEQLAAKLENEKSSLMSRLQLVEQQVECLSRSLQESKQRSECLDSKLDRVVTQEAALLKQVSNAKEQEESLTKQLDGAICKEQEVKYALLEVQRRLQHEQQSGRAEASTLATRLHQLKEQNQLHTMRLQARLAQIESLLSSRDPLRLHELSKEQLDGQERIIKELRELLSSHGGLDSGSLRPQLEVGQMEQEKEFAEQVVQLLDGRWDPCATPVEQTKGGHLSIDQIEDEKRPPHPEGSPPALGESLALIQHSEDRVMQLLAKVHKPRVEKEKMAEPLPQFRGSISAPTVPGATQAEPGGSGPSLRAGFGSGDSKESRDAKGELGPPPSSLTEQSDFSTTIFDEVDKEVATRQVHHDDAPPSEAWQLALAAASVGPSCLLGPGQTQPLSTDARDGLSTDASCLSLAGSHPTVPLSPWPELTWDKRLVEPSSWSSLDLMGVPSKRPGAEATHSQHRSGGLVSTPSSLSMDQCESKEQIPSDPMEDPILSDWNKIVDTVGGPTTNADCADIAQNKIVDTVGGTATNADCTDIHPSIDPEEGDCSDDSWSSELLHASAMPWLSKTDAADVNQFTMSYLLRNLKEQLQKVQAVLDVKPLQCDELVKEKGKGGDELSLRMENKVLLLESQLQRQCVTLVSMVGEQKCLPADKLTVLVPQLDGQPDPVVPELAADHSAEVDGTVQAKAGGDMRPQPHAGPVRTHATARRAQRRDLEVQCRLFRQHLVQLQRSLRACQARLWQLEPPAAGAALPQQELARRIRALALLVAELEASHAAKRQNLADIELNREAITAEKAKLAAEFEQIRSAMDEVEAERLAVNRELEALQLEAAEEAGQLRQQLAELAQSHRREQDALLRSLAEKAELAAELEGQLAQGRPGGAQSCLLESQLSGAQSDSVEHVVPGMLSLEHQLKEIVEHLQEIEEAKEKQLRKQNEQLNEITAKLEEIESSRTYIVKLSTNEEELERLSVATQSNQMDLKLNLHLIEENKSELDGKMKELQEKLDLVESERRLLARQTVELQIEPGEPPSGSPPEPCRGADLTSQLTSALLLSSMQQKKLVEKDQELGKVINKQKEVEEQLQAVKKRININMEELRKIEEEQMLVQRDASEKQIALENFEDLKERLKQQLLEVEGDLPAQQSDRPGWPQPKAAQRLQGELRQIEQQFEEREHEREAAQEDMFQRQNRLHKDLSAVQQELATVAEAQKACGRHQSLQEELESELIKMQSLLASRDKEAQKRTESQEKTLDEVEKRLAAERAEADTATAEGRSWLRTCEERLAAQEQAAQQLQRRLSELELVLRHESDEKGALVEKLDATEVKFGELTGQTKHLELALEAKAASEEKLCELLEQHKRVVQATEENKFSFEKEFRSHIQRAEELQRSSDLAMEMLGDVALSLSQFVRRIPQECERVSEPSMLFSERMHSSIEAVSSMEACESLTRDLEPLAVDSWTEELAPATSLALGAGADDLCLGVREGIRSRLTVAARLTEGFLGLLRRLADQLAGRESHSLNVDAKLMSLLNAGERNERERRQQLVELESRCEEIEHSLSLVQDKLQQVQHNKKQLQLSLSEKEEQHFPQAQASQLRLTEEKEHLATKKESGFEGQHVGQLEHAVTGALETSVETRLHDRVSQLEGEHKRKLKELEQTLITQHLSDKKWLQEEFDARLLELAQRHEERITQFESLYCSELSDLSSLHRTELAGLDAAHKTQLEAVRAALLAGQKSELSATLEGLAAQHRAELEATVSLLQQQHREQLLQLERAHQEQAEQLFADQEASFKETIEKREELFRSRALMLQLACDEKVHLAALEGDQATPPERGCQSCHLADDSTLDQELQQLRGQQETLSRELDLIQAAQQDQLQRMEAERLEFKNIITQKESEAKERLELLMLTLKEEAERQLEEKMVKWDTEKRQFEQKMLETKQKANNLEKQHDEILNHKVKELDDKLRTEHQLKGYLNRNEIKLLEIEEKLETQLLSLQVKHETELGLVRAARETDAELDGDQVGQLHVWPLEQSHGESPELRWEHGLASTEVCCRDSVHGEHQICLPSELAELQHRHSEELEAQFRPLSEKHREPELEDVHDKRGKEPKEELGVCSLGVEVSDLLADERAGQLRQQLLTLDEQYTKEADRLKKEFYIRLQELESEHQTKERQKLQELDEVRSTRALLQEHLESLEQRSTRASDLQSWLRRIEEQSQAKLDEYSAQLNELELQKEKESEKFKFELDELCRGHESQLDERLKEIAAQHHSELNRLAREAQNKDQFIKELEARQEALEKQFQNQLDEMESQHKASLEKRLNELCLDKESEWDEKLTKVIQANKEMESQLKEKLEAAEQGCRLVVEEKERAHQERLLELEHQQRSQLLALEQARRSNLMERLETLATEKEKQLNAQTLELQATHMNSLSLRLAELELGHVAQSQHLGAEDERGSELDTIALECEQECEKTFMGRQEAPHDSITFIGRQEPTHDSVTFMGRQEPQHDSITFMGRQEPPHDSVTFMGHQEPPHDSVTFMGRQEPPHDGVTFMGHQEPPHDSVTFMGCQEPPHDNVQKQQLQELDERKEGDCDEPQAAFAGGAGDPTDDCSTSKCIDGGGCLASDEKTVPSEEQVSADVASGKRKATKKESHSSDGKTKRQRFHALKTRGAEVKRRRQEQEVEM
+>sp|P42785|PCP_HUMAN Lysosomal Pro-X carboxypeptidase OS=Homo sapiens OX=9606 GN=PRCP PE=1 SV=1
+MGRRALLLLLLSFLAPWATIALRPALRALGSLHLPTNPTSLPAVAKNYSVLYFQQKVDHFGFNTVKTFNQRYLVADKYWKKNGGSILFYTGNEGDIIWFCNNTGFMWDVAEELKAMLVFAEHRYYGESLPFGDNSFKDSRHLNFLTSEQALADFAELIKHLKRTIPGAENQPVIAIGGSYGGMLAAWFRMKYPHMVVGALAASAPIWQFEDLVPCGVFMKIVTTDFRKSGPHCSESIHRSWDAINRLSNTGSGLQWLTGALHLCSPLTSQDIQHLKDWISETWVNLAMVDYPYASNFLQPLPAWPIKVVCQYLKNPNVSDSLLLQNIFQALNVYYNYSGQVKCLNISETATSSLGTLGWSYQACTEVVMPFCTNGVDDMFEPHSWNLKELSDDCFQQWGVRPRPSWITTMYGGKNISSHTNIVFSNGELDPWSGGGVTKDITDTLVAVTISEGAHHLDLRTKNALDPMSVLLARSLEVRHMKNWIRDFYDSAGKQH
+>DECOY_sp|P42785|PCP_HUMAN Lysosomal Pro-X carboxypeptidase OS=Homo sapiens OX=9606 GN=PRCP PE=1 SV=1
+HQKGASDYFDRIWNKMHRVELSRALLVSMPDLANKTRLDLHHAGESITVAVLTDTIDKTVGGGSWPDLEGNSFVINTHSSINKGGYMTTIWSPRPRVGWQQFCDDSLEKLNWSHPEFMDDVGNTCFPMVVETCAQYSWGLTGLSSTATESINLCKVQGSYNYYVNLAQFINQLLLSDSVNPNKLYQCVVKIPWAPLPQLFNSAYPYDVMALNVWTESIWDKLHQIDQSTLPSCLHLAGTLWQLGSGTNSLRNIADWSRHISESCHPGSKRFDTTVIKMFVGCPVLDEFQWIPASAALAGVVMHPYKMRFWAALMGGYSGGIAIVPQNEAGPITRKLHKILEAFDALAQESTLFNLHRSDKFSNDGFPLSEGYYRHEAFVLMAKLEEAVDWMFGTNNCFWIIDGENGTYFLISGGNKKWYKDAVLYRQNFTKVTNFGFHDVKQQFYLVSYNKAVAPLSTPNTPLHLSGLARLAPRLAITAWPALFSLLLLLLARRGM
+>sp|Q16549|PCSK7_HUMAN Proprotein convertase subtilisin/kexin type 7 OS=Homo sapiens OX=9606 GN=PCSK7 PE=1 SV=2
+MPKGRQKVPHLDAPLGLPTCLWLELAGLFLLVPWVMGLAGTGGPDGQGTGGPSWAVHLESLEGDGEEETLEQQADALAQAAGLVNAGRIGELQGHYLFVQPAGHRPALEVEAIRQQVEAVLAGHEAVRWHSEQRLLRRAKRSVHFNDPKYPQQWHLNNRRSPGRDINVTGVWERNVTGRGVTVVVVDDGVEHTIQDIAPNYSPEGSYDLNSNDPDPMPHPDVENGNHHGTRCAGEIAAVPNNSFCAVGVAYGSRIAGIRVLDGPLTDSMEAVAFNKHYQINDIYSCSWGPDDDGKTVDGPHQLGKAALQHGVIAGRQGFGSIFVVASGNGGQHNDNCNYDGYANSIYTVTIGAVDEEGRMPFYAEECASMLAVTFSGGDKMLRSIVTTDWDLQKGTGCTEGHTGTSAAAPLAAGMIALMLQVRPCLTWRDVQHIIVFTATRYEDRRAEWVTNEAGFSHSHQHGFGLLNAWRLVNAAKIWTSVPYLASYVSPVLKENKAIPQSPRSLEVLWNVSRMDLEMSGLKTLEHVAVTVSITHPRRGSLELKLFCPSGMMSLIGAPRSMDSDPNGFNDWTFSTVRCWGERARGTYRLVIRDVGDESFQVGILRQWQLTLYGSVWSAVDIRDRQRLLESAMSGKYLHDDFALPCPPGLKIPEEDGYTITPNTLKTLVLVGCFTVFWTVYYMLEVYLSQRNVASNQVCRSGPCHWPHRSRKAKEEGTELESVPLCSSKDPDEVETESRGPPTTSDLLAPDLLEQGDWSLSQNKSALDCPHQHLDVPHGKEEQIC
+>DECOY_sp|Q16549|PCSK7_HUMAN Proprotein convertase subtilisin/kexin type 7 OS=Homo sapiens OX=9606 GN=PCSK7 PE=1 SV=2
+CIQEEKGHPVDLHQHPCDLASKNQSLSWDGQELLDPALLDSTTPPGRSETEVEDPDKSSCLPVSELETGEEKAKRSRHPWHCPGSRCVQNSAVNRQSLYVELMYYVTWFVTFCGVLVLTKLTNPTITYGDEEPIKLGPPCPLAFDDHLYKGSMASELLRQRDRIDVASWVSGYLTLQWQRLIGVQFSEDGVDRIVLRYTGRAREGWCRVTSFTWDNFGNPDSDMSRPAGILSMMGSPCFLKLELSGRRPHTISVTVAVHELTKLGSMELDMRSVNWLVELSRPSQPIAKNEKLVPSVYSALYPVSTWIKAANVLRWANLLGFGHQHSHSFGAENTVWEARRDEYRTATFVIIHQVDRWTLCPRVQLMLAIMGAALPAAASTGTHGETCGTGKQLDWDTTVISRLMKDGGSFTVALMSACEEAYFPMRGEEDVAGITVTYISNAYGDYNCNDNHQGGNGSAVVFISGFGQRGAIVGHQLAAKGLQHPGDVTKGDDDPGWSCSYIDNIQYHKNFAVAEMSDTLPGDLVRIGAIRSGYAVGVACFSNNPVAAIEGACRTGHHNGNEVDPHPMPDPDNSNLDYSGEPSYNPAIDQITHEVGDDVVVVTVGRGTVNREWVGTVNIDRGPSRRNNLHWQQPYKPDNFHVSRKARRLLRQESHWRVAEHGALVAEVQQRIAEVELAPRHGAPQVFLYHGQLEGIRGANVLGAAQALADAQQELTEEEGDGELSELHVAWSPGGTGQGDPGGTGALGMVWPVLLFLGALELWLCTPLGLPADLHPVKQRGKPM
+>sp|Q96RV3|PCX1_HUMAN Pecanex-like protein 1 OS=Homo sapiens OX=9606 GN=PCNX1 PE=1 SV=2
+MGSQTLQILRQGVWAALSGGWYYDPHQATFVNALHLYLWLFLLGLPFTLYMALPSTMIIVAVYCPVIAAVFIVLKMVNYRLHRALDAGEVVDRTANEFTDQRTKAEQGNCSTRRKDSNGPSDPGGGIEMSEFIREATPPVGCSSRNSYAGLDPSNQIGSGSSRLGTAATIKGDTDTAKTSDDISLSLGQSSSLCKEGSEEQDLAADRKLFRLVSNDSFISIQPSLSSCGQDLPRDFSDKVNLPSHNHHHHVDQSLSSACDTEVASLVPLHSHSYRKDHRPRGVPRTSSSAVAFPDTSLNDFPLYQQRRGLDPVSELESSKPLSGSKESLVENSGLSGEFQLAGDLKINTSQPPTKSGKSKPLKAEKSMDSLRSLSTRSSGSTESYCSGTDRDTNSTVSSYKSEQTSSTHIESILSEHEESPKAGTKSGRKKECCAGPEEKNSCASDKRTSSEKIAMEASTNSGVHEAKDPTPSDEMHNQRGLSTSASEEANKNPHANEFTSQGDRPPGNTAENKEEKSDKSAVSVDSKVRKDVGGKQKEGDVRPKSSSVIHRTASAHKSGRRRTGKKRASSFDSSRHRDYVCFRGVSGTKPHSAIFCHDEDSSDQSDLSRASSVQSAHQFSSDSSSSTTSHSCQSPEGRYSALKTKHTHKERGTDSEHTHKAHLVPEGTSKKRATRRTSSTNSAKTRARVLSLDSGTVACLNDSNRLMAPESIKPLTTSKSDLEAKEGEVLDELSLLGRASQLETVTRSRNSLPNQVAFPEGEEQDAVSGAAQASEEAVSFRRERSTFRRQAVRRRHNAGSNPTPPTLLIGSPLSLQDGQQGQQSTAQVKVQSRPPSQAAVLSASASLLVRNGSVHLEASHDNASAVGGSSLHDELGKFSSTLYETGGCDMSLVNFEPAARRASNICDTDSHVSSSTSVRFYPHDVLSLPQIRLNRLLTIDTDLLEQQDIDLSPDLAATYGPTEEAAQKVKHYYRFWILPQLWIGINFDRLTLLALFDRNREILENVLAVILAILVAFLGSILLIQGFFRDIWVFQFCLVIASCQYSLLKSVQPDSSSPRHGHNRIIAYSRPVYFCICCGLIWLLDYGSRNLTATKFKLYGITFTNPLVFISARDLVIVFTLCFPIVFFIGLLPQVNTFVMYLCEQLDIHIFGGNATTSLLAALYSFICSIVAVALLYGLCYGALKDSWDGQHIPVLFSIFCGLLVAVSYHLSRQSSDPSVLFSLVQSKIFPKTEEKNPEDPLSEVKDPLPEKLRNSVSERLQSDLVVCIVIGVLYFAIHVSTVFTVLQPALKYVLYTLVGFVGFVTHYVLPQVRKQLPWHCFSHPLLKTLEYNQYEVRNAATMMWFEKLHVWLLFVEKNIIYPLIVLNELSSSAETIASPKKLNTELGALMITVAGLKLLRSSFSSPTYQYVTVIFTVLFFKFDYEAFSETMLLDLFFMSILFNKLWELLYKLQFVYTYIAPWQITWGSAFHAFAQPFAVPHSAMLFIQAAVSAFFSTPLNPFLGSAIFITSYVRPVKFWERDYNTKRVDHSNTRLASQLDRNPGSDDNNLNSIFYEHLTRSLQHSLCGDLLLGRWGNYSTGDCFILASDYLNALVHLIEIGNGLVTFQLRGLEFRGTYCQQREVEAITEGVEEDEGFCCCEPGHIPHMLSFNAAFSQRWLAWEVIVTKYILEGYSITDNSAASMLQVFDLRKVLTTYYVKGIIYYVTTSSKLEEWLANETMQEGLRLCADRNYVDVDPTFNPNIDEDYDHRLAGISRESFCVIYLNWIEYCSSRRAKPVDVDKDSSLVTLCYGLCVLGRRALGTASHHMSSNLESFLYGLHALFKGDFRISSIRDEWIFADMELLRKVVVPGIRMSIKLHQDHFTSPDEYDDPTVLYEAIVSHEKNLVIAHEGDPAWRSAVLANSPSLLALRHVMDDGTNEYKIIMLNRRYLSFRVIKVNKECVRGLWAGQQQELVFLRNRNPERGSIQNAKQALRNMINSSCDQPIGYPIFVSPLTTSYSDSHEQLKDILGGPISLGNIRNFIVSTWHRLRKGCGAGCNSGGNIEDSDTGGGTSCTGNNATTANNPHSNVTQGSIGNPGQGSGTGLHPPVTSYPPTLGTSHSSHSVQSGLVRQSPARASVASQSSYCYSSRHSSLRMSTTGFVPCRRSSTSQISLRNLPSSIQSRLSMVNQMEPSGQSGLACVQHGLPSSSSSSQSIPACKHHTLVGFLATEGGQSSATDAQPGNTLSPANNSHSRKAEVIYRVQIVDPSQILEGINLSKRKELQWPDEGIRLKAGRNSWKDWSPQEGMEGHVIHRWVPCSRDPGTRSHIDKAVLLVQIDDKYVTVIETGVLELGAEV
+>DECOY_sp|Q96RV3|PCX1_HUMAN Pecanex-like protein 1 OS=Homo sapiens OX=9606 GN=PCNX1 PE=1 SV=2
+VEAGLELVGTEIVTVYKDDIQVLLVAKDIHSRTGPDRSCPVWRHIVHGEMGEQPSWDKWSNRGAKLRIGEDPWQLEKRKSLNIGELIQSPDVIQVRYIVEAKRSHSNNAPSLTNGPQADTASSQGGETALFGVLTHHKCAPISQSSSSSSPLGHQVCALGSQGSPEMQNVMSLRSQISSPLNRLSIQSTSSRRCPVFGTTSMRLSSHRSSYCYSSQSAVSARAPSQRVLGSQVSHSSHSTGLTPPYSTVPPHLGTGSGQGPNGISGQTVNSHPNNATTANNGTCSTGGGTDSDEINGGSNCGAGCGKRLRHWTSVIFNRINGLSIPGGLIDKLQEHSDSYSTTLPSVFIPYGIPQDCSSNIMNRLAQKANQISGREPNRNRLFVLEQQQGAWLGRVCEKNVKIVRFSLYRRNLMIIKYENTGDDMVHRLALLSPSNALVASRWAPDGEHAIVLNKEHSVIAEYLVTPDDYEDPSTFHDQHLKISMRIGPVVVKRLLEMDAFIWEDRISSIRFDGKFLAHLGYLFSELNSSMHHSATGLARRGLVCLGYCLTVLSSDKDVDVPKARRSSCYEIWNLYIVCFSERSIGALRHDYDEDINPNFTPDVDVYNRDACLRLGEQMTENALWEELKSSTTVYYIIGKVYYTTLVKRLDFVQLMSAASNDTISYGELIYKTVIVEWALWRQSFAANFSLMHPIHGPECCCFGEDEEVGETIAEVERQQCYTGRFELGRLQFTVLGNGIEILHVLANLYDSALIFCDGTSYNGWRGLLLDGCLSHQLSRTLHEYFISNLNNDDSGPNRDLQSALRTNSHDVRKTNYDREWFKVPRVYSTIFIASGLFPNLPTSFFASVAAQIFLMASHPVAFPQAFAHFASGWTIQWPAIYTYVFQLKYLLEWLKNFLISMFFLDLLMTESFAEYDFKFFLVTFIVTVYQYTPSSFSSRLLKLGAVTIMLAGLETNLKKPSAITEASSSLENLVILPYIINKEVFLLWVHLKEFWMMTAANRVEYQNYELTKLLPHSFCHWPLQKRVQPLVYHTVFGVFGVLTYLVYKLAPQLVTFVTSVHIAFYLVGIVICVVLDSQLRESVSNRLKEPLPDKVESLPDEPNKEETKPFIKSQVLSFLVSPDSSQRSLHYSVAVLLGCFISFLVPIHQGDWSDKLAGYCLGYLLAVAVISCIFSYLAALLSTTANGGFIHIDLQECLYMVFTNVQPLLGIFFVIPFCLTFVIVLDRASIFVLPNTFTIGYLKFKTATLNRSGYDLLWILGCCICFYVPRSYAIIRNHGHRPSSSDPQVSKLLSYQCSAIVLCFQFVWIDRFFGQILLISGLFAVLIALIVALVNELIERNRDFLALLTLRDFNIGIWLQPLIWFRYYHKVKQAAEETPGYTAALDPSLDIDQQELLDTDITLLRNLRIQPLSLVDHPYFRVSTSSSVHSDTDCINSARRAAPEFNVLSMDCGGTEYLTSSFKGLEDHLSSGGVASANDHSAELHVSGNRVLLSASASLVAAQSPPRSQVKVQATSQQGQQGDQLSLPSGILLTPPTPNSGANHRRRVAQRRFTSRERRFSVAEESAQAAGSVADQEEGEPFAVQNPLSNRSRTVTELQSARGLLSLEDLVEGEKAELDSKSTTLPKISEPAMLRNSDNLCAVTGSDLSLVRARTKASNTSSTRRTARKKSTGEPVLHAKHTHESDTGREKHTHKTKLASYRGEPSQCSHSTTSSSSDSSFQHASQVSSARSLDSQDSSDEDHCFIASHPKTGSVGRFCVYDRHRSSDFSSARKKGTRRRGSKHASATRHIVSSSKPRVDGEKQKGGVDKRVKSDVSVASKDSKEEKNEATNGPPRDGQSTFENAHPNKNAEESASTSLGRQNHMEDSPTPDKAEHVGSNTSAEMAIKESSTRKDSACSNKEEPGACCEKKRGSKTGAKPSEEHESLISEIHTSSTQESKYSSVTSNTDRDTGSCYSETSGSSRTSLSRLSDMSKEAKLPKSKGSKTPPQSTNIKLDGALQFEGSLGSNEVLSEKSGSLPKSSELESVPDLGRRQQYLPFDNLSTDPFAVASSSTRPVGRPRHDKRYSHSHLPVLSAVETDCASSLSQDVHHHHNHSPLNVKDSFDRPLDQGCSSLSPQISIFSDNSVLRFLKRDAALDQEESGEKCLSSSQGLSLSIDDSTKATDTDGKITAATGLRSSGSGIQNSPDLGAYSNRSSCGVPPTAERIFESMEIGGGPDSPGNSDKRRTSCNGQEAKTRQDTFENATRDVVEGADLARHLRYNVMKLVIFVAAIVPCYVAVIIMTSPLAMYLTFPLGLLFLWLYLHLANVFTAQHPDYYWGGSLAAWVGQRLIQLTQSGM
+>sp|Q63HM2|PCX4_HUMAN Pecanex-like protein 4 OS=Homo sapiens OX=9606 GN=PCNX4 PE=1 SV=4
+MSPDVPLLNDYKQDFFLKRFPQTVLGGPRFKLGYCAPPYIYVNQIILFLMPWVWGGVGTLLYQLGILKDYYTAALSGGLMLFTAFVIQFTSLYAKNKSTTVERILTTDILAEEDEHEFTSCTGAETVKFLIPGKKYVANTVFHSILAGLACGLGTWYLLPNRITLLYGSTGGTALLFFFGWMTLCIAEYSLIVNTATETATFQTQDTYEIIPLMRPLYIFFFVSVDLAHRFVVNMPALEHMNQILHILFVFLPFLWALGTLPPPDALLLWAMEQVLEFGLGGSSMSTHLRLLVMFIMSAGTAIASYFIPSTVGVVLFMTGFGFLLSLNLSDMGHKIGTKSKDLPSGPEKHFSWKECLFYIIILVLALLETSLLHHFAGFSQISKSNSQAIVGYGLMILLIILWILREIQSVYIIGIFRNPFYPKDVQTVTVFFEKQTRLMKIGIVRRILLTLVSPFAMIAFLSLDSSLQGLHSVSVCIGFTRAFRMVWQNTENALLETVIVSTVHLISSTDIWWNRSLDTGLRLLLVGIIRDRLIQFISKLQFAVTVLLTSWTEKKQRRKTTATLCILNIVFSPFVLVIIVFSTLLSSPLLPLFTLPVFLVGFPRPIQSWPGAAGTTACVCADTVYYYQMVPRLTAVLQTAMAAGSLGLLLPGSHYLGRFQDRLMWIMILECGYTYCSINIKGLELQETSCHTAEARRVDEVFEDAFEQEYTRVCSLNEHFGNVLTPCTVLPVKLYSDARNVLSGIIDSHENLKEFKGDLIKVLVWILVQYCSKRPGMKENVHNTENKGKAPLMLPALNTLPPPKSPEDIDSLNSETFNDWSDDNIFDDEPTIKKVIEEKHQLKDLPGTNLFIPGSVESQRVGDHSTGTVPENDLYKAVLLGYPAVDKGKQEDMPYIPLMEFSCSHSHLVCLPAEWRTSCMPSSKMKEMSSLFPEDWYQFVLRQLECYHSEEKASNVLEEIAKDKVLKDFYVHTVMTCYFSLFGIDNMAPSPGHILRVYGGVLPWSVALDWLTEKPELFQLALKAFRYTLKLMIDKASLGPIEDFRELIKYLEEYERDWYIGLVSDEKWKEAILQEKPYLFSLGYDSNMGIYTGRVLSLQELLIQVGKLNPEAVRGQWANLSWELLYATNDDEERYSIQAHPLLLRNLTVQAAEPPLGYPIYSSKPLHIHLY
+>DECOY_sp|Q63HM2|PCX4_HUMAN Pecanex-like protein 4 OS=Homo sapiens OX=9606 GN=PCNX4 PE=1 SV=4
+YLHIHLPKSSYIPYGLPPEAAQVTLNRLLLPHAQISYREEDDNTAYLLEWSLNAWQGRVAEPNLKGVQILLEQLSLVRGTYIGMNSDYGLSFLYPKEQLIAEKWKEDSVLGIYWDREYEELYKILERFDEIPGLSAKDIMLKLTYRFAKLALQFLEPKETLWDLAVSWPLVGGYVRLIHGPSPAMNDIGFLSFYCTMVTHVYFDKLVKDKAIEELVNSAKEESHYCELQRLVFQYWDEPFLSSMEKMKSSPMCSTRWEAPLCVLHSHSCSFEMLPIYPMDEQKGKDVAPYGLLVAKYLDNEPVTGTSHDGVRQSEVSGPIFLNTGPLDKLQHKEEIVKKITPEDDFINDDSWDNFTESNLSDIDEPSKPPPLTNLAPLMLPAKGKNETNHVNEKMGPRKSCYQVLIWVLVKILDGKFEKLNEHSDIIGSLVNRADSYLKVPLVTCPTLVNGFHENLSCVRTYEQEFADEFVEDVRRAEATHCSTEQLELGKINISCYTYGCELIMIWMLRDQFRGLYHSGPLLLGLSGAAMATQLVATLRPVMQYYYVTDACVCATTGAAGPWSQIPRPFGVLFVPLTFLPLLPSSLLTSFVIIVLVFPSFVINLICLTATTKRRQKKETWSTLLVTVAFQLKSIFQILRDRIIGVLLLRLGTDLSRNWWIDTSSILHVTSVIVTELLANETNQWVMRFARTFGICVSVSHLGQLSSDLSLFAIMAFPSVLTLLIRRVIGIKMLRTQKEFFVTVTQVDKPYFPNRFIGIIYVSQIERLIWLIILLIMLGYGVIAQSNSKSIQSFGAFHHLLSTELLALVLIIIYFLCEKWSFHKEPGSPLDKSKTGIKHGMDSLNLSLLFGFGTMFLVVGVTSPIFYSAIATGASMIFMVLLRLHTSMSSGGLGFELVQEMAWLLLADPPPLTGLAWLFPLFVFLIHLIQNMHELAPMNVVFRHALDVSVFFFIYLPRMLPIIEYTDQTQFTATETATNVILSYEAICLTMWGFFFLLATGGTSGYLLTIRNPLLYWTGLGCALGALISHFVTNAVYKKGPILFKVTEAGTCSTFEHEDEEALIDTTLIREVTTSKNKAYLSTFQIVFATFLMLGGSLAATYYDKLIGLQYLLTGVGGWVWPMLFLIIQNVYIYPPACYGLKFRPGGLVTQPFRKLFFDQKYDNLLPVDPSM
+>sp|Q9BUL8|PDC10_HUMAN Programmed cell death protein 10 OS=Homo sapiens OX=9606 GN=PDCD10 PE=1 SV=1
+MRMTMEEMKNEAETTSMVSMPLYAVMYPVFNELERVNLSAAQTLRAAFIKAEKENPGLTQDIIMKILEKKSVEVNFTESLLRMAADDVEEYMIERPEPEFQDLNEKARALKQILSKIPDEINDRVRFLQTIKDIASAIKELLDTVNNVFKKYQYQNRRALEHQKKEFVKYSKSFSDTLKTYFKDGKAINVFVSANRLIHQTNLILQTFKTVA
+>DECOY_sp|Q9BUL8|PDC10_HUMAN Programmed cell death protein 10 OS=Homo sapiens OX=9606 GN=PDCD10 PE=1 SV=1
+AVTKFTQLILNTQHILRNASVFVNIAKGDKFYTKLTDSFSKSYKVFEKKQHELARRNQYQYKKFVNNVTDLLEKIASAIDKITQLFRVRDNIEDPIKSLIQKLARAKENLDQFEPEPREIMYEEVDDAAMRLLSETFNVEVSKKELIKMIIDQTLGPNEKEAKIFAARLTQAASLNVRELENFVPYMVAYLPMSVMSTTEAENKMEEMTMRM
+>sp|Q9Y233|PDE10_HUMAN cAMP and cAMP-inhibited cGMP 3',5'-cyclic phosphodiesterase 10A OS=Homo sapiens OX=9606 GN=PDE10A PE=1 SV=1
+MRIEERKSQHLTGLTDEKVKAYLSLHPQVLDEFVSESVSAETVEKWLKRKNNKSEDESAPKEVSRYQDTNMQGVVYELNSYIEQRLDTGGDNQLLLYELSSIIKIATKADGFALYFLGECNNSLCIFTPPGIKEGKPRLIPAGPITQGTTVSAYVAKSRKTLLVEDILGDERFPRGTGLESGTRIQSVLCLPIVTAIGDLIGILELYRHWGKEAFCLSHQEVATANLAWASVAIHQVQVCRGLAKQTELNDFLLDVSKTYFDNIVAIDSLLEHIMIYAKNLVNADRCALFQVDHKNKELYSDLFDIGEEKEGKPVFKKTKEIRFSIEKGIAGQVARTGEVLNIPDAYADPRFNREVDLYTGYTTRNILCMPIVSRGSVIGVVQMVNKISGSAFSKTDENNFKMFAVFCALALHCANMYHRIRHSECIYRVTMEKLSYHSICTSEEWQGLMQFTLPVRLCKEIELFHFDIGPFENMWPGIFVYMVHRSCGTSCFELEKLCRFIMSVKKNYRRVPYHNWKHAVTVAHCMYAILQNNHTLFTDLERKGLLIACLCHDLDHRGFSNSYLQKFDHPLAALYSTSTMEQHHFSQTVSILQLEGHNIFSTLSSSEYEQVLEIIRKAIIATDLALYFGNRKQLEEMYQTGSLNLNNQSHRDRVIGLMMTACDLCSVTKLWPVTKLTANDIYAEFWAEGDEMKKLGIQPIPMMDRDKKDEVPQGQLGFYNAVAIPCYTTLTQILPPTEPLLKACRDNLSQWEKVIRGEETATWISSPSVAQKAAASED
+>DECOY_sp|Q9Y233|PDE10_HUMAN cAMP and cAMP-inhibited cGMP 3',5'-cyclic phosphodiesterase 10A OS=Homo sapiens OX=9606 GN=PDE10A PE=1 SV=1
+DESAAAKQAVSPSSIWTATEEGRIVKEWQSLNDRCAKLLPETPPLIQTLTTYCPIAVANYFGLQGQPVEDKKDRDMMPIPQIGLKKMEDGEAWFEAYIDNATLKTVPWLKTVSCLDCATMMLGIVRDRHSQNNLNLSGTQYMEELQKRNGFYLALDTAIIAKRIIELVQEYESSSLTSFINHGELQLISVTQSFHHQEMTSTSYLAALPHDFKQLYSNSFGRHDLDHCLCAILLGKRELDTFLTHNNQLIAYMCHAVTVAHKWNHYPVRRYNKKVSMIFRCLKELEFCSTGCSRHVMYVFIGPWMNEFPGIDFHFLEIEKCLRVPLTFQMLGQWEESTCISHYSLKEMTVRYICESHRIRHYMNACHLALACFVAFMKFNNEDTKSFASGSIKNVMQVVGIVSGRSVIPMCLINRTTYGTYLDVERNFRPDAYADPINLVEGTRAVQGAIGKEISFRIEKTKKFVPKGEKEEGIDFLDSYLEKNKHDVQFLACRDANVLNKAYIMIHELLSDIAVINDFYTKSVDLLFDNLETQKALGRCVQVQHIAVSAWALNATAVEQHSLCFAEKGWHRYLELIGILDGIATVIPLCLVSQIRTGSELGTGRPFREDGLIDEVLLTKRSKAVYASVTTGQTIPGAPILRPKGEKIGPPTFICLSNNCEGLFYLAFGDAKTAIKIISSLEYLLLQNDGGTDLRQEIYSNLEYVVGQMNTDQYRSVEKPASEDESKNNKRKLWKEVTEASVSESVFEDLVQPHLSLYAKVKEDTLGTLHQSKREEIRM
+>sp|Q9HCR9|PDE11_HUMAN Dual 3',5'-cyclic-AMP and -GMP phosphodiesterase 11A OS=Homo sapiens OX=9606 GN=PDE11A PE=1 SV=2
+MAASRLDFGEVETFLDRHPELFEDYLMRKGKQEMVEKWLQRHSQGQGALGPRPSLAGTSSLAHSTCRGGSSVGGGTGPNGSAHSQPLPGGGDCGGVPLSPSWAGGSRGDGNLQRRASQKELRKSFARSKAIHVNRTYDEQVTSRAQEPLSSVRRRALLRKASSLPPTTAHILSALLESRVNLPRYPPTAIDYKCHLKKHNERQFFLELVKDISNDLDLTSLSYKILIFVCLMVDADRCSLFLVEGAAAGKKTLVSKFFDVHAGTPLLPCSSTENSNEVQVPWGKGIIGYVGEHGETVNIPDAYQDRRFNDEIDKLTGYKTKSLLCMPIRSSDGEIIGVAQAINKIPEGAPFTEDDEKVMQMYLPFCGIAISNAQLFAASRKEYERSRALLEVVNDLFEEQTDLEKIVKKIMHRAQTLLKCERCSVLLLEDIESPVVKFTKSFELMSPKCSADAENSFKESMEKSSYSDWLINNSIAELVASTGLPVNISDAYQDPRFDAEADQISGFHIRSVLCVPIWNSNHQIIGVAQVLNRLDGKPFDDADQRLFEAFVIFCGLGINNTIMYDQVKKSWAKQSVALDVLSYHATCSKAEVDKFKAANIPLVSELAIDDIHFDDFSLDVDAMITAALRMFMELGMVQKFKIDYETLCRWLLTVRKNYRMVLYHNWRHAFNVCQLMFAMLTTAGFQDILTEVEILAVIVGCLCHDLDHRGTNNAFQAKSGSALAQLYGTSATLEHHHFNHAVMILQSEGHNIFANLSSKEYSDLMQLLKQSILATDLTLYFERRTEFFELVSKGEYDWNIKNHRDIFRSMLMTACDLGAVTKPWEISRQVAELVTSEFFEQGDRERLELKLTPSAIFDRNRKDELPRLQLEWIDSICMPLYQALVKVNVKLKPMLDSVATNRSKWEELHQKRLLASTASSSPASVMVAKEDRN
+>DECOY_sp|Q9HCR9|PDE11_HUMAN Dual 3',5'-cyclic-AMP and -GMP phosphodiesterase 11A OS=Homo sapiens OX=9606 GN=PDE11A PE=1 SV=2
+NRDEKAVMVSAPSSSATSALLRKQHLEEWKSRNTAVSDLMPKLKVNVKVLAQYLPMCISDIWELQLRPLEDKRNRDFIASPTLKLELRERDGQEFFESTVLEAVQRSIEWPKTVAGLDCATMLMSRFIDRHNKINWDYEGKSVLEFFETRREFYLTLDTALISQKLLQMLDSYEKSSLNAFINHGESQLIMVAHNFHHHELTASTGYLQALASGSKAQFANNTGRHDLDHCLCGVIVALIEVETLIDQFGATTLMAFMLQCVNFAHRWNHYLVMRYNKRVTLLWRCLTEYDIKFKQVMGLEMFMRLAATIMADVDLSFDDFHIDDIALESVLPINAAKFKDVEAKSCTAHYSLVDLAVSQKAWSKKVQDYMITNNIGLGCFIVFAEFLRQDADDFPKGDLRNLVQAVGIIQHNSNWIPVCLVSRIHFGSIQDAEADFRPDQYADSINVPLGTSAVLEAISNNILWDSYSSKEMSEKFSNEADASCKPSMLEFSKTFKVVPSEIDELLLVSCRECKLLTQARHMIKKVIKELDTQEEFLDNVVELLARSREYEKRSAAFLQANSIAIGCFPLYMQMVKEDDETFPAGEPIKNIAQAVGIIEGDSSRIPMCLLSKTKYGTLKDIEDNFRRDQYADPINVTEGHEGVYGIIGKGWPVQVENSNETSSCPLLPTGAHVDFFKSVLTKKGAAAGEVLFLSCRDADVMLCVFILIKYSLSTLDLDNSIDKVLELFFQRENHKKLHCKYDIATPPYRPLNVRSELLASLIHATTPPLSSAKRLLARRRVSSLPEQARSTVQEDYTRNVHIAKSRAFSKRLEKQSARRQLNGDGRSGGAWSPSLPVGGCDGGGPLPQSHASGNPGTGGGVSSGGRCTSHALSSTGALSPRPGLAGQGQSHRQLWKEVMEQKGKRMLYDEFLEPHRDLFTEVEGFDLRSAAM
+>sp|O00408|PDE2A_HUMAN cGMP-dependent 3',5'-cyclic phosphodiesterase OS=Homo sapiens OX=9606 GN=PDE2A PE=1 SV=1
+MGQACGHSILCRSQQYPAARPAEPRGQQVFLKPDEPPPPPQPCADSLQDALLSLGSVIDISGLQRAVKEALSAVLPRVETVYTYLLDGESQLVCEDPPHELPQEGKVREAIISQKRLGCNGLGFSDLPGKPLARLVAPLAPDTQVLVMPLADKEAGAVAAVILVHCGQLSDNEEWSLQAVEKHTLVALRRVQVLQQRGPREAPRAVQNPPEGTAEDQKGGAAYTDRDRKILQLCGELYDLDASSLQLKVLQYLQQETRASRCCLLLVSEDNLQLSCKVIGDKVLGEEVSFPLTGCLGQVVEDKKSIQLKDLTSEDVQQLQSMLGCELQAMLCVPVISRATDQVVALACAFNKLEGDLFTDEDEHVIQHCFHYTSTVLTSTLAFQKEQKLKCECQALLQVAKNLFTHLDDVSVLLQEIITEARNLSNAEICSVFLLDQNELVAKVFDGGVVDDESYEIRIPADQGIAGHVATTGQILNIPDAYAHPLFYRGVDDSTGFRTRNILCFPIKNENQEVIGVAELVNKINGPWFSKFDEDLATAFSIYCGISIAHSLLYKKVNEAQYRSHLANEMMMYHMKVSDDEYTKLLHDGIQPVAAIDSNFASFTYTPRSLPEDDTSMAILSMLQDMNFINNYKIDCPTLARFCLMVKKGYRDPPYHNWMHAFSVSHFCYLLYKNLELTNYLEDIEIFALFISCMCHDLDHRGTNNSFQVASKSVLAALYSSEGSVMERHHFAQAIAILNTHGCNIFDHFSRKDYQRMLDLMRDIILATDLAHHLRIFKDLQKMAEVGYDRNNKQHHRLLLCLLMTSCDLSDQTKGWKTTRKIAELIYKEFFSQGDLEKAMGNRPMEMMDREKAYIPELQISFMEHIAMPIYKLLQDLFPKAAELYERVASNREHWTKVSHKFTIRGLPSNNSLDFLDEEYEVPDLDGTRAPINGCCSLDAE
+>DECOY_sp|O00408|PDE2A_HUMAN cGMP-dependent 3',5'-cyclic phosphodiesterase OS=Homo sapiens OX=9606 GN=PDE2A PE=1 SV=1
+EADLSCCGNIPARTGDLDPVEYEEDLFDLSNNSPLGRITFKHSVKTWHERNSAVREYLEAAKPFLDQLLKYIPMAIHEMFSIQLEPIYAKERDMMEMPRNGMAKELDGQSFFEKYILEAIKRTTKWGKTQDSLDCSTMLLCLLLRHHQKNNRDYGVEAMKQLDKFIRLHHALDTALIIDRMLDLMRQYDKRSFHDFINCGHTNLIAIAQAFHHREMVSGESSYLAALVSKSAVQFSNNTGRHDLDHCMCSIFLAFIEIDELYNTLELNKYLLYCFHSVSFAHMWNHYPPDRYGKKVMLCFRALTPCDIKYNNIFNMDQLMSLIAMSTDDEPLSRPTYTFSAFNSDIAAVPQIGDHLLKTYEDDSVKMHYMMMENALHSRYQAENVKKYLLSHAISIGCYISFATALDEDFKSFWPGNIKNVLEAVGIVEQNENKIPFCLINRTRFGTSDDVGRYFLPHAYADPINLIQGTTAVHGAIGQDAPIRIEYSEDDVVGGDFVKAVLENQDLLFVSCIEANSLNRAETIIEQLLVSVDDLHTFLNKAVQLLAQCECKLKQEKQFALTSTLVTSTYHFCHQIVHEDEDTFLDGELKNFACALAVVQDTARSIVPVCLMAQLECGLMSQLQQVDESTLDKLQISKKDEVVQGLCGTLPFSVEEGLVKDGIVKCSLQLNDESVLLLCCRSARTEQQLYQLVKLQLSSADLDYLEGCLQLIKRDRDTYAAGGKQDEATGEPPNQVARPAERPGRQQLVQVRRLAVLTHKEVAQLSWEENDSLQGCHVLIVAAVAGAEKDALPMVLVQTDPALPAVLRALPKGPLDSFGLGNCGLRKQSIIAERVKGEQPLEHPPDECVLQSEGDLLYTYVTEVRPLVASLAEKVARQLGSIDIVSGLSLLADQLSDACPQPPPPPEDPKLFVQQGRPEAPRAAPYQQSRCLISHGCAQGM
+>sp|O76074|PDE5A_HUMAN cGMP-specific 3',5'-cyclic phosphodiesterase OS=Homo sapiens OX=9606 GN=PDE5A PE=1 SV=2
+MERAGPSFGQQRQQQQPQQQKQQQRDQDSVEAWLDDHWDFTFSYFVRKATREMVNAWFAERVHTIPVCKEGIRGHTESCSCPLQQSPRADNSAPGTPTRKISASEFDRPLRPIVVKDSEGTVSFLSDSEKKEQMPLTPPRFDHDEGDQCSRLLELVKDISSHLDVTALCHKIFLHIHGLISADRYSLFLVCEDSSNDKFLISRLFDVAEGSTLEEVSNNCIRLEWNKGIVGHVAALGEPLNIKDAYEDPRFNAEVDQITGYKTQSILCMPIKNHREEVVGVAQAINKKSGNGGTFTEKDEKDFAAYLAFCGIVLHNAQLYETSLLENKRNQVLLDLASLIFEEQQSLEVILKKIAATIISFMQVQKCTIFIVDEDCSDSFSSVFHMECEELEKSSDTLTREHDANKINYMYAQYVKNTMEPLNIPDVSKDKRFPWTTENTGNVNQQCIRSLLCTPIKNGKKNKVIGVCQLVNKMEENTGKVKPFNRNDEQFLEAFVIFCGLGIQNTQMYEAVERAMAKQMVTLEVLSYHASAAEEETRELQSLAAAVVPSAQTLKITDFSFSDFELSDLETALCTIRMFTDLNLVQNFQMKHEVLCRWILSVKKNYRKNVAYHNWRHAFNTAQCMFAALKAGKIQNKLTDLEILALLIAALSHDLDHRGVNNSYIQRSEHPLAQLYCHSIMEHHHFDQCLMILNSPGNQILSGLSIEEYKTTLKIIKQAILATDLALYIKRRGEFFELIRKNQFNLEDPHQKELFLAMLMTACDLSAITKPWPIQQRIAELVATEFFDQGDRERKELNIEPTDLMNREKKNKIPSMQVGFIDAICLQLYEALTHVSEDCFPLLDGCRKNRQKWQALAEQQEKMLINGESGQAKRN
+>DECOY_sp|O76074|PDE5A_HUMAN cGMP-specific 3',5'-cyclic phosphodiesterase OS=Homo sapiens OX=9606 GN=PDE5A PE=1 SV=2
+NRKAQGSEGNILMKEQQEALAQWKQRNKRCGDLLPFCDESVHTLAEYLQLCIADIFGVQMSPIKNKKERNMLDTPEINLEKRERDGQDFFETAVLEAIRQQIPWPKTIASLDCATMLMALFLEKQHPDELNFQNKRILEFFEGRRKIYLALDTALIAQKIIKLTTKYEEISLGSLIQNGPSNLIMLCQDFHHHEMISHCYLQALPHESRQIYSNNVGRHDLDHSLAAILLALIELDTLKNQIKGAKLAAFMCQATNFAHRWNHYAVNKRYNKKVSLIWRCLVEHKMQFNQVLNLDTFMRITCLATELDSLEFDSFSFDTIKLTQASPVVAAALSQLERTEEEAASAHYSLVELTVMQKAMAREVAEYMQTNQIGLGCFIVFAELFQEDNRNFPKVKGTNEEMKNVLQCVGIVKNKKGNKIPTCLLSRICQQNVNGTNETTWPFRKDKSVDPINLPEMTNKVYQAYMYNIKNADHERTLTDSSKELEECEMHFVSSFSDSCDEDVIFITCKQVQMFSIITAAIKKLIVELSQQEEFILSALDLLVQNRKNELLSTEYLQANHLVIGCFALYAAFDKEDKETFTGGNGSKKNIAQAVGVVEERHNKIPMCLISQTKYGTIQDVEANFRPDEYADKINLPEGLAAVHGVIGKNWELRICNNSVEELTSGEAVDFLRSILFKDNSSDECVLFLSYRDASILGHIHLFIKHCLATVDLHSSIDKVLELLRSCQDGEDHDFRPPTLPMQEKKESDSLFSVTGESDKVVIPRLPRDFESASIKRTPTGPASNDARPSQQLPCSCSETHGRIGEKCVPITHVREAFWANVMERTAKRVFYSFTFDWHDDLWAEVSDQDRQQQKQQQPQQQQRQQGFSPGAREM
+>sp|P35913|PDE6B_HUMAN Rod cGMP-specific 3',5'-cyclic phosphodiesterase subunit beta OS=Homo sapiens OX=9606 GN=PDE6B PE=1 SV=2
+MSLSEEQARSFLDQNPDFARQYFGKKLSPENVAAACEDGCPPDCDSLRDLCQVEESTALLELVQDMQESINMERVVFKVLRRLCTLLQADRCSLFMYRQRNGVAELATRLFSVQPDSVLEDCLVPPDSEIVFPLDIGVVGHVAQTKKMVNVEDVAECPHFSSFADELTDYKTKNMLATPIMNGKDVVAVIMAVNKLNGPFFTSEDEDVFLKYLNFATLYLKIYHLSYLHNCETRRGQVLLWSANKVFEELTDIERQFHKAFYTVRAYLNCERYSVGLLDMTKEKEFFDVWSVLMGESQPYSGPRTPDGREIVFYKVIDYVLHGKEEIKVIPTPSADHWALASGLPSYVAESGFICNIMNASADEMFKFQEGALDDSGWLIKNVLSMPIVNKKEEIVGVATFYNRKDGKPFDEQDEVLMESLTQFLGWSVMNTDTYDKMNKLENRKDIAQDMVLYHVKCDRDEIQLILPTRARLGKEPADCDEDELGEILKEELPGPTTFDIYEFHFSDLECTELDLVKCGIQMYYELGVVRKFQIPQEVLVRFLFSISKGYRRITYHNWRHGFNVAQTMFTLLMTGKLKSYYTDLEAFAMVTAGLCHDIDHRGTNNLYQMKSQNPLAKLHGSSILERHHLEFGKFLLSEETLNIYQNLNRRQHEHVIHLMDIAIIATDLALYFKKRAMFQKIVDESKNYQDKKSWVEYLSLETTRKEIVMAMMMTACDLSAITKPWEVQSKVALLVAAEFWEQGDLERTVLDQQPIPMMDRNKAAELPKLQVGFIDFVCTFVYKEFSRFHEEILPMFDRLQNNRKEWKALADEYEAKVKALEEKEEEERVAAKKVGTEICNGGPAPKSSTCCIL
+>DECOY_sp|P35913|PDE6B_HUMAN Rod cGMP-specific 3',5'-cyclic phosphodiesterase subunit beta OS=Homo sapiens OX=9606 GN=PDE6B PE=1 SV=2
+LICCTSSKPAPGGNCIETGVKKAAVREEEEKEELAKVKAEYEDALAKWEKRNNQLRDFMPLIEEHFRSFEKYVFTCVFDIFGVQLKPLEAAKNRDMMPIPQQDLVTRELDGQEWFEAAVLLAVKSQVEWPKTIASLDCATMMMAMVIEKRTTELSLYEVWSKKDQYNKSEDVIKQFMARKKFYLALDTAIIAIDMLHIVHEHQRRNLNQYINLTEESLLFKGFELHHRELISSGHLKALPNQSKMQYLNNTGRHDIDHCLGATVMAFAELDTYYSKLKGTMLLTFMTQAVNFGHRWNHYTIRRYGKSISFLFRVLVEQPIQFKRVVGLEYYMQIGCKVLDLETCELDSFHFEYIDFTTPGPLEEKLIEGLEDEDCDAPEKGLRARTPLILQIEDRDCKVHYLVMDQAIDKRNELKNMKDYTDTNMVSWGLFQTLSEMLVEDQEDFPKGDKRNYFTAVGVIEEKKNVIPMSLVNKILWGSDDLAGEQFKFMEDASANMINCIFGSEAVYSPLGSALAWHDASPTPIVKIEEKGHLVYDIVKYFVIERGDPTRPGSYPQSEGMLVSWVDFFEKEKTMDLLGVSYRECNLYARVTYFAKHFQREIDTLEEFVKNASWLLVQGRRTECNHLYSLHYIKLYLTAFNLYKLFVDEDESTFFPGNLKNVAMIVAVVDKGNMIPTALMNKTKYDTLEDAFSSFHPCEAVDEVNVMKKTQAVHGVVGIDLPFVIESDPPVLCDELVSDPQVSFLRTALEAVGNRQRYMFLSCRDAQLLTCLRRLVKFVVREMNISEQMDQVLELLATSEEVQCLDRLSDCDPPCGDECAAAVNEPSLKKGFYQRAFDPNQDLFSRAQEESLSM
+>sp|O43924|PDE6D_HUMAN Retinal rod rhodopsin-sensitive cGMP 3',5'-cyclic phosphodiesterase subunit delta OS=Homo sapiens OX=9606 GN=PDE6D PE=1 SV=1
+MSAKDERAREILRGFKLNWMNLRDAETGKILWQGTEDLSVPGVEHEARVPKKILKCKAVSRELNFSSTEQMEKFRLEQKVYFKGQCLEEWFFEFGFVIPNSTNTWQSLIEAAPESQMMPASVLTGNVIIETKFFDDDLLVSTSRVRLFYV
+>DECOY_sp|O43924|PDE6D_HUMAN Retinal rod rhodopsin-sensitive cGMP 3',5'-cyclic phosphodiesterase subunit delta OS=Homo sapiens OX=9606 GN=PDE6D PE=1 SV=1
+VYFLRVRSTSVLLDDDFFKTEIIVNGTLVSAPMMQSEPAAEILSQWTNTSNPIVFGFEFFWEELCQGKFYVKQELRFKEMQETSSFNLERSVAKCKLIKKPVRAEHEVGPVSLDETGQWLIKGTEADRLNMWNLKFGRLIERAREDKASM
+>sp|O95263|PDE8B_HUMAN High affinity cAMP-specific and IBMX-insensitive 3',5'-cyclic phosphodiesterase 8B OS=Homo sapiens OX=9606 GN=PDE8B PE=1 SV=2
+MGCAPSIHVSQSGVIYCRDSDESSSPRQTTSVSQGPAAPLPGLFVQTDAADAIPPSRASGPPSVARVRRARTELGSGSSAGSAAPAATTSRGRRRHCCSSAEAETQTCYTSVKQVSSAEVRIGPMRLTQDPIQVLLIFAKEDSQSDGFWWACDRAGYRCNIARTPESALECFLDKHHEIIVIDHRQTQNFDAEAVCRSIRATNPSEHTVILAVVSRVSDDHEEASVLPLLHAGFNRRFMENSSIIACYNELIQIEHGEVRSQFKLRACNSVFTALDHCHEAIEITSDDHVIQYVNPAFERMMGYHKGELLGKELADLPKSDKNRADLLDTINTCIKKGKEWQGVYYARRKSGDSIQQHVKITPVIGQGGKIRHFVSLKKLCCTTDNNKQIHKIHRDSGDNSQTEPHSFRYKNRRKESIDVKSISSRGSDAPSLQNRRYPSMARIHSMTIEAPITKVINIINAAQENSPVTVAEALDRVLEILRTTELYSPQLGTKDEDPHTSDLVGGLMTDGLRRLSGNEYVFTKNVHQSHSHLAMPITINDVPPCISQLLDNEESWDFNIFELEAITHKRPLVYLGLKVFSRFGVCEFLNCSETTLRAWFQVIEANYHSSNAYHNSTHAADVLHATAFFLGKERVKGSLDQLDEVAALIAATVHDVDHPGRTNSFLCNAGSELAVLYNDTAVLESHHTALAFQLTVKDTKCNIFKNIDRNHYRTLRQAIIDMVLATEMTKHFEHVNKFVNSINKPMAAEIEGSDCECNPAGKNFPENQILIKRMMIKCADVANPCRPLDLCIEWAGRISEEYFAQTDEEKRQGLPVVMPVFDRNTCSIPKSQISFIDYFITDMFDAWDAFAHLPALMQHLADNYKHWKTLDDLKCKSLRLPSDS
+>DECOY_sp|O95263|PDE8B_HUMAN High affinity cAMP-specific and IBMX-insensitive 3',5'-cyclic phosphodiesterase 8B OS=Homo sapiens OX=9606 GN=PDE8B PE=1 SV=2
+SDSPLRLSKCKLDDLTKWHKYNDALHQMLAPLHAFADWADFMDTIFYDIFSIQSKPISCTNRDFVPMVVPLGQRKEEDTQAFYEESIRGAWEICLDLPRCPNAVDACKIMMRKILIQNEPFNKGAPNCECDSGEIEAAMPKNISNVFKNVHEFHKTMETALVMDIIAQRLTRYHNRDINKFINCKTDKVTLQFALATHHSELVATDNYLVALESGANCLFSNTRGPHDVDHVTAAILAAVEDLQDLSGKVREKGLFFATAHLVDAAHTSNHYANSSHYNAEIVQFWARLTTESCNLFECVGFRSFVKLGLYVLPRKHTIAELEFINFDWSEENDLLQSICPPVDNITIPMALHSHSQHVNKTFVYENGSLRRLGDTMLGGVLDSTHPDEDKTGLQPSYLETTRLIELVRDLAEAVTVPSNEQAANIINIVKTIPAEITMSHIRAMSPYRRNQLSPADSGRSSISKVDISEKRRNKYRFSHPETQSNDGSDRHIKHIQKNNDTTCCLKKLSVFHRIKGGQGIVPTIKVHQQISDGSKRRAYYVGQWEKGKKICTNITDLLDARNKDSKPLDALEKGLLEGKHYGMMREFAPNVYQIVHDDSTIEIAEHCHDLATFVSNCARLKFQSRVEGHEIQILENYCAIISSNEMFRRNFGAHLLPLVSAEEHDDSVRSVVALIVTHESPNTARISRCVAEADFNQTQRHDIVIIEHHKDLFCELASEPTRAINCRYGARDCAWWFGDSQSDEKAFILLVQIPDQTLRMPGIRVEASSVQKVSTYCTQTEAEASSCCHRRRGRSTTAAPAASGASSGSGLETRARRVRAVSPPGSARSPPIADAADTQVFLGPLPAAPGQSVSTTQRPSSSEDSDRCYIVGSQSVHISPACGM
+>sp|O76083|PDE9A_HUMAN High affinity cGMP-specific 3',5'-cyclic phosphodiesterase 9A OS=Homo sapiens OX=9606 GN=PDE9A PE=1 SV=1
+MGSGSSSYRPKAIYLDIDGRIQKVIFSKYCNSSDIMDLFCIATGLPRNTTISLLTTDDAMVSIDPTMPANSERTPYKVRPVAIKQLSAGVEDKRTTSRGQSAERPLRDRRVVGLEQPRREGAFESGQVEPRPREPQGCYQEGQRIPPEREELIQSVLAQVAEQFSRAFKINELKAEVANHLAVLEKRVELEGLKVVEIEKCKSDIKKMREELAARSSRTNCPCKYSFLDNHKKLTPRRDVPTYPKYLLSPETIEALRKPTFDVWLWEPNEMLSCLEHMYHDLGLVRDFSINPVTLRRWLFCVHDNYRNNPFHNFRHCFCVAQMMYSMVWLCSLQEKFSQTDILILMTAAICHDLDHPGYNNTYQINARTELAVRYNDISPLENHHCAVAFQILAEPECNIFSNIPPDGFKQIRQGMITLILATDMARHAEIMDSFKEKMENFDYSNEEHMTLLKMILIKCCDISNEVRPMEVAEPWVDCLLEEYFMQSDREKSEGLPVAPFMDRDKVTKATAQIGFIKFVLIPMFETVTKLFPMVEEIMLQPLWESRDRYEELKRIDDAMKELQKKTDSLTSGATEKSRERSRDVKNSEGDCA
+>DECOY_sp|O76083|PDE9A_HUMAN High affinity cGMP-specific 3',5'-cyclic phosphodiesterase 9A OS=Homo sapiens OX=9606 GN=PDE9A PE=1 SV=1
+ACDGESNKVDRSRERSKETAGSTLSDTKKQLEKMADDIRKLEEYRDRSEWLPQLMIEEVMPFLKTVTEFMPILVFKIFGIQATAKTVKDRDMFPAVPLGESKERDSQMFYEELLCDVWPEAVEMPRVENSIDCCKILIMKLLTMHEENSYDFNEMKEKFSDMIEAHRAMDTALILTIMGQRIQKFGDPPINSFINCEPEALIQFAVACHHNELPSIDNYRVALETRANIQYTNNYGPHDLDHCIAATMLILIDTQSFKEQLSCLWVMSYMMQAVCFCHRFNHFPNNRYNDHVCFLWRRLTVPNISFDRVLGLDHYMHELCSLMENPEWLWVDFTPKRLAEITEPSLLYKPYTPVDRRPTLKKHNDLFSYKCPCNTRSSRAALEERMKKIDSKCKEIEVVKLGELEVRKELVALHNAVEAKLENIKFARSFQEAVQALVSQILEEREPPIRQGEQYCGQPERPRPEVQGSEFAGERRPQELGVVRRDRLPREASQGRSTTRKDEVGASLQKIAVPRVKYPTRESNAPMTPDISVMADDTTLLSITTNRPLGTAICFLDMIDSSNCYKSFIVKQIRGDIDLYIAKPRYSSSGSGM
+>sp|P07237|PDIA1_HUMAN Protein disulfide-isomerase OS=Homo sapiens OX=9606 GN=P4HB PE=1 SV=3
+MLRRALLCLAVAALVRADAPEEEDHVLVLRKSNFAEALAAHKYLLVEFYAPWCGHCKALAPEYAKAAGKLKAEGSEIRLAKVDATEESDLAQQYGVRGYPTIKFFRNGDTASPKEYTAGREADDIVNWLKKRTGPAATTLPDGAAAESLVESSEVAVIGFFKDVESDSAKQFLQAAEAIDDIPFGITSNSDVFSKYQLDKDGVVLFKKFDEGRNNFEGEVTKENLLDFIKHNQLPLVIEFTEQTAPKIFGGEIKTHILLFLPKSVSDYDGKLSNFKTAAESFKGKILFIFIDSDHTDNQRILEFFGLKKEECPAVRLITLEEEMTKYKPESEELTAERITEFCHRFLEGKIKPHLMSQELPEDWDKQPVKVLVGKNFEDVAFDEKKNVFVEFYAPWCGHCKQLAPIWDKLGETYKDHENIVIAKMDSTANEVEAVKVHSFPTLKFFPASADRTVIDYNGERTLDGFKKFLESGGQDGAGDDDDLEDLEEAEEPDMEEDDDQKAVKDEL
+>DECOY_sp|P07237|PDIA1_HUMAN Protein disulfide-isomerase OS=Homo sapiens OX=9606 GN=P4HB PE=1 SV=3
+LEDKVAKQDDDEEMDPEEAEELDELDDDDGAGDQGGSELFKKFGDLTREGNYDIVTRDASAPFFKLTPFSHVKVAEVENATSDMKAIVINEHDKYTEGLKDWIPALQKCHGCWPAYFEVFVNKKEDFAVDEFNKGVLVKVPQKDWDEPLEQSMLHPKIKGELFRHCFETIREATLEESEPKYKTMEEELTILRVAPCEEKKLGFFELIRQNDTHDSDIFIFLIKGKFSEAATKFNSLKGDYDSVSKPLFLLIHTKIEGGFIKPATQETFEIVLPLQNHKIFDLLNEKTVEGEFNNRGEDFKKFLVVGDKDLQYKSFVDSNSTIGFPIDDIAEAAQLFQKASDSEVDKFFGIVAVESSEVLSEAAAGDPLTTAAPGTRKKLWNVIDDAERGATYEKPSATDGNRFFKITPYGRVGYQQALDSEETADVKALRIESGEAKLKGAAKAYEPALAKCHGCWPAYFEVLLYKHAALAEAFNSKRLVLVHDEEEPADARVLAAVALCLLARRLM
+>sp|Q14554|PDIA5_HUMAN Protein disulfide-isomerase A5 OS=Homo sapiens OX=9606 GN=PDIA5 PE=1 SV=1
+MARAGPAWLLLAIWVVLPSWLSSAKVSSLIERISDPKDLKKLLRTRNNVLVLYSKSEVAAENHLRLLSTVAQAVKGQGTICWVDCGDAESRKLCKKMKVDLSPKDKKVELFHYQDGAFHTEYNRAVTFKSIVAFLKDPKGPPLWEEDPGAKDVVHLDSEKDFRRLLKKEEKPLLIMFYAPWCSMCKRMMPHFQKAATQLRGHAVLAGMNVYSSEFENIKEEYSVRGFPTICYFEKGRFLFQYDNYGSTAEDIVEWLKNPQPPQPQVPETPWADEGGSVYHLTDEDFDQFVKEHSSVLVMFHAPWCGHCKKMKPEFEKAAEALHGEADSSGVLAAVDATVNKALAERFHISEFPTLKYFKNGEKYAVPVLRTKKKFLEWMQNPEAPPPPEPTWEEQQTSVLHLVGDNFRETLKKKKHTLVMFYAPWCPHCKKVIPHFTATADAFKDDRKIACAAVDCVKDKNQDLCQQEAVKGYPTFHYYHYGKFAEKYDSDRTELGFTNYIRALREGDHERLGKKKEEL
+>DECOY_sp|Q14554|PDIA5_HUMAN Protein disulfide-isomerase A5 OS=Homo sapiens OX=9606 GN=PDIA5 PE=1 SV=1
+LEEKKKGLREHDGERLARIYNTFGLETRDSDYKEAFKGYHYYHFTPYGKVAEQQCLDQNKDKVCDVAACAIKRDDKFADATATFHPIVKKCHPCWPAYFMVLTHKKKKLTERFNDGVLHLVSTQQEEWTPEPPPPAEPNQMWELFKKKTRLVPVAYKEGNKFYKLTPFESIHFREALAKNVTADVAALVGSSDAEGHLAEAAKEFEPKMKKCHGCWPAHFMVLVSSHEKVFQDFDEDTLHYVSGGEDAWPTEPVQPQPPQPNKLWEVIDEATSGYNDYQFLFRGKEFYCITPFGRVSYEEKINEFESSYVNMGALVAHGRLQTAAKQFHPMMRKCMSCWPAYFMILLPKEEKKLLRRFDKESDLHVVDKAGPDEEWLPPGKPDKLFAVISKFTVARNYETHFAGDQYHFLEVKKDKPSLDVKMKKCLKRSEADGCDVWCITGQGKVAQAVTSLLRLHNEAAVESKSYLVLVNNRTRLLKKLDKPDSIREILSSVKASSLWSPLVVWIALLLWAPGARAM
+>sp|Q9Y2S7|PDIP2_HUMAN Polymerase delta-interacting protein 2 OS=Homo sapiens OX=9606 GN=POLDIP2 PE=1 SV=1
+MAACTARRALAVGSRWWSRSLTGARWPRPLCAAAGAGAFSPASTTTTRRHLSSRNRPEGKVLETVGVFEVPKQNGKYETGQLFLHSIFGYRGVVLFPWQARLYDRDVASAAPEKAENPAGHGSKEVKGKTHTYYQVLIDARDCPHISQRSQTEAVTFLANHDDSRALYAIPGLDYVSHEDILPYTSTDQVPIQHELFERFLLYDQTKAPPFVARETLRAWQEKNHPWLELSDVHRETTENIRVTVIPFYMGMREAQNSHVYWWRYCIRLENLDSDVVQLRERHWRIFSLSGTLETVRGRGVVGREPVLSKEQPAFQYSSHVSLQASSGHMWGTFRFERPDGSHFDVRIPPFSLESNKDEKTPPSGLHW
+>DECOY_sp|Q9Y2S7|PDIP2_HUMAN Polymerase delta-interacting protein 2 OS=Homo sapiens OX=9606 GN=POLDIP2 PE=1 SV=1
+WHLGSPPTKEDKNSELSFPPIRVDFHSGDPREFRFTGWMHGSSAQLSVHSSYQFAPQEKSLVPERGVVGRGRVTELTGSLSFIRWHRERLQVVDSDLNELRICYRWWYVHSNQAERMGMYFPIVTVRINETTERHVDSLELWPHNKEQWARLTERAVFPPAKTQDYLLFREFLEHQIPVQDTSTYPLIDEHSVYDLGPIAYLARSDDHNALFTVAETQSRQSIHPCDRADILVQYYTHTKGKVEKSGHGAPNEAKEPAASAVDRDYLRAQWPFLVVGRYGFISHLFLQGTEYKGNQKPVEFVGVTELVKGEPRNRSSLHRRTTTTSAPSFAGAGAAACLPRPWRAGTLSRSWWRSGVALARRATCAAM
+>sp|Q9BY77|PDIP3_HUMAN Polymerase delta-interacting protein 3 OS=Homo sapiens OX=9606 GN=POLDIP3 PE=1 SV=2
+MADISLDELIRKRGAAAKGRLNARPGVGGVRSRVGIQQGLLSQSTRTATFQQRFDARQKIGLSDARLKLGVKDAREKLLQKDARFRIKGKVQDAREMLNSRKQQTTVPQKPRQVADAREKISLKRSSPAAFINPPIGTVTPALKLTKTIQVPQQKAMAPLHPHPAGMRINVVNNHQAKQNLYDLDEDDDGIASVPTKQMKFAASGGFLHHMAGLSSSKLSMSKALPLTKVVQNDAYTAPALPSSIRTKALTNMSRTLVNKEEPPKELPAAEPVLSPLEGTKMTVNNLHPRVTEEDIVELFCVCGALKRARLVHPGVAEVVFVKKDDAITAYKKYNNRCLDGQPMKCNLHMNGNVITSDQPILLRLSDSPSMKKESELPRRVNSASSSNPPAEVDPDTILKALFKSSGASVTTQPTEFKIKL
+>DECOY_sp|Q9BY77|PDIP3_HUMAN Polymerase delta-interacting protein 3 OS=Homo sapiens OX=9606 GN=POLDIP3 PE=1 SV=2
+LKIKFETPQTTVSAGSSKFLAKLITDPDVEAPPNSSSASNVRRPLESEKKMSPSDSLRLLIPQDSTIVNGNMHLNCKMPQGDLCRNNYKKYATIADDKKVFVVEAVGPHVLRARKLAGCVCFLEVIDEETVRPHLNNVTMKTGELPSLVPEAAPLEKPPEEKNVLTRSMNTLAKTRISSPLAPATYADNQVVKTLPLAKSMSLKSSSLGAMHHLFGGSAAFKMQKTPVSAIGDDDEDLDYLNQKAQHNNVVNIRMGAPHPHLPAMAKQQPVQITKTLKLAPTVTGIPPNIFAAPSSRKLSIKERADAVQRPKQPVTTQQKRSNLMERADQVKGKIRFRADKQLLKERADKVGLKLRADSLGIKQRADFRQQFTATRTSQSLLGQQIGVRSRVGGVGPRANLRGKAAAGRKRILEDLSIDAM
+>sp|Q16654|PDK4_HUMAN [Pyruvate dehydrogenase (acetyl-transferring)] kinase isozyme 4, mitochondrial OS=Homo sapiens OX=9606 GN=PDK4 PE=1 SV=1
+MKAARFVLRSAGSLNGAGLVPREVEHFSRYSPSPLSMKQLLDFGSENACERTSFAFLRQELPVRLANILKEIDILPTQLVNTSSVQLVKSWYIQSLMDLVEFHEKSPDDQKALSDFVDTLIKVRNRHHNVVPTMAQGIIEYKDACTVDPVTNQNLQYFLDRFYMNRISTRMLMNQHILIFSDSQTGNPSHIGSIDPNCDVVAVVQDAFECSRMLCDQYYLSSPELKLTQVNGKFPDQPIHIVYVPSHLHHMLFELFKNAMRATVEHQENQPSLTPIEVIVVLGKEDLTIKISDRGGGVPLRIIDRLFSYTYSTAPTPVMDNSRNAPLAGFGYGLPISRLYAKYFQGDLNLYSLSGYGTDAIIYLKALSSESIEKLPVFNKSAFKHYQMSSEADDWCIPSREPKNLAKEVAM
+>DECOY_sp|Q16654|PDK4_HUMAN [Pyruvate dehydrogenase (acetyl-transferring)] kinase isozyme 4, mitochondrial OS=Homo sapiens OX=9606 GN=PDK4 PE=1 SV=1
+MAVEKALNKPERSPICWDDAESSMQYHKFASKNFVPLKEISESSLAKLYIIADTGYGSLSYLNLDGQFYKAYLRSIPLGYGFGALPANRSNDMVPTPATSYTYSFLRDIIRLPVGGGRDSIKITLDEKGLVVIVEIPTLSPQNEQHEVTARMANKFLEFLMHHLHSPVYVIHIPQDPFKGNVQTLKLEPSSLYYQDCLMRSCEFADQVVAVVDCNPDISGIHSPNGTQSDSFILIHQNMLMRTSIRNMYFRDLFYQLNQNTVPDVTCADKYEIIGQAMTPVVNHHRNRVKILTDVFDSLAKQDDPSKEHFEVLDMLSQIYWSKVLQVSSTNVLQTPLIDIEKLINALRVPLEQRLFAFSTRECANESGFDLLQKMSLPSPSYRSFHEVERPVLGAGNLSGASRLVFRAAKM
+>sp|Q53GG5|PDLI3_HUMAN PDZ and LIM domain protein 3 OS=Homo sapiens OX=9606 GN=PDLIM3 PE=1 SV=1
+MPQTVILPGPAPWGFRLSGGIDFNQPLVITRITPGSKAAAANLCPGDVILAIDGFGTESMTHADAQDRIKAAAHQLCLKIDRGETHLWSPQVSEDGKAHPFKINLESEPQDGNYFEHKHNIRPKPFVIPGRSSGCSTPSGIDCGSGRSTPSSVSTVSTICPGDLKVAAKLAPNIPLEMELPGVKIVHAQFNTPMQLYSDDNIMETLQGQVSTALGETPLMSEPTASVPPESDVYRMLHDNRNEPTQPRQSGSFRVLQGMVDDGSDDRPAGTRSVRAPVTKVHGGSGGAQRMPLCDKCGSGIVGAVVKARDKYRHPECFVCADCNLNLKQKGYFFIEGELYCETHARARTKPPEGYDTVTLYPKA
+>DECOY_sp|Q53GG5|PDLI3_HUMAN PDZ and LIM domain protein 3 OS=Homo sapiens OX=9606 GN=PDLIM3 PE=1 SV=1
+AKPYLTVTDYGEPPKTRARAHTECYLEGEIFFYGKQKLNLNCDACVFCEPHRYKDRAKVVAGVIGSGCKDCLPMRQAGGSGGHVKTVPARVSRTGAPRDDSGDDVMGQLVRFSGSQRPQTPENRNDHLMRYVDSEPPVSATPESMLPTEGLATSVQGQLTEMINDDSYLQMPTNFQAHVIKVGPLEMELPINPALKAAVKLDGPCITSVTSVSSPTSRGSGCDIGSPTSCGSSRGPIVFPKPRINHKHEFYNGDQPESELNIKFPHAKGDESVQPSWLHTEGRDIKLCLQHAAAKIRDQADAHTMSETGFGDIALIVDGPCLNAAAAKSGPTIRTIVLPQNFDIGGSLRFGWPAPGPLIVTQPM
+>sp|Q6P474|PDXD2_HUMAN Putative pyridoxal-dependent decarboxylase domain-containing protein 2 OS=Homo sapiens OX=9606 GN=PDXDC2P PE=5 SV=3
+MDASLEKIADPTLAEMGKNLKEAVKMLEDSQRRTEEENGKKLISRDIPGPLQGSGQDMVSILQLVQNLMHGDEDEEPQSPRIQNIGEQGHVAVLGHSLGAYILTLDEEKLRKLTTRILSDTTLWLCRIFRYENGCAYFHEEEREGLAKICRLAIHSQYEDFVVDGFSGLYNKKPVIYLSAAARPGLGQYLCNQLGLPFPCLCRVPCNTMFGSQHQMDVAFLEKLIKDDIERGRLPLLLVANAGTAAVGHTDKIGRLKELCEQYGIWLHVEGVNLATLALGYVSSSVLAAAKCDSMTMTPGPWLGLPAVPAVTLYKHDPALTLVAGLISNKPTDKLRALPLWLSLQYLGLDGFVERIKHACQLSQWLQESLKKVNYIKILVEDELSSPVVVFRFFQELPGSDPVFKAVPVPNMTPSAVGRERHSCDALNLWLGEQLKQLVPASGLTVMDLEAEGTCLRFSPLMTAAGMIS
+>DECOY_sp|Q6P474|PDXD2_HUMAN Putative pyridoxal-dependent decarboxylase domain-containing protein 2 OS=Homo sapiens OX=9606 GN=PDXDC2P PE=5 SV=3
+SIMGAATMLPSFRLCTGEAELDMVTLGSAPVLQKLQEGLWLNLADCSHRERGVASPTMNPVPVAKFVPDSGPLEQFFRFVVVPSSLEDEVLIKIYNVKKLSEQLWQSLQCAHKIREVFGDLGLYQLSLWLPLARLKDTPKNSILGAVLTLAPDHKYLTVAPVAPLGLWPGPTMTMSDCKAAALVSSSVYGLALTALNVGEVHLWIGYQECLEKLRGIKDTHGVAATGANAVLLLPLRGREIDDKILKELFAVDMQHQSGFMTNCPVRCLCPFPLGLQNCLYQGLGPRAAASLYIVPKKNYLGSFGDVVFDEYQSHIALRCIKALGEREEEHFYACGNEYRFIRCLWLTTDSLIRTTLKRLKEEDLTLIYAGLSHGLVAVHGQEGINQIRPSQPEEDEDGHMLNQVLQLISVMDQGSGQLPGPIDRSILKKGNEEETRRQSDELMKVAEKLNKGMEALTPDAIKELSADM
+>sp|Q5EBL8|PDZ11_HUMAN PDZ domain-containing protein 11 OS=Homo sapiens OX=9606 GN=PDZD11 PE=1 SV=2
+MDSRIPYDDYPVVFLPAYENPPAWIPPHERVHHPDYNNELTQFLPRTITLKKPPGAQLGFNIRGGKASQLGIFISKVIPDSDAHRAGLQEGDQVLAVNDVDFQDIEHSKAVEILKTAREISMRVRFFPYNYHRQKERTVH
+>DECOY_sp|Q5EBL8|PDZ11_HUMAN PDZ domain-containing protein 11 OS=Homo sapiens OX=9606 GN=PDZD11 PE=1 SV=2
+HVTREKQRHYNYPFFRVRMSIERATKLIEVAKSHEIDQFDVDNVALVQDGEQLGARHADSDPIVKSIFIGLQSAKGGRINFGLQAGPPKKLTITRPLFQTLENNYDPHHVREHPPIWAPPNEYAPLFVVPYDDYPIRSDM
+>sp|O15018|PDZD2_HUMAN PDZ domain-containing protein 2 OS=Homo sapiens OX=9606 GN=PDZD2 PE=1 SV=4
+MPITQDNAVLHLPLLYQWLQNSLQEGGDGPEQRLCQAAIQKLQEYIQLNFAVDESTVPPDHSPPEMEICTVYLTKELGDTETVGLSFGNIPVFGDYGEKRRGGKKRKTHQGPVLDVGCIWVTELRKNSPAGKSGKVRLRDEILSLNGQLMVGVDVSGASYLAEQCWNGGFIYLIMLRRFKHKAHSTYNGNSSNSSEPGETPTLELGDRTAKKGKRTRKFGVISRPPANKAPEESKGSAGCEVSSDPSTELENGPDPELGNGHVFQLENGPDSLKEVAGPHLERSEVDRGTEHRIPKTDAPLTTSNDKRRFSKGGKTDFQSSDCLAREEVGRIWKMELLKESDGLGIQVSGGRGSKRSPHAIVVTQVKEGGAAHRDGRLSLGDELLVINGHLLVGLSHEEAVAILRSATGMVQLVVASKENSAEDLLRLTSKSLPDLTSSVEDVSSWTDNEDQEADGEEDEGTSSSVQRAMPGTDEPQDVCGAEESKGNLESPKQGSNKIKLKSRLSGGVHRLESVEEYNELMVRNGDPRIRMLEVSRDGRKHSLPQLLDSSSASQEYHIVKKSTRSLSTTQVESPWRLIRPSVISIIGLYKEKGKGLGFSIAGGRDCIRGQMGIFVKTIFPNGSAAEDGRLKEGDEILDVNGIPIKGLTFQEAIHTFKQIRSGLFVLTVRTKLVSPSLTPCSTPTHMSRSASPNFNTSGGASAGGSDEGSSSSLGRKTPGPKDRIVMEVTLNKEPRVGLGIGACCLALENSPPGIYIHSLAPGSVAKMESNLSRGDQILEVNSVNVRHAALSKVHAILSKCPPGPVRLVIGRHPNPKVSEQEMDEVIARSTYQESKEANSSPGLGTPLKSPSLAKKDSLISESELSQYFAHDVPGPLSDFMVAGSEDEDHPGSGCSTSEEGSLPPSTSTHKEPGKPRANSLVTLGSHRASGLFHKQVTVARQASLPGSPQALRNPLLRQRKVGCYDANDASDEEEFDREGDCISLPGALPGPIRPLSEDDPRRVSISSSKGMDVHNQEERPRKTLVSKAISAPLLGSSVDLEESIPEGMVDAASYAANLTDSAEAPKGSPGSWWKKELSGSSSAPKLEYTVRTDTQSPTNTGSPSSPQQKSEGLGSRHRPVARVSPHCKRSEAEAKPSGSQTVNLTGRANDPCDLDSRVQATSVKVTVAGFQPGGAVEKESLGKLTTGDACVSTSCELASALSHLDASHLTENLPKAASELGQQPMTELDSSSDLISSPGKKGAAHPDPSKTSVDTGQVSRPENPSQPASPRVTKCKARSPVRLPHEGSPSPGEKAAAPPDYSKTRSASETSTPHNTRRVAALRGAGPGAEGMTPAGAVLPGDPLTSQEQRQGAPGNHSKALEMTGIHAPESSQEPSLLEGADSVSSRAPQASLSMLPSTDNTKEACGHVSGHCCPGGSRESPVTDIDSFIKELDASAARSPSSQTGDSGSQEGSAQGHPPAGAGGGSSCRAEPVPGGQTSSPRRAWAAGAPAYPQWASQPSVLDSINPDKHFTVNKNFLSNYSRNFSSFHEDSTSLSGLGDSTEPSLSSMYGDAEDSSSDPESLTEAPRASARDGWSPPRSRVSLHKEDPSESEEEQIEICSTRGCPNPPSSPAHLPTQAAICPASAKVLSLKYSTPRESVASPREKAACLPGSYTSGPDSSQPSSLLEMSSQEHETHADISTSQNHRPSCAEETTEVTSASSAMENSPLSKVARHFHSPPIILSSPNMVNGLEHDLLDDETLNQYETSINAAASLSSFSVDVPKNGESVLENLHISESQDLDDLLQKPKMIARRPIMAWFKEINKHNQGTHLRSKTEKEQPLMPARSPDSKIQMVSSSQKKGVTVPHSPPQPKTNLENKDLSKKSPAEMLLTNGQKAKCGPKLKRLSLKGKAKVNSEAPAANAVKAGGTDHRKPLISPQTSHKTLSKAVSQRLHVADHEDPDRNTTAAPRSPQCVLESKPPLATSGPLKPSVSDTSIRTFVSPLTSPKPVPEQGMWSRFHMAVLSEPDRGCPTTPKSPKCRAEGRAPRADSGPVSPAASRNGMSVAGNRQSEPRLASHVAADTAQPRPTGEKGGNIMASDRLERTNQLKIVEISAEAVSETVCGNKPAESDRRGGCLAQGNCQEKSEIRLYRQVAESSTSHPSSLPSHASQAEQEMSRSFSMAKLASSSSSLQTAIRKAEYSQGKSSLMSDSRGVPRNSIPGGPSGEDHLYFTPRPATRTYSMPAQFSSHFGREGHPPHSLGRSRDSQVPVTSSVVPEAKASRGGLPSLANGQGIYSVKPLLDTSRNLPATDEGDIISVQETSCLVTDKIKVTRRHYCYEQNWPHESTSFFSVKQRIKSFENLANADRPVAKSGASPFLSVSSKPPIGRRSSGSIVSGSLGHPGDAAARLLRRSLSSCSENQSEAGTLLPQMAKSPSIMTLTISRQNPPETSSKGSDSELKKSLGPLGIPTPTMTLASPVKRNKSSVRHTQPSPVSRSKLQELRALSMPDLDKLCSEDYSAGPSAVLFKTELEITPRRSPGPPAGGVSCPEKGGNRACPGGSGPKTSAAETPSSASDTGEAAQDLPFRRSWSVNLDQLLVSAGDQQRLQSVLSSVGSKSTILTLIQEAKAQSENEEDVCFIVLNRKEGSGLGFSVAGGTDVEPKSITVHRVFSQGAASQEGTMNRGDFLLSVNGASLAGLAHGNVLKVLHQAQLHKDALVVIKKGMDQPRPSARQEPPTANGKGLLSRKTIPLEPGIGRSVAVHDALCVEVLKTSAGLGLSLDGGKSSVTGDGPLVIKRVYKGGAAEQAGIIEAGDEILAINGKPLVGLMHFDAWNIMKSVPEGPVQLLIRKHRNSS
+>DECOY_sp|O15018|PDZD2_HUMAN PDZ domain-containing protein 2 OS=Homo sapiens OX=9606 GN=PDZD2 PE=1 SV=4
+SSNRHKRILLQVPGEPVSKMINWADFHMLGVLPKGNIALIEDGAEIIGAQEAAGGKYVRKIVLPGDGTVSSKGGDLSLGLGASTKLVEVCLADHVAVSRGIGPELPITKRSLLGKGNATPPEQRASPRPQDMGKKIVVLADKHLQAQHLVKLVNGHALGALSAGNVSLLFDGRNMTGEQSAAGQSFVRHVTISKPEVDTGGAVSFGLGSGEKRNLVIFCVDEENESQAKAEQILTLITSKSGVSSLVSQLRQQDGASVLLQDLNVSWSRRFPLDQAAEGTDSASSPTEAASTKPGSGGPCARNGGKEPCSVGGAPPGPSRRPTIELETKFLVASPGASYDESCLKDLDPMSLARLEQLKSRSVPSPQTHRVSSKNRKVPSALTMTPTPIGLPGLSKKLESDSGKSSTEPPNQRSITLTMISPSKAMQPLLTGAESQNESCSSLSRRLLRAAADGPHGLSGSVISGSSRRGIPPKSSVSLFPSAGSKAVPRDANALNEFSKIRQKVSFFSTSEHPWNQEYCYHRRTVKIKDTVLCSTEQVSIIDGEDTAPLNRSTDLLPKVSYIGQGNALSPLGGRSAKAEPVVSSTVPVQSDRSRGLSHPPHGERGFHSSFQAPMSYTRTAPRPTFYLHDEGSPGGPISNRPVGRSDSMLSSKGQSYEAKRIATQLSSSSSALKAMSFSRSMEQEAQSAHSPLSSPHSTSSEAVQRYLRIESKEQCNGQALCGGRRDSEAPKNGCVTESVAEASIEVIKLQNTRELRDSAMINGGKEGTPRPQATDAAVHSALRPESQRNGAVSMGNRSAAPSVPGSDARPARGEARCKPSKPTTPCGRDPESLVAMHFRSWMGQEPVPKPSTLPSVFTRISTDSVSPKLPGSTALPPKSELVCQPSRPAATTNRDPDEHDAVHLRQSVAKSLTKHSTQPSILPKRHDTGGAKVANAAPAESNVKAKGKLSLRKLKPGCKAKQGNTLLMEAPSKKSLDKNELNTKPQPPSHPVTVGKKQSSSVMQIKSDPSRAPMLPQEKETKSRLHTGQNHKNIEKFWAMIPRRAIMKPKQLLDDLDQSESIHLNELVSEGNKPVDVSFSSLSAAANISTEYQNLTEDDLLDHELGNVMNPSSLIIPPSHFHRAVKSLPSNEMASSASTVETTEEACSPRHNQSTSIDAHTEHEQSSMELLSSPQSSDPGSTYSGPLCAAKERPSAVSERPTSYKLSLVKASAPCIAAQTPLHAPSSPPNPCGRTSCIEIQEEESESPDEKHLSVRSRPPSWGDRASARPAETLSEPDSSSDEADGYMSSLSPETSDGLGSLSTSDEHFSSFNRSYNSLFNKNVTFHKDPNISDLVSPQSAWQPYAPAGAAWARRPSSTQGGPVPEARCSSGGGAGAPPHGQASGEQSGSDGTQSSPSRAASADLEKIFSDIDTVPSERSGGPCCHGSVHGCAEKTNDTSPLMSLSAQPARSSVSDAGELLSPEQSSEPAHIGTMELAKSHNGPAGQRQEQSTLPDGPLVAGAPTMGEAGPGAGRLAAVRRTNHPTSTESASRTKSYDPPAAAKEGPSPSGEHPLRVPSRAKCKTVRPSAPQSPNEPRSVQGTDVSTKSPDPHAAGKKGPSSILDSSSDLETMPQQGLESAAKPLNETLHSADLHSLASALECSTSVCADGTTLKGLSEKEVAGGPQFGAVTVKVSTAQVRSDLDCPDNARGTLNVTQSGSPKAEAESRKCHPSVRAVPRHRSGLGESKQQPSSPSGTNTPSQTDTRVTYELKPASSSGSLEKKWWSGPSGKPAEASDTLNAAYSAADVMGEPISEELDVSSGLLPASIAKSVLTKRPREEQNHVDMGKSSSISVRRPDDESLPRIPGPLAGPLSICDGERDFEEEDSADNADYCGVKRQRLLPNRLAQPSGPLSAQRAVTVQKHFLGSARHSGLTVLSNARPKGPEKHTSTSPPLSGEESTSCGSGPHDEDESGAVMFDSLPGPVDHAFYQSLESESILSDKKALSPSKLPTGLGPSSNAEKSEQYTSRAIVEDMEQESVKPNPHRGIVLRVPGPPCKSLIAHVKSLAAHRVNVSNVELIQDGRSLNSEMKAVSGPALSHIYIGPPSNELALCCAGIGLGVRPEKNLTVEMVIRDKPGPTKRGLSSSSGEDSGGASAGGSTNFNPSASRSMHTPTSCPTLSPSVLKTRVTLVFLGSRIQKFTHIAEQFTLGKIPIGNVDLIEDGEKLRGDEAASGNPFITKVFIGMQGRICDRGGAISFGLGKGKEKYLGIISIVSPRILRWPSEVQTTSLSRTSKKVIHYEQSASSSDLLQPLSHKRGDRSVELMRIRPDGNRVMLENYEEVSELRHVGGSLRSKLKIKNSGQKPSELNGKSEEAGCVDQPEDTGPMARQVSSSTGEDEEGDAEQDENDTWSSVDEVSSTLDPLSKSTLRLLDEASNEKSAVVLQVMGTASRLIAVAEEHSLGVLLHGNIVLLEDGLSLRGDRHAAGGEKVQTVVIAHPSRKSGRGGSVQIGLGDSEKLLEMKWIRGVEERALCDSSQFDTKGGKSFRRKDNSTTLPADTKPIRHETGRDVESRELHPGAVEKLSDPGNELQFVHGNGLEPDPGNELETSPDSSVECGASGKSEEPAKNAPPRSIVGFKRTRKGKKATRDGLELTPTEGPESSNSSNGNYTSHAKHKFRRLMILYIFGGNWCQEALYSAGSVDVGVMLQGNLSLIEDRLRVKGSKGAPSNKRLETVWICGVDLVPGQHTKRKKGGRRKEGYDGFVPINGFSLGVTETDGLEKTLYVTCIEMEPPSHDPPVTSEDVAFNLQIYEQLKQIAAQCLRQEPGDGGEQLSNQLWQYLLPLHLVANDQTIPM
+>sp|Q8IXQ8|PDZD9_HUMAN PDZ domain-containing protein 9 OS=Homo sapiens OX=9606 GN=PDZD9 PE=2 SV=2
+MQKASHKNKKERGVSNKVKTSVHNLSKTQQTKLTVGSLGLGLIIIQHGPYLQITHLIRKGAAANDGKLQPGDVLISVGHANVLGYTLREFLQLLQHITIGTVLQIKVYRDFINIPEEWQEIYDLIPEAKFPVTSTPKKIELAKDESFTSSDDNENVDLDKRLQYYRYPWSTVHHPARRPISISRDWHGYKKKNHTISVGKDINCDVMIHRDDKKEVRAPSPYWIMVKQDNESSSSSTSSTSDAFWLEDCAQVEEGKAQLVSKVG
+>DECOY_sp|Q8IXQ8|PDZD9_HUMAN PDZ domain-containing protein 9 OS=Homo sapiens OX=9606 GN=PDZD9 PE=2 SV=2
+GVKSVLQAKGEEVQACDELWFADSTSSTSSSSSENDQKVMIWYPSPARVEKKDDRHIMVDCNIDKGVSITHNKKKYGHWDRSISIPRRAPHHVTSWPYRYYQLRKDLDVNENDDSSTFSEDKALEIKKPTSTVPFKAEPILDYIEQWEEPINIFDRYVKIQLVTGITIHQLLQLFERLTYGLVNAHGVSILVDGPQLKGDNAAAGKRILHTIQLYPGHQIIILGLGLSGVTLKTQQTKSLNHVSTKVKNSVGREKKNKHSAKQM
+>sp|P34995|PE2R1_HUMAN Prostaglandin E2 receptor EP1 subtype OS=Homo sapiens OX=9606 GN=PTGER1 PE=2 SV=3
+MSPCGPLNLSLAGEATTCAAPWVPNTSAVPPSGASPALPIFSMTLGAVSNLLALALLAQAAGRLRRRRSAATFLLFVASLLATDLAGHVIPGALVLRLYTAGRAPAGGACHFLGGCMVFFGLCPLLLGCGMAVERCVGVTRPLLHAARVSVARARLALAAVAAVALAVALLPLARVGRYELQYPGTWCFIGLGPPGGWRQALLAGLFASLGLVALLAALVCNTLSGLALLRARWRRRSRRPPPASGPDSRRRWGAHGPRSASASSASSIASASTFFGGSRSSGSARRARAHDVEMVGQLVGIMVVSCICWSPMLVLVALAVGGWSSTSLQRPLFLAVRLASWNQILDPWVYILLRQAVLRQLLRLLPPRAGAKGGPAGLGLTPSAWEASSLRSSRHSGLSHF
+>DECOY_sp|P34995|PE2R1_HUMAN Prostaglandin E2 receptor EP1 subtype OS=Homo sapiens OX=9606 GN=PTGER1 PE=2 SV=3
+FHSLGSHRSSRLSSAEWASPTLGLGAPGGKAGARPPLLRLLQRLVAQRLLIYVWPDLIQNWSALRVALFLPRQLSTSSWGGVALAVLVLMPSWCICSVVMIGVLQGVMEVDHARARRASGSSRSGGFFTSASAISSASSASASRPGHAGWRRRSDPGSAPPPRRSRRRWRARLLALGSLTNCVLAALLAVLGLSAFLGALLAQRWGGPPGLGIFCWTGPYQLEYRGVRALPLLAVALAVAAVAALALRARAVSVRAAHLLPRTVGVCREVAMGCGLLLPCLGFFVMCGGLFHCAGGAPARGATYLRLVLAGPIVHGALDTALLSAVFLLFTAASRRRRLRGAAQALLALALLNSVAGLTMSFIPLAPSAGSPPVASTNPVWPAACTTAEGALSLNLPGCPSM
+>sp|P35408|PE2R4_HUMAN Prostaglandin E2 receptor EP4 subtype OS=Homo sapiens OX=9606 GN=PTGER4 PE=1 SV=1
+MSTPGVNSSASLSPDRLNSPVTIPAVMFIFGVVGNLVAIVVLCKSRKEQKETTFYTLVCGLAVTDLLGTLLVSPVTIATYMKGQWPGGQPLCEYSTFILLFFSLSGLSIICAMSVERYLAINHAYFYSHYVDKRLAGLTLFAVYASNVLFCALPNMGLGSSRLQYPDTWCFIDWTTNVTAHAAYSYMYAGFSSFLILATVLCNVLVCGALLRMHRQFMRRTSLGTEQHHAAAAASVASRGHPAASPALPRLSDFRRRRSFRRIAGAEIQMVILLIATSLVVLICSIPLVVRVFVNQLYQPSLEREVSKNPDLQAIRIASVNPILDPWIYILLRKTVLSKAIEKIKCLFCRIGGSRRERSGQHCSDSQRTSSAMSGHSRSFISRELKEISSTSQTLLPDLSLPDLSENGLGGRNLLPGVPGMGLAQEDTTSLRTLRISETSDSSQGQDSESVLLVDEAGGSGRAGPAPKGSSLQVTFPSETLNLSEKCI
+>DECOY_sp|P35408|PE2R4_HUMAN Prostaglandin E2 receptor EP4 subtype OS=Homo sapiens OX=9606 GN=PTGER4 PE=1 SV=1
+ICKESLNLTESPFTVQLSSGKPAPGARGSGGAEDVLLVSESDQGQSSDSTESIRLTRLSTTDEQALGMGPVGPLLNRGGLGNESLDPLSLDPLLTQSTSSIEKLERSIFSRSHGSMASSTRQSDSCHQGSRERRSGGIRCFLCKIKEIAKSLVTKRLLIYIWPDLIPNVSAIRIAQLDPNKSVERELSPQYLQNVFVRVVLPISCILVVLSTAILLIVMQIEAGAIRRFSRRRRFDSLRPLAPSAAPHGRSAVSAAAAAHHQETGLSTRRMFQRHMRLLAGCVLVNCLVTALILFSSFGAYMYSYAAHATVNTTWDIFCWTDPYQLRSSGLGMNPLACFLVNSAYVAFLTLGALRKDVYHSYFYAHNIALYREVSMACIISLGSLSFFLLIFTSYECLPQGGPWQGKMYTAITVPSVLLTGLLDTVALGCVLTYFTTEKQEKRSKCLVVIAVLNGVVGFIFMVAPITVPSNLRDPSLSASSNVGPTSM
+>sp|Q96HM7|PED1B_HUMAN PC-esterase domain-containing protein 1B OS=Homo sapiens OX=9606 GN=PCED1B PE=1 SV=1
+MILLRASEVRQLLHNKFVVILGDSVHRAVYKDLVLLLQKDRLLTPGQLRARGELNFEQDELVDGGQRGHMHNGLNYREVREFRSDHHLVRFYFLTRVYSDYLQTILKELQSGEHAPDLVIMNSCLWDISRYGPNSWRSYLENLENLFQCLGQVLPESCLLVWNTAMPVGEEVTGGFLPPKLRRQKATFLKNEVVKANFHSATEARKHNFDVLDLHFHFRHARENLHWDGVHWNGRVHRCLSQLLLAHVADAWGVELPHRHPVGEWIKKKKPGPRVEGPPQANRNHPALPLSPPLPSPTYRPLLGFPPQRLPLLPLLSPQPPPPILHHQGMPRFPQGPPDACFSSDHTFQSDQFYCHSDVPSSAHAGFFVEDNFMVGPQLPMPFFPTPRYQRPAPVVHRGFGRYRPRGPYTPWGQRPRPSKRRAPANPEPRPQ
+>DECOY_sp|Q96HM7|PED1B_HUMAN PC-esterase domain-containing protein 1B OS=Homo sapiens OX=9606 GN=PCED1B PE=1 SV=1
+QPRPEPNAPARRKSPRPRQGWPTYPGRPRYRGFGRHVVPAPRQYRPTPFFPMPLQPGVMFNDEVFFGAHASSPVDSHCYFQDSQFTHDSSFCADPPGQPFRPMGQHHLIPPPPQPSLLPLLPLRQPPFGLLPRYTPSPLPPSLPLAPHNRNAQPPGEVRPGPKKKKIWEGVPHRHPLEVGWADAVHALLLQSLCRHVRGNWHVGDWHLNERAHRFHFHLDLVDFNHKRAETASHFNAKVVENKLFTAKQRRLKPPLFGGTVEEGVPMATNWVLLCSEPLVQGLCQFLNELNELYSRWSNPGYRSIDWLCSNMIVLDPAHEGSQLEKLITQLYDSYVRTLFYFRVLHHDSRFERVERYNLGNHMHGRQGGDVLEDQEFNLEGRARLQGPTLLRDKQLLLVLDKYVARHVSDGLIVVFKNHLLQRVESARLLIM
+>sp|P36955|PEDF_HUMAN Pigment epithelium-derived factor OS=Homo sapiens OX=9606 GN=SERPINF1 PE=1 SV=4
+MQALVLLLCIGALLGHSSCQNPASPPEEGSPDPDSTGALVEEEDPFFKVPVNKLAAAVSNFGYDLYRVRSSTSPTTNVLLSPLSVATALSALSLGAEQRTESIIHRALYYDLISSPDIHGTYKELLDTVTAPQKNLKSASRIVFEKKLRIKSSFVAPLEKSYGTRPRVLTGNPRLDLQEINNWVQAQMKGKLARSTKEIPDEISILLLGVAHFKGQWVTKFDSRKTSLEDFYLDEERTVRVPMMSDPKAVLRYGLDSDLSCKIAQLPLTGSMSIIFFLPLKVTQNLTLIEESLTSEFIHDIDRELKTVQAVLTVPKLKLSYEGEVTKSLQEMKLQSLFDSPDFSKITGKPIKLTQVEHRAGFEWNEDGAGTTPSPGLQPAHLTFPLDYHLNQPFIFVLRDTDTGALLFIGKILDPRGP
+>DECOY_sp|P36955|PEDF_HUMAN Pigment epithelium-derived factor OS=Homo sapiens OX=9606 GN=SERPINF1 PE=1 SV=4
+PGRPDLIKGIFLLAGTDTDRLVFIFPQNLHYDLPFTLHAPQLGPSPTTGAGDENWEFGARHEVQTLKIPKGTIKSFDPSDFLSQLKMEQLSKTVEGEYSLKLKPVTLVAQVTKLERDIDHIFESTLSEEILTLNQTVKLPLFFIISMSGTLPLQAIKCSLDSDLGYRLVAKPDSMMPVRVTREEDLYFDELSTKRSDFKTVWQGKFHAVGLLLISIEDPIEKTSRALKGKMQAQVWNNIEQLDLRPNGTLVRPRTGYSKELPAVFSSKIRLKKEFVIRSASKLNKQPATVTDLLEKYTGHIDPSSILDYYLARHIISETRQEAGLSLASLATAVSLPSLLVNTTPSTSSRVRYLDYGFNSVAAALKNVPVKFFPDEEEVLAGTSDPDPSGEEPPSAPNQCSSHGLLAGICLLLVLAQM
+>sp|Q9UBV8|PEF1_HUMAN Peflin OS=Homo sapiens OX=9606 GN=PEF1 PE=1 SV=1
+MASYPYRQGCPGAAGQAPGAPPGSYYPGPPNSGGQYGSGLPPGGGYGGPAPGGPYGPPAGGGPYGHPNPGMFPSGTPGGPYGGAAPGGPYGQPPPSSYGAQQPGLYGQGGAPPNVDPEAYSWFQSVDSDHSGYISMKELKQALVNCNWSSFNDETCLMMINMFDKTKSGRIDVYGFSALWKFIQQWKNLFQQYDRDRSGSISYTELQQALSQMGYNLSPQFTQLLVSRYCPRSANPAMQLDRFIQVCTQLQVLTEAFREKDTAVQGNIRLSFEDFVTMTASRML
+>DECOY_sp|Q9UBV8|PEF1_HUMAN Peflin OS=Homo sapiens OX=9606 GN=PEF1 PE=1 SV=1
+LMRSATMTVFDEFSLRINGQVATDKERFAETLVQLQTCVQIFRDLQMAPNASRPCYRSVLLQTFQPSLNYGMQSLAQQLETYSISGSRDRDYQQFLNKWQQIFKWLASFGYVDIRGSKTKDFMNIMMLCTEDNFSSWNCNVLAQKLEKMSIYGSHDSDVSQFWSYAEPDVNPPAGGQGYLGPQQAGYSSPPPQGYPGGPAAGGYPGGPTGSPFMGPNPHGYPGGGAPPGYPGGPAPGGYGGGPPLGSGYQGGSNPPGPYYSGPPAGPAQGAAGPCGQRYPYSAM
+>sp|Q9GZU2|PEG3_HUMAN Paternally-expressed gene 3 protein OS=Homo sapiens OX=9606 GN=PEG3 PE=1 SV=1
+MLPPKHLSATKPKKSWAPNLYELDSDLTKEPDVIIGEGPTDSEFFHQRFRNLIYVEFVGPRKTLIKLRNLCLDWLQPETRTKEEIIELLVLEQYLTIIPEKLKPWVRAKKPENCEKLVTLLENYKEMYQPEDDNNSDVTSDDDMTRNRRESSPPHSVHSFSDRDWDRRGRSRDMEPRDRWSHTRNPRSRMPPRDLSLPVVAKTSFEMDREDDRDSRAYESRSQDAESYQNVVDLAEDRKPHNTIQDNMENYRKLLSLVQLAEDDGHSHMTQGHSSRSKRSAYPSTSRGLKTMPEAKKSTHRRGICEDESSHGVIMEKFIKDVSRSSKSGRARESSDRSQRFPRMSDDNWKDISLNKRESVIQQRVYEGNAFRGGFRFNSTLVSRKRVLERKRRYHFDTDGKGSIHDQKGCPRKKPFECGSEMRKAMSVSSLSSLSSPSFTESQPIDFGAMPYVCDECGRSFSVISEFVEHQIMHTRENLYEYGESFIHSVAVSEVQKSQVGGKRFECKDCGETFNKSAALAEHRKIHARGYLVECKNQECEEAFMPSPTFSELQKIYGKDKFYECRVCKETFLHSSALIEHQKIHFGDDKDNEREHERERERERGETFRPSPALNEFQKMYGKEKMYECKVCGETFLHSSSLKEHQKIHTRGNPFENKGKVCEETFIPGQSLKRRQKTYNKEKLCDFTDGRDAFMQSSELSEHQKIHSRKNLFEGRGYEKSVIHSGPFTESQKSHTITRPLESDEDEKAFTISSNPYENQKIPTKENVYEAKSYERSVIHSLASVEAQKSHSVAGPSKPKVMAESTIQSFDAINHQRVRAGGNTSEGREYSRSVIHSLVASKPPRSHNGNELVESNEKGESSIYISDLNDKRQKIPARENPCEGGSKNRNYEDSVIQSVFRAKPQKSVPGEGSGEFKKDGEFSVPSSNVREYQKARAKKKYIEHRSNETSVIHSLPFGEQTFRPRGMLYECQECGECFAHSSDLTEHQKIHDREKPSGSRNYEWSVIRSLAPTDPQTSYAQEQYAKEQARNKCKDFRQFFATSEDLNTNQKIYDQEKSHGEESQGENTDGEETHSEETHGQETIEDPVIQGSDMEDPQKDDPDDKIYECEDCGLGFVDLTDLTDHQKVHSRKCLVDSREYTHSVIHTHSISEYQRDYTGEQLYECPKCGESFIHSSFLFEHQRIHEQDQLYSMKGCDDGFIALLPMKPRRNRAAERNPALAGSAIRCLLCGQGFIHSSALNEHMRLHREDDLLEQSQMAEEAIIPGLALTEFQRSQTEERLFECAVCGESFVNPAELADHVTVHKNEPYEYGSSYTHTSFLTEPLKGAIPFYECKDCGKSFIHSTVLTKHKELHLEEEEEDEAAAAAAAAAQEVEANVHVPQVVLRIQGLNVEAAEPEVEAAEPEVEAAEPEVEAAEPNGEAEGPDGEAAEPIGEAGQPNGEAEQPNGDADEPDGAGIEDPEERAEEPEGKAEEPEGDADEPDGVGIEDPEEGEDQEIQVEEPYYDCHECTETFTSSTAFSEHLKTHASMIIFEPANAFGECSGYIERASTSTGGANQADEKYFKCDVCGQLFNDRLSLARHQNTHTG
+>DECOY_sp|Q9GZU2|PEG3_HUMAN Paternally-expressed gene 3 protein OS=Homo sapiens OX=9606 GN=PEG3 PE=1 SV=1
+GTHTNQHRALSLRDNFLQGCVDCKFYKEDAQNAGGTSTSAREIYGSCEGFANAPEFIIMSAHTKLHESFATSSTFTETCEHCDYYPEEVQIEQDEGEEPDEIGVGDPEDADGEPEEAKGEPEEAREEPDEIGAGDPEDADGNPQEAEGNPQGAEGIPEAAEGDPGEAEGNPEAAEVEPEAAEVEPEAAEVEPEAAEVNLGQIRLVVQPVHVNAEVEQAAAAAAAAAEDEEEEELHLEKHKTLVTSHIFSKGCDKCEYFPIAGKLPETLFSTHTYSSGYEYPENKHVTVHDALEAPNVFSEGCVACEFLREETQSRQFETLALGPIIAEEAMQSQELLDDERHLRMHENLASSHIFGQGCLLCRIASGALAPNREAARNRRPKMPLLAIFGDDCGKMSYLQDQEHIRQHEFLFSSHIFSEGCKPCEYLQEGTYDRQYESISHTHIVSHTYERSDVLCKRSHVKQHDTLDTLDVFGLGCDECEYIKDDPDDKQPDEMDSGQIVPDEITEQGHTEESHTEEGDTNEGQSEEGHSKEQDYIKQNTNLDESTAFFQRFDKCKNRAQEKAYQEQAYSTQPDTPALSRIVSWEYNRSGSPKERDHIKQHETLDSSHAFCEGCEQCEYLMGRPRFTQEGFPLSHIVSTENSRHEIYKKKARAKQYERVNSSPVSFEGDKKFEGSGEGPVSKQPKARFVSQIVSDEYNRNKSGGECPNERAPIKQRKDNLDSIYISSEGKENSEVLENGNHSRPPKSAVLSHIVSRSYERGESTNGGARVRQHNIADFSQITSEAMVKPKSPGAVSHSKQAEVSALSHIVSREYSKAEYVNEKTPIKQNEYPNSSITFAKEDEDSELPRTITHSKQSETFPGSHIVSKEYGRGEFLNKRSHIKQHESLESSQMFADRGDTFDCLKEKNYTKQRRKLSQGPIFTEECVKGKNEFPNGRTHIKQHEKLSSSHLFTEGCVKCEYMKEKGYMKQFENLAPSPRFTEGREREREREHERENDKDDGFHIKQHEILASSHLFTEKCVRCEYFKDKGYIKQLESFTPSPMFAEECEQNKCEVLYGRAHIKRHEALAASKNFTEGCDKCEFRKGGVQSKQVESVAVSHIFSEGYEYLNERTHMIQHEVFESIVSFSRGCEDCVYPMAGFDIPQSETFSPSSLSSLSSVSMAKRMESGCEFPKKRPCGKQDHISGKGDTDFHYRRKRELVRKRSVLTSNFRFGGRFANGEYVRQQIVSERKNLSIDKWNDDSMRPFRQSRDSSERARGSKSSRSVDKIFKEMIVGHSSEDECIGRRHTSKKAEPMTKLGRSTSPYASRKSRSSHGQTMHSHGDDEALQVLSLLKRYNEMNDQITNHPKRDEALDVVNQYSEADQSRSEYARSDRDDERDMEFSTKAVVPLSLDRPPMRSRPNRTHSWRDRPEMDRSRGRRDWDRDSFSHVSHPPSSERRNRTMDDDSTVDSNNDDEPQYMEKYNELLTVLKECNEPKKARVWPKLKEPIITLYQELVLLEIIEEKTRTEPQLWDLCLNRLKILTKRPGVFEVYILNRFRQHFFESDTPGEGIIVDPEKTLDSDLEYLNPAWSKKPKTASLHKPPLM
+>sp|Q96FA3|PELI1_HUMAN E3 ubiquitin-protein ligase pellino homolog 1 OS=Homo sapiens OX=9606 GN=PELI1 PE=1 SV=2
+MFSPDQENHPSKAPVKYGELIVLGYNGSLPNGDRGRRKSRFALFKRPKANGVKPSTVHIACTPQAAKAISNKDQHSISYTLSRAQTVVVEYTHDSNTDMFQIGRSTESPIDFVVTDTVPGSQSNSDTQSVQSTISRFACRIICERNPPFTARIYAAGFDSSKNIFLGEKAAKWKTSDGQMDGLTTNGVLVMHPRNGFTEDSKPGIWREISVCGNVFSLRETRSAQQRGKMVEIETNQLQDGSLIDLCGATLLWRTAEGLSHTPTVKHLEALRQEINAARPQCPVGFNTLAFPSMKRKDVVDEKQPWVYLNCGHVHGYHNWGNKEERDGKDRECPMCRSVGPYVPLWLGCEAGFYVDAGPPTHAFSPCGHVCSEKTTAYWSQIPLPHGTHTFHAACPFCAHQLAGEQGYIRLIFQGPLD
+>DECOY_sp|Q96FA3|PELI1_HUMAN E3 ubiquitin-protein ligase pellino homolog 1 OS=Homo sapiens OX=9606 GN=PELI1 PE=1 SV=2
+DLPGQFILRIYGQEGALQHACFPCAAHFTHTGHPLPIQSWYATTKESCVHGCPSFAHTPPGADVYFGAECGLWLPVYPGVSRCMPCERDKGDREEKNGWNHYGHVHGCNLYVWPQKEDVVDKRKMSPFALTNFGVPCQPRAANIEQRLAELHKVTPTHSLGEATRWLLTAGCLDILSGDQLQNTEIEVMKGRQQASRTERLSFVNGCVSIERWIGPKSDETFGNRPHMVLVGNTTLGDMQGDSTKWKAAKEGLFINKSSDFGAAYIRATFPPNRECIIRCAFRSITSQVSQTDSNSQSGPVTDTVVFDIPSETSRGIQFMDTNSDHTYEVVVTQARSLTYSISHQDKNSIAKAAQPTCAIHVTSPKVGNAKPRKFLAFRSKRRGRDGNPLSGNYGLVILEGYKVPAKSPHNEQDPSFM
+>sp|Q9BRX2|PELO_HUMAN Protein pelota homolog OS=Homo sapiens OX=9606 GN=PELO PE=1 SV=2
+MKLVRKNIEKDNAGQVTLVPEEPEDMWHTYNLVQVGDSLRASTIRKVQTESSTGSVGSNRVRTTLTLCVEAIDFDSQACQLRVKGTNIQENEYVKMGAYHTIELEPNRQFTLAKKQWDSVVLERIEQACDPAWSADVAAVVMQEGLAHICLVTPSMTLTRAKVEVNIPRKRKGNCSQHDRALERFYEQVVQAIQRHIHFDVVKCILVASPGFVREQFCDYLFQQAVKTDNKLLLENRSKFLQVHASSGHKYSLKEALCDPTVASRLSDTKAAGEVKALDDFYKMLQHEPDRAFYGLKQVEKANEAMAIDTLLISDELFRHQDVATRSRYVRLVDSVKENAGTVRIFSSLHVSGEQLSQLTGVAAILRFPVPELSDQEGDSSSEED
+>DECOY_sp|Q9BRX2|PELO_HUMAN Protein pelota homolog OS=Homo sapiens OX=9606 GN=PELO PE=1 SV=2
+DEESSSDGEQDSLEPVPFRLIAAVGTLQSLQEGSVHLSSFIRVTGANEKVSDVLRVYRSRTAVDQHRFLEDSILLTDIAMAENAKEVQKLGYFARDPEHQLMKYFDDLAKVEGAAKTDSLRSAVTPDCLAEKLSYKHGSSAHVQLFKSRNELLLKNDTKVAQQFLYDCFQERVFGPSAVLICKVVDFHIHRQIAQVVQEYFRELARDHQSCNGKRKRPINVEVKARTLTMSPTVLCIHALGEQMVVAAVDASWAPDCAQEIRELVVSDWQKKALTFQRNPELEITHYAGMKVYENEQINTGKVRLQCAQSDFDIAEVCLTLTTRVRNSGVSGTSSETQVKRITSARLSDGVQVLNYTHWMDEPEEPVLTVQGANDKEINKRVLKM
+>sp|O60437|PEPL_HUMAN Periplakin OS=Homo sapiens OX=9606 GN=PPL PE=1 SV=4
+MNSLFRKRNKGKYSPTVQTRSISNKELSELIEQLQKNADQVEKNIVDTEAKMQSDLARLQEGRQPEHRDVTLQKVLDSEKLLYVLEADAAIAKHMKHPQGDMIAEDIRQLKERVTNLRGKHKQIYRLAVKEVDPQVNWAALVEEKLDKLNNQSFGTDLPLVDHQVEEHNIFHNEVKAIGPHLAKDGDKEQNSELRAKYQKLLAASQARQQHLSSLQDYMQRCTNELYWLDQQAKGRMQYDWSDRNLDYPSRRRQYENFINRNLEAKEERINKLHSEGDQLLAAEHPGRNSIEAHMEAVHADWKEYLNLLICEESHLKYMEDYHQFHEDVKDAQELLRKVDSDLNQKYGPDFKDRYQIELLLRELDDQEKVLDKYEDVVQGLQKRGQQVVPLKYRRETPLKPIPVEALCDFEGEQGLISRGYSYTLQKNNGESWELMDSAGNKLIAPAVCFVIPPTDPEALALADSLGSQYRSVRQKAAGSKRTLQQRYEVLKTENPGDASDLQGRQLLAGLDKVASDLDRQEKAITGILRPPLEQGRAVQDSAERAKDLKNITNELLRIEPEKTRSTAEGEAFIQALPGSGTTPLLRTRVEDTNRKYEHLLQLLDLAQEKVDVANRLEKSLQQSWELLATHENHLNQDDTVPESSRVLDSKGQELAAMACELQAQKSLLGEVEQNLQAAKQCSSTLASRFQEHCPDLERQEAEVHKLGQRFNNLRQQVERRAQSLQSAKAAYEHFHRGHDHVLQFLVSIPSYEPQETDSLSQMETKLKNQKNLLDEIASREQEVQKICANSQQYQQAVKDYELEAEKLRSLLDLENGRRSHVSKRARLQSPATKVKEEEAALAAKFTEVYAINRQRLQNLEFALNLLRQQPEVEVTHETLQRNRPDSGVEEAWKIRKELDEETERRRQLENEVKSTQEEIWTLRNQGPQESVVRKEVLKKVPDPVLEESFQQLQRTLAEEQHKNQLLQEELEALQLQLRALEQETRDGGQEYVVKEVLRIEPDRAQADEVLQLREELEALRRQKGAREAEVLLLQQRVAALAEEKSRAQEKVTEKEVVKLQNDPQLEAEYQQLQEDHQRQDQLREKQEEELSFLQDKLKRLEKERAMAEGKITVKEVLKVEKDAATEREVSDLTRQYEDEAAKARASQREKTELLRKIWALEEENAKVVVQEKVREIVRPDPKAESEVANLRLELVEQERKYRGAEEQLRSYQSELEALRRRGPQVEVKEVTKEVIKYKTDPEMEKELQRLREEIVDKTRLIERCDLEIYQLKKEIQALKDTKPQVQTKEVVQEILQFQEDPQTKEEVASLRAKLSEEQKKQVDLERERASQEEQIARKEEELSRVKERVVQQEVVRYEEEPGLRAEASAFAESIDVELRQIDKLRAELRRLQRRRTELERQLEELERERQARREAEREVQRLQQRLAALEQEEAEAREKVTHTQKVVLQQDPQQAREHALLRLQLEEEQHRRQLLEGELETLRRKLAALEKAEVKEKVVLSESVQVEKGDTEQEIQRLKSSLEEESRSKRELDVEVSRLEARLSELEFHNSKSSKELDFLREENHKLQLERQNLQLETRRLQSEINMAATETRDLRNMTVADSGTNHDSRLWSLERELDDLKRLSKDKDLEIDELQKRLGSVAVKREQRENHLRRSIVVIHPDTGRELSPEEAHRAGLIDWNMFVKLRSQECDWEEISVKGPNGESSVIHDRKSGKKFSIEEALQSGRLTPAQYDRYVNKDMSIQELAVLVSGQK
+>DECOY_sp|O60437|PEPL_HUMAN Periplakin OS=Homo sapiens OX=9606 GN=PPL PE=1 SV=4
+KQGSVLVALEQISMDKNVYRDYQAPTLRGSQLAEEISFKKGSKRDHIVSSEGNPGKVSIEEWDCEQSRLKVFMNWDILGARHAEEPSLERGTDPHIVVISRRLHNERQERKVAVSGLRKQLEDIELDKDKSLRKLDDLERELSWLRSDHNTGSDAVTMNRLDRTETAAMNIESQLRRTELQLNQRELQLKHNEERLFDLEKSSKSNHFELESLRAELRSVEVDLERKSRSEEELSSKLRQIEQETDGKEVQVSESLVVKEKVEAKELAALKRRLTELEGELLQRRHQEEELQLRLLAHERAQQPDQQLVVKQTHTVKERAEAEEQELAALRQQLRQVEREAERRAQRERELEELQRELETRRRQLRRLEARLKDIQRLEVDISEAFASAEARLGPEEEYRVVEQQVVREKVRSLEEEKRAIQEEQSARERELDVQKKQEESLKARLSAVEEKTQPDEQFQLIEQVVEKTQVQPKTDKLAQIEKKLQYIELDCREILRTKDVIEERLRQLEKEMEPDTKYKIVEKTVEKVEVQPGRRRLAELESQYSRLQEEAGRYKREQEVLELRLNAVESEAKPDPRVIERVKEQVVVKANEEELAWIKRLLETKERQSARAKAAEDEYQRTLDSVERETAADKEVKLVEKVTIKGEAMAREKELRKLKDQLFSLEEEQKERLQDQRQHDEQLQQYEAELQPDNQLKVVEKETVKEQARSKEEALAAVRQQLLLVEAERAGKQRRLAELEERLQLVEDAQARDPEIRLVEKVVYEQGGDRTEQELARLQLQLAELEEQLLQNKHQEEALTRQLQQFSEELVPDPVKKLVEKRVVSEQPGQNRLTWIEEQTSKVENELQRRRETEEDLEKRIKWAEEVGSDPRNRQLTEHTVEVEPQQRLLNLAFELNQLRQRNIAYVETFKAALAAEEEKVKTAPSQLRARKSVHSRRGNELDLLSRLKEAELEYDKVAQQYQQSNACIKQVEQERSAIEDLLNKQNKLKTEMQSLSDTEQPEYSPISVLFQLVHDHGRHFHEYAAKASQLSQARREVQQRLNNFRQGLKHVEAEQRELDPCHEQFRSALTSSCQKAAQLNQEVEGLLSKQAQLECAMAALEQGKSDLVRSSEPVTDDQNLHNEHTALLEWSQQLSKELRNAVDVKEQALDLLQLLHEYKRNTDEVRTRLLPTTGSGPLAQIFAEGEATSRTKEPEIRLLENTINKLDKAREASDQVARGQELPPRLIGTIAKEQRDLDSAVKDLGALLQRGQLDSADGPNETKLVEYRQQLTRKSGAAKQRVSRYQSGLSDALALAEPDTPPIVFCVAPAILKNGASDMLEWSEGNNKQLTYSYGRSILGQEGEFDCLAEVPIPKLPTERRYKLPVVQQGRKQLGQVVDEYKDLVKEQDDLERLLLEIQYRDKFDPGYKQNLDSDVKRLLEQADKVDEHFQHYDEMYKLHSEECILLNLYEKWDAHVAEMHAEISNRGPHEAALLQDGESHLKNIREEKAELNRNIFNEYQRRRSPYDLNRDSWDYQMRGKAQQDLWYLENTCRQMYDQLSSLHQQRAQSAALLKQYKARLESNQEKDGDKALHPGIAKVENHFINHEEVQHDVLPLDTGFSQNNLKDLKEEVLAAWNVQPDVEKVALRYIQKHKGRLNTVREKLQRIDEAIMDGQPHKMHKAIAADAELVYLLKESDLVKQLTVDRHEPQRGEQLRALDSQMKAETDVINKEVQDANKQLQEILESLEKNSISRTQVTPSYKGKNRKRFLSNM
+>sp|O15055|PER2_HUMAN Period circadian protein homolog 2 OS=Homo sapiens OX=9606 GN=PER2 PE=1 SV=2
+MNGYAEFPPSPSNPTKEPVEPQPSQVPLQEDVDMSSGSSGHETNENCSTGRDSQGSDCDDSGKELGMLVEPPDARQSPDTFSLMMAKSEHNPSTSGCSSDQSSKVDTHKELIKTLKELKVHLPADKKAKGKASTLATLKYALRSVKQVKANEEYYQLLMSSEGHPCGADVPSYTVEEMESVTSEHIVKNADMFAVAVSLVSGKILYISDQVASIFHCKRDAFSDAKFVEFLAPHDVGVFHSFTSPYKLPLWSMCSGADSFTQECMEEKSFFCRVSVRKSHENEIRYHPFRMTPYLVKVRDQQGAESQLCCLLLAERVHSGYEAPRIPPEKRIFTTTHTPNCLFQDVDERAVPLLGYLPQDLIETPVLVQLHPSDRPLMLAIHKKILQSGGQPFDYSPIRFRARNGEYITLDTSWSSFINPWSRKISFIIGRHKVRVGPLNEDVFAAHPCTEEKALHPSIQELTEQIHRLLLQPVPHSGSSGYGSLGSNGSHEHLMSQTSSSDSNGHEDSRRRRAEICKNGNKTKNRSHYSHESGEQKKKSVTEMQTNPPAEKKAVPAMEKDSLGVSFPEELACKNQPTCSYQQISCLDSVIRYLESCNEAATLKRKCEFPANVPALRSSDKRKATVSPGPHAGEAEPPSRVNSRTGVGTHLTSLALPGKAESVASLTSQCSYSSTIVHVGDKKPQPELEMVEDAASGPESLDCLAGPALACGLSQEKEPFKKLGLTKEVLAAHTQKEEQSFLQKFKEIRKLSIFQSHCHYYLQERSKGQPSERTAPGLRNTSGIDSPWKKTGKNRKLKSKRVKPRDSSESTGSGGPVSARPPLVGLNATAWSPSDTSQSSCPAVPFPAPVPAAYSLPVFPAPGTVAAPPAPPHASFTVPAVPVDLQHQFAVQPPPFPAPLAPVMAFMLPSYSFPSGTPNLPQAFFPSQPQFPSHPTLTSEMASASQPEFPSRTSIPRQPCACPATRATPPSAMGRASPPLFQSRSSSPLQLNLLQLEEAPEGGTGAMGTTGATETAAVGADCKPGTSRDQQPKAPLTRDEPSDTQNSDALSTSSGLLNLLLNEDLCSASGSAASESLGSGSLGCDASPSGAGSSDTSHTSKYFGSIDSSENNHKAKMNTGMEESEHFIKCVLQDPIWLLMADADSSVMMTYQLPSRNLEAVLKEDREKLKLLQKLQPRFTESQKQELREVHQWMQTGGLPAAIDVAECVYCENKEKGNICIPYEEDIPSLGLSEVSDTKEDENGSPLNHRIEEQT
+>DECOY_sp|O15055|PER2_HUMAN Period circadian protein homolog 2 OS=Homo sapiens OX=9606 GN=PER2 PE=1 SV=2
+TQEEIRHNLPSGNEDEKTDSVESLGLSPIDEEYPICINGKEKNECYVCEAVDIAAPLGGTQMWQHVERLEQKQSETFRPQLKQLLKLKERDEKLVAELNRSPLQYTMMVSSDADAMLLWIPDQLVCKIFHESEEMGTNMKAKHNNESSDISGFYKSTHSTDSSGAGSPSADCGLSGSGLSESAASGSASCLDENLLLNLLGSSTSLADSNQTDSPEDRTLPAKPQQDRSTGPKCDAGVAATETAGTTGMAGTGGEPAEELQLLNLQLPSSSRSQFLPPSARGMASPPTARTAPCACPQRPISTRSPFEPQSASAMESTLTPHSPFQPQSPFFAQPLNPTGSPFSYSPLMFAMVPALPAPFPPPQVAFQHQLDVPVAPVTFSAHPPAPPAAVTGPAPFVPLSYAAPVPAPFPVAPCSSQSTDSPSWATANLGVLPPRASVPGGSGTSESSDRPKVRKSKLKRNKGTKKWPSDIGSTNRLGPATRESPQGKSREQLYYHCHSQFISLKRIEKFKQLFSQEEKQTHAALVEKTLGLKKFPEKEQSLGCALAPGALCDLSEPGSAADEVMELEPQPKKDGVHVITSSYSCQSTLSAVSEAKGPLALSTLHTGVGTRSNVRSPPEAEGAHPGPSVTAKRKDSSRLAPVNAPFECKRKLTAAENCSELYRIVSDLCSIQQYSCTPQNKCALEEPFSVGLSDKEMAPVAKKEAPPNTQMETVSKKKQEGSEHSYHSRNKTKNGNKCIEARRRRSDEHGNSDSSSTQSMLHEHSGNSGLSGYGSSGSHPVPQLLLRHIQETLEQISPHLAKEETCPHAAFVDENLPGVRVKHRGIIFSIKRSWPNIFSSWSTDLTIYEGNRARFRIPSYDFPQGGSQLIKKHIALMLPRDSPHLQVLVPTEILDQPLYGLLPVAREDVDQFLCNPTHTTTFIRKEPPIRPAEYGSHVREALLLCCLQSEAGQQDRVKVLYPTMRFPHYRIENEHSKRVSVRCFFSKEEMCEQTFSDAGSCMSWLPLKYPSTFSHFVGVDHPALFEVFKADSFADRKCHFISAVQDSIYLIKGSVLSVAVAFMDANKVIHESTVSEMEEVTYSPVDAGCPHGESSMLLQYYEENAKVQKVSRLAYKLTALTSAKGKAKKDAPLHVKLEKLTKILEKHTDVKSSQDSSCGSTSPNHESKAMMLSFTDPSQRADPPEVLMGLEKGSDDCDSGQSDRGTSCNENTEHGSSGSSMDVDEQLPVQSPQPEVPEKTPNSPSPPFEAYGNM
+>sp|P56645|PER3_HUMAN Period circadian protein homolog 3 OS=Homo sapiens OX=9606 GN=PER3 PE=1 SV=4
+MPRGEAPGPGRRGAKDEALGEESGERWSPEFHLQRKLADSSHSEQQDRNRVSEELIMVVQEMKKYFPSERRNKPSTLDALNYALRCVHSVQANSEFFQILSQNGAPQADVSMYSLEELATIASEHTSKNTDTFVAVFSFLSGRLVHISEQAALILNRKKDVLASSHFVDLLAPQDMRVFYAHTARAQLPFWNNWTQRAARYECAPVKPFFCRIRGGEDRKQEKCHSPFRIIPYLIHVHHPAQPELESEPCCLTVVEKIHSGYEAPRIPVNKRIFTTTHTPGCVFLEVDEKAVPLLGYLPQDLIGTSILSYLHPEDRSLMVAIHQKVLKYAGHPPFEHSPIRFCTQNGDYIILDSSWSSFVNPWSRKISFIIGRHKVRTSPLNEDVFATKIKKMNDNDKDITELQEQIYKLLLQPVHVSVSSGYGSLGSSGSQEQLVSIASSSEASGHRVEETKAEQMTLQQVYASVNKIKNLGQQLYIESMTKSSFKPVTGTRTEPNGGGECKTFTSFHQTLKNNSVYTEPCEDLRNDEHSPSYQQINCIDSVIRYLKSYNIPALKRKCISCTNTTSSSSEEDKQNHKADDVQALQAGLQIPAIPKSEMPTNGRSIDTGGGAPQILSTAMLSLGSGISQCGYSSTIVHVPPPETARDATLFCEPWTLNMQPAPLTSEEFKHVGLTAAVLSAHTQKEEQNYVDKFREKILSSPYSSYLQQESRSKAKYSYFQGDSTSKQTRSAGCRKGKHKRKKLPEPPDSSSSNTGSGPRRGAHQNAQPCCPSAASSPHTSSPTFPPAAMVPSQAPYLVPAFPLPAATSPGREYAAPGTAPEGLHGLPLSEGLQPYPAFPFPYLDTFMTVFLPDPPVCPLLSPSFLPCPFLGATASSAISPSMSSAMSPTLDPPPSVTSQRREEEKWEAQSEGHPFITSRSSSPLQLNLLQEEMPRPSESPDQMRRNTCPQTEYCVTGNNGSESSPATTGALSTGSPPRENPSHPTASALSTGSPPMKNPSHPTASALSTGSPPMKNPSHPTASTLSMGLPPSRTPSHPTATVLSTGSPPSESPSRTGSAASGSSDSSIYLTSSVYSSKISQNGQQSQDVQKKETFPNVAEEPIWRMIRQTPERILMTYQVPERVKEVVLKEDLEKLESMRQQQPQFSHGQKEELAKVYNWIQSQTVTQEIDIQACVTCENEDSADGAATSCGQVLVEDSC
+>DECOY_sp|P56645|PER3_HUMAN Period circadian protein homolog 3 OS=Homo sapiens OX=9606 GN=PER3 PE=1 SV=4
+CSDEVLVQGCSTAAGDASDENECTVCAQIDIEQTVTQSQIWNYVKALEEKQGHSFQPQQQRMSELKELDEKLVVEKVREPVQYTMLIREPTQRIMRWIPEEAVNPFTEKKQVDQSQQGNQSIKSSYVSSTLYISSDSSGSAASGTRSPSESPPSGTSLVTATPHSPTRSPPLGMSLTSATPHSPNKMPPSGTSLASATPHSPNKMPPSGTSLASATPHSPNERPPSGTSLAGTTAPSSESGNNGTVCYETQPCTNRRMQDPSESPRPMEEQLLNLQLPSSSRSTIFPHGESQAEWKEEERRQSTVSPPPDLTPSMASSMSPSIASSATAGLFPCPLFSPSLLPCVPPDPLFVTMFTDLYPFPFAPYPQLGESLPLGHLGEPATGPAAYERGPSTAAPLPFAPVLYPAQSPVMAAPPFTPSSTHPSSAASPCCPQANQHAGRRPGSGTNSSSSDPPEPLKKRKHKGKRCGASRTQKSTSDGQFYSYKAKSRSEQQLYSSYPSSLIKERFKDVYNQEEKQTHASLVAATLGVHKFEESTLPAPQMNLTWPECFLTADRATEPPPVHVITSSYGCQSIGSGLSLMATSLIQPAGGGTDISRGNTPMESKPIAPIQLGAQLAQVDDAKHNQKDEESSSSTTNTCSICKRKLAPINYSKLYRIVSDICNIQQYSPSHEDNRLDECPETYVSNNKLTQHFSTFTKCEGGGNPETRTGTVPKFSSKTMSEIYLQQGLNKIKNVSAYVQQLTMQEAKTEEVRHGSAESSSAISVLQEQSGSSGLSGYGSSVSVHVPQLLLKYIQEQLETIDKDNDNMKKIKTAFVDENLPSTRVKHRGIIFSIKRSWPNVFSSWSSDLIIYDGNQTCFRIPSHEFPPHGAYKLVKQHIAVMLSRDEPHLYSLISTGILDQPLYGLLPVAKEDVELFVCGPTHTTTFIRKNVPIRPAEYGSHIKEVVTLCCPESELEPQAPHHVHILYPIIRFPSHCKEQKRDEGGRIRCFFPKVPACEYRAARQTWNNWFPLQARATHAYFVRMDQPALLDVFHSSALVDKKRNLILAAQESIHVLRGSLFSFVAVFTDTNKSTHESAITALEELSYMSVDAQPAGNQSLIQFFESNAQVSHVCRLAYNLADLTSPKNRRESPFYKKMEQVVMILEESVRNRDQQESHSSDALKRQLHFEPSWREGSEEGLAEDKAGRRGPGPAEGRPM
+>sp|P41219|PERI_HUMAN Peripherin OS=Homo sapiens OX=9606 GN=PRPH PE=1 SV=2
+MSHHPSGLRAGFSSTSYRRTFGPPPSLSPGAFSYSSSSRFSSSRLLGSASPSSSVRLGSFRSPRAGAGALLRLPSERLDFSMAEALNQEFLATRSNEKQELQELNDRFANFIEKVRFLEQQNAALRGELSQARGQEPARADQLCQQELRELRRELELLGRERDRVQVERDGLAEDLAALKQRLEEETRKREDAEHNLVLFRKDVDDATLSRLELERKIESLMDEIEFLKKLHEEELRDLQVSVESQQVQQVEVEATVKPELTAALRDIRAQYESIAAKNLQEAEEWYKSKYADLSDAANRNHEALRQAKQEMNESRRQIQSLTCEVDGLRGTNEALLRQLRELEEQFALEAGGYQAGAARLEEELRQLKEEMARHLREYQELLNVKMALDIEIATYRKLLEGEESRISVPVHSFASLNIKTTVPEVEPPQDSHSRKTVLIKTIETRNGEVVTESQKEQRSELDKSSAHSY
+>DECOY_sp|P41219|PERI_HUMAN Peripherin OS=Homo sapiens OX=9606 GN=PRPH PE=1 SV=2
+YSHASSKDLESRQEKQSETVVEGNRTEITKILVTKRSHSDQPPEVEPVTTKINLSAFSHVPVSIRSEEGELLKRYTAIEIDLAMKVNLLEQYERLHRAMEEKLQRLEEELRAAGAQYGGAELAFQEELERLQRLLAENTGRLGDVECTLSQIQRRSENMEQKAQRLAEHNRNAADSLDAYKSKYWEEAEQLNKAAISEYQARIDRLAATLEPKVTAEVEVQQVQQSEVSVQLDRLEEEHLKKLFEIEDMLSEIKRELELRSLTADDVDKRFLVLNHEADERKRTEEELRQKLAALDEALGDREVQVRDRERGLLELERRLERLEQQCLQDARAPEQGRAQSLEGRLAANQQELFRVKEIFNAFRDNLEQLEQKENSRTALFEQNLAEAMSFDLRESPLRLLAGAGARPSRFSGLRVSSSPSASGLLRSSSFRSSSSYSFAGPSLSPPPGFTRRYSTSSFGARLGSPHHSM
+>sp|P22079|PERL_HUMAN Lactoperoxidase OS=Homo sapiens OX=9606 GN=LPO PE=1 SV=2
+MRVLLHLPALLASLILLQAAASTTRAQTTRTSAISDTVSQAKVQVNKAFLDSRTRLKTAMSSETPTSRQLSEYLKHAKGRTRTAIRNGQVWEESLKRLRQKASLTNVTDPSLDLTSLSLEVGCGAPAPVVRCDPCSPYRTITGDCNNRRKPALGAANRALARWLPAEYEDGLSLPFGWTPGKTRNGFPLPLAREVSNKIVGYLNEEGVLDQNRSLLFMQWGQIVDHDLDFAPDTELGSSEYSKAQCDEYCIQGDNCFPIMFPPNDPKAGTQGKCMPFFRAGFVCPTPPYKSLAREQINALTSFLDASFVYSSEPSLASRLRNLSSPLGLMAVNQEVSDHGLPYLPYDSKKPSPCEFINTTARVPCFLAGDSRASEHILLATSHTLFLREHNRLARELKRLNPQWDGEKLYQEARKILGAFVQIITFRDYLPILLGDHMQKWIPPYQGYSESVDPRISNVFTFAFRFGHLEVPSSMFRLDENYQPWGPEPELPLHTLFFNTWRMVKDGGIDPLVRGLLAKKSKLMKQNKMMTGELRNKLFQPTHRIHGFDLAAINTQRCRDHGQPGYNSWRAFCDLSQPQTLEELNTVLKSKMLAKKLLGLYGTPDNIDIWIGAIAEPLVERGRVGPLLACLLGKQFQQIRDGDRFWWENPGVFTNEQKDSLQKMSFSRLVCDNTRITKVPRDPFWANSYPYDFVDCSAIDKLDLSPWASVKN
+>DECOY_sp|P22079|PERL_HUMAN Lactoperoxidase OS=Homo sapiens OX=9606 GN=LPO PE=1 SV=2
+NKVSAWPSLDLKDIASCDVFDYPYSNAWFPDRPVKTIRTNDCVLRSFSMKQLSDKQENTFVGPNEWWFRDGDRIQQFQKGLLCALLPGVRGREVLPEAIAGIWIDINDPTGYLGLLKKALMKSKLVTNLEELTQPQSLDCFARWSNYGPQGHDRCRQTNIAALDFGHIRHTPQFLKNRLEGTMMKNQKMLKSKKALLGRVLPDIGGDKVMRWTNFFLTHLPLEPEPGWPQYNEDLRFMSSPVELHGFRFAFTFVNSIRPDVSESYGQYPPIWKQMHDGLLIPLYDRFTIIQVFAGLIKRAEQYLKEGDWQPNLRKLERALRNHERLFLTHSTALLIHESARSDGALFCPVRATTNIFECPSPKKSDYPLYPLGHDSVEQNVAMLGLPSSLNRLRSALSPESSYVFSADLFSTLANIQERALSKYPPTPCVFGARFFPMCKGQTGAKPDNPPFMIPFCNDGQICYEDCQAKSYESSGLETDPAFDLDHDVIQGWQMFLLSRNQDLVGEENLYGVIKNSVERALPLPFGNRTKGPTWGFPLSLGDEYEAPLWRALARNAAGLAPKRRNNCDGTITRYPSCPDCRVVPAPAGCGVELSLSTLDLSPDTVNTLSAKQRLRKLSEEWVQGNRIATRTRGKAHKLYESLQRSTPTESSMATKLRTRSDLFAKNVQVKAQSVTDSIASTRTTQARTTSAAAQLLILSALLAPLHLLVRM
+>sp|P61758|PFD3_HUMAN Prefoldin subunit 3 OS=Homo sapiens OX=9606 GN=VBP1 PE=1 SV=3
+MAAVKDSCGKGEMATGNGRRLHLGIPEAVFVEDVDSFMKQPGNETADTVLKKLDEQYQKYKFMELNLAQKKRRLKGQIPEIKQTLEILKYMQKKKESTNSMETRFLLADNLYCKASVPPTDKMCLWLGANVMLEYDIDEAQALLEKNLSTATKNLDSLEEDLDFLRDQFTTTEVNMARVYNWDVKRRNKDDSTKNKA
+>DECOY_sp|P61758|PFD3_HUMAN Prefoldin subunit 3 OS=Homo sapiens OX=9606 GN=VBP1 PE=1 SV=3
+AKNKTSDDKNRRKVDWNYVRAMNVETTTFQDRLFDLDEELSDLNKTATSLNKELLAQAEDIDYELMVNAGLWLCMKDTPPVSAKCYLNDALLFRTEMSNTSEKKKQMYKLIELTQKIEPIQGKLRRKKQALNLEMFKYKQYQEDLKKLVTDATENGPQKMFSDVDEVFVAEPIGLHLRRGNGTAMEGKGCSDKVAAM
+>sp|Q6P3X8|PGBD2_HUMAN PiggyBac transposable element-derived protein 2 OS=Homo sapiens OX=9606 GN=PGBD2 PE=2 SV=1
+MASTSRDVIAGRGIHSKVKSAKLLEVLNAMEEEESNNNREEIFIAPPDNAAGEFTDEDSGDEDSQRGAHLPGSVLHASVLCEDSGTGEDNDDLELQPAKKRQKAVVKPQRIWTKRDIRPDFGSWTASDPHIEDLKSQELSPVGLFELFFDEGTINFIVNETNRYAWQKNVNLSLTAQELKCVLGILILSGYISYPRRRMFWETSPDSHHHLVADAIRRDRFELIFSYLHFADNNELDASDRFAKVRPLIIRMNCNFQKHAPLEEFYSFGESMCEYFGHRGSKQLHRGKPVRLGYKIWCGTTSRGYLVWFEPSQGTLFTKPDRSLDLGGSMVIKFVDALQERGFLPYHIFFDKVFTSVKLMSILRKKGVKATGTVREYRTERCPLKDPKELKKMKRGSFDYKVDESEEIIVCRWHDSSVVNICSNAVGIEPVRLTSRHSGAAKTRTQVHQPSLVKLYQEKVGGVGRMDQNIAKYKVKIRGMKWYSSFIGYVIDAALNNAWQLHRICCQDAQVDLLAFRRYIACVYLESNADTTSQGRRSRRLETESRFDMIGHWIIHQDKRTRCALCHSQTNTRCEKCQKGVHAKCFREYHIR
+>DECOY_sp|Q6P3X8|PGBD2_HUMAN PiggyBac transposable element-derived protein 2 OS=Homo sapiens OX=9606 GN=PGBD2 PE=2 SV=1
+RIHYERFCKAHVGKQCKECRTNTQSHCLACRTRKDQHIIWHGIMDFRSETELRRSRRGQSTTDANSELYVCAIYRRFALLDVQADQCCIRHLQWANNLAADIVYGIFSSYWKMGRIKVKYKAINQDMRGVGGVKEQYLKVLSPQHVQTRTKAAGSHRSTLRVPEIGVANSCINVVSSDHWRCVIIEESEDVKYDFSGRKMKKLEKPDKLPCRETRYERVTGTAKVGKKRLISMLKVSTFVKDFFIHYPLFGREQLADVFKIVMSGGLDLSRDPKTFLTGQSPEFWVLYGRSTTGCWIKYGLRVPKGRHLQKSGRHGFYECMSEGFSYFEELPAHKQFNCNMRIILPRVKAFRDSADLENNDAFHLYSFILEFRDRRIADAVLHHHSDPSTEWFMRRRPYSIYGSLILIGLVCKLEQATLSLNVNKQWAYRNTENVIFNITGEDFFLEFLGVPSLEQSKLDEIHPDSATWSGFDPRIDRKTWIRQPKVVAKQRKKAPQLELDDNDEGTGSDECLVSAHLVSGPLHAGRQSDEDGSDEDTFEGAANDPPAIFIEERNNNSEEEEMANLVELLKASKVKSHIGRGAIVDRSTSAM
+>sp|Q8N414|PGBD5_HUMAN PiggyBac transposable element-derived protein 5 OS=Homo sapiens OX=9606 GN=PGBD5 PE=1 SV=4
+MAEGGGGARRRAPALLEAARARYESLHISDDVFGESGPDSGGNPFYSTSAASRSSSAASSDDEREPPGPPGAAPPPPRAPDAQEPEEDEAGAGWSAALRDRPPPRFEDTGGPTRKMPPSASAVDFFQLFVPDNVLKNMVVQTNMYAKKFQERFGSDGAWVEVTLTEMKAFLGYMISTSISHCESVLSIWSGGFYSNRSLALVMSQARFEKILKYFHVVAFRSSQTTHGLYKVQPFLDSLQNSFDSAFRPSQTQVLHEPLIDEDPVFIATCTERELRKRKKRKFSLWVRQCSSTGFIIQIYVHLKEGGGPDGLDALKNKPQLHSMVARSLCRNAAGKNYIIFTGPSITSLTLFEEFEKQGIYCCGLLRARKSDCTGLPLSMLTNPATPPARGQYQIKMKGNMSLICWYNKGHFRFLTNAYSPVQQGVIIKRKSGEIPCPLAVEAFAAHLSYICRYDDKYSKYFISHKPNKTWQQVFWFAISIAINNAYILYKMSDAYHVKRYSRAQFGERLVRELLGLEDASPTH
+>DECOY_sp|Q8N414|PGBD5_HUMAN PiggyBac transposable element-derived protein 5 OS=Homo sapiens OX=9606 GN=PGBD5 PE=1 SV=4
+HTPSADELGLLERVLREGFQARSYRKVHYADSMKYLIYANNIAISIAFWFVQQWTKNPKHSIFYKSYKDDYRCIYSLHAAFAEVALPCPIEGSKRKIIVGQQVPSYANTLFRFHGKNYWCILSMNGKMKIQYQGRAPPTAPNTLMSLPLGTCDSKRARLLGCCYIGQKEFEEFLTLSTISPGTFIIYNKGAANRCLSRAVMSHLQPKNKLADLGDPGGGEKLHVYIQIIFGTSSCQRVWLSFKRKKRKRLERETCTAIFVPDEDILPEHLVQTQSPRFASDFSNQLSDLFPQVKYLGHTTQSSRFAVVHFYKLIKEFRAQSMVLALSRNSYFGGSWISLVSECHSISTSIMYGLFAKMETLTVEVWAGDSGFREQFKKAYMNTQVVMNKLVNDPVFLQFFDVASASPPMKRTPGGTDEFRPPPRDRLAASWGAGAEDEEPEQADPARPPPPAAGPPGPPEREDDSSAASSSRSAASTSYFPNGGSDPGSEGFVDDSIHLSEYRARAAELLAPARRRAGGGGEAM
+>sp|P98160|PGBM_HUMAN Basement membrane-specific heparan sulfate proteoglycan core protein OS=Homo sapiens OX=9606 GN=HSPG2 PE=1 SV=4
+MGWRAAGALLLALLLHGRLLAVTHGLRAYDGLSLPEDIETVTASQMRWTHSYLSDDEDMLADSISGDDLGSGDLGSGDFQMVYFRALVNFTRSIEYSPQLEDAGSREFREVSEAVVDTLESEYLKIPGDQVVSVVFIKELDGWVFVELDVGSEGNADGAQIQEMLLRVISSGSVASYVTSPQGFQFRRLGTVPQFPRACTEAEFACHSYNECVALEYRCDRRPDCRDMSDELNCEEPVLGISPTFSLLVETTSLPPRPETTIMRQPPVTHAPQPLLPGSVRPLPCGPQEAACRNGHCIPRDYLCDGQEDCEDGSDELDCGPPPPCEPNEFPCGNGHCALKLWRCDGDFDCEDRTDEANCPTKRPEEVCGPTQFRCVSTNMCIPASFHCDEESDCPDRSDEFGCMPPQVVTPPRESIQASRGQTVTFTCVAIGVPTPIINWRLNWGHIPSHPRVTVTSEGGRGTLIIRDVKESDQGAYTCEAMNARGMVFGIPDGVLELVPQRGPCPDGHFYLEHSAACLPCFCFGITSVCQSTRRFRDQIRLRFDQPDDFKGVNVTMPAQPGTPPLSSTQLQIDPSLHEFQLVDLSRRFLVHDSFWALPEQFLGNKVDSYGGSLRYNVRYELARGMLEPVQRPDVVLMGAGYRLLSRGHTPTQPGALNQRQVQFSEEHWVHESGRPVQRAELLQVLQSLEAVLIQTVYNTKMASVGLSDIAMDTTVTHATSHGRAHSVEECRCPIGYSGLSCESCDAHFTRVPGGPYLGTCSGCNCNGHASSCDPVYGHCLNCQHNTEGPQCNKCKAGFFGDAMKATATSCRPCPCPYIDASRRFSDTCFLDTDGQATCDACAPGYTGRRCESCAPGYEGNPIQPGGKCRPVNQEIVRCDERGSMGTSGEACRCKNNVVGRLCNECADGSFHLSTRNPDGCLKCFCMGVSRHCTSSSWSRAQLHGASEEPGHFSLTNAASTHTTNEGIFSPTPGELGFSSFHRLLSGPYFWSLPSRFLGDKVTSYGGELRFTVTQRSQPGSTPLHGQPLVVLQGNNIILEHHVAQEPSPGQPSTFIVPFREQAWQRPDGQPATREHLLMALAGIDTLLIRASYAQQPAESRVSGISMDVAVPEETGQDPALEVEQCSCPPGYRGPSCQDCDTGYTRTPSGLYLGTCERCSCHGHSEACEPETGACQGCQHHTEGPRCEQCQPGYYGDAQRGTPQDCQLCPCYGDPAAGQAAHTCFLDTDGHPTCDACSPGHSGRHCERCAPGYYGNPSQGQPCQRDSQVPGPIGCNCDPQGSVSSQCDAAGQCQCKAQVEGLTCSHCRPHHFHLSASNPDGCLPCFCMGITQQCASSAYTRHLISTHFAPGDFQGFALVNPQRNSRLTGEFTVEPVPEGAQLSFGNFAQLGHESFYWQLPETYQGDKVAAYGGKLRYTLSYTAGPQGSPLSDPDVQITGNNIMLVASQPALQGPERRSYEIMFREEFWRRPDGQPATREHLLMALADLDELLIRATFSSVPLAASISAVSLEVAQPGPSNRPRALEVEECRCPPGYIGLSCQDCAPGYTRTGSGLYLGHCELCECNGHSDLCHPETGACSQCQHNAAGEFCELCAPGYYGDATAGTPEDCQPCACPLTNPENMFSRTCESLGAGGYRCTACEPGYTGQYCEQCGPGYVGNPSVQGGQCLPETNQAPLVVEVHPARSIVPQGGSHSLRCQVSGSPPHYFYWSREDGRPVPSGTQQRHQGSELHFPSVQPSDAGVYICTCRNLHQSNTSRAELLVTEAPSKPITVTVEEQRSQSVRPGADVTFICTAKSKSPAYTLVWTRLHNGKLPTRAMDFNGILTIRNVQLSDAGTYVCTGSNMFAMDQGTATLHVQASGTLSAPVVSIHPPQLTVQPGQLAEFRCSATGSPTPTLEWTGGPGGQLPAKAQIHGGILRLPAVEPTDQAQYLCRAHSSAGQQVARAVLHVHGGGGPRVQVSPERTQVHAGRTVRLYCRAAGVPSATITWRKEGGSLPPQARSERTDIATLLIPAITTADAGFYLCVATSPAGTAQARIQVVVLSASDASPPPVKIESSSPSVTEGQTLDLNCVVAGSAHAQVTWYRRGGSLPPHTQVHGSRLRLPQVSPADSGEYVCRVENGSGPKEASITVSVLHGTHSGPSYTPVPGSTRPIRIEPSSSHVAEGQTLDLNCVVPGQAHAQVTWHKRGGSLPARHQTHGSLLRLHQVTPADSGEYVCHVVGTSGPLEASVLVTIEASVIPGPIPPVRIESSSSTVAEGQTLDLSCVVAGQAHAQVTWYKRGGSLPARHQVRGSRLYIFQASPADAGQYVCRASNGMEASITVTVTGTQGANLAYPAGSTQPIRIEPSSSQVAEGQTLDLNCVVPGQSHAQVTWHKRGGSLPVRHQTHGSLLRLYQASPADSGEYVCRVLGSSVPLEASVLVTIEPAGSVPALGVTPTVRIESSSSQVAEGQTLDLNCLVAGQAHAQVTWHKRGGSLPARHQVHGSRLRLLQVTPADSGEYVCRVVGSSGTQEASVLVTIQQRLSGSHSQGVAYPVRIESSSASLANGHTLDLNCLVASQAPHTITWYKRGGSLPSRHQIVGSRLRIPQVTPADSGEYVCHVSNGAGSRETSLIVTIQGSGSSHVPSVSPPIRIESSSPTVVEGQTLDLNCVVARQPQAIITWYKRGGSLPSRHQTHGSHLRLHQMSVADSGEYVCRANNNIDALEASIVISVSPSAGSPSAPGSSMPIRIESSSSHVAEGETLDLNCVVPGQAHAQVTWHKRGGSLPSHHQTRGSRLRLHHVSPADSGEYVCRVMGSSGPLEASVLVTIEASGSSAVHVPAPGGAPPIRIEPSSSRVAEGQTLDLKCVVPGQAHAQVTWHKRGGNLPARHQVHGPLLRLNQVSPADSGEYSCQVTGSSGTLEASVLVTIEPSSPGPIPAPGLAQPIYIEASSSHVTEGQTLDLNCVVPGQAHAQVTWYKRGGSLPARHQTHGSQLRLHLVSPADSGEYVCRAASGPGPEQEASFTVTVPPSEGSSYRLRSPVISIDPPSSTVQQGQDASFKCLIHDGAAPISLEWKTRNQELEDNVHISPNGSIITIVGTRPSNHGTYRCVASNAYGVAQSVVNLSVHGPPTVSVLPEGPVWVKVGKAVTLECVSAGEPRSSARWTRISSTPAKLEQRTYGLMDSHAVLQISSAKPSDAGTYVCLAQNALGTAQKQVEVIVDTGAMAPGAPQVQAEEAELTVEAGHTATLRCSATGSPAPTIHWSKLRSPLPWQHRLEGDTLIIPRVAQQDSGQYICNATSPAGHAEATIILHVESPPYATTVPEHASVQAGETVQLQCLAHGTPPLTFQWSRVGSSLPGRATARNELLHFERAAPEDSGRYRCRVTNKVGSAEAFAQLLVQGPPGSLPATSIPAGSTPTVQVTPQLETKSIGASVEFHCAVPSDRGTQLRWFKEGGQLPPGHSVQDGVLRIQNLDQSCQGTYICQAHGPWGKAQASAQLVIQALPSVLINIRTSVQTVVVGHAVEFECLALGDPKPQVTWSKVGGHLRPGIVQSGGVVRIAHVELADAGQYRCTATNAAGTTQSHVLLLVQALPQISMPQEVRVPAGSAAVFPCIASGYPTPDISWSKLDGSLPPDSRLENNMLMLPSVRPQDAGTYVCTATNRQGKVKAFAHLQVPERVVPYFTQTPYSFLPLPTIKDAYRKFEIKITFRPDSADGMLLYNGQKRVPGSPTNLANRQPDFISFGLVGGRPEFRFDAGSGMATIRHPTPLALGHFHTVTLLRSLTQGSLIVGDLAPVNGTSQGKFQGLDLNEELYLGGYPDYGAIPKAGLSSGFIGCVRELRIQGEEIVFHDLNLTAHGISHCPTCRDRPCQNGGQCHDSESSSYVCVCPAGFTGSRCEHSQALHCHPEACGPDATCVNRPDGRGYTCRCHLGRSGLRCEEGVTVTTPSLSGAGSYLALPALTNTHHELRLDVEFKPLAPDGVLLFSGGKSGPVEDFVSLAMVGGHLEFRYELGSGLAVLRSAEPLALGRWHRVSAERLNKDGSLRVNGGRPVLRSSPGKSQGLNLHTLLYLGGVEPSVPLSPATNMSAHFRGCVGEVSVNGKRLDLTYSFLGSQGIGQCYDSSPCERQPCQHGATCMPAGEYEFQCLCRDGFKGDLCEHEENPCQLREPCLHGGTCQGTRCLCLPGFSGPRCQQGSGHGIAESDWHLEGSGGNDAPGQYGAYFHDDGFLAFPGHVFSRSLPEVPETIELEVRTSTASGLLLWQGVEVGEAGQGKDFISLGLQDGHLVFRYQLGSGEARLVSEDPINDGEWHRVTALREGRRGSIQVDGEELVSGRSPGPNVAVNAKGSVYIGGAPDVATLTGGRFSSGITGCVKNLVLHSARPGAPPPQPLDLQHRAQAGANTRPCPS
+>DECOY_sp|P98160|PGBM_HUMAN Basement membrane-specific heparan sulfate proteoglycan core protein OS=Homo sapiens OX=9606 GN=HSPG2 PE=1 SV=4
+SPCPRTNAGAQARHQLDLPQPPPAGPRASHLVLNKVCGTIGSSFRGGTLTAVDPAGGIYVSGKANVAVNPGPSRGSVLEEGDVQISGRRGERLATVRHWEGDNIPDESVLRAEGSGLQYRFVLHGDQLGLSIFDKGQGAEGVEVGQWLLLGSATSTRVELEITEPVEPLSRSFVHGPFALFGDDHFYAGYQGPADNGGSGELHWDSEAIGHGSGQQCRPGSFGPLCLCRTGQCTGGHLCPERLQCPNEEHECLDGKFGDRCLCQFEYEGAPMCTAGHQCPQRECPSSDYCQGIGQSGLFSYTLDLRKGNVSVEGVCGRFHASMNTAPSLPVSPEVGGLYLLTHLNLGQSKGPSSRLVPRGGNVRLSGDKNLREASVRHWRGLALPEASRLVALGSGLEYRFELHGGVMALSVFDEVPGSKGGSFLLVGDPALPKFEVDLRLEHHTNTLAPLALYSGAGSLSPTTVTVGEECRLGSRGLHCRCTYGRGDPRNVCTADPGCAEPHCHLAQSHECRSGTFGAPCVCVYSSSESDHCQGGNQCPRDRCTPCHSIGHATLNLDHFVIEEGQIRLERVCGIFGSSLGAKPIAGYDPYGGLYLEENLDLGQFKGQSTGNVPALDGVILSGQTLSRLLTVTHFHGLALPTPHRITAMGSGADFRFEPRGGVLGFSIFDPQRNALNTPSGPVRKQGNYLLMGDASDPRFTIKIEFKRYADKITPLPLFSYPTQTFYPVVREPVQLHAFAKVKGQRNTATCVYTGADQPRVSPLMLMNNELRSDPPLSGDLKSWSIDPTPYGSAICPFVAASGAPVRVEQPMSIQPLAQVLLLVHSQTTGAANTATCRYQGADALEVHAIRVVGGSQVIGPRLHGGVKSWTVQPKPDGLALCEFEVAHGVVVTQVSTRINILVSPLAQIVLQASAQAKGWPGHAQCIYTGQCSQDLNQIRLVGDQVSHGPPLQGGEKFWRLQTGRDSPVACHFEVSAGISKTELQPTVQVTPTSGAPISTAPLSGPPGQVLLQAFAEASGVKNTVRCRYRGSDEPAAREFHLLENRATARGPLSSGVRSWQFTLPPTGHALCQLQVTEGAQVSAHEPVTTAYPPSEVHLIITAEAHGAPSTANCIYQGSDQQAVRPIILTDGELRHQWPLPSRLKSWHITPAPSGTASCRLTATHGAEVTLEAEEAQVQPAGPAMAGTDVIVEVQKQATGLANQALCVYTGADSPKASSIQLVAHSDMLGYTRQELKAPTSSIRTWRASSRPEGASVCELTVAKGVKVWVPGEPLVSVTPPGHVSLNVVSQAVGYANSAVCRYTGHNSPRTGVITIISGNPSIHVNDELEQNRTKWELSIPAAGDHILCKFSADQGQQVTSSPPDISIVPSRLRYSSGESPPVTVTFSAEQEPGPGSAARCVYEGSDAPSVLHLRLQSGHTQHRAPLSGGRKYWTVQAHAQGPVVCNLDLTQGETVHSSSAEIYIPQALGPAPIPGPSSPEITVLVSAELTGSSGTVQCSYEGSDAPSVQNLRLLPGHVQHRAPLNGGRKHWTVQAHAQGPVVCKLDLTQGEAVRSSSPEIRIPPAGGPAPVHVASSGSAEITVLVSAELPGSSGMVRCVYEGSDAPSVHHLRLRSGRTQHHSPLSGGRKHWTVQAHAQGPVVCNLDLTEGEAVHSSSSEIRIPMSSGPASPSGASPSVSIVISAELADINNNARCVYEGSDAVSMQHLRLHSGHTQHRSPLSGGRKYWTIIAQPQRAVVCNLDLTQGEVVTPSSSEIRIPPSVSPVHSSGSGQITVILSTERSGAGNSVHCVYEGSDAPTVQPIRLRSGVIQHRSPLSGGRKYWTITHPAQSAVLCNLDLTHGNALSASSSEIRVPYAVGQSHSGSLRQQITVLVSAEQTGSSGVVRCVYEGSDAPTVQLLRLRSGHVQHRAPLSGGRKHWTVQAHAQGAVLCNLDLTQGEAVQSSSSEIRVTPTVGLAPVSGAPEITVLVSAELPVSSGLVRCVYEGSDAPSAQYLRLLSGHTQHRVPLSGGRKHWTVQAHSQGPVVCNLDLTQGEAVQSSSPEIRIPQTSGAPYALNAGQTGTVTVTISAEMGNSARCVYQGADAPSAQFIYLRSGRVQHRAPLSGGRKYWTVQAHAQGAVVCSLDLTQGEAVTSSSSEIRVPPIPGPIVSAEITVLVSAELPGSTGVVHCVYEGSDAPTVQHLRLLSGHTQHRAPLSGGRKHWTVQAHAQGPVVCNLDLTQGEAVHSSSPEIRIPRTSGPVPTYSPGSHTGHLVSVTISAEKPGSGNEVRCVYEGSDAPSVQPLRLRSGHVQTHPPLSGGRRYWTVQAHASGAVVCNLDLTQGETVSPSSSEIKVPPPSADSASLVVVQIRAQATGAPSTAVCLYFGADATTIAPILLTAIDTRESRAQPPLSGGEKRWTITASPVGAARCYLRVTRGAHVQTREPSVQVRPGGGGHVHLVARAVQQGASSHARCLYQAQDTPEVAPLRLIGGHIQAKAPLQGGPGGTWELTPTPSGTASCRFEALQGPQVTLQPPHISVVPASLTGSAQVHLTATGQDMAFMNSGTCVYTGADSLQVNRITLIGNFDMARTPLKGNHLRTWVLTYAPSKSKATCIFTVDAGPRVSQSRQEEVTVTIPKSPAETVLLEARSTNSQHLNRCTCIYVGADSPQVSPFHLESGQHRQQTGSPVPRGDERSWYFYHPPSGSVQCRLSHSGGQPVISRAPHVEVVLPAQNTEPLCQGGQVSPNGVYGPGCQECYQGTYGPECATCRYGGAGLSECTRSFMNEPNTLPCACPQCDEPTGATADGYYGPACLECFEGAANHQCQSCAGTEPHCLDSHGNCECLECHGLYLGSGTRTYGPACDQCSLGIYGPPCRCEEVELARPRNSPGPQAVELSVASISAALPVSSFTARILLEDLDALAMLLHERTAPQGDPRRWFEERFMIEYSRREPGQLAPQSAVLMINNGTIQVDPDSLPSGQPGATYSLTYRLKGGYAAVKDGQYTEPLQWYFSEHGLQAFNGFSLQAGEPVPEVTFEGTLRSNRQPNVLAFGQFDGPAFHTSILHRTYASSACQQTIGMCFCPLCGDPNSASLHFHHPRCHSCTLGEVQAKCQCQGAADCQSSVSGQPDCNCGIPGPVQSDRQCPQGQSPNGYYGPACRECHRGSHGPSCADCTPHGDTDLFCTHAAQGAAPDGYCPCLQCDQPTGRQADGYYGPQCQECRPGETHHQCGQCAGTEPECAESHGHCSCRECTGLYLGSPTRTYGTDCDQCSPGRYGPPCSCQEVELAPDQGTEEPVAVDMSIGSVRSEAPQQAYSARILLTDIGALAMLLHERTAPQGDPRQWAQERFPVIFTSPQGPSPEQAVHHELIINNGQLVVLPQGHLPTSGPQSRQTVTFRLEGGYSTVKDGLFRSPLSWFYPGSLLRHFSSFGLEGPTPSFIGENTTHTSAANTLSFHGPEESAGHLQARSWSSSTCHRSVGMCFCKLCGDPNRTSLHFSGDACENCLRGVVNNKCRCAEGSTGMSGREDCRVIEQNVPRCKGGPQIPNGEYGPACSECRRGTYGPACADCTAQGDTDLFCTDSFRRSADIYPCPCPRCSTATAKMADGFFGAKCKNCQPGETNHQCNLCHGYVPDCSSAHGNCNCGSCTGLYPGGPVRTFHADCSECSLGSYGIPCRCEEVSHARGHSTAHTVTTDMAIDSLGVSAMKTNYVTQILVAELSQLVQLLEARQVPRGSEHVWHEESFQVQRQNLAGPQTPTHGRSLLRYGAGMLVVDPRQVPELMGRALEYRVNYRLSGGYSDVKNGLFQEPLAWFSDHVLFRRSLDVLQFEHLSPDIQLQTSSLPPTGPQAPMTVNVGKFDDPQDFRLRIQDRFRRTSQCVSTIGFCFCPLCAASHELYFHGDPCPGRQPVLELVGDPIGFVMGRANMAECTYAGQDSEKVDRIILTGRGGESTVTVRPHSPIHGWNLRWNIIPTPVGIAVCTFTVTQGRSAQISERPPTVVQPPMCGFEDSRDPCDSEEDCHFSAPICMNTSVCRFQTPGCVEEPRKTPCNAEDTRDECDFDGDCRWLKLACHGNGCPFENPECPPPPGCDLEDSGDECDEQGDCLYDRPICHGNRCAAEQPGCPLPRVSGPLLPQPAHTVPPQRMITTEPRPPLSTTEVLLSFTPSIGLVPEECNLEDSMDRCDPRRDCRYELAVCENYSHCAFEAETCARPFQPVTGLRRFQFGQPSTVYSAVSGSSIVRLLMEQIQAGDANGESGVDLEVFVWGDLEKIFVVSVVQDGPIKLYESELTDVVAESVERFERSGADELQPSYEISRTFNVLARFYVMQFDGSGLDGSGLDDGSISDALMDEDDSLYSHTWRMQSATVTEIDEPLSLGDYARLGHTVALLRGHLLLALLLAGAARWGM
+>sp|P16112|PGCA_HUMAN Aggrecan core protein OS=Homo sapiens OX=9606 GN=ACAN PE=1 SV=3
+MTTLLWVFVTLRVITAAVTVETSDHDNSLSVSIPQPSPLRVLLGTSLTIPCYFIDPMHPVTTAPSTAPLAPRIKWSRVSKEKEVVLLVATEGRVRVNSAYQDKVSLPNYPAIPSDATLEVQSLRSNDSGVYRCEVMHGIEDSEATLEVVVKGIVFHYRAISTRYTLDFDRAQRACLQNSAIIATPEQLQAAYEDGFHQCDAGWLADQTVRYPIHTPREGCYGDKDEFPGVRTYGIRDTNETYDVYCFAEEMEGEVFYATSPEKFTFQEAANECRRLGARLATTGQLYLAWQAGMDMCSAGWLADRSVRYPISKARPNCGGNLLGVRTVYVHANQTGYPDPSSRYDAICYTGEDFVDIPENFFGVGGEEDITVQTVTWPDMELPLPRNITEGEARGSVILTVKPIFEVSPSPLEPEEPFTFAPEIGATAFAEVENETGEATRPWGFPTPGLGPATAFTSEDLVVQVTAVPGQPHLPGGVVFHYRPGPTRYSLTFEEAQQACLRTGAVIASPEQLQAAYEAGYEQCDAGWLRDQTVRYPIVSPRTPCVGDKDSSPGVRTYGVRPSTETYDVYCFVDRLEGEVFFATRLEQFTFQEALEFCESHNATLATTGQLYAAWSRGLDKCYAGWLADGSLRYPIVTPRPACGGDKPGVRTVYLYPNQTGLPDPLSRHHAFCFRGISAVPSPGEEEGGTPTSPSGVEEWIVTQVVPGVAAVPVEEETTAVPSGETTAILEFTTEPENQTEWEPAYTPVGTSPLPGILPTWPPTGAATEESTEGPSATEVPSASEEPSPSEVPFPSEEPSPSEEPFPSVRPFPSVELFPSEEPFPSKEPSPSEEPSASEEPYTPSPPVPSWTELPSSGEESGAPDVSGDFTGSGDVSGHLDFSGQLSGDRASGLPSGDLDSSGLTSTVGSGLPVESGLPSGDEERIEWPSTPTVGELPSGAEILEGSASGVGDLSGLPSGEVLETSASGVGDLSGLPSGEVLETTAPGVEDISGLPSGEVLETTAPGVEDISGLPSGEVLETTAPGVEDISGLPSGEVLETTAPGVEDISGLPSGEVLETTAPGVEDISGLPSGEVLETTAPGVEDISGLPSGEVLETAAPGVEDISGLPSGEVLETAAPGVEDISGLPSGEVLETAAPGVEDISGLPSGEVLETAAPGVEDISGLPSGEVLETAAPGVEDISGLPSGEVLETAAPGVEDISGLPSGEVLETAAPGVEDISGLPSGEVLETAAPGVEDISGLPSGEVLETAAPGVEDISGLPSGEVLETAAPGVEDISGLPSGEVLETAAPGVEDISGLPSGEVLETAAPGVEDISGLPSGEVLETAAPGVEDISGLPSGEVLETAAPGVEDISGLPSGEVLETAAPGVEDISGLPSGEVLETAAPGVEDISGLPSGEVLETTAPGVEEISGLPSGEVLETTAPGVDEISGLPSGEVLETTAPGVEEISGLPSGEVLETSTSAVGDLSGLPSGGEVLEISVSGVEDISGLPSGEVVETSASGIEDVSELPSGEGLETSASGVEDLSRLPSGEEVLEISASGFGDLSGLPSGGEGLETSASEVGTDLSGLPSGREGLETSASGAEDLSGLPSGKEDLVGSASGDLDLGKLPSGTLGSGQAPETSGLPSGFSGEYSGVDLGSGPPSGLPDFSGLPSGFPTVSLVDSTLVEVVTASTASELEGRGTIGISGAGEISGLPSSELDISGRASGLPSGTELSGQASGSPDVSGEIPGLFGVSGQPSGFPDTSGETSGVTELSGLSSGQPGISGEASGVLYGTSQPFGITDLSGETSGVPDLSGQPSGLPGFSGATSGVPDLVSGTTSGSGESSGITFVDTSLVEVAPTTFKEEEGLGSVELSGLPSGEADLSGKSGMVDVSGQFSGTVDSSGFTSQTPEFSGLPSGIAEVSGESSRAEIGSSLPSGAYYGSGTPSSFPTVSLVDRTLVESVTQAPTAQEAGEGPSGILELSGAHSGAPDMSGEHSGFLDLSGLQSGLIEPSGEPPGTPYFSGDFASTTNVSGESSVAMGTSGEASGLPEVTLITSEFVEGVTEPTISQELGQRPPVTHTPQLFESSGKVSTAGDISGATPVLPGSGVEVSSVPESSSETSAYPEAGFGASAAPEASREDSGSPDLSETTSAFHEANLERSSGLGVSGSTLTFQEGEASAAPEVSGESTTTSDVGTEAPGLPSATPTASGDRTEISGDLSGHTSQLGVVISTSIPESEWTQQTQRPAETHLEIESSSLLYSGEETHTVETATSPTDASIPASPEWKRESESTAAAPARSCAEEPCGAGTCKETEGHVICLCPPGYTGEHCNIDQEVCEEGWNKYQGHCYRHFPDRETWVDAERRCREQQSHLSSIVTPEEQEFVNNNAQDYQWIGLNDRTIEGDFRWSDGHPMQFENWRPNQPDNFFAAGEDCVVMIWHEKGEWNDVPCNYHLPFTCKKGTVACGEPPVVEHARTFGQKKDRYEINSLVRYQCTEGFVQRHMPTIRCQPSGHWEEPQITCTDPTTYKRRLQKRSSRHPRRSRPSTAH
+>DECOY_sp|P16112|PGCA_HUMAN Aggrecan core protein OS=Homo sapiens OX=9606 GN=ACAN PE=1 SV=3
+HATSPRSRRPHRSSRKQLRRKYTTPDTCTIQPEEWHGSPQCRITPMHRQVFGETCQYRVLSNIEYRDKKQGFTRAHEVVPPEGCAVTGKKCTFPLHYNCPVDNWEGKEHWIMVVCDEGAAFFNDPQNPRWNEFQMPHGDSWRFDGEITRDNLGIWQYDQANNNVFEQEEPTVISSLHSQQERCRREADVWTERDPFHRYCHGQYKNWGEECVEQDINCHEGTYGPPCLCIVHGETEKCTGAGCPEEACSRAPAAATSESERKWEPSAPISADTPSTATEVTHTEEGSYLLSSSEIELHTEAPRQTQQTWESEPISTSIVVGLQSTHGSLDGSIETRDGSATPTASPLGPAETGVDSTTTSEGSVEPAASAEGEQFTLTSGSVGLGSSRELNAEHFASTTESLDPSGSDERSAEPAASAGFGAEPYASTESSSEPVSSVEVGSGPLVPTAGSIDGATSVKGSSEFLQPTHTVPPRQGLEQSITPETVGEVFESTILTVEPLGSAEGSTGMAVSSEGSVNTTSAFDGSFYPTGPPEGSPEILGSQLGSLDLFGSHEGSMDPAGSHAGSLELIGSPGEGAEQATPAQTVSEVLTRDVLSVTPFSSPTGSGYYAGSPLSSGIEARSSEGSVEAIGSPLGSFEPTQSTFGSSDVTGSFQGSVDVMGSKGSLDAEGSPLGSLEVSGLGEEEKFTTPAVEVLSTDVFTIGSSEGSGSTTGSVLDPVGSTAGSFGPLGSPQGSLDPVGSTEGSLDTIGFPQSTGYLVGSAEGSIGPQGSSLGSLETVGSTEGSTDPFGSPQGSVGFLGPIEGSVDPSGSAQGSLETGSPLGSARGSIDLESSPLGSIEGAGSIGITGRGELESATSATVVEVLTSDVLSVTPFGSPLGSFDPLGSPPGSGLDVGSYEGSFGSPLGSTEPAQGSGLTGSPLKGLDLDGSASGVLDEKGSPLGSLDEAGSASTELGERGSPLGSLDTGVESASTELGEGGSPLGSLDGFGSASIELVEEGSPLRSLDEVGSASTELGEGSPLESVDEIGSASTEVVEGSPLGSIDEVGSVSIELVEGGSPLGSLDGVASTSTELVEGSPLGSIEEVGPATTELVEGSPLGSIEDVGPATTELVEGSPLGSIEEVGPATTELVEGSPLGSIDEVGPAATELVEGSPLGSIDEVGPAATELVEGSPLGSIDEVGPAATELVEGSPLGSIDEVGPAATELVEGSPLGSIDEVGPAATELVEGSPLGSIDEVGPAATELVEGSPLGSIDEVGPAATELVEGSPLGSIDEVGPAATELVEGSPLGSIDEVGPAATELVEGSPLGSIDEVGPAATELVEGSPLGSIDEVGPAATELVEGSPLGSIDEVGPAATELVEGSPLGSIDEVGPAATELVEGSPLGSIDEVGPAATELVEGSPLGSIDEVGPAATELVEGSPLGSIDEVGPAATELVEGSPLGSIDEVGPATTELVEGSPLGSIDEVGPATTELVEGSPLGSIDEVGPATTELVEGSPLGSIDEVGPATTELVEGSPLGSIDEVGPATTELVEGSPLGSIDEVGPATTELVEGSPLGSLDGVGSASTELVEGSPLGSLDGVGSASGELIEAGSPLEGVTPTSPWEIREEDGSPLGSEVPLGSGVTSTLGSSDLDGSPLGSARDGSLQGSFDLHGSVDGSGTFDGSVDPAGSEEGSSPLETWSPVPPSPTYPEESASPEESPSPEKSPFPEESPFLEVSPFPRVSPFPEESPSPEESPFPVESPSPEESASPVETASPGETSEETAAGTPPWTPLIGPLPSTGVPTYAPEWETQNEPETTFELIATTEGSPVATTEEEVPVAAVGPVVQTVIWEEVGSPSTPTGGEEEGPSPVASIGRFCFAHHRSLPDPLGTQNPYLYVTRVGPKDGGCAPRPTVIPYRLSGDALWGAYCKDLGRSWAAYLQGTTALTANHSECFELAEQFTFQELRTAFFVEGELRDVFCYVDYTETSPRVGYTRVGPSSDKDGVCPTRPSVIPYRVTQDRLWGADCQEYGAEYAAQLQEPSAIVAGTRLCAQQAEEFTLSYRTPGPRYHFVVGGPLHPQGPVATVQVVLDESTFATAPGLGPTPFGWPRTAEGTENEVEAFATAGIEPAFTFPEEPELPSPSVEFIPKVTLIVSGRAEGETINRPLPLEMDPWTVTQVTIDEEGGVGFFNEPIDVFDEGTYCIADYRSSPDPYGTQNAHVYVTRVGLLNGGCNPRAKSIPYRVSRDALWGASCMDMGAQWALYLQGTTALRAGLRRCENAAEQFTFKEPSTAYFVEGEMEEAFCYVDYTENTDRIGYTRVGPFEDKDGYCGERPTHIPYRVTQDALWGADCQHFGDEYAAQLQEPTAIIASNQLCARQARDFDLTYRTSIARYHFVIGKVVVELTAESDEIGHMVECRYVGSDNSRLSQVELTADSPIAPYNPLSVKDQYASNVRVRGETAVLLVVEKEKSVRSWKIRPALPATSPATTVPHMPDIFYCPITLSTGLLVRLPSPQPISVSLSNDHDSTEVTVAATIVRLTVFVWLLTTM
+>sp|Q8TBF2|PGFS_HUMAN Prostamide/prostaglandin F synthase OS=Homo sapiens OX=9606 GN=FAM213B PE=1 SV=1
+MSTVDLARVGACILKHAVTGEAVELRSLWREHACVVAGLRRFGCVVCRWIAQDLSSLAGLLDQHGVRLVGVGPEALGLQEFLDGDYFAGELYLDESKQLYKELGFKRYNSLSILPAALGKPVRDVAAKAKAVGIQGNLSGDLLQSGGLLVVSKGGDKVLLHFVQKSPGDYVPKEHILQVLGISAEVCASDPPQCDREV
+>DECOY_sp|Q8TBF2|PGFS_HUMAN Prostamide/prostaglandin F synthase OS=Homo sapiens OX=9606 GN=FAM213B PE=1 SV=1
+VERDCQPPDSACVEASIGLVQLIHEKPVYDGPSKQVFHLLVKDGGKSVVLLGGSQLLDGSLNGQIGVAKAKAAVDRVPKGLAAPLISLSNYRKFGLEKYLQKSEDLYLEGAFYDGDLFEQLGLAEPGVGVLRVGHQDLLGALSSLDQAIWRCVVCGFRRLGAVVCAHERWLSRLEVAEGTVAHKLICAGVRALDVTSM
+>sp|P35354|PGH2_HUMAN Prostaglandin G/H synthase 2 OS=Homo sapiens OX=9606 GN=PTGS2 PE=1 SV=2
+MLARALLLCAVLALSHTANPCCSHPCQNRGVCMSVGFDQYKCDCTRTGFYGENCSTPEFLTRIKLFLKPTPNTVHYILTHFKGFWNVVNNIPFLRNAIMSYVLTSRSHLIDSPPTYNADYGYKSWEAFSNLSYYTRALPPVPDDCPTPLGVKGKKQLPDSNEIVEKLLLRRKFIPDPQGSNMMFAFFAQHFTHQFFKTDHKRGPAFTNGLGHGVDLNHIYGETLARQRKLRLFKDGKMKYQIIDGEMYPPTVKDTQAEMIYPPQVPEHLRFAVGQEVFGLVPGLMMYATIWLREHNRVCDVLKQEHPEWGDEQLFQTSRLILIGETIKIVIEDYVQHLSGYHFKLKFDPELLFNKQFQYQNRIAAEFNTLYHWHPLLPDTFQIHDQKYNYQQFIYNNSILLEHGITQFVESFTRQIAGRVAGGRNVPPAVQKVSQASIDQSRQMKYQSFNEYRKRFMLKPYESFEELTGEKEMSAELEALYGDIDAVELYPALLVEKPRPDAIFGETMVEVGAPFSLKGLMGNVICSPAYWKPSTFGGEVGFQIINTASIQSLICNNVKGCPFTSFSVPDPELIKTVTINASSSRSGLDDINPTVLLKERSTEL
+>DECOY_sp|P35354|PGH2_HUMAN Prostaglandin G/H synthase 2 OS=Homo sapiens OX=9606 GN=PTGS2 PE=1 SV=2
+LETSREKLLVTPNIDDLGSRSSSANITVTKILEPDPVSFSTFPCGKVNNCILSQISATNIIQFGVEGGFTSPKWYAPSCIVNGMLGKLSFPAGVEVMTEGFIADPRPKEVLLAPYLEVADIDGYLAELEASMEKEGTLEEFSEYPKLMFRKRYENFSQYKMQRSQDISAQSVKQVAPPVNRGGAVRGAIQRTFSEVFQTIGHELLISNNYIFQQYNYKQDHIQFTDPLLPHWHYLTNFEAAIRNQYQFQKNFLLEPDFKLKFHYGSLHQVYDEIVIKITEGILILRSTQFLQEDGWEPHEQKLVDCVRNHERLWITAYMMLGPVLGFVEQGVAFRLHEPVQPPYIMEAQTDKVTPPYMEGDIIQYKMKGDKFLRLKRQRALTEGYIHNLDVGHGLGNTFAPGRKHDTKFFQHTFHQAFFAFMMNSGQPDPIFKRRLLLKEVIENSDPLQKKGKVGLPTPCDDPVPPLARTYYSLNSFAEWSKYGYDANYTPPSDILHSRSTLVYSMIANRLFPINNVVNWFGKFHTLIYHVTNPTPKLFLKIRTLFEPTSCNEGYFGTRTCDCKYQDFGVSMCVGRNQCPHSCCPNATHSLALVACLLLARALM
+>sp|P07205|PGK2_HUMAN Phosphoglycerate kinase 2 OS=Homo sapiens OX=9606 GN=PGK2 PE=1 SV=3
+MSLSKKLTLDKLDVRGKRVIMRVDFNVPMKKNQITNNQRIKASIPSIKYCLDNGAKAVVLMSHLGRPDGVPMPDKYSLAPVAVELKSLLGKDVLFLKDCVGAEVEKACANPAPGSVILLENLRFHVEEEGKGQDPSGKKIKAEPDKIEAFRASLSKLGDVYVNDAFGTAHRAHSSMVGVNLPHKASGFLMKKELDYFAKALENPVRPFLAILGGAKVADKIQLIKNMLDKVNEMIIGGGMAYTFLKVLNNMEIGASLFDEEGAKIVKDIMAKAQKNGVRITFPVDFVTGDKFDENAQVGKATVASGISPGWMGLDCGPESNKNHAQVVAQARLIVWNGPLGVFEWDAFAKGTKALMDEIVKATSKGCITVIGGGDTATCCAKWNTEDKVSHVSTGGGASLELLEGKILPGVEALSNM
+>DECOY_sp|P07205|PGK2_HUMAN Phosphoglycerate kinase 2 OS=Homo sapiens OX=9606 GN=PGK2 PE=1 SV=3
+MNSLAEVGPLIKGELLELSAGGGTSVHSVKDETNWKACCTATDGGGIVTICGKSTAKVIEDMLAKTGKAFADWEFVGLPGNWVILRAQAVVQAHNKNSEPGCDLGMWGPSIGSAVTAKGVQANEDFKDGTVFDVPFTIRVGNKQAKAMIDKVIKAGEEDFLSAGIEMNNLVKLFTYAMGGGIIMENVKDLMNKILQIKDAVKAGGLIALFPRVPNELAKAFYDLEKKMLFGSAKHPLNVGVMSSHARHATGFADNVYVDGLKSLSARFAEIKDPEAKIKKGSPDQGKGEEEVHFRLNELLIVSGPAPNACAKEVEAGVCDKLFLVDKGLLSKLEVAVPALSYKDPMPVGDPRGLHSMLVVAKAGNDLCYKISPISAKIRQNNTIQNKKMPVNFDVRMIVRKGRVDLKDLTLKKSLSM
+>sp|P36871|PGM1_HUMAN Phosphoglucomutase-1 OS=Homo sapiens OX=9606 GN=PGM1 PE=1 SV=3
+MVKIVTVKTQAYQDQKPGTSGLRKRVKVFQSSANYAENFIQSIISTVEPAQRQEATLVVGGDGRFYMKEAIQLIARIAAANGIGRLVIGQNGILSTPAVSCIIRKIKAIGGIILTASHNPGGPNGDFGIKFNISNGGPAPEAITDKIFQISKTIEEYAVCPDLKVDLGVLGKQQFDLENKFKPFTVEIVDSVEAYATMLRSIFDFSALKELLSGPNRLKIRIDAMHGVVGPYVKKILCEELGAPANSAVNCVPLEDFGGHHPDPNLTYAADLVETMKSGEHDFGAAFDGDGDRNMILGKHGFFVNPSDSVAVIAANIFSIPYFQQTGVRGFARSMPTSGALDRVASATKIALYETPTGWKFFGNLMDASKLSLCGEESFGTGSDHIREKDGLWAVLAWLSILATRKQSVEDILKDHWQKYGRNFFTRYDYEEVEAEGANKMMKDLEALMFDRSFVGKQFSANDKVYTVEKADNFEYSDPVDGSISRNQGLRLIFTDGSRIVFRLSGTGSAGATIRLYIDSYEKDVAKINQDPQVMLAPLISIALKVSQLQERTGRTAPTVIT
+>DECOY_sp|P36871|PGM1_HUMAN Phosphoglucomutase-1 OS=Homo sapiens OX=9606 GN=PGM1 PE=1 SV=3
+TIVTPATRGTREQLQSVKLAISILPALMVQPDQNIKAVDKEYSDIYLRITAGASGTGSLRFVIRSGDTFILRLGQNRSISGDVPDSYEFNDAKEVTYVKDNASFQKGVFSRDFMLAELDKMMKNAGEAEVEEYDYRTFFNRGYKQWHDKLIDEVSQKRTALISLWALVAWLGDKERIHDSGTGFSEEGCLSLKSADMLNGFFKWGTPTEYLAIKTASAVRDLAGSTPMSRAFGRVGTQQFYPISFINAAIVAVSDSPNVFFGHKGLIMNRDGDGDFAAGFDHEGSKMTEVLDAAYTLNPDPHHGGFDELPVCNVASNAPAGLEECLIKKVYPGVVGHMADIRIKLRNPGSLLEKLASFDFISRLMTAYAEVSDVIEVTFPKFKNELDFQQKGLVGLDVKLDPCVAYEEITKSIQFIKDTIAEPAPGGNSINFKIGFDGNPGGPNHSATLIIGGIAKIKRIICSVAPTSLIGNQGIVLRGIGNAAAIRAILQIAEKMYFRGDGGVVLTAEQRQAPEVTSIISQIFNEAYNASSQFVKVRKRLGSTGPKQDQYAQTKVTVIKVM
+>sp|Q96G03|PGM2_HUMAN Phosphoglucomutase-2 OS=Homo sapiens OX=9606 GN=PGM2 PE=1 SV=4
+MAAPEGSGLGEDARLDQETAQWLRWDKNSLTLEAVKRLIAEGNKEELRKCFGARMEFGTAGLRAAMGPGISRMNDLTIIQTTQGFCRYLEKQFSDLKQKGIVISFDARAHPSSGGSSRRFARLAATTFISQGIPVYLFSDITPTPFVPFTVSHLKLCAGIMITASHNPKQDNGYKVYWDNGAQIISPHDKGISQAIEENLEPWPQAWDDSLIDSSPLLHNPSASINNDYFEDLKKYCFHRSVNRETKVKFVHTSVHGVGHSFVQSAFKAFDLVPPEAVPEQKDPDPEFPTVKYPNPEEGKGVLTLSFALADKTKARIVLANDPDADRLAVAEKQDSGEWRVFSGNELGALLGWWLFTSWKEKNQDRSALKDTYMLSSTVSSKILRAIALKEGFHFEETLTGFKWMGNRAKQLIDQGKTVLFAFEEAIGYMCCPFVLDKDGVSAAVISAELASFLATKNLSLSQQLKAIYVEYGYHITKASYFICHDQETIKKLFENLRNYDGKNNYPKACGKFEISAIRDLTTGYDDSQPDKKAVLPTSKSSQMITFTFANGGVATMRTSGTEPKIKYYAELCAPPGNSDPEQLKKELNELVSAIEEHFFQPQKYNLQPKAD
+>DECOY_sp|Q96G03|PGM2_HUMAN Phosphoglucomutase-2 OS=Homo sapiens OX=9606 GN=PGM2 PE=1 SV=4
+DAKPQLNYKQPQFFHEEIASVLENLEKKLQEPDSNGPPACLEAYYKIKPETGSTRMTAVGGNAFTFTIMQSSKSTPLVAKKDPQSDDYGTTLDRIASIEFKGCAKPYNNKGDYNRLNEFLKKITEQDHCIFYSAKTIHYGYEVYIAKLQQSLSLNKTALFSALEASIVAASVGDKDLVFPCCMYGIAEEFAFLVTKGQDILQKARNGMWKFGTLTEEFHFGEKLAIARLIKSSVTSSLMYTDKLASRDQNKEKWSTFLWWGLLAGLENGSFVRWEGSDQKEAVALRDADPDNALVIRAKTKDALAFSLTLVGKGEEPNPYKVTPFEPDPDKQEPVAEPPVLDFAKFASQVFSHGVGHVSTHVFKVKTERNVSRHFCYKKLDEFYDNNISASPNHLLPSSDILSDDWAQPWPELNEEIAQSIGKDHPSIIQAGNDWYVKYGNDQKPNHSATIMIGACLKLHSVTFPVFPTPTIDSFLYVPIGQSIFTTAALRAFRRSSGGSSPHARADFSIVIGKQKLDSFQKELYRCFGQTTQIITLDNMRSIGPGMAARLGATGFEMRAGFCKRLEEKNGEAILRKVAELTLSNKDWRLWQATEQDLRADEGLGSGEPAAM
+>sp|Q15124|PGM5_HUMAN Phosphoglucomutase-like protein 5 OS=Homo sapiens OX=9606 GN=PGM5 PE=1 SV=2
+MEGSPIPVLTVPTAPYEDQRPAGGGGLRRPTGLFEGQRNYLPNFIQSVLSSIDLRDRQGCTMVVGSDGRYFSRTAIEIVVQMAAANGIGRLIIGQNGILSTPAVSCIIRKIKAAGGIILTASHCPGGPGGEFGVKFNVANGGPAPDVVSDKIYQISKTIEEYAICPDLRIDLSRLGRQEFDLENKFKPFRVEIVDPVDIYLNLLRTIFDFHAIKGLLTGPSQLKIRIDAMHGVMGPYVRKVLCDELGAPANSAINCVPLEDFGGQHPDPNLTYATTLLEAMKGGEYGFGAAFDADGDRYMILGQNGFFVSPSDSLAIIAANLSCIPYFRQMGVRGFGRSMPTSMALDRVAKSMKVPVYETPAGWRFFSNLMDSGRCNLCGEESFGTGSDHLREKDGLWAVLVWLSIIAARKQSVEEIVRDHWAKFGRHYYCRFDYEGLDPKTTYYIMRDLEALVTDKSFIGQQFAVGSHVYSVAKTDSFEYVDPVDGTVTKKQGLRIIFSDASRLIFRLSSSSGVRATLRLYAESYERDPSGHDQEPQAVLSPLIAIALKISQIHERTGRRGPTVIT
+>DECOY_sp|Q15124|PGM5_HUMAN Phosphoglucomutase-like protein 5 OS=Homo sapiens OX=9606 GN=PGM5 PE=1 SV=2
+TIVTPGRRGTREHIQSIKLAIAILPSLVAQPEQDHGSPDREYSEAYLRLTARVGSSSSLRFILRSADSFIIRLGQKKTVTGDVPDVYEFSDTKAVSYVHSGVAFQQGIFSKDTVLAELDRMIYYTTKPDLGEYDFRCYYHRGFKAWHDRVIEEVSQKRAAIISLWVLVAWLGDKERLHDSGTGFSEEGCLNCRGSDMLNSFFRWGAPTEYVPVKMSKAVRDLAMSTPMSRGFGRVGMQRFYPICSLNAAIIALSDSPSVFFGNQGLIMYRDGDADFAAGFGYEGGKMAELLTTAYTLNPDPHQGGFDELPVCNIASNAPAGLEDCLVKRVYPGMVGHMADIRIKLQSPGTLLGKIAHFDFITRLLNLYIDVPDVIEVRFPKFKNELDFEQRGLRSLDIRLDPCIAYEEITKSIQYIKDSVVDPAPGGNAVNFKVGFEGGPGGPCHSATLIIGGAAKIKRIICSVAPTSLIGNQGIILRGIGNAAAMQVVIEIATRSFYRGDSGVVMTCGQRDRLDISSLVSQIFNPLYNRQGEFLGTPRRLGGGGAPRQDEYPATPVTLVPIPSGEM
+>sp|A6NFU8|PGPIL_HUMAN Pyroglutamyl-peptidase 1-like protein OS=Homo sapiens OX=9606 GN=PGPEP1L PE=2 SV=4
+MKPRTLVELSKLGLGNETVVQLRTLELPVDYREAKRRVTGIWEDHQPQLVVHVGMDTAAKAIILEQSGKNQGYRDADIRSFWPEGGVCLPGSPDVLESGVCMKAVCKRVAVEGVDVIFSRDAGRYVCDYTYYLSLHHGKGCAALIHVPPLSRGLPASLLGRALRVIIQEMLEEVGKPKHRAQFEENSTMVLPAKGN
+>DECOY_sp|A6NFU8|PGPIL_HUMAN Pyroglutamyl-peptidase 1-like protein OS=Homo sapiens OX=9606 GN=PGPEP1L PE=2 SV=4
+NGKAPLVMTSNEEFQARHKPKGVEELMEQIIVRLARGLLSAPLGRSLPPVHILAACGKGHHLSLYYTYDCVYRGADRSFIVDVGEVAVRKCVAKMCVGSELVDPSGPLCVGGEPWFSRIDADRYGQNKGSQELIIAKAATDMGVHVVLQPQHDEWIGTVRRKAERYDVPLELTRLQVVTENGLGLKSLEVLTRPKM
+>sp|Q9NXJ5|PGPI_HUMAN Pyroglutamyl-peptidase 1 OS=Homo sapiens OX=9606 GN=PGPEP1 PE=1 SV=1
+MEQPRKAVVVTGFGPFGEHTVNASWIAVQELEKLGLGDSVDLHVYEIPVEYQTVQRLIPALWEKHSPQLVVHVGVSGMATTVTLEKCGHNKGYKGLDNCRFCPGSQCCVEDGPESIDSIIDMDAVCKRVTTLGLDVSVTISQDAGRYLCDFTYYTSLYQSHGRSAFVHVPPLGKPYNADQLGRALRAIIEEMLDLLEQSEGKINYCHKH
+>DECOY_sp|Q9NXJ5|PGPI_HUMAN Pyroglutamyl-peptidase 1 OS=Homo sapiens OX=9606 GN=PGPEP1 PE=1 SV=1
+HKHCYNIKGESQELLDLMEEIIARLARGLQDANYPKGLPPVHVFASRGHSQYLSTYYTFDCLYRGADQSITVSVDLGLTTVRKCVADMDIISDISEPGDEVCCQSGPCFRCNDLGKYGKNHGCKELTVTTAMGSVGVHVVLQPSHKEWLAPILRQVTQYEVPIEYVHLDVSDGLGLKELEQVAIWSANVTHEGFPGFGTVVVAKRPQEM
+>sp|O15173|PGRC2_HUMAN Membrane-associated progesterone receptor component 2 OS=Homo sapiens OX=9606 GN=PGRMC2 PE=1 SV=1
+MAAGDGDVKLGTLGSGSESSNDGGSESPGDAGAAAEGGGWAAAALALLTGGGEMLLNVALVALVLLGAYRLWVRWGRRGLGAGAGAGEESPATSLPRMKKRDFSLEQLRQYDGSRNPRILLAVNGKVFDVTKGSKFYGPAGPYGIFAGRDASRGLATFCLDKDALRDEYDDLSDLNAVQMESVREWEMQFKEKYDYVGRLLKPGEEPSEYTDEEDTKDHNKQD
+>DECOY_sp|O15173|PGRC2_HUMAN Membrane-associated progesterone receptor component 2 OS=Homo sapiens OX=9606 GN=PGRMC2 PE=1 SV=1
+DQKNHDKTDEEDTYESPEEGPKLLRGVYDYKEKFQMEWERVSEMQVANLDSLDDYEDRLADKDLCFTALGRSADRGAFIGYPGAPGYFKSGKTVDFVKGNVALLIRPNRSGDYQRLQELSFDRKKMRPLSTAPSEEGAGAGAGLGRRGWRVWLRYAGLLVLAVLAVNLLMEGGGTLLALAAAAWGGGEAAAGADGPSESGGDNSSESGSGLTGLKVDGDGAAM
+>sp|Q96LB9|PGRP3_HUMAN Peptidoglycan recognition protein 3 OS=Homo sapiens OX=9606 GN=PGLYRP3 PE=1 SV=1
+MGTLPWLLAFFILGLQAWDTPTIVSRKEWGARPLACRALLTLPVAYIITDQLPGMQCQQQSVCSQMLRGLQSHSVYTIGWCDVAYNFLVGDDGRVYEGVGWNIQGLHTQGYNNISLGIAFFGNKIGSSPSPAALSAAEGLISYAIQKGHLSPRYIQPLLLKEETCLDPQHPVMPRKVCPNIIKRSAWEARETHCPKMNLPAKYVIIIHTAGTSCTVSTDCQTVVRNIQSFHMDTRNFCDIGYHFLVGQDGGVYEGVGWHIQGSHTYGFNDIALGIAFIGYFVEKPPNAAALEAAQDLIQCAVVEGYLTPNYLLMGHSDVVNILSPGQALYNIISTWPHFKH
+>DECOY_sp|Q96LB9|PGRP3_HUMAN Peptidoglycan recognition protein 3 OS=Homo sapiens OX=9606 GN=PGLYRP3 PE=1 SV=1
+HKFHPWTSIINYLAQGPSLINVVDSHGMLLYNPTLYGEVVACQILDQAAELAAANPPKEVFYGIFAIGLAIDNFGYTHSGQIHWGVGEYVGGDQGVLFHYGIDCFNRTDMHFSQINRVVTQCDTSVTCSTGATHIIIVYKAPLNMKPCHTERAEWASRKIINPCVKRPMVPHQPDLCTEEKLLLPQIYRPSLHGKQIAYSILGEAASLAAPSPSSGIKNGFFAIGLSINNYGQTHLGQINWGVGEYVRGDDGVLFNYAVDCWGITYVSHSQLGRLMQSCVSQQQCQMGPLQDTIIYAVPLTLLARCALPRAGWEKRSVITPTDWAQLGLIFFALLWPLTGM
+>sp|Q96KR7|PHAR3_HUMAN Phosphatase and actin regulator 3 OS=Homo sapiens OX=9606 GN=PHACTR3 PE=1 SV=1
+MAASEDGSGCLVSRGRSQSDPSVLTDSSATSSADAGENPDEMDQTPPARPEYLVSGIRTPPVRRNSKLATLGRIFKPWKWRKKKNEKLKQTTSALEKKMAGRQGREELIKKGLLEMMEQDAESKTCNPDGGPRSVQSEPPTPKSETLTSEDAQPGSPLATGTDQVSLDKPLSSAAHLDDAAKMPSASSGEEADAGSLLPTTNELSQALAGADSLDSPPRPLERSVGQLPSPPLLPTPPPKASSKTTKNVTGQATLFQASSMKSADPSLRGQLSTPTGSPHLTTVHRPLPPSRVIEELHRALATKHRQDSFQGRESKGSPKKRLDVRLSRTSSVERGKEREEAWSFDGALENKRTAAKESEENKENLIINSELKDDLLLYQDEEALNDSIISGTLPRKCKKELLAVKLRNRPSKQELEDRNIFPRRTDEERQEIRQQIEMKLSKRLSQRPAVEELERRNILKQRNDQTEQEERREIKQRLTRKLNQRPTVDELRDRKILIRFSDYVEVAKAQDYDRRADKPWTRLSAADKAAIRKELNEYKSNEMEVHASSKHLTRFHRP
+>DECOY_sp|Q96KR7|PHAR3_HUMAN Phosphatase and actin regulator 3 OS=Homo sapiens OX=9606 GN=PHACTR3 PE=1 SV=1
+PRHFRTLHKSSAHVEMENSKYENLEKRIAAKDAASLRTWPKDARRDYDQAKAVEVYDSFRILIKRDRLEDVTPRQNLKRTLRQKIERREEQETQDNRQKLINRRELEEVAPRQSLRKSLKMEIQQRIEQREEDTRRPFINRDELEQKSPRNRLKVALLEKKCKRPLTGSIISDNLAEEDQYLLLDDKLESNIILNEKNEESEKAATRKNELAGDFSWAEEREKGREVSSTRSLRVDLRKKPSGKSERGQFSDQRHKTALARHLEEIVRSPPLPRHVTTLHPSGTPTSLQGRLSPDASKMSSAQFLTAQGTVNKTTKSSAKPPPTPLLPPSPLQGVSRELPRPPSDLSDAGALAQSLENTTPLLSGADAEEGSSASPMKAADDLHAASSLPKDLSVQDTGTALPSGPQADESTLTESKPTPPESQVSRPGGDPNCTKSEADQEMMELLGKKILEERGQRGAMKKELASTTQKLKENKKKRWKWPKFIRGLTALKSNRRVPPTRIGSVLYEPRAPPTQDMEDPNEGADASSTASSDTLVSPDSQSRGRSVLCGSGDESAAM
+>sp|Q8WUB8|PHF10_HUMAN PHD finger protein 10 OS=Homo sapiens OX=9606 GN=PHF10 PE=1 SV=3
+MAAAAGPGAALSPRPCDSDPATPGAQSPKDDNEDNSNDGTQPSKRRRMGSGDSSRSCETSSQDLGFSYYPAENLIEYKWPPDETGEYYMLQEQVSEYLGVTSFKRKYPDLERRDLSHKEKLYLRELNVITETQCTLGLTALRSDEVIDLMIKEYPAKHAEYSVILQEKERQRITDHYKEYSQMQQQNTQKVEASKVPEYIKKAAKKAAEFNSNLNRERMEERRAYFDLQTHVIQVPQGKYKVLPTERTKVSSYPVALIPGQFQEYYKRYSPDELRYLPLNTALYEPPLDPELPALDSDGDSDDGEDGRGDEKRKNKGTSDSSSGNVSEGESPPDSQEDSFQGRQKSKDKAATPRKDGPKRSVLSKSVPGYKPKVIPNAICGICLKGKESNKKGKAESLIHCSQCENSGHPSCLDMTMELVSMIKTYPWQCMECKTCIICGQPHHEEEMMFCDMCDRGYHTFCVGLGAIPSGRWICDCCQRAPPTPRKVGRRGKNSKEG
+>DECOY_sp|Q8WUB8|PHF10_HUMAN PHD finger protein 10 OS=Homo sapiens OX=9606 GN=PHF10 PE=1 SV=3
+GEKSNKGRRGVKRPTPPARQCCDCIWRGSPIAGLGVCFTHYGRDCMDCFMMEEEHHPQGCIICTKCEMCQWPYTKIMSVLEMTMDLCSPHGSNECQSCHILSEAKGKKNSEKGKLCIGCIANPIVKPKYGPVSKSLVSRKPGDKRPTAAKDKSKQRGQFSDEQSDPPSEGESVNGSSSDSTGKNKRKEDGRGDEGDDSDGDSDLAPLEPDLPPEYLATNLPLYRLEDPSYRKYYEQFQGPILAVPYSSVKTRETPLVKYKGQPVQIVHTQLDFYARREEMRERNLNSNFEAAKKAAKKIYEPVKSAEVKQTNQQQMQSYEKYHDTIRQREKEQLIVSYEAHKAPYEKIMLDIVEDSRLATLGLTCQTETIVNLERLYLKEKHSLDRRELDPYKRKFSTVGLYESVQEQLMYYEGTEDPPWKYEILNEAPYYSFGLDQSSTECSRSSDGSGMRRRKSPQTGDNSNDENDDKPSQAGPTAPDSDCPRPSLAAGPGAAAAM
+>sp|Q96QT6|PHF12_HUMAN PHD finger protein 12 OS=Homo sapiens OX=9606 GN=PHF12 PE=1 SV=2
+MWEKMETKTIVYDLDTSGGLMEQIQALLAPPKTDEAEKRSRKPEKEPRRSGRATNHDSCDSCKEGGDLLCCDHCPAAFHLQCCNPPLSEEMLPPGEWMCHRCTVRRKKREQKKELGHVNGLVDKSGKRTTSPSSDTDLLDRSASKTELKAIAHARILERRASRPGTPTSSASTETPTSEQNDVDEDIIDVDEEPVAAEPDYVQPQLRRPFELLIAAAMERNPTQFQLPNELTCTTALPGSSKRRRKEETTGKNVKKTQHELDHNGLVPLPVKVCFTCNRSCRVAPLIQCDYCPLLFHMDCLEPPLTAMPLGRWMCPNHIEHVVLNQKNMTLSNRCQVFDRFQDTVSQHVVKVDFLNRIHKKHPPNRRVLQSVKRRSLKVPDAIKSQYQFPPPLIAPAAIRDGELICNGIPEESQMHLLNSEHLATQAEQQEWLCSVVALQCSILKHLSAKQMPSHWDSEQTEKADIKPVIVTDSSVTTSLQTADKTPTPSHYPLSCPSGISTQNSLSCSPPHQSPALEDIGCSSCAEKSKKTPCGTANGPVNTEVKANGPHLYSSPTDSTDPRRLPGANTPLPGLSHRQGWPRPLTPPAAGGLQNHTVGIIVKTENATGPSSCPQRSLVPVPSLPPSIPSSCASIENTSTLQRKTVQSQIGPPLTDSRPLGSPPNATRVLTPPQAAGDGILATTANQRFSSPAPSSDGKVSPGTLSIGSALTVPSFPANSTAMVDLTNSLRAFMDVNGEIEINMLDEKLIKFLALQRIHQLFPSRVQPSPGSVGTHQLASGGHHIEVQRKEVQARAVFYPLLGLGGAVNMCYRTLYIGTGADMDVCLTNYGHCNYVSGKHACIFYDENTKHYELLNYSEHGTTVDNVLYSCDFSEKTPPTPPSSIVAKVQSVIRRRRHQKQDEEPSEEAAMMSSQAQGPQRRPCNCKASSSSLIGGSGAGWEGTALLHHGSYIKLGCLQFVFSITEFATKQPKGDASLLQDGVLAEKLSLKPHQGPVLRSNSVP
+>DECOY_sp|Q96QT6|PHF12_HUMAN PHD finger protein 12 OS=Homo sapiens OX=9606 GN=PHF12 PE=1 SV=2
+PVSNSRLVPGQHPKLSLKEALVGDQLLSADGKPQKTAFETISFVFQLCGLKIYSGHHLLATGEWGAGSGGILSSSSAKCNCPRRQPGQAQSSMMAAEESPEEDQKQHRRRRIVSQVKAVISSPPTPPTKESFDCSYLVNDVTTGHESYNLLEYHKTNEDYFICAHKGSVYNCHGYNTLCVDMDAGTGIYLTRYCMNVAGGLGLLPYFVARAQVEKRQVEIHHGGSALQHTGVSGPSPQVRSPFLQHIRQLALFKILKEDLMNIEIEGNVDMFARLSNTLDVMATSNAPFSPVTLASGISLTGPSVKGDSSPAPSSFRQNATTALIGDGAAQPPTLVRTANPPSGLPRSDTLPPGIQSQVTKRQLTSTNEISACSSPISPPLSPVPVLSRQPCSSPGTANETKVIIGVTHNQLGGAAPPTLPRPWGQRHSLGPLPTNAGPLRRPDTSDTPSSYLHPGNAKVETNVPGNATGCPTKKSKEACSSCGIDELAPSQHPPSCSLSNQTSIGSPCSLPYHSPTPTKDATQLSTTVSSDTVIVPKIDAKETQESDWHSPMQKASLHKLISCQLAVVSCLWEQQEAQTALHESNLLHMQSEEPIGNCILEGDRIAAPAILPPPFQYQSKIADPVKLSRRKVSQLVRRNPPHKKHIRNLFDVKVVHQSVTDQFRDFVQCRNSLTMNKQNLVVHEIHNPCMWRGLPMATLPPELCDMHFLLPCYDCQILPAVRCSRNCTFCVKVPLPVLGNHDLEHQTKKVNKGTTEEKRRRKSSGPLATTCTLENPLQFQTPNREMAAAILLEFPRRLQPQVYDPEAAVPEEDVDIIDEDVDNQESTPTETSASSTPTGPRSARRELIRAHAIAKLETKSASRDLLDTDSSPSTTRKGSKDVLGNVHGLEKKQERKKRRVTCRHCMWEGPPLMEESLPPNCCQLHFAAPCHDCCLLDGGEKCSDCSDHNTARGSRRPEKEPKRSRKEAEDTKPPALLAQIQEMLGGSTDLDYVITKTEMKEWM
+>sp|Q5T6S3|PHF19_HUMAN PHD finger protein 19 OS=Homo sapiens OX=9606 GN=PHF19 PE=1 SV=1
+MENRALDPGTRDSYGATSHLPNKGALAKVKNNFKDLMSKLTEGQYVLCRWTDGLYYLGKIKRVSSSKQSCLVTFEDNSKYWVLWKDIQHAGVPGEEPKCNICLGKTSGPLNEILICGKCGLGYHQQCHIPIAGSADQPLLTPWFCRRCIFALAVRKGGALKKGAIARTLQAVKMVLSYQPEELEWDSPHRTNQQQCYCYCGGPGEWYLRMLQCYRCRQWFHEACTQCLNEPMMFGDRFYLFFCSVCNQGPEYIERLPLRWVDVVHLALYNLGVQSKKKYFDFEEILAFVNHHWELLQLGKLTSTPVTDRGPHLLNALNSYKSRFLCGKEIKKKKCIFRLRIRVPPNPPGKLLPDKGLLPNENSASSELRKRGKSKPGLLPHEFQQQKRRVYRRKRSKFLLEDAIPSSDFTSAWSTNHHLASIFDFTLDEIQSLKSASSGQTFFSDVDSTDAASTSGSASTSLSYDSRWTVGSRKRKLAAKAYMPLRAKRWAAELDGRCPSDSSAEGASVPERPDEGIDSHTFESISEDDSSLSHLKSSITNYFGAAGRLACGEKYQVLARRVTPEGKVQYLVEWEGTTPY
+>DECOY_sp|Q5T6S3|PHF19_HUMAN PHD finger protein 19 OS=Homo sapiens OX=9606 GN=PHF19 PE=1 SV=1
+YPTTGEWEVLYQVKGEPTVRRALVQYKEGCALRGAAGFYNTISSKLHSLSSDDESISEFTHSDIGEDPREPVSAGEASSDSPCRGDLEAAWRKARLPMYAKAALKRKRSGVTWRSDYSLSTSASGSTSAADTSDVDSFFTQGSSASKLSQIEDLTFDFISALHHNTSWASTFDSSPIADELLFKSRKRRYVRRKQQQFEHPLLGPKSKGRKRLESSASNENPLLGKDPLLKGPPNPPVRIRLRFICKKKKIEKGCLFRSKYSNLANLLHPGRDTVPTSTLKGLQLLEWHHNVFALIEEFDFYKKKSQVGLNYLALHVVDVWRLPLREIYEPGQNCVSCFFLYFRDGFMMPENLCQTCAEHFWQRCRYCQLMRLYWEGPGGCYCYCQQQNTRHPSDWELEEPQYSLVMKVAQLTRAIAGKKLAGGKRVALAFICRRCFWPTLLPQDASGAIPIHCQQHYGLGCKGCILIENLPGSTKGLCINCKPEEGPVGAHQIDKWLVWYKSNDEFTVLCSQKSSSVRKIKGLYYLGDTWRCLVYQGETLKSMLDKFNNKVKALAGKNPLHSTAGYSDRTGPDLARNEM
+>sp|Q9BVI0|PHF20_HUMAN PHD finger protein 20 OS=Homo sapiens OX=9606 GN=PHF20 PE=1 SV=2
+MTKHPPNRRGISFEVGAQLEARDRLKNWYPAHIEDIDYEEGKVLIHFKRWNHRYDEWFCWDSPYLRPLEKIQLRKEGLHEEDGSSEFQINEQVLACWSDCRFYPAKVTAVNKDGTYTVKFYDGVVQTVKHIHVKAFSKDQNIVGNARPKETDHKSLSSSPDKREKFKEQRKATVNVKKDKEDKPLKTEKRPKQPDKEGKLICSEKGKVSEKSLPKNEKEDKENISENDREYSGDAQVDKKPENDIVKSPQENLREPKRKRGRPPSIAPTAVDSNSQTLQPITLELRRRKISKGCEVPLKRPRLDKNSSQEKSKNYSENTDKDLSRRRSSRLSTNGTHEILDPDLVVSDLVDTDPLQDTLSSTKESEEGQLKSALEAGQVSSALTCHSFGDGSGAAGLELNCPSMGENTMKTEPTSPLVELQEISTVEVTNTFKKTDDFGSSNAPAVDLDHKFRCKVVDCLKFFRKAKLLHYHMKYFHGMEKSLEPEESPGKRHVQTRGPSASDKPSQETLTRKRVSASSPTTKDKEKNKEKKFKEFVRVKPKKKKKKKKKTKPECPCSEEISDTSQEPSPPKAFAVTRCGSSHKPGVHMSPQLHGPESGHHKGKVKALEEDNLSESSSESFLWSDDEYGQDVDVTTNPDEELDGDDRYDFEVVRCICEVQEENDFMIQCEECQCWQHGVCMGLLEENVPEKYTCYVCQDPPGQRPGFKYWYDKEWLSRGHMHGLAFLEENYSHQNAKKIVATHQLLGDVQRVIEVLHGLQLKMSILQSREHPDLPLWCQPWKQHSGEGRSHFRNIPVTDTRSKEEAPSYRTLNGAVEKPRPLALPLPRSVEESYITSEHCYQKPRAYYPAVEQKLVVETRGSALDDAVNPLHENGDDSLSPRLGWPLDQDRSKGDSDPKPGSPKVKEYVSKKALPEEAPARKLLDRGGEGLLSSQHQWQFNLLTHVESLQDEVTHRMDSIEKELDVLESWLDYTGELEPPEPLARLPQLKHCIKQLLMDLGKVQQIALCCST
+>DECOY_sp|Q9BVI0|PHF20_HUMAN PHD finger protein 20 OS=Homo sapiens OX=9606 GN=PHF20 PE=1 SV=2
+TSCCLAIQQVKGLDMLLQKICHKLQPLRALPEPPELEGTYDLWSELVDLEKEISDMRHTVEDQLSEVHTLLNFQWQHQSSLLGEGGRDLLKRAPAEEPLAKKSVYEKVKPSGPKPDSDGKSRDQDLPWGLRPSLSDDGNEHLPNVADDLASGRTEVVLKQEVAPYYARPKQYCHESTIYSEEVSRPLPLALPRPKEVAGNLTRYSPAEEKSRTDTVPINRFHSRGEGSHQKWPQCWLPLDPHERSQLISMKLQLGHLVEIVRQVDGLLQHTAVIKKANQHSYNEELFALGHMHGRSLWEKDYWYKFGPRQGPPDQCVYCTYKEPVNEELLGMCVGHQWCQCEECQIMFDNEEQVECICRVVEFDYRDDGDLEEDPNTTVDVDQGYEDDSWLFSESSSESLNDEELAKVKGKHHGSEPGHLQPSMHVGPKHSSGCRTVAFAKPPSPEQSTDSIEESCPCEPKTKKKKKKKKKPKVRVFEKFKKEKNKEKDKTTPSSASVRKRTLTEQSPKDSASPGRTQVHRKGPSEEPELSKEMGHFYKMHYHLLKAKRFFKLCDVVKCRFKHDLDVAPANSSGFDDTKKFTNTVEVTSIEQLEVLPSTPETKMTNEGMSPCNLELGAAGSGDGFSHCTLASSVQGAELASKLQGEESEKTSSLTDQLPDTDVLDSVVLDPDLIEHTGNTSLRSSRRRSLDKDTNESYNKSKEQSSNKDLRPRKLPVECGKSIKRRRLELTIPQLTQSNSDVATPAISPPRGRKRKPERLNEQPSKVIDNEPKKDVQADGSYERDNESINEKDEKENKPLSKESVKGKESCILKGEKDPQKPRKETKLPKDEKDKKVNVTAKRQEKFKERKDPSSSLSKHDTEKPRANGVINQDKSFAKVHIHKVTQVVGDYFKVTYTGDKNVATVKAPYFRCDSWCALVQENIQFESSGDEEHLGEKRLQIKELPRLYPSDWCFWEDYRHNWRKFHILVKGEEYDIDEIHAPYWNKLRDRAELQAGVEFSIGRRNPPHKTM
+>sp|Q7RTV0|PHF5A_HUMAN PHD finger-like domain-containing protein 5A OS=Homo sapiens OX=9606 GN=PHF5A PE=1 SV=1
+MAKHHPDLIFCRKQAGVAIGRLCEKCDGKCVICDSYVRPCTLVRICDECNYGSYQGRCVICGGPGVSDAYYCKECTIQEKDRDGCPKIVNLGSSKTDLFYERKKYGFKKR
+>DECOY_sp|Q7RTV0|PHF5A_HUMAN PHD finger-like domain-containing protein 5A OS=Homo sapiens OX=9606 GN=PHF5A PE=1 SV=1
+RKKFGYKKREYFLDTKSSGLNVIKPCGDRDKEQITCEKCYYADSVGPGGCIVCRGQYSGYNCEDCIRVLTCPRVYSDCIVCKGDCKECLRGIAVGAQKRCFILDPHHKAM
+>sp|Q96FC7|PHIPL_HUMAN Phytanoyl-CoA hydroxylase-interacting protein-like OS=Homo sapiens OX=9606 GN=PHYHIPL PE=1 SV=3
+MEVPRLDHALNSPTSPCEEVIKNLSLEAIQLCDRDGNKSQDSGIAEMEELPVPHNIKISNITCDSFKISWEMDSKSKDRITHYFIDLNKKENKNSNKFKHKDVPTKLVAKAVPLPMTVRGHWFLSPRTEYTVAVQTASKQVDGDYVVSEWSEIIEFCTADYSKVHLTQLLEKAEVIAGRMLKFSVFYRNQHKEYFDYVREHHGNAMQPSVKDNSGSHGSPISGKLEGIFFSCSTEFNTGKPPQDSPYGRYRFEIAAEKLFNPNTNLYFGDFYCMYTAYHYVILVIAPVGSPGDEFCKQRLPQLNSKDNKFLTCTEEDGVLVYHHAQDVILEVIYTDPVDLSVGTVAEITGHQLMSLSTANAKKDPSCKTCNISVGR
+>DECOY_sp|Q96FC7|PHIPL_HUMAN Phytanoyl-CoA hydroxylase-interacting protein-like OS=Homo sapiens OX=9606 GN=PHYHIPL PE=1 SV=3
+RGVSINCTKCSPDKKANATSLSMLQHGTIEAVTGVSLDVPDTYIVELIVDQAHHYVLVGDEETCTLFKNDKSNLQPLRQKCFEDGPSGVPAIVLIVYHYATYMCYFDGFYLNTNPNFLKEAAIEFRYRGYPSDQPPKGTNFETSCSFFIGELKGSIPSGHSGSNDKVSPQMANGHHERVYDFYEKHQNRYFVSFKLMRGAIVEAKELLQTLHVKSYDATCFEIIESWESVVYDGDVQKSATQVAVTYETRPSLFWHGRVTMPLPVAKAVLKTPVDKHKFKNSNKNEKKNLDIFYHTIRDKSKSDMEWSIKFSDCTINSIKINHPVPLEEMEAIGSDQSKNGDRDCLQIAELSLNKIVEECPSTPSNLAHDLRPVEM
+>sp|Q8WV24|PHLA1_HUMAN Pleckstrin homology-like domain family A member 1 OS=Homo sapiens OX=9606 GN=PHLDA1 PE=1 SV=4
+MRRAPAAERLLELGFPPRCGRQEPPFPLGVTRGWGRWPIQKRREGARPVPFSERSQEDGRGPAARSSGTLWRIRTRLSLCRDPEPPPPLCLLRVSLLCALRAGGRGSRWGEDGARLLLLPPARAAGNGEAEPSGGPSYAGRMLESSGCKALKEGVLEKRSDGLLQLWKKKCCILTEEGLLLIPPKQLQHQQQQQQQQQQQQQQQPGQGPAEPSQPSGPAVASLEPPVKLKELHFSNMKTVDCVERKGKYMYFTVVMAEGKEIDFRCPQDQGWNAEITLQMVQYKNRQAILAVKSTRQKQQHLVQQQPPSQPQPQPQLQPQPQPQPQPQPQPQSQPQPQPQPKPQPQQLHPYPHPHPHPHSHPHSHPHPHPHPHPHQIPHPHPQPHSQPHGHRLLRSTSNSA
+>DECOY_sp|Q8WV24|PHLA1_HUMAN Pleckstrin homology-like domain family A member 1 OS=Homo sapiens OX=9606 GN=PHLDA1 PE=1 SV=4
+ASNSTSRLLRHGHPQSHPQPHPHPIQHPHPHPHPHPHSHPHSHPHPHPHPYPHLQQPQPKPQPQPQPQSQPQPQPQPQPQPQPQLQPQPQPQSPPQQQVLHQQKQRTSKVALIAQRNKYQVMQLTIEANWGQDQPCRFDIEKGEAMVVTFYMYKGKREVCDVTKMNSFHLEKLKVPPELSAVAPGSPQSPEAPGQGPQQQQQQQQQQQQQQQHQLQKPPILLLGEETLICCKKKWLQLLGDSRKELVGEKLAKCGSSELMRGAYSPGGSPEAEGNGAARAPPLLLLRAGDEGWRSGRGGARLACLLSVRLLCLPPPPEPDRCLSLRTRIRWLTGSSRAAPGRGDEQSRESFPVPRAGERRKQIPWRGWGRTVGLPFPPEQRGCRPPFGLELLREAAPARRM
+>sp|Q6NSJ2|PHLB3_HUMAN Pleckstrin homology-like domain family B member 3 OS=Homo sapiens OX=9606 GN=PHLDB3 PE=2 SV=3
+MGTRSSPEEGTPPPLVPECDVEVQPQGHPEESREQEASEVLAEPSSRGGAEQQAEEEEVGEGSSTESSRDAPEATPPIAMAATPPASTSSREGVRGAARRLQGQQLEALTRVALMEQRVKELQRQRKELRIEMEVEVALLRGELAGERVAARREEEQLRELLEQQAASEQRGRQQREQEQRRLSQERDRLEGLRQRLRKAQGQLDSQPEDQRERLLQGVQEMREQLDVAQRAYEDLEFQQLERESRQEEEDRDSPGPQVPDPKVQELQASMAQHRRGALQHRIRVLEEQLKSLGEQMAAESRGLSRKKEEALQALSQERSRLLELNCLQGTPGGDFSEPNPALTKLLFTQKTDRQLLVLQDAVAHSAATPTSSCLFSVHSSLQGSIGLQRTGSLPRKRGERGSQRGSPRPLSFHCTESLEASALPPAVGDSGRYPLYQLLNCGRGNSCGAIHPDIAHMERLLQQAMAERERLLKAREGTRRGTEGSSGPAVPAITAPPTPPHPPGPRILDLRQHLEGWGHNPENCPHVQVSGCCCRGPLVKMGGRIKTWRKRWFCFDRQARRLAYYADKEETKLKGVIYFQAIEEVYYDHLRCAFKSPNPRLTFCVKTYERLFYMVAPSPEAMRIWMDVIVTAADENHAP
+>DECOY_sp|Q6NSJ2|PHLB3_HUMAN Pleckstrin homology-like domain family B member 3 OS=Homo sapiens OX=9606 GN=PHLDB3 PE=2 SV=3
+PAHNEDAATVIVDMWIRMAEPSPAVMYFLREYTKVCFTLRPNPSKFACRLHDYYVEEIAQFYIVGKLKTEEKDAYYALRRAQRDFCFWRKRWTKIRGGMKVLPGRCCCGSVQVHPCNEPNHGWGELHQRLDLIRPGPPHPPTPPATIAPVAPGSSGETGRRTGERAKLLREREAMAQQLLREMHAIDPHIAGCSNGRGCNLLQYLPYRGSDGVAPPLASAELSETCHFSLPRPSGRQSGREGRKRPLSGTRQLGISGQLSSHVSFLCSSTPTAASHAVADQLVLLQRDTKQTFLLKTLAPNPESFDGGPTGQLCNLELLRSREQSLAQLAEEKKRSLGRSEAAMQEGLSKLQEELVRIRHQLAGRRHQAMSAQLEQVKPDPVQPGPSDRDEEEQRSERELQQFELDEYARQAVDLQERMEQVGQLLRERQDEPQSDLQGQAKRLRQRLGELRDREQSLRRQEQERQQRGRQESAAQQELLERLQEEERRAAVREGALEGRLLAVEVEMEIRLEKRQRQLEKVRQEMLAVRTLAELQQGQLRRAAGRVGERSSTSAPPTAAMAIPPTAEPADRSSETSSGEGVEEEEAQQEAGGRSSPEALVESAEQERSEEPHGQPQVEVDCEPVLPPPTGEEPSSRTGM
+>sp|Q9P1Y6|PHRF1_HUMAN PHD and RING finger domain-containing protein 1 OS=Homo sapiens OX=9606 GN=PHRF1 PE=1 SV=3
+MDDDSLDELVARSPGPDGHPQVGPADPAGDFEESSVGSSGDSGDDSDSEHGDGTDGEDEGASEEEDLEDRSGSEDSEDDGETLLEVAGTQGKLEAAGSFNSDDDAESCPICLNAFRDQAVGTPENCAHYFCLDCIVEWSKNANSCPVDRTLFKCICIRAQFGGKILRKIPVENTKASEEEEDPTFCEVCGRSDREDRLLLCDGCDAGYHMECLDPPLQEVPVDEWFCPECAAPGVVLAADAGPVSEEEVSLLLADVVPTTSRLRPRAGRTRAIARTRQSERVRATVNRNRISTARRVQHTPGRLGSSLLDEAIEAVATGLSTAVYQRPLTPRTPARRKRKTRRRKKVPGRKKTPSGPSAKSKSSATRSKKRQHRVKKRRGKKVKSEATTRSRIARTLGLRRPVHSSCIPSVLKPVEPSLGLLRADIGAASLSLFGDPYELDPFDSSEELSANPLSPLSAKRRALSRSALQSHQPVARPVSVGLSRRRLPAAVPEPDLEEEPVPDLLGSILSGQSLLMLGSSDVIIHRDGSLSAKRAAPVSFQRNSGSLSRGEEGFKGCLQPRALPSGSPAQGPSGNRPQSTGLSCQGRSRTPARTAGAPVRLDLPAAPGAVQARNLSNGSVPGFRQSHSPWFNGTNKHTLPLASAASKISSRDSKPPCRSVVPGPPLKPAPRRTDISELPRIPKIRRDDGGGRRDAAPAHGQSIEIPSACISRLTGREGTGQPGRGTRAESEASSRVPREPGVHTGSSRPPAPSSHGSLAPLGPSRGKGVGSTFESFRINIPGNMAHSSQLSSPGFCNTFRPVDDKEQRKENPSPLFSIKKTKQLRSEVYDPSDPTGSDSSAPGSSPERSGPGLLPSEITRTISINSPKAQTVQAVRCVTSYTVESIFGTEPEPPLGPSSAMSKLRGAVAAEGASDTEREEPTESQGLAARLRRPSPPEPWDEEDGASCSTFFGSEERTVTCVTVVEPEAPPSPDVLQAATHRVVELRPPSRSRSTSSSRSRKKAKRKRVSREHGRTRSGTRSESRDRSSRSASPSVGEERPRRQRSKAKSRRSSSDRSSSRERAKRKKAKDKSREHRRGPWGHSRRTSRSRSGSPGSSSYEHYESRKKKKRRSASRPRGRECSPTSSLERLCRHKHQRERSHERPDRKESVAWPRDRRKRRSRSPSSEHRAREHRRPRSREKWPQTRSHSPERKGAVREASPAPLAQGEPGREDLPTRLPALGEAHVSPEVATADKAPLQAPPVLEVAAECEPDDLDLDYGDSVEAGHVFDDFSSDAVFIQLDDMSSPPSPESTDSSPERDFPLKPALPPASLAVAAIQREVSLMHDEDPSQPPPLPEGTQEPHLLRPDAAEKAEAPSSPDVAPAGKEDSPSASGRVQEAARPEEVVSQTPLLRSRALVKRVTWNLQESESSAPAEDRAPRAPLHRPQKPREGAWDMEDVAPTGVRQVFSELPFPSHVLPEPGFPDTDPSQVYSPGLPPAPAQPSSIPPCALVSQPTVQFILQGSLPLVGCGAAQTLAPVPAALTPASEPASQATAASNSEEKTPAPRLAAEKTKKEEYMKKLHMQERAVEEVKLAIKPFYQKREVTKEEYKDILRKAVQKICHSKSGEINPVKVANLVKAYVDKYRHMRRHKKPEAGEEPPTQGAEG
+>DECOY_sp|Q9P1Y6|PHRF1_HUMAN PHD and RING finger domain-containing protein 1 OS=Homo sapiens OX=9606 GN=PHRF1 PE=1 SV=3
+GEAGQTPPEEGAEPKKHRRMHRYKDVYAKVLNAVKVPNIEGSKSHCIKQVAKRLIDKYEEKTVERKQYFPKIALKVEEVAREQMHLKKMYEEKKTKEAALRPAPTKEESNSAATAQSAPESAPTLAAPVPALTQAAGCGVLPLSGQLIFQVTPQSVLACPPISSPQAPAPPLGPSYVQSPDTDPFGPEPLVHSPFPLESFVQRVGTPAVDEMDWAGERPKQPRHLPARPARDEAPASSESEQLNWTVRKVLARSRLLPTQSVVEEPRAAEQVRGSASPSDEKGAPAVDPSSPAEAKEAADPRLLHPEQTGEPLPPPQSPDEDHMLSVERQIAAVALSAPPLAPKLPFDREPSSDTSEPSPPSSMDDLQIFVADSSFDDFVHGAEVSDGYDLDLDDPECEAAVELVPPAQLPAKDATAVEPSVHAEGLAPLRTPLDERGPEGQALPAPSAERVAGKREPSHSRTQPWKERSRPRRHERARHESSPSRSRRKRRDRPWAVSEKRDPREHSRERQHKHRCLRELSSTPSCERGRPRSASRRKKKKRSEYHEYSSSGPSGSRSRSTRRSHGWPGRRHERSKDKAKKRKARERSSSRDSSSRRSKAKSRQRRPREEGVSPSASRSSRDRSESRTGSRTRGHERSVRKRKAKKRSRSSSTSRSRSPPRLEVVRHTAAQLVDPSPPAEPEVVTVCTVTREESGFFTSCSAGDEEDWPEPPSPRRLRAALGQSETPEERETDSAGEAAVAGRLKSMASSPGLPPEPETGFISEVTYSTVCRVAQVTQAKPSNISITRTIESPLLGPGSREPSSGPASSDSGTPDSPDYVESRLQKTKKISFLPSPNEKRQEKDDVPRFTNCFGPSSLQSSHAMNGPINIRFSEFTSGVGKGRSPGLPALSGHSSPAPPRSSGTHVGPERPVRSSAESEARTGRGPQGTGERGTLRSICASPIEISQGHAPAADRRGGGDDRRIKPIRPLESIDTRRPAPKLPPGPVVSRCPPKSDRSSIKSAASALPLTHKNTGNFWPSHSQRFGPVSGNSLNRAQVAGPAAPLDLRVPAGATRAPTRSRGQCSLGTSQPRNGSPGQAPSGSPLARPQLCGKFGEEGRSLSGSNRQFSVPAARKASLSGDRHIIVDSSGLMLLSQGSLISGLLDPVPEEELDPEPVAAPLRRRSLGVSVPRAVPQHSQLASRSLARRKASLPSLPNASLEESSDFPDLEYPDGFLSLSAAGIDARLLGLSPEVPKLVSPICSSHVPRRLGLTRAIRSRTTAESKVKKGRRKKVRHQRKKSRTASSKSKASPGSPTKKRGPVKKRRRTKRKRRAPTRPTLPRQYVATSLGTAVAEIAEDLLSSGLRGPTHQVRRATSIRNRNVTARVRESQRTRAIARTRGARPRLRSTTPVVDALLLSVEEESVPGADAALVVGPAACEPCFWEDVPVEQLPPDLCEMHYGADCGDCLLLRDERDSRGCVECFTPDEEEESAKTNEVPIKRLIKGGFQARICICKFLTRDVPCSNANKSWEVICDLCFYHACNEPTGVAQDRFANLCIPCSEADDDSNFSGAAELKGQTGAVELLTEGDDESDESGSRDELDEEESAGEDEGDTGDGHESDSDDGSDGSSGVSSEEFDGAPDAPGVQPHGDPGPSRAVLEDLSDDDM
+>sp|Q9UMS5|PHTF1_HUMAN Putative homeodomain transcription factor 1 OS=Homo sapiens OX=9606 GN=PHTF1 PE=2 SV=2
+MASNERDAISWYQKKIGAYDQQIWEKSIEQTQIKGLKNKPKKMGHIKPDLIDVDLIRGSTFAKAKPEIPWTSLTRKGLVRVVFFPLFSNWWIQVTSLRIFVWLLLLYFMQVIAIVLYLMMPIVNISEVLGPLCLMLLMGTVHCQIVSTQITRPSGNNGNRRRRKLRKTVNGDGSRENGNNSSDKVRGIETLESVPIIGGFWETIFGNRIKRVKLISNKGTETDNDPSCVHPIIKRRQCRPEIRMWQTREKAKFSDGEKCRREAFRRLGNGVSDDLSSEEDGEARTQMILLRRSVEGASSDNGCEVKNRKSILSRHLNSQVKKTTTRWCHIVRDSDSLAESEFESAAFSQGSRSGVSGGSRSLNMSRRDSESTRHDSETEDMLWDDLLHGPECRSSVTSDSEGAHVNTLHSGTKRDPKEDVFQQNHLFWLQNSSPSSDRVSAIIWEGNECKKMDMSVLEISGIIMSRVNAYQQGVGYQMLGNVVTIGLAFFPFLHRLFREKSLDQLKSISAEEILTLFCGAPPVTPIIVLSIINFFERLCLTWMFFFMMCVAERTYKQRFLFAKLFSHITSARKARKYEIPHFRLKKVENIKIWLSLRSYLKRRGPQRSVDVVVSSVFLLTLSIAFICCAQVLQGHKTFLNDAYNWEFLIWETALLLFLLRLASLGSETNKKYSNVSILLTEQINLYLKMEKKPNKKEQLTLVNNVLKLSTKLLKELDTPFRLYGLTMNPLIYNITRVVILSAVSGVISDLLGFNIRLWKIKS
+>DECOY_sp|Q9UMS5|PHTF1_HUMAN Putative homeodomain transcription factor 1 OS=Homo sapiens OX=9606 GN=PHTF1 PE=2 SV=2
+SKIKWLRINFGLLDSIVGSVASLIVVRTINYILPNMTLGYLRFPTDLEKLLKTSLKLVNNVLTLQEKKNPKKEMKLYLNIQETLLISVNSYKKNTESGLSALRLLFLLLATEWILFEWNYADNLFTKHGQLVQACCIFAISLTLLFVSSVVVDVSRQPGRRKLYSRLSLWIKINEVKKLRFHPIEYKRAKRASTIHSFLKAFLFRQKYTREAVCMMFFFMWTLCLREFFNIISLVIIPTVPPAGCFLTLIEEASISKLQDLSKERFLRHLFPFFALGITVVNGLMQYGVGQQYANVRSMIIGSIELVSMDMKKCENGEWIIASVRDSSPSSNQLWFLHNQQFVDEKPDRKTGSHLTNVHAGESDSTVSSRCEPGHLLDDWLMDETESDHRTSESDRRSMNLSRSGGSVGSRSGQSFAASEFESEALSDSDRVIHCWRTTTKKVQSNLHRSLISKRNKVECGNDSSAGEVSRRLLIMQTRAEGDEESSLDDSVGNGLRRFAERRCKEGDSFKAKERTQWMRIEPRCQRRKIIPHVCSPDNDTETGKNSILKVRKIRNGFITEWFGGIIPVSELTEIGRVKDSSNNGNERSGDGNVTKRLKRRRRNGNNGSPRTIQTSVIQCHVTGMLLMLCLPGLVESINVIPMMLYLVIAIVQMFYLLLLWVFIRLSTVQIWWNSFLPFFVVRVLGKRTLSTWPIEPKAKAFTSGRILDVDILDPKIHGMKKPKNKLGKIQTQEISKEWIQQDYAGIKKQYWSIADRENSAM
+>sp|Q8N3S3|PHTF2_HUMAN Putative homeodomain transcription factor 2 OS=Homo sapiens OX=9606 GN=PHTF2 PE=2 SV=2
+MASKVTDAIVWYQKKEFLSVATTAPGPQQVLPGYCQCSLKDQGLFIQCLIGAYDQQIWEKSVEQREIKFIKLGLRNKPKKTAHVKPDLIDVDLVRGSAFAKAKPESPWTSLTRKGIVRVVFFPFFFRWWLQVTSKVIFFWLLVLYLLQVAAIVLFCSTSSPHSIPLTEVIGPIWLMLLLGTVHCQIVSTRTPKPPLSTGGKRRRKLRKAAHLEVHREGDGSSTTDNTQEGAVQNHGTSTSHSVGTVFRDLWHAAFFLSGSKKAKNSIDKSTETDNGYVSLDGKKTVKSGEDGIQNHEPQCETIRPEETAWNTGTLRNGPSKDTQRTITNVSDEVSSEEGPETGYSLRRHVDRTSEGVLRNRKSHHYKKHYPNEDAPKSGTSCSSRCSSSRQDSESARPESETEDVLWEDLLHCAECHSSCTSETDVENHQINPCVKKEYRDDPFHQSHLPWLHSSHPGLEKISAIVWEGNDCKKADMSVLEISGMIMNRVNSHIPGIGYQIFGNAVSLILGLTPFVFRLSQATDLEQLTAHSASELYVIAFGSNEDVIVLSMVIISFVVRVSLVWIFFFLLCVAERTYKQRLLFAKLFGHLTSARRARKSEVPHFRLKKVQNIKMWLSLRSYLKRRGPQRSVDVIVSSAFLLTISVVFICCAQLLHVHEIFLDCHYNWELVIWCISLTLFLLRFVTLGSETSKKYSNTSILLTEQINLYLKMEKKPNKKEELTLVNNVLKLATKLLKELDSPFRLYGLTMNPLLYNITQVVILSAVSGVISDLLGFNLKLWKIKS
+>DECOY_sp|Q8N3S3|PHTF2_HUMAN Putative homeodomain transcription factor 2 OS=Homo sapiens OX=9606 GN=PHTF2 PE=2 SV=2
+SKIKWLKLNFGLLDSIVGSVASLIVVQTINYLLPNMTLGYLRFPSDLEKLLKTALKLVNNVLTLEEKKNPKKEMKLYLNIQETLLISTNSYKKSTESGLTVFRLLFLTLSICWIVLEWNYHCDLFIEHVHLLQACCIFVVSITLLFASSVIVDVSRQPGRRKLYSRLSLWMKINQVKKLRFHPVESKRARRASTLHGFLKAFLLRQKYTREAVCLLFFFIWVLSVRVVFSIIVMSLVIVDENSGFAIVYLESASHATLQELDTAQSLRFVFPTLGLILSVANGFIQYGIGPIHSNVRNMIMGSIELVSMDAKKCDNGEWVIASIKELGPHSSHLWPLHSQHFPDDRYEKKVCPNIQHNEVDTESTCSSHCEACHLLDEWLVDETESEPRASESDQRSSSCRSSCSTGSKPADENPYHKKYHHSKRNRLVGESTRDVHRRLSYGTEPGEESSVEDSVNTITRQTDKSPGNRLTGTNWATEEPRITECQPEHNQIGDEGSKVTKKGDLSVYGNDTETSKDISNKAKKSGSLFFAAHWLDRFVTGVSHSTSTGHNQVAGEQTNDTTSSGDGERHVELHAAKRLKRRRKGGTSLPPKPTRTSVIQCHVTGLLLMLWIPGIVETLPISHPSSTSCFLVIAAVQLLYLVLLWFFIVKSTVQLWWRFFFPFFVVRVIGKRTLSTWPSEPKAKAFASGRVLDVDILDPKVHATKKPKNRLGLKIFKIERQEVSKEWIQQDYAGILCQIFLGQDKLSCQCYGPLVQQPGPATTAVSLFEKKQYWVIADTVKSAM
+>sp|P43119|PI2R_HUMAN Prostacyclin receptor OS=Homo sapiens OX=9606 GN=PTGIR PE=1 SV=1
+MADSCRNLTYVRGSVGPATSTLMFVAGVVGNGLALGILSARRPARPSAFAVLVTGLAATDLLGTSFLSPAVFVAYARNSSLLGLARGGPALCDAFAFAMTFFGLASMLILFAMAVERCLALSHPYLYAQLDGPRCARLALPAIYAFCVLFCALPLLGLGQHQQYCPGSWCFLRMRWAQPGGAAFSLAYAGLVALLVAAIFLCNGSVTLSLCRMYRQQKRHQGSLGPRPRTGEDEVDHLILLALMTVVMAVCSLPLTIRCFTQAVAPDSSSEMGDLLAFRFYAFNPILDPWVFILFRKAVFQRLKLWVCCLCLGPAHGDSQTPLSQLASGRRDPRAPSAPVGKEGSCVPLSAWGEGQVEPLPPTQQSSGSAVGTSSKAEASVACSLC
+>DECOY_sp|P43119|PI2R_HUMAN Prostacyclin receptor OS=Homo sapiens OX=9606 GN=PTGIR PE=1 SV=1
+CLSCAVSAEAKSSTGVASGSSQQTPPLPEVQGEGWASLPVCSGEKGVPASPARPDRRGSALQSLPTQSDGHAPGLCLCCVWLKLRQFVAKRFLIFVWPDLIPNFAYFRFALLDGMESSSDPAVAQTFCRITLPLSCVAMVVTMLALLILHDVEDEGTRPRPGLSGQHRKQQRYMRCLSLTVSGNCLFIAAVLLAVLGAYALSFAAGGPQAWRMRLFCWSGPCYQQHQGLGLLPLACFLVCFAYIAPLALRACRPGDLQAYLYPHSLALCREVAMAFLILMSALGFFTMAFAFADCLAPGGRALGLLSSNRAYAVFVAPSLFSTGLLDTAALGTVLVAFASPRAPRRASLIGLALGNGVVGAVFMLTSTAPGVSGRVYTLNRCSDAM
+>sp|O14986|PI51B_HUMAN Phosphatidylinositol 4-phosphate 5-kinase type-1 beta OS=Homo sapiens OX=9606 GN=PIP5K1B PE=1 SV=2
+MSSAAENGEAAPGKQNEEKTYKKTASSAIKGAIQLGIGYTVGNLTSKPERDVLMQDFYVVESVFLPSEGSNLTPAHHYPDFRFKTYAPLAFRYFRELFGIKPDDYLYSICSEPLIELSNPGASGSLFFVTSDDEFIIKTVQHKEAEFLQKLLPGYYMNLNQNPRTLLPKFYGLYCMQSGGINIRIVVMNNVLPRSMRMHFTYDLKGSTYKRRASRKEREKSNPTFKDLDFLQDMHEGLYFDTETYNALMKTLQRDCRVLESFKIMDYSLLLGIHFLDHSLKEKEEETPQNVPDAKRTGMQKVLYSTAMESIQGPGKSGDGIITENPDTMGGIPAKSHRGEKLLLFMGIIDILQSYRLMKKLEHSWKALVYDGDTVSVHRPSFYADRFLKFMNSRVFKKIQALKASPSKKRCNSIAALKATSQEIVSSISQEWKDEKRDLLTEGQSFSSLDEEALGSRHRPDLVPSTPSLFEAASLATTISSSSLYVNEHYPHDRPTLYSNSKGLPSSSTFTLEEGTIYLTAEPNTLEVQDDNASVLDVYL
+>DECOY_sp|O14986|PI51B_HUMAN Phosphatidylinositol 4-phosphate 5-kinase type-1 beta OS=Homo sapiens OX=9606 GN=PIP5K1B PE=1 SV=2
+LYVDLVSANDDQVELTNPEATLYITGEELTFTSSSPLGKSNSYLTPRDHPYHENVYLSSSSITTALSAAEFLSPTSPVLDPRHRSGLAEEDLSSFSQGETLLDRKEDKWEQSISSVIEQSTAKLAAISNCRKKSPSAKLAQIKKFVRSNMFKLFRDAYFSPRHVSVTDGDYVLAKWSHELKKMLRYSQLIDIIGMFLLLKEGRHSKAPIGGMTDPNETIIGDGSKGPGQISEMATSYLVKQMGTRKADPVNQPTEEEKEKLSHDLFHIGLLLSYDMIKFSELVRCDRQLTKMLANYTETDFYLGEHMDQLFDLDKFTPNSKEREKRSARRKYTSGKLDYTFHMRMSRPLVNNMVVIRINIGGSQMCYLGYFKPLLTRPNQNLNMYYGPLLKQLFEAEKHQVTKIIFEDDSTVFFLSGSAGPNSLEILPESCISYLYDDPKIGFLERFYRFALPAYTKFRFDPYHHAPTLNSGESPLFVSEVVYFDQMLVDREPKSTLNGVTYGIGLQIAGKIASSATKKYTKEENQKGPAAEGNEAASSM
+>sp|Q15735|PI5PA_HUMAN Phosphatidylinositol 4,5-bisphosphate 5-phosphatase A OS=Homo sapiens OX=9606 GN=INPP5J PE=1 SV=3
+MEGQSSRGSRRPGTRAGLGSLPMPQGVAQTGAPSKVDSSFQLPAKKNAALGPSEPRLALAPVGPRAAMSASSEGPRLALASPRPILAPLCTPEGQKTATAHRSSSLAPTSVGQLVMSASAGPKPPPATTGSVLAPTSLGLVMPASAGPRSPPVTLGPNLAPTSRDQKQEPPASVGPKPTLAASGLSLALASEEQPPELPSTPSPVPSPVLSPTQEQALAPASTASGAASVGQTSARKRDAPAPRPLPASEGHLQPPAQTSGPTGSPPCIQTSPDPRLSPSFRARPEALHSSPEDPVLPRPPQTLPLDVGQGPSEPGTHSPGLLSPTFRPGAPSGQTVPPPLPKPPRSPSRSPSHSPNRSPCVPPAPDMALPRLGTQSTGPGRCLSPNLQAQEAPAPVTTSSSTSTLSSSPWSAQPTWKSDPGFRITVVTWNVGTAMPPDDVTSLLHLGGGDDSDGADMIAIGLQEVNSMLNKRLKDALFTDQWSELFMDALGPFNFVLVSSVRMQGVILLLFAKYYHLPFLRDVQTDCTRTGLGGYWGNKGGVSVRLAAFGHMLCFLNCHLPAHMDKAEQRKDNFQTILSLQQFQGPGAQGILDHDLVFWFGDLNFRIESYDLHFVKFAIDSDQLHQLWEKDQLNMAKNTWPILKGFQEGPLNFAPTFKFDVGTNKYDTSAKKRKPAWTDRILWKVKAPGGGPSPSGRKSHRLQVTQHSYRSHMEYTVSDHKPVAAQFLLQFAFRDDMPLVRLEVADEWVRPEQAVVRYRMETVFARSSWDWIGLYRVGFRHCKDYVAYVWAKHEDVDGNTYQVTFSEESLPKGHGDFILGYYSHNHSILIGITEPFQISLPSSELASSSTDSSGTSSEGEDDSTLELLAPKSRSPSPGKSKRHRSRSPGLARFPGLALRPSSRERRGASRSPSPQSRRLSRVAPDRSSNGSSRGSSEEGPSGLPGPWAFPPAVPRSLGLLPALRLETVDPGGGGSWGPDREALAPNSLSPSPQGHRGLEEGGLGP
+>DECOY_sp|Q15735|PI5PA_HUMAN Phosphatidylinositol 4,5-bisphosphate 5-phosphatase A OS=Homo sapiens OX=9606 GN=INPP5J PE=1 SV=3
+PGLGGEELGRHGQPSPSLSNPALAERDPGWSGGGGPDVTELRLAPLLGLSRPVAPPFAWPGPLGSPGEESSGRSSGNSSRDPAVRSLRRSQPSPSRSAGRRERSSPRLALGPFRALGPSRSRHRKSKGPSPSRSKPALLELTSDDEGESSTGSSDTSSSALESSPLSIQFPETIGILISHNHSYYGLIFDGHGKPLSEESFTVQYTNGDVDEHKAWVYAVYDKCHRFGVRYLGIWDWSSRAFVTEMRYRVVAQEPRVWEDAVELRVLPMDDRFAFQLLFQAAVPKHDSVTYEMHSRYSHQTVQLRHSKRGSPSPGGGPAKVKWLIRDTWAPKRKKASTDYKNTGVDFKFTPAFNLPGEQFGKLIPWTNKAMNLQDKEWLQHLQDSDIAFKVFHLDYSEIRFNLDGFWFVLDHDLIGQAGPGQFQQLSLITQFNDKRQEAKDMHAPLHCNLFCLMHGFAALRVSVGGKNGWYGGLGTRTCDTQVDRLFPLHYYKAFLLLIVGQMRVSSVLVFNFPGLADMFLESWQDTFLADKLRKNLMSNVEQLGIAIMDAGDSDDGGGLHLLSTVDDPPMATGVNWTVVTIRFGPDSKWTPQASWPSSSLTSTSSSTTVPAPAEQAQLNPSLCRGPGTSQTGLRPLAMDPAPPVCPSRNPSHSPSRSPSRPPKPLPPPVTQGSPAGPRFTPSLLGPSHTGPESPGQGVDLPLTQPPRPLVPDEPSSHLAEPRARFSPSLRPDPSTQICPPSGTPGSTQAPPQLHGESAPLPRPAPADRKRASTQGVSAAGSATSAPALAQEQTPSLVPSPVPSPTSPLEPPQEESALALSLGSAALTPKPGVSAPPEQKQDRSTPALNPGLTVPPSRPGASAPMVLGLSTPALVSGTTAPPPKPGASASMVLQGVSTPALSSSRHATATKQGEPTCLPALIPRPSALALRPGESSASMAARPGVPALALRPESPGLAANKKAPLQFSSDVKSPAGTQAVGQPMPLSGLGARTGPRRSGRSSQGEM
+>sp|Q8IYJ0|PIANP_HUMAN PILR alpha-associated neural protein OS=Homo sapiens OX=9606 GN=PIANP PE=2 SV=1
+MESRMWPALLLSHLLPLWPLLLLPLPPPAQGSSSSPRTPPAPARPPCARGGPSAPRHVCVWERAPPPSRSPRVPRSRRQVLPGTAPPATPSGFEEGPPSSQYPWAIVWGPTVSREDGGDPNSANPGFLDYGFAAPHGLATPHPNSDSMRGDGDGLILGEAPATLRPFLFGGRGEGVDPQLYVTITISIIIVLVATGIIFKFCWDRSQKRRRPSGQQGALRQEESQQPLTDLSPAGVTVLGAFGDSPTPTPDHEEPRGGPRPGMPHPKGAPAFQLNRIPLVNL
+>DECOY_sp|Q8IYJ0|PIANP_HUMAN PILR alpha-associated neural protein OS=Homo sapiens OX=9606 GN=PIANP PE=2 SV=1
+LNVLPIRNLQFAPAGKPHPMGPRPGGRPEEHDPTPTPSDGFAGLVTVGAPSLDTLPQQSEEQRLAGQQGSPRRRKQSRDWCFKFIIGTAVLVIIISITITVYLQPDVGEGRGGFLFPRLTAPAEGLILGDGDGRMSDSNPHPTALGHPAAFGYDLFGPNASNPDGGDERSVTPGWVIAWPYQSSPPGEEFGSPTAPPATGPLVQRRSRPVRPSRSPPPAREWVCVHRPASPGGRACPPRAPAPPTRPSSSSGQAPPPLPLLLLPWLPLLHSLLLAPWMRSEM
+>sp|O75928|PIAS2_HUMAN E3 SUMO-protein ligase PIAS2 OS=Homo sapiens OX=9606 GN=PIAS2 PE=1 SV=3
+MADFEELRNMVSSFRVSELQVLLGFAGRNKSGRKHDLLMRALHLLKSGCSPAVQIKIRELYRRRYPRTLEGLSDLSTIKSSVFSLDGGSSPVEPDLAVAGIHSLPSTSVTPHSPSSPVGSVLLQDTKPTFEMQQPSPPIPPVHPDVQLKNLPFYDVLDVLIKPTSLVQSSIQRFQEKFFIFALTPQQVREICISRDFLPGGRRDYTVQVQLRLCLAETSCPQEDNYPNSLCIKVNGKLFPLPGYAPPPKNGIEQKRPGRPLNITSLVRLSSAVPNQISISWASEIGKNYSMSVYLVRQLTSAMLLQRLKMKGIRNPDHSRALIKEKLTADPDSEIATTSLRVSLMCPLGKMRLTIPCRAVTCTHLQCFDAALYLQMNEKKPTWICPVCDKKAAYESLILDGLFMEILNDCSDVDEIKFQEDGSWCPMRPKKEAMKVSSQPCTKIESSSVLSKPCSVTVASEASKKKVDVIDLTIESSSDEEEDPPAKRKCIFMSETQSSPTKGVLMYQPSSVRVPSVTSVDPAAIPPSLTDYSVPFHHTPISSMSSDLPGLDFLSLIPVDPQYCPPMFLDSLTSPLTASSTSVTTTSSHESSTHVSSSSSRSETGVITSSGSNIPDIISLD
+>DECOY_sp|O75928|PIAS2_HUMAN E3 SUMO-protein ligase PIAS2 OS=Homo sapiens OX=9606 GN=PIAS2 PE=1 SV=3
+DLSIIDPINSGSSTIVGTESRSSSSSVHTSSEHSSTTTVSTSSATLPSTLSDLFMPPCYQPDVPILSLFDLGPLDSSMSSIPTHHFPVSYDTLSPPIAAPDVSTVSPVRVSSPQYMLVGKTPSSQTESMFICKRKAPPDEEEDSSSEITLDIVDVKKKSAESAVTVSCPKSLVSSSEIKTCPQSSVKMAEKKPRMPCWSGDEQFKIEDVDSCDNLIEMFLGDLILSEYAAKKDCVPCIWTPKKENMQLYLAADFCQLHTCTVARCPITLRMKGLPCMLSVRLSTTAIESDPDATLKEKILARSHDPNRIGKMKLRQLLMASTLQRVLYVSMSYNKGIESAWSISIQNPVASSLRVLSTINLPRGPRKQEIGNKPPPAYGPLPFLKGNVKICLSNPYNDEQPCSTEALCLRLQVQVTYDRRGGPLFDRSICIERVQQPTLAFIFFKEQFRQISSQVLSTPKILVDLVDYFPLNKLQVDPHVPPIPPSPQQMEFTPKTDQLLVSGVPSSPSHPTVSTSPLSHIGAVALDPEVPSSGGDLSFVSSKITSLDSLGELTRPYRRRYLERIKIQVAPSCGSKLLHLARMLLDHKRGSKNRGAFGLLVQLESVRFSSVMNRLEEFDAM
+>sp|Q9Y6X2|PIAS3_HUMAN E3 SUMO-protein ligase PIAS3 OS=Homo sapiens OX=9606 GN=PIAS3 PE=1 SV=2
+MAELGELKHMVMSFRVSELQVLLGFAGRNKSGRKHELLAKALHLLKSSCAPSVQMKIKELYRRRFPRKTLGPSDLSLLSLPPGTSPVGSPGPLAPIPPTLLAPGTLLGPKREVDMHPPLPQPVHPDVTMKPLPFYEVYGELIRPTTLASTSSQRFEEAHFTFALTPQQVQQILTSREVLPGAKCDYTIQVQLRFCLCETSCPQEDYFPPNLFVKVNGKLCPLPGYLPPTKNGAEPKRPSRPINITPLARLSATVPNTIVVNWSSEFGRNYSLSVYLVRQLTAGTLLQKLRAKGIRNPDHSRALIKEKLTADPDSEVATTSLRVSLMCPLGKMRLTVPCRALTCAHLQSFDAALYLQMNEKKPTWTCPVCDKKAPYESLIIDGLFMEILSSCSDCDEIQFMEDGSWCPMKPKKEASEVCPPPGYGLDGLQYSPVQGGDPSENKKKVEVIDLTIESSSDEEDLPPTKKHCSVTSAAIPALPGSKGVLTSGHQPSSVLRSPAMGTLGGDFLSSLPLHEYPPAFPLGADIQGLDLFSFLQTESQHYGPSVITSLDEQDALGHFFQYRGTPSHFLGPLAPTLGSSHCSATPAPPPGRVSSIVAPGGALREGHGGPLPSGPSLTGCRSDIISLD
+>DECOY_sp|Q9Y6X2|PIAS3_HUMAN E3 SUMO-protein ligase PIAS3 OS=Homo sapiens OX=9606 GN=PIAS3 PE=1 SV=2
+DLSIIDSRCGTLSPGSPLPGGHGERLAGGPAVISSVRGPPPAPTASCHSSGLTPALPGLFHSPTGRYQFFHGLADQEDLSTIVSPGYHQSETQLFSFLDLGQIDAGLPFAPPYEHLPLSSLFDGGLTGMAPSRLVSSPQHGSTLVGKSGPLAPIAASTVSCHKKTPPLDEEDSSSEITLDIVEVKKKNESPDGGQVPSYQLGDLGYGPPPCVESAEKKPKMPCWSGDEMFQIEDCDSCSSLIEMFLGDIILSEYPAKKDCVPCTWTPKKENMQLYLAADFSQLHACTLARCPVTLRMKGLPCMLSVRLSTTAVESDPDATLKEKILARSHDPNRIGKARLKQLLTGATLQRVLYVSLSYNRGFESSWNVVITNPVTASLRALPTINIPRSPRKPEAGNKTPPLYGPLPCLKGNVKVFLNPPFYDEQPCSTECLCFRLQVQITYDCKAGPLVERSTLIQQVQQPTLAFTFHAEEFRQSSTSALTTPRILEGYVEYFPLPKMTVDPHVPQPLPPHMDVERKPGLLTGPALLTPPIPALPGPSGVPSTGPPLSLLSLDSPGLTKRPFRRRYLEKIKMQVSPACSSKLLHLAKALLEHKRGSKNRGAFGLLVQLESVRFSMVMHKLEGLEAM
+>sp|Q8WXW3|PIBF1_HUMAN Progesterone-induced-blocking factor 1 OS=Homo sapiens OX=9606 GN=PIBF1 PE=1 SV=2
+MSRKISKESKKVNISSSLESEDISLETTVPTDDISSSEEREGKVRITRQLIERKELLHNIQLLKIELSQKTMMIDNLKVDYLTKIEELEEKLNDALHQKQLLTLRLDNQLAFQQKDASKYQELMKQEMETILLRQKQLEETNLQLREKAGDVRRNLRDFELTEEQYIKLKAFPEDQLSIPEYVSVRFYELVNPLRKEICELQVKKNILAEELSTNKNQLKQLTETYEEDRKNYSEVQIRCQRLALELADTKQLIQQGDYRQENYDKVKSERDALEQEVIELRRKHEILEASHMIQTKERSELSKEVVTLEQTVTLLQKDKEYLNRQNMELSVRCAHEEDRLERLQAQLEESKKAREEMYEKYVASRDHYKTEYENKLHDELEQIRLKTNQEIDQLRNASREMYERENRNLREARDNAVAEKERAVMAEKDALEKHDQLLDRYRELQLSTESKVTEFLHQSKLKSFESERVQLLQEETARNLTQCQLECEKYQKKLEVLTKEFYSLQASSEKRITELQAQNSEHQARLDIYEKLEKELDEIIMQTAEIENEDEAERVLFSYGYGANVPTTAKRRLKQSVHLARRVLQLEKQNSLILKDLEHRKDQVTQLSQELDRANSLLNQTQQPYRYLIESVRQRDSKIDSLTESIAQLEKDVSNLNKEKSALLQTKNQMALDLEQLLNHREELAAMKQILVKMHSKHSENSLLLTKTEPKHVTENQKSKTLNVPKEHEDNIFTPKPTLFTKKEAPEWSKKQKMKT
+>DECOY_sp|Q8WXW3|PIBF1_HUMAN Progesterone-induced-blocking factor 1 OS=Homo sapiens OX=9606 GN=PIBF1 PE=1 SV=2
+TKMKQKKSWEPAEKKTFLTPKPTFINDEHEKPVNLTKSKQNETVHKPETKTLLLSNESHKSHMKVLIQKMAALEERHNLLQELDLAMQNKTQLLASKEKNLNSVDKELQAISETLSDIKSDRQRVSEILYRYPQQTQNLLSNARDLEQSLQTVQDKRHELDKLILSNQKELQLVRRALHVSQKLRRKATTPVNAGYGYSFLVREAEDENEIEATQMIIEDLEKELKEYIDLRAQHESNQAQLETIRKESSAQLSYFEKTLVELKKQYKECELQCQTLNRATEEQLLQVRESEFSKLKSQHLFETVKSETSLQLERYRDLLQDHKELADKEAMVAREKEAVANDRAERLNRNEREYMERSANRLQDIEQNTKLRIQELEDHLKNEYETKYHDRSAVYKEYMEERAKKSEELQAQLRELRDEEHACRVSLEMNQRNLYEKDKQLLTVTQELTVVEKSLESREKTQIMHSAELIEHKRRLEIVEQELADRESKVKDYNEQRYDGQQILQKTDALELALRQCRIQVESYNKRDEEYTETLQKLQNKNTSLEEALINKKVQLECIEKRLPNVLEYFRVSVYEPISLQDEPFAKLKIYQEETLEFDRLNRRVDGAKERLQLNTEELQKQRLLITEMEQKMLEQYKSADKQQFALQNDLRLTLLQKQHLADNLKEELEEIKTLYDVKLNDIMMTKQSLEIKLLQINHLLEKREILQRTIRVKGEREESSSIDDTPVTTELSIDESELSSSINVKKSEKSIKRSM
+>sp|Q13492|PICAL_HUMAN Phosphatidylinositol-binding clathrin assembly protein OS=Homo sapiens OX=9606 GN=PICALM PE=1 SV=2
+MSGQSLTDRITAAQHSVTGSAVSKTVCKATTHEIMGPKKKHLDYLIQCTNEMNVNIPQLADSLFERTTNSSWVVVFKSLITTHHLMVYGNERFIQYLASRNTLFNLSNFLDKSGLQGYDMSTFIRRYSRYLNEKAVSYRQVAFDFTKVKRGADGVMRTMNTEKLLKTVPIIQNQMDALLDFNVNSNELTNGVINAAFMLLFKDAIRLFAAYNEGIINLLEKYFDMKKNQCKEGLDIYKKFLTRMTRISEFLKVAEQVGIDRGDIPDLSQAPSSLLDALEQHLASLEGKKIKDSTAASRATTLSNAVSSLASTGLSLTKVDEREKQAALEEEQARLKALKEQRLKELAKKPHTSLTTAASPVSTSAGGIMTAPAIDIFSTPSSSNSTSKLPNDLLDLQQPTFHPSVHPMSTASQVASTWGDPFSATVDAVDDAIPSLNPFLTKSSGDVHLSISSDVSTFTTRTPTHEMFVGFTPSPVAQPHPSAGLNVDFESVFGNKSTNVIVDSGGFDELGGLLKPTVASQNQNLPVAKLPPSKLVSDDLDSSLANLVGNLGIGNGTTKNDVNWSQPGEKKLTGGSNWQPKVAPTTAWNAATMAPPVMAYPATTPTGMIGYGIPPQMGSVPVMTQPTLIYSQPVMRPPNPFGPVSGAQIQFM
+>DECOY_sp|Q13492|PICAL_HUMAN Phosphatidylinositol-binding clathrin assembly protein OS=Homo sapiens OX=9606 GN=PICALM PE=1 SV=2
+MFQIQAGSVPGFPNPPRMVPQSYILTPQTMVPVSGMQPPIGYGIMGTPTTAPYAMVPPAMTAANWATTPAVKPQWNSGGTLKKEGPQSWNVDNKTTGNGIGLNGVLNALSSDLDDSVLKSPPLKAVPLNQNQSAVTPKLLGGLEDFGGSDVIVNTSKNGFVSEFDVNLGASPHPQAVPSPTFGVFMEHTPTRTTFTSVDSSISLHVDGSSKTLFPNLSPIADDVADVTASFPDGWTSAVQSATSMPHVSPHFTPQQLDLLDNPLKSTSNSSSPTSFIDIAPATMIGGASTSVPSAATTLSTHPKKALEKLRQEKLAKLRAQEEELAAQKEREDVKTLSLGTSALSSVANSLTTARSAATSDKIKKGELSALHQELADLLSSPAQSLDPIDGRDIGVQEAVKLFESIRTMRTLFKKYIDLGEKCQNKKMDFYKELLNIIGENYAAFLRIADKFLLMFAANIVGNTLENSNVNFDLLADMQNQIIPVTKLLKETNMTRMVGDAGRKVKTFDFAVQRYSVAKENLYRSYRRIFTSMDYGQLGSKDLFNSLNFLTNRSALYQIFRENGYVMLHHTTILSKFVVVWSSNTTREFLSDALQPINVNMENTCQILYDLHKKKPGMIEHTTAKCVTKSVASGTVSHQAATIRDTLSQGSM
+>sp|Q7Z7B1|PIGW_HUMAN Phosphatidylinositol-glycan biosynthesis class W protein OS=Homo sapiens OX=9606 GN=PIGW PE=1 SV=1
+MSEKQMKEAFVSNLNGTTVLEITQGLCFPAFCILCRGFLIIFSQYLCSFSPTWKTRFLTDFVVLIVPMVATLTIWASFILLELLGVIIFGAGLLYQIYRRRTCYARLPFLKILEKFLNISLESEYNPAISCFRVITSAFTAIAILAVDFPLFPRRFAKTELYGTGAMDFGVGGFVFGSAMVCLEVRRRKYMEGSKLHYFTNSLYSVWPLVFLGIGRLAIIKSIGYQEHLTEYGVHWNFFFTIIVVKLITPLLLIIFPLNKSWIIALGITVLYQLALDFTSLKRLILYGTDGSGTRVGLLNANREGIISTLGYVAIHMAGVQTGLYMHKNRSHIKDLIKVACFLLLAAISLFISLYVVQVNVEAVSRRMANLAFCIWIVASSLILLSSLLLGDIILSFAKFLIKGALVPCSWKLIQSPVTNKKHSESLVPEAERMEPSLCLITALNRKQLIFFLLSNITTGLINLMVDTLHSSTLWALFVVNLYMFSNCLIVYVLYLQDKTVQFW
+>DECOY_sp|Q7Z7B1|PIGW_HUMAN Phosphatidylinositol-glycan biosynthesis class W protein OS=Homo sapiens OX=9606 GN=PIGW PE=1 SV=1
+WFQVTKDQLYLVYVILCNSFMYLNVVFLAWLTSSHLTDVMLNILGTTINSLLFFILQKRNLATILCLSPEMREAEPVLSESHKKNTVPSQILKWSCPVLAGKILFKAFSLIIDGLLLSSLLILSSAVIWICFALNAMRRSVAEVNVQVVYLSIFLSIAALLLFCAVKILDKIHSRNKHMYLGTQVGAMHIAVYGLTSIIGERNANLLGVRTGSGDTGYLILRKLSTFDLALQYLVTIGLAIIWSKNLPFIILLLPTILKVVIITFFFNWHVGYETLHEQYGISKIIALRGIGLFVLPWVSYLSNTFYHLKSGEMYKRRRVELCVMASGFVFGGVGFDMAGTGYLETKAFRRPFLPFDVALIAIATFASTIVRFCSIAPNYESELSINLFKELIKLFPLRAYCTRRRYIQYLLGAGFIIVGLLELLIFSAWITLTAVMPVILVVFDTLFRTKWTPSFSCLYQSFIILFGRCLICFAPFCLGQTIELVTTGNLNSVFAEKMQKESM
+>sp|Q8TBF5|PIGX_HUMAN Phosphatidylinositol-glycan biosynthesis class X protein OS=Homo sapiens OX=9606 GN=PIGX PE=2 SV=3
+MAARVAAVRAAAWLLLGAATGLTRGPAAAFTAARSDAGIRAMCSEIILRQEVLKDGFHRDLLIKVKFGESIEDLHTCRLLIKQDIPAGLYVDPYELASLRERNITEAVMVSENFDIEAPNYLSKESEVLIYARRDSQCIDCFQAFLPVHCRYHRPHSEDGEASIVVNNPDLLMFCDQEFPILKCWAHSEVAAPCALENEDICQWNKMKYKSVYKNVILQVPVGLTVHTSLVCSVTLLITILCSTLILVAVFKYGHFSL
+>DECOY_sp|Q8TBF5|PIGX_HUMAN Phosphatidylinositol-glycan biosynthesis class X protein OS=Homo sapiens OX=9606 GN=PIGX PE=2 SV=3
+LSFHGYKFVAVLILTSCLITILLTVSCVLSTHVTLGVPVQLIVNKYVSKYKMKNWQCIDENELACPAAVESHAWCKLIPFEQDCFMLLDPNNVVISAEGDESHPRHYRCHVPLFAQFCDICQSDRRAYILVESEKSLYNPAEIDFNESVMVAETINRERLSALEYPDVYLGAPIDQKILLRCTHLDEISEGFKVKILLDRHFGDKLVEQRLIIESCMARIGADSRAATFAAAPGRTLGTAAGLLLWAAARVAAVRAAM
+>sp|P12273|PIP_HUMAN Prolactin-inducible protein OS=Homo sapiens OX=9606 GN=PIP PE=1 SV=1
+MRLLQLLFRASPATLLLVLCLQLGANKAQDNTRKIIIKNFDIPKSVRPNDEVTAVLAVQTELKECMVVKTYLISSIPLQGAFNYKYTACLCDDNPKTFYWDFYTNRTVQIAAVVDVIRELGICPDDAAVIPIKNNRFYTIEILKVE
+>DECOY_sp|P12273|PIP_HUMAN Prolactin-inducible protein OS=Homo sapiens OX=9606 GN=PIP PE=1 SV=1
+EVKLIEITYFRNNKIPIVAADDPCIGLERIVDVVAAIQVTRNTYFDWYFTKPNDDCLCATYKYNFAGQLPISSILYTKVVMCEKLETQVALVATVEDNPRVSKPIDFNKIIIKRTNDQAKNAGLQLCLVLLLTAPSARFLLQLLRM
+>sp|P0C851|PIRT_HUMAN Phosphoinositide-interacting protein OS=Homo sapiens OX=9606 GN=PIRT PE=2 SV=2
+MTMETLPKVLEVDEKSPEAKDLLPSQTASSLCISSRSESVWTTTPRSNWEIYRKPIVIMSVGGAILLFGVVITCLAYTLKLSDKSLSILKMVGPGFLSLGLMMLVCGLVWVPIIKKKQKHRQKSNFLRSLKSFFLTR
+>DECOY_sp|P0C851|PIRT_HUMAN Phosphoinositide-interacting protein OS=Homo sapiens OX=9606 GN=PIRT PE=2 SV=2
+RTLFFSKLSRLFNSKQRHKQKKKIIPVWVLGCVLMMLGLSLFGPGVMKLISLSKDSLKLTYALCTIVVGFLLIAGGVSMIVIPKRYIEWNSRPTTTWVSESRSSICLSSATQSPLLDKAEPSKEDVELVKPLTEMTM
+>sp|O00625|PIR_HUMAN Pirin OS=Homo sapiens OX=9606 GN=PIR PE=1 SV=1
+MGSSKKVTLSVLSREQSEGVGARVRRSIGRPELKNLDPFLLFDEFKGGRPGGFPDHPHRGFETVSYLLEGGSMAHEDFCGHTGKMNPGDLQWMTAGRGILHAEMPCSEEPAHGLQLWVNLRSSEKMVEPQYQELKSEEIPKPSKDGVTVAVISGEALGIKSKVYTRTPTLYLDFKLDPGAKHSQPIPKGWTSFIYTISGDVYIGPDDAQQKIEPHHTAVLGEGDSVQVENKDPKRSHFVLIAGEPLREPVIQHGPFVMNTNEEISQAILDFRNAKNGFERAKTWKSKIGN
+>DECOY_sp|O00625|PIR_HUMAN Pirin OS=Homo sapiens OX=9606 GN=PIR PE=1 SV=1
+NGIKSKWTKAREFGNKANRFDLIAQSIEENTNMVFPGHQIVPERLPEGAILVFHSRKPDKNEVQVSDGEGLVATHHPEIKQQADDPGIYVDGSITYIFSTWGKPIPQSHKAGPDLKFDLYLTPTRTYVKSKIGLAEGSIVAVTVGDKSPKPIEESKLEQYQPEVMKESSRLNVWLQLGHAPEESCPMEAHLIGRGATMWQLDGPNMKGTHGCFDEHAMSGGELLYSVTEFGRHPHDPFGGPRGGKFEDFLLFPDLNKLEPRGISRRVRAGVGESQERSLVSLTVKKSSGM
+>sp|Q9BZ72|PITM2_HUMAN Membrane-associated phosphatidylinositol transfer protein 2 OS=Homo sapiens OX=9606 GN=PITPNM2 PE=1 SV=1
+MIIKEYRIPLPMTVEEYRIAQLYMIQKKSRNETYGEGSGVEILENRPYTDGPGGSGQYTHKVYHVGMHIPSWFRSILPKAALRVVEESWNAYPYTRTRFTCPFVEKFSIDIETFYKTDAGENPDVFNLSPVEKNQLTIDFIDIVKDPVPHNEYKTEEDPKLFQSTKTQRGPLSENWIEEYKKQVFPIMCAYKLCKVEFRYWGMQSKIERFIHDTGLRRVMVRAHRQAWCWQDEWYGLSMENIRELEKEAQLMLSRKMAQFNEDGEEATELVKHEAVSDQTSGEPPEPSSSNGEPLVGRGLKKQWSTSSKSSRSSKRGASPSRHSISEWRMQSIARDSDESSDDEFFDAHEDLSDTEEMFPKDITKWSSNDLMDKIESPEPEDTQDGLYRQGAPEFRVASSVEQLNIIEDEVSQPLAAPPSKIHVLLLVLHGGTILDTGAGDPSSKKGDANTIANVFDTVMRVHYPSALGRLAIRLVPCPPVCSDAFALVSNLSPYSHDEGCLSSSQDHIPLAALPLLATSSPQYQEAVATVIQRANLAYGDFIKSQEGMTFNGQVCLIGDCVGGILAFDALCYSNQPVSESQSSSRRGSVVSMQDNDLLSPGILMNAAHCCGGGGGGGGGGGSSGGGGSSGGSSLESSRHLSRSNVDIPRSNGTEDPKRQLPRKRSDSSTYELDTIQQHQAFLSSLHASVLRTEPCSRHSSSSTMLDGTGALGRFDFEITDLFLFGCPLGLVLALRKTVIPALDVFQLRPACQQVYNLFHPADPSASRLEPLLERRFHALPPFSVPRYQRYPLGDGCSTLLADVLQTHNAAFQEHGAPSSPGTAPASRGFRRASEISIASQVSGMAESYTASSIAQKAPDALSHTPSVRRLSLLALPAPSPTTPGPHPPARKASPGLERAPGLPELDIGEVAAKWWGQKRIDYALYCPDALTAFPTVALPHLFHASYWESTDVVSFLLRQVMRHDNSSILELDGKEVSVFTPSKPREKWQRKRTHVKLRNVTANHRINDALANEDGPQVLTGRFMYGPLDMVTLTGEKVDVHIMTQPPSGEWLYLDTLVTNNSGRVSYTIPESHRLGVGVYPIKMVVRGDHTFADSYITVLPKGTEFVVFSIDGSFAASVSIMGSDPKVRAGAVDVVRHWQDLGYLIIYVTGRPDMQKQRVVAWLAQHNFPHGVVSFCDGLVHDPLRHKANFLKLLISELHLRVHAAYGSTKDVAVYSAISLSPMQIYIVGRPTKKLQQQCQFITDGYAAHLAQLKYSHRARPARNTATRMALRKGSFGLPGQGDFLRSRNHLLRTISAQPSGPSHRHERTQSQADGEQRGQRSMSVAAGCWGRAMTGRLEPGAAAGPK
+>DECOY_sp|Q9BZ72|PITM2_HUMAN Membrane-associated phosphatidylinositol transfer protein 2 OS=Homo sapiens OX=9606 GN=PITPNM2 PE=1 SV=1
+KPGAAAGPELRGTMARGWCGAAVSMSRQGRQEGDAQSQTREHRHSPGSPQASITRLLHNRSRLFDGQGPLGFSGKRLAMRTATNRAPRARHSYKLQALHAAYGDTIFQCQQQLKKTPRGVIYIQMPSLSIASYVAVDKTSGYAAHVRLHLESILLKLFNAKHRLPDHVLGDCFSVVGHPFNHQALWAVVRQKQMDPRGTVYIILYGLDQWHRVVDVAGARVKPDSGMISVSAAFSGDISFVVFETGKPLVTIYSDAFTHDGRVVMKIPYVGVGLRHSEPITYSVRGSNNTVLTDLYLWEGSPPQTMIHVDVKEGTLTVMDLPGYMFRGTLVQPGDENALADNIRHNATVNRLKVHTRKRQWKERPKSPTFVSVEKGDLELISSNDHRMVQRLLFSVVDTSEWYSAHFLHPLAVTPFATLADPCYLAYDIRKQGWWKAAVEGIDLEPLGPARELGPSAKRAPPHPGPTTPSPAPLALLSLRRVSPTHSLADPAKQAISSATYSEAMGSVQSAISIESARRFGRSAPATGPSSPAGHEQFAANHTQLVDALLTSCGDGLPYRQYRPVSFPPLAHFRRELLPELRSASPDAPHFLNYVQQCAPRLQFVDLAPIVTKRLALVLGLPCGFLFLDTIEFDFRGLAGTGDLMTSSSSHRSCPETRLVSAHLSSLFAQHQQITDLEYTSSDSRKRPLQRKPDETGNSRPIDVNSRSLHRSSELSSGGSSGGGGSSGGGGGGGGGGGCCHAANMLIGPSLLDNDQMSVVSGRRSSSQSESVPQNSYCLADFALIGGVCDGILCVQGNFTMGEQSKIFDGYALNARQIVTAVAEQYQPSSTALLPLAALPIHDQSSSLCGEDHSYPSLNSVLAFADSCVPPCPVLRIALRGLASPYHVRMVTDFVNAITNADGKKSSPDGAGTDLITGGHLVLLLVHIKSPPAALPQSVEDEIINLQEVSSAVRFEPAGQRYLGDQTDEPEPSEIKDMLDNSSWKTIDKPFMEETDSLDEHADFFEDDSSEDSDRAISQMRWESISHRSPSAGRKSSRSSKSSTSWQKKLGRGVLPEGNSSSPEPPEGSTQDSVAEHKVLETAEEGDENFQAMKRSLMLQAEKELERINEMSLGYWEDQWCWAQRHARVMVRRLGTDHIFREIKSQMGWYRFEVKCLKYACMIPFVQKKYEEIWNESLPGRQTKTSQFLKPDEETKYENHPVPDKVIDIFDITLQNKEVPSLNFVDPNEGADTKYFTEIDISFKEVFPCTFRTRTYPYANWSEEVVRLAAKPLISRFWSPIHMGVHYVKHTYQGSGGPGDTYPRNELIEVGSGEGYTENRSKKQIMYLQAIRYEEVTMPLPIRYEKIIM
+>sp|P78337|PITX1_HUMAN Pituitary homeobox 1 OS=Homo sapiens OX=9606 GN=PITX1 PE=1 SV=2
+MDAFKGGMSLERLPEGLRPPPPPPHDMGPAFHLARPADPREPLENSASESSDTELPEKERGGEPKGPEDSGAGGTGCGGADDPAKKKKQRRQRTHFTSQQLQELEATFQRNRYPDMSMREEIAVWTNLTEPRVRVWFKNRRAKWRKRERNQQLDLCKGGYVPQFSGLVQPYEDVYAAGYSYNNWAAKSLAPAPLSTKSFTFFNSMSPLSSQSMFSAPSSISSMTMPSSMGPGAVPGMPNSGLNNINNLTGSSLNSAMSPGACPYGTPASPYSVYRDTCNSSLASLRLKSKQHSSFGYGGLQGPASGLNACQYNS
+>DECOY_sp|P78337|PITX1_HUMAN Pituitary homeobox 1 OS=Homo sapiens OX=9606 GN=PITX1 PE=1 SV=2
+SNYQCANLGSAPGQLGGYGFSSHQKSKLRLSALSSNCTDRYVSYPSAPTGYPCAGPSMASNLSSGTLNNINNLGSNPMGPVAGPGMSSPMTMSSISSPASFMSQSSLPSMSNFFTFSKTSLPAPALSKAAWNNYSYGAAYVDEYPQVLGSFQPVYGGKCLDLQQNRERKRWKARRNKFWVRVRPETLNTWVAIEERMSMDPYRNRQFTAELEQLQQSTFHTRQRRQKKKKAPDDAGGCGTGGAGSDEPGKPEGGREKEPLETDSSESASNELPERPDAPRALHFAPGMDHPPPPPPRLGEPLRELSMGGKFADM
+>sp|Q9NZM6|PK2L2_HUMAN Polycystic kidney disease 2-like 2 protein OS=Homo sapiens OX=9606 GN=PKD2L2 PE=2 SV=2
+MAEASRWHRGGASKHKLHYRKEVEITTTLQELLLYFIFLINLCILTFGMVNPHMYYLNKVMSSLFLDTSVPGEERTNFKSIRSITDFWKFMEGPLLEGLYWDSWYNNQQLYNLKNSSRIYYENILLGVPRVRQLKVRNNTCKVYSSFQSLMSECYGKYTSANEDLSNFGLQINTEWRYSTSNTNSPWHWGFLGVYRNGGYIFTLSKSKSETKNKFIDLRLNSWITRGTRVIFIDFSLYNANVNLFCIIRLVAEFPATGGILTSWQFYSVKLLRYVSYYDYFIASCEITFCIFLFVFTTQEVKKIKEFKSAYFKSIWNWLELLLLLLCFVAVSFNTYYNVQIFLLLGQLLKSTEKYSDFYFLACWHIYYNNIIAITIFFAWIKIFKFISFNKTMSQLSSTLSRCVKDIVGFAIMFFIIFFAYAQLGFLVFGSQVDDFSTFQNSIFAQFRIVLGDFNFAGIQQANPILGPIYFITFIFFVFFVLLNMFLAIINDTYSEVKADYSIGRRLDFELGKMIKQSYKNVLEKFRLKKAQKDEDKKTKGSGDLAEQARREGFDENEIQNAEQMKKWKERLEKKYYSMEIQDDYQPVTQEEFRELFLYAVELEKELHYINLKLNQVVRKVSAL
+>DECOY_sp|Q9NZM6|PK2L2_HUMAN Polycystic kidney disease 2-like 2 protein OS=Homo sapiens OX=9606 GN=PKD2L2 PE=2 SV=2
+LASVKRVVQNLKLNIYHLEKELEVAYLFLERFEEQTVPQYDDQIEMSYYKKELREKWKKMQEANQIENEDFGERRAQEALDGSGKTKKDEDKQAKKLRFKELVNKYSQKIMKGLEFDLRRGISYDAKVESYTDNIIALFMNLLVFFVFFIFTIFYIPGLIPNAQQIGAFNFDGLVIRFQAFISNQFTSFDDVQSGFVLFGLQAYAFFIIFFMIAFGVIDKVCRSLTSSLQSMTKNFSIFKFIKIWAFFITIAIINNYYIHWCALFYFDSYKETSKLLQGLLLFIQVNYYTNFSVAVFCLLLLLLELWNWISKFYASKFEKIKKVEQTTFVFLFICFTIECSAIFYDYYSVYRLLKVSYFQWSTLIGGTAPFEAVLRIICFLNVNANYLSFDIFIVRTGRTIWSNLRLDIFKNKTESKSKSLTFIYGGNRYVGLFGWHWPSNTNSTSYRWETNIQLGFNSLDENASTYKGYCESMLSQFSSYVKCTNNRVKLQRVRPVGLLINEYYIRSSNKLNYLQQNNYWSDWYLGELLPGEMFKWFDTISRISKFNTREEGPVSTDLFLSSMVKNLYYMHPNVMGFTLICLNILFIFYLLLEQLTTTIEVEKRYHLKHKSAGGRHWRSAEAM
+>sp|Q9ULU4|PKCB1_HUMAN Protein kinase C-binding protein 1 OS=Homo sapiens OX=9606 GN=ZMYND8 PE=1 SV=2
+MDISTRSKDPGSAERTAQKRKFPSPPHSSNGHSPQDTSTSPIKKKKKPGLLNSNNKEQSELRHGPFYYMKQPLTTDPVDVVPQDGRNDFYCWVCHREGQVLCCELCPRVYHAKCLRLTSEPEGDWFCPECEKITVAECIETQSKAMTMLTIEQLSYLLKFAIQKMKQPGTDAFQKPVPLEQHPDYAEYIFHPMDLCTLEKNAKKKMYGCTEAFLADAKWILHNCIIYNGGNHKLTQIAKVVIKICEHEMNEIEVCPECYLAACQKRDNWFCEPCSNPHPLVWAKLKGFPFWPAKALRDKDGQVDARFFGQHDRAWVPINNCYLMSKEIPFSVKKTKSIFNSAMQEMEVYVENIRRKFGVFNYSPFRTPYTPNSQYQMLLDPTNPSAGTAKIDKQEKVKLNFDMTASPKILMSKPVLSGGTGRRISLSDMPRSPMSTNSSVHTGSDVEQDAEKKATSSHFSASEESMDFLDKSTASPASTKTGQAGSLSGSPKPFSPQLSAPITTKTDKTSTTGSILNLNLDRSKAEMDLKELSESVQQQSTPVPLISPKRQIRSRFQLNLDKTIESCKAQLGINEISEDVYTAVEHSDSEDSEKSDSSDSEYISDDEQKSKNEPEDTEDKEGCQMDKEPSAVKKKPKPTNPVEIKEELKSTSPASEKADPGAVKDKASPEPEKDFSEKAKPSPHPIKDKLKGKDETDSPTVHLGLDSDSESELVIDLGEDHSGREGRKNKKEPKEPSPKQDVVGKTPPSTTVGSHSPPETPVLTRSSAQTSAAGATATTSTSSTVTVTAPAPAATGSPVKKQRPLLPKETAPAVQRVVWNSSSKFQTSSQKWHMQKMQRQQQQQQQQNQQQQPQSSQGTRYQTRQAVKAVQQKEITQSPSTSTITLVTSTQSSPLVTSSGSMSTLVSSVNADLPIATASADVAADIAKYTSKMMDAIKGTMTEIYNDLSKNTTGSTIAEIRRLRIEIEKLQWLHQQELSEMKHNLELTMAEMRQSLEQERDRLIAEVKKQLELEKQQAVDETKKKQWCANCKKEAIFYCCWNTSYCDYPCQQAHWPEHMKSCTQSATAPQQEADAEVNTETLNKSSQGSSSSTQSAPSETASASKEKETSAEKSKESGSTLDLSGSRETPSSILLGSNQGSDHSRSNKSSWSSSDEKRGSTRSDHNTSTSTKSLLPKESRLDTFWD
+>DECOY_sp|Q9ULU4|PKCB1_HUMAN Protein kinase C-binding protein 1 OS=Homo sapiens OX=9606 GN=ZMYND8 PE=1 SV=2
+DWFTDLRSEKPLLSKTSTSTNHDSRTSGRKEDSSSWSSKNSRSHDSGQNSGLLISSPTERSGSLDLTSGSEKSKEASTEKEKSASATESPASQTSSSSGQSSKNLTETNVEADAEQQPATASQTCSKMHEPWHAQQCPYDCYSTNWCCYFIAEKKCNACWQKKKTEDVAQQKELELQKKVEAILRDREQELSQRMEAMTLELNHKMESLEQQHLWQLKEIEIRLRRIEAITSGTTNKSLDNYIETMTGKIADMMKSTYKAIDAAVDASATAIPLDANVSSVLTSMSGSSTVLPSSQTSTVLTITSTSPSQTIEKQQVAKVAQRTQYRTGQSSQPQQQQNQQQQQQQQRQMKQMHWKQSSTQFKSSSNWVVRQVAPATEKPLLPRQKKVPSGTAAPAPATVTVTSSTSTTATAGAASTQASSRTLVPTEPPSHSGVTTSPPTKGVVDQKPSPEKPEKKNKRGERGSHDEGLDIVLESESDSDLGLHVTPSDTEDKGKLKDKIPHPSPKAKESFDKEPEPSAKDKVAGPDAKESAPSTSKLEEKIEVPNTPKPKKKVASPEKDMQCGEKDETDEPENKSKQEDDSIYESDSSDSKESDESDSHEVATYVDESIENIGLQAKCSEITKDLNLQFRSRIQRKPSILPVPTSQQQVSESLEKLDMEAKSRDLNLNLISGTTSTKDTKTTIPASLQPSFPKPSGSLSGAQGTKTSAPSATSKDLFDMSEESASFHSSTAKKEADQEVDSGTHVSSNTSMPSRPMDSLSIRRGTGGSLVPKSMLIKPSATMDFNLKVKEQKDIKATGASPNTPDLLMQYQSNPTYPTRFPSYNFVGFKRRINEVYVEMEQMASNFISKTKKVSFPIEKSMLYCNNIPVWARDHQGFFRADVQGDKDRLAKAPWFPFGKLKAWVLPHPNSCPECFWNDRKQCAALYCEPCVEIENMEHECIKIVVKAIQTLKHNGGNYIICNHLIWKADALFAETCGYMKKKANKELTCLDMPHFIYEAYDPHQELPVPKQFADTGPQKMKQIAFKLLYSLQEITLMTMAKSQTEICEAVTIKECEPCFWDGEPESTLRLCKAHYVRPCLECCLVQGERHCVWCYFDNRGDQPVVDVPDTTLPQKMYYFPGHRLESQEKNNSNLLGPKKKKKIPSTSTDQPSHGNSSHPPSPFKRKQATREASGPDKSRTSIDM
+>sp|Q504Y2|PKDCC_HUMAN Extracellular tyrosine-protein kinase PKDCC OS=Homo sapiens OX=9606 GN=PKDCC PE=2 SV=2
+MRRRRAAVAAGFCASFLLGSVLNVLFAPGSEPPRPGQSPEPSPAPGPGRRGGRGELARQIRARYEEVQRYSRGGPGPGAGRPERRRLMDLAPGGPGLPRPRPPWARPLSDGAPGWPPAPGPGSPGPGPRLGCAALRNVSGAQYMGSGYTKAVYRVRLPGGAAVALKAVDFSGHDLGSCVREFGVRRGCYRLAAHKLLKEMVLLERLRHPNVLQLYGYCYQDSEDIPDTLTTITELGAPVEMIQLLQTSWEDRFRICLSLGRLLHHLAHSPLGSVTLLDFRPRQFVLVDGELKVTDLDDARVEETPCAGSTDCILEFPARNFTLPCSAQGWCEGMNEKRNLYNAYRFFFTYLLPHSAPPSLRPLLDSIVNATGELAWGVDETLAQLEKVLHLYRSGQYLQNSTASSSTEYQCIPDSTIPQEDYRCWPSYHHGSCLLSVFNLAEAVDVCESHAQCRAFVVTNQTTWTGRQLVFFKTGWSQVVPDPNKTTYVKASG
+>DECOY_sp|Q504Y2|PKDCC_HUMAN Extracellular tyrosine-protein kinase PKDCC OS=Homo sapiens OX=9606 GN=PKDCC PE=2 SV=2
+GSAKVYTTKNPDPVVQSWGTKFFVLQRGTWTTQNTVVFARCQAHSECVDVAEALNFVSLLCSGHHYSPWCRYDEQPITSDPICQYETSSSATSNQLYQGSRYLHLVKELQALTEDVGWALEGTANVISDLLPRLSPPASHPLLYTFFFRYANYLNRKENMGECWGQASCPLTFNRAPFELICDTSGACPTEEVRADDLDTVKLEGDVLVFQRPRFDLLTVSGLPSHALHHLLRGLSLCIRFRDEWSTQLLQIMEVPAGLETITTLTDPIDESDQYCYGYLQLVNPHRLRELLVMEKLLKHAALRYCGRRVGFERVCSGLDHGSFDVAKLAVAAGGPLRVRYVAKTYGSGMYQAGSVNRLAACGLRPGPGPSGPGPAPPWGPAGDSLPRAWPPRPRPLGPGGPALDMLRRREPRGAGPGPGGRSYRQVEEYRARIQRALEGRGGRRGPGPAPSPEPSQGPRPPESGPAFLVNLVSGLLFSACFGAAVAARRRRM
+>sp|Q9UF11|PKHB1_HUMAN Pleckstrin homology domain-containing family B member 1 OS=Homo sapiens OX=9606 GN=PLEKHB1 PE=1 SV=1
+MSPAAPVPPDSALESPFEEMALVRGGWLWRQSSILRRWKRNWFALWLDGTLGYYHDETAQDEEDRVLIHFNVRDIKIGPECHDVQPPEGRSRDGLLTVNLREGGRLHLCAETKDDALAWKTALLEANSTPAPAGATVPPRSRRVCSKVRCVTRSWSPCKVERRIWVRVYSPYQDYYEVVPPNAHEATYVRSYYGPPYAGPGVTHVIVREDPCYSAGAPLAMGMLAGAATGAALGSLMWSPCWF
+>DECOY_sp|Q9UF11|PKHB1_HUMAN Pleckstrin homology domain-containing family B member 1 OS=Homo sapiens OX=9606 GN=PLEKHB1 PE=1 SV=1
+FWCPSWMLSGLAAGTAAGALMGMALPAGASYCPDERVIVHTVGPGAYPPGYYSRVYTAEHANPPVVEYYDQYPSYVRVWIRREVKCPSWSRTVCRVKSCVRRSRPPVTAGAPAPTSNAELLATKWALADDKTEACLHLRGGERLNVTLLGDRSRGEPPQVDHCEPGIKIDRVNFHILVRDEEDQATEDHYYGLTGDLWLAFWNRKWRRLISSQRWLWGGRVLAMEEFPSELASDPPVPAAPSM
+>sp|Q96CS7|PKHB2_HUMAN Pleckstrin homology domain-containing family B member 2 OS=Homo sapiens OX=9606 GN=PLEKHB2 PE=1 SV=1
+MAFVKSGWLLRQSTILKRWKKNWFDLWSDGHLIYYDDQTRQNIEDKVHMPMDCINIRTGQECRDTQPPDGKSKDCMLQIVCRDGKTISLCAESTDDCLAWKFTLQDSRTNTAYVGSAVMTDETSVVSSPPPYTAYAAPAPEQAYGYGPYGGAYPPGTQVVYAANGQAYAVPYQYPYAGLYGQQPANQVIIRERYRDNDSDLALGMLAGAATGMALGSLFWVF
+>DECOY_sp|Q96CS7|PKHB2_HUMAN Pleckstrin homology domain-containing family B member 2 OS=Homo sapiens OX=9606 GN=PLEKHB2 PE=1 SV=1
+FVWFLSGLAMGTAAGALMGLALDSDNDRYRERIIVQNAPQQGYLGAYPYQYPVAYAQGNAAYVVQTGPPYAGGYPGYGYAQEPAPAAYATYPPPSSVVSTEDTMVASGVYATNTRSDQLTFKWALCDDTSEACLSITKGDRCVIQLMCDKSKGDPPQTDRCEQGTRINICDMPMHVKDEINQRTQDDYYILHGDSWLDFWNKKWRKLITSQRLLWGSKVFAM
+>sp|P08F94|PKHD1_HUMAN Fibrocystin OS=Homo sapiens OX=9606 GN=PKHD1 PE=1 SV=1
+MTAWLISLMSIEVLLLAVRHLSLHIEPEEGSLAGGTWITVIFDGLELGVLYPNNGSQLEIHLVNVNMVVPALRSVPCDVFPVFLDLPVVTCRTRSVLSEAHEGLYFLEAYFGGQLVSSPNPGPRDSCTFKFSKAQTPIVHQVYPPSGVPGKLIHVYGWIITGRLETFDFDAEYIDSPVILEAQGDKWVTPCSLINRQMGSCYPIQEDHGLGTLQCHVEGDYIGSQNVSFSVFNKGKSMVHKKAWLISAKQDLFLYQTHSEILSVFPETGSLGGRTNITITGDFFDNSAQVTIAGIPCDIRHVSPRKIECTTRAPGKDVRLTTPQPGNRGLLFEVGDAVEGLELTEATPGYRWQIVPNASSPFGFWSQEGQPFRARLSGFFVAPETNNYTFWIQADSQASLHFSWSEEPRTKVKVASISVGTADWFDSWEQNRDEGTWQQKTPKLELLGGAMYYLEAEHHGIAPSRGMRIGVQIHNTWLNPDVVTTYLREKHQIRVRAQRLPEVQVLNVSGRGNFFLTWDNVSSQPIPANATAHLIQTTIEELLAVKCKLEPLWSNILLRLGFERGPEVSNSDGDLTSGTEPFCGRFSLRQPRHLVLTPPAAQKGYRLDQYTHLCLAYKGHMNKILKMIVSFTIGFQNMVKNTTCDWSLTRTSPESWQFDCTDLWETCVRCFGDLQPPPANSPVLVHQINLLPLAQETGLFYVDEIIIADTNVTVSQADSGTARPGGNLVESVSVVGSPPVYSVTSWLAGCGTELPLITARSVPTEGTEEGSGLVLVTTQRRQRTSPPLGGHFRIQLPNTVISDVPVQISAHHLHQLLQNNADDFTSRYLNASDFTVKEDLYTCYEHVWTLSWSTQIGDLPNFIRVSDENLTGVNPAAATRVVYDGGVFLGPIFGDMLATANQHTQVVVRVNDVPAHCPGSCSFQYLQGSTPCVHSVWYSIDGDINLMIYITGTGFSGDSQFLQVTVNKTSCKVIFSNQTNVVCQTDLLPVGMHRILMLVRPSGLAISATGEDLFLNVKPRLDMVEPSRAADIGGLWATIRGSSLEGVSLILFGSYSCAINVATSNSSRIQCKVPPRGKDGRIVNVTVIRGDYSAVLPRAFTYVSSLNPVIVTLSRNISNIAGGETLVIGVARLMNYTDLDVEVHVQDALAPVHTQSAWGLEVALPPLPAGLHRISVSINGVSIHSQGVDLHIQYLTEVFSIEPCCGSLLGGTILSISGIGFSRDPALVWVLVGNRSCDIVNLTEASIWCETLPAPQIPDAGAPTVPAAVEVWAGNRFFARGPSPSLVGKGFTFMYEAAATPVVTAMQGEITNSSLSLHVGGSNLSNSVILLGNLNCDVETQSFQGNVSLSGCSIPLHSLEAGIYPLQVRQKQMGFANMSVVLQQFAVMPRIMAIFPSQGSACGGTILTVRGLLLNSRRRSVRVDLSGPFTCVILSLGDHTILCQVSLEGDPLPGASFSLNVTVLVNGLTSECQGNCTLFIREEASPVMDALSTNTSGSLTTVLIRGQRLATTADEPMVFVDDQLPCNVTFFNASHVVCQTRDLAPGPHYLSVFYTRNGYACSGNVSRHFYIMPQVFHYFPKNFSLHGGSLLTIEGTGLRGQNTTSVYIDQQTCLTVNIGAELIRCIVPTGNGSVALEIEVDGLWYHIGVIGYNKAFTPELISISQSDDILTFAVAQISGAANIDIFIGMSPCVGVSGNHTVLQCVVPSLPAGEYHVRGYDCIRGWASSALVFTSRVIITAVTENFGCLGGRLVHVFGAGFSPGNVSAAVCGAPCRVLANATVSAFSCLVLPLDVSLAFLCGLKREEDSCEAARHTYVQCDLTVAMATEQLLESWPYLYICEESSQCLFVPDHWAESMFPSFSGLFISPKLERDEVLIYNSSCNITMETEAEMECETPNQPITVKITEIRKRWGQNTQGNFSLQFCRRWSRTHSWFPERLPQDGDNVTVENGQLLLLDTNTSILNLLHIKGGKLIFMAPGPIELRAHAILVSDGGELRIGSEDKPFQGRAQITLYGSSYSTPFFPYGVKFLAVRNGTLSLHGSLPEVIVTCLRATAHALDTVLALEDAVDWNPGDEVVIISGTGVKGAKPMEEIVTVETVQDTDLYLKSPLRYSHNFTENWVAGEHHILKATVALLSRSITIQGNLTNEREKLLVSCQEANAPEGNLQHCLYSMSEKMLGSRDMGARVIVQSFPEEPSQVQLKGVQFQVLGQAFHKHLSSLTLVGAMRESFIQGCTVRNSFSRGLSMCGTLGLKVDSNVFYNILGHALLVGTCTEMRYISWEAIHGRKDDWSGHGNIIRNNVIIQVSGAEGLSNPEMLTPSGIYICSPTNVIEGNRVCGAGYGYFFHLMTNQTSQAPLLSFTQNIAHSCTRYGLFVYPKFQPPWDNVTGTTLFQSFTVWESAGGAQIFRSSNLRLKNFKVYSCRDFGIDVLESDANTSVTDSLLLGHFAHKGSLCMSSGIKTPKRWELMVSNTTFVNFDLINCVAIRTCSDCSQGQGGFTVKTSQLKFTNSSNLVAFPFPHAAILEDLDGSLSGKNRSHILASMETLSASCLVNSSFGRVVHGSACGGGVLFHRMSIGLANTPEVSYDLTMTDSRNKTTTVNYVRDTLSNPRGWMALLLDQETYSLQSENLWINRSLQYSATFDNFAPGNYLLLVHTDLPPYPDILLRCGSRVGLSFPFLPSPGQNQGCDWFFNSQLRQLTYLVSGEGQVQVILRVKEGMPPTISASTSAPESALKWSLPETWQGVEEGWGGYNNTIPGPGDDVLILPNRTVLVDTDLPFFKGLYVMGTLDFPVDRSNVLSVACMVIAGGELKVGTLENPLEKEQKLLILLRASEGVFCDRMNGIHIDPGTIGVYGKVHLYSAYPKNSWTHLGADIASGNERIIVEDAVDWRPHDKIVLSSSSYEPHEAEVLTVKEVKGHHVRIYERLKHRHIGSVHVTEDGRHIRLAAEVGLLTRNIQIQPDVSCRGRLFVGSFRKSSREEFSGVLQLLNVEIQNFGSPLYSSVEFSNVSAGSWIISSTLHQSCGGGIHAAASHGVLLNDNIVFGTAGHGIDLEGQAYTVTNNLVVLMTQPAWSTIWVAGIKVNQVKDINLHGNVVAGSERLGFHIRGHKCSSCELLWSDNVAHSSLHGLHLYKESGLDNCTRISGFLAFKNFDYGAMLHVENSVEIENITLVDNTIGLLAVVYVFSAPQNSVKKVQIVLRNSVIVATSSSFDCIQDKVKPHSANLTSTDRAPSNPRGGRIGILWPVFTSEPNQWPQEPWHKVRNDHSISGIMKLQDVTFSSFVKSCYSDDLDVCILPNAENSGIMHPITAERTRMLKIKDKNKFYFPSLQPRKDLGKVVCPELDCASPRKYLFKDLDGRALGLPPPVSVFPKTEAEWTASFFNAGTFREEQKCTYQFLMQGFICKQTDQVVLILDSADAIWAIQKLYPVVSVTSGFVDVFSSVNANIPCSTSGSVSTFYSILPIRQITKVCFMDQTPQVLRFFLLGNKSTSKLLLAVFYHELQSPHVFLGESFIPPTLVQSASLLLNESIGANYFNIMDNLLYVVLQGEEPIEIRSGVSIHLALTVMVSVLEKGWEIVILERLTNFLQIGQNQIRFIHEMPGHEETLKAIADSRAKRKRNCPTVTCTSHYRRVGQRRPLMMEMNSHRASPPMTVETISKVIVIEIGDSPTVRSTGMISSLSSNKLQNLAHRVITAQQTGVLENVLNMTIGALLVTQSKGVIGYGNTSSFKTGNLIYIRPYALSILVQPSDGEVGNELPVQPQLVFLDEQNRRVESLGPPSEPWTISASLEGASDSVLKGCTQAETQDGYVSFYNLAVLISGSNWHFIFTVTSPPGVNFTARSKPFAVLPVTRKEKSTIILAASLSSVASWLALSCLVCCWLKRSKSRKTKPEEIPESQTNNQNIHIHISSKRRESQGPKKEDTVVGEDMRMKVMLGKVNQCPHQLMNGVSRRKVSRHIVREEEAAVPAPGTTGITSHGHICAPGAPAQQVYLQETGNWKEGQEQLLRYQLAGQNQLLLLCPDFRQERQQLPGQSRLSKQSGSLGLSQEKKASCGATEAFCLHSVHPETIQEQL
+>DECOY_sp|P08F94|PKHD1_HUMAN Fibrocystin OS=Homo sapiens OX=9606 GN=PKHD1 PE=1 SV=1
+LQEQITEPHVSHLCFAETAGCSAKKEQSLGLSGSQKSLRSQGPLQQREQRFDPCLLLLQNQGALQYRLLQEQGEKWNGTEQLYVQQAPAGPACIHGHSTIGTTGPAPVAAEEERVIHRSVKRRSVGNMLQHPCQNVKGLMVKMRMDEGVVTDEKKPGQSERRKSSIHIHINQNNTQSEPIEEPKTKRSKSRKLWCCVLCSLALWSAVSSLSAALIITSKEKRTVPLVAFPKSRATFNVGPPSTVTFIFHWNSGSILVALNYFSVYGDQTEAQTCGKLVSDSAGELSASITWPESPPGLSEVRRNQEDLFVLQPQVPLENGVEGDSPQVLISLAYPRIYILNGTKFSSTNGYGIVGKSQTVLLAGITMNLVNELVGTQQATIVRHALNQLKNSSLSSIMGTSRVTPSDGIEIVIVKSITEVTMPPSARHSNMEMMLPRRQGVRRYHSTCTVTPCNRKRKARSDAIAKLTEEHGPMEHIFRIQNQGIQLFNTLRELIVIEWGKELVSVMVTLALHISVGSRIEIPEEGQLVVYLLNDMINFYNAGISENLLLSASQVLTPPIFSEGLFVHPSQLEHYFVALLLKSTSKNGLLFFRLVQPTQDMFCVKTIQRIPLISYFTSVSGSTSCPINANVSSFVDVFGSTVSVVPYLKQIAWIADASDLILVVQDTQKCIFGQMLFQYTCKQEERFTGANFFSATWEAETKPFVSVPPPLGLARGDLDKFLYKRPSACDLEPCVVKGLDKRPQLSPFYFKNKDKIKLMRTREATIPHMIGSNEANPLICVDLDDSYCSKVFSSFTVDQLKMIGSISHDNRVKHWPEQPWQNPESTFVPWLIGIRGGRPNSPARDTSTLNASHPKVKDQICDFSSSTAVIVSNRLVIQVKKVSNQPASFVYVVALLGITNDVLTINEIEVSNEVHLMAGYDFNKFALFGSIRTCNDLGSEKYLHLGHLSSHAVNDSWLLECSSCKHGRIHFGLRESGAVVNGHLNIDKVQNVKIGAVWITSWAPQTMLVVLNNTVTYAQGELDIGHGATGFVINDNLLVGHSAAAHIGGGCSQHLTSSIIWSGASVNSFEVSSYLPSGFNQIEVNLLQLVGSFEERSSKRFSGVFLRGRCSVDPQIQINRTLLGVEAALRIHRGDETVHVSGIHRHKLREYIRVHHGKVEKVTLVEAEHPEYSSSSLVIKDHPRWDVADEVIIRENGSAIDAGLHTWSNKPYASYLHVKGYVGITGPDIHIGNMRDCFVGESARLLILLKQEKELPNELTGVKLEGGAIVMCAVSLVNSRDVPFDLTGMVYLGKFFPLDTDVLVTRNPLILVDDGPGPITNNYGGWGEEVGQWTEPLSWKLASEPASTSASITPPMGEKVRLIVQVQGEGSVLYTLQRLQSNFFWDCGQNQGPSPLFPFSLGVRSGCRLLIDPYPPLDTHVLLLYNGPAFNDFTASYQLSRNIWLNESQLSYTEQDLLLAMWGRPNSLTDRVYNVTTTKNRSDTMTLDYSVEPTNALGISMRHFLVGGGCASGHVVRGFSSNVLCSASLTEMSALIHSRNKGSLSGDLDELIAAHPFPFAVLNSSNTFKLQSTKVTFGGQGQSCDSCTRIAVCNILDFNVFTTNSVMLEWRKPTKIGSSMCLSGKHAFHGLLLSDTVSTNADSELVDIGFDRCSYVKFNKLRLNSSRFIQAGGASEWVTFSQFLTTGTVNDWPPQFKPYVFLGYRTCSHAINQTFSLLPAQSTQNTMLHFFYGYGAGCVRNGEIVNTPSCIYIGSPTLMEPNSLGEAGSVQIIVNNRIINGHGSWDDKRGHIAEWSIYRMETCTGVLLAHGLINYFVNSDVKLGLTGCMSLGRSFSNRVTCGQIFSERMAGVLTLSSLHKHFAQGLVQFQVGKLQVQSPEEPFSQVIVRAGMDRSGLMKESMSYLCHQLNGEPANAEQCSVLLKERENTLNGQITISRSLLAVTAKLIHHEGAVWNETFNHSYRLPSKLYLDTDQVTEVTVIEEMPKAGKVGTGSIIVVEDGPNWDVADELALVTDLAHATARLCTVIVEPLSGHLSLTGNRVALFKVGYPFFPTSYSSGYLTIQARGQFPKDESGIRLEGGDSVLIAHARLEIPGPAMFILKGGKIHLLNLISTNTDLLLLQGNEVTVNDGDQPLREPFWSHTRSWRRCFQLSFNGQTNQGWRKRIETIKVTIPQNPTECEMEAETEMTINCSSNYILVEDRELKPSIFLGSFSPFMSEAWHDPVFLCQSSEECIYLYPWSELLQETAMAVTLDCQVYTHRAAECSDEERKLGCLFALSVDLPLVLCSFASVTANALVRCPAGCVAASVNGPSFGAGFVHVLRGGLCGFNETVATIIVRSTFVLASSAWGRICDYGRVHYEGAPLSPVVCQLVTHNGSVGVCPSMGIFIDINAAGSIQAVAFTLIDDSQSISILEPTFAKNYGIVGIHYWLGDVEIELAVSGNGTPVICRILEAGINVTLCTQQDIYVSTTNQGRLGTGEITLLSGGHLSFNKPFYHFVQPMIYFHRSVNGSCAYGNRTYFVSLYHPGPALDRTQCVVHSANFFTVNCPLQDDVFVMPEDATTALRQGRILVTTLSGSTNTSLADMVPSAEERIFLTCNGQCESTLGNVLVTVNLSFSAGPLPDGELSVQCLITHDGLSLIVCTFPGSLDVRVSRRRSNLLLGRVTLITGGCASGQSPFIAMIRPMVAFQQLVVSMNAFGMQKQRVQLPYIGAELSHLPISCGSLSVNGQFSQTEVDCNLNGLLIVSNSLNSGGVHLSLSSNTIEGQMATVVPTAAAEYMFTFGKGVLSPSPGRAFFRNGAWVEVAAPVTPAGADPIQPAPLTECWISAETLNVIDCSRNGVLVWVLAPDRSFGIGSISLITGGLLSGCCPEISFVETLYQIHLDVGQSHISVGNISVSIRHLGAPLPPLAVELGWASQTHVPALADQVHVEVDLDTYNMLRAVGIVLTEGGAINSINRSLTVIVPNLSSVYTFARPLVASYDGRIVTVNVIRGDKGRPPVKCQIRSSNSTAVNIACSYSGFLILSVGELSSGRITAWLGGIDAARSPEVMDLRPKVNLFLDEGTASIALGSPRVLMLIRHMGVPLLDTQCVVNTQNSFIVKCSTKNVTVQLFQSDGSFGTGTIYIMLNIDGDISYWVSHVCPTSGQLYQFSCSGPCHAPVDNVRVVVQTHQNATALMDGFIPGLFVGGDYVVRTAAAPNVGTLNEDSVRIFNPLDGIQTSWSLTWVHEYCTYLDEKVTFDSANLYRSTFDDANNQLLQHLHHASIQVPVDSIVTNPLQIRFHGGLPPSTRQRRQTTVLVLGSGEETGETPVSRATILPLETGCGALWSTVSYVPPSGVVSVSEVLNGGPRATGSDAQSVTVNTDAIIIEDVYFLGTEQALPLLNIQHVLVPSNAPPPQLDGFCRVCTEWLDTCDFQWSEPSTRTLSWDCTTNKVMNQFGITFSVIMKLIKNMHGKYALCLHTYQDLRYGKQAAPPTLVLHRPQRLSFRGCFPETGSTLDGDSNSVEPGREFGLRLLINSWLPELKCKVALLEEITTQILHATANAPIPQSSVNDWTLFFNGRGSVNLVQVEPLRQARVRIQHKERLYTTVVDPNLWTNHIQVGIRMGRSPAIGHHEAELYYMAGGLLELKPTKQQWTGEDRNQEWSDFWDATGVSISAVKVKTRPEESWSFHLSAQSDAQIWFTYNNTEPAVFFGSLRARFPQGEQSWFGFPSSANPVIQWRYGPTAETLELGEVADGVEFLLGRNGPQPTTLRVDKGPARTTCEIKRPSVHRIDCPIGAITVQASNDFFDGTITINTRGGLSGTEPFVSLIESHTQYLFLDQKASILWAKKHVMSKGKNFVSFSVNQSGIYDGEVHCQLTGLGHDEQIPYCSGMQRNILSCPTVWKDGQAELIVPSDIYEADFDFTELRGTIIWGYVHILKGPVGSPPYVQHVIPTQAKSFKFTCSDRPGPNPSSVLQGGFYAELFYLGEHAESLVSRTRCTVVPLDLFVPFVDCPVSRLAPVVMNVNVLHIELQSGNNPYLVGLELGDFIVTIWTGGALSGEEPEIHLSLHRVALLLVEISMLSILWATM
+>sp|A1L390|PKHG3_HUMAN Pleckstrin homology domain-containing family G member 3 OS=Homo sapiens OX=9606 GN=PLEKHG3 PE=1 SV=1
+MPVSTSLHQDGSQERPVSLTSTTSSSGSSCDSRSAMEEPSSSEAPAKNGAGSLRSRHLPNSNNNSSSWLNVKGPLSPFNSRAAAGPAHHKLSYLGRVVREIVETERMYVQDLRSIVEDYLLKIIDTPGLLKPEQVSALFGNIENIYALNSQLLRDLDSCNSDPVAVASCFVERSQEFDIYTQYCNNYPNSVAALTECMRDKQQAKFFRDRQELLQHSLPLGSYLLKPVQRILKYHLLLQEIAKHFDEEEDGFEVVEDAIDTMTCVAWYINDMKRRHEHAVRLQEIQSLLINWKGPDLTTYGELVLEGTFRVHRVRNERTFFLFDKTLLITKKRGDHFVYKGNIPCSSLMLIESTRDSLCFTVTHYKHSKQQYSIQAKTVEEKRNWTHHIKRLILENHHATIPQKAKEAILEMDSYYPNRYRCSPERLKKAWSSQDEVSTNVRQGRRQSEPTKHLLRQLNEKARAAGMKGKGRRESESSRSSRRPSGRSPTSTEKRMSFESISSLPEVEPDPEAGSEQEVFSAVEGPSAEETPSDTESPEVLETQLDAHQGLLGMDPPGDMVDFVAAESTEDLKALSSEEEEEMGGAAQEPESLLPPSVLDQASVIAERFVSSFSRRSSVAQEDSKSSGFGSPRLVSRSSSVLSLEGSEKGLARHGSATDSLSCQLSPEVDISVGVATEDSPSVNGMEPPSPGCPVEPDRSSCKKKESALSTRDRLLLDKIKSYYENAEHHDAGFSVRRRESLSYIPKGLVRNSISRFNSLPRPDPEPVPPVGSKRQVGSRPTSWALFELPGPSQAVKGDPPPISDAEFRPSSEIVKIWEGMESSGGSPGKGPGQGQANGFDLHEPLFILEEHELGAITEESATASPESSSPTEGRSPAHLARELKELVKELSSSTQGELVAPLHPRIVQLSHVMDSHVSERVKNKVYQLARQYSLRIKSNKPVMARPPLQWEKVAPERDGKSPTVPCLQEEAGEPLGGKGKRKPVLSLFDYEQLMAQEHSPPKPSSAGEMSPQRFFFNPSAVSQRTTSPGGRPSARSPLSPTETFSWPDVRELCSKYASRDEARRAGGGRPRGPPVNRSHSVPENMVEPPLSGRVGRCRSLSTKRGRGGGEAAQSPGPLPQSKPDGGETLYVTADLTLEDNRRVIVMEKGPLPSPTAGLEESSGQGPSSPVALLGQVQDFQQSAECQPKEEGSRDPADPSQQGRVRNLREKFQALNSVG
+>DECOY_sp|A1L390|PKHG3_HUMAN Pleckstrin homology domain-containing family G member 3 OS=Homo sapiens OX=9606 GN=PLEKHG3 PE=1 SV=1
+GVSNLAQFKERLNRVRGQQSPDAPDRSGEEKPQCEASQQFDQVQGLLAVPSSPGQGSSEELGATPSPLPGKEMVIVRRNDELTLDATVYLTEGGDPKSQPLPGPSQAAEGGGRGRKTSLSRCRGVRGSLPPEVMNEPVSHSRNVPPGRPRGGGARRAEDRSAYKSCLERVDPWSFTETPSLPSRASPRGGPSTTRQSVASPNFFFRQPSMEGASSPKPPSHEQAMLQEYDFLSLVPKRKGKGGLPEGAEEQLCPVTPSKGDREPAVKEWQLPPRAMVPKNSKIRLSYQRALQYVKNKVRESVHSDMVHSLQVIRPHLPAVLEGQTSSSLEKVLEKLERALHAPSRGETPSSSEPSATASEETIAGLEHEELIFLPEHLDFGNAQGQGPGKGPSGGSSEMGEWIKVIESSPRFEADSIPPPDGKVAQSPGPLEFLAWSTPRSGVQRKSGVPPVPEPDPRPLSNFRSISNRVLGKPIYSLSERRRVSFGADHHEANEYYSKIKDLLLRDRTSLASEKKKCSSRDPEVPCGPSPPEMGNVSPSDETAVGVSIDVEPSLQCSLSDTASGHRALGKESGELSLVSSSRSVLRPSGFGSSKSDEQAVSSRRSFSSVFREAIVSAQDLVSPPLLSEPEQAAGGMEEEEESSLAKLDETSEAAVFDVMDGPPDMGLLGQHADLQTELVEPSETDSPTEEASPGEVASFVEQESGAEPDPEVEPLSSISEFSMRKETSTPSRGSPRRSSRSSESERRGKGKMGAARAKENLQRLLHKTPESQRRGQRVNTSVEDQSSWAKKLREPSCRYRNPYYSDMELIAEKAKQPITAHHNELILRKIHHTWNRKEEVTKAQISYQQKSHKYHTVTFCLSDRTSEILMLSSCPINGKYVFHDGRKKTILLTKDFLFFTRENRVRHVRFTGELVLEGYTTLDPGKWNILLSQIEQLRVAHEHRRKMDNIYWAVCTMTDIADEVVEFGDEEEDFHKAIEQLLLHYKLIRQVPKLLYSGLPLSHQLLEQRDRFFKAQQKDRMCETLAAVSNPYNNCYQTYIDFEQSREVFCSAVAVPDSNCSDLDRLLQSNLAYINEINGFLASVQEPKLLGPTDIIKLLYDEVISRLDQVYMRETEVIERVVRGLYSLKHHAPGAAARSNFPSLPGKVNLWSSSNNNSNPLHRSRLSGAGNKAPAESSSPEEMASRSDCSSGSSSTTSTLSVPREQSGDQHLSTSVPM
+>sp|Q9ULM0|PKHH1_HUMAN Pleckstrin homology domain-containing family H member 1 OS=Homo sapiens OX=9606 GN=PLEKHH1 PE=2 SV=2
+MAELKVEAPASVDWQKRCLTLETQLFRFRLQASKIRELLADKMQELEQRLLEAEQRAENAETQVGVMEEKVKLSNLKNVDSEGSLHRKYQELLKAIKGKDELISQLEAQLEKQKQMRAEEAKTVQEKAAKIKEWVTLKLAKLEMENQHLKSHNQRLVEQVGSLQDALEAIQIAPSRKLLVPPYGAAEQDSVPSEPGIQPMGQDSGSQAQGLKAAVLAPSPGALQSKDSVSEAASPLEDSSSSTVHSGETVEAKPLQPHLGRESPPHQPCMKLLTFRCSSASWGEGLVTAQRGMLPGTKTSAREGGPGSSLTLPKVRAPGTPRDSIQLAKRHHSQPQVGHGHFGRVVNIETEAFSALHPSGLPELESRARSREEPEKMEMEEPPPAGKNEERESPKALGAELEEVELGNKPPTPPLHQFSSWESRIYAVATSGMRLSDMSPRSNTACCASSPPALVSPGSFSGLVYKNVTVPVYTALKGRATQISNMPFMDESSGSDDDCSSQASFRISVPSSESRKTSGLGSPRAIKRGVSMSSLSSEGDYAIPPDACSLDSDYSEPEHKLQRTSSYSTDGLGLGGESLEKSGYLLKMGSQVKTWKRRWFVLRQGQIMYYKSPSDVIRKPQGQVDLNSRCQIVRGEGSQTFQLISEKKTYYLTADSPSLLEEWIRVLQSLLKVQATGPPALLRGGTKPTVKGWLTKVKHGHSKVVWCALVGKIFYYYRSHEDKRPLGCLPVRDAHIEEVDRSCDSDEDYEAGGTRRLLSSHCTLVIHPTEHSPTYLLIGTKHEKDTWLYHLTVAAGGSSAKVGTAYEQLIGKLMDGEGDPDSPLWRHPMLCYSKDGLYASLTTLPSEALQTEALKLFKSCQLFINVPVEAASVDYHVSLAQTALQVCLVHPELQSEIYCQLMKQTSCRPPQKYSLMQCWQLLALCAPLFLPQHHFLWYVKQQLQRHADPRSETGQYATYCQRAVERTLRTGEREARPSRMEVVSILLRNPFHHSLPFSIPVHFTNGTYHVVGFDGSSTVDEFLQRLNQEIGMRKPSHSGFALFTDDPSGRDLEHCLQGSVKICDAISKWEQAMKELHPGKSEGGTRVVKLMYKNRLYFRSQVKGETDRERLLLASQTSREIVAGRFPINKELALEMAALMAQVEYGDLEKPALPGPGGTSPAKAQHLLQQVLDRFHPRRYRHGAPAEQLRHLADMLTTKWATLQGCSPPECIRIYLTVARKWPFFGAKLFAAQPAQLSSKENALVWIAVNEDGVSILDHNTMQVHITYPYSSVTTFGGCRDDFMLVIRSIPDKSSGKSHIEKLIFRMAAPKIAEATFIMASYMNHCTTTVNPPTNPPGACQLWELDGRQFFSSVSCATKGPTLL
+>DECOY_sp|Q9ULM0|PKHH1_HUMAN Pleckstrin homology domain-containing family H member 1 OS=Homo sapiens OX=9606 GN=PLEKHH1 PE=2 SV=2
+LLTPGKTACSVSSFFQRGDLEWLQCAGPPNTPPNVTTTCHNMYSAMIFTAEAIKPAAMRFILKEIHSKGSSKDPISRIVLMFDDRCGGFTTVSSYPYTIHVQMTNHDLISVGDENVAIWVLANEKSSLQAPQAAFLKAGFFPWKRAVTLYIRICEPPSCGQLTAWKTTLMDALHRLQEAPAGHRYRRPHFRDLVQQLLHQAKAPSTGGPGPLAPKELDGYEVQAMLAAMELALEKNIPFRGAVIERSTQSALLLRERDTEGKVQSRFYLRNKYMLKVVRTGGESKGPHLEKMAQEWKSIADCIKVSGQLCHELDRGSPDDTFLAFGSHSPKRMGIEQNLRQLFEDVTSSGDFGVVHYTGNTFHVPISFPLSHHFPNRLLISVVEMRSPRAEREGTRLTREVARQCYTAYQGTESRPDAHRQLQQKVYWLFHHQPLFLPACLALLQWCQMLSYKQPPRCSTQKMLQCYIESQLEPHVLCVQLATQALSVHYDVSAAEVPVNIFLQCSKFLKLAETQLAESPLTTLSAYLGDKSYCLMPHRWLPSDPDGEGDMLKGILQEYATGVKASSGGAAVTLHYLWTDKEHKTGILLYTPSHETPHIVLTCHSSLLRRTGGAEYDEDSDCSRDVEEIHADRVPLCGLPRKDEHSRYYYFIKGVLACWVVKSHGHKVKTLWGKVTPKTGGRLLAPPGTAQVKLLSQLVRIWEELLSPSDATLYYTKKESILQFTQSGEGRVIQCRSNLDVQGQPKRIVDSPSKYYMIQGQRLVFWRRKWTKVQSGMKLLYGSKELSEGGLGLGDTSYSSTRQLKHEPESYDSDLSCADPPIAYDGESSLSSMSVGRKIARPSGLGSTKRSESSPVSIRFSAQSSCDDDSGSSEDMFPMNSIQTARGKLATYVPVTVNKYVLGSFSGPSVLAPPSSACCATNSRPSMDSLRMGSTAVAYIRSEWSSFQHLPPTPPKNGLEVEELEAGLAKPSEREENKGAPPPEEMEMKEPEERSRARSELEPLGSPHLASFAETEINVVRGFHGHGVQPQSHHRKALQISDRPTGPARVKPLTLSSGPGGERASTKTGPLMGRQATVLGEGWSASSCRFTLLKMCPQHPPSERGLHPQLPKAEVTEGSHVTSSSSDELPSAAESVSDKSQLAGPSPALVAAKLGQAQSGSDQGMPQIGPESPVSDQEAAGYPPVLLKRSPAIQIAELADQLSGVQEVLRQNHSKLHQNEMELKALKLTVWEKIKAAKEQVTKAEEARMQKQKELQAELQSILEDKGKIAKLLEQYKRHLSGESDVNKLNSLKVKEEMVGVQTEANEARQEAELLRQELEQMKDALLERIKSAQLRFRFLQTELTLCRKQWDVSAPAEVKLEAM
+>sp|Q8IVE3|PKHH2_HUMAN Pleckstrin homology domain-containing family H member 2 OS=Homo sapiens OX=9606 GN=PLEKHH2 PE=1 SV=2
+MAELSEPEGPVDWKERCVALESQLMKFRVQASKIRELLAEKMQQLERQVIDAERQAEKAFQQVQVMEDKLKAANIQTSESETRLYNKCQDLESLIQEKDDVIQNLELQLEEQKQIRIQEAKIIEEKAAKIKEWVTVKLNELELENQNLRLINQNQTEEIRTMQSKLQEVQGKKSSTVSTLKLSEGQRLSSLTFGCFLSRARSPPQVVKSEEMSKISSKEPEFTEGKDMEEMEIPEKSVDNQVLENNRGQRTLHQTPCGSEQNRKTRTSFATDGGISQNSGAPVSDWSSDEEDGSKGRSKSRCTSTLSSHTSEEGVQCSRMGSEMYLTASDDSSSIFEEETFGIKRPEHKKLYSWQQEAQWKALNSPLGKGNSELSKKEQDSSSDELNKKFQSQRLDYSSSSSEANTPSPILTPALMPKHPNSLSGKGTQLVPSSHLPPPKLRIPNVFSISVALAKRHLSQPQLSSDRMFGTNRNAISMIRPLRPQETDLDLVDGDSTEVLENMDTSCDDGLFSYDSLDSPNSDDQEHCDSAKKVAYSKPPTPPLHRFPSWESRIYAVAKSGIRMSEAFNMESVNKNSAATLSYTTSGLYTSLIYKNMTTPVYTTLKGKATQISSSPFLDDSSGSEEEDSSRSSSRTSESDSRSRSGPGSPRAMKRGVSLSSVASESDYAIPPDAYSTDTEYSQPEQKLPKTCSSSSDNGKNEPLEKSGYLLKMSGKVKSWKRRWFVLKGGELLYYKSPSDVIRKPQGHIELSASCSILRGDNKQTVQLTTEKHTYYLTADSPNILEEWIKVLQNVLRVQAANPLSLQPEGKPTMKGLLTKVKHGYSKRVWCTLIGKTLYYFRSQEDKFPLGQIKLWEAKVEEVDRSCDSDEDYEASGRSLLSTHYTIVIHPKDQGPTYLLIGSKHEKDTWLYHLTVAAGSNNVNVGSEFEQLVCKLLNIDGEPSSQIWRHPTLCHSKEGIISPLTTLPSEALQTEAIKLFKTCQLFINAAVDSPAIDYHISLAQSALQICLTHPELQNEICCQLIKQTRRRQPQNQPGPLQGWQLLALCVGLFLPHHPFLWLLRLHLKRNADSRTEFGKYAIYCQRCVERTQQNGDREARPSRMEILSTLLRNPYHHSLPFSIPVHFMNGIYQVVGFDASTTVEEFLNTLNQDTGMRKPAQSGFALFTDDPSGRDLEHCLQGNIKICDIISKWEQASKEQQPGKCEGTRTVRLTYKNRLYFSVQARGETDREKLLLMYQTNDQIINGLFPLNKDLALEMAALLSQVEIGDFERPFSTPAGHVTNQCKVNQTLKQVIEKFYPKRYRDGCSEEQLRQLCQRLSTRWMALRGHSAADCVRIYLTVARKWPFFGAKLFLAKPITPSSLGSTFLWLAVHEDGLSLLEYNSMRLIVSYVYKSLMTFGGYQDDFMVVINNTHSKDKPTEKLLFAMAKPKILEITLLIASYINNFHQQKAAFHHLSAPALLSAQTRGPQARMMGSQPLLSSSRPTKGPTLL
+>DECOY_sp|Q8IVE3|PKHH2_HUMAN Pleckstrin homology domain-containing family H member 2 OS=Homo sapiens OX=9606 GN=PLEKHH2 PE=1 SV=2
+LLTPGKTPRSSSLLPQSGMMRAQPGRTQASLLAPASLHHFAAKQQHFNNIYSAILLTIELIKPKAMAFLLKETPKDKSHTNNIVVMFDDQYGGFTMLSKYVYSVILRMSNYELLSLGDEHVALWLFTSGLSSPTIPKALFLKAGFFPWKRAVTLYIRVCDAASHGRLAMWRTSLRQCLQRLQEESCGDRYRKPYFKEIVQKLTQNVKCQNTVHGAPTSFPREFDGIEVQSLLAAMELALDKNLPFLGNIIQDNTQYMLLLKERDTEGRAQVSFYLRNKYTLRVTRTGECKGPQQEKSAQEWKSIIDCIKINGQLCHELDRGSPDDTFLAFGSQAPKRMGTDQNLTNLFEEVTTSADFGVVQYIGNMFHVPISFPLSHHYPNRLLTSLIEMRSPRAERDGNQQTREVCRQCYIAYKGFETRSDANRKLHLRLLWLFPHHPLFLGVCLALLQWGQLPGPQNQPQRRRTQKILQCCIENQLEPHTLCIQLASQALSIHYDIAPSDVAANIFLQCTKFLKIAETQLAESPLTTLPSIIGEKSHCLTPHRWIQSSPEGDINLLKCVLQEFESGVNVNNSGAAVTLHYLWTDKEHKSGILLYTPGQDKPHIVITYHTSLLSRGSAEYDEDSDCSRDVEEVKAEWLKIQGLPFKDEQSRFYYLTKGILTCWVRKSYGHKVKTLLGKMTPKGEPQLSLPNAAQVRLVNQLVKIWEELINPSDATLYYTHKETTLQVTQKNDGRLISCSASLEIHGQPKRIVDSPSKYYLLEGGKLVFWRRKWSKVKGSMKLLYGSKELPENKGNDSSSSCTKPLKQEPQSYETDTSYADPPIAYDSESAVSSLSVGRKMARPSGPGSRSRSDSESTRSSSRSSDEEESGSSDDLFPSSSIQTAKGKLTTYVPTTMNKYILSTYLGSTTYSLTAASNKNVSEMNFAESMRIGSKAVAYIRSEWSPFRHLPPTPPKSYAVKKASDCHEQDDSNPSDLSDYSFLGDDCSTDMNELVETSDGDVLDLDTEQPRLPRIMSIANRNTGFMRDSSLQPQSLHRKALAVSISFVNPIRLKPPPLHSSPVLQTGKGSLSNPHKPMLAPTLIPSPTNAESSSSSYDLRQSQFKKNLEDSSSDQEKKSLESNGKGLPSNLAKWQAEQQWSYLKKHEPRKIGFTEEEFISSSDDSATLYMESGMRSCQVGEESTHSSLTSTCRSKSRGKSGDEEDSSWDSVPAGSNQSIGGDTAFSTRTKRNQESGCPTQHLTRQGRNNELVQNDVSKEPIEMEEMDKGETFEPEKSSIKSMEESKVVQPPSRARSLFCGFTLSSLRQGESLKLTSVTSSKKGQVEQLKSQMTRIEETQNQNILRLNQNELELENLKVTVWEKIKAAKEEIIKAEQIRIQKQEELQLELNQIVDDKEQILSELDQCKNYLRTESESTQINAAKLKDEMVQVQQFAKEAQREADIVQRELQQMKEALLERIKSAQVRFKMLQSELAVCREKWDVPGEPESLEAM
+>sp|Q7Z736|PKHH3_HUMAN Pleckstrin homology domain-containing family H member 3 OS=Homo sapiens OX=9606 GN=PLEKHH3 PE=1 SV=2
+MPLPGGLWWLLCCRRGFTLLHRDYGDGELSGDGDEDEDEETFELRTPSPAGGGRGPLEVTLTQPVRSGPVSNRLQSWEETWSLIPEKGLPEDDPDIVVKGWLYREPRGGGARPWLPPRRAWFVLTRDSLDQFSSSGKGARRLGSLVLTSLCSVTGPERRRKETGLWSVTVSGRKHSVRLCSPRQAEAERWGVALREVIASKAPLETPTQLLLRDIQESCGDPEAVALIYLRNPILRHTSGALYAPLLPLPYGVSAPGPGYAPLREEAVRLFLALQALEGARRPGPLMQGVLQTCRDLPALRDELFLQLAKQTSGPAGPPGLPATQDPAALRYWQLLTCMSCTFRPGGAVRGHLLGHLERTEQALPDSELAEYARFIRKALGRTRGRELVPSLAEISALSQRQELLCTVHCPGAGACAVAIDSHTTAGEVARELVGRLGLARSRNAFALYEQRGAQERALAGGTLVADVLTRFENLAAEEAGLEDSPDSGWRLCLRLHGPLHPEGLSPDGHELPFLFEQAHALLLRGRPPPPDDTLRALAALRLQSLQRDFSPRVPLPRLDRLLPPPAPPREDPPRPTPRPPPSAALLAGALWSPGLAKRRAERARRGGAGRTAGSIAREGGGGAGTAAAVLGGWKRLRGMGRAEAMAAYLALAAQCPGFGAARYDVLELSTEPGRGAPQKLCLGLGAKAMSLSRPGETEPIHSVSYGHVAACQLMGPHTLALRVGESQLLLQSPQVEEIMQLVNAYLANPSPERPCSSSSPPCQDLPDTSPPSQRPGLDEPQGQSGCLGQLQD
+>DECOY_sp|Q7Z736|PKHH3_HUMAN Pleckstrin homology domain-containing family H member 3 OS=Homo sapiens OX=9606 GN=PLEKHH3 PE=1 SV=2
+DQLQGLCGSQGQPEDLGPRQSPPSTDPLDQCPPSSSSCPREPSPNALYANVLQMIEEVQPSQLLLQSEGVRLALTHPGMLQCAAVHGYSVSHIPETEGPRSLSMAKAGLGLCLKQPAGRGPETSLELVDYRAAGFGPCQAALALYAAMAEARGMGRLRKWGGLVAAATGAGGGGERAISGATRGAGGRRAREARRKALGPSWLAGALLAASPPPRPTPRPPDERPPAPPPLLRDLRPLPVRPSFDRQLSQLRLAALARLTDDPPPPRGRLLLAHAQEFLFPLEHGDPSLGEPHLPGHLRLCLRWGSDPSDELGAEEAALNEFRTLVDAVLTGGALAREQAGRQEYLAFANRSRALGLRGVLERAVEGATTHSDIAVACAGAGPCHVTCLLEQRQSLASIEALSPVLERGRTRGLAKRIFRAYEALESDPLAQETRELHGLLHGRVAGGPRFTCSMCTLLQWYRLAAPDQTAPLGPPGAPGSTQKALQLFLEDRLAPLDRCTQLVGQMLPGPRRAGELAQLALFLRVAEERLPAYGPGPASVGYPLPLLPAYLAGSTHRLIPNRLYILAVAEPDGCSEQIDRLLLQTPTELPAKSAIVERLAVGWREAEAQRPSCLRVSHKRGSVTVSWLGTEKRRREPGTVSCLSTLVLSGLRRAGKGSSSFQDLSDRTLVFWARRPPLWPRAGGGRPERYLWGKVVIDPDDEPLGKEPILSWTEEWSQLRNSVPGSRVPQTLTVELPGRGGGAPSPTRLEFTEEDEDEDGDGSLEGDGYDRHLLTFGRRCCLLWWLGGPLPM
+>sp|Q96KN3|PKNX2_HUMAN Homeobox protein PKNOX2 OS=Homo sapiens OX=9606 GN=PKNOX2 PE=2 SV=2
+MMQHASPAPALTMMATQNVPPPPYQDSPQMTATAQPPSKAQAVHISAPSAAASTPVPSAPIDPQAQLEADKRAVYRHPLFPLLTLLFEKCEQATQGSECITSASFDVDIENFVHQQEQEHKPFFSDDPELDNLMVKAIQVLRIHLLELEKVNELCKDFCNRYITCLKTKMHSDNLLRNDLGGPYSPNQPSINLHSQDLLQNSPNSMSGVSNNPQGIVVPASALQQGNIAMTTVNSQVVSGGALYQPVTMVTSQGQVVTQAIPQGAIQIQNTQVNLDLTSLLDNEDKKSKNKRGVLPKHATNIMRSWLFQHLMHPYPTEDEKRQIAAQTNLTLLQVNNWFINARRRILQPMLDASNPDPAPKAKKIKSQHRPTQRFWPNSIAAGVLQQQGGAPGTNPDGSINLDNLQSLSSDSATMAMQQAMMAAHDDSLDGTEEEDEDEMEEEEEEELEEEVDELQTTNVSDLGLEHSDSLE
+>DECOY_sp|Q96KN3|PKNX2_HUMAN Homeobox protein PKNOX2 OS=Homo sapiens OX=9606 GN=PKNOX2 PE=2 SV=2
+ELSDSHELGLDSVNTTQLEDVEEELEEEEEEEMEDEDEEETGDLSDDHAAMMAQQMAMTASDSSLSQLNDLNISGDPNTGPAGGQQQLVGAAISNPWFRQTPRHQSKIKKAKPAPDPNSADLMPQLIRRRANIFWNNVQLLTLNTQAAIQRKEDETPYPHMLHQFLWSRMINTAHKPLVGRKNKSKKDENDLLSTLDLNVQTNQIQIAGQPIAQTVVQGQSTVMTVPQYLAGGSVVQSNVTTMAINGQQLASAPVVIGQPNNSVGSMSNPSNQLLDQSHLNISPQNPSYPGGLDNRLLNDSHMKTKLCTIYRNCFDKCLENVKELELLHIRLVQIAKVMLNDLEPDDSFFPKHEQEQQHVFNEIDVDFSASTICESGQTAQECKEFLLTLLPFLPHRYVARKDAELQAQPDIPASPVPTSAAASPASIHVAQAKSPPQATATMQPSDQYPPPPVNQTAMMTLAPAPSAHQMM
+>sp|Q99959|PKP2_HUMAN Plakophilin-2 OS=Homo sapiens OX=9606 GN=PKP2 PE=1 SV=2
+MAAPGAPAEYGYIRTVLGQQILGQLDSSSLALPSEAKLKLAGSSGRGGQTVKSLRIQEQVQQTLARKGRSSVGNGNLHRTSSVPEYVYNLHLVENDFVGGRSPVPKTYDMLKAGTTATYEGRWGRGTAQYSSQKSVEERSLRHPLRRLEISPDSSPERAHYTHSDYQYSQRSQAGHTLHHQESRRAALLVPPRYARSEIVGVSRAGTTSRQRHFDTYHRQYQHGSVSDTVFDSIPANPALLTYPRPGTSRSMGNLLEKENYLTAGLTVGQVRPLVPLQPVTQNRASRSSWHQSSFHSTRTLREAGPSVAVDSSGRRAHLTVGQAAAGGSGNLLTERSTFTDSQLGNADMEMTLERAVSMLEADHMLPSRISAAATFIQHECFQKSEARKRVNQLRGILKLLQLLKVQNEDVQRAVCGALRNLVFEDNDNKLEVAELNGVPRLLQVLKQTRDLETKKQITDHTVNLRSRNGWPGAVAHACNPSTLGGQGGRITRSGVRDQPDQHGLLWNLSSNDKLKNLMITEALLTLTENIIIPFSGWPEGDYPKANGLLDFDIFYNVTGCLRNMSSAGADGRKAMRRCDGLIDSLVHYVRGTIADYQPDDKATENCVCILHNLSYQLEAELPEKYSQNIYIQNRNIQTDNNKSIGCFGSRSRKVKEQYQDVPMPEEKSNPKGVEWLWHSIVIRMYLSLIAKSVRNYTQEASLGALQNLTAGSGPMPTSVAQTVVQKESGLQHTRKMLHVGDPSVKKTAISLLRNLSRNLSLQNEIAKETLPDLVSIIPDTVPSTDLLIETTASACYTLNNIIQNSYQNARDLLNTGGIQKIMAISAGDAYASNKASKAASVLLYSLWAHTELHHAYKKAQFKKTDFVNSRTAKAYHSLKD
+>DECOY_sp|Q99959|PKP2_HUMAN Plakophilin-2 OS=Homo sapiens OX=9606 GN=PKP2 PE=1 SV=2
+DKLSHYAKATRSNVFDTKKFQAKKYAHHLETHAWLSYLLVSAAKSAKNSAYADGASIAMIKQIGGTNLLDRANQYSNQIINNLTYCASATTEILLDTSPVTDPIISVLDPLTEKAIENQLSLNRSLNRLLSIATKKVSPDGVHLMKRTHQLGSEKQVVTQAVSTPMPGSGATLNQLAGLSAEQTYNRVSKAILSLYMRIVISHWLWEVGKPNSKEEPMPVDQYQEKVKRSRSGFCGISKNNDTQINRNQIYINQSYKEPLEAELQYSLNHLICVCNETAKDDPQYDAITGRVYHVLSDILGDCRRMAKRGDAGASSMNRLCGTVNYFIDFDLLGNAKPYDGEPWGSFPIIINETLTLLAETIMLNKLKDNSSLNWLLGHQDPQDRVGSRTIRGGQGGLTSPNCAHAVAGPWGNRSRLNVTHDTIQKKTELDRTQKLVQLLRPVGNLEAVELKNDNDEFVLNRLAGCVARQVDENQVKLLQLLKLIGRLQNVRKRAESKQFCEHQIFTAAASIRSPLMHDAELMSVARELTMEMDANGLQSDTFTSRETLLNGSGGAAAQGVTLHARRGSSDVAVSPGAERLTRTSHFSSQHWSSRSARNQTVPQLPVLPRVQGVTLGATLYNEKELLNGMSRSTGPRPYTLLAPNAPISDFVTDSVSGHQYQRHYTDFHRQRSTTGARSVGVIESRAYRPPVLLAARRSEQHHLTHGAQSRQSYQYDSHTYHAREPSSDPSIELRRLPHRLSREEVSKQSSYQATGRGWRGEYTATTGAKLMDYTKPVPSRGGVFDNEVLHLNYVYEPVSSTRHLNGNGVSSRGKRALTQQVQEQIRLSKVTQGGRGSSGALKLKAESPLALSSSDLQGLIQQGLVTRIYGYEAPAGPAAM
+>sp|Q8N2H9|PELI3_HUMAN E3 ubiquitin-protein ligase pellino homolog 3 OS=Homo sapiens OX=9606 GN=PELI3 PE=1 SV=2
+MVLEGNPEVGSPRTSDLQHRGNKGSCVLSSPGEDAQPGEEPIKYGELIVLGCCEEGGEETEAQRGEVTGPRAHSCYNGCLASGDKGRRRSRLALSRRSHANGVKPDVMHHISTPLVSKALSNRGQHSISYTLSRSHSVIVEYTHDSDTDMFQIGRSTENMIDFVVTDTSPGGGAAEGPSAQSTISRYACRILCDRRPPYTARIYAAGFDASSNIFLGERAAKWRTPDGLMDGLTTNGVLVMHPAGGFSEDSAPGVWREISVCGNVYTLRDSRSAQQRGKLVENESNVLQDGSLIDLCGATLLWRTPAGLLRAPTLKQLEAQRQEANAARPQCPVGLSTLAFPSPARGRTAPDKQQPWVYVRCGHVHGYHGWGCRRERGPQERECPLCRLVGPYVPLWLGQEAGLCLDPGPPSHAFAPCGHVCSEKTARYWAQTPLPHGTHAFHAACPFCGAWLTGEHGCVRLIFQGPLD
+>DECOY_sp|Q8N2H9|PELI3_HUMAN E3 ubiquitin-protein ligase pellino homolog 3 OS=Homo sapiens OX=9606 GN=PELI3 PE=1 SV=2
+DLPGQFILRVCGHEGTLWAGCFPCAAHFAHTGHPLPTQAWYRATKESCVHGCPAFAHSPPGPDLCLGAEQGLWLPVYPGVLRCLPCEREQPGRERRCGWGHYGHVHGCRVYVWPQQKDPATRGRAPSPFALTSLGVPCQPRAANAEQRQAELQKLTPARLLGAPTRWLLTAGCLDILSGDQLVNSENEVLKGRQQASRSDRLTYVNGCVSIERWVGPASDESFGGAPHMVLVGNTTLGDMLGDPTRWKAAREGLFINSSADFGAAYIRATYPPRRDCLIRCAYRSITSQASPGEAAGGGPSTDTVVFDIMNETSRGIQFMDTDSDHTYEVIVSHSRSLTYSISHQGRNSLAKSVLPTSIHHMVDPKVGNAHSRRSLALRSRRRGKDGSALCGNYCSHARPGTVEGRQAETEEGGEECCGLVILEGYKIPEEGPQADEGPSSLVCSGKNGRHQLDSTRPSGVEPNGELVM
+>sp|Q9NZ42|PEN2_HUMAN Gamma-secretase subunit PEN-2 OS=Homo sapiens OX=9606 GN=PSENEN PE=1 SV=1
+MNLERVSNEEKLNLCRKYYLGGFAFLPFLWLVNIFWFFREAFLVPAYTEQSQIKGYVWRSAVGFLFWVIVLTSWITIFQIYRPRWGALGDYLSFTIPLGTP
+>DECOY_sp|Q9NZ42|PEN2_HUMAN Gamma-secretase subunit PEN-2 OS=Homo sapiens OX=9606 GN=PSENEN PE=1 SV=1
+PTGLPITFSLYDGLAGWRPRYIQFITIWSTLVIVWFLFGVASRWVYGKIQSQETYAPVLFAERFFWFINVLWLFPLFAFGGLYYKRCLNLKEENSVRELNM
+>sp|P0DJD8|PEPA3_HUMAN Pepsin A-3 OS=Homo sapiens OX=9606 GN=PGA3 PE=1 SV=1
+MKWLLLLGLVALSECIMYKVPLIRKKSLRRTLSERGLLKDFLKKHNLNPARKYFPQWKAPTLVDEQPLENYLDMEYFGTIGIGTPAQDFTVVFDTGSSNLWVPSVYCSSLACTNHNRFNPEDSSTYQSTSETVSITYGTGSMTGILGYDTVQVGGISDTNQIFGLSETEPGSFLYYAPFDGILGLAYPSISSSGATPVFDNIWNQGLVSQDLFSVYLSADDQSGSVVIFGGIDSSYYTGSLNWVPVTVEGYWQITVDSITMNGEAIACAEGCQAIVDTGTSLLTGPTSPIANIQSDIGASENSDGDMVVSCSAISSLPDIVFTINGVQYPVPPSAYILQSEGSCISGFQGMNLPTESGELWILGDVFIRQYFTVFDRANNQVGLAPVA
+>DECOY_sp|P0DJD8|PEPA3_HUMAN Pepsin A-3 OS=Homo sapiens OX=9606 GN=PGA3 PE=1 SV=1
+AVPALGVQNNARDFVTFYQRIFVDGLIWLEGSETPLNMGQFGSICSGESQLIYASPPVPYQVGNITFVIDPLSSIASCSVVMDGDSNESAGIDSQINAIPSTPGTLLSTGTDVIAQCGEACAIAEGNMTISDVTIQWYGEVTVPVWNLSGTYYSSDIGGFIVVSGSQDDASLYVSFLDQSVLGQNWINDFVPTAGSSSISPYALGLIGDFPAYYLFSGPETESLGFIQNTDSIGGVQVTDYGLIGTMSGTGYTISVTESTSQYTSSDEPNFRNHNTCALSSCYVSPVWLNSSGTDFVVTFDQAPTGIGITGFYEMDLYNELPQEDVLTPAKWQPFYKRAPNLNHKKLFDKLLGRESLTRRLSKKRILPVKYMICESLAVLGLLLLWKM
+>sp|P0DJD7|PEPA4_HUMAN Pepsin A-4 OS=Homo sapiens OX=9606 GN=PGA4 PE=1 SV=1
+MKWLLLLGLVALSECIMYKVPLIRKKSLRRTLSERGLLKDFLKKHNLNPARKYFPQWEAPTLVDEQPLENYLDMEYFGTIGIGTPAQDFTVVFDTGSSNLWVPSVYCSSLACTNHNRFNPEDSSTYQSTSETVSITYGTGSMTGILGYDTVQVGGISDTNQIFGLSETEPGSFLYYAPFDGILGLAYPSISSSGATPVFDNIWNQGLVSQDLFSVYLSADDQSGSVVIFGGIDSSYYTGSLNWVPVTVEGYWQITVDSITMNGEAIACAEGCQAIVDTGTSLLTGPTSPIANIQSDIGASENSDGDMVVSCSAISSLPDIVFTINGVQYPVPPSAYILQSEGSCISGFQGMNLPTESGELWILGDVFIRQYFTVFDRANNQVGLAPVA
+>DECOY_sp|P0DJD7|PEPA4_HUMAN Pepsin A-4 OS=Homo sapiens OX=9606 GN=PGA4 PE=1 SV=1
+AVPALGVQNNARDFVTFYQRIFVDGLIWLEGSETPLNMGQFGSICSGESQLIYASPPVPYQVGNITFVIDPLSSIASCSVVMDGDSNESAGIDSQINAIPSTPGTLLSTGTDVIAQCGEACAIAEGNMTISDVTIQWYGEVTVPVWNLSGTYYSSDIGGFIVVSGSQDDASLYVSFLDQSVLGQNWINDFVPTAGSSSISPYALGLIGDFPAYYLFSGPETESLGFIQNTDSIGGVQVTDYGLIGTMSGTGYTISVTESTSQYTSSDEPNFRNHNTCALSSCYVSPVWLNSSGTDFVVTFDQAPTGIGITGFYEMDLYNELPQEDVLTPAEWQPFYKRAPNLNHKKLFDKLLGRESLTRRLSKKRILPVKYMICESLAVLGLLLLWKM
+>sp|P0DJD9|PEPA5_HUMAN Pepsin A-5 OS=Homo sapiens OX=9606 GN=PGA5 PE=1 SV=1
+MKWLLLLGLVALSECIMYKVPLIRKKSLRRTLSERGLLKDFLKKHNLNPARKYFPQWEAPTLVDEQPLENYLDMEYFGTIGIGTPAQDFTVVFDTGSSNLWVPSVYCSSLACTNHNRFNPEDSSTYQSTSETVSITYGTGSMTGILGYDTVQVGGISDTNQIFGLSETEPGSFLYYAPFDGILGLAYPSISSSGATPVFDNIWNQGLVSQDLFSVYLSADDKSGSVVIFGGIDSSYYTGSLNWVPVTVEGYWQITVDSITMNGETIACAEGCQAIVDTGTSLLTGPTSPIANIQSDIGASENSDGDMVVSCSAISSLPDIVFTINGVQYPVPPSAYILQSEGSCISGFQGMNVPTESGELWILGDVFIRQYFTVFDRANNQVGLAPVA
+>DECOY_sp|P0DJD9|PEPA5_HUMAN Pepsin A-5 OS=Homo sapiens OX=9606 GN=PGA5 PE=1 SV=1
+AVPALGVQNNARDFVTFYQRIFVDGLIWLEGSETPVNMGQFGSICSGESQLIYASPPVPYQVGNITFVIDPLSSIASCSVVMDGDSNESAGIDSQINAIPSTPGTLLSTGTDVIAQCGEACAITEGNMTISDVTIQWYGEVTVPVWNLSGTYYSSDIGGFIVVSGSKDDASLYVSFLDQSVLGQNWINDFVPTAGSSSISPYALGLIGDFPAYYLFSGPETESLGFIQNTDSIGGVQVTDYGLIGTMSGTGYTISVTESTSQYTSSDEPNFRNHNTCALSSCYVSPVWLNSSGTDFVVTFDQAPTGIGITGFYEMDLYNELPQEDVLTPAEWQPFYKRAPNLNHKKLFDKLLGRESLTRRLSKKRILPVKYMICESLAVLGLLLLWKM
+>sp|P20142|PEPC_HUMAN Gastricsin OS=Homo sapiens OX=9606 GN=PGC PE=1 SV=1
+MKWMVVVLVCLQLLEAAVVKVPLKKFKSIRETMKEKGLLGEFLRTHKYDPAWKYRFGDLSVTYEPMAYMDAAYFGEISIGTPPQNFLVLFDTGSSNLWVPSVYCQSQACTSHSRFNPSESSTYSTNGQTFSLQYGSGSLTGFFGYDTLTVQSIQVPNQEFGLSENEPGTNFVYAQFDGIMGLAYPALSVDEATTAMQGMVQEGALTSPVFSVYLSNQQGSSGGAVVFGGVDSSLYTGQIYWAPVTQELYWQIGIEEFLIGGQASGWCSEGCQAIVDTGTSLLTVPQQYMSALLQATGAQEDEYGQFLVNCNSIQNLPSLTFIINGVEFPLPPSSYILSNNGYCTVGVEPTYLSSQNGQPLWILGDVFLRSYYSVYDLGNNRVGFATAA
+>DECOY_sp|P20142|PEPC_HUMAN Gastricsin OS=Homo sapiens OX=9606 GN=PGC PE=1 SV=1
+AATAFGVRNNGLDYVSYYSRLFVDGLIWLPQGNQSSLYTPEVGVTCYGNNSLIYSSPPLPFEVGNIIFTLSPLNQISNCNVLFQGYEDEQAGTAQLLASMYQQPVTLLSTGTDVIAQCGESCWGSAQGGILFEEIGIQWYLEQTVPAWYIQGTYLSSDVGGFVVAGGSSGQQNSLYVSFVPSTLAGEQVMGQMATTAEDVSLAPYALGMIGDFQAYVFNTGPENESLGFEQNPVQISQVTLTDYGFFGTLSGSGYQLSFTQGNTSYTSSESPNFRSHSTCAQSQCYVSPVWLNSSGTDFLVLFNQPPTGISIEGFYAADMYAMPEYTVSLDGFRYKWAPDYKHTRLFEGLLGKEKMTERISKFKKLPVKVVAAELLQLCVLVVVMWKM
+>sp|P07202|PERT_HUMAN Thyroid peroxidase OS=Homo sapiens OX=9606 GN=TPO PE=1 SV=4
+MRALAVLSVTLVMACTEAFFPFISRGKELLWGKPEESRVSSVLEESKRLVDTAMYATMQRNLKKRGILSPAQLLSFSKLPEPTSGVIARAAEIMETSIQAMKRKVNLKTQQSQHPTDALSEDLLSIIANMSGCLPYMLPPKCPNTCLANKYRPITGACNNRDHPRWGASNTALARWLPPVYEDGFSQPRGWNPGFLYNGFPLPPVREVTRHVIQVSNEVVTDDDRYSDLLMAWGQYIDHDIAFTPQSTSKAAFGGGADCQMTCENQNPCFPIQLPEEARPAAGTACLPFYRSSAACGTGDQGALFGNLSTANPRQQMNGLTSFLDASTVYGSSPALERQLRNWTSAEGLLRVHARLRDSGRAYLPFVPPRAPAACAPEPGIPGETRGPCFLAGDGRASEVPSLTALHTLWLREHNRLAAALKALNAHWSADAVYQEARKVVGALHQIITLRDYIPRILGPEAFQQYVGPYEGYDSTANPTVSNVFSTAAFRFGHATIHPLVRRLDASFQEHPDLPGLWLHQAFFSPWTLLRGGGLDPLIRGLLARPAKLQVQDQLMNEELTERLFVLSNSSTLDLASINLQRGRDHGLPGYNEWREFCGLPRLETPADLSTAIASRSVADKILDLYKHPDNIDVWLGGLAENFLPRARTGPLFACLIGKQMKALRDGDWFWWENSHVFTDAQRRELEKHSLSRVICDNTGLTRVPMDAFQVGKFPEDFESCDSITGMNLEAWRETFPQDDKCGFPESVENGDFVHCEESGRRVLVYSCRHGYELQGREQLTCTQEGWDFQPPLCKDVNECADGAHPPCHASARCRNTKGGFQCLCADPYELGDDGRTCVDSGRLPRVTWISMSLAALLIGGFAGLTSTVICRWTRTGTKSTLPISETGGGTPELRCGKHQAVGTSPQRAAAQDSEQESAGMEGRDTHRLPRAL
+>DECOY_sp|P07202|PERT_HUMAN Thyroid peroxidase OS=Homo sapiens OX=9606 GN=TPO PE=1 SV=4
+LARPLRHTDRGEMGASEQESDQAAARQPSTGVAQHKGCRLEPTGGGTESIPLTSKTGTRTWRCIVTSTLGAFGGILLAALSMSIWTVRPLRGSDVCTRGDDGLEYPDACLCQFGGKTNRCRASAHCPPHAGDACENVDKCLPPQFDWGEQTCTLQERGQLEYGHRCSYVLVRRGSEECHVFDGNEVSEPFGCKDDQPFTERWAELNMGTISDCSEFDEPFKGVQFADMPVRTLGTNDCIVRSLSHKELERRQADTFVHSNEWWFWDGDRLAKMQKGILCAFLPGTRARPLFNEALGGLWVDINDPHKYLDLIKDAVSRSAIATSLDAPTELRPLGCFERWENYGPLGHDRGRQLNISALDLTSSNSLVFLRETLEENMLQDQVQLKAPRALLGRILPDLGGGRLLTWPSFFAQHLWLGPLDPHEQFSADLRRVLPHITAHGFRFAATSFVNSVTPNATSDYGEYPGVYQQFAEPGLIRPIYDRLTIIQHLAGVVKRAEQYVADASWHANLAKLAAALRNHERLWLTHLATLSPVESARGDGALFCPGRTEGPIGPEPACAAPARPPVFPLYARGSDRLRAHVRLLGEASTWNRLQRELAPSSGYVTSADLFSTLGNMQQRPNATSLNGFLAGQDGTGCAASSRYFPLCATGAAPRAEEPLQIPFCPNQNECTMQCDAGGGFAAKSTSQPTFAIDHDIYQGWAMLLDSYRDDDTVVENSVQIVHRTVERVPPLPFGNYLFGPNWGRPQSFGDEYVPPLWRALATNSAGWRPHDRNNCAGTIPRYKNALCTNPCKPPLMYPLCGSMNAIISLLDESLADTPHQSQQTKLNVKRKMAQISTEMIEAARAIVGSTPEPLKSFSLLQAPSLIGRKKLNRQMTAYMATDVLRKSEELVSSVRSEEPKGWLLEKGRSIFPFFAETCAMVLTVSLVALARM
+>sp|O00623|PEX12_HUMAN Peroxisome assembly protein 12 OS=Homo sapiens OX=9606 GN=PEX12 PE=1 SV=1
+MAEHGAHFTAASVADDQPSIFEVVAQDSLMTAVRPALQHVVKVLAESNPTHYGFLWRWFDEIFTLLDLLLQQHYLSRTSASFSENFYGLKRIVMGDTHKSQRLASAGLPKQQLWKSIMFLVLLPYLKVKLEKLVSSLREEDEYSIHPPSSRWKRFYRAFLAAYPFVNMAWEGWFLVQQLRYILGKAQHHSPLLRLAGVQLGRLTVQDIQALEHKPAKASMMQQPARSVSEKINSALKKAVGGVALSLSTGLSVGVFFLQFLDWWYSSENQETIKSLTALPTPPPPVHLDYNSDSPLLPKMKTVCPLCRKTRVNDTVLATSGYVFCYRCVFHYVRSHQACPITGYPTEVQHLIKLYSPEN
+>DECOY_sp|O00623|PEX12_HUMAN Peroxisome assembly protein 12 OS=Homo sapiens OX=9606 GN=PEX12 PE=1 SV=1
+NEPSYLKILHQVETPYGTIPCAQHSRVYHFVCRYCFVYGSTALVTDNVRTKRCLPCVTKMKPLLPSDSNYDLHVPPPPTPLATLSKITEQNESSYWWDLFQLFFVGVSLGTSLSLAVGGVAKKLASNIKESVSRAPQQMMSAKAPKHELAQIDQVTLRGLQVGALRLLPSHHQAKGLIYRLQQVLFWGEWAMNVFPYAALFARYFRKWRSSPPHISYEDEERLSSVLKELKVKLYPLLVLFMISKWLQQKPLGASALRQSKHTDGMVIRKLGYFNESFSASTRSLYHQQLLLDLLTFIEDFWRWLFGYHTPNSEALVKVVHQLAPRVATMLSDQAVVEFISPQDDAVSAATFHAGHEAM
+>sp|O75381|PEX14_HUMAN Peroxisomal membrane protein PEX14 OS=Homo sapiens OX=9606 GN=PEX14 PE=1 SV=1
+MASSEQAEQPSQPSSTPGSENVLPREPLIATAVKFLQNSRVRQSPLATRRAFLKKKGLTDEEIDMAFQQSGTAADEPSSLGPATQVVPVQPPHLISQPYSPAGSRWRDYGALAIIMAGIAFGFHQLYKKYLLPLILGGREDRKQLERMEAGLSELSGSVAQTVTQLQTTLASVQELLIQQQQKIQELAHELAAAKATTSTNWILESQNINELKSEINSLKGLLLNRRQFPPSPSAPKIPSWQIPVKSPSPSSPAAVNHHSSSDISPVSNESTSSSPGKEGHSPEGSTVTYHLLGPQEEGEGVVDVKGQVRMEVQGEEEKREDKEDEEDEEDDDVSHVDEEDCLGVQREDRRGGDGQINEQVEKLRRPEGASNESERD
+>DECOY_sp|O75381|PEX14_HUMAN Peroxisomal membrane protein PEX14 OS=Homo sapiens OX=9606 GN=PEX14 PE=1 SV=1
+DRESENSAGEPRRLKEVQENIQGDGGRRDERQVGLCDEEDVHSVDDDEEDEEDEKDERKEEEGQVEMRVQGKVDVVGEGEEQPGLLHYTVTSGEPSHGEKGPSSSTSENSVPSIDSSSHHNVAAPSSPSPSKVPIQWSPIKPASPSPPFQRRNLLLGKLSNIESKLENINQSELIWNTSTTAKAAALEHALEQIKQQQQILLEQVSALTTQLQTVTQAVSGSLESLGAEMRELQKRDERGGLILPLLYKKYLQHFGFAIGAMIIALAGYDRWRSGAPSYPQSILHPPQVPVVQTAPGLSSPEDAATGSQQFAMDIEEDTLGKKKLFARRTALPSQRVRSNQLFKVATAILPERPLVNESGPTSSPQSPQEAQESSAM
+>sp|Q9UHV9|PFD2_HUMAN Prefoldin subunit 2 OS=Homo sapiens OX=9606 GN=PFDN2 PE=1 SV=1
+MAENSGRAGKSSGSGAGKGAVSAEQVIAGFNRLRQEQRGLASKAAELEMELNEHSLVIDTLKEVDETRKCYRMVGGVLVERTVKEVLPALENNKEQIQKIIETLTQQLQAKGKELNEFREKHNIRLMGEDEKPAAKENSEGAGAKASSAGVLVS
+>DECOY_sp|Q9UHV9|PFD2_HUMAN Prefoldin subunit 2 OS=Homo sapiens OX=9606 GN=PFDN2 PE=1 SV=1
+SVLVGASSAKAGAGESNEKAAPKEDEGMLRINHKERFENLEKGKAQLQQTLTEIIKQIQEKNNELAPLVEKVTREVLVGGVMRYCKRTEDVEKLTDIVLSHENLEMELEAAKSALGRQEQRLRNFGAIVQEASVAGKGAGSGSSKGARGSNEAM
+>sp|Q99471|PFD5_HUMAN Prefoldin subunit 5 OS=Homo sapiens OX=9606 GN=PFDN5 PE=1 SV=2
+MAQSINITELNLPQLEMLKNQLDQEVEFLSTSIAQLKVVQTKYVEAKDCLNVLNKSNEGKELLVPLTSSMYVPGKLHDVEHVLIDVGTGYYVEKTAEDAKDFFKRKIDFLTKQMEKIQPALQEKHAMKQAVMEMMSQKIQQLTALGAAQATAKA
+>DECOY_sp|Q99471|PFD5_HUMAN Prefoldin subunit 5 OS=Homo sapiens OX=9606 GN=PFDN5 PE=1 SV=2
+AKATAQAAGLATLQQIKQSMMEMVAQKMAHKEQLAPQIKEMQKTLFDIKRKFFDKADEATKEVYYGTGVDILVHEVDHLKGPVYMSSTLPVLLEKGENSKNLVNLCDKAEVYKTQVVKLQAISTSLFEVEQDLQNKLMELQPLNLETINISQAM
+>sp|Q8N0Y7|PGAM4_HUMAN Probable phosphoglycerate mutase 4 OS=Homo sapiens OX=9606 GN=PGAM4 PE=3 SV=1
+MAAYKLVLIRHGESTWNLENRFSCWYDADLSPAGHEEAKRGGQALRDAGYEFDICLTSVQKRVIRTLWTVLDAIDQMWLPVVRTWRLNERHYGGLTGLNKAETAAKHGEAQVKIWRRSYDVPPPPMEPDHPFYSNISKDRRYADLTEDQLPSYESPKDTIARALPFWNEEIVPQIKEGKRVLIAAHGNSLQGIAKHVEGLSEEAIMELNLPTGIPIVYELDKNLKPIKPMQFLGDEETVCKAIEAVAAQGKAKK
+>DECOY_sp|Q8N0Y7|PGAM4_HUMAN Probable phosphoglycerate mutase 4 OS=Homo sapiens OX=9606 GN=PGAM4 PE=3 SV=1
+KKAKGQAAVAEIAKCVTEEDGLFQMPKIPKLNKDLEYVIPIGTPLNLEMIAEESLGEVHKAIGQLSNGHAAILVRKGEKIQPVIEENWFPLARAITDKPSEYSPLQDETLDAYRRDKSINSYFPHDPEMPPPPVDYSRRWIKVQAEGHKAATEAKNLGTLGGYHRENLRWTRVVPLWMQDIADLVTWLTRIVRKQVSTLCIDFEYGADRLAQGGRKAEEHGAPSLDADYWCSFRNELNWTSEGHRILVLKYAAM
+>sp|Q75T13|PGAP1_HUMAN GPI inositol-deacylase OS=Homo sapiens OX=9606 GN=PGAP1 PE=1 SV=1
+MFLHSVNLWNLAFYVFMVFLATLGLWDVFFGFEENKCSMSYMFEYPEYQKIELPKKLAKRYPAYELYLYGEGSYAEEHKILPLTGIPVLFLPGNAGSYKQVRSIGSIALRKAEDIDFKYHFDFFSVNFNEELVALYGGSLQKQTKFVHECIKTILKLYKGQEFAPKSVAIIGHSMGGLVARALLTLKNFKHDLINLLITQATPHVAPVMPLDRFITDFYTTVNNYWILNARHINLTTLSVAGGFRDYQVRSGLTFLPKLSHHTSALSVVSSAVPKTWVSTDHLSIVWCKQLQLTTVRAFFDLIDADTKQITQNSKKKLSVLYHHFIRHPSKHFEENPAIISDLTGTSMWVLVKVSKWTYVAYNESEKIYFTFPLENHRKIYTHVYCQSTMLDTNSWIFACINSTSMCLQGVDLSWKAELLPTIKYLTLRLQDYPSLSHLVVYVPSVRGSKFVVDCEFFKKEKRYIQLPVTHLFSFGLSSRKVVLNTNGLYYNLELLNFGQIYQAFKINVVSKCSAVKEEITSIYRLHIPWSYEDSLTIAQAPSSTEISLKLHIAQPENNTHVALFKMYTSSDCRYEVTVKTSFSQILGQVVRFHGGALPAYVVSNILLAYRGQLYSLFSTGCCLEYATMLDKEAKPYKVDPFVIIIKFLLGYKWFKELWDVLLLPELDAVILTCQSMCFPLISLILFLFGTCTAYWSGLLSSASVRLLSSLWLALKRPSELPKDIKMISPDLPFLTIVLIIVSWTTCGALAILLSYLYYVFKVVHLQASLTTFKNSQPVNPKHSRRSEKKSNHHKDSSIHHLRLSANDAEDSLRMHSTVINLLTWIVLLSMPSLIYWLKNLRYYFKLNPDPCKPLAFILIPTMAILGNTYTVSIKSSKLLKTTSQFPLPLAVGVIAFGSAHLYRLPCFVFIPLLLHALCNFM
+>DECOY_sp|Q75T13|PGAP1_HUMAN GPI inositol-deacylase OS=Homo sapiens OX=9606 GN=PGAP1 PE=1 SV=1
+MFNCLAHLLLPIFVFCPLRYLHASGFAIVGVALPLPFQSTTKLLKSSKISVTYTNGLIAMTPILIFALPKCPDPNLKFYYRLNKLWYILSPMSLLVIWTLLNIVTSHMRLSDEADNASLRLHHISSDKHHNSKKESRRSHKPNVPQSNKFTTLSAQLHVVKFVYYLYSLLIALAGCTTWSVIILVITLFPLDPSIMKIDKPLESPRKLALWLSSLLRVSASSLLGSWYATCTGFLFLILSILPFCMSQCTLIVADLEPLLLVDWLEKFWKYGLLFKIIIVFPDVKYPKAEKDLMTAYELCCGTSFLSYLQGRYALLINSVVYAPLAGGHFRVVQGLIQSFSTKVTVEYRCDSSTYMKFLAVHTNNEPQAIHLKLSIETSSPAQAITLSDEYSWPIHLRYISTIEEKVASCKSVVNIKFAQYIQGFNLLELNYYLGNTNLVVKRSSLGFSFLHTVPLQIYRKEKKFFECDVVFKSGRVSPVYVVLHSLSPYDQLRLTLYKITPLLEAKWSLDVGQLCMSTSNICAFIWSNTDLMTSQCYVHTYIKRHNELPFTFYIKESENYAVYTWKSVKVLVWMSTGTLDSIIAPNEEFHKSPHRIFHHYLVSLKKKSNQTIQKTDADILDFFARVTTLQLQKCWVISLHDTSVWTKPVASSVVSLASTHHSLKPLFTLGSRVQYDRFGGAVSLTTLNIHRANLIWYNNVTTYFDTIFRDLPMVPAVHPTAQTILLNILDHKFNKLTLLARAVLGGMSHGIIAVSKPAFEQGKYLKLITKICEHVFKTQKQLSGGYLAVLEENFNVSFFDFHYKFDIDEAKRLAISGISRVQKYSGANGPLFLVPIGTLPLIKHEEAYSGEGYLYLEYAPYRKALKKPLEIKQYEPYEFMYSMSCKNEEFGFFVDWLGLTALFVMFVYFALNWLNVSHLFM
+>sp|Q6PCE3|PGM2L_HUMAN Glucose 1,6-bisphosphate synthase OS=Homo sapiens OX=9606 GN=PGM2L1 PE=1 SV=3
+MAENTEGDLNSNLLHAPYHTGDPQLDTAIGQWLRWDKNPKTKEQIENLLRNGMNKELRDRLCCRMTFGTAGLRSAMGAGFCYINDLTVIQSTQGMYKYLERCFSDFKQRGFVVGYDTRGQVTSSCSSQRLAKLTAAVLLAKDVPVYLFSRYVPTPFVPYAVQKLKAVAGVMITASHNRKEDNGYKVYWETGAQITSPHDKEILKCIEECVEPWNGSWNDNLVDTSPLKRDPLQDICRRYMEDLKKICFYRELNSKTTLKFVHTSFHGVGHDYVQLAFKVFGFKPPIPVPEQKDPDPDFSTVKCPNPEEGESVLELSLRLAEKENARVVLATDPDADRLAAAELQENGCWKVFTGNELAALFGWWMFDCWKKNKSRNADVKNVYMLATTVSSKILKAIALKEGFHFEETLPGFKWIGSRIIDLLENGKEVLFAFEESIGFLCGTSVLDKDGVSAAVVVAEMASYLETMNITLKQQLVKVYEKYGYHISKTSYFLCYEPPTIKSIFERLRNFDSPKEYPKFCGTFAILHVRDVTTGYDSSQPNKKSVLPVSKNSQMITFTFQNGCVATLRTSGTEPKIKYYAEMCASPDQSDTALLEEELKKLIDALIENFLQPSKNGLIWRSV
+>DECOY_sp|Q6PCE3|PGM2L_HUMAN Glucose 1,6-bisphosphate synthase OS=Homo sapiens OX=9606 GN=PGM2L1 PE=1 SV=3
+VSRWILGNKSPQLFNEILADILKKLEEELLATDSQDPSACMEAYYKIKPETGSTRLTAVCGNQFTFTIMQSNKSVPLVSKKNPQSSDYGTTVDRVHLIAFTGCFKPYEKPSDFNRLREFISKITPPEYCLFYSTKSIHYGYKEYVKVLQQKLTINMTELYSAMEAVVVAASVGDKDLVSTGCLFGISEEFAFLVEKGNELLDIIRSGIWKFGPLTEEFHFGEKLAIAKLIKSSVTTALMYVNKVDANRSKNKKWCDFMWWGFLAALENGTFVKWCGNEQLEAAALRDADPDTALVVRANEKEALRLSLELVSEGEEPNPCKVTSFDPDPDKQEPVPIPPKFGFVKFALQVYDHGVGHFSTHVFKLTTKSNLERYFCIKKLDEMYRRCIDQLPDRKLPSTDVLNDNWSGNWPEVCEEICKLIEKDHPSTIQAGTEWYVKYGNDEKRNHSATIMVGAVAKLKQVAYPVFPTPVYRSFLYVPVDKALLVAATLKALRQSSCSSTVQGRTDYGVVFGRQKFDSFCRELYKYMGQTSQIVTLDNIYCFGAGMASRLGATGFTMRCCLRDRLEKNMGNRLLNEIQEKTKPNKDWRLWQGIATDLQPDGTHYPAHLLNSNLDGETNEAM
+>sp|Q32NB8|PGPS1_HUMAN CDP-diacylglycerol--glycerol-3-phosphate 3-phosphatidyltransferase, mitochondrial OS=Homo sapiens OX=9606 GN=PGS1 PE=2 SV=1
+MAVAAAAAAGPVFWRRLLGLLPGRPGLAALLGRLSDRLGRNRDRQRRRSPWLLLAPLLSPAVPQVTSPPCCLCPEGVHRFQWIRNLVPEFGVSSSHVRVLSSPAEFFELMKGQIRVAKRRVVMASLYLGTGPLEQELVDCLESTLEKSLQAKFPSNLKVSILLDFTRGSRGRKNSRTMLLPLLRRFPEQVRVSLFHTPHLRGLLRLLIPERFNETIGLQHIKVYLFDNSVILSGANLSDSYFTNRQDRYVFLQDCAEIADFFTELVDAVGDVSLQLQGDDTVQVVDGMVHPYKGDRAEYCKAANKRVMDVINSARTRQQMLHAQTFHSNSLLTQEDAAAAGDRRPAPDTWIYPLIQMKPFEIQIDEIVTETLLTEAERGAKVYLTTGYFNLTQAYMDLVLGTRAEYQILLASPEVNGFFGAKGVAGAIPAAYVHIERQFFSEVCSLGQQERVQLQEYWRRGWTFHAKGLWLYLAGSSLPCLTLIGSPNFGYRSVHRDLEAQIAIVTENQALQQQLHQEQEQLYLRSGVVSSATFEQPSRQVKLWVKMVTPLIKNFF
+>DECOY_sp|Q32NB8|PGPS1_HUMAN CDP-diacylglycerol--glycerol-3-phosphate 3-phosphatidyltransferase, mitochondrial OS=Homo sapiens OX=9606 GN=PGS1 PE=2 SV=1
+FFNKILPTVMKVWLKVQRSPQEFTASSVVGSRLYLQEQEQHLQQQLAQNETVIAIQAELDRHVSRYGFNPSGILTLCPLSSGALYLWLGKAHFTWGRRWYEQLQVREQQGLSCVESFFQREIHVYAAPIAGAVGKAGFFGNVEPSALLIQYEARTGLVLDMYAQTLNFYGTTLYVKAGREAETLLTETVIEDIQIEFPKMQILPYIWTDPAPRRDGAAAADEQTLLSNSHFTQAHLMQQRTRASNIVDMVRKNAAKCYEARDGKYPHVMGDVVQVTDDGQLQLSVDGVADVLETFFDAIEACDQLFVYRDQRNTFYSDSLNAGSLIVSNDFLYVKIHQLGITENFREPILLRLLGRLHPTHFLSVRVQEPFRRLLPLLMTRSNKRGRSGRTFDLLISVKLNSPFKAQLSKELTSELCDVLEQELPGTGLYLSAMVVRRKAVRIQGKMLEFFEAPSSLVRVHSSSVGFEPVLNRIWQFRHVGEPCLCCPPSTVQPVAPSLLPALLLWPSRRRQRDRNRGLRDSLRGLLAALGPRGPLLGLLRRWFVPGAAAAAAVAM
+>sp|O00264|PGRC1_HUMAN Membrane-associated progesterone receptor component 1 OS=Homo sapiens OX=9606 GN=PGRMC1 PE=1 SV=3
+MAAEDVVATGADPSDLESGGLLHEIFTSPLNLLLLGLCIFLLYKIVRGDQPAASGDSDDDEPPPLPRLKRRDFTPAELRRFDGVQDPRILMAINGKVFDVTKGRKFYGPEGPYGVFAGRDASRGLATFCLDKEALKDEYDDLSDLTAAQQETLSDWESQFTFKYHHVGKLLKEGEEPTVYSDEEEPKDESARKND
+>DECOY_sp|O00264|PGRC1_HUMAN Membrane-associated progesterone receptor component 1 OS=Homo sapiens OX=9606 GN=PGRMC1 PE=1 SV=3
+DNKRASEDKPEEEDSYVTPEEGEKLLKGVHHYKFTFQSEWDSLTEQQAATLDSLDDYEDKLAEKDLCFTALGRSADRGAFVGYPGEPGYFKRGKTVDFVKGNIAMLIRPDQVGDFRRLEAPTFDRRKLRPLPPPEDDDSDGSAAPQDGRVIKYLLFICLGLLLLNLPSTFIEHLLGGSELDSPDAGTAVVDEAAM
+>sp|P07585|PGS2_HUMAN Decorin OS=Homo sapiens OX=9606 GN=DCN PE=1 SV=1
+MKATIILLLLAQVSWAGPFQQRGLFDFMLEDEASGIGPEVPDDRDFEPSLGPVCPFRCQCHLRVVQCSDLGLDKVPKDLPPDTTLLDLQNNKITEIKDGDFKNLKNLHALILVNNKISKVSPGAFTPLVKLERLYLSKNQLKELPEKMPKTLQELRAHENEITKVRKVTFNGLNQMIVIELGTNPLKSSGIENGAFQGMKKLSYIRIADTNITSIPQGLPPSLTELHLDGNKISRVDAASLKGLNNLAKLGLSFNSISAVDNGSLANTPHLRELHLDNNKLTRVPGGLAEHKYIQVVYLHNNNISVVGSSDFCPPGHNTKKASYSGVSLFSNPVQYWEIQPSTFRCVYVRSAIQLGNYK
+>DECOY_sp|P07585|PGS2_HUMAN Decorin OS=Homo sapiens OX=9606 GN=DCN PE=1 SV=1
+KYNGLQIASRVYVCRFTSPQIEWYQVPNSFLSVGSYSAKKTNHGPPCFDSSGVVSINNNHLYVVQIYKHEALGGPVRTLKNNDLHLERLHPTNALSGNDVASISNFSLGLKALNNLGKLSAADVRSIKNGDLHLETLSPPLGQPISTINTDAIRIYSLKKMGQFAGNEIGSSKLPNTGLEIVIMQNLGNFTVKRVKTIENEHARLEQLTKPMKEPLEKLQNKSLYLRELKVLPTFAGPSVKSIKNNVLILAHLNKLNKFDGDKIETIKNNQLDLLTTDPPLDKPVKDLGLDSCQVVRLHCQCRFPCVPGLSPEFDRDDPVEPGIGSAEDELMFDFLGRQQFPGAWSVQALLLLIITAKM
+>sp|Q9NWQ8|PHAG1_HUMAN Phosphoprotein associated with glycosphingolipid-enriched microdomains 1 OS=Homo sapiens OX=9606 GN=PAG1 PE=1 SV=2
+MGPAGSLLGSGQMQITLWGSLAAVAIFFVITFLIFLCSSCDREKKPRQHSGDHENLMNVPSDKEMFSRSVTSLATDAPASSEQNGALTNGDILSEDSTLTCMQHYEEVQTSASDLLDSQDSTGKPKCHQSRELPRIPPESAVDTMLTARSVDGDQGLGMEGPYEVLKDSSSQENMVEDCLYETVKEIKEVAAAAHLEKGHSGKAKSTSASKELPGPQTEGKAEFAEYASVDRNKKCRQSVNVESILGNSCDPEEEAPPPVPVKLLDENENLQEKEGGEAEESATDTTSETNKRFSSLSYKSREEDPTLTEEEISAMYSSVNKPGQLVNKSGQSLTVPESTYTSIQGDPQRSPSSCNDLYATVKDFEKTPNSTLPPAGRPSEEPEPDYEAIQTLNREEEKATLGTNGHHGLVPKENDYESISDLQQGRDITRL
+>DECOY_sp|Q9NWQ8|PHAG1_HUMAN Phosphoprotein associated with glycosphingolipid-enriched microdomains 1 OS=Homo sapiens OX=9606 GN=PAG1 PE=1 SV=2
+LRTIDRGQQLDSISEYDNEKPVLGHHGNTGLTAKEEERNLTQIAEYDPEPEESPRGAPPLTSNPTKEFDKVTAYLDNCSSPSRQPDGQISTYTSEPVTLSQGSKNVLQGPKNVSSYMASIEEETLTPDEERSKYSLSSFRKNTESTTDTASEEAEGGEKEQLNENEDLLKVPVPPPAEEEPDCSNGLISEVNVSQRCKKNRDVSAYEAFEAKGETQPGPLEKSASTSKAKGSHGKELHAAAAVEKIEKVTEYLCDEVMNEQSSSDKLVEYPGEMGLGQDGDVSRATLMTDVASEPPIRPLERSQHCKPKGTSDQSDLLDSASTQVEEYHQMCTLTSDESLIDGNTLAGNQESSAPADTALSTVSRSFMEKDSPVNMLNEHDGSHQRPKKERDCSSCLFILFTIVFFIAVAALSGWLTIQMQGSGLLSGAPGM
+>sp|Q8IZ21|PHAR4_HUMAN Phosphatase and actin regulator 4 OS=Homo sapiens OX=9606 GN=PHACTR4 PE=1 SV=1
+MEDPFEEADQPTTEPGMVLDSVEAGDTTPPTKRKSKFSGFGKIFKPWKWRKKKSSDKFKETSEVLERKISMRKPREELVKRGVLLEDPEQGGEDPGKPSDAMLKNGHTTPIGNARSSSPVQVEEEPVRLASLRKAIPEEDLKKRLGSTGSQPNSEAESVPENVPKPPLLPPKRPLSSSHEASEGQAKDATSSGGTARFIISTSITTAPAATTAATSLAKTVNLSVTPSPAPRTLPAAPASTNTTATPSLTHMVPAKQPPIPPPKPAHRNSNPVIAELSQAINSGTLLSKPSPPLPPKRGIPSTSVPTLESAAAITTKTPSDEREKSTCSMGSELLPMISPRSPSPPLPTHIPPEPPRTPPFPAKTFQVVPEIEFPPSLDLHQEIPQQEDQKKEVPKRILDQNFGEPHIPSRLPPLPLHIRIQQALTSPLPMTPILEGSHRAHSLLFENSDSFSEDSSTLGRTRSLPITIEMLKVPDDEEEEEQTCPSTFSEEMTPTSVIPKLPQCLREEEEKESDSDSEGPIQYRDEEDEDESYQSALANKVKRKDTLAMKLNHRPSEPELNLNSWPCKSKEEWNEIRHQIGNTLIRRLSQRPTPEELEQRNILQPKNEADRQAEKREIKRRLTRKLSQRPTVAELLARKILRFNEYVEVTDAQDYDRRADKPWTKLTPADKAAIRKELNEFKSSEMEVHEESKHFTRYHRP
+>DECOY_sp|Q8IZ21|PHAR4_HUMAN Phosphatase and actin regulator 4 OS=Homo sapiens OX=9606 GN=PHACTR4 PE=1 SV=1
+PRHYRTFHKSEEHVEMESSKFENLEKRIAAKDAPTLKTWPKDARRDYDQADTVEVYENFRLIKRALLEAVTPRQSLKRTLRRKIERKEAQRDAENKPQLINRQELEEPTPRQSLRRILTNGIQHRIENWEEKSKCPWSNLNLEPESPRHNLKMALTDKRKVKNALASQYSEDEDEEDRYQIPGESDSDSEKEEEERLCQPLKPIVSTPTMEESFTSPCTQEEEEEDDPVKLMEITIPLSRTRGLTSSDESFSDSNEFLLSHARHSGELIPTMPLPSTLAQQIRIHLPLPPLRSPIHPEGFNQDLIRKPVEKKQDEQQPIEQHLDLSPPFEIEPVVQFTKAPFPPTRPPEPPIHTPLPPSPSRPSIMPLLESGMSCTSKEREDSPTKTTIAAASELTPVSTSPIGRKPPLPPSPKSLLTGSNIAQSLEAIVPNSNRHAPKPPPIPPQKAPVMHTLSPTATTNTSAPAAPLTRPAPSPTVSLNVTKALSTAATTAAPATTISTSIIFRATGGSSTADKAQGESAEHSSSLPRKPPLLPPKPVNEPVSEAESNPQSGTSGLRKKLDEEPIAKRLSALRVPEEEVQVPSSSRANGIPTTHGNKLMADSPKGPDEGGQEPDELLVGRKVLEERPKRMSIKRELVESTEKFKDSSKKKRWKWPKFIKGFGSFKSKRKTPPTTDGAEVSDLVMGPETTPQDAEEFPDEM
+>sp|O94880|PHF14_HUMAN PHD finger protein 14 OS=Homo sapiens OX=9606 GN=PHF14 PE=1 SV=2
+MDRSSKRRQVKPLAASLLEALDYDSSDDSDFKVGDASDSEGSGNGSEDASKDSGEGSCSDSEENILEEELNEDIKVKEEQLKNSAEEEVLSSEKQLIKMEKKEEEENGERPRKKKEKEKEKEKEKEKEKEREKEKEKATVSENVAASAAATTPATSPPAVNTSPSVPTTTTATEEQVSEPKKWNLRRNRPLLDFVSMEELNDMDDYDSEDDNDWRPTVVKRKGRSASQKEGSDGDNEDDEDEGSGSDEDENDEGNDEDHSSPASEGGCKKKKSKVLSRNSADDEELTNDSLTLSQSKSNEDSLILEKSQNWSSQKMDHILICCVCLGDNSEDADEIIQCDNCGITVHEGCYGVDGESDSIMSSASENSTEPWFCDACKCGVSPSCELCPNQDGIFKETDAGRWVHIVCALYVPGVAFGDIDKLRPVTLTEMNYSKYGAKECSFCEDPRFARTGVCISCDAGMCRAYFHVTCAQKEGLLSEAAAEEDIADPFFAYCKQHADRLDRKWKRKNYLALQSYCKMSLQEREKQLSPEAQARINARLQQYRAKAELARSTRPQAWVPREKLPRPLTSSASAIRKLMRKAELMGISTDIFPVDNSDTSSSVDGRRKHKQPALTADFVNYYFERNMRMIQIQENMAEQKNIKDKLENEQEKLHVEYNKLCESLEELQNLNGKLRSEGQGIWALLGRITGQKLNIPAILRAPKERKPSKKEGGTQKTSTLPAVLYSCGICKKNHDQHLLLLCDTCKLHYHLGCLDPPLTRMPRKTKNSYWQCSECDQAGSSDMEADMAMETLPDGTKRSRRQIKEPVKFVPQDVPPEPKKIPIRNTRTRGRKRSFVPEEEKHEERVPRERRQRQSVLQKKPKAEDLRTECATCKGTGDNENLVRYPS
+>DECOY_sp|O94880|PHF14_HUMAN PHD finger protein 14 OS=Homo sapiens OX=9606 GN=PHF14 PE=1 SV=2
+SPYRVLNENDGTGKCTACETRLDEAKPKKQLVSQRQRRERPVREEHKEEEPVFSRKRGRTRTNRIPIKKPEPPVDQPVFKVPEKIQRRSRKTGDPLTEMAMDAEMDSSGAQDCESCQWYSNKTKRPMRTLPPDLCGLHYHLKCTDCLLLLHQDHNKKCIGCSYLVAPLTSTKQTGGEKKSPKREKPARLIAPINLKQGTIRGLLAWIGQGESRLKGNLNQLEELSECLKNYEVHLKEQENELKDKINKQEAMNEQIQIMRMNREFYYNVFDATLAPQKHKRRGDVSSSTDSNDVPFIDTSIGMLEAKRMLKRIASASSTLPRPLKERPVWAQPRTSRALEAKARYQQLRANIRAQAEPSLQKEREQLSMKCYSQLALYNKRKWKRDLRDAHQKCYAFFPDAIDEEAAAESLLGEKQACTVHFYARCMGADCSICVGTRAFRPDECFSCEKAGYKSYNMETLTVPRLKDIDGFAVGPVYLACVIHVWRGADTEKFIGDQNPCLECSPSVGCKCADCFWPETSNESASSMISDSEGDVGYCGEHVTIGCNDCQIIEDADESNDGLCVCCILIHDMKQSSWNQSKELILSDENSKSQSLTLSDNTLEEDDASNRSLVKSKKKKCGGESAPSSHDEDNGEDNEDEDSGSGEDEDDENDGDSGEKQSASRGKRKVVTPRWDNDDESDYDDMDNLEEMSVFDLLPRNRRLNWKKPESVQEETATTTTPVSPSTNVAPPSTAPTTAAASAAVNESVTAKEKEKEREKEKEKEKEKEKEKEKKKRPREGNEEEEKKEMKILQKESSLVEEEASNKLQEEKVKIDENLEEELINEESDSCSGEGSDKSADESGNGSGESDSADGVKFDSDDSSDYDLAELLSAALPKVQRRKSSRDM
+>sp|Q9BUL5|PHF23_HUMAN PHD finger protein 23 OS=Homo sapiens OX=9606 GN=PHF23 PE=1 SV=1
+MLEAMAEPSPEDPPPTLKPETQPPEKRRRTIEDFNKFCSFVLAYAGYIPPSKEESDWPASGSSSPLRGESAADSDGWDSAPSDLRTIQTFVKKAKSSKRRAAQAGPTQPGPPRSTFSRLQAPDSATLLEKMKLKDSLFDLDGPKVASPLSPTSLTHTSRPPAALTPVPLSQGDLSHPPRKKDRKNRKLGPGAGAGFGVLRRPRPTPGDGEKRSRIKKSKKRKLKKAERGDRLPPPGPPQAPPSDTDSEEEEEEEEEEEEEEMATVVGGEAPVPVLPTPPEAPRPPATVHPEGVPPADSESKEVGSTETSQDGDASSSEGEMRVMDEDIMVESGDDSWDLITCYCRKPFAGRPMIECSLCGTWIHLSCAKIKKTNVPDFFYCQKCKELRPEARRLGGPPKSGEP
+>DECOY_sp|Q9BUL5|PHF23_HUMAN PHD finger protein 23 OS=Homo sapiens OX=9606 GN=PHF23 PE=1 SV=1
+PEGSKPPGGLRRAEPRLEKCKQCYFFDPVNTKKIKACSLHIWTGCLSCEIMPRGAFPKRCYCTILDWSDDGSEVMIDEDMVRMEGESSSADGDQSTETSGVEKSESDAPPVGEPHVTAPPRPAEPPTPLVPVPAEGGVVTAMEEEEEEEEEEEEEESDTDSPPAQPPGPPPLRDGREAKKLKRKKSKKIRSRKEGDGPTPRPRRLVGFGAGAGPGLKRNKRDKKRPPHSLDGQSLPVPTLAAPPRSTHTLSTPSLPSAVKPGDLDFLSDKLKMKELLTASDPAQLRSFTSRPPGPQTPGAQAARRKSSKAKKVFTQITRLDSPASDWGDSDAASEGRLPSSSGSAPWDSEEKSPPIYGAYALVFSCFKNFDEITRRRKEPPQTEPKLTPPPDEPSPEAMAELM
+>sp|O60346|PHLP1_HUMAN PH domain leucine-rich repeat-containing protein phosphatase 1 OS=Homo sapiens OX=9606 GN=PHLPP1 PE=1 SV=3
+MEPAAAATVQRLPELGREDRASAPAAAAAAAAAAAAAAAALAAAAGGGRSPEPALTPAAPSGGNGSGSGAREEAPGEAPPGPLPGRAGGAGRRRRRGAPQPIAGGAAPVPGAGGGANSLLLRRGRLKRNLSAAAAAASSSSSSSAAAASHSPGAAGLPASCSASASLCTRSLDRKTLLLKHRQTLQLQPSDRDWVRHQLQRGCVHVFDRHMASTYLRPVLCTLDTTAGEVAARLLQLGHKGGGVVKVLGQGPGAAAAREPAEPPPEAGPRLAPPEPRDSEVPPARSAPGAFGGPPRAPPADLPLPVGGPGGWSRRASPAPSDSSPGEPFVGGPVSSPRAPRPVVSDTESFSLSPSAESVSDRLDPYSSGGGSSSSSEELEADAASAPTGVPGQPRRPGHPAQPLPLPQTASSPQPQQKAPRAIDSPGGAVREGSCEEKAAAAVAPGGLQSTPGRSGVTAEKAPPPPPPPTLYVQLHGETTRRLEAEEKPLQIQNDYLFQLGFGELWRVQEEGMDSEIGCLIRFYAGKPHSTGSSERIQLSGMYNVRKGKMQLPVNRWTRRQVILCGTCLIVSSVKDSLTGKMHVLPLIGGKVEEVKKHQHCLAFSSSGPQSQTYYICFDTFTEYLRWLRQVSKVASQRISSVDLSCCSLEHLPANLFYSQDLTHLNLKQNFLRQNPSLPAARGLNELQRFTKLKSLNLSNNHLGDFPLAVCSIPTLAELNVSCNALRSVPAAVGVMHNLQTFLLDGNFLQSLPAELENMKQLSYLGLSFNEFTDIPEVLEKLTAVDKLCMSGNCVETLRLQALRKMPHIKHVDLRLNVIRKLIADEVDFLQHVTQLDLRDNKLGDLDAMIFNNIEVLHCERNQLVTLDICGYFLKALYASSNELVQLDVYPVPNYLSYMDVSRNRLENVPEWVCESRKLEVLDIGHNQICELPARLFCNSSLRKLLAGHNQLARLPERLERTSVEVLDVQHNQLLELPPNLLMKADSLRFLNASANKLESLPPATLSEETNSILQELYLTNNSLTDKCVPLLTGHPHLKILHMAYNRLQSFPASKMAKLEELEEIDLSGNKLKAIPTTIMNCRRMHTVIAHSNCIEVFPEVMQLPEIKCVDLSCNELSEVTLPENLPPKLQELDLTGNPRLVLDHKTLELLNNIRCFKIDQPSTGDASGAPAVWSHGYTEASGVKNKLCVAALSVNNFCDNREALYGVFDGDRNVEVPYLLQCTMSDILAEELQKTKNEEEYMVNTFIVMQRKLGTAGQKLGGAAVLCHIKHDPVDPGGSFTLTSANVGKCQTVLCRNGKPLPLSRSYIMSCEEELKRIKQHKAIITEDGKVNGVTESTRILGYTFLHPSVVPRPHVQSVLLTPQDEFFILGSKGLWDSLSVEEAVEAVRNVPDALAAAKKLCTLAQSYGCHDSISAVVVQLSVTEDSFCCCELSAGGAVPPPSPGIFPPSVNMVIKDRPSDGLGVPSSSSGMASEISSELSTSEMSSEVGSTASDEPPPGALSENSPAYPSEQRCMLHPICLSNSFQRQLSSATFSSAFSDNGLDSDDEEPIEGVFTNGSRVEVEVDIHCSRAKEKEKQQHLLQVPAEASDEGIVISANEDEPGLPRKADFSAVGTIGRRRANGSVAPQERSHNVIEVATDAPLRKPGGYFAAPAQPDPDDQFIIPPELEEEVKEIMKHHQEQQQQQQPPPPPQLQPQLPRHYQLDQLPDYYDTPL
+>DECOY_sp|O60346|PHLP1_HUMAN PH domain leucine-rich repeat-containing protein phosphatase 1 OS=Homo sapiens OX=9606 GN=PHLPP1 PE=1 SV=3
+LPTDYYDPLQDLQYHRPLQPQLQPPPPPQQQQQQEQHHKMIEKVEEELEPPIIFQDDPDPQAPAAFYGGPKRLPADTAVEIVNHSREQPAVSGNARRRGITGVASFDAKRPLGPEDENASIVIGEDSAEAPVQLLHQQKEKEKARSCHIDVEVEVRSGNTFVGEIPEEDDSDLGNDSFASSFTASSLQRQFSNSLCIPHLMCRQESPYAPSNESLAGPPPEDSATSGVESSMESTSLESSIESAMGSSSSPVGLGDSPRDKIVMNVSPPFIGPSPPPVAGGASLECCCFSDETVSLQVVVASISDHCGYSQALTCLKKAAALADPVNRVAEVAEEVSLSDWLGKSGLIFFEDQPTLLVSQVHPRPVVSPHLFTYGLIRTSETVGNVKGDETIIAKHQKIRKLEEECSMIYSRSLPLPKGNRCLVTQCKGVNASTLTFSGGPDVPDHKIHCLVAAGGLKQGATGLKRQMVIFTNVMYEEENKTKQLEEALIDSMTCQLLYPVEVNRDGDFVGYLAERNDCFNNVSLAAVCLKNKVGSAETYGHSWVAPAGSADGTSPQDIKFCRINNLLELTKHDLVLRPNGTLDLEQLKPPLNEPLTVESLENCSLDVCKIEPLQMVEPFVEICNSHAIVTHMRRCNMITTPIAKLKNGSLDIEELEELKAMKSAPFSQLRNYAMHLIKLHPHGTLLPVCKDTLSNNTLYLEQLISNTEESLTAPPLSELKNASANLFRLSDAKMLLNPPLELLQNHQVDLVEVSTRELREPLRALQNHGALLKRLSSNCFLRAPLECIQNHGIDLVELKRSECVWEPVNELRNRSVDMYSLYNPVPYVDLQVLENSSAYLAKLFYGCIDLTVLQNRECHLVEINNFIMADLDGLKNDRLDLQTVHQLFDVEDAILKRIVNLRLDVHKIHPMKRLAQLRLTEVCNGSMCLKDVATLKELVEPIDTFENFSLGLYSLQKMNELEAPLSQLFNGDLLFTQLNHMVGVAAPVSRLANCSVNLEALTPISCVALPFDGLHNNSLNLSKLKTFRQLENLGRAAPLSPNQRLFNQKLNLHTLDQSYFLNAPLHELSCCSLDVSSIRQSAVKSVQRLWRLYETFTDFCIYYTQSQPGSSSFALCHQHKKVEEVKGGILPLVHMKGTLSDKVSSVILCTGCLIVQRRTWRNVPLQMKGKRVNYMGSLQIRESSGTSHPKGAYFRILCGIESDMGEEQVRWLEGFGLQFLYDNQIQLPKEEAELRRTTEGHLQVYLTPPPPPPPAKEATVGSRGPTSQLGGPAVAAAAKEECSGERVAGGPSDIARPAKQQPQPSSATQPLPLPQAPHGPRRPQGPVGTPASAADAELEESSSSSGGGSSYPDLRDSVSEASPSLSFSETDSVVPRPARPSSVPGGVFPEGPSSDSPAPSARRSWGGPGGVPLPLDAPPARPPGGFAGPASRAPPVESDRPEPPALRPGAEPPPEAPERAAAAGPGQGLVKVVGGGKHGLQLLRAAVEGATTDLTCLVPRLYTSAMHRDFVHVCGRQLQHRVWDRDSPQLQLTQRHKLLLTKRDLSRTCLSASASCSAPLGAAGPSHSAAAASSSSSSSAAAAAASLNRKLRGRRLLLSNAGGGAGPVPAAGGAIPQPAGRRRRRGAGGARGPLPGPPAEGPAEERAGSGSGNGGSPAAPTLAPEPSRGGGAAAALAAAAAAAAAAAAAAAAPASARDERGLEPLRQVTAAAAPEM
+>sp|Q9HBJ0|PLAC1_HUMAN Placenta-specific protein 1 OS=Homo sapiens OX=9606 GN=PLAC1 PE=2 SV=1
+MKVFKFIGLMILLTSAFSAGSGQSPMTVLCSIDWFMVTVHPFMLNNDVCVHFHELHLGLGCPPNHVQPHAYQFTYRVTECGIRAKAVSQDMVIYSTEIHYSSKGTPSKFVIPVSCAAPQKSPWLTKPCSMRVASKSRATAQKDEKCYEVFSLSQSSQRPNCDCPPCVFSEEEHTQVPCHQAGAQEAQPLQPSHFLDISEDWSLHTDDMIGSM
+>DECOY_sp|Q9HBJ0|PLAC1_HUMAN Placenta-specific protein 1 OS=Homo sapiens OX=9606 GN=PLAC1 PE=2 SV=1
+MSGIMDDTHLSWDESIDLFHSPQLPQAEQAGAQHCPVQTHEEESFVCPPCDCNPRQSSQSLSFVEYCKEDKQATARSKSAVRMSCPKTLWPSKQPAACSVPIVFKSPTGKSSYHIETSYIVMDQSVAKARIGCETVRYTFQYAHPQVHNPPCGLGLHLEHFHVCVDNNLMFPHVTVMFWDISCLVTMPSQGSGASFASTLLIMLGIFKFVKM
+>sp|Q6DJT9|PLAG1_HUMAN Zinc finger protein PLAG1 OS=Homo sapiens OX=9606 GN=PLAG1 PE=1 SV=1
+MATVIPGDLSEVRDTQKVPSGKRKRGETKPRKNFPCQLCDKAFNSVEKLKVHSYSHTGERPYKCIQQDCTKAFVSKYKLQRHMATHSPEKTHKCNYCEKMFHRKDHLKNHLHTHDPNKETFKCEECGKNYNTKLGFKRHLALHAATSGDLTCKVCLQTFESTGVLLEHLKSHAGKSSGGVKEKKHQCEHCDRRFYTRKDVRRHMVVHTGRKDFLCQYCAQRFGRKDHLTRHMKKSHNQELLKVKTEPVDFLDPFTCNVSVPIKDELLPVMSLPSSELLSKPFTNTLQLNLYNTPFQSMQSSGSAHQMITTLPLGMTCPIDMDTVHPSHHLSFKYPFSSTSYAISIPEKEQPLKGEIESYLMELQGGVPSSSQDSQASSSSKLGLDPQIGSLDDGAGDLSLSKSSISISDPLNTPALDFSQLFNFIPLNGPPYNPLSVGSLGMSYSQEEAHSSVSQLPPQTQDLQDPANTIGLGSLHSLSAAFTSSLSTSTTLPRFHQAFQ
+>DECOY_sp|Q6DJT9|PLAG1_HUMAN Zinc finger protein PLAG1 OS=Homo sapiens OX=9606 GN=PLAG1 PE=1 SV=1
+QFAQHFRPLTTSTSLSSTFAASLSHLSGLGITNAPDQLDQTQPPLQSVSSHAEEQSYSMGLSGVSLPNYPPGNLPIFNFLQSFDLAPTNLPDSISISSKSLSLDGAGDDLSGIQPDLGLKSSSSAQSDQSSSPVGGQLEMLYSEIEGKLPQEKEPISIAYSTSSFPYKFSLHHSPHVTDMDIPCTMGLPLTTIMQHASGSSQMSQFPTNYLNLQLTNTFPKSLLESSPLSMVPLLEDKIPVSVNCTFPDLFDVPETKVKLLEQNHSKKMHRTLHDKRGFRQACYQCLFDKRGTHVVMHRRVDKRTYFRRDCHECQHKKEKVGGSSKGAHSKLHELLVGTSEFTQLCVKCTLDGSTAAHLALHRKFGLKTNYNKGCEECKFTEKNPDHTHLHNKLHDKRHFMKECYNCKHTKEPSHTAMHRQLKYKSVFAKTCDQQICKYPREGTHSYSHVKLKEVSNFAKDCLQCPFNKRPKTEGRKRKGSPVKQTDRVESLDGPIVTAM
+>sp|P14923|PLAK_HUMAN Junction plakoglobin OS=Homo sapiens OX=9606 GN=JUP PE=1 SV=3
+MEVMNLMEQPIKVTEWQQTYTYDSGIHSGANTCVPSVSSKGIMEEDEACGRQYTLKKTTTYTQGVPPSQGDLEYQMSTTARAKRVREAMCPGVSGEDSSLLLATQVEGQATNLQRLAEPSQLLKSAIVHLINYQDDAELATRALPELTKLLNDEDPVVVTKAAMIVNQLSKKEASRRALMGSPQLVAAVVRTMQNTSDLDTARCTTSILHNLSHHREGLLAIFKSGGIPALVRMLSSPVESVLFYAITTLHNLLLYQEGAKMAVRLADGLQKMVPLLNKNNPKFLAITTDCLQLLAYGNQESKLIILANGGPQALVQIMRNYSYEKLLWTTSRVLKVLSVCPSNKPAIVEAGGMQALGKHLTSNSPRLVQNCLWTLRNLSDVATKQEGLESVLKILVNQLSVDDVNVLTCATGTLSNLTCNNSKNKTLVTQNSGVEALIHAILRAGDKDDITEPAVCALRHLTSRHPEAEMAQNSVRLNYGIPAIVKLLNQPNQWPLVKATIGLIRNLALCPANHAPLQEAAVIPRLVQLLVKAHQDAQRHVAAGTQQPYTDGVRMEEIVEGCTGALHILARDPMNRMEIFRLNTIPLFVQLLYSSVENIQRVAAGVLCELAQDKEAADAIDAEGASAPLMELLHSRNEGTATYAAAVLFRISEDKNPDYRKRVSVELTNSLFKHDPAAWEAAQSMIPINEPYGDDMDATYRPMYSSDVPLDPLEMHMDMDGDYPIDTYSDGLRPPYPTADHMLA
+>DECOY_sp|P14923|PLAK_HUMAN Junction plakoglobin OS=Homo sapiens OX=9606 GN=JUP PE=1 SV=3
+ALMHDATPYPPRLGDSYTDIPYDGDMDMHMELPDLPVDSSYMPRYTADMDDGYPENIPIMSQAAEWAAPDHKFLSNTLEVSVRKRYDPNKDESIRFLVAAAYTATGENRSHLLEMLPASAGEADIADAAEKDQALECLVGAAVRQINEVSSYLLQVFLPITNLRFIEMRNMPDRALIHLAGTCGEVIEEMRVGDTYPQQTGAAVHRQADQHAKVLLQVLRPIVAAEQLPAHNAPCLALNRILGITAKVLPWQNPQNLLKVIAPIGYNLRVSNQAMEAEPHRSTLHRLACVAPETIDDKDGARLIAHILAEVGSNQTVLTKNKSNNCTLNSLTGTACTLVNVDDVSLQNVLIKLVSELGEQKTAVDSLNRLTWLCNQVLRPSNSTLHKGLAQMGGAEVIAPKNSPCVSLVKLVRSTTWLLKEYSYNRMIQVLAQPGGNALIILKSEQNGYALLQLCDTTIALFKPNNKNLLPVMKQLGDALRVAMKAGEQYLLLNHLTTIAYFLVSEVPSSLMRVLAPIGGSKFIALLGERHHSLNHLISTTCRATDLDSTNQMTRVVAAVLQPSGMLARRSAEKKSLQNVIMAAKTVVVPDEDNLLKTLEPLARTALEADDQYNILHVIASKLLQSPEALRQLNTAQGEVQTALLLSSDEGSVGPCMAERVRKARATTSMQYELDGQSPPVGQTYTTTKKLTYQRGCAEDEEMIGKSSVSPVCTNAGSHIGSDYTYTQQWETVKIPQEMLNMVEM
+>sp|Q8N3E9|PLCD3_HUMAN 1-phosphatidylinositol 4,5-bisphosphate phosphodiesterase delta-3 OS=Homo sapiens OX=9606 GN=PLCD3 PE=1 SV=3
+MLCGRWRRCRRPPEEPPVAAQVAAQVAAPVALPSPPTPSDGGTKRPGLRALKKMGLTEDEDVRAMLRGSRLRKIRSRTWHKERLYRLQEDGLSVWFQRRIPRAPSQHIFFVQHIEAVREGHQSEGLRRFGGAFAPARCLTIAFKGRRKNLDLAAPTAEEAQRWVRGLTKLRARLDAMSQRERLDHWIHSYLHRADSNQDSKMSFKEIKSLLRMVNVDMNDMYAYLLFKECDHSNNDRLEGAEIEEFLRRLLKRPELEEIFHQYSGEDRVLSAPELLEFLEDQGEEGATLARAQQLIQTYELNETAKQHELMTLDGFMMYLLSPEGAALDNTHTCVFQDMNQPLAHYFISSSHNTYLTDSQIGGPSSTEAYVRAFAQGCRCVELDCWEGPGGEPVIYHGHTLTSKILFRDVVQAVRDHAFTLSPYPVILSLENHCGLEQQAAMARHLCTILGDMLVTQALDSPNPEELPSPEQLKGRVLVKGKKLPAARSEDGRALSDREEEEEDDEEEEEEVEAAAQRRLAKQISPELSALAVYCHATRLRTLHPAPNAPQPCQVSSLSERKAKKLIREAGNSFVRHNARQLTRVYPLGLRMNSANYSPQEMWNSGCQLVALNFQTPGYEMDLNAGRFLVNGQCGYVLKPACLRQPDSTFDPEYPGPPRTTLSIQVLTAQQLPKLNAEKPHSIVDPLVRIEIHGVPADCARQETDYVLNNGFNPRWGQTLQFQLRAPELALVRFVVEDYDATSPNDFVGQFTLPLSSLKQGYRHIHLLSKDGASLSPATLFIQIRIQRS
+>DECOY_sp|Q8N3E9|PLCD3_HUMAN 1-phosphatidylinositol 4,5-bisphosphate phosphodiesterase delta-3 OS=Homo sapiens OX=9606 GN=PLCD3 PE=1 SV=3
+SRQIRIQIFLTAPSLSAGDKSLLHIHRYGQKLSSLPLTFQGVFDNPSTADYDEVVFRVLALEPARLQFQLTQGWRPNFGNNLVYDTEQRACDAPVGHIEIRVLPDVISHPKEANLKPLQQATLVQISLTTRPPGPYEPDFTSDPQRLCAPKLVYGCQGNVLFRGANLDMEYGPTQFNLAVLQCGSNWMEQPSYNASNMRLGLPYVRTLQRANHRVFSNGAERILKKAKRESLSSVQCPQPANPAPHLTRLRTAHCYVALASLEPSIQKALRRQAAAEVEEEEEEDDEEEEERDSLARGDESRAAPLKKGKVLVRGKLQEPSPLEEPNPSDLAQTVLMDGLITCLHRAMAAQQELGCHNELSLIVPYPSLTFAHDRVAQVVDRFLIKSTLTHGHYIVPEGGPGEWCDLEVCRCGQAFARVYAETSSPGGIQSDTLYTNHSSSIFYHALPQNMDQFVCTHTNDLAAGEPSLLYMMFGDLTMLEHQKATENLEYTQILQQARALTAGEEGQDELFELLEPASLVRDEGSYQHFIEELEPRKLLRRLFEEIEAGELRDNNSHDCEKFLLYAYMDNMDVNVMRLLSKIEKFSMKSDQNSDARHLYSHIWHDLRERQSMADLRARLKTLGRVWRQAEEATPAALDLNKRRGKFAITLCRAPAFAGGFRRLGESQHGERVAEIHQVFFIHQSPARPIRRQFWVSLGDEQLRYLREKHWTRSRIKRLRSGRLMARVDEDETLGMKKLARLGPRKTGGDSPTPPSPLAVPAAVQAAVQAAVPPEEPPRRCRRWRGCLM
+>sp|Q96N28|PLD3A_HUMAN PRELI domain containing protein 3A OS=Homo sapiens OX=9606 GN=PRELID3A PE=1 SV=1
+MKIWSSEHVFGHPWDTVIQAAMRKYPNPMNPSVLGVDVLQRRVDGRGRLHSLRLLSTEWGLPSLVRAILGTSRTLTYIREHSVVDPVEKKMELCSTNITLTNLVSVNERLVYTPHPENPEMTVLTQEAIITVKGISLGSYLESLMANTISSNAKKGWAAIEWIIEHSESAVS
+>DECOY_sp|Q96N28|PLD3A_HUMAN PRELI domain containing protein 3A OS=Homo sapiens OX=9606 GN=PRELID3A PE=1 SV=1
+SVASESHEIIWEIAAWGKKANSSITNAMLSELYSGLSIGKVTIIAEQTLVTMEPNEPHPTYVLRENVSVLNTLTINTSCLEMKKEVPDVVSHERIYTLTRSTGLIARVLSPLGWETSLLRLSHLRGRGDVRRQLVDVGLVSPNMPNPYKRMAAQIVTDWPHGFVHESSWIKM
+>sp|Q8N7P1|PLD5_HUMAN Inactive phospholipase D5 OS=Homo sapiens OX=9606 GN=PLD5 PE=2 SV=2
+MEIRQHEWLSASPHEGFEQMRLKSRPKEPSPSLTRVGANFYSSVKQQDYSASVWLRRKDKLEHSQQKCIVIFALVCCFAILVALIFSAVDIMGEDEDGLSEKNCQNKCRIALVENIPEGLNYSENAPFHLSLFQGWMNLLNMAKKSVDIVSSHWDLNHTHPSACQGQRLFEKLLQLTSQNIEIKLVSDVTADSKVLEALKLKGAEVTYMNMTAYNKGRLQSSFWIVDKQHVYIGSAGLDWQSLGQMKELGVIFYNCSCLVLDLQRIFALYSSLKFKSRVPQTWSKRLYGVYDNEKKLQLQLNETKSQAFVSNSPKLFCPKNRSFDIDAIYSVIDDAKQYVYIAVMDYLPISSTSTKRTYWPDLDAKIREALVLRSVRVRLLLSFWKETDPLTFNFISSLKAICTEIANCSLKVKFFDLERENACATKEQKNHTFPRLNRNKYMVTDGAAYIGNFDWVGNDFTQNAGTGLVINQADVRNNRSIIKQLKDVFERDWYSPYAKTLQPTKQPNCSSLFKLKPLSNKTATDDTGGKDPRNV
+>DECOY_sp|Q8N7P1|PLD5_HUMAN Inactive phospholipase D5 OS=Homo sapiens OX=9606 GN=PLD5 PE=2 SV=2
+VNRPDKGGTDDTATKNSLPKLKFLSSCNPQKTPQLTKAYPSYWDREFVDKLQKIISRNNRVDAQNIVLGTGANQTFDNGVWDFNGIYAAGDTVMYKNRNLRPFTHNKQEKTACANERELDFFKVKLSCNAIETCIAKLSSIFNFTLPDTEKWFSLLLRVRVSRLVLAERIKADLDPWYTRKTSTSSIPLYDMVAIYVYQKADDIVSYIADIDFSRNKPCFLKPSNSVFAQSKTENLQLQLKKENDYVGYLRKSWTQPVRSKFKLSSYLAFIRQLDLVLCSCNYFIVGLEKMQGLSQWDLGASGIYVHQKDVIWFSSQLRGKNYATMNMYTVEAGKLKLAELVKSDATVDSVLKIEINQSTLQLLKEFLRQGQCASPHTHNLDWHSSVIDVSKKAMNLLNMWGQFLSLHFPANESYNLGEPINEVLAIRCKNQCNKESLGDEDEGMIDVASFILAVLIAFCCVLAFIVICKQQSHELKDKRRLWVSASYDQQKVSSYFNAGVRTLSPSPEKPRSKLRMQEFGEHPSASLWEHQRIEM
+>sp|Q6UQ28|PLET1_HUMAN Placenta-expressed transcript 1 protein OS=Homo sapiens OX=9606 GN=PLET1 PE=2 SV=2
+MAVFHDMLLQPLGMFLCLSLQLSSATFIRYSSTCFTFDEYYTITLDIKASSHIYESNAVYSVFVPVNDSVYAVVMKTLDENSDSAGLWQRADKNCYSNSTYYVKDQYMTVLEAQWQAPEPENITEVEIQAFTVQIRALPILSTLKLREKLSTLALAAKIPQSSAFKPFFMITPKSIRLEGLANQVFSSPITEAIYILLAFLTSTLLF
+>DECOY_sp|Q6UQ28|PLET1_HUMAN Placenta-expressed transcript 1 protein OS=Homo sapiens OX=9606 GN=PLET1 PE=2 SV=2
+FLLTSTLFALLIYIAETIPSSFVQNALGELRISKPTIMFFPKFASSQPIKAALALTSLKERLKLTSLIPLARIQVTFAQIEVETINEPEPAQWQAELVTMYQDKVYYTSNSYCNKDARQWLGASDSNEDLTKMVVAYVSDNVPVFVSYVANSEYIHSSAKIDLTITYYEDFTFCTSSYRIFTASSLQLSLCLFMGLPQLLMDHFVAM
+>sp|P49763|PLGF_HUMAN Placenta growth factor OS=Homo sapiens OX=9606 GN=PGF PE=1 SV=2
+MPVMRLFPCFLQLLAGLALPAVPPQQWALSAGNGSSEVEVVPFQEVWGRSYCRALERLVDVVSEYPSEVEHMFSPSCVSLLRCTGCCGDENLHCVPVETANVTMQLLKIRSGDRPSYVELTFSQHVRCECRHSPGRQSPDMPGDFRADAPSFLPPRRSLPMLFRMEWGCALTGSQSAVWPSSPVPEEIPRMHPGRNGKKQQRKPLREKMKPERCGDAVPRR
+>DECOY_sp|P49763|PLGF_HUMAN Placenta growth factor OS=Homo sapiens OX=9606 GN=PGF PE=1 SV=2
+RRPVADGCREPKMKERLPKRQQKKGNRGPHMRPIEEPVPSSPWVASQSGTLACGWEMRFLMPLSRRPPLFSPADARFDGPMDPSQRGPSHRCECRVHQSFTLEVYSPRDGSRIKLLQMTVNATEVPVCHLNEDGCCGTCRLLSVCSPSFMHEVESPYESVVDVLRELARCYSRGWVEQFPVVEVESSGNGASLAWQQPPVAPLALGALLQLFCPFLRMVPM
+>sp|Q99541|PLIN2_HUMAN Perilipin-2 OS=Homo sapiens OX=9606 GN=PLIN2 PE=1 SV=2
+MASVAVDPQPSVVTRVVNLPLVSSTYDLMSSAYLSTKDQYPYLKSVCEMAENGVKTITSVAMTSALPIIQKLEPQIAVANTYACKGLDRIEERLPILNQPSTQIVANAKGAVTGAKDAVTTTVTGAKDSVASTITGVMDKTKGAVTGSVEKTKSVVSGSINTVLGSRMMQLVSSGVENALTKSELLVEQYLPLTEEELEKEAKKVEGFDLVQKPSYYVRLGSLSTKLHSRAYQQALSRVKEAKQKSQQTISQLHSTVHLIEFARKNVYSANQKIQDAQDKLYLSWVEWKRSIGYDDTDESHCAEHIESRTLAIARNLTQQLQTTCHTLLSNIQGVPQNIQDQAKHMGVMAGDIYSVFRNAASFKEVSDSLLTSSKGQLQKMKESLDDVMDYLVNNTPLNWLVGPFYPQLTESQNAQDQGAEMDKSSQETQRSEHKTH
+>DECOY_sp|Q99541|PLIN2_HUMAN Perilipin-2 OS=Homo sapiens OX=9606 GN=PLIN2 PE=1 SV=2
+HTKHESRQTEQSSKDMEAGQDQANQSETLQPYFPGVLWNLPTNNVLYDMVDDLSEKMKQLQGKSSTLLSDSVEKFSAANRFVSYIDGAMVGMHKAQDQINQPVGQINSLLTHCTTQLQQTLNRAIALTRSEIHEACHSEDTDDYGISRKWEVWSLYLKDQADQIKQNASYVNKRAFEILHVTSHLQSITQQSKQKAEKVRSLAQQYARSHLKTSLSGLRVYYSPKQVLDFGEVKKAEKELEEETLPLYQEVLLESKTLANEVGSSVLQMMRSGLVTNISGSVVSKTKEVSGTVAGKTKDMVGTITSAVSDKAGTVTTTVADKAGTVAGKANAVIQTSPQNLIPLREEIRDLGKCAYTNAVAIQPELKQIIPLASTMAVSTITKVGNEAMECVSKLYPYQDKTSLYASSMLDYTSSVLPLNVVRTVVSPQPDVAVSAM
+>sp|O60664|PLIN3_HUMAN Perilipin-3 OS=Homo sapiens OX=9606 GN=PLIN3 PE=1 SV=3
+MSADGAEADGSTQVTVEEPVQQPSVVDRVASMPLISSTCDMVSAAYASTKESYPHIKTVCDAAEKGVRTLTAAAVSGAQPILSKLEPQIASASEYAHRGLDKLEENLPILQQPTEKVLADTKELVSSKVSGAQEMVSSAKDTVATQLSEAVDATRGAVQSGVDKTKSVVTGGVQSVMGSRLGQMVLSGVDTVLGKSEEWADNHLPLTDAELARIATSLDGFDVASVQQQRQEQSYFVRLGSLSERLRQHAYEHSLGKLRATKQRAQEALLQLSQVLSLMETVKQGVDQKLVEGQEKLHQMWLSWNQKQLQGPEKEPPKPEQVESRALTMFRDIAQQLQATCTSLGSSIQGLPTNVKDQVQQARRQVEDLQATFSSIHSFQDLSSSILAQSRERVASAREALDHMVEYVAQNTPVTWLVGPFAPGITEKAPEEKK
+>DECOY_sp|O60664|PLIN3_HUMAN Perilipin-3 OS=Homo sapiens OX=9606 GN=PLIN3 PE=1 SV=3
+KKEEPAKETIGPAFPGVLWTVPTNQAVYEVMHDLAERASAVRERSQALISSSLDQFSHISSFTAQLDEVQRRAQQVQDKVNTPLGQISSGLSTCTAQLQQAIDRFMTLARSEVQEPKPPEKEPGQLQKQNWSLWMQHLKEQGEVLKQDVGQKVTEMLSLVQSLQLLAEQARQKTARLKGLSHEYAHQRLRESLSGLRVFYSQEQRQQQVSAVDFGDLSTAIRALEADTLPLHNDAWEESKGLVTDVGSLVMQGLRSGMVSQVGGTVVSKTKDVGSQVAGRTADVAESLQTAVTDKASSVMEQAGSVKSSVLEKTDALVKETPQQLIPLNEELKDLGRHAYESASAIQPELKSLIPQAGSVAAATLTRVGKEAADCVTKIHPYSEKTSAYAASVMDCTSSILPMSAVRDVVSPQQVPEEVTVQTSGDAEAGDASM
+>sp|Q9NYY3|PLK2_HUMAN Serine/threonine-protein kinase PLK2 OS=Homo sapiens OX=9606 GN=PLK2 PE=1 SV=3
+MELLRTITYQPAASTKMCEQALGKGCGADSKKKRPPQPPEESQPPQSQAQVPPAAPHHHHHHSHSGPEISRIIVDPTTGKRYCRGKVLGKGGFAKCYEMTDLTNNKVYAAKIIPHSRVAKPHQREKIDKEIELHRILHHKHVVQFYHYFEDKENIYILLEYCSRRSMAHILKARKVLTEPEVRYYLRQIVSGLKYLHEQEILHRDLKLGNFFINEAMELKVGDFGLAARLEPLEHRRRTICGTPNYLSPEVLNKQGHGCESDIWALGCVMYTMLLGRPPFETTNLKETYRCIREARYTMPSSLLAPAKHLIASMLSKNPEDRPSLDDIIRHDFFLQGFTPDRLSSSCCHTVPDFHLSSPAKNFFKKAAAALFGGKKDKARYIDTHNRVSKEDEDIYKLRHDLKKTSITQQPSKHRTDEELQPPTTTVARSGTPAVENKQQIGDAIRMIVRGTLGSCSSSSECLEDSTMGSVADTVARVLRGCLENMPEADCIPKEQLSTSFQWVTKWVDYSNKYGFGYQLSDHTVGVLFNNGAHMSLLPDKKTVHYYAELGQCSVFPATDAPEQFISQVTVLKYFSHYMEENLMDGGDLPSVTDIRRPRLYLLQWLKSDKALMMLFNDGTFQVNFYHDHTKIIICSQNEEYLLTYINEDRISTTFRLTTLLMSGCSSELKNRMEYALNMLLQRCN
+>DECOY_sp|Q9NYY3|PLK2_HUMAN Serine/threonine-protein kinase PLK2 OS=Homo sapiens OX=9606 GN=PLK2 PE=1 SV=3
+NCRQLLMNLAYEMRNKLESSCGSMLLTTLRFTTSIRDENIYTLLYEENQSCIIIKTHDHYFNVQFTGDNFLMMLAKDSKLWQLLYLRPRRIDTVSPLDGGDMLNEEMYHSFYKLVTVQSIFQEPADTAPFVSCQGLEAYYHVTKKDPLLSMHAGNNFLVGVTHDSLQYGFGYKNSYDVWKTVWQFSTSLQEKPICDAEPMNELCGRLVRAVTDAVSGMTSDELCESSSSCSGLTGRVIMRIADGIQQKNEVAPTGSRAVTTTPPQLEEDTRHKSPQQTISTKKLDHRLKYIDEDEKSVRNHTDIYRAKDKKGGFLAAAAKKFFNKAPSSLHFDPVTHCCSSSLRDPTFGQLFFDHRIIDDLSPRDEPNKSLMSAILHKAPALLSSPMTYRAERICRYTEKLNTTEFPPRGLLMTYMVCGLAWIDSECGHGQKNLVEPSLYNPTGCITRRRHELPELRAALGFDGVKLEMAENIFFNGLKLDRHLIEQEHLYKLGSVIQRLYYRVEPETLVKRAKLIHAMSRRSCYELLIYINEKDEFYHYFQVVHKHHLIRHLEIEKDIKERQHPKAVRSHPIIKAAYVKNNTLDTMEYCKAFGGKGLVKGRCYRKGTTPDVIIRSIEPGSHSHHHHHHPAAPPVQAQSQPPQSEEPPQPPRKKKSDAGCGKGLAQECMKTSAAPQYTITRLLEM
+>sp|Q9H4B4|PLK3_HUMAN Serine/threonine-protein kinase PLK3 OS=Homo sapiens OX=9606 GN=PLK3 PE=1 SV=2
+MEPAAGFLSPRPFQRAAAAPAPPAGPGPPPSALRGPELEMLAGLPTSDPGRLITDPRSGRTYLKGRLLGKGGFARCYEATDTETGSAYAVKVIPQSRVAKPHQREKILNEIELHRDLQHRHIVRFSHHFEDADNIYIFLELCSRKSLAHIWKARHTLLEPEVRYYLRQILSGLKYLHQRGILHRDLKLGNFFITENMELKVGDFGLAARLEPPEQRKKTICGTPNYVAPEVLLRQGHGPEADVWSLGCVMYTLLCGSPPFETADLKETYRCIKQVHYTLPASLSLPARQLLAAILRASPRDRPSIDQILRHDFFTKGYTPDRLPISSCVTVPDLTPPNPARSLFAKVTKSLFGRKKKSKNHAQERDEVSGLVSGLMRTSVGHQDARPEAPAASGPAPVSLVETAPEDSSPRGTLASSGDGFEEGLTVATVVESALCALRNCIAFMPPAEQNPAPLAQPEPLVWVSKWVDYSNKFGFGYQLSSRRVAVLFNDGTHMALSANRKTVHYNPTSTKHFSFSVGAVPRALQPQLGILRYFASYMEQHLMKGGDLPSVEEVEVPAPPLLLQWVKTDQALLMLFSDGTVQVNFYGDHTKLILSGWEPLLVTFVARNRSACTYLASHLRQLGCSPDLRQRLRYALRLLRDRSPA
+>DECOY_sp|Q9H4B4|PLK3_HUMAN Serine/threonine-protein kinase PLK3 OS=Homo sapiens OX=9606 GN=PLK3 PE=1 SV=2
+APSRDRLLRLAYRLRQRLDPSCGLQRLHSALYTCASRNRAVFTVLLPEWGSLILKTHDGYFNVQVTGDSFLMLLAQDTKVWQLLLPPAPVEVEEVSPLDGGKMLHQEMYSAFYRLIGLQPQLARPVAGVSFSFHKTSTPNYHVTKRNASLAMHTGDNFLVAVRRSSLQYGFGFKNSYDVWKSVWVLPEPQALPAPNQEAPPMFAICNRLACLASEVVTAVTLGEEFGDGSSALTGRPSSDEPATEVLSVPAPGSAAPAEPRADQHGVSTRMLGSVLGSVEDREQAHNKSKKKRGFLSKTVKAFLSRAPNPPTLDPVTVCSSIPLRDPTYGKTFFDHRLIQDISPRDRPSARLIAALLQRAPLSLSAPLTYHVQKICRYTEKLDATEFPPSGCLLTYMVCGLSWVDAEPGHGQRLLVEPAVYNPTGCITKKRQEPPELRAALGFDGVKLEMNETIFFNGLKLDRHLIGRQHLYKLGSLIQRLYYRVEPELLTHRAKWIHALSKRSCLELFIYINDADEFHHSFRVIHRHQLDRHLEIENLIKERQHPKAVRSQPIVKVAYASGTETDTAEYCRAFGGKGLLRGKLYTRGSRPDTILRGPDSTPLGALMELEPGRLASPPPGPGAPPAPAAAARQFPRPSLFGAAPEM
+>sp|Q02809|PLOD1_HUMAN Procollagen-lysine,2-oxoglutarate 5-dioxygenase 1 OS=Homo sapiens OX=9606 GN=PLOD1 PE=1 SV=2
+MRPLLLLALLGWLLLAEAKGDAKPEDNLLVLTVATKETEGFRRFKRSAQFFNYKIQALGLGEDWNVEKGTSAGGGQKVRLLKKALEKHADKEDLVILFADSYDVLFASGPRELLKKFRQARSQVVFSAEELIYPDRRLETKYPVVSDGKRFLGSGGFIGYAPNLSKLVAEWEGQDSDSDQLFYTKIFLDPEKREQINITLDHRCRIFQNLDGALDEVVLKFEMGHVRARNLAYDTLPVLIHGNGPTKLQLNYLGNYIPRFWTFETGCTVCDEGLRSLKGIGDEALPTVLVGVFIEQPTPFVSLFFQRLLRLHYPQKHMRLFIHNHEQHHKAQVEEFLAQHGSEYQSVKLVGPEVRMANADARNMGADLCRQDRSCTYYFSVDADVALTEPNSLRLLIQQNKNVIAPLMTRHGRLWSNFWGALSADGYYARSEDYVDIVQGRRVGVWNVPYISNIYLIKGSALRGELQSSDLFHHSKLDPDMAFCANIRQQDVFMFLTNRHTLGHLLSLDSYRTTHLHNDLWEVFSNPEDWKEKYIHQNYTKALAGKLVETPCPDVYWFPIFTEVACDELVEEMEHFGQWSLGNNKDNRIQGGYENVPTIDIHMNQIGFEREWHKFLLEYIAPMTEKLYPGYYTRAQFDLAFVVRYKPDEQPSLMPHHDASTFTINIALNRVGVDYEGGGCRFLRYNCSIRAPRKGWTLMHPGRLTHYHEGLPTTRGTRYIAVSFVDP
+>DECOY_sp|Q02809|PLOD1_HUMAN Procollagen-lysine,2-oxoglutarate 5-dioxygenase 1 OS=Homo sapiens OX=9606 GN=PLOD1 PE=1 SV=2
+PDVFSVAIYRTGRTTPLGEHYHTLRGPHMLTWGKRPARISCNYRLFRCGGGEYDVGVRNLAINITFTSADHHPMLSPQEDPKYRVVFALDFQARTYYGPYLKETMPAIYELLFKHWEREFGIQNMHIDITPVNEYGGQIRNDKNNGLSWQGFHEMEEVLEDCAVETFIPFWYVDPCPTEVLKGALAKTYNQHIYKEKWDEPNSFVEWLDNHLHTTRYSDLSLLHGLTHRNTLFMFVDQQRINACFAMDPDLKSHHFLDSSQLEGRLASGKILYINSIYPVNWVGVRRGQVIDVYDESRAYYGDASLAGWFNSWLRGHRTMLPAIVNKNQQILLRLSNPETLAVDADVSFYYTCSRDQRCLDAGMNRADANAMRVEPGVLKVSQYESGHQALFEEVQAKHHQEHNHIFLRMHKQPYHLRLLRQFFLSVFPTPQEIFVGVLVTPLAEDGIGKLSRLGEDCVTCGTEFTWFRPIYNGLYNLQLKTPGNGHILVPLTDYALNRARVHGMEFKLVVEDLAGDLNQFIRCRHDLTINIQERKEPDLFIKTYFLQDSDSDQGEWEAVLKSLNPAYGIFGGSGLFRKGDSVVPYKTELRRDPYILEEASFVVQSRAQRFKKLLERPGSAFLVDYSDAFLIVLDEKDAHKELAKKLLRVKQGGGASTGKEVNWDEGLGLAQIKYNFFQASRKFRRFGETEKTAVTLVLLNDEPKADGKAEALLLWGLLALLLLPRM
+>sp|P22059|OSBP1_HUMAN Oxysterol-binding protein 1 OS=Homo sapiens OX=9606 GN=OSBP PE=1 SV=1
+MAATELRGVVGPGPAAIAALGGGGAGPPVVGGGGGRGDAGPGSGAASGTVVAAAAGGPGPGAGGVAAAGPAPAPPTGGSGGSGAGGSGSAREGWLFKWTNYIKGYQRRWFVLSNGLLSYYRSKAEMRHTCRGTINLATANITVEDSCNFIISNGGAQTYHLKASSEVERQRWVTALELAKAKAVKMLAESDESGDEESVSQTDKTELQNTLRTLSSKVEDLSTCNDLIAKHGTALQRSLSELESLKLPAESNEKIKQVNERATLFRITSNAMINACRDFLMLAQTHSKKWQKSLQYERDQRIRLEETLEQLAKQHNHLERAFRGATVLPANTPGNVGSGKDQCCSGKGDMSDEDDENEFFDAPEIITMPENLGHKRTGSNISGASSDISLDEQYKHQLEETKKEKRTRIPYKPNYSLNLWSIMKNCIGKELSKIPMPVNFNEPLSMLQRLTEDLEYHELLDRAAKCENSLEQLCYVAAFTVSSYSTTVFRTSKPFNPLLGETFELDRLEENGYRSLCEQVSHHPPAAAHHAESKNGWTLRQEIKITSKFRGKYLSIMPLGTIHCIFHATGHHYTWKKVTTTVHNIIVGKLWIDQSGEIDIVNHKTGDKCNLKFVPYSYFSRDVARKVTGEVTDPSGKVHFALLGTWDEKMECFKVQPVIGENGGDARQRGHEAEESRVMLWKRNPLPKNAENMYYFSELALTLNAWESGTAPTDSRLRPDQRLMENGRWDEANAEKQRLEEKQRLSRKKREAEAMKATEDGTPYDPYKALWFERKKDPVTKELTHIYRGEYWECKEKQDWSSCPDIF
+>DECOY_sp|P22059|OSBP1_HUMAN Oxysterol-binding protein 1 OS=Homo sapiens OX=9606 GN=OSBP PE=1 SV=1
+FIDPCSSWDQKEKCEWYEGRYIHTLEKTVPDKKREFWLAKYPDYPTGDETAKMAEAERKKRSLRQKEELRQKEANAEDWRGNEMLRQDPRLRSDTPATGSEWANLTLALESFYYMNEANKPLPNRKWLMVRSEEAEHGRQRADGGNEGIVPQVKFCEMKEDWTGLLAFHVKGSPDTVEGTVKRAVDRSFYSYPVFKLNCKDGTKHNVIDIEGSQDIWLKGVIINHVTTTVKKWTYHHGTAHFICHITGLPMISLYKGRFKSTIKIEQRLTWGNKSEAHHAAAPPHHSVQECLSRYGNEELRDLEFTEGLLPNFPKSTRFVTTSYSSVTFAAVYCLQELSNECKAARDLLEHYELDETLRQLMSLPENFNVPMPIKSLEKGICNKMISWLNLSYNPKYPIRTRKEKKTEELQHKYQEDLSIDSSAGSINSGTRKHGLNEPMTIIEPADFFENEDDEDSMDGKGSCCQDKGSGVNGPTNAPLVTAGRFARELHNHQKALQELTEELRIRQDREYQLSKQWKKSHTQALMLFDRCANIMANSTIRFLTARENVQKIKENSEAPLKLSELESLSRQLATGHKAILDNCTSLDEVKSSLTRLTNQLETKDTQSVSEEDGSEDSEALMKVAKAKALELATVWRQREVESSAKLHYTQAGGNSIIFNCSDEVTINATALNITGRCTHRMEAKSRYYSLLGNSLVFWRRQYGKIYNTWKFLWGERASGSGGAGSGGSGGTPPAPAPGAAAVGGAGPGPGGAAAAVVTGSAAGSGPGADGRGGGGGVVPPGAGGGGLAAIAAPGPGVVGRLETAAM
+>sp|Q9H4B0|OSGP2_HUMAN Probable tRNA N6-adenosine threonylcarbamoyltransferase, mitochondrial OS=Homo sapiens OX=9606 GN=OSGEPL1 PE=2 SV=2
+MLILTKTAGVFFKPSKRKVYEFLRSFNFHPGTLFLHKIVLGIETSCDDTAAAVVDETGNVLGEAIHSQTEVHLKTGGIVPPAAQQLHRENIQRIVQEALSASGVSPSDLSAIATTIKPGLALSLGVGLSFSLQLVGQLKKPFIPIHHMEAHALTIRLTNKVEFPFLVLLISGGHCLLALVQGVSDFLLLGKSLDIAPGDMLDKVARRLSLIKHPECSTMSGGKAIEHLAKQGNRFHFDIKPPLHHAKNCDFSFTGLQHVTDKIIMKKEKEEGIEKGQILSSAADIAATVQHTMACHLVKRTHRAILFCKQRDLLPQNNAVLVASGGVASNFYIRRALEILTNATQCTLLCPPPRLCTDNGIMIAWNGIERLRAGLGILHDIEGIRYEPKCPLGVDISKEVGEASIKVPQLKMEI
+>DECOY_sp|Q9H4B0|OSGP2_HUMAN Probable tRNA N6-adenosine threonylcarbamoyltransferase, mitochondrial OS=Homo sapiens OX=9606 GN=OSGEPL1 PE=2 SV=2
+IEMKLQPVKISAEGVEKSIDVGLPCKPEYRIGEIDHLIGLGARLREIGNWAIMIGNDTCLRPPPCLLTCQTANTLIELARRIYFNSAVGGSAVLVANNQPLLDRQKCFLIARHTRKVLHCAMTHQVTAAIDAASSLIQGKEIGEEKEKKMIIKDTVHQLGTFSFDCNKAHHLPPKIDFHFRNGQKALHEIAKGGSMTSCEPHKILSLRRAVKDLMDGPAIDLSKGLLLFDSVGQVLALLCHGGSILLVLFPFEVKNTLRITLAHAEMHHIPIFPKKLQGVLQLSFSLGVGLSLALGPKITTAIASLDSPSVGSASLAEQVIRQINERHLQQAAPPVIGGTKLHVETQSHIAEGLVNGTEDVVAAATDDCSTEIGLVIKHLFLTGPHFNFSRLFEYVKRKSPKFFVGATKTLILM
+>sp|Q8TAX0|OSR1_HUMAN Protein odd-skipped-related 1 OS=Homo sapiens OX=9606 GN=OSR1 PE=2 SV=1
+MGSKTLPAPVPIHPSLQLTNYSFLQAVNGLPTVPSDHLPNLYGFSALHAVHLHQWTLGYPAMHLPRSSFSKVPGTVSSLVDARFQLPAFPWFPHVIQPKPEITAGGSVPALKTKPRFDFANLALAATQEDPAKLGRGEGPGSPAGGLGALLDVTKLSPEKKPTRGRLPSKTKKEFVCKFCGRHFTKSYNLLIHERTHTDERPYTCDICHKAFRRQDHLRDHRYIHSKEKPFKCQECGKGFCQSRTLAVHKTLHSQVKELKTSKIKC
+>DECOY_sp|Q8TAX0|OSR1_HUMAN Protein odd-skipped-related 1 OS=Homo sapiens OX=9606 GN=OSR1 PE=2 SV=1
+CKIKSTKLEKVQSHLTKHVALTRSQCFGKGCEQCKFPKEKSHIYRHDRLHDQRRFAKHCIDCTYPREDTHTREHILLNYSKTFHRGCFKCVFEKKTKSPLRGRTPKKEPSLKTVDLLAGLGGAPSGPGEGRGLKAPDEQTAALALNAFDFRPKTKLAPVSGGATIEPKPQIVHPFWPFAPLQFRADVLSSVTGPVKSFSSRPLHMAPYGLTWQHLHVAHLASFGYLNPLHDSPVTPLGNVAQLFSYNTLQLSPHIPVPAPLTKSGM
+>sp|P61366|OSTN_HUMAN Osteocrin OS=Homo sapiens OX=9606 GN=OSTN PE=1 SV=1
+MLDWRLASAHFILAVTLTLWSSGKVLSVDVTTTEAFDSGVIDVQSTPTVREEKSATDLTAKLLLLDELVSLENDVIETKKKRSFSGFGSPLDRLSAGSVDHKGKQRKVVDHPKRRFGIPMDRIGRNRLSNSRG
+>DECOY_sp|P61366|OSTN_HUMAN Osteocrin OS=Homo sapiens OX=9606 GN=OSTN PE=1 SV=1
+GRSNSLRNRGIRDMPIGFRRKPHDVVKRQKGKHDVSGASLRDLPSGFGSFSRKKKTEIVDNELSVLEDLLLLKATLDTASKEERVTPTSQVDIVGSDFAETTTVDVSLVKGSSWLTLTVALIFHASALRWDLM
+>sp|Q7RTS5|OTOP3_HUMAN Proton channel OTOP3 OS=Homo sapiens OX=9606 GN=OTOP3 PE=1 SV=1
+MGRGARAAAAQSRWGRASRASVSPGRTIRSAPAVGEAQETEAAPEKENRVDVGAEERAAATRPRQKSWLVRHFSLLLRRDRQAQKAGQLFSGLLALNVVFLGGAFICSMIFNKVAVTLGDVWILLATLKVLSLLWLLYYVASTTRRPHAVLYQDPHAGPLWVRGSLVLFGSCTFCLNIFRVGYDVSHIRCKSQLDLVFSVIEMVFIGVQTWVLWKHCKDCVRVQTNFTRCGLMLTLATNLLLWVLAVTNDSMHREIEAELGILMEKSTGNETNTCLCLNATACEAFRRGFLMLYPFSTEYCLICCAVLFVMWKNVGRHVAPHMGAHPATAPFHLHGAIFGPLLGLLVLLAGVCVFVLFQIEASGPAIACQYFTLYYAFYVAVLPTMSLACLAGTAIHGLEERELDTVKNPTRSLDVVLLMGAALGQMGIAYFSIVAIVAKRPHELLNRLILAYSLLLILQHIAQNLFIIEGLHRRPLWETVPEGLAGKQEAEPPRRGSLLELGQGLQRASLAYIHSYSHLNWKRRALKEISLFLILCNITLWMMPAFGIHPEFENGLEKDFYGYQIWFAIVNFGLPLGVFYRMHSVGGLVEVYLGA
+>DECOY_sp|Q7RTS5|OTOP3_HUMAN Proton channel OTOP3 OS=Homo sapiens OX=9606 GN=OTOP3 PE=1 SV=1
+AGLYVEVLGGVSHMRYFVGLPLGFNVIAFWIQYGYFDKELGNEFEPHIGFAPMMWLTINCLILFLSIEKLARRKWNLHSYSHIYALSARQLGQGLELLSGRRPPEAEQKGALGEPVTEWLPRRHLGEIIFLNQAIHQLILLLSYALILRNLLEHPRKAVIAVISFYAIGMQGLAAGMLLVVDLSRTPNKVTDLEREELGHIATGALCALSMTPLVAVYFAYYLTFYQCAIAPGSAEIQFLVFVCVGALLVLLGLLPGFIAGHLHFPATAPHAGMHPAVHRGVNKWMVFLVACCILCYETSFPYLMLFGRRFAECATANLCLCTNTENGTSKEMLIGLEAEIERHMSDNTVALVWLLLNTALTLMLGCRTFNTQVRVCDKCHKWLVWTQVGIFVMEIVSFVLDLQSKCRIHSVDYGVRFINLCFTCSGFLVLSGRVWLPGAHPDQYLVAHPRRTTSAVYYLLWLLSLVKLTALLIWVDGLTVAVKNFIMSCIFAGGLFVVNLALLGSFLQGAKQAQRDRRLLLSFHRVLWSKQRPRTAAAREEAGVDVRNEKEPAAETEQAEGVAPASRITRGPSVSARSARGWRSQAAAARAGRGM
+>sp|Q12889|OVGP1_HUMAN Oviduct-specific glycoprotein OS=Homo sapiens OX=9606 GN=OVGP1 PE=2 SV=1
+MWKLLLWVGLVLVLKHHDGAAHKLVCYFTNWAHSRPGPASILPHDLDPFLCTHLIFAFASMNNNQIVAKDLQDEKILYPEFNKLKERNRELKTLLSIGGWNFGTSRFTTMLSTFANREKFIASVISLLRTHDFDGLDLFFLYPGLRGSPMHDRWTFLFLIEELLFAFRKEALLTMRPRLLLSAAVSGVPHIVQTSYDVRFLGRLLDFINVLSYDLHGSWERFTGHNSPLFSLPEDPKSSAYAMNYWRKLGAPSEKLIMGIPTYGRTFRLLKASKNGLQARAIGPASPGKYTKQEGFLAYFEICSFVWGAKKHWIDYQYVPYANKGKEWVGYDNAISFSYKAWFIRREHFGGAMVWTLDMDDVRGTFCGTGPFPLVYVLNDILVRAEFSSTSLPQFWLSSAVNSSSTDPERLAVTTAWTTDSKILPPGGEAGVTEIHGKCENMTITPRGTTVTPTKETVSLGKHTVALGEKTEITGAMTMTSVGHQSMTPGEKALTPVGHQSVTTGQKTLTSVGYQSVTPGEKTLTPVGHQSVTPVSHQSVSPGGTTMTPVHFQTETLRQNTVAPRRKAVAREKVTVPSRNISVTPEGQTMPLRGENLTSEVGTHPRMGNLGLQMEAENRMMLSSSPVIQLPEQTPLAFDNRFVPIYGNHSSVNSVTPQTSPLSLKKEIPENSAVDEEA
+>DECOY_sp|Q12889|OVGP1_HUMAN Oviduct-specific glycoprotein OS=Homo sapiens OX=9606 GN=OVGP1 PE=2 SV=1
+AEEDVASNEPIEKKLSLPSTQPTVSNVSSHNGYIPVFRNDFALPTQEPLQIVPSSSLMMRNEAEMQLGLNGMRPHTGVESTLNEGRLPMTQGEPTVSINRSPVTVKERAVAKRRPAVTNQRLTETQFHVPTMTTGGPSVSQHSVPTVSQHGVPTLTKEGPTVSQYGVSTLTKQGTTVSQHGVPTLAKEGPTMSQHGVSTMTMAGTIETKEGLAVTHKGLSVTEKTPTVTTGRPTITMNECKGHIETVGAEGGPPLIKSDTTWATTVALREPDTSSSNVASSLWFQPLSTSSFEARVLIDNLVYVLPFPGTGCFTGRVDDMDLTWVMAGGFHERRIFWAKYSFSIANDYGVWEKGKNAYPVYQYDIWHKKAGWVFSCIEFYALFGEQKTYKGPSAPGIARAQLGNKSAKLLRFTRGYTPIGMILKESPAGLKRWYNMAYASSKPDEPLSFLPSNHGTFREWSGHLDYSLVNIFDLLRGLFRVDYSTQVIHPVGSVAASLLLRPRMTLLAEKRFAFLLEEILFLFTWRDHMPSGRLGPYLFFLDLGDFDHTRLLSIVSAIFKERNAFTSLMTTFRSTGFNWGGISLLTKLERNREKLKNFEPYLIKEDQLDKAVIQNNNMSAFAFILHTCLFPDLDHPLISAPGPRSHAWNTFYCVLKHAAGDHHKLVLVLGVWLLLKWM
+>sp|O14753|OVOL1_HUMAN Putative transcription factor Ovo-like 1 OS=Homo sapiens OX=9606 GN=OVOL1 PE=2 SV=3
+MPRAFLVKKPCVSTCKRNWSELPDEERGEIYVPVSLGFCPPQPYREPEPSVAEPPSCPLALNMSLRDSSYSMAPGPCVVAQLPSEDMGHLTDPQSRDHGFLRTKMKVTLGDSPSGDLFTCRVCQKAFTYQRMLNRHMKCHNDVKRHLCTYCGKGFNDTFDLKRHVRTHTGVRPYKCSLCDKAFTQRCSLESHLKKIHGVQQKYAYKERRAKLYVCEECGCTSESQEGHVLHLKEHHPDSPLLRKTSKKVAVALQNTVTSLLQGSPHL
+>DECOY_sp|O14753|OVOL1_HUMAN Putative transcription factor Ovo-like 1 OS=Homo sapiens OX=9606 GN=OVOL1 PE=2 SV=3
+LHPSGQLLSTVTNQLAVAVKKSTKRLLPSDPHHEKLHLVHGEQSESTCGCEECVYLKARREKYAYKQQVGHIKKLHSELSCRQTFAKDCLSCKYPRVGTHTRVHRKLDFTDNFGKGCYTCLHRKVDNHCKMHRNLMRQYTFAKQCVRCTFLDGSPSDGLTVKMKTRLFGHDRSQPDTLHGMDESPLQAVVCPGPAMSYSSDRLSMNLALPCSPPEAVSPEPERYPQPPCFGLSVPVYIEGREEDPLESWNRKCTSVCPKKVLFARPM
+>sp|Q9BRP0|OVOL2_HUMAN Transcription factor Ovo-like 2 OS=Homo sapiens OX=9606 GN=OVOL2 PE=1 SV=1
+MPKVFLVKRRSLGVSVRSWDELPDEKRADTYIPVGLGRLLHDPPEDCRSDGGSSSGSGSSSAGEPGGAESSSSPHAPESETPEPGDAEGPDGHLATKQRPVARSKIKFTTGTCSDSVVHSCDLCGKGFRLQRMLNRHLKCHNQVKRHLCTFCGKGFNDTFDLKRHVRTHTGIRPYKCNVCNKAFTQRCSLESHLKKIHGVQQQYAYKQRRDKLYVCEDCGYTGPTQEDLYLHVNSAHPGSSFLKKTSKKLAALLQGKLTSAHQENTSLSEEEERK
+>DECOY_sp|Q9BRP0|OVOL2_HUMAN Transcription factor Ovo-like 2 OS=Homo sapiens OX=9606 GN=OVOL2 PE=1 SV=1
+KREEEESLSTNEQHASTLKGQLLAALKKSTKKLFSSGPHASNVHLYLDEQTPGTYGCDECVYLKDRRQKYAYQQQVGHIKKLHSELSCRQTFAKNCVNCKYPRIGTHTRVHRKLDFTDNFGKGCFTCLHRKVQNHCKLHRNLMRQLRFGKGCLDCSHVVSDSCTGTTFKIKSRAVPRQKTALHGDPGEADGPEPTESEPAHPSSSSEAGGPEGASSSGSGSSSGGDSRCDEPPDHLLRGLGVPIYTDARKEDPLEDWSRVSVGLSRRKVLFVKPM
+>sp|O43613|OX1R_HUMAN Orexin receptor type 1 OS=Homo sapiens OX=9606 GN=HCRTR1 PE=1 SV=2
+MEPSATPGAQMGVPPGSREPSPVPPDYEDEFLRYLWRDYLYPKQYEWVLIAAYVAVFVVALVGNTLVCLAVWRNHHMRTVTNYFIVNLSLADVLVTAICLPASLLVDITESWLFGHALCKVIPYLQAVSVSVAVLTLSFIALDRWYAICHPLLFKSTARRARGSILGIWAVSLAIMVPQAAVMECSSVLPELANRTRLFSVCDERWADDLYPKIYHSCFFIVTYLAPLGLMAMAYFQIFRKLWGRQIPGTTSALVRNWKRPSDQLGDLEQGLSGEPQPRARAFLAEVKQMRARRKTAKMLMVVLLVFALCYLPISVLNVLKRVFGMFRQASDREAVYACFTFSHWLVYANSAANPIIYNFLSGKFREQFKAAFSCCLPGLGPCGSLKAPSPRSSASHKSLSLQSRCSISKISEHVVLTSVTTVLP
+>DECOY_sp|O43613|OX1R_HUMAN Orexin receptor type 1 OS=Homo sapiens OX=9606 GN=HCRTR1 PE=1 SV=2
+PLVTTVSTLVVHESIKSISCRSQLSLSKHSASSRPSPAKLSGCPGLGPLCCSFAAKFQERFKGSLFNYIIPNAASNAYVLWHSFTFCAYVAERDSAQRFMGFVRKLVNLVSIPLYCLAFVLLVVMLMKATKRRARMQKVEALFARARPQPEGSLGQELDGLQDSPRKWNRVLASTTGPIQRGWLKRFIQFYAMAMLGLPALYTVIFFCSHYIKPYLDDAWREDCVSFLRTRNALEPLVSSCEMVAAQPVMIALSVAWIGLISGRARRATSKFLLPHCIAYWRDLAIFSLTLVAVSVSVAQLYPIVKCLAHGFLWSETIDVLLSAPLCIATVLVDALSLNVIFYNTVTRMHHNRWVALCVLTNGVLAVVFVAVYAAILVWEYQKPYLYDRWLYRLFEDEYDPPVPSPERSGPPVGMQAGPTASPEM
+>sp|Q99572|P2RX7_HUMAN P2X purinoceptor 7 OS=Homo sapiens OX=9606 GN=P2RX7 PE=1 SV=4
+MPACCSCSDVFQYETNKVTRIQSMNYGTIKWFFHVIIFSYVCFALVSDKLYQRKEPVISSVHTKVKGIAEVKEEIVENGVKKLVHSVFDTADYTFPLQGNSFFVMTNFLKTEGQEQRLCPEYPTRRTLCSSDRGCKKGWMDPQSKGIQTGRCVVYEGNQKTCEVSAWCPIEAVEEAPRPALLNSAENFTVLIKNNIDFPGHNYTTRNILPGLNITCTFHKTQNPQCPIFRLGDIFRETGDNFSDVAIQGGIMGIEIYWDCNLDRWFHHCRPKYSFRRLDDKTTNVSLYPGYNFRYAKYYKENNVEKRTLIKVFGIRFDILVFGTGGKFDIIQLVVYIGSTLSYFGLAAVFIDFLIDTYSSNCCRSHIYPWCKCCQPCVVNEYYYRKKCESIVEPKPTLKYVSFVDESHIRMVNQQLLGRSLQDVKGQEVPRPAMDFTDLSRLPLALHDTPPIPGQPEEIQLLRKEATPRSRDSPVWCQCGSCLPSQLPESHRCLEELCCRKKPGACITTSELFRKLVLSRHVLQFLLLYQEPLLALDVDSTNSRLRHCAYRCYATWRFGSQDMADFAILPSCCRWRIRKEFPKSEGQYSGFKSPY
+>DECOY_sp|Q99572|P2RX7_HUMAN P2X purinoceptor 7 OS=Homo sapiens OX=9606 GN=P2RX7 PE=1 SV=4
+YPSKFGSYQGESKPFEKRIRWRCCSPLIAFDAMDQSGFRWTAYCRYACHRLRSNTSDVDLALLPEQYLLLFQLVHRSLVLKRFLESTTICAGPKKRCCLEELCRHSEPLQSPLCSGCQCWVPSDRSRPTAEKRLLQIEEPQGPIPPTDHLALPLRSLDTFDMAPRPVEQGKVDQLSRGLLQQNVMRIHSEDVFSVYKLTPKPEVISECKKRYYYENVVCPQCCKCWPYIHSRCCNSSYTDILFDIFVAALGFYSLTSGIYVVLQIIDFKGGTGFVLIDFRIGFVKILTRKEVNNEKYYKAYRFNYGPYLSVNTTKDDLRRFSYKPRCHHFWRDLNCDWYIEIGMIGGQIAVDSFNDGTERFIDGLRFIPCQPNQTKHFTCTINLGPLINRTTYNHGPFDINNKILVTFNEASNLLAPRPAEEVAEIPCWASVECTKQNGEYVVCRGTQIGKSQPDMWGKKCGRDSSCLTRRTPYEPCLRQEQGETKLFNTMVFFSNGQLPFTYDATDFVSHVLKKVGNEVIEEKVEAIGKVKTHVSSIVPEKRQYLKDSVLAFCVYSFIIVHFFWKITGYNMSQIRTVKNTEYQFVDSCSCCAPM
+>sp|P51582|P2RY4_HUMAN P2Y purinoceptor 4 OS=Homo sapiens OX=9606 GN=P2RY4 PE=1 SV=1
+MASTESSLLRSLGLSPGPGSSEVELDCWFDEDFKFILLPVSYAVVFVLGLGLNAPTLWLFIFRLRPWDATATYMFHLALSDTLYVLSLPTLIYYYAAHNHWPFGTEICKFVRFLFYWNLYCSVLFLTCISVHRYLGICHPLRALRWGRPRLAGLLCLAVWLVVAGCLVPNLFFVTTSNKGTTVLCHDTTRPEEFDHYVHFSSAVMGLLFGVPCLVTLVCYGLMARRLYQPLPGSAQSSSRLRSLRTIAVVLTVFAVCFVPFHITRTIYYLARLLEADCRVLNIVNVVYKVTRPLASANSCLDPVLYLLTGDKYRRQLRQLCGGGKPQPRTAASSLALVSLPEDSSCRWAATPQDSSCSTPRADRL
+>DECOY_sp|P51582|P2RY4_HUMAN P2Y purinoceptor 4 OS=Homo sapiens OX=9606 GN=P2RY4 PE=1 SV=1
+LRDARPTSCSSDQPTAAWRCSSDEPLSVLALSSAATRPQPKGGGCLQRLQRRYKDGTLLYLVPDLCSNASALPRTVKYVVNVINLVRCDAELLRALYYITRTIHFPVFCVAFVTLVVAITRLSRLRSSSQASGPLPQYLRRAMLGYCVLTVLCPVGFLLGMVASSFHVYHDFEEPRTTDHCLVTTGKNSTTVFFLNPVLCGAVVLWVALCLLGALRPRGWRLARLPHCIGLYRHVSICTLFLVSCYLNWYFLFRVFKCIETGFPWHNHAAYYYILTPLSLVYLTDSLALHFMYTATADWPRLRFIFLWLTPANLGLGLVFVVAYSVPLLIFKFDEDFWCDLEVESSGPGPSLGLSRLLSSETSAM
+>sp|O75747|P3C2G_HUMAN Phosphatidylinositol 4-phosphate 3-kinase C2 domain-containing subunit gamma OS=Homo sapiens OX=9606 GN=PIK3C2G PE=1 SV=3
+MAYSWQTDPNPNESHEKQYEHQEFLFVNQPHSSSQVSLGFDQIVDEISGKIPHYESEIDENTFFVPTAPKWDSTGHSLNEAHQISLNEFTSKSRELSWHQVSKAPAIGFSPSVLPKPQNTNKECSWGSPIGKHHGADDSRFSILAPSFTSLDKINLEKELENENHNYHIGFESSIPPTNSSFSSDFMPKEENKRSGHVNIVEPSLMLLKGSLQPGMWESTWQKNIESIGCSIQLVEVPQSSNTSLASFCNKVKKIRERYHAADVNFNSGKIWSTTTAFPYQLFSKTKFNIHIFIDNSTQPLHFMPCANYLVKDLIAEILHFCTNDQLLPKDHILSVCGSEEFLQNDHCLGSHKMFQKDKSVIQLHLQKSREAPGKLSRKHEEDHSQFYLNQLLEFMHIWKVSRQCLLTLIRKYDFHLKYLLKTQENVYNIIEEVKKICSVLGCVETKQITDAVNELSLILQRKGENFYQSSETSAKGLIEKVTTELSTSIYQLINVYCNSFYADFQPVNVPRCTSYLNPGLPSHLSFTVYAAHNIPETWVHRINFPLEIKSLPRESMLTVKLFGIACATNNANLLAWTCLPLFPKEKSILGSMLFSMTLQSEPPVEMITPGVWDVSQPSPVTLQIDFPATGWEYMKPDSEENRSNLEEPLKECIKHIARLSQKQTPLLLSEEKKRYLWFYRFYCNNENCSLPLVLGSAPGWDERTVSEMHTILRRWTFSQPLEALGLLTSSFPDQEIRKVAVQQLDNLLNDELLEYLPQLVQAVKFEWNLESPLVQLLLHRSLQSIQVAHRLYWLLKNAENEAYFKSWYQKLLAALQFCAGKALNDEFSKEQKLIKILGDIGERVKSASDHQRQEVLKKEIGRLEEFFQDVNTCHLPLNPALCIKGIDHDACSYFTSNALPLKITFINANPMGKNISIIFKAGDDLRQDMLVLQLIQVMDNIWLQEGLDMQMIIYRCLSTGKDQGLVQMVPDAVTLAKIHRHSGLIGPLKENTIKKWFSQHNHLKADYEKALRNFFYSCAGWCVVTFILGVCDRHNDNIMLTKSGHMFHIDFGKFLGHAQTFGGIKRDRAPFIFTSEMEYFITEGGKNPQHFQDFVELCCRAYNIIRKHSQLLLNLLEMMLYAGLPELSGIQDLKYVYNNLRPQDTDLEATSHFTKKIKESLECFPVKLNNLIHTLAQMSAISPAKSTSQTFPQESCLLSTTRSIERATILGFSKKSSNLYLIQVTHSNNETSLTEKSFEQFSKLHSQLQKQFASLTLPEFPHWWHLPFTNSDHRRFRDLNHYMEQILNVSHEVTNSDCVLSFFLSEAVQQTVEESSPVYLGEKFPDKKPKVQLVISYEDVKLTILVKHMKNIHLPDGSAPSAHVEFYLLPYPSEVRRRKTKSVPKCTDPTYNEIVVYDEVTELQGHVLMLIVKSKTVFVGAINIRLCSVPLDKEKWYPLGNSII
+>DECOY_sp|O75747|P3C2G_HUMAN Phosphatidylinositol 4-phosphate 3-kinase C2 domain-containing subunit gamma OS=Homo sapiens OX=9606 GN=PIK3C2G PE=1 SV=3
+IISNGLPYWKEKDLPVSCLRINIAGVFVTKSKVILMLVHGQLETVEDYVVIENYTPDTCKPVSKTKRRRVESPYPLLYFEVHASPASGDPLHINKMHKVLITLKVDEYSIVLQVKPKKDPFKEGLYVPSSEEVTQQVAESLFFSLVCDSNTVEHSVNLIQEMYHNLDRFRRHDSNTFPLHWWHPFEPLTLSAFQKQLQSHLKSFQEFSKETLSTENNSHTVQILYLNSSKKSFGLITAREISRTTSLLCSEQPFTQSTSKAPSIASMQALTHILNNLKVPFCELSEKIKKTFHSTAELDTDQPRLNNYVYKLDQIGSLEPLGAYLMMELLNLLLQSHKRIINYARCCLEVFDQFHQPNKGGETIFYEMESTFIFPARDRKIGGFTQAHGLFKGFDIHFMHGSKTLMINDNHRDCVGLIFTVVCWGACSYFFNRLAKEYDAKLHNHQSFWKKITNEKLPGILGSHRHIKALTVADPVMQVLGQDKGTSLCRYIIMQMDLGEQLWINDMVQILQLVLMDQRLDDGAKFIISINKGMPNANIFTIKLPLANSTFYSCADHDIGKICLAPNLPLHCTNVDQFFEELRGIEKKLVEQRQHDSASKVREGIDGLIKILKQEKSFEDNLAKGACFQLAALLKQYWSKFYAENEANKLLWYLRHAVQISQLSRHLLLQVLPSELNWEFKVAQVLQPLYELLEDNLLNDLQQVAVKRIEQDPFSSTLLGLAELPQSFTWRRLITHMESVTREDWGPASGLVLPLSCNENNCYFRYFWLYRKKEESLLLPTQKQSLRAIHKICEKLPEELNSRNEESDPKMYEWGTAPFDIQLTVPSPQSVDWVGPTIMEVPPESQLTMSFLMSGLISKEKPFLPLCTWALLNANNTACAIGFLKVTLMSERPLSKIELPFNIRHVWTEPINHAAYVTFSLHSPLGPNLYSTCRPVNVPQFDAYFSNCYVNILQYISTSLETTVKEILGKASTESSQYFNEGKRQLILSLENVADTIQKTEVCGLVSCIKKVEEIINYVNEQTKLLYKLHFDYKRILTLLCQRSVKWIHMFELLQNLYFQSHDEEHKRSLKGPAERSKQLHLQIVSKDKQFMKHSGLCHDNQLFEESGCVSLIHDKPLLQDNTCFHLIEAILDKVLYNACPMFHLPQTSNDIFIHINFKTKSFLQYPFATTTSWIKGSNFNVDAAHYRERIKKVKNCFSALSTNSSQPVEVLQISCGISEINKQWTSEWMGPQLSGKLLMLSPEVINVHGSRKNEEKPMFDSSFSSNTPPISSEFGIHYNHNENELEKELNIKDLSTFSPALISFRSDDAGHHKGIPSGWSCEKNTNQPKPLVSPSFGIAPAKSVQHWSLERSKSTFENLSIQHAENLSHGTSDWKPATPVFFTNEDIESEYHPIKGSIEDVIQDFGLSVQSSSHPQNVFLFEQHEYQKEHSENPNPDTQWSYAM
+>sp|Q32P28|P3H1_HUMAN Prolyl 3-hydroxylase 1 OS=Homo sapiens OX=9606 GN=P3H1 PE=1 SV=2
+MAVRALKLLTTLLAVVAAASQAEVESEAGWGMVTPDLLFAEGTAAYARGDWPGVVLSMERALRSRAALRALRLRCRTQCAADFPWELDPDWSPSPAQASGAAALRDLSFFGGLLRRAACLRRCLGPPAAHSLSEEMELEFRKRSPYNYLQVAYFKINKLEKAVAAAHTFFVGNPEHMEMQQNLDYYQTMSGVKEADFKDLETQPHMQEFRLGVRLYSEEQPQEAVPHLEAALQEYFVAYEECRALCEGPYDYDGYNYLEYNADLFQAITDHYIQVLNCKQNCVTELASHPSREKPFEDFLPSHYNYLQFAYYNIGNYTQAVECAKTYLLFFPNDEVMNQNLAYYAAMLGEEHTRSIGPRESAKEYRQRSLLEKELLFFAYDVFGIPFVDPDSWTPEEVIPKRLQEKQKSERETAVRISQEIGNLMKEIETLVEEKTKESLDVSRLTREGGPLLYEGISLTMNSKLLNGSQRVVMDGVISDHECQELQRLTNVAATSGDGYRGQTSPHTPNEKFYGVTVFKALKLGQEGKVPLQSAHLYYNVTEKVRRIMESYFRLDTPLYFSYSHLVCRTAIEEVQAERKDDSHPVHVDNCILNAETLVCVKEPPAYTFRDYSAILYLNGDFDGGNFYFTELDAKTVTAEVQPQCGRAVGFSSGTENPHGVKAVTRGQRCAIALWFTLDPRHSERDRVQADDLVKMLFSPEEMDLSQEQPLDAQQGPPEPAQESLSGSESKPKDEL
+>DECOY_sp|Q32P28|P3H1_HUMAN Prolyl 3-hydroxylase 1 OS=Homo sapiens OX=9606 GN=P3H1 PE=1 SV=2
+LEDKPKSESGSLSEQAPEPPGQQADLPQEQSLDMEEPSFLMKVLDDAQVRDRESHRPDLTFWLAIACRQGRTVAKVGHPNETGSSFGVARGCQPQVEATVTKADLETFYFNGGDFDGNLYLIASYDRFTYAPPEKVCVLTEANLICNDVHVPHSDDKREAQVEEIATRCVLHSYSFYLPTDLRFYSEMIRRVKETVNYYLHASQLPVKGEQGLKLAKFVTVGYFKENPTHPSTQGRYGDGSTAAVNTLRQLEQCEHDSIVGDMVVRQSGNLLKSNMTLSIGEYLLPGGERTLRSVDLSEKTKEEVLTEIEKMLNGIEQSIRVATERESKQKEQLRKPIVEEPTWSDPDVFPIGFVDYAFFLLEKELLSRQRYEKASERPGISRTHEEGLMAAYYALNQNMVEDNPFFLLYTKACEVAQTYNGINYYAFQLYNYHSPLFDEFPKERSPHSALETVCNQKCNLVQIYHDTIAQFLDANYELYNYGDYDYPGECLARCEEYAVFYEQLAAELHPVAEQPQEESYLRVGLRFEQMHPQTELDKFDAEKVGSMTQYYDLNQQMEMHEPNGVFFTHAAAVAKELKNIKFYAVQLYNYPSRKRFELEMEESLSHAAPPGLCRRLCAARRLLGGFFSLDRLAAAGSAQAPSPSWDPDLEWPFDAACQTRCRLRLARLAARSRLAREMSLVVGPWDGRAYAATGEAFLLDPTVMGWGAESEVEAQSAAAVVALLTTLLKLARVAM
+>sp|Q8IVL6|P3H3_HUMAN Prolyl 3-hydroxylase 3 OS=Homo sapiens OX=9606 GN=P3H3 PE=1 SV=1
+MLRLLRPLLLLLLLPPPGSPEPPGLTQLSPGAPPQAPDLLYADGLRAYAAGAWAPAVALLREALRSQAALGRVRLDCGASCAADPGAALPAVLLGAPEPDSGPGPTQGSWERQLLRAALRRADCLTQCAARRLGPGGAARLRVGSALRDAFRRREPYNYLQRAYYQLKKLDLAAAAAHTFFVANPMHLQMREDMAKYRRMSGVRPQSFRDLETPPHWAAYDTGLELLGRQEAGLALPRLEEALQGSLAQMESCRADCEGPEEQQGAEEEEDGAASQGGLYEAIAGHWIQVLQCRQRCVGETATRPGRSFPVPDFLPNQLRRLHEAHAQVGNLSQAIENVLSVLLFYPEDEAAKRALNQYQAQLGEPRPGLGPREDIQRFILRSLGEKRQLYYAMEHLGTSFKDPDPWTPAALIPEALREKLREDQEKRPWDHEPVKPKPLTYWKDVLLLEGVTLTQDSRQLNGSERAVLDGLLTPAECGVLLQLAKDAAGAGARSGYRGRRSPHTPHERFEGLTVLKAAQLARAGTVGSQGAKLLLEVSERVRTLTQAYFSPERPLHLSFTHLVCRSAIEGEQEQRMDLSHPVHADNCVLDPDTGECWREPPAYTYRDYSGLLYLNDDFQGGDLFFTEPNALTVTARVRPRCGRLVAFSSGVENPHGVWAVTRGRRCALALWHTWAPEHREQEWIEAKELLQESQEEEEEEEEEMPSKDPSPEPPSRRHQRVQDKTGRAPRVREEL
+>DECOY_sp|Q8IVL6|P3H3_HUMAN Prolyl 3-hydroxylase 3 OS=Homo sapiens OX=9606 GN=P3H3 PE=1 SV=1
+LEERVRPARGTKDQVRQHRRSPPEPSPDKSPMEEEEEEEEEQSEQLLEKAEIWEQERHEPAWTHWLALACRRGRTVAWVGHPNEVGSSFAVLRGCRPRVRATVTLANPETFFLDGGQFDDNLYLLGSYDRYTYAPPERWCEGTDPDLVCNDAHVPHSLDMRQEQEGEIASRCVLHTFSLHLPREPSFYAQTLTRVRESVELLLKAGQSGVTGARALQAAKLVTLGEFREHPTHPSRRGRYGSRAGAGAADKALQLLVGCEAPTLLGDLVARESGNLQRSDQTLTVGELLLVDKWYTLPKPKVPEHDWPRKEQDERLKERLAEPILAAPTWPDPDKFSTGLHEMAYYLQRKEGLSRLIFRQIDERPGLGPRPEGLQAQYQNLARKAAEDEPYFLLVSLVNEIAQSLNGVQAHAEHLRRLQNPLFDPVPFSRGPRTATEGVCRQRCQLVQIWHGAIAEYLGGQSAAGDEEEEAGQQEEPGECDARCSEMQALSGQLAEELRPLALGAEQRGLLELGTDYAAWHPPTELDRFSQPRVGSMRRYKAMDERMQLHMPNAVFFTHAAAAALDLKKLQYYARQLYNYPERRRFADRLASGVRLRAAGGPGLRRAACQTLCDARRLAARLLQREWSGQTPGPGSDPEPAGLLVAPLAAGPDAACSAGCDLRVRGLAAQSRLAERLLAVAPAWAGAAYARLGDAYLLDPAQPPAGPSLQTLGPPEPSGPPPLLLLLLLPRLLRLM
+>sp|Q6ZMV5|P4R3C_HUMAN Protein PPP4R3C OS=Homo sapiens OX=9606 GN=PPP4R3C PE=2 SV=3
+MAGLRYSVKVYVLNEDEEWNNLGTGQVSSTYDEQFQGMSLLVRSDSDGSVILRSQIPPDRPYGKYQETLIVWYEAENQGLVLKFQDPAGCQDIWKEICQAQGKDPSIQTTVNISDEPEEDFNEMSVISNMVVLPDCELNTLDQIADIVTSVFSSPVTDRERLAEILKNEAYIPKLLQLFHTCENLENTEGLHHLYEIIKGILFLNEACLFEIMFSDECIMDVVGCLEYDPALDQPKRHRDFLTNDAKFKEVIPITNSELRQKIHQTYRLQYIYDILLPVPSIFEDNFLSTLTTFIFSNKAEIVSMLQKDHKFLYEVFAQLKDETTHDDRRCELLFFFKELCSFSQALQPQSKDALFETLIQLGVLPALKIVMIRDDLQVRSAAAVICAYLVEYSPSRIREFIISEAHVCKDSDLFINVIIKQMICDTDPELGGAVHLMVVLHTLLDPRNMLTTPEKSERSEFLHFFYKHCMHKFTAPLLAATSEHNCEEDDIAGYDKSKNCPNDNQTAQLLALILELLTFCIQHHTFYIRSYILNKDLLRKALILMNSKHTHLILCVLRFMRRMICLNDEAYNNYIIKGNLFEPVVNALLDNGTRYNMLNSAILELFEYIRVENIKPLVSHIVEKFYNTLESIEYVQTFKGLKIKYEKERDRQSQIQKNLHSVLQNIVVFRGTIEEIGLEEEICFMEDAGEVVMPPLEDDDEFMETKRTQEGEAVMPPLEDDDKFTETKRTHQEGEAVMPPLEDDDEFMETKRNQEHEGKVDSPKRTSSGDFKFSSSYSACAAIGTGSPSGSSVVRLVDHPDDEEEKEEDEEEKEEDKEDETSPKKKPHLSS
+>DECOY_sp|Q6ZMV5|P4R3C_HUMAN Protein PPP4R3C OS=Homo sapiens OX=9606 GN=PPP4R3C PE=2 SV=3
+SSLHPKKKPSTEDEKDEEKEEEDEEKEEEDDPHDVLRVVSSGSPSGTGIAACASYSSSFKFDGSSTRKPSDVKGEHEQNRKTEMFEDDDELPPMVAEGEQHTRKTETFKDDDELPPMVAEGEQTRKTEMFEDDDELPPMVVEGADEMFCIEEELGIEEITGRFVVINQLVSHLNKQIQSQRDREKEYKIKLGKFTQVYEISELTNYFKEVIHSVLPKINEVRIYEFLELIASNLMNYRTGNDLLANVVPEFLNGKIIYNNYAEDNLCIMRRMFRLVCLILHTHKSNMLILAKRLLDKNLIYSRIYFTHHQICFTLLELILALLQATQNDNPCNKSKDYGAIDDEECNHESTAALLPATFKHMCHKYFFHLFESRESKEPTTLMNRPDLLTHLVVMLHVAGGLEPDTDCIMQKIIVNIFLDSDKCVHAESIIFERIRSPSYEVLYACIVAAASRVQLDDRIMVIKLAPLVGLQILTEFLADKSQPQLAQSFSCLEKFFFLLECRRDDHTTEDKLQAFVEYLFKHDKQLMSVIEAKNSFIFTTLTSLFNDEFISPVPLLIDYIYQLRYTQHIKQRLESNTIPIVEKFKADNTLFDRHRKPQDLAPDYELCGVVDMICEDSFMIEFLCAENLFLIGKIIEYLHHLGETNELNECTHFLQLLKPIYAENKLIEALRERDTVPSSFVSTVIDAIQDLTNLECDPLVVMNSIVSMENFDEEPEDSINVTTQISPDKGQAQCIEKWIDQCGAPDQFKLVLGQNEAEYWVILTEQYKGYPRDPPIQSRLIVSGDSDSRVLLSMGQFQEDYTSSVQGTGLNNWEEDENLVYVKVSYRLGAM
+>sp|Q9ULR5|PAI2B_HUMAN Polyadenylate-binding protein-interacting protein 2B OS=Homo sapiens OX=9606 GN=PAIP2B PE=1 SV=2
+MNGSNMANTSPSVKSKEDQGLSGHDEKENPFAEYMWMENEEDFNRQVEEELQEQDFLDRCFQEMLDEEDQDWFIPSRDLPQAMGQLQQQLNGLSVSEGHDSEDILSKSNLNPDAKEFIPGEKY
+>DECOY_sp|Q9ULR5|PAI2B_HUMAN Polyadenylate-binding protein-interacting protein 2B OS=Homo sapiens OX=9606 GN=PAIP2B PE=1 SV=2
+YKEGPIFEKADPNLNSKSLIDESDHGESVSLGNLQQQLQGMAQPLDRSPIFWDQDEEDLMEQFCRDLFDQEQLEEEVQRNFDEENEMWMYEAFPNEKEDHGSLGQDEKSKVSPSTNAMNSGNM
+>sp|Q8NC51|PAIRB_HUMAN Plasminogen activator inhibitor 1 RNA-binding protein OS=Homo sapiens OX=9606 GN=SERBP1 PE=1 SV=2
+MPGHLQEGFGCVVTNRFDQLFDDESDPFEVLKAAENKKKEAGGGGVGGPGAKSAAQAAAQTNSNAAGKQLRKESQKDRKNPLPPSVGVVDKKEETQPPVALKKEGIRRVGRRPDQQLQGEGKIIDRRPERRPPRERRFEKPLEEKGEGGEFSVDRPIIDRPIRGRGGLGRGRGGRGRGMGRGDGFDSRGKREFDRHSGSDRSSFSHYSGLKHEDKRGGSGSHNWGTVKDELTESPKYIQKQISYNYSDLDQSNVTEETPEGEEHHPVADTENKENEVEEVKEEGPKEMTLDEWKAIQNKDRAKVEFNIRKPNEGADGQWKKGFVLHKSKSEEAHAEDSVMDHHFRKPANDITSQLEINFGDLGRPGRGGRGGRGGRGRGGRPNRGSRTDKSSASAPDVDDPEAFPALA
+>DECOY_sp|Q8NC51|PAIRB_HUMAN Plasminogen activator inhibitor 1 RNA-binding protein OS=Homo sapiens OX=9606 GN=SERBP1 PE=1 SV=2
+ALAPFAEPDDVDPASASSKDTRSGRNPRGGRGRGGRGGRGGRGPRGLDGFNIELQSTIDNAPKRFHHDMVSDEAHAEESKSKHLVFGKKWQGDAGENPKRINFEVKARDKNQIAKWEDLTMEKPGEEKVEEVENEKNETDAVPHHEEGEPTEETVNSQDLDSYNYSIQKQIYKPSETLEDKVTGWNHSGSGGRKDEHKLGSYHSFSSRDSGSHRDFERKGRSDFGDGRGMGRGRGGRGRGLGGRGRIPRDIIPRDVSFEGGEGKEELPKEFRRERPPRREPRRDIIKGEGQLQQDPRRGVRRIGEKKLAVPPQTEEKKDVVGVSPPLPNKRDKQSEKRLQKGAANSNTQAAAQAASKAGPGGVGGGGAEKKKNEAAKLVEFPDSEDDFLQDFRNTVVCGFGEQLHGPM
+>sp|Q13177|PAK2_HUMAN Serine/threonine-protein kinase PAK 2 OS=Homo sapiens OX=9606 GN=PAK2 PE=1 SV=3
+MSDNGELEDKPPAPPVRMSSTIFSTGGKDPLSANHSLKPLPSVPEEKKPRHKIISIFSGTEKGSKKKEKERPEISPPSDFEHTIHVGFDAVTGEFTGMPEQWARLLQTSNITKLEQKKNPQAVLDVLKFYDSNTVKQKYLSFTPPEKDGFPSGTPALNAKGTEAPAVVTEEEDDDEETAPPVIAPRPDHTKSIYTRSVIDPVPAPVGDSHVDGAAKSLDKQKKKTKMTDEEIMEKLRTIVSIGDPKKKYTRYEKIGQGASGTVFTATDVALGQEVAIKQINLQKQPKKELIINEILVMKELKNPNIVNFLDSYLVGDELFVVMEYLAGGSLTDVVTETCMDEAQIAAVCRECLQALEFLHANQVIHRDIKSDNVLLGMEGSVKLTDFGFCAQITPEQSKRSTMVGTPYWMAPEVVTRKAYGPKVDIWSLGIMAIEMVEGEPPYLNENPLRALYLIATNGTPELQNPEKLSPIFRDFLNRCLEMDVEKRGSAKELLQHPFLKLAKPLSSLTPLIMAAKEAMKSNR
+>DECOY_sp|Q13177|PAK2_HUMAN Serine/threonine-protein kinase PAK 2 OS=Homo sapiens OX=9606 GN=PAK2 PE=1 SV=3
+RNSKMAEKAAMILPTLSSLPKALKLFPHQLLEKASGRKEVDMELCRNLFDRFIPSLKEPNQLEPTGNTAILYLARLPNENLYPPEGEVMEIAMIGLSWIDVKPGYAKRTVVEPAMWYPTGVMTSRKSQEPTIQACFGFDTLKVSGEMGLLVNDSKIDRHIVQNAHLFELAQLCERCVAAIQAEDMCTETVVDTLSGGALYEMVVFLEDGVLYSDLFNVINPNKLEKMVLIENIILEKKPQKQLNIQKIAVEQGLAVDTATFVTGSAGQGIKEYRTYKKKPDGISVITRLKEMIEEDTMKTKKKQKDLSKAAGDVHSDGVPAPVPDIVSRTYISKTHDPRPAIVPPATEEDDDEEETVVAPAETGKANLAPTGSPFGDKEPPTFSLYKQKVTNSDYFKLVDLVAQPNKKQELKTINSTQLLRAWQEPMGTFEGTVADFGVHITHEFDSPPSIEPREKEKKKSGKETGSFISIIKHRPKKEEPVSPLPKLSHNASLPDKGGTSFITSSMRVPPAPPKDELEGNDSM
+>sp|Q9NQU5|PAK6_HUMAN Serine/threonine-protein kinase PAK 6 OS=Homo sapiens OX=9606 GN=PAK6 PE=1 SV=1
+MFRKKKKKRPEISAPQNFQHRVHTSFDPKEGKFVGLPPQWQNILDTLRRPKPVVDPSRITRVQLQPMKTVVRGSAMPVDGYISGLLNDIQKLSVISSNTLRGRSPTSRRRAQSLGLLGDEHWATDPDMYLQSPQSERTDPHGLYLSCNGGTPAGHKQMPWPEPQSPRVLPNGLAAKAQSLGPAEFQGASQRCLQLGACLQSSPPGASPPTGTNRHGMKAAKHGSEEARPQSCLVGSATGRPGGEGSPSPKTRESSLKRRLFRSMFLSTAATAPPSSSKPGPPPQSKPNSSFRPPQKDNPPSLVAKAQSLPSDQPVGTFSPLTTSDTSSPQKSLRTAPATGQLPGRSSPAGSPRTWHAQISTSNLYLPQDPTVAKGALAGEDTGVVTHEQFKAALRMVVDQGDPRLLLDSYVKIGEGSTGIVCLAREKHSGRQVAVKMMDLRKQQRRELLFNEVVIMRDYQHFNVVEMYKSYLVGEELWVLMEFLQGGALTDIVSQVRLNEEQIATVCEAVLQALAYLHAQGVIHRDIKSDSILLTLDGRVKLSDFGFCAQISKDVPKRKSLVGTPYWMAPEVISRSLYATEVDIWSLGIMVIEMVDGEPPYFSDSPVQAMKRLRDSPPPKLKNSHKVSPVLRDFLERMLVRDPQERATAQELLDHPFLLQTGLPECLVPLIQLYRKQTSTC
+>DECOY_sp|Q9NQU5|PAK6_HUMAN Serine/threonine-protein kinase PAK 6 OS=Homo sapiens OX=9606 GN=PAK6 PE=1 SV=1
+CTSTQKRYLQILPVLCEPLGTQLLFPHDLLEQATAREQPDRVLMRELFDRLVPSVKHSNKLKPPPSDRLRKMAQVPSDSFYPPEGDVMEIVMIGLSWIDVETAYLSRSIVEPAMWYPTGVLSKRKPVDKSIQACFGFDSLKVRGDLTLLISDSKIDRHIVGQAHLYALAQLVAECVTAIQEENLRVQSVIDTLAGGQLFEMLVWLEEGVLYSKYMEVVNFHQYDRMIVVENFLLERRQQKRLDMMKVAVQRGSHKERALCVIGTSGEGIKVYSDLLLRPDGQDVVMRLAAKFQEHTVVGTDEGALAGKAVTPDQPLYLNSTSIQAHWTRPSGAPSSRGPLQGTAPATRLSKQPSSTDSTTLPSFTGVPQDSPLSQAKAVLSPPNDKQPPRFSSNPKSQPPPGPKSSSPPATAATSLFMSRFLRRKLSSERTKPSPSGEGGPRGTASGVLCSQPRAEESGHKAAKMGHRNTGTPPSAGPPSSQLCAGLQLCRQSAGQFEAPGLSQAKAALGNPLVRPSQPEPWPMQKHGAPTGGNCSLYLGHPDTRESQPSQLYMDPDTAWHEDGLLGLSQARRRSTPSRGRLTNSSIVSLKQIDNLLGSIYGDVPMASGRVVTKMPQLQVRTIRSPDVVPKPRRLTDLINQWQPPLGVFKGEKPDFSTHVRHQFNQPASIEPRKKKKKRFM
+>sp|A0A0B4J2A2|PAL4C_HUMAN Peptidyl-prolyl cis-trans isomerase A-like 4C OS=Homo sapiens OX=9606 GN=PPIAL4C PE=2 SV=1
+MVNSVVFFDITVDGKPLGRISIKLFADKIPKTAENFRALSTGEKGFRYKGSCFHRIIPGFMCQGGDFTRPNGTGDKSIYGEKFDDENLIRKHTGSGILSMANAGPNTNGSQFFICTAKTEWLDGKHVAFGKVKERVNIVEAMEHFGYRNSKTSKKITIADCGQF
+>DECOY_sp|A0A0B4J2A2|PAL4C_HUMAN Peptidyl-prolyl cis-trans isomerase A-like 4C OS=Homo sapiens OX=9606 GN=PPIAL4C PE=2 SV=1
+FQGCDAITIKKSTKSNRYGFHEMAEVINVREKVKGFAVHKGDLWETKATCIFFQSGNTNPGANAMSLIGSGTHKRILNEDDFKEGYISKDGTGNPRTFDGGQCMFGPIIRHFCSGKYRFGKEGTSLARFNEATKPIKDAFLKISIRGLPKGDVTIDFFVVSNVM
+>sp|Q6UXH9|PAMR1_HUMAN Inactive serine protease PAMR1 OS=Homo sapiens OX=9606 GN=PAMR1 PE=1 SV=1
+MELGCWTQLGLTFLQLLLISSLPREYTVINEACPGAEWNIMCRECCEYDQIECVCPGKREVVGYTIPCCRNEENECDSCLIHPGCTIFENCKSCRNGSWGGTLDDFYVKGFYCAECRAGWYGGDCMRCGQVLRAPKGQILLESYPLNAHCEWTIHAKPGFVIQLRFVMLSLEFDYMCQYDYVEVRDGDNRDGQIIKRVCGNERPAPIQSIGSSLHVLFHSDGSKNFDGFHAIYEEITACSSSPCFHDGTCVLDKAGSYKCACLAGYTGQRCENLLEERNCSDPGGPVNGYQKITGGPGLINGRHAKIGTVVSFFCNNSYVLSGNEKRTCQQNGEWSGKQPICIKACREPKISDLVRRRVLPMQVQSRETPLHQLYSAAFSKQKLQSAPTKKPALPFGDLPMGYQHLHTQLQYECISPFYRRLGSSRRTCLRTGKWSGRAPSCIPICGKIENITAPKTQGLRWPWQAAIYRRTSGVHDGSLHKGAWFLVCSGALVNERTVVVAAHCVTDLGKVTMIKTADLKVVLGKFYRDDDRDEKTIQSLQISAIILHPNYDPILLDADIAILKLLDKARISTRVQPICLAASRDLSTSFQESHITVAGWNVLADVRSPGFKNDTLRSGVVSVVDSLLCEEQHEDHGIPVSVTDNMFCASWEPTAPSDICTAETGGIAAVSFPGRASPEPRWHLMGLVSWSYDKTCSHRLSTAFTKVLPFKDWIERNMK
+>DECOY_sp|Q6UXH9|PAMR1_HUMAN Inactive serine protease PAMR1 OS=Homo sapiens OX=9606 GN=PAMR1 PE=1 SV=1
+KMNREIWDKFPLVKTFATSLRHSCTKDYSWSVLGMLHWRPEPSARGPFSVAAIGGTEATCIDSPATPEWSACFMNDTVSVPIGHDEHQEECLLSDVVSVVGSRLTDNKFGPSRVDALVNWGAVTIHSEQFSTSLDRSAALCIPQVRTSIRAKDLLKLIAIDADLLIPDYNPHLIIASIQLSQITKEDRDDDRYFKGLVVKLDATKIMTVKGLDTVCHAAVVVTRENVLAGSCVLFWAGKHLSGDHVGSTRRYIAAQWPWRLGQTKPATINEIKGCIPICSPARGSWKGTRLCTRRSSGLRRYFPSICEYQLQTHLHQYGMPLDGFPLAPKKTPASQLKQKSFAASYLQHLPTERSQVQMPLVRRRVLDSIKPERCAKICIPQKGSWEGNQQCTRKENGSLVYSNNCFFSVVTGIKAHRGNILGPGGTIKQYGNVPGGPDSCNREELLNECRQGTYGALCACKYSGAKDLVCTGDHFCPSSSCATIEEYIAHFGDFNKSGDSHFLVHLSSGISQIPAPRENGCVRKIIQGDRNDGDRVEVYDYQCMYDFELSLMVFRLQIVFGPKAHITWECHANLPYSELLIQGKPARLVQGCRMCDGGYWGARCEACYFGKVYFDDLTGGWSGNRCSKCNEFITCGPHILCSDCENEENRCCPITYGVVERKGPCVCEIQDYECCERCMINWEAGPCAENIVTYERPLSSILLLQLFTLGLQTWCGLEM
+>sp|I0J062|PANO1_HUMAN Proapoptotic nucleolar protein 1 OS=Homo sapiens OX=9606 GN=PANO1 PE=2 SV=1
+MGVRLRVWPAAPHSISRCPRPLGAVLSILLAGGSRKGTPTARCLGQRTKEKRVGGRSLRSEAGSGPCPTAGAQPTAPSSAWPPRLRPRTCPQMSGELPRVRPTRVGLSSLGSGPGHPPSGTRMCGERARNRRGRARRLTPEQPRIGASAGPGPPLPPARPRCSGSCHLPRPPQHLSPPQPGRVRMGAAEGSRRADTHHARRRRRARLPAPRSAST
+>DECOY_sp|I0J062|PANO1_HUMAN Proapoptotic nucleolar protein 1 OS=Homo sapiens OX=9606 GN=PANO1 PE=2 SV=1
+TSASRPAPLRARRRRRAHHTDARRSGEAAGMRVRGPQPPSLHQPPRPLHCSGSCRPRAPPLPPGPGASAGIRPQEPTLRRARGRRNRAREGCMRTGSPPHGPGSGLSSLGVRTPRVRPLEGSMQPCTRPRLRPPWASSPATPQAGATPCPGSGAESRLSRGGVRKEKTRQGLCRATPTGKRSGGALLISLVAGLPRPCRSISHPAAPWVRLRVGM
+>sp|Q96QZ0|PANX3_HUMAN Pannexin-3 OS=Homo sapiens OX=9606 GN=PANX3 PE=2 SV=1
+MSLAHTAAEYMLSDALLPDRRGPRLKGLRLELPLDRIVKFVAVGSPLLLMSLAFAQEFSSGSPISCFSPSNFSIRQAAYVDSSCWDSLLHHKQDGPGQDKMKSLWPHKALPYSLLALALLMYLPVLLWQYAAVPALSSDLLFIISELDKSYNRSIRLVQHMLKIRQKSSDPYVFWNELEKARKERYFEFPLLERYLACKQRSHSLVATYLLRNSLLLIFTSATYLYLGHFHLDVFFQEEFSCSIKTGLLSDETHVPNLITCRLTSLSIFQIVSLSSVAIYTILVPVIIYNLTRLCRWDKRLLSVYEMLPAFDLLSRKMLGCPINDLNVILLFLRANISELISFSWLSVLCVLKDTTTQKHNIDTVVDFMTLLAGLEPSKPKHLTNSACDEHP
+>DECOY_sp|Q96QZ0|PANX3_HUMAN Pannexin-3 OS=Homo sapiens OX=9606 GN=PANX3 PE=2 SV=1
+PHEDCASNTLHKPKSPELGALLTMFDVVTDINHKQTTTDKLVCLVSLWSFSILESINARLFLLIVNLDNIPCGLMKRSLLDFAPLMEYVSLLRKDWRCLRTLNYIIVPVLITYIAVSSLSVIQFISLSTLRCTILNPVHTEDSLLGTKISCSFEEQFFVDLHFHGLYLYTASTFILLLSNRLLYTAVLSHSRQKCALYRELLPFEFYREKRAKELENWFVYPDSSKQRIKLMHQVLRISRNYSKDLESIIFLLDSSLAPVAAYQWLLVPLYMLLALALLSYPLAKHPWLSKMKDQGPGDQKHHLLSDWCSSDVYAAQRISFNSPSFCSIPSGSSFEQAFALSMLLLPSGVAVFKVIRDLPLELRLGKLRPGRRDPLLADSLMYEAATHALSM
+>sp|Q5JQF8|PAP1M_HUMAN Polyadenylate-binding protein 1-like 2 OS=Homo sapiens OX=9606 GN=PABPC1L2A PE=2 SV=1
+MASLYVGDLHPEVTEAMLYEKFSPAGPILSIRICRDKITRRSLGYAYVNYQQPVDAKRALETLNFDVIKGRPVRIMWSQRDPSLRKSGVGNVFIKNLGKTIDNKALYNIFSAFGNILSCKVACDEKGPKGYGFVHFQKQESAERAIDVMNGMFLNYRKIFVGRFKSHKEREAERGAWARQSTSADVKDFEEDTDEEATLR
+>DECOY_sp|Q5JQF8|PAP1M_HUMAN Polyadenylate-binding protein 1-like 2 OS=Homo sapiens OX=9606 GN=PABPC1L2A PE=2 SV=1
+RLTAEEDTDEEFDKVDASTSQRAWAGREAEREKHSKFRGVFIKRYNLFMGNMVDIAREASEQKQFHVFGYGKPGKEDCAVKCSLINGFASFINYLAKNDITKGLNKIFVNGVGSKRLSPDRQSWMIRVPRGKIVDFNLTELARKADVPQQYNVYAYGLSRRTIKDRCIRISLIPGAPSFKEYLMAETVEPHLDGVYLSAM
+>sp|P25116|PAR1_HUMAN Proteinase-activated receptor 1 OS=Homo sapiens OX=9606 GN=F2R PE=1 SV=2
+MGPRRLLLVAACFSLCGPLLSARTRARRPESKATNATLDPRSFLLRNPNDKYEPFWEDEEKNESGLTEYRLVSINKSSPLQKQLPAFISEDASGYLTSSWLTLFVPSVYTGVFVVSLPLNIMAIVVFILKMKVKKPAVVYMLHLATADVLFVSVLPFKISYYFSGSDWQFGSELCRFVTAAFYCNMYASILLMTVISIDRFLAVVYPMQSLSWRTLGRASFTCLAIWALAIAGVVPLLLKEQTIQVPGLNITTCHDVLNETLLEGYYAYYFSAFSAVFFFVPLIISTVCYVSIIRCLSSSAVANRSKKSRALFLSAAVFCIFIICFGPTNVLLIAHYSFLSHTSTTEAAYFAYLLCVCVSSISCCIDPLIYYYASSECQRYVYSILCCKESSDPSSYNSSGQLMASKMDTCSSNLNNSIYKKLLT
+>DECOY_sp|P25116|PAR1_HUMAN Proteinase-activated receptor 1 OS=Homo sapiens OX=9606 GN=F2R PE=1 SV=2
+TLLKKYISNNLNSSCTDMKSAMLQGSSNYSSPDSSEKCCLISYVYRQCESSAYYYILPDICCSISSVCVCLLYAFYAAETTSTHSLFSYHAILLVNTPGFCIIFICFVAASLFLARSKKSRNAVASSSLCRIISVYCVTSIILPVFFFVASFASFYYAYYGELLTENLVDHCTTINLGPVQITQEKLLLPVVGAIALAWIALCTFSARGLTRWSLSQMPYVVALFRDISIVTMLLISAYMNCYFAATVFRCLESGFQWDSGSFYYSIKFPLVSVFLVDATALHLMYVVAPKKVKMKLIFVVIAMINLPLSVVFVGTYVSPVFLTLWSSTLYGSADESIFAPLQKQLPSSKNISVLRYETLGSENKEEDEWFPEYKDNPNRLLFSRPDLTANTAKSEPRRARTRASLLPGCLSFCAAVLLLRRPGM
+>sp|P55085|PAR2_HUMAN Proteinase-activated receptor 2 OS=Homo sapiens OX=9606 GN=F2RL1 PE=1 SV=1
+MRSPSAAWLLGAAILLAASLSCSGTIQGTNRSSKGRSLIGKVDGTSHVTGKGVTVETVFSVDEFSASVLTGKLTTVFLPIVYTIVFVVGLPSNGMALWVFLFRTKKKHPAVIYMANLALADLLSVIWFPLKIAYHIHGNNWIYGEALCNVLIGFFYGNMYCSILFMTCLSVQRYWVIVNPMGHSRKKANIAIGISLAIWLLILLVTIPLYVVKQTIFIPALNITTCHDVLPEQLLVGDMFNYFLSLAIGVFLFPAFLTASAYVLMIRMLRSSAMDENSEKKRKRAIKLIVTVLAMYLICFTPSNLLLVVHYFLIKSQGQSHVYALYIVALCLSTLNSCIDPFVYYFVSHDFRDHAKNALLCRSVRTVKQMQVSLTSKKHSRKSSSYSSSSTTVKTSY
+>DECOY_sp|P55085|PAR2_HUMAN Proteinase-activated receptor 2 OS=Homo sapiens OX=9606 GN=F2RL1 PE=1 SV=1
+YSTKVTTSSSSYSSSKRSHKKSTLSVQMQKVTRVSRCLLANKAHDRFDHSVFYYVFPDICSNLTSLCLAVIYLAYVHSQGQSKILFYHVVLLLNSPTFCILYMALVTVILKIARKRKKESNEDMASSRLMRIMLVYASATLFAPFLFVGIALSLFYNFMDGVLLQEPLVDHCTTINLAPIFITQKVVYLPITVLLILLWIALSIGIAINAKKRSHGMPNVIVWYRQVSLCTMFLISCYMNGYFFGILVNCLAEGYIWNNGHIHYAIKLPFWIVSLLDALALNAMYIVAPHKKKTRFLFVWLAMGNSPLGVVFVITYVIPLFVTTLKGTLVSASFEDVSFVTEVTVGKGTVHSTGDVKGILSRGKSSRNTGQITGSCSLSAALLIAAGLLWAASPSRM
+>sp|Q9NPB6|PAR6A_HUMAN Partitioning defective 6 homolog alpha OS=Homo sapiens OX=9606 GN=PARD6A PE=1 SV=1
+MARPQRTPARSPDSIVEVKSKFDAEFRRFALPRASVSGFQEFSRLLRAVHQIPGLDVLLGYTDAHGDLLPLTNDDSLHRALASGPPPLRLLVQKRAEADSSGLAFASNSLQRRKKGLLLRPVAPLRTRPPLLISLPQDFRQVSSVIDVDLLPETHRRVRLHKHGSDRPLGFYIRDGMSVRVAPQGLERVPGIFISRLVRGGLAESTGLLAVSDEILEVNGIEVAGKTLDQVTDMMVANSHNLIVTVKPANQRNNVVRGASGRLTGPPSAGPGPAEPDSDDDSSDLVIENRQPPSSNGLSQGPPCWDLHPGCRHPGTRSSLPSLDDQEQASSGWGSRIRGDGSGFSL
+>DECOY_sp|Q9NPB6|PAR6A_HUMAN Partitioning defective 6 homolog alpha OS=Homo sapiens OX=9606 GN=PARD6A PE=1 SV=1
+LSFGSGDGRIRSGWGSSAQEQDDLSPLSSRTGPHRCGPHLDWCPPGQSLGNSSPPQRNEIVLDSSDDDSDPEAPGPGASPPGTLRGSAGRVVNNRQNAPKVTVILNHSNAVMMDTVQDLTKGAVEIGNVELIEDSVALLGTSEALGGRVLRSIFIGPVRELGQPAVRVSMGDRIYFGLPRDSGHKHLRVRRHTEPLLDVDIVSSVQRFDQPLSILLPPRTRLPAVPRLLLGKKRRQLSNSAFALGSSDAEARKQVLLRLPPPGSALARHLSDDNTLPLLDGHADTYGLLVDLGPIQHVARLLRSFEQFGSVSARPLAFRRFEADFKSKVEVISDPSRAPTRQPRAM
+>sp|Q9BYG4|PAR6G_HUMAN Partitioning defective 6 homolog gamma OS=Homo sapiens OX=9606 GN=PARD6G PE=1 SV=1
+MNRSFHKSQTLRFYDCSAVEVKSKFGAEFRRFSLDRHKPGKFEDFYKLVVHTHHISNSDVTIGYADVHGDLLPINNDDNFCKAVSSANPLLRVFIQKREEAERGSLGAGSLCRRRRALGALRDEGPRRRAHLDIGLPRDFRPVSSIIDVDLVPETHRRVRLHRHGCEKPLGFYIRDGASVRVTPHGLEKVPGIFISRMVPGGLAESTGLLAVNDEVLEVNGIEVAGKTLDQVTDMMIANSHNLIVTVKPANQRNNVVRGGRALGSSGPPSDGTAGFVGPPAPRVLQNFHPDEAESDEDNDVVIEGTLEPARPPQTPGAPAGSLSRVNGAGLAQRLQRDLALDGGLQRLLSSLRADPRHSLALPPGGVEEHGPAVTL
+>DECOY_sp|Q9BYG4|PAR6G_HUMAN Partitioning defective 6 homolog gamma OS=Homo sapiens OX=9606 GN=PARD6G PE=1 SV=1
+LTVAPGHEEVGGPPLALSHRPDARLSSLLRQLGGDLALDRQLRQALGAGNVRSLSGAPAGPTQPPRAPELTGEIVVDNDEDSEAEDPHFNQLVRPAPPGVFGATGDSPPGSSGLARGGRVVNNRQNAPKVTVILNHSNAIMMDTVQDLTKGAVEIGNVELVEDNVALLGTSEALGGPVMRSIFIGPVKELGHPTVRVSAGDRIYFGLPKECGHRHLRVRRHTEPVLDVDIISSVPRFDRPLGIDLHARRRPGEDRLAGLARRRRCLSGAGLSGREAEERKQIFVRLLPNASSVAKCFNDDNNIPLLDGHVDAYGITVDSNSIHHTHVVLKYFDEFKGPKHRDLSFRRFEAGFKSKVEVASCDYFRLTQSKHFSRNM
+>sp|Q8TEW0|PARD3_HUMAN Partitioning defective 3 homolog OS=Homo sapiens OX=9606 GN=PARD3 PE=1 SV=2
+MKVTVCFGRTRVVVPCGDGHMKVFSLIQQAVTRYRKAIAKDPNYWIQVHRLEHGDGGILDLDDILCDVADDKDRLVAVFDEQDPHHGGDGTSASSTGTQSPEIFGSELGTNNVSAFQPYQATSEIEVTPSVLRANMPLHVRRSSDPALIGLSTSVSDSNFSSEEPSRKNPTRWSTTAGFLKQNTAGSPKTCDRKKDENYRSLPRDTSNWSNQFQRDNARSSLSASHPMVGKWLEKQEQDEDGTEEDNSRVEPVGHADTGLEHIPNFSLDDMVKLVEVPNDGGPLGIHVVPFSARGGRTLGLLVKRLEKGGKAEHENLFRENDCIVRINDGDLRNRRFEQAQHMFRQAMRTPIIWFHVVPAANKEQYEQLSQSEKNNYYSSRFSPDSQYIDNRSVNSAGLHTVQRAPRLNHPPEQIDSHSRLPHSAHPSGKPPSAPASAPQNVFSTTVSSGYNTKKIGKRLNIQLKKGTEGLGFSITSRDVTIGGSAPIYVKNILPRGAAIQDGRLKAGDRLIEVNGVDLVGKSQEEVVSLLRSTKMEGTVSLLVFRQEDAFHPRELNAEPSQMQIPKETKAEDEDIVLTPDGTREFLTFEVPLNDSGSAGLGVSVKGNRSKENHADLGIFVKSIINGGAASKDGRLRVNDQLIAVNGESLLGKTNQDAMETLRRSMSTEGNKRGMIQLIVARRISKCNELKSPGSPPGPELPIETALDDRERRISHSLYSGIEGLDESPSRNAALSRIMGESGKYQLSPTVNMPQDDTVIIEDDRLPVLPPHLSDQSSSSSHDDVGFVTADAGTWAKAAISDSADCSLSPDVDPVLAFQREGFGRQSMSEKRTKQFSDASQLDFVKTRKSKSMDLGIADETKLNTVDDQKAGSPSRDVGPSLGLKKSSSLESLQTAVAEVTLNGDIPFHRPRPRIIRGRGCNESFRAAIDKSYDKPAVDDDDEGMETLEEDTEESSRSGRESVSTASDQPSHSLERQMNGNQEKGDKTDRKKDKTGKEKKKDRDKEKDKMKAKKGMLKGLGDMFRFGKHRKDDKIEKTGKIKIQESFTSEEERIRMKQEQERIQAKTREFRERQARERDYAEIQDFHRTFGCDDELMYGGVSSYEGSMALNARPQSPREGHMMDALYAQVKKPRNSKPSPVDSNRSTPSNHDRIQRLRQEFQQAKQDEDVEDRRRTYSFEQPWPNARPATQSGRHSVSVEVQMQRQRQEERESSQQAQRQYSSLPRQSRKNASSVSQDSWEQNYSPGEGFQSAKENPRYSSYQGSRNGYLGGHGFNARVMLETQELLRQEQRRKEQQMKKQPPSEGPSNYDSYKKVQDPSYAPPKGPFRQDVPPSPSQVARLNRLQTPEKGRPFYS
+>DECOY_sp|Q8TEW0|PARD3_HUMAN Partitioning defective 3 homolog OS=Homo sapiens OX=9606 GN=PARD3 PE=1 SV=2
+SYFPRGKEPTQLRNLRAVQSPSPPVDQRFPGKPPAYSPDQVKKYSDYNSPGESPPQKKMQQEKRRQEQRLLEQTELMVRANFGHGGLYGNRSGQYSSYRPNEKASQFGEGPSYNQEWSDQSVSSANKRSQRPLSSYQRQAQQSSEREEQRQRQMQVEVSVSHRGSQTAPRANPWPQEFSYTRRRDEVDEDQKAQQFEQRLRQIRDHNSPTSRNSDVPSPKSNRPKKVQAYLADMMHGERPSQPRANLAMSGEYSSVGGYMLEDDCGFTRHFDQIEAYDRERAQRERFERTKAQIREQEQKMRIREEESTFSEQIKIKGTKEIKDDKRHKGFRFMDGLGKLMGKKAKMKDKEKDRDKKKEKGTKDKKRDTKDGKEQNGNMQRELSHSPQDSATSVSERGSRSSEETDEELTEMGEDDDDVAPKDYSKDIAARFSENCGRGRIIRPRPRHFPIDGNLTVEAVATQLSELSSSKKLGLSPGVDRSPSGAKQDDVTNLKTEDAIGLDMSKSKRTKVFDLQSADSFQKTRKESMSQRGFGERQFALVPDVDPSLSCDASDSIAAKAWTGADATVFGVDDHSSSSSQDSLHPPLVPLRDDEIIVTDDQPMNVTPSLQYKGSEGMIRSLAANRSPSEDLGEIGSYLSHSIRRERDDLATEIPLEPGPPSGPSKLENCKSIRRAVILQIMGRKNGETSMSRRLTEMADQNTKGLLSEGNVAILQDNVRLRGDKSAAGGNIISKVFIGLDAHNEKSRNGKVSVGLGASGSDNLPVEFTLFERTGDPTLVIDEDEAKTEKPIQMQSPEANLERPHFADEQRFVLLSVTGEMKTSRLLSVVEEQSKGVLDVGNVEILRDGAKLRGDQIAAGRPLINKVYIPASGGITVDRSTISFGLGETGKKLQINLRKGIKKTNYGSSVTTSFVNQPASAPASPPKGSPHASHPLRSHSDIQEPPHNLRPARQVTHLGASNVSRNDIYQSDPSFRSSYYNNKESQSLQEYQEKNAAPVVHFWIIPTRMAQRFMHQAQEFRRNRLDGDNIRVICDNERFLNEHEAKGGKELRKVLLGLTRGGRASFPVVHIGLPGGDNPVEVLKVMDDLSFNPIHELGTDAHGVPEVRSNDEETGDEDQEQKELWKGVMPHSASLSSRANDRQFQNSWNSTDRPLSRYNEDKKRDCTKPSGATNQKLFGATTSWRTPNKRSPEESSFNSDSVSTSLGILAPDSSRRVHLPMNARLVSPTVEIESTAQYPQFASVNNTGLESGFIEPSQTGTSSASTGDGGHHPDQEDFVAVLRDKDDAVDCLIDDLDLIGGDGHELRHVQIWYNPDKAIAKRYRTVAQQILSFVKMHGDGCPVVVRTRGFCVTVKM
+>sp|Q9NWS1|PARI_HUMAN PCNA-interacting partner OS=Homo sapiens OX=9606 GN=PARPBP PE=1 SV=3
+MAVFNQKSVSDMIKEFRKNWRALCNSERTTLCGADSMLLALQLSMAENNKQHSGEFTVSLSDVLLTWKYLLHEKLNLPVENMDVTDHYEDVRKIYDDFLKNSNMLDLIDVYQKCRALTSNCENYNTVSPSQLLDFLSGKQYAVGDETDLSIPTSPTSKYNRDNEKVQLLARKIIFSYLNLLVNSKNDLAVAYILNIPDRGLGREAFTDLKHAAREKQMSIFLVATSFIRTIELGGKGYAPPPSDPLRTHVKGLSNFINFIDKLDEILGEIPNPSIAGGQILSVIKMQLIKGQNSRDPFCKAIEEVAQDLDLRIKNIINSQEGVVALSTTDISPARPKSHAINHGTAYCGRDTVKALLVLLDEEAANAPTKNKAELLYDEENTIHHHGTSILTLFRSPTQVNNSIKPLRERICVSMQEKKIKMKQTLIRSQFACTYKDDYMISKDNWNNVNLASKPLCVLYMENDLSEGVNPSVGRSTIGTSFGNVHLDRSKNEKVSRKSTSQTGNKSSKRKQVDLDGENILCDNRNEPPQHKNAKIPKKSNDSQNRLYGKLAKVAKSNKCTAKDKLISGQAKLTQFFRL
+>DECOY_sp|Q9NWS1|PARI_HUMAN PCNA-interacting partner OS=Homo sapiens OX=9606 GN=PARPBP PE=1 SV=3
+LRFFQTLKAQGSILKDKATCKNSKAVKALKGYLRNQSDNSKKPIKANKHQPPENRNDCLINEGDLDVQKRKSSKNGTQSTSKRSVKENKSRDLHVNGFSTGITSRGVSPNVGESLDNEMYLVCLPKSALNVNNWNDKSIMYDDKYTCAFQSRILTQKMKIKKEQMSVCIRERLPKISNNVQTPSRFLTLISTGHHHITNEEDYLLEAKNKTPANAAEEDLLVLLAKVTDRGCYATGHNIAHSKPRAPSIDTTSLAVVGEQSNIINKIRLDLDQAVEEIAKCFPDRSNQGKILQMKIVSLIQGGAISPNPIEGLIEDLKDIFNIFNSLGKVHTRLPDSPPPAYGKGGLEITRIFSTAVLFISMQKERAAHKLDTFAERGLGRDPINLIYAVALDNKSNVLLNLYSFIIKRALLQVKENDRNYKSTPSTPISLDTEDGVAYQKGSLFDLLQSPSVTNYNECNSTLARCKQYVDILDLMNSNKLFDDYIKRVDEYHDTVDMNEVPLNLKEHLLYKWTLLVDSLSVTFEGSHQKNNEAMSLQLALLMSDAGCLTTRESNCLARWNKRFEKIMDSVSKQNFVAM
+>sp|Q99497|PARK7_HUMAN Protein/nucleic acid deglycase DJ-1 OS=Homo sapiens OX=9606 GN=PARK7 PE=1 SV=2
+MASKRALVILAKGAEEMETVIPVDVMRRAGIKVTVAGLAGKDPVQCSRDVVICPDASLEDAKKEGPYDVVVLPGGNLGAQNLSESAAVKEILKEQENRKGLIAAICAGPTALLAHEIGFGSKVTTHPLAKDKMMNGGHYTYSENRVEKDGLILTSRGPGTSFEFALAIVEALNGKEVAAQVKAPLVLKD
+>DECOY_sp|Q99497|PARK7_HUMAN Protein/nucleic acid deglycase DJ-1 OS=Homo sapiens OX=9606 GN=PARK7 PE=1 SV=2
+DKLVLPAKVQAAVEKGNLAEVIALAFEFSTGPGRSTLILGDKEVRNESYTYHGGNMMKDKALPHTTVKSGFGIEHALLATPGACIAAILGKRNEQEKLIEKVAASESLNQAGLNGGPLVVVDYPGEKKADELSADPCIVVDRSCQVPDKGALGAVTVKIGARRMVDVPIVTEMEEAGKALIVLARKSAM
+>sp|Q8N3A8|PARP8_HUMAN Poly [ADP-ribose] polymerase 8 OS=Homo sapiens OX=9606 GN=PARP8 PE=2 SV=1
+MGMCSRQERIQKDIDVVIQKSRAEKDCLFADFRYSDSTFTFTYVGGPRSVSYSVHVSEDYPDNTYVSSSENDEDVLVTTEPIPVIFHRIATELRKTNDINCCLSIKSKLQKENGEESRQNSTVEEDSEGDNDSEEFYYGGQVNYDGELHKHPQLEADLSAVREIYGPHAVSLREYGAIDDVDIDLHIDVSFLDEEIAVAWEVIRTEPIIVRLHCSLTQYLNGPVPTVDVFQISTKERFGLGHQLKKIMQTFVTQQWKQSKEKSNCLHNKKLSEKKVKSPLHLFSTLRRSPSYPPPGCGKSKSKLKSEQDGISKTHKLLRRTCSSTVKTDDVCVTKSHRTFGRSLSSDPRAEQAMTAIKSHKLLNRPCPAAVKSEECLTLKSHRLLTRSCSGDPRCEHNTNLKPHKLLSRSYSSNLRMEELYGLKNHKLLSKSYSSAPKSSKTELFKEPNAEGRRLSLTSGLIGILTPSSSSSSQLAPNGAKCIPVRDRGFLVQTIEFAEQRIPVLNEYCVVCDEPHVFQNGPMLRPTVCERELCVFAFQTLGVMNEAADEIATGAQVVDLLVSMCRSALESPRKVVIFEPYPSVVDPNDPQMLAFNPRKKNYDRVMKALDSITSIREMTQAPYLEIKKQMDKQDPLAHPLLQWVISSNRSHIVKLPVNRQLKFMHTPHQFLLLSSPPAKESNFRAAKKLFGSTFAFHGSHIENWHSILRNGLVVASNTRLQLHGAMYGSGIYLSPMSSISFGYSGMNKKQKVSAKDEPASSSKSSNTSQSQKKGQQSQFLQSRNLKCIALCEVITSSDLHKHGEIWVVPNTDHVCTRFFFVYEDGQVGDANINTQEGGIHKEILRVIGNQTATG
+>DECOY_sp|Q8N3A8|PARP8_HUMAN Poly [ADP-ribose] polymerase 8 OS=Homo sapiens OX=9606 GN=PARP8 PE=2 SV=1
+GTATQNGIVRLIEKHIGGEQTNINADGVQGDEYVFFFRTCVHDTNPVVWIEGHKHLDSSTIVECLAICKLNRSQLFQSQQGKKQSQSTNSSKSSSAPEDKASVKQKKNMGSYGFSISSMPSLYIGSGYMAGHLQLRTNSAVVLGNRLISHWNEIHSGHFAFTSGFLKKAARFNSEKAPPSSLLLFQHPTHMFKLQRNVPLKVIHSRNSSIVWQLLPHALPDQKDMQKKIELYPAQTMERISTISDLAKMVRDYNKKRPNFALMQPDNPDVVSPYPEFIVVKRPSELASRCMSVLLDVVQAGTAIEDAAENMVGLTQFAFVCLERECVTPRLMPGNQFVHPEDCVVCYENLVPIRQEAFEITQVLFGRDRVPICKAGNPALQSSSSSSPTLIGILGSTLSLRRGEANPEKFLETKSSKPASSYSKSLLKHNKLGYLEEMRLNSSYSRSLLKHPKLNTNHECRPDGSCSRTLLRHSKLTLCEESKVAAPCPRNLLKHSKIATMAQEARPDSSLSRGFTRHSKTVCVDDTKVTSSCTRRLLKHTKSIGDQESKLKSKSKGCGPPPYSPSRRLTSFLHLPSKVKKESLKKNHLCNSKEKSQKWQQTVFTQMIKKLQHGLGFREKTSIQFVDVTPVPGNLYQTLSCHLRVIIPETRIVEWAVAIEEDLFSVDIHLDIDVDDIAGYERLSVAHPGYIERVASLDAELQPHKHLEGDYNVQGGYYFEESDNDGESDEEVTSNQRSEEGNEKQLKSKISLCCNIDNTKRLETAIRHFIVPIPETTVLVDEDNESSSVYTNDPYDESVHVSYSVSRPGGVYTFTFTSDSYRFDAFLCDKEARSKQIVVDIDKQIREQRSCMGM
+>sp|Q96IZ0|PAWR_HUMAN PRKC apoptosis WT1 regulator protein OS=Homo sapiens OX=9606 GN=PAWR PE=1 SV=1
+MATGGYRTSSGLGGSTTDFLEEWKAKREKMRAKQNPPGPAPPGGGSSDAAGKPPAGALGTPAAAAANELNNNLPGGAPAAPAVPGPGGVNCAVGSAMLTRAAPGPRRSEDEPPAASASAAPPPQRDEEEPDGVPEKGKSSGPSARKGKGQIEKRKLREKRRSTGVVNIPAAECLDEYEDDEAGQKERKREDAITQQNTIQNEAVNLLDPGSSYLLQEPPRTVSGRYKSTTSVSEEDVSSRYSRTDRSGFPRYNRDANVSGTLVSSSTLEKKIEDLEKEVVRERQENLRLVRLMQDKEEMIGKLKEEIDLLNRDLDDIEDENEQLKQENKTLLKVVGQLTR
+>DECOY_sp|Q96IZ0|PAWR_HUMAN PRKC apoptosis WT1 regulator protein OS=Homo sapiens OX=9606 GN=PAWR PE=1 SV=1
+RTLQGVVKLLTKNEQKLQENEDEIDDLDRNLLDIEEKLKGIMEEKDQMLRVLRLNEQRERVVEKELDEIKKELTSSSVLTGSVNADRNYRPFGSRDTRSYRSSVDEESVSTTSKYRGSVTRPPEQLLYSSGPDLLNVAENQITNQQTIADERKREKQGAEDDEYEDLCEAAPINVVGTSRRKERLKRKEIQGKGKRASPGSSKGKEPVGDPEEEDRQPPPAASASAAPPEDESRRPGPAARTLMASGVACNVGGPGPVAPAAPAGGPLNNNLENAAAAAPTGLAGAPPKGAADSSGGGPPAPGPPNQKARMKERKAKWEELFDTTSGGLGSSTRYGGTAM
+>sp|Q58A44|PCOTH_HUMAN Prostate collagen triple helix protein OS=Homo sapiens OX=9606 GN=PCOTH PE=1 SV=2
+MWILSNLMGTSEEGNLLSTVSPTVKALFGKTRVSPIFPFSPRSPFQPLIPRTPGSPWGPVGPASPLGPGFPIGPMGPGKPVGPKGPMLPLGPSGPVGPTSPLFPFCP
+>DECOY_sp|Q58A44|PCOTH_HUMAN Prostate collagen triple helix protein OS=Homo sapiens OX=9606 GN=PCOTH PE=1 SV=2
+PCFPFLPSTPGVPGSPGLPLMPGKPGVPKGPGMPGIPFGPGLPSAPGVPGWPSGPTRPILPQFPSRPSFPFIPSVRTKGFLAKVTPSVTSLLNGEESTGMLNSLIWM
+>sp|Q8IVA1|PCP2_HUMAN Purkinje cell protein 2 homolog OS=Homo sapiens OX=9606 GN=PCP2 PE=1 SV=2
+MMDQEEKTEEGSGPCAEAGSPDQEGFFNLLSHVQGDRMEGQRCSLQAGPGQTTKSQSDPTPEMDSLMDMLASTQGRRMDDQRVTVSSLPGFQPVGSKDGAQKRAGTLSPQPLLTPQDPTALGFRRNSSPQPPTQAP
+>DECOY_sp|Q8IVA1|PCP2_HUMAN Purkinje cell protein 2 homolog OS=Homo sapiens OX=9606 GN=PCP2 PE=1 SV=2
+PAQTPPQPSSNRRFGLATPDQPTLLPQPSLTGARKQAGDKSGVPQFGPLSSVTVRQDDMRRGQTSALMDMLSDMEPTPDSQSKTTQGPGAQLSCRQGEMRDGQVHSLLNFFGEQDPSGAEACPGSGEETKEEQDMM
+>sp|P52945|PDX1_HUMAN Pancreas/duodenum homeobox protein 1 OS=Homo sapiens OX=9606 GN=PDX1 PE=1 SV=1
+MNGEEQYYAATQLYKDPCAFQRGPAPEFSASPPACLYMGRQPPPPPPHPFPGALGALEQGSPPDISPYEVPPLADDPAVAHLHHHLPAQLALPHPPAGPFPEGAEPGVLEEPNRVQLPFPWMKSTKAHAWKGQWAGGAYAAEPEENKRTRTAYTRAQLLELEKEFLFNKYISRPRRVELAVMLNLTERHIKIWFQNRRMKWKKEEDKKRGGGTAVGGGGVAEPEQDCAVTSGEELLALPPPPPPGGAVPPAAPVAAREGRLPPGLSASPQPSSVAPRRPQEPR
+>DECOY_sp|P52945|PDX1_HUMAN Pancreas/duodenum homeobox protein 1 OS=Homo sapiens OX=9606 GN=PDX1 PE=1 SV=1
+RPEQPRRPAVSSPQPSASLGPPLRGERAAVPAAPPVAGGPPPPPPLALLEEGSTVACDQEPEAVGGGGVATGGGRKKDEEKKWKMRRNQFWIKIHRETLNLMVALEVRRPRSIYKNFLFEKELELLQARTYATRTRKNEEPEAAYAGGAWQGKWAHAKTSKMWPFPLQVRNPEELVGPEAGEPFPGAPPHPLALQAPLHHHLHAVAPDDALPPVEYPSIDPPSGQELAGLAGPFPHPPPPPPQRGMYLCAPPSASFEPAPGRQFACPDKYLQTAAYYQEEGNM
+>sp|Q6P996|PDXD1_HUMAN Pyridoxal-dependent decarboxylase domain-containing protein 1 OS=Homo sapiens OX=9606 GN=PDXDC1 PE=1 SV=2
+MDASLEKIADPTLAEMGKNLKEAVKMLEDSQRRTEEENGKKLISGDIPGPLQGSGQDMVSILQLVQNLMHGDEDEEPQSPRIQNIGEQGHMALLGHSLGAYISTLDKEKLRKLTTRILSDTTLWLCRIFRYENGCAYFHEEEREGLAKICRLAIHSRYEDFVVDGFNVLYNKKPVIYLSAAARPGLGQYLCNQLGLPFPCLCRVPCNTVFGSQHQMDVAFLEKLIKDDIERGRLPLLLVANAGTAAVGHTDKIGRLKELCEQYGIWLHVEGVNLATLALGYVSSSVLAAAKCDSMTMTPGPWLGLPAVPAVTLYKHDDPALTLVAGLTSNKPTDKLRALPLWLSLQYLGLDGFVERIKHACQLSQRLQESLKKVNYIKILVEDELSSPVVVFRFFQELPGSDPVFKAVPVPNMTPSGVGRERHSCDALNRWLGEQLKQLVPASGLTVMDLEAEGTCLRFSPLMTAAVLGTRGEDVDQLVACIESKLPVLCCTLQLREEFKQEVEATAGLLYVDDPNWSGIGVVRYEHANDDKSSLKSDPEGENIHAGLLKKLNELESDLTFKIGPEYKSMKSCLYVGMASDNVDAAELVETIAATAREIEENSRLLENMTEVVRKGIQEAQVELQKASEERLLEEGVLRQIPVVGSVLNWFSPVQALQKGRTFNLTAGSLESTEPIYVYKAQGAGVTLPPTPSGSRTKQRLPGQKPFKRSLRGSDALSETSSVSHIEDLEKVERLSSGPEQITLEASSTEGHPGAPSPQHTDQTEAFQKGVPHPEDDHSQVEGPESLR
+>DECOY_sp|Q6P996|PDXD1_HUMAN Pyridoxal-dependent decarboxylase domain-containing protein 1 OS=Homo sapiens OX=9606 GN=PDXDC1 PE=1 SV=2
+RLSEPGEVQSHDDEPHPVGKQFAETQDTHQPSPAGPHGETSSAELTIQEPGSSLREVKELDEIHSVSSTESLADSGRLSRKFPKQGPLRQKTRSGSPTPPLTVGAGQAKYVYIPETSELSGATLNFTRGKQLAQVPSFWNLVSGVVPIQRLVGEELLREESAKQLEVQAEQIGKRVVETMNELLRSNEEIERATAAITEVLEAADVNDSAMGVYLCSKMSKYEPGIKFTLDSELENLKKLLGAHINEGEPDSKLSSKDDNAHEYRVVGIGSWNPDDVYLLGATAEVEQKFEERLQLTCCLVPLKSEICAVLQDVDEGRTGLVAATMLPSFRLCTGEAELDMVTLGSAPVLQKLQEGLWRNLADCSHRERGVGSPTMNPVPVAKFVPDSGPLEQFFRFVVVPSSLEDEVLIKIYNVKKLSEQLRQSLQCAHKIREVFGDLGLYQLSLWLPLARLKDTPKNSTLGAVLTLAPDDHKYLTVAPVAPLGLWPGPTMTMSDCKAAALVSSSVYGLALTALNVGEVHLWIGYQECLEKLRGIKDTHGVAATGANAVLLLPLRGREIDDKILKELFAVDMQHQSGFVTNCPVRCLCPFPLGLQNCLYQGLGPRAAASLYIVPKKNYLVNFGDVVFDEYRSHIALRCIKALGEREEEHFYACGNEYRFIRCLWLTTDSLIRTTLKRLKEKDLTSIYAGLSHGLLAMHGQEGINQIRPSQPEEDEDGHMLNQVLQLISVMDQGSGQLPGPIDGSILKKGNEEETRRQSDELMKVAEKLNKGMEALTPDAIKELSADM
+>sp|P0DMB1|P23D2_HUMAN Proline-rich protein 23D2 OS=Homo sapiens OX=9606 GN=PRR23D2 PE=3 SV=1
+MYGYRRLRSPRDSQTEPQNDNEGETSLATTQMNPPKRRQVEQGPSTGAKKPSISGAPHLNSYQSLELPQNQQDSGTEELMIVLEQGTEVRLSLEEVILILAPETVLQLTLENTVLVIVPEHVLRSEDGLQSPVQIQYIIPSVDDFSLEFHAQDGDISDMRRENVPFSPAEEGKAAPLYQQPLMIPQANHMAGISPSFLVTPLCIPRCRAAFPQCYPLPPTPSPVGRPRPADSSFSLHGMELLCTSSLRPMPPSPSPGPQVYHRVHHRPPSRARRCLFRK
+>DECOY_sp|P0DMB1|P23D2_HUMAN Proline-rich protein 23D2 OS=Homo sapiens OX=9606 GN=PRR23D2 PE=3 SV=1
+KRFLCRRARSPPRHHVRHYVQPGPSPSPPMPRLSSTCLLEMGHLSFSSDAPRPRGVPSPTPPLPYCQPFAARCRPICLPTVLFSPSIGAMHNAQPIMLPQQYLPAAKGEEAPSFPVNERRMDSIDGDQAHFELSFDDVSPIIYQIQVPSQLGDESRLVHEPVIVLVTNELTLQLVTEPALILIVEELSLRVETGQELVIMLEETGSDQQNQPLELSQYSNLHPAGSISPKKAGTSPGQEVQRRKPPNMQTTALSTEGENDNQPETQSDRPSRLRRYGYM
+>sp|P47900|P2RY1_HUMAN P2Y purinoceptor 1 OS=Homo sapiens OX=9606 GN=P2RY1 PE=1 SV=1
+MTEVLWPAVPNGTDAAFLAGPGSSWGNSTVASTAAVSSSFKCALTKTGFQFYYLPAVYILVFIIGFLGNSVAIWMFVFHMKPWSGISVYMFNLALADFLYVLTLPALIFYYFNKTDWIFGDAMCKLQRFIFHVNLYGSILFLTCISAHRYSGVVYPLKSLGRLKKKNAICISVLVWLIVVVAISPILFYSGTGVRKNKTITCYDTTSDEYLRSYFIYSMCTTVAMFCVPLVLILGCYGLIVRALIYKDLDNSPLRRKSIYLVIIVLTVFAVSYIPFHVMKTMNLRARLDFQTPAMCAFNDRVYATYQVTRGLASLNSCVDPILYFLAGDTFRRRLSRATRKASRRSEANLQSKSEDMTLNILPEFKQNGDTSL
+>DECOY_sp|P47900|P2RY1_HUMAN P2Y purinoceptor 1 OS=Homo sapiens OX=9606 GN=P2RY1 PE=1 SV=1
+LSTDGNQKFEPLINLTMDESKSQLNAESRRSAKRTARSLRRRFTDGALFYLIPDVCSNLSALGRTVQYTAYVRDNFACMAPTQFDLRARLNMTKMVHFPIYSVAFVTLVIIVLYISKRRLPSNDLDKYILARVILGYCGLILVLPVCFMAVTTCMSYIFYSRLYEDSTTDYCTITKNKRVGTGSYFLIPSIAVVVILWVLVSICIANKKKLRGLSKLPYVVGSYRHASICTLFLISGYLNVHFIFRQLKCMADGFIWDTKNFYYFILAPLTLVYLFDALALNFMYVSIGSWPKMHFVFMWIAVSNGLFGIIFVLIYVAPLYYFQFGTKTLACKFSSSVAATSAVTSNGWSSGPGALFAADTGNPVAPWLVETM
+>sp|Q96G91|P2Y11_HUMAN P2Y purinoceptor 11 OS=Homo sapiens OX=9606 GN=P2RY11 PE=2 SV=2
+MAANVSGAKSCPANFLAAADDKLSGFQGDFLWPILVVEFLVAVASNGLALYRFSIRKQRPWHPAVVFSVQLAVSDLLCALTLPPLAAYLYPPKHWRYGEAACRLERFLFTCNLLGSVIFITCISLNRYLGIVHPFFARSHLRPKHAWAVSAAGWVLAALLAMPTLSFSHLKRPQQGAGNCSVARPEACIKCLGTADHGLAAYRAYSLVLAGLGCGLPLLLTLAAYGALGRAVLRSPGMTVAEKLRVAALVASGVALYASSYVPYHIMRVLNVDARRRWSTRCPSFADIAQATAALELGPYVGYQVMRGLMPLAFCVHPLLYMAAVPSLGCCCRHCPGYRDSWNPEDAKSTGQALPLNATAAPKPSEPQSRELSQ
+>DECOY_sp|Q96G91|P2Y11_HUMAN P2Y purinoceptor 11 OS=Homo sapiens OX=9606 GN=P2RY11 PE=2 SV=2
+QSLERSQPESPKPAATANLPLAQGTSKADEPNWSDRYGPCHRCCCGLSPVAAMYLLPHVCFALPMLGRMVQYGVYPGLELAATAQAIDAFSPCRTSWRRRADVNLVRMIHYPVYSSAYLAVGSAVLAAVRLKEAVTMGPSRLVARGLAGYAALTLLLPLGCGLGALVLSYARYAALGHDATGLCKICAEPRAVSCNGAGQQPRKLHSFSLTPMALLAALVWGAASVAWAHKPRLHSRAFFPHVIGLYRNLSICTIFIVSGLLNCTFLFRELRCAAEGYRWHKPPYLYAALPPLTLACLLDSVALQVSFVVAPHWPRQKRISFRYLALGNSAVAVLFEVVLIPWLFDGQFGSLKDDAAALFNAPCSKAGSVNAAM
+>sp|Q9H244|P2Y12_HUMAN P2Y purinoceptor 12 OS=Homo sapiens OX=9606 GN=P2RY12 PE=1 SV=1
+MQAVDNLTSAPGNTSLCTRDYKITQVLFPLLYTVLFFVGLITNGLAMRIFFQIRSKSNFIIFLKNTVISDLLMILTFPFKILSDAKLGTGPLRTFVCQVTSVIFYFTMYISISFLGLITIDRYQKTTRPFKTSNPKNLLGAKILSVVIWAFMFLLSLPNMILTNRQPRDKNVKKCSFLKSEFGLVWHEIVNYICQVIFWINFLIVIVCYTLITKELYRSYVRTRGVGKVPRKKVNVKVFIIIAVFFICFVPFHFARIPYTLSQTRDVFDCTAENTLFYVKESTLWLTSLNACLDPFIYFFLCKSFRNSLISMLKCPNSATSLSQDNRKKEQDGGDPNEETPM
+>DECOY_sp|Q9H244|P2Y12_HUMAN P2Y purinoceptor 12 OS=Homo sapiens OX=9606 GN=P2RY12 PE=1 SV=1
+MPTEENPDGGDQEKKRNDQSLSTASNPCKLMSILSNRFSKCLFFYIFPDLCANLSTLWLTSEKVYFLTNEATCDFVDRTQSLTYPIRAFHFPVFCIFFVAIIIFVKVNVKKRPVKGVGRTRVYSRYLEKTILTYCVIVILFNIWFIVQCIYNVIEHWVLGFESKLFSCKKVNKDRPQRNTLIMNPLSLLFMFAWIVVSLIKAGLLNKPNSTKFPRTTKQYRDITILGLFSISIYMTFYFIVSTVQCVFTRLPGTGLKADSLIKFPFTLIMLLDSIVTNKLFIIFNSKSRIQFFIRMALGNTILGVFFLVTYLLPFLVQTIKYDRTCLSTNGPASTLNDVAQM
+>sp|Q9BPV8|P2Y13_HUMAN P2Y purinoceptor 13 OS=Homo sapiens OX=9606 GN=P2RY13 PE=2 SV=3
+MTAAIRRQRELSILPKVTLEAMNTTVMQGFNRSERCPRDTRIVQLVFPALYTVVFLTGILLNTLALWVFVHIPSSSTFIIYLKNTLVADLIMTLMLPFKILSDSHLAPWQLRAFVCRFSSVIFYETMYVGIVLLGLIAFDRFLKIIRPLRNIFLKKPVFAKTVSIFIWFFLFFISLPNTILSNKEATPSSVKKCASLKGPLGLKWHQMVNNICQFIFWTVFILMLVFYVVIAKKVYDSYRKSKSKDRKNNKKLEGKVFVVVAVFFVCFAPFHFARVPYTHSQTNNKTDCRLQNQLFIAKETTLFLAATNICMDPLIYIFLCKKFTEKLPCMQGRKTTASSQENHSSQTDNITLG
+>DECOY_sp|Q9BPV8|P2Y13_HUMAN P2Y purinoceptor 13 OS=Homo sapiens OX=9606 GN=P2RY13 PE=2 SV=3
+GLTINDTQSSHNEQSSATTKRGQMCPLKETFKKCLFIYILPDMCINTAALFLTTEKAIFLQNQLRCDTKNNTQSHTYPVRAFHFPAFCVFFVAVVVFVKGELKKNNKRDKSKSKRYSDYVKKAIVVYFVLMLIFVTWFIFQCINNVMQHWKLGLPGKLSACKKVSSPTAEKNSLITNPLSIFFLFFWIFISVTKAFVPKKLFINRLPRIIKLFRDFAILGLLVIGVYMTEYFIVSSFRCVFARLQWPALHSDSLIKFPLMLTMILDAVLTNKLYIIFTSSSPIHVFVWLALTNLLIGTLFVVTYLAPFVLQVIRTDRPCRESRNFGQMVTTNMAELTVKPLISLERQRRIAATM
+>sp|O00750|P3C2B_HUMAN Phosphatidylinositol 4-phosphate 3-kinase C2 domain-containing subunit beta OS=Homo sapiens OX=9606 GN=PIK3C2B PE=1 SV=2
+MSSTQGNGEHWKSLESVGISRKELAMAEALQMEYDALSRLRHDKEENRAKQNADPSLISWDEPGVDFYSKPAGRRTDLKLLRGLSGSDPTLNYNSLSPQEGPPNHSTSQGPQPGSDPWPKGSLSGDYLYIFDGSDGGVSSSPGPGDIEGSCKKLSPPPLPPRASIWDTPPLPPRKGSPSSSKISQPSDINTFSLVEQLPGKLLEHRILEEEEVLGGGGQGRLLGSVDYDGINDAITRLNLKSTYDAEMLRDATRGWKEGRGPLDFSKDTSGKPVARSKTMPPQVPPRTYASRYGNRKNATPGKNRRISAAPVGSRPHTVANGHELFEVSEERDEEVAAFCHMLDILRSGSDIQDYFLTGYVWSAVTPSPEHLGDEVNLKVTVLCDRLQEALTFTCNCSSTVDLLIYQTLCYTHDDLRNVDVGDFVLKPCGLEEFLQNKHALGSHEYIQYCRKFDIDIRLQLMEQKVVRSDLARTVNDDQSPSTLNYLVHLQERPVKQTISRQALSLLFDTYHNEVDAFLLADGDFPLKADRVVQSVKAICNALAAVETPEITSALNQLPPCPSRMQPKIQKDPSVLAVRENREKVVEALTAAILDLVELYCNTFNADFQTAVPGSRKHDLVQEACHFARSLAFTVYATHRIPIIWATSYEDFYLSCSLSHGGKELCSPLQTRRAHFSKYLFHLIVWDQQICFPVQVNRLPRETLLCATLYALPIPPPGSSSEANKQRRVPEALGWVTTPLFNFRQVLTCGRKLLGLWPATQENPSARWSAPNFHQPDSVILQIDFPTSAFDIKFTSPPGDKFSPRYEFGSLREEDQRKLKDIMQKESLYWLTDADKKRLWEKRYYCHSEVSSLPLVLASAPSWEWACLPDIYVLLKQWTHMNHQDALGLLHATFPDQEVRRMAVQWIGSLSDAELLDYLPQLVQALKYECYLDSPLVRFLLKRAVSDLRVTHYFFWLLKDGLKDSQFSIRYQYLLAALLCCCGKGLREEFNRQCWLVNALAKLAQQVREAAPSARQGILRTGLEEVKQFFALNGSCRLPLSPSLLVKGIVPRDCSYFNSNAVPLKLSFQNVDPLGENIRVIFKCGDDLRQDMLTLQMIRIMSKIWVQEGLDMRMVIFRCFSTGRGRGMVEMIPNAETLRKIQVEHGVTGSFKDRPLADWLQKHNPGEDEYEKAVENFIYSCAGCCVATYVLGICDRHNDNIMLKTTGHMFHIDFGRFLGHAQMFGNIKRDRAPFVFTSDMAYVINGGDKPSSRFHDFVDLCCQAYNLIRKHTHLFLNLLGLMLSCGIPELSDLEDLKYVYDALRPQDTEANATTYFTRLIESSLGSVATKLNFFIHNLAQMKFTGSDDRLTLSFASRTHTLKSSGRISDVFLCRHEKIFHPNKGYIYVVKVMRENTHEATYIQRTFEEFQELHNKLRLLFPSSHLPSFPSRFVIGRSRGEAVAERRREELNGYIWHLIHAPPEVAECDLVYTFFHPLPRDEKAMGTSPAPKSSDGTWARPVGKVGGEVKLSISYKNNKLFIMVMHIRGLQLLQDGNDPDPYVKIYLLPDPQKTTKRKTKVARKTCNPTYNEMLVYDGIPKGDLQQRELQLSVLSEQGFWENVLLGEVNIRLRELDLAQEKTGWFALGSRSHGTL
+>DECOY_sp|O00750|P3C2B_HUMAN Phosphatidylinositol 4-phosphate 3-kinase C2 domain-containing subunit beta OS=Homo sapiens OX=9606 GN=PIK3C2B PE=1 SV=2
+LTGHSRSGLAFWGTKEQALDLERLRINVEGLLVNEWFGQESLVSLQLERQQLDGKPIGDYVLMENYTPNCTKRAVKTKRKTTKQPDPLLYIKVYPDPDNGDQLLQLGRIHMVMIFLKNNKYSISLKVEGGVKGVPRAWTGDSSKPAPSTGMAKEDRPLPHFFTYVLDCEAVEPPAHILHWIYGNLEERRREAVAEGRSRGIVFRSPFSPLHSSPFLLRLKNHLEQFEEFTRQIYTAEHTNERMVKVVYIYGKNPHFIKEHRCLFVDSIRGSSKLTHTRSAFSLTLRDDSGTFKMQALNHIFFNLKTAVSGLSSEILRTFYTTANAETDQPRLADYVYKLDELDSLEPIGCSLMLGLLNLFLHTHKRILNYAQCCLDVFDHFRSSPKDGGNIVYAMDSTFVFPARDRKINGFMQAHGLFRGFDIHFMHGTTKLMINDNHRDCIGLVYTAVCCGACSYIFNEVAKEYEDEGPNHKQLWDALPRDKFSGTVGHEVQIKRLTEANPIMEVMGRGRGTSFCRFIVMRMDLGEQVWIKSMIRIMQLTLMDQRLDDGCKFIVRINEGLPDVNQFSLKLPVANSNFYSCDRPVIGKVLLSPSLPLRCSGNLAFFQKVEELGTRLIGQRASPAAERVQQALKALANVLWCQRNFEERLGKGCCCLLAALLYQYRISFQSDKLGDKLLWFFYHTVRLDSVARKLLFRVLPSDLYCEYKLAQVLQPLYDLLEADSLSGIWQVAMRRVEQDPFTAHLLGLADQHNMHTWQKLLVYIDPLCAWEWSPASALVLPLSSVESHCYYRKEWLRKKDADTLWYLSEKQMIDKLKRQDEERLSGFEYRPSFKDGPPSTFKIDFASTPFDIQLIVSDPQHFNPASWRASPNEQTAPWLGLLKRGCTLVQRFNFLPTTVWGLAEPVRRQKNAESSSGPPPIPLAYLTACLLTERPLRNVQVPFCIQQDWVILHFLYKSFHARRTQLPSCLEKGGHSLSCSLYFDEYSTAWIIPIRHTAYVTFALSRAFHCAEQVLDHKRSGPVATQFDANFTNCYLEVLDLIAATLAEVVKERNERVALVSPDKQIKPQMRSPCPPLQNLASTIEPTEVAALANCIAKVSQVVRDAKLPFDGDALLFADVENHYTDFLLSLAQRSITQKVPREQLHVLYNLTSPSQDDNVTRALDSRVVKQEMLQLRIDIDFKRCYQIYEHSGLAHKNQLFEELGCPKLVFDGVDVNRLDDHTYCLTQYILLDVTSSCNCTFTLAEQLRDCLVTVKLNVEDGLHEPSPTVASWVYGTLFYDQIDSGSRLIDLMHCFAAVEEDREESVEFLEHGNAVTHPRSGVPAASIRRNKGPTANKRNGYRSAYTRPPVQPPMTKSRAVPKGSTDKSFDLPGRGEKWGRTADRLMEADYTSKLNLRTIADNIGDYDVSGLLRGQGGGGLVEEEELIRHELLKGPLQEVLSFTNIDSPQSIKSSSPSGKRPPLPPTDWISARPPLPPPSLKKCSGEIDGPGPSSSVGGDSGDFIYLYDGSLSGKPWPDSGPQPGQSTSHNPPGEQPSLSNYNLTPDSGSLGRLLKLDTRRGAPKSYFDVGPEDWSILSPDANQKARNEEKDHRLRSLADYEMQLAEAMALEKRSIGVSELSKWHEGNGQTSSM
+>sp|Q8IVL5|P3H2_HUMAN Prolyl 3-hydroxylase 2 OS=Homo sapiens OX=9606 GN=P3H2 PE=1 SV=1
+MRERIWAPPLLLLLPLLLPPPLWGGPPDSPRRELELEPGPLQPFDLLYASGAAAYYSGDYERAVRDLEAALRSHRRLREIRTRCARHCAARHPLPPPPPGEGPGAELPLFRSLLGRARCYRSCETQRLGGPASRHRVSEDVRSDFQRRVPYNYLQRAYIKLNQLEKAVEAAHTFFVANPEHMEMQQNIENYRATAGVEALQLVDREAKPHMESYNAGVKHYEADDFEMAIRHFEQALREYFVEDTECRTLCEGPQRFEEYEYLGYKAGLYEAIADHYMQVLVCQHECVRELATRPGRLSPIENFLPLHYDYLQFAYYRVGEYVKALECAKAYLLCHPDDEDVLDNVDYYESLLDDSIDPASIEAREDLTMFVKRHKLESELIKSAAEGLGFSYTEPNYWIRYGGRQDENRVPSGVNVEGAEVHGFSMGKKLSPKIDRDLREGGPLLYENITFVYNSEQLNGTQRVLLDNVLSEEQCRELHSVASGIMLVGDGYRGKTSPHTPNEKFEGATVLKALKSGYEGRVPLKSARLFYDISEKARRIVESYFMLNSTLYFSYTHMVCRTALSGQQDRRNDLSHPIHADNCLLDPEANECWKEPPAYTFRDYSALLYMNDDFEGGEFIFTEMDAKTVTASIKPKCGRMISFSSGGENPHGVKAVTKGKRCAVALWFTLDPLYRELERIQADEVIAILDQEQQGKHELNINPKDEL
+>DECOY_sp|Q8IVL5|P3H2_HUMAN Prolyl 3-hydroxylase 2 OS=Homo sapiens OX=9606 GN=P3H2 PE=1 SV=1
+LEDKPNINLEHKGQQEQDLIAIVEDAQIRELERYLPDLTFWLAVACRKGKTVAKVGHPNEGGSSFSIMRGCKPKISATVTKADMETFIFEGGEFDDNMYLLASYDRFTYAPPEKWCENAEPDLLCNDAHIPHSLDNRRDQQGSLATRCVMHTYSFYLTSNLMFYSEVIRRAKESIDYFLRASKLPVRGEYGSKLAKLVTAGEFKENPTHPSTKGRYGDGVLMIGSAVSHLERCQEESLVNDLLVRQTGNLQESNYVFTINEYLLPGGERLDRDIKPSLKKGMSFGHVEAGEVNVGSPVRNEDQRGGYRIWYNPETYSFGLGEAASKILESELKHRKVFMTLDERAEISAPDISDDLLSEYYDVNDLVDEDDPHCLLYAKACELAKVYEGVRYYAFQLYDYHLPLFNEIPSLRGPRTALERVCEHQCVLVQMYHDAIAEYLGAKYGLYEYEEFRQPGECLTRCETDEVFYERLAQEFHRIAMEFDDAEYHKVGANYSEMHPKAERDVLQLAEVGATARYNEINQQMEMHEPNAVFFTHAAEVAKELQNLKIYARQLYNYPVRRQFDSRVDESVRHRSAPGGLRQTECSRYCRARGLLSRFLPLEAGPGEGPPPPPLPHRAACHRACRTRIERLRRHSRLAAELDRVAREYDGSYYAAAGSAYLLDFPQLPGPELELERRPSDPPGGWLPPPLLLPLLLLLPPAWIRERM
+>sp|Q6IN85|P4R3A_HUMAN Serine/threonine-protein phosphatase 4 regulatory subunit 3A OS=Homo sapiens OX=9606 GN=PPP4R3A PE=1 SV=1
+MTDTRRRVKVYTLNEDRQWDDRGTGHVSSGYVERLKGMSLLVRAESDGSLLLESKINPNTAYQKQQDTLIVWSEAENYDLALSFQEKAGCDEIWEKICQVQGKDPSVDITQDLVDESEEERFDDMSSPGLELPSCELSRLEEIAELVASSLPSPLRREKLALALENEGYIKKLLELFHVCEDLENIEGLHHLYEIIKGIFLLNRTALFEVMFSEECIMDVIGCLEYDPALSQPRKHREFLTKTAKFKEVIPISDPELKQKIHQTYRVQYIQDMVLPTPSVFEENMLSTLHSFIFFNKVEIVGMLQEDEKFLTDLFAQLTDEATDEEKRQELVNFLKEFCAFSQTLQPQNRDAFFKTLSNMGILPALEVILGMDDTQVRSAATDIFSYLVEYNPSMVREFVMQEAQQNDDVSKKLTEQKITSKDILLINLIIEHMICDTDPELGGAVQLMGLLRTLVDPENMLATANKTEKTEFLGFFYKHCMHVLTAPLLANTTEDKPSKDDFQTAQLLALVLELLTFCVEHHTYHIKNYIINKDILRRVLVLMASKHAFLALCALRFKRKIIGLKDEFYNRYIMKSFLFEPVVKAFLNNGSRYNLMNSAIIEMFEFIRVEDIKSLTAHVIENYWKALEDVDYVQTFKGLKLRFEQQRERQDNPKLDSMRSILRNHRYRRDARTLEDEEEMWFNTDEDDMEDGEAVVSPSDKTKNDDDIMDPISKFMERKKLKESEEKEVLLKTNLSGRQSPSFKLSLSSGTKTNLTSQSSTTNLPGSPGSPGSPGSPGSPGSVPKNTSQTAAITTKGGLVGLVDYPDDDEDDDEDEDKEDTLPLSKKAKFDS
+>DECOY_sp|Q6IN85|P4R3A_HUMAN Serine/threonine-protein phosphatase 4 regulatory subunit 3A OS=Homo sapiens OX=9606 GN=PPP4R3A PE=1 SV=1
+SDFKAKKSLPLTDEKDEDEDDDEDDDPYDVLGVLGGKTTIAATQSTNKPVSGPSGPSGPSGPSGPSGPLNTTSSQSTLNTKTGSSLSLKFSPSQRGSLNTKLLVEKEESEKLKKREMFKSIPDMIDDDNKTKDSPSVVAEGDEMDDEDTNFWMEEEDELTRADRRYRHNRLISRMSDLKPNDQRERQQEFRLKLGKFTQVYDVDELAKWYNEIVHATLSKIDEVRIFEFMEIIASNMLNYRSGNNLFAKVVPEFLFSKMIYRNYFEDKLGIIKRKFRLACLALFAHKSAMLVLVRRLIDKNIIYNKIHYTHHEVCFTLLELVLALLQATQFDDKSPKDETTNALLPATLVHMCHKYFFGLFETKETKNATALMNEPDVLTRLLGMLQVAGGLEPDTDCIMHEIILNILLIDKSTIKQETLKKSVDDNQQAEQMVFERVMSPNYEVLYSFIDTAASRVQTDDMGLIVELAPLIGMNSLTKFFADRNQPQLTQSFACFEKLFNVLEQRKEEDTAEDTLQAFLDTLFKEDEQLMGVIEVKNFFIFSHLTSLMNEEFVSPTPLVMDQIYQVRYTQHIKQKLEPDSIPIVEKFKATKTLFERHKRPQSLAPDYELCGIVDMICEESFMVEFLATRNLLFIGKIIEYLHHLGEINELDECVHFLELLKKIYGENELALALKERRLPSPLSSAVLEAIEELRSLECSPLELGPSSMDDFREEESEDVLDQTIDVSPDKGQVQCIKEWIEDCGAKEQFSLALDYNEAESWVILTDQQKQYATNPNIKSELLLSGDSEARVLLSMGKLREVYGSSVHGTGRDDWQRDENLTYVKVRRRTDTM
+>sp|P11940|PABP1_HUMAN Polyadenylate-binding protein 1 OS=Homo sapiens OX=9606 GN=PABPC1 PE=1 SV=2
+MNPSAPSYPMASLYVGDLHPDVTEAMLYEKFSPAGPILSIRVCRDMITRRSLGYAYVNFQQPADAERALDTMNFDVIKGKPVRIMWSQRDPSLRKSGVGNIFIKNLDKSIDNKALYDTFSAFGNILSCKVVCDENGSKGYGFVHFETQEAAERAIEKMNGMLLNDRKVFVGRFKSRKEREAELGARAKEFTNVYIKNFGEDMDDERLKDLFGKFGPALSVKVMTDESGKSKGFGFVSFERHEDAQKAVDEMNGKELNGKQIYVGRAQKKVERQTELKRKFEQMKQDRITRYQGVNLYVKNLDDGIDDERLRKEFSPFGTITSAKVMMEGGRSKGFGFVCFSSPEEATKAVTEMNGRIVATKPLYVALAQRKEERQAHLTNQYMQRMASVRAVPNPVINPYQPAPPSGYFMAAIPQTQNRAAYYPPSQIAQLRPSPRWTAQGARPHPFQNMPGAIRPAAPRPPFSTMRPASSQVPRVMSTQRVANTSTQTMGPRPAAAAAAATPAVRTVPQYKYAAGVRNPQQHLNAQPQVTMQQPAVHVQGQEPLTASMLASAPPQEQKQMLGERLFPLIQAMHPTLAGKITGMLLEIDNSELLHMLESPESLRSKVDEAVAVLQAHQAKEAAQKAVNSATGVPTV
+>DECOY_sp|P11940|PABP1_HUMAN Polyadenylate-binding protein 1 OS=Homo sapiens OX=9606 GN=PABPC1 PE=1 SV=2
+VTPVGTASNVAKQAAEKAQHAQLVAVAEDVKSRLSEPSELMHLLESNDIELLMGTIKGALTPHMAQILPFLREGLMQKQEQPPASALMSATLPEQGQVHVAPQQMTVQPQANLHQQPNRVGAAYKYQPVTRVAPTAAAAAAAPRPGMTQTSTNAVRQTSMVRPVQSSAPRMTSFPPRPAAPRIAGPMNQFPHPRAGQATWRPSPRLQAIQSPPYYAARNQTQPIAAMFYGSPPAPQYPNIVPNPVARVSAMRQMYQNTLHAQREEKRQALAVYLPKTAVIRGNMETVAKTAEEPSSFCVFGFGKSRGGEMMVKASTITGFPSFEKRLREDDIGDDLNKVYLNVGQYRTIRDQKMQEFKRKLETQREVKKQARGVYIQKGNLEKGNMEDVAKQADEHREFSVFGFGKSKGSEDTMVKVSLAPGFKGFLDKLREDDMDEGFNKIYVNTFEKARAGLEAEREKRSKFRGVFVKRDNLLMGNMKEIAREAAEQTEFHVFGYGKSGNEDCVVKCSLINGFASFTDYLAKNDISKDLNKIFINGVGSKRLSPDRQSWMIRVPKGKIVDFNMTDLAREADAPQQFNVYAYGLSRRTIMDRCVRISLIPGAPSFKEYLMAETVDPHLDGVYLSAMPYSPASPNM
+>sp|Q13310|PABP4_HUMAN Polyadenylate-binding protein 4 OS=Homo sapiens OX=9606 GN=PABPC4 PE=1 SV=1
+MNAAASSYPMASLYVGDLHSDVTEAMLYEKFSPAGPVLSIRVCRDMITRRSLGYAYVNFQQPADAERALDTMNFDVIKGKPIRIMWSQRDPSLRKSGVGNVFIKNLDKSIDNKALYDTFSAFGNILSCKVVCDENGSKGYAFVHFETQEAADKAIEKMNGMLLNDRKVFVGRFKSRKEREAELGAKAKEFTNVYIKNFGEEVDDESLKELFSQFGKTLSVKVMRDPNGKSKGFGFVSYEKHEDANKAVEEMNGKEISGKIIFVGRAQKKVERQAELKRKFEQLKQERISRYQGVNLYIKNLDDTIDDEKLRKEFSPFGSITSAKVMLEDGRSKGFGFVCFSSPEEATKAVTEMNGRIVGSKPLYVALAQRKEERKAHLTNQYMQRVAGMRALPANAILNQFQPAAGGYFVPAVPQAQGRPPYYTPNQLAQMRPNPRWQQGGRPQGFQGMPSAIRQSGPRPTLRHLAPTGSECPDRLAMDFGGAGAAQQGLTDSCQSGGVPTAVQNLAPRAAVAAAAPRAVAPYKYASSVRSPHPAIQPLQAPQPAVHVQGQEPLTASMLAAAPPQEQKQMLGERLFPLIQTMHSNLAGKITGMLLEIDNSELLHMLESPESLRSKVDEAVAVLQAHHAKKEAAQKVGAVAAATS
+>DECOY_sp|Q13310|PABP4_HUMAN Polyadenylate-binding protein 4 OS=Homo sapiens OX=9606 GN=PABPC4 PE=1 SV=1
+STAAAVAGVKQAAEKKAHHAQLVAVAEDVKSRLSEPSELMHLLESNDIELLMGTIKGALNSHMTQILPFLREGLMQKQEQPPAAALMSATLPEQGQVHVAPQPAQLPQIAPHPSRVSSAYKYPAVARPAAAAVAARPALNQVATPVGGSQCSDTLGQQAAGAGGFDMALRDPCESGTPALHRLTPRPGSQRIASPMGQFGQPRGGQQWRPNPRMQALQNPTYYPPRGQAQPVAPVFYGGAAPQFQNLIANAPLARMGAVRQMYQNTLHAKREEKRQALAVYLPKSGVIRGNMETVAKTAEEPSSFCVFGFGKSRGDELMVKASTISGFPSFEKRLKEDDITDDLNKIYLNVGQYRSIREQKLQEFKRKLEAQREVKKQARGVFIIKGSIEKGNMEEVAKNADEHKEYSVFGFGKSKGNPDRMVKVSLTKGFQSFLEKLSEDDVEEGFNKIYVNTFEKAKAGLEAEREKRSKFRGVFVKRDNLLMGNMKEIAKDAAEQTEFHVFAYGKSGNEDCVVKCSLINGFASFTDYLAKNDISKDLNKIFVNGVGSKRLSPDRQSWMIRIPKGKIVDFNMTDLAREADAPQQFNVYAYGLSRRTIMDRCVRISLVPGAPSFKEYLMAETVDSHLDGVYLSAMPYSSAAANM
+>sp|Q9UNF0|PACN2_HUMAN Protein kinase C and casein kinase substrate in neurons protein 2 OS=Homo sapiens OX=9606 GN=PACSIN2 PE=1 SV=2
+MSVTYDDSVGVEVSSDSFWEVGNYKRTVKRIDDGHRLCSDLMNCLHERARIEKAYAQQLTEWARRWRQLVEKGPQYGTVEKAWMAFMSEAERVSELHLEVKASLMNDDFEKIKNWQKEAFHKQMMGGFKETKEAEDGFRKAQKPWAKKLKEVEAAKKAHHAACKEEKLAISREANSKADPSLNPEQLKKLQDKIEKCKQDVLKTKEKYEKSLKELDQGTPQYMENMEQVFEQCQQFEEKRLRFFREVLLEVQKHLDLSNVAGYKAIYHDLEQSIRAADAVEDLRWFRANHGPGMAMNWPQFEEWSADLNRTLSRREKKKATDGVTLTGINQTGDQSLPSKPSSTLNVPSNPAQSAQSQSSYNPFEDEDDTGSTVSEKDDTKAKNVSSYEKTQSYPTDWSDDESNNPFSSTDANGDSNPFDDDATSGTEVRVRALYDYEGQEHDELSFKAGDELTKMEDEDEQGWCKGRLDNGQVGLYPANYVEAIQ
+>DECOY_sp|Q9UNF0|PACN2_HUMAN Protein kinase C and casein kinase substrate in neurons protein 2 OS=Homo sapiens OX=9606 GN=PACSIN2 PE=1 SV=2
+QIAEVYNAPYLGVQGNDLRGKCWGQEDEDEMKTLEDGAKFSLEDHEQGEYDYLARVRVETGSTADDDFPNSDGNADTSSFPNNSEDDSWDTPYSQTKEYSSVNKAKTDDKESVTSGTDDEDEFPNYSSQSQASQAPNSPVNLTSSPKSPLSQDGTQNIGTLTVGDTAKKKERRSLTRNLDASWEEFQPWNMAMGPGHNARFWRLDEVADAARISQELDHYIAKYGAVNSLDLHKQVELLVERFFRLRKEEFQQCQEFVQEMNEMYQPTGQDLEKLSKEYKEKTKLVDQKCKEIKDQLKKLQEPNLSPDAKSNAERSIALKEEKCAAHHAKKAAEVEKLKKAWPKQAKRFGDEAEKTEKFGGMMQKHFAEKQWNKIKEFDDNMLSAKVELHLESVREAESMFAMWAKEVTGYQPGKEVLQRWRRAWETLQQAYAKEIRAREHLCNMLDSCLRHGDDIRKVTRKYNGVEWFSDSSVEVGVSDDYTVSM
+>sp|Q6VY07|PACS1_HUMAN Phosphofurin acidic cluster sorting protein 1 OS=Homo sapiens OX=9606 GN=PACS1 PE=1 SV=2
+MAERGGAGGGPGGAGGGSGQRGSGVAQSPQQPPPQQQQQQPPQQPTPPKLAQATSSSSSTSAAAASSSSSSTSTSMAVAVASGSAPPGGPGPGRTPAPVQMNLYATWEVDRSSSSCVPRLFSLTLKKLVMLKEMDKDLNSVVIAVKLQGSKRILRSNEIVLPASGLVETELQLTFSLQYPHFLKRDANKLQIMLQRRKRYKNRTILGYKTLAVGLINMAEVMQHPNEGALVLGLHSNVKDVSVPVAEIKIYSLSSQPIDHEGIKSKLSDRSPDIDNYSEEEEESFSSEQEGSDDPLHGQDLFYEDEDLRKVKKTRRKLTSTSAITRQPNIKQKFVALLKRFKVSDEVGFGLEHVSREQIREVEEDLDELYDSLEMYNPSDSGPEMEETESILSTPKPKLKPFFEGMSQSSSQTEIGSLNSKGSLGKDTTSPMELAALEKIKSTWIKNQDDSLTETDTLEITDQDMFGDASTSLVVPEKVKTPMKSSKTDLQGSASPSKVEGVHTPRQKRSTPLKERQLSKPLSERTNSSDSERSPDLGHSTQIPRKVVYDQLNQILVSDAALPENVILVNTTDWQGQYVAELLQDQRKPVVCTCSTVEVQAVLSALLTRIQRYCNCNSSMPRPVKVAAVGGQSYLSSILRFFVKSLANKTSDWLGYMRFLIIPLGSHPVAKYLGSVDSKYSSSFLDSGWRDLFSRSEPPVSEQLDVAGRVMQYVNGAATTHQLPVAEAMLTCRHKFPDEDSYQKFIPFIGVVKVGLVEDSPSTAGDGDDSPVVSLTVPSTSPPSSSGLSRDATATPPSSPSMSSALAIVGSPNSPYGDVIGLQVDYWLGHPGERRREGDKRDASSKNTLKSVFRSVQVSRLPHSGEAQLSGTMAMTVVTKEKNKKVPTIFLSKKPREKEVDSKSQVIEGISRLICSAKQQQTMLRVSIDGVEWSDIKFFQLAAQWPTHVKHFPVGLFSGSKAT
+>DECOY_sp|Q6VY07|PACS1_HUMAN Phosphofurin acidic cluster sorting protein 1 OS=Homo sapiens OX=9606 GN=PACS1 PE=1 SV=2
+TAKSGSFLGVPFHKVHTPWQAALQFFKIDSWEVGDISVRLMTQQQKASCILRSIGEIVQSKSDVEKERPKKSLFITPVKKNKEKTVVTMAMTGSLQAEGSHPLRSVQVSRFVSKLTNKSSADRKDGERRREGPHGLWYDVQLGIVDGYPSNPSGVIALASSMSPSSPPTATADRSLGSSSPPSTSPVTLSVVPSDDGDGATSPSDEVLGVKVVGIFPIFKQYSDEDPFKHRCTLMAEAVPLQHTTAAGNVYQMVRGAVDLQESVPPESRSFLDRWGSDLFSSSYKSDVSGLYKAVPHSGLPIILFRMYGLWDSTKNALSKVFFRLISSLYSQGGVAAVKVPRPMSSNCNCYRQIRTLLASLVAQVEVTSCTCVVPKRQDQLLEAVYQGQWDTTNVLIVNEPLAADSVLIQNLQDYVVKRPIQTSHGLDPSRESDSSNTRESLPKSLQREKLPTSRKQRPTHVGEVKSPSASGQLDTKSSKMPTKVKEPVVLSTSADGFMDQDTIELTDTETLSDDQNKIWTSKIKELAALEMPSTTDKGLSGKSNLSGIETQSSSQSMGEFFPKLKPKPTSLISETEEMEPGSDSPNYMELSDYLEDLDEEVERIQERSVHELGFGVEDSVKFRKLLAVFKQKINPQRTIASTSTLKRRTKKVKRLDEDEYFLDQGHLPDDSGEQESSFSEEEEESYNDIDPSRDSLKSKIGEHDIPQSSLSYIKIEAVPVSVDKVNSHLGLVLAGENPHQMVEAMNILGVALTKYGLITRNKYRKRRQLMIQLKNADRKLFHPYQLSFTLQLETEVLGSAPLVIENSRLIRKSGQLKVAIVVSNLDKDMEKLMVLKKLTLSFLRPVCSSSSRDVEWTAYLNMQVPAPTRGPGPGGPPASGSAVAVAMSTSTSSSSSSAAAASTSSSSSTAQALKPPTPQQPPQQQQQQPPPQQPSQAVGSGRQGSGGGAGGPGGGAGGREAM
+>sp|O60829|PAGE4_HUMAN P antigen family member 4 OS=Homo sapiens OX=9606 GN=PAGE4 PE=1 SV=1
+MSARVRSRSRGRGDGQEAPDVVAFVAPGESQQEEPPTDNQDIEPGQEREGTPPIEERKVEGDCQEMDLEKTRSERGDGSDVKEKTPPNPKHAKTKEAGDGQP
+>DECOY_sp|O60829|PAGE4_HUMAN P antigen family member 4 OS=Homo sapiens OX=9606 GN=PAGE4 PE=1 SV=1
+PQGDGAEKTKAHKPNPPTKEKVDSGDGRESRTKELDMEQCDGEVKREEIPPTGEREQGPEIDQNDTPPEEQQSEGPAVFAVVDPAEQGDGRGRSRSRVRASM
+>sp|P55771|PAX9_HUMAN Paired box protein Pax-9 OS=Homo sapiens OX=9606 GN=PAX9 PE=1 SV=3
+MEPAFGEVNQLGGVFVNGRPLPNAIRLRIVELAQLGIRPCDISRQLRVSHGCVSKILARYNETGSILPGAIGGSKPRVTTPTVVKHIRTYKQRDPGIFAWEIRDRLLADGVCDKYNVPSVSSISRILRNKIGNLAQQGHYDSYKQHQPTPQPALPYNHIYSYPSPITAAAAKVPTPPGVPAIPGSVAMPRTWPSSHSVTDILGIRSITDQVSDSSPYHSPKVEEWSSLGRNNFPAAAPHAVNGLEKGALEQEAKYGQAPNGLPAVGSFVSASSMAPYPTPAQVSPYMTYSAAPSGYVAGHGWQHAGGTSLSPHNCDIPASLAFKGMQAAREGSHSVTASAL
+>DECOY_sp|P55771|PAX9_HUMAN Paired box protein Pax-9 OS=Homo sapiens OX=9606 GN=PAX9 PE=1 SV=3
+LASATVSHSGERAAQMGKFALSAPIDCNHPSLSTGGAHQWGHGAVYGSPAASYTMYPSVQAPTPYPAMSSASVFSGVAPLGNPAQGYKAEQELAGKELGNVAHPAAAPFNNRGLSSWEEVKPSHYPSSDSVQDTISRIGLIDTVSHSSPWTRPMAVSGPIAPVGPPTPVKAAAATIPSPYSYIHNYPLAPQPTPQHQKYSDYHGQQALNGIKNRLIRSISSVSPVNYKDCVGDALLRDRIEWAFIGPDRQKYTRIHKVVTPTTVRPKSGGIAGPLISGTENYRALIKSVCGHSVRLQRSIDCPRIGLQALEVIRLRIANPLPRGNVFVGGLQNVEGFAPEM
+>sp|P49023|PAXI_HUMAN Paxillin OS=Homo sapiens OX=9606 GN=PXN PE=1 SV=3
+MDDLDALLADLESTTSHISKRPVFLSEETPYSYPTGNHTYQEIAVPPPVPPPPSSEALNGTILDPLDQWQPSSSRFIHQQPQSSSPVYGSSAKTSSVSNPQDSVGSPCSRVGEEEHVYSFPNKQKSAEPSPTVMSTSLGSNLSELDRLLLELNAVQHNPPGFPADEANSSPPLPGALSPLYGVPETNSPLGGKAGPLTKEKPKRNGGRGLEDVRPSVESLLDELESSVPSPVPAITVNQGEMSSPQRVTSTQQQTRISASSATRELDELMASLSDFKIQGLEQRADGERCWAAGWPRDGGRSSPGGQDEGGFMAQGKTGSSSPPGGPPKPGSQLDSMLGSLQSDLNKLGVATVAKGVCGACKKPIAGQVVTAMGKTWHPEHFVCTHCQEEIGSRNFFERDGQPYCEKDYHNLFSPRCYYCNGPILDKVVTALDRTWHPEHFFCAQCGAFFGPEGFHEKDGKAYCRKDYFDMFAPKCGGCARAILENYISALNTLWHPECFVCRECFTPFVNGSFFEHDGQPYCEVHYHERRGSLCSGCQKPITGRCITAMAKKFHPEHFVCAFCLKQLNKGTFKEQNDKPYCQNCFLKLFC
+>DECOY_sp|P49023|PAXI_HUMAN Paxillin OS=Homo sapiens OX=9606 GN=PXN PE=1 SV=3
+CFLKLFCNQCYPKDNQEKFTGKNLQKLCFACVFHEPHFKKAMATICRGTIPKQCGSCLSGRREHYHVECYPQGDHEFFSGNVFPTFCERCVFCEPHWLTNLASIYNELIARACGGCKPAFMDFYDKRCYAKGDKEHFGEPGFFAGCQACFFHEPHWTRDLATVVKDLIPGNCYYCRPSFLNHYDKECYPQGDREFFNRSGIEEQCHTCVFHEPHWTKGMATVVQGAIPKKCAGCVGKAVTAVGLKNLDSQLSGLMSDLQSGPKPPGGPPSSSGTKGQAMFGGEDQGGPSSRGGDRPWGAAWCREGDARQELGQIKFDSLSAMLEDLERTASSASIRTQQQTSTVRQPSSMEGQNVTIAPVPSPVSSELEDLLSEVSPRVDELGRGGNRKPKEKTLPGAKGGLPSNTEPVGYLPSLAGPLPPSSNAEDAPFGPPNHQVANLELLLRDLESLNSGLSTSMVTPSPEASKQKNPFSYVHEEEGVRSCPSGVSDQPNSVSSTKASSGYVPSSSQPQQHIFRSSSPQWQDLPDLITGNLAESSPPPPVPPPVAIEQYTHNGTPYSYPTEESLFVPRKSIHSTTSELDALLADLDDM
+>sp|E2RYF7|PBMU2_HUMAN Protein PBMUCL2 OS=Homo sapiens OX=9606 GN=HCG22 PE=2 SV=1
+MPRYVPLLLLLLLLRCSERGGGVNFGEKDAKVPGTWRDGVRVPGEGASWDSDRASPERRYGIVGLSQSISTKHPETSPKDSRIRENDVTADGRTTEDHITADPGTTEDSVTADPGTTEDNVTVDPGTTEGSVTADPATTKDYVSADPGTTKDSVTADPGTTENFVTADPGTTKDSITADPRTTEDSVTADPGTTKHSITVDPGTTEDSVTADPGTTKHSITADPGTTEDSVTADPGTTEDETTKHGDTHLL
+>DECOY_sp|E2RYF7|PBMU2_HUMAN Protein PBMUCL2 OS=Homo sapiens OX=9606 GN=HCG22 PE=2 SV=1
+LLHTDGHKTTEDETTGPDATVSDETTGPDATISHKTTGPDATVSDETTGPDVTISHKTTGPDATVSDETTRPDATISDKTTGPDATVFNETTGPDATVSDKTTGPDASVYDKTTAPDATVSGETTGPDVTVNDETTGPDATVSDETTGPDATIHDETTRGDATVDNERIRSDKPSTEPHKTSISQSLGVIGYRREPSARDSDWSAGEGPVRVGDRWTGPVKADKEGFNVGGGRESCRLLLLLLLLPVYRPM
+>sp|Q9BZA8|PC11Y_HUMAN Protocadherin-11 Y-linked OS=Homo sapiens OX=9606 GN=PCDH11Y PE=1 SV=1
+MFRVGFLIISSSSSLSPLLLVSVVRVNTTNCHKCLLSGTYIFAVLLVCVVFHSGAQEKNYTIREEIPENVLIGNLLKDLNLSLIPNKSLTTTMQFKLVYKTGDVPLIRIEEDTGEIFTTGARIDREKLCAGIPRDEHCFYEVEVAILPDEIFRLVKIRFLIEDINDNAPLFPATVINISIPENSAINSKYTLPAAVDPDVGINGVQNYELIKSQNIFGLDVIETPEGDKMPQLIVQKELDREEKDTYVMKVKVEDGGFPQRSSTAILQVSVTDTNDNHPVFKETEIEVSIPENAPVGTSVTQLHATDADIGENAKIHFSFSNLVSNIARRLFHLNATTGLITIKEPLDREETPNHKLLVLASDGGLMPARAMVLVNVTDVNDNVPSIDIRYIVNPVNDTVVLSENIPLNTKIALITVTDKDADHNGRVTCFTDHEIPFRLRPVFSNQFLLENAAYLDYESTKEYAIKLLAADAGKPPLNQSAMLFIKVKDENDNAPVFTQSFVTVSIPENNSPGIQLMKVSATDADSGPNAEINYLLGPDAPPEFSLDRRTGMLTVVKKLDREKEDKYLFTILAKDNGVPPLTSNVTVFVSIIDQNDNSPVFTHNEYKFYVPENLPRHGTVGLITVTDPDYGDNSAVTLSILDENDDFTIDSQTGVIRPNISFDREKQESYTFYVKAEDGGRVSRSSSAKVTINVVDVNDNKPVFIVPPYNYSYELVLPSTNPGTVVFQVIAVDNDTGMNAEVRYSIVGGNTRDLFAIDQETGNITLMEKCDVTDLGLHRVLVKANDLGQPDSLFSVVIVNLFVNESVTNATLINELVRKSIEAPVTPNTEIADVSSPTSDYVKILVAAVAGTITVVVVIFITAVVRCRQAPHLKAAQKNMQNSEWATPNPENRQMIMMKKKKKKKKHSPKNLLLNVVTIEETKADDVDSDGNRVTLDLPIDLEEQTMGKYNWVTTPTTFKPDSPDLARHYKSASPQPAFQIQPETPLNLKHHIIQELPLDNTFVACDSISNCSSSSSDPYSVSDCGYPVTTFEVPVSVHTRPSQRRVTFHLPEGSQESSSDGGLGDHDAGSLTSTSHGLPLGYPQEEYFDRATPSNRTEGDGNSDPESTFIPGLKKEITVQPTVEEASDNCTQECLIYGHSDACWMPASLDHSSSSQAQASALCHSPPLSQASTQHHSPPVTQTIVLCHSPPVTQTIALCHSPPPIQVSALHHSPPLVQGTALHHSPPSAQASALCYSPPLAQAAAISHSSSLPQVIALHRSQAQSSVSLQQGWVQGANGLCSVDQGVQGSATSQFYTMSERLHPSDDSIKVIPLTTFAPRQQARPSRGDSPIMETHPL
+>DECOY_sp|Q9BZA8|PC11Y_HUMAN Protocadherin-11 Y-linked OS=Homo sapiens OX=9606 GN=PCDH11Y PE=1 SV=1
+LPHTEMIPSDGRSPRAQQRPAFTTLPIVKISDDSPHLRESMTYFQSTASGQVGQDVSCLGNAGQVWGQQLSVSSQAQSRHLAIVQPLSSSHSIAAAQALPPSYCLASAQASPPSHHLATGQVLPPSHHLASVQIPPPSHCLAITQTVPPSHCLVITQTVPPSHHQTSAQSLPPSHCLASAQAQSSSSHDLSAPMWCADSHGYILCEQTCNDSAEEVTPQVTIEKKLGPIFTSEPDSNGDGETRNSPTARDFYEEQPYGLPLGHSTSTLSGADHDGLGGDSSSEQSGEPLHFTVRRQSPRTHVSVPVEFTTVPYGCDSVSYPDSSSSSCNSISDCAVFTNDLPLEQIIHHKLNLPTEPQIQFAPQPSASKYHRALDPSDPKFTTPTTVWNYKGMTQEELDIPLDLTVRNGDSDVDDAKTEEITVVNLLLNKPSHKKKKKKKKMMIMQRNEPNPTAWESNQMNKQAAKLHPAQRCRVVATIFIVVVVTITGAVAAVLIKVYDSTPSSVDAIETNPTVPAEISKRVLENILTANTVSENVFLNVIVVSFLSDPQGLDNAKVLVRHLGLDTVDCKEMLTINGTEQDIAFLDRTNGGVISYRVEANMGTDNDVAIVQFVVTGPNTSPLVLEYSYNYPPVIFVPKNDNVDVVNITVKASSSRSVRGGDEAKVYFTYSEQKERDFSINPRIVGTQSDITFDDNEDLISLTVASNDGYDPDTVTILGVTGHRPLNEPVYFKYENHTFVPSNDNQDIISVFVTVNSTLPPVGNDKALITFLYKDEKERDLKKVVTLMGTRRDLSFEPPADPGLLYNIEANPGSDADTASVKMLQIGPSNNEPISVTVFSQTFVPANDNEDKVKIFLMASQNLPPKGADAALLKIAYEKTSEYDLYAANELLFQNSFVPRLRFPIEHDTFCTVRGNHDADKDTVTILAIKTNLPINESLVVTDNVPNVIYRIDISPVNDNVDTVNVLVMARAPMLGGDSALVLLKHNPTEERDLPEKITILGTTANLHFLRRAINSVLNSFSFHIKANEGIDADTAHLQTVSTGVPANEPISVEIETEKFVPHNDNTDTVSVQLIATSSRQPFGGDEVKVKMVYTDKEERDLEKQVILQPMKDGEPTEIVDLGFINQSKILEYNQVGNIGVDPDVAAPLTYKSNIASNEPISINIVTAPFLPANDNIDEILFRIKVLRFIEDPLIAVEVEYFCHEDRPIGACLKERDIRAGTTFIEGTDEEIRILPVDGTKYVLKFQMTTTLSKNPILSLNLDKLLNGILVNEPIEERITYNKEQAGSHFVVCVLLVAFIYTGSLLCKHCNTTNVRVVSVLLLPSLSSSSSIILFGVRFM
+>sp|P57721|PCBP3_HUMAN Poly(rC)-binding protein 3 OS=Homo sapiens OX=9606 GN=PCBP3 PE=2 SV=2
+MGEGDAFWAPSVLPHSTLSTLSHHPQPQFGRRMESKVSEGGLNVTLTIRLLMHGKEVGSIIGKKGETVKKMREESGARINISEGNCPERIVTITGPTDAIFKAFAMIAYKFEEDIINSMSNSPATSKPPVTLRLVVPASQCGSLIGKGGSKIKEIRESTGAQVQVAGDMLPNSTERAVTISGTPDAIIQCVKQICVVMLESPPKGATIPYRPKPASTPVIFAGGQAYTIQGQYAIPHPDQLTKLHQLAMQQTPFPPLGQTNPAFPGEKLPLHSSEEAQNLMGQSSGLDASPPASTHELTIPNDLIGCIIGRQGTKINEIRQMSGAQIKIANATEGSSERQITITGTPANISLAQYLINARLTSEVTGMGTL
+>DECOY_sp|P57721|PCBP3_HUMAN Poly(rC)-binding protein 3 OS=Homo sapiens OX=9606 GN=PCBP3 PE=2 SV=2
+LTGMGTVESTLRANILYQALSINAPTGTITIQRESSGETANAIKIQAGSMQRIENIKTGQRGIICGILDNPITLEHTSAPPSADLGSSQGMLNQAEESSHLPLKEGPFAPNTQGLPPFPTQQMALQHLKTLQDPHPIAYQGQITYAQGGAFIVPTSAPKPRYPITAGKPPSELMVVCIQKVCQIIADPTGSITVARETSNPLMDGAVQVQAGTSERIEKIKSGGKGILSGCQSAPVVLRLTVPPKSTAPSNSMSNIIDEEFKYAIMAFAKFIADTPGTITVIREPCNGESINIRAGSEERMKKVTEGKKGIISGVEKGHMLLRITLTVNLGGESVKSEMRRGFQPQPHHSLTSLTSHPLVSPAWFADGEGM
+>sp|Q96JQ0|PCD16_HUMAN Protocadherin-16 OS=Homo sapiens OX=9606 GN=DCHS1 PE=1 SV=1
+MQKELGIVPSCPGMKSPRPHLLLPLLLLLLLLLGAGVPGAWGQAGSLDLQIDEEQPAGTLIGDISAGLPAGTAAPLMYFISAQEGSGVGTDLAIDEHSGVVRTARVLDREQRDRYRFTAVTPDGATVEVTVRVADINDHAPAFPQARAALQVPEHTAFGTRYPLEPARDADAGRLGTQGYALSGDGAGETFRLETRPGPDGTPVPELVVTGELDRENRSHYMLQLEAYDGGSPPRRAQALLDVTLLDINDHAPAFNQSRYHAVVSESLAPGSPVLQVFASDADAGVNGAVTYEINRRQSEGDGPFSIDAHTGLLQLERPLDFEQRRVHELVVQARDGGAHPELGSAFVTVHVRDANDNQPSMTVIFLSADGSPQVSEAAPPGQLVARISVSDPDDGDFAHVNVSLEGGEGHFALSTQDSVIYLVCVARRLDREERDAYNLRVTATDSGSPPLRAEAAFVLHVTDVNDNAPAFDRQLYRPEPLPEVALPGSFVVRVTARDPDQGTNGQVTYSLAPGAHTHWFSIDPTSGIITTAASLDYELEPQPQLIVVATDGGLPPLASSATVSVALQDVNDNEPQFQRTFYNASLPEGTQPGTCFLQVTATDADSGPFGLLSYSLGAGLGSSGSPPFRIDAHSGDVCTTRTLDRDQGPSSFDFTVTAVDGGGLKSMVYVKVFLSDENDNPPQFYPREYAASISAQSPPGTAVLRLRAHDPDQGSHGRLSYHILAGNSPPLFTLDEQSGLLTVAWPLARRANSVVQLEIGAEDGGGLQAEPSARVDISIVPGTPTPPIFEQLQYVFSVPEDVAPGTSVGIVQAHNPPGRLAPVTLSLSGGDPRGLFSLDAVSGLLQTLRPLDRELLGPVLELEVRAGSGVPPAFAVARVRVLLDDVNDNSPAFPAPEDTVLLPPNTAPGTPIYTLRALDPDSGVNSRVTFTLLAGGGGAFTVDPTTGHVRLMRPLGPSGGPAHELELEARDGGSPPRTSHFRLRVVVQDVGTRGLAPRFNSPTYRVDLPSGTTAGTQVLQVQAQAPDGGPITYHLAAEGASSPFGLEPQSGWLWVRAALDREAQELYILKVMAVSGSKAELGQQTGTATVRVSILNQNEHSPRLSEDPTFLAVAENQPPGTSVGRVFATDRDSGPNGRLTYSLQQLSEDSKAFRIHPQTGEVTTLQTLDREQQSSYQLLVQVQDGGSPPRSTTGTVHVAVLDLNDNSPTFLQASGAAGGGLPIQVPDRVPPGTLVTTLQAKDPDEGENGTILYTLTGPGSELFSLHPHSGELLTAAPLIRAERPHYVLTLSAHDQGSPPRSASLQLLVQVLPSARLAEPPPDLAERDPAAPVPVVLTVTAAEGLRPGSLLGSVAAPEPAGVGALTYTLVGGADPEGTFALDAASGRLYLARPLDFEAGPPWRALTVRAEGPGGAGARLLRVQVQVQDENEHAPAFARDPLALALPENPEPGAALYTFRASDADGPGPNSDVRYRLLRQEPPVPALRLDARTGALSAPRGLDRETTPALLLLVEATDRPANASRRRAARVSARVFVTDENDNAPVFASPSRVRLPEDQPPGPAALHVVARDPDLGEAARVSYRLASGGDGHFRLHSSTGALSVVRPLDREQRAEHVLTVVASDHGSPPRSATQVLTVSVADVNDEAPTFQQQEYSVLLRENNPPGTSLLTLRATDPDVGANGQVTYGGVSSESFSLDPDTGVLTTLRALDREEQEEINLTVYAQDRGSPPQLTHVTVRVAVEDENDHAPTFGSAHLSLEVPEGQDPQTLTMLRASDPDVGANGQLQYRILDGDPSGAFVLDLASGEFGTMRPLDREVEPAFQLRIEARDGGQPALSATLLLTVTVLDANDHAPAFPVPAYSVEVPEDVPAGTLLLQLQAHDPDAGANGHVTYYLGAGTAGAFLLEPSSGELRTAAALDREQCPSYTFSVSAVDGAAAGPLSTTVSVTITVRDVNDHAPTFPTSPLRLRLPRPGPSFSTPTLALATLRAEDRDAGANASILYRLAGTPPPGTTVDSYTGEIRVARSPVALGPRDRVLFIVATDLGRPARSATGVIIVGLQGEAERGPRFPRASSEATIRENAPPGTPIVSPRAVHAGGTNGPITYSILSGNEKGTFSIQPSTGAITVRSAEGLDFEVSPRLRLVLQAESGGAFAFTVLTLTLQDANDNAPRFLRPHYVAFLPESRPLEGPLLQVEADDLDQGSGGQISYSLAASQPARGLFHVDPTTGTITTTAILDREIWAETRLVLMATDRGSPALVGSATLTVMVIDTNDNRPTIPQPWELRVSEDALLGSEIAQVTGNDVDSGPVLWYVLSPSGPQDPFSVGRYGGRVSLTGPLDFEQCDRYQLQLLAHDGPHEGRANLTVLVEDVNDNAPAFSQSLYQVMLLEHTPPGSAILSVSATDRDSGANGHISYHLASPADGFSVDPNNGTLFTIVGTVALGHDGSGAVDVVLEARDHGAPGRAARATVHVQLQDQNDHAPSFTLSHYRVAVTEDLPPGSTLLTLEATDADGSRSHAAVDYSIISGNWGRVFQLEPRLAEAGESAGPGPRALGCLVLLEPLDFESLTQYNLTVAAADRGQPPQSSVVPVTVTVLDVNDNPPVFTRASYRVTVPEDTPVGAELLHVEASDADPGPHGLVRFTVSSGDPSGLFELDESSGTLRLAHALDCETQARHQLVVQAADPAGAHFALAPVTIEVQDVNDHGPAFPLNLLSTSVAENQPPGTLVTTLHAIDGDAGAFGRLRYSLLEAGPGPEGREAFALNSSTGELRARVPFDYEHTESFRLLVGAADAGNLSASVTVSVLVTGEDEYDPVFLAPAFHFQVPEGARRGHSLGHVQATDEDGGADGLVLYSLATSSPYFGINQTTGALYLRVDSRAPGSGTATSGGGGRTRREAPRELRLEVIARGPLPGSRSATVPVTVDITHTALGLAPDLNLLLVGAVAASLGVVVVLALAALVLGLVRARSRKAEAAPGPMSQAAPLASDSLQKLGREPPSPPPSEHLYHQTLPSYGGPGAGGPYPRGGSLDPSHSSGRGSAEAAEDDEIRMINEFPRVASVASSLAARGPDSGIQQDADGLSDTSCEPPAPDTWYKGRKAGLLLPGAGATLYREEGPPATATAFLGGCGLSPAPTGDYGFPADGKPCVAGALTAIVAGEEELRGSYNWDYLLSWCPQFQPLASVFTEIARLKDEARPCPPAPRIDPPPLITAVAHPGAKSVPPKPANTAAARAIFPPASHRSPISHEGSLSSAAMSPSFSPSLSPLAARSPVVSPFGVAQGPSASALSAESGLEPPDDTELHI
+>DECOY_sp|Q96JQ0|PCD16_HUMAN Protocadherin-16 OS=Homo sapiens OX=9606 GN=DCHS1 PE=1 SV=1
+IHLETDDPPELGSEASLASASPGQAVGFPSVVPSRAALPSLSPSFSPSMAASSLSGEHSIPSRHSAPPFIARAAATNAPKPPVSKAGPHAVATILPPPDIRPAPPCPRAEDKLRAIETFVSALPQFQPCWSLLYDWNYSGRLEEEGAVIATLAGAVCPKGDAPFGYDGTPAPSLGCGGLFATATAPPGEERYLTAGAGPLLLGAKRGKYWTDPAPPECSTDSLGDADQQIGSDPGRAALSSAVSAVRPFENIMRIEDDEAAEASGRGSSHSPDLSGGRPYPGGAGPGGYSPLTQHYLHESPPPSPPERGLKQLSDSALPAAQSMPGPAAEAKRSRARVLGLVLAALALVVVVGLSAAVAGVLLLNLDPALGLATHTIDVTVPVTASRSGPLPGRAIVELRLERPAERRTRGGGGSTATGSGPARSDVRLYLAGTTQNIGFYPSSTALSYLVLGDAGGDEDTAQVHGLSHGRRAGEPVQFHFAPALFVPDYEDEGTVLVSVTVSASLNGADAAGVLLRFSETHEYDFPVRARLEGTSSNLAFAERGEPGPGAELLSYRLRGFAGADGDIAHLTTVLTGPPQNEAVSTSLLNLPFAPGHDNVDQVEITVPALAFHAGAPDAAQVVLQHRAQTECDLAHALRLTGSSEDLEFLGSPDGSSVTFRVLGHPGPDADSAEVHLLEAGVPTDEPVTVRYSARTFVPPNDNVDLVTVTVPVVSSQPPQGRDAAAVTLNYQTLSEFDLPELLVLCGLARPGPGASEGAEALRPELQFVRGWNGSIISYDVAAHSRSGDADTAELTLLTSGPPLDETVAVRYHSLTFSPAHDNQDQLQVHVTARAARGPAGHDRAELVVDVAGSGDHGLAVTGVITFLTGNNPDVSFGDAPSALHYSIHGNAGSDRDTASVSLIASGPPTHELLMVQYLSQSFAPANDNVDEVLVTLNARGEHPGDHALLQLQYRDCQEFDLPGTLSVRGGYRGVSFPDQPGSPSLVYWLVPGSDVDNGTVQAIESGLLADESVRLEWPQPITPRNDNTDIVMVTLTASGVLAPSGRDTAMLVLRTEAWIERDLIATTTITGTTPDVHFLGRAPQSAALSYSIQGGSGQDLDDAEVQLLPGELPRSEPLFAVYHPRLFRPANDNADQLTLTLVTFAFAGGSEAQLVLRLRPSVEFDLGEASRVTIAGTSPQISFTGKENGSLISYTIPGNTGGAHVARPSVIPTGPPANERITAESSARPFRPGREAEGQLGVIIVGTASRAPRGLDTAVIFLVRDRPGLAVPSRAVRIEGTYSDVTTGPPPTGALRYLISANAGADRDEARLTALALTPTSFSPGPRPLRLRLPSTPFTPAHDNVDRVTITVSVTTSLPGAAAGDVASVSFTYSPCQERDLAAATRLEGSSPELLFAGATGAGLYYTVHGNAGADPDHAQLQLLLTGAPVDEPVEVSYAPVPFAPAHDNADLVTVTLLLTASLAPQGGDRAEIRLQFAPEVERDLPRMTGFEGSALDLVFAGSPDGDLIRYQLQGNAGVDPDSARLMTLTQPDQGEPVELSLHASGFTPAHDNEDEVAVRVTVHTLQPPSGRDQAYVTLNIEEQEERDLARLTTLVGTDPDLSFSESSVGGYTVQGNAGVDPDTARLTLLSTGPPNNERLLVSYEQQQFTPAEDNVDAVSVTLVQTASRPPSGHDSAVVTLVHEARQERDLPRVVSLAGTSSHLRFHGDGGSALRYSVRAAEGLDPDRAVVHLAAPGPPQDEPLRVRSPSAFVPANDNEDTVFVRASVRAARRRSANAPRDTAEVLLLLAPTTERDLGRPASLAGTRADLRLAPVPPEQRLLRYRVDSNPGPGDADSARFTYLAAGPEPNEPLALALPDRAFAPAHENEDQVQVQVRLLRAGAGGPGEARVTLARWPPGAEFDLPRALYLRGSAADLAFTGEPDAGGVLTYTLAGVGAPEPAAVSGLLSGPRLGEAATVTLVVPVPAAPDREALDPPPEALRASPLVQVLLQLSASRPPSGQDHASLTLVYHPREARILPAATLLEGSHPHLSFLESGPGTLTYLITGNEGEDPDKAQLTTVLTGPPVRDPVQIPLGGGAAGSAQLFTPSNDNLDLVAVHVTGTTSRPPSGGDQVQVLLQYSSQQERDLTQLTTVEGTQPHIRFAKSDESLQQLSYTLRGNPGSDRDTAFVRGVSTGPPQNEAVALFTPDESLRPSHENQNLISVRVTATGTQQGLEAKSGSVAMVKLIYLEQAERDLAARVWLWGSQPELGFPSSAGEAALHYTIPGGDPAQAQVQLVQTGATTGSPLDVRYTPSNFRPALGRTGVDQVVVRLRFHSTRPPSGGDRAELELEHAPGGSPGLPRMLRVHGTTPDVTFAGGGGALLTFTVRSNVGSDPDLARLTYIPTGPATNPPLLVTDEPAPFAPSNDNVDDLLVRVRAVAFAPPVGSGARVELELVPGLLERDLPRLTQLLGSVADLSFLGRPDGGSLSLTVPALRGPPNHAQVIGVSTGPAVDEPVSFVYQLQEFIPPTPTGPVISIDVRASPEAQLGGGDEAGIELQVVSNARRALPWAVTLLGSQEDLTFLPPSNGALIHYSLRGHSGQDPDHARLRLVATGPPSQASISAAYERPYFQPPNDNEDSLFVKVYVMSKLGGGDVATVTFDFSSPGQDRDLTRTTCVDGSHADIRFPPSGSSGLGAGLSYSLLGFPGSDADTATVQLFCTGPQTGEPLSANYFTRQFQPENDNVDQLAVSVTASSALPPLGGDTAVVILQPQPELEYDLSAATTIIGSTPDISFWHTHAGPALSYTVQGNTGQDPDRATVRVVFSGPLAVEPLPEPRYLQRDFAPANDNVDTVHLVFAAEARLPPSGSDTATVRLNYADREERDLRRAVCVLYIVSDQTSLAFHGEGGELSVNVHAFDGDDPDSVSIRAVLQGPPAAESVQPSGDASLFIVTMSPQNDNADRVHVTVFASGLEPHAGGDRAQVVLEHVRRQEFDLPRELQLLGTHADISFPGDGESQRRNIEYTVAGNVGADADSAFVQLVPSGPALSESVVAHYRSQNFAPAHDNIDLLTVDLLAQARRPPSGGDYAELQLMYHSRNERDLEGTVVLEPVPTGDPGPRTELRFTEGAGDGSLAYGQTGLRGADADRAPELPYRTGFATHEPVQLAARAQPFAPAHDNIDAVRVTVEVTAGDPTVATFRYRDRQERDLVRATRVVGSHEDIALDTGVGSGEQASIFYMLPAATGAPLGASIDGILTGAPQEEDIQLDLSGAQGWAGPVGAGLLLLLLLLLPLLLHPRPSKMGPCSPVIGLEKQM
+>sp|O14917|PCD17_HUMAN Protocadherin-17 OS=Homo sapiens OX=9606 GN=PCDH17 PE=2 SV=2
+MYLSICCCFLLWAPALTLKNLNYSVPEEQGAGTVIGNIGRDARLQPGLPPAERGGGGRSKSGSYRVLENSAPHLLDVDADSGLLYTKQRIDRESLCRHNAKCQLSLEVFANDKEICMIKVEIQDINDNAPSFSSDQIEMDISENAAPGTRFPLTSAHDPDAGENGLRTYLLTRDDHGLFGLDVKSRGDGTKFPELVIQKALDREQQNHHTLVLTALDGGEPPRSATVQINVKVIDSNDNSPVFEAPSYLVELPENAPLGTVVIDLNATDADEGPNGEVLYSFSSYVPDRVRELFSIDPKTGLIRVKGNLDYEENGMLEIDVQARDLGPNPIPAHCKVTVKLIDRNDNAPSIGFVSVRQGALSEAAPPGTVIALVRVTDRDSGKNGQLQCRVLGGGGTGGGGGLGGPGGSVPFKLEENYDNFYTVVTDRPLDRETQDEYNVTIVARDGGSPPLNSTKSFAIKILDENDNPPRFTKGLYVLQVHENNIPGEYLGSVLAQDPDLGQNGTVSYSILPSHIGDVSIYTYVSVNPTNGAIYALRSFNFEQTKAFEFKVLAKDSGAPAHLESNATVRVTVLDVNDNAPVIVLPTLQNDTAELQVPRNAGLGYLVSTVRALDSDFGESGRLTYEIVDGNDDHLFEIDPSSGEIRTLHPFWEDVTPVVELVVKVTDHGKPTLSAVAKLIIRSVSGSLPEGVPRVNGEQHHWDMSLPLIVTLSTISIILLAAMITIAVKCKRENKEIRTYNCRIAEYSHPQLGGGKGKKKKINKNDIMLVQSEVEERNAMNVMNVVSSPSLATSPMYFDYQTRLPLSSPRSEVMYLKPASNNLTVPQGHAGCHTSFTGQGTNASETPATRMSIIQTDNFPAEPNYMGSRQQFVQSSSTFKDPERASLRDSGHGDSDQADSDQDTNKGSCCDMSVREALKMKTTSTKSQPLEQEPEECVNCTDECRVLGHSDRCWMPQFPAANQAENADYRTNLFVPTVEANVETETYETVNPTGKKTFCTFGKDKREHTILIANVKPYLKAKRALSPLLQEVPSASSSPTKACIEPCTSTKGSLDGCEAKPGALAEASSQYLPTDSQYLSPSKQPRDPPFMASDQMARVFADVHSRASRDSSEMGAVLEQLDHPNRDLGRESVDAEEVVREIDKLLQDCRGNDPVAVRK
+>DECOY_sp|O14917|PCD17_HUMAN Protocadherin-17 OS=Homo sapiens OX=9606 GN=PCDH17 PE=2 SV=2
+KRVAVPDNGRCDQLLKDIERVVEEADVSERGLDRNPHDLQELVAGMESSDRSARSHVDAFVRAMQDSAMFPPDRPQKSPSLYQSDTPLYQSSAEALAGPKAECGDLSGKTSTCPEICAKTPSSSASPVEQLLPSLARKAKLYPKVNAILITHERKDKGFTCFTKKGTPNVTEYTETEVNAEVTPVFLNTRYDANEAQNAAPFQPMWCRDSHGLVRCEDTCNVCEEPEQELPQSKTSTTKMKLAERVSMDCCSGKNTDQDSDAQDSDGHGSDRLSAREPDKFTSSSQVFQQRSGMYNPEAPFNDTQIISMRTAPTESANTGQGTFSTHCGAHGQPVTLNNSAPKLYMVESRPSSLPLRTQYDFYMPSTALSPSSVVNMVNMANREEVESQVLMIDNKNIKKKKGKGGGLQPHSYEAIRCNYTRIEKNERKCKVAITIMAALLIISITSLTVILPLSMDWHHQEGNVRPVGEPLSGSVSRIILKAVASLTPKGHDTVKVVLEVVPTVDEWFPHLTRIEGSSPDIEFLHDDNGDVIEYTLRGSEGFDSDLARVTSVLYGLGANRPVQLEATDNQLTPLVIVPANDNVDLVTVRVTANSELHAPAGSDKALVKFEFAKTQEFNFSRLAYIAGNTPNVSVYTYISVDGIHSPLISYSVTGNQGLDPDQALVSGLYEGPINNEHVQLVYLGKTFRPPNDNEDLIKIAFSKTSNLPPSGGDRAVITVNYEDQTERDLPRDTVVTYFNDYNEELKFPVSGGPGGLGGGGGTGGGGLVRCQLQGNKGSDRDTVRVLAIVTGPPAAESLAGQRVSVFGISPANDNRDILKVTVKCHAPIPNPGLDRAQVDIELMGNEEYDLNGKVRILGTKPDISFLERVRDPVYSSFSYLVEGNPGEDADTANLDIVVTGLPANEPLEVLYSPAEFVPSNDNSDIVKVNIQVTASRPPEGGDLATLVLTHHNQQERDLAKQIVLEPFKTGDGRSKVDLGFLGHDDRTLLYTRLGNEGADPDHASTLPFRTGPAANESIDMEIQDSSFSPANDNIDQIEVKIMCIEKDNAFVELSLQCKANHRCLSERDIRQKTYLLGSDADVDLLHPASNELVRYSGSKSRGGGGREAPPLGPQLRADRGINGIVTGAGQEEPVSYNLNKLTLAPAWLLFCCCISLYM
+>sp|Q8TAB3|PCD19_HUMAN Protocadherin-19 OS=Homo sapiens OX=9606 GN=PCDH19 PE=1 SV=3
+MESLLLPVLLLLAILWTQAAALINLKYSVEEEQRAGTVIANVAKDAREAGFALDPRQASAFRVVSNSAPHLVDINPSSGLLVTKQKIDRDLLCRQSPKCIISLEVMSSSMEICVIKVEIKDLNDNAPSFPAAQIELEISEAASPGTRIPLDSAYDPDSGSFGVQTYELTPNELFGLEIKTRGDGSRFAELVVEKSLDRETQSHYSFRITALDGGDPPRLGTVGLSIKVTDSNDNNPVFSESTYAVSVPENSPPNTPVIRLNASDPDEGTNGQVVYSFYGYVNDRTRELFQIDPHSGLVTVTGALDYEEGHVYELDVQAKDLGPNSIPAHCKVTVSVLDTNDNPPVINLLSVNSELVEVSESAPPGYVIALVRVSDRDSGLNGRVQCRLLGNVPFRLQEYESFSTILVDGRLDREQHDQYNLTIQARDGGVPMLQSAKSFTVLITDENDNHPHFSKPYYQVIVQENNTPGAYLLSVSARDPDLGLNGSVSYQIVPSQVRDMPVFTYVSINPNSGDIYALRSFNHEQTKAFEFKVLAKDGGLPSLQSNATVRVIILDVNDNTPVITAPPLINGTAEVYIPRNSGIGYLVTVVKAEDYDEGENGRVTYDMTEGDRGFFEIDQVNGEVRTTRTFGESSKSSYELIVVAHDHGKTSLSASALVLIYLSPALDAQESMGSVNLSLIFIIALGSIAGILFVTMIFVAIKCKRDNKEIRTYNCSNCLTITCLLGCFIKGQNSKCLHCISVSPISEEQDKKTEEKVSLRGKRIAEYSYGHQKKSSKKKKISKNDIRLVPRDVEETDKMNVVSCSSLTSSLNYFDYHQQTLPLGCRRSESTFLNVENQNTRNTSANHIYHHSFNSQGPQQPDLIINGVPLPETENYSFDSNYVNSRAHLIKSSSTFKDLEGNSLKDSGHEESDQTDSEHDVQRSLYCDTAVNDVLNTSVTSMGSQMPDHDQNEGFHCREECRILGHSDRCWMPRNPMPIRSKSPEHVRNIIALSIEATAADVEAYDDCGPTKRTFATFGKDVSDHPAEERPTLKGKRTVDVTICSPKVNSVIREAGNGCEAISPVTSPLHLKSSLPTKPSVSYTIALAPPARDLEQYVNNVNNGPTRPSEAEPRGADSEKVMHEVSPILKEGRNKESPGVKRLKDIVL
+>DECOY_sp|Q8TAB3|PCD19_HUMAN Protocadherin-19 OS=Homo sapiens OX=9606 GN=PCDH19 PE=1 SV=3
+LVIDKLRKVGPSEKNRGEKLIPSVEHMVKESDAGRPEAESPRTPGNNVNNVYQELDRAPPALAITYSVSPKTPLSSKLHLPSTVPSIAECGNGAERIVSNVKPSCITVDVTRKGKLTPREEAPHDSVDKGFTAFTRKTPGCDDYAEVDAATAEISLAIINRVHEPSKSRIPMPNRPMWCRDSHGLIRCEERCHFGENQDHDPMQSGMSTVSTNLVDNVATDCYLSRQVDHESDTQDSEEHGSDKLSNGELDKFTSSSKILHARSNVYNSDFSYNETEPLPVGNIILDPQQPGQSNFSHHYIHNASTNRTNQNEVNLFTSESRRCGLPLTQQHYDFYNLSSTLSSCSVVNMKDTEEVDRPVLRIDNKSIKKKKSSKKQHGYSYEAIRKGRLSVKEETKKDQEESIPSVSICHLCKSNQGKIFCGLLCTITLCNSCNYTRIEKNDRKCKIAVFIMTVFLIGAISGLAIIFILSLNVSGMSEQADLAPSLYILVLASASLSTKGHDHAVVILEYSSKSSEGFTRTTRVEGNVQDIEFFGRDGETMDYTVRGNEGEDYDEAKVVTVLYGIGSNRPIYVEATGNILPPATIVPTNDNVDLIIVRVTANSQLSPLGGDKALVKFEFAKTQEHNFSRLAYIDGSNPNISVYTFVPMDRVQSPVIQYSVSGNLGLDPDRASVSLLYAGPTNNEQVIVQYYPKSFHPHNDNEDTILVTFSKASQLMPVGGDRAQITLNYQDHQERDLRGDVLITSFSEYEQLRFPVNGLLRCQVRGNLGSDRDSVRVLAIVYGPPASESVEVLESNVSLLNIVPPNDNTDLVSVTVKCHAPISNPGLDKAQVDLEYVHGEEYDLAGTVTVLGSHPDIQFLERTRDNVYGYFSYVVQGNTGEDPDSANLRIVPTNPPSNEPVSVAYTSESFVPNNDNSDTVKISLGVTGLRPPDGGDLATIRFSYHSQTERDLSKEVVLEAFRSGDGRTKIELGFLENPTLEYTQVGFSGSDPDYASDLPIRTGPSAAESIELEIQAAPFSPANDNLDKIEVKIVCIEMSSSMVELSIICKPSQRCLLDRDIKQKTVLLGSSPNIDVLHPASNSVVRFASAQRPDLAFGAERADKAVNAIVTGARQEEEVSYKLNILAAAQTWLIALLLLVPLLLSEM
+>sp|Q9Y5I3|PCDA1_HUMAN Protocadherin alpha-1 OS=Homo sapiens OX=9606 GN=PCDHA1 PE=2 SV=1
+MVFSRRGGLGARDLLLWLLLLAAWEVGSGQLHYSIPEEAKHGTFVGRVAQDLGLELAELVPRLFRVASKTHRDLLEVNLQNGILFVNSRIDREELCQWSAECSIHLELIADRPLQVFHVEVKVKDINDNPPVFRGREQIIFIPESRLLNSRFPIEGAADADIGANALLTYTLSPSDYFSLDVEASDELSKSLWLELRKYLDREETPELHLLLTATDGGKPELQGTVELLITVLDVNDNAPLFDQAVYRVHLLETTANGTLVTTLNASDADEGVNGEVVFSFDSGISRDIQEKFKVDSSSGEIRLIDKLDYEETKSYEIQVKAVDKGSPPMSNHCKVLVKVLDVNDNAPELAVTSLYLPIREDAPLSTVIALITVSDRDSGANGQVTCSLMPHVPFKLVSTFKNYYSLVLDSALDRESLSVYELVVTARDGGSPSLWATARVSVEVADVNDNAPAFAQPEYTVFVKENNPPGCHIFTVSARDADAQENALVSYSLVERRVGERALSNYVSVHAESGKVYALQPLDHEELELLQFQVSARDAGVPPLGSNVTLQVFVLDENDNAPALLAPRVGGTIGAVSELVPRLVGAGHVVAKVRAVDADSGYNAWLSYELQPAAGGARIPFRVGLYTGEISTTRVLDEADLSRYRLLVLVKDHGEPALTATATVLVSLVESGQAPKASSRASVGVAGPEAALVDVNVYLIIAICAVSSLLVLTLLLYTALRCSVPPTEGAYVPGKPTLVCSSALGSWSNSQQRRQRVCSSEGPPKTDLMAFSPGLSPSLNTSERNEQPEANLDLSGNPRQPNPDWRYSASLRAGMHSSVHLEEAGILRAGPGGPDQQWPTVSSATPEPEAGEVSPPVGAGVNSNSWTFKYGPGNPKQSGPGELPDKFIIPGSPAIISIRQEPTNSQIDKSDFITFGKKEETKKKKKKKKGNKTQEKKEKGNSTTDNSDQ
+>DECOY_sp|Q9Y5I3|PCDA1_HUMAN Protocadherin alpha-1 OS=Homo sapiens OX=9606 GN=PCDHA1 PE=2 SV=1
+QDSNDTTSNGKEKKEQTKNGKKKKKKKKTEEKKGFTIFDSKDIQSNTPEQRISIIAPSGPIIFKDPLEGPGSQKPNGPGYKFTWSNSNVGAGVPPSVEGAEPEPTASSVTPWQQDPGGPGARLIGAEELHVSSHMGARLSASYRWDPNPQRPNGSLDLNAEPQENRESTNLSPSLGPSFAMLDTKPPGESSCVRQRRQQSNSWSGLASSCVLTPKGPVYAGETPPVSCRLATYLLLTLVLLSSVACIAIILYVNVDVLAAEPGAVGVSARSSAKPAQGSEVLSVLVTATATLAPEGHDKVLVLLRYRSLDAEDLVRTTSIEGTYLGVRFPIRAGGAAPQLEYSLWANYGSDADVARVKAVVHGAGVLRPVLESVAGITGGVRPALLAPANDNEDLVFVQLTVNSGLPPVGADRASVQFQLLELEEHDLPQLAYVKGSEAHVSVYNSLAREGVRREVLSYSVLANEQADADRASVTFIHCGPPNNEKVFVTYEPQAFAPANDNVDAVEVSVRATAWLSPSGGDRATVVLEYVSLSERDLASDLVLSYYNKFTSVLKFPVHPMLSCTVQGNAGSDRDSVTILAIVTSLPADERIPLYLSTVALEPANDNVDLVKVLVKCHNSMPPSGKDVAKVQIEYSKTEEYDLKDILRIEGSSSDVKFKEQIDRSIGSDFSFVVEGNVGEDADSANLTTVLTGNATTELLHVRYVAQDFLPANDNVDLVTILLEVTGQLEPKGGDTATLLLHLEPTEERDLYKRLELWLSKSLEDSAEVDLSFYDSPSLTYTLLANAGIDADAAGEIPFRSNLLRSEPIFIIQERGRFVPPNDNIDKVKVEVHFVQLPRDAILELHISCEASWQCLEERDIRSNVFLIGNQLNVELLDRHTKSAVRFLRPVLEALELGLDQAVRGVFTGHKAEEPISYHLQGSGVEWAALLLLWLLLDRAGLGGRRSFVM
+>sp|Q9UN74|PCDA4_HUMAN Protocadherin alpha-4 OS=Homo sapiens OX=9606 GN=PCDHA4 PE=1 SV=1
+MEFSWGSGQESRRLLLLLLLLAAWEAGNGQLHYSVSEEAKHGTFVGRIAQDLGLELAELVPRLFRVASKGRGGLLEVNLQNGILFVNSRIDREELCRRSAECSIHLEVIVDRPLQVFHVDVEVRDINDNPPVFPATQKNLSIAESRPLDSRFPLEGASDADIGENALLTYRLSPNEYFSLEKPPDDELVKGLGLILRKSLDREEAPEIFLVLTATDGGKPELTGTVQLLITVLDANDNAPAFDRTIYKVRLLENVPNGTLVIKLNASDLDEGLNGDIVYSFSNDISPNVKSKFHIDPITGQIIVKGYIDFEESKSYEIIVEGIDKGQLPLSGHCRVIVEVEDNNDNVPDLEFKSLSLPIREDAPLGTVIALISVSDKDMGVNGLVTCSLTSHVPFKLVSTFKNYYSLVLDSALDRESVSAYELVVTARDGGSPSLWATASVSVEVADVNDNAPAFAQPEYTVFVKENNPPGCHIFTVSAWDADAQENALVSYSLVERRVGERALSSYVSVHAESGKVYALQPLDHEELELLQFQVTARDAGVPPLGSNVTLQVFVLDENDNAPALLAPRAGGTGGAVSELVPWSVGVGHVVAKVRAVDADSGYNAWLSYELQPGTGGARIPFRVGLYTGEISTTRALDETDAPRHRLLVLVKDHGEPALTATATVLVSLVESGQAPKASSRALVGAVGPDAALVDVNVYLIIAICAVSSLLVLTLLLYTALRCSALPTEGACAPGKPTLVCSSAVGSWSYSQQRRPRVCSGEGPPKTDLMAFSPSLPDSRDREDQLQTTEESFAKPRQPNPDWRYSASLRAGMHSSVHLEEAGILRAGPGGPDQQWPTVSSATPEPEAGEVSPPVGAGVNSNSWTFKYGPGNPKQSGPGELPDKFIIPGSPAIISIRQEPTNSQIDKSDFITFGKKEETKKKKKKKKGNKTQEKKEKGNSTTDNSDQ
+>DECOY_sp|Q9UN74|PCDA4_HUMAN Protocadherin alpha-4 OS=Homo sapiens OX=9606 GN=PCDHA4 PE=1 SV=1
+QDSNDTTSNGKEKKEQTKNGKKKKKKKKTEEKKGFTIFDSKDIQSNTPEQRISIIAPSGPIIFKDPLEGPGSQKPNGPGYKFTWSNSNVGAGVPPSVEGAEPEPTASSVTPWQQDPGGPGARLIGAEELHVSSHMGARLSASYRWDPNPQRPKAFSEETTQLQDERDRSDPLSPSFAMLDTKPPGEGSCVRPRRQQSYSWSGVASSCVLTPKGPACAGETPLASCRLATYLLLTLVLLSSVACIAIILYVNVDVLAADPGVAGVLARSSAKPAQGSEVLSVLVTATATLAPEGHDKVLVLLRHRPADTEDLARTTSIEGTYLGVRFPIRAGGTGPQLEYSLWANYGSDADVARVKAVVHGVGVSWPVLESVAGGTGGARPALLAPANDNEDLVFVQLTVNSGLPPVGADRATVQFQLLELEEHDLPQLAYVKGSEAHVSVYSSLAREGVRREVLSYSVLANEQADADWASVTFIHCGPPNNEKVFVTYEPQAFAPANDNVDAVEVSVSATAWLSPSGGDRATVVLEYASVSERDLASDLVLSYYNKFTSVLKFPVHSTLSCTVLGNVGMDKDSVSILAIVTGLPADERIPLSLSKFELDPVNDNNDEVEVIVRCHGSLPLQGKDIGEVIIEYSKSEEFDIYGKVIIQGTIPDIHFKSKVNPSIDNSFSYVIDGNLGEDLDSANLKIVLTGNPVNELLRVKYITRDFAPANDNADLVTILLQVTGTLEPKGGDTATLVLFIEPAEERDLSKRLILGLGKVLEDDPPKELSFYENPSLRYTLLANEGIDADSAGELPFRSDLPRSEAISLNKQTAPFVPPNDNIDRVEVDVHFVQLPRDVIVELHISCEASRRCLEERDIRSNVFLIGNQLNVELLGGRGKSAVRFLRPVLEALELGLDQAIRGVFTGHKAEESVSYHLQGNGAEWAALLLLLLLLRRSEQGSGWSFEM
+>sp|Q9Y5I1|PCDAB_HUMAN Protocadherin alpha-11 OS=Homo sapiens OX=9606 GN=PCDHA11 PE=2 SV=1
+MFGFQRRGLGTPRLQLWLLLLEFWEVGSGQLHYSVSEEAKHGTFVGRIAQDLGLELAELVQRLFRVASKTHGDLLEVNLQNGILFVNSRIDREELCGQSAECSIHLEVIVDRPLQVFHVNVEVKDINDNPPVFSLREQKLLIAESKQSDSRFPLEGASDADIEENALLTYRLSKNEYFSLDSPTNGKQIKRLSLILKKSLDREKTPELNLLLTATDGGKPELTGTVRLLVQVLDVNDNDPEFDKSEYKVSLMENAAKETLVLKLNATDRDEGVNGEVTYSLMSIKPNGRHLFTLDQNNGEVRVNGTLDYEENKFYKIEVQATDKGTPPMAGHCTVWVEILDTNDNSPEVAVTSLSLPVREDAQPSTVIALISVSDRDSGVNGQVTCSLTPHVPFKLVSTFKNYYSLVLDSALDRENVWAYELVVTARDGGSPSLWATARVSVEVADVNDNAPAFAQPEYTVFVKENNPPGCHIFTVSARDADAQENALVSYSLVERRLGDRALSSYVSVHAESGKVYALQPLDHEELELLQFQVSARDAGVPPLSSNVTLQVFVLDENDNAPALLATQAGSAGGAVNKLVPRSVGAGHVVAKVRAVDADSGYNAWLSYELQPAAGGSRIPFRVGLYTGEISTTRALDEADSPRHRLLVLVKDHGEPALTATATVLVSLVESGQAPKASSRTLAGAASPEAALVDVNVYLIIAICVVSSLLVLTLLLYTALWWSATPTEGACAPGKPTLVCSRAVGSWSYSQQRRQRVCSEEGPPKTDLMAFSPSLPLGLNKEEEGERQEPGSNHPGQPRQPNPDWRYSASLRAGMHSSVHLEEAGILRAGPGGPDQQWPTVSSATPEPEAGEVSPPVGAGVNSNSWTFKYGPGNPKQSGPGELPDKFIIPGSPAIISIRQEPTNSQIDKSDFITFGKKEETKKKKKKKKGNKTQEKKEKGNSTTDNSDQ
+>DECOY_sp|Q9Y5I1|PCDAB_HUMAN Protocadherin alpha-11 OS=Homo sapiens OX=9606 GN=PCDHA11 PE=2 SV=1
+QDSNDTTSNGKEKKEQTKNGKKKKKKKKTEEKKGFTIFDSKDIQSNTPEQRISIIAPSGPIIFKDPLEGPGSQKPNGPGYKFTWSNSNVGAGVPPSVEGAEPEPTASSVTPWQQDPGGPGARLIGAEELHVSSHMGARLSASYRWDPNPQRPQGPHNSGPEQREGEEEKNLGLPLSPSFAMLDTKPPGEESCVRQRRQQSYSWSGVARSCVLTPKGPACAGETPTASWWLATYLLLTLVLLSSVVCIAIILYVNVDVLAAEPSAAGALTRSSAKPAQGSEVLSVLVTATATLAPEGHDKVLVLLRHRPSDAEDLARTTSIEGTYLGVRFPIRSGGAAPQLEYSLWANYGSDADVARVKAVVHGAGVSRPVLKNVAGGASGAQTALLAPANDNEDLVFVQLTVNSSLPPVGADRASVQFQLLELEEHDLPQLAYVKGSEAHVSVYSSLARDGLRREVLSYSVLANEQADADRASVTFIHCGPPNNEKVFVTYEPQAFAPANDNVDAVEVSVRATAWLSPSGGDRATVVLEYAWVNERDLASDLVLSYYNKFTSVLKFPVHPTLSCTVQGNVGSDRDSVSILAIVTSPQADERVPLSLSTVAVEPSNDNTDLIEVWVTCHGAMPPTGKDTAQVEIKYFKNEEYDLTGNVRVEGNNQDLTFLHRGNPKISMLSYTVEGNVGEDRDTANLKLVLTEKAANEMLSVKYESKDFEPDNDNVDLVQVLLRVTGTLEPKGGDTATLLLNLEPTKERDLSKKLILSLRKIQKGNTPSDLSFYENKSLRYTLLANEEIDADSAGELPFRSDSQKSEAILLKQERLSFVPPNDNIDKVEVNVHFVQLPRDVIVELHISCEASQGCLEERDIRSNVFLIGNQLNVELLDGHTKSAVRFLRQVLEALELGLDQAIRGVFTGHKAEESVSYHLQGSGVEWFELLLLWLQLRPTGLGRRQFGFM
+>sp|Q9Y5I0|PCDAD_HUMAN Protocadherin alpha-13 OS=Homo sapiens OX=9606 GN=PCDHA13 PE=2 SV=1
+MLSSWQGGPRPRQLLLWLLILAAWETGSGQLHYSVPEEAKHGTFVGRIAQDLGLELAELVPRLFRVASKRHGDLLEVNLQNGILFVNSRIDREELCGRSAECSIHLEVIVDRPLQVFHVEVKVRDINDNPPIFPESKKRIIIAESRPPETRFPLDGASDADIGVNSALTYRLDPNDYFTLDAQNSLEQMSSLSLVLRKTLDREEIQEHSLLLTASDGGKPELTGTVQLLITILDVNDNAPEFYQSVYKVTVLENAFNGTLVIKLNATDPDDGTNGDIVYSFRRPVWPAVVYAFTINPNNGEIRTKGKLDFEEKKLYEISVEAVDKGNIPMAGHCTLLVEVLDVNDNAPEVTITSLSLPIREDTQPSAIIALISVSDRDSGSNGQVTCTLTPHVPFKLVSTYKNYYSLVLDSALDRESVSAYELVVTARDGGSPSLWATASVSVGVADVNDNAPAFAQPEYTVFVKENNPPGCHIFTVSAQDADAQENALVSYSLVERRVGERALSSYVSVHAESGKVYALQPLDHEELELLQFQVSARDSGVPPLGSNVTLQVFVLDENDNAPALLTPGAGSAGGTVSELMPRSVGAGHVVAKVRAVDADSGYNAWLSYELQLAAVGARIPFRVGLYTGEISTTRPLDEVDAPHHRLLVLVKDHGEPALTATATVLLSLVESGQAPQASSRASAGAVGPEAALVDVNVYLIIAICAVSSLLVLTLLLYTALRCSAPPTEGACAPGKPTLVCSSAAGSWSYSQQRRPRVCSGEGPHKTDLMAFSPSLPPCLGSAEGTGQREEDSECLKEPRQPNPDWRYSASLRAGMHSSVHLEEAGILRAGPGGPDQQWPTVSSATPEPEAGEVSPPVGAGVNSNSWTFKYGPGNPKQSGPGELPDKFIIPGSPAIISIRQEPTNSQIDKSDFITFGKKEETKKKKKKKKGNKTQEKKEKGNSTTDNSDQ
+>DECOY_sp|Q9Y5I0|PCDAD_HUMAN Protocadherin alpha-13 OS=Homo sapiens OX=9606 GN=PCDHA13 PE=2 SV=1
+QDSNDTTSNGKEKKEQTKNGKKKKKKKKTEEKKGFTIFDSKDIQSNTPEQRISIIAPSGPIIFKDPLEGPGSQKPNGPGYKFTWSNSNVGAGVPPSVEGAEPEPTASSVTPWQQDPGGPGARLIGAEELHVSSHMGARLSASYRWDPNPQRPEKLCESDEERQGTGEASGLCPPLSPSFAMLDTKHPGEGSCVRPRRQQSYSWSGAASSCVLTPKGPACAGETPPASCRLATYLLLTLVLLSSVACIAIILYVNVDVLAAEPGVAGASARSSAQPAQGSEVLSLLVTATATLAPEGHDKVLVLLRHHPADVEDLPRTTSIEGTYLGVRFPIRAGVAALQLEYSLWANYGSDADVARVKAVVHGAGVSRPMLESVTGGASGAGPTLLAPANDNEDLVFVQLTVNSGLPPVGSDRASVQFQLLELEEHDLPQLAYVKGSEAHVSVYSSLAREGVRREVLSYSVLANEQADADQASVTFIHCGPPNNEKVFVTYEPQAFAPANDNVDAVGVSVSATAWLSPSGGDRATVVLEYASVSERDLASDLVLSYYNKYTSVLKFPVHPTLTCTVQGNSGSDRDSVSILAIIASPQTDERIPLSLSTITVEPANDNVDLVEVLLTCHGAMPINGKDVAEVSIEYLKKEEFDLKGKTRIEGNNPNITFAYVVAPWVPRRFSYVIDGNTGDDPDTANLKIVLTGNFANELVTVKYVSQYFEPANDNVDLITILLQVTGTLEPKGGDSATLLLSHEQIEERDLTKRLVLSLSSMQELSNQADLTFYDNPDLRYTLASNVGIDADSAGDLPFRTEPPRSEAIIIRKKSEPFIPPNDNIDRVKVEVHFVQLPRDVIVELHISCEASRGCLEERDIRSNVFLIGNQLNVELLDGHRKSAVRFLRPVLEALELGLDQAIRGVFTGHKAEEPVSYHLQGSGTEWAALILLWLLLQRPRPGGQWSSLM
+>sp|Q9Y5E3|PCDB6_HUMAN Protocadherin beta-6 OS=Homo sapiens OX=9606 GN=PCDHB6 PE=2 SV=1
+MMQTKVQNKKRQVAFFILLMLWGEVGSESIQYSVLEETESGTFVANLTKDLGLRVGELASRGARVVFKGNRQHLQFDPQTHDLLLNEKLDREELCGSTEPCVLPFQVLLENPLQFFQASLRVRDINDHAPEFPAREMLLKISEITMPGKIFPLKMAHDLDTGSNGLQRYTISSNPHFHVLTRNRSEGRKFPELVLDKPLDREEQPQLRLTLIALDGGSPPRSGTSEIQIQVLDINDNVPEFAQELYEAQVPENNPLGSLVITVSARDLDAGSFGKVSYALFQVDDVNQPFEINAITGEIRLRKALDFEEIQSYDVDVEATDGGGLSGKCSLVVRVLDVNDNAPELTMSFFISLIPENLPEITVAVFSVSDADSGHNQQVICSIENNLPFLLRPSVENFYTLVTEGALDRESRAEYNITITVTDLGTPRLKTQQSITVQVSDVNDNVPAFTQTSYTLFVRENNSPALHIGSVSATDRDSGINAQVTYSLLPPQDPHLPLSSLVSINADNGHLFALRSLDYEALQSFEFRVGATDRGSPALSSEALVRLLVLDANDNSPFVLYPLQNGSAPCTELVPRAAEPGYLVTKVVAVDGDSGQNAWLSYQLLKATELGLFGVWAHNGEVRTARLLSERDAAKHRLVVLVKDNGEPPRSATATLHVLLVDGFSQPYLPLPEAAPAQAQADSLTVYLVVALASVSSLFLFSVLLFVAVRLCRRSRAASVGRYSVPEGPFPGHLVDVSGTGTLSQSYQYKVCLTGGSETNEFKFLKPIMPNFPPQGTEREMEETPTSRNSFPFS
+>DECOY_sp|Q9Y5E3|PCDB6_HUMAN Protocadherin beta-6 OS=Homo sapiens OX=9606 GN=PCDHB6 PE=2 SV=1
+SFPFSNRSTPTEEMERETGQPPFNPMIPKLFKFENTESGGTLCVKYQYSQSLTGTGSVDVLHGPFPGEPVSYRGVSAARSRRCLRVAVFLLVSFLFLSSVSALAVVLYVTLSDAQAQAPAAEPLPLYPQSFGDVLLVHLTATASRPPEGNDKVLVVLRHKAADRESLLRATRVEGNHAWVGFLGLETAKLLQYSLWANQGSDGDVAVVKTVLYGPEAARPVLETCPASGNQLPYLVFPSNDNADLVLLRVLAESSLAPSGRDTAGVRFEFSQLAEYDLSRLAFLHGNDANISVLSSLPLHPDQPPLLSYTVQANIGSDRDTASVSGIHLAPSNNERVFLTYSTQTFAPVNDNVDSVQVTISQQTKLRPTGLDTVTITINYEARSERDLAGETVLTYFNEVSPRLLFPLNNEISCIVQQNHGSDADSVSFVAVTIEPLNEPILSIFFSMTLEPANDNVDLVRVVLSCKGSLGGGDTAEVDVDYSQIEEFDLAKRLRIEGTIANIEFPQNVDDVQFLAYSVKGFSGADLDRASVTIVLSGLPNNEPVQAEYLEQAFEPVNDNIDLVQIQIESTGSRPPSGGDLAILTLRLQPQEERDLPKDLVLEPFKRGESRNRTLVHFHPNSSITYRQLGNSGTDLDHAMKLPFIKGPMTIESIKLLMERAPFEPAHDNIDRVRLSAQFFQLPNELLVQFPLVCPETSGCLEERDLKENLLLDHTQPDFQLHQRNGKFVVRAGRSALEGVRLGLDKTLNAVFTGSETEELVSYQISESGVEGWLMLLIFFAVQRKKNQVKTQMM
+>sp|Q9Y5E1|PCDB9_HUMAN Protocadherin beta-9 OS=Homo sapiens OX=9606 GN=PCDHB9 PE=2 SV=2
+MKTRGFSFPRQRQVLFLFLFWGVSLAGSGFGRYSVTEETEKGSFVVNLAKDLGLAEGELAARGTRVVSDDNKQYLLLDSHTGNLLTNEKLDREKLCGPKEPCMLYFQILMDDPFQIYRAELRVRDINDHSPVFRHKEMVLKISENTAEGTAFRLERAQDPDEGHNSIQNYTISSNSFFHIKISGSDEGMIYPELVLDKALDREEQEELSLTLTALDGGSPSRSGTSTIRIVVLDVNDNAPQFAQALYETQAPENSPVGSLIVKVSAGDADSGVNAEVSYSFFDASEDILTTFQINPFSGEIFLRELLDYELVNSYKINIQAMDGGGLSARCTVLIKVLDSNDNPPELIISSLSNSVAENSPGIVLAVFKIKDRDSGENGKTICYVQDNLPFFLKPSVDNFYILMTEGALDRESKAEYNITITVTDLGTPRLKTEHSITLQVSDVNDNAPAFTQTSYTLFVRENNSPALHIGSVSATDRDSGTNAQVTYSLLPPQDPHLPLASLVSINADNGHLFALRSLDYEALQAFDFRVGASDRGSPALSSEALVRVLVLDANDNSPFVLYPLQNGSAPCTELVPRAAEPGYLVTKVVAVDGDSGQNAWLSYQLLKATEPGLFGVWAHNGEVRTARLLSERDAAKHRLVVLVKDNGEPPRSATATLHVLLVDGFSQPYLPLPEAAPAQAQADLLTVYLVVALASVSSLFLLSVLLFVAVRLCRRSRAASVGRCSVPEGPFPGHLVDVSGTGTLFQSYQYEVCLTGGSETGEFKFLKPITPHLPPHRGGKEIEENSTLPNSFGFNY
+>DECOY_sp|Q9Y5E1|PCDB9_HUMAN Protocadherin beta-9 OS=Homo sapiens OX=9606 GN=PCDHB9 PE=2 SV=2
+YNFGFSNPLTSNEEIEKGGRHPPLHPTIPKLFKFEGTESGGTLCVEYQYSQFLTGTGSVDVLHGPFPGEPVSCRGVSAARSRRCLRVAVFLLVSLLFLSSVSALAVVLYVTLLDAQAQAPAAEPLPLYPQSFGDVLLVHLTATASRPPEGNDKVLVVLRHKAADRESLLRATRVEGNHAWVGFLGPETAKLLQYSLWANQGSDGDVAVVKTVLYGPEAARPVLETCPASGNQLPYLVFPSNDNADLVLVRVLAESSLAPSGRDSAGVRFDFAQLAEYDLSRLAFLHGNDANISVLSALPLHPDQPPLLSYTVQANTGSDRDTASVSGIHLAPSNNERVFLTYSTQTFAPANDNVDSVQLTISHETKLRPTGLDTVTITINYEAKSERDLAGETMLIYFNDVSPKLFFPLNDQVYCITKGNEGSDRDKIKFVALVIGPSNEAVSNSLSSIILEPPNDNSDLVKILVTCRASLGGGDMAQINIKYSNVLEYDLLERLFIEGSFPNIQFTTLIDESADFFSYSVEANVGSDADGASVKVILSGVPSNEPAQTEYLAQAFQPANDNVDLVVIRITSTGSRSPSGGDLATLTLSLEEQEERDLAKDLVLEPYIMGEDSGSIKIHFFSNSSITYNQISNHGEDPDQARELRFATGEATNESIKLVMEKHRFVPSHDNIDRVRLEARYIQFPDDMLIQFYLMCPEKPGCLKERDLKENTLLNGTHSDLLLYQKNDDSVVRTGRAALEGEALGLDKALNVVFSGKETEETVSYRGFGSGALSVGWFLFLFLVQRQRPFSFGRTKM
+>sp|Q9Y5F0|PCDBD_HUMAN Protocadherin beta-13 OS=Homo sapiens OX=9606 GN=PCDHB13 PE=2 SV=1
+MEASGKLICRQRQVLFSFLLLGLSLAGAAEPRSYSVVEETEGSSFVTNLAKDLGLEQREFSRRGVRVVSRGNKLHLQLNQETADLLLNEKLDREDLCGHTEPCVLRFQVLLESPFEFFQAELQVIDINDHSPVFLDKQMLVKVSESSPPGTTFPLKNAEDLDVGQNNIENYIISPNSYFRVLTRKRSDGRKYPELVLDKALDREEEAELRLTLTALDGGSPPRSGTAQVYIEVLDVNDNAPEFEQPFYRVQISEDSPVGFLVVKVSATDVDTGVNGEISYSLFQASEEIGKTFKINPLTGEIELKKQLDFEKLQSYEVNIEARDAGTFSGKCTVLIQVIDVNDHAPEVTMSAFTSPIPENAPETVVALFSVSDLDSGENGKISCSIQEDLPFLLKSAENFYTLLTERPLDRESRAEYNITITVTDLGTPMLITQLNMTVLIADVNDNAPAFTQTSYTLFVRENNSPALHIRSVSATDRDSGTNAQVTYSLLPPQDPHLPLTSLVSINADNGHLFALRSLDYEALQGFQFRVGASDHGSPALSSEALVRVVVLDANDNSPFVLYPLQNGSAPCTELVPRAAEPGYLVTKVVAVDGDSGQNAWLSYQLLKATELGLFGVWAHNGEVRTARLLSERDAAKHRLVVLVKDNGEPPRSATATLHVLLVDGFSQPYLPLPEAAPTQAQADLLTVYLVVALASVSSLFLFSVLLFVAVRLCRRSRAASVGRCLVPEGPLPGHLVDMSGTRTLSQSYQYEVCLAGGSGTNEFKFLKPIIPNFPPQCPGKEIQGNSTFPNNFGFNIQ
+>DECOY_sp|Q9Y5F0|PCDBD_HUMAN Protocadherin beta-13 OS=Homo sapiens OX=9606 GN=PCDHB13 PE=2 SV=1
+QINFGFNNPFTSNGQIEKGPCQPPFNPIIPKLFKFENTGSGGALCVEYQYSQSLTRTGSMDVLHGPLPGEPVLCRGVSAARSRRCLRVAVFLLVSFLFLSSVSALAVVLYVTLLDAQAQTPAAEPLPLYPQSFGDVLLVHLTATASRPPEGNDKVLVVLRHKAADRESLLRATRVEGNHAWVGFLGLETAKLLQYSLWANQGSDGDVAVVKTVLYGPEAARPVLETCPASGNQLPYLVFPSNDNADLVVVRVLAESSLAPSGHDSAGVRFQFGQLAEYDLSRLAFLHGNDANISVLSTLPLHPDQPPLLSYTVQANTGSDRDTASVSRIHLAPSNNERVFLTYSTQTFAPANDNVDAILVTMNLQTILMPTGLDTVTITINYEARSERDLPRETLLTYFNEASKLLFPLDEQISCSIKGNEGSDLDSVSFLAVVTEPANEPIPSTFASMTVEPAHDNVDIVQILVTCKGSFTGADRAEINVEYSQLKEFDLQKKLEIEGTLPNIKFTKGIEESAQFLSYSIEGNVGTDVDTASVKVVLFGVPSDESIQVRYFPQEFEPANDNVDLVEIYVQATGSRPPSGGDLATLTLRLEAEEERDLAKDLVLEPYKRGDSRKRTLVRFYSNPSIIYNEINNQGVDLDEANKLPFTTGPPSSESVKVLMQKDLFVPSHDNIDIVQLEAQFFEFPSELLVQFRLVCPETHGCLDERDLKENLLLDATEQNLQLHLKNGRSVVRVGRRSFERQELGLDKALNTVFSSGETEEVVSYSRPEAAGALSLGLLLFSFLVQRQRCILKGSAEM
+>sp|Q9NRJ7|PCDBG_HUMAN Protocadherin beta-16 OS=Homo sapiens OX=9606 GN=PCDHB16 PE=1 SV=3
+MEIGWMHNRRQRQVLVFFVLLSLSGAGAELGSYSVVEETERGSFVANLGKDLGLGLTEMSTRKARIISQGNKQHLQLKAQTGDLLINEKLDREELCGPTEPCILHFQVLMENPLEIFQAELRVIDINDHSPMFTEKEMILKIPENSPLGTEFPLNHALDLDVGSNNVQNYKISPSSHFRVLIHEFRDGRKYPELVLDKELDREEEPQLRLTLTALDGGSPPRSGTAQVRIEVVDINDNAPEFEQPIYKVQIPENSPLGSLVATVSARDLDGGANGKISYTLFQPSEDISKTLEVNPMTGEVRLRKQVDFEMVTSYEVRIKATDGGGLSGKCTLLLQVVDVNDNPPQVTMSALTSPIPENSPEIVVAVFSVSDPDSGNNGKTISSIQEDLPFLLKPSVKNFYTLVTERALDREARAEYNITLTVTDMGTPRLKTEHNITVQISDVNDNAPTFTQTSYTLFVRENNSPALHIGSVSATDRDSGTNAQVTYSLLPPQDPHLPLASLVSINADNGHLFALRSLDYEALREFEFRVSATDRGSPALSSEALVRVLVLDANDNSPFVLYPLQNGSAPCTELVPRAAEPGYLVTKVVAVDGDSGQNAWLSYQLLKATEPGLFGVWAHNGEVRTARLLSERDAAKQRLVVLVKDNGEPPRSATATLHVLLVDGFSQPFLPLPEAAPGQTQANSLTVYLVVALASVSSLFLFSVLLFVAVRLCRRSRAASVGRCSMPEGPFPGRLVDVSGTGTLSQSYQYEVCLTGGSETSEFKFLKPIIPNFSP
+>DECOY_sp|Q9NRJ7|PCDBG_HUMAN Protocadherin beta-16 OS=Homo sapiens OX=9606 GN=PCDHB16 PE=1 SV=3
+PSFNPIIPKLFKFESTESGGTLCVEYQYSQSLTGTGSVDVLRGPFPGEPMSCRGVSAARSRRCLRVAVFLLVSFLFLSSVSALAVVLYVTLSNAQTQGPAAEPLPLFPQSFGDVLLVHLTATASRPPEGNDKVLVVLRQKAADRESLLRATRVEGNHAWVGFLGPETAKLLQYSLWANQGSDGDVAVVKTVLYGPEAARPVLETCPASGNQLPYLVFPSNDNADLVLVRVLAESSLAPSGRDTASVRFEFERLAEYDLSRLAFLHGNDANISVLSALPLHPDQPPLLSYTVQANTGSDRDTASVSGIHLAPSNNERVFLTYSTQTFTPANDNVDSIQVTINHETKLRPTGMDTVTLTINYEARAERDLARETVLTYFNKVSPKLLFPLDEQISSITKGNNGSDPDSVSFVAVVIEPSNEPIPSTLASMTVQPPNDNVDVVQLLLTCKGSLGGGDTAKIRVEYSTVMEFDVQKRLRVEGTMPNVELTKSIDESPQFLTYSIKGNAGGDLDRASVTAVLSGLPSNEPIQVKYIPQEFEPANDNIDVVEIRVQATGSRPPSGGDLATLTLRLQPEEERDLEKDLVLEPYKRGDRFEHILVRFHSSPSIKYNQVNNSGVDLDLAHNLPFETGLPSNEPIKLIMEKETFMPSHDNIDIVRLEAQFIELPNEMLVQFHLICPETPGCLEERDLKENILLDGTQAKLQLHQKNGQSIIRAKRTSMETLGLGLDKGLNAVFSGRETEEVVSYSGLEAGAGSLSLLVFFVLVQRQRRNHMWGIEM
+>sp|Q9Y5H1|PCDG2_HUMAN Protocadherin gamma-A2 OS=Homo sapiens OX=9606 GN=PCDHGA2 PE=2 SV=1
+MAALQKLPHCRKLVLLCFLLATLWEARAGQIRYSVREEIDRGSFVGNIAKDLGLEPLALAEQGVRIVSRGRSQLFALNPRSGSLVTANRIDREELCAQSAPCLLNFNILLEDKLTIYSVEVEITDINDNAPRFGVEELELKISETTTPGFRIPLKNAHDADVGENALQKYALNPNDHFSLDVRRGADGNKYPELVLERSLDREEEAVHHLVLVASDGGDPVLSGTSRICVKVLDANDNAPVFTQPEYRISIPENTLVGTRILTVTATDADEGYYAQVVYFLEKSPGETSEVFELKSTSGELTIIKDLDYEDATFHEIDIEAQDGPGLLTRAKVIVTVLDVNDNAPEFYMTSATSSVSEDSLPGTIIGLFNVHDRDSGQNAFTTCSLPEDLPFKLEKSVDNYYRLVTTRALDREQFSFYNITLTAKDGGNPSLSTDAHILLQVADINDNAPAFSRTSYSTYIPENNPRGASVFSVTAHDPDSNDNAHVTYSFAEDTVQGAPLSSYISINSDTGVLYALRSFDYEQLRDLQVWVIARDSGNPPLSSNVSLSLFVLDQNDNAPEILYPAFPTDGSTGVELAPRSAEPGYLVTKVVAVDRDSGQNAWLSYHLLKASEPGLFSVGLHTGEVRTARALLDRDALKQSLVVAIQDHGQPPLSATVTLTVAVADRIPDILADLGSLEPSAIPNDSDLTLYLVVAVAAVSCVFLAFVIVLLAHRLRRWHKSRLLQASGGSLTGMQSSHFVGVDGVRAFLQTYSHEVSLTADSRKSHLIFPQPNYADTLISQESCEKKDFLSAPQSLLEEEREETFSQQAPPNTDWRFSQAQRPGTSGSQNGDDTGTWPNNQFDTEMLQAMILASASEAADGSSTLGGGAGTMGLSARYGPQFTLQHVPDYRQNVYIPGSNATLTNAAGKRDGKAPAGGNGNKKKSGKKEKK
+>DECOY_sp|Q9Y5H1|PCDG2_HUMAN Protocadherin gamma-A2 OS=Homo sapiens OX=9606 GN=PCDHGA2 PE=2 SV=1
+KKEKKGSKKKNGNGGAPAKGDRKGAANTLTANSGPIYVNQRYDPVHQLTFQPGYRASLGMTGAGGGLTSSGDAAESASALIMAQLMETDFQNNPWTGTDDGNQSGSTGPRQAQSFRWDTNPPAQQSFTEEREEELLSQPASLFDKKECSEQSILTDAYNPQPFILHSKRSDATLSVEHSYTQLFARVGDVGVFHSSQMGTLSGGSAQLLRSKHWRRLRHALLVIVFALFVCSVAAVAVVLYLTLDSDNPIASPELSGLDALIDPIRDAVAVTLTVTASLPPQGHDQIAVVLSQKLADRDLLARATRVEGTHLGVSFLGPESAKLLHYSLWANQGSDRDVAVVKTVLYGPEASRPALEVGTSGDTPFAPYLIEPANDNQDLVFLSLSVNSSLPPNGSDRAIVWVQLDRLQEYDFSRLAYLVGTDSNISIYSSLPAGQVTDEAFSYTVHANDNSDPDHATVSFVSAGRPNNEPIYTSYSTRSFAPANDNIDAVQLLIHADTSLSPNGGDKATLTINYFSFQERDLARTTVLRYYNDVSKELKFPLDEPLSCTTFANQGSDRDHVNFLGIITGPLSDESVSSTASTMYFEPANDNVDLVTVIVKARTLLGPGDQAEIDIEHFTADEYDLDKIITLEGSTSKLEFVESTEGPSKELFYVVQAYYGEDADTATVTLIRTGVLTNEPISIRYEPQTFVPANDNADLVKVCIRSTGSLVPDGGDSAVLVLHHVAEEERDLSRELVLEPYKNGDAGRRVDLSFHDNPNLAYKQLANEGVDADHANKLPIRFGPTTTESIKLELEEVGFRPANDNIDTIEVEVSYITLKDELLINFNLLCPASQACLEERDIRNATVLSGSRPNLAFLQSRGRSVIRVGQEALALPELGLDKAINGVFSGRDIEERVSYRIQGARAEWLTALLFCLLVLKRCHPLKQLAAM
+>sp|Q9Y5G7|PCDG6_HUMAN Protocadherin gamma-A6 OS=Homo sapiens OX=9606 GN=PCDHGA6 PE=2 SV=1
+MAPPQRHPQRSEQVLLLTLLGTLWGAAAAQIRYSIPEELEKGSFVGNIVKDLGLEPQELAEHGVRIVSRGRMQLFSLNPRNGSLVTAGRIDREELCAQSPRCLVSFNILVEDKLNLYPVEVEIVDINDNTPRFLKEELEVKILENAAPSSRFPLMEVYDPDVGMNSLQGFKLSGNSHFSVDVQSEAHGPKYPELVLEGTLDREGEAVYRLVLTAMDGGDPVRSSVAQILVTVLDVNDNTPMFTQPVYRVSVPENLPVGTPVLAVTATDQDEGVHGEVTYSFVKITEKISQIFCLNVLTGEISTSANLDYEDSSFYELGVEARDGPGLRDRAKVLITILDVNDNVPEVVVTSGSRTIAESAPPGTVIALFQVFDRDSGLNGLVTCSIPRSLPFELEKSVGNYYRLVTNAALDREEVFLYNITVTATDKGTPPLSTETIISLNVADTNDNPPTFPHSSYSVYVLENNPRGASIFSVNALDPDVDQNAQVSYSLAEDTLQGAPLSSYVSINSDTGILYALRSFDYEQLRDLQLWVTASDSGDPPLSSNVSLSLFVLDQNDNAPEILYPALPTDGSTGVELAPRSAEPGYLVTKVVAVDRDSGQNAWLSYRLLKASEPGLFSVGLHTGEVRTARALLDRDALKQSLVVAVQDHGQPPLSATVTLTVAVADRIPDILADLGSLEPSAKPNDSDLTLYLVVAVAAVSCVFLAFVIVLLALRLQRWHKSRLLQASGGGLASMPGSHFVGVEGVRAFLQTYSHEVSLTADSRKSHLIFPQPNYADTLINQESYEKSEPLLITQDLLETKGEPRQLQQAPPNTDWRFSQAQRPGTSGSQNGDDTGTWPNNQFDTEMLQAMILASASEAADGSSTLGGGAGTMGLSARYGPQFTLQHVPDYRQNVYIPGSNATLTNAAGKRDGKAPAGGNGNKKKSGKKEKK
+>DECOY_sp|Q9Y5G7|PCDG6_HUMAN Protocadherin gamma-A6 OS=Homo sapiens OX=9606 GN=PCDHGA6 PE=2 SV=1
+KKEKKGSKKKNGNGGAPAKGDRKGAANTLTANSGPIYVNQRYDPVHQLTFQPGYRASLGMTGAGGGLTSSGDAAESASALIMAQLMETDFQNNPWTGTDDGNQSGSTGPRQAQSFRWDTNPPAQQLQRPEGKTELLDQTILLPESKEYSEQNILTDAYNPQPFILHSKRSDATLSVEHSYTQLFARVGEVGVFHSGPMSALGGGSAQLLRSKHWRQLRLALLVIVFALFVCSVAAVAVVLYLTLDSDNPKASPELSGLDALIDPIRDAVAVTLTVTASLPPQGHDQVAVVLSQKLADRDLLARATRVEGTHLGVSFLGPESAKLLRYSLWANQGSDRDVAVVKTVLYGPEASRPALEVGTSGDTPLAPYLIEPANDNQDLVFLSLSVNSSLPPDGSDSATVWLQLDRLQEYDFSRLAYLIGTDSNISVYSSLPAGQLTDEALSYSVQANQDVDPDLANVSFISAGRPNNELVYVSYSSHPFTPPNDNTDAVNLSIITETSLPPTGKDTATVTINYLFVEERDLAANTVLRYYNGVSKELEFPLSRPISCTVLGNLGSDRDFVQFLAIVTGPPASEAITRSGSTVVVEPVNDNVDLITILVKARDRLGPGDRAEVGLEYFSSDEYDLNASTSIEGTLVNLCFIQSIKETIKVFSYTVEGHVGEDQDTATVALVPTGVPLNEPVSVRYVPQTFMPTNDNVDLVTVLIQAVSSRVPDGGDMATLVLRYVAEGERDLTGELVLEPYKPGHAESQVDVSFHSNGSLKFGQLSNMGVDPDYVEMLPFRSSPAANELIKVELEEKLFRPTNDNIDVIEVEVPYLNLKDEVLINFSVLCRPSQACLEERDIRGATVLSGNRPNLSFLQMRGRSVIRVGHEALEQPELGLDKVINGVFSGKELEEPISYRIQAAAAGWLTGLLTLLLVQESRQPHRQPPAM
+>sp|Q9Y5G5|PCDG8_HUMAN Protocadherin gamma-A8 OS=Homo sapiens OX=9606 GN=PCDHGA8 PE=2 SV=1
+MAAPQSRPRRGELILLCALLGTLWEIGRGQIRYSVPEETDKGSFVGNISKDLGLDPRKLAKHGVRIVSRGRTQLFALNPRSGSLITAGRIDREELCAQSPRCLININTLVEDKGKLFGVEIEIIDINDNNPKFQVEDLEVKINEIAVPGARYPLPEAVDPDVGVNSLQSYQLSPNHHFSLDVQTGDNGAINPELVLERALDREEEAAHHLVLTASDGGKPPRSSTVRIHVTVLDTNDNAPVFPHPIYRVKVLENMPPGTRLLTVTASDPDEGINGKVAYKFRKINEKQTPLFQLNENTGEISIAKSLDYEECSFYEMEIQAEDVGALLGRTKLLISVEDVNDNRPEVIITSLFSPVLENSLPGTVIAFLSVHDQDSGKNGQVVCYTRDNLPFKLEKSIGNYYRLVTRKYLDRENVSIYNITVMASDLGTPPLSTETQIALHVADINDNPPTFPHASYSAYILENNLRGASIFSLTAHDPDSQENAQVTYSVTEDTLQGAPLSSYISINSDTGVLYALQSFDYEQIRDLQLLVTASDSGDPPLSSNMSLSLFVLDQNDNAPEILYPALPTDGSTGVELAPRSAERGYLVTKVVAVDRDSGQNAWLSYRLLKASEPGLFSVGLHTGEVRTARALLDRDALKQSLVVAVQDHGQPPLSATVTLTVAVADSIPEVLTELGSLKPSVDPNDSSLTLYLVVAVAAISCVFLAFVAVLLGLRLRRWHKSRLLQDSGGRLVGVPASHFVGVEEVQAFLQTYSQEVSLTADSRKSHLIFPQPNYADMLISQEGCEKNDSLLTSVDFHEYKNEADHGQQAPPNTDWRFSQAQRPGTSGSQNGDDTGTWPNNQFDTEMLQAMILASASEAADGSSTLGGGAGTMGLSARYGPQFTLQHVPDYRQNVYIPGSNATLTNAAGKRDGKAPAGGNGNKKKSGKKEKK
+>DECOY_sp|Q9Y5G5|PCDG8_HUMAN Protocadherin gamma-A8 OS=Homo sapiens OX=9606 GN=PCDHGA8 PE=2 SV=1
+KKEKKGSKKKNGNGGAPAKGDRKGAANTLTANSGPIYVNQRYDPVHQLTFQPGYRASLGMTGAGGGLTSSGDAAESASALIMAQLMETDFQNNPWTGTDDGNQSGSTGPRQAQSFRWDTNPPAQQGHDAENKYEHFDVSTLLSDNKECGEQSILMDAYNPQPFILHSKRSDATLSVEQSYTQLFAQVEEVGVFHSAPVGVLRGGSDQLLRSKHWRRLRLGLLVAVFALFVCSIAAVAVVLYLTLSSDNPDVSPKLSGLETLVEPISDAVAVTLTVTASLPPQGHDQVAVVLSQKLADRDLLARATRVEGTHLGVSFLGPESAKLLRYSLWANQGSDRDVAVVKTVLYGREASRPALEVGTSGDTPLAPYLIEPANDNQDLVFLSLSMNSSLPPDGSDSATVLLQLDRIQEYDFSQLAYLVGTDSNISIYSSLPAGQLTDETVSYTVQANEQSDPDHATLSFISAGRLNNELIYASYSAHPFTPPNDNIDAVHLAIQTETSLPPTGLDSAMVTINYISVNERDLYKRTVLRYYNGISKELKFPLNDRTYCVVQGNKGSDQDHVSLFAIVTGPLSNELVPSFLSTIIVEPRNDNVDEVSILLKTRGLLAGVDEAQIEMEYFSCEEYDLSKAISIEGTNENLQFLPTQKENIKRFKYAVKGNIGEDPDSATVTLLRTGPPMNELVKVRYIPHPFVPANDNTDLVTVHIRVTSSRPPKGGDSATLVLHHAAEEERDLARELVLEPNIAGNDGTQVDLSFHHNPSLQYSQLSNVGVDPDVAEPLPYRAGPVAIENIKVELDEVQFKPNNDNIDIIEIEVGFLKGKDEVLTNINILCRPSQACLEERDIRGATILSGSRPNLAFLQTRGRSVIRVGHKALKRPDLGLDKSINGVFSGKDTEEPVSYRIQGRGIEWLTGLLACLLILEGRRPRSQPAAM
+>sp|Q9Y5H3|PCDGA_HUMAN Protocadherin gamma-A10 OS=Homo sapiens OX=9606 GN=PCDHGA10 PE=2 SV=1
+MAAQRNRSKESKDCSGLVLLCLFFGIPWEAGARQISYSIPEELEKGSFVGNISKDLGLAPRELAERGVRIVSRGRTQLFSLNPRSGSLITAGRIDREELCAQSARCVVSFNILVEDRVKLFGIEIEVTDINDNAPKFQAENLDVKINENVAAGMRFPLPEAIDPDVGVNSLQSYQLSPNKHFSLRVQSRANGVKYPELVLEHSLDREEEAIHHLVLTASDGGDPLRSGTVLVSVTVFDANDNAPVFTLPEYRVSVPENLPVGTQLLTVTATDRDEGANGEVTYSFRKLPDTQLLKFQLNKYTGEIKISENLDYEETGFYEIEIQAEDGGAYLATAKVLITVEDVNDNSPELTITSLFSPVTEDSPLGTVVALLNVHDLDSEQNGQVTCSILAYLPFKLEKSIDSYYRLVIHRALDREQVSSYNITVTATDGGSPPLSTEAHFMLQVADINDNPPTFSQVSYFTYIPENNARGASIFSVTALDPDSKENAQIIYSLAEDTIQGVPLSSYISINSDTGVLYALRSFDYEQFHELQMQVTASDSGDPPLSSNVSLSLFVLDQNDNAPEILYPALPTDGSTGVELAPRSAEPGYLVTKVVAVDRDSGQNAWLSYRLLKASEPGLFAVGEHTGEVRTARALLDRDALKQSLVVAVQDHGQPPLSATVTLTVAVADSIPQVLADLGSFESPANSETSDLTLYLVVAVAAVSCVFLAFVIVLLAHRLRRWHKSRLLQASGGGLTGVSGSHFVGVDGVRAFLQTYSHEVSLTADSRKSHLIFPQPNYADTLISQESCEKNDPLSLLDDSKFPIEDTPLVPQAPPNTDWRFSQAQRPGTSGSQNGDDTGTWPNNQFDTEMLQAMILASASEAADGSSTLGGGAGTMGLSARYGPQFTLQHVPDYRQNVYIPGSNATLTNAAGKRDGKAPAGGNGNKKKSGKKEKK
+>DECOY_sp|Q9Y5H3|PCDGA_HUMAN Protocadherin gamma-A10 OS=Homo sapiens OX=9606 GN=PCDHGA10 PE=2 SV=1
+KKEKKGSKKKNGNGGAPAKGDRKGAANTLTANSGPIYVNQRYDPVHQLTFQPGYRASLGMTGAGGGLTSSGDAAESASALIMAQLMETDFQNNPWTGTDDGNQSGSTGPRQAQSFRWDTNPPAQPVLPTDEIPFKSDDLLSLPDNKECSEQSILTDAYNPQPFILHSKRSDATLSVEHSYTQLFARVGDVGVFHSGSVGTLGGGSAQLLRSKHWRRLRHALLVIVFALFVCSVAAVAVVLYLTLDSTESNAPSEFSGLDALVQPISDAVAVTLTVTASLPPQGHDQVAVVLSQKLADRDLLARATRVEGTHEGVAFLGPESAKLLRYSLWANQGSDRDVAVVKTVLYGPEASRPALEVGTSGDTPLAPYLIEPANDNQDLVFLSLSVNSSLPPDGSDSATVQMQLEHFQEYDFSRLAYLVGTDSNISIYSSLPVGQITDEALSYIIQANEKSDPDLATVSFISAGRANNEPIYTFYSVQSFTPPNDNIDAVQLMFHAETSLPPSGGDTATVTINYSSVQERDLARHIVLRYYSDISKELKFPLYALISCTVQGNQESDLDHVNLLAVVTGLPSDETVPSFLSTITLEPSNDNVDEVTILVKATALYAGGDEAQIEIEYFGTEEYDLNESIKIEGTYKNLQFKLLQTDPLKRFSYTVEGNAGEDRDTATVTLLQTGVPLNEPVSVRYEPLTFVPANDNADFVTVSVLVTGSRLPDGGDSATLVLHHIAEEERDLSHELVLEPYKVGNARSQVRLSFHKNPSLQYSQLSNVGVDPDIAEPLPFRMGAAVNENIKVDLNEAQFKPANDNIDTVEIEIGFLKVRDEVLINFSVVCRASQACLEERDIRGATILSGSRPNLSFLQTRGRSVIRVGREALERPALGLDKSINGVFSGKELEEPISYSIQRAGAEWPIGFFLCLLVLGSCDKSEKSRNRQAAM
+>sp|Q9Y5H2|PCDGB_HUMAN Protocadherin gamma-A11 OS=Homo sapiens OX=9606 GN=PCDHGA11 PE=2 SV=1
+MANRLQRGDRSRLLLLLCIFLGTLRGFRARQIRYSVPEETEKGSFVGNISKDLGLEPRELAKRGVRIVSRGKTQLFAVNPRSGSLITAGRIDREELCETVSSCFLNMELLVEDTLKIYGVEVEIIDINDNAPSFQEDEVEIKVSEHAIPGARFALPNARDPDVGVNSLQSYQLSPNNYFSLQLRGRTDGAKNPELVLEGSLDREKEAAHLLLLTALDGGDPIRKGAVPIRVVVLDVNDHIPMFTQSVYRVSVPENISSGTRVLMVNATDPDEGINGEVMYSFRNMESKASEIFQLDSQTGEVQVRGSLDFEKYRFYEMEIQGQDGGGLFTTTTMLITVVDVNDNAPEITITSSINSILENSPPGTVIALLNVQDQDSGENGQVSCFIPNHLPFKLEKTYGNYYKLITSRVLDRELVQSYNITLTATDQGSPPLSAETHVWLNVADDNDNPPVFPHSSYSAYIPENNPRGASIFSVTALDPDSKQNALVTYSLTDDTVQGVPLSSYVSINSNTGVLYALQSFDYEQFRDLELRVIARDSGDPPLSSNVSLSLFVLDQNDNAPEILYPALPTDGSTGVELAPRSAEPGYLVTKVVAVDKDSGQNAWLSYRLLKASEPGLFAVGEHTGEVRTARALLDRDALKQSLVVAVQDHGQPPLSATVTLTVAVADSIPEVLADLGSLESLANSETSDLSLYLVVAVAAVSCIFLVFVIVLLALRLWRWHKSRLLQASEGGLAGMPTSHFVGVDGVQAFLQTYSHEVSLIADSQKSHLIFPQPNYGDTLISQESCEKSEPLLIAEDSAIILGKCDPTSNQQAPPNTDWRFSQAQRPGTSGSQNGDDTGTWPNNQFDTEMLQAMILASASEAADGSSTLGGGAGTMGLSARYGPQFTLQHVPDYRQNVYIPGSNATLTNAAGKRDGKAPAGGNGNKKKSGKKEKK
+>DECOY_sp|Q9Y5H2|PCDGB_HUMAN Protocadherin gamma-A11 OS=Homo sapiens OX=9606 GN=PCDHGA11 PE=2 SV=1
+KKEKKGSKKKNGNGGAPAKGDRKGAANTLTANSGPIYVNQRYDPVHQLTFQPGYRASLGMTGAGGGLTSSGDAAESASALIMAQLMETDFQNNPWTGTDDGNQSGSTGPRQAQSFRWDTNPPAQQNSTPDCKGLIIASDEAILLPESKECSEQSILTDGYNPQPFILHSKQSDAILSVEHSYTQLFAQVGDVGVFHSTPMGALGGESAQLLRSKHWRWLRLALLVIVFVLFICSVAAVAVVLYLSLDSTESNALSELSGLDALVEPISDAVAVTLTVTASLPPQGHDQVAVVLSQKLADRDLLARATRVEGTHEGVAFLGPESAKLLRYSLWANQGSDKDVAVVKTVLYGPEASRPALEVGTSGDTPLAPYLIEPANDNQDLVFLSLSVNSSLPPDGSDRAIVRLELDRFQEYDFSQLAYLVGTNSNISVYSSLPVGQVTDDTLSYTVLANQKSDPDLATVSFISAGRPNNEPIYASYSSHPFVPPNDNDDAVNLWVHTEASLPPSGQDTATLTINYSQVLERDLVRSTILKYYNGYTKELKFPLHNPIFCSVQGNEGSDQDQVNLLAIVTGPPSNELISNISSTITIEPANDNVDVVTILMTTTTFLGGGDQGQIEMEYFRYKEFDLSGRVQVEGTQSDLQFIESAKSEMNRFSYMVEGNIGEDPDTANVMLVRTGSSINEPVSVRYVSQTFMPIHDNVDLVVVRIPVAGKRIPDGGDLATLLLLHAAEKERDLSGELVLEPNKAGDTRGRLQLSFYNNPSLQYSQLSNVGVDPDRANPLAFRAGPIAHESVKIEVEDEQFSPANDNIDIIEVEVGYIKLTDEVLLEMNLFCSSVTECLEERDIRGATILSGSRPNVAFLQTKGRSVIRVGRKALERPELGLDKSINGVFSGKETEEPVSYRIQRARFGRLTGLFICLLLLLRSRDGRQLRNAM
+>sp|Q9UN71|PCDGG_HUMAN Protocadherin gamma-B4 OS=Homo sapiens OX=9606 GN=PCDHGB4 PE=2 SV=1
+MGSGAGELGRAERLPVLFLFLLSLFCPALCEQIRYRIPEEMPKGSVVGNLATDLGFSVQELPTRKLRVSSEKPYFTVSAESGELLVSSRLDREEICGKKPACALEFEAVAENPLNFYHVNVEIEDINDHTPKFTQNSFELQISESAQPGTRFILGSAHDADIGSNTLQNYQLSPSDHFSLINKEKSDGSKYPEMVLKTPLDREKQKSYHLTLTALDFGAPPLSSTAQIHVLVTDANDNAPVFSQDVYRVSLSENVYPGTTVLQVTATDQDEGVNAEITFSFSEASQITQFDLNSNTGEITVLNTLDFEEVKEYSIVLEARDGGGMIAQCTVEVEVIDENDNAPEVIFQSLPNLIMEDAELGTHIALLKVRDKDSRHNGEVTCKLEGDVPFKILTSSRNTYKLVTDAVLDREQNPEYNITVTATDRGKPPLSSSSSITLHIGDVNDNAPVFSQSSYIVHVAENNPPGASISQVRASDPDLGPNGQVSYCIMASDLEQRELSSYVSISAESGVVFAQRAFDHEQLRAFELTLQARDQGSPALSANVSLRVLVDDRNDNAPRVLYPALGPDGSALFDMVPHAAEPGYLVTKVVAVDADSGHNAWLSYHVLQASEPGLFSLGLRTGEVRTARALGDRDAVRQRLLVAVRDGGQPPLSATATLHLVFADSLQEVLPDITDRPDPSDLQAELQFYLVVALALISVLFLVAMILAIALRLRRSSSPASWSCFQPGLCVKSESVVPPNYSEGTLPYSYNLCVAHTGKTEFNFLKCSEQLSSGQDILCGDSSGALFPLCNSSELTSHQQAPPNTDWRFSQAQRPGTSGSQNGDDTGTWPNNQFDTEMLQAMILASASEAADGSSTLGGGAGTMGLSARYGPQFTLQHVPDYRQNVYIPGSNATLTNAAGKRDGKAPAGGNGNKKKSGKKEKK
+>DECOY_sp|Q9UN71|PCDGG_HUMAN Protocadherin gamma-B4 OS=Homo sapiens OX=9606 GN=PCDHGB4 PE=2 SV=1
+KKEKKGSKKKNGNGGAPAKGDRKGAANTLTANSGPIYVNQRYDPVHQLTFQPGYRASLGMTGAGGGLTSSGDAAESASALIMAQLMETDFQNNPWTGTDDGNQSGSTGPRQAQSFRWDTNPPAQQHSTLESSNCLPFLAGSSDGCLIDQGSSLQESCKLFNFETKGTHAVCLNYSYPLTGESYNPPVVSESKVCLGPQFCSWSAPSSSRRLRLAIALIMAVLFLVSILALAVVLYFQLEAQLDSPDPRDTIDPLVEQLSDAFVLHLTATASLPPQGGDRVAVLLRQRVADRDGLARATRVEGTRLGLSFLGPESAQLVHYSLWANHGSDADVAVVKTVLYGPEAAHPVMDFLASGDPGLAPYLVRPANDNRDDVLVRLSVNASLAPSGQDRAQLTLEFARLQEHDFARQAFVVGSEASISVYSSLERQELDSAMICYSVQGNPGLDPDSARVQSISAGPPNNEAVHVIYSSQSFVPANDNVDGIHLTISSSSSLPPKGRDTATVTINYEPNQERDLVADTVLKYTNRSSTLIKFPVDGELKCTVEGNHRSDKDRVKLLAIHTGLEADEMILNPLSQFIVEPANDNEDIVEVEVTCQAIMGGGDRAELVISYEKVEEFDLTNLVTIEGTNSNLDFQTIQSAESFSFTIEANVGEDQDTATVQLVTTGPYVNESLSVRYVDQSFVPANDNADTVLVHIQATSSLPPAGFDLATLTLHYSKQKERDLPTKLVMEPYKSGDSKEKNILSFHDSPSLQYNQLTNSGIDADHASGLIFRTGPQASESIQLEFSNQTFKPTHDNIDEIEVNVHYFNLPNEAVAEFELACAPKKGCIEERDLRSSVLLEGSEASVTFYPKESSVRLKRTPLEQVSFGLDTALNGVVSGKPMEEPIRYRIQECLAPCFLSLLFLFLVPLREARGLEGAGSGM
+>sp|Q9UN70|PCDGK_HUMAN Protocadherin gamma-C3 OS=Homo sapiens OX=9606 GN=PCDHGC3 PE=1 SV=1
+MVPEAWRSGLVSTGRVVGVLLLLGALNKASTVIHYEIPEEREKGFAVGNVVANLGLDLGSLSARRFRVVSGASRRFFEVNRETGEMFVNDRLDREELCGTLPSCTVTLELVVENPLELFSVEVVIQDINDNNPAFPTQEMKLEISEAVAPGTRFPLESAHDPDVGSNSLQTYELSRNEYFALRVQTREDSTKYAELVLERALDREREPSLQLVLTALDGGTPALSASLPIHIKVLDANDNAPVFNQSLYRARVLEDAPSGTRVVQVLATDLDEGPNGEIIYSFGSHNRAGVRQLFALDLVTGMLTIKGRLDFEDTKLHEIYIQAKDKGANPEGAHCKVLVEVVDVNDNAPEITVTSVYSPVPEDAPLGTVIALLSVTDLDAGENGLVTCEVPPGLPFSLTSSLKNYFTLKTSADLDRETVPEYNLSITARDAGTPSLSALTIVRVQVSDINDNPPQSSQSSYDVYIEENNLPGAPILNLSVWDPDAPQNARLSFFLLEQGAETGLVGRYFTINRDNGIVSSLVPLDYEDRREFELTAHISDGGTPVLATNISVNIFVTDRNDNAPQVLYPRPGGSSVEMLPRGTSAGHLVSRVVGWDADAGHNAWLSYSLLGSPNQSLFAIGLHTGQISTARPVQDTDSPRQTLTVLIKDNGEPSLSTTATLTVSVTEDSPEARAEFPSGSAPREQKKNLTFYLLLSLILVSVGFVVTVFGVIIFKVYKWKQSRDLYRAPVSSLYRTPGPSLHADAVRGGLMSPHLYHQVYLTTDSRRSDPLLKKPGAASPLASRQNTLRSCDPVFYRQVLGAESAPPGQQAPPNTDWRFSQAQRPGTSGSQNGDDTGTWPNNQFDTEMLQAMILASASEAADGSSTLGGGAGTMGLSARYGPQFTLQHVPDYRQNVYIPGSNATLTNAAGKRDGKAPAGGNGNKKKSGKKEKK
+>DECOY_sp|Q9UN70|PCDGK_HUMAN Protocadherin gamma-C3 OS=Homo sapiens OX=9606 GN=PCDHGC3 PE=1 SV=1
+KKEKKGSKKKNGNGGAPAKGDRKGAANTLTANSGPIYVNQRYDPVHQLTFQPGYRASLGMTGAGGGLTSSGDAAESASALIMAQLMETDFQNNPWTGTDDGNQSGSTGPRQAQSFRWDTNPPAQQGPPASEAGLVQRYFVPDCSRLTNQRSALPSAAGPKKLLPDSRRSDTTLYVQHYLHPSMLGGRVADAHLSPGPTRYLSSVPARYLDRSQKWKYVKFIIVGFVTVVFGVSVLILSLLLYFTLNKKQERPASGSPFEARAEPSDETVSVTLTATTSLSPEGNDKILVTLTQRPSDTDQVPRATSIQGTHLGIAFLSQNPSGLLSYSLWANHGADADWGVVRSVLHGASTGRPLMEVSSGGPRPYLVQPANDNRDTVFINVSINTALVPTGGDSIHATLEFERRDEYDLPVLSSVIGNDRNITFYRGVLGTEAGQELLFFSLRANQPADPDWVSLNLIPAGPLNNEEIYVDYSSQSSQPPNDNIDSVQVRVITLASLSPTGADRATISLNYEPVTERDLDASTKLTFYNKLSSTLSFPLGPPVECTVLGNEGADLDTVSLLAIVTGLPADEPVPSYVSTVTIEPANDNVDVVEVLVKCHAGEPNAGKDKAQIYIEHLKTDEFDLRGKITLMGTVLDLAFLQRVGARNHSGFSYIIEGNPGEDLDTALVQVVRTGSPADELVRARYLSQNFVPANDNADLVKIHIPLSASLAPTGGDLATLVLQLSPERERDLARELVLEAYKTSDERTQVRLAFYENRSLEYTQLSNSGVDPDHASELPFRTGPAVAESIELKMEQTPFAPNNDNIDQIVVEVSFLELPNEVVLELTVTCSPLTGCLEERDLRDNVFMEGTERNVEFFRRSAGSVVRFRRASLSGLDLGLNAVVNGVAFGKEREEPIEYHIVTSAKNLAGLLLLVGVVRGTSVLGSRWAEPVM
+>sp|Q9Y5F7|PCDGL_HUMAN Protocadherin gamma-C4 OS=Homo sapiens OX=9606 GN=PCDHGC4 PE=2 SV=1
+MLRKVRSWTEIWRWATLLFLFYHLGYVCGQIRYPVPEESQEGTFVGNVAQDFLLDTDSLSARRLQVAGEVNQRHFRVDLDSGALLIKNPIDREALCGLSASCIVPLEFVTEGPLEMYRAEVEIVDVNDHAPRFPRQQLDLEIGEAAPPGQRFPLEKAQDADVGSNSISSYRLSSNEHFALDVKKRSDGSLVPELLLEKPLDREKQSDYRLVLTAVDGGNPPRSGTAELRVSVLDVNDNAPAFQQSSYRISVLESAPAGMVLIQLNASDPDLGPSGNVTFYFSGHTPDRVRNLFSLHPTTGKLTLLGPLDFESENYYEFDVRARDGGSPAMEQHCSLRVDLLDVNDNAPYITVTSELGTLPESAEPGTVVALISVQDPDSGSNGDVSLRIPDHLPFALKSAFRNQFSLVTAGPLDREAKSSYDIMVTASDAGNPPLSTHRTIFLNISDVNDNPPSFFQRSHEVFVPENNRPGDLLCSLAASDPDSGLNALISYSLLEPRNRDVSASSFISLNPQTGAVHATRSFDYEQTQTLQFEVQARDRGNPPLSSTVTVRLFVLDLNDNAPAVLRPRARPGSLCPQALPPSVGAGHLITKVTAVDLDSGYNAWVSYQLLEAPDPSLFAVSRYAGEVRTAVPIPADLPPQKLVIVVKDSGSPPLSTSVTLLVSLEEDTHPVVPDLRESSAPREGESRLTLYLAVSLVAICFVSFGSFVALLSKCLRGAACGVTCFPAGTCACLTRSRRREGLPPSNGILRIQLGSDDPIKFVDVGGHSHGCTPLASAPTRSDSFMMVKSPSAPMAGEPVRPSCPPSDLLYGLEQAPPNTDWRFSQAQRPGTSGSQNGDDTGTWPNNQFDTEMLQAMILASASEAADGSSTLGGGAGTMGLSARYGPQFTLQHVPDYRQNVYIPGSNATLTNAAGKRDGKAPAGGNGNKKKSGKKEKK
+>DECOY_sp|Q9Y5F7|PCDGL_HUMAN Protocadherin gamma-C4 OS=Homo sapiens OX=9606 GN=PCDHGC4 PE=2 SV=1
+KKEKKGSKKKNGNGGAPAKGDRKGAANTLTANSGPIYVNQRYDPVHQLTFQPGYRASLGMTGAGGGLTSSGDAAESASALIMAQLMETDFQNNPWTGTDDGNQSGSTGPRQAQSFRWDTNPPAQELGYLLDSPPCSPRVPEGAMPASPSKVMMFSDSRTPASALPTCGHSHGGVDVFKIPDDSGLQIRLIGNSPPLGERRRSRTLCACTGAPFCTVGCAAGRLCKSLLAVFSGFSVFCIAVLSVALYLTLRSEGERPASSERLDPVVPHTDEELSVLLTVSTSLPPSGSDKVVIVLKQPPLDAPIPVATRVEGAYRSVAFLSPDPAELLQYSVWANYGSDLDVATVKTILHGAGVSPPLAQPCLSGPRARPRLVAPANDNLDLVFLRVTVTSSLPPNGRDRAQVEFQLTQTQEYDFSRTAHVAGTQPNLSIFSSASVDRNRPELLSYSILANLGSDPDSAALSCLLDGPRNNEPVFVEHSRQFFSPPNDNVDSINLFITRHTSLPPNGADSATVMIDYSSKAERDLPGATVLSFQNRFASKLAFPLHDPIRLSVDGNSGSDPDQVSILAVVTGPEASEPLTGLESTVTIYPANDNVDLLDVRLSCHQEMAPSGGDRARVDFEYYNESEFDLPGLLTLKGTTPHLSFLNRVRDPTHGSFYFTVNGSPGLDPDSANLQILVMGAPASELVSIRYSSQQFAPANDNVDLVSVRLEATGSRPPNGGDVATLVLRYDSQKERDLPKELLLEPVLSGDSRKKVDLAFHENSSLRYSSISNSGVDADQAKELPFRQGPPAAEGIELDLQQRPFRPAHDNVDVIEVEARYMELPGETVFELPVICSASLGCLAERDIPNKILLAGSDLDVRFHRQNVEGAVQLRRASLSDTDLLFDQAVNGVFTGEQSEEPVPYRIQGCVYGLHYFLFLLTAWRWIETWSRVKRLM
+>sp|O94913|PCF11_HUMAN Pre-mRNA cleavage complex 2 protein Pcf11 OS=Homo sapiens OX=9606 GN=PCF11 PE=1 SV=3
+MSEQTPAEAGAAGAREDACRDYQSSLEDLTFNSKPHINMLTILAEENLPFAKEIVSLIEAQTAKAPSSEKLPVMYLMDSIVKNVGREYLTAFTKNLVATFICVFEKVDENTRKSLFKLRSTWDEIFPLKKLYALDVRVNSLDPAWPIKPLPPNVNTSSIHVNPKFLNKSPEEPSTPGTVVSSPSISTPPIVPDIQKNLTQEQLIRQQLLAKQKQLLELQQKKLELELEQAKAQLAVSLSVQQETSNLGPGSAPSKLHVSQIPPMAVKAPHQVPVQSEKSRPGPSLQIQDLKGTNRDPRLNRISQHSHGKDQSHRKEFLMNTLNQSDTKTSKTIPSEKLNSSKQEKSKSGEKITKKELDQLDSKSKSKSKSPSPLKNKLSHTKDLKNQESESMRLSDMNKRDPRLKKHLQDKTDGKDDDVKEKRKTAEKKDKDEHMKSSEHRLAGSRNKIINGIVQKQDTITEESEKQGTKPGRSSTRKRSRSRSPKSRSPIIHSPKRRDRRSPKRRQRSMSPTSTPKAGKIRQSGAKQSHMEEFTPPSREDRNAKRSTKQDIRDPRRMKKTEEERPQETTNQHSTKSGTEPKENVENWQSSKSAKRWKSGWEENKSLQQVDEHSKPPHLRHRESWSSTKGILSPRAPKQQQHRLSVDANLQIPKELTLASKRELLQKTSERLASGEITQDDFLVVVHQIRQLFQYQEGVREEQRSPFNDRFPLKRPRYEDSDKPFVDSPASRFAGLDTNQRLTALAEDRPLFDGPSRPSVARDGPTKMIFEGPNKLSPRIDGPPTPASLRFDGSPGQMGGGGPLRFEGPQGQLGGGCPLRFEGPPGPVGTPLRFEGPIGQAGGGGFRFEGSPGLRFEGSPGGLRFEGPGGQPVGGLRFEGHRGQPVGGLRFEGPHGQPVGGLRFDNPRGQPVGGLRFEGGHGPSGAAIRFDGPHGQPGGGIRFEGPLLQQGVGMRFEGPHGQSVAGLRFEGQHNQLGGNLRFEGPHGQPGVGIRFEGPLVQQGGGMRFEGPSVPGGGLRIEGPLGQGGPRFEGCHALRFDGQPGQPSLLPRFDGLHGQPGPRFERTPGQPGPQRFDGPPGQQVQPRFDGVPQRFDGPQHQQASRFDIPLGLQGTRFDNHPSQRLESVSFNQTGPYNDPPGNAFNAPSQGLQFQRHEQIFDSPQGPNFNGPHGPGNQSFSNPLNRASGHYFDEKNLQSSQFGNFGNIPAPMTVGNIQASQQVLSGVAQPVAFGQGQQFLPVHPQNPGFVQNPSGALPKAYPDNHLSQVDVNELFSKLLKTGILKLSQTDSATTQVSEVTAQPPPEEEEDQNEDQDVPDLTNFTVEELKQRYDSVINRLYTGIQCYSCGMRFTTSQTDVYADHLDWHYRQNRTEKDVSRKVTHRRWYYSLTDWIEFEEIADLEERAKSQFFEKVHEEVVLKTQEAAKEKEFQSVPAGPAGAVESCEICQEQFEQYWDEEEEEWHLKNAIRVDGKIYHPSCYEDYQNTSSFDCTPSPSKTPVENPLNIMLNIVKNELQEPCDSPKVKEERIDTPPACTEESIATPSEIKTENDTVESV
+>DECOY_sp|O94913|PCF11_HUMAN Pre-mRNA cleavage complex 2 protein Pcf11 OS=Homo sapiens OX=9606 GN=PCF11 PE=1 SV=3
+VSEVTDNETKIESPTAISEETCAPPTDIREEKVKPSDCPEQLENKVINLMINLPNEVPTKSPSPTCDFSSTNQYDEYCSPHYIKGDVRIANKLHWEEEEEDWYQEFQEQCIECSEVAGAPGAPVSQFEKEKAAEQTKLVVEEHVKEFFQSKAREELDAIEEFEIWDTLSYYWRRHTVKRSVDKETRNQRYHWDLHDAYVDTQSTTFRMGCSYCQIGTYLRNIVSDYRQKLEEVTFNTLDPVDQDENQDEEEEPPPQATVESVQTTASDTQSLKLIGTKLLKSFLENVDVQSLHNDPYAKPLAGSPNQVFGPNQPHVPLFQQGQGFAVPQAVGSLVQQSAQINGVTMPAPINGFNGFQSSQLNKEDFYHGSARNLPNSFSQNGPGHPGNFNPGQPSDFIQEHRQFQLGQSPANFANGPPDNYPGTQNFSVSELRQSPHNDFRTGQLGLPIDFRSAQQHQPGDFRQPVGDFRPQVQQGPPGDFRQPGPQGPTREFRPGPQGHLGDFRPLLSPQGPQGDFRLAHCGEFRPGGQGLPGEIRLGGGPVSPGEFRMGGGQQVLPGEFRIGVGPQGHPGEFRLNGGLQNHQGEFRLGAVSQGHPGEFRMGVGQQLLPGEFRIGGGPQGHPGDFRIAAGSPGHGGEFRLGGVPQGRPNDFRLGGVPQGHPGEFRLGGVPQGRHGEFRLGGVPQGGPGEFRLGGPSGEFRLGPSGEFRFGGGGAQGIPGEFRLPTGVPGPPGEFRLPCGGGLQGQPGEFRLPGGGGMQGPSGDFRLSAPTPPGDIRPSLKNPGEFIMKTPGDRAVSPRSPGDFLPRDEALATLRQNTDLGAFRSAPSDVFPKDSDEYRPRKLPFRDNFPSRQEERVGEQYQFLQRIQHVVVLFDDQTIEGSALRESTKQLLERKSALTLEKPIQLNADVSLRHQQQKPARPSLIGKTSSWSERHRLHPPKSHEDVQQLSKNEEWGSKWRKASKSSQWNEVNEKPETGSKTSHQNTTEQPREEETKKMRRPDRIDQKTSRKANRDERSPPTFEEMHSQKAGSQRIKGAKPTSTPSMSRQRRKPSRRDRRKPSHIIPSRSKPSRSRSRKRTSSRGPKTGQKESEETITDQKQVIGNIIKNRSGALRHESSKMHEDKDKKEATKRKEKVDDDKGDTKDQLHKKLRPDRKNMDSLRMSESEQNKLDKTHSLKNKLPSPSKSKSKSKSDLQDLEKKTIKEGSKSKEQKSSNLKESPITKSTKTDSQNLTNMLFEKRHSQDKGHSHQSIRNLRPDRNTGKLDQIQLSPGPRSKESQVPVQHPAKVAMPPIQSVHLKSPASGPGLNSTEQQVSLSVALQAKAQELELELKKQQLELLQKQKALLQQRILQEQTLNKQIDPVIPPTSISPSSVVTGPTSPEEPSKNLFKPNVHISSTNVNPPLPKIPWAPDLSNVRVDLAYLKKLPFIEDWTSRLKFLSKRTNEDVKEFVCIFTAVLNKTFATLYERGVNKVISDMLYMVPLKESSPAKATQAEILSVIEKAFPLNEEALITLMNIHPKSNFTLDELSSQYDRCADERAGAAGAEAPTQESM
+>sp|Q9UHG3|PCYOX_HUMAN Prenylcysteine oxidase 1 OS=Homo sapiens OX=9606 GN=PCYOX1 PE=1 SV=3
+MGRVVAELVSSLLGLWLLLCSCGCPEGAELRAPPDKIAIIGAGIGGTSAAYYLRQKFGKDVKIDLFEREEVGGRLATMMVQGQEYEAGGSVIHPLNLHMKRFVKDLGLSAVQASGGLLGIYNGETLVFEESNWFIINVIKLVWRYGFQSLRMHMWVEDVLDKFMRIYRYQSHDYAFSSVEKLLHALGGDDFLGMLNRTLLETLQKAGFSEKFLNEMIAPVMRVNYGQSTDINAFVGAVSLSCSDSGLWAVEGGNKLVCSGLLQASKSNLISGSVMYIEEKTKTKYTGNPTKMYEVVYQIGTETRSDFYDIVLVATPLNRKMSNITFLNFDPPIEEFHQYYQHIVTTLVKGELNTSIFSSRPIDKFGLNTVLTTDNSDLFINSIGIVPSVREKEDPEPSTDGTYVWKIFSQETLTKAQILKLFLSYDYAVKKPWLAYPHYKPPEKCPSIILHDRLYYLNGIECAASAMEMSAIAAHNAALLAYHRWNGHTDMIDQDGLYEKLKTEL
+>DECOY_sp|Q9UHG3|PCYOX_HUMAN Prenylcysteine oxidase 1 OS=Homo sapiens OX=9606 GN=PCYOX1 PE=1 SV=3
+LETKLKEYLGDQDIMDTHGNWRHYALLAANHAAIASMEMASAACEIGNLYYLRDHLIISPCKEPPKYHPYALWPKKVAYDYSLFLKLIQAKTLTEQSFIKWVYTGDTSPEPDEKERVSPVIGISNIFLDSNDTTLVTNLGFKDIPRSSFISTNLEGKVLTTVIHQYYQHFEEIPPDFNLFTINSMKRNLPTAVLVIDYFDSRTETGIQYVVEYMKTPNGTYKTKTKEEIYMVSGSILNSKSAQLLGSCVLKNGGEVAWLGSDSCSLSVAGVFANIDTSQGYNVRMVPAIMENLFKESFGAKQLTELLTRNLMGLFDDGGLAHLLKEVSSFAYDHSQYRYIRMFKDLVDEVWMHMRLSQFGYRWVLKIVNIIFWNSEEFVLTEGNYIGLLGGSAQVASLGLDKVFRKMHLNLPHIVSGGAEYEQGQVMMTALRGGVEEREFLDIKVDKGFKQRLYYAASTGGIGAGIIAIKDPPARLEAGEPCGCSCLLLWLGLLSSVLEAVVRGM
+>sp|Q9H2J4|PDCL3_HUMAN Phosducin-like protein 3 OS=Homo sapiens OX=9606 GN=PDCL3 PE=1 SV=1
+MQDPNADTEWNDILRKKGILPPKESLKELEEEAEEEQRILQQSVVKTYEDMTLEELEDHEDEFNEEDERAIEMYRRRRLAEWKATKLKNKFGEVLEISGKDYVQEVTKAGEGLWVILHLYKQGIPLCALINQHLSGLARKFPDVKFIKAISTTCIPNYPDRNLPTIFVYLEGDIKAQFIGPLVFGGMNLTRDELEWKLSESGAIMTDLEENPKKPIEDVLLSSVRRSVLMKRDSDSEGD
+>DECOY_sp|Q9H2J4|PDCL3_HUMAN Phosducin-like protein 3 OS=Homo sapiens OX=9606 GN=PDCL3 PE=1 SV=1
+DGESDSDRKMLVSRRVSSLLVDEIPKKPNEELDTMIAGSESLKWELEDRTLNMGGFVLPGIFQAKIDGELYVFITPLNRDPYNPICTTSIAKIFKVDPFKRALGSLHQNILACLPIGQKYLHLIVWLGEGAKTVEQVYDKGSIELVEGFKNKLKTAKWEALRRRRYMEIAREDEENFEDEHDELEELTMDEYTKVVSQQLIRQEEEAEEELEKLSEKPPLIGKKRLIDNWETDANPDQM
+>sp|Q9BRP1|PDD2L_HUMAN Programmed cell death protein 2-like OS=Homo sapiens OX=9606 GN=PDCD2L PE=1 SV=1
+MAAVLKPVLLGLRDAPVHGSPTGPGAWTASKLGGIPDALPTVAAPRPVCQRCGQPLALVVQVYCPLEGSPFHRLLHVFACACPGCSTGGARSWKVFRSQCLQVPEREAQDAQKQGNSLAAEDWCEGADDWGSDTEEGPSPQFTLDFGNDASSAKDVDWTARLQDLRLQDAVLGAAHPVPPGLPLFLPYYICVADEDDYRDFVNLDHAHSLLRDYQQREGIAMDQLLSQSLPNDGDEKYEKTIIKSGDQTFYKFMKRIAACQEQILRYSWSGEPLFLTCPTSEVTELPACSQCGGQRIFEFQLMPALVSMLKSANLGLSVEFGTILVYTCEKSCWPPNHQTPMEEFCIIQEDPDELLFK
+>DECOY_sp|Q9BRP1|PDD2L_HUMAN Programmed cell death protein 2-like OS=Homo sapiens OX=9606 GN=PDCD2L PE=1 SV=1
+KFLLEDPDEQIICFEEMPTQHNPPWCSKECTYVLITGFEVSLGLNASKLMSVLAPMLQFEFIRQGGCQSCAPLETVESTPCTLFLPEGSWSYRLIQEQCAAIRKMFKYFTQDGSKIITKEYKEDGDNPLSQSLLQDMAIGERQQYDRLLSHAHDLNVFDRYDDEDAVCIYYPLFLPLGPPVPHAAGLVADQLRLDQLRATWDVDKASSADNGFDLTFQPSPGEETDSGWDDAGECWDEAALSNGQKQADQAEREPVQLCQSRFVKWSRAGGTSCGPCACAFVHLLRHFPSGELPCYVQVVLALPQGCRQCVPRPAAVTPLADPIGGLKSATWAGPGTPSGHVPADRLGLLVPKLVAAM
+>sp|Q6L8Q7|PDE12_HUMAN 2',5'-phosphodiesterase 12 OS=Homo sapiens OX=9606 GN=PDE12 PE=1 SV=2
+MWRLPGARAALRVIRTAVEKLSRAEAGSQTAAGAMERAVVRCVPSEPKLSLSFALADGSHKNMQRDQSEPLGRVLSRIATNALKGHAKAAAAKKSRKSRPNASGGAACSGPGPEPAVFCEPVVKLYYREEAVAEDVLNVDAWQDGAVLQIGDVKYKVERNPPAFTELQLPRYIMAGFPVCPKLSLEFGDPASSLFRWYKEAKPGAAEPEVGVPSSLSPSSPSSSWTETDVEERVYTPSNADIGLRLKLHCTPGDGQRFGHSRELESVCVVEAGPGTCTFDHRHLYTKKVTEDALIRTVSYNILADTYAQTEFSRTVLYPYCAPYALELDYRQNLIQKELTGYNADVICLQEVDRAVFSDSLVPALEAFGLEGVFRIKQHEGLATFYRKSKFSLLSQHDISFYEALESDPLHKELLEKLVLYPSAQEKVLQRSSVLQVSVLQSTKDSSKRICVANTHLYWHPKGGYIRLIQMAVALAHIRHVSCDLYPGIPVIFCGDFNSTPSTGMYHFVINGSIPEDHEDWASNGEEERCNMSLTHFFKLKSACGEPAYTNYVGGFHGCLDYIFIDLNALEVEQVIPLPSHEEVTTHQALPSVSHPSDHIALVCDLKWK
+>DECOY_sp|Q6L8Q7|PDE12_HUMAN 2',5'-phosphodiesterase 12 OS=Homo sapiens OX=9606 GN=PDE12 PE=1 SV=2
+KWKLDCVLAIHDSPHSVSPLAQHTTVEEHSPLPIVQEVELANLDIFIYDLCGHFGGVYNTYAPEGCASKLKFFHTLSMNCREEEGNSAWDEHDEPISGNIVFHYMGTSPTSNFDGCFIVPIGPYLDCSVHRIHALAVAMQILRIYGGKPHWYLHTNAVCIRKSSDKTSQLVSVQLVSSRQLVKEQASPYLVLKELLEKHLPDSELAEYFSIDHQSLLSFKSKRYFTALGEHQKIRFVGELGFAELAPVLSDSFVARDVEQLCIVDANYGTLEKQILNQRYDLELAYPACYPYLVTRSFETQAYTDALINYSVTRILADETVKKTYLHRHDFTCTGPGAEVVCVSELERSHGFRQGDGPTCHLKLRLGIDANSPTYVREEVDTETWSSSPSSPSLSSPVGVEPEAAGPKAEKYWRFLSSAPDGFELSLKPCVPFGAMIYRPLQLETFAPPNREVKYKVDGIQLVAGDQWADVNLVDEAVAEERYYLKVVPECFVAPEPGPGSCAAGGSANPRSKRSKKAAAAKAHGKLANTAIRSLVRGLPESQDRQMNKHSGDALAFSLSLKPESPVCRVVAREMAGAATQSGAEARSLKEVATRIVRLAARAGPLRWM
+>sp|P54750|PDE1A_HUMAN Calcium/calmodulin-dependent 3',5'-cyclic nucleotide phosphodiesterase 1A OS=Homo sapiens OX=9606 GN=PDE1A PE=2 SV=2
+MGSSATEIEELENTTFKYLTGEQTEKMWQRLKGILRCLVKQLERGDVNVVDLKKNIEYAASVLEAVYIDETRRLLDTEDELSDIQTDSVPSEVRDWLASTFTRKMGMTKKKPEEKPKFRSIVHAVQAGIFVERMYRKTYHMVGLAYPAAVIVTLKDVDKWSFDVFALNEASGEHSLKFMIYELFTRYDLINRFKIPVSCLITFAEALEVGYSKYKNPYHNLIHAADVTQTVHYIMLHTGIMHWLTELEILAMVFAAAIHDYEHTGTTNNFHIQTRSDVAILYNDRSVLENHHVSAAYRLMQEEEMNILINLSKDDWRDLRNLVIEMVLSTDMSGHFQQIKNIRNSLQQPEGIDRAKTMSLILHAADISHPAKSWKLHYRWTMALMEEFFLQGDKEAELGLPFSPLCDRKSTMVAQSQIGFIDFIVEPTFSLLTDSTEKIVIPLIEEASKAETSSYVASSSTTIVGLHIADALRRSNTKGSMSDGSYSPDYSLAAVDLKSFKNNLVDIIQQNKERWKELAAQEARTSSQKCEFIHQ
+>DECOY_sp|P54750|PDE1A_HUMAN Calcium/calmodulin-dependent 3',5'-cyclic nucleotide phosphodiesterase 1A OS=Homo sapiens OX=9606 GN=PDE1A PE=2 SV=2
+QHIFECKQSSTRAEQAALEKWREKNQQIIDVLNNKFSKLDVAALSYDPSYSGDSMSGKTNSRRLADAIHLGVITTSSSAVYSSTEAKSAEEILPIVIKETSDTLLSFTPEVIFDIFGIQSQAVMTSKRDCLPSFPLGLEAEKDGQLFFEEMLAMTWRYHLKWSKAPHSIDAAHLILSMTKARDIGEPQQLSNRINKIQQFHGSMDTSLVMEIVLNRLDRWDDKSLNILINMEEEQMLRYAASVHHNELVSRDNYLIAVDSRTQIHFNNTTGTHEYDHIAAAFVMALIELETLWHMIGTHLMIYHVTQTVDAAHILNHYPNKYKSYGVELAEAFTILCSVPIKFRNILDYRTFLEYIMFKLSHEGSAENLAFVDFSWKDVDKLTVIVAAPYALGVMHYTKRYMREVFIGAQVAHVISRFKPKEEPKKKTMGMKRTFTSALWDRVESPVSDTQIDSLEDETDLLRRTEDIYVAELVSAAYEINKKLDVVNVDGRELQKVLCRLIGKLRQWMKETQEGTLYKFTTNELEEIETASSGM
+>sp|Q14123|PDE1C_HUMAN Calcium/calmodulin-dependent 3',5'-cyclic nucleotide phosphodiesterase 1C OS=Homo sapiens OX=9606 GN=PDE1C PE=1 SV=1
+MESPTKEIEEFESNSLKYLQPEQIEKIWLRLRGLRKYKKTSQRLRSLVKQLERGEASVVDLKKNLEYAATVLESVYIDETRRLLDTEDELSDIQSDAVPSEVRDWLASTFTRQMGMMLRRSDEKPRFKSIVHAVQAGIFVERMYRRTSNMVGLSYPPAVIEALKDVDKWSFDVFSLNEASGDHALKFIFYELLTRYDLISRFKIPISALVSFVEALEVGYSKHKNPYHNLMHAADVTQTVHYLLYKTGVANWLTELEIFAIIFSAAIHDYEHTGTTNNFHIQTRSDPAILYNDRSVLENHHLSAAYRLLQDDEEMNILINLSKDDWREFRTLVIEMVMATDMSCHFQQIKAMKTALQQPEAIEKPKALSLMLHTADISHPAKAWDLHHRWTMSLLEEFFRQGDREAELGLPFSPLCDRKSTMVAQSQVGFIDFIVEPTFTVLTDMTEKIVSPLIDETSQTGGTGQRRSSLNSISSSDAKRSGVKTSGSEGSAPINNSVISVDYKSFKATWTEVVHINRERWRAKVPKEEKAKKEAEEKARLAAEEQQKEMEAKSQAEEGASGKAEKKTSGETKNQVNGTRANKSDNPRGKNSKAEKSSGEQQQNGDFKDGKNKTDKKDHSNIGNDSKKTDGTKQRSHGSPAPSTSSTCRLTLPVIKPPLRHFKRPAYASSSYAPSVSKKTDEHPARYKMLDQRIKMKKIQNISHNWNRK
+>DECOY_sp|Q14123|PDE1C_HUMAN Calcium/calmodulin-dependent 3',5'-cyclic nucleotide phosphodiesterase 1C OS=Homo sapiens OX=9606 GN=PDE1C PE=1 SV=1
+KRNWNHSINQIKKMKIRQDLMKYRAPHEDTKKSVSPAYSSSAYAPRKFHRLPPKIVPLTLRCTSSTSPAPSGHSRQKTGDTKKSDNGINSHDKKDTKNKGDKFDGNQQQEGSSKEAKSNKGRPNDSKNARTGNVQNKTEGSTKKEAKGSAGEEAQSKAEMEKQQEEAALRAKEEAEKKAKEEKPVKARWRERNIHVVETWTAKFSKYDVSIVSNNIPASGESGSTKVGSRKADSSSISNLSSRRQGTGGTQSTEDILPSVIKETMDTLVTFTPEVIFDIFGVQSQAVMTSKRDCLPSFPLGLEAERDGQRFFEELLSMTWRHHLDWAKAPHSIDATHLMLSLAKPKEIAEPQQLATKMAKIQQFHCSMDTAMVMEIVLTRFERWDDKSLNILINMEEDDQLLRYAASLHHNELVSRDNYLIAPDSRTQIHFNNTTGTHEYDHIAASFIIAFIELETLWNAVGTKYLLYHVTQTVDAAHMLNHYPNKHKSYGVELAEVFSVLASIPIKFRSILDYRTLLEYFIFKLAHDGSAENLSFVDFSWKDVDKLAEIVAPPYSLGVMNSTRRYMREVFIGAQVAHVISKFRPKEDSRRLMMGMQRTFTSALWDRVESPVADSQIDSLEDETDLLRRTEDIYVSELVTAAYELNKKLDVVSAEGRELQKVLSRLRQSTKKYKRLGRLRLWIKEIQEPQLYKLSNSEFEEIEKTPSEM
+>sp|Q14432|PDE3A_HUMAN cGMP-inhibited 3',5'-cyclic phosphodiesterase A OS=Homo sapiens OX=9606 GN=PDE3A PE=1 SV=3
+MAVPGDAARVRDKPVHSGVSQAPTAGRDCHHRADPASPRDSGCRGCWGDLVLQPLRSSRKLSSALCAGSLSFLLALLVRLVRGEVGCDLEQCKEAAAAEEEEAAPGAEGGVFPGPRGGAPGGGARLSPWLQPSALLFSLLCAFFWMGLYLLRAGVRLPLAVALLAACCGGEALVQIGLGVGEDHLLSLPAAGVVLSCLAAATWLVLRLRLGVLMIALTSAVRTVSLISLERFKVAWRPYLAYLAGVLGILLARYVEQILPQSAEAAPREHLGSQLIAGTKEDIPVFKRRRRSSSVVSAEMSGCSSKSHRRTSLPCIPREQLMGHSEWDHKRGPRGSQSSGTSITVDIAVMGEAHGLITDLLADPSLPPNVCTSLRAVSNLLSTQLTFQAIHKPRVNPVTSLSENYTCSDSEESSEKDKLAIPKRLRRSLPPGLLRRVSSTWTTTTSATGLPTLEPAPVRRDRSTSIKLQEAPSSSPDSWNNPVMMTLTKSRSFTSSYAISAANHVKAKKQSRPGALAKISPLSSPCSSPLQGTPASSLVSKISAVQFPESADTTAKQSLGSHRALTYTQSAPDLSPQILTPPVICSSCGRPYSQGNPADEPLERSGVATRTPSRTDDTAQVTSDYETNNNSDSSDIVQNEDETECLREPLRKASACSTYAPETMMFLDKPILAPEPLVMDNLDSIMEQLNTWNFPIFDLVENIGRKCGRILSQVSYRLFEDMGLFEAFKIPIREFMNYFHALEIGYRDIPYHNRIHATDVLHAVWYLTTQPIPGLSTVINDHGSTSDSDSDSGFTHGHMGYVFSKTYNVTDDKYGCLSGNIPALELMALYVAAAMHDYDHPGRTNAFLVATSAPQAVLYNDRSVLENHHAAAAWNLFMSRPEYNFLINLDHVEFKHFRFLVIEAILATDLKKHFDFVAKFNGKVNDDVGIDWTNENDRLLVCQMCIKLADINGPAKCKELHLQWTDGIVNEFYEQGDEEASLGLPISPFMDRSAPQLANLQESFISHIVGPLCNSYDSAGLMPGKWVEDSDESGDTDDPEEEEEEAPAPNEEETCENNESPKKKTFKRRKIYCQITQHLLQNHKMWKKVIEEEQRLAGIENQSLDQTPQSHSSEQIQAIKEEEEEKGKPRGEEIPTQKPDQ
+>DECOY_sp|Q14432|PDE3A_HUMAN cGMP-inhibited 3',5'-cyclic phosphodiesterase A OS=Homo sapiens OX=9606 GN=PDE3A PE=1 SV=3
+QDPKQTPIEEGRPKGKEEEEEKIAQIQESSHSQPTQDLSQNEIGALRQEEEIVKKWMKHNQLLHQTIQCYIKRRKFTKKKPSENNECTEEENPAPAEEEEEEPDDTDGSEDSDEVWKGPMLGASDYSNCLPGVIHSIFSEQLNALQPASRDMFPSIPLGLSAEEDGQEYFENVIGDTWQLHLEKCKAPGNIDALKICMQCVLLRDNENTWDIGVDDNVKGNFKAVFDFHKKLDTALIAEIVLFRFHKFEVHDLNILFNYEPRSMFLNWAAAAHHNELVSRDNYLVAQPASTAVLFANTRGPHDYDHMAAAVYLAMLELAPINGSLCGYKDDTVNYTKSFVYGMHGHTFGSDSDSDSTSGHDNIVTSLGPIPQTTLYWVAHLVDTAHIRNHYPIDRYGIELAHFYNMFERIPIKFAEFLGMDEFLRYSVQSLIRGCKRGINEVLDFIPFNWTNLQEMISDLNDMVLPEPALIPKDLFMMTEPAYTSCASAKRLPERLCETEDENQVIDSSDSNNNTEYDSTVQATDDTRSPTRTAVGSRELPEDAPNGQSYPRGCSSCIVPPTLIQPSLDPASQTYTLARHSGLSQKATTDASEPFQVASIKSVLSSAPTGQLPSSCPSSLPSIKALAGPRSQKKAKVHNAASIAYSSTFSRSKTLTMMVPNNWSDPSSSPAEQLKISTSRDRRVPAPELTPLGTASTTTTWTSSVRRLLGPPLSRRLRKPIALKDKESSEESDSCTYNESLSTVPNVRPKHIAQFTLQTSLLNSVARLSTCVNPPLSPDALLDTILGHAEGMVAIDVTISTGSSQSGRPGRKHDWESHGMLQERPICPLSTRRHSKSSCGSMEASVVSSSRRRRKFVPIDEKTGAILQSGLHERPAAEASQPLIQEVYRALLIGLVGALYALYPRWAVKFRELSILSVTRVASTLAIMLVGLRLRLVLWTAAALCSLVVGAAPLSLLHDEGVGLGIQVLAEGGCCAALLAVALPLRVGARLLYLGMWFFACLLSFLLASPQLWPSLRAGGGPAGGRPGPFVGGEAGPAAEEEEAAAAEKCQELDCGVEGRVLRVLLALLFSLSGACLASSLKRSSRLPQLVLDGWCGRCGSDRPSAPDARHHCDRGATPAQSVGSHVPKDRVRAADGPVAM
+>sp|P27815|PDE4A_HUMAN cAMP-specific 3',5'-cyclic phosphodiesterase 4A OS=Homo sapiens OX=9606 GN=PDE4A PE=1 SV=3
+MEPPTVPSERSLSLSLPGPREGQATLKPPPQHLWRQPRTPIRIQQRGYSDSAERAERERQPHRPIERADAMDTSDRPGLRTTRMSWPSSFHGTGTGSGGAGGGSSRRFEAENGPTPSPGRSPLDSQASPGLVLHAGAATSQRRESFLYRSDSDYDMSPKTMSRNSSVTSEAHAEDLIVTPFAQVLASLRSVRSNFSLLTNVPVPSNKRSPLGGPTPVCKATLSEETCQQLARETLEELDWCLEQLETMQTYRSVSEMASHKFKRMLNRELTHLSEMSRSGNQVSEYISTTFLDKQNEVEIPSPTMKEREKQQAPRPRPSQPPPPPVPHLQPMSQITGLKKLMHSNSLNNSNIPRFGVKTDQEELLAQELENLNKWGLNIFCVSDYAGGRSLTCIMYMIFQERDLLKKFRIPVDTMVTYMLTLEDHYHADVAYHNSLHAADVLQSTHVLLATPALDAVFTDLEILAALFAAAIHDVDHPGVSNQFLINTNSELALMYNDESVLENHHLAVGFKLLQEDNCDIFQNLSKRQRQSLRKMVIDMVLATDMSKHMTLLADLKTMVETKKVTSSGVLLLDNYSDRIQVLRNMVHCADLSNPTKPLELYRQWTDRIMAEFFQQGDRERERGMEISPMCDKHTASVEKSQVGFIDYIVHPLWETWADLVHPDAQEILDTLEDNRDWYYSAIRQSPSPPPEEESRGPGHPPLPDKFQFELTLEEEEEEEISMAQIPCTAQEALTAQGLSGVEEALDATIAWEASPAQESLEVMAQEASLEAELEAVYLTQQAQSTGSAPVAPDEFSSREEFVVAVSHSSPSALALQSPLLPAWRTLSVSEHAPGLPGLPSTAAEVEAQREHQAAKRACSACAGTFGEDTSALPAPGGGGSGGDPT
+>DECOY_sp|P27815|PDE4A_HUMAN cAMP-specific 3',5'-cyclic phosphodiesterase 4A OS=Homo sapiens OX=9606 GN=PDE4A PE=1 SV=3
+TPDGGSGGGGPAPLASTDEGFTGACASCARKAAQHERQAEVEAATSPLGPLGPAHESVSLTRWAPLLPSQLALASPSSHSVAVVFEERSSFEDPAVPASGTSQAQQTLYVAELEAELSAEQAMVELSEQAPSAEWAITADLAEEVGSLGQATLAEQATCPIQAMSIEEEEEEELTLEFQFKDPLPPHGPGRSEEEPPPSPSQRIASYYWDRNDELTDLIEQADPHVLDAWTEWLPHVIYDIFGVQSKEVSATHKDCMPSIEMGRERERDGQQFFEAMIRDTWQRYLELPKTPNSLDACHVMNRLVQIRDSYNDLLLVGSSTVKKTEVMTKLDALLTMHKSMDTALVMDIVMKRLSQRQRKSLNQFIDCNDEQLLKFGVALHHNELVSEDNYMLALESNTNILFQNSVGPHDVDHIAAAFLAALIELDTFVADLAPTALLVHTSQLVDAAHLSNHYAVDAHYHDELTLMYTVMTDVPIRFKKLLDREQFIMYMICTLSRGGAYDSVCFINLGWKNLNELEQALLEEQDTKVGFRPINSNNLSNSHMLKKLGTIQSMPQLHPVPPPPPQSPRPRPAQQKEREKMTPSPIEVENQKDLFTTSIYESVQNGSRSMESLHTLERNLMRKFKHSAMESVSRYTQMTELQELCWDLEELTERALQQCTEESLTAKCVPTPGGLPSRKNSPVPVNTLLSFNSRVSRLSALVQAFPTVILDEAHAESTVSSNRSMTKPSMDYDSDSRYLFSERRQSTAAGAHLVLGPSAQSDLPSRGPSPTPGNEAEFRRSSGGGAGGSGTGTGHFSSPWSMRTTRLGPRDSTDMADAREIPRHPQREREAREASDSYGRQQIRIPTRPQRWLHQPPPKLTAQGERPGPLSLSLSRESPVTPPEM
+>sp|Q13946|PDE7A_HUMAN High affinity cAMP-specific 3',5'-cyclic phosphodiesterase 7A OS=Homo sapiens OX=9606 GN=PDE7A PE=1 SV=2
+MEVCYQLPVLPLDRPVPQHVLSRRGAISFSSSSALFGCPNPRQLSQRRGAISYDSSDQTALYIRMLGDVRVRSRAGFESERRGSHPYIDFRIFHSQSEIEVSVSARNIRRLLSFQRYLRSSRFFRGTAVSNSLNILDDDYNGQAKCMLEKVGNWNFDIFLFDRLTNGNSLVSLTFHLFSLHGLIEYFHLDMMKLRRFLVMIQEDYHSQNPYHNAVHAADVTQAMHCYLKEPKLANSVTPWDILLSLIAAATHDLDHPGVNQPFLIKTNHYLATLYKNTSVLENHHWRSAVGLLRESGLFSHLPLESRQQMETQIGALILATDISRQNEYLSLFRSHLDRGDLCLEDTRHRHLVLQMALKCADICNPCRTWELSKQWSEKVTEEFFHQGDIEKKYHLGVSPLCDRHTESIANIQIGFMTYLVEPLFTEWARFSNTRLSQTMLGHVGLNKASWKGLQREQSSSEDTDAAFELNSQLLPQENRLS
+>DECOY_sp|Q13946|PDE7A_HUMAN High affinity cAMP-specific 3',5'-cyclic phosphodiesterase 7A OS=Homo sapiens OX=9606 GN=PDE7A PE=1 SV=2
+SLRNEQPLLQSNLEFAADTDESSSQERQLGKWSAKNLGVHGLMTQSLRTNSFRAWETFLPEVLYTMFGIQINAISETHRDCLPSVGLHYKKEIDGQHFFEETVKESWQKSLEWTRCPNCIDACKLAMQLVLHRHRTDELCLDGRDLHSRFLSLYENQRSIDTALILAGIQTEMQQRSELPLHSFLGSERLLGVASRWHHNELVSTNKYLTALYHNTKILFPQNVGPHDLDHTAAAILSLLIDWPTVSNALKPEKLYCHMAQTVDAAHVANHYPNQSHYDEQIMVLFRRLKMMDLHFYEILGHLSFLHFTLSVLSNGNTLRDFLFIDFNWNGVKELMCKAQGNYDDDLINLSNSVATGRFFRSSRLYRQFSLLRRINRASVSVEIESQSHFIRFDIYPHSGRRESEFGARSRVRVDGLMRIYLATQDSSDYSIAGRRQSLQRPNPCGFLASSSSFSIAGRRSLVHQPVPRDLPLVPLQYCVEM
+>sp|P30101|PDIA3_HUMAN Protein disulfide-isomerase A3 OS=Homo sapiens OX=9606 GN=PDIA3 PE=1 SV=4
+MRLRRLALFPGVALLLAAARLAAASDVLELTDDNFESRISDTGSAGLMLVEFFAPWCGHCKRLAPEYEAAATRLKGIVPLAKVDCTANTNTCNKYGVSGYPTLKIFRDGEEAGAYDGPRTADGIVSHLKKQAGPASVPLRTEEEFKKFISDKDASIVGFFDDSFSEAHSEFLKAASNLRDNYRFAHTNVESLVNEYDDNGEGIILFRPSHLTNKFEDKTVAYTEQKMTSGKIKKFIQENIFGICPHMTEDNKDLIQGKDLLIAYYDVDYEKNAKGSNYWRNRVMMVAKKFLDAGHKLNFAVASRKTFSHELSDFGLESTAGEIPVVAIRTAKGEKFVMQEEFSRDGKALERFLQDYFDGNLKRYLKSEPIPESNDGPVKVVVAENFDEIVNNENKDVLIEFYAPWCGHCKNLEPKYKELGEKLSKDPNIVIAKMDATANDVPSPYEVRGFPTIYFSPANKKLNPKKYEGGRELSDFISYLQREATNPPVIQEEKPKKKKKAQEDL
+>DECOY_sp|P30101|PDIA3_HUMAN Protein disulfide-isomerase A3 OS=Homo sapiens OX=9606 GN=PDIA3 PE=1 SV=4
+LDEQAKKKKKPKEEQIVPPNTAERQLYSIFDSLERGGEYKKPNLKKNAPSFYITPFGRVEYPSPVDNATADMKAIVINPDKSLKEGLEKYKPELNKCHGCWPAYFEILVDKNENNVIEDFNEAVVVKVPGDNSEPIPESKLYRKLNGDFYDQLFRELAKGDRSFEEQMVFKEGKATRIAVVPIEGATSELGFDSLEHSFTKRSAVAFNLKHGADLFKKAVMMVRNRWYNSGKANKEYDVDYYAILLDKGQILDKNDETMHPCIGFINEQIFKKIKGSTMKQETYAVTKDEFKNTLHSPRFLIIGEGNDDYENVLSEVNTHAFRYNDRLNSAAKLFESHAESFSDDFFGVISADKDSIFKKFEEETRLPVSAPGAQKKLHSVIGDATRPGDYAGAEEGDRFIKLTPYGSVGYKNCTNTNATCDVKALPVIGKLRTAAAEYEPALRKCHGCWPAFFEVLMLGASGTDSIRSEFNDDTLELVDSAAALRAAALLLAVGPFLALRRLRM
+>sp|Q15084|PDIA6_HUMAN Protein disulfide-isomerase A6 OS=Homo sapiens OX=9606 GN=PDIA6 PE=1 SV=1
+MALLVLGLVSCTFFLAVNGLYSSSDDVIELTPSNFNREVIQSDSLWLVEFYAPWCGHCQRLTPEWKKAATALKDVVKVGAVDADKHHSLGGQYGVQGFPTIKIFGSNKNRPEDYQGGRTGEAIVDAALSALRQLVKDRLGGRSGGYSSGKQGRSDSSSKKDVIELTDDSFDKNVLDSEDVWMVEFYAPWCGHCKNLEPEWAAAASEVKEQTKGKVKLAAVDATVNQVLASRYGIRGFPTIKIFQKGESPVDYDGGRTRSDIVSRALDLFSDNAPPPELLEIINEDIAKRTCEEHQLCVVAVLPHILDTGAAGRNSYLEVLLKLADKYKKKMWGWLWTEAGAQSELETALGIGGFGYPAMAAINARKMKFALLKGSFSEQGINEFLRELSFGRGSTAPVGGGAFPTIVEREPWDGRDGELPVEDDIDLSDVELDDLGKDEL
+>DECOY_sp|Q15084|PDIA6_HUMAN Protein disulfide-isomerase A6 OS=Homo sapiens OX=9606 GN=PDIA6 PE=1 SV=1
+LEDKGLDDLEVDSLDIDDEVPLEGDRGDWPEREVITPFAGGGVPATSGRGFSLERLFENIGQESFSGKLLAFKMKRANIAAMAPYGFGGIGLATELESQAGAETWLWGWMKKKYKDALKLLVELYSNRGAAGTDLIHPLVAVVCLQHEECTRKAIDENIIELLEPPPANDSFLDLARSVIDSRTRGGDYDVPSEGKQFIKITPFGRIGYRSALVQNVTADVAALKVKGKTQEKVESAAAAWEPELNKCHGCWPAYFEVMWVDESDLVNKDFSDDTLEIVDKKSSSDSRGQKGSSYGGSRGGLRDKVLQRLASLAADVIAEGTRGGQYDEPRNKNSGFIKITPFGQVGYQGGLSHHKDADVAGVKVVDKLATAAKKWEPTLRQCHGCWPAYFEVLWLSDSQIVERNFNSPTLEIVDDSSSYLGNVALFFTCSVLGLVLLAM
+>sp|Q8N165|PDK1L_HUMAN Serine/threonine-protein kinase PDIK1L OS=Homo sapiens OX=9606 GN=PDIK1L PE=1 SV=1
+MVSSQPKYDLIREVGRGSYGVVYEAVIRKTSARVAVKKIRCHAPENVELALREFWALSSIKSQHPNVIHLEECILQKDGMVQKMSHGSNSSLYLQLVETSLKGEIAFDPRSAYYLWFVMDFCDGGDMNEYLLSRKPNRKTNTSFMLQLSSALAFLHKNQIIHRDLKPDNILISQTRLDTSDLEPTLKVADFGLSKVCSASGQNPEEPVSVNKCFLSTACGTDFYMAPEVWEGHYTAKADIFALGIIIWAMLERITFIDTETKKELLGSYVKQGTEIVPVGEALLENPKMELLIPVKKKSMNGRMKQLIKEMLAANPQDRPDAFELELRLVQIAFKDSSWET
+>DECOY_sp|Q8N165|PDK1L_HUMAN Serine/threonine-protein kinase PDIK1L OS=Homo sapiens OX=9606 GN=PDIK1L PE=1 SV=1
+TEWSSDKFAIQVLRLELEFADPRDQPNAALMEKILQKMRGNMSKKKVPILLEMKPNELLAEGVPVIETGQKVYSGLLEKKTETDIFTIRELMAWIIIGLAFIDAKATYHGEWVEPAMYFDTGCATSLFCKNVSVPEEPNQGSASCVKSLGFDAVKLTPELDSTDLRTQSILINDPKLDRHIIQNKHLFALASSLQLMFSTNTKRNPKRSLLYENMDGGDCFDMVFWLYYASRPDFAIEGKLSTEVLQLYLSSNSGHSMKQVMGDKQLICEELHIVNPHQSKISSLAWFERLALEVNEPAHCRIKKVAVRASTKRIVAEYVVGYSGRGVERILDYKPQSSVM
+>sp|Q15120|PDK3_HUMAN [Pyruvate dehydrogenase (acetyl-transferring)] kinase isozyme 3, mitochondrial OS=Homo sapiens OX=9606 GN=PDK3 PE=1 SV=1
+MRLFRWLLKQPVPKQIERYSRFSPSPLSIKQFLDFGRDNACEKTSYMFLRKELPVRLANTMREVNLLPDNLLNRPSVGLVQSWYMQSFLELLEYENKSPEDPQVLDNFLQVLIKVRNRHNDVVPTMAQGVIEYKEKFGFDPFISTNIQYFLDRFYTNRISFRMLINQHTLLFGGDTNPVHPKHIGSIDPTCNVADVVKDAYETAKMLCEQYYLVAPELEVEEFNAKAPDKPIQVVYVPSHLFHMLFELFKNSMRATVELYEDRKEGYPAVKTLVTLGKEDLSIKISDLGGGVPLRKIDRLFNYMYSTAPRPSLEPTRAAPLAGFGYGLPISRLYARYFQGDLKLYSMEGVGTDAVIYLKALSSESFERLPVFNKSAWRHYKTTPEADDWSNPSSEPRDASKYKAKQ
+>DECOY_sp|Q15120|PDK3_HUMAN [Pyruvate dehydrogenase (acetyl-transferring)] kinase isozyme 3, mitochondrial OS=Homo sapiens OX=9606 GN=PDK3 PE=1 SV=1
+QKAKYKSADRPESSPNSWDDAEPTTKYHRWASKNFVPLREFSESSLAKLYIVADTGVGEMSYLKLDGQFYRAYLRSIPLGYGFGALPAARTPELSPRPATSYMYNFLRDIKRLPVGGGLDSIKISLDEKGLTVLTKVAPYGEKRDEYLEVTARMSNKFLEFLMHFLHSPVYVVQIPKDPAKANFEEVELEPAVLYYQECLMKATEYADKVVDAVNCTPDISGIHKPHVPNTDGGFLLTHQNILMRFSIRNTYFRDLFYQINTSIFPDFGFKEKYEIVGQAMTPVVDNHRNRVKILVQLFNDLVQPDEPSKNEYELLELFSQMYWSQVLGVSPRNLLNDPLLNVERMTNALRVPLEKRLFMYSTKECANDRGFDLFQKISLPSPSFRSYREIQKPVPQKLLWRFLRM
+>sp|P50479|PDLI4_HUMAN PDZ and LIM domain protein 4 OS=Homo sapiens OX=9606 GN=PDLIM4 PE=1 SV=2
+MPHSVTLRGPSPWGFRLVGGRDFSAPLTISRVHAGSKAALAALCPGDLIQAINGESTELMTHLEAQNRIKGCHDHLTLSVSRPEGRSWPSAPDDSKAQAHRIHIDPEIQDGSPTTSRRPSGTGTGPEDGRPSLGSPYGQPPRFPVPHNGSSEATLPAQMSTLHVSPPPSADPARGLPRSRDCRVDLGSEVYRMLREPAEPVAAEPKQSGSFRYLQGMLEAGEGGDWPGPGGPRNLKPTASKLGAPLSGLQGLPECTRCGHGIVGTIVKARDKLYHPECFMCSDCGLNLKQRGYFFLDERLYCESHAKARVKPPEGYDVVAVYPNAKVELV
+>DECOY_sp|P50479|PDLI4_HUMAN PDZ and LIM domain protein 4 OS=Homo sapiens OX=9606 GN=PDLIM4 PE=1 SV=2
+VLEVKANPYVAVVDYGEPPKVRAKAHSECYLREDLFFYGRQKLNLGCDSCMFCEPHYLKDRAKVITGVIGHGCRTCEPLGQLGSLPAGLKSATPKLNRPGGPGPWDGGEGAELMGQLYRFSGSQKPEAAVPEAPERLMRYVESGLDVRCDRSRPLGRAPDASPPPSVHLTSMQAPLTAESSGNHPVPFRPPQGYPSGLSPRGDEPGTGTGSPRRSTTPSGDQIEPDIHIRHAQAKSDDPASPWSRGEPRSVSLTLHDHCGKIRNQAELHTMLETSEGNIAQILDGPCLAALAAKSGAHVRSITLPASFDRGGVLRFGWPSPGRLTVSHPM
+>sp|O15530|PDPK1_HUMAN 3-phosphoinositide-dependent protein kinase 1 OS=Homo sapiens OX=9606 GN=PDPK1 PE=1 SV=1
+MARTTSQLYDAVPIQSSVVLCSCPSPSMVRTQTESSTPPGIPGGSRQGPAMDGTAAEPRPGAGSLQHAQPPPQPRKKRPEDFKFGKILGEGSFSTVVLARELATSREYAIKILEKRHIIKENKVPYVTRERDVMSRLDHPFFVKLYFTFQDDEKLYFGLSYAKNGELLKYIRKIGSFDETCTRFYTAEIVSALEYLHGKGIIHRDLKPENILLNEDMHIQITDFGTAKVLSPESKQARANSFVGTAQYVSPELLTEKSACKSSDLWALGCIIYQLVAGLPPFRAGNEYLIFQKIIKLEYDFPEKFFPKARDLVEKLLVLDATKRLGCEEMEGYGPLKAHPFFESVTWENLHQQTPPKLTAYLPAMSEDDEDCYGNYDNLLSQFGCMQVSSSSSSHSLSASDTGLPQRSGSNIEQYIHDLDSNSFELDLQFSEDEKRLLLEKQAGGNPWHQFVENNLILKMGPVDKRKGLFARRRQLLLTEGPHLYYVDPVNKVLKGEIPWSQELRPEAKNFKTFFVHTPNRTYYLMDPSGNAHKWCRKIQEVWRQRYQSHPDAAVQ
+>DECOY_sp|O15530|PDPK1_HUMAN 3-phosphoinositide-dependent protein kinase 1 OS=Homo sapiens OX=9606 GN=PDPK1 PE=1 SV=1
+QVAADPHSQYRQRWVEQIKRCWKHANGSPDMLYYTRNPTHVFFTKFNKAEPRLEQSWPIEGKLVKNVPDVYYLHPGETLLLQRRRAFLGKRKDVPGMKLILNNEVFQHWPNGGAQKELLLRKEDESFQLDLEFSNSDLDHIYQEINSGSRQPLGTDSASLSHSSSSSSVQMCGFQSLLNDYNGYCDEDDESMAPLYATLKPPTQQHLNEWTVSEFFPHAKLPGYGEMEECGLRKTADLVLLKEVLDRAKPFFKEPFDYELKIIKQFILYENGARFPPLGAVLQYIICGLAWLDSSKCASKETLLEPSVYQATGVFSNARAQKSEPSLVKATGFDTIQIHMDENLLINEPKLDRHIIGKGHLYELASVIEATYFRTCTEDFSGIKRIYKLLEGNKAYSLGFYLKEDDQFTFYLKVFFPHDLRSMVDRERTVYPVKNEKIIHRKELIKIAYERSTALERALVVTSFSGEGLIKGFKFDEPRKKRPQPPPQAHQLSGAGPRPEAATGDMAPGQRSGGPIGPPTSSETQTRVMSPSPCSCLVVSSQIPVADYLQSTTRAM
+>sp|Q8NCN5|PDPR_HUMAN Pyruvate dehydrogenase phosphatase regulatory subunit, mitochondrial OS=Homo sapiens OX=9606 GN=PDPR PE=1 SV=2
+MMFYRLLSIVGRQRASPGWQNWSSARNSTSAAEARSMALPTQAQVVICGGGITGTSVAYHLSKMGWKDIVLLEQGRLAAGSTRFCAGILSTARHLTIEQKMADYSNKLYYQLEQETGIQTGYTRTGSIFLAQTQDRLISLKRINAGLNVIGIPSEIISPKKVAELHHLLNVHDLVGAMHVPEDAVVSSADVALALASAASQNGVQIYDRTSVLHVMVKKGQVTGVETDKGQIECQYFVNCAGQWAYELGLSNEEPVSIPLHACEHFYLLTRPLETPLQSSTPTIVDADGRIYIRNWQGGILSGGFEKNPKPIFTEGKNQLEIQNLQEDWDHFEPLLSSLLRRMPELETLEIMKLVNCPETFTPDMRCIMGESPAVQGYFVLAGMNSAGLSFGGGAGKYLAEWMVHGYPSENVWELDLKRFGALQSSRTFLRHRVMEVMPLMYDLKVPRWDFQTGRQLRTSPLYDRLDAQGARWMEKHGFERPKYFVPPDKDLLALEQSKTFYKPDWFDIVESEVKCCKEAVCVIDMSSFTKFEITSTGDQALEVLQYLFSNDLDVPVGHIVHTGMLNEGGGYENDCSIARLNKRSFFMISPTDQQVHCWAWLKKHMPKDSNLLLEDVTWKYTALNLIGPRAVDVLSELSYAPMTPDHFPSLFCKEMSVGYANGIRVMSMTHTGEPGFMLYIPIEYALHVYNEVMSVGQKYGIRNAGYYALRSLRIEKFFAFWGQDINNLTTPLECGRESRVKLEKGMDFIGRDALLQQKQNGVYKRLTMFILDDHDSDLDLWPWWGEPIYRNGQYVGKTTSSAYSYSLERHVCLGFVHNFSEDTGEEQVVTADFINRGEYEIDIAGYRFQAKAKLYPVASLFTQKRRKDDMELSDLHGK
+>DECOY_sp|Q8NCN5|PDPR_HUMAN Pyruvate dehydrogenase phosphatase regulatory subunit, mitochondrial OS=Homo sapiens OX=9606 GN=PDPR PE=1 SV=2
+KGHLDSLEMDDKRRKQTFLSAVPYLKAKAQFRYGAIDIEYEGRNIFDATVVQEEGTDESFNHVFGLCVHRELSYSYASSTTKGVYQGNRYIPEGWWPWLDLDSDHDDLIFMTLRKYVGNQKQQLLADRGIFDMGKELKVRSERGCELPTTLNNIDQGWFAFFKEIRLSRLAYYGANRIGYKQGVSMVENYVHLAYEIPIYLMFGPEGTHTMSMVRIGNAYGVSMEKCFLSPFHDPTMPAYSLESLVDVARPGILNLATYKWTVDELLLNSDKPMHKKLWAWCHVQQDTPSIMFFSRKNLRAISCDNEYGGGENLMGTHVIHGVPVDLDNSFLYQLVELAQDGTSTIEFKTFSSMDIVCVAEKCCKVESEVIDFWDPKYFTKSQELALLDKDPPVFYKPREFGHKEMWRAGQADLRDYLPSTRLQRGTQFDWRPVKLDYMLPMVEMVRHRLFTRSSQLAGFRKLDLEWVNESPYGHVMWEALYKGAGGGFSLGASNMGALVFYGQVAPSEGMICRMDPTFTEPCNVLKMIELTELEPMRRLLSSLLPEFHDWDEQLNQIELQNKGETFIPKPNKEFGGSLIGGQWNRIYIRGDADVITPTSSQLPTELPRTLLYFHECAHLPISVPEENSLGLEYAWQGACNVFYQCEIQGKDTEVGTVQGKKVMVHLVSTRDYIQVGNQSAASALALAVDASSVVADEPVHMAGVLDHVNLLHHLEAVKKPSIIESPIGIVNLGANIRKLSILRDQTQALFISGTRTYGTQIGTEQELQYYLKNSYDAMKQEITLHRATSLIGACFRTSGAALRGQELLVIDKWGMKSLHYAVSTGTIGGGCIVVQAQTPLAMSRAEAASTSNRASSWNQWGPSARQRGVISLLRYFMM
+>sp|Q9NUG6|PDRG1_HUMAN p53 and DNA damage-regulated protein 1 OS=Homo sapiens OX=9606 GN=PDRG1 PE=1 SV=2
+MLSPEAERVLRYLVEVEELAEEVLADKRQIVDLDTKRNQNREGLRALQKDLSLSEDVMVCFGNMFIKMPHPETKEMIEKDQDHLDKEIEKLRKQLKVKVNRLFEAQGKPELKGFNLNPLNQDELKALKVILKG
+>DECOY_sp|Q9NUG6|PDRG1_HUMAN p53 and DNA damage-regulated protein 1 OS=Homo sapiens OX=9606 GN=PDRG1 PE=1 SV=2
+GKLIVKLAKLEDQNLPNLNFGKLEPKGQAEFLRNVKVKLQKRLKEIEKDLHDQDKEIMEKTEPHPMKIFMNGFCVMVDESLSLDKQLARLGERNQNRKTDLDVIQRKDALVEEALEEVEVLYRLVREAEPSLM
+>sp|Q29RF7|PDS5A_HUMAN Sister chromatid cohesion protein PDS5 homolog A OS=Homo sapiens OX=9606 GN=PDS5A PE=1 SV=1
+MDFTAQPKPATALCGVVSADGKIAYPPGVKEITDKITTDEMIKRLKMVVKTFMDMDQDSEDEKQQYLPLALHLASEFFLRNPNKDVRLLVACCLADIFRIYAPEAPYTSHDKLKDIFLFITRQLKGLEDTKSPQFNRYFYLLENLAWVKSYNICFELEDCNEIFIQLFRTLFSVINNSHNKKVQMHMLDLMSSIIMEGDGVTQELLDSILINLIPAHKNLNKQSFDLAKVLLKRTVQTIEACIANFFNQVLVLGRSSVSDLSEHVFDLIQELFAIDPHLLLSVMPQLEFKLKSNDGEERLAVVRLLAKLFGSKDSDLATQNRPLWQCFLGRFNDIHVPVRLESVKFASHCLMNHPDLAKDLTEYLKVRSHDPEEAIRHDVIVTIITAAKRDLALVNDQLLGFVRERTLDKRWRVRKEAMMGLAQLYKKYCLHGEAGKEAAEKVSWIKDKLLHIYYQNSIDDKLLVEKIFAQYLVPHNLETEERMKCLYYLYASLDPNAVKALNEMWKCQNMLRSHVRELLDLHKQPTSEANCSAMFGKLMTIAKNLPDPGKAQDFVKKFNQVLGDDEKLRSQLELLISPTCSCKQADICVREIARKLANPKQPTNPFLEMVKFLLERIAPVHIDSEAISALVKLMNKSIEGTADDEEEGVSPDTAIRSGLELLKVLSFTHPTSFHSAETYESLLQCLRMEDDKVAEAAIQIFRNTGHKIETDLPQIRSTLIPILHQKAKRGTPHQAKQAVHCIHAIFTNKEVQLAQIFEPLSRSLNADVPEQLITPLVSLGHISMLAPDQFASPMKSVVANFIVKDLLMNDRSTGEKNGKLWSPDEEVSPEVLAKVQAIKLLVRWLLGMKNNQSKSANSTLRLLSAMLVSEGDLTEQKRISKSDMSRLRLAAGSAIMKLAQEPCYHEIITPEQFQLCALVINDECYQVRQIFAQKLHKALVKLLLPLEYMAIFALCAKDPVKERRAHARQCLLKNISIRREYIKQNPMATEKLLSLLPEYVVPYMIHLLAHDPDFTRSQDVDQLRDIKECLWFMLEVLMTKNENNSHAFMKKMAENIKLTRDAQSPDESKTNEKLYTVCDVALCVINSKSALCNADSPKDPVLPMKFFTQPEKDFCNDKSYISEETRVLLLTGKPKPAGVLGAVNKPLSATGRKPYVRSTGTETGSNINVNSELNPSTGNRSREQSSEAAETGVSENEENPVRIISVTPVKNIDPVKNKEINSDQATQGNISSDRGKKRTVTAAGAENIQQKTDEKVDESGPPAPSKPRRGRRPKSESQGNATKNDDLNKPINKGRKRAAVGQESPGGLEAGNAKAPKLQDLAKKAAPAERQIDLQR
+>DECOY_sp|Q29RF7|PDS5A_HUMAN Sister chromatid cohesion protein PDS5 homolog A OS=Homo sapiens OX=9606 GN=PDS5A PE=1 SV=1
+RQLDIQREAPAAKKALDQLKPAKANGAELGGPSEQGVAARKRGKNIPKNLDDNKTANGQSESKPRRGRRPKSPAPPGSEDVKEDTKQQINEAGAATVTRKKGRDSSINGQTAQDSNIEKNKVPDINKVPTVSIIRVPNEENESVGTEAAESSQERSRNGTSPNLESNVNINSGTETGTSRVYPKRGTASLPKNVAGLVGAPKPKGTLLLVRTEESIYSKDNCFDKEPQTFFKMPLVPDKPSDANCLASKSNIVCLAVDCVTYLKENTKSEDPSQADRTLKINEAMKKMFAHSNNENKTMLVELMFWLCEKIDRLQDVDQSRTFDPDHALLHIMYPVVYEPLLSLLKETAMPNQKIYERRISINKLLCQRAHARREKVPDKACLAFIAMYELPLLLKVLAKHLKQAFIQRVQYCEDNIVLACLQFQEPTIIEHYCPEQALKMIASGAALRLRSMDSKSIRKQETLDGESVLMASLLRLTSNASKSQNNKMGLLWRVLLKIAQVKALVEPSVEEDPSWLKGNKEGTSRDNMLLDKVIFNAVVSKMPSAFQDPALMSIHGLSVLPTILQEPVDANLSRSLPEFIQALQVEKNTFIAHICHVAQKAQHPTGRKAKQHLIPILTSRIQPLDTEIKHGTNRFIQIAAEAVKDDEMRLCQLLSEYTEASHFSTPHTFSLVKLLELGSRIATDPSVGEEEDDATGEISKNMLKVLASIAESDIHVPAIRELLFKVMELFPNTPQKPNALKRAIERVCIDAQKCSCTPSILLELQSRLKEDDGLVQNFKKVFDQAKGPDPLNKAITMLKGFMASCNAESTPQKHLDLLERVHSRLMNQCKWMENLAKVANPDLSAYLYYLCKMREETELNHPVLYQAFIKEVLLKDDISNQYYIHLLKDKIWSVKEAAEKGAEGHLCYKKYLQALGMMAEKRVRWRKDLTRERVFGLLQDNVLALDRKAATIITVIVDHRIAEEPDHSRVKLYETLDKALDPHNMLCHSAFKVSELRVPVHIDNFRGLFCQWLPRNQTALDSDKSGFLKALLRVVALREEGDNSKLKFELQPMVSLLLHPDIAFLEQILDFVHESLDSVSSRGLVLVQNFFNAICAEITQVTRKLLVKALDFSQKNLNKHAPILNILISDLLEQTVGDGEMIISSMLDLMHMQVKKNHSNNIVSFLTRFLQIFIENCDELEFCINYSKVWALNELLYFYRNFQPSKTDELGKLQRTIFLFIDKLKDHSTYPAEPAYIRFIDALCCAVLLRVDKNPNRLFFESALHLALPLYQQKEDESDQDMDMFTKVVMKLRKIMEDTTIKDTIEKVGPPYAIKGDASVVGCLATAPKPQATFDM
+>sp|Q9NTI5|PDS5B_HUMAN Sister chromatid cohesion protein PDS5 homolog B OS=Homo sapiens OX=9606 GN=PDS5B PE=1 SV=1
+MAHSKTRTNDGKITYPPGVKEISDKISKEEMVRRLKMVVKTFMDMDQDSEEEKELYLNLALHLASDFFLKHPDKDVRLLVACCLADIFRIYAPEAPYTSPDKLKDIFMFITRQLKGLEDTKSPQFNRYFYLLENIAWVKSYNICFELEDSNEIFTQLYRTLFSVINNGHNQKVHMHMVDLMSSIICEGDTVSQELLDTVLVNLVPAHKNLNKQAYDLAKALLKRTAQAIEPYITNFFNQVLMLGKTSISDLSEHVFDLILELYNIDSHLLLSVLPQLEFKLKSNDNEERLQVVKLLAKMFGAKDSELASQNKPLWQCYLGRFNDIHVPIRLECVKFASHCLMNHPDLAKDLTEYLKVRSHDPEEAIRHDVIVSIVTAAKKDILLVNDHLLNFVRERTLDKRWRVRKEAMMGLAQIYKKYALQSAAGKDAAKQIAWIKDKLLHIYYQNSIDDRLLVERIFAQYMVPHNLETTERMKCLYYLYATLDLNAVKALNEMWKCQNLLRHQVKDLLDLIKQPKTDASVKAIFSKVMVITRNLPDPGKAQDFMKKFTQVLEDDEKIRKQLEVLVSPTCSCKQAEGCVREITKKLGNPKQPTNPFLEMIKFLLERIAPVHIDTESISALIKQVNKSIDGTADDEDEGVPTDQAIRAGLELLKVLSFTHPISFHSAETFESLLACLKMDDEKVAEAALQIFKNTGSKIEEDFPHIRSALLPVLHHKSKKGPPRQAKYAIHCIHAIFSSKETQFAQIFEPLHKSLDPSNLEHLITPLVTIGHIALLAPDQFAAPLKSLVATFIVKDLLMNDRLPGKKTTKLWVPDEEVSPETMVKIQAIKMMVRWLLGMKNNHSKSGTSTLRLLTTILHSDGDLTEQGKISKPDMSRLRLAAGSAIVKLAQEPCYHEIITLEQYQLCALAINDECYQVRQVFAQKLHKGLSRLRLPLEYMAICALCAKDPVKERRAHARQCLVKNINVRREYLKQHAAVSEKLLSLLPEYVVPYTIHLLAHDPDYVKVQDIEQLKDVKECLWFVLEILMAKNENNSHAFIRKMVENIKQTKDAQGPDDAKMNEKLYTVCDVAMNIIMSKSTTYSLESPKDPVLPARFFTQPDKNFSNTKNYLPPEMKSFFTPGKPKTTNVLGAVNKPLSSAGKQSQTKSSRMETVSNASSSSNPSSPGRIKGRLDSSEMDHSENEDYTMSSPLPGKKSDKRDDSDLVRSELEKPRGRKKTPVTEQEEKLGMDDLTKLVQEQKPKGSQRSRKRGHTASESDEQQWPEEKRLKEDILENEDEQNSPPKKGKRGRPPKPLGGGTPKEEPTMKTSKKGSKKKSGPPAPEEEEEEERQSGNTEQKSKSKQHRVSRRAQQRAESPESSAIESTQSTPQKGRGRPSKTPSPSQPKKNVRVGRSKQAATKENDSSEEVDVFQGSSPVDDIPQEETEEEEVSTVNVRRRSAKRERR
+>DECOY_sp|Q9NTI5|PDS5B_HUMAN Sister chromatid cohesion protein PDS5 homolog B OS=Homo sapiens OX=9606 GN=PDS5B PE=1 SV=1
+RRERKASRRRVNVTSVEEEETEEQPIDDVPSSGQFVDVEESSDNEKTAAQKSRGVRVNKKPQSPSPTKSPRGRGKQPTSQTSEIASSEPSEARQQARRSVRHQKSKSKQETNGSQREEEEEEEPAPPGSKKKSGKKSTKMTPEEKPTGGGLPKPPRGRKGKKPPSNQEDENELIDEKLRKEEPWQQEDSESATHGRKRSRQSGKPKQEQVLKTLDDMGLKEEQETVPTKKRGRPKELESRVLDSDDRKDSKKGPLPSSMTYDENESHDMESSDLRGKIRGPSSPNSSSSANSVTEMRSSKTQSQKGASSLPKNVAGLVNTTKPKGPTFFSKMEPPLYNKTNSFNKDPQTFFRAPLVPDKPSELSYTTSKSMIINMAVDCVTYLKENMKADDPGQADKTQKINEVMKRIFAHSNNENKAMLIELVFWLCEKVDKLQEIDQVKVYDPDHALLHITYPVVYEPLLSLLKESVAAHQKLYERRVNINKVLCQRAHARREKVPDKACLACIAMYELPLRLRSLGKHLKQAFVQRVQYCEDNIALACLQYQELTIIEHYCPEQALKVIASGAALRLRSMDPKSIKGQETLDGDSHLITTLLRLTSTGSKSHNNKMGLLWRVMMKIAQIKVMTEPSVEEDPVWLKTTKKGPLRDNMLLDKVIFTAVLSKLPAAFQDPALLAIHGITVLPTILHELNSPDLSKHLPEFIQAFQTEKSSFIAHICHIAYKAQRPPGKKSKHHLVPLLASRIHPFDEEIKSGTNKFIQLAAEAVKEDDMKLCALLSEFTEASHFSIPHTFSLVKLLELGARIAQDTPVGEDEDDATGDISKNVQKILASISETDIHVPAIRELLFKIMELFPNTPQKPNGLKKTIERVCGEAQKCSCTPSVLVELQKRIKEDDELVQTFKKMFDQAKGPDPLNRTIVMVKSFIAKVSADTKPQKILDLLDKVQHRLLNQCKWMENLAKVANLDLTAYLYYLCKMRETTELNHPVMYQAFIREVLLRDDISNQYYIHLLKDKIWAIQKAADKGAASQLAYKKYIQALGMMAEKRVRWRKDLTRERVFNLLHDNVLLIDKKAATVISVIVDHRIAEEPDHSRVKLYETLDKALDPHNMLCHSAFKVCELRIPVHIDNFRGLYCQWLPKNQSALESDKAGFMKALLKVVQLREENDNSKLKFELQPLVSLLLHSDINYLELILDFVHESLDSISTKGLMLVQNFFNTIYPEIAQATRKLLAKALDYAQKNLNKHAPVLNVLVTDLLEQSVTDGECIISSMLDVMHMHVKQNHGNNIVSFLTRYLQTFIENSDELEFCINYSKVWAINELLYFYRNFQPSKTDELGKLQRTIFMFIDKLKDPSTYPAEPAYIRFIDALCCAVLLRVDKDPHKLFFDSALHLALNLYLEKEEESDQDMDMFTKVVMKLRRVMEEKSIKDSIEKVGPPYTIKGDNTRTKSHAM
+>sp|A8MUH7|PDZ1P_HUMAN Putative PDZ domain-containing protein PDZK1P1 OS=Homo sapiens OX=9606 GN=PDZK1P1 PE=5 SV=2
+MNGGVQTWTQPRLCYLVKEGGSHGFSLKTVQGKKGVYMTDITPQGVAMRAGVLADDHLIEVNGENVEDASHEEVVEKVKKSGSRVMFLLVDKETDKRHVEQKIQFKRETASLKLLPHQPRIVEMKKGSNGYGFYLRAGSEQKGWGRVGQIIKDIDSGSPAEEAGLKNNDLVVAVNGESVETLDHDSVVEMIRKGGDQTSLLVVDKETDNMYRLAHFSPFLYYQSQELPNGSVKEAPAPTPTSLEVSSPPDTTEEEDHKPKLCRLAKGENGYGFHLNAIRGLPGSFIKEVQKGGPADLAGLEDEDVIIEVNGVNVLDEPYEKVVDRIQSSGKNVTLLVCGKKAYDYFQAKKIPIVSSLADPLDTPPDSKEGIVVESKHDSHMAKERAHSTASHSSSNSEDTEM
+>DECOY_sp|A8MUH7|PDZ1P_HUMAN Putative PDZ domain-containing protein PDZK1P1 OS=Homo sapiens OX=9606 GN=PDZK1P1 PE=5 SV=2
+METDESNSSSHSATSHAREKAMHSDHKSEVVIGEKSDPPTDLPDALSSVIPIKKAQFYDYAKKGCVLLTVNKGSSQIRDVVKEYPEDLVNVGNVEIIVDEDELGALDAPGGKQVEKIFSGPLGRIANLHFGYGNEGKALRCLKPKHDEEETTDPPSSVELSTPTPAPAEKVSGNPLEQSQYYLFPSFHALRYMNDTEKDVVLLSTQDGGKRIMEVVSDHDLTEVSEGNVAVVLDNNKLGAEEAPSGSDIDKIIQGVRGWGKQESGARLYFGYGNSGKKMEVIRPQHPLLKLSATERKFQIKQEVHRKDTEKDVLLFMVRSGSKKVKEVVEEHSADEVNEGNVEILHDDALVGARMAVGQPTIDTMYVGKKGQVTKLSFGHSGGEKVLYCLRPQTWTQVGGNM
+>sp|Q76G19|PDZD4_HUMAN PDZ domain-containing protein 4 OS=Homo sapiens OX=9606 GN=PDZD4 PE=1 SV=1
+MGCNMCVVQKPEEQYKVMLQVNGKELSKLSQEQTLQALRSSKEPLVIQVLRRSPRLRGDSSCHDLQLVDSGTQTDITFEHIMALGKLRPPTPPMVILEPPPISHEYYDPAEFMEGGPQEADRLDELEYEEVELYKSSHRDKLGLMVCYRTDDEEDLGIYVGEVNPNSIAAKDGRIREGDRIIQINGVDVQNREEAVAILSQEENTNISLLVARPESQLAKRWKDSDRDDFLDDFGSENEGELRARKLKSPPAQQPGNEEEKGAPDAGPGLSNSQELDSGVGRTDESTRNEESSEHDLLGDEPPSSTNTPGSLRKFGLQGDALQSRDFHFSMDSLLAEGAGLGGGDVPGLTDEEYERYRELLEIKCHLENGNQLGLLFPRASGGNSALDVNRNESLGHEMAMLEEELRHLEFKCRNILRAQKMQQLRERCMKAWLLEEESLYDLAASEPKKHELSDISELPEKSDKDSTSAYNTGESCRSTPLLVEPLPESPLRRAMAGNSNLNRTPPGPAVATPAKAAPPPGSPAKFRSLSRDPEAGRRQHAEERGRRNPKTGLTLERVGPESSPYLSRRHRGQGQEGEHYHSCVQLAPTRGLEELGHGPLSLAGGPRVGGVAAAATEAPRMEWKVKVRSDGTRYVAKRPVRDRLLKARALKIREERSGMTTDDDAVSEMKMGRYWSKEERKQHLIRAREQRKRREFMMQSRLECLREQQNGDSKPELNIIALSHRKTMKKRNKKILDNWITIQEMLAHGARSADGKRVYNPLLSVTTV
+>DECOY_sp|Q76G19|PDZD4_HUMAN PDZ domain-containing protein 4 OS=Homo sapiens OX=9606 GN=PDZD4 PE=1 SV=1
+VTTVSLLPNYVRKGDASRAGHALMEQITIWNDLIKKNRKKMTKRHSLAIINLEPKSDGNQQERLCELRSQMMFERRKRQERARILHQKREEKSWYRGMKMESVADDDTTMGSREERIKLARAKLLRDRVPRKAVYRTGDSRVKVKWEMRPAETAAAAVGGVRPGGALSLPGHGLEELGRTPALQVCSHYHEGEQGQGRHRRSLYPSSEPGVRELTLGTKPNRRGREEAHQRRGAEPDRSLSRFKAPSGPPPAAKAPTAVAPGPPTRNLNSNGAMARRLPSEPLPEVLLPTSRCSEGTNYASTSDKDSKEPLESIDSLEHKKPESAALDYLSEEELLWAKMCRERLQQMKQARLINRCKFELHRLEEELMAMEHGLSENRNVDLASNGGSARPFLLGLQNGNELHCKIELLERYREYEEDTLGPVDGGGLGAGEALLSDMSFHFDRSQLADGQLGFKRLSGPTNTSSPPEDGLLDHESSEENRTSEDTRGVGSDLEQSNSLGPGADPAGKEEENGPQQAPPSKLKRARLEGENESGFDDLFDDRDSDKWRKALQSEPRAVLLSINTNEEQSLIAVAEERNQVDVGNIQIIRDGERIRGDKAAISNPNVEGVYIGLDEEDDTRYCVMLGLKDRHSSKYLEVEEYELEDLRDAEQPGGEMFEAPDYYEHSIPPPELIVMPPTPPRLKGLAMIHEFTIDTQTGSDVLQLDHCSSDGRLRPSRRLVQIVLPEKSSRLAQLTQEQSLKSLEKGNVQLMVKYQEEPKQVVCMNCGM
+>sp|Q8NEN9|PDZD8_HUMAN PDZ domain-containing protein 8 OS=Homo sapiens OX=9606 GN=PDZD8 PE=1 SV=1
+MGLLLMILASAVLGSFLTLLAQFFLLYRRQPEPPADEAARAGEGFRYIKPVPGLLLREYLYGGGRDEEPSGAAPEGGATPTAAPETPAPPTRETCYFLNATILFLFRELRDTALTRRWVTKKIKVEFEELLQTKTAGRLLEGLSLRDVFLGETVPFIKTIRLVRPVVPSATGEPDGPEGEALPAACPEELAFEAEVEYNGGFHLAIDVDLVFGKSAYLFVKLSRVVGRLRLVFTRVPFTHWFFSFVEDPLIDFEVRSQFEGRPMPQLTSIIVNQLKKIIKRKHTLPNYKIRFKPFFPYQTLQGFEEDEEHIHIQQWALTEGRLKVTLLECSRLLIFGSYDREANVHCTLELSSSVWEEKQRSSIKTVELIKGNLQSVGLTLRLVQSTDGYAGHVIIETVAPNSPAAIADLQRGDRLIAIGGVKITSTLQVLKLIKQAGDRVLVYYERPVGQSNQGAVLQDNFGQLEENFLSSSCQSGYEEEAAGLTVDTESRELDSEFEDLASDVRAQNEFKDEAQSLSHSPKRVPTTLSIKPLGAISPVLNRKLAVGSHPLPPKIQSKDGNKPPPLKTSEITDPAQVSKPTQGSAFKPPVPPRPQAKVPLPSADAPNQAEPDVLVEKPEKVVPPPLVDKSAEKQAKNVDAIDDAAAPKQFLAKQEVAKDVTSETSCPTKDSSDDRQTWESSEILYRNKLGKWTRTRASCLFDIEACHRYLNIALWCRDPFKLGGLICLGHVSLKLEDVALGCLATSNTEYLSKLRLEAPSPKAIVTRTALRNLSMQKGFNDKFCYGDITIHFKYLKEGESDHHVVTNVEKEKEPHLVEEVSVLPKEEQFVGQMGLTENKHSFQDTQFQNPTWCDYCKKKVWTKAASQCMFCAYVCHKKCQEKCLAETSVCGATDRRIDRTLKNLRLEGQETLLGLPPRVDAEASKSVNKTTGLTRHIINTSSRLLNLRQVSKTRLSEPGTDLVEPSPKHTPNTSDNEGSDTEVCGPNSPSKRGNSTGIKLVRKEGGLDDSVFIAVKEIGRDLYRGLPTEERIQKLEFMLDKLQNEIDQELEHNNSLVREEKETTDTRKKSLLSAALAKSGERLQALTLLMIHYRAGIEDIETLESLSLDQHSKKISKYTDDTEEDLDNEISQLIDSQPFSSISDDLFGPSESV
+>DECOY_sp|Q8NEN9|PDZD8_HUMAN PDZ domain-containing protein 8 OS=Homo sapiens OX=9606 GN=PDZD8 PE=1 SV=1
+VSESPGFLDDSISSFPQSDILQSIENDLDEETDDTYKSIKKSHQDLSLSELTEIDEIGARYHIMLLTLAQLREGSKALAASLLSKKRTDTTEKEERVLSNNHELEQDIENQLKDLMFELKQIREETPLGRYLDRGIEKVAIFVSDDLGGEKRVLKIGTSNGRKSPSNPGCVETDSGENDSTNPTHKPSPEVLDTGPESLRTKSVQRLNLLRSSTNIIHRTLGTTKNVSKSAEADVRPPLGLLTEQGELRLNKLTRDIRRDTAGCVSTEALCKEQCKKHCVYACFMCQSAAKTWVKKKCYDCWTPNQFQTDQFSHKNETLGMQGVFQEEKPLVSVEEVLHPEKEKEVNTVVHHDSEGEKLYKFHITIDGYCFKDNFGKQMSLNRLATRTVIAKPSPAELRLKSLYETNSTALCGLAVDELKLSVHGLCILGGLKFPDRCWLAINLYRHCAEIDFLCSARTRTWKGLKNRYLIESSEWTQRDDSSDKTPCSTESTVDKAVEQKALFQKPAAADDIADVNKAQKEASKDVLPPPVVKEPKEVLVDPEAQNPADASPLPVKAQPRPPVPPKFASGQTPKSVQAPDTIESTKLPPPKNGDKSQIKPPLPHSGVALKRNLVPSIAGLPKISLTTPVRKPSHSLSQAEDKFENQARVDSALDEFESDLERSETDVTLGAAEEEYGSQCSSSLFNEELQGFNDQLVAGQNSQGVPREYYVLVRDGAQKILKLVQLTSTIKVGGIAILRDGRQLDAIAAPSNPAVTEIIVHGAYGDTSQVLRLTLGVSQLNGKILEVTKISSRQKEEWVSSSLELTCHVNAERDYSGFILLRSCELLTVKLRGETLAWQQIHIHEEDEEFGQLTQYPFFPKFRIKYNPLTHKRKIIKKLQNVIISTLQPMPRGEFQSRVEFDILPDEVFSFFWHTFPVRTFVLRLRGVVRSLKVFLYASKGFVLDVDIALHFGGNYEVEAEFALEEPCAAPLAEGEPGDPEGTASPVVPRVLRITKIFPVTEGLFVDRLSLGELLRGATKTQLLEEFEVKIKKTVWRRTLATDRLERFLFLITANLFYCTERTPPAPTEPAATPTAGGEPAAGSPEEDRGGGYLYERLLLGPVPKIYRFGEGARAAEDAPPEPQRRYLLFFQALLTLFSGLVASALIMLLLGM
+>sp|P43115|PE2R3_HUMAN Prostaglandin E2 receptor EP3 subtype OS=Homo sapiens OX=9606 GN=PTGER3 PE=1 SV=1
+MKETRGYGGDAPFCTRLNHSYTGMWAPERSAEARGNLTRPPGSGEDCGSVSVAFPITMLLTGFVGNALAMLLVSRSYRRRESKRKKSFLLCIGWLALTDLVGQLLTTPVVIVVYLSKQRWEHIDPSGRLCTFFGLTMTVFGLSSLFIASAMAVERALAIRAPHWYASHMKTRATRAVLLGVWLAVLAFALLPVLGVGQYTVQWPGTWCFISTGRGGNGTSSSHNWGNLFFASAFAFLGLLALTVTFSCNLATIKALVSRCRAKATASQSSAQWGRITTETAIQLMGIMCVLSVCWSPLLIMMLKMIFNQTSVEHCKTHTEKQKECNFFLIAVRLASLNQILDPWVYLLLRKILLRKFCQIRYHTNNYASSSTSLPCQCSSTLMWSDHLER
+>DECOY_sp|P43115|PE2R3_HUMAN Prostaglandin E2 receptor EP3 subtype OS=Homo sapiens OX=9606 GN=PTGER3 PE=1 SV=1
+RELHDSWMLTSSCQCPLSTSSSAYNNTHYRIQCFKRLLIKRLLLYVWPDLIQNLSALRVAILFFNCEKQKETHTKCHEVSTQNFIMKLMMILLPSWCVSLVCMIGMLQIATETTIRGWQASSQSATAKARCRSVLAKITALNCSFTVTLALLGLFAFASAFFLNGWNHSSSTGNGGRGTSIFCWTGPWQVTYQGVGLVPLLAFALVALWVGLLVARTARTKMHSAYWHPARIALAREVAMASAIFLSSLGFVTMTLGFFTCLRGSPDIHEWRQKSLYVVIVVPTTLLQGVLDTLALWGICLLFSKKRKSERRRYSRSVLLMALANGVFGTLLMTIPFAVSVSGCDEGSGPPRTLNGRAEASREPAWMGTYSHNLRTCFPADGGYGRTEKM
+>sp|Q15121|PEA15_HUMAN Astrocytic phosphoprotein PEA-15 OS=Homo sapiens OX=9606 GN=PEA15 PE=1 SV=2
+MAEYGTLLQDLTNNITLEDLEQLKSACKEDIPSEKSEEITTGSAWFSFLESHNKLDKDNLSYIEHIFEISRRPDLLTMVVDYRTRVLKISEEDELDTKLTRIPSAKKYKDIIRQPSEEEIIKLAPPPKKA
+>DECOY_sp|Q15121|PEA15_HUMAN Astrocytic phosphoprotein PEA-15 OS=Homo sapiens OX=9606 GN=PEA15 PE=1 SV=2
+AKKPPPALKIIEEESPQRIIDKYKKASPIRTLKTDLEDEESIKLVRTRYDVVMTLLDPRRSIEFIHEIYSLNDKDLKNHSELFSFWASGTTIEESKESPIDEKCASKLQELDELTINNTLDQLLTGYEAM
+>sp|Q6ZS72|PEAK3_HUMAN Uncharacterized protein PEAK3 OS=Homo sapiens OX=9606 GN=PEAK3 PE=2 SV=1
+MSSPEPPTEPPEPDNPTWSTQPTYSNLGQIRAHLLPSKACRLRTPGSLSTNPEPLPPPLPKKILTRTQSLPTRRTLHPSSIQVQPPRRPFLGSHSVDKSQAAVGPACLPAELTFGPADAPLGLSLRDLHSPEAVHTALAARQLQGLRTIYARLRARLMGGHPGPCHPGHSFRLLDSSPCAESGDALYYRVVRAHEDAWHILVAKVPKPGADVPHPWGLELQASLSPHFNLQGLCGLVPEGTLPGAPWRGAVALAAEVPERTVAQWLAEACTQPPEEFVWAVALLLLQLSAALKFLEAWGAALVELRPENLLLVAPRGCATTGPPRLLLTDFGRVCLQPPGPPGSPGPHAPQLGSLLRALLSLAAPSTTPLAAGLELLAAQLTRLRPSASRTRGALQALLWGPGPELRGRGAPLGPWLRALGPWLRVRRGLLVLRLAERAAGGEAPSLEDWLCCEYLAEATESSMGQALALLWD
+>DECOY_sp|Q6ZS72|PEAK3_HUMAN Uncharacterized protein PEAK3 OS=Homo sapiens OX=9606 GN=PEAK3 PE=2 SV=1
+DWLLALAQGMSSETAEALYECCLWDELSPAEGGAAREALRLVLLGRRVRLWPGLARLWPGLPAGRGRLEPGPGWLLAQLAGRTRSASPRLRTLQAALLELGAALPTTSPAALSLLARLLSGLQPAHPGPSGPPGPPQLCVRGFDTLLLRPPGTTACGRPAVLLLNEPRLEVLAAGWAELFKLAASLQLLLLAVAWVFEEPPQTCAEALWQAVTREPVEAALAVAGRWPAGPLTGEPVLGCLGQLNFHPSLSAQLELGWPHPVDAGPKPVKAVLIHWADEHARVVRYYLADGSEACPSSDLLRFSHGPHCPGPHGGMLRARLRAYITRLGQLQRAALATHVAEPSHLDRLSLGLPADAPGFTLEAPLCAPGVAAQSKDVSHSGLFPRRPPQVQISSPHLTRRTPLSQTRTLIKKPLPPPLPEPNTSLSGPTRLRCAKSPLLHARIQGLNSYTPQTSWTPNDPEPPETPPEPSSM
+>sp|Q96RR1|PEO1_HUMAN Twinkle protein, mitochondrial OS=Homo sapiens OX=9606 GN=TWNK PE=1 SV=1
+MWVLLRSGYPLRILLPLRGEWMGRRGLPRNLAPGPPRRRYRKETLQALDMPVLPVTATEIRQYLRGHGIPFQDGHSCLRALSPFAESSQLKGQTGVTTSFSLFIDKTTGHFLCMTSLAEGSWEDFQASVEGRGDGAREGFLLSKAPEFEDSEEVRRIWNRAIPLWELPDQEEVQLADTMFGLTKVTDDTLKRFSVRYLRPARSLVFPWFSPGGSGLRGLKLLEAKCQGDGVSYEETTIPRPSAYHNLFGLPLISRRDAEVVLTSRELDSLALNQSTGLPTLTLPRGTTCLPPALLPYLEQFRRIVFWLGDDLRSWEAAKLFARKLNPKRCFLVRPGDQQPRPLEALNGGFNLSRILRTALPAWHKSIVSFRQLREEVLGELSNVEQAAGLRWSRFPDLNRILKGHRKGELTVFTGPTGSGKTTFISEYALDLCSQGVNTLWGSFEISNVRLARVMLTQFAEGRLEDQLDKYDHWADRFEDLPLYFMTFHGQQSIRTVIDTMQHAVYVYDICHVIIDNLQFMMGHEQLSTDRIAAQDYIIGVFRKFATDNNCHVTLVIHPRKEDDDKELQTASIFGSAKASQEADNVLILQDRKLVTGPGKRYLQVSKNRFDGDVGVFPLEFNKNSLTFSIPPKNKARLKKIKDDTGPVAKKPSSGKKGATTQNSEICSGQAPTPDQPDTSKRSK
+>DECOY_sp|Q96RR1|PEO1_HUMAN Twinkle protein, mitochondrial OS=Homo sapiens OX=9606 GN=TWNK PE=1 SV=1
+KSRKSTDPQDPTPAQGSCIESNQTTAGKKGSSPKKAVPGTDDKIKKLRAKNKPPISFTLSNKNFELPFVGVDGDFRNKSVQLYRKGPGTVLKRDQLILVNDAEQSAKASGFISATQLEKDDDEKRPHIVLTVHCNNDTAFKRFVGIIYDQAAIRDTSLQEHGMMFQLNDIIVHCIDYVYVAHQMTDIVTRISQQGHFTMFYLPLDEFRDAWHDYKDLQDELRGEAFQTLMVRALRVNSIEFSGWLTNVGQSCLDLAYESIFTTKGSGTPGTFVTLEGKRHGKLIRNLDPFRSWRLGAAQEVNSLEGLVEERLQRFSVISKHWAPLATRLIRSLNFGGNLAELPRPQQDGPRVLFCRKPNLKRAFLKAAEWSRLDDGLWFVIRRFQELYPLLAPPLCTTGRPLTLTPLGTSQNLALSDLERSTLVVEADRRSILPLGFLNHYASPRPITTEEYSVGDGQCKAELLKLGRLGSGGPSFWPFVLSRAPRLYRVSFRKLTDDTVKTLGFMTDALQVEEQDPLEWLPIARNWIRRVEESDEFEPAKSLLFGERAGDGRGEVSAQFDEWSGEALSTMCLFHGTTKDIFLSFSTTVGTQGKLQSSEAFPSLARLCSHGDQFPIGHGRLYQRIETATVPLVPMDLAQLTEKRYRRRPPGPALNRPLGRRGMWEGRLPLLIRLPYGSRLLVWM
+>sp|Q5QFB9|PAPAS_HUMAN Protein PAPPAS OS=Homo sapiens OX=9606 GN=PAPPA-AS1 PE=5 SV=1
+MRYGFVRKKHRGLFLTTVAALPIWNPISEFVKWYKSHKLSQHCIRICGHLCQKHLDMFLSVIGQRWPIDVFSSVFDHQVSAIGSDIIWWFLKLFLVSFFFFF
+>DECOY_sp|Q5QFB9|PAPAS_HUMAN Protein PAPPAS OS=Homo sapiens OX=9606 GN=PAPPA-AS1 PE=5 SV=1
+FFFFFSVLFLKLFWWIIDSGIASVQHDFVSSFVDIPWRQGIVSLFMDLHKQCLHGCIRICHQSLKHSKYWKVFESIPNWIPLAAVTTLFLGRHKKRVFGYRM
+>sp|Q8NDF8|PAPD5_HUMAN Non-canonical poly(A) RNA polymerase PAPD5 OS=Homo sapiens OX=9606 GN=PAPD5 PE=1 SV=2
+MYRSGERLLGSHALPAEQRDFLPLETTNNNNNHHQPGAWARRAGSSASSPPSASSSPHPSAAVPAADPADSASGSSNKRKRDNKASGGRAAGGGRADGGGVVYSGTPWKRRNYNQGVVGLHEEISDFYEYMSPRPEEEKMRMEVVNRIESVIKELWPSADVQIFGSFKTGLYLPTSDIDLVVFGKWENLPLWTLEEALRKHKVADEDSVKVLDKATVPIIKLTDSFTEVKVDISFNVQNGVRAADLIKDFTKKYPVLPYLVLVLKQFLLQRDLNEVFTGGIGSYSLFLMAVSFLQLHPREDACIPNTNYGVLLIEFFELYGRHFNYLKTGIRIKDGGSYVAKDEVQKNMLDGYRPSMLYIEDPLQPGNDVGRSSYGAMQVKQAFDYAYVVLSHAVSPIAKYYPNNETESILGRIIRVTDEVATYRDWISKQWGLKNRPEPSCNGPVSSSSATQSSSSDVDSDATPCKTPKQLLCRPSTGNRVGSQDVSLESSQAVGKMQSTQTTNTSNSTNKSQHGSARLFRSSSKGFQGTTQTSHGSLMTNKQHQGKSNNQYYHGKKRKHKRDAPLSDLCR
+>DECOY_sp|Q8NDF8|PAPD5_HUMAN Non-canonical poly(A) RNA polymerase PAPD5 OS=Homo sapiens OX=9606 GN=PAPD5 PE=1 SV=2
+RCLDSLPADRKHKRKKGHYYQNNSKGQHQKNTMLSGHSTQTTGQFGKSSSRFLRASGHQSKNTSNSTNTTQTSQMKGVAQSSELSVDQSGVRNGTSPRCLLQKPTKCPTADSDVDSSSSQTASSSSVPGNCSPEPRNKLGWQKSIWDRYTAVEDTVRIIRGLISETENNPYYKAIPSVAHSLVVYAYDFAQKVQMAGYSSRGVDNGPQLPDEIYLMSPRYGDLMNKQVEDKAVYSGGDKIRIGTKLYNFHRGYLEFFEILLVGYNTNPICADERPHLQLFSVAMLFLSYSGIGGTFVENLDRQLLFQKLVLVLYPLVPYKKTFDKILDAARVGNQVNFSIDVKVETFSDTLKIIPVTAKDLVKVSDEDAVKHKRLAEELTWLPLNEWKGFVVLDIDSTPLYLGTKFSGFIQVDASPWLEKIVSEIRNVVEMRMKEEEPRPSMYEYFDSIEEHLGVVGQNYNRRKWPTGSYVVGGGDARGGGAARGGSAKNDRKRKNSSGSASDAPDAAPVAASPHPSSSASPPSSASSGARRAWAGPQHHNNNNNTTELPLFDRQEAPLAHSGLLREGSRYM
+>sp|Q9BWT3|PAPOG_HUMAN Poly(A) polymerase gamma OS=Homo sapiens OX=9606 GN=PAPOLG PE=1 SV=2
+MKEMSANTVLDSQRQQKHYGITSPISLASPKEIDHIYTQKLIDAMKPFGVFEDEEELNHRLVVLGKLNNLVKEWISDVSESKNLPPSVVATVGGKIFTFGSYRLGVHTKGADIDALCVAPRHVERSDFFQSFFEKLKHQDGIRNLRAVEDAFVPVIKFEFDGIEIDLVFARLAIQTISDNLDLRDDSRLRSLDIRCIRSLNGCRVTDEILHLVPNKETFRLTLRAVKLWAKRRGIYSNMLGFLGGVSWAMLVARTCQLYPNAAASTLVHKFFLVFSKWEWPNPVLLKQPEESNLNLPVWDPRVNPSDRYHLMPIITPAYPQQNSTYNVSTSTRTVMVEEFKQGLAVTDEILQGKSDWSKLLEPPNFFQKYRHYIVLTASASTEENHLEWVGLVESKIRVLVGNLERNEFITLAHVNPQSFPGNKEHHKDNNYVSMWFLGIIFRRVENAESVNIDLTYDIQSFTDTVYRQANNINMLKEGMKIEATHVKKKQLHHYLPAEILQKKKKQSLSDVNRSSGGLQSKRLSLDSSCLDSSRDTDNGTPFNSPASKSDSPSVGETERNSAEPAAVIVEKPLSVPPAQGLSIPVIGAKVDSTVKTVSPPTVCTIPTVVGRNVIPRITTPHNPAQGQPHLNGMSNITKTVTPKRSHSPSIDGTPKRLKDVEKFIRLESTFKDPRTAEERKRKSVDAIGGESMPIPTIDTSRKKRLPSKELPDSSSPVPANNIRVIKNSIRLTLNR
+>DECOY_sp|Q9BWT3|PAPOG_HUMAN Poly(A) polymerase gamma OS=Homo sapiens OX=9606 GN=PAPOLG PE=1 SV=2
+RNLTLRISNKIVRINNAPVPSSSDPLEKSPLRKKRSTDITPIPMSEGGIADVSKRKREEATRPDKFTSELRIFKEVDKLRKPTGDISPSHSRKPTVTKTINSMGNLHPQGQAPNHPTTIRPIVNRGVVTPITCVTPPSVTKVTSDVKAGIVPISLGQAPPVSLPKEVIVAAPEASNRETEGVSPSDSKSAPSNFPTGNDTDRSSDLCSSDLSLRKSQLGGSSRNVDSLSQKKKKQLIEAPLYHHLQKKKVHTAEIKMGEKLMNINNAQRYVTDTFSQIDYTLDINVSEANEVRRFIIGLFWMSVYNNDKHHEKNGPFSQPNVHALTIFENRELNGVLVRIKSEVLGVWELHNEETSASATLVIYHRYKQFFNPPELLKSWDSKGQLIEDTVALGQKFEEVMVTRTSTSVNYTSNQQPYAPTIIPMLHYRDSPNVRPDWVPLNLNSEEPQKLLVPNPWEWKSFVLFFKHVLTSAAANPYLQCTRAVLMAWSVGGLFGLMNSYIGRRKAWLKVARLTLRFTEKNPVLHLIEDTVRCGNLSRICRIDLSRLRSDDRLDLNDSITQIALRAFVLDIEIGDFEFKIVPVFADEVARLNRIGDQHKLKEFFSQFFDSREVHRPAVCLADIDAGKTHVGLRYSGFTFIKGGVTAVVSPPLNKSESVDSIWEKVLNNLKGLVVLRHNLEEEDEFVGFPKMADILKQTYIHDIEKPSALSIPSTIGYHKQQRQSDLVTNASMEKM
+>sp|Q9BXP8|PAPP2_HUMAN Pappalysin-2 OS=Homo sapiens OX=9606 GN=PAPPA2 PE=1 SV=4
+MMCLKILRISLAILAGWALCSANSELGWTRKKSLVEREHLNQVLLEGERCWLGAKVRRPRASPQHHLFGVYPSRAGNYLRPYPVGEQEIHHTGRSKPDTEGNAVSLVPPDLTENPAGLRGAVEEPAAPWVGDSPIGQSELLGDDDAYLGNQRSKESLGEAGIQKGSAMAATTTTAIFTTLNEPKPETQRRGWAKSRQRRQVWKRRAEDGQGDSGISSHFQPWPKHSLKHRVKKSPPEESNQNGGEGSYREAETFNSQVGLPILYFSGRRERLLLRPEVLAEIPREAFTVEAWVKPEGGQNNPAIIAGVFDNCSHTVSDKGWALGIRSGKDKGKRDARFFFSLCTDRVKKATILISHSRYQPGTWTHVAATYDGRHMALYVDGTQVASSLDQSGPLNSPFMASCRSLLLGGDSSEDGHYFRGHLGTLVFWSTALPQSHFQHSSQHSSGEEEATDLVLTASFEPVNTEWVPFRDEKYPRLEVLQGFEPEPEILSPLQPPLCGQTVCDNVELISQYNGYWPLRGEKVIRYQVVNICDDEGLNPIVSEEQIRLQHEALNEAFSRYNISWQLSVHQVHNSTLRHRVVLVNCEPSKIGNDHCDPECEHPLTGYDGGDCRLQGRCYSWNRRDGLCHVECNNMLNDFDDGDCCDPQVADVRKTCFDPDSPKRAYMSVKELKEALQLNSTHFLNIYFASSVREDLAGAATWPWDKDAVTHLGGIVLSPAYYGMPGHTDTMIHEVGHVLGLYHVFKGVSERESCNDPCKETVPSMETGDLCADTAPTPKSELCREPEPTSDTCGFTRFPGAPFTNYMSYTDDNCTDNFTPNQVARMHCYLDLVYQQWTESRKPTPIPIPPMVIGQTNKSLTIHWLPPISGVVYDRASGSLCGACTEDGTFRQYVHTASSRRVCDSSGYWTPEEAVGPPDVDQPCEPSLQAWSPEVHLYHMNMTVPCPTEGCSLELLFQHPVQADTLTLWVTSFFMESSQVLFDTEILLENKESVHLGPLDTFCDIPLTIKLHVDGKVSGVKVYTFDERIEIDAALLTSQPHSPLCSGCRPVRYQVLRDPPFASGLPVVVTHSHRKFTDVEVTPGQMYQYQVLAEAGGELGEASPPLNHIHGAPYCGDGKVSERLGEECDDGDLVSGDGCSKVCELEEGFNCVGEPSLCYMYEGDGICEPFERKTSIVDCGIYTPKGYLDQWATRAYSSHEDKKKCPVSLVTGEPHSLICTSYHPDLPNHRPLTGWFPCVASENETQDDRSEQPEGSLKKEDEVWLKVCFNRPGEARAIFIFLTTDGLVPGEHQQPTVTLYLTDVRGSNHSLGTYGLSCQHNPLIINVTHHQNVLFHHTTSVLLNFSSPRVGISAVALRTSSRIGLSAPSNCISEDEGQNHQGQSCIHRPCGKQDSCPSLLLDHADVVNCTSIGPGLMKCAITCQRGFALQASSGQYIRPMQKEILLTCSSGHWDQNVSCLPVDCGVPDPSLVNYANFSCSEGTKFLKRCSISCVPPAKLQGLSPWLTCLEDGLWSLPEVYCKLECDAPPIILNANLLLPHCLQDNHDVGTICKYECKPGYYVAESAEGKVRNKLLKIQCLEGGIWEQGSCIPVVCEPPPPVFEGMYECTNGFSLDSQCVLNCNQEREKLPILCTKEGLWTQEFKLCENLQGECPPPPSELNSVEYKCEQGYGIGAVCSPLCVIPPSDPVMLPENITADTLEHWMEPVKVQSIVCTGRRQWHPDPVLVHCIQSCEPFQADGWCDTINNRAYCHYDGGDCCSSTLSSKKVIPFAADCDLDECTCRDPKAEENQ
+>DECOY_sp|Q9BXP8|PAPP2_HUMAN Pappalysin-2 OS=Homo sapiens OX=9606 GN=PAPPA2 PE=1 SV=4
+QNEEAKPDRCTCEDLDCDAAFPIVKKSSLTSSCCDGGDYHCYARNNITDCWGDAQFPECSQICHVLVPDPHWQRRGTCVISQVKVPEMWHELTDATINEPLMVPDSPPIVCLPSCVAGIGYGQECKYEVSNLESPPPPCEGQLNECLKFEQTWLGEKTCLIPLKEREQNCNLVCQSDLSFGNTCEYMGEFVPPPPECVVPICSGQEWIGGELCQIKLLKNRVKGEASEAVYYGPKCEYKCITGVDHNDQLCHPLLLNANLIIPPADCELKCYVEPLSWLGDELCTLWPSLGQLKAPPVCSISCRKLFKTGESCSFNAYNVLSPDPVGCDVPLCSVNQDWHGSSCTLLIEKQMPRIYQGSSAQLAFGRQCTIACKMLGPGISTCNVVDAHDLLLSPCSDQKGCPRHICSQGQHNQGEDESICNSPASLGIRSSTRLAVASIGVRPSSFNLLVSTTHHFLVNQHHTVNIILPNHQCSLGYTGLSHNSGRVDTLYLTVTPQQHEGPVLGDTTLFIFIARAEGPRNFCVKLWVEDEKKLSGEPQESRDDQTENESAVCPFWGTLPRHNPLDPHYSTCILSHPEGTVLSVPCKKKDEHSSYARTAWQDLYGKPTYIGCDVISTKREFPECIGDGEYMYCLSPEGVCNFGEELECVKSCGDGSVLDGDDCEEGLRESVKGDGCYPAGHIHNLPPSAEGLEGGAEALVQYQYMQGPTVEVDTFKRHSHTVVVPLGSAFPPDRLVQYRVPRCGSCLPSHPQSTLLAADIEIREDFTYVKVGSVKGDVHLKITLPIDCFTDLPGLHVSEKNELLIETDFLVQSSEMFFSTVWLTLTDAQVPHQFLLELSCGETPCPVTMNMHYLHVEPSWAQLSPECPQDVDPPGVAEEPTWYGSSDCVRRSSATHVYQRFTGDETCAGCLSGSARDYVVGSIPPLWHITLSKNTQGIVMPPIPIPTPKRSETWQQYVLDLYCHMRAVQNPTFNDTCNDDTYSMYNTFPAGPFRTFGCTDSTPEPERCLESKPTPATDACLDGTEMSPVTEKCPDNCSERESVGKFVHYLGLVHGVEHIMTDTHGPMGYYAPSLVIGGLHTVADKDWPWTAAGALDERVSSAFYINLFHTSNLQLAEKLEKVSMYARKPSDPDFCTKRVDAVQPDCCDGDDFDNLMNNCEVHCLGDRRNWSYCRGQLRCDGGDYGTLPHECEPDCHDNGIKSPECNVLVVRHRLTSNHVQHVSLQWSINYRSFAENLAEHQLRIQEESVIPNLGEDDCINVVQYRIVKEGRLPWYGNYQSILEVNDCVTQGCLPPQLPSLIEPEPEFGQLVELRPYKEDRFPVWETNVPEFSATLVLDTAEEEGSSHQSSHQFHSQPLATSWFVLTGLHGRFYHGDESSDGGLLLSRCSAMFPSNLPGSQDLSSAVQTGDVYLAMHRGDYTAAVHTWTGPQYRSHSILITAKKVRDTCLSFFFRADRKGKDKGSRIGLAWGKDSVTHSCNDFVGAIIAPNNQGGEPKVWAEVTFAERPIEALVEPRLLLRERRGSFYLIPLGVQSNFTEAERYSGEGGNQNSEEPPSKKVRHKLSHKPWPQFHSSIGSDGQGDEARRKWVQRRQRSKAWGRRQTEPKPENLTTFIATTTTAAMASGKQIGAEGLSEKSRQNGLYADDDGLLESQGIPSDGVWPAAPEEVAGRLGAPNETLDPPVLSVANGETDPKSRGTHHIEQEGVPYPRLYNGARSPYVGFLHHQPSARPRRVKAGLWCREGELLVQNLHEREVLSKKRTWGLESNASCLAWGALIALSIRLIKLCMM
+>sp|Q9NXK6|PAQR5_HUMAN Membrane progestin receptor gamma OS=Homo sapiens OX=9606 GN=PAQR5 PE=1 SV=2
+MLSLKLPRLFSIDQIPQVFHEQGILFGYRHPQSSATACILSLFQMTNETLNIWTHLLPFWFFAWRFVTALYMTDIKNDSYSWPMLVYMCTSCVYPLVSSCAHTFSSMSKNARHICYFLDYGAVNLFSLGSAIAYSAYTFPDALMCTTFHDYYVALAVLNTILSTGLSCYSRFLEIQKPRLCKVIRVLAFAYPYTWDSLPIFYRLFLFPGESAQNEATSYHQKHMIMTLLASFLYSAHLPERLAPGRFDYIGHSHQLFHVCVILATHMQMEAILLDKTLRKEWLLATSKPFSFSQIAGAILLCIIFSLSNIIYFSAALYRIPKPELHKKET
+>DECOY_sp|Q9NXK6|PAQR5_HUMAN Membrane progestin receptor gamma OS=Homo sapiens OX=9606 GN=PAQR5 PE=1 SV=2
+TEKKHLEPKPIRYLAASFYIINSLSFIICLLIAGAIQSFSFPKSTALLWEKRLTKDLLIAEMQMHTALIVCVHFLQHSHGIYDFRGPALREPLHASYLFSALLTMIMHKQHYSTAENQASEGPFLFLRYFIPLSDWTYPYAFALVRIVKCLRPKQIELFRSYCSLGTSLITNLVALAVYYDHFTTCMLADPFTYASYAIASGLSFLNVAGYDLFYCIHRANKSMSSFTHACSSVLPYVCSTCMYVLMPWSYSDNKIDTMYLATVFRWAFFWFPLLHTWINLTENTMQFLSLICATASSQPHRYGFLIGQEHFVQPIQDISFLRPLKLSLM
+>sp|Q6ZVX9|PAQR9_HUMAN Membrane progestin receptor epsilon OS=Homo sapiens OX=9606 GN=PAQR9 PE=1 SV=1
+MPRRLQPRGAGTKGPPAPAPAASGAARNSHSAASRDPPASAKPLLRWDEVPDDFVECFILSGYRRLPCTAQECLASVLKPTNETLNFWTHFIPLLLFLSKFCRLFFLSGGDVPFHHPWLLPLWCYASGVLLTFAMSCTAHVFSCLSLRLRAAFFYLDYASISYYGFGSTVAYYYYLLPGLSLLDARVMTPYLQQRLGWHVDCTRLIAAYRALVLPVAFVLAVACTVACCKSRTDWCTYPFALRTFVFVMPLSMACPIMLESWLFDLRGENPTLFVHFYRRYFWLVVAAFFNVSKIPERIQPGLFDIIGHSHQLFHIFTFLSIYDQVYYVEEGLRQFLQAPPAAPTFSGTVGYMLLLVVCLGLVIRKFLNSSEFCSKK
+>DECOY_sp|Q6ZVX9|PAQR9_HUMAN Membrane progestin receptor epsilon OS=Homo sapiens OX=9606 GN=PAQR9 PE=1 SV=1
+KKSCFESSNLFKRIVLGLCVVLLLMYGVTGSFTPAAPPAQLFQRLGEEVYYVQDYISLFTFIHFLQHSHGIIDFLGPQIREPIKSVNFFAAVVLWFYRRYFHVFLTPNEGRLDFLWSELMIPCAMSLPMVFVFTRLAFPYTCWDTRSKCCAVTCAVALVFAVPLVLARYAAILRTCDVHWGLRQQLYPTMVRADLLSLGPLLYYYYAVTSGFGYYSISAYDLYFFAARLRLSLCSFVHATCSMAFTLLVGSAYCWLPLLWPHHFPVDGGSLFFLRCFKSLFLLLPIFHTWFNLTENTPKLVSALCEQATCPLRRYGSLIFCEVFDDPVEDWRLLPKASAPPDRSAASHSNRAAGSAAPAPAPPGKTGAGRPQLRRPM
+>sp|Q9NR21|PAR11_HUMAN Poly [ADP-ribose] polymerase 11 OS=Homo sapiens OX=9606 GN=PARP11 PE=1 SV=2
+MWEANPEMFHKAEELFSKTTNNEVDDMDTSDTQWGWFYLAECGKWHMFQPDTNSQCSVSSEDIEKSFKTNPCGSISFTTSKFSYKIDFAEMKQMNLTTGKQRLIKRAPFSISAFSYICENEAIPMPPHWENVNTQVPYQLIPLHNQTHEYNEVANLFGKTMDRNRIKRIQRIQNLDLWEFFCRKKAQLKKKRGVPQINEQMLFHGTSSEFVEAICIHNFDWRINGIHGAVFGKGTYFARDAAYSSRFCKDDIKHGNTFQIHGVSLQQRHLFRTYKSMFLARVLIGDYINGDSKYMRPPSKDGSYVNLYDSCVDDTWNPKIFVVFDANQIYPEYLIDFH
+>DECOY_sp|Q9NR21|PAR11_HUMAN Poly [ADP-ribose] polymerase 11 OS=Homo sapiens OX=9606 GN=PARP11 PE=1 SV=2
+HFDILYEPYIQNADFVVFIKPNWTDDVCSDYLNVYSGDKSPPRMYKSDGNIYDGILVRALFMSKYTRFLHRQQLSVGHIQFTNGHKIDDKCFRSSYAADRAFYTGKGFVAGHIGNIRWDFNHICIAEVFESSTGHFLMQENIQPVGRKKKLQAKKRCFFEWLDLNQIRQIRKIRNRDMTKGFLNAVENYEHTQNHLPILQYPVQTNVNEWHPPMPIAENECIYSFASISFPARKILRQKGTTLNMQKMEAFDIKYSFKSTTFSISGCPNTKFSKEIDESSVSCQSNTDPQFMHWKGCEALYFWGWQTDSTDMDDVENNTTKSFLEEAKHFMEPNAEWM
+>sp|Q460N5|PAR14_HUMAN Poly [ADP-ribose] polymerase 14 OS=Homo sapiens OX=9606 GN=PARP14 PE=1 SV=3
+MAVPGSFPLLVEGSWGPDPPKNLNTKLQMYFQSPKRSGGGECEVRQDPRSPSRFLVFFYPEDVRQKVLERKNHELVWQGKGTFKLTVQLPATPDEIDHVFEEELLTKESKTKEDVKEPDVSEELDTKLPLDGGLDKMEDIPEECENISSLVAFENLKANVTDIMLILLVENISGLSNDDFQVEIIRDFDVAVVTFQKHIDTIRFVDDCTKHHSIKQLQLSPRLLEVTNTIRVENLPPGADDYSLKLFFENPYNGGGRVANVEYFPEESSALIEFFDRKVLDTIMATKLDFNKMPLSVFPYYASLGTALYGKEKPLIKLPAPFEESLDLPLWKFLQKKNHLIEEINDEMRRCHCELTWSQLSGKVTIRPAATLVNEGRPRIKTWQADTSTTLSSIRSKYKVNPIKVDPTMWDTIKNDVKDDRILIEFDTLKEMVILAGKSEDVQSIEVQVRELIESTTQKIKREEQSLKEKMIISPGRYFLLCHSSLLDHLLTECPEIEICYDRVTQHLCLKGPSADVYKAKCEIQEKVYTMAQKNIQVSPEIFQFLQQVNWKEFSKCLFIAQKILALYELEGTTVLLTSCSSEALLEAEKQMLSALNYKRIEVENKEVLHGKKWKGLTHNLLKKQNSSPNTVIINELTSETTAEVIITGCVKEVNETYKLLFNFVEQNMKIERLVEVKPSLVIDYLKTEKKLFWPKIKKVNVQVSFNPENKQKGILLTGSKTEVLKAVDIVKQVWDSVCVKSVHTDKPGAKQFFQDKARFYQSEIKRLFGCYIELQENEVMKEGGSPAGQKCFSRTVLAPGVVLIVQQGDLARLPVDVVVNASNEDLKHYGGLAAALSKAAGPELQADCDQIVKREGRLLPGNATISKAGKLPYHHVIHAVGPRWSGYEAPRCVYLLRRAVQLSLCLAEKYKYRSIAIPAISSGVFGFPLGRCVETIVSAIKENFQFKKDGHCLKEIYLVDVSEKTVEAFAEAVKTVFKATLPDTAAPPGLPPAAAGPGKTSWEKGSLVSPGGLQMLLVKEGVQNAKTDVVVNSVPLDLVLSRGPLSKSLLEKAGPELQEELDTVGQGVAVSMGTVLKTSSWNLDCRYVLHVVAPEWRNGSTSSLKIMEDIIRECMEITESLSLKSIAFPAIGTGNLGFPKNIFAELIISEVFKFSSKNQLKTLQEVHFLLHPSDHENIQAFSDEFARRANGNLVSDKIPKAKDTQGFYGTVSSPDSGVYEMKIGSIIFQVASGDITKEEADVIVNSTSNSFNLKAGVSKAILECAGQNVERECSQQAQQRKNDYIITGGGFLRCKNIIHVIGGNDVKSSVSSVLQECEKKNYSSICLPAIGTGNAKQHPDKVAEAIIDAIEDFVQKGSAQSVKKVKVVIFLPQVLDVFYANMKKREGTQLSSQQSVMSKLASFLGFSKQSPQKKNHLVLEKKTESATFRVCGENVTCVEYAISWLQDLIEKEQCPYTSEDECIKDFDEKEYQELNELQKKLNINISLDHKRPLIKVLGISRDVMQARDEIEAMIKRVRLAKEQESRADCISEFIEWQYNDNNTSHCFNKMTNLKLEDARREKKKTVDVKINHRHYTVNLNTYTATDTKGHSLSVQRLTKSKVDIPAHWSDMKQQNFCVVELLPSDPEYNTVASKFNQTCSHFRIEKIERIQNPDLWNSYQAKKKTMDAKNGQTMNEKQLFHGTDAGSVPHVNRNGFNRSYAGKNAVAYGKGTYFAVNANYSANDTYSRPDANGRKHVYYVRVLTGIYTHGNHSLIVPPSKNPQNPTDLYDTVTDNVHHPSLFVAFYDYQAYPEYLITFRK
+>DECOY_sp|Q460N5|PAR14_HUMAN Poly [ADP-ribose] polymerase 14 OS=Homo sapiens OX=9606 GN=PARP14 PE=1 SV=3
+KRFTILYEPYAQYDYFAVFLSPHHVNDTVTDYLDTPNQPNKSPPVILSHNGHTYIGTLVRVYYVHKRGNADPRSYTDNASYNANVAFYTGKGYAVANKGAYSRNFGNRNVHPVSGADTGHFLQKENMTQGNKADMTKKKAQYSNWLDPNQIREIKEIRFHSCTQNFKSAVTNYEPDSPLLEVVCFNQQKMDSWHAPIDVKSKTLRQVSLSHGKTDTATYTNLNVTYHRHNIKVDVTKKKERRADELKLNTMKNFCHSTNNDNYQWEIFESICDARSEQEKALRVRKIMAEIEDRAQMVDRSIGLVKILPRKHDLSININLKKQLENLEQYEKEDFDKICEDESTYPCQEKEILDQLWSIAYEVCTVNEGCVRFTASETKKELVLHNKKQPSQKSFGLFSALKSMVSQQSSLQTGERKKMNAYFVDLVQPLFIVVKVKKVSQASGKQVFDEIADIIAEAVKDPHQKANGTGIAPLCISSYNKKECEQLVSSVSSKVDNGGIVHIINKCRLFGGGTIIYDNKRQQAQQSCEREVNQGACELIAKSVGAKLNFSNSTSNVIVDAEEKTIDGSAVQFIISGIKMEYVGSDPSSVTGYFGQTDKAKPIKDSVLNGNARRAFEDSFAQINEHDSPHLLFHVEQLTKLQNKSSFKFVESIILEAFINKPFGLNGTGIAPFAISKLSLSETIEMCERIIDEMIKLSSTSGNRWEPAVVHLVYRCDLNWSSTKLVTGMSVAVGQGVTDLEEQLEPGAKELLSKSLPGRSLVLDLPVSNVVVDTKANQVGEKVLLMQLGGPSVLSGKEWSTKGPGAAAPPLGPPAATDPLTAKFVTKVAEAFAEVTKESVDVLYIEKLCHGDKKFQFNEKIASVITEVCRGLPFGFVGSSIAPIAISRYKYKEALCLSLQVARRLLYVCRPAEYGSWRPGVAHIVHHYPLKGAKSITANGPLLRGERKVIQDCDAQLEPGAAKSLAAALGGYHKLDENSANVVVDVPLRALDGQQVILVVGPALVTRSFCKQGAPSGGEKMVENEQLEIYCGFLRKIESQYFRAKDQFFQKAGPKDTHVSKVCVSDWVQKVIDVAKLVETKSGTLLIGKQKNEPNFSVQVNVKKIKPWFLKKETKLYDIVLSPKVEVLREIKMNQEVFNFLLKYTENVEKVCGTIIVEATTESTLENIIVTNPSSNQKKLLNHTLGKWKKGHLVEKNEVEIRKYNLASLMQKEAELLAESSCSTLLVTTGELEYLALIKQAIFLCKSFEKWNVQQLFQFIEPSVQINKQAMTYVKEQIECKAKYVDASPGKLCLHQTVRDYCIEIEPCETLLHDLLSSHCLLFYRGPSIIMKEKLSQEERKIKQTTSEILERVQVEISQVDESKGALIVMEKLTDFEILIRDDKVDNKITDWMTPDVKIPNVKYKSRISSLTTSTDAQWTKIRPRGENVLTAAPRITVKGSLQSWTLECHCRRMEDNIEEILHNKKQLFKWLPLDLSEEFPAPLKILPKEKGYLATGLSAYYPFVSLPMKNFDLKTAMITDLVKRDFFEILASSEEPFYEVNAVRGGGNYPNEFFLKLSYDDAGPPLNEVRITNTVELLRPSLQLQKISHHKTCDDVFRITDIHKQFTVVAVDFDRIIEVQFDDNSLGSINEVLLILMIDTVNAKLNEFAVLSSINECEEPIDEMKDLGGDLPLKTDLEESVDPEKVDEKTKSEKTLLEEEFVHDIEDPTAPLQVTLKFTGKGQWVLEHNKRELVKQRVDEPYFFVLFRSPSRPDQRVECEGGGSRKPSQFYMQLKTNLNKPPDPGWSGEVLLPFSGPVAM
+>sp|O00254|PAR3_HUMAN Proteinase-activated receptor 3 OS=Homo sapiens OX=9606 GN=F2RL2 PE=1 SV=1
+MKALIFAAAGLLLLLPTFCQSGMENDTNNLAKPTLPIKTFRGAPPNSFEEFPFSALEGWTGATITVKIKCPEESASHLHVKNATMGYLTSSLSTKLIPAIYLLVFVVGVPANAVTLWMLFFRTRSICTTVFYTNLAIADFLFCVTLPFKIAYHLNGNNWVFGEVLCRATTVIFYGNMYCSILLLACISINRYLAIVHPFTYRGLPKHTYALVTCGLVWATVFLYMLPFFILKQEYYLVQPDITTCHDVHNTCESSSPFQLYYFISLAFFGFLIPFVLIIYCYAAIIRTLNAYDHRWLWYVKASLLILVIFTICFAPSNIILIIHHANYYYNNTDGLYFIYLIALCLGSLNSCLDPFLYFLMSKTRNHSTAYLTK
+>DECOY_sp|O00254|PAR3_HUMAN Proteinase-activated receptor 3 OS=Homo sapiens OX=9606 GN=F2RL2 PE=1 SV=1
+KTLYATSHNRTKSMLFYLFPDLCSNLSGLCLAILYIFYLGDTNNYYYNAHHIILIINSPAFCITFIVLILLSAKVYWLWRHDYANLTRIIAAYCYIILVFPILFGFFALSIFYYLQFPSSSECTNHVDHCTTIDPQVLYYEQKLIFFPLMYLFVTAWVLGCTVLAYTHKPLGRYTFPHVIALYRNISICALLLISCYMNGYFIVTTARCLVEGFVWNNGNLHYAIKFPLTVCFLFDAIALNTYFVTTCISRTRFFLMWLTVANAPVGVVFVLLYIAPILKTSLSSTLYGMTANKVHLHSASEEPCKIKVTITAGTWGELASFPFEEFSNPPAGRFTKIPLTPKALNNTDNEMGSQCFTPLLLLLGAAAFILAKM
+>sp|Q96RI0|PAR4_HUMAN Proteinase-activated receptor 4 OS=Homo sapiens OX=9606 GN=F2RL3 PE=1 SV=3
+MWGRLLLWPLVLGFSLSGGTQTPSVYDESGSTGGGDDSTPSILPAPRGYPGQVCANDSDTLELPDSSRALLLGWVPTRLVPALYGLVLVVGLPANGLALWVLATQAPRLPSTMLLMNLAAADLLLALALPPRIAYHLRGQRWPFGEAACRLATAALYGHMYGSVLLLAAVSLDRYLALVHPLRARALRGRRLALGLCMAAWLMAAALALPLTLQRQTFRLARSDRVLCHDALPLDAQASHWQPAFTCLALLGCFLPLLAMLLCYGATLHTLAASGRRYGHALRLTAVVLASAVAFFVPSNLLLLLHYSDPSPSAWGNLYGAYVPSLALSTLNSCVDPFIYYYVSAEFRDKVRAGLFQRSPGDTVASKASAEGGSRGMGTHSSLLQ
+>DECOY_sp|Q96RI0|PAR4_HUMAN Proteinase-activated receptor 4 OS=Homo sapiens OX=9606 GN=F2RL3 PE=1 SV=3
+QLLSSHTGMGRSGGEASAKSAVTDGPSRQFLGARVKDRFEASVYYYIFPDVCSNLTSLALSPVYAGYLNGWASPSPDSYHLLLLLNSPVFFAVASALVVATLRLAHGYRRGSAALTHLTAGYCLLMALLPLFCGLLALCTFAPQWHSAQADLPLADHCLVRDSRALRFTQRQLTLPLALAAAMLWAAMCLGLALRRGRLARARLPHVLALYRDLSVAALLLVSGYMHGYLAATALRCAAEGFPWRQGRLHYAIRPPLALALLLDAAALNMLLMTSPLRPAQTALVWLALGNAPLGVVLVLGYLAPVLRTPVWGLLLARSSDPLELTDSDNACVQGPYGRPAPLISPTSDDGGGTSGSEDYVSPTQTGGSLSFGLVLPWLLLRGWM
+>sp|Q86W56|PARG_HUMAN Poly(ADP-ribose) glycohydrolase OS=Homo sapiens OX=9606 GN=PARG PE=1 SV=1
+MNAGPGCEPCTKRPRWGAATTSPAASDARSFPSRQRRVLDPKDAHVQFRVPPSSPACVPGRAGQHRGSATSLVFKQKTITSWMDTKGIKTAESESLDSKENNNTRIESMMSSVQKDNFYQHNVEKLENVSQLSLDKSPTEKSTQYLNQHQTAAMCKWQNEGKHTEQLLESEPQTVTLVPEQFSNANIDRSPQNDDHSDTDSEENRDNQQFLTTVKLANAKQTTEDEQAREAKSHQKCSKSCDPGEDCASCQQDEIDVVPESPLSDVGSEDVGTGPKNDNKLTRQESCLGNSPPFEKESEPESPMDVDNSKNSCQDSEADEETSPGFDEQEDGSSSQTANKPSRFQARDADIEFRKRYSTKGGEVRLHFQFEGGESRTGMNDLNAKLPGNISSLNVECRNSKQHGKKDSKITDHFMRLPKAEDRRKEQWETKHQRTERKIPKYVPPHLSPDKKWLGTPIEEMRRMPRCGIRLPLLRPSANHTVTIRVDLLRAGEVPKPFPTHYKDLWDNKHVKMPCSEQNLYPVEDENGERTAGSRWELIQTALLNKFTRPQNLKDAILKYNVAYSKKWDFTALIDFWDKVLEEAEAQHLYQSILPDMVKIALCLPNICTQPIPLLKQKMNHSITMSQEQIASLLANAFFCTFPRRNAKMKSEYSSYPDINFNRLFEGRSSRKPEKLKTLFCYFRRVTEKKPTGLVTFTRQSLEDFPEWERCEKPLTRLHVTYEGTIEENGQGMLQVDFANRFVGGGVTSAGLVQEEIRFLINPELIISRLFTEVLDHNECLIITGTEQYSEYTGYAETYRWSRSHEDGSERDDWQRRCTEIVAIDALHFRRYLDQFVPEKMRRELNKAYCGFLRPGVSSENLSAVATGNWGCGAFGGDARLKALIQILAAAAAERDVVYFTFGDSELMRDIYSMHIFLTERKLTVGDVYKLLLRYYNEECRNCSTPGPDIKLYPFIYHAVESCAETADHSGQRTGT
+>DECOY_sp|Q86W56|PARG_HUMAN Poly(ADP-ribose) glycohydrolase OS=Homo sapiens OX=9606 GN=PARG PE=1 SV=1
+TGTRQGSHDATEACSEVAHYIFPYLKIDPGPTSCNRCEENYYRLLLKYVDGVTLKRETLFIHMSYIDRMLESDGFTFYVVDREAAAAALIQILAKLRADGGFAGCGWNGTAVASLNESSVGPRLFGCYAKNLERRMKEPVFQDLYRRFHLADIAVIETCRRQWDDRESGDEHSRSWRYTEAYGTYESYQETGTIILCENHDLVETFLRSIILEPNILFRIEEQVLGASTVGGGVFRNAFDVQLMGQGNEEITGEYTVHLRTLPKECREWEPFDELSQRTFTVLGTPKKETVRRFYCFLTKLKEPKRSSRGEFLRNFNIDPYSSYESKMKANRRPFTCFFANALLSAIQEQSMTISHNMKQKLLPIPQTCINPLCLAIKVMDPLISQYLHQAEAEELVKDWFDILATFDWKKSYAVNYKLIADKLNQPRTFKNLLATQILEWRSGATREGNEDEVPYLNQESCPMKVHKNDWLDKYHTPFPKPVEGARLLDVRITVTHNASPRLLPLRIGCRPMRRMEEIPTGLWKKDPSLHPPVYKPIKRETRQHKTEWQEKRRDEAKPLRMFHDTIKSDKKGHQKSNRCEVNLSSINGPLKANLDNMGTRSEGGEFQFHLRVEGGKTSYRKRFEIDADRAQFRSPKNATQSSSGDEQEDFGPSTEEDAESDQCSNKSNDVDMPSEPESEKEFPPSNGLCSEQRTLKNDNKPGTGVDESGVDSLPSEPVVDIEDQQCSACDEGPDCSKSCKQHSKAERAQEDETTQKANALKVTTLFQQNDRNEESDTDSHDDNQPSRDINANSFQEPVLTVTQPESELLQETHKGENQWKCMAATQHQNLYQTSKETPSKDLSLQSVNELKEVNHQYFNDKQVSSMMSEIRTNNNEKSDLSESEATKIGKTDMWSTITKQKFVLSTASGRHQGARGPVCAPSSPPVRFQVHADKPDLVRRQRSPFSRADSAAPSTTAAGWRPRKTCPECGPGANM
+>sp|Q9UKK3|PARP4_HUMAN Poly [ADP-ribose] polymerase 4 OS=Homo sapiens OX=9606 GN=PARP4 PE=1 SV=3
+MVMGIFANCIFCLKVKYLPQQQKKKLQTDIKENGGKFSFSLNPQCTHIILDNADVLSQYQLNSIQKNHVHIANPDFIWKSIREKRLLDVKNYDPYKPLDITPPPDQKASSSEVKTEGLCPDSATEEEDTVELTEFGMQNVEIPHLPQDFEVAKYNTLEKVGMEGGQEAVVVELQCSRDSRDCPFLISSHFLLDDGMETRRQFAIKKTSEDASEYFENYIEELKKQGFLLREHFTPEATQLASEQLQALLLEEVMNSSTLSQEVSDLVEMIWAEALGHLEHMLLKPVNRISLNDVSKAEGILLLVKAALKNGETAEQLQKMMTEFYRLIPHKGTMPKEVNLGLLAKKADLCQLIRDMVNVCETNLSKPNPPSLAKYRALRCKIEHVEQNTEEFLRVRKEVLQNHHSKSPVDVLQIFRVGRVNETTEFLSKLGNVRPLLHGSPVQNIVGILCRGLLLPKVVEDRGVQRTDVGNLGSGIYFSDSLSTSIKYSHPGETDGTRLLLICDVALGKCMDLHEKDFSLTEAPPGYDSVHGVSQTASVTTDFEDDEFVVYKTNQVKMKYIIKFSMPGDQIKDFHPSDHTELEEYRPEFSNFSKVEDYQLPDAKTSSSTKAGLQDASGNLVPLEDVHIKGRIIDTVAQVIVFQTYTNKSHVPIEAKYIFPLDDKAAVCGFEAFINGKHIVGEIKEKEEAQQEYLEAVTQGHGAYLMSQDAPDVFTVSVGNLPPKAKVLIKITYITELSILGTVGVFFMPATVAPWQQDKALNENLQDTVEKICIKEIGTKQSFSLTMSIEMPYVIEFIFSDTHELKQKRTDCKAVISTMEGSSLDSSGFSLHIGLSAAYLPRMWVEKHPEKESEACMLVFQPDLDVDLPDLASESEVIICLDCSSSMEGVTFLQAKQIALHALSLVGEKQKVNIIQFGTGYKELFSYPKHITSNTMAAEFIMSATPTMGNTDFWKTLRYLSLLYPARGSRNILLVSDGHLQDESLTLQLVKRSRPHTRLFACGIGSTANRHVLRILSQCGAGVFEYFNAKSKHSWRKQIEDQMTRLCSPSCHSVSVKWQQLNPDVPEALQAPAQVPSLFLNDRLLVYGFIPHCTQATLCALIQEKEFRTMVSTTELQKTTGTMIHKLAARALIRDYEDGILHENETSHEMKKQTLKSLIIKLSKENSLITQFTSFVAVEKRDENESPFPDIPKVSELIAKEDVDFLPYMSWQGEPQEAVRNQSLLASSEWPELRLSKRKHRKIPFSKRKMELSQPEVSEDFEEDGLGVLPAFTSNLERGGVEKLLDLSWTESCKPTATEPLFKKVSPWETSTSSFFPILAPAVGSYLPPTARAHSPASLSFASYRQVASFGSAAPPRQFDASQFSQGPVPGTCADWIPQSASCPTGPPQNPPSSPYCGIVFSGSSLSSAQSAPLQHPGGFTTRPSAGTFPELDSPQLHFSLPTDPDPIRGFGSYHPSASSPFHFQPSAASLTANLRLPMASALPEALCSQSRTTPVDLCLLEESVGSLEGSRCPVFAFQSSDTESDELSEVLQDSCFLQIKCDTKDDSILCFLEVKEEDEIVCIQHWQDAVPWTELLSLQTEDGFWKLTPELGLILNLNTNGLHSFLKQKGIQSLGVKGRECLLDLIATMLVLQFIRTRLEKEGIVFKSLMKMDDASISRNIPWAFEAIKQASEWVRRTEGQYPSICPRLELGNDWDSATKQLLGLQPISTVSPLHRVLHYSQG
+>DECOY_sp|Q9UKK3|PARP4_HUMAN Poly [ADP-ribose] polymerase 4 OS=Homo sapiens OX=9606 GN=PARP4 PE=1 SV=3
+GQSYHLVRHLPSVTSIPQLGLLQKTASDWDNGLELRPCISPYQGETRRVWESAQKIAEFAWPINRSISADDMKMLSKFVIGEKELRTRIFQLVLMTAILDLLCERGKVGLSQIGKQKLFSHLGNTNLNLILGLEPTLKWFGDETQLSLLETWPVADQWHQICVIEDEEKVELFCLISDDKTDCKIQLFCSDQLVESLEDSETDSSQFAFVPCRSGELSGVSEELLCLDVPTTRSQSCLAEPLASAMPLRLNATLSAASPQFHFPSSASPHYSGFGRIPDPDTPLSFHLQPSDLEPFTGASPRTTFGGPHQLPASQASSLSSGSFVIGCYPSSPPNQPPGTPCSASQPIWDACTGPVPGQSFQSADFQRPPAASGFSAVQRYSAFSLSAPSHARATPPLYSGVAPALIPFFSSTSTEWPSVKKFLPETATPKCSETWSLDLLKEVGGRELNSTFAPLVGLGDEEFDESVEPQSLEMKRKSFPIKRHKRKSLRLEPWESSALLSQNRVAEQPEGQWSMYPLFDVDEKAILESVKPIDPFPSENEDRKEVAVFSTFQTILSNEKSLKIILSKLTQKKMEHSTENEHLIGDEYDRILARAALKHIMTGTTKQLETTSVMTRFEKEQILACLTAQTCHPIFGYVLLRDNLFLSPVQAPAQLAEPVDPNLQQWKVSVSHCSPSCLRTMQDEIQKRWSHKSKANFYEFVGAGCQSLIRLVHRNATSGIGCAFLRTHPRSRKVLQLTLSEDQLHGDSVLLINRSGRAPYLLSLYRLTKWFDTNGMTPTASMIFEAAMTNSTIHKPYSFLEKYGTGFQIINVKQKEGVLSLAHLAIQKAQLFTVGEMSSSCDLCIIVESESALDPLDVDLDPQFVLMCAESEKEPHKEVWMRPLYAASLGIHLSFGSSDLSSGEMTSIVAKCDTRKQKLEHTDSFIFEIVYPMEISMTLSFSQKTGIEKICIKEVTDQLNENLAKDQQWPAVTAPMFFVGVTGLISLETIYTIKILVKAKPPLNGVSVTFVDPADQSMLYAGHGQTVAELYEQQAEEKEKIEGVIHKGNIFAEFGCVAAKDDLPFIYKAEIPVHSKNTYTQFVIVQAVTDIIRGKIHVDELPVLNGSADQLGAKTSSSTKADPLQYDEVKSFNSFEPRYEELETHDSPHFDKIQDGPMSFKIIYKMKVQNTKYVVFEDDEFDTTVSATQSVGHVSDYGPPAETLSFDKEHLDMCKGLAVDCILLLRTGDTEGPHSYKISTSLSDSFYIGSGLNGVDTRQVGRDEVVKPLLLGRCLIGVINQVPSGHLLPRVNGLKSLFETTENVRGVRFIQLVDVPSKSHHNQLVEKRVRLFEETNQEVHEIKCRLARYKALSPPNPKSLNTECVNVMDRILQCLDAKKALLGLNVEKPMTGKHPILRYFETMMKQLQEATEGNKLAAKVLLLIGEAKSVDNLSIRNVPKLLMHELHGLAEAWIMEVLDSVEQSLTSSNMVEELLLAQLQESALQTAEPTFHERLLFGQKKLEEIYNEFYESADESTKKIAFQRRTEMGDDLLFHSSILFPCDRSDRSCQLEVVVAEQGGEMGVKELTNYKAVEFDQPLHPIEVNQMGFETLEVTDEEETASDPCLGETKVESSSAKQDPPPTIDLPKYPDYNKVDLLRKERISKWIFDPNAIHVHNKQISNLQYQSLVDANDLIIHTCQPNLSFSFKGGNEKIDTQLKKKQQQPLYKVKLCFICNAFIGMVM
+>sp|Q8IXQ6|PARP9_HUMAN Poly [ADP-ribose] polymerase 9 OS=Homo sapiens OX=9606 GN=PARP9 PE=1 SV=2
+MDFSMVAGAAAYNEKSGRITSLSLLFQKVFAQIFPQWRKGNTEECLPYKCSETGALGENYSWQIPINHNDFKILKNNERQLCEVLQNKFGCISTLVSPVQEGNSKSLQVFRKMLTPRIELSVWKDDLTTHAVDAVVNAANEDLLHGGGLALALVKAGGFEIQEESKQFVARYGKVSAGEIAVTGAGRLPCKQIIHAVGPRWMEWDKQGCTGKLQRAIVSILNYVIYKNTHIKTVAIPALSSGIFQFPLNLCTKTIVETIRVSLQGKPMMSNLKEIHLVSNEDPTVAAFKAASEFILGKSELGQETTPSFNAMVVNNLTLQIVQGHIEWQTADVIVNSVNPHDITVGPVAKSILQQAGVEMKSEFLATKAKQFQRSQLVLVTKGFNLFCKYIYHVLWHSEFPKPQILKHAMKECLEKCIEQNITSISFPALGTGNMEIKKETAAEILFDEVLTFAKDHVKHQLTVKFVIFPTDLEIYKAFSSEMAKRSKMLSLNNYSVPQSTREEKRENGLEARSPAINLMGFNVEEMYEAHAWIQRILSLQNHHIIENNHILYLGRKEHDILSQLQKTSSVSITEIISPGRTELEIEGARADLIEVVMNIEDMLCKVQEEMARKKERGLWRSLGQWTIQQQKTQDEMKENIIFLKCPVPPTQELLDQKKQFEKCGLQVLKVEKIDNEVLMAAFQRKKKMMEEKLHRQPVSHRLFQQVPYQFCNVVCRVGFQRMYSTPCDPKYGAGIYFTKNLKNLAEKAKKISAADKLIYVFEAEVLTGFFCQGHPLNIVPPPLSPGAIDGHDSVVDNVSSPETFVIFSGMQAIPQYLWTCTQEYVQSQDYSSGPMRPFAQHPWRGFASGSPVD
+>DECOY_sp|Q8IXQ6|PARP9_HUMAN Poly [ADP-ribose] polymerase 9 OS=Homo sapiens OX=9606 GN=PARP9 PE=1 SV=2
+DVPSGSAFGRWPHQAFPRMPGSSYDQSQVYEQTCTWLYQPIAQMGSFIVFTEPSSVNDVVSDHGDIAGPSLPPPVINLPHGQCFFGTLVEAEFVYILKDAASIKKAKEALNKLNKTFYIGAGYKPDCPTSYMRQFGVRCVVNCFQYPVQQFLRHSVPQRHLKEEMMKKKRQFAAMLVENDIKEVKLVQLGCKEFQKKQDLLEQTPPVPCKLFIINEKMEDQTKQQQITWQGLSRWLGREKKRAMEEQVKCLMDEINMVVEILDARAGEIELETRGPSIIETISVSSTKQLQSLIDHEKRGLYLIHNNEIIHHNQLSLIRQIWAHAEYMEEVNFGMLNIAPSRAELGNERKEERTSQPVSYNNLSLMKSRKAMESSFAKYIELDTPFIVFKVTLQHKVHDKAFTLVEDFLIEAATEKKIEMNGTGLAPFSISTINQEICKELCEKMAHKLIQPKPFESHWLVHYIYKCFLNFGKTVLVLQSRQFQKAKTALFESKMEVGAQQLISKAVPGVTIDHPNVSNVIVDATQWEIHGQVIQLTLNNVVMANFSPTTEQGLESKGLIFESAAKFAAVTPDENSVLHIEKLNSMMPKGQLSVRITEVITKTCLNLPFQFIGSSLAPIAVTKIHTNKYIVYNLISVIARQLKGTCGQKDWEMWRPGVAHIIQKCPLRGAGTVAIEGASVKGYRAVFQKSEEQIEFGGAKVLALALGGGHLLDENAANVVADVAHTTLDDKWVSLEIRPTLMKRFVQLSKSNGEQVPSVLTSICGFKNQLVECLQRENNKLIKFDNHNIPIQWSYNEGLAGTESCKYPLCEETNGKRWQPFIQAFVKQFLLSLSTIRGSKENYAAAGAVMSFDM
+>sp|P23760|PAX3_HUMAN Paired box protein Pax-3 OS=Homo sapiens OX=9606 GN=PAX3 PE=1 SV=2
+MTTLAGAVPRMMRPGPGQNYPRSGFPLEVSTPLGQGRVNQLGGVFINGRPLPNHIRHKIVEMAHHGIRPCVISRQLRVSHGCVSKILCRYQETGSIRPGAIGGSKPKQVTTPDVEKKIEEYKRENPGMFSWEIRDKLLKDAVCDRNTVPSVSSISRILRSKFGKGEEEEADLERKEAEESEKKAKHSIDGILSERASAPQSDEGSDIDSEPDLPLKRKQRRSRTTFTAEQLEELERAFERTHYPDIYTREELAQRAKLTEARVQVWFSNRRARWRKQAGANQLMAFNHLIPGGFPPTAMPTLPTYQLSETSYQPTSIPQAVSDPSSTVHRPQPLPPSTVHQSTIPSNPDSSSAYCLPSTRHGFSSYTDSFVPPSGPSNPMNPTIGNGLSPQVMGLLTNHGGVPHQPQTDYALSPLTGGLEPTTTVSASCSQRLDHMKSLDSLPTSQSYCPPTYSTTGYSMDPVTGYQYGQYGQSKPWTF
+>DECOY_sp|P23760|PAX3_HUMAN Paired box protein Pax-3 OS=Homo sapiens OX=9606 GN=PAX3 PE=1 SV=2
+FTWPKSQGYQGYQYGTVPDMSYGTTSYTPPCYSQSTPLSDLSKMHDLRQSCSASVTTTPELGGTLPSLAYDTQPQHPVGGHNTLLGMVQPSLGNGITPNMPNSPGSPPVFSDTYSSFGHRTSPLCYASSSDPNSPITSQHVTSPPLPQPRHVTSSPDSVAQPISTPQYSTESLQYTPLTPMATPPFGGPILHNFAMLQNAGAQKRWRARRNSFWVQVRAETLKARQALEERTYIDPYHTREFARELEELQEATFTTRSRRQKRKLPLDPESDIDSGEDSQPASARESLIGDISHKAKKESEEAEKRELDAEEEEGKGFKSRLIRSISSVSPVTNRDCVADKLLKDRIEWSFMGPNERKYEEIKKEVDPTTVQKPKSGGIAGPRISGTEQYRCLIKSVCGHSVRLQRSIVCPRIGHHAMEVIKHRIHNPLPRGNIFVGGLQNVRGQGLPTSVELPFGSRPYNQGPGPRMMRPVAGALTTM
+>sp|Q9Y5B6|PAXB1_HUMAN PAX3- and PAX7-binding protein 1 OS=Homo sapiens OX=9606 GN=PAXBP1 PE=1 SV=2
+MFRKARRVNVRKRNDSEEEERERDEEQEPPPLLPPPGTGEEAGPGGGDRAPGGESLLGPGPSPPSALTPGLGAEAGGGFPGGAEPGNGLKPRKRPRENKEVPRASLLSFQDEEEENEEVFKVKKSSYSKKIVKLLKKEYKEDLEKSKIKTELNSSAESEQPLDKTGHVKDTNQEDGVIISEHGEDEMDMESEKEEEKPKTGGAFSNALSSLNVLRPGEIPDAAFIHAARKKRQMARELGDFTPHDNEPGKGRLVREDENDASDDEDDDEKRRIVFSVKEKSQRQKIAEEIGIEGSDDDALVTGEQDEELSRWEQEQIRKGINIPQVQASQPAEVNMYYQNTYQTMPYGSSYGIPYSYTAYGSSDAKSQKTDNTVPFKTPSNEMTPVTIDLVKKQLKDRLDSMKELHKTNRQQHEKHLQSRVDSTRAIERLEGSSGGIGERYKFLQEMRGYVQDLLECFSEKVPLINELESAIHQLYKQRASRLVQRRQDDIKDESSEFSSHSNKALMAPNLDSFGRDRALYQEHAKRRIAEREARRTRRRQAREQTGKMADHLEGLSSDDEETSTDITNFNLEKDRISKESGKVFEDVLESFYSIDCIKSQFEAWRSKYYTSYKDAYIGLCLPKLFNPLIRLQLLTWTPLEAKCRDFENMLWFESLLFYGCEEREQEKDDVDVALLPTIVEKVILPKLTVIAENMWDPFSTTQTSRMVGITLKLINGYPSVVNAENKNTQVYLKALLLRMRRTLDDDVFMPLYPKNVLENKNSGPYLFFQRQFWSSVKLLGNFLQWYGIFSNKTLQELSIDGLLNRYILMAFQNSEYGDDSIKKAQNVINCFPKQWFMNLKGERTISQLENFCRYLVHLADTIYRNSIGCSDVEKRNARENIKQIVKLLASVRALDHAMSVASDHNVKEFKSLIEGK
+>DECOY_sp|Q9Y5B6|PAXB1_HUMAN PAX3- and PAX7-binding protein 1 OS=Homo sapiens OX=9606 GN=PAXBP1 PE=1 SV=2
+KGEILSKFEKVNHDSAVSMAHDLARVSALLKVIQKINERANRKEVDSCGISNRYITDALHVLYRCFNELQSITREGKLNMFWQKPFCNIVNQAKKISDDGYESNQFAMLIYRNLLGDISLEQLTKNSFIGYWQLFNGLLKVSSWFQRQFFLYPGSNKNELVNKPYLPMFVDDDLTRRMRLLLAKLYVQTNKNEANVVSPYGNILKLTIGVMRSTQTTSFPDWMNEAIVTLKPLIVKEVITPLLAVDVDDKEQEREECGYFLLSEFWLMNEFDRCKAELPTWTLLQLRILPNFLKPLCLGIYADKYSTYYKSRWAEFQSKICDISYFSELVDEFVKGSEKSIRDKELNFNTIDTSTEEDDSSLGELHDAMKGTQERAQRRRTRRAEREAIRRKAHEQYLARDRGFSDLNPAMLAKNSHSSFESSEDKIDDQRRQVLRSARQKYLQHIASELENILPVKESFCELLDQVYGRMEQLFKYREGIGGSSGELREIARTSDVRSQLHKEHQQRNTKHLEKMSDLRDKLQKKVLDITVPTMENSPTKFPVTNDTKQSKADSSGYATYSYPIGYSSGYPMTQYTNQYYMNVEAPQSAQVQPINIGKRIQEQEWRSLEEDQEGTVLADDDSGEIGIEEAIKQRQSKEKVSFVIRRKEDDDEDDSADNEDERVLRGKGPENDHPTFDGLERAMQRKKRAAHIFAADPIEGPRLVNLSSLANSFAGGTKPKEEEKESEMDMEDEGHESIIVGDEQNTDKVHGTKDLPQESEASSNLETKIKSKELDEKYEKKLLKVIKKSYSSKKVKFVEENEEEEDQFSLLSARPVEKNERPRKRPKLGNGPEAGGPFGGGAEAGLGPTLASPPSPGPGLLSEGGPARDGGGPGAEEGTGPPPLLPPPEQEEDREREEEESDNRKRVNVRRAKRFM
+>sp|Q9BUH6|PAXX_HUMAN Protein PAXX OS=Homo sapiens OX=9606 GN=PAXX PE=1 SV=2
+MDPLSPPLCTLPPGPEPPRFVCYCEGEESGEGDRGGFNLYVTDAAELWSTCFTPDSLAALKARFGLSAAEDITPRFRAACEQQAVALTLQEDRASLTLSGGPSALAFDLSKVPGPEAAPRLRALTLGLAKRVWSLERRLAAAEETAVSPRKSPRPAGPQLFLPDPDPQRGGPGPGVRRRCPGESLINPGFKSKKPAGGVDFDET
+>DECOY_sp|Q9BUH6|PAXX_HUMAN Protein PAXX OS=Homo sapiens OX=9606 GN=PAXX PE=1 SV=2
+TEDFDVGGAPKKSKFGPNILSEGPCRRRVGPGPGGRQPDPDPLFLQPGAPRPSKRPSVATEEAAALRRELSWVRKALGLTLARLRPAAEPGPVKSLDFALASPGGSLTLSARDEQLTLAVAQQECAARFRPTIDEAASLGFRAKLAALSDPTFCTSWLEAADTVYLNFGGRDGEGSEEGECYCVFRPPEPGPPLTCLPPSLPDM
+>sp|Q86U86|PB1_HUMAN Protein polybromo-1 OS=Homo sapiens OX=9606 GN=PBRM1 PE=1 SV=1
+MGSKRRRATSPSSSVSGDFDDGHHSVSTPGPSRKRRRLSNLPTVDPIAVCHELYNTIRDYKDEQGRLLCELFIRAPKRRNQPDYYEVVSQPIDLMKIQQKLKMEEYDDVNLLTADFQLLFNNAKSYYKPDSPEYKAACKLWDLYLRTRNEFVQKGEADDEDDDEDGQDNQGTVTEGSSPAYLKEILEQLLEAIVVATNPSGRLISELFQKLPSKVQYPDYYAIIKEPIDLKTIAQRIQNGSYKSIHAMAKDIDLLAKNAKTYNEPGSQVFKDANSIKKIFYMKKAEIEHHEMAKSSLRMRTPSNLAAARLTGPSHSKGSLGEERNPTSKYYRNKRAVQGGRLSAITMALQYGSESEEDAALAAARYEEGESEAESITSFMDVSNPFYQLYDTVRSCRNNQGQLIAEPFYHLPSKKKYPDYYQQIKMPISLQQIRTKLKNQEYETLDHLECDLNLMFENAKRYNVPNSAIYKRVLKLQQVMQAKKKELARRDDIEDGDSMISSATSDTGSAKRKSKKNIRKQRMKILFNVVLEAREPGSGRRLCDLFMVKPSKKDYPDYYKIILEPMDLKIIEHNIRNDKYAGEEGMIEDMKLMFRNARHYNEEGSQVYNDAHILEKLLKEKRKELGPLPDDDDMASPKLKLSRKSGISPKKSKYMTPMQQKLNEVYEAVKNYTDKRGRRLSAIFLRLPSRSELPDYYLTIKKPMDMEKIRSHMMANKYQDIDSMVEDFVMMFNNACTYNEPESLIYKDALVLHKVLLETRRDLEGDEDSHVPNVTLLIQELIHNLFVSVMSHQDDEGRCYSDSLAEIPAVDPNFPNKPPLTFDIIRKNVENNRYRRLDLFQEHMFEVLERARRMNRTDSEIYEDAVELQQFFIKIRDELCKNGEILLSPALSYTTKHLHNDVEKERKEKLPKEIEEDKLKREEEKREAEKSEDSSGAAGLSGLHRTYSQDCSFKNSMYHVGDYVYVEPAEANLQPHIVCIERLWEDSAGEKWLYGCWFYRPNETFHLATRKFLEKEVFKSDYYNKVPVSKILGKCVVMFVKEYFKLCPENFRDEDVFVCESRYSAKTKSFKKIKLWTMPISSVRFVPRDVPLPVVRVASVFANADKGDDEKNTDNSEDSRAEDNFNLEKEKEDVPVEMSNGEPGCHYFEQLHYNDMWLKVGDCVFIKSHGLVRPRVGRIEKVWVRDGAAYFYGPIFIHPEETEHEPTKMFYKKEVFLSNLEETCPMTCILGKCAVLSFKDFLSCRPTEIPENDILLCESRYNESDKQMKKFKGLKRFSLSAKVVDDEIYYFRKPIVPQKEPSPLLEKKIQLLEAKFAELEGGDDDIEEMGEEDSEVIEPPSLPQLQTPLASELDLMPYTPPQSTPKSAKGSAKKEGSKRKINMSGYILFSSEMRAVIKAQHPDYSFGELSRLVGTEWRNLETAKKAEYEERAAKVAEQQERERAAQQQQPSASPRAGTPVGALMGVVPPPTPMGMLNQQLTPVAGMMGGYPPGLPPLQGPVDGLVSMGSMQPLHPGGPPPHHLPPGVPGLPGIPPPGVMNQGVAPMVGTPAPGGSPYGQQVGVLGPPGQQAPPPYPGPHPAGPPVIQQPTTPMFVAPPPKTQRLLHSEAYLKYIEGLSAESNSISKWDQTLAARRRDVHLSKEQESRLPSHWLKSKGAHTTMADALWRLRDLMLRDTLNIRQAYNLENV
+>DECOY_sp|Q86U86|PB1_HUMAN Protein polybromo-1 OS=Homo sapiens OX=9606 GN=PBRM1 PE=1 SV=1
+VNELNYAQRINLTDRLMLDRLRWLADAMTTHAGKSKLWHSPLRSEQEKSLHVDRRRAALTQDWKSISNSEASLGEIYKLYAESHLLRQTKPPPAVFMPTTPQQIVPPGAPHPGPYPPPAQQGPPGLVGVQQGYPSGGPAPTGVMPAVGQNMVGPPPIGPLGPVGPPLHHPPPGGPHLPQMSGMSVLGDVPGQLPPLGPPYGGMMGAVPTLQQNLMGMPTPPPVVGMLAGVPTGARPSASPQQQQAAREREQQEAVKAAREEYEAKKATELNRWETGVLRSLEGFSYDPHQAKIVARMESSFLIYGSMNIKRKSGEKKASGKASKPTSQPPTYPMLDLESALPTQLQPLSPPEIVESDEEGMEEIDDDGGELEAFKAELLQIKKELLPSPEKQPVIPKRFYYIEDDVVKASLSFRKLGKFKKMQKDSENYRSECLLIDNEPIETPRCSLFDKFSLVACKGLICTMPCTEELNSLFVEKKYFMKTPEHETEEPHIFIPGYFYAAGDRVWVKEIRGVRPRVLGHSKIFVCDGVKLWMDNYHLQEFYHCGPEGNSMEVPVDEKEKELNFNDEARSDESNDTNKEDDGKDANAFVSAVRVVPLPVDRPVFRVSSIPMTWLKIKKFSKTKASYRSECVFVDEDRFNEPCLKFYEKVFMVVCKGLIKSVPVKNYYDSKFVEKELFKRTALHFTENPRYFWCGYLWKEGASDEWLREICVIHPQLNAEAPEVYVYDGVHYMSNKFSCDQSYTRHLGSLGAAGSSDESKEAERKEEERKLKDEEIEKPLKEKREKEVDNHLHKTTYSLAPSLLIEGNKCLEDRIKIFFQQLEVADEYIESDTRNMRRARELVEFMHEQFLDLRRYRNNEVNKRIIDFTLPPKNPFNPDVAPIEALSDSYCRGEDDQHSMVSVFLNHILEQILLTVNPVHSDEDGELDRRTELLVKHLVLADKYILSEPENYTCANNFMMVFDEVMSDIDQYKNAMMHSRIKEMDMPKKITLYYDPLESRSPLRLFIASLRRGRKDTYNKVAEYVENLKQQMPTMYKSKKPSIGSKRSLKLKPSAMDDDDPLPGLEKRKEKLLKELIHADNYVQSGEENYHRANRFMLKMDEIMGEEGAYKDNRINHEIIKLDMPELIIKYYDPYDKKSPKVMFLDCLRRGSGPERAELVVNFLIKMRQKRINKKSKRKASGTDSTASSIMSDGDEIDDRRALEKKKAQMVQQLKLVRKYIASNPVNYRKANEFMLNLDCELHDLTEYEQNKLKTRIQQLSIPMKIQQYYDPYKKKSPLHYFPEAILQGQNNRCSRVTDYLQYFPNSVDMFSTISEAESEGEEYRAAALAADEESESGYQLAMTIASLRGGQVARKNRYYKSTPNREEGLSGKSHSPGTLRAAALNSPTRMRLSSKAMEHHEIEAKKMYFIKKISNADKFVQSGPENYTKANKALLDIDKAMAHISKYSGNQIRQAITKLDIPEKIIAYYDPYQVKSPLKQFLESILRGSPNTAVVIAELLQELIEKLYAPSSGETVTGQNDQGDEDDDEDDAEGKQVFENRTRLYLDWLKCAAKYEPSDPKYYSKANNFLLQFDATLLNVDDYEEMKLKQQIKMLDIPQSVVEYYDPQNRRKPARIFLECLLRGQEDKYDRITNYLEHCVAIPDVTPLNSLRRRKRSPGPTSVSHHGDDFDGSVSSSPSTARRRKSGM
+>sp|P40425|PBX2_HUMAN Pre-B-cell leukemia transcription factor 2 OS=Homo sapiens OX=9606 GN=PBX2 PE=1 SV=2
+MDERLLGPPPPGGGRGGLGLVSGEPGGPGEPPGGGDPGGGSGGVPGGRGKQDIGDILQQIMTITDQSLDEAQAKKHALNCHRMKPALFSVLCEIKEKTGLSIRSSQEEEPVDPQLMRLDNMLLAEGVAGPEKGGGSAAAAAAAAASGGGVSPDNSIEHSDYRSKLAQIRHIYHSELEKYEQACNEFTTHVMNLLREQSRTRPVAPKEMERMVSIIHRKFSAIQMQLKQSTCEAVMILRSRFLDARRKRRNFSKQATEVLNEYFYSHLSNPYPSEEAKEELAKKCGITVSQVSNWFGNKRIRYKKNIGKFQEEANIYAVKTAVSVTQGGHSRTSSPTPPSSAGSGGSFNLSGSGDMFLGMPGLNGDSYSASQVESLRHSMGPGGYGDNLGGGQMYSPREMRANGSWQEAVTPSSVTSPTEGPGSVHSDTSN
+>DECOY_sp|P40425|PBX2_HUMAN Pre-B-cell leukemia transcription factor 2 OS=Homo sapiens OX=9606 GN=PBX2 PE=1 SV=2
+NSTDSHVSGPGETPSTVSSPTVAEQWSGNARMERPSYMQGGGLNDGYGGPGMSHRLSEVQSASYSDGNLGPMGLFMDGSGSLNFSGGSGASSPPTPSSTRSHGGQTVSVATKVAYINAEEQFKGINKKYRIRKNGFWNSVQSVTIGCKKALEEKAEESPYPNSLHSYFYENLVETAQKSFNRRKRRADLFRSRLIMVAECTSQKLQMQIASFKRHIISVMREMEKPAVPRTRSQERLLNMVHTTFENCAQEYKELESHYIHRIQALKSRYDSHEISNDPSVGGGSAAAAAAAAASGGGKEPGAVGEALLMNDLRMLQPDVPEEEQSSRISLGTKEKIECLVSFLAPKMRHCNLAHKKAQAEDLSQDTITMIQQLIDGIDQKGRGGPVGGSGGGPDGGGPPEGPGGPEGSVLGLGGRGGGPPPPGLLREDM
+>sp|P57723|PCBP4_HUMAN Poly(rC)-binding protein 4 OS=Homo sapiens OX=9606 GN=PCBP4 PE=2 SV=1
+MSGSDGGLEEEPELSITLTLRMLMHGKEVGSIIGKKGETVKRIREQSSARITISEGSCPERITTITGSTAAVFHAVSMIAFKLDEDLCAAPANGGNVSRPPVTLRLVIPASQCGSLIGKAGTKIKEIRETTGAQVQVAGDLLPNSTERAVTVSGVPDAIILCVRQICAVILESPPKGATIPYHPSLSLGTVLLSANQGFSVQGQYGAVTPAEVTKLQQLSSHAVPFATPSVVPGLDPGTQTSSQEFLVPNDLIGCVIGRQGSKISEIRQMSGAHIKIGNQAEGAGERHVTITGSPVSIALAQYLITACLETAKSTSGGTPSSAPADLPAPFSPPLTALPTAPPGLLGTPYAISLSNFIGLKPMPFLALPPASPGPPPGLAAYTAKMAAANGSKKAERQKFSPY
+>DECOY_sp|P57723|PCBP4_HUMAN Poly(rC)-binding protein 4 OS=Homo sapiens OX=9606 GN=PCBP4 PE=2 SV=1
+YPSFKQREAKKSGNAAAMKATYAALGPPPGPSAPPLALFPMPKLGIFNSLSIAYPTGLLGPPATPLATLPPSFPAPLDAPASSPTGGSTSKATELCATILYQALAISVPSGTITVHREGAGEAQNGIKIHAGSMQRIESIKSGQRGIVCGILDNPVLFEQSSTQTGPDLGPVVSPTAFPVAHSSLQQLKTVEAPTVAGYQGQVSFGQNASLLVTGLSLSPHYPITAGKPPSELIVACIQRVCLIIADPVGSVTVARETSNPLLDGAVQVQAGTTERIEKIKTGAKGILSGCQSAPIVLRLTVPPRSVNGGNAPAACLDEDLKFAIMSVAHFVAATSGTITTIREPCSGESITIRASSQERIRKVTEGKKGIISGVEKGHMLMRLTLTISLEPEEELGGDSGSM
+>sp|Q8N6Y1|PCD20_HUMAN Protocadherin-20 OS=Homo sapiens OX=9606 GN=PCDH20 PE=2 SV=2
+MRGRGNARSSQALGVSWCPATWHPRLDMGRLHRPRSSTSYRNLPHLFLFFLFVGPFSCLGSYSRATELLYSLNEGLPAGVLIGSLAEDLRLLPRSAGRPDPQSQLPERTGAEWNPPLSFSLASRGLSGQYVTLDNRSGELHTSAQEIDREALCVEGGGGTAWSGSVSISSSPSDSCLLLLDVLVLPQEYFRFVKVKIAIRDINDNAPQFPVSQISVWVPENAPVNTRLAIEHPAVDPDVGINGVQTYRLLDYHGMFTLDVEENENGERTPYLIVMGALDRETQDQYVSIIIAEDGGSPPLLGSATLTIGISDINDNCPLFTDSQINVTVYGNATVGTPIAAVQAVDKDLGTNAQITYSYSQKVPQASKDLFHLDENTGVIKLFSKIGGSVLESHKLTILANGPGCIPAVITALVSIIKVIFRPPEIVPRYIANEIDGVVYLKELEPVNTPIAFFTIRDPEGKYKVNCYLDGEGPFRLSPYKPYNNEYLLETTKPMDYELQQFYEVAVVAWNSEGFHVKRVIKVQLLDDNDNAPIFLQPLIELTIEENNSPNAFLTKLYATDADSEERGQVSYFLGPDAPSYFSLDSVTGILTVSTQLDREEKEKYRYTVRAVDCGKPPRESVATVALTVLDKNDNSPRFINKDFSFFVPENFPGYGEIGVISVTDADAGRNGWVALSVVNQSDIFVIDTGKGMLRAKVSLDREQQSSYTLWVEAVDGGEPALSSTAKITILLLDINDNPPLVLFPQSNMSYLLVLPSTLPGSPVTEVYAVDKDTGMNAVIAYSIIGRRGPRPESFRIDPKTGNITLEEALLQTDYGLHRLLVKVSDHGYPEPLHSTVMVNLFVNDTVSNESYIESLLRKEPEINIEEKEPQISIEPTHRKVESVSCMPTLVALSVISLGSITLVTGMGIYICLRKGEKHPREDENLEVQIPLKGKIDLHMRERKPMDISNI
+>DECOY_sp|Q8N6Y1|PCD20_HUMAN Protocadherin-20 OS=Homo sapiens OX=9606 GN=PCDH20 PE=2 SV=2
+INSIDMPKRERMHLDIKGKLPIQVELNEDERPHKEGKRLCIYIGMGTVLTISGLSIVSLAVLTPMCSVSEVKRHTPEISIQPEKEEINIEPEKRLLSEIYSENSVTDNVFLNVMVTSHLPEPYGHDSVKVLLRHLGYDTQLLAEELTINGTKPDIRFSEPRPGRRGIISYAIVANMGTDKDVAYVETVPSGPLTSPLVLLYSMNSQPFLVLPPNDNIDLLLITIKATSSLAPEGGDVAEVWLTYSSQQERDLSVKARLMGKGTDIVFIDSQNVVSLAVWGNRGADADTVSIVGIEGYGPFNEPVFFSFDKNIFRPSNDNKDLVTLAVTAVSERPPKGCDVARVTYRYKEKEERDLQTSVTLIGTVSDLSFYSPADPGLFYSVQGREESDADTAYLKTLFANPSNNEEITLEILPQLFIPANDNDDLLQVKIVRKVHFGESNWAVVAVEYFQQLEYDMPKTTELLYENNYPKYPSLRFPGEGDLYCNVKYKGEPDRITFFAIPTNVPELEKLYVVGDIENAIYRPVIEPPRFIVKIISVLATIVAPICGPGNALITLKHSELVSGGIKSFLKIVGTNEDLHFLDKSAQPVKQSYSYTIQANTGLDKDVAQVAAIPTGVTANGYVTVNIQSDTFLPCNDNIDSIGITLTASGLLPPSGGDEAIIISVYQDQTERDLAGMVILYPTREGNENEEVDLTFMGHYDLLRYTQVGNIGVDPDVAPHEIALRTNVPANEPVWVSIQSVPFQPANDNIDRIAIKVKVFRFYEQPLVLVDLLLLCSDSPSSSISVSGSWATGGGGEVCLAERDIEQASTHLEGSRNDLTVYQGSLGRSALSFSLPPNWEAGTREPLQSQPDPRGASRPLLRLDEALSGILVGAPLGENLSYLLETARSYSGLCSFPGVFLFFLFLHPLNRYSTSSRPRHLRGMDLRPHWTAPCWSVGLAQSSRANGRGRM
+>sp|Q9Y5H9|PCDA2_HUMAN Protocadherin alpha-2 OS=Homo sapiens OX=9606 GN=PCDHA2 PE=1 SV=1
+MASSIRRGRGAWTRLLSLLLLAAWEVGSGQLRYSVPEEAKHGTFVGRIAQDLGLELEELVPRLFRVASKRHGDLLEVNLQNGILFVNSRIDREELCGRSAECSIHVEVIVDRPLQVFHVEVEVKDINDNPPIFPMTVKTIRFPESRLLDSRFPLEGASDADIGVNALLSYKLSSSEFFFLDIQANDELSESLSLVLGKSLDREETAEVNLLLVATDGGKPELTGTVQILIKVLDVNDNEPTFAQSVYKVKLLENTANGTLVVKLNASDADEGPNSEIVYSLGSDVSSTIQTKFTIDPISGEIRTKGKLDYEEAKSYEIQVTATDKGTPSMSGHCKISLKLVDINDNTPEVSITSLSLPISENASLGTVIALITVSDRDSGTNGHVTCSLTPHVPFKLVSTFKNYYSLVLDSALDRESVSAYELVVTARDGGSPSLWATTSVSIEVADVNDNAPAFAQPEYTVFVKENNPPGCHIFTVSAWDADAQENALVSYSLVERRVGERALSSYVSVHAESGKVYALQPLDHEEVELLQFQVSARDAGVPPLGSNVTLQVFVLDENDNAPALLAPRAGTAAGAVSELVPWSVGAGHVVAKVRAVDADSGYNAWLSYELQLGTGSARIPFRVGLYTGEISTTRALDEADSPRHRLLVLVKDHGEPALTATATVLVSLVESGQAPKASSRAWVGAAGSEATLVDVNVYLIIAICAVSSLLVLTVLLYTALRCSVPPTEGARAPGKPTLVCSSAVGSWSYSQQRRQRVCSGEDPPKTDLMAFSPSLSQGPDSAEEKQLSESEYVGKPRQPNPDWRYSASLRAGMHSSVHLEEAGILRAGPGGPDQQWPTVSSATPEPEAGEVSPPVGAGVNSNSWTFKYGPGNPKQSGPGELPDKFIIPGSPAIISIRQEPTNSQIDKSDFITFGKKEETKKKKKKKKGNKTQEKKEKGNSTTDNSDQ
+>DECOY_sp|Q9Y5H9|PCDA2_HUMAN Protocadherin alpha-2 OS=Homo sapiens OX=9606 GN=PCDHA2 PE=1 SV=1
+QDSNDTTSNGKEKKEQTKNGKKKKKKKKTEEKKGFTIFDSKDIQSNTPEQRISIIAPSGPIIFKDPLEGPGSQKPNGPGYKFTWSNSNVGAGVPPSVEGAEPEPTASSVTPWQQDPGGPGARLIGAEELHVSSHMGARLSASYRWDPNPQRPKGVYESESLQKEEASDPGQSLSPSFAMLDTKPPDEGSCVRQRRQQSYSWSGVASSCVLTPKGPARAGETPPVSCRLATYLLVTLVLLSSVACIAIILYVNVDVLTAESGAAGVWARSSAKPAQGSEVLSVLVTATATLAPEGHDKVLVLLRHRPSDAEDLARTTSIEGTYLGVRFPIRASGTGLQLEYSLWANYGSDADVARVKAVVHGAGVSWPVLESVAGAATGARPALLAPANDNEDLVFVQLTVNSGLPPVGADRASVQFQLLEVEEHDLPQLAYVKGSEAHVSVYSSLAREGVRREVLSYSVLANEQADADWASVTFIHCGPPNNEKVFVTYEPQAFAPANDNVDAVEISVSTTAWLSPSGGDRATVVLEYASVSERDLASDLVLSYYNKFTSVLKFPVHPTLSCTVHGNTGSDRDSVTILAIVTGLSANESIPLSLSTISVEPTNDNIDVLKLSIKCHGSMSPTGKDTATVQIEYSKAEEYDLKGKTRIEGSIPDITFKTQITSSVDSGLSYVIESNPGEDADSANLKVVLTGNATNELLKVKYVSQAFTPENDNVDLVKILIQVTGTLEPKGGDTAVLLLNVEATEERDLSKGLVLSLSESLEDNAQIDLFFFESSSLKYSLLANVGIDADSAGELPFRSDLLRSEPFRITKVTMPFIPPNDNIDKVEVEVHFVQLPRDVIVEVHISCEASRGCLEERDIRSNVFLIGNQLNVELLDGHRKSAVRFLRPVLEELELGLDQAIRGVFTGHKAEEPVSYRLQGSGVEWAALLLLSLLRTWAGRGRRISSAM
+>sp|Q9Y5H8|PCDA3_HUMAN Protocadherin alpha-3 OS=Homo sapiens OX=9606 GN=PCDHA3 PE=2 SV=1
+MLFSWREDPGAQCLLLSLLLLAASEVGSGQLHYSVSEEAKHGTFVGRIAQDLGLELAELVPRLFRVASKRHGDLLEVNLQNGILFVNSRIDREELCGRSAECSIHLEVIVDRPLQVFHVEVEVKDINDNAPVFPMAVKNLFISESRQPGSRFSLEGASDADIGTNSLLTYSLDSTEYFTLDVKRNDEEIKSLGLVLKKNLNREDTPKHYLLITAIDGGKPELTGTTQLKITVLDVNDNAPAFERTIYKVRLLENAPNGTLVVTVNATDLDEGVNKDIAYSFNTDMSADILSKFHLDPVNGQISVKGNIDFEESKSYEIQVEATDKGNPPMSDHCTVLLEIVDINDNVPELVIQSLSLPVLEDSPLSTVIALISVSDRDSGVNGQVTCSLTPHVPFKLVSTFKNYYSLVLDSPLDRESVSAYELVVTARDGGSPSLWATASVSVEVADVNDNAPAFSQSEYTVFVKENNPPGCHIFTVSARDADAQENALVSYSLVERRVGERALSSYVSVHAESGKVYALQPLDHEELELLQFQVSARDAGVPPLGSNVTLQVFVLDENDNAPALLMPRVGGIGGAVSELVPRSVGAGHVVAKVRAVDADSGYNAWLSYELQPGTGGARIPFRVGLYTGEISTTRALDEVDAPRHRLLVLVKDHGEPSLTATATVLVSLVESGQAPKASSQASAGATGPEAALVDVNVYLIVAICAVSSLLVLTLLLYTALRCSAPPTEGDCGPGKPTLVCSSAVGSWSYSQQRQQRVCSGEGLPKTDLMAFSPSLPPCPISRDREEKQDVDVDLSAKPRQPNPDWRYSASLRAGMHSSVHLEEAGILRAGPGGPDQQWPTVSSATPEPEAGEVSPPVGAGVNSNSWTFKYGPGNPKQSGPGELPDKFIIPGSPAIISIRQEPTNSQIDKSDFITFGKKEETKKKKKKKKGNKTQEKKEKGNSTTDNSDQ
+>DECOY_sp|Q9Y5H8|PCDA3_HUMAN Protocadherin alpha-3 OS=Homo sapiens OX=9606 GN=PCDHA3 PE=2 SV=1
+QDSNDTTSNGKEKKEQTKNGKKKKKKKKTEEKKGFTIFDSKDIQSNTPEQRISIIAPSGPIIFKDPLEGPGSQKPNGPGYKFTWSNSNVGAGVPPSVEGAEPEPTASSVTPWQQDPGGPGARLIGAEELHVSSHMGARLSASYRWDPNPQRPKASLDVDVDQKEERDRSIPCPPLSPSFAMLDTKPLGEGSCVRQQRQQSYSWSGVASSCVLTPKGPGCDGETPPASCRLATYLLLTLVLLSSVACIAVILYVNVDVLAAEPGTAGASAQSSAKPAQGSEVLSVLVTATATLSPEGHDKVLVLLRHRPADVEDLARTTSIEGTYLGVRFPIRAGGTGPQLEYSLWANYGSDADVARVKAVVHGAGVSRPVLESVAGGIGGVRPMLLAPANDNEDLVFVQLTVNSGLPPVGADRASVQFQLLELEEHDLPQLAYVKGSEAHVSVYSSLAREGVRREVLSYSVLANEQADADRASVTFIHCGPPNNEKVFVTYESQSFAPANDNVDAVEVSVSATAWLSPSGGDRATVVLEYASVSERDLPSDLVLSYYNKFTSVLKFPVHPTLSCTVQGNVGSDRDSVSILAIVTSLPSDELVPLSLSQIVLEPVNDNIDVIELLVTCHDSMPPNGKDTAEVQIEYSKSEEFDINGKVSIQGNVPDLHFKSLIDASMDTNFSYAIDKNVGEDLDTANVTVVLTGNPANELLRVKYITREFAPANDNVDLVTIKLQTTGTLEPKGGDIATILLYHKPTDERNLNKKLVLGLSKIEEDNRKVDLTFYETSDLSYTLLSNTGIDADSAGELSFRSGPQRSESIFLNKVAMPFVPANDNIDKVEVEVHFVQLPRDVIVELHISCEASRGCLEERDIRSNVFLIGNQLNVELLDGHRKSAVRFLRPVLEALELGLDQAIRGVFTGHKAEESVSYHLQGSGVESAALLLLSLLLCQAGPDERWSFLM
+>sp|Q9Y5H6|PCDA8_HUMAN Protocadherin alpha-8 OS=Homo sapiens OX=9606 GN=PCDHA8 PE=2 SV=1
+MDYHWRGELGSWRLLLLLLLLAAWKVGSGQLHYSVPEEAKHGTFVGRIAQDLGLELAELVPRLFRVASKRHRDLLEVSLQNGILFVNSRIDREELCGRSAECSIHLEVIVDRPLQVFHVDVEVKDVNDNPPVFRVKDQKLFVSESRMPDSRFPLEGASDADVGANSVLTYRLSSHDYFMLDVNSKNDENKLVELVLRKSLDREDAPAHHLFLTATDGGKPELTGTVQLLVTVLDVNDNAPTFEQSEYEVRIFENADNGTTVIKLNASDPDEGANGAISYSFNSLVETMVIDHFSIDRNTGEIVIRGNLDFEQENLYKILIDATDKGHPPMAGHCTVLVRILDKNDNVPEIALTSLSLPVREDAQFGTVIALISVNDLDSGANGQVTCSLMPHVPFKLVSTFKNYYSLVLDSALDRERVSAYELVVTARDGGSPSLWATASLSVEVADVNDNAPAFAQPEYTVFVKENNPPGCHIFTVSARDADAQENALVSYSLVERRVGERSLSSYISVHTESGKVYALQPLDHEELELLQFQVSARDAGVPPLGSNVTLQVFVLDENDNAPALLEPRVGGTGGAASKLVPRSVGAGHVVAKVRAVDADSGYNAWLSYELQPAASSPRIPFRVGLYTGEISTTRVLDEADSPRHRLLVLVKDHGEPALTATATVLVSLVESGQAPKASSRQSAGVLGPEAALVDVNVYLIIAICAVSSLLVLTLLLYTALRCSALPTEGGCRAGKPTLVCSSAVGSWSYSQQQPQRVCSGEGPPKTDLMAFSPCLPPDLGSVDVGEEQDLNVDHGLKPRQPNPDWRYSASLRAGMHSSVHLEEAGILRAGPGGPDQQWPTVSSATPEPEAGEVSPPVGAGVNSNSWTFKYGPGNPKQSGPGELPDKFIIPGSPAIISIRQEPTNSQIDKSDFITFGKKEETKKKKKKKKGNKTQEKKEKGNSTTDNSDQ
+>DECOY_sp|Q9Y5H6|PCDA8_HUMAN Protocadherin alpha-8 OS=Homo sapiens OX=9606 GN=PCDHA8 PE=2 SV=1
+QDSNDTTSNGKEKKEQTKNGKKKKKKKKTEEKKGFTIFDSKDIQSNTPEQRISIIAPSGPIIFKDPLEGPGSQKPNGPGYKFTWSNSNVGAGVPPSVEGAEPEPTASSVTPWQQDPGGPGARLIGAEELHVSSHMGARLSASYRWDPNPQRPKLGHDVNLDQEEGVDVSGLDPPLCPSFAMLDTKPPGEGSCVRQPQQQSYSWSGVASSCVLTPKGARCGGETPLASCRLATYLLLTLVLLSSVACIAIILYVNVDVLAAEPGLVGASQRSSAKPAQGSEVLSVLVTATATLAPEGHDKVLVLLRHRPSDAEDLVRTTSIEGTYLGVRFPIRPSSAAPQLEYSLWANYGSDADVARVKAVVHGAGVSRPVLKSAAGGTGGVRPELLAPANDNEDLVFVQLTVNSGLPPVGADRASVQFQLLELEEHDLPQLAYVKGSETHVSIYSSLSREGVRREVLSYSVLANEQADADRASVTFIHCGPPNNEKVFVTYEPQAFAPANDNVDAVEVSLSATAWLSPSGGDRATVVLEYASVRERDLASDLVLSYYNKFTSVLKFPVHPMLSCTVQGNAGSDLDNVSILAIVTGFQADERVPLSLSTLAIEPVNDNKDLIRVLVTCHGAMPPHGKDTADILIKYLNEQEFDLNGRIVIEGTNRDISFHDIVMTEVLSNFSYSIAGNAGEDPDSANLKIVTTGNDANEFIRVEYESQEFTPANDNVDLVTVLLQVTGTLEPKGGDTATLFLHHAPADERDLSKRLVLEVLKNEDNKSNVDLMFYDHSSLRYTLVSNAGVDADSAGELPFRSDPMRSESVFLKQDKVRFVPPNDNVDKVEVDVHFVQLPRDVIVELHISCEASRGCLEERDIRSNVFLIGNQLSVELLDRHRKSAVRFLRPVLEALELGLDQAIRGVFTGHKAEEPVSYHLQGSGVKWAALLLLLLLLRWSGLEGRWHYDM
+>sp|Q9H158|PCDC1_HUMAN Protocadherin alpha-C1 OS=Homo sapiens OX=9606 GN=PCDHAC1 PE=2 SV=2
+MVGCGVAVLCLWVSCGAAAGQLEYSVPEETERGVAVGNLSADLRLPAAAMSSRNFRFLSSHRELYFGVDLPSGNLVVREPADREQLCRAKAACVLTYDLVLEDPLELHKIRIHVLDTNDNSPLFPAGDVQLHIPEFLTPGARFTLPNAQDDDEGSNGILSYSLSPSQHFRLDMGSRVDGSEYPELVLEKALDREQRATHLLVLTARDGGLPARSGDAQVTIIVVDTNDNAPVFERSVYRTKVPETAPNGTVLFRVQALDPDEGSNGEVQYSLSNSTQAELRHRFHVHPKSGEVQVAASLGPPETLLEAYIEARDEGVFGLASTAKLLVEVTDVNDHAPELDFLTLSNPVPEDAAPGTVIALFSVKDEDLDSNGRVICGMSSAGPFQLTASFDNYYSLLIDGPLDREQISEYQVLITASDSGSPPLSTRRTITVSVADVNDNTPNFPQPQQELFVAENNGPGASLGRVFAQDPDLGKNGLVSYELLDVISEGPSASSLLAVESSSGAITAKTSFDFEQLRGFHFQVEGRDGGIPPRSATVTINLFVVDRNDNYPVILFPLPRNGSVPVEIVPRSARTGHLVTKVVAEDADSGSNAWLSYHISRASDSSLFRISANIGELRTARLVLPTDAVKQRVVVVVRDHGDPPLSSSVTLGVLLSNSVPQLLPDFEDVWEPGGQLSAQNLYLVIALACISFLFLGCLLFFVCTKLHQSPGCCAQSCCRSTEDLRYGSKMVSNPCMTSATIDVTTVERLSQTYLYRASLGLGSDNNSLLLRGEYNAADLRNLATGVGLNLPISCIQIRNRKGDHANVNAMPRQPNPDWRYSASLRAGMHSSVHLEEAGILRAGPGGPDQQWPTVSSATPEPEAGEVSPPVGAGVNSNSWTFKYGPGNPKQSGPGELPDKFIIPGSPAIISIRQEPTNSQIDKSDFITFGKKEETKKKKKKKKGNKTQEKKEKGNSTTDNSDQ
+>DECOY_sp|Q9H158|PCDC1_HUMAN Protocadherin alpha-C1 OS=Homo sapiens OX=9606 GN=PCDHAC1 PE=2 SV=2
+QDSNDTTSNGKEKKEQTKNGKKKKKKKKTEEKKGFTIFDSKDIQSNTPEQRISIIAPSGPIIFKDPLEGPGSQKPNGPGYKFTWSNSNVGAGVPPSVEGAEPEPTASSVTPWQQDPGGPGARLIGAEELHVSSHMGARLSASYRWDPNPQRPMANVNAHDGKRNRIQICSIPLNLGVGTALNRLDAANYEGRLLLSNNDSGLGLSARYLYTQSLREVTTVDITASTMCPNSVMKSGYRLDETSRCCSQACCGPSQHLKTCVFFLLCGLFLFSICALAIVLYLNQASLQGGPEWVDEFDPLLQPVSNSLLVGLTVSSSLPPDGHDRVVVVVRQKVADTPLVLRATRLEGINASIRFLSSDSARSIHYSLWANSGSDADEAVVKTVLHGTRASRPVIEVPVSGNRPLPFLIVPYNDNRDVVFLNITVTASRPPIGGDRGEVQFHFGRLQEFDFSTKATIAGSSSEVALLSSASPGESIVDLLEYSVLGNKGLDPDQAFVRGLSAGPGNNEAVFLEQQPQPFNPTNDNVDAVSVTITRRTSLPPSGSDSATILVQYESIQERDLPGDILLSYYNDFSATLQFPGASSMGCIVRGNSDLDEDKVSFLAIVTGPAADEPVPNSLTLFDLEPAHDNVDTVEVLLKATSALGFVGEDRAEIYAELLTEPPGLSAAVQVEGSKPHVHFRHRLEAQTSNSLSYQVEGNSGEDPDLAQVRFLVTGNPATEPVKTRYVSREFVPANDNTDVVIITVQADGSRAPLGGDRATLVLLHTARQERDLAKELVLEPYESGDVRSGMDLRFHQSPSLSYSLIGNSGEDDDQANPLTFRAGPTLFEPIHLQVDGAPFLPSNDNTDLVHIRIKHLELPDELVLDYTLVCAAKARCLQERDAPERVVLNGSPLDVGFYLERHSSLFRFNRSSMAAAPLRLDASLNGVAVGRETEEPVSYELQGAAAGCSVWLCLVAVGCGVM
+>sp|Q9Y5I4|PCDC2_HUMAN Protocadherin alpha-C2 OS=Homo sapiens OX=9606 GN=PCDHAC2 PE=2 SV=1
+MEQAGTRPAATEHPRLRRPMPWLLLLPLLLLLLLLLPGPAASQLRYSVPEEQAPGALVGNVARALGLELRRLGPGCLRINHLGAPSPRYLELDLTSGALFVNERIDREALCEQRPRCLLSLEVLAHNPVAVSAVEVEILDINDNSPRFPRPNYQLQVSESVAPGARFHIESAQDPDVGANSVQTYELSPSEHFELDLKPLQENSKVLELVLRKGLDREQAALHHLVLTAVDGGIPARSGTAQISVRVLDTNDNSPAFDQSTYRVQLREDSPPGTLVVKLNASDPDEGSNGELRYSLSSYTSDRERQLFSIDASTGEVRVIGGLDYEEASSYQIYVQATDRGPVPMAGHCKVLVDIVDVNDNAPEVVLTDLYSPVPENATPNTIVAVLSVNDQDSGPNRKVSLGLEATLPFRLNGFGNSYTLVVSGPLDRERVAVYNITVTATDGGIPQLTSLRTLKVEISDINDNPPSFLEDSYSIYIQENNLPGVLLCTVQATDPDEKENAEVTYSLLEREIQGLPVTSYVSINSASGSLYAVNSFDYEKFREFFVTVEAQDKGSPPLSSTVTANVYVVDMNDHAPHILYPTSTNSSAAFEMVPRTAPAGYLVTKVIAMDSDSGQNAWLFYHLAQTSDLDLFKVELHTGEIRTTRKMGDESGSTFNLTVVVRDNGEPSLSASVAITVAVVDRVSKILPDTQRHVKSPRTYSEITLYLIIALSTVSFIFLLTIIILSIIKCYRYTAYGTACCGGFCGVRERSPAELYKQANNNIDARIPHGLKVQPHFIEVRGNGSLTKTYCYKACLTAGSGSDTFMFYNTGAQTGPGPSGAQAAVTDSRNLTGQSGQNAGNLIILKNEAVSQNEPRQPNPDWRYSASLRAGMHSSVHLEEAGILRAGPGGPDQQWPTVSSATPEPEAGEVSPPVGAGVNSNSWTFKYGPGNPKQSGPGELPDKFIIPGSPAIISIRQEPTNSQIDKSDFITFGKKEETKKKKKKKKGNKTQEKKEKGNSTTDNSDQ
+>DECOY_sp|Q9Y5I4|PCDC2_HUMAN Protocadherin alpha-C2 OS=Homo sapiens OX=9606 GN=PCDHAC2 PE=2 SV=1
+QDSNDTTSNGKEKKEQTKNGKKKKKKKKTEEKKGFTIFDSKDIQSNTPEQRISIIAPSGPIIFKDPLEGPGSQKPNGPGYKFTWSNSNVGAGVPPSVEGAEPEPTASSVTPWQQDPGGPGARLIGAEELHVSSHMGARLSASYRWDPNPQRPENQSVAENKLIILNGANQGSQGTLNRSDTVAAQAGSPGPGTQAGTNYFMFTDSGSGATLCAKYCYTKTLSGNGRVEIFHPQVKLGHPIRADINNNAQKYLEAPSRERVGCFGGCCATGYATYRYCKIISLIIITLLFIFSVTSLAIILYLTIESYTRPSKVHRQTDPLIKSVRDVVAVTIAVSASLSPEGNDRVVVTLNFTSGSEDGMKRTTRIEGTHLEVKFLDLDSTQALHYFLWANQGSDSDMAIVKTVLYGAPATRPVMEFAASSNTSTPYLIHPAHDNMDVVYVNATVTSSLPPSGKDQAEVTVFFERFKEYDFSNVAYLSGSASNISVYSTVPLGQIERELLSYTVEANEKEDPDTAQVTCLLVGPLNNEQIYISYSDELFSPPNDNIDSIEVKLTRLSTLQPIGGDTATVTINYVAVRERDLPGSVVLTYSNGFGNLRFPLTAELGLSVKRNPGSDQDNVSLVAVITNPTANEPVPSYLDTLVVEPANDNVDVIDVLVKCHGAMPVPGRDTAQVYIQYSSAEEYDLGGIVRVEGTSADISFLQRERDSTYSSLSYRLEGNSGEDPDSANLKVVLTGPPSDERLQVRYTSQDFAPSNDNTDLVRVSIQATGSRAPIGGDVATLVLHHLAAQERDLGKRLVLELVKSNEQLPKLDLEFHESPSLEYTQVSNAGVDPDQASEIHFRAGPAVSESVQLQYNPRPFRPSNDNIDLIEVEVASVAVPNHALVELSLLCRPRQECLAERDIRENVFLAGSTLDLELYRPSPAGLHNIRLCGPGLRRLELGLARAVNGVLAGPAQEEPVSYRLQSAAPGPLLLLLLLLLPLLLLWPMPRRLRPHETAAPRTGAQEM
+>sp|Q9Y5H4|PCDG1_HUMAN Protocadherin gamma-A1 OS=Homo sapiens OX=9606 GN=PCDHGA1 PE=2 SV=1
+MKIQKKLTGCSRLMLLCLSLELLLEAGAGNIHYSVPEETDKGSFVGNIAKDLGLQPQELADGGVRIVSRGRMPLFALNPRSGSLITARRIDREELCAQSMPCLVSFNILVEDKMKLFPVEVEIIDINDNTPQFQLEELEFKMNEITTPGTRVSLPFGQDLDVGMNSLQSYQLSSNPHFSLDVQQGADGPQHPEMVLQSPLDREEEAVHHLILTASDGGEPVRSGTLRIYIQVVDANDNPPAFTQAQYHINVPENVPLGTQLLMVNATDPDEGANGEVTYSFHNVDHRVAQIFRLDSYTGEISNKEPLDFEEYKMYSMEVQAQDGAGLMAKVKVLIKVLDVNDNAPEVTITSVTTAVPENFPPGTIIALISVHDQDSGDNGYTTCFIPGNLPFKLEKLVDNYYRLVTERTLDRELISGYNITITAIDQGTPALSTETHISLLVTDINDNSPVFHQDSYSAYIPENNPRGASIFSVRAHDLDSNENAQITYSLIEDTIQGAPLSAYLSINSDTGVLYALRSFDYEQFRDMQLKVMARDSGDPPLSSNVSLSLFLLDQNDNAPEILYPALPTDGSTGVELAPLSAEPGYLVTKVVAVDRDSGQNAWLSYRLLKASEPGLFSVGLHTGEVRTARALLDRDALKQSLVVAVQDHGQPPLSATVTLTVAVADRISDILADLGSLEPSAKPNDSDLTLYLVVAAAAVSCVFLAFVIVLLAHRLRRWHKSRLLQASGGGLASMPGSHFVGVDGVRAFLQTYSHEVSLTADSRKSHLIFPQPNYADTLISQESCEKKGFLSAPQSLLEDKKEPFSQQAPPNTDWRFSQAQRPGTSGSQNGDDTGTWPNNQFDTEMLQAMILASASEAADGSSTLGGGAGTMGLSARYGPQFTLQHVPDYRQNVYIPGSNATLTNAAGKRDGKAPAGGNGNKKKSGKKEKK
+>DECOY_sp|Q9Y5H4|PCDG1_HUMAN Protocadherin gamma-A1 OS=Homo sapiens OX=9606 GN=PCDHGA1 PE=2 SV=1
+KKEKKGSKKKNGNGGAPAKGDRKGAANTLTANSGPIYVNQRYDPVHQLTFQPGYRASLGMTGAGGGLTSSGDAAESASALIMAQLMETDFQNNPWTGTDDGNQSGSTGPRQAQSFRWDTNPPAQQSFPEKKDELLSQPASLFGKKECSEQSILTDAYNPQPFILHSKRSDATLSVEHSYTQLFARVGDVGVFHSGPMSALGGGSAQLLRSKHWRRLRHALLVIVFALFVCSVAAAAVVLYLTLDSDNPKASPELSGLDALIDSIRDAVAVTLTVTASLPPQGHDQVAVVLSQKLADRDLLARATRVEGTHLGVSFLGPESAKLLRYSLWANQGSDRDVAVVKTVLYGPEASLPALEVGTSGDTPLAPYLIEPANDNQDLLFLSLSVNSSLPPDGSDRAMVKLQMDRFQEYDFSRLAYLVGTDSNISLYASLPAGQITDEILSYTIQANENSDLDHARVSFISAGRPNNEPIYASYSDQHFVPSNDNIDTVLLSIHTETSLAPTGQDIATITINYGSILERDLTRETVLRYYNDVLKELKFPLNGPIFCTTYGNDGSDQDHVSILAIITGPPFNEPVATTVSTITVEPANDNVDLVKILVKVKAMLGAGDQAQVEMSYMKYEEFDLPEKNSIEGTYSDLRFIQAVRHDVNHFSYTVEGNAGEDPDTANVMLLQTGLPVNEPVNIHYQAQTFAPPNDNADVVQIYIRLTGSRVPEGGDSATLILHHVAEEERDLPSQLVMEPHQPGDAGQQVDLSFHPNSSLQYSQLSNMGVDLDQGFPLSVRTGPTTIENMKFELEELQFQPTNDNIDIIEVEVPFLKMKDEVLINFSVLCPMSQACLEERDIRRATILSGSRPNLAFLPMRGRSVIRVGGDALEQPQLGLDKAINGVFSGKDTEEPVSYHINGAGAELLLELSLCLLMLRSCGTLKKQIKM
+>sp|Q9Y5H0|PCDG3_HUMAN Protocadherin gamma-A3 OS=Homo sapiens OX=9606 GN=PCDHGA3 PE=2 SV=2
+MTNCLSFRNGRGLALLCALLGTLCETGSGQIRYSVSEELDKGSFVGNIANDLGLEPRELAERGVRIVSRGRTQLFSLNPQSGSLVTAERIDREELCAQIPLCLVKINILVEDKLKIFEVEIEIKDINDNAPNFPTEELEIKIGELTVPGTRFPIKTAFDPDVGINSLQNYKLSPNDYFSLAVNSVSEGAKYPELVLERALDREKKEIHQLVLVASDGGDPVHSGNLHIQVIVLDANDNPPMFTQPEYRVSVWENVPVGTRLLTVNATDPDEGFNAQVSYILDKMPGKIAEIFHLNSVSGEVSILKSLDYEDAMFYEIKIEAQDGPGLLSRAKILVTVLDVNDNAPEITITSLTSSVPEEGTVGREIALIDVHDRDSGQNGQVEVFVLGNLPFKLEKSIDQYYRLVTATSLDREQISEYNISLRASDGGSPPLSTETHITLHVIDINDNPPTFPHLSYSAYIPENNPRGASIFSVTAQDPDSNNNARITYALTEDTLQGAPLSSFVSINSNTGVLYALRSFDYEQFRDLKLLVTASDSGNPPLSSNVSLNLFVLDQNDNAPEILYPALPTDGSTGVELAPRSAEPGYLVTKVVAVDRDSGQNAWLSYRLLKASEPGLFSVGLHTGEVRTARALLDRDALKQSLVVAVQDHGQPPLSATVTLTVAVADRIPDILADLGSLEPSAKPNDSDLTLYLVVAVAAVSCVFLAFVIVLLALRLRRWHKSRLLQASGGGLASTPGSHFVGADGVRAFLQTYSHEVSLTADSRKSHLIFPQPNYADTLISQESCEKSEPLLITQDLLEMKGDSNLLQQAPPNTDWRFSQAQRPGTSGSQNGDDTGTWPNNQFDTEMLQAMILASASEAADGSSTLGGGAGTMGLSARYGPQFTLQHVPDYRQNVYIPGSNATLTNAAGKRDGKAPAGGNGNKKKSGKKEKK
+>DECOY_sp|Q9Y5H0|PCDG3_HUMAN Protocadherin gamma-A3 OS=Homo sapiens OX=9606 GN=PCDHGA3 PE=2 SV=2
+KKEKKGSKKKNGNGGAPAKGDRKGAANTLTANSGPIYVNQRYDPVHQLTFQPGYRASLGMTGAGGGLTSSGDAAESASALIMAQLMETDFQNNPWTGTDDGNQSGSTGPRQAQSFRWDTNPPAQQLLNSDGKMELLDQTILLPESKECSEQSILTDAYNPQPFILHSKRSDATLSVEHSYTQLFARVGDAGVFHSGPTSALGGGSAQLLRSKHWRRLRLALLVIVFALFVCSVAAVAVVLYLTLDSDNPKASPELSGLDALIDPIRDAVAVTLTVTASLPPQGHDQVAVVLSQKLADRDLLARATRVEGTHLGVSFLGPESAKLLRYSLWANQGSDRDVAVVKTVLYGPEASRPALEVGTSGDTPLAPYLIEPANDNQDLVFLNLSVNSSLPPNGSDSATVLLKLDRFQEYDFSRLAYLVGTNSNISVFSSLPAGQLTDETLAYTIRANNNSDPDQATVSFISAGRPNNEPIYASYSLHPFTPPNDNIDIVHLTIHTETSLPPSGGDSARLSINYESIQERDLSTATVLRYYQDISKELKFPLNGLVFVEVQGNQGSDRDHVDILAIERGVTGEEPVSSTLSTITIEPANDNVDLVTVLIKARSLLGPGDQAEIKIEYFMADEYDLSKLISVEGSVSNLHFIEAIKGPMKDLIYSVQANFGEDPDTANVTLLRTGVPVNEWVSVRYEPQTFMPPNDNADLVIVQIHLNGSHVPDGGDSAVLVLQHIEKKERDLARELVLEPYKAGESVSNVALSFYDNPSLKYNQLSNIGVDPDFATKIPFRTGPVTLEGIKIELEETPFNPANDNIDKIEIEVEFIKLKDEVLINIKVLCLPIQACLEERDIREATVLSGSQPNLSFLQTRGRSVIRVGREALERPELGLDNAINGVFSGKDLEESVSYRIQGSGTECLTGLLACLLALGRGNRFSLCNTM
+>sp|Q9Y5G9|PCDG4_HUMAN Protocadherin gamma-A4 OS=Homo sapiens OX=9606 GN=PCDHGA4 PE=2 SV=2
+MHFILDPEDPGAPQASTEGKPKHRRLRGGVVMAAPPARPDHTRLLQICLLLGVLVEIRAEQILYSVFEEQEEGSVVGNIAKDLGLAPRELAERGVRIVSRGRTQLFALNPRSGTLVTAGRIDREELCDRSPNCVTNLEILLEDTVKILRVEVEIIDVNDNPPSFGTEQREIKVAENENPGARFPLPEAFDPDVGVNSLQGYQLNSNGYFSLDVQSGADGIKYPELVLERALDREEEAVHHLVLTAFDGGDPVRSGTARILIILVDTNDNAPVFTQPEYHVSVRENVPVGTRLLTVKATDPDEGANGDVTYSFRKVRDKISQLFQLNSLSGDITILGGLDYEDSGFYDIDVEAHDGPGLRARSKVLVTVLDENDNAPEVTVTSLTSSVQESSSPGTVIALFNVHDSDSGGNGLVTCSIPDNLPFTLEKTYGNYYRLLTHRTLDREEVSEYNITVTATDQGTPPLSTETHISLQVMDINDNPPTFPHASYSAYIPENNPRGASILSMTAQDPDSGDNARITYSLAEDTFQGAPLSSYVSINSNTGILYALCSFDYEQFRDLQLLMTASDSGDPPLSSNVSLSLFVLDQNDNVPEILYPTFPTDGSTGVELAPRSADSGYLVTKVVAVDRDSGQNAWLSYSLLKSSEPGLFAVGLHTGEVRTARALLDRDALKQRLVVVVQDHGQPPLSATVTLTVAVADSIPDVLADLGSLKPSADPDDSGLTLYLVVAVAAVSCVFLAFVTVLLALKLRRWHKSRLLHAEGSRLAGVPASHFVGVDGVRAFLQTYSHEVSLTADSRKSHLIFSQPSYADTLISRESCEKSEPLLITQDLLETKGDPNLQQAPPNTDWRFSQAQRPGTSGSQNGDDTGTWPNNQFDTEMLQAMILASASEAADGSSTLGGGAGTMGLSARYGPQFTLQHVPDYRQNVYIPGSNATLTNAAGKRDGKAPAGGNGNKKKSGKKEKK
+>DECOY_sp|Q9Y5G9|PCDG4_HUMAN Protocadherin gamma-A4 OS=Homo sapiens OX=9606 GN=PCDHGA4 PE=2 SV=2
+KKEKKGSKKKNGNGGAPAKGDRKGAANTLTANSGPIYVNQRYDPVHQLTFQPGYRASLGMTGAGGGLTSSGDAAESASALIMAQLMETDFQNNPWTGTDDGNQSGSTGPRQAQSFRWDTNPPAQQLNPDGKTELLDQTILLPESKECSERSILTDAYSPQSFILHSKRSDATLSVEHSYTQLFARVGDVGVFHSAPVGALRSGEAHLLRSKHWRRLKLALLVTVFALFVCSVAAVAVVLYLTLGSDDPDASPKLSGLDALVDPISDAVAVTLTVTASLPPQGHDQVVVVLRQKLADRDLLARATRVEGTHLGVAFLGPESSKLLSYSLWANQGSDRDVAVVKTVLYGSDASRPALEVGTSGDTPFTPYLIEPVNDNQDLVFLSLSVNSSLPPDGSDSATMLLQLDRFQEYDFSCLAYLIGTNSNISVYSSLPAGQFTDEALSYTIRANDGSDPDQATMSLISAGRPNNEPIYASYSAHPFTPPNDNIDMVQLSIHTETSLPPTGQDTATVTINYESVEERDLTRHTLLRYYNGYTKELTFPLNDPISCTVLGNGGSDSDHVNFLAIVTGPSSSEQVSSTLSTVTVEPANDNEDLVTVLVKSRARLGPGDHAEVDIDYFGSDEYDLGGLITIDGSLSNLQFLQSIKDRVKRFSYTVDGNAGEDPDTAKVTLLRTGVPVNERVSVHYEPQTFVPANDNTDVLIILIRATGSRVPDGGDFATLVLHHVAEEERDLARELVLEPYKIGDAGSQVDLSFYGNSNLQYGQLSNVGVDPDFAEPLPFRAGPNENEAVKIERQETGFSPPNDNVDIIEVEVRLIKVTDELLIELNTVCNPSRDCLEERDIRGATVLTGSRPNLAFLQTRGRSVIRVGREALERPALGLDKAINGVVSGEEQEEFVSYLIQEARIEVLVGLLLCIQLLRTHDPRAPPAAMVVGGRLRRHKPKGETSAQPAGPDEPDLIFHM
+>sp|O60330|PCDGC_HUMAN Protocadherin gamma-A12 OS=Homo sapiens OX=9606 GN=PCDHGA12 PE=2 SV=1
+MIPARLHRDYKGLVLLGILLGTLWETGCTQIRYSVPEELEKGSRVGDISRDLGLEPRELAERGVRIIPRGRTQLFALNPRSGSLVTAGRIDREELCMGAIKCQLNLDILMEDKVKIYGVEVEVRDINDNAPYFRESELEIKISENAATEMRFPLPHAWDPDIGKNSLQSYELSPNTHFSLIVQNGADGSKYPELVLKRALDREEKAAHHLVLTASDGGDPVRTGTARIRVMVLDANDNAPAFAQPEYRASVPENLALGTQLLVVNATDPDEGVNAEVRYSFRYVDDKAAQVFKLDCNSGTISTIGELDHEESGFYQMEVQAMDNAGYSARAKVLITVLDVNDNAPEVVLTSLASSVPENSPRGTLIALLNVNDQDSEENGQVICFIQGNLPFKLEKSYGNYYSLVTDIVLDREQVPSYNITVTATDRGTPPLSTETHISLNVADTNDNPPVFPQASYSAYIPENNPRGVSLVSVTAHDPDCEENAQITYSLAENTIQGASLSSYVSINSDTGVLYALSSFDYEQFRDLQVKVMARDNGHPPLSSNVSLSLFVLDQNDNAPEILYPALPTDGSTGVELAPRSAEPGYLVTKVVAVDRDSGQNAWLSYRLLKASEPGLFSVGLHTGEVRTARALLDRDALKQSLVVAVQDHGQPPLSATVTLTVAVADSIPQVLADLGSLESPANSETSDLTLYLVVAVAAVSCVFLAFVILLLALRLRRWHKSRLLQASGGGLTGAPASHFVGVDGVQAFLQTYSHEVSLTTDSRKSHLIFPQPNYADMLVSQESFEKSEPLLLSGDSVFSKDSHGLIEQAPPNTDWRFSQAQRPGTSGSQNGDDTGTWPNNQFDTEMLQAMILASASEAADGSSTLGGGAGTMGLSARYGPQFTLQHVPDYRQNVYIPGSNATLTNAAGKRDGKAPAGGNGNKKKSGKKEKK
+>DECOY_sp|O60330|PCDGC_HUMAN Protocadherin gamma-A12 OS=Homo sapiens OX=9606 GN=PCDHGA12 PE=2 SV=1
+KKEKKGSKKKNGNGGAPAKGDRKGAANTLTANSGPIYVNQRYDPVHQLTFQPGYRASLGMTGAGGGLTSSGDAAESASALIMAQLMETDFQNNPWTGTDDGNQSGSTGPRQAQSFRWDTNPPAQEILGHSDKSFVSDGSLLLPESKEFSEQSVLMDAYNPQPFILHSKRSDTTLSVEHSYTQLFAQVGDVGVFHSAPAGTLGGGSAQLLRSKHWRRLRLALLLIVFALFVCSVAAVAVVLYLTLDSTESNAPSELSGLDALVQPISDAVAVTLTVTASLPPQGHDQVAVVLSQKLADRDLLARATRVEGTHLGVSFLGPESAKLLRYSLWANQGSDRDVAVVKTVLYGPEASRPALEVGTSGDTPLAPYLIEPANDNQDLVFLSLSVNSSLPPHGNDRAMVKVQLDRFQEYDFSSLAYLVGTDSNISVYSSLSAGQITNEALSYTIQANEECDPDHATVSVLSVGRPNNEPIYASYSAQPFVPPNDNTDAVNLSIHTETSLPPTGRDTATVTINYSPVQERDLVIDTVLSYYNGYSKELKFPLNGQIFCIVQGNEESDQDNVNLLAILTGRPSNEPVSSALSTLVVEPANDNVDLVTILVKARASYGANDMAQVEMQYFGSEEHDLEGITSITGSNCDLKFVQAAKDDVYRFSYRVEANVGEDPDTANVVLLQTGLALNEPVSARYEPQAFAPANDNADLVMVRIRATGTRVPDGGDSATLVLHHAAKEERDLARKLVLEPYKSGDAGNQVILSFHTNPSLEYSQLSNKGIDPDWAHPLPFRMETAANESIKIELESERFYPANDNIDRVEVEVGYIKVKDEMLIDLNLQCKIAGMCLEERDIRGATVLSGSRPNLAFLQTRGRPIIRVGREALERPELGLDRSIDGVRSGKELEEPVSYRIQTCGTEWLTGLLIGLLVLGKYDRHLRAPIM
+>sp|Q9Y5G1|PCDGF_HUMAN Protocadherin gamma-B3 OS=Homo sapiens OX=9606 GN=PCDHGB3 PE=1 SV=4
+MGNSSGWRGPAGQRRMLFLFLLSLLDQALSEPIRYAIPEELDRGSLVGNLAKDLGFGVGDLPTRNLRVIAEKKFFTVSPENGNLLVSDRIDREEICGKKSTCVLEFEMVAEKPLNFFHVTVLIQDINDNPPTFSQNITELEISELALTGATFALESAQDPDVGVNSLQQYYLSPDPHFSLIQKENLDGSRYPELVLKAPLDREEQPHHHLVLTAVDGGEPSRSCTTQIRVIVADANDNPPVFTQDMYRVNVAENLPAGSSVLKVMAIDMDEGINAEIIYAFINIGKEVRQLFKLDSKTGELTTIGELDFEERDSYTIGVEAKDGGHHTAYCKVQIDISDENDNAPEITLASESQHIQEDAELGTAVALIKTHDLDSGFNGEILCQLKGNFPFKIVQDTKNTYRLVTDGALDREQIPEYNVTITATDKGNPPLSSSKTITLHILDVNDNVPVFHQASYTVHVAENNPPGASIAHVRASDPDLGPNGLVSYYIVASDLEPRELSSYVSVSARSGVVFAQRAFDHEQLRAFELTLQARDQGSPTLSANVSLRVLVDDRNDNAPLVLYPALGPEGSALFDMVPRSAEPGYLVTKVVAVDADSGYNAWLSYHIVQASEPGLFSLGLRTGEVRTARTLGDREAARQRLLVTVRDGGQQPLSATVMLHLIFADSLQEIQPDLSDRPTPSDPQAELQFHLVVALALISVLFLLAVILAISLRLRCSSRPATEGYFQPGVCFKTVPGVLPTYSERTLPYSYNPCAASHSSNTEFKFLNIKAENAAPQDLLCDEASWFESNDNPEMPSNSGNLQKQAPPNTDWRFSQAQRPGTSGSQNGDDTGTWPNNQFDTEMLQAMILASASEAADGSSTLGGGAGTMGLSARYGPQFTLQHVPDYRQNVYIPGSNATLTNAAGKRDGKAPAGGNGNKKKSGKKEKK
+>DECOY_sp|Q9Y5G1|PCDGF_HUMAN Protocadherin gamma-B3 OS=Homo sapiens OX=9606 GN=PCDHGB3 PE=1 SV=4
+KKEKKGSKKKNGNGGAPAKGDRKGAANTLTANSGPIYVNQRYDPVHQLTFQPGYRASLGMTGAGGGLTSSGDAAESASALIMAQLMETDFQNNPWTGTDDGNQSGSTGPRQAQSFRWDTNPPAQKQLNGSNSPMEPNDNSEFWSAEDCLLDQPAANEAKINLFKFETNSSHSAACPNYSYPLTRESYTPLVGPVTKFCVGPQFYGETAPRSSCRLRLSIALIVALLFLVSILALAVVLHFQLEAQPDSPTPRDSLDPQIEQLSDAFILHLMVTASLPQQGGDRVTVLLRQRAAERDGLTRATRVEGTRLGLSFLGPESAQVIHYSLWANYGSDADVAVVKTVLYGPEASRPVMDFLASGEPGLAPYLVLPANDNRDDVLVRLSVNASLTPSGQDRAQLTLEFARLQEHDFARQAFVVGSRASVSVYSSLERPELDSAVIYYSVLGNPGLDPDSARVHAISAGPPNNEAVHVTYSAQHFVPVNDNVDLIHLTITKSSSLPPNGKDTATITVNYEPIQERDLAGDTVLRYTNKTDQVIKFPFNGKLQCLIEGNFGSDLDHTKILAVATGLEADEQIHQSESALTIEPANDNEDSIDIQVKCYATHHGGDKAEVGITYSDREEFDLEGITTLEGTKSDLKFLQRVEKGINIFAYIIEANIGEDMDIAMVKLVSSGAPLNEAVNVRYMDQTFVPPNDNADAVIVRIQTTCSRSPEGGDVATLVLHHHPQEERDLPAKLVLEPYRSGDLNEKQILSFHPDPSLYYQQLSNVGVDPDQASELAFTAGTLALESIELETINQSFTPPNDNIDQILVTVHFFNLPKEAVMEFELVCTSKKGCIEERDIRDSVLLNGNEPSVTFFKKEAIVRLNRTPLDGVGFGLDKALNGVLSGRDLEEPIAYRIPESLAQDLLSLLFLFLMRRQGAPGRWGSSNGM
+>sp|Q9Y5F8|PCDGJ_HUMAN Protocadherin gamma-B7 OS=Homo sapiens OX=9606 GN=PCDHGB7 PE=2 SV=1
+MGGSCAQRRRAGPRQVLFPLLLPLFYPTLCEPIRYSIPEELAKGSVVGNLAKDLGLSVLDVSARELRVSAEKLHFSVDAQSGDLLVKDRIDREQICKERRRCELQLEAVVENPLNIFHVIVVIEDVNDHAPQFRKDEINLEISESVSLGMGTILESAEDPDISMNSLSKYQLSPNEYFSLVEKDNPDGGKYPELVLQKTLDRETQSAHHLVLTALDGGDPPRSGTAQIRILVIDANDNPPVFSQDVYRVSLREDVPPGTSILRVKATDQDEGINSEITYSFFGVADKAQHVFSLDYTTGNILTQQPLDFEEVERYTINIEAKDRGSLSTRCKVIVEVVDENDNSPEIIITSLSDQIMEDSPPGVVVALFKTRDQDSGENGEVRCSLSRGVPFKIHSSSNNYYKLVTDEALDREQTPEYNVTIAATDRGKPPLSSSKTITLHITDVNDNAPVFGQSAYLVHVPENNQPGASIAQVSASDPDFGLNGRVSYSLIASDLESRTLSSYVSVSAQSGVVFAQRAFDHEQLRTFELTLQARDQGSPALSANVSLRVLVGDRNDNAPRVLYPALGPDGSALFDTVPRAAQPGYLVTKVVAVDADSGHNAWLSYHVVQASEPGLFSLGLRTGEVRMVRALGDKDSVRQRLLVAVRDGGQPPLSATATLHLVFADSLQEVLPDFSDHPTPSDSQAEMQFYLVVALALISVLFLLAVILAIALRLRQSFSPTAGDCFESVLCSKSGPVGPPNYSEGTLPYAYNFCVPGDQMNPEFNFFTSVDHCPATQDNLNKDSMLLASILTPSVEADKKILKQQAPPNTDWRFSQAQRPGTSGSQNGDDTGTWPNNQFDTEMLQAMILASASEAADGSSTLGGGAGTMGLSARYGPQFTLQHVPDYRQNVYIPGSNATLTNAAGKRDGKAPAGGNGNKKKSGKKEKK
+>DECOY_sp|Q9Y5F8|PCDGJ_HUMAN Protocadherin gamma-B7 OS=Homo sapiens OX=9606 GN=PCDHGB7 PE=2 SV=1
+KKEKKGSKKKNGNGGAPAKGDRKGAANTLTANSGPIYVNQRYDPVHQLTFQPGYRASLGMTGAGGGLTSSGDAAESASALIMAQLMETDFQNNPWTGTDDGNQSGSTGPRQAQSFRWDTNPPAQQKLIKKDAEVSPTLISALLMSDKNLNDQTAPCHDVSTFFNFEPNMQDGPVCFNYAYPLTGESYNPPGVPGSKSCLVSEFCDGATPSFSQRLRLAIALIVALLFLVSILALAVVLYFQMEAQSDSPTPHDSFDPLVEQLSDAFVLHLTATASLPPQGGDRVAVLLRQRVSDKDGLARVMRVEGTRLGLSFLGPESAQVVHYSLWANHGSDADVAVVKTVLYGPQAARPVTDFLASGDPGLAPYLVRPANDNRDGVLVRLSVNASLAPSGQDRAQLTLEFTRLQEHDFARQAFVVGSQASVSVYSSLTRSELDSAILSYSVRGNLGFDPDSASVQAISAGPQNNEPVHVLYASQGFVPANDNVDTIHLTITKSSSLPPKGRDTAAITVNYEPTQERDLAEDTVLKYYNNSSSHIKFPVGRSLSCRVEGNEGSDQDRTKFLAVVVGPPSDEMIQDSLSTIIIEPSNDNEDVVEVIVKCRTSLSGRDKAEINITYREVEEFDLPQQTLINGTTYDLSFVHQAKDAVGFFSYTIESNIGEDQDTAKVRLISTGPPVDERLSVRYVDQSFVPPNDNADIVLIRIQATGSRPPDGGDLATLVLHHASQTERDLTKQLVLEPYKGGDPNDKEVLSFYENPSLQYKSLSNMSIDPDEASELITGMGLSVSESIELNIEDKRFQPAHDNVDEIVVIVHFINLPNEVVAELQLECRRREKCIQERDIRDKVLLDGSQADVSFHLKEASVRLERASVDLVSLGLDKALNGVVSGKALEEPISYRIPECLTPYFLPLLLPFLVQRPGARRRQACSGGM
+>sp|Q9Y5F6|PCDGM_HUMAN Protocadherin gamma-C5 OS=Homo sapiens OX=9606 GN=PCDHGC5 PE=2 SV=1
+MGPKTLPQLAGKWQVLCMLSLCCWGWVSGQLRYSVVEESEPGTLVGNVAQDLGLKMTDLLSRRLQLGSEENGRYFSLSLMSGALAVNQKIDRESLCGASTSCLLPVQVVTEHPLELIRVEVEILDLNDNSPSFATPEREMRISESAASGARFPLDSAQDPDVGTNTVSFYTLSPNSHFSLNVKTLKDGKPFPELVLEQQLDREAQARHQLVLTAVDGGTPARSGTTLISVIVLDINDNAPTFQSSVLRVGIPENAPIGTLLLRLNATDPDEGTNGQLDYSFGDHTSEAVRNLFGLDPSSGAIHVLGPIDFEESRFYEIHARARDQGQPAMEGHCVIQVDVGDVNDNAPEVLLASLANPVLESTPVGTVVGLFNVRDRDSGRNGEVSLDISPDLPFQIKPSENHYSLLTSQPLDREATSHYIIELLASDAGSPSLHKHLTIRLNISDVNDNAPRFNQQLYTAYILENRPPGSLLCTVAASDPDTGDNARLTYSIVGNQVQGAPASSFVYVNPEDGRIFAQRTFDYELLQMLQIVVGVRDSGSPPLHANTSLHVFVLDENDNAPAVLHPRPDWEHSAPQRLPRSAPPGSLVTKVTAVDADAGHNAWLSYSLLPQSTAPGLFLVSTHTGEVRTARALLEDDSDTQQVVVLVRDNGDPSLSSTATVLLVLEDEDPEEMPKSSDFLIHPPERSDLTLYLIVALATVSLLSLVTFTFLSAKCLQGNADGDGGGGQCCRRQDSPSPDFYKQSSPNLQVSSDGTLKYMEVTLRPTDSQSHCYRTCFSPASDGSDFTFLRPLSVQQPTALALEPDAIRSRSNTLRERSQQAPPNTDWRFSQAQRPGTSGSQNGDDTGTWPNNQFDTEMLQAMILASASEAADGSSTLGGGAGTMGLSARYGPQFTLQHVPDYRQNVYIPGSNATLTNAAGKRDGKAPAGGNGNKKKSGKKEKK
+>DECOY_sp|Q9Y5F6|PCDGM_HUMAN Protocadherin gamma-C5 OS=Homo sapiens OX=9606 GN=PCDHGC5 PE=2 SV=1
+KKEKKGSKKKNGNGGAPAKGDRKGAANTLTANSGPIYVNQRYDPVHQLTFQPGYRASLGMTGAGGGLTSSGDAAESASALIMAQLMETDFQNNPWTGTDDGNQSGSTGPRQAQSFRWDTNPPAQQSRERLTNSRSRIADPELALATPQQVSLPRLFTFDSGDSAPSFCTRYCHSQSDTPRLTVEMYKLTGDSSVQLNPSSQKYFDPSPSDQRRCCQGGGGDGDANGQLCKASLFTFTVLSLLSVTALAVILYLTLDSREPPHILFDSSKPMEEPDEDELVLLVTATSSLSPDGNDRVLVVVQQTDSDDELLARATRVEGTHTSVLFLGPATSQPLLSYSLWANHGADADVATVKTVLSGPPASRPLRQPASHEWDPRPHLVAPANDNEDLVFVHLSTNAHLPPSGSDRVGVVIQLMQLLEYDFTRQAFIRGDEPNVYVFSSAPAGQVQNGVISYTLRANDGTDPDSAAVTCLLSGPPRNELIYATYLQQNFRPANDNVDSINLRITLHKHLSPSGADSALLEIIYHSTAERDLPQSTLLSYHNESPKIQFPLDPSIDLSVEGNRGSDRDRVNFLGVVTGVPTSELVPNALSALLVEPANDNVDGVDVQIVCHGEMAPQGQDRARAHIEYFRSEEFDIPGLVHIAGSSPDLGFLNRVAESTHDGFSYDLQGNTGEDPDTANLRLLLTGIPANEPIGVRLVSSQFTPANDNIDLVIVSILTTGSRAPTGGDVATLVLQHRAQAERDLQQELVLEPFPKGDKLTKVNLSFHSNPSLTYFSVTNTGVDPDQASDLPFRAGSAASESIRMEREPTAFSPSNDNLDLIEVEVRILELPHETVVQVPLLCSTSAGCLSERDIKQNVALAGSMLSLSFYRGNEESGLQLRRSLLDTMKLGLDQAVNGVLTGPESEEVVSYRLQGSVWGWCCLSLMCLVQWKGALQPLTKPGM
+>sp|Q08174|PCDH1_HUMAN Protocadherin-1 OS=Homo sapiens OX=9606 GN=PCDH1 PE=1 SV=2
+MDSGAGGRRCPEAALLILGPPRMEHLRHSPGPGGQRLLLPSMLLALLLLLAPSPGHATRVVYKVPEEQPPNTLIGSLAADYGFPDVGHLYKLEVGAPYLRVDGKTGDIFTTETSIDREGLRECQNQLPGDPCILEFEVSITDLVQNGSPRLLEGQIEVQDINDNTPNFASPVITLAIPENTNIGSLFPIPLASDRDAGPNGVASYELQAGPEAQELFGLQVAEDQEEKQPQLIVMGNLDRERWDSYDLTIKVQDGGSPPRASSALLRVTVLDTNDNAPKFERPSYEAELSENSPIGHSVIQVKANDSDQGANAEIEYTFHQAPEVVRRLLRLDRNTGLITVQGPVDREDLSTLRFSVLAKDRGTNPKSARAQVVVTVKDMNDNAPTIEIRGIGLVTHQDGMANISEDVAEETAVALVQVSDRDEGENAAVTCVVAGDVPFQLRQASETGSDSKKKYFLQTTTPLDYEKVKDYTIEIVAVDSGNPPLSSTNSLKVQVVDVNDNAPVFTQSVTEVAFPENNKPGEVIAEITASDADSGSNAELVYSLEPEPAAKGLFTISPETGEIQVKTSLDREQRESYELKVVAADRGSPSLQGTATVLVNVLDCNDNDPKFMLSGYNFSVMENMPALSPVGMVTVIDGDKGENAQVQLSVEQDNGDFVIQNGTGTILSSLSFDREQQSTYTFQLKAVDGGVPPRSAYVGVTINVLDENDNAPYITAPSNTSHKLLTPQTRLGETVSQVAAEDFDSGVNAELIYSIAGGNPYGLFQIGSHSGAITLEKEIERRHHGLHRLVVKVSDRGKPPRYGTALVHLYVNETLANRTLLETLLGHSLDTPLDIDIAGDPEYERSKQRGNILFGVVAGVVAVALLIALAVLVRYCRQREAKSGYQAGKKETKDLYAPKPSGKASKGNKSKGKKSKSPKPVKPVEDEDEAGLQKSLKFNLMSDAPGDSPRIHLPLNYPPGSPDLGRHYRSNSPLPSIQLQPQSPSASKKHQVVQDLPPANTFVGTGDTTSTGSEQYSDYSYRTNPPKYPSKQVGQPFQLSTPQPLPHPYHGAIWTEVWE
+>DECOY_sp|Q08174|PCDH1_HUMAN Protocadherin-1 OS=Homo sapiens OX=9606 GN=PCDH1 PE=1 SV=2
+EWVETWIAGHYPHPLPQPTSLQFPQGVQKSPYKPPNTRYSYDSYQESGTSTTDGTGVFTNAPPLDQVVQHKKSASPSQPQLQISPLPSNSRYHRGLDPSGPPYNLPLHIRPSDGPADSMLNFKLSKQLGAEDEDEVPKVPKPSKSKKGKSKNGKSAKGSPKPAYLDKTEKKGAQYGSKAERQRCYRVLVALAILLAVAVVGAVVGFLINGRQKSREYEPDGAIDIDLPTDLSHGLLTELLTRNALTENVYLHVLATGYRPPKGRDSVKVVLRHLGHHRREIEKELTIAGSHSGIQFLGYPNGGAISYILEANVGSDFDEAAVQSVTEGLRTQPTLLKHSTNSPATIYPANDNEDLVNITVGVYASRPPVGGDVAKLQFTYTSQQERDFSLSSLITGTGNQIVFDGNDQEVSLQVQANEGKDGDIVTVMGVPSLAPMNEMVSFNYGSLMFKPDNDNCDLVNVLVTATGQLSPSGRDAAVVKLEYSERQERDLSTKVQIEGTEPSITFLGKAAPEPELSYVLEANSGSDADSATIEAIVEGPKNNEPFAVETVSQTFVPANDNVDVVQVKLSNTSSLPPNGSDVAVIEITYDKVKEYDLPTTTQLFYKKKSDSGTESAQRLQFPVDGAVVCTVAANEGEDRDSVQVLAVATEEAVDESINAMGDQHTVLGIGRIEITPANDNMDKVTVVVQARASKPNTGRDKALVSFRLTSLDERDVPGQVTILGTNRDLRLLRRVVEPAQHFTYEIEANAGQDSDNAKVQIVSHGIPSNESLEAEYSPREFKPANDNTDLVTVRLLASSARPPSGGDQVKITLDYSDWRERDLNGMVILQPQKEEQDEAVQLGFLEQAEPGAQLEYSAVGNPGADRDSALPIPFLSGINTNEPIALTIVPSAFNPTNDNIDQVEIQGELLRPSGNQVLDTISVEFELICPDGPLQNQCERLGERDISTETTFIDGTKGDVRLYPAGVELKYLHGVDPFGYDAALSGILTNPPQEEPVKYVVRTAHGPSPALLLLLALLMSPLLLRQGGPGPSHRLHEMRPPGLILLAAEPCRRGGAGSDM
+>sp|O95206|PCDH8_HUMAN Protocadherin-8 OS=Homo sapiens OX=9606 GN=PCDH8 PE=2 SV=2
+MSPVRRWGSPCLFPLQLFSLCWVLSVAQSKTVRYSTFEEDAPGTVIGTLAEDLHMKVSGDTSFRLMKQFNSSLLRVREGDGQLTVGDAGLDRERLCGQAPQCVLAFDVVSFSQEQFRLVHVEVEVRDVNDHAPRFPRAQIPVEVSEGAAVGTRIPLEVPVDEDVGANGLQTVRLAEPHSPFRVELQTRADGAQCADLVLLQELDRESQAAYSLELVAQDGGRPPRSATAALSVRVLDANDHSPAFPQGAVAEVELAEDAPVGSLLLDLDAADPDEGPNGDVVFAFGARTPPEARRLFRLDPRSGRLTLAGPVDYERQDTYELDVRAQDRGPGPRAATCKVIVRIRDVNDNAPDIAITPLAAPGAPATSPFAAAAAAAALGGADASSPAGAGTPEAGATSLVPEGAARESLVALVSTSDRDSGANGQVRCALYGHEHFRLQPAYAGSYLVVTAASLDRERIAEYNLTLVAEDRGAPPLRTVRPYTVRVGDENDNAPLFTRPVYEVSVRENNPPGAYLATVAARDRDLGRNGQVTYRLLEAEVGRAGGAVSTYVSVDPATGAIYALRSFDYETLRQLDVRIQASDGGSPQLSSSALVQVRVLDQNDHAPVLVHPAPANGSLEVAVPGRTAKDTVVARVQARDADEGANGELAFELQQQEPREAFAIGRRTGEILLTGDLSQEPPGRVFRALLVISDGGRPPLTTTATVSFVVTAGGGRGPAAPASAGSPERSRPPGSRLGVSGSVLQWDTPLIVIIVLAGSCTLLLAAIIAIATTCNRRKKEVRKGGALREERPGAAGGGASAPGSPEEAARGAGPRPNMFDVLTFPGTGKAPFGSPAADAPPPAVAAAEVPGSEGGSATGESACHFEGQQRLRGAHAEPYGASPGFGKEPAPPVAVWKGHSFNTISGREAEKFSGKDSGKGDSDFNDSDSDISGDALKKDLINHMQSGLWACTAECKILGHSDRCWSPSCSGPNAHPSPHPPAQMSTFCKSTSLPRDPLRRDNYYQAQLPKTVGLQSVYEKVLHRDYDRTVTLLSPPRPGRLPDLQEIGVPLYQSPPGRYLSPKKGANENV
+>DECOY_sp|O95206|PCDH8_HUMAN Protocadherin-8 OS=Homo sapiens OX=9606 GN=PCDH8 PE=2 SV=2
+VNENAGKKPSLYRGPPSQYLPVGIEQLDPLRGPRPPSLLTVTRDYDRHLVKEYVSQLGVTKPLQAQYYNDRRLPDRPLSTSKCFTSMQAPPHPSPHANPGSCSPSWCRDSHGLIKCEATCAWLGSQMHNILDKKLADGSIDSDSDNFDSDGKGSDKGSFKEAERGSITNFSHGKWVAVPPAPEKGFGPSAGYPEAHAGRLRQQGEFHCASEGTASGGESGPVEAAAVAPPPADAAPSGFPAKGTGPFTLVDFMNPRPGAGRAAEEPSGPASAGGGAAGPREERLAGGKRVEKKRRNCTTAIAIIAALLLTCSGALVIIVILPTDWQLVSGSVGLRSGPPRSREPSGASAPAAPGRGGGATVVFSVTATTTLPPRGGDSIVLLARFVRGPPEQSLDGTLLIEGTRRGIAFAERPEQQQLEFALEGNAGEDADRAQVRAVVTDKATRGPVAVELSGNAPAPHVLVPAHDNQDLVRVQVLASSSLQPSGGDSAQIRVDLQRLTEYDFSRLAYIAGTAPDVSVYTSVAGGARGVEAELLRYTVQGNRGLDRDRAAVTALYAGPPNNERVSVEYVPRTFLPANDNEDGVRVTYPRVTRLPPAGRDEAVLTLNYEAIRERDLSAATVVLYSGAYAPQLRFHEHGYLACRVQGNAGSDRDSTSVLAVLSERAAGEPVLSTAGAEPTGAGAPSSADAGGLAAAAAAAAFPSTAPAGPAALPTIAIDPANDNVDRIRVIVKCTAARPGPGRDQARVDLEYTDQREYDVPGALTLRGSRPDLRFLRRAEPPTRAGFAFVVDGNPGEDPDAADLDLLLSGVPADEALEVEAVAGQPFAPSHDNADLVRVSLAATASRPPRGGDQAVLELSYAAQSERDLEQLLVLDACQAGDARTQLEVRFPSHPEALRVTQLGNAGVDEDVPVELPIRTGVAAGESVEVPIQARPFRPAHDNVDRVEVEVHVLRFQEQSFSVVDFALVCQPAQGCLRERDLGADGVTLQGDGERVRLLSSNFQKMLRFSTDGSVKMHLDEALTGIVTGPADEEFTSYRVTKSQAVSLVWCLSFLQLPFLCPSGWRRVPSM
+>sp|Q4G0U5|PCDP1_HUMAN Cilia- and flagella-associated protein 221 OS=Homo sapiens OX=9606 GN=CFAP221 PE=1 SV=2
+MAVVKTPSRGLKNAKEPFNNASPHLLKNLVEEPKKRKEVPNHLLESKVYAKLVNNKVIQARPGIIHFGGYQVEKQHQQILHLVNVSNEDTRVHILPPQTKYFEINYVRKEHHLVPGLSLTVTVTFSPDEWRYYYDCIRVHCKGDDTLLVPIHAYPVMNSLDFPSFINLSNVLLGESKTYVIPLQCSCPVDFEFYITLIQSHQAFAIEPTSGIIPANGKMTVTIKFTPFQYGTAQIKMQLWISQFNSQPYECVFTGTCYPNMALPLEEFERLNTLSKKVNVPPEKAMMHINFHRPPAKPKPQKVKEIEYQNLRFPVDLSNPFAVATVLNQEPGKLKIKELREVLDQGTEISKTRQMKEALFEQKVRQDIHEEMENHLKWQVHLGKDPMSFKLKKELTEEWQKACAKYKLDRGDPILDEEFQRLKTEVSHKRVVRNQEEKIKEFHPTFDPLINNTWLSRSRAQKRFQQVARKVMIQGRLFNMLSAVREMDKESILRKIGQAKQSIAQEANFFKFFLRRISQDDYTSRFSVSPKEVLPFAFPDCSPPQDSNELAPDGLGLVPIKSSEVQIKQSYSFFNLQVPQLYKIKRYQPFSVHKSSTSYRPQKLARALKQGAEDEVTTITALPKQDSTTQLSGKTSVLSMKPPEALAMSLDYDPLYVFNPNPGLFAVMHPLTYAETLIDYHLCSHPKYKFTKESRHGSSIPVTQKQFLHHTDIIPGIMHWKSFQSLVLSSLPDPSKMETTKSCDSFNSFMLPIDVPAILDALPEEDRLETVERELCEQNVEVMLTPEMIKVEFPMLNYKDIRKEKEVKDQAQPAEKAGEKLLEEMRNLRGKALNTYLILE
+>DECOY_sp|Q4G0U5|PCDP1_HUMAN Cilia- and flagella-associated protein 221 OS=Homo sapiens OX=9606 GN=CFAP221 PE=1 SV=2
+ELILYTNLAKGRLNRMEELLKEGAKEAPQAQDKVEKEKRIDKYNLMPFEVKIMEPTLMVEVNQECLEREVTELRDEEPLADLIAPVDIPLMFSNFSDCSKTTEMKSPDPLSSLVLSQFSKWHMIGPIIDTHHLFQKQTVPISSGHRSEKTFKYKPHSCLHYDILTEAYTLPHMVAFLGPNPNFVYLPDYDLSMALAEPPKMSLVSTKGSLQTTSDQKPLATITTVEDEAGQKLARALKQPRYSTSSKHVSFPQYRKIKYLQPVQLNFFSYSQKIQVESSKIPVLGLGDPALENSDQPPSCDPFAFPLVEKPSVSFRSTYDDQSIRRLFFKFFNAEQAISQKAQGIKRLISEKDMERVASLMNFLRGQIMVKRAVQQFRKQARSRSLWTNNILPDFTPHFEKIKEEQNRVVRKHSVETKLRQFEEDLIPDGRDLKYKACAKQWEETLEKKLKFSMPDKGLHVQWKLHNEMEEHIDQRVKQEFLAEKMQRTKSIETGQDLVERLEKIKLKGPEQNLVTAVAFPNSLDVPFRLNQYEIEKVKQPKPKAPPRHFNIHMMAKEPPVNVKKSLTNLREFEELPLAMNPYCTGTFVCEYPQSNFQSIWLQMKIQATGYQFPTFKITVTMKGNAPIIGSTPEIAFAQHSQILTIYFEFDVPCSCQLPIVYTKSEGLLVNSLNIFSPFDLSNMVPYAHIPVLLTDDGKCHVRICDYYYRWEDPSFTVTVTLSLGPVLHHEKRVYNIEFYKTQPPLIHVRTDENSVNVLHLIQQHQKEVQYGGFHIIGPRAQIVKNNVLKAYVKSELLHNPVEKRKKPEEVLNKLLHPSANNFPEKANKLGRSPTKVVAM
+>sp|Q3KNV8|PCGF3_HUMAN Polycomb group RING finger protein 3 OS=Homo sapiens OX=9606 GN=PCGF3 PE=1 SV=1
+MLTRKIKLWDINAHITCRLCSGYLIDATTVTECLHTFCRSCLVKYLEENNTCPTCRIVIHQSHPLQYIGHDRTMQDIVYKLVPGLQEAEMRKQREFYHKLGMEVPGDIKGETCSAKQHLDSHRNGETKADDSSNKEAAEEKPEEDNDYHRSDEQVSICLECNSSKLRGLKRKWIRCSAQATVLHLKKFIAKKLNLSSFNELDILCNEEILGKDHTLKFVVVTRWRFKKAPLLLHYRPKMDLL
+>DECOY_sp|Q3KNV8|PCGF3_HUMAN Polycomb group RING finger protein 3 OS=Homo sapiens OX=9606 GN=PCGF3 PE=1 SV=1
+LLDMKPRYHLLLPAKKFRWRTVVVFKLTHDKGLIEENCLIDLENFSSLNLKKAIFKKLHLVTAQASCRIWKRKLGRLKSSNCELCISVQEDSRHYDNDEEPKEEAAEKNSSDDAKTEGNRHSDLHQKASCTEGKIDGPVEMGLKHYFERQKRMEAEQLGPVLKYVIDQMTRDHGIYQLPHSQHIVIRCTPCTNNEELYKVLCSRCFTHLCETVTTADILYGSCLRCTIHANIDWLKIKRTLM
+>sp|Q92824|PCSK5_HUMAN Proprotein convertase subtilisin/kexin type 5 OS=Homo sapiens OX=9606 GN=PCSK5 PE=1 SV=4
+MGWGSRCCCPGRLDLLCVLALLGGCLLPVCRTRVYTNHWAVKIAGGFPEANRIASKYGFINIGQIGALKDYYHFYHSRTIKRSVISSRGTHSFISMEPKVEWIQQQVVKKRTKRDYDFSRAQSTYFNDPKWPSMWYMHCSDNTHPCQSDMNIEGAWKRGYTGKNIVVTILDDGIERTHPDLMQNYDALASCDVNGNDLDPMPRYDASNENKHGTRCAGEVAAAANNSHCTVGIAFNAKIGGVRMLDGDVTDMVEAKSVSFNPQHVHIYSASWGPDDDGKTVDGPAPLTRQAFENGVRMGRRGLGSVFVWASGNGGRSKDHCSCDGYTNSIYTISISSTAESGKKPWYLEECSSTLATTYSSGESYDKKIITTDLRQRCTDNHTGTSASAPMAAGIIALALEANPFLTWRDVQHVIVRTSRAGHLNANDWKTNAAGFKVSHLYGFGLMDAEAMVMEAEKWTTVPRQHVCVESTDRQIKTIRPNSAVRSIYKASGCSDNPNRHVNYLEHVVVRITITHPRRGDLAIYLTSPSGTRSQLLANRLFDHSMEGFKNWEFMTIHCWGERAAGDWVLEVYDTPSQLRNFKTPGKLKEWSLVLYGTSVQPYSPTNEFPKVERFRYSRVEDPTDDYGTEDYAGPCDPECSEVGCDGPGPDHCNDCLHYYYKLKNNTRICVSSCPPGHYHADKKRCRKCAPNCESCFGSHGDQCMSCKYGYFLNEETNSCVTHCPDGSYQDTKKNLCRKCSENCKTCTEFHNCTECRDGLSLQGSRCSVSCEDGRYFNGQDCQPCHRFCATCAGAGADGCINCTEGYFMEDGRCVQSCSISYYFDHSSENGYKSCKKCDISCLTCNGPGFKNCTSCPSGYLLDLGMCQMGAICKDGEYVDEHGHCQTCEASCAKCQGPTQEDCTTCPMTRIFDDGRCVSNCPSWKFEFENQCHPCHHTCQRCQGSGPTHCTSCGADNYGREHFLYQGECGDSCPEGHYATEGNTCLPCPDNCELCHSVHVCTRCMKGYFIAPTNHTCQKLECGQGEVQDPDYEECVPCEEGCLGCSLDDPGTCTSCAMGYYRFDHHCYKTCPEKTYSEEVECKACDSNCGSCDQNGCYWCEEGFFLLGGSCVRKCGPGFYGDQEMGECESCHRACETCTGPGHDECSSCQEGLQLLRGMCVHATKTQEEGKFWNDILRKLQPCHSSCKTCNGSATLCTSCPKGAYLLAQACVSSCPQGTWPSVRSGSCENCTEACAICSGADLCKKCQMQPGHPLFLHEGRCYSKCPEGSYAEDGICERCSSPCRTCEGNATNCHSCEGGHVLHHGVCQENCPERHVAVKGVCKHCPEMCQDCIHEKTCKECTPEFFLHDDMCHQSCPRGFYADSRHCVPCHKDCLECSGPKADDCELCLESSWVLYDGLCLEECPAGTYYEKETKECRDCHKSCLTCSSSGTCTTCQKGLIMNPRGSCMANEKCSPSEYWDEDAPGCKPCHVKCFHCMGPAEDQCQTCPMNSLLLNTTCVKDCPEGYYADEDSNRCAHCHSSCRTCEGRHSRQCHSCRPGWFQLGKECLLQCREGYYADNSTGRCERCNRSCKGCQGPRPTDCLSCDRFFFLLRSKGECHRSCPDHYYVEQSTQTCERCHPTCDQCKGKGALNCLSCVWSYHLMGGICTSDCLVGEYRVGEGEKFNCEKCHESCMECKGPGAKNCTLCPANLVLHMDDSHCLHCCNTSDPPSAQECCDCQDTTDECILRTSKVRPATEHFKTALFITSSMMLVLLLGAAVVVWKKSRGRVQPAAKAGYEKLADPNKSYSSYKSSYRESTSFEEDQVIEYRDRDYDEDDDDDIVYMGQDGTVYRKFKYGLLDDDDIDELEYDDESYSYYQ
+>DECOY_sp|Q92824|PCSK5_HUMAN Proprotein convertase subtilisin/kexin type 5 OS=Homo sapiens OX=9606 GN=PCSK5 PE=1 SV=4
+QYYSYSEDDYELEDIDDDDLLGYKFKRYVTGDQGMYVIDDDDDEDYDRDRYEIVQDEEFSTSERYSSKYSSYSKNPDALKEYGAKAAPQVRGRSKKWVVVAAGLLLVLMMSSTIFLATKFHETAPRVKSTRLICEDTTDQCDCCEQASPPDSTNCCHLCHSDDMHLVLNAPCLTCNKAGPGKCEMCSEHCKECNFKEGEGVRYEGVLCDSTCIGGMLHYSWVCSLCNLAGKGKCQDCTPHCRECTQTSQEVYYHDPCSRHCEGKSRLLFFFRDCSLCDTPRPGQCGKCSRNCRECRGTSNDAYYGERCQLLCEKGLQFWGPRCSHCQRSHRGECTRCSSHCHACRNSDEDAYYGEPCDKVCTTNLLLSNMPCTQCQDEAPGMCHFCKVHCPKCGPADEDWYESPSCKENAMCSGRPNMILGKQCTTCTGSSSCTLCSKHCDRCEKTEKEYYTGAPCEELCLGDYLVWSSELCLECDDAKPGSCELCDKHCPVCHRSDAYFGRPCSQHCMDDHLFFEPTCEKCTKEHICDQCMEPCHKCVGKVAVHREPCNEQCVGHHLVHGGECSHCNTANGECTRCPSSCRECIGDEAYSGEPCKSYCRGEHLFLPHGPQMQCKKCLDAGSCIACAETCNECSGSRVSPWTGQPCSSVCAQALLYAGKPCSTCLTASGNCTKCSSHCPQLKRLIDNWFKGEEQTKTAHVCMGRLLQLGEQCSSCEDHGPGTCTECARHCSECEGMEQDGYFGPGCKRVCSGGLLFFGEECWYCGNQDCSGCNSDCAKCEVEESYTKEPCTKYCHHDFRYYGMACSTCTGPDDLSCGLCGEECPVCEEYDPDQVEGQGCELKQCTHNTPAIFYGKMCRTCVHVSHCLECNDPCPLCTNGETAYHGEPCSDGCEGQYLFHERGYNDAGCSTCHTPGSGQCRQCTHHCPHCQNEFEFKWSPCNSVCRGDDFIRTMPCTTCDEQTPGQCKACSAECTQCHGHEDVYEGDKCIAGMQCMGLDLLYGSPCSTCNKFGPGNCTLCSIDCKKCSKYGNESSHDFYYSISCSQVCRGDEMFYGETCNICGDAGAGACTACFRHCPQCDQGNFYRGDECSVSCRSGQLSLGDRCETCNHFETCTKCNESCKRCLNKKTDQYSGDPCHTVCSNTEENLFYGYKCSMCQDGHSGFCSECNPACKRCRKKDAHYHGPPCSSVCIRTNNKLKYYYHLCDNCHDPGPGDCGVESCEPDCPGAYDETGYDDTPDEVRSYRFREVKPFENTPSYPQVSTGYLVLSWEKLKGPTKFNRLQSPTDYVELVWDGAAREGWCHITMFEWNKFGEMSHDFLRNALLQSRTGSPSTLYIALDGRRPHTITIRVVVHELYNVHRNPNDSCGSAKYISRVASNPRITKIQRDTSEVCVHQRPVTTWKEAEMVMAEADMLGFGYLHSVKFGAANTKWDNANLHGARSTRVIVHQVDRWTLFPNAELALAIIGAAMPASASTGTHNDTCRQRLDTTIIKKDYSEGSSYTTALTSSCEELYWPKKGSEATSSISITYISNTYGDCSCHDKSRGGNGSAWVFVSGLGRRGMRVGNEFAQRTLPAPGDVTKGDDDPGWSASYIHVHQPNFSVSKAEVMDTVDGDLMRVGGIKANFAIGVTCHSNNAAAAVEGACRTGHKNENSADYRPMPDLDNGNVDCSALADYNQMLDPHTREIGDDLITVVINKGTYGRKWAGEINMDSQCPHTNDSCHMYWMSPWKPDNFYTSQARSFDYDRKTRKKVVQQQIWEVKPEMSIFSHTGRSSIVSRKITRSHYFHYYDKLAGIQGINIFGYKSAIRNAEPFGGAIKVAWHNTYVRTRCVPLLCGGLLALVCLLDLRGPCCCRSGWGM
+>sp|Q8NBP7|PCSK9_HUMAN Proprotein convertase subtilisin/kexin type 9 OS=Homo sapiens OX=9606 GN=PCSK9 PE=1 SV=3
+MGTVSSRRSWWPLPLLLLLLLLLGPAGARAQEDEDGDYEELVLALRSEEDGLAEAPEHGTTATFHRCAKDPWRLPGTYVVVLKEETHLSQSERTARRLQAQAARRGYLTKILHVFHGLLPGFLVKMSGDLLELALKLPHVDYIEEDSSVFAQSIPWNLERITPPRYRADEYQPPDGGSLVEVYLLDTSIQSDHREIEGRVMVTDFENVPEEDGTRFHRQASKCDSHGTHLAGVVSGRDAGVAKGASMRSLRVLNCQGKGTVSGTLIGLEFIRKSQLVQPVGPLVVLLPLAGGYSRVLNAACQRLARAGVVLVTAAGNFRDDACLYSPASAPEVITVGATNAQDQPVTLGTLGTNFGRCVDLFAPGEDIIGASSDCSTCFVSQSGTSQAAAHVAGIAAMMLSAEPELTLAELRQRLIHFSAKDVINEAWFPEDQRVLTPNLVAALPPSTHGAGWQLFCRTVWSAHSGPTRMATAVARCAPDEELLSCSSFSRSGKRRGERMEAQGGKLVCRAHNAFGGEGVYAIARCCLLPQANCSVHTAPPAEASMGTRVHCHQQGHVLTGCSSHWEVEDLGTHKPPVLRPRGQPNQCVGHREASIHASCCHAPGLECKVKEHGIPAPQEQVTVACEEGWTLTGCSALPGTSHVLGAYAVDNTCVVRSRDVSTTGSTSEGAVTAVAICCRSRHLAQASQELQ
+>DECOY_sp|Q8NBP7|PCSK9_HUMAN Proprotein convertase subtilisin/kexin type 9 OS=Homo sapiens OX=9606 GN=PCSK9 PE=1 SV=3
+QLEQSAQALHRSRCCIAVATVAGESTSGTTSVDRSRVVCTNDVAYAGLVHSTGPLASCGTLTWGEECAVTVQEQPAPIGHEKVKCELGPAHCCSAHISAERHGVCQNPQGRPRLVPPKHTGLDEVEWHSSCGTLVHGQQHCHVRTGMSAEAPPATHVSCNAQPLLCCRAIAYVGEGGFANHARCVLKGGQAEMREGRRKGSRSFSSCSLLEEDPACRAVATAMRTPGSHASWVTRCFLQWGAGHTSPPLAAVLNPTLVRQDEPFWAENIVDKASFHILRQRLEALTLEPEASLMMAAIGAVHAAAQSTGSQSVFCTSCDSSAGIIDEGPAFLDVCRGFNTGLTGLTVPQDQANTAGVTIVEPASAPSYLCADDRFNGAATVLVVGARALRQCAANLVRSYGGALPLLVVLPGVPQVLQSKRIFELGILTGSVTGKGQCNLVRLSRMSAGKAVGADRGSVVGALHTGHSDCKSAQRHFRTGDEEPVNEFDTVMVRGEIERHDSQISTDLLYVEVLSGGDPPQYEDARYRPPTIRELNWPISQAFVSSDEEIYDVHPLKLALELLDGSMKVLFGPLLGHFVHLIKTLYGRRAAQAQLRRATRESQSLHTEEKLVVVYTGPLRWPDKACRHFTATTGHEPAEALGDEESRLALVLEEYDGDEDEQARAGAPGLLLLLLLLLPLPWWSRRSSVTGM
+>sp|Q8NBM8|PCYXL_HUMAN Prenylcysteine oxidase-like OS=Homo sapiens OX=9606 GN=PCYOX1L PE=1 SV=2
+MARAAPLLAALTALLAAAAAGGDAPPGKIAVVGAGIGGSAVAHFLQQHFGPRVQIDVYEKGTVGGRLATISVNKQHYESGAASFHSLSLHMQDFVKLLGLRHRREVVGRSAIFGGEHFMLEETDWYLLNLFRLWWHYGISFLRLQMWVEEVMEKFMRIYKYQAHGYAFSGVEELLYSLGESTFVNMTQHSVAESLLQVGVTQRFIDDVVSAVLRASYGQSAAMPAFAGAMSLAGAQGSLWSVEGGNKLVCSGLLKLTKANVIHATVTSVTLHSTEGKALYQVAYENEVGNSSDFYDIVVIATPLHLDNSSSNLTFAGFHPPIDDVQGSFQPTVVSLVHGYLNSSYFGFPDPKLFPFANILTTDFPSFFCTLDNICPVNISASFRRKQPQEAAVWRVQSPKPLFRTQLKTLFRSYYSVQTAEWQAHPLYGSRPTLPRFALHDQLFYLNALEWAASSVEVMAVAAKNVALLAYNRWYQDLDKIDQKDLMHKVKTEL
+>DECOY_sp|Q8NBM8|PCYXL_HUMAN Prenylcysteine oxidase-like OS=Homo sapiens OX=9606 GN=PCYOX1L PE=1 SV=2
+LETKVKHMLDKQDIKDLDQYWRNYALLAVNKAAVAMVEVSSAAWELANLYFLQDHLAFRPLTPRSGYLPHAQWEATQVSYYSRFLTKLQTRFLPKPSQVRWVAAEQPQKRRFSASINVPCINDLTCFFSPFDTTLINAFPFLKPDPFGFYSSNLYGHVLSVVTPQFSGQVDDIPPHFGAFTLNSSSNDLHLPTAIVVIDYFDSSNGVENEYAVQYLAKGETSHLTVSTVTAHIVNAKTLKLLGSCVLKNGGEVSWLSGQAGALSMAGAFAPMAASQGYSARLVASVVDDIFRQTVGVQLLSEAVSHQTMNVFTSEGLSYLLEEVGSFAYGHAQYKYIRMFKEMVEEVWMQLRLFSIGYHWWLRFLNLLYWDTEELMFHEGGFIASRGVVERRHRLGLLKVFDQMHLSLSHFSAAGSEYHQKNVSITALRGGVTGKEYVDIQVRPGFHQQLFHAVASGGIGAGVVAIKGPPADGGAAAAALLATLAALLPAARAM
+>sp|Q15116|PDCD1_HUMAN Programmed cell death protein 1 OS=Homo sapiens OX=9606 GN=PDCD1 PE=1 SV=3
+MQIPQAPWPVVWAVLQLGWRPGWFLDSPDRPWNPPTFSPALLVVTEGDNATFTCSFSNTSESFVLNWYRMSPSNQTDKLAAFPEDRSQPGQDCRFRVTQLPNGRDFHMSVVRARRNDSGTYLCGAISLAPKAQIKESLRAELRVTERRAEVPTAHPSPSPRPAGQFQTLVVGVVGGLLGSLVLLVWVLAVICSRAARGTIGARRTGQPLKEDPSAVPVFSVDYGELDFQWREKTPEPPVPCVPEQTEYATIVFPSGMGTSSPARRGSADGPRSAQPLRPEDGHCSWPL
+>DECOY_sp|Q15116|PDCD1_HUMAN Programmed cell death protein 1 OS=Homo sapiens OX=9606 GN=PDCD1 PE=1 SV=3
+LPWSCHGDEPRLPQASRPGDASGRRAPSSTGMGSPFVITAYETQEPVCPVPPEPTKERWQFDLEGYDVSFVPVASPDEKLPQGTRRAGITGRAARSCIVALVWVLLVLSGLLGGVVGVVLTQFQGAPRPSPSPHATPVEARRETVRLEARLSEKIQAKPALSIAGCLYTGSDNRRARVVSMHFDRGNPLQTVRFRCDQGPQSRDEPFAALKDTQNSPSMRYWNLVFSESTNSFSCTFTANDGETVVLLAPSFTPPNWPRDPSDLFWGPRWGLQLVAWVVPWPAQPIQM
+>sp|Q16342|PDCD2_HUMAN Programmed cell death protein 2 OS=Homo sapiens OX=9606 GN=PDCD2 PE=1 SV=2
+MAAAGARPVELGFAESAPAWRLRSEQFPSKVGGRPAWLGAAGLPGPQALACELCGRPLSFLLQVYAPLPGRPDAFHRCIFLFCCREQPCCAGLRVFRNQLPRKNDFYSYEPPSENPPPETGESVCLQLKSGAHLCRVCGCLGPKTCSRCHKAYYCSKEHQTLDWRLGHKQACAQPDHLDHIIPDHNFLFPEFEIVIETEDEIMPEVVEKEDYSEIIGSMGEALEEELDSMAKHESREDKIFQKFKTQIALEPEQILRYGRGIAPIWISGENIPQEKDIPDCPCGAKRILEFQVMPQLLNYLKADRLGKSIDWGILAVFTCAESCSLGTGYTEEFVWKQDVTDTP
+>DECOY_sp|Q16342|PDCD2_HUMAN Programmed cell death protein 2 OS=Homo sapiens OX=9606 GN=PDCD2 PE=1 SV=2
+PTDTVDQKWVFEETYGTGLSCSEACTFVALIGWDISKGLRDAKLYNLLQPMVQFELIRKAGCPCDPIDKEQPINEGSIWIPAIGRGYRLIQEPELAIQTKFKQFIKDERSEHKAMSDLEEELAEGMSGIIESYDEKEVVEPMIEDETEIVIEFEPFLFNHDPIIHDLHDPQACAQKHGLRWDLTQHEKSCYYAKHCRSCTKPGLCGCVRCLHAGSKLQLCVSEGTEPPPNESPPEYSYFDNKRPLQNRFVRLGACCPQERCCFLFICRHFADPRGPLPAYVQLLFSLPRGCLECALAQPGPLGAAGLWAPRGGVKSPFQESRLRWAPASEAFGLEVPRAGAAAM
+>sp|O14737|PDCD5_HUMAN Programmed cell death protein 5 OS=Homo sapiens OX=9606 GN=PDCD5 PE=1 SV=3
+MADEELEALRRQRLAELQAKHGDPGDAAQQEAKHREAEMRNSILAQVLDQSARARLSNLALVKPEKTKAVENYLIQMARYGQLSEKVSEQGLIEILKKVSQQTEKTTTVKFNRRKVMDSDEDDDY
+>DECOY_sp|O14737|PDCD5_HUMAN Programmed cell death protein 5 OS=Homo sapiens OX=9606 GN=PDCD5 PE=1 SV=3
+YDDDEDSDMVKRRNFKVTTTKETQQSVKKLIEILGQESVKESLQGYRAMQILYNEVAKTKEPKVLALNSLRARASQDLVQALISNRMEAERHKAEQQAADGPDGHKAQLEALRQRRLAELEEDAM
+>sp|O75340|PDCD6_HUMAN Programmed cell death protein 6 OS=Homo sapiens OX=9606 GN=PDCD6 PE=1 SV=1
+MAAYSYRPGPGAGPGPAAGAALPDQSFLWNVFQRVDKDRSGVISDTELQQALSNGTWTPFNPVTVRSIISMFDRENKAGVNFSEFTGVWKYITDWQNVFRTYDRDNSGMIDKNELKQALSGFGYRLSDQFHDILIRKFDRQGRGQIAFDDFIQGCIVLQRLTDIFRRYDTDQDGWIQVSYEQYLSMVFSIV
+>DECOY_sp|O75340|PDCD6_HUMAN Programmed cell death protein 6 OS=Homo sapiens OX=9606 GN=PDCD6 PE=1 SV=1
+VISFVMSLYQEYSVQIWGDQDTDYRRFIDTLRQLVICGQIFDDFAIQGRGQRDFKRILIDHFQDSLRYGFGSLAQKLENKDIMGSNDRDYTRFVNQWDTIYKWVGTFESFNVGAKNERDFMSIISRVTVPNFPTWTGNSLAQQLETDSIVGSRDKDVRQFVNWLFSQDPLAAGAAPGPGAGPGPRYSYAAM
+>sp|Q8N4E4|PDCL2_HUMAN Phosducin-like protein 2 OS=Homo sapiens OX=9606 GN=PDCL2 PE=1 SV=2
+MQDPNEDTEWNDILRDFGILPPKEESKDEIEEMVLRLQKEAMVKPFEKMTLAQLKEAEDEFDEEDMQAVETYRKKRLQEWKALKKKQKFGELREISGNQYVNEVTNAEEDVWVIIHLYRSSIPMCLLVNQHLSLLARKFPETKFVKAIVNSCIQHYHDNCLPTIFVYKNGQIEAKFIGIIECGGINLKLEELEWKLAEVGAIQTDLEENPRKDMVDMMVSSIRNTSIHDDSDSSNSDNDTK
+>DECOY_sp|Q8N4E4|PDCL2_HUMAN Phosducin-like protein 2 OS=Homo sapiens OX=9606 GN=PDCL2 PE=1 SV=2
+KTDNDSNSSDSDDHISTNRISSVMMDVMDKRPNEELDTQIAGVEALKWELEELKLNIGGCEIIGIFKAEIQGNKYVFITPLCNDHYHQICSNVIAKVFKTEPFKRALLSLHQNVLLCMPISSRYLHIIVWVDEEANTVENVYQNGSIERLEGFKQKKKLAKWEQLRKKRYTEVAQMDEEDFEDEAEKLQALTMKEFPKVMAEKQLRLVMEEIEDKSEEKPPLIGFDRLIDNWETDENPDQM
+>sp|Q08493|PDE4C_HUMAN cAMP-specific 3',5'-cyclic phosphodiesterase 4C OS=Homo sapiens OX=9606 GN=PDE4C PE=1 SV=2
+MENLGVGEGAEACSRLSRSRGRHSMTRAPKHLWRQPRRPIRIQQRFYSDPDKSAGCRERDLSPRPELRKSRLSWPVSSCRRFDLENGLSCGRRALDPQSSPGLGRIMQAPVPHSQRRESFLYRSDSDYELSPKAMSRNSSVASDLHGEDMIVTPFAQVLASLRTVRSNVAALARQQCLGAAKQGPVGNPSSSNQLPPAEDTGQKLALETLDELDWCLDQLETLQTRHSVGEMASNKFKRILNRELTHLSETSRSGNQVSEYISRTFLDQQTEVELPKVTAEEAPQPMSRISGLHGLCHSASLSSATVPRFGVQTDQEEQLAKELEDTNKWGLDVFKVAELSGNRPLTAIIFSIFQERDLLKTFQIPADTLATYLLMLEGHYHANVAYHNSLHAADVAQSTHVLLATPALEAVFTDLEILAALFASAIHDVDHPGVSNQFLINTNSELALMYNDASVLENHHLAVGFKLLQAENCDIFQNLSAKQRLSLRRMVIDMVLATDMSKHMNLLADLKTMVETKKVTSLGVLLLDNYSDRIQVLQNLVHCADLSNPTKPLPLYRQWTDRIMAEFFQQGDRERESGLDISPMCDKHTASVEKSQVGFIDYIAHPLWETWADLVHPDAQDLLDTLEDNREWYQSKIPRSPSDLTNPERDGPDRFQFELTLEEAEEEDEEEEEEGEETALAKEALELPDTELLSPEAGPDPGDLPLDNQRT
+>DECOY_sp|Q08493|PDE4C_HUMAN cAMP-specific 3',5'-cyclic phosphodiesterase 4C OS=Homo sapiens OX=9606 GN=PDE4C PE=1 SV=2
+TRQNDLPLDGPDPGAEPSLLETDPLELAEKALATEEGEEEEEEDEEEAEELTLEFQFRDPGDREPNTLDSPSRPIKSQYWERNDELTDLLDQADPHVLDAWTEWLPHAIYDIFGVQSKEVSATHKDCMPSIDLGSERERDGQQFFEAMIRDTWQRYLPLPKTPNSLDACHVLNQLVQIRDSYNDLLLVGLSTVKKTEVMTKLDALLNMHKSMDTALVMDIVMRRLSLRQKASLNQFIDCNEAQLLKFGVALHHNELVSADNYMLALESNTNILFQNSVGPHDVDHIASAFLAALIELDTFVAELAPTALLVHTSQAVDAAHLSNHYAVNAHYHGELMLLYTALTDAPIQFTKLLDREQFISFIIATLPRNGSLEAVKFVDLGWKNTDELEKALQEEQDTQVGFRPVTASSLSASHCLGHLGSIRSMPQPAEEATVKPLEVETQQDLFTRSIYESVQNGSRSTESLHTLERNLIRKFKNSAMEGVSHRTQLTELQDLCWDLEDLTELALKQGTDEAPPLQNSSSPNGVPGQKAAGLCQQRALAAVNSRVTRLSALVQAFPTVIMDEGHLDSAVSSNRSMAKPSLEYDSDSRYLFSERRQSHPVPAQMIRGLGPSSQPDLARRGCSLGNELDFRRCSSVPWSLRSKRLEPRPSLDRERCGASKDPDSYFRQQIRIPRRPQRWLHKPARTMSHRGRSRSLRSCAEAGEGVGLNEM
+>sp|Q08499|PDE4D_HUMAN cAMP-specific 3',5'-cyclic phosphodiesterase 4D OS=Homo sapiens OX=9606 GN=PDE4D PE=1 SV=2
+MEAEGSSAPARAGSGEGSDSAGGATLKAPKHLWRHEQHHQYPLRQPQFRLLHPHHHLPPPPPPSPQPQPQCPLQPPPPPPLPPPPPPPGAARGRYASSGATGRVRHRGYSDTERYLYCRAMDRTSYAVETGHRPGLKKSRMSWPSSFQGLRRFDVDNGTSAGRSPLDPMTSPGSGLILQANFVHSQRRESFLYRSDSDYDLSPKSMSRNSSIASDIHGDDLIVTPFAQVLASLRTVRNNFAALTNLQDRAPSKRSPMCNQPSINKATITEEAYQKLASETLEELDWCLDQLETLQTRHSVSEMASNKFKRMLNRELTHLSEMSRSGNQVSEFISNTFLDKQHEVEIPSPTQKEKEKKKRPMSQISGVKKLMHSSSLTNSSIPRFGVKTEQEDVLAKELEDVNKWGLHVFRIAELSGNRPLTVIMHTIFQERDLLKTFKIPVDTLITYLMTLEDHYHADVAYHNNIHAADVVQSTHVLLSTPALEAVFTDLEILAAIFASAIHDVDHPGVSNQFLINTNSELALMYNDSSVLENHHLAVGFKLLQEENCDIFQNLTKKQRQSLRKMVIDIVLATDMSKHMNLLADLKTMVETKKVTSSGVLLLDNYSDRIQVLQNMVHCADLSNPTKPLQLYRQWTDRIMEEFFRQGDRERERGMEISPMCDKHNASVEKSQVGFIDYIVHPLWETWADLVHPDAQDILDTLEDNREWYQSTIPQSPSPAPDDPEEGRQGQTEKFQFELTLEEDGESDTEKDSGSQVEEDTSCSDSKTLCTQDSESTEIPLDEQVEEEAVGEEEESQPEACVIDDRSPDT
+>DECOY_sp|Q08499|PDE4D_HUMAN cAMP-specific 3',5'-cyclic phosphodiesterase 4D OS=Homo sapiens OX=9606 GN=PDE4D PE=1 SV=2
+TDPSRDDIVCAEPQSEEEEGVAEEEVQEDLPIETSESDQTCLTKSDSCSTDEEVQSGSDKETDSEGDEELTLEFQFKETQGQRGEEPDDPAPSPSQPITSQYWERNDELTDLIDQADPHVLDAWTEWLPHVIYDIFGVQSKEVSANHKDCMPSIEMGRERERDGQRFFEEMIRDTWQRYLQLPKTPNSLDACHVMNQLVQIRDSYNDLLLVGSSTVKKTEVMTKLDALLNMHKSMDTALVIDIVMKRLSQRQKKTLNQFIDCNEEQLLKFGVALHHNELVSSDNYMLALESNTNILFQNSVGPHDVDHIASAFIAALIELDTFVAELAPTSLLVHTSQVVDAAHINNHYAVDAHYHDELTMLYTILTDVPIKFTKLLDREQFITHMIVTLPRNGSLEAIRFVHLGWKNVDELEKALVDEQETKVGFRPISSNTLSSSHMLKKVGSIQSMPRKKKEKEKQTPSPIEVEHQKDLFTNSIFESVQNGSRSMESLHTLERNLMRKFKNSAMESVSHRTQLTELQDLCWDLEELTESALKQYAEETITAKNISPQNCMPSRKSPARDQLNTLAAFNNRVTRLSALVQAFPTVILDDGHIDSAISSNRSMSKPSLDYDSDSRYLFSERRQSHVFNAQLILGSGPSTMPDLPSRGASTGNDVDFRRLGQFSSPWSMRSKKLGPRHGTEVAYSTRDMARCYLYRETDSYGRHRVRGTAGSSAYRGRAAGPPPPPPPLPPPPPPQLPCQPQPQPSPPPPPPLHHHPHLLRFQPQRLPYQHHQEHRWLHKPAKLTAGGASDSGEGSGARAPASSGEAEM
+>sp|P51160|PDE6C_HUMAN Cone cGMP-specific 3',5'-cyclic phosphodiesterase subunit alpha' OS=Homo sapiens OX=9606 GN=PDE6C PE=1 SV=2
+MGEINQVAVEKYLEENPQFAKEYFDRKLRVEVLGEIFKNSQVPVQSSMSFSELTQVEESALCLELLWTVQEEGGTPEQGVHRALQRLAHLLQADRCSMFLCRSRNGIPEVASRLLDVTPTSKFEDNLVGPDKEVVFPLDIGIVGWAAHTKKTHNVPDVKKNSHFSDFMDKQTGYVTKNLLATPIVVGKEVLAVIMAVNKVNASEFSKQDEEVFSKYLNFVSIILRLHHTSYMYNIESRRSQILMWSANKVFEELTDVERQFHKALYTVRSYLNCERYSIGLLDMTKEKEFYDEWPIKLGEVEPYKGPKTPDGREVNFYKIIDYILHGKEEIKVIPTPPADHWTLISGLPTYVAENGFICNMMNAPADEYFTFQKGPVDETGWVIKNVLSLPIVNKKEDIVGVATFYNRKDGKPFDEHDEYITETLTQFLGWSLLNTDTYDKMNKLENRKDIAQEMLMNQTKATPEEIKSILKFQEKLNVDVIDDCEEKQLVAILKEDLPDPRSAELYEFRFSDFPLTEHGLIKCGIRLFFEINVVEKFKVPVEVLTRWMYTVRKGYRAVTYHNWRHGFNVGQTMFTLLMTGRLKKYYTDLEAFAMLAAAFCHDIDHRGTNNLYQMKSTSPLARLHGSSILERHHLEYSKTLLQDESLNIFQNLNKRQFETVIHLFEVAIIATDLALYFKKRTMFQKIVDACEQMQTEEEAIKYVTVDPTKKEIIMAMMMTACDLSAITKPWEVQSQVALMVANEFWEQGDLERTVLQQQPIPMMDRNKRDELPKLQVGFIDFVCTFVYKEFSRFHKEITPMLSGLQNNRVEWKSLADEYDAKMKVIEEEAKKQEGGAEKAAEDSGGGDDKKSKTCLML
+>DECOY_sp|P51160|PDE6C_HUMAN Cone cGMP-specific 3',5'-cyclic phosphodiesterase subunit alpha' OS=Homo sapiens OX=9606 GN=PDE6C PE=1 SV=2
+LMLCTKSKKDDGGGSDEAAKEAGGEQKKAEEEIVKMKADYEDALSKWEVRNNQLGSLMPTIEKHFRSFEKYVFTCVFDIFGVQLKPLEDRKNRDMMPIPQQQLVTRELDGQEWFENAVMLAVQSQVEWPKTIASLDCATMMMAMIIEKKTPDVTVYKIAEEETQMQECADVIKQFMTRKKFYLALDTAIIAVEFLHIVTEFQRKNLNQFINLSEDQLLTKSYELHHRELISSGHLRALPSTSKMQYLNNTGRHDIDHCFAAALMAFAELDTYYKKLRGTMLLTFMTQGVNFGHRWNHYTVARYGKRVTYMWRTLVEVPVKFKEVVNIEFFLRIGCKILGHETLPFDSFRFEYLEASRPDPLDEKLIAVLQKEECDDIVDVNLKEQFKLISKIEEPTAKTQNMLMEQAIDKRNELKNMKDYTDTNLLSWGLFQTLTETIYEDHEDFPKGDKRNYFTAVGVIDEKKNVIPLSLVNKIVWGTEDVPGKQFTFYEDAPANMMNCIFGNEAVYTPLGSILTWHDAPPTPIVKIEEKGHLIYDIIKYFNVERGDPTKPGKYPEVEGLKIPWEDYFEKEKTMDLLGISYRECNLYSRVTYLAKHFQREVDTLEEFVKNASWMLIQSRRSEINYMYSTHHLRLIISVFNLYKSFVEEDQKSFESANVKNVAMIVALVEKGVVIPTALLNKTVYGTQKDMFDSFHSNKKVDPVNHTKKTHAAWGVIGIDLPFVVEKDPGVLNDEFKSTPTVDLLRSAVEPIGNRSRCLFMSCRDAQLLHALRQLARHVGQEPTGGEEQVTWLLELCLASEEVQTLESFSMSSQVPVQSNKFIEGLVEVRLKRDFYEKAFQPNEELYKEVAVQNIEGM
+>sp|P04085|PDGFA_HUMAN Platelet-derived growth factor subunit A OS=Homo sapiens OX=9606 GN=PDGFA PE=1 SV=1
+MRTLACLLLLGCGYLAHVLAEEAEIPREVIERLARSQIHSIRDLQRLLEIDSVGSEDSLDTSLRAHGVHATKHVPEKRPLPIRRKRSIEEAVPAVCKTRTVIYEIPRSQVDPTSANFLIWPPCVEVKRCTGCCNTSSVKCQPSRVHHRSVKVAKVEYVRKKPKLKEVQVRLEEHLECACATTSLNPDYREEDTGRPRESGKKRKRKRLKPT
+>DECOY_sp|P04085|PDGFA_HUMAN Platelet-derived growth factor subunit A OS=Homo sapiens OX=9606 GN=PDGFA PE=1 SV=1
+TPKLRKRKRKKGSERPRGTDEERYDPNLSTTACACELHEELRVQVEKLKPKKRVYEVKAVKVSRHHVRSPQCKVSSTNCCGTCRKVEVCPPWILFNASTPDVQSRPIEYIVTRTKCVAPVAEEISRKRRIPLPRKEPVHKTAHVGHARLSTDLSDESGVSDIELLRQLDRISHIQSRALREIVERPIEAEEALVHALYGCGLLLLCALTRM
+>sp|Q9NRA1|PDGFC_HUMAN Platelet-derived growth factor C OS=Homo sapiens OX=9606 GN=PDGFC PE=1 SV=2
+MSLFGLLLLTSALAGQRQGTQAESNLSSKFQFSSNKEQNGVQDPQHERIITVSTNGSIHSPRFPHTYPRNTVLVWRLVAVEENVWIQLTFDERFGLEDPEDDICKYDFVEVEEPSDGTILGRWCGSGTVPGKQISKGNQIRIRFVSDEYFPSEPGFCIHYNIVMPQFTEAVSPSVLPPSALPLDLLNNAITAFSTLEDLIRYLEPERWQLDLEDLYRPTWQLLGKAFVFGRKSRVVDLNLLTEEVRLYSCTPRNFSVSIREELKRTDTIFWPGCLLVKRCGGNCACCLHNCNECQCVPSKVTKKYHEVLQLRPKTGVRGLHKSLTDVALEHHEECDCVCRGSTGG
+>DECOY_sp|Q9NRA1|PDGFC_HUMAN Platelet-derived growth factor C OS=Homo sapiens OX=9606 GN=PDGFC PE=1 SV=2
+GGTSGRCVCDCEEHHELAVDTLSKHLGRVGTKPRLQLVEHYKKTVKSPVCQCENCNHLCCACNGGCRKVLLCGPWFITDTRKLEERISVSFNRPTCSYLRVEETLLNLDVVRSKRGFVFAKGLLQWTPRYLDELDLQWREPELYRILDELTSFATIANNLLDLPLASPPLVSPSVAETFQPMVINYHICFGPESPFYEDSVFRIRIQNGKSIQKGPVTGSGCWRGLITGDSPEEVEVFDYKCIDDEPDELGFREDFTLQIWVNEEVAVLRWVLVTNRPYTHPFRPSHISGNTSVTIIREHQPDQVGNQEKNSSFQFKSSLNSEAQTGQRQGALASTLLLLGFLSM
+>sp|Q9GZP0|PDGFD_HUMAN Platelet-derived growth factor D OS=Homo sapiens OX=9606 GN=PDGFD PE=1 SV=1
+MHRLIFVYTLICANFCSCRDTSATPQSASIKALRNANLRRDESNHLTDLYRRDETIQVKGNGYVQSPRFPNSYPRNLLLTWRLHSQENTRIQLVFDNQFGLEEAENDICRYDFVEVEDISETSTIIRGRWCGHKEVPPRIKSRTNQIKITFKSDDYFVAKPGFKIYYSLLEDFQPAAASETNWESVTSSISGVSYNSPSVTDPTLIADALDKKIAEFDTVEDLLKYFNPESWQEDLENMYLDTPRYRGRSYHDRKSKVDLDRLNDDAKRYSCTPRNYSVNIREELKLANVVFFPRCLLVQRCGGNCGCGTVNWRSCTCNSGKTVKKYHEVLQFEPGHIKRRGRAKTMALVDIQLDHHERCDCICSSRPPR
+>DECOY_sp|Q9GZP0|PDGFD_HUMAN Platelet-derived growth factor D OS=Homo sapiens OX=9606 GN=PDGFD PE=1 SV=1
+RPPRSSCICDCREHHDLQIDVLAMTKARGRRKIHGPEFQLVEHYKKVTKGSNCTCSRWNVTGCGCNGGCRQVLLCRPFFVVNALKLEERINVSYNRPTCSYRKADDNLRDLDVKSKRDHYSRGRYRPTDLYMNELDEQWSEPNFYKLLDEVTDFEAIKKDLADAILTPDTVSPSNYSVGSISSTVSEWNTESAAAPQFDELLSYYIKFGPKAVFYDDSKFTIKIQNTRSKIRPPVEKHGCWRGRIITSTESIDEVEVFDYRCIDNEAEELGFQNDFVLQIRTNEQSHLRWTLLLNRPYSNPFRPSQVYGNGKVQITEDRRYLDTLHNSEDRRLNANRLAKISASQPTASTDRCSCFNACILTYVFILRHM
+>sp|Q15118|PDK1_HUMAN [Pyruvate dehydrogenase (acetyl-transferring)] kinase isozyme 1, mitochondrial OS=Homo sapiens OX=9606 GN=PDK1 PE=1 SV=1
+MRLARLLRGAALAGPGPGLRAAGFSRSFSSDSGSSPASERGVPGQVDFYARFSPSPLSMKQFLDFGSVNACEKTSFMFLRQELPVRLANIMKEISLLPDNLLRTPSVQLVQSWYIQSLQELLDFKDKSAEDAKAIYDFTDTVIRIRNRHNDVIPTMAQGVIEYKESFGVDPVTSQNVQYFLDRFYMSRISIRMLLNQHSLLFGGKGKGSPSHRKHIGSINPNCNVLEVIKDGYENARRLCDLYYINSPELELEELNAKSPGQPIQVVYVPSHLYHMVFELFKNAMRATMEHHANRGVYPPIQVHVTLGNEDLTVKMSDRGGGVPLRKIDRLFNYMYSTAPRPRVETSRAVPLAGFGYGLPISRLYAQYFQGDLKLYSLEGYGTDAVIYIKALSTDSIERLPVYNKAAWKHYNTNHEADDWCVPSREPKDMTTFRSA
+>DECOY_sp|Q15118|PDK1_HUMAN [Pyruvate dehydrogenase (acetyl-transferring)] kinase isozyme 1, mitochondrial OS=Homo sapiens OX=9606 GN=PDK1 PE=1 SV=1
+ASRFTTMDKPERSPVCWDDAEHNTNYHKWAAKNYVPLREISDTSLAKIYIVADTGYGELSYLKLDGQFYQAYLRSIPLGYGFGALPVARSTEVRPRPATSYMYNFLRDIKRLPVGGGRDSMKVTLDENGLTVHVQIPPYVGRNAHHEMTARMANKFLEFVMHYLHSPVYVVQIPQGPSKANLEELELEPSNIYYLDCLRRANEYGDKIVELVNCNPNISGIHKRHSPSGKGKGGFLLSHQNLLMRISIRSMYFRDLFYQVNQSTVPDVGFSEKYEIVGQAMTPIVDNHRNRIRIVTDTFDYIAKADEASKDKFDLLEQLSQIYWSQVLQVSPTRLLNDPLLSIEKMINALRVPLEQRLFMFSTKECANVSGFDLFQKMSLPSPSFRAYFDVQGPVGRESAPSSGSDSSFSRSFGAARLGPGPGALAAGRLLRALRM
+>sp|Q96HC4|PDLI5_HUMAN PDZ and LIM domain protein 5 OS=Homo sapiens OX=9606 GN=PDLIM5 PE=1 SV=5
+MSNYSVSLVGPAPWGFRLQGGKDFNMPLTISSLKDGGKAAQANVRIGDVVLSIDGINAQGMTHLEAQNKIKGCTGSLNMTLQRASAAPKPEPVPVQKGEPKEVVKPVPITSPAVSKVTSTNNMAYNKAPRPFGSVSSPKVTSIPSPSSAFTPAHATTSSHASPSPVAAVTPPLFAASGLHANANLSADQSPSALSAGKTAVNVPRQPTVTSVCSETSQELAEGQRRGSQGDSKQQNGPPRKHIVERYTEFYHVPTHSDASKKRLIEDTEDWRPRTGTTQSRSFRILAQITGTEHLKESEADNTKKANNSQEPSPQLASSVASTRSMPESLDSPTSGRPGVTSLTAAAAFKPVGSTGVIKSPSWQRPNQGVPSTGRISNSATYSGSVAPANSALGQTQPSDQDTLVQRAEHIPAGKRTPMCAHCNQVIRGPFLVALGKSWHPEEFNCAHCKNTMAYIGFVEEKGALYCELCYEKFFAPECGRCQRKILGEVISALKQTWHVSCFVCVACGKPIRNNVFHLEDGEPYCETDYYALFGTICHGCEFPIEAGDMFLEALGYTWHDTCFVCSVCCESLEGQTFFSKKDKPLCKKHAHSVNF
+>DECOY_sp|Q96HC4|PDLI5_HUMAN PDZ and LIM domain protein 5 OS=Homo sapiens OX=9606 GN=PDLIM5 PE=1 SV=5
+FNVSHAHKKCLPKDKKSFFTQGELSECCVSCVFCTDHWTYGLAELFMDGAEIPFECGHCITGFLAYYDTECYPEGDELHFVNNRIPKGCAVCVFCSVHWTQKLASIVEGLIKRQCRGCEPAFFKEYCLECYLAGKEEVFGIYAMTNKCHACNFEEPHWSKGLAVLFPGRIVQNCHACMPTRKGAPIHEARQVLTDQDSPQTQGLASNAPAVSGSYTASNSIRGTSPVGQNPRQWSPSKIVGTSGVPKFAAAATLSTVGPRGSTPSDLSEPMSRTSAVSSALQPSPEQSNNAKKTNDAESEKLHETGTIQALIRFSRSQTTGTRPRWDETDEILRKKSADSHTPVHYFETYREVIHKRPPGNQQKSDGQSGRRQGEALEQSTESCVSTVTPQRPVNVATKGASLASPSQDASLNANAHLGSAAFLPPTVAAVPSPSAHSSTTAHAPTFASSPSPISTVKPSSVSGFPRPAKNYAMNNTSTVKSVAPSTIPVPKVVEKPEGKQVPVPEPKPAASARQLTMNLSGTCGKIKNQAELHTMGQANIGDISLVVDGIRVNAQAAKGGDKLSSITLPMNFDKGGQLRFGWPAPGVLSVSYNSM
+>sp|Q6A1A2|PDPK2_HUMAN Putative 3-phosphoinositide-dependent protein kinase 2 OS=Homo sapiens OX=9606 GN=PDPK2P PE=5 SV=1
+MVRTQTESSTPPGIPGGSRQGPAMDGTAAEPRPGAGSLQHAQPPPQPRKKRPEDFKFGKILGEGSFSTVVLARELATSREYAIKILEKRHIIKENKVPYVTRERDVMSRLDHPFFVKLYFTFQDDEKLYFGLSYAKNGELLKYIRKIGSFDETCTRFYTAEIVSALEYLHGKGIIHRDLKPENILLNEDMYIQITDFGTAKVLSPESKQARANSFVGTAQYVSPELLTEKSACKSSDLWALGCIIYQLVAGLPPFRAGNEYLIFQKIIKLEYDFPEKFFPKARDLVEKLLVLDATKRLGCEEMEGYGPLKAHPFFESVTWENLHQQTPPKLTAYLPAMSEDDEDCYGNVSWPGWRARQVALGPPCTGLHARAPDPRVICSRKGRVSVPLRQACWWL
+>DECOY_sp|Q6A1A2|PDPK2_HUMAN Putative 3-phosphoinositide-dependent protein kinase 2 OS=Homo sapiens OX=9606 GN=PDPK2P PE=5 SV=1
+LWWCAQRLPVSVRGKRSCIVRPDPARAHLGTCPPGLAVQRARWGPWSVNGYCDEDDESMAPLYATLKPPTQQHLNEWTVSEFFPHAKLPGYGEMEECGLRKTADLVLLKEVLDRAKPFFKEPFDYELKIIKQFILYENGARFPPLGAVLQYIICGLAWLDSSKCASKETLLEPSVYQATGVFSNARAQKSEPSLVKATGFDTIQIYMDENLLINEPKLDRHIIGKGHLYELASVIEATYFRTCTEDFSGIKRIYKLLEGNKAYSLGFYLKEDDQFTFYLKVFFPHDLRSMVDRERTVYPVKNEKIIHRKELIKIAYERSTALERALVVTSFSGEGLIKGFKFDEPRKKRPQPPPQAHQLSGAGPRPEAATGDMAPGQRSGGPIGPPTSSETQTRVM
+>sp|O00764|PDXK_HUMAN Pyridoxal kinase OS=Homo sapiens OX=9606 GN=PDXK PE=1 SV=1
+MEEECRVLSIQSHVIRGYVGNRAATFPLQVLGFEIDAVNSVQFSNHTGYAHWKGQVLNSDELQELYEGLRLNNMNKYDYVLTGYTRDKSFLAMVVDIVQELKQQNPRLVYVCDPVLGDKWDGEGSMYVPEDLLPVYKEKVVPLADIITPNQFEAELLSGRKIHSQEEALRVMDMLHSMGPDTVVITSSDLPSPQGSNYLIVLGSQRRRNPAGSVVMERIRMDIRKVDAVFVGTGDLFAAMLLAWTHKHPNNLKVACEKTVSTLHHVLQRTIQCAKAQAGEGVRPSPMQLELRMVQSKRDIEDPEIVVQATVL
+>DECOY_sp|O00764|PDXK_HUMAN Pyridoxal kinase OS=Homo sapiens OX=9606 GN=PDXK PE=1 SV=1
+LVTAQVVIEPDEIDRKSQVMRLELQMPSPRVGEGAQAKACQITRQLVHHLTSVTKECAVKLNNPHKHTWALLMAAFLDGTGVFVADVKRIDMRIREMVVSGAPNRRRQSGLVILYNSGQPSPLDSSTIVVTDPGMSHLMDMVRLAEEQSHIKRGSLLEAEFQNPTIIDALPVVKEKYVPLLDEPVYMSGEGDWKDGLVPDCVYVLRPNQQKLEQVIDVVMALFSKDRTYGTLVYDYKNMNNLRLGEYLEQLEDSNLVQGKWHAYGTHNSFQVSNVADIEFGLVQLPFTAARNGVYGRIVHSQISLVRCEEEM
+>sp|Q9NZ53|PDXL2_HUMAN Podocalyxin-like protein 2 OS=Homo sapiens OX=9606 GN=PODXL2 PE=1 SV=1
+MGRLLRAARLPPLLSPLLLLLVGGAFLGACVAGSDEPGPEGLTSTSLLDLLLPTGLEPLDSEEPSETMGLGAGLGAPGSGFPSEENEESRILQPPQYFWEEEEELNDSSLDLGPTADYVFPDLTEKAGSIEDTSQAQELPNLPSPLPKMNLVEPPWHMPPREEEEEEEEEEEREKEEVEKQEEEEEEELLPVNGSQEEAKPQVRDFSLTSSSQTPGATKSRHEDSGDQASSGVEVESSMGPSLLLPSVTPTTVTPGDQDSTSQEAEATVLPAAGLGVEFEAPQEASEEATAGAAGLSGQHEEVPALPSFPQTTAPSGAEHPDEDPLGSRTSASSPLAPGDMELTPSSATLGQEDLNQQLLEGQAAEAQSRIPWDSTQVICKDWSNLAGKNYIILNMTENIDCEVFRQHRGPQLLALVEEVLPRHGSGHHGAWHISLSKPSEKEQHLLMTLVGEQGVVPTQDVLSMLGDIRRSLEEIGIQNYSTTSSCQARASQVRSDYGTLFVVLVVIGAICIIIIALGLLYNCWQRRLPKLKHVSHGEELRFVENGCHDNPTLDVASDSQSEMQEKHPSLNGGGALNGPGSWGALMGGKRDPEDSDVFEEDTHL
+>DECOY_sp|Q9NZ53|PDXL2_HUMAN Podocalyxin-like protein 2 OS=Homo sapiens OX=9606 GN=PODXL2 PE=1 SV=1
+LHTDEEFVDSDEPDRKGGMLAGWSGPGNLAGGGNLSPHKEQMESQSDSAVDLTPNDHCGNEVFRLEEGHSVHKLKPLRRQWCNYLLGLAIIIICIAGIVVLVVFLTGYDSRVQSARAQCSSTTSYNQIGIEELSRRIDGLMSLVDQTPVVGQEGVLTMLLHQEKESPKSLSIHWAGHHGSGHRPLVEEVLALLQPGRHQRFVECDINETMNLIIYNKGALNSWDKCIVQTSDWPIRSQAEAAQGELLQQNLDEQGLTASSPTLEMDGPALPSSASTRSGLPDEDPHEAGSPATTQPFSPLAPVEEHQGSLGAAGATAEESAEQPAEFEVGLGAAPLVTAEAEQSTSDQDGPTVTTPTVSPLLLSPGMSSEVEVGSSAQDGSDEHRSKTAGPTQSSSTLSFDRVQPKAEEQSGNVPLLEEEEEEEQKEVEEKEREEEEEEEEEEERPPMHWPPEVLNMKPLPSPLNPLEQAQSTDEISGAKETLDPFVYDATPGLDLSSDNLEEEEEWFYQPPQLIRSEENEESPFGSGPAGLGAGLGMTESPEESDLPELGTPLLLDLLSTSTLGEPGPEDSGAVCAGLFAGGVLLLLLPSLLPPLRAARLLRGM
+>sp|Q13113|PDZ1I_HUMAN PDZK1-interacting protein 1 OS=Homo sapiens OX=9606 GN=PDZK1IP1 PE=1 SV=1
+MSALSLLILGLLTAVPPASCQQGLGNLQPWMQGLIAVAVFLVLVAIAFAVNHFWCQEEPEPAHMILTVGNKADGVLVGTDGRYSSMAASFRSSEHENAYENVPEEEGKVRSTPM
+>DECOY_sp|Q13113|PDZ1I_HUMAN PDZK1-interacting protein 1 OS=Homo sapiens OX=9606 GN=PDZK1IP1 PE=1 SV=1
+MPTSRVKGEEEPVNEYANEHESSRFSAAMSSYRGDTGVLVGDAKNGVTLIMHAPEPEEQCWFHNVAFAIAVLVLFVAVAILGQMWPQLNGLGQQCSAPPVATLLGLILLSLASM
+>sp|Q9H5P4|PDZD7_HUMAN PDZ domain-containing protein 7 OS=Homo sapiens OX=9606 GN=PDZD7 PE=1 SV=1
+MAQGFAVGFDPLGLGDLSSGSLSSLSSRGHLGSDSGSTATRYLLRKQQRLLNGPPRGIRASSPMGRVILINSPIEANSDESDIIHSVRVEKSPAGRLGFSVRGGSEHGLGIFVSKVEEGSSAERAGLCVGDKITEVNGLSLESTTMGSAVKVLTSSSRLHMMVRRMGRVPGIKFSKEKTTWVDVVNRRLVVEKCGSTPSDTSSEDGVRRIVHLYTTSDDFCLGFNIRGGKEFGLGIYVSKVDHGGLAEENGIKVGDQVLAANGVRFDDISHSQAVEVLKGQTHIMLTIKETGRYPAYKEMVSEYCWLDRLSNGVLQQLSPASESSSSVSSCASSAPYSSGSLPSDRMDICLGQEEPGSRGPGWGRADTAMQTEPDAGGRVETWCSVRPTVILRDTAIRSDGPHPGRRLDSALSESPKTALLLALSRPRPPITRSQSYLTLWEEKQQRKKEKSGSPGEKGALQRSKTLMNLFFKGGRQGRLARDGRREAWTLDSGSLAKTYPRLDIEKEMGVSPCCPG
+>DECOY_sp|Q9H5P4|PDZD7_HUMAN PDZ domain-containing protein 7 OS=Homo sapiens OX=9606 GN=PDZD7 PE=1 SV=1
+GPCCPSVGMEKEIDLRPYTKALSGSDLTWAERRGDRALRGQRGGKFFLNMLTKSRQLAGKEGPSGSKEKKRQQKEEWLTLYSQSRTIPPRPRSLALLLATKPSESLASDLRRGPHPGDSRIATDRLIVTPRVSCWTEVRGGADPETQMATDARGWGPGRSGPEEQGLCIDMRDSPLSGSSYPASSACSSVSSSSESAPSLQQLVGNSLRDLWCYESVMEKYAPYRGTEKITLMIHTQGKLVEVAQSHSIDDFRVGNAALVQDGVKIGNEEALGGHDVKSVYIGLGFEKGGRINFGLCFDDSTTYLHVIRRVGDESSTDSPTSGCKEVVLRRNVVDVWTTKEKSFKIGPVRGMRRVMMHLRSSSTLVKVASGMTTSELSLGNVETIKDGVCLGAREASSGEEVKSVFIGLGHESGGRVSFGLRGAPSKEVRVSHIIDSEDSNAEIPSNILIVRGMPSSARIGRPPGNLLRQQKRLLYRTATSGSDSGLHGRSSLSSLSGSSLDGLGLPDFGVAFGQAM
+>sp|Q9H792|PEAK1_HUMAN Inactive tyrosine-protein kinase PEAK1 OS=Homo sapiens OX=9606 GN=PEAK1 PE=1 SV=4
+MSACNTFTEHVWKPGECKNCFKPKSLHQLPPDPEKAPITHGNVKTNANHSNNHRIRNTGNFRPPVAKKPTIAVKPTMIVADGQSICGELSIQEHCENKPVIIGWNRNRAALSQKPLNNNNEDDEGISHVPKPYGNNDSAKKMSDNNNGLTEVLKEIAGLDTAPQIRGNETNSRETFLGRINDCYKRSLERKLPPSCMIGGIKETQGKHVILSGSTEVISNEGGRFCYPEFSSGEESEEDVLFSNMEEEHESWDESDEELLAMEIRMRGQPRFANFRANTLSPVRFFVDKKWNTIPLRNKSLQRICAVDYDDSYDEILNGYEENSVVSYGQGSIQSMVSSDSTSPDSSLTEESRSETASSLSQKICNGGLSPGNPGDSKDMKEIEPNYESPSSNNQDKDSSQASKSSIKVPETHKAVLALRLEEKDGKIAVQTEKEESKASTDVAGQAVTINLVPTEEQAKPYRVVNLEQPLCKPYTVVDVSAAMASEHLEGPVNSPKTKSSSSTPNSPVTSSSLTPGQISAHFQKSSAIRYQEVWTSSTSPRQKIPKVELITSGTGPNVPPRKNCHKSAPTSPTATNISSKTIPVKSPNLSEIKFNSYNNAGMPPFPIIIHDEPTYARSSKNAIKVPIVINPNAYDNLAIYKSFLGTSGELSVKEKTTSVISHTYEEIETESKVPDNTTSKTTDCLQTKGFSNSTEHKRGSVAQKVQEFNNCLNRGQSSPQRSYSSSHSSPAKIQRATQEPVAKIEGTQESQMVGSSSTREKASTVLSQIVASIQPPQSPPETPQSGPKACSVEELYAIPPDADVAKSTPKSTPVRPKSLFTSQPSGEAEAPQTTDSPTTKVQKDPSIKPVTPSPSKLVTSPQSEPPAPFPPPRSTSSPYHAGNLLQRHFTNWTKPTSPTRSTEAESVLHSEGSRRAADAKPKRWISFKSFFRRRKTDEEDDKEKEREKGKLVGLDGTVIHMLPPPPVQRHHWFTEAKGESSEKPAIVFMYRCDPAQGQLSVDQSKARTDQAAVMEKGRAENALLQDSEKKRSHSSPSQIPKKILSHMTHEVTEDFSPRDPRTVVGKQDGRGCTSVTTALSLPELEREDGKEDISDPMDPNPCSATYSNLGQSRAAMIPPKQPRQPKGAVDDAIAFGGKTDQEAPNASQPTPPPLPKKMIIRANTEPISKDLQKSMESSLCVMANPTYDIDPNWDASSAGSSISYELKGLDIESYDSLERPLRKERPVPSAANSISSLTTLSIKDRFSNSMESLSSRRGPSCRQGRGIQKPQRQALYRGLENREEVVGKIRSLHTDALKKLAVKCEDLFMAGQKDQLRFGVDSWSDFRLTSDKPCCEAGDAVYYTASYAKDPLNNYAVKICKSKAKESQQYYHSLAVRQSLAVHFNIQQDCGHFLAEVPNRLLPWEDPDDPEKDEDDMEETEEDAKGETDGKNPKPCSEAASSQKENQGVMSKKQRSHVVVITREVPCLTVADFVRDSLAQHGKSPDLYERQVCLLLLQLCSGLEHLKPYHVTHCDLRLENLLLVHYQPGGTAQGFGPAEPSPTSSYPTRLIVSNFSQAKQKSHLVDPEILRDQSRLAPEIITATQYKKCDEFQTGILIYEMLHLPNPFDENPELKEREYTRADLPRIPFRSPYSRGLQQLASCLLNPNPSERILISDAKGILQCLLWGPREDLFQTFTACPSLVQRNTLLQNWLDIKRTLLMIKFAEKSLDREGGISLEDWLCAQYLAFATTDSLSCIVKILQHR
+>DECOY_sp|Q9H792|PEAK1_HUMAN Inactive tyrosine-protein kinase PEAK1 OS=Homo sapiens OX=9606 GN=PEAK1 PE=1 SV=4
+RHQLIKVICSLSDTTAFALYQACLWDELSIGGERDLSKEAFKIMLLTRKIDLWNQLLTNRQVLSPCATFTQFLDERPGWLLCQLIGKADSILIRESPNPNLLCSALQQLGRSYPSRFPIRPLDARTYEREKLEPNEDFPNPLHLMEYILIGTQFEDCKKYQTATIIEPALRSQDRLIEPDVLHSKQKAQSFNSVILRTPYSSTPSPEAPGFGQATGGPQYHVLLLNELRLDCHTVHYPKLHELGSCLQLLLLCVQREYLDPSKGHQALSDRVFDAVTLCPVERTIVVVHSRQKKSMVGQNEKQSSAAESCPKPNKGDTEGKADEETEEMDDEDKEPDDPDEWPLLRNPVEALFHGCDQQINFHVALSQRVALSHYYQQSEKAKSKCIKVAYNNLPDKAYSATYYVADGAECCPKDSTLRFDSWSDVGFRLQDKQGAMFLDECKVALKKLADTHLSRIKGVVEERNELGRYLAQRQPKQIGRGQRCSPGRRSSLSEMSNSFRDKISLTTLSSISNAASPVPREKRLPRELSDYSEIDLGKLEYSISSGASSADWNPDIDYTPNAMVCLSSEMSKQLDKSIPETNARIIMKKPLPPPTPQSANPAEQDTKGGFAIADDVAGKPQRPQKPPIMAARSQGLNSYTASCPNPDMPDSIDEKGDERELEPLSLATTVSTCGRGDQKGVVTRPDRPSFDETVEHTMHSLIKKPIQSPSSHSRKKESDQLLANEARGKEMVAAQDTRAKSQDVSLQGQAPDCRYMFVIAPKESSEGKAETFWHHRQVPPPPLMHIVTGDLGVLKGKEREKEKDDEEDTKRRRFFSKFSIWRKPKADAARRSGESHLVSEAETSRTPSTPKTWNTFHRQLLNGAHYPSSTSRPPPFPAPPESQPSTVLKSPSPTVPKISPDKQVKTTPSDTTQPAEAEGSPQSTFLSKPRVPTSKPTSKAVDADPPIAYLEEVSCAKPGSQPTEPPSQPPQISAVIQSLVTSAKERTSSSGVMQSEQTGEIKAVPEQTARQIKAPSSHSSSYSRQPSSQGRNLCNNFEQVKQAVSGRKHETSNSFGKTQLCDTTKSTTNDPVKSETEIEEYTHSIVSTTKEKVSLEGSTGLFSKYIALNDYANPNIVIPVKIANKSSRAYTPEDHIIIPFPPMGANNYSNFKIESLNPSKVPITKSSINTATPSTPASKHCNKRPPVNPGTGSTILEVKPIKQRPSTSSTWVEQYRIASSKQFHASIQGPTLSSSTVPSNPTSSSSKTKPSNVPGELHESAMAASVDVVTYPKCLPQELNVVRYPKAQEETPVLNITVAQGAVDTSAKSEEKETQVAIKGDKEELRLALVAKHTEPVKISSKSAQSSDKDQNNSSPSEYNPEIEKMDKSDGPNGPSLGGNCIKQSLSSATESRSEETLSSDPSTSDSSVMSQISGQGYSVVSNEEYGNLIEDYSDDYDVACIRQLSKNRLPITNWKKDVFFRVPSLTNARFNAFRPQGRMRIEMALLEEDSEDWSEHEEEMNSFLVDEESEEGSSFEPYCFRGGENSIVETSGSLIVHKGQTEKIGGIMCSPPLKRELSRKYCDNIRGLFTERSNTENGRIQPATDLGAIEKLVETLGNNNDSMKKASDNNGYPKPVHSIGEDDENNNNLPKQSLAARNRNWGIIVPKNECHEQISLEGCISQGDAVIMTPKVAITPKKAVPPRFNGTNRIRHNNSHNANTKVNGHTIPAKEPDPPLQHLSKPKFCNKCEGPKWVHETFTNCASM
+>sp|Q5VY43|PEAR1_HUMAN Platelet endothelial aggregation receptor 1 OS=Homo sapiens OX=9606 GN=PEAR1 PE=1 SV=1
+MSPPLCPLLLLAVGLRLAGTLNPSDPNTCSFWESFTTTTKESHSRPFSLLPSEPCERPWEGPHTCPQPTVVYRTVYRQVVKTDHRQRLQCCHGFYESRGFCVPLCAQECVHGRCVAPNQCQCVPGWRGDDCSSECAPGMWGPQCDKPCSCGNNSSCDPKSGVCSCPSGLQPPNCLQPCTPGYYGPACQFRCQCHGAPCDPQTGACFCPAERTGPSCDVSCSQGTSGFFCPSTHSCQNGGVFQTPQGSCSCPPGWMGTICSLPCPEGFHGPNCSQECRCHNGGLCDRFTGQCRCAPGYTGDRCREECPVGRFGQDCAETCDCAPDARCFPANGACLCEHGFTGDRCTDRLCPDGFYGLSCQAPCTCDREHSLSCHPMNGECSCLPGWAGLHCNESCPQDTHGPGCQEHCLCLHGGVCQATSGLCQCAPGYTGPHCASLCPPDTYGVNCSARCSCENAIACSPIDGECVCKEGWQRGNCSVPCPPGTWGFSCNASCQCAHEAVCSPQTGACTCTPGWHGAHCQLPCPKGQFGEGCASRCDCDHSDGCDPVHGRCQCQAGWMGARCHLSCPEGLWGVNCSNTCTCKNGGTCLPENGNCVCAPGFRGPSCQRSCQPGRYGKRCVPCKCANHSFCHPSNGTCYCLAGWTGPDCSQPCPPGHWGENCAQTCQCHHGGTCHPQDGSCICPLGWTGHHCLEGCPLGTFGANCSQPCQCGPGEKCHPETGACVCPPGHSGAPCRIGIQEPFTVMPTTPVAYNSLGAVIGIAVLGSLVVALVALFIGYRHWQKGKEHHHLAVAYSSGRLDGSEYVMPDVPPSYSHYYSNPSYHTLSQCSPNPPPPNKVPGPLFASLQNPERPGGAQGHDNHTTLPADWKHRREPPPGPLDRGSSRLDRSYSYSYSNGPGPFYNKGLISEEELGASVASLSSENPYATIRDLPSLPGGPRESSYMEMKGPPSGSPPRQPPQFWDSQRRRQPQPQRDSGTYEQPSPLIHDRDSVGSQPPLPPGLPPGHYDSPKNSHIPGHYDLPPVRHPPSPPLRRQDR
+>DECOY_sp|Q5VY43|PEAR1_HUMAN Platelet endothelial aggregation receptor 1 OS=Homo sapiens OX=9606 GN=PEAR1 PE=1 SV=1
+RDQRRLPPSPPHRVPPLDYHGPIHSNKPSDYHGPPLGPPLPPQSGVSDRDHILPSPQEYTGSDRQPQPQRRRQSDWFQPPQRPPSGSPPGKMEMYSSERPGGPLSPLDRITAYPNESSLSAVSAGLEEESILGKNYFPGPGNSYSYSYSRDLRSSGRDLPGPPPERRHKWDAPLTTHNDHGQAGGPREPNQLSAFLPGPVKNPPPPNPSCQSLTHYSPNSYYHSYSPPVDPMVYESGDLRGSSYAVALHHHEKGKQWHRYGIFLAVLAVVLSGLVAIGIVAGLSNYAVPTTPMVTFPEQIGIRCPAGSHGPPCVCAGTEPHCKEGPGCQCPQSCNAGFTGLPCGELCHHGTWGLPCICSGDQPHCTGGHHCQCTQACNEGWHGPPCPQSCDPGTWGALCYCTGNSPHCFSHNACKCPVCRKGYRGPQCSRQCSPGRFGPACVCNGNEPLCTGGNKCTCTNSCNVGWLGEPCSLHCRAGMWGAQCQCRGHVPDCGDSHDCDCRSACGEGFQGKPCPLQCHAGHWGPTCTCAGTQPSCVAEHACQCSANCSFGWTGPPCPVSCNGRQWGEKCVCEGDIPSCAIANECSCRASCNVGYTDPPCLSACHPGTYGPACQCLGSTAQCVGGHLCLCHEQCGPGHTDQPCSENCHLGAWGPLCSCEGNMPHCSLSHERDCTCPAQCSLGYFGDPCLRDTCRDGTFGHECLCAGNAPFCRADPACDCTEACDQGFRGVPCEERCRDGTYGPACRCQGTFRDCLGGNHCRCEQSCNPGHFGEPCPLSCITGMWGPPCSCSGQPTQFVGGNQCSHTSPCFFGSTGQSCSVDCSPGTREAPCFCAGTQPDCPAGHCQCRFQCAPGYYGPTCPQLCNPPQLGSPCSCVGSKPDCSSNNGCSCPKDCQPGWMGPACESSCDDGRWGPVCQCQNPAVCRGHVCEQACLPVCFGRSEYFGHCCQLRQRHDTKVVQRYVTRYVVTPQPCTHPGEWPRECPESPLLSFPRSHSEKTTTTFSEWFSCTNPDSPNLTGALRLGVALLLLPCLPPSM
+>sp|Q9BY49|PECR_HUMAN Peroxisomal trans-2-enoyl-CoA reductase OS=Homo sapiens OX=9606 GN=PECR PE=1 SV=2
+MASWAKGRSYLAPGLLQGQVAIVTGGATGIGKAIVKELLELGSNVVIASRKLERLKSAADELQANLPPTKQARVIPIQCNIRNEEEVNNLVKSTLDTFGKINFLVNNGGGQFLSPAEHISSKGWHAVLETNLTGTFYMCKAVYSSWMKEHGGSIVNIIVPTKAGFPLAVHSGAARAGVYNLTKSLALEWACSGIRINCVAPGVIYSQTAVENYGSWGQSFFEGSFQKIPAKRIGVPEEVSSVVCFLLSPAASFITGQSVDVDGGRSLYTHSYEVPDHDNWPKGAGDLSVVKKMKETFKEKAKL
+>DECOY_sp|Q9BY49|PECR_HUMAN Peroxisomal trans-2-enoyl-CoA reductase OS=Homo sapiens OX=9606 GN=PECR PE=1 SV=2
+LKAKEKFTEKMKKVVSLDGAGKPWNDHDPVEYSHTYLSRGGDVDVSQGTIFSAAPSLLFCVVSSVEEPVGIRKAPIKQFSGEFFSQGWSGYNEVATQSYIVGPAVCNIRIGSCAWELALSKTLNYVGARAAGSHVALPFGAKTPVIINVISGGHEKMWSSYVAKCMYFTGTLNTELVAHWGKSSIHEAPSLFQGGGNNVLFNIKGFTDLTSKVLNNVEEENRINCQIPIVRAQKTPPLNAQLEDAASKLRELKRSAIVVNSGLELLEKVIAKGIGTAGGTVIAVQGQLLGPALYSRGKAWSAM
+>sp|Q8IZL8|PELP1_HUMAN Proline-, glutamic acid- and leucine-rich protein 1 OS=Homo sapiens OX=9606 GN=PELP1 PE=1 SV=2
+MAAAVLSGPSAGSAAGVPGGTGGLSAVSSGPRLRLLLLESVSGLLQPRTGSAVAPVHPPNRSAPHLPGLMCLLRLHGSVGGAQNLSALGALVSLSNARLSSIKTRFEGLCLLSLLVGESPTELFQQHCVSWLRSIQQVLQTQDPPATMELAVAVLRDLLRYAAQLPALFRDISMNHLPGLLTSLLGLRPECEQSALEGMKACMTYFPRACGSLKGKLASFFLSRVDALSPQLQQLACECYSRLPSLGAGFSQGLKHTESWEQELHSLLASLHTLLGALYEGAETAPVQNEGPGVEMLLSSEDGDAHVLLQLRQRFSGLARCLGLMLSSEFGAPVSVPVQEILDFICRTLSVSSKNISLHGDGPLRLLLLPSIHLEALDLLSALILACGSRLLRFGILIGRLLPQVLNSWSIGRDSLSPGQERPYSTVRTKVYAILELWVQVCGASAGMLQGGASGEALLTHLLSDISPPADALKLRSPRGSPDGSLQTGKPSAPKKLKLDVGEAMAPPSHRKGDSNANSDVCAAALRGLSRTILMCGPLIKEETHRRLHDLVLPLVMGVQQGEVLGSSPYTSSRCRRELYCLLLALLLAPSPRCPPPLACALQAFSLGQREDSLEVSSFCSEALVTCAALTHPRVPPLQPMGPTCPTPAPVPPPEAPSPFRAPPFHPPGPMPSVGSMPSAGPMPSAGPMPSAGPVPSARPGPPTTANHLGLSVPGLVSVPPRLLPGPENHRAGSNEDPILAPSGTPPPTIPPDETFGGRVPRPAFVHYDKEEASDVEISLESDSDDSVVIVPEGLPPLPPPPPSGATPPPIAPTGPPTASPPVPAKEEPEELPAAPGPLPPPPPPPPPVPGPVTLPPPQLVPEGTPGGGGPPALEEDLTVININSSDEEEEEEEEEEEEEEEEEEEEEDFEEEEEDEEEYFEEEEEEEEEFEEEFEEEEGELEEEEEEEDEEEEEELEEVEDLEFGTAGGEVEEGAPPPPTLPPALPPPESPPKVQPEPEPEPGLLLEVEEPGTEEERGADTAPTLAPEALPSQGEVEREGESPAAGPPPQELVEEEPSAPPTLLEEETEDGSDKVQPPPETPAEEEMETETEAEALQEKEQDDTAAMLADFIDCPPDDEKPPPPTEPDS
+>DECOY_sp|Q8IZL8|PELP1_HUMAN Proline-, glutamic acid- and leucine-rich protein 1 OS=Homo sapiens OX=9606 GN=PELP1 PE=1 SV=2
+SDPETPPPPKEDDPPCDIFDALMAATDDQEKEQLAEAETETEMEEEAPTEPPPQVKDSGDETEEELLTPPASPEEEVLEQPPPGAAPSEGEREVEGQSPLAEPALTPATDAGREEETGPEEVELLLGPEPEPEPQVKPPSEPPPLAPPLTPPPPAGEEVEGGATGFELDEVEELEEEEEEDEEEEEEELEGEEEEFEEEFEEEEEEEEEFYEEEDEEEEEFDEEEEEEEEEEEEEEEEEEEEEDSSNINIVTLDEELAPPGGGGPTGEPVLQPPPLTVPGPVPPPPPPPPPLPGPAAPLEEPEEKAPVPPSATPPGTPAIPPPTAGSPPPPPLPPLGEPVIVVSDDSDSELSIEVDSAEEKDYHVFAPRPVRGGFTEDPPITPPPTGSPALIPDENSGARHNEPGPLLRPPVSVLGPVSLGLHNATTPPGPRASPVPGASPMPGASPMPGASPMSGVSPMPGPPHFPPARFPSPAEPPPVPAPTPCTPGMPQLPPVRPHTLAACTVLAESCFSSVELSDERQGLSFAQLACALPPPCRPSPALLLALLLCYLERRCRSSTYPSSGLVEGQQVGMVLPLVLDHLRRHTEEKILPGCMLITRSLGRLAAACVDSNANSDGKRHSPPAMAEGVDLKLKKPASPKGTQLSGDPSGRPSRLKLADAPPSIDSLLHTLLAEGSAGGQLMGASAGCVQVWLELIAYVKTRVTSYPREQGPSLSDRGISWSNLVQPLLRGILIGFRLLRSGCALILASLLDLAELHISPLLLLRLPGDGHLSINKSSVSLTRCIFDLIEQVPVSVPAGFESSLMLGLCRALGSFRQRLQLLVHADGDESSLLMEVGPGENQVPATEAGEYLAGLLTHLSALLSHLEQEWSETHKLGQSFGAGLSPLRSYCECALQQLQPSLADVRSLFFSALKGKLSGCARPFYTMCAKMGELASQECEPRLGLLSTLLGPLHNMSIDRFLAPLQAAYRLLDRLVAVALEMTAPPDQTQLVQQISRLWSVCHQQFLETPSEGVLLSLLCLGEFRTKISSLRANSLSVLAGLASLNQAGGVSGHLRLLCMLGPLHPASRNPPHVPAVASGTRPQLLGSVSELLLLRLRPGSSVASLGGTGGPVGAASGASPGSLVAAAM
+>sp|P11678|PERE_HUMAN Eosinophil peroxidase OS=Homo sapiens OX=9606 GN=EPX PE=1 SV=2
+MHLLPALAGVLATLVLAQPCEGTDPASPGAVETSVLRDCIAEAKLLVDAAYNWTQKSIKQRLRSGSASPMDLLSYFKQPVAATRTVVRAADYMHVALGLLEEKLQPQRSGPFNVTDVLTEPQLRLLSQASGCALRDQAERCSDKYRTITGRCNNKRRPLLGASNQALARWLPAEYEDGLSLPFGWTPSRRRNGFLLPLVRAVSNQIVRFPNERLTSDRGRALMFMQWGQFIDHDLDFSPESPARVAFTAGVDCERTCAQLPPCFPIKIPPNDPRIKNQRDCIPFFRSAPSCPQNKNRVRNQINALTSFVDASMVYGSEVSLSLRLRNRTNYLGLLAINQRFQDNGRALLPFDNLHDDPCLLTNRSARIPCFLAGDTRSTETPKLAAMHTLFMREHNRLATELRRLNPRWNGDKLYNEARKIMGAMVQIITYRDFLPLVLGKARARRTLGHYRGYCSNVDPRVANVFTLAFRFGHTMLQPFMFRLDSQYRASAPNSHVPLSSAFFASWRIVYEGGIDPILRGLMATPAKLNRQDAMLVDELRDRLFRQVRRIGLDLAALNMQRSRDHGLPGYNAWRRFCGLSQPRNLAQLSRVLKNQDLARKFLNLYGTPDNIDIWIGAIAEPLLPGARVGPLLACLFENQFRRARDGDRFWWQKRGVFTKRQRKALSRISLSRIICDNTGITTVSRDIFRANIYPRGFVNCSRIPRLNLSAWRGT
+>DECOY_sp|P11678|PERE_HUMAN Eosinophil peroxidase OS=Homo sapiens OX=9606 GN=EPX PE=1 SV=2
+TGRWASLNLRPIRSCNVFGRPYINARFIDRSVTTIGTNDCIIRSLSIRSLAKRQRKTFVGRKQWWFRDGDRARRFQNEFLCALLPGVRAGPLLPEAIAGIWIDINDPTGYLNLFKRALDQNKLVRSLQALNRPQSLGCFRRWANYGPLGHDRSRQMNLAALDLGIRRVQRFLRDRLEDVLMADQRNLKAPTAMLGRLIPDIGGEYVIRWSAFFASSLPVHSNPASARYQSDLRFMFPQLMTHGFRFALTFVNAVRPDVNSCYGRYHGLTRRARAKGLVLPLFDRYTIIQVMAGMIKRAENYLKDGNWRPNLRRLETALRNHERMFLTHMAALKPTETSRTDGALFCPIRASRNTLLCPDDHLNDFPLLARGNDQFRQNIALLGLYNTRNRLRLSLSVESGYVMSADVFSTLANIQNRVRNKNQPCSPASRFFPICDRQNKIRPDNPPIKIPFCPPLQACTRECDVGATFAVRAPSEPSFDLDHDIFQGWQMFMLARGRDSTLRENPFRVIQNSVARVLPLLFGNRRRSPTWGFPLSLGDEYEAPLWRALAQNSAGLLPRRKNNCRGTITRYKDSCREAQDRLACGSAQSLLRLQPETLVDTVNFPGSRQPQLKEELLGLAVHMYDAARVVTRTAAVPQKFYSLLDMPSASGSRLRQKISKQTWNYAADVLLKAEAICDRLVSTEVAGPSAPDTGECPQALVLTALVGALAPLLHM
+>sp|P21145|MAL_HUMAN Myelin and lymphocyte protein OS=Homo sapiens OX=9606 GN=MAL PE=1 SV=1
+MAPAAATGGSTLPSGFSVFTTLPDLLFIFEFIFGGLVWILVASSLVPWPLVQGWVMFVSVFCFVATTTLIILYIIGAHGGETSWVTLDAAYHCTAALFYLSASVLEALATITMQDGFTYRHYHENIAAVVFSYIATLLYVVHAVFSLIRWKSS
+>DECOY_sp|P21145|MAL_HUMAN Myelin and lymphocyte protein OS=Homo sapiens OX=9606 GN=MAL PE=1 SV=1
+SSKWRILSFVAHVVYLLTAIYSFVVAAINEHYHRYTFGDQMTITALAELVSASLYFLAATCHYAADLTVWSTEGGHAGIIYLIILTTTAVFCFVSVFMVWGQVLPWPVLSSAVLIWVLGGFIFEFIFLLDPLTTFVSFGSPLTSGGTAAAPAM
+>sp|Q9NQG1|MANBL_HUMAN Protein MANBAL OS=Homo sapiens OX=9606 GN=MANBAL PE=1 SV=1
+MASDLDFSPPEVPEPTFLENLLRYGLFLGAIFQLICVLAIIVPIPKSHEAEAEPSEPRSAEVTRKPKAAVPSVNKRPKKETKKKR
+>DECOY_sp|Q9NQG1|MANBL_HUMAN Protein MANBAL OS=Homo sapiens OX=9606 GN=MANBAL PE=1 SV=1
+RKKKTEKKPRKNVSPVAAKPKRTVEASRPESPEAEAEHSKPIPVIIALVCILQFIAGLFLGYRLLNELFTPEPVEPPSFDLDSAM
+>sp|O15232|MATN3_HUMAN Matrilin-3 OS=Homo sapiens OX=9606 GN=MATN3 PE=1 SV=2
+MPRPAPARRLPGLLLLLWPLLLLPSAAPDPVARPGFRRLETRGPGGSPGRRPSPAAPDGAPASGTSEPGRARGAGVCKSRPLDLVFIIDSSRSVRPLEFTKVKTFVSRIIDTLDIGPADTRVAVVNYASTVKIEFQLQAYTDKQSLKQAVGRITPLSTGTMSGLAIQTAMDEAFTVEAGAREPSSNIPKVAIIVTDGRPQDQVNEVAARAQASGIELYAVGVDRADMASLKMMASEPLEEHVFYVETYGVIEKLSSRFQETFCALDPCVLGTHQCQHVCISDGEGKHHCECSQGYTLNADKKTCSALDRCALNTHGCEHICVNDRSGSYHCECYEGYTLNEDRKTCSAQDKCALGTHGCQHICVNDRTGSHHCECYEGYTLNADKKTCSVRDKCALGSHGCQHICVSDGAASYHCDCYPGYTLNEDKKTCSATEEARRLVSTEDACGCEATLAFQDKVSSYLQRLNTKLDDILEKLKINEYGQIHR
+>DECOY_sp|O15232|MATN3_HUMAN Matrilin-3 OS=Homo sapiens OX=9606 GN=MATN3 PE=1 SV=2
+RHIQGYENIKLKELIDDLKTNLRQLYSSVKDQFALTAECGCADETSVLRRAEETASCTKKDENLTYGPYCDCHYSAAGDSVCIHQCGHSGLACKDRVSCTKKDANLTYGEYCECHHSGTRDNVCIHQCGHTGLACKDQASCTKRDENLTYGEYCECHYSGSRDNVCIHECGHTNLACRDLASCTKKDANLTYGQSCECHHKGEGDSICVHQCQHTGLVCPDLACFTEQFRSSLKEIVGYTEVYFVHEELPESAMMKLSAMDARDVGVAYLEIGSAQARAAVENVQDQPRGDTVIIAVKPINSSPERAGAEVTFAEDMATQIALGSMTGTSLPTIRGVAQKLSQKDTYAQLQFEIKVTSAYNVVAVRTDAPGIDLTDIIRSVFTKVKTFELPRVSRSSDIIFVLDLPRSKCVGAGRARGPESTGSAPAGDPAAPSPRRGPSGGPGRTELRRFGPRAVPDPAASPLLLLPWLLLLLGPLRRAPAPRPM
+>sp|A0A1B0GVZ6|MB3LB_HUMAN Methyl-CpG-binding domain protein 3-like 2B OS=Homo sapiens OX=9606 GN=MBD3L2B PE=3 SV=1
+MGEPAFTSFPSLPVLGKLKRNMMPWALQKKREIHMAKAHRRRAARSALPMRLTSCIFRRPVTRIRSHPDNQVRRRKGDEHLEKPQQLCAYRRLQALQPCSSQGEGSSPLHLESVLSILAPGTAGESLDRAGAERVRSPLEPTPGRFPAVAGGPTPGMGCQLPPPLSGQLVTPADIRRQARRVKKARERLAKALQADRLARRAEM
+>DECOY_sp|A0A1B0GVZ6|MB3LB_HUMAN Methyl-CpG-binding domain protein 3-like 2B OS=Homo sapiens OX=9606 GN=MBD3L2B PE=3 SV=1
+MEARRALRDAQLAKALRERAKKVRRAQRRIDAPTVLQGSLPPPLQCGMGPTPGGAVAPFRGPTPELPSRVREAGARDLSEGATGPALISLVSELHLPSSGEGQSSCPQLAQLRRYACLQQPKELHEDGKRRRVQNDPHSRIRTVPRRFICSTLRMPLASRAARRRHAKAMHIERKKQLAWPMMNRKLKGLVPLSPFSTFAPEGM
+>sp|O43772|MCAT_HUMAN Mitochondrial carnitine/acylcarnitine carrier protein OS=Homo sapiens OX=9606 GN=SLC25A20 PE=1 SV=1
+MADQPKPISPLKNLLAGGFGGVCLVFVGHPLDTVKVRLQTQPPSLPGQPPMYSGTFDCFRKTLFREGITGLYRGMAAPIIGVTPMFAVCFFGFGLGKKLQQKHPEDVLSYPQLFAAGMLSGVFTTGIMTPGERIKCLLQIQASSGESKYTGTLDCAKKLYQEFGIRGIYKGTVLTLMRDVPASGMYFMTYEWLKNIFTPEGKRVSELSAPRILVAGGIAGIFNWAVAIPPDVLKSRFQTAPPGKYPNGFRDVLRELIRDEGVTSLYKGFNAVMIRAFPANAACFLGFEVAMKFLNWATPNL
+>DECOY_sp|O43772|MCAT_HUMAN Mitochondrial carnitine/acylcarnitine carrier protein OS=Homo sapiens OX=9606 GN=SLC25A20 PE=1 SV=1
+LNPTAWNLFKMAVEFGLFCAANAPFARIMVANFGKYLSTVGEDRILERLVDRFGNPYKGPPATQFRSKLVDPPIAVAWNFIGAIGGAVLIRPASLESVRKGEPTFINKLWEYTMFYMGSAPVDRMLTLVTGKYIGRIGFEQYLKKACDLTGTYKSEGSSAQIQLLCKIREGPTMIGTTFVGSLMGAAFLQPYSLVDEPHKQQLKKGLGFGFFCVAFMPTVGIIPAAMGRYLGTIGERFLTKRFCDFTGSYMPPQGPLSPPQTQLRVKVTDLPHGVFVLCVGGFGGALLNKLPSIPKPQDAM
+>sp|P49736|MCM2_HUMAN DNA replication licensing factor MCM2 OS=Homo sapiens OX=9606 GN=MCM2 PE=1 SV=4
+MAESSESFTMASSPAQRRRGNDPLTSSPGRSSRRTDALTSSPGRDLPPFEDESEGLLGTEGPLEEEEDGEELIGDGMERDYRAIPELDAYEAEGLALDDEDVEELTASQREAAERAMRQRDREAGRGLGRMRRGLLYDSDEEDEERPARKRRQVERATEDGEEDEEMIESIENLEDLKGHSVREWVSMAGPRLEIHHRFKNFLRTHVDSHGHNVFKERISDMCKENRESLVVNYEDLAAREHVLAYFLPEAPAELLQIFDEAALEVVLAMYPKYDRITNHIHVRISHLPLVEELRSLRQLHLNQLIRTSGVVTSCTGVLPQLSMVKYNCNKCNFVLGPFCQSQNQEVKPGSCPECQSAGPFEVNMEETIYQNYQRIRIQESPGKVAAGRLPRSKDAILLADLVDSCKPGDEIELTGIYHNNYDGSLNTANGFPVFATVILANHVAKKDNKVAVGELTDEDVKMITSLSKDQQIGEKIFASIAPSIYGHEDIKRGLALALFGGEPKNPGGKHKVRGDINVLLCGDPGTAKSQFLKYIEKVSSRAIFTTGQGASAVGLTAYVQRHPVSREWTLEAGALVLADRGVCLIDEFDKMNDQDRTSIHEAMEQQSISISKAGIVTSLQARCTVIAAANPIGGRYDPSLTFSENVDLTEPIISRFDILCVVRDTVDPVQDEMLARFVVGSHVRHHPSNKEEEGLANGSAAEPAMPNTYGVEPLPQEVLKKYIIYAKERVHPKLNQMDQDKVAKMYSDLRKESMATGSIPITVRHIESMIRMAEAHARIHLRDYVIEDDVNMAIRVMLESFIDTQKFSVMRSMRKTFARYLSFRRDNNELLLFILKQLVAEQVTYQRNRFGAQQDTIEVPEKDLVDKARQINIHNLSAFYDSELFRMNKFSHDLKRKMILQQF
+>DECOY_sp|P49736|MCM2_HUMAN DNA replication licensing factor MCM2 OS=Homo sapiens OX=9606 GN=MCM2 PE=1 SV=4
+FQQLIMKRKLDHSFKNMRFLESDYFASLNHINIQRAKDVLDKEPVEITDQQAGFRNRQYTVQEAVLQKLIFLLLENNDRRFSLYRAFTKRMSRMVSFKQTDIFSELMVRIAMNVDDEIVYDRLHIRAHAEAMRIMSEIHRVTIPISGTAMSEKRLDSYMKAVKDQDMQNLKPHVREKAYIIYKKLVEQPLPEVGYTNPMAPEAASGNALGEEEKNSPHHRVHSGVVFRALMEDQVPDVTDRVVCLIDFRSIIPETLDVNESFTLSPDYRGGIPNAAAIVTCRAQLSTVIGAKSISISQQEMAEHISTRDQDNMKDFEDILCVGRDALVLAGAELTWERSVPHRQVYATLGVASAGQGTTFIARSSVKEIYKLFQSKATGPDGCLLVNIDGRVKHKGGPNKPEGGFLALALGRKIDEHGYISPAISAFIKEGIQQDKSLSTIMKVDEDTLEGVAVKNDKKAVHNALIVTAFVPFGNATNLSGDYNNHYIGTLEIEDGPKCSDVLDALLIADKSRPLRGAAVKGPSEQIRIRQYNQYITEEMNVEFPGASQCEPCSGPKVEQNQSQCFPGLVFNCKNCNYKVMSLQPLVGTCSTVVGSTRILQNLHLQRLSRLEEVLPLHSIRVHIHNTIRDYKPYMALVVELAAEDFIQLLEAPAEPLFYALVHERAALDEYNVVLSERNEKCMDSIREKFVNHGHSDVHTRLFNKFRHHIELRPGAMSVWERVSHGKLDELNEISEIMEEDEEGDETAREVQRRKRAPREEDEEDSDYLLGRRMRGLGRGAERDRQRMAREAAERQSATLEEVDEDDLALGEAEYADLEPIARYDREMGDGILEEGDEEEELPGETGLLGESEDEFPPLDRGPSSTLADTRRSSRGPSSTLPDNGRRRQAPSSAMTFSESSEAM
+>sp|A0A1B0GVS7|MDFI2_HUMAN MyoD family inhibitor domain-containing protein 2 OS=Homo sapiens OX=9606 GN=MDFIC2 PE=2 SV=1
+MSETELEKIKVRTAEHLENDKNNISWLKEDTQLTNAKHADEKPINAIVINSVSDFNITDGPAKENPNEKKLSESSTSLSSLEECQTTFSYLQTDTSVHHRDTDEECASLILACLFCQFWDCLLMLPGTCETVCTKMCCPSRRYHHTSDENHSRNDCSCNCDMDCSLFESCHETSECLELAMEISEICYR
+>DECOY_sp|A0A1B0GVS7|MDFI2_HUMAN MyoD family inhibitor domain-containing protein 2 OS=Homo sapiens OX=9606 GN=MDFIC2 PE=2 SV=1
+RYCIESIEMALELCESTEHCSEFLSCDMDCNCSCDNRSHNEDSTHHYRRSPCCMKTCVTECTGPLMLLCDWFQCFLCALILSACEEDTDRHHVSTDTQLYSFTTQCEELSSLSTSSESLKKENPNEKAPGDTINFDSVSNIVIANIPKEDAHKANTLQTDEKLWSINNKDNELHEATRVKIKELETESM
+>sp|Q9P1T7|MDFIC_HUMAN MyoD family inhibitor domain-containing protein OS=Homo sapiens OX=9606 GN=MDFIC PE=1 SV=2
+MSGAGEALAPGPVGPQRVAEAGGGQLGSTAQGKCDKDNTEKDITQATNSHFTHGEMQDQSIWGNPSDGELIRTQPQRLPQLQTSAQVPSGEEIGKIKNGHTGLSNGNGIHHGAKHGSADNRKLSAPVSQKMHRKIQSSLSVNSDISKKSKVNAVFSQKTGSSPEDCCVHCILACLFCEFLTLCNIVLGQASCGICTSEACCCCCGDEMGDDCNCPCDMDCGIMDACCESSDCLEICMECCGICFPS
+>DECOY_sp|Q9P1T7|MDFIC_HUMAN MyoD family inhibitor domain-containing protein OS=Homo sapiens OX=9606 GN=MDFIC PE=1 SV=2
+SPFCIGCCEMCIELCDSSECCADMIGCDMDCPCNCDDGMEDGCCCCCAESTCIGCSAQGLVINCLTLFECFLCALICHVCCDEPSSGTKQSFVANVKSKKSIDSNVSLSSQIKRHMKQSVPASLKRNDASGHKAGHHIGNGNSLGTHGNKIKGIEEGSPVQASTQLQPLRQPQTRILEGDSPNGWISQDQMEGHTFHSNTAQTIDKETNDKDCKGQATSGLQGGGAEAVRQPGVPGPALAEGAGSM
+>sp|Q16626|MEA1_HUMAN Male-enhanced antigen 1 OS=Homo sapiens OX=9606 GN=MEA1 PE=1 SV=2
+MGPERHLSGAPARMATVVLGGDTMGPERIFPNQTEELGHQGPSEGTGDWSSEEPEEEQEETGSGPAGYSYQPLNQDPEQEEVELAPVGDGDVVADIQDRIQALGLHLPDPPLESEDEDEEGATALNNHSSIPMDPEHVELVKRTMAGVSLPAPGVPAWAREISDAQWEDVVQKALQARQASPAWK
+>DECOY_sp|Q16626|MEA1_HUMAN Male-enhanced antigen 1 OS=Homo sapiens OX=9606 GN=MEA1 PE=1 SV=2
+KWAPSAQRAQLAKQVVDEWQADSIERAWAPVGPAPLSVGAMTRKVLEVHEPDMPISSHNNLATAGEEDEDESELPPDPLHLGLAQIRDQIDAVVDGDGVPALEVEEQEPDQNLPQYSYGAPGSGTEEQEEEPEESSWDGTGESPGQHGLEETQNPFIREPGMTDGGLVVTAMRAPAGSLHREPGM
+>sp|Q9NVC6|MED17_HUMAN Mediator of RNA polymerase II transcription subunit 17 OS=Homo sapiens OX=9606 GN=MED17 PE=1 SV=2
+MSGVRAVRISIESACEKQVHEVGLDGTETYLPPLSMSQNLARLAQRIDFSQGSGSEEEEAAGTEGDAQEWPGAGSSADQDDEEGVVKFQPSLWPWDSVRNNLRSALTEMCVLYDVLSIVRDKKFMTLDPVSQDALPPKQNPQTLQLISKKKSLAGAAQILLKGAERLTKSVTENQENKLQRDFNSELLRLRQHWKLRKVGDKILGDLSYRSAGSLFPHHGTFEVIKNTDLDLDKKIPEDYCPLDVQIPSDLEGSAYIKVSIQKQAPDIGDLGTVNLFKRPLPKSKPGSPHWQTKLEAAQNVLLCKEIFAQLSREAVQIKSQVPHIVVKNQIISQPFPSLQLSISLCHSSNDKKSQKFATEKQCPEDHLYVLEHNLHLLIREFHKQTLSSIMMPHPASAPFGHKRMRLSGPQAFDKNEINSLQSSEGLLEKIIKQAKHIFLRSRAAATIDSLASRIEDPQIQAHWSNINDVYESSVKVLITSQGYEQICKSIQLQLNIGVEQIRVVHRDGRVITLSYQEQELQDFLLSQMSQHQVHAVQQLAKVMGWQVLSFSNHVGLGPIESIGNASAITVASPSGDYAISVRNGPESGSKIMVQFPRNQCKDLPKSDVLQDNKWSHLRGPFKEVQWNKMEGRNFVYKMELLMSALSPCLL
+>DECOY_sp|Q9NVC6|MED17_HUMAN Mediator of RNA polymerase II transcription subunit 17 OS=Homo sapiens OX=9606 GN=MED17 PE=1 SV=2
+LLCPSLASMLLEMKYVFNRGEMKNWQVEKFPGRLHSWKNDQLVDSKPLDKCQNRPFQVMIKSGSEPGNRVSIAYDGSPSAVTIASANGISEIPGLGVHNSFSLVQWGMVKALQQVAHVQHQSMQSLLFDQLEQEQYSLTIVRGDRHVVRIQEVGINLQLQISKCIQEYGQSTILVKVSSEYVDNINSWHAQIQPDEIRSALSDITAAARSRLFIHKAQKIIKELLGESSQLSNIENKDFAQPGSLRMRKHGFPASAPHPMMISSLTQKHFERILLHLNHELVYLHDEPCQKETAFKQSKKDNSSHCLSISLQLSPFPQSIIQNKVVIHPVQSKIQVAERSLQAFIEKCLLVNQAAELKTQWHPSGPKSKPLPRKFLNVTGLDGIDPAQKQISVKIYASGELDSPIQVDLPCYDEPIKKDLDLDTNKIVEFTGHHPFLSGASRYSLDGLIKDGVKRLKWHQRLRLLESNFDRQLKNEQNETVSKTLREAGKLLIQAAGALSKKKSILQLTQPNQKPPLADQSVPDLTMFKKDRVISLVDYLVCMETLASRLNNRVSDWPWLSPQFKVVGEEDDQDASSGAGPWEQADGETGAAEEEESGSGQSFDIRQALRALNQSMSLPPLYTETGDLGVEHVQKECASEISIRVARVGSM
+>sp|Q6P2C8|MED27_HUMAN Mediator of RNA polymerase II transcription subunit 27 OS=Homo sapiens OX=9606 GN=MED27 PE=1 SV=1
+MADVINVSVNLEAFSQAISAIQALRSSVSRVFDCLKDGMRNKETLEGREKAFIAHFQDNLHSVNRDLNELERLSNLVGKPSENHPLHNSGLLSLDPVQDKTPLYSQLLQAYKWSNKLQYHAGLASGLLNQQSLKRSANQMGVSAKRRPKAQPTTLVLPPQYVDDVISRIDRMFPEMSIHLSRPNGTSAMLLVTLGKVLKVIVVMRSLFIDRTIVKGYNENVYTEDGKLDIWSKSNYQVFQKVTDHATTALLHYQLPQMPDVVVRSFMTWLRSYIKLFQAPCQRCGKFLQDGLPPTWRDFRTLEAFHDTCRQ
+>DECOY_sp|Q6P2C8|MED27_HUMAN Mediator of RNA polymerase II transcription subunit 27 OS=Homo sapiens OX=9606 GN=MED27 PE=1 SV=1
+QRCTDHFAELTRFDRWTPPLGDQLFKGCRQCPAQFLKIYSRLWTMFSRVVVDPMQPLQYHLLATTAHDTVKQFVQYNSKSWIDLKGDETYVNENYGKVITRDIFLSRMVVIVKLVKGLTVLLMASTGNPRSLHISMEPFMRDIRSIVDDVYQPPLVLTTPQAKPRRKASVGMQNASRKLSQQNLLGSALGAHYQLKNSWKYAQLLQSYLPTKDQVPDLSLLGSNHLPHNESPKGVLNSLRELENLDRNVSHLNDQFHAIFAKERGELTEKNRMGDKLCDFVRSVSSRLAQIASIAQSFAELNVSVNIVDAM
+>sp|Q16819|MEP1A_HUMAN Meprin A subunit alpha OS=Homo sapiens OX=9606 GN=MEP1A PE=1 SV=2
+MAWIRSTCILFFTLLFAHIAAVPIKYLPEENVHDADFGEQKDISEINLAAGLDLFQGDILLQKSRNGLRDPNTRWTFPIPYILADNLGLNAKGAILYAFEMFRLKSCVDFKPYEGESSYIIFQQFDGCWSEVGDQHVGQNISIGQGCAYKAIIEHEILHALGFYHEQSRTDRDDYVNIWWDQILSGYQHNFDTYDDSLITDLNTPYDYESLMHYQPFSFNKNASVPTITAKIPEFNSIIGQRLDFSAIDLERLNRMYNCTTTHTLLDHCTFEKANICGMIQGTRDDTDWAHQDSAQAGEVDHTLLGQCTGAGYFMQFSTSSGSAEEAALLESRILYPKRKQQCLQFFYKMTGSPSDRLVVWVRRDDSTGNVRKLVKVQTFQGDDDHNWKIAHVVLKEEQKFRYLFQGTKGDPQNSTGGIYLDDITLTETPCPTGVWTVRNFSQVLENTSKGDKLQSPRFYNSEGYGFGVTLYPNSRESSGYLRLAFHVCSGENDAILEWPVENRQVIITILDQEPDVRNRMSSSMVFTTSKSHTSPAINDTVIWDRPSRVGTYHTDCNCFRSIDLGWSGFISHQMLKRRSFLKNDDLIIFVDFEDITHLSQTEVPTKGKRLSPQGLILQGQEQQVSEEGSGKAMLEEALPVSLSQGQPSRQKRSVENTGPLEDHNWPQYFRDPCDPNPCQNDGICVNVKGMASCRCISGHAFFYTGERCQAVQVHGSVLGMVIGGTAGVIFLTFSIIAILSQRPRK
+>DECOY_sp|Q16819|MEP1A_HUMAN Meprin A subunit alpha OS=Homo sapiens OX=9606 GN=MEP1A PE=1 SV=2
+KRPRQSLIAIISFTLFIVGATGGIVMGLVSGHVQVAQCREGTYFFAHGSICRCSAMGKVNVCIGDNQCPNPDCPDRFYQPWNHDELPGTNEVSRKQRSPQGQSLSVPLAEELMAKGSGEESVQQEQGQLILGQPSLRKGKTPVETQSLHTIDEFDVFIILDDNKLFSRRKLMQHSIFGSWGLDISRFCNCDTHYTGVRSPRDWIVTDNIAPSTHSKSTTFVMSSSMRNRVDPEQDLITIIVQRNEVPWELIADNEGSCVHFALRLYGSSERSNPYLTVGFGYGESNYFRPSQLKDGKSTNELVQSFNRVTWVGTPCPTETLTIDDLYIGGTSNQPDGKTGQFLYRFKQEEKLVVHAIKWNHDDDGQFTQVKVLKRVNGTSDDRRVWVVLRDSPSGTMKYFFQLCQQKRKPYLIRSELLAAEEASGSSTSFQMFYGAGTCQGLLTHDVEGAQASDQHAWDTDDRTGQIMGCINAKEFTCHDLLTHTTTCNYMRNLRELDIASFDLRQGIISNFEPIKATITPVSANKNFSFPQYHMLSEYDYPTNLDTILSDDYTDFNHQYGSLIQDWWINVYDDRDTRSQEHYFGLAHLIEHEIIAKYACGQGISINQGVHQDGVESWCGDFQQFIIYSSEGEYPKFDVCSKLRFMEFAYLIAGKANLGLNDALIYPIPFTWRTNPDRLGNRSKQLLIDGQFLDLGAALNIESIDKQEGFDADHVNEEPLYKIPVAAIHAFLLTFFLICTSRIWAM
+>sp|O95568|MET18_HUMAN Histidine protein methyltransferase 1 homolog OS=Homo sapiens OX=9606 GN=METTL18 PE=1 SV=1
+MTFQFNFTIEDHLENELTPIRDGALTLDSSKELSVSESQKGEERDRKCSAEQFDLPQDHLWEHKSMENAAPSQDTDSPLSAASSSRNLEPHGKQPSLRAAKEHAMPKDLKKMLENKVIETLPGFQHVKLSVVKTILLKENFPGENIVSKSFSSHSDLITGVYEGGLKIWECTFDLLAYFTKAKVKFAGKKVLDLGCGSGLLGITAFKGGSKEIHFQDYNSMVIDEVTLPNVVANSTLEDEENDVNEPDVKRCRKPKVTQLYKCRFFSGEWSEFCKLVLSSEKLFVKYDLILTSETIYNPDYYSNLHQTFLRLLSKNGRVLLASKAHYFGVGGGVHLFQKFVEERDVFKTRILKIIDEGLKRFIIEITFKFPG
+>DECOY_sp|O95568|MET18_HUMAN Histidine protein methyltransferase 1 homolog OS=Homo sapiens OX=9606 GN=METTL18 PE=1 SV=1
+GPFKFTIEIIFRKLGEDIIKLIRTKFVDREEVFKQFLHVGGGVGFYHAKSALLVRGNKSLLRLFTQHLNSYYDPNYITESTLILDYKVFLKESSLVLKCFESWEGSFFRCKYLQTVKPKRCRKVDPENVDNEEDELTSNAVVNPLTVEDIVMSNYDQFHIEKSGGKFATIGLLGSGCGLDLVKKGAFKVKAKTFYALLDFTCEWIKLGGEYVGTILDSHSSFSKSVINEGPFNEKLLITKVVSLKVHQFGPLTEIVKNELMKKLDKPMAHEKAARLSPQKGHPELNRSSSAASLPSDTDQSPAANEMSKHEWLHDQPLDFQEASCKRDREEGKQSESVSLEKSSDLTLAGDRIPTLENELHDEITFNFQFTM
+>sp|Q86XA0|MET23_HUMAN Methyltransferase-like protein 23 OS=Homo sapiens OX=9606 GN=METTL23 PE=1 SV=3
+MYVWPCAVVLAQYLWFHRRSLPGKAILEIGAGVSLPGILAAKCGAEVILSDSSELPHCLEVCRQSCQMNNLPHLQVVGLTWGHISWDLLALPPQDIILASDVFFEPEDFEDILATIYFLMHKNPKVQLWSTYQVRSADWSLEALLYKWDMKCVHIPLESFDADKEDIAESTLPGRHTVEMLVISFAKDSL
+>DECOY_sp|Q86XA0|MET23_HUMAN Methyltransferase-like protein 23 OS=Homo sapiens OX=9606 GN=METTL23 PE=1 SV=3
+LSDKAFSIVLMEVTHRGPLTSEAIDEKDADFSELPIHVCKMDWKYLLAELSWDASRVQYTSWLQVKPNKHMLFYITALIDEFDEPEFFVDSALIIDQPPLALLDWSIHGWTLGVVQLHPLNNMQCSQRCVELCHPLESSDSLIVEAGCKAALIGPLSVGAGIELIAKGPLSRRHFWLYQALVVACPWVYM
+>sp|Q8N6F8|MET27_HUMAN Methyltransferase-like protein 27 OS=Homo sapiens OX=9606 GN=METTL27 PE=1 SV=2
+MAQEEGGSLPEVRARVRAAHGIPDLAQKLHFYDRWAPDYDQDVATLLYRAPRLAVDCLTQALPGPPHSALILDVACGTGLVAAELRAPGFLQLHGVDGSPGMLEQAQAPGLYQRLSLCTLGQEPLPSPEGTFDAVLIVGALSDGQVPCNAIPELHVTKPGGLVCLTTRTNSSNLQYKEALEATLDRLEQAGMWEGLVAWPVDRLWTAGSWLPPSWRWYPASLPRMASSPALSTCTESGRRPRLRK
+>DECOY_sp|Q8N6F8|MET27_HUMAN Methyltransferase-like protein 27 OS=Homo sapiens OX=9606 GN=METTL27 PE=1 SV=2
+KRLRPRRGSETCTSLAPSSAMRPLSAPYWRWSPPLWSGATWLRDVPWAVLGEWMGAQELRDLTAELAEKYQLNSSNTRTTLCVLGGPKTVHLEPIANCPVQGDSLAGVILVADFTGEPSPLPEQGLTCLSLRQYLGPAQAQELMGPSGDVGHLQLFGPARLEAAVLGTGCAVDLILASHPPGPLAQTLCDVALRPARYLLTAVDQDYDPAWRDYFHLKQALDPIGHAARVRARVEPLSGGEEQAM
+>sp|Q96IZ6|MET2A_HUMAN Methyltransferase-like protein 2A OS=Homo sapiens OX=9606 GN=METTL2A PE=1 SV=5
+MAGSYPEGAPAVLADKRQQFGSRFLRDPARVFHHNAWDNVEWSEEQAAAAERKVQENSIQRVCQEKQVDYEINAHKYWNDFYKIHENGFFKDRHWLFTEFPELAPSQNQNHLKDWFLENKSEVPECRNNEDGPGLIMEEQHKCSSKSLEHKTQTLPVEENVTQKISDLEICADEFPGSSATYRILEVGCGVGNTVFPILQTNNDPGLFVYCCDFSSTAIELVQTNSEYDPSRCFAFVHDLCDEEKSYPVPKGSLDIIILIFVLSAIVPDKMQKAINRLSRLLKPGGMMLLRDYGRYDMAQLRFKKGQCLSGNFYVRGDGTRVYFFTQEELDTLFTTAGLEKVQNLVDRRLQVNRGKQLTMYRVWIQCKYCKPLLSSTS
+>DECOY_sp|Q96IZ6|MET2A_HUMAN Methyltransferase-like protein 2A OS=Homo sapiens OX=9606 GN=METTL2A PE=1 SV=5
+STSSLLPKCYKCQIWVRYMTLQKGRNVQLRRDVLNQVKELGATTFLTDLEEQTFFYVRTGDGRVYFNGSLCQGKKFRLQAMDYRGYDRLLMMGGPKLLRSLRNIAKQMKDPVIASLVFILIIIDLSGKPVPYSKEEDCLDHVFAFCRSPDYESNTQVLEIATSSFDCCYVFLGPDNNTQLIPFVTNGVGCGVELIRYTASSGPFEDACIELDSIKQTVNEEVPLTQTKHELSKSSCKHQEEMILGPGDENNRCEPVESKNELFWDKLHNQNQSPALEPFETFLWHRDKFFGNEHIKYFDNWYKHANIEYDVQKEQCVRQISNEQVKREAAAAQEESWEVNDWANHHFVRAPDRLFRSGFQQRKDALVAPAGEPYSGAM
+>sp|Q9H8H3|MET7A_HUMAN Methyltransferase-like protein 7A OS=Homo sapiens OX=9606 GN=METTL7A PE=1 SV=1
+MELTIFILRLAIYILTFPLYLLNFLGLWSWICKKWFPYFLVRFTVIYNEQMASKKRELFSNLQEFAGPSGKLSLLEVGCGTGANFKFYPPGCRVTCIDPNPNFEKFLIKSIAENRHLQFERFVVAAGENMHQVADGSVDVVVCTLVLCSVKNQERILREVCRVLRPGGAFYFMEHVAAECSTWNYFWQQVLDPAWHLLFDGCNLTRESWKALERASFSKLKLQHIQAPLSWELVRPHIYGYAVK
+>DECOY_sp|Q9H8H3|MET7A_HUMAN Methyltransferase-like protein 7A OS=Homo sapiens OX=9606 GN=METTL7A PE=1 SV=1
+KVAYGYIHPRVLEWSLPAQIHQLKLKSFSARELAKWSERTLNCGDFLLHWAPDLVQQWFYNWTSCEAAVHEMFYFAGGPRLVRCVERLIREQNKVSCLVLTCVVVDVSGDAVQHMNEGAAVVFREFQLHRNEAISKILFKEFNPNPDICTVRCGPPYFKFNAGTGCGVELLSLKGSPGAFEQLNSFLERKKSAMQENYIVTFRVLFYPFWKKCIWSWLGLFNLLYLPFTLIYIALRLIFITLEM
+>sp|Q9UJH8|METRN_HUMAN Meteorin OS=Homo sapiens OX=9606 GN=METRN PE=2 SV=2
+MGFPAAALLCALCCGLLAPAARAGYSEERCSWRGSGLTQEPGSVGQLALACAEGAVEWLYPAGALRLTLGGPDPRARPGIACLRPVRPFAGAQVFAERAGGALELLLAEGPGPAGGRCVRWGPRERRALFLQATPHQDISRRVAAFRFELREDGRPELPPQAHGLGVDGACRPCSDAELLLAACTSDFVIHGIIHGVTHDVELQESVITVVAARVLRQTPPLFQAGRSGDQGLTSIRTPLRCGVHPGPGTFLFMGWSRFGEARLGCAPRFQEFRRAYEAARAAHLHPCEVALH
+>DECOY_sp|Q9UJH8|METRN_HUMAN Meteorin OS=Homo sapiens OX=9606 GN=METRN PE=2 SV=2
+HLAVECPHLHAARAAEYARRFEQFRPACGLRAEGFRSWGMFLFTGPGPHVGCRLPTRISTLGQDGSRGAQFLPPTQRLVRAAVVTIVSEQLEVDHTVGHIIGHIVFDSTCAALLLEADSCPRCAGDVGLGHAQPPLEPRGDERLEFRFAAVRRSIDQHPTAQLFLARRERPGWRVCRGGAPGPGEALLLELAGGAREAFVQAGAFPRVPRLCAIGPRARPDPGGLTLRLAGAPYLWEVAGEACALALQGVSGPEQTLGSGRWSCREESYGARAAPALLGCCLACLLAAAPFGM
+>sp|Q14728|MFS10_HUMAN Major facilitator superfamily domain-containing protein 10 OS=Homo sapiens OX=9606 GN=MFSD10 PE=1 SV=1
+MGWGGGGGCTPRPPIHQQPPERRVVTVVFLGLLLDLLAFTLLLPLLPGLLESHGRAHDPLYGSWQGGVDWFATAIGMPVEKRYNSVLFGGLIGSAFSVLQFLCAPLTGATSDCLGRRPVMLLCLMGVATSYAVWATSRSFAAFLASRLIGGISKGNVSLSTAIVADLGSPLARSQGMAVIGVAFSLGFTLGPMLGASLPLEMAPWFALLFAASDLLFIFCFLPETLPLEKRAPSIALGFRDAADLLSPLALLRFSAVARGQDPPSGDRLSSLRRLGLVYFLYLFLFSGLEYTLSFLTHQRFQFSSLQQGKMFFLIGLTMATIQGAYARRIHPGGEVAAVKRALLLLVPAFLLIGWGRSLPVLGLGLLLYSFAAAVVVPCLSSVVAGYGSPGQKGTVMGTLRSLGALARAAGPLVAASVYWLAGAQACFTTWSGLFLLPFFLLQKLSYPAQTLKAE
+>DECOY_sp|Q14728|MFS10_HUMAN Major facilitator superfamily domain-containing protein 10 OS=Homo sapiens OX=9606 GN=MFSD10 PE=1 SV=1
+EAKLTQAPYSLKQLLFFPLLFLGSWTTFCAQAGALWYVSAAVLPGAARALAGLSRLTGMVTGKQGPSGYGAVVSSLCPVVVAAAFSYLLLGLGLVPLSRGWGILLFAPVLLLLARKVAAVEGGPHIRRAYAGQITAMTLGILFFMKGQQLSSFQFRQHTLFSLTYELGSFLFLYLFYVLGLRRLSSLRDGSPPDQGRAVASFRLLALPSLLDAADRFGLAISPARKELPLTEPLFCFIFLLDSAAFLLAFWPAMELPLSAGLMPGLTFGLSFAVGIVAMGQSRALPSGLDAVIATSLSVNGKSIGGILRSALFAAFSRSTAWVAYSTAVGMLCLLMVPRRGLCDSTAGTLPACLFQLVSFASGILGGFLVSNYRKEVPMGIATAFWDVGGQWSGYLPDHARGHSELLGPLLPLLLTFALLDLLLGLFVVTVVRREPPQQHIPPRPTCGGGGGWGM
+>sp|O43934|MFS11_HUMAN UNC93-like protein MFSD11 OS=Homo sapiens OX=9606 GN=MFSD11 PE=2 SV=2
+MSPESKKLFNIIILGVAFMFMFTAFQTCGNVAQTVIRSLNRTDFHGSGYTSMAIIYGVFSASNLITPSVVAIVGPQLSMFASGLFYSMYIAVFIQPFPWSFYTASVFIGIAAAVLWTAQGNCLTINSDEHSIGRNSGIFWALLQSSLFFGNLYIYFAWQGKTQISESDRRTVFIALTVISLVGTVLFFLIRKPDSENVLGEDESSDDQDMEVNESAQNNLTKAVDAFKKSFKLCVTKEMLLLSITTAYTGLELTFFSGVYGTCIGATNKFGAEEKSLIGLSGIFIGIGEILGGSLFGLLSKNNRFGRNPVVLLGILVHFIAFYLIFLNMPGDAPIAPVKGTDSSAYIKSSKEVAILCSFLLGLGDSCFNTQLLSILGFLYSEDSAPAFAIFKFVQSICAAVAFFYSNYLLLHWQLLVMVIFGFFGTISFFTVEWEAAAFVARGSDYRSI
+>DECOY_sp|O43934|MFS11_HUMAN UNC93-like protein MFSD11 OS=Homo sapiens OX=9606 GN=MFSD11 PE=2 SV=2
+ISRYDSGRAVFAAAEWEVTFFSITGFFGFIVMVLLQWHLLLYNSYFFAVAACISQVFKFIAFAPASDESYLFGLISLLQTNFCSDGLGLLFSCLIAVEKSSKIYASSDTGKVPAIPADGPMNLFILYFAIFHVLIGLLVVPNRGFRNNKSLLGFLSGGLIEGIGIFIGSLGILSKEEAGFKNTAGICTGYVGSFFTLELGTYATTISLLLMEKTVCLKFSKKFADVAKTLNNQASENVEMDQDDSSEDEGLVNESDPKRILFFLVTGVLSIVTLAIFVTRRDSESIQTKGQWAFYIYLNGFFLSSQLLAWFIGSNRGISHEDSNITLCNGQATWLVAAAIGIFVSATYFSWPFPQIFVAIYMSYFLGSAFMSLQPGVIAVVSPTILNSASFVGYIIAMSTYGSGHFDTRNLSRIVTQAVNGCTQFATFMFMFAVGLIIINFLKKSEPSM
+>sp|Q6NUT3|MFS12_HUMAN Major facilitator superfamily domain-containing protein 12 OS=Homo sapiens OX=9606 GN=MFSD12 PE=1 SV=2
+MGPGPPAAGAAPSPRPLSLVARLSYAVGHFLNDLCASMWFTYLLLYLHSVRAYSSRGAGLLLLLGQVADGLCTPLVGYEADRAASCCARYGPRKAWHLVGTVCVLLSFPFIFSPCLGCGAATPEWAALLYYGPFIVIFQFGWASTQISHLSLIPELVTNDHEKVELTALRYAFTVVANITVYGAAWLLLHLQGSSRVEPTQDISISDQLGGQDVPVFRNLSLLVVGVGAVFSLLFHLGTRERRRPHAEEPGEHTPLLAPATAQPLLLWKHWLREPAFYQVGILYMTTRLIVNLSQTYMAMYLTYSLHLPKKFIATIPLVMYLSGFLSSFLMKPINKCIGRNMTYFSGLLVILAFAAWVALAEGLGVAVYAAAVLLGAGCATILVTSLAMTADLIGPHTNSGAFVYGSMSFLDKVANGLAVMAIQSLHPCPSELCCRACVSFYHWAMVAVTGGVGVAAALCLCSLLLWPTRLRRWDRDARP
+>DECOY_sp|Q6NUT3|MFS12_HUMAN Major facilitator superfamily domain-containing protein 12 OS=Homo sapiens OX=9606 GN=MFSD12 PE=1 SV=2
+PRADRDWRRLRTPWLLLSCLCLAAAVGVGGTVAVMAWHYFSVCARCCLESPCPHLSQIAMVALGNAVKDLFSMSGYVFAGSNTHPGILDATMALSTVLITACGAGLLVAAAYVAVGLGEALAVWAAFALIVLLGSFYTMNRGICKNIPKMLFSSLFGSLYMVLPITAIFKKPLHLSYTLYMAMYTQSLNVILRTTMYLIGVQYFAPERLWHKWLLLPQATAPALLPTHEGPEEAHPRRRERTGLHFLLSFVAGVGVVLLSLNRFVPVDQGGLQDSISIDQTPEVRSSGQLHLLLWAAGYVTINAVVTFAYRLATLEVKEHDNTVLEPILSLHSIQTSAWGFQFIVIFPGYYLLAAWEPTAAGCGLCPSFIFPFSLLVCVTGVLHWAKRPGYRACCSAARDAEYGVLPTCLGDAVQGLLLLLGAGRSSYARVSHLYLLLYTFWMSACLDNLFHGVAYSLRAVLSLPRPSPAAGAAPPGPGM
+>sp|Q8IWD5|MFS6L_HUMAN Major facilitator superfamily domain-containing protein 6-like OS=Homo sapiens OX=9606 GN=MFSD6L PE=2 SV=2
+MSANPRWDISRALGVAKLFHLVCGVREACVTPFLTLYLRQLGLAAPWVGTLMGTKHLIAAFWAPVCAFLAKSYRKRRALLIGSLLGSVGASLLMVLVPPVDKNRVHFPCNGSSGLTSTDALPGVTLPVNITSAQESASSHPAKRTAEVEMPGFRNPPGESDRETFRDLHVYLAPSVEGARTTSQALLHPVTSGLKDHPWEVTFEVVKTALPLLPGGKGPGNPANLSGTKGKAWAFDLSLEALRRTFILSLGSVAFWELLTAPLEQVADDSLYEFLDFVDATDRYRSLWVWRLLGMSAGVCGITALVGQLDCFLMTSGPRGVVHFYGYSVVSTLALLVSIAFPIPICQQWEPSYKRVKALSIVGGDPHLILLASTTVLVGAIVSTVQNFLFWHMKDHGSGELVMGFSVALSLLGEILLHPFKATLLRKLSRTGLVGLGLSCLAGQLLYYSFLWSWWSVLPIQILSAISNRALWWAVGASVEDLATPRMERALSALFRGHFYGSGCSLGSFVGGFVVMRFSLAVLYQACCVALLLWLALLLSIQRRLPRERKIKYSKLLSMEVSDTSDSEQGTEQDWLVKAMREEHSD
+>DECOY_sp|Q8IWD5|MFS6L_HUMAN Major facilitator superfamily domain-containing protein 6-like OS=Homo sapiens OX=9606 GN=MFSD6L PE=2 SV=2
+DSHEERMAKVLWDQETGQESDSTDSVEMSLLKSYKIKRERPLRRQISLLLALWLLLAVCCAQYLVALSFRMVVFGGVFSGLSCGSGYFHGRFLASLAREMRPTALDEVSAGVAWWLARNSIASLIQIPLVSWWSWLFSYYLLQGALCSLGLGVLGTRSLKRLLTAKFPHLLIEGLLSLAVSFGMVLEGSGHDKMHWFLFNQVTSVIAGVLVTTSALLILHPDGGVISLAKVRKYSPEWQQCIPIPFAISVLLALTSVVSYGYFHVVGRPGSTMLFCDLQGVLATIGCVGASMGLLRWVWLSRYRDTADVFDLFEYLSDDAVQELPATLLEWFAVSGLSLIFTRRLAELSLDFAWAKGKTGSLNAPNGPGKGGPLLPLATKVVEFTVEWPHDKLGSTVPHLLAQSTTRAGEVSPALYVHLDRFTERDSEGPPNRFGPMEVEATRKAPHSSASEQASTINVPLTVGPLADTSTLGSSGNCPFHVRNKDVPPVLVMLLSAGVSGLLSGILLARRKRYSKALFACVPAWFAAILHKTGMLTGVWPAALGLQRLYLTLFPTVCAERVGCVLHFLKAVGLARSIDWRPNASM
+>sp|Q6N075|MFSD5_HUMAN Molybdate-anion transporter OS=Homo sapiens OX=9606 GN=MFSD5 PE=1 SV=2
+MLVTAYLAFVGLLASCLGLELSRCRAKPPGRACSNPSFLRFQLDFYQVYFLALAADWLQAPYLYKLYQHYYFLEGQIAILYVCGLASTVLFGLVASSLVDWLGRKNSCVLFSLTYSLCCLTKLSQDYFVLLVGRALGGLSTALLFSAFEAWYIHEHVERHDFPAEWIPATFARAAFWNHVLAVVAGVAAEAVASWIGLGPVAPFVAAIPLLALAGALALRNWGENYDRQRAFSRTCAGGLRCLLSDRRVLLLGTIQALFESVIFIFVFLWTPVLDPHGAPLGIIFSSFMAASLLGSSLYRIATSKRYHLQPMHLLSLAVLIVVFSLFMLTFSTSPGQESPVESFIAFLLIELACGLYFPSMSFLRRKVIPETEQAGVLNWFRVPLHSLACLGLLVLHDSDRKTGTRNMFSICSAVMVMALLAVVGLFTVVRHDAELRVPSPTEEPYAPEL
+>DECOY_sp|Q6N075|MFSD5_HUMAN Molybdate-anion transporter OS=Homo sapiens OX=9606 GN=MFSD5 PE=1 SV=2
+LEPAYPEETPSPVRLEADHRVVTFLGVVALLAMVMVASCISFMNRTGTKRDSDHLVLLGLCALSHLPVRFWNLVGAQETEPIVKRRLFSMSPFYLGCALEILLFAIFSEVPSEQGPSTSFTLMFLSFVVILVALSLLHMPQLHYRKSTAIRYLSSGLLSAAMFSSFIIGLPAGHPDLVPTWLFVFIFIVSEFLAQITGLLLVRRDSLLCRLGGACTRSFARQRDYNEGWNRLALAGALALLPIAAVFPAVPGLGIWSAVAEAAVGAVVALVHNWFAARAFTAPIWEAPFDHREVHEHIYWAEFASFLLATSLGGLARGVLLVFYDQSLKTLCCLSYTLSFLVCSNKRGLWDVLSSAVLGFLVTSALGCVYLIAIQGELFYYHQYLKYLYPAQLWDAALALFYVQYFDLQFRLFSPNSCARGPPKARCRSLELGLCSALLGVFALYATVLM
+>sp|Q9H2D1|MFTC_HUMAN Mitochondrial folate transporter/carrier OS=Homo sapiens OX=9606 GN=SLC25A32 PE=1 SV=2
+MTGQGQSASGSSAWSTVFRHVRYENLIAGVSGGVLSNLALHPLDLVKIRFAVSDGLELRPKYNGILHCLTTIWKLDGLRGLYQGVTPNIWGAGLSWGLYFFFYNAIKSYKTEGRAERLEATEYLVSAAEAGAMTLCITNPLWVTKTRLMLQYDAVVNSPHRQYKGMFDTLVKIYKYEGVRGLYKGFVPGLFGTSHGALQFMAYELLKLKYNQHINRLPEAQLSTVEYISVAALSKIFAVAATYPYQVVRARLQDQHMFYSGVIDVITKTWRKEGVGGFYKGIAPNLIRVTPACCITFVVYENVSHFLLDLREKRK
+>DECOY_sp|Q9H2D1|MFTC_HUMAN Mitochondrial folate transporter/carrier OS=Homo sapiens OX=9606 GN=SLC25A32 PE=1 SV=2
+KRKERLDLLFHSVNEYVVFTICCAPTVRILNPAIGKYFGGVGEKRWTKTIVDIVGSYFMHQDQLRARVVQYPYTAAVAFIKSLAAVSIYEVTSLQAEPLRNIHQNYKLKLLEYAMFQLAGHSTGFLGPVFGKYLGRVGEYKYIKVLTDFMGKYQRHPSNVVADYQLMLRTKTVWLPNTICLTMAGAEAASVLYETAELREARGETKYSKIANYFFFYLGWSLGAGWINPTVGQYLGRLGDLKWITTLCHLIGNYKPRLELGDSVAFRIKVLDLPHLALNSLVGGSVGAILNEYRVHRFVTSWASSGSASQGQGTM
+>sp|Q8IWI9|MGAP_HUMAN MAX gene-associated protein OS=Homo sapiens OX=9606 GN=MGA PE=1 SV=3
+MEEKQQIILANQDGGTVAGAAPTFFVILKQPGNGKTDQGILVTNQDACALASSVSSPVKSKGKICLPADCTVGGITVTLDNNSMWNEFYHRSTEMILTKQGRRMFPYCRYWITGLDSNLKYILVMDISPVDNHRYKWNGRWWEPSGKAEPHVLGRVFIHPESPSTGHYWMHQPVSFYKLKLTNNTLDQEGHIILHSMHRYLPRLHLVPAEKAVEVIQLNGPGVHTFTFPQTEFFAVTAYQNIQITQLKIDYNPFAKGFRDDGLNNKPQRDGKQKNSSDQEGNNISSSSGHRVRLTEGQGSEIQPGDLDPLSRGHETSGKGLEKTSLNIKRDFLGFMDTDSALSEVPQLKQEISECLIASSFEDDSRVASPLDQNGSFNVVIKEEPLDDYDYELGECPEGVTVKQEETDEETDVYSNSDDDPILEKQLKRHNKVDNPEADHLSSKWLPSSPSGVAKAKMFKLDTGKMPVVYLEPCAVTRSTVKISELPDNMLSTSRKDKSSMLAELEYLPTYIENSNETAFCLGKESENGLRKHSPDLRVVQKYPLLKEPQWKYPDISDSISTERILDDSKDSVGDSLSGKEDLGRKRTTMLKIATAAKVVNANQNASPNVPGKRGRPRKLKLCKAGRPPKNTGKSLISTKNTPVSPGSTFPDVKPDLEDVDGVLFVSFESKEALDIHAVDGTTEESSSLQASTTNDSGYRARISQLEKELIEDLKTLRHKQVIHPGLQEVGLKLNSVDPTMSIDLKYLGVQLPLAPATSFPFWNLTGTNPASPDAGFPFVSRTGKTNDFTKIKGWRGKFHSASASRNEGGNSESSLKNRSAFCSDKLDEYLENEGKLMETSMGFSSNAPTSPVVYQLPTKSTSYVRTLDSVLKKQSTISPSTSYSLKPHSVPPVSRKAKSQNRQATFSGRTKSSYKSILPYPVSPKQKYSHVILGDKVTKNSSGIISENQANNFVVPTLDENIFPKQISLRQAQQQQQQQQGSRPPGLSKSQVKLMDLEDCALWEGKPRTYITEERADVSLTTLLTAQASLKTKPIHTIIRKRAPPCNNDFCRLGCVCSSLALEKRQPAHCRRPDCMFGCTCLKRKVVLVKGGSKTKHFQRKAAHRDPVFYDTLGEEAREEEEGIREEEEQLKEKKKRKKLEYTICETEPEQPVRHYPLWVKVEGEVDPEPVYIPTPSVIEPMKPLLLPQPEVLSPTVKGKLLTGIKSPRSYTPKPNPVIREEDKDPVYLYFESMMTCARVRVYERKKEDQRQPSSSSSPSPSFQQQTSCHSSPENHNNAKEPDSEQQPLKQLTCDLEDDSDKLQEKSWKSSCNEGESSSTSYMHQRSPGGPTKLIEIISDCNWEEDRNKILSILSQHINSNMPQSLKVGSFIIELASQRKSRGEKNPPVYSSRVKISMPSCQDQDDMAEKSGSETPDGPLSPGKMEDISPVQTDALDSVRERLHGGKGLPFYAGLSPAGKLVAYKRKPSSSTSGLIQVASNAKVAASRKPRTLLPSTSNSKMASSSGTATNRPGKNLKAFVPAKRPIAARPSPGGVFTQFVMSKVGALQQKIPGVSTPQTLAGTQKFSIRPSPVMVVTPVVSSEPVQVCSPVTAAVTTTTPQVFLENTTAVTPMTAISDVETKETTYSSGATTTGVVEVSETNTSTSVTSTQSTATVNLTKTTGITTPVASVAFPKSLVASPSTITLPVASTASTSLVVVTAAASSSMVTTPTSSLGSVPIILSGINGSPPVSQRPENAAQIPVATPQVSPNTVKRAGPRLLHPNGQIVQLLPLHQLRGSNTQPNLQPVMFRNPGSVMGIRLPAPSKPSETPPSSTSSSAFSVMNPVIQAVGSSSAVNVITQAPSLLSSGASFVSQAGTLTLRISPPEPQSFASKTGSETKITYSSGGQPVGTASLIPLQSGSFALLQLPGQKPVPSSILQHVASLQMKRESQNPDQKDETNSIKREQETKKVLQSEGEAVDPEANVIKQNSGAATSEETLNDSLEDRGDHLDEECLPEEGCATVKPSEHSCITGSHTDQDYKDVNEEYGARNRKSSKEKVAVLEVRTISEKASNKTVQNLSKVQHQKLGDVKVEQQKGFDNPEENSSEFPVTFKEESKFELSGSKVMEQQSNLQPEAKEKECGDSLEKDRERWRKHLKGPLTRKCVGASQECKKEADEQLIKETKTCQENSDVFQQEQGISDLLGKSGITEDARVLKTECDSWSRISNPSAFSIVPRRAAKSSRGNGHFQGHLLLPGEQIQPKQEKKGGRSSADFTVLDLEEDDEDDNEKTDDSIDEIVDVVSDYQSEEVDDVEKNNCVEYIEDDEEHVDIETVEELSEEINVAHLKTTAAHTQSFKQPSCTHISADEKAAERSRKAPPIPLKLKPDYWSDKLQKEAEAFAYYRRTHTANERRRRGEMRDLFEKLKITLGLLHSSKVSKSLILTRAFSEIQGLTDQADKLIGQKNLLTRKRNILIRKVSSLSGKTEEVVLKKLEYIYAKQQALEAQKRKKKMGSDEFDISPRISKQQEGSSASSVDLGQMFINNRRGKPLILSRKKDQATENTSPLNTPHTSANLVMTPQGQLLTLKGPLFSGPVVAVSPDLLESDLKPQVAGSAVALPENDDLFMMPRIVNVTSLATEGGLVDMGGSKYPHEVPDSKPSDHLKDTVRNEDNSLEDKGRISSRGNRDGRVTLGPTQVFLANKDSGYPQIVDVSNMQKAQEFLPKKISGDMRGIQYKWKESESRGERVKSKDSSFHKLKMKDLKDSSIEMELRKVTSAIEEAALDSSELLTNMEDEDDTDETLTSLLNEIAFLNQQLNDDSVGLAELPSSMDTEFPGDARRAFISKVPPGSRATFQVEHLGTGLKELPDVQGESDSISPLLLHLEDDDFSENEKQLAEPASEPDVLKIVIDSEIKDSLLSNKKAIDGGKNTSGLPAEPESVSSPPTLHMKTGLENSNSTDTLWRPMPKLAPLGLKVANPSSDADGQSLKVMPCLAPIAAKVGSVGHKMNLTGNDQEGRESKVMPTLAPVVAKLGNSGASPSSAGK
+>DECOY_sp|Q8IWI9|MGAP_HUMAN MAX gene-associated protein OS=Homo sapiens OX=9606 GN=MGA PE=1 SV=3
+KGASSPSAGSNGLKAVVPALTPMVKSERGEQDNGTLNMKHGVSGVKAAIPALCPMVKLSQGDADSSPNAVKLGLPALKPMPRWLTDTSNSNELGTKMHLTPPSSVSEPEAPLGSTNKGGDIAKKNSLLSDKIESDIVIKLVDPESAPEALQKENESFDDDELHLLLPSISDSEGQVDPLEKLGTGLHEVQFTARSGPPVKSIFARRADGPFETDMSSPLEALGVSDDNLQQNLFAIENLLSTLTEDTDDEDEMNTLLESSDLAAEEIASTVKRLEMEISSDKLDKMKLKHFSSDKSKVREGRSESEKWKYQIGRMDGSIKKPLFEQAKQMNSVDVIQPYGSDKNALFVQTPGLTVRGDRNGRSSIRGKDELSNDENRVTDKLHDSPKSDPVEHPYKSGGMDVLGGETALSTVNVIRPMMFLDDNEPLAVASGAVQPKLDSELLDPSVAVVPGSFLPGKLTLLQGQPTMVLNASTHPTNLPSTNETAQDKKRSLILPKGRRNNIFMQGLDVSSASSGEQQKSIRPSIDFEDSGMKKKRKQAELAQQKAYIYELKKLVVEETKGSLSSVKRILINRKRTLLNKQGILKDAQDTLGQIESFARTLILSKSVKSSHLLGLTIKLKEFLDRMEGRRRRENATHTRRYYAFAEAEKQLKDSWYDPKLKLPIPPAKRSREAAKEDASIHTCSPQKFSQTHAATTKLHAVNIEESLEEVTEIDVHEEDDEIYEVCNNKEVDDVEESQYDSVVDVIEDISDDTKENDDEDDEELDLVTFDASSRGGKKEQKPQIQEGPLLLHGQFHGNGRSSKAARRPVISFASPNSIRSWSDCETKLVRADETIGSKGLLDSIGQEQQFVDSNEQCTKTEKILQEDAEKKCEQSAGVCKRTLPGKLHKRWRERDKELSDGCEKEKAEPQLNSQQEMVKSGSLEFKSEEKFTVPFESSNEEPNDFGKQQEVKVDGLKQHQVKSLNQVTKNSAKESITRVELVAVKEKSSKRNRAGYEENVDKYDQDTHSGTICSHESPKVTACGEEPLCEEDLHDGRDELSDNLTEESTAAGSNQKIVNAEPDVAEGESQLVKKTEQERKISNTEDKQDPNQSERKMQLSAVHQLISSPVPKQGPLQLLAFSGSQLPILSATGVPQGGSSYTIKTESGTKSAFSQPEPPSIRLTLTGAQSVFSAGSSLLSPAQTIVNVASSSGVAQIVPNMVSFASSSTSSPPTESPKSPAPLRIGMVSGPNRFMVPQLNPQTNSGRLQHLPLLQVIQGNPHLLRPGARKVTNPSVQPTAVPIQAANEPRQSVPPSGNIGSLIIPVSGLSSTPTTVMSSSAAATVVVLSTSATSAVPLTITSPSAVLSKPFAVSAVPTTIGTTKTLNVTATSQTSTVSTSTNTESVEVVGTTTAGSSYTTEKTEVDSIATMPTVATTNELFVQPTTTTVAATVPSCVQVPESSVVPTVVMVPSPRISFKQTGALTQPTSVGPIKQQLAGVKSMVFQTFVGGPSPRAAIPRKAPVFAKLNKGPRNTATGSSSAMKSNSTSPLLTRPKRSAAVKANSAVQILGSTSSSPKRKYAVLKGAPSLGAYFPLGKGGHLRERVSDLADTQVPSIDEMKGPSLPGDPTESGSKEAMDDQDQCSPMSIKVRSSYVPPNKEGRSKRQSALEIIFSGVKLSQPMNSNIHQSLISLIKNRDEEWNCDSIIEILKTPGGPSRQHMYSTSSSEGENCSSKWSKEQLKDSDDELDCTLQKLPQQESDPEKANNHNEPSSHCSTQQQFSPSPSSSSSPQRQDEKKREYVRVRACTMMSEFYLYVPDKDEERIVPNPKPTYSRPSKIGTLLKGKVTPSLVEPQPLLLPKMPEIVSPTPIYVPEPDVEGEVKVWLPYHRVPQEPETECITYELKKRKKKEKLQEEEERIGEEEERAEEGLTDYFVPDRHAAKRQFHKTKSGGKVLVVKRKLCTCGFMCDPRRCHAPQRKELALSSCVCGLRCFDNNCPPARKRIITHIPKTKLSAQATLLTTLSVDAREETIYTRPKGEWLACDELDMLKVQSKSLGPPRSGQQQQQQQQAQRLSIQKPFINEDLTPVVFNNAQNESIIGSSNKTVKDGLIVHSYKQKPSVPYPLISKYSSKTRGSFTAQRNQSKAKRSVPPVSHPKLSYSTSPSITSQKKLVSDLTRVYSTSKTPLQYVVPSTPANSSFGMSTEMLKGENELYEDLKDSCFASRNKLSSESNGGENRSASASHFKGRWGKIKTFDNTKGTRSVFPFGADPSAPNTGTLNWFPFSTAPALPLQVGLYKLDISMTPDVSNLKLGVEQLGPHIVQKHRLTKLDEILEKELQSIRARYGSDNTTSAQLSSSEETTGDVAHIDLAEKSEFSVFLVGDVDELDPKVDPFTSGPSVPTNKTSILSKGTNKPPRGAKCLKLKRPRGRKGPVNPSANQNANVVKAATAIKLMTTRKRGLDEKGSLSDGVSDKSDDLIRETSISDSIDPYKWQPEKLLPYKQVVRLDPSHKRLGNESEKGLCFATENSNEIYTPLYELEALMSSKDKRSTSLMNDPLESIKVTSRTVACPELYVVPMKGTDLKFMKAKAVGSPSSPLWKSSLHDAEPNDVKNHRKLQKELIPDDDSNSYVDTEEDTEEQKVTVGEPCEGLEYDYDDLPEEKIVVNFSGNQDLPSAVRSDDEFSSAILCESIEQKLQPVESLASDTDMFGLFDRKINLSTKELGKGSTEHGRSLPDLDGPQIESGQGETLRVRHGSSSSINNGEQDSSNKQKGDRQPKNNLGDDRFGKAFPNYDIKLQTIQINQYATVAFFETQPFTFTHVGPGNLQIVEVAKEAPVLHLRPLYRHMSHLIIHGEQDLTNNTLKLKYFSVPQHMWYHGTSPSEPHIFVRGLVHPEAKGSPEWWRGNWKYRHNDVPSIDMVLIYKLNSDLGTIWYRCYPFMRRGQKTLIMETSRHYFENWMSNNDLTVTIGGVTCDAPLCIKGKSKVPSSVSSALACADQNTVLIGQDTKGNGPQKLIVFFTPAAGAVTGGDQNALIIQQKEEM
+>sp|O43451|MGA_HUMAN Maltase-glucoamylase, intestinal OS=Homo sapiens OX=9606 GN=MGAM PE=1 SV=5
+MARKKLKKFTTLEIVLSVLLLVLFIISIVLIVLLAKESLKSTAPDPGTTGTPDPGTTGTPDPGTTGTTHARTTGPPDPGTTGTTPVSAECPVVNELERINCIPDQPPTKATCDQRGCCWNPQGAVSVPWCYYSKNHSYHVEGNLVNTNAGFTARLKNLPSSPVFGSNVDNVLLTAEYQTSNRFHFKLTDQTNNRFEVPHEHVQSFSGNAAASLTYQVEISRQPFSIKVTRRSNNRVLFDSSIGPLLFADQFLQLSTRLPSTNVYGLGEHVHQQYRHDMNWKTWPIFNRDTTPNGNGTNLYGAQTFFLCLEDASGLSFGVFLMNSNAMEVVLQPAPAITYRTIGGILDFYVFLGNTPEQVVQEYLELIGRPALPSYWALGFHLSRYEYGTLDNMREVVERNRAAQLPYDVQHADIDYMDERRDFTYDSVDFKGFPEFVNELHNNGQKLVIIVDPAISNNSSSSKPYGPYDRGSDMKIWVNSSDGVTPLIGEVWPGQTVFPDYTNPNCAVWWTKEFELFHNQVEFDGIWIDMNEVSNFVDGSVSGCSTNNLNNPPFTPRILDGYLFCKTLCMDAVQHWGKQYDIHNLYGYSMAVATAEAAKTVFPNKRSFILTRSTFAGSGKFAAHWLGDNTATWDDLRWSIPGVLEFNLFGIPMVGPDICGFALDTPEELCRRWMQLGAFYPFSRNHNGQGYKDQDPASFGADSLLLNSSRHYLNIRYTLLPYLYTLFFRAHSRGDTVARPLLHEFYEDNSTWDVHQQFLWGPGLLITPVLDEGAEKVMAYVPDAVWYDYETGSQVRWRKQKVEMELPGDKIGLHLRGGYIFPTQQPNTTTLASRKNPLGLIIALDENKEAKGELFWDNGETKDTVANKVYLLCEFSVTQNRLEVNISQSTYKDPNNLAFNEIKILGTEEPSNVTVKHNGVPSQTSPTVTYDSNLKVAIITDIDLLLGEAYTVEWSIKIRDEEKIDCYPDENGASAENCTARGCIWEASNSSGVPFCYFVNDLYSVSDVQYNSHGATADISLKSSVYANAFPSTPVNPLRLDVTYHKNEMLQFKIYDPNKNRYEVPVPLNIPSMPSSTPEGQLYDVLIKKNPFGIEIRRKSTGTIIWDSQLLGFTFSDMFIRISTRLPSKYLYGFGETEHRSYRRDLEWHTWGMFSRDQPPGYKKNSYGVHPYYMGLEEDGSAHGVLLLNSNAMDVTFQPLPALTYRTTGGVLDFYVFLGPTPELVTQQYTELIGRPVMVPYWSLGFQLCRYGYQNDSEIASLYDEMVAAQIPYDVQYSDIDYMERQLDFTLSPKFAGFPALINRMKADGMRVILILDPAISGNETQPYPAFTRGVEDDVFIKYPNDGDIVWGKVWPDFPDVVVNGSLDWDSQVELYRAYVAFPDFFRNSTAKWWKREIEELYNNPQNPERSLKFDGMWIDMNEPSSFVNGAVSPGCRDASLNHPPYMPHLESRDRGLSSKTLCMESQQILPDGSLVQHYNVHNLYGWSQTRPTYEAVQEVTGQRGVVITRSTFPSSGRWAGHWLGDNTAAWDQLKKSIIGMMEFSLFGISYTGADICGFFQDAEYEMCVRWMQLGAFYPFSRNHNTIGTRRQDPVSWDVAFVNISRTVLQTRYTLLPYLYTLMHKAHTEGVTVVRPLLHEFVSDQVTWDIDSQFLLGPAFLVSPVLERNARNVTAYFPRARWYDYYTGVDINARGEWKTLPAPLDHINLHVRGGYILPWQEPALNTHLSRQKFMGFKIALDDEGTAGGWLFWDDGQSIDTYGKGLYYLASFSASQNTMQSHIIFNNYITGTNPLKLGYIEIWGVGSVPVTSVSISVSGMVITPSFNNDPTTQVLSIDVTDRNISLHNFTSLTWISTL
+>DECOY_sp|O43451|MGA_HUMAN Maltase-glucoamylase, intestinal OS=Homo sapiens OX=9606 GN=MGAM PE=1 SV=5
+LTSIWTLSTFNHLSINRDTVDISLVQTTPDNNFSPTIVMGSVSISVSTVPVSGVGWIEIYGLKLPNTGTIYNNFIIHSQMTNQSASFSALYYLGKGYTDISQGDDWFLWGGATGEDDLAIKFGMFKQRSLHTNLAPEQWPLIYGGRVHLNIHDLPAPLTKWEGRANIDVGTYYDYWRARPFYATVNRANRELVPSVLFAPGLLFQSDIDWTVQDSVFEHLLPRVVTVGETHAKHMLTYLYPLLTYRTQLVTRSINVFAVDWSVPDQRRTGITNHNRSFPYFAGLQMWRVCMEYEADQFFGCIDAGTYSIGFLSFEMMGIISKKLQDWAATNDGLWHGAWRGSSPFTSRTIVVGRQGTVEQVAEYTPRTQSWGYLNHVNYHQVLSGDPLIQQSEMCLTKSSLGRDRSELHPMYPPHNLSADRCGPSVAGNVFSSPENMDIWMGDFKLSREPNQPNNYLEEIERKWWKATSNRFFDPFAVYARYLEVQSDWDLSGNVVVDPFDPWVKGWVIDGDNPYKIFVDDEVGRTFAPYPQTENGSIAPDLILIVRMGDAKMRNILAPFGAFKPSLTFDLQREMYDIDSYQVDYPIQAAVMEDYLSAIESDNQYGYRCLQFGLSWYPVMVPRGILETYQQTVLEPTPGLFVYFDLVGGTTRYTLAPLPQFTVDMANSNLLLVGHASGDEELGMYYPHVGYSNKKYGPPQDRSFMGWTHWELDRRYSRHETEGFGYLYKSPLRTSIRIFMDSFTFGLLQSDWIITGTSKRRIEIGFPNKKILVDYLQGEPTSSPMSPINLPVPVEYRNKNPDYIKFQLMENKHYTVDLRLPNVPTSPFANAYVSSKLSIDATAGHSNYQVDSVSYLDNVFYCFPVGSSNSAEWICGRATCNEASAGNEDPYCDIKEEDRIKISWEVTYAEGLLLDIDTIIAVKLNSDYTVTPSTQSPVGNHKVTVNSPEETGLIKIENFALNNPDKYTSQSINVELRNQTVSFECLLYVKNAVTDKTEGNDWFLEGKAEKNEDLAIILGLPNKRSALTTTNPQQTPFIYGGRLHLGIKDGPLEMEVKQKRWRVQSGTEYDYWVADPVYAMVKEAGEDLVPTILLGPGWLFQQHVDWTSNDEYFEHLLPRAVTDGRSHARFFLTYLYPLLTYRINLYHRSSNLLLSDAGFSAPDQDKYGQGNHNRSFPYFAGLQMWRRCLEEPTDLAFGCIDPGVMPIGFLNFELVGPISWRLDDWTATNDGLWHAAFKGSGAFTSRTLIFSRKNPFVTKAAEATAVAMSYGYLNHIDYQKGWHQVADMCLTKCFLYGDLIRPTFPPNNLNNTSCGSVSGDVFNSVENMDIWIGDFEVQNHFLEFEKTWWVACNPNTYDPFVTQGPWVEGILPTVGDSSNVWIKMDSGRDYPGYPKSSSSNNSIAPDVIIVLKQGNNHLENVFEPFGKFDVSDYTFDRREDMYDIDAHQVDYPLQAARNREVVERMNDLTGYEYRSLHFGLAWYSPLAPRGILELYEQVVQEPTNGLFVYFDLIGGITRYTIAPAPQLVVEMANSNMLFVGFSLGSADELCLFFTQAGYLNTGNGNPTTDRNFIPWTKWNMDHRYQQHVHEGLGYVNTSPLRTSLQLFQDAFLLPGISSDFLVRNNSRRTVKISFPQRSIEVQYTLSAAANGSFSQVHEHPVEFRNNTQDTLKFHFRNSTQYEATLLVNDVNSGFVPSSPLNKLRATFGANTNVLNGEVHYSHNKSYYCWPVSVAGQPNWCCGRQDCTAKTPPQDPICNIRELENVVPCEASVPTTGTTGPDPPGTTRAHTTGTTGPDPTGTTGPDPTGTTGPDPATSKLSEKALLVILVISIIFLVLLLVSLVIELTTFKKLKKRAM
+>sp|Q99685|MGLL_HUMAN Monoglyceride lipase OS=Homo sapiens OX=9606 GN=MGLL PE=1 SV=2
+MPEESSPRRTPQSIPYQDLPHLVNADGQYLFCRYWKPTGTPKALIFVSHGAGEHSGRYEELARMLMGLDLLVFAHDHVGHGQSEGERMVVSDFHVFVRDVLQHVDSMQKDYPGLPVFLLGHSMGGAIAILTAAERPGHFAGMVLISPLVLANPESATTFKVLAAKVLNLVLPNLSLGPIDSSVLSRNKTEVDIYNSDPLICRAGLKVCFGIQLLNAVSRVERALPKLTVPFLLLQGSADRLCDSKGAYLLMELAKSQDKTLKIYEGAYHVLHKELPEVTNSVFHEINMWVSQRTATAGTASPP
+>DECOY_sp|Q99685|MGLL_HUMAN Monoglyceride lipase OS=Homo sapiens OX=9606 GN=MGLL PE=1 SV=2
+PPSATGATATRQSVWMNIEHFVSNTVEPLEKHLVHYAGEYIKLTKDQSKALEMLLYAGKSDCLRDASGQLLLFPVTLKPLAREVRSVANLLQIGFCVKLGARCILPDSNYIDVETKNRSLVSSDIPGLSLNPLVLNLVKAALVKFTTASEPNALVLPSILVMGAFHGPREAATLIAIAGGMSHGLLFVPLGPYDKQMSDVHQLVDRVFVHFDSVVMREGESQGHGVHDHAFVLLDLGMLMRALEEYRGSHEGAGHSVFILAKPTGTPKWYRCFLYQGDANVLHPLDQYPISQPTRRPSSEEPM
+>sp|Q96DY7|MTBP_HUMAN Mdm2-binding protein OS=Homo sapiens OX=9606 GN=MTBP PE=1 SV=1
+MDRYLLLVIWGEGKFPSAASREAEHGPEVSSGEGTENQPDFTAANVYHLLKRSISASINPEDSTFPACSVGGIPGSKKWFFAVQAIYGFYQFCSSDWQEIHFDTEKDKIEDVLQTNIEECLGAVECFEEEDSNSRESLSLADLYEEAAENLHQLSDKLPAPGRAMVDIILLLSDKDPPKLKDYLPTVGALKHLREWYSAKITIAGNHCEINCQKIAEYLSANVVSLEDLRNVIDSKELWRGKIQIWERKFGFEISFPEFCLKGVTLKNFSTSNLNTDFLAKKIIPSKDKNILPKVFHYYGPALEFVQMIKLSDLPSCYMSDIEFELGLTNSTKQNSVLLLEQISSLCSKVGALFVLPCTISNILIPPPNQLSSRKWKEYIAKKPKTISVPDVEVKGECSSYYLLLQGNGNRRCKATLIHSANQINGSFALNLIHGKMKTKTEEAKLSFPFDLLSLPHFSGEQIVQREKQLANVQVLALEECLKRRKLAKQPETVSVAELKSLLVLTRKHFLDYFDAVIPKMILRKMDKIKTFNILNDFSPVEPNSSSLMETNPLEWPERHVLQNLETFEKTKQKMRTGSLPHSSEQLLGHKEGPRDSITLLDAKELLKYFTSDGLPIGDLQPLPIQKGEKTFVLTPELSPGKLQVLPFEKASVCHYHGIEYCLDDRKALERDGGFSELQSRLIRYETQTTCTRESFPVPTVLSPLPSPVVSSDPGSVPDGEVLQNELRTEVSRLKRRSKDLNCLYPRKRLVKSESSESLLSQTTGNSNHYHHHVTSRKPQTERSLPVTCPLVPIPSCETPKLATKTSSGQKSMHESKTSRQIKESRSQKHTRILKEVVTETLKKHSITETHECFTACSQRLFEISKFYLKDLKTSRGLFEEMKKTANNNAVQVIDWVLEKTSKK
+>DECOY_sp|Q96DY7|MTBP_HUMAN Mdm2-binding protein OS=Homo sapiens OX=9606 GN=MTBP PE=1 SV=1
+KKSTKELVWDIVQVANNNATKKMEEFLGRSTKLDKLYFKSIEFLRQSCATFCEHTETISHKKLTETVVEKLIRTHKQSRSEKIQRSTKSEHMSKQGSSTKTALKPTECSPIPVLPCTVPLSRETQPKRSTVHHHYHNSNGTTQSLLSESSESKVLRKRPYLCNLDKSRRKLRSVETRLENQLVEGDPVSGPDSSVVPSPLPSLVTPVPFSERTCTTQTEYRILRSQLESFGGDRELAKRDDLCYEIGHYHCVSAKEFPLVQLKGPSLEPTLVFTKEGKQIPLPQLDGIPLGDSTFYKLLEKADLLTISDRPGEKHGLLQESSHPLSGTRMKQKTKEFTELNQLVHREPWELPNTEMLSSSNPEVPSFDNLINFTKIKDMKRLIMKPIVADFYDLFHKRTLVLLSKLEAVSVTEPQKALKRRKLCEELALVQVNALQKERQVIQEGSFHPLSLLDFPFSLKAEETKTKMKGHILNLAFSGNIQNASHILTAKCRRNGNGQLLLYYSSCEGKVEVDPVSITKPKKAIYEKWKRSSLQNPPPILINSITCPLVFLAGVKSCLSSIQELLLVSNQKTSNTLGLEFEIDSMYCSPLDSLKIMQVFELAPGYYHFVKPLINKDKSPIIKKALFDTNLNSTSFNKLTVGKLCFEPFSIEFGFKREWIQIKGRWLEKSDIVNRLDELSVVNASLYEAIKQCNIECHNGAITIKASYWERLHKLAGVTPLYDKLKPPDKDSLLLIIDVMARGPAPLKDSLQHLNEAAEEYLDALSLSERSNSDEEEFCEVAGLCEEINTQLVDEIKDKETDFHIEQWDSSCFQYFGYIAQVAFFWKKSGPIGGVSCAPFTSDEPNISASISRKLLHYVNAATFDPQNETGEGSSVEPGHEAERSAASPFKGEGWIVLLLYRDM
+>sp|O43193|MTLR_HUMAN Motilin receptor OS=Homo sapiens OX=9606 GN=MLNR PE=2 SV=1
+MGSPWNGSDGPEGAREPPWPALPPCDERRCSPFPLGALVPVTAVCLCLFVVGVSGNVVTVMLIGRYRDMRTTTNLYLGSMAVSDLLILLGLPFDLYRLWRSRPWVFGPLLCRLSLYVGEGCTYATLLHMTALSVERYLAICRPLRARVLVTRRRVRALIAVLWAVALLSAGPFLFLVGVEQDPGISVVPGLNGTARIASSPLASSPPLWLSRAPPPSPPSGPETAEAAALFSRECRPSPAQLGALRVMLWVTTAYFFLPFLCLSILYGLIGRELWSSRRPLRGPAASGRERGHRQTVRVLLVVVLAFIICWLPFHVGRIIYINTEDSRMMYFSQYFNIVALQLFYLSASINPILYNLISKKYRAAAFKLLLARKSRPRGFHRSRDTAGEVAGDTGGDTVGYTETSANVKTMG
+>DECOY_sp|O43193|MTLR_HUMAN Motilin receptor OS=Homo sapiens OX=9606 GN=MLNR PE=2 SV=1
+GMTKVNASTETYGVTDGGTDGAVEGATDRSRHFGRPRSKRALLLKFAAARYKKSILNYLIPNISASLYFLQLAVINFYQSFYMMRSDETNIYIIRGVHFPLWCIIFALVVVLLVRVTQRHGRERGSAAPGRLPRRSSWLERGILGYLISLCLFPLFFYATTVWLMVRLAGLQAPSPRCERSFLAAAEATEPGSPPSPPPARSLWLPPSSALPSSAIRATGNLGPVVSIGPDQEVGVLFLFPGASLLAVAWLVAILARVRRRTVLVRARLPRCIALYREVSLATMHLLTAYTCGEGVYLSLRCLLPGFVWPRSRWLRYLDFPLGLLILLDSVAMSGLYLNTTTRMDRYRGILMVTVVNGSVGVVFLCLCVATVPVLAGLPFPSCRREDCPPLAPWPPERAGEPGDSGNWPSGM
+>sp|O95248|MTMR5_HUMAN Myotubularin-related protein 5 OS=Homo sapiens OX=9606 GN=SBF1 PE=1 SV=4
+MARLADYFVLVAFGPHPRGSGEGQGQILQRFPEKDWEDNPFPQGIELFCQPSGWQLCPERNPPTFFVAVLTDINSERHYCACLTFWEPAEPSQQETTRVEDATEREEEGDEGGQTHLSPTAPAPSAQLFAPKTLVLVSRLDHTEVFRNSLGLIYAIHVEGLNVCLENVIGNLLTCTVPLAGGSQRTISLGAGDRQVIQTPLADSLPVSRCSVALLFRQLGITNVLSLFCAALTEHKVLFLSRSYQRLADACRGLLALLFPLRYSFTYVPILPAQLLEVLSTPTPFIIGVNAAFQAETQELLDVIVADLDGGTVTIPECVHIPPLPEPLQSQTHSVLSMVLDPELELADLAFPPPTTSTSSLKMQDKELRAVFLRLFAQLLQGYRWCLHVVRIHPEPVIRFHKAAFLGQRGLVEDDFLMKVLEGMAFAGFVSERGVPYRPTDLFDELVAHEVARMRADENHPQRVLRHVQELAEQLYKNENPYPAVAMHKVQRPGESSHLRRVPRPFPRLDEGTVQWIVDQAAAKMQGAPPAVKAERRTTVPSGPPMTAILERCSGLHVNSARRLEVVRNCISYVFEGKMLEAKKLLPAVLRALKGRAARRCLAQELHLHVQQNRAVLDHQQFDFVVRMMNCCLQDCTSLDEHGIAAALLPLVTAFCRKLSPGVTQFAYSCVQEHVVWSTPQFWEAMFYGDVQTHIRALYLEPTEDLAPAQEVGEAPSQEDERSALDVASEQRRLWPTLSREKQQELVQKEESTVFSQAIHYANRMSYLLLPLDSSKSRLLRERAGLGDLESASNSLVTNSMAGSVAESYDTESGFEDAETCDVAGAVVRFINRFVDKVCTESGVTSDHLKGLHVMVPDIVQMHIETLEAVQRESRRLPPIQKPKLLRPRLLPGEECVLDGLRVYLLPDGREEGAGGSAGGPALLPAEGAVFLTTYRVIFTGMPTDPLVGEQVVVRSFPVAALTKEKRISVQTPVDQLLQDGLQLRSCTFQLLKMAFDEEVGSDSAELFRKQLHKLRYPPDIRATFAFTLGSAHTPGRPPRVTKDKGPSLRTLSRNLVKNAKKTIGRQHVTRKKYNPPSWEHRGQPPPEDQEDEISVSEELEPSTLTPSSALKPSDRMTMSSLVERACCRDYQRLGLGTLSSSLSRAKSEPFRISPVNRMYAICRSYPGLLIVPQSVQDNALQRVSRCYRQNRFPVVCWRSGRSKAVLLRSGGLHGKGVVGLFKAQNAPSPGQSQADSSSLEQEKYLQAVVSSMPRYADASGRNTLSGFSSAHMGSHGKWGSVRTSGRSSGLGTDVGSRLAGRDALAPPQANGGPPDPGFLRPQRAALYILGDKAQLKGVRSDPLQQWELVPIEVFEARQVKASFKKLLKACVPGCPAAEPSPASFLRSLEDSEWLIQIHKLLQVSVLVVELLDSGSSVLVGLEDGWDITTQVVSLVQLLSDPFYRTLEGFRLLVEKEWLSFGHRFSHRGAHTLAGQSSGFTPVFLQFLDCVHQVHLQFPMEFEFSQFYLKFLGYHHVSRRFRTFLLDSDYERIELGLLYEEKGERRGQVPCRSVWEYVDRLSKRTPVFHNYMYAPEDAEVLRPYSNVSNLKVWDFYTEETLAEGPPYDWELAQGPPEPPEEERSDGGAPQSRRRVVWPCYDSCPRAQPDAISRLLEELQRLETELGQPAERWKDTWDRVKAAQRLEGRPDGRGTPSSLLVSTAPHHRRSLGVYLQEGPVGSTLSLSLDSDQSSGSTTSGSRQAARRSTSTLYSQFQTAESENRSYEGTLYKKGAFMKPWKARWFVLDKTKHQLRYYDHRVDTECKGVIDLAEVEAVAPGTPTMGAPKTVDEKAFFDVKTTRRVYNFCAQDVPSAQQWVDRIQSCLSDA
+>DECOY_sp|O95248|MTMR5_HUMAN Myotubularin-related protein 5 OS=Homo sapiens OX=9606 GN=SBF1 PE=1 SV=4
+ADSLCSQIRDVWQQASPVDQACFNYVRRTTKVDFFAKEDVTKPAGMTPTGPAVAEVEALDIVGKCETDVRHDYYRLQHKTKDLVFWRAKWPKMFAGKKYLTGEYSRNESEATQFQSYLTSTSRRAAQRSGSTTSGSSQDSDLSLSLTSGVPGEQLYVGLSRRHHPATSVLLSSPTGRGDPRGELRQAAKVRDWTDKWREAPQGLETELRQLEELLRSIADPQARPCSDYCPWVVRRRSQPAGGDSREEEPPEPPGQALEWDYPPGEALTEETYFDWVKLNSVNSYPRLVEADEPAYMYNHFVPTRKSLRDVYEWVSRCPVQGRREGKEEYLLGLEIREYDSDLLFTRFRRSVHHYGLFKLYFQSFEFEMPFQLHVQHVCDLFQLFVPTFGSSQGALTHAGRHSFRHGFSLWEKEVLLRFGELTRYFPDSLLQVLSVVQTTIDWGDELGVLVSSGSDLLEVVLVSVQLLKHIQILWESDELSRLFSAPSPEAAPCGPVCAKLLKKFSAKVQRAEFVEIPVLEWQQLPDSRVGKLQAKDGLIYLAARQPRLFGPDPPGGNAQPPALADRGALRSGVDTGLGSSRGSTRVSGWKGHSGMHASSFGSLTNRGSADAYRPMSSVVAQLYKEQELSSSDAQSQGPSPANQAKFLGVVGKGHLGGSRLLVAKSRGSRWCVVPFRNQRYCRSVRQLANDQVSQPVILLGPYSRCIAYMRNVPSIRFPESKARSLSSSLTGLGLRQYDRCCAREVLSSMTMRDSPKLASSPTLTSPELEESVSIEDEQDEPPPQGRHEWSPPNYKKRTVHQRGITKKANKVLNRSLTRLSPGKDKTVRPPRGPTHASGLTFAFTARIDPPYRLKHLQKRFLEASDSGVEEDFAMKLLQFTCSRLQLGDQLLQDVPTQVSIRKEKTLAAVPFSRVVVQEGVLPDTPMGTFIVRYTTLFVAGEAPLLAPGGASGGAGEERGDPLLYVRLGDLVCEEGPLLRPRLLKPKQIPPLRRSERQVAELTEIHMQVIDPVMVHLGKLHDSTVGSETCVKDVFRNIFRVVAGAVDCTEADEFGSETDYSEAVSGAMSNTVLSNSASELDGLGARERLLRSKSSDLPLLLYSMRNAYHIAQSFVTSEEKQVLEQQKERSLTPWLRRQESAVDLASREDEQSPAEGVEQAPALDETPELYLARIHTQVDGYFMAEWFQPTSWVVHEQVCSYAFQTVGPSLKRCFATVLPLLAAAIGHEDLSTCDQLCCNMMRVVFDFQQHDLVARNQQVHLHLEQALCRRAARGKLARLVAPLLKKAELMKGEFVYSICNRVVELRRASNVHLGSCRELIATMPPGSPVTTRREAKVAPPAGQMKAAAQDVIWQVTGEDLRPFPRPVRRLHSSEGPRQVKHMAVAPYPNENKYLQEALEQVHRLVRQPHNEDARMRAVEHAVLEDFLDTPRYPVGRESVFGAFAMGELVKMLFDDEVLGRQGLFAAKHFRIVPEPHIRVVHLCWRYGQLLQAFLRLFVARLEKDQMKLSSTSTTPPPFALDALELEPDLVMSLVSHTQSQLPEPLPPIHVCEPITVTGGDLDAVIVDLLEQTEAQFAANVGIIFPTPTSLVELLQAPLIPVYTFSYRLPFLLALLGRCADALRQYSRSLFLVKHETLAACFLSLVNTIGLQRFLLAVSCRSVPLSDALPTQIVQRDGAGLSITRQSGGALPVTCTLLNGIVNELCVNLGEVHIAYILGLSNRFVETHDLRSVLVLTKPAFLQASPAPATPSLHTQGGEDGEEERETADEVRTTEQQSPEAPEWFTLCACYHRESNIDTLVAVFFTPPNREPCLQWGSPQCFLEIGQPFPNDEWDKEPFRQLIQGQGEGSGRPHPGFAVLVFYDALRAM
+>sp|Q9Y216|MTMR7_HUMAN Myotubularin-related protein 7 OS=Homo sapiens OX=9606 GN=MTMR7 PE=1 SV=3
+MEHIRTPKVENVRLVDRVSPKKAALGTLYLTATHVIFVENSPDPRKETWILHSQISTIEKQATTATGCPLLIRCKNFQIIQLIIPQERDCHDVYISLIRLARPVKYEELYCFSFNPMLDKEEREQGWVLIDLSEEYTRMGLPNHYWQLSDVNRDYRVCDSYPTELYVPKSATAHIIVGSSKFRSRRRFPVLSYYYKDNHASICRSSQPLSGFSARCLEDEQMLQAIRKANPGSDFVYVVDTRPKLNAMANRAAGKGYENEDNYSNIKFQFIGIENIHVMRNSLQKMLEVCELKSPSMSDFLWGLENSGWLRHIKAIMDAGIFIAKAVSEEGASVLVHCSDGWDRTAQVCSVASLLLDPHYRTLKGFMVLIEKDWISFGHKFNHRYGNLDGDPKEISPVIDQFIECVWQLMEQFPCAFEFNERFLIHIQHHIYSCQFGNFLCNSQKERRELKIQERTYSLWAHLWKNRADYLNPLFRADHSQTQGTLHLPTTPCNFMYKFWSGMYNRFEKGMQPRQSVTDYLMAVKEETQQLEEELEALEERLEKIQKVQLNCTKVKSKQSEPSKHSGFSTSDNSIANTPQDYSGNMKSFPSRSPSQGDEDSALILTQDNLKSSDPDLSANSDQESGVEDLSCRSPSGGEHAPSEDSGKDRDSDEAVFLTA
+>DECOY_sp|Q9Y216|MTMR7_HUMAN Myotubularin-related protein 7 OS=Homo sapiens OX=9606 GN=MTMR7 PE=1 SV=3
+ATLFVAEDSDRDKGSDESPAHEGGSPSRCSLDEVGSEQDSNASLDPDSSKLNDQTLILASDEDGQSPSRSPFSKMNGSYDQPTNAISNDSTSFGSHKSPESQKSKVKTCNLQVKQIKELREELAELEEELQQTEEKVAMLYDTVSQRPQMGKEFRNYMGSWFKYMFNCPTTPLHLTGQTQSHDARFLPNLYDARNKWLHAWLSYTREQIKLERREKQSNCLFNGFQCSYIHHQIHILFRENFEFACPFQEMLQWVCEIFQDIVPSIEKPDGDLNGYRHNFKHGFSIWDKEILVMFGKLTRYHPDLLLSAVSCVQATRDWGDSCHVLVSAGEESVAKAIFIGADMIAKIHRLWGSNELGWLFDSMSPSKLECVELMKQLSNRMVHINEIGIFQFKINSYNDENEYGKGAARNAMANLKPRTDVVYVFDSGPNAKRIAQLMQEDELCRASFGSLPQSSRCISAHNDKYYYSLVPFRRRSRFKSSGVIIHATASKPVYLETPYSDCVRYDRNVDSLQWYHNPLGMRTYEESLDILVWGQEREEKDLMPNFSFCYLEEYKVPRALRILSIYVDHCDREQPIILQIIQFNKCRILLPCGTATTAQKEITSIQSHLIWTEKRPDPSNEVFIVHTATLYLTGLAAKKPSVRDVLRVNEVKPTRIHEM
+>sp|Q8NCE2|MTMRE_HUMAN Myotubularin-related protein 14 OS=Homo sapiens OX=9606 GN=MTMR14 PE=1 SV=2
+MAGARAAAAAASAGSSASSGNQPPQELGLGELLEEFSRTQYRAKDGSGTGGSKVERIEKRCLELFGRDYCFSVIPNTNGDICGHYPRHIVFLEYESSEKEKDTFESTVQVSKLQDLIHRSKMARCRGRFVCPVILFKGKHICRSATLAGWGELYGRSGYNYFFSGGADDAWADVEDVTEEDCALRSGDTHLFDKVRGYDIKLLRYLSVKYICDLMVENKKVKFGMNVTSSEKVDKAQRYADFTLLSIPYPGCEFFKEYKDRDYMAEGLIFNWKQDYVDAPLSIPDFLTHSLNIDWSQYQCWDLVQQTQNYLKLLLSLVNSDDDSGLLVHCISGWDRTPLFISLLRLSLWADGLIHTSLKPTEILYLTVAYDWFLFGHMLVDRLSKGEEIFFFCFNFLKHITSEEFSALKTQRRKSLPARDGGFTLEDICMLRRKDRGSTTSLGSDFSLVMESSPGATGSFTYEAVELVPAGAPTQAAWRKSHSSSPQSVLWNRPQPSEDRLPSQQGLAEARSSSSSSSNHSDNFFRMGSSPLEVPKPRSVDHPLPGSSLSTDYGSWQMVTGCGSIQERAVLHTDSSLPFSFPDELPNSCLLAALSDRETRLQEVRSAFLAAYSSTVGLRAVAPSPSGAIGGLLEQFARGVGLRSISSNAL
+>DECOY_sp|Q8NCE2|MTMRE_HUMAN Myotubularin-related protein 14 OS=Homo sapiens OX=9606 GN=MTMR14 PE=1 SV=2
+LANSSISRLGVGRAFQELLGGIAGSPSPAVARLGVTSSYAALFASRVEQLRTERDSLAALLCSNPLEDPFSFPLSSDTHLVAREQISGCGTVMQWSGYDTSLSSGPLPHDVSRPKPVELPSSGMRFFNDSHNSSSSSSSRAEALGQQSPLRDESPQPRNWLVSQPSSSHSKRWAAQTPAGAPVLEVAEYTFSGTAGPSSEMVLSFDSGLSTTSGRDKRRLMCIDELTFGGDRAPLSKRRQTKLASFEESTIHKLFNFCFFFIEEGKSLRDVLMHGFLFWDYAVTLYLIETPKLSTHILGDAWLSLRLLSIFLPTRDWGSICHVLLGSDDDSNVLSLLLKLYNQTQQVLDWCQYQSWDINLSHTLFDPISLPADVYDQKWNFILGEAMYDRDKYEKFFECGPYPISLLTFDAYRQAKDVKESSTVNMGFKVKKNEVMLDCIYKVSLYRLLKIDYGRVKDFLHTDGSRLACDEETVDEVDAWADDAGGSFFYNYGSRGYLEGWGALTASRCIHKGKFLIVPCVFRGRCRAMKSRHILDQLKSVQVTSEFTDKEKESSEYELFVIHRPYHGCIDGNTNPIVSFCYDRGFLELCRKEIREVKSGGTGSGDKARYQTRSFEELLEGLGLEQPPQNGSSASSGASAAAAAARAGAM
+>sp|P49286|MTR1B_HUMAN Melatonin receptor type 1B OS=Homo sapiens OX=9606 GN=MTNR1B PE=1 SV=1
+MSENGSFANCCEAGGWAVRPGWSGAGSARPSRTPRPPWVAPALSAVLIVTTAVDVVGNLLVILSVLRNRKLRNAGNLFLVSLALADLVVAFYPYPLILVAIFYDGWALGEEHCKASAFVMGLSVIGSVFNITAIAINRYCYICHSMAYHRIYRRWHTPLHICLIWLLTVVALLPNFFVGSLEYDPRIYSCTFIQTASTQYTAAVVVIHFLLPIAVVSFCYLRIWVLVLQARRKAKPESRLCLKPSDLRSFLTMFVVFVIFAICWAPLNCIGLAVAINPQEMAPQIPEGLFVTSYLLAYFNSCLNAIVYGLLNQNFRREYKRILLALWNPRHCIQDASKGSHAEGLQSPAPPIIGVQHQADAL
+>DECOY_sp|P49286|MTR1B_HUMAN Melatonin receptor type 1B OS=Homo sapiens OX=9606 GN=MTNR1B PE=1 SV=1
+LADAQHQVGIIPPAPSQLGEAHSGKSADQICHRPNWLALLIRKYERRFNQNLLGYVIANLCSNFYALLYSTVFLGEPIQPAMEQPNIAVALGICNLPAWCIAFIVFVVFMTLFSRLDSPKLCLRSEPKAKRRAQLVLVWIRLYCFSVVAIPLLFHIVVVAATYQTSATQIFTCSYIRPDYELSGVFFNPLLAVVTLLWILCIHLPTHWRRYIRHYAMSHCIYCYRNIAIATINFVSGIVSLGMVFASAKCHEEGLAWGDYFIAVLILPYPYFAVVLDALALSVLFLNGANRLKRNRLVSLIVLLNGVVDVATTVILVASLAPAVWPPRPTRSPRASGAGSWGPRVAWGGAECCNAFSGNESM
+>sp|Q13585|MTR1L_HUMAN Melatonin-related receptor OS=Homo sapiens OX=9606 GN=GPR50 PE=1 SV=3
+MGPTLAVPTPYGCIGCKLPQPEYPPALIIFMFCAMVITIVVDLIGNSMVILAVTKNKKLRNSGNIFVVSLSVADMLVAIYPYPLMLHAMSIGGWDLSQLQCQMVGFITGLSVVGSIFNIVAIAINRYCYICHSLQYERIFSVRNTCIYLVITWIMTVLAVLPNMYIGTIEYDPRTYTCIFNYLNNPVFTVTIVCIHFVLPLLIVGFCYVRIWTKVLAARDPAGQNPDNQLAEVRNFLTMFVIFLLFAVCWCPINVLTVLVAVSPKEMAGKIPNWLYLAAYFIAYFNSCLNAVIYGLLNENFRREYWTIFHAMRHPIIFFSGLISDIREMQEARTLARARAHARDQAREQDRAHACPAVEETPMNVRNVPLPGDAAAGHPDRASGHPKPHSRSSSAYRKSASTHHKSVFSHSKAASGHLKPVSGHSKPASGHPKSATVYPKPASVHFKADSVHFKGDSVHFKPDSVHFKPASSNPKPITGHHVSAGSHSKSAFSAATSHPKPTTGHIKPATSHAEPTTADYPKPATTSHPKPTAADNPELSASHCPEIPAIAHPVSDDSDLPESASSPAAGPTKPAASQLESDTIADLPDPTVVTTSTNDYHDVVVIDVEDDPDEMAV
+>DECOY_sp|Q13585|MTR1L_HUMAN Melatonin-related receptor OS=Homo sapiens OX=9606 GN=GPR50 PE=1 SV=3
+VAMEDPDDEVDIVVVDHYDNTSTTVVTPDPLDAITDSELQSAAPKTPGAAPSSASEPLDSDDSVPHAIAPIEPCHSASLEPNDAATPKPHSTTAPKPYDATTPEAHSTAPKIHGTTPKPHSTAASFASKSHSGASVHHGTIPKPNSSAPKFHVSDPKFHVSDGKFHVSDAKFHVSAPKPYVTASKPHGSAPKSHGSVPKLHGSAAKSHSFVSKHHTSASKRYASSSRSHPKPHGSARDPHGAAADGPLPVNRVNMPTEEVAPCAHARDQERAQDRAHARARALTRAEQMERIDSILGSFFIIPHRMAHFITWYERRFNENLLGYIVANLCSNFYAIFYAALYLWNPIKGAMEKPSVAVLVTLVNIPCWCVAFLLFIVFMTLFNRVEALQNDPNQGAPDRAALVKTWIRVYCFGVILLPLVFHICVITVTFVPNNLYNFICTYTRPDYEITGIYMNPLVALVTMIWTIVLYICTNRVSFIREYQLSHCIYCYRNIAIAVINFISGVVSLGTIFGVMQCQLQSLDWGGISMAHLMLPYPYIAVLMDAVSLSVVFINGSNRLKKNKTVALIVMSNGILDVVITIVMACFMFIILAPPYEPQPLKCGICGYPTPVALTPGM
+>sp|Q5HYI7|MTX3_HUMAN Metaxin-3 OS=Homo sapiens OX=9606 GN=MTX3 PE=1 SV=2
+MAAPLELSCWGGGWGLPSVHSESLVVMAYAKFSGAPLKVNVIDNTWRGSRGDVPILTTEDDMVSQPAKILNFLRKQKYNADYELSAKQGADTLAYIALLEEKLLPAVLHTFWVESDNYFTVTKPWFASQIPFPLSLILPGRMSKGALNRILLTRGQPPLYHLREVEAQIYRDAKECLNLLSNRLGTSQFFFGDTPSTLDAYVFGFLAPLYKVRFPKVQLQEHLKQLSNLCRFCDDILSSYFRLSLGGISPAGQETVDANLQKLTQLVNKESNLIEKMDDNLRQSPQLPPRKLPTLKLTPAEEENNSFQRLSP
+>DECOY_sp|Q5HYI7|MTX3_HUMAN Metaxin-3 OS=Homo sapiens OX=9606 GN=MTX3 PE=1 SV=2
+PSLRQFSNNEEEAPTLKLTPLKRPPLQPSQRLNDDMKEILNSEKNVLQTLKQLNADVTEQGAPSIGGLSLRFYSSLIDDCFRCLNSLQKLHEQLQVKPFRVKYLPALFGFVYADLTSPTDGFFFQSTGLRNSLLNLCEKADRYIQAEVERLHYLPPQGRTLLIRNLAGKSMRGPLILSLPFPIQSAFWPKTVTFYNDSEVWFTHLVAPLLKEELLAIYALTDAGQKASLEYDANYKQKRLFNLIKAPQSVMDDETTLIPVDGRSGRWTNDIVNVKLPAGSFKAYAMVVLSESHVSPLGWGGGWCSLELPAAM
+>sp|Q9ULC0|MUCEN_HUMAN Endomucin OS=Homo sapiens OX=9606 GN=EMCN PE=1 SV=2
+MELLQVTILFLLPSICSSNSTGVLEAANNSLVVTTTKPSITTPNTESLQKNVVTPTTGTTPKGTITNELLKMSLMSTATFLTSKDEGLKATTTDVRKNDSIISNVTVTSVTLPNAVSTLQSSKPKTETQSSIKTTEIPGSVLQPDASPSKTGTLTSIPVTIPENTSQSQVIGTEGGKNASTSATSRSYSSIILPVVIALIVITLSVFVLVGLYRMCWKADPGTPENGNDQPQSDKESVKLLTVKTISHESGEHSAQGKTKN
+>DECOY_sp|Q9ULC0|MUCEN_HUMAN Endomucin OS=Homo sapiens OX=9606 GN=EMCN PE=1 SV=2
+NKTKGQASHEGSEHSITKVTLLKVSEKDSQPQDNGNEPTGPDAKWCMRYLGVLVFVSLTIVILAIVVPLIISSYSRSTASTSANKGGETGIVQSQSTNEPITVPISTLTGTKSPSADPQLVSGPIETTKISSQTETKPKSSQLTSVANPLTVSTVTVNSIISDNKRVDTTTAKLGEDKSTLFTATSMLSMKLLENTITGKPTTGTTPTVVNKQLSETNPTTISPKTTTVVLSNNAAELVGTSNSSCISPLLFLITVQLLEM
+>sp|Q96NY9|MUS81_HUMAN Crossover junction endonuclease MUS81 OS=Homo sapiens OX=9606 GN=MUS81 PE=1 SV=3
+MAAPVRLGRKRPLPACPNPLFVRWLTEWRDEATRSRRRTRFVFQKALRSLRRYPLPLRSGKEAKILQHFGDGLCRMLDERLQRHRTSGGDHAPDSPSGENSPAPQGRLAEVQDSSMPVPAQPKAGGSGSYWPARHSGARVILLVLYREHLNPNGHHFLTKEELLQRCAQKSPRVAPGSARPWPALRSLLHRNLVLRTHQPARYSLTPEGLELAQKLAESEGLSLLNVGIGPKEPPGEETAVPGAASAELASEAGVQQQPLELRPGEYRVLLCVDIGETRGGGHRPELLRELQRLHVTHTVRKLHVGDFVWVAQETNPRDPANPGELVLDHIVERKRLDDLCSSIIDGRFREQKFRLKRCGLERRVYLVEEHGSVHNLSLPESTLLQAVTNTQVIDGFFVKRTADIKESAAYLALLTRGLQRLYQGHTLRSRPWGTPGNPESGAMTSPNPLCSLLTFSDFNAGAIKNKAQSVREVFARQLMQVRGVSGEKAAALVDRYSTPASLLAAYDACATPKEQETLLSTIKCGRLQRNLGPALSRTLSQLYCSYGPLT
+>DECOY_sp|Q96NY9|MUS81_HUMAN Crossover junction endonuclease MUS81 OS=Homo sapiens OX=9606 GN=MUS81 PE=1 SV=3
+TLPGYSCYLQSLTRSLAPGLNRQLRGCKITSLLTEQEKPTACADYAALLSAPTSYRDVLAAAKEGSVGRVQMLQRAFVERVSQAKNKIAGANFDSFTLLSCLPNPSTMAGSEPNGPTGWPRSRLTHGQYLRQLGRTLLALYAASEKIDATRKVFFGDIVQTNTVAQLLTSEPLSLNHVSGHEEVLYVRRELGCRKLRFKQERFRGDIISSCLDDLRKREVIHDLVLEGPNAPDRPNTEQAVWVFDGVHLKRVTHTVHLRQLERLLEPRHGGGRTEGIDVCLLVRYEGPRLELPQQQVGAESALEASAAGPVATEEGPPEKPGIGVNLLSLGESEALKQALELGEPTLSYRAPQHTRLVLNRHLLSRLAPWPRASGPAVRPSKQACRQLLEEKTLFHHGNPNLHERYLVLLIVRAGSHRAPWYSGSGGAKPQAPVPMSSDQVEALRGQPAPSNEGSPSDPAHDGGSTRHRQLREDLMRCLGDGFHQLIKAEKGSRLPLPYRRLSRLAKQFVFRTRRRSRTAEDRWETLWRVFLPNPCAPLPRKRGLRVPAAM
+>sp|Q8N9H8|MUT7_HUMAN Exonuclease mut-7 homolog OS=Homo sapiens OX=9606 GN=EXD3 PE=2 SV=3
+MDPGDPAGDPAAGERHRMGRDPLLLLQALQTLWSTRERKQLREEAWRGFAALDDPLAGLLDMLESCRGQRGEGPSLAAWISHQLQCWLQAQPCPSLAQHSLRLKQLQARAVKVLTESPPSLAAPLASIFQLQDADRSCLLAHVHRLHHEGRFREAATLGATLKLQSELGVEKMSIPLLLQDKVALVERYVAGFPDLQRRLLVLMDSWCQPGFDIKDVARRYPEVTSLSLEKLSPKALSRQVLRLQERYGVAPALCPNAAIQQRLAALRHLCHKRFVEKSLSQENWTDHVQGLVGQSPWLQEQLSQLLVSHSDPVTAAQCAMELLLPEERLPAAVAVELRRFRLQGRATEADSRLEVKDMKDRYYQLPIPRENVHLLASWEDLTRHEGALLQCHQVVGVDVEWTPVFVAGGRPRPSLLQVAVEGHVFLLDVLALSQPPTGQGAQAFSRLVAQLLSDPSITKLGYGMVGDLQKLGTSCPALAHVEKQILGGMDLLLVHRQMRVASVPAPAVDRARELRGLSLLVQQVLGTALDKTQQLSNWDRRPLCEEQVIYAAADAYCLLEVHQALCREPARFHLSEDLAGSRRPRHRERPGARKPPGLQKASAPAAPRQVPVAVAVSEGAAPQIPARAFRVVCDNMLQGLARSLRCLGVDARMLGNGEDHRRAAEVARQEGRIILTSGQPFHKLRAQVGAGRCLSVDCSLKAQQQAKAVLKHFNVRVTHADIFSRCQACNCDQYLKVSRDMMKQLMWLSSHQEGPRSSGDEATQSQAVQEPGPAPDAAPEGCTYDRPCRWLQMADLRAETPDMLADGTRLQLAGVPVGVLRTPGLRCFYCCTGCGKVFWDGSHLGRVATHFRDMLESAPSPCEPSPAPSPASSPF
+>DECOY_sp|Q8N9H8|MUT7_HUMAN Exonuclease mut-7 homolog OS=Homo sapiens OX=9606 GN=EXD3 PE=2 SV=3
+FPSSAPSPAPSPECPSPASELMDRFHTAVRGLHSGDWFVKGCGTCCYFCRLGPTRLVGVPVGALQLRTGDALMDPTEARLDAMQLWRCPRDYTCGEPAADPAPGPEQVAQSQTAEDGSSRPGEQHSSLWMLQKMMDRSVKLYQDCNCAQCRSFIDAHTVRVNFHKLVAKAQQQAKLSCDVSLCRGAGVQARLKHFPQGSTLIIRGEQRAVEAARRHDEGNGLMRADVGLCRLSRALGQLMNDCVVRFARAPIQPAAGESVAVAVPVQRPAAPASAKQLGPPKRAGPRERHRPRRSGALDESLHFRAPERCLAQHVELLCYADAAAYIVQEECLPRRDWNSLQQTKDLATGLVQQVLLSLGRLERARDVAPAPVSAVRMQRHVLLLDMGGLIQKEVHALAPCSTGLKQLDGVMGYGLKTISPDSLLQAVLRSFAQAGQGTPPQSLALVDLLFVHGEVAVQLLSPRPRGGAVFVPTWEVDVGVVQHCQLLAGEHRTLDEWSALLHVNERPIPLQYYRDKMDKVELRSDAETARGQLRFRRLEVAVAAPLREEPLLLEMACQAATVPDSHSVLLQSLQEQLWPSQGVLGQVHDTWNEQSLSKEVFRKHCLHRLAALRQQIAANPCLAPAVGYREQLRLVQRSLAKPSLKELSLSTVEPYRRAVDKIDFGPQCWSDMLVLLRRQLDPFGAVYREVLAVKDQLLLPISMKEVGLESQLKLTAGLTAAERFRGEHHLRHVHALLCSRDADQLQFISALPAALSPPSETLVKVARAQLQKLRLSHQALSPCPQAQLWCQLQHSIWAALSPGEGRQGRCSELMDLLGALPDDLAAFGRWAEERLQKRERTSWLTQLAQLLLLPDRGMRHREGAAPDGAPDGPDM
+>sp|P22033|MUTA_HUMAN Methylmalonyl-CoA mutase, mitochondrial OS=Homo sapiens OX=9606 GN=MUT PE=1 SV=4
+MLRAKNQLFLLSPHYLRQVKESSGSRLIQQRLLHQQQPLHPEWAALAKKQLKGKNPEDLIWHTPEGISIKPLYSKRDTMDLPEELPGVKPFTRGPYPTMYTFRPWTIRQYAGFSTVEESNKFYKDNIKAGQQGLSVAFDLATHRGYDSDNPRVRGDVGMAGVAIDTVEDTKILFDGIPLEKMSVSMTMNGAVIPVLANFIVTGEEQGVPKEKLTGTIQNDILKEFMVRNTYIFPPEPSMKIIADIFEYTAKHMPKFNSISISGYHMQEAGADAILELAYTLADGLEYSRTGLQAGLTIDEFAPRLSFFWGIGMNFYMEIAKMRAGRRLWAHLIEKMFQPKNSKSLLLRAHCQTSGWSLTEQDPYNNIVRTAIEAMAAVFGGTQSLHTNSFDEALGLPTVKSARIARNTQIIIQEESGIPKVADPWGGSYMMECLTNDVYDAALKLINEIEEMGGMAKAVAEGIPKLRIEECAARRQARIDSGSEVIVGVNKYQLEKEDAVEVLAIDNTSVRNRQIEKLKKIKSSRDQALAERCLAALTECAASGDGNILALAVDASRARCTVGEITDALKKVFGEHKANDRMVSGAYRQEFGESKEITSAIKRVHKFMEREGRRPRLLVAKMGQDGHDRGAKVIATGFADLGFDVDIGPLFQTPREVAQQAVDADVHAVGISTLAAGHKTLVPELIKELNSLGRPDILVMCGGVIPPQDYEFLFEVGVSNVFGPGTRIPKAAVQVLDDIEKCLEKKQQSV
+>DECOY_sp|P22033|MUTA_HUMAN Methylmalonyl-CoA mutase, mitochondrial OS=Homo sapiens OX=9606 GN=MUT PE=1 SV=4
+VSQQKKELCKEIDDLVQVAAKPIRTGPGFVNSVGVEFLFEYDQPPIVGGCMVLIDPRGLSNLEKILEPVLTKHGAALTSIGVAHVDADVAQQAVERPTQFLPGIDVDFGLDAFGTAIVKAGRDHGDQGMKAVLLRPRRGEREMFKHVRKIASTIEKSEGFEQRYAGSVMRDNAKHEGFVKKLADTIEGVTCRARSADVALALINGDGSAACETLAALCREALAQDRSSKIKKLKEIQRNRVSTNDIALVEVADEKELQYKNVGVIVESGSDIRAQRRAACEEIRLKPIGEAVAKAMGGMEEIENILKLAADYVDNTLCEMMYSGGWPDAVKPIGSEEQIIIQTNRAIRASKVTPLGLAEDFSNTHLSQTGGFVAAMAEIATRVINNYPDQETLSWGSTQCHARLLLSKSNKPQFMKEILHAWLRRGARMKAIEMYFNMGIGWFFSLRPAFEDITLGAQLGTRSYELGDALTYALELIADAGAEQMHYGSISISNFKPMHKATYEFIDAIIKMSPEPPFIYTNRVMFEKLIDNQITGTLKEKPVGQEEGTVIFNALVPIVAGNMTMSVSMKELPIGDFLIKTDEVTDIAVGAMGVDGRVRPNDSDYGRHTALDFAVSLGQQGAKINDKYFKNSEEVTSFGAYQRITWPRFTYMTPYPGRTFPKVGPLEEPLDMTDRKSYLPKISIGEPTHWILDEPNKGKLQKKALAAWEPHLPQQQHLLRQQILRSGSSEKVQRLYHPSLLFLQNKARLM
+>sp|P01106|MYC_HUMAN Myc proto-oncogene protein OS=Homo sapiens OX=9606 GN=MYC PE=1 SV=1
+MPLNVSFTNRNYDLDYDSVQPYFYCDEEENFYQQQQQSELQPPAPSEDIWKKFELLPTPPLSPSRRSGLCSPSYVAVTPFSLRGDNDGGGGSFSTADQLEMVTELLGGDMVNQSFICDPDDETFIKNIIIQDCMWSGFSAAAKLVSEKLASYQAARKDSGSPNPARGHSVCSTSSLYLQDLSAAASECIDPSVVFPYPLNDSSSPKSCASQDSSAFSPSSDSLLSSTESSPQGSPEPLVLHEETPPTTSSDSEEEQEDEEEIDVVSVEKRQAPGKRSESGSPSAGGHSKPPHSPLVLKRCHVSTHQHNYAAPPSTRKDYPAAKRVKLDSVRVLRQISNNRKCTSPRSSDTEENVKRRTHNVLERQRRNELKRSFFALRDQIPELENNEKAPKVVILKKATAYILSVQAEEQKLISEEDLLRKRREQLKHKLEQLRNSCA
+>DECOY_sp|P01106|MYC_HUMAN Myc proto-oncogene protein OS=Homo sapiens OX=9606 GN=MYC PE=1 SV=1
+ACSNRLQELKHKLQERRKRLLDEESILKQEEAQVSLIYATAKKLIVVKPAKENNELEPIQDRLAFFSRKLENRRQRELVNHTRRKVNEETDSSRPSTCKRNNSIQRLVRVSDLKVRKAAPYDKRTSPPAAYNHQHTSVHCRKLVLPSHPPKSHGGASPSGSESRKGPAQRKEVSVVDIEEEDEQEEESDSSTTPPTEEHLVLPEPSGQPSSETSSLLSDSSPSFASSDQSACSKPSSSDNLPYPFVVSPDICESAAASLDQLYLSSTSCVSHGRAPNPSGSDKRAAQYSALKESVLKAAASFGSWMCDQIIINKIFTEDDPDCIFSQNVMDGGLLETVMELQDATSFSGGGGDNDGRLSFPTVAVYSPSCLGSRRSPSLPPTPLLEFKKWIDESPAPPQLESQQQQQYFNEEEDCYFYPQVSDYDLDYNRNTFSVNLPM
+>sp|Q9H6N6|MYH16_HUMAN Putative uncharacterized protein MYH16 OS=Homo sapiens OX=9606 GN=MYH16 PE=1 SV=2
+MGLKVIQQNVHKFLQLRFWGWWKLYNKVKPLLNVARQEEEMKAKEEELRKAMAQTQELVNKVKELEEKTATLSQEKNDLTIQLQAEQENLMDAEERLTWMMKTKMDLESQISDMRERLEEEEGMAASLSAAKRKLEGELSDLKRDLEGLETTLAKTEKEKQALDHKVRTLTGDLSLREDSITKLQKEKRALEELHQKTLDDLQAEEDKVNHLTKNNSKLSTQIHELEDNWEQEKKIRAEVEKARRKAESDLKMTIDNLNEMERSKLDLEEVVKKRDLEINSVNSKYEDEQSLNSTLQRKLKEHQDRIEELEEELEAERAMRAKIEQNRKREAELLKLRRELEEAALQSEATASTLRKKHVDSMAELTEHVESLQRVKSKLEKDKQVMKAEIDDLNASMETIQKSKMNAEAHVRKLEDSLSEANAKVAELERNQAEINAIRTRLQAENSELSREYEESQSRLNQILRIKTSLTSQVDDYKRQLDEESKSRSTAVVSLANTKHDLDLVKEQLEEEQGGKSELQRLVSKLNTEVTTWRTKYETDAIQRTEELEETKRKLAARLQEAEEAAETAQARAASLEKNKQRLQAEVEDLTIDLEKANAAAAALDKKQRLFDKMLAEWQQKCEELQVEVDSSQKECRMYMTESFKIKTAYEESLEHLESVKKENKTLQEEIKDLIDQLGEGGRSVHELQKLKKKLEMEKEELQVALEEAESSLEVEESKVIRIQLELAQVKADIDRRIHEKEEEFEATRKNHQRAIESLQASLEAEAKGRAEALRLKKKMETDLNEMEIQLDHANKNNSELVKTLKRLQQQIKDLQVQMDEDARQHEELRKQYNLQERRLSLLQTELEEVRSALEGSERSRKLLEQEVVEITEWHNEINIQNQSLLVVKRKLESDVQRISNEHEELISEFRLTEERAKKAMMDAARMAEELRQEQDHCMHLEKIKKNYEVTIKDLQAKMEEAEQLALKGGKRTIMKLEARIKELETELDGEQKQHVETVKTLCKNERRLKELVFQTEEDHKTNQRMQALVEKLQNKLKVYKRQIEEAEDQANQTLARYRKTVHELDDAEDRAGMAETALNKLRTRHRVAGKGITSV
+>DECOY_sp|Q9H6N6|MYH16_HUMAN Putative uncharacterized protein MYH16 OS=Homo sapiens OX=9606 GN=MYH16 PE=1 SV=2
+VSTIGKGAVRHRTRLKNLATEAMGARDEADDLEHVTKRYRALTQNAQDEAEEIQRKYVKLKNQLKEVLAQMRQNTKHDEETQFVLEKLRRENKCLTKVTEVHQKQEGDLETELEKIRAELKMITRKGGKLALQEAEEMKAQLDKITVEYNKKIKELHMCHDQEQRLEEAMRAADMMAKKAREETLRFESILEEHENSIRQVDSELKRKVVLLSQNQINIENHWETIEVVEQELLKRSRESGELASRVEELETQLLSLRREQLNYQKRLEEHQRADEDMQVQLDKIQQQLRKLTKVLESNNKNAHDLQIEMENLDTEMKKKLRLAEARGKAEAELSAQLSEIARQHNKRTAEFEEEKEHIRRDIDAKVQALELQIRIVKSEEVELSSEAEELAVQLEEKEMELKKKLKQLEHVSRGGEGLQDILDKIEEQLTKNEKKVSELHELSEEYATKIKFSETMYMRCEKQSSDVEVQLEECKQQWEALMKDFLRQKKDLAAAAANAKELDITLDEVEAQLRQKNKELSAARAQATEAAEEAEQLRAALKRKTEELEETRQIADTEYKTRWTTVETNLKSVLRQLESKGGQEEELQEKVLDLDHKTNALSVVATSRSKSEEDLQRKYDDVQSTLSTKIRLIQNLRSQSEEYERSLESNEAQLRTRIANIEAQNRELEAVKANAESLSDELKRVHAEANMKSKQITEMSANLDDIEAKMVQKDKELKSKVRQLSEVHETLEAMSDVHKKRLTSATAESQLAAEELERRLKLLEAERKRNQEIKARMAREAELEEELEEIRDQHEKLKRQLTSNLSQEDEYKSNVSNIELDRKKVVEELDLKSREMENLNDITMKLDSEAKRRAKEVEARIKKEQEWNDELEHIQTSLKSNNKTLHNVKDEEAQLDDLTKQHLEELARKEKQLKTISDERLSLDGTLTRVKHDLAQKEKETKALTTELGELDRKLDSLEGELKRKAASLSAAMGEEEELRERMDSIQSELDMKTKMMWTLREEADMLNEQEAQLQITLDNKEQSLTATKEELEKVKNVLEQTQAMAKRLEEEKAKMEEEQRAVNLLPKVKNYLKWWGWFRLQLFKHVNQQIVKLGM
+>sp|A7E2Y1|MYH7B_HUMAN Myosin-7B OS=Homo sapiens OX=9606 GN=MYH7B PE=1 SV=4
+MSGNKRGSRASCPHRGAECLLPWAALNLQGFQLLLLHPSATAMMDVSELGESARYLRQGYQEMTKVHTIPWDGKKRVWVPDEQDAYVEAEVKSEATGGRVTVETKDQKVLMVREAELQPMNPPRFDLLEDMAMMTHLNEASVLHNLRQRYARWMIYTYSGLFCVTINPYKWLPVYTASVVAAYKGKRRSDSPPHIYAVADNAYNDMLRNRDNQSMLITGESGAGKTVNTKRVIQYFAIVAALGDGPGKKAQFLATKTGGTLEDQIIEANPAMEAFGNAKTLRNDNSSRFGKFIRIHFGPSGKLASADIDSYLLEKSRVIFQLPGERSYHVYYQILSGRKPELQDMLLLSMNPYDYHFCSQGVITVDNMNDGEELIATDHAMDILGFSVDEKCACYKIVGALLHFGNMKFKQKQREEQAEADGTESADKAAYLMGVSSGDLLKGLLHPRVRVGNEYVTKGQSVEQVVFAVGALAKATYDRLFRWLVSRINQTLDTKLPRQFFIGVLDIAGFEIFEFNSFEQLCINFTNEKLQQFFNQHMFVLEQEEYKREGIDWVFIDFGLDLQPCIDLIEKPLGILSILEEECMFPKASDASFRAKLYDNHAGKSPNFQQPRPDKKRKYQAHFEVVHYAGVVPYSIVGWLEKNKDPLNETVVPIFQKSQNRLLATLYENYAGSCSTEPPKSGVKEKRKKAASFQTVSQLHKENLNKLMTNLRATQPHFVRCIVPNENKTPGVMDAFLVLHQLRCNGVLEGIRICRQGFPNRLLYTDFRQRYRILNPSAIPDDTFMDSRKATEKLLGSLDLDHTQYQFGHTKVFFKAGLLGVLEELRDQRLAKVLTLLQARSRGRLMRLEYQRLLGGRDALFTIQWNIRAFNAVKNWSWMKLFFKMKPLLRSAQAEEELAALRAELRGLRGALAAAEAKRQELEETHVSITQEKNDLALQLQAEQDNLADAEERCHLLIKSKVQLEGKVKELSERLEDEEEVNADLAARRRKLEDECTELKKDIDDLELTLAKAEKEKQATENKVKNLTEEMAALDESVARLTKEKKALQEAHQQALGDLQAEEDRVSALTKAKLRLEQQVEDLECSLEQEKKLRMDTERAKRKLEGDLKLTQESVADAAQDKQQLEEKLKKKDSELSQLSLRVEDEQLLGAQMQKKIKELQARAEELEEELEAERAARARVEKQRAEAARELEELSERLEEAGGASAGQREGCRKREAELGRLRRELEEAALRHEATVAALRRKQAEGAAELGEQVDSLQRVRQKLEKEKSELRMEVDDLAANVETLTRAKASAEKLCRTYEDQLSEAKIKVEELQRQLADASTQRGRLQTESGELSRLLEEKECLISQLSRGKALAAQSLEELRRQLEEESKAKSALAHAVQALRHDCDLLREQHEEEAEAQAELQRLLSKANAEVAQWRSKYEADAIQRTEELEEAKKKLALRLQEAEEGVEAANAKCSSLEKAKLRLQTESEDVTLELERATSAAAALDKKQRHLERALEERRRQEEEMQRELEAAQRESRGLGTELFRLRHGHEEALEALETLKRENKNLQEEISDLTDQVSLSGKSIQELEKTKKALEGEKSEIQAALEEAEGALELEETKTLRIQLELSQVKAEVDRKLAEKDEECANLRRNHQRAVESLQASLDAETRARNEALRLKKKMEGDLNDLELQLGHATRQATEAQAATRLMQAQLKEEQAGRDEEQRLAAELHEQAQALERRASLLAAELEELRAALEQGERSRRLAEQELLEATERLNLLHSQNTGLLNQKKKLEADLAQLSGEVEEAAQERREAEEKAKKAITDAAMMAEELKKEQDTSAHLERMKKTLEQTVRELQARLEEAEQAALRGGKKQVQKLEAKVRELEAELDAEQKKHAEALKGVRKHERRVKELAYQAEEDRKNLARMQDLVDKLQSKVKSYKRQFEEAEQQANTNLAKYRKAQHELDDAEERADMAETQANKLRARTRDALGPKHKE
+>DECOY_sp|A7E2Y1|MYH7B_HUMAN Myosin-7B OS=Homo sapiens OX=9606 GN=MYH7B PE=1 SV=4
+EKHKPGLADRTRARLKNAQTEAMDAREEADDLEHQAKRYKALNTNAQQEAEEFQRKYSKVKSQLKDVLDQMRALNKRDEEAQYALEKVRREHKRVGKLAEAHKKQEADLEAELERVKAELKQVQKKGGRLAAQEAEELRAQLERVTQELTKKMRELHASTDQEKKLEEAMMAADTIAKKAKEEAERREQAAEEVEGSLQALDAELKKKQNLLGTNQSHLLNLRETAELLEQEALRRSREGQELAARLEELEAALLSARRELAQAQEHLEAALRQEEDRGAQEEKLQAQMLRTAAQAETAQRTAHGLQLELDNLDGEMKKKLRLAENRARTEADLSAQLSEVARQHNRRLNACEEDKEALKRDVEAKVQSLELQIRLTKTEELELAGEAEELAAQIESKEGELAKKTKELEQISKGSLSVQDTLDSIEEQLNKNERKLTELAELAEEHGHRLRFLETGLGRSERQAAELERQMEEEQRRREELARELHRQKKDLAAAASTARELELTVDESETQLRLKAKELSSCKANAAEVGEEAEQLRLALKKKAEELEETRQIADAEYKSRWQAVEANAKSLLRQLEAQAEAEEEHQERLLDCDHRLAQVAHALASKAKSEEELQRRLEELSQAALAKGRSLQSILCEKEELLRSLEGSETQLRGRQTSADALQRQLEEVKIKAESLQDEYTRCLKEASAKARTLTEVNAALDDVEMRLESKEKELKQRVRQLSDVQEGLEAAGEAQKRRLAAVTAEHRLAAEELERRLRGLEAERKRCGERQGASAGGAEELRESLEELERAAEARQKEVRARAAREAELEEELEEARAQLEKIKKQMQAGLLQEDEVRLSLQSLESDKKKLKEELQQKDQAADAVSEQTLKLDGELKRKARETDMRLKKEQELSCELDEVQQELRLKAKTLASVRDEEAQLDGLAQQHAEQLAKKEKTLRAVSEDLAAMEETLNKVKNETAQKEKEAKALTLELDDIDKKLETCEDELKRRRAALDANVEEEDELRESLEKVKGELQVKSKILLHCREEADALNDQEAQLQLALDNKEQTISVHTEELEQRKAEAAALAGRLGRLEARLAALEEEAQASRLLPKMKFFLKMWSWNKVANFARINWQITFLADRGGLLRQYELRMLRGRSRAQLLTLVKALRQDRLEELVGLLGAKFFVKTHGFQYQTHDLDLSGLLKETAKRSDMFTDDPIASPNLIRYRQRFDTYLLRNPFGQRCIRIGELVGNCRLQHLVLFADMVGPTKNENPVICRVFHPQTARLNTMLKNLNEKHLQSVTQFSAAKKRKEKVGSKPPETSCSGAYNEYLTALLRNQSKQFIPVVTENLPDKNKELWGVISYPVVGAYHVVEFHAQYKRKKDPRPQQFNPSKGAHNDYLKARFSADSAKPFMCEEELISLIGLPKEILDICPQLDLGFDIFVWDIGERKYEEQELVFMHQNFFQQLKENTFNICLQEFSNFEFIEFGAIDLVGIFFQRPLKTDLTQNIRSVLWRFLRDYTAKALAGVAFVVQEVSQGKTVYENGVRVRPHLLGKLLDGSSVGMLYAAKDASETGDAEAQEERQKQKFKMNGFHLLAGVIKYCACKEDVSFGLIDMAHDTAILEEGDNMNDVTIVGQSCFHYDYPNMSLLLMDQLEPKRGSLIQYYVHYSREGPLQFIVRSKELLYSDIDASALKGSPGFHIRIFKGFRSSNDNRLTKANGFAEMAPNAEIIQDELTGGTKTALFQAKKGPGDGLAAVIAFYQIVRKTNVTKGAGSEGTILMSQNDRNRLMDNYANDAVAYIHPPSDSRRKGKYAAVVSATYVPLWKYPNITVCFLGSYTYIMWRAYRQRLNHLVSAENLHTMMAMDELLDFRPPNMPQLEAERVMLVKQDKTEVTVRGGTAESKVEAEVYADQEDPVWVRKKGDWPITHVKTMEQYGQRLYRASEGLESVDMMATASPHLLLLQFGQLNLAAWPLLCEAGRHPCSARSGRKNGSM
+>sp|P13535|MYH8_HUMAN Myosin-8 OS=Homo sapiens OX=9606 GN=MYH8 PE=1 SV=3
+MSASSDAEMAVFGEAAPYLRKSEKERIEAQNKPFDAKTSVFVAEPKESYVKSTIQSKEGGKVTVKTEGGATLTVREDQVFPMNPPKYDKIEDMAMMTHLHEPGVLYNLKERYAAWMIYTYSGLFCVTVNPYKWLPVYKPEVVAAYRGKKRQEAPPHIFSISDNAYQFMLTDRENQSILITGESGAGKTVNTKRVIQYFATIAVTGEKKKDESGKMQGTLEDQIISANPLLEAFGNAKTVRNDNSSRFGKFIRIHFGTTGKLASADIETYLLEKSRVTFQLKAERSYHIFYQITSNKKPDLIEMLLITTNPYDYAFVSQGEITVPSIDDQEELMATDSAIDILGFTPEEKVSIYKLTGAVMHYGNMKFKQKQREEQAEPDGTEVADKAAYLQSLNSADLLKALCYPRVKVGNEYVTKGQTVQQVYNAVGALAKAVYEKMFLWMVTRINQQLDTKQPRQYFIGVLDIAGFEIFDFNSLEQLCINFTNEKLQQFFNHHMFVLEQEEYKKEGIEWTFIDFGMDLAACIELIEKPLGIFSILEEECMFPKATDTSFKNKLYDQHLGKSANFQKPKVVKGKAEAHFSLIHYAGTVDYNITGWLDKNKDPLNDTVVGLYQKSAMKTLASLFSTYASAEADSSAKKGAKKKGSSFQTVSALFRENLNKLMTNLRSTHPHFVRCIIPNETKTPGAMEHELVLHQLRCNGVLEGIRICRKGFPSRILYGDFKQRYKVLNASAIPEGQFIDSKKASEKLLASIDIDHTQYKFGHTKVFFKAGLLGLLEEMRDEKLAQIITRTQAVCRGFLMRVEYQKMLQRREALFCIQYNVRAFMNVKHWPWMKLFFKIKPLLKSAETEKEMATMKEEFQKTKDELAKSEAKRKELEEKMVTLLKEKNDLQLQVQSEADSLADAEERCEQLIKNKIQLEAKIKEVTERAEEEEEINAELTAKKRKLEDECSELKKDIDDLELTLAKVEKEKHATENKVKNLTEEMAGLDETIAKLSKEKKALQETHQQTLDDLQAEEDKVNILTKAKTKLEQQVDDLEGSLEQEKKLRMDLERAKRKLEGDLKLAQESTMDMENDKQQLDEKLEKKEFEISNLISKIEDEQAVEIQLQKKIKELQARIEELGEEIEAERASRAKAEKQRSDLSRELEEISERLEEAGGATSAQVELNKKREAEFQKLRRDLEEATLQHEAMVAALRKKHADSMAELGEQIDNLQRVKQKLEKEKSELKMETDDLSSNAEAISKAKGNLEKMCRSLEDQVSELKTKEEEQQRLINDLTAQRARLQTEAGEYSRQLDEKDALVSQLSRSKQASTQQIEELKHQLEEETKAKNALAHALQSSRHDCDLLREQYEEEQEGKAELQRALSKANSEVAQWRTKYETDAIQRTEELEEAKKKLAQRLQEAEEHVEAVNAKCASLEKTKQRLQNEVEDLMLDVERSNAACAALDKKQRNFDKVLSEWKQKYEETQAELEASQKESRSLSTELFKVKNVYEESLDQLETLRRENKNLQQEISDLTEQIAEGGKQIHELEKIKKQVEQEKCEIQAALEEAEASLEHEEGKILRIQLELNQVKSEVDRKIAEKDEEIDQLKRNHTRVVETMQSTLDAEIRSRNDALRVKKKMEGDLNEMEIQLNHANRLAAESLRNYRNTQGILKETQLHLDDALRGQEDLKEQLAIVERRANLLQAEIEELWATLEQTERSRKIAEQELLDASERVQLLHTQNTSLINTKKKLENDVSQLQSEVEEVIQESRNAEEKAKKAITDAAMMAEELKKEQDTSAHLERMKKNLEQTVKDLQHRLDEAEQLALKGGKKQIQKLEARVRELEGEVENEQKRNAEAVKGLRKHERRVKELTYQTEEDRKNVLRLQDLVDKLQAKVKSYKRQAEEAEEQSNANLSKFRKLQHELEEAEERADIAESQVNKLRVKSREVHTKISAE
+>DECOY_sp|P13535|MYH8_HUMAN Myosin-8 OS=Homo sapiens OX=9606 GN=MYH8 PE=1 SV=3
+EASIKTHVERSKVRLKNVQSEAIDAREEAEELEHQLKRFKSLNANSQEEAEEAQRKYSKVKAQLKDVLDQLRLVNKRDEETQYTLEKVRREHKRLGKVAEANRKQENEVEGELERVRAELKQIQKKGGKLALQEAEDLRHQLDKVTQELNKKMRELHASTDQEKKLEEAMMAADTIAKKAKEEANRSEQIVEEVESQLQSVDNELKKKTNILSTNQTHLLQVRESADLLEQEAIKRSRETQELTAWLEEIEAQLLNARREVIALQEKLDEQGRLADDLHLQTEKLIGQTNRYNRLSEAALRNAHNLQIEMENLDGEMKKKVRLADNRSRIEADLTSQMTEVVRTHNRKLQDIEEDKEAIKRDVESKVQNLELQIRLIKGEEHELSAEAEELAAQIECKEQEVQKKIKELEHIQKGGEAIQETLDSIEQQLNKNERRLTELQDLSEEYVNKVKFLETSLSRSEKQSAELEAQTEEYKQKWESLVKDFNRQKKDLAACAANSREVDLMLDEVENQLRQKTKELSACKANVAEVHEEAEQLRQALKKKAEELEETRQIADTEYKTRWQAVESNAKSLARQLEAKGEQEEEYQERLLDCDHRSSQLAHALANKAKTEEELQHKLEEIQQTSAQKSRSLQSVLADKEDLQRSYEGAETQLRARQATLDNILRQQEEEKTKLESVQDELSRCMKELNGKAKSIAEANSSLDDTEMKLESKEKELKQKVRQLNDIQEGLEAMSDAHKKRLAAVMAEHQLTAEELDRRLKQFEAERKKNLEVQASTAGGAEELRESIEELERSLDSRQKEAKARSAREAEIEEGLEEIRAQLEKIKKQLQIEVAQEDEIKSILNSIEFEKKELKEDLQQKDNEMDMTSEQALKLDGELKRKARELDMRLKKEQELSGELDDVQQELKTKAKTLINVKDEEAQLDDLTQQHTEQLAKKEKSLKAITEDLGAMEETLNKVKNETAHKEKEVKALTLELDDIDKKLESCEDELKRKKATLEANIEEEEEARETVEKIKAELQIKNKILQECREEADALSDAESQVQLQLDNKEKLLTVMKEELEKRKAESKALEDKTKQFEEKMTAMEKETEASKLLPKIKFFLKMWPWHKVNMFARVNYQICFLAERRQLMKQYEVRMLFGRCVAQTRTIIQALKEDRMEELLGLLGAKFFVKTHGFKYQTHDIDISALLKESAKKSDIFQGEPIASANLVKYRQKFDGYLIRSPFGKRCIRIGELVGNCRLQHLVLEHEMAGPTKTENPIICRVFHPHTSRLNTMLKNLNERFLASVTQFSSGKKKAGKKASSDAEASAYTSFLSALTKMASKQYLGVVTDNLPDKNKDLWGTINYDVTGAYHILSFHAEAKGKVVKPKQFNASKGLHQDYLKNKFSTDTAKPFMCEEELISFIGLPKEILEICAALDMGFDIFTWEIGEKKYEEQELVFMHHNFFQQLKENTFNICLQELSNFDFIEFGAIDLVGIFYQRPQKTDLQQNIRTVMWLFMKEYVAKALAGVANYVQQVTQGKTVYENGVKVRPYCLAKLLDASNLSQLYAAKDAVETGDPEAQEERQKQKFKMNGYHMVAGTLKYISVKEEPTFGLIDIASDTAMLEEQDDISPVTIEGQSVFAYDYPNTTILLMEILDPKKNSTIQYFIHYSREAKLQFTVRSKELLYTEIDASALKGTTGFHIRIFKGFRSSNDNRVTKANGFAELLPNASIIQDELTGQMKGSEDKKKEGTVAITAFYQIVRKTNVTKGAGSEGTILISQNERDTLMFQYANDSISFIHPPAEQRKKGRYAAVVEPKYVPLWKYPNVTVCFLGSYTYIMWAAYREKLNYLVGPEHLHTMMAMDEIKDYKPPNMPFVQDERVTLTAGGETKVTVKGGEKSQITSKVYSEKPEAVFVSTKADFPKNQAEIREKESKRLYPAAEGFVAMEADSSASM
+>sp|P35579|MYH9_HUMAN Myosin-9 OS=Homo sapiens OX=9606 GN=MYH9 PE=1 SV=4
+MAQQAADKYLYVDKNFINNPLAQADWAAKKLVWVPSDKSGFEPASLKEEVGEEAIVELVENGKKVKVNKDDIQKMNPPKFSKVEDMAELTCLNEASVLHNLKERYYSGLIYTYSGLFCVVINPYKNLPIYSEEIVEMYKGKKRHEMPPHIYAITDTAYRSMMQDREDQSILCTGESGAGKTENTKKVIQYLAYVASSHKSKKDQGELERQLLQANPILEAFGNAKTVKNDNSSRFGKFIRINFDVNGYIVGANIETYLLEKSRAIRQAKEERTFHIFYYLLSGAGEHLKTDLLLEPYNKYRFLSNGHVTIPGQQDKDMFQETMEAMRIMGIPEEEQMGLLRVISGVLQLGNIVFKKERNTDQASMPDNTAAQKVSHLLGINVTDFTRGILTPRIKVGRDYVQKAQTKEQADFAIEALAKATYERMFRWLVLRINKALDKTKRQGASFIGILDIAGFEIFDLNSFEQLCINYTNEKLQQLFNHTMFILEQEEYQREGIEWNFIDFGLDLQPCIDLIEKPAGPPGILALLDEECWFPKATDKSFVEKVMQEQGTHPKFQKPKQLKDKADFCIIHYAGKVDYKADEWLMKNMDPLNDNIATLLHQSSDKFVSELWKDVDRIIGLDQVAGMSETALPGAFKTRKGMFRTVGQLYKEQLAKLMATLRNTNPNFVRCIIPNHEKKAGKLDPHLVLDQLRCNGVLEGIRICRQGFPNRVVFQEFRQRYEILTPNSIPKGFMDGKQACVLMIKALELDSNLYRIGQSKVFFRAGVLAHLEEERDLKITDVIIGFQACCRGYLARKAFAKRQQQLTAMKVLQRNCAAYLKLRNWQWWRLFTKVKPLLQVSRQEEEMMAKEEELVKVREKQLAAENRLTEMETLQSQLMAEKLQLQEQLQAETELCAEAEELRARLTAKKQELEEICHDLEARVEEEEERCQHLQAEKKKMQQNIQELEEQLEEEESARQKLQLEKVTTEAKLKKLEEEQIILEDQNCKLAKEKKLLEDRIAEFTTNLTEEEEKSKSLAKLKNKHEAMITDLEERLRREEKQRQELEKTRRKLEGDSTDLSDQIAELQAQIAELKMQLAKKEEELQAALARVEEEAAQKNMALKKIRELESQISELQEDLESERASRNKAEKQKRDLGEELEALKTELEDTLDSTAAQQELRSKREQEVNILKKTLEEEAKTHEAQIQEMRQKHSQAVEELAEQLEQTKRVKANLEKAKQTLENERGELANEVKVLLQGKGDSEHKRKKVEAQLQELQVKFNEGERVRTELADKVTKLQVELDNVTGLLSQSDSKSSKLTKDFSALESQLQDTQELLQEENRQKLSLSTKLKQVEDEKNSFREQLEEEEEAKHNLEKQIATLHAQVADMKKKMEDSVGCLETAEEVKRKLQKDLEGLSQRHEEKVAAYDKLEKTKTRLQQELDDLLVDLDHQRQSACNLEKKQKKFDQLLAEEKTISAKYAEERDRAEAEAREKETKALSLARALEEAMEQKAELERLNKQFRTEMEDLMSSKDDVGKSVHELEKSKRALEQQVEEMKTQLEELEDELQATEDAKLRLEVNLQAMKAQFERDLQGRDEQSEEKKKQLVRQVREMEAELEDERKQRSMAVAARKKLEMDLKDLEAHIDSANKNRDEAIKQLRKLQAQMKDCMRELDDTRASREEILAQAKENEKKLKSMEAEMIQLQEELAAAERAKRQAQQERDELADEIANSSGKGALALEEKRRLEARIAQLEEELEEEQGNTELINDRLKKANLQIDQINTDLNLERSHAQKNENARQQLERQNKELKVKLQEMEGTVKSKYKASITALEAKIAQLEEQLDNETKERQAACKQVRRTEKKLKDVLLQVDDERRNAEQYKDQADKASTRLKQLKRQLEEAEEEAQRANASRRKLQRELEDATETADAMNREVSSLKNKLRRGDLPFVVPRRMARKGAGDGSDEEVDGKADGAEAKPAE
+>DECOY_sp|P35579|MYH9_HUMAN Myosin-9 OS=Homo sapiens OX=9606 GN=MYH9 PE=1 SV=4
+EAPKAEAGDAKGDVEEDSGDGAGKRAMRRPVVFPLDGRRLKNKLSSVERNMADATETADELERQLKRRSANARQAEEEAEELQRKLQKLRTSAKDAQDKYQEANRREDDVQLLVDKLKKETRRVQKCAAQREKTENDLQEELQAIKAELATISAKYKSKVTGEMEQLKVKLEKNQRELQQRANENKQAHSRELNLDTNIQDIQLNAKKLRDNILETNGQEEELEEELQAIRAELRRKEELALAGKGSSNAIEDALEDREQQAQRKAREAAALEEQLQIMEAEMSKLKKENEKAQALIEERSARTDDLERMCDKMQAQLKRLQKIAEDRNKNASDIHAELDKLDMELKKRAAVAMSRQKREDELEAEMERVQRVLQKKKEESQEDRGQLDREFQAKMAQLNVELRLKADETAQLEDELEELQTKMEEVQQELARKSKELEHVSKGVDDKSSMLDEMETRFQKNLRELEAKQEMAEELARALSLAKTEKERAEAEARDREEAYKASITKEEALLQDFKKQKKELNCASQRQHDLDVLLDDLEQQLRTKTKELKDYAAVKEEHRQSLGELDKQLKRKVEEATELCGVSDEMKKKMDAVQAHLTAIQKELNHKAEEEEELQERFSNKEDEVQKLKTSLSLKQRNEEQLLEQTDQLQSELASFDKTLKSSKSDSQSLLGTVNDLEVQLKTVKDALETRVREGENFKVQLEQLQAEVKKRKHESDGKGQLLVKVENALEGRENELTQKAKELNAKVRKTQELQEALEEVAQSHKQRMEQIQAEHTKAEEELTKKLINVEQERKSRLEQQAATSDLTDELETKLAELEEGLDRKQKEAKNRSARESELDEQLESIQSELERIKKLAMNKQAAEEEVRALAAQLEEEKKALQMKLEAIQAQLEAIQDSLDTSDGELKRRTKELEQRQKEERRLREELDTIMAEHKNKLKALSKSKEEEETLNTTFEAIRDELLKKEKALKCNQDELIIQEEELKKLKAETTVKELQLKQRASEEEELQEELEQINQQMKKKEAQLHQCREEEEEVRAELDHCIEELEQKKATLRARLEEAEACLETEAQLQEQLQLKEAMLQSQLTEMETLRNEAALQKERVKVLEEEKAMMEEEQRSVQLLPKVKTFLRWWQWNRLKLYAACNRQLVKMATLQQQRKAFAKRALYGRCCAQFGIIVDTIKLDREEELHALVGARFFVKSQGIRYLNSDLELAKIMLVCAQKGDMFGKPISNPTLIEYRQRFEQFVVRNPFGQRCIRIGELVGNCRLQDLVLHPDLKGAKKEHNPIICRVFNPNTNRLTAMLKALQEKYLQGVTRFMGKRTKFAGPLATESMGAVQDLGIIRDVDKWLESVFKDSSQHLLTAINDNLPDMNKMLWEDAKYDVKGAYHIICFDAKDKLQKPKQFKPHTGQEQMVKEVFSKDTAKPFWCEEDLLALIGPPGAPKEILDICPQLDLGFDIFNWEIGERQYEEQELIFMTHNFLQQLKENTYNICLQEFSNLDFIEFGAIDLIGIFSAGQRKTKDLAKNIRLVLWRFMREYTAKALAEIAFDAQEKTQAKQVYDRGVKIRPTLIGRTFDTVNIGLLHSVKQAATNDPMSAQDTNREKKFVINGLQLVGSIVRLLGMQEEEPIGMIRMAEMTEQFMDKDQQGPITVHGNSLFRYKNYPELLLDTKLHEGAGSLLYYFIHFTREEKAQRIARSKELLYTEINAGVIYGNVDFNIRIFKGFRSSNDNKVTKANGFAELIPNAQLLQRELEGQDKKSKHSSAVYALYQIVKKTNETKGAGSEGTCLISQDERDQMMSRYATDTIAYIHPPMEHRKKGKYMEVIEESYIPLNKYPNIVVCFLGSYTYILGSYYREKLNHLVSAENLCTLEAMDEVKSFKPPNMKQIDDKNVKVKKGNEVLEVIAEEGVEEKLSAPEFGSKDSPVWVLKKAAWDAQALPNNIFNKDVYLYKDAAQQAM
+>sp|Q8WY64|MYLIP_HUMAN E3 ubiquitin-protein ligase MYLIP OS=Homo sapiens OX=9606 GN=MYLIP PE=1 SV=2
+MLCYVTRPDAVLMEVEVEAKANGEDCLNQVCRRLGIIEVDYFGLQFTGSKGESLWLNLRNRISQQMDGLAPYRLKLRVKFFVEPHLILQEQTRHIFFLHIKEALLAGHLLCSPEQAVELSALLAQTKFGDYNQNTAKYNYEELCAKELSSATLNSIVAKHKELEGTSQASAEYQVLQIVSAMENYGIEWHSVRDSEGQKLLIGVGPEGISICKDDFSPINRIAYPVVQMATQSGKNVYLTVTKESGNSIVLLFKMISTRAASGLYRAITETHAFYRCDTVTSAVMMQYSRDLKGHLASLFLNENINLGKKYVFDIKRTSKEVYDHARRALYNAGVVDLVSRNNQSPSHSPLKSSESSMNCSSCEGLSCQQTRVLQEKLRKLKEAMLCMVCCEEEINSTFCPCGHTVCCESCAAQLQSCPVCRSRVEHVQHVYLPTHTSLLNLTVI
+>DECOY_sp|Q8WY64|MYLIP_HUMAN E3 ubiquitin-protein ligase MYLIP OS=Homo sapiens OX=9606 GN=MYLIP PE=1 SV=2
+IVTLNLLSTHTPLYVHQVHEVRSRCVPCSQLQAACSECCVTHGCPCFTSNIEEECCVMCLMAEKLKRLKEQLVRTQQCSLGECSSCNMSSESSKLPSHSPSQNNRSVLDVVGANYLARRAHDYVEKSTRKIDFVYKKGLNINENLFLSALHGKLDRSYQMMVASTVTDCRYFAHTETIARYLGSAARTSIMKFLLVISNGSEKTVTLYVNKGSQTAMQVVPYAIRNIPSFDDKCISIGEPGVGILLKQGESDRVSHWEIGYNEMASVIQLVQYEASAQSTGELEKHKAVISNLTASSLEKACLEEYNYKATNQNYDGFKTQALLASLEVAQEPSCLLHGALLAEKIHLFFIHRTQEQLILHPEVFFKVRLKLRYPALGDMQQSIRNRLNLWLSEGKSGTFQLGFYDVEIIGLRRCVQNLCDEGNAKAEVEVEMLVADPRTVYCLM
+>sp|Q32MK0|MYLK3_HUMAN Myosin light chain kinase 3 OS=Homo sapiens OX=9606 GN=MYLK3 PE=1 SV=3
+MSGTSKESLGHGGLPGLGKTCLTTMDTKLNMLNEKVDQLLHFQEDVTEKLQSMCRDMGHLERGLHRLEASRAPGPGGADGVPHIDTQAGWPEVLELVRAMQQDAAQHGARLEALFRMVAAVDRAIALVGATFQKSKVADFLMQGRVPWRRGSPGDSPEENKERVEEEGGKPKHVLSTSGVQSDAREPGEESQKADVLEGTAERLPPIRASGLGADPAQAVVSPGQGDGVPGPAQAFPGHLPLPTKVEAKAPETPSENLRTGLELAPAPGRVNVVSPSLEVAPGAGQGASSSRPDPEPLEEGTRLTPGPGPQCPGPPGLPAQARATHSGGETPPRISIHIQEMDTPGEMLMTGRGSLGPTLTTEAPAAAQPGKQGPPGTGRCLQAPGTEPGEQTPEGARELSPLQESSSPGGVKAEEEQRAGAEPGTRPSLARSDDNDHEVGALGLQQGKSPGAGNPEPEQDCAARAPVRAEAVRRMPPGAEAGSVVLDDSPAPPAPFEHRVVSVKETSISAGYEVCQHEVLGGGRFGQVHRCTEKSTGLPLAAKIIKVKSAKDREDVKNEINIMNQLSHVNLIQLYDAFESKHSCTLVMEYVDGGELFDRITDEKYHLTELDVVLFTRQICEGVHYLHQHYILHLDLKPENILCVNQTGHQIKIIDFGLARRYKPREKLKVNFGTPEFLAPEVVNYEFVSFPTDMWSVGVITYMLLSGLSPFLGETDAETMNFIVNCSWDFDADTFEGLSEEAKDFVSRLLVKEKSCRMSATQCLKHEWLNNLPAKASRSKTRLKSQLLLQKYIAQRKWKKHFYVVTAANRLRKFPTSP
+>DECOY_sp|Q32MK0|MYLK3_HUMAN Myosin light chain kinase 3 OS=Homo sapiens OX=9606 GN=MYLK3 PE=1 SV=3
+PSTPFKRLRNAATVVYFHKKWKRQAIYKQLLLQSKLRTKSRSAKAPLNNLWEHKLCQTASMRCSKEKVLLRSVFDKAEESLGEFTDADFDWSCNVIFNMTEADTEGLFPSLGSLLMYTIVGVSWMDTPFSVFEYNVVEPALFEPTGFNVKLKERPKYRRALGFDIIKIQHGTQNVCLINEPKLDLHLIYHQHLYHVGECIQRTFLVVDLETLHYKEDTIRDFLEGGDVYEMVLTCSHKSEFADYLQILNVHSLQNMINIENKVDERDKASKVKIIKAALPLGTSKETCRHVQGFRGGGLVEHQCVEYGASISTEKVSVVRHEFPAPPAPSDDLVVSGAEAGPPMRRVAEARVPARAACDQEPEPNGAGPSKGQQLGLAGVEHDNDDSRALSPRTGPEAGARQEEEAKVGGPSSSEQLPSLERAGEPTQEGPETGPAQLCRGTGPPGQKGPQAAAPAETTLTPGLSGRGTMLMEGPTDMEQIHISIRPPTEGGSHTARAQAPLGPPGPCQPGPGPTLRTGEELPEPDPRSSSAGQGAGPAVELSPSVVNVRGPAPALELGTRLNESPTEPAKAEVKTPLPLHGPFAQAPGPVGDGQGPSVVAQAPDAGLGSARIPPLREATGELVDAKQSEEGPERADSQVGSTSLVHKPKGGEEEVREKNEEPSDGPSGRRWPVRGQMLFDAVKSKQFTAGVLAIARDVAAVMRFLAELRAGHQAADQQMARVLELVEPWGAQTDIHPVGDAGGPGPARSAELRHLGRELHGMDRCMSQLKETVDEQFHLLQDVKENLMNLKTDMTTLCTKGLGPLGGHGLSEKSTGSM
+>sp|Q86YV6|MYLK4_HUMAN Myosin light chain kinase family member 4 OS=Homo sapiens OX=9606 GN=MYLK4 PE=1 SV=2
+MLKVKRLEEFNTCYNSNQLEKMAFFQCREEVEKVKCFLEKNSGDQDSRSGHNEAKEVWSNADLTERMPVKSKRTSALAVDIPAPPAPFDHRIVTAKQGAVNSFYTVSKTEILGGGRFGQVHKCEETATGLKLAAKIIKTRGMKDKEEVKNEISVMNQLDHANLIQLYDAFESKNDIVLVMEYVDGGELFDRIIDESYNLTELDTILFMKQICEGIRHMHQMYILHLDLKPENILCVNRDAKQIKIIDFGLARRYKPREKLKVNFGTPEFLAPEVVNYDFVSFPTDMWSVGVIAYMLLSGLSPFLGDNDAETLNNILACRWDLEDEEFQDISEEAKEFISKLLIKEKSWRISASEALKHPWLSDHKLHSRLNAQKKKNRGSDAQDFVTK
+>DECOY_sp|Q86YV6|MYLK4_HUMAN Myosin light chain kinase family member 4 OS=Homo sapiens OX=9606 GN=MYLK4 PE=1 SV=2
+KTVFDQADSGRNKKKQANLRSHLKHDSLWPHKLAESASIRWSKEKILLKSIFEKAEESIDQFEEDELDWRCALINNLTEADNDGLFPSLGSLLMYAIVGVSWMDTPFSVFDYNVVEPALFEPTGFNVKLKERPKYRRALGFDIIKIQKADRNVCLINEPKLDLHLIYMQHMHRIGECIQKMFLITDLETLNYSEDIIRDFLEGGDVYEMVLVIDNKSEFADYLQILNAHDLQNMVSIENKVEEKDKMGRTKIIKAALKLGTATEECKHVQGFRGGGLIETKSVTYFSNVAGQKATVIRHDFPAPPAPIDVALASTRKSKVPMRETLDANSWVEKAENHGSRSDQDGSNKELFCKVKEVEERCQFFAMKELQNSNYCTNFEELRKVKLM
+>sp|Q9Y6X6|MYO16_HUMAN Unconventional myosin-XVI OS=Homo sapiens OX=9606 GN=MYO16 PE=1 SV=3
+MEIDQCLLESLPLGQRQRLVKRMRCEQIKAYYEREKAFQKQEGFLKRLKHAKNPKVHFNLTDMLQDAIIHHNDKEVLRLLKEGADPHTLVSSGGSLLHLCARYDNAFIAEILIDRGVNVNHQDEDFWTPMHIACACDNPDIVLLLVLAGANVLLQDVNGNIPLDYAVEGTESSSILLTYLDENGVDLTSLRQMKLQRPMSMLTDVKHFLSSGGNVNEKNDEGVTLLHMACASGYKEVVSLILEHGGDLNIVDDQYWTPLHLAAKYGQTNLVKLLLMHQANPHLVNCNEEKASDIAASEFIEEMLLKAEIAWEEKMKEPLSASTLAQEEPYEEIIHDLPVLSSKLSPLVLPIAKQDSLLEKDIMFKDATKGLCKQQSQDSIPENPMMSGSTKPEQVKLMPPAPNDDLATLSELNDGSLLYEIQKRFGNNQIYTFIGDILLLVNPYKELPIYSSMVSQLYFSSSGKLCSSLPPHLFSCVERAFHQLFREQRPQCFILSGERGSGKSEASKQIIRHLTCRAGASRATLDSRFKHVVCILEAFGHAKTTLNDLSSCFIKYFELQFCERKQQLTGARIYTYLLEKSRLVSQPLGQSNFLIFYLLMDGLSAEEKYGLHLNNLCAHRYLNQTIQDDASTGERSLNREKLAVLKRALNVVGFSSLEVENLFVILAAILHLGDIRFTALNEGNSAFVSDLQLLEQVAGMLQVSTDELASALTTDIQYFKGDMIIRRHTIQIAEFFRDLLAKSLYSRLFSFLVNTMNSCLHSQDEQKSMQTLDIGILDIFGFEEFQKNEFEQLCVNMTNEKMHHYINEVLFLHEQVECVQEGVTMETAYSPGNQNGVLDFFFQKPSGFLTLLDEESQMIWSVESNFPKKLQSLLESSNTNAVYSPMKDGNGNVALKDHGTAFTIMHYAGRVMYDVVGAIEKNKDSLSQNLLFVMKTSENVVINHLFQSKLSQTGSLVSAYPSFKFRGHKSALLSKKMTASSIIGENKNYLELSKLLKKKGTSTFLQRLERGDPVTIASQLRKSLMDIIGKLQKCTPHFIHCIRPNNSKLPDTFDNFYVSAQLQYIGVLEMVKIFRYGYPVRLSFSDFLSRYKPLADTFLREKKEQSAAERCRLVLQQCKLQGWQMGVRKVFLKYWHADQLNDLCLQLQRKIITCQKVIRGFLARQHLLQRISIRQQEVTSINSFLQNTEDMGLKTYDALVIQNASDIARENDRLRSEMNAPYHKEKLEVRNMQEEGSKRTDDKSGPRHFHPSSMSVCAAVDGLGQCLVGPSIWSPSLHSVFSMDDSSSLPSPRKQPPPKPKRDPNTRLSASYEAVSACLSAAREAANEALARPRPHSDDYSTMKKIPPRKPKRSPNTKLSGSYEEISGSRPGDARPAGAPGAAARVLTPGTPQCALPPAAPPGDEDDSEPVYIEMLGHAARPDSPDPGESVYEEMKCCLPDDGGPGAGSFLLHGASPPLLHRAPEDEAAGPPGDACDIPPPFPNLLPHRPPLLVFPPTPVTCSPASDESPLTPLEVKKLPVLETNLKYPVQPEGSSPLSPQYSKSQKGDGDRPASPGLALFNGSGRASPPSTPPPPPPPPGPPPAPYRPCAHLAFPPEPAPVNAGKAGPSAEAPKVHPKPNSAPVAGPCSSFPKIPYSPVKATRADARKAGSSASPPAPYSPPSSRPLSSPLDELASLFNSGRSVLRKSAAGRKIREAEGFETNMNISSRDDPSTSEITSETQDRNANNHGIQLSNSLSSAITAENGNSISNGLPEEDGYSRLSISGTGTSTFQRHRDSHTTQVIHQLRLSENESVALQELLDWRRKLCEEGQDWQQILHHAEPRVPPPPPCKKPSLLKKPEGASCNRLPSELWDTTI
+>DECOY_sp|Q9Y6X6|MYO16_HUMAN Unconventional myosin-XVI OS=Homo sapiens OX=9606 GN=MYO16 PE=1 SV=3
+ITTDWLESPLRNCSAGEPKKLLSPKKCPPPPPVRPEAHHLIQQWDQGEECLKRRWDLLEQLAVSENESLRLQHIVQTTHSDRHRQFTSTGTGSISLRSYGDEEPLGNSISNGNEATIASSLSNSLQIGHNNANRDQTESTIESTSPDDRSSINMNTEFGEAERIKRGAASKRLVSRGSNFLSALEDLPSSLPRSSPPSYPAPPSASSGAKRADARTAKVPSYPIKPFSSCPGAVPASNPKPHVKPAEASPGAKGANVPAPEPPFALHACPRYPAPPPGPPPPPPPPTSPPSARGSGNFLALGPSAPRDGDGKQSKSYQPSLPSSGEPQVPYKLNTELVPLKKVELPTLPSEDSAPSCTVPTPPFVLLPPRHPLLNPFPPPIDCADGPPGAAEDEPARHLLPPSAGHLLFSGAGPGGDDPLCCKMEEYVSEGPDPSDPRAAHGLMEIYVPESDDEDGPPAAPPLACQPTGPTLVRAAAGPAGAPRADGPRSGSIEEYSGSLKTNPSRKPKRPPIKKMTSYDDSHPRPRALAENAAERAASLCASVAEYSASLRTNPDRKPKPPPQKRPSPLSSSDDMSFVSHLSPSWISPGVLCQGLGDVAACVSMSSPHFHRPGSKDDTRKSGEEQMNRVELKEKHYPANMESRLRDNERAIDSANQIVLADYTKLGMDETNQLFSNISTVEQQRISIRQLLHQRALFGRIVKQCTIIKRQLQLCLDNLQDAHWYKLFVKRVGMQWGQLKCQQLVLRCREAASQEKKERLFTDALPKYRSLFDSFSLRVPYGYRFIKVMELVGIYQLQASVYFNDFTDPLKSNNPRICHIFHPTCKQLKGIIDMLSKRLQSAITVPDGRELRQLFTSTGKKKLLKSLELYNKNEGIISSATMKKSLLASKHGRFKFSPYASVLSGTQSLKSQFLHNIVVNESTKMVFLLNQSLSDKNKEIAGVVDYMVRGAYHMITFATGHDKLAVNGNGDKMPSYVANTNSSELLSQLKKPFNSEVSWIMQSEEDLLTLFGSPKQFFFDLVGNQNGPSYATEMTVGEQVCEVQEHLFLVENIYHHMKENTMNVCLQEFENKQFEEFGFIDLIGIDLTQMSKQEDQSHLCSNMTNVLFSFLRSYLSKALLDRFFEAIQITHRRIIMDGKFYQIDTTLASALEDTSVQLMGAVQELLQLDSVFASNGENLATFRIDGLHLIAALIVFLNEVELSSFGVVNLARKLVALKERNLSREGTSADDQITQNLYRHACLNNLHLGYKEEASLGDMLLYFILFNSQGLPQSVLRSKELLYTYIRAGTLQQKRECFQLEFYKIFCSSLDNLTTKAHGFAELICVVHKFRSDLTARSAGARCTLHRIIQKSAESKGSGREGSLIFCQPRQERFLQHFAREVCSFLHPPLSSCLKGSSSFYLQSVMSSYIPLEKYPNVLLLIDGIFTYIQNNGFRKQIEYLLSGDNLESLTALDDNPAPPMLKVQEPKTSGSMMPNEPISDQSQQKCLGKTADKFMIDKELLSDQKAIPLVLPSLKSSLVPLDHIIEEYPEEQALTSASLPEKMKEEWAIEAKLLMEEIFESAAIDSAKEENCNVLHPNAQHMLLLKVLNTQGYKAALHLPTWYQDDVINLDGGHELILSVVEKYGSACAMHLLTVGEDNKENVNGGSSLFHKVDTLMSMPRQLKMQRLSTLDVGNEDLYTLLISSSETGEVAYDLPINGNVDQLLVNAGALVLLLVIDPNDCACAIHMPTWFDEDQHNVNVGRDILIEAIFANDYRACLHLLSGGSSVLTHPDAGEKLLRLVEKDNHHIIADQLMDTLNFHVKPNKAHKLRKLFGEQKQFAKEREYYAKIQECRMRKVLRQRQGLPLSELLCQDIEM
+>sp|Q9Y4I1|MYO5A_HUMAN Unconventional myosin-Va OS=Homo sapiens OX=9606 GN=MYO5A PE=1 SV=2
+MAASELYTKFARVWIPDPEEVWKSAELLKDYKPGDKVLLLHLEEGKDLEYHLDPKTKELPHLRNPDILVGENDLTALSYLHEPAVLHNLRVRFIDSKLIYTYCGIVLVAINPYEQLPIYGEDIINAYSGQNMGDMDPHIFAVAEEAYKQMARDERNQSIIVSGESGAGKTVSAKYAMRYFATVSGSASEANVEEKVLASNPIMESIGNAKTTRNDNSSRFGKYIEIGFDKRYRIIGANMRTYLLEKSRVVFQAEEERNYHIFYQLCASAKLPEFKMLRLGNADNFNYTKQGGSPVIEGVDDAKEMAHTRQACTLLGISESHQMGIFRILAGILHLGNVGFTSRDADSCTIPPKHEPLCIFCELMGVDYEEMCHWLCHRKLATATETYIKPISKLQATNARDALAKHIYAKLFNWIVDNVNQALHSAVKQHSFIGVLDIYGFETFEINSFEQFCINYANEKLQQQFNMHVFKLEQEEYMKEQIPWTLIDFYDNQPCINLIESKLGILDLLDEECKMPKGTDDTWAQKLYNTHLNKCALFEKPRLSNKAFIIQHFADKVEYQCEGFLEKNKDTVFEEQIKVLKSSKFKMLPELFQDDEKAISPTSATSSGRTPLTRTPAKPTKGRPGQMAKEHKKTVGHQFRNSLHLLMETLNATTPHYVRCIKPNDFKFPFTFDEKRAVQQLRACGVLETIRISAAGFPSRWTYQEFFSRYRVLMKQKDVLSDRKQTCKNVLEKLILDKDKYQFGKTKIFFRAGQVAYLEKLRADKLRAACIRIQKTIRGWLLRKKYLRMRKAAITMQRYVRGYQARCYAKFLRRTKAATIIQKYWRMYVVRRRYKIRRAATIVLQSYLRGFLARNRYRKILREHKAVIIQKRVRGWLARTHYKRSMHAIIYLQCCFRRMMAKRELKKLKIEARSVERYKKLHIGMENKIMQLQRKVDEQNKDYKCLVEKLTNLEGIYNSETEKLRSDLERLQLSEEEAKVATGRVLSLQEEIAKLRKDLEQTRSEKKCIEEHADRYKQETEQLVSNLKEENTLLKQEKEALNHRIVQQAKEMTETMEKKLVEETKQLELDLNDERLRYQNLLNEFSRLEERYDDLKEEMTLMVHVPKPGHKRTDSTHSSNESEYIFSSEIAEMEDIPSRTEEPSEKKVPLDMSLFLKLQKRVTELEQEKQVMQDELDRKEEQVLRSKAKEEERPQIRGAELEYESLKRQELESENKKLKNELNELRKALSEKSAPEVTAPGAPAYRVLMEQLTSVSEELDVRKEEVLILRSQLVSQKEAIQPKDDKNTMTDSTILLEDVQKMKDKGEIAQAYIGLKETNRSSALDYHELNEDGELWLVYEGLKQANRLLESQLQSQKRSHENEAEALRGEIQSLKEENNRQQQLLAQNLQLPPEARIEASLQHEITRLTNENLDLMEQLEKQDKTVRKLKKQLKVFAKKIGELEVGQMENISPGQIIDEPIRPVNIPRKEKDFQGMLEYKKEDEQKLVKNLILELKPRGVAVNLIPGLPAYILFMCVRHADYLNDDQKVRSLLTSTINSIKKVLKKRGDDFETVSFWLSNTCRFLHCLKQYSGEEGFMKHNTSRQNEHCLTNFDLAEYRQVLSDLAIQIYQQLVRVLENILQPMIVSGMLEHETIQGVSGVKPTGLRKRTSSIADEGTYTLDSILRQLNSFHSVMCQHGMDPELIKQVVKQMFYIIGAITLNNLLLRKDMCSWSKGMQIRYNVSQLEEWLRDKNLMNSGAKETLEPLIQAAQLLQVKKKTDDDAEAICSMCNALTTAQIVKVLNLYTPVNEFEERVSVSFIRTIQMRLRDRKDSPQLLMDAKHIFPVTFPFNPSSLALETIQIPASLGLGFISRV
+>DECOY_sp|Q9Y4I1|MYO5A_HUMAN Unconventional myosin-Va OS=Homo sapiens OX=9606 GN=MYO5A PE=1 SV=2
+VRSIFGLGLSAPIQITELALSSPNFPFTVPFIHKADMLLQPSDKRDRLRMQITRIFSVSVREEFENVPTYLNLVKVIQATTLANCMSCIAEADDDTKKKVQLLQAAQILPELTEKAGSNMLNKDRLWEELQSVNYRIQMGKSWSCMDKRLLLNNLTIAGIIYFMQKVVQKILEPDMGHQCMVSHFSNLQRLISDLTYTGEDAISSTRKRLGTPKVGSVGQITEHELMGSVIMPQLINELVRVLQQYIQIALDSLVQRYEALDFNTLCHENQRSTNHKMFGEEGSYQKLCHLFRCTNSLWFSVTEFDDGRKKLVKKISNITSTLLSRVKQDDNLYDAHRVCMFLIYAPLGPILNVAVGRPKLELILNKVLKQEDEKKYELMGQFDKEKRPINVPRIPEDIIQGPSINEMQGVELEGIKKAFVKLQKKLKRVTKDQKELQEMLDLNENTLRTIEHQLSAEIRAEPPLQLNQALLQQQRNNEEKLSQIEGRLAEAENEHSRKQSQLQSELLRNAQKLGEYVLWLEGDENLEHYDLASSRNTEKLGIYAQAIEGKDKMKQVDELLITSDTMTNKDDKPQIAEKQSVLQSRLILVEEKRVDLEESVSTLQEMLVRYAPAGPATVEPASKESLAKRLENLENKLKKNESELEQRKLSEYELEAGRIQPREEEKAKSRLVQEEKRDLEDQMVQKEQELETVRKQLKLFLSMDLPVKKESPEETRSPIDEMEAIESSFIYESENSSHTSDTRKHGPKPVHVMLTMEEKLDDYREELRSFENLLNQYRLREDNLDLELQKTEEVLKKEMTETMEKAQQVIRHNLAEKEQKLLTNEEKLNSVLQETEQKYRDAHEEICKKESRTQELDKRLKAIEEQLSLVRGTAVKAEEESLQLRELDSRLKETESNYIGELNTLKEVLCKYDKNQEDVKRQLQMIKNEMGIHLKKYREVSRAEIKLKKLERKAMMRRFCCQLYIIAHMSRKYHTRALWGRVRKQIIVAKHERLIKRYRNRALFGRLYSQLVITAARRIKYRRRVVYMRWYKQIITAAKTRRLFKAYCRAQYGRVYRQMTIAAKRMRLYKKRLLWGRITKQIRICAARLKDARLKELYAVQGARFFIKTKGFQYKDKDLILKELVNKCTQKRDSLVDKQKMLVRYRSFFEQYTWRSPFGAASIRITELVGCARLQQVARKEDFTFPFKFDNPKICRVYHPTTANLTEMLLHLSNRFQHGVTKKHEKAMQGPRGKTPKAPTRTLPTRGSSTASTPSIAKEDDQFLEPLMKFKSSKLVKIQEEFVTDKNKELFGECQYEVKDAFHQIIFAKNSLRPKEFLACKNLHTNYLKQAWTDDTGKPMKCEEDLLDLIGLKSEILNICPQNDYFDILTWPIQEKMYEEQELKFVHMNFQQQLKENAYNICFQEFSNIEFTEFGYIDLVGIFSHQKVASHLAQNVNDVIWNFLKAYIHKALADRANTAQLKSIPKIYTETATALKRHCLWHCMEEYDVGMLECFICLPEHKPPITCSDADRSTFGVNGLHLIGALIRFIGMQHSESIGLLTCAQRTHAMEKADDVGEIVPSGGQKTYNFNDANGLRLMKFEPLKASACLQYFIHYNREEEAQFVVRSKELLYTRMNAGIIRYRKDFGIEIYKGFRSSNDNRTTKANGISEMIPNSALVKEEVNAESASGSVTAFYRMAYKASVTKGAGSEGSVIISQNREDRAMQKYAEEAVAFIHPDMDGMNQGSYANIIDEGYIPLQEYPNIAVLVIGCYTYILKSDIFRVRLNHLVAPEHLYSLATLDNEGVLIDPNRLHPLEKTKPDLHYELDKGEELHLLLVKDGPKYDKLLEASKWVEEPDPIWVRAFKTYLESAAM
+>sp|Q9ULV0|MYO5B_HUMAN Unconventional myosin-Vb OS=Homo sapiens OX=9606 GN=MYO5B PE=1 SV=3
+MSVGELYSQCTRVWIPDPDEVWRSAELTKDYKEGDKSLQLRLEDETILEYPIDVQRNQLPFLRNPDILVGENDLTALSYLHEPAVLHNLKVRFLESNHIYTYCGIVLVAINPYEQLPIYGQDVIYTYSGQNMGDMDPHIFAVAEEAYKQMARDEKNQSIIVSGESGAGKTVSAKYAMRYFATVGGSASETNIEEKVLASSPIMEAIGNAKTTRNDNSSRFGKYIQIGFDKRYHIIGANMRTYLLEKSRVVFQADDERNYHIFYQLCAAAGLPEFKELALTSAEDFFYTSQGGDTSIEGVDDAEDFEKTRQAFTLLGVKESHQMSIFKIIASILHLGSVAIQAERDGDSCSISPQDVYLSNFCRLLGVEHSQMEHWLCHRKLVTTSETYVKTMSLQQVINARNALAKHIYAQLFGWIVEHINKALHTSLKQHSFIGVLDIYGFETFEVNSFEQFCINYANEKLQQQFNSHVFKLEQEEYMKEQIPWTLIDFYDNQPCIDLIEAKLGILDLLDEECKVPKGTDQNWAQKLYDRHSSSQHFQKPRMSNTAFIIVHFADKVEYLSDGFLEKNRDTVYEEQINILKASKFPLVADLFHDDKDPVPATTPGKGSSSKISVRSARPPMKVSNKEHKKTVGHQFRTSLHLLMETLNATTPHYVRCIKPNDEKLPFHFDPKRAVQQLRACGVLETIRISAAGYPSRWAYHDFFNRYRVLVKKRELANTDKKAICRSVLENLIKDPDKFQFGRTKIFFRAGQVAYLEKLRADKFRTATIMIQKTVRGWLQKVKYHRLKGATLTLQRYCRGHLARRLAEHLRRIRAAVVLQKHYRMQRARQAYQRVRRAAVVIQAFTRAMFVRRTYRQVLMEHKATTIQKHVRGWMARRHFQRLRDAAIVIQCAFRMLKARRELKALRIEARSAEHLKRLNVGMENKVVQLQRKIDEQNKEFKTLSEQLSVTTSTYTMEVERLKKELVHYQQSPGEDTSLRLQEEVESLRTELQRAHSERKILEDAHSREKDELRKRVADLEQENALLKDEKEQLNNQILCQSKDEFAQNSVKENLMKKELEEERSRYQNLVKEYSQLEQRYDNLRDEMTIIKQTPGHRRNPSNQSSLESDSNYPSISTSEIGDTEDALQQVEEIGLEKAAMDMTVFLKLQKRVRELEQERKKLQVQLEKREQQDSKKVQAEPPQTDIDLDPNADLAYNSLKRQELESENKKLKNDLNELRKAVADQATQNNSSHGSPDSYSLLLNQLKLAHEELEVRKEEVLILRTQIVSADQRRLAGRNAEPNINARSSWPNSEKHVDQEDAIEAYHGVCQTNSKTEDWGYLNEDGELGLAYQGLKQVARLLEAQLQAQSLEHEEEVEHLKAQLEALKEEMDKQQQTFCQTLLLSPEAQVEFGVQQEISRLTNENLDLKELVEKLEKNERKLKKQLKIYMKKAQDLEAAQALAQSERKRHELNRQVTVQRKEKDFQGMLEYHKEDEALLIRNLVTDLKPQMLSGTVPCLPAYILYMCIRHADYTNDDLKVHSLLTSTINGIKKVLKKHNDDFEMTSFWLSNTCRLLHCLKQYSGDEGFMTQNTAKQNEHCLKNFDLTEYRQVLSDLSIQIYQQLIKIAEGVLQPMIVSAMLENESIQGLSGVKPTGYRKRSSSMADGDNSYCLEAIIRQMNAFHTVMCDQGLDPEIILQVFKQLFYMINAVTLNNLLLRKDVCSWSTGMQLRYNISQLEEWLRGRNLHQSGAVQTMEPLIQAAQLLQLKKKTQEDAEAICSLCTSLSTQQIVKILNLYTPLNEFEERVTVAFIRTIQAQLQERNDPQQLLLDAKHMFPVLFPFNPSSLTMDSIHIPACLNLEFLNEV
+>DECOY_sp|Q9ULV0|MYO5B_HUMAN Unconventional myosin-Vb OS=Homo sapiens OX=9606 GN=MYO5B PE=1 SV=3
+VENLFELNLCAPIHISDMTLSSPNFPFLVPFMHKADLLLQQPDNREQLQAQITRIFAVTVREEFENLPTYLNLIKVIQQTSLSTCLSCIAEADEQTKKKLQLLQAAQILPEMTQVAGSQHLNRGRLWEELQSINYRLQMGTSWSCVDKRLLLNNLTVANIMYFLQKFVQLIIEPDLGQDCMVTHFANMQRIIAELCYSNDGDAMSSSRKRYGTPKVGSLGQISENELMASVIMPQLVGEAIKILQQYIQISLDSLVQRYETLDFNKLCHENQKATNQTMFGEDGSYQKLCHLLRCTNSLWFSTMEFDDNHKKLVKKIGNITSTLLSHVKLDDNTYDAHRICMYLIYAPLCPVTGSLMQPKLDTVLNRILLAEDEKHYELMGQFDKEKRQVTVQRNLEHRKRESQALAQAAELDQAKKMYIKLQKKLKRENKELKEVLEKLDLNENTLRSIEQQVGFEVQAEPSLLLTQCFTQQQKDMEEKLAELQAKLHEVEEEHELSQAQLQAELLRAVQKLGQYALGLEGDENLYGWDETKSNTQCVGHYAEIADEQDVHKESNPWSSRANINPEANRGALRRQDASVIQTRLILVEEKRVELEEHALKLQNLLLSYSDPSGHSSNNQTAQDAVAKRLENLDNKLKKNESELEQRKLSNYALDANPDLDIDTQPPEAQVKKSDQQERKELQVQLKKREQELERVRKQLKLFVTMDMAAKELGIEEVQQLADETDGIESTSISPYNSDSELSSQNSPNRRHGPTQKIITMEDRLNDYRQELQSYEKVLNQYRSREEELEKKMLNEKVSNQAFEDKSQCLIQNNLQEKEDKLLANEQELDAVRKRLEDKERSHADELIKRESHARQLETRLSEVEEQLRLSTDEGPSQQYHVLEKKLREVEMTYTSTTVSLQESLTKFEKNQEDIKRQLQVVKNEMGVNLRKLHEASRAEIRLAKLERRAKLMRFACQIVIAADRLRQFHRRAMWGRVHKQITTAKHEMLVQRYTRRVFMARTFAQIVVAARRVRQYAQRARQMRYHKQLVVAARIRRLHEALRRALHGRCYRQLTLTAGKLRHYKVKQLWGRVTKQIMITATRFKDARLKELYAVQGARFFIKTRGFQFKDPDKILNELVSRCIAKKDTNALERKKVLVRYRNFFDHYAWRSPYGAASIRITELVGCARLQQVARKPDFHFPLKEDNPKICRVYHPTTANLTEMLLHLSTRFQHGVTKKHEKNSVKMPPRASRVSIKSSSGKGPTTAPVPDKDDHFLDAVLPFKSAKLINIQEEYVTDRNKELFGDSLYEVKDAFHVIIFATNSMRPKQFHQSSSHRDYLKQAWNQDTGKPVKCEEDLLDLIGLKAEILDICPQNDYFDILTWPIQEKMYEEQELKFVHSNFQQQLKENAYNICFQEFSNVEFTEFGYIDLVGIFSHQKLSTHLAKNIHEVIWGFLQAYIHKALANRANIVQQLSMTKVYTESTTVLKRHCLWHEMQSHEVGLLRCFNSLYVDQPSISCSDGDREAQIAVSGLHLISAIIKFISMQHSEKVGLLTFAQRTKEFDEADDVGEISTDGGQSTYFFDEASTLALEKFEPLGAAACLQYFIHYNREDDAQFVVRSKELLYTRMNAGIIHYRKDFGIQIYKGFRSSNDNRTTKANGIAEMIPSSALVKEEINTESASGGVTAFYRMAYKASVTKGAGSEGSVIISQNKEDRAMQKYAEEAVAFIHPDMDGMNQGSYTYIVDQGYIPLQEYPNIAVLVIGCYTYIHNSELFRVKLNHLVAPEHLYSLATLDNEGVLIDPNRLFPLQNRQVDIPYELITEDELRLQLSKDGEKYDKTLEASRWVEDPDPIWVRTCQSYLEGVSM
+>sp|Q9NQX4|MYO5C_HUMAN Unconventional myosin-Vc OS=Homo sapiens OX=9606 GN=MYO5C PE=1 SV=2
+MAVAELYTQYNRVWIPDPEEVWKSAEIAKDYRVGDKVLRLLLEDGTELDYSVNPESLPPLRNPDILVGENDLTALSYLHEPAVLHNLRIRFAESKLIYTYSGIILVAMNPYKQLPIYGDAIIHAYSGQNMGDMDPHIFAVAEEAYKQMARNNRNQSIIVSGESGAGKTVSARYAMRYFATVSKSGSNAHVEDKVLASNPITEAVGNAKTTRNDNSSRFGKYTEISFDEQNQIIGANMSTYLLEKSRVVFQSENERNYHIFYQLCASAQQSEFKHLKLGSAEEFNYTRMGGNTVIEGVNDRAEMVETQKTFTLLGFKEDFQMDVFKILAAILHLGNVQITAVGNERSSVSEDDSHLKVFCELLGLESGRVAQWLCNRKIVTSSETVVKPMTRPQAVNARDALAKKIYAHLFDFIVERINQALQFSGKQHTFIGVLDIYGFETFDVNSFEQFCINYANEKLQQQFNMHVFKLEQEEYMKEDIPWTLIDFYDNQPVIDLIEAKMGILELLDEECLLPHGTDENWLQKLYNNFVNRNPLFEKPRMSNTSFVIQHFADKVEYKCEGFLEKNRDTVYDMLVEILRASKFHLCANFFQENPTPPSPFGSMITVKSAKQVIKPNSKHFRTTVGSKFRSSLYLLMETLNATTPHYVRCIKPNDEKLPFEFDSKRIVQQLRACGVLETIRISAQSYPSRWTYIEFYSRYGILMTKQELSFSDKKEVCKVVLHRLIQDSNQYQFGKTKIFFRAGQVAYLEKLRLDKLRQSCVMVQKHMRGWLQRKKFLRERRAALIIQQYFRGQQTVRKAITAVALKEAWAAIIIQKHCRGYLVRSLYQLIRMATITMQAYSRGFLARRRYRKMLEEHKAVILQKYARAWLARRRFQSIRRFVLNIQLTYRVQRLQKKLEDQNKENHGLVEKLTSLAALRAGDVEKIQKLEAELEKAATHRRNYEEKGKRYRDAVEEKLAKLQKHNSELETQKEQIQLKLQEKTEELKEKMDNLTKQLFDDVQKEERQRMLLEKSFELKTQDYEKQIQSLKEEIKALKDEKMQLQHLVEGEHVTSDGLKAEVARLSKQVKTISEFEKEIELLQAQKIDVEKHVQSQKREMREKMSEITKQLLESYDIEDVRSRLSVEDLEHLNEDGELWFAYEGLKKATRVLESHFQSQKDCYEKEIEALNFKVVHLSQEINHLQKLFREENDINESIRHEVTRLTSENMMIPDFKQQISELEKQKQDLEIRLNEQAEKMKGKLEELSNQLHRSQEEEGTQRKALEAQNEIHTKEKEKLIDKIQEMQEASDHLKKQFETESEVKCNFRQEASRLTLENRDLEEELDMKDRVIKKLQDQVKTLSKTIGKANDVHSSSGPKEYLGMLQYKREDEAKLIQNLILDLKPRGVVVNMIPGLPAHILFMCVRYADSLNDANMLKSLMNSTINGIKQVVKEHLEDFEMLSFWLSNTCHFLNCLKQYSGEEEFMKHNSPQQNKNCLNNFDLSEYRQILSDVAIRIYHQFIIIMEKNIQPIIVPGMLEYESLQGISGLKPTGFRKRSSSIDDTDGYTMTSVLQQLSYFYTTMCQNGLDPELVRQAVKQLFFLIGAVTLNSLFLRKDMCSCRKGMQIRCNISYLEEWLKDKNLQNSLAKETLEPLSQAAWLLQVKKTTDSDAKEIYERCTSLSAVQIIKILNSYTPIDDFEKRVTPSFVRKVQALLNSREDSSQLMLDTKYLFQVTFPFTPSPHALEMIQIPSSFKLGFLNRL
+>DECOY_sp|Q9NQX4|MYO5C_HUMAN Unconventional myosin-Vc OS=Homo sapiens OX=9606 GN=MYO5C PE=1 SV=2
+LRNLFGLKFSSPIQIMELAHPSPTFPFTVQFLYKTDLMLQSSDERSNLLAQVKRVFSPTVRKEFDDIPTYSNLIKIIQVASLSTCREYIEKADSDTTKKVQLLWAAQSLPELTEKALSNQLNKDKLWEELYSINCRIQMGKRCSCMDKRLFLSNLTVAGILFFLQKVAQRVLEPDLGNQCMTTYFYSLQQLVSTMTYGDTDDISSSRKRFGTPKLGSIGQLSEYELMGPVIIPQINKEMIIIFQHYIRIAVDSLIQRYESLDFNNLCNKNQQPSNHKMFEEEGSYQKLCNLFHCTNSLWFSLMEFDELHEKVVQKIGNITSNMLSKLMNADNLSDAYRVCMFLIHAPLGPIMNVVVGRPKLDLILNQILKAEDERKYQLMGLYEKPGSSSHVDNAKGITKSLTKVQDQLKKIVRDKMDLEEELDRNELTLRSAEQRFNCKVESETEFQKKLHDSAEQMEQIKDILKEKEKTHIENQAELAKRQTGEEEQSRHLQNSLEELKGKMKEAQENLRIELDQKQKELESIQQKFDPIMMNESTLRTVEHRISENIDNEERFLKQLHNIEQSLHVVKFNLAEIEKEYCDKQSQFHSELVRTAKKLGEYAFWLEGDENLHELDEVSLRSRVDEIDYSELLQKTIESMKERMERKQSQVHKEVDIKQAQLLEIEKEFESITKVQKSLRAVEAKLGDSTVHEGEVLHQLQMKEDKLAKIEEKLSQIQKEYDQTKLEFSKELLMRQREEKQVDDFLQKTLNDMKEKLEETKEQLKLQIQEKQTELESNHKQLKALKEEVADRYRKGKEEYNRRHTAAKELEAELKQIKEVDGARLAALSTLKEVLGHNEKNQDELKKQLRQVRYTLQINLVFRRISQFRRRALWARAYKQLIVAKHEELMKRYRRRALFGRSYAQMTITAMRILQYLSRVLYGRCHKQIIIAAWAEKLAVATIAKRVTQQGRFYQQIILAARRERLFKKRQLWGRMHKQVMVCSQRLKDLRLKELYAVQGARFFIKTKGFQYQNSDQILRHLVVKCVEKKDSFSLEQKTMLIGYRSYFEIYTWRSPYSQASIRITELVGCARLQQVIRKSDFEFPLKEDNPKICRVYHPTTANLTEMLLYLSSRFKSGVTTRFHKSNPKIVQKASKVTIMSGFPSPPTPNEQFFNACLHFKSARLIEVLMDYVTDRNKELFGECKYEVKDAFHQIVFSTNSMRPKEFLPNRNVFNNYLKQLWNEDTGHPLLCEEDLLELIGMKAEILDIVPQNDYFDILTWPIDEKMYEEQELKFVHMNFQQQLKENAYNICFQEFSNVDFTEFGYIDLVGIFTHQKGSFQLAQNIREVIFDFLHAYIKKALADRANVAQPRTMPKVVTESSTVIKRNCLWQAVRGSELGLLECFVKLHSDDESVSSRENGVATIQVNGLHLIAALIKFVDMQFDEKFGLLTFTKQTEVMEARDNVGEIVTNGGMRTYNFEEASGLKLHKFESQQASACLQYFIHYNRENESQFVVRSKELLYTSMNAGIIQNQEDFSIETYKGFRSSNDNRTTKANGVAETIPNSALVKDEVHANSGSKSVTAFYRMAYRASVTKGAGSEGSVIISQNRNNRAMQKYAEEAVAFIHPDMDGMNQGSYAHIIADGYIPLQKYPNMAVLIIGSYTYILKSEAFRIRLNHLVAPEHLYSLATLDNEGVLIDPNRLPPLSEPNVSYDLETGDELLLRLVKDGVRYDKAIEASKWVEEPDPIWVRNYQTYLEAVAM
+>sp|Q9UM54|MYO6_HUMAN Unconventional myosin-VI OS=Homo sapiens OX=9606 GN=MYO6 PE=1 SV=4
+MEDGKPVWAPHPTDGFQMGNIVDIGPDSLTIEPLNQKGKTFLALINQVFPAEEDSKKDVEDNCSLMYLNEATLLHNIKVRYSKDRIYTYVANILIAVNPYFDIPKIYSSEAIKSYQGKSLGTRPPHVFAIADKAFRDMKVLKMSQSIIVSGESGAGKTENTKFVLRYLTESYGTGQDIDDRIVEANPLLEAFGNAKTVRNNNSSRFGKFVEIHFNEKSSVVGGFVSHYLLEKSRICVQGKEERNYHIFYRLCAGASEDIREKLHLSSPDNFRYLNRGCTRYFANKETDKQILQNRKSPEYLKAGSMKDPLLDDHGDFIRMCTAMKKIGLDDEEKLDLFRVVAGVLHLGNIDFEEAGSTSGGCNLKNKSAQSLEYCAELLGLDQDDLRVSLTTRVMLTTAGGTKGTVIKVPLKVEQANNARDALAKTVYSHLFDHVVNRVNQCFPFETSSYFIGVLDIAGFEYFEHNSFEQFCINYCNEKLQQFFNERILKEEQELYQKEGLGVNEVHYVDNQDCIDLIEAKLVGILDILDEENRLPQPSDQHFTSAVHQKHKDHFRLTIPRKSKLAVHRNIRDDEGFIIRHFAGAVCYETTQFVEKNNDALHMSLESLICESRDKFIRELFESSTNNNKDTKQKAGKLSFISVGNKFKTQLNLLLDKLRSTGASFIRCIKPNLKMTSHHFEGAQILSQLQCSGMVSVLDLMQGGYPSRASFHELYNMYKKYMPDKLARLDPRLFCKALFKALGLNENDYKFGLTKVFFRPGKFAEFDQIMKSDPDHLAELVKRVNHWLTCSRWKKVQWCSLSVIKLKNKIKYRAEACIKMQKTIRMWLCKRRHKPRIDGLVKVGTLKKRLDKFNEVVSVLKDGKPEMNKQIKNLEISIDTLMAKIKSTMMTQEQIQKEYDALVKSSEELLSALQKKKQQEEEAERLRRIQEEMEKERKRREEDEKRRRKEEEERRMKLEMEAKRKQEEEERKKREDDEKRIQAEVEAQLARQKEEESQQQAVLEQERRDRELALRIAQSEAELISDEAQADLALRRSLDSYPVSKNDGTRPKMTPEQMAKEMSEFLSRGPAVLATKAAAGTKKYDLSKWKYAELRDTINTSCDIELLAACREEFHRRLKVYHAWKSKNKKRNTETEQRAPKSVTDYDFAPFLNNSPQQNPAAQIPARQREIEMNRQQRFFRIPFIRPADQYKDPQSKKKGWWYAHFDGPWIARQMELHPDKPPILLVAGKDDMEMCELNLEETGLTRKRGAEILPRQFEEIWERCGGIQYLQNAIESRQARPTYATAMLQSLLK
+>DECOY_sp|Q9UM54|MYO6_HUMAN Unconventional myosin-VI OS=Homo sapiens OX=9606 GN=MYO6 PE=1 SV=4
+KLLSQLMATAYTPRAQRSEIANQLYQIGGCREWIEEFQRPLIEAGRKRTLGTEELNLECMEMDDKGAVLLIPPKDPHLEMQRAIWPGDFHAYWWGKKKSQPDKYQDAPRIFPIRFFRQQRNMEIERQRAPIQAAPNQQPSNNLFPAFDYDTVSKPARQETETNRKKNKSKWAHYVKLRRHFEERCAALLEIDCSTNITDRLEAYKWKSLDYKKTGAAAKTALVAPGRSLFESMEKAMQEPTMKPRTGDNKSVPYSDLSRRLALDAQAEDSILEAESQAIRLALERDRREQELVAQQQSEEEKQRALQAEVEAQIRKEDDERKKREEEEQKRKAEMELKMRREEEEKRRRKEDEERRKREKEMEEQIRRLREAEEEQQKKKQLASLLEESSKVLADYEKQIQEQTMMTSKIKAMLTDISIELNKIQKNMEPKGDKLVSVVENFKDLRKKLTGVKVLGDIRPKHRRKCLWMRITKQMKICAEARYKIKNKLKIVSLSCWQVKKWRSCTLWHNVRKVLEALHDPDSKMIQDFEAFKGPRFFVKTLGFKYDNENLGLAKFLAKCFLRPDLRALKDPMYKKYMNYLEHFSARSPYGGQMLDLVSVMGSCQLQSLIQAGEFHHSTMKLNPKICRIFSAGTSRLKDLLLNLQTKFKNGVSIFSLKGAKQKTDKNNNTSSEFLERIFKDRSECILSELSMHLADNNKEVFQTTEYCVAGAFHRIIFGEDDRINRHVALKSKRPITLRFHDKHKQHVASTFHQDSPQPLRNEEDLIDLIGVLKAEILDICDQNDVYHVENVGLGEKQYLEQEEKLIRENFFQQLKENCYNICFQEFSNHEFYEFGAIDLVGIFYSSTEFPFCQNVRNVVHDFLHSYVTKALADRANNAQEVKLPVKIVTGKTGGATTLMVRTTLSVRLDDQDLGLLEACYELSQASKNKLNCGGSTSGAEEFDINGLHLVGAVVRFLDLKEEDDLGIKKMATCMRIFDGHDDLLPDKMSGAKLYEPSKRNQLIQKDTEKNAFYRTCGRNLYRFNDPSSLHLKERIDESAGACLRYFIHYNREEKGQVCIRSKELLYHSVFGGVVSSKENFHIEVFKGFRSSNNNRVTKANGFAELLPNAEVIRDDIDQGTGYSETLYRLVFKTNETKGAGSEGSVIISQSMKLVKMDRFAKDAIAFVHPPRTGLSKGQYSKIAESSYIKPIDFYPNVAILINAVYTYIRDKSYRVKINHLLTAENLYMLSCNDEVDKKSDEEAPFVQNILALFTKGKQNLPEITLSDPGIDVINGMQFGDTPHPAWVPKGDEM
+>sp|Q13402|MYO7A_HUMAN Unconventional myosin-VIIa OS=Homo sapiens OX=9606 GN=MYO7A PE=1 SV=2
+MVILQQGDHVWMDLRLGQEFDVPIGAVVKLCDSGQVQVVDDEDNEHWISPQNATHIKPMHPTSVHGVEDMIRLGDLNEAGILRNLLIRYRDHLIYTYTGSILVAVNPYQLLSIYSPEHIRQYTNKKIGEMPPHIFAIADNCYFNMKRNSRDQCCIISGESGAGKTESTKLILQFLAAISGQHSWIEQQVLEATPILEAFGNAKTIRNDNSSRFGKYIDIHFNKRGAIEGAKIEQYLLEKSRVCRQALDERNYHVFYCMLEGMSEDQKKKLGLGQASDYNYLAMGNCITCEGRVDSQEYANIRSAMKVLMFTDTENWEISKLLAAILHLGNLQYEARTFENLDACEVLFSPSLATAASLLEVNPPDLMSCLTSRTLITRGETVSTPLSREQALDVRDAFVKGIYGRLFVWIVDKINAAIYKPPSQDVKNSRRSIGLLDIFGFENFAVNSFEQLCINFANEHLQQFFVRHVFKLEQEEYDLESIDWLHIEFTDNQDALDMIANKPMNIISLIDEESKFPKGTDTTMLHKLNSQHKLNANYIPPKNNHETQFGINHFAGIVYYETQGFLEKNRDTLHGDIIQLVHSSRNKFIKQIFQADVAMGAETRKRSPTLSSQFKRSLELLMRTLGACQPFFVRCIKPNEFKKPMLFDRHLCVRQLRYSGMMETIRIRRAGYPIRYSFVEFVERYRVLLPGVKPAYKQGDLRGTCQRMAEAVLGTHDDWQIGKTKIFLKDHHDMLLEVERDKAITDRVILLQKVIRGFKDRSNFLKLKNAATLIQRHWRGHNCRKNYGLMRLGFLRLQALHRSRKLHQQYRLARQRIIQFQARCRAYLVRKAFRHRLWAVLTVQAYARGMIARRLHQRLRAEYLWRLEAEKMRLAEEEKLRKEMSAKKAKEEAERKHQERLAQLAREDAERELKEKEAARRKKELLEQMERARHEPVNHSDMVDKMFGFLGTSGGLPGQEGQAPSGFEDLERGRREMVEEDLDAALPLPDEDEEDLSEYKFAKFAATYFQGTTTHSYTRRPLKQPLLYHDDEGDQLAALAVWITILRFMGDLPEPKYHTAMSDGSEKIPVMTKIYETLGKKTYKRELQALQGEGEAQLPEGQKKSSVRHKLVHLTLKKKSKLTEEVTKRLHDGESTVQGNSMLEDRPTSNLEKLHFIIGNGILRPALRDEIYCQISKQLTHNPSKSSYARGWILVSLCVGCFAPSEKFVKYLRNFIHGGPPGYAPYCEERLRRTFVNGTRTQPPSWLELQATKSKKPIMLPVTFMDGTTKTLLTDSATTAKELCNALADKISLKDRFGFSLYIALFDKVSSLGSGSDHVMDAISQCEQYAKEQGAQERNAPWRLFFRKEVFTPWHSPSEDNVATNLIYQQVVRGVKFGEYRCEKEDDLAELASQQYFVDYGSEMILERLLNLVPTYIPDREITPLKTLEKWAQLAIAAHKKGIYAQRRTDAQKVKEDVVSYARFKWPLLFSRFYEAYKFSGPSLPKNDVIVAVNWTGVYFVDEQEQVLLELSFPEIMAVSSSRECRVWLSLGCSDLGCAAPHSGWAGLTPAGPCSPCWSCRGAKTTAPSFTLATIKGDEYTFTSSNAEDIRDLVVTFLEGLRKRSKYVVALQDNPNPAGEESGFLSFAKGDLIILDHDTGEQVMNSGWANGINERTKQRGDFPTDSVYVMPTVTMPPREIVALVTMTPDQRQDVVRLLQLRTAEPEVRAKPYTLEEFSYDYFRPPPKHTLSRVMVSKARGKDRLWSHTREPLKQALLKKLLGSEELSQEACLAFIAVLKYMGDYPSKRTRSVNELTDQIFEGPLKAEPLKDEAYVQILKQLTDNHIRYSEERGWELLWLCTGLFPPSNILLPHVQRFLQSRKHCPLAIDCLQRLQKALRNGSRKYPPHLVEVEAIQHKTTQIFHKVYFPDDTDEAFEVESSTKAKDFCQNIATRLLLKSSEGFSLFVKIADKVLSVPENDFFFDFVRHLTDWIKKARPIKDGIVPSLTYQVFFMKKLWTTTVPGKDPMADSIFHYYQELPKYLRGYHKCTREEVLQLGALIYRVKFEEDKSYFPSIPKLLRELVPQDLIRQVSPDDWKRSIVAYFNKHAGKSKEEAKLAFLKLIFKWPTFGSAFFEVKQTTEPNFPEILLIAINKYGVSLIDPKTKDILTTHPFTKISNWSSGNTYFHITIGNLVRGSKLLCETSLGYKMDDLLTSYISQMLTAMSKQRGSRSGK
+>DECOY_sp|Q13402|MYO7A_HUMAN Unconventional myosin-VIIa OS=Homo sapiens OX=9606 GN=MYO7A PE=1 SV=2
+KGSRSGRQKSMATLMQSIYSTLLDDMKYGLSTECLLKSGRVLNGITIHFYTNGSSWNSIKTFPHTTLIDKTKPDILSVGYKNIAILLIEPFNPETTQKVEFFASGFTPWKFILKLFALKAEEKSKGAHKNFYAVISRKWDDPSVQRILDQPVLERLLKPISPFYSKDEEFKVRYILAGLQLVEERTCKHYGRLYKPLEQYYHFISDAMPDKGPVTTTWLKKMFFVQYTLSPVIGDKIPRAKKIWDTLHRVFDFFFDNEPVSLVKDAIKVFLSFGESSKLLLRTAINQCFDKAKTSSEVEFAEDTDDPFYVKHFIQTTKHQIAEVEVLHPPYKRSGNRLAKQLRQLCDIALPCHKRSQLFRQVHPLLINSPPFLGTCLWLLEWGREESYRIHNDTLQKLIQVYAEDKLPEAKLPGEFIQDTLENVSRTRKSPYDGMYKLVAIFALCAEQSLEESGLLKKLLAQKLPERTHSWLRDKGRAKSVMVRSLTHKPPPRFYDYSFEELTYPKARVEPEATRLQLLRVVDQRQDPTMTVLAVIERPPMTVTPMVYVSDTPFDGRQKTRENIGNAWGSNMVQEGTDHDLIILDGKAFSLFGSEEGAPNPNDQLAVVYKSRKRLGELFTVVLDRIDEANSSTFTYEDGKITALTFSPATTKAGRCSWCPSCPGAPTLGAWGSHPAACGLDSCGLSLWVRCERSSSVAMIEPFSLELLVQEQEDVFYVGTWNVAVIVDNKPLSPGSFKYAEYFRSFLLPWKFRAYSVVDEKVKQADTRRQAYIGKKHAAIALQAWKELTKLPTIERDPIYTPVLNLLRELIMESGYDVFYQQSALEALDDEKECRYEGFKVGRVVQQYILNTAVNDESPSHWPTFVEKRFFLRWPANREQAGQEKAYQECQSIADMVHDSGSGLSSVKDFLAIYLSFGFRDKLSIKDALANCLEKATTASDTLLTKTTGDMFTVPLMIPKKSKTAQLELWSPPQTRTGNVFTRRLREECYPAYGPPGGHIFNRLYKVFKESPAFCGVCLSVLIWGRAYSSKSPNHTLQKSIQCYIEDRLAPRLIGNGIIFHLKELNSTPRDELMSNGQVTSEGDHLRKTVEETLKSKKKLTLHVLKHRVSSKKQGEPLQAEGEGQLAQLERKYTKKGLTEYIKTMVPIKESGDSMATHYKPEPLDGMFRLITIWVALAALQDGEDDHYLLPQKLPRRTYSHTTTGQFYTAAFKAFKYESLDEEDEDPLPLAADLDEEVMERRGRELDEFGSPAQGEQGPLGGSTGLFGFMKDVMDSHNVPEHRAREMQELLEKKRRAAEKEKLEREADERALQALREQHKREAEEKAKKASMEKRLKEEEALRMKEAELRWLYEARLRQHLRRAIMGRAYAQVTLVAWLRHRFAKRVLYARCRAQFQIIRQRALRYQQHLKRSRHLAQLRLFGLRMLGYNKRCNHGRWHRQILTAANKLKLFNSRDKFGRIVKQLLIVRDTIAKDREVELLMDHHDKLFIKTKGIQWDDHTGLVAEAMRQCTGRLDGQKYAPKVGPLLVRYREVFEVFSYRIPYGARRIRITEMMGSYRLQRVCLHRDFLMPKKFENPKICRVFFPQCAGLTRMLLELSRKFQSSLTPSRKRTEAGMAVDAQFIQKIFKNRSSHVLQIIDGHLTDRNKELFGQTEYYVIGAFHNIGFQTEHNNKPPIYNANLKHQSNLKHLMTTDTGKPFKSEEDILSIINMPKNAIMDLADQNDTFEIHLWDISELDYEEQELKFVHRVFFQQLHENAFNICLQEFSNVAFNEFGFIDLLGISRRSNKVDQSPPKYIAANIKDVIWVFLRGYIGKVFADRVDLAQERSLPTSVTEGRTILTRSTLCSMLDPPNVELLSAATALSPSFLVECADLNEFTRAEYQLNGLHLIAALLKSIEWNETDTFMLVKMASRINAYEQSDVRGECTICNGMALYNYDSAQGLGLKKKQDESMGELMCYFVHYNREDLAQRCVRSKELLYQEIKAGEIAGRKNFHIDIYKGFRSSNDNRITKANGFAELIPTAELVQQEIWSHQGSIAALFQLILKTSETKGAGSEGSIICCQDRSNRKMNFYCNDAIAFIHPPMEGIKKNTYQRIHEPSYISLLQYPNVAVLISGTYTYILHDRYRILLNRLIGAENLDGLRIMDEVGHVSTPHMPKIHTANQPSIWHENDEDDVVQVQGSDCLKVVAGIPVDFEQGLRLDMWVHDGQQLIVM
+>sp|Q99972|MYOC_HUMAN Myocilin OS=Homo sapiens OX=9606 GN=MYOC PE=1 SV=2
+MRFFCARCCSFGPEMPAVQLLLLACLVWDVGARTAQLRKANDQSGRCQYTFSVASPNESSCPEQSQAMSVIHNLQRDSSTQRLDLEATKARLSSLESLLHQLTLDQAARPQETQEGLQRELGTLRRERDQLETQTRELETAYSNLLRDKSVLEEEKKRLRQENENLARRLESSSQEVARLRRGQCPQTRDTARAVPPGSREVSTWNLDTLAFQELKSELTEVPASRILKESPSGYLRSGEGDTGCGELVWVGEPLTLRTAETITGKYGVWMRDPKPTYPYTQETTWRIDTVGTDVRQVFEYDLISQFMQGYPSKVHILPRPLESTGAVVYSGSLYFQGAESRTVIRYELNTETVKAEKEIPGAGYHGQFPYSWGGYTDIDLAVDEAGLWVIYSTDEAKGAIVLSKLNPENLELEQTWETNIRKQSVANAFIICGTLYTVSSYTSADATVNFAYDTGTGISKTLTIPFKNRYKYSSMIDYNPLEKKLFAWDNLNMVTYDIKLSKM
+>DECOY_sp|Q99972|MYOC_HUMAN Myocilin OS=Homo sapiens OX=9606 GN=MYOC PE=1 SV=2
+MKSLKIDYTVMNLNDWAFLKKELPNYDIMSSYKYRNKFPITLTKSIGTGTDYAFNVTADASTYSSVTYLTGCIIFANAVSQKRINTEWTQELELNEPNLKSLVIAGKAEDTSYIVWLGAEDVALDIDTYGGWSYPFQGHYGAGPIEKEAKVTETNLEYRIVTRSEAGQFYLSGSYVVAGTSELPRPLIHVKSPYGQMFQSILDYEFVQRVDTGVTDIRWTTEQTYPYTPKPDRMWVGYKGTITEATRLTLPEGVWVLEGCGTDGEGSRLYGSPSEKLIRSAPVETLESKLEQFALTDLNWTSVERSGPPVARATDRTQPCQGRRLRAVEQSSSELRRALNENEQRLRKKEEELVSKDRLLNSYATELERTQTELQDRERRLTGLERQLGEQTEQPRAAQDLTLQHLLSELSSLRAKTAELDLRQTSSDRQLNHIVSMAQSQEPCSSENPSAVSFTYQCRGSQDNAKRLQATRAGVDWVLCALLLLQVAPMEPGFSCCRACFFRM
+>sp|P52179|MYOM1_HUMAN Myomesin-1 OS=Homo sapiens OX=9606 GN=MYOM1 PE=1 SV=2
+MSLPFYQRCHQHYDLSYRNKDVRSTVSHYQREKKRSAVYTQGSTAYSSRSSAAHRRESEAFRRASASSSQQQASQHALSSEVSRKAASAYDYGSSHGLTDSSLLLDDYSSKLSPKPKRAKHSLLSGEEKENLPSDYMVPIFSGRQKHVSGITDTEEERIKEAAAYIAQRNLLASEEGITTSKQSTASKQTTASKQSTASKQSTASKQSTASRQSTASRQSVVSKQATSALQQEETSEKKSRKVVIREKAERLSLRKTLEETETYHAKLNEDHLLHAPEFIIKPRSHTVWEKENVKLHCSIAGWPEPRVTWYKNQVPINVHANPGKYIIESRYGMHTLEINGCDFEDTAQYRASAMNVKGELSAYASVVVKRYKGEFDETRFHAGASTMPLSFGVTPYGYASRFEIHFDDKFDVSFGREGETMSLGCRVVITPEIKHFQPEIQWYRNGVPLSPSKWVQTLWSGERATLTFSHLNKEDEGLYTIRVRMGEYYEQYSAYVFVRDADAEIEGAPAAPLDVKCLEANKDYIIISWKQPAVDGGSPILGYFIDKCEVGTDSWSQCNDTPVKFARFPVTGLIEGRSYIFRVRAVNKMGIGFPSRVSEPVAALDPAEKARLKSRPSAPWTGQIIVTEEEPSEGIVPGPPTDLSVTEATRSYVVLSWKPPGQRGHEGIMYFVEKCEAGTENWQRVNTELPVKSPRFALFDLAEGKSYCFRVRCSNSAGVGEPSEATEVTVVGDKLDIPKAPGKIIPSRNTDTSVVVSWEESKDAKELVGYYIEASVAGSGKWEPCNNNPVKGSRFTCHGLVTGQSYIFRVRAVNAAGLSEYSQDSEAIEVKAAIGGGVSPDVCPALSDEPGGLTASRGRVHEASPPTFQKDALLGSKPNKPSLPSSSQNLGQTEVSKVSETVQEELTPPPQKAAPQGKSKSDPLKKKTDRAPPSPPCDITCLESFRDSMVLGWKQPDKIGGAEITGYYVNYREVIDGVPGKWREANVKAVSEEAYKISNLKENMVYQFQVAAMNMAGLGAPSAVSECFKCEEWTIAVPGPPHSLKCSEVRKDSLVLQWKPPVHSGRTPVTGYFVDLKEAKAKEDQWRGLNEAAIKNVYLKVRGLKEGVSYVFRVRAINQAGVGKPSDLAGPVVAETRPGTKEVVVNVDDDGVISLNFECDKMTPKSEFSWSKDYVSTEDSPRLEVESKGNKTKMTFKDLGMDDLGIYSCDVTDTDGIASSYLIDEEELKRLLALSHEHKFPTVPVKSELAVEILEKGQVRFWMQAEKLSGNAKVNYIFNEKEIFEGPKYKMHIDRNTGIIEMFMEKLQDEDEGTYTFQLQDGKATNHSTVVLVGDVFKKLQKEAEFQRQEWIRKQGPHFVEYLSWEVTGECNVLLKCKVANIKKETHIVWYKDEREISVDEKHDFKDGICTLLITEFSKKDAGIYEVILKDDRGKDKSRLKLVDEAFKELMMEVCKKIALSATDLKIQSTAEGIQLYSFVTYYVEDLKVNWSHNGSAIRYSDRVKTGVTGEQIWLQINEPTPNDKGKYVMELFDGKTGHQKTVDLSGQAYDEAYAEFQRLKQAAIAEKNRARVLGGLPDVVTIQEGKALNLTCNVWGDPPPEVSWLKNEKALASDDHCNLKFEAGRTAYFTINGVSTADSGKYGLVVKNKYGSETSDFTVSVFIPEEEARMAALESLKGGKKAK
+>DECOY_sp|P52179|MYOM1_HUMAN Myomesin-1 OS=Homo sapiens OX=9606 GN=MYOM1 PE=1 SV=2
+KAKKGGKLSELAAMRAEEEPIFVSVTFDSTESGYKNKVVLGYKGSDATSVGNITFYATRGAEFKLNCHDDSALAKENKLWSVEPPPDGWVNCTLNLAKGEQITVVDPLGGLVRARNKEAIAAQKLRQFEAYAEDYAQGSLDVTKQHGTKGDFLEMVYKGKDNPTPENIQLWIQEGTVGTKVRDSYRIASGNHSWNVKLDEVYYTVFSYLQIGEATSQIKLDTASLAIKKCVEMMLEKFAEDVLKLRSKDKGRDDKLIVEYIGADKKSFETILLTCIGDKFDHKEDVSIEREDKYWVIHTEKKINAVKCKLLVNCEGTVEWSLYEVFHPGQKRIWEQRQFEAEKQLKKFVDGVLVVTSHNTAKGDQLQFTYTGEDEDQLKEMFMEIIGTNRDIHMKYKPGEFIEKENFIYNVKANGSLKEAQMWFRVQGKELIEVALESKVPVTPFKHEHSLALLRKLEEEDILYSSAIGDTDTVDCSYIGLDDMGLDKFTMKTKNGKSEVELRPSDETSVYDKSWSFESKPTMKDCEFNLSIVGDDDVNVVVEKTGPRTEAVVPGALDSPKGVGAQNIARVRFVYSVGEKLGRVKLYVNKIAAENLGRWQDEKAKAEKLDVFYGTVPTRGSHVPPKWQLVLSDKRVESCKLSHPPGPVAITWEECKFCESVASPAGLGAMNMAAVQFQYVMNEKLNSIKYAEESVAKVNAERWKGPVGDIVERYNVYYGTIEAGGIKDPQKWGLVMSDRFSELCTIDCPPSPPARDTKKKLPDSKSKGQPAAKQPPPTLEEQVTESVKSVETQGLNQSSSPLSPKNPKSGLLADKQFTPPSAEHVRGRSATLGGPEDSLAPCVDPSVGGGIAAKVEIAESDQSYESLGAANVARVRFIYSQGTVLGHCTFRSGKVPNNNCPEWKGSGAVSAEIYYGVLEKADKSEEWSVVVSTDTNRSPIIKGPAKPIDLKDGVVTVETAESPEGVGASNSCRVRFCYSKGEALDFLAFRPSKVPLETNVRQWNETGAECKEVFYMIGEHGRQGPPKWSLVVYSRTAETVSLDTPPGPVIGESPEEETVIIQGTWPASPRSKLRAKEAPDLAAVPESVRSPFGIGMKNVARVRFIYSRGEILGTVPFRAFKVPTDNCQSWSDTGVECKDIFYGLIPSGGDVAPQKWSIIIYDKNAELCKVDLPAAPAGEIEADADRVFVYASYQEYYEGMRVRITYLGEDEKNLHSFTLTAREGSWLTQVWKSPSLPVGNRYWQIEPQFHKIEPTIVVRCGLSMTEGERGFSVDFKDDFHIEFRSAYGYPTVGFSLPMTSAGAHFRTEDFEGKYRKVVVSAYASLEGKVNMASARYQATDEFDCGNIELTHMGYRSEIIYKGPNAHVNIPVQNKYWTVRPEPWGAISCHLKVNEKEWVTHSRPKIIFEPAHLLHDENLKAHYTETEELTKRLSLREAKERIVVKRSKKESTEEQQLASTAQKSVVSQRSATSQRSATSQKSATSQKSATSQKSATTQKSATSQKSTTIGEESALLNRQAIYAAAEKIREEETDTIGSVHKQRGSFIPVMYDSPLNEKEEGSLLSHKARKPKPSLKSSYDDLLLSSDTLGHSSGYDYASAAKRSVESSLAHQSAQQQSSSASARRFAESERRHAASSRSSYATSGQTYVASRKKERQYHSVTSRVDKNRYSLDYHQHCRQYFPLSM
+>sp|Q5VTT5|MYOM3_HUMAN Myomesin-3 OS=Homo sapiens OX=9606 GN=MYOM3 PE=1 SV=1
+MTLPHSLGGAGDPRPPQAMEVHRLEHRQEEEQKEERQHSLRMGSSVRRRTFRSSEEEHEFSAADYALAAALALTASSELSWEAQLRRQTSAVELEERGQKRVGFGNDWERTEIAFLQTHRLLRQRRDWKTLRRRTEEKVQEAKELRELCYGRGPWFWIPLRSHAVWEHTTVLLTCTVQASPPPQVTWYKNDTRIDPRLFRAGKYRITNNYGLLSLEIRRCAIEDSATYTVRVKNAHGQASSFAKVLVRTYLGKDAGFDSEIFKRSTFGPSVEFTSVLKPVFAREKEPFSLSCLFSEDVLDAESIQWFRDGSLLRSSRRRKILYTDRQASLKVSCTYKEDEGLYMVRVPSPFGPREQSTYVLVRDAEAENPGAPGSPLNVRCLDVNRDCLILTWAPPSDTRGNPITAYTIERCQGESGEWIACHEAPGGTCRCPIQGLVEGQSYRFRVRAISRVGSSVPSKASELVVMGDHDAARRKTEIPFDLGNKITISTDAFEDTVTIPSPPTNVHASEIREAYVVLAWEEPSPRDRAPLTYSLEKSVIGSGTWEAISSESPVRSPRFAVLDLEKKKSYVFRVRAMNQYGLSDPSEPSEPIALRGPPATLPPPAQVQAFRDTQTSVSLTWDPVKDPELLGYYIYSRKVGTSEWQTVNNKPIQGTRFTVPGLRTGKEYEFCVRSVSEAGVGESSAATEPIRVKQALATPSAPYGFALLNCGKNEMVIGWKPPKRRGGGKILGYFLDQHDSEELDWHAVNQQPIPTRVCKVSDLHEGHFYEFRARAANWAGVGELSAPSSLFECKEWTMPQPGPPYDVRASEVRATSLVLQWEPPLYMGAGPVTGYHVSFQEEGSEQWKPVTPGPISGTHLRVSDLQPGKSYVFQVQAMNSAGLGQPSMPTDPVLLEDKPGAHEIEVGVDEEGFIYLAFEAPEAPDSSEFQWSKDYKGPLDPQRVKIEDKVNKSKVILKEPGLEDLGTYSVIVTDADEDISASHTLTEEELEKLKKLSHEIRNPVIKLISGWNIDILERGEVRLWLEVEKLSPAAELHLIFNNKEIFSSPNRKINFDREKGLVEVIIQNLSEEDKGSYTAQLQDGKAKNQITLTLVDDDFDKLLRKADAKRRDWKRKQGPYFERPLQWKVTEDCQVQLTCKVTNTKKETRFQWFFQRAEMPDGQYDPETGTGLLCIEELSKKDKGIYRAMVSDDRGEDDTILDLTGDALDAIFTELGRIGALSATPLKIQGTEEGIRIFSKVKYYNVEYMKTTWFHKDKRLESGDRIRTGTTLDEIWLHILDPKDSDKGKYTLEIAAGKEVRQLSTDLSGQAFEDAMAEHQRLKTLAIIEKNRAKVVRGLPDVATIMEDKTLCLTCIVSGDPTPEISWLKNDQPVTFLDRYRMEVRGTEVTITIEKVNSEDSGRYGVFVKNKYGSETGQVTISVFKHGDEPKELKSM
+>DECOY_sp|Q5VTT5|MYOM3_HUMAN Myomesin-3 OS=Homo sapiens OX=9606 GN=MYOM3 PE=1 SV=1
+MSKLEKPEDGHKFVSITVQGTESGYKNKVFVGYRGSDESNVKEITITVETGRVEMRYRDLFTVPQDNKLWSIEPTPDGSVICTLCLTKDEMITAVDPLGRVVKARNKEIIALTKLRQHEAMADEFAQGSLDTSLQRVEKGAAIELTYKGKDSDKPDLIHLWIEDLTTGTRIRDGSELRKDKHFWTTKMYEVNYYKVKSFIRIGEETGQIKLPTASLAGIRGLETFIADLADGTLDLITDDEGRDDSVMARYIGKDKKSLEEICLLGTGTEPDYQGDPMEARQFFWQFRTEKKTNTVKCTLQVQCDETVKWQLPREFYPGQKRKWDRRKADAKRLLKDFDDDVLTLTIQNKAKGDQLQATYSGKDEESLNQIIVEVLGKERDFNIKRNPSSFIEKNNFILHLEAAPSLKEVELWLRVEGRELIDINWGSILKIVPNRIEHSLKKLKELEEETLTHSASIDEDADTVIVSYTGLDELGPEKLIVKSKNVKDEIKVRQPDLPGKYDKSWQFESSDPAEPAEFALYIFGEEDVGVEIEHAGPKDELLVPDTPMSPQGLGASNMAQVQFVYSKGPQLDSVRLHTGSIPGPTVPKWQESGEEQFSVHYGTVPGAGMYLPPEWQLVLSTARVESARVDYPPGPQPMTWEKCEFLSSPASLEGVGAWNAARARFEYFHGEHLDSVKCVRTPIPQQNVAHWDLEESDHQDLFYGLIKGGGRRKPPKWGIVMENKGCNLLAFGYPASPTALAQKVRIPETAASSEGVGAESVSRVCFEYEKGTRLGPVTFRTGQIPKNNVTQWESTGVKRSYIYYGLLEPDKVPDWTLSVSTQTDRFAQVQAPPPLTAPPGRLAIPESPESPDSLGYQNMARVRFVYSKKKELDLVAFRPSRVPSESSIAEWTGSGIVSKELSYTLPARDRPSPEEWALVVYAERIESAHVNTPPSPITVTDEFADTSITIKNGLDFPIETKRRAADHDGMVVLESAKSPVSSGVRSIARVRFRYSQGEVLGQIPCRCTGGPAEHCAIWEGSEGQCREITYATIPNGRTDSPPAWTLILCDRNVDLCRVNLPSGPAGPNEAEADRVLVYTSQERPGFPSPVRVMYLGEDEKYTCSVKLSAQRDTYLIKRRRSSRLLSGDRFWQISEADLVDESFLCSLSFPEKERAFVPKLVSTFEVSPGFTSRKFIESDFGADKGLYTRVLVKAFSSAQGHANKVRVTYTASDEIACRRIELSLLGYNNTIRYKGARFLRPDIRTDNKYWTVQPPPSAQVTCTLLVTTHEWVAHSRLPIWFWPGRGYCLERLEKAEQVKEETRRRLTKWDRRQRLLRHTQLFAIETREWDNGFGVRKQGREELEVASTQRRLQAEWSLESSATLALAAALAYDAASFEHEEESSRFTRRRVSSGMRLSHQREEKQEEEQRHELRHVEMAQPPRPDGAGGLSHPLTM
+>sp|Q5VU43|MYOME_HUMAN Myomegalin OS=Homo sapiens OX=9606 GN=PDE4DIP PE=1 SV=3
+MSNGYRTLSQHLNDLKKENFSLKLRIYFLEERMQQKYEASREDIYKRNIELKVEVESLKRELQDKKQHLDKTWADVENLNSQNEAELRRQFEERQQETEHVYELLENKIQLLQEESRLAKNEAARMAALVEAEKECNLELSEKLKGVTKNWEDVPGDQVKPDQYTEALAQRDKRIEELNQSLAAQERLVEQLSREKQQLLHLLEEPTSMEVQPMTEELLKQQKLNSHETTITQQSVSDSHLAELQEKIQQTEATNKILQEKLNEMSYELKCAQESSQKQDGTIQNLKETLKSRERETEELYQVIEGQNDTMAKLREMLHQSQLGQLHSSEGTSPAQQQVALLDLQSALFCSQLEIQKLQRVVRQKERQLADAKQCVQFVEAAAHESEQQKEASWKHNQELRKALQQLQEELQNKSQQLRAWEAEKYNEIRTQEQNIQHLNHSLSHKEQLLQEFRELLQYRDNSDKTLEANEMLLEKLRQRIHDKAVALERAIDEKFSALEEKEKELRQLRLAVRERDHDLERLRDVLSSNEATMQSMESLLRAKGLEVEQLSTTCQNLQWLKEEMETKFSRWQKEQESIIQQLQTSLHDRNKEVEDLSATLLCKLGPGQSEIAEELCQRLQRKERMLQDLLSDRNKQVLEHEMEIQGLLQSVSTREQESQAAAEKLVQALMERNSELQALRQYLGGRDSLMSQAPISNQQAEVTPTGRLGKQTDQGSMQIPSRDDSTSLTAKEDVSIPRSTLGDLDTVAGLEKELSNAKEELELMAKKERESQMELSALQSMMAVQEEELQVQAADMESLTRNIQIKEDLIKDLQMQLVDPEDIPAMERLTQEVLLLREKVASVESQGQEISGNRRQQLLLMLEGLVDERSRLNEALQAERQLYSSLVKFHAHPESSERDRTLQVELEGAQVLRSRLEEVLGRSLERLNRLETLAAIGGAAAGDDTEDTSTEFTDSIEEEAAHHSHQQLVKVALEKSLATVETQNPSFSPPSPMGGDSNRCLQEEMLHLRAEFHQHLEEKRKAEEELKELKAQIEEAGFSSVSHIRNTMLSLCLENAELKEQMGEAMSDGWEIEEDKEKGEVMVETVVTKEGLSESSLQAEFRKLQGKLKNAHNIINLLKEQLVLSSKEGNSKLTPELLVHLTSTIERINTELVGSPGKHQHQEEGNVTVRPFPRPQSLDLGATFTVDAHQLDNQSQPRDPGPQSAFSLPGSTQHLRSQLSQCKQRYQDLQEKLLLSEATVFAQANELEKYRVMLTGESLVKQDSKQIQVDLQDLGYETCGRSENEAEREETTSPECEEHNSLKEMVLMEGLCSEQGRRGSTLASSSERKPLENQLGKQEEFRVYGKSENILVLRKDIKDLKAQLQNANKVIQNLKSRVRSLSVTSDYSSSLERPRKLRAVGTLEGSSPHSVPDEDEGWLSDGTGAFYSPGLQAKKDLESLIQRVSQLEAQLPKNGLEEKLAEELRSASWPGKYDSLIQDQARELSYLRQKIREGRGICYLITRHAKDTVKSFEDLLRSNDIDYYLGQSFREQLAQGSQLTERLTSKLSTKDHKSEKDQAGLEPLALRLSRELQEKEKVIEVLQAKLDARSLTPSSSHALSDSHRSPSSTSFLSDELEACSDMDIVSEYTHYEEKKASPSHSDSIHHSSHSAVLSSKPSSTSASQGAKAESNSNPISLPTPQNTPKEANQAHSGFHFHSIPKLASLPQAPLPSAPSSFLPFSPTGPLLLGCCETPVVSLAEAQQELQMLQKQLGESASTVPPASTATLLSNDLEADSSYYLNSAQPHSPPRGTIELGRILEPGYLGSSGKWDVMRPQKGSVSGDLSSGSSVYQLNSKPTGADLLEEHLGEIRNLRQRLEESICINDRLREQLEHRLTSTARGRGSTSNFYSQGLESIPQLCNENRVLREDNRRLQAQLSHVSREHSQETESLREALLSSRSHLQELEKELEHQKVERQQLLEDLREKQQEVLHFREERLSLQENDSRLQHKLVLLQQQCEEKQQLFESLQSELQIYEALYGNSKKGLKAYSLDACHQIPLSSDLSHLVAEVRALRGQLEQSIQGNNCLRLQLQQQLESGAGKASLSPSSINQNFPASTDPGNKQLLLQDSAVSPPVRDVGMNSPALVFPSSASSTPGSETPIINRANGLGLDTSPVMKTPPKLEGDATDGSFANKHGRHVIGHIDDYSALRQQIAEGKLLVKKIVSLVRSACSFPGLEAQGTEVLGSKGIHELRSSTSALHHALEESASLLTMFWRAALPSTHIPVLPGKVGESTERELLELRTKVSKQERLLQSTTEHLKNANQQKESMEQFIVSQLTRTHDVLKKARTNLEVKSLRALPCTPAL
+>DECOY_sp|Q5VU43|MYOME_HUMAN Myomegalin OS=Homo sapiens OX=9606 GN=PDE4DIP PE=1 SV=3
+LAPTCPLARLSKVELNTRAKKLVDHTRTLQSVIFQEMSEKQQNANKLHETTSQLLREQKSVKTRLELLERETSEGVKGPLVPIHTSPLAARWFMTLLSASEELAHHLASTSSRLEHIGKSGLVETGQAELGPFSCASRVLSVIKKVLLKGEAIQQRLASYDDIHGIVHRGHKNAFSGDTADGELKPPTKMVPSTDLGLGNARNIIPTESGPTSSASSPFVLAPSNMGVDRVPPSVASDQLLLQKNGPDTSAPFNQNISSPSLSAKGAGSELQQQLQLRLCNNGQISQELQGRLARVEAVLHSLDSSLPIQHCADLSYAKLGKKSNGYLAEYIQLESQLSEFLQQKEECQQQLLVLKHQLRSDNEQLSLREERFHLVEQQKERLDELLQQREVKQHELEKELEQLHSRSSLLAERLSETEQSHERSVHSLQAQLRRNDERLVRNENCLQPISELGQSYFNSTSGRGRATSTLRHELQERLRDNICISEELRQRLNRIEGLHEELLDAGTPKSNLQYVSSGSSLDGSVSGKQPRMVDWKGSSGLYGPELIRGLEITGRPPSHPQASNLYYSSDAELDNSLLTATSAPPVTSASEGLQKQLMQLEQQAEALSVVPTECCGLLLPGTPSFPLFSSPASPLPAQPLSALKPISHFHFGSHAQNAEKPTNQPTPLSIPNSNSEAKAGQSASTSSPKSSLVASHSSHHISDSHSPSAKKEEYHTYESVIDMDSCAELEDSLFSTSSPSRHSDSLAHSSSPTLSRADLKAQLVEIVKEKEQLERSLRLALPELGAQDKESKHDKTSLKSTLRETLQSGQALQERFSQGLYYDIDNSRLLDEFSKVTDKAHRTILYCIGRGERIKQRLYSLERAQDQILSDYKGPWSASRLEEALKEELGNKPLQAELQSVRQILSELDKKAQLGPSYFAGTGDSLWGEDEDPVSHPSSGELTGVARLKRPRELSSSYDSTVSLSRVRSKLNQIVKNANQLQAKLDKIDKRLVLINESKGYVRFEEQKGLQNELPKRESSSALTSGRRGQESCLGEMLVMEKLSNHEECEPSTTEEREAENESRGCTEYGLDQLDVQIQKSDQKVLSEGTLMVRYKELENAQAFVTAESLLLKEQLDQYRQKCQSLQSRLHQTSGPLSFASQPGPDRPQSQNDLQHADVTFTAGLDLSQPRPFPRVTVNGEEQHQHKGPSGVLETNIREITSTLHVLLEPTLKSNGEKSSLVLQEKLLNIINHANKLKGQLKRFEAQLSSESLGEKTVVTEVMVEGKEKDEEIEWGDSMAEGMQEKLEANELCLSLMTNRIHSVSSFGAEEIQAKLEKLEEEAKRKEELHQHFEARLHLMEEQLCRNSDGGMPSPPSFSPNQTEVTALSKELAVKVLQQHSHHAAEEEISDTFETSTDETDDGAAAGGIAALTELRNLRELSRGLVEELRSRLVQAGELEVQLTRDRESSEPHAHFKVLSSYLQREAQLAENLRSREDVLGELMLLLQQRRNGSIEQGQSEVSAVKERLLLVEQTLREMAPIDEPDVLQMQLDKILDEKIQINRTLSEMDAAQVQLEEEQVAMMSQLASLEMQSEREKKAMLELEEKANSLEKELGAVTDLDGLTSRPISVDEKATLSTSDDRSPIQMSGQDTQKGLRGTPTVEAQQNSIPAQSMLSDRGGLYQRLAQLESNREMLAQVLKEAAAQSEQERTSVSQLLGQIEMEHELVQKNRDSLLDQLMREKRQLRQCLEEAIESQGPGLKCLLTASLDEVEKNRDHLSTQLQQIISEQEKQWRSFKTEMEEKLWQLNQCTTSLQEVELGKARLLSEMSQMTAENSSLVDRLRELDHDRERVALRLQRLEKEKEELASFKEDIARELAVAKDHIRQRLKELLMENAELTKDSNDRYQLLERFEQLLQEKHSLSHNLHQINQEQTRIENYKEAEWARLQQSKNQLEEQLQQLAKRLEQNHKWSAEKQQESEHAAAEVFQVCQKADALQREKQRVVRQLKQIELQSCFLASQLDLLAVQQQAPSTGESSHLQGLQSQHLMERLKAMTDNQGEIVQYLEETERERSKLTEKLNQITGDQKQSSEQACKLEYSMENLKEQLIKNTAETQQIKEQLEALHSDSVSQQTITTEHSNLKQQKLLEETMPQVEMSTPEELLHLLQQKERSLQEVLREQAALSQNLEEIRKDRQALAETYQDPKVQDGPVDEWNKTVGKLKESLELNCEKEAEVLAAMRAAENKALRSEEQLLQIKNELLEYVHETEQQREEFQRRLEAENQSNLNEVDAWTKDLHQKKDQLERKLSEVEVKLEINRKYIDERSAEYKQQMREELFYIRLKLSFNEKKLDNLHQSLTRYGNSM
+>sp|P02689|MYP2_HUMAN Myelin P2 protein OS=Homo sapiens OX=9606 GN=PMP2 PE=1 SV=3
+MSNKFLGTWKLVSSENFDDYMKALGVGLATRKLGNLAKPTVIISKKGDIITIRTESTFKNTEISFKLGQEFEETTADNRKTKSIVTLQRGSLNQVQRWDGKETTIKRKLVNGKMVAECKMKGVVCTRIYEKV
+>DECOY_sp|P02689|MYP2_HUMAN Myelin P2 protein OS=Homo sapiens OX=9606 GN=PMP2 PE=1 SV=3
+VKEYIRTCVVGKMKCEAVMKGNVLKRKITTEKGDWRQVQNLSGRQLTVISKTKRNDATTEEFEQGLKFSIETNKFTSETRITIIDGKKSIIVTPKALNGLKRTALGVGLAKMYDDFNESSVLKWTGLFKNSM
+>sp|Q86VE0|MYPOP_HUMAN Myb-related transcription factor, partner of profilin OS=Homo sapiens OX=9606 GN=MYPOP PE=1 SV=2
+MASAAAGEAEETTRLRKPRFSFEENQILIREVRAHYPQLYGAQSRRVSVAERRRVWDGIAAKINGITSWKRTGQEVQKRWNDFKRRTKEKLARVPHSTQGAGPAAEDAFSAEEETIFAILGPGVAAPGAGAGAEEPPAAPSSQPPPPSACPQRYVLSEDRREDRRADTSAHSKAGSSSPEPWARPSCTPQEGGCPRPKERESPPPSALQPVQLPRLALSPPPPAPPLPPPPPLAQVAPSPPSPPPPPRPPPTLSASDPSLDFLRAQQETANAIRELAGTLRQGLAKLSEALSALLPLLPGTPVDSLPPPLPPPPPPPPPPRPVLPPPAPKVEITPEPVSVVAAVVDGAVVAARGVIIAPRSEEGAPRPPPAPLPPHDSPPHKRRKGFPTRKRRGRWKSP
+>DECOY_sp|Q86VE0|MYPOP_HUMAN Myb-related transcription factor, partner of profilin OS=Homo sapiens OX=9606 GN=MYPOP PE=1 SV=2
+PSKWRGRRKRTPFGKRRKHPPSDHPPLPAPPPRPAGEESRPAIIVGRAAVVAGDVVAAVVSVPEPTIEVKPAPPPLVPRPPPPPPPPPPLPPPLSDVPTGPLLPLLASLAESLKALGQRLTGALERIANATEQQARLFDLSPDSASLTPPPRPPPPPSPPSPAVQALPPPPPLPPAPPPPSLALRPLQVPQLASPPPSEREKPRPCGGEQPTCSPRAWPEPSSSGAKSHASTDARRDERRDESLVYRQPCASPPPPQSSPAAPPEEAGAGAGPAAVGPGLIAFITEEEASFADEAAPGAGQTSHPVRALKEKTRRKFDNWRKQVEQGTRKWSTIGNIKAAIGDWVRRREAVSVRRSQAGYLQPYHARVERILIQNEEFSFRPKRLRTTEEAEGAAASAM
+>sp|Q9Y2G1|MYRF_HUMAN Myelin regulatory factor OS=Homo sapiens OX=9606 GN=MYRF PE=1 SV=3
+MEVVDETEALQRFFEGHDINGALEPSNIDTSILEEYISKEDASDLCFPDISAPASSASYSHGQPAMPGSSGVHHLSPPGGGPSPGRHGPLPPPGYGTPLNCNNNNGMGAAPKPFPGGTGPPIKAEPKAPYAPGTLPDSPPDSGSEAYSPQQVNEPHLLRTITPETLCHVGVPSRLEHPPPPPAHLPGPPPPPPPPPHYPVLQRDLYMKAEPPIPHYAAMGQGLVPTDLHHTQQSQMLHQLLQQHGAELPTHPSKKRKHSESPPSTLNAQMLNGMIKQEPGTVTALPLHPTRAPSPPWPPQGPLSPGPGSLPLSIARVQTPPWHPPGAPSPGLLQDSDSLSGSYLDPNYQSIKWQPHQQNKWATLYDANYKELPMLTYRVDADKGFNFSVGDDAFVCQKKNHFQVTVYIGMLGEPKYVKTPEGLKPLDCFYLKLHGVKLEALNQSINIEQSQSDRSKRPFNPVTVNLPPEQVTKVTVGRLHFSETTANNMRKKGKPNPDQRYFMLVVALQAHAQNQNYTLAAQISERIIVRASNPGQFESDSDVLWQRAQVPDTVFHHGRVGINTDRPDEALVVHGNVKVMGSLMHPSDLRAKEHVQEVDTTEQLKRISRMRLVHYRYKPEFAASAGIEATAPETGVIAQEVKEILPEAVKDTGDMVFANGKTIENFLVVNKERIFMENVGAVKELCKLTDNLETRIDELERWSHKLAKLRRLDSLKSTGSSGAFSHAGSQFSRAGSVPHKKRPPKVASKSSSVVPDQACISQRFLQGTIIALVVVMAFSVVSMSTLYVLSLRTEEDLVDTDGSFAVSTSCLLALLRPQPPGGSEALCPWSSQSFGTTQLRQSPLTTGLPGIQPSLLLVTTSLTSSAPGSAVRTLDMCSSHPCPVICCSSPTTNPTTGPSLGPSFNPGHVLSPSPSPSTNRSGPSQMALLPVTNIRAKSWGLSVNGIGHSKHHKSLEPLASPAVPFPGGQGKAKNSPSLGFHGRARRGALQSSVGPAEPTWAQGQSASLLAEPVPSLTSIQVLENSMSITSQYCAPGDACRPGNFTYHIPVSSGTPLHLSLTLQMNSSSPVSVVLCSLRSKEEPCEEGSLPQSLHTHQDTQGTSHRWPITILSFREFTYHFRVALLGQANCSSEALAQPATDYHFHFYRLCD
+>DECOY_sp|Q9Y2G1|MYRF_HUMAN Myelin regulatory factor OS=Homo sapiens OX=9606 GN=MYRF PE=1 SV=3
+DCLRYFHFHYDTAPQALAESSCNAQGLLAVRFHYTFERFSLITIPWRHSTGQTDQHTHLSQPLSGEECPEEKSRLSCLVVSVPSSSNMQLTLSLHLPTGSSVPIHYTFNGPRCADGPACYQSTISMSNELVQISTLSPVPEALLSASQGQAWTPEAPGVSSQLAGRRARGHFGLSPSNKAKGQGGPFPVAPSALPELSKHHKSHGIGNVSLGWSKARINTVPLLAMQSPGSRNTSPSPSPSLVHGPNFSPGLSPGTTPNTTPSSCCIVPCPHSSCMDLTRVASGPASSTLSTTVLLLSPQIGPLGTTLPSQRLQTTGFSQSSWPCLAESGGPPQPRLLALLCSTSVAFSGDTDVLDEETRLSLVYLTSMSVVSFAMVVVLAIITGQLFRQSICAQDPVVSSSKSAVKPPRKKHPVSGARSFQSGAHSFAGSSGTSKLSDLRRLKALKHSWRELEDIRTELNDTLKCLEKVAGVNEMFIREKNVVLFNEITKGNAFVMDGTDKVAEPLIEKVEQAIVGTEPATAEIGASAAFEPKYRYHVLRMRSIRKLQETTDVEQVHEKARLDSPHMLSGMVKVNGHVVLAEDPRDTNIGVRGHHFVTDPVQARQWLVDSDSEFQGPNSARVIIRESIQAALTYNQNQAHAQLAVVLMFYRQDPNPKGKKRMNNATTESFHLRGVTVKTVQEPPLNVTVPNFPRKSRDSQSQEINISQNLAELKVGHLKLYFCDLPKLGEPTKVYKPEGLMGIYVTVQFHNKKQCVFADDGVSFNFGKDADVRYTLMPLEKYNADYLTAWKNQQHPQWKISQYNPDLYSGSLSDSDQLLGPSPAGPPHWPPTQVRAISLPLSGPGPSLPGQPPWPPSPARTPHLPLATVTGPEQKIMGNLMQANLTSPPSESHKRKKSPHTPLEAGHQQLLQHLMQSQQTHHLDTPVLGQGMAAYHPIPPEAKMYLDRQLVPYHPPPPPPPPPGPLHAPPPPPHELRSPVGVHCLTEPTITRLLHPENVQQPSYAESGSDPPSDPLTGPAYPAKPEAKIPPGTGGPFPKPAAGMGNNNNCNLPTGYGPPPLPGHRGPSPGGGPPSLHHVGSSGPMAPQGHSYSASSAPASIDPFCLDSADEKSIYEELISTDINSPELAGNIDHGEFFRQLAETEDVVEM
+>sp|Q8NFW9|MYRIP_HUMAN Rab effector MyRIP OS=Homo sapiens OX=9606 GN=MYRIP PE=1 SV=2
+MGRKLDLSGLTDDETEHVLQVVQRDFNLRKKEEERLSELKQKLDEEGSKCSILSKHQQFVEHCCMRCCSPFTFLVNTKRQCGDCKFNVCKSCCSYQKHEKAWVCCVCQQARLLRAQSLEWFYNNVKSRFKRFGSAKVLKNLYRKHRLESGACFDILGGSLFESNLENEGSISGSDSTFYRQSEGHSVMDTLAVALRVAEEAIEEAISKAEAYGDSLDKQNEASYLRDHKEELTEELATTILQKIIRKQKSKSEQQVEEEPGWPHPQSCSTKVADEGTSASPGGYRAPAALWRSQSAFSITGEEALKTPPVEAPSRQPRDQGQHPRAESALPSWKSVDRLDETNLAPVLQSPDGNWVALKDGAPPPTRLLAKPKSGTFQALEVASSVASAYDEMGSDSEEDFDWSEALSKLCPRSRALPRNPQPQPTQAQSSDQGPIAASPSSALSPNPEAMCSDSETSSAGSSREVGHQARLSWLQRKAPRNPAAEKMRLHGELDVNFNPQLASRETSDSSEPEEAPHTTDRRARRWRRARLGSEEPSKEPSSPSAQLRDLDTHQVSDDLSETDISNEARDPQTLTDTTEEKRRNRLYELAMKMSEKETSSGEDQESEPKTESENQKESLSSEDNSQSVQEELKKKFSAVSLCNISTEVLKVINATEELIAGSTGPWESPQVPPDRQKGMFPRGTDQVRLDEQLTSLEENVYLAAGTVYGLETQLTELEDAARCIHSGTDETHLADLEDQVATAAAQVHHAELQISDIESRISALTIAGLNIAPCVRFTRRRDQKQRTQVQTIDTSRQQRRKLPAPPVKAEKIETSSVTTIKTFNHNFILQGSSTNRTKERKGTTKDLMEPALESAVMY
+>DECOY_sp|Q8NFW9|MYRIP_HUMAN Rab effector MyRIP OS=Homo sapiens OX=9606 GN=MYRIP PE=1 SV=2
+YMVASELAPEMLDKTTGKREKTRNTSSGQLIFNHNFTKITTVSSTEIKEAKVPPAPLKRRQQRSTDITQVQTRQKQDRRRTFRVCPAINLGAITLASIRSEIDSIQLEAHHVQAAATAVQDELDALHTEDTGSHICRAADELETLQTELGYVTGAALYVNEELSTLQEDLRVQDTGRPFMGKQRDPPVQPSEWPGTSGAILEETANIVKLVETSINCLSVASFKKKLEEQVSQSNDESSLSEKQNESETKPESEQDEGSSTEKESMKMALEYLRNRRKEETTDTLTQPDRAENSIDTESLDDSVQHTDLDRLQASPSSPEKSPEESGLRARRWRRARRDTTHPAEEPESSDSTERSALQPNFNVDLEGHLRMKEAAPNRPAKRQLWSLRAQHGVERSSGASSTESDSCMAEPNPSLASSPSAAIPGQDSSQAQTPQPQPNRPLARSRPCLKSLAESWDFDEESDSGMEDYASAVSSAVELAQFTGSKPKALLRTPPPAGDKLAVWNGDPSQLVPALNTEDLRDVSKWSPLASEARPHQGQDRPQRSPAEVPPTKLAEEGTISFASQSRWLAAPARYGGPSASTGEDAVKTSCSQPHPWGPEEEVQQESKSKQKRIIKQLITTALEETLEEKHDRLYSAENQKDLSDGYAEAKSIAEEIAEEAVRLAVALTDMVSHGESQRYFTSDSGSISGENELNSEFLSGGLIDFCAGSELRHKRYLNKLVKASGFRKFRSKVNNYFWELSQARLLRAQQCVCCVWAKEHKQYSCCSKCVNFKCDGCQRKTNVLFTFPSCCRMCCHEVFQQHKSLISCKSGEEDLKQKLESLREEEKKRLNFDRQVVQLVHETEDDTLGSLDLKRGM
+>sp|P28698|MZF1_HUMAN Myeloid zinc finger 1 OS=Homo sapiens OX=9606 GN=MZF1 PE=1 SV=3
+MRPAVLGSPDRAPPEDEGPVMVKLEDSEEEGEAALWDPGPEAARLRFRCFRYEEATGPQEALAQLRELCRQWLRPEVRSKEQMLELLVLEQFLGALPPEIQARVQGQRPGSPEEAAALVDGLRREPGGPRRWVTVQVQGQEVLSEKMEPSSFQPLPETEPPTPEPGPKTPPRTMQESPLGLQVKEESEVTEDSDFLESGPLAATQESVPTLLPEEAQRCGTVLDQIFPHSKTGPEGPSWREHPRALWHEEAGGIFSPGFALQLGSISAGPGSVSPHLHVPWDLGMAGLSGQIQSPSREGGFAHALLLPSDLRSEQDPTDEDPCRGVGPALITTRWRSPRGRSRGRPSTGGGVVRGGRCDVCGKVFSQRSNLLRHQKIHTGERPFVCSECGRSFSRSSHLLRHQLTHTEERPFVCGDCGQGFVRSARLEEHRRVHTGEQPFRCAECGQSFRQRSNLLQHQRIHGDPPGPGAKPPAPPGAPEPPGPFPCSECRESFARRAVLLEHQAVHTGDKSFGCVECGERFGRRSVLLQHRRVHSGERPFACAECGQSFRQRSNLTQHRRIHTGERPFACAECGKAFRQRPTLTQHLRVHTGEKPFACPECGQRFSQRLKLTRHQRTHTGEKPYHCGECGLGFTQVSRLTEHQRIHTGERPFACPECGQSFRQHANLTQHRRIHTGERPYACPECGKAFRQRPTLTQHLRTHRREKPFACQDCGRRFHQSTKLIQHQRVHSAE
+>DECOY_sp|P28698|MZF1_HUMAN Myeloid zinc finger 1 OS=Homo sapiens OX=9606 GN=MZF1 PE=1 SV=3
+EASHVRQHQILKTSQHFRRGCDQCAFPKERRHTRLHQTLTPRQRFAKGCEPCAYPREGTHIRRHQTLNAHQRFSQGCEPCAFPREGTHIRQHETLRSVQTFGLGCEGCHYPKEGTHTRQHRTLKLRQSFRQGCEPCAFPKEGTHVRLHQTLTPRQRFAKGCEACAFPREGTHIRRHQTLNSRQRFSQGCEACAFPREGSHVRRHQLLVSRRGFREGCEVCGFSKDGTHVAQHELLVARRAFSERCESCPFPGPPEPAGPPAPPKAGPGPPDGHIRQHQLLNSRQRFSQGCEACRFPQEGTHVRRHEELRASRVFGQGCDGCVFPREETHTLQHRLLHSSRSFSRGCESCVFPREGTHIKQHRLLNSRQSFVKGCVDCRGGRVVGGGTSPRGRSRGRPSRWRTTILAPGVGRCPDEDTPDQESRLDSPLLLAHAFGGERSPSQIQGSLGAMGLDWPVHLHPSVSGPGASISGLQLAFGPSFIGGAEEHWLARPHERWSPGEPGTKSHPFIQDLVTGCRQAEEPLLTPVSEQTAALPGSELFDSDETVESEEKVQLGLPSEQMTRPPTKPGPEPTPPETEPLPQFSSPEMKESLVEQGQVQVTVWRRPGGPERRLGDVLAAAEEPSGPRQGQVRAQIEPPLAGLFQELVLLELMQEKSRVEPRLWQRCLERLQALAEQPGTAEEYRFCRFRLRAAEPGPDWLAAEGEEESDELKVMVPGEDEPPARDPSGLVAPRM
+>sp|P42285|MTREX_HUMAN Exosome RNA helicase MTR4 OS=Homo sapiens OX=9606 GN=MTREX PE=1 SV=3
+MADAFGDELFSVFEGDSTTAAGTKKDKEKDKGKWKGPPGSADKAGKRFDGKLQSESTNNGKNKRDVDFEGTDEPIFGKKPRIEESITEDLSLADLMPRVKVQSVETVEGCTHEVALPAEEDYLPLKPRVGKAAKEYPFILDAFQREAIQCVDNNQSVLVSAHTSAGKTVCAEYAIALALREKQRVIFTSPIKALSNQKYREMYEEFQDVGLMTGDVTINPTASCLVMTTEILRSMLYRGSEVMREVAWVIFDEIHYMRDSERGVVWEETIILLPDNVHYVFLSATIPNARQFAEWICHLHKQPCHVIYTDYRPTPLQHYIFPAGGDGLHLVVDENGDFREDNFNTAMQVLRDAGDLAKGDQKGRKGGTKGPSNVFKIVKMIMERNFQPVIIFSFSKKDCEAYALQMTKLDFNTDEEKKMVEEVFSNAIDCLSDEDKKLPQVEHVLPLLKRGIGIHHGGLLPILKETIEILFSEGLIKALFATETFAMGINMPARTVLFTNARKFDGKDFRWISSGEYIQMSGRAGRRGMDDRGIVILMVDEKMSPTIGKQLLKGSADPLNSAFHLTYNMVLNLLRVEEINPEYMLEKSFYQFQHYRAIPGVVEKVKNSEEQYNKIVIPNEESVVIYYKIRQQLAKLGKEIEEYIHKPKYCLPFLQPGRLVKVKNEGDDFGWGVVVNFSKKSNVKPNSGELDPLYVVEVLLRCSKESLKNSATEAAKPAKPDEKGEMQVVPVLVHLLSAISSVRLYIPKDLRPVDNRQSVLKSIQEVQKRFPDGIPLLDPIDDMGIQDQGLKKVIQKVEAFEHRMYSHPLHNDPNLETVYTLCEKKAQIAIDIKSAKRELKKARTVLQMDELKCRKRVLRRLGFATSSDVIEMKGRVACEISSADELLLTEMMFNGLFNDLSAEQATALLSCFVFQENSSEMPKLTEQLAGPLRQMQECAKRIAKVSAEAKLEIDEETYLSSFKPHLMDVVYTWATGATFAHICKMTDVFEGSIIRCMRRLEELLRQMCQAAKAIGNTELENKFAEGITKIKRDIVFAASLYL
+>DECOY_sp|P42285|MTREX_HUMAN Exosome RNA helicase MTR4 OS=Homo sapiens OX=9606 GN=MTREX PE=1 SV=3
+LYLSAAFVIDRKIKTIGEAFKNELETNGIAKAAQCMQRLLEELRRMCRIISGEFVDTMKCIHAFTAGTAWTYVVDMLHPKFSSLYTEEDIELKAEASVKAIRKACEQMQRLPGALQETLKPMESSNEQFVFCSLLATAQEASLDNFLGNFMMETLLLEDASSIECAVRGKMEIVDSSTAFGLRRLVRKRCKLEDMQLVTRAKKLERKASKIDIAIQAKKECLTYVTELNPDNHLPHSYMRHEFAEVKQIVKKLGQDQIGMDDIPDLLPIGDPFRKQVEQISKLVSQRNDVPRLDKPIYLRVSSIASLLHVLVPVVQMEGKEDPKAPKAAETASNKLSEKSCRLLVEVVYLPDLEGSNPKVNSKKSFNVVVGWGFDDGENKVKVLRGPQLFPLCYKPKHIYEEIEKGLKALQQRIKYYIVVSEENPIVIKNYQEESNKVKEVVGPIARYHQFQYFSKELMYEPNIEEVRLLNLVMNYTLHFASNLPDASGKLLQKGITPSMKEDVMLIVIGRDDMGRRGARGSMQIYEGSSIWRFDKGDFKRANTFLVTRAPMNIGMAFTETAFLAKILGESFLIEITEKLIPLLGGHHIGIGRKLLPLVHEVQPLKKDEDSLCDIANSFVEEVMKKEEDTNFDLKTMQLAYAECDKKSFSFIIVPQFNREMIMKVIKFVNSPGKTGGKRGKQDGKALDGADRLVQMATNFNDERFDGNEDVVLHLGDGGAPFIYHQLPTPRYDTYIVHCPQKHLHCIWEAFQRANPITASLFVYHVNDPLLIITEEWVVGRESDRMYHIEDFIVWAVERMVESGRYLMSRLIETTMVLCSATPNITVDGTMLGVDQFEEYMERYKQNSLAKIPSTFIVRQKERLALAIAYEACVTKGASTHASVLVSQNNDVCQIAERQFADLIFPYEKAAKGVRPKLPLYDEEAPLAVEHTCGEVTEVSQVKVRPMLDALSLDETISEEIRPKKGFIPEDTGEFDVDRKNKGNNTSESQLKGDFRKGAKDASGPPGKWKGKDKEKDKKTGAATTSDGEFVSFLEDGFADAM
+>sp|P15941|MUC1_HUMAN Mucin-1 OS=Homo sapiens OX=9606 GN=MUC1 PE=1 SV=3
+MTPGTQSPFFLLLLLTVLTVVTGSGHASSTPGGEKETSATQRSSVPSSTEKNAVSMTSSVLSSHSPGSGSSTTQGQDVTLAPATEPASGSAATWGQDVTSVPVTRPALGSTTPPAHDVTSAPDNKPAPGSTAPPAHGVTSAPDTRPAPGSTAPPAHGVTSAPDTRPAPGSTAPPAHGVTSAPDTRPAPGSTAPPAHGVTSAPDTRPAPGSTAPPAHGVTSAPDTRPAPGSTAPPAHGVTSAPDTRPAPGSTAPPAHGVTSAPDTRPAPGSTAPPAHGVTSAPDTRPAPGSTAPPAHGVTSAPDTRPAPGSTAPPAHGVTSAPDTRPAPGSTAPPAHGVTSAPDTRPAPGSTAPPAHGVTSAPDTRPAPGSTAPPAHGVTSAPDTRPAPGSTAPPAHGVTSAPDTRPAPGSTAPPAHGVTSAPDTRPAPGSTAPPAHGVTSAPDTRPAPGSTAPPAHGVTSAPDTRPAPGSTAPPAHGVTSAPDTRPAPGSTAPPAHGVTSAPDTRPAPGSTAPPAHGVTSAPDTRPAPGSTAPPAHGVTSAPDTRPAPGSTAPPAHGVTSAPDTRPAPGSTAPPAHGVTSAPDTRPAPGSTAPPAHGVTSAPDTRPAPGSTAPPAHGVTSAPDTRPAPGSTAPPAHGVTSAPDTRPAPGSTAPPAHGVTSAPDTRPAPGSTAPPAHGVTSAPDTRPAPGSTAPPAHGVTSAPDTRPAPGSTAPPAHGVTSAPDTRPAPGSTAPPAHGVTSAPDTRPAPGSTAPPAHGVTSAPDTRPAPGSTAPPAHGVTSAPDTRPAPGSTAPPAHGVTSAPDTRPAPGSTAPPAHGVTSAPDTRPAPGSTAPPAHGVTSAPDTRPAPGSTAPPAHGVTSAPDTRPAPGSTAPPAHGVTSAPDTRPAPGSTAPPAHGVTSAPDTRPAPGSTAPPAHGVTSAPDTRPAPGSTAPPAHGVTSAPDNRPALGSTAPPVHNVTSASGSASGSASTLVHNGTSARATTTPASKSTPFSIPSHHSDTPTTLASHSTKTDASSTHHSSVPPLTSSNHSTSPQLSTGVSFFFLSFHISNLQFNSSLEDPSTDYYQELQRDISEMFLQIYKQGGFLGLSNIKFRPGSVVVQLTLAFREGTINVHDVETQFNQYKTEAASRYNLTISDVSVSDVPFPFSAQSGAGVPGWGIALLVLVCVLVALAIVYLIALAVCQCRRKNYGQLDIFPARDTYHPMSEYPTYHTHGRYVPPSSTDRSPYEKVSAGNGGSSLSYTNPAVAATSANL
+>DECOY_sp|P15941|MUC1_HUMAN Mucin-1 OS=Homo sapiens OX=9606 GN=MUC1 PE=1 SV=3
+LNASTAAVAPNTYSLSSGGNGASVKEYPSRDTSSPPVYRGHTHYTPYESMPHYTDRAPFIDLQGYNKRRCQCVALAILYVIALAVLVCVLVLLAIGWGPVGAGSQASFPFPVDSVSVDSITLNYRSAAETKYQNFQTEVDHVNITGERFALTLQVVVSGPRFKINSLGLFGGQKYIQLFMESIDRQLEQYYDTSPDELSSNFQLNSIHFSLFFFSVGTSLQPSTSHNSSTLPPVSSHHTSSADTKTSHSALTTPTDSHHSPISFPTSKSAPTTTARASTGNHVLTSASGSASGSASTVNHVPPATSGLAPRNDPASTVGHAPPATSGPAPRTDPASTVGHAPPATSGPAPRTDPASTVGHAPPATSGPAPRTDPASTVGHAPPATSGPAPRTDPASTVGHAPPATSGPAPRTDPASTVGHAPPATSGPAPRTDPASTVGHAPPATSGPAPRTDPASTVGHAPPATSGPAPRTDPASTVGHAPPATSGPAPRTDPASTVGHAPPATSGPAPRTDPASTVGHAPPATSGPAPRTDPASTVGHAPPATSGPAPRTDPASTVGHAPPATSGPAPRTDPASTVGHAPPATSGPAPRTDPASTVGHAPPATSGPAPRTDPASTVGHAPPATSGPAPRTDPASTVGHAPPATSGPAPRTDPASTVGHAPPATSGPAPRTDPASTVGHAPPATSGPAPRTDPASTVGHAPPATSGPAPRTDPASTVGHAPPATSGPAPRTDPASTVGHAPPATSGPAPRTDPASTVGHAPPATSGPAPRTDPASTVGHAPPATSGPAPRTDPASTVGHAPPATSGPAPRTDPASTVGHAPPATSGPAPRTDPASTVGHAPPATSGPAPRTDPASTVGHAPPATSGPAPRTDPASTVGHAPPATSGPAPRTDPASTVGHAPPATSGPAPRTDPASTVGHAPPATSGPAPRTDPASTVGHAPPATSGPAPRTDPASTVGHAPPATSGPAPRTDPASTVGHAPPATSGPAPRTDPASTVGHAPPATSGPAPRTDPASTVGHAPPATSGPAPRTDPASTVGHAPPATSGPAPRTDPASTVGHAPPATSGPAPRTDPASTVGHAPPATSGPAPRTDPASTVGHAPPATSGPAPRTDPASTVGHAPPATSGPAPKNDPASTVDHAPPTTSGLAPRTVPVSTVDQGWTAASGSAPETAPALTVDQGQTTSSGSGPSHSSLVSSTMSVANKETSSPVSSRQTASTEKEGGPTSSAHGSGTVVTLVTLLLLLFFPSQTGPTM
+>sp|Q02505|MUC3A_HUMAN Mucin-3A OS=Homo sapiens OX=9606 GN=MUC3A PE=1 SV=3
+MQLLGLLGLLWMLKASPWATGTLSTATSISQVPFPRAEAASAVLSNSPHSRDLAGWPLGVPQLASPAPGHRENAPMTLTTSPHDTLISETLLNSPVSSNTSTTPTSKFAFKVETTPPTVLVYSATTECVYPTSFIITISHPTSICVTTTQVAFTSSYTSTPVTQKPVTTVTSTYSMTTTEKGTSAMTSSPSTTTARETPIVTVTPSSVSATDTTFHTTISSTTRTTERTPLPTGSIHTTTSPTPVFTTLKTAVTSTSPITSSITSTNTVTSMTTTASQPTATNTLSSPTRTILSSTPVLSTETITSGITNTTPLSTLVTTLPTTISRSTPTSETTYTTSPTSTVTDSTTKIAYSTSMTGTLSTETSLPPTSSSLPTTETATTPMTNLVTTTTEISSHSTPSFSSSTIYSTVSTSTTAISSLPPTSGTMVTSTTMTPSSLSTDIPFTTPTTITHHSVGSTGFLTTATDLTSTFTVSSSSAMSTSVIPSSPSIQNTETSSLVSMTSATTPNVRPTFVSTLSTPTSSLLTTFPATYSFSSSMSASSAGTTHTESISSPPASTSTLHTTAESTLAPTTTTSFTTSTTMEPPSTTAATTGTGQTTFTSSTATFPETTTPTPTTDMSTESLTTAMTSPPITSSVTSTNTVTSMTTTTSPPTTTNSFTSLTSMPLSSTPVPSTEVVTSGTINTIPPSILVTTLPTPNASSMTTSETTYPNSPTGPGTNSTTEITYPTTMTETSSTATSLPPTSPLVSTAKTAKTPTTNLVTTTTKTTSHSTTSFTSSTVYSTASTYTTAITSVPTTLGTMVTSTSMISSTVSTGIPTSQPTTITPSSVGISGSLPMMTDLTSVYTVSNMSARPTTVIPSSPTVQNTEISISVSMTSATTPSGGPTFTSTENTPTRSLLTSFPMTHSFSSSMSESSAGTTHTESISSPRGTTSTLHTTVESTPSPTTTTSFTTSTMMEPPSSTVSTTGRGQTTFPSSTATFPETTTLTPTTDISTVSLTTAMTSPPPVSSSITPTNTMTSMRTTTYWPTATNTLSPLTSSILSSTPVPSTEMITSHTTNTTPLSTLVTTLLTTITRSTPTSETTYPTSPTSIVSDSTTEITYSTSITGTLSTATTLPPTSSSLPTTETATMTPTTTLITTTPNTTSLSTPSFTSSTIYSTVSTSTTAISSASPTSGTMVTSTTMTPSSLSTDTPSTTPTTITYPSVGSTGFLTTATDLTSTFTVSSSSAMSTSVIPSSPSIQNTETSSLVSMTSATTPSLRPTITSTDSTLTSSLLTTFPSTYSFSSSMSASSAGTTHTETISSLPASTNTIHTTAESALAPTTTTSFTTSPTMEPPSTTVATTGTGQTTFPSSTATFLETTTLTPTTDFSTESLTTAMTSTPPITSSITPTDTMTSMRTTTSWPTATNTLSPLTSSILSSTPVPSTEVTTSHTTNTNPVSTLVTTLPITITRSTLTSETAYPSSPTSTVTESTTEITYPTTMTETSSTATSLPPTSSLVSTAETAKTPTTNLVTTTTKTTSHSTTSFTSSTIYSTASTPTTAITSVPTTLGTMVTSTSMIPSTVSTGIPTSQPTTITPSSVGISGSLPMMTDLTSVYTVSSMSARPTSVIPSSPTVQNTETSIFVSMMSATTPSGGPTFTSTENTPTRSLLTSFPVTHSFSSSMSASSVGTTHTQSISSPPAITSTLHTTAESTPSPTTTMSFTTFTKMETPSSTVATTGTGQTTFTSSTATSPKTTTLTPTSDISTGSFKTAVSSTPPITSSITSTYTVTSMTTTTPLGPTATNTLPSFTSSVSSSTPVPSTEAITSGTTNTTPLSTLVTTFSNSDTSSTPTSETTYPTSLTSALTDSTTRTTYSTNMTGTLSTVTSLRPTSSSLLTTVTATVPTTNLVTTTTKITSHSTPSFTSSIATTETPSHSTPRFTSSITTTETPSHSTPRFTSSITNTKTTSHSSPSFTSSITTTETTSHNTPSLTSSITTTKTTSHSTPSYTSLITTTTTTSHSTPSFTSSITTTETTSHNTPSLTSSITTTETTSHSTPSFTSSITTETTSHSTPSFTSLITITEITSHSTLSYTTSITTTETPSHSTLSFTSSITTTETTSHSTPSFTSSITTSEMPSHSTPSFTSSITTTENATHSTPNFTSSITTTETTSHSTPSFTSLITTTETTSHRWGTTETTSYSTPSFTSSNTITETTSHSTPSYITSITTTETPSSSTPSFSSSITTTETTSHSTPGFTSSITTTETTSHSTPSFTSSITTTETTSHDTPSFTSSITTSETPSHSTPSSTSLITTTKTTSHSTPSFTSSITTTETTSHSAHSFTSSITTTETTSHNTRSFTSSITTTETNSHSTTSFTSSITTTETTSHSTPSFSSSITTTETPLHSTPGLTSWVTTTKTTSHITPGLTSSITTTETTSHSTPGFTSSITTTETTSESTPSLSSSTIYSTVSTSTTAITSHFTTSETAVTPTPVTPSSLSTDIPTTSLRTLTPSSVGTSTSLTTTTDFPSIPTDISTLPTRTHIISSSPSIQSTETSSLVGTTSPTMSTVRMTLRITENTPISSFSTSIVVIPETPTQTPPVLTSATGTQTSPAPTTVTFGSTDSSTSTLHTLTPSTALSTIVSTSQVPIPSTHSSTLQTTPSTPSLQTSLTSTSEFTTESFTRGSTSTNAILTSFSTIIWSSTPTIIMSSSPSSASITPVFSTTIHSVPSSPYIFSTENVGSASITGFPSLSSSATTSTSSTSSSLTTALTEITPFSYISLPSTTPCPGTITITIVPASPTDPCVEMDPSTEATSPPTTPLTVFPFTTEMVTCPTSISIQTTLTTYMDTSSMMPESESSISPNASSSTGTGTVPTNTVFTSTRLPTSETWLSNSSVIPLPLPGVSTIPLTMKPSSSLPTILRTSSKSTHPSPPTTRTSETPVATTQTPTTLTSRRTTRITSQMTTQSTLTTTAGTCDNGGTWEQGQCACLPGFSGDRCQLQTRCQNGGQWDGLKCQCPSTFYGSSCEFAVEQVDLDVVETEVGMEVSVDQQFSPDLNDNTSQAYRDFNKTFWNQMQKIFADMQGFTFKGVEILSLRNGSIVVDYLVLLEMPFSPQLESEYEQVKTTLKEGLQNASQDVNSCQDSQTLCFKPDSIKVNNNSKTELTPAAICRRAAPTGYEEFYFPLVEATRLRCVTKCTSGVDNAIDCHQGQCVLETSGPTCRCYSTDTHWFSGPRCEVAVHWRALVGGLTAGAALLVLLLLALGVRAVRSGWWGGQRRGRSWDQDRKWFETWDEEVVGTFSNWGFEDDGTDKDTNFYVALENVDTTMKVHIKRPEMTSSSV
+>DECOY_sp|Q02505|MUC3A_HUMAN Mucin-3A OS=Homo sapiens OX=9606 GN=MUC3A PE=1 SV=3
+VSSSTMEPRKIHVKMTTDVNELAVYFNTDKDTGDDEFGWNSFTGVVEEDWTEFWKRDQDWSRGRRQGGWWGSRVARVGLALLLLVLLAAGATLGGVLARWHVAVECRPGSFWHTDTSYCRCTPGSTELVCQGQHCDIANDVGSTCKTVCRLRTAEVLPFYFEEYGTPAARRCIAAPTLETKSNNNVKISDPKFCLTQSDQCSNVDQSANQLGEKLTTKVQEYESELQPSFPMELLVLYDVVISGNRLSLIEVGKFTFGQMDAFIKQMQNWFTKNFDRYAQSTNDNLDPSFQQDVSVEMGVETEVVDLDVQEVAFECSSGYFTSPCQCKLGDWQGGNQCRTQLQCRDGSFGPLCACQGQEWTGGNDCTGATTTLTSQTTMQSTIRTTRRSTLTTPTQTTAVPTESTRTTPPSPHTSKSSTRLITPLSSSPKMTLPITSVGPLPLPIVSSNSLWTESTPLRTSTFVTNTPVTGTGTSSSANPSISSESEPMMSSTDMYTTLTTQISISTPCTVMETTFPFVTLPTTPPSTAETSPDMEVCPDTPSAPVITITITGPCPTTSPLSIYSFPTIETLATTLSSSTSSTSTTASSSLSPFGTISASGVNETSFIYPSSPVSHITTSFVPTISASSPSSSMIITPTSSWIITSFSTLIANTSTSGRTFSETTFESTSTLSTQLSPTSPTTQLTSSHTSPIPVQSTSVITSLATSPTLTHLTSTSSDTSGFTVTTPAPSTQTGTASTLVPPTQTPTEPIVVISTSFSSIPTNETIRLTMRVTSMTPSTTGVLSSTETSQISPSSSIIHTRTPLTSIDTPISPFDTTTTLSTSTGVSSPTLTRLSTTPIDTSLSSPTVPTPTVATESTTFHSTIATTSTSVTSYITSSSLSPTSESTTETTTISSTFGPTSHSTTETTTISSTLGPTIHSTTKTTTVWSTLGPTSHLPTETTTISSSFSPTSHSTTETTTISSTFSTTSHSNTETTTISSTFSRTNHSTTETTTISSTFSHASHSTTETTTISSTFSPTSHSTTKTTTILSTSSPTSHSPTESTTISSTFSPTDHSTTETTTISSTFSPTSHSTTETTTISSTFGPTSHSTTETTTISSSFSPTSSSPTETTTISTIYSPTSHSTTETITNSSTFSPTSYSTTETTGWRHSTTETTTILSTFSPTSHSTTETTTISSTFNPTSHTANETTTISSTFSPTSHSPMESTTISSTFSPTSHSTTETTTISSTFSLTSHSPTETTTISTTYSLTSHSTIETITILSTFSPTSHSTTETTISSTFSPTSHSTTETTTISSTLSPTNHSTTETTTISSTFSPTSHSTTTTTTILSTYSPTSHSTTKTTTISSTLSPTNHSTTETTTISSTFSPSSHSTTKTNTISSTFRPTSHSPTETTTISSTFRPTSHSPTETTAISSTFSPTSHSTIKTTTTVLNTTPVTATVTTLLSSSTPRLSTVTSLTGTMNTSYTTRTTSDTLASTLSTPYTTESTPTSSTDSNSFTTVLTSLPTTNTTGSTIAETSPVPTSSSVSSTFSPLTNTATPGLPTTTTMSTVTYTSTISSTIPPTSSVATKFSGTSIDSTPTLTTTKPSTATSSTFTTQGTGTTAVTSSPTEMKTFTTFSMTTTPSPTSEATTHLTSTIAPPSSISQTHTTGVSSASMSSSFSHTVPFSTLLSRTPTNETSTFTPGGSPTTASMMSVFISTETNQVTPSSPIVSTPRASMSSVTYVSTLDTMMPLSGSIGVSSPTITTPQSTPIGTSVTSPIMSTSTVMTGLTTPVSTIATTPTSATSYITSSTFSTTSHSTTKTTTTVLNTTPTKATEATSVLSSTPPLSTATSSTETMTTPYTIETTSETVTSTPSSPYATESTLTSRTITIPLTTVLTSVPNTNTTHSTTVETSPVPTSSLISSTLPSLTNTATPWSTTTRMSTMTDTPTISSTIPPTSTMATTLSETSFDTTPTLTTTELFTATSSPFTTQGTGTTAVTTSPPEMTPSTTFSTTTTPALASEATTHITNTSAPLSSITETHTTGASSASMSSSFSYTSPFTTLLSSTLTSDTSTITPRLSPTTASTMSVLSSTETNQISPSSPIVSTSMASSSSVTFTSTLDTATTLFGTSGVSPYTITTPTTSPTDTSLSSPTMTTSTVMTGSTPSASSIATTSTSVTSYITSSTFSPTSLSTTNPTTTILTTTPTMTATETTPLSSSTPPLTTATSLTGTISTSYTIETTSDSVISTPSTPYTTESTPTSRTITTLLTTVLTSLPTTNTTHSTIMETSPVPTSSLISSTLPSLTNTATPWYTTTRMSTMTNTPTISSSVPPPSTMATTLSVTSIDTTPTLTTTEPFTATSSPFTTQGRGTTSVTSSPPEMMTSTTFSTTTTPSPTSEVTTHLTSTTGRPSSISETHTTGASSESMSSSFSHTMPFSTLLSRTPTNETSTFTPGGSPTTASTMSVSISIETNQVTPSSPIVTTPRASMNSVTYVSTLDTMMPLSGSIGVSSPTITTPQSTPIGTSVTSSIMSTSTVMTGLTTPVSTIATTYTSATSYVTSSTFSTTSHSTTKTTTTVLNTTPTKATKATSVLPSTPPLSTATSSTETMTTPYTIETTSNTGPGTPSNPYTTESTTMSSANPTPLTTVLISPPITNITGSTVVETSPVPTSSLPMSTLSTFSNTTTPPSTTTTMSTVTNTSTVSSTIPPSTMATTLSETSMDTTPTPTTTEPFTATSSTFTTQGTGTTAATTSPPEMTTSTTFSTTTTPALTSEATTHLTSTSAPPSSISETHTTGASSASMSSSFSYTAPFTTLLSSTPTSLTSVFTPRVNPTTASTMSVLSSTETNQISPSSPIVSTSMASSSSVTFTSTLDTATTLFGTSGVSHHTITTPTTFPIDTSLSSPTMTTSTVMTGSTPPLSSIATTSTSVTSYITSSSFSPTSHSSIETTTTVLNTMPTTATETTPLSSSTPPLSTETSLTGTMSTSYAIKTTSDTVTSTPSTTYTTESTPTSRSITTPLTTVLTSLPTTNTIGSTITETSLVPTSSLITRTPSSLTNTATPQSATTTMSTVTNTSTISSTIPSTSTVATKLTTFVPTPSTTTHISGTPLPTRETTRTTSSITTHFTTDTASVSSPTVTVIPTERATTTSPSSTMASTGKETTTMSYTSTVTTVPKQTVPTSTYSSTFAVQTTTVCISTPHSITIIFSTPYVCETTASYVLVTPPTTEVKFAFKSTPTTSTNSSVPSNLLTESILTDHPSTTLTMPANERHGPAPSALQPVGLPWGALDRSHPSNSLVASAAEARPFPVQSISTATSLTGTAWPSAKLMWLLGLLGLLQM
+>sp|P98088|MUC5A_HUMAN Mucin-5AC OS=Homo sapiens OX=9606 GN=MUC5AC PE=1 SV=4
+MSVGRRKLALLWALALALACTRHTGHAQDGSSESSYKHHPALSPIARGPSGVPLRGATVFPSLRTIPVVRASNPAHNGRVCSTWGSFHYKTFDGDVFRFPGLCNYVFSEHCGAAYEDFNIQLRRSQESAAPTLSRVLMKVDGVVIQLTKGSVLVNGHPVLLPFSQSGVLIQQSSSYTKVEARLGLVLMWNHDDSLLLELDTKYANKTCGLCGDFNGMPVVSELLSHNTKLTPMEFGNLQKMDDPTDQCQDPVPEPPRNCSTGFGICEELLHGQLFSGCVALVDVGSYLEACRQDLCFCEDTDLLSCVCHTLAEYSRQCTHAGGLPQDWRGPDFCPQKCPNNMQYHECRSPCADTCSNQEHSRACEDHCVAGCFCPEGTVLDDIGQTGCVPVSKCACVYNGAAYAPGATYSTDCTNCTCSGGRWSCQEVPCPGTCSVLGGAHFSTFDGKQYTVHGDCSYVLTKPCDSSAFTVLAELRRCGLTDSETCLKSVTLSLDGAQTVVVIKASGEVFLNQIYTQLPISAANVTIFRPSTFFIIAQTSLGLQLNLQLVPTMQLFMQLAPKLRGQTCGLCGNFNSIQADDFRTLSGVVEATAAAFFNTFKTQAACPNIRNSFEDPCSLSVENEKYAQHWCSQLTDADGPFGRCHAAVKPGTYYSNCMFDTCNCERSEDCLCAALSSYVHACAAKGVQLGGWRDGVCTKPMTTCPKSMTYHYHVSTCQPTCRSLSEGDITCSVGFIPVDGCICPKGTFLDDTGKCVQASNCPCYHRGSMIPNGESVHDSGAICTCTHGKLSCIGGQAPAPVCAAPMVFFDCRNATPGDTGAGCQKSCHTLDMTCYSPQCVPGCVCPDGLVADGEGGCITAEDCPCVHNEASYRAGQTIRVGCNTCTCDSRMWRCTDDPCLATCAVYGDGHYLTFDGQSYSFNGDCEYTLVQNHCGGKDSTQDSFRVVTENVPCGTTGTTCSKAIKIFLGGFELKLSHGKVEVIGTDESQEVPYTIRQMGIYLVVDTDIGLVLLWDKKTSIFINLSPEFKGRVCGLCGNFDDIAVNDFATRSRSVVGDVLEFGNSWKLSPSCPDALAPKDPCTANPFRKSWAQKQCSILHGPTFAACHAHVEPARYYEACVNDACACDSGGDCECFCTAVAAYAQACHEVGLCVSWRTPSICPLFCDYYNPEGQCEWHYQPCGVPCLRTCRNPRGDCLRDVRGLEGCYPKCPPEAPIFDEDKMQCVATCPTPPLPPRCHVHGKSYRPGAVVPSDKNCQSCLCTERGVECTYKAEACVCTYNGQRFHPGDVIYHTTDGTGGCISARCGANGTIERRVYPCSPTTPVPPTTFSFSTPPLVVSSTHTPSNGPSSAHTGPPSSAWPTTAGTSPRTRLPTASASLPPVCGEKCLWSPWMDVSRPGRGTDSGDFDTLENLRAHGYRVCESPRSVECRAEDAPGVPLRALGQRVQCSPDVGLTCRNREQASGLCYNYQIRVQCCTPLPCSTSSSPAQTTPPTTSKTTETRASGSSAPSSTPGTVSLSTARTTPAPGTATSVKKTFSTPSPPPVPATSTSSMSTTAPGTSVVSSKPTPTEPSTSSCLQELCTWTEWIDGSYPAPGINGGDFDTFQNLRDEGYTFCESPRSVQCRAESFPNTPLADLGQDVICSHTEGLICLNKNQLPPICYNYEIRIQCCETVNVCRDITRLPKTVATTRPTPHPTGAQTQTTFTTHMPSASTEQPTATSRGGPTATSVTQGTHTTLVTRNCHPRCTWTKWFDVDFPSPGPHGGDKETYNNIIRSGEKICRRPEEITRLQCRAKSHPEVSIEHLGQVVQCSREEGLVCRNQDQQGPFKMCLNYEVRVLCCETPRGCHMTSTPGSTSSSPAQTTPSTTSKTTETQASGSSAPSSTPGTVSLSTARTTPAPGTATSVKKTFSTPSPPPVPATSTSSMSTTAPGTSVVSSKPTPTEPSTSSCLQELCTWTEWIDGSYPAPGINGGDFDTFQNLRDEGYTFCESPRSVQCRAESFPNTPLADLGQDVICSHTEGLICLNKNQLPPICYNYEIRIQCCETVNVCRDITRPPKTVATTRPTPHPTGAQTQTTFTTHMPSASTEQPTATSRGGPTATSVTQGTHTTPVTRNCHPRCTWTTWFDVDFPSPGPHGGDKETYNNIIRSGEKICRRPEEITRLQCRAKSHPEVSIEHLGQVVQCSREEGLVCRNQDQQGPFKMCLNYEVRVLCCETPKGCPVTSTPVTAPSTPSGRATSPTQSTSSWQKSRTTTLVTTSTTSTPQTSTTYAHTTSTTSAPTARTTSAPTTRTTSASPASTTSGPGNTPSPVPTTSTISAPTTSITSAPTTSTTSAPTSSTTSGPGTTPSPVPTTSITSAPTTSTTSAPTTSTTSARTSSTTSATTTSRISGPETTPSPVPTTSTTSATTTSTTSAPTTSTTSAPTSSTTSSPQTSTTSAPTTSTTSGPGTTPSPVPTTSTTSAPTTRTTSAPKSSTTSAATTSTTSGPETTPRPVPTTSTTSSPTTSTTSAPTTSTTSASTTSTTSGAGTTPSPVPTTSTTSAPTTSTTSAPISSTTSATTTSTTSGPGTTPSPVPTTSTTSAPTTSTTSGPGTTPSAVPTTSITSAPTTSTNSAPISSTTSATTTSRISGPETTPSPVPTASTTSASTTSTTSGPGTTPSPVPTTSTISVPTTSTTSASTTSTTSASTTSTTSGPGTTPSPVPTTSTTSAPTTSTTSAPTTSTISAPTTSTTSATTTSTTSAPTPRRTSAPTTSTISASTTSTTSATTTSTTSATTTSTISAPTTSTTLSPTTSTTSTTITSTTSAPISSTTSTPQTSTTSAPTTSTTSGPGTTSSPVPTTSTTSAPTTSTTSAPTTRTTSVPTSSTTSTATTSTTSGPGTTPSPVPTTSTTSAPTTRTTSAPTTSTTSAPTTSTTSAPTSSTTSATTTSTISVPTTSTTSVPGTTPSPVPTTSTISVPTTSTTSASTTSTTSGPGTTPSPVPTTSTTSAPTTSTTSAPTTSTISAPTTSTPSAPTTSTTLAPTTSTTSAPTTSTTSTPTSSTTSSPQTSTTSASTTSITSGPGTTPSPVPTTSTTSAPTTSTTSAATTSTISAPTTSTTSAPTTSTTSASTASKTSGLGTTPSPIPTTSTTSPPTTSTTSASTASKTSGPGTTPSPVPTTSTIFAPRTSTTSASTTSTTPGPGTTPSPVPTTSTASVSKTSTSHVSISKTTHSQPVTRDCHLRCTWTKWFDIDFPSPGPHGGDKETYNNIIRSGEKICRRPEEITRLQCRAESHPEVSIEHLGQVVQCSREEGLVCRNQDQQGPFKMCLNYEVRVLCCETPKGCPVTSTPVTAPSTPSGRATSPTQSTSSWQKSRTTTLVTTSTTSTPQTSTTSAPTTSTTSAPTTSTTSAPTTSTTSTPQTSISSAPTSSTTSAPTSSTISARTTSIISAPTTSTTSSPTTSTTSATTTSTTSAPTSSTTSTPQTSKTSAATSSTTSGSGTTPSPVTTTSTASVSKTSTSHVSVSKTTHSQPVTRDCHPRCTWTKWFDVDFPSPGPHGGDKETYNNIIRSGEKICRRPEEITRLQCRAKSHPEVSIEHLGQVVQCSREEGLVCRNQDQQGPFKMCLNYEVRVLCCETPKGCPVTSTSVTAPSTPSGRATSPTQSTSSWQKSRTTTLVTSSITSTTQTSTTSAPTTSTTPASIPSTTSAPTTSTTSAPTTSTTSAPTTSTTSTPQTTTSSAPTSSTTSAPTTSTISAPTTSTISAPTTSTTSAPTASTTSAPTSTSSAPTTNTTSAPTTSTTSAPITSTISAPTTSTTSTPQTSTISSPTTSTTSTPQTSTTSSPTTSTTSAPTTSTTSAPTTSTTSTPQTSISSAPTSSTTSAPTASTISAPTTSTTSFHTTSTTSPPTSSTSSTPQTSKTSAATSSTTSGSGTTPSPVPTTSTASVSKTSTSHVSVSKTTHSQPVTRDCHPRCTWTKWFDVDFPSPGPHGGDKETYNNIIRSGEKICRRPEEITRLQCRAESHPEVSIEHLGQVVQCSREEGLVCRNQDQQGPFKMCLNYEVRVLCCETPKGCPVTSTPVTAPSTPSGRATSPTQSTSSWQKSRTTTLVTTSTTSTPQTSTTSAPTTSTIPASTPSTTSAPTTSTTSAPTTSTTSAPTHRTTSGPTTSTTLAPTTSTTSAPTTSTNSAPTTSTISASTTSTISAPTTSTISSPTSSTTSTPQTSKTSAATSSTTSGSGTTPSPVPTTSTTSASTTSTTSAPTTSTTSGPGTTPSPVPSTSTTSAATTSTTSAPTTRTTSAPTSSMTSGPGTTPSPVPTTSTTSAPTTSTTSGPGTTPSPVPTTSTTSAPITSTTSGPGSTPSPVPTTSTTSAPTTSTTSASTASTTSGPGTTPSPVPTTSTTSAPTTRTTSASTASTTSGPGSTPSPVPTTSTTSAPTTRTTPASTASTTSGPGTTPSPVPTTSTTSASTTSTISLPTTSTTSAPITSMTSGPGTTPSPVPTTSTTSAPTTSTTSASTASTTSGPGTTPSPVPTTSTTSAPTTSTTSASTASTTSGPGTSLSPVPTTSTTSAPTTSTTSGPGTTPSPVPTTSTTSAPTTSTTSGPGTTPSPVPTTSTTPVSKTSTSHLSVSKTTHSQPVTSDCHPLCAWTKWFDVDFPSPGPHGGDKETYNNIIRSGEKICRRPEEITRLQCRAESHPEVNIEHLGQVVQCSREEGLVCRNQDQQGPFKMCLNYEVRVLCCETPRGCPVTSVTPYGTSPTNALYPSLSTSMVSASVASTSVASSSVASSSVAYSTQTCFCNVADRLYPAGSTIYRHRDLAGHCYYALCSQDCQVVRGVDSDCPSTTLPPAPATSPSISTSEPVTELGCPNAVPPRKKGETWATPNCSEATCEGNNVISLRPRTCPRVEKPTCANGYPAVKVADQDGCCHHYQCQCVCSGWGDPHYITFDGTYYTFLDNCTYVLVQQIVPVYGHFRVLVDNYFCGAEDGLSCPRSIILEYHQDRVVLTRKPVHGVMTNEIIFNNKVVSPGFRKNGIVVSRIGVKMYATIPELGVQVMFSGLIFSVEVPFSKFANNTEGQCGTCTNDRKDECRTPRGTVVASCSEMSGLWNVSIPDQPACHRPHPTPTTVGPTTVGSTTVGPTTVGSTTVGPTTPPAPCLPSPICQLILSKVFEPCHTVIPPLLFYEGCVFDRCHMTDLDVVCSSLELYAALCASHDICIDWRGRTGHMCPFTCPADKVYQPCGPSNPSYCYGNDSASLGALPEAGPITEGCFCPEGMTLFSTSAQVCVPTGCPRCLGPHGEPVKVGHTVGMDCQECTCEAATWTLTCRPKLCPLPPACPLPGFVPVPAAPQAGQCCPQYSCACNTSRCPAPVGCPEGARAIPTYQEGACCPVQNCSWTVCSINGTLYQPGAVVSSSLCETCRCELPGGPPSDAFVVSCETQICNTHCPVGFEYQEQSGQCCGTCVQVACVTNTSKSPAHLFYPGETWSDAGNHCVTHQCEKHQDGLVVVTTKKACPPLSCSLDEARMSKDGCCRFCPPPPPPYQNQSTCAVYHRSLIIQQQGCSSSEPVRLAYCRGNCGDSSSMYSLEGNTVEHRCQCCQELRTSLRNVTLHCTDGSSRAFSYTEVEECGCMGRRCPAPGDTQHSEEAEPEPSQEAESGSWERGVPVSPMH
+>DECOY_sp|P98088|MUC5A_HUMAN Mucin-5AC OS=Homo sapiens OX=9606 GN=MUC5AC PE=1 SV=4
+HMPSVPVGREWSGSEAEQSPEPEAEESHQTDGPAPCRRGMCGCEEVETYSFARSSGDTCHLTVNRLSTRLEQCCQCRHEVTNGELSYMSSSDGCNGRCYALRVPESSSCGQQQIILSRHYVACTSQNQYPPPPPPCFRCCGDKSMRAEDLSCSLPPCAKKTTVVVLGDQHKECQHTVCHNGADSWTEGPYFLHAPSKSTNTVCAVQVCTGCCQGSQEQYEFGVPCHTNCIQTECSVVFADSPPGGPLECRCTECLSSSVVAGPQYLTGNISCVTWSCNQVPCCAGEQYTPIARAGEPCGVPAPCRSTNCACSYQPCCQGAQPAAPVPVFGPLPCAPPLPCLKPRCTLTWTAAECTCEQCDMGVTHGVKVPEGHPGLCRPCGTPVCVQASTSFLTMGEPCFCGETIPGAEPLAGLSASDNGYCYSPNSPGCPQYVKDAPCTFPCMHGTRGRWDICIDHSACLAAYLELSSCVVDLDTMHCRDFVCGEYFLLPPIVTHCPEFVKSLILQCIPSPLCPAPPTTPGVTTSGVTTPGVTTSGVTTPGVTTPTPHPRHCAPQDPISVNWLGSMESCSAVVTGRPTRCEDKRDNTCTGCQGETNNAFKSFPVEVSFILGSFMVQVGLEPITAYMKVGIRSVVIGNKRFGPSVVKNNFIIENTMVGHVPKRTLVVRDQHYELIISRPCSLGDEAGCFYNDVLVRFHGYVPVIQQVLVYTCNDLFTYYTGDFTIYHPDGWGSCVCQCQYHHCCGDQDAVKVAPYGNACTPKEVRPCTRPRLSIVNNGECTAESCNPTAWTEGKKRPPVANPCGLETVPESTSISPSTAPAPPLTTSPCDSDVGRVVQCDQSCLAYYCHGALDRHRYITSGAPYLRDAVNCFCTQTSYAVSSSAVSSSAVSTSAVSASVMSTSLSPYLANTPSTGYPTVSTVPCGRPTECCLVRVEYNLCMKFPGQQDQNRCVLGEERSCQVVQGLHEINVEPHSEARCQLRTIEEPRRCIKEGSRIINNYTEKDGGHPGPSPFDVDFWKTWACLPHCDSTVPQSHTTKSVSLHSTSTKSVPTTSTTPVPSPTTGPGSTTSTTPASTTSTTPVPSPTTGPGSTTSTTPASTTSTTPVPSLSTGPGSTTSATSASTTSTTPASTTSTTPVPSPTTGPGSTTSATSASTTSTTPASTTSTTPVPSPTTGPGSTMSTIPASTTSTTPLSITSTTSASTTSTTPVPSPTTGPGSTTSATSAPTTRTTPASTTSTTPVPSPTSGPGSTTSATSASTTRTTPASTTSTTPVPSPTTGPGSTTSATSASTTSTTPASTTSTTPVPSPTSGPGSTTSTIPASTTSTTPVPSPTTGPGSTTSTTPASTTSTTPVPSPTTGPGSTMSSTPASTTRTTPASTTSTTAASTTSTSPVPSPTTGPGSTTSTTPASTTSTTSASTTSTTPVPSPTTGSGSTTSSTAASTKSTQPTSTTSSTPSSITSTTPASITSTTSASITSTTPASNTSTTPASTTSTTPALTTSTTPGSTTRHTPASTTSTTPASTTSTTPASTTSPTSAPITSTTPASTTSTQPTSTTSTTVLTTTRSKQWSSTSQTPSTARGSPTSPATVPTSTVPCGKPTECCLVRVEYNLCMKFPGQQDQNRCVLGEERSCQVVQGLHEISVEPHSEARCQLRTIEEPRRCIKEGSRIINNYTEKDGGHPGPSPFDVDFWKTWTCRPHCDRTVPQSHTTKSVSVHSTSTKSVSATSTTPVPSPTTGSGSTTSSTAASTKSTQPTSSTSSTPPSTTSTTHFSTTSTTPASITSATPASTTSSTPASSISTQPTSTTSTTPASTTSTTPASTTSTTPSSTTSTQPTSTTSTTPSSITSTQPTSTTSTTPASITSTIPASTTSTTPASTTNTTPASSTSTPASTTSATPASTTSTTPASITSTTPASITSTTPASTTSSTPASSTTTQPTSTTSTTPASTTSTTPASTTSTTPASTTSPISAPTTSTTPASTTSTQTTSTISSTVLTTTRSKQWSSTSQTPSTARGSPTSPATVSTSTVPCGKPTECCLVRVEYNLCMKFPGQQDQNRCVLGEERSCQVVQGLHEISVEPHSKARCQLRTIEEPRRCIKEGSRIINNYTEKDGGHPGPSPFDVDFWKTWTCRPHCDRTVPQSHTTKSVSVHSTSTKSVSATSTTTVPSPTTGSGSTTSSTAASTKSTQPTSTTSSTPASTTSTTTASTTSTTPSSTTSTTPASIISTTRASITSSTPASTTSSTPASSISTQPTSTTSTTPASTTSTTPASTTSTTPASTTSTQPTSTTSTTVLTTTRSKQWSSTSQTPSTARGSPTSPATVPTSTVPCGKPTECCLVRVEYNLCMKFPGQQDQNRCVLGEERSCQVVQGLHEISVEPHSEARCQLRTIEEPRRCIKEGSRIINNYTEKDGGHPGPSPFDIDFWKTWTCRLHCDRTVPQSHTTKSISVHSTSTKSVSATSTTPVPSPTTGPGPTTSTTSASTTSTRPAFITSTTPVPSPTTGPGSTKSATSASTTSTTPPSTTSTTPIPSPTTGLGSTKSATSASTTSTTPASTTSTTPASITSTTAASTTSTTPASTTSTTPVPSPTTGPGSTISTTSASTTSTQPSSTTSSTPTSTTSTTPASTTSTTPALTTSTTPASPTSTTPASITSTTPASTTSTTPASTTSTTPVPSPTTGPGSTTSTTSASTTSTTPVSITSTTPVPSPTTGPVSTTSTTPVSITSTTTASTTSSTPASTTSTTPASTTSTTPASTTRTTPASTTSTTPVPSPTTGPGSTTSTTATSTTSSTPVSTTRTTPASTTSTTPASTTSTTPVPSSTTGPGSTTSTTPASTTSTQPTSTTSSIPASTTSTITTSTTSTTPSLTTSTTPASITSTTTASTTSTTTASTTSTTSASITSTTPASTRRPTPASTTSTTTASTTSTTPASITSTTPASTTSTTPASTTSTTPVPSPTTGPGSTTSTTSASTTSTTSASTTSTTPVSITSTTPVPSPTTGPGSTTSTTSASTTSATPVPSPTTEPGSIRSTTTASTTSSIPASNTSTTPASTISTTPVASPTTGPGSTTSTTPASTTSTTPVPSPTTGPGSTTSTTTASTTSSIPASTTSTTPASTTSTTPVPSPTTGAGSTTSTTSASTTSTTPASTTSTTPSSTTSTTPVPRPTTEPGSTTSTTAASTTSSKPASTTRTTPASTTSTTPVPSPTTGPGSTTSTTPASTTSTQPSSTTSSTPASTTSTTPASTTSTTTASTTSTTPVPSPTTEPGSIRSTTTASTTSSTRASTTSTTPASTTSTTPASTISTTPVPSPTTGPGSTTSSTPASTTSTTPASTISTTPASITSTTPVPSPTNGPGSTTSAPSASTTRTTPASTTRATPASTTSTTHAYTTSTQPTSTTSTTVLTTTRSKQWSSTSQTPSTARGSPTSPATVPTSTVPCGKPTECCLVRVEYNLCMKFPGQQDQNRCVLGEERSCQVVQGLHEISVEPHSKARCQLRTIEEPRRCIKEGSRIINNYTEKDGGHPGPSPFDVDFWTTWTCRPHCNRTVPTTHTGQTVSTATPGGRSTATPQETSASPMHTTFTTQTQAGTPHPTPRTTAVTKPPRTIDRCVNVTECCQIRIEYNYCIPPLQNKNLCILGETHSCIVDQGLDALPTNPFSEARCQVSRPSECFTYGEDRLNQFTDFDGGNIGPAPYSGDIWETWTCLEQLCSSTSPETPTPKSSVVSTGPATTSMSSTSTAPVPPPSPTSFTKKVSTATGPAPTTRATSLSVTGPTSSPASSGSAQTETTKSTTSPTTQAPSSSTSGPTSTMHCGRPTECCLVRVEYNLCMKFPGQQDQNRCVLGEERSCQVVQGLHEISVEPHSKARCQLRTIEEPRRCIKEGSRIINNYTEKDGGHPGPSPFDVDFWKTWTCRPHCNRTVLTTHTGQTVSTATPGGRSTATPQETSASPMHTTFTTQTQAGTPHPTPRTTAVTKPLRTIDRCVNVTECCQIRIEYNYCIPPLQNKNLCILGETHSCIVDQGLDALPTNPFSEARCQVSRPSECFTYGEDRLNQFTDFDGGNIGPAPYSGDIWETWTCLEQLCSSTSPETPTPKSSVVSTGPATTSMSSTSTAPVPPPSPTSFTKKVSTATGPAPTTRATSLSVTGPTSSPASSGSARTETTKSTTPPTTQAPSSSTSCPLPTCCQVRIQYNYCLGSAQERNRCTLGVDPSCQVRQGLARLPVGPADEARCEVSRPSECVRYGHARLNELTDFDGSDTGRGPRSVDMWPSWLCKEGCVPPLSASATPLRTRPSTGATTPWASSPPGTHASSPGNSPTHTSSVVLPPTSFSFTTPPVPTTPSCPYVRREITGNAGCRASICGGTGDTTHYIVDGPHFRQGNYTCVCAEAKYTCEVGRETCLCSQCNKDSPVVAGPRYSKGHVHCRPPLPPTPCTAVCQMKDEDFIPAEPPCKPYCGELGRVDRLCDGRPNRCTRLCPVGCPQYHWECQGEPNYYDCFLPCISPTRWSVCLGVEHCAQAYAAVATCFCECDGGSDCACADNVCAEYYRAPEVHAHCAAFTPGHLISCQKQAWSKRFPNATCPDKPALADPCSPSLKWSNGFELVDGVVSRSRTAFDNVAIDDFNGCLGCVRGKFEPSLNIFISTKKDWLLVLGIDTDVVLYIGMQRITYPVEQSEDTGIVEVKGHSLKLEFGGLFIKIAKSCTTGTTGCPVNETVVRFSDQTSDKGGCHNQVLTYECDGNFSYSQGDFTLYHGDGYVACTALCPDDTCRWMRSDCTCTNCGVRITQGARYSAENHVCPCDEATICGGEGDAVLGDPCVCGPVCQPSYCTMDLTHCSKQCGAGTDGPTANRCDFFVMPAACVPAPAQGGICSLKGHTCTCIAGSDHVSEGNPIMSGRHYCPCNSAQVCKGTDDLFTGKPCICGDVPIFGVSCTIDGESLSRCTPQCTSVHYHYTMSKPCTTMPKTCVGDRWGGLQVGKAACAHVYSSLAACLCDESRECNCTDFMCNSYYTGPKVAAHCRGFPGDADTLQSCWHQAYKENEVSLSCPDEFSNRINPCAAQTKFTNFFAAATAEVVGSLTRFDDAQISNFNGCLGCTQGRLKPALQMFLQMTPVLQLNLQLGLSTQAIIFFTSPRFITVNAASIPLQTYIQNLFVEGSAKIVVVTQAGDLSLTVSKLCTESDTLGCRRLEALVTFASSDCPKTLVYSCDGHVTYQKGDFTSFHAGGLVSCTGPCPVEQCSWRGGSCTCNTCDTSYTAGPAYAAGNYVCACKSVPVCGTQGIDDLVTGEPCFCGAVCHDECARSHEQNSCTDACPSRCEHYQMNNPCKQPCFDPGRWDQPLGGAHTCQRSYEALTHCVCSLLDTDECFCLDQRCAELYSGVDVLAVCGSFLQGHLLEECIGFGTSCNRPPEPVPDQCQDTPDDMKQLNGFEMPTLKTNHSLLESVVPMGNFDGCLGCTKNAYKTDLELLLSDDHNWMLVLGLRAEVKTYSSSQQILVGSQSFPLLVPHGNVLVSGKTLQIVVGDVKMLVRSLTPAASEQSRRLQINFDEYAAGCHESFVYNCLGPFRFVDGDFTKYHFSGWTSCVRGNHAPNSARVVPITRLSPFVTAGRLPVGSPGRAIPSLAPHHKYSSESSGDQAHGTHRTCALALALAWLLALKRRGVSM
+>sp|Q9HC84|MUC5B_HUMAN Mucin-5B OS=Homo sapiens OX=9606 GN=MUC5B PE=1 SV=3
+MGAPSACRTLVLALAAMLVVPQAETQGPVEPSWENAGHTMDGGAPTSSPTRRVSFVPPVTVFPSLSPLNPAHNGRVCSTWGDFHYKTFDGDVFRFPGLCNYVFSEHCRAAYEDFNVQLRRGLVGSRPVVTRVVIKAQGLVLEASNGSVLINGQREELPYSRTGLLVEQSGDYIKVSIRLVLTFLWNGEDSALLELDPKYANQTCGLCGDFNGLPAFNEFYAHNARLTPLQFGNLQKLDGPTEQCPDPLPLPAGNCTDEEGICHRTLLGPAFAECHALVDSTAYLAACAQDLCRCPTCPCATFVEYSRQCAHAGGQPRNWRCPELCPRTCPLNMQHQECGSPCTDTCSNPQRAQLCEDHCVDGCFCPPGTVLDDITHSGCLPLGQCPCTHGGRTYSPGTSFNTTCSSCTCSGGLWQCQDLPCPGTCSVQGGAHISTYDEKLYDLHGDCSYVLSKKCADSSFTVLAELRKCGLTDNENCLKAVTLSLDGGDTAIRVQADGGVFLNSIYTQLPLSAANITLFTPSSFFIVVQTGLGLQLLVQLVPLMQVFVRLDPAHQGQMCGLCGNFNQNQADDFTALSGVVEATGAAFANTWKAQAACANARNSFEDPCSLSVENENYARHWCSRLTDPNSAFSRCHSIINPKPFHSNCMFDTCNCERSEDCLCAALSSYVHACAAKGVQLSDWRDGVCTKYMQNCPKSQRYAYVVDACQPTCRGLSEADVTCSVSFVPVDGCTCPAGTFLNDAGACVPAQECPCYAHGTVLAPGEVVHDEGAVCSCTGGKLSCLGASLQKSTGCAAPMVYLDCSNSSAGTPGAECLRSCHTLDVGCFSTHCVSGCVCPPGLVSDGSGGCIAEEDCPCVHNEATYKPGETIRVDCNTCTCRNRRWECSHRLCLGTCVAYGDGHFITFDGDRYSFEGSCEYILAQDYCGDNTTHGTFRIVTENIPCGTTGTTCSKAIKLFVESYELILQEGTFKAVARGPGGDPPYKIRYMGIFLVIETHGMAVSWDRKTSVFIRLHQDYKGRVCGLCGNFDDNAINDFATRSRSVVGDALEFGNSWKLSPSCPDALAPKDPCTANPFRKSWAQKQCSILHGPTFAACRSQVDSTKYYEACVNDACACDSGGDCECFCTAVAAYAQACHDAGLCVSWRTPDTCPLFCDFYNPHGGCEWHYQPCGAPCLKTCRNPSGHCLVDLPGLEGCYPKCPPSQPFFNEDQMKCVAQCGCYDKDGNYYDVGARVPTAENCQSCNCTPSGIQCAHSLEACTCTYEDRTYSYQDVIYNTTDGLGACLIAICGSNGTIIRKAVACPGTPATTPFTFTTAWVPHSTTSPALPVSTVCVREVCRWSSWYNGHRPEPGLGGGDFETFENLRQRGYQVCPVLADIECRAAQLPDMPLEELGQQVDCDRMRGLMCANSQQSPPLCHDYELRVLCCEYVPCGPSPAPGTSPQPSLSASTEPAVPTPTQTTATEKTTLWVTPSIRSTAALTSQTGSSSGPVTVTPSAPGTTTCQPRCQWTEWFDEDYPKSEQLGGDVESYDKIRAAGGHLCQQPKDIECQAESFPNWTLAQVGQKVHCDVHFGLVCRNWEQEGVFKMCYNYRIRVLCCSDDHCRGRATTPPPTTELETATTTTTQALFSTPQPTSSPGLTRAPPASTTAVPTLSEGLTSPRYTSTLGTATTGGPTTPAGSTEPTVPGVATSTLPTRSALPGTTGSLGTWRPSQPPTLAPTTMATSRARPTGTASTASKEPLTTSLAPTLTSELSTSQAETSTPRTETTMSPLTNTTTSQGTTRCQPKCEWTEWFDVDFPTSGVAGGDMETFENIRAAGGKMCWAPKSIECRAENYPEVSIDQVGQVLTCSLETGLTCKNEDQTGRFNMCFNYNVRVLCCDDYSHCPSTPATSSTATPSSTPGTTWILTKPTTTATTTASTGSTATPTSTLRTAPPPKVLTTTATTPTVTSSKATPSSSPGTATALPALRSTATTPTATSVTPIPSSSLGTTWTRLSQTTTPTATMSTATPSSTPETAHTSTVLTATATTTGATGSVATPSSTPGTAHTTKVPTTTTTGFTATPSSSPGTALTPPVWISTTTTPTTRGSTVTPSSIPGTTHTATVLTTTTTTVATGSMATPSSSTQTSGTPPSLTTTATTITATGSTTNPSSTPGTTPIPPVLTTTATTPAATSNTVTPSSALGTTHTPPVPNTMATTHGRSLPPSSPHTVRTAWTSATSGILGTTHITEPSTVTSHTLAATTGTTQHSTPALSSPHPSSRTTESPPSPGTTTPGHTTATSRTTATATPSKTRTSTLLPSSPTSAPITTVVTMGCEPQCAWSEWLDYSYPMPGPSGGDFDTYSNIRAAGGAVCEQPLGLECRAQAQPGVPLRELGQVVECSLDFGLVCRNREQVGKFKMCFNYEIRVFCCNYGHCPSTPATSSTAMPSSTPGTTWILTELTTTATTTESTGSTATPSSTPGTTWILTEPSTTATVTVPTGSTATASSTQATAGTPHVSTTATTPTVTSSKATPFSSPGTATALPALRSTATTPTATSFTAIPSSSLGTTWTRLSQTTTPTATMSTATPSSTPETVHTSTVLTTTATTTGATGSVATPSSTPGTAHTTKVLTTTTTGFTATPSSSPGTARTLPVWISTTTTPTTRGSTVTPSSIPGTTHTPTVLTTTTTTVATGSMATPSSSTQTSGTPPSLTTTATTITATGSTTNPSSTPGTTPIPPVLTTTATTPAATSSTVTPSSALGTTHTPPVPNTTATTHGRSLSPSSPHTVRTAWTSATSGTLGTTHITEPSTGTSHTPAATTGTTQHSTPALSSPHPSSRTTESPPSPGTTTPGHTRATSRTTATATPSKTRTSTLLPSSPTSAPITTVVTMGCEPQCAWSEWLDYSYPMPGPSGGDFDTYSNIRAAGGAVCEQPLGLECRAQAQPGVPLRELGQVVECSLDFGLVCRNREQVGKFKMCFNYEIRVFCCNYGHCPSTPATSSTATPSSTPGTTWILTEQTTAATTTATTGSTAIPSSTPGTAPPPKVLTSTATTPTATSSKATSSSSPRTATTLPVLTSTATKSTATSFTPIPSFTLGTTGTLPEQTTTPMATMSTIHPSSTPETTHTSTVLTTKATTTRATSSMSTPSSTPGTTWILTELTTAATTTAATGPTATPSSTPGTTWILTEPSTTATVTVPTGSTATASSTRATAGTLKVLTSTATTPTVISSRATPSSSPGTATALPALRSTATTPTATSVTAIPSSSLGTAWTRLSQTTTPTATMSTATPSSTPETVHTSTVLTTTTTTTRATGSVATPSSTPGTAHTTKVPTTTTTGFTATPSSSPGTALTPPVWISTTTTPTTRGSTVTPSSIPGTTHTATVLTTTTTTVATGSMATPSSSTQTSGTPPSLTTTATTITATGSTTNPSSTPGTTPIPPVLTTTATTPAATSSTVTPSSALGTTHTPPVPNTTATTHGRSLPPSSPHTVRTAWTSATSGILGTTHITEPSTVTSHTPAATTSTTQHSTPALSSPHPSSRTTESPPSPGTTTPGHTRGTSRTTATATPSKTRTSTLLPSSPTSAPITTVVTTGCEPQCAWSEWLDYSYPMPGPSGGDFDTYSNIRAAGGAVCEQPLGLECRAQAQPGVPLRELGQVVECSLDFGLVCRNREQVGKFKMCFNYEIRVFCCNYGHCPSTPATSSTATPSSTPGTTWILTKLTTTATTTESTGSTATPSSTPGTTWILTEPSTTATVTVPTGSTATASSTQATAGTPHVSTTATTPTVTSSKATPFSSPGTATALPALRSTATTPTATSFTAIPSSSLGTTWTRLSQTTTPTATMSTATPSSTPETAHTSTVLTTTATTTRATGSVATPSSTPGTAHTTKVPTTTTTGFTVTPSSSPGTARTPPVWISTTTTPTTSGSTVTPSSVPGTTHTPTVLTTTTTTVATGSMATPSSSTQTSGTPPSLITTATTITATGSTTNPSSTPGTTPIPPVLTTTATTPAATSSTVTPSSALGTTHTPPVPNTTATTHGRSLSPSSPHTVRTAWTSATSGTLGTTHITEPSTGTSHTPAATTGTTQHSTPALSSPHPSSRTTESPPSPGTTTPGHTTATSRTTATATPSKTRTSTLLPSSPTSAPITTVVTTGCEPQCAWSEWLDYSYPMPGPSGGDFDTYSNIRAAGGAVCEQPLGLECRAQAQPGVPLGELGQVVECSLDFGLVCRNREQVGKFKMCFNYEIRVFCCNYGHCPSTPATSSTAMPSSTPGTTWILTELTTTATTTASTGSTATPSSTPGTAPPPKVLTSPATTPTATSSKATSSSSPRTATTLPVLTSTATKSTATSVTPIPSSTLGTTGTLPEQTTTPVATMSTIHPSSTPETTHTSTVLTTKATTTRATSSTSTPSSTPGTTWILTELTTAATTTAATGPTATPSSTPGTTWILTELTTTATTTASTGSTATPSSTPGTTWILTEPSTTATVTVPTGSTATASSTQATAGTPHVSTTATTPTVTSSKATPSSSPGTATALPALRSTATTPTATSFTAIPSSSLGTTWTRLSQTTTPTATMSTATPSSTPETVHTSTVLTATATTTGATGSVATPSSTPGTAHTTKVPTTTTTGFTATPSSSPGTALTPPVWISTTTTPTTTTPTTSGSTVTPSSIPGTTHTARVLTTTTTTVATGSMATPSSSTQTSGTPPSLTTTATTITATGSTTNPSSTPGTTPITPVLTSTATTPAATSSKATSSSSPRTATTLPVLTSTATKSTATSFTPIPSSTLWTTWTVPAQTTTPMSTMSTIHTSSTPETTHTSTVLTTTATMTRATNSTATPSSTLGTTRILTELTTTATTTAATGSTATLSSTPGTTWILTEPSTIATVMVPTGSTATASSTLGTAHTPKVVTTMATMPTATASTVPSSSTVGTTRTPAVLPSSLPTFSVSTVSSSVLTTLRPTGFPSSHFSTPCFCRAFGQFFSPGEVIYNKTDRAGCHFYAVCNQHCDIDRFQGACPTSPPPVSSAPLSSPSPAPGCDNAIPLRQVNETWTLENCTVARCVGDNRVVLLDPKPVANVTCVNKHLPIKVSDPSQPCDFHYECECICSMWGGSHYSTFDGTSYTFRGNCTYVLMREIHARFGNLSLYLDNHYCTASATAAAARCPRALSIHYKSMDIVLTVTMVHGKEEGLILFDQIPVSSGFSKNGVLVSVLGTTTMRVDIPALGVSVTFNGQVFQARLPYSLFHNNTEGQCGTCTNNQRDDCLQRDGTTAASCKDMAKTWLVPDSRKDGCWAPTGTPPTASPAAPVSSTPTPTPCPPQPLCDLMLSQVFAECHNLVPPGPFFNACISDHCRGRLEVPCQSLEAYAELCRARGVCSDWRGATGGLCDLTCPPTKVYKPCGPIQPATCNSRNQSPQLEGMAEGCFCPEDQILFNAHMGICVQACPCVGPDGFPKFPGERWVSNCQSCVCDEGSVSVQCKPLPCDAQGQPPPCNRPGFVTVTRPRAENPCCPETVCVCNTTTCPQSLPVCPPGQESICTQEEGDCCPTFRCRPQLCSYNGTFYGVGATFPGALPCHMCTCLSGDTQDPTVQCQEDACNNTTCPQGFEYKRVAGQCCGECVQTACLTPDGQPVQLNETWVNSHVDNCTVYLCEAEGGVHLLTPQPASCPDVSSCRGSLRKTGCCYSCEEDSCQVRINTTILWHQGCETEVNITFCEGSCPGASKYSAEAQAMQHQCTCCQERRVHEETVPLHCPNGSAILHTYTHVDECGCTPFCVPAPMAPPHTRGFPAQEATAV
+>DECOY_sp|Q9HC84|MUC5B_HUMAN Mucin-5B OS=Homo sapiens OX=9606 GN=MUC5B PE=1 SV=3
+VATAEQAPFGRTHPPAMPAPVCFPTCGCEDVHTYTHLIASGNPCHLPVTEEHVRREQCCTCQHQMAQAEASYKSAGPCSGECFTINVETECGQHWLITTNIRVQCSDEECSYCCGTKRLSGRCSSVDPCSAPQPTLLHVGGEAECLYVTCNDVHSNVWTENLQVPQGDPTLCATQVCEGCCQGAVRKYEFGQPCTTNNCADEQCQVTPDQTDGSLCTCMHCPLAGPFTAGVGYFTGNYSCLQPRCRFTPCCDGEEQTCISEQGPPCVPLSQPCTTTNCVCVTEPCCPNEARPRTVTVFGPRNCPPPQGQADCPLPKCQVSVSGEDCVCSQCNSVWREGPFKPFGDPGVCPCAQVCIGMHANFLIQDEPCFCGEAMGELQPSQNRSNCTAPQIPGCPKYVKTPPCTLDCLGGTAGRWDSCVGRARCLEAYAELSQCPVELRGRCHDSICANFFPGPPVLNHCEAFVQSLMLDCLPQPPCPTPTPTSSVPAAPSATPPTGTPAWCGDKRSDPVLWTKAMDKCSAATTGDRQLCDDRQNNTCTGCQGETNNHFLSYPLRAQFVQGNFTVSVGLAPIDVRMTTTGLVSVLVGNKSFGSSVPIQDFLILGEEKGHVMTVTLVIDMSKYHISLARPCRAAAATASATCYHNDLYLSLNGFRAHIERMLVYTCNGRFTYSTGDFTSYHSGGWMSCICECEYHFDCPQSPDSVKIPLHKNVCTVNAVPKPDLLVVRNDGVCRAVTCNELTWTENVQRLPIANDCGPAPSPSSLPASSVPPPSTPCAGQFRDIDCHQNCVAYFHCGARDTKNYIVEGPSFFQGFARCFCPTSFHSSPFGTPRLTTLVSSSVTSVSFTPLSSPLVAPTRTTGVTSSSPVTSATATPMTAMTTVVKPTHATGLTSSATATSGTPVMVTAITSPETLIWTTGPTSSLTATSGTAATTTATTTLETLIRTTGLTSSPTATSNTARTMTATTTLVTSTHTTEPTSSTHITSMTSMPTTTQAPVTWTTWLTSSPIPTFSTATSKTATSTLVPLTTATRPSSSSTAKSSTAAPTTATSTLVPTIPTTGPTSSPNTTSGTATITTATTTLSPPTGSTQTSSSPTAMSGTAVTTTTTTLVRATHTTGPISSPTVTSGSTTPTTTTPTTTTSIWVPPTLATGPSSSPTATFGTTTTTPVKTTHATGPTSSPTAVSGTAGTTTATATLVTSTHVTEPTSSPTATSMTATPTTTQSLRTWTTGLSSSPIATFSTATPTTATSRLAPLATATGPSSSPTAKSSTVTPTTATTSVHPTGATAQTSSATATSGTPVTVTATTSPETLIWTTGPTSSPTATSGTSATTTATTTLETLIWTTGPTSSPTATPGTAATTTAATTLETLIWTTGPTSSPTSTSSTARTTTAKTTLVTSTHTTEPTSSPHITSMTAVPTTTQEPLTGTTGLTSSPIPTVSTATSKTATSTLVPLTTATRPSSSSTAKSSTATPTTAPSTLVKPPPATGPTSSPTATSGTSATTTATTTLETLIWTTGPTSSPMATSSTAPTSPCHGYNCCFVRIEYNFCMKFKGVQERNRCVLGFDLSCEVVQGLEGLPVGPQAQARCELGLPQECVAGGAARINSYTDFDGGSPGPMPYSYDLWESWACQPECGTTVVTTIPASTPSSPLLTSTRTKSPTATATTRSTATTHGPTTTGPSPPSETTRSSPHPSSLAPTSHQTTGTTAAPTHSTGTSPETIHTTGLTGSTASTWATRVTHPSSPSLSRGHTTATTNPVPPTHTTGLASSPTVTSSTAAPTTATTTLVPPIPTTGPTSSPNTTSGTATITTATTILSPPTGSTQTSSSPTAMSGTAVTTTTTTLVTPTHTTGPVSSPTVTSGSTTPTTTTSIWVPPTRATGPSSSPTVTFGTTTTTPVKTTHATGPTSSPTAVSGTARTTTATTTLVTSTHATEPTSSPTATSMTATPTTTQSLRTWTTGLSSSPIATFSTATPTTATSRLAPLATATGPSSFPTAKSSTVTPTTATTSVHPTGATAQTSSATATSGTPVTVTATTSPETLIWTTGPTSSPTATSGTSETTTATTTLKTLIWTTGPTSSPTATSSTAPTSPCHGYNCCFVRIEYNFCMKFKGVQERNRCVLGFDLSCEVVQGLERLPVGPQAQARCELGLPQECVAGGAARINSYTDFDGGSPGPMPYSYDLWESWACQPECGTTVVTTIPASTPSSPLLTSTRTKSPTATATTRSTGRTHGPTTTGPSPPSETTRSSPHPSSLAPTSHQTTSTTAAPTHSTVTSPETIHTTGLIGSTASTWATRVTHPSSPPLSRGHTTATTNPVPPTHTTGLASSPTVTSSTAAPTTATTTLVPPIPTTGPTSSPNTTSGTATITTATTTLSPPTGSTQTSSSPTAMSGTAVTTTTTTLVTATHTTGPISSPTVTSGRTTPTTTTSIWVPPTLATGPSSSPTATFGTTTTTPVKTTHATGPTSSPTAVSGTARTTTTTTTLVTSTHVTEPTSSPTATSMTATPTTTQSLRTWATGLSSSPIATVSTATPTTATSRLAPLATATGPSSSPTARSSIVTPTTATSTLVKLTGATARTSSATATSGTPVTVTATTSPETLIWTTGPTSSPTATPGTAATTTAATTLETLIWTTGPTSSPTSMSSTARTTTAKTTLVTSTHTTEPTSSPHITSMTAMPTTTQEPLTGTTGLTFSPIPTFSTATSKTATSTLVPLTTATRPSSSSTAKSSTATPTTATSTLVKPPPATGPTSSPIATSGTTATTTAATTQETLIWTTGPTSSPTATSSTAPTSPCHGYNCCFVRIEYNFCMKFKGVQERNRCVLGFDLSCEVVQGLERLPVGPQAQARCELGLPQECVAGGAARINSYTDFDGGSPGPMPYSYDLWESWACQPECGMTVVTTIPASTPSSPLLTSTRTKSPTATATTRSTARTHGPTTTGPSPPSETTRSSPHPSSLAPTSHQTTGTTAAPTHSTGTSPETIHTTGLTGSTASTWATRVTHPSSPSLSRGHTTATTNPVPPTHTTGLASSPTVTSSTAAPTTATTTLVPPIPTTGPTSSPNTTSGTATITTATTTLSPPTGSTQTSSSPTAMSGTAVTTTTTTLVTPTHTTGPISSPTVTSGRTTPTTTTSIWVPLTRATGPSSSPTATFGTTTTTLVKTTHATGPTSSPTAVSGTAGTTTATTTLVTSTHVTEPTSSPTATSMTATPTTTQSLRTWTTGLSSSPIATFSTATPTTATSRLAPLATATGPSSFPTAKSSTVTPTTATTSVHPTGATAQTSSATATSGTPVTVTATTSPETLIWTTGPTSSPTATSGTSETTTATTTLETLIWTTGPTSSPMATSSTAPTSPCHGYNCCFVRIEYNFCMKFKGVQERNRCVLGFDLSCEVVQGLERLPVGPQAQARCELGLPQECVAGGAARINSYTDFDGGSPGPMPYSYDLWESWACQPECGMTVVTTIPASTPSSPLLTSTRTKSPTATATTRSTATTHGPTTTGPSPPSETTRSSPHPSSLAPTSHQTTGTTAALTHSTVTSPETIHTTGLIGSTASTWATRVTHPSSPPLSRGHTTAMTNPVPPTHTTGLASSPTVTNSTAAPTTATTTLVPPIPTTGPTSSPNTTSGTATITTATTTLSPPTGSTQTSSSPTAMSGTAVTTTTTTLVTATHTTGPISSPTVTSGRTTPTTTTSIWVPPTLATGPSSSPTATFGTTTTTPVKTTHATGPTSSPTAVSGTAGTTTATATLVTSTHATEPTSSPTATSMTATPTTTQSLRTWTTGLSSSPIPTVSTATPTTATSRLAPLATATGPSSSPTAKSSTVTPTTATTTLVKPPPATRLTSTPTATSGTSATTTATTTPKTLIWTTGPTSSPTATSSTAPTSPCHSYDDCCLVRVNYNFCMNFRGTQDENKCTLGTELSCTLVQGVQDISVEPYNEARCEISKPAWCMKGGAARINEFTEMDGGAVGSTPFDVDFWETWECKPQCRTTGQSTTTNTLPSMTTETRPTSTEAQSTSLESTLTPALSTTLPEKSATSATGTPRARSTAMTTPALTPPQSPRWTGLSGTTGPLASRTPLTSTAVGPVTPETSGAPTTPGGTTATGLTSTYRPSTLGESLTPVATTSAPPARTLGPSSTPQPTSFLAQTTTTTATELETTPPPTTARGRCHDDSCCLVRIRYNYCMKFVGEQEWNRCVLGFHVDCHVKQGVQALTWNPFSEAQCEIDKPQQCLHGGAARIKDYSEVDGGLQESKPYDEDFWETWQCRPQCTTTGPASPTVTVPGSSSGTQSTLAATSRISPTVWLTTKETATTQTPTPVAPETSASLSPQPSTGPAPSPGCPVYECCLVRLEYDHCLPPSQQSNACMLGRMRDCDVQQGLEELPMDPLQAARCEIDALVPCVQYGRQRLNEFTEFDGGGLGPEPRHGNYWSSWRCVERVCVTSVPLAPSTTSHPVWATTFTFPTTAPTGPCAVAKRIITGNSGCIAILCAGLGDTTNYIVDQYSYTRDEYTCTCAELSHACQIGSPTCNCSQCNEATPVRAGVDYYNGDKDYCGCQAVCKMQDENFFPQSPPCKPYCGELGPLDVLCHGSPNRCTKLCPAGCPQYHWECGGHPNYFDCFLPCTDPTRWSVCLGADHCAQAYAAVATCFCECDGGSDCACADNVCAEYYKTSDVQSRCAAFTPGHLISCQKQAWSKRFPNATCPDKPALADPCSPSLKWSNGFELADGVVSRSRTAFDNIANDDFNGCLGCVRGKYDQHLRIFVSTKRDWSVAMGHTEIVLFIGMYRIKYPPDGGPGRAVAKFTGEQLILEYSEVFLKIAKSCTTGTTGCPINETVIRFTGHTTNDGCYDQALIYECSGEFSYRDGDFTIFHGDGYAVCTGLCLRHSCEWRRNRCTCTNCDVRITEGPKYTAENHVCPCDEEAICGGSGDSVLGPPCVCGSVCHTSFCGVDLTHCSRLCEAGPTGASSNSCDLYVMPAACGTSKQLSAGLCSLKGGTCSCVAGEDHVVEGPALVTGHAYCPCEQAPVCAGADNLFTGAPCTCGDVPVFSVSCTVDAESLGRCTPQCADVVYAYRQSKPCNQMYKTCVGDRWDSLQVGKAACAHVYSSLAACLCDESRECNCTDFMCNSHFPKPNIISHCRSFASNPDTLRSCWHRAYNENEVSLSCPDEFSNRANACAAQAKWTNAFAAGTAEVVGSLATFDDAQNQNFNGCLGCMQGQHAPDLRVFVQMLPVLQVLLQLGLGTQVVIFFSSPTFLTINAASLPLQTYISNLFVGGDAQVRIATDGGDLSLTVAKLCNENDTLGCKRLEALVTFSSDACKKSLVYSCDGHLDYLKEDYTSIHAGGQVSCTGPCPLDQCQWLGGSCTCSSCTTNFSTGPSYTRGGHTCPCQGLPLCGSHTIDDLVTGPPCFCGDVCHDECLQARQPNSCTDTCPSGCEQHQMNLPCTRPCLEPCRWNRPQGGAHACQRSYEVFTACPCTPCRCLDQACAALYATSDVLAHCEAFAPGLLTRHCIGEEDTCNGAPLPLPDPCQETPGDLKQLNGFQLPTLRANHAYFENFAPLGNFDGCLGCTQNAYKPDLELLASDEGNWLFTLVLRISVKIYDGSQEVLLGTRSYPLEERQGNILVSGNSAELVLGQAKIVVRTVVPRSGVLGRRLQVNFDEYAARCHESFVYNCLGPFRFVDGDFTKYHFDGWTSCVRGNHAPNLPSLSPFVTVPPVFSVRRTPSSTPAGGDMTHGANEWSPEVPGQTEAQPVVLMAALALVLTRCASPAGM
+>sp|Q8TAX7|MUC7_HUMAN Mucin-7 OS=Homo sapiens OX=9606 GN=MUC7 PE=1 SV=2
+MKTLPLFVCICALSACFSFSEGRERDHELRHRRHHHQSPKSHFELPHYPGLLAHQKPFIRKSYKCLHKRCRPKLPPSPNNPPKFPNPHQPPKHPDKNSSVVNPTLVATTQIPSVTFPSASTKITTLPNVTFLPQNATTISSRENVNTSSSVATLAPVNSPAPQDTTAAPPTPSATTPAPPSSSAPPETTAAPPTPSATTQAPPSSSAPPETTAAPPTPPATTPAPPSSSAPPETTAAPPTPSATTPAPLSSSAPPETTAVPPTPSATTLDPSSASAPPETTAAPPTPSATTPAPPSSPAPQETTAAPITTPNSSPTTLAPDTSETSAAPTHQTTTSVTTQTTTTKQPTSAPGQNKISRFLLYMKNLLNRIIDDMVEQ
+>DECOY_sp|Q8TAX7|MUC7_HUMAN Mucin-7 OS=Homo sapiens OX=9606 GN=MUC7 PE=1 SV=2
+QEVMDDIIRNLLNKMYLLFRSIKNQGPASTPQKTTTTQTTVSTTTQHTPAASTESTDPALTTPSSNPTTIPAATTEQPAPSSPPAPTTASPTPPAATTEPPASASSPDLTTASPTPPVATTEPPASSSLPAPTTASPTPPAATTEPPASSSPPAPTTAPPTPPAATTEPPASSSPPAQTTASPTPPAATTEPPASSSPPAPTTASPTPPAATTDQPAPSNVPALTAVSSSTNVNERSSITTANQPLFTVNPLTTIKTSASPFTVSPIQTTAVLTPNVVSSNKDPHKPPQHPNPFKPPNNPSPPLKPRCRKHLCKYSKRIFPKQHALLGPYHPLEFHSKPSQHHHRRHRLEHDRERGESFSFCASLACICVFLPLTKM
+>sp|Q9UIF7|MUTYH_HUMAN Adenine DNA glycosylase OS=Homo sapiens OX=9606 GN=MUTYH PE=1 SV=1
+MTPLVSRLSRLWAIMRKPRAAVGSGHRKQAASQEGRQKHAKNNSQAKPSACDGMIAECPGAPAGLARQPEEVVLQASVSSYHLFRDVAEVTAFRGSLLSWYDQEKRDLPWRRRAEDEMDLDRRAYAVWVSEVMLQQTQVATVINYYTGWMQKWPTLQDLASASLEEVNQLWAGLGYYSRGRRLQEGARKVVEELGGHMPRTAETLQQLLPGVGRYTAGAIASIAFGQATGVVDGNVARVLCRVRAIGADPSSTLVSQQLWGLAQQLVDPARPGDFNQAAMELGATVCTPQRPLCSQCPVESLCRARQRVEQEQLLASGSLSGSPDVEECAPNTGQCHLCLPPSEPWDQTLGVVNFPRKASRKPPREESSATCVLEQPGALGAQILLVQRPNSGLLAGLWEFPSVTWEPSEQLQRKALLQELQRWAGPLPATHLRHLGEVVHTFSHIKLTYQVYGLALEGQTPVTTVPPGARWLTQEEFHTAAVSTAMKKVFRVYQGQQPGTCMGSKRSQVSSPCSRKKPRMGQQVLDNFFRSHISTDAHSLNSAAQ
+>DECOY_sp|Q9UIF7|MUTYH_HUMAN Adenine DNA glycosylase OS=Homo sapiens OX=9606 GN=MUTYH PE=1 SV=1
+QAASNLSHADTSIHSRFFNDLVQQGMRPKKRSCPSSVQSRKSGMCTGPQQGQYVRFVKKMATSVAATHFEEQTLWRAGPPVTTVPTQGELALGYVQYTLKIHSFTHVVEGLHRLHTAPLPGAWRQLEQLLAKRQLQESPEWTVSPFEWLGALLGSNPRQVLLIQAGLAGPQELVCTASSEERPPKRSAKRPFNVVGLTQDWPESPPLCLHCQGTNPACEEVDPSGSLSGSALLQEQEVRQRARCLSEVPCQSCLPRQPTCVTAGLEMAAQNFDGPRAPDVLQQALGWLQQSVLTSSPDAGIARVRCLVRAVNGDVVGTAQGFAISAIAGATYRGVGPLLQQLTEATRPMHGGLEEVVKRAGEQLRRGRSYYGLGAWLQNVEELSASALDQLTPWKQMWGTYYNIVTAVQTQQLMVESVWVAYARRDLDMEDEARRRWPLDRKEQDYWSLLSGRFATVEAVDRFLHYSSVSAQLVVEEPQRALGAPAGPCEAIMGDCASPKAQSNNKAHKQRGEQSAAQKRHGSGVAARPKRMIAWLRSLRSVLPTM
+>sp|Q14764|MVP_HUMAN Major vault protein OS=Homo sapiens OX=9606 GN=MVP PE=1 SV=4
+MATEEFIIRIPPYHYIHVLDQNSNVSRVEVGPKTYIRQDNERVLFAPMRMVTVPPRHYCTVANPVSRDAQGLVLFDVTGQVRLRHADLEIRLAQDPFPLYPGEVLEKDITPLQVVLPNTALHLKALLDFEDKDGDKVVAGDEWLFEGPGTYIPRKEVEVVEIIQATIIRQNQALRLRARKECWDRDGKERVTGEEWLVTTVGAYLPAVFEEVLDLVDAVILTEKTALHLRARRNFRDFRGVSRRTGEEWLVTVQDTEAHVPDVHEEVLGVVPITTLGPHNYCVILDPVGPDGKNQLGQKRVVKGEKSFFLQPGEQLEQGIQDVYVLSEQQGLLLRALQPLEEGEDEEKVSHQAGDHWLIRGPLEYVPSAKVEVVEERQAIPLDENEGIYVQDVKTGKVRAVIGSTYMLTQDEVLWEKELPPGVEELLNKGQDPLADRGEKDTAKSLQPLAPRNKTRVVSYRVPHNAAVQVYDYREKRARVVFGPELVSLGPEEQFTVLSLSAGRPKRPHARRALCLLLGPDFFTDVITIETADHARLQLQLAYNWHFEVNDRKDPQETAKLFSVPDFVGDACKAIASRVRGAVASVTFDDFHKNSARIIRTAVFGFETSEAKGPDGMALPRPRDQAVFPQNGLVVSSVDVQSVEPVDQRTRDALQRSVQLAIEITTNSQEAAAKHEAQRLEQEARGRLERQKILDQSEAEKARKELLELEALSMAVESTGTAKAEAESRAEAARIEGEGSVLQAKLKAQALAIETEAELQRVQKVRELELVYARAQLELEVSKAQQLAEVEVKKFKQMTEAIGPSTIRDLAVAGPEMQVKLLQSLGLKSTLITDGSTPINLFNTAFGLLGMGPEGQPLGRRVASGPSPGEGISPQSAQAPQAPGDNHVVPVLR
+>DECOY_sp|Q14764|MVP_HUMAN Major vault protein OS=Homo sapiens OX=9606 GN=MVP PE=1 SV=4
+RLVPVVHNDGPAQPAQASQPSIGEGPSPGSAVRRGLPQGEPGMGLLGFATNFLNIPTSGDTILTSKLGLSQLLKVQMEPGAVALDRITSPGIAETMQKFKKVEVEALQQAKSVELELQARAYVLELERVKQVRQLEAETEIALAQAKLKAQLVSGEGEIRAAEARSEAEAKATGTSEVAMSLAELELLEKRAKEAESQDLIKQRELRGRAEQELRQAEHKAAAEQSNTTIEIALQVSRQLADRTRQDVPEVSQVDVSSVVLGNQPFVAQDRPRPLAMGDPGKAESTEFGFVATRIIRASNKHFDDFTVSAVAGRVRSAIAKCADGVFDPVSFLKATEQPDKRDNVEFHWNYALQLQLRAHDATEITIVDTFFDPGLLLCLARRAHPRKPRGASLSLVTFQEEPGLSVLEPGFVVRARKERYDYVQVAANHPVRYSVVRTKNRPALPQLSKATDKEGRDALPDQGKNLLEEVGPPLEKEWLVEDQTLMYTSGIVARVKGTKVDQVYIGENEDLPIAQREEVVEVKASPVYELPGRILWHDGAQHSVKEEDEGEELPQLARLLLGQQESLVYVDQIGQELQEGPQLFFSKEGKVVRKQGLQNKGDPGVPDLIVCYNHPGLTTIPVVGLVEEHVDPVHAETDQVTVLWEEGTRRSVGRFDRFNRRARLHLATKETLIVADVLDLVEEFVAPLYAGVTTVLWEEGTVREKGDRDWCEKRARLRLAQNQRIITAQIIEVVEVEKRPIYTGPGEFLWEDGAVVKDGDKDEFDLLAKLHLATNPLVVQLPTIDKELVEGPYLPFPDQALRIELDAHRLRVQGTVDFLVLGQADRSVPNAVTCYHRPPVTVMRMPAFLVRENDQRIYTKPGVEVRSVNSNQDLVHIYHYPPIRIIFEETAM
+>sp|Q8IUG5|MY18B_HUMAN Unconventional myosin-XVIIIb OS=Homo sapiens OX=9606 GN=MYO18B PE=1 SV=2
+MAISSRLALWEQKIREEDKSPPPSSPPPLFSVIPGGFIKQLVRGTEKEAKEARQRKQLAVASPEREIPEISISQPNSKSSSGTRSGSQQISQDDQSSSPGSSDILGKESEGSRSPDPEQMTSINGEKAQELGSSATPTKKTVPFKRGVRRGDVLLMVAKLDPDSAKPEKTHPHDAPPCKTSPPATDTGKEKKGETSRTPCGSQASTEILAPKAEKTRTGGLGDPGQGTVALKKGEEGQSIVGKGLGTPKTTELKEAEPQGKDRQGTRPQAQGPGEGVRPGKAEKEGAEPTNTVEKGNVSKDVGSEGKHVRPQIPGRKWGGFLGRRSKWDGPQNKKDKEGVLLSKAEKTGEPQTQMEKTSQVQGELGDDLRMGEKAGELRSTTGKAGESWDKKEKMGQPQGKSGNAGEARSQTEKGCEAPKEVSTMVESPAAPGKGGWPGSRGQEAEEPCSRAGDGAGALETELEGPSQPALEKDAERPRIRKENQDGPAPQEEGKGGQSRDSDQAPEDRWYEAEKVWLAQKDGFTLATVLKPDEGTADLPAGRVRLWIDADKTITEVDEEHVHRANPPELDQVEDLASLISVNESSVLNTLLQRYKAQLLHTCTGPDLIVLQPRGPSVPSAGKVPKGRRDGLPAHIGSMAQRAYWALLNQRRDQSIVALGWSGAGKTTCCEQVLEHLVGMAGSVDGRVSVEKIRATFTVLRAFGSVSMAHSRSATRFSMVMSLDFNATGRITAAQLQTMLLEKSRVARQPEGESNFLVFSQMLAGLDLDLRTELNLHQMADSSSFGMGVWSKPEDKQKAAAAFAQLQGAMEMLGISESEQRAVWRVLAAIYHLGAAGACKVGRKQFMRFEWANYAAEALGCEYEELNTATFKHHLRQIIQQMTFGPSRWGLEDEETSSGLKMTGVDCVEGMASGLYQELFAAVVSLINRSFSSHHLSMASIMVVDSPGFQNPRHQGKDRAATFEELCHNYAHERLQLLFYQRTFVSTLQRYQEEGVPVQFDLPDPSPGTTVAVVDQNPSQVRLPAGGGAQDARGLFWVLDEEVHVEGSSDSVVLERLCAAFEKKGAGTEGSSALRTCEQPLQCEIFHQLGWDPVRYDLTGWLHRAKPNLSALDAPQVLHQSKREELRSLFQARAKLPPVCRAVAGLEGTSQQALQRSRMVRRTFASSLAAVRRKAPCSQIKLQMDALTSMIKRSRLHFIHCLVPNPVVESRSGQESPPPPQPGRDKPGAGGPLALDIPALRVQLAGFHILEALRLHRTGYADHMGLTRFRRQFQVLDAPLLKKLMSTSEGIDERKAVEELLETLDLEKKAVAVGHSQVFLKAGVISRLEKQREKLVSQSIVLFQAACKGFLSRQEFKKLKIRRLAAQCIQKNVAVFLAVKDWPWWQLLGSLQPLLSATIGTEQLRAKEEELTTLRRKLEKSEKLRNELRQNTDLLESKIADLTSDLADERFKGDVACQVLESERAERLQAFREVQELKSKHEQVQKKLGDVNKQLEEAQQKIQLNDLERNPTGGADEWQMRFDCAQMENEFLRKRLQQCEERLDSELTARKELEQKLGELQSAYDGAKKMAHQLKRKCHHLTCDLEDTCVLLENQQSRNHELEKKQKKFDLQLAQALGESVFEKGLREKVTQENTSVRWELGQLQQQLKQKEQEASQLKQQVEMLQDHKRELLGSPSLGENCVAGLKERLWKLESSALEQQKIQSQQENTIKQLEQLRQRFELEIERMKQMHQKDREDQEEELEDVRQSCQKRLHQLEMQLEQEYEEKQMVLHEKQDLEGLIGTLCDQIGHRDFDVEKRLRRDLRRTHALLSDVQLLLGTMEDGKTSVSKEELEKVHSQLEQSEAKCEEALKTQKVLTADLESMHSELENMTRNKSLVDEQLYRLQFEKADLLKRIDEDQDDLNELMQKHKDLIAQSAADIGQIQELQLQLEEAKKEKHKLQEQLQVAQMRIEYLEQSTVDRAIVSRQEAVICDLENKTEFQKVQIKRFEVLVIRLRDSLIKMGEELSQAATSESQQRESSQYYQRRLEELKADMEELVQREAEASRRCMELEKYVEELAAVRQTLQTDLETSIRRIADLQAALEEVASSDSDTESVQTAVDCGSSGRKEMDNVSILSSQPEGSLQSWLSCTLSLATDTMRTPSRQSATSSRILSPRINEEAGDTERTQSALALSRARSTNVHSKTSGDKPVSPHFVRRQKYCHFGDGEVLAVQRKSTERLEPASSPLASRSTNTSPLSREKLPSPSAALSEFVEGLRRKRAQRGQGSTLGLEDWPTLPIYQTTGASTLRRGRAGSDEGNLSLRVGAKSPLEIEGAAGGLLRSTSLKCISSDGVGGTTLLPEKSKTQFSSCESLLESRPSMGRKLSSPTTPRDMLLSPTLRPRRRCLESSVDDAGCPDLGKEPLVFQNRQFAHLMEEPLGSDPFSWKLPSLDYERKTKVDFDDFLPAIRKPQTPTSLAGSAKGGQDGSQRSSIHFETEEANRSFLSGIKTILKKSPEPKEDPAHLSDSSSSSGSIVSFKSADSIKSRPGIPRLAGDGGERTSPERREPGTGRKDDDVASIMKKYLQK
+>DECOY_sp|Q8IUG5|MY18B_HUMAN Unconventional myosin-XVIIIb OS=Homo sapiens OX=9606 GN=MYO18B PE=1 SV=2
+KQLYKKMISAVDDDKRGTGPERREPSTREGGDGALRPIGPRSKISDASKFSVISGSSSSSDSLHAPDEKPEPSKKLITKIGSLFSRNAEETEFHISSRQSGDQGGKASGALSTPTQPKRIAPLFDDFDVKTKREYDLSPLKWSFPDSGLPEEMLHAFQRNQFVLPEKGLDPCGADDVSSELCRRRPRLTPSLLMDRPTTPSSLKRGMSPRSELLSECSSFQTKSKEPLLTTGGVGDSSICKLSTSRLLGGAAGEIELPSKAGVRLSLNGEDSGARGRRLTSAGTTQYIPLTPWDELGLTSGQGRQARKRRLGEVFESLAASPSPLKERSLPSTNTSRSALPSSAPELRETSKRQVALVEGDGFHCYKQRRVFHPSVPKDGSTKSHVNTSRARSLALASQTRETDGAEENIRPSLIRSSTASQRSPTRMTDTALSLTCSLWSQLSGEPQSSLISVNDMEKRGSSGCDVATQVSETDSDSSAVEELAAQLDAIRRISTELDTQLTQRVAALEEVYKELEMCRRSAEAERQVLEEMDAKLEELRRQYYQSSERQQSESTAAQSLEEGMKILSDRLRIVLVEFRKIQVKQFETKNELDCIVAEQRSVIARDVTSQELYEIRMQAVQLQEQLKHKEKKAEELQLQLEQIQGIDAASQAILDKHKQMLENLDDQDEDIRKLLDAKEFQLRYLQEDVLSKNRTMNELESHMSELDATLVKQTKLAEECKAESQELQSHVKELEEKSVSTKGDEMTGLLLQVDSLLAHTRRLDRRLRKEVDFDRHGIQDCLTGILGELDQKEHLVMQKEEYEQELQMELQHLRKQCSQRVDELEEEQDERDKQHMQKMREIELEFRQRLQELQKITNEQQSQIKQQELASSELKWLREKLGAVCNEGLSPSGLLERKHDQLMEVQQKLQSAEQEKQKLQQQLQGLEWRVSTNEQTVKERLGKEFVSEGLAQALQLDFKKQKKELEHNRSQQNELLVCTDELDCTLHHCKRKLQHAMKKAGDYASQLEGLKQELEKRATLESDLREECQQLRKRLFENEMQACDFRMQWEDAGGTPNRELDNLQIKQQAEELQKNVDGLKKQVQEHKSKLEQVERFAQLREARESELVQCAVDGKFREDALDSTLDAIKSELLDTNQRLENRLKESKELKRRLTTLEEEKARLQETGITASLLPQLSGLLQWWPWDKVALFVAVNKQICQAALRRIKLKKFEQRSLFGKCAAQFLVISQSVLKERQKELRSIVGAKLFVQSHGVAVAKKELDLTELLEEVAKREDIGESTSMLKKLLPADLVQFQRRFRTLGMHDAYGTRHLRLAELIHFGALQVRLAPIDLALPGGAGPKDRGPQPPPPSEQGSRSEVVPNPVLCHIFHLRSRKIMSTLADMQLKIQSCPAKRRVAALSSAFTRRVMRSRQLAQQSTGELGAVARCVPPLKARAQFLSRLEERKSQHLVQPADLASLNPKARHLWGTLDYRVPDWGLQHFIECQLPQECTRLASSGETGAGKKEFAACLRELVVSDSSGEVHVEEDLVWFLGRADQAGGGAPLRVQSPNQDVVAVTTGPSPDPLDFQVPVGEEQYRQLTSVFTRQYFLLQLREHAYNHCLEEFTAARDKGQHRPNQFGPSDVVMISAMSLHHSSFSRNILSVVAAFLEQYLGSAMGEVCDVGTMKLGSSTEEDELGWRSPGFTMQQIIQRLHHKFTATNLEEYECGLAEAAYNAWEFRMFQKRGVKCAGAAGLHYIAALVRWVARQESESIGLMEMAGQLQAFAAAAKQKDEPKSWVGMGFSSSDAMQHLNLETRLDLDLGALMQSFVLFNSEGEPQRAVRSKELLMTQLQAATIRGTANFDLSMVMSFRTASRSHAMSVSGFARLVTFTARIKEVSVRGDVSGAMGVLHELVQECCTTKGAGSWGLAVISQDRRQNLLAWYARQAMSGIHAPLGDRRGKPVKGASPVSPGRPQLVILDPGTCTHLLQAKYRQLLTNLVSSENVSILSALDEVQDLEPPNARHVHEEDVETITKDADIWLRVRGAPLDATGEDPKLVTALTFGDKQALWVKEAEYWRDEPAQDSDRSQGGKGEEQPAPGDQNEKRIRPREADKELAPQSPGELETELAGAGDGARSCPEEAEQGRSGPWGGKGPAAPSEVMTSVEKPAECGKETQSRAEGANGSKGQPQGMKEKKDWSEGAKGTTSRLEGAKEGMRLDDGLEGQVQSTKEMQTQPEGTKEAKSLLVGEKDKKNQPGDWKSRRGLFGGWKRGPIQPRVHKGESGVDKSVNGKEVTNTPEAGEKEAKGPRVGEGPGQAQPRTGQRDKGQPEAEKLETTKPTGLGKGVISQGEEGKKLAVTGQGPDGLGGTRTKEAKPALIETSAQSGCPTRSTEGKKEKGTDTAPPSTKCPPADHPHTKEPKASDPDLKAVMLLVDGRRVGRKFPVTKKTPTASSGLEQAKEGNISTMQEPDPSRSGESEKGLIDSSGPSSSQDDQSIQQSGSRTGSSSKSNPQSISIEPIEREPSAVALQKRQRAEKAEKETGRVLQKIFGGPIVSFLPPPSSPPPSKDEERIKQEWLALRSSIAM
+>sp|Q8TBZ2|MYBPP_HUMAN MYCBP-associated protein OS=Homo sapiens OX=9606 GN=MYCBPAP PE=1 SV=2
+MVPGGTMKSLKKDSRLRITPTRLLEASENVKEKKRAKGPEQPTPTIQEEPEPVSNVLQGDDILALAIKKEDLKEQHIPRLTEKEDKRVITQKFIIRKLKPMDPRRKVCHLVARPANPDEATKPLDYSGPGDSFDGSDQILPHHILGSLQDFKRIALARGNTQLAERIPTSPCLMTLISAEGESKQKAPKEEKRPPWAPPPQHNFLKNWQRNTALRKKQQEALSEHLKKPVSELLMHTGETYRRIQEERELIDCTLPTRRDRKSWENSGFWSRLEYLGDEMTGLVMTKTKTQRGLMEPITHIRKPHSIRVETGLPAQRDASYRYTWDRSLFLIYRRKELQRIMEELDFSQQDIDGLEVVGKGWPFSAVTVEDYTVFERSQGSSSEDTAYLGTLASSSDVSMPILGPSLLFCGKPACWIRGSNPQDKRQVGIAAHLTFETLEGEKTSSELTVVNNGTVAIWYDWRRQHQPDTFQDLKKNRMQRFYFDNREGVILPGEIKTFTFFFKSLTAGVFREFWEFRTHPTLLGGAILQVNLHAVSLTQDVFEDERKVLESKLTAHEAVTVVREVLQELLMGVLTPERTPSPVDAYLTEEDLFRHRNPPLHYEHQVVQSLHQLWRQYMTLPAKAEEARPGDKEHVSPIATEKASVNAELLPRFRSPISETQVPRPENEALRESGSQKARVGTKSPQRKSIMEEILVEESPDVDSTKSPWEPDGLPLLEWNLCLEDFRKAVMVLPDENHREDALMRLNKAALELCQKPRPLQSNLLHQMCLQLWRDVIDSLVGHSMWLRSVLGLPEKETIYLNVPEEQDQKSPPIMEVKVPVGKAGKEERKGAAQEKKQLGIKDKEDKKGAKLLGKEDRPNSKKHKAKDDKKVIKSASQDRFSLEDPTPDIILSSQEPIDPLVMGKYTQSLHSEVRGLLDTLVTDLMVLADELSPIKNVEEALRLCR
+>DECOY_sp|Q8TBZ2|MYBPP_HUMAN MYCBP-associated protein OS=Homo sapiens OX=9606 GN=MYCBPAP PE=1 SV=2
+RCLRLAEEVNKIPSLEDALVMLDTVLTDLLGRVESHLSQTYKGMVLPDIPEQSSLIIDPTPDELSFRDQSASKIVKKDDKAKHKKSNPRDEKGLLKAGKKDEKDKIGLQKKEQAAGKREEKGAKGVPVKVEMIPPSKQDQEEPVNLYITEKEPLGLVSRLWMSHGVLSDIVDRWLQLCMQHLLNSQLPRPKQCLELAAKNLRMLADERHNEDPLVMVAKRFDELCLNWELLPLGDPEWPSKTSDVDPSEEVLIEEMISKRQPSKTGVRAKQSGSERLAENEPRPVQTESIPSRFRPLLEANVSAKETAIPSVHEKDGPRAEEAKAPLTMYQRWLQHLSQVVQHEYHLPPNRHRFLDEETLYADVPSPTREPTLVGMLLEQLVERVVTVAEHATLKSELVKREDEFVDQTLSVAHLNVQLIAGGLLTPHTRFEWFERFVGATLSKFFFTFTKIEGPLIVGERNDFYFRQMRNKKLDQFTDPQHQRRWDYWIAVTGNNVVTLESSTKEGELTEFTLHAAIGVQRKDQPNSGRIWCAPKGCFLLSPGLIPMSVDSSSALTGLYATDESSSGQSREFVTYDEVTVASFPWGKGVVELGDIDQQSFDLEEMIRQLEKRRYILFLSRDWTYRYSADRQAPLGTEVRISHPKRIHTIPEMLGRQTKTKTMVLGTMEDGLYELRSWFGSNEWSKRDRRTPLTCDILEREEQIRRYTEGTHMLLESVPKKLHESLAEQQKKRLATNRQWNKLFNHQPPPAWPPRKEEKPAKQKSEGEASILTMLCPSTPIREALQTNGRALAIRKFDQLSGLIHHPLIQDSGDFSDGPGSYDLPKTAEDPNAPRAVLHCVKRRPDMPKLKRIIFKQTIVRKDEKETLRPIHQEKLDEKKIALALIDDGQLVNSVPEPEEQITPTPQEPGKARKKEKVNESAELLRTPTIRLRSDKKLSKMTGGPVM
+>sp|Q99836|MYD88_HUMAN Myeloid differentiation primary response protein MyD88 OS=Homo sapiens OX=9606 GN=MYD88 PE=1 SV=1
+MAAGGPGAGSAAPVSSTSSLPLAALNMRVRRRLSLFLNVRTQVAADWTALAEEMDFEYLEIRQLETQADPTGRLLDAWQGRPGASVGRLLELLTKLGRDDVLLELGPSIEEDCQKYILKQQQEEAEKPLQVAAVDSSVPRTAELAGITTLDDPLGHMPERFDAFICYCPSDIQFVQEMIRQLEQTNYRLKLCVSDRDVLPGTCVWSIASELIEKRCRRMVVVVSDDYLQSKECDFQTKFALSLSPGAHQKRLIPIKYKAMKKEFPSILRFITVCDYTNPCTKSWFWTRLAKALSLP
+>DECOY_sp|Q99836|MYD88_HUMAN Myeloid differentiation primary response protein MyD88 OS=Homo sapiens OX=9606 GN=MYD88 PE=1 SV=1
+PLSLAKALRTWFWSKTCPNTYDCVTIFRLISPFEKKMAKYKIPILRKQHAGPSLSLAFKTQFDCEKSQLYDDSVVVVMRRCRKEILESAISWVCTGPLVDRDSVCLKLRYNTQELQRIMEQVFQIDSPCYCIFADFREPMHGLPDDLTTIGALEATRPVSSDVAAVQLPKEAEEQQQKLIYKQCDEEISPGLELLVDDRGLKTLLELLRGVSAGPRGQWADLLRGTPDAQTELQRIELYEFDMEEALATWDAAVQTRVNLFLSLRRRVRMNLAALPLSSTSSVPAASGAGPGGAAM
+>sp|P23409|MYF6_HUMAN Myogenic factor 6 OS=Homo sapiens OX=9606 GN=MYF6 PE=1 SV=1
+MMMDLFETGSYFFYLDGENVTLQPLEVAEGSPLYPGSDGTLSPCQDQMPPEAGSDSSGEEHVLAPPGLQPPHCPGQCLIWACKTCKRKSAPTDRRKAATLRERRRLKKINEAFEALKRRTVANPNQRLPKVEILRSAISYIERLQDLLHRLDQQEKMQELGVDPFSYRPKQENLEGADFLRTCSSQWPSVSDHSRGLVITAKEGGASIDSSASSSLRCLSSIVDSISSEERKLPCVEEVVEK
+>DECOY_sp|P23409|MYF6_HUMAN Myogenic factor 6 OS=Homo sapiens OX=9606 GN=MYF6 PE=1 SV=1
+KEVVEEVCPLKREESSISDVISSLCRLSSSASSDISAGGEKATIVLGRSHDSVSPWQSSCTRLFDAGELNEQKPRYSFPDVGLEQMKEQQDLRHLLDQLREIYSIASRLIEVKPLRQNPNAVTRRKLAEFAENIKKLRRRERLTAAKRRDTPASKRKCTKCAWILCQGPCHPPQLGPPALVHEEGSSDSGAEPPMQDQCPSLTGDSGPYLPSGEAVELPQLTVNEGDLYFFYSGTEFLDMMM
+>sp|P35749|MYH11_HUMAN Myosin-11 OS=Homo sapiens OX=9606 GN=MYH11 PE=1 SV=3
+MAQKGQLSDDEKFLFVDKNFINSPVAQADWAAKRLVWVPSEKQGFEAASIKEEKGDEVVVELVENGKKVTVGKDDIQKMNPPKFSKVEDMAELTCLNEASVLHNLRERYFSGLIYTYSGLFCVVVNPYKHLPIYSEKIVDMYKGKKRHEMPPHIYAIADTAYRSMLQDREDQSILCTGESGAGKTENTKKVIQYLAVVASSHKGKKDTSITGELEKQLLQANPILEAFGNAKTVKNDNSSRFGKFIRINFDVTGYIVGANIETYLLEKSRAIRQARDERTFHIFYYMIAGAKEKMRSDLLLEGFNNYTFLSNGFVPIPAAQDDEMFQETVEAMAIMGFSEEEQLSILKVVSSVLQLGNIVFKKERNTDQASMPDNTAAQKVCHLMGINVTDFTRSILTPRIKVGRDVVQKAQTKEQADFAVEALAKATYERLFRWILTRVNKALDKTHRQGASFLGILDIAGFEIFEVNSFEQLCINYTNEKLQQLFNHTMFILEQEEYQREGIEWNFIDFGLDLQPCIELIERPNNPPGVLALLDEECWFPKATDKSFVEKLCTEQGSHPKFQKPKQLKDKTEFSIIHYAGKVDYNASAWLTKNMDPLNDNVTSLLNASSDKFVADLWKDVDRIVGLDQMAKMTESSLPSASKTKKGMFRTVGQLYKEQLGKLMTTLRNTTPNFVRCIIPNHEKRSGKLDAFLVLEQLRCNGVLEGIRICRQGFPNRIVFQEFRQRYEILAANAIPKGFMDGKQACILMIKALELDPNLYRIGQSKIFFRTGVLAHLEEERDLKITDVIMAFQAMCRGYLARKAFAKRQQQLTAMKVIQRNCAAYLKLRNWQWWRLFTKVKPLLQVTRQEEEMQAKEDELQKTKERQQKAENELKELEQKHSQLTEEKNLLQEQLQAETELYAEAEEMRVRLAAKKQELEEILHEMEARLEEEEDRGQQLQAERKKMAQQMLDLEEQLEEEEAARQKLQLEKVTAEAKIKKLEDEILVMDDQNNKLSKERKLLEERISDLTTNLAEEEEKAKNLTKLKNKHESMISELEVRLKKEEKSRQELEKLKRKLEGDASDFHEQIADLQAQIAELKMQLAKKEEELQAALARLDDEIAQKNNALKKIRELEGHISDLQEDLDSERAARNKAEKQKRDLGEELEALKTELEDTLDSTATQQELRAKREQEVTVLKKALDEETRSHEAQVQEMRQKHAQAVEELTEQLEQFKRAKANLDKNKQTLEKENADLAGELRVLGQAKQEVEHKKKKLEAQVQELQSKCSDGERARAELNDKVHKLQNEVESVTGMLNEAEGKAIKLAKDVASLSSQLQDTQELLQEETRQKLNVSTKLRQLEEERNSLQDQLDEEMEAKQNLERHISTLNIQLSDSKKKLQDFASTVEALEEGKKRFQKEIENLTQQYEEKAAAYDKLEKTKNRLQQELDDLVVDLDNQRQLVSNLEKKQRKFDQLLAEEKNISSKYADERDRAEAEAREKETKALSLARALEEALEAKEELERTNKMLKAEMEDLVSSKDDVGKNVHELEKSKRALETQMEEMKTQLEELEDELQATEDAKLRLEVNMQALKGQFERDLQARDEQNEEKRRQLQRQLHEYETELEDERKQRALAAAAKKKLEGDLKDLELQADSAIKGREEAIKQLRKLQAQMKDFQRELEDARASRDEIFATAKENEKKAKSLEADLMQLQEDLAAAERARKQADLEKEELAEELASSLSGRNALQDEKRRLEARIAQLEEELEEEQGNMEAMSDRVRKATQQAEQLSNELATERSTAQKNESARQQLERQNKELRSKLHEMEGAVKSKFKSTIAALEAKIAQLEEQVEQEAREKQAATKSLKQKDKKLKEILLQVEDERKMAEQYKEQAEKGNARVKQLKRQLEEAEEESQRINANRRKLQRELDEATESNEAMGREVNALKSKLRRGNETSFVPSRRSGGRRVIENADGSEEETDTRDADFNGTKASE
+>DECOY_sp|P35749|MYH11_HUMAN Myosin-11 OS=Homo sapiens OX=9606 GN=MYH11 PE=1 SV=3
+ESAKTGNFDADRTDTEEESGDANEIVRRGGSRRSPVFSTENGRRLKSKLANVERGMAENSETAEDLERQLKRRNANIRQSEEEAEELQRKLQKVRANGKEAQEKYQEAMKREDEVQLLIEKLKKDKQKLSKTAAQKERAEQEVQEELQAIKAELAAITSKFKSKVAGEMEHLKSRLEKNQRELQQRASENKQATSRETALENSLQEAQQTAKRVRDSMAEMNGQEEELEEELQAIRAELRRKEDQLANRGSLSSALEEALEEKELDAQKRAREAAALDEQLQMLDAELSKAKKENEKATAFIEDRSARADELERQFDKMQAQLKRLQKIAEERGKIASDAQLELDKLDGELKKKAAAALARQKREDELETEYEHLQRQLQRRKEENQEDRAQLDREFQGKLAQMNVELRLKADETAQLEDELEELQTKMEEMQTELARKSKELEHVNKGVDDKSSVLDEMEAKLMKNTRELEEKAELAEELARALSLAKTEKERAEAEARDREDAYKSSINKEEALLQDFKRQKKELNSVLQRQNDLDVVLDDLEQQLRNKTKELKDYAAAKEEYQQTLNEIEKQFRKKGEELAEVTSAFDQLKKKSDSLQINLTSIHRELNQKAEMEEDLQDQLSNREEELQRLKTSVNLKQRTEEQLLEQTDQLQSSLSAVDKALKIAKGEAENLMGTVSEVENQLKHVKDNLEARAREGDSCKSQLEQVQAELKKKKHEVEQKAQGLVRLEGALDANEKELTQKNKDLNAKARKFQELQETLEEVAQAHKQRMEQVQAEHSRTEEDLAKKLVTVEQERKARLEQQTATSDLTDELETKLAELEEGLDRKQKEAKNRAARESDLDEQLDSIHGELERIKKLANNKQAIEDDLRALAAQLEEEKKALQMKLEAIQAQLDAIQEHFDSADGELKRKLKELEQRSKEEKKLRVELESIMSEHKNKLKTLNKAKEEEEALNTTLDSIREELLKREKSLKNNQDDMVLIEDELKKIKAEATVKELQLKQRAAEEEELQEELDLMQQAMKKREAQLQQGRDEEEELRAEMEHLIEELEQKKAALRVRMEEAEAYLETEAQLQEQLLNKEETLQSHKQELEKLENEAKQQREKTKQLEDEKAQMEEEQRTVQLLPKVKTFLRWWQWNRLKLYAACNRQIVKMATLQQQRKAFAKRALYGRCMAQFAMIVDTIKLDREEELHALVGTRFFIKSQGIRYLNPDLELAKIMLICAQKGDMFGKPIANAALIEYRQRFEQFVIRNPFGQRCIRIGELVGNCRLQELVLFADLKGSRKEHNPIICRVFNPTTNRLTTMLKGLQEKYLQGVTRFMGKKTKSASPLSSETMKAMQDLGVIRDVDKWLDAVFKDSSANLLSTVNDNLPDMNKTLWASANYDVKGAYHIISFETKDKLQKPKQFKPHSGQETCLKEVFSKDTAKPFWCEEDLLALVGPPNNPREILEICPQLDLGFDIFNWEIGERQYEEQELIFMTHNFLQQLKENTYNICLQEFSNVEFIEFGAIDLIGLFSAGQRHTKDLAKNVRTLIWRFLREYTAKALAEVAFDAQEKTQAKQVVDRGVKIRPTLISRTFDTVNIGMLHCVKQAATNDPMSAQDTNREKKFVINGLQLVSSVVKLISLQEEESFGMIAMAEVTEQFMEDDQAAPIPVFGNSLFTYNNFGELLLDSRMKEKAGAIMYYFIHFTREDRAQRIARSKELLYTEINAGVIYGTVDFNIRIFKGFRSSNDNKVTKANGFAELIPNAQLLQKELEGTISTDKKGKHSSAVVALYQIVKKTNETKGAGSEGTCLISQDERDQLMSRYATDAIAYIHPPMEHRKKGKYMDVIKESYIPLHKYPNVVVCFLGSYTYILGSFYRERLNHLVSAENLCTLEAMDEVKSFKPPNMKQIDDKGVTVKKGNEVLEVVVEDGKEEKISAAEFGQKESPVWVLRKAAWDAQAVPSNIFNKDVFLFKEDDSLQGKQAM
+>sp|Q9UKX3|MYH13_HUMAN Myosin-13 OS=Homo sapiens OX=9606 GN=MYH13 PE=2 SV=2
+MSSDAEMAIFGEAAPYLRKPEKERIEAQNRPFDSKKACFVADNKEMYVKGMIQTRENDKVIVKTLDDRMLTLNNDQVFPMNPPKFDKIEDMAMMTHLHEPAVLYNLKERYAAWMIYTYSGLFCVTVNPYKWLPVYKPEVVAAYRGKKRQEAPPHIFSISDNAYQFMLTDRDNQSILITGESGAGKTVNTKRVIQYFATIAVTGDKKKETQPGKMQGTLEDQIIQANPLLEAFGNAKTVRNDNSSRFGKFIRIHFGATGKLASADIETYLLEKSRVTFQLSSERSYHIFYQIMSNKKPELIDLLLISTNPFDFPFVSQGEVTVASIDDSEELLATDNAIDILGFSSEEKVGIYKLTGAVMHYGNMKFKQKQREEQAEPDGTEVADKAGYLMGLNSAEMLKGLCCPRVKVGNEYVTKGQNVQQVTNSVGALAKAVYEKMFLWMVTRINQQLDTKQPRQYFIGVLDIAGFEIFDFNSLEQLCINFTNEKLQQFFNHHMFVLEQEEYKKEGIEWEFIDFGMDLAACIELIEKPMGIFSILEEECMFPKATDTSFKNKLYDQHLGKSNNFQKPKPAKGKAEAHFSLVHYAGTVDYNIAGWLDKNKDPLNETVVGLYQKSSLKLLSFLFSNYAGAETGDSGGSKKGGKKKGSSFQTVSAVFRENLNKLMTNLRSTHPHFVRCLIPNETKTPGVMDHYLVMHQLRCNGVLEGIRICRKGFPSRILYADFKQRYRILNASAIPEGQFIDSKNASEKLLNSIDVDREQFRFGNTKVFFKAGLLGLLEEMRDEKLVTLMTSTQAVCRGYLMRVEFKKMMERRDSIFCIQYNIRSFMNVKHWPWMNLFFKIKPLLKSAEAEKEMATMKEDFERTKEELARSEARRKELEEKMVSLLQEKNDLQLQVQSETENLMDAEERCEGLIKSKILLEAKVKELTERLEEEEEMNSELVAKKRNLEDKCSSLKRDIDDLELTLTKVEKEKHATENKVKNLSEEMTALEENISKLTKEKKSLQEAHQQTLDDLQVEEDKVNGLIKINAKLEQQTDDLEGSLEQEKKLRADLERAKRKLEGDLKMSQESIMDLENDKQQIEEKLKKKEFELSQLQAKIDDEQVHSLQFQKKIKELQARIEELEEEIEAEHTLRAKIEKQRSDLARELEEISERLEEASGATSAQIEMNKKREAEFQKMRRDLEEATLQHEATAATLRKKQADSVAELGEQIDNLQRVKQKLEKEKSELKMEIDDMASNIEALSKSKSNIERTCRTVEDQFSEIKAKDEQQTQLIHDLNMQKARLQTQNGELSHRVEEKESLISQLTKSKQALTQQLEELKRQMEEETKAKNAMAHALQSSRHDCDLLREQYEEEQEAKAELQRALSKANSEVAQWRTKYETDAIQRTEELEEAKKKLAQRLQEAEENTETANSKCASLEKTKQRLQGEVEDLMRDLERSHTACATLDKKQRNFDKVLAEWKQKLDESQAELEAAQKESRSLSTELFKMRNAYEEVVDQLETLRRENKNLQEEISDLTEQIAETGKNLQEAEKTKKLVEQEKSDLQVALEEVEGSLEHEESKILRVQLELSQVKSELDRKVIEKDEEIEQLKRNSQRAAEALQSVLDAEIRSRNDALRLKKKMEGDLNEMEIQLGHSNRQMAETQKHLRTVQGQLKDSQLHLDDALRSNEDLKEQLAIVERRNGLLLEELEEMKVALEQTERTRRLSEQELLDASDRVQLLHSQNTSLINTKKKLEADIAQCQAEVENSIQESRNAEEKAKKAITDAAMMAEELKKEQDTSAHLERMKKNLEQTVKDLQHRLDEAEQLALKGGKKQIQKLENRVRELENELDVEQKRGAEALKGAHKYERKVKEMTYQAEEDHKNILRLQDLVDKLQAKVKSYKRQAEEAEEQANTQLSRCRRVQHELEEAAERADIAESQVNKLRAKSRDVGSQKMEE
+>DECOY_sp|Q9UKX3|MYH13_HUMAN Myosin-13 OS=Homo sapiens OX=9606 GN=MYH13 PE=2 SV=2
+EEMKQSGVDRSKARLKNVQSEAIDAREAAEELEHQVRRCRSLQTNAQEEAEEAQRKYSKVKAQLKDVLDQLRLINKHDEEAQYTMEKVKREYKHAGKLAEAGRKQEVDLENELERVRNELKQIQKKGGKLALQEAEDLRHQLDKVTQELNKKMRELHASTDQEKKLEEAMMAADTIAKKAKEEANRSEQISNEVEAQCQAIDAELKKKTNILSTNQSHLLQVRDSADLLEQESLRRTRETQELAVKMEELEELLLGNRREVIALQEKLDENSRLADDLHLQSDKLQGQVTRLHKQTEAMQRNSHGLQIEMENLDGEMKKKLRLADNRSRIEADLVSQLAEAARQSNRKLQEIEEDKEIVKRDLESKVQSLELQVRLIKSEEHELSGEVEELAVQLDSKEQEVLKKTKEAEQLNKGTEAIQETLDSIEEQLNKNERRLTELQDVVEEYANRMKFLETSLSRSEKQAAELEAQSEDLKQKWEALVKDFNRQKKDLTACATHSRELDRMLDEVEGQLRQKTKELSACKSNATETNEEAEQLRQALKKKAEELEETRQIADTEYKTRWQAVESNAKSLARQLEAKAEQEEEYQERLLDCDHRSSQLAHAMANKAKTEEEMQRKLEELQQTLAQKSKTLQSILSEKEEVRHSLEGNQTQLRAKQMNLDHILQTQQEDKAKIESFQDEVTRCTREINSKSKSLAEINSAMDDIEMKLESKEKELKQKVRQLNDIQEGLEAVSDAQKKRLTAATAEHQLTAEELDRRMKQFEAERKKNMEIQASTAGSAEELRESIEELERALDSRQKEIKARLTHEAEIEEELEEIRAQLEKIKKQFQLSHVQEDDIKAQLQSLEFEKKKLKEEIQQKDNELDMISEQSMKLDGELKRKARELDARLKKEQELSGELDDTQQELKANIKILGNVKDEEVQLDDLTQQHAEQLSKKEKTLKSINEELATMEESLNKVKNETAHKEKEVKTLTLELDDIDRKLSSCKDELNRKKAVLESNMEEEEELRETLEKVKAELLIKSKILGECREEADMLNETESQVQLQLDNKEQLLSVMKEELEKRRAESRALEEKTREFDEKMTAMEKEAEASKLLPKIKFFLNMWPWHKVNMFSRINYQICFISDRREMMKKFEVRMLYGRCVAQTSTMLTVLKEDRMEELLGLLGAKFFVKTNGFRFQERDVDISNLLKESANKSDIFQGEPIASANLIRYRQKFDAYLIRSPFGKRCIRIGELVGNCRLQHMVLYHDMVGPTKTENPILCRVFHPHTSRLNTMLKNLNERFVASVTQFSSGKKKGGKKSGGSDGTEAGAYNSFLFSLLKLSSKQYLGVVTENLPDKNKDLWGAINYDVTGAYHVLSFHAEAKGKAPKPKQFNNSKGLHQDYLKNKFSTDTAKPFMCEEELISFIGMPKEILEICAALDMGFDIFEWEIGEKKYEEQELVFMHHNFFQQLKENTFNICLQELSNFDFIEFGAIDLVGIFYQRPQKTDLQQNIRTVMWLFMKEYVAKALAGVSNTVQQVNQGKTVYENGVKVRPCCLGKLMEASNLGMLYGAKDAVETGDPEAQEERQKQKFKMNGYHMVAGTLKYIGVKEESSFGLIDIANDTALLEESDDISAVTVEGQSVFPFDFPNTSILLLDILEPKKNSMIQYFIHYSRESSLQFTVRSKELLYTEIDASALKGTAGFHIRIFKGFRSSNDNRVTKANGFAELLPNAQIIQDELTGQMKGPQTEKKKDGTVAITAFYQIVRKTNVTKGAGSEGTILISQNDRDTLMFQYANDSISFIHPPAEQRKKGRYAAVVEPKYVPLWKYPNVTVCFLGSYTYIMWAAYREKLNYLVAPEHLHTMMAMDEIKDFKPPNMPFVQDNNLTLMRDDLTKVIVKDNERTQIMGKVYMEKNDAVFCAKKSDFPRNQAEIREKEPKRLYPAAEGFIAMEADSSM
+>sp|Q7Z406|MYH14_HUMAN Myosin-14 OS=Homo sapiens OX=9606 GN=MYH14 PE=1 SV=2
+MAAVTMSVPGRKAPPRPGPVPEAAQPFLFTPRGPSAGGGPGSGTSPQVEWTARRLVWVPSELHGFEAAALRDEGEEEAEVELAESGRRLRLPRDQIQRMNPPKFSKAEDMAELTCLNEASVLHNLRERYYSGLIYTYSGLFCVVINPYKQLPIYTEAIVEMYRGKKRHEVPPHVYAVTEGAYRSMLQDREDQSILCTGESGAGKTENTKKVIQYLAHVASSPKGRKEPGVPGELERQLLQANPILEAFGNAKTVKNDNSSRFGKFIRINFDVAGYIVGANIETYLLEKSRAIRQAKDECSFHIFYQLLGGAGEQLKADLLLEPCSHYRFLTNGPSSSPGQERELFQETLESLRVLGFSHEEIISMLRMVSAVLQFGNIALKRERNTDQATMPDNTAAQKLCRLLGLGVTDFSRALLTPRIKVGRDYVQKAQTKEQADFALEALAKATYERLFRWLVLRLNRALDRSPRQGASFLGILDIAGFEIFQLNSFEQLCINYTNEKLQQLFNHTMFVLEQEEYQREGIPWTFLDFGLDLQPCIDLIERPANPPGLLALLDEECWFPKATDKSFVEKVAQEQGGHPKFQRPRHLRDQADFSVLHYAGKVDYKANEWLMKNMDPLNDNVAALLHQSTDRLTAEIWKDVEGIVGLEQVSSLGDGPPGGRPRRGMFRTVGQLYKESLSRLMATLSNTNPSFVRCIVPNHEKRAGKLEPRLVLDQLRCNGVLEGIRICRQGFPNRILFQEFRQRYEILTPNAIPKGFMDGKQACEKMIQALELDPNLYRVGQSKIFFRAGVLAQLEEERDLKVTDIIVSFQAAARGYLARRAFQKRQQQQSALRVMQRNCAAYLKLRHWQWWRLFTKVKPLLQVTRQDEVLQARAQELQKVQELQQQSAREVGELQGRVAQLEEERARLAEQLRAEAELCAEAEETRGRLAARKQELELVVSELEARVGEEEECSRQMQTEKKRLQQHIQELEAHLEAEEGARQKLQLEKVTTEAKMKKFEEDLLLLEDQNSKLSKERKLLEDRLAEFSSQAAEEEEKVKSLNKLRLKYEATIADMEDRLRKEEKGRQELEKLKRRLDGESSELQEQMVEQQQRAEELRAQLGRKEEELQAALARAEDEGGARAQLLKSLREAQAALAEAQEDLESERVARTKAEKQRRDLGEELEALRGELEDTLDSTNAQQELRSKREQEVTELKKTLEEETRIHEAAVQELRQRHGQALGELAEQLEQARRGKGAWEKTRLALEAEVSELRAELSSLQTARQEGEQRRRRLELQLQEVQGRAGDGERARAEAAEKLQRAQAELENVSGALNEAESKTIRLSKELSSTEAQLHDAQELLQEETRAKLALGSRVRAMEAEAAGLREQLEEEAAARERAGRELQTAQAQLSEWRRRQEEEAGALEAGEEARRRAAREAEALTQRLAEKTETVDRLERGRRRLQQELDDATMDLEQQRQLVSTLEKKQRKFDQLLAEEKAAVLRAVEERERAEAEGREREARALSLTRALEEEQEAREELERQNRALRAELEALLSSKDDVGKSVHELERACRVAEQAANDLRAQVTELEDELTAAEDAKLRLEVTVQALKTQHERDLQGRDEAGEERRRQLAKQLRDAEVERDEERKQRTLAVAARKKLEGELEELKAQMASAGQGKEEAVKQLRKMQAQMKELWREVEETRTSREEIFSQNRESEKRLKGLEAEVLRLQEELAASDRARRQAQQDRDEMADEVANGNLSKAAILEEKRQLEGRLGQLEEELEEEQSNSELLNDRYRKLLLQVESLTTELSAERSFSAKAESGRQQLERQIQELRGRLGEEDAGARARHKMTIAALESKLAQAEEQLEQETRERILSGKLVRRAEKRLKEVVLQVEEERRVADQLRDQLEKGNLRVKQLKRQLEEAEEEASRAQAGRRRLQRELEDVTESAESMNREVTTLRNRLRRGPLTFTTRTVRQVFRLEEGVASDEEAEEAQPGSGPSPEPEGSPPAHPQ
+>DECOY_sp|Q7Z406|MYH14_HUMAN Myosin-14 OS=Homo sapiens OX=9606 GN=MYH14 PE=1 SV=2
+QPHAPPSGEPEPSPGSGPQAEEAEEDSAVGEELRFVQRVTRTTFTLPGRRLRNRLTTVERNMSEASETVDELERQLRRRGAQARSAEEEAEELQRKLQKVRLNGKELQDRLQDAVRREEEVQLVVEKLRKEARRVLKGSLIRERTEQELQEEAQALKSELAAITMKHRARAGADEEGLRGRLEQIQRELQQRGSEAKASFSREASLETTLSEVQLLLKRYRDNLLESNSQEEELEEELQGLRGELQRKEELIAAKSLNGNAVEDAMEDRDQQAQRRARDSAALEEQLRLVEAELGKLRKESERNQSFIEERSTRTEEVERWLEKMQAQMKRLQKVAEEKGQGASAMQAKLEELEGELKKRAAVALTRQKREEDREVEADRLQKALQRRREEGAEDRGQLDREHQTKLAQVTVELRLKADEAATLEDELETVQARLDNAAQEAVRCARELEHVSKGVDDKSSLLAELEARLARNQRELEERAEQEEELARTLSLARAERERGEAEAREREEVARLVAAKEEALLQDFKRQKKELTSVLQRQQELDMTADDLEQQLRRRGRELRDVTETKEALRQTLAEAERAARRRAEEGAELAGAEEEQRRRWESLQAQATQLERGARERAAAEEELQERLGAAEAEMARVRSGLALKARTEEQLLEQADHLQAETSSLEKSLRITKSEAENLAGSVNELEAQARQLKEAAEARAREGDGARGQVEQLQLELRRRRQEGEQRATQLSSLEARLESVEAELALRTKEWAGKGRRAQELQEALEGLAQGHRQRLEQVAAEHIRTEEELTKKLETVEQERKSRLEQQANTSDLTDELEGRLAELEEGLDRRQKEAKTRAVRESELDEQAEALAAQAERLSKLLQARAGGEDEARALAAQLEEEKRGLQARLEEARQQQEVMQEQLESSEGDLRRKLKELEQRGKEEKRLRDEMDAITAEYKLRLKNLSKVKEEEEAAQSSFEALRDELLKREKSLKSNQDELLLLDEEFKKMKAETTVKELQLKQRAGEEAELHAELEQIHQQLRKKETQMQRSCEEEEGVRAELESVVLELEQKRAALRGRTEEAEACLEAEARLQEALRAREEELQAVRGQLEGVERASQQQLEQVKQLEQARAQLVEDQRTVQLLPKVKTFLRWWQWHRLKLYAACNRQMVRLASQQQQRKQFARRALYGRAAAQFSVIIDTVKLDREEELQALVGARFFIKSQGVRYLNPDLELAQIMKECAQKGDMFGKPIANPTLIEYRQRFEQFLIRNPFGQRCIRIGELVGNCRLQDLVLRPELKGARKEHNPVICRVFSPNTNSLTAMLRSLSEKYLQGVTRFMGRRPRGGPPGDGLSSVQELGVIGEVDKWIEATLRDTSQHLLAAVNDNLPDMNKMLWENAKYDVKGAYHLVSFDAQDRLHRPRQFKPHGGQEQAVKEVFSKDTAKPFWCEEDLLALLGPPNAPREILDICPQLDLGFDLFTWPIGERQYEEQELVFMTHNFLQQLKENTYNICLQEFSNLQFIEFGAIDLIGLFSAGQRPSRDLARNLRLVLWRFLREYTAKALAELAFDAQEKTQAKQVYDRGVKIRPTLLARSFDTVGLGLLRCLKQAATNDPMTAQDTNRERKLAINGFQLVASVMRLMSIIEEHSFGLVRLSELTEQFLEREQGPSSSPGNTLFRYHSCPELLLDAKLQEGAGGLLQYFIHFSCEDKAQRIARSKELLYTEINAGVIYGAVDFNIRIFKGFRSSNDNKVTKANGFAELIPNAQLLQRELEGPVGPEKRGKPSSAVHALYQIVKKTNETKGAGSEGTCLISQDERDQLMSRYAGETVAYVHPPVEHRKKGRYMEVIAETYIPLQKYPNIVVCFLGSYTYILGSYYRERLNHLVSAENLCTLEAMDEAKSFKPPNMRQIQDRPLRLRRGSEALEVEAEEEGEDRLAAAEFGHLESPVWVLRRATWEVQPSTGSGPGGGASPGRPTFLFPQAAEPVPGPRPPAKRGPVSMTVAAM
+>sp|P24844|MYL9_HUMAN Myosin regulatory light polypeptide 9 OS=Homo sapiens OX=9606 GN=MYL9 PE=1 SV=4
+MSSKRAKAKTTKKRPQRATSNVFAMFDQSQIQEFKEAFNMIDQNRDGFIDKEDLHDMLASLGKNPTDEYLEGMMSEAPGPINFTMFLTMFGEKLNGTDPEDVIRNAFACFDEEASGFIHEDHLRELLTTMGDRFTDEEVDEMYREAPIDKKGNFNYVEFTRILKHGAKDKDD
+>DECOY_sp|P24844|MYL9_HUMAN Myosin regulatory light polypeptide 9 OS=Homo sapiens OX=9606 GN=MYL9 PE=1 SV=4
+DDKDKAGHKLIRTFEVYNFNGKKDIPAERYMEDVEEDTFRDGMTTLLERLHDEHIFGSAEEDFCAFANRIVDEPDTGNLKEGFMTLFMTFNIPGPAESMMGELYEDTPNKGLSALMDHLDEKDIFGDRNQDIMNFAEKFEQIQSQDFMAFVNSTARQPRKKTTKAKARKSSM
+>sp|A6NI61|MYMK_HUMAN Protein myomaker OS=Homo sapiens OX=9606 GN=MYMK PE=1 SV=1
+MGTLVAKLLLPTLSSLAFLPTVSIAAKRRFHMEAMVYLFTLFFVALHHACNGPGLSVLCFMRHDILEYFSVYGTALSMWVSLMALADFDEPKRSTFVMFGVLTIAVRIYHDRWGYGVYSGPIGTAILIIAAKWLQKMKEKKGLYPDKSVYTQQIGPGLCFGALALMLRFFFEDWDYTYVHSFYHCALAMSFVLLLPKVNKKAGSPGTPAKLDCSTLCCACV
+>DECOY_sp|A6NI61|MYMK_HUMAN Protein myomaker OS=Homo sapiens OX=9606 GN=MYMK PE=1 SV=1
+VCACCLTSCDLKAPTGPSGAKKNVKPLLLVFSMALACHYFSHVYTYDWDEFFFRLMLALAGFCLGPGIQQTYVSKDPYLGKKEKMKQLWKAAIILIATGIPGSYVGYGWRDHYIRVAITLVGFMVFTSRKPEDFDALAMLSVWMSLATGYVSFYELIDHRMFCLVSLGPGNCAHHLAVFFLTFLYVMAEMHFRRKAAISVTPLFALSSLTPLLLKAVLTGM
+>sp|A0A1B0GTQ4|MYMX_HUMAN Protein myomixer OS=Homo sapiens OX=9606 GN=MYMX PE=3 SV=1
+MPTPLLPLLLRLLLSCLLLPAARLARQYLLPLLRRLARRLGSQDMREALLGCLLFILSQRHSPDAGEASRVDRLERRERLGPQK
+>DECOY_sp|A0A1B0GTQ4|MYMX_HUMAN Protein myomixer OS=Homo sapiens OX=9606 GN=MYMX PE=3 SV=1
+KQPGLRERRELRDVRSAEGADPSHRQSLIFLLCGLLAERMDQSGLRRALRRLLPLLYQRALRAAPLLLCSLLLRLLLPLLPTPM
+>sp|Q9UBC5|MYO1A_HUMAN Unconventional myosin-Ia OS=Homo sapiens OX=9606 GN=MYO1A PE=2 SV=1
+MPLLEGSVGVEDLVLLEPLVEESLLKNLQLRYENKEIYTYIGNVVISVNPYQQLPIYGPEFIAKYQDYTFYELKPHIYALANVAYQSLRDRDRDQCILITGESGSGKTEASKLVMSYVAAVCGKGEQVNSVKEQLLQSNPVLEAFGNAKTIRNNNSSRFGKYMDIEFDFKGSPLGGVITNYLLEKSRLVKQLKGERNFHIFYQLLAGADEQLLKALKLERDTTGYAYLNHEVSRVDGMDDASSFRAVQSAMAVIGFSEEEIRQVLEVTSMVLKLGNVLVADEFQASGIPASGIRDGRGVREIGEMVGLNSEEVERALCSRTMETAKEKVVTALNVMQAQYARDALAKNIYSRLFDWIVNRINESIKVGIGEKKKVMGVLDIYGFEILEDNSFEQFVINYCNEKLQQVFIEMTLKEEQEEYKREGIPWTKVDYFDNGIICKLIEHNQRGILAMLDEECLRPGVVSDSTFLAKLNQLFSKHGHYESKVTQNAQRQYDHTMGLSCFRICHYAGKVTYNVTSFIDKNNDLLFRDLLQAMWKAQHPLLRSLFPEGNPKQASLKRPPTAGAQFKSSVAILMKNLYSKSPNYIRCIKPNEHQQRGQFSSDLVATQARYLGLLENVRVRRAGYAHRQGYGPFLERYRLLSRSTWPHWNGGDREGVEKVLGELSMSSGELAFGKTKIFIRSPKTLFYLEEQRRLRLQQLATLIQKIYRGWRCRTHYQLMRKSQILISSWFRGNMQKKCYGKIKASVLLIQAFVRGWKARKNYRKYFRSEAALTLADFIYKSMVQKFLLGLKNNLPSTNVLDKTWPAAPYKCLSTANQELQQLFYQWKCKRFRDQLSPKQVEILREKLCASELFKGKKASYPQSVPIPFCGDYIGLQGNPKLQKLKGGEEGPVLMAEAVKKVNRGNGKTSSRILLLTKGHVILTDTKKSQAKIVIGLDNVAGVSVTSLKDGLFSLHLSEMSSVGSKGDFLLVSEHVIELLTKMYRAVLDATQRQLTVTVTEKFSVRFKENSVAVKVVQGPAGGDNSKLRYKKKGSHCLEVTVQ
+>DECOY_sp|Q9UBC5|MYO1A_HUMAN Unconventional myosin-Ia OS=Homo sapiens OX=9606 GN=MYO1A PE=2 SV=1
+QVTVELCHSGKKKYRLKSNDGGAPGQVVKVAVSNEKFRVSFKETVTVTLQRQTADLVARYMKTLLEIVHESVLLFDGKSGVSSMESLHLSFLGDKLSTVSVGAVNDLGIVIKAQSKKTDTLIVHGKTLLLIRSSTKGNGRNVKKVAEAMLVPGEEGGKLKQLKPNGQLGIYDGCFPIPVSQPYSAKKGKFLESACLKERLIEVQKPSLQDRFRKCKWQYFLQQLEQNATSLCKYPAAPWTKDLVNTSPLNNKLGLLFKQVMSKYIFDALTLAAESRFYKRYNKRAKWGRVFAQILLVSAKIKGYCKKQMNGRFWSSILIQSKRMLQYHTRCRWGRYIKQILTALQQLRLRRQEELYFLTKPSRIFIKTKGFALEGSSMSLEGLVKEVGERDGGNWHPWTSRSLLRYRELFPGYGQRHAYGARRVRVNELLGLYRAQTAVLDSSFQGRQQHENPKICRIYNPSKSYLNKMLIAVSSKFQAGATPPRKLSAQKPNGEPFLSRLLPHQAKWMAQLLDRFLLDNNKDIFSTVNYTVKGAYHCIRFCSLGMTHDYQRQANQTVKSEYHGHKSFLQNLKALFTSDSVVGPRLCEEDLMALIGRQNHEILKCIIGNDFYDVKTWPIGERKYEEQEEKLTMEIFVQQLKENCYNIVFQEFSNDELIEFGYIDLVGMVKKKEGIGVKISENIRNVIWDFLRSYINKALADRAYQAQMVNLATVVKEKATEMTRSCLAREVEESNLGVMEGIERVGRGDRIGSAPIGSAQFEDAVLVNGLKLVMSTVELVQRIEEESFGIVAMASQVARFSSADDMGDVRSVEHNLYAYGTTDRELKLAKLLQEDAGALLQYFIHFNREGKLQKVLRSKELLYNTIVGGLPSGKFDFEIDMYKGFRSSNNNRITKANGFAELVPNSQLLQEKVSNVQEGKGCVAAVYSMVLKSAETKGSGSEGTILICQDRDRDRLSQYAVNALAYIHPKLEYFTYDQYKAIFEPGYIPLQQYPNVSIVVNGIYTYIEKNEYRLQLNKLLSEEVLPELLVLDEVGVSGELLPM
+>sp|O00159|MYO1C_HUMAN Unconventional myosin-Ic OS=Homo sapiens OX=9606 GN=MYO1C PE=1 SV=4
+MALQVELVPTGEIIRVVHPHRPCKLALGSDGVRVTMESALTARDRVGVQDFVLLENFTSEAAFIENLRRRFRENLIYTYIGPVLVSVNPYRDLQIYSRQHMERYRGVSFYEVPPHLFAVADTVYRALRTERRDQAVMISGESGAGKTEATKRLLQFYAETCPAPERGGAVRDRLLQSNPVLEAFGNAKTLRNDNSSRFGKYMDVQFDFKGAPVGGHILSYLLEKSRVVHQNHGERNFHIFYQLLEGGEEETLRRLGLERNPQSYLYLVKGQCAKVSSINDKSDWKVVRKALTVIDFTEDEVEDLLSIVASVLHLGNIHFAANEESNAQVTTENQLKYLTRLLSVEGSTLREALTHRKIIAKGEELLSPLNLEQAAYARDALAKAVYSRTFTWLVGKINRSLASKDVESPSWRSTTVLGLLDIYGFEVFQHNSFEQFCINYCNEKLQQLFIELTLKSEQEEYEAEGIAWEPVQYFNNKIICDLVEEKFKGIISILDEECLRPGEATDLTFLEKLEDTVKHHPHFLTHKLADQRTRKSLGRGEFRLLHYAGEVTYSVTGFLDKNNDLLFRNLKETMCSSKNPIMSQCFDRSELSDKKRPETVATQFKMSLLQLVEILQSKEPAYVRCIKPNDAKQPGRFDEVLIRHQVKYLGLLENLRVRRAGFAYRRKYEAFLQRYKSLCPETWPTWAGRPQDGVAVLVRHLGYKPEEYKMGRTKIFIRFPKTLFATEDALEVRRQSLATKIQAAWRGFHWRQKFLRVKRSAICIQSWWRGTLGRRKAAKRKWAAQTIRRLIRGFVLRHAPRCPENAFFLDHVRTSFLLNLRRQLPQNVLDTSWPTPPPALREASELLRELCIKNMVWKYCRSISPEWKQQLQQKAVASEIFKGKKDNYPQSVPRLFISTRLGTDEISPRVLQALGSEPIQYAVPVVKYDRKGYKPRSRQLLLTPNAVVIVEDAKVKQRIDYANLTGISVSSLSDSLFVLHVQRADNKQKGDVVLQSDHVIETLTKTALSANRVNSININQGSITFAGGPGRDGTIDFTPGSELLITKAKNGHLAVVAPRLNSR
+>DECOY_sp|O00159|MYO1C_HUMAN Unconventional myosin-Ic OS=Homo sapiens OX=9606 GN=MYO1C PE=1 SV=4
+RSNLRPAVVALHGNKAKTILLESGPTFDITGDRGPGGAFTISGQNINISNVRNASLATKTLTEIVHDSQLVVDGKQKNDARQVHLVFLSDSLSSVSIGTLNAYDIRQKVKADEVIVVANPTLLLQRSRPKYGKRDYKVVPVAYQIPESGLAQLVRPSIEDTGLRTSIFLRPVSQPYNDKKGKFIESAVAKQQLQQKWEPSISRCYKWVMNKICLERLLESAERLAPPPTPWSTDLVNQPLQRRLNLLFSTRVHDLFFANEPCRPAHRLVFGRILRRITQAAWKRKAAKRRGLTGRWWSQICIASRKVRLFKQRWHFGRWAAQIKTALSQRRVELADETAFLTKPFRIFIKTRGMKYEEPKYGLHRVLVAVGDQPRGAWTPWTEPCLSKYRQLFAEYKRRYAFGARRVRLNELLGLYKVQHRILVEDFRGPQKADNPKICRVYAPEKSQLIEVLQLLSMKFQTAVTEPRKKDSLESRDFCQSMIPNKSSCMTEKLNRFLLDNNKDLFGTVSYTVEGAYHLLRFEGRGLSKRTRQDALKHTLFHPHHKVTDELKELFTLDTAEGPRLCEEDLISIIGKFKEEVLDCIIKNNFYQVPEWAIGEAEYEEQESKLTLEIFLQQLKENCYNICFQEFSNHQFVEFGYIDLLGLVTTSRWSPSEVDKSALSRNIKGVLWTFTRSYVAKALADRAYAAQELNLPSLLEEGKAIIKRHTLAERLTSGEVSLLRTLYKLQNETTVQANSEENAAFHINGLHLVSAVISLLDEVEDETFDIVTLAKRVVKWDSKDNISSVKACQGKVLYLYSQPNRELGLRRLTEEEGGELLQYFIHFNREGHNQHVVRSKELLYSLIHGGVPAGKFDFQVDMYKGFRSSNDNRLTKANGFAELVPNSQLLRDRVAGGREPAPCTEAYFQLLRKTAETKGAGSEGSIMVAQDRRETRLARYVTDAVAFLHPPVEYFSVGRYREMHQRSYIQLDRYPNVSVLVPGIYTYILNERFRRRLNEIFAAESTFNELLVFDQVGVRDRATLASEMTVRVGDSGLALKCPRHPHVVRIIEGTPVLEVQLAM
+>sp|Q6PIF6|MYO7B_HUMAN Unconventional myosin-VIIb OS=Homo sapiens OX=9606 GN=MYO7B PE=1 SV=2
+MSGFRLGDHVWLEPPSTHKTGVAIGGIIKEAKPGKVLVEDDEGKEHWIRAEDFGVLSPMHPNSVQGVDDMIRLGDLNEAGMVHNLLIRYQQHKIYTYTGSILVAVNPFQVLPLYTLEQVQLYYSRHMGELPPHVFAIANNCYFSMKRNKRDQCCIISGESGAGKTETTKLILQFLATISGQHSWIEQQVLEANPILEAFGNAKTIRNDNSSRFGKYIDIYFNPSGVIEGARIEQFLLEKSRVCRQAPEERNYHIFYCMLMGVSAEDKQLLSLGTPSEYHYLTMGNCTSCEGLNDAKDYAHIRSAMKILQFSDSESWDVIKLLAAILHLGNVGFMASVFENLDASDVMETPAFPTVMKLLEVQHQELRDCLIKHTILIRGEFVTRSLNIAQAADRRDAFVKGIYGHLFLWIVKKINAAIFTPPAQDPKNVRRAIGLLDIFGFENFENNSFEQLCINFANEHLQQFFVQHVFTMEQEEYRSENISWDYIHYTDNRPTLDLLALKPMSIISLLDEESRFPQGTDLTMLQKLNSVHANNKAFLQPKNIHDARFGIAHFAGEVYYQAEGFLEKNRDVLSTDILTLVYSSKNKFLREIFNLELAETKLGHGTIRQAKAGNHLFKSADSNKRPSTLGSQFKQSLDQLMKILTNCQPYFIRCIKPNEYKKPLLFDRELCLRQLRYSGMMETVHIRKSGFPIRYTFEEFSQRFGVLLPNAMRMQLQGKLRQMTLGITDVWLRTDKDWKAGKTKIFLRDHQDTLLEVQRSQVLDRAALSIQKVLRGYRYRKEFLRQRRAAVTLQAWWRGYCNRRNFKLILVGFERLQAIARSQPLARQYQAMRQRTVQLQALCRGYLVRQQVQAKRRAVVVIQAHARGMAARRNFQQRKANAPLVIPAEGQKSQGALPAKKRRSIYDTVTDTEMVEKVFGFLPAMIGGQEGQASPHFEDLESKTQKLLEVDLDTVPMAEEPEEDVDGLAEYTFPKFAVTYFQKSASHTHIRRPLRYPLLYHEDDTDCLAALVIWNVILRFMGDLPEPVLYARSSQQGSSVMRQIHDTLGREHGAQVPQHSRSAQVASQLNIGEEALEPDGLGADRPMSNLEKVHFIVGYAILRPSLRDEIYCQICKQLSENFKTSSLARGWILLSLCLGCFPPSERFMKYLLNFIGQGPATYGPFCAERLRRTYANGVRAEPPTWLELQAVKSKKHIPIQVILATGESLTVPVDSASTSREMCMHIAHKQGLSDHLGFSLQVAVYDKFWSLGSGRDHMMDAIARCEQMAQERGESQRQSPWRIYFRKEFFTPWHDSREDPVSTELIYRQVLRGVWSGEYSFEKEEELVELLARHCYVQLGASAESKAVQELLPSCIPHKLYRTKPPDRWASLVTAACAKAPYTQKQVTPLAVREQVVDAARLQWPLLFSRLFEVITLSGPRLPKTQLILAVNWKGLCFLDQQEKMLLELSFPEVMGLATNREAQGGQRLLLSTMHEEYEFVSPSSVAIAELVALFLEGLKERSIFAMALQDRKATDDTTLLAFKKGDLLVLTKKQGLLASENWTLGQNDRTGKTGLVPMACLYTIPTVTKPSAQLLSLLAMSPEKRKLAAQEGQFTEPRPEEPPKEKLHTLEEFSYEFFRAPEKDMVSMAVLPLARARGHLWAYSCEPLRQPLLKRVHANVDLWDIACQIFVAILRYMGDYPSRQAWPTLELTDQIFTLALQHPALQDEVYCQILKQLTHNSNRHSEERGWQLLWLCTGLFPPSKGLLPHAQKFIDTRRGKLLAPDCSRRIQKVLRTGPRKQPPHQVEVEAAEQNVSRICHKIYFPNDTSEMLEVVANTRVRDVCDSIATRLQLASWEGCSLFIKISDKVISQKEGDFFFDSLREVSDWVKKNKPQKEGAPVTLPYQVYFMRKLWLNISPGKDVNADTILHYHQELPKYLRGFHKCSREDAIHLAGLIYKAQFNNDRSQLASVPKILRELVPENLTRLMSSEEWKKSILLAYDKHKDKTVEEAKVAFLKWICRWPTFGSAFFEVKQTSEPSYPDVILIAINRHGVLLIHPKTKDLLTTYPFTKISSWSSGSTYFHMALGSLGRGSRLLCETSLGYKMDDLLTSYVQQLLSAMNKQRGSKAPALAST
+>DECOY_sp|Q6PIF6|MYO7B_HUMAN Unconventional myosin-VIIb OS=Homo sapiens OX=9606 GN=MYO7B PE=1 SV=2
+TSALAPAKSGRQKNMASLLQQVYSTLLDDMKYGLSTECLLRSGRGLSGLAMHFYTSGSSWSSIKTFPYTTLLDKTKPHILLVGHRNIAILIVDPYSPESTQKVEFFASGFTPWRCIWKLFAVKAEEVTKDKHKDYALLISKKWEESSMLRTLNEPVLERLIKPVSALQSRDNNFQAKYILGALHIADERSCKHFGRLYKPLEQHYHLITDANVDKGPSINLWLKRMFYVQYPLTVPAGEKQPKNKKVWDSVERLSDFFFDGEKQSIVKDSIKIFLSCGEWSALQLRTAISDCVDRVRTNAVVELMESTDNPFYIKHCIRSVNQEAAEVEVQHPPQKRPGTRLVKQIRRSCDPALLKGRRTDIFKQAHPLLGKSPPFLGTCLWLLQWGREESHRNSNHTLQKLIQCYVEDQLAPHQLALTFIQDTLELTPWAQRSPYDGMYRLIAVFIQCAIDWLDVNAHVRKLLPQRLPECSYAWLHGRARALPLVAMSVMDKEPARFFEYSFEELTHLKEKPPEEPRPETFQGEQAALKRKEPSMALLSLLQASPKTVTPITYLCAMPVLGTKGTRDNQGLTWNESALLGQKKTLVLLDGKKFALLTTDDTAKRDQLAMAFISREKLGELFLAVLEAIAVSSPSVFEYEEHMTSLLLRQGGQAERNTALGMVEPFSLELLMKEQQDLFCLGKWNVALILQTKPLRPGSLTIVEFLRSFLLPWQLRAADVVQERVALPTVQKQTYPAKACAATVLSAWRDPPKTRYLKHPICSPLLEQVAKSEASAGLQVYCHRALLEVLEEEKEFSYEGSWVGRLVQRYILETSVPDERSDHWPTFFEKRFYIRWPSQRQSEGREQAMQECRAIADMMHDRGSGLSWFKDYVAVQLSFGLHDSLGQKHAIHMCMERSTSASDVPVTLSEGTALIVQIPIHKKSKVAQLELWTPPEARVGNAYTRRLREACFPGYTAPGQGIFNLLYKMFRESPPFCGLCLSLLIWGRALSSTKFNESLQKCIQCYIEDRLSPRLIAYGVIFHVKELNSMPRDAGLGDPELAEEGINLQSAVQASRSHQPVQAGHERGLTDHIQRMVSSGQQSSRAYLVPEPLDGMFRLIVNWIVLAALCDTDDEHYLLPYRLPRRIHTHSASKQFYTVAFKPFTYEALGDVDEEPEEAMPVTDLDVELLKQTKSELDEFHPSAQGEQGGIMAPLFGFVKEVMETDTVTDYISRRKKAPLAGQSKQGEAPIVLPANAKRQQFNRRAAMGRAHAQIVVVARRKAQVQQRVLYGRCLAQLQVTRQRMAQYQRALPQSRAIAQLREFGVLILKFNRRNCYGRWWAQLTVAARRQRLFEKRYRYGRLVKQISLAARDLVQSRQVELLTDQHDRLFIKTKGAKWDKDTRLWVDTIGLTMQRLKGQLQMRMANPLLVGFRQSFEEFTYRIPFGSKRIHVTEMMGSYRLQRLCLERDFLLPKKYENPKICRIFYPQCNTLIKMLQDLSQKFQSGLTSPRKNSDASKFLHNGAKAQRITGHGLKTEALELNFIERLFKNKSSYVLTLIDTSLVDRNKELFGEAQYYVEGAFHAIGFRADHINKPQLFAKNNAHVSNLKQLMTLDTGQPFRSEEDLLSIISMPKLALLDLTPRNDTYHIYDWSINESRYEEQEMTFVHQVFFQQLHENAFNICLQEFSNNEFNEFGFIDLLGIARRVNKPDQAPPTFIAANIKKVIWLFLHGYIGKVFADRRDAAQAINLSRTVFEGRILITHKILCDRLEQHQVELLKMVTPFAPTEMVDSADLNEFVSAMFGVNGLHLIAALLKIVDWSESDSFQLIKMASRIHAYDKADNLGECSTCNGMTLYHYESPTGLSLLQKDEASVGMLMCYFIHYNREEPAQRCVRSKELLFQEIRAGEIVGSPNFYIDIYKGFRSSNDNRITKANGFAELIPNAELVQQEIWSHQGSITALFQLILKTTETKGAGSEGSIICCQDRKNRKMSFYCNNAIAFVHPPLEGMHRSYYLQVQELTYLPLVQFPNVAVLISGTYTYIKHQQYRILLNHVMGAENLDGLRIMDDVGQVSNPHMPSLVGFDEARIWHEKGEDDEVLVKGPKAEKIIGGIAVGTKHTSPPELWVHDGLRFGSM
+>sp|Q13459|MYO9B_HUMAN Unconventional myosin-IXb OS=Homo sapiens OX=9606 GN=MYO9B PE=1 SV=3
+MSVKEAGSSGRREQAAYHLHIYPQLSTTESQASCRVTATKDSTTSDVIKDAIASLRLDGTKCYVLVEVKESGGEEWVLDANDSPVHRVLLWPRRAQDEHPQEDGYYFLLQERNADGTIKYVHMQLVAQATATRRLVERGLLPRQQADFDDLCNLPELTEGNLLKNLKHRFLQQKIYTYAGSILVAINPFKFLPIYNPKYVKMYENQQLGKLEPHVFALADVAYYTMLRKRVNQCIVISGESGSGKTQSTNFLIHCLTALSQKGYASGVERTILGAGPVLEAFGNAKTAHNNNSSRFGKFIQVSYLESGIVRGAVVEKYLLEKSRLVSQEKDERNYHVFYYLLLGVSEEERQEFQLKQPEDYFYLNQHNLKIEDGEDLKHDFERLKQAMEMVGFLPATKKQIFAVLSAILYLGNVTYKKRATGREEGLEVGPPEVLDTLSQLLKVKREILVEVLTKRKTVTVNDKLILPYSLSEAITARDSMAKSLYSALFDWIVLRINHALLNKKDVEEAVSCLSIGVLDIFGFEDFERNSFEQFCINYANEQLQYYFNQHIFKLEQEEYQGEGITWHNIGYTDNVGCIHLISKKPTGLFYLLDEESNFPHATSQTLLAKFKQQHEDNKYFLGTPVMEPAFIIQHFAGKVKYQIKDFREKNMDYMRPDIVALLRGSDSSYVRELIGMDPVAVFRWAVLRAAIRAMAVLREAGRLRAERAEKAAGMSSPGAQSHPEELPRGASTPSEKLYRDLHNQMIKSIKGLPWQGEDPRSLLQSLSRLQKPRAFILKSKGIKQKQIIPKNLLDSKSLKLIISMTLHDRTTKSLLHLHKKKKPPSISAQFQTSLNKLLEALGKAEPFFIRCIRSNAEKKELCFDDELVLQQLRYTGMLETVRIRRSGYSAKYTFQDFTEQFQVLLPKDAQPCREVISTLLEKMKIDKRNYQIGKTKVFLKETERQALQETLHREVVRKILLLQSWFRMVLERRHFLQMKRAAVTIQACWRSYRVRRALERTQAAVYLQASWRGYWQRKLYRHQKQSIIRLQSLCRGHLQRKSFSQMISEKQKAEEKEREALEAARAGAEEGGQGQAAGGQQVAEQGPEPAEDGGHLASEPEVQPSDRSPLEHSSPEKEAPSPEKTLPPQKTVAAESHEKVPSSREKRESRRQRGLEHVKFQNKHIQSCKEESALREPSRRVTQEQGVSLLEDKKESREDETLLVVETEAENTSQKQPTEQPQAMAVGKVSEETEKTLPSGSPRPGQLERPTSLALDSRVSPPAPGSAPETPEDKSKPCGSPRVQEKPDSPGGSTQIQRYLDAERLASAVELWRGKKLVAAASPSAMLSQSLDLSDRHRATGAALTPTEERRTSFSTSDVSKLLPSLAKAQPAAETTDGERSAKKPAVQKKKPGDASSLPDAGLSPGSQVDSKSTFKRLFLHKTKDKKYSLEGAEELENAVSGHVVLEATTMKKGLEAPSGQQHRHAAGEKRTKEPGGKGKKNRNVKIGKITVSEKWRESVFRQITNANELKYLDEFLLNKINDLRSQKTPIESLFIEATEKFRSNIKTMYSVPNGKIHVGYKDLMENYQIVVSNLATERGQKDTNLVLNLFQSLLDEFTRGYTKNDFEPVKQSKAQKKKRKQERAVQEHNGHVFASYQVSIPQSCEQCLSYIWLMDKALLCSVCKMTCHKKCVHKIQSHCSYTYGRKGEPGVEPGHFGVCVDSLTSDKASVPIVLEKLLEHVEMHGLYTEGLYRKSGAANRTRELRQALQTDPAAVKLENFPIHAITGVLKQWLRELPEPLMTFAQYGDFLRAVELPEKQEQLAAIYAVLEHLPEANHNSLERLIFHLVKVALLEDVNRMSPGALAIIFAPCLLRCPDNSDPLTSMKDVLKITTCVEMLIKEQMRKYKVKMEEISQLEAAESIAFRRLSLLRQNAPWPLKLGFSSPYEGVLNKSPKTRDIQEEELEVLLEEEAAGGDEDREKEILIERIQSIKEEKEDITYRLPELDPRGSDEENLDSETSASTESLLEERAGRGASEGPPAPALPCPGAPTPSPLPTVAAPPRRRPSSFVTVRVKTPRRTPIMPTANIKLPPGLPSHLPRWAPGAREAAAPVRRREPPARRPDQIHSVYITPGADLPVQGALEPLEEDGQPPGAKRRYSDPPTYCLPPASGQTNG
+>DECOY_sp|Q13459|MYO9B_HUMAN Unconventional myosin-IXb OS=Homo sapiens OX=9606 GN=MYO9B PE=1 SV=3
+GNTQGSAPPLCYTPPDSYRRKAGPPQGDEELPELAGQVPLDAGPTIYVSHIQDPRRAPPERRRVPAAAERAGPAWRPLHSPLGPPLKINATPMIPTRRPTKVRVTVFSSPRRRPPAAVTPLPSPTPAGPCPLAPAPPGESAGRGAREELLSETSASTESDLNEEDSGRPDLEPLRYTIDEKEEKISQIREILIEKERDEDGGAAEEELLVELEEEQIDRTKPSKNLVGEYPSSFGLKLPWPANQRLLSLRRFAISEAAELQSIEEMKVKYKRMQEKILMEVCTTIKLVDKMSTLPDSNDPCRLLCPAFIIALAGPSMRNVDELLAVKVLHFILRELSNHNAEPLHELVAYIAALQEQKEPLEVARLFDGYQAFTMLPEPLERLWQKLVGTIAHIPFNELKVAAPDTQLAQRLERTRNAAGSKRYLGETYLGHMEVHELLKELVIPVSAKDSTLSDVCVGFHGPEVGPEGKRGYTYSCHSQIKHVCKKHCTMKCVSCLLAKDMLWIYSLCQECSQPISVQYSAFVHGNHEQVAREQKRKKKQAKSQKVPEFDNKTYGRTFEDLLSQFLNLVLNTDKQGRETALNSVVIQYNEMLDKYGVHIKGNPVSYMTKINSRFKETAEIFLSEIPTKQSRLDNIKNLLFEDLYKLENANTIQRFVSERWKESVTIKGIKVNRNKKGKGGPEKTRKEGAAHRHQQGSPAELGKKMTTAELVVHGSVANELEEAGELSYKKDKTKHLFLRKFTSKSDVQSGPSLGADPLSSADGPKKKQVAPKKASREGDTTEAAPQAKALSPLLKSVDSTSFSTRREETPTLAAGTARHRDSLDLSQSLMASPSAAAVLKKGRWLEVASALREADLYRQIQTSGGPSDPKEQVRPSGCPKSKDEPTEPASGPAPPSVRSDLALSTPRELQGPRPSGSPLTKETEESVKGVAMAQPQETPQKQSTNEAETEVVLLTEDERSEKKDELLSVGQEQTVRRSPERLASEEKCSQIHKNQFKVHELGRQRRSERKERSSPVKEHSEAAVTKQPPLTKEPSPAEKEPSSHELPSRDSPQVEPESALHGGDEAPEPGQEAVQQGGAAQGQGGEEAGARAAELAEREKEEAKQKESIMQSFSKRQLHGRCLSQLRIISQKQHRYLKRQWYGRWSAQLYVAAQTRELARRVRYSRWCAQITVAARKMQLFHRRELVMRFWSQLLLIKRVVERHLTEQLAQRETEKLFVKTKGIQYNRKDIKMKELLTSIVERCPQADKPLLVQFQETFDQFTYKASYGSRRIRVTELMGTYRLQQLVLEDDFCLEKKEANSRICRIFFPEAKGLAELLKNLSTQFQASISPPKKKKHLHLLSKTTRDHLTMSIILKLSKSDLLNKPIIQKQKIGKSKLIFARPKQLRSLSQLLSRPDEGQWPLGKISKIMQNHLDRYLKESPTSAGRPLEEPHSQAGPSSMGAAKEAREARLRGAERLVAMARIAARLVAWRFVAVPDMGILERVYSSDSGRLLAVIDPRMYDMNKERFDKIQYKVKGAFHQIIFAPEMVPTGLFYKNDEHQQKFKALLTQSTAHPFNSEEDLLYFLGTPKKSILHICGVNDTYGINHWTIGEGQYEEQELKFIHQNFYYQLQENAYNICFQEFSNREFDEFGFIDLVGISLCSVAEEVDKKNLLAHNIRLVIWDFLASYLSKAMSDRATIAESLSYPLILKDNVTVTKRKTLVEVLIERKVKLLQSLTDLVEPPGVELGEERGTARKKYTVNGLYLIASLVAFIQKKTAPLFGVMEMAQKLREFDHKLDEGDEIKLNHQNLYFYDEPQKLQFEQREEESVGLLLYYFVHYNREDKEQSVLRSKELLYKEVVAGRVIGSELYSVQIFKGFRSSNNNHATKANGFAELVPGAGLITREVGSAYGKQSLATLCHILFNTSQTKGSGSEGSIVICQNVRKRLMTYYAVDALAFVHPELKGLQQNEYMKVYKPNYIPLFKFPNIAVLISGAYTYIKQQLFRHKLNKLLNGETLEPLNCLDDFDAQQRPLLGREVLRRTATAQAVLQMHVYKITGDANREQLLFYYGDEQPHEDQARRPWLLVRHVPSDNADLVWEEGGSEKVEVLVYCKTGDLRLSAIADKIVDSTTSDKTATVRCSAQSETTSLQPYIHLHYAAQERRGSSGAEKVSM
+>sp|Q8TDC0|MYOZ3_HUMAN Myozenin-3 OS=Homo sapiens OX=9606 GN=MYOZ3 PE=1 SV=2
+MIPKEQKGPVMAAMGDLTEPVPTLDLGKKLSVPQDLMMEELSLRNNRGSLLFQKRQRRVQKFTFELAASQRAMLAGSARRKVTGTAESGTVANANGPEGPNYRSELHIFPASPGASLGGPEGAHPAAAPAGCVPSPSALAPGYAEPLKGVPPEKFNHTAISKGYRCPWQEFVSYRDYQSDGRSHTPSPNDYRNFNKTPVPFGGPLVGGTFPRPGTPFIPEPLSGLELLRLRPSFNRVAQGWVRNLPESEEL
+>DECOY_sp|Q8TDC0|MYOZ3_HUMAN Myozenin-3 OS=Homo sapiens OX=9606 GN=MYOZ3 PE=1 SV=2
+LEESEPLNRVWGQAVRNFSPRLRLLELGSLPEPIFPTGPRPFTGGVLPGGFPVPTKNFNRYDNPSPTHSRGDSQYDRYSVFEQWPCRYGKSIATHNFKEPPVGKLPEAYGPALASPSPVCGAPAAAPHAGEPGGLSAGPSAPFIHLESRYNPGEPGNANAVTGSEATGTVKRRASGALMARQSAALEFTFKQVRRQRKQFLLSGRNNRLSLEEMMLDQPVSLKKGLDLTPVPETLDGMAAMVPGKQEKPIM
+>sp|P25189|MYP0_HUMAN Myelin protein P0 OS=Homo sapiens OX=9606 GN=MPZ PE=1 SV=1
+MAPGAPSSSPSPILAVLLFSSLVLSPAQAIVVYTDREVHGAVGSRVTLHCSFWSSEWVSDDISFTWRYQPEGGRDAISIFHYAKGQPYIDEVGTFKERIQWVGDPRWKDGSIVIHNLDYSDNGTFTCDVKNPPDIVGKTSQVTLYVFEKVPTRYGVVLGAVIGGVLGVVLLLLLLFYVVRYCWLRRQAALQRRLSAMEKGKLHKPGKDASKRGRQTPVLYAMLDHSRSTKAVSEKKAKGLGESRKDKK
+>DECOY_sp|P25189|MYP0_HUMAN Myelin protein P0 OS=Homo sapiens OX=9606 GN=MPZ PE=1 SV=1
+KKDKRSEGLGKAKKESVAKTSRSHDLMAYLVPTQRGRKSADKGPKHLKGKEMASLRRQLAAQRRLWCYRVVYFLLLLLLVVGLVGGIVAGLVVGYRTPVKEFVYLTVQSTKGVIDPPNKVDCTFTGNDSYDLNHIVISGDKWRPDGVWQIREKFTGVEDIYPQGKAYHFISIADRGGEPQYRWTFSIDDSVWESSWFSCHLTVRSGVAGHVERDTYVVIAQAPSLVLSSFLLVALIPSPSSSPAGPAM
+>sp|Q00872|MYPC1_HUMAN Myosin-binding protein C, slow-type OS=Homo sapiens OX=9606 GN=MYBPC1 PE=1 SV=2
+MPEPTKKEENEVPAPAPPPEEPSKEKEAGTTPAKDWTLVETPPGEEQAKQNANSQLSILFIEKPQGGTVKVGEDITFIAKVKAEDLLRKPTIKWFKGKWMDLASKAGKHLQLKETFERHSRVYTFEMQIIKAKDNFAGNYRCEVTYKDKFDSCSFDLEVHESTGTTPNIDIRSAFKRSGEGQEDAGELDFSGLLKRREVKQQEEEPQVDVWELLKNAKPSEYEKIAFQYGITDLRGMLKRLKRMRREEKKSAAFAKILDPAYQVDKGGRVRFVVELADPKLEVKWYKNGQEIRPSTKYIFEHKGCQRILFINNCQMTDDSEYYVTAGDEKCSTELFVREPPIMVTKQLEDTTAYCGERVELECEVSEDDANVKWFKNGEEIIPGPKSRYRIRVEGKKHILIIEGATKADAAEYSVMTTGGQSSAKLSVDLKPLKILTPLTDQTVNLGKEICLKCEISENIPGKWTKNGLPVQESDRLKVVHKGRIHKLVIANALTEDEGDYVFAPDAYNVTLPAKVHVIDPPKIILDGLDADNTVTVIAGNKLRLEIPISGEPPPKAMWSRGDKAIMEGSGRIRTESYPDSSTLVIDIAERDDSGVYHINLKNEAGEAHASIKVKVVDFPDPPVAPTVTEVGDDWCIMNWEPPAYDGGSPILGYFIERKKKQSSRWMRLNFDLCKETTFEPKKMIEGVAYEVRIFAVNAIGISKPSMPSRPFVPLAVTSPPTLLTVDSVTDTTVTMRWRPPDHIGAAGLDGYVLEYCFEGSTSAKQSDENGEAAYDLPAEDWIVANKDLIDKTKFTITGLPTDAKIFVRVKAVNAAGASEPKYYSQPILVKEIIEPPKIRIPRHLKQTYIRRVGEAVNLVIPFQGKPRPELTWKKDGAEIDKNQINIRNSETDTIIFIRKAERSHSGKYDLQVKVDKFVETASIDIQIIDRPGPPQIVKIEDVWGENVALTWTPPKDDGNAAITGYTIQKADKKSMEWFTVIEHYHRTSATITELVIGNEYYFRVFSENMCGLSEDATMTKESAVIARDGKIYKNPVYEDFDFSEAPMFTQPLVNTYAIAGYNATLNCSVRGNPKPKITWMKNKVAIVDDPRYRMFSNQGVCTLEIRKPSPYDGGTYCCKAVNDLGTVEIECKLEVKVIAQ
+>DECOY_sp|Q00872|MYPC1_HUMAN Myosin-binding protein C, slow-type OS=Homo sapiens OX=9606 GN=MYBPC1 PE=1 SV=2
+QAIVKVELKCEIEVTGLDNVAKCCYTGGDYPSPKRIELTCVGQNSFMRYRPDDVIAVKNKMWTIKPKPNGRVSCNLTANYGAIAYTNVLPQTFMPAESFDFDEYVPNKYIKGDRAIVASEKTMTADESLGCMNESFVRFYYENGIVLETITASTRHYHEIVTFWEMSKKDAKQITYGTIAANGDDKPPTWTLAVNEGWVDEIKVIQPPGPRDIIQIDISATEVFKDVKVQLDYKGSHSREAKRIFIITDTESNRINIQNKDIEAGDKKWTLEPRPKGQFPIVLNVAEGVRRIYTQKLHRPIRIKPPEIIEKVLIPQSYYKPESAGAANVAKVRVFIKADTPLGTITFKTKDILDKNAVIWDEAPLDYAAEGNEDSQKASTSGEFCYELVYGDLGAAGIHDPPRWRMTVTTDTVSDVTLLTPPSTVALPVFPRSPMSPKSIGIANVAFIRVEYAVGEIMKKPEFTTEKCLDFNLRMWRSSQKKKREIFYGLIPSGGDYAPPEWNMICWDDGVETVTPAVPPDPFDVVKVKISAHAEGAENKLNIHYVGSDDREAIDIVLTSSDPYSETRIRGSGEMIAKDGRSWMAKPPPEGSIPIELRLKNGAIVTVTNDADLGDLIIKPPDIVHVKAPLTVNYADPAFVYDGEDETLANAIVLKHIRGKHVVKLRDSEQVPLGNKTWKGPINESIECKLCIEKGLNVTQDTLPTLIKLPKLDVSLKASSQGGTTMVSYEAADAKTAGEIILIHKKGEVRIRYRSKPGPIIEEGNKFWKVNADDESVECELEVREGCYATTDELQKTVMIPPERVFLETSCKEDGATVYYESDDTMQCNNIFLIRQCGKHEFIYKTSPRIEQGNKYWKVELKPDALEVVFRVRGGKDVQYAPDLIKAFAASKKEERRMRKLRKLMGRLDTIGYQFAIKEYESPKANKLLEWVDVQPEEEQQKVERRKLLGSFDLEGADEQGEGSRKFASRIDINPTTGTSEHVELDFSCSDFKDKYTVECRYNGAFNDKAKIIQMEFTYVRSHREFTEKLQLHKGAKSALDMWKGKFWKITPKRLLDEAKVKAIFTIDEGVKVTGGQPKEIFLISLQSNANQKAQEEGPPTEVLTWDKAPTTGAEKEKSPEEPPPAPAPVENEEKKTPEPM
+>sp|O14974|MYPT1_HUMAN Protein phosphatase 1 regulatory subunit 12A OS=Homo sapiens OX=9606 GN=PPP1R12A PE=1 SV=1
+MKMADAKQKRNEQLKRWIGSETDLEPPVVKRQKTKVKFDDGAVFLAACSSGDTDEVLKLLHRGADINYANVDGLTALHQACIDDNVDMVKFLVENGANINQPDNEGWIPLHAAASCGYLDIAEFLIGQGAHVGAVNSEGDTPLDIAEEEAMEELLQNEVNRQGVDIEAARKEEERIMLRDARQWLNSGHINDVRHAKSGGTALHVAAAKGYTEVLKLLIQAGYDVNIKDYDGWTPLHAAAHWGKEEACRILVDNLCDMEMVNKVGQTAFDVADEDILGYLEELQKKQNLLHSEKRDKKSPLIESTANMDNNQSQKTFKNKETLIIEPEKNASRIESLEQEKVDEEEEGKKDESSCSSEEDEEDDSESEAETDKTKPLASVTNANTSSTQAAPVAVTTPTVSSGQATPTSPIKKFPTTATKISPKEEERKDESPATWRLGLRKTGSYGALAEITASKEGQKEKDTAGVTRSASSPRLSSSLDNKEKEKDSKGTRLAYVAPTIPRRLASTSDIEEKENRDSSSLRTSSSYTRRKWEDDLKKNSSVNEGSTYHKSCSFGRRQDDLISSSVPSTTSTPTVTSAAGLQKSLLSSTSTTTKITTGSSSAGTQSSTSNRLWAEDSTEKEKDSVPTAVTIPVAPTVVNAAASTTTLTTTTAGTVSSTTEVRERRRSYLTPVRDEESESQRKARSRQARQSRRSTQGVTLTDLQEAEKTIGRSRSTRTREQENEEKEKEEKEKQDKEKQEEKKESETSREDEYKQKYSRTYDETYQRYRPVSTSSSTTPSSSLSTMSSSLYASSQLNRPNSLVGITSAYSRGITKENEREGEKREEEKEGEDKSQPKSIRERRRPREKRRSTGVSFWTQDSDENEQEQQSDTEEGSNKKETQTDSISRYETSSTSAGDRYDSLLGRSGSYSYLEERKPYSSRLEKDDSTDFKKLYEQILAENEKLKAQLHDTNMELTDLKLQLEKATQRQERFADRSLLEMEKRERRALERRISEMEEELKMLPDLKADNQRLKDENGALIRVISKLSK
+>DECOY_sp|O14974|MYPT1_HUMAN Protein phosphatase 1 regulatory subunit 12A OS=Homo sapiens OX=9606 GN=PPP1R12A PE=1 SV=1
+KSLKSIVRILAGNEDKLRQNDAKLDPLMKLEEEMESIRRELARRERKEMELLSRDAFREQRQTAKELQLKLDTLEMNTDHLQAKLKENEALIQEYLKKFDTSDDKELRSSYPKREELYSYSGSRGLLSDYRDGASTSSTEYRSISDTQTEKKNSGEETDSQQEQENEDSDQTWFSVGTSRRKERPRRRERISKPQSKDEGEKEEERKEGERENEKTIGRSYASTIGVLSNPRNLQSSAYLSSSMTSLSSSPTTSSSTSVPRYRQYTEDYTRSYKQKYEDERSTESEKKEEQKEKDQKEKEEKEKEENEQERTRTSRSRGITKEAEQLDTLTVGQTSRRSQRAQRSRAKRQSESEEDRVPTLYSRRRERVETTSSVTGATTTTLTTTSAAANVVTPAVPITVATPVSDKEKETSDEAWLRNSTSSQTGASSSGTTIKTTTSTSSLLSKQLGAASTVTPTSTTSPVSSSILDDQRRGFSCSKHYTSGENVSSNKKLDDEWKRRTYSSSTRLSSSDRNEKEEIDSTSALRRPITPAVYALRTGKSDKEKEKNDLSSSLRPSSASRTVGATDKEKQGEKSATIEALAGYSGTKRLGLRWTAPSEDKREEEKPSIKTATTPFKKIPSTPTAQGSSVTPTTVAVPAAQTSSTNANTVSALPKTKDTEAESESDDEEDEESSCSSEDKKGEEEEDVKEQELSEIRSANKEPEIILTEKNKFTKQSQNNDMNATSEILPSKKDRKESHLLNQKKQLEELYGLIDEDAVDFATQGVKNVMEMDCLNDVLIRCAEEKGWHAAAHLPTWGDYDKINVDYGAQILLKLVETYGKAAAVHLATGGSKAHRVDNIHGSNLWQRADRLMIREEEKRAAEIDVGQRNVENQLLEEMAEEEAIDLPTDGESNVAGVHAGQGILFEAIDLYGCSAAAHLPIWGENDPQNINAGNEVLFKVMDVNDDICAQHLATLGDVNAYNIDAGRHLLKLVEDTDGSSCAALFVAGDDFKVKTKQRKVVPPELDTESGIWRKLQENRKQKADAMKM
+>sp|Q6P582|MZT2A_HUMAN Mitotic-spindle organizing protein 2A OS=Homo sapiens OX=9606 GN=MZT2A PE=1 SV=2
+MAAQGVGPGPGSAAPPGLEAARQKLALRRKKVLSTEEMELYELAQAAGGGIDPDVFKILVDLLKLNVAPLAVFQMLKSMCAGQRLASEPQDPAAVSLPTSSVPETRGRDKGSAALGGVLALAERSNHEGSSQRMPRQPSATRLPKGGGPGKSPTQGST
+>DECOY_sp|Q6P582|MZT2A_HUMAN Mitotic-spindle organizing protein 2A OS=Homo sapiens OX=9606 GN=MZT2A PE=1 SV=2
+TSGQTPSKGPGGGKPLRTASPQRPMRQSSGEHNSREALALVGGLAASGKDRGRTEPVSSTPLSVAAPDQPESALRQGACMSKLMQFVALPAVNLKLLDVLIKFVDPDIGGGAAQALEYLEMEETSLVKKRRLALKQRAAELGPPAASGPGPGVGQAAM
+>sp|P41227|NAA10_HUMAN N-alpha-acetyltransferase 10 OS=Homo sapiens OX=9606 GN=NAA10 PE=1 SV=1
+MNIRNARPEDLMNMQHCNLLCLPENYQMKYYFYHGLSWPQLSYIAEDENGKIVGYVLAKMEEDPDDVPHGHITSLAVKRSHRRLGLAQKLMDQASRAMIENFNAKYVSLHVRKSNRAALHLYSNTLNFQISEVEPKYYADGEDAYAMKRDLTQMADELRRHLELKEKGRHVVLGAIENKVESKGNSPPSSGEACREEKGLAAEDSGGDSKDLSEVSETTESTDVKDSSEASDSAS
+>DECOY_sp|P41227|NAA10_HUMAN N-alpha-acetyltransferase 10 OS=Homo sapiens OX=9606 GN=NAA10 PE=1 SV=1
+SASDSAESSDKVDTSETTESVESLDKSDGGSDEAALGKEERCAEGSSPPSNGKSEVKNEIAGLVVHRGKEKLELHRRLEDAMQTLDRKMAYADEGDAYYKPEVESIQFNLTNSYLHLAARNSKRVHLSVYKANFNEIMARSAQDMLKQALGLRRHSRKVALSTIHGHPVDDPDEEMKALVYGVIKGNEDEAIYSLQPWSLGHYFYYKMQYNEPLCLLNCHQMNMLDEPRANRINM
+>sp|Q5VZE5|NAA35_HUMAN N-alpha-acetyltransferase 35, NatC auxiliary subunit OS=Homo sapiens OX=9606 GN=NAA35 PE=1 SV=1
+MVMKASVDDDDSGWELSMPEKMEKSNTNWVDITQDFEEACRELKLGELLHDKLFGLFEAMSAIEMMDPKMDAGMIGNQVNRKVLNFEQAIKDGTIKIKDLTLPELIGIMDTCFCCLITWLEGHSLAQTVFTCLYIHNPDFIEDPAMKAFALGILKICDIAREKVNKAAVFEEEDFQSMTYGFKMANSVTDLRVTGMLKDVEDDMQRRVKSTRSRQGEERDPEVELEHQQCLAVFSRVKFTRVLLTVLIAFTKKETSAVAEAQKLMVQAADLLSAIHNSLHHGIQAQNDTTKGDHPIMMGFEPLVNQRLLPPTFPRYAKIIKREEMVNYFARLIDRIKTVCEVVNLTNLHCILDFFCEFSEQSPCVLSRSLLQTTFLVDNKKVFGTHLMQDMVKDALRSFVSPPVLSPKCYLYNNHQAKDCIDSFVTHCVRPFCSLIQIHGHNRARQRDKLGHILEEFATLQDEAEKVDAALHTMLLKQEPQRQHLACLGTWVLYHNLRIMIQYLLSGFELELYSMHEYYYIYWYLSEFLYAWLMSTLSRADGSQMAEERIMEEQQKGRSSKKTKKKKKVRPLSREITMSQAYQNMCAGMFKTMVAFDMDGKVRKPKFELDSEQVRYEHRFAPFNSVMTPPPVHYLQFKEMSDLNKYSPPPQSPELYVAASKHFQQAKMILENIPNPDHEVNRILKVAKPNFVVMKLLAGGHKKESKVPPEFDFSAHKYFPVVKLV
+>DECOY_sp|Q5VZE5|NAA35_HUMAN N-alpha-acetyltransferase 35, NatC auxiliary subunit OS=Homo sapiens OX=9606 GN=NAA35 PE=1 SV=1
+VLKVVPFYKHASFDFEPPVKSEKKHGGALLKMVVFNPKAVKLIRNVEHDPNPINELIMKAQQFHKSAAVYLEPSQPPPSYKNLDSMEKFQLYHVPPPTMVSNFPAFRHEYRVQESDLEFKPKRVKGDMDFAVMTKFMGACMNQYAQSMTIERSLPRVKKKKKTKKSSRGKQQEEMIREEAMQSGDARSLTSMLWAYLFESLYWYIYYYEHMSYLELEFGSLLYQIMIRLNHYLVWTGLCALHQRQPEQKLLMTHLAADVKEAEDQLTAFEELIHGLKDRQRARNHGHIQILSCFPRVCHTVFSDICDKAQHNNYLYCKPSLVPPSVFSRLADKVMDQMLHTGFVKKNDVLFTTQLLSRSLVCPSQESFECFFDLICHLNTLNVVECVTKIRDILRAFYNVMEERKIIKAYRPFTPPLLRQNVLPEFGMMIPHDGKTTDNQAQIGHHLSNHIASLLDAAQVMLKQAEAVASTEKKTFAILVTLLVRTFKVRSFVALCQQHELEVEPDREEGQRSRTSKVRRQMDDEVDKLMGTVRLDTVSNAMKFGYTMSQFDEEEFVAAKNVKERAIDCIKLIGLAFAKMAPDEIFDPNHIYLCTFVTQALSHGELWTILCCFCTDMIGILEPLTLDKIKITGDKIAQEFNLVKRNVQNGIMGADMKPDMMEIASMAEFLGFLKDHLLEGLKLERCAEEFDQTIDVWNTNSKEMKEPMSLEWGSDDDDVSAKMVM
+>sp|Q86UY6|NAA40_HUMAN N-alpha-acetyltransferase 40 OS=Homo sapiens OX=9606 GN=NAA40 PE=1 SV=1
+MGRKSSKAKEKKQKRLEERAAMDAVCAKVDAANRLGDPLEAFPVFKKYDRNGLNVSIECKRVSGLEPATVDWAFDLTKTNMQTMYEQSEWGWKDREKREEMTDDRAWYLIAWENSSVPVAFSHFRFDVECGDEVLYCYEVQLESKVRRKGLGKFLIQILQLMANSTQMKKVMLTVFKHNHGAYQFFREALQFEIDDSSPSMSGCCGEDCSYEILSRRTKFGDSHHSHAGGHCGGCCH
+>DECOY_sp|Q86UY6|NAA40_HUMAN N-alpha-acetyltransferase 40 OS=Homo sapiens OX=9606 GN=NAA40 PE=1 SV=1
+HCCGGCHGGAHSHHSDGFKTRRSLIEYSCDEGCCGSMSPSSDDIEFQLAERFFQYAGHNHKFVTLMVKKMQTSNAMLQLIQILFKGLGKRRVKSELQVEYCYLVEDGCEVDFRFHSFAVPVSSNEWAILYWARDDTMEERKERDKWGWESQEYMTQMNTKTLDFAWDVTAPELGSVRKCEISVNLGNRDYKKFVPFAELPDGLRNAADVKACVADMAAREELRKQKKEKAKSSKRGM
+>sp|O15069|NACAD_HUMAN NAC-alpha domain-containing protein 1 OS=Homo sapiens OX=9606 GN=NACAD PE=1 SV=3
+MPGEAARAELLLPEADRPGPRTDLSCDAAAATTILGGDRREPCALTPGPSHLALTFLPSKPGARPQPEGASWDAGPGGAPSAWADPGEGGPSPMLLPEGLSSQALSTEAPLPATLEPRIVMGEETCQALLSPRAARTALRDQEGGHASPDPPPELCSQGDLSVPSPPPDPDSFFTPPSTPTKTTYALLPACGPHGDARDSEAELRDELLDSPPASPSGSYITADGDSWASSPSCSLSLLAPAEGLDFPSGWGLSPQGSMVDERELHPAGTPEPPSSESSLSADSSSSWGQEGHFFDLDFLANDPMIPAALLPFQGSLIFQVEAVEVTPLSPEEEEEEAVADPDPGGDLAGEGEEDSTSASFLQSLSDLSITEGMDEAFAFRDDTSAASSDSDSASYAEADDERLYSGEPHAQATLLQDSVQKTEEESGGGAKGLQAQDGTVSWAVEAAPQTSDRGAYLSQRQELISEVTEEGLALGQESTATVTPHTLQVAPGLQVEVATRVTPQAGEEETDSTAGQESAAMAMPQPSQEGISEILGQESVTAEKLPTPQEETSLTLCPDSPQNLKEEGGLDLPSGRKPVAAATIVPRQAKEDLTLPQDSAMTPPLPLQDTDLSSAPKPVAAATIVSQQAEEGLTLPQDSVMTPPLPLQDTELSSAPKPVAAATLVSQQAEEGLTLPQDSAMTPPLPLQDTDLSSAPKPVAAATLVSQQAEEGLTLPQDSAMTPPLPLQDTDLSSAPKPVAAATLVSQQAEEGLTLPQDSAMTPPLPLQDTDLSSAPKPVAAATIVSQQAEEGLTLPQDSAMTPPLPLQDTDLSSAPKPVAAATIVSQQAEEGLTLPQDSAMTPPLPLQDTDLSSAPKPVAAATPVSQQAEEGLTLPQDSAMTPPLPLQDTDLSSAPKPVAAATPVSQQAEEGLTLPQDSAMTAPLPLQDTGPTSGPEPLAVATPQTLQAEAGCAPGTEPVATMAQQEVGEALGPRPAPEEKNAALPTVPEPAALDQVQQDDPQPAAEAGTPWAAQEDADSTLGMEALSLPEPASGAGEEIAEALSRPGREACLEARAHTGDGAKPDSPQKETLEVENQQEGGLKPLAQEHGPRSALGGAREVPDAPPAACPEVSQARLLSPAREERGLSGKSTPEPTLPSAVATEASLDSCPESSVGAVSSLDRGCPDAPAPTSAPTSQQPEPVLGLGSVEQPHEVPSVLGTPLLQPPENLAKGQPSTPVDRPLGPDPSAPGTLAGAALPPLEPPAPCLCQDPQEDSVEDEEPPGSLGLPPPQAGVQPAAAAVSGTTQPLGTGPRVSLSPHSPLLSPKVASMDAKDLALQILPPCQVPPPSGPQSPAGPQGLSAPEQQEDEDSLEEDSPRALGSGQHSDSHGESSAELDEQDILAPQTVQCPAQAPAGGSEETIAKAKQSRSEKKARKAMSKLGLRQIQGVTRITIQKSKNILFVIAKPDVFKSPASDTYVVFGEAKIEDLSQQVHKAAAEKFKVPSEPSALVPESAPRPRVRLECKEEEEEEEEEVDEAGLELRDIELVMAQANVSRAKAVRALRDNHSDIVNAIMELTM
+>DECOY_sp|O15069|NACAD_HUMAN NAC-alpha domain-containing protein 1 OS=Homo sapiens OX=9606 GN=NACAD PE=1 SV=3
+MTLEMIANVIDSHNDRLARVAKARSVNAQAMVLEIDRLELGAEDVEEEEEEEEEKCELRVRPRPASEPVLASPESPVKFKEAAAKHVQQSLDEIKAEGFVVYTDSAPSKFVDPKAIVFLINKSKQITIRTVGQIQRLGLKSMAKRAKKESRSQKAKAITEESGGAPAQAPCQVTQPALIDQEDLEASSEGHSDSHQGSGLARPSDEELSDEDEQQEPASLGQPGAPSQPGSPPPVQCPPLIQLALDKADMSAVKPSLLPSHPSLSVRPGTGLPQTTGSVAAAAPQVGAQPPPLGLSGPPEEDEVSDEQPDQCLCPAPPELPPLAAGALTGPASPDPGLPRDVPTSPQGKALNEPPQLLPTGLVSPVEHPQEVSGLGLVPEPQQSTPASTPAPADPCGRDLSSVAGVSSEPCSDLSAETAVASPLTPEPTSKGSLGREERAPSLLRAQSVEPCAAPPADPVERAGGLASRPGHEQALPKLGGEQQNEVELTEKQPSDPKAGDGTHARAELCAERGPRSLAEAIEEGAGSAPEPLSLAEMGLTSDADEQAAWPTGAEAAPQPDDQQVQDLAAPEPVTPLAANKEEPAPRPGLAEGVEQQAMTAVPETGPACGAEAQLTQPTAVALPEPGSTPGTDQLPLPATMASDQPLTLGEEAQQSVPTAAAVPKPASSLDTDQLPLPPTMASDQPLTLGEEAQQSVPTAAAVPKPASSLDTDQLPLPPTMASDQPLTLGEEAQQSVITAAAVPKPASSLDTDQLPLPPTMASDQPLTLGEEAQQSVITAAAVPKPASSLDTDQLPLPPTMASDQPLTLGEEAQQSVLTAAAVPKPASSLDTDQLPLPPTMASDQPLTLGEEAQQSVLTAAAVPKPASSLDTDQLPLPPTMASDQPLTLGEEAQQSVLTAAAVPKPASSLETDQLPLPPTMVSDQPLTLGEEAQQSVITAAAVPKPASSLDTDQLPLPPTMASDQPLTLDEKAQRPVITAAAVPKRGSPLDLGGEEKLNQPSDPCLTLSTEEQPTPLKEATVSEQGLIESIGEQSPQPMAMAASEQGATSDTEEEGAQPTVRTAVEVQLGPAVQLTHPTVTATSEQGLALGEETVESILEQRQSLYAGRDSTQPAAEVAWSVTGDQAQLGKAGGGSEEETKQVSDQLLTAQAHPEGSYLREDDAEAYSASDSDSSAASTDDRFAFAEDMGETISLDSLSQLFSASTSDEEGEGALDGGPDPDAVAEEEEEEPSLPTVEVAEVQFILSGQFPLLAAPIMPDNALFDLDFFHGEQGWSSSSDASLSSESSPPEPTGAPHLEREDVMSGQPSLGWGSPFDLGEAPALLSLSCSPSSAWSDGDATIYSGSPSAPPSDLLEDRLEAESDRADGHPGCAPLLAYTTKTPTSPPTFFSDPDPPPSPVSLDGQSCLEPPPDPSAHGGEQDRLATRAARPSLLAQCTEEGMVIRPELTAPLPAETSLAQSSLGEPLLMPSPGGEGPDAWASPAGGPGADWSAGEPQPRAGPKSPLFTLALHSPGPTLACPERRDGGLITTAAAADCSLDTRPGPRDAEPLLLEARAAEGPM
+>sp|P59046|NAL12_HUMAN NACHT, LRR and PYD domains-containing protein 12 OS=Homo sapiens OX=9606 GN=NLRP12 PE=1 SV=2
+MLRTAGRDGLCRLSTYLEELEAVELKKFKLYLGTATELGEGKIPWGSMEKAGPLEMAQLLITHFGPEEAWRLALSTFERINRKDLWERGQREDLVRDTPPGGPSSLGNQSTCLLEVSLVTPRKDPQETYRDYVRRKFRLMEDRNARLGECVNLSHRYTRLLLVKEHSNPMQVQQQLLDTGRGHARTVGHQASPIKIETLFEPDEERPEPPRTVVMQGAAGIGKSMLAHKVMLDWADGKLFQGRFDYLFYINCREMNQSATECSMQDLIFSCWPEPSAPLQELIRVPERLLFIIDGFDELKPSFHDPQGPWCLCWEEKRPTELLLNSLIRKKLLPELSLLITTRPTALEKLHRLLEHPRHVEILGFSEAERKEYFYKYFHNAEQAGQVFNYVRDNEPLFTMCFVPLVCWVVCTCLQQQLEGGGLLRQTSRTTTAVYMLYLLSLMQPKPGAPRLQPPPNQRGLCSLAADGLWNQKILFEEQDLRKHGLDGEDVSAFLNMNIFQKDINCERYYSFIHLSFQEFFAAMYYILDEGEGGAGPDQDVTRLLTEYAFSERSFLALTSRFLFGLLNEETRSHLEKSLCWKVSPHIKMDLLQWIQSKAQSDGSTLQQGSLEFFSCLYEIQEEEFIQQALSHFQVIVVSNIASKMEHMVSSFCLKRCRSAQVLHLYGATYSADGEDRARCSAGAHTLLVQLPERTVLLDAYSEHLAAALCTNPNLIELSLYRNALGSRGVKLLCQGLRHPNCKLQNLRLKRCRISSSACEDLSAALIANKNLTRMDLSGNGVGFPGMMLLCEGLRHPQCRLQMIQLRKCQLESGACQEMASVLGTNPHLVELDLTGNALEDLGLRLLCQGLRHPVCRLRTLWLKICRLTAAACDELASTLSVNQSLRELDLSLNELGDLGVLLLCEGLRHPTCKLQTLRLGICRLGSAACEGLSVVLQANHNLRELDLSFNDLGDWGLWLLAEGLQHPACRLQKLWLDSCGLTAKACENLYFTLGINQTLTDLYLTNNALGDTGVRLLCKRLSHPGCKLRVLWLFGMDLNKMTHSRLAALRVTKPYLDIGC
+>DECOY_sp|P59046|NAL12_HUMAN NACHT, LRR and PYD domains-containing protein 12 OS=Homo sapiens OX=9606 GN=NLRP12 PE=1 SV=2
+CGIDLYPKTVRLAALRSHTMKNLDMGFLWLVRLKCGPHSLRKCLLRVGTDGLANNTLYLDTLTQNIGLTFYLNECAKATLGCSDLWLKQLRCAPHQLGEALLWLGWDGLDNFSLDLERLNHNAQLVVSLGECAASGLRCIGLRLTQLKCTPHRLGECLLLVGLDGLENLSLDLERLSQNVSLTSALEDCAAATLRCIKLWLTRLRCVPHRLGQCLLRLGLDELANGTLDLEVLHPNTGLVSAMEQCAGSELQCKRLQIMQLRCQPHRLGECLLMMGPFGVGNGSLDMRTLNKNAILAASLDECASSSIRCRKLRLNQLKCNPHRLGQCLLKVGRSGLANRYLSLEILNPNTCLAAALHESYADLLVTREPLQVLLTHAGASCRARDEGDASYTAGYLHLVQASRCRKLCFSSVMHEMKSAINSVVIVQFHSLAQQIFEEEQIEYLCSFFELSGQQLTSGDSQAKSQIWQLLDMKIHPSVKWCLSKELHSRTEENLLGFLFRSTLALFSRESFAYETLLRTVDQDPGAGGEGEDLIYYMAAFFEQFSLHIFSYYRECNIDKQFINMNLFASVDEGDLGHKRLDQEEFLIKQNWLGDAALSCLGRQNPPPQLRPAGPKPQMLSLLYLMYVATTTRSTQRLLGGGELQQQLCTCVVWCVLPVFCMTFLPENDRVYNFVQGAQEANHFYKYFYEKREAESFGLIEVHRPHELLRHLKELATPRTTILLSLEPLLKKRILSNLLLETPRKEEWCLCWPGQPDHFSPKLEDFGDIIFLLREPVRILEQLPASPEPWCSFILDQMSCETASQNMERCNIYFLYDFRGQFLKGDAWDLMVKHALMSKGIGAAGQMVVTRPPEPREEDPEFLTEIKIPSAQHGVTRAHGRGTDLLQQQVQMPNSHEKVLLLRTYRHSLNVCEGLRANRDEMLRFKRRVYDRYTEQPDKRPTVLSVELLCTSQNGLSSPGGPPTDRVLDERQGREWLDKRNIREFTSLALRWAEEPGFHTILLQAMELPGAKEMSGWPIKGEGLETATGLYLKFKKLEVAELEELYTSLRCLGDRGATRLM
+>sp|Q8IZF0|NALCN_HUMAN Sodium leak channel non-selective protein OS=Homo sapiens OX=9606 GN=NALCN PE=1 SV=1
+MLKRKQSSRVEAQPVTDFGPDESLSDNADILWINKPWVHSLLRICAIISVISVCMNTPMTFEHYPPLQYVTFTLDTLLMFLYTAEMIAKMHIRGIVKGDSSYVKDRWCVFDGFMVFCLWVSLVLQVFEIADIVDQMSPWGMLRIPRPLIMIRAFRIYFRFELPRTRITNILKRSGEQIWSVSIFLLFFLLLYGILGVQMFGTFTYHCVVNDTKPGNVTWNSLAIPDTHCSPELEEGYQCPPGFKCMDLEDLGLSRQELGYSGFNEIGTSIFTVYEAASQEGWVFLMYRAIDSFPRWRSYFYFITLIFFLAWLVKNVFIAVIIETFAEIRVQFQQMWGSRSSTTSTATTQMFHEDAAGGWQLVAVDVNKPQGRAPACLQKMMRSSVFHMFILSMVTVDVIVAASNYYKGENFRRQYDEFYLAEVAFTVLFDLEALLKIWCLGFTGYISSSLHKFELLLVIGTTLHVYPDLYHSQFTYFQVLRVVRLIKISPALEDFVYKIFGPGKKLGSLVVFTASLLIVMSAISLQMFCFVEELDRFTTFPRAFMSMFQILTQEGWVDVMDQTLNAVGHMWAPVVAIYFILYHLFATLILLSLFVAVILDNLELDEDLKKLKQLKQSEANADTKEKLPLRLRIFEKFPNRPQMVKISKLPSDFTVPKIRESFMKQFIDRQQQDTCCLLRSLPTTSSSSCDHSKRSAIEDNKYIDQKLRKSVFSIRARNLLEKETAVTKILRACTRQRMLSGSFEGQPAKERSILSVQHHIRQERRSLRHGSNSQRISRGKSLETLTQDHSNTVRYRNAQREDSEIKMIQEKKEQAEMKRKVQEEELRENHPYFDKPLFIVGREHRFRNFCRVVVRARFNASKTDPVTGAVKNTKYHQLYDLLGLVTYLDWVMIIVTICSCISMMFESPFRRVMHAPTLQIAEYVFVIFMSIELNLKIMADGLFFTPTAVIRDFGGVMDIFIYLVSLIFLCWMPQNVPAESGAQLLMVLRCLRPLRIFKLVPQMRKVVRELFSGFKEIFLVSILLLTLMLVFASFGVQLFAGKLAKCNDPNIIRREDCNGIFRINVSVSKNLNLKLRPGEKKPGFWVPRVWANPRNFNFDNVGNAMLALFEVLSLKGWVEVRDVIIHRVGPIHGIYIHVFVFLGCMIGLTLFVGVVIANFNENKGTALLTVDQRRWEDLKSRLKIAQPLHLPPRPDNDGFRAKMYDITQHPFFKRTIALLVLAQSVLLSVKWDVEDPVTVPLATMSVVFTFIFVLEVTMKIIAMSPAGFWQSRRNRYDLLVTSLGVVWVVLHFALLNAYTYMMGACVIVFRFFSICGKHVTLKMLLLTVVVSMYKSFFIIVGMFLLLLCYAFAGVVLFGTVKYGENINRHANFSSAGKAITVLFRIVTGEDWNKIMHDCMVQPPFCTPDEFTYWATDCGNYAGALMYFCSFYVIIAYIMLNLLVAIIVENFSLFYSTEEDQLLSYNDLRHFQIIWNMVDDKREGVIPTFRVKFLLRLLRGRLEVDLDKDKLLFKHMCYEMERLHNGGDVTFHDVLSMLSYRSVDIRKSLQLEELLAREQLEYTIEEEVAKQTIRMWLKKCLKRIRAKQQQSCSIIHSLRESQQQELSRFLNPPSIETTQPSEDTNANSQDNSMQPETSSQQQLLSPTLSDRGGSRQDAADAGKPQRKFGQWRLPSAPKPISHSVSSVNLRFGGRTTMKSVVCKMNPMTDAASCGSEVKKWWTRQLTVESDESGDDLLDI
+>DECOY_sp|Q8IZF0|NALCN_HUMAN Sodium leak channel non-selective protein OS=Homo sapiens OX=9606 GN=NALCN PE=1 SV=1
+IDLLDDGSEDSEVTLQRTWWKKVESGCSAADTMPNMKCVVSKMTTRGGFRLNVSSVSHSIPKPASPLRWQGFKRQPKGADAADQRSGGRDSLTPSLLQQQSSTEPQMSNDQSNANTDESPQTTEISPPNLFRSLEQQQSERLSHIISCSQQQKARIRKLCKKLWMRITQKAVEEEITYELQERALLEELQLSKRIDVSRYSLMSLVDHFTVDGGNHLREMEYCMHKFLLKDKDLDVELRGRLLRLLFKVRFTPIVGERKDDVMNWIIQFHRLDNYSLLQDEETSYFLSFNEVIIAVLLNLMIYAIIVYFSCFYMLAGAYNGCDTAWYTFEDPTCFPPQVMCDHMIKNWDEGTVIRFLVTIAKGASSFNAHRNINEGYKVTGFLVVGAFAYCLLLLFMGVIIFFSKYMSVVVTLLLMKLTVHKGCISFFRFVIVCAGMMYTYANLLAFHLVVWVVGLSTVLLDYRNRRSQWFGAPSMAIIKMTVELVFIFTFVVSMTALPVTVPDEVDWKVSLLVSQALVLLAITRKFFPHQTIDYMKARFGDNDPRPPLHLPQAIKLRSKLDEWRRQDVTLLATGKNENFNAIVVGVFLTLGIMCGLFVFVHIYIGHIPGVRHIIVDRVEVWGKLSLVEFLALMANGVNDFNFNRPNAWVRPVWFGPKKEGPRLKLNLNKSVSVNIRFIGNCDERRIINPDNCKALKGAFLQVGFSAFVLMLTLLLISVLFIEKFGSFLERVVKRMQPVLKFIRLPRLCRLVMLLQAGSEAPVNQPMWCLFILSVLYIFIDMVGGFDRIVATPTFFLGDAMIKLNLEISMFIVFVYEAIQLTPAHMVRRFPSEFMMSICSCITVIIMVWDLYTVLGLLDYLQHYKTNKVAGTVPDTKSANFRARVVVRCFNRFRHERGVIFLPKDFYPHNERLEEEQVKRKMEAQEKKEQIMKIESDERQANRYRVTNSHDQTLTELSKGRSIRQSNSGHRLSRREQRIHHQVSLISREKAPQGEFSGSLMRQRTCARLIKTVATEKELLNRARISFVSKRLKQDIYKNDEIASRKSHDCSSSSTTPLSRLLCCTDQQQRDIFQKMFSERIKPVTFDSPLKSIKVMQPRNPFKEFIRLRLPLKEKTDANAESQKLQKLKKLDEDLELNDLIVAVFLSLLILTAFLHYLIFYIAVVPAWMHGVANLTQDMVDVWGEQTLIQFMSMFARPFTTFRDLEEVFCFMQLSIASMVILLSATFVVLSGLKKGPGFIKYVFDELAPSIKILRVVRLVQFYTFQSHYLDPYVHLTTGIVLLLEFKHLSSSIYGTFGLCWIKLLAELDFLVTFAVEALYFEDYQRRFNEGKYYNSAAVIVDVTVMSLIFMHFVSSRMMKQLCAPARGQPKNVDVAVLQWGGAADEHFMQTTATSTTSSRSGWMQQFQVRIEAFTEIIVAIFVNKVLWALFFILTIFYFYSRWRPFSDIARYMLFVWGEQSAAEYVTFISTGIENFGSYGLEQRSLGLDELDMCKFGPPCQYGEELEPSCHTDPIALSNWTVNGPKTDNVVCHYTFTGFMQVGLIGYLLLFFLLFISVSWIQEGSRKLINTIRTRPLEFRFYIRFARIMILPRPIRLMGWPSMQDVIDAIEFVQLVLSVWLCFVMFGDFVCWRDKVYSSDGKVIGRIHMKAIMEATYLFMLLTDLTFTVYQLPPYHEFTMPTNMCVSIVSIIACIRLLSHVWPKNIWLIDANDSLSEDPGFDTVPQAEVRSSQKRKLM
+>sp|Q9Y3Q0|NALD2_HUMAN N-acetylated-alpha-linked acidic dipeptidase 2 OS=Homo sapiens OX=9606 GN=NAALAD2 PE=1 SV=1
+MAESRGRLYLWMCLAAALASFLMGFMVGWFIKPLKETTTSVRYHQSIRWKLVSEMKAENIKSFLRSFTKLPHLAGTEQNFLLAKKIQTQWKKFGLDSAKLVHYDVLLSYPNETNANYISIVDEHETEIFKTSYLEPPPDGYENVTNIVPPYNAFSAQGMPEGDLVYVNYARTEDFFKLEREMGINCTGKIVIARYGKIFRGNKVKNAMLAGAIGIILYSDPADYFAPEVQPYPKGWNLPGTAAQRGNVLNLNGAGDPLTPGYPAKEYTFRLDVEEGVGIPRIPVHPIGYNDAEILLRYLGGIAPPDKSWKGALNVSYSIGPGFTGSDSFRKVRMHVYNINKITRIYNVVGTIRGSVEPDRYVILGGHRDSWVFGAIDPTSGVAVLQEIARSFGKLMSKGWRPRRTIIFASWDAEEFGLLGSTEWAEENVKILQERSIAYINSDSSIEGNYTLRVDCTPLLYQLVYKLTKEIPSPDDGFESKSLYESWLEKDPSPENKNLPRINKLGSGSDFEAYFQRLGIASGRARYTKNKKTDKYSSYPVYHTIYETFELVEKFYDPTFKKQLSVAQLRGALVYELVDSKIIPFNIQDYAEALKNYAASIYNLSKKHDQQLTDHGVSFDSLFSAVKNFSEAASDFHKRLIQVDLNNPIAVRMMNDQLMLLERAFIDPLGLPGKLFYRHIIFAPSSHNKYAGESFPGIYDAIFDIENKANSRLAWKEVKKHISIAAFTIQAAAGTLKEVL
+>DECOY_sp|Q9Y3Q0|NALD2_HUMAN N-acetylated-alpha-linked acidic dipeptidase 2 OS=Homo sapiens OX=9606 GN=NAALAD2 PE=1 SV=1
+LVEKLTGAAAQITFAAISIHKKVEKWALRSNAKNEIDFIADYIGPFSEGAYKNHSSPAFIIHRYFLKGPLGLPDIFARELLMLQDNMMRVAIPNNLDVQILRKHFDSAAESFNKVASFLSDFSVGHDTLQQDHKKSLNYISAAYNKLAEAYDQINFPIIKSDVLEYVLAGRLQAVSLQKKFTPDYFKEVLEFTEYITHYVPYSSYKDTKKNKTYRARGSAIGLRQFYAEFDSGSGLKNIRPLNKNEPSPDKELWSEYLSKSEFGDDPSPIEKTLKYVLQYLLPTCDVRLTYNGEISSDSNIYAISREQLIKVNEEAWETSGLLGFEEADWSAFIITRRPRWGKSMLKGFSRAIEQLVAVGSTPDIAGFVWSDRHGGLIVYRDPEVSGRITGVVNYIRTIKNINYVHMRVKRFSDSGTFGPGISYSVNLAGKWSKDPPAIGGLYRLLIEADNYGIPHVPIRPIGVGEEVDLRFTYEKAPYGPTLPDGAGNLNLVNGRQAATGPLNWGKPYPQVEPAFYDAPDSYLIIGIAGALMANKVKNGRFIKGYRAIVIKGTCNIGMERELKFFDETRAYNVYVLDGEPMGQASFANYPPVINTVNEYGDPPPELYSTKFIETEHEDVISIYNANTENPYSLLVDYHVLKASDLGFKKWQTQIKKALLFNQETGALHPLKTFSRLFSKINEAKMESVLKWRISQHYRVSTTTEKLPKIFWGVMFGMLFSALAAALCMWLYLRGRSEAM
+>sp|Q9NX02|NALP2_HUMAN NACHT, LRR and PYD domains-containing protein 2 OS=Homo sapiens OX=9606 GN=NLRP2 PE=1 SV=1
+MVSSAQMGFNLQALLEQLSQDELSKFKYLITTFSLAHELQKIPHKEVDKADGKQLVEILTTHCDSYWVEMASLQVFEKMHRMDLSERAKDEVREAALKSFNKRKPLSLGITRKERPPLDVDEMLERFKTEAQAFTETKGNVICLGKEVFKGKKPDKDNRCRYILKTKFREMWKSWPGDSKEVQVMAERYKMLIPFSNPRVLPGPFSYTVVLYGPAGLGKTTLAQKLMLDWAEDNLIHKFKYAFYLSCRELSRLGPCSFAELVFRDWPELQDDIPHILAQARKILFVIDGFDELGAAPGALIEDICGDWEKKKPVPVLLGSLLNRVMLPKAALLVTTRPRALRDLRILAEEPIYIRVEGFLEEDRRAYFLRHFGDEDQAMRAFELMRSNAALFQLGSAPAVCWIVCTTLKLQMEKGEDPVPTCLTRTGLFLRFLCSRFPQGAQLRGALRTLSLLAAQGLWAQTSVLHREDLERLGVQESDLRLFLDGDILRQDRVSKGCYSFIHLSFQQFLTALFYTLEKEEEEDRDGHTWDIGDVQKLLSGVERLRNPDLIQAGYYSFGLANEKRAKELEATFGCRMSPDIKQELLRCDISCKGGHSTVTDLQELLGCLYESQEEELVKEVMAQFKEISLHLNAVDVVPSSFCVKHCRNLQKMSLQVIKENLPENVTASESDAEVERSQDDQHMLPFWTDLCSIFGSNKDLMGLAINDSFLSASLVRILCEQIASDTCHLQRVVFKNISPADAHRNLCLALRGHKTVTYLTLQGNDQDDMFPALCEVLRHPECNLRYLGLVSCSATTQQWADLSLALEVNQSLTCVNLSDNELLDEGAKLLYTTLRHPKCFLQRLSLENCHLTEANCKDLAAVLVVSRELTHLCLAKNPIGNTGVKFLCEGLRYPECKLQTLVLWNCDITSDGCCDLTKLLQEKSSLLCLDLGLNHIGVKGMKFLCEALRKPLCNLRCLWLWGCSIPPFSCEDLCSALSCNQSLVTLDLGQNPLGSSGVKMLFETLTCSSGTLRTLRLKIDDFNDELNKLLEEIEEKNPQLIIDTEKHHPWAERPSSHDFMI
+>DECOY_sp|Q9NX02|NALP2_HUMAN NACHT, LRR and PYD domains-containing protein 2 OS=Homo sapiens OX=9606 GN=NLRP2 PE=1 SV=1
+IMFDHSSPREAWPHHKETDIILQPNKEEIEELLKNLEDNFDDIKLRLTRLTGSSCTLTEFLMKVGSSGLPNQGLDLTVLSQNCSLASCLDECSFPPISCGWLWLCRLNCLPKRLAECLFKMGKVGIHNLGLDLCLLSSKEQLLKTLDCCGDSTIDCNWLVLTQLKCEPYRLGECLFKVGTNGIPNKALCLHTLERSVVLVAALDKCNAETLHCNELSLRQLFCKPHRLTTYLLKAGEDLLENDSLNVCTLSQNVELALSLDAWQQTTASCSVLGLYRLNCEPHRLVECLAPFMDDQDNGQLTLYTVTKHGRLALCLNRHADAPSINKFVVRQLHCTDSAIQECLIRVLSASLFSDNIALGMLDKNSGFISCLDTWFPLMHQDDQSREVEADSESATVNEPLNEKIVQLSMKQLNRCHKVCFSSPVVDVANLHLSIEKFQAMVEKVLEEEQSEYLCGLLEQLDTVTSHGGKCSIDCRLLEQKIDPSMRCGFTAELEKARKENALGFSYYGAQILDPNRLREVGSLLKQVDGIDWTHGDRDEEEEKELTYFLATLFQQFSLHIFSYCGKSVRDQRLIDGDLFLRLDSEQVGLRELDERHLVSTQAWLGQAALLSLTRLAGRLQAGQPFRSCLFRLFLGTRTLCTPVPDEGKEMQLKLTTCVIWCVAPASGLQFLAANSRMLEFARMAQDEDGFHRLFYARRDEELFGEVRIYIPEEALIRLDRLARPRTTVLLAAKPLMVRNLLSGLLVPVPKKKEWDGCIDEILAGPAAGLEDFGDIVFLIKRAQALIHPIDDQLEPWDRFVLEAFSCPGLRSLERCSLYFAYKFKHILNDEAWDLMLKQALTTKGLGAPGYLVVTYSFPGPLVRPNSFPILMKYREAMVQVEKSDGPWSKWMERFKTKLIYRCRNDKDPKKGKFVEKGLCIVNGKTETFAQAETKFRELMEDVDLPPREKRTIGLSLPKRKNFSKLAAERVEDKARESLDMRHMKEFVQLSAMEVWYSDCHTTLIEVLQKGDAKDVEKHPIKQLEHALSFTTILYKFKSLEDQSLQELLAQLNFGMQASSVM
+>sp|P60321|NANO2_HUMAN Nanos homolog 2 OS=Homo sapiens OX=9606 GN=NANOS2 PE=1 SV=1
+MQLPPFDMWKDYFNLSQVVWALIASRGQRLETQEIEEPSPGPPLGQDQGLGAPGANGGLGTLCNFCKHNGESRHVYSSHQLKTPDGVVVCPILRHYVCPVCGATGDQAHTLKYCPLNGGQQSLYRRSGRNSAGRRVKR
+>DECOY_sp|P60321|NANO2_HUMAN Nanos homolog 2 OS=Homo sapiens OX=9606 GN=NANOS2 PE=1 SV=1
+RKVRRGASNRGSRRYLSQQGGNLPCYKLTHAQDGTAGCVPCVYHRLIPCVVVGDPTKLQHSSYVHRSEGNHKCFNCLTGLGGNAGPAGLGQDQGLPPGPSPEEIEQTELRQGRSAILAWVVQSLNFYDKWMDFPPLQM
+>sp|P60323|NANO3_HUMAN Nanos homolog 3 OS=Homo sapiens OX=9606 GN=NANOS3 PE=1 SV=1
+MGTFDLWTDYLGLAHLVRALSGKEGPETRLSPQPEPEPMLEPDQKRSLESSPAPERLCSFCKHNGESRAIYQSHVLKDEAGRVLCPILRDYVCPQCGATRERAHTRRFCPLTGQGYTSVYSHTTRNSAGKKLVRPDKAKTQDTGHRRGGGGGAGFRGAGKSEPSPSCSPSMST
+>DECOY_sp|P60323|NANO3_HUMAN Nanos homolog 3 OS=Homo sapiens OX=9606 GN=NANOS3 PE=1 SV=1
+TSMSPSCSPSPESKGAGRFGAGGGGGRRHGTDQTKAKDPRVLKKGASNRTTHSYVSTYGQGTLPCFRRTHARERTAGCQPCVYDRLIPCLVRGAEDKLVHSQYIARSEGNHKCFSCLREPAPSSELSRKQDPELMPEPEPQPSLRTEPGEKGSLARVLHALGLYDTWLDFTGM
+>sp|O96009|NAPSA_HUMAN Napsin-A OS=Homo sapiens OX=9606 GN=NAPSA PE=1 SV=1
+MSPPPLLQPLLLLLPLLNVEPSGATLIRIPLHRVQPGRRILNLLRGWREPAELPKLGAPSPGDKPIFVPLSNYRDVQYFGEIGLGTPPQNFTVAFDTGSSNLWVPSRRCHFFSVPCWLHHRFDPKASSSFQANGTKFAIQYGTGRVDGILSEDKLTIGGIKGASVIFGEALWEPSLVFAFAHFDGILGLGFPILSVEGVRPPMDVLVEQGLLDKPVFSFYLNRDPEEPDGGELVLGGSDPAHYIPPLTFVPVTVPAYWQIHMERVKVGPGLTLCAKGCAAILDTGTSLITGPTEEIRALHAAIGGIPLLAGEYIILCSEIPKLPAVSFLLGGVWFNLTAHDYVIQTTRNGVRLCLSGFQALDVPPPAGPFWILGDVFLGTYVAVFDRGDMKSSARVGLARARTRGADLGWGETAQAQFPG
+>DECOY_sp|O96009|NAPSA_HUMAN Napsin-A OS=Homo sapiens OX=9606 GN=NAPSA PE=1 SV=1
+GPFQAQATEGWGLDAGRTRARALGVRASSKMDGRDFVAVYTGLFVDGLIWFPGAPPPVDLAQFGSLCLRVGNRTTQIVYDHATLNFWVGGLLFSVAPLKPIESCLIIYEGALLPIGGIAAHLARIEETPGTILSTGTDLIAACGKACLTLGPGVKVREMHIQWYAPVTVPVFTLPPIYHAPDSGGLVLEGGDPEEPDRNLYFSFVPKDLLGQEVLVDMPPRVGEVSLIPFGLGLIGDFHAFAFVLSPEWLAEGFIVSAGKIGGITLKDESLIGDVRGTGYQIAFKTGNAQFSSSAKPDFRHHLWCPVSFFHCRRSPVWLNSSGTDFAVTFNQPPTGLGIEGFYQVDRYNSLPVFIPKDGPSPAGLKPLEAPERWGRLLNLIRRGPQVRHLPIRILTAGSPEVNLLPLLLLLPQLLPPPSM
+>sp|Q9H6Q4|NARFL_HUMAN Cytosolic Fe-S cluster assembly factor NARFL OS=Homo sapiens OX=9606 GN=NARFL PE=1 SV=1
+MASPFSGALQLTDLDDFIGPSQECIKPVKVEKRAGSGVAKIRIEDDGSYFQINQDGGTRRLEKAKVSLNDCLACSGCITSAETVLITQQSHEELKKVLDANKMAAPSQQRLVVVSVSPQSRASLAARFQLNPTDTARKLTSFFKKIGVHFVFDTAFSRHFSLLESQREFVRRFRGQADCRQALPLLASACPGWICYAEKTHGSFILPHISTARSPQQVMGSLVKDFFAQQQHLTPDKIYHVTVMPCYDKKLEASRPDFFNQEHQTRDVDCVLTTGEVFRLLEEEGVSLPDLEPAPLDSLCSGASAEEPTSHRGGGSGGYLEHVFRHAARELFGIHVAEVTYKPLRNKDFQEVTLEKEGQVLLHFAMAYGFRNIQNLVQRLKRGRCPYHYVEVMACPSGCLNGGGQLQAPDRPSRELLQHVERLYGMVRAEAPEDAPGVQELYTHWLQGTDSECAGRLLHTQYHAVEKASTGLGIRW
+>DECOY_sp|Q9H6Q4|NARFL_HUMAN Cytosolic Fe-S cluster assembly factor NARFL OS=Homo sapiens OX=9606 GN=NARFL PE=1 SV=1
+WRIGLGTSAKEVAHYQTHLLRGACESDTGQLWHTYLEQVGPADEPAEARVMGYLREVHQLLERSPRDPAQLQGGGNLCGSPCAMVEVYHYPCRGRKLRQVLNQINRFGYAMAFHLLVQGEKELTVEQFDKNRLPKYTVEAVHIGFLERAAHRFVHELYGGSGGGRHSTPEEASAGSCLSDLPAPELDPLSVGEEELLRFVEGTTLVCDVDRTQHEQNFFDPRSAELKKDYCPMVTVHYIKDPTLHQQQAFFDKVLSGMVQQPSRATSIHPLIFSGHTKEAYCIWGPCASALLPLAQRCDAQGRFRRVFERQSELLSFHRSFATDFVFHVGIKKFFSTLKRATDTPNLQFRAALSARSQPSVSVVVLRQQSPAAMKNADLVKKLEEHSQQTILVTEASTICGSCALCDNLSVKAKELRRTGGDQNIQFYSGDDEIRIKAVGSGARKEVKVPKICEQSPGIFDDLDTLQLAGSFPSAM
+>sp|Q15274|NADC_HUMAN Nicotinate-nucleotide pyrophosphorylase [carboxylating] OS=Homo sapiens OX=9606 GN=QPRT PE=1 SV=3
+MDAEGLALLLPPVTLAALVDSWLREDCPGLNYAALVSGAGPSQAALWAKSPGVLAGQPFFDAIFTQLNCQVSWFLPEGSKLVPVARVAEVRGPAHCLLLGERVALNTLARCSGIASAAAAAVEAARGAGWTGHVAGTRKTTPGFRLVEKYGLLVGGAASHRYDLGGLVMVKDNHVVAAGGVEKAVRAARQAADFTLKVEVECSSLQEAVQAAEAGADLVLLDNFKPEELHPTATVLKAQFPSVAVEASGGITLDNLPQFCGPHIDVISMGMLTQAAPALDFSLKLFAKEVAPVPKIH
+>DECOY_sp|Q15274|NADC_HUMAN Nicotinate-nucleotide pyrophosphorylase [carboxylating] OS=Homo sapiens OX=9606 GN=QPRT PE=1 SV=3
+HIKPVPAVEKAFLKLSFDLAPAAQTLMGMSIVDIHPGCFQPLNDLTIGGSAEVAVSPFQAKLVTATPHLEEPKFNDLLVLDAGAEAAQVAEQLSSCEVEVKLTFDAAQRAARVAKEVGGAAVVHNDKVMVLGGLDYRHSAAGGVLLGYKEVLRFGPTTKRTGAVHGTWGAGRAAEVAAAAASAIGSCRALTNLAVREGLLLCHAPGRVEAVRAVPVLKSGEPLFWSVQCNLQTFIADFFPQGALVGPSKAWLAAQSPGAGSVLAAYNLGPCDERLWSDVLAALTVPPLLLALGEADM
+>sp|Q6IA69|NADE_HUMAN Glutamine-dependent NAD(+) synthetase OS=Homo sapiens OX=9606 GN=NADSYN1 PE=1 SV=3
+MGRKVTVATCALNQWALDFEGNLQRILKSIEIAKNRGARYRLGPELEICGYGCWDHYYESDTLLHSFQVLAALVESPVTQDIICDVGMPVMHRNVRYNCRVIFLNRKILLIRPKMALANEGNYRELRWFTPWSRSRHTEEYFLPRMIQDLTKQETVPFGDAVLVTWDTCIGSEICEELWTPHSPHIDMGLDGVEIITNASGSHQVLRKANTRVDLVTMVTSKNGGIYLLANQKGCDGDRLYYDGCAMIAMNGSVFAQGSQFSLDDVEVLTATLDLEDVRSYRAEISSRNLAASRASPYPRVKVDFALSCHEDLLAPISEPIEWKYHSPEEEISLGPACWLWDFLRRSQQAGFLLPLSGGVDSAATACLIYSMCCQVCEAVRSGNEEVLADVRTIVNQISYTPQDPRDLCGRILTTCYMASKNSSQETCTRARELAQQIGSHHISLNIDPAVKAVMGIFSLVTGKSPLFAAHGGSSRENLALQNVQARIRMVLAYLFAQLSLWSRGVHGGLLVLGSANVDESLLGYLTKYDCSSADINPIGGISKTDLRAFVQFCIQRFQLPALQSILLAPATAELEPLADGQVSQTDEEDMGMTYAELSVYGKLRKVAKMGPYSMFCKLLGMWRHICTPRQVADKVKRFFSKYSMNRHKMTTLTPAYHAENYSPEDNRFDLRPFLYNTSWPWQFRCIENQVLQLERAEPQSLDGVD
+>DECOY_sp|Q6IA69|NADE_HUMAN Glutamine-dependent NAD(+) synthetase OS=Homo sapiens OX=9606 GN=NADSYN1 PE=1 SV=3
+DVGDLSQPEARELQLVQNEICRFQWPWSTNYLFPRLDFRNDEPSYNEAHYAPTLTTMKHRNMSYKSFFRKVKDAVQRPTCIHRWMGLLKCFMSYPGMKAVKRLKGYVSLEAYTMGMDEEDTQSVQGDALPELEATAPALLISQLAPLQFRQICFQVFARLDTKSIGGIPNIDASSCDYKTLYGLLSEDVNASGLVLLGGHVGRSWLSLQAFLYALVMRIRAQVNQLALNERSSGGHAAFLPSKGTVLSFIGMVAKVAPDINLSIHHSGIQQALERARTCTEQSSNKSAMYCTTLIRGCLDRPDQPTYSIQNVITRVDALVEENGSRVAECVQCCMSYILCATAASDVGGSLPLLFGAQQSRRLFDWLWCAPGLSIEEEPSHYKWEIPESIPALLDEHCSLAFDVKVRPYPSARSAALNRSSIEARYSRVDELDLTATLVEVDDLSFQSGQAFVSGNMAIMACGDYYLRDGDCGKQNALLYIGGNKSTVMTVLDVRTNAKRLVQHSGSANTIIEVGDLGMDIHPSHPTWLEECIESGICTDWTVLVADGFPVTEQKTLDQIMRPLFYEETHRSRSWPTFWRLERYNGENALAMKPRILLIKRNLFIVRCNYRVNRHMVPMGVDCIIDQTVPSEVLAALVQFSHLLTDSEYYHDWCGYGCIELEPGLRYRAGRNKAIEISKLIRQLNGEFDLAWQNLACTAVTVKRGM
+>sp|Q9UK23|NAGPA_HUMAN N-acetylglucosamine-1-phosphodiester alpha-N-acetylglucosaminidase OS=Homo sapiens OX=9606 GN=NAGPA PE=1 SV=2
+MATSTGRWLLLRLALFGFLWEASGGLDSGASRDDDLLLPYPRARARLPRDCTRVRAGNREHESWPPPPATPGAGGLAVRTFVSHFRDRAVAGHLTRAVEPLRTFSVLEPGGPGGCAARRRATVEETARAADCRVAQNGGFFRMNSGECLGNVVSDERRVSSSGGLQNAQFGIRRDGTLVTGYLSEEEVLDTENPFVQLLSGVVWLIRNGSIYINESQATECDETQETGSFSKFVNVISARTAIGHDRKGQLVLFHADGQTEQRGINLWEMAEFLLKQDVVNAINLDGGGSATFVLNGTLASYPSDHCQDNMWRCPRQVSTVVCVHEPRCQPPDCHGHGTCVDGHCQCTGHFWRGPGCDELDCGPSNCSQHGLCTETGCRCDAGWTGSNCSEECPLGWHGPGCQRPCKCEHHCPCDPKTGNCSVSRVKQCLQPPEATLRAGELSFFTRTAWLALTLALAFLLLISTAANLSLLLSRAERNRRLHGDYAYHPLQEMNGEPLAAEKEQPGGAHNPFKD
+>DECOY_sp|Q9UK23|NAGPA_HUMAN N-acetylglucosamine-1-phosphodiester alpha-N-acetylglucosaminidase OS=Homo sapiens OX=9606 GN=NAGPA PE=1 SV=2
+DKFPNHAGGPQEKEAALPEGNMEQLPHYAYDGHLRRNREARSLLLSLNAATSILLLFALALTLALWATRTFFSLEGARLTAEPPQLCQKVRSVSCNGTKPDCPCHHECKCPRQCGPGHWGLPCEESCNSGTWGADCRCGTETCLGHQSCNSPGCDLEDCGPGRWFHGTCQCHGDVCTGHGHCDPPQCRPEHVCVVTSVQRPCRWMNDQCHDSPYSALTGNLVFTASGGGDLNIANVVDQKLLFEAMEWLNIGRQETQGDAHFLVLQGKRDHGIATRASIVNVFKSFSGTEQTEDCETAQSENIYISGNRILWVVGSLLQVFPNETDLVEEESLYGTVLTGDRRIGFQANQLGGSSSVRREDSVVNGLCEGSNMRFFGGNQAVRCDAARATEEVTARRRAACGGPGGPELVSFTRLPEVARTLHGAVARDRFHSVFTRVALGGAGPTAPPPPWSEHERNGARVRTCDRPLRARARPYPLLLDDDRSAGSDLGGSAEWLFGFLALRLLLWRGTSTAM
+>sp|Q8N159|NAGS_HUMAN N-acetylglutamate synthase, mitochondrial OS=Homo sapiens OX=9606 GN=NAGS PE=1 SV=1
+MATALMAVVLRAAAVAPRLRGRGGTGGARRLSCGARRRAARGTSPGRRLSTAWSQPQPPPEEYAGADDVSQSPVAEEPSWVPSPRPPVPHESPEPPSGRSLVQRDIQAFLNQCGASPGEARHWLTQFQTCHHSADKPFAVIEVDEEVLKCQQGVSSLAFALAFLQRMDMKPLVVLGLPAPTAPSGCLSFWEAKAQLAKSCKVLVDALRHNAAAAVPFFGGGSVLRAAEPAPHASYGGIVSVETDLLQWCLESGSIPILCPIGETAARRSVLLDSLEVTASLAKALRPTKIIFLNNTGGLRDSSHKVLSNVNLPADLDLVCNAEWVSTKERQQMRLIVDVLSRLPHHSSAVITAASTLLTELFSNKGSGTLFKNAERMLRVRSLDKLDQGRLVDLVNASFGKKLRDDYLASLRPRLHSIYVSEGYNAAAILTMEPVLGGTPYLDKFVVSSSRQGQGSGQMLWECLRRDLQTLFWRSRVTNPINPWYFKHSDGSFSNKQWIFFWFGLADIRDSYELVNHAKGLPDSFHKPASDPGS
+>DECOY_sp|Q8N159|NAGS_HUMAN N-acetylglutamate synthase, mitochondrial OS=Homo sapiens OX=9606 GN=NAGS PE=1 SV=1
+SGPDSAPKHFSDPLGKAHNVLEYSDRIDALGFWFFIWQKNSFSGDSHKFYWPNIPNTVRSRWFLTQLDRRLCEWLMQGSGQGQRSSSVVFKDLYPTGGLVPEMTLIAAANYGESVYISHLRPRLSALYDDRLKKGFSANVLDVLRGQDLKDLSRVRLMREANKFLTGSGKNSFLETLLTSAATIVASSHHPLRSLVDVILRMQQREKTSVWEANCVLDLDAPLNVNSLVKHSSDRLGGTNNLFIIKTPRLAKALSATVELSDLLVSRRAATEGIPCLIPISGSELCWQLLDTEVSVIGGYSAHPAPEAARLVSGGGFFPVAAAANHRLADVLVKCSKALQAKAEWFSLCGSPATPAPLGLVVLPKMDMRQLFALAFALSSVGQQCKLVEEDVEIVAFPKDASHHCTQFQTLWHRAEGPSAGCQNLFAQIDRQVLSRGSPPEPSEHPVPPRPSPVWSPEEAVPSQSVDDAGAYEEPPPQPQSWATSLRRGPSTGRAARRRAGCSLRRAGGTGGRGRLRPAVAAARLVVAMLATAM
+>sp|Q69YI7|NAIF1_HUMAN Nuclear apoptosis-inducing factor 1 OS=Homo sapiens OX=9606 GN=NAIF1 PE=1 SV=1
+MAVPAKKRKMNFSEREVEIIVEELELKKHLLVNHFNAGVPLAAKSAAWHGILRRVNAVATCRRELPEVKKKWSDLKTEVRRKVAQVRAAVEGGEAPGPTEEDGAGGPGTGGGSGGGGPAVAPVLLTPMQQRICNLLGEATIISLPSTTEIHPVALGPSATAAAATVTLTQIPTETTYHTLEEGVVEYCTAEAPPPLPPETPVDMMAQHADTSVKPQALKSRIALNSAKLIQEQRVTNLHVKEIAQHLEQQNDLLQMIRRSQEVQACAQERQAQAMEGTQAALSVLIQVLRPMIKDFRRYLQSNTANPAPASDPGQVAQNGQPDSIIQ
+>DECOY_sp|Q69YI7|NAIF1_HUMAN Nuclear apoptosis-inducing factor 1 OS=Homo sapiens OX=9606 GN=NAIF1 PE=1 SV=1
+QIISDPQGNQAVQGPDSAPAPNATNSQLYRRFDKIMPRLVQILVSLAAQTGEMAQAQREQACAQVEQSRRIMQLLDNQQELHQAIEKVHLNTVRQEQILKASNLAIRSKLAQPKVSTDAHQAMMDVPTEPPLPPPAEATCYEVVGEELTHYTTETPIQTLTVTAAAATASPGLAVPHIETTSPLSIITAEGLLNCIRQQMPTLLVPAVAPGGGGSGGGTGPGGAGDEETPGPAEGGEVAARVQAVKRRVETKLDSWKKKVEPLERRCTAVANVRRLIGHWAASKAALPVGANFHNVLLHKKLELEEVIIEVERESFNMKRKKAPVAM
+>sp|Q4G0N4|NAKD2_HUMAN NAD kinase 2, mitochondrial OS=Homo sapiens OX=9606 GN=NADK2 PE=1 SV=2
+MTCYRGFLLGSCCRVAGGRAAALRGPGAGGPAARPRLGGDGGGRRHLGQGQPRELAGCGSRADGGFRPSRVVVVAKTTRYEFEQQRYRYAELSEEDLKQLLALKGSSYSGLLERHHIHTKNVEHIIDSLRNEGIEVRLVKRREYDEETVRWADAVIAAGGDGTMLLAASKVLDRLKPVIGVNTDPERSEGHLCLPVRYTHSFPEALQKFYRGEFRWLWRQRIRLYLEGTGINPVPVDLHEQQLSLNQHNRALNIERAHDERSEASGPQLLPVRALNEVFIGESLSSRASYYEISVDDGPWEKQKSSGLNLCTGTGSKAWSFNINRVATQAVEDVLNIAKRQGNLSLPLNRELVEKVTNEYNESLLYSPEEPKILFSIREPIANRVFSSSRQRCFSSKVCVRSRCWDACMVVDGGTSFEFNDGAIASMMINKEDELRTVLLEQ
+>DECOY_sp|Q4G0N4|NAKD2_HUMAN NAD kinase 2, mitochondrial OS=Homo sapiens OX=9606 GN=NADK2 PE=1 SV=2
+QELLVTRLEDEKNIMMSAIAGDNFEFSTGGDVVMCADWCRSRVCVKSSFCRQRSSSFVRNAIPERISFLIKPEEPSYLLSENYENTVKEVLERNLPLSLNGQRKAINLVDEVAQTAVRNINFSWAKSGTGTCLNLGSSKQKEWPGDDVSIEYYSARSSLSEGIFVENLARVPLLQPGSAESREDHAREINLARNHQNLSLQQEHLDVPVPNIGTGELYLRIRQRWLWRFEGRYFKQLAEPFSHTYRVPLCLHGESREPDTNVGIVPKLRDLVKSAALLMTGDGGAAIVADAWRVTEEDYERRKVLRVEIGENRLSDIIHEVNKTHIHHRELLGSYSSGKLALLQKLDEESLEAYRYRQQEFEYRTTKAVVVVRSPRFGGDARSGCGALERPQGQGLHRRGGGDGGLRPRAAPGGAGPGRLAAARGGAVRCCSGLLFGRYCTM
+>sp|P59047|NALP5_HUMAN NACHT, LRR and PYD domains-containing protein 5 OS=Homo sapiens OX=9606 GN=NLRP5 PE=2 SV=2
+MKVAGGLELGAAALLSASPRALVTLSTGPTCSILPKNPLFPQNLSSQPCIKMEGDKSLTFSSYGLQWCLYELDKEEFQTFKELLKKKSSESTTCSIPQFEIENANVECLALLLHEYYGASLAWATSISIFENMNLRTLSEKARDDMKRHSPEDPEATMTDQGPSKEKVPGISQAVQQDSATAAETKEQEISQAMEQEGATAAETEEQEISQAMEQEGATAAETEEQGHGGDTWDYKSHVMTKFAEEEDVRRSFENTAADWPEMQTLAGAFDSDRWGFRPRTVVLHGKSGIGKSALARRIVLCWAQGGLYQGMFSYVFFLPVREMQRKKESSVTEFISREWPDSQAPVTEIMSRPERLLFIIDGFDDLGSVLNNDTKLCKDWAEKQPPFTLIRSLLRKVLLPESFLIVTVRDVGTEKLKSEVVSPRYLLVRGISGEQRIHLLLERGIGEHQKTQGLRAIMNNRELLDQCQVPAVGSLICVALQLQDVVGESVAPFNQTLTGLHAAFVFHQLTPRGVVRRCLNLEERVVLKRFCRMAVEGVWNRKSVFDGDDLMVQGLGESELRALFHMNILLPDSHCEEYYTFFHLSLQDFCAALYYVLEGLEIEPALCPLYVEKTKRSMELKQAGFHIHSLWMKRFLFGLVSEDVRRPLEVLLGCPVPLGVKQKLLHWVSLLGQQPNATTPGDTLDAFHCLFETQDKEFVRLALNSFQEVWLPINQNLDLIASSFCLQHCPYLRKIRVDVKGIFPRDESAEACPVVPLWMRDKTLIEEQWEDFCSMLGTHPHLRQLDLGSSILTERAMKTLCAKLRHPTCKIQTLMFRNAQITPGVQHLWRIVMANRNLRSLNLGGTHLKEEDVRMACEALKHPKCLLESLRLDCCGLTHACYLKISQILTTSPSLKSLSLAGNKVTDQGVMPLSDALRVSQCALQKLILEDCGITATGCQSLASALVSNRSLTHLCLSNNSLGNEGVNLLCRSMRLPHCSLQRLMLNQCHLDTAGCGFLALALMGNSWLTHLSLSMNPVEDNGVKLLCEVMREPSCHLQDLELVKCHLTAACCESLSCVISRSRHLKSLDLTDNALGDGGVAALCEGLKQKNSVLARLGLKACGLTSDCCEALSLALSCNRHLTSLNLVQNNFSPKGMMKLCSAFACPTSNLQIIGLWKWQYPVQIRKLLEEVQLLKPRVVIDGSWHSFDEDDRYWWKN
+>DECOY_sp|P59047|NALP5_HUMAN NACHT, LRR and PYD domains-containing protein 5 OS=Homo sapiens OX=9606 GN=NLRP5 PE=2 SV=2
+NKWWYRDDEDFSHWSGDIVVRPKLLQVEELLKRIQVPYQWKWLGIIQLNSTPCAFASCLKMMGKPSFNNQVLNLSTLHRNCSLALSLAECCDSTLGCAKLGLRALVSNKQKLGECLAAVGGDGLANDTLDLSKLHRSRSIVCSLSECCAATLHCKVLELDQLHCSPERMVECLLKVGNDEVPNMSLSLHTLWSNGMLALALFGCGATDLHCQNLMLRQLSCHPLRMSRCLLNVGENGLSNNSLCLHTLSRNSVLASALSQCGTATIGCDELILKQLACQSVRLADSLPMVGQDTVKNGALSLSKLSPSTTLIQSIKLYCAHTLGCCDLRLSELLCKPHKLAECAMRVDEEKLHTGGLNLSRLNRNAMVIRWLHQVGPTIQANRFMLTQIKCTPHRLKACLTKMARETLISSGLDLQRLHPHTGLMSCFDEWQEEILTKDRMWLPVVPCAEASEDRPFIGKVDVRIKRLYPCHQLCFSSAILDLNQNIPLWVEQFSNLALRVFEKDQTEFLCHFADLTDGPTTANPQQGLLSVWHLLKQKVGLPVPCGLLVELPRRVDESVLGFLFRKMWLSHIHFGAQKLEMSRKTKEVYLPCLAPEIELGELVYYLAACFDQLSLHFFTYYEECHSDPLLINMHFLARLESEGLGQVMLDDGDFVSKRNWVGEVAMRCFRKLVVREELNLCRRVVGRPTLQHFVFAAHLGTLTQNFPAVSEGVVDQLQLAVCILSGVAPVQCQDLLERNNMIARLGQTKQHEGIGRELLLHIRQEGSIGRVLLYRPSVVESKLKETGVDRVTVILFSEPLLVKRLLSRILTFPPQKEAWDKCLKTDNNLVSGLDDFGDIIFLLREPRSMIETVPAQSDPWERSIFETVSSEKKRQMERVPLFFVYSFMGQYLGGQAWCLVIRRALASKGIGSKGHLVVTRPRFGWRDSDFAGALTQMEPWDAATNEFSRRVDEEEAFKTMVHSKYDWTDGGHGQEETEAATAGEQEMAQSIEQEETEAATAGEQEMAQSIEQEKTEAATASDQQVAQSIGPVKEKSPGQDTMTAEPDEPSHRKMDDRAKESLTRLNMNEFISISTAWALSAGYYEHLLLALCEVNANEIEFQPISCTTSESSKKKLLEKFTQFEEKDLEYLCWQLGYSSFTLSKDGEMKICPQSSLNQPFLPNKPLISCTPGTSLTVLARPSASLLAAAGLELGGAVKM
+>sp|Q8WX94|NALP7_HUMAN NACHT, LRR and PYD domains-containing protein 7 OS=Homo sapiens OX=9606 GN=NLRP7 PE=1 SV=1
+MTSPQLEWTLQTLLEQLNEDELKSFKSLLWAFPLEDVLQKTPWSEVEEADGKKLAEILVNTSSENWIRNATVNILEEMNLTELCKMAKAEMMEDGQVQEIDNPELGDAEEDSELAKPGEKEGWRNSMEKQSLVWKNTFWQGDIDNFHDDVTLRNQRFIPFLNPRTPRKLTPYTVVLHGPAGVGKTTLAKKCMLDWTDCNLSPTLRYAFYLSCKELSRMGPCSFAELISKDWPELQDDIPSILAQAQRILFVVDGLDELKVPPGALIQDICGDWEKKKPVPVLLGSLLKRKMLPRAALLVTTRPRALRDLQLLAQQPIYVRVEGFLEEDRRAYFLRHFGDEDQAMRAFELMRSNAALFQLGSAPAVCWIVCTTLKLQMEKGEDPVPTCLTRTGLFLRFLCSRFPQGAQLRGALRTLSLLAAQGLWAQMSVFHREDLERLGVQESDLRLFLDGDILRQDRVSKGCYSFIHLSFQQFLTALFYALEKEEGEDRDGHAWDIGDVQKLLSGEERLKNPDLIQVGHFLFGLANEKRAKELEATFGCRMSPDIKQELLQCKAHLHANKPLSVTDLKEVLGCLYESQEEELAKVVVAPFKEISIHLTNTSEVMHCSFSLKHCQDLQKLSLQVAKGVFLENYMDFELDIEFERCTYLTIPNWARQDLRSLRLWTDFCSLFSSNSNLKFLEVKQSFLSDSSVRILCDHVTRSTCHLQKVEIKNVTPDTAYRDFCLAFIGKKTLTHLTLAGHIEWERTMMLMLCDLLRNHKCNLQYLRLGGHCATPEQWAEFFYVLKANQSLKHLRLSANVLLDEGAMLLYKTMTRPKHFLQMLSLENCRLTEASCKDLAAVLVVSKKLTHLCLAKNPIGDTGVKFLCEGLSYPDCKLQTLVLQQCSITKLGCRYLSEALQEACSLTNLDLSINQIARGLWILCQALENPNCNLKHLRLKTYETNLEIKKLLEEVKEKNPKLTIDCNASGATAPPCCDFFC
+>DECOY_sp|Q8WX94|NALP7_HUMAN NACHT, LRR and PYD domains-containing protein 7 OS=Homo sapiens OX=9606 GN=NLRP7 PE=1 SV=1
+CFFDCCPPATAGSANCDITLKPNKEKVEELLKKIELNTEYTKLRLHKLNCNPNELAQCLIWLGRAIQNISLDLNTLSCAEQLAESLYRCGLKTISCQQLVLTQLKCDPYSLGECLFKVGTDGIPNKALCLHTLKKSVVLVAALDKCSAETLRCNELSLMQLFHKPRTMTKYLLMAGEDLLVNASLRLHKLSQNAKLVYFFEAWQEPTACHGGLRLYQLNCKHNRLLDCLMLMMTREWEIHGALTLHTLTKKGIFALCFDRYATDPTVNKIEVKQLHCTSRTVHDCLIRVSSDSLFSQKVELFKLNSNSSFLSCFDTWLRLSRLDQRAWNPITLYTCREFEIDLEFDMYNELFVGKAVQLSLKQLDQCHKLSFSCHMVESTNTLHISIEKFPAVVVKALEEEQSEYLCGLVEKLDTVSLPKNAHLHAKCQLLEQKIDPSMRCGFTAELEKARKENALGFLFHGVQILDPNKLREEGSLLKQVDGIDWAHGDRDEGEEKELAYFLATLFQQFSLHIFSYCGKSVRDQRLIDGDLFLRLDSEQVGLRELDERHFVSMQAWLGQAALLSLTRLAGRLQAGQPFRSCLFRLFLGTRTLCTPVPDEGKEMQLKLTTCVIWCVAPASGLQFLAANSRMLEFARMAQDEDGFHRLFYARRDEELFGEVRVYIPQQALLQLDRLARPRTTVLLAARPLMKRKLLSGLLVPVPKKKEWDGCIDQILAGPPVKLEDLGDVVFLIRQAQALISPIDDQLEPWDKSILEAFSCPGMRSLEKCSLYFAYRLTPSLNCDTWDLMCKKALTTKGVGAPGHLVVTYPTLKRPTRPNLFPIFRQNRLTVDDHFNDIDGQWFTNKWVLSQKEMSNRWGEKEGPKALESDEEADGLEPNDIEQVQGDEMMEAKAMKCLETLNMEELINVTANRIWNESSTNVLIEALKKGDAEEVESWPTKQLVDELPFAWLLSKFSKLEDENLQELLTQLTWELQPSTM
+>sp|P43490|NAMPT_HUMAN Nicotinamide phosphoribosyltransferase OS=Homo sapiens OX=9606 GN=NAMPT PE=1 SV=1
+MNPAAEAEFNILLATDSYKVTHYKQYPPNTSKVYSYFECREKKTENSKLRKVKYEETVFYGLQYILNKYLKGKVVTKEKIQEAKDVYKEHFQDDVFNEKGWNYILEKYDGHLPIEIKAVPEGFVIPRGNVLFTVENTDPECYWLTNWIETILVQSWYPITVATNSREQKKILAKYLLETSGNLDGLEYKLHDFGYRGVSSQETAGIGASAHLVNFKGTDTVAGLALIKKYYGTKDPVPGYSVPAAEHSTITAWGKDHEKDAFEHIVTQFSSVPVSVVSDSYDIYNACEKIWGEDLRHLIVSRSTQAPLIIRPDSGNPLDTVLKVLEILGKKFPVTENSKGYKLLPPYLRVIQGDGVDINTLQEIVEGMKQKMWSIENIAFGSGGGLLQKLTRDLLNCSFKCSYVVTNGLGINVFKDPVADPNKRSKKGRLSLHRTPAGNFVTLEEGKGDLEEYGQDLLHTVFKNGKVTKSYSFDEIRKNAQLNIELEAAHH
+>DECOY_sp|P43490|NAMPT_HUMAN Nicotinamide phosphoribosyltransferase OS=Homo sapiens OX=9606 GN=NAMPT PE=1 SV=1
+HHAAELEINLQANKRIEDFSYSKTVKGNKFVTHLLDQGYEELDGKGEELTVFNGAPTRHLSLRGKKSRKNPDAVPDKFVNIGLGNTVVYSCKFSCNLLDRTLKQLLGGGSGFAINEISWMKQKMGEVIEQLTNIDVGDGQIVRLYPPLLKYGKSNETVPFKKGLIELVKLVTDLPNGSDPRIILPAQTSRSVILHRLDEGWIKECANYIDYSDSVVSVPVSSFQTVIHEFADKEHDKGWATITSHEAAPVSYGPVPDKTGYYKKILALGAVTDTGKFNVLHASAGIGATEQSSVGRYGFDHLKYELGDLNGSTELLYKALIKKQERSNTAVTIPYWSQVLITEIWNTLWYCEPDTNEVTFLVNGRPIVFGEPVAKIEIPLHGDYKELIYNWGKENFVDDQFHEKYVDKAEQIKEKTVVKGKLYKNLIYQLGYFVTEEYKVKRLKSNETKKERCEFYSYVKSTNPPYQKYHTVKYSDTALLINFEAEAAPNM
+>sp|Q9UHQ1|NARF_HUMAN Nuclear prelamin A recognition factor OS=Homo sapiens OX=9606 GN=NARF PE=1 SV=1
+MKCEHCTRKECSKKTKTDDQENVSADAPSPAQENGEKGEFHKLADAKIFLSDCLACDSCMTAEEGVQLSQQNAKDFFRVLNLNKKCDTSKHKVLVVSVCPQSLPYFAAKFNLSVTDASRRLCGFLKSLGVHYVFDTTIAADFSILESQKEFVRRYRQHSEEERTLPMLTSACPGWVRYAERVLGRPITAHLCTAKSPQQVMGSLVKDYFARQQNLSPEKIFHVIVAPCYDKKLEALQESLPPALHGSRGADCVLTSGEIAQIMEQGDLSVRDAAVDTLFGDLKEDKVTRHDGASSDGHLAHIFRHAAKELFNEDVEEVTYRALRNKDFQEVTLEKNGEVVLRFAAAYGFRNIQNMILKLKKGKFPFHFVEVLACAGGCLNGRGQAQTPDGHADKALLRQMEGIYADIPVRRPESSAHVQELYQEWLEGINSPKAREVLHTTYQSQERGTHSLDIKW
+>DECOY_sp|Q9UHQ1|NARF_HUMAN Nuclear prelamin A recognition factor OS=Homo sapiens OX=9606 GN=NARF PE=1 SV=1
+WKIDLSHTGREQSQYTTHLVERAKPSNIGELWEQYLEQVHASSEPRRVPIDAYIGEMQRLLAKDAHGDPTQAQGRGNLCGGACALVEVFHFPFKGKKLKLIMNQINRFGYAAAFRLVVEGNKELTVEQFDKNRLARYTVEEVDENFLEKAAHRFIHALHGDSSAGDHRTVKDEKLDGFLTDVAADRVSLDGQEMIQAIEGSTLVCDAGRSGHLAPPLSEQLAELKKDYCPAVIVHFIKEPSLNQQRAFYDKVLSGMVQQPSKATCLHATIPRGLVREAYRVWGPCASTLMPLTREEESHQRYRRVFEKQSELISFDAAITTDFVYHVGLSKLFGCLRRSADTVSLNFKAAFYPLSQPCVSVVLVKHKSTDCKKNLNLVRFFDKANQQSLQVGEEATMCSDCALCDSLFIKADALKHFEGKEGNEQAPSPADASVNEQDDTKTKKSCEKRTCHECKM
+>sp|Q8N6N6|NATD1_HUMAN Protein NATD1 OS=Homo sapiens OX=9606 GN=NATD1 PE=1 SV=2
+MAHSAAAVPLGALEQGCPIRVEHDRRRRQFTVRLNGCHDRAVLLYEYVGKRIVDLQHTEVPDAYRGRGIAKHLAKAALDFVVEEDLKAHLTCWYIQKYVKENPLPQYLERLQP
+>DECOY_sp|Q8N6N6|NATD1_HUMAN Protein NATD1 OS=Homo sapiens OX=9606 GN=NATD1 PE=1 SV=2
+PQLRELYQPLPNEKVYKQIYWCTLHAKLDEEVVFDLAAKALHKAIGRGRYADPVETHQLDVIRKGVYEYLLVARDHCGNLRVTFQRRRRDHEVRIPCGQELAGLPVAAASHAM
+>sp|Q8IVL0|NAV3_HUMAN Neuron navigator 3 OS=Homo sapiens OX=9606 GN=NAV3 PE=1 SV=3
+MPVLGVASKLRQPAVGSKPVHTALPIPNLGTTGSQHCSSRPLELTETESSMLSCQLALKSTCEFGEKKPLQGKAKEKEDSKIYTDWANHYLAKSGHKRLIKDLQQDIADGVLLAEIIQIIANEKVEDINGCPRSQSQMIENVDVCLSFLAARGVNVQGLSAEEIRNGNLKAILGLFFSLSRYKQQQHHQQQYYQSLVELQQRVTHASPPSEASQAKTQQDMQSSLAARYATQSNHSGIATSQKKPTRLPGPSRVPAAGSSSKVQGASNLNRRSQSFNSIDKNKPPNYANGNEKDSSKGPQSSSGVNGNVQPPSTAGQPPASAIPSPSASKPWRSKSMNVKHSATSTMLTVKQSSTATSPTPSSDRLKPPVSEGVKTAPSGQKSMLEKFKLVNARTALRPPQPPSSGPSDGGKDDDAFSESGEMEGFNSGLNSGGSTNSSPKVSPKLAPPKAGSKNLSNKKSLLQPKEKEEKNRDKNKVCTEKPVKEEKDQVTEMAPKKTSKIASLIPKGSKTTAAKKESLIPSSSGIPKPGSKVPTVKQTISPGSTASKESEKFRTTKGSPSQSLSKPITMEKASASSCPAPLEGREAGQASPSGSCTMTVAQSSGQSTGNGAVQLPQQQQHSHPNTATVAPFIYRAHSENEGTALPSADSCTSPTKMDLSYSKTAKQCLEEISGEDPETRRMRTVKNIADLRQNLEETMSSLRGTQISHSTLETTFDSTVTTEVNGRTIPNLTSRPTPMTWRLGQACPRLQAGDAPSLGAGYPRSGTSRFIHTDPSRFMYTTPLRRAAVSRLGNMSQIDMSEKASSDLDMSSEVDVGGYMSDGDILGKSLRTDDINSGYMTDGGLNLYTRSLNRIPDTATSRDIIQRGVHDVTVDADSWDDSSSVSSGLSDTLDNISTDDLNTTSSVSSYSNITVPSRKNTQLRTDSEKRSTTDETWDSPEELKKPEEDFDSHGDAGGKWKTVSSGLPEDPEKAGQKASLSVSQTGSWRRGMSAQGGAPSRQKAGTSALKTPGKTDDAKASEKGKAPLKGSSLQRSPSDAGKSSGDEGKKPPSGIGRSTATSSFGFKKPSGVGSSAMITSSGATITSGSATLGKIPKSAAIGGKSNAGRKTSLDGSQNQDDVVLHVSSKTTLQYRSLPRPSKSSTSGIPGRGGHRSSTSSIDSNVSSKSAGATTSKLREPTKIGSGRSSPVTVNQTDKEKEKVAVSDSESVSLSGSPKSSPTSASACGAQGLRQPGSKYPDIASPTFRRLFGAKAGGKSASAPNTEGVKSSSVMPSPSTTLARQGSLESPSSGTGSMGSAGGLSGSSSPLFNKPSDLTTDVISLSHSLASSPASVHSFTSGGLVWAANMSSSSAGSKDTPSYQSMTSLHTSSESIDLPLSHHGSLSGLTTGTHEVQSLLMRTGSVRSTLSESMQLDRNTLPKKGLRYTPSSRQANQEEGKEWLRSHSTGGLQDTGNQSPLVSPSAMSSSAAGKYHFSNLVSPTNLSQFNLPGPSMMRSNSIPAQDSSFDLYDDSQLCGSATSLEERPRAISHSGSFRDSMEEVHGSSLSLVSSTSSLYSTAEEKAHSEQIHKLRRELVASQEKVATLTSQLSANAHLVAAFEKSLGNMTGRLQSLTMTAEQKESELIELRETIEMLKAQNSAAQAAIQGALNGPDHPPKDLRIRRQHSSESVSSINSATSHSSIGSGNDADSKKKKKKNWVNSRGSELRSSFKQAFGKKKSTKPPSSHSDIEELTDSSLPASPKLPHNAGDCGSASMKPSQSASASPLVWPPKKRQNGPVIYKHRSRICECTEAEAEIILQLKSELREKELKLTDIRLEALSSAHHLDQIREAMNRMQNEIEILKAENDRLKAETGNTAKPTRPPSESSSSTSSSSSRQSLGLSLNNLNITEAVSSDILLDDAGDATGHKDGRSVKIIVSISKGYGRAKDQKSQAYLIGSIGVSGKTKWDVLDGVIRRLFKEYVFRIDTSTSLGLSSDCIASYCIGDLIRSHNLEVPELLPCGYLVGDNNIITVNLKGVEENSLDSFVFDTLIPKPITQRYFNLLMEHHRIILSGPSGTGKTYLANKLAEYVITKSGRKKTEDAIATFNVDHKSSKELQQYLANLAEQCSADNNGVELPVVIILDNLHHVGSLSDIFNGFLNCKYNKCPYIIGTMNQGVSSSPNLELHHNFRWVLCANHTEPVKGFLGRYLRRKLIEIEIERNIRNNDLVKIIDWIPKTWHHLNSFLETHSSSDVTIGPRLFLPCPMDVEGSRVWFMDLWNYSLVPYILEAVREGLQMYGKRTPWEDPSKWVLDTYPWSSATLPQESPALLQLRPEDVGYESCTSTKEATTSKHIPQTDTEGDPLMNMLMKLQEAANYSSTQSCDSESTSHHEDILDSSLESTL
+>DECOY_sp|Q8IVL0|NAV3_HUMAN Neuron navigator 3 OS=Homo sapiens OX=9606 GN=NAV3 PE=1 SV=3
+LTSELSSDLIDEHHSTSESDCSQTSSYNAAEQLKMLMNMLPDGETDTQPIHKSTTAEKTSTCSEYGVDEPRLQLLAPSEQPLTASSWPYTDLVWKSPDEWPTRKGYMQLGERVAELIYPVLSYNWLDMFWVRSGEVDMPCPLFLRPGITVDSSSHTELFSNLHHWTKPIWDIIKVLDNNRINREIEIEILKRRLYRGLFGKVPETHNACLVWRFNHHLELNPSSSVGQNMTGIIYPCKNYKCNLFGNFIDSLSGVHHLNDLIIVVPLEVGNNDASCQEALNALYQQLEKSSKHDVNFTAIADETKKRGSKTIVYEALKNALYTKGTGSPGSLIIRHHEMLLNFYRQTIPKPILTDFVFSDLSNEEVGKLNVTIINNDGVLYGCPLLEPVELNHSRILDGICYSAICDSSLGLSTSTDIRFVYEKFLRRIVGDLVDWKTKGSVGISGILYAQSKQDKARGYGKSISVIIKVSRGDKHGTADGADDLLIDSSVAETINLNNLSLGLSQRSSSSSTSSSSESPPRTPKATNGTEAKLRDNEAKLIEIENQMRNMAERIQDLHHASSLAELRIDTLKLEKERLESKLQLIIEAEAETCECIRSRHKYIVPGNQRKKPPWVLPSASASQSPKMSASGCDGANHPLKPSAPLSSDTLEEIDSHSSPPKTSKKKGFAQKFSSRLESGRSNVWNKKKKKKSDADNGSGISSHSTASNISSVSESSHQRRIRLDKPPHDPGNLAGQIAAQAASNQAKLMEITERLEILESEKQEATMTLSQLRGTMNGLSKEFAAVLHANASLQSTLTAVKEQSAVLERRLKHIQESHAKEEATSYLSSTSSVLSLSSGHVEEMSDRFSGSHSIARPREELSTASGCLQSDDYLDFSSDQAPISNSRMMSPGPLNFQSLNTPSVLNSFHYKGAASSSMASPSVLPSQNGTDQLGGTSHSRLWEKGEEQNAQRSSPTYRLGKKPLTNRDLQMSESLTSRVSGTRMLLSQVEHTGTTLGSLSGHHSLPLDISESSTHLSTMSQYSPTDKSGASSSSMNAAWVLGGSTFSHVSAPSSALSHSLSIVDTTLDSPKNFLPSSSGSLGGASGMSGTGSSPSELSGQRALTTSPSPMVSSSKVGETNPASASKGGAKAGFLRRFTPSAIDPYKSGPQRLGQAGCASASTPSSKPSGSLSVSESDSVAVKEKEKDTQNVTVPSSRGSGIKTPERLKSTTAGASKSSVNSDISSTSSRHGGRGPIGSTSSKSPRPLSRYQLTTKSSVHLVVDDQNQSGDLSTKRGANSKGGIAASKPIKGLTASGSTITAGSSTIMASSGVGSPKKFGFSSTATSRGIGSPPKKGEDGSSKGADSPSRQLSSGKLPAKGKESAKADDTKGPTKLASTGAKQRSPAGGQASMGRRWSGTQSVSLSAKQGAKEPDEPLGSSVTKWKGGADGHSDFDEEPKKLEEPSDWTEDTTSRKESDTRLQTNKRSPVTINSYSSVSSTTNLDDTSINDLTDSLGSSVSSSDDWSDADVTVDHVGRQIIDRSTATDPIRNLSRTYLNLGGDTMYGSNIDDTRLSKGLIDGDSMYGGVDVESSMDLDSSAKESMDIQSMNGLRSVAARRLPTTYMFRSPDTHIFRSTGSRPYGAGLSPADGAQLRPCAQGLRWTMPTPRSTLNPITRGNVETTVTSDFTTELTSHSIQTGRLSSMTEELNQRLDAINKVTRMRRTEPDEGSIEELCQKATKSYSLDMKTPSTCSDASPLATGENESHARYIFPAVTATNPHSHQQQQPLQVAGNGTSQGSSQAVTMTCSGSPSAQGAERGELPAPCSSASAKEMTIPKSLSQSPSGKTTRFKESEKSATSGPSITQKVTPVKSGPKPIGSSSPILSEKKAATTKSGKPILSAIKSTKKPAMETVQDKEEKVPKETCVKNKDRNKEEKEKPQLLSKKNSLNKSGAKPPALKPSVKPSSNTSGGSNLGSNFGEMEGSESFADDDKGGDSPGSSPPQPPRLATRANVLKFKELMSKQGSPATKVGESVPPKLRDSSPTPSTATSSQKVTLMTSTASHKVNMSKSRWPKSASPSPIASAPPQGATSPPQVNGNVGSSSQPGKSSDKENGNAYNPPKNKDISNFSQSRRNLNSAGQVKSSSGAAPVRSPGPLRTPKKQSTAIGSHNSQTAYRAALSSQMDQQTKAQSAESPPSAHTVRQQLEVLSQYYQQQHHQQQKYRSLSFFLGLIAKLNGNRIEEASLGQVNVGRAALFSLCVDVNEIMQSQSRPCGNIDEVKENAIIQIIEALLVGDAIDQQLDKILRKHGSKALYHNAWDTYIKSDEKEKAKGQLPKKEGFECTSKLALQCSLMSSETETLELPRSSCHQSGTTGLNPIPLATHVPKSGVAPQRLKSAVGLVPM
+>sp|Q9UHQ9|NB5R1_HUMAN NADH-cytochrome b5 reductase 1 OS=Homo sapiens OX=9606 GN=CYB5R1 PE=1 SV=1
+MGIQTSPVLLASLGVGLVTLLGLAVGSYLVRRSRRPQVTLLDPNEKYLLRLLDKTTVSHNTKRFRFALPTAHHTLGLPVGKHIYLSTRIDGSLVIRPYTPVTSDEDQGYVDLVIKVYLKGVHPKFPEGGKMSQYLDSLKVGDVVEFRGPSGLLTYTGKGHFNIQPNKKSPPEPRVAKKLGMIAGGTGITPMLQLIRAILKVPEDPTQCFLLFANQTEKDIILREDLEELQARYPNRFKLWFTLDHPPKDWAYSKGFVTADMIREHLPAPGDDVLVLLCGPPPMVQLACHPNLDKLGYSQKMRFTY
+>DECOY_sp|Q9UHQ9|NB5R1_HUMAN NADH-cytochrome b5 reductase 1 OS=Homo sapiens OX=9606 GN=CYB5R1 PE=1 SV=1
+YTFRMKQSYGLKDLNPHCALQVMPPPGCLLVLVDDGPAPLHERIMDATVFGKSYAWDKPPHDLTFWLKFRNPYRAQLEELDERLIIDKETQNAFLLFCQTPDEPVKLIARILQLMPTIGTGGAIMGLKKAVRPEPPSKKNPQINFHGKGTYTLLGSPGRFEVVDGVKLSDLYQSMKGGEPFKPHVGKLYVKIVLDVYGQDEDSTVPTYPRIVLSGDIRTSLYIHKGVPLGLTHHATPLAFRFRKTNHSVTTKDLLRLLYKENPDLLTVQPRRSRRVLYSGVALGLLTVLGVGLSALLVPSTQIGM
+>sp|P52298|NCBP2_HUMAN Nuclear cap-binding protein subunit 2 OS=Homo sapiens OX=9606 GN=NCBP2 PE=1 SV=1
+MSGGLLKALRSDSYVELSQYRDQHFRGDNEEQEKLLKKSCTLYVGNLSFYTTEEQIYELFSKSGDIKKIIMGLDKMKKTACGFCFVEYYSRADAENAMRYINGTRLDDRIIRTDWDAGFKEGRQYGRGRSGGQVRDEYRQDYDAGRGGYGKLAQNQ
+>DECOY_sp|P52298|NCBP2_HUMAN Nuclear cap-binding protein subunit 2 OS=Homo sapiens OX=9606 GN=NCBP2 PE=1 SV=1
+QNQALKGYGGRGADYDQRYEDRVQGGSRGRGYQRGEKFGADWDTRIIRDDLRTGNIYRMANEADARSYYEVFCFGCATKKMKDLGMIIKKIDGSKSFLEYIQEETTYFSLNGVYLTCSKKLLKEQEENDGRFHQDRYQSLEVYSDSRLAKLLGGSM
+>sp|Q9Y2A7|NCKP1_HUMAN Nck-associated protein 1 OS=Homo sapiens OX=9606 GN=NCKAP1 PE=1 SV=1
+MSRSVLQPSQQKLAEKLTILNDRGVGMLTRLYNIKKACGDPKAKPSYLIDKNLESAVKFIVRKFPAVETRNNNQQLAQLQKEKSEILKNLALYYFTFVDVMEFKDHVCELLNTIDVCQVFFDITVNFDLTKNYLDLIITYTTLMILLSRIEERKAIIGLYNYAHEMTHGASDREYPRLGQMIVDYENPLKKMMEEFVPHSKSLSDALISLQMVYPRRNLSADQWRNAQLLSLISAPSTMLNPAQSDTMPCEYLSLDAMEKWIIFGFILCHGILNTDATALNLWKLALQSSSCLSLFRDEVFHIHKAAEDLFVNIRGYNKRINDIRECKEAAVSHAGSMHRERRKFLRSALKELATVLSDQPGLLGPKALFVFMALSFARDEIIWLLRHADNMPKKSADDFIDKHIAELIFYMEELRAHVRKYGPVMQRYYVQYLSGFDAVVLNELVQNLSVCPEDESIIMSSFVNTMTSLSVKQVEDGEVFDFRGMRLDWFRLQAYTSVSKASLGLADHRELGKMMNTIIFHTKMVDSLVEMLVETSDLSIFCFYSRAFEKMFQQCLELPSQSRYSIAFPLLCTHFMSCTHELCPEERHHIGDRSLSLCNMFLDEMAKQARNLITDICTEQCTLSDQLLPKHCAKTISQAVNKKSKKQTGKKGEPEREKPGVESMRKNRLVVTNLDKLHTALSELCFSINYVPNMVVWEHTFTPREYLTSHLEIRFTKSIVGMTMYNQATQEIAKPSELLTSVRAYMTVLQSIENYVQIDITRVFNNVLLQQTQHLDSHGEPTITSLYTNWYLETLLRQVSNGHIAYFPAMKAFVNLPTENELTFNAEEYSDISEMRSLSELLGPYGMKFLSESLMWHISSQVAELKKLVVENVDVLTQMRTSFDKPDQMAALFKRLSSVDSVLKRMTIIGVILSFRSLAQEALRDVLSYHIPFLVSSIEDFKDHIPRETDMKVAMNVYELSSAAGLPCEIDPALVVALSSQKSENISPEEEYKIACLLMVFVAVSLPTLASNVMSQYSPAIEGHCNNIHCLAKAINQIAAALFTIHKGSIEDRLKEFLALASSSLLKIGQETDKTTTRNRESVYLLLDMIVQESPFLTMDLLESCFPYVLLRNAYHAVYKQSVTSSA
+>DECOY_sp|Q9Y2A7|NCKP1_HUMAN Nck-associated protein 1 OS=Homo sapiens OX=9606 GN=NCKAP1 PE=1 SV=1
+ASSTVSQKYVAHYANRLLVYPFCSELLDMTLFPSEQVIMDLLLYVSERNRTTTKDTEQGIKLLSSSALALFEKLRDEISGKHITFLAAAIQNIAKALCHINNCHGEIAPSYQSMVNSALTPLSVAVFVMLLCAIKYEEEPSINESKQSSLAVVLAPDIECPLGAASSLEYVNMAVKMDTERPIHDKFDEISSVLFPIHYSLVDRLAEQALSRFSLIVGIITMRKLVSDVSSLRKFLAAMQDPKDFSTRMQTLVDVNEVVLKKLEAVQSSIHWMLSESLFKMGYPGLLESLSRMESIDSYEEANFTLENETPLNVFAKMAPFYAIHGNSVQRLLTELYWNTYLSTITPEGHSDLHQTQQLLVNNFVRTIDIQVYNEISQLVTMYARVSTLLESPKAIEQTAQNYMTMGVISKTFRIELHSTLYERPTFTHEWVVMNPVYNISFCLESLATHLKDLNTVVLRNKRMSEVGPKEREPEGKKGTQKKSKKNVAQSITKACHKPLLQDSLTCQETCIDTILNRAQKAMEDLFMNCLSLSRDGIHHREEPCLEHTCSMFHTCLLPFAISYRSQSPLELCQQFMKEFARSYFCFISLDSTEVLMEVLSDVMKTHFIITNMMKGLERHDALGLSAKSVSTYAQLRFWDLRMGRFDFVEGDEVQKVSLSTMTNVFSSMIISEDEPCVSLNQVLENLVVADFGSLYQVYYRQMVPGYKRVHARLEEMYFILEAIHKDIFDDASKKPMNDAHRLLWIIEDRAFSLAMFVFLAKPGLLGPQDSLVTALEKLASRLFKRRERHMSGAHSVAAEKCERIDNIRKNYGRINVFLDEAAKHIHFVEDRFLSLCSSSQLALKWLNLATADTNLIGHCLIFGFIIWKEMADLSLYECPMTDSQAPNLMTSPASILSLLQANRWQDASLNRRPYVMQLSILADSLSKSHPVFEEMMKKLPNEYDVIMQGLRPYERDSAGHTMEHAYNYLGIIAKREEIRSLLIMLTTYTIILDLYNKTLDFNVTIDFFVQCVDITNLLECVHDKFEMVDVFTFYYLALNKLIESKEKQLQALQQNNNRTEVAPFKRVIFKVASELNKDILYSPKAKPDGCAKKINYLRTLMGVGRDNLITLKEALKQQSPQLVSRSM
+>sp|P55160|NCKPL_HUMAN Nck-associated protein 1-like OS=Homo sapiens OX=9606 GN=NCKAP1L PE=1 SV=3
+MSLTSAYQHKLAEKLTILNDRGQGVLIRMYNIKKTCSDPKSKPPFLLEKSMEPSLKYINKKFPNIDVRNSTQHLGPVHREKAEIIRFLTNYYQSFVDVMEFRDHVYELLNTIDACQCHFDINLNFDFTRSYLDLIVTYTSVILLLSRIEDRRILIGMYNCAHEMLHGHGDPSFARLGQMVLEYDHPLKKLTEEFGPHTKAVSGALLSLHFLFVRRNQGAEQWRSAQLLSLISNPPAMINPANSDTMACEYLSVEVMERWIIIGFLLCHGCLNSNSQCQKLWKLCLQGSLYITLIREDVLQVHKVTEDLFSSLKGYGKRVADIKESKEHVIANSGQFHCQRRQFLRMAVKELETVLADEPGLLGPKALFAFMALSFIRDEVTWLVRHTENVTKTKTPEDYADSSIAELLFLLEGIRSLVRRHIKVIQQYHLQYLARFDALVLSDIIQNLSVCPEEESIIMSSFVSILSSLNLKQVDNGEKFEFSGLRLDWFRLQAYTSVAKAPLHLHENPDLAKVMNLIVFHSRMLDSVEKLLVETSDLSTFCFHLRIFEKMFAMTLEESAMLRYAIAFPLICAHFVHCTHEMCPEEYPHLKNHGLHHCNSFLEELAKQTSNCVLEICAEQRNLSEQLLPKHCATTISKAKNKKTRKQRQTPRKGEPERDKPGAESHRKNRSIVTNMDKLHLNLTELALTMNHVYSFSVFEHTIFPSEYLSSHLEARLNRAIVWLAGYNATTQEIVRPSELLAGVKAYIGFIQSLAQFLGADASRVIRNALLQQTQPLDSCGEQTITTLYTNWYLESLLRQASSGTIILSPAMQAFVSLPREGEQNFSAEEFSDISEMRALAELLGPYGMKFLSENLMWHVTSQIVELKKLVVENMDILVQIRSNFSKPDLMASLLPQLTGAENVLKRMTIIGVILSFRAMAQEGLREVFSSHCPFLMGPIECLKEFVTPDTDIKVTLSIFELASAAGVGCDIDPALVAAIANLKADTSSPEEEYKVACLLLIFLAVSLPLLATDPSSFYSIEKDGYNNNIHCLTKAIIQVSAALFTLYNKNIETHLKEFLVVASVSLLQLGQETDKLKTRNRESISLLMRLVVEESSFLTLDMLESCFPYVLLRNAYREVSRAFHLN
+>DECOY_sp|P55160|NCKPL_HUMAN Nck-associated protein 1-like OS=Homo sapiens OX=9606 GN=NCKAP1L PE=1 SV=3
+NLHFARSVERYANRLLVYPFCSELMDLTLFSSEEVVLRMLLSISERNRTKLKDTEQGLQLLSVSAVVLFEKLHTEINKNYLTFLAASVQIIAKTLCHINNNYGDKEISYFSSPDTALLPLSVALFILLLCAVKYEEEPSSTDAKLNAIAAVLAPDIDCGVGAASALEFISLTVKIDTDPTVFEKLCEIPGMLFPCHSSFVERLGEQAMARFSLIVGIITMRKLVNEAGTLQPLLSAMLDPKSFNSRIQVLIDMNEVVLKKLEVIQSTVHWMLNESLFKMGYPGLLEALARMESIDSFEEASFNQEGERPLSVFAQMAPSLIITGSSAQRLLSELYWNTYLTTITQEGCSDLPQTQQLLANRIVRSADAGLFQALSQIFGIYAKVGALLESPRVIEQTTANYGALWVIARNLRAELHSSLYESPFITHEFVSFSYVHNMTLALETLNLHLKDMNTVISRNKRHSEAGPKDREPEGKRPTQRQKRTKKNKAKSITTACHKPLLQESLNRQEACIELVCNSTQKALEELFSNCHHLGHNKLHPYEEPCMEHTCHVFHACILPFAIAYRLMASEELTMAFMKEFIRLHFCFTSLDSTEVLLKEVSDLMRSHFVILNMVKALDPNEHLHLPAKAVSTYAQLRFWDLRLGSFEFKEGNDVQKLNLSSLISVFSSMIISEEEPCVSLNQIIDSLVLADFRALYQLHYQQIVKIHRRVLSRIGELLFLLEAISSDAYDEPTKTKTVNETHRVLWTVEDRIFSLAMFAFLAKPGLLGPEDALVTELEKVAMRLFQRRQCHFQGSNAIVHEKSEKIDAVRKGYGKLSSFLDETVKHVQLVDERILTIYLSGQLCLKWLKQCQSNSNLCGHCLLFGIIIWREMVEVSLYECAMTDSNAPNIMAPPNSILSLLQASRWQEAGQNRRVFLFHLSLLAGSVAKTHPGFEETLKKLPHDYELVMQGLRAFSPDGHGHLMEHACNYMGILIRRDEIRSLLLIVSTYTVILDLYSRTFDFNLNIDFHCQCADITNLLEYVHDRFEMVDVFSQYYNTLFRIIEAKERHVPGLHQTSNRVDINPFKKNIYKLSPEMSKELLFPPKSKPDSCTKKINYMRILVGQGRDNLITLKEALKHQYASTLSM
+>sp|Q9HC58|NCKX3_HUMAN Sodium/potassium/calcium exchanger 3 OS=Homo sapiens OX=9606 GN=SLC24A3 PE=2 SV=4
+MRPSGDEDRARRRRRRRRRRDLLLSQLCFLASVALLLWSLSSLREQKELDLMDLVGEDRKWMMARKLMQVNDTLTSEDAGLRNSKNCTEPALHEFPNDIFTNEDRRQGAVVLHVLCAIYMFYALAIVCDDFFVPSLEKICERLHLSEDVAGATFMAAGSSAPELFTSVIGVFITKGDVGVGTIVGSAVFNILCIIGVCGLFAGQVVALSSWCLLRDSIYYTLSVIALIVFIYDEKVSWWESLVLVLMYLIYIVIMKYNACIHQCFERRTKGAGNMVNGLANNAEIDDSSNCDATVVLLKKANFHRKASVIMVDELLSAYPHQLSFSEAGLRIMITSHFPPKTRLSMASRMLINERQRLINSRAYTNGESEVAIKIPIKHTVENGTGPSSAPDRGVNGTRRDDVVAEAGNETENENEDNENDEEEEEDEDDDEGPYTPFDTPSGKLETVKWAFTWPLSFVLYFTVPNCNKPRWEKWFMVTFASSTLWIAAFSYMMVWMVTIIGYTLGIPDVIMGITFLAAGTSVPDCMASLIVARQGMGDMAVSNSIGSNVFDILIGLGLPWALQTLAVDYGSYIRLNSRGLIYSVGLLLASVFVTVFGVHLNKWQLDKKLGCGCLLLYGVFLCFSIMTEFNVFTFVNLPMCGDH
+>DECOY_sp|Q9HC58|NCKX3_HUMAN Sodium/potassium/calcium exchanger 3 OS=Homo sapiens OX=9606 GN=SLC24A3 PE=2 SV=4
+HDGCMPLNVFTFVNFETMISFCLFVGYLLLCGCGLKKDLQWKNLHVGFVTVFVSALLLGVSYILGRSNLRIYSGYDVALTQLAWPLGLGILIDFVNSGISNSVAMDGMGQRAVILSAMCDPVSTGAALFTIGMIVDPIGLTYGIITVMWVMMYSFAAIWLTSSAFTVMFWKEWRPKNCNPVTFYLVFSLPWTFAWKVTELKGSPTDFPTYPGEDDDEDEEEEEDNENDENENETENGAEAVVDDRRTGNVGRDPASSPGTGNEVTHKIPIKIAVESEGNTYARSNILRQRENILMRSAMSLRTKPPFHSTIMIRLGAESFSLQHPYASLLEDVMIVSAKRHFNAKKLLVVTADCNSSDDIEANNALGNVMNGAGKTRREFCQHICANYKMIVIYILYMLVLVLSEWWSVKEDYIFVILAIVSLTYYISDRLLCWSSLAVVQGAFLGCVGIICLINFVASGVITGVGVDGKTIFVGIVSTFLEPASSGAAMFTAGAVDESLHLRECIKELSPVFFDDCVIALAYFMYIACLVHLVVAGQRRDENTFIDNPFEHLAPETCNKSNRLGADESTLTDNVQMLKRAMMWKRDEGVLDMLDLEKQERLSSLSWLLLAVSALFCLQSLLLDRRRRRRRRRRARDEDGSPRM
+>sp|Q969V3|NCLN_HUMAN Nicalin OS=Homo sapiens OX=9606 GN=NCLN PE=1 SV=2
+MLEEAGEVLENMLKASCLPLGFIVFLPAVLLLVAPPLPAADAAHEFTVYRMQQYDLQGQPYGTRNAVLNTEARTMAAEVLSRRCVLMRLLDFSYEQYQKALRQSAGAVVIILPRAMAAVPQDVVRQFMEIEPEMLAMETAVPVYFAVEDEALLSIYKQTQAASASQGSASAAEVLLRTATANGFQMVTSGVQSKAVSDWLIASVEGRLTGLGGEDLPTIVIVAHYDAFGVAPWLSLGADSNGSGVSVLLELARLFSRLYTYKRTHAAYNLLFFASGGGKFNYQGTKRWLEDNLDHTDSSLLQDNVAFVLCLDTVGRGSSLHLHVSKPPREGTLQHAFLRELETVAAHQFPEVRFSMVHKRINLAEDVLAWEHERFAIRRLPAFTLSHLESHRDGQRSSIMDVRSRVDSKTLTRNTRIIAEALTRVIYNLTEKGTPPDMPVFTEQMQIQQEQLDSVMDWLTNQPRAAQLVDKDSTFLSTLEHHLSRYLKDVKQHHVKADKRDPEFVFYDQLKQVMNAYRVKPAVFDLLLAVGIAAYLGMAYVAVQHFSLLYKTVQRLLVKAKTQ
+>DECOY_sp|Q969V3|NCLN_HUMAN Nicalin OS=Homo sapiens OX=9606 GN=NCLN PE=1 SV=2
+QTKAKVLLRQVTKYLLSFHQVAVYAMGLYAAIGVALLLDFVAPKVRYANMVQKLQDYFVFEPDRKDAKVHHQKVDKLYRSLHHELTSLFTSDKDVLQAARPQNTLWDMVSDLQEQQIQMQETFVPMDPPTGKETLNYIVRTLAEAIIRTNRTLTKSDVRSRVDMISSRQGDRHSELHSLTFAPLRRIAFREHEWALVDEALNIRKHVMSFRVEPFQHAAVTELERLFAHQLTGERPPKSVHLHLSSGRGVTDLCLVFAVNDQLLSSDTHDLNDELWRKTGQYNFKGGGSAFFLLNYAAHTRKYTYLRSFLRALELLVSVGSGNSDAGLSLWPAVGFADYHAVIVITPLDEGGLGTLRGEVSAILWDSVAKSQVGSTVMQFGNATATRLLVEAASASGQSASAAQTQKYISLLAEDEVAFYVPVATEMALMEPEIEMFQRVVDQPVAAMARPLIIVVAGASQRLAKQYQEYSFDLLRMLVCRRSLVEAAMTRAETNLVANRTGYPQGQLDYQQMRYVTFEHAADAAPLPPAVLLLVAPLFVIFGLPLCSAKLMNELVEGAEELM
+>sp|Q15788|NCOA1_HUMAN Nuclear receptor coactivator 1 OS=Homo sapiens OX=9606 GN=NCOA1 PE=1 SV=3
+MSGLGDSSSDPANPDSHKRKGSPCDTLASSTEKRRREQENKYLEELAELLSANISDIDSLSVKPDKCKILKKTVDQIQLMKRMEQEKSTTDDDVQKSDISSSSQGVIEKESLGPLLLEALDGFFFVVNCEGRIVFVSENVTSYLGYNQEELMNTSVYSILHVGDHAEFVKNLLPKSLVNGVPWPQEATRRNSHTFNCRMLIHPPDEPGTENQEACQRYEVMQCFTVSQPKSIQEDGEDFQSCLICIARRLPRPPAITGVESFMTKQDTTGKIISIDTSSLRAAGRTGWEDLVRKCIYAFFQPQGREPSYARQLFQEVMTRGTASSPSYRFILNDGTMLSAHTKCKLCYPQSPDMQPFIMGIHIIDREHSGLSPQDDTNSGMSIPRVNPSVNPSISPAHGVARSSTLPPSNSNMVSTRINRQQSSDLHSSSHSNSSNSQGSFGCSPGSQIVANVALNQGQASSQSSNPSLNLNNSPMEGTGISLAQFMSPRRQVTSGLATRPRMPNNSFPPNISTLSSPVGMTSSACNNNNRSYSNIPVTSLQGMNEGPNNSVGFSASSPVLRQMSSQNSPSRLNIQPAKAESKDNKEIASILNEMIQSDNSSSDGKPLDSGLLHNNDRLSDGDSKYSQTSHKLVQLLTTTAEQQLRHADIDTSCKDVLSCTGTSNSASANSSGGSCPSSHSSLTERHKILHRLLQEGSPSDITTLSVEPDKKDSASTSVSVTGQVQGNSSIKLELDASKKKESKDHQLLRYLLDKDEKDLRSTPNLSLDDVKVKVEKKEQMDPCNTNPTPMTKPTPEEIKLEAQSQFTADLDQFDQLLPTLEKAAQLPGLCETDRMDGAVTSVTIKSEILPASLQSATARPTSRLNRLPELELEAIDNQFGQPGTGDQIPWTNNTVTAINQSKSEDQCISSQLDELLCPPTTVEGRNDEKALLEQLVSFLSGKDETELAELDRALGIDKLVQGGGLDVLSERFPPQQATPPLIMEERPNLYSQPYSSPSPTANLPSPFQGMVRQKPSLGTMPVQVTPPRGAFSPGMGMQPRQTLNRPPAAPNQLRLQLQQRLQGQQQLIHQNRQAILNQFAATAPVGINMRSGMQQQITPQPPLNAQMLAQRQRELYSQQHRQRQLIQQQRAMLMRQQSFGNNLPPSSGLPVQMGNPRLPQGAPQQFPYPPNYGTNPGTPPASTSPFSQLAANPEASLANRNSMVSRGMTGNIGGQFGTGINPQMQQNVFQYPGAGMVPQGEANFAPSLSPGSSMVPMPIPPPQSSLLQQTPPASGYQSPDMKAWQQGAIGNNNVFSQAVQNQPTPAQPGVYNNMSITVSMAGGNTNVQNMNPMMAQMQMSSLQMPGMNTVCPEQINDPALRHTGLYCNQLSSTDLLKTEADGTQQVQQVQVFADVQCTVNLVGGDPYLNQPGPLGTQKPTSGPQTPQAQQKSLLQQLLTE
+>DECOY_sp|Q15788|NCOA1_HUMAN Nuclear receptor coactivator 1 OS=Homo sapiens OX=9606 GN=NCOA1 PE=1 SV=3
+ETLLQQLLSKQQAQPTQPGSTPKQTGLPGPQNLYPDGGVLNVTCQVDAFVQVQQVQQTGDAETKLLDTSSLQNCYLGTHRLAPDNIQEPCVTNMGPMQLSSMQMQAMMPNMNQVNTNGGAMSVTISMNNYVGPQAPTPQNQVAQSFVNNNGIAGQQWAKMDPSQYGSAPPTQQLLSSQPPPIPMPVMSSGPSLSPAFNAEGQPVMGAGPYQFVNQQMQPNIGTGFQGGINGTMGRSVMSNRNALSAEPNAALQSFPSTSAPPTGPNTGYNPPYPFQQPAGQPLRPNGMQVPLGSSPPLNNGFSQQRMLMARQQQILQRQRHQQSYLERQRQALMQANLPPQPTIQQQMGSRMNIGVPATAAFQNLIAQRNQHILQQQGQLRQQLQLRLQNPAAPPRNLTQRPQMGMGPSFAGRPPTVQVPMTGLSPKQRVMGQFPSPLNATPSPSSYPQSYLNPREEMILPPTAQQPPFRESLVDLGGGQVLKDIGLARDLEALETEDKGSLFSVLQELLAKEDNRGEVTTPPCLLEDLQSSICQDESKSQNIATVTNNTWPIQDGTGPQGFQNDIAELELEPLRNLRSTPRATASQLSAPLIESKITVSTVAGDMRDTECLGPLQAAKELTPLLQDFQDLDATFQSQAELKIEEPTPKTMPTPNTNCPDMQEKKEVKVKVDDLSLNPTSRLDKEDKDLLYRLLQHDKSEKKKSADLELKISSNGQVQGTVSVSTSASDKKDPEVSLTTIDSPSGEQLLRHLIKHRETLSSHSSPCSGGSSNASASNSTGTCSLVDKCSTDIDAHRLQQEATTTLLQVLKHSTQSYKSDGDSLRDNNHLLGSDLPKGDSSSNDSQIMENLISAIEKNDKSEAKAPQINLRSPSNQSSMQRLVPSSASFGVSNNPGENMGQLSTVPINSYSRNNNNCASSTMGVPSSLTSINPPFSNNPMRPRTALGSTVQRRPSMFQALSIGTGEMPSNNLNLSPNSSQSSAQGQNLAVNAVIQSGPSCGFSGQSNSSNSHSSSHLDSSQQRNIRTSVMNSNSPPLTSSRAVGHAPSISPNVSPNVRPISMGSNTDDQPSLGSHERDIIHIGMIFPQMDPSQPYCLKCKTHASLMTGDNLIFRYSPSSATGRTMVEQFLQRAYSPERGQPQFFAYICKRVLDEWGTRGAARLSSTDISIIKGTTDQKTMFSEVGTIAPPRPLRRAICILCSQFDEGDEQISKPQSVTFCQMVEYRQCAEQNETGPEDPPHILMRCNFTHSNRRTAEQPWPVGNVLSKPLLNKVFEAHDGVHLISYVSTNMLEEQNYGLYSTVNESVFVIRGECNVVFFFGDLAELLLPGLSEKEIVGQSSSSIDSKQVDDDTTSKEQEMRKMLQIQDVTKKLIKCKDPKVSLSDIDSINASLLEALEELYKNEQERRRKETSSALTDCPSGKRKHSDPNAPDSSSDGLGSM
+>sp|Q9Y6Q9|NCOA3_HUMAN Nuclear receptor coactivator 3 OS=Homo sapiens OX=9606 GN=NCOA3 PE=1 SV=1
+MSGLGENLDPLASDSRKRKLPCDTPGQGLTCSGEKRRREQESKYIEELAELISANLSDIDNFNVKPDKCAILKETVRQIRQIKEQGKTISNDDDVQKADVSSTGQGVIDKDSLGPLLLQALDGFLFVVNRDGNIVFVSENVTQYLQYKQEDLVNTSVYNILHEEDRKDFLKNLPKSTVNGVSWTNETQRQKSHTFNCRMLMKTPHDILEDINASPEMRQRYETMQCFALSQPRAMMEEGEDLQSCMICVARRITTGERTFPSNPESFITRHDLSGKVVNIDTNSLRSSMRPGFEDIIRRCIQRFFSLNDGQSWSQKRHYQEAYLNGHAETPVYRFSLADGTIVTAQTKSKLFRNPVTNDRHGFVSTHFLQREQNGYRPNPNPVGQGIRPPMAGCNSSVGGMSMSPNQGLQMPSSRAYGLADPSTTGQMSGARYGGSSNIASLTPGPGMQSPSSYQNNNYGLNMSSPPHGSPGLAPNQQNIMISPRNRGSPKIASHQFSPVAGVHSPMASSGNTGNHSFSSSSLSALQAISEGVGTSLLSTLSSPGPKLDNSPNMNITQPSKVSNQDSKSPLGFYCDQNPVESSMCQSNSRDHLSDKESKESSVEGAENQRGPLESKGHKKLLQLLTCSSDDRGHSSLTNSPLDSSCKESSVSVTSPSGVSSSTSGGVSSTSNMHGSLLQEKHRILHKLLQNGNSPAEVAKITAEATGKDTSSITSCGDGNVVKQEQLSPKKKENNALLRYLLDRDDPSDALSKELQPQVEGVDNKMSQCTSSTIPSSSQEKDPKIKTETSEEGSGDLDNLDAILGDLTSSDFYNNSISSNGSHLGTKQQVFQGTNSLGLKSSQSVQSIRPPYNRAVSLDSPVSVGSSPPVKNISAFPMLPKQPMLGGNPRMMDSQENYGSSMGGPNRNVTVTQTPSSGDWGLPNSKAGRMEPMNSNSMGRPGGDYNTSLPRPALGGSIPTLPLRSNSIPGARPVLQQQQQMLQMRPGEIPMGMGANPYGQAAASNQLGSWPDGMLSMEQVSHGTQNRPLLRNSLDDLVGPPSNLEGQSDERALLDQLHTLLSNTDATGLEEIDRALGIPELVNQGQALEPKQDAFQGQEAAVMMDQKAGLYGQTYPAQGPPMQGGFHLQGQSPSFNSMMNQMNQQGNFPLQGMHPRANIMRPRTNTPKQLRMQLQQRLQGQQFLNQSRQALELKMENPTAGGAAVMRPMMQPQVSSQQGFLNAQMVAQRSRELLSHHFRQQRVAMMMQQQQQQQQQQQQQQQQQQQQQQQQQQQQQTQAFSPPPNVTASPSMDGLLAGPTMPQAPPQQFPYQPNYGMGQQPDPAFGRVSSPPNAMMSSRMGPSQNPMMQHPQAASIYQSSEMKGWPSGNLARNSSFSQQQFAHQGNPAVYSMVHMNGSSGHMGQMNMNPMPMSGMPMGPDQKYC
+>DECOY_sp|Q9Y6Q9|NCOA3_HUMAN Nuclear receptor coactivator 3 OS=Homo sapiens OX=9606 GN=NCOA3 PE=1 SV=1
+CYKQDPGMPMGSMPMPNMNMQGMHGSSGNMHVMSYVAPNGQHAFQQQSFSSNRALNGSPWGKMESSQYISAAQPHQMMPNQSPGMRSSMMANPPSSVRGFAPDPQQGMGYNPQYPFQQPPAQPMTPGALLGDMSPSATVNPPPSFAQTQQQQQQQQQQQQQQQQQQQQQQQQQQQQQMMMAVRQQRFHHSLLERSRQAVMQANLFGQQSSVQPQMMPRMVAAGGATPNEMKLELAQRSQNLFQQGQLRQQLQMRLQKPTNTRPRMINARPHMGQLPFNGQQNMQNMMSNFSPSQGQLHFGGQMPPGQAPYTQGYLGAKQDMMVAAEQGQFADQKPELAQGQNVLEPIGLARDIEELGTADTNSLLTHLQDLLAREDSQGELNSPPGVLDDLSNRLLPRNQTGHSVQEMSLMGDPWSGLQNSAAAQGYPNAGMGMPIEGPRMQLMQQQQQLVPRAGPISNSRLPLTPISGGLAPRPLSTNYDGGPRGMSNSNMPEMRGAKSNPLGWDGSSPTQTVTVNRNPGGMSSGYNEQSDMMRPNGGLMPQKPLMPFASINKVPPSSGVSVPSDLSVARNYPPRISQVSQSSKLGLSNTGQFVQQKTGLHSGNSSISNNYFDSSTLDGLIADLNDLDGSGEESTETKIKPDKEQSSSPITSSTCQSMKNDVGEVQPQLEKSLADSPDDRDLLYRLLANNEKKKPSLQEQKVVNGDGCSTISSTDKGTAEATIKAVEAPSNGNQLLKHLIRHKEQLLSGHMNSTSSVGGSTSSSVGSPSTVSVSSEKCSSDLPSNTLSSHGRDDSSCTLLQLLKKHGKSELPGRQNEAGEVSSEKSEKDSLHDRSNSQCMSSEVPNQDCYFGLPSKSDQNSVKSPQTINMNPSNDLKPGPSSLTSLLSTGVGESIAQLASLSSSSFSHNGTNGSSAMPSHVGAVPSFQHSAIKPSGRNRPSIMINQQNPALGPSGHPPSSMNLGYNNNQYSSPSQMGPGPTLSAINSSGGYRAGSMQGTTSPDALGYARSSPMQLGQNPSMSMGGVSSNCGAMPPRIGQGVPNPNPRYGNQERQLFHTSVFGHRDNTVPNRFLKSKTQATVITGDALSFRYVPTEAHGNLYAEQYHRKQSWSQGDNLSFFRQICRRIIDEFGPRMSSRLSNTDINVVKGSLDHRTIFSEPNSPFTREGTTIRRAVCIMCSQLDEGEEMMARPQSLAFCQMTEYRQRMEPSANIDELIDHPTKMLMRCNFTHSKQRQTENTWSVGNVTSKPLNKLFDKRDEEHLINYVSTNVLDEQKYQLYQTVNESVFVINGDRNVVFLFGDLAQLLLPGLSDKDIVGQGTSSVDAKQVDDDNSITKGQEKIQRIQRVTEKLIACKDPKVNFNDIDSLNASILEALEEIYKSEQERRRKEGSCTLGQGPTDCPLKRKRSDSALPDLNEGLGSM
+>sp|Q13232|NDK3_HUMAN Nucleoside diphosphate kinase 3 OS=Homo sapiens OX=9606 GN=NME3 PE=1 SV=2
+MICLVLTIFANLFPAACTGAHERTFLAVKPDGVQRRLVGEIVRRFERKGFKLVALKLVQASEELLREHYAELRERPFYGRLVKYMASGPVVAMVWQGLDVVRTSRALIGATNPADAPPGTIRGDFCIEVGKNLIHGSDSVESARREIALWFRADELLCWEDSAGHWLYE
+>DECOY_sp|Q13232|NDK3_HUMAN Nucleoside diphosphate kinase 3 OS=Homo sapiens OX=9606 GN=NME3 PE=1 SV=2
+EYLWHGASDEWCLLEDARFWLAIERRASEVSDSGHILNKGVEICFDGRITGPPADAPNTAGILARSTRVVDLGQWVMAVVPGSAMYKVLRGYFPRERLEAYHERLLEESAQVLKLAVLKFGKREFRRVIEGVLRRQVGDPKVALFTREHAGTCAAPFLNAFITLVLCIM
+>sp|O75414|NDK6_HUMAN Nucleoside diphosphate kinase 6 OS=Homo sapiens OX=9606 GN=NME6 PE=1 SV=3
+MASILRSPQALQLTLALIKPDAVAHPLILEAVHQQILSNKFLIVRMRELLWRKEDCQRFYREHEGRFFYQRLVEFMASGPIRAYILAHKDAIQLWRTLMGPTRVFRARHVAPDSIRGSFGLTDTRNTTHGSDSVVSASREIAAFFPDFSEQRWYEEEEPQLRCGPVCYSPEGGVHYVAGTGGLGPA
+>DECOY_sp|O75414|NDK6_HUMAN Nucleoside diphosphate kinase 6 OS=Homo sapiens OX=9606 GN=NME6 PE=1 SV=3
+APGLGGTGAVYHVGGEPSYCVPGCRLQPEEEEYWRQESFDPFFAAIERSASVVSDSGHTTNRTDTLGFSGRISDPAVHRARFVRTPGMLTRWLQIADKHALIYARIPGSAMFEVLRQYFFRGEHERYFRQCDEKRWLLERMRVILFKNSLIQQHVAELILPHAVADPKILALTLQLAQPSRLISAM
+>sp|Q8N9A8|NEPR1_HUMAN Nuclear envelope phosphatase-regulatory subunit 1 OS=Homo sapiens OX=9606 GN=CNEP1R1 PE=1 SV=1
+MNSLEQAEDLKAFERRLTEYIHCLQPATGRWRMLLIVVSVCTATGAWNWLIDPETQKVSFFTSLWNHPFFTISCITLIGLFFAGIHKRVVAPSIIAARCRTVLAEYNMSCDDTGKLILKPRPHVQ
+>DECOY_sp|Q8N9A8|NEPR1_HUMAN Nuclear envelope phosphatase-regulatory subunit 1 OS=Homo sapiens OX=9606 GN=CNEP1R1 PE=1 SV=1
+QVHPRPKLILKGTDDCSMNYEALVTRCRAAIISPAVVRKHIGAFFLGILTICSITFFPHNWLSTFFSVKQTEPDILWNWAGTATCVSVVILLMRWRGTAPQLCHIYETLRREFAKLDEAQELSNM
+>sp|Q16621|NFE2_HUMAN Transcription factor NF-E2 45 kDa subunit OS=Homo sapiens OX=9606 GN=NFE2 PE=1 SV=1
+MSPCPPQQSRNRVIQLSTSELGEMELTWQEIMSITELQGLNAPSEPSFEPQAPAPYLGPPPPTTYCPCSIHPDSGFPLPPPPYELPASTSHVPDPPYSYGNMAIPVSKPLSLSGLLSEPLQDPLALLDIGLPAGPPKPQEDPESDSGLSLNYSDAESLELEGTEAGRRRSEYVEMYPVEYPYSLMPNSLAHSNYTLPAAETPLALEPSSGPVRAKPTARGEAGSRDERRALAMKIPFPTDKIVNLPVDDFNELLARYPLTESQLALVRDIRRRGKNKVAAQNCRKRKLETIVQLERELERLTNERERLLRARGEADRTLEVMRQQLTELYRDIFQHLRDESGNSYSPEEYALQQAADGTIFLVPRGTKMEATD
+>DECOY_sp|Q16621|NFE2_HUMAN Transcription factor NF-E2 45 kDa subunit OS=Homo sapiens OX=9606 GN=NFE2 PE=1 SV=1
+DTAEMKTGRPVLFITGDAAQQLAYEEPSYSNGSEDRLHQFIDRYLETLQQRMVELTRDAEGRARLLRERENTLRELERELQVITELKRKRCNQAAVKNKGRRRIDRVLALQSETLPYRALLENFDDVPLNVIKDTPFPIKMALARREDRSGAEGRATPKARVPGSSPELALPTEAAPLTYNSHALSNPMLSYPYEVPYMEVYESRRRGAETGELELSEADSYNLSLGSDSEPDEQPKPPGAPLGIDLLALPDQLPESLLGSLSLPKSVPIAMNGYSYPPDPVHSTSAPLEYPPPPLPFGSDPHISCPCYTTPPPPGLYPAPAQPEFSPESPANLGQLETISMIEQWTLEMEGLESTSLQIVRNRSQQPPCPSM
+>sp|Q14938|NFIX_HUMAN Nuclear factor 1 X-type OS=Homo sapiens OX=9606 GN=NFIX PE=1 SV=2
+MYSPYCLTQDEFHPFIEALLPHVRAFSYTWFNLQARKRKYFKKHEKRMSKDEERAVKDELLGEKPEIKQKWASRLLAKLRKDIRPEFREDFVLTITGKKPPCCVLSNPDQKGKIRRIDCLRQADKVWRLDLVMVILFKGIPLESTDGERLYKSPQCSNPGLCVQPHHIGVTIKELDLYLAYFVHTPESGQSDSSNQQGDADIKPLPNGHLSFQDCFVTSGVWNVTELVRVSQTPVATASGPNFSLADLESPSYYNINQVTLGRRSITSPPSTSTTKRPKSIDDSEMESPVDDVFYPGTGRSPAAGSSQSSGWPNDVDAGPASLKKSGKLDFCSALSSQGSSPRMAFTHHPLPVLAGVRPGSPRATASALHFPSTSIIQQSSPYFTHPTIRYHHHHGQDSLKEFVQFVCSDGSGQATGQPNGSGQGKVPGSFLLPPPPPVARPVPLPMPDSKSTSTAPDGAALTPPSPSFATTGASSANRFVSIGPRDGNFLNIPQQSQSWFL
+>DECOY_sp|Q14938|NFIX_HUMAN Nuclear factor 1 X-type OS=Homo sapiens OX=9606 GN=NFIX PE=1 SV=2
+LFWSQSQQPINLFNGDRPGISVFRNASSAGTTAFSPSPPTLAAGDPATSTSKSDPMPLPVPRAVPPPPPLLFSGPVKGQGSGNPQGTAQGSGDSCVFQVFEKLSDQGHHHHYRITPHTFYPSSQQIISTSPFHLASATARPSGPRVGALVPLPHHTFAMRPSSGQSSLASCFDLKGSKKLSAPGADVDNPWGSSQSSGAAPSRGTGPYFVDDVPSEMESDDISKPRKTTSTSPPSTISRRGLTVQNINYYSPSELDALSFNPGSATAVPTQSVRVLETVNWVGSTVFCDQFSLHGNPLPKIDADGQQNSSDSQGSEPTHVFYALYLDLEKITVGIHHPQVCLGPNSCQPSKYLREGDTSELPIGKFLIVMVLDLRWVKDAQRLCDIRRIKGKQDPNSLVCCPPKKGTITLVFDERFEPRIDKRLKALLRSAWKQKIEPKEGLLEDKVAREEDKSMRKEHKKFYKRKRAQLNFWTYSFARVHPLLAEIFPHFEDQTLCYPSYM
+>sp|Q9NYZ2|MFRN1_HUMAN Mitoferrin-1 OS=Homo sapiens OX=9606 GN=SLC25A37 PE=2 SV=2
+MELRSGSVGSQAVARRMDGDSRDGGGGKDATGSEDYENLPTSASVSTHMTAGAMAGILEHSVMYPVDSVKTRMQSLSPDPKAQYTSIYGALKKIMRTEGFWRPLRGVNVMIMGAGPAHAMYFACYENMKRTLNDVFHHQGNSHLANGIAGSMATLLHDAVMNPAEVVKQRLQMYNSQHRSAISCIRTVWRTEGLGAFYRSYTTQLTMNIPFQSIHFITYEFLQEQVNPHRTYNPQSHIISGGLAGALAAAATTPLDVCKTLLNTQENVALSLANISGRLSGMANAFRTVYQLNGLAGYFKGIQARVIYQMPSTAISWSVYEFFKYFLTKRQLENRAPY
+>DECOY_sp|Q9NYZ2|MFRN1_HUMAN Mitoferrin-1 OS=Homo sapiens OX=9606 GN=SLC25A37 PE=2 SV=2
+YPARNELQRKTLFYKFFEYVSWSIATSPMQYIVRAQIGKFYGALGNLQYVTRFANAMGSLRGSINALSLAVNEQTNLLTKCVDLPTTAAAALAGALGGSIIHSQPNYTRHPNVQEQLFEYTIFHISQFPINMTLQTTYSRYFAGLGETRWVTRICSIASRHQSNYMQLRQKVVEAPNMVADHLLTAMSGAIGNALHSNGQHHFVDNLTRKMNEYCAFYMAHAPGAGMIMVNVGRLPRWFGETRMIKKLAGYISTYQAKPDPSLSQMRTKVSDVPYMVSHELIGAMAGATMHTSVSASTPLNEYDESGTADKGGGGDRSDGDMRRAVAQSGVSGSRLEM
+>sp|Q96A46|MFRN2_HUMAN Mitoferrin-2 OS=Homo sapiens OX=9606 GN=SLC25A28 PE=2 SV=1
+MELEGRGAGGVAGGPAAGPGRSPGESALLDGWLQRGVGRGAGGGEAGACRPPVRQDPDSGPDYEALPAGATVTTHMVAGAVAGILEHCVMYPIDCVKTRMQSLQPDPAARYRNVLEALWRIIRTEGLWRPMRGLNVTATGAGPAHALYFACYEKLKKTLSDVIHPGGNSHIANGAAGCVATLLHDAAMNPAEVVKQRMQMYNSPYHRVTDCVRAVWQNEGAGAFYRSYTTQLTMNVPFQAIHFMTYEFLQEHFNPQRRYNPSSHVLSGACAGAVAAAATTPLDVCKTLLNTQESLALNSHITGHITGMASAFRTVYQVGGVTAYFRGVQARVIYQIPSTAIAWSVYEFFKYLITKRQEEWRAGK
+>DECOY_sp|Q96A46|MFRN2_HUMAN Mitoferrin-2 OS=Homo sapiens OX=9606 GN=SLC25A28 PE=2 SV=1
+KGARWEEQRKTILYKFFEYVSWAIATSPIQYIVRAQVGRFYATVGGVQYVTRFASAMGTIHGTIHSNLALSEQTNLLTKCVDLPTTAAAAVAGACAGSLVHSSPNYRRQPNFHEQLFEYTMFHIAQFPVNMTLQTTYSRYFAGAGENQWVARVCDTVRHYPSNYMQMRQKVVEAPNMAADHLLTAVCGAAGNAIHSNGGPHIVDSLTKKLKEYCAFYLAHAPGAGTATVNLGRMPRWLGETRIIRWLAELVNRYRAAPDPQLSQMRTKVCDIPYMVCHELIGAVAGAVMHTTVTAGAPLAEYDPGSDPDQRVPPRCAGAEGGGAGRGVGRQLWGDLLASEGPSRGPGAAPGGAVGGAGRGELEM
+>sp|Q5TF39|MFS4B_HUMAN Sodium-dependent glucose transporter 1 OS=Homo sapiens OX=9606 GN=MFSD4B PE=2 SV=1
+MLCASFLGLGLSVAIVGPTFQDLATNVNRNISSLSFIFVGRALGYLSGSVIGGFLVDVMNYFLLLGISMSATTVGLYLVPFCKTAILLTVMMSIFGVSIGILDTGGNVLILAIWGDKGAPHMQALHFSFALGAFLAPLLAKLALGPTASAENHTESDFHPALNQSSDADSEALFGVPNDKNLLWAYAVIGTYMFLVSVIFFCLFLKNSSKQEKARASAETFRRAKYHNALLCLLFLFFFFYVGAEVTYGSYVFSFATTHAGMKESEAAGLNSIFWGTFAACRGLAIFFATCLQPGTMIVLSNIGSLTSSLFLVLFDKNPICLWIATSVYGASMATTFPSGVSWIEQYTTIHGKSAAFFVIGASLGEMAIPAVIGILQGKYPDLPVVLYTSLGASIATGILFPVLYKLATSPLDRQRKEDRKSEDQKALLSSSGLNEYEEENEEEDAEKWNEMDFEMIETNDTMRHSIIETSRSSLTEPTAEVYNQYPSNALVFESSPFNTGSAHVKHLPETRTKGTNV
+>DECOY_sp|Q5TF39|MFS4B_HUMAN Sodium-dependent glucose transporter 1 OS=Homo sapiens OX=9606 GN=MFSD4B PE=2 SV=1
+VNTGKTRTEPLHKVHASGTNFPSSEFVLANSPYQNYVEATPETLSSRSTEIISHRMTDNTEIMEFDMENWKEADEEENEEEYENLGSSSLLAKQDESKRDEKRQRDLPSTALKYLVPFLIGTAISAGLSTYLVVPLDPYKGQLIGIVAPIAMEGLSAGIVFFAASKGHITTYQEIWSVGSPFTTAMSAGYVSTAIWLCIPNKDFLVLFLSSTLSGINSLVIMTGPQLCTAFFIALGRCAAFTGWFISNLGAAESEKMGAHTTAFSFVYSGYTVEAGVYFFFFLFLLCLLANHYKARRFTEASARAKEQKSSNKLFLCFFIVSVLFMYTGIVAYAWLLNKDNPVGFLAESDADSSQNLAPHFDSETHNEASATPGLALKALLPALFAGLAFSFHLAQMHPAGKDGWIALILVNGGTDLIGISVGFISMMVTLLIATKCFPVLYLGVTTASMSIGLLLFYNMVDVLFGGIVSGSLYGLARGVFIFSLSSINRNVNTALDQFTPGVIAVSLGLGLFSACLM
+>sp|Q96ES6|MFSD3_HUMAN Major facilitator superfamily domain-containing protein 3 OS=Homo sapiens OX=9606 GN=MFSD3 PE=2 SV=1
+MRGKLLPLAGLYLVQGLPYGLQSGLLPVLLRAGGLSLTRVGLAKVLYAPWLLKLAWAPLVDAQGSARAWVTRSTAGLGLVCGLLAGLPPPGAGQAGLPAAVAGLLLLLNLGAAMQDVALDALAVQLLEPAELGPGNTVQVVAYKLGAALAGGALLALLPTFSWPQLFLLLAATYWLAAALAWAAPALRRLPQQPPSEQRPHTAHLLRDVLAVPGTVWTAGFVLTYKLGEQGASSLFPLLLLDHGVSAPELGLWNGVGAVVCSIAGSSLGGTLLAKHWKLLPLLRSVLRFRLGGLACQTALVFHLDTLGASMDAGTILRGSALLSLCLQHFLGGLVTTVTFTGMMRCSQLAPRALQATHYSLLATLELLGKLLLGTLAGGLADGLGPHPCFLLLLILSAFPVLYLDLAPSTFL
+>DECOY_sp|Q96ES6|MFSD3_HUMAN Major facilitator superfamily domain-containing protein 3 OS=Homo sapiens OX=9606 GN=MFSD3 PE=2 SV=1
+LFTSPALDLYLVPFASLILLLLFCPHPGLGDALGGALTGLLLKGLLELTALLSYHTAQLARPALQSCRMMGTFTVTTVLGGLFHQLCLSLLASGRLITGADMSAGLTDLHFVLATQCALGGLRFRLVSRLLPLLKWHKALLTGGLSSGAISCVVAGVGNWLGLEPASVGHDLLLLPFLSSAGQEGLKYTLVFGATWVTGPVALVDRLLHATHPRQESPPQQPLRRLAPAAWALAAALWYTAALLLFLQPWSFTPLLALLAGGALAAGLKYAVVQVTNGPGLEAPELLQVALADLAVDQMAAGLNLLLLLGAVAAPLGAQGAGPPPLGALLGCVLGLGATSRTVWARASGQADVLPAWALKLLWPAYLVKALGVRTLSLGGARLLVPLLGSQLGYPLGQVLYLGALPLLKGRM
+>sp|P26572|MGAT1_HUMAN Alpha-1,3-mannosyl-glycoprotein 2-beta-N-acetylglucosaminyltransferase OS=Homo sapiens OX=9606 GN=MGAT1 PE=1 SV=2
+MLKKQSAGLVLWGAILFVAWNALLLLFFWTRPAPGRPPSVSALDGDPASLTREVIRLAQDAEVELERQRGLLQQIGDALSSQRGRVPTAAPPAQPRVPVTPAPAVIPILVIACDRSTVRRCLDKLLHYRPSAELFPIIVSQDCGHEETAQAIASYGSAVTHIRQPDLSSIAVPPDHRKFQGYYKIARHYRWALGQVFRQFRFPAAVVVEDDLEVAPDFFEYFRATYPLLKADPSLWCVSAWNDNGKEQMVDASRPELLYRTDFFPGLGWLLLAELWAELEPKWPKAFWDDWMRRPEQRQGRACIRPEISRTMTFGRKGVSHGQFFDQHLKFIKLNQQFVHFTQLDLSYLQREAYDRDFLARVYGAPQLQVEKVRTNDRKELGEVRVQYTGRDSFKAFAKALGVMDDLKSGVPRAGYRGIVTFQFRGRRVHLAPPLTWEGYDPSWN
+>DECOY_sp|P26572|MGAT1_HUMAN Alpha-1,3-mannosyl-glycoprotein 2-beta-N-acetylglucosaminyltransferase OS=Homo sapiens OX=9606 GN=MGAT1 PE=1 SV=2
+NWSPDYGEWTLPPALHVRRGRFQFTVIGRYGARPVGSKLDDMVGLAKAFAKFSDRGTYQVRVEGLEKRDNTRVKEVQLQPAGYVRALFDRDYAERQLYSLDLQTFHVFQQNLKIFKLHQDFFQGHSVGKRGFTMTRSIEPRICARGQRQEPRRMWDDWFAKPWKPELEAWLEALLLWGLGPFFDTRYLLEPRSADVMQEKGNDNWASVCWLSPDAKLLPYTARFYEFFDPAVELDDEVVVAAPFRFQRFVQGLAWRYHRAIKYYGQFKRHDPPVAISSLDPQRIHTVASGYSAIAQATEEHGCDQSVIIPFLEASPRYHLLKDLCRRVTSRDCAIVLIPIVAPAPTVPVRPQAPPAATPVRGRQSSLADGIQQLLGRQRELEVEADQALRIVERTLSAPDGDLASVSPPRGPAPRTWFFLLLLANWAVFLIAGWLVLGASQKKLM
+>sp|Q86V88|MGDP1_HUMAN Magnesium-dependent phosphatase 1 OS=Homo sapiens OX=9606 GN=MDP1 PE=1 SV=1
+MARLPKLAVFDLDYTLWPFWVDTHVDPPFHKSSDGTVRDRRGQDVRLYPEVPEVLKRLQSLGVPGAAASRTSEIEGANQLLELFDLFRYFVHREIYPGSKITHFERLQQKTGIPFSQMIFFDDERRNIVDVSKLGVTCIHIQNGMNLQTLSQGLETFAKAQTGPLRSSLEESPFEA
+>DECOY_sp|Q86V88|MGDP1_HUMAN Magnesium-dependent phosphatase 1 OS=Homo sapiens OX=9606 GN=MDP1 PE=1 SV=1
+AEFPSEELSSRLPGTQAKAFTELGQSLTQLNMGNQIHICTVGLKSVDVINRREDDFFIMQSFPIGTKQQLREFHTIKSGPYIERHVFYRFLDFLELLQNAGEIESTRSAAAGPVGLSQLRKLVEPVEPYLRVDQGRRDRVTGDSSKHFPPDVHTDVWFPWLTYDLDFVALKPLRAM
+>sp|Q9UM21|MGT4A_HUMAN Alpha-1,3-mannosyl-glycoprotein 4-beta-N-acetylglucosaminyltransferase A OS=Homo sapiens OX=9606 GN=MGAT4A PE=1 SV=1
+MRLRNGTVATALAFITSFLTLSWYTTWQNGKEKLIAYQREFLALKERLRIAEHRISQRSSELNTIVQQFKRVGAETNGSKDALNKFSDNTLKLLKELTSKKSLQVPSIYYHLPHLLKNEGSLQPAVQIGNGRTGVSIVMGIPTVKREVKSYLIETLHSLIDNLYPEEKLDCVIVVFIGETDIDYVHGVVANLEKEFSKEISSGLVEVISPPESYYPDLTNLKETFGDSKERVRWRTKQNLDYCFLMMYAQEKGIYYIQLEDDIIVKQNYFNTIKNFALQLSSEEWMILEFSQLGFIGKMFQAPDLTLIVEFIFMFYKEKPIDWLLDHILWVKVCNPEKDAKHCDRQKANLRIRFRPSLFQHVGLHSSLSGKIQKLTDKDYMKPLLLKIHVNPPAEVSTSLKVYQGHTLEKTYMGEDFFWAITPIAGDYILFKFDKPVNVESYLFHSGNQEHPGDILLNTTVEVLPFKSEGLEISKETKDKRLEDGYFRIGKFENGVAEGMVDPSLNPISAFRLSVIQNSAVWAILNEIHIKKATN
+>DECOY_sp|Q9UM21|MGT4A_HUMAN Alpha-1,3-mannosyl-glycoprotein 4-beta-N-acetylglucosaminyltransferase A OS=Homo sapiens OX=9606 GN=MGAT4A PE=1 SV=1
+NTAKKIHIENLIAWVASNQIVSLRFASIPNLSPDVMGEAVGNEFKGIRFYGDELRKDKTEKSIELGESKFPLVEVTTNLLIDGPHEQNGSHFLYSEVNVPKDFKFLIYDGAIPTIAWFFDEGMYTKELTHGQYVKLSTSVEAPPNVHIKLLLPKMYDKDTLKQIKGSLSSHLGVHQFLSPRFRIRLNAKQRDCHKADKEPNCVKVWLIHDLLWDIPKEKYFMFIFEVILTLDPAQFMKGIFGLQSFELIMWEESSLQLAFNKITNFYNQKVIIDDELQIYYIGKEQAYMMLFCYDLNQKTRWRVREKSDGFTEKLNTLDPYYSEPPSIVEVLGSSIEKSFEKELNAVVGHVYDIDTEGIFVVIVCDLKEEPYLNDILSHLTEILYSKVERKVTPIGMVISVGTRGNGIQVAPQLSGENKLLHPLHYYISPVQLSKKSTLEKLLKLTNDSFKNLADKSGNTEAGVRKFQQVITNLESSRQSIRHEAIRLREKLALFERQYAILKEKGNQWTTYWSLTLFSTIFALATAVTGNRLRM
+>sp|Q9UQ53|MGT4B_HUMAN Alpha-1,3-mannosyl-glycoprotein 4-beta-N-acetylglucosaminyltransferase B OS=Homo sapiens OX=9606 GN=MGAT4B PE=1 SV=1
+MRLRNGTFLTLLLFCLCAFLSLSWYAALSGQKGDVVDVYQREFLALRDRLHAAEQESLKRSKELNLVLDEIKRAVSERQALRDGDGNRTWGRLTEDPRLKPWNGSHRHVLHLPTVFHHLPHLLAKESSLQPAVRVGQGRTGVSVVMGIPSVRREVHSYLTDTLHSLISELSPQEKEDSVIVVLIAETDSQYTSAVTENIKALFPTEIHSGLLEVISPSPHFYPDFSRLRESFGDPKERVRWRTKQNLDYCFLMMYAQSKGIYYVQLEDDIVAKPNYLSTMKNFALQQPSEDWMILEFSQLGFIGKMFKSLDLSLIVEFILMFYRDKPIDWLLDHILWVKVCNPEKDAKHCDRQKANLRIRFKPSLFQHVGTHSSLAGKIQKLKDKDFGKQALRKEHVNPPAEVSTSLKTYQHFTLEKAYLREDFFWAFTPAAGDFIRFRFFQPLRLERFFFRSGNIEHPEDKLFNTSVEVLPFDNPQSDKEALQEGRTATLRYPRSPDGYLQIGSFYKGVAEGEVDPAFGPLEALRLSIQTDSPVWVILSEIFLKKAD
+>DECOY_sp|Q9UQ53|MGT4B_HUMAN Alpha-1,3-mannosyl-glycoprotein 4-beta-N-acetylglucosaminyltransferase B OS=Homo sapiens OX=9606 GN=MGAT4B PE=1 SV=1
+DAKKLFIESLIVWVPSDTQISLRLAELPGFAPDVEGEAVGKYFSGIQLYGDPSRPYRLTATRGEQLAEKDSQPNDFPLVEVSTNFLKDEPHEINGSRFFFRELRLPQFFRFRIFDGAAPTFAWFFDERLYAKELTFHQYTKLSTSVEAPPNVHEKRLAQKGFDKDKLKQIKGALSSHTGVHQFLSPKFRIRLNAKQRDCHKADKEPNCVKVWLIHDLLWDIPKDRYFMLIFEVILSLDLSKFMKGIFGLQSFELIMWDESPQQLAFNKMTSLYNPKAVIDDELQVYYIGKSQAYMMLFCYDLNQKTRWRVREKPDGFSERLRSFDPYFHPSPSIVELLGSHIETPFLAKINETVASTYQSDTEAILVVIVSDEKEQPSLESILSHLTDTLYSHVERRVSPIGMVVSVGTRGQGVRVAPQLSSEKALLHPLHHFVTPLHLVHRHSGNWPKLRPDETLRGWTRNGDGDRLAQRESVARKIEDLVLNLEKSRKLSEQEAAHLRDRLALFERQYVDVVDGKQGSLAAYWSLSLFACLCFLLLTLFTGNRLRM
+>sp|Q96NR2|MHAS1_HUMAN Putative MIR1-1HG-AS1 OS=Homo sapiens OX=9606 GN=MIR1-1HG-AS1 PE=2 SV=3
+MQTLATGHLAHEGSSPHKLSGGRTQESSGFSEAKGGHGAPRHSASHGATPSGLRRGLHCREGPSDSGFQPHPGPPLSVPSGPLAPRARRHPNPLGMSVLRMWHTRPGRAILLLLHPPQPWIRGLYRGQKFTQSR
+>DECOY_sp|Q96NR2|MHAS1_HUMAN Putative MIR1-1HG-AS1 OS=Homo sapiens OX=9606 GN=MIR1-1HG-AS1 PE=2 SV=3
+RSQTFKQGRYLGRIWPQPPHLLLLIARGPRTHWMRLVSMGLPNPHRRARPALPGSPVSLPPGPHPQFGSDSPGERCHLGRRLGSPTAGHSASHRPAGHGGKAESFGSSEQTRGGSLKHPSSGEHALHGTALTQM
+>sp|Q5TGZ0|MIC10_HUMAN MICOS complex subunit MIC10 OS=Homo sapiens OX=9606 GN=MINOS1 PE=1 SV=1
+MSESELGRKWDRCLADAVVKIGTGFGLGIVFSLTFFKRRMWPLAFGSGMGLGMAYSNCQHDFQAPYLLHGKYVKEQEQ
+>DECOY_sp|Q5TGZ0|MIC10_HUMAN MICOS complex subunit MIC10 OS=Homo sapiens OX=9606 GN=MINOS1 PE=1 SV=1
+QEQEKVYKGHLLYPAQFDHQCNSYAMGLGMGSGFALPWMRRKFFTLSFVIGLGFGTGIKVVADALCRDWKRGLESESM
+>sp|O94851|MICA2_HUMAN [F-actin]-monooxygenase MICAL2 OS=Homo sapiens OX=9606 GN=MICAL2 PE=1 SV=1
+MGENEDEKQAQAGQVFENFVQASTCKGTLQAFNILTRHLDLDPLDHRNFYSKLKSKVTTWKAKALWYKLDKRGSHKEYKRGKSCTNTKCLIVGGGPCGLRTAIELAYLGAKVVVVEKRDSFSRNNVLHLWPFTIHDLRGLGAKKFYGKFCAGSIDHISIRQLQLILFKVALMLGVEIHVNVEFVKVLEPPEDQENQKIGWRAEFLPTDHSLSEFEFDVIIGADGRRNTLEGFRRKEFRGKLAIAITANFINRNSTAEAKVEEISGVAFIFNQKFFQDLKEETGIDLENIVYYKDCTHYFVMTAKKQSLLDKGVIINDYIDTEMLLCAENVNQDNLLSYAREAADFATNYQLPSLDFAMNHYGQPDVAMFDFTCMYASENAALVRERQAHQLLVALVGDSLLEPFWPMGTGCARGFLAAFDTAWMVKSWNQGTPPLELLAERESLYRLLPQTTPENINKNFEQYTLDPGTRYPNLNSHCVRPHQVKHLYITKELEHYPLERLGSVRRSVNLSRKESDIRPSKLLTWCQQQTEGYQHVNVTDLTTSWRSGLALCAIIHRFRPELINFDSLNEDDAVENNQLAFDVAEREFGIPPVTTGKEMASAQEPDKLSMVMYLSKFYELFRGTPLRPVDSWRKNYGENADLSLAKSSISNNYLNLTFPRKRTPRVDGQTGENDMNKRRRKGFTNLDEPSNFSSRSLGSNQECGSSKEGGNQNKVKSMANQLLAKFEESTRNPSLMKQERRVSGIGKPVLCSSSGPPVHSCCPKPEEATPSPSPPLKRQFPSVVVTGHVLRELKQVSAGSECLSRPWRARAKSDLQLGGTENFATLPSTRPRAQALSGVLWRLQQVEEKILQKRAQNLANREFHTKNIKEKAAHLASMFGHGDFPQNKLLSKGLSHTHPPSPPSRLPSPDPAASSSPSTVDSASPARKEKKSPSGFHFHPSHLRTVHPQLTVGKVSSGIGAAAEVLVNLYMNDHRPKAQATSPDLESMRKSFPLNLGGSDTCYFCKKRVYVMERLSAEGHFFHRECFRCSICATTLRLAAYTFDCDEGKFYCKPHFIHCKTNSKQRKRRAELKQQREEEATWQEQEAPRRDTPTESSCAVAAIGTLEGSPPVHFSLPVLHPLLG
+>DECOY_sp|O94851|MICA2_HUMAN [F-actin]-monooxygenase MICAL2 OS=Homo sapiens OX=9606 GN=MICAL2 PE=1 SV=1
+GLLPHLVPLSFHVPPSGELTGIAAVACSSETPTDRRPAEQEQWTAEEERQQKLEARRKRQKSNTKCHIFHPKCYFKGEDCDFTYAALRLTTACISCRFCERHFFHGEASLREMVYVRKKCFYCTDSGGLNLPFSKRMSELDPSTAQAKPRHDNMYLNVLVEAAAGIGSSVKGVTLQPHVTRLHSPHFHFGSPSKKEKRAPSASDVTSPSSSAAPDPSPLRSPPSPPHTHSLGKSLLKNQPFDGHGFMSALHAAKEKINKTHFERNALNQARKQLIKEEVQQLRWLVGSLAQARPRTSPLTAFNETGGLQLDSKARARWPRSLCESGASVQKLERLVHGTVVVSPFQRKLPPSPSPTAEEPKPCCSHVPPGSSSCLVPKGIGSVRREQKMLSPNRTSEEFKALLQNAMSKVKNQNGGEKSSGCEQNSGLSRSSFNSPEDLNTFGKRRRKNMDNEGTQGDVRPTRKRPFTLNLYNNSISSKALSLDANEGYNKRWSDVPRLPTGRFLEYFKSLYMVMSLKDPEQASAMEKGTTVPPIGFEREAVDFALQNNEVADDENLSDFNILEPRFRHIIACLALGSRWSTTLDTVNVHQYGETQQQCWTLLKSPRIDSEKRSLNVSRRVSGLRELPYHELEKTIYLHKVQHPRVCHSNLNPYRTGPDLTYQEFNKNINEPTTQPLLRYLSEREALLELPPTGQNWSKVMWATDFAALFGRACGTGMPWFPELLSDGVLAVLLQHAQRERVLAANESAYMCTFDFMAVDPQGYHNMAFDLSPLQYNTAFDAAERAYSLLNDQNVNEACLLMETDIYDNIIVGKDLLSQKKATMVFYHTCDKYYVINELDIGTEEKLDQFFKQNFIFAVGSIEEVKAEATSNRNIFNATIAIALKGRFEKRRFGELTNRRGDAGIIVDFEFESLSHDTPLFEARWGIKQNEQDEPPELVKVFEVNVHIEVGLMLAVKFLILQLQRISIHDISGACFKGYFKKAGLGRLDHITFPWLHLVNNRSFSDRKEVVVVKAGLYALEIATRLGCPGGGVILCKTNTCSKGRKYEKHSGRKDLKYWLAKAKWTTVKSKLKSYFNRHDLPDLDLHRTLINFAQLTGKCTSAQVFNEFVQGAQAQKEDENEGM
+>sp|Q29983|MICA_HUMAN MHC class I polypeptide-related sequence A OS=Homo sapiens OX=9606 GN=MICA PE=1 SV=1
+MGLGPVFLLLAGIFPFAPPGAAAEPHSLRYNLTVLSWDGSVQSGFLTEVHLDGQPFLRCDRQKCRAKPQGQWAEDVLGNKTWDRETRDLTGNGKDLRMTLAHIKDQKEGLHSLQEIRVCEIHEDNSTRSSQHFYYDGELFLSQNLETKEWTMPQSSRAQTLAMNVRNFLKEDAMKTKTHYHAMHADCLQELRRYLKSGVVLRRTVPPMVNVTRSEASEGNITVTCRASGFYPWNITLSWRQDGVSLSHDTQQWGDVLPDGNGTYQTWVATRICQGEEQRFTCYMEHSGNHSTHPVPSGKVLVLQSHWQTFHVSAVAAAAIFVIIIFYVRCCKKKTSAAEGPELVSLQVLDQHPVGTSDHRDATQLGFQPLMSDLGSTGSTEGA
+>DECOY_sp|Q29983|MICA_HUMAN MHC class I polypeptide-related sequence A OS=Homo sapiens OX=9606 GN=MICA PE=1 SV=1
+AGETSGTSGLDSMLPQFGLQTADRHDSTGVPHQDLVQLSVLEPGEAASTKKKCCRVYFIIIVFIAAAAVASVHFTQWHSQLVLVKGSPVPHTSHNGSHEMYCTFRQEEGQCIRTAVWTQYTGNGDPLVDGWQQTDHSLSVGDQRWSLTINWPYFGSARCTVTINGESAESRTVNVMPPVTRRLVVGSKLYRRLEQLCDAHMAHYHTKTKMADEKLFNRVNMALTQARSSQPMTWEKTELNQSLFLEGDYYFHQSSRTSNDEHIECVRIEQLSHLGEKQDKIHALTMRLDKGNGTLDRTERDWTKNGLVDEAWQGQPKARCKQRDCRLFPQGDLHVETLFGSQVSGDWSLVTLNYRLSHPEAAAGPPAFPFIGALLLFVPGLGM
+>sp|Q8N4C8|MINK1_HUMAN Misshapen-like kinase 1 OS=Homo sapiens OX=9606 GN=MINK1 PE=1 SV=2
+MGDPAPARSLDDIDLSALRDPAGIFELVEVVGNGTYGQVYKGRHVKTGQLAAIKVMDVTEDEEEEIKQEINMLKKYSHHRNIATYYGAFIKKSPPGNDDQLWLVMEFCGAGSVTDLVKNTKGNALKEDCIAYICREILRGLAHLHAHKVIHRDIKGQNVLLTENAEVKLVDFGVSAQLDRTVGRRNTFIGTPYWMAPEVIACDENPDATYDYRSDIWSLGITAIEMAEGAPPLCDMHPMRALFLIPRNPPPRLKSKKWSKKFIDFIDTCLIKTYLSRPPTEQLLKFPFIRDQPTERQVRIQLKDHIDRSRKKRGEKEETEYEYSGSEEEDDSHGEEGEPSSIMNVPGESTLRREFLRLQQENKSNSEALKQQQQLQQQQQRDPEAHIKHLLHQRQRRIEEQKEERRRVEEQQRREREQRKLQEKEQQRRLEDMQALRREEERRQAEREQEYKRKQLEEQRQSERLQRQLQQEHAYLKSLQQQQQQQQLQKQQQQQLLPGDRKPLYHYGRGMNPADKPAWAREVEERTRMNKQQNSPLAKSKPGSTGPEPPIPQASPGPPGPLSQTPPMQRPVEPQEGPHKSLVAHRVPLKPYAAPVPRSQSLQDQPTRNLAAFPASHDPDPAIPAPTATPSARGAVIRQNSDPTSEGPGPSPNPPAWVRPDNEAPPKVPQRTSSIATALNTSGAGGSRPAQAVRARPRSNSAWQIYLQRRAERGTPKPPGPPAQPPGPPNASSNPDLRRSDPGWERSDSVLPASHGHLPQAGSLERNRVGVSSKPDSSPVLSPGNKAKPDDHRSRPGRPADFVLLKERTLDEAPRPPKKAMDYSSSSEEVESSEDDEEEGEGGPAEGSRDTPGGRSDGDTDSVSTMVVHDVEEITGTQPPYGGGTMVVQRTPEEERNLLHADSNGYTNLPDVVQPSHSPTENSKGQSPPSKDGSGDYQSRGLVKAPGKSSFTMFVDLGIYQPGGSGDSIPITALVGGEGTRLDQLQYDVRKGSVVNVNPTNTRAHSETPEIRKYKKRFNSEILCAALWGVNLLVGTENGLMLLDRSGQGKVYGLIGRRRFQQMDVLEGLNLLITISGKRNKLRVYYLSWLRNKILHNDPEVEKKQGWTTVGDMEGCGHYRVVKYERIKFLVIALKSSVEVYAWAPKPYHKFMAFKSFADLPHRPLLVDLTVEEGQRLKVIYGSSAGFHAVDVDSGNSYDIYIPVHIQSQITPHAIIFLPNTDGMEMLLCYEDEGVYVNTYGRIIKDVVLQWGEMPTSVAYICSNQIMGWGEKAIEIRSVETGHLDGVFMHKRAQRLKFLCERNDKVFFASVRSGGSSQVYFMTLNRNCIMNW
+>DECOY_sp|Q8N4C8|MINK1_HUMAN Misshapen-like kinase 1 OS=Homo sapiens OX=9606 GN=MINK1 PE=1 SV=2
+WNMICNRNLTMFYVQSSGGSRVSAFFVKDNRECLFKLRQARKHMFVGDLHGTEVSRIEIAKEGWGMIQNSCIYAVSTPMEGWQLVVDKIIRGYTNVYVGEDEYCLLMEMGDTNPLFIIAHPTIQSQIHVPIYIDYSNGSDVDVAHFGASSGYIVKLRQGEEVTLDVLLPRHPLDAFSKFAMFKHYPKPAWAYVEVSSKLAIVLFKIREYKVVRYHGCGEMDGVTTWGQKKEVEPDNHLIKNRLWSLYYVRLKNRKGSITILLNLGELVDMQQFRRRGILGYVKGQGSRDLLMLGNETGVLLNVGWLAACLIESNFRKKYKRIEPTESHARTNTPNVNVVSGKRVDYQLQDLRTGEGGVLATIPISDGSGGPQYIGLDVFMTFSSKGPAKVLGRSQYDGSGDKSPPSQGKSNETPSHSPQVVDPLNTYGNSDAHLLNREEEPTRQVVMTGGGYPPQTGTIEEVDHVVMTSVSDTDGDSRGGPTDRSGEAPGGEGEEEDDESSEVEESSSSYDMAKKPPRPAEDLTREKLLVFDAPRGPRSRHDDPKAKNGPSLVPSSDPKSSVGVRNRELSGAQPLHGHSAPLVSDSREWGPDSRRLDPNSSANPPGPPQAPPGPPKPTGREARRQLYIQWASNSRPRARVAQAPRSGGAGSTNLATAISSTRQPVKPPAENDPRVWAPPNPSPGPGESTPDSNQRIVAGRASPTATPAPIAPDPDHSAPFAALNRTPQDQLSQSRPVPAAYPKLPVRHAVLSKHPGEQPEVPRQMPPTQSLPGPPGPSAQPIPPEPGTSGPKSKALPSNQQKNMRTREEVERAWAPKDAPNMGRGYHYLPKRDGPLLQQQQQKQLQQQQQQQQLSKLYAHEQQLQRQLRESQRQEELQKRKYEQEREAQRREEERRLAQMDELRRQQEKEQLKRQERERRQQEEVRRREEKQEEIRRQRQHLLHKIHAEPDRQQQQQLQQQQKLAESNSKNEQQLRLFERRLTSEGPVNMISSPEGEEGHSDDEEESGSYEYETEEKEGRKKRSRDIHDKLQIRVQRETPQDRIFPFKLLQETPPRSLYTKILCTDIFDIFKKSWKKSKLRPPPNRPILFLARMPHMDCLPPAGEAMEIATIGLSWIDSRYDYTADPNEDCAIVEPAMWYPTGIFTNRRGVTRDLQASVGFDVLKVEANETLLVNQGKIDRHIVKHAHLHALGRLIERCIYAICDEKLANGKTNKVLDTVSGAGCFEMVLWLQDDNGPPSKKIFAGYYTAINRHHSYKKLMNIEQKIEEEEDETVDMVKIAALQGTKVHRGKYVQGYTGNGVVEVLEFIGAPDRLASLDIDDLSRAPAPDGM
+>sp|Q9H8M7|MINY3_HUMAN Ubiquitin carboxyl-terminal hydrolase MINDY-3 OS=Homo sapiens OX=9606 GN=MINDY3 PE=1 SV=1
+MSELTKELMELVWGTKSSPGLSDTIFCRWTQGFVFSESEGSALEQFEGGPCAVIAPVQAFLLKKLLFSSEKSSWRDCSEEEQKELLCHTLCDILESACCDHSGSYCLVSWLRGKTTEETASISGSPAESSCQVEHSSALAVEELGFERFHALIQKRSFRSLPELKDAVLDQYSMWGNKFGVLLFLYSVLLTKGIENIKNEIEDASEPLIDPVYGHGSQSLINLLLTGHAVSNVWDGDRECSGMKLLGIHEQAAVGFLTLMEALRYCKVGSYLKSPKFPIWIVGSETHLTVFFAKDMALVAPEAPSEQARRVFQTYDPEDNGFIPDSLLEDVMKALDLVSDPEYINLMKNKLDPEGLGIILLGPFLQEFFPDQGSSGPESFTVYHYNGLKQSNYNEKVMYVEGTAVVMGFEDPMLQTDDTPIKRCLQTKWPYIELLWTTDRSPSLN
+>DECOY_sp|Q9H8M7|MINY3_HUMAN Ubiquitin carboxyl-terminal hydrolase MINDY-3 OS=Homo sapiens OX=9606 GN=MINDY3 PE=1 SV=1
+NLSPSRDTTWLLEIYPWKTQLCRKIPTDDTQLMPDEFGMVVATGEVYMVKENYNSQKLGNYHYVTFSEPGSSGQDPFFEQLFPGLLIIGLGEPDLKNKMLNIYEPDSVLDLAKMVDELLSDPIFGNDEPDYTQFVRRAQESPAEPAVLAMDKAFFVTLHTESGVIWIPFKPSKLYSGVKCYRLAEMLTLFGVAAQEHIGLLKMGSCERDGDWVNSVAHGTLLLNILSQSGHGYVPDILPESADEIENKINEIGKTLLVSYLFLLVGFKNGWMSYQDLVADKLEPLSRFSRKQILAHFREFGLEEVALASSHEVQCSSEAPSGSISATEETTKGRLWSVLCYSGSHDCCASELIDCLTHCLLEKQEEESCDRWSSKESSFLLKKLLFAQVPAIVACPGGEFQELASGESESFVFGQTWRCFITDSLGPSSKTGWVLEMLEKTLESM
+>sp|Q9NXC5|MIO_HUMAN GATOR complex protein MIOS OS=Homo sapiens OX=9606 GN=MIOS PE=1 SV=2
+MSGTKPDILWAPHHVDRFVVCDSELSLYHVESTVNSELKAGSLRLSEDSAATLLSINSDTPYMKCVAWYLNYDPECLLAVGQANGRVVLTSLGQDHNSKFKDLIGKEFVPKHARQCNTLAWNPLDSNWLAAGLDKHRADFSVLIWDICSKYTPDIVPMEKVKLSAGETETTLLVTKPLYELGQNDACLSLCWLPRDQKLLLAGMHRNLAIFDLRNTSQKMFVNTKAVQGVTVDPYFHDRVASFYEGQVAIWDLRKFEKPVLTLTEQPKPLTKVAWCPTRTGLLATLTRDSNIIRLYDMQHTPTPIGDETEPTIIERSVQPCDNYIASFAWHPTSQNRMIVVTPNRTMSDFTVFERISLAWSPITSLMWACGRHLYECTEEENDNSLEKDIATKMRLRALSRYGLDTEQVWRNHILAGNEDPQLKSLWYTLHFMKQYTEDMDQKSPGNKGSLVYAGIKSIVKSSLGMVESSRHNWSGLDKQSDIQNLNEERILALQLCGWIKKGTDVDVGPFLNSLVQEGEWERAAAVALFNLDIRRAIQILNEGASSEKGDLNLNVVAMALSGYTDEKNSLWREMCSTLRLQLNNPYLCVMFAFLTSETGSYDGVLYENKVAVRDRVAFACKFLSDTQLNRYIEKLTNEMKEAGNLEGILLTGLTKDGVDLMESYVDRTGDVQTASYCMLQGSPLDVLKDERVQYWIENYRNLLDAWRFWHKRAEFDIHRSKLDPSSKPLAQVFVSCNFCGKSISYSCSAVPHQGRGFSQYGVSGSPTKSKVTSCPGCRKPLPRCALCLINMGTPVSSCPGGTKSDEKVDLSKDKKLAQFNNWFTWCHNCRHGGHAGHMLSWFRDHAECPVSACTCKCMQLDTTGNLVPAETVQP
+>DECOY_sp|Q9NXC5|MIO_HUMAN GATOR complex protein MIOS OS=Homo sapiens OX=9606 GN=MIOS PE=1 SV=2
+PQVTEAPVLNGTTDLQMCKCTCASVPCEAHDRFWSLMHGAHGGHRCNHCWTFWNNFQALKKDKSLDVKEDSKTGGPCSSVPTGMNILCLACRPLPKRCGPCSTVKSKTPSGSVGYQSFGRGQHPVASCSYSISKGCFNCSVFVQALPKSSPDLKSRHIDFEARKHWFRWADLLNRYNEIWYQVREDKLVDLPSGQLMCYSATQVDGTRDVYSEMLDVGDKTLGTLLIGELNGAEKMENTLKEIYRNLQTDSLFKCAFAVRDRVAVKNEYLVGDYSGTESTLFAFMVCLYPNNLQLRLTSCMERWLSNKEDTYGSLAMAVVNLNLDGKESSAGENLIQIARRIDLNFLAVAAAREWEGEQVLSNLFPGVDVDTGKKIWGCLQLALIREENLNQIDSQKDLGSWNHRSSEVMGLSSKVISKIGAYVLSGKNGPSKQDMDETYQKMFHLTYWLSKLQPDENGALIHNRWVQETDLGYRSLARLRMKTAIDKELSNDNEEETCEYLHRGCAWMLSTIPSWALSIREFVTFDSMTRNPTVVIMRNQSTPHWAFSAIYNDCPQVSREIITPETEDGIPTPTHQMDYLRIINSDRTLTALLGTRTPCWAVKTLPKPQETLTLVPKEFKRLDWIAVQGEYFSAVRDHFYPDVTVGQVAKTNVFMKQSTNRLDFIALNRHMGALLLKQDRPLWCLSLCADNQGLEYLPKTVLLTTETEGASLKVKEMPVIDPTYKSCIDWILVSFDARHKDLGAALWNSDLPNWALTNCQRAHKPVFEKGILDKFKSNHDQGLSTLVVRGNAQGVALLCEPDYNLYWAVCKMYPTDSNISLLTAASDESLRLSGAKLESNVTSEVHYLSLESDCVVFRDVHHPAWLIDPKTGSM
+>sp|P45983|MK08_HUMAN Mitogen-activated protein kinase 8 OS=Homo sapiens OX=9606 GN=MAPK8 PE=1 SV=2
+MSRSKRDNNFYSVEIGDSTFTVLKRYQNLKPIGSGAQGIVCAAYDAILERNVAIKKLSRPFQNQTHAKRAYRELVLMKCVNHKNIIGLLNVFTPQKSLEEFQDVYIVMELMDANLCQVIQMELDHERMSYLLYQMLCGIKHLHSAGIIHRDLKPSNIVVKSDCTLKILDFGLARTAGTSFMMTPYVVTRYYRAPEVILGMGYKENVDLWSVGCIMGEMVCHKILFPGRDYIDQWNKVIEQLGTPCPEFMKKLQPTVRTYVENRPKYAGYSFEKLFPDVLFPADSEHNKLKASQARDLLSKMLVIDASKRISVDEALQHPYINVWYDPSEAEAPPPKIPDKQLDEREHTIEEWKELIYKEVMDLEERTKNGVIRGQPSPLGAAVINGSQHPSSSSSVNDVSSMSTDPTLASDTDSSLEAAAGPLGCCR
+>DECOY_sp|P45983|MK08_HUMAN Mitogen-activated protein kinase 8 OS=Homo sapiens OX=9606 GN=MAPK8 PE=1 SV=2
+RCCGLPGAAAELSSDTDSALTPDTSMSSVDNVSSSSSPHQSGNIVAAGLPSPQGRIVGNKTREELDMVEKYILEKWEEITHEREDLQKDPIKPPPAEAESPDYWVNIYPHQLAEDVSIRKSADIVLMKSLLDRAQSAKLKNHESDAPFLVDPFLKEFSYGAYKPRNEVYTRVTPQLKKMFEPCPTGLQEIVKNWQDIYDRGPFLIKHCVMEGMICGVSWLDVNEKYGMGLIVEPARYYRTVVYPTMMFSTGATRALGFDLIKLTCDSKVVINSPKLDRHIIGASHLHKIGCLMQYLLYSMREHDLEMQIVQCLNADMLEMVIYVDQFEELSKQPTFVNLLGIINKHNVCKMLVLERYARKAHTQNQFPRSLKKIAVNRELIADYAACVIGQAGSGIPKLNQYRKLVTFTSDGIEVSYFNNDRKSRSM
+>sp|P53778|MK12_HUMAN Mitogen-activated protein kinase 12 OS=Homo sapiens OX=9606 GN=MAPK12 PE=1 SV=3
+MSSPPPARSGFYRQEVTKTAWEVRAVYRDLQPVGSGAYGAVCSAVDGRTGAKVAIKKLYRPFQSELFAKRAYRELRLLKHMRHENVIGLLDVFTPDETLDDFTDFYLVMPFMGTDLGKLMKHEKLGEDRIQFLVYQMLKGLRYIHAAGIIHRDLKPGNLAVNEDCELKILDFGLARQADSEMTGYVVTRWYRAPEVILNWMRYTQTVDIWSVGCIMAEMITGKTLFKGSDHLDQLKEIMKVTGTPPAEFVQRLQSDEAKNYMKGLPELEKKDFASILTNASPLAVNLLEKMLVLDAEQRVTAGEALAHPYFESLHDTEDEPQVQKYDDSFDDVDRTLDEWKRVTYKEVLSFKPPRQLGARVSKETPL
+>DECOY_sp|P53778|MK12_HUMAN Mitogen-activated protein kinase 12 OS=Homo sapiens OX=9606 GN=MAPK12 PE=1 SV=3
+LPTEKSVRAGLQRPPKFSLVEKYTVRKWEDLTRDVDDFSDDYKQVQPEDETDHLSEFYPHALAEGATVRQEADLVLMKELLNVALPSANTLISAFDKKELEPLGKMYNKAEDSQLRQVFEAPPTGTVKMIEKLQDLHDSGKFLTKGTIMEAMICGVSWIDVTQTYRMWNLIVEPARYWRTVVYGTMESDAQRALGFDLIKLECDENVALNGPKLDRHIIGAAHIYRLGKLMQYVLFQIRDEGLKEHKMLKGLDTGMFPMVLYFDTFDDLTEDPTFVDLLGIVNEHRMHKLLRLERYARKAFLESQFPRYLKKIAVKAGTRGDVASCVAGYAGSGVPQLDRYVARVEWATKTVEQRYFGSRAPPPSSM
+>sp|O15264|MK13_HUMAN Mitogen-activated protein kinase 13 OS=Homo sapiens OX=9606 GN=MAPK13 PE=1 SV=1
+MSLIRKKGFYKQDVNKTAWELPKTYVSPTHVGSGAYGSVCSAIDKRSGEKVAIKKLSRPFQSEIFAKRAYRELLLLKHMQHENVIGLLDVFTPASSLRNFYDFYLVMPFMQTDLQKIMGMEFSEEKIQYLVYQMLKGLKYIHSAGVVHRDLKPGNLAVNEDCELKILDFGLARHADAEMTGYVVTRWYRAPEVILSWMHYNQTVDIWSVGCIMAEMLTGKTLFKGKDYLDQLTQILKVTGVPGTEFVQKLNDKAAKSYIQSLPQTPRKDFTQLFPRASPQAADLLEKMLELDVDKRLTAAQALTHPFFEPFRDPEEETEAQQPFDDSLEHEKLTVDEWKQHIYKEIVNFSPIARKDSRRRSGMKL
+>DECOY_sp|O15264|MK13_HUMAN Mitogen-activated protein kinase 13 OS=Homo sapiens OX=9606 GN=MAPK13 PE=1 SV=1
+LKMGSRRRSDKRAIPSFNVIEKYIHQKWEDVTLKEHELSDDFPQQAETEEEPDRFPEFFPHTLAQAATLRKDVDLELMKELLDAAQPSARPFLQTFDKRPTQPLSQIYSKAAKDNLKQVFETGPVGTVKLIQTLQDLYDKGKFLTKGTLMEAMICGVSWIDVTQNYHMWSLIVEPARYWRTVVYGTMEADAHRALGFDLIKLECDENVALNGPKLDRHVVGASHIYKLGKLMQYVLYQIKEESFEMGMIKQLDTQMFPMVLYFDYFNRLSSAPTFVDLLGIVNEHQMHKLLLLERYARKAFIESQFPRSLKKIAVKEGSRKDIASCVSGYAGSGVHTPSVYTKPLEWATKNVDQKYFGKKRILSM
+>sp|Q9BYG3|MK67I_HUMAN MKI67 FHA domain-interacting nucleolar phosphoprotein OS=Homo sapiens OX=9606 GN=NIFK PE=1 SV=1
+MATFSGPAGPILSLNPQEDVEFQKEVAQVRKRITQRKKQEQLTPGVVYVRHLPNLLDETQIFSYFSQFGTVTRFRLSRSKRTGNSKGYAFVEFESEDVAKIVAETMNNYLFGERLLECHFMPPEKVHKELFKDWNIPFKQPSYPSVKRYNRNRTLTQKLRMEERFKKKERLLRKKLAKKGIDYDFPSLILQKTESISKTNRQTSTKGQVLRKKKKKVSGTLDTPEKTVDSQGPTPVCTPTFLERRKSQVAELNDDDKDDEIVFKQPISCVKEEIQETQTPTHSRKKRRRSSNQ
+>DECOY_sp|Q9BYG3|MK67I_HUMAN MKI67 FHA domain-interacting nucleolar phosphoprotein OS=Homo sapiens OX=9606 GN=NIFK PE=1 SV=1
+QNSSRRRKKRSHTPTQTEQIEEKVCSIPQKFVIEDDKDDDNLEAVQSKRRELFTPTCVPTPGQSDVTKEPTDLTGSVKKKKKRLVQGKTSTQRNTKSISETKQLILSPFDYDIGKKALKKRLLREKKKFREEMRLKQTLTRNRNYRKVSPYSPQKFPINWDKFLEKHVKEPPMFHCELLREGFLYNNMTEAVIKAVDESEFEVFAYGKSNGTRKSRSLRFRTVTGFQSFYSFIQTEDLLNPLHRVYVVGPTLQEQKKRQTIRKRVQAVEKQFEVDEQPNLSLIPGAPGSFTAM
+>sp|Q9NPJ1|MKKS_HUMAN McKusick-Kaufman/Bardet-Biedl syndromes putative chaperonin OS=Homo sapiens OX=9606 GN=MKKS PE=1 SV=1
+MSRLEAKKPSLCKSEPLTTERVRTTLSVLKRIVTSCYGPSGRLKQLHNGFGGYVCTTSQSSALLSHLLVTHPILKILTASIQNHVSSFSDCGLFTAILCCNLIENVQRLGLTPTTVIRLNKHLLSLCISYLKSETCGCRIPVDFSSTQILLCLVRSILTSKPACMLTRKETEHVSALILRAFLLTIPENAEGHIILGKSLIVPLKGQRVIDSTVLPGILIEMSEVQLMRLLPIKKSTALKVALFCTTLSGDTSDTGEGTVVVSYGVSLENAVLDQLLNLGRQLISDHVDLVLCQKVIHPSLKQFLNMHRIIAIDRIGVTLMEPLTKMTGTQPIGSLGSICPNSYGSVKDVCTAKFGSKHFFHLIPNEATICSLLLCNRNDTAWDELKLTCQTALHVLQLTLKEPWALLGGGCTETHLAAYIRHKTHNDPESILKDDECTQTELQLIAEAFCSALESVVGSLEHDGGEILTDMKYGHLWSVQADSPCVANWPDLLSQCGCGLYNSQEELNWSFLRSTRRPFVPQSCLPHEAVGSASNLTLDCLTAKLSGLQVAVETANLILDLSYVIEDKN
+>DECOY_sp|Q9NPJ1|MKKS_HUMAN McKusick-Kaufman/Bardet-Biedl syndromes putative chaperonin OS=Homo sapiens OX=9606 GN=MKKS PE=1 SV=1
+NKDEIVYSLDLILNATEVAVQLGSLKATLCDLTLNSASGVAEHPLCSQPVFPRRTSRLFSWNLEEQSNYLGCGCQSLLDPWNAVCPSDAQVSWLHGYKMDTLIEGGDHELSGVVSELASCFAEAILQLETQTCEDDKLISEPDNHTKHRIYAALHTETCGGGLLAWPEKLTLQLVHLATQCTLKLEDWATDNRNCLLLSCITAENPILHFFHKSGFKATCVDKVSGYSNPCISGLSGIPQTGTMKTLPEMLTVGIRDIAIIRHMNLFQKLSPHIVKQCLVLDVHDSILQRGLNLLQDLVANELSVGYSVVVTGEGTDSTDGSLTTCFLAVKLATSKKIPLLRMLQVESMEILIGPLVTSDIVRQGKLPVILSKGLIIHGEANEPITLLFARLILASVHETEKRTLMCAPKSTLISRVLCLLIQTSSFDVPIRCGCTESKLYSICLSLLHKNLRIVTTPTLGLRQVNEILNCCLIATFLGCDSFSSVHNQISATLIKLIPHTVLLHSLLASSQSTTCVYGGFGNHLQKLRGSPGYCSTVIRKLVSLTTRVRETTLPESKCLSPKKAELRSM
+>sp|Q9ULH7|MKL2_HUMAN MKL/myocardin-like protein 2 OS=Homo sapiens OX=9606 GN=MKL2 PE=1 SV=3
+MIDSSKKQQQGFPEILTAGDFEPLKEKECLEGSNQKSLKEVLQLRLQQRRTREQLVDQGIMPPLKSPAAFHEQIKSLERARTENFLKHKIRSRPDRSELVRMHILEETFAEPSLQATQMKLKRARLADDLNEKIAQRPGPMELVEKNILPVDSSVKEAIIGVGKEDYPHTQGDFSFDEDSSDALSPDQPASQESQGSAASPSEPKVSESPSPVTTNTPAQFASVSPTVPEFLKTPPTADQPPPRPAAPVLPTNTVSSAKPGPALVKQSHPKNPNDKHRSKKCKDPKPRVKKLKYHQYIPPDQKGEKNEPQMDSNYARLLQQQQLFLQLQILSQQKQHYNYQTILPAPFKPLNDKNSNSGNSALNNATPNTPRQNTSTPVRKPGPLPSSLDDLKVSELKTELKLRGLPVSGTKPDLIERLKPYQEVNSSGLAAGGIVAVSSSAIVTSNPEVTVALPVTTLHNTVTSSVSTLKAELPPTGTSNATRVENVHSPLPISPSPSEQSSLSTDDTNMADTFTEIMTMMSPSQFLSSSPLRMTNNEDSLSPTSSTLSNLELDAAEKDRKLQEKEKQIEELKRKLEQEQKLVEVLKMQLEVEKRGQQQRPLEAQPSAPGHSVKSDQKHGSLGSSIKDEASLPDCSSSRQPIPVASHAVGQPVSTGGQTLVAKKAVVIKQEVPVGQAEQQSVVSQFYVSSQGQPPPAVVAQPQALLTTQTAQLLLPVSIQGSSVTSVQLPVGSLKLQTSPQAGMQTQPQIATAAQIPTAALASGLAPTVPQTQDTFPQHVLSQPQQVRKVFTNSASSNTVLPYQRHPAPAVQQPFINKASNSVLQSRNAPLPSLQNGPNTPNKPSSPPPPQQFVVQHSLFGSPVAKTKDPPRYEEAIKQTRSTQAPLPEISNAHSQQMDDLFDILIKSGEISLPIKEEPSPISKMRPVTASITTMPVNTVVSRPPPQVQMAPPVSLEPMGSLSASLENQLEAFLDGTLPSANEIPPLQSSSEDREPFSLIEDLQNDLLSHSGMLDHSHSPMETSETQFAAGTPCLSLDLSDSNLDNMEWLDITMPNSSSGLTPLSTTAPSMFSADFLDPQDLPLPWD
+>DECOY_sp|Q9ULH7|MKL2_HUMAN MKL/myocardin-like protein 2 OS=Homo sapiens OX=9606 GN=MKL2 PE=1 SV=3
+DWPLPLDQPDLFDASFMSPATTSLPTLGSSSNPMTIDLWEMNDLNSDSLDLSLCPTGAAFQTESTEMPSHSHDLMGSHSLLDNQLDEILSFPERDESSSQLPPIENASPLTGDLFAELQNELSASLSGMPELSVPPAMQVQPPPRSVVTNVPMTTISATVPRMKSIPSPEEKIPLSIEGSKILIDFLDDMQQSHANSIEPLPAQTSRTQKIAEEYRPPDKTKAVPSGFLSHQVVFQQPPPPSSPKNPTNPGNQLSPLPANRSQLVSNSAKNIFPQQVAPAPHRQYPLVTNSSASNTFVKRVQQPQSLVHQPFTDQTQPVTPALGSALAATPIQAATAIQPQTQMGAQPSTQLKLSGVPLQVSTVSSGQISVPLLLQATQTTLLAQPQAVVAPPPQGQSSVYFQSVVSQQEAQGVPVEQKIVVAKKAVLTQGGTSVPQGVAHSAVPIPQRSSSCDPLSAEDKISSGLSGHKQDSKVSHGPASPQAELPRQQQGRKEVELQMKLVEVLKQEQELKRKLEEIQKEKEQLKRDKEAADLELNSLTSSTPSLSDENNTMRLPSSSLFQSPSMMTMIETFTDAMNTDDTSLSSQESPSPSIPLPSHVNEVRTANSTGTPPLEAKLTSVSSTVTNHLTTVPLAVTVEPNSTVIASSSVAVIGGAALGSSNVEQYPKLREILDPKTGSVPLGRLKLETKLESVKLDDLSSPLPGPKRVPTSTNQRPTNPTANNLASNGSNSNKDNLPKFPAPLITQYNYHQKQQSLIQLQLFLQQQQLLRAYNSDMQPENKEGKQDPPIYQHYKLKKVRPKPDKCKKSRHKDNPNKPHSQKVLAPGPKASSVTNTPLVPAAPRPPPQDATPPTKLFEPVTPSVSAFQAPTNTTVPSPSESVKPESPSAASGQSEQSAPQDPSLADSSDEDFSFDGQTHPYDEKGVGIIAEKVSSDVPLINKEVLEMPGPRQAIKENLDDALRARKLKMQTAQLSPEAFTEELIHMRVLESRDPRSRIKHKLFNETRARELSKIQEHFAAPSKLPPMIGQDVLQERTRRQQLRLQLVEKLSKQNSGELCEKEKLPEFDGATLIEPFGQQQKKSSDIM
+>sp|Q9BUB5|MKNK1_HUMAN MAP kinase-interacting serine/threonine-protein kinase 1 OS=Homo sapiens OX=9606 GN=MKNK1 PE=1 SV=1
+MVSSQKLEKPIEMGSSEPLPIADGDRRRKKKRRGRATDSLPGKFEDMYKLTSELLGEGAYAKVQGAVSLQNGKEYAVKIIEKQAGHSRSRVFREVETLYQCQGNKNILELIEFFEDDTRFYLVFEKLQGGSILAHIQKQKHFNEREASRVVRDVAAALDFLHTKDKVSLCHLGWSAMAPSGLTAAPTSLGSSDPPTSASQVAGTTGIAHRDLKPENILCESPEKVSPVKICDFDLGSGMKLNNSCTPITTPELTTPCGSAEYMAPEVVEVFTDQATFYDKRCDLWSLGVVLYIMLSGYPPFVGHCGADCGWDRGEVCRVCQNKLFESIQEGKYEFPDKDWAHISSEAKDLISKLLVRDAKQRLSAAQVLQHPWVQGQAPEKGLPTPQVLQRNSSTMDLTLFAAEAIALNRQLSQHEENELAEEPEALADGLCSMKLSPPCKSRLARRRALAQAGRGEDRSPPTAL
+>DECOY_sp|Q9BUB5|MKNK1_HUMAN MAP kinase-interacting serine/threonine-protein kinase 1 OS=Homo sapiens OX=9606 GN=MKNK1 PE=1 SV=1
+LATPPSRDEGRGAQALARRRALRSKCPPSLKMSCLGDALAEPEEALENEEHQSLQRNLAIAEAAFLTLDMTSSNRQLVQPTPLGKEPAQGQVWPHQLVQAASLRQKADRVLLKSILDKAESSIHAWDKDPFEYKGEQISEFLKNQCVRCVEGRDWGCDAGCHGVFPPYGSLMIYLVVGLSWLDCRKDYFTAQDTFVEVVEPAMYEASGCPTTLEPTTIPTCSNNLKMGSGLDFDCIKVPSVKEPSECLINEPKLDRHAIGTTGAVQSASTPPDSSGLSTPAATLGSPAMASWGLHCLSVKDKTHLFDLAAAVDRVVRSAERENFHKQKQIHALISGGQLKEFVLYFRTDDEFFEILELINKNGQCQYLTEVERFVRSRSHGAQKEIIKVAYEKGNQLSVAGQVKAYAGEGLLESTLKYMDEFKGPLSDTARGRRKKKRRRDGDAIPLPESSGMEIPKELKQSSVM
+>sp|Q9HBH9|MKNK2_HUMAN MAP kinase-interacting serine/threonine-protein kinase 2 OS=Homo sapiens OX=9606 GN=MKNK2 PE=1 SV=3
+MVQKKPAELQGFHRSFKGQNPFELAFSLDQPDHGDSDFGLQCSARPDMPASQPIDIPDAKKRGKKKKRGRATDSFSGRFEDVYQLQEDVLGEGAHARVQTCINLITSQEYAVKIIEKQPGHIRSRVFREVEMLYQCQGHRNVLELIEFFEEEDRFYLVFEKMRGGSILSHIHKRRHFNELEASVVVQDVASALDFLHNKGIAHRDLKPENILCEHPNQVSPVKICDFDLGSGIKLNGDCSPISTPELLTPCGSAEYMAPEVVEAFSEEASIYDKRCDLWSLGVILYILLSGYPPFVGRCGSDCGWDRGEACPACQNMLFESIQEGKYEFPDKDWAHISCAAKDLISKLLVRDAKQRLSAAQVLQHPWVQGCAPENTLPTPMVLQRNSCAKDLTSFAAEAIAMNRQLAQHDEDLAEEEAAGQGQPVLVRATSRCLQLSPPSQSKLAQRRQRASLSSAPVVLVGDHA
+>DECOY_sp|Q9HBH9|MKNK2_HUMAN MAP kinase-interacting serine/threonine-protein kinase 2 OS=Homo sapiens OX=9606 GN=MKNK2 PE=1 SV=3
+AHDGVLVVPASSLSARQRRQALKSQSPPSLQLCRSTARVLVPQGQGAAEEEALDEDHQALQRNMAIAEAAFSTLDKACSNRQLVMPTPLTNEPACGQVWPHQLVQAASLRQKADRVLLKSILDKAACSIHAWDKDPFEYKGEQISEFLMNQCAPCAEGRDWGCDSGCRGVFPPYGSLLIYLIVGLSWLDCRKDYISAEESFAEVVEPAMYEASGCPTLLEPTSIPSCDGNLKIGSGLDFDCIKVPSVQNPHECLINEPKLDRHAIGKNHLFDLASAVDQVVVSAELENFHRRKHIHSLISGGRMKEFVLYFRDEEEFFEILELVNRHGQCQYLMEVERFVRSRIHGPQKEIIKVAYEQSTILNICTQVRAHAGEGLVDEQLQYVDEFRGSFSDTARGRKKKKGRKKADPIDIPQSAPMDPRASCQLGFDSDGHDPQDLSFALEFPNQGKFSRHFGQLEAPKKQVM
+>sp|Q13064|MKRN3_HUMAN Probable E3 ubiquitin-protein ligase makorin-3 OS=Homo sapiens OX=9606 GN=MKRN3 PE=1 SV=1
+MEEPAAPSEAHEAAGAQAGAEAAREGVSGPDLPVCEPSGESAAPDSALPHAARGWAPFPVAPVPAHLRRGGLRPAPASGGGAWPSPLPSRSSGIWTKQIICRYYIHGQCKEGENCRYSHDLSGRKMATEGGVSPPGASAGGGPSTAAHIEPPTQEVAEAPPAASSLSLPVIGSAAERGFFEAERDNADRGAAGGAGVESWADAIEFVPGQPYRGRWVASAPEAPLQSSETERKQMAVGSGLRFCYYASRGVCFRGESCMYLHGDICDMCGLQTLHPMDAAQREEHMRACIEAHEKDMELSFAVQRGMDKVCGICMEVVYEKANPNDRRFGILSNCNHSFCIRCIRRWRSARQFENRIVKSCPQCRVTSELVIPSEFWVEEEEEKQKLIQQYKEAMSNKACRYFAEGRGNCPFGDTCFYKHEYPEGWGDEPPGPGGGSFSAYWHQLVEPVRMGEGNMLYKSIKKELVVLRLASLLFKRFLSLRDELPFSEDQWDLLHYELEEYFNLIL
+>DECOY_sp|Q13064|MKRN3_HUMAN Probable E3 ubiquitin-protein ligase makorin-3 OS=Homo sapiens OX=9606 GN=MKRN3 PE=1 SV=1
+LILNFYEELEYHLLDWQDESFPLEDRLSLFRKFLLSALRLVVLEKKISKYLMNGEGMRVPEVLQHWYASFSGGGPGPPEDGWGEPYEHKYFCTDGFPCNGRGEAFYRCAKNSMAEKYQQILKQKEEEEEVWFESPIVLESTVRCQPCSKVIRNEFQRASRWRRICRICFSHNCNSLIGFRRDNPNAKEYVVEMCIGCVKDMGRQVAFSLEMDKEHAEICARMHEERQAADMPHLTQLGCMDCIDGHLYMCSEGRFCVGRSAYYCFRLGSGVAMQKRETESSQLPAEPASAVWRGRYPQGPVFEIADAWSEVGAGGAAGRDANDREAEFFGREAASGIVPLSLSSAAPPAEAVEQTPPEIHAATSPGGGASAGPPSVGGETAMKRGSLDHSYRCNEGEKCQGHIYYRCIIQKTWIGSSRSPLPSPWAGGGSAPAPRLGGRRLHAPVPAVPFPAWGRAAHPLASDPAASEGSPECVPLDPGSVGERAAEAGAQAGAAEHAESPAAPEEM
+>sp|Q5HYA8|MKS3_HUMAN Meckelin OS=Homo sapiens OX=9606 GN=TMEM67 PE=1 SV=2
+MATRGGAGVAMAVWSLLSARAVTAFLLLFLPRFLQAQTFSFPFQQPEKCDNNQYFDISALSCVPCGANQRQDARGTSCVCLPGFQMISNNGGPAIICKKCPENMKGVTEDGWNCISCPSDLTAEGKCHCPIGHILVERDINGTLLSQATCELCDGNENSFMVVNALGDRCVRCEPTFVNTSRSCACSEPNILTGGLCFSSTGNFPLRRISAARYGEVGMSLTSEWFAKYLQSSAAACWVYANLTSCQALGNMCVMNMNSYDFATFDACGLFQFIFENTAGLSTVHSISFWRQNLPWLFYGDQLGLAPQVLSSTSLPTNFSFKGENQNTKLKFVAASYDIRGNFLKWQTLEGGVLQLCPDTETRLNAAYSFGTTYQQNCEIPISKILIDFPTPIFYDVYLEYTDENQHQYILAVPVLNLNLQHNKIFVNQDSNSGKWLLTRRIFLVDAVSGRENDLGTQPRVIRVATQISLSVHLVPNTINGNIYPPLITIAYSDIDIKDANSQSVKVSFSVTYEMDHGEAHVQTDIALGVLGGLAVLASLLKTAGWKRRIGSPMIDLQTVVKFLVYYAGDLANVFFIITVGTGLYWLIFFKAQKSVSVLLPMPIQEERFVTYVGCAFALKALQFLHKLISQITIDVFFIDWERPKGKVLKAVEGEGGVRSATVPVSIWRTYFVANEWNEIQTVRKINSLFQVLTVLFFLEVVGFKNLALMDSSSSLSRNPPSYIAPYSCILRYAVSAALWLAIGIIQVVFFAVFYERFIEDKIRQFVDLCSMSNISVFLLSHKCFGYYIHGRSVHGHADTNMEEMNMNLKREAENLCSQRGLVPNTDGQTFEIAISNQMRQHYDRIHETLIRKNGPARLLSSSASTFEQSIKAYHMMNKFLGSFIDHVHKEMDYFIKDKLLLERILGMEFMEPMEKSIFYNDEGYSFSSVLYYGNEATLLIFDLLFFCVVDLACQNFILASFLTYLQQEIFRYIRNTVGQKNLASKTLVDQRFLI
+>DECOY_sp|Q5HYA8|MKS3_HUMAN Meckelin OS=Homo sapiens OX=9606 GN=TMEM67 PE=1 SV=2
+ILFRQDVLTKSALNKQGVTNRIYRFIEQQLYTLFSALIFNQCALDVVCFFLLDFILLTAENGYYLVSSFSYGEDNYFISKEMPEMFEMGLIRELLLKDKIFYDMEKHVHDIFSGLFKNMMHYAKISQEFTSASSSLLRAPGNKRILTEHIRDYHQRMQNSIAIEFTQGDTNPVLGRQSCLNEAERKLNMNMEEMNTDAHGHVSRGHIYYGFCKHSLLFVSINSMSCLDVFQRIKDEIFREYFVAFFVVQIIGIALWLAASVAYRLICSYPAIYSPPNRSLSSSSDMLALNKFGVVELFFLVTLVQFLSNIKRVTQIENWENAVFYTRWISVPVTASRVGGEGEVAKLVKGKPREWDIFFVDITIQSILKHLFQLAKLAFACGVYTVFREEQIPMPLLVSVSKQAKFFILWYLGTGVTIIFFVNALDGAYYVLFKVVTQLDIMPSGIRRKWGATKLLSALVALGGLVGLAIDTQVHAEGHDMEYTVSFSVKVSQSNADKIDIDSYAITILPPYINGNITNPVLHVSLSIQTAVRIVRPQTGLDNERGSVADVLFIRRTLLWKGSNSDQNVFIKNHQLNLNLVPVALIYQHQNEDTYELYVDYFIPTPFDILIKSIPIECNQQYTTGFSYAANLRTETDPCLQLVGGELTQWKLFNGRIDYSAAVFKLKTNQNEGKFSFNTPLSTSSLVQPALGLQDGYFLWPLNQRWFSISHVTSLGATNEFIFQFLGCADFTAFDYSNMNMVCMNGLAQCSTLNAYVWCAAASSQLYKAFWESTLSMGVEGYRAASIRRLPFNGTSSFCLGGTLINPESCACSRSTNVFTPECRVCRDGLANVVMFSNENGDCLECTAQSLLTGNIDREVLIHGIPCHCKGEATLDSPCSICNWGDETVGKMNEPCKKCIIAPGGNNSIMQFGPLCVCSTGRADQRQNAGCPVCSLASIDFYQNNDCKEPQQFPFSFTQAQLFRPLFLLLFATVARASLLSWVAMAVGAGGRTAM
+>sp|P40692|MLH1_HUMAN DNA mismatch repair protein Mlh1 OS=Homo sapiens OX=9606 GN=MLH1 PE=1 SV=1
+MSFVAGVIRRLDETVVNRIAAGEVIQRPANAIKEMIENCLDAKSTSIQVIVKEGGLKLIQIQDNGTGIRKEDLDIVCERFTTSKLQSFEDLASISTYGFRGEALASISHVAHVTITTKTADGKCAYRASYSDGKLKAPPKPCAGNQGTQITVEDLFYNIATRRKALKNPSEEYGKILEVVGRYSVHNAGISFSVKKQGETVADVRTLPNASTVDNIRSIFGNAVSRELIEIGCEDKTLAFKMNGYISNANYSVKKCIFLLFINHRLVESTSLRKAIETVYAAYLPKNTHPFLYLSLEISPQNVDVNVHPTKHEVHFLHEESILERVQQHIESKLLGSNSSRMYFTQTLLPGLAGPSGEMVKSTTSLTSSSTSGSSDKVYAHQMVRTDSREQKLDAFLQPLSKPLSSQPQAIVTEDKTDISSGRARQQDEEMLELPAPAEVAAKNQSLEGDTTKGTSEMSEKRGPTSSNPRKRHREDSDVEMVEDDSRKEMTAACTPRRRIINLTSVLSLQEEINEQGHEVLREMLHNHSFVGCVNPQWALAQHQTKLYLLNTTKLSEELFYQILIYDFANFGVLRLSEPAPLFDLAMLALDSPESGWTEEDGPKEGLAEYIVEFLKKKAEMLADYFSLEIDEEGNLIGLPLLIDNYVPPLEGLPIFILRLATEVNWDEEKECFESLSKECAMFYSIRKQYISEESTLSGQQSEVPGSIPNSWKWTVEHIVYKALRSHILPPKHFTEDGNILQLANLPDLYKVFERC
+>DECOY_sp|P40692|MLH1_HUMAN DNA mismatch repair protein Mlh1 OS=Homo sapiens OX=9606 GN=MLH1 PE=1 SV=1
+CREFVKYLDPLNALQLINGDETFHKPPLIHSRLAKYVIHEVTWKWSNPISGPVESQQGSLTSEESIYQKRISYFMACEKSLSEFCEKEEDWNVETALRLIFIPLGELPPVYNDILLPLGILNGEEDIELSFYDALMEAKKKLFEVIYEALGEKPGDEETWGSEPSDLALMALDFLPAPESLRLVGFNAFDYILIQYFLEESLKTTNLLYLKTQHQALAWQPNVCGVFSHNHLMERLVEHGQENIEEQLSLVSTLNIIRRRPTCAATMEKRSDDEVMEVDSDERHRKRPNSSTPGRKESMESTGKTTDGELSQNKAAVEAPAPLELMEEDQQRARGSSIDTKDETVIAQPQSSLPKSLPQLFADLKQERSDTRVMQHAYVKDSSGSTSSSTLSTTSKVMEGSPGALGPLLTQTFYMRSSNSGLLKSEIHQQVRELISEEHLFHVEHKTPHVNVDVNQPSIELSLYLFPHTNKPLYAAYVTEIAKRLSTSEVLRHNIFLLFICKKVSYNANSIYGNMKFALTKDECGIEILERSVANGFISRINDVTSANPLTRVDAVTEGQKKVSFSIGANHVSYRGVVELIKGYEESPNKLAKRRTAINYFLDEVTIQTGQNGACPKPPAKLKGDSYSARYACKGDATKTTITVHAVHSISALAEGRFGYTSISALDEFSQLKSTTFRECVIDLDEKRIGTGNDQIQILKLGGEKVIVQISTSKADLCNEIMEKIANAPRQIVEGAAIRNVVTEDLRRIVGAVFSM
+>sp|P10916|MLRV_HUMAN Myosin regulatory light chain 2, ventricular/cardiac muscle isoform OS=Homo sapiens OX=9606 GN=MYL2 PE=1 SV=3
+MAPKKAKKRAGGANSNVFSMFEQTQIQEFKEAFTIMDQNRDGFIDKNDLRDTFAALGRVNVKNEEIDEMIKEAPGPINFTVFLTMFGEKLKGADPEETILNAFKVFDPEGKGVLKADYVREMLTTQAERFSKEEVDQMFAAFPPDVTGNLDYKNLVHIITHGEEKD
+>DECOY_sp|P10916|MLRV_HUMAN Myosin regulatory light chain 2, ventricular/cardiac muscle isoform OS=Homo sapiens OX=9606 GN=MYL2 PE=1 SV=3
+DKEEGHTIIHVLNKYDLNGTVDPPFAAFMQDVEEKSFREAQTTLMERVYDAKLVGKGEPDFVKFANLITEEPDAGKLKEGFMTLFVTFNIPGPAEKIMEDIEENKVNVRGLAAFTDRLDNKDIFGDRNQDMITFAEKFEQIQTQEFMSFVNSNAGGARKKAKKPAM
+>sp|Q6ZRQ5|MMS22_HUMAN Protein MMS22-like OS=Homo sapiens OX=9606 GN=MMS22L PE=1 SV=3
+MENCSAASTFLTDSLELELGTEWCKPPYFSCAVDNRGGGKHFSGESYLCSGALKRLILNLDPLPTNFEEDTLEIFGIQWVTETALVNSSRELFHLFRQQLYNLETLLQSSCDFGKVSTLHCKADNIRQQCVLFLHYVKVFIFRYLKVQNAESHVPVHPYEALEAQLPSVLIDELHGLLLYIGHLSELPSVNIGAFVNQNQIKLFPPSWHLLHLHLDIHWLVLEILYMLGEKLKQVVYGHQFMNLASDNLTNISLFEEHCETLLCDLISLSLNRYDKVRSSESLMSDQCPCLCIKELWVLLIHLLDHRSKWFVSESFWNWLNKLLKTLLEKSSDRRRSSMPVIQSRDPLGFSWWIITHVASFYKFDRHGVPDEMRKVESNWNFVEELLKKSISVQGVILEEQLRMYLHCCLTLCDFWEPNIAIVTILWEYYSKNLNSSFSISWLPFKGLANTMKSPLSMLEMVKTCCCDKQDQELYKSSSSYTIFLCILAKVVKKAMKSNGPHPWKQVKGRIYSKFHQKRMEELTEVGLQNFFSLFLLLAAVAEVEDVASHVLDLLNFLKPAFVTSQRALIWKGHMAFLLMYAQKNLDIGVLAEKFSCAFREKAKEFLVSKNEEMVQRQTIWTLLSIYIDGVQEVFETSYCLYPSHEKLLNDGFSMLLRACRESELRTVLSFLQAVLARIRSMHQQLCQELQRDNVDLFVQSSLSAKERHLAAVASALWRHFFSFLKSQRMSQVVPFSQLADAAADFTLLAMDMPSTAPSDFQPQPVISIIQLFGWDDIICPQVVARYLSHVLQNSTLCEALSHSGYVSFQALTVRSWIRCVLQMYIKNLSGPDDLLIDKNLEEAVEKEYMKQLVKLTRLLFNLSEVKSIFSKAQVEYLSISEDPKKALVRFFEAVGVTYGNVQTLSDKSAMVTKSLEYLGEVLKYIKPYLGKKVFSAGLQLTYGMMGILVKSWAQIFATSKAQKLLFRIIDCLLLPHAVLQQEKELPAPMLSAIQKSLPLYLQGMCIVCCQSQNPNAYLNQLLGNVIEQYIGRFLPASPYVSDLGQHPVLLALRNTATIPPISSLKKCIVQVIRKSYLEYKGSSPPPRLASILAFILQLFKETNTDIYEVELLLPGILKCLVLVSEPQVKRLATENLQYMVKACQVGSEEEPSSQLTSVFRQFIQDYGMRYYYQVYSILETVATLDQQVVIHLISTLTQSLKDSEQKWGLGRNIAQREAYSKLLSHLGQMGQDEMQRLENDNT
+>DECOY_sp|Q6ZRQ5|MMS22_HUMAN Protein MMS22-like OS=Homo sapiens OX=9606 GN=MMS22L PE=1 SV=3
+TNDNELRQMEDQGMQGLHSLLKSYAERQAINRGLGWKQESDKLSQTLTSILHIVVQQDLTAVTELISYVQYYYRMGYDQIFQRFVSTLQSSPEEESGVQCAKVMYQLNETALRKVQPESVLVLCKLIGPLLLEVEYIDTNTEKFLQLIFALISALRPPPSSGKYELYSKRIVQVICKKLSSIPPITATNRLALLVPHQGLDSVYPSAPLFRGIYQEIVNGLLQNLYANPNQSQCCVICMGQLYLPLSKQIASLMPAPLEKEQQLVAHPLLLCDIIRFLLKQAKSTAFIQAWSKVLIGMMGYTLQLGASFVKKGLYPKIYKLVEGLYELSKTVMASKDSLTQVNGYTVGVAEFFRVLAKKPDESISLYEVQAKSFISKVESLNFLLRTLKVLQKMYEKEVAEELNKDILLDDPGSLNKIYMQLVCRIWSRVTLAQFSVYGSHSLAECLTSNQLVHSLYRAVVQPCIIDDWGFLQIISIVPQPQFDSPATSPMDMALLTFDAAADALQSFPVVQSMRQSKLFSFFHRWLASAVAALHREKASLSSQVFLDVNDRQLEQCLQQHMSRIRALVAQLFSLVTRLESERCARLLMSFGDNLLKEHSPYLCYSTEFVEQVGDIYISLLTWITQRQVMEENKSVLFEKAKERFACSFKEALVGIDLNKQAYMLLFAMHGKWILARQSTVFAPKLFNLLDLVHSAVDEVEAVAALLLFLSFFNQLGVETLEEMRKQHFKSYIRGKVQKWPHPGNSKMAKKVVKALICLFITYSSSSKYLEQDQKDCCCTKVMELMSLPSKMTNALGKFPLWSISFSSNLNKSYYEWLITVIAINPEWFDCLTLCCHLYMRLQEELIVGQVSISKKLLEEVFNWNSEVKRMEDPVGHRDFKYFSAVHTIIWWSFGLPDRSQIVPMSSRRRDSSKELLTKLLKNLWNWFSESVFWKSRHDLLHILLVWLEKICLCPCQDSMLSESSRVKDYRNLSLSILDCLLTECHEEFLSINTLNDSALNMFQHGYVVQKLKEGLMYLIELVLWHIDLHLHLLHWSPPFLKIQNQNVFAGINVSPLESLHGIYLLLGHLEDILVSPLQAELAEYPHVPVHSEANQVKLYRFIFVKVYHLFLVCQQRINDAKCHLTSVKGFDCSSQLLTELNYLQQRFLHFLERSSNVLATETVWQIGFIELTDEEFNTPLPDLNLILRKLAGSCLYSEGSFHKGGGRNDVACSFYPPKCWETGLELELSDTLFTSAASCNEM
+>sp|Q9BU76|MMTA2_HUMAN Multiple myeloma tumor-associated protein 2 OS=Homo sapiens OX=9606 GN=MMTAG2 PE=1 SV=1
+MFGSSRGGVRGGQDQFNWEDVKTDKQRENYLGNSLMAPVGRWQKGRDLTWYAKGRAPCAGPSREEELAAVREAEREALLAALGYKNVKKQPTGLSKEDFAEVCKREGGDPEEKGVDRLLGLGSASGSVGRVAMSREDKEAAKLGLSVFTHHRVESGGPGTSAASARRKPRAEDQTESSCESHRKSKKEKKKKKKRKHKKEKKKKDKEHRRPAEATSSPTSPERPRHHHHDSDSNSPCCKRRKRGHSGDRRSPSRRWHDRGSEA
+>DECOY_sp|Q9BU76|MMTA2_HUMAN Multiple myeloma tumor-associated protein 2 OS=Homo sapiens OX=9606 GN=MMTAG2 PE=1 SV=1
+AESGRDHWRRSPSRRDGSHGRKRRKCCPSNSDSDHHHHRPREPSTPSSTAEAPRRHEKDKKKKEKKHKRKKKKKKEKKSKRHSECSSETQDEARPKRRASAASTGPGGSEVRHHTFVSLGLKAAEKDERSMAVRGVSGSASGLGLLRDVGKEEPDGGERKCVEAFDEKSLGTPQKKVNKYGLAALLAEREAERVAALEEERSPGACPARGKAYWTLDRGKQWRGVPAMLSNGLYNERQKDTKVDEWNFQDQGGRVGGRSSGFM
+>sp|Q7L9L4|MOB1B_HUMAN MOB kinase activator 1B OS=Homo sapiens OX=9606 GN=MOB1B PE=1 SV=3
+MSFLFGSRSSKTFKPKKNIPEGSHQYELLKHAEATLGSGNLRMAVMLPEGEDLNEWVAVNTVDFFNQINMLYGTITDFCTEESCPVMSAGPKYEYHWADGTNIKKPIKCSAPKYIDYLMTWVQDQLDDETLFPSKIGVPFPKNFMSVAKTILKRLFRVYAHIYHQHFDPVIQLQEEAHLNTSFKHFIFFVQEFNLIDRRELAPLQELIEKLTSKDR
+>DECOY_sp|Q7L9L4|MOB1B_HUMAN MOB kinase activator 1B OS=Homo sapiens OX=9606 GN=MOB1B PE=1 SV=3
+RDKSTLKEILEQLPALERRDILNFEQVFFIFHKFSTNLHAEEQLQIVPDFHQHYIHAYVRFLRKLITKAVSMFNKPFPVGIKSPFLTEDDLQDQVWTMLYDIYKPASCKIPKKINTGDAWHYEYKPGASMVPCSEETCFDTITGYLMNIQNFFDVTNVAVWENLDEGEPLMVAMRLNGSGLTAEAHKLLEYQHSGEPINKKPKFTKSSRSGFLFSM
+>sp|Q70IA6|MOB2_HUMAN MOB kinase activator 2 OS=Homo sapiens OX=9606 GN=MOB2 PE=1 SV=1
+MDWLMGKSKAKPNGKKPAAEERKAYLEPEHTKARITDFQFKELVVLPREIDLNEWLASNTTTFFHHINLQYSTISEFCTGETCQTMAVCNTQYYWYDERGKKVKCTAPQYVDFVMSSVQKLVTDEDVFPTKYGREFPSSFESLVRKICRHLFHVLAHIYWAHFKETLALELHGHLNTLYVHFILFAREFNLLDPKETAIMDDLTEVLCSGAGGVHSGGSGDGAGSGGPGAQNHVKER
+>DECOY_sp|Q70IA6|MOB2_HUMAN MOB kinase activator 2 OS=Homo sapiens OX=9606 GN=MOB2 PE=1 SV=1
+REKVHNQAGPGGSGAGDGSGGSHVGGAGSCLVETLDDMIATEKPDLLNFERAFLIFHVYLTNLHGHLELALTEKFHAWYIHALVHFLHRCIKRVLSEFSSPFERGYKTPFVDEDTVLKQVSSMVFDVYQPATCKVKKGREDYWYYQTNCVAMTQCTEGTCFESITSYQLNIHHFFTTTNSALWENLDIERPLVVLEKFQFDTIRAKTHEPELYAKREEAAPKKGNPKAKSKGMLWDM
+>sp|Q9Y605|MOFA1_HUMAN MORF4 family-associated protein 1 OS=Homo sapiens OX=9606 GN=MRFAP1 PE=1 SV=1
+MRPLDIVELAEPEEVEVLEPEEDFEQFLLPVINEMREDIASLTREHGRAYLRNRSKLWEMDNMLIQIKTQVEASEESALNHLQNPGDAAEGRAAKRCEKAEEKAKEIAKMAEMLVELVRRIEKSESS
+>DECOY_sp|Q9Y605|MOFA1_HUMAN MORF4 family-associated protein 1 OS=Homo sapiens OX=9606 GN=MRFAP1 PE=1 SV=1
+SSESKEIRRVLEVLMEAMKAIEKAKEEAKECRKAARGEAADGPNQLHNLASEESAEVQTKIQILMNDMEWLKSRNRLYARGHERTLSAIDERMENIVPLLFQEFDEEPELVEVEEPEALEVIDLPRM
+>sp|Q9Y6X9|MORC2_HUMAN MORC family CW-type zinc finger protein 2 OS=Homo sapiens OX=9606 GN=MORC2 PE=1 SV=2
+MAFTNYSSLNRAQLTFEYLHTNSTTHEFLFGALAELVDNARDADATRIDIYAERREDLRGGFMLCFLDDGAGMDPSDAASVIQFGKSAKRTPESTQIGQYGNGLKSGSMRIGKDFILFTKKEDTMTCLFLSRTFHEEEGIDEVIVPLPTWNARTREPVTDNVEKFAIETELIYKYSPFRTEEEVMTQFMKIPGDSGTLVIIFNLKLMDNGEPELDIISNPRDIQMAETSPEGTKPERRSFRAYAAVLYIDPRMRIFIHGHKVQTKRLSCCLYKPRMYKYTSSRFKTRAEQEVKKAEHVARIAEEKAREAESKARTLEVRLGGDLTRDSRVMLRQVQNRAITLRREADVKKRIKEAKQRALKEPKELNFVFGVNIEHRDLDGMFIYNCSRLIKMYEKVGPQLEGGMACGGVVGVVDVPYLVLEPTHNKQDFADAKEYRHLLRAMGEHLAQYWKDIAIAQRGIIKFWDEFGYLSANWNQPPSSELRYKRRRAMEIPTTIQCDLCLKWRTLPFQLSSVEKDYPDTWVCSMNPDPEQDRCEASEQKQKVPLGTFRKDMKTQEEKQKQLTEKIRQQQEKLEALQKTTPIRSQADLKKLPLEVTTRPSTEEPVRRPQRPRSPPLPAVIRNAPSRPPSLPTPRPASQPRKAPVISSTPKLPALAAREEASTSRLLQPPEAPRKPANTLVKTASRPAPLVQQLSPSLLPNSKSPREVPSPKVIKTPVVKKTESPIKLSPATPSRKRSVAVSDEEEVEEEAERRKERCKRGRFVVKEEKKDSNELSDSAGEEDSADLKRAQKDKGLHVEVRVNREWYTGRVTAVEVGKHVVRWKVKFDYVPTDTTPRDRWVEKGSEDVRLMKPPSPEHQSLDTQQEGGEEEVGPVAQQAIAVAEPSTSECLRIEPDTTALSTNHETIDLLVQILRNCLRYFLPPSFPISKKQLSAMNSDELISFPLKEYFKQYEVGLQNLCNSYQSRADSRAKASEESLRTSERKLRETEEKLQKLRTNIVALLQKVQEDIDINTDDELDAYIEDLITKGD
+>DECOY_sp|Q9Y6X9|MORC2_HUMAN MORC family CW-type zinc finger protein 2 OS=Homo sapiens OX=9606 GN=MORC2 PE=1 SV=2
+DGKTILDEIYADLEDDTNIDIDEQVKQLLAVINTRLKQLKEETERLKRESTRLSEESAKARSDARSQYSNCLNQLGVEYQKFYEKLPFSILEDSNMASLQKKSIPFSPPLFYRLCNRLIQVLLDITEHNTSLATTDPEIRLCESTSPEAVAIAQQAVPGVEEEGGEQQTDLSQHEPSPPKMLRVDESGKEVWRDRPTTDTPVYDFKVKWRVVHKGVEVATVRGTYWERNVRVEVHLGKDKQARKLDASDEEGASDSLENSDKKEEKVVFRGRKCREKRREAEEEVEEEDSVAVSRKRSPTAPSLKIPSETKKVVPTKIVKPSPVERPSKSNPLLSPSLQQVLPAPRSATKVLTNAPKRPAEPPQLLRSTSAEERAALAPLKPTSSIVPAKRPQSAPRPTPLSPPRSPANRIVAPLPPSRPRQPRRVPEETSPRTTVELPLKKLDAQSRIPTTKQLAELKEQQQRIKETLQKQKEEQTKMDKRFTGLPVKQKQESAECRDQEPDPNMSCVWTDPYDKEVSSLQFPLTRWKLCLDCQITTPIEMARRRKYRLESSPPQNWNASLYGFEDWFKIIGRQAIAIDKWYQALHEGMARLLHRYEKADAFDQKNHTPELVLYPVDVVGVVGGCAMGGELQPGVKEYMKILRSCNYIFMGDLDRHEINVGFVFNLEKPEKLARQKAEKIRKKVDAERRLTIARNQVQRLMVRSDRTLDGGLRVELTRAKSEAERAKEEAIRAVHEAKKVEQEARTKFRSSTYKYMRPKYLCCSLRKTQVKHGHIFIRMRPDIYLVAAYARFSRREPKTGEPSTEAMQIDRPNSIIDLEPEGNDMLKLNFIIVLTGSDGPIKMFQTMVEEETRFPSYKYILETEIAFKEVNDTVPERTRANWTPLPVIVEDIGEEEHFTRSLFLCTMTDEKKTFLIFDKGIRMSGSKLGNGYQGIQTSEPTRKASKGFQIVSAADSPDMGAGDDLFCLMFGGRLDERREAYIDIRTADADRANDVLEALAGFLFEHTTSNTHLYEFTLQARNLSSYNTFAM
+>sp|P54296|MYOM2_HUMAN Myomesin-2 OS=Homo sapiens OX=9606 GN=MYOM2 PE=1 SV=2
+MSLVTVPFYQKRHRHFDQSYRNIQTRYLLDEYASKKRASTQASSQKSLSQRSSSQRASSQTSLGGTICRVCAKRVSTQEDEEQENRSRYQSLVAAYGEAKRQRFLSELAHLEEDVHLARSQARDKLDKYAIQQMMEDKLAWERHTFEERISRAPEILVRLRSHTVWERMSVKLCFTVQGFPTPVVQWYKDGSLICQAAEPGKYRIESNYGVHTLEINRADFDDTATYSAVATNAHGQVSTNAAVVVRRFRGDEEPFRSVGLPIGLPLSSMIPYTHFDVQFLEKFGVTFRREGETVTLKCTMLVTPDLKRVQPRAEWYRDDVLLKESKWTKMFFGEGQASLSFSHLHKDDEGLYTLRIVSRGGVSDHSAFLFVRDADPLVTGAPGAPMDLQCHDANRDYVIVTWKPPNTTTESPVMGYFVDRCEVGTNNWVQCNDAPVKICKYPVTGLFEGRSYIFRVRAVNSAGISRPSRVSDAVAALDPLDLRRLQAVHLEGEKEIAIYQDDLEGDAQVPGPPTGVHASEISRNYVVLSWEPPTPRGKDPLMYFIEKSVVGSGSWQRVNAQTAVRSPRYAVFDLMEGKSYVFRVLSANRHGLSEPSEITSPIQAQDVTVVPSAPGRVLASRNTKTSVVVQWDRPKHEEDLLGYYVDCCVAGTNLWEPCNHKPIGYNRFVVHGLTTGEQYIFRVKAVNAVGMSENSQESDVIKVQAALTVPSHPYGITLLNCDGHSMTLGWKVPKFSGGSPILGYYLDKREVHHKNWHEVNSSPSKPTILTVDGLTEGSLYEFKIAAVNLAGIGEPSDPSEHFKCEAWTMPEPGPAYDLTFCEVRDTSLVMLWKAPVYSGSSPVSGYFVDFREEDAGEWITVNQTTTANRYLKVSDLQQGKTYVFRVRAVNANGVGKPSDTSEPVLVEARPGTKEISAGVDEQGNIYLGFDCQEMTDASQFTWCKSYEEISDDERFKIETVGDHSKLYLKNPDKEDLGTYSVSVSDTDGVSSSFVLDPEELERLMALSNEIKNPTIPLKSELAYEIFDKGRVRFWLQAEHLSPDASYRFIINDREVSDSEIHRIKCDKATGIIEMVMDRFSIENEGTYTVQIHDGKAKSQSSLVLIGDAFKTVLEEAEFQRKEFLRKQGPHFAEYLHWDVTEECEVRLVCKVANTKKETVFKWLKDDVLYETETLPNLERGICELLIPKLSKKDHGEYKATLKDDRGQDVSILEIAGKVYDDMILAMSRVCGKSASPLKVLCTPEGIRLQCFMKYFTDEMKVNWCHKDAKISSSEHMRIGGSEEMAWLQICEPTEKDKGKYTFEIFDGKDNHQRSLDLSGQAFDEAFAEFQQFKAAAFAEKNRGRLIGGLPDVVTIMEGKTLNLTCTVFGNPDPEVIWFKNDQDIQLSEHFSVKVEQAKYVSMTIKGVTSEDSGKYSINIKNKYGGEKIDVTVSVYKHGEKIPDMAPPQQAKPKLIPASASAAGQ
+>DECOY_sp|P54296|MYOM2_HUMAN Myomesin-2 OS=Homo sapiens OX=9606 GN=MYOM2 PE=1 SV=2
+QGAASASAPILKPKAQQPPAMDPIKEGHKYVSVTVDIKEGGYKNKINISYKGSDESTVGKITMSVYKAQEVKVSFHESLQIDQDNKFWIVEPDPNGFVTCTLNLTKGEMITVVDPLGGILRGRNKEAFAAAKFQQFEAFAEDFAQGSLDLSRQHNDKGDFIEFTYKGKDKETPECIQLWAMEESGGIRMHESSSIKADKHCWNVKMEDTFYKMFCQLRIGEPTCLVKLPSASKGCVRSMALIMDDYVKGAIELISVDQGRDDKLTAKYEGHDKKSLKPILLECIGRELNPLTETEYLVDDKLWKFVTEKKTNAVKCVLRVECEETVDWHLYEAFHPGQKRLFEKRQFEAEELVTKFADGILVLSSQSKAKGDHIQVTYTGENEISFRDMVMEIIGTAKDCKIRHIESDSVERDNIIFRYSADPSLHEAQLWFRVRGKDFIEYALESKLPITPNKIENSLAMLRELEEPDLVFSSSVGDTDSVSVSYTGLDEKDPNKLYLKSHDGVTEIKFREDDSIEEYSKCWTFQSADTMEQCDFGLYINGQEDVGASIEKTGPRAEVLVPESTDSPKGVGNANVARVRFVYTKGQQLDSVKLYRNATTTQNVTIWEGADEERFDVFYGSVPSSGSYVPAKWLMVLSTDRVECFTLDYAPGPEPMTWAECKFHESPDSPEGIGALNVAAIKFEYLSGETLGDVTLITPKSPSSNVEHWNKHHVERKDLYYGLIPSGGSFKPVKWGLTMSHGDCNLLTIGYPHSPVTLAAQVKIVDSEQSNESMGVANVAKVRFIYQEGTTLGHVVFRNYGIPKHNCPEWLNTGAVCCDVYYGLLDEEHKPRDWQVVVSTKTNRSALVRGPASPVVTVDQAQIPSTIESPESLGHRNASLVRFVYSKGEMLDFVAYRPSRVATQANVRQWSGSGVVSKEIFYMLPDKGRPTPPEWSLVVYNRSIESAHVGTPPGPVQADGELDDQYIAIEKEGELHVAQLRRLDLPDLAAVADSVRSPRSIGASNVARVRFIYSRGEFLGTVPYKCIKVPADNCQVWNNTGVECRDVFYGMVPSETTTNPPKWTVIVYDRNADHCQLDMPAGPAGTVLPDADRVFLFASHDSVGGRSVIRLTYLGEDDKHLHSFSLSAQGEGFFMKTWKSEKLLVDDRYWEARPQVRKLDPTVLMTCKLTVTEGERRFTVGFKELFQVDFHTYPIMSSLPLGIPLGVSRFPEEDGRFRRVVVAANTSVQGHANTAVASYTATDDFDARNIELTHVGYNSEIRYKGPEAAQCILSGDKYWQVVPTPFGQVTFCLKVSMREWVTHSRLRVLIEPARSIREEFTHREWALKDEMMQQIAYKDLKDRAQSRALHVDEELHALESLFRQRKAEGYAAVLSQYRSRNEQEEDEQTSVRKACVRCITGGLSTQSSARQSSSRQSLSKQSSAQTSARKKSAYEDLLYRTQINRYSQDFHRHRKQYFPVTVLSM
+>sp|Q9NP98|MYOZ1_HUMAN Myozenin-1 OS=Homo sapiens OX=9606 GN=MYOZ1 PE=1 SV=1
+MPLSGTPAPNKKRKSSKLIMELTGGGQESSGLNLGKKISVPRDVMLEELSLLTNRGSKMFKLRQMRVEKFIYENHPDVFSDSSMDHFQKFLPTVGGQLGTAGQGFSYSKSNGRGGSQAGGSGSAGQYGSDQQHHLGSGSGAGGTGGPAGQAGRGGAAGTAGVGETGSGDQAGGEGKHITVFKTYISPWERAMGVDPQQKMELGIDLLAYGAKAELPKYKSFNRTAMPYGGYEKASKRMTFQMPKFDLGPLLSEPLVLYNQNLSNRPSFNRTPIPWLSSGEPVDYNVDIGIPLDGETEEL
+>DECOY_sp|Q9NP98|MYOZ1_HUMAN Myozenin-1 OS=Homo sapiens OX=9606 GN=MYOZ1 PE=1 SV=1
+LEETEGDLPIGIDVNYDVPEGSSLWPIPTRNFSPRNSLNQNYLVLPESLLPGLDFKPMQFTMRKSAKEYGGYPMATRNFSKYKPLEAKAGYALLDIGLEMKQQPDVGMAREWPSIYTKFVTIHKGEGGAQDGSGTEGVGATGAAGGRGAQGAPGGTGGAGSGSGLHHQQDSGYQGASGSGGAQSGGRGNSKSYSFGQGATGLQGGVTPLFKQFHDMSSDSFVDPHNEYIFKEVRMQRLKFMKSGRNTLLSLEELMVDRPVSIKKGLNLGSSEQGGGTLEMILKSSKRKKNPAPTGSLPM
+>sp|Q9NPC6|MYOZ2_HUMAN Myozenin-2 OS=Homo sapiens OX=9606 GN=MYOZ2 PE=1 SV=1
+MLSHNTMMKQRKQQATAIMKEVHGNDVDGMDLGKKVSIPRDIMLEELSHLSNRGARLFKMRQRRSDKYTFENFQYQSRAQINHSIAMQNGKVDGSNLEGGSQQAPLTPPNTPDPRSPPNPDNIAPGYSGPLKEIPPEKFNTTAVPKYYQSPWEQAISNDPELLEALYPKLFKPEGKAELPDYRSFNRVATPFGGFEKASRMVKFKVPDFELLLLTDPRFMSFVNPLSGRRSFNRTPKGWISENIPIVITTEPTDDTTVPESEDL
+>DECOY_sp|Q9NPC6|MYOZ2_HUMAN Myozenin-2 OS=Homo sapiens OX=9606 GN=MYOZ2 PE=1 SV=1
+LDESEPVTTDDTPETTIVIPINESIWGKPTRNFSRRGSLPNVFSMFRPDTLLLLEFDPVKFKVMRSAKEFGGFPTAVRNFSRYDPLEAKGEPKFLKPYLAELLEPDNSIAQEWPSQYYKPVATTNFKEPPIEKLPGSYGPAINDPNPPSRPDPTNPPTLPAQQSGGELNSGDVKGNQMAISHNIQARSQYQFNEFTYKDSRRQRMKFLRAGRNSLHSLEELMIDRPISVKKGLDMGDVDNGHVEKMIATAQQKRQKMMTNHSLM
+>sp|Q14896|MYPC3_HUMAN Myosin-binding protein C, cardiac-type OS=Homo sapiens OX=9606 GN=MYBPC3 PE=1 SV=4
+MPEPGKKPVSAFSKKPRSVEVAAGSPAVFEAETERAGVKVRWQRGGSDISASNKYGLATEGTRHTLTVREVGPADQGSYAVIAGSSKVKFDLKVIEAEKAEPMLAPAPAPAEATGAPGEAPAPAAELGESAPSPKGSSSAALNGPTPGAPDDPIGLFVMRPQDGEVTVGGSITFSARVAGASLLKPPVVKWFKGKWVDLSSKVGQHLQLHDSYDRASKVYLFELHITDAQPAFTGSYRCEVSTKDKFDCSNFNLTVHEAMGTGDLDLLSAFRRTSLAGGGRRISDSHEDTGILDFSSLLKKRDSFRTPRDSKLEAPAEEDVWEILRQAPPSEYERIAFQYGVTDLRGMLKRLKGMRRDEKKSTAFQKKLEPAYQVSKGHKIRLTVELADHDAEVKWLKNGQEIQMSGSKYIFESIGAKRTLTISQCSLADDAAYQCVVGGEKCSTELFVKEPPVLITRPLEDQLVMVGQRVEFECEVSEEGAQVKWLKDGVELTREETFKYRFKKDGQRHHLIINEAMLEDAGHYALCTSGGQALAELIVQEKKLEVYQSIADLMVGAKDQAVFKCEVSDENVRGVWLKNGKELVPDSRIKVSHIGRVHKLTIDDVTPADEADYSFVPEGFACNLSAKLHFMEVKIDFVPRQEPPKIHLDCPGRIPDTIVVVAGNKLRLDVPISGDPAPTVIWQKAITQGNKAPARPAPDAPEDTGDSDEWVFDKKLLCETEGRVRVETTKDRSIFTVEGAEKEDEGVYTVTVKNPVGEDQVNLTVKVIDVPDAPAAPKISNVGEDSCTVQWEPPAYDGGQPILGYILERKKKKSYRWMRLNFDLIQELSHEARRMIEGVVYEMRVYAVNAIGMSRPSPASQPFMPIGPPSEPTHLAVEDVSDTTVSLKWRPPERVGAGGLDGYSVEYCPEGCSEWVAALQGLTEHTSILVKDLPTGARLLFRVRAHNMAGPGAPVTTTEPVTVQEILQRPRLQLPRHLRQTIQKKVGEPVNLLIPFQGKPRPQVTWTKEGQPLAGEEVSIRNSPTDTILFIRAARRVHSGTYQVTVRIENMEDKATLVLQVVDKPSPPQDLRVTDAWGLNVALEWKPPQDVGNTELWGYTVQKADKKTMEWFTVLEHYRRTHCVVPELIIGNGYYFRVFSQNMVGFSDRAATTKEPVFIPRPGITYEPPNYKALDFSEAPSFTQPLVNRSVIAGYTAMLCCAVRGSPKPKISWFKNGLDLGEDARFRMFSKQGVLTLEIRKPCPFDGGIYVCRATNLQGEARCECRLEVRVPQ
+>DECOY_sp|Q14896|MYPC3_HUMAN Myosin-binding protein C, cardiac-type OS=Homo sapiens OX=9606 GN=MYBPC3 PE=1 SV=4
+QPVRVELRCECRAEGQLNTARCVYIGGDFPCPKRIELTLVGQKSFMRFRADEGLDLGNKFWSIKPKPSGRVACCLMATYGAIVSRNVLPQTFSPAESFDLAKYNPPEYTIGPRPIFVPEKTTAARDSFGVMNQSFVRFYYGNGIILEPVVCHTRRYHELVTFWEMTKKDAKQVTYGWLETNGVDQPPKWELAVNLGWADTVRLDQPPSPKDVVQLVLTAKDEMNEIRVTVQYTGSHVRRAARIFLITDTPSNRISVEEGALPQGEKTWTVQPRPKGQFPILLNVPEGVKKQITQRLHRPLQLRPRQLIEQVTVPETTTVPAGPGAMNHARVRFLLRAGTPLDKVLISTHETLGQLAAVWESCGEPCYEVSYGDLGGAGVREPPRWKLSVTTDSVDEVALHTPESPPGIPMFPQSAPSPRSMGIANVAYVRMEYVVGEIMRRAEHSLEQILDFNLRMWRYSKKKKRELIYGLIPQGGDYAPPEWQVTCSDEGVNSIKPAAPADPVDIVKVTLNVQDEGVPNKVTVTYVGEDEKEAGEVTFISRDKTTEVRVRGETECLLKKDFVWEDSDGTDEPADPAPRAPAKNGQTIAKQWIVTPAPDGSIPVDLRLKNGAVVVITDPIRGPCDLHIKPPEQRPVFDIKVEMFHLKASLNCAFGEPVFSYDAEDAPTVDDITLKHVRGIHSVKIRSDPVLEKGNKLWVGRVNEDSVECKFVAQDKAGVMLDAISQYVELKKEQVILEALAQGGSTCLAYHGADELMAENIILHHRQGDKKFRYKFTEERTLEVGDKLWKVQAGEESVECEFEVRQGVMVLQDELPRTILVPPEKVFLETSCKEGGVVCQYAADDALSCQSITLTRKAGISEFIYKSGSMQIEQGNKLWKVEADHDALEVTLRIKHGKSVQYAPELKKQFATSKKEDRRMGKLRKLMGRLDTVGYQFAIREYESPPAQRLIEWVDEEAPAELKSDRPTRFSDRKKLLSSFDLIGTDEHSDSIRRGGGALSTRRFASLLDLDGTGMAEHVTLNFNSCDFKDKTSVECRYSGTFAPQADTIHLEFLYVKSARDYSDHLQLHQGVKSSLDVWKGKFWKVVPPKLLSAGAVRASFTISGGVTVEGDQPRMVFLGIPDDPAGPTPGNLAASSSGKPSPASEGLEAAPAPAEGPAGTAEAPAPAPALMPEAKEAEIVKLDFKVKSSGAIVAYSGQDAPGVERVTLTHRTGETALGYKNSASIDSGGRQWRVKVGARETEAEFVAPSGAAVEVSRPKKSFASVPKKGPEPM
+>sp|O60237|MYPT2_HUMAN Protein phosphatase 1 regulatory subunit 12B OS=Homo sapiens OX=9606 GN=PPP1R12B PE=1 SV=2
+MAELEHLGGKRAESARMRRAEQLRRWRGSLTEQEPAERRGAGRQPLTRRGSPRVRFEDGAVFLAACSSGDTDEVRKLLARGADINTVNVDGLTALHQACIDENLDMVKFLVENRANVNQQDNEGWTPLHAAASCGYLNIAEYFINHGASVGIVNSEGEVPSDLAEEPAMKDLLLEQVKKQGVDLEQSRKEEEQQMLQDARQWLNSGKIEDVRQARSGATALHVAAAKGYSEVLRLLIQAGYELNVQDYDGWTPLHAAAHWGVKEACSILAEALCDMDIRNKLGQTPFDVADEGLVEHLELLQKKQNVLRSEKETRNKLIESDLNSKIQSGFFKNKEKMLYEEETPKSQEMEEENKESSSSSSEEEEGEDEASESETEKEADKKPEAFVNHSNSESKSSITEQIPAPAQNTFSASSARRFSSGLFNKPEEPKDESPSSWRLGLRKTGSHNMLSEVANSREPIRDRGSSIYRSSSSPRISALLDNKDKERENKSYISSLAPRKLNSTSDIEEKENRESAVNLVRSGSYTRQLWRDEAKGNEIPQTIAPSTYVSTYLKRTPHKSQADTTAEKTADNVSSSTPLCVITNRPLPSTANGVTATPVLSITGTDSSVEAREKRRSYLTPVRDEEAESLRKARSRQARQTRRSTQGVTLTDLQEAERTFSRSRAERQAQEQPREKPTDTEGLEGSPEKHEPSAVPATEAGEGQQPWGRSLDEEPICHRLRCPAQPDKPTTPASPSTSRPSLYTSSHLLWTNRFSVPDSESSETTTNTTTAKEMDKNENEEADLDEQSSKRLSIRERRRPKERRRGTGINFWTKDEDETDGSEEVKETWHERLSRLESGGSNPTTSDSYGDRASARARREAREARLATLTSRVEEDSNRDYKKLYESALTENQKLKTKLQEAQLELADIKSKLEKVAQQKQEKTSDRSSVLEMEKRERRALERKMSEMEEEMKVLTELKSDNQRLKDENGALIRVISKLSK
+>DECOY_sp|O60237|MYPT2_HUMAN Protein phosphatase 1 regulatory subunit 12B OS=Homo sapiens OX=9606 GN=PPP1R12B PE=1 SV=2
+KSLKSIVRILAGNEDKLRQNDSKLETLVKMEEEMESMKRELARRERKEMELVSSRDSTKEQKQQAVKELKSKIDALELQAEQLKTKLKQNETLASEYLKKYDRNSDEEVRSTLTALRAERAERRARASARDGYSDSTTPNSGGSELRSLREHWTEKVEESGDTEDEDKTWFNIGTGRRREKPRRRERISLRKSSQEDLDAEENENKDMEKATTTNTTTESSESDPVSFRNTWLLHSSTYLSPRSTSPSAPTTPKDPQAPCRLRHCIPEEDLSRGWPQQGEGAETAPVASPEHKEPSGELGETDTPKERPQEQAQREARSRSFTREAEQLDTLTVGQTSRRTQRAQRSRAKRLSEAEEDRVPTLYSRRKERAEVSSDTGTISLVPTATVGNATSPLPRNTIVCLPTSSSVNDATKEATTDAQSKHPTRKLYTSVYTSPAITQPIENGKAEDRWLQRTYSGSRVLNVASERNEKEEIDSTSNLKRPALSSIYSKNEREKDKNDLLASIRPSSSSRYISSGRDRIPERSNAVESLMNHSGTKRLGLRWSSPSEDKPEEPKNFLGSSFRRASSASFTNQAPAPIQETISSKSESNSHNVFAEPKKDAEKETESESAEDEGEEEESSSSSSEKNEEEMEQSKPTEEEYLMKEKNKFFGSQIKSNLDSEILKNRTEKESRLVNQKKQLLELHEVLGEDAVDFPTQGLKNRIDMDCLAEALISCAEKVGWHAAAHLPTWGDYDQVNLEYGAQILLRLVESYGKAAAVHLATAGSRAQRVDEIKGSNLWQRADQLMQQEEEKRSQELDVGQKKVQELLLDKMAPEEALDSPVEGESNVIGVSAGHNIFYEAINLYGCSAAAHLPTWGENDQQNVNARNEVLFKVMDLNEDICAQHLATLGDVNVTNIDAGRALLKRVEDTDGSSCAALFVAGDEFRVRPSGRRTLPQRGAGRREAPEQETLSGRWRRLQEARRMRASEARKGGLHELEAM
+>sp|Q9H1M0|N62CL_HUMAN Nucleoporin-62 C-terminal-like protein OS=Homo sapiens OX=9606 GN=NUP62CL PE=1 SV=3
+MQFTSISNSLTSTAAIGLSFTTSTTTTATFTTNTTTTITSGFTVNQNQLLSRGFENLVPYTSTVSVVATPVMTYGHLEGLINEWNLELEDQEKYFLLQATQVNAWDHTLIENGEMIRILHGEVNKVKLDQKRLEQELDFILSQQQELEFLLTYLEESTRDQSGLHYLQDADEEHVEISTRSAEF
+>DECOY_sp|Q9H1M0|N62CL_HUMAN Nucleoporin-62 C-terminal-like protein OS=Homo sapiens OX=9606 GN=NUP62CL PE=1 SV=3
+FEASRTSIEVHEEDADQLYHLGSQDRTSEELYTLLFELEQQQSLIFDLEQELRKQDLKVKNVEGHLIRIMEGNEILTHDWANVQTAQLLFYKEQDELELNWENILGELHGYTMVPTAVVSVTSTYPVLNEFGRSLLQNQNVTFGSTITTTTNTTFTATTTTSTTFSLGIAATSTLSNSISTFQM
+>sp|Q9GZZ1|NAA50_HUMAN N-alpha-acetyltransferase 50 OS=Homo sapiens OX=9606 GN=NAA50 PE=1 SV=1
+MKGSRIELGDVTPHNIKQLKRLNQVIFPVSYNDKFYKDVLEVGELAKLAYFNDIAVGAVCCRVDHSQNQKRLYIMTLGCLAPYRRLGIGTKMLNHVLNICEKDGTFDNIYLHVQISNESAIDFYRKFGFEIIETKKNYYKRIEPADAHVLQKNLKVPSGQNADVQKTDN
+>DECOY_sp|Q9GZZ1|NAA50_HUMAN N-alpha-acetyltransferase 50 OS=Homo sapiens OX=9606 GN=NAA50 PE=1 SV=1
+NDTKQVDANQGSPVKLNKQLVHADAPEIRKYYNKKTEIIEFGFKRYFDIASENSIQVHLYINDFTGDKECINLVHNLMKTGIGLRRYPALCGLTMIYLRKQNQSHDVRCCVAGVAIDNFYALKALEGVELVDKYFKDNYSVPFIVQNLRKLQKINHPTVDGLEIRSGKM
+>sp|Q9UPR5|NAC2_HUMAN Sodium/calcium exchanger 2 OS=Homo sapiens OX=9606 GN=SLC8A2 PE=2 SV=2
+MAPLALVGVTLLLAAPPCSGAATPTPSLPPPPANDSDTSTGGCQGSYRCQPGVLLPVWEPDDPSLGDKAARAVVYFVAMVYMFLGVSIIADRFMAAIEVITSKEKEITITKANGETSVGTVRIWNETVSNLTLMALGSSAPEILLSVIEVCGHNFQAGELGPGTIVGSAAFNMFVVIAVCIYVIPAGESRKIKHLRVFFVTASWSIFAYVWLYLILAVFSPGVVQVWEALLTLVFFPVCVVFAWMADKRLLFYKYVYKRYRTDPRSGIIIGAEGDPPKSIELDGTFVGAEAPGELGGLGPGPAEARELDASRREVIQILKDLKQKHPDKDLEQLVGIANYYALLHQQKSRAFYRIQATRLMTGAGNVLRRHAADASRRAAPAEGAGEDEDDGASRIFFEPSLYHCLENCGSVLLSVTCQGGEGNSTFYVDYRTEDGSAKAGSDYEYSEGTLVFKPGETQKELRIGIIDDDIFEEDEHFFVRLLNLRVGDAQGMFEPDGGGRPKGRLVAPLLATVTILDDDHAGIFSFQDRLLHVSECMGTVDVRVVRSSGARGTVRLPYRTVDGTARGGGVHYEDACGELEFGDDETMKTLQVKIVDDEEYEKKDNFFIELGQPQWLKRGISALLLNQGDGDRKLTAEEEEARRIAEMGKPVLGENCRLEVIIEESYDFKNTVDKLIKKTNLALVIGTHSWREQFLEAITVSAGDEEEEEDGSREERLPSCFDYVMHFLTVFWKVLFACVPPTEYCHGWACFGVSILVIGLLTALIGDLASHFGCTVGLKDSVNAVVFVALGTSIPDTFASKVAALQDQCADASIGNVTGSNAVNVFLGLGVAWSVAAVYWAVQGRPFEVRTGTLAFSVTLFTVFAFVGIAVLLYRRRPHIGGELGGPRGPKLATTALFLGLWLLYILFASLEAYCHIRGF
+>DECOY_sp|Q9UPR5|NAC2_HUMAN Sodium/calcium exchanger 2 OS=Homo sapiens OX=9606 GN=SLC8A2 PE=2 SV=2
+FGRIHCYAELSAFLIYLLWLGLFLATTALKPGRPGGLEGGIHPRRRYLLVAIGVFAFVTFLTVSFALTGTRVEFPRGQVAWYVAAVSWAVGLGLFVNVANSGTVNGISADACQDQLAAVKSAFTDPISTGLAVFVVANVSDKLGVTCGFHSALDGILATLLGIVLISVGFCAWGHCYETPPVCAFLVKWFVTLFHMVYDFCSPLREERSGDEEEEEDGASVTIAELFQERWSHTGIVLALNTKKILKDVTNKFDYSEEIIVELRCNEGLVPKGMEAIRRAEEEEATLKRDGDGQNLLLASIGRKLWQPQGLEIFFNDKKEYEEDDVIKVQLTKMTEDDGFELEGCADEYHVGGGRATGDVTRYPLRVTGRAGSSRVVRVDVTGMCESVHLLRDQFSFIGAHDDDLITVTALLPAVLRGKPRGGGDPEFMGQADGVRLNLLRVFFHEDEEFIDDDIIGIRLEKQTEGPKFVLTGESYEYDSGAKASGDETRYDVYFTSNGEGGQCTVSLLVSGCNELCHYLSPEFFIRSAGDDEDEGAGEAPAARRSADAAHRRLVNGAGTMLRTAQIRYFARSKQQHLLAYYNAIGVLQELDKDPHKQKLDKLIQIVERRSADLERAEAPGPGLGGLEGPAEAGVFTGDLEISKPPDGEAGIIIGSRPDTRYRKYVYKYFLLRKDAMWAFVVCVPFFVLTLLAEWVQVVGPSFVALILYLWVYAFISWSATVFFVRLHKIKRSEGAPIVYICVAIVVFMNFAASGVITGPGLEGAQFNHGCVEIVSLLIEPASSGLAMLTLNSVTENWIRVTGVSTEGNAKTITIEKEKSTIVEIAAMFRDAIISVGLFMYVMAVFYVVARAAKDGLSPDDPEWVPLLVGPQCRYSGQCGGTSTDSDNAPPPPLSPTPTAAGSCPPAALLLTVGVLALPAM
+>sp|P57103|NAC3_HUMAN Sodium/calcium exchanger 3 OS=Homo sapiens OX=9606 GN=SLC8A3 PE=1 SV=2
+MAWLRLQPLTSAFLHFGLVTFVLFLNGLRAEAGGSGDVPSTGQNNESCSGSSDCKEGVILPIWYPENPSLGDKIARVIVYFVALIYMFLGVSIIADRFMASIEVITSQEREVTIKKPNGETSTTTIRVWNETVSNLTLMALGSSAPEILLSLIEVCGHGFIAGDLGPSTIVGSAAFNMFIIIGICVYVIPDGETRKIKHLRVFFITAAWSIFAYIWLYMILAVFSPGVVQVWEGLLTLFFFPVCVLLAWVADKRLLFYKYMHKKYRTDKHRGIIIETEGDHPKGIEMDGKMMNSHFLDGNLVPLEGKEVDESRREMIRILKDLKQKHPEKDLDQLVEMANYYALSHQQKSRAFYRIQATRMMTGAGNILKKHAAEQAKKASSMSEVHTDEPEDFISKVFFDPCSYQCLENCGAVLLTVVRKGGDMSKTMYVDYKTEDGSANAGADYEFTEGTVVLKPGETQKEFSVGIIDDDIFEEDEHFFVRLSNVRIEEEQPEEGMPPAIFNSLPLPRAVLASPCVATVTILDDDHAGIFTFECDTIHVSESIGVMEVKVLRTSGARGTVIVPFRTVEGTAKGGGEDFEDTYGELEFKNDETVKTIRVKIVDEEEYERQENFFIALGEPKWMERGISALLLSPDVTDRKLTMEEEEAKRIAEMGKPVLGEHPKLEVIIEESYEFKTTVDKLIKKTNLALVVGTHSWRDQFMEAITVSAAGDEDEDESGEERLPSCFDYVMHFLTVFWKVLFACVPPTEYCHGWACFAVSILIIGMLTAIIGDLASHFGCTIGLKDSVTAVVFVAFGTSVPDTFASKAAALQDVYADASIGNVTGSNAVNVFLGIGLAWSVAAIYWALQGQEFHVSAGTLAFSVTLFTIFAFVCISVLLYRRRPHLGGELGGPRGCKLATTWLFVSLWLLYILFATLEAYCYIKGF
+>DECOY_sp|P57103|NAC3_HUMAN Sodium/calcium exchanger 3 OS=Homo sapiens OX=9606 GN=SLC8A3 PE=1 SV=2
+FGKIYCYAELTAFLIYLLWLSVFLWTTALKCGRPGGLEGGLHPRRRYLLVSICVFAFITFLTVSFALTGASVHFEQGQLAWYIAAVSWALGIGLFVNVANSGTVNGISADAYVDQLAAAKSAFTDPVSTGFAVFVVATVSDKLGITCGFHSALDGIIATLMGIILISVAFCAWGHCYETPPVCAFLVKWFVTLFHMVYDFCSPLREEGSEDEDEDGAASVTIAEMFQDRWSHTGVVLALNTKKILKDVTTKFEYSEEIIVELKPHEGLVPKGMEAIRKAEEEEMTLKRDTVDPSLLLASIGREMWKPEGLAIFFNEQREYEEEDVIKVRITKVTEDNKFELEGYTDEFDEGGGKATGEVTRFPVIVTGRAGSTRLVKVEMVGISESVHITDCEFTFIGAHDDDLITVTAVCPSALVARPLPLSNFIAPPMGEEPQEEEIRVNSLRVFFHEDEEFIDDDIIGVSFEKQTEGPKLVVTGETFEYDAGANASGDETKYDVYMTKSMDGGKRVVTLLVAGCNELCQYSCPDFFVKSIFDEPEDTHVESMSSAKKAQEAAHKKLINGAGTMMRTAQIRYFARSKQQHSLAYYNAMEVLQDLDKEPHKQKLDKLIRIMERRSEDVEKGELPVLNGDLFHSNMMKGDMEIGKPHDGETEIIIGRHKDTRYKKHMYKYFLLRKDAVWALLVCVPFFFLTLLGEWVQVVGPSFVALIMYLWIYAFISWAATIFFVRLHKIKRTEGDPIVYVCIGIIIFMNFAASGVITSPGLDGAIFGHGCVEILSLLIEPASSGLAMLTLNSVTENWVRITTTSTEGNPKKITVEREQSTIVEISAMFRDAIISVGLFMYILAVFYVIVRAIKDGLSPNEPYWIPLIVGEKCDSSGSCSENNQGTSPVDGSGGAEARLGNLFLVFTVLGFHLFASTLPQLRLWAM
+>sp|Q9H009|NACA2_HUMAN Nascent polypeptide-associated complex subunit alpha-2 OS=Homo sapiens OX=9606 GN=NACA2 PE=1 SV=1
+MPGEATETVPATEQELPQSQAETGSGTASDSGESVPGIEEQDSTQTTTQKAWLVAAAEIDEEPVGKAKQSRSEKRARKAMSKLGLLQVTGVTRVTIWKSKNILFVITKLDVYKSPASDAYIVFGEAKIQDLSQQAQLAAAEKFRVQGEAVGNIQENTQTPTVQEESEEEEVDETGVEVKDVKLVMSQANVSRAKAVRALKNNSNDIVNAIMELTV
+>DECOY_sp|Q9H009|NACA2_HUMAN Nascent polypeptide-associated complex subunit alpha-2 OS=Homo sapiens OX=9606 GN=NACA2 PE=1 SV=1
+VTLEMIANVIDNSNNKLARVAKARSVNAQSMVLKVDKVEVGTEDVEEEESEEQVTPTQTNEQINGVAEGQVRFKEAAALQAQQSLDQIKAEGFVIYADSAPSKYVDLKTIVFLINKSKWITVRTVGTVQLLGLKSMAKRARKESRSQKAKGVPEEDIEAAAVLWAKQTTTQTSDQEEIGPVSEGSDSATGSGTEAQSQPLEQETAPVTETAEGPM
+>sp|Q86W26|NAL10_HUMAN NACHT, LRR and PYD domains-containing protein 10 OS=Homo sapiens OX=9606 GN=NLRP10 PE=1 SV=1
+MAMAKARKPREALLWALSDLEENDFKKLKFYLRDMTLSEGQPPLARGELEGLIPVDLAELLISKYGEKEAVKVVLKGLKVMNLLELVDQLSHICLHDYREVYREHVRCLEEWQEAGVNGRYNQVLLVAKPSSESPESLACPFPEQELESVTVEALFDSGEKPSLAPSLVVLQGSAGTGKTTLARKMVLDWATGTLYPGRFDYVFYVSCKEVVLLLESKLEQLLFWCCGDNQAPVTEILRQPERLLFILDGFDELQRPFEEKLKKRGLSPKESLLHLLIRRHTLPTCSLLITTRPLALRNLEPLLKQARHVHILGFSEEERARYFSSYFTDEKQADRAFDIVQKNDILYKACQVPGICWVVCSWLQGQMERGKVVLETPRNSTDIFMAYVSTFLPPDDDGGCSELSRHRVLRSLCSLAAEGIQHQRFLFEEAELRKHNLDGPRLAAFLSSNDYQLGLAIKKFYSFRHISFQDFFHAMSYLVKEDQSRLGKESRREVQRLLEVKEQEGNDEMTLTMQFLLDISKKDSFSNLELKFCFRISPCLAQDLKHFKEQMESMKHNRTWDLEFSLYEAKIKNLVKGIQMNNVSFKIKHSNEKKSQSQNLFSVKSSLSHGPKEEQKCPSVHGQKEGKDNIAGTQKEASTGKGRGTEETPKNTYI
+>DECOY_sp|Q86W26|NAL10_HUMAN NACHT, LRR and PYD domains-containing protein 10 OS=Homo sapiens OX=9606 GN=NLRP10 PE=1 SV=1
+IYTNKPTEETGRGKGTSAEKQTGAINDKGEKQGHVSPCKQEEKPGHSLSSKVSFLNQSQSKKENSHKIKFSVNNMQIGKVLNKIKAEYLSFELDWTRNHKMSEMQEKFHKLDQALCPSIRFCFKLELNSFSDKKSIDLLFQMTLTMEDNGEQEKVELLRQVERRSEKGLRSQDEKVLYSMAHFFDQFSIHRFSYFKKIALGLQYDNSSLFAALRPGDLNHKRLEAEEFLFRQHQIGEAALSCLSRLVRHRSLESCGGDDDPPLFTSVYAMFIDTSNRPTELVVKGREMQGQLWSCVVWCIGPVQCAKYLIDNKQVIDFARDAQKEDTFYSSFYRAREEESFGLIHVHRAQKLLPELNRLALPRTTILLSCTPLTHRRILLHLLSEKPSLGRKKLKEEFPRQLEDFGDLIFLLREPQRLIETVPAQNDGCCWFLLQELKSELLLVVEKCSVYFVYDFRGPYLTGTAWDLVMKRALTTKGTGASGQLVVLSPALSPKEGSDFLAEVTVSELEQEPFPCALSEPSESSPKAVLLVQNYRGNVGAEQWEELCRVHERYVERYDHLCIHSLQDVLELLNMVKLGKLVVKVAEKEGYKSILLEALDVPILGELEGRALPPQGESLTMDRLYFKLKKFDNEELDSLAWLLAERPKRAKAMAM
+>sp|Q93070|NAR4_HUMAN Ecto-ADP-ribosyltransferase 4 OS=Homo sapiens OX=9606 GN=ART4 PE=2 SV=2
+MGPLINRCKKILLPTTVPPATMRIWLLGGLLPFLLLLSGLQRPTEGSEVAIKIDFDFAPGSFDDQYQGCSKQVMEKLTQGDYFTKDIEAQKNYFRMWQKAHLAWLNQGKVLPQNMTTTHAVAILFYTLNSNVHSDFTRAMASVARTPQQYERSFHFKYLHYYLTSAIQLLRKDSIMENGTLCYEVHYRTKDVHFNAYTGATIRFGQFLSTSLLKEEAQEFGNQTLFTIFTCLGAPVQYFSLKKEVLIPPYELFKVINMSYHPRGNWLQLRSTGNLSTYNCQLLKASSKKCIPDPIAIASLSFLTSVIIFSKSRV
+>DECOY_sp|Q93070|NAR4_HUMAN Ecto-ADP-ribosyltransferase 4 OS=Homo sapiens OX=9606 GN=ART4 PE=2 SV=2
+VRSKSFIIVSTLFSLSAIAIPDPICKKSSAKLLQCNYTSLNGTSRLQLWNGRPHYSMNIVKFLEYPPILVEKKLSFYQVPAGLCTFITFLTQNGFEQAEEKLLSTSLFQGFRITAGTYANFHVDKTRYHVEYCLTGNEMISDKRLLQIASTLYYHLYKFHFSREYQQPTRAVSAMARTFDSHVNSNLTYFLIAVAHTTTMNQPLVKGQNLWALHAKQWMRFYNKQAEIDKTFYDGQTLKEMVQKSCGQYQDDFSGPAFDFDIKIAVESGETPRQLGSLLLLFPLLGGLLWIRMTAPPVTTPLLIKKCRNILPGM
+>sp|Q9BTE0|NAT9_HUMAN N-acetyltransferase 9 OS=Homo sapiens OX=9606 GN=NAT9 PE=1 SV=1
+MRLNQNTLLLGKKVVLVPYTSEHVPSRYHEWMKSEELQRLTASEPLTLEQEYAMQCSWQEDADKCTFIVLDAEKWQAQPGATEESCMVGDVNLFLTDLEDLTLGEIEVMIAEPSCRGKGLGTEAVLAMLSYGVTTLGLTKFEAKIGQGNEPSIRMFQKLHFEQVATSSVFQEVTLRLTVSESEHQWLLEQTSHVEEKPYRDGSAEPC
+>DECOY_sp|Q9BTE0|NAT9_HUMAN N-acetyltransferase 9 OS=Homo sapiens OX=9606 GN=NAT9 PE=1 SV=1
+CPEASGDRYPKEEVHSTQELLWQHESESVTLRLTVEQFVSSTAVQEFHLKQFMRISPENGQGIKAEFKTLGLTTVGYSLMALVAETGLGKGRCSPEAIMVEIEGLTLDELDTLFLNVDGVMCSEETAGPQAQWKEADLVIFTCKDADEQWSCQMAYEQELTLPESATLRQLEESKMWEHYRSPVHESTYPVLVVKKGLLLTNQNLRM
+>sp|Q86XG9|NBPF5_HUMAN Putative neuroblastoma breakpoint family member 5 OS=Homo sapiens OX=9606 GN=NBPF5P PE=5 SV=2
+MVVSADPLSSERAEMNILEINQELRSQLAESNQQFRDLKEKFLITQATAYSLANQLKKYKCEEYKDIIDSVLRDELQSMEKLAEKLRQAEELRQYKALVHSQAKELTQLREKLREGRDASRWLNKHLKTLLTPDDPDKSQGQDLREQLAEGHRLAEHLVHKLSPENDEDEDEDEDDKDEEVEKVQESPAPREVQKTEEKEVPQDSLEECAVTCSNSHNPSNSNQPHRSTKITFKEHEVDSALVVESEHPHDEEEEALNIPPENQNDHEEEEGKAPVPPRHHDKSNSYRHREVSFLALDEQKVCSAQDVARDYSNPKWDETSLGFLDTPLARRESVALKGRTRSWQHSSHAN
+>DECOY_sp|Q86XG9|NBPF5_HUMAN Putative neuroblastoma breakpoint family member 5 OS=Homo sapiens OX=9606 GN=NBPF5P PE=5 SV=2
+NAHSSHQWSRTRGKLAVSERRALPTDLFGLSTEDWKPNSYDRAVDQASCVKQEDLALFSVERHRYSNSKDHHRPPVPAKGEEEEHDNQNEPPINLAEEEEDHPHESEVVLASDVEHEKFTIKTSRHPQNSNSPNHSNSCTVACEELSDQPVEKEETKQVERPAPSEQVKEVEEDKDDEDEDEDEDNEPSLKHVLHEALRHGEALQERLDQGQSKDPDDPTLLTKLHKNLWRSADRGERLKERLQTLEKAQSHVLAKYQRLEEAQRLKEALKEMSQLEDRLVSDIIDKYEECKYKKLQNALSYATAQTILFKEKLDRFQQNSEALQSRLEQNIELINMEARESSLPDASVVM
+>sp|Q5VWK0|NBPF6_HUMAN Neuroblastoma breakpoint family member 6 OS=Homo sapiens OX=9606 GN=NBPF6 PE=2 SV=2
+MVVSADPLSSERAEMNILEINQELRSQLAESNQQFRDLKEKFLITQATAYSLANQLKKYKCEEYKDIIDSVLRDELQSMEKLAEKLRQAEELRQYKALVHSQAKELTQLREKLREGRDASRWLNKHLKTLLTPDDPDKSQGQDLREQLAEGHRLAEHLVHKLSPENDEDEDEDEDDKDEEVEKVQESPAPREVQKTEEKEVPQDSLEECAVTCSNSHNPSNSNQPHRSTKITFKEHEVDSALVVESEHPHDEEEEALNIPPENQNDHEEEEGKAPVPPRHHDKSNSYRHREVSFLALDEQKVCSAQDVARDYSNPKWDETSLGFLEKQSDLEEVKGQETVAPRLSRGPLRVDKHEIPQESLDGCCLTPSILPDLTPSYHPYWSTLYSFEDKQVSLALVDKIKKDQEEIEDQSPPCPRLSQELPEVKEQEVPEDSVNEVYLTPSVHHDVSDCHQPYSSTLSSLEDQLACSALDVASPTEAACPQGTWSGDLSHHRSEVQISQAQLEPSTLVPSCLRLQLDQGFHCGNGLAQRGLSSTTCSFSANADSGNQWPFQELVLEPSLGMKNPPQLEDDALEGSASNTQGRQVTGRIRASLVLILKTIRRRLPFSKWRLAFRFAGPHAESAEIPNTAERMQRMIG
+>DECOY_sp|Q5VWK0|NBPF6_HUMAN Neuroblastoma breakpoint family member 6 OS=Homo sapiens OX=9606 GN=NBPF6 PE=2 SV=2
+GIMRQMREATNPIEASEAHPGAFRFALRWKSFPLRRRITKLILVLSARIRGTVQRGQTNSASGELADDELQPPNKMGLSPELVLEQFPWQNGSDANASFSCTTSSLGRQALGNGCHFGQDLQLRLCSPVLTSPELQAQSIQVESRHHSLDGSWTGQPCAAETPSAVDLASCALQDELSSLTSSYPQHCDSVDHHVSPTLYVENVSDEPVEQEKVEPLEQSLRPCPPSQDEIEEQDKKIKDVLALSVQKDEFSYLTSWYPHYSPTLDPLISPTLCCGDLSEQPIEHKDVRLPGRSLRPAVTEQGKVEELDSQKELFGLSTEDWKPNSYDRAVDQASCVKQEDLALFSVERHRYSNSKDHHRPPVPAKGEEEEHDNQNEPPINLAEEEEDHPHESEVVLASDVEHEKFTIKTSRHPQNSNSPNHSNSCTVACEELSDQPVEKEETKQVERPAPSEQVKEVEEDKDDEDEDEDEDNEPSLKHVLHEALRHGEALQERLDQGQSKDPDDPTLLTKLHKNLWRSADRGERLKERLQTLEKAQSHVLAKYQRLEEAQRLKEALKEMSQLEDRLVSDIIDKYEECKYKKLQNALSYATAQTILFKEKLDRFQQNSEALQSRLEQNIELINMEARESSLPDASVVM
+>sp|Q5TAG4|NBPFC_HUMAN Neuroblastoma breakpoint family member 12 OS=Homo sapiens OX=9606 GN=NBPF12 PE=2 SV=3
+MVVSAGPWSSEKAEMNILEINEKLRPQLAENKQQFRNLKERCFLTQLAGFLANRQKKYKYEECKDLIKFMLRNERQFKEEKLAEQLKQAEELRQYKVLVHSQERELTQLREKLREGRDASRSLNEHLQALLTPDEPDKSQGQDLQEQLAEGCRLAQQLVQKLSPENDEDEDEDVQVEEDEKVLESSAPREVQKAEESKVPEDSLEECAITCSNSHGPCDSIQPHKNIKITFEEDKVNSTVVVDRKSSHDECQDALNILPVPGPTSSATNVSMVVSAGPLSSEKAEMNILEINEKLRPQLAEKKQQFRSLKEKCFVTQLAGFLAKQQNKYKYEECKDLIKSMLRNELQFKEEKLAEQLKQAEELRQYKVLVHSQERELTQLREKLREGRDASRSLNEHLQALLTPDEPDKSQGQDLQEQLAEGCRLAQHLVQKLSPENDEDEDEDVQVEEDEKVLESSSPREMQKAEESKVPEDSLEECAITCSNSHGPCDSNQPHKNIKITFEEDKVNSSLVVDRESSHDECQDALNILPVPGPTSSATNVSMVVSAGPLSSEKAEMNILEINEKLRPQLAEKKQQFRSLKEKCFVTQVACFLAKQQNKYKYEECKDLLKSMLRNELQFKEEKLAEQLKQAEELRQYKVLVHSQERELTQLREKLREGRDASRSLNEHLQALLTPDEPDKSQGQDLQEQLAEGCRLAQHLVQKLSPENDNDDDEDVQVEVAEKVQKSSSPREMQKAEEKEVPEDSLEECAITCSNSHGPYDSNQPHRKTKITFEEDKVDSTLIGSSSHVEWEDAVHIIPENESDDEEEEEKGPVSPRNLQESEEEEVPQESWDEGYSTLSIPPERLASYQSYSSTFHSLEEQQVCMAVDIGRHRWDQVKKEDQEATGPRLSRELLAEKEPEVLQDSLDRCYSTPSVYLGLTDSCQPYRSAFYVLEQQRVGLAVDMDEIEKYQEVEEDQDPSCPRLSRELLAEKEPEVLQDSLDRCYSTPSGYLELPDLGQPYRSAVYSLEEQYLGLALDVDRIKKDQEEEEDQGPPCPRLSRELLEVVEPEVLQDSLDRCYSTPSSCLEQPDSCQPYRSSFYALEEKHVGFSLDVGEIEKKGKGKKRRGRRSKKKRRRGRKEGEEDQNPPCPRLSRELLAEKEPEVLQDSLDRWYSTPSVYLGLTDPCQPYRSAFYVLEQQRVGLAVDMDEIEKYQEVEEDQDPSCPRLSRELLAEKEPEVLQDSLDRCYSTPSGYLELPDLGQPYRSAVYSLEEQYLGLALDVDRIKKDQEEEEDQGPPCPRLSRELLEVVEPEVLQDSLDRCYSTPSSCLEQPDSCQPYRSSFYALEEKHVGFSLDVGEIEKKGKGKKRRGRRSKKKRRRGRKEGEEDQNPPCPRLNSVLMEVEEPEVLQDSLDRCYSTPSMYFELPDSFQHYRSVFYSFEEQHITFALDMDNSFFTLTVTSLHLVFQMGVIFPQ
+>DECOY_sp|Q5TAG4|NBPFC_HUMAN Neuroblastoma breakpoint family member 12 OS=Homo sapiens OX=9606 GN=NBPF12 PE=2 SV=3
+QPFIVGMQFVLHLSTVTLTFFSNDMDLAFTIHQEEFSYFVSRYHQFSDPLEFYMSPTSYCRDLSDQLVEPEEVEMLVSNLRPCPPNQDEEGEKRGRRRKKKSRRGRRKKGKGKKEIEGVDLSFGVHKEELAYFSSRYPQCSDPQELCSSPTSYCRDLSDQLVEPEVVELLERSLRPCPPGQDEEEEQDKKIRDVDLALGLYQEELSYVASRYPQGLDPLELYGSPTSYCRDLSDQLVEPEKEALLERSLRPCSPDQDEEVEQYKEIEDMDVALGVRQQELVYFASRYPQCPDTLGLYVSPTSYWRDLSDQLVEPEKEALLERSLRPCPPNQDEEGEKRGRRRKKKSRRGRRKKGKGKKEIEGVDLSFGVHKEELAYFSSRYPQCSDPQELCSSPTSYCRDLSDQLVEPEVVELLERSLRPCPPGQDEEEEQDKKIRDVDLALGLYQEELSYVASRYPQGLDPLELYGSPTSYCRDLSDQLVEPEKEALLERSLRPCSPDQDEEVEQYKEIEDMDVALGVRQQELVYFASRYPQCSDTLGLYVSPTSYCRDLSDQLVEPEKEALLERSLRPGTAEQDEKKVQDWRHRGIDVAMCVQQEELSHFTSSYSQYSALREPPISLTSYGEDWSEQPVEEEESEQLNRPSVPGKEEEEEDDSENEPIIHVADEWEVHSSSGILTSDVKDEEFTIKTKRHPQNSDYPGHSNSCTIACEELSDEPVEKEEAKQMERPSSSKQVKEAVEVQVDEDDDNDNEPSLKQVLHQALRCGEALQEQLDQGQSKDPEDPTLLAQLHENLSRSADRGERLKERLQTLEREQSHVLVKYQRLEEAQKLQEALKEEKFQLENRLMSKLLDKCEEYKYKNQQKALFCAVQTVFCKEKLSRFQQKKEALQPRLKENIELINMEAKESSLPGASVVMSVNTASSTPGPVPLINLADQCEDHSSERDVVLSSNVKDEEFTIKINKHPQNSDCPGHSNSCTIACEELSDEPVKSEEAKQMERPSSSELVKEDEEVQVDEDEDEDNEPSLKQVLHQALRCGEALQEQLDQGQSKDPEDPTLLAQLHENLSRSADRGERLKERLQTLEREQSHVLVKYQRLEEAQKLQEALKEEKFQLENRLMSKILDKCEEYKYKNQQKALFGALQTVFCKEKLSRFQQKKEALQPRLKENIELINMEAKESSLPGASVVMSVNTASSTPGPVPLINLADQCEDHSSKRDVVVTSNVKDEEFTIKINKHPQISDCPGHSNSCTIACEELSDEPVKSEEAKQVERPASSELVKEDEEVQVDEDEDEDNEPSLKQVLQQALRCGEALQEQLDQGQSKDPEDPTLLAQLHENLSRSADRGERLKERLQTLEREQSHVLVKYQRLEEAQKLQEALKEEKFQRENRLMFKILDKCEEYKYKKQRNALFGALQTLFCREKLNRFQQKNEALQPRLKENIELINMEAKESSWPGASVVM
+>sp|Q14919|NC2A_HUMAN Dr1-associated corepressor OS=Homo sapiens OX=9606 GN=DRAP1 PE=1 SV=3
+MPSKKKKYNARFPPARIKKIMQTDEEIGKVAAAVPVIISRALELFLESLLKKACQVTQSRNAKTMTTSHLKQCIELEQQFDFLKDLVASVPDMQGDGEDNHMDGDKGARRGRKPGSGGRKNGGMGTKSKDKKLSGTDSEQEDESEDTDTDGEEETSQPPPQASHPSAHFQSPPTPFLPFASTLPLPPAPPGPSAPDEEDEEDYDS
+>DECOY_sp|Q14919|NC2A_HUMAN Dr1-associated corepressor OS=Homo sapiens OX=9606 GN=DRAP1 PE=1 SV=3
+SDYDEEDEEDPASPGPPAPPLPLTSAFPLFPTPPSQFHASPHSAQPPPQSTEEEGDTDTDESEDEQESDTGSLKKDKSKTGMGGNKRGGSGPKRGRRAGKDGDMHNDEGDGQMDPVSAVLDKLFDFQQELEICQKLHSTTMTKANRSQTVQCAKKLLSELFLELARSIIVPVAAAVKGIEEDTQMIKKIRAPPFRANYKKKKSPM
+>sp|Q6PIU2|NCEH1_HUMAN Neutral cholesterol ester hydrolase 1 OS=Homo sapiens OX=9606 GN=NCEH1 PE=1 SV=3
+MRSSCVLLTALVALAAYYVYIPLPGSVSDPWKLMLLDATFRGAQQVSNLIHYLGLSHHLLALNFIIVSFGKKSAWSSAQVKVTDTDFDGVEVRVFEGPPKPEEPLKRSVVYIHGGGWALASAKIRYYDELCTAMAEELNAVIVSIEYRLVPKVYFPEQIHDVVRATKYFLKPEVLQKYMVDPGRICISGDSAGGNLAAALGQQFTQDASLKNKLKLQALIYPVLQALDFNTPSYQQNVNTPILPRYVMVKYWVDYFKGNYDFVQAMIVNNHTSLDVEEAAAVRARLNWTSLLPASFTKNYKPVVQTTGNARIVQELPQLLDARSAPLIADQAVLQLLPKTYILTCEHDVLRDDGIMYAKRLESAGVEVTLDHFEDGFHGCMIFTSWPTNFSVGIRTRNSYIKWLDQNL
+>DECOY_sp|Q6PIU2|NCEH1_HUMAN Neutral cholesterol ester hydrolase 1 OS=Homo sapiens OX=9606 GN=NCEH1 PE=1 SV=3
+LNQDLWKIYSNRTRIGVSFNTPWSTFIMCGHFGDEFHDLTVEVGASELRKAYMIGDDRLVDHECTLIYTKPLLQLVAQDAILPASRADLLQPLEQVIRANGTTQVVPKYNKTFSAPLLSTWNLRARVAAAEEVDLSTHNNVIMAQVFDYNGKFYDVWYKVMVYRPLIPTNVNQQYSPTNFDLAQLVPYILAQLKLKNKLSADQTFQQGLAAALNGGASDGSICIRGPDVMYKQLVEPKLFYKTARVVDHIQEPFYVKPVLRYEISVIVANLEEAMATCLEDYYRIKASALAWGGGHIYVVSRKLPEEPKPPGEFVRVEVGDFDTDTVKVQASSWASKKGFSVIIFNLALLHHSLGLYHILNSVQQAGRFTADLLMLKWPDSVSGPLPIYVYYAALAVLATLLVCSSRM
+>sp|A8MVU1|NCF1C_HUMAN Putative neutrophil cytosol factor 1C OS=Homo sapiens OX=9606 GN=NCF1C PE=5 SV=1
+MYMFLVKWQDLSEKVVYRRFTEIYEFHKTLKEMFPIEAGAINPENRIIPHLPAPKWFDGQRAAENHQGTLTEYCSTLMSLPTKISRCPHLLDFFKVRPDDLKLPTDNQTKKPETYLMPKDGKSTATDITGPIILQTYRAIADYEKTSGSEMALSTGDVVEVVEKSESGWWFCQMKAKRGWIPASFLEPLDSPDETEDPEPNYAGEPYVAIKAYTAVEGDEVSLLEGEAVEVIHKLLDGWWVIRKDDVTGYFPSMYLQKSGQDVSQAQRQIKRGAPPRRSSIRNAHSIHQRSRKRLSQDAYRRNSVRFLQQRRRQARPGPQSPGSPLEEERQTQRSKPQPAVPPRPSADLILNRCSESTKRKLASAV
+>DECOY_sp|A8MVU1|NCF1C_HUMAN Putative neutrophil cytosol factor 1C OS=Homo sapiens OX=9606 GN=NCF1C PE=5 SV=1
+VASALKRKTSESCRNLILDASPRPPVAPQPKSRQTQREEELPSGPSQPGPRAQRRRQQLFRVSNRRYADQSLRKRSRQHISHANRISSRRPPAGRKIQRQAQSVDQGSKQLYMSPFYGTVDDKRIVWWGDLLKHIVEVAEGELLSVEDGEVATYAKIAVYPEGAYNPEPDETEDPSDLPELFSAPIWGRKAKMQCFWWGSESKEVVEVVDGTSLAMESGSTKEYDAIARYTQLIIPGTIDTATSKGDKPMLYTEPKKTQNDTPLKLDDPRVKFFDLLHPCRSIKTPLSMLTSCYETLTGQHNEAARQGDFWKPAPLHPIIRNEPNIAGAEIPFMEKLTKHFEYIETFRRYVVKESLDQWKVLFMYM
+>sp|P14598|NCF1_HUMAN Neutrophil cytosol factor 1 OS=Homo sapiens OX=9606 GN=NCF1 PE=1 SV=4
+MGDTFIRHIALLGFEKRFVPSQHYVYMFLVKWQDLSEKVVYRRFTEIYEFHKTLKEMFPIEAGAINPENRIIPHLPAPKWFDGQRAAENRQGTLTEYCSTLMSLPTKISRCPHLLDFFKVRPDDLKLPTDNQTKKPETYLMPKDGKSTATDITGPIILQTYRAIANYEKTSGSEMALSTGDVVEVVEKSESGWWFCQMKAKRGWIPASFLEPLDSPDETEDPEPNYAGEPYVAIKAYTAVEGDEVSLLEGEAVEVIHKLLDGWWVIRKDDVTGYFPSMYLQKSGQDVSQAQRQIKRGAPPRRSSIRNAHSIHQRSRKRLSQDAYRRNSVRFLQQRRRQARPGPQSPGSPLEEERQTQRSKPQPAVPPRPSADLILNRCSESTKRKLASAV
+>DECOY_sp|P14598|NCF1_HUMAN Neutrophil cytosol factor 1 OS=Homo sapiens OX=9606 GN=NCF1 PE=1 SV=4
+VASALKRKTSESCRNLILDASPRPPVAPQPKSRQTQREEELPSGPSQPGPRAQRRRQQLFRVSNRRYADQSLRKRSRQHISHANRISSRRPPAGRKIQRQAQSVDQGSKQLYMSPFYGTVDDKRIVWWGDLLKHIVEVAEGELLSVEDGEVATYAKIAVYPEGAYNPEPDETEDPSDLPELFSAPIWGRKAKMQCFWWGSESKEVVEVVDGTSLAMESGSTKEYNAIARYTQLIIPGTIDTATSKGDKPMLYTEPKKTQNDTPLKLDDPRVKFFDLLHPCRSIKTPLSMLTSCYETLTGQRNEAARQGDFWKPAPLHPIIRNEPNIAGAEIPFMEKLTKHFEYIETFRRYVVKESLDQWKVLFMYVYHQSPVFRKEFGLLAIHRIFTDGM
+>sp|Q9HCH0|NCK5L_HUMAN Nck-associated protein 5-like OS=Homo sapiens OX=9606 GN=NCKAP5L PE=1 SV=2
+MDQPAGGPGNPRPGEGDDGSMEPGTCQELLHRLRELEAENSALAQANENQRETYERCLDEVANHVVQALLNQKDLREECIKLKKRVFDLERQNQMLSALFQQKLQLTTGSLPQIPLTPLQPPSEPPASPSLSSTEGPAAPLPLGHCAGQREVCWEQQLRPGGPGPPAAPPPALDALSPFLRKKAQILEVLRALEETDPLLLCSPATPWRPPGQGPGSPEPINGELCGPPQPEPSPWAPCLLLGPGNLGGLLHWERLLGGLGGEEDTGRPWGPSRGPPQAQGTSSGPNCAPGSSSSSSSDEAGDPNEAPSPDTLLGALARRQLNLGQLLEDTESYLQAFLAGAAGPLNGDHPGPGQSSSPDQAPPQLSKSKGLPKSAWGGGTPEAHRPGFGATSEGQGPLPFLSMFMGAGDAPLGSRPGHPHSSSQVKSKLQIGPPSPGEAQGPLLPSPARGLKFLKLPPTSEKSPSPGGPQLSPQLPRNSRIPCRNSGSDGSPSPLLARRGLGGGELSPEGAQGLPTSPSPCYTTPDSTQLRPPQSALSTTLSPGPVVSPCYENILDLSRSTFRGPSPEPPPSPLQVPTYPQLTLEVPQAPEVLRSPGVPPSPCLPESYPYGSPQEKSLDKAGSESPHPGRRTPGNSSKKPSQGSGRRPGDPGSTPLRDRLAALGKLKTGPEGALGSEKNGVPARPGTEKTRGPGKSGESAGDMVPSIHRPLEQLEAKGGIRGAVALGTNSLKQQEPGLMGDPGARVYSSHSMGARVDLEPVSPRSCLTKVELAKSRLAGALCPQVPRTPAKVPTSAPSLGKPNKSPHSSPTKLPSKSPTKVVPRPGAPLVTKESPKPDKGKGPPWADCGSTTAQSTPLVPGPTDPSQGPEGLAPHSAIEEKVMKGIEENVLRLQGQERAPGAEVKHRNTSSIASWFGLKKSKLPALNRRTEATKNKEGAGGGSPLRREVKMEARKLEAESLNISKLMAKAEDLRRALEEEKAYLSSRARPRPGGPAPGPNTGLGQVQGQLAGMYQGADTFMQQLLNRVDGKELPSKSWREPKPEYGDFQPVSSDPKSPWPACGPRNGLVGPLQGCGKPPGKPSSEPGRREETPSEDSLAEPVPTSHFTACGSLTRTLDSGIGTFPPPDHGSSGTPSKNLPKTKPPRLDPPPGVPPARPPPLTKVPRRAHTLEREVPGIEELLVSGRHPSMPAFPALLPAAPGHRGHETCPDDPCEDPGPTPPVQLAKNWTFPNTRAAGSSSDPLMCPPRQLEGLPRTPMALPVDRKRSQEPSRPSPTPQGPPFGGSRTPSTSDMAEEGRVASGGPPGLETSESLSDSLYDSLSSCGSQG
+>DECOY_sp|Q9HCH0|NCK5L_HUMAN Nck-associated protein 5-like OS=Homo sapiens OX=9606 GN=NCKAP5L PE=1 SV=2
+GQSGCSSLSDYLSDSLSESTELGPPGGSAVRGEEAMDSTSPTRSGGFPPGQPTPSPRSPEQSRKRDVPLAMPTRPLGELQRPPCMLPDSSSGAARTNPFTWNKALQVPPTPGPDECPDDPCTEHGRHGPAAPLLAPFAPMSPHRGSVLLEEIGPVERELTHARRPVKTLPPPRAPPVGPPPDLRPPKTKPLNKSPTGSSGHDPPPFTGIGSDLTRTLSGCATFHSTPVPEALSDESPTEERRGPESSPKGPPKGCGQLPGVLGNRPGCAPWPSKPDSSVPQFDGYEPKPERWSKSPLEKGDVRNLLQQMFTDAGQYMGALQGQVQGLGTNPGPAPGGPRPRARSSLYAKEEELARRLDEAKAMLKSINLSEAELKRAEMKVERRLPSGGGAGEKNKTAETRRNLAPLKSKKLGFWSAISSTNRHKVEAGPAREQGQLRLVNEEIGKMVKEEIASHPALGEPGQSPDTPGPVLPTSQATTSGCDAWPPGKGKDPKPSEKTVLPAGPRPVVKTPSKSPLKTPSSHPSKNPKGLSPASTPVKAPTRPVQPCLAGALRSKALEVKTLCSRPSVPELDVRAGMSHSSYVRAGPDGMLGPEQQKLSNTGLAVAGRIGGKAELQELPRHISPVMDGASEGSKGPGRTKETGPRAPVGNKESGLAGEPGTKLKGLAALRDRLPTSGPDGPRRGSGQSPKKSSNGPTRRGPHPSESGAKDLSKEQPSGYPYSEPLCPSPPVGPSRLVEPAQPVELTLQPYTPVQLPSPPPEPSPGRFTSRSLDLINEYCPSVVPGPSLTTSLASQPPRLQTSDPTTYCPSPSTPLGQAGEPSLEGGGLGRRALLPSPSGDSGSNRCPIRSNRPLQPSLQPGGPSPSKESTPPLKLFKLGRAPSPLLPGQAEGPSPPGIQLKSKVQSSSHPHGPRSGLPADGAGMFMSLFPLPGQGESTAGFGPRHAEPTGGGWASKPLGKSKSLQPPAQDPSSSQGPGPHDGNLPGAAGALFAQLYSETDELLQGLNLQRRALAGLLTDPSPAENPDGAEDSSSSSSSGPACNPGSSTGQAQPPGRSPGWPRGTDEEGGLGGLLREWHLLGGLNGPGLLLCPAWPSPEPQPPGCLEGNIPEPSGPGQGPPRWPTAPSCLLLPDTEELARLVELIQAKKRLFPSLADLAPPPAAPPGPGGPRLQQEWCVERQGACHGLPLPAAPGETSSLSPSAPPESPPQLPTLPIQPLSGTTLQLKQQFLASLMQNQRELDFVRKKLKICEERLDKQNLLAQVVHNAVEDLCREYTERQNENAQALASNEAELERLRHLLEQCTGPEMSGDDGEGPRPNGPGGAPQDM
+>sp|O14513|NCKP5_HUMAN Nck-associated protein 5 OS=Homo sapiens OX=9606 GN=NCKAP5 PE=1 SV=2
+MEGKRQLEKRDFGKRLSLDSSLVEYMDSNKYIEHLLTQLEEQHRSLWREKLAVARLQREVAQRTSEGAMHEKLIHELEEERHLRLQSEKRLQEVTLESERNRIQMRSLQQQFSRMEETVRNLLQSQGSPEQKKEETVNIMVYQEKLSEEERKHKEALEDLHMVVDEDSRSESSSTDEGKEKTKLLLERLKALEAENSALALENENQREQYERCLDEVANQVVQALLTQKDLREECVKLKTRVFDLEQQNRTLSILFQQRVRPTSDLLLQKLHSRLLDLSSGDLLSEVERNRSLTQSRTDAEVHEHQLNTKSALKCPGLGAVIPGHLCPRNSYSSSSELSLSSTCSEYSSGSSYTWHDGKNLRKRQSSQNWDKRLSIDSSLPSGFASPTNELPPTRIKESHILEGLRKLQKRKVLLEPPSVITKWGYKDCMNSNEGIYSPGIKSSSLKEYPPCKTADLGSPCKEPHKTFVYDLDSHVDADDDPSTLALLQAVPNQSCRPHGSKLTHSVSDSLFGWETNRKHFLEGTSSVYPKERPEKLTSCASSCPLEMKLCPSVQTPQVQRERGPQGQGHGRMALNLQLSDTDDNETFDELHIESSDEKSPSDVSLAADTDKSVENLDVLVGFGKSLCGSPEEEEKQVPIPSETRPKTFSFIKQQRVVKRTSSEECVTVIFDAEDGEPIEFSSHQTGVVTVTRNEISINSTPAGPKAEHTELLPQGIACLQPRAAARDYTFFKRSEEDTEKNIPKDNVDNVPRVSTESFSSRTVTQNPQQQKLVKPTHNISCQSNSRSSAPMGIYQKQNLTKIPPRGKSSPQKSKLMEPEATTLLPSSGLVTLEKSPALAPGKLSRFMKTESSGPLFELRSDPHIPKHSAQLPHSSRMPSRRDWVQCPKSQTPGSRSRPAIESSDSGEPPTRDEHCGSGPEAGVKSPSPPPPPGRSVSLLARPSYDYSPAPSSTKSETRVPSETARTPFKSPLLKGISAPVISSNPATTEVQRKKPSVAFKKPIFTHPMPSPEAVIQTRCPAHAPSSSFTVMALGPPKVSPKRGVPKTSPRQTLGTPQRDIGLQTPRISPSTHEPLEMTSSKSVSPGRKGQLNDSASTPPKPSFLGVNESPSSQVSSSSSSSSPAKSHNSPHGCQSAHEKGLKTRLPVGLKVLMKSPQLLRKSSTVPGKHEKDSLNEASKSSVAVNKSKPEDSKNPASMEITAGERNVTLPDSQAQGSLADGLPLETALQEPLESSIPGSDGRDGVDNRSMRRSLSSSKPHLKPALGMNGAKARSHSFSTHSGDKPSTPPIEGSGKVRTQIITNTAERGNSLTRQNSSTESSPNKAPSAPMLESLPSVGRPSGHPSSGKGSLGSSGSFSSQHGSPSKLPLRIPPKSEGLLIPPGKEDQQAFTQGECPSANVAVLGEPGSDRRSCPPTPTDCPEALQSPGRTQHPSTFETSSTSKLETSGRHPDASATATDAVSSEAPLSPTIEEKVMLCIQENVEKGQVQTKPTSVEAKQKPGPSFASWFGFRKSRLPALSSRKMDISKTKVEKKDAKVLGFGNRQLKSERKKEKKKPELQCETENELIKDTKSADNPDGGLQSKNNRRTPQDIYNQLKIEPRNRHSPVACSTKDTFMTELLNRVDKKAAPQTESGSSNASCRNVLKGSSQGSCLIGSSISTQGNHKKNMKIKADMEVPKDSLVKEANENLQEDEDDAVADSVFQSHIIESNCQMRTLDSGIGTFPLPDSGNRSTGRYLCQPDSPEDAEPLLPLQSALSAVSSMRAQTLEREVPSSTDGQRPADSAIVHSTSDPIMTARGMRPLQSRLPKPASSGKVSSQKQNEAEPRPQTCSSFGYAEDPMASQPLPDWGSEVAATGTQDKAPRMCTYSASGGSNSDSDLDYGDNGFGAGRGQLVKALKSAAPEIETT
+>DECOY_sp|O14513|NCKP5_HUMAN Nck-associated protein 5 OS=Homo sapiens OX=9606 GN=NCKAP5 PE=1 SV=2
+TTEIEPAASKLAKVLQGRGAGFGNDGYDLDSDSNSGGSASYTCMRPAKDQTGTAAVESGWDPLPQSAMPDEAYGFSSCTQPRPEAENQKQSSVKGSSAPKPLRSQLPRMGRATMIPDSTSHVIASDAPRQGDTSSPVERELTQARMSSVASLASQLPLLPEADEPSDPQCLYRGTSRNGSDPLPFTGIGSDLTRMQCNSEIIHSQFVSDAVADDEDEQLNENAEKVLSDKPVEMDAKIKMNKKHNGQTSISSGILCSGQSSGKLVNRCSANSSGSETQPAAKKDVRNLLETMFTDKTSCAVPSHRNRPEIKLQNYIDQPTRRNNKSQLGGDPNDASKTDKILENETECQLEPKKKEKKRESKLQRNGFGLVKADKKEVKTKSIDMKRSSLAPLRSKRFGFWSAFSPGPKQKAEVSTPKTQVQGKEVNEQICLMVKEEITPSLPAESSVADTATASADPHRGSTELKSTSSTEFTSPHQTRGPSQLAEPCDTPTPPCSRRDSGPEGLVAVNASPCEGQTFAQQDEKGPPILLGESKPPIRLPLKSPSGHQSSFSGSSGLSGKGSSPHGSPRGVSPLSELMPASPAKNPSSETSSNQRTLSNGREATNTIIQTRVKGSGEIPPTSPKDGSHTSFSHSRAKAGNMGLAPKLHPKSSSLSRRMSRNDVGDRGDSGPISSELPEQLATELPLGDALSGQAQSDPLTVNREGATIEMSAPNKSDEPKSKNVAVSSKSAENLSDKEHKGPVTSSKRLLQPSKMLVKLGVPLRTKLGKEHASQCGHPSNHSKAPSSSSSSSSVQSSPSENVGLFSPKPPTSASDNLQGKRGPSVSKSSTMELPEHTSPSIRPTQLGIDRQPTGLTQRPSTKPVGRKPSVKPPGLAMVTFSSSPAHAPCRTQIVAEPSPMPHTFIPKKFAVSPKKRQVETTAPNSSIVPASIGKLLPSKFPTRATESPVRTESKTSSPAPSYDYSPRALLSVSRGPPPPPSPSKVGAEPGSGCHEDRTPPEGSDSSEIAPRSRSGPTQSKPCQVWDRRSPMRSSHPLQASHKPIHPDSRLEFLPGSSETKMFRSLKGPALAPSKELTVLGSSPLLTTAEPEMLKSKQPSSKGRPPIKTLNQKQYIGMPASSRSNSQCSINHTPKVLKQQQPNQTVTRSSFSETSVRPVNDVNDKPINKETDEESRKFFTYDRAAARPQLCAIGQPLLETHEAKPGAPTSNISIENRTVTVVGTQHSSFEIPEGDEADFIVTVCEESSTRKVVRQQKIFSFTKPRTESPIPVQKEEEEPSGCLSKGFGVLVDLNEVSKDTDAALSVDSPSKEDSSEIHLEDFTENDDTDSLQLNLAMRGHGQGQPGRERQVQPTQVSPCLKMELPCSSACSTLKEPREKPYVSSTGELFHKRNTEWGFLSDSVSHTLKSGHPRCSQNPVAQLLALTSPDDDADVHSDLDYVFTKHPEKCPSGLDATKCPPYEKLSSSKIGPSYIGENSNMCDKYGWKTIVSPPELLVKRKQLKRLGELIHSEKIRTPPLENTPSAFGSPLSSDISLRKDWNQSSQRKRLNKGDHWTYSSGSSYESCTSSLSLESSSSYSNRPCLHGPIVAGLGPCKLASKTNLQHEHVEADTRSQTLSRNREVESLLDGSSLDLLRSHLKQLLLDSTPRVRQQFLISLTRNQQELDFVRTKLKVCEERLDKQTLLAQVVQNAVEDLCREYQERQNENELALASNEAELAKLRELLLKTKEKGEDTSSSESRSDEDVVMHLDELAEKHKREEESLKEQYVMINVTEEKKQEPSGQSQLLNRVTEEMRSFQQQLSRMQIRNRESELTVEQLRKESQLRLHREEELEHILKEHMAGESTRQAVERQLRAVALKERWLSRHQEELQTLLHEIYKNSDMYEVLSSDLSLRKGFDRKELQRKGEM
+>sp|O60721|NCKX1_HUMAN Sodium/potassium/calcium exchanger 1 OS=Homo sapiens OX=9606 GN=SLC24A1 PE=1 SV=1
+MGKLIRMGPQERWLLRTKRLHWSRLLFLLGMLIIGSTYQHLRRPRGLSSLWAAVSSHQPIKLASRDLSSEEMMMMSSSPSKPSSEMGGKMLVPQASVGSDEATLSMTVENIPSMPKRTAKMIPTTTKNNYSPTAAGTERRKEDTPTSSRTLTYYTSTSSRQIVKKYTPTPRGEMKSYSPTQVREKVKYTPSPRGRRVGTYVPSTFMTMETSHAITPRTTVKDSDITATYKILETNSLKRIMEETTPTTLKGMFDSTPTFLTHEVEANVLTSPRSVMEKNNLFPPRRVESNSSAHPWGLVGKSNPKTPQGTVLLHTPATSEGQVTISTMTGSSPAETKAFTAAWSLRNPSPRTSVSAIKTAPAIVWRLAKKPSTAPSTSTTPTVRAKLTMQVHHCVVVKPTPAMLTTPSPSLTTALLPEELSPSPSVLPPSLPDLHPKGEYPPDLFSVEERRQGWVVLHVFGMMYVFVALAIVCDEYFVPALGVITDKLQISEDVAGATFMAAGGSAPELFTSLIGVFISHSNVGIGTIVGSAVFNILFVIGTCSLFSREILNLTWWPLFRDVSFYILDLIMLILFFLDSLIAWWESLLLLLAYAFYVFTMKWNKHIEVWVKEQLSRRPVAKVMALEDLSKPGDGAIAVDELQDNKKLKLPSLLTRGSSSTSLHNSTIRSTIYQLMLHSLDPLREVRLAKEKEEESLNQGARAQPQAKAESKPEEEEPAKLPAVTVTPAPVPDIKGDQKENPGGQEDVAEAESTGEMPGEEGETAGEGETEEKSGGETQPEGEGETETQGKGEECEDENEAEGKGDNEGEDEGEIHAEDGEMKGNEGETESQELSAENHGEAKNDEKGVEDGGGSDGGDSEEEEEEEEEQEEEEEEEEQEEEEEEEEEEEEKGNEEPLSLDWPETRQKQAIYLFLLPIVFPLWLTVPDVRRQESRKFFVFTFLGSIMWIAMFSYLMVWWAHQVGETIGISEEIMGLTILAAGTSIPDLITSVIVARKGLGDMAVSSSVGSNIFDITVGLPVPWLLFSLINGLQPVPVSSNGLFCAIVLLFLMLLFVISSIASCKWRMNKILGFTMFLLYFVFLIISVMLEDRIISCPVSV
+>DECOY_sp|O60721|NCKX1_HUMAN Sodium/potassium/calcium exchanger 1 OS=Homo sapiens OX=9606 GN=SLC24A1 PE=1 SV=1
+VSVPCSIIRDELMVSIILFVFYLLFMTFGLIKNMRWKCSAISSIVFLLMLFLLVIACFLGNSSVPVPQLGNILSFLLWPVPLGVTIDFINSGVSSSVAMDGLGKRAVIVSTILDPISTGAALITLGMIEESIGITEGVQHAWWVMLYSFMAIWMISGLFTFVFFKRSEQRRVDPVTLWLPFVIPLLFLYIAQKQRTEPWDLSLPEENGKEEEEEEEEEEEEQEEEEEEEEQEEEEEEEEESDGGDSGGGDEVGKEDNKAEGHNEASLEQSETEGENGKMEGDEAHIEGEDEGENDGKGEAENEDECEEGKGQTETEGEGEPQTEGGSKEETEGEGATEGEEGPMEGTSEAEAVDEQGGPNEKQDGKIDPVPAPTVTVAPLKAPEEEEPKSEAKAQPQARAGQNLSEEEKEKALRVERLPDLSHLMLQYITSRITSNHLSTSSSGRTLLSPLKLKKNDQLEDVAIAGDGPKSLDELAMVKAVPRRSLQEKVWVEIHKNWKMTFVYFAYALLLLLSEWWAILSDLFFLILMILDLIYFSVDRFLPWWTLNLIERSFLSCTGIVFLINFVASGVITGIGVNSHSIFVGILSTFLEPASGGAAMFTAGAVDESIQLKDTIVGLAPVFYEDCVIALAVFVYMMGFVHLVVWGQRREEVSFLDPPYEGKPHLDPLSPPLVSPSPSLEEPLLATTLSPSPTTLMAPTPKVVVCHHVQMTLKARVTPTTSTSPATSPKKALRWVIAPATKIASVSTRPSPNRLSWAATFAKTEAPSSGTMTSITVQGESTAPTHLLVTGQPTKPNSKGVLGWPHASSNSEVRRPPFLNNKEMVSRPSTLVNAEVEHTLFTPTSDFMGKLTTPTTEEMIRKLSNTELIKYTATIDSDKVTTRPTIAHSTEMTMFTSPVYTGVRRGRPSPTYKVKERVQTPSYSKMEGRPTPTYKKVIQRSSTSTYYTLTRSSTPTDEKRRETGAATPSYNNKTTTPIMKATRKPMSPINEVTMSLTAEDSGVSAQPVLMKGGMESSPKSPSSSMMMMEESSLDRSALKIPQHSSVAAWLSSLGRPRRLHQYTSGIILMGLLFLLRSWHLRKTRLLWREQPGMRILKGM
+>sp|Q9UI40|NCKX2_HUMAN Sodium/potassium/calcium exchanger 2 OS=Homo sapiens OX=9606 GN=SLC24A2 PE=1 SV=1
+MDLQQSTTITSLEKWCLDESLSGCRRHYSVKKKLKLIRVLGLFMGLVAISTVSFSISAFSETDTQSTGEASVVSGPRVAQGYHQRTLLDLNDKILDYTPQPPLSKEGESENSTDHAQGDYPKDIFSLEERRKGAIILHVIGMIYMFIALAIVCDEFFVPSLTVITEKLGISDDVAGATFMAAGGSAPELFTSLIGVFIAHSNVGIGTIVGSAVFNILFVIGMCALFSREILNLTWWPLFRDVSFYIVDLIMLIIFFLDNVIMWWESLLLLTAYFCYVVFMKFNVQVEKWVKQMINRNKVVKVTAPEAQAKPSAARDKDEPTLPAKPRLQRGGSSASLHNSLMRNSIFQLMIHTLDPLAEELGSYGKLKYYDTMTEEGRFREKASILHKIAKKKCHVDENERQNGAANHVEKIELPNSTSTDVEMTPSSDASEPVQNGNLSHNIEGAEAQTADEEEDQPLSLAWPSETRKQVTFLIVFPIVFPLWITLPDVRKPSSRKFFPITFFGSITWIAVFSYLMVWWAHQVGETIGISEEIMGLTILAAGTSIPDLITSVIVARKGLGDMAVSSSVGSNIFDITVGLPLPWLLYTVIHRFQPVAVSSNGLFCAIVLLFIMLLFVILSIALCKWRMNKILGFIMFGLYFVFLVVSVLLEDRILTCPVSI
+>DECOY_sp|Q9UI40|NCKX2_HUMAN Sodium/potassium/calcium exchanger 2 OS=Homo sapiens OX=9606 GN=SLC24A2 PE=1 SV=1
+ISVPCTLIRDELLVSVVLFVFYLGFMIFGLIKNMRWKCLAISLIVFLLMIFLLVIACFLGNSSVAVPQFRHIVTYLLWPLPLGVTIDFINSGVSSSVAMDGLGKRAVIVSTILDPISTGAALITLGMIEESIGITEGVQHAWWVMLYSFVAIWTISGFFTIPFFKRSSPKRVDPLTIWLPFVIPFVILFTVQKRTESPWALSLPQDEEEDATQAEAGEINHSLNGNQVPESADSSPTMEVDTSTSNPLEIKEVHNAAGNQRENEDVHCKKKAIKHLISAKERFRGEETMTDYYKLKGYSGLEEALPDLTHIMLQFISNRMLSNHLSASSGGRQLRPKAPLTPEDKDRAASPKAQAEPATVKVVKNRNIMQKVWKEVQVNFKMFVVYCFYATLLLLSEWWMIVNDLFFIILMILDVIYFSVDRFLPWWTLNLIERSFLACMGIVFLINFVASGVITGIGVNSHAIFVGILSTFLEPASGGAAMFTAGAVDDSIGLKETIVTLSPVFFEDCVIALAIFMYIMGIVHLIIAGKRREELSFIDKPYDGQAHDTSNESEGEKSLPPQPTYDLIKDNLDLLTRQHYGQAVRPGSVVSAEGTSQTDTESFASISFSVTSIAVLGMFLGLVRILKLKKKVSYHRRCGSLSEDLCWKELSTITTSQQLDM
+>sp|Q5T1S8|NCMAP_HUMAN Noncompact myelin-associated protein OS=Homo sapiens OX=9606 GN=NCMAP PE=3 SV=1
+MTTATPLGDTTFFSLNMTTRGEDFLYKSSGAIVAAVVVVVIIIFTVVLILLKMYNRKMRTRRELEPKGPKPTAPSAVGPNSNGSQHPATVTFSPVDVQVETR
+>DECOY_sp|Q5T1S8|NCMAP_HUMAN Noncompact myelin-associated protein OS=Homo sapiens OX=9606 GN=NCMAP PE=3 SV=1
+RTEVQVDVPSFTVTAPHQSGNSNPGVASPATPKPGKPELERRTRMKRNYMKLLILVVTFIIIVVVVVAAVIAGSSKYLFDEGRTTMNLSFFTTDGLPTATTM
+>sp|Q9HCD5|NCOA5_HUMAN Nuclear receptor coactivator 5 OS=Homo sapiens OX=9606 GN=NCOA5 PE=1 SV=2
+MNTAPSRPSPTRRDPYGFGDSRDSRRDRSPIRGSPRREPRDGRNGRDARDSRDIRDPRDLRDHRHSRDLRDHRDSRSVRDVRDVRDLRDFRDLRDSRDFRDQRDPMYDRYRDMRDSRDPMYRREGSYDRYLRMDDYCRRKDDSYFDRYRDSFDGRGPPGPESQSRAKERLKREERRREELYRQYFEEIQRRFDAERPVDCSVIVVNKQTKDYAESVGRKVRDLGMVVDLIFLNTEVSLSQALEDVSRGGSPFAIVITQQHQIHRSCTVNIMFGTPQEHRNMPQADAMVLVARNYERYKNECREKEREEIARQAAKMADEAILQERERGGPEEGVRGGHPPAIQSLINLLADNRYLTAEETDKIINYLRERKERLMRSSTDSLPGPISRQPLGATSGASLKTQPSSQPLQSGQVLPSATPTPSAPPTSQQELQAKILSLFNSGTVTANSSSASPSVAAGNTPNQNFSTAANSQPQQRSQASGNQPPSILGQGGSAQNMGPRPGAPSQGLFGQPSSRLAPASNMTSQRPVSSTGINFDNPSVQKALDTLIQSGPALSHLVSQTTAQMGQPQAPMGSYQRHY
+>DECOY_sp|Q9HCD5|NCOA5_HUMAN Nuclear receptor coactivator 5 OS=Homo sapiens OX=9606 GN=NCOA5 PE=1 SV=2
+YHRQYSGMPAQPQGMQATTQSVLHSLAPGSQILTDLAKQVSPNDFNIGTSSVPRQSTMNSAPALRSSPQGFLGQSPAGPRPGMNQASGGQGLISPPQNGSAQSRQQPQSNAATSFNQNPTNGAAVSPSASSSNATVTGSNFLSLIKAQLEQQSTPPASPTPTASPLVQGSQLPQSSPQTKLSAGSTAGLPQRSIPGPLSDTSSRMLREKRERLYNIIKDTEEATLYRNDALLNILSQIAPPHGGRVGEEPGGREREQLIAEDAMKAAQRAIEEREKERCENKYREYNRAVLVMADAQPMNRHEQPTGFMINVTCSRHIQHQQTIVIAFPSGGRSVDELAQSLSVETNLFILDVVMGLDRVKRGVSEAYDKTQKNVVIVSCDVPREADFRRQIEEFYQRYLEERRREERKLREKARSQSEPGPPGRGDFSDRYRDFYSDDKRRCYDDMRLYRDYSGERRYMPDRSDRMDRYRDYMPDRQDRFDRSDRLDRFDRLDRVDRVDRVSRSDRHDRLDRSHRHDRLDRPDRIDRSDRADRGNRGDRPERRPSGRIPSRDRRSDRSDGFGYPDRRTPSPRSPATNM
+>sp|O75376|NCOR1_HUMAN Nuclear receptor corepressor 1 OS=Homo sapiens OX=9606 GN=NCOR1 PE=1 SV=2
+MSSSGYPPNQGAFSTEQSRYPPHSVQYTFPNTRHQQEFAVPDYRSSHLEVSQASQLLQQQQQQQLRRRPSLLSEFHPGSDRPQERRTSYEPFHPGPSPVDHDSLESKRPRLEQVSDSHFQRVSAAVLPLVHPLPEGLRASADAKKDPAFGGKHEAPSSPISGQPCGDDQNASPSKLSKEELIQSMDRVDREIAKVEQQILKLKKKQQQLEEEAAKPPEPEKPVSPPPVEQKHRSIVQIIYDENRKKAEEAHKIFEGLGPKVELPLYNQPSDTKVYHENIKTNQVMRKKLILFFKRRNHARKQREQKICQRYDQLMEAWEKKVDRIENNPRRKAKESKTREYYEKQFPEIRKQREQQERFQRVGQRGAGLSATIARSEHEISEIIDGLSEQENNEKQMRQLSVIPPMMFDAEQRRVKFINMNGLMEDPMKVYKDRQFMNVWTDHEKEIFKDKFIQHPKNFGLIASYLERKSVPDCVLYYYLTKKNENYKALVRRNYGKRRGRNQQIARPSQEEKVEEKEEDKAEKTEKKEEEKKDEEEKDEKEDSKENTKEKDKIDGTAEETEEREQATPRGRKTANSQGRRKGRITRSMTNEAAAASAAAAAATEEPPPPLPPPPEPISTEPVETSRWTEEEMEVAKKGLVEHGRNWAAIAKMVGTKSEAQCKNFYFNYKRRHNLDNLLQQHKQKTSRKPREERDVSQCESVASTVSAQEDEDIEASNEEENPEDSEVEAVKPSEDSPENATSRGNTEPAVELEPTTETAPSTSPSLAVPSTKPAEDESVETQVNDSISAETAEQMDVDQQEHSAEEGSVCDPPPATKADSVDVEVRVPENHASKVEGDNTKERDLDRASEKVEPRDEDLVVAQQINAQRPEPQSDNDSSATCSADEDVDGEPERQRMFPMDSKPSLLNPTGSILVSSPLKPNPLDLPQLQHRAAVIPPMVSCTPCNIPIGTPVSGYALYQRHIKAMHESALLEEQRQRQEQIDLECRSSTSPCGTSKSPNREWEVLQPAPHQVITNLPEGVRLPTTRPTRPPPPLIPSSKTTVASEKPSFIMGGSISQGTPGTYLTSHNQASYTQETPKPSVGSISLGLPRQQESAKSATLPYIKQEEFSPRSQNSQPEGLLVRAQHEGVVRGTAGAIQEGSITRGTPTSKISVESIPSLRGSITQGTPALPQTGIPTEALVKGSISRMPIEDSSPEKGREEAASKGHVIYEGKSGHILSYDNIKNAREGTRSPRTAHEISLKRSYESVEGNIKQGMSMRESPVSAPLEGLICRALPRGSPHSDLKERTVLSGSIMQGTPRATTESFEDGLKYPKQIKRESPPIRAFEGAITKGKPYDGITTIKEMGRSIHEIPRQDILTQESRKTPEVVQSTRPIIEGSISQGTPIKFDNNSGQSAIKHNVKSLITGPSKLSRGMPPLEIVPENIKVVERGKYEDVKAGETVRSRHTSVVSSGPSVLRSTLHEAPKAQLSPGIYDDTSARRTPVSYQNTMSRGSPMMNRTSDVTISSNKSTNHERKSTLTPTQRESIPAKSPVPGVDPVVSHSPFDPHHRGSTAGEVYRSHLPTHLDPAMPFHRALDPAAAAYLFQRQLSPTPGYPSQYQLYAMENTRQTILNDYITSQQMQVNLRPDVARGLSPREQPLGLPYPATRGIIDLTNMPPTILVPHPGGTSTPPMDRITYIPGTQITFPPRPYNSASMSPGHPTHLAAAASAEREREREREKERERERIAAASSDLYLRPGSEQPGRPGSHGYVRSPSPSVRTQETMLQQRPSVFQGTNGTSVITPLDPTAQLRIMPLPAGGPSISQGLPASRYNTAADALAALVDAAASAPQMDVSKTKESKHEAARLEENLRSRSAAVSEQQQLEQKTLEVEKRSVQCLYTSSAFPSGKPQPHSSVVYSEAGKDKGPPPKSRYEEELRTRGKTTITAANFIDVIITRQIASDKDARERGSQSSDSSSSLSSHRYETPSDAIEVISPASSPAPPQEKLQTYQPEVVKANQAENDPTRQYEGPLHHYRPQQESPSPQQQLPPSSQAEGMGQVPRTHRLITLADHICQIITQDFARNQVSSQTPQQPPTSTFQNSPSALVSTPVRTKTSNRYSPESQAQSVHHQRPGSRVSPENLVDKSRGSRPGKSPERSHVSSEPYEPISPPQVPVVHEKQDSLLLLSQRGAEPAEQRNDARSPGSISYLPSFFTKLENTSPMVKSKKQEIFRKLNSSGGGDSDMAAAQPGTEIFNLPAVTTSGSVSSRGHSFADPASNLGLEDIIRKALMGSFDDKVEDHGVVMSQPMGVVPGTANTSVVTSGETRREEGDPSPHSGGVCKPKLISKSNSRKSKSPIPGQGYLGTERPSSVSSVHSEGDYHRQTPGWAWEDRPSSTGSTQFPYNPLTMRMLSSTPPTPIACAPSAVNQAAPHQQNRIWEREPAPLLSAQYETLSDSDD
+>DECOY_sp|O75376|NCOR1_HUMAN Nuclear receptor corepressor 1 OS=Homo sapiens OX=9606 GN=NCOR1 PE=1 SV=2
+DDSDSLTEYQASLLPAPEREWIRNQQHPAAQNVASPACAIPTPPTSSLMRMTLPNYPFQTSGTSSPRDEWAWGPTQRHYDGESHVSSVSSPRETGLYGQGPIPSKSKRSNSKSILKPKCVGGSHPSPDGEERRTEGSTVVSTNATGPVVGMPQSMVVGHDEVKDDFSGMLAKRIIDELGLNSAPDAFSHGRSSVSGSTTVAPLNFIETGPQAAAMDSDGGGSSNLKRFIEQKKSKVMPSTNELKTFFSPLYSISGPSRADNRQEAPEAGRQSLLLLSDQKEHVVPVQPPSIPEYPESSVHSREPSKGPRSGRSKDVLNEPSVRSGPRQHHVSQAQSEPSYRNSTKTRVPTSVLASPSNQFTSTPPQQPTQSSVQNRAFDQTIIQCIHDALTILRHTRPVQGMGEAQSSPPLQQQPSPSEQQPRYHHLPGEYQRTPDNEAQNAKVVEPQYTQLKEQPPAPSSAPSIVEIADSPTEYRHSSLSSSSDSSQSGRERADKDSAIQRTIIVDIFNAATITTKGRTRLEEEYRSKPPPGKDKGAESYVVSSHPQPKGSPFASSTYLCQVSRKEVELTKQELQQQESVAASRSRLNEELRAAEHKSEKTKSVDMQPASAAADVLAALADAATNYRSAPLGQSISPGGAPLPMIRLQATPDLPTIVSTGNTGQFVSPRQQLMTEQTRVSPSPSRVYGHSGPRGPQESGPRLYLDSSAAAIREREREKEREREREREASAAAALHTPHGPSMSASNYPRPPFTIQTGPIYTIRDMPPTSTGGPHPVLITPPMNTLDIIGRTAPYPLGLPQERPSLGRAVDPRLNVQMQQSTIYDNLITQRTNEMAYLQYQSPYGPTPSLQRQFLYAAAAPDLARHFPMAPDLHTPLHSRYVEGATSGRHHPDFPSHSVVPDVGPVPSKAPISERQTPTLTSKREHNTSKNSSITVDSTRNMMPSGRSMTNQYSVPTRRASTDDYIGPSLQAKPAEHLTSRLVSPGSSVVSTHRSRVTEGAKVDEYKGREVVKINEPVIELPPMGRSLKSPGTILSKVNHKIASQGSNNDFKIPTGQSISGEIIPRTSQVVEPTKRSEQTLIDQRPIEHISRGMEKITTIGDYPKGKTIAGEFARIPPSERKIQKPYKLGDEFSETTARPTGQMISGSLVTREKLDSHPSGRPLARCILGELPASVPSERMSMGQKINGEVSEYSRKLSIEHATRPSRTGERANKINDYSLIHGSKGEYIVHGKSAAEERGKEPSSDEIPMRSISGKVLAETPIGTQPLAPTGQTISGRLSPISEVSIKSTPTGRTISGEQIAGATGRVVGEHQARVLLGEPQSNQSRPSFEEQKIYPLTASKASEQQRPLGLSISGVSPKPTEQTYSAQNHSTLYTGPTGQSISGGMIFSPKESAVTTKSSPILPPPPRTPRTTPLRVGEPLNTIVQHPAPQLVEWERNPSKSTGCPSTSSRCELDIQEQRQRQEELLASEHMAKIHRQYLAYGSVPTGIPINCPTCSVMPPIVAARHQLQPLDLPNPKLPSSVLISGTPNLLSPKSDMPFMRQREPEGDVDEDASCTASSDNDSQPEPRQANIQQAVVLDEDRPEVKESARDLDREKTNDGEVKSAHNEPVRVEVDVSDAKTAPPPDCVSGEEASHEQQDVDMQEATEASISDNVQTEVSEDEAPKTSPVALSPSTSPATETTPELEVAPETNGRSTANEPSDESPKVAEVESDEPNEEENSAEIDEDEQASVTSAVSECQSVDREERPKRSTKQKHQQLLNDLNHRRKYNFYFNKCQAESKTGVMKAIAAWNRGHEVLGKKAVEMEEETWRSTEVPETSIPEPPPPLPPPPEETAAAAAASAAAAENTMSRTIRGKRRGQSNATKRGRPTAQEREETEEATGDIKDKEKTNEKSDEKEDKEEEDKKEEEKKETKEAKDEEKEEVKEEQSPRAIQQNRGRRKGYNRRVLAKYNENKKTLYYYLVCDPVSKRELYSAILGFNKPHQIFKDKFIEKEHDTWVNMFQRDKYVKMPDEMLGNMNIFKVRRQEADFMMPPIVSLQRMQKENNEQESLGDIIESIEHESRAITASLGAGRQGVRQFREQQERQKRIEPFQKEYYERTKSEKAKRRPNNEIRDVKKEWAEMLQDYRQCIKQERQKRAHNRRKFFLILKKRMVQNTKINEHYVKTDSPQNYLPLEVKPGLGEFIKHAEEAKKRNEDYIIQVISRHKQEVPPPSVPKEPEPPKAAEEELQQQKKKLKLIQQEVKAIERDVRDMSQILEEKSLKSPSANQDDGCPQGSIPSSPAEHKGGFAPDKKADASARLGEPLPHVLPLVAASVRQFHSDSVQELRPRKSELSDHDVPSPGPHFPEYSTRREQPRDSGPHFESLLSPRRRLQQQQQQQLLQSAQSVELHSSRYDPVAFEQQHRTNPFTYQVSHPPYRSQETSFAGQNPPYGSSSM
+>sp|P40205|NCYM_HUMAN N-cym protein OS=Homo sapiens OX=9606 GN=MYCNOS PE=1 SV=2
+MQHPPCEPGNCLSLKEKKITEGSGGVCWGGETDASNPAPALTACCAAEREANVEQGLAGRLLLCNYERRVVRRCKIAGRGRAPLGTRPLDVSSFKLKEEGRPPCLKINK
+>DECOY_sp|P40205|NCYM_HUMAN N-cym protein OS=Homo sapiens OX=9606 GN=MYCNOS PE=1 SV=2
+KNIKLCPPRGEEKLKFSSVDLPRTGLPARGRGAIKCRRVVRREYNCLLLRGALGQEVNAEREAACCATLAPAPNSADTEGGWCVGGSGETIKKEKLSLCNGPECPPHQM
+>sp|Q9GZM8|NDEL1_HUMAN Nuclear distribution protein nudE-like 1 OS=Homo sapiens OX=9606 GN=NDEL1 PE=1 SV=1
+MDGEDIPDFSSLKEETAYWKELSLKYKQSFQEARDELVEFQEGSRELEAELEAQLVQAEQRNRDLQADNQRLKYEVEALKEKLEHQYAQSYKQVSVLEDDLSQTRAIKEQLHKYVRELEQANDDLERAKRATIVSLEDFEQRLNQAIERNAFLESELDEKESLLVSVQRLKDEARDLRQELAVRERQQEVTRKSAPSSPTLDCEKMDSAVQASLSLPATPVGKGTENTFPSPKAIPNGFGTSPLTPSARISALNIVGDLLRKVGALESKLAACRNFAKDQASRKSYISGNVNCGVLNGNGTKFSRSGHTSFFDKGAVNGFDPAPPPPGLGSSRPSSAPGMLPLSV
+>DECOY_sp|Q9GZM8|NDEL1_HUMAN Nuclear distribution protein nudE-like 1 OS=Homo sapiens OX=9606 GN=NDEL1 PE=1 SV=1
+VSLPLMGPASSPRSSGLGPPPPAPDFGNVAGKDFFSTHGSRSFKTGNGNLVGCNVNGSIYSKRSAQDKAFNRCAALKSELAGVKRLLDGVINLASIRASPTLPSTGFGNPIAKPSPFTNETGKGVPTAPLSLSAQVASDMKECDLTPSSPASKRTVEQQRERVALEQRLDRAEDKLRQVSVLLSEKEDLESELFANREIAQNLRQEFDELSVITARKARELDDNAQELERVYKHLQEKIARTQSLDDELVSVQKYSQAYQHELKEKLAEVEYKLRQNDAQLDRNRQEAQVLQAELEAELERSGEQFEVLEDRAEQFSQKYKLSLEKWYATEEKLSSFDPIDEGDM
+>sp|Q13562|NDF1_HUMAN Neurogenic differentiation factor 1 OS=Homo sapiens OX=9606 GN=NEUROD1 PE=1 SV=3
+MTKSYSESGLMGEPQPQGPPSWTDECLSSQDEEHEADKKEDDLETMNAEEDSLRNGGEEEDEDEDLEEEEEEEEEDDDQKPKRRGPKKKKMTKARLERFKLRRMKANARERNRMHGLNAALDNLRKVVPCYSKTQKLSKIETLRLAKNYIWALSEILRSGKSPDLVSFVQTLCKGLSQPTTNLVAGCLQLNPRTFLPEQNQDMPPHLPTASASFPVHPYSYQSPGLPSPPYGTMDSSHVFHVKPPPHAYSAALEPFFESPLTDCTSPSFDGPLSPPLSINGNFSFKHEPSAEFEKNYAFTMHYPAATLAGAQSHGSIFSGTAAPRCEIPIDNIMSFDSHSHHERVMSAQLNAIFHD
+>DECOY_sp|Q13562|NDF1_HUMAN Neurogenic differentiation factor 1 OS=Homo sapiens OX=9606 GN=NEUROD1 PE=1 SV=3
+DHFIANLQASMVREHHSHSDFSMINDIPIECRPAATGSFISGHSQAGALTAAPYHMTFAYNKEFEASPEHKFSFNGNISLPPSLPGDFSPSTCDTLPSEFFPELAASYAHPPPKVHFVHSSDMTGYPPSPLGPSQYSYPHVPFSASATPLHPPMDQNQEPLFTRPNLQLCGAVLNTTPQSLGKCLTQVFSVLDPSKGSRLIESLAWIYNKALRLTEIKSLKQTKSYCPVVKRLNDLAANLGHMRNRERANAKMRRLKFRELRAKTMKKKKPGRRKPKQDDDEEEEEEEEELDEDEDEEEGGNRLSDEEANMTELDDEKKDAEHEEDQSSLCEDTWSPPGQPQPEGMLGSESYSKTM
+>sp|P56597|NDK5_HUMAN Nucleoside diphosphate kinase homolog 5 OS=Homo sapiens OX=9606 GN=NME5 PE=1 SV=1
+MEISMPPPQIYVEKTLAIIKPDIVDKEEEIQDIILRSGFTIVQRRKLRLSPEQCSNFYVEKYGKMFFPNLTAYMSSGPLVAMILARHKAISYWLELLGPNNSLVAKETHPDSLRAIYGTDDLRNALHGSNDFAAAEREIRFMFPEVIVEPIPIGQAAKDYLNLHIMPTLLEGLTELCKQKPADPLIWLADWLLKNNPNKPKLCHHPIVEEPY
+>DECOY_sp|P56597|NDK5_HUMAN Nucleoside diphosphate kinase homolog 5 OS=Homo sapiens OX=9606 GN=NME5 PE=1 SV=1
+YPEEVIPHHCLKPKNPNNKLLWDALWILPDAPKQKCLETLGELLTPMIHLNLYDKAAQGIPIPEVIVEPFMFRIEREAAAFDNSGHLANRLDDTGYIARLSDPHTEKAVLSNNPGLLELWYSIAKHRALIMAVLPGSSMYATLNPFFMKGYKEVYFNSCQEPSLRLKRRQVITFGSRLIIDQIEEEKDVIDPKIIALTKEVYIQPPPMSIEM
+>sp|Q16718|NDUA5_HUMAN NADH dehydrogenase [ubiquinone] 1 alpha subcomplex subunit 5 OS=Homo sapiens OX=9606 GN=NDUFA5 PE=1 SV=3
+MAGVLKKTTGLVGLAVCNTPHERLRILYTKILDVLEEIPKNAAYRKYTEQITNEKLAMVKAEPDVKKLEDQLQGGQLEEVILQAEHELNLARKMREWKLWEPLVEEPPADQWKWPI
+>DECOY_sp|Q16718|NDUA5_HUMAN NADH dehydrogenase [ubiquinone] 1 alpha subcomplex subunit 5 OS=Homo sapiens OX=9606 GN=NDUFA5 PE=1 SV=3
+IPWKWQDAPPEEVLPEWLKWERMKRALNLEHEAQLIVEELQGGQLQDELKKVDPEAKVMALKENTIQETYKRYAANKPIEELVDLIKTYLIRLREHPTNCVALGVLGTTKKLVGAM
+>sp|P56556|NDUA6_HUMAN NADH dehydrogenase [ubiquinone] 1 alpha subcomplex subunit 6 OS=Homo sapiens OX=9606 GN=NDUFA6 PE=1 SV=4
+MAGSGVRQATSTASTFVKPIFSRDMNEAKRRVRELYRAWYREVPNTVHQFQLDITVKMGRDKVREMFMKNAHVTDPRVVDLLVIKGKIELEETIKVWKQRTHVMRFFHETEAPRPKDFLSKFYVGHDP
+>DECOY_sp|P56556|NDUA6_HUMAN NADH dehydrogenase [ubiquinone] 1 alpha subcomplex subunit 6 OS=Homo sapiens OX=9606 GN=NDUFA6 PE=1 SV=4
+PDHGVYFKSLFDKPRPAETEHFFRMVHTRQKWVKITEELEIKGKIVLLDVVRPDTVHANKMFMERVKDRGMKVTIDLQFQHVTNPVERYWARYLERVRRKAENMDRSFIPKVFTSATSTAQRVGSGAM
+>sp|Q9H019|MFR1L_HUMAN Mitochondrial fission regulator 1-like OS=Homo sapiens OX=9606 GN=MTFR1L PE=1 SV=2
+MSGMEATVTIPIWQNKPHGAARSVVRRIGTNLPLKPCARASFETLPNISDLCLRDVPPVPTLADIAWIAADEEETYARVRSDTRPLRHTWKPSPLIVMQRNASVPNLRGSEERLLALKKPALPALSRTTELQDELSHLRSQIAKIVAADAASASLTPDFLSPGSSNVSSPLPCFGSSFHSTTSFVISDITEETEVEVPELPSVPLLCSASPECCKPEHKAACSSSEEDDCVSLSKASSFADMMGILKDFHRMKQSQDLNRSLLKEEDPAVLISEVLRRKFALKEEDISRKGN
+>DECOY_sp|Q9H019|MFR1L_HUMAN Mitochondrial fission regulator 1-like OS=Homo sapiens OX=9606 GN=MTFR1L PE=1 SV=2
+NGKRSIDEEKLAFKRRLVESILVAPDEEKLLSRNLDQSQKMRHFDKLIGMMDAFSSAKSLSVCDDEESSSCAAKHEPKCCEPSASCLLPVSPLEPVEVETEETIDSIVFSTTSHFSSGFCPLPSSVNSSGPSLFDPTLSASAADAAVIKAIQSRLHSLEDQLETTRSLAPLAPKKLALLREESGRLNPVSANRQMVILPSPKWTHRLPRTDSRVRAYTEEEDAAIWAIDALTPVPPVDRLCLDSINPLTEFSARACPKLPLNTGIRRVVSRAAGHPKNQWIPITVTAEMGSM
+>sp|Q9BY79|MFRP_HUMAN Membrane frizzled-related protein OS=Homo sapiens OX=9606 GN=MFRP PE=1 SV=1
+MKDFSDVILCMEATESSKTEFCNPAFEPESGPPCPPPVFPEDASYSVPAPWHGRRPRGLRPDCRFSWLCVLLLSSLLLLLLGLLVAIILAQLQAAPPSGASHSPLPAGGLTTTTTTPTITTSQAAGTPKGQQESGVSPSPQSTCGGLLSGPRGFFSSPNYPDPYPPNTHCVWHIQVATDHAIQLKIEALSIESVASCLFDRLELSPEPEGPLLRVCGRVPPPTLNTNASHLLVVFVSDSSVEGFGFHAWYQAMAPGRGSCAHDEFRCDQLICLLPDSVCDGFANCADGSDETNCSAKFSGCGGNLTGLQGTFSTPSYLQQYPHQLLCTWHISVPAGHSIELQFHNFSLEAQDECKFDYVEVYETSSSGAFSLLGRFCGAEPPPHLVSSHHELAVLFRTDHGISSGGFSATYLAFNATENPCGPSELSCQAGGCKGVQWMCDMWRDCTDGSDDNCSGPLFPPPELACEPVQVEMCLGLSYNTTAFPNIWVGMITQEEVVEVLSGYKSLTSLPCYQHFRRLLCGLLVPRCTPLGSVLPPCRSVCQEAEHQCQSGLALLGTPWPFNCNRLPEAADLEACAQP
+>DECOY_sp|Q9BY79|MFRP_HUMAN Membrane frizzled-related protein OS=Homo sapiens OX=9606 GN=MFRP PE=1 SV=1
+PQACAELDAAEPLRNCNFPWPTGLLALGSQCQHEAEQCVSRCPPLVSGLPTCRPVLLGCLLRRFHQYCPLSTLSKYGSLVEVVEEQTIMGVWINPFATTNYSLGLCMEVQVPECALEPPPFLPGSCNDDSGDTCDRWMDCMWQVGKCGGAQCSLESPGCPNETANFALYTASFGGSSIGHDTRFLVALEHHSSVLHPPPEAGCFRGLLSFAGSSSTEYVEVYDFKCEDQAELSFNHFQLEISHGAPVSIHWTCLLQHPYQQLYSPTSFTGQLGTLNGGCGSFKASCNTEDSGDACNAFGDCVSDPLLCILQDCRFEDHACSGRGPAMAQYWAHFGFGEVSSDSVFVVLLHSANTNLTPPPVRGCVRLLPGEPEPSLELRDFLCSAVSEISLAEIKLQIAHDTAVQIHWVCHTNPPYPDPYNPSSFFGRPGSLLGGCTSQPSPSVGSEQQGKPTGAAQSTTITPTTTTTTLGGAPLPSHSAGSPPAAQLQALIIAVLLGLLLLLLSSLLLVCLWSFRCDPRLGRPRRGHWPAPVSYSADEPFVPPPCPPGSEPEFAPNCFETKSSETAEMCLIVDSFDKM
+>sp|Q9H3U5|MFSD1_HUMAN Major facilitator superfamily domain-containing protein 1 OS=Homo sapiens OX=9606 GN=MFSD1 PE=2 SV=2
+MEEEDEEARALLAGGPDEADRGAPAAPGALPALCDPSRLAHRLLVLLLMCFLGFGSYFCYDNPAALQTQVKRDMQVNTTKFMLLYAWYSWPNVVLCFFGGFLIDRVFGIRWGTIIFSCFVCIGQVVFALGGIFNAFWLMEFGRFVFGIGGESLAVAQNTYAVSWFKGKELNLVFGLQLSMARIGSTVNMNLMGWLYSKIEALLGSAGHTTLGITLMIGGITCILSLICALALAYLDQRAERILHKEQGKTGEVIKLTDVKDFSLPLWLIFIICVCYYVAVFPFIGLGKVFFTEKFGFSSQAASAINSVVYVISAPMSPVFGLLVDKTGKNIIWVLCAVAATLVSHMMLAFTMWNPWIAMCLLGLSYSLLACALWPMVAFVVPEHQLGTAYGFMQSIQNLGLAIISIIAGMILDSRGYLFLEVFFIACVSLSLLSVVLLYLVNRAQGGNLNYSARQREEIKFSHTE
+>DECOY_sp|Q9H3U5|MFSD1_HUMAN Major facilitator superfamily domain-containing protein 1 OS=Homo sapiens OX=9606 GN=MFSD1 PE=2 SV=2
+ETHSFKIEERQRASYNLNGGQARNVLYLLVVSLLSLSVCAIFFVELFLYGRSDLIMGAIISIIALGLNQISQMFGYATGLQHEPVVFAVMPWLACALLSYSLGLLCMAIWPNWMTFALMMHSVLTAAVACLVWIINKGTKDVLLGFVPSMPASIVYVVSNIASAAQSSFGFKETFFVKGLGIFPFVAVYYCVCIIFILWLPLSFDKVDTLKIVEGTKGQEKHLIREARQDLYALALACILSLICTIGGIMLTIGLTTHGASGLLAEIKSYLWGMLNMNVTSGIRAMSLQLGFVLNLEKGKFWSVAYTNQAVALSEGGIGFVFRGFEMLWFANFIGGLAFVVQGICVFCSFIITGWRIGFVRDILFGGFFCLVVNPWSYWAYLLMFKTTNVQMDRKVQTQLAAPNDYCFYSGFGLFCMLLLVLLRHALRSPDCLAPLAGPAAPAGRDAEDPGGALLARAEEDEEEM
+>sp|Q8NBP5|MFSD9_HUMAN Major facilitator superfamily domain-containing protein 9 OS=Homo sapiens OX=9606 GN=MFSD9 PE=2 SV=2
+MELGGHWDMNSAPRLVSETAERKQEQKTGTEAEAADSGAVGARRFLLCLYLVGFLDLFGVSMVVPLLSLHVKSLGASPTVAGIVGSSYGILQLFSSTLVGCWSDVVGRRSSLLACILLSALGYLLLGAATNVFLFVLARVPAGIFKHTLSISRALLSDVVPEKERPLVIGHFNTASGVGFILGPVVGGYLTELEDGFYLTAFICFLVFILNAGLVWFFPWREAKPGSTEKGLPLRKTHVLLGRSHDTVQEAATSRRARASKKTAQPWVEVVLALRNMKNLLFSEMWDIFLVRLLMAMAVMLYYSNFVLALEERFGVRPKVTGYLISYSSMLGAVAGLALGPILRLYKHNSQALLLHSSILTCTLLLLYSLAPTMGAVVLSSTLLSFSTAIGRTCITDLQLTVGGAQASGTLIGVGQSVTAVGRIIAPLLSGVAQEVSPCGPPSLGAVLALVAIFIMSLNKRHSSGDGNSKLKSE
+>DECOY_sp|Q8NBP5|MFSD9_HUMAN Major facilitator superfamily domain-containing protein 9 OS=Homo sapiens OX=9606 GN=MFSD9 PE=2 SV=2
+ESKLKSNGDGSSHRKNLSMIFIAVLALVAGLSPPGCPSVEQAVGSLLPAIIRGVATVSQGVGILTGSAQAGGVTLQLDTICTRGIATSFSLLTSSLVVAGMTPALSYLLLLTCTLISSHLLLAQSNHKYLRLIPGLALGAVAGLMSSYSILYGTVKPRVGFREELALVFNSYYLMVAMAMLLRVLFIDWMESFLLNKMNRLALVVEVWPQATKKSARARRSTAAEQVTDHSRGLLVHTKRLPLGKETSGPKAERWPFFWVLGANLIFVLFCIFATLYFGDELETLYGGVVPGLIFGVGSATNFHGIVLPREKEPVVDSLLARSISLTHKFIGAPVRALVFLFVNTAAGLLLYGLASLLICALLSSRRGVVDSWCGVLTSSFLQLIGYSSGVIGAVTPSAGLSKVHLSLLPVVMSVGFLDLFGVLYLCLLFRRAGVAGSDAAEAETGTKQEQKREATESVLRPASNMDWHGGLEM
+>sp|P61326|MGN_HUMAN Protein mago nashi homolog OS=Homo sapiens OX=9606 GN=MAGOH PE=1 SV=1
+MESDFYLRYYVGHKGKFGHEFLEFEFRPDGKLRYANNSNYKNDVMIRKEAYVHKSVMEELKRIIDDSEITKEDDALWPPPDRVGRQELEIVIGDEHISFTTSKIGSLIDVNQSKDPEGLRVFYYLVQDLKCLVFSLIGLHFKIKPI
+>DECOY_sp|P61326|MGN_HUMAN Protein mago nashi homolog OS=Homo sapiens OX=9606 GN=MAGOH PE=1 SV=1
+IPKIKFHLGILSFVLCKLDQVLYYFVRLGEPDKSQNVDILSGIKSTTFSIHEDGIVIELEQRGVRDPPPWLADDEKTIESDDIIRKLEEMVSKHVYAEKRIMVDNKYNSNNAYRLKGDPRFEFELFEHGFKGKHGVYYRLYFDSEM
+>sp|P08493|MGP_HUMAN Matrix Gla protein OS=Homo sapiens OX=9606 GN=MGP PE=1 SV=2
+MKSLILLAILAALAVVTLCYESHESMESYELNPFINRRNANTFISPQQRWRAKVQERIRERSKPVHELNREACDDYRLCERYAMVYGYNAAYNRYFRKRRGTK
+>DECOY_sp|P08493|MGP_HUMAN Matrix Gla protein OS=Homo sapiens OX=9606 GN=MGP PE=1 SV=2
+KTGRRKRFYRNYAANYGYVMAYRECLRYDDCAERNLEHVPKSRERIREQVKARWRQQPSIFTNANRRNIFPNLEYSEMSEHSEYCLTVVALAALIALLILSKM
+>sp|Q99735|MGST2_HUMAN Microsomal glutathione S-transferase 2 OS=Homo sapiens OX=9606 GN=MGST2 PE=1 SV=1
+MAGNSILLAAVSILSACQQSYFALQVGKARLKYKVTPPAVTGSPEFERVFRAQQNCVEFYPIFIITLWMAGWYFNQVFATCLGLVYIYGRHLYFWGYSEAAKKRITGFRLSLGILALLTLLGALGIANSFLDEYLDLNIAKKLRRQF
+>DECOY_sp|Q99735|MGST2_HUMAN Microsomal glutathione S-transferase 2 OS=Homo sapiens OX=9606 GN=MGST2 PE=1 SV=1
+FQRRLKKAINLDLYEDLFSNAIGLAGLLTLLALIGLSLRFGTIRKKAAESYGWFYLHRGYIYVLGLCTAFVQNFYWGAMWLTIIFIPYFEVCNQQARFVREFEPSGTVAPPTVKYKLRAKGVQLAFYSQQCASLISVAALLISNGAM
+>sp|Q3V5L5|MGT5B_HUMAN Alpha-1,6-mannosylglycoprotein 6-beta-N-acetylglucosaminyltransferase B OS=Homo sapiens OX=9606 GN=MGAT5B PE=1 SV=2
+MITVNPDGKIMVRRCLVTLRPFRLFVLGIGFFTLCFLMTSLGGQFSARRLGDSPFTIRTEVMGGPESRGVLRKMSDLLELMVKRMDALARLENSSELHRAGGDLHFPADRMPPGAGLMERIQAIAQNVSDIAVKVDQILRHSLLLHSKVSEGRRDQCEAPSDPKFPDCSGKVEWMRARWTSDPCYAFFGVDGTECSFLIYLSEVEWFCPPLPWRNQTAAQRAPKPLPKVQAVFRSNLSHLLDLMGSGKESLIFMKKRTKRLTAQWALAAQRLAQKLGATQRDQKQILVHIGFLTEESGDVFSPRVLKGGPLGEMVQWADILTALYVLGHGLRVTVSLKELQSNLGVPPGRGSCPLTMPLPFDLIYTDYHGLQQMKRHMGLSFKKYRCRIRVIDTFGTEPAYNHEEYATLHGYRTNWGYWNLNPKQFMTMFPHTPDNSFMGFVSEELNETEKRLIKGGKASNMAVVYGKEASIWKLQGKEKFLGILNKYMEIHGTVYYESQRPPEVPAFVKNHGLLPQPEFQQLLRKAKLFIGFGFPYEGPAPLEAIANGCIFLQSRFSPPHSSLNHEFFRGKPTSREVFSQHPYAENFIGKPHVWTVDYNNSEEFEAAIKAIMRTQVDPYLPYEYTCEGMLERIHAYIQHQDFCRAPDPALPEAHAPQSPFVLAPNATHLEWARNTSLAPGAWPPAHALRAWLAVPGRACTDTCLDHGLICEPSFFPFLNSQDAFLKLQVPCDSTESEMNHLYPAFAQPGQECYLQKEPLLFSCAGSNTKYRRLCPCRDFRKGQVALCQGCL
+>DECOY_sp|Q3V5L5|MGT5B_HUMAN Alpha-1,6-mannosylglycoprotein 6-beta-N-acetylglucosaminyltransferase B OS=Homo sapiens OX=9606 GN=MGAT5B PE=1 SV=2
+LCGQCLAVQGKRFDRCPCLRRYKTNSGACSFLLPEKQLYCEQGPQAFAPYLHNMESETSDCPVQLKLFADQSNLFPFFSPECILGHDLCTDTCARGPVALWARLAHAPPWAGPALSTNRAWELHTANPALVFPSQPAHAEPLAPDPARCFDQHQIYAHIRELMGECTYEYPLYPDVQTRMIAKIAAEFEESNNYDVTWVHPKGIFNEAYPHQSFVERSTPKGRFFEHNLSSHPPSFRSQLFICGNAIAELPAPGEYPFGFGIFLKAKRLLQQFEPQPLLGHNKVFAPVEPPRQSEYYVTGHIEMYKNLIGLFKEKGQLKWISAEKGYVVAMNSAKGGKILRKETENLEESVFGMFSNDPTHPFMTMFQKPNLNWYGWNTRYGHLTAYEEHNYAPETGFTDIVRIRCRYKKFSLGMHRKMQQLGHYDTYILDFPLPMTLPCSGRGPPVGLNSQLEKLSVTVRLGHGLVYLATLIDAWQVMEGLPGGKLVRPSFVDGSEETLFGIHVLIQKQDRQTAGLKQALRQAALAWQATLRKTRKKMFILSEKGSGMLDLLHSLNSRFVAQVKPLPKPARQAATQNRWPLPPCFWEVESLYILFSCETGDVGFFAYCPDSTWRARMWEVKGSCDPFKPDSPAECQDRRGESVKSHLLLSHRLIQDVKVAIDSVNQAIAQIREMLGAGPPMRDAPFHLDGGARHLESSNELRALADMRKVMLELLDSMKRLVGRSEPGGMVETRITFPSDGLRRASFQGGLSTMLFCLTFFGIGLVFLRFPRLTVLCRRVMIKGDPNVTIM
+>sp|Q9H1L0|MI1HG_HUMAN Uncharacterized protein MIR1-1HG OS=Homo sapiens OX=9606 GN=MIR1-1HG PE=4 SV=1
+MPSCSCALMAPCGPAAGPAAVERTQQVARGEPGSARGQLQVSPEMSITHKEKENAHLKEILLFVNAEAFSQPQPHSAPVCEGQQLTGKFSTSVLTRAGGDASPCSWERLLCYGWSHC
+>DECOY_sp|Q9H1L0|MI1HG_HUMAN Uncharacterized protein MIR1-1HG OS=Homo sapiens OX=9606 GN=MIR1-1HG PE=4 SV=1
+CHSWGYCLLREWSCPSADGGARTLVSTSFKGTLQQGECVPASHPQPQSFAEANVFLLIEKLHANEKEKHTISMEPSVQLQGRASGPEGRAVQQTREVAAPGAAPGCPAMLACSCSPM
+>sp|Q96PC5|MIA2_HUMAN Melanoma inhibitory activity protein 2 OS=Homo sapiens OX=9606 GN=MIA2 PE=1 SV=4
+MAKFGVHRILLLAISLTKCLESTKLLADLKKCGDLECEALINRVSAMRDYRGPDCRYLNFTKGEEISVYVKLAGEREDLWAGSKGKEFGYFPRDAVQIEEVFISEEIQMSTKESDFLCLLGVSYTFDNEDSELNGDYGENIYPYEEDKDEKSSIYESDFQIEPGFYATYESTLFEDQVPALEAPEDIGSTSESKDWEEVVVESMEQDRIPEVHVPPSSAVSGVKEWFGLGGEQAEEKAFESVIEPVQESSFRSRKIAVEDENDLEELNNGEPQTEHQQESESEIDSVPKTQSELASESEHIPKPQSTGWFGGGFTSYLGFGDEDTGLELIAEESNPPLQDFPNSISSDKEATVPCTEILTEKKDTITNDSLSLKPSWFDFGFAILGFAYAKEDKIMLDDRKNEEDGGADEHEHPLTSELDPEKEQEIETIKIIETEDQIDKKPVSEKTDESDTIPYLKKFLYNFDNPWNFQNIPKETELPFPKQILDQNNVIENEETGEFSIDNYPTDNTKVMIFKSSYSLSDMVSNIELPTRIHEEVYFEPSSSKDSDENSKPSVDTEGPALVEIDRSVENTLLNSQMVSTDNSLSSQNYISQKEDASEFQILKYLFQIDVYDFMNSAFSPIVILTERVVAALPEGMRPDSNLYGFPWELVICAAVVGFFAVLFFLWRSFRSVRSRLYVGREKKLALMLSGLIEEKSKLLEKFSLVQKEYEGYEVESSLKDASFEKEATEAQSLEATCEKLNRSNSELEDEILCLEKELKEEKSKHSEQDELMADISKRIQSLEDESKSLKSQVAEAKMTFKIFQMNEERLKIAIKDALNENSQLQESQKQLLQEAEVWKEQVSELNKQKVTFEDSKVHAEQVLNDKESHIKTLTERLLKMKDWAAMLGEDITDDDNLELEMNSESENGAYLDNPPKGALKKLIHAAKLNASLKTLEGERNQIYIQLSEVDKTKEELTEHIKNLQTEQASLQSENTHFENENQKLQQKLKVMTELYQENEMKLHRKLTVEENYRLEKEEKLSKVDEKISHATEELETYRKRAKDLEEELERTIHSYQGQIISHEKKAHDNWLAARNAERNLNDLRKENAHNRQKLTETELKFELLEKDPYALDVPNTAFGREHSPYGPSPLGWPSSETRAFLSPPTLLEGPLRLSPLLPGGGGRGSRGPGNPLDHQITNERGESSCDRLTDPHRAPSDTGSLSPPWDQDRRMMFPPPGQSYPDSALPPQRQDRFCSNSGRLSGPAELRSFNMPSLDKMDGSMPSEMESSRNDTKDDLGNLNVPDSSLPAENEATGPGFVPPPLAPIRGPLFPVDARGPFLRRGPPFPPPPPGAMFGASRDYFPPGDFPGPPPAPFAMRNVYPPRGFPPYLPPRPGFFPPPPHSEGRSEFPSGLIPPSNEPATEHPEPQQET
+>DECOY_sp|Q96PC5|MIA2_HUMAN Melanoma inhibitory activity protein 2 OS=Homo sapiens OX=9606 GN=MIA2 PE=1 SV=4
+TEQQPEPHETAPENSPPILGSPFESRGESHPPPPFFGPRPPLYPPFGRPPYVNRMAFPAPPPGPFDGPPFYDRSAGFMAGPPPPPFPPGRRLFPGRADVPFLPGRIPALPPPVFGPGTAENEAPLSSDPVNLNGLDDKTDNRSSEMESPMSGDMKDLSPMNFSRLEAPGSLRGSNSCFRDQRQPPLASDPYSQGPPPFMMRRDQDWPPSLSGTDSPARHPDTLRDCSSEGRENTIQHDLPNGPGRSGRGGGGPLLPSLRLPGELLTPPSLFARTESSPWGLPSPGYPSHERGFATNPVDLAYPDKELLEFKLETETLKQRNHANEKRLDNLNREANRAALWNDHAKKEHSIIQGQYSHITRELEEELDKARKRYTELEETAHSIKEDVKSLKEEKELRYNEEVTLKRHLKMENEQYLETMVKLKQQLKQNENEFHTNESQLSAQETQLNKIHETLEEKTKDVESLQIYIQNREGELTKLSANLKAAHILKKLAGKPPNDLYAGNESESNMELELNDDDTIDEGLMAAWDKMKLLRETLTKIHSEKDNLVQEAHVKSDEFTVKQKNLESVQEKWVEAEQLLQKQSEQLQSNENLADKIAIKLREENMQFIKFTMKAEAVQSKLSKSEDELSQIRKSIDAMLEDQESHKSKEEKLEKELCLIEDELESNSRNLKECTAELSQAETAEKEFSADKLSSEVEYGEYEKQVLSFKELLKSKEEILGSLMLALKKERGVYLRSRVSRFSRWLFFLVAFFGVVAACIVLEWPFGYLNSDPRMGEPLAAVVRETLIVIPSFASNMFDYVDIQFLYKLIQFESADEKQSIYNQSSLSNDTSVMQSNLLTNEVSRDIEVLAPGETDVSPKSNEDSDKSSSPEFYVEEHIRTPLEINSVMDSLSYSSKFIMVKTNDTPYNDISFEGTEENEIVNNQDLIQKPFPLETEKPINQFNWPNDFNYLFKKLYPITDSEDTKESVPKKDIQDETEIIKITEIEQEKEPDLESTLPHEHEDAGGDEENKRDDLMIKDEKAYAFGLIAFGFDFWSPKLSLSDNTITDKKETLIETCPVTAEKDSSISNPFDQLPPNSEEAILELGTDEDGFGLYSTFGGGFWGTSQPKPIHESESALESQTKPVSDIESESEQQHETQPEGNNLEELDNEDEVAIKRSRFSSEQVPEIVSEFAKEEAQEGGLGFWEKVGSVASSPPVHVEPIRDQEMSEVVVEEWDKSESTSGIDEPAELAPVQDEFLTSEYTAYFGPEIQFDSEYISSKEDKDEEYPYINEGYDGNLESDENDFTYSVGLLCLFDSEKTSMQIEESIFVEEIQVADRPFYGFEKGKSGAWLDEREGALKVYVSIEEGKTFNLYRCDPGRYDRMASVRNILAECELDGCKKLDALLKTSELCKTLSIALLLIRHVGFKAM
+>sp|Q9NX63|MIC19_HUMAN MICOS complex subunit MIC19 OS=Homo sapiens OX=9606 GN=CHCHD3 PE=1 SV=1
+MGGTTSTRRVTFEADENENITVVKGIRLSENVIDRMKESSPSGSKSQRYSGAYGASVSDEELKRRVAEELALEQAKKESEDQKRLKQAKELDRERAAANEQLTRAILRERICSEEERAKAKHLARQLEEKDRVLKKQDAFYKEQLARLEERSSEFYRVTTEQYQKAAEEVEAKFKRYESHPVCADLQAKILQCYRENTHQTLKCSALATQYMHCVNHAKQSMLEKGG
+>DECOY_sp|Q9NX63|MIC19_HUMAN MICOS complex subunit MIC19 OS=Homo sapiens OX=9606 GN=CHCHD3 PE=1 SV=1
+GGKELMSQKAHNVCHMYQTALASCKLTQHTNERYCQLIKAQLDACVPHSEYRKFKAEVEEAAKQYQETTVRYFESSREELRALQEKYFADQKKLVRDKEELQRALHKAKAREEESCIRERLIARTLQENAAARERDLEKAQKLRKQDESEKKAQELALEEAVRRKLEEDSVSAGYAGSYRQSKSGSPSSEKMRDIVNESLRIGKVVTINENEDAEFTVRRTSTTGGM
+>sp|Q6UXV4|MIC27_HUMAN MICOS complex subunit MIC27 OS=Homo sapiens OX=9606 GN=APOOL PE=1 SV=1
+MAAIRMGKLTTMPAGLIYASVSVHAAKQEESKKQLVKPEQLPIYTAPPLQSKYVEEQPGHLQMGFASIRTATGCYIGWCKGVYVFVKNGIMDTVQFGKDAYVYLKNPPRDFLPKMGVITVSGLAGLVSARKGSKFKKITYPLGLATLGATVCYPVQSVIIAKVTAKKVYATSQQIFGAVKSLWTKSSKEESLPKPKEKTKLGSSSEIEVPAKTTHVLKHSVPLPTELSSEAKTKSESTSGATQFMPDPKLMDHGQSHPEDIDMYSTRS
+>DECOY_sp|Q6UXV4|MIC27_HUMAN MICOS complex subunit MIC27 OS=Homo sapiens OX=9606 GN=APOOL PE=1 SV=1
+SRTSYMDIDEPHSQGHDMLKPDPMFQTAGSTSESKTKAESSLETPLPVSHKLVHTTKAPVEIESSSGLKTKEKPKPLSEEKSSKTWLSKVAGFIQQSTAYVKKATVKAIIVSQVPYCVTAGLTALGLPYTIKKFKSGKRASVLGALGSVTIVGMKPLFDRPPNKLYVYADKGFQVTDMIGNKVFVYVGKCWGIYCGTATRISAFGMQLHGPQEEVYKSQLPPATYIPLQEPKVLQKKSEEQKAAHVSVSAYILGAPMTTLKGMRIAAM
+>sp|Q16891|MIC60_HUMAN MICOS complex subunit MIC60 OS=Homo sapiens OX=9606 GN=IMMT PE=1 SV=1
+MLRACQLSGVTAAAQSCLCGKFVLRPLRPCRRYSTSGSSGLTTGKIAGAGLLFVGGGIGGTILYAKWDSHFRESVEKTIPYSDKLFEMVLGPAAYNVPLPKKSIQSGPLKISSVSEVMKESKQPASQLQKQKGDTPASATAPTEAAQIISAAGDTLSVPAPAVQPEESLKTDHPEIGEGKPTPALSEEASSSSIRERPPEEVAARLAQQEKQEQVKIESLAKSLEDALRQTASVTLQAIAAQNAAVQAVNAHSNILKAAMDNSEIAGEKKSAQWRTVEGALKERRKAVDEAADALLKAKEELEKMKSVIENAKKKEVAGAKPHITAAEGKLHNMIVDLDNVVKKVQAAQSEAKVVSQYHELVVQARDDFKRELDSITPEVLPGWKGMSVSDLADKLSTDDLNSLIAHAHRRIDQLNRELAEQKATEKQHITLALEKQKLEEKRAFDSAVAKALEHHRSEIQAEQDRKIEEVRDAMENEMRTQLRRQAAAHTDHLRDVLRVQEQELKSEFEQNLSEKLSEQELQFRRLSQEQVDNFTLDINTAYARLRGIEQAVQSHAVAEEEARKAHQLWLSVEALKYSMKTSSAETPTIPLGSAVEAIKANCSDNEFTQALTAAIPPESLTRGVYSEETLRARFYAVQKLARRVAMIDETRNSLYQYFLSYLQSLLLFPPQQLKPPPELCPEDINTFKLLSYASYCIEHGDLELAAKFVNQLKGESRRVAQDWLKEARMTLETKQIVEILTAYASAVGIGTTQVQPE
+>DECOY_sp|Q16891|MIC60_HUMAN MICOS complex subunit MIC60 OS=Homo sapiens OX=9606 GN=IMMT PE=1 SV=1
+EPQVQTTGIGVASAYATLIEVIQKTELTMRAEKLWDQAVRRSEGKLQNVFKAALELDGHEICYSAYSLLKFTNIDEPCLEPPPKLQQPPFLLLSQLYSLFYQYLSNRTEDIMAVRRALKQVAYFRARLTEESYVGRTLSEPPIAATLAQTFENDSCNAKIAEVASGLPITPTEASSTKMSYKLAEVSLWLQHAKRAEEEAVAHSQVAQEIGRLRAYATNIDLTFNDVQEQSLRRFQLEQESLKESLNQEFESKLEQEQVRLVDRLHDTHAAAQRRLQTRMENEMADRVEEIKRDQEAQIESRHHELAKAVASDFARKEELKQKELALTIHQKETAKQEALERNLQDIRRHAHAILSNLDDTSLKDALDSVSMGKWGPLVEPTISDLERKFDDRAQVVLEHYQSVVKAESQAAQVKKVVNDLDVIMNHLKGEAATIHPKAGAVEKKKANEIVSKMKELEEKAKLLADAAEDVAKRREKLAGEVTRWQASKKEGAIESNDMAAKLINSHANVAQVAANQAAIAQLTVSATQRLADELSKALSEIKVQEQKEQQALRAAVEEPPRERISSSSAEESLAPTPKGEGIEPHDTKLSEEPQVAPAPVSLTDGAASIIQAAETPATASAPTDGKQKQLQSAPQKSEKMVESVSSIKLPGSQISKKPLPVNYAAPGLVMEFLKDSYPITKEVSERFHSDWKAYLITGGIGGGVFLLGAGAIKGTTLGSSGSTSYRRCPRLPRLVFKGCLCSQAAATVGSLQCARLM
+>sp|Q29980|MICB_HUMAN MHC class I polypeptide-related sequence B OS=Homo sapiens OX=9606 GN=MICB PE=1 SV=1
+MGLGRVLLFLAVAFPFAPPAAAAEPHSLRYNLMVLSQDESVQSGFLAEGHLDGQPFLRYDRQKRRAKPQGQWAEDVLGAKTWDTETEDLTENGQDLRRTLTHIKDQKGGLHSLQEIRVCEIHEDSSTRGSRHFYYDGELFLSQNLETQESTVPQSSRAQTLAMNVTNFWKEDAMKTKTHYRAMQADCLQKLQRYLKSGVAIRRTVPPMVNVTCSEVSEGNITVTCRASSFYPRNITLTWRQDGVSLSHNTQQWGDVLPDGNGTYQTWVATRIRQGEEQRFTCYMEHSGNHGTHPVPSGKVLVLQSQRTDFPYVSAAMPCFVIIIILCVPCCKKKTSAAEGPELVSLQVLDQHPVGTGDHRDAAQLGFQPLMSATGSTGSTEGA
+>DECOY_sp|Q29980|MICB_HUMAN MHC class I polypeptide-related sequence B OS=Homo sapiens OX=9606 GN=MICB PE=1 SV=1
+AGETSGTSGTASMLPQFGLQAADRHDGTGVPHQDLVQLSVLEPGEAASTKKKCCPVCLIIIIVFCPMAASVYPFDTRQSQLVLVKGSPVPHTGHNGSHEMYCTFRQEEGQRIRTAVWTQYTGNGDPLVDGWQQTNHSLSVGDQRWTLTINRPYFSSARCTVTINGESVESCTVNVMPPVTRRIAVGSKLYRQLKQLCDAQMARYHTKTKMADEKWFNTVNMALTQARSSQPVTSEQTELNQSLFLEGDYYFHRSGRTSSDEHIECVRIEQLSHLGGKQDKIHTLTRRLDQGNETLDETETDWTKAGLVDEAWQGQPKARRKQRDYRLFPQGDLHGEALFGSQVSEDQSLVMLNYRLSHPEAAAAPPAFPFAVALFLLVRGLGM
+>sp|Q86XE3|MICU3_HUMAN Calcium uptake protein 3, mitochondrial OS=Homo sapiens OX=9606 GN=MICU3 PE=2 SV=1
+MAALRRLLWPPPRVSPPLCAHQPLLGPWGRPAVTTLGLPGRPFSSREDEERAVAEAAWRRRRRWGELSVAAAAGGGLVGLVCYQLYGDPRAGSPATGRPSKSAATEPEDPPRGRGMLPIPVAAAKETVAIGRTDIEDLDLYATSRERRFRLFASIECEGQLFMTPYDFILAVTTDEPKVAKTWKSLSKQELNQMLAETPPVWKGSSKLFRNLKEKGVISYTEYLFLLCILTKPHAGFRIAFNMFDTDGNEMVDKKEFLVLQEIFRKKNEKREIKGDEEKRAMLRLQLYGYHSPTNSVLKTDAEELVSRSYWDTLRRNTSQALFSDLAERADDITSLVTDTTLLVHFFGKKGKAELNFEDFYRFMDNLQTEVLEIEFLSYSNGMNTISEEDFAHILLRYTNVENTSVFLENVRYSIPEEKGITFDEFRSFFQFLNNLEDFAIALNMYNFASRSIGQDEFKRAVYVATGLKFSPHLVNTVFKIFDVDKDDQLSYKEFIGIMKDRLHRGFRGYKTVQKYPTFKSCLKKELHSR
+>DECOY_sp|Q86XE3|MICU3_HUMAN Calcium uptake protein 3, mitochondrial OS=Homo sapiens OX=9606 GN=MICU3 PE=2 SV=1
+RSHLEKKLCSKFTPYKQVTKYGRFGRHLRDKMIGIFEKYSLQDDKDVDFIKFVTNVLHPSFKLGTAVYVARKFEDQGISRSAFNYMNLAIAFDELNNLFQFFSRFEDFTIGKEEPISYRVNELFVSTNEVNTYRLLIHAFDEESITNMGNSYSLFEIELVETQLNDMFRYFDEFNLEAKGKKGFFHVLLTTDTVLSTIDDAREALDSFLAQSTNRRLTDWYSRSVLEEADTKLVSNTPSHYGYLQLRLMARKEEDGKIERKENKKRFIEQLVLFEKKDVMENGDTDFMNFAIRFGAHPKTLICLLFLYETYSIVGKEKLNRFLKSSGKWVPPTEALMQNLEQKSLSKWTKAVKPEDTTVALIFDYPTMFLQGECEISAFLRFRRERSTAYLDLDEIDTRGIAVTEKAAAVPIPLMGRGRPPDEPETAASKSPRGTAPSGARPDGYLQYCVLGVLGGGAAAAVSLEGWRRRRRWAAEAVAREEDERSSFPRGPLGLTTVAPRGWPGLLPQHACLPPSVRPPPWLLRRLAAM
+>sp|Q96C03|MID49_HUMAN Mitochondrial dynamics protein MID49 OS=Homo sapiens OX=9606 GN=MIEF2 PE=1 SV=1
+MAEFSQKRGKRRSDEGLGSMVDFLLANARLVLGVGGAAVLGIATLAVKRFIDRATSPRDEDDTKADSWKELSLLKATPHLQPRPPPAALSQPVLPLAPSSSAPEGPAETDPEVTPQLSSPAPLCLTLQERLLAFERDRVTIPAAQVALAKQLAGDIALELQAYFRSKFPELPFGAFVPGGPLYDGLQAGAADHVRLLVPLVLEPGLWSLVPGVDTVARDPRCWAVRRTQLEFCPRGSSPWDRFLVGGYLSSRVLLELLRKALAASVNWPAIGSLLGCLIRPSMASEELLLEVQHERLELTVAVLVAVPGVDADDRLLLAWPLEGLAGNLWLQDLYPVEAARLRALDDHDAGTRRRLLLLLCAVCRGCSALGQLGRGHLTQVVLRLGEDNVDWTEEALGERFLQALELLIGSLEQASLPCHFNPSVNLFSSLREEEIDDIGYALYSGLQEPEGLL
+>DECOY_sp|Q96C03|MID49_HUMAN Mitochondrial dynamics protein MID49 OS=Homo sapiens OX=9606 GN=MIEF2 PE=1 SV=1
+LLGEPEQLGSYLAYGIDDIEEERLSSFLNVSPNFHCPLSAQELSGILLELAQLFREGLAEETWDVNDEGLRLVVQTLHGRGLQGLASCGRCVACLLLLLRRRTGADHDDLARLRAAEVPYLDQLWLNGALGELPWALLLRDDADVGPVAVLVAVTLELREHQVELLLEESAMSPRILCGLLSGIAPWNVSAALAKRLLELLVRSSLYGGVLFRDWPSSGRPCFELQTRRVAWCRPDRAVTDVGPVLSWLGPELVLPVLLRVHDAAGAQLGDYLPGGPVFAGFPLEPFKSRFYAQLELAIDGALQKALAVQAAPITVRDREFALLREQLTLCLPAPSSLQPTVEPDTEAPGEPASSSPALPLVPQSLAAPPPRPQLHPTAKLLSLEKWSDAKTDDEDRPSTARDIFRKVALTAIGLVAAGGVGLVLRANALLFDVMSGLGEDSRRKGRKQSFEAM
+>sp|Q8N3F8|MILK1_HUMAN MICAL-like protein 1 OS=Homo sapiens OX=9606 GN=MICALL1 PE=1 SV=2
+MAGPRGALLAWCRRQCEGYRGVEIRDLSSSFRDGLAFCAILHRHRPDLLDFDSLSKDNVFENNRLAFEVAEKELGIPALLDPNDMVSMSVPDCLSIMTYVSQYYNHFCSPGQAGVSPPRKGLAPCSPPSVAPTPVEPEDVAQGEELSSGSLSEQGTGQTPSSTCAACQQHVHLVQRYLADGRLYHRHCFRCRRCSSTLLPGAYENGPEEGTFVCAEHCARLGPGTRSGTRPGPFSQPKQQHQQQLAEDAKDVPGGGPSSSAPAGAEADGPKASPEARPQIPTKPRVPGKLQELASPPAGRPTPAPRKASESTTPAPPTPRPRSSLQQENLVEQAGSSSLVNGRLHELPVPKPRGTPKPSEGTPAPRKDPPWITLVQAEPKKKPAPLPPSSSPGPPSQDSRQVENGGTEEVAQPSPTASLESKPYNPFEEEEEDKEEEAPAAPSLATSPALGHPESTPKSLHPWYGITPTSSPKTKKRPAPRAPSASPLALHASRLSHSEPPSATPSPALSVESLSSESASQTAGAELLEPPAVPKSSSEPAVHAPGTPGNPVSLSTNSSLASSGELVEPRVEQMPQASPGLAPRTRGSSGPQPAKPCSGATPTPLLLVGDRSPVPSPGSSSPQLQVKSSCKENPFNRKPSPAASPATKKATKGSKPVRPPAPGHGFPLIKRKVQADQYIPEEDIHGEMDTIERRLDALEHRGVLLEEKLRGGLNEGREDDMLVDWFKLIHEKHLLVRRESELIYVFKQQNLEQRQADVEYELRCLLNKPEKDWTEEDRAREKVLMQELVTLIEQRNAIINCLDEDRQREEEEDKMLEAMIKKKEFQREAEPEGKKKGKFKTMKMLKLLGNKRDAKSKSPRDKS
+>DECOY_sp|Q8N3F8|MILK1_HUMAN MICAL-like protein 1 OS=Homo sapiens OX=9606 GN=MICALL1 PE=1 SV=2
+SKDRPSKSKADRKNGLLKLMKMTKFKGKKKGEPEAERQFEKKKIMAELMKDEEEERQRDEDLCNIIANRQEILTVLEQMLVKERARDEETWDKEPKNLLCRLEYEVDAQRQELNQQKFVYILESERRVLLHKEHILKFWDVLMDDERGENLGGRLKEELLVGRHELADLRREITDMEGHIDEEPIYQDAQVKRKILPFGHGPAPPRVPKSGKTAKKTAPSAAPSPKRNFPNEKCSSKVQLQPSSSGPSPVPSRDGVLLLPTPTAGSCPKAPQPGSSGRTRPALGPSAQPMQEVRPEVLEGSSALSSNTSLSVPNGPTGPAHVAPESSSKPVAPPELLEAGATQSASESSLSEVSLAPSPTASPPESHSLRSAHLALPSASPARPAPRKKTKPSSTPTIGYWPHLSKPTSEPHGLAPSTALSPAAPAEEEKDEEEEEFPNYPKSELSATPSPQAVEETGGNEVQRSDQSPPGPSSSPPLPAPKKKPEAQVLTIWPPDKRPAPTGESPKPTGRPKPVPLEHLRGNVLSSSGAQEVLNEQQLSSRPRPTPPAPTTSESAKRPAPTPRGAPPSALEQLKGPVRPKTPIQPRAEPSAKPGDAEAGAPASSSPGGGPVDKADEALQQQHQQKPQSFPGPRTGSRTGPGLRACHEACVFTGEEPGNEYAGPLLTSSCRRCRFCHRHYLRGDALYRQVLHVHQQCAACTSSPTQGTGQESLSGSSLEEGQAVDEPEVPTPAVSPPSCPALGKRPPSVGAQGPSCFHNYYQSVYTMISLCDPVSMSVMDNPDLLAPIGLEKEAVEFALRNNEFVNDKSLSDFDLLDPRHRHLIACFALGDRFSSSLDRIEVGRYGECQRRCWALLAGRPGAM
+>sp|Q8IXI2|MIRO1_HUMAN Mitochondrial Rho GTPase 1 OS=Homo sapiens OX=9606 GN=RHOT1 PE=1 SV=2
+MKKDVRILLVGEPRVGKTSLIMSLVSEEFPEEVPPRAEEITIPADVTPERVPTHIVDYSEAEQSDEQLHQEISQANVICIVYAVNNKHSIDKVTSRWIPLINERTDKDSRLPLILVGNKSDLVEYSSMETILPIMNQYTEIETCVECSAKNLKNISELFYYAQKAVLHPTGPLYCPEEKEMKPACIKALTRIFKISDQDNDGTLNDAELNFFQRICFNTPLAPQALEDVKNVVRKHISDGVADSGLTLKGFLFLHTLFIQRGRHETTWTVLRRFGYDDDLDLTPEYLFPLLKIPPDCTTELNHHAYLFLQSTFDKHDLDRDCALSPDELKDLFKVFPYIPWGPDVNNTVCTNERGWITYQGFLSQWTLTTYLDVQRCLEYLGYLGYSILTEQESQASAVTVTRDKKIDLQKKQTQRNVFRCNVIGVKNCGKSGVLQALLGRNLMRQKKIREDHKSYYAINTVYVYGQEKYLLLHDISESEFLTEAEIICDVVCLVYDVSNPKSFEYCARIFKQHFMDSRIPCLIVAAKSDLHEVKQEYSISPTDFCRKHKMPPPQAFTCNTADAPSKDIFVKLTTMAMYPHVTQADLKSSTFWLRASFGATVFAVLGFAMYKALLKQR
+>DECOY_sp|Q8IXI2|MIRO1_HUMAN Mitochondrial Rho GTPase 1 OS=Homo sapiens OX=9606 GN=RHOT1 PE=1 SV=2
+RQKLLAKYMAFGLVAFVTAGFSARLWFTSSKLDAQTVHPYMAMTTLKVFIDKSPADATNCTFAQPPPMKHKRCFDTPSISYEQKVEHLDSKAAVILCPIRSDMFHQKFIRACYEFSKPNSVDYVLCVVDCIIEAETLFESESIDHLLLYKEQGYVYVTNIAYYSKHDERIKKQRMLNRGLLAQLVGSKGCNKVGIVNCRFVNRQTQKKQLDIKKDRTVTVASAQSEQETLISYGLYGLYELCRQVDLYTTLTWQSLFGQYTIWGRENTCVTNNVDPGWPIYPFVKFLDKLEDPSLACDRDLDHKDFTSQLFLYAHHNLETTCDPPIKLLPFLYEPTLDLDDDYGFRRLVTWTTEHRGRQIFLTHLFLFGKLTLGSDAVGDSIHKRVVNKVDELAQPALPTNFCIRQFFNLEADNLTGDNDQDSIKFIRTLAKICAPKMEKEEPCYLPGTPHLVAKQAYYFLESINKLNKASCEVCTEIETYQNMIPLITEMSSYEVLDSKNGVLILPLRSDKDTRENILPIWRSTVKDISHKNNVAYVICIVNAQSIEQHLQEDSQEAESYDVIHTPVREPTVDAPITIEEARPPVEEPFEESVLSMILSTKGVRPEGVLLIRVDKKM
+>sp|P03971|MIS_HUMAN Muellerian-inhibiting factor OS=Homo sapiens OX=9606 GN=AMH PE=1 SV=3
+MRDLPLTSLALVLSALGALLGTEALRAEEPAVGTSGLIFREDLDWPPGSPQEPLCLVALGGDSNGSSSPLRVVGALSAYEQAFLGAVQRARWGPRDLATFGVCNTGDRQAALPSLRRLGAWLRDPGGQRLVVLHLEEVTWEPTPSLRFQEPPPGGAGPPELALLVLYPGPGPEVTVTRAGLPGAQSLCPSRDTRYLVLAVDRPAGAWRGSGLALTLQPRGEDSRLSTARLQALLFGDDHRCFTRMTPALLLLPRSEPAPLPAHGQLDTVPFPPPRPSAELEESPPSADPFLETLTRLVRALRVPPARASAPRLALDPDALAGFPQGLVNLSDPAALERLLDGEEPLLLLLRPTAATTGDPAPLHDPTSAPWATALARRVAAELQAAAAELRSLPGLPPATAPLLARLLALCPGGPGGLGDPLRALLLLKALQGLRVEWRGRDPRGPGRAQRSAGATAADGPCALRELSVDLRAERSVLIPETYQANNCQGVCGWPQSDRNPRYGNHVVLLLKMQVRGAALARPPCCVPTAYAGKLLISLSEERISAHHVPNMVATECGCR
+>DECOY_sp|P03971|MIS_HUMAN Muellerian-inhibiting factor OS=Homo sapiens OX=9606 GN=AMH PE=1 SV=3
+RCGCETAVMNPVHHASIREESLSILLKGAYATPVCCPPRALAAGRVQMKLLLVVHNGYRPNRDSQPWGCVGQCNNAQYTEPILVSREARLDVSLERLACPGDAATAGASRQARGPGRPDRGRWEVRLGQLAKLLLLARLPDGLGGPGGPCLALLRALLPATAPPLGPLSRLEAAAAQLEAAVRRALATAWPASTPDHLPAPDGTTAATPRLLLLLPEEGDLLRELAAPDSLNVLGQPFGALADPDLALRPASARAPPVRLARVLRTLTELFPDASPPSEELEASPRPPPFPVTDLQGHAPLPAPESRPLLLLAPTMRTFCRHDDGFLLAQLRATSLRSDEGRPQLTLALGSGRWAGAPRDVALVLYRTDRSPCLSQAGPLGARTVTVEPGPGPYLVLLALEPPGAGGPPPEQFRLSPTPEWTVEELHLVVLRQGGPDRLWAGLRRLSPLAAQRDGTNCVGFTALDRPGWRARQVAGLFAQEYASLAGVVRLPSSSGNSDGGLAVLCLPEQPSGPPWDLDERFILGSTGVAPEEARLAETGLLAGLASLVLALSTLPLDRM
+>sp|A8MYZ0|MIY4B_HUMAN Inactive ubiquitin carboxyl-terminal hydrolase MINDY-4B OS=Homo sapiens OX=9606 GN=MINDY4B PE=3 SV=3
+MDMEVLGQEQSSEQLDLEEISRKISFLDKWREIFSYHRLGTNNSTPQNHEGNHTSADENEDGTGLSQPKGQGHLPSSGLCSIPNPSIISSKLGGFPISLAMATKLRQILFGNTVHVFSYNWKKAYFRFHDPSSELAFTLEVGKGGARSIQMAVQGSIIKYLLFTRKGKDCNLGNLCEISKKEQEQALAAALAGILWAAGAAQKATICLVTEDIYVASTPDYSVDNFTERLQLFEFLEKEAAEKFIYDHLLCFRGEGSHGVILFLYSLIFSRTFERLQMDLDVTTTQLLQPNAGGFLCRQAVLNMILTGRASPNVFNGCEEGKSQETLHGVLTRSDVGYLQWGKDASEDDRLSQVGSMLKTPKLPIWLCNINGNYSILFCTNRQLLSDWKMERLFDLYFYSGQPSQKKLVRLTIDTHSHHWERDQQEEKHGPRRRFSPVEMAIRTKWSEATINWNGTVPFF
+>DECOY_sp|A8MYZ0|MIY4B_HUMAN Inactive ubiquitin carboxyl-terminal hydrolase MINDY-4B OS=Homo sapiens OX=9606 GN=MINDY4B PE=3 SV=3
+FFPVTGNWNITAESWKTRIAMEVPSFRRRPGHKEEQQDREWHHSHTDITLRVLKKQSPQGSYFYLDFLREMKWDSLLQRNTCFLISYNGNINCLWIPLKPTKLMSGVQSLRDDESADKGWQLYGVDSRTLVGHLTEQSKGEECGNFVNPSARGTLIMNLVAQRCLFGGANPQLLQTTTVDLDMQLREFTRSFILSYLFLIVGHSGEGRFCLLHDYIFKEAAEKELFEFLQLRETFNDVSYDPTSAVYIDETVLCITAKQAAGAAWLIGALAAALAQEQEKKSIECLNGLNCDKGKRTFLLYKIISGQVAMQISRAGGKGVELTFALESSPDHFRFYAKKWNYSFVHVTNGFLIQRLKTAMALSIPFGGLKSSIISPNPISCLGSSPLHGQGKPQSLGTGDENEDASTHNGEHNQPTSNNTGLRHYSFIERWKDLFSIKRSIEELDLQESSQEQGLVEMDM
+>sp|P28482|MK01_HUMAN Mitogen-activated protein kinase 1 OS=Homo sapiens OX=9606 GN=MAPK1 PE=1 SV=3
+MAAAAAAGAGPEMVRGQVFDVGPRYTNLSYIGEGAYGMVCSAYDNVNKVRVAIKKISPFEHQTYCQRTLREIKILLRFRHENIIGINDIIRAPTIEQMKDVYIVQDLMETDLYKLLKTQHLSNDHICYFLYQILRGLKYIHSANVLHRDLKPSNLLLNTTCDLKICDFGLARVADPDHDHTGFLTEYVATRWYRAPEIMLNSKGYTKSIDIWSVGCILAEMLSNRPIFPGKHYLDQLNHILGILGSPSQEDLNCIINLKARNYLLSLPHKNKVPWNRLFPNADSKALDLLDKMLTFNPHKRIEVEQALAHPYLEQYYDPSDEPIAEAPFKFDMELDDLPKEKLKELIFEETARFQPGYRS
+>DECOY_sp|P28482|MK01_HUMAN Mitogen-activated protein kinase 1 OS=Homo sapiens OX=9606 GN=MAPK1 PE=1 SV=3
+SRYGPQFRATEEFILEKLKEKPLDDLEMDFKFPAEAIPEDSPDYYQELYPHALAQEVEIRKHPNFTLMKDLLDLAKSDANPFLRNWPVKNKHPLSLLYNRAKLNIICNLDEQSPSGLIGLIHNLQDLYHKGPFIPRNSLMEALICGVSWIDISKTYGKSNLMIEPARYWRTAVYETLFGTHDHDPDAVRALGFDCIKLDCTTNLLLNSPKLDRHLVNASHIYKLGRLIQYLFYCIHDNSLHQTKLLKYLDTEMLDQVIYVDKMQEITPARIIDNIGIINEHRFRLLIKIERLTRQCYTQHEFPSIKKIAVRVKNVNDYASCVMGYAGEGIYSLNTYRPGVDFVQGRVMEPGAGAAAAAAM
+>sp|P19105|ML12A_HUMAN Myosin regulatory light chain 12A OS=Homo sapiens OX=9606 GN=MYL12A PE=1 SV=2
+MSSKRTKTKTKKRPQRATSNVFAMFDQSQIQEFKEAFNMIDQNRDGFIDKEDLHDMLASLGKNPTDEYLDAMMNEAPGPINFTMFLTMFGEKLNGTDPEDVIRNAFACFDEEATGTIQEDYLRELLTTMGDRFTDEEVDELYREAPIDKKGNFNYIEFTRILKHGAKDKDD
+>DECOY_sp|P19105|ML12A_HUMAN Myosin regulatory light chain 12A OS=Homo sapiens OX=9606 GN=MYL12A PE=1 SV=2
+DDKDKAGHKLIRTFEIYNFNGKKDIPAERYLEDVEEDTFRDGMTTLLERLYDEQITGTAEEDFCAFANRIVDEPDTGNLKEGFMTLFMTFNIPGPAENMMADLYEDTPNKGLSALMDHLDEKDIFGDRNQDIMNFAEKFEQIQSQDFMAFVNSTARQPRKKTKTKTRKSSM
+>sp|O14950|ML12B_HUMAN Myosin regulatory light chain 12B OS=Homo sapiens OX=9606 GN=MYL12B PE=1 SV=2
+MSSKKAKTKTTKKRPQRATSNVFAMFDQSQIQEFKEAFNMIDQNRDGFIDKEDLHDMLASLGKNPTDAYLDAMMNEAPGPINFTMFLTMFGEKLNGTDPEDVIRNAFACFDEEATGTIQEDYLRELLTTMGDRFTDEEVDELYREAPIDKKGNFNYIEFTRILKHGAKDKDD
+>DECOY_sp|O14950|ML12B_HUMAN Myosin regulatory light chain 12B OS=Homo sapiens OX=9606 GN=MYL12B PE=1 SV=2
+DDKDKAGHKLIRTFEIYNFNGKKDIPAERYLEDVEEDTFRDGMTTLLERLYDEQITGTAEEDFCAFANRIVDEPDTGNLKEGFMTLFMTFNIPGPAENMMADLYADTPNKGLSALMDHLDEKDIFGDRNQDIMNFAEKFEQIQSQDFMAFVNSTARQPRKKTTKTKAKKSSM
+>sp|Q01449|MLRA_HUMAN Myosin regulatory light chain 2, atrial isoform OS=Homo sapiens OX=9606 GN=MYL7 PE=1 SV=1
+MASRKAGTRGKVAATKQAQRGSSNVFSMFEQAQIQEFKEAFSCIDQNRDGIICKADLRETYSQLGKVSVPEEELDAMLQEGKGPINFTVFLTLFGEKLNGTDPEEAILSAFRMFDPSGKGVVNKDEFKQLLLTQADKFSPAEVEQMFALTPMDLAGNIDYKSLCYIITHGDEKEE
+>DECOY_sp|Q01449|MLRA_HUMAN Myosin regulatory light chain 2, atrial isoform OS=Homo sapiens OX=9606 GN=MYL7 PE=1 SV=1
+EEKEDGHTIIYCLSKYDINGALDMPTLAFMQEVEAPSFKDAQTLLLQKFEDKNVVGKGSPDFMRFASLIAEEPDTGNLKEGFLTLFVTFNIPGKGEQLMADLEEEPVSVKGLQSYTERLDAKCIIGDRNQDICSFAEKFEQIQAQEFMSFVNSSGRQAQKTAAVKGRTGAKRSAM
+>sp|Q9UH92|MLX_HUMAN Max-like protein X OS=Homo sapiens OX=9606 GN=MLX PE=1 SV=2
+MTEPGASPEDPWVKASPVGAHAGEGRAGRARARRGAGRRGASLLSPKSPTLSVPRGCREDSSHPACAKVEYAYSDNSLDPGLFVESTRKGSVVSRANSIGSTSASSVPNTDDEDSDYHQEAYKESYKDRRRRAHTQAEQKRRDAIKRGYDDLQTIVPTCQQQDFSIGSQKLSKAIVLQKTIDYIQFLHKEKKKQEEEVSTLRKDVTALKIMKVNYEQIVKAHQDNPHEGEDQVSDQVKFNVFQGIMDSLFQSFNASISVASFQELSACVFSWIEEHCKPQTLREIVIGVLHQLKNQLY
+>DECOY_sp|Q9UH92|MLX_HUMAN Max-like protein X OS=Homo sapiens OX=9606 GN=MLX PE=1 SV=2
+YLQNKLQHLVGIVIERLTQPKCHEEIWSFVCASLEQFSAVSISANFSQFLSDMIGQFVNFKVQDSVQDEGEHPNDQHAKVIQEYNVKMIKLATVDKRLTSVEEEQKKKEKHLFQIYDITKQLVIAKSLKQSGISFDQQQCTPVITQLDDYGRKIADRRKQEAQTHARRRRDKYSEKYAEQHYDSDEDDTNPVSSASTSGISNARSVVSGKRTSEVFLGPDLSNDSYAYEVKACAPHSSDERCGRPVSLTPSKPSLLSAGRRGAGRRARARGARGEGAHAGVPSAKVWPDEPSAGPETM
+>sp|Q9H3L0|MMAD_HUMAN Methylmalonic aciduria and homocystinuria type D protein, mitochondrial OS=Homo sapiens OX=9606 GN=MMADHC PE=1 SV=2
+MANVLCNRARLVSYLPGFCSLVKRVVNPKAFSTAGSSGSDESHVAAAPPDICSRTVWPDETMGPFGPQDQRFQLPGNIGFDCHLNGTASQKKSLVHKTLPDVLAEPLSSERHEFVMAQYVNEFQGNDAPVEQEINSAETYFESARVECAIQTCPELLRKDFESLFPEVANGKLMILTVTQKTKNDMTVWSEEVEIEREVLLEKFINGAKEICYALRAEGYWADFIDPSSGLAFFGPYTNNTLFETDERYRHLGFSVDDLGCCKVIRHSLWGTHVVVGSIFTNATPDSHIMKKLSGN
+>DECOY_sp|Q9H3L0|MMAD_HUMAN Methylmalonic aciduria and homocystinuria type D protein, mitochondrial OS=Homo sapiens OX=9606 GN=MMADHC PE=1 SV=2
+NGSLKKMIHSDPTANTFISGVVVHTGWLSHRIVKCCGLDDVSFGLHRYREDTEFLTNNTYPGFFALGSSPDIFDAWYGEARLAYCIEKAGNIFKELLVEREIEVEESWVTMDNKTKQTVTLIMLKGNAVEPFLSEFDKRLLEPCTQIACEVRASEFYTEASNIEQEVPADNGQFENVYQAMVFEHRESSLPEALVDPLTKHVLSKKQSATGNLHCDFGINGPLQFRQDQPGFPGMTEDPWVTRSCIDPPAAAVHSEDSGSSGATSFAKPNVVRKVLSCFGPLYSVLRARNCLVNAM
+>sp|P51512|MMP16_HUMAN Matrix metalloproteinase-16 OS=Homo sapiens OX=9606 GN=MMP16 PE=1 SV=2
+MILLTFSTGRRLDFVHHSGVFFLQTLLWILCATVCGTEQYFNVEVWLQKYGYLPPTDPRMSVLRSAETMQSALAAMQQFYGINMTGKVDRNTIDWMKKPRCGVPDQTRGSSKFHIRRKRYALTGQKWQHKHITYSIKNVTPKVGDPETRKAIRRAFDVWQNVTPLTFEEVPYSELENGKRDVDITIIFASGFHGDSSPFDGEGGFLAHAYFPGPGIGGDTHFDSDEPWTLGNPNHDGNDLFLVAVHELGHALGLEHSNDPTAIMAPFYQYMETDNFKLPNDDLQGIQKIYGPPDKIPPPTRPLPTVPPHRSIPPADPRKNDRPKPPRPPTGRPSYPGAKPNICDGNFNTLAILRREMFVFKDQWFWRVRNNRVMDGYPMQITYFWRGLPPSIDAVYENSDGNFVFFKGNKYWVFKDTTLQPGYPHDLITLGSGIPPHGIDSAIWWEDVGKTYFFKGDRYWRYSEEMKTMDPGYPKPITVWKGIPESPQGAFVHKENGFTYFYKGKEYWKFNNQILKVEPGYPRSILKDFMGCDGPTDRVKEGHSPPDDVDIVIKLDNTASTVKAIAIVIPCILALCLLVLVYTVFQFKRKGTPRHILYCKRSMQEWV
+>DECOY_sp|P51512|MMP16_HUMAN Matrix metalloproteinase-16 OS=Homo sapiens OX=9606 GN=MMP16 PE=1 SV=2
+VWEQMSRKCYLIHRPTGKRKFQFVTYVLVLLCLALICPIVIAIAKVTSATNDLKIVIDVDDPPSHGEKVRDTPGDCGMFDKLISRPYGPEVKLIQNNFKWYEKGKYFYTFGNEKHVFAGQPSEPIGKWVTIPKPYGPDMTKMEESYRWYRDGKFFYTKGVDEWWIASDIGHPPIGSGLTILDHPYGPQLTTDKFVWYKNGKFFVFNGDSNEYVADISPPLGRWFYTIQMPYGDMVRNNRVRWFWQDKFVFMERRLIALTNFNGDCINPKAGPYSPRGTPPRPPKPRDNKRPDAPPISRHPPVTPLPRTPPPIKDPPGYIKQIGQLDDNPLKFNDTEMYQYFPAMIATPDNSHELGLAHGLEHVAVLFLDNGDHNPNGLTWPEDSDFHTDGGIGPGPFYAHALFGGEGDFPSSDGHFGSAFIITIDVDRKGNELESYPVEEFTLPTVNQWVDFARRIAKRTEPDGVKPTVNKISYTIHKHQWKQGTLAYRKRRIHFKSSGRTQDPVGCRPKKMWDITNRDVKGTMNIGYFQQMAALASQMTEASRLVSMRPDTPPLYGYKQLWVEVNFYQETGCVTACLIWLLTQLFFVGSHHVFDLRRGTSFTLLIM
+>sp|P03956|MMP1_HUMAN Interstitial collagenase OS=Homo sapiens OX=9606 GN=MMP1 PE=1 SV=3
+MHSFPPLLLLLFWGVVSHSFPATLETQEQDVDLVQKYLEKYYNLKNDGRQVEKRRNSGPVVEKLKQMQEFFGLKVTGKPDAETLKVMKQPRCGVPDVAQFVLTEGNPRWEQTHLTYRIENYTPDLPRADVDHAIEKAFQLWSNVTPLTFTKVSEGQADIMISFVRGDHRDNSPFDGPGGNLAHAFQPGPGIGGDAHFDEDERWTNNFREYNLHRVAAHELGHSLGLSHSTDIGALMYPSYTFSGDVQLAQDDIDGIQAIYGRSQNPVQPIGPQTPKACDSKLTFDAITTIRGEVMFFKDRFYMRTNPFYPEVELNFISVFWPQLPNGLEAAYEFADRDEVRFFKGNKYWAVQGQNVLHGYPKDIYSSFGFPRTVKHIDAALSEENTGKTYFFVANKYWRYDEYKRSMDPGYPKMIAHDFPGIGHKVDAVFMKDGFFYFFHGTRQYKFDPKTKRILTLQKANSWFNCRKN
+>DECOY_sp|P03956|MMP1_HUMAN Interstitial collagenase OS=Homo sapiens OX=9606 GN=MMP1 PE=1 SV=3
+NKRCNFWSNAKQLTLIRKTKPDFKYQRTGHFFYFFGDKMFVADVKHGIGPFDHAIMKPYGPDMSRKYEDYRWYKNAVFFYTKGTNEESLAADIHKVTRPFGFSSYIDKPYGHLVNQGQVAWYKNGKFFRVEDRDAFEYAAELGNPLQPWFVSIFNLEVEPYFPNTRMYFRDKFFMVEGRITTIADFTLKSDCAKPTQPGIPQVPNQSRGYIAQIGDIDDQALQVDGSFTYSPYMLAGIDTSHSLGLSHGLEHAAVRHLNYERFNNTWREDEDFHADGGIGPGPQFAHALNGGPGDFPSNDRHDGRVFSIMIDAQGESVKTFTLPTVNSWLQFAKEIAHDVDARPLDPTYNEIRYTLHTQEWRPNGETLVFQAVDPVGCRPQKMVKLTEADPKGTVKLGFFEQMQKLKEVVPGSNRRKEVQRGDNKLNYYKELYKQVLDVDQEQTELTAPFSHSVVGWFLLLLLPPFSHM
+>sp|Q8N119|MMP21_HUMAN Matrix metalloproteinase-21 OS=Homo sapiens OX=9606 GN=MMP21 PE=2 SV=2
+MLAASIFRPTLLLCWLAAPWPTQPESLFHSRDRSDLEPSPLRQAKPIADLHAAQRFLSRYGWSGVWAAWGPSPEGPPETPKGAALAEAVRRFQRANALPASGELDAATLAAMNRPRCGVPDMRPPPPSAPPSPPGPPPRARSRRSPRAPLSLSRRGWQPRGYPDGGAAQAFSKRTLSWRLLGEALSSQLSVADQRRIVALAFRMWSEVTPLDFREDLAAPGAAVDIKLGFGRGRHLGCPRAFDGSGQEFAHAWRLGDIHFDDDEHFTPPTSDTGISLLKVAVHEIGHVLGLPHTYRTGSIMQPNYIPQEPAFELDWSDRKAIQKLYGSCEGSFDTAFDWIRKERNQYGEVMVRFSTYFFRNSWYWLYENRNNRTRYGDPIQILTGWPGIPTHNIDAFVHIWTWKRDERYFFQGNQYWRYDSDKDQALTEDEQGKSYPKLISEGFPGIPSPLDTAFYDRRQKLIYFFKESLVFAFDVNRNRVLNSYPKRITEVFPAVIPQNHPFRNIDSAYYSYAYNSIFFFKGNAYWKVVNDKDKQQNSWLPANGLFPKKFISEKWFDVCDVHISTLNM
+>DECOY_sp|Q8N119|MMP21_HUMAN Matrix metalloproteinase-21 OS=Homo sapiens OX=9606 GN=MMP21 PE=2 SV=2
+MNLTSIHVDCVDFWKESIFKKPFLGNAPLWSNQQKDKDNVVKWYANGKFFFISNYAYSYYASDINRFPHNQPIVAPFVETIRKPYSNLVRNRNVDFAFVLSEKFFYILKQRRDYFATDLPSPIGPFGESILKPYSKGQEDETLAQDKDSDYRWYQNGQFFYREDRKWTWIHVFADINHTPIGPWGTLIQIPDGYRTRNNRNEYLWYWSNRFFYTSFRVMVEGYQNREKRIWDFATDFSGECSGYLKQIAKRDSWDLEFAPEQPIYNPQMISGTRYTHPLGLVHGIEHVAVKLLSIGTDSTPPTFHEDDDFHIDGLRWAHAFEQGSGDFARPCGLHRGRGFGLKIDVAAGPAALDERFDLPTVESWMRFALAVIRRQDAVSLQSSLAEGLLRWSLTRKSFAQAAGGDPYGRPQWGRRSLSLPARPSRRSRARPPPGPPSPPASPPPPRMDPVGCRPRNMAALTAADLEGSAPLANARQFRRVAEALAAGKPTEPPGEPSPGWAAWVGSWGYRSLFRQAAHLDAIPKAQRLPSPELDSRDRSHFLSEPQTPWPAALWCLLLTPRFISAALM
+>sp|Q9Y5R2|MMP24_HUMAN Matrix metalloproteinase-24 OS=Homo sapiens OX=9606 GN=MMP24 PE=2 SV=1
+MPRSRGGRAAPGPPPPPPPPGQAPRWSRWRVPGRLLLLLLPALCCLPGAARAAAAAAGAGNRAAVAVAVARADEAEAPFAGQNWLKSYGYLLPYDSRASALHSAKALQSAVSTMQQFYGIPVTGVLDQTTIEWMKKPRCGVPDHPHLSRRRRNKRYALTGQKWRQKHITYSIHNYTPKVGELDTRKAIRQAFDVWQKVTPLTFEEVPYHEIKSDRKEADIMIFFASGFHGDSSPFDGEGGFLAHAYFPGPGIGGDTHFDSDEPWTLGNANHDGNDLFLVAVHELGHALGLEHSSDPSAIMAPFYQYMETHNFKLPQDDLQGIQKIYGPPAEPLEPTRPLPTLPVRRIHSPSERKHERQPRPPRPPLGDRPSTPGTKPNICDGNFNTVALFRGEMFVFKDRWFWRLRNNRVQEGYPMQIEQFWKGLPARIDAAYERADGRFVFFKGDKYWVFKEVTVEPGYPHSLGELGSCLPREGIDTALRWEPVGKTYFFKGERYWRYSEERRATDPGYPKPITVWKGIPQAPQGAFISKEGYYTYFYKGRDYWKFDNQKLSVEPGYPRNILRDWMGCNQKEVERRKERRLPQDDVDIMVTINDVPGSVNAVAVVIPCILSLCILVLVYTIFQFKNKTGPQPVTYYKRPVQEWV
+>DECOY_sp|Q9Y5R2|MMP24_HUMAN Matrix metalloproteinase-24 OS=Homo sapiens OX=9606 GN=MMP24 PE=2 SV=1
+VWEQVPRKYYTVPQPGTKNKFQFITYVLVLICLSLICPIVVAVANVSGPVDNITVMIDVDDQPLRREKRREVEKQNCGMWDRLINRPYGPEVSLKQNDFKWYDRGKYFYTYYGEKSIFAGQPAQPIGKWVTIPKPYGPDTARREESYRWYREGKFFYTKGVPEWRLATDIGERPLCSGLEGLSHPYGPEVTVEKFVWYKDGKFFVFRGDAREYAADIRAPLGKWFQEIQMPYGEQVRNNRLRWFWRDKFVFMEGRFLAVTNFNGDCINPKTGPTSPRDGLPPRPPRPQREHKRESPSHIRRVPLTPLPRTPELPEAPPGYIKQIGQLDDQPLKFNHTEMYQYFPAMIASPDSSHELGLAHGLEHVAVLFLDNGDHNANGLTWPEDSDFHTDGGIGPGPFYAHALFGGEGDFPSSDGHFGSAFFIMIDAEKRDSKIEHYPVEEFTLPTVKQWVDFAQRIAKRTDLEGVKPTYNHISYTIHKQRWKQGTLAYRKNRRRRSLHPHDPVGCRPKKMWEITTQDLVGTVPIGYFQQMTSVASQLAKASHLASARSDYPLLYGYSKLWNQGAFPAEAEDARAVAVAVAARNGAGAAAAAARAAGPLCCLAPLLLLLLRGPVRWRSWRPAQGPPPPPPPPGPAARGGRSRPM
+>sp|P08254|MMP3_HUMAN Stromelysin-1 OS=Homo sapiens OX=9606 GN=MMP3 PE=1 SV=2
+MKSLPILLLLCVAVCSAYPLDGAARGEDTSMNLVQKYLENYYDLKKDVKQFVRRKDSGPVVKKIREMQKFLGLEVTGKLDSDTLEVMRKPRCGVPDVGHFRTFPGIPKWRKTHLTYRIVNYTPDLPKDAVDSAVEKALKVWEEVTPLTFSRLYEGEADIMISFAVREHGDFYPFDGPGNVLAHAYAPGPGINGDAHFDDDEQWTKDTTGTNLFLVAAHEIGHSLGLFHSANTEALMYPLYHSLTDLTRFRLSQDDINGIQSLYGPPPDSPETPLVPTEPVPPEPGTPANCDPALSFDAVSTLRGEILIFKDRHFWRKSLRKLEPELHLISSFWPSLPSGVDAAYEVTSKDLVFIFKGNQFWAIRGNEVRAGYPRGIHTLGFPPTVRKIDAAISDKEKNKTYFFVEDKYWRFDEKRNSMEPGFPKQIAEDFPGIDSKIDAVFEEFGFFYFFTGSSQLEFDPNAKKVTHTLKSNSWLNC
+>DECOY_sp|P08254|MMP3_HUMAN Stromelysin-1 OS=Homo sapiens OX=9606 GN=MMP3 PE=1 SV=2
+CNLWSNSKLTHTVKKANPDFELQSSGTFFYFFGFEEFVADIKSDIGPFDEAIQKPFGPEMSNRKEDFRWYKDEVFFYTKNKEKDSIAADIKRVTPPFGLTHIGRPYGARVENGRIAWFQNGKFIFVLDKSTVEYAADVGSPLSPWFSSILHLEPELKRLSKRWFHRDKFILIEGRLTSVADFSLAPDCNAPTGPEPPVPETPVLPTEPSDPPPGYLSQIGNIDDQSLRFRTLDTLSHYLPYMLAETNASHFLGLSHGIEHAAVLFLNTGTTDKTWQEDDDFHADGNIGPGPAYAHALVNGPGDFPYFDGHERVAFSIMIDAEGEYLRSFTLPTVEEWVKLAKEVASDVADKPLDPTYNVIRYTLHTKRWKPIGPFTRFHGVDPVGCRPKRMVELTDSDLKGTVELGLFKQMERIKKVVPGSDKRRVFQKVDKKLDYYNELYKQVLNMSTDEGRAAGDLPYASCVAVCLLLLIPLSKM
+>sp|Q96T76|MMS19_HUMAN MMS19 nucleotide excision repair protein homolog OS=Homo sapiens OX=9606 GN=MMS19 PE=1 SV=2
+MAAAAAVEAAAPMGALWGLVHDFVVGQQEGPADQVAADVKSGNYTVLQVVEALGSSLENPEPRTRARAIQLLSQVLLHCHTLLLEKEVVHLILFYENRLKDHHLVIPSVLQGLKALSLCVALPPGLAVSVLKAIFQEVHVQSLPQVDRHTVYNIITNFMRTREEELKSLGADFTFGFIQVMDGEKDPRNLLVAFRIVHDLISRDYSLGPFVEELFEVTSCYFPIDFTPPPNDPHGIQREDLILSLRAVLASTPRFAEFLLPLLIEKVDSEVLSAKLDSLQTLNACCAVYGQKELKDFLPSLWASIRREVFQTASERVEAEGLAALHSLTACLSRSVLRADAEDLLDSFLSNILQDCRHHLCEPDMKLVWPSAKLLQAAAGASARACDSVTSNVLPLLLEQFHKHSQSSQRRTILEMLLGFLKLQQKWSYEDKDQRPLNGFKDQLCSLVFMALTDPSTQLQLVGIRTLTVLGAQPDLLSYEDLELAVGHLYRLSFLKEDSQSCRVAALEASGTLAALYPVAFSSHLVPKLAEELRVGESNLTNGDEPTQCSRHLCCLQALSAVSTHPSIVKETLPLLLQHLWQVNRGNMVAQSSDVIAVCQSLRQMAEKCQQDPESCWYFHQTAIPCLLALAVQASMPEKEPSVLRKVLLEDEVLAAMVSVIGTATTHLSPELAAQSVTHIVPLFLDGNVSFLPENSFPSRFQPFQDGSSGQRRLIALLMAFVCSLPRNVEIPQLNQLMRELLELSCCHSCPFSSTAAAKCFAGLLNKHPAGQQLDEFLQLAVDKVEAGLGSGPCRSQAFTLLLWVTKALVLRYHPLSSCLTARLMGLLSDPELGPAAADGFSLLMSDCTDVLTRAGHAEVRIMFRQRFFTDNVPALVQGFHAAPQDVKPNYLKGLSHVLNRLPKPVLLPELPTLLSLLLEALSCPDCVVQLSTLSCLQPLLLEAPQVMSLHVDTLVTKFLNLSSSPSMAVRIAALQCMHALTRLPTPVLLPYKPQVIRALAKPLDDKKRLVRKEAVSARGEWFLLGSPGS
+>DECOY_sp|Q96T76|MMS19_HUMAN MMS19 nucleotide excision repair protein homolog OS=Homo sapiens OX=9606 GN=MMS19 PE=1 SV=2
+SGPSGLLFWEGRASVAEKRVLRKKDDLPKALARIVQPKYPLLVPTPLRTLAHMCQLAAIRVAMSPSSSLNLFKTVLTDVHLSMVQPAELLLPQLCSLTSLQVVCDPCSLAELLLSLLTPLEPLLVPKPLRNLVHSLGKLYNPKVDQPAAHFGQVLAPVNDTFFRQRFMIRVEAHGARTLVDTCDSMLLSFGDAAAPGLEPDSLLGMLRATLCSSLPHYRLVLAKTVWLLLTFAQSRCPGSGLGAEVKDVALQLFEDLQQGAPHKNLLGAFCKAAATSSFPCSHCCSLELLERMLQNLQPIEVNRPLSCVFAMLLAILRRQGSSGDQFPQFRSPFSNEPLFSVNGDLFLPVIHTVSQAALEPSLHTTATGIVSVMAALVEDELLVKRLVSPEKEPMSAQVALALLCPIATQHFYWCSEPDQQCKEAMQRLSQCVAIVDSSQAVMNGRNVQWLHQLLLPLTEKVISPHTSVASLAQLCCLHRSCQTPEDGNTLNSEGVRLEEALKPVLHSSFAVPYLAALTGSAELAAVRCSQSDEKLFSLRYLHGVALELDEYSLLDPQAGLVTLTRIGVLQLQTSPDTLAMFVLSCLQDKFGNLPRQDKDEYSWKQQLKLFGLLMELITRRQSSQSHKHFQELLLPLVNSTVSDCARASAGAAAQLLKASPWVLKMDPECLHHRCDQLINSLFSDLLDEADARLVSRSLCATLSHLAALGEAEVRESATQFVERRISAWLSPLFDKLEKQGYVACCANLTQLSDLKASLVESDVKEILLPLLFEAFRPTSALVARLSLILDERQIGHPDNPPPTFDIPFYCSTVEFLEEVFPGLSYDRSILDHVIRFAVLLNRPDKEGDMVQIFGFTFDAGLSKLEEERTRMFNTIINYVTHRDVQPLSQVHVEQFIAKLVSVALGPPLAVCLSLAKLGQLVSPIVLHHDKLRNEYFLILHVVEKELLLTHCHLLVQSLLQIARARTRPEPNELSSGLAEVVQLVTYNGSKVDAAVQDAPGEQQGVVFDHVLGWLAGMPAAAEVAAAAAM
+>sp|Q99583|MNT_HUMAN Max-binding protein MNT OS=Homo sapiens OX=9606 GN=MNT PE=1 SV=1
+MSIETLLEAARFLEWQAQQQQRAREEQERLRLEQEREQEQKKANSLARLAHTLPVEEPRMEAPPLPLSPPAPPPAPPPPLATPAPLTVIPIPVVTNSPQPLPPPPPLPAAAQPLPLAPRQPALVGAPGLSIKEPAPLPSRPQVPTPAPLLPDSKATIPPNGSPKPLQPLPTPVLTIAPHPGVQPQLAPQQPPPPTLGTLKLAPAEEVKSSEQKKRPGGIGTREVHNKLEKNRRAHLKECFETLKRNIPNVDDKKTSNLSVLRTALRYIQSLKRKEKEYEHEMERLAREKIATQQRLAELKHELSQWMDVLEIDRVLRQTGQPEDDQASTSTASEGEDNIDEDMEEDRAGLGPPKLSHRPQPELLKSTLPPPSTTPAPLPPHPHPHPHSVALPPAHLPVQQQQPQQKTPLPAPPPPPAAPAQTLVPAPAHLVATAGGGSTVIAHTATTHASVIQTVNHVLQGPGGKHIAHIAPSAPSPAVQLAPATPPIGHITVHPATLNHVAHLGSQLPLYPQPVAVSHIAHTLSHQQVNGTAGLGPPATVMAKPAVGAQVVHHPQLVGQTVLNPVTMVTMPSFPVSTLKLA
+>DECOY_sp|Q99583|MNT_HUMAN Max-binding protein MNT OS=Homo sapiens OX=9606 GN=MNT PE=1 SV=1
+ALKLTSVPFSPMTVMTVPNLVTQGVLQPHHVVQAGVAPKAMVTAPPGLGATGNVQQHSLTHAIHSVAVPQPYLPLQSGLHAVHNLTAPHVTIHGIPPTAPALQVAPSPASPAIHAIHKGGPGQLVHNVTQIVSAHTTATHAIVTSGGGATAVLHAPAPVLTQAPAAPPPPPAPLPTKQQPQQQQVPLHAPPLAVSHPHPHPHPPLPAPTTSPPPLTSKLLEPQPRHSLKPPGLGARDEEMDEDINDEGESATSTSAQDDEPQGTQRLVRDIELVDMWQSLEHKLEALRQQTAIKERALREMEHEYEKEKRKLSQIYRLATRLVSLNSTKKDDVNPINRKLTEFCEKLHARRNKELKNHVERTGIGGPRKKQESSKVEEAPALKLTGLTPPPPQQPALQPQVGPHPAITLVPTPLPQLPKPSGNPPITAKSDPLLPAPTPVQPRSPLPAPEKISLGPAGVLAPQRPALPLPQAAAPLPPPPPLPQPSNTVVPIPIVTLPAPTALPPPPAPPPAPPSLPLPPAEMRPEEVPLTHALRALSNAKKQEQEREQELRLREQEERARQQQQAQWELFRAAELLTEISM
+>sp|Q5T089|MORN1_HUMAN MORN repeat-containing protein 1 OS=Homo sapiens OX=9606 GN=MORN1 PE=2 SV=2
+MAAAGEGTPSSRGPRRDPPRRPPRNGYGVYVYPNSFFRYEGEWKAGRKHGHGKLLFKDGSYYEGAFVDGEITGEGRRHWAWSGDTFSGQFVLGEPQGYGVMEYKAGGCYEGEVSHGMREGHGFLVDRDGQVYQGSFHDNKRHGPGQMLFQNGDKYDGDWVRDRRQGHGVLRCADGSTYKGQWHSDVFSGLGSMAHCSGVTYYGLWINGHPAEQATRIVILGPEVMEVAQGSPFSVNVQLLQDHGEIAKSESGRVLQISAGVRYVQLSAYSEVNFFKVDRDNQETLIQTPFGFECIPYPVSSPAAGVPGPRAAKGGAEADVPLPRGDLELHLGALHGQEDTPGGLLARGHAPHCPGACQRVEQGCAEFTDVLLGPPPPGYHPFLFLDSLHKKAGGRSRGGLHPRGTPPTAQEPPGGSRPEGRATEEQAAAAHLGEYVLMIRDVTTPPFLGRRLPPAFKHLRVVAKRAGQPPHVLEEGPEASSSWQAAHSCTPEPPAPR
+>DECOY_sp|Q5T089|MORN1_HUMAN MORN repeat-containing protein 1 OS=Homo sapiens OX=9606 GN=MORN1 PE=2 SV=2
+RPAPPEPTCSHAAQWSSSAEPGEELVHPPQGARKAVVRLHKFAPPLRRGLFPPTTVDRIMLVYEGLHAAAAQEETARGEPRSGGPPEQATPPTGRPHLGGRSRGGAKKHLSDLFLFPHYGPPPPGLLVDTFEACGQEVRQCAGPCHPAHGRALLGGPTDEQGHLAGLHLELDGRPLPVDAEAGGKAARPGPVGAAPSSVPYPICEFGFPTQILTEQNDRDVKFFNVESYASLQVYRVGASIQLVRGSESKAIEGHDQLLQVNVSFPSGQAVEMVEPGLIVIRTAQEAPHGNIWLGYYTVGSCHAMSGLGSFVDSHWQGKYTSGDACRLVGHGQRRDRVWDGDYKDGNQFLMQGPGHRKNDHFSGQYVQGDRDVLFGHGERMGHSVEGEYCGGAKYEMVGYGQPEGLVFQGSFTDGSWAWHRRGEGTIEGDVFAGEYYSGDKFLLKGHGHKRGAKWEGEYRFFSNPYVYVGYGNRPPRRPPDRRPGRSSPTGEGAAAM
+>sp|Q5VZ52|MORN5_HUMAN MORN repeat-containing protein 5 OS=Homo sapiens OX=9606 GN=MORN5 PE=2 SV=1
+MEYTGSKYIGEYVDGRMEGKAKYILPTETIYVGEMKDGMFHGEGTLYFPSGSQYDAIWENGLAIKGTYTFSDGLHYDEKNWHYCDGYDRRFYTEILNGLKPAGMAQLTNMDPPRKIPKGYYDCGDGFYNPVTRVVKDYRNRFLRNADDDEHEWITRTCRKG
+>DECOY_sp|Q5VZ52|MORN5_HUMAN MORN repeat-containing protein 5 OS=Homo sapiens OX=9606 GN=MORN5 PE=2 SV=1
+GKRCTRTIWEHEDDDANRLFRNRYDKVVRTVPNYFGDGCDYYGKPIKRPPDMNTLQAMGAPKLGNLIETYFRRDYGDCYHWNKEDYHLGDSFTYTGKIALGNEWIADYQSGSPFYLTGEGHFMGDKMEGVYITETPLIYKAKGEMRGDVYEGIYKSGTYEM
+>sp|Q9NRJ1|MOST1_HUMAN Protein MOST-1 OS=Homo sapiens OX=9606 GN=C8orf17 PE=1 SV=1
+MGECPHLVDVRLGHRSLATGPEQSDICHTGSEARWTTTWYGSLSFSRHKYKMLADLTPGVEMSCRHWARWLTPVIPALWKAEAGGLPELRSSRPAWTTW
+>DECOY_sp|Q9NRJ1|MOST1_HUMAN Protein MOST-1 OS=Homo sapiens OX=9606 GN=C8orf17 PE=1 SV=1
+WTTWAPRSSRLEPLGGAEAKWLAPIVPTLWRAWHRCSMEVGPTLDALMKYKHRSFSLSGYWTTTWRAESGTHCIDSQEPGTALSRHGLRVDVLHPCEGM
+>sp|P00540|MOS_HUMAN Proto-oncogene serine/threonine-protein kinase mos OS=Homo sapiens OX=9606 GN=MOS PE=1 SV=1
+MPSPLALRPYLRSEFSPSVDARPCSSPSELPAKLLLGATLPRAPRLPRRLAWCSIDWEQVCLLQRLGAGGFGSVYKATYRGVPVAIKQVNKCTKNRLASRRSFWAELNVARLRHDNIVRVVAASTRTPAGSNSLGTIIMEFGGNVTLHQVIYGAAGHPEGDAGEPHCRTGGQLSLGKCLKYSLDVVNGLLFLHSQSIVHLDLKPANILISEQDVCKISDFGCSEKLEDLLCFQTPSYPLGGTYTHRAPELLKGEGVTPKADIYSFAITLWQMTTKQAPYSGERQHILYAVVAYDLRPSLSAAVFEDSLPGQRLGDVIQRCWRPSAAQRPSARLLLVDLTSLKAELG
+>DECOY_sp|P00540|MOS_HUMAN Proto-oncogene serine/threonine-protein kinase mos OS=Homo sapiens OX=9606 GN=MOS PE=1 SV=1
+GLEAKLSTLDVLLLRASPRQAASPRWCRQIVDGLRQGPLSDEFVAASLSPRLDYAVVAYLIHQREGSYPAQKTTMQWLTIAFSYIDAKPTVGEGKLLEPARHTYTGGLPYSPTQFCLLDELKESCGFDSIKCVDQESILINAPKLDLHVISQSHLFLLGNVVDLSYKLCKGLSLQGGTRCHPEGADGEPHGAAGYIVQHLTVNGGFEMIITGLSNSGAPTRTSAAVVRVINDHRLRAVNLEAWFSRRSALRNKTCKNVQKIAVPVGRYTAKYVSGFGGAGLRQLLCVQEWDISCWALRRPLRPARPLTAGLLLKAPLESPSSCPRADVSPSFESRLYPRLALPSPM
+>sp|Q6ZSM3|MOT12_HUMAN Monocarboxylate transporter 12 OS=Homo sapiens OX=9606 GN=SLC16A12 PE=1 SV=2
+MAKVNRARSTSPPDGGWGWMIVAGCFLVTICTRAVTRCISIFFVEFQTYFTQDYAQTAWIHSIVDCVTMLCAPLGSVVSNHLSCQVGIMLGGLLASTGLILSSFATSLKHLYLTLGVLTGLGFALCYSPAIAMVGKYFSRRKALAYGIAMSGSGIGTFILAPVVQLLIEQFSWRGALLILGGFVLNLCVCGALMRPITLKEDHTTPEQNHVCRTQKEDIKRVSPYSSLTKEWAQTCLCCCLQQEYSFLLMSDFVVLAVSVLFMAYGCSPLFVYLVPYALSVGVSHQQAAFLMSILGVIDIIGNITFGWLTDRRCLKNYQYVCYLFAVGMDGLCYLCLPMLQSLPLLVPFSCTFGYFDGAYVTLIPVVTTEIVGTTSLSSALGVVYFLHAVPYLVSPPIAGRLVDTTGSYTAAFLLCGFSMIFSSVLLGFARLIKRMRKTQLQFIAKESDPKLQLWTNGSVAYSVARELDQKHGEPVATAVPGYSLT
+>DECOY_sp|Q6ZSM3|MOT12_HUMAN Monocarboxylate transporter 12 OS=Homo sapiens OX=9606 GN=SLC16A12 PE=1 SV=2
+TLSYGPVATAVPEGHKQDLERAVSYAVSGNTWLQLKPDSEKAIFQLQTKRMRKILRAFGLLVSSFIMSFGCLLFAATYSGTTDVLRGAIPPSVLYPVAHLFYVVGLASSLSTTGVIETTVVPILTVYAGDFYGFTCSFPVLLPLSQLMPLCLYCLGDMGVAFLYCVYQYNKLCRRDTLWGFTINGIIDIVGLISMLFAAQQHSVGVSLAYPVLYVFLPSCGYAMFLVSVALVVFDSMLLFSYEQQLCCCLCTQAWEKTLSSYPSVRKIDEKQTRCVHNQEPTTHDEKLTIPRMLAGCVCLNLVFGGLILLAGRWSFQEILLQVVPALIFTGIGSGSMAIGYALAKRRSFYKGVMAIAPSYCLAFGLGTLVGLTLYLHKLSTAFSSLILGTSALLGGLMIGVQCSLHNSVVSGLPACLMTVCDVISHIWATQAYDQTFYTQFEVFFISICRTVARTCITVLFCGAVIMWGWGGDPPSTSRARNVKAM
+>sp|Q7RTY0|MOT13_HUMAN Monocarboxylate transporter 13 OS=Homo sapiens OX=9606 GN=SLC16A13 PE=1 SV=1
+MARRTEPPDGGWGWVVVLSAFFQSALVFGVLRSFGVFFVEFVAAFEEQAARVSWIASIGIAVQQFGSPVGSALSTKFGPRPVVMTGGILAALGMLLASFATSLTHLYLSIGLLSGSGWALTFAPTLACLSCYFSRRRSLATGLALTGVGLSSFTFAPFFQWLLSHYAWRGSLLLVSALSLHLVACGALLRPPSLAEDPAVGGPRAQLTSLLHHGPFLRYTVALTLINTGYFIPYLHLVAHLQDLDWDPLPAAFLLSVVAISDLVGRVVSGWLGDAVPGPVTRLLMLWTTLTGVSLALFPVAQAPTALVALAVAYGFTSGALAPLAFSVLPELIGTRRIYCGLGLLQMIESIGGLLGPPLSGYLRDVTGNYTASFVVAGAFLLSGSGILLTLPHFFCFSTTTSGPQDLVTEALDTKVPLPKEGLEED
+>DECOY_sp|Q7RTY0|MOT13_HUMAN Monocarboxylate transporter 13 OS=Homo sapiens OX=9606 GN=SLC16A13 PE=1 SV=1
+DEELGEKPLPVKTDLAETVLDQPGSTTTSFCFFHPLTLLIGSGSLLFAGAVVFSATYNGTVDRLYGSLPPGLLGGISEIMQLLGLGCYIRRTGILEPLVSFALPALAGSTFGYAVALAVLATPAQAVPFLALSVGTLTTWLMLLRTVPGPVADGLWGSVVRGVLDSIAVVSLLFAAPLPDWDLDQLHAVLHLYPIFYGTNILTLAVTYRLFPGHHLLSTLQARPGGVAPDEALSPPRLLAGCAVLHLSLASVLLLSGRWAYHSLLWQFFPAFTFSSLGVGTLALGTALSRRRSFYCSLCALTPAFTLAWGSGSLLGISLYLHTLSTAFSALLMGLAALIGGTMVVPRPGFKTSLASGVPSGFQQVAIGISAIWSVRAAQEEFAAVFEVFFVGFSRLVGFVLASQFFASLVVVWGWGGDPPETRRAM
+>sp|O95907|MOT3_HUMAN Monocarboxylate transporter 3 OS=Homo sapiens OX=9606 GN=SLC16A8 PE=2 SV=1
+MGAGGPRRGEGPPDGGWGWVVLGACFVVTGFAYGFPKAVSVFFRALMRDFDAGYSDTAWVSSIMLAMLYGTGPVSSILVTRFGCRPVMLAGGLLASAGMILASFATRLLELYLTAGVLTGLGLALNFQPSLIMLGLYFERRRPLANGLAAAGSPVFLSALSPLGQQLLERFGWRGGFLLLGGLLLHCCACGAVMRPPPGPGPRPRRDSAGDRAGDAPGEAEADGAGLQLREASPRVRPRRRLLDLAVCTDRAFAVYAVTKFLMALGLFVPAILLVNYAKDAGVPDTDAAFLLSIVGFVDIVARPACGALAGLARLRPHVPYLFSLALLANGLTDLSSARARSYGALVAFCVAFGLSYGMVGALQFEVLMAAVGAPRFPSALGLVLLVEAAAVLIGPPSAGRLVDVLKNYEIIFYLAGSEVALAGVFMAVATNCCLRCAKAAPSGPGTEGGASDTEDAEAEGDSEPLPVVAEEPGNLEALEVLSARGEPTEPEIEARPRLAAESV
+>DECOY_sp|O95907|MOT3_HUMAN Monocarboxylate transporter 3 OS=Homo sapiens OX=9606 GN=SLC16A8 PE=2 SV=1
+VSEAALRPRAEIEPETPEGRASLVELAELNGPEEAVVPLPESDGEAEADETDSAGGETGPGSPAAKACRLCCNTAVAMFVGALAVESGALYFIIEYNKLVDVLRGASPPGILVAAAEVLLVLGLASPFRPAGVAAMLVEFQLAGVMGYSLGFAVCFAVLAGYSRARASSLDTLGNALLALSFLYPVHPRLRALGALAGCAPRAVIDVFGVISLLFAADTDPVGADKAYNVLLIAPVFLGLAMLFKTVAYVAFARDTCVALDLLRRRPRVRPSAERLQLGAGDAEAEGPADGARDGASDRRPRPGPGPPPRMVAGCACCHLLLGGLLLFGGRWGFRELLQQGLPSLASLFVPSGAAALGNALPRRREFYLGLMILSPQFNLALGLGTLVGATLYLELLRTAFSALIMGASALLGGALMVPRCGFRTVLISSVPGTGYLMALMISSVWATDSYGADFDRMLARFFVSVAKPFGYAFGTVVFCAGLVVWGWGGDPPGEGRRPGGAGM
+>sp|O15375|MOT6_HUMAN Monocarboxylate transporter 6 OS=Homo sapiens OX=9606 GN=SLC16A5 PE=2 SV=1
+MPQALERADGSWAWVVLLATMVTQGLTLGFPTCIGIFFTELQWEFQASNSETSWFPSILTAVLHMAGPLCSILVGRFGCRVTVMLGGVLASLGMVASSFSHNLSQLYFTAGFITGLGMCFSFQSSITVLGFYFVRRRVLANALASMGVSLGITLWPLLSRYLLENLGWRGTFLVFGGIFLHCCICGAIIRPVATSVAPETKECPPPPPETPALGCLAACGRTIQRHLAFDILRHNTGYCVYILGVMWSVLGFPLPQVFLVPYAMWHSVDEQQAALLISIIGFSNIFLRPLAGLMAGRPAFASHRKYLFSLALLLNGLTNLVCAASGDFWVLVGYCLAYSVSMSGIGALIFQVLMDIVPMDQFPRALGLFTVLDGLAFLISPPLAGLLLDATNNFSYVFYMSSFFLISAALFMGGSFYALQKKEQGKQAVAADALERDLFLEAKDGPGKQRSPEIMCQSSRQPRPAGVNKHLWGCPASSRTSHEWLLWPKAVLQAKQTALGWNSPT
+>DECOY_sp|O15375|MOT6_HUMAN Monocarboxylate transporter 6 OS=Homo sapiens OX=9606 GN=SLC16A5 PE=2 SV=1
+TPSNWGLATQKAQLVAKPWLLWEHSTRSSAPCGWLHKNVGAPRPQRSSQCMIEPSRQKGPGDKAELFLDRELADAAVAQKGQEKKQLAYFSGGMFLAASILFFSSMYFVYSFNNTADLLLGALPPSILFALGDLVTFLGLARPFQDMPVIDMLVQFILAGIGSMSVSYALCYGVLVWFDGSAACVLNTLGNLLLALSFLYKRHSAFAPRGAMLGALPRLFINSFGIISILLAAQQEDVSHWMAYPVLFVQPLPFGLVSWMVGLIYVCYGTNHRLIDFALHRQITRGCAALCGLAPTEPPPPPCEKTEPAVSTAVPRIIAGCICCHLFIGGFVLFTGRWGLNELLYRSLLPWLTIGLSVGMSALANALVRRRVFYFGLVTISSQFSFCMGLGTIFGATFYLQSLNHSFSSAVMGLSALVGGLMVTVRCGFRGVLISCLPGAMHLVATLISPFWSTESNSAQFEWQLETFFIGICTPFGLTLGQTVMTALLVVWAWSGDARELAQPM
+>sp|Q9HCE1|MOV10_HUMAN Putative helicase MOV-10 OS=Homo sapiens OX=9606 GN=MOV10 PE=1 SV=2
+MPSKFSCRQLREAGQCFESFLVVRGLDMETDRERLRTIYNRDFKISFGTPAPGFSSMLYGMKIANLAYVTKTRVRFFRLDRWADVRFPEKRRMKLGSDISKHHKSLLAKIFYDRAEYLHGKHGVDVEVQGPHEARDGQLLIRLDLNRKEVLTLRLRNGGTQSVTLTHLFPLCRTPQFAFYNEDQELPCPLGPGECYELHVHCKTSFVGYFPATVLWELLGPGESGSEGAGTFYIARFLAAVAHSPLAAQLKPMTPFKRTRITGNPVVTNRIEEGERPDRAKGYDLELSMALGTYYPPPRLRQLLPMLLQGTSIFTAPKEIAEIKAQLETALKWRNYEVKLRLLLHLEELQMEHDIRHYDLESVPMTWDPVDQNPRLLTLEVPGVTESRPSVLRGDHLFALLSSETHQEDPITYKGFVHKVELDRVKLSFSMSLLSRFVDGLTFKVNFTFNRQPLRVQHRALELTGRWLLWPMLFPVAPRDVPLLPSDVKLKLYDRSLESNPEQLQAMRHIVTGTTRPAPYIIFGPPGTGKTVTLVEAIKQVVKHLPKAHILACAPSNSGADLLCQRLRVHLPSSIYRLLAPSRDIRMVPEDIKPCCNWDAKKGEYVFPAKKKLQEYRVLITTLITAGRLVSAQFPIDHFTHIFIDEAGHCMEPESLVAIAGLMEVKETGDPGGQLVLAGDPRQLGPVLRSPLTQKHGLGYSLLERLLTYNSLYKKGPDGYDPQFITKLLRNYRSHPTILDIPNQLYYEGELQACADVVDRERFCRWAGLPRQGFPIIFHGVMGKDEREGNSPSFFNPEEAATVTSYLKLLLAPSSKKGKARLSPRSVGVISPYRKQVEKIRYCITKLDRELRGLDDIKDLKVGSVEEFQGQERSVILISTVRSSQSFVQLDLDFNLGFLKNPKRFNVAVTRAKALLIIVGNPLLLGHDPDWKVFLEFCKENGGYTGCPFPAKLDLQQGQNLLQGLSKLSPSTSGPHSHDYLPQEREGEGGLSLQVEPEWRNEL
+>DECOY_sp|Q9HCE1|MOV10_HUMAN Putative helicase MOV-10 OS=Homo sapiens OX=9606 GN=MOV10 PE=1 SV=2
+LENRWEPEVQLSLGGEGEREQPLYDHSHPGSTSPSLKSLGQLLNQGQQLDLKAPFPCGTYGGNEKCFELFVKWDPDHGLLLPNGVIILLAKARTVAVNFRKPNKLFGLNFDLDLQVFSQSSRVTSILIVSREQGQFEEVSGVKLDKIDDLGRLERDLKTICYRIKEVQKRYPSIVGVSRPSLRAKGKKSSPALLLKLYSTVTAAEEPNFFSPSNGEREDKGMVGHFIIPFGQRPLGAWRCFRERDVVDACAQLEGEYYLQNPIDLITPHSRYNRLLKTIFQPDYGDPGKKYLSNYTLLRELLSYGLGHKQTLPSRLVPGLQRPDGALVLQGGPDGTEKVEMLGAIAVLSEPEMCHGAEDIFIHTFHDIPFQASVLRGATILTTILVRYEQLKKKAPFVYEGKKADWNCCPKIDEPVMRIDRSPALLRYISSPLHVRLRQCLLDAGSNSPACALIHAKPLHKVVQKIAEVLTVTKGTGPPGFIIYPAPRTTGTVIHRMAQLQEPNSELSRDYLKLKVDSPLLPVDRPAVPFLMPWLLWRGTLELARHQVRLPQRNFTFNVKFTLGDVFRSLLSMSFSLKVRDLEVKHVFGKYTIPDEQHTESSLLAFLHDGRLVSPRSETVGPVELTLLRPNQDVPDWTMPVSELDYHRIDHEMQLEELHLLLRLKVEYNRWKLATELQAKIEAIEKPATFISTGQLLMPLLQRLRPPPYYTGLAMSLELDYGKARDPREGEEIRNTVVPNGTIRTRKFPTMPKLQAALPSHAVAALFRAIYFTGAGESGSEGPGLLEWLVTAPFYGVFSTKCHVHLEYCEGPGLPCPLEQDENYFAFQPTRCLPFLHTLTVSQTGGNRLRLTLVEKRNLDLRILLQGDRAEHPGQVEVDVGHKGHLYEARDYFIKALLSKHHKSIDSGLKMRRKEPFRVDAWRDLRFFRVRTKTVYALNAIKMGYLMSSFGPAPTGFSIKFDRNYITRLRERDTEMDLGRVVLFSEFCQGAERLQRCSFKSPM
+>sp|P36507|MP2K2_HUMAN Dual specificity mitogen-activated protein kinase kinase 2 OS=Homo sapiens OX=9606 GN=MAP2K2 PE=1 SV=1
+MLARRKPVLPALTINPTIAEGPSPTSEGASEANLVDLQKKLEELELDEQQKKRLEAFLTQKAKVGELKDDDFERISELGAGNGGVVTKVQHRPSGLIMARKLIHLEIKPAIRNQIIRELQVLHECNSPYIVGFYGAFYSDGEISICMEHMDGGSLDQVLKEAKRIPEEILGKVSIAVLRGLAYLREKHQIMHRDVKPSNILVNSRGEIKLCDFGVSGQLIDSMANSFVGTRSYMAPERLQGTHYSVQSDIWSMGLSLVELAVGRYPIPPPDAKELEAIFGRPVVDGEEGEPHSISPRPRPPGRPVSGHGMDSRPAMAIFELLDYIVNEPPPKLPNGVFTPDFQEFVNKCLIKNPAERADLKMLTNHTFIKRSEVEEVDFAGWLCKTLRLNQPGTPTRTAV
+>DECOY_sp|P36507|MP2K2_HUMAN Dual specificity mitogen-activated protein kinase kinase 2 OS=Homo sapiens OX=9606 GN=MAP2K2 PE=1 SV=1
+VATRTPTGPQNLRLTKCLWGAFDVEEVESRKIFTHNTLMKLDAREAPNKILCKNVFEQFDPTFVGNPLKPPPENVIYDLLEFIAMAPRSDMGHGSVPRGPPRPRPSISHPEGEEGDVVPRGFIAELEKADPPPIPYRGVALEVLSLGMSWIDSQVSYHTGQLREPAMYSRTGVFSNAMSDILQGSVGFDCLKIEGRSNVLINSPKVDRHMIQHKERLYALGRLVAISVKGLIEEPIRKAEKLVQDLSGGDMHEMCISIEGDSYFAGYFGVIYPSNCEHLVQLERIIQNRIAPKIELHILKRAMILGSPRHQVKTVVGGNGAGLESIREFDDDKLEGVKAKQTLFAELRKKQQEDLELEELKKQLDVLNAESAGESTPSPGEAITPNITLAPLVPKRRALM
+>sp|Q13163|MP2K5_HUMAN Dual specificity mitogen-activated protein kinase kinase 5 OS=Homo sapiens OX=9606 GN=MAP2K5 PE=1 SV=2
+MLWLALGPFPAMENQVLVIRIKIPNSGAVDWTVHSGPQLLFRDVLDVIGQVLPEATTTAFEYEDEDGDRITVRSDEEMKAMLSYYYSTVMEQQVNGQLIEPLQIFPRACKPPGERNIHGLKVNTRAGPSQHSSPAVSDSLPSNSLKKSSAELKKILANGQMNEQDIRYRDTLGHGNGGTVYKAYHVPSGKILAVKVILLDITLELQKQIMSELEILYKCDSSYIIGFYGAFFVENRISICTEFMDGGSLDVYRKMPEHVLGRIAVAVVKGLTYLWSLKILHRDVKPSNMLVNTRGQVKLCDFGVSTQLVNSIAKTYVGTNAYMAPERISGEQYGIHSDVWSLGISFMELALGRFPYPQIQKNQGSLMPLQLLQCIVDEDSPVLPVGEFSEPFVHFITQCMRKQPKERPAPEELMGHPFIVQFNDGNAAVVSMWVCRALEERRSQQGPP
+>DECOY_sp|Q13163|MP2K5_HUMAN Dual specificity mitogen-activated protein kinase kinase 5 OS=Homo sapiens OX=9606 GN=MAP2K5 PE=1 SV=2
+PPGQQSRREELARCVWMSVVAANGDNFQVIFPHGMLEEPAPREKPQKRMCQTIFHVFPESFEGVPLVPSDEDVICQLLQLPMLSGQNKQIQPYPFRGLALEMFSIGLSWVDSHIGYQEGSIREPAMYANTGVYTKAISNVLQTSVGFDCLKVQGRTNVLMNSPKVDRHLIKLSWLYTLGKVVAVAIRGLVHEPMKRYVDLSGGDMFETCISIRNEVFFAGYFGIIYSSDCKYLIELESMIQKQLELTIDLLIVKVALIKGSPVHYAKYVTGGNGHGLTDRYRIDQENMQGNALIKKLEASSKKLSNSPLSDSVAPSSHQSPGARTNVKLGHINREGPPKCARPFIQLPEILQGNVQQEMVTSYYYSLMAKMEEDSRVTIRDGDEDEYEFATTTAEPLVQGIVDLVDRFLLQPGSHVTWDVAGSNPIKIRIVLVQNEMAPFPGLALWLM
+>sp|Q99550|MPP9_HUMAN M-phase phosphoprotein 9 OS=Homo sapiens OX=9606 GN=MPHOSPH9 PE=1 SV=4
+MEEFDLVKTLHKTSSSVGSDENSLHSLGLNLNTDRSSPHLSTNGVSSFSGKTRPSVIQGTVEVLTSLMQELQNSGKTDSELWKNCETRWLQLFNLVEKQCQEQIVAQQEQFHNQIQHIQEEIKNLVKLQTSSASLASCEGNSSNKQVSSESQMGFFSLSSERNESVIHYPESTEPEIQQEMSTSQPDCNVDSCSVSSGYGTFCISELNLYKSKDPKEFMEHIDVPKGQYVAPAVPAESLVDGVKNENFYIQTPEECHVSLKEDVSISPGEFEHNFLGENKVSEVYSGKTNSNAITSWAQKLKQNQPKRAHVEDGGSRSKQGNEQSKKTPIEKSDFAAATHPRAFYLSKPDETPNAWMSDSGTGLTYWKLEEKDMHHSLPETLEKTFISLSSTDVSPNQSNTSNEMKLPSLKDIYYKKQRENKQLPERNLTSASNPNHPPEVLTLDPTLHMKPKQQISGIQPHGLPNALDDRISFSPDSVLEPSMSSPSDIDSFSQASNVTSQLPGFPKYPSHTKASPVDSWKNQTFQNESRTSSTFPSVYTITSNDISVNTVDEENTVMVASASVSQSQLPGTANSVPECISLTSLEDPVILSKIRQNLKEKHARHIADLRAYYESEINSLKQKLEAKEISGVEDWKITNQILVDRCGQLDSALHEATSRVRTLENKNNLLEIEVNDLRERFSAASSASKILQERIEEMRTSSKEKDNTIIRLKSRLQDLEEAFENAYKLSDDKEAQLKQENKMFQDLLGEYESLGKEHRRVKDALNTTENKLLDAYTQISDLKRMISKLEAQVKQVEHENMLSLRHNSRIHVRPSRANTLATSDVSRRKWLIPGAEYSIFTGQPLDTQDSNVDNQLEETCSLGHRSPLEKDSSPGSSSTSLLIKKQRETSDTPIMRALKELDEGKIFKNWGTQTEKEDTSNINPRQTETSVNASRSPEKCAQQRQKRLNSASQRSSSLPPSNRKSSTPTKREIMLTPVTVAYSPKRSPKENLSPGFSHLLSKNESSPIRFDILLDDLDTVPVSTLQRTNPRKQLQFLPLDDSEEKTYSEKATDNHVNHSSCPEPVPNGVKKVSVRTAWEKNKSVSYEQCKPVSVTPQGNDFEYTAKIRTLAETERFFDELTKEKDQIEAALSRMPSPGGRITLQTRLNQEALEDRLERINRELGSVRMTLKKFHVLRTSANL
+>DECOY_sp|Q99550|MPP9_HUMAN M-phase phosphoprotein 9 OS=Homo sapiens OX=9606 GN=MPHOSPH9 PE=1 SV=4
+LNASTRLVHFKKLTMRVSGLERNIRELRDELAEQNLRTQLTIRGGPSPMRSLAAEIQDKEKTLEDFFRETEALTRIKATYEFDNGQPTVSVPKCQEYSVSKNKEWATRVSVKKVGNPVPEPCSSHNVHNDTAKESYTKEESDDLPLFQLQKRPNTRQLTSVPVTDLDDLLIDFRIPSSENKSLLHSFGPSLNEKPSRKPSYAVTVPTLMIERKTPTSSKRNSPPLSSSRQSASNLRKQRQQACKEPSRSANVSTETQRPNINSTDEKETQTGWNKFIKGEDLEKLARMIPTDSTERQKKILLSTSSSGPSSDKELPSRHGLSCTEELQNDVNSDQTDLPQGTFISYEAGPILWKRRSVDSTALTNARSPRVHIRSNHRLSLMNEHEVQKVQAELKSIMRKLDSIQTYADLLKNETTNLADKVRRHEKGLSEYEGLLDQFMKNEQKLQAEKDDSLKYANEFAEELDQLRSKLRIITNDKEKSSTRMEEIREQLIKSASSAASFRERLDNVEIELLNNKNELTRVRSTAEHLASDLQGCRDVLIQNTIKWDEVGSIEKAELKQKLSNIESEYYARLDAIHRAHKEKLNQRIKSLIVPDELSTLSICEPVSNATGPLQSQSVSASAVMVTNEEDVTNVSIDNSTITYVSPFTSSTRSENQFTQNKWSDVPSAKTHSPYKPFGPLQSTVNSAQSFSDIDSPSSMSPELVSDPSFSIRDDLANPLGHPQIGSIQQKPKMHLTPDLTLVEPPHNPNSASTLNREPLQKNERQKKYYIDKLSPLKMENSTNSQNPSVDTSSLSIFTKELTEPLSHHMDKEELKWYTLGTGSDSMWANPTEDPKSLYFARPHTAAAFDSKEIPTKKSQENGQKSRSGGDEVHARKPQNQKLKQAWSTIANSNTKGSYVESVKNEGLFNHEFEGPSISVDEKLSVHCEEPTQIYFNENKVGDVLSEAPVAPAVYQGKPVDIHEMFEKPDKSKYLNLESICFTGYGSSVSCSDVNCDPQSTSMEQQIEPETSEPYHIVSENRESSLSFFGMQSESSVQKNSSNGECSALSASSTQLKVLNKIEEQIHQIQNHFQEQQAVIQEQCQKEVLNFLQLWRTECNKWLESDTKGSNQLEQMLSTLVEVTGQIVSPRTKGSFSSVGNTSLHPSSRDTNLNLGLSHLSNEDSGVSSSTKHLTKVLDFEEM
+>sp|Q15777|MPPD2_HUMAN Metallophosphoesterase MPPED2 OS=Homo sapiens OX=9606 GN=MPPED2 PE=1 SV=1
+MAHGIPSQGKVTITVDEYSSNPTQAFTHYNINQSRFQPPHVHMVDPIPYDTPKPAGHTRFVCISDTHSRTDGIQMPYGDILLHTGDFTELGLPSEVKKFNDWLGNLPYEYKIVIAGNHELTFDKEFMADLVKQDYYRFPSVSKLKPEDFDNVQSLLTNSIYLQDSEVTVKGFRIYGAPWTPWFNGWGFNLPRGQSLLDKWNLIPEGIDILMTHGPPLGFRDWVPKELQRVGCVELLNTVQRRVRPKLHVFGGIHEGYGIMTDGYTTYINASTCTVSFQPTNPPIIFDLPNPQGS
+>DECOY_sp|Q15777|MPPD2_HUMAN Metallophosphoesterase MPPED2 OS=Homo sapiens OX=9606 GN=MPPED2 PE=1 SV=1
+SGQPNPLDFIIPPNTPQFSVTCTSANIYTTYGDTMIGYGEHIGGFVHLKPRVRRQVTNLLEVCGVRQLEKPVWDRFGLPPGHTMLIDIGEPILNWKDLLSQGRPLNFGWGNFWPTWPAGYIRFGKVTVESDQLYISNTLLSQVNDFDEPKLKSVSPFRYYDQKVLDAMFEKDFTLEHNGAIVIKYEYPLNGLWDNFKKVESPLGLETFDGTHLLIDGYPMQIGDTRSHTDSICVFRTHGAPKPTDYPIPDVMHVHPPQFRSQNINYHTFAQTPNSSYEDVTITVKGQSPIGHAM
+>sp|P20645|MPRD_HUMAN Cation-dependent mannose-6-phosphate receptor OS=Homo sapiens OX=9606 GN=M6PR PE=1 SV=1
+MFPFYSCWRTGLLLLLLAVAVRESWQTEEKTCDLVGEKGKESEKELALVKRLKPLFNKSFESTVGQGSDTYIYIFRVCREAGNHTSGAGLVQINKSNGKETVVGRLNETHIFNGSNWIMLIYKGGDEYDNHCGKEQRRAVVMISCNRHTLADNFNPVSEERGKVQDCFYLFEMDSSLACSPEISHLSVGSILLVTFASLVAVYVVGGFLYQRLVVGAKGMEQFPHLAFWQDLGNLVADGCDFVCRSKPRNVPAAYRGVGDDQLGEESEERDDHLLPM
+>DECOY_sp|P20645|MPRD_HUMAN Cation-dependent mannose-6-phosphate receptor OS=Homo sapiens OX=9606 GN=M6PR PE=1 SV=1
+MPLLHDDREESEEGLQDDGVGRYAAPVNRPKSRCVFDCGDAVLNGLDQWFALHPFQEMGKAGVVLRQYLFGGVVYVAVLSAFTVLLISGVSLHSIEPSCALSSDMEFLYFCDQVKGREESVPNFNDALTHRNCSIMVVARRQEKGCHNDYEDGGKYILMIWNSGNFIHTENLRGVVTEKGNSKNIQVLGAGSTHNGAERCVRFIYIYTDSGQGVTSEFSKNFLPKLRKVLALEKESEKGKEGVLDCTKEETQWSERVAVALLLLLLGTRWCSYFPFM
+>sp|Q6WCQ1|MPRIP_HUMAN Myosin phosphatase Rho-interacting protein OS=Homo sapiens OX=9606 GN=MPRIP PE=1 SV=3
+MSAAKENPCRKFQANIFNKSKCQNCFKPRESHLLNDEDLTQAKPIYGGWLLLAPDGTDFDNPVHRSRKWQRRFFILYEHGLLRYALDEMPTTLPQGTINMNQCTDVVDGEGRTGQKFSLCILTPEKEHFIRAETKEIVSGWLEMLMVYPRTNKQNQKKKRKVEPPTPQEPGPAKVAVTSSSSSSSSSSSIPSAEKVPTTKSTLWQEEMRTKDQPDGSSLSPAQSPSQSQPPAASSLREPGLESKEEESAMSSDRMDCGRKVRVESGYFSLEKTKQDLKAEEQQLPPPLSPPSPSTPNHRRSQVIEKFEALDIEKAEHMETNAVGPSPSSDTRQGRSEKRAFPRKRDFTNEAPPAPLPDASASPLSPHRRAKSLDRRSTEPSVTPDLLNFKKGWLTKQYEDGQWKKHWFVLADQSLRYYRDSVAEEAADLDGEIDLSACYDVTEYPVQRNYGFQIHTKEGEFTLSAMTSGIRRNWIQTIMKHVHPTTAPDVTSSLPEEKNKSSCSFETCPRPTEKQEAELGEPDPEQKRSRARERRREGRSKTFDWAEFRPIQQALAQERVGGVGPADTHEPLRPEAEPGELERERARRREERRKRFGMLDATDGPGTEDAALRMEVDRSPGLPMSDLKTHNVHVEIEQRWHQVETTPLREEKQVPIAPVHLSSEDGGDRLSTHELTSLLEKELEQSQKEASDLLEQNRLLQDQLRVALGREQSAREGYVLQATCERGFAAMEETHQKKIEDLQRQHQRELEKLREEKDRLLAEETAATISAIEAMKNAHREEMERELEKSQRSQISSVNSDVEALRRQYLEELQSVQRELEVLSEQYSQKCLENAHLAQALEAERQALRQCQRENQELNAHNQELNNRLAAEITRLRTLLTGDGGGEATGSPLAQGKDAYELEVLLRVKESEIQYLKQEISSLKDELQTALRDKKYASDKYKDIYTELSIAKAKADCDISRLKEQLKAATEALGEKSPDSATVSGYDIMKSKSNPDFLKKDRSCVTRQLRNIRSKSVIEQVSWDT
+>DECOY_sp|Q6WCQ1|MPRIP_HUMAN Myosin phosphatase Rho-interacting protein OS=Homo sapiens OX=9606 GN=MPRIP PE=1 SV=3
+TDWSVQEIVSKSRINRLQRTVCSRDKKLFDPNSKSKMIDYGSVTASDPSKEGLAETAAKLQEKLRSIDCDAKAKAISLETYIDKYKDSAYKKDRLATQLEDKLSSIEQKLYQIESEKVRLLVELEYADKGQALPSGTAEGGGDGTLLTRLRTIEAALRNNLEQNHANLEQNERQCQRLAQREAELAQALHANELCKQSYQESLVELERQVSQLEELYQRRLAEVDSNVSSIQSRQSKELEREMEERHANKMAEIASITAATEEALLRDKEERLKELERQHQRQLDEIKKQHTEEMAAFGRECTAQLVYGERASQERGLAVRLQDQLLRNQELLDSAEKQSQELEKELLSTLEHTSLRDGGDESSLHVPAIPVQKEERLPTTEVQHWRQEIEVHVNHTKLDSMPLGPSRDVEMRLAADETGPGDTADLMGFRKRREERRRARERELEGPEAEPRLPEHTDAPGVGGVREQALAQQIPRFEAWDFTKSRGERRRERARSRKQEPDPEGLEAEQKETPRPCTEFSCSSKNKEEPLSSTVDPATTPHVHKMITQIWNRRIGSTMASLTFEGEKTHIQFGYNRQVPYETVDYCASLDIEGDLDAAEEAVSDRYYRLSQDALVFWHKKWQGDEYQKTLWGKKFNLLDPTVSPETSRRDLSKARRHPSLPSASADPLPAPPAENTFDRKRPFARKESRGQRTDSSPSPGVANTEMHEAKEIDLAEFKEIVQSRRHNPTSPSPPSLPPPLQQEEAKLDQKTKELSFYGSEVRVKRGCDMRDSSMASEEEKSELGPERLSSAAPPQSQSPSQAPSLSSGDPQDKTRMEEQWLTSKTTPVKEASPISSSSSSSSSSSTVAVKAPGPEQPTPPEVKRKKKQNQKNTRPYVMLMELWGSVIEKTEARIFHEKEPTLICLSFKQGTRGEGDVVDTCQNMNITGQPLTTPMEDLAYRLLGHEYLIFFRRQWKRSRHVPNDFDTGDPALLLWGGYIPKAQTLDEDNLLHSERPKFCNQCKSKNFINAQFKRCPNEKAASM
+>sp|Q6UWV2|MPZL3_HUMAN Myelin protein zero-like protein 3 OS=Homo sapiens OX=9606 GN=MPZL3 PE=1 SV=1
+MQQRGAAGSRGCALFPLLGVLFFQGVYIVFSLEIRADAHVRGYVGEKIKLKCTFKSTSDVTDKLTIDWTYRPPSSSHTVSIFHYQSFQYPTTAGTFRDRISWVGNVYKGDASISISNPTIKDNGTFSCAVKNPPDVHHNIPMTELTVTERGFGTMLSSVALLSILVFVPSAVVVALLLVRMGRKAAGLKKRSRSGYKKSSIEVSDDTDQEEEEACMARLCVRCAECLDSDYEETY
+>DECOY_sp|Q6UWV2|MPZL3_HUMAN Myelin protein zero-like protein 3 OS=Homo sapiens OX=9606 GN=MPZL3 PE=1 SV=1
+YTEEYDSDLCEACRVCLRAMCAEEEEQDTDDSVEISSKKYGSRSRKKLGAAKRGMRVLLLAVVVASPVFVLISLLAVSSLMTGFGRETVTLETMPINHHVDPPNKVACSFTGNDKITPNSISISADGKYVNGVWSIRDRFTGATTPYQFSQYHFISVTHSSSPPRYTWDITLKDTVDSTSKFTCKLKIKEGVYGRVHADARIELSFVIYVGQFFLVGLLPFLACGRSGAAGRQQM
+>sp|Q96HT8|MR1L1_HUMAN MORF4 family-associated protein 1-like 1 OS=Homo sapiens OX=9606 GN=MRFAP1L1 PE=1 SV=1
+MRPLDIDEVEAPEEVEVLEPEEDFEQFLLPVINEMREDIASLIREHGRAYLRTRSKLWEMDNMLIQIKTQVEASEESALNHVQHPSGEADERVSELCEKAEEKAKEIAKMAEMLVELVWRIERSESS
+>DECOY_sp|Q96HT8|MR1L1_HUMAN MORF4 family-associated protein 1-like 1 OS=Homo sapiens OX=9606 GN=MRFAP1L1 PE=1 SV=1
+SSESREIRWVLEVLMEAMKAIEKAKEEAKECLESVREDAEGSPHQVHNLASEESAEVQTKIQILMNDMEWLKSRTRLYARGHERILSAIDERMENIVPLLFQEFDEEPELVEVEEPAEVEDIDLPRM
+>sp|A1L020|MEX3A_HUMAN RNA-binding protein MEX3A OS=Homo sapiens OX=9606 GN=MEX3A PE=1 SV=1
+MPSLVVSGIMERNGGFGELGCFGGSAKDRGLLEDERALQLALDQLCLLGLGEPPAPTAGEDGGGGGGGAPAQPAAPPQPAPPPPPAAPPAAPTAAPAAQTPQPPTAPKGASDAKLCALYKEAELRLKGSSNTTECVPVPTSEHVAEIVGRQGCKIKALRAKTNTYIKTPVRGEEPVFMVTGRREDVATARREIISAAEHFSMIRASRNKSGAAFGVAPALPGQVTIRVRVPYRVVGLVVGPKGATIKRIQQQTNTYIITPSRDRDPVFEITGAPGNVERAREEIETHIAVRTGKILEYNNENDFLAGSPDAAIDSRYSDAWRVHQPGCKPLSTFRQNSLGCIGECGVDSGFEAPRLGEQGGDFGYGGYLFPGYGVGKQDVYYGVAETSPPLWAGQENATPTSVLFSSASSSSSSSAKARAGPPGAHRSPATSAGPELAGLPRRPPGEPLQGFSKLGGGGLRSPGGGRDCMVCFESEVTAALVPCGHNLFCMECAVRICERTDPECPVCHITATQAIRIFS
+>DECOY_sp|A1L020|MEX3A_HUMAN RNA-binding protein MEX3A OS=Homo sapiens OX=9606 GN=MEX3A PE=1 SV=1
+SFIRIAQTATIHCVPCEPDTRECIRVACEMCFLNHGCPVLAATVESEFCVMCDRGGGPSRLGGGGLKSFGQLPEGPPRRPLGALEPGASTAPSRHAGPPGARAKASSSSSSSASSFLVSTPTANEQGAWLPPSTEAVGYYVDQKGVGYGPFLYGGYGFDGGQEGLRPAEFGSDVGCEGICGLSNQRFTSLPKCGPQHVRWADSYRSDIAADPSGALFDNENNYELIKGTRVAIHTEIEERAREVNGPAGTIEFVPDRDRSPTIIYTNTQQQIRKITAGKPGVVLGVVRYPVRVRITVQGPLAPAVGFAAGSKNRSARIMSFHEAASIIERRATAVDERRGTVMFVPEEGRVPTKIYTNTKARLAKIKCGQRGVIEAVHESTPVPVCETTNSSGKLRLEAEKYLACLKADSAGKPATPPQPTQAAPAATPAAPPAAPPPPPAPQPPAAPQAPAGGGGGGGDEGATPAPPEGLGLLCLQDLALQLAREDELLGRDKASGGFCGLEGFGGNREMIGSVVLSPM
+>sp|Q86XN8|MEX3D_HUMAN RNA-binding protein MEX3D OS=Homo sapiens OX=9606 GN=MEX3D PE=1 SV=3
+MPSSLGQPDGGGGGGGGGGGVGAAGEDPGPGPAPPPEGAQEAAPAPRPPPEPDDAAAALRLALDQLSALGLGGAGDTDEEGAAGDGAAAAGGADGGAAPEPVPPDGPEAGAPPTLAPAVAPGSLPLLDPNASPPPPPPPRPSPPDVFAGFAPHPAALGPPTLLADQMSVIGSRKKSVNMTECVPVPSSEHVAEIVGRQGCKIKALRAKTNTYIKTPVRGEEPVFIVTGRKEDVEMAKREILSAAEHFSIIRATRSKAGGLPGAAQGPPNLPGQTTIQVRVPYRVVGLVVGPKGATIKRIQQRTHTYIVTPGRDKEPVFAVTGMPENVDRAREEIEAHITLRTGAFTDAGPDSDFHANGTDVCLDLLGAAASLWAKTPNQGRRPPTATAGLRGDTALGAPSAPEAFYAGSRGGPSVPDPGPASPYSGSGNGGFAFGAEGPGAPVGTAAPDDCDFGFDFDFLALDLTVPAAATIWAPFERAAPLPAFSGCSTVNGAPGPPAAGARRSSGAGTPRHSPTLPEPGGLRLELPLSRRGAPDPVGALSWRPPQGPVSFPGGAAFSTATSLPSSPAAAACAPLDSGASENSRKPPSASSAPALARECVVCAEGEVMAALVPCGHNLFCMDCAVRICGKSEPECPACRTPATQAIHIFS
+>DECOY_sp|Q86XN8|MEX3D_HUMAN RNA-binding protein MEX3D OS=Homo sapiens OX=9606 GN=MEX3D PE=1 SV=3
+SFIHIAQTAPTRCAPCEPESKGCIRVACDMCFLNHGCPVLAAMVEGEACVVCERALAPASSASPPKRSNESAGSDLPACAAAAPSSPLSTATSFAAGGPFSVPGQPPRWSLAGVPDPAGRRSLPLELRLGGPEPLTPSHRPTGAGSSRRAGAAPPGPAGNVTSCGSFAPLPAAREFPAWITAAAPVTLDLALFDFDFGFDCDDPAATGVPAGPGEAGFAFGGNGSGSYPSAPGPDPVSPGGRSGAYFAEPASPAGLATDGRLGATATPPRRGQNPTKAWLSAAAGLLDLCVDTGNAHFDSDPGADTFAGTRLTIHAEIEERARDVNEPMGTVAFVPEKDRGPTVIYTHTRQQIRKITAGKPGVVLGVVRYPVRVQITTQGPLNPPGQAAGPLGGAKSRTARIISFHEAASLIERKAMEVDEKRGTVIFVPEEGRVPTKIYTNTKARLAKIKCGQRGVIEAVHESSPVPVCETMNVSKKRSGIVSMQDALLTPPGLAAPHPAFGAFVDPPSPRPPPPPPPSANPDLLPLSGPAVAPALTPPAGAEPGDPPVPEPAAGGDAGGAAAAGDGAAGEEDTDGAGGLGLASLQDLALRLAAAADDPEPPPRPAPAAEQAGEPPPAPGPGPDEGAAGVGGGGGGGGGGGDPQGLSSPM
+>sp|Q14CX5|MF13A_HUMAN Transmembrane protein 180 OS=Homo sapiens OX=9606 GN=MFSD13A PE=2 SV=1
+MGLGQPQAWLLGLPTAVVYGSLALFTTILHNVFLLYYVDTFVSVYKINKMAFWVGETVFLLWNSLNDPLFGWLSDRQFLSSQPRSGAGLSSRAVVLARVQALGWHGPLLALSFLAFWVPWAPAGLQFLLCLCLYDGFLTLVDLHHHALLADLALSAHDRTHLNFYCSLFSAAGSLSVFASYAFWNKEDFSSFRAFCVTLAVSSGLGFLGATQLLRRRVEAARKDPGCSGLVVDSGLCGEELLVGSEEADSITLGRYLRQLARHRNFLWFVSMDLVQVFHCHFNSNFFPLFLEHLLSDHISLSTGSILLGLSYVAPHLNNLYFLSLCRRWGVYAVVRGLFLLKLGLSLLMLLAGPDHLSLLCLFIASNRVFTEGTCKLLTLVVTDLVDEDLVLNHRKQAASALLFGMVALVTKPGQTFAPLLGTWLLCFYTGHDLFQQSLITPVGSAHPWPEPPAPAPAQAPTLRQGCFYLLVLVPITCALLQLFTWSQFTLHGRRLHMVKAQRQNLSQAQTLDVKMV
+>DECOY_sp|Q14CX5|MF13A_HUMAN Transmembrane protein 180 OS=Homo sapiens OX=9606 GN=MFSD13A PE=2 SV=1
+VMKVDLTQAQSLNQRQAKVMHLRRGHLTFQSWTFLQLLACTIPVLVLLYFCGQRLTPAQAPAPAPPEPWPHASGVPTILSQQFLDHGTYFCLLWTGLLPAFTQGPKTVLAVMGFLLASAAQKRHNLVLDEDVLDTVVLTLLKCTGETFVRNSAIFLCLLSLHDPGALLMLLSLGLKLLFLGRVVAYVGWRRCLSLFYLNNLHPAVYSLGLLISGTSLSIHDSLLHELFLPFFNSNFHCHFVQVLDMSVFWLFNRHRALQRLYRGLTISDAEESGVLLEEGCLGSDVVLGSCGPDKRAAEVRRRLLQTAGLFGLGSSVALTVCFARFSSFDEKNWFAYSAFVSLSGAASFLSCYFNLHTRDHASLALDALLAHHHLDVLTLFGDYLCLCLLFQLGAPAWPVWFALFSLALLPGHWGLAQVRALVVARSSLGAGSRPQSSLFQRDSLWGFLPDNLSNWLLFVTEGVWFAMKNIKYVSVFTDVYYLLFVNHLITTFLALSGYVVATPLGLLWAQPQGLGM
+>sp|Q96MC6|MF14A_HUMAN Hippocampus abundant transcript 1 protein OS=Homo sapiens OX=9606 GN=MFSD14A PE=1 SV=2
+MTQGKKKKRAANRSIMLAKKIIIKDGGTPQGIGSPSVYHAVIVIFLEFFAWGLLTAPTLVVLHETFPKHTFLMNGLIQGVKGLLSFLSAPLIGALSDVWGRKSFLLLTVFFTCAPIPLMKISPWWYFAVISVSGVFAVTFSVVFAYVADITQEHERSMAYGLVSATFAASLVTSPAIGAYLGRVYGDSLVVVLATAIALLDICFILVAVPESLPEKMRPASWGAPISWEQADPFASLKKVGQDSIVLLICITVFLSYLPEAGQYSSFFLYLRQIMKFSPESVAAFIAVLGILSIIAQTIVLSLLMRSIGNKNTILLGLGFQILQLAWYGFGSEPWMMWAAGAVAAMSSITFPAVSALVSRTADADQQGVVQGMITGIRGLCNGLGPALYGFIFYIFHVELKELPITGTDLGTNTSPQHHFEQNSIIPGPPFLFGACSVLLALLVALFIPEHTNLSLRSSSWRKHCGSHSHPHNTQAPGEAKEPLLQDTNV
+>DECOY_sp|Q96MC6|MF14A_HUMAN Hippocampus abundant transcript 1 protein OS=Homo sapiens OX=9606 GN=MFSD14A PE=1 SV=2
+VNTDQLLPEKAEGPAQTNHPHSHSGCHKRWSSSRLSLNTHEPIFLAVLLALLVSCAGFLFPPGPIISNQEFHHQPSTNTGLDTGTIPLEKLEVHFIYFIFGYLAPGLGNCLGRIGTIMGQVVGQQDADATRSVLASVAPFTISSMAAVAGAAWMMWPESGFGYWALQLIQFGLGLLITNKNGISRMLLSLVITQAIISLIGLVAIFAAVSEPSFKMIQRLYLFFSSYQGAEPLYSLFVTICILLVISDQGVKKLSAFPDAQEWSIPAGWSAPRMKEPLSEPVAVLIFCIDLLAIATALVVVLSDGYVRGLYAGIAPSTVLSAAFTASVLGYAMSREHEQTIDAVYAFVVSFTVAFVGSVSIVAFYWWPSIKMLPIPACTFFVTLLLFSKRGWVDSLAGILPASLFSLLGKVGQILGNMLFTHKPFTEHLVVLTPATLLGWAFFELFIVIVAHYVSPSGIGQPTGGDKIIIKKALMISRNAARKKKKGQTM
+>sp|Q5SR56|MF14B_HUMAN Hippocampus abundant transcript-like protein 1 OS=Homo sapiens OX=9606 GN=MFSD14B PE=2 SV=3
+MSVEPPPELEEKAASEPEAGAMPEKRAGAQAAGSTWLQGFGRPSVYHAAIVIFLEFFAWGLLTTPMLTVLHETFSQHTFLMNGLIQGVKGLLSFLSAPLIGALSDVWGRKPFLLGTVFFTCFPIPLMRISPWWYFAMISVSGVFSVTFSVIFAYVADVTQEHERSTAYGWVSATFAASLVSSPAIGAYLSASYGDSLVVLVATVVALLDICFILVAVPESLPEKMRPVSWGAQISWKQADPFASLKKVGKDSTVLLICITVFLSYLPEAGQYSSFFLYLRQVIGFGSVKIAAFIAMVGILSIVAQTAFLSILMRSLGNKNTVLLGLGFQMLQLAWYGFGSQAWMMWAAGTVAAMSSITFPAISALVSRNAESDQQGVAQGIITGIRGLCNGLGPALYGFIFYMFHVELTELGPKLNSNNVPLQGAVIPGPPFLFGACIVLMSFLVALFIPEYSKASGVQKHSNSSSGSLTNTPERGSDEDIEPLLQDSSIWELSSFEEPGNQCTEL
+>DECOY_sp|Q5SR56|MF14B_HUMAN Hippocampus abundant transcript-like protein 1 OS=Homo sapiens OX=9606 GN=MFSD14B PE=2 SV=3
+LETCQNGPEEFSSLEWISSDQLLPEIDEDSGREPTNTLSGSSSNSHKQVGSAKSYEPIFLAVLFSMLVICAGFLFPPGPIVAGQLPVNNSNLKPGLETLEVHFMYFIFGYLAPGLGNCLGRIGTIIGQAVGQQDSEANRSVLASIAPFTISSMAAVTGAAWMMWAQSGFGYWALQLMQFGLGLLVTNKNGLSRMLISLFATQAVISLIGVMAIFAAIKVSGFGIVQRLYLFFSSYQGAEPLYSLFVTICILLVTSDKGVKKLSAFPDAQKWSIQAGWSVPRMKEPLSEPVAVLIFCIDLLAVVTAVLVVLSDGYSASLYAGIAPSSVLSAAFTASVWGYATSREHEQTVDAVYAFIVSFTVSFVGSVSIMAFYWWPSIRMLPIPFCTFFVTGLLFPKRGWVDSLAGILPASLFSLLGKVGQILGNMLFTHQSFTEHLVTLMPTTLLGWAFFELFIVIAAHYVSPRGFGQLWTSGAAQAGARKEPMAGAEPESAAKEELEPPPEVSM
+>sp|Q5VZR4|MF14C_HUMAN Hippocampus abundant transcript-like protein 2 OS=Homo sapiens OX=9606 GN=MFSD14C PE=2 SV=1
+MSVEPPPELEEKAASEPEAGAMPEKRAGAQAAGSTWLQGFGPPSVYHAAIVIFLEFFAWGLLTTPMLTVLHETFSQHTFLMNGLIQGVKGLLSFLSAPLIGALSDVWGRKPFLLGTVFFTCFPIPLMRISPCQA
+>DECOY_sp|Q5VZR4|MF14C_HUMAN Hippocampus abundant transcript-like protein 2 OS=Homo sapiens OX=9606 GN=MFSD14C PE=2 SV=1
+AQCPSIRMLPIPFCTFFVTGLLFPKRGWVDSLAGILPASLFSLLGKVGQILGNMLFTHQSFTEHLVTLMPTTLLGWAFFELFIVIAAHYVSPPGFGQLWTSGAAQAGARKEPMAGAEPESAAKEELEPPPEVSM
+>sp|O75121|MFA3L_HUMAN Microfibrillar-associated protein 3-like OS=Homo sapiens OX=9606 GN=MFAP3L PE=1 SV=3
+MDRLKSHLTVCFLPSVPFLILVSTLATAKSVTNSTLNGTNVVLGSVPVIIARTDHIIVKEGNSALINCSVYGIPDPQFKWYNSIGKLLKEEEDEKERGGGKWQMHDSGLLNITKVSFSDRGKYTCVASNIYGTVNNTVTLRVIFTSGDMGVYYMVVCLVAFTIVMVLNITRLCMMSSHLKKTEKAINEFFRTEGAEKLQKAFEIAKRIPIITSAKTLELAKVTQFKTMEFARYIEELARSVPLPPLIMNCRTIMEEIMEVVGLEEQGQNFVRHTPEGQEAADRDEVYTIPNSLKRSDSPAADSDASSLHEQPQQIAIKVSVHPQSKKEHADDQEGGQFEVKDVEETELSAEHSPETAEPSTDVTSTELTSEEPTPVEVPDKVLPPAYLEATEPAVTHDKNTCIIYESHV
+>DECOY_sp|O75121|MFA3L_HUMAN Microfibrillar-associated protein 3-like OS=Homo sapiens OX=9606 GN=MFAP3L PE=1 SV=3
+VHSEYIICTNKDHTVAPETAELYAPPLVKDPVEVPTPEESTLETSTVDTSPEATEPSHEASLETEEVDKVEFQGGEQDDAHEKKSQPHVSVKIAIQQPQEHLSSADSDAAPSDSRKLSNPITYVEDRDAAEQGEPTHRVFNQGQEELGVVEMIEEMITRCNMILPPLPVSRALEEIYRAFEMTKFQTVKALELTKASTIIPIRKAIEFAKQLKEAGETRFFENIAKETKKLHSSMMCLRTINLVMVITFAVLCVVMYYVGMDGSTFIVRLTVTNNVTGYINSAVCTYKGRDSFSVKTINLLGSDHMQWKGGGREKEDEEEKLLKGISNYWKFQPDPIGYVSCNILASNGEKVIIHDTRAIIVPVSGLVVNTGNLTSNTVSKATALTSVLILFPVSPLFCVTLHSKLRDM
+>sp|P55082|MFAP3_HUMAN Microfibril-associated glycoprotein 3 OS=Homo sapiens OX=9606 GN=MFAP3 PE=2 SV=1
+MKLHCCLFTLVASIIVPAAFVLEDVDFDQMVSLEANRSSYNASFPSSFELSASSHSDDDVIIAKEGTSVSIECLLTASHYEDVHWHNSKGQQLDGRSRGGKWLVSDNFLNITNVAFDDRGLYTCFVTSPIRASYSVTLRVIFTSGDMSVYYMIVCLIAFTITLILNVTRLCMMSSHLRKTEKAINEFFRTEGAEKLQKAFEIAKRIPIITSAKTLELAKVTQFKTMEFARYIEELARSVPLPPLILNCRAFVEEMFEAVRVDDPDDLGERIKERPALNAQGGIYVINPEMGRSNSPGGDSDDGSLNEQGQEIAVQVSVHLQSETKSIDTESQGSSHFSPPDDIGSAESNCNYKDGAYENCQL
+>DECOY_sp|P55082|MFAP3_HUMAN Microfibril-associated glycoprotein 3 OS=Homo sapiens OX=9606 GN=MFAP3 PE=2 SV=1
+LQCNEYAGDKYNCNSEASGIDDPPSFHSSGQSETDISKTESQLHVSVQVAIEQGQENLSGDDSDGGPSNSRGMEPNIVYIGGQANLAPREKIREGLDDPDDVRVAEFMEEVFARCNLILPPLPVSRALEEIYRAFEMTKFQTVKALELTKASTIIPIRKAIEFAKQLKEAGETRFFENIAKETKRLHSSMMCLRTVNLILTITFAILCVIMYYVSMDGSTFIVRLTVSYSARIPSTVFCTYLGRDDFAVNTINLFNDSVLWKGGRSRGDLQQGKSNHWHVDEYHSATLLCEISVSTGEKAIIVDDDSHSSASLEFSSPFSANYSSRNAELSVMQDFDVDELVFAAPVIISAVLTFLCCHLKM
+>sp|Q8N468|MFD4A_HUMAN Major facilitator superfamily domain-containing protein 4A OS=Homo sapiens OX=9606 GN=MFSD4A PE=2 SV=3
+MGCDGRVSGLLRRNLQPTLTYWSVFFSFGLCIAFLGPTLLDLRCQTHSSLPQISWVFFSQQLCLLLGSALGGVFKRTLAQSLWALFTSSLAISLVFAVIPFCRDVKVLASVMALAGLAMGCIDTVANMQLVRMYQKDSAVFLQVLHFFVGFGALLSPLIADPFLSEANCLPANSTANTTSRGHLFHVSRVLGQHHVDAKPWSNQTFPGLTPKDGAGTRVSYAFWIMALINLPVPMAVLMLLSKERLLTCCPQRRPLLLSADELALETQPPEKEDASSLPPKFQSHLGHEDLFSCCQRKNLRGAPYSFFAIHITGALVLFMTDGLTGAYSAFVYSYAVEKPLSVGHKVAGYLPSLFWGFITLGRLLSIPISSRMKPATMVFINVVGVVVTFLVLLIFSYNVVFLFVGTASLGLFLSSTFPSMLAYTEDSLQYKGCATTVLVTGAGVGEMVLQMLVGSIFQAQGSYSFLVCGVIFGCLAFTFYILLLFFHRMHPGLPSVPTQDRSIGMENSECYQR
+>DECOY_sp|Q8N468|MFD4A_HUMAN Major facilitator superfamily domain-containing protein 4A OS=Homo sapiens OX=9606 GN=MFSD4A PE=2 SV=3
+RQYCESNEMGISRDQTPVSPLGPHMRHFFLLLIYFTFALCGFIVGCVLFSYSGQAQFISGVLMQLVMEGVGAGTVLVTTACGKYQLSDETYALMSPFTSSLFLGLSATGVFLFVVNYSFILLVLFTVVVGVVNIFVMTAPKMRSSIPISLLRGLTIFGWFLSPLYGAVKHGVSLPKEVAYSYVFASYAGTLGDTMFLVLAGTIHIAFFSYPAGRLNKRQCCSFLDEHGLHSQFKPPLSSADEKEPPQTELALEDASLLLPRRQPCCTLLREKSLLMLVAMPVPLNILAMIWFAYSVRTGAGDKPTLGPFTQNSWPKADVHHQGLVRSVHFLHGRSTTNATSNAPLCNAESLFPDAILPSLLAGFGVFFHLVQLFVASDKQYMRVLQMNAVTDICGMALGALAMVSALVKVDRCFPIVAFVLSIALSSTFLAWLSQALTRKFVGGLASGLLLCLQQSFFVWSIQPLSSHTQCRLDLLTPGLFAICLGFSFFVSWYTLTPQLNRRLLGSVRGDCGM
+>sp|Q9GZY8|MFF_HUMAN Mitochondrial fission factor OS=Homo sapiens OX=9606 GN=MFF PE=1 SV=1
+MSKGTSSDTSLGRVSRAAFPSPTAAEMAEISRIQYEMEYTEGISQRMRVPEKLKVAPPNADLEQGFQEGVPNASVIMQVPERIVVAGNNEDVSFSRPADLDLIQSTPFKPLALKTPPRVLTLSERPLDFLDLERPPTTPQNEEIRAVGRLKRERSMSENAVRQNGQLVRNDSLWHRSDSAPRNKISRFQAPISAPEYTVTPSPQQARVCPPHMLPEDGANLSSARGILSLIQSSTRRAYQQILDVLDENRRPVLRGGSAAATSNPHHDNVRYGISNIDTTIEGTSDDLTVVDAASLRRQIIKLNRRLQLLEEENKERAKREMVMYSITVAFWLLNSWLWFRR
+>DECOY_sp|Q9GZY8|MFF_HUMAN Mitochondrial fission factor OS=Homo sapiens OX=9606 GN=MFF PE=1 SV=1
+RRFWLWSNLLWFAVTISYMVMERKAREKNEEELLQLRRNLKIIQRRLSAADVVTLDDSTGEITTDINSIGYRVNDHHPNSTAAASGGRLVPRRNEDLVDLIQQYARRTSSQILSLIGRASSLNAGDEPLMHPPCVRAQQPSPTVTYEPASIPAQFRSIKNRPASDSRHWLSDNRVLQGNQRVANESMSRERKLRGVARIEENQPTTPPRELDLFDLPRESLTLVRPPTKLALPKFPTSQILDLDAPRSFSVDENNGAVVIREPVQMIVSANPVGEQFGQELDANPPAVKLKEPVRMRQSIGETYEMEYQIRSIEAMEAATPSPFAARSVRGLSTDSSTGKSM
+>sp|Q8IWA4|MFN1_HUMAN Mitofusin-1 OS=Homo sapiens OX=9606 GN=MFN1 PE=1 SV=3
+MAEPVSPLKHFVLAKKAITAIFDQLLEFVTEGSHFVEATYKNPELDRIATEDDLVEMQGYKDKLSIIGEVLSRRHMKVAFFGRTSSGKSSVINAMLWDKVLPSGIGHITNCFLSVEGTDGDKAYLMTEGSDEKKSVKTVNQLAHALHMDKDLKAGCLVRVFWPKAKCALLRDDLVLVDSPGTDVTTELDSWIDKFCLDADVFVLVANSESTLMNTEKHFFHKVNERLSKPNIFILNNRWDASASEPEYMEDVRRQHMERCLHFLVEELKVVNALEAQNRIFFVSAKEVLSARKQKAQGMPESGVALAEGFHARLQEFQNFEQIFEECISQSAVKTKFEQHTIRAKQILATVKNIMDSVNLAAEDKRHYSVEEREDQIDRLDFIRNQMNLLTLDVKKKIKEVTEEVANKVSCAMTDEICRLSVLVDEFCSEFHPNPDVLKIYKSELNKHIEDGMGRNLADRCTDEVNALVLQTQQEIIENLKPLLPAGIQDKLHTLIPCKKFDLSYNLNYHKLCSDFQEDIVFRFSLGWSSLVHRFLGPRNAQRVLLGLSEPIFQLPRSLASTPTAPTTPATPDNASQEELMITLVTGLASVTSRTSMGIIIVGGVIWKTIGWKLLSVSLTMYGALYLYERLSWTTHAKERAFKQQFVNYATEKLRMIVSSTSANCSHQVKQQIATTFARLCQQVDITQKQLEEEIARLPKEIDQLEKIQNNSKLLRNKAVQLENELENFTKQFLPSSNEES
+>DECOY_sp|Q8IWA4|MFN1_HUMAN Mitofusin-1 OS=Homo sapiens OX=9606 GN=MFN1 PE=1 SV=3
+SEENSSPLFQKTFNELENELQVAKNRLLKSNNQIKELQDIEKPLRAIEEELQKQTIDVQQCLRAFTTAIQQKVQHSCNASTSSVIMRLKETAYNVFQQKFAREKAHTTWSLREYLYLAGYMTLSVSLLKWGITKWIVGGVIIIGMSTRSTVSALGTVLTIMLEEQSANDPTAPTTPATPTSALSRPLQFIPESLGLLVRQANRPGLFRHVLSSWGLSFRFVIDEQFDSCLKHYNLNYSLDFKKCPILTHLKDQIGAPLLPKLNEIIEQQTQLVLANVEDTCRDALNRGMGDEIHKNLESKYIKLVDPNPHFESCFEDVLVSLRCIEDTMACSVKNAVEETVEKIKKKVDLTLLNMQNRIFDLRDIQDEREEVSYHRKDEAALNVSDMINKVTALIQKARITHQEFKTKVASQSICEEFIQEFNQFEQLRAHFGEALAVGSEPMGQAKQKRASLVEKASVFFIRNQAELANVVKLEEVLFHLCREMHQRRVDEMYEPESASADWRNNLIFINPKSLRENVKHFFHKETNMLTSESNAVLVFVDADLCFKDIWSDLETTVDTGPSDVLVLDDRLLACKAKPWFVRVLCGAKLDKDMHLAHALQNVTKVSKKEDSGETMLYAKDGDTGEVSLFCNTIHGIGSPLVKDWLMANIVSSKGSSTRGFFAVKMHRRSLVEGIISLKDKYGQMEVLDDETAIRDLEPNKYTAEVFHSGETVFELLQDFIATIAKKALVFHKLPSVPEAM
+>sp|Q2M2H8|MGAL_HUMAN Probable maltase-glucoamylase 2 OS=Homo sapiens OX=9606 GN=MGAM2 PE=2 SV=3
+MARKLSVLEVLLIIFCLIVVTIDILLLLLVLEETSDTSFTPECPEIPQSERIDCTPDQEVTEDICRWQYKCCWSPVADANVPRCFFPWNWGYEASNGHTNTSTGFTAQLKRLPSPSLFGNDVATTLFTAEYQTSNRFHFKITDFNNIRYEVSHENINLVDGIADASNLSYYVEVTDKPFSIKIMRTSNRRVLLDTSIGPLQFAQQYLQLSFRLPSANVYGLGEHVHQQYRHNMTWKTWPIFTRDATPTEGMINLYGAHTFFLCLEDARGSSFGVFLMNSNAMEVTLQPAPAITYRTIGGILDFYVFLGNTPEQVVQEYLELVGRPFFPPYWSLGFQLSRRDYGGINKLKEVVSRNRLAEIPYDVQYSDIDYMDGKKDFTVDEVAYSGLPDFVKELHDNGQKYLIIMNPGISKNSNYEPYNNGSLKRVWILGSNGFAVGEGYPGPTVFPDYTNPVCTEWWTDQVAKFHDHLEFDGVWIEMNEVSSLLQASNNQCESNNLNFPPFLPRVLDHLLFARTLCMDTEFHGGLHYDIHSLYGHSMARTTNLALETIFMNNRSFILSRSTFAGSGKFAAHWLGDNAATWDDLRWSIPTILEFNLFGIPMVGANICGYNNNVTEELCRRWMQLGAFYPLPRNHNGPGFRDQDPAAFGVDSLLLKSSRHYLNIRYTLLPYLYTLFYHAHTRGETVARPLVHEFYQDSATWDVHEQFLWGPGLLITPVLYEGVDEVKAYIPDATWYDYETGVAISWRKQLVNMLLPGDKIGLHLRGGYIFPTQKPNTTTEASRRNSLGLIIALDYKREAKGELYWDDGVSKDAVTEKKYILYDFSVTSNHLQAKIINNNYMDTDNLMFTDITILGMDKQPANFIVLLNNVATSSPSVVYNASTKVVTITDLQGLVLGQEFSIRWNLPVSDLEKFNCYPDDPTASEESCRQRGCLWEDTSTPGVPTCYYDTIPNYVASDIQYLNTSITADLSLPMAPESAAAAASDSLSAKISFLHLKVIYHTATMLQVKIYDPTNKRYEVPVPLNTPPQPVGDPENRLYDVRIQNNPFGIQIQRKNSSTVIWDSQLPGFIFNDMFLSISTRLPSQYIYGFGETEHTTFRRNMNWNTWGMFAHDEPPAYKKNSYGVHPYYMALEEDGSAHGVLLLNSNAMDVTLQPTPALTYRTTGGILDFYIVLGPTPELVTQQYTELIGRPAMIPYWALGFHLSRYGYQNDAEISSLYDAMVAAQIPYDVQHVDIDYMNRKLDFTLSANFQNLSLLIEQMKKNGMRFILILDPAISGNETQYLPFIRGQENNVFIKWPDTNDIVWGKVWPDLPNVIVDGSLDHETQVKLYRAYVAFPDFFRNSTAAWWKKEIEELYANPREPEKSLKFDGLWIDMNEPSNFVDGSVRGCSNEMLNNPPYMPYLESRDKGLSSKTLCMESQQILPDSSPVEHYNVHNLYGWSQTRPTYEAVQEVTGQRGVIITRSTFPSSGRWGGHRLGNNTAAWDQLGKSIIGMMEFSLFGIPYTGADICGFFGDAEYEMCVRWMQLGAFYPFSRNHNNIGTRRQDPVAWNSTFEMLSRKVLETRYTLLPYLYTLMHKAHVEGSTVVRPLLHEFTDDRTTWDIDRQFMLGPAILISPVLETSTFEISAYFPRARWYDYSTGTSSTSTGQRKILKAPLDHINLHVRGGYILPWQEPAMNTHSSRQNFMGLIVALDDNGTAEGQVFWDDGQSIDTYENGNYFLANFIAAQNILQIQTIHNKYLSDSNPLKVGYIRIWGVNTYVTQVSFTYDNRQFMETNFKSEPYNQILTIQLTDKTINLEKLTEVTWIDGGPVLPTPTKTSTIPMSSHPSPSTTNATSSETITSSASANTTTGTTDTVPITTTSFPSTTSVTTNTTVPDTTSPFPTSTTNASTNATVPITTTPFPTSTIGVTTNATVPNTTAPFPTNASTASTNATVPITTTCFATSTIGVTTNATVPDTTAPFPTNTTTASTNATIPITTTPFATSTISVTTSTTVPDTTAPFPTSTTSASTNATPVPITTTLFATSTIGVTTGTTVPDTTAPFPTSTTSTSTSATVPITTTPSPTNTADANTSNTVPNTTMPSPTSSTTVSTIATVPISVTPSLTSTADATISTTVLIATTSSLTGTTDVSTSTTINNISTPVQTNTTNASTSTNVANITATSHTSTDDTVPNNTVPVTAIPSLANTGVDTTSNSFSIMTTSFSESTNAMNTTVIMATTSPTSTDVASTNNDASMTNFLLATMSAGNITSNSISITTTSFGNSVPFVTTPSPSTDATTTSNNTNPGMTTYYQTSPTIPTHTLTSIPSSITSILSMFPTSNTFTTDKITNFTTPTNANTIIFNTLDTKSTMVIDATVTTTSTKDNTMSPDTTVTSIDKFTTHITQFATPHSATTTTLALSHTSLAPTNLSNLGTMDITDADNSSSVTGNTTHISVSNLTTASVTITATGLDSQTPHMVINSVATYLPITATSATTDTTNITKYALNTTTPDSTVHTSATAPTYIANAINATQVP
+>DECOY_sp|Q2M2H8|MGAL_HUMAN Probable maltase-glucoamylase 2 OS=Homo sapiens OX=9606 GN=MGAM2 PE=2 SV=3
+PVQTANIANAIYTPATASTHVTSDPTTTNLAYKTINTTDTTASTATIPLYTAVSNIVMHPTQSDLGTATITVSATTLNSVSIHTTNGTVSSSNDADTIDMTGLNSLNTPALSTHSLALTTTTASHPTAFQTIHTTFKDISTVTTDPSMTNDKTSTTTVTADIVMTSKTDLTNFIITNANTPTTFNTIKDTTFTNSTPFMSLISTISSPISTLTHTPITPSTQYYTTMGPNTNNSTTTADTSPSPTTVFPVSNGFSTTTISISNSTINGASMTALLFNTMSADNNTSAVDTSTPSTTAMIVTTNMANTSESFSTTMISFSNSTTDVGTNALSPIATVPVTNNPVTDDTSTHSTATINAVNTSTSANTTNTQVPTSINNITTSTSVDTTGTLSSTTAILVTTSITADATSTLSPTVSIPVTAITSVTTSSTPSPMTTNPVTNSTNADATNTPSPTTTIPVTASTSTSTTSTPFPATTDPVTTGTTVGITSTAFLTTTIPVPTANTSASTTSTPFPATTDPVTTSTTVSITSTAFPTTTIPITANTSATTTNTPFPATTDPVTANTTVGITSTAFCTTTIPVTANTSATSANTPFPATTNPVTANTTVGITSTPFPTTTIPVTANTSANTTSTPFPSTTDPVTTNTTVSTTSPFSTTTIPVTDTTGTTTNASASSTITESSTANTTSPSPHSSMPITSTKTPTPLVPGGDIWTVETLKELNITKDTLQITLIQNYPESKFNTEMFQRNDYTFSVQTVYTNVGWIRIYGVKLPNSDSLYKNHITQIQLINQAAIFNALFYNGNEYTDISQGDDWFVQGEATGNDDLAVILGMFNQRSSHTNMAPEQWPLIYGGRVHLNIHDLPAKLIKRQGTSTSSTGTSYDYWRARPFYASIEFTSTELVPSILIAPGLMFQRDIDWTTRDDTFEHLLPRVVTSGEVHAKHMLTYLYPLLTYRTELVKRSLMEFTSNWAVPDQRRTGINNHNRSFPYFAGLQMWRVCMEYEADGFFGCIDAGTYPIGFLSFEMMGIISKGLQDWAATNNGLRHGGWRGSSPFTSRTIIVGRQGTVEQVAEYTPRTQSWGYLNHVNYHEVPSSDPLIQQSEMCLTKSSLGKDRSELYPMYPPNNLMENSCGRVSGDVFNSPENMDIWLGDFKLSKEPERPNAYLEEIEKKWWAATSNRFFDPFAVYARYLKVQTEHDLSGDVIVNPLDPWVKGWVIDNTDPWKIFVNNEQGRIFPLYQTENGSIAPDLILIFRMGNKKMQEILLSLNQFNASLTFDLKRNMYDIDVHQVDYPIQAAVMADYLSSIEADNQYGYRSLHFGLAWYPIMAPRGILETYQQTVLEPTPGLVIYFDLIGGTTRYTLAPTPQLTVDMANSNLLLVGHASGDEELAMYYPHVGYSNKKYAPPEDHAFMGWTNWNMNRRFTTHETEGFGYIYQSPLRTSISLFMDNFIFGPLQSDWIVTSSNKRQIQIGFPNNQIRVDYLRNEPDGVPQPPTNLPVPVEYRKNTPDYIKVQLMTATHYIVKLHLFSIKASLSDSAAAAASEPAMPLSLDATISTNLYQIDSAVYNPITDYYCTPVGPTSTDEWLCGRQRCSEESATPDDPYCNFKELDSVPLNWRISFEQGLVLGQLDTITVVKTSANYVVSPSSTAVNNLLVIFNAPQKDMGLITIDTFMLNDTDMYNNNIIKAQLHNSTVSFDYLIYKKETVADKSVGDDWYLEGKAERKYDLAIILGLSNRRSAETTTNPKQTPFIYGGRLHLGIKDGPLLMNVLQKRWSIAVGTEYDYWTADPIYAKVEDVGEYLVPTILLGPGWLFQEHVDWTASDQYFEHVLPRAVTEGRTHAHYFLTYLYPLLTYRINLYHRSSKLLLSDVGFAAPDQDRFGPGNHNRPLPYFAGLQMWRRCLEETVNNNYGCINAGVMPIGFLNFELITPISWRLDDWTAANDGLWHAAFKGSGAFTSRSLIFSRNNMFITELALNTTRAMSHGYLSHIDYHLGGHFETDMCLTRAFLLHDLVRPLFPPFNLNNSECQNNSAQLLSSVENMEIWVGDFELHDHFKAVQDTWWETCVPNTYDPFVTPGPYGEGVAFGNSGLIWVRKLSGNNYPEYNSNKSIGPNMIILYKQGNDHLEKVFDPLGSYAVEDVTFDKKGDMYDIDSYQVDYPIEALRNRSVVEKLKNIGGYDRRSLQFGLSWYPPFFPRGVLELYEQVVQEPTNGLFVYFDLIGGITRYTIAPAPQLTVEMANSNMLFVGFSSGRADELCLFFTHAGYLNIMGETPTADRTFIPWTKWTMNHRYQQHVHEGLGYVNASPLRFSLQLYQQAFQLPGISTDLLVRRNSTRMIKISFPKDTVEVYYSLNSADAIGDVLNINEHSVEYRINNFDTIKFHFRNSTQYEATFLTTAVDNGFLSPSPLRKLQATFGTSTNTHGNSAEYGWNWPFFCRPVNADAVPSWCCKYQWRCIDETVEQDPTCDIRESQPIEPCEPTFSTDSTEELVLLLLLIDITVVILCFIILLVELVSLKRAM
+>sp|Q10469|MGAT2_HUMAN Alpha-1,6-mannosyl-glycoprotein 2-beta-N-acetylglucosaminyltransferase OS=Homo sapiens OX=9606 GN=MGAT2 PE=1 SV=1
+MRFRIYKRKVLILTLVVAACGFVLWSSNGRQRKNEALAPPLLDAEPARGAGGRGGDHPSVAVGIRRVSNVSAASLVPAVPQPEADNLTLRYRSLVYQLNFDQTLRNVDKAGTWAPRELVLVVQVHNRPEYLRLLLDSLRKAQGIDNVLVIFSHDFWSTEINQLIAGVNFCPVLQVFFPFSIQLYPNEFPGSDPRDCPRDLPKNAALKLGCINAEYPDSFGHYREAKFSQTKHHWWWKLHFVWERVKILRDYAGLILFLEEDHYLAPDFYHVFKKMWKLKQQECPECDVLSLGTYSASRSFYGMADKVDVKTWKSTEHNMGLALTRNAYQKLIECTDTFCTYDDYNWDWTLQYLTVSCLPKFWKVLVPQIPRIFHAGDCGMHHKKTCRPSTQSAQIESLLNNNKQYMFPETLTISEKFTVVAISPPRKNGGWGDIRDHELCKSYRRLQ
+>DECOY_sp|Q10469|MGAT2_HUMAN Alpha-1,6-mannosyl-glycoprotein 2-beta-N-acetylglucosaminyltransferase OS=Homo sapiens OX=9606 GN=MGAT2 PE=1 SV=1
+QLRRYSKCLEHDRIDGWGGNKRPPSIAVVTFKESITLTEPFMYQKNNNLLSEIQASQTSPRCTKKHHMGCDGAHFIRPIQPVLVKWFKPLCSVTLYQLTWDWNYDDYTCFTDTCEILKQYANRTLALGMNHETSKWTKVDVKDAMGYFSRSASYTGLSLVDCEPCEQQKLKWMKKFVHYFDPALYHDEELFLILGAYDRLIKVREWVFHLKWWWHHKTQSFKAERYHGFSDPYEANICGLKLAANKPLDRPCDRPDSGPFENPYLQISFPFFVQLVPCFNVGAILQNIETSWFDHSFIVLVNDIGQAKRLSDLLLRLYEPRNHVQVVLVLERPAWTGAKDVNRLTQDFNLQYVLSRYRLTLNDAEPQPVAPVLSAASVNSVRRIGVAVSPHDGGRGGAGRAPEADLLPPALAENKRQRGNSSWLVFGCAAVVLTLILVKRKYIRFRM
+>sp|Q09327|MGAT3_HUMAN Beta-1,4-mannosyl-glycoprotein 4-beta-N-acetylglucosaminyltransferase OS=Homo sapiens OX=9606 GN=MGAT3 PE=2 SV=3
+MKMRRYKLFLMFCMAGLCLISFLHFFKTLSYVTFPRELASLSPNLVSSFFWNNAPVTPQASPEPGGPDLLRTPLYSHSPLLQPLPPSKAAEELHRVDLVLPEDTTEYFVRTKAGGVCFKPGTKMLERPPPGRPEEKPEGANGSSARRPPRYLLSARERTGGRGARRKWVECVCLPGWHGPSCGVPTVVQYSNLPTKERLVPREVPRRVINAINVNHEFDLLDVRFHELGDVVDAFVVCESNFTAYGEPRPLKFREMLTNGTFEYIRHKVLYVFLDHFPPGGRQDGWIADDYLRTFLTQDGVSRLRNLRPDDVFIIDDADEIPARDGVLFLKLYDGWTEPFAFHMRKSLYGFFWKQPGTLEVVSGCTVDMLQAVYGLDGIRLRRRQYYTMPNFRQYENRTGHILVQWSLGSPLHFAGWHCSWCFTPEGIYFKLVSAQNGDFPRWGDYEDKRDLNYIRGLIRTGGWFDGTQQEYPPADPSEHMYAPKYLLKNYDRFHYLLDNPYQEPRSTAAGGWRHRGPEGRPPARGKLDEAEV
+>DECOY_sp|Q09327|MGAT3_HUMAN Beta-1,4-mannosyl-glycoprotein 4-beta-N-acetylglucosaminyltransferase OS=Homo sapiens OX=9606 GN=MGAT3 PE=2 SV=3
+VEAEDLKGRAPPRGEPGRHRWGGAATSRPEQYPNDLLYHFRDYNKLLYKPAYMHESPDAPPYEQQTGDFWGGTRILGRIYNLDRKDEYDGWRPFDGNQASVLKFYIGEPTFCWSCHWGAFHLPSGLSWQVLIHGTRNEYQRFNPMTYYQRRRLRIGDLGYVAQLMDVTCGSVVELTGPQKWFFGYLSKRMHFAFPETWGDYLKLFLVGDRAPIEDADDIIFVDDPRLNRLRSVGDQTLFTRLYDDAIWGDQRGGPPFHDLFVYLVKHRIYEFTGNTLMERFKLPRPEGYATFNSECVVFADVVDGLEHFRVDLLDFEHNVNIANIVRRPVERPVLREKTPLNSYQVVTPVGCSPGHWGPLCVCEVWKRRAGRGGTRERASLLYRPPRRASSGNAGEPKEEPRGPPPRELMKTGPKFCVGGAKTRVFYETTDEPLVLDVRHLEEAAKSPPLPQLLPSHSYLPTRLLDPGGPEPSAQPTVPANNWFFSSVLNPSLSALERPFTVYSLTKFFHLFSILCLGAMCFMLFLKYRRMKM
+>sp|P10620|MGST1_HUMAN Microsomal glutathione S-transferase 1 OS=Homo sapiens OX=9606 GN=MGST1 PE=1 SV=1
+MVDLTQVMDDEVFMAFASYATIILSKMMLMSTATAFYRLTRKVFANPEDCVAFGKGENAKKYLRTDDRVERVRRAHLNDLENIIPFLGIGLLYSLSGPDPSTAILHFRLFVGARIYHTIAYLTPLPQPNRALSFFVGYGVTLSMAYRLLKSKLYL
+>DECOY_sp|P10620|MGST1_HUMAN Microsomal glutathione S-transferase 1 OS=Homo sapiens OX=9606 GN=MGST1 PE=1 SV=1
+LYLKSKLLRYAMSLTVGYGVFFSLARNPQPLPTLYAITHYIRAGVFLRFHLIATSPDPGSLSYLLGIGLFPIINELDNLHARRVREVRDDTRLYKKANEGKGFAVCDEPNAFVKRTLRYFATATSMLMMKSLIITAYSAFAMFVEDDMVQTLDVM
+>sp|O14880|MGST3_HUMAN Microsomal glutathione S-transferase 3 OS=Homo sapiens OX=9606 GN=MGST3 PE=1 SV=1
+MAVLSKEYGFVLLTGAASFIMVAHLAINVSKARKKYKVEYPIMYSTDPENGHIFNCIQRAHQNTLEVYPPFLFFLAVGGVYHPRIASGLGLAWIVGRVLYAYGYYTGEPSKRSRGALGSIALLGLVGTTVCSAFQHLGWVKSGLGSGPKCCH
+>DECOY_sp|O14880|MGST3_HUMAN Microsomal glutathione S-transferase 3 OS=Homo sapiens OX=9606 GN=MGST3 PE=1 SV=1
+HCCKPGSGLGSKVWGLHQFASCVTTGVLGLLAISGLAGRSRKSPEGTYYGYAYLVRGVIWALGLGSAIRPHYVGGVALFFLFPPYVELTNQHARQICNFIHGNEPDTSYMIPYEVKYKKRAKSVNIALHAVMIFSAAGTLLVFGYEKSLVAM
+>sp|Q9UBM8|MGT4C_HUMAN Alpha-1,3-mannosyl-glycoprotein 4-beta-N-acetylglucosaminyltransferase C OS=Homo sapiens OX=9606 GN=MGAT4C PE=2 SV=2
+MFKFHQMKHIFEILDKMRCLRKRSTVSFLGVLVIFLLFMNLYIEDSYVLEGDKQLIRETSTHQLNSERYVHTFKDLSNFSGAINVTYRYLAATPLQRKRYLTIGLSSVKRKKGNYLLETIKSIFEQSSYEELKEISVVVHLADFNSSWRDAMVQDITQKFAHHIIAGRLMVIHAPEEYYPILDGLKRNYNDPEDRVKFRSKQNVDYAFLLNFCANTSDYYVMLEDDVRCSKNFLTAIKKVIASLEGTYWVTLEFSKLGYIGKLYHSHDLPRLAHFLLMFYQEMPCDWLLTHFRGLLAQKNVIRFKPSLFQHMGYYSSYKGTENKLKDDDFEEESFDIPDNPPASLYTNMNVFENYEASKAYSSVDEYFWGKPPSTGDVFVIVFENPIIIKKIKVNTGTEDRQNDILHHGALDVGENVMPSKQRRQCSTYLRLGEFKNGNFEMSGVNQKIPFDIHCMRIYVTKTQKEWLIIRSISIWTS
+>DECOY_sp|Q9UBM8|MGT4C_HUMAN Alpha-1,3-mannosyl-glycoprotein 4-beta-N-acetylglucosaminyltransferase C OS=Homo sapiens OX=9606 GN=MGAT4C PE=2 SV=2
+STWISISRIILWEKQTKTVYIRMCHIDFPIKQNVGSMEFNGNKFEGLRLYTSCQRRQKSPMVNEGVDLAGHHLIDNQRDETGTNVKIKKIIIPNEFVIVFVDGTSPPKGWFYEDVSSYAKSAEYNEFVNMNTYLSAPPNDPIDFSEEEFDDDKLKNETGKYSSYYGMHQFLSPKFRIVNKQALLGRFHTLLWDCPMEQYFMLLFHALRPLDHSHYLKGIYGLKSFELTVWYTGELSAIVKKIATLFNKSCRVDDELMVYYDSTNACFNLLFAYDVNQKSRFKVRDEPDNYNRKLGDLIPYYEEPAHIVMLRGAIIHHAFKQTIDQVMADRWSSNFDALHVVVSIEKLEEYSSQEFISKITELLYNGKKRKVSSLGITLYRKRQLPTAALYRYTVNIAGSFNSLDKFTHVYRESNLQHTSTERILQKDGELVYSDEIYLNMFLLFIVLVGLFSVTSRKRLCRMKDLIEFIHKMQHFKFM
+>sp|Q7RTP6|MICA3_HUMAN [F-actin]-monooxygenase MICAL3 OS=Homo sapiens OX=9606 GN=MICAL3 PE=1 SV=2
+MEERKHETMNPAHVLFDRFVQATTCKGTLKAFQELCDHLELKPKDYRSFYHKLKSKLNYWKAKALWAKLDKRGSHKDYKKGKACTNTKCLIIGAGPCGLRTAIDLSLLGAKVVVIEKRDAFSRNNVLHLWPFTIHDLRGLGAKKFYGKFCAGAIDHISIRQLQLILLKVALILGIEIHVNVEFQGLIQPPEDQENERIGWRALVHPKTHPVSEYEFEVIIGGDGRRNTLEGFRRKEFRGKLAIAITANFINRNTTAEAKVEEISGVAFIFNQKFFQELREATGIDLENIVYYKDDTHYFVMTAKKQSLLDKGVILHDYADTELLLSRENVDQEALLSYAREAADFSTQQQLPSLDFAINHYGQPDVAMFDFTCMYASENAALVREQNGHQLLVALVGDSLLEPFWPMGTGIARGFLAAMDSAWMVRSWSLGTSPLEVLAERESIYRLLPQTTPENVSKNFSQYSIDPVTRYPNINVNFLRPSQVRHLYDTGETKDIHLEMESLVNSRTTPKLTRNESVARSSKLLGWCQRQTDGYAGVNVTDLTMSWKSGLALCAIIHRYRPDLIDFDSLDEQNVEKNNQLAFDIAEKELGISPIMTGKEMASVGEPDKLSMVMYLTQFYEMFKDSLPSSDTLDLNAEEKAVLIASTRSPISFLSKLGQTISRKRSPKDKKEKDLDGAGKRRKTSQSEEEEAPRGHRGERPTLVSTLTDRRMDVAVGNQNKVKYMATQLLAKFEENAPAQSIGIRRQGSMKKEFPQNLGGSDTCYFCQKRVYVMERLSAEGKFFHRSCFKCEYCATTLRLSAYAYDIEDGKFYCKPHYCYRLSGYAQRKRPAVAPLSGKEAKGPLQDGATTDANGRANAVASSTERTPGSGVNGLEEPSIAKRLRGTPERIELENYRLSLRQAEALQEVPEETQAEHNLSSVLDTGAEEDVASSSSESEMEEEGEEEEEEPRLPPSDLGGVPWKEAVRIHALLKGKSEEELEASKSFGPGNEEEEEEEEEYEEEEEEDYDEEEEESSEAGNQRLQQVMHAADPLEIQADVHWTHIREREEEERMAPASESSASGAPLDENDLEEDVDSEPAEIEGEAAEDGDPGDTGAELDDDQHWSDSPSDADRELRLPCPAEGEAELELRVSEDEEKLPASPKHQERGPSQATSPIRSPQESALLFIPVHSPSTEGPQLPPVPAATQEKSPEERLFPEPLLPKEKPKADAPSDLKAVHSPIRSQPVTLPEARTPVSPGSPQPQPPVAASTPPPSPLPICSQPQPSTEATVPSPTQSPIRFQPAPAKTSTPLAPLPVQSQSDTKDRLGSPLAVDEALRRSDLVEEFWMKSAEIRRSLGLTPVDRSKGPEPSFPTPAFRPVSLKSYSVEKSPQDEGLHLLKPLSIPKRLGLPKPEGEPLSLPTPRSPSDRELRSAQEERRELSSSSGLGLHGSSSNMKTLGSQSFNTSDSAMLTPPSSPPPPPPPGEEPATLRRKLREAEPNASVVPPPLPATWMRPPREPAQPPREEVRKSFVESVEEIPFADDVEDTYDDKTEDSSLQEKFFTPPSCWPRPEKPRHPPLAKENGRLPALEGTLQPQKRGLPLVSAEAKELAEERMRAREKSVKSQALRDAMARQLSRMQQMELASGAPRPRKASSAPSQGKERRPDSPTRPTLRGSEEPTLKHEATSEEVLSPPSDSGGPDGSFTSSEGSSGKSKKRSSLFSPRRNKKEKKSKGEGRPPEKPSSNLLEEAAAKPKSLWKSVFSGYKKDKKKKADDKSCPSTPSSGATVDSGKHRVLPVVRAELQLRRQLSFSEDSDLSSDDVLEKSSQKSRREPRTYTEEELNAKLTRRVQKAARRQAKQEELKRLHRAQIIQRQLQQVEERQRRLEERGVAVEKALRGEAGMGKKDDPKLMQEWFKLVQEKNAMVRYESELMIFARELELEDRQSRLQQELRERMAVEDHLKTEEELSEEKQILNEMLEVVEQRDSLVALLEEQRLREREEDKDLEAAMLSKGFSLNWS
+>DECOY_sp|Q7RTP6|MICA3_HUMAN [F-actin]-monooxygenase MICAL3 OS=Homo sapiens OX=9606 GN=MICAL3 PE=1 SV=2
+SWNLSFGKSLMAAELDKDEERERLRQEELLAVLSDRQEVVELMENLIQKEESLEEETKLHDEVAMRERLEQQLRSQRDELELERAFIMLESEYRVMANKEQVLKFWEQMLKPDDKKGMGAEGRLAKEVAVGREELRRQREEVQQLQRQIIQARHLRKLEEQKAQRRAAKQVRRTLKANLEEETYTRPERRSKQSSKELVDDSSLDSDESFSLQRRLQLEARVVPLVRHKGSDVTAGSSPTSPCSKDDAKKKKDKKYGSFVSKWLSKPKAAAEELLNSSPKEPPRGEGKSKKEKKNRRPSFLSSRKKSKGSSGESSTFSGDPGGSDSPPSLVEESTAEHKLTPEESGRLTPRTPSDPRREKGQSPASSAKRPRPAGSALEMQQMRSLQRAMADRLAQSKVSKERARMREEALEKAEASVLPLGRKQPQLTGELAPLRGNEKALPPHRPKEPRPWCSPPTFFKEQLSSDETKDDYTDEVDDAFPIEEVSEVFSKRVEERPPQAPERPPRMWTAPLPPPVVSANPEAERLKRRLTAPEEGPPPPPPPSSPPTLMASDSTNFSQSGLTKMNSSSGHLGLGSSSSLERREEQASRLERDSPSRPTPLSLPEGEPKPLGLRKPISLPKLLHLGEDQPSKEVSYSKLSVPRFAPTPFSPEPGKSRDVPTLGLSRRIEASKMWFEEVLDSRRLAEDVALPSGLRDKTDSQSQVPLPALPTSTKAPAPQFRIPSQTPSPVTAETSPQPQSCIPLPSPPPTSAAVPPQPQPSGPSVPTRAEPLTVPQSRIPSHVAKLDSPADAKPKEKPLLPEPFLREEPSKEQTAAPVPPLQPGETSPSHVPIFLLASEQPSRIPSTAQSPGREQHKPSAPLKEEDESVRLELEAEGEAPCPLRLERDADSPSDSWHQDDDLEAGTDGPDGDEAAEGEIEAPESDVDEELDNEDLPAGSASSESAPAMREEEERERIHTWHVDAQIELPDAAHMVQQLRQNGAESSEEEEEDYDEEEEEEYEEEEEEEEENGPGFSKSAELEEESKGKLLAHIRVAEKWPVGGLDSPPLRPEEEEEEGEEEMESESSSSAVDEEAGTDLVSSLNHEAQTEEPVEQLAEAQRLSLRYNELEIREPTGRLRKAISPEELGNVGSGPTRETSSAVANARGNADTTAGDQLPGKAEKGSLPAVAPRKRQAYGSLRYCYHPKCYFKGDEIDYAYASLRLTTACYECKFCSRHFFKGEASLREMVYVRKQCFYCTDSGGLNQPFEKKMSGQRRIGISQAPANEEFKALLQTAMYKVKNQNGVAVDMRRDTLTSVLTPREGRHGRPAEEEESQSTKRRKGAGDLDKEKKDKPSRKRSITQGLKSLFSIPSRTSAILVAKEEANLDLTDSSPLSDKFMEYFQTLYMVMSLKDPEGVSAMEKGTMIPSIGLEKEAIDFALQNNKEVNQEDLSDFDILDPRYRHIIACLALGSKWSMTLDTVNVGAYGDTQRQCWGLLKSSRAVSENRTLKPTTRSNVLSEMELHIDKTEGTDYLHRVQSPRLFNVNINPYRTVPDISYQSFNKSVNEPTTQPLLRYISEREALVELPSTGLSWSRVMWASDMAALFGRAIGTGMPWFPELLSDGVLAVLLQHGNQERVLAANESAYMCTFDFMAVDPQGYHNIAFDLSPLQQQTSFDAAERAYSLLAEQDVNERSLLLETDAYDHLIVGKDLLSQKKATMVFYHTDDKYYVINELDIGTAERLEQFFKQNFIFAVGSIEEVKAEATTNRNIFNATIAIALKGRFEKRRFGELTNRRGDGGIIVEFEYESVPHTKPHVLARWGIRENEQDEPPQILGQFEVNVHIEIGLILAVKLLILQLQRISIHDIAGACFKGYFKKAGLGRLDHITFPWLHLVNNRSFADRKEIVVVKAGLLSLDIATRLGCPGAGIILCKTNTCAKGKKYDKHSGRKDLKAWLAKAKWYNLKSKLKHYFSRYDKPKLELHDCLEQFAKLTGKCTTAQVFRDFLVHAPNMTEHKREEM
+>sp|Q6ZW33|MICLK_HUMAN MICAL C-terminal-like protein OS=Homo sapiens OX=9606 GN=MICALCL PE=1 SV=3
+MSPPKDPSPSLPLPSSSSHSSSPPSSSSTSVSGNAPDGSSPPQMTASEPLSQVSRGHPSPPTPNFRRRAVAQGAPREIPLYLPHHPKPEWAEYCLVSPGEDGLSDPAEMTSDECQPAEAPLGDIGSNHRDPHPIWGKDRSWTGQELSPLAGEDREKGSTGARKEEEGGPVLVKEKLGLKKLVLTQEQKTMLLDWNDSIPESVHLKAGERISQKSAENGRGGRVLKPVRPLLLPRAAGEPLPTQRGAQEKMGTPAEQAQGERNVPPPKSPLRLIANAIRRSLEPLLSNSEGGKKAWAKQESKTLPAQACTRSFSLRKTNSNKDGDQHSPGRNQSSAFSPPDPALRTHSLPNRPSKVFPALRSPPCSKIEDVPTLLEKVSLQENFPDASKPPKKRISLFSSLRLKDKSFESFLQESRQRKDIRDLFGSPKRKVLPEDSAQALEKLLQPFKSTSLRQAAPPPPPPPPPPPPPPTAGGADSKNFPLRAQVTEASSSASSTSSSSADEEFDPQLSLQLKEKKTLRRRKKLEKAMKQLVKQEELKRLYKAQAIQRQLEEVEERQRASEIQGVRLEKALRGEADSGTQDEAQLLQEWFKLVLEKNKLMRYESELLIMAQELELEDHQSRLEQKLREKMLKEESQKDEKDLNEEQEVFTELMQVIEQRDKLVDSLEEQRIREKAEDQHFESFVFSRGCQLSRT
+>DECOY_sp|Q6ZW33|MICLK_HUMAN MICAL C-terminal-like protein OS=Homo sapiens OX=9606 GN=MICALCL PE=1 SV=3
+TRSLQCGRSFVFSEFHQDEAKERIRQEELSDVLKDRQEIVQMLETFVEQEENLDKEDKQSEEKLMKERLKQELRSQHDELELEQAMILLESEYRMLKNKELVLKFWEQLLQAEDQTGSDAEGRLAKELRVGQIESARQREEVEELQRQIAQAKYLRKLEEQKVLQKMAKELKKRRRLTKKEKLQLSLQPDFEEDASSSSTSSASSSAETVQARLPFNKSDAGGATPPPPPPPPPPPPPPAAQRLSTSKFPQLLKELAQASDEPLVKRKPSGFLDRIDKRQRSEQLFSEFSKDKLRLSSFLSIRKKPPKSADPFNEQLSVKELLTPVDEIKSCPPSRLAPFVKSPRNPLSHTRLAPDPPSFASSQNRGPSHQDGDKNSNTKRLSFSRTCAQAPLTKSEQKAWAKKGGESNSLLPELSRRIANAILRLPSKPPPVNREGQAQEAPTGMKEQAGRQTPLPEGAARPLLLPRVPKLVRGGRGNEASKQSIREGAKLHVSEPISDNWDLLMTKQEQTLVLKKLGLKEKVLVPGGEEEKRAGTSGKERDEGALPSLEQGTWSRDKGWIPHPDRHNSGIDGLPAEAPQCEDSTMEAPDSLGDEGPSVLCYEAWEPKPHHPLYLPIERPAGQAVARRRFNPTPPSPHGRSVQSLPESATMQPPSSGDPANGSVSTSSSSPPSSSHSSSSPLPLSPSPDKPPSM
+>sp|Q9BRT3|MIEN1_HUMAN Migration and invasion enhancer 1 OS=Homo sapiens OX=9606 GN=MIEN1 PE=1 SV=1
+MSGEPGQTSVAPPPEEVEPGSGVRIVVEYCEPCGFEATYLELASAVKEQYPGIEIESRLGGTGAFEIEINGQLVFSKLENGGFPYEKDLIEAIRRASNGETLEKITNSRPPCVIL
+>DECOY_sp|Q9BRT3|MIEN1_HUMAN Migration and invasion enhancer 1 OS=Homo sapiens OX=9606 GN=MIEN1 PE=1 SV=1
+LIVCPPRSNTIKELTEGNSARRIAEILDKEYPFGGNELKSFVLQGNIEIEFAGTGGLRSEIEIGPYQEKVASALELYTAEFGCPECYEVVIRVGSGPEVEEPPPAVSTQGPEGSM
+>sp|Q7L4E1|MIGA2_HUMAN Mitoguardin 2 OS=Homo sapiens OX=9606 GN=MIGA2 PE=1 SV=1
+MAFRRAEGTSMIQALAMTVAEIPVFLYTTFGQSAFSQLRLTPGLRKVLFATALGTVALALAAHQLKRRRRRKKQVGPEMGGEQLGTVPLPILLARKVPSVKKGYSSRRVQSPSSKSNDTLSGISSIEPSKHSGSSHSVASMMAVNSSSPTAACSGLWDARGMEESLTTSDGNAESLYMQGMELFEEALQKWEQALSVGQRGDSGSTPMPRDGLRNPETASEPLSEPESQRKEFAEKLESLLHRAYHLQEEFGSTFPADSMLLDLERTLMLPLTEGSLRLRADDEDSLTSEDSFFSATELFESLQTGDYPIPLSRPAAAYEEALQLVKEGRVPCRTLRTELLGCYSDQDFLAKLHCVRQAFEGLLEDKSNQLFFGKVGRQMVTGLMTKAEKSPKGFLESYEEMLSYALRPETWATTRLELEGRGVVCMSFFDIVLDFILMDAFEDLENPPASVLAVLRNRWLSDSFKETALATACWSVLKAKRRLLMVPDGFISHFYSVSEHVSPVLAFGFLGPKPQLAEVCAFFKHQIVQYLRDMFDLDNVRYTSLPALADDILQLSRRRSEILLGYLGVPAASSAGVNGALPRENGPLGELQ
+>DECOY_sp|Q7L4E1|MIGA2_HUMAN Mitoguardin 2 OS=Homo sapiens OX=9606 GN=MIGA2 PE=1 SV=1
+QLEGLPGNERPLAGNVGASSAAPVGLYGLLIESRRRSLQLIDDALAPLSTYRVNDLDFMDRLYQVIQHKFFACVEALQPKPGLFGFALVPSVHESVSYFHSIFGDPVMLLRRKAKLVSWCATALATEKFSDSLWRNRLVALVSAPPNELDEFADMLIFDLVIDFFSMCVVGRGELELRTTAWTEPRLAYSLMEEYSELFGKPSKEAKTMLGTVMQRGVKGFFLQNSKDELLGEFAQRVCHLKALFDQDSYCGLLETRLTRCPVRGEKVLQLAEEYAAAPRSLPIPYDGTQLSEFLETASFFSDESTLSDEDDARLRLSGETLPLMLTRELDLLMSDAPFTSGFEEQLHYARHLLSELKEAFEKRQSEPESLPESATEPNRLGDRPMPTSGSDGRQGVSLAQEWKQLAEEFLEMGQMYLSEANGDSTTLSEEMGRADWLGSCAATPSSSNVAMMSAVSHSSGSHKSPEISSIGSLTDNSKSSPSQVRRSSYGKKVSPVKRALLIPLPVTGLQEGGMEPGVQKKRRRRRKLQHAALALAVTGLATAFLVKRLGPTLRLQSFASQGFTTYLFVPIEAVTMALAQIMSTGEARRFAM
+>sp|Q5JXC2|MIIP_HUMAN Migration and invasion-inhibitory protein OS=Homo sapiens OX=9606 GN=MIIP PE=1 SV=3
+MVEAEELAQLRLLNLELLRQLWVGQDAVRRSVARAASESSLESSSSYNSETPSTPETSSTSLSTSCPRGRSSVWGPPDACRGDLRDVARSGVASLPPAKCQHQESLGRPRPHSAPSLGTSSLRDPEPSGRLGDPGPQEAQTPRSILAQQSKLSKPRVTFSEESAVPKRSWRLRPYLGYDWIAGSLDTSSSITSQPEAFFSKLQEFRETNKEECICSHPEPQLPGLRESSGSGVEEDHECVYCYRVNRRLFPVPVDPGTPCRLCRTPRDQQGPGTLAQPAHVRVSIPLSILEPPHRYHIHRRKSFDASDTLALPRHCLLGWDIFPPKSEKSSAPRNLDLWSSVSAEAQHQKLSGTSSPFHPASPMQMLPPTPTWSVPQVPRPHVPRQKP
+>DECOY_sp|Q5JXC2|MIIP_HUMAN Migration and invasion-inhibitory protein OS=Homo sapiens OX=9606 GN=MIIP PE=1 SV=3
+PKQRPVHPRPVQPVSWTPTPPLMQMPSAPHFPSSTGSLKQHQAEASVSSWLDLNRPASSKESKPPFIDWGLLCHRPLALTDSADFSKRRHIHYRHPPELISLPISVRVHAPQALTGPGQQDRPTRCLRCPTGPDVPVPFLRRNVRYCYVCEHDEEVGSGSSERLGPLQPEPHSCICEEKNTERFEQLKSFFAEPQSTISSSTDLSGAIWDYGLYPRLRWSRKPVASEESFTVRPKSLKSQQALISRPTQAEQPGPDGLRGSPEPDRLSSTGLSPASHPRPRGLSEQHQCKAPPLSAVGSRAVDRLDGRCADPPGWVSSRGRPCSTSLSTSSTEPTSPTESNYSSSSELSSESAARAVSRRVADQGVWLQRLLELNLLRLQALEEAEVM
+>sp|Q7Z6M3|MILR1_HUMAN Allergin-1 OS=Homo sapiens OX=9606 GN=MILR1 PE=1 SV=2
+MWSHLNRLLFWSIFSSVTCRKAVLDCEAMKTNEFPSPCLDSKTKVVMKGQNVSMFCSHKNKSLQITYSLFRRKTHLGTQDGKGEPAIFNLSITEAHESGPYKCKAQVTSCSKYSRDFSFTIVDPVTSPVLNIMVIQTETDRHITLHCLSVNGSLPINYTFFENHVAISPAISKYDREPAEFNLTKKNPGEEEEYRCEAKNRLPNYATYSHPVTMPSTGGDSCPFCLKLLLPGLLLLLVVIILILAFWVLPKYKTRKAMRNNVPRDRGDTAMEVGIYANILEKQAKEESVPEVGSRPCVSTAQDEAKHSQELQYATPVFQEVAPREQEACDSYKSGYVYSELNF
+>DECOY_sp|Q7Z6M3|MILR1_HUMAN Allergin-1 OS=Homo sapiens OX=9606 GN=MILR1 PE=1 SV=2
+FNLESYVYGSKYSDCAEQERPAVEQFVPTAYQLEQSHKAEDQATSVCPRSGVEPVSEEKAQKELINAYIGVEMATDGRDRPVNNRMAKRTKYKPLVWFALILIIVVLLLLLGPLLLKLCFPCSDGGTSPMTVPHSYTAYNPLRNKAECRYEEEEGPNKKTLNFEAPERDYKSIAPSIAVHNEFFTYNIPLSGNVSLCHLTIHRDTETQIVMINLVPSTVPDVITFSFDRSYKSCSTVQAKCKYPGSEHAETISLNFIAPEGKGDQTGLHTKRRFLSYTIQLSKNKHSCFMSVNQGKMVVKTKSDLCPSPFENTKMAECDLVAKRCTVSSFISWFLLRNLHSWM
+>sp|Q8IXI1|MIRO2_HUMAN Mitochondrial Rho GTPase 2 OS=Homo sapiens OX=9606 GN=RHOT2 PE=1 SV=2
+MRRDVRILLLGEAQVGKTSLILSLVGEEFPEEVPPRAEEITIPADVTPEKVPTHIVDYSEAEQTDEELREEIHKANVVCVVYDVSEEATIEKIRTKWIPLVNGGTTQGPRVPIILVGNKSDLRSGSSMEAVLPIMSQFPEIETCVECSAKNLRNISELFYYAQKAVLHPTAPLYDPEAKQLRPACAQALTRIFRLSDQDLDQALSDEELNAFQKSCFGHPLAPQALEDVKTVVCRNVAGGVREDRLTLDGFLFLNTLFIQRGRHETTWTILRRFGYSDALELTADYLSPLIHVPPGCSTELNHLGYQFVQRVFEKHDQDRDGALSPVELQSLFSVFPAAPWGPELPRTVRTEAGRLPLHGYLCQWTLVTYLDVRSCLGHLGYLGYPTLCEQDQAHAITVTREKRLDQEKGQTQRSVLLCKVVGARGVGKSAFLQAFLGRGLGHQDTREQPPGYAIDTVQVNGQEKYLILCEVGTDGLLATSLDATCDVACLMFDGSDPKSFAHCASVYKHHYMDGQTPCLFVSSKADLPEGVAVSGPSPAEFCRKHRLPAPVPFSCAGPAEPSTTIFTQLATMAAFPHLVHAELHPSSFWLRGLLGVVGAAVAAVLSFSLYRVLVKSQ
+>DECOY_sp|Q8IXI1|MIRO2_HUMAN Mitochondrial Rho GTPase 2 OS=Homo sapiens OX=9606 GN=RHOT2 PE=1 SV=2
+QSKVLVRYLSFSLVAAVAAGVVGLLGRLWFSSPHLEAHVLHPFAAMTALQTFITTSPEAPGACSFPVPAPLRHKRCFEAPSPGSVAVGEPLDAKSSVFLCPTQGDMYHHKYVSACHAFSKPDSGDFMLCAVDCTADLSTALLGDTGVECLILYKEQGNVQVTDIAYGPPQERTDQHGLGRGLFAQLFASKGVGRAGVVKCLLVSRQTQGKEQDLRKERTVTIAHAQDQECLTPYGLYGLHGLCSRVDLYTVLTWQCLYGHLPLRGAETRVTRPLEPGWPAAPFVSFLSQLEVPSLAGDRDQDHKEFVRQVFQYGLHNLETSCGPPVHILPSLYDATLELADSYGFRRLITWTTEHRGRQIFLTNLFLFGDLTLRDERVGGAVNRCVVTKVDELAQPALPHGFCSKQFANLEEDSLAQDLDQDSLRFIRTLAQACAPRLQKAEPDYLPATPHLVAKQAYYFLESINRLNKASCEVCTEIEPFQSMIPLVAEMSSGSRLDSKNGVLIIPVRPGQTTGGNVLPIWKTRIKEITAEESVDYVVCVVNAKHIEERLEEDTQEAESYDVIHTPVKEPTVDAPITIEEARPPVEEPFEEGVLSLILSTKGVQAEGLLLIRVDRRM
+>sp|Q9H081|MIS12_HUMAN Protein MIS12 homolog OS=Homo sapiens OX=9606 GN=MIS12 PE=1 SV=1
+MSVDPMTYEAQFFGFTPQTCMLRIYIAFQDYLFEVMQAVEQVILKKLDGIPDCDISPVQIRKCTEKFLCFMKGHFDNLFSKMEQLFLQLILRIPSNILLPEDKCKETPYSEEDFQHLQKEIEQLQEKYKTELCTKQALLAELEEQKIVQAKLKQTLTFFDELHNVGRDHGTSDFRESLVSLVQNSRKLQNIRDNVEKESKRLKIS
+>DECOY_sp|Q9H081|MIS12_HUMAN Protein MIS12 homolog OS=Homo sapiens OX=9606 GN=MIS12 PE=1 SV=1
+SIKLRKSEKEVNDRINQLKRSNQVLSVLSERFDSTGHDRGVNHLEDFFTLTQKLKAQVIKQEELEALLAQKTCLETKYKEQLQEIEKQLHQFDEESYPTEKCKDEPLLINSPIRLILQLFLQEMKSFLNDFHGKMFCLFKETCKRIQVPSIDCDPIGDLKKLIVQEVAQMVEFLYDQFAIYIRLMCTQPTFGFFQAEYTMPDVSM
+>sp|O75030|MITF_HUMAN Microphthalmia-associated transcription factor OS=Homo sapiens OX=9606 GN=MITF PE=1 SV=2
+MQSESGIVPDFEVGEEFHEEPKTYYELKSQPLKSSSSAEHPGASKPPISSSSMTSRILLRQQLMREQMQEQERREQQQKLQAAQFMQQRVPVSQTPAINVSVPTTLPSATQVPMEVLKVQTHLENPTKYHIQQAQRQQVKQYLSTTLANKHANQVLSLPCPNQPGDHVMPPVPGSSAPNSPMAMLTLNSNCEKEGFYKFEEQNRAESECPGMNTHSRASCMQMDDVIDDIISLESSYNEEILGLMDPALQMANTLPVSGNLIDLYGNQGLPPPGLTISNSCPANLPNIKRELTACIFPTESEARALAKERQKKDNHNLIERRRRFNINDRIKELGTLIPKSNDPDMRWNKGTILKASVDYIRKLQREQQRAKELENRQKKLEHANRHLLLRIQELEMQARAHGLSLIPSTGLCSPDLVNRIIKQEPVLENCSQDLLQHHADLTCTTTLDLTDGTITFNNNLGTGTEANQAYSVPTKMGSKLEDILMDDTLSPVGVTDPLLSSVSPGASKTSSRRSSMSMEETEHTC
+>DECOY_sp|O75030|MITF_HUMAN Microphthalmia-associated transcription factor OS=Homo sapiens OX=9606 GN=MITF PE=1 SV=2
+CTHETEEMSMSSRRSSTKSAGPSVSSLLPDTVGVPSLTDDMLIDELKSGMKTPVSYAQNAETGTGLNNNFTITGDTLDLTTTCTLDAHHQLLDQSCNELVPEQKIIRNVLDPSCLGTSPILSLGHARAQMELEQIRLLLHRNAHELKKQRNELEKARQQERQLKRIYDVSAKLITGKNWRMDPDNSKPILTGLEKIRDNINFRRRREILNHNDKKQREKALARAESETPFICATLERKINPLNAPCSNSITLGPPPLGQNGYLDILNGSVPLTNAMQLAPDMLGLIEENYSSELSIIDDIVDDMQMCSARSHTNMGPCESEARNQEEFKYFGEKECNSNLTLMAMPSNPASSGPVPPMVHDGPQNPCPLSLVQNAHKNALTTSLYQKVQQRQAQQIHYKTPNELHTQVKLVEMPVQTASPLTTPVSVNIAPTQSVPVRQQMFQAAQLKQQQERREQEQMQERMLQQRLLIRSTMSSSSIPPKSAGPHEASSSSKLPQSKLEYYTKPEEHFEEGVEFDPVIGSESQM
+>sp|P31152|MK04_HUMAN Mitogen-activated protein kinase 4 OS=Homo sapiens OX=9606 GN=MAPK4 PE=1 SV=2
+MAEKGDCIASVYGYDLGGRFVDFQPLGFGVNGLVLSAVDSRACRKVAVKKIALSDARSMKHALREIKIIRRLDHDNIVKVYEVLGPKGTDLQGELFKFSVAYIVQEYMETDLARLLEQGTLAEEHAKLFMYQLLRGLKYIHSANVLHRDLKPANIFISTEDLVLKIGDFGLARIVDQHYSHKGYLSEGLVTKWYRSPRLLLSPNNYTKAIDMWAAGCILAEMLTGRMLFAGAHELEQMQLILETIPVIREEDKDELLRVMPSFVSSTWEVKRPLRKLLPEVNSEAIDFLEKILTFNPMDRLTAEMGLQHPYMSPYSCPEDEPTSQHPFRIEDEIDDIVLMAANQSQLSNWDTCSSRYPVSLSSDLEWRPDRCQDASEVQRDPRAGSAPLAEDVQVDPRKDSHSSSERFLEQSHSSMERAFEADYGRSCDYKVGSPSYLDKLLWRDNKPHHYSEPKLILDLSHWKQAAGAPPTATGLADTGAREDEPASLFLEIAQWVKSTQGGPEHASPPADDPERRLSASPPGRPAPVDGGASPQFDLDVFISRALKLCTKPEDLPDNKLGDLNGACIPEHPGDLVQTEAFSKERW
+>DECOY_sp|P31152|MK04_HUMAN Mitogen-activated protein kinase 4 OS=Homo sapiens OX=9606 GN=MAPK4 PE=1 SV=2
+WREKSFAETQVLDGPHEPICAGNLDGLKNDPLDEPKTCLKLARSIFVDLDFQPSAGGDVPAPRGPPSASLRREPDDAPPSAHEPGGQTSKVWQAIELFLSAPEDERAGTDALGTATPPAGAAQKWHSLDLILKPESYHHPKNDRWLLKDLYSPSGVKYDCSRGYDAEFAREMSSHSQELFRESSSHSDKRPDVQVDEALPASGARPDRQVESADQCRDPRWELDSSLSVPYRSSCTDWNSLQSQNAAMLVIDDIEDEIRFPHQSTPEDEPCSYPSMYPHQLGMEATLRDMPNFTLIKELFDIAESNVEPLLKRLPRKVEWTSSVFSPMVRLLEDKDEERIVPITELILQMQELEHAGAFLMRGTLMEALICGAAWMDIAKTYNNPSLLLRPSRYWKTVLGESLYGKHSYHQDVIRALGFDGIKLVLDETSIFINAPKLDRHLVNASHIYKLGRLLQYMFLKAHEEALTGQELLRALDTEMYEQVIYAVSFKFLEGQLDTGKPGLVEYVKVINDHDLRRIIKIERLAHKMSRADSLAIKKVAVKRCARSDVASLVLGNVGFGLPQFDVFRGGLDYGYVSAICDGKEAM
+>sp|Q16659|MK06_HUMAN Mitogen-activated protein kinase 6 OS=Homo sapiens OX=9606 GN=MAPK6 PE=1 SV=1
+MAEKFESLMNIHGFDLGSRYMDLKPLGCGGNGLVFSAVDNDCDKRVAIKKIVLTDPQSVKHALREIKIIRRLDHDNIVKVFEILGPSGSQLTDDVGSLTELNSVYIVQEYMETDLANVLEQGPLLEEHARLFMYQLLRGLKYIHSANVLHRDLKPANLFINTEDLVLKIGDFGLARIMDPHYSHKGHLSEGLVTKWYRSPRLLLSPNNYTKAIDMWAAGCIFAEMLTGKTLFAGAHELEQMQLILESIPVVHEEDRQELLSVIPVYIRNDMTEPHKPLTQLLPGISREALDFLEQILTFSPMDRLTAEEALSHPYMSIYSFPMDEPISSHPFHIEDEVDDILLMDETHSHIYNWERYHDCQFSEHDWPVHNNFDIDEVQLDPRALSDVTDEEEVQVDPRKYLDGDREKYLEDPAFDTNYSTEPCWQYSDHHENKYCDLECSHTCNYKTRSSSYLDNLVWRESEVNHYYEPKLIIDLSNWKEQSKEKSDKKGKSKCERNGLVKAQIALEEASQQLAGKEREKNQGFDFDSFIAGTIQLSSQHEPTDVVDKLNDLNSSVSQLELKSLISKSVSQEKQEKGMANLAQLEALYQSSWDSQFVSGGEDCFFINQFCEVRKDEQVEKENTYTSYLDKFFSRKEDTEMLETEPVEDGKLGERGHEEGFLNNSGEFLFNKQLESIGIPQFHSPVGSPLKSIQATLTPSAMKSSPQIPHQTYSSILKHLN
+>DECOY_sp|Q16659|MK06_HUMAN Mitogen-activated protein kinase 6 OS=Homo sapiens OX=9606 GN=MAPK6 PE=1 SV=1
+NLHKLISSYTQHPIQPSSKMASPTLTAQISKLPSGVPSHFQPIGISELQKNFLFEGSNNLFGEEHGREGLKGDEVPETELMETDEKRSFFKDLYSTYTNEKEVQEDKRVECFQNIFFCDEGGSVFQSDWSSQYLAELQALNAMGKEQKEQSVSKSILSKLELQSVSSNLDNLKDVVDTPEHQSSLQITGAIFSDFDFGQNKEREKGALQQSAEELAIQAKVLGNRECKSKGKKDSKEKSQEKWNSLDIILKPEYYHNVESERWVLNDLYSSSRTKYNCTHSCELDCYKNEHHDSYQWCPETSYNTDFAPDELYKERDGDLYKRPDVQVEEEDTVDSLARPDLQVEDIDFNNHVPWDHESFQCDHYREWNYIHSHTEDMLLIDDVEDEIHFPHSSIPEDMPFSYISMYPHSLAEEATLRDMPSFTLIQELFDLAERSIGPLLQTLPKHPETMDNRIYVPIVSLLEQRDEEHVVPISELILQMQELEHAGAFLTKGTLMEAFICGAAWMDIAKTYNNPSLLLRPSRYWKTVLGESLHGKHSYHPDMIRALGFDGIKLVLDETNIFLNAPKLDRHLVNASHIYKLGRLLQYMFLRAHEELLPGQELVNALDTEMYEQVIYVSNLETLSGVDDTLQSGSPGLIEFVKVINDHDLRRIIKIERLAHKVSQPDTLVIKKIAVRKDCDNDVASFVLGNGGCGLPKLDMYRSGLDFGHINMLSEFKEAM
+>sp|Q13164|MK07_HUMAN Mitogen-activated protein kinase 7 OS=Homo sapiens OX=9606 GN=MAPK7 PE=1 SV=2
+MAEPLKEEDGEDGSAEPPGPVKAEPAHTAASVAAKNLALLKARSFDVTFDVGDEYEIIETIGNGAYGVVSSARRRLTGQQVAIKKIPNAFDVVTNAKRTLRELKILKHFKHDNIIAIKDILRPTVPYGEFKSVYVVLDLMESDLHQIIHSSQPLTLEHVRYFLYQLLRGLKYMHSAQVIHRDLKPSNLLVNENCELKIGDFGMARGLCTSPAEHQYFMTEYVATRWYRAPELMLSLHEYTQAIDLWSVGCIFGEMLARRQLFPGKNYVHQLQLIMMVLGTPSPAVIQAVGAERVRAYIQSLPPRQPVPWETVYPGADRQALSLLGRMLRFEPSARISAAAALRHPFLAKYHDPDDEPDCAPPFDFAFDREALTRERIKEAIVAEIEDFHARREGIRQQIRFQPSLQPVASEPGCPDVEMPSPWAPSGDCAMESPPPAPPPCPGPAPDTIDLTLQPPPPVSEPAPPKKDGAISDNTKAALKAALLKSLRSRLRDGPSAPLEAPEPRKPVTAQERQREREEKRRRRQERAKEREKRRQERERKERGAGASGGPSTDPLAGLVLSDNDRSLLERWTRMARPAAPALTSVPAPAPAPTPTPTPVQPTSPPPGPVAQPTGPQPQSAGSTSGPVPQPACPPPGPAPHPTGPPGPIPVPAPPQIATSTSLLAAQSLVPPPGLPGSSTPGVLPYFPPGLPPPDAGGAPQSSMSESPDVNLVTQQLSKSQVEDPLPPVFSGTPKGSGAGYGVGFDLEEFLNQSFDMGVADGPQDGQADSASLSASLLADWLEGHGMNPADIESLQREIQMDSPMLLADLPDLQDP
+>DECOY_sp|Q13164|MK07_HUMAN Mitogen-activated protein kinase 7 OS=Homo sapiens OX=9606 GN=MAPK7 PE=1 SV=2
+PDQLDPLDALLMPSDMQIERQLSEIDAPNMGHGELWDALLSASLSASDAQGDQPGDAVGMDFSQNLFEELDFGVGYGAGSGKPTGSFVPPLPDEVQSKSLQQTVLNVDPSESMSSQPAGGADPPPLGPPFYPLVGPTSSGPLGPPPVLSQAALLSTSTAIQPPAPVPIPGPPGTPHPAPGPPPCAPQPVPGSTSGASQPQPGTPQAVPGPPPSTPQVPTPTPTPAPAPAPVSTLAPAAPRAMRTWRELLSRDNDSLVLGALPDTSPGGSAGAGREKREREQRRKEREKAREQRRRRKEERERQREQATVPKRPEPAELPASPGDRLRSRLSKLLAAKLAAKTNDSIAGDKKPPAPESVPPPPQLTLDITDPAPGPCPPPAPPPSEMACDGSPAWPSPMEVDPCGPESAVPQLSPQFRIQQRIGERRAHFDEIEAVIAEKIRERTLAERDFAFDFPPACDPEDDPDHYKALFPHRLAAAASIRASPEFRLMRGLLSLAQRDAGPYVTEWPVPQRPPLSQIYARVREAGVAQIVAPSPTGLVMMILQLQHVYNKGPFLQRRALMEGFICGVSWLDIAQTYEHLSLMLEPARYWRTAVYETMFYQHEAPSTCLGRAMGFDGIKLECNENVLLNSPKLDRHIVQASHMYKLGRLLQYLFYRVHELTLPQSSHIIQHLDSEMLDLVVYVSKFEGYPVTPRLIDKIAIINDHKFHKLIKLERLTRKANTVVDFANPIKKIAVQQGTLRRRASSVVGYAGNGITEIIEYEDGVDFTVDFSRAKLLALNKAAVSAATHAPEAKVPGPPEASGDEGDEEKLPEAM
+>sp|P53779|MK10_HUMAN Mitogen-activated protein kinase 10 OS=Homo sapiens OX=9606 GN=MAPK10 PE=1 SV=2
+MSLHFLYYCSEPTLDVKIAFCQGFDKQVDVSYIAKHYNMSKSKVDNQFYSVEVGDSTFTVLKRYQNLKPIGSGAQGIVCAAYDAVLDRNVAIKKLSRPFQNQTHAKRAYRELVLMKCVNHKNIISLLNVFTPQKTLEEFQDVYLVMELMDANLCQVIQMELDHERMSYLLYQMLCGIKHLHSAGIIHRDLKPSNIVVKSDCTLKILDFGLARTAGTSFMMTPYVVTRYYRAPEVILGMGYKENVDIWSVGCIMGEMVRHKILFPGRDYIDQWNKVIEQLGTPCPEFMKKLQPTVRNYVENRPKYAGLTFPKLFPDSLFPADSEHNKLKASQARDLLSKMLVIDPAKRISVDDALQHPYINVWYDPAEVEAPPPQIYDKQLDEREHTIEEWKELIYKEVMNSEEKTKNGVVKGQPSPSGAAVNSSESLPPSSSVNDISSMSTDQTLASDTDSSLEASAGPLGCCR
+>DECOY_sp|P53779|MK10_HUMAN Mitogen-activated protein kinase 10 OS=Homo sapiens OX=9606 GN=MAPK10 PE=1 SV=2
+RCCGLPGASAELSSDTDSALTQDTSMSSIDNVSSSPPLSESSNVAAGSPSPQGKVVGNKTKEESNMVEKYILEKWEEITHEREDLQKDYIQPPPAEVEAPDYWVNIYPHQLADDVSIRKAPDIVLMKSLLDRAQSAKLKNHESDAPFLSDPFLKPFTLGAYKPRNEVYNRVTPQLKKMFEPCPTGLQEIVKNWQDIYDRGPFLIKHRVMEGMICGVSWIDVNEKYGMGLIVEPARYYRTVVYPTMMFSTGATRALGFDLIKLTCDSKVVINSPKLDRHIIGASHLHKIGCLMQYLLYSMREHDLEMQIVQCLNADMLEMVLYVDQFEELTKQPTFVNLLSIINKHNVCKMLVLERYARKAHTQNQFPRSLKKIAVNRDLVADYAACVIGQAGSGIPKLNQYRKLVTFTSDGVEVSYFQNDVKSKSMNYHKAIYSVDVQKDFGQCFAIKVDLTPESCYYLFHLSM
+>sp|P0DMT0|MLN_HUMAN Myoregulin OS=Homo sapiens OX=9606 GN=MRLN PE=3 SV=1
+MTGKNWILISTTTPKSLEDEIVGRLLKILFVIFVDLISIIYVVITS
+>DECOY_sp|P0DMT0|MLN_HUMAN Myoregulin OS=Homo sapiens OX=9606 GN=MRLN PE=3 SV=1
+STIVVYIISILDVFIVFLIKLLRGVIEDELSKPTTTSILIWNKGTM
+>sp|Q9Y4U1|MMAC_HUMAN Methylmalonic aciduria and homocystinuria type C protein OS=Homo sapiens OX=9606 GN=MMACHC PE=1 SV=3
+MEPKVAELKQKIEDTLCPFGFEVYPFQVAWYNELLPPAFHLPLPGPTLAFLVLSTPAMFDRALKPFLQSCHLRMLTDPVDQCVAYHLGRVRESLPELQIEIIADYEVHPNRRPKILAQTAAHVAGAAYYYQRQDVEADPWGNQRISGVCIHPRFGGWFAIRGVVLLPGIEVPDLPPRKPHDCVPTRADRIALLEGFNFHWRDWTYRDAVTPQERYSEEQKAYFSTPPAQRLALLGLAQPSEKPSSPSPDLPFTTPAPKKPGNPSRARSWLSPRVSPPASPGP
+>DECOY_sp|Q9Y4U1|MMAC_HUMAN Methylmalonic aciduria and homocystinuria type C protein OS=Homo sapiens OX=9606 GN=MMACHC PE=1 SV=3
+PGPSAPPSVRPSLWSRARSPNGPKKPAPTTFPLDPSPSSPKESPQALGLLALRQAPPTSFYAKQEESYREQPTVADRYTWDRWHFNFGELLAIRDARTPVCDHPKRPPLDPVEIGPLLVVGRIAFWGGFRPHICVGSIRQNGWPDAEVDQRQYYYAAGAVHAATQALIKPRRNPHVEYDAIIEIQLEPLSERVRGLHYAVCQDVPDTLMRLHCSQLFPKLARDFMAPTSLVLFALTPGPLPLHFAPPLLENYWAVQFPYVEFGFPCLTDEIKQKLEAVKPEM
+>sp|Q495T6|MMEL1_HUMAN Membrane metallo-endopeptidase-like 1 OS=Homo sapiens OX=9606 GN=MMEL1 PE=2 SV=2
+MGKSEGPVGMVESAGRAGQKRPGFLEGGLLLLLLLVTAALVALGVLYADRRGKQLPRLASRLCFLQEERTFVKRKPRGIPEAQEVSEVCTTPGCVIAAARILQNMDPTTEPCDDFYQFACGGWLRRHVIPETNSRYSIFDVLRDELEVILKAVLENSTAKDRPAVEKARTLYRSCMNQSVIEKRGSQPLLDILEVVGGWPVAMDRWNETVGLEWELERQLALMNSQFNRRVLIDLFIWNDDQNSSRHIIYIDQPTLGMPSREYYFNGGSNRKVREAYLQFMVSVATLLREDANLPRDSCLVQEDMVQVLELETQLAKATVPQEERHDVIALYHRMGLEELQSQFGLKGFNWTLFIQTVLSSVKIKLLPDEEVVVYGIPYLQNLENIIDTYSARTIQNYLVWRLVLDRIGSLSQRFKDTRVNYRKALFGTMVEEVRWRECVGYVNSNMENAVGSLYVREAFPGDSKSMVRELIDKVRTVFVETLDELGWMDEESKKKAQEKAMSIREQIGHPDYILEEMNRRLDEEYSNLNFSEDLYFENSLQNLKVGAQRSLRKLREKVDPNLWIIGAAVVNAFYSPNRNQIVFPAGILQPPFFSKEQPQALNFGGIGMVIGHEITHGFDDNGRNFDKNGNMMDWWSNFSTQHFREQSECMIYQYGNYSWDLADEQNVNGFNTLGENIADNGGVRQAYKAYLKWMAEGGKDQQLPGLDLTHEQLFFINYAQVWCGSYRPEFAIQSIKTDVHSPLKYRVLGSLQNLAAFADTFHCARGTPMHPKERCRVW
+>DECOY_sp|Q495T6|MMEL1_HUMAN Membrane metallo-endopeptidase-like 1 OS=Homo sapiens OX=9606 GN=MMEL1 PE=2 SV=2
+WVRCREKPHMPTGRACHFTDAFAALNQLSGLVRYKLPSHVDTKISQIAFEPRYSGCWVQAYNIFFLQEHTLDLGPLQQDKGGEAMWKLYAKYAQRVGGNDAINEGLTNFGNVNQEDALDWSYNGYQYIMCESQERFHQTSFNSWWDMMNGNKDFNRGNDDFGHTIEHGIVMGIGGFNLAQPQEKSFFPPQLIGAPFVIQNRNPSYFANVVAAGIIWLNPDVKERLKRLSRQAGVKLNQLSNEFYLDESFNLNSYEEDLRRNMEELIYDPHGIQERISMAKEQAKKKSEEDMWGLEDLTEVFVTRVKDILERVMSKSDGPFAERVYLSGVANEMNSNVYGVCERWRVEEVMTGFLAKRYNVRTDKFRQSLSGIRDLVLRWVLYNQITRASYTDIINELNQLYPIGYVVVEEDPLLKIKVSSLVTQIFLTWNFGKLGFQSQLEELGMRHYLAIVDHREEQPVTAKALQTELELVQVMDEQVLCSDRPLNADERLLTAVSVMFQLYAERVKRNSGGNFYYERSPMGLTPQDIYIIHRSSNQDDNWIFLDILVRRNFQSNMLALQRELEWELGVTENWRDMAVPWGGVVELIDLLPQSGRKEIVSQNMCSRYLTRAKEVAPRDKATSNELVAKLIVELEDRLVDFISYRSNTEPIVHRRLWGGCAFQYFDDCPETTPDMNQLIRAAAIVCGPTTCVESVEQAEPIGRPKRKVFTREEQLFCLRSALRPLQKGRRDAYLVGLAVLAATVLLLLLLLGGELFGPRKQGARGASEVMGVPGESKGM
+>sp|Q8N4V1|MMGT1_HUMAN Membrane magnesium transporter 1 OS=Homo sapiens OX=9606 GN=MMGT1 PE=1 SV=1
+MAPSLWKGLVGIGLFALAHAAFSAAQHRSYMRLTEKEDESLPIDIVLQTLLAFAVTCYGIVHIAGEFKDMDATSELKNKTFDTLRNHPSFYVFNHRGRVLFRPSDTANSSNQDALSSNTSLKLRKLESLRR
+>DECOY_sp|Q8N4V1|MMGT1_HUMAN Membrane magnesium transporter 1 OS=Homo sapiens OX=9606 GN=MMGT1 PE=1 SV=1
+RRLSELKRLKLSTNSSLADQNSSNATDSPRFLVRGRHNFVYFSPHNRLTDFTKNKLESTADMDKFEGAIHVIGYCTVAFALLTQLVIDIPLSEDEKETLRMYSRHQAASFAAHALAFLGIGVLGKWLSPAM
+>sp|P51511|MMP15_HUMAN Matrix metalloproteinase-15 OS=Homo sapiens OX=9606 GN=MMP15 PE=1 SV=1
+MGSDPSAPGRPGWTGSLLGDREEAARPRLLPLLLVLLGCLGLGVAAEDAEVHAENWLRLYGYLPQPSRHMSTMRSAQILASALAEMQRFYGIPVTGVLDEETKEWMKRPRCGVPDQFGVRVKANLRRRRKRYALTGRKWNNHHLTFSIQNYTEKLGWYHSMEAVRRAFRVWEQATPLVFQEVPYEDIRLRRQKEADIMVLFASGFHGDSSPFDGTGGFLAHAYFPGPGLGGDTHFDADEPWTFSSTDLHGNNLFLVAVHELGHALGLEHSSNPNAIMAPFYQWKDVDNFKLPEDDLRGIQQLYGTPDGQPQPTQPLPTVTPRRPGRPDHRPPRPPQPPPPGGKPERPPKPGPPVQPRATERPDQYGPNICDGDFDTVAMLRGEMFVFKGRWFWRVRHNRVLDNYPMPIGHFWRGLPGDISAAYERQDGRFVFFKGDRYWLFREANLEPGYPQPLTSYGLGIPYDRIDTAIWWEPTGHTFFFQEDRYWRFNEETQRGDPGYPKPISVWQGIPASPKGAFLSNDAAYTYFYKGTKYWKFDNERLRMEPGYPKSILRDFMGCQEHVEPGPRWPDVARPPFNPHGGAEPGADSAEGDVGDGDGDFGAGVNKDGGSRVVVQMEEVARTVNVVMVLVPLLLLLCVLGLTYALVQMQRKGAPRVLLYCKRSLQEWV
+>DECOY_sp|P51511|MMP15_HUMAN Matrix metalloproteinase-15 OS=Homo sapiens OX=9606 GN=MMP15 PE=1 SV=1
+VWEQLSRKCYLLVRPAGKRQMQVLAYTLGLVCLLLLLPVLVMVVNVTRAVEEMQVVVRSGGDKNVGAGFDGDGDGVDGEASDAGPEAGGHPNFPPRAVDPWRPGPEVHEQCGMFDRLISKPYGPEMRLRENDFKWYKTGKYFYTYAADNSLFAGKPSAPIGQWVSIPKPYGPDGRQTEENFRWYRDEQFFFTHGTPEWWIATDIRDYPIGLGYSTLPQPYGPELNAERFLWYRDGKFFVFRGDQREYAASIDGPLGRWFHGIPMPYNDLVRNHRVRWFWRGKFVFMEGRLMAVTDFDGDCINPGYQDPRETARPQVPPGPKPPREPKGGPPPPQPPRPPRHDPRGPRRPTVTPLPQTPQPQGDPTGYLQQIGRLDDEPLKFNDVDKWQYFPAMIANPNSSHELGLAHGLEHVAVLFLNNGHLDTSSFTWPEDADFHTDGGLGPGPFYAHALFGGTGDFPSSDGHFGSAFLVMIDAEKQRRLRIDEYPVEQFVLPTAQEWVRFARRVAEMSHYWGLKETYNQISFTLHHNNWKRGTLAYRKRRRRLNAKVRVGFQDPVGCRPRKMWEKTEEDLVGTVPIGYFRQMEALASALIQASRMTSMHRSPQPLYGYLRLWNEAHVEADEAAVGLGLCGLLVLLLPLLRPRAAEERDGLLSGTWGPRGPASPDSGM
+>sp|O60882|MMP20_HUMAN Matrix metalloproteinase-20 OS=Homo sapiens OX=9606 GN=MMP20 PE=1 SV=3
+MKVLPASGLAVFLIMALKFSTAAPSLVAASPRTWRNNYRLAQAYLDKYYTNKEGHQIGEMVARGSNSMIRKIKELQAFFGLQVTGKLDQTTMNVIKKPRCGVPDVANYRLFPGEPKWKKNTLTYRISKYTPSMSSVEVDKAVEMALQAWSSAVPLSFVRINSGEADIMISFENGDHGDSYPFDGPRGTLAHAFAPGEGLGGDTHFDNAEKWTMGTNGFNLFTVAAHEFGHALGLAHSTDPSALMYPTYKYKNPYGFHLPKDDVKGIQALYGPRKVFLGKPTLPHAPHHKPSIPDLCDSSSSFDAVTMLGKELLLFKDRIFWRRQVHLRTGIRPSTITSSFPQLMSNVDAAYEVAERGTAYFFKGPHYWITRGFQMQGPPRTIYDFGFPRHVQQIDAAVYLREPQKTLFFVGDEYYSYDERKRKMEKDYPKNTEEEFSGVNGQIDAAVELNGYIYFFSGPKTYKYDTEKEDVVSVVKSSSWIGC
+>DECOY_sp|O60882|MMP20_HUMAN Matrix metalloproteinase-20 OS=Homo sapiens OX=9606 GN=MMP20 PE=1 SV=3
+CGIWSSSKVVSVVDEKETDYKYTKPGSFFYIYGNLEVAADIQGNVGSFEEETNKPYDKEMKRKREDYSYYEDGVFFLTKQPERLYVAADIQQVHRPFGFDYITRPPGQMQFGRTIWYHPGKFFYATGREAVEYAADVNSMLQPFSSTITSPRIGTRLHVQRRWFIRDKFLLLEKGLMTVADFSSSSDCLDPISPKHHPAHPLTPKGLFVKRPGYLAQIGKVDDKPLHFGYPNKYKYTPYMLASPDTSHALGLAHGFEHAAVTFLNFGNTGMTWKEANDFHTDGGLGEGPAFAHALTGRPGDFPYSDGHDGNEFSIMIDAEGSNIRVFSLPVASSWAQLAMEVAKDVEVSSMSPTYKSIRYTLTNKKWKPEGPFLRYNAVDPVGCRPKKIVNMTTQDLKGTVQLGFFAQLEKIKRIMSNSGRAVMEGIQHGEKNTYYKDLYAQALRYNNRWTRPSAAVLSPAATSFKLAMILFVALGSAPLVKM
+>sp|Q9H239|MMP28_HUMAN Matrix metalloproteinase-28 OS=Homo sapiens OX=9606 GN=MMP28 PE=2 SV=2
+MVARVGLLLRALQLLLWGHLDAQPAERGGQELRKEAEAFLEKYGYLNEQVPKAPTSTRFSDAIRAFQWVSQLPVSGVLDRATLRQMTRPRCGVTDTNSYAAWAERISDLFARHRTKMRRKKRFAKQGNKWYKQHLSYRLVNWPEHLPEPAVRGAVRAAFQLWSNVSALEFWEAPATGPADIRLTFFQGDHNDGLGNAFDGPGGALAHAFLPRRGEAHFDQDERWSLSRRRGRNLFVVLAHEIGHTLGLTHSPAPRALMAPYYKRLGRDALLSWDDVLAVQSLYGKPLGGSVAVQLPGKLFTDFETWDSYSPQGRRPETQGPKYCHSSFDAITVDRQQQLYIFKGSHFWEVAADGNVSEPRPLQERWVGLPPNIEAAAVSLNDGDFYFFKGGRCWRFRGPKPVWGLPQLCRAGGLPRHPDAALFFPPLRRLILFKGARYYVLARGGLQVEPYYPRSLQDWGGIPEEVSGALPRPDGSIIFFRDDRYWRLDQAKLQATTSGRWATELPWMGCWHANSGSALF
+>DECOY_sp|Q9H239|MMP28_HUMAN Matrix metalloproteinase-28 OS=Homo sapiens OX=9606 GN=MMP28 PE=2 SV=2
+FLASGSNAHWCGMWPLETAWRGSTTAQLKAQDLRWYRDDRFFIISGDPRPLAGSVEEPIGGWDQLSRPYYPEVQLGGRALVYYRAGKFLILRRLPPFFLAADPHRPLGGARCLQPLGWVPKPGRFRWCRGGKFFYFDGDNLSVAAAEINPPLGVWREQLPRPESVNGDAAVEWFHSGKFIYLQQQRDVTIADFSSHCYKPGQTEPRRGQPSYSDWTEFDTFLKGPLQVAVSGGLPKGYLSQVALVDDWSLLADRGLRKYYPAMLARPAPSHTLGLTHGIEHALVVFLNRGRRRSLSWREDQDFHAEGRRPLFAHALAGGPGDFANGLGDNHDGQFFTLRIDAPGTAPAEWFELASVNSWLQFAARVAGRVAPEPLHEPWNVLRYSLHQKYWKNGQKAFRKKRRMKTRHRAFLDSIREAWAAYSNTDTVGCRPRTMQRLTARDLVGSVPLQSVWQFARIADSFRTSTPAKPVQENLYGYKELFAEAEKRLEQGGREAPQADLHGWLLLQLARLLLGVRAVM
+>sp|P08253|MMP2_HUMAN 72 kDa type IV collagenase OS=Homo sapiens OX=9606 GN=MMP2 PE=1 SV=2
+MEALMARGALTGPLRALCLLGCLLSHAAAAPSPIIKFPGDVAPKTDKELAVQYLNTFYGCPKESCNLFVLKDTLKKMQKFFGLPQTGDLDQNTIETMRKPRCGNPDVANYNFFPRKPKWDKNQITYRIIGYTPDLDPETVDDAFARAFQVWSDVTPLRFSRIHDGEADIMINFGRWEHGDGYPFDGKDGLLAHAFAPGTGVGGDSHFDDDELWTLGEGQVVRVKYGNADGEYCKFPFLFNGKEYNSCTDTGRSDGFLWCSTTYNFEKDGKYGFCPHEALFTMGGNAEGQPCKFPFRFQGTSYDSCTTEGRTDGYRWCGTTEDYDRDKKYGFCPETAMSTVGGNSEGAPCVFPFTFLGNKYESCTSAGRSDGKMWCATTANYDDDRKWGFCPDQGYSLFLVAAHEFGHAMGLEHSQDPGALMAPIYTYTKNFRLSQDDIKGIQELYGASPDIDLGTGPTPTLGPVTPEICKQDIVFDGIAQIRGEIFFFKDRFIWRTVTPRDKPMGPLLVATFWPELPEKIDAVYEAPQEEKAVFFAGNEYWIYSASTLERGYPKPLTSLGLPPDVQRVDAAFNWSKNKKTYIFAGDKFWRYNEVKKKMDPGFPKLIADAWNAIPDNLDAVVDLQGGGHSYFFKGAYYLKLENQSLKSVKFGSIKSDWLGC
+>DECOY_sp|P08253|MMP2_HUMAN 72 kDa type IV collagenase OS=Homo sapiens OX=9606 GN=MMP2 PE=1 SV=2
+CGLWDSKISGFKVSKLSQNELKLYYAGKFFYSHGGGQLDVVADLNDPIANWADAILKPFGPDMKKKVENYRWFKDGAFIYTKKNKSWNFAADVRQVDPPLGLSTLPKPYGRELTSASYIWYENGAFFVAKEEQPAEYVADIKEPLEPWFTAVLLPGMPKDRPTVTRWIFRDKFFFIEGRIQAIGDFVIDQKCIEPTVPGLTPTPGTGLDIDPSAGYLEQIGKIDDQSLRFNKTYTYIPAMLAGPDQSHELGMAHGFEHAAVLFLSYGQDPCFGWKRDDDYNATTACWMKGDSRGASTCSEYKNGLFTFPFVCPAGESNGGVTSMATEPCFGYKKDRDYDETTGCWRYGDTRGETTCSDYSTGQFRFPFKCPQGEANGGMTFLAEHPCFGYKGDKEFNYTTSCWLFGDSRGTDTCSNYEKGNFLFPFKCYEGDANGYKVRVVQGEGLTWLEDDDFHSDGGVGTGPAFAHALLGDKGDFPYGDGHEWRGFNIMIDAEGDHIRSFRLPTVDSWVQFARAFADDVTEPDLDPTYGIIRYTIQNKDWKPKRPFFNYNAVDPNGCRPKRMTEITNQDLDGTQPLGFFKQMKKLTDKLVFLNCSEKPCGYFTNLYQVALEKDTKPAVDGPFKIIPSPAAAAHSLLCGLLCLARLPGTLAGRAMLAEM
+>sp|Q02252|MMSA_HUMAN Methylmalonate-semialdehyde dehydrogenase [acylating], mitochondrial OS=Homo sapiens OX=9606 GN=ALDH6A1 PE=1 SV=2
+MAALLAAAAVRARILQVSSKVKSSPTWYSASSFSSSVPTVKLFIGGKFVESKSDKWIDIHNPATNEVIGRVPQATKAEMDAAIASCKRAFPAWADTSVLSRQQVLLRYQQLIKENLKEIAKLITLEQGKTLADAEGDVFRGLQVVEHACSVTSLMMGETMPSITKDMDLYSYRLPLGVCAGIAPFNFPAMIPLWMFPMAMVCGNTFLMKPSERVPGATMLLAKLLQDSGAPDGTLNIIHGQHEAVNFICDHPDIKAISFVGSNKAGEYIFERGSRHGKRVQANMGAKNHGVVMPDANKENTLNQLVGAAFGAAGQRCMALSTAVLVGEAKKWLPELVEHAKNLRVNAGDQPGADLGPLITPQAKERVCNLIDSGTKEGASILLDGRKIKVKGYENGNFVGPTIISNVKPNMTCYKEEIFGPVLVVLETETLDEAIQIVNNNPYGNGTAIFTTNGATARKYAHLVDVGQVGVNVPIPVPLPMFSFTGSRSSFRGDTNFYGKQGIQFYTQLKTITSQWKEEDATLSSPAVVMPTMGR
+>DECOY_sp|Q02252|MMSA_HUMAN Methylmalonate-semialdehyde dehydrogenase [acylating], mitochondrial OS=Homo sapiens OX=9606 GN=ALDH6A1 PE=1 SV=2
+RGMTPMVVAPSSLTADEEKWQSTITKLQTYFQIGQKGYFNTDGRFSSRSGTFSFMPLPVPIPVNVGVQGVDVLHAYKRATAGNTTFIATGNGYPNNNVIQIAEDLTETELVVLVPGFIEEKYCTMNPKVNSIITPGVFNGNEYGKVKIKRGDLLISAGEKTGSDILNCVREKAQPTILPGLDAGPQDGANVRLNKAHEVLEPLWKKAEGVLVATSLAMCRQGAAGFAAGVLQNLTNEKNADPMVVGHNKAGMNAQVRKGHRSGREFIYEGAKNSGVFSIAKIDPHDCIFNVAEHQGHIINLTGDPAGSDQLLKALLMTAGPVRESPKMLFTNGCVMAMPFMWLPIMAPFNFPAIGACVGLPLRYSYLDMDKTISPMTEGMMLSTVSCAHEVVQLGRFVDGEADALTKGQELTILKAIEKLNEKILQQYRLLVQQRSLVSTDAWAPFARKCSAIAADMEAKTAQPVRGIVENTAPNHIDIWKDSKSEVFKGGIFLKVTPVSSSFSSASYWTPSSKVKSSVQLIRARVAAAALLAAM
+>sp|P50219|MNX1_HUMAN Motor neuron and pancreas homeobox protein 1 OS=Homo sapiens OX=9606 GN=MNX1 PE=1 SV=3
+MEKSKNFRIDALLAVDPPRAASAQSAPLALVTSLAAAASGTGGGGGGGGASGGTSGSCSPASSEPPAAPADRLRAESPSPPRLLAAHCALLPKPGFLGAGGGGGGTGGGHGGPHHHAHPGAAAAAAAAAAAAAAGGLALGLHPGGAQGGAGLPAQAALYGHPVYGYSAAAAAAALAGQHPALSYSYPQVQGAHPAHPADPIKLGAGTFQLDQWLRASTAGMILPKMPDFNSQAQSNLLGKCRRPRTAFTSQQLLELEHQFKLNKYLSRPKRFEVATSLMLTETQVKIWFQNRRMKWKRSKKAKEQAAQEAEKQKGGGGGAGKGGAEEPGAEELLGPPAPGDKGSGRRLRDLRDSDPEEDEDEDDEDHFPYSNGASVHAASSDCSSEDDSPPPRPSHQPAPQ
+>DECOY_sp|P50219|MNX1_HUMAN Motor neuron and pancreas homeobox protein 1 OS=Homo sapiens OX=9606 GN=MNX1 PE=1 SV=3
+QPAPQHSPRPPPSDDESSCDSSAAHVSAGNSYPFHDEDDEDEDEEPDSDRLDRLRRGSGKDGPAPPGLLEEAGPEEAGGKGAGGGGGKQKEAEQAAQEKAKKSRKWKMRRNQFWIKVQTETLMLSTAVEFRKPRSLYKNLKFQHELELLQQSTFATRPRRCKGLLNSQAQSNFDPMKPLIMGATSARLWQDLQFTGAGLKIPDAPHAPHAGQVQPYSYSLAPHQGALAAAAAAASYGYVPHGYLAAQAPLGAGGQAGGPHLGLALGGAAAAAAAAAAAAAAGPHAHHHPGGHGGGTGGGGGGAGLFGPKPLLACHAALLRPPSPSEARLRDAPAAPPESSAPSCSGSTGGSAGGGGGGGGTGSAAAALSTVLALPASQASAARPPDVALLADIRFNKSKEM
+>sp|Q9P2K5|MYEF2_HUMAN Myelin expression factor 2 OS=Homo sapiens OX=9606 GN=MYEF2 PE=1 SV=3
+MADANKAEVPGATGGDSPHLQPAEPPGEPRREPHPAEAEKQQPQHSSSSNGVKMENDESAKEEKSDLKEKSTGSKKANRFHPYSKDKNSGAGEKKGPNRNRVFISNIPYDMKWQAIKDLMREKVGEVTYVELFKDAEGKSRGCGVVEFKDEEFVKKALETMNKYDLSGRPLNIKEDPDGENARRALQRTGGSFPGGHVPDMGSGLMNLPPSILNNPNIPPEVISNLQAGRLGSTIFVANLDFKVGWKKLKEVFSIAGTVKRADIKEDKDGKSRGMGTVTFEQAIEAVQAISMFNGQFLFDRPMHVKMDDKSVPHEEYRSHDGKTPQLPRGLGGIGMGLGPGGQPISASQLNIGGVMGNLGPGGMGMDGPGFGGMNRIGGGIGFGGLEAMNSMGGFGGVGRMGELYRGAMTSSMERDFGRGDIGINQGFGDSFGRLGSAMIGGFAGRIGSSNMGPVGSGISGGMGSMNSVTGGMGMGLDRMSSSFDRMGPGIGAILERSIDMDRGFLSGPMGSGMRERIGSKGNQIFVRNLPFDLTWQKLKEKFSQCGHVMFAEIKMENGKSKGCGTVRFDSPESAEKACRIMNGIKISGREIDVRLDRNA
+>DECOY_sp|Q9P2K5|MYEF2_HUMAN Myelin expression factor 2 OS=Homo sapiens OX=9606 GN=MYEF2 PE=1 SV=3
+ANRDLRVDIERGSIKIGNMIRCAKEASEPSDFRVTGCGKSKGNEMKIEAFMVHGCQSFKEKLKQWTLDFPLNRVFIQNGKSGIRERMGSGMPGSLFGRDMDISRELIAGIGPGMRDFSSSMRDLGMGMGGTVSNMSGMGGSIGSGVPGMNSSGIRGAFGGIMASGLRGFSDGFGQNIGIDGRGFDREMSSTMAGRYLEGMRGVGGFGGMSNMAELGGFGIGGGIRNMGGFGPGDMGMGGPGLNGMVGGINLQSASIPQGGPGLGMGIGGLGRPLQPTKGDHSRYEEHPVSKDDMKVHMPRDFLFQGNFMSIAQVAEIAQEFTVTGMGRSKGDKDEKIDARKVTGAISFVEKLKKWGVKFDLNAVFITSGLRGAQLNSIVEPPINPNNLISPPLNMLGSGMDPVHGGPFSGGTRQLARRANEGDPDEKINLPRGSLDYKNMTELAKKVFEEDKFEVVGCGRSKGEADKFLEVYTVEGVKERMLDKIAQWKMDYPINSIFVRNRNPGKKEGAGSNKDKSYPHFRNAKKSGTSKEKLDSKEEKASEDNEMKVGNSSSSHQPQQKEAEAPHPERRPEGPPEAPQLHPSDGGTAGPVEAKNADAM
+>sp|P35580|MYH10_HUMAN Myosin-10 OS=Homo sapiens OX=9606 GN=MYH10 PE=1 SV=3
+MAQRTGLEDPERYLFVDRAVIYNPATQADWTAKKLVWIPSERHGFEAASIKEERGDEVMVELAENGKKAMVNKDDIQKMNPPKFSKVEDMAELTCLNEASVLHNLKDRYYSGLIYTYSGLFCVVINPYKNLPIYSENIIEMYRGKKRHEMPPHIYAISESAYRCMLQDREDQSILCTGESGAGKTENTKKVIQYLAHVASSHKGRKDHNIPGELERQLLQANPILESFGNAKTVKNDNSSRFGKFIRINFDVTGYIVGANIETYLLEKSRAVRQAKDERTFHIFYQLLSGAGEHLKSDLLLEGFNNYRFLSNGYIPIPGQQDKDNFQETMEAMHIMGFSHEEILSMLKVVSSVLQFGNISFKKERNTDQASMPENTVAQKLCHLLGMNVMEFTRAILTPRIKVGRDYVQKAQTKEQADFAVEALAKATYERLFRWLVHRINKALDRTKRQGASFIGILDIAGFEIFELNSFEQLCINYTNEKLQQLFNHTMFILEQEEYQREGIEWNFIDFGLDLQPCIDLIERPANPPGVLALLDEECWFPKATDKTFVEKLVQEQGSHSKFQKPRQLKDKADFCIIHYAGKVDYKADEWLMKNMDPLNDNVATLLHQSSDRFVAELWKDVDRIVGLDQVTGMTETAFGSAYKTKKGMFRTVGQLYKESLTKLMATLRNTNPNFVRCIIPNHEKRAGKLDPHLVLDQLRCNGVLEGIRICRQGFPNRIVFQEFRQRYEILTPNAIPKGFMDGKQACERMIRALELDPNLYRIGQSKIFFRAGVLAHLEEERDLKITDIIIFFQAVCRGYLARKAFAKKQQQLSALKVLQRNCAAYLKLRHWQWWRVFTKVKPLLQVTRQEEELQAKDEELLKVKEKQTKVEGELEEMERKHQQLLEEKNILAEQLQAETELFAEAEEMRARLAAKKQELEEILHDLESRVEEEEERNQILQNEKKKMQAHIQDLEEQLDEEEGARQKLQLEKVTAEAKIKKMEEEILLLEDQNSKFIKEKKLMEDRIAECSSQLAEEEEKAKNLAKIRNKQEVMISDLEERLKKEEKTRQELEKAKRKLDGETTDLQDQIAELQAQIDELKLQLAKKEEELQGALARGDDETLHKNNALKVVRELQAQIAELQEDFESEKASRNKAEKQKRDLSEELEALKTELEDTLDTTAAQQELRTKREQEVAELKKALEEETKNHEAQIQDMRQRHATALEELSEQLEQAKRFKANLEKNKQGLETDNKELACEVKVLQQVKAESEHKRKKLDAQVQELHAKVSEGDRLRVELAEKASKLQNELDNVSTLLEEAEKKGIKFAKDAASLESQLQDTQELLQEETRQKLNLSSRIRQLEEEKNSLQEQQEEEEEARKNLEKQVLALQSQLADTKKKVDDDLGTIESLEEAKKKLLKDAEALSQRLEEKALAYDKLEKTKNRLQQELDDLTVDLDHQRQVASNLEKKQKKFDQLLAEEKSISARYAEERDRAEAEAREKETKALSLARALEEALEAKEEFERQNKQLRADMEDLMSSKDDVGKNVHELEKSKRALEQQVEEMRTQLEELEDELQATEDAKLRLEVNMQAMKAQFERDLQTRDEQNEEKKRLLIKQVRELEAELEDERKQRALAVASKKKMEIDLKDLEAQIEAANKARDEVIKQLRKLQAQMKDYQRELEEARASRDEIFAQSKESEKKLKSLEAEILQLQEELASSERARRHAEQERDELADEITNSASGKSALLDEKRRLEARIAQLEEELEEEQSNMELLNDRFRKTTLQVDTLNAELAAERSAAQKSDNARQQLERQNKELKAKLQELEGAVKSKFKATISALEAKIGQLEEQLEQEAKERAAANKLVRRTEKKLKEIFMQVEDERRHADQYKEQMEKANARMKQLKRQLEEAEEEATRANASRRKLQRELDDATEANEGLSREVSTLKNRLRRGGPISFSSSRSGRRQLHLEGASLELSDDDTESKTSDVNETQPPQSE
+>DECOY_sp|P35580|MYH10_HUMAN Myosin-10 OS=Homo sapiens OX=9606 GN=MYH10 PE=1 SV=3
+ESQPPQTENVDSTKSETDDDSLELSAGELHLQRRGSRSSSFSIPGGRRLRNKLTSVERSLGENAETADDLERQLKRRSANARTAEEEAEELQRKLQKMRANAKEMQEKYQDAHRREDEVQMFIEKLKKETRRVLKNAAAREKAEQELQEELQGIKAELASITAKFKSKVAGELEQLKAKLEKNQRELQQRANDSKQAASREAALEANLTDVQLTTKRFRDNLLEMNSQEEELEEELQAIRAELRRKEDLLASKGSASNTIEDALEDREQEAHRRARESSALEEQLQLIEAELSKLKKESEKSQAFIEDRSARAEELERQYDKMQAQLKRLQKIVEDRAKNAAEIQAELDKLDIEMKKKSAVALARQKREDELEAELERVQKILLRKKEENQEDRTQLDREFQAKMAQMNVELRLKADETAQLEDELEELQTRMEEVQQELARKSKELEHVNKGVDDKSSMLDEMDARLQKNQREFEEKAELAEELARALSLAKTEKERAEAEARDREEAYRASISKEEALLQDFKKQKKELNSAVQRQHDLDVTLDDLEQQLRNKTKELKDYALAKEELRQSLAEADKLLKKKAEELSEITGLDDDVKKKTDALQSQLALVQKELNKRAEEEEEQQEQLSNKEEELQRIRSSLNLKQRTEEQLLEQTDQLQSELSAADKAFKIGKKEAEELLTSVNDLENQLKSAKEALEVRLRDGESVKAHLEQVQADLKKRKHESEAKVQQLVKVECALEKNDTELGQKNKELNAKFRKAQELQESLEELATAHRQRMDQIQAEHNKTEEELAKKLEAVEQERKTRLEQQAATTDLTDELETKLAELEESLDRKQKEAKNRSAKESEFDEQLEAIQAQLERVVKLANNKHLTEDDGRALAGQLEEEKKALQLKLEDIQAQLEAIQDQLDTTEGDLKRKAKELEQRTKEEKKLREELDSIMVEQKNRIKALNKAKEEEEALQSSCEAIRDEMLKKEKIFKSNQDELLLIEEEMKKIKAEATVKELQLKQRAGEEEDLQEELDQIHAQMKKKENQLIQNREEEEEVRSELDHLIEELEQKKAALRARMEEAEAFLETEAQLQEALINKEELLQQHKREMEELEGEVKTQKEKVKLLEEDKAQLEEEQRTVQLLPKVKTFVRWWQWHRLKLYAACNRQLVKLASLQQQKKAFAKRALYGRCVAQFFIIIDTIKLDREEELHALVGARFFIKSQGIRYLNPDLELARIMRECAQKGDMFGKPIANPTLIEYRQRFEQFVIRNPFGQRCIRIGELVGNCRLQDLVLHPDLKGARKEHNPIICRVFNPNTNRLTAMLKTLSEKYLQGVTRFMGKKTKYASGFATETMGTVQDLGVIRDVDKWLEAVFRDSSQHLLTAVNDNLPDMNKMLWEDAKYDVKGAYHIICFDAKDKLQRPKQFKSHSGQEQVLKEVFTKDTAKPFWCEEDLLALVGPPNAPREILDICPQLDLGFDIFNWEIGERQYEEQELIFMTHNFLQQLKENTYNICLQEFSNLEFIEFGAIDLIGIFSAGQRKTRDLAKNIRHVLWRFLREYTAKALAEVAFDAQEKTQAKQVYDRGVKIRPTLIARTFEMVNMGLLHCLKQAVTNEPMSAQDTNREKKFSINGFQLVSSVVKLMSLIEEHSFGMIHMAEMTEQFNDKDQQGPIPIYGNSLFRYNNFGELLLDSKLHEGAGSLLQYFIHFTREDKAQRVARSKELLYTEINAGVIYGTVDFNIRIFKGFRSSNDNKVTKANGFSELIPNAQLLQRELEGPINHDKRGKHSSAVHALYQIVKKTNETKGAGSEGTCLISQDERDQLMCRYASESIAYIHPPMEHRKKGRYMEIINESYIPLNKYPNIVVCFLGSYTYILGSYYRDKLNHLVSAENLCTLEAMDEVKSFKPPNMKQIDDKNVMAKKGNEALEVMVEDGREEKISAAEFGHRESPIWVLKKATWDAQTAPNYIVARDVFLYREPDELGTRQAM
+>sp|P12882|MYH1_HUMAN Myosin-1 OS=Homo sapiens OX=9606 GN=MYH1 PE=1 SV=3
+MSSDSEMAIFGEAAPFLRKSERERIEAQNKPFDAKTSVFVVDPKESFVKATVQSREGGKVTAKTEAGATVTVKDDQVFPMNPPKYDKIEDMAMMTHLHEPAVLYNLKERYAAWMIYTYSGLFCVTVNPYKWLPVYNAEVVTAYRGKKRQEAPPHIFSISDNAYQFMLTDRENQSILITGESGAGKTVNTKRVIQYFATIAVTGEKKKEEVTSGKMQGTLEDQIISANPLLEAFGNAKTVRNDNSSRFGKFIRIHFGTTGKLASADIETYLLEKSRVTFQLKAERSYHIFYQIMSNKKPDLIEMLLITTNPYDYAFVSQGEITVPSIDDQEELMATDSAIEILGFTSDERVSIYKLTGAVMHYGNMKFKQKQREEQAEPDGTEVADKAAYLQNLNSADLLKALCYPRVKVGNEYVTKGQTVQQVYNAVGALAKAVYDKMFLWMVTRINQQLDTKQPRQYFIGVLDIAGFEIFDFNSLEQLCINFTNEKLQQFFNHHMFVLEQEEYKKEGIEWTFIDFGMDLAACIELIEKPMGIFSILEEECMFPKATDTSFKNKLYEQHLGKSNNFQKPKPAKGKPEAHFSLIHYAGTVDYNIAGWLDKNKDPLNETVVGLYQKSAMKTLALLFVGATGAEAEAGGGKKGGKKKGSSFQTVSALFRENLNKLMTNLRSTHPHFVRCIIPNETKTPGAMEHELVLHQLRCNGVLEGIRICRKGFPSRILYADFKQRYKVLNASAIPEGQFIDSKKASEKLLGSIDIDHTQYKFGHTKVFFKAGLLGLLEEMRDEKLAQLITRTQAMCRGFLARVEYQKMVERRESIFCIQYNVRAFMNVKHWPWMKLYFKIKPLLKSAETEKEMANMKEEFEKTKEELAKTEAKRKELEEKMVTLMQEKNDLQLQVQAEADSLADAEERCDQLIKTKIQLEAKIKEVTERAEDEEEINAELTAKKRKLEDECSELKKDIDDLELTLAKVEKEKHATENKVKNLTEEMAGLDETIAKLTKEKKALQEAHQQTLDDLQAEEDKVNTLTKAKIKLEQQVDDLEGSLEQEKKIRMDLERAKRKLEGDLKLAQESTMDIENDKQQLDEKLKKKEFEMSGLQSKIEDEQALGMQLQKKIKELQARIEELEEEIEAERASRAKAEKQRSDLSRELEEISERLEEAGGATSAQIEMNKKREAEFQKMRRDLEEATLQHEATAATLRKKHADSVAELGEQIDNLQRVKQKLEKEKSEMKMEIDDLASNMETVSKAKGNLEKMCRALEDQLSEIKTKEEEQQRLINDLTAQRARLQTESGEYSRQLDEKDTLVSQLSRGKQAFTQQIEELKRQLEEEIKAKSALAHALQSSRHDCDLLREQYEEEQEAKAELQRAMSKANSEVAQWRTKYETDAIQRTEELEEAKKKLAQRLQDAEEHVEAVNAKCASLEKTKQRLQNEVEDLMIDVERTNAACAALDKKQRNFDKILAEWKQKCEETHAELEASQKESRSLSTELFKIKNAYEESLDQLETLKRENKNLQQEISDLTEQIAEGGKRIHELEKIKKQVEQEKSELQAALEEAEASLEHEEGKILRIQLELNQVKSEVDRKIAEKDEEIDQMKRNHIRIVESMQSTLDAEIRSRNDAIRLKKKMEGDLNEMEIQLNHANRMAAEALRNYRNTQAILKDTQLHLDDALRSQEDLKEQLAMVERRANLLQAEIEELRATLEQTERSRKIAEQELLDASERVQLLHTQNTSLINTKKKLETDISQIQGEMEDIIQEARNAEEKAKKAITDAAMMAEELKKEQDTSAHLERMKKNLEQTVKDLQHRLDEAEQLALKGGKKQIQKLEARVRELEGEVESEQKRNVEAVKGLRKHERKVKELTYQTEEDRKNILRLQDLVDKLQAKVKSYKRQAEEAEEQSNVNLSKFRRIQHELEEAEERADIAESQVNKLRVKSREVHTKIISEE
+>DECOY_sp|P12882|MYH1_HUMAN Myosin-1 OS=Homo sapiens OX=9606 GN=MYH1 PE=1 SV=3
+EESIIKTHVERSKVRLKNVQSEAIDAREEAEELEHQIRRFKSLNVNSQEEAEEAQRKYSKVKAQLKDVLDQLRLINKRDEETQYTLEKVKREHKRLGKVAEVNRKQESEVEGELERVRAELKQIQKKGGKLALQEAEDLRHQLDKVTQELNKKMRELHASTDQEKKLEEAMMAADTIAKKAKEEANRAEQIIDEMEGQIQSIDTELKKKTNILSTNQTHLLQVRESADLLEQEAIKRSRETQELTARLEEIEAQLLNARREVMALQEKLDEQSRLADDLHLQTDKLIAQTNRYNRLAEAAMRNAHNLQIEMENLDGEMKKKLRIADNRSRIEADLTSQMSEVIRIHNRKMQDIEEDKEAIKRDVESKVQNLELQIRLIKGEEHELSAEAEELAAQLESKEQEVQKKIKELEHIRKGGEAIQETLDSIEQQLNKNERKLTELQDLSEEYANKIKFLETSLSRSEKQSAELEAHTEECKQKWEALIKDFNRQKKDLAACAANTREVDIMLDEVENQLRQKTKELSACKANVAEVHEEADQLRQALKKKAEELEETRQIADTEYKTRWQAVESNAKSMARQLEAKAEQEEEYQERLLDCDHRSSQLAHALASKAKIEEELQRKLEEIQQTFAQKGRSLQSVLTDKEDLQRSYEGSETQLRARQATLDNILRQQEEEKTKIESLQDELARCMKELNGKAKSVTEMNSALDDIEMKMESKEKELKQKVRQLNDIQEGLEAVSDAHKKRLTAATAEHQLTAEELDRRMKQFEAERKKNMEIQASTAGGAEELRESIEELERSLDSRQKEAKARSAREAEIEEELEEIRAQLEKIKKQLQMGLAQEDEIKSQLGSMEFEKKKLKEDLQQKDNEIDMTSEQALKLDGELKRKARELDMRIKKEQELSGELDDVQQELKIKAKTLTNVKDEEAQLDDLTQQHAEQLAKKEKTLKAITEDLGAMEETLNKVKNETAHKEKEVKALTLELDDIDKKLESCEDELKRKKATLEANIEEEDEARETVEKIKAELQIKTKILQDCREEADALSDAEAQVQLQLDNKEQMLTVMKEELEKRKAETKALEEKTKEFEEKMNAMEKETEASKLLPKIKFYLKMWPWHKVNMFARVNYQICFISERREVMKQYEVRALFGRCMAQTRTILQALKEDRMEELLGLLGAKFFVKTHGFKYQTHDIDISGLLKESAKKSDIFQGEPIASANLVKYRQKFDAYLIRSPFGKRCIRIGELVGNCRLQHLVLEHEMAGPTKTENPIICRVFHPHTSRLNTMLKNLNERFLASVTQFSSGKKKGGKKGGGAEAEAGTAGVFLLALTKMASKQYLGVVTENLPDKNKDLWGAINYDVTGAYHILSFHAEPKGKAPKPKQFNNSKGLHQEYLKNKFSTDTAKPFMCEEELISFIGMPKEILEICAALDMGFDIFTWEIGEKKYEEQELVFMHHNFFQQLKENTFNICLQELSNFDFIEFGAIDLVGIFYQRPQKTDLQQNIRTVMWLFMKDYVAKALAGVANYVQQVTQGKTVYENGVKVRPYCLAKLLDASNLNQLYAAKDAVETGDPEAQEERQKQKFKMNGYHMVAGTLKYISVREDSTFGLIEIASDTAMLEEQDDISPVTIEGQSVFAYDYPNTTILLMEILDPKKNSMIQYFIHYSREAKLQFTVRSKELLYTEIDASALKGTTGFHIRIFKGFRSSNDNRVTKANGFAELLPNASIIQDELTGQMKGSTVEEKKKEGTVAITAFYQIVRKTNVTKGAGSEGTILISQNERDTLMFQYANDSISFIHPPAEQRKKGRYATVVEANYVPLWKYPNVTVCFLGSYTYIMWAAYREKLNYLVAPEHLHTMMAMDEIKDYKPPNMPFVQDDKVTVTAGAETKATVKGGERSQVTAKVFSEKPDVVFVSTKADFPKNQAEIRERESKRLFPAAEGFIAMESDSSM
+>sp|P12883|MYH7_HUMAN Myosin-7 OS=Homo sapiens OX=9606 GN=MYH7 PE=1 SV=5
+MGDSEMAVFGAAAPYLRKSEKERLEAQTRPFDLKKDVFVPDDKQEFVKAKIVSREGGKVTAETEYGKTVTVKEDQVMQQNPPKFDKIEDMAMLTFLHEPAVLYNLKDRYGSWMIYTYSGLFCVTVNPYKWLPVYTPEVVAAYRGKKRSEAPPHIFSISDNAYQYMLTDRENQSILITGESGAGKTVNTKRVIQYFAVIAAIGDRSKKDQSPGKGTLEDQIIQANPALEAFGNAKTVRNDNSSRFGKFIRIHFGATGKLASADIETYLLEKSRVIFQLKAERDYHIFYQILSNKKPELLDMLLITNNPYDYAFISQGETTVASIDDAEELMATDNAFDVLGFTSEEKNSMYKLTGAIMHFGNMKFKLKQREEQAEPDGTEEADKSAYLMGLNSADLLKGLCHPRVKVGNEYVTKGQNVQQVIYATGALAKAVYERMFNWMVTRINATLETKQPRQYFIGVLDIAGFEIFDFNSFEQLCINFTNEKLQQFFNHHMFVLEQEEYKKEGIEWTFIDFGMDLQACIDLIEKPMGIMSILEEECMFPKATDMTFKAKLFDNHLGKSANFQKPRNIKGKPEAHFSLIHYAGIVDYNIIGWLQKNKDPLNETVVGLYQKSSLKLLSTLFANYAGADAPIEKGKGKAKKGSSFQTVSALHRENLNKLMTNLRSTHPHFVRCIIPNETKSPGVMDNPLVMHQLRCNGVLEGIRICRKGFPNRILYGDFRQRYRILNPAAIPEGQFIDSRKGAEKLLSSLDIDHNQYKFGHTKVFFKAGLLGLLEEMRDERLSRIITRIQAQSRGVLARMEYKKLLERRDSLLVIQWNIRAFMGVKNWPWMKLYFKIKPLLKSAEREKEMASMKEEFTRLKEALEKSEARRKELEEKMVSLLQEKNDLQLQVQAEQDNLADAEERCDQLIKNKIQLEAKVKEMNERLEDEEEMNAELTAKKRKLEDECSELKRDIDDLELTLAKVEKEKHATENKVKNLTEEMAGLDEIIAKLTKEKKALQEAHQQALDDLQAEEDKVNTLTKAKVKLEQQVDDLEGSLEQEKKVRMDLERAKRKLEGDLKLTQESIMDLENDKQQLDERLKKKDFELNALNARIEDEQALGSQLQKKLKELQARIEELEEELEAERTARAKVEKLRSDLSRELEEISERLEEAGGATSVQIEMNKKREAEFQKMRRDLEEATLQHEATAAALRKKHADSVAELGEQIDNLQRVKQKLEKEKSEFKLELDDVTSNMEQIIKAKANLEKMCRTLEDQMNEHRSKAEETQRSVNDLTSQRAKLQTENGELSRQLDEKEALISQLTRGKLTYTQQLEDLKRQLEEEVKAKNALAHALQSARHDCDLLREQYEEETEAKAELQRVLSKANSEVAQWRTKYETDAIQRTEELEEAKKKLAQRLQEAEEAVEAVNAKCSSLEKTKHRLQNEIEDLMVDVERSNAAAAALDKKQRNFDKILAEWKQKYEESQSELESSQKEARSLSTELFKLKNAYEESLEHLETFKRENKNLQEEISDLTEQLGSSGKTIHELEKVRKQLEAEKMELQSALEEAEASLEHEEGKILRAQLEFNQIKAEIERKLAEKDEEMEQAKRNHLRVVDSLQTSLDAETRSRNEALRVKKKMEGDLNEMEIQLSHANRMAAEAQKQVKSLQSLLKDTQIQLDDAVRANDDLKENIAIVERRNNLLQAELEELRAVVEQTERSRKLAEQELIETSERVQLLHSQNTSLINQKKKMDADLSQLQTEVEEAVQECRNAEEKAKKAITDAAMMAEELKKEQDTSAHLERMKKNMEQTIKDLQHRLDEAEQIALKGGKKQLQKLEARVRELENELEAEQKRNAESVKGMRKSERRIKELTYQTEEDRKNLLRLQDLVDKLQLKVKAYKRQAEEAEEQANTNLSKFRKVQHELDEAEERADIAESQVNKLRAKSRDIGTKGLNEE
+>DECOY_sp|P12883|MYH7_HUMAN Myosin-7 OS=Homo sapiens OX=9606 GN=MYH7 PE=1 SV=5
+EENLGKTGIDRSKARLKNVQSEAIDAREEAEDLEHQVKRFKSLNTNAQEEAEEAQRKYAKVKLQLKDVLDQLRLLNKRDEETQYTLEKIRRESKRMGKVSEANRKQEAELENELERVRAELKQLQKKGGKLAIQEAEDLRHQLDKITQEMNKKMRELHASTDQEKKLEEAMMAADTIAKKAKEEANRCEQVAEEVETQLQSLDADMKKKQNILSTNQSHLLQVRESTEILEQEALKRSRETQEVVARLEELEAQLLNNRREVIAINEKLDDNARVADDLQIQTDKLLSQLSKVQKQAEAAMRNAHSLQIEMENLDGEMKKKVRLAENRSRTEADLSTQLSDVVRLHNRKAQEMEEDKEALKREIEAKIQNFELQARLIKGEEHELSAEAEELASQLEMKEAELQKRVKELEHITKGSSGLQETLDSIEEQLNKNERKFTELHELSEEYANKLKFLETSLSRAEKQSSELESQSEEYKQKWEALIKDFNRQKKDLAAAAANSREVDVMLDEIENQLRHKTKELSSCKANVAEVAEEAEQLRQALKKKAEELEETRQIADTEYKTRWQAVESNAKSLVRQLEAKAETEEEYQERLLDCDHRASQLAHALANKAKVEEELQRKLDELQQTYTLKGRTLQSILAEKEDLQRSLEGNETQLKARQSTLDNVSRQTEEAKSRHENMQDELTRCMKELNAKAKIIQEMNSTVDDLELKFESKEKELKQKVRQLNDIQEGLEAVSDAHKKRLAAATAEHQLTAEELDRRMKQFEAERKKNMEIQVSTAGGAEELRESIEELERSLDSRLKEVKARATREAELEEELEEIRAQLEKLKKQLQSGLAQEDEIRANLANLEFDKKKLREDLQQKDNELDMISEQTLKLDGELKRKARELDMRVKKEQELSGELDDVQQELKVKAKTLTNVKDEEAQLDDLAQQHAEQLAKKEKTLKAIIEDLGAMEETLNKVKNETAHKEKEVKALTLELDDIDRKLESCEDELKRKKATLEANMEEEDELRENMEKVKAELQIKNKILQDCREEADALNDQEAQVQLQLDNKEQLLSVMKEELEKRRAESKELAEKLRTFEEKMSAMEKEREASKLLPKIKFYLKMWPWNKVGMFARINWQIVLLSDRRELLKKYEMRALVGRSQAQIRTIIRSLREDRMEELLGLLGAKFFVKTHGFKYQNHDIDLSSLLKEAGKRSDIFQGEPIAAPNLIRYRQRFDGYLIRNPFGKRCIRIGELVGNCRLQHMVLPNDMVGPSKTENPIICRVFHPHTSRLNTMLKNLNERHLASVTQFSSGKKAKGKGKEIPADAGAYNAFLTSLLKLSSKQYLGVVTENLPDKNKQLWGIINYDVIGAYHILSFHAEPKGKINRPKQFNASKGLHNDFLKAKFTMDTAKPFMCEEELISMIGMPKEILDICAQLDMGFDIFTWEIGEKKYEEQELVFMHHNFFQQLKENTFNICLQEFSNFDFIEFGAIDLVGIFYQRPQKTELTANIRTVMWNFMREYVAKALAGTAYIVQQVNQGKTVYENGVKVRPHCLGKLLDASNLGMLYASKDAEETGDPEAQEERQKLKFKMNGFHMIAGTLKYMSNKEESTFGLVDFANDTAMLEEADDISAVTTEGQSIFAYDYPNNTILLMDLLEPKKNSLIQYFIHYDREAKLQFIVRSKELLYTEIDASALKGTAGFHIRIFKGFRSSNDNRVTKANGFAELAPNAQIIQDELTGKGPSQDKKSRDGIAAIVAFYQIVRKTNVTKGAGSEGTILISQNERDTLMYQYANDSISFIHPPAESRKKGRYAAVVEPTYVPLWKYPNVTVCFLGSYTYIMWSGYRDKLNYLVAPEHLFTLMAMDEIKDFKPPNQQMVQDEKVTVTKGYETEATVKGGERSVIKAKVFEQKDDPVFVDKKLDFPRTQAELREKESKRLYPAAAGFVAMESDGM
+>sp|Q9BUA6|MYL10_HUMAN Myosin regulatory light chain 10 OS=Homo sapiens OX=9606 GN=MYL10 PE=2 SV=2
+MLLRLVSNSWPQVILPPRPPKVLGLQAPRRARKRAEGTASSNVFSMFDQSQIQEFKESLALSPRLERNGMISAHCNLCLTGSSNSPASASQAFTIMDQNRDGFIDKEDLRDTFAALGRINVKNEELEAMVKEAPGPINFTVFLTMFGEKLKGTDPEETILHAFKVFDTEGKGFVKADVIKEKLMTQADRFSEEEVKQMFAAFPPDVCGNLDYRNLCYVITHGEEKD
+>DECOY_sp|Q9BUA6|MYL10_HUMAN Myosin regulatory light chain 10 OS=Homo sapiens OX=9606 GN=MYL10 PE=2 SV=2
+DKEEGHTIVYCLNRYDLNGCVDPPFAAFMQKVEEESFRDAQTMLKEKIVDAKVFGKGETDFVKFAHLITEEPDTGKLKEGFMTLFVTFNIPGPAEKVMAELEENKVNIRGLAAFTDRLDEKDIFGDRNQDMITFAQSASAPSNSSGTLCLNCHASIMGNRELRPSLALSEKFEQIQSQDFMSFVNSSATGEARKRARRPAQLGLVKPPRPPLIVQPWSNSVLRLLM
+>sp|P08590|MYL3_HUMAN Myosin light chain 3 OS=Homo sapiens OX=9606 GN=MYL3 PE=1 SV=3
+MAPKKPEPKKDDAKAAPKAAPAPAPPPEPERPKEVEFDASKIKIEFTPEQIEEFKEAFMLFDRTPKCEMKITYGQCGDVLRALGQNPTQAEVLRVLGKPRQEELNTKMMDFETFLPMLQHISKNKDTGTYEDFVEGLRVFDKEGNGTVMGAELRHVLATLGERLTEDEVEKLMAGQEDSNGCINYEAFVKHIMSS
+>DECOY_sp|P08590|MYL3_HUMAN Myosin light chain 3 OS=Homo sapiens OX=9606 GN=MYL3 PE=1 SV=3
+SSMIHKVFAEYNICGNSDEQGAMLKEVEDETLREGLTALVHRLEAGMVTGNGEKDFVRLGEVFDEYTGTDKNKSIHQLMPLFTEFDMMKTNLEEQRPKGLVRLVEAQTPNQGLARLVDGCQGYTIKMECKPTRDFLMFAEKFEEIQEPTFEIKIKSADFEVEKPREPEPPPAPAPAAKPAAKADDKKPEPKKPAM
+>sp|Q02045|MYL5_HUMAN Myosin light chain 5 OS=Homo sapiens OX=9606 GN=MYL5 PE=2 SV=1
+MASRKTKKKEGGALRAQRASSNVFSNFEQTQIQEFKEAFTLMDQNRDGFIDKEDLKDTYASLGKTNVKDDELDAMLKEASGPINFTMFLNLFGEKLSGTDAEETILNAFKMLDPDGKGKINKEYIKRLLMSQADKMTAEEVDQMFQFASIDVAGNLDYKALSYVITHGEEKEE
+>DECOY_sp|Q02045|MYL5_HUMAN Myosin light chain 5 OS=Homo sapiens OX=9606 GN=MYL5 PE=2 SV=1
+EEKEEGHTIVYSLAKYDLNGAVDISAFQFMQDVEEATMKDAQSMLLRKIYEKNIKGKGDPDLMKFANLITEEADTGSLKEGFLNLFMTFNIPGSAEKLMADLEDDKVNTKGLSAYTDKLDEKDIFGDRNQDMLTFAEKFEQIQTQEFNSFVNSSARQARLAGGEKKKTKRSAM
+>sp|P14649|MYL6B_HUMAN Myosin light chain 6B OS=Homo sapiens OX=9606 GN=MYL6B PE=1 SV=1
+MPPKKDVPVKKPAGPSISKPAAKPAAAGAPPAKTKAEPAVPQAPQKTQEPPVDLSKVVIEFNKDQLEEFKEAFELFDRVGDGKILYSQCGDVMRALGQNPTNAEVLKVLGNPKSDELKSRRVDFETFLPMLQAVAKNRGQGTYEDYLEGFRVFDKEGNGKVMGAELRHVLTTLGEKMTEEEVETVLAGHEDSNGCINYEAFLKHILSV
+>DECOY_sp|P14649|MYL6B_HUMAN Myosin light chain 6B OS=Homo sapiens OX=9606 GN=MYL6B PE=1 SV=1
+VSLIHKLFAEYNICGNSDEHGALVTEVEEETMKEGLTTLVHRLEAGMVKGNGEKDFVRFGELYDEYTGQGRNKAVAQLMPLFTEFDVRRSKLEDSKPNGLVKLVEANTPNQGLARMVDGCQSYLIKGDGVRDFLEFAEKFEELQDKNFEIVVKSLDVPPEQTKQPAQPVAPEAKTKAPPAGAAAPKAAPKSISPGAPKKVPVDKKPPM
+>sp|O43795|MYO1B_HUMAN Unconventional myosin-Ib OS=Homo sapiens OX=9606 GN=MYO1B PE=1 SV=3
+MAKMEVKTSLLDNMIGVGDMVLLEPLNEETFINNLKKRFDHSEIYTYIGSVVISVNPYRSLPIYSPEKVEEYRNRNFYELSPHIFALSDEAYRSLRDQDKDQCILITGESGAGKTEASKLVMSYVAAVCGKGAEVNQVKEQLLQSNPVLEAFGNAKTVRNDNSSRFGKYMDIEFDFKGDPLGGVISNYLLEKSRVVKQPRGERNFHVFYQLLSGASEELLNKLKLERDFSRYNYLSLDSAKVNGVDDAANFRTVRNAMQIVGFMDHEAESVLAVVAAVLKLGNIEFKPESRVNGLDESKIKDKNELKEICELTGIDQSVLERAFSFRTVEAKQEKVSTTLNVAQAYYARDALAKNLYSRLFSWLVNRINESIKAQTKVRKKVMGVLDIYGFEIFEDNSFEQFIINYCNEKLQQIFIELTLKEEQEEYIREDIEWTHIDYFNNAIICDLIENNTNGILAMLDEECLRPGTVTDETFLEKLNQVCATHQHFESRMSKCSRFLNDTSLPHSCFRIQHYAGKVLYQVEGFVDKNNDLLYRDLSQAMWKASHALIKSLFPEGNPAKINLKRPPTAGSQFKASVATLMKNLQTKNPNYIRCIKPNDKKAAHIFNEALVCHQIRYLGLLENVRVRRAGYAFRQAYEPCLERYKMLCKQTWPHWKGPARSGVEVLFNELEIPVEEYSFGRSKIFIRNPRTLFKLEDLRKQRLEDLATLIQKIYRGWKCRTHFLLMKKSQIVIAAWYRRYAQQKRYQQTKSSALVIQSYIRGWKARKILRELKHQKRCKEAVTTIAAYWHGTQARRELRRLKEEARNKHAIAVIWAYWLGSKARRELKRLKEEARRKHAVAVIWAYWLGLKVRREYRKFFRANAGKKIYEFTLQRIVQKYFLEMKNKMPSLSPIDKNWPSRPYLFLDSTHKELKRIFHLWRCKKYRDQFTDQQKLIYEEKLEASELFKDKKALYPSSVGQPFQGAYLEINKNPKYKKLKDAIEEKIIIAEVVNKINRANGKSTSRIFLLTNNNLLLADQKSGQIKSEVPLVDVTKVSMSSQNDGFFAVHLKEGSEAASKGDFLFSSDHLIEMATKLYRTTLSQTKQKLNIEISDEFLVQFRQDKVCVKFIQGNQKNGSVPTCKRKNNRLLEVAVP
+>DECOY_sp|O43795|MYO1B_HUMAN Unconventional myosin-Ib OS=Homo sapiens OX=9606 GN=MYO1B PE=1 SV=3
+PVAVELLRNNKRKCTPVSGNKQNGQIFKVCVKDQRFQVLFEDSIEINLKQKTQSLTTRYLKTAMEILHDSSFLFDGKSAAESGEKLHVAFFGDNQSSMSVKTVDVLPVESKIQGSKQDALLLNNNTLLFIRSTSKGNARNIKNVVEAIIIKEEIADKLKKYKPNKNIELYAGQFPQGVSSPYLAKKDKFLESAELKEEYILKQQDTFQDRYKKCRWLHFIRKLEKHTSDLFLYPRSPWNKDIPSLSPMKNKMELFYKQVIRQLTFEYIKKGANARFFKRYERRVKLGLWYAWIVAVAHKRRAEEKLRKLERRAKSGLWYAWIVAIAHKNRAEEKLRRLERRAQTGHWYAAITTVAEKCRKQHKLERLIKRAKWGRIYSQIVLASSKTQQYRKQQAYRRYWAAIVIQSKKMLLFHTRCKWGRYIKQILTALDELRQKRLDELKFLTRPNRIFIKSRGFSYEEVPIELENFLVEVGSRAPGKWHPWTQKCLMKYRELCPEYAQRFAYGARRVRVNELLGLYRIQHCVLAENFIHAAKKDNPKICRIYNPNKTQLNKMLTAVSAKFQSGATPPRKLNIKAPNGEPFLSKILAHSAKWMAQSLDRYLLDNNKDVFGEVQYLVKGAYHQIRFCSHPLSTDNLFRSCKSMRSEFHQHTACVQNLKELFTEDTVTGPRLCEEDLMALIGNTNNEILDCIIANNFYDIHTWEIDERIYEEQEEKLTLEIFIQQLKENCYNIIFQEFSNDEFIEFGYIDLVGMVKKRVKTQAKISENIRNVLWSFLRSYLNKALADRAYYAQAVNLTTSVKEQKAEVTRFSFARELVSQDIGTLECIEKLENKDKIKSEDLGNVRSEPKFEINGLKLVAAVVALVSEAEHDMFGVIQMANRVTRFNAADDVGNVKASDLSLYNYRSFDRELKLKNLLEESAGSLLQYFVHFNREGRPQKVVRSKELLYNSIVGGLPDGKFDFEIDMYKGFRSSNDNRVTKANGFAELVPNSQLLQEKVQNVEAGKGCVAAVYSMVLKSAETKGAGSEGTILICQDKDQDRLSRYAEDSLAFIHPSLEYFNRNRYEEVKEPSYIPLSRYPNVSIVVSGIYTYIESHDFRKKLNNIFTEENLPELLVMDGVGIMNDLLSTKVEMKAM
+>sp|O94832|MYO1D_HUMAN Unconventional myosin-Id OS=Homo sapiens OX=9606 GN=MYO1D PE=1 SV=2
+MAEQESLEFGKADFVLMDTVSMPEFMANLRLRFEKGRIYTFIGEVVVSVNPYKLLNIYGRDTIEQYKGRELYERPPHLFAIADAAYKAMKRRSKDTCIVISGESGAGKTEASKYIMQYIAAITNPSQRAEVERVKNMLLKSNCVLEAFGNAKTNRNDNSSRFGKYMDINFDFKGDPIGGHINNYLLEKSRVIVQQPGERSFHSFYQLLQGGSEQMLRSLHLQKSLSSYNYIHVGAQLKSSINDAAEFRVVADAMKVIGFKPEEIQTVYKILAAILHLGNLKFVVDGDTPLIENGKVVSIIAELLSTKTDMVEKALLYRTVATGRDIIDKQHTEQEASYGRDAFAKAIYERLFCWIVTRINDIIEVKNYDTTIHGKNTVIGVLDIYGFEIFDNNSFEQFCINYCNEKLQQLFIQLVLKQEQEEYQREGIPWKHIDYFNNQIIVDLVEQQHKGIIAILDDACMNVGKVTDEMFLEALNSKLGKHAHFSSRKLCASDKILEFDRDFRIRHYAGDVVYSVIGFIDKNKDTLFQDFKRLMYNSSNPVLKNMWPEGKLSITEVTKRPLTAATLFKNSMIALVDNLASKEPYYVRCIKPNDKKSPQIFDDERCRHQVEYLGLLENVRVRRAGFAFRQTYEKFLHRYKMISEFTWPNHDLPSDKEAVKKLIERCGFQDDVAYGKTKIFIRTPRTLFTLEELRAQMLIRIVLFLQKVWRGTLARMRYKRTKAALTIIRYYRRYKVKSYIHEVARRFHGVKTMRDYGKHVKWPSPPKVLRRFEEALQTIFNRWRASQLIKSIPASDLPQVRAKVAAVEMLKGQRADLGLQRAWEGNYLASKPDTPQTSGTFVPVANELKRKDKYMNVLFSCHVRKVNRFSKVEDRAIFVTDRHLYKMDPTKQYKVMKTIPLYNLTGLSVSNGKDQLVVFHTKDNKDLIVCLFSKQPTHESRIGELVGVLVNHFKSEKRHLQVNVTNPVQCSLHGKKCTVSVETRLNQPQPDFTKNRSGFILSVPGN
+>DECOY_sp|O94832|MYO1D_HUMAN Unconventional myosin-Id OS=Homo sapiens OX=9606 GN=MYO1D PE=1 SV=2
+NGPVSLIFGSRNKTFDPQPQNLRTEVSVTCKKGHLSCQVPNTVNVQLHRKESKFHNVLVGVLEGIRSEHTPQKSFLCVILDKNDKTHFVVLQDKGNSVSLGTLNYLPITKMVKYQKTPDMKYLHRDTVFIARDEVKSFRNVKRVHCSFLVNMYKDKRKLENAVPVFTGSTQPTDPKSALYNGEWARQLGLDARQGKLMEVAAVKARVQPLDSAPISKILQSARWRNFITQLAEEFRRLVKPPSPWKVHKGYDRMTKVGHFRRAVEHIYSKVKYRRYYRIITLAAKTRKYRMRALTGRWVKQLFLVIRILMQARLEELTFLTRPTRIFIKTKGYAVDDQFGCREILKKVAEKDSPLDHNPWTFESIMKYRHLFKEYTQRFAFGARRVRVNELLGLYEVQHRCREDDFIQPSKKDNPKICRVYYPEKSALNDVLAIMSNKFLTAATLPRKTVETISLKGEPWMNKLVPNSSNYMLRKFDQFLTDKNKDIFGIVSYVVDGAYHRIRFDRDFELIKDSACLKRSSFHAHKGLKSNLAELFMEDTVKGVNMCADDLIAIIGKHQQEVLDVIIQNNFYDIHKWPIGERQYEEQEQKLVLQIFLQQLKENCYNICFQEFSNNDFIEFGYIDLVGIVTNKGHITTDYNKVEIIDNIRTVIWCFLREYIAKAFADRGYSAEQETHQKDIIDRGTAVTRYLLAKEVMDTKTSLLEAIISVVKGNEILPTDGDVVFKLNGLHLIAALIKYVTQIEEPKFGIVKMADAVVRFEAADNISSKLQAGVHIYNYSSLSKQLHLSRLMQESGGQLLQYFSHFSREGPQQVIVRSKELLYNNIHGGIPDGKFDFNIDMYKGFRSSNDNRNTKANGFAELVCNSKLLMNKVREVEARQSPNTIAAIYQMIYKSAETKGAGSEGSIVICTDKSRRKMAKYAADAIAFLHPPREYLERGKYQEITDRGYINLLKYPNVSVVVEGIFTYIRGKEFRLRLNAMFEPMSVTDMLVFDAKGFELSEQEAM
+>sp|Q8WXR4|MYO3B_HUMAN Myosin-IIIb OS=Homo sapiens OX=9606 GN=MYO3B PE=2 SV=4
+MKHLYGLFHYNPMMLGLESLPDPTDTWEIIETIGKGTYGKVYKVTNKRDGSLAAVKILDPVSDMDEEIEAEYNILQFLPNHPNVVKFYGMFYKADHCVGGQLWLVLELCNGGSVTELVKGLLRCGQRLDEAMISYILYGALLGLQHLHNNRIIHRDVKGNNILLTTEGGVKLVDFGVSAQLTSTRLRRNTSVGTPFWMAPEVIACEQQYDSSYDARCDVWSLGITAIELGDGDPPLFDMHPVKTLFKIPRNPPPTLLHPEKWCEEFNHFISQCLIKDFERRPSVTHLLDHPFIKGVHGKVLFLQKQLAKVLQDQKHQNPVAKTRHERMHTRRPYHVEDAEKYCLEDDLVNLEVLDEDTIIHQLQKRYADLLIYTYVGDILIALNPFQNLSIYSPQFSRLYHGVKRASNPPHIFASADAAYQCMVTLSKDQCIVISGESGSGKTESAHLIVQHLTFLGKANNQTLREKILQVNSLVEAFGNSCTAINDNSSRFGKYLEMMFTPTGVVMGARISEYLLEKSRVIKQAAREKNFHIFYYIYAGLHHQKKLSDFRLPEEKPPRYIADETGRVMHDITSKESYRRQFEAIQHCFRIIGFTDKEVHSVYRILAGILNIGNIEFAAISSQHQTDKSEVPNAEALQNAASVLCISPEELQEALTSHCVVTRGETIIRANTVDRAADVRDAMSKALYGRLFSWIVNRINTLLQPDENICSAGGGMNVGILDIFGFENFQRNSFEQLCINIANEQIQYYFNQHVFALEQMEYQNEGIDAVPVEYEDNRPLLDMFLQKPLGLLALLDEESRFPQATDQTLVDKFEDNLRCKYFWRPKGVELCFGIQHYAGKVLYDASGVLEKNRDTLPADVVVVLRTSENKLLQQLFSIPLTKTGNLAQTRARITVASSSLPPHFSAGKAKVDTLEVIRHPEETTNMKRQTVASYFRYSLMDLLSKMVVGQPHFVRCIKPNDDREALQFSRERVLAQLRSTGILETVSIRRQGYSHRILFEEFVKRYYYLAFTAHQTPLASKESCVAILEKSRLDHWVLGKTKVFLKYYHVEQLNLLLREVIGRVVVLQAYTKGWLGARRYKRVREKREKGAIAIQSAWRGYDARRKFKKISNRRNESAAHNQAGDTSNQSSGPHSPVAAGTRGSAEVQDCSEPGDHKVLRGSVHRRSHSQAESNNGRTQTSSNSPAVTEKNGHSQAQSSPKGCDIFAGHANKHSVSGTDLLSSRICHPAPDQQGLSLWGAPQKPGSENGLAQKHRTPRRRCQQPKMLSSPEDTMYYNQLNGTLEYQGSKRKPRKLGQIKVLDGEDEYYKSLSPVDCIPEENNSAHPSFFSSSSKGDSFAQH
+>DECOY_sp|Q8WXR4|MYO3B_HUMAN Myosin-IIIb OS=Homo sapiens OX=9606 GN=MYO3B PE=2 SV=4
+HQAFSDGKSSSSFFSPHASNNEEPICDVPSLSKYYEDEGDLVKIQGLKRPKRKSGQYELTGNLQNYYMTDEPSSLMKPQQCRRRPTRHKQALGNESGPKQPAGWLSLGQQDPAPHCIRSSLLDTGSVSHKNAHGAFIDCGKPSSQAQSHGNKETVAPSNSSTQTRGNNSEAQSHSRRHVSGRLVKHDGPESCDQVEASGRTGAAVPSHPGSSQNSTDGAQNHAASENRRNSIKKFKRRADYGRWASQIAIAGKERKERVRKYRRAGLWGKTYAQLVVVRGIVERLLLNLQEVHYYKLFVKTKGLVWHDLRSKELIAVCSEKSALPTQHATFALYYYRKVFEEFLIRHSYGQRRISVTELIGTSRLQALVRERSFQLAERDDNPKICRVFHPQGVVMKSLLDMLSYRFYSAVTQRKMNTTEEPHRIVELTDVKAKGASFHPPLSSSAVTIRARTQALNGTKTLPISFLQQLLKNESTRLVVVVDAPLTDRNKELVGSADYLVKGAYHQIGFCLEVGKPRWFYKCRLNDEFKDVLTQDTAQPFRSEEDLLALLGLPKQLFMDLLPRNDEYEVPVADIGENQYEMQELAFVHQNFYYQIQENAINICLQEFSNRQFNEFGFIDLIGVNMGGGASCINEDPQLLTNIRNVIWSFLRGYLAKSMADRVDAARDVTNARIITEGRTVVCHSTLAEQLEEPSICLVSAANQLAEANPVESKDTQHQSSIAAFEINGINLIGALIRYVSHVEKDTFGIIRFCHQIAEFQRRYSEKSTIDHMVRGTEDAIYRPPKEEPLRFDSLKKQHHLGAYIYYFIHFNKERAAQKIVRSKELLYESIRAGMVVGTPTFMMELYKGFRSSNDNIATCSNGFAEVLSNVQLIKERLTQNNAKGLFTLHQVILHASETKGSGSEGSIVICQDKSLTVMCQYAADASAFIHPPNSARKVGHYLRSFQPSYISLNQFPNLAILIDGVYTYILLDAYRKQLQHIITDEDLVELNVLDDELCYKEADEVHYPRRTHMREHRTKAVPNQHKQDQLVKALQKQLFLVKGHVGKIFPHDLLHTVSPRREFDKILCQSIFHNFEECWKEPHLLTPPPNRPIKFLTKVPHMDFLPPDGDGLEIATIGLSWVDCRADYSSDYQQECAIVEPAMWFPTGVSTNRRLRTSTLQASVGFDVLKVGGETTLLINNGKVDRHIIRNNHLHQLGLLAGYLIYSIMAEDLRQGCRLLGKVLETVSGGNCLELVLWLQGGVCHDAKYFMGYFKVVNPHNPLFQLINYEAEIEEDMDSVPDLIKVAALSGDRKNTVKYVKGYTGKGITEIIEWTDTPDPLSELGLMMPNYHFLGYLHKM
+>sp|B2RTY4|MYO9A_HUMAN Unconventional myosin-IXa OS=Homo sapiens OX=9606 GN=MYO9A PE=1 SV=2
+MNINDGGRRRFEDNEHTLRIYPGAISEGTIYCPIPARKNSTAAEVIESLINKLHLDKTKCYVLAEVKEFGGEEWILNPTDCPVQRMMLWPRMALENRLSGEDYRFLLREKNLDGSIHYGSLQSWLRVTEERRRMMERGFLPQPQQKDFDDLCSLPDLNEKTLLENLRNRFKHEKIYTYVGSILIVINPFKFLPIYNPKYVKMYDNHQLGKLEPHIYAVADVAYHAMLQRKKNQCIVISGESGSGKTQSTNFLIHHLTALSQKGFASGVEQIILGAGPVLEAFGNAKTAHNNNSSRFGKFIQVNYQETGTVLGAYVEKYLLEKSRLVYQEHNERNYHVFYYLLAGASEDERSAFHLKQPEEYHYLNQITKKPLRQSWDDYCYDSEPDCFTVEGEDLRHDFERLQLAMEMVGFLPKTRRQIFSLLSAILHLGNICYKKKTYRDDSIDICNPEVLPIVSELLEVKEEMLFEALVTRKTVTVGEKLILPYKLAEAVTVRNSMAKSLYSALFDWIVFRINHALLNSKDLEHNTKTLSIGVLDIFGFEDYENNSFEQFCINFANERLQHYFNQHIFKLEQEEYRTEGISWHNIDYIDNTCCINLISKKPTGLLHLLDEESNFPQATNQTLLDKFKHQHEDNSYIEFPAVMEPAFIIKHYAGKVKYGVKDFREKNTDHMRPDIVALLRSSKNAFISGMIGIDPVAVFRWAILRAFFRAMVAFREAGKRNIHRKTGHDDTAPCAILKSMDSFSFLQHPVHQRSLEILQRCKEEKYSITRKNPRTPLSDLQGMNALNEKNQHDTFDIAWNGRTGIRQSRLSSGTSLLDKDGIFANSTSSKLLERAHGILTRNKNFKSKPALPKHLLEVNSLKHLTRLTLQDRITKSLLHLHKKKKPPSISAQFQASLSKLMETLGQAEPYFVKCIRSNAEKLPLRFSDVLVLRQLRYTGMLETVRIRQSGYSSKYSFQDFVSHFHVLLPRNIIPSKFNIQDFFRKINLNPDNYQVGKTMVFLKEQERQHLQDLLHQEVLRRIILLQRWFRVLLCRQHFLHLRQASVIIQRFWRNYLNQKQVRDAAVQKDAFVMASAAALLQASWRAHLERQRYLELRAAAIVIQQKWRDYYRRRHMAAICIQARWKAYRESKRYQEQRKKIILLQSTCRGFRARQRFKALKEQRLRETKPEVGLVNIKGYGSLEIQGSDPSGWEDCSFDNRIKAIEECKSVIESNRISRESSVDCLKESPNKQQERAQSQSGVDLQEDVLVRERPRSLEDLHQKKVGRAKRESRRMRELEQAIFSLELLKVRSLGGISPSEDRRWSTELVPEGLQSPRGTPDSESSQGSLELLSYEESQKSKLESVISDEGDLQFPSPKISSSPKFDSRDNALSASNETSSAEHLKDGTMKEMVVCSSESITCKPQLKDSFISNSLPTFFYIPQQDPLKTNSQLDTSIQRNKLLENEDTAGEALTLDINRETRRYHCSGKDQIVPSLNTESSNPVLKKLEKLNTEKEERQKQLQQQNEKEMMEQIRQQTDILEKERKAFKTIEKPRIGECLVAPSSYQSKQRVERPSSLLSLNTSNKGELNVLGSLSLKDAALAQKDSSSAHLPPKDRPVTVFFERKGSPCQSSTVKELSKTDRMGTQLNVACKLSNNRISKREHFRPTQSYSHNSDDLSREGNARPIFFTPKDNMSIPLVSKEALNSKNPQLHKEDEPAWKPVKLAGPGQRETSQRFSSVDEQAKLHKTMSQGEITKLAVRQKASDSDIRPQRAKMRFWAKGKQGEKKTTRVKPTTQSEVSPLFAGTDVIPAHQFPDELAAYHPTPPLSPELPGSCRKEFKENKEPSPKAKRKRSVKISNVALDSMHWQNDSVQIIASVSDLKSMDEFLLKKVNDLDNEDSKKDTLVDVVFKKALKEFRQNIFSFYSSALAMDDGKSIRYKDLYALFEQILEKTMRLEQRDSLGESPVRVWVNTFKVFLDEYMNEFKTSDCTATKVPKTERKKRRKKETDLVEEHNGHIFKATQYSIPTYCEYCSSLIWIMDRASVCKLCKYACHKKCCLKTTAKCSKKYDPELSSRQFGVELSRLTSEDRTVPLVVEKLINYIEMHGLYTEGIYRKSGSTNKIKELRQGLDTDAESVNLDDYNIHVIASVFKQWLRDLPNPLMTFELYEEFLRAMGLQERKETIRGVYSVIDQLSRTHLNTLERLIFHLVRIALQEDTNRMSANALAIVFAPCILRCPDTTDPLQSVQDISKTTTCVELIVVEQMNKYKARLKDISSLEFAENKAKTRLSLIRRSMGKGRIRRGNYPGPSSPVVVRLPSVSDVSEETLTSEAAMETDITEQQQAAMQQEERVLTEQIENLQKEKEELTFEMLVLEPRASDDETLESEASIGTADSSENLNMESEYAISEKSERSLALSSLKTAGKSEPSSKLRKQLKKQQDSLDVVDSSVSSLCLSNTASSHGTRKLFQIYSKSPFYRAASGNEALGMEGPLGQTKFLEDKPQFISRGTFNPEKGKQKLKNVKNSPQKTKETPEGTVMSGRRKTVDPDCTSNQQLALFGNNEFMV
+>DECOY_sp|B2RTY4|MYO9A_HUMAN Unconventional myosin-IXa OS=Homo sapiens OX=9606 GN=MYO9A PE=1 SV=2
+VMFENNGFLALQQNSTCDPDVTKRRGSMVTGEPTEKTKQPSNKVNKLKQKGKEPNFTGRSIFQPKDELFKTQGLPGEMGLAENGSAARYFPSKSYIQFLKRTGHSSATNSLCLSSVSSDVVDLSDQQKKLQKRLKSSPESKGATKLSSLALSRESKESIAYESEMNLNESSDATGISAESELTEDDSARPELVLMEFTLEEKEKQLNEIQETLVREEQQMAAQQQETIDTEMAAESTLTEESVDSVSPLRVVVPSSPGPYNGRRIRGKGMSRRILSLRTKAKNEAFELSSIDKLRAKYKNMQEVVILEVCTTTKSIDQVSQLPDTTDPCRLICPAFVIALANASMRNTDEQLAIRVLHFILRELTNLHTRSLQDIVSYVGRITEKREQLGMARLFEEYLEFTMLPNPLDRLWQKFVSAIVHINYDDLNVSEADTDLGQRLEKIKNTSGSKRYIGETYLGHMEIYNILKEVVLPVTRDESTLRSLEVGFQRSSLEPDYKKSCKATTKLCCKKHCAYKCLKCVSARDMIWILSSCYECYTPISYQTAKFIHGNHEEVLDTEKKRRKKRETKPVKTATCDSTKFENMYEDLFVKFTNVWVRVPSEGLSDRQELRMTKELIQEFLAYLDKYRISKGDDMALASSYFSFINQRFEKLAKKFVVDVLTDKKSDENDLDNVKKLLFEDMSKLDSVSAIIQVSDNQWHMSDLAVNSIKVSRKRKAKPSPEKNEKFEKRCSGPLEPSLPPTPHYAALEDPFQHAPIVDTGAFLPSVESQTTPKVRTTKKEGQKGKAWFRMKARQPRIDSDSAKQRVALKTIEGQSMTKHLKAQEDVSSFRQSTERQGPGALKVPKWAPEDEKHLQPNKSNLAEKSVLPISMNDKPTFFIPRANGERSLDDSNHSYSQTPRFHERKSIRNNSLKCAVNLQTGMRDTKSLEKVTSSQCPSGKREFFVTVPRDKPPLHASSSDKQALAADKLSLSGLVNLEGKNSTNLSLLSSPREVRQKSQYSSPAVLCEGIRPKEITKFAKREKELIDTQQRIQEMMEKENQQQLQKQREEKETNLKELKKLVPNSSETNLSPVIQDKGSCHYRRTERNIDLTLAEGATDENELLKNRQISTDLQSNTKLPDQQPIYFFTPLSNSIFSDKLQPKCTISESSCVVMEKMTGDKLHEASSTENSASLANDRSDFKPSSSIKPSPFQLDGEDSIVSELKSKQSEEYSLLELSGQSSESDPTGRPSQLGEPVLETSWRRDESPSIGGLSRVKLLELSFIAQELERMRRSERKARGVKKQHLDELSRPRERVLVDEQLDVGSQSQAREQQKNPSEKLCDVSSERSIRNSEIVSKCEEIAKIRNDFSCDEWGSPDSGQIELSGYGKINVLGVEPKTERLRQEKLAKFRQRARFGRCTSQLLIIKKRQEQYRKSERYAKWRAQICIAAMHRRRYYDRWKQQIVIAAARLELYRQRELHARWSAQLLAAASAMVFADKQVAADRVQKQNLYNRWFRQIIVSAQRLHLFHQRCLLVRFWRQLLIIRRLVEQHLLDQLHQREQEKLFVMTKGVQYNDPNLNIKRFFDQINFKSPIINRPLLVHFHSVFDQFSYKSSYGSQRIRVTELMGTYRLQRLVLVDSFRLPLKEANSRICKVFYPEAQGLTEMLKSLSAQFQASISPPKKKKHLHLLSKTIRDQLTLRTLHKLSNVELLHKPLAPKSKFNKNRTLIGHARELLKSSTSNAFIGDKDLLSTGSSLRSQRIGTRGNWAIDFTDHQNKENLANMGQLDSLPTRPNKRTISYKEEKCRQLIELSRQHVPHQLFSFSDMSKLIACPATDDHGTKRHINRKGAERFAVMARFFARLIAWRFVAVPDIGIMGSIFANKSSRLLAVIDPRMHDTNKERFDKVGYKVKGAYHKIIFAPEMVAPFEIYSNDEHQHKFKDLLTQNTAQPFNSEEDLLHLLGTPKKSILNICCTNDIYDINHWSIGETRYEEQELKFIHQNFYHQLRENAFNICFQEFSNNEYDEFGFIDLVGISLTKTNHELDKSNLLAHNIRFVIWDFLASYLSKAMSNRVTVAEALKYPLILKEGVTVTKRTVLAEFLMEEKVELLESVIPLVEPNCIDISDDRYTKKKYCINGLHLIASLLSFIQRRTKPLFGVMEMALQLREFDHRLDEGEVTFCDPESDYCYDDWSQRLPKKTIQNLYHYEEPQKLHFASREDESAGALLYYFVHYNRENHEQYVLRSKELLYKEVYAGLVTGTEQYNVQIFKGFRSSNNNHATKANGFAELVPGAGLIIQEVGSAFGKQSLATLHHILFNTSQTKGSGSEGSIVICQNKKRQLMAHYAVDAVAYIHPELKGLQHNDYMKVYKPNYIPLFKFPNIVILISGVYTYIKEHKFRNRLNELLTKENLDPLSCLDDFDKQQPQPLFGREMMRRREETVRLWSQLSGYHISGDLNKERLLFRYDEGSLRNELAMRPWLMMRQVPCDTPNLIWEEGGFEKVEALVYCKTKDLHLKNILSEIVEAATSNKRAPIPCYITGESIAGPYIRLTHENDEFRRRGGDNINM
+>sp|Q9UL68|MYT1L_HUMAN Myelin transcription factor 1-like protein OS=Homo sapiens OX=9606 GN=MYT1L PE=2 SV=3
+MEVDTEEKRHRTRSKGVRVPVEPAIQELFSCPTPGCDGSGHVSGKYARHRSVYGCPLAKKRKTQDKQPQEPAPKRKPFAVKADSSSVDECDDSDGTEDMDEKEEDEGEEYSEDNDEPGDEDEEDEEGDREEEEEIEEEDEDDDEDGEDVEDEEEEEEEEEEEEEEEENEDHQMNCHNTRIMQDTEKDDNNNDEYDNYDELVAKSLLNLGKIAEDAAYRARTESEMNSNTSNSLEDDSDKNENLGRKSELSLDLDSDVVRETVDSLKLLAQGHGVVLSENMNDRNYADSMSQQDSRNMNYVMLGKPMNNGLMEKMVEESDEEVCLSSLECLRNQCFDLARKLSETNPQERNPQQNMNIRQHVRPEEDFPGRTPDRNYSDMLNLMRLEEQLSPRSRVFASCAKEDGCHERDDDTTSVNSDRSEEVFDMTKGNLTLLEKAIALETERAKAMREKMAMEAGRRDNMRSYEDQSPRQLPGEDRKPKSSDSHVKKPYYGKDPSRTEKKESKCPTPGCDGTGHVTGLYPHHRSLSGCPHKDRVPPEILAMHESVLKCPTPGCTGRGHVNSNRNSHRSLSGCPIAAAEKLAKAQEKHQSCDVSKSSQASDRVLRPMCFVKQLEIPQYGYRNNVPTTTPRSNLAKELEKYSKTSFEYNSYDNHTYGKRAIAPKVQTRDISPKGYDDAKRYCKDPSPSSSSTSSYAPSSSSNLSCGGGSSASSTCSKSSFDYTHDMEAAHMAATAILNLSTRCREMPQNLSTKPQDLCATRNPDMEVDENGTLDLSMNKQRPRDSCCPILTPLEPMSPQQQAVMNNRCFQLGEGDCWDLPVDYTKMKPRRIDEDESKDITPEDLDPFQEALEERRYPGEVTIPSPKPKYPQCKESKKDLITLSGCPLADKSIRSMLATSSQELKCPTPGCDGSGHITGNYASHRSLSGCPRAKKSGIRIAQSKEDKEDQEPIRCPVPGCDGQGHITGKYASHRSASGCPLAAKRQKDGYLNGSQFSWKSVKTEGMSCPTPGCDGSGHVSGSFLTHRSLSGCPRATSAMKKAKLSGEQMLTIKQRASNGIENDEEIKQLDEEIKELNESNSQMEADMIKLRTQITTMESNLKTIEEENKVIEQQNESLLHELANLSQSLIHSLANIQLPHMDPINEQNFDAYVTTLTEMYTNQDRYQSPENKALLENIKQAVRGIQV
+>DECOY_sp|Q9UL68|MYT1L_HUMAN Myelin transcription factor 1-like protein OS=Homo sapiens OX=9606 GN=MYT1L PE=2 SV=3
+VQIGRVAQKINELLAKNEPSQYRDQNTYMETLTTVYADFNQENIPDMHPLQINALSHILSQSLNALEHLLSENQQEIVKNEEEITKLNSEMTTIQTRLKIMDAEMQSNSENLEKIEEDLQKIEEDNEIGNSARQKITLMQEGSLKAKKMASTARPCGSLSRHTLFSGSVHGSGDCGPTPCSMGETKVSKWSFQSGNLYGDKQRKAALPCGSASRHSAYKGTIHGQGDCGPVPCRIPEQDEKDEKSQAIRIGSKKARPCGSLSRHSAYNGTIHGSGDCGPTPCKLEQSSTALMSRISKDALPCGSLTILDKKSEKCQPYKPKPSPITVEGPYRREELAEQFPDLDEPTIDKSEDEDIRRPKMKTYDVPLDWCDGEGLQFCRNNMVAQQQPSMPELPTLIPCCSDRPRQKNMSLDLTGNEDVEMDPNRTACLDQPKTSLNQPMERCRTSLNLIATAAMHAAEMDHTYDFSSKSCTSSASSGGGCSLNSSSSPAYSSTSSSSPSPDKCYRKADDYGKPSIDRTQVKPAIARKGYTHNDYSNYEFSTKSYKELEKALNSRPTTTPVNNRYGYQPIELQKVFCMPRLVRDSAQSSKSVDCSQHKEQAKALKEAAAIPCGSLSRHSNRNSNVHGRGTCGPTPCKLVSEHMALIEPPVRDKHPCGSLSRHHPYLGTVHGTGDCGPTPCKSEKKETRSPDKGYYPKKVHSDSSKPKRDEGPLQRPSQDEYSRMNDRRGAEMAMKERMAKARETELAIAKELLTLNGKTMDFVEESRDSNVSTTDDDREHCGDEKACSAFVRSRPSLQEELRMLNLMDSYNRDPTRGPFDEEPRVHQRINMNQQPNREQPNTESLKRALDFCQNRLCELSSLCVEEDSEEVMKEMLGNNMPKGLMVYNMNRSDQQSMSDAYNRDNMNESLVVGHGQALLKLSDVTERVVDSDLDLSLESKRGLNENKDSDDELSNSTNSNMESETRARYAADEAIKGLNLLSKAVLEDYNDYEDNNNDDKETDQMIRTNHCNMQHDENEEEEEEEEEEEEEEEEDEVDEGDEDDDEDEEEIEEEEERDGEEDEEDEDGPEDNDESYEEGEDEEKEDMDETGDSDDCEDVSSSDAKVAFPKRKPAPEQPQKDQTKRKKALPCGYVSRHRAYKGSVHGSGDCGPTPCSFLEQIAPEVPVRVGKSRTRHRKEETDVEM
+>sp|P32418|NAC1_HUMAN Sodium/calcium exchanger 1 OS=Homo sapiens OX=9606 GN=SLC8A1 PE=1 SV=3
+MYNMRRLSLSPTFSMGFHLLVTVSLLFSHVDHVIAETEMEGEGNETGECTGSYYCKKGVILPIWEPQDPSFGDKIARATVYFVAMVYMFLGVSIIADRFMSSIEVITSQEKEITIKKPNGETTKTTVRIWNETVSNLTLMALGSSAPEILLSVIEVCGHNFTAGDLGPSTIVGSAAFNMFIIIALCVYVVPDGETRKIKHLRVFFVTAAWSIFAYTWLYIILSVISPGVVEVWEGLLTFFFFPICVVFAWVADRRLLFYKYVYKRYRAGKQRGMIIEHEGDRPSSKTEIEMDGKVVNSHVENFLDGALVLEVDERDQDDEEARREMARILKELKQKHPDKEIEQLIELANYQVLSQQQKSRAFYRIQATRLMTGAGNILKRHAADQARKAVSMHEVNTEVTENDPVSKIFFEQGTYQCLENCGTVALTIIRRGGDLTNTVFVDFRTEDGTANAGSDYEFTEGTVVFKPGDTQKEIRVGIIDDDIFEEDENFLVHLSNVKVSSEASEDGILEANHVSTLACLGSPSTATVTIFDDDHAGIFTFEEPVTHVSESIGIMEVKVLRTSGARGNVIVPYKTIEGTARGGGEDFEDTCGELEFQNDEIVKTISVKVIDDEEYEKNKTFFLEIGEPRLVEMSEKKALLLNELGGFTITGKYLFGQPVFRKVHAREHPILSTVITIADEYDDKQPLTSKEEEERRIAEMGRPILGEHTKLEVIIEESYEFKSTVDKLIKKTNLALVVGTNSWREQFIEAITVSAGEDDDDDECGEEKLPSCFDYVMHFLTVFWKVLFAFVPPTEYWNGWACFIVSILMIGLLTAFIGDLASHFGCTIGLKDSVTAVVFVALGTSVPDTFASKVAATQDQYADASIGNVTGSNAVNVFLGIGVAWSIAAIYHAANGEQFKVSPGTLAFSVTLFTIFAFINVGVLLYRRRPEIGGELGGPRTAKLLTSCLFVLLWLLYIFFSSLEAYCHIKGF
+>DECOY_sp|P32418|NAC1_HUMAN Sodium/calcium exchanger 1 OS=Homo sapiens OX=9606 GN=SLC8A1 PE=1 SV=3
+FGKIHCYAELSSFFIYLLWLLVFLCSTLLKATRPGGLEGGIEPRRRYLLVGVNIFAFITFLTVSFALTGPSVKFQEGNAAHYIAAISWAVGIGLFVNVANSGTVNGISADAYQDQTAAVKSAFTDPVSTGLAVFVVATVSDKLGITCGFHSALDGIFATLLGIMLISVIFCAWGNWYETPPVFAFLVKWFVTLFHMVYDFCSPLKEEGCEDDDDDEGASVTIAEIFQERWSNTGVVLALNTKKILKDVTSKFEYSEEIIVELKTHEGLIPRGMEAIRREEEEKSTLPQKDDYEDAITIVTSLIPHERAHVKRFVPQGFLYKGTITFGGLENLLLAKKESMEVLRPEGIELFFTKNKEYEEDDIVKVSITKVIEDNQFELEGCTDEFDEGGGRATGEITKYPVIVNGRAGSTRLVKVEMIGISESVHTVPEEFTFIGAHDDDFITVTATSPSGLCALTSVHNAELIGDESAESSVKVNSLHVLFNEDEEFIDDDIIGVRIEKQTDGPKFVVTGETFEYDSGANATGDETRFDVFVTNTLDGGRRIITLAVTGCNELCQYTGQEFFIKSVPDNETVETNVEHMSVAKRAQDAAHRKLINGAGTMLRTAQIRYFARSKQQQSLVQYNALEILQEIEKDPHKQKLEKLIRAMERRAEEDDQDREDVELVLAGDLFNEVHSNVVKGDMEIETKSSPRDGEHEIIMGRQKGARYRKYVYKYFLLRRDAVWAFVVCIPFFFFTLLGEWVEVVGPSIVSLIIYLWTYAFISWAATVFFVRLHKIKRTEGDPVVYVCLAIIIFMNFAASGVITSPGLDGATFNHGCVEIVSLLIEPASSGLAMLTLNSVTENWIRVTTKTTEGNPKKITIEKEQSTIVEISSMFRDAIISVGLFMYVMAVFYVTARAIKDGFSPDQPEWIPLIVGKKCYYSGTCEGTENGEGEMETEAIVHDVHSFLLSVTVLLHFGMSFTPSLSLRRMNYM
+>sp|E9PAV3|NACAM_HUMAN Nascent polypeptide-associated complex subunit alpha, muscle-specific form OS=Homo sapiens OX=9606 GN=NACA PE=1 SV=1
+MPGEATETVPATEQELPQPQAETAVLPMSSALSVTAALGQPGPTLPPPCSPAPQQCPLSAANQASPFPSPSTIASTPLEVPFPQSSSGTALPLGTAPEAPTFLPNLIGPPISPAALALASPMIAPTLKGTPSSSAPLALVALAPHSVQKSSAFPPNLLTSPPSVAVAESGSVITLSAPIAPSEPKTNLNKVPSEVVPNPKGTPSPPCIVSTVPYHCVTPMASIQSGVASLPQTTPTTTLAIASPQVKDTTISSVLISPQNPGSLSLKGPVSPPAALSLSTQSLPVVTSSQKTAGPNTPPDFPISLGSHLAPLHQSSFGSVQLLGQTGPSALSDPTVKTISVDHSSTGASYPSQRSVIPPLPSRNEVVPATVAAFPVVAPSVDKGPSTISSITCSPSGSLNVATSFSLSPTTSLILKSSPNATYHYPLVAQMPVSSVGTTPLVVTNPCTIAAAPTTTFEVATCVSPPMSSGPISNIEPTSPAALVMAPVAPKEPSTQVATTLRIPVSPPLPDPEDLKNLPSSVLVKFPTQKDLQTVPASLEGAPFSPAQAGLTTKKDPTVLPLVQAAPKNSPSFQSTSSSPEIPLSPEATLAKKSLGEPLPIGKPASSMTSPLGVNSSASVIKTDSYAGPDSAGPLLKSSLITPTVAAFPLESADPAGVAPTTAKGTSTYTTTASPFLEGTVSLAPKNHPVKEGTLTTLPLVPTASENCPVAPSPQNTCAPLATLVLAPEIPKSVPSPSLPPAGTPPGTKKVDGISHTSALAPVASSPKECPTEDSGASATASSKGTLTYLADSPSPLGVSVSPQTKRPPTKKGSAGPDTPIGNLSSPVSPVEASFLPENSLSFQGSKDSPATTHSPTPPSPKGAPTPSAVTPLSPKGVTLPPKETPTPSVVNLPFPKEGPATPAPKQAPALSMTSSSPKKARATPAPKGIPASPSPKGAPTPPAATPPSPKGGPATPSPKWAPTPPAATPPSPKGGPATPSPKGAPTPPAATPPSPKGGPATPSPKGAPTPPAVTPPSPKGSPAATPFPKGASTPPAATPPSPKGSPAATPLPKGAPTTPAATLPSPKGGPATPSLKGAPTPPAATPPSPKGGPATPSPKGAPMPPAATPPSPKGGLATPPHKGAPTTPAATPPSPKGGLATPPPKGAPTTPAATPPSPKGGLATPPPKGAPTTPAATPPSPKGGLATPSPKGAPTTPAATPPSPKGGLATPSPKGAPTTPAATPPSPKGGLATPSPKGAPTTPAATPPSPKGGPATPPPKGAPTPPAATPPSLKGGLATPPHKGAPNPAVVTPPSPKGGPATSPPKGAPTPPAATPPSPKGSPGTPPPKGAPTPPAVTPPSPKGTPTLPATTPSSKGGPTTPSSKEGPTPPAATPSHKGGPAMTPPSPKRGPAIPSPKGDPTSPAVIPLSPKKAPATPVTREGAATPSKGDLTPPAVTPVSLKKAPATSAPKGGPATPSSKGDPTLPAVTPPSPKEPPAPKQVATSSSPKKAPATPAPMGAPTLPAVIPSSPKEVPATPSSRRDPIAPTATLLSKKTPATLAPKEALIPPAMTVPSPKKTPAIPTPKEAPATPSSKEASSPPAVTPSTYKGAPSPKELLIPPAVTSPSPKEAPTPPAVTPPSPEKGPATPAPKGTPTSPPVTPSSLKDSPTSPASVTCKMGATVPQASKGLPAKKGPTALKEVLVAPAPESTPIITAPTRKGPQTKKSSATSPPICPDPSAKNGSKGPLSTVAPAPLLPVQKDSSKTAKGKDASHSPKGPLAPPESKASTPLTAAAFEKVLPKPESASVSAAPSPPVSLPLAPSPVPTLPPKQQFLPSSPGLVLESPSKPLAPADEDELLPLIPPEPISGGVPFQSVLVNMPTPKSAGIPVPTPSAKQPVTKNNKGSGTESDSDESVPELEEQDSTQATTQQAQLAAAAEIDEEPVSKAKQSRSEKKARKAMSKLGLRQVTGVTRVTIRKSKNILFVITKPDVYKSPASDTYIVFGEAKIEDLSQQAQLAAAEKFKVQGEAVSNIQENTQTPTVQEESEEEEVDETGVEVKDIELVMSQANVSRAKAVRALKNNSNDIVNAIMELTM
+>DECOY_sp|E9PAV3|NACAM_HUMAN Nascent polypeptide-associated complex subunit alpha, muscle-specific form OS=Homo sapiens OX=9606 GN=NACA PE=1 SV=1
+MTLEMIANVIDNSNNKLARVAKARSVNAQSMVLEIDKVEVGTEDVEEEESEEQVTPTQTNEQINSVAEGQVKFKEAAALQAQQSLDEIKAEGFVIYTDSAPSKYVDPKTIVFLINKSKRITVRTVGTVQRLGLKSMAKRAKKESRSQKAKSVPEEDIEAAAALQAQQTTAQTSDQEELEPVSEDSDSETGSGKNNKTVPQKASPTPVPIGASKPTPMNVLVSQFPVGGSIPEPPILPLLEDEDAPALPKSPSELVLGPSSPLFQQKPPLTPVPSPALPLSVPPSPAASVSASEPKPLVKEFAAATLPTSAKSEPPALPGKPSHSADKGKATKSSDKQVPLLPAPAVTSLPGKSGNKASPDPCIPPSTASSKKTQPGKRTPATIIPTSEPAPAVLVEKLATPGKKAPLGKSAQPVTAGMKCTVSAPSTPSDKLSSPTVPPSTPTGKPAPTAPGKEPSPPTVAPPTPAEKPSPSTVAPPILLEKPSPAGKYTSPTVAPPSSAEKSSPTAPAEKPTPIAPTKKPSPVTMAPPILAEKPALTAPTKKSLLTATPAIPDRRSSPTAPVEKPSSPIVAPLTPAGMPAPTAPAKKPSSSTAVQKPAPPEKPSPPTVAPLTPDGKSSPTAPGGKPASTAPAKKLSVPTVAPPTLDGKSPTAAGERTVPTAPAKKPSLPIVAPSTPDGKPSPIAPGRKPSPPTMAPGGKHSPTAAPPTPGEKSSPTTPGGKSSPTTAPLTPTGKPSPPTVAPPTPAGKPPPTGPSGKPSPPTAAPPTPAGKPPSTAPGGKPSPPTVVAPNPAGKHPPTALGGKLSPPTAAPPTPAGKPPPTAPGGKPSPPTAAPTTPAGKPSPTALGGKPSPPTAAPTTPAGKPSPTALGGKPSPPTAAPTTPAGKPSPTALGGKPSPPTAAPTTPAGKPPPTALGGKPSPPTAAPTTPAGKPPPTALGGKPSPPTAAPTTPAGKHPPTALGGKPSPPTAAPPMPAGKPSPTAPGGKPSPPTAAPPTPAGKLSPTAPGGKPSPLTAAPTTPAGKPLPTAAPSGKPSPPTAAPPTSAGKPFPTAAPSGKPSPPTVAPPTPAGKPSPTAPGGKPSPPTAAPPTPAGKPSPTAPGGKPSPPTAAPPTPAWKPSPTAPGGKPSPPTAAPPTPAGKPSPSAPIGKPAPTARAKKPSSSTMSLAPAQKPAPTAPGEKPFPLNVVSPTPTEKPPLTVGKPSLPTVASPTPAGKPSPPTPSHTTAPSDKSGQFSLSNEPLFSAEVPSVPSSLNGIPTDPGASGKKTPPRKTQPSVSVGLPSPSDALYTLTGKSSATASAGSDETPCEKPSSAVPALASTHSIGDVKKTGPPTGAPPLSPSPVSKPIEPALVLTALPACTNQPSPAVPCNESATPVLPLTTLTGEKVPHNKPALSVTGELFPSATTTYTSTGKATTPAVGAPDASELPFAAVTPTILSSKLLPGASDPGAYSDTKIVSASSNVGLPSTMSSAPKGIPLPEGLSKKALTAEPSLPIEPSSSTSQFSPSNKPAAQVLPLVTPDKKTTLGAQAPSFPAGELSAPVTQLDKQTPFKVLVSSPLNKLDEPDPLPPSVPIRLTTAVQTSPEKPAVPAMVLAAPSTPEINSIPGSSMPPSVCTAVEFTTTPAAAITCPNTVVLPTTGVSSVPMQAVLPYHYTANPSSKLILSTTPSLSFSTAVNLSGSPSCTISSITSPGKDVSPAVVPFAAVTAPVVENRSPLPPIVSRQSPYSAGTSSHDVSITKVTPDSLASPGTQGLLQVSGFSSQHLPALHSGLSIPFDPPTNPGATKQSSTVVPLSQTSLSLAAPPSVPGKLSLSGPNQPSILVSSITTDKVQPSAIALTTTPTTQPLSAVGSQISAMPTVCHYPVTSVICPPSPTGKPNPVVESPVKNLNTKPESPAIPASLTIVSGSEAVAVSPPSTLLNPPFASSKQVSHPALAVLALPASSSPTGKLTPAIMPSALALAAPSIPPGILNPLFTPAEPATGLPLATGSSSQPFPVELPTSAITSPSPFPSAQNAASLPCQQPAPSCPPPLTPGPQGLAATVSLASSMPLVATEAQPQPLEQETAPVTETAEGPM
+>sp|Q58DX5|NADL2_HUMAN Inactive N-acetylated-alpha-linked acidic dipeptidase-like protein 2 OS=Homo sapiens OX=9606 GN=NAALADL2 PE=1 SV=3
+MGENEASLPNTSLQGKKMAYQKVHADQRAPGHSQYLDNDDLQATALDLEWDMEKELEESGFDQFQLDGAENQNLGHSETIDLNLDSIQPATSPKGRFQRLQEESDYITHYTRSAPKSNRCNFCHVLKILCTATILFIFGILIGYYVHTNCPSDAPSSGTVDPQLYQEILKTIQAEDIKKSFRNLVQLYKNEDDMEISKKIKTQWTSLGLEDVQFVNYSVLLDLPGPSPSTVTLSSSGQCFHPNGQPCSEEARKDSSQDLLYSYAAYSAKGTLKAEVIDVSYGMADDLKRIRKIKNVTNQIALLKLGKLPLLYKLSSLEKAGFGGVLLYIDPCDLPKTVNPSHDTFMVSLNPGGDPSTPGYPSVDESFRQSRSNLTSLLVQPISAPLVAKLISSPKARTKNEACSSLELPNNEIRVVSMQVQTVTKLKTVTNVVGFVMGLTSPDRYIIVGSHHHTAHSYNGQEWASSTAIITAFIRALMSKVKRGWRPDRTIVFCSWGGTAFGNIGSYEWGEDFKKVLQKNVVAYISLHSPIRGNSSLYPVASPSLQQLVVEKNNFNCTRRAQCPETNISSIQIQGDADYFINHLGVPIVQFAYEDIKTLEGPSFLSEARFSTRATKIEEMDPSFNLHETITKLSGEVILQIANEPVLPFNALDIALEVQNNLKGDQPNTHQLLAMALRLRESAELFQSDEMRPANDPKERAPIRIRMLNDILQDMEKSFLVKQAPPGFYRNILYHLDEKTSRFSILIEAWEHCKPLASNETLQEALSEVLNSINSAQVYFKAGLDVFKSVLDGKN
+>DECOY_sp|Q58DX5|NADL2_HUMAN Inactive N-acetylated-alpha-linked acidic dipeptidase-like protein 2 OS=Homo sapiens OX=9606 GN=NAALADL2 PE=1 SV=3
+NKGDLVSKFVDLGAKFYVQASNISNLVESLAEQLTENSALPKCHEWAEILISFRSTKEDLHYLINRYFGPPAQKVLFSKEMDQLIDNLMRIRIPAREKPDNAPRMEDSQFLEASERLRLAMALLQHTNPQDGKLNNQVELAIDLANFPLVPENAIQLIVEGSLKTITEHLNFSPDMEEIKTARTSFRAESLFSPGELTKIDEYAFQVIPVGLHNIFYDADGQIQISSINTEPCQARRTCNFNNKEVVLQQLSPSAVPYLSSNGRIPSHLSIYAVVNKQLVKKFDEGWEYSGINGFATGGWSCFVITRDPRWGRKVKSMLARIFATIIATSSAWEQGNYSHATHHHSGVIIYRDPSTLGMVFGVVNTVTKLKTVTQVQMSVVRIENNPLELSSCAENKTRAKPSSILKAVLPASIPQVLLSTLNSRSQRFSEDVSPYGPTSPDGGPNLSVMFTDHSPNVTKPLDCPDIYLLVGGFGAKELSSLKYLLPLKGLKLLAIQNTVNKIKRIRKLDDAMGYSVDIVEAKLTGKASYAAYSYLLDQSSDKRAEESCPQGNPHFCQGSSSLTVTSPSPGPLDLLVSYNVFQVDELGLSTWQTKIKKSIEMDDENKYLQVLNRFSKKIDEAQITKLIEQYLQPDVTGSSPADSPCNTHVYYGILIGFIFLITATCLIKLVHCFNCRNSKPASRTYHTIYDSEEQLRQFRGKPSTAPQISDLNLDITESHGLNQNEAGDLQFQDFGSEELEKEMDWELDLATAQLDDNDLYQSHGPARQDAHVKQYAMKKGQLSTNPLSAENEGM
+>sp|P59045|NAL11_HUMAN NACHT, LRR and PYD domains-containing protein 11 OS=Homo sapiens OX=9606 GN=NLRP11 PE=2 SV=2
+MAESDSTDFDLLWYLENLSDKEFQSFKKYLARKILDFKLPQFPLIQMTKEELANVLPISYEGQYIWNMLFSIFSMMRKEDLCRKIIGRRNRNQEACKAVMRRKFMLQWESHTFGKFHYKFFRDVSSDVFYILQLAYDSTSYYSANNLNVFLMGERASGKTIVINLAVLRWIKGEMWQNMISYVVHLTAHEINQMTNSSLAELIAKDWPDGQAPIADILSDPKKLLFILEDLDNIRFELNVNESALCSNSTQKVPIPVLLVSLLKRKMAPGCWFLISSRPTRGNNVKTFLKEVDCCTTLQLSNGKREIYFNSFFKDRQRASAALQLVHEDEILVGLCRVAILCWITCTVLKRQMDKGRDFQLCCQTPTDLHAHFLADALTSEAGLTANQYHLGLLKRLCLLAAGGLFLSTLNFSGEDLRCVGFTEADVSVLQAANILLPSNTHKDRYKFIHLNVQEFCTAIAFLMAVPNYLIPSGSREYKEKREQYSDFNQVFTFIFGLLNANRRKILETSFGYQLPMVDSFKWYSVGYMKHLDRDPEKLTHHMPLFYCLYENREEEFVKTIVDALMEVTVYLQSDKDMMVSLYCLDYCCHLRTLKLSVQRIFQNKEPLIRPTASQMKSLVYWREICSLFYTMESLRELHIFDNDLNGISERILSKALEHSSCKLRTLKLSYVSTASGFEDLLKALARNRSLTYLSINCTSISLNMFSLLHDILHEPTCQISHLSLMKCDLRASECEEIASLLISGGSLRKLTLSSNPLRSDGMNILCDALLHPNCTLISLVLVFCCLTENCCSALGRVLLFSPTLRQLDLCVNRLKNYGVLHVTFPLLFPTCQLEELHLSGCFFSSDICQYIAIVIATNEKLRSLEIGSNKIEDAGMQLLCGGLRHPNCMLVNIGLEECMLTSACCRSLASVLTTNKTLERLNLLQNHLGNDGVAKLLESLISPDCVLKVVGLPLTGLNTQTQQLLMTVKERKPSLIFLSETWSLKEGREIGVTPASQPGSIIPNSNLDYMFFKFPRMSAAMRTSNTASRQPL
+>DECOY_sp|P59045|NAL11_HUMAN NACHT, LRR and PYD domains-containing protein 11 OS=Homo sapiens OX=9606 GN=NLRP11 PE=2 SV=2
+LPQRSATNSTRMAASMRPFKFFMYDLNSNPIISGPQSAPTVGIERGEKLSWTESLFILSPKREKVTMLLQQTQTNLGTLPLGVVKLVCDPSILSELLKAVGDNGLHNQLLNLRELTKNTTLVSALSRCCASTLMCEELGINVLMCNPHRLGGCLLQMGADEIKNSGIELSRLKENTAIVIAIYQCIDSSFFCGSLHLEELQCTPFLLPFTVHLVGYNKLRNVCLDLQRLTPSFLLVRGLASCCNETLCCFVLVLSILTCNPHLLADCLINMGDSRLPNSSLTLKRLSGGSILLSAIEECESARLDCKMLSLHSIQCTPEHLIDHLLSFMNLSISTCNISLYTLSRNRALAKLLDEFGSATSVYSLKLTRLKCSSHELAKSLIRESIGNLDNDFIHLERLSEMTYFLSCIERWYVLSKMQSATPRILPEKNQFIRQVSLKLTRLHCCYDLCYLSVMMDKDSQLYVTVEMLADVITKVFEEERNEYLCYFLPMHHTLKEPDRDLHKMYGVSYWKFSDVMPLQYGFSTELIKRRNANLLGFIFTFVQNFDSYQERKEKYERSGSPILYNPVAMLFAIATCFEQVNLHIFKYRDKHTNSPLLINAAQLVSVDAETFGVCRLDEGSFNLTSLFLGGAALLCLRKLLGLHYQNATLGAESTLADALFHAHLDTPTQCCLQFDRGKDMQRKLVTCTIWCLIAVRCLGVLIEDEHVLQLAASARQRDKFFSNFYIERKGNSLQLTTCCDVEKLFTKVNNGRTPRSSILFWCGPAMKRKLLSVLLVPIPVKQTSNSCLASENVNLEFRINDLDELIFLLKKPDSLIDAIPAQGDPWDKAILEALSSNTMQNIEHATLHVVYSIMNQWMEGKIWRLVALNIVITKGSAREGMLFVNLNNASYYSTSDYALQLIYFVDSSVDRFFKYHFKGFTHSEWQLMFKRRMVAKCAEQNRNRRGIIKRCLDEKRMMSFISFLMNWIYQGEYSIPLVNALEEKTMQILPFQPLKFDLIKRALYKKFSQFEKDSLNELYWLLDFDTSDSEAM
+>sp|Q86W25|NAL13_HUMAN NACHT, LRR and PYD domains-containing protein 13 OS=Homo sapiens OX=9606 GN=NLRP13 PE=2 SV=2
+MNFSVITCPNGGTNQGLLPYLMALDQYQLEEFKLCLEPQQLMDFWSAPQGHFPRIPWANLRAADPLNLSFLLDEHFPKGQAWKVVLGIFQTMNLTSLCEKVRAEMKENVQTQELQDPTQEDLEMLEAAAGNMQTQGCQDPNQEELDELEEETGNVQAQGCQDPNQEEPEMLEEADHRRKYRENMKAELLETWDNISWPKDHVYIRNTSKDEHEELQRLLDPNRTRAQAQTIVLVGRAGVGKTTLAMQAMLHWANGVLFQQRFSYVFYLSCHKIRYMKETTFAELISLDWPDFDAPIEEFMSQPEKLLFIIDGFEEIIISESRSESLDDGSPCTDWYQELPVTKILHSLLKKELVPLATLLITIKTWFVRDLKASLVNPCFVQITGFTGDDLRVYFMRHFDDSSEVEKILQQLRKNETLFHSCSAPMVCWTVCSCLKQPKVRYYDLQSITQTTTSLYAYFFSNLFSTAEVDLADDSWPGQWRALCSLAIEGLWSMNFTFNKEDTEIEGLEVPFIDSLYEFNILQKINDCGGCTTFTHLSFQEFFAAMSFVLEEPREFPPHSTKPQEMKMLLQHVLLDKEAYWTPVVLFFFGLLNKNIARELEDTLHCKISPRVMEELLKWGEELGKAESASLQFHILRLFHCLHESQEEDFTKKMLGRIFEVDLNILEDEELQASSFCLKHCKRLNKLRLSVSSHILERDLEILETSKFDSRMHAWNSICSTLVTNENLHELDLSNSKLHASSVKGLCLALKNPRCKVQKLTCKSVTPEWVLQDLIIALQGNSKLTHLNFSSNKLGMTVPLILKALRHSACNLKYLCLEKCNLSAASCQDLALFLTSIQHVTRLCLGFNRLQDDGIKLLCAALTHPKCALERLELWFCQLAAPACKHLSDALLQNRSLTHLNLSKNSLRDEGVKFLCEALGRPDGNLQSLNLSGCSFTREGCGELANALSHNHNVKILDLGENDLQDDGVKLLCEALKPHRALHTLGLAKCNLTTACCQHLFSVLSSSKSLVNLNLLGNELDTDGVKMLCKALKKSTCRLQKLG
+>DECOY_sp|Q86W25|NAL13_HUMAN NACHT, LRR and PYD domains-containing protein 13 OS=Homo sapiens OX=9606 GN=NLRP13 PE=2 SV=2
+GLKQLRCTSKKLAKCLMKVGDTDLENGLLNLNVLSKSSSLVSFLHQCCATTLNCKALGLTHLARHPKLAECLLKVGDDQLDNEGLDLIKVNHNHSLANALEGCGERTFSCGSLNLSQLNGDPRGLAECLFKVGEDRLSNKSLNLHTLSRNQLLADSLHKCAPAALQCFWLELRELACKPHTLAACLLKIGDDQLRNFGLCLRTVHQISTLFLALDQCSAASLNCKELCLYKLNCASHRLAKLILPVTMGLKNSSFNLHTLKSNGQLAIILDQLVWEPTVSKCTLKQVKCRPNKLALCLGKVSSAHLKSNSLDLEHLNENTVLTSCISNWAHMRSDFKSTELIELDRELIHSSVSLRLKNLRKCHKLCFSSAQLEEDELINLDVEFIRGLMKKTFDEEQSEHLCHFLRLIHFQLSASEAKGLEEGWKLLEEMVRPSIKCHLTDELERAINKNLLGFFFLVVPTWYAEKDLLVHQLLMKMEQPKTSHPPFERPEELVFSMAAFFEQFSLHTFTTCGGCDNIKQLINFEYLSDIFPVELGEIETDEKNFTFNMSWLGEIALSCLARWQGPWSDDALDVEATSFLNSFFYAYLSTTTQTISQLDYYRVKPQKLCSCVTWCVMPASCSHFLTENKRLQQLIKEVESSDDFHRMFYVRLDDGTFGTIQVFCPNVLSAKLDRVFWTKITILLTALPVLEKKLLSHLIKTVPLEQYWDTCPSGDDLSESRSESIIIEEFGDIIFLLKEPQSMFEEIPADFDPWDLSILEAFTTEKMYRIKHCSLYFVYSFRQQFLVGNAWHLMAQMALTTKGVGARGVLVITQAQARTRNPDLLRQLEEHEDKSTNRIYVHDKPWSINDWTELLEAKMNERYKRRHDAEELMEPEEQNPDQCGQAQVNGTEEELEDLEEQNPDQCGQTQMNGAAAELMELDEQTPDQLEQTQVNEKMEARVKECLSTLNMTQFIGLVVKWAQGKPFHEDLLFSLNLPDAARLNAWPIRPFHGQPASWFDMLQQPELCLKFEELQYQDLAMLYPLLGQNTGGNPCTIVSFNM
+>sp|Q8N7R0|NANG2_HUMAN Putative homeobox protein NANOG2 OS=Homo sapiens OX=9606 GN=NANOGP1 PE=5 SV=1
+MDLPIQDSHDSSTSPKGKQPTTAEKSATKKEDKVPVKKQKTRTVFSSTQLCVLNDRFQRQKYLSLQQMQELSNILNLSYKQVKTWFQNQRMKSKRWQKNNWLKNSNGVTQGCLVNPTGNLPMWSNQTWNNSTWSNQTQNIQSWSNHSWNTQTWCTQSWNNQAWNSPFYNCGEESLQSCMQFQPNSPASDLQAALEAAGEGLNVIQQTTRYFNTPQTMDLFLNYSMNMQPEDV
+>DECOY_sp|Q8N7R0|NANG2_HUMAN Putative homeobox protein NANOG2 OS=Homo sapiens OX=9606 GN=NANOGP1 PE=5 SV=1
+VDEPQMNMSYNLFLDMTQPTNFYRTTQQIVNLGEGAAELAAQLDSAPSNPQFQMCSQLSEEGCNYFPSNWAQNNWSQTCWTQTNWSHNSWSQINQTQNSWTSNNWTQNSWMPLNGTPNVLCGQTVGNSNKLWNNKQWRKSKMRQNQFWTKVQKYSLNLINSLEQMQQLSLYKQRQFRDNLVCLQTSSFVTRTKQKKVPVKDEKKTASKEATTPQKGKPSTSSDHSDQIPLDM
+>sp|Q7Z5D8|NANGN_HUMAN NANOG neighbor homeobox OS=Homo sapiens OX=9606 GN=NANOGNB PE=2 SV=1
+MHRARWLTPVIPALWEAEAGRSRGQEIETILANKKQSAMPWDQDPEQSTGNYSEDEQNGKQKWREEGEAGRKREREKEEKNEKELQDEQENKRKRENEKQKQYPEKRLVSKSLMHTLWAKFKLNRCPTIQESLSLSFEFDMTHKQISQWFCKTRKKYNKEMSKRKHKKKHMRWRSLCCQGWSRTPALK
+>DECOY_sp|Q7Z5D8|NANGN_HUMAN NANOG neighbor homeobox OS=Homo sapiens OX=9606 GN=NANOGNB PE=2 SV=1
+KLAPTRSWGQCCLSRWRMHKKKHKRKSMEKNYKKRTKCFWQSIQKHTMDFEFSLSLSEQITPCRNLKFKAWLTHMLSKSVLRKEPYQKQKENERKRKNEQEDQLEKENKEEKERERKRGAEGEERWKQKGNQEDESYNGTSQEPDQDWPMASQKKNALITEIEQGRSRGAEAEWLAPIVPTLWRARHM
+>sp|Q8WY41|NANO1_HUMAN Nanos homolog 1 OS=Homo sapiens OX=9606 GN=NANOS1 PE=1 SV=2
+MEAFPWAPRSPRRGRAPPPMALVPSARYVSAPGPAHPQPFSSWNDYLGLATLITKAVDGEPRFGCARGGNGGGGSPPSSSSSSCCSPHTGAGPGALGPALGPPDYDEDDDDDSDEPGSRGRYLGSALELRALELCAGPAEAGLLEERFAELSPFAGRAAAVLLGCAPAAAAAATTTSEATPREERAPAWAAEPRLHAASGAAAARLLKPELQVCVFCRNNKEAMALYTTHILKGPDGRVLCPVLRRYTCPLCGASGDNAHTIKYCPLSKVPPPPARPPPRSARDGPPGKKLR
+>DECOY_sp|Q8WY41|NANO1_HUMAN Nanos homolog 1 OS=Homo sapiens OX=9606 GN=NANOS1 PE=1 SV=2
+RLKKGPPGDRASRPPPRAPPPPVKSLPCYKITHANDGSAGCLPCTYRRLVPCLVRGDPGKLIHTTYLAMAEKNNRCFVCVQLEPKLLRAAAAGSAAHLRPEAAWAPAREERPTAESTTTAAAAAAPACGLLVAAARGAFPSLEAFREELLGAEAPGACLELARLELASGLYRGRSGPEDSDDDDDEDYDPPGLAPGLAGPGAGTHPSCCSSSSSSPPSGGGGNGGRACGFRPEGDVAKTILTALGLYDNWSSFPQPHAPGPASVYRASPVLAMPPPARGRRPSRPAWPFAEM
+>sp|P52961|NAR1_HUMAN GPI-linked NAD(P)(+)--arginine ADP-ribosyltransferase 1 OS=Homo sapiens OX=9606 GN=ART1 PE=2 SV=2
+MQMPAMMSLLLVSVGLMEALQAQSHPITRRDLFSQEIQLDMALASFDDQYAGCAAAMTAALPDLNHTEFQANQVYADSWTLASSQWQERQARWPEWSLSPTRPSPPPLGFRDEHGVALLAYTANSPLHKEFNAAVREAGRSRAHYLHHFSFKTLHFLLTEALQLLGSGQRPPRCHQVFRGVHGLRFRPAGPRATVRLGGFASASLKHVAAQQFGEDTFFGIWTCLGAPIKGYSFFPGEEEVLIPPFETFQVINASRLAQGPARIYLRALGKHSTYNCEYIKDKKCKSGPCHLDNSAMGQSPLSAVWSLLLLLWFLVVRAFPDGPGLL
+>DECOY_sp|P52961|NAR1_HUMAN GPI-linked NAD(P)(+)--arginine ADP-ribosyltransferase 1 OS=Homo sapiens OX=9606 GN=ART1 PE=2 SV=2
+LLGPGDPFARVVLFWLLLLLSWVASLPSQGMASNDLHCPGSKCKKDKIYECNYTSHKGLARLYIRAPGQALRSANIVQFTEFPPILVEEEGPFFSYGKIPAGLCTWIGFFTDEGFQQAAVHKLSASAFGGLRVTARPGAPRFRLGHVGRFVQHCRPPRQGSGLLQLAETLLFHLTKFSFHHLYHARSRGAERVAANFEKHLPSNATYALLAVGHEDRFGLPPPSPRTPSLSWEPWRAQREQWQSSALTWSDAYVQNAQFETHNLDPLAATMAAACGAYQDDFSALAMDLQIEQSFLDRRTIPHSQAQLAEMLGVSVLLLSMMAPMQM
+>sp|Q9H0A0|NAT10_HUMAN RNA cytidine acetyltransferase OS=Homo sapiens OX=9606 GN=NAT10 PE=1 SV=2
+MHRKKVDNRIRILIENGVAERQRSLFVVVGDRGKDQVVILHHMLSKATVKARPSVLWCYKKELGFSSHRKKRMRQLQKKIKNGTLNIKQDDPFELFIAATNIRYCYYNETHKILGNTFGMCVLQDFEALTPNLLARTVETVEGGGLVVILLRTMNSLKQLYTVTMDVHSRYRTEAHQDVVGRFNERFILSLASCKKCLVIDDQLNILPISSHVATMEALPPQTPDESLGPSDLELRELKESLQDTQPVGVLVDCCKTLDQAKAVLKFIEGISEKTLRSTVALTAARGRGKSAALGLAIAGAVAFGYSNIFVTSPSPDNLHTLFEFVFKGFDALQYQEHLDYEIIQSLNPEFNKAVIRVNVFREHRQTIQYIHPADAVKLGQAELVVIDEAAAIPLPLVKSLLGPYLVFMASTINGYEGTGRSLSLKLIQQLRQQSAQSQVSTTAENKTTTTARLASARTLYEVSLQESIRYAPGDAVEKWLNDLLCLDCLNITRIVSGCPLPEACELYYVNRDTLFCYHKASEVFLQRLMALYVASHYKNSPNDLQMLSDAPAHHLFCLLPPVPPTQNALPEVLAVIQVCLEGEISRQSILNSLSRGKKASGDLIPWTVSEQFQDPDFGGLSGGRVVRIAVHPDYQGMGYGSRALQLLQMYYEGRFPCLEEKVLETPQEIHTVSSEAVSLLEEVITPRKDLPPLLLKLNERPAERLDYLGVSYGLTPRLLKFWKRAGFVPVYLRQTPNDLTGEHSCIMLKTLTDEDEADQGGWLAAFWKDFRRRFLALLSYQFSTFSPSLALNIIQNRNMGKPAQPALSREELEALFLPYDLKRLEMYSRNMVDYHLIMDMIPAISRIYFLNQLGDLALSAAQSALLLGIGLQHKSVDQLEKEIELPSGQLMGLFNRIIRKVVKLFNEVQEKAIEEQMVAAKDVVMEPTMKTLSDDLDEAAKEFQEKHKKEVGKLKSMDLSEYIIRGDDEEWNEVLNKAGPNASIISLKSDKKRKLEAKQEPKQSKKLKNRETKNKKDMKLKRKK
+>DECOY_sp|Q9H0A0|NAT10_HUMAN RNA cytidine acetyltransferase OS=Homo sapiens OX=9606 GN=NAT10 PE=1 SV=2
+KKRKLKMDKKNKTERNKLKKSQKPEQKAELKRKKDSKLSIISANPGAKNLVENWEEDDGRIIYESLDMSKLKGVEKKHKEQFEKAAEDLDDSLTKMTPEMVVDKAAVMQEEIAKEQVENFLKVVKRIIRNFLGMLQGSPLEIEKELQDVSKHQLGIGLLLASQAASLALDGLQNLFYIRSIAPIMDMILHYDVMNRSYMELRKLDYPLFLAELEERSLAPQAPKGMNRNQIINLALSPSFTSFQYSLLALFRRRFDKWFAALWGGQDAEDEDTLTKLMICSHEGTLDNPTQRLYVPVFGARKWFKLLRPTLGYSVGLYDLREAPRENLKLLLPPLDKRPTIVEELLSVAESSVTHIEQPTELVKEELCPFRGEYYMQLLQLARSGYGMGQYDPHVAIRVVRGGSLGGFDPDQFQESVTWPILDGSAKKGRSLSNLISQRSIEGELCVQIVALVEPLANQTPPVPPLLCFLHHAPADSLMQLDNPSNKYHSAVYLAMLRQLFVESAKHYCFLTDRNVYYLECAEPLPCGSVIRTINLCDLCLLDNLWKEVADGPAYRISEQLSVEYLTRASALRATTTTKNEATTSVQSQASQQRLQQILKLSLSRGTGEYGNITSAMFVLYPGLLSKVLPLPIAAAEDIVVLEAQGLKVADAPHIYQITQRHERFVNVRIVAKNFEPNLSQIIEYDLHEQYQLADFGKFVFEFLTHLNDPSPSTVFINSYGFAVAGAIALGLAASKGRGRAATLAVTSRLTKESIGEIFKLVAKAQDLTKCCDVLVGVPQTDQLSEKLERLELDSPGLSEDPTQPPLAEMTAVHSSIPLINLQDDIVLCKKCSALSLIFRENFRGVVDQHAETRYRSHVDMTVTYLQKLSNMTRLLIVVLGGGEVTEVTRALLNPTLAEFDQLVCMGFTNGLIKHTENYYCYRINTAAIFLEFPDDQKINLTGNKIKKQLQRMRKKRHSSFGLEKKYCWLVSPRAKVTAKSLMHHLIVVQDKGRDGVVVFLSRQREAVGNEILIRIRNDVKKRHM
+>sp|Q93015|NAT6_HUMAN N-acetyltransferase 6 OS=Homo sapiens OX=9606 GN=NAT6 PE=2 SV=2
+MELILSTSPAELTLDPACQPKLPLDSTCQPEMTFNPGPTELTLDPEHQPEETPAPSLAELTLEPVHRRPELLDACADLINDQWPRSRTSRLHSLGQSSDAFPLCLMLLSPHPTLEAAPVVVGHARLSRVLNQPQSLLVETVVVARALRGRGFGRRLMEGLEVFARARGFRKLHLTTHDQVHFYTHLGYQLGEPVQGLVFTSRRLPATLLNAFPTAPSPRPPRKAPNLTAQAAPRGPKGPPLPPPPPLPECLTISPPVPSGPPSKSLLETQYQNVRGRPIFWMEKDI
+>DECOY_sp|Q93015|NAT6_HUMAN N-acetyltransferase 6 OS=Homo sapiens OX=9606 GN=NAT6 PE=2 SV=2
+IDKEMWFIPRGRVNQYQTELLSKSPPGSPVPPSITLCEPLPPPPPLPPGKPGRPAAQATLNPAKRPPRPSPATPFANLLTAPLRRSTFVLGQVPEGLQYGLHTYFHVQDHTTLHLKRFGRARAFVELGEMLRRGFGRGRLARAVVVTEVLLSQPQNLVRSLRAHGVVVPAAELTPHPSLLMLCLPFADSSQGLSHLRSTRSRPWQDNILDACADLLEPRRHVPELTLEALSPAPTEEPQHEPDLTLETPGPNFTMEPQCTSDLPLKPQCAPDLTLEAPSTSLILEM
+>sp|Q9UHE5|NAT8_HUMAN N-acetyltransferase 8 OS=Homo sapiens OX=9606 GN=NAT8 PE=1 SV=2
+MAPCHIRKYQESDRQWVVGLLSRGMAEHAPATFRQLLKLPRTLILLLGGPLALLLVSGSWLLALVFSISLFPALWFLAKKPWTEYVDMTLCTDMSDITKSYLSERGSCFWVAESEEKVVGMVGALPVDDPTLREKRLQLFHLFVDSEHRRQGIAKALVRTVLQFARDQGYSEVILDTGTIQLSAMALYQSMGFKKTGQSFFCVWARLVALHTVHFIYHLPSSKVGSL
+>DECOY_sp|Q9UHE5|NAT8_HUMAN N-acetyltransferase 8 OS=Homo sapiens OX=9606 GN=NAT8 PE=1 SV=2
+LSGVKSSPLHYIFHVTHLAVLRAWVCFFSQGTKKFGMSQYLAMASLQITGTDLIVESYGQDRAFQLVTRVLAKAIGQRRHESDVFLHFLQLRKERLTPDDVPLAGVMGVVKEESEAVWFCSGRESLYSKTIDSMDTCLTMDVYETWPKKALFWLAPFLSISFVLALLWSGSVLLLALPGGLLLILTRPLKLLQRFTAPAHEAMGRSLLGVVWQRDSEQYKRIHCPAM
+>sp|Q6ZUF6|NC336_HUMAN Putative uncharacterized protein encoded by LINC00336 OS=Homo sapiens OX=9606 GN=LINC00336 PE=5 SV=1
+MRAPAQVRTLRWSLGWPGSRGRDVFAALRCAQALRCQPLGSALPPQAPTRDLGRPQAFDSSRTPGPRPPRSTLRMMETKSPTSPSYGARGKVPPGAGPGSPLSRGAGQGAPLSETRFHHVAQAFLKLLSSSNPPTSASESARIIGVSHCTQPQVASLSDRHCSKVNHTVLSPRKGVPLQLTAAHSSSQEVLATVPFHG
+>DECOY_sp|Q6ZUF6|NC336_HUMAN Putative uncharacterized protein encoded by LINC00336 OS=Homo sapiens OX=9606 GN=LINC00336 PE=5 SV=1
+GHFPVTALVEQSSSHAATLQLPVGKRPSLVTHNVKSCHRDSLSAVQPQTCHSVGIIRASESASTPPNSSSLLKLFAQAVHHFRTESLPAGQGAGRSLPSGPGAGPPVKGRAGYSPSTPSKTEMMRLTSRPPRPGPTRSSDFAQPRGLDRTPAQPPLASGLPQCRLAQACRLAAFVDRGRSGPWGLSWRLTRVQAPARM
+>sp|Q69YL0|NCAS2_HUMAN Uncharacterized protein NCBP2-AS2 OS=Homo sapiens OX=9606 GN=NCBP2-AS2 PE=1 SV=1
+MVLRRLLAALLHSPQLVERLSESRPIRRAAQLTAFALLQAQLRGQDAARRLQDLAAGPVGSLCRRAERFRDAFTQELRRGLRGRSGPPPGSQRGPGANI
+>DECOY_sp|Q69YL0|NCAS2_HUMAN Uncharacterized protein NCBP2-AS2 OS=Homo sapiens OX=9606 GN=NCBP2-AS2 PE=1 SV=1
+INAGPGRQSGPPPGSRGRLGRRLEQTFADRFREARRCLSGVPGAALDQLRRAADQGRLQAQLLAFATLQAARRIPRSESLREVLQPSHLLAALLRRLVM
+>sp|P62166|NCS1_HUMAN Neuronal calcium sensor 1 OS=Homo sapiens OX=9606 GN=NCS1 PE=1 SV=2
+MGKSNSKLKPEVVEELTRKTYFTEKEVQQWYKGFIKDCPSGQLDAAGFQKIYKQFFPFGDPTKFATFVFNVFDENKDGRIEFSEFIQALSVTSRGTLDEKLRWAFKLYDLDNDGYITRNEMLDIVDAIYQMVGNTVELPEEENTPEKRVDRIFAMMDKNADGKLTLQEFQEGSKADPSIVQALSLYDGLV
+>DECOY_sp|P62166|NCS1_HUMAN Neuronal calcium sensor 1 OS=Homo sapiens OX=9606 GN=NCS1 PE=1 SV=2
+VLGDYLSLAQVISPDAKSGEQFEQLTLKGDANKDMMAFIRDVRKEPTNEEEPLEVTNGVMQYIADVIDLMENRTIYGDNDLDYLKFAWRLKEDLTGRSTVSLAQIFESFEIRGDKNEDFVNFVFTAFKTPDGFPFFQKYIKQFGAADLQGSPCDKIFGKYWQQVEKETFYTKRTLEEVVEPKLKSNSKGM
+>sp|P22392|NDKB_HUMAN Nucleoside diphosphate kinase B OS=Homo sapiens OX=9606 GN=NME2 PE=1 SV=1
+MANLERTFIAIKPDGVQRGLVGEIIKRFEQKGFRLVAMKFLRASEEHLKQHYIDLKDRPFFPGLVKYMNSGPVVAMVWEGLNVVKTGRVMLGETNPADSKPGTIRGDFCIQVGRNIIHGSDSVKSAEKEISLWFKPEELVDYKSCAHDWVYE
+>DECOY_sp|P22392|NDKB_HUMAN Nucleoside diphosphate kinase B OS=Homo sapiens OX=9606 GN=NME2 PE=1 SV=1
+EYVWDHACSKYDVLEEPKFWLSIEKEASKVSDSGHIINRGVQICFDGRITGPKSDAPNTEGLMVRGTKVVNLGEWVMAVVPGSNMYKVLGPFFPRDKLDIYHQKLHEESARLFKMAVLRFGKQEFRKIIEGVLGRQVGDPKIAIFTRELNAM
+>sp|Q16795|NDUA9_HUMAN NADH dehydrogenase [ubiquinone] 1 alpha subcomplex subunit 9, mitochondrial OS=Homo sapiens OX=9606 GN=NDUFA9 PE=1 SV=2
+MAAAAQSRVVRVLSMSRSAITAIATSVCHGPPCRQLHHALMPHGKGGRSSVSGIVATVFGATGFLGRYVVNHLGRMGSQVIIPYRCDKYDIMHLRPMGDLGQLLFLEWDARDKDSIRRVVQHSNVVINLIGRDWETKNFDFEDVFVKIPQAIAQLSKEAGVEKFIHVSHLNANIKSSSRYLRNKAVGEKVVRDAFPEAIIVKPSDIFGREDRFLNSFASMHRFGPIPLGSLGWKTVKQPVYVVDVSKGIVNAVKDPDANGKSFAFVGPSRYLLFHLVKYIFAVAHRLFLPFPLPLFAYRWVARVFEISPFEPWITRDKVERMHITDMKLPHLPGLEDLGIQATPLELKAIEVLRRHRTYRWLSAEIEDVKPAKTVNI
+>DECOY_sp|Q16795|NDUA9_HUMAN NADH dehydrogenase [ubiquinone] 1 alpha subcomplex subunit 9, mitochondrial OS=Homo sapiens OX=9606 GN=NDUFA9 PE=1 SV=2
+INVTKAPKVDEIEASLWRYTRHRRLVEIAKLELPTAQIGLDELGPLHPLKMDTIHMREVKDRTIWPEFPSIEFVRAVWRYAFLPLPFPLFLRHAVAFIYKVLHFLLYRSPGVFAFSKGNADPDKVANVIGKSVDVVYVPQKVTKWGLSGLPIPGFRHMSAFSNLFRDERGFIDSPKVIIAEPFADRVVKEGVAKNRLYRSSSKINANLHSVHIFKEVGAEKSLQAIAQPIKVFVDEFDFNKTEWDRGILNIVVNSHQVVRRISDKDRADWELFLLQGLDGMPRLHMIDYKDCRYPIIVQSGMRGLHNVVYRGLFGTAGFVTAVIGSVSSRGGKGHPMLAHHLQRCPPGHCVSTAIATIASRSMSLVRVVRSQAAAAM
+>sp|O95178|NDUB2_HUMAN NADH dehydrogenase [ubiquinone] 1 beta subcomplex subunit 2, mitochondrial OS=Homo sapiens OX=9606 GN=NDUFB2 PE=1 SV=1
+MSALTRLASFARVGGRLFRSGCARTAGDGGVRHAGGGVHIEPRYRQFPQLTRSQVFQSEFFSGLMWFWILWRFWHDSEEVLGHFPYPDPSQWTDEELGIPPDDED
+>DECOY_sp|O95178|NDUB2_HUMAN NADH dehydrogenase [ubiquinone] 1 beta subcomplex subunit 2, mitochondrial OS=Homo sapiens OX=9606 GN=NDUFB2 PE=1 SV=1
+DEDDPPIGLEEDTWQSPDPYPFHGLVEESDHWFRWLIWFWMLGSFFESQFVQSRTLQPFQRYRPEIHVGGGAHRVGGDGATRACGSRFLRGGVRAFSALRTLASM
+>sp|O43676|NDUB3_HUMAN NADH dehydrogenase [ubiquinone] 1 beta subcomplex subunit 3 OS=Homo sapiens OX=9606 GN=NDUFB3 PE=1 SV=3
+MAHEHGHEHGHHKMELPDYRQWKIEGTPLETIQKKLAAKGLRDPWGRNEAWRYMGGFAKSVSFSDVFFKGFKWGFAAFVVAVGAEYYLESLNKDKKHH
+>DECOY_sp|O43676|NDUB3_HUMAN NADH dehydrogenase [ubiquinone] 1 beta subcomplex subunit 3 OS=Homo sapiens OX=9606 GN=NDUFB3 PE=1 SV=3
+HHKKDKNLSELYYEAGVAVVFAAFGWKFGKFFVDSFSVSKAFGGMYRWAENRGWPDRLGKAALKKQITELPTGEIKWQRYDPLEMKHHGHEHGHEHAM
+>sp|P28331|NDUS1_HUMAN NADH-ubiquinone oxidoreductase 75 kDa subunit, mitochondrial OS=Homo sapiens OX=9606 GN=NDUFS1 PE=1 SV=3
+MLRIPVRKALVGLSKSPKGCVRTTATAASNLIEVFVDGQSVMVEPGTTVLQACEKVGMQIPRFCYHERLSVAGNCRMCLVEIEKAPKVVAACAMPVMKGWNILTNSEKSKKAREGVMEFLLANHPLDCPICDQGGECDLQDQSMMFGNDRSRFLEGKRAVEDKNIGPLVKTIMTRCIQCTRCIRFASEIAGVDDLGTTGRGNDMQVGTYIEKMFMSELSGNIIDICPVGALTSKPYAFTARPWETRKTESIDVMDAVGSNIVVSTRTGEVMRILPRMHEDINEEWISDKTRFAYDGLKRQRLTEPMVRNEKGLLTYTSWEDALSRVAGMLQSFQGKDVAAIAGGLVDAEALVALKDLLNRVDSDTLCTEEVFPTAGAGTDLRSNYLLNTTIAGVEEADVVLLVGTNPRFEAPLFNARIRKSWLHNDLKVALIGSPVDLTYTYDHLGDSPKILQDIASGSHPFSQVLKEAKKPMVVLGSSALQRNDGAAILAAVSSIAQKIRMTSGVTGDWKVMNILHRIASQVAALDLGYKPGVEAIRKNPPKVLFLLGADGGCITRQDLPKDCFIIYQGHHGDVGAPIADVILPGAAYTEKSATYVNTEGRAQQTKVAVTPPGLAREDWKIIRALSEIAGMTLPYDTLDQVRNRLEEVSPNLVRYDDIEGANYFQQANELSKLVNQQLLADPLVPPQLTIKDFYMTDSISRASQTMAKCVKAVTEGAQAVEEPSIC
+>DECOY_sp|P28331|NDUS1_HUMAN NADH-ubiquinone oxidoreductase 75 kDa subunit, mitochondrial OS=Homo sapiens OX=9606 GN=NDUFS1 PE=1 SV=3
+CISPEEVAQAGETVAKVCKAMTQSARSISDTMYFDKITLQPPVLPDALLQQNVLKSLENAQQFYNAGEIDDYRVLNPSVEELRNRVQDLTDYPLTMGAIESLARIIKWDERALGPPTVAVKTQQARGETNVYTASKETYAAGPLIVDAIPAGVDGHHGQYIIFCDKPLDQRTICGGDAGLLFLVKPPNKRIAEVGPKYGLDLAAVQSAIRHLINMVKWDGTVGSTMRIKQAISSVAALIAAGDNRQLASSGLVVMPKKAEKLVQSFPHSGSAIDQLIKPSDGLHDYTYTLDVPSGILAVKLDNHLWSKRIRANFLPAEFRPNTGVLLVVDAEEVGAITTNLLYNSRLDTGAGATPFVEETCLTDSDVRNLLDKLAVLAEADVLGGAIAAVDKGQFSQLMGAVRSLADEWSTYTLLGKENRVMPETLRQRKLGDYAFRTKDSIWEENIDEHMRPLIRMVEGTRTSVVINSGVADMVDISETKRTEWPRATFAYPKSTLAGVPCIDIINGSLESMFMKEIYTGVQMDNGRGTTGLDDVGAIESAFRICRTCQICRTMITKVLPGINKDEVARKGELFRSRDNGFMMSQDQLDCEGGQDCIPCDLPHNALLFEMVGERAKKSKESNTLINWGKMVPMACAAVVKPAKEIEVLCMRCNGAVSLREHYCFRPIQMGVKECAQLVTTGPEVMVSQGDVFVEILNSAATATTRVCGKPSKSLGVLAKRVPIRLM
+>sp|O75489|NDUS3_HUMAN NADH dehydrogenase [ubiquinone] iron-sulfur protein 3, mitochondrial OS=Homo sapiens OX=9606 GN=NDUFS3 PE=1 SV=1
+MAAAAVARLWWRGILGASALTRGTGRPSVLLLPVRRESAGADTRPTVRPRNDVAHKQLSAFGEYVAEILPKYVQQVQVSCFNELEVCIHPDGVIPVLTFLRDHTNAQFKSLVDLTAVDVPTRQNRFEIVYNLLSLRFNSRIRVKTYTDELTPIESAVSVFKAANWYEREIWDMFGVFFANHPDLRRILTDYGFEGHPFRKDFPLSGYVELRYDDEVKRVVAEPVELAQEFRKFDLNSPWEAFPVYRQPPESLKLEAGDKKPDAK
+>DECOY_sp|O75489|NDUS3_HUMAN NADH dehydrogenase [ubiquinone] iron-sulfur protein 3, mitochondrial OS=Homo sapiens OX=9606 GN=NDUFS3 PE=1 SV=1
+KADPKKDGAELKLSEPPQRYVPFAEWPSNLDFKRFEQALEVPEAVVRKVEDDYRLEVYGSLPFDKRFPHGEFGYDTLIRRLDPHNAFFVGFMDWIEREYWNAAKFVSVASEIPTLEDTYTKVRIRSNFRLSLLNYVIEFRNQRTPVDVATLDVLSKFQANTHDRLFTLVPIVGDPHICVELENFCSVQVQQVYKPLIEAVYEGFASLQKHAVDNRPRVTPRTDAGASERRVPLLLVSPRGTGRTLASAGLIGRWWLRAVAAAAM
+>sp|O43920|NDUS5_HUMAN NADH dehydrogenase [ubiquinone] iron-sulfur protein 5 OS=Homo sapiens OX=9606 GN=NDUFS5 PE=1 SV=3
+MPFLDIQKRFGLNIDRWLTIQSGEQPYKMAGRCHAFEKEWIECAHGIGYTRAEKECKIEYDDFVECLLRQKTMRRAGTIRKQRDKLIKEGKYTPPPHHIGKGEPRP
+>DECOY_sp|O43920|NDUS5_HUMAN NADH dehydrogenase [ubiquinone] iron-sulfur protein 5 OS=Homo sapiens OX=9606 GN=NDUFS5 PE=1 SV=3
+PRPEGKGIHHPPPTYKGEKILKDRQKRITGARRMTKQRLLCEVFDDYEIKCEKEARTYGIGHACEIWEKEFAHCRGAMKYPQEGSQITLWRDINLGFRKQIDLFPM
+>sp|O75251|NDUS7_HUMAN NADH dehydrogenase [ubiquinone] iron-sulfur protein 7, mitochondrial OS=Homo sapiens OX=9606 GN=NDUFS7 PE=1 SV=3
+MAVLSAPGLRGFRILGLRSSVGPAVQARGVHQSVATDGPSSTQPALPKARAVAPKPSSRGEYVVAKLDDLVNWARRSSLWPMTFGLACCAVEMMHMAAPRYDMDRFGVVFRASPRQSDVMIVAGTLTNKMAPALRKVYDQMPEPRYVVSMGSCANGGGYYHYSYSVVRGCDRIVPVDIYIPGCPPTAEALLYGILQLQRKIKRERRLQIWYRR
+>DECOY_sp|O75251|NDUS7_HUMAN NADH dehydrogenase [ubiquinone] iron-sulfur protein 7, mitochondrial OS=Homo sapiens OX=9606 GN=NDUFS7 PE=1 SV=3
+RRYWIQLRRERKIKRQLQLIGYLLAEATPPCGPIYIDVPVIRDCGRVVSYSYHYYGGGNACSGMSVVYRPEPMQDYVKRLAPAMKNTLTGAVIMVDSQRPSARFVVGFRDMDYRPAAMHMMEVACCALGFTMPWLSSRRAWNVLDDLKAVVYEGRSSPKPAVARAKPLAPQTSSPGDTAVSQHVGRAQVAPGVSSRLGLIRFGRLGPASLVAM
+>sp|O00217|NDUS8_HUMAN NADH dehydrogenase [ubiquinone] iron-sulfur protein 8, mitochondrial OS=Homo sapiens OX=9606 GN=NDUFS8 PE=1 SV=1
+MRCLTTPMLLRALAQAARAGPPGGRSLHSSAVAATYKYVNMQDPEMDMKSVTDRAARTLLWTELFRGLGMTLSYLFREPATINYPFEKGPLSPRFRGEHALRRYPSGEERCIACKLCEAICPAQAITIEAEPRADGSRRTTRYDIDMTKCIYCGFCQEACPVDAIVEGPNFEFSTETHEELLYNKEKLLNNGDKWEAEIAANIQADYLYR
+>DECOY_sp|O00217|NDUS8_HUMAN NADH dehydrogenase [ubiquinone] iron-sulfur protein 8, mitochondrial OS=Homo sapiens OX=9606 GN=NDUFS8 PE=1 SV=1
+RYLYDAQINAAIEAEWKDGNNLLKEKNYLLEEHTETSFEFNPGEVIADVPCAEQCFGCYICKTMDIDYRTTRRSGDARPEAEITIAQAPCIAECLKCAICREEGSPYRRLAHEGRFRPSLPGKEFPYNITAPERFLYSLTMGLGRFLETWLLTRAARDTVSKMDMEPDQMNVYKYTAAVASSHLSRGGPPGARAAQALARLLMPTTLCRM
+>sp|Q99608|NECD_HUMAN Necdin OS=Homo sapiens OX=9606 GN=NDN PE=2 SV=1
+MSEQSKDLSDPNFAAEAPNSEVHSSPGVSEGVPPSATLAEPQSPPLGPTAAPQAAPPPQAPNDEGDPKALQQAAEEGRAHQAPSAAQPGPAPPAPAQLVQKAHELMWYVLVKDQKKMIIWFPDMVKDVIGSYKKWCRSILRRTSLILARVFGLHLRLTSLHTMEFALVKALEPEELDRVALSNRMPMTGLLLMILSLIYVKGRGARESAVWNVLRILGLRPWKKHSTFGDVRKLITEEFVQMNYLKYQRVPYVEPPEYEFFWGSRASREITKMQIMEFLARVFKKDPQAWPSRYREALEEARALREANPTAHYPRSSVSED
+>DECOY_sp|Q99608|NECD_HUMAN Necdin OS=Homo sapiens OX=9606 GN=NDN PE=2 SV=1
+DESVSSRPYHATPNAERLARAEELAERYRSPWAQPDKKFVRALFEMIQMKTIERSARSGWFFEYEPPEVYPVRQYKLYNMQVFEETILKRVDGFTSHKKWPRLGLIRLVNWVASERAGRGKVYILSLIMLLLGTMPMRNSLAVRDLEEPELAKVLAFEMTHLSTLRLHLGFVRALILSTRRLISRCWKKYSGIVDKVMDPFWIIMKKQDKVLVYWMLEHAKQVLQAPAPPAPGPQAASPAQHARGEEAAQQLAKPDGEDNPAQPPPAAQPAATPGLPPSQPEALTASPPVGESVGPSSHVESNPAEAAFNPDSLDKSQESM
+>sp|Q8NC96|NECP1_HUMAN Adaptin ear-binding coat-associated protein 1 OS=Homo sapiens OX=9606 GN=NECAP1 PE=1 SV=2
+MATELEYESVLCVKPDVSVYRIPPRASNRGYRASDWKLDQPDWTGRLRITSKGKTAYIKLEDKVSGELFAQAPVEQYPGIAVETVTDSSRYFVIRIQDGTGRSAFIGIGFTDRGDAFDFNVSLQDHFKWVKQESEISKESQEMDARPKLDLGFKEGQTIKLCIGNITNKKGGASKPRTARGGGLSLLPPPPGGKVTIPPPSSSVAISNHVTPPPIPKSNHGGSDADILLDLDSPAPVTTPAPTPVSVSNDLWGDFSTASSSVPNQAPQPSNWVQF
+>DECOY_sp|Q8NC96|NECP1_HUMAN Adaptin ear-binding coat-associated protein 1 OS=Homo sapiens OX=9606 GN=NECAP1 PE=1 SV=2
+FQVWNSPQPAQNPVSSSATSFDGWLDNSVSVPTPAPTTVPAPSDLDLLIDADSGGHNSKPIPPPTVHNSIAVSSSPPPITVKGGPPPPLLSLGGGRATRPKSAGGKKNTINGICLKITQGEKFGLDLKPRADMEQSEKSIESEQKVWKFHDQLSVNFDFADGRDTFGIGIFASRGTGDQIRIVFYRSSDTVTEVAIGPYQEVPAQAFLEGSVKDELKIYATKGKSTIRLRGTWDPQDLKWDSARYGRNSARPPIRYVSVDPKVCLVSEYELETAM
+>sp|Q8NHV4|NEDD1_HUMAN Protein NEDD1 OS=Homo sapiens OX=9606 GN=NEDD1 PE=1 SV=1
+MQENLRFASSGDDIKIWDASSMTLVDKFNPHTSPHGISSICWSSNNNFLVTASSSGDKIVVSSCKCKPVPLLELAEGQKQTCVNLNSTSMYLVSGGLNNTVNIWDLKSKRVHRSLKDHKDQVTCVTYNWNDCYIASGSLSGEIILHSVTTNLSSTPFGHGSNQSVRHLKYSLFKKSLLGSVSDNGIVTLWDVNSQSPYHNFDSVHKAPASGICFSPVNELLFVTIGLDKRIILYDTSSKKLVKTLVADTPLTAVDFMPDGATLAIGSSRGKIYQYDLRMLKSPVKTISAHKTSVQCIAFQYSTVLTKSSLNKGCSNKPTTVNKRSVNVNAASGGVQNSGIVREAPATSIATVLPQPMTSAMGKGTVAVQEKAGLPRSINTDTLSKETDSGKNQDFSSFDDTGKSSLGDMFSPIRDDAVVNKGSDESIGKGDGFDFLPQLNSVFPPRKNPVTSSTSVLHSSPLNVFMGSPGKEENENRDLTAESKKIYMGKQESKDSFKQLAKLVTSGAESGNLNTSPSSNQTRNSEKFEKPENEIEAQLICEPPINGSSTPNPKIASSVTAGVASSLSEKIADSIGNNRQNAPLTSIQIRFIQNMIQETLDDFREACHRDIVNLQVEMIKQFHMQLNEMHSLLERYSVNEGLVAEIERLREENKRLRAHF
+>DECOY_sp|Q8NHV4|NEDD1_HUMAN Protein NEDD1 OS=Homo sapiens OX=9606 GN=NEDD1 PE=1 SV=1
+FHARLRKNEERLREIEAVLGENVSYRELLSHMENLQMHFQKIMEVQLNVIDRHCAERFDDLTEQIMNQIFRIQISTLPANQRNNGISDAIKESLSSAVGATVSSAIKPNPTSSGNIPPECILQAEIENEPKEFKESNRTQNSSPSTNLNGSEAGSTVLKALQKFSDKSEQKGMYIKKSEATLDRNENEEKGPSGMFVNLPSSHLVSTSSTVPNKRPPFVSNLQPLFDFGDGKGISEDSGKNVVADDRIPSFMDGLSSKGTDDFSSFDQNKGSDTEKSLTDTNISRPLGAKEQVAVTGKGMASTMPQPLVTAISTAPAERVIGSNQVGGSAANVNVSRKNVTTPKNSCGKNLSSKTLVTSYQFAICQVSTKHASITKVPSKLMRLDYQYIKGRSSGIALTAGDPMFDVATLPTDAVLTKVLKKSSTDYLIIRKDLGITVFLLENVPSFCIGSAPAKHVSDFNHYPSQSNVDWLTVIGNDSVSGLLSKKFLSYKLHRVSQNSGHGFPTSSLNTTVSHLIIEGSLSGSAIYCDNWNYTVCTVQDKHDKLSRHVRKSKLDWINVTNNLGGSVLYMSTSNLNVCTQKQGEALELLPVPKCKCSSVVIKDGSSSATVLFNNNSSWCISSIGHPSTHPNFKDVLTMSSADWIKIDDGSSAFRLNEQM
+>sp|P51956|NEK3_HUMAN Serine/threonine-protein kinase Nek3 OS=Homo sapiens OX=9606 GN=NEK3 PE=1 SV=2
+MDDYMVLRMIGEGSFGRALLVQHESSNQMFAMKEIRLPKSFSNTQNSRKEAVLLAKMKHPNIVAFKESFEAEGHLYIVMEYCDGGDLMQKIKQQKGKLFPEDMILNWFTQMCLGVNHIHKKRVLHRDIKSKNIFLTQNGKVKLGDFGSARLLSNPMAFACTYVGTPYYVPPEIWENLPYNNKSDIWSLGCILYELCTLKHPFQANSWKNLILKVCQGCISPLPSHYSYELQFLVKQMFKRNPSHRPSATTLLSRGIVARLVQKCLPPEIIMEYGEEVLEEIKNSKHNTPRKKTNPSRIRIALGNEASTVQEEEQDRKGSHTDLESINENLVESALRRVNREEKGNKSVHLRKASSPNLHRRQWEKNVPNTALTALENASILTSSLTAEDDRGGSVIKYSKNTTRKQWLKETPDTLLNILKNADLSLAFQTYTIYRPGSEGFLKGPLSEETEASDSVDGGHDSVILDPERLEPGLDEEDTDFEEEDDNPDWVSELKKRAGWQGLCDR
+>DECOY_sp|P51956|NEK3_HUMAN Serine/threonine-protein kinase Nek3 OS=Homo sapiens OX=9606 GN=NEK3 PE=1 SV=2
+RDCLGQWGARKKLESVWDPNDDEEEFDTDEEDLGPELREPDLIVSDHGGDVSDSAETEESLPGKLFGESGPRYITYTQFALSLDANKLINLLTDPTEKLWQKRTTNKSYKIVSGGRDDEATLSSTLISANELATLATNPVNKEWQRRHLNPSSAKRLHVSKNGKEERNVRRLASEVLNENISELDTHSGKRDQEEEQVTSAENGLAIRIRSPNTKKRPTNHKSNKIEELVEEGYEMIIEPPLCKQVLRAVIGRSLLTTASPRHSPNRKFMQKVLFQLEYSYHSPLPSICGQCVKLILNKWSNAQFPHKLTCLEYLICGLSWIDSKNNYPLNEWIEPPVYYPTGVYTCAFAMPNSLLRASGFDGLKVKGNQTLFINKSKIDRHLVRKKHIHNVGLCMQTFWNLIMDEPFLKGKQQKIKQMLDGGDCYEMVIYLHGEAEFSEKFAVINPHKMKALLVAEKRSNQTNSFSKPLRIEKMAFMQNSSEHQVLLARGFSGEGIMRLVMYDDM
+>sp|Q8TD19|NEK9_HUMAN Serine/threonine-protein kinase Nek9 OS=Homo sapiens OX=9606 GN=NEK9 PE=1 SV=2
+MSVLGEYERHCDSINSDFGSESGGCGDSSPGPSASQGPRAGGGAAEQEELHYIPIRVLGRGAFGEATLYRRTEDDSLVVWKEVDLTRLSEKERRDALNEIVILALLQHDNIIAYYNHFMDNTTLLIELEYCNGGNLYDKILRQKDKLFEEEMVVWYLFQIVSAVSCIHKAGILHRDIKTLNIFLTKANLIKLGDYGLAKKLNSEYSMAETLVGTPYYMSPELCQGVKYNFKSDIWAVGCVIFELLTLKRTFDATNPLNLCVKIVQGIRAMEVDSSQYSLELIQMVHSCLDQDPEQRPTADELLDRPLLRKRRREMEEKVTLLNAPTKRPRSSTVTEAPIAVVTSRTSEVYVWGGGKSTPQKLDVIKSGCSARQVCAGNTHFAVVTVEKELYTWVNMQGGTKLHGQLGHGDKASYRQPKHVEKLQGKAIRQVSCGDDFTVCVTDEGQLYAFGSDYYGCMGVDKVAGPEVLEPMQLNFFLSNPVEQVSCGDNHVVVLTRNKEVYSWGCGEYGRLGLDSEEDYYTPQKVDVPKALIIVAVQCGCDGTFLLTQSGKVLACGLNEFNKLGLNQCMSGIINHEAYHEVPYTTSFTLAKQLSFYKIRTIAPGKTHTAAIDERGRLLTFGCNKCGQLGVGNYKKRLGINLLGGPLGGKQVIRVSCGDEFTIAATDDNHIFAWGNGGNGRLAMTPTERPHGSDICTSWPRPIFGSLHHVPDLSCRGWHTILIVEKVLNSKTIRSNSSGLSIGTVFQSSSPGGGGGGGGGEEEDSQQESETPDPSGGFRGTMEADRGMEGLISPTEAMGNSNGASSSCPGWLRKELENAEFIPMPDSPSPLSAAFSESEKDTLPYEELQGLKVASEAPLEHKPQVEASSPRLNPAVTCAGKGTPLTPPACACSSLQVEVERLQGLVLKCLAEQQKLQQENLQIFTQLQKLNKKLEGGQQVGMHSKGTQTAKEEMEMDPKPDLDSDSWCLLGTDSCRPSL
+>DECOY_sp|Q8TD19|NEK9_HUMAN Serine/threonine-protein kinase Nek9 OS=Homo sapiens OX=9606 GN=NEK9 PE=1 SV=2
+LSPRCSDTGLLCWSDSDLDPKPDMEMEEKATQTGKSHMGVQQGGELKKNLKQLQTFIQLNEQQLKQQEALCKLVLGQLREVEVQLSSCACAPPTLPTGKGACTVAPNLRPSSAEVQPKHELPAESAVKLGQLEEYPLTDKESESFAASLPSPSDPMPIFEANELEKRLWGPCSSSAGNSNGMAETPSILGEMGRDAEMTGRFGGSPDPTESEQQSDEEEGGGGGGGGGPSSSQFVTGISLGSSNSRITKSNLVKEVILITHWGRCSLDPVHHLSGFIPRPWSTCIDSGHPRETPTMALRGNGGNGWAFIHNDDTAAITFEDGCSVRIVQKGGLPGGLLNIGLRKKYNGVGLQGCKNCGFTLLRGREDIAATHTKGPAITRIKYFSLQKALTFSTTYPVEHYAEHNIIGSMCQNLGLKNFENLGCALVKGSQTLLFTGDCGCQVAVIILAKPVDVKQPTYYDEESDLGLRGYEGCGWSYVEKNRTLVVVHNDGCSVQEVPNSLFFNLQMPELVEPGAVKDVGMCGYYDSGFAYLQGEDTVCVTFDDGCSVQRIAKGQLKEVHKPQRYSAKDGHGLQGHLKTGGQMNVWTYLEKEVTVVAFHTNGACVQRASCGSKIVDLKQPTSKGGGWVYVESTRSTVVAIPAETVTSSRPRKTPANLLTVKEEMERRRKRLLPRDLLEDATPRQEPDQDLCSHVMQILELSYQSSDVEMARIGQVIKVCLNLPNTADFTRKLTLLEFIVCGVAWIDSKFNYKVGQCLEPSMYYPTGVLTEAMSYESNLKKALGYDGLKILNAKTLFINLTKIDRHLIGAKHICSVASVIQFLYWVVMEEEFLKDKQRLIKDYLNGGNCYELEILLTTNDMFHNYYAIINDHQLLALIVIENLADRREKESLRTLDVEKWVVLSDDETRRYLTAEGFAGRGLVRIPIYHLEEQEAAGGGARPGQSASPGPSSDGCGGSESGFDSNISDCHREYEGLVSM
+>sp|Q8IXH7|NELFD_HUMAN Negative elongation factor C/D OS=Homo sapiens OX=9606 GN=NELFCD PE=1 SV=2
+MAGAVPGAIMDEDYYGSAAEWGDEADGGQQEDDSGEGEDDAEVQQECLHKFSTRDYIMEPSIFNTLKRYFQAGGSPENVIQLLSENYTAVAQTVNLLAEWLIQTGVEPVQVQETVENHLKSLLIKHFDPRKADSIFTEEGETPAWLEQMIAHTTWRDLFYKLAEAHPDCLMLNFTVKLISDAGYQGEITSVSTACQQLEVFSRVLRTSLATILDGGEENLEKNLPEFAKMVCHGEHTYLFAQAMMSVLAQEEQGGSAVRRIAQEVQRFAQEKGHDASQITLALGTAASYPRACQALGAMLSKGALNPADITVLFKMFTSMDPPPVELIRVPAFLDLFMQSLFKPGARINQDHKHKYIHILAYAASVVETWKKNKRVSINKDELKSTSKAVETVHNLCCNENKGASELVAELSTLYQCIRFPVVAMGVLKWVDWTVSEPRYFQLQTDHTPVHLALLDEISTCHQLLHPQVLQLLVKLFETEHSQLDVMEQLELKKTLLDRMVHLLSRGYVLPVVSYIRKCLEKLDTDISLIRYFVTEVLDVIAPPYTSDFVQLFLPILENDSIAGTIKTEGEHDPVTEFIAHCKSNFIMVN
+>DECOY_sp|Q8IXH7|NELFD_HUMAN Negative elongation factor C/D OS=Homo sapiens OX=9606 GN=NELFCD PE=1 SV=2
+NVMIFNSKCHAIFETVPDHEGETKITGAISDNELIPLFLQVFDSTYPPAIVDLVETVFYRILSIDTDLKELCKRIYSVVPLVYGRSLLHVMRDLLTKKLELQEMVDLQSHETEFLKVLLQLVQPHLLQHCTSIEDLLALHVPTHDTQLQFYRPESVTWDVWKLVGMAVVPFRICQYLTSLEAVLESAGKNENCCLNHVTEVAKSTSKLEDKNISVRKNKKWTEVVSAAYALIHIYKHKHDQNIRAGPKFLSQMFLDLFAPVRILEVPPPDMSTFMKFLVTIDAPNLAGKSLMAGLAQCARPYSAATGLALTIQSADHGKEQAFRQVEQAIRRVASGGQEEQALVSMMAQAFLYTHEGHCVMKAFEPLNKELNEEGGDLITALSTRLVRSFVELQQCATSVSTIEGQYGADSILKVTFNLMLCDPHAEALKYFLDRWTTHAIMQELWAPTEGEETFISDAKRPDFHKILLSKLHNEVTEQVQVPEVGTQILWEALLNVTQAVATYNESLLQIVNEPSGGAQFYRKLTNFISPEMIYDRTSFKHLCEQQVEADDEGEGSDDEQQGGDAEDGWEAASGYYDEDMIAGPVAGAM
+>sp|Q9UBF9|MYOTI_HUMAN Myotilin OS=Homo sapiens OX=9606 GN=MYOT PE=1 SV=2
+MFNYERPKHFIQSQNPCGSRLQPPGPETSSFSSQTKQSSIIIQPRQCTEQRFSASSTLSSHITMSSSAFPASPKQHAGSNPGQRVTTTYNQSPASFLSSILPSQPDYNSSKIPSAMDSNYQQSSAGQPINAKPSQTANAKPIPRTPDHEIQGSKEALIQDLERKLKCKDTLLHNGNQRLTYEEKMARRLLGPQNAAAVFQAQDDSGAQDSQQHNSEHARLQVPTSQVRSRSTSRGDVNDQDAIQEKFYPPRFIQVPENMSIDEGRFCRMDFKVSGLPAPDVSWYLNGRTVQSDDLHKMIVSEKGLHSLIFEVVRASDAGAYACVAKNRAGEATFTVQLDVLAKEHKRAPMFIYKPQSKKVLEGDSVKLECQISAIPPPKLFWKRNNEMVQFNTDRISLYQDNTGRVTLLIKDVNKKDAGWYTVSAVNEAGVTTCNTRLDVTARPNQTLPAPKQLRVRPTFSKYLALNGKGLNVKQAFNPEGEFQRLAAQSGLYESEEL
+>DECOY_sp|Q9UBF9|MYOTI_HUMAN Myotilin OS=Homo sapiens OX=9606 GN=MYOT PE=1 SV=2
+LEESEYLGSQAALRQFEGEPNFAQKVNLGKGNLALYKSFTPRVRLQKPAPLTQNPRATVDLRTNCTTVGAENVASVTYWGADKKNVDKILLTVRGTNDQYLSIRDTNFQVMENNRKWFLKPPPIASIQCELKVSDGELVKKSQPKYIFMPARKHEKALVDLQVTFTAEGARNKAVCAYAGADSARVVEFILSHLGKESVIMKHLDDSQVTRGNLYWSVDPAPLGSVKFDMRCFRGEDISMNEPVQIFRPPYFKEQIADQDNVDGRSTSRSRVQSTPVQLRAHESNHQQSDQAGSDDQAQFVAAANQPGLLRRAMKEEYTLRQNGNHLLTDKCKLKRELDQILAEKSGQIEHDPTRPIPKANATQSPKANIPQGASSQQYNSDMASPIKSSNYDPQSPLISSLFSAPSQNYTTTVRQGPNSGAHQKPSAPFASSSMTIHSSLTSSASFRQETCQRPQIIISSQKTQSSFSSTEPGPPQLRSGCPNQSQIFHKPREYNFM
+>sp|P0CAP1|MYZAP_HUMAN Myocardial zonula adherens protein OS=Homo sapiens OX=9606 GN=MYZAP PE=1 SV=1
+MLRSTSTVTLLSGGAARTPGAPSRRANVCRLRLTVPPESPVPEQCEKKIERKEQLLDLSNGEPTRKLPQGVVYGVVRRSDQNQQKEMVVYGWSTSQLKEEMNYIKDVRATLEKVRKRMYGDYDEMRQKIRQLTQELSVSHAQQEYLENHIQTQSSALDRFNAMNSALASDSIGLQKTLVDVTLENSNIKDQIRNLQQTYEASMDKLREKQRQLEVAQVENQLLKMKVESSQEANAEVMREMTKKLYSQYEEKLQEEQRKHSAEKEALLEETNSFLKAIEEANKKMQAAEISLEEKDQRIGELDRLIERMEKERHQLQLQLLEHETEMSGELTDSDKERYQQLEEASASLRERIRHLDDMVHCQQKKVKQMVEEIESLKKKLQQKQLLILQLLEKISFLEGENNELQSRLDYLTETQAKTEVETREIGVGCDLLPSQTGRTREIVMPSRNYTPYTRVLELTMKKTLT
+>DECOY_sp|P0CAP1|MYZAP_HUMAN Myocardial zonula adherens protein OS=Homo sapiens OX=9606 GN=MYZAP PE=1 SV=1
+TLTKKMTLELVRTYPTYNRSPMVIERTRGTQSPLLDCGVGIERTEVETKAQTETLYDLRSQLENNEGELFSIKELLQLILLQKQQLKKKLSEIEEVMQKVKKQQCHVMDDLHRIRERLSASAEELQQYREKDSDTLEGSMETEHELLQLQLQHREKEMREILRDLEGIRQDKEELSIEAAQMKKNAEEIAKLFSNTEELLAEKEASHKRQEEQLKEEYQSYLKKTMERMVEANAEQSSEVKMKLLQNEVQAVELQRQKERLKDMSAEYTQQLNRIQDKINSNELTVDVLTKQLGISDSALASNMANFRDLASSQTQIHNELYEQQAHSVSLEQTLQRIKQRMEDYDGYMRKRVKELTARVDKIYNMEEKLQSTSWGYVVMEKQQNQDSRRVVGYVVGQPLKRTPEGNSLDLLQEKREIKKECQEPVPSEPPVTLRLRCVNARRSPAGPTRAAGGSLLTVTSTSRLM
+>sp|Q08AG7|MZT1_HUMAN Mitotic-spindle organizing protein 1 OS=Homo sapiens OX=9606 GN=MZT1 PE=1 SV=2
+MASSSGAGAAAAAAAANLNAVRETMDVLLEISRILNTGLDMETLSICVRLCEQGINPEALSSVIKELRKATEALKAAENMTS
+>DECOY_sp|Q08AG7|MZT1_HUMAN Mitotic-spindle organizing protein 1 OS=Homo sapiens OX=9606 GN=MZT1 PE=1 SV=2
+STMNEAAKLAETAKRLEKIVSSLAEPNIGQECLRVCISLTEMDLGTNLIRSIELLVDMTERVANLNAAAAAAAAGAGSSSAM
+>sp|P61599|NAA20_HUMAN N-alpha-acetyltransferase 20 OS=Homo sapiens OX=9606 GN=NAA20 PE=1 SV=1
+MTTLRAFTCDDLFRFNNINLDPLTETYGIPFYLQYLAHWPEYFIVAEAPGGELMGYIMGKAEGSVAREEWHGHVTALSVAPEFRRLGLAAKLMELLEEISERKGGFFVDLFVRVSNQVAVNMYKQLGYSVYRTVIEYYSASNGEPDEDAYDMRKALSRDTEKKSIIPLPHPVRPEDIE
+>DECOY_sp|P61599|NAA20_HUMAN N-alpha-acetyltransferase 20 OS=Homo sapiens OX=9606 GN=NAA20 PE=1 SV=1
+EIDEPRVPHPLPIISKKETDRSLAKRMDYADEDPEGNSASYYEIVTRYVSYGLQKYMNVAVQNSVRVFLDVFFGGKRESIEELLEMLKAALGLRRFEPAVSLATVHGHWEERAVSGEAKGMIYGMLEGGPAEAVIFYEPWHALYQLYFPIGYTETLPDLNINNFRFLDDCTFARLTTM
+>sp|Q147X3|NAA30_HUMAN N-alpha-acetyltransferase 30 OS=Homo sapiens OX=9606 GN=NAA30 PE=1 SV=1
+MAEVPPGPSSLLPPPAPPAPAAVEPRCPFPAGAALACCSEDEEDDEEHEGGGSRSPAGGESATVAAKGHPCLRCPQPPQEQQQLNGLISPELRHLRAAASLKSKVLSVAEVAATTATPDGGPRATATKGAGVHSGERPPHSLSSNARTAVPSPVEAAAASDPAAARNGLAEGTEQEEEEEDEQVRLLSSSLTADCSLRSPSGREVEPGEDRTIRYVRYESELQMPDIMRLITKDLSEPYSIYTYRYFIHNWPQLCFLAMVGEECVGAIVCKLDMHKKMFRRGYIAMLAVDSKYRRNGIGTNLVKKAIYAMVEGDCDEVVLETEITNKSALKLYENLGFVRDKRLFRYYLNGVDALRLKLWLR
+>DECOY_sp|Q147X3|NAA30_HUMAN N-alpha-acetyltransferase 30 OS=Homo sapiens OX=9606 GN=NAA30 PE=1 SV=1
+RLWLKLRLADVGNLYYRFLRKDRVFGLNEYLKLASKNTIETELVVEDCDGEVMAYIAKKVLNTGIGNRRYKSDVALMAIYGRRFMKKHMDLKCVIAGVCEEGVMALFCLQPWNHIFYRYTYISYPESLDKTILRMIDPMQLESEYRVYRITRDEGPEVERGSPSRLSCDATLSSSLLRVQEDEEEEEQETGEALGNRAAAPDSAAAAEVPSPVATRANSSLSHPPREGSHVGAGKTATARPGGDPTATTAAVEAVSLVKSKLSAAARLHRLEPSILGNLQQQEQPPQPCRLCPHGKAAVTASEGGAPSRSGGGEHEEDDEEDESCCALAAGAPFPCRPEVAAPAPPAPPPLLSSPGPPVEAM
+>sp|Q02083|NAAA_HUMAN N-acylethanolamine-hydrolyzing acid amidase OS=Homo sapiens OX=9606 GN=NAAA PE=1 SV=3
+MRTADREARPGLPSLLLLLLAGAGLSAASPPAAPRFNVSLDSVPELRWLPVLRHYDLDLVRAAMAQVIGDRVPKWVHVLIGKVVLELERFLPQPFTGEIRGMCDFMNLSLADCLLVNLAYESSVFCTSIVAQDSRGHIYHGRNLDYPFGNVLRKLTVDVQFLKNGQIAFTGTTFIGYVGLWTGQSPHKFTVSGDERDKGWWWENAIAALFRRHIPVSWLIRATLSESENFEAAVGKLAKTPLIADVYYIVGGTSPREGVVITRNRDGPADIWPLDPLNGAWFRVETNYDHWKPAPKEDDRRTSAIKALNATGQANLSLEALFQILSVVPVYNNFTIYTTVMSAGSPDKYMTRIRNPSRK
+>DECOY_sp|Q02083|NAAA_HUMAN N-acylethanolamine-hydrolyzing acid amidase OS=Homo sapiens OX=9606 GN=NAAA PE=1 SV=3
+KRSPNRIRTMYKDPSGASMVTTYITFNNYVPVVSLIQFLAELSLNAQGTANLAKIASTRRDDEKPAPKWHDYNTEVRFWAGNLPDLPWIDAPGDRNRTIVVGERPSTGGVIYYVDAILPTKALKGVAAEFNESESLTARILWSVPIHRRFLAAIANEWWWGKDREDGSVTFKHPSQGTWLGVYGIFTTGTFAIQGNKLFQVDVTLKRLVNGFPYDLNRGHYIHGRSDQAVISTCFVSSEYALNVLLCDALSLNMFDCMGRIEGTFPQPLFRELELVVKGILVHVWKPVRDGIVQAMAARVLDLDYHRLVPLWRLEPVSDLSVNFRPAAPPSAASLGAGALLLLLLSPLGPRAERDATRM
+>sp|Q9H9S0|NANOG_HUMAN Homeobox protein NANOG OS=Homo sapiens OX=9606 GN=NANOG PE=1 SV=2
+MSVDPACPQSLPCFEASDCKESSPMPVICGPEENYPSLQMSSAEMPHTETVSPLPSSMDLLIQDSPDSSTSPKGKQPTSAEKSVAKKEDKVPVKKQKTRTVFSSTQLCVLNDRFQRQKYLSLQQMQELSNILNLSYKQVKTWFQNQRMKSKRWQKNNWPKNSNGVTQKASAPTYPSLYSSYHQGCLVNPTGNLPMWSNQTWNNSTWSNQTQNIQSWSNHSWNTQTWCTQSWNNQAWNSPFYNCGEESLQSCMQFQPNSPASDLEAALEAAGEGLNVIQQTTRYFSTPQTMDLFLNYSMNMQPEDV
+>DECOY_sp|Q9H9S0|NANOG_HUMAN Homeobox protein NANOG OS=Homo sapiens OX=9606 GN=NANOG PE=1 SV=2
+VDEPQMNMSYNLFLDMTQPTSFYRTTQQIVNLGEGAAELAAELDSAPSNPQFQMCSQLSEEGCNYFPSNWAQNNWSQTCWTQTNWSHNSWSQINQTQNSWTSNNWTQNSWMPLNGTPNVLCGQHYSSYLSPYTPASAKQTVGNSNKPWNNKQWRKSKMRQNQFWTKVQKYSLNLINSLEQMQQLSLYKQRQFRDNLVCLQTSSFVTRTKQKKVPVKDEKKAVSKEASTPQKGKPSTSSDPSDQILLDMSSPLPSVTETHPMEASSMQLSPYNEEPGCIVPMPSSEKCDSAEFCPLSQPCAPDVSM
+>sp|Q6NSW7|NANP8_HUMAN Homeobox protein NANOGP8 OS=Homo sapiens OX=9606 GN=NANOGP8 PE=2 SV=2
+MSVDPACPQSLPCFEESDCKESSPMPVICGPEENYPSLQMSSAEMPHTETVSPLPSSMDLLIQDSPDSSTSPKGKQPTSAENSVAKKEDKVPVKKQKTRTVFSSTQLCVLNDRFQRQKYLSLQQMQELSNILNLSYKQVKTWFQNQRMKSKRWQKNNWPKNSNGVTQKASAPTYPSLYSSYHQGCLVNPTGNLPMWSNQTWNNSTWSNQTQNIQSWSNHSWNTQTWCTQSWNNQAWNSPFYNCGEESLQSCMHFQPNSPASDLEAALEAAGEGLNVIQQTTRYFSTPQTMDLFLNYSMNMQPEDV
+>DECOY_sp|Q6NSW7|NANP8_HUMAN Homeobox protein NANOGP8 OS=Homo sapiens OX=9606 GN=NANOGP8 PE=2 SV=2
+VDEPQMNMSYNLFLDMTQPTSFYRTTQQIVNLGEGAAELAAELDSAPSNPQFHMCSQLSEEGCNYFPSNWAQNNWSQTCWTQTNWSHNSWSQINQTQNSWTSNNWTQNSWMPLNGTPNVLCGQHYSSYLSPYTPASAKQTVGNSNKPWNNKQWRKSKMRQNQFWTKVQKYSLNLINSLEQMQQLSLYKQRQFRDNLVCLQTSSFVTRTKQKKVPVKDEKKAVSNEASTPQKGKPSTSSDPSDQILLDMSSPLPSVTETHPMEASSMQLSPYNEEPGCIVPMPSSEKCDSEEFCPLSQPCAPDVSM
+>sp|Q6IQ20|NAPEP_HUMAN N-acyl-phosphatidylethanolamine-hydrolyzing phospholipase D OS=Homo sapiens OX=9606 GN=NAPEPLD PE=1 SV=2
+MDENESNQSLMTSSQYPKEAVRKRQNSARNSGASDSSRFSRKSFKLDYRLEEDVTKSKKGKDGRFVNPWPTWKNPSIPNVLRWLIMEKDHSSVPSSKEELDKELPVLKPYFITNPEEAGVREAGLRVTWLGHATVMVEMDELIFLTDPIFSSRASPSQYMGPKRFRRSPCTISELPPIDAVLISHNHYDHLDYNSVIALNERFGNELRWFVPLGLLDWMQKCGCENVIELDWWEENCVPGHDKVTFVFTPSQHWCKRTLMDDNKVLWGSWSVLGPWNRFFFAGDTGYCPAFEEIGKRFGPFDLAAIPIGAYEPRWFMKYQHVDPEEAVRIHTDVQTKKSMAIHWGTFALANEHYLEPPVKLNEALERYGLNAEDFFVLKHGESRYLNNDDENF
+>DECOY_sp|Q6IQ20|NAPEP_HUMAN N-acyl-phosphatidylethanolamine-hydrolyzing phospholipase D OS=Homo sapiens OX=9606 GN=NAPEPLD PE=1 SV=2
+FNEDDNNLYRSEGHKLVFFDEANLGYRELAENLKVPPELYHENALAFTGWHIAMSKKTQVDTHIRVAEEPDVHQYKMFWRPEYAGIPIAALDFPGFRKGIEEFAPCYGTDGAFFFRNWPGLVSWSGWLVKNDDMLTRKCWHQSPTFVFTVKDHGPVCNEEWWDLEIVNECGCKQMWDLLGLPVFWRLENGFRENLAIVSNYDLHDYHNHSILVADIPPLESITCPSRRFRKPGMYQSPSARSSFIPDTLFILEDMEVMVTAHGLWTVRLGAERVGAEEPNTIFYPKLVPLEKDLEEKSSPVSSHDKEMILWRLVNPISPNKWTPWPNVFRGDKGKKSKTVDEELRYDLKFSKRSFRSSDSAGSNRASNQRKRVAEKPYQSSTMLSQNSENEDM
+>sp|P0DI83|NARR_HUMAN Ras-related protein Rab-34, isoform NARR OS=Homo sapiens OX=9606 GN=RAB34 PE=1 SV=1
+MVGQPQPRDDVGSPRPRVIVGTIRPRVIVGTIRPRVIVGSARARPPPDGTPRPQLAAEESPRPRVIFGTPRARVILGSPRPRVIVSSPWPAVVVASPRPRTPVGSPWPRVVVGTPRPRVIVGSPRARVADADPASAPSQGALQGRRQDEHSGTRAEGSRPGGAAPVPEEGGRFARAQRLPPPRHLRLPGAPDRHRGQI
+>DECOY_sp|P0DI83|NARR_HUMAN Ras-related protein Rab-34, isoform NARR OS=Homo sapiens OX=9606 GN=RAB34 PE=1 SV=1
+IQGRHRDPAGPLRLHRPPPLRQARAFRGGEEPVPAAGGPRSGEARTGSHEDQRRGQLAGQSPASAPDADAVRARPSGVIVRPRPTGVVVRPWPSGVPTRPRPSAVVVAPWPSSVIVRPRPSGLIVRARPTGFIVRPRPSEEAALQPRPTGDPPPRARASGVIVRPRITGVIVRPRITGVIVRPRPSGVDDRPQPQGVM
+>sp|Q8NFP9|NBEA_HUMAN Neurobeachin OS=Homo sapiens OX=9606 GN=NBEA PE=1 SV=3
+MASEKPGPGPGLEPQPVGLIAVGAAGGGGGGSGGGGTGGSGMGELRGASGSGSVMLPAGMINPSVPIRNIRMKFAVLIGLIQVGEVSNRDIVETVLNLLVGGEFDLEMNFIIQDAESITCMTELLEHCDVTCQAEIWSMFTAILRKSVRNLQTSTEVGLIEQVLLKMSAVDDMIADLLVDMLGVLASYSITVKELKLLFSMLRGESGIWPRHAVKLLSVLNQMPQRHGPDTFFNFPGCSAAAIALPPIAKWPYQNGFTLNTWFRMDPLNNINVDKDKPYLYCFRTSKGVGYSAHFVGNCLIVTSLKSKGKGFQHCVKYDFQPRKWYMISIVHIYNRWRNSEIRCYVNGQLVSYGDMAWHVNTNDSYDKCFLGSSETADANRVFCGQLGAVYVFSEALNPAQIFAIHQLGPGYKSTFKFKSESDIHLAEHHKQVLYDGKLASSIAFTYNAKATDAQLCLESSPKENASIFVHSPHALMLQDVKAIVTHSIHSAIHSIGGIQVLFPLFAQLDNRQLNDSQVETTVCATLLAFLVELLKSSVAMQEQMLGGKGFLVIGYLLEKSSRVHITRAVLEQFLSFAKYLDGLSHGAPLLKQLCDHILFNPAIWIHTPAKVQLSLYTYLSAEFIGTATIYTTIRRVGTVLQLMHTLKYYYWVINPADSSGITPKGLDGPRPSQKEIISLRAFMLLFLKQLILKDRGVKEDELQSILNYLLTMHEDENIHDVLQLLVALMSEHPASMIPAFDQRNGIRVIYKLLASKSESIWVQALKVLGYFLKHLGHKRKVEIMHTHSLFTLLGERLMLHTNTVTVTTYNTLYEILTEQVCTQVVHKPHPEPDSTVKIQNPMILKVVATLLKNSTPSAELMEVRRLFLSDMIKLFSNSRENRRCLLQCSVWQDWMFSLGYINPKNSEEQKITEMVYNIFRILLYHAIKYEWGGWRVWVDTLSIAHSKVTYEAHKEYLAKMYEEYQRQEEENIKKGKKGNVSTISGLSSQTTGAKGGMEIREIEDLSQSQSPESETDYPVSTDTRDLLMSTKVSDDILGNSDRPGSGVHVEVHDLLVDIKAEKVEATEVKLDDMDLSPETLVGGENGALVEVESLLDNVYSAAVEKLQNNVHGSVGIIKKNEEKDNGPLITLADEKEDLPNSSTSFLFDKIPKQEEKLLPELSSNHIIPNIQDTQVHLGVSDDLGLLAHMTGSVDLTCTSSIIEEKEFKIHTTSDGMSSISERDLASSTKGLEYAEMTATTLETESSSSKIVPNIDAGSIISDTERSDDGKESGKEIRKIQTTTTTQAVQGRSITQQDRDLRVDLGFRGMPMTEEQRRQFSPGPRTTMFRIPEFKWSPMHQRLLTDLLFALETDVHVWRSHSTKSVMDFVNSNENIIFVHNTIHLISQMVDNIIIACGGILPLLSAATSPTGSKTELENIEVTQGMSAETAVTFLSRLMAMVDVLVFASSLNFSEIEAEKNMSSGGLMRQCLRLVCCVAVRNCLECRQRQRDRGNKSSHGSSKPQEVPQSVTATAASKTPLENVPGNLSPIKDPDRLLQDVDINRLRAVVFRDVDDSKQAQFLALAVVYFISVLMVSKYRDILEPQRETTRTGSQPGRNIRQEINSPTSTVVVIPSIPHPSLNHGFLAKLIPEQSFGHSFYKETPAAFPDTIKEKETPTPGEDIQVESSIPHTDSGIGEEQVASILNGAELETSTGPDAMSELLSTLSSEVKKSQESLTENPSETLKPATSISSISQTKGINVKEILKSLVAAPVEIAECGPEPIPYPDPALKRETQAILPMQFHSFDRSVVVPVKKPPPGSLAVTTVGATTAGSGLPTGSTSNIFAATGATPKSMINTTGAVDSGSSSSSSSSSFVNGATSKNLPAVQTVAPMPEDSAENMSITAKLERALEKVAPLLREIFVDFAPFLSRTLLGSHGQELLIEGLVCMKSSTSVVELVMLLCSQEWQNSIQKNAGLAFIELINEGRLLCHAMKDHIVRVANEAEFILNRQRAEDVHKHAEFESQCAQYAADRREEEKMCDHLISAAKHRDHVTANQLKQKILNILTNKHGAWGAVSHSQLHDFWRLDYWEDDLRRRRRFVRNAFGSTHAEALLKAAIEYGTEEDVVKSKKTFRSQAIVNQNAETELMLEGDDDAVSLLQEKEIDNLAGPVVLSTPAQLIAPVVVAKGTLSITTTEIYFEVDEDDSAFKKIDTKVLAYTEGLHGKWMFSEIRAVFSRRYLLQNTALEVFMANRTSVMFNFPDQATVKKVVYSLPRVGVGTSYGLPQARRISLATPRQLYKSSNMTQRWQRREISNFEYLMFLNTIAGRTYNDLNQYPVFPWVLTNYESEELDLTLPGNFRDLSKPIGALNPKRAVFYAERYETWEDDQSPPYHYNTHYSTATSTLSWLVRIEPFTTFFLNANDGKFDHPDRTFSSVARSWRTSQRDTSDVKELIPEFYYLPEMFVNSNGYNLGVREDEVVVNDVDLPPWAKKPEDFVRINRMALESEFVSCQLHQWIDLIFGYKQRGPEAVRALNVFHYLTYEGSVNLDSITDPVLREAMEAQIQNFGQTPSQLLIEPHPPRSSAMHLCFLPQSPLMFKDQMQQDVIMVLKFPSNSPVTHVAANTLPHLTIPAVVTVTCSRLFAVNRWHNTVGLRGAPGYSLDQAHHLPIEMDPLIANNSGVNKRQITDLVDQSIQINAHCFVVTADNRYILICGFWDKSFRVYSTETGKLTQIVFGHWDVVTCLARSESYIGGDCYIVSGSRDATLLLWYWSGRHHIIGDNPNSSDYPAPRAVLTGHDHEVVCVSVCAELGLVISGAKEGPCLVHTITGDLLRALEGPENCLFPRLISVSSEGHCIIYYERGRFSNFSINGKLLAQMEINDSTRAILLSSDGQNLVTGGDNGVVEVWQACDFKQLYIYPGCDAGIRAMDLSHDQRTLITGMASGSIVAFNIDFNRWHYEHQNRY
+>DECOY_sp|Q8NFP9|NBEA_HUMAN Neurobeachin OS=Homo sapiens OX=9606 GN=NBEA PE=1 SV=3
+YRNQHEYHWRNFDINFAVISGSAMGTILTRQDHSLDMARIGADCGPYIYLQKFDCAQWVEVVGNDGGTVLNQGDSSLLIARTSDNIEMQALLKGNISFNSFRGREYYIICHGESSVSILRPFLCNEPGELARLLDGTITHVLCPGEKAGSIVLGLEACVSVCVVEHDHGTLVARPAPYDSSNPNDGIIHHRGSWYWLLLTADRSGSVIYCDGGIYSESRALCTVVDWHGFVIQTLKGTETSYVRFSKDWFGCILIYRNDATVVFCHANIQISQDVLDTIQRKNVGSNNAILPDMEIPLHHAQDLSYGPAGRLGVTNHWRNVAFLRSCTVTVVAPITLHPLTNAAVHTVPSNSPFKLVMIVDQQMQDKFMLPSQPLFCLHMASSRPPHPEILLQSPTQGFNQIQAEMAERLVPDTISDLNVSGEYTLYHFVNLARVAEPGRQKYGFILDIWQHLQCSVFESELAMRNIRVFDEPKKAWPPLDVDNVVVEDERVGLNYGNSNVFMEPLYYFEPILEKVDSTDRQSTRWSRAVSSFTRDPHDFKGDNANLFFTTFPEIRVLWSLTSTATSYHTNYHYPPSQDDEWTEYREAYFVARKPNLAGIPKSLDRFNGPLTLDLEESEYNTLVWPFVPYQNLDNYTRGAITNLFMLYEFNSIERRQWRQTMNSSKYLQRPTALSIRRAQPLGYSTGVGVRPLSYVVKKVTAQDPFNFMVSTRNAMFVELATNQLLYRRSFVARIESFMWKGHLGETYALVKTDIKKFASDDEDVEFYIETTTISLTGKAVVVPAILQAPTSLVVPGALNDIEKEQLLSVADDDGELMLETEANQNVIAQSRFTKKSKVVDEETGYEIAAKLLAEAHTSGFANRVFRRRRRLDDEWYDLRWFDHLQSHSVAGWAGHKNTLINLIKQKLQNATVHDRHKAASILHDCMKEEERRDAAYQACQSEFEAHKHVDEARQRNLIFEAENAVRVIHDKMAHCLLRGENILEIFALGANKQISNQWEQSCLLMVLEVVSTSSKMCVLGEILLEQGHSGLLTRSLFPAFDVFIERLLPAVKELARELKATISMNEASDEPMPAVTQVAPLNKSTAGNVFSSSSSSSSSGSDVAGTTNIMSKPTAGTAAFINSTSGTPLGSGATTAGVTTVALSGPPPKKVPVVVSRDFSHFQMPLIAQTERKLAPDPYPIPEPGCEAIEVPAAVLSKLIEKVNIGKTQSISSISTAPKLTESPNETLSEQSKKVESSLTSLLESMADPGTSTELEAGNLISAVQEEGIGSDTHPISSEVQIDEGPTPTEKEKITDPFAAPTEKYFSHGFSQEPILKALFGHNLSPHPISPIVVVTSTPSNIEQRINRGPQSGTRTTERQPELIDRYKSVMLVSIFYVVALALFQAQKSDDVDRFVVARLRNIDVDQLLRDPDKIPSLNGPVNELPTKSAATATVSQPVEQPKSSGHSSKNGRDRQRQRCELCNRVAVCCVLRLCQRMLGGSSMNKEAEIESFNLSSAFVLVDVMAMLRSLFTVATEASMGQTVEINELETKSGTPSTAASLLPLIGGCAIIINDVMQSILHITNHVFIINENSNVFDMVSKTSHSRWVHVDTELAFLLDTLLRQHMPSWKFEPIRFMTTRPGPSFQRRQEETMPMGRFGLDVRLDRDQQTISRGQVAQTTTTTQIKRIEKGSEKGDDSRETDSIISGADINPVIKSSSSETELTTATMEAYELGKTSSALDRESISSMGDSTTHIKFEKEEIISSTCTLDVSGTMHALLGLDDSVGLHVQTDQINPIIHNSSLEPLLKEEQKPIKDFLFSTSSNPLDEKEDALTILPGNDKEENKKIIGVSGHVNNQLKEVAASYVNDLLSEVEVLAGNEGGVLTEPSLDMDDLKVETAEVKEAKIDVLLDHVEVHVGSGPRDSNGLIDDSVKTSMLLDRTDTSVPYDTESEPSQSQSLDEIERIEMGGKAGTTQSSLGSITSVNGKKGKKINEEEQRQYEEYMKALYEKHAEYTVKSHAISLTDVWVRWGGWEYKIAHYLLIRFINYVMETIKQEESNKPNIYGLSFMWDQWVSCQLLCRRNERSNSFLKIMDSLFLRRVEMLEASPTSNKLLTAVVKLIMPNQIKVTSDPEPHPKHVVQTCVQETLIEYLTNYTTVTVTNTHLMLREGLLTFLSHTHMIEVKRKHGLHKLFYGLVKLAQVWISESKSALLKYIVRIGNRQDFAPIMSAPHESMLAVLLQLVDHINEDEHMTLLYNLISQLEDEKVGRDKLILQKLFLLMFARLSIIEKQSPRPGDLGKPTIGSSDAPNIVWYYYKLTHMLQLVTGVRRITTYITATGIFEASLYTYLSLQVKAPTHIWIAPNFLIHDCLQKLLPAGHSLGDLYKAFSLFQELVARTIHVRSSKELLYGIVLFGKGGLMQEQMAVSSKLLEVLFALLTACVTTEVQSDNLQRNDLQAFLPFLVQIGGISHIASHISHTVIAKVDQLMLAHPSHVFISANEKPSSELCLQADTAKANYTFAISSALKGDYLVQKHHEALHIDSESKFKFTSKYGPGLQHIAFIQAPNLAESFVYVAGLQGCFVRNADATESSGLFCKDYSDNTNVHWAMDGYSVLQGNVYCRIESNRWRNYIHVISIMYWKRPQFDYKVCHQFGKGKSKLSTVILCNGVFHASYGVGKSTRFCYLYPKDKDVNINNLPDMRFWTNLTFGNQYPWKAIPPLAIAAASCGPFNFFTDPGHRQPMQNLVSLLKVAHRPWIGSEGRLMSFLLKLEKVTISYSALVGLMDVLLDAIMDDVASMKLLVQEILGVETSTQLNRVSKRLIATFMSWIEAQCTVDCHELLETMCTISEADQIIFNMELDFEGGVLLNLVTEVIDRNSVEGVQILGILVAFKMRINRIPVSPNIMGAPLMVSGSGSAGRLEGMGSGGTGGGGSGGGGGGAAGVAILGVPQPELGPGPGPKESAM
+>sp|P41271|NBL1_HUMAN Neuroblastoma suppressor of tumorigenicity 1 OS=Homo sapiens OX=9606 GN=NBL1 PE=1 SV=2
+MMLRVLVGAVLPAMLLAAPPPINKLALFPDKSAWCEAKNITQIVGHSGCEAKSIQNRACLGQCFSYSVPNTFPQSTESLVHCDSCMPAQSMWEIVTLECPGHEEVPRVDKLVEKILHCSCQACGKEPSHEGLSVYVQGEDGPGSQPGTHPHPHPHPHPGGQTPEPEDPPGAPHTEEEGAED
+>DECOY_sp|P41271|NBL1_HUMAN Neuroblastoma suppressor of tumorigenicity 1 OS=Homo sapiens OX=9606 GN=NBL1 PE=1 SV=2
+DEAGEEETHPAGPPDEPEPTQGGPHPHPHPHPHTGPQSGPGDEGQVYVSLGEHSPEKGCAQCSCHLIKEVLKDVRPVEEHGPCELTVIEWMSQAPMCSDCHVLSETSQPFTNPVSYSFCQGLCARNQISKAECGSHGVIQTINKAECWASKDPFLALKNIPPPAALLMAPLVAGVLVRLMM
+>sp|O60934|NBN_HUMAN Nibrin OS=Homo sapiens OX=9606 GN=NBN PE=1 SV=1
+MWKLLPAAGPAGGEPYRLLTGVEYVVGRKNCAILIENDQSISRNHAVLTANFSVTNLSQTDEIPVLTLKDNSKYGTFVNEEKMQNGFSRTLKSGDGITFGVFGSKFRIEYEPLVACSSCLDVSGKTALNQAILQLGGFTVNNWTEECTHLVMVSVKVTIKTICALICGRPIVKPEYFTEFLKAVESKKQPPQIESFYPPLDEPSIGSKNVDLSGRQERKQIFKGKTFIFLNAKQHKKLSSAVVFGGGEARLITEENEEEHNFFLAPGTCVVDTGITNSQTLIPDCQKKWIQSIMDMLQRQGLRPIPEAEIGLAVIFMTTKNYCDPQGHPSTGLKTTTPGPSLSQGVSVDEKLMPSAPVNTTTYVADTESEQADTWDLSERPKEIKVSKMEQKFRMLSQDAPTVKESCKTSSNNNSMVSNTLAKMRIPNYQLSPTKLPSINKSKDRASQQQQTNSIRNYFQPSTKKRERDEENQEMSSCKSARIETSCSLLEQTQPATPSLWKNKEQHLSENEPVDTNSDNNLFTDTDLKSIVKNSASKSHAAEKLRSNKKREMDDVAIEDEVLEQLFKDTKPELEIDVKVQKQEEDVNVRKRPRMDIETNDTFSDEAVPESSKISQENEIGKKRELKEDSLWSAKEISNNDKLQDDSEMLPKKLLLTEFRSLVIKNSTSRNPSGINDDYGQLKNFKKFKKVTYPGAGKLPHIIGGSDLIAHHARKNTELEEWLRQEMEVQNQHAKEESLADDLFRYNPYLKRRR
+>DECOY_sp|O60934|NBN_HUMAN Nibrin OS=Homo sapiens OX=9606 GN=NBN PE=1 SV=1
+RRRKLYPNYRFLDDALSEEKAHQNQVEMEQRLWEELETNKRAHHAILDSGGIIHPLKGAGPYTVKKFKKFNKLQGYDDNIGSPNRSTSNKIVLSRFETLLLKKPLMESDDQLKDNNSIEKASWLSDEKLERKKGIENEQSIKSSEPVAEDSFTDNTEIDMRPRKRVNVDEEQKQVKVDIELEPKTDKFLQELVEDEIAVDDMERKKNSRLKEAAHSKSASNKVISKLDTDTFLNNDSNTDVPENESLHQEKNKWLSPTAPQTQELLSCSTEIRASKCSSMEQNEEDRERKKTSPQFYNRISNTQQQQSARDKSKNISPLKTPSLQYNPIRMKALTNSVMSNNNSSTKCSEKVTPADQSLMRFKQEMKSVKIEKPRESLDWTDAQESETDAVYTTTNVPASPMLKEDVSVGQSLSPGPTTTKLGTSPHGQPDCYNKTTMFIVALGIEAEPIPRLGQRQLMDMISQIWKKQCDPILTQSNTIGTDVVCTGPALFFNHEEENEETILRAEGGGFVVASSLKKHQKANLFIFTKGKFIQKREQRGSLDVNKSGISPEDLPPYFSEIQPPQKKSEVAKLFETFYEPKVIPRGCILACITKITVKVSVMVLHTCEETWNNVTFGGLQLIAQNLATKGSVDLCSSCAVLPEYEIRFKSGFVGFTIGDGSKLTRSFGNQMKEENVFTGYKSNDKLTLVPIEDTQSLNTVSFNATLVAHNRSISQDNEILIACNKRGVVYEVGTLLRYPEGGAPGAAPLLKWM
+>sp|Q96M43|NBPF4_HUMAN Neuroblastoma breakpoint family member 4 OS=Homo sapiens OX=9606 GN=NBPF4 PE=2 SV=2
+MVVSADPLSSERAEMNILEINQELRSQLAESNQQFRDLKEKFLITQATAYSLANQLKKYKCEEYKDIIDSVLRDELQSMEKLAEKLRQAEELRQYKALVHSQAKELTQLREKLREGRDASRWLNKHLKTLLTPDDPDKSQGQDLREQLAEGHRLAEHLVHKLSPENDEDEDEDEDDKDEEVEKVQESPAPREVQKTEEKEVPQDSLEECAVTCSNSHNPSNSNQPHRSTKITFKEHEVDSALVVESEHPHDEEEEALNIPPENQNDHEEEEGKAPVPPRHHDKSNSYRHREVSFLALDEQKVCSAQDVARDYSNPKWDETSLGFLEKQSDLEEVKGQETVAPRLSRGPLRVDKHEIPQESLDGCCLTPSILPDLTPSYHPYWSTLYSFEDKQVSLALVDKIKKDQEEIEDQSPPCPRLSQELPEVKEQEVPEDSVNEVYLTPSVHHDVSDCHQPYSSTLSSLEDQLACSALDVASPTEAACPQGTWSGDLSHHQSEVQVSQAQLEPSTLVPSCLRLQLDQGFHCGNGLAQRGLSSTTCSFSANADSGNQWPFQELVLEPSLGMKNPPQLEDDALEGSASNTQGRQVTGRIRASLVLILKTIRRRLPFSKWRLAFRFAGPHAESAEIPNTAGRTQRMAG
+>DECOY_sp|Q96M43|NBPF4_HUMAN Neuroblastoma breakpoint family member 4 OS=Homo sapiens OX=9606 GN=NBPF4 PE=2 SV=2
+GAMRQTRGATNPIEASEAHPGAFRFALRWKSFPLRRRITKLILVLSARIRGTVQRGQTNSASGELADDELQPPNKMGLSPELVLEQFPWQNGSDANASFSCTTSSLGRQALGNGCHFGQDLQLRLCSPVLTSPELQAQSVQVESQHHSLDGSWTGQPCAAETPSAVDLASCALQDELSSLTSSYPQHCDSVDHHVSPTLYVENVSDEPVEQEKVEPLEQSLRPCPPSQDEIEEQDKKIKDVLALSVQKDEFSYLTSWYPHYSPTLDPLISPTLCCGDLSEQPIEHKDVRLPGRSLRPAVTEQGKVEELDSQKELFGLSTEDWKPNSYDRAVDQASCVKQEDLALFSVERHRYSNSKDHHRPPVPAKGEEEEHDNQNEPPINLAEEEEDHPHESEVVLASDVEHEKFTIKTSRHPQNSNSPNHSNSCTVACEELSDQPVEKEETKQVERPAPSEQVKEVEEDKDDEDEDEDEDNEPSLKHVLHEALRHGEALQERLDQGQSKDPDDPTLLTKLHKNLWRSADRGERLKERLQTLEKAQSHVLAKYQRLEEAQRLKEALKEMSQLEDRLVSDIIDKYEECKYKKLQNALSYATAQTILFKEKLDRFQQNSEALQSRLEQNIELINMEARESSLPDASVVM
+>sp|Q3BBV2|NBPF8_HUMAN Putative neuroblastoma breakpoint family member 8 OS=Homo sapiens OX=9606 GN=NBPF8 PE=5 SV=1
+MVVSAGPWSSEKAEMNILEINEKLRPQLAENKQQFVNLKEMFSNSTGRLPGQPTEEIQQYKVLVHSQERELTQLKEKLREGRDASRSLNEHLQALLTLDEPDKSQGQDLQEQLAEGCRLAQHLVQKLSPENDEDEDEDVQVEEDEKVLESSAPREVQKAEESKVPEDSLEECAITCSNSHGPCDSIQPHKNIKITFEEDKVNSSLVVDRESSHDGCQDALNILPVPGPTSSATNVSMVVSAGPLSSEKAEMNILEINEKLCPQLAEKKQQFRSLKEKCFVTQVACFLAKQQNKYKYEECKDLIKSMLRNERQFKEEKLAEQLKQAEELRQYKVLVHSQERELTQLREKLREGRDASRSLNEHLQALLTPDEPDKSQGQDLQEQLAEGCRLAQHLVQKLSPENDNDDDEDVQVEVAEKVQKSSSPREMQKAEEKEVPEDSLEECAITCSNSHGPYDSNQPHRKTKITFEEDKVDSTLIGSSSHVEWEDAVHIIPENESDDEEEEEKGPVSPRNLQESEEEEVPQESWDEGYSTLSIPPERLASYQSYSSTFHSLEEQQVCMAVDIGRHRWDQVKKEDQEATGPRLSRELLDEKGPEVLQDSLDRCYSTPSGCLELTDSCQPYRSAFYILEQQRVGLAVDMDEIEKYQEVEEDQDPSCPRLSRELLDEKEPEVLQDSLDRCYSTPSGYLELPDLGQPYSSAVYSLEEQYLGLALDVDRIKKDQEEEEDQGPPCPRLSRELLEVVEPEVLQDSLDRCYSTPSSCLEQPDSCQPYGSSFYALEEKHVGFSLDVGEIEKKGKGKIGRGRRSKKKRRRGRKEGEEDQNPPCPRLNSVLMEVEEPEVLQDSLDRCYSTPSMYCELRDSFQHYRSVF
+>DECOY_sp|Q3BBV2|NBPF8_HUMAN Putative neuroblastoma breakpoint family member 8 OS=Homo sapiens OX=9606 GN=NBPF8 PE=5 SV=1
+FVSRYHQFSDRLECYMSPTSYCRDLSDQLVEPEEVEMLVSNLRPCPPNQDEEGEKRGRRRKKKSRRGRGIKGKGKKEIEGVDLSFGVHKEELAYFSSGYPQCSDPQELCSSPTSYCRDLSDQLVEPEVVELLERSLRPCPPGQDEEEEQDKKIRDVDLALGLYQEELSYVASSYPQGLDPLELYGSPTSYCRDLSDQLVEPEKEDLLERSLRPCSPDQDEEVEQYKEIEDMDVALGVRQQELIYFASRYPQCSDTLELCGSPTSYCRDLSDQLVEPGKEDLLERSLRPGTAEQDEKKVQDWRHRGIDVAMCVQQEELSHFTSSYSQYSALREPPISLTSYGEDWSEQPVEEEESEQLNRPSVPGKEEEEEDDSENEPIIHVADEWEVHSSSGILTSDVKDEEFTIKTKRHPQNSDYPGHSNSCTIACEELSDEPVEKEEAKQMERPSSSKQVKEAVEVQVDEDDDNDNEPSLKQVLHQALRCGEALQEQLDQGQSKDPEDPTLLAQLHENLSRSADRGERLKERLQTLEREQSHVLVKYQRLEEAQKLQEALKEEKFQRENRLMSKILDKCEEYKYKNQQKALFCAVQTVFCKEKLSRFQQKKEALQPCLKENIELINMEAKESSLPGASVVMSVNTASSTPGPVPLINLADQCGDHSSERDVVLSSNVKDEEFTIKINKHPQISDCPGHSNSCTIACEELSDEPVKSEEAKQVERPASSELVKEDEEVQVDEDEDEDNEPSLKQVLHQALRCGEALQEQLDQGQSKDPEDLTLLAQLHENLSRSADRGERLKEKLQTLEREQSHVLVKYQQIEETPQGPLRGTSNSFMEKLNVFQQKNEALQPRLKENIELINMEAKESSWPGASVVM
+>sp|Q6P3W6|NBPFA_HUMAN Neuroblastoma breakpoint family member 10 OS=Homo sapiens OX=9606 GN=NBPF10 PE=2 SV=2
+MVVSAGPWSSEKAEMNILEINEKLRPQLAENKQQFGNLKERCFVTQLAGFLANQQKKYNYEECKDLIKFMLRNERQFKEEKLAEQLKQAEELRQYKVLVHSQERELTQLREKLREGRDASRSLNEHLQALLTLDEPDKSQGQDLQEQLAEGCRLAQHLVQKLSPENDEDEDEDVQVEEAEKVQKSSAPREVQKTEESKVPEDSLEECAITCSNSHGPCDSNQPHKNIKITFEEDEVNSTLVVDRESSHDECQDALNILPVPGPTSSATNVSMVVSAGPLSSEKAEMNILEINEKLHPQLAEKKQQFRNLKERCFVTQLAGFLANQQKKYKYEECKDLIKSMLRNERQFKEEKLAEQLKQAEELRQYKVLVHAQERELTQLREKLREGRDASRSLNEHLQALLTPDEPDKSQGQDLQEQLAEGCRLAQHLVQKLSPENDNDDDEDVQVELAEKVQKSSAPREMQKAEEKEVPEDSQEECAITYSNSHGPYDSNQPHRKTKITFEEDKVDSTLIGSSSHVEWEDAVHIIPENESDDEEEEEKGPVSPRNLQESEEEEVPQESWDEGYSTLSIPPEMLASYQSYSSTFHSLEEQQVCMAVDIGRHRWDQVKKEDQEATGPRLSRELLDEKGPEVLQDSLDRCYSTPSGCLELTDSCQPYRSAFYVLEQQRVGLAVDMDEIEKYQEVEEDQDPSCPRLSRELLDEKEPEVLQDSLDRCYSTPSGYLELPDLGQPYSSAVYSLEEQYLGLALDVDRTKKDQEEEEDQGPPCPRLSRELLEVVEPEVLQDSLDRCYSTPSSCLEQPDSCQPYGSSFYALEEKHVGFSLDVGEIEKKGKGKKRRGRRS
+>DECOY_sp|Q6P3W6|NBPFA_HUMAN Neuroblastoma breakpoint family member 10 OS=Homo sapiens OX=9606 GN=NBPF10 PE=2 SV=2
+SRRGRRKKGKGKKEIEGVDLSFGVHKEELAYFSSGYPQCSDPQELCSSPTSYCRDLSDQLVEPEVVELLERSLRPCPPGQDEEEEQDKKTRDVDLALGLYQEELSYVASSYPQGLDPLELYGSPTSYCRDLSDQLVEPEKEDLLERSLRPCSPDQDEEVEQYKEIEDMDVALGVRQQELVYFASRYPQCSDTLELCGSPTSYCRDLSDQLVEPGKEDLLERSLRPGTAEQDEKKVQDWRHRGIDVAMCVQQEELSHFTSSYSQYSALMEPPISLTSYGEDWSEQPVEEEESEQLNRPSVPGKEEEEEDDSENEPIIHVADEWEVHSSSGILTSDVKDEEFTIKTKRHPQNSDYPGHSNSYTIACEEQSDEPVEKEEAKQMERPASSKQVKEALEVQVDEDDDNDNEPSLKQVLHQALRCGEALQEQLDQGQSKDPEDPTLLAQLHENLSRSADRGERLKERLQTLEREQAHVLVKYQRLEEAQKLQEALKEEKFQRENRLMSKILDKCEEYKYKKQQNALFGALQTVFCREKLNRFQQKKEALQPHLKENIELINMEAKESSLPGASVVMSVNTASSTPGPVPLINLADQCEDHSSERDVVLTSNVEDEEFTIKINKHPQNSDCPGHSNSCTIACEELSDEPVKSEETKQVERPASSKQVKEAEEVQVDEDEDEDNEPSLKQVLHQALRCGEALQEQLDQGQSKDPEDLTLLAQLHENLSRSADRGERLKERLQTLEREQSHVLVKYQRLEEAQKLQEALKEEKFQRENRLMFKILDKCEEYNYKKQQNALFGALQTVFCREKLNGFQQKNEALQPRLKENIELINMEAKESSWPGASVVM
+>sp|Q8N660|NBPFF_HUMAN Neuroblastoma breakpoint family member 15 OS=Homo sapiens OX=9606 GN=NBPF15 PE=2 SV=2
+MVVSAGPLSSEKAEMNILEINEKLRPQLAEKKQQFRNLKEKCFLTQLAGFLANRQKKYKYEECKDLIKFMLRNERQFKEEKLAEQLKQAEELRQYKVLVHAQERELTQLREKLREGRDASRSLNEHLQALLTPDEPDKSQGQDLQEQLAEGCRLTQHLVQKLSPENDNDDDEDVQVEVAEKVQKSSAPREMQKAEEKEVPEDSLEECAITCSNSHGPYDSNQPHKKTKITFEEDKVDSTLIGSSSHVEWEDAVHIIPENESDDEEEEEKGPVSPRNLQESEEEEVPQESWDEGYSTLSIPPEMLASYQSYSSTFHSLEEQQVCMAVDIGRHRWDQVKKEDQEATGPRLSRELLDEKEPEVLQDSLDRCYSTPSGCLELTDSCQPYRSAFYVLEQQRVGLAIDMDEIEKYQEVEEDQDPSCPRLSRELLDEKEPEVLQDSLDRCYSTPSDYLELPDLGQPYSSAVYSLEEQYLGLALDVDRIKKDQEEEEDQGPPCPRLSRELLEVVEPEVLQDSLDRCYSTPSSCLEQPDSCQPYGSSFYALEEKHVGFSLDVGEIEKKGKGKKRRGRRSKKKRRRGRKEGEDDNPPCPRLYGVLMEVEEPEVLQDSLDRCYSTPSMYFEQPDSFQHYRSVFYSFEEEHISFALYVDNRFFTLTVTSLHLVFQMGVIFPQ
+>DECOY_sp|Q8N660|NBPFF_HUMAN Neuroblastoma breakpoint family member 15 OS=Homo sapiens OX=9606 GN=NBPF15 PE=2 SV=2
+QPFIVGMQFVLHLSTVTLTFFRNDVYLAFSIHEEEFSYFVSRYHQFSDPQEFYMSPTSYCRDLSDQLVEPEEVEMLVGYLRPCPPNDDEGEKRGRRRKKKSRRGRRKKGKGKKEIEGVDLSFGVHKEELAYFSSGYPQCSDPQELCSSPTSYCRDLSDQLVEPEVVELLERSLRPCPPGQDEEEEQDKKIRDVDLALGLYQEELSYVASSYPQGLDPLELYDSPTSYCRDLSDQLVEPEKEDLLERSLRPCSPDQDEEVEQYKEIEDMDIALGVRQQELVYFASRYPQCSDTLELCGSPTSYCRDLSDQLVEPEKEDLLERSLRPGTAEQDEKKVQDWRHRGIDVAMCVQQEELSHFTSSYSQYSALMEPPISLTSYGEDWSEQPVEEEESEQLNRPSVPGKEEEEEDDSENEPIIHVADEWEVHSSSGILTSDVKDEEFTIKTKKHPQNSDYPGHSNSCTIACEELSDEPVEKEEAKQMERPASSKQVKEAVEVQVDEDDDNDNEPSLKQVLHQTLRCGEALQEQLDQGQSKDPEDPTLLAQLHENLSRSADRGERLKERLQTLEREQAHVLVKYQRLEEAQKLQEALKEEKFQRENRLMFKILDKCEEYKYKKQRNALFGALQTLFCKEKLNRFQQKKEALQPRLKENIELINMEAKESSLPGASVVM
+>sp|B4DH59|NBPFP_HUMAN Neuroblastoma breakpoint family member 26 OS=Homo sapiens OX=9606 GN=NBPF26 PE=5 SV=1
+MLRNERQFKEEKLAEQLKQAEELRQYKVLVHAQERELTQLREKLREGRDASRSLNEHLQALLTPDEPDKSQGQDLQEQLAEGCRLAQHLVQKLSPENDNDDDEDVQVEVAEKVQKSSAPREMQKAEEKEVPEDSLEECAITCSNSHGPYDCNQPHRKTKITFEEDKVDSTLIGSSSHVEWEDAVHIIPENESDDEEEEEKGPVSPRNLQESEEEEVPQESWDEGYSTLSIPPEMLASYKSYSSTFHSLEEQQVCMAVDIGRHRWDQVKKEDHEATGPRLSRELLDEKGPEVLQDSLDRCYSTPSGCLELTDSCQPYRSAFYVLEQQRVGLAVDMDEIEKYQEVEEDQNPPCPRLSRELLDEKGPEVLQDSLDRCYSTPSGCLELTDSCQPYRSAFYILEQQRVGLAVDMDEIEKYQEVEEDQDPSCPRLSGELLDEKEPEVLQESLDRCYSTPSGCLELTDSCQPYRSAFYILEQQRVGLAVDMDEIEKYQEVEEDQDPSCPRLSGELLDEKEPEVLQESLDRCYSTPSGCLELTDSCQPYRSAFYILEQQRVGLAVDMDEIEKYQEVEEDQDPSCPRLSGELLDEKEPEVLQESLDRCYSTPSGCLELTDSCQPYRSAFYILEQQRVGLAVDMDEIEKYQEVEEDQDPSCPRLSRELLDEKEPEVLQDSLGRCYSTPSGYLELPDLGQPYSSAVYSLEEQYLGLALDVDRIKKDQEEEEDQGPPCPRLSRELLEVVEPEVLQDSLDRCYSTPSSCLEQPDSCQPYGSSFYALEEKHVGFSLDVGEIEKKGKGKKRRGRRSKKERRRGRKEGEEDQNPPCPRLNSMLMEVEEPEVLQDSLDICYSTPSMYFELPDSFQHYRSVFYSFEEEHISFALYVDNRFFTLTVTSLHLVFQMGVIFPQ
+>DECOY_sp|B4DH59|NBPFP_HUMAN Neuroblastoma breakpoint family member 26 OS=Homo sapiens OX=9606 GN=NBPF26 PE=5 SV=1
+QPFIVGMQFVLHLSTVTLTFFRNDVYLAFSIHEEEFSYFVSRYHQFSDPLEFYMSPTSYCIDLSDQLVEPEEVEMLMSNLRPCPPNQDEEGEKRGRRREKKSRRGRRKKGKGKKEIEGVDLSFGVHKEELAYFSSGYPQCSDPQELCSSPTSYCRDLSDQLVEPEVVELLERSLRPCPPGQDEEEEQDKKIRDVDLALGLYQEELSYVASSYPQGLDPLELYGSPTSYCRGLSDQLVEPEKEDLLERSLRPCSPDQDEEVEQYKEIEDMDVALGVRQQELIYFASRYPQCSDTLELCGSPTSYCRDLSEQLVEPEKEDLLEGSLRPCSPDQDEEVEQYKEIEDMDVALGVRQQELIYFASRYPQCSDTLELCGSPTSYCRDLSEQLVEPEKEDLLEGSLRPCSPDQDEEVEQYKEIEDMDVALGVRQQELIYFASRYPQCSDTLELCGSPTSYCRDLSEQLVEPEKEDLLEGSLRPCSPDQDEEVEQYKEIEDMDVALGVRQQELIYFASRYPQCSDTLELCGSPTSYCRDLSDQLVEPGKEDLLERSLRPCPPNQDEEVEQYKEIEDMDVALGVRQQELVYFASRYPQCSDTLELCGSPTSYCRDLSDQLVEPGKEDLLERSLRPGTAEHDEKKVQDWRHRGIDVAMCVQQEELSHFTSSYSKYSALMEPPISLTSYGEDWSEQPVEEEESEQLNRPSVPGKEEEEEDDSENEPIIHVADEWEVHSSSGILTSDVKDEEFTIKTKRHPQNCDYPGHSNSCTIACEELSDEPVEKEEAKQMERPASSKQVKEAVEVQVDEDDDNDNEPSLKQVLHQALRCGEALQEQLDQGQSKDPEDPTLLAQLHENLSRSADRGERLKERLQTLEREQAHVLVKYQRLEEAQKLQEALKEEKFQRENRLM
+>sp|Q14596|NBR1_HUMAN Next to BRCA1 gene 1 protein OS=Homo sapiens OX=9606 GN=NBR1 PE=1 SV=3
+MEPQVTLNVTFKNEIQSFLVSDPENTTWADIEAMVKVSFDLNTIQIKYLDEENEEVSINSQGEYEEALKMAVKQGNQLQMQVHEGHHVVDEAPPPVVGAKRLAARAGKKPLAHYSSLVRVLGSDMKTPEDPAVQSFPLVPCDTDQPQDKPPDWFTSYLETFREQVVNETVEKLEQKLHEKLVLQNPSLGSCPSEVSMPTSEETLFLPENQFSWHIACNNCQRRIVGVRYQCSLCPSYNICEDCEAGPYGHDTNHVLLKLRRPVVGSSEPFCHSKYSTPRLPAALEQVRLQKQVDKNFLKAEKQRLRAEKKQRKAEVKELKKQLKLHRKIHLWNSIHGLQSPKSPLGRPESLLQSNTLMLPLQPCTSVMPMLSAAFVDENLPDGTHLQPGTKFIKHWRMKNTGNVKWSADTKLKFMWGNLTLASTEKKDVLVPCLKAGHVGVVSVEFIAPALEGTYTSHWRLSHKGQQFGPRVWCSIIVDPFPSEESPDNIEKGMISSSKTDDLTCQQEETFLLAKEERQLGEVTEQTEGTAACIPQKAKNVASERELYIPSVDLLTAQDLLSFELLDINIVQELERVPHNTPVDVTPCMSPLPHDSPLIEKPGLGQIEEENEGAGFKALPDSMVSVKRKAENIASVEEAEEDLSGTQFVCETVIRSLTLDAAPDHNPPCRQKSLQMTFALPEGPLGNEKEEIIHIAEEEAVMEEEEDEEDEEEEDELKDEVQSQSSASSEDYIIILPECFDTSRPLGDSMYSSALSQPGLERGAEGKPGVEAGQEPAEAGERLPGGENQPQEHSISDILTTSQTLETVPLIPEVVELPPSLPRSSPCVHHHGSPGVDLPVTIPEVSSVPDQIRGEPRGSSGLVNSRQKSYDHSRHHHGSSIAGGLVKGALSVAASAYKALFAGPPVTAQPIISEDQTAALMAHLFEMGFCDRQLNLRLLKKHNYNILQVVTELLQLNNNDWYSQRY
+>DECOY_sp|Q14596|NBR1_HUMAN Next to BRCA1 gene 1 protein OS=Homo sapiens OX=9606 GN=NBR1 PE=1 SV=3
+YRQSYWDNNNLQLLETVVQLINYNHKKLLRLNLQRDCFGMEFLHAMLAATQDESIIPQATVPPGAFLAKYASAAVSLAGKVLGGAISSGHHHRSHDYSKQRSNVLGSSGRPEGRIQDPVSSVEPITVPLDVGPSGHHHVCPSSRPLSPPLEVVEPILPVTELTQSTTLIDSISHEQPQNEGGPLREGAEAPEQGAEVGPKGEAGRELGPQSLASSYMSDGLPRSTDFCEPLIIIYDESSASSQSQVEDKLEDEEEEDEEDEEEEMVAEEEAIHIIEEKENGLPGEPLAFTMQLSKQRCPPNHDPAADLTLSRIVTECVFQTGSLDEEAEEVSAINEAKRKVSVMSDPLAKFGAGENEEEIQGLGPKEILPSDHPLPSMCPTVDVPTNHPVRELEQVINIDLLEFSLLDQATLLDVSPIYLERESAVNKAKQPICAATGETQETVEGLQREEKALLFTEEQQCTLDDTKSSSIMGKEINDPSEESPFPDVIISCWVRPGFQQGKHSLRWHSTYTGELAPAIFEVSVVGVHGAKLCPVLVDKKETSALTLNGWMFKLKTDASWKVNGTNKMRWHKIFKTGPQLHTGDPLNEDVFAASLMPMVSTCPQLPLMLTNSQLLSEPRGLPSKPSQLGHISNWLHIKRHLKLQKKLEKVEAKRQKKEARLRQKEAKLFNKDVQKQLRVQELAAPLRPTSYKSHCFPESSGVVPRRLKLLVHNTDHGYPGAECDECINYSPCLSCQYRVGVIRRQCNNCAIHWSFQNEPLFLTEESTPMSVESPCSGLSPNQLVLKEHLKQELKEVTENVVQERFTELYSTFWDPPKDQPQDTDCPVLPFSQVAPDEPTKMDSGLVRVLSSYHALPKKGARAALRKAGVVPPPAEDVVHHGEHVQMQLQNGQKVAMKLAEEYEGQSNISVEENEEDLYKIQITNLDFSVKVMAEIDAWTTNEPDSVLFSQIENKFTVNLTVQPEM
+>sp|Q01658|NC2B_HUMAN Protein Dr1 OS=Homo sapiens OX=9606 GN=DR1 PE=1 SV=1
+MASSSGNDDDLTIPRAAINKMIKETLPNVRVANDARELVVNCCTEFIHLISSEANEICNKSEKKTISPEHVIQALESLGFGSYISEVKEVLQECKTVALKRRKASSRLENLGIPEEELLRQQQELFAKARQQQAELAQQEWLQMQQAAQQAQLAAASASASNQAGSSQDEEDDDDI
+>DECOY_sp|Q01658|NC2B_HUMAN Protein Dr1 OS=Homo sapiens OX=9606 GN=DR1 PE=1 SV=1
+IDDDDEEDQSSGAQNSASASAAALQAQQAAQQMQLWEQQALEAQQQRAKAFLEQQQRLLEEEPIGLNELRSSAKRRKLAVTKCEQLVEKVESIYSGFGLSELAQIVHEPSITKKESKNCIENAESSILHIFETCCNVVLERADNAVRVNPLTEKIMKNIAARPITLDDDNGSSSAM
+>sp|P13591|NCAM1_HUMAN Neural cell adhesion molecule 1 OS=Homo sapiens OX=9606 GN=NCAM1 PE=1 SV=3
+MLQTKDLIWTLFFLGTAVSLQVDIVPSQGEISVGESKFFLCQVAGDAKDKDISWFSPNGEKLTPNQQRISVVWNDDSSSTLTIYNANIDDAGIYKCVVTGEDGSESEATVNVKIFQKLMFKNAPTPQEFREGEDAVIVCDVVSSLPPTIIWKHKGRDVILKKDVRFIVLSNNYLQIRGIKKTDEGTYRCEGRILARGEINFKDIQVIVNVPPTIQARQNIVNATANLGQSVTLVCDAEGFPEPTMSWTKDGEQIEQEEDDEKYIFSDDSSQLTIKKVDKNDEAEYICIAENKAGEQDATIHLKVFAKPKITYVENQTAMELEEQVTLTCEASGDPIPSITWRTSTRNISSEEKASWTRPEKQETLDGHMVVRSHARVSSLTLKSIQYTDAGEYICTASNTIGQDSQSMYLEVQYAPKLQGPVAVYTWEGNQVNITCEVFAYPSATISWFRDGQLLPSSNYSNIKIYNTPSASYLEVTPDSENDFGNYNCTAVNRIGQESLEFILVQADTPSSPSIDQVEPYSSTAQVQFDEPEATGGVPILKYKAEWRAVGEEVWHSKWYDAKEASMEGIVTIVGLKPETTYAVRLAALNGKGLGEISAASEFKTQPVQGEPSAPKLEGQMGEDGNSIKVNLIKQDDGGSPIRHYLVRYRALSSEWKPEIRLPSGSDHVMLKSLDWNAEYEVYVVAENQQGKSKAAHFVFRTSAQPTAIPANGSPTSGLSTGAIVGILIVIFVLLLVVVDITCYFLNKCGLFMCIAVNLCGKAGPGAKGKDMEEGKAAFSKDESKEPIVEVRTEEERTPNHDGGKHTEPNETTPLTEPEKGPVEAKPECQETETKPAPAEVKTVPNDATQTKENESKA
+>DECOY_sp|P13591|NCAM1_HUMAN Neural cell adhesion molecule 1 OS=Homo sapiens OX=9606 GN=NCAM1 PE=1 SV=3
+AKSENEKTQTADNPVTKVEAPAPKTETEQCEPKAEVPGKEPETLPTTENPETHKGGDHNPTREEETRVEVIPEKSEDKSFAAKGEEMDKGKAGPGAKGCLNVAICMFLGCKNLFYCTIDVVVLLLVFIVILIGVIAGTSLGSTPSGNAPIATPQASTRFVFHAAKSKGQQNEAVVYVEYEANWDLSKLMVHDSGSPLRIEPKWESSLARYRVLYHRIPSGGDDQKILNVKISNGDEGMQGELKPASPEGQVPQTKFESAASIEGLGKGNLAALRVAYTTEPKLGVITVIGEMSAEKADYWKSHWVEEGVARWEAKYKLIPVGGTAEPEDFQVQATSSYPEVQDISPSSPTDAQVLIFELSEQGIRNVATCNYNGFDNESDPTVELYSASPTNYIKINSYNSSPLLQGDRFWSITASPYAFVECTINVQNGEWTYVAVPGQLKPAYQVELYMSQSDQGITNSATCIYEGADTYQISKLTLSSVRAHSRVVMHGDLTEQKEPRTWSAKEESSINRTSTRWTISPIPDGSAECTLTVQEELEMATQNEVYTIKPKAFVKLHITADQEGAKNEAICIYEAEDNKDVKKITLQSSDDSFIYKEDDEEQEIQEGDKTWSMTPEPFGEADCVLTVSQGLNATANVINQRAQITPPVNVIVQIDKFNIEGRALIRGECRYTGEDTKKIGRIQLYNNSLVIFRVDKKLIVDRGKHKWIITPPLSSVVDCVIVADEGERFEQPTPANKFMLKQFIKVNVTAESESGDEGTVVCKYIGADDINANYITLTSSSDDNWVVSIRQQNPTLKEGNPSFWSIDKDKADGAVQCLFFKSEGVSIEGQSPVIDVQLSVATGLFFLTWILDKTQLM
+>sp|O15394|NCAM2_HUMAN Neural cell adhesion molecule 2 OS=Homo sapiens OX=9606 GN=NCAM2 PE=1 SV=2
+MSLLLSFYLLGLLVSSGQALLQVTISLSKVELSVGESKFFTCTAIGEPESIDWYNPQGEKIISTQRVVVQKEGVRSRLTIYNANIEDAGIYRCQATDAKGQTQEATVVLEIYQKLTFREVVSPQEFKQGEDAEVVCRVSSSPAPAVSWLYHNEEVTTISDNRFAMLANNNLQILNINKSDEGIYRCEGRVEARGEIDFRDIIVIVNVPPAISMPQKSFNATAERGEEMTFSCRASGSPEPAISWFRNGKLIEENEKYILKGSNTELTVRNIINSDGGPYVCRATNKAGEDEKQAFLQVFVQPHIIQLKNETTYENGQVTLVCDAEGEPIPEITWKRAVDGFTFTEGDKSLDGRIEVKGQHGSSSLHIKDVKLSDSGRYDCEAASRIGGHQKSMYLDIEYAPKFISNQTIYYSWEGNPINISCDVKSNPPASIHWRRDKLVLPAKNTTNLKTYSTGRKMILEIAPTSDNDFGRYNCTATNHIGTRFQEYILALADVPSSPYGVKIIELSQTTAKVSFNKPDSHGGVPIHHYQVDVKEVASEIWKIVRSHGVQTMVVLNNLEPNTTYEIRVAAVNGKGQGDYSKIEIFQTLPVREPSPPSIHGQPSSGKSFKLSITKQDDGGAPILEYIVKYRSKDKEDQWLEKKVQGNKDHIILEHLQWTMGYEVQITAANRLGYSEPTVYEFSMPPKPNIIKDTLFNGLGLGAVIGLGVAALLLILVVTDVSCFFIRQCGLLMCITRRMCGKKSGSSGKSKELEEGKAAYLKDGSKEPIVEMRTEDERVTNHEDGSPVNEPNETTPLTEPEKLPLKEEDGKEALNPETIEIKVSNDIIQSKEDDSKA
+>DECOY_sp|O15394|NCAM2_HUMAN Neural cell adhesion molecule 2 OS=Homo sapiens OX=9606 GN=NCAM2 PE=1 SV=2
+AKSDDEKSQIIDNSVKIEITEPNLAEKGDEEKLPLKEPETLPTTENPENVPSGDEHNTVREDETRMEVIPEKSGDKLYAAKGEELEKSKGSSGSKKGCMRRTICMLLGCQRIFFCSVDTVVLILLLAAVGLGIVAGLGLGNFLTDKIINPKPPMSFEYVTPESYGLRNAATIQVEYGMTWQLHELIIHDKNGQVKKELWQDEKDKSRYKVIYELIPAGGDDQKTISLKFSKGSSPQGHISPPSPERVPLTQFIEIKSYDGQGKGNVAAVRIEYTTNPELNNLVVMTQVGHSRVIKWIESAVEKVDVQYHHIPVGGHSDPKNFSVKATTQSLEIIKVGYPSSPVDALALIYEQFRTGIHNTATCNYRGFDNDSTPAIELIMKRGTSYTKLNTTNKAPLVLKDRRWHISAPPNSKVDCSINIPNGEWSYYITQNSIFKPAYEIDLYMSKQHGGIRSAAECDYRGSDSLKVDKIHLSSSGHQGKVEIRGDLSKDGETFTFGDVARKWTIEPIPEGEADCVLTVQGNEYTTENKLQIIHPQVFVQLFAQKEDEGAKNTARCVYPGGDSNIINRVTLETNSGKLIYKENEEILKGNRFWSIAPEPSGSARCSFTMEEGREATANFSKQPMSIAPPVNVIVIIDRFDIEGRAEVRGECRYIGEDSKNINLIQLNNNALMAFRNDSITTVEENHYLWSVAPAPSSSVRCVVEADEGQKFEQPSVVERFTLKQYIELVVTAEQTQGKADTAQCRYIGADEINANYITLRSRVGEKQVVVRQTSIIKEGQPNYWDISEPEGIATCTFFKSEGVSLEVKSLSITVQLLAQGSSVLLGLLYFSLLLSM
+>sp|O14594|NCAN_HUMAN Neurocan core protein OS=Homo sapiens OX=9606 GN=NCAN PE=1 SV=3
+MGAPFVWALGLLMLQMLLFVAGEQGTQDITDASERGLHMQKLGSGSVQAALAELVALPCLFTLQPRPSAARDAPRIKWTKVRTASGQRQDLPILVAKDNVVRVAKSWQGRVSLPSYPRRRANATLLLGPLRASDSGLYRCQVVRGIEDEQDLVPLEVTGVVFHYRSARDRYALTFAEAQEACRLSSAIIAAPRHLQAAFEDGFDNCDAGWLSDRTVRYPITQSRPGCYGDRSSLPGVRSYGRRNPQELYDVYCFARELGGEVFYVGPARRLTLAGARAQCRRQGAALASVGQLHLAWHEGLDQCDPGWLADGSVRYPIQTPRRRCGGPAPGVRTVYRFANRTGFPSPAERFDAYCFRAHHPTSQHGDLETPSSGDEGEILSAEGPPVRELEPTLEEEEVVTPDFQEPLVSSGEEETLILEEKQESQQTLSPTPGDPMLASWPTGEVWLSTVAPSPSDMGAGTAASSHTEVAPTDPMPRRRGRFKGLNGRYFQQQEPEPGLQGGMEASAQPPTSEAAVNQMEPPLAMAVTEMLGSGQSRSPWADLTNEVDMPGAGSAGGKSSPEPWLWPPTMVPPSISGHSRAPVLELEKAEGPSARPATPDLFWSPLEATVSAPSPAPWEAFPVATSPDLPMMAMLRGPKEWMLPHPTPISTEANRVEAHGEATATAPPSPAAETKVYSLPLSLTPTGQGGEAMPTTPESPRADFRETGETSPAQVNKAEHSSSSPWPSVNRNVAVGFVPTETATEPTGLRGIPGSESGVFDTAESPTSGLQATVDEVQDPWPSVYSKGLDASSPSAPLGSPGVFLVPKVTPNLEPWVATDEGPTVNPMDSTVTPAPSDASGIWEPGSQVFEEAESTTLSPQVALDTSIVTPLTTLEQGDKVGVPAMSTLGSSSSQPHPEPEDQVETQGTSGASVPPHQSSPLGKPAVPPGTPTAASVGESASVSSGEPTVPWDPSSTLLPVTLGIEDFELEVLAGSPGVESFWEEVASGEEPALPGTPMNAGAEEVHSDPCENNPCLHGGTCNANGTMYGCSCDQGFAGENCEIDIDDCLCSPCENGGTCIDEVNGFVCLCLPSYGGSFCEKDTEGCDRGWHKFQGHCYRYFAHRRAWEDAEKDCRRRSGHLTSVHSPEEHSFINSFGHENTWIGLNDRIVERDFQWTDNTGLQFENWRENQPDNFFAGGEDCVVMVAHESGRWNDVPCNYNLPYVCKKGTVLCGPPPAVENASLIGARKAKYNVHATVRYQCNEGFAQHHVATIRCRSNGKWDRPQIVCTKPRRSHRMRRHHHHHQHHHQHHHHKSRKERRKHKKHPTEDWEKDEGNFC
+>DECOY_sp|O14594|NCAN_HUMAN Neurocan core protein OS=Homo sapiens OX=9606 GN=NCAN PE=1 SV=3
+CFNGEDKEWDETPHKKHKRREKRSKHHHHQHHHQHHHHHRRMRHSRRPKTCVIQPRDWKGNSRCRITAVHHQAFGENCQYRVTAHVNYKAKRAGILSANEVAPPPGCLVTGKKCVYPLNYNCPVDNWRGSEHAVMVVCDEGGAFFNDPQNERWNEFQLGTNDTWQFDREVIRDNLGIWTNEHGFSNIFSHEEPSHVSTLHGSRRRCDKEADEWARRHAFYRYCHGQFKHWGRDCGETDKECFSGGYSPLCLCVFGNVEDICTGGNECPSCLCDDIDIECNEGAFGQDCSCGYMTGNANCTGGHLCPNNECPDSHVEEAGANMPTGPLAPEEGSAVEEWFSEVGPSGALVELEFDEIGLTVPLLTSSPDWPVTPEGSSVSASEGVSAATPTGPPVAPKGLPSSQHPPVSAGSTGQTEVQDEPEPHPQSSSSGLTSMAPVGVKDGQELTTLPTVISTDLAVQPSLTTSEAEEFVQSGPEWIGSADSPAPTVTSDMPNVTPGEDTAVWPELNPTVKPVLFVGPSGLPASPSSADLGKSYVSPWPDQVEDVTAQLGSTPSEATDFVGSESGPIGRLGTPETATETPVFGVAVNRNVSPWPSSSSHEAKNVQAPSTEGTERFDARPSEPTTPMAEGGQGTPTLSLPLSYVKTEAAPSPPATATAEGHAEVRNAETSIPTPHPLMWEKPGRLMAMMPLDPSTAVPFAEWPAPSPASVTAELPSWFLDPTAPRASPGEAKELELVPARSHGSISPPVMTPPWLWPEPSSKGGASGAGPMDVENTLDAWPSRSQGSGLMETVAMALPPEMQNVAAESTPPQASAEMGGQLGPEPEQQQFYRGNLGKFRGRRRPMPDTPAVETHSSAATGAGMDSPSPAVTSLWVEGTPWSALMPDGPTPSLTQQSEQKEELILTEEEGSSVLPEQFDPTVVEEEELTPELERVPPGEASLIEGEDGSSPTELDGHQSTPHHARFCYADFREAPSPFGTRNAFRYVTRVGPAPGGCRRRPTQIPYRVSGDALWGPDCQDLGEHWALHLQGVSALAAGQRRCQARAGALTLRRAPGVYFVEGGLERAFCYVDYLEQPNRRGYSRVGPLSSRDGYCGPRSQTIPYRVTRDSLWGADCNDFGDEFAAQLHRPAAIIASSLRCAEQAEAFTLAYRDRASRYHFVVGTVELPVLDQEDEIGRVVQCRYLGSDSARLPGLLLTANARRRPYSPLSVRGQWSKAVRVVNDKAVLIPLDQRQGSATRVKTWKIRPADRAASPRPQLTFLCPLAVLEALAAQVSGSGLKQMHLGRESADTIDQTGQEGAVFLLMQLMLLGLAWVFPAGM
+>sp|Q15596|NCOA2_HUMAN Nuclear receptor coactivator 2 OS=Homo sapiens OX=9606 GN=NCOA2 PE=1 SV=2
+MSGMGENTSDPSRAETRKRKECPDQLGPSPKRNTEKRNREQENKYIEELAELIFANFNDIDNFNFKPDKCAILKETVKQIRQIKEQEKAAAANIDEVQKSDVSSTGQGVIDKDALGPMMLEALDGFFFVVNLEGNVVFVSENVTQYLRYNQEELMNKSVYSILHVGDHTEFVKNLLPKSIVNGGSWSGEPPRRNSHTFNCRMLVKPLPDSEEEGHDNQEAHQKYETMQCFAVSQPKSIKEEGEDLQSCLICVARRVPMKERPVLPSSESFTTRQDLQGKITSLDTSTMRAAMKPGWEDLVRRCIQKFHAQHEGESVSYAKRHHHEVLRQGLAFSQIYRFSLSDGTLVAAQTKSKLIRSQTTNEPQLVISLHMLHREQNVCVMNPDLTGQTMGKPLNPISSNSPAHQALCSGNPGQDMTLSSNINFPINGPKEQMGMPMGRFGGSGGMNHVSGMQATTPQGSNYALKMNSPSQSSPGMNPGQPTSMLSPRHRMSPGVAGSPRIPPSQFSPAGSLHSPVGVCSSTGNSHSYTNSSLNALQALSEGHGVSLGSSLASPDLKMGNLQNSPVNMNPPPLSKMGSLDSKDCFGLYGEPSEGTTGQAESSCHPGEQKETNDPNLPPAVSSERADGQSRLHDSKGQTKLLQLLTTKSDQMEPSPLASSLSDTNKDSTGSLPGSGSTHGTSLKEKHKILHRLLQDSSSPVDLAKLTAEATGKDLSQESSSTAPGSEVTIKQEPVSPKKKENALLRYLLDKDDTKDIGLPEITPKLERLDSKTDPASNTKLIAMKTEKEEMSFEPGDQPGSELDNLEEILDDLQNSQLPQLFPDTRPGAPAGSVDKQAIINDLMQLTAENSPVTPVGAQKTALRISQSTFNNPRPGQLGRLLPNQNLPLDITLQSPTGAGPFPPIRNSSPYSVIPQPGMMGNQGMIGNQGNLGNSSTGMIGNSASRPTMPSGEWAPQSSAVRVTCAATTSAMNRPVQGGMIRNPAASIPMRPSSQPGQRQTLQSQVMNIGPSELEMNMGGPQYSQQQAPPNQTAPWPESILPIDQASFASQNRQPFGSSPDDLLCPHPAAESPSDEGALLDQLYLALRNFDGLEEIDRALGIPELVSQSQAVDPEQFSSQDSNIMLEQKAPVFPQQYASQAQMAQGSYSPMQDPNFHTMGQRPSYATLRMQPRPGLRPTGLVQNQPNQLRLQLQHRLQAQQNRQPLMNQISNVSNVNLTLRPGVPTQAPINAQMLAQRQREILNQHLRQRQMHQQQQVQQRTLMMRGQGLNMTPSMVAPSGMPATMSNPRIPQANAQQFPFPPNYGISQQPDPGFTGATTPQSPLMSPRMAHTQSPMMQQSQANPAYQAPSDINGWAQGNMGGNSMFSQQSPPHFGQQANTSMYSNNMNINVSMATNTGGMSSMNQMTGQISMTSVTSVPTSGLSSMGPEQVNDPALRGGNLFPNQLPGMDMIKQEGDTTRKYC
+>DECOY_sp|Q15596|NCOA2_HUMAN Nuclear receptor coactivator 2 OS=Homo sapiens OX=9606 GN=NCOA2 PE=1 SV=2
+CYKRTTDGEQKIMDMGPLQNPFLNGGRLAPDNVQEPGMSSLGSTPVSTVSTMSIQGTMQNMSSMGGTNTAMSVNINMNNSYMSTNAQQGFHPPSQQSFMSNGGMNGQAWGNIDSPAQYAPNAQSQQMMPSQTHAMRPSMLPSQPTTAGTFGPDPQQSIGYNPPFPFQQANAQPIRPNSMTAPMGSPAVMSPTMNLGQGRMMLTRQQVQQQQHMQRQRLHQNLIERQRQALMQANIPAQTPVGPRLTLNVNSVNSIQNMLPQRNQQAQLRHQLQLRLQNPQNQVLGTPRLGPRPQMRLTAYSPRQGMTHFNPDQMPSYSGQAMQAQSAYQQPFVPAKQELMINSDQSSFQEPDVAQSQSVLEPIGLARDIEELGDFNRLALYLQDLLAGEDSPSEAAPHPCLLDDPSSGFPQRNQSAFSAQDIPLISEPWPATQNPPAQQQSYQPGGMNMELESPGINMVQSQLTQRQGPQSSPRMPISAAPNRIMGGQVPRNMASTTAACTVRVASSQPAWEGSPMTPRSASNGIMGTSSNGLNGQNGIMGQNGMMGPQPIVSYPSSNRIPPFPGAGTPSQLTIDLPLNQNPLLRGLQGPRPNNFTSQSIRLATKQAGVPTVPSNEATLQMLDNIIAQKDVSGAPAGPRTDPFLQPLQSNQLDDLIEELNDLESGPQDGPEFSMEEKETKMAILKTNSAPDTKSDLRELKPTIEPLGIDKTDDKDLLYRLLANEKKKPSVPEQKITVESGPATSSSEQSLDKGTAEATLKALDVPSSSDQLLRHLIKHKEKLSTGHTSGSGPLSGTSDKNTDSLSSALPSPEMQDSKTTLLQLLKTQGKSDHLRSQGDARESSVAPPLNPDNTEKQEGPHCSSEAQGTTGESPEGYLGFCDKSDLSGMKSLPPPNMNVPSNQLNGMKLDPSALSSGLSVGHGESLAQLANLSSNTYSHSNGTSSCVGVPSHLSGAPSFQSPPIRPSGAVGPSMRHRPSLMSTPQGPNMGPSSQSPSNMKLAYNSGQPTTAQMGSVHNMGGSGGFRGMPMGMQEKPGNIPFNINSSLTMDQGPNGSCLAQHAPSNSSIPNLPKGMTQGTLDPNMVCVNQERHLMHLSIVLQPENTTQSRILKSKTQAAVLTGDSLSFRYIQSFALGQRLVEHHHRKAYSVSEGEHQAHFKQICRRVLDEWGPKMAARMTSTDLSTIKGQLDQRTTFSESSPLVPREKMPVRRAVCILCSQLDEGEEKISKPQSVAFCQMTEYKQHAEQNDHGEEESDPLPKVLMRCNFTHSNRRPPEGSWSGGNVISKPLLNKVFETHDGVHLISYVSKNMLEEQNYRLYQTVNESVFVVNGELNVVFFFGDLAELMMPGLADKDIVGQGTSSVDSKQVEDINAAAAKEQEKIQRIQKVTEKLIACKDPKFNFNDIDNFNAFILEALEEIYKNEQERNRKETNRKPSPGLQDPCEKRKRTEARSPDSTNEGMGSM
+>sp|Q8NI08|NCOA7_HUMAN Nuclear receptor coactivator 7 OS=Homo sapiens OX=9606 GN=NCOA7 PE=1 SV=2
+MDTKEEKKERKQSYFARLKKKKQAKQNAETASAVATRTHTGKEDNNTVVLEPDKCNIAVEEEYMTDEKKKRKSNQLKEIRRTELKRYYSIDDNQNKTHDKKEKKMVVQKPHGTMEYTAGNQDTLNSIALKFNITPNKLVELNKLFTHTIVPGQVLFVPDANSPSSTLRLSSSSPGATVSPSSSDAEYDKLPDADLARKALKPIERVLSSTSEEDEPGVVKFLKMNCRYFTDGKGVVGGVMIVTPNNIMFDPHKSDPLVIENGCEEYGLICPMEEVVSIALYNDISHMKIKDALPSDLPQDLCPLYRPGEWEDLASEKDINPFSKFKSINKEKRQQNGEKIMTSDSRPIVPLEKSTGHTPTKPSGSSVSEKLKKLDSSRETSHGSPTVTKLSKEPSDTSSAFESTAKENFLGEDDDFVDLEELSSQTGGGMHKKDTLKECLSLDPEERKKAESQINNSAVEMQVQSALAFLGTENDVELKGALDLETCEKQDIMPEVDKQSGSPESRVENTLNIHEDLDKVKLIEYYLTKNKEGPQVSENLQKTELSDGKSIEPGGIDITLSSSLSQAGDPITEGNKEPDKTWVKKGEPLPVKLNSSTEANVIKEALDSSLESTLDNSCQGAQMDNKSEVQLWLLKRIQVPIEDILPSKEEKSKTPPMFLCIKVGKPMRKSFATHTAAMVQQYGKRRKQPEYWFAVPRERVDHLYTFFVQWSPDVYGKDAKEQGFVVVEKEELNMIDNFFSEPTTKSWEIITVEEAKRRKSTCSYYEDEDEEVLPVLRPHSALLENMHIEQLARRLPARVQGYPWRLAYSTLEHGTSLKTLYRKSASLDSPVLLVIKDMDNQIFGAYATHPFKFSDHYYGTGETFLYTFSPHFKVFKWSGENSYFINGDISSLELGGGGGRFGLWLDADLYHGRSNSCSTFNNDILSKKEDFIVQDLEVWAFD
+>DECOY_sp|Q8NI08|NCOA7_HUMAN Nuclear receptor coactivator 7 OS=Homo sapiens OX=9606 GN=NCOA7 PE=1 SV=2
+DFAWVELDQVIFDEKKSLIDNNFTSCSNSRGHYLDADLWLGFRGGGGGLELSSIDGNIFYSNEGSWKFVKFHPSFTYLFTEGTGYYHDSFKFPHTAYAGFIQNDMDKIVLLVPSDLSASKRYLTKLSTGHELTSYALRWPYGQVRAPLRRALQEIHMNELLASHPRLVPLVEEDEDEYYSCTSKRRKAEEVTIIEWSKTTPESFFNDIMNLEEKEVVVFGQEKADKGYVDPSWQVFFTYLHDVRERPVAFWYEPQKRRKGYQQVMAATHTAFSKRMPKGVKICLFMPPTKSKEEKSPLIDEIPVQIRKLLWLQVESKNDMQAGQCSNDLTSELSSDLAEKIVNAETSSNLKVPLPEGKKVWTKDPEKNGETIPDGAQSLSSSLTIDIGGPEISKGDSLETKQLNESVQPGEKNKTLYYEILKVKDLDEHINLTNEVRSEPSGSQKDVEPMIDQKECTELDLAGKLEVDNETGLFALASQVQMEVASNNIQSEAKKREEPDLSLCEKLTDKKHMGGGTQSSLEELDVFDDDEGLFNEKATSEFASSTDSPEKSLKTVTPSGHSTERSSDLKKLKESVSSGSPKTPTHGTSKELPVIPRSDSTMIKEGNQQRKEKNISKFKSFPNIDKESALDEWEGPRYLPCLDQPLDSPLADKIKMHSIDNYLAISVVEEMPCILGYEECGNEIVLPDSKHPDFMINNPTVIMVGGVVGKGDTFYRCNMKLFKVVGPEDEESTSSLVREIPKLAKRALDADPLKDYEADSSSPSVTAGPSSSSLRLTSSPSNADPVFLVQGPVITHTFLKNLEVLKNPTINFKLAISNLTDQNGATYEMTGHPKQVVMKKEKKDHTKNQNDDISYYRKLETRRIEKLQNSKRKKKEDTMYEEEVAINCKDPELVVTNNDEKGTHTRTAVASATEANQKAQKKKKLRAFYSQKREKKEEKTDM
+>sp|O00746|NDKM_HUMAN Nucleoside diphosphate kinase, mitochondrial OS=Homo sapiens OX=9606 GN=NME4 PE=1 SV=1
+MGGLFWRSALRGLRCGPRAPGPSLLVRHGSGGPSWTRERTLVAVKPDGVQRRLVGDVIQRFERRGFTLVGMKMLQAPESVLAEHYQDLRRKPFYPALIRYMSSGPVVAMVWEGYNVVRASRAMIGHTDSAEAAPGTIRGDFSVHISRNVIHASDSVEGAQREIQLWFQSSELVSWADGGQHSSIHPA
+>DECOY_sp|O00746|NDKM_HUMAN Nucleoside diphosphate kinase, mitochondrial OS=Homo sapiens OX=9606 GN=NME4 PE=1 SV=1
+APHISSHQGGDAWSVLESSQFWLQIERQAGEVSDSAHIVNRSIHVSFDGRITGPAAEASDTHGIMARSARVVNYGEWVMAVVPGSSMYRILAPYFPKRRLDQYHEALVSEPAQLMKMGVLTFGRREFRQIVDGVLRRQVGDPKVAVLTRERTWSPGGSGHRVLLSPGPARPGCRLGRLASRWFLGGM
+>sp|O00483|NDUA4_HUMAN Cytochrome c oxidase subunit NDUFA4 OS=Homo sapiens OX=9606 GN=NDUFA4 PE=1 SV=1
+MLRQIIGQAKKHPSLIPLFVFIGTGATGATLYLLRLALFNPDVCWDRNNPEPWNKLGPNDQYKFYSVNVDYSKLKKERPDF
+>DECOY_sp|O00483|NDUA4_HUMAN Cytochrome c oxidase subunit NDUFA4 OS=Homo sapiens OX=9606 GN=NDUFA4 PE=1 SV=1
+FDPREKKLKSYDVNVSYFKYQDNPGLKNWPEPNNRDWCVDPNFLALRLLYLTAGTAGTGIFVFLPILSPHKKAQGIIQRLM
+>sp|Q9P0J0|NDUAD_HUMAN NADH dehydrogenase [ubiquinone] 1 alpha subcomplex subunit 13 OS=Homo sapiens OX=9606 GN=NDUFA13 PE=1 SV=3
+MAASKVKQDMPPPGGYGPIDYKRNLPRRGLSGYSMLAIGIGTLIYGHWSIMKWNRERRRLQIEDFEARIALLPLLQAETDRRTLQMLRENLEEEAIIMKDVPDWKVGESVFHTTRWVPPLIGELYGLRTTEEALHASHGFMWYT
+>DECOY_sp|Q9P0J0|NDUAD_HUMAN NADH dehydrogenase [ubiquinone] 1 alpha subcomplex subunit 13 OS=Homo sapiens OX=9606 GN=NDUFA13 PE=1 SV=3
+TYWMFGHSAHLAEETTRLGYLEGILPPVWRTTHFVSEGVKWDPVDKMIIAEEELNERLMQLTRRDTEAQLLPLLAIRAEFDEIQLRRRERNWKMISWHGYILTGIGIALMSYGSLGRRPLNRKYDIPGYGGPPPMDQKVKSAAM
+>sp|A8MQ27|NEU1B_HUMAN E3 ubiquitin-protein ligase NEURL1B OS=Homo sapiens OX=9606 GN=NEURL1B PE=1 SV=1
+MGNTVHRTLPDPSPPARLLATRPCCGPGPERRPVLGEAPRFHAQAKGKNVRLDGHSRRATRRNSFCNGVTFTQRPIRLYEQVRLRLVAVRPGWSGALRFGFTAHDPSLMSAQDIPKYACPDLVTRPGYWAKALPENLALRDTVLAYWADRHGRVFYSVNDGEPVLFHCGVAVGGPLWALIDVYGITDEVQLLESAFADTLTPARLSQARFSACLPPSSHDAANFDNNELENNQVVAKLGHLALGRAPGPPPADAAAAAIPCGPRERPRPASSPALLEADLRFHATRGPDVSLSADRKVACAPRPDGGRTLVFSERPLRPGESLFVEVGRPGLAAPGALAFGITSCDPGVLRPNELPADPDALLDRKEYWVVARAGPVPSGGDALSFTLRPGGDVLLGINGRPRGRLLCVDTTQALWAFFAVRGGVAGQLRLLGTLQSSPATTTPSGSLSGSQDDSDSDMTFSVNQSSSASESSLVTAPSSPLSPPVSPVFSPPEPAGIKNGECTVCFDGEVDTVIYTCGHMCLCHSCGLRLKRQARACCPICRRPIKDVIKIYRP
+>DECOY_sp|A8MQ27|NEU1B_HUMAN E3 ubiquitin-protein ligase NEURL1B OS=Homo sapiens OX=9606 GN=NEURL1B PE=1 SV=1
+PRYIKIVDKIPRRCIPCCARAQRKLRLGCSHCLCMHGCTYIVTDVEGDFCVTCEGNKIGAPEPPSFVPSVPPSLPSSPATVLSSESASSSQNVSFTMDSDSDDQSGSLSGSPTTTAPSSQLTGLLRLQGAVGGRVAFFAWLAQTTDVCLLRGRPRGNIGLLVDGGPRLTFSLADGGSPVPGARAVVWYEKRDLLADPDAPLENPRLVGPDCSTIGFALAGPAALGPRGVEVFLSEGPRLPRESFVLTRGGDPRPACAVKRDASLSVDPGRTAHFRLDAELLAPSSAPRPRERPGCPIAAAAADAPPPGPARGLALHGLKAVVQNNELENNDFNAADHSSPPLCASFRAQSLRAPTLTDAFASELLQVEDTIGYVDILAWLPGGVAVGCHFLVPEGDNVSYFVRGHRDAWYALVTDRLALNEPLAKAWYGPRTVLDPCAYKPIDQASMLSPDHATFGFRLAGSWGPRVAVLRLRVQEYLRIPRQTFTVGNCFSNRRTARRSHGDLRVNKGKAQAHFRPAEGLVPRREPGPGCCPRTALLRAPPSPDPLTRHVTNGM
+>sp|Q8NFW8|NEUA_HUMAN N-acylneuraminate cytidylyltransferase OS=Homo sapiens OX=9606 GN=CMAS PE=1 SV=2
+MDSVEKGAATSVSNPRGRPSRGRPPKLQRNSRGGQGRGVEKPPHLAALILARGGSKGIPLKNIKHLAGVPLIGWVLRAALDSGAFQSVWVSTDHDEIENVAKQFGAQVHRRSSEVSKDSSTSLDAIIEFLNYHNEVDIVGNIQATSPCLHPTDLQKVAEMIREEGYDSVFSVVRRHQFRWSEIQKGVREVTEPLNLNPAKRPRRQDWDGELYENGSFYFAKRHLIEMGYLQGGKMAYYEMRAEHSVDIDVDIDWPIAEQRVLRYGYFGKEKLKEIKLLVCNIDGCLTNGHIYVSGDQKEIISYDVKDAIGISLLKKSGIEVRLISERACSKQTLSSLKLDCKMEVSVSDKLAVVDEWRKEMGLCWKEVAYLGNEVSDEECLKRVGLSGAPADACSTAQKAVGYICKCNGGRGAIREFAEHICLLMEKVNNSCQK
+>DECOY_sp|Q8NFW8|NEUA_HUMAN N-acylneuraminate cytidylyltransferase OS=Homo sapiens OX=9606 GN=CMAS PE=1 SV=2
+KQCSNNVKEMLLCIHEAFERIAGRGGNCKCIYGVAKQATSCADAPAGSLGVRKLCEEDSVENGLYAVEKWCLGMEKRWEDVVALKDSVSVEMKCDLKLSSLTQKSCARESILRVEIGSKKLLSIGIADKVDYSIIEKQDGSVYIHGNTLCGDINCVLLKIEKLKEKGFYGYRLVRQEAIPWDIDVDIDVSHEARMEYYAMKGGQLYGMEILHRKAFYFSGNEYLEGDWDQRRPRKAPNLNLPETVERVGKQIESWRFQHRRVVSFVSDYGEERIMEAVKQLDTPHLCPSTAQINGVIDVENHYNLFEIIADLSTSSDKSVESSRRHVQAGFQKAVNEIEDHDTSVWVSQFAGSDLAARLVWGILPVGALHKINKLPIGKSGGRALILAALHPPKEVGRGQGGRSNRQLKPPRGRSPRGRPNSVSTAAGKEVSDM
+>sp|P30990|NEUT_HUMAN Neurotensin/neuromedin N OS=Homo sapiens OX=9606 GN=NTS PE=1 SV=2
+MMAGMKIQLVCMLLLAFSSWSLCSDSEEEMKALEADFLTNMHTSKISKAHVPSWKMTLLNVCSLVNNLNSPAEETGEVHEEELVARRKLPTALDGFSLEAMLTIYQLHKICHSRAFQHWELIQEDILDTGNDKNGKEEVIKRKIPYILKRQLYENKPRRPYILKRDSYYY
+>DECOY_sp|P30990|NEUT_HUMAN Neurotensin/neuromedin N OS=Homo sapiens OX=9606 GN=NTS PE=1 SV=2
+YYYSDRKLIYPRRPKNEYLQRKLIYPIKRKIVEEKGNKDNGTDLIDEQILEWHQFARSHCIKHLQYITLMAELSFGDLATPLKRRAVLEEEHVEGTEEAPSNLNNVLSCVNLLTMKWSPVHAKSIKSTHMNTLFDAELAKMEEESDSCLSWSSFALLLMCVLQIKMGAMM
+>sp|Q5QGS0|NEXMI_HUMAN Neurite extension and migration factor OS=Homo sapiens OX=9606 GN=NEXMIF PE=1 SV=1
+MDNQQDKAIVASANGENTLINGVKENDSEDQDVAMKSFAALEAAAPIQPTPVAQKETLMYPRGLLPLPSKKPCMQSPPSPLGLIEAPEHAANSASVNAISLTSGIAKGLNTWSLPNECEKAPFAIMEPAGMSALNGDCLMQPSRTCLGCFMESKDAVDPEPGISLKVGDLNRDYETCAVSDIGIQCINAGENMKYGEQLLSDQLLGFPLHKSRAGDRRETEKPDIDLEDPAQKSYYEALLLDKCNTEEALLANSNQDWGYFETFISESKIELLDLCSKNELSVNLFSEEDVDNYMFDDDESTLGSDVCSLKIRYESFQDNVRDKTTLLMQEDAQFNFFPSVFTTCPKRESKSGALKQSSDFSQFKVPDVSIIWGEEDKNLDKKKGKEEGQEDKGVEKKDGKDNGEKPALNKPCSGTEVEQLKNPKQGHLANSLETSGSFSDDSSFIEISYDAMGEIKDCSRYMARDTNSGSSSSQQNYGLRAKRKVRYSEDYLYDVDSLEGEKVNERKEWLPVGSKEEDDDEWCPKKRRKVTRKEPPVIIKYIIINRFKGEKNMLVKLGKVDASETTVNLSENQLNKYAKLAPLKGFWQKKKKQRNTNTDSIKTPFSQKQSFEPGSFEVSFLPPARKRKSKLGNRHRIQRIPSIEISASSKQISLCNDQRHASNHKEDGGLKGTLKSAPLGAPSCANGSHLNDITGPDSVKVKAQDTEFKGPERKVLNKIKFKSEARLKSKKVKAAGQESKPIVQMSPLLENQSSKANLKNEVIPGTSNSSRLSEFHEAKAAKSSTFLPTTCSSEMPLSSANVTTNIPVIPGGYLQTLLDASDLSNNTSISYFSHHSPEQNEGSLTQTEKSFVPLQPTQDCVLTSSSDSELQQSSHNFKMESSNYRNVWPNKATSGTQEFMAEVSREIAPTQSSEFGASQVVSMENNLTPTTYNPICLNSGGSNCNKVLYDSMQDTQLPSDDSYQLCHFNNGEICFPFQQGPVNMDDGRLFSFDSMAPLSVSSSNYCSLSLKSCEKDGDDDITDDFLAHCSPKLVIQQSIDEIAPLKESTDLLDISNFTPDKFRHSSLSEMSPPDTPSLSPQITRCESMKTLGTLKGFQEGVPGPLDSVEKIKWDCSTLSRQVQMEDGFTLNNHQFQFHMFNDEDSVSLLQKNPCLSTFNDPSGQISTNNKVSKSRKKSSPSKSGAMNQSSSQKNTRKKSLKGNNKGIEKPPGKNSRQVPKSTKKGKYMAAINGEKMQIGIGRGGSQTNTISSTGKTLAECIQHGGPMASMKMPSQKGLSGDWALGKESSPGWSDMSMGTNTNSLLDDDQREFQEPSYILSNIASGMADVQRFMMASIEPLWEPMEHHGDPNIFYSPESNSLKLKTLKILAGTPQESKKKINSGSQGATKNHRSIKGVSKSNGKTAIGDPGRANMPGYNEDSRSTFFDKKYSNMSTLGNNGPTHKKLYRHKSSSKALRDEKCKGKHMEREQVHKDESGTASFEKLRDSDYNLLKAETTFWVLPVFEEETRIFQKDI
+>DECOY_sp|Q5QGS0|NEXMI_HUMAN Neurite extension and migration factor OS=Homo sapiens OX=9606 GN=NEXMIF PE=1 SV=1
+IDKQFIRTEEEFVPLVWFTTEAKLLNYDSDRLKEFSATGSEDKHVQEREMHKGKCKEDRLAKSSSKHRYLKKHTPGNNGLTSMNSYKKDFFTSRSDENYGPMNARGPDGIATKGNSKSVGKISRHNKTAGQSGSNIKKKSEQPTGALIKLTKLKLSNSEPSYFINPDGHHEMPEWLPEISAMMFRQVDAMGSAINSLIYSPEQFERQDDDLLSNTNTGMSMDSWGPSSEKGLAWDGSLGKQSPMKMSAMPGGHQICEALTKGTSSITNTQSGGRGIGIQMKEGNIAAMYKGKKTSKPVQRSNKGPPKEIGKNNGKLSKKRTNKQSSSQNMAGSKSPSSKKRSKSVKNNTSIQGSPDNFTSLCPNKQLLSVSDEDNFMHFQFQHNNLTFGDEMQVQRSLTSCDWKIKEVSDLPGPVGEQFGKLTGLTKMSECRTIQPSLSPTDPPSMESLSSHRFKDPTFNSIDLLDTSEKLPAIEDISQQIVLKPSCHALFDDTIDDDGDKECSKLSLSCYNSSSVSLPAMSDFSFLRGDDMNVPGQQFPFCIEGNNFHCLQYSDDSPLQTDQMSDYLVKNCNSGGSNLCIPNYTTPTLNNEMSVVQSAGFESSQTPAIERSVEAMFEQTGSTAKNPWVNRYNSSEMKFNHSSQQLESDSSSTLVCDQTPQLPVFSKETQTLSGENQEPSHHSFYSISTNNSLDSADLLTQLYGGPIVPINTTVNASSLPMESSCTTPLFTSSKAAKAEHFESLRSSNSTGPIVENKLNAKSSQNELLPSMQVIPKSEQGAAKVKKSKLRAESKFKIKNLVKREPGKFETDQAKVKVSDPGTIDNLHSGNACSPAGLPASKLTGKLGGDEKHNSAHRQDNCLSIQKSSASIEISPIRQIRHRNGLKSKRKRAPPLFSVEFSGPEFSQKQSFPTKISDTNTNRQKKKKQWFGKLPALKAYKNLQNESLNVTTESADVKGLKVLMNKEGKFRNIIIYKIIVPPEKRTVKRRKKPCWEDDDEEKSGVPLWEKRENVKEGELSDVDYLYDESYRVKRKARLGYNQQSSSSGSNTDRAMYRSCDKIEGMADYSIEIFSSDDSFSGSTELSNALHGQKPNKLQEVETGSCPKNLAPKEGNDKGDKKEVGKDEQGEEKGKKKDLNKDEEGWIISVDPVKFQSFDSSQKLAGSKSERKPCTTFVSPFFNFQADEQMLLTTKDRVNDQFSEYRIKLSCVDSGLTSEDDDFMYNDVDEESFLNVSLENKSCLDLLEIKSESIFTEFYGWDQNSNALLAEETNCKDLLLAEYYSKQAPDELDIDPKETERRDGARSKHLPFGLLQDSLLQEGYKMNEGANICQIGIDSVACTEYDRNLDGVKLSIGPEPDVADKSEMFCGLCTRSPQMLCDGNLASMGAPEMIAFPAKECENPLSWTNLGKAIGSTLSIANVSASNAAHEPAEILGLPSPPSQMCPKKSPLPLLGRPYMLTEKQAVPTPQIPAAAELAAFSKMAVDQDESDNEKVGNILTNEGNASAVIAKDQQNDM
+>sp|Q9Y4A8|NF2L3_HUMAN Nuclear factor erythroid 2-related factor 3 OS=Homo sapiens OX=9606 GN=NFE2L3 PE=1 SV=1
+MKHLKRWWSAGGGLLHLTLLLSLAGLRVDLDLYLLLPPPTLLQDELLFLGGPASSAYALSPFSASGGWGRAGHLHPKGRELDPAAPPEGQLLREVRALGVPFVPRTSVDAWLVHSVAAGSADEAHGLLGAAAASSTGGAGASVDGGSQAVQGGGGDPRAARSGPLDAGEEEKAPAEPTAQVPDAGGCASEENGVLREKHEAVDHSSQHEENEERVSAQKENSLQQNDDDENKIAEKPDWEAEKTTESRNERHLNGTDTSFSLEDLFQLLSSQPENSLEGISLGDIPLPGSISDGMNSSAHYHVNFSQAISQDVNLHEAILLCPNNTFRRDPTARTSQSQEPFLQLNSHTTNPEQTLPGTNLTGFLSPVDNHMRNLTSQDLLYDLDINIFDEINLMSLATEDNFDPIDVSQLFDEPDSDSGLSLDSSHNNTSVIKSNSSHSVCDEGAIGYCTDHESSSHHDLEGAVGGYYPEPSKLCHLDQSDSDFHGDLTFQHVFHNHTYHLQPTAPESTSEPFPWPGKSQKIRSRYLEDTDRNLSRDEQRAKALHIPFSVDEIVGMPVDSFNSMLSRYYLTDLQVSLIRDIRRRGKNKVAAQNCRKRKLDIILNLEDDVCNLQAKKETLKREQAQCNKAINIMKQKLHDLYHDIFSRLRDDQGRPVNPNHYALQCTHDGSILIVPKELVASGHKKETQKGKRK
+>DECOY_sp|Q9Y4A8|NF2L3_HUMAN Nuclear factor erythroid 2-related factor 3 OS=Homo sapiens OX=9606 GN=NFE2L3 PE=1 SV=1
+KRKGKQTEKKHGSAVLEKPVILISGDHTCQLAYHNPNVPRGQDDRLRSFIDHYLDHLKQKMINIAKNCQAQERKLTEKKAQLNCVDDELNLIIDLKRKRCNQAAVKNKGRRRIDRILSVQLDTLYYRSLMSNFSDVPMGVIEDVSFPIHLAKARQEDRSLNRDTDELYRSRIKQSKGPWPFPESTSEPATPQLHYTHNHFVHQFTLDGHFDSDSQDLHCLKSPEPYYGGVAGELDHHSSSEHDTCYGIAGEDCVSHSSNSKIVSTNNHSSDLSLGSDSDPEDFLQSVDIPDFNDETALSMLNIEDFINIDLDYLLDQSTLNRMHNDVPSLFGTLNTGPLTQEPNTTHSNLQLFPEQSQSTRATPDRRFTNNPCLLIAEHLNVDQSIAQSFNVHYHASSNMGDSISGPLPIDGLSIGELSNEPQSSLLQFLDELSFSTDTGNLHRENRSETTKEAEWDPKEAIKNEDDDNQQLSNEKQASVREENEEHQSSHDVAEHKERLVGNEESACGGADPVQATPEAPAKEEEGADLPGSRAARPDGGGGQVAQSGGDVSAGAGGTSSAAAAGLLGHAEDASGAAVSHVLWADVSTRPVFPVGLARVERLLQGEPPAAPDLERGKPHLHGARGWGGSASFPSLAYASSAPGGLFLLEDQLLTPPPLLLYLDLDVRLGALSLLLTLHLLGGGASWWRKLHKM
+>sp|O95644|NFAC1_HUMAN Nuclear factor of activated T-cells, cytoplasmic 1 OS=Homo sapiens OX=9606 GN=NFATC1 PE=1 SV=3
+MPSTSFPVPSKFPLGPAAAVFGRGETLGPAPRAGGTMKSAEEEHYGYASSNVSPALPLPTAHSTLPAPCHNLQTSTPGIIPPADHPSGYGAALDGGPAGYFLSSGHTRPDGAPALESPRIEITSCLGLYHNNNQFFHDVEVEDVLPSSKRSPSTATLSLPSLEAYRDPSCLSPASSLSSRSCNSEASSYESNYSYPYASPQTSPWQSPCVSPKTTDPEEGFPRGLGACTLLGSPRHSPSTSPRASVTEESWLGARSSRPASPCNKRKYSLNGRQPPYSPHHSPTPSPHGSPRVSVTDDSWLGNTTQYTSSAIVAAINALTTDSSLDLGDGVPVKSRKTTLEQPPSVALKVEPVGEDLGSPPPPADFAPEDYSSFQHIRKGGFCDQYLAVPQHPYQWAKPKPLSPTSYMSPTLPALDWQLPSHSGPYELRIEVQPKSHHRAHYETEGSRGAVKASAGGHPIVQLHGYLENEPLMLQLFIGTADDRLLRPHAFYQVHRITGKTVSTTSHEAILSNTKVLEIPLLPENSMRAVIDCAGILKLRNSDIELRKGETDIGRKNTRVRLVFRVHVPQPSGRTLSLQVASNPIECSQRSAQELPLVEKQSTDSYPVVGGKKMVLSGHNFLQDSKVIFVEKAPDGHHVWEMEAKTDRDLCKPNSLVVEIPPFRNQRITSPVHVSFYVCNGKRKRSQYQRFTYLPANVPIIKTEPTDDYEPAPTCGPVSQGLSPLPRPYYSQQLAMPPDPSSCLVAGFPPCPQRSTLMPAAPGVSPKLHDLSPAAYTKGVASPGHCHLGLPQPAGEAPAVQDVPRPVATHPGSPGQPPPALLPQQVSAPPSSSCPPGLEHSLCPSSPSPPLPPATQEPTCLQPCSPACPPATGRPQHLPSTVRRDESPTAGPRLLPEVHEDGSPNLAPIPVTVKREPEELDQLYLDDVNEIIRNDLSSTSTHS
+>DECOY_sp|O95644|NFAC1_HUMAN Nuclear factor of activated T-cells, cytoplasmic 1 OS=Homo sapiens OX=9606 GN=NFATC1 PE=1 SV=3
+SHTSTSSLDNRIIENVDDLYLQDLEEPERKVTVPIPALNPSGDEHVEPLLRPGATPSEDRRVTSPLHQPRGTAPPCAPSCPQLCTPEQTAPPLPPSPSSPCLSHELGPPCSSSPPASVQQPLLAPPPQGPSGPHTAVPRPVDQVAPAEGAPQPLGLHCHGPSAVGKTYAAPSLDHLKPSVGPAAPMLTSRQPCPPFGAVLCSSPDPPMALQQSYYPRPLPSLGQSVPGCTPAPEYDDTPETKIIPVNAPLYTFRQYQSRKRKGNCVYFSVHVPSTIRQNRFPPIEVVLSNPKCLDRDTKAEMEWVHHGDPAKEVFIVKSDQLFNHGSLVMKKGGVVPYSDTSQKEVLPLEQASRQSCEIPNSAVQLSLTRGSPQPVHVRFVLRVRTNKRGIDTEGKRLEIDSNRLKLIGACDIVARMSNEPLLPIELVKTNSLIAEHSTTSVTKGTIRHVQYFAHPRLLRDDATGIFLQLMLPENELYGHLQVIPHGGASAKVAGRSGETEYHARHHSKPQVEIRLEYPGSHSPLQWDLAPLTPSMYSTPSLPKPKAWQYPHQPVALYQDCFGGKRIHQFSSYDEPAFDAPPPPSGLDEGVPEVKLAVSPPQELTTKRSKVPVGDGLDLSSDTTLANIAAVIASSTYQTTNGLWSDDTVSVRPSGHPSPTPSHHPSYPPQRGNLSYKRKNCPSAPRSSRAGLWSEETVSARPSTSPSHRPSGLLTCAGLGRPFGEEPDTTKPSVCPSQWPSTQPSAYPYSYNSEYSSAESNCSRSSLSSAPSLCSPDRYAELSPLSLTATSPSRKSSPLVDEVEVDHFFQNNNHYLGLCSTIEIRPSELAPAGDPRTHGSSLFYGAPGGDLAAGYGSPHDAPPIIGPTSTQLNHCPAPLTSHATPLPLAPSVNSSAYGYHEEEASKMTGGARPAPGLTEGRGFVAAAPGLPFKSPVPFSTSPM
+>sp|Q14934|NFAC4_HUMAN Nuclear factor of activated T-cells, cytoplasmic 4 OS=Homo sapiens OX=9606 GN=NFATC4 PE=1 SV=2
+MGAASCEDEELEFKLVFGEEKEAPPLGAGGLGEELDSEDAPPCCRLALGEPPPYGAAPIGIPRPPPPRPGMHSPPPRPAPSPGTWESQPARSVRLGGPGGGAGGAGGGRVLECPSIRITSISPTPEPPAALEDNPDAWGDGSPRDYPPPEGFGGYREAGGQGGGAFFSPSPGSSSLSSWSFFSDASDEAALYAACDEVESELNEAASRFGLGSPLPSPRASPRPWTPEDPWSLYGPSPGGRGPEDSWLLLSAPGPTPASPRPASPCGKRRYSSSGTPSSASPALSRRGSLGEEGSEPPPPPPLPLARDPGSPGPFDYVGAPPAESIPQKTRRTSSEQAVALPRSEEPASCNGKLPLGAEESVAPPGGSRKEVAGMDYLAVPSPLAWSKARIGGHSPIFRTSALPPLDWPLPSQYEQLELRIEVQPRAHHRAHYETEGSRGAVKAAPGGHPVVKLLGYSEKPLTLQMFIGTADERNLRPHAFYQVHRITGKMVATASYEAVVSGTKVLEMTLLPENNMAANIDCAGILKLRNSDIELRKGETDIGRKNTRVRLVFRVHVPQGGGKVVSVQAASVPIECSQRSAQELPQVEAYSPSACSVRGGEELVLTGSNFLPDSKVVFIERGPDGKLQWEEEATVNRLQSNEVTLTLTVPEYSNKRVSRPVQVYFYVSNGRRKRSPTQSFRFLPVICKEEPLPDSSLRGFPSASATPFGTDMDFSPPRPPYPSYPHEDPACETPYLSEGFGYGMPPLYPQTGPPPSYRPGLRMFPETRGTTGCAQPPAVSFLPRPFPSDPYGGRGSSFSLGLPFSPPAPFRPPPLPASPPLEGPFPSQSDVHPLPAEGYNKVGPGYGPGEGAPEQEKSRGGYSSGFRDSVPIQGITLEEVSEIIGRDLSGFPAPPGEEPPA
+>DECOY_sp|Q14934|NFAC4_HUMAN Nuclear factor of activated T-cells, cytoplasmic 4 OS=Homo sapiens OX=9606 GN=NFATC4 PE=1 SV=2
+APPEEGPPAPFGSLDRGIIESVEELTIGQIPVSDRFGSSYGGRSKEQEPAGEGPGYGPGVKNYGEAPLPHVDSQSPFPGELPPSAPLPPPRFPAPPSFPLGLSFSSGRGGYPDSPFPRPLFSVAPPQACGTTGRTEPFMRLGPRYSPPPGTQPYLPPMGYGFGESLYPTECAPDEHPYSPYPPRPPSFDMDTGFPTASASPFGRLSSDPLPEEKCIVPLFRFSQTPSRKRRGNSVYFYVQVPRSVRKNSYEPVTLTLTVENSQLRNVTAEEEWQLKGDPGREIFVVKSDPLFNSGTLVLEEGGRVSCASPSYAEVQPLEQASRQSCEIPVSAAQVSVVKGGGQPVHVRFVLRVRTNKRGIDTEGKRLEIDSNRLKLIGACDINAAMNNEPLLTMELVKTGSVVAEYSATAVMKGTIRHVQYFAHPRLNREDATGIFMQLTLPKESYGLLKVVPHGGPAAKVAGRSGETEYHARHHARPQVEIRLELQEYQSPLPWDLPPLASTRFIPSHGGIRAKSWALPSPVALYDMGAVEKRSGGPPAVSEEAGLPLKGNCSAPEESRPLAVAQESSTRRTKQPISEAPPAGVYDFPGPSGPDRALPLPPPPPPESGEEGLSGRRSLAPSASSPTGSSSYRRKGCPSAPRPSAPTPGPASLLLWSDEPGRGGPSPGYLSWPDEPTWPRPSARPSPLPSGLGFRSAAENLESEVEDCAAYLAAEDSADSFFSWSSLSSSGPSPSFFAGGGQGGAERYGGFGEPPPYDRPSGDGWADPNDELAAPPEPTPSISTIRISPCELVRGGGAGGAGGGPGGLRVSRAPQSEWTGPSPAPRPPPSHMGPRPPPPRPIGIPAAGYPPPEGLALRCCPPADESDLEEGLGGAGLPPAEKEEGFVLKFELEEDECSAAGM
+>sp|O94916|NFAT5_HUMAN Nuclear factor of activated T-cells 5 OS=Homo sapiens OX=9606 GN=NFAT5 PE=1 SV=1
+MPSDFISLLSADLDLESPKSLYSRESVYDLLPKELQLPPSRETSVASMSQTSGGEAGSPPPAVVAADASSAPSSSSMGGACSSFTTSSSPTIYSTSVTDSKAMQVESCSSAVGVSNRGVSEKQLTSNTVQQHPSTPKRHTVLYISPPPEDLLDNSRMSCQDEGCGLESEQSCSMWMEDSPSNFSNMSTSSYNDNTEVPRKSRKRNPKQRPGVKRRDCEESNMDIFDADSAKAPHYVLSQLTTDNKGNSKAGNGTLENQKGTGVKKSPMLCGQYPVKSEGKELKIVVQPETQHRARYLTEGSRGSVKDRTQQGFPTVKLEGHNEPVVLQVFVGNDSGRVKPHGFYQACRVTGRNTTPCKEVDIEGTTVIEVGLDPSNNMTLAVDCVGILKLRNADVEARIGIAGSKKKSTRARLVFRVNIMRKDGSTLTLQTPSSPILCTQPAGVPEILKKSLHSCSVKGEEEVFLIGKNFLKGTKVIFQENVSDENSWKSEAEIDMELFHQNHLIVKVPPYHDQHITLPVSVGIYVVTNAGRSHDVQPFTYTPDPAAAGALNVNVKKEISSPARPCSFEEAMKAMKTTGCNLDKVNIIPNALMTPLIPSSMIKSEDVTPMEVTAEKRSSTIFKTTKSVGSTQQTLENISNIAGNGSFSSPSSSHLPSENEKQQQIQPKAYNPETLTTIQTQDISQPGTFPAVSASSQLPNSDALLQQATQFQTRETQSREILQSDGTVVNLSQLTEASQQQQQSPLQEQAQTLQQQISSNIFPSPNSVSQLQNTIQQLQAGSFTGSTASGSSGSVDLVQQVLEAQQQLSSVLFSAPDGNENVQEQLSADIFQQVSQIQSGVSPGMFSSTEPTVHTRPDNLLPGRAESVHPQSENTLSNQQQQQQQQQQVMESSAAMVMEMQQSICQAAAQIQSELFPSTASANGNLQQSPVYQQTSHMMSALSTNEDMQMQCELFSSPPAVSGNETSTTTTQQVATPGTTMFQTSSSGDGEETGTQAKQIQNSVFQTMVQMQHSGDNQPQVNLFSSTKSMMSVQNSGTQQQGNGLFQQGNEMMSLQSGNFLQQSSHSQAQLFHPQNPIADAQNLSQETQGSLFHSPNPIVHSQTSTTSSEQMQPPMFHSQSTIAVLQGSSVPQDQQSTNIFLSQSPMNNLQTNTVAQEAFFAAPNSISPLQSTSNSEQQAAFQQQAPISHIQTPMLSQEQAQPPQQGLFQPQVALGSLPPNPMPQSQQGTMFQSQHSIVAMQSNSPSQEQQQQQQQQQQQQQQQQQSILFSNQNTMATMASPKQPPPNMIFNPNQNPMANQEQQNQSIFHQQSNMAPMNQEQQPMQFQSQSTVSSLQNPGPTQSESSQTPLFHSSPQIQLVQGSPSSQEQQVTLFLSPASMSALQTSINQQDMQQSPLYSPQNNMPGIQGATSSPQPQATLFHNTAGGTMNQLQNSPGSSQQTSGMFLFGIQNNCSQLLTSGPATLPDQLMAISQPGQPQNEGQPPVTTLLSQQMPENSPLASSINTNQNIEKIDLLVSLQNQGNNLTGSF
+>DECOY_sp|O94916|NFAT5_HUMAN Nuclear factor of activated T-cells 5 OS=Homo sapiens OX=9606 GN=NFAT5 PE=1 SV=1
+FSGTLNNGQNQLSVLLDIKEINQNTNISSALPSNEPMQQSLLTTVPPQGENQPQGPQSIAMLQDPLTAPGSTLLQSCNNQIGFLFMGSTQQSSGPSNQLQNMTGGATNHFLTAQPQPSSTAGQIGPMNNQPSYLPSQQMDQQNISTQLASMSAPSLFLTVQQEQSSPSGQVLQIQPSSHFLPTQSSESQTPGPNQLSSVTSQSQFQMPQQEQNMPAMNSQQHFISQNQQEQNAMPNQNPNFIMNPPPQKPSAMTAMTNQNSFLISQQQQQQQQQQQQQQQQQEQSPSNSQMAVISHQSQFMTGQQSQPMPNPPLSGLAVQPQFLGQQPPQAQEQSLMPTQIHSIPAQQQFAAQQESNSTSQLPSISNPAAFFAEQAVTNTQLNNMPSQSLFINTSQQDQPVSSGQLVAITSQSHFMPPQMQESSTTSTQSHVIPNPSHFLSGQTEQSLNQADAIPNQPHFLQAQSHSSQQLFNGSQLSMMENGQQFLGNGQQQTGSNQVSMMSKTSSFLNVQPQNDGSHQMQVMTQFVSNQIQKAQTGTEEGDGSSSTQFMTTGPTAVQQTTTTSTENGSVAPPSSFLECQMQMDENTSLASMMHSTQQYVPSQQLNGNASATSPFLESQIQAAAQCISQQMEMVMAASSEMVQQQQQQQQQQNSLTNESQPHVSEARGPLLNDPRTHVTPETSSFMGPSVGSQIQSVQQFIDASLQEQVNENGDPASFLVSSLQQQAELVQQVLDVSGSSGSATSGTFSGAQLQQITNQLQSVSNPSPFINSSIQQQLTQAQEQLPSQQQQQSAETLQSLNVVTGDSQLIERSQTERTQFQTAQQLLADSNPLQSSASVAPFTGPQSIDQTQITTLTEPNYAKPQIQQQKENESPLHSSSPSSFSGNGAINSINELTQQTSGVSKTTKFITSSRKEATVEMPTVDESKIMSSPILPTMLANPIINVKDLNCGTTKMAKMAEEFSCPRAPSSIEKKVNVNLAGAAAPDPTYTFPQVDHSRGANTVVYIGVSVPLTIHQDHYPPVKVILHNQHFLEMDIEAESKWSNEDSVNEQFIVKTGKLFNKGILFVEEEGKVSCSHLSKKLIEPVGAPQTCLIPSSPTQLTLTSGDKRMINVRFVLRARTSKKKSGAIGIRAEVDANRLKLIGVCDVALTMNNSPDLGVEIVTTGEIDVEKCPTTNRGTVRCAQYFGHPKVRGSDNGVFVQLVVPENHGELKVTPFGQQTRDKVSGRSGETLYRARHQTEPQVVIKLEKGESKVPYQGCLMPSKKVGTGKQNELTGNGAKSNGKNDTTLQSLVYHPAKASDADFIDMNSEECDRRKVGPRQKPNRKRSKRPVETNDNYSSTSMNSFNSPSDEMWMSCSQESELGCGEDQCSMRSNDLLDEPPPSIYLVTHRKPTSPHQQVTNSTLQKESVGRNSVGVASSCSEVQMAKSDTVSTSYITPSSSTTFSSCAGGMSSSSPASSADAAVVAPPPSGAEGGSTQSMSAVSTERSPPLQLEKPLLDYVSERSYLSKPSELDLDASLLSIFDSPM
+>sp|O00712|NFIB_HUMAN Nuclear factor 1 B-type OS=Homo sapiens OX=9606 GN=NFIB PE=1 SV=2
+MMYSPICLTQDEFHPFIEALLPHVRAIAYTWFNLQARKRKYFKKHEKRMSKDEERAVKDELLSEKPEIKQKWASRLLAKLRKDIRQEYREDFVLTVTGKKHPCCVLSNPDQKGKIRRIDCLRQADKVWRLDLVMVILFKGIPLESTDGERLMKSPHCTNPALCVQPHHITVSVKELDLFLAYYVQEQDSGQSGSPSHNDPAKNPPGYLEDSFVKSGVFNVSELVRVSRTPITQGTGVNFPIGEIPSQPYYHDMNSGVNLQRSLSSPPSSKRPKTISIDENMEPSPTGDFYPSPSSPAAGSRTWHERDQDMSSPTTMKKPEKPLFSSASPQDSSPRLSTFPQHHHPGIPGVAHSVISTRTPPPPSPLPFPTQAILPPAPSSYFSHPTIRYPPHLNPQDTLKNYVPSYDPSSPQTSQSWYLG
+>DECOY_sp|O00712|NFIB_HUMAN Nuclear factor 1 B-type OS=Homo sapiens OX=9606 GN=NFIB PE=1 SV=2
+GLYWSQSTQPSSPDYSPVYNKLTDQPNLHPPYRITPHSFYSSPAPPLIAQTPFPLPSPPPPTRTSIVSHAVGPIGPHHHQPFTSLRPSSDQPSASSFLPKEPKKMTTPSSMDQDREHWTRSGAAPSSPSPYFDGTPSPEMNEDISITKPRKSSPPSSLSRQLNVGSNMDHYYPQSPIEGIPFNVGTGQTIPTRSVRVLESVNFVGSKVFSDELYGPPNKAPDNHSPSGSQGSDQEQVYYALFLDLEKVSVTIHHPQVCLAPNTCHPSKMLREGDTSELPIGKFLIVMVLDLRWVKDAQRLCDIRRIKGKQDPNSLVCCPHKKGTVTLVFDERYEQRIDKRLKALLRSAWKQKIEPKESLLEDKVAREEDKSMRKEHKKFYKRKRAQLNFWTYAIARVHPLLAEIFPHFEDQTLCIPSYMM
+>sp|Q8TD46|MO2R1_HUMAN Cell surface glycoprotein CD200 receptor 1 OS=Homo sapiens OX=9606 GN=CD200R1 PE=1 SV=2
+MLCPWRTANLGLLLILTIFLVAASSSLCMDEKQITQNYSKVLAEVNTSWPVKMATNAVLCCPPIALRNLIIITWEIILRGQPSCTKAYRKETNETKETNCTDERITWVSRPDQNSDLQIRPVAITHDGYYRCIMVTPDGNFHRGYHLQVLVTPEVTLFQNRNRTAVCKAVAGKPAAQISWIPEGDCATKQEYWSNGTVTVKSTCHWEVHNVSTVTCHVSHLTGNKSLYIELLPVPGAKKSAKLYIPYIILTIIILTIVGFIWLLKVNGCRKYKLNKTESTPVVEEDEMQPYASYTEKNNPLYDTTNKVKASEALQSEVDTDLHTL
+>DECOY_sp|Q8TD46|MO2R1_HUMAN Cell surface glycoprotein CD200 receptor 1 OS=Homo sapiens OX=9606 GN=CD200R1 PE=1 SV=2
+LTHLDTDVESQLAESAKVKNTTDYLPNNKETYSAYPQMEDEEVVPTSETKNLKYKRCGNVKLLWIFGVITLIIITLIIYPIYLKASKKAGPVPLLEIYLSKNGTLHSVHCTVTSVNHVEWHCTSKVTVTGNSWYEQKTACDGEPIWSIQAAPKGAVAKCVATRNRNQFLTVEPTVLVQLHYGRHFNGDPTVMICRYYGDHTIAVPRIQLDSNQDPRSVWTIREDTCNTEKTENTEKRYAKTCSPQGRLIIEWTIIILNRLAIPPCCLVANTAMKVPWSTNVEALVKSYNQTIQKEDMCLSSSAAVLFITLILLLGLNATRWPCLM
+>sp|Q15014|MO4L2_HUMAN Mortality factor 4-like protein 2 OS=Homo sapiens OX=9606 GN=MORF4L2 PE=1 SV=1
+MSSRKQGSQPRGQQSAEEENFKKPTRSNMQRSKMRGASSGKKTAGPQQKNLEPALPGRWGGRSAENPPSGSVRKTRKNKQKTPGNGDGGSTSEAPQPPRKKRARADPTVESEEAFKNRMEVKVKIPEELKPWLVEDWDLVTRQKQLFQLPAKKNVDAILEEYANCKKSQGNVDNKEYAVNEVVAGIKEYFNVMLGTQLLYKFERPQYAEILLAHPDAPMSQVYGAPHLLRLFVRIGAMLAYTPLDEKSLALLLGYLHDFLKYLAKNSASLFTASDYKVASAEYHRKAL
+>DECOY_sp|Q15014|MO4L2_HUMAN Mortality factor 4-like protein 2 OS=Homo sapiens OX=9606 GN=MORF4L2 PE=1 SV=1
+LAKRHYEASAVKYDSATFLSASNKALYKLFDHLYGLLLALSKEDLPTYALMAGIRVFLRLLHPAGYVQSMPADPHALLIEAYQPREFKYLLQTGLMVNFYEKIGAVVENVAYEKNDVNGQSKKCNAYEELIADVNKKAPLQFLQKQRTVLDWDEVLWPKLEEPIKVKVEMRNKFAEESEVTPDARARKKRPPQPAESTSGGDGNGPTKQKNKRTKRVSGSPPNEASRGGWRGPLAPELNKQQPGATKKGSSAGRMKSRQMNSRTPKKFNEEEASQQGRPQSGQKRSSM
+>sp|Q96BY2|MOAP1_HUMAN Modulator of apoptosis 1 OS=Homo sapiens OX=9606 GN=MOAP1 PE=1 SV=1
+MTLRLLEDWCRGMDMNPRKALLIAGISQSCSVAEIEEALQAGLAPLGEYRLLGRMFRRDENRKVALVGLTAETSHALVPKEIPGKGGIWRVIFKPPDPDNTFLSRLNEFLAGEGMTVGELSRALGHENGSLDPEQGMIPEMWAPMLAQALEALQPALQCLKYKKLRVFSGRESPEPGEEEFGRWMFHTTQMIKAWQVPDVEKRRRLLESLRGPALDVIRVLKINNPLITVDECLQALEEVFGVTDNPRELQVKYLTTYQKDEEKLSAYVLRLEPLLQKLVQRGAIERDAVNQARLDQVIAGAVHKTIRRELNLPEDGPAPGFLQLLVLIKDYEAAEEEEALLQAILEGNFT
+>DECOY_sp|Q96BY2|MOAP1_HUMAN Modulator of apoptosis 1 OS=Homo sapiens OX=9606 GN=MOAP1 PE=1 SV=1
+TFNGELIAQLLAEEEEAAEYDKILVLLQLFGPAPGDEPLNLERRITKHVAGAIVQDLRAQNVADREIAGRQVLKQLLPELRLVYASLKEEDKQYTTLYKVQLERPNDTVGFVEELAQLCEDVTILPNNIKLVRIVDLAPGRLSELLRRRKEVDPVQWAKIMQTTHFMWRGFEEEGPEPSERGSFVRLKKYKLCQLAPQLAELAQALMPAWMEPIMGQEPDLSGNEHGLARSLEGVTMGEGALFENLRSLFTNDPDPPKFIVRWIGGKGPIEKPVLAHSTEATLGVLAVKRNEDRRFMRGLLRYEGLPALGAQLAEEIEAVSCSQSIGAILLAKRPNMDMGRCWDELLRLTM
+>sp|Q86TA1|MOB3B_HUMAN MOB kinase activator 3B OS=Homo sapiens OX=9606 GN=MOB3B PE=1 SV=2
+MSIALKQVFNKDKTFRPKRKFEPGTQRFELHKRAQASLNSGVDLKAAVQLPSGEDQNDWVAVHVVDFFNRINLIYGTICEFCTERTCPVMSGGPKYEYRWQDDLKYKKPTALPAPQYMNLLMDWIEVQINNEEIFPTCVGVPFPKNFLQICKKILCRLFRVFVHVYIHHFDRVIVMGAEAHVNTCYKHFYYFVTEMNLIDRKELEPLKEMTSRMCH
+>DECOY_sp|Q86TA1|MOB3B_HUMAN MOB kinase activator 3B OS=Homo sapiens OX=9606 GN=MOB3B PE=1 SV=2
+HCMRSTMEKLPELEKRDILNMETVFYYFHKYCTNVHAEAGMVIVRDFHHIYVHVFVRFLRCLIKKCIQLFNKPFPVGVCTPFIEENNIQVEIWDMLLNMYQPAPLATPKKYKLDDQWRYEYKPGGSMVPCTRETCFECITGYILNIRNFFDVVHVAVWDNQDEGSPLQVAAKLDVGSNLSAQARKHLEFRQTGPEFKRKPRFTKDKNFVQKLAISM
+>sp|O96007|MOC2B_HUMAN Molybdopterin synthase catalytic subunit OS=Homo sapiens OX=9606 GN=MOCS2 PE=1 SV=1
+MSSLEISSSCFSLETKLPLSPPLVEDSAFEPSRKDMDEVEEKSKDVINFTAEKLSVDEVSQLVISPLCGAISLFVGTTRNNFEGKKVISLEYEAYLPMAENEVRKICSDIRQKWPVKHIAVFHRLGLVPVSEASIIIAVSSAHRAASLEAVSYAIDTLKAKVPIWKKEIYEESSTWKGNKECFWASNS
+>DECOY_sp|O96007|MOC2B_HUMAN Molybdopterin synthase catalytic subunit OS=Homo sapiens OX=9606 GN=MOCS2 PE=1 SV=1
+SNSAWFCEKNGKWTSSEEYIEKKWIPVKAKLTDIAYSVAELSAARHASSVAIIISAESVPVLGLRHFVAIHKVPWKQRIDSCIKRVENEAMPLYAEYELSIVKKGEFNNRTTGVFLSIAGCLPSIVLQSVEDVSLKEATFNIVDKSKEEVEDMDKRSPEFASDEVLPPSLPLKTELSFCSSSIELSSM
+>sp|Q13724|MOGS_HUMAN Mannosyl-oligosaccharide glucosidase OS=Homo sapiens OX=9606 GN=MOGS PE=1 SV=5
+MARGERRRRAVPAEGVRTAERAARGGPGRRDGRGGGPRSTAGGVALAVVVLSLALGMSGRWVLAWYRARRAVTLHSAPPVLPADSSSPAVAPDLFWGTYRPHVYFGMKTRSPKPLLTGLMWAQQGTTPGTPKLRHTCEQGDGVGPYGWEFHDGLSFGRQHIQDGALRLTTEFVKRPGGQHGGDWSWRVTVEPQDSGTSALPLVSLFFYVVTDGKEVLLPEVGAKGQLKFISGHTSELGDFRFTLLPPTSPGDTAPKYGSYNVFWTSNPGLPLLTEMVKSRLNSWFQHRPPGAPPERYLGLPGSLKWEDRGPSGQGQGQFLIQQVTLKIPISIEFVFESGSAQAGGNQALPRLAGSLLTQALESHAEGFRERFEKTFQLKEKGLSSGEQVLGQAALSGLLGGIGYFYGQGLVLPDIGVEGSEQKVDPALFPPVPLFTAVPSRSFFPRGFLWDEGFHQLVVQRWDPSLTREALGHWLGLLNADGWIGREQILGDEARARVPPEFLVQRAVHANPPTLLLPVAHMLEVGDPDDLAFLRKALPRLHAWFSWLHQSQAGPLPLSYRWRGRDPALPTLLNPKTLPSGLDDYPRASHPSVTERHLDLRCWVALGARVLTRLAEHLGEAEVAAELGPLAASLEAAESLDELHWAPELGVFADFGNHTKAVQLKPRPPQGLVRVVGRPQPQLQYVDALGYVSLFPLLLRLLDPTSSRLGPLLDILADSRHLWSPFGLRSLAASSSFYGQRNSEHDPPYWRGAVWLNVNYLALGALHHYGHLEGPHQARAAKLHGELRANVVGNVWRQYQATGFLWEQYSDRDGRGMGCRPFHGWTSLVLLAMAEDY
+>DECOY_sp|Q13724|MOGS_HUMAN Mannosyl-oligosaccharide glucosidase OS=Homo sapiens OX=9606 GN=MOGS PE=1 SV=5
+YDEAMALLVLSTWGHFPRCGMGRGDRDSYQEWLFGTAQYQRWVNGVVNARLEGHLKAARAQHPGELHGYHHLAGLALYNVNLWVAGRWYPPDHESNRQGYFSSSAALSRLGFPSWLHRSDALIDLLPGLRSSTPDLLRLLLPFLSVYGLADVYQLQPQPRGVVRVLGQPPRPKLQVAKTHNGFDAFVGLEPAWHLEDLSEAAELSAALPGLEAAVEAEGLHEALRTLVRAGLAVWCRLDLHRETVSPHSARPYDDLGSPLTKPNLLTPLAPDRGRWRYSLPLPGAQSQHLWSFWAHLRPLAKRLFALDDPDGVELMHAVPLLLTPPNAHVARQVLFEPPVRARAEDGLIQERGIWGDANLLGLWHGLAERTLSPDWRQVVLQHFGEDWLFGRPFFSRSPVATFLPVPPFLAPDVKQESGEVGIDPLVLGQGYFYGIGGLLGSLAAQGLVQEGSSLGKEKLQFTKEFRERFGEAHSELAQTLLSGALRPLAQNGGAQASGSEFVFEISIPIKLTVQQILFQGQGQGSPGRDEWKLSGPLGLYREPPAGPPRHQFWSNLRSKVMETLLPLGPNSTWFVNYSGYKPATDGPSTPPLLTFRFDGLESTHGSIFKLQGKAGVEPLLVEKGDTVVYFFLSVLPLASTGSDQPEVTVRWSWDGGHQGGPRKVFETTLRLAGDQIHQRGFSLGDHFEWGYPGVGDGQECTHRLKPTGPTTGQQAWMLGTLLPKPSRTKMGFYVHPRYTGWFLDPAVAPSSSDAPLVPPASHLTVARRARYWALVWRGSMGLALSLVVVALAVGGATSRPGGGRGDRRGPGGRAAREATRVGEAPVARRRREGRAM
+>sp|Q86VF5|MOGT3_HUMAN 2-acylglycerol O-acyltransferase 3 OS=Homo sapiens OX=9606 GN=MOGAT3 PE=1 SV=1
+MGVATTLQPPTTSKTLQKQHLEAVGAYQYVLTFLFMGPFFSLLVFVLLFTSLWPFSVFYLVWLYVDWDTPNQGGRRSEWIRNRAIWRQLRDYYPVKLVKTAELPPDRNYVLGAHPHGIMCTGFLCNFSTESNGFSQLFPGLRPWLAVLAGLFYLPVYRDYIMSFGLCPVSRQSLDFILSQPQLGQAVVIMVGGAHEALYSVPGEHCLTLQKRKGFVRLALRHGASLVPVYSFGENDIFRLKAFATGSWQHWCQLTFKKLMGFSPCIFWGRGLFSATSWGLLPFAVPITTVVGRPIPVPQRLHPTEEEVNHYHALYMTALEQLFEEHKESCGVPASTCLTFI
+>DECOY_sp|Q86VF5|MOGT3_HUMAN 2-acylglycerol O-acyltransferase 3 OS=Homo sapiens OX=9606 GN=MOGAT3 PE=1 SV=1
+IFTLCTSAPVGCSEKHEEFLQELATMYLAHYHNVEEETPHLRQPVPIPRGVVTTIPVAFPLLGWSTASFLGRGWFICPSFGMLKKFTLQCWHQWSGTAFAKLRFIDNEGFSYVPVLSAGHRLALRVFGKRKQLTLCHEGPVSYLAEHAGGVMIVVAQGLQPQSLIFDLSQRSVPCLGFSMIYDRYVPLYFLGALVALWPRLGPFLQSFGNSETSFNCLFGTCMIGHPHAGLVYNRDPPLEATKVLKVPYYDRLQRWIARNRIWESRRGGQNPTDWDVYLWVLYFVSFPWLSTFLLVFVLLSFFPGMFLFTLVYQYAGVAELHQKQLTKSTTPPQLTTAVGM
+>sp|Q9UQ07|MOK_HUMAN MAPK/MAK/MRK overlapping kinase OS=Homo sapiens OX=9606 GN=MOK PE=2 SV=1
+MKNYKAIGKIGEGTFSEVMKMQSLRDGNYYACKQMKQRFESIEQVNNLREIQALRRLNPHPNILMLHEVVFDRKSGSLALICELMDMNIYELIRGRRYPLSEKKIMHYMYQLCKSLDHIHRNGIFHRDVKPENILIKQDVLKLGDFGSCRSVYSKQPYTEYISTRWYRAPECLLTDGFYTYKMDLWSAGCVFYEIASLQPLFPGVNELDQISKIHDVIGTPAQKILTKFKQSRAMNFDFPFKKGSGIPLLTTNLSPQCLSLLHAMVAYDPDERIAAHQALQHPYFQEQRKTEKRALGSHRKAGFPEHPVAPEPLSNSCQISKEGRKQKQSLKQEEDRPKRRGPAYVMELPKLKLSGVVRLSSYSSPTLQSVLGSGTNGRVPVLRPLKCIPASKKTDPQKDLKPAPQQCRLPTIVRKGGR
+>DECOY_sp|Q9UQ07|MOK_HUMAN MAPK/MAK/MRK overlapping kinase OS=Homo sapiens OX=9606 GN=MOK PE=2 SV=1
+RGGKRVITPLRCQQPAPKLDKQPDTKKSAPICKLPRLVPVRGNTGSGLVSQLTPSSYSSLRVVGSLKLKPLEMVYAPGRRKPRDEEQKLSQKQKRGEKSIQCSNSLPEPAVPHEPFGAKRHSGLARKETKRQEQFYPHQLAQHAAIREDPDYAVMAHLLSLCQPSLNTTLLPIGSGKKFPFDFNMARSQKFKTLIKQAPTGIVDHIKSIQDLENVGPFLPQLSAIEYFVCGASWLDMKYTYFGDTLLCEPARYWRTSIYETYPQKSYVSRCSGFDGLKLVDQKILINEPKVDRHFIGNRHIHDLSKCLQYMYHMIKKESLPYRRGRILEYINMDMLECILALSGSKRDFVVEHLMLINPHPNLRRLAQIERLNNVQEISEFRQKMQKCAYYNGDRLSQMKMVESFTGEGIKGIAKYNKM
+>sp|Q7L1V2|MON1B_HUMAN Vacuolar fusion protein MON1 homolog B OS=Homo sapiens OX=9606 GN=MON1B PE=1 SV=1
+MEVGGDTAAPAPGGAEDLEDTQFPSEEAREGGGVHAVPPDPEDEGLEETGSKDKDQPPSPSPPPQSEALSSTSRLWSPAAPENSPTCSPESSSGGQGGDPSDEEWRSQRKHVFVLSEAGKPIYSRYGSVEALSATMGVMTALVSFVQSAGDAIRAIYAEDHKLVFLQQGPLLLVAMSRTSQSAAQLRGELLAVHAQIVSTLTRASVARIFAHKQNYDLRRLLAGSERTLDRLLDSMEQDPGALLLGAVRCVPLARPLRDALGALLRRCTAPGLALSVLAVGGRLITAAQERNVLAECRLDPADLQLLLDWVGAPAFAAGEAWAPVCLPRFNPDGFFYAYVARLDAMPVCLLLLGTQREAFHAMAACRRLVEDGMHALGAMRALGEAASFSNASSASAPAYSVQAVGAPGLRHFLYKPLDIPDHHRQLPQFTSPELEAPYSREEERQRLSDLYHRLHARLHSTSRPLRLIYHVAEKETLLAWVTSKFELYTCLSPLVTKAGAILVVTKLLRWVKKEEDRLFIRYPPKYSTPPATSTDQAAHNGLFTGL
+>DECOY_sp|Q7L1V2|MON1B_HUMAN Vacuolar fusion protein MON1 homolog B OS=Homo sapiens OX=9606 GN=MON1B PE=1 SV=1
+LGTFLGNHAAQDTSTAPPTSYKPPYRIFLRDEEKKVWRLLKTVVLIAGAKTVLPSLCTYLEFKSTVWALLTEKEAVHYILRLPRSTSHLRAHLRHYLDSLRQREEERSYPAELEPSTFQPLQRHHDPIDLPKYLFHRLGPAGVAQVSYAPASASSANSFSAAEGLARMAGLAHMGDEVLRRCAAMAHFAERQTGLLLLCVPMADLRAVYAYFFGDPNFRPLCVPAWAEGAAFAPAGVWDLLLQLDAPDLRCEALVNREQAATILRGGVALVSLALGPATCRRLLAGLADRLPRALPVCRVAGLLLAGPDQEMSDLLRDLTRESGALLRRLDYNQKHAFIRAVSARTLTSVIQAHVALLEGRLQAASQSTRSMAVLLLPGQQLFVLKHDEAYIARIADGASQVFSVLATMVGMTASLAEVSGYRSYIPKGAESLVFVHKRQSRWEEDSPDGGQGGSSSEPSCTPSNEPAAPSWLRSTSSLAESQPPPSPSPPQDKDKSGTEELGEDEPDPPVAHVGGGERAEESPFQTDELDEAGGPAPAATDGGVEM
+>sp|A6NHM9|MOXD2_HUMAN Putative DBH-like monooxygenase protein 2 OS=Homo sapiens OX=9606 GN=MOXD2P PE=5 SV=1
+MAHDLLFRLFPLLALGVPLQSNRLGPTSRLRYSRFLDPSNVIFLRWDFDLEAEIISFELQVRTAGWVGFGVTNRYTNVGSDLVVGGVLPNGNVYFSDQHLVEEDTLKEDGSQDAELLGLTEDAVYTTMHFSRPFRSCDPHDLDITSNTVRVLAAYGLDDTLKLYRERTFVKSIFLLQVVHPDDLDVPEDTIIHDLEITNFLIPEDDTTYACTFLPLPIVSEKHHIYKFEPKLVYHNETTVHHILVYACGNASVLPTGISDCYGADPAFSLCSQVIVGSAVGGTSYQFPDDVGVSIGTPLDPQWILEIHYSNFNNLPGVYDSSGIRVYYTSQLCKYDTDVLQLGFFTFPIHFIPPGAESFMSYGLCRTEKFEEMNGAPMPDIQVYGYLLHTHLAGRALQAVQYRNGTQLRKICKDDSYDFNLQETRDLPSRVEIKPGDELLVECHYQTLDRDSMTFGGPSTINEMCLIFLFYYPQNNISSCMGYPDIIYVAHELGEEASE
+>DECOY_sp|A6NHM9|MOXD2_HUMAN Putative DBH-like monooxygenase protein 2 OS=Homo sapiens OX=9606 GN=MOXD2P PE=5 SV=1
+ESAEEGLEHAVYIIDPYGMCSSINNQPYYFLFILCMENITSPGGFTMSDRDLTQYHCEVLLEDGPKIEVRSPLDRTEQLNFDYSDDKCIKRLQTGNRYQVAQLARGALHTHLLYGYVQIDPMPAGNMEEFKETRCLGYSMFSEAGPPIFHIPFTFFGLQLVDTDYKCLQSTYYVRIGSSDYVGPLNNFNSYHIELIWQPDLPTGISVGVDDPFQYSTGGVASGVIVQSCLSFAPDAGYCDSIGTPLVSANGCAYVLIHHVTTENHYVLKPEFKYIHHKESVIPLPLFTCAYTTDDEPILFNTIELDHIITDEPVDLDDPHVVQLLFISKVFTRERYLKLTDDLGYAALVRVTNSTIDLDHPDCSRFPRSFHMTTYVADETLGLLEADQSGDEKLTDEEVLHQDSFYVNGNPLVGGVVLDSGVNTYRNTVGFGVWGATRVQLEFSIIEAELDFDWRLFIVNSPDLFRSYRLRSTPGLRNSQLPVGLALLPFLRFLLDHAM
+>sp|Q2QL34|MP17L_HUMAN Mpv17-like protein OS=Homo sapiens OX=9606 GN=MPV17L PE=1 SV=1
+MAGWWPALSRAARRHPWPTNVLLYGSLVSAGDALQQRLQGREANWRQTRRVATLVVTFHANFNYVWLRLLERALPGRAPHALLAKLLCDQVVGAPIAVSAFYVGMSILQGKDDIFLDLKQKFWNTYLSGLMYWPFVQLTNFSLVPVQWRTAYAGVCGFLWATFICFSQQSGDGTFKSAFTILYTKGTSATEGYPKK
+>DECOY_sp|Q2QL34|MP17L_HUMAN Mpv17-like protein OS=Homo sapiens OX=9606 GN=MPV17L PE=1 SV=1
+KKPYGETASTGKTYLITFASKFTGDGSQQSFCIFTAWLFGCVGAYATRWQVPVLSFNTLQVFPWYMLGSLYTNWFKQKLDLFIDDKGQLISMGVYFASVAIPAGVVQDCLLKALLAHPARGPLARELLRLWVYNFNAHFTVVLTAVRRTQRWNAERGQLRQQLADGASVLSGYLLVNTPWPHRRAARSLAPWWGAM
+>sp|P45985|MP2K4_HUMAN Dual specificity mitogen-activated protein kinase kinase 4 OS=Homo sapiens OX=9606 GN=MAP2K4 PE=1 SV=1
+MAAPSPSGGGGSGGGSGSGTPGPVGSPAPGHPAVSSMQGKRKALKLNFANPPFKSTARFTLNPNPTGVQNPHIERLRTHSIESSGKLKISPEQHWDFTAEDLKDLGEIGRGAYGSVNKMVHKPSGQIMAVKRIRSTVDEKEQKQLLMDLDVVMRSSDCPYIVQFYGALFREGDCWICMELMSTSFDKFYKYVYSVLDDVIPEEILGKITLATVKALNHLKENLKIIHRDIKPSNILLDRSGNIKLCDFGISGQLVDSIAKTRDAGCRPYMAPERIDPSASRQGYDVRSDVWSLGITLYELATGRFPYPKWNSVFDQLTQVVKGDPPQLSNSEEREFSPSFINFVNLCLTKDESKRPKYKELLKHPFILMYEERAVEVACYVCKILDQMPATPSSPMYVD
+>DECOY_sp|P45985|MP2K4_HUMAN Dual specificity mitogen-activated protein kinase kinase 4 OS=Homo sapiens OX=9606 GN=MAP2K4 PE=1 SV=1
+DVYMPSSPTAPMQDLIKCVYCAVEVAREEYMLIFPHKLLEKYKPRKSEDKTLCLNVFNIFSPSFEREESNSLQPPDGKVVQTLQDFVSNWKPYPFRGTALEYLTIGLSWVDSRVDYGQRSASPDIREPAMYPRCGADRTKAISDVLQGSIGFDCLKINGSRDLLINSPKIDRHIIKLNEKLHNLAKVTALTIKGLIEEPIVDDLVSYVYKYFKDFSTSMLEMCIWCDGERFLAGYFQVIYPCDSSRMVVDLDMLLQKQEKEDVTSRIRKVAMIQGSPKHVMKNVSGYAGRGIEGLDKLDEATFDWHQEPSIKLKGSSEISHTRLREIHPNQVGTPNPNLTFRATSKFPPNAFNLKLAKRKGQMSSVAPHGPAPSGVPGPTGSGSGGGSGGGGSPSPAAM
+>sp|Q2M385|MPEG1_HUMAN Macrophage-expressed gene 1 protein OS=Homo sapiens OX=9606 GN=MPEG1 PE=2 SV=1
+MNNFRATILFWAAAAWAKSGKPSGEMDEVGVQKCKNALKLPVLEVLPGGGWDNLRNVDMGRVMELTYSNCRTTEDGQYIIPDEIFTIPQKQSNLEMNSEILESWANYQSSTSYSINTELSLFSKVNGKFSTEFQRMKTLQVKDQAITTRVQVRNLVYTVKINPTLELSSGFRKELLDISDRLENNQTRMATYLAELLVLNYGTHVTTSVDAGAALIQEDHLRASFLQDSQSSRSAVTASAGLAFQNTVNFKFEENYTSQNVLTKSYLSNRTNSRVQSIGGVPFYPGITLQAWQQGITNHLVAIDRSGLPLHFFINPNMLPDLPGPLVKKVSKTVETAVKRYYTFNTYPGCTDLNSPNFNFQANTDDGSCEGKMTNFSFGGVYQECTQLSGNRDVLLCQKLEQKNPLTGDFSCPSGYSPVHLLSQIHEEGYNHLECHRKCTLLVFCKTVCEDVFQVAKAEFRAFWCVASSQVPENSGLLFGGLFSSKSINPMTNAQSCPAGYFPLRLFENLKVCVSQDYELGSRFAVPFGGFFSCTVGNPLVDPAISRDLGAPSLKKCPGGFSQHPALISDGCQVSYCVKSGLFTGGSLPPARLPPFTRPPLMSQAATNTVIVTNSENARSWIKDSQTHQWRLGEPIELRRAMNVIHGDGGGLSGGAAAGVTVGVTTILAVVITLAIYGTRKFKKKAYQAIEERQSLVPGTAATGDTTYQEQGQSPA
+>DECOY_sp|Q2M385|MPEG1_HUMAN Macrophage-expressed gene 1 protein OS=Homo sapiens OX=9606 GN=MPEG1 PE=2 SV=1
+APSQGQEQYTTDGTAATGPVLSQREEIAQYAKKKFKRTGYIALTIVVALITTVGVTVGAAAGGSLGGGDGHIVNMARRLEIPEGLRWQHTQSDKIWSRANESNTVIVTNTAAQSMLPPRTFPPLRAPPLSGGTFLGSKVCYSVQCGDSILAPHQSFGGPCKKLSPAGLDRSIAPDVLPNGVTCSFFGGFPVAFRSGLEYDQSVCVKLNEFLRLPFYGAPCSQANTMPNISKSSFLGGFLLGSNEPVQSSAVCWFARFEAKAVQFVDECVTKCFVLLTCKRHCELHNYGEEHIQSLLHVPSYGSPCSFDGTLPNKQELKQCLLVDRNGSLQTCEQYVGGFSFNTMKGECSGDDTNAQFNFNPSNLDTCGPYTNFTYYRKVATEVTKSVKKVLPGPLDPLMNPNIFFHLPLGSRDIAVLHNTIGQQWAQLTIGPYFPVGGISQVRSNTRNSLYSKTLVNQSTYNEEFKFNVTNQFALGASATVASRSSQSDQLFSARLHDEQILAAGADVSTTVHTGYNLVLLEALYTAMRTQNNELRDSIDLLEKRFGSSLELTPNIKVTYVLNRVQVRTTIAQDKVQLTKMRQFETSFKGNVKSFLSLETNISYSTSSQYNAWSELIESNMELNSQKQPITFIEDPIIYQGDETTRCNSYTLEMVRGMDVNRLNDWGGGPLVELVPLKLANKCKQVGVEDMEGSPKGSKAWAAAAWFLITARFNNM
+>sp|Q99547|MPH6_HUMAN M-phase phosphoprotein 6 OS=Homo sapiens OX=9606 GN=MPHOSPH6 PE=1 SV=2
+MAAERKTRLSKNLLRMKFMQRGLDSETKKQLEEEEKKIISEEHWYLDLPELKEKESFIIEEQSFLLCEDLLYGRMSFRGFNPEVEKLMLQMNAKHKAEEVEDETVELDVSDEEMARRYETLVGTIGKKFARKRDHANYEEDENGDITPIKAKKMFLKPQD
+>DECOY_sp|Q99547|MPH6_HUMAN M-phase phosphoprotein 6 OS=Homo sapiens OX=9606 GN=MPHOSPH6 PE=1 SV=2
+DQPKLFMKKAKIPTIDGNEDEEYNAHDRKRAFKKGITGVLTEYRRAMEEDSVDLEVTEDEVEEAKHKANMQLMLKEVEPNFGRFSMRGYLLDECLLFSQEEIIFSEKEKLEPLDLYWHEESIIKKEEEELQKKTESDLGRQMFKMRLLNKSLRTKREAAM
+>sp|P39210|MPV17_HUMAN Protein Mpv17 OS=Homo sapiens OX=9606 GN=MPV17 PE=1 SV=1
+MALWRAYQRALAAHPWKVQVLTAGSLMGLGDIISQQLVERRGLQEHQRGRTLTMVSLGCGFVGPVVGGWYKVLDRFIPGTTKVDALKKMLLDQGGFAPCFLGCFLPLVGALNGLSAQDNWAKLQRDYPDALITNYYLWPAVQLANFYLVPLHYRLAVVQCVAVIWNSYLSWKAHRL
+>DECOY_sp|P39210|MPV17_HUMAN Protein Mpv17 OS=Homo sapiens OX=9606 GN=MPV17 PE=1 SV=1
+LRHAKWSLYSNWIVAVCQVVALRYHLPVLYFNALQVAPWLYYNTILADPYDRQLKAWNDQASLGNLAGVLPLFCGLFCPAFGGQDLLMKKLADVKTTGPIFRDLVKYWGGVVPGVFGCGLSVMTLTRGRQHEQLGRREVLQQSIIDGLGMLSGATLVQVKWPHAALARQYARWLAM
+>sp|O95297|MPZL1_HUMAN Myelin protein zero-like protein 1 OS=Homo sapiens OX=9606 GN=MPZL1 PE=1 SV=1
+MAASAGAGAVIAAPDSRRWLWSVLAAALGLLTAGVSALEVYTPKEIFVANGTQGKLTCKFKSTSTTGGLTSVSWSFQPEGADTTVSFFHYSQGQVYLGNYPPFKDRISWAGDLDKKDASINIENMQFIHNGTYICDVKNPPDIVVQPGHIRLYVVEKENLPVFPVWVVVGIVTAVVLGLTLLISMILAVLYRRKNSKRDYTGCSTSESLSPVKQAPRKSPSDTEGLVKSLPSGSHQGPVIYAQLDHSGGHHSDKINKSESVVYADIRKN
+>DECOY_sp|O95297|MPZL1_HUMAN Myelin protein zero-like protein 1 OS=Homo sapiens OX=9606 GN=MPZL1 PE=1 SV=1
+NKRIDAYVVSESKNIKDSHHGGSHDLQAYIVPGQHSGSPLSKVLGETDSPSKRPAQKVPSLSESTSCGTYDRKSNKRRYLVALIMSILLTLGLVVATVIGVVVWVPFVPLNEKEVVYLRIHGPQVVIDPPNKVDCIYTGNHIFQMNEINISADKKDLDGAWSIRDKFPPYNGLYVQGQSYHFFSVTTDAGEPQFSWSVSTLGGTTSTSKFKCTLKGQTGNAVFIEKPTYVELASVGATLLGLAAALVSWLWRRSDPAAIVAGAGASAAM
+>sp|Q8TCY5|MRAP_HUMAN Melanocortin-2 receptor accessory protein OS=Homo sapiens OX=9606 GN=MRAP PE=1 SV=2
+MANGTNASAPYYSYEYYLDYLDLIPVDEKKLKAHKHSIVIAFWVSLAAFVVLLFLILLYMSWSASPQMRNSPKHHQTCPWSHGLNLHLCIQKCLPCHREPLATSQAQASSVEPGSRTGPDQPLRQESSSTLPLGGFQTHPTLLWELTLNGGPLVRSKPSEPPPGDRTSQLQS
+>DECOY_sp|Q8TCY5|MRAP_HUMAN Melanocortin-2 receptor accessory protein OS=Homo sapiens OX=9606 GN=MRAP PE=1 SV=2
+SQLQSTRDGPPPESPKSRVLPGGNLTLEWLLTPHTQFGGLPLTSSSEQRLPQDPGTRSGPEVSSAQAQSTALPERHCPLCKQICLHLNLGHSWPCTQHHKPSNRMQPSASWSMYLLILFLLVVFAALSVWFAIVISHKHAKLKKEDVPILDLYDLYYEYSYYPASANTGNAM
+>sp|Q9NV56|MRGBP_HUMAN MRG/MORF4L-binding protein OS=Homo sapiens OX=9606 GN=MRGBP PE=1 SV=1
+MGEAEVGGGGAAGDKGPGEAATSPAEETVVWSPEVEVCLFHAMLGHKPVGVNRHFHMICIRDKFSQNIGRQVPSKVIWDHLSTMYDMQALHESEILPFPNPERNFVLPEEIIQEVREGKVMIEEEMKEEMKEDVDPHNGADDVFSSSGSLGKASEKSSKDKEKNSSDLGCKEGADKRKRSRVTDKVLTANSNPSSPSAAKRRRT
+>DECOY_sp|Q9NV56|MRGBP_HUMAN MRG/MORF4L-binding protein OS=Homo sapiens OX=9606 GN=MRGBP PE=1 SV=1
+TRRRKAASPSSPNSNATLVKDTVRSRKRKDAGEKCGLDSSNKEKDKSSKESAKGLSGSSSFVDDAGNHPDVDEKMEEKMEEEIMVKGERVEQIIEEPLVFNREPNPFPLIESEHLAQMDYMTSLHDWIVKSPVQRGINQSFKDRICIMHFHRNVGVPKHGLMAHFLCVEVEPSWVVTEEAPSTAAEGPGKDGAAGGGGVEAEGM
+>sp|Q86SM8|MRGRE_HUMAN Mas-related G-protein coupled receptor member E OS=Homo sapiens OX=9606 GN=MRGPRE PE=2 SV=3
+MMEPREAGQHVGAANGAQEDVAFNLIILSLTEGLGLGGLLGNGAVLWLLSSNVYRNPFAIYLLDVACADLIFLGCHMVAIVPDLLQGRLDFPGFVQTSLATLRFFCYIVGLSLLAAVSVEQCLAALFPAWYSCRRPRHLTTCVCALTWALCLLLHLLLSGACTQFFGEPSRHLCRTLWLVAAVLLALLCCTMCGASLMLLLRVERGPQRPPPRGFPGLILLTVLLFLFCGLPFGIYWLSRNLLWYIPHYFYHFSFLMAAVHCAAKPVVYFCLGSAQGRRLPLRLVLQRALGDEAELGAVRETSRRGLVDIAA
+>DECOY_sp|Q86SM8|MRGRE_HUMAN Mas-related G-protein coupled receptor member E OS=Homo sapiens OX=9606 GN=MRGPRE PE=2 SV=3
+AAIDVLGRRSTERVAGLEAEDGLARQLVLRLPLRRGQASGLCFYVVPKAACHVAAMLFSFHYFYHPIYWLLNRSLWYIGFPLGCFLFLLVTLLILGPFGRPPPRQPGREVRLLLMLSAGCMTCCLLALLVAAVLWLTRCLHRSPEGFFQTCAGSLLLHLLLCLAWTLACVCTTLHRPRRCSYWAPFLAALCQEVSVAALLSLGVIYCFFRLTALSTQVFGPFDLRGQLLDPVIAVMHCGLFILDACAVDLLYIAFPNRYVNSSLLWLVAGNGLLGGLGLGETLSLIILNFAVDEQAGNAAGVHQGAERPEMM
+>sp|Q96AM1|MRGRF_HUMAN Mas-related G-protein coupled receptor member F OS=Homo sapiens OX=9606 GN=MRGPRF PE=2 SV=1
+MAGNCSWEAHPGNRNKMCPGLSEAPELYSRGFLTIEQIAMLPPPAVMNYIFLLLCLCGLVGNGLVLWFFGFSIKRNPFSIYFLHLASADVGYLFSKAVFSILNTGGFLGTFADYIRSVCRVLGLCMFLTGVSLLPAVSAERCASVIFPAWYWRRRPKRLSAVVCALLWVLSLLVTCLHNYFCVFLGRGAPGAACRHMDIFLGILLFLLCCPLMVLPCLALILHVECRARRRQRSAKLNHVILAMVSVFLVSSIYLGIDWFLFWVFQIPAPFPEYVTDLCICINSSAKPIVYFLAGRDKSQRLWEPLRVVFQRALRDGAELGEAGGSTPNTVTMEMQCPPGNAS
+>DECOY_sp|Q96AM1|MRGRF_HUMAN Mas-related G-protein coupled receptor member F OS=Homo sapiens OX=9606 GN=MRGPRF PE=2 SV=1
+SANGPPCQMEMTVTNPTSGGAEGLEAGDRLARQFVVRLPEWLRQSKDRGALFYVIPKASSNICICLDTVYEPFPAPIQFVWFLFWDIGLYISSVLFVSVMALIVHNLKASRQRRRARCEVHLILALCPLVMLPCCLLFLLIGLFIDMHRCAAGPAGRGLFVCFYNHLCTVLLSLVWLLACVVASLRKPRRRWYWAPFIVSACREASVAPLLSVGTLFMCLGLVRCVSRIYDAFTGLFGGTNLISFVAKSFLYGVDASALHLFYISFPNRKISFGFFWLVLGNGVLGCLCLLLFIYNMVAPPPLMAIQEITLFGRSYLEPAESLGPCMKNRNGPHAEWSCNGAM
+>sp|A6NGR9|MROH6_HUMAN Maestro heat-like repeat-containing protein family member 6 OS=Homo sapiens OX=9606 GN=MROH6 PE=4 SV=2
+MAGGVWGRSRAREAPVGALTLTALTEGIRARQGQPQGPPSAGPQPKSWEVKPEAEPQTQALTAPSEAEPGRGATVPEAGSEPCSLNSALEPAPEGPHQVPQSSWEEGVLADLALYTAACLEEAGFAGTQATVLTLSSALEARGERLEDQVHALVRGLLAQVPSLAEGRPWRAALRVLSALALEHARDVVCALLPRSLPADRVAAELWRSLSRNQRVNGQVLVQLLWALKGASGPEPQALAATRALGEMLAVSGCVGATRGFYPHLLLALVTQLHKLARSPCSPDMPKIWVLSHRGPPHSHASCAVEALKALLTGDGGRMVVTCMEQAGGWRRLVGAHTHLEGVLLLASAMVAHADHHLRGLFADLLPRLRSADDPQRLTAMAFFTGLLQSRPTARLLREEVILERLLTWQGDPEPTVRWLGLLGLGHLALNRRKVRHVSTLLPALLGALGEGDARLVGAALGALRRLLLRPRAPVRLLSAELGPRLPPLLDDTRDSIRASAVGLLGTLVRRGRGGLRLGLRGPLRKLVLQSLVPLLLRLHDPSRDAAESSEWTLARCDHAFCWGLLEELVTVAHYDSPEALSHLCCRLVQRYPGHVPNFLSQTQGYLRSPQDPLRRAAAVLIGFLVHHASPGCVNQDLLDSLFQDLGRLQSDPKPAVAAAAHVSAQQVAMLARARGCPRGPRLLRIAPRPARPPPVFADSPFQRRSVAGRWGCSGPRRA
+>DECOY_sp|A6NGR9|MROH6_HUMAN Maestro heat-like repeat-containing protein family member 6 OS=Homo sapiens OX=9606 GN=MROH6 PE=4 SV=2
+ARRPGSCGWRGAVSRRQFPSDAFVPPPRAPRPAIRLLRPGRPCGRARALMAVQQASVHAAAAVAPKPDSQLRGLDQFLSDLLDQNVCGPSAHHVLFGILVAAARRLPDQPSRLYGQTQSLFNPVHGPYRQVLRCCLHSLAEPSDYHAVTVLEELLGWCFAHDCRALTWESSEAADRSPDHLRLLLPVLSQLVLKRLPGRLGLRLGGRGRRVLTGLLGVASARISDRTDDLLPPLRPGLEASLLRVPARPRLLLRRLAGLAAGVLRADGEGLAGLLAPLLTSVHRVKRRNLALHGLGLLGLWRVTPEPDGQWTLLRELIVEERLLRATPRSQLLGTFFAMATLRQPDDASRLRPLLDAFLGRLHHDAHAVMASALLLVGELHTHAGVLRRWGGAQEMCTVVMRGGDGTLLAKLAEVACSAHSHPPGRHSLVWIKPMDPSCPSRALKHLQTVLALLLHPYFGRTAGVCGSVALMEGLARTAALAQPEPGSAGKLAWLLQVLVQGNVRQNRSLSRWLEAAVRDAPLSRPLLACVVDRAHELALASLVRLAARWPRGEALSPVQALLGRVLAHVQDELREGRAELASSLTLVTAQTGAFGAEELCAATYLALDALVGEEWSSQPVQHPGEPAPELASNLSCPESGAEPVTAGRGPEAESPATLAQTQPEAEPKVEWSKPQPGASPPGQPQGQRARIGETLATLTLAGVPAERARSRGWVGGAM
+>sp|Q9H579|MROH8_HUMAN Protein MROH8 OS=Homo sapiens OX=9606 GN=MROH8 PE=2 SV=2
+MSSKHRICSQEEVVIPCAYDSDSESVDLELSNLEIIKKGSSSIELTDLDIPDIPGLHCEPLSHSPRHLTQQDPLSEAIVEKLIQSIQKVFNGELKGELEKLKFLGDLSSLSQALPYDETAKSFIHSHIADIVHTLNVLVQEERPHSLSSSMRQEVFVTIADLSYQDVHLLLGSEDRAELFSLTIKSIITLPSVRTLTQIQEIMPNGTCNTECLYRQTFQAFSEMLQSLVVKDPHLENLDTIIKLPLRFQRLGHLVALMALLCGDPQEKVAEEAAEGIHSLLHITLRLKYITHDKKDQQNLKRALTKCREFLELHSSAAKCFYNCPFRIAQVFEGFLDSNELCQFIMTTFDTLKTLKHPCIQRSAGELLLTLAKNTESQFEKVPEIMGVICAQLSIISQPRVRQQIINTVSLFISRPKYTDIVLSFLLCHPVPYNRHLAEVWRMLSVELPSTTWILWRLLRKLQKCHNEPAQEKMAYVAVAVSP
+>DECOY_sp|Q9H579|MROH8_HUMAN Protein MROH8 OS=Homo sapiens OX=9606 GN=MROH8 PE=2 SV=2
+PSVAVAVYAMKEQAPENHCKQLKRLLRWLIWTTSPLEVSLMRWVEALHRNYPVPHCLLFSLVIDTYKPRSIFLSVTNIIQQRVRPQSIISLQACIVGMIEPVKEFQSETNKALTLLLEGASRQICPHKLTKLTDFTTMIFQCLENSDLFGEFVQAIRFPCNYFCKAASSHLELFERCKTLARKLNQQDKKDHTIYKLRLTIHLLSHIGEAAEEAVKEQPDGCLLAMLAVLHGLRQFRLPLKIITDLNELHPDKVVLSQLMESFAQFTQRYLCETNCTGNPMIEQIQTLTRVSPLTIISKITLSFLEARDESGLLLHVDQYSLDAITVFVEQRMSSSLSHPREEQVLVNLTHVIDAIHSHIFSKATEDYPLAQSLSSLDGLFKLKELEGKLEGNFVKQISQILKEVIAESLPDQQTLHRPSHSLPECHLGPIDPIDLDTLEISSSGKKIIELNSLELDVSESDSDYACPIVVEEQSCIRHKSSM
+>sp|Q9GZW8|MS4A7_HUMAN Membrane-spanning 4-domains subfamily A member 7 OS=Homo sapiens OX=9606 GN=MS4A7 PE=2 SV=1
+MLLQSQTMGVSHSFTPKGITIPQREKPGHMYQNEDYLQNGLPTETTVLGTVQILCCLLISSLGAILVFAPYPSHFNPAISTTLMSGYPFLGALCFGITGSLSIISGKQSTKPFDLSSLTSNAVSSVTAGAGLFLLADSMVALRTASQHCGSEMDYLSSLPYSEYYYPIYEIKDCLLTSVSLTGVLVVMLIFTVLELLLAAYSSVFWWKQLYSNNPGSSFSSTQSQDHIQQVKKSSSRSWI
+>DECOY_sp|Q9GZW8|MS4A7_HUMAN Membrane-spanning 4-domains subfamily A member 7 OS=Homo sapiens OX=9606 GN=MS4A7 PE=2 SV=1
+IWSRSSSKKVQQIHDQSQTSSFSSGPNNSYLQKWWFVSSYAALLLELVTFILMVVLVGTLSVSTLLCDKIEYIPYYYESYPLSSLYDMESGCHQSATRLAVMSDALLFLGAGATVSSVANSTLSSLDFPKTSQKGSIISLSGTIGFCLAGLFPYGSMLTTSIAPNFHSPYPAFVLIAGLSSILLCCLIQVTGLVTTETPLGNQLYDENQYMHGPKERQPITIGKPTFSHSVGMTQSQLLM
+>sp|Q6P1R3|MSD2_HUMAN Myb/SANT-like DNA-binding domain-containing protein 2 OS=Homo sapiens OX=9606 GN=MSANTD2 PE=1 SV=1
+MAAPCGSELPANSPLKIPKMEVLSPASPGGLSDGNPSLSDPSTPRGASPLGPGSAAGSGAAASGGLGLGLGGRSAASSSVSFSPGGGGGGAAAAAAAACRGMSWTPAETNALIAVWGNERLVEARYQQLEGAGTVFGSKAPGPAMYERVSRALAELGYERTPSQCRERIKTLRRCYSRVKEHGVGKRKSSYTFEQLEQVFGQGGWDAQPCQPVLINSSGLYQELESDGSTMEDYSQEDWGNHSQDLHGYPTDQELDEIPVTKRTLKIKQESSEEAQKRDIMQNIVQILESVQLKWELFQSWTDFSRLHLSNKLAIFGIGYNTRWKEDIRYHYAEISSQVPLGKRLREYFNSEKPEGRIIMTRVQKMNWKNVYYKFLEITISEARCLELHMEIDWIPIAHSKPTGGNVVQYLLPGGIPKSPGLYAIGYEECIERPLSPHMEQSSLDPGKEGRVDLETLSAQASLQVEIEPTRIIYCYLGIAEVRTLQQCLFLHFQANTKTFSKDWVGINGFLSQNCIVDPGVSPKSIYIKFVEVERDFLSAGSLVECLEKAIGYPLKFNN
+>DECOY_sp|Q6P1R3|MSD2_HUMAN Myb/SANT-like DNA-binding domain-containing protein 2 OS=Homo sapiens OX=9606 GN=MSANTD2 PE=1 SV=1
+NNFKLPYGIAKELCEVLSGASLFDREVEVFKIYISKPSVGPDVICNQSLFGNIGVWDKSFTKTNAQFHLFLCQQLTRVEAIGLYCYIIRTPEIEVQLSAQASLTELDVRGEKGPDLSSQEMHPSLPREICEEYGIAYLGPSKPIGGPLLYQVVNGGTPKSHAIPIWDIEMHLELCRAESITIELFKYYVNKWNMKQVRTMIIRGEPKESNFYERLRKGLPVQSSIEAYHYRIDEKWRTNYGIGFIALKNSLHLRSFDTWSQFLEWKLQVSELIQVINQMIDRKQAEESSEQKIKLTRKTVPIEDLEQDTPYGHLDQSHNGWDEQSYDEMTSGDSELEQYLGSSNILVPQCPQADWGGQGFVQELQEFTYSSKRKGVGHEKVRSYCRRLTKIRERCQSPTREYGLEALARSVREYMAPGPAKSGFVTGAGELQQYRAEVLRENGWVAILANTEAPTWSMGRCAAAAAAAAGGGGGGPSFSVSSSAASRGGLGLGLGGSAAAGSGAASGPGLPSAGRPTSPDSLSPNGDSLGGPSAPSLVEMKPIKLPSNAPLESGCPAAM
+>sp|Q96KJ4|MSLNL_HUMAN Mesothelin-like protein OS=Homo sapiens OX=9606 GN=MSLNL PE=3 SV=3
+MAAAVTIPGPRIGALQSSGLTLLLSLAAHCSGPQAKVLSPGGLDASGANLWASANCSLLQGFWCQPASQLPRDQLSALIQRLALLQVPLQAWQLSCLANLASRCGLQDDFTLHPPNLLLFYNLSQVREADCRAFIRRAAQGDVELLSHLPDQRVALWRAAVACLVGAGLRLSASDQQLLGALVCDMDASSIGAADPHMLENLRRCPRLTAAQRIALNSLLAGGKTSLGPPGSWTLEGLQALGPLATYISPHLWAQVQEAVGLGFFRSVVASCQVGRLGQREARCFVTSFLESKTKPVSSRPRLSTGNITAATLRDDLFLVHYDCAELESCLDGCILRTNLDTLLQHLLPTECQHVVKAKLAQIYPQGLPEDQLRLITSLVYLYSRTEIGQWSITSQDTVMALLASDVALENQTEAVLQKFLEHNGTVSGALLLAIGGTRLCWMSPHQIQTIHPQELRLAGALDLSSCPQSRKDVLYTKAHETFGSSGTLAAYYRLMRPYLGGSPGGAQPPSPVPPGGAPVEELRHLAHANISMDIDTFTSLNPLELQSLDVGNVTALLGHNVGDLQKARSHPTVRAWLRSLNSSTLGQLGLDASPTSPTGPAHGTRGPPSTTHQVLHLVHTSGLPTNDAQASTSGSLWAPLGYLPLAMALPCSLLCLLHWGTCILVSVDSVASGWLGSQGSGAGKTEVLDSAGRPLGLTGQL
+>DECOY_sp|Q96KJ4|MSLNL_HUMAN Mesothelin-like protein OS=Homo sapiens OX=9606 GN=MSLNL PE=3 SV=3
+LQGTLGLPRGASDLVETKGAGSGQSGLWGSAVSDVSVLICTGWHLLCLLSCPLAMALPLYGLPAWLSGSTSAQADNTPLGSTHVLHLVQHTTSPPGRTGHAPGTPSTPSADLGLQGLTSSNLSRLWARVTPHSRAKQLDGVNHGLLATVNGVDLSQLELPNLSTFTDIDMSINAHALHRLEEVPAGGPPVPSPPQAGGPSGGLYPRMLRYYAALTGSSGFTEHAKTYLVDKRSQPCSSLDLAGALRLEQPHITQIQHPSMWCLRTGGIALLLAGSVTGNHELFKQLVAETQNELAVDSALLAMVTDQSTISWQGIETRSYLYVLSTILRLQDEPLGQPYIQALKAKVVHQCETPLLHQLLTDLNTRLICGDLCSELEACDYHVLFLDDRLTAATINGTSLRPRSSVPKTKSELFSTVFCRAERQGLRGVQCSAVVSRFFGLGVAEQVQAWLHPSIYTALPGLAQLGELTWSGPPGLSTKGGALLSNLAIRQAATLRPCRRLNELMHPDAAGISSADMDCVLAGLLQQDSASLRLGAGVLCAVAARWLAVRQDPLHSLLEVDGQAARRIFARCDAERVQSLNYFLLLNPPHLTFDDQLGCRSALNALCSLQWAQLPVQLLALRQILASLQDRPLQSAPQCWFGQLLSCNASAWLNAGSADLGGPSLVKAQPGSCHAALSLLLTLGSSQLAGIRPGPITVAAAM
+>sp|Q13421|MSLN_HUMAN Mesothelin OS=Homo sapiens OX=9606 GN=MSLN PE=1 SV=2
+MALPTARPLLGSCGTPALGSLLFLLFSLGWVQPSRTLAGETGQEAAPLDGVLANPPNISSLSPRQLLGFPCAEVSGLSTERVRELAVALAQKNVKLSTEQLRCLAHRLSEPPEDLDALPLDLLLFLNPDAFSGPQACTRFFSRITKANVDLLPRGAPERQRLLPAALACWGVRGSLLSEADVRALGGLACDLPGRFVAESAEVLLPRLVSCPGPLDQDQQEAARAALQGGGPPYGPPSTWSVSTMDALRGLLPVLGQPIIRSIPQGIVAAWRQRSSRDPSWRQPERTILRPRFRREVEKTACPSGKKAREIDESLIFYKKWELEACVDAALLATQMDRVNAIPFTYEQLDVLKHKLDELYPQGYPESVIQHLGYLFLKMSPEDIRKWNVTSLETLKALLEVNKGHEMSPQAPRRPLPQVATLIDRFVKGRGQLDKDTLDTLTAFYPGYLCSLSPEELSSVPPSSIWAVRPQDLDTCDPRQLDVLYPKARLAFQNMNGSEYFVKIQSFLGGAPTEDLKALSQQNVSMDLATFMKLRTDAVLPLTVAEVQKLLGPHVEGLKAEERHRPVRDWILRQRQDDLDTLGLGLQGGIPNGYLVLDLSMQEALSGTPCLLGPGPVLTVLALLLASTLA
+>DECOY_sp|Q13421|MSLN_HUMAN Mesothelin OS=Homo sapiens OX=9606 GN=MSLN PE=1 SV=2
+ALTSALLLALVTLVPGPGLLCPTGSLAEQMSLDLVLYGNPIGGQLGLGLTDLDDQRQRLIWDRVPRHREEAKLGEVHPGLLKQVEAVTLPLVADTRLKMFTALDMSVNQQSLAKLDETPAGGLFSQIKVFYESGNMNQFALRAKPYLVDLQRPDCTDLDQPRVAWISSPPVSSLEEPSLSCLYGPYFATLTDLTDKDLQGRGKVFRDILTAVQPLPRRPAQPSMEHGKNVELLAKLTELSTVNWKRIDEPSMKLFLYGLHQIVSEPYGQPYLEDLKHKLVDLQEYTFPIANVRDMQTALLAADVCAELEWKKYFILSEDIERAKKGSPCATKEVERRFRPRLITREPQRWSPDRSSRQRWAAVIGQPISRIIPQGLVPLLGRLADMTSVSWTSPPGYPPGGGQLAARAAEQQDQDLPGPCSVLRPLLVEASEAVFRGPLDCALGGLARVDAESLLSGRVGWCALAAPLLRQREPAGRPLLDVNAKTIRSFFRTCAQPGSFADPNLFLLLDLPLADLDEPPESLRHALCRLQETSLKVNKQALAVALERVRETSLGSVEACPFGLLQRPSLSSINPPNALVGDLPAAEQGTEGALTRSPQVWGLSFLLFLLSGLAPTGCSGLLPRATPLAM
+>sp|P08118|MSMB_HUMAN Beta-microseminoprotein OS=Homo sapiens OX=9606 GN=MSMB PE=1 SV=1
+MNVLLGSVVIFATFVTLCNASCYFIPNEGVPGDSTRKCMDLKGNKHPINSEWQTDNCETCTCYETEISCCTLVSTPVGYDKDNCQRIFKKEDCKYIVVEKKDPKKTCSVSEWII
+>DECOY_sp|P08118|MSMB_HUMAN Beta-microseminoprotein OS=Homo sapiens OX=9606 GN=MSMB PE=1 SV=1
+IIWESVSCTKKPDKKEVVIYKCDEKKFIRQCNDKDYGVPTSVLTCCSIETEYCTCTECNDTQWESNIPHKNGKLDMCKRTSDGPVGENPIFYCSANCLTVFTAFIVVSGLLVNM
+>sp|Q9UJG1|MSPD1_HUMAN Motile sperm domain-containing protein 1 OS=Homo sapiens OX=9606 GN=MOSPD1 PE=2 SV=1
+MHQQKRQPELVEGNLPVFVFPTELIFYADDQSTHKQVLTLYNPYEFALKFKVLCTTPNKYVVVDAAGAVKPQCCVDIVIRHRDVRSCHYGVIDKFRLQVSEQSQRKALGRKEVVATLLPSAKEQQKEEEEKRLKEHLTESLFFEQSFQPENRAVSSGPSLLTVFLGVVCIAALMLPTLGDVESLVPLYLHLSVNQKLVAAYILGLITMAILRT
+>DECOY_sp|Q9UJG1|MSPD1_HUMAN Motile sperm domain-containing protein 1 OS=Homo sapiens OX=9606 GN=MOSPD1 PE=2 SV=1
+TRLIAMTILGLIYAAVLKQNVSLHLYLPVLSEVDGLTPLMLAAICVVGLFVTLLSPGSSVARNEPQFSQEFFLSETLHEKLRKEEEEKQQEKASPLLTAVVEKRGLAKRQSQESVQLRFKDIVGYHCSRVDRHRIVIDVCCQPKVAGAADVVVYKNPTTCLVKFKLAFEYPNYLTLVQKHTSQDDAYFILETPFVFVPLNGEVLEPQRKQQHM
+>sp|Q9NZV6|MSRB1_HUMAN Methionine-R-sulfoxide reductase B1 OS=Homo sapiens OX=9606 GN=MSRB1 PE=1 SV=3
+MSFCSFFGGEVFQNHFEPGVYVCAKCGYELFSSRSKYAHSSPWPAFTETIHADSVAKRPEHNRSEALKVSCGKCGNGLGHEFLNDGPKPGQSRFUIFSSSLKFVPKGKETSASQGH
+>DECOY_sp|Q9NZV6|MSRB1_HUMAN Methionine-R-sulfoxide reductase B1 OS=Homo sapiens OX=9606 GN=MSRB1 PE=1 SV=3
+HGQSASTEKGKPVFKLSSSFIUFRSQGPKPGDNLFEHGLGNGCKGCSVKLAESRNHEPRKAVSDAHITETFAPWPSSHAYKSRSSFLEYGCKACVYVGPEFHNQFVEGGFFSCFSM
+>sp|Q5VZV1|MT21C_HUMAN Protein-lysine methyltransferase METTL21C OS=Homo sapiens OX=9606 GN=METTL21C PE=1 SV=1
+MDVCLSSAQQPGRRGEGLSSPGGWLEAEKKGAPQKDSTGGVLEESNKIEPSLHSLQKFVPTDYASYTQEHYRFAGKEIVIQESIESYGAVVWPGAMALCQYLEEHAEELNFQDAKILEIGAGPGLVSIVASILGAQVTATDLPDVLGNLQYNLLKNTLQCTAHLPEVKELVWGEDLDKNFPKSAFYYDYVLASDVVYHHYFLDKLLTTMVYLSQPGTVLLWANKFRFSTDYEFLDKFKQVFDTTLLAEYPESSVKLFKGILKWD
+>DECOY_sp|Q5VZV1|MT21C_HUMAN Protein-lysine methyltransferase METTL21C OS=Homo sapiens OX=9606 GN=METTL21C PE=1 SV=1
+DWKLIGKFLKVSSEPYEALLTTDFVQKFKDLFEYDTSFRFKNAWLLVTGPQSLYVMTTLLKDLFYHHYVVDSALVYDYYFASKPFNKDLDEGWVLEKVEPLHATCQLTNKLLNYQLNGLVDPLDTATVQAGLISAVISVLGPGAGIELIKADQFNLEEAHEELYQCLAMAGPWVVAGYSEISEQIVIEKGAFRYHEQTYSAYDTPVFKQLSHLSPEIKNSEELVGGTSDKQPAGKKEAELWGGPSSLGEGRRGPQQASSLCVDM
+>sp|Q9H867|MT21D_HUMAN Protein-lysine methyltransferase METTL21D OS=Homo sapiens OX=9606 GN=VCPKMT PE=1 SV=2
+MADTLESSLEDPLRSFVRVLEKRDGTVLRLQQYSSGGVGCVVWDAAIVLSKYLETPEFSGDGAHALSRRSVLELGSGTGAVGLMAATLGADVVVTDLEELQDLLKMNINMNKHLVTGSVQAKVLKWGEEIEGFPSPPDFILMADCIYYEESLEPLLKTLKDISGFETCIICCYEQRTMGKNPEIEKKYFELLQLDFDFEKIPLEKHDEEYRSEDIHIIYIRKKKSKFPS
+>DECOY_sp|Q9H867|MT21D_HUMAN Protein-lysine methyltransferase METTL21D OS=Homo sapiens OX=9606 GN=VCPKMT PE=1 SV=2
+SPFKSKKKRIYIIHIDESRYEEDHKELPIKEFDFDLQLLEFYKKEIEPNKGMTRQEYCCIICTEFGSIDKLTKLLPELSEEYYICDAMLIFDPPSPFGEIEEGWKLVKAQVSGTVLHKNMNINMKLLDQLEELDTVVVDAGLTAAMLGVAGTGSGLELVSRRSLAHAGDGSFEPTELYKSLVIAADWVVCGVGGSSYQQLRLVTGDRKELVRVFSRLPDELSSELTDAM
+>sp|Q13330|MTA1_HUMAN Metastasis-associated protein MTA1 OS=Homo sapiens OX=9606 GN=MTA1 PE=1 SV=2
+MAANMYRVGDYVYFENSSSNPYLIRRIEELNKTANGNVEAKVVCFYRRRDISSTLIALADKHATLSVCYKAGPGADNGEEGEIEEEMENPEMVDLPEKLKHQLRHRELFLSRQLESLPATHIRGKCSVTLLNETESLKSYLEREDFFFYSLVYDPQQKTLLADKGEIRVGNRYQADITDLLKEGEEDGRDQSRLETQVWEAHNPLTDKQIDQFLVVARSVGTFARALDCSSSVRQPSLHMSAAAASRDITLFHAMDTLHKNIYDISKAISALVPQGGPVLCRDEMEEWSASEANLFEEALEKYGKDFTDIQQDFLPWKSLTSIIEYYYMWKTTDRYVQQKRLKAAEAESKLKQVYIPNYNKPNPNQISVNNVKAGVVNGTGAPGQSPGAGRACESCYTTQSYQWYSWGPPNMQCRLCASCWTYWKKYGGLKMPTRLDGERPGPNRSNMSPHGLPARSSGSPKFAMKTRQAFYLHTTKLTRIARRLCREILRPWHAARHPYLPINSAAIKAECTARLPEASQSPLVLKQAVRKPLEAVLRYLETHPRPPKPDPVKSVSSVLSSLTPAKVAPVINNGSPTILGKRSYEQHNGVDGNMKKRLLMPSRGLANHGQARHMGPSRNLLLNGKSYPTKVRLIRGGSLPPVKRRRMNWIDAPDDVFYMATEETRKIRKLLSSSETKRAARRPYKPIALRQSQALPPRPPPPAPVNDEPIVIED
+>DECOY_sp|Q13330|MTA1_HUMAN Metastasis-associated protein MTA1 OS=Homo sapiens OX=9606 GN=MTA1 PE=1 SV=2
+DEIVIPEDNVPAPPPPRPPLAQSQRLAIPKYPRRAARKTESSSLLKRIKRTEETAMYFVDDPADIWNMRRRKVPPLSGGRILRVKTPYSKGNLLLNRSPGMHRAQGHNALGRSPMLLRKKMNGDVGNHQEYSRKGLITPSGNNIVPAVKAPTLSSLVSSVSKVPDPKPPRPHTELYRLVAELPKRVAQKLVLPSQSAEPLRATCEAKIAASNIPLYPHRAAHWPRLIERCLRRAIRTLKTTHLYFAQRTKMAFKPSGSSRAPLGHPSMNSRNPGPREGDLRTPMKLGGYKKWYTWCSACLRCQMNPPGWSYWQYSQTTYCSECARGAGPSQGPAGTGNVVGAKVNNVSIQNPNPKNYNPIYVQKLKSEAEAAKLRKQQVYRDTTKWMYYYEIISTLSKWPLFDQQIDTFDKGYKELAEEFLNAESASWEEMEDRCLVPGGQPVLASIAKSIDYINKHLTDMAHFLTIDRSAAAASMHLSPQRVSSSCDLARAFTGVSRAVVLFQDIQKDTLPNHAEWVQTELRSQDRGDEEGEKLLDTIDAQYRNGVRIEGKDALLTKQQPDYVLSYFFFDERELYSKLSETENLLTVSCKGRIHTAPLSELQRSLFLERHRLQHKLKEPLDVMEPNEMEEEIEGEEGNDAGPGAKYCVSLTAHKDALAILTSSIDRRRYFCVVKAEVNGNATKNLEEIRRILYPNSSSNEFYVYDGVRYMNAAM
+>sp|Q9BTC8|MTA3_HUMAN Metastasis-associated protein MTA3 OS=Homo sapiens OX=9606 GN=MTA3 PE=1 SV=2
+MAANMYRVGDYVYFENSSSNPYLIRRIEELNKTASGNVEAKVVCFYRRRDISNTLIMLADKHAKEIEEESETTVEADLTDKQKHQLKHRELFLSRQYESLPATHIRGKCSVALLNETESVLSYLDKEDTFFYSLVYDPSLKTLLADKGEIRVGPRYQADIPEMLLEGESDEREQSKLEVKVWDPNSPLTDRQIDQFLVVARAVGTFARALDCSSSVRQPSLHMSAAAASRDITLFHAMDTLYRHSYDLSSAISVLVPLGGPVLCRDEMEEWSASEASLFEEALEKYGKDFNDIRQDFLPWKSLTSIIEYYYMWKTTDRYVQQKRLKAAEAESKLKQVYIPTYSKPNPNQISTSNGKPGAVNGAVGTTFQPQNPLLGRACESCYATQSHQWYSWGPPNMQCRLCAICWLYWKKYGGLKMPTQSEEEKLSPSPTTEDPRVRSHVSRQAMQGMPVRNTGSPKSAVKTRQAFFLHTTYFTKFARQVCKNTLRLRQAARRPFVAINYAAIRAEYADRHAELSGSPLKSKSTRKPLACIIGYLEIHPAKKPNVIRSTPSLQTPTTKRMLTTPNHTSLSILGKRNYSHHNGLDELTCCVSD
+>DECOY_sp|Q9BTC8|MTA3_HUMAN Metastasis-associated protein MTA3 OS=Homo sapiens OX=9606 GN=MTA3 PE=1 SV=2
+DSVCCTLEDLGNHHSYNRKGLISLSTHNPTTLMRKTTPTQLSPTSRIVNPKKAPHIELYGIICALPKRTSKSKLPSGSLEAHRDAYEARIAAYNIAVFPRRAAQRLRLTNKCVQRAFKTFYTTHLFFAQRTKVASKPSGTNRVPMGQMAQRSVHSRVRPDETTPSPSLKEEESQTPMKLGGYKKWYLWCIACLRCQMNPPGWSYWQHSQTAYCSECARGLLPNQPQFTTGVAGNVAGPKGNSTSIQNPNPKSYTPIYVQKLKSEAEAAKLRKQQVYRDTTKWMYYYEIISTLSKWPLFDQRIDNFDKGYKELAEEFLSAESASWEEMEDRCLVPGGLPVLVSIASSLDYSHRYLTDMAHFLTIDRSAAAASMHLSPQRVSSSCDLARAFTGVARAVVLFQDIQRDTLPSNPDWVKVELKSQEREDSEGELLMEPIDAQYRPGVRIEGKDALLTKLSPDYVLSYFFTDEKDLYSLVSETENLLAVSCKGRIHTAPLSEYQRSLFLERHKLQHKQKDTLDAEVTTESEEEIEKAHKDALMILTNSIDRRRYFCVVKAEVNGSATKNLEEIRRILYPNSSSNEFYVYDGVRYMNAAM
+>sp|Q13126|MTAP_HUMAN S-methyl-5'-thioadenosine phosphorylase OS=Homo sapiens OX=9606 GN=MTAP PE=1 SV=2
+MASGTTTTAVKIGIIGGTGLDDPEILEGRTEKYVDTPFGKPSDALILGKIKNVDCVLLARHGRQHTIMPSKVNYQANIWALKEEGCTHVIVTTACGSLREEIQPGDIVIIDQFIDRTTMRPQSFYDGSHSCARGVCHIPMAEPFCPKTREVLIETAKKLGLRCHSKGTMVTIEGPRFSSRAESFMFRTWGADVINMTTVPEVVLAKEAGICYASIAMATDYDCWKEHEEAVSVDRVLKTLKENANKAKSLLLTTIPQIGSTEWSETLHNLKNMAQFSVLLPRH
+>DECOY_sp|Q13126|MTAP_HUMAN S-methyl-5'-thioadenosine phosphorylase OS=Homo sapiens OX=9606 GN=MTAP PE=1 SV=2
+HRPLLVSFQAMNKLNHLTESWETSGIQPITTLLLSKAKNANEKLTKLVRDVSVAEEHEKWCDYDTAMAISAYCIGAEKALVVEPVTTMNIVDAGWTRFMFSEARSSFRPGEITVMTGKSHCRLGLKKATEILVERTKPCFPEAMPIHCVGRACSHSGDYFSQPRMTTRDIFQDIIVIDGPQIEERLSGCATTVIVHTCGEEKLAWINAQYNVKSPMITHQRGHRALLVCDVNKIKGLILADSPKGFPTDVYKETRGELIEPDDLGTGGIIGIKVATTTTGSAM
+>sp|Q9NZJ7|MTCH1_HUMAN Mitochondrial carrier homolog 1 OS=Homo sapiens OX=9606 GN=MTCH1 PE=1 SV=1
+MGASDPEVAPWARGGAAGMAGAGAGAGARGGAAAGVEARARDPPPAHRAHPRHPRPAAQPSARRMDGGSGGLGSGDNAPTTEALFVALGAGVTALSHPLLYVKLLIQVGHEPMPPTLGTNVLGRKVLYLPSFFTYAKYIVQVDGKIGLFRGLSPRLMSNALSTVTRGSMKKVFPPDEIEQVSNKDDMKTSLKKVVKETSYEMMMQCVSRMLAHPLHVISMRCMVQFVGREAKYSGVLSSIGKIFKEEGLLGFFVGLIPHLLGDVVFLWGCNLLAHFINAYLVDDSVSDTPGGLGNDQNPGSQFSQALAIRSYTKFVMGIAVSMLTYPFLLVGDLMAVNNCGLQAGLPPYSPVFKSWIHCWKYLSVQGQLFRGSSLLFRRVSSGSCFALE
+>DECOY_sp|Q9NZJ7|MTCH1_HUMAN Mitochondrial carrier homolog 1 OS=Homo sapiens OX=9606 GN=MTCH1 PE=1 SV=1
+ELAFCSGSSVRRFLLSSGRFLQGQVSLYKWCHIWSKFVPSYPPLGAQLGCNNVAMLDGVLLFPYTLMSVAIGMVFKTYSRIALAQSFQSGPNQDNGLGGPTDSVSDDVLYANIFHALLNCGWLFVVDGLLHPILGVFFGLLGEEKFIKGISSLVGSYKAERGVFQVMCRMSIVHLPHALMRSVCQMMMEYSTEKVVKKLSTKMDDKNSVQEIEDPPFVKKMSGRTVTSLANSMLRPSLGRFLGIKGDVQVIYKAYTFFSPLYLVKRGLVNTGLTPPMPEHGVQILLKVYLLPHSLATVGAGLAVFLAETTPANDGSGLGGSGGDMRRASPQAAPRPHRPHARHAPPPDRARAEVGAAAGGRAGAGAGAGAMGAAGGRAWPAVEPDSAGM
+>sp|Q9H903|MTD2L_HUMAN Probable bifunctional methylenetetrahydrofolate dehydrogenase/cyclohydrolase 2 OS=Homo sapiens OX=9606 GN=MTHFD2L PE=2 SV=3
+MTVPVRGFSLLRGRLGRAPALGRSTAPSVRAPGEPGSAFRGFRSSGVRHEAIIISGTEMAKHIQKEIQRGVESWVSLGNRRPHLSIILVGDNPASHTYVRNKIRAASAVGICSELILKPKDVSQEELLDVTDQLNMDPRVSGILVQLPLPDHVDERTICNGIAPEKDVDGFHIINIGRLCLDQHSLIPATASAVWEIIKRTGIQTFGKNVVVAGRSKNVGMPIAMLLHTDGEHERPGGDATVTIAHRYTPKEQLKIHTQLADIIIVAAGIPKLITSDMVKEGAAVIDVGINYVHDPVTGKTKLVGDVDFEAVKKKAGFITPVPGGVGPMTVAMLLKNTLLAAKKIIY
+>DECOY_sp|Q9H903|MTD2L_HUMAN Probable bifunctional methylenetetrahydrofolate dehydrogenase/cyclohydrolase 2 OS=Homo sapiens OX=9606 GN=MTHFD2L PE=2 SV=3
+YIIKKAALLTNKLLMAVTMPGVGGPVPTIFGAKKKVAEFDVDGVLKTKGTVPDHVYNIGVDIVAAGEKVMDSTILKPIGAAVIIIDALQTHIKLQEKPTYRHAITVTADGGPREHEGDTHLLMAIPMGVNKSRGAVVVNKGFTQIGTRKIIEWVASATAPILSHQDLCLRGINIIHFGDVDKEPAIGNCITREDVHDPLPLQVLIGSVRPDMNLQDTVDLLEEQSVDKPKLILESCIGVASAARIKNRVYTHSAPNDGVLIISLHPRRNGLSVWSEVGRQIEKQIHKAMETGSIIIAEHRVGSSRFGRFASGPEGPARVSPATSRGLAPARGLRGRLLSFGRVPVTM
+>sp|Q14872|MTF1_HUMAN Metal regulatory transcription factor 1 OS=Homo sapiens OX=9606 GN=MTF1 PE=1 SV=2
+MGEHSPDNNIIYFEAEEDELTPDDKMLRFVDKNGLVPSSSGTVYDRTTVLIEQDPGTLEDEDDDGQCGEHLPFLVGGEEGFHLIDHEAMSQGYVQHIISPDQIHLTINPGSTPMPRNIEGATLTLQSECPETKRKEVKRYQCTFEGCPRTYSTAGNLRTHQKTHRGEYTFVCNQEGCGKAFLTSYSLRIHVRVHTKEKPFECDVQGCEKAFNTLYRLKAHQRLHTGKTFNCESEGCSKYFTTLSDLRKHIRTHTGEKPFRCDHDGCGKAFAASHHLKTHVRTHTGERPFFCPSNGCEKTFSTQYSLKSHMKGHDNKGHSYNALPQHNGSEDTNHSLCLSDLSLLSTDSELRENSSTTQGQDLSTISPAIIFESMFQNSDDTAIQEDPQQTASLTESFNGDAESVSDVPPSTGNSASLSLPLVLQPGLSEPPQPLLPASAPSAPPPAPSLGPGSQQAAFGNPPALLQPPEVPVPHSTQFAANHQEFLPHPQAPQPIVPGLSVVAGASASAAAVASAVAAPAPPQSTTEPLPAMVQTLPLGANSVLTNNPTITITPTPNTAILQSSLVMGEQNLQWILNGATSSPQNQEQIQQASKVEKVFFTTAVPVASSPGSSVQQIGLSVPVIIIKQEEACQCQCACRDSAKERASSRRKGCSSPPPPEPSPQAPDGPSLQLPAQTFSSAPVPGSSSSTLPSSCEQSRQAETPSDPQTETLSAMDVSEFLSLQSLDTPSNLIPIEALLQGEEEMGLTSSFSK
+>DECOY_sp|Q14872|MTF1_HUMAN Metal regulatory transcription factor 1 OS=Homo sapiens OX=9606 GN=MTF1 PE=1 SV=2
+KSFSSTLGMEEEGQLLAEIPILNSPTDLSQLSLFESVDMASLTETQPDSPTEAQRSQECSSPLTSSSSGPVPASSFTQAPLQLSPGDPAQPSPEPPPPSSCGKRRSSAREKASDRCACQCQCAEEQKIIIVPVSLGIQQVSSGPSSAVPVATTFFVKEVKSAQQIQEQNQPSSTAGNLIWQLNQEGMVLSSQLIATNPTPTITITPNNTLVSNAGLPLTQVMAPLPETTSQPPAPAAVASAVAAASASAGAVVSLGPVIPQPAQPHPLFEQHNAAFQTSHPVPVEPPQLLAPPNGFAAQQSGPGLSPAPPPASPASAPLLPQPPESLGPQLVLPLSLSASNGTSPPVDSVSEADGNFSETLSATQQPDEQIATDDSNQFMSEFIIAPSITSLDQGQTTSSNERLESDTSLLSLDSLCLSHNTDESGNHQPLANYSHGKNDHGKMHSKLSYQTSFTKECGNSPCFFPREGTHTRVHTKLHHSAAFAKGCGDHDCRFPKEGTHTRIHKRLDSLTTFYKSCGESECNFTKGTHLRQHAKLRYLTNFAKECGQVDCEFPKEKTHVRVHIRLSYSTLFAKGCGEQNCVFTYEGRHTKQHTRLNGATSYTRPCGEFTCQYRKVEKRKTEPCESQLTLTAGEINRPMPTSGPNITLHIQDPSIIHQVYGQSMAEHDILHFGEEGGVLFPLHEGCQGDDDEDELTGPDQEILVTTRDYVTGSSSPVLGNKDVFRLMKDDPTLEDEEAEFYIINNDPSHEGM
+>sp|P49914|MTHFS_HUMAN 5-formyltetrahydrofolate cyclo-ligase OS=Homo sapiens OX=9606 GN=MTHFS PE=1 SV=2
+MAAAAVSSAKRSLRGELKQRLRAMSAEERLRQSRVLSQKVIAHSEYQKSKRISIFLSMQDEIETEEIIKDIFQRGKICFIPRYRFQSNHMDMVRIESPEEISLLPKTSWNIPQPGEGDVREEALSTGGLDLIFMPGLGFDKHGNRLGRGKGYYDAYLKRCLQHQEVKPYTLALAFKEQICLQVPVNENDMKVDEVLYEDSSTA
+>DECOY_sp|P49914|MTHFS_HUMAN 5-formyltetrahydrofolate cyclo-ligase OS=Homo sapiens OX=9606 GN=MTHFS PE=1 SV=2
+ATSSDEYLVEDVKMDNENVPVQLCIQEKFALALTYPKVEQHQLCRKLYADYYGKGRGLRNGHKDFGLGPMFILDLGGTSLAEERVDGEGPQPINWSTKPLLSIEEPSEIRVMDMHNSQFRYRPIFCIKGRQFIDKIIEETEIEDQMSLFISIRKSKQYESHAIVKQSLVRSQRLREEASMARLRQKLEGRLSRKASSVAAAAM
+>sp|P42345|MTOR_HUMAN Serine/threonine-protein kinase mTOR OS=Homo sapiens OX=9606 GN=MTOR PE=1 SV=1
+MLGTGPAAATTAATTSSNVSVLQQFASGLKSRNEETRAKAAKELQHYVTMELREMSQEESTRFYDQLNHHIFELVSSSDANERKGGILAIASLIGVEGGNATRIGRFANYLRNLLPSNDPVVMEMASKAIGRLAMAGDTFTAEYVEFEVKRALEWLGADRNEGRRHAAVLVLRELAISVPTFFFQQVQPFFDNIFVAVWDPKQAIREGAVAALRACLILTTQREPKEMQKPQWYRHTFEEAEKGFDETLAKEKGMNRDDRIHGALLILNELVRISSMEGERLREEMEEITQQQLVHDKYCKDLMGFGTKPRHITPFTSFQAVQPQQSNALVGLLGYSSHQGLMGFGTSPSPAKSTLVESRCCRDLMEEKFDQVCQWVLKCRNSKNSLIQMTILNLLPRLAAFRPSAFTDTQYLQDTMNHVLSCVKKEKERTAAFQALGLLSVAVRSEFKVYLPRVLDIIRAALPPKDFAHKRQKAMQVDATVFTCISMLARAMGPGIQQDIKELLEPMLAVGLSPALTAVLYDLSRQIPQLKKDIQDGLLKMLSLVLMHKPLRHPGMPKGLAHQLASPGLTTLPEASDVGSITLALRTLGSFEFEGHSLTQFVRHCADHFLNSEHKEIRMEAARTCSRLLTPSIHLISGHAHVVSQTAVQVVADVLSKLLVVGITDPDPDIRYCVLASLDERFDAHLAQAENLQALFVALNDQVFEIRELAICTVGRLSSMNPAFVMPFLRKMLIQILTELEHSGIGRIKEQSARMLGHLVSNAPRLIRPYMEPILKALILKLKDPDPDPNPGVINNVLATIGELAQVSGLEMRKWVDELFIIIMDMLQDSSLLAKRQVALWTLGQLVASTGYVVEPYRKYPTLLEVLLNFLKTEQNQGTRREAIRVLGLLGALDPYKHKVNIGMIDQSRDASAVSLSESKSSQDSSDYSTSEMLVNMGNLPLDEFYPAVSMVALMRIFRDQSLSHHHTMVVQAITFIFKSLGLKCVQFLPQVMPTFLNVIRVCDGAIREFLFQQLGMLVSFVKSHIRPYMDEIVTLMREFWVMNTSIQSTIILLIEQIVVALGGEFKLYLPQLIPHMLRVFMHDNSPGRIVSIKLLAAIQLFGANLDDYLHLLLPPIVKLFDAPEAPLPSRKAALETVDRLTESLDFTDYASRIIHPIVRTLDQSPELRSTAMDTLSSLVFQLGKKYQIFIPMVNKVLVRHRINHQRYDVLICRIVKGYTLADEEEDPLIYQHRMLRSGQGDALASGPVETGPMKKLHVSTINLQKAWGAARRVSKDDWLEWLRRLSLELLKDSSSPSLRSCWALAQAYNPMARDLFNAAFVSCWSELNEDQQDELIRSIELALTSQDIAEVTQTLLNLAEFMEHSDKGPLPLRDDNGIVLLGERAAKCRAYAKALHYKELEFQKGPTPAILESLISINNKLQQPEAAAGVLEYAMKHFGELEIQATWYEKLHEWEDALVAYDKKMDTNKDDPELMLGRMRCLEALGEWGQLHQQCCEKWTLVNDETQAKMARMAAAAAWGLGQWDSMEEYTCMIPRDTHDGAFYRAVLALHQDLFSLAQQCIDKARDLLDAELTAMAGESYSRAYGAMVSCHMLSELEEVIQYKLVPERREIIRQIWWERLQGCQRIVEDWQKILMVRSLVVSPHEDMRTWLKYASLCGKSGRLALAHKTLVLLLGVDPSRQLDHPLPTVHPQVTYAYMKNMWKSARKIDAFQHMQHFVQTMQQQAQHAIATEDQQHKQELHKLMARCFLKLGEWQLNLQGINESTIPKVLQYYSAATEHDRSWYKAWHAWAVMNFEAVLHYKHQNQARDEKKKLRHASGANITNATTAATTAATATTTASTEGSNSESEAESTENSPTPSPLQKKVTEDLSKTLLMYTVPAVQGFFRSISLSRGNNLQDTLRVLTLWFDYGHWPDVNEALVEGVKAIQIDTWLQVIPQLIARIDTPRPLVGRLIHQLLTDIGRYHPQALIYPLTVASKSTTTARHNAANKILKNMCEHSNTLVQQAMMVSEELIRVAILWHEMWHEGLEEASRLYFGERNVKGMFEVLEPLHAMMERGPQTLKETSFNQAYGRDLMEAQEWCRKYMKSGNVKDLTQAWDLYYHVFRRISKQLPQLTSLELQYVSPKLLMCRDLELAVPGTYDPNQPIIRIQSIAPSLQVITSKQRPRKLTLMGSNGHEFVFLLKGHEDLRQDERVMQLFGLVNTLLANDPTSLRKNLSIQRYAVIPLSTNSGLIGWVPHCDTLHALIRDYREKKKILLNIEHRIMLRMAPDYDHLTLMQKVEVFEHAVNNTAGDDLAKLLWLKSPSSEVWFDRRTNYTRSLAVMSMVGYILGLGDRHPSNLMLDRLSGKILHIDFGDCFEVAMTREKFPEKIPFRLTRMLTNAMEVTGLDGNYRITCHTVMEVLREHKDSVMAVLEAFVYDPLLNWRLMDTNTKGNKRSRTRTDSYSAGQSVEILDGVELGEPAHKKTGTTVPESIHSFIGDGLVKPEALNKKAIQIINRVRDKLTGRDFSHDDTLDVPTQVELLIKQATSHENLCQCYIGWCPFW
+>DECOY_sp|P42345|MTOR_HUMAN Serine/threonine-protein kinase mTOR OS=Homo sapiens OX=9606 GN=MTOR PE=1 SV=1
+WFPCWGIYCQCLNEHSTAQKILLEVQTPVDLTDDHSFDRGTLKDRVRNIIQIAKKNLAEPKVLGDGIFSHISEPVTTGTKKHAPEGLEVGDLIEVSQGASYSDTRTRSRKNGKTNTDMLRWNLLPDYVFAELVAMVSDKHERLVEMVTHCTIRYNGDLGTVEMANTLMRTLRFPIKEPFKERTMAVEFCDGFDIHLIKGSLRDLMLNSPHRDGLGLIYGVMSMVALSRTYNTRRDFWVESSPSKLWLLKALDDGATNNVAHEFVEVKQMLTLHDYDPAMRLMIRHEINLLIKKKERYDRILAHLTDCHPVWGILGSNTSLPIVAYRQISLNKRLSTPDNALLTNVLGFLQMVREDQRLDEHGKLLFVFEHGNSGMLTLKRPRQKSTIVQLSPAISQIRIIPQNPDYTGPVALELDRCMLLKPSVYQLELSTLQPLQKSIRRFVHYYLDWAQTLDKVNGSKMYKRCWEQAEMLDRGYAQNFSTEKLTQPGREMMAHLPELVEFMGKVNREGFYLRSAEELGEHWMEHWLIAVRILEESVMMAQQVLTNSHECMNKLIKNAANHRATTTSKSAVTLPYILAQPHYRGIDTLLQHILRGVLPRPTDIRAILQPIVQLWTDIQIAKVGEVLAENVDPWHGYDFWLTLVRLTDQLNNGRSLSISRFFGQVAPVTYMLLTKSLDETVKKQLPSPTPSNETSEAESESNSGETSATTTATAATTAATTANTINAGSAHRLKKKEDRAQNQHKYHLVAEFNMVAWAHWAKYWSRDHETAASYYQLVKPITSENIGQLNLQWEGLKLFCRAMLKHLEQKHQQDETAIAHQAQQQMTQVFHQMHQFADIKRASKWMNKMYAYTVQPHVTPLPHDLQRSPDVGLLLVLTKHALALRGSKGCLSAYKLWTRMDEHPSVVLSRVMLIKQWDEVIRQCGQLREWWIQRIIERREPVLKYQIVEELESLMHCSVMAGYARSYSEGAMATLEADLLDRAKDICQQALSFLDQHLALVARYFAGDHTDRPIMCTYEEMSDWQGLGWAAAAAMRAMKAQTEDNVLTWKECCQQHLQGWEGLAELCRMRGLMLEPDDKNTDMKKDYAVLADEWEHLKEYWTAQIELEGFHKMAYELVGAAAEPQQLKNNISILSELIAPTPGKQFELEKYHLAKAYARCKAAREGLLVIGNDDRLPLPGKDSHEMFEALNLLTQTVEAIDQSTLALEISRILEDQQDENLESWCSVFAANFLDRAMPNYAQALAWCSRLSPSSSDKLLELSLRRLWELWDDKSVRRAAGWAKQLNITSVHLKKMPGTEVPGSALADGQGSRLMRHQYILPDEEEDALTYGKVIRCILVDYRQHNIRHRVLVKNVMPIFIQYKKGLQFVLSSLTDMATSRLEPSQDLTRVIPHIIRSAYDTFDLSETLRDVTELAAKRSPLPAEPADFLKVIPPLLLHLYDDLNAGFLQIAALLKISVIRGPSNDHMFVRLMHPILQPLYLKFEGGLAVVIQEILLIITSQISTNMVWFERMLTVIEDMYPRIHSKVFSVLMGLQQFLFERIAGDCVRIVNLFTPMVQPLFQVCKLGLSKFIFTIAQVVMTHHHSLSQDRFIRMLAVMSVAPYFEDLPLNGMNVLMESTSYDSSDQSSKSESLSVASADRSQDIMGINVKHKYPDLAGLLGLVRIAERRTGQNQETKLFNLLVELLTPYKRYPEVVYGTSAVLQGLTWLAVQRKALLSSDQLMDMIIIFLEDVWKRMELGSVQALEGITALVNNIVGPNPDPDPDKLKLILAKLIPEMYPRILRPANSVLHGLMRASQEKIRGIGSHELETLIQILMKRLFPMVFAPNMSSLRGVTCIALERIEFVQDNLAVFLAQLNEAQALHADFREDLSALVCYRIDPDPDTIGVVLLKSLVDAVVQVATQSVVHAHGSILHISPTLLRSCTRAAEMRIEKHESNLFHDACHRVFQTLSHGEFEFSGLTRLALTISGVDSAEPLTTLGPSALQHALGKPMGPHRLPKHMLVLSLMKLLGDQIDKKLQPIQRSLDYLVATLAPSLGVALMPELLEKIDQQIGPGMARALMSICTFVTADVQMAKQRKHAFDKPPLAARIIDLVRPLYVKFESRVAVSLLGLAQFAATREKEKKVCSLVHNMTDQLYQTDTFASPRFAALRPLLNLITMQILSNKSNRCKLVWQCVQDFKEEMLDRCCRSEVLTSKAPSPSTGFGMLGQHSSYGLLGVLANSQQPQVAQFSTFPTIHRPKTGFGMLDKCYKDHVLQQQTIEEMEERLREGEMSSIRVLENLILLAGHIRDDRNMGKEKALTEDFGKEAEEFTHRYWQPKQMEKPERQTTLILCARLAAVAGERIAQKPDWVAVFINDFFPQVQQFFFTPVSIALERLVLVAAHRRGENRDAGLWELARKVEFEVYEATFTDGAMALRGIAKSAMEMVVPDNSPLLNRLYNAFRGIRTANGGEVGILSAIALIGGKRENADSSSVLEFIHHNLQDYFRTSEEQSMERLEMTVYHQLEKAAKARTEENRSKLGSAFQQLVSVNSSTTAATTAAAPGTGLM
+>sp|P55157|MTP_HUMAN Microsomal triglyceride transfer protein large subunit OS=Homo sapiens OX=9606 GN=MTTP PE=1 SV=1
+MILLAVLFLCFISSYSASVKGHTTGLSLNNDRLYKLTYSTEVLLDRGKGKLQDSVGYRISSNVDVALLWRNPDGDDDQLIQITMKDVNVENVNQQRGEKSIFKGKSPSKIMGKENLEALQRPTLLHLIHGKVKEFYSYQNEAVAIENIKRGLASLFQTQLSSGTTNEVDISGNCKVTYQAHQDKVIKIKALDSCKIARSGFTTPNQVLGVSSKATSVTTYKIEDSFVIAVLAEETHNFGLNFLQTIKGKIVSKQKLELKTTEAGPRLMSGKQAAAIIKAVDSKYTAIPIVGQVFQSHCKGCPSLSELWRSTRKYLQPDNLSKAEAVRNFLAFIQHLRTAKKEEILQILKMENKEVLPQLVDAVTSAQTSDSLEAILDFLDFKSDSSIILQERFLYACGFASHPNEELLRALISKFKGSIGSSDIRETVMIITGTLVRKLCQNEGCKLKAVVEAKKLILGGLEKAEKKEDTRMYLLALKNALLPEGIPSLLKYAEAGEGPISHLATTALQRYDLPFITDEVKKTLNRIYHQNRKVHEKTVRTAAAAIILNNNPSYMDVKNILLSIGELPQEMNKYMLAIVQDILRFEMPASKIVRRVLKEMVAHNYDRFSRSGSSSAYTGYIERSPRSASTYSLDILYSGSGILRRSNLNIFQYIGKAGLHGSQVVIEAQGLEALIAATPDEGEENLDSYAGMSAILFDVQLRPVTFFNGYSDLMSKMLSASGDPISVVKGLILLIDHSQELQLQSGLKANIEVQGGLAIDISGAMEFSLWYRESKTRVKNRVTVVITTDITVDSSFVKAGLETSTETEAGLEFISTVQFSQYPFLVCMQMDKDEAPFRQFEKKYERLSTGRGYVSQKRKESVLAGCEFPLHQENSEMCKVVFAPQPDSTSSGWF
+>DECOY_sp|P55157|MTP_HUMAN Microsomal triglyceride transfer protein large subunit OS=Homo sapiens OX=9606 GN=MTTP PE=1 SV=1
+FWGSSTSDPQPAFVVKCMESNEQHLPFECGALVSEKRKQSVYGRGTSLREYKKEFQRFPAEDKDMQMCVLFPYQSFQVTSIFELGAETETSTELGAKVFSSDVTIDTTIVVTVRNKVRTKSERYWLSFEMAGSIDIALGGQVEINAKLGSQLQLEQSHDILLILGKVVSIPDGSASLMKSMLDSYGNFFTVPRLQVDFLIASMGAYSDLNEEGEDPTAAILAELGQAEIVVQSGHLGAKGIYQFINLNSRRLIGSGSYLIDLSYTSASRPSREIYGTYASSSGSRSFRDYNHAVMEKLVRRVIKSAPMEFRLIDQVIALMYKNMEQPLEGISLLINKVDMYSPNNNLIIAAAATRVTKEHVKRNQHYIRNLTKKVEDTIFPLDYRQLATTALHSIPGEGAEAYKLLSPIGEPLLANKLALLYMRTDEKKEAKELGGLILKKAEVVAKLKCGENQCLKRVLTGTIIMVTERIDSSGISGKFKSILARLLEENPHSAFGCAYLFREQLIISSDSKFDLFDLIAELSDSTQASTVADVLQPLVEKNEMKLIQLIEEKKATRLHQIFALFNRVAEAKSLNDPQLYKRTSRWLESLSPCGKCHSQFVQGVIPIATYKSDVAKIIAAAQKGSMLRPGAETTKLELKQKSVIKGKITQLFNLGFNHTEEALVAIVFSDEIKYTTVSTAKSSVGLVQNPTTFGSRAIKCSDLAKIKIVKDQHAQYTVKCNGSIDVENTTGSSLQTQFLSALGRKINEIAVAENQYSYFEKVKGHILHLLTPRQLAELNEKGMIKSPSKGKFISKEGRQQNVNEVNVDKMTIQILQDDDGDPNRWLLAVDVNSSIRYGVSDQLKGKGRDLLVETSYTLKYLRDNNLSLGTTHGKVSASYSSIFCLFLVALLIM
+>sp|O75648|MTU1_HUMAN Mitochondrial tRNA-specific 2-thiouridylase 1 OS=Homo sapiens OX=9606 GN=TRMU PE=1 SV=2
+MQALRHVVCALSGGVDSAVAALLLRRRGYQVTGVFMKNWDSLDEHGVCTADKDCEDAYRVCQILDIPFHQVSYVKEYWNDVFSDFLNEYEKGRTPNPDIVCNKHIKFSCFFHYAVDNLGADAIATGHYARTSLEDEEVFEQKHVKKPEGLFRNRFEVRNAVKLLQAADSFKDQTFFLSQVSQDALRRTIFPLGGLTKEFVKKIAAENRLHHVLQKKESMGMCFIGKRNFEHFLLQYLQPRPGHFISIEDNKVLGTHKGWFLYTLGQRANIGGLREPWYVVEKDSVKGDVFVAPRTDHPALYRDLLRTSRVHWIAEEPPAALVRDKMMECHFRFRHQMALVPCVLTLNQDGTVWVTAVQAVRALATGQFAVFYKGDECLGSGKILRLGPSAYTLQKGQRRAGMATESPSDSPEDGPGLSPLL
+>DECOY_sp|O75648|MTU1_HUMAN Mitochondrial tRNA-specific 2-thiouridylase 1 OS=Homo sapiens OX=9606 GN=TRMU PE=1 SV=2
+LLPSLGPGDEPSDSPSETAMGARRQGKQLTYASPGLRLIKGSGLCEDGKYFVAFQGTALARVAQVATVWVTGDQNLTLVCPVLAMQHRFRFHCEMMKDRVLAAPPEEAIWHVRSTRLLDRYLAPHDTRPAVFVDGKVSDKEVVYWPERLGGINARQGLTYLFWGKHTGLVKNDEISIFHGPRPQLYQLLFHEFNRKGIFCMGMSEKKQLVHHLRNEAAIKKVFEKTLGGLPFITRRLADQSVQSLFFTQDKFSDAAQLLKVANRVEFRNRFLGEPKKVHKQEFVEEDELSTRAYHGTAIADAGLNDVAYHFFCSFKIHKNCVIDPNPTRGKEYENLFDSFVDNWYEKVYSVQHFPIDLIQCVRYADECDKDATCVGHEDLSDWNKMFVGTVQYGRRRLLLAAVASDVGGSLACVVHRLAQM
+>sp|Q9H3R2|MUC13_HUMAN Mucin-13 OS=Homo sapiens OX=9606 GN=MUC13 PE=1 SV=3
+MKAIIHLTLLALLSVNTATNQGNSADAVTTTETATSGPTVAAADTTETNFPETASTTANTPSFPTATSPAPPIISTHSSSTIPTPAPPIISTHSSSTIPIPTAADSESTTNVNSLATSDIITASSPNDGLITMVPSETQSNNEMSPTTEDNQSSGPPTGTALLETSTLNSTGPSNPCQDDPCADNSLCVKLHNTSFCLCLEGYYYNSSTCKKGKVFPGKISVTVSETFDPEEKHSMAYQDLHSEITSLFKDVFGTSVYGQTVILTVSTSLSPRSEMRADDKFVNVTIVTILAETTSDNEKTVTEKINKAIRSSSSNFLNYDLTLRCDYYGCNQTADDCLNGLACDCKSDLQRPNPQSPFCVASSLKCPDACNAQHKQCLIKKSGGAPECACVPGYQEDANGNCQKCAFGYSGLDCKDKFQLILTIVGTIAGIVILSMIIALIVTARSNNKTKHIEEENLIDEDFQNLKLRSTGFTNLGAEGSVFPKVRITASRDSQMQNPYSRHSSMPRPDY
+>DECOY_sp|Q9H3R2|MUC13_HUMAN Mucin-13 OS=Homo sapiens OX=9606 GN=MUC13 PE=1 SV=3
+YDPRPMSSHRSYPNQMQSDRSATIRVKPFVSGEAGLNTFGTSRLKLNQFDEDILNEEEIHKTKNNSRATVILAIIMSLIVIGAITGVITLILQFKDKCDLGSYGFACKQCNGNADEQYGPVCACEPAGGSKKILCQKHQANCADPCKLSSAVCFPSQPNPRQLDSKCDCALGNLCDDATQNCGYYDCRLTLDYNLFNSSSSRIAKNIKETVTKENDSTTEALITVITVNVFKDDARMESRPSLSTSVTLIVTQGYVSTGFVDKFLSTIESHLDQYAMSHKEEPDFTESVTVSIKGPFVKGKKCTSSNYYYGELCLCFSTNHLKVCLSNDACPDDQCPNSPGTSNLTSTELLATGTPPGSSQNDETTPSMENNSQTESPVMTILGDNPSSATIIDSTALSNVNTTSESDAATPIPITSSSHTSIIPPAPTPITSSSHTSIIPPAPSTATPFSPTNATTSATEPFNTETTDAAAVTPGSTATETTTVADASNGQNTATNVSLLALLTLHIIAKM
+>sp|Q8WXI7|MUC16_HUMAN Mucin-16 OS=Homo sapiens OX=9606 GN=MUC16 PE=1 SV=3
+MLKPSGLPGSSSPTRSLMTGSRSTKATPEMDSGLTGATLSPKTSTGAIVVTEHTLPFTSPDKTLASPTSSVVGRTTQSLGVMSSALPESTSRGMTHSEQRTSPSLSPQVNGTPSRNYPATSMVSGLSSPRTRTSSTEGNFTKEASTYTLTVETTSGPVTEKYTVPTETSTTEGDSTETPWDTRYIPVKITSPMKTFADSTASKENAPVSMTPAETTVTDSHTPGRTNPSFGTLYSSFLDLSPKGTPNSRGETSLELILSTTGYPFSSPEPGSAGHSRISTSAPLSSSASVLDNKISETSIFSGQSLTSPLSPGVPEARASTMPNSAIPFSMTLSNAETSAERVRSTISSLGTPSISTKQTAETILTFHAFAETMDIPSTHIAKTLASEWLGSPGTLGGTSTSALTTTSPSTTLVSEETNTHHSTSGKETEGTLNTSMTPLETSAPGEESEMTATLVPTLGFTTLDSKIRSPSQVSSSHPTRELRTTGSTSGRQSSSTAAHGSSDILRATTSSTSKASSWTSESTAQQFSEPQHTQWVETSPSMKTERPPASTSVAAPITTSVPSVVSGFTTLKTSSTKGIWLEETSADTLIGESTAGPTTHQFAVPTGISMTGGSSTRGSQGTTHLLTRATASSETSADLTLATNGVPVSVSPAVSKTAAGSSPPGGTKPSYTMVSSVIPETSSLQSSAFREGTSLGLTPLNTRHPFSSPEPDSAGHTKISTSIPLLSSASVLEDKVSATSTFSHHKATSSITTGTPEISTKTKPSSAVLSSMTLSNAATSPERVRNATSPLTHPSPSGEETAGSVLTLSTSAETTDSPNIHPTGTLTSESSESPSTLSLPSVSGVKTTFSSSTPSTHLFTSGEETEETSNPSVSQPETSVSRVRTTLASTSVPTPVFPTMDTWPTRSAQFSSSHLVSELRATSSTSVTNSTGSALPKISHLTGTATMSQTNRDTFNDSAAPQSTTWPETSPRFKTGLPSATTTVSTSATSLSATVMVSKFTSPATSSMEATSIREPSTTILTTETTNGPGSMAVASTNIPIGKGYITEGRLDTSHLPIGTTASSETSMDFTMAKESVSMSVSPSQSMDAAGSSTPGRTSQFVDTFSDDVYHLTSREITIPRDGTSSALTPQMTATHPPSPDPGSARSTWLGILSSSPSSPTPKVTMSSTFSTQRVTTSMIMDTVETSRWNMPNLPSTTSLTPSNIPTSGAIGKSTLVPLDTPSPATSLEASEGGLPTLSTYPESTNTPSIHLGAHASSESPSTIKLTMASVVKPGSYTPLTFPSIETHIHVSTARMAYSSGSSPEMTAPGETNTGSTWDPTTYITTTDPKDTSSAQVSTPHSVRTLRTTENHPKTESATPAAYSGSPKISSSPNLTSPATKAWTITDTTEHSTQLHYTKLAEKSSGFETQSAPGPVSVVIPTSPTIGSSTLELTSDVPGEPLVLAPSEQTTITLPMATWLSTSLTEEMASTDLDISSPSSPMSTFAIFPPMSTPSHELSKSEADTSAIRNTDSTTLDQHLGIRSLGRTGDLTTVPITPLTTTWTSVIEHSTQAQDTLSATMSPTHVTQSLKDQTSIPASASPSHLTEVYPELGTQGRSSSEATTFWKPSTDTLSREIETGPTNIQSTPPMDNTTTGSSSSGVTLGIAHLPIGTSSPAETSTNMALERRSSTATVSMAGTMGLLVTSAPGRSISQSLGRVSSVLSESTTEGVTDSSKGSSPRLNTQGNTALSSSLEPSYAEGSQMSTSIPLTSSPTTPDVEFIGGSTFWTKEVTTVMTSDISKSSARTESSSATLMSTALGSTENTGKEKLRTASMDLPSPTPSMEVTPWISLTLSNAPNTTDSLDLSHGVHTSSAGTLATDRSLNTGVTRASRLENGSDTSSKSLSMGNSTHTSMTYTEKSEVSSSIHPRPETSAPGAETTLTSTPGNRAISLTLPFSSIPVEEVISTGITSGPDINSAPMTHSPITPPTIVWTSTGTIEQSTQPLHAVSSEKVSVQTQSTPYVNSVAVSASPTHENSVSSGSSTSSPYSSASLESLDSTISRRNAITSWLWDLTTSLPTTTWPSTSLSEALSSGHSGVSNPSSTTTEFPLFSAASTSAAKQRNPETETHGPQNTAASTLNTDASSVTGLSETPVGASISSEVPLPMAITSRSDVSGLTSESTANPSLGTASSAGTKLTRTISLPTSESLVSFRMNKDPWTVSIPLGSHPTTNTETSIPVNSAGPPGLSTVASDVIDTPSDGAESIPTVSFSPSPDTEVTTISHFPEKTTHSFRTISSLTHELTSRVTPIPGDWMSSAMSTKPTGASPSITLGERRTITSAAPTTSPIVLTASFTETSTVSLDNETTVKTSDILDARKTNELPSDSSSSSDLINTSIASSTMDVTKTASISPTSISGMTASSSPSLFSSDRPQVPTSTTETNTATSPSVSSNTYSLDGGSNVGGTPSTLPPFTITHPVETSSALLAWSRPVRTFSTMVSTDTASGENPTSSNSVVTSVPAPGTWTSVGSTTDLPAMGFLKTSPAGEAHSLLASTIEPATAFTPHLSAAVVTGSSATSEASLLTTSESKAIHSSPQTPTTPTSGANWETSATPESLLVVTETSDTTLTSKILVTDTILFSTVSTPPSKFPSTGTLSGASFPTLLPDTPAIPLTATEPTSSLATSFDSTPLVTIASDSLGTVPETTLTMSETSNGDALVLKTVSNPDRSIPGITIQGVTESPLHPSSTSPSKIVAPRNTTYEGSITVALSTLPAGTTGSLVFSQSSENSETTALVDSSAGLERASVMPLTTGSQGMASSGGIRSGSTHSTGTKTFSSLPLTMNPGEVTAMSEITTNRLTATQSTAPKGIPVKPTSAESGLLTPVSASSSPSKAFASLTTAPPTWGIPQSTLTFEFSEVPSLDTKSASLPTPGQSLNTIPDSDASTASSSLSKSPEKNPRARMMTSTKAISASSFQSTGFTETPEGSASPSMAGHEPRVPTSGTGDPRYASESMSYPDPSKASSAMTSTSLASKLTTLFSTGQAARSGSSSSPISLSTEKETSFLSPTASTSRKTSLFLGPSMARQPNILVHLQTSALTLSPTSTLNMSQEEPPELTSSQTIAEEEGTTAETQTLTFTPSETPTSLLPVSSPTEPTARRKSSPETWASSISVPAKTSLVETTDGTLVTTIKMSSQAAQGNSTWPAPAEETGSSPAGTSPGSPEMSTTLKIMSSKEPSISPEIRSTVRNSPWKTPETTVPMETTVEPVTLQSTALGSGSTSISHLPTGTTSPTKSPTENMLATERVSLSPSPPEAWTNLYSGTPGGTRQSLATMSSVSLESPTARSITGTGQQSSPELVSKTTGMEFSMWHGSTGGTTGDTHVSLSTSSNILEDPVTSPNSVSSLTDKSKHKTETWVSTTAIPSTVLNNKIMAAEQQTSRSVDEAYSSTSSWSDQTSGSDITLGASPDVTNTLYITSTAQTTSLVSLPSGDQGITSLTNPSGGKTSSASSVTSPSIGLETLRANVSAVKSDIAPTAGHLSQTSSPAEVSILDVTTAPTPGISTTITTMGTNSISTTTPNPEVGMSTMDSTPATERRTTSTEHPSTWSSTAASDSWTVTDMTSNLKVARSPGTISTMHTTSFLASSTELDSMSTPHGRITVIGTSLVTPSSDASAVKTETSTSERTLSPSDTTASTPISTFSRVQRMSISVPDILSTSWTPSSTEAEDVPVSMVSTDHASTKTDPNTPLSTFLFDSLSTLDWDTGRSLSSATATTSAPQGATTPQELTLETMISPATSQLPFSIGHITSAVTPAAMARSSGVTFSRPDPTSKKAEQTSTQLPTTTSAHPGQVPRSAATTLDVIPHTAKTPDATFQRQGQTALTTEARATSDSWNEKEKSTPSAPWITEMMNSVSEDTIKEVTSSSSVLRTLNTLDINLESGTTSSPSWKSSPYERIAPSESTTDKEAIHPSTNTVETTGWVTSSEHASHSTIPAHSASSKLTSPVVTTSTREQAIVSMSTTTWPESTRARTEPNSFLTIELRDVSPYMDTSSTTQTSIISSPGSTAITKGPRTEITSSKRISSSFLAQSMRSSDSPSEAITRLSNFPAMTESGGMILAMQTSPPGATSLSAPTLDTSATASWTGTPLATTQRFTYSEKTTLFSKGPEDTSQPSPPSVEETSSSSSLVPIHATTSPSNILLTSQGHSPSSTPPVTSVFLSETSGLGKTTDMSRISLEPGTSLPPNLSSTAGEALSTYEASRDTKAIHHSADTAVTNMEATSSEYSPIPGHTKPSKATSPLVTSHIMGDITSSTSVFGSSETTEIETVSSVNQGLQERSTSQVASSATETSTVITHVSSGDATTHVTKTQATFSSGTSISSPHQFITSTNTFTDVSTNPSTSLIMTESSGVTITTQTGPTGAATQGPYLLDTSTMPYLTETPLAVTPDFMQSEKTTLISKGPKDVSWTSPPSVAETSYPSSLTPFLVTTIPPATSTLQGQHTSSPVSATSVLTSGLVKTTDMLNTSMEPVTNSPQNLNNPSNEILATLAATTDIETIHPSINKAVTNMGTASSAHVLHSTLPVSSEPSTATSPMVPASSMGDALASISIPGSETTDIEGEPTSSLTAGRKENSTLQEMNSTTESNIILSNVSVGAITEATKMEVPSFDATFIPTPAQSTKFPDIFSVASSRLSNSPPMTISTHMTTTQTGSSGATSKIPLALDTSTLETSAGTPSVVTEGFAHSKITTAMNNDVKDVSQTNPPFQDEASSPSSQAPVLVTTLPSSVAFTPQWHSTSSPVSMSSVLTSSLVKTAGKVDTSLETVTSSPQSMSNTLDDISVTSAATTDIETTHPSINTVVTNVGTTGSAFESHSTVSAYPEPSKVTSPNVTTSTMEDTTISRSIPKSSKTTRTETETTSSLTPKLRETSISQEITSSTETSTVPYKELTGATTEVSRTDVTSSSSTSFPGPDQSTVSLDISTETNTRLSTSPIMTESAEITITTQTGPHGATSQDTFTMDPSNTTPQAGIHSAMTHGFSQLDVTTLMSRIPQDVSWTSPPSVDKTSSPSSFLSSPAMTTPSLISSTLPEDKLSSPMTSLLTSGLVKITDILRTRLEPVTSSLPNFSSTSDKILATSKDSKDTKEIFPSINTEETNVKANNSGHESHSPALADSETPKATTQMVITTTVGDPAPSTSMPVHGSSETTNIKREPTYFLTPRLRETSTSQESSFPTDTSFLLSKVPTGTITEVSSTGVNSSSKISTPDHDKSTVPPDTFTGEIPRVFTSSIKTKSAEMTITTQASPPESASHSTLPLDTSTTLSQGGTHSTVTQGFPYSEVTTLMGMGPGNVSWMTTPPVEETSSVSSLMSSPAMTSPSPVSSTSPQSIPSSPLPVTALPTSVLVTTTDVLGTTSPESVTSSPPNLSSITHERPATYKDTAHTEAAMHHSTNTAVTNVGTSGSGHKSQSSVLADSETSKATPLMSTTSTLGDTSVSTSTPNISQTNQIQTEPTASLSPRLRESSTSEKTSSTTETNTAFSYVPTGAITQASRTEISSSRTSISDLDRPTIAPDISTGMITRLFTSPIMTKSAEMTVTTQTTTPGATSQGILPWDTSTTLFQGGTHSTVSQGFPHSEITTLRSRTPGDVSWMTTPPVEETSSGFSLMSPSMTSPSPVSSTSPESIPSSPLPVTALLTSVLVTTTNVLGTTSPEPVTSSPPNLSSPTQERLTTYKDTAHTEAMHASMHTNTAVANVGTSISGHESQSSVPADSHTSKATSPMGITFAMGDTSVSTSTPAFFETRIQTESTSSLIPGLRDTRTSEEINTVTETSTVLSEVPTTTTTEVSRTEVITSSRTTISGPDHSKMSPYISTETITRLSTFPFVTGSTEMAITNQTGPIGTISQATLTLDTSSTASWEGTHSPVTQRFPHSEETTTMSRSTKGVSWQSPPSVEETSSPSSPVPLPAITSHSSLYSAVSGSSPTSALPVTSLLTSGRRKTIDMLDTHSELVTSSLPSASSFSGEILTSEASTNTETIHFSENTAETNMGTTNSMHKLHSSVSIHSQPSGHTPPKVTGSMMEDAIVSTSTPGSPETKNVDRDSTSPLTPELKEDSTALVMNSTTESNTVFSSVSLDAATEVSRAEVTYYDPTFMPASAQSTKSPDISPEASSSHSNSPPLTISTHKTIATQTGPSGVTSLGQLTLDTSTIATSAGTPSARTQDFVDSETTSVMNNDLNDVLKTSPFSAEEANSLSSQAPLLVTTSPSPVTSTLQEHSTSSLVSVTSVPTPTLAKITDMDTNLEPVTRSPQNLRNTLATSEATTDTHTMHPSINTAVANVGTTSSPNEFYFTVSPDSDPYKATSAVVITSTSGDSIVSTSMPRSSAMKKIESETTFSLIFRLRETSTSQKIGSSSDTSTVFDKAFTAATTEVSRTELTSSSRTSIQGTEKPTMSPDTSTRSVTMLSTFAGLTKSEERTIATQTGPHRATSQGTLTWDTSITTSQAGTHSAMTHGFSQLDLSTLTSRVPEYISGTSPPSVEKTSSSSSLLSLPAITSPSPVPTTLPESRPSSPVHLTSLPTSGLVKTTDMLASVASLPPNLGSTSHKIPTTSEDIKDTEKMYPSTNIAVTNVGTTTSEKESYSSVPAYSEPPKVTSPMVTSFNIRDTIVSTSMPGSSEITRIEMESTFSLAHGLKGTSTSQDPIVSTEKSAVLHKLTTGATETSRTEVASSRRTSIPGPDHSTESPDISTEVIPSLPISLGITESSNMTIITRTGPPLGSTSQGTFTLDTPTTSSRAGTHSMATQEFPHSEMTTVMNKDPEILSWTIPPSIEKTSFSSSLMPSPAMTSPPVSSTLPKTIHTTPSPMTSLLTPSLVMTTDTLGTSPEPTTSSPPNLSSTSHEILTTDEDTTAIEAMHPSTSTAATNVETTSSGHGSQSSVLADSEKTKATAPMDTTSTMGHTTVSTSMSVSSETTKIKRESTYSLTPGLRETSISQNASFSTDTSIVLSEVPTGTTAEVSRTEVTSSGRTSIPGPSQSTVLPEISTRTMTRLFASPTMTESAEMTIPTQTGPSGSTSQDTLTLDTSTTKSQAKTHSTLTQRFPHSEMTTLMSRGPGDMSWQSSPSLENPSSLPSLLSLPATTSPPPISSTLPVTISSSPLPVTSLLTSSPVTTTDMLHTSPELVTSSPPKLSHTSDERLTTGKDTTNTEAVHPSTNTAASNVEIPSSGHESPSSALADSETSKATSPMFITSTQEDTTVAISTPHFLETSRIQKESISSLSPKLRETGSSVETSSAIETSAVLSEVSIGATTEISRTEVTSSSRTSISGSAESTMLPEISTTRKIIKFPTSPILAESSEMTIKTQTSPPGSTSESTFTLDTSTTPSLVITHSTMTQRLPHSEITTLVSRGAGDVPRPSSLPVEETSPPSSQLSLSAMISPSPVSSTLPASSHSSSASVTSLLTPGQVKTTEVLDASAEPETSSPPSLSSTSVEILATSEVTTDTEKIHPFSNTAVTKVGTSSSGHESPSSVLPDSETTKATSAMGTISIMGDTSVSTLTPALSNTRKIQSEPASSLTTRLRETSTSEETSLATEANTVLSKVSTGATTEVSRTEAISFSRTSMSGPEQSTMSQDISIGTIPRISASSVLTESAKMTITTQTGPSESTLESTLNLNTATTPSWVETHSIVIQGFPHPEMTTSMGRGPGGVSWPSPPFVKETSPPSSPLSLPAVTSPHPVSTTFLAHIPPSPLPVTSLLTSGPATTTDILGTSTEPGTSSSSSLSTTSHERLTTYKDTAHTEAVHPSTNTGGTNVATTSSGYKSQSSVLADSSPMCTTSTMGDTSVLTSTPAFLETRRIQTELASSLTPGLRESSGSEGTSSGTKMSTVLSKVPTGATTEISKEDVTSIPGPAQSTISPDISTRTVSWFSTSPVMTESAEITMNTHTSPLGATTQGTSTLDTSSTTSLTMTHSTISQGFSHSQMSTLMRRGPEDVSWMSPPLLEKTRPSFSLMSSPATTSPSPVSSTLPESISSSPLPVTSLLTSGLAKTTDMLHKSSEPVTNSPANLSSTSVEILATSEVTTDTEKTHPSSNRTVTDVGTSSSGHESTSFVLADSQTSKVTSPMVITSTMEDTSVSTSTPGFFETSRIQTEPTSSLTLGLRKTSSSEGTSLATEMSTVLSGVPTGATAEVSRTEVTSSSRTSISGFAQLTVSPETSTETITRLPTSSIMTESAEMMIKTQTDPPGSTPESTHTVDISTTPNWVETHSTVTQRFSHSEMTTLVSRSPGDMLWPSQSSVEETSSASSLLSLPATTSPSPVSSTLVEDFPSASLPVTSLLNPGLVITTDRMGISREPGTSSTSNLSSTSHERLTTLEDTVDTEDMQPSTHTAVTNVRTSISGHESQSSVLSDSETPKATSPMGTTYTMGETSVSISTSDFFETSRIQIEPTSSLTSGLRETSSSERISSATEGSTVLSEVPSGATTEVSRTEVISSRGTSMSGPDQFTISPDISTEAITRLSTSPIMTESAESAITIETGSPGATSEGTLTLDTSTTTFWSGTHSTASPGFSHSEMTTLMSRTPGDVPWPSLPSVEEASSVSSSLSSPAMTSTSFFSTLPESISSSPHPVTALLTLGPVKTTDMLRTSSEPETSSPPNLSSTSAEILATSEVTKDREKIHPSSNTPVVNVGTVIYKHLSPSSVLADLVTTKPTSPMATTSTLGNTSVSTSTPAFPETMMTQPTSSLTSGLREISTSQETSSATERSASLSGMPTGATTKVSRTEALSLGRTSTPGPAQSTISPEISTETITRISTPLTTTGSAEMTITPKTGHSGASSQGTFTLDTSSRASWPGTHSAATHRSPHSGMTTPMSRGPEDVSWPSRPSVEKTSPPSSLVSLSAVTSPSPLYSTPSESSHSSPLRVTSLFTPVMMKTTDMLDTSLEPVTTSPPSMNITSDESLATSKATMETEAIQLSENTAVTQMGTISARQEFYSSYPGLPEPSKVTSPVVTSSTIKDIVSTTIPASSEITRIEMESTSTLTPTPRETSTSQEIHSATKPSTVPYKALTSATIEDSMTQVMSSSRGPSPDQSTMSQDISTEVITRLSTSPIKTESTEMTITTQTGSPGATSRGTLTLDTSTTFMSGTHSTASQGFSHSQMTALMSRTPGDVPWLSHPSVEEASSASFSLSSPVMTSSSPVSSTLPDSIHSSSLPVTSLLTSGLVKTTELLGTSSEPETSSPPNLSSTSAEILAITEVTTDTEKLEMTNVVTSGYTHESPSSVLADSVTTKATSSMGITYPTGDTNVLTSTPAFSDTSRIQTKSKLSLTPGLMETSISEETSSATEKSTVLSSVPTGATTEVSRTEAISSSRTSIPGPAQSTMSSDTSMETITRISTPLTRKESTDMAITPKTGPSGATSQGTFTLDSSSTASWPGTHSATTQRFPQSVVTTPMSRGPEDVSWPSPLSVEKNSPPSSLVSSSSVTSPSPLYSTPSGSSHSSPVPVTSLFTSIMMKATDMLDASLEPETTSAPNMNITSDESLAASKATTETEAIHVFENTAASHVETTSATEELYSSSPGFSEPTKVISPVVTSSSIRDNMVSTTMPGSSGITRIEIESMSSLTPGLRETRTSQDITSSTETSTVLYKMPSGATPEVSRTEVMPSSRTSIPGPAQSTMSLDISDEVVTRLSTSPIMTESAEITITTQTGYSLATSQVTLPLGTSMTFLSGTHSTMSQGLSHSEMTNLMSRGPESLSWTSPRFVETTRSSSSLTSLPLTTSLSPVSSTLLDSSPSSPLPVTSLILPGLVKTTEVLDTSSEPKTSSSPNLSSTSVEIPATSEIMTDTEKIHPSSNTAVAKVRTSSSVHESHSSVLADSETTITIPSMGITSAVDDTTVFTSNPAFSETRRIPTEPTFSLTPGFRETSTSEETTSITETSAVLYGVPTSATTEVSMTEIMSSNRIHIPDSDQSTMSPDIITEVITRLSSSSMMSESTQMTITTQKSSPGATAQSTLTLATTTAPLARTHSTVPPRFLHSEMTTLMSRSPENPSWKSSLFVEKTSSSSSLLSLPVTTSPSVSSTLPQSIPSSSFSVTSLLTPGMVKTTDTSTEPGTSLSPNLSGTSVEILAASEVTTDTEKIHPSSSMAVTNVGTTSSGHELYSSVSIHSEPSKATYPVGTPSSMAETSISTSMPANFETTGFEAEPFSHLTSGFRKTNMSLDTSSVTPTNTPSSPGSTHLLQSSKTDFTSSAKTSSPDWPPASQYTEIPVDIITPFNASPSITESTGITSFPESRFTMSVTESTHHLSTDLLPSAETISTGTVMPSLSEAMTSFATTGVPRAISGSGSPFSRTESGPGDATLSTIAESLPSSTPVPFSSSTFTTTDSSTIPALHEITSSSATPYRVDTSLGTESSTTEGRLVMVSTLDTSSQPGRTSSSPILDTRMTESVELGTVTSAYQVPSLSTRLTRTDGIMEHITKIPNEAAHRGTIRPVKGPQTSTSPASPKGLHTGGTKRMETTTTALKTTTTALKTTSRATLTTSVYTPTLGTLTPLNASMQMASTIPTEMMITTPYVFPDVPETTSSLATSLGAETSTALPRTTPSVFNRESETTASLVSRSGAERSPVIQTLDVSSSEPDTTASWVIHPAETIPTVSKTTPNFFHSELDTVSSTATSHGADVSSAIPTNISPSELDALTPLVTISGTDTSTTFPTLTKSPHETETRTTWLTHPAETSSTIPRTIPNFSHHESDATPSIATSPGAETSSAIPIMTVSPGAEDLVTSQVTSSGTDRNMTIPTLTLSPGEPKTIASLVTHPEAQTSSAIPTSTISPAVSRLVTSMVTSLAAKTSTTNRALTNSPGEPATTVSLVTHPAQTSPTVPWTTSIFFHSKSDTTPSMTTSHGAESSSAVPTPTVSTEVPGVVTPLVTSSRAVISTTIPILTLSPGEPETTPSMATSHGEEASSAIPTPTVSPGVPGVVTSLVTSSRAVTSTTIPILTFSLGEPETTPSMATSHGTEAGSAVPTVLPEVPGMVTSLVASSRAVTSTTLPTLTLSPGEPETTPSMATSHGAEASSTVPTVSPEVPGVVTSLVTSSSGVNSTSIPTLILSPGELETTPSMATSHGAEASSAVPTPTVSPGVSGVVTPLVTSSRAVTSTTIPILTLSSSEPETTPSMATSHGVEASSAVLTVSPEVPGMVTSLVTSSRAVTSTTIPTLTISSDEPETTTSLVTHSEAKMISAIPTLAVSPTVQGLVTSLVTSSGSETSAFSNLTVASSQPETIDSWVAHPGTEASSVVPTLTVSTGEPFTNISLVTHPAESSSTLPRTTSRFSHSELDTMPSTVTSPEAESSSAISTTISPGIPGVLTSLVTSSGRDISATFPTVPESPHESEATASWVTHPAVTSTTVPRTTPNYSHSEPDTTPSIATSPGAEATSDFPTITVSPDVPDMVTSQVTSSGTDTSITIPTLTLSSGEPETTTSFITYSETHTSSAIPTLPVSPGASKMLTSLVISSGTDSTTTFPTLTETPYEPETTAIQLIHPAETNTMVPRTTPKFSHSKSDTTLPVAITSPGPEASSAVSTTTISPDMSDLVTSLVPSSGTDTSTTFPTLSETPYEPETTATWLTHPAETSTTVSGTIPNFSHRGSDTAPSMVTSPGVDTRSGVPTTTIPPSIPGVVTSQVTSSATDTSTAIPTLTPSPGEPETTASSATHPGTQTGFTVPIRTVPSSEPDTMASWVTHPPQTSTPVSRTTSSFSHSSPDATPVMATSPRTEASSAVLTTISPGAPEMVTSQITSSGAATSTTVPTLTHSPGMPETTALLSTHPRTETSKTFPASTVFPQVSETTASLTIRPGAETSTALPTQTTSSLFTLLVTGTSRVDLSPTASPGVSAKTAPLSTHPGTETSTMIPTSTLSLGLLETTGLLATSSSAETSTSTLTLTVSPAVSGLSSASITTDKPQTVTSWNTETSPSVTSVGPPEFSRTVTGTTMTLIPSEMPTPPKTSHGEGVSPTTILRTTMVEATNLATTGSSPTVAKTTTTFNTLAGSLFTPLTTPGMSTLASESVTSRTSYNHRSWISTTSSYNRRYWTPATSTPVTSTFSPGISTSSIPSSTAATVPFMVPFTLNFTITNLQYEEDMRHPGSRKFNATERELQGLLKPLFRNSSLEYLYSGCRLASLRPEKDSSATAVDAICTHRPDPEDLGLDRERLYWELSNLTNGIQELGPYTLDRNSLYVNGFTHRSSMPTTSTPGTSTVDVGTSGTPSSSPSPTTAGPLLMPFTLNFTITNLQYEEDMRRTGSRKFNTMESVLQGLLKPLFKNTSVGPLYSGCRLTLLRPEKDGAATGVDAICTHRLDPKSPGLNREQLYWELSKLTNDIEELGPYTLDRNSLYVNGFTHQSSVSTTSTPGTSTVDLRTSGTPSSLSSPTIMAAGPLLVPFTLNFTITNLQYGEDMGHPGSRKFNTTERVLQGLLGPIFKNTSVGPLYSGCRLTSLRSEKDGAATGVDAICIHHLDPKSPGLNRERLYWELSQLTNGIKELGPYTLDRNSLYVNGFTHRTSVPTSSTPGTSTVDLGTSGTPFSLPSPATAGPLLVLFTLNFTITNLKYEEDMHRPGSRKFNTTERVLQTLLGPMFKNTSVGLLYSGCRLTLLRSEKDGAATGVDAICTHRLDPKSPGVDREQLYWELSQLTNGIKELGPYTLDRNSLYVNGFTHWIPVPTSSTPGTSTVDLGSGTPSSLPSPTTAGPLLVPFTLNFTITNLKYEEDMHCPGSRKFNTTERVLQSLLGPMFKNTSVGPLYSGCRLTLLRSEKDGAATGVDAICTHRLDPKSPGVDREQLYWELSQLTNGIKELGPYTLDRNSLYVNGFTHQTSAPNTSTPGTSTVDLGTSGTPSSLPSPTSAGPLLVPFTLNFTITNLQYEEDMHHPGSRKFNTTERVLQGLLGPMFKNTSVGLLYSGCRLTLLRPEKNGAATGMDAICSHRLDPKSPGLNREQLYWELSQLTHGIKELGPYTLDRNSLYVNGFTHRSSVAPTSTPGTSTVDLGTSGTPSSLPSPTTAVPLLVPFTLNFTITNLQYGEDMRHPGSRKFNTTERVLQGLLGPLFKNSSVGPLYSGCRLISLRSEKDGAATGVDAICTHHLNPQSPGLDREQLYWQLSQMTNGIKELGPYTLDRNSLYVNGFTHRSSGLTTSTPWTSTVDLGTSGTPSPVPSPTTTGPLLVPFTLNFTITNLQYEENMGHPGSRKFNITESVLQGLLKPLFKSTSVGPLYSGCRLTLLRPEKDGVATRVDAICTHRPDPKIPGLDRQQLYWELSQLTHSITELGPYTLDRDSLYVNGFTQRSSVPTTSTPGTFTVQPETSETPSSLPGPTATGPVLLPFTLNFTITNLQYEEDMRRPGSRKFNTTERVLQGLLMPLFKNTSVSSLYSGCRLTLLRPEKDGAATRVDAVCTHRPDPKSPGLDRERLYWKLSQLTHGITELGPYTLDRHSLYVNGFTHQSSMTTTRTPDTSTMHLATSRTPASLSGPMTASPLLVLFTINFTITNLRYEENMHHPGSRKFNTTERVLQGLLRPVFKNTSVGPLYSGCRLTLLRPKKDGAATKVDAICTYRPDPKSPGLDREQLYWELSQLTHSITELGPYTLDRDSLYVNGFTQRSSVPTTSIPGTPTVDLGTSGTPVSKPGPSAASPLLVLFTLNFTITNLRYEENMQHPGSRKFNTTERVLQGLLRSLFKSTSVGPLYSGCRLTLLRPEKDGTATGVDAICTHHPDPKSPRLDREQLYWELSQLTHNITELGPYALDNDSLFVNGFTHRSSVSTTSTPGTPTVYLGASKTPASIFGPSAASHLLILFTLNFTITNLRYEENMWPGSRKFNTTERVLQGLLRPLFKNTSVGPLYSGCRLTLLRPEKDGEATGVDAICTHRPDPTGPGLDREQLYLELSQLTHSITELGPYTLDRDSLYVNGFTHRSSVPTTSTGVVSEEPFTLNFTINNLRYMADMGQPGSLKFNITDNVMQHLLSPLFQRSSLGARYTGCRVIALRSVKNGAETRVDLLCTYLQPLSGPGLPIKQVFHELSQQTHGITRLGPYSLDKDSLYLNGYNEPGPDEPPTTPKPATTFLPPLSEATTAMGYHLKTLTLNFTISNLQYSPDMGKGSATFNSTEGVLQHLLRPLFQKSSMGPFYLGCQLISLRPEKDGAATGVDTTCTYHPDPVGPGLDIQQLYWELSQLTHGVTQLGFYVLDRDSLFINGYAPQNLSIRGEYQINFHIVNWNLSNPDPTSSEYITLLRDIQDKVTTLYKGSQLHDTFRFCLVTNLTMDSVLVTVKALFSSNLDPSLVEQVFLDKTLNASFHWLGSTYQLVDIHVTEMESSVYQPTSSSSTQHFYLNFTITNLPYSQDKAQPGTTNYQRNKRNIEDALNQLFRNSSIKSYFSDCQVSTFRSVPNRHHTGVDSLCNFSPLARRVDRVAIYEEFLRMTRNGTQLQNFTLDRSSVLVDGYSPNRNEPLTGNSDLPFWAVILIGLAGLLGVITCLICGVLVTTRRRKKEGEYNVQQQCPGYYQSHLDLEDLQ
+>DECOY_sp|Q8WXI7|MUC16_HUMAN Mucin-16 OS=Homo sapiens OX=9606 GN=MUC16 PE=1 SV=3
+QLDELDLHSQYYGPCQQQVNYEGEKKRRRTTVLVGCILCTIVGLLGALGILIVAWFPLDSNGTLPENRNPSYGDVLVSSRDLTFNQLQTGNRTMRLFEEYIAVRDVRRALPSFNCLSDVGTHHRNPVSRFTSVQCDSFYSKISSNRFLQNLADEINRKNRQYNTTGPQAKDQSYPLNTITFNLYFHQTSSSSTPQYVSSEMETVHIDVLQYTSGLWHFSANLTKDLFVQEVLSPDLNSSFLAKVTVLVSDMTLNTVLCFRFTDHLQSGKYLTTVKDQIDRLLTIYESSTPDPNSLNWNVIHFNIQYEGRISLNQPAYGNIFLSDRDLVYFGLQTVGHTLQSLEWYLQQIDLGPGVPDPHYTCTTDVGTAAGDKEPRLSILQCGLYFPGMSSKQFLPRLLHQLVGETSNFTASGKGMDPSYQLNSITFNLTLTKLHYGMATTAESLPPLFTTAPKPTTPPEDPGPENYGNLYLSDKDLSYPGLRTIGHTQQSLEHFVQKIPLGPGSLPQLYTCLLDVRTEAGNKVSRLAIVRCGTYRAGLSSRQFLPSLLHQMVNDTINFKLSGPQGMDAMYRLNNITFNLTFPEESVVGTSTTPVSSRHTFGNVYLSDRDLTYPGLETISHTLQSLELYLQERDLGPGTPDPRHTCIADVGTAEGDKEPRLLTLRCGSYLPGVSTNKFLPRLLGQLVRETTNFKRSGPWMNEEYRLNTITFNLTFLILLHSAASPGFISAPTKSAGLYVTPTGPTSTTSVSSRHTFGNVFLSDNDLAYPGLETINHTLQSLEWYLQERDLRPSKPDPHHTCIADVGTATGDKEPRLLTLRCGSYLPGVSTSKFLSRLLGQLVRETTNFKRSGPHQMNEEYRLNTITFNLTFLVLLPSAASPGPKSVPTGSTGLDVTPTGPISTTPVSSRQTFGNVYLSDRDLTYPGLETISHTLQSLEWYLQERDLGPSKPDPRYTCIADVKTAAGDKKPRLLTLRCGSYLPGVSTNKFVPRLLGQLVRETTNFKRSGPHHMNEEYRLNTITFNITFLVLLPSATMPGSLSAPTRSTALHMTSTDPTRTTTMSSQHTFGNVYLSHRDLTYPGLETIGHTLQSLKWYLRERDLGPSKPDPRHTCVADVRTAAGDKEPRLLTLRCGSYLSSVSTNKFLPMLLGQLVRETTNFKRSGPRRMDEEYQLNTITFNLTFPLLVPGTATPGPLSSPTESTEPQVTFTGPTSTTPVSSRQTFGNVYLSDRDLTYPGLETISHTLQSLEWYLQQRDLGPIKPDPRHTCIADVRTAVGDKEPRLLTLRCGSYLPGVSTSKFLPKLLGQLVSETINFKRSGPHGMNEEYQLNTITFNLTFPVLLPGTTTPSPVPSPTGSTGLDVTSTWPTSTTLGSSRHTFGNVYLSNRDLTYPGLEKIGNTMQSLQWYLQERDLGPSQPNLHHTCIADVGTAAGDKESRLSILRCGSYLPGVSSNKFLPGLLGQLVRETTNFKRSGPHRMDEGYQLNTITFNLTFPVLLPVATTPSPLSSPTGSTGLDVTSTGPTSTPAVSSRHTFGNVYLSNRDLTYPGLEKIGHTLQSLEWYLQERNLGPSKPDLRHSCIADMGTAAGNKEPRLLTLRCGSYLLGVSTNKFMPGLLGQLVRETTNFKRSGPHHMDEEYQLNTITFNLTFPVLLPGASTPSPLSSPTGSTGLDVTSTGPTSTNPASTQHTFGNVYLSNRDLTYPGLEKIGNTLQSLEWYLQERDVGPSKPDLRHTCIADVGTAAGDKESRLLTLRCGSYLPGVSTNKFMPGLLSQLVRETTNFKRSGPCHMDEEYKLNTITFNLTFPVLLPGATTPSPLSSPTGSGLDVTSTGPTSSTPVPIWHTFGNVYLSNRDLTYPGLEKIGNTLQSLEWYLQERDVGPSKPDLRHTCIADVGTAAGDKESRLLTLRCGSYLLGVSTNKFMPGLLTQLVRETTNFKRSGPRHMDEEYKLNTITFNLTFLVLLPGATAPSPLSFPTGSTGLDVTSTGPTSSTPVSTRHTFGNVYLSNRDLTYPGLEKIGNTLQSLEWYLRERNLGPSKPDLHHICIADVGTAAGDKESRLSTLRCGSYLPGVSTNKFIPGLLGQLVRETTNFKRSGPHGMDEGYQLNTITFNLTFPVLLPGAAMITPSSLSSPTGSTRLDVTSTGPTSTTSVSSQHTFGNVYLSNRDLTYPGLEEIDNTLKSLEWYLQERNLGPSKPDLRHTCIADVGTAAGDKEPRLLTLRCGSYLPGVSTNKFLPKLLGQLVSEMTNFKRSGTRRMDEEYQLNTITFNLTFPMLLPGATTPSPSSSPTGSTGVDVTSTGPTSTTPMSSRHTFGNVYLSNRDLTYPGLEQIGNTLNSLEWYLRERDLGLDEPDPRHTCIADVATASSDKEPRLSALRCGSYLYELSSNRFLPKLLGQLERETANFKRSGPHRMDEEYQLNTITFNLTFPVMFPVTAATSSPISSTSIGPSFTSTVPTSTAPTWYRRNYSSTTSIWSRHNYSTRSTVSESALTSMGPTTLPTFLSGALTNFTTTTKAVTPSSGTTALNTAEVMTTRLITTPSVGEGHSTKPPTPMESPILTMTTGTVTRSFEPPGVSTVSPSTETNWSTVTQPKDTTISASSLGSVAPSVTLTLTSTSTEASSSTALLGTTELLGLSLTSTPIMTSTETGPHTSLPATKASVGPSATPSLDVRSTGTVLLTFLSSTTQTPLATSTEAGPRITLSATTESVQPFVTSAPFTKSTETRPHTSLLATTEPMGPSHTLTPVTTSTAAGSSTIQSTVMEPAGPSITTLVASSAETRPSTAMVPTADPSSHSFSSTTRSVPTSTQPPHTVWSAMTDPESSPVTRIPVTFGTQTGPHTASSATTEPEGPSPTLTPIATSTDTASSTVQSTVVGPISPPITTTPVGSRTDVGPSTVMSPATDSGRHSFNPITGSVTTSTEAPHTLWTATTEPEYPTESLTPFTTSTDTGSSPVLSTVLDSMDPSITTTSVASSAEPGPSTIAVPLTTDSKSHSFKPTTRPVMTNTEAPHILQIATTEPEYPTETLTPFTTTSDTGSSIVLSTLMKSAGPSVPLTPIASSTHTESYTIFSTTTEPEGSSLTLTPITISTDTGSSTVQSTVMDPVDPSVTITPFDSTAEAGPSTAISPTTDPESHSYNPTTRPVTTSTVAPHTVWSATAESEHPSEPVTPFTASIDRGSSTVLSTLVGPIGPSITTSIASSSEAEPSTVTSPMTDLESHSFRSTTRPLTSSSEAPHTVLSINTFPEGTSVTLTPVVSSAETGPHAVWSDITEPQSSAVTLNSFASTESGSSTVLSTVLGQVTPSVALTPIASIMKAESHTVLSTTTEPEDSSITLTPITTSTVARSSTVLSTVMGPVEPSVTLVASSAEVGHSTAMSPTTEPESSSLTLIPITTSTVARSSTVLPTVVGSVGPSVTPTPVASSAEAGHSTAMSPTTELEGPSLILTPISTSNVGSSSTVLSTVVGPVEPSVTPVTSSAEAGHSTAMSPTTEPEGPSLTLTPLTTSTVARSSAVLSTVMGPVEPLVTPVASGAETGHSTAMSPTTEPEGLSFTLIPITTSTVARSSTVLSTVVGPVGPSVTPTPIASSAEEGHSTAMSPTTEPEGPSLTLIPITTSIVARSSTVLPTVVGPVETSVTPTPVASSSEAGHSTTMSPTTDSKSHFFISTTWPVTPSTQAPHTVLSVTTAPEGPSNTLARNTTSTKAALSTVMSTVLRSVAPSITSTPIASSTQAEPHTVLSAITKPEGPSLTLTPITMNRDTGSSTVQSTVLDEAGPSVTMIPIASSTEAGPSTAISPTADSEHHSFNPITRPITSSTEAPHTLWTTRTETEHPSKTLTPFTTSTDTGSITVLPTLADLESPSINTPIASSVDAGHSTATSSVTDLESHFFNPTTKSVTPITEAPHIVWSATTDPESSSVDLTQIVPSREAGSRSVLSATTESERNFVSPTTRPLATSTEAGLSTALSSTTEPVDPFVYPTTIMMETPITSAMQMSANLPTLTGLTPTYVSTTLTARSTTKLATTTTKLATTTTEMRKTGGTHLGKPSAPSTSTQPGKVPRITGRHAAENPIKTIHEMIGDTRTLRTSLSPVQYASTVTGLEVSETMRTDLIPSSSTRGPQSSTDLTSVMVLRGETTSSETGLSTDVRYPTASSSTIEHLAPITSSDTTTFTSSSFPVPTSSPLSEAITSLTADGPGSETRSFPSGSGSIARPVGTTAFSTMAESLSPMVTGTSITEASPLLDTSLHHTSETVSMTFRSEPFSTIGTSETISPSANFPTIIDVPIETYQSAPPWDPSSTKASSTFDTKSSQLLHTSGPSSPTNTPTVSSTDLSMNTKRFGSTLHSFPEAEFGTTEFNAPMSTSISTEAMSSPTGVPYTAKSPESHISVSSYLEHGSSTTGVNTVAMSSSPHIKETDTTVESAALIEVSTGSLNPSLSTGPETSTDTTKVMGPTLLSTVSFSSSPISQPLTSSVSPSTTVPLSLLSSSSSTKEVFLSSKWSPNEPSRSMLTTMESHLFRPPVTSHTRALPATTTALTLTSQATAGPSSKQTTITMQTSESMMSSSSLRTIVETIIDPSMTSQDSDPIHIRNSSMIETMSVETTASTPVGYLVASTETISTTEESTSTERFGPTLSFTPETPIRRTESFAPNSTFVTTDDVASTIGMSPITITTESDALVSSHSEHVSSSTRVKAVATNSSPHIKETDTMIESTAPIEVSTSSLNPSSSTKPESSTDLVETTKVLGPLILSTVPLPSSPSSDLLTSSVPSLSTTLPLSTLSSSSRTTEVFRPSTWSLSEPGRSMLNTMESHSLGQSMTSHTGSLFTMSTGLPLTVQSTALSYGTQTTITIEASETMIPSTSLRTVVEDSIDLSMTSQAPGPISTRSSPMVETRSVEPTAGSPMKYLVTSTETSSTIDQSTRTERLGPTLSSMSEIEIRTIGSSGPMTTSVMNDRISSSTVVPSIVKTPESFGPSSSYLEETASTTEVHSAATNEFVHIAETETTAKSAALSEDSTINMNPASTTEPELSADLMDTAKMMISTFLSTVPVPSSHSSGSPTSYLPSPSTVSSSSVLSSPPSNKEVSLPSPWSVDEPGRSMPTTVVSQPFRQTTASHTGPWSATSSSDLTFTGQSTAGSPGTKPTIAMDTSEKRTLPTSIRTITEMSTDSSMTSQAPGPISTRSSSIAETRSVETTAGTPVSSLVTSKETASSTEESISTEMLGPTLSLKSKTQIRSTDSFAPTSTLVNTDGTPYTIGMSSTAKTTVSDALVSSPSEHTYGSTVVNTMELKETDTTVETIALIEASTSSLNPPSSTEPESSTGLLETTKVLGSTLLSTVPLSSSHISDPLTSSVPSSSTMVPSSLSFSASSAEEVSPHSLWPVDGPTRSMLATMQSHSFGQSATSHTGSMFTTSTDLTLTGRSTAGPSGTQTTITMETSETKIPSTSLRTIVETSIDQSMTSQDPSPGRSSSMVQTMSDEITASTLAKYPVTSPKTASHIEQSTSTERPTPTLTSTSEMEIRTIESSAPITTSVIDKITSSTVVPSTVKSPEPLGPYSSYFEQRASITGMQTVATNESLQIAETEMTAKSTALSEDSTINMSPPSTTVPELSTDLMDTTKMMVPTFLSTVRLPSSHSSESPTSYLPSPSTVASLSVLSSPPSTKEVSPRSPWSVDEPGRSMPTTMGSHPSRHTAASHTGPWSARSSTDLTFTGQSSAGSHGTKPTITMEASGTTTLPTSIRTITETSIEPSITSQAPGPTSTRGLSLAETRSVKTTAGTPMGSLSASRETASSTEQSTSIERLGSTLSSTPQTMMTEPFAPTSTSVSTNGLTSTTAMPSTPKTTVLDALVSSPSLHKYIVTGVNVVPTNSSPHIKERDKTVESTALIEASTSSLNPPSSTEPESSTRLMDTTKVPGLTLLATVPHPSSSISEPLTSFFSTSTMAPSSLSSSVSSAEEVSPLSPWPVDGPTRSMLTTMESHSFGPSATSHTGSWFTTTSTDLTLTGESTAGPSGTEITIASEASETMIPSTSLRTIAETSIDPSITFQDPGSMSTGRSSIVETRSVETTAGSPVESLVTSGETASSIRESSSTERLGSTLSSTPEIQIRSTEFFDSTSISVSTEGMTYTTGMPSTAKPTESDSLVSSQSEHGSISTRVNTVATHTSPQMDETDVTDELTTLREHSTSSLNSTSSTGPERSIGMRDTTIVLGPNLLSTVPLSASPFDEVLTSSVPSPSTTAPLSLLSSASSTEEVSSQSPWLMDGPSRSVLTTMESHSFRQTVTSHTEVWNPTTSIDVTHTSEPTSGPPDTQTKIMMEASETMISSTPLRTITETSTEPSVTLQAFGSISTRSSSTVETRSVEATAGTPVGSLVTSMETALSTGESSSTKRLGLTLSSTPETQIRSTEFFGPTSTSVSTDEMTSTIVMPSTVKSTQSDALVFSTSEHGSSSTGVDTVTRNSSPHTKETDTTVESTALIEVSTSSLNAPSNTVPESSKHLMDTTKALGSTLLSTVPLPSSSISEPLTSSVPSPSTTAPSSMLSFSPRTKELLPPSMWSVDEPGRRMLTSMQSHSFGQSITSHTMTLSTTSSTDLTSTGQTTAGLPSTHTNMTIEASETMVPSTSFWSVTRTSIDPSITSQAPGPISTVDEKSIETTAGTPVKSLVTSMKTGSSTGESGSSERLGPTLSSALETQIRRTELFAPTSTLVSTDGMTSTTCMPSSDALVSSQSKYGSSTTAVNTGGTNTSPHVAETHATDKYTTLREHSTTSLSSSSSTGPETSTGLIDTTTAPGSTLLSTVPLPSPPIHALFTTSVPHPSTVAPLSLPSSPPSTEKVFPPSPWSVGGPGRGMSTTMEPHPFGQIVISHTEVWSPTTATNLNLTSELTSESPGTQTTITMKASETLVSSASIRPITGISIDQSMTSQEPGSMSTRSFSIAETRSVETTAGTSVKSLVTNAETALSTEESTSTERLRTTLSSAPESQIKRTNSLAPTLTSVSTDGMISITGMASTAKTTESDPLVSSPSEHGSSSTGVKTVATNSFPHIKETDTTVESTALIEVSTSSLSPPSSTEPEASADLVETTKVQGPTLLSTVSASSSHSSAPLTSSVPSPSIMASLSLQSSPPSTEEVPLSSPRPVDGAGRSVLTTIESHPLRQTMTSHTIVLSPTTSTDLTFTSESTSGPPSTQTKITMESSEALIPSTPFKIIKRTTSIEPLMTSEASGSISTRSSSTVETRSIETTAGISVESLVASTEIASSTEVSSGTERLKPSLSSISEKQIRSTELFHPTSIAVTTDEQTSTIFMPSTAKSTESDALASSPSEHGSSPIEVNSAATNTSPHVAETNTTDKGTTLREDSTHSLKPPSSTVLEPSTHLMDTTTVPSSTLLSTVPLPSSSITVPLTSSIPPPSTTAPLSLLSPLSSPNELSPSSQWSMDGPGRSMLTTMESHPFRQTLTSHTKAQSKTTSTDLTLTDQSTSGSPGTQTPITMEASETMTPSAFLRTMTRTSIEPLVTSQSPGPISTRGSSTVETRSVEATTGTPVESLVISTDTSFSANQSISTERLGPTLSYTSERKIKTTESSVSMSTSVTTHGMTSTTDMPATAKTKESDALVSSQSGHGSSTTEVNTAATSTSPHMAEIATTDEDTTLIEHSTSSLNPPSSTTPEPSTGLTDTTMVLSPTLLSTMPSPTTHITKPLTSSVPPSTMAPSPMLSSSFSTKEISPPITWSLIEPDKNMVTTMESHPFEQTAMSHTGARSSTTPTDLTFTGQSTSGLPPGTRTIITMNSSETIGLSIPLSPIVETSIDPSETSHDPGPISTRRSSAVETRSTETAGTTLKHLVASKETSVIPDQSTSTGKLGHALSFTSEMEIRTIESSGPMSTSVITDRINFSTVMPSTVKPPESYAPVSSYSEKESTTTGVNTVAINTSPYMKETDKIDESTTPIKHSTSGLNPPLSAVSALMDTTKVLGSTPLSTLHVPSSPRSEPLTTPVPSPSTIAPLSLLSSSSSTKEVSPPSTGSIYEPVRSTLTSLDLQSFGHTMASHTGAQSTTISTDWTLTGQSTARHPGTQTAITREESKTLGAFTSLMTVSRTSTDPSMTPKETGQISTRSSSTLETRSVETTAATFAKDFVTSTDSSSGIKQSTSTERLRFILSFTTESEIKKMASSRPMSTSVISDGSTSTIVVASTAKYPDSDPSVTFYFENPSSTTGVNAVATNISPHMTHTDTTAESTALTNRLNQPSRTVPELNTDMDTIKALTPTPVSTVSVLSSTSHEQLTSTVPSPSTTVLLPAQSSLSNAEEASFPSTKLVDNLDNNMVSTTESDVFDQTRASPTGASTAITSTDLTLQGLSTVGSPGTQTAITKHTSITLPPSNSHSSSAEPSIDPSKTSQASAPMFTPDYYTVEARSVETAADLSVSSFVTNSETTSNMVLATSDEKLEPTLPSTSDRDVNKTEPSGPTSTSVIADEMMSGTVKPPTHGSPQSHISVSSHLKHMSNTTGMNTEATNESFHITETNTSAESTLIEGSFSSASPLSSTVLESHTDLMDITKRRGSTLLSTVPLASTPSSGSVASYLSSHSTIAPLPVPSSPSSTEEVSPPSQWSVGKTSRSMTTTEESHPFRQTVPSHTGEWSATSSTDLTLTAQSITGIPGTQNTIAMETSGTVFPFTSLRTITETSIYPSMKSHDPGSITTRSSTIVETRSVETTTTTPVESLVTSTETVTNIEESTRTDRLGPILSSTSETQIRTEFFAPTSTSVSTDGMAFTIGMPSTAKSTHSDAPVSSQSEHGSISTGVNAVATNTHMSAHMAETHATDKYTTLREQTPSSLNPPSSTVPEPSTTGLVNTTTVLVSTLLATVPLPSSPISEPSTSSVPSPSTMSPSMLSFGSSTEEVPPTTMWSVDGPTRSRLTTIESHPFGQSVTSHTGGQFLTTSTDWPLIGQSTAGPTTTQTTVTMEASKTMIPSTFLRTIMGTSIDPAITPRDLDSISTRSSSIETRSAQTIAGTPVYSFATNTETTSSTKESTSSERLRPSLSATPETQIQNTQSINPTSTSVSTDGLTSTTSMLPTAKSTESDALVSSQSKHGSGSTGVNTVATNTSHHMAAETHATDKYTAPREHTISSLNPPSSTVSEPSTTGLVDTTTVLVSTPLATVPLPSSPISQPSTSSVPSPSTMAPSSMLSSVSSTEEVPPTTMWSVNGPGMGMLTTVESYPFGQTVTSHTGGQSLTTSTDLPLTSHSASEPPSAQTTITMEASKTKISSTFVRPIEGTFTDPPVTSKDHDPTSIKSSSNVGTSSVETITGTPVKSLLFSTDTPFSSEQSTSTERLRPTLFYTPERKINTTESSGHVPMSTSPAPDGVTTTIVMQTTAKPTESDALAPSHSEHGSNNAKVNTEETNISPFIEKTDKSDKSTALIKDSTSSFNPLSSTVPELRTRLIDTIKVLGSTLLSTMPSSLKDEPLTSSILSPTTMAPSSLFSSPSSTKDVSPPSTWSVDQPIRSMLTTVDLQSFGHTMASHIGAQPTTNSPDMTFTDQSTAGHPGTQTTITIEASETMIPSTSLRTNTETSIDLSVTSQDPGPFSTSSSSTVDTRSVETTAGTLEKYPVTSTETSSTIEQSISTERLKPTLSSTTETETRTTKSSKPISRSITTDEMTSTTVNPSTVKSPEPYASVTSHSEFASGTTGVNTVVTNISPHTTEIDTTAASTVSIDDLTNSMSQPSSTVTELSTDVKGATKVLSSTLVSSMSVPSSTSHWQPTFAVSSPLTTVLVPAQSSPSSAEDQFPPNTQSVDKVDNNMATTIKSHAFGETVVSPTGASTELTSTDLALPIKSTAGSSGTQTTTMHTSITMPPSNSLRSSAVSFIDPFKTSQAPTPIFTADFSPVEMKTAETIAGVSVNSLIINSETTSNMEQLTSNEKRGATLSSTPEGEIDTTESGPISISALADGMSSAPVMPSTATSPESSVPLTSHLVHASSATGMNTVAKNISPHITEIDTTAALTALIENSPNNLNQPSNTVPEMSTNLMDTTKVLGSTLVSTASVPSSTHQGQLTSTAPPITTVLFPTLSSPYSTEAVSPPSTWSVDKPGKSILTTKESQMFDPTVALPTETLYPMTSTDLLYPGQTAAGTPGTQTTITVGSSETMILSTSPNTSVDTFTNTSTIFQHPSSISTGSSFTAQTKTVHTTADGSSVHTIVTSTETASSAVQSTSREQLGQNVSSVTEIETTESSGFVSTSSTIDGMIHSTVLPSTAKSPKTHGPIPSYESSTAEMNTVATDASHHIAKTDRSAEYTSLAEGATSSLNPPLSTGPELSIRSMDTTKGLGSTESLFVSTVPPTSSPSHGQSTLLINSPSTTAHIPVLSSSSSTEEVSPPSPQSTDEPGKSFLTTKESYTFRQTTALPTGTWSATASTDLTPASLSTAGPPSTQMALIMGGSETMAPFNSLRTIAESPSDSSRMSQALFSSSIRKSSTIETRPGKTIATSGPSSIISTQTTSSTDMYPSVDRLEITLFSNPETRARTSEPWTTTSMSVIAQERTSTTVVPSTLKSSASHAPITSHSAHESSTVWGTTEVTNTSPHIAEKDTTSESPAIREYPSSKWSPSSTTGSELNIDLTNLTRLVSSSSTVEKITDESVSNMMETIWPASPTSKEKENWSDSTARAETTLATQGQRQFTADPTKATHPIVDLTTAASRPVQGPHASTTTPLQTSTQEAKKSTPDPRSFTVGSSRAMAAPTVASTIHGISFPLQSTAPSIMTELTLEQPTTAGQPASTTATASSLSRGTDWDLTSLSDFLFTSLPTNPDTKTSAHDTSVMSVPVDEAETSSPTWSTSLIDPVSISMRQVRSFTSIPTSATTDSPSLTRESTSTETKVASADSSPTVLSTGIVTIRGHPTSMSDLETSSALFSTTHMTSITGPSRAVKLNSTMDTVTWSDSAATSSWTSPHETSTTRRETAPTSDMTSMGVEPNPTTTSISNTGMTTITTSIGPTPATTVDLISVEAPSSTQSLHGATPAIDSKVASVNARLTELGISPSTVSSASSTKGGSPNTLSTIGQDGSPLSVLSTTQATSTIYLTNTVDPSAGLTIDSGSTQDSWSSTSSYAEDVSRSTQQEAAMIKNNLVTSPIATTSVWTETKHKSKDTLSSVSNPSTVPDELINSSTSLSVHTDGTTGGTSGHWMSFEMGTTKSVLEPSSQQGTGTISRATPSELSVSSMTALSQRTGGPTGSYLNTWAEPPSPSLSVRETALMNETPSKTPSTTGTPLHSISTSGSGLATSQLTVPEVTTEMPVTTEPTKWPSNRVTSRIEPSISPEKSSMIKLTTSMEPSGPSTGAPSSGTEEAPAPWTSNGQAAQSSMKITTVLTGDTTEVLSTKAPVSISSAWTEPSSKRRATPETPSSVPLLSTPTESPTFTLTQTEATTGEEEAITQSSTLEPPEEQSMNLTSTPSLTLASTQLHVLINPQRAMSPGLFLSTKRSTSATPSLFSTEKETSLSIPSSSSGSRAAQGTSFLTTLKSALSTSTMASSAKSPDPYSMSESAYRPDGTGSTPVRPEHGAMSPSASGEPTETFGTSQFSSASIAKTSTMMRARPNKEPSKSLSSSATSADSDPITNLSQGPTPLSASKTDLSPVESFEFTLTSQPIGWTPPATTLSAFAKSPSSSASVPTLLGSEASTPKVPIGKPATSQTATLRNTTIESMATVEGPNMTLPLSSFTKTGTSHTSGSRIGGSSAMGQSGTTLPMVSARELGASSDVLATTESNESSQSFVLSGTTGAPLTSLAVTISGEYTTNRPAVIKSPSTSSPHLPSETVGQITIGPISRDPNSVTKLVLADGNSTESMTLTTEPVTGLSDSAITVLPTSDFSTALSSTPETATLPIAPTDPLLTPFSAGSLTGTSPFKSPPTSVTSFLITDTVLIKSTLTTDSTETVVLLSEPTASTEWNAGSTPTTPTQPSSHIAKSESTTLLSAESTASSGTVVAASLHPTFATAPEITSALLSHAEGAPSTKLFGMAPLDTTSGVSTWTGPAPVSTVVSNSSTPNEGSATDTSVMTSFTRVPRSWALLASSTEVPHTITFPPLTSPTGGVNSGGDLSYTNSSVSPSTATNTETTSTPVQPRDSSFLSPSSSATMGSISTPSISATKTVDMTSSAISTNILDSSSSSDSPLENTKRADLIDSTKVTTENDLSVTSTETFSATLVIPSTTPAASTITRREGLTISPSAGTPKTSMASSMWDGPIPTVRSTLEHTLSSITRFSHTTKEPFHSITTVETDPSPSFSVTPISEAGDSPTDIVDSAVTSLGPPGASNVPISTETNTTPHSGLPISVTWPDKNMRFSVLSESTPLSITRTLKTGASSATGLSPNATSESTLGSVDSRSTIAMPLPVESSISAGVPTESLGTVSSADTNLTSAATNQPGHTETEPNRQKAASTSAASFLPFETTTSSPNSVGSHGSSLAESLSTSPWTTTPLSTTLDWLWSTIANRRSITSDLSELSASSYPSSTSSGSSVSNEHTPSASVAVSNVYPTSQTQVSVKESSVAHLPQTSQEITGTSTWVITPPTIPSHTMPASNIDPGSTIGTSIVEEVPISSFPLTLSIARNGPTSTLTTEAGPASTEPRPHISSSVESKETYTMSTHTSNGMSLSKSSTDSGNELRSARTVGTNLSRDTALTGASSTHVGHSLDLSDTTNPANSLTLSIWPTVEMSPTPSPLDMSATRLKEKGTNETSGLATSMLTASSSETRASSKSIDSTMVTTVEKTWFTSGGIFEVDPTTPSSTLPISTSMQSGEAYSPELSSSLATNGQTNLRPSSGKSSDTVGETTSESLVSSVRGLSQSISRGPASTVLLGMTGAMSVTATSSRRELAMNTSTEAPSSTGIPLHAIGLTVGSSSSGTTTNDMPPTSQINTPGTEIERSLTDTSPKWFTTAESSSRGQTGLEPYVETLHSPSASAPISTQDKLSQTVHTPSMTASLTDQAQTSHEIVSTWTTTLPTIPVTTLDGTRGLSRIGLHQDLTTSDTNRIASTDAESKSLEHSPTSMPPFIAFTSMPSSPSSIDLDTSAMEETLSTSLWTAMPLTITTQESPALVLPEGPVDSTLELTSSGITPSTPIVVSVPGPASQTEFGSSKEALKTYHLQTSHETTDTITWAKTAPSTLNPSSSIKPSGSYAAPTASETKPHNETTRLTRVSHPTSVQASSTDKPDTTTIYTTPDWTSGTNTEGPATMEPSSGSSYAMRATSVHIHTEISPFTLPTYSGPKVVSAMTLKITSPSESSAHAGLHISPTNTSEPYTSLTPLGGESAELSTAPSPTDLPVLTSKGIAGSTPINSPTLSTTSPLNPMNWRSTEVTDMIMSTTVRQTSFTSSMTVKPTPSSPSSSLIGLWTSRASGPDPSPPHTATMQPTLASSTGDRPITIERSTLHYVDDSFTDVFQSTRGPTSSGAADMSQSPSVSMSVSEKAMTFDMSTESSATTGIPLHSTDLRGETIYGKGIPINTSAVAMSGPGNTTETTLITTSPERISTAEMSSTAPSTFKSVMVTASLSTASTSVTTTASPLGTKFRPSTEPWTTSQPAASDNFTDRNTQSMTATGTLHSIKPLASGTSNTVSTSSTARLESVLHSSSFQASRTPWTDMTPFVPTPVSTSALTTRVRSVSTEPQSVSPNSTEETEEGSTFLHTSPTSSSFTTKVGSVSPLSLTSPSESSESTLTGTPHINPSDTTEASTSLTLVSGATEEGSPSPHTLPSTANRVREPSTAANSLTMSSLVASSPKTKTSIEPTGTTISSTAKHHSFTSTASVKDELVSASSLLPISTSIKTHGASDPEPSSFPHRTNLPTLGLSTGERFASSQLSSTEPIVSSVMTYSPKTGGPPSSGAATKSVAPSVSVPVGNTALTLDASTESSATARTLLHTTGQSGRTSSGGTMSIGTPVAFQHTTPGATSEGILTDASTEELWIGKTSSTKLTTFGSVVSPVSTTIPAAVSTSAPPRETKMSPSTEVWQTHQPESFQQATSESTWSSAKSTSSTTARLIDSSGHAATSSSQRGSTSGTTRLERTPHSSSVQSPSRIKSDLTTFGLTPVLTATMESEEGPASTELPTMSTNLTGETEKGSTSHHTNTEESVLTTSPSTTTLASTSTGGLTGPSGLWESALTKAIHTSPIDMTEAFAHFTLITEATQKTSISPTGLSSITSRVREASTEANSLTMSFPIASNPMTSARAEPVGPSLPSTLSQGSFISTESIKNDLVSASSSLPASTSIRSHGASGPEPSSFPYGTTSLILELSTEGRSNPTGKPSLDLFSSYLTGFSPNTRGPTHSDTVTTEAPTMSVPANEKSATSDAFTKMPSTIKVPIYRTDWPTETSDGETTSTETPVTYKETVPGSTTEVTLTYTSAEKTFNGETSSTRTRPSSLGSVMSTAPYNRSPTGNVQPSLSPSTRQESHTMGRSTSEPLASSMVGLSQTTRGVVSSTPSALTKDPSTFPLTHETVVIAGTSTKPSLTAGTLGSDMEPTAKTSRSGTMLSRTPSSSGPLGSPKLM
+>sp|Q7Z5P9|MUC19_HUMAN Mucin-19 OS=Homo sapiens OX=9606 GN=MUC19 PE=1 SV=3
+MKLILWYLVVALWCFFKDVEALLYRQKSDGKIAASRSGGFSYGSSSSGDLDRKKPLFSLEFGSPGETEDKSRQRQDAGSPKSEDTPAGGFFNSSSSSGDSDRTKPFFSLGLGAPGKAEDKSGDSQDAGGSKSEDTPPGGFFYGSSSSGDSDKKKPLFSFEFGATGEDEDKSRERWDAGNSRSEDSPADSTNTRYGAGFSSSGASLDVGFGWGISDEKGLEVSKADGRETRGSGSAGGETIVFGPDAGSSVGTGSSGLKLGAGKGDAAFGFEVSDSNSFGDTGISSKTVEGNQTSSSGGSVSIDLGDTSFRSENQFVGGGSLNSISNLWDSGQEGFGINEIGGNGMSGSVSAEAGFKGFGSDSSSSGDSSARNGFENSSGISEDSGVILGSSDQHEVELSRTGGNRKRSSDPDEAGNLSPGSDVSDSGGNTWSSDSGSGGGGVTSSSEYSTSGPLNTPEKGSHIPEATPKYSETNAIIGEISTWSKGAYKSFNGRIFFFESSCPYTFCRHCIESGGDFNIEIKRNNDSEIEKITVLIDNNDVSIFGDTILVNGESVQIPYNNKLIHIKKYGEHNVLNSRRGILTLMWDKNNKLSLTLHKQYPTCGLCGNFNSTPGQDINEHIANSKIPGDCPNAVGKSYEVCEDGIQHCNKIIGTYFEKCGKVAALSNDYKMICIDEYCQTRDKTSTCDTYSELSRLCASDGPGTFESWRSDSDVVCGTQRCPEQHIYKECGPSNPATCSNVAPFQDSECVSGCTCPEGYLLDDIGEKGKCVLKAECPCESSGTVYQPGEVREGPCGSQCTCQDAKWSCTEALCPGRCKVEGSSLTTFDGVKYNFPGNCHFLAVHNEDWSISVELRPCPSGQTGTCLNSVTLLLNSSVPVDKYVFNSDGTVTNDKIRNQGYYYSDKIQIFNASSSYLQVETYFHVKLQIQIVPVMQLYVSMPPNQFTDTVGLCGSYNNKAEDDFMSSQNILEKTSQAFANSWEMMSCPKGNPSSCISIEKEKFAERHCGILLDSSGPLASCHPIVNPKPYHEECKKYTCTCENSQDCLCTILGNYVKACAEKETYIVGWRTGLCEHSCPSGLVFKYNVKACNSSCRSLSERDRSCDVEDVPVDGCTCPDAMYQNNEGNCVLKSQCDCYINDEVMQPGKLIHIDDNKCVCRDGILLCQIPIDLTLQNCSGGAEYVDCSDPKAQRRTNRTCSTRNIPVFDENLPCKRGCFCPEGMVRNSKGICVFPNDCPCSFGGREYDEGSVTSVGCNECTCIKGSWSCTQNECQTICHIYGEGHVRTFDGKSYSFDGLCQYSFLEDYCGHENGTFRILTESVPCCEDGLTCSRKIIVAFQDQNIVLQDGKVTAVKSTESKKCELNANAYSIHTVGLYLILKFQNGIIVIWDKNTRLSVILDPNWNGKVCGLCGNNNGDLKDDFTTRYSSVASGALEFGNSWKTSQECSDTVAQTFPCDSNPYCKAWAVRKCEILRDSTFRDCHNKVDPSAYHDACIEEACACDMEGKYLGFCTAVAMYAEACSAVGVCVSWRKPNLCPVYCDYYNAPGECRWHYEPCGTVTAKTCKDQLVGQKFSSLLEGCYAKCPDSAPYLDENTMKCVSLSECSCFYNDVIPAGGVIEDNCGRTCYCIAGQLECSETAPTNSTFAVSTTTATTILSTGAAITLVTGGPSTAASIPAITTSSSETTGTTLGPLTEPFTTGITETSVPIISTSGNAGMTGVVSPTVTGASGMAGTTGGVDAATTGAASENTSERAGTPRVSGETPAVGGGSTPGEAGPGATVSGSTGVSAGSITASPGASATSSESSKSGTTGPSVGGKTGATSSEATSSEGMSGVTGQSLGSTAGSDSEITAKTSFTGSSPPGKLTRPSPGSPGHFSGGTTEWGNVATTGAAGENTSGALGSTEGSVEATTSAGSGNTAGTSGTGDTGPGNTAVSGTPVVSPGATPGAPGSSTPGEADIGNTSFGKSGTPTVSAASTTSSPVSKHTDAASATAVTISGSKPGTPGTPGGATSGGKITSGWSSSGTSTGASNTPGATGSSTGQTDTSGPSAKVTGNYGQSSEIPGTIKSSSDVSGTMGQSDTTSGPSVAVTRTSEQSSGVTVASEPSVGVSGTTGPLAEISGTTRPLVSGLRTTGSSAEGSGTTGPSSRESVTTRPLAEGSGTSGQSVTGSRATGLSATELGTTVSFTGGLGTSRSSARETRTTGPSADGSGTTGPSVVRSGTTRLSVGVTRATESSPGVTGTTTPSAEESRTTGPSVLVTGTTGQSGQGSGTTGKSFIESGPSVVGSGTTGPTSAGLGTTAPSTRRSSTTKPSVGRTGTTGQSGAESGTTEPSARVAGVTGTSAEVSGRIEPSATESSTSRPLGETTGTTIPSMEGSEATGPSVIGSETTRLSVIGSGTTGTSSGGSGATRSSGGGMGTTGQSTARSETTGPLFGLTGTFGQSATVTGTSSNSAGVTTPEKSPGVAMTTGLLVEGSATTQPRILESETTESSAGVIVTSGQSARVTGATGPSAGETGTTEPSTEGSVAAVLFVIGSETTRPLDIGSGTTGTLSGGSSTTRSSDGTTGTTRKSTARSETTGLSGLTGTSGQLAGVTGTSSKSAGVTVTSEKSAGVAVITGSFVERPVTTGPPLLESETTRPSGGVTVTSGQSARVTETVGASAGVTGTTGPSTEGSGATGPSVVGSGTTRPLAGESGTTESSAGVTGTRPSSSRESATTGPSDEGSGTTGLSAGVTVTSGQSVRKTGTTGAPAGVTETTRPSVVKSGTTGPSVIGTRTTGTSSGGSGATRSSGGETETTGQSAVKSGTTESFTRLTRTSGQSAGMTGTSAQSAGVALTSPFVEGLVTTGSSTVGLETTRPSAVGSGKTGPPVVKAQTTGPSAGVTVTSGQSARMTGASGPSVGVTGTTGPASKGLGTIRPSVVGLETTELSAEGSGTTGPPIVGETTVPSAGVTVTSGYSDRVTGATEPLAGVTGTIKPSVAGSVTTGPSVTGVETTAKTTSGGLSTTISSVGGTGTTGQSPERSGTTGPFTGLTGTSAQSAGVTMTSIQSAGVLVTTGLNVDGLGTTGKALIGSGTTGLSAEATGTIGPSTEGLEKTGPSITGSGTTRPLVTESWTAGTSSGGHSTTSPSVRGTETTGQSAAESVTTGPVTGYTETSGPSAGVTVTPRQSPTVTQTTGSSAAVSGTTVQSLTVSGTTRPSSGQTEITGSSVKESGTTESSAVRSGTTGPTAGVTGTNGPSSAGVTGITGSSPGVTGTTGSSPGVTGTTGSSARSGTSIPSVGKTGTTRTSVEESRTTRPSAGITGTNGLSAEVTGTTGPLAGVTGTTGPSAGVTRTTGLSAGETGTTGLSPGVTRTTRSSAGLTGKTGLSAGVTGKTGLSAEVTGTTRLSAGVTGTTGPSPGVTGTTGTPAGVTGTTELSAGVTGKTGLSSEVTETTGLSYGVKRTIGLSAGSTGTSGQSAGVAGTTTLSAEVTGTTRPSAGVTGTTGLSAEVTEITGISAVVTGTTGPSAGVTETTGSSAGVAGTTRLSAGVTGITGLSAGVTGTTGLSTEVTGTTGPSAGATGTTGLSVGVTGITGLSDVVTETTGSSARSGTGIPSVGETRTTSTSVEESRTTRPSAGIMGTNGLPAEVTGTTEPLAGGTGTTGILAGVTGTTGLSAGETGKIGSSAGVTGKTGSSARVTGKTGPSAEVTGKTGLSAGVTGTTGLSPGVTGTSGLSAEVTGTTGPSAEATGLPGVSAGVTGTTGSLAGGTGTIGLSAGVTGTTGSSAGVTGTTGLSAGVTGIAGLSAGVTGITGPSAGVTGTTTVSAGVTGTTGLSAEATEITGLSAGVTGTTGLSAGVTETIRLSAGVTGTIRSSAGVTGITGLSAGVTGTTGPSAGVTGSTGLLAGVTETTGQSAKVTGTTGQSVGVTGTTRSSGGVTGITGLSAGVTGTNGLSAVTGMTGLSAEVTGTTGLSVGVTGIAGLSAGVTGITGPSAGITGTTTISAGVTGTSGLSAEATGITGLSAGVTGKTGLSAGVTETIGLSAEATGTIGSSPGVTGTTGSSTGVTGITGLSAGVTGTTGLSTEVTGTTGPSAGVTRTTGLSAGVTGITGLSAIVTETTGSSARSGTSIPSVGETGTTRTSVEESRTTRPSAGITGTNGLSAEVTGTIGPLAGGTGTTGLSAGVTGTVGSSAVVTGTTGLSAGVTGTTGPSAEETGATGPSAEVTETTGPSAGVTGTGRLSAEVTGTTGPSAEVTGLPGESAEVTGTIGSPAGVTGTTQLSAVVTGITGLSAEVTGTTGLSAGVTGITGLSAEVTRTTGLSAGVTGTIGLSAGVTGTTRPSAGVTGTTGQSAEVTGTTEPSAGLTETTGSSTGVTGATGPLAGVTGTTGISTEVTGTTGPSARVTGTTVLSAGVTGITGLSAIVTETTGSSARSGTSTPSVGETGTTRTSVEESRATRPSAGITGTNGQSAEVTWITGPLAGVTGTTGISAGVTGTTGLSAGVTGTIGSSAVVTGINGLSAGVTGTTGPSAEETGATGPSAEVTGTTGPSAEETGATGPSAEVTGTTGPSGGVTGTNGLSAEVTGTTGPSAEVTGLPGVSAGVTGTIGSPAAVTGTIRPSAVVTGITGLSAEVTGTTGLSAWVTGIAGLSAGVTETIGSSAGVTGTNGLSAEATGTTGPSAGVTGTTGLSAGVTGTAGLSARVTESTGLSAGVTGTTGLSAGVTGTTGPSAGITGTNGLSAEVTGTTGPLAGVTGTIGLSAGVTGIAGLSAGVTESTGLSAGVTGTIRSSAVVTGINGLSAGVTGTTGPSAEETGATGPSAEVTGTTGPSGGVTGTSGISAEVTGTTGPSAEVTGLPGVSAGVTGTIGSPAAVTGTTRPSAVVTGISGLSAEVTGTTGLSAGVTETIGSSAGVTGTNGLSAEATETTGPSAGVTGTTGLSAGVTGTTGPSAGIAGTNGLSAGVTGTTGLSARVTESTGLSAGVTGTIGSSAVVTETTRLSSGVTGTIGPSAEETGATGLSAEVTGTTGSLAEVTGTTGLSAGVTGTIGSSAVVTGTTGLSAGITGTNGLSAEVTGTAGPLAGVTGTTGLSAGVTGTTGLSAGVTETTGQSAGVTESTGLSPGVTGTIGSSAVVTGIKGLSAGVTGTTGPSAEETGATGPSAEVTGTTGPSGGVTGTSVLSVEVTGTTGPSAEVTGLPGVSAGLTGTIGSPAAVRGTTWPSAVVTGISGLSGEVTGTTGLSAGVTGIGGLSAGVTGTIGSSAGVTGTNALSAEATGTTGPSAGVTGTTGLSAGVTGTTGLSAGVTGTIRSSAVVTETTGLSAGVTGTTGPSAGIAGTNGLSAEVTGTTGLSAGMTGTTGLSARVTESTGLSAGVTGTIGSSAVVTETTRLSAGVTGTIGPSAEETGATGLSAEVTRTTGSLAGVTGTTGPSAVVTGKTELSAEVTGTTELSAEVTEKTGPSAEVTGKTGLSAGVMETTGPSAEVTGTTGSSAGVTGTTGPSAGVTGTTGPSAEATGLPGVSAGVTGTIGSPAGVTGTARLSAVVTGISGLSAEVTGTTGLSTGVTGIAGHSAAVTGITRPSAGVTGTTTVSAGVTGTIGLSAEATGITLPSAGVTETTGLSAGVTETIGLSAGVTGTIGSSAGVTEITGLSAGVTGTTGPSAGVTGSTVLSAGVTATTGQSVGVTGTTGPSAGVTGTTGLSAGVTGIAGLSAGVTGITGPSAGVTGTTTVSAGVTGTTGLSAEATEITGLSAGVTGTTGLSAGVTGIAGLSAGVTETIGSSAGVTGTNGLSAEATGKTGPSAGVTGTTGLSAGVTGTTGLSAGVTETIGLSAGVTGTIGSSAGVKGTTGQSAEVTGATGQSVGVTGTTRSSGGVTGITGLSAGLRGTTVSSAKAGTSIPLTGKTGTTRTSVEESTTTGPSAGITGTNGLSAEMTGTNELSAGVTGTIGSSAGVTGTTGLSVEATVTTGLSAGVTGTTVPLAGVTWTPGPSAGVTGIAALSAGVTGKSGLSAGVTGKTGLSAGVTGTTGPSAEATGKTGLSAGVTGITGPFAEVTGTTGLSAGVIGTTGSSAEVTGITGLSAGVTGKTRSSAGVTGTTGLSAKSGTSIPSAGKTGTTKTSVEESRTTRPSAGITATTGVPAATSPGAEGESIASTSVATGAIPRSTIAPGSTTTGTTGVTTGTTLAPRSFNIGTSGGISGKTLKPGSYVSEATTATGTPGAGPSGGTTISSPEVSTISEVSNTGITGVGSETSIETGISNTATTGVAPGTTLAPGSSSTEATTSIGGSASTRGGIATEATGSTRGVRTTGSEAPEGTSGEFSGTTISSGGFHTEATTLTGGRGSIGTESRAESTTSLPQSAKTRGGILTEATSSTGRIRATGSEAPGGTSRKFSGTTISSGGSHTEATTLAGGRDSTESEFRTATIGVVPATTVAPGSSKTEATTFLGVSGTTSVGRATGATTSIAGSDTSQAEHPGGTSGEFPGTTITSGDSHTEATALTGSRGSIGTESTVETTTYIGESGTTRGGLATATTGAFSGKTLEPGNDNTEATGSTGGIRATRTEAPGGTSGEFPGTTFTSGGSHTEATTFTGGKGSTGTESRAATTRAAPGTTLVPGSSNTGATASPGGSATTRGRITTATTGAFSGKTLESENDNTEATSSTRGVRTTRSEAPGGTSGEFPGTRITSGGSYTATTRAAPGTTLAPGSSNTGATASLGGSAMTRGRITTATTGAFSGKTLEPGNNNTEATSSTRGVRTTRSEAPGEATTLTGDRSSTGSESRTATTGVAPGTTVAPGSSKTEATTFLGVSGTTNIGRATGATTSIVGSDTSQAERPGGTTVVSPGASSTSQSSRPGTSVTPDSSASESETVTTKEFSGTTAISRTSHTGTPAASGGQATGSLTATTGVAPGTTVAPGSSNTEATTSVGERETTKAEIITGDTGELSGTTIISENSTTAGITAATGKQAGTSEVAPSTTVAPGSFSTAATTSPGASGTTGVTTTTKTTTSLGGSGTTGAEIKSATTGAPGSRTGTAGVPSATTVSPGSSNSEATTSVGESGKTGAETITEATTSTEGTGTSGTGFKTGTSEVAPATTVAPGSFSTAATTSPGASGMTGVTTTTKTTTSLGGSGTTGAKIKLVGTTTTAPESRTAGVPSGTRVTPGSSNSEATTSVEESRITRAEVITEATTFSGGSGATRAGLPRGTTGEFSGTNFISGSSNTEATTSTEGTGTSGTGFKIAGITSAPGKQAGTSGVSLATTVAPGSFSTATTSSGASGITRAGPTSETTTSLGGSGTTGAEIKSAAVPSGTTVAPGSSNSEATTSVGENGKTRGEIITDTTEGTSGKVLEPGSAHTEATTFPGGSGTTRAGPPGGTTGELSRMTIIPGSSNTEATTSTKGTGTSGTGFKTGTSWVAPGTTVSPGSFSTATISPGASRTTGAAPAAETTTSLEGGGTTGAEIKSGATSGVPGSKTGTAGVPSATTIAPGSSNSEATTSLGESGKTRVETITGTTEGKTLAAGSAHTEATTFSGGSGSTRAGPLGGASGTSGGYVPGRETEPTTSIEETGTSRTIFKTVGITSAPGRQAGTSVVAPSTTVAPGSFSTAATTSPGASGMTGVRTTSKTTTSLGGTGTTRTEIKSGATTGAPGIKTDIMGESSRTTILSGSSNTEATNSIEETGTSGTGFKTAGITAAPGKQAGTSGVAPGTTVAPGSFSTAATTSPGASGVTGTGPTAETTTFLGGSSTTGAEIKSGATTGAPGSKTGTAKVLSGTTVASGSSNSEATTFSGITEAVTVPSKNGSMTTALGSQLSSSQTVIPGSSGTISHTTVAPGSSVTGTTTGASDDQVTGSKTGTTGVALSTTVAPGSSSTEATTSTGVHRTTVVGQKTGATTRGSAKQGTRSTIEATTSFRGTGTTGSGMNTGTTGVVSGNTISPSSFNTEATSGTSERPNPGSEIGTTGIVSGTTVAPGSSNTEATTSLGNGGTTEAGSKIVTTGITTGTTIVPGSFNTKATTSTDVGVATGVGMATGITNIISGRSQPTGSKTGYTVTGSGTTALPGGFRTGNTPGSTGVTSSQEGTTVVSSGITGIPETSISGPSKEASDKTTAPGPPTTVTASTGVKETSETGVQTGSTLVTAGVPTRPQVSQPETTVVATREVETENKTECLASLPPAPVCHGPLGEEKSPGDIWTANCHRGTCTDAKTIDCKPEECPSPPTCKTGEKLVKFQSNDTCCEIGYCEPRTCLFNNTDYEIGASFDDPSNPCVSYSCKDTGFAAVVQDCPKQTWCAEANRIYDSKKCCYTCKNNCRSSLVNVTVIYSGCKKRVQMAKCTGECEKTAKYNYDILLLEHSCLCCREENYELRDIVLDCPDGSTIPYQYKHITTCSCLDICQLYTTFMYS
+>DECOY_sp|Q7Z5P9|MUC19_HUMAN Mucin-19 OS=Homo sapiens OX=9606 GN=MUC19 PE=1 SV=3
+SYMFTTYLQCIDLCSCTTIHKYQYPITSGDPCDLVIDRLEYNEERCCLCSHELLLIDYNYKATKECEGTCKAMQVRKKCGSYIVTVNVLSSRCNNKCTYCCKKSDYIRNAEACWTQKPCDQVVAAFGTDKCSYSVCPNSPDDFSAGIEYDTNNFLCTRPECYGIECCTDNSQFKVLKEGTKCTPPSPCEEPKCDITKADTCTGRHCNATWIDGPSKEEGLPGHCVPAPPLSALCETKNETEVERTAVVTTEPQSVQPRTPVGATVLTSGTQVGTESTEKVGTSATVTTPPGPATTKDSAEKSPGSISTEPIGTIGSSVVTTGEQSSTVGTSGPTNGTRFGGPLATTGSGTVTYGTKSGTPQSRGSIINTIGTAMGVGTAVGVDTSTTAKTNFSGPVITTGTTIGTTVIKSGAETTGGNGLSTTAETNSSGPAVTTGSVIGTTGIESGPNPRESTGSTAETNFSSPSITNGSVVGTTGTNMGSGTTGTGRFSTTAEITSRTGQKASGRTTAGTKQGVVTTRHVGTSTTAETSSSGPAVTTSLAVGTTGTKSGTVQDDSAGTTTGTVSSGPAVTTHSITGSSGPIVTQSSSLQSGLATTMSGNKSPVTVAETIGSFTTAESNSSGSAVTTGSLVKATGTKSGPAGTTAGSKIEAGTTSSGGLFTTTEATPGTGTVGSAGPSTTAATSFSGPAVTTGPAVGSTGAQKGPAATIGATKFGTGSTGTEEISNTAETNSSGSLITTRSSEGMIDTKIGPAGTTAGSKIETRTTGTGGLSTTTKSTTRVGTMGSAGPSTTAATSFSGPAVTTSPAVVSTGAQRGPASTIGVTKFITRSTGTEEISTTPETERGPVYGGSTGSAGGLPGARTSGSGGSFTTAETHASGAALTKGETTGTITEVRTKGSEGLSTTAESNSSGPAITTASPVGATGTKSGPVGSTAGSKIEAGTTGGGELSTTTEAAPAAGTTRSAGPSITATSFSGPSVTTGPAVWSTGTKFGTGSTGTGKTSTTAETNSSGPIITMRSLEGTTGGPPGARTTGSGGPFTTAETHASGPELVKGSTGETTDTIIEGRTKGNEGVSTTAESNSSGPAVTTGSPVAASKIEAGTTGSGGLSTTTESTPGARTIGSAGSSTTATSFSGPAVTTALSVGSTGAQKGPASTIGAIKFGTGSTGTGETSTTAETNSSGSIFNTGSFEGTTGRPLGARTAGSGGSFTTAETIVEARTIRSEEVSTTAESNSSGPTVRTGSPVGATRSEPATTTTGVLKIKAGTTGSGGLSTTTKTTTTVGTMGSAGPSTTAATSFSGPAVTTAPAVESTGTKFGTGSTGTGETSTTAETITEAGTKGSEGVSTTAESNSSGPSVTTASPVGATGTRSGPAGTTASKIEAGTTGSGGLSTTTKTTTTVGTTGSAGPSTTAATSFSGPAVTTSPAVESTGAQKGTAATIGATTSNESIITTGSLEGTDGTIIEAKTTEREGVSTTAETNSSGPAVTTGPAVGTTATLSGTAQGGSAAPTGTHSTRSIATTGSFEKTTVTESESASSDPTVSTGPRSSQSTSSAGPSVVTTGGPREAQSTDSGVISTTAGTARGINTTGSVGLFTTAETKSSGPAVTTGPAVGTTATRSESGTSSRDGTLTTAEGPAESRTTRVGRTSSTAETNNNGPELTKGSFAGTTATTIRGRTMASGGLSATAGTNSSGPALTTGPAARTTATYSGGSTIRTGPFEGSTGGPAESRTTRVGRTSSTAETNDNESELTKGSFAGTTATTIRGRTTASGGPSATAGTNSSGPVLTTGPAARTTAARSETGTSGKGGTFTTAETHSGGSTFTTGPFEGSTGGPAETRTARIGGTSGTAETNDNGPELTKGSFAGTTATALGGRTTGSEGIYTTTEVTSETGISGRSGTLATAETHSDGSTITTGPFEGSTGGPHEAQSTDSGAISTTAGTARGVSTTGSVGLFTTAETKSSGPAVTTAPVVGITATRFESETSDRGGALTTAETHSGGSSITTGSFKRSTGGPAESGTARIRGTSSTAETLIGGRTKASQPLSTTSEARSETGISGRGGTLTTAETHFGGSSITTGSFEGSTGEPAESGTTRVGRTSGTAETAIGGRTSASGGISTTAETSSSGPALTTGPAVGTTATNSIGTEISTESGVGTIGTNSVESITSVEPSSITTGGSPGAGPTGTATTAESVYSGPKLTKGSIGGSTGINFSRPALTTGTTVGTTGTTTSGPAITSRPIAGTAVSTSAISEGEAGPSTAAPVGTTATIGASPRTTRSEEVSTKTTGTKGASPISTGSKASLGTTGTVGASSRTKGTVGASLGTIGTVEASSGTTGIVGASLGTTGTVEAFPGTIGTVGASLGTKGTAEASPGTTGTVGASLGTKGTVGASLGSKGTVGASLAAIGTVGASPGPTWTVGALPVTTGTVGASLGTTVTAEVSLGTTGTVGASSGITGTVGASLENTGTMEASLGNTGTIGASPGTTTSEEVSTRTTGTKGTLPISTGAKASSVTTGRLGASLGTIGTVGGSSRTTGTVGVSQGTAGTVEASQGTTGKVGASSGITGTVGASLGITETVGASLGTTGTVGASLGTTGTVGASPGTKGTAEASLGNTGTVGASSGITETVGASLGAIGTVGASLGTTGTVGASLGTIETAEASLGTTGTVGASVTTTGTVGASPGTIGTVGASLGAIGTVGASLGTTGTVGASPGTTGTVGVSQGTTATVGASLVTSGTVGASPGTTGTVGASLGTIETVGASSGITGTVGASLGITETVGASLGTTETVGASPLTIGTAEASLGITGTVGASVTTTGTVGASPRTIGTVAASHGAIGTVGTSLGTTGTVEASLGSIGTVVASLRATGTVGAPSGITGTVGASVGPLGTAEASPGTTGTVGASPGTTGTVGASSGTTGTVEASPGTTEMVGASLGTKGTVEASPGTKETVEASLETTGTVEASLETKGTVVASPGTTGTVGALSGTTRTVEASLGTAGTEEASPGITGTVGASLRTTETVVASSGITGTVGASLGTSETVRASLGTTGTMGASLGTTGTVEASLGNTGAIGASPGTTGTVGASLGTTETVVASSRITGTVGASLGTTGTVGASLGTTGTVGASPGTTGTAEASLANTGTVGASSGITGTVGASLGGIGTVGASLGTTGTVEGSLGSIGTVVASPWTTGRVAAPSGITGTLGASVGPLGTVEASPGTTGTVEVSLVSTGTVGGSPGTTGTVEASPGTAGTEEASPGTTGTVGASLGKIGTVVASSGITGTVGPSLGTSETVGASQGTTETVGASLGTTGTVGASLGTTGTVGALPGATGTVEASLGNTGTIGASLGTTGTVVASSGITGTVGASLGTTGTVEALSGTTGTVEASLGTAGTEEASPGITGTVGSSLRTTETVVASSGITGTVGASLGTSETVRASLGTTGTVGASLGNTGAIGASPGTTGTVGASLGTTGTVGASPGTTETAEASLGNTGTVGASSGITETVGASLGTTGTVEASLGSIGTVVASPRTTGTVAAPSGITGTVGASVGPLGTVEASPGTTGTVEASIGSTGTVGGSPGTTGTVEASPGTAGTEEASPGTTGTVGASLGNIGTVVASSRITGTVGASLGTSETVGASLGAIGTVGASLGITGTVGALPGTTGTVEASLGNTGTIGASPGTTGTVGASLGTTGTVGASLGTSETVRASLGATGTVGASLGTTGTVGASPGTTGTAEASLGNTGTVGASSGITETVGASLGAIGTVWASLGTTGTVEASLGTIGTVVASPRITGTVAAPSGITGTVGASVGPLGTVEASPGTTGTVEASLGNTGTVGGSPGTTGTVEASPGTAGTEEASPGTTGTVEASPGTAGTEEASPGTTGTVGASLGNIGTVVASSGITGTVGASLGTTGTVGASIGTTGTVGALPGTIWTVEASQGNTGTIGASPRTARSEEVSTRTTGTEGVSPTSTGSRASSGTTETVIASLGTIGTVGASLVTTGTVRASPGTTGTVETSIGTTGTVGALPGTAGTVGTSSGTTETLGASPETTGTVEASQGTTGTVGASPRTTGTVGASLGITGTVGASLGTTRTVEASLGTIGTVGASLGTTGTVEASLGTIGTVVASLQTTGTVGAPSGITGTVEASEGPLGTVEASPGTTGTVEASLRGTGTVGASPGTTETVEASPGTAGTEEASPGTTGTVGASLGTTGTVVASSGVTGTVGASLGTTGTGGALPGITGTVEASLGNTGTIGASPRTTRSEEVSTRTTGTEGVSPISTGSRASSGTTETVIASLGTIGTVGASLGTTRTVGASPGTTGTVETSLGTTGTVGASLGTIGTVGTSSGTTGTVGPSSGITGTAEASLGITETVGASLGTKGTVGASLGTIGTAEASLGSTGTVGASITTTGTIGASPGTIGTVGASLGAIGTVGVSLGTTGTVEASLGTMGTVASLGNTGTVGASLGTIGTVGGSSRTTGTVGVSQGTTGTVKASQGTTETVGALLGTSGTVGASPGTTGTVGASLGTIGTVGASSRITGTVGASLRITETVGASLGTTGTVGASLGTIETAEASLGTTGTVGASVTTTGTVGASPGTIGTVGASLGAIGTVGASLGTTGTVGASSGTTGTVGASLGITGTGGALSGTTGTVGASVGPLGTAEASPGTTGTVEASLGSTGTVGPSLGTTGTVGASLGTKGTVEASPGTKGTVRASSGTKGTVGASSGIKGTEGASLGTTGTVGALIGTTGTGGALPETTGTVEAPLGNTGMIGASPRTTRSEEVSTSTTRTEGVSPIGTGSRASSGTTETVVDSLGTIGTVGVSLGTTGTAGASPGTTGTVETSLGTTGTVGASLGTIGTVGASLRTTGAVGASSGTTETVGASPGTTGTVVASIGTIETVEASLGTTGTVGASPRTTGTVEASLTTTGAVGASQGSTGTSGASLGITRKVGYSLGTTETVESSLGTKGTVGASLETTGTVGAPTGTTGTVGPSPGTTGTVGASLRTTGTVEASLGTKGTVGASLGTKGTLGASSRTTRTVGPSLGTTGTEGASLGTTRTVGASPGTTGTVGALPGTTGTVEASLGNTGTIGASPRTTRSEEVSTRTTGTKGVSPISTGSRASSGTTGTVGPSSGTTGTVGPSSGTIGTVGASSPGNTGTVGATPGTTGSRVASSETTGSEKVSSGTIETQGSSPRTTGSVTLSQVTTGSVAASSGTTQTVTPSQRPTVTVGASPGSTETYGTVPGTTVSEAASQGTTETGRVSPSTTSHGGSSTGATWSETVLPRTTGSGTISPGTKELGETSPGITGTAEASLGTTGSGILAKGTTGLGDVNLGTTVLVGASQISTMTVGASQASTGTLGTFPGTTGSREPSQGTTGTGGVSSITTSLGGSTTKATTEVGTVSPGTTVSGAVSPKITGTVGALPETAGTVRDSYGSTVTVGASPVTTEGVIPPGTTGSGEASLETTELGVVSPRITGLGKSAPGTTGTVGVSPGSAGTMRASQGSTVTVGASPGTTQAKVVPPGTKGSGVASPRTTELGVTSSGTTVLGEVFPSTLAVGASQASTGTMGASQGSTRTLRTFSETTGSKVASQGTTETEGGSSRTAGSGGSSTGTTRTGIVSPGTTGSKVVSPRTTETVGAPAGTTGTKRVSQGSTVTVGASLGTTGSGEDSPGTTASERSSSPRTGTVGASSETTGSEGALPRTTGSGVVSPGTAGSGETSPGTTGTVGASAGVTETVRASQGSTVTVGGSPRTTESELLPPGTTVPREVFSGTIVAVGASKESTVTVGASKSSTGTVGALQGSTGTLGSLGTTESRATSKRTTGTTGDSSRTTSSGGSLTGTTGSGIDLPRTTESGIVFLVAAVSGETSPETTGTEGASPGTAGTVRASQGSTVIVGASSETTESELIRPQTTASGEVLLGTTMAVGPSKEPTTVGASNSSTGTVTASQGFTGTLGFLPGTTESRATSQGTTGMGGGSSRTAGSGGSSTGTTGSGIVSLRTTESGIVSPGTAESGEMSPITTGTTEGLPRSTSSETASPEIRGSVEASTGTVGAVRASPETTGSEAGSQGTTGTRGVSPKTTSSRRTSPATTGLGASTPGTTGSGVVSPGSEIFSKGTTGSGQGSQGTTGTVLVSPGTTRSEEASPTTTGTVGPSSETARTVGVSLRTTGSRVVSPGTTGSGDASPGTTRTERASSRSTGLGGTFSVTTGLETASLGTARSGTVSQGSTGSGEALPRTTVSERSSPGTTGSGEASSGTTRLGSVLPRTTGSIEALPGTTGSVGVSPESAVTVGSSQESTRTVAVSPGSTTDSQGMTGSVDSSSKITGPIESSQGYNGTVKASPGSTDTQGTSSGTAGPTNSAGTSTGSSSWGSTIKGGSTAGGPTGPTGPKSGSITVATASAADTHKSVPSSTTSAASVTPTGSKGFSTNGIDAEGPTSSGPAGPTAGPSVVPTGSVATNGPGTDGTGSTGATNGSGASTTAEVSGETSGLAGSTNEGAAGTTAVNGWETTGGSFHGPSGPSPRTLKGPPSSGTFSTKATIESDSGATSGLSQGTVGSMGESSTAESSTAGTKGGVSPGTTGSKSSESSTASAGPSATISGASVGTSGSVTAGPGAEGPTSGGGVAPTEGSVRPTGARESTNESAAGTTAADVGGTTGAMGSAGTVTPSVVGTMGANGSTSIIPVSTETIGTTFPETLPGLTTGTTESSSTTIAPISAATSPGGTVLTIAAGTSLITTATTTSVAFTSNTPATESCELQGAICYCTRGCNDEIVGGAPIVDNYFCSCESLSVCKMTNEDLYPASDPCKAYCGELLSSFKQGVLQDKCTKATVTGCPEYHWRCEGPANYYDCYVPCLNPKRWSVCVGVASCAEAYMAVATCFGLYKGEMDCACAEEICADHYASPDVKNHCDRFTSDRLIECKRVAWAKCYPNSDCPFTQAVTDSCEQSTKWSNGFELAGSAVSSYRTTFDDKLDGNNNGCLGCVKGNWNPDLIVSLRTNKDWIVIIGNQFKLILYLGVTHISYANANLECKKSETSKVATVKGDQLVINQDQFAVIIKRSCTLGDECCPVSETLIRFTGNEHGCYDELFSYQCLGDFSYSKGDFTRVHGEGYIHCITQCENQTCSWSGKICTCENCGVSTVSGEDYERGGFSCPCDNPFVCIGKSNRVMGEPCFCGRKCPLNEDFVPINRTSCTRNTRRQAKPDSCDVYEAGGSCNQLTLDIPIQCLLIGDRCVCKNDDIHILKGPQMVEDNIYCDCQSKLVCNGENNQYMADPCTCGDVPVDEVDCSRDRESLSRCSSNCAKVNYKFVLGSPCSHECLGTRWGVIYTEKEACAKVYNGLITCLCDQSNECTCTYKKCEEHYPKPNVIPHCSALPGSSDLLIGCHREAFKEKEISICSSPNGKPCSMMEWSNAFAQSTKELINQSSMFDDEAKNNYSGCLGVTDTFQNPPMSVYLQMVPVIQIQLKVHFYTEVQLYSSSANFIQIKDSYYYGQNRIKDNTVTGDSNFVYKDVPVSSNLLLTVSNLCTGTQGSPCPRLEVSISWDENHVALFHCNGPFNYKVGDFTTLSSGEVKCRGPCLAETCSWKADQCTCQSGCPGERVEGPQYVTGSSECPCEAKLVCKGKEGIDDLLYGEPCTCGSVCESDQFPAVNSCTAPNSPGCEKYIHQEPCRQTGCVVDSDSRWSEFTGPGDSACLRSLESYTDCTSTKDRTQCYEDICIMKYDNSLAAVKGCKEFYTGIIKNCHQIGDECVEYSKGVANPCDGPIKSNAIHENIDQGPTSNFNGCLGCTPYQKHLTLSLKNNKDWMLTLIGRRSNLVNHEGYKKIHILKNNYPIQVSEGNVLITDGFISVDNNDILVTIKEIESDNNRKIEINFDGGSEICHRCFTYPCSSEFFFIRGNFSKYAGKSWTSIEGIIANTESYKPTAEPIHSGKEPTNLPGSTSYESSSTVGGGGSGSDSSWTNGGSDSVDSGPSLNGAEDPDSSRKRNGGTRSLEVEHQDSSGLIVGSDESIGSSNEFGNRASSDGSSSSDSGFGKFGAEASVSGSMGNGGIENIGFGEQGSDWLNSISNLSGGGVFQNESRFSTDGLDISVSGGSSSTQNGEVTKSSIGTDGFSNSDSVEFGFAADGKGAGLKLGSSGTGVSSGADPGFVITEGGASGSGRTERGDAKSVELGKEDSIGWGFGVDLSAGSSSFGAGYRTNTSDAPSDESRSNGADWRERSKDEDEGTAGFEFSFLPKKKDSDGSSSSGYFFGGPPTDESKSGGADQSDGSKDEAKGPAGLGLSFFPKTRDSDGSSSSSNFFGGAPTDESKPSGADQRQRSKDETEGPSGFELSFLPKKRDLDGSSSSGYSFGGSRSAAIKGDSKQRYLLAEVDKFFCWLAVVLYWLILKM
+>sp|Q8N307|MUC20_HUMAN Mucin-20 OS=Homo sapiens OX=9606 GN=MUC20 PE=1 SV=3
+MGCLWGLALPLFFFCWEVGVSGSSAGPSTRRADTAMTTDDTEVPAMTLAPGHAALETQTLSAETSSRASTPAGPIPEAETRGAKRISPARETRSFTKTSPNFMVLIATSVETSAASGSPEGAGMTTVQTITGSDPREAIFDTLCTDDSSEEAKTLTMDILTLAHTSTEAKGLSSESSASSDSPHPVITPSRASESSASSDGPHPVITPSRASESSASSDGPHPVITPSRASESSASSDGPHPVITPSRASESSASSDGPHPVITPSRASESSASSDGPHPVITPSRASESSASSDGPHPVITPSRASESSASSDGPHPVITPSRASESSASSDGPHPVITPSRASESSASSDGLHPVITPSRASESSASSDGPHPVITPSRASESSASSDGPHPVITPSWSPGSDVTLLAEALVTVTNIEVINCSITEIETTTSSIPGASDTDLIPTEGVKASSTSDPPALPDSTEAKPHITEVTASAETLSTAGTTESAAPDATVGTPLPTNSATEREVTAPGATTLSGALVTVSRNPLEETSALSVETPSYVKVSGAAPVSIEAGSAVGKTTSFAGSSASSYSPSEAALKNFTPSETPTMDIATKGPFPTSRDPLPSVPPTTTNSSRGTNSTLAKITTSAKTTMKPPTATPTTARTRPTTDVSAGENGGFLLLRLSVASPEDLTDPRVAERLMQQLHRELHAHAPHFQVSLLRVRRG
+>DECOY_sp|Q8N307|MUC20_HUMAN Mucin-20 OS=Homo sapiens OX=9606 GN=MUC20 PE=1 SV=3
+GRRVRLLSVQFHPAHAHLERHLQQMLREAVRPDTLDEPSAVSLRLLLFGGNEGASVDTTPRTRATTPTATPPKMTTKASTTIKALTSNTGRSSNTTTPPVSPLPDRSTPFPGKTAIDMTPTESPTFNKLAAESPSYSSASSGAFSTTKGVASGAEISVPAAGSVKVYSPTEVSLASTEELPNRSVTVLAGSLTTAGPATVERETASNTPLPTGVTADPAASETTGATSLTEASATVETIHPKAETSDPLAPPDSTSSAKVGETPILDTDSAGPISSTTTEIETISCNIVEINTVTVLAEALLTVDSGPSWSPTIVPHPGDSSASSESARSPTIVPHPGDSSASSESARSPTIVPHLGDSSASSESARSPTIVPHPGDSSASSESARSPTIVPHPGDSSASSESARSPTIVPHPGDSSASSESARSPTIVPHPGDSSASSESARSPTIVPHPGDSSASSESARSPTIVPHPGDSSASSESARSPTIVPHPGDSSASSESARSPTIVPHPGDSSASSESARSPTIVPHPSDSSASSESSLGKAETSTHALTLIDMTLTKAEESSDDTCLTDFIAERPDSGTITQVTTMGAGEPSGSAASTEVSTAILVMFNPSTKTFSRTERAPSIRKAGRTEAEPIPGAPTSARSSTEASLTQTELAAHGPALTMAPVETDDTTMATDARRTSPGASSGSVGVEWCFFFLPLALGWLCGM
+>sp|Q02817|MUC2_HUMAN Mucin-2 OS=Homo sapiens OX=9606 GN=MUC2 PE=1 SV=2
+MGLPLARLAAVCLALSLAGGSELQTEGRTRYHGRNVCSTWGNFHYKTFDGDVFRFPGLCDYNFASDCRGSYKEFAVHLKRGPGQAEAPAGVESILLTIKDDTIYLTRHLAVLNGAVVSTPHYSPGLLIEKSDAYTKVYSRAGLTLMWNREDALMLELDTKFRNHTCGLCGDYNGLQSYSEFLSDGVLFSPLEFGNMQKINQPDVVCEDPEEEVAPASCSEHRAECERLLTAEAFADCQDLVPLEPYLRACQQDRCRCPGGDTCVCSTVAEFSRQCSHAGGRPGNWRTATLCPKTCPGNLVYLESGSPCMDTCSHLEVSSLCEEHRMDGCFCPEGTVYDDIGDSGCVPVSQCHCRLHGHLYTPGQEITNDCEQCVCNAGRWVCKDLPCPGTCALEGGSHITTFDGKTYTFHGDCYYVLAKGDHNDSYALLGELAPCGSTDKQTCLKTVVLLADKKKNAVVFKSDGSVLLNQLQVNLPHVTASFSVFRPSSYHIMVSMAIGVRLQVQLAPVMQLFVTLDQASQGQVQGLCGNFNGLEGDDFKTASGLVEATGAGFANTWKAQSTCHDKLDWLDDPCSLNIESANYAEHWCSLLKKTETPFGRCHSAVDPAEYYKRCKYDTCNCQNNEDCLCAALSSYARACTAKGVMLWGWREHVCNKDVGSCPNSQVFLYNLTTCQQTCRSLSEADSHCLEGFAPVDGCGCPDHTFLDEKGRCVPLAKCSCYHRGLYLEAGDVVVRQEERCVCRDGRLHCRQIRLIGQSCTAPKIHMDCSNLTALATSKPRALSCQTLAAGYYHTECVSGCVCPDGLMDDGRGGCVVEKECPCVHNNDLYSSGAKIKVDCNTCTCKRGRWVCTQAVCHGTCSIYGSGHYITFDGKYYDFDGHCSYVAVQDYCGQNSSLGSFSIITENVPCGTTGVTCSKAIKIFMGRTELKLEDKHRVVIQRDEGHHVAYTTREVGQYLVVESSTGIIVIWDKRTTVFIKLAPSYKGTVCGLCGNFDHRSNNDFTTRDHMVVSSELDFGNSWKEAPTCPDVSTNPEPCSLNPHRRSWAEKQCSILKSSVFSICHSKVDPKPFYEACVHDSCSCDTGGDCECFCSAVASYAQECTKEGACVFWRTPDLCPIFCDYYNPPHECEWHYEPCGNRSFETCRTINGIHSNISVSYLEGCYPRCPKDRPIYEEDLKKCVTADKCGCYVEDTHYPPGASVPTEETCKSCVCTNSSQVVCRPEEGKILNQTQDGAFCYWEICGPNGTVEKHFNICSITTRPSTLTTFTTITLPTTPTSFTTTTTTTTPTSSTVLSTTPKLCCLWSDWINEDHPSSGSDDGDREPFDGVCGAPEDIECRSVKDPHLSLEQHGQKVQCDVSVGFICKNEDQFGNGPFGLCYDYKIRVNCCWPMDKCITTPSPPTTTPSPPPTTTTTLPPTTTPSPPTTTTTTPPPTTTPSPPITTTTTPLPTTTPSPPISTTTTPPPTTTPSPPTTTPSPPTTTPSPPTTTTTTPPPTTTPSPPMTTPITPPASTTTLPPTTTPSPPTTTTTTPPPTTTPSPPTTTPITPPTSTTTLPPTTTPSPPPTTTTTPPPTTTPSPPTTTTPSPPTITTTTPPPTTTPSPPTTTTTTPPPTTTPSPPTTTPITPPTSTTTLPPTTTPSPPPTTTTTPPPTTTPSPPTTTTPSPPITTTTTPPPTTTPSSPITTTPSPPTTTMTTPSPTTTPSSPITTTTTPSSTTTPSPPPTTMTTPSPTTTPSPPTTTMTTLPPTTTSSPLTTTPLPPSITPPTFSPFSTTTPTTPCVPLCNWTGWLDSGKPNFHKPGGDTELIGDVCGPGWAANISCRATMYPDVPIGQLGQTVVCDVSVGLICKNEDQKPGGVIPMAFCLNYEINVQCCECVTQPTTMTTTTTENPTPPTTTPITTTTTVTPTPTPTGTQTPTTTPITTTTTVTPTPTPTGTQTPTTTPITTTTTVTPTPTPTGTQTPTTTPITTTTTVTPTPTPTGTQTPTTTPITTTTTVTPTPTPTGTQTPTTTPITTTTTVTPTPTPTGTQTPTTTPITTTTTVTPTPTPTGTQTPTTTPITTTTTVTPTPTPTGTQTPTTTPITTTTTVTPTPTPTGTQTPTTTPITTTTTVTPTPTPTGTQTPTTTPITTTTTVTPTPTPTGTQTPTTTPITTTTTVTPTPTPTGTQTPTTTPITTTTTVTPTPTPTGTQTPTTTPITTTTTVTPTPTPTGTQTPTTTPITTTTTVTPTPTPTGTQTPTTTPITTTTTVTPTPTPTGTQTPTTTPITTTTTVTPTPTPTGTQTPTTTPITTTTTVTPTPTPTGTQTPTTTPITTTTTVTPTPTPTGTQTPTTTPITTTTTVTPTPTPTGTQTPTTTPITTTTTVTPTPTPTGTQTPTTTPITTTTTVTPTPTPTGTQTPTTTPITTTTTVTPTPTPTGTQTPTTTPITTTTTVTPTPTPTGTQTPTTTPITTTTTVTPTPTPTGTQTPTTTPITTTTTVTPTPTPTGTQTPTTTPITTTTTVTPTPTPTGTQTPTTTPITTTTTVTPTPTPTGTQTPTTTPITTTTTVTPTPTPTGTQTPTTTPITTTTTVTPTPTPTGTQTPTTTPITTTTTVTPTPTPTGTQTPTTTPITTTTTVTPTPTPTGTQTPTTTPITTTTTVTPTPTPTGTQTPTTTPITTTTTVTPTPTPTGTQTPTTTPITTTTTVTPTPTPTGTQTPTTTPITTTTTVTPTPTPTGTQTPTTTPITTTTTVTPTPTPTGTQTPTTTPITTTTTVTPTPTPTGTQTPTTTPITTTTTVTPTPTPTGTQTPTTTPITTTTTVTPTPTPTGTQTPTTTPITTTTTVTPTPTPTGTQTPTTTPITTTTTVTPTPTPTGTQTPTTTPITTTTTVTPTPTPTGTQTPTTTPITTTTTVTPTPTPTGTQTPTTTPITTTTTVTPTPTPTGTQTPTTTPITTTTTVTPTPTPTGTQTPTTTPITTTTTVTPTPTPTGTQTPTTTPITTTTTVTPTPTPTGTQTPTTTPITTTTTVTPTPTPTGTQTPTTTPITTTTTVTPTPTPTGTQTPTTTPITTTTTVTPTPTPTGTQTPTTTPITTTTTVTPTPTPTGTQTPTTTPITTTTTVTPTPTPTGTQTPTTTPITTTTTVTPTPTPTGTQTPTTTPITTTTTVTPTPTPTGTQTPTTTPITTTTTVTPTPTPTGTQTPTTTPITTTTTVTPTPTPTGTQTPTTTPITTTTTVTPTPTPTGTQTPTTTPITTTTTVTPTPTPTGTQTPTTTPITTTTTVTPTPTPTGTQTPTTTPITTTTTVTPTPTPTGTQTPTTTPITTTTTVTPTPTPTGTQTPTTTPITTTTTVTPTPTPTGTQTPTTTPITTTTTVTPTPTPTGTQTPTTTPITTTTTVTPTPTPTGTQTPTTTPITTTTTVTPTPTPTGTQTPTTTPITTTTTVTPTPTPTGTQTPTTTPITTTTTVTPTPTPTGTQTPTTTPITTTTTVTPTPTPTGTQTPTTTPITTTTTVTPTPTPTGTQTPTTTPITTTTTVTPTPTPTGTQTPTTTPITTTTTVTPTPTPTGTQTPTTTPITTTTTVTPTPTPTGTQTPTTTPITTTTTVTPTPTPTGTQTPTTTPITTTTTVTPTPTPTGTQTPTTTPITTTTTVTPTPTPTGTQTPTTTPITTTTTVTPTPTPTGTQTPTTTPITTTTTVTPTPTPTGTQTPTTTPITTTTTVTPTPTPTGTQTPTTTPITTTTTVTPTPTPTGTQTPTTTPITTTTTVTPTPTPTGTQTPTTTPITTTTTVTPTPTPTGTQTPTTTPITTTTTVTPTPTPTGTQTPTTTPITTTTTVTPTPTPTGTQTPTTTPITTTTTVTPTPTPTGTQTPTTTPITTTTTVTPTPTPTGTQTPTTTPITTTTTVTPTPTPTGTQTPTTTPITTTTTVTPTPTPTGTQTPTTTPITTTTTVTPTPTPTGTQTPTTTPITTTTTVTPTPTPTGTQTPTTTPITTTTTVTPTPTPTGTQTPTTTPITTTTTVTPTPTPTGTQTPTTTPITTTTTVTPTPTPTGTQTPTTTPITTTTTVTPTPTPTGTQTPTTTPITTTTTVTPTPTPTGTQTPTTTPITTTTTVTPTPTPTGTQTPTTTPITTTTTVTPTPTPTGTQTPTTTPITTTTTVTPTPTPTGTQTPTTTPITTTTTVTPTPTPTGTQTPTTTPITTTTTVTPTPTPTGTQTGPPTHTSTAPIAELTTSNPPPESSTPQTSRSTSSPLTESTTLLSTLPPAIEMTSTAPPSTPTAPTTTSGGHTLSPPPSTTTSPPGTPTRGTTTGSSSAPTPSTVQTTTTSAWTPTPTPLSTPSIIRTTGLRPYPSSVLICCVLNDTYYAPGEEVYNGTYGDTCYFVNCSLSCTLEFYNWSCPSTPSPTPTPSKSTPTPSKPSSTPSKPTPGTKPPECPDFDPPRQENETWWLCDCFMATCKYNNTVEIVKVECEPPPMPTCSNGLQPVRVEDPDGCCWHWECDCYCTGWGDPHYVTFDGLYYSYQGNCTYVLVEEISPSVDNFGVYIDNYHCDPNDKVSCPRTLIVRHETQEVLIKTVHMMPMQVQVQVNRQAVALPYKKYGLEVYQSGINYVVDIPELGVLVSYNGLSFSVRLPYHRFGNNTKGQCGTCTNTTSDDCILPSGEIVSNCEAAADQWLVNDPSKPHCPHSSSTTKRPAVTVPGGGKTTPHKDCTPSPLCQLIKDSLFAQCHALVPPQHYYDACVFDSCFMPGSSLECASLQAYAALCAQQNICLDWRNHTHGACLVECPSHREYQACGPAEEPTCKSSSSQQNNTVLVEGCFCPEGTMNYAPGFDVCVKTCGCVGPDNVPREFGEHFEFDCKNCVCLEGGSGIICQPKRCSQKPVTHCVEDGTYLATEVNPADTCCNITVCKCNTSLCKEKPSVCPLGFEVKSKMVPGRCCPFYWCESKGVCVHGNAEYQPGSPVYSSKCQDCVCTDKVDNNTLLNVIACTHVPCNTSCSPGFELMEAPGECCKKCEQTHCIIKRPDNQHVILKPGDFKSDPKNNCTFFSCVKIHNQLISSVSNITCPNFDASICIPGSITFMPNGCCKTCTPRNETRVPCSTVPVTTEVSYAGCTKTVLMNHCSGSCGTFVMYSAKAQALDHSCSCCKEEKTSQREVVLSCPNGGSLTHTYTHIESCQCQDTVCGLPTGTSRRARRSPRHLGSG
+>DECOY_sp|Q02817|MUC2_HUMAN Mucin-2 OS=Homo sapiens OX=9606 GN=MUC2 PE=1 SV=2
+GSGLHRPSRRARRSTGTPLGCVTDQCQCSEIHTYTHTLSGGNPCSLVVERQSTKEEKCCSCSHDLAQAKASYMVFTGCSGSCHNMLVTKTCGAYSVETTVPVTSCPVRTENRPTCTKCCGNPMFTISGPICISADFNPCTINSVSSILQNHIKVCSFFTCNNKPDSKFDGPKLIVHQNDPRKIICHTQECKKCCEGPAEMLEFGPSCSTNCPVHTCAIVNLLTNNDVKDTCVCDQCKSSYVPSGPQYEANGHVCVGKSECWYFPCCRGPVMKSKVEFGLPCVSPKEKCLSTNCKCVTINCCTDAPNVETALYTGDEVCHTVPKQSCRKPQCIIGSGGELCVCNKCDFEFHEGFERPVNDPGVCGCTKVCVDFGPAYNMTGEPCFCGEVLVTNNQQSSSSKCTPEEAPGCAQYERHSPCEVLCAGHTHNRWDLCINQQACLAAYAQLSACELSSGPMFCSDFVCADYYHQPPVLAHCQAFLSDKILQCLPSPTCDKHPTTKGGGPVTVAPRKTTSSSHPCHPKSPDNVLWQDAAAECNSVIEGSPLICDDSTTNTCTGCQGKTNNGFRHYPLRVSFSLGNYSVLVGLEPIDVVYNIGSQYVELGYKKYPLAVAQRNVQVQVQMPMMHVTKILVEQTEHRVILTRPCSVKDNPDCHYNDIYVGFNDVSPSIEEVLVYTCNGQYSYYLGDFTVYHPDGWGTCYCDCEWHWCCGDPDEVRVPQLGNSCTPMPPPECEVKVIEVTNNYKCTAMFCDCLWWTENEQRPPDFDPCEPPKTGPTPKSPTSSPKSPTPTSKSPTPTPSPTSPCSWNYFELTCSLSCNVFYCTDGYTGNYVEEGPAYYTDNLVCCILVSSPYPRLGTTRIISPTSLPTPTPTWASTTTTQVTSPTPASSSGTTTGRTPTGPPSTTTSPPPSLTHGGSTTTPATPTSPPATSTMEIAPPLTSLLTTSETLPSSTSRSTQPTSSEPPPNSTTLEAIPATSTHTPPGTQTGTPTPTPTVTTTTTIPTTTPTQTGTPTPTPTVTTTTTIPTTTPTQTGTPTPTPTVTTTTTIPTTTPTQTGTPTPTPTVTTTTTIPTTTPTQTGTPTPTPTVTTTTTIPTTTPTQTGTPTPTPTVTTTTTIPTTTPTQTGTPTPTPTVTTTTTIPTTTPTQTGTPTPTPTVTTTTTIPTTTPTQTGTPTPTPTVTTTTTIPTTTPTQTGTPTPTPTVTTTTTIPTTTPTQTGTPTPTPTVTTTTTIPTTTPTQTGTPTPTPTVTTTTTIPTTTPTQTGTPTPTPTVTTTTTIPTTTPTQTGTPTPTPTVTTTTTIPTTTPTQTGTPTPTPTVTTTTTIPTTTPTQTGTPTPTPTVTTTTTIPTTTPTQTGTPTPTPTVTTTTTIPTTTPTQTGTPTPTPTVTTTTTIPTTTPTQTGTPTPTPTVTTTTTIPTTTPTQTGTPTPTPTVTTTTTIPTTTPTQTGTPTPTPTVTTTTTIPTTTPTQTGTPTPTPTVTTTTTIPTTTPTQTGTPTPTPTVTTTTTIPTTTPTQTGTPTPTPTVTTTTTIPTTTPTQTGTPTPTPTVTTTTTIPTTTPTQTGTPTPTPTVTTTTTIPTTTPTQTGTPTPTPTVTTTTTIPTTTPTQTGTPTPTPTVTTTTTIPTTTPTQTGTPTPTPTVTTTTTIPTTTPTQTGTPTPTPTVTTTTTIPTTTPTQTGTPTPTPTVTTTTTIPTTTPTQTGTPTPTPTVTTTTTIPTTTPTQTGTPTPTPTVTTTTTIPTTTPTQTGTPTPTPTVTTTTTIPTTTPTQTGTPTPTPTVTTTTTIPTTTPTQTGTPTPTPTVTTTTTIPTTTPTQTGTPTPTPTVTTTTTIPTTTPTQTGTPTPTPTVTTTTTIPTTTPTQTGTPTPTPTVTTTTTIPTTTPTQTGTPTPTPTVTTTTTIPTTTPTQTGTPTPTPTVTTTTTIPTTTPTQTGTPTPTPTVTTTTTIPTTTPTQTGTPTPTPTVTTTTTIPTTTPTQTGTPTPTPTVTTTTTIPTTTPTQTGTPTPTPTVTTTTTIPTTTPTQTGTPTPTPTVTTTTTIPTTTPTQTGTPTPTPTVTTTTTIPTTTPTQTGTPTPTPTVTTTTTIPTTTPTQTGTPTPTPTVTTTTTIPTTTPTQTGTPTPTPTVTTTTTIPTTTPTQTGTPTPTPTVTTTTTIPTTTPTQTGTPTPTPTVTTTTTIPTTTPTQTGTPTPTPTVTTTTTIPTTTPTQTGTPTPTPTVTTTTTIPTTTPTQTGTPTPTPTVTTTTTIPTTTPTQTGTPTPTPTVTTTTTIPTTTPTQTGTPTPTPTVTTTTTIPTTTPTQTGTPTPTPTVTTTTTIPTTTPTQTGTPTPTPTVTTTTTIPTTTPTQTGTPTPTPTVTTTTTIPTTTPTQTGTPTPTPTVTTTTTIPTTTPTQTGTPTPTPTVTTTTTIPTTTPTQTGTPTPTPTVTTTTTIPTTTPTQTGTPTPTPTVTTTTTIPTTTPTQTGTPTPTPTVTTTTTIPTTTPTQTGTPTPTPTVTTTTTIPTTTPTQTGTPTPTPTVTTTTTIPTTTPTQTGTPTPTPTVTTTTTIPTTTPTQTGTPTPTPTVTTTTTIPTTTPTQTGTPTPTPTVTTTTTIPTTTPTQTGTPTPTPTVTTTTTIPTTTPTQTGTPTPTPTVTTTTTIPTTTPTQTGTPTPTPTVTTTTTIPTTTPTQTGTPTPTPTVTTTTTIPTTTPTQTGTPTPTPTVTTTTTIPTTTPTQTGTPTPTPTVTTTTTIPTTTPTQTGTPTPTPTVTTTTTIPTTTPTQTGTPTPTPTVTTTTTIPTTTPTQTGTPTPTPTVTTTTTIPTTTPTQTGTPTPTPTVTTTTTIPTTTPTQTGTPTPTPTVTTTTTIPTTTPTQTGTPTPTPTVTTTTTIPTTTPTQTGTPTPTPTVTTTTTIPTTTPTQTGTPTPTPTVTTTTTIPTTTPTQTGTPTPTPTVTTTTTIPTTTPTQTGTPTPTPTVTTTTTIPTTTPTQTGTPTPTPTVTTTTTIPTTTPTQTGTPTPTPTVTTTTTIPTTTPTQTGTPTPTPTVTTTTTIPTTTPTQTGTPTPTPTVTTTTTIPTTTPTQTGTPTPTPTVTTTTTIPTTTPTQTGTPTPTPTVTTTTTIPTTTPTQTGTPTPTPTVTTTTTIPTTTPTQTGTPTPTPTVTTTTTIPTTTPTQTGTPTPTPTVTTTTTIPTTTPTQTGTPTPTPTVTTTTTIPTTTPTQTGTPTPTPTVTTTTTIPTTTPTQTGTPTPTPTVTTTTTIPTTTPTQTGTPTPTPTVTTTTTIPTTTPTQTGTPTPTPTVTTTTTIPTTTPPTPNETTTTTMTTPQTVCECCQVNIEYNLCFAMPIVGGPKQDENKCILGVSVDCVVTQGLQGIPVDPYMTARCSINAAWGPGCVDGILETDGGPKHFNPKGSDLWGTWNCLPVCPTTPTTTSFPSFTPPTISPPLPTTTLPSSTTTPPLTTMTTTPPSPTTTPSPTTMTTPPPSPTTTSSPTTTTTIPSSPTTTPSPTTMTTTPPSPTTTIPSSPTTTPPPTTTTTIPPSPTTTTPPSPTTTPPPTTTTTPPPSPTTTPPLTTTSTPPTIPTTTPPSPTTTPPPTTTTTTPPSPTTTPPPTTTTITPPSPTTTTPPSPTTTPPPTTTTTPPPSPTTTPPLTTTSTPPTIPTTTPPSPTTTPPPTTTTTTPPSPTTTPPLTTTSAPPTIPTTMPPSPTTTPPPTTTTTTPPSPTTTPPSPTTTPPSPTTTPPPTTTTSIPPSPTTTPLPTTTTTIPPSPTTTPPPTTTTTTPPSPTTTPPLTTTTTPPPSPTTTPPSPTTICKDMPWCCNVRIKYDYCLGFPGNGFQDENKCIFGVSVDCQVKQGHQELSLHPDKVSRCEIDEPAGCVGDFPERDGDDSGSSPHDENIWDSWLCCLKPTTSLVTSSTPTTTTTTTTFSTPTTPLTITTFTTLTSPRTTISCINFHKEVTGNPGCIEWYCFAGDQTQNLIKGEEPRCVVQSSNTCVCSKCTEETPVSAGPPYHTDEVYCGCKDATVCKKLDEEYIPRDKPCRPYCGELYSVSINSHIGNITRCTEFSRNGCPEYHWECEHPPNYYDCFIPCLDPTRWFVCAGEKTCEQAYSAVASCFCECDGGTDCSCSDHVCAEYFPKPDVKSHCISFVSSKLISCQKEAWSRRHPNLSCPEPNTSVDPCTPAEKWSNGFDLESSVVMHDRTTFDNNSRHDFNGCLGCVTGKYSPALKIFVTTRKDWIVIIGTSSEVVLYQGVERTTYAVHHGEDRQIVVRHKDELKLETRGMFIKIAKSCTVGTTGCPVNETIISFSGLSSNQGCYDQVAVYSCHGDFDYYKGDFTIYHGSGYISCTGHCVAQTCVWRGRKCTCTNCDVKIKAGSSYLDNNHVCPCEKEVVCGGRGDDMLGDPCVCGSVCETHYYGAALTQCSLARPKSTALATLNSCDMHIKPATCSQGILRIQRCHLRGDRCVCREEQRVVVDGAELYLGRHYCSCKALPVCRGKEDLFTHDPCGCGDVPAFGELCHSDAESLSRCTQQCTTLNYLFVQSNPCSGVDKNCVHERWGWLMVGKATCARAYSSLAACLCDENNQCNCTDYKCRKYYEAPDVASHCRGFPTETKKLLSCWHEAYNASEINLSCPDDLWDLKDHCTSQAKWTNAFGAGTAEVLGSATKFDDGELGNFNGCLGQVQGQSAQDLTVFLQMVPALQVQLRVGIAMSVMIHYSSPRFVSFSATVHPLNVQLQNLLVSGDSKFVVANKKKDALLVVTKLCTQKDTSGCPALEGLLAYSDNHDGKALVYYCDGHFTYTKGDFTTIHSGGELACTGPCPLDKCVWRGANCVCQECDNTIEQGPTYLHGHLRCHCQSVPVCGSDGIDDYVTGEPCFCGDMRHEECLSSVELHSCTDMCPSGSELYVLNGPCTKPCLTATRWNGPRGGAHSCQRSFEAVTSCVCTDGGPCRCRDQQCARLYPELPVLDQCDAFAEATLLRECEARHESCSAPAVEEEPDECVVDPQNIKQMNGFELPSFLVGDSLFESYSQLGNYDGCLGCTHNRFKTDLELMLADERNWMLTLGARSYVKTYADSKEILLGPSYHPTSVVAGNLVALHRTLYITDDKITLLISEVGAPAEAQGPGRKLHVAFEKYSGRCDSAFNYDCLGPFRFVDGDFTKYHFNGWTSCVNRGHYRTRGETQLESGGALSLALCVAALRALPLGM
+>sp|Q6W4X9|MUC6_HUMAN Mucin-6 OS=Homo sapiens OX=9606 GN=MUC6 PE=1 SV=3
+MVQRWLLLSCCGALLSAGLANTSYTSPGLQRLKDSPQTAPDKGQCSTWGAGHFSTFDHHVYDFSGTCNYIFAATCKDAFPTFSVQLRRGPDGSISRIIVELGASVVTVSEAIISVKDIGVISLPYTSNGLQITPFGQSVRLVAKQLELELEVVWGPDSHLMVLVERKYMGQMCGLCGNFDGKVTNEFVSEEGKFLEPHKFAALQKLDDPGEICTFQDIPSTHVRQAQHARICTQLLTLVAPECSVSKEPFVLSCQADVAAAPQPGPQNSSCATLSEYSRQCSMVGQPVRRWRSPGLCSVGQCPANQVYQECGSACVKTCSNPQHSCSSSCTFGCFCPEGTVLNDLSNNHTCVPVTQCPCVLHGAMYAPGEVTIAACQTCRCTLGRWVCTERPCPGHCSLEGGSFVTTFDARPYRFHGTCTYILLQSPQLPEDGALMAVYDKSGVSHSETSLVAVVYLSRQDKIVISQDEVVTNNGEAKWLPYKTRNITVFRQTSTHLQMATSFGLELVVQLRPIFQAYVTVGPQFRGQTRGLCGNFNGDTTDDFTTSMGIAEGTASLFVDSWRAGNCPAALERETDPCSMSQLNKVCAETHCSMLLRTGTVFERCHATVNPAPFYKRCVYQACNYEETFPHICAALGDYVHACSLRGVLLWGWRSSVDNCTIPCTGNTTFSYNSQACERTCLSLSDRATECHHSAVPVDGCNCPDGTYLNQKGECVRKAQCPCILEGYKFILAEQSTVINGITCHCINGRLSCPQRPQMFLASCQAPKTFKSCSQSSENKFGAACAPTCQMLATGVACVPTKCEPGCVCAEGLYENADGQCVPPEECPCEFSGVSYPGGAELHTDCRTCSCSRGRWACQQGTHCPSTCTLYGEGHVITFDGQRFVFDGNCEYILATDVCGVNDSQPTFKILTENVICGNSGVTCSRAIKIFLGGLSVVLADRNYTVTGEEPHVQLGVTPGALSLVVDISIPGRYNLTLIWNRHMTILIRIARASQDPLCGLCGNFNGNMKDDFETRSRYVASSELELVNSWKESPLCGDVSFVTDPCSLNAFRRSWAERKCSVINSQTFATCHSKVYHLPYYEACVRDACGCDSGGDCECLCDAVAAYAQACLDKGVCVDWRTPAFCPIYCGFYNTHTQDGHGEYQYTQEANCTWHYQPCLCPSQPQSVPGSNIEGCYNCSQDEYFDHEEGVCVPCMPPTTPQPPTTPQLPTTGSRPTQVWPMTGTSTTIGLLSSTGPSPSSNHTPASPTQTPLLPATLTSSKPTASSGEPPRPTTAVTPQATSGLPPTATLRSTATKPTVTQATTRATASTASPATTSTAQSTTRTTMTLPTPATSGTSPTLPKSTNQELPGTTATQTTGPRPTPASTTGPTTPQPGQPTRPTATETTQTRTTTEYTTPQTPHTTHSPPTAGSPVPSTGPVTATSFHATTTYPTPSHPETTLPTHVPPFSTSLVTPSTHTVITPTHAQMATSASNHSAPTGTIPPPTTLKATGSTHTAPPITPTTSGTSQAHSSFSTNKTPTSLHSHTSSTHHPEVTPTSTTTITPNPTSTRTRTPVAHTNSATSSRPPPPFTTHSPPTGSSPFSSTGPMTATSFKTTTTYPTPSHPQTTLPTHVPPFSTSLVTPSTHTVITPTHAQMATSASIHSMPTGTIPPPTTLKATGSTHTAPTMTLTTSGTSQALSSLNTAKTSTSLHSHTSSTHHAEATSTSTTNITPNPTSTGTPPMTVTTSGTSQSRSSFSTAKTSTSLHSHTSSTHHPEVTSTSTTSITPNHTSTGTRTPVAHTTSATSSRLPTPFTTHSPPTGTTPISSTGPVTATSFQTTTTYPTPSHPHTTLPTHVPSFSTSLVTPSTHTVIIPTHTQMATSASIHSMPTGTIPPPTTIKATGSTHTAPPMTPTTSGTSQSPSSFSTAKTSTSLPYHTSSTHHPEVTPTSTTNITPKHTSTGTRTPVAHTTSASSSRLPTPFTTHSPPTGSSPFSSTGPMTATSFQTTTTYPTPSHPQTTLPTHVPPFSTSLVTPSTHTVIITTHTQMATSASIHSTPTGTVPPPTTLKATGSTHTAPPMTVTTSGTSQTHSSFSTATASSSFISSSSWLPQNSSSRPPSSPITTQLPHLSSATTPVSTTNQLSSSFSPSPSAPSTVSSYVPSSHSSPQTSSPSVGTSSSFVSAPVHSTTLSSGSHSSLSTHPTTASVSASPLFPSSPAASTTIRATLPHTISSPFTLSALLPISTVTVSPTPSSHLASSTIAFPSTPRTTASTHTAPAFSSQSTTSRSTSLTTRVPTSGFVSLTSGVTGIPTSPVTNLTTRHPGPTLSPTTRFLTSSLTAHGSTPASAPVSSLGTPTPTSPGVCSVREQQEEITFKGCMANVTVTRCEGACISAASFNIITQQVDARCSCCRPLHSYEQQLELPCPDPSTPGRRLVLTLQVFSHCVCSSVACGD
+>DECOY_sp|Q6W4X9|MUC6_HUMAN Mucin-6 OS=Homo sapiens OX=9606 GN=MUC6 PE=1 SV=3
+DGCAVSSCVCHSFVQLTLVLRRGPTSPDPCPLELQQEYSHLPRCCSCRADVQQTIINFSAASICAGECRTVTVNAMCGKFTIEEQQERVSCVGPSTPTPTGLSSVPASAPTSGHATLSSTLFRTTPSLTPGPHRTTLNTVPSTPIGTVGSTLSVFGSTPVRTTLSTSRSTTSQSSFAPATHTSATTRPTSPFAITSSALHSSPTPSVTVTSIPLLASLTFPSSITHPLTARITTSAAPSSPFLPSASVSATTPHTSLSSHSGSSLTTSHVPASVFSSSTGVSPSSTQPSSHSSPVYSSVTSPASPSPSFSSSLQNTTSVPTTASSLHPLQTTIPSSPPRSSSNQPLWSSSSIFSSSATATSFSSHTQSTGSTTVTMPPATHTSGTAKLTTPPPVTGTPTSHISASTAMQTHTTIIVTHTSPTVLSTSFPPVHTPLTTQPHSPTPYTTTTQFSTATMPGTSSFPSSGTPPSHTTFPTPLRSSSASTTHAVPTRTGTSTHKPTINTTSTPTVEPHHTSSTHYPLSTSTKATSFSSPSQSTGSTTPTMPPATHTSGTAKITTPPPITGTPMSHISASTAMQTHTPIIVTHTSPTVLSTSFSPVHTPLTTHPHSPTPYTTTTQFSTATVPGTSSIPTTGTPPSHTTFPTPLRSSTASTTHAVPTRTGTSTHNPTISTTSTSTVEPHHTSSTHSHLSTSTKATSFSSRSQSTGSTTVTMPPTGTSTPNPTINTTSTSTAEAHHTSSTHSHLSTSTKATNLSSLAQSTGSTTLTMTPATHTSGTAKLTTPPPITGTPMSHISASTAMQAHTPTIVTHTSPTVLSTSFPPVHTPLTTQPHSPTPYTTTTKFSTATMPGTSSFPSSGTPPSHTTFPPPPRSSTASNTHAVPTRTRTSTPNPTITTTSTPTVEPHHTSSTHSHLSTPTKNTSFSSHAQSTGSTTPTIPPATHTSGTAKLTTPPPITGTPASHNSASTAMQAHTPTIVTHTSPTVLSTSFPPVHTPLTTEPHSPTPYTTTAHFSTATVPGTSPVPSGATPPSHTTHPTQPTTYETTTRTQTTETATPRTPQGPQPTTPGTTSAPTPRPGTTQTATTGPLEQNTSKPLTPSTGSTAPTPLTMTTRTTSQATSTTAPSATSATARTTAQTVTPKTATSRLTATPPLGSTAQPTVATTPRPPEGSSATPKSSTLTAPLLPTQTPSAPTHNSSPSPGTSSLLGITTSTGTMPWVQTPRSGTTPLQPTTPPQPTTPPMCPVCVGEEHDFYEDQSCNYCGEINSGPVSQPQSPCLCPQYHWTCNAEQTYQYEGHGDQTHTNYFGCYIPCFAPTRWDVCVGKDLCAQAYAAVADCLCECDGGSDCGCADRVCAEYYPLHYVKSHCTAFTQSNIVSCKREAWSRRFANLSCPDTVFSVDGCLPSEKWSNVLELESSAVYRSRTEFDDKMNGNFNGCLGCLPDQSARAIRILITMHRNWILTLNYRGPISIDVVLSLAGPTVGLQVHPEEGTVTYNRDALVVSLGGLFIKIARSCTVGSNGCIVNETLIKFTPQSDNVGCVDTALIYECNGDFVFRQGDFTIVHGEGYLTCTSPCHTGQQCAWRGRSCSCTRCDTHLEAGGPYSVGSFECPCEEPPVCQGDANEYLGEACVCGPECKTPVCAVGTALMQCTPACAAGFKNESSQSCSKFTKPAQCSALFMQPRQPCSLRGNICHCTIGNIVTSQEALIFKYGELICPCQAKRVCEGKQNLYTGDPCNCGDVPVASHHCETARDSLSLCTRECAQSNYSFTTNGTCPITCNDVSSRWGWLLVGRLSCAHVYDGLAACIHPFTEEYNCAQYVCRKYFPAPNVTAHCREFVTGTRLLMSCHTEACVKNLQSMSCPDTERELAAPCNGARWSDVFLSATGEAIGMSTTFDDTTDGNFNGCLGRTQGRFQPGVTVYAQFIPRLQVVLELGFSTAMQLHTSTQRFVTINRTKYPLWKAEGNNTVVEDQSIVIKDQRSLYVVAVLSTESHSVGSKDYVAMLAGDEPLQPSQLLIYTCTGHFRYPRADFTTVFSGGELSCHGPCPRETCVWRGLTCRCTQCAAITVEGPAYMAGHLVCPCQTVPVCTHNNSLDNLVTGEPCFCGFTCSSSCSHQPNSCTKVCASGCEQYVQNAPCQGVSCLGPSRWRRVPQGVMSCQRSYESLTACSSNQPGPQPAAAVDAQCSLVFPEKSVSCEPAVLTLLQTCIRAHQAQRVHTSPIDQFTCIEGPDDLKQLAAFKHPELFKGEESVFENTVKGDFNGCLGCMQGMYKREVLVMLHSDPGWVVELELELQKAVLRVSQGFPTIQLGNSTYPLSIVGIDKVSIIAESVTVVSAGLEVIIRSISGDPGRRLQVSFTPFADKCTAAFIYNCTGSFDYVHHDFTSFHGAGWTSCQGKDPATQPSDKLRQLGPSTYSTNALGASLLAGCCSLLLWRQVM
+>sp|Q9Y3D2|MSRB2_HUMAN Methionine-R-sulfoxide reductase B2, mitochondrial OS=Homo sapiens OX=9606 GN=MSRB2 PE=1 SV=2
+MARLLWLLRGLTLGTAPRRAVRGQAGGGGPGTGPGLGEAGSLATCELPLAKSEWQKKLTPEQFYVTREKGTEPPFSGIYLNNKEAGMYHCVCCDSPLFSSEKKYCSGTGWPSFSEAHGTSGSDESHTGILRRLDTSLGSARTEVVCKQCEAHLGHVFPDGPGPNGQRFCINSVALKFKPRKH
+>DECOY_sp|Q9Y3D2|MSRB2_HUMAN Methionine-R-sulfoxide reductase B2, mitochondrial OS=Homo sapiens OX=9606 GN=MSRB2 PE=1 SV=2
+HKRPKFKLAVSNICFRQGNPGPGDPFVHGLHAECQKCVVETRASGLSTDLRRLIGTHSEDSGSTGHAESFSPWGTGSCYKKESSFLPSDCCVCHYMGAEKNNLYIGSFPPETGKERTVYFQEPTLKKQWESKALPLECTALSGAEGLGPGTGPGGGGAQGRVARRPATGLTLGRLLWLLRAM
+>sp|P47224|MSS4_HUMAN Guanine nucleotide exchange factor MSS4 OS=Homo sapiens OX=9606 GN=RABIF PE=1 SV=2
+MEPAEQPSELVSAEGRNRKAVLCQRCGSRVLQPGTALFSRRQLFLPSMRKKPALSDGSNPDGDLLQEHWLVEDMFIFENVGFTKDVGNIKFLVCADCEIGPIGWHCLDDKNSFYVALERVSHE
+>DECOY_sp|P47224|MSS4_HUMAN Guanine nucleotide exchange factor MSS4 OS=Homo sapiens OX=9606 GN=RABIF PE=1 SV=2
+EHSVRELAVYFSNKDDLCHWGIPGIECDACVLFKINGVDKTFGVNEFIFMDEVLWHEQLLDGDPNSGDSLAPKKRMSPLFLQRRSFLATGPQLVRSGCRQCLVAKRNRGEASVLESPQEAPEM
+>sp|Q8IVN3|MSTN1_HUMAN Musculoskeletal embryonic nuclear protein 1 OS=Homo sapiens OX=9606 GN=MUSTN1 PE=3 SV=2
+MSQAGAQEAPIKKKRPPVKDEDLKGARGNLTKNQEIKSKTYQVMRECEQAGSAAPSVFSRTRTGTETVFEKPKAGPTKSVFG
+>DECOY_sp|Q8IVN3|MSTN1_HUMAN Musculoskeletal embryonic nuclear protein 1 OS=Homo sapiens OX=9606 GN=MUSTN1 PE=3 SV=2
+GFVSKTPGAKPKEFVTETGTRTRSFVSPAASGAQECERMVQYTKSKIEQNKTLNGRAGKLDEDKVPPRKKKIPAEQAGAQSM
+>sp|P35548|MSX2_HUMAN Homeobox protein MSX-2 OS=Homo sapiens OX=9606 GN=MSX2 PE=1 SV=3
+MASPSKGNDLFSPDEEGPAVVAGPGPGPGGAEGAAEERRVKVSSLPFSVEALMSDKKPPKEASPLPAESASAGATLRPLLLSGHGAREAHSPGPLVKPFETASVKSENSEDGAAWMQEPGRYSPPPRHMSPTTCTLRKHKTNRKPRTPFTTSQLLALERKFRQKQYLSIAERAEFSSSLNLTETQVKIWFQNRRAKAKRLQEAELEKLKMAAKPMLPSSFSLPFPISSPLQAASIYGASYPFHRPVLPIPPVGLYATPVGYGMYHLS
+>DECOY_sp|P35548|MSX2_HUMAN Homeobox protein MSX-2 OS=Homo sapiens OX=9606 GN=MSX2 PE=1 SV=3
+SLHYMGYGVPTAYLGVPPIPLVPRHFPYSAGYISAAQLPSSIPFPLSFSSPLMPKAAMKLKELEAEQLRKAKARRNQFWIKVQTETLNLSSSFEAREAISLYQKQRFKRELALLQSTTFPTRPKRNTKHKRLTCTTPSMHRPPPSYRGPEQMWAAGDESNESKVSATEFPKVLPGPSHAERAGHGSLLLPRLTAGASASEAPLPSAEKPPKKDSMLAEVSFPLSSVKVRREEAAGEAGGPGPGPGAVVAPGEEDPSFLDNGKSPSAM
+>sp|P04732|MT1E_HUMAN Metallothionein-1E OS=Homo sapiens OX=9606 GN=MT1E PE=1 SV=1
+MDPNCSCATGGSCTCAGSCKCKECKCTSCKKSCCSCCPVGCAKCAQGCVCKGASEKCSCCA
+>DECOY_sp|P04732|MT1E_HUMAN Metallothionein-1E OS=Homo sapiens OX=9606 GN=MT1E PE=1 SV=1
+ACCSCKESAGKCVCGQACKACGVPCCSCCSKKCSTCKCEKCKCSGACTCSGGTACSCNPDM
+>sp|P13640|MT1G_HUMAN Metallothionein-1G OS=Homo sapiens OX=9606 GN=MT1G PE=1 SV=2
+MDPNCSCAAAGVSCTCASSCKCKECKCTSCKKSCCSCCPVGCAKCAQGCICKGASEKCSCCA
+>DECOY_sp|P13640|MT1G_HUMAN Metallothionein-1G OS=Homo sapiens OX=9606 GN=MT1G PE=1 SV=2
+ACCSCKESAGKCICGQACKACGVPCCSCCSKKCSTCKCEKCKCSSACTCSVGAAACSCNPDM
+>sp|P80294|MT1H_HUMAN Metallothionein-1H OS=Homo sapiens OX=9606 GN=MT1H PE=1 SV=1
+MDPNCSCEAGGSCACAGSCKCKKCKCTSCKKSCCSCCPLGCAKCAQGCICKGASEKCSCCA
+>DECOY_sp|P80294|MT1H_HUMAN Metallothionein-1H OS=Homo sapiens OX=9606 GN=MT1H PE=1 SV=1
+ACCSCKESAGKCICGQACKACGLPCCSCCSKKCSTCKCKKCKCSGACACSGGAECSCNPDM
+>sp|Q8WXB1|MT21A_HUMAN Protein N-lysine methyltransferase METTL21A OS=Homo sapiens OX=9606 GN=METTL21A PE=1 SV=2
+MALVPYEETTEFGLQKFHKPLATFSFANHTIQIRQDWRHLGVAAVVWDAAIVLSTYLEMGAVELRGRSAVELGAGTGLVGIVAALLGAHVTITDRKVALEFLKSNVQANLPPHIQTKTVVKELTWGQNLGSFSPGEFDLILGADIIYLEETFTDLLQTLEHLCSNHSVILLACRIRYERDNNFLAMLERQFTVRKVHYDPEKDVHIYEAQKRNQKEDL
+>DECOY_sp|Q8WXB1|MT21A_HUMAN Protein N-lysine methyltransferase METTL21A OS=Homo sapiens OX=9606 GN=METTL21A PE=1 SV=2
+LDEKQNRKQAEYIHVDKEPDYHVKRVTFQRELMALFNNDREYRIRCALLIVSHNSCLHELTQLLDTFTEELYIIDAGLILDFEGPSFSGLNQGWTLEKVVTKTQIHPPLNAQVNSKLFELAVKRDTITVHAGLLAAVIGVLGTGAGLEVASRGRLEVAGMELYTSLVIAADWVVAAVGLHRWDQRIQITHNAFSFTALPKHFKQLGFETTEEYPVLAM
+>sp|A6NDL7|MT21E_HUMAN Putative methyltransferase-like protein 21E pseudogene OS=Homo sapiens OX=9606 GN=METTL21EP PE=5 SV=2
+MIHFNLLETQLTPLASFQEIMKKTSIYHLPSFHYLMDSEAQEDTREAYDDKQVVTEIMARCFIPTLITTTSWESFHFIGHEIRITEAMDCYGAVVWPSALVLCYFLETNAKQYNMVDKNVIEIGAGTGLVSIVASLLGAHVTATDLPELLGNLQYNISRNTKMKSKHLPQVKELSWGVALDTNFPRSSNNFDYILAADVVYAHPFLEELLITFDHLCKETTIILWAMKFRLEKENKFVDRFKELFDLEEISSFPSLNIKLYKAVKKNRRSV
+>DECOY_sp|A6NDL7|MT21E_HUMAN Putative methyltransferase-like protein 21E pseudogene OS=Homo sapiens OX=9606 GN=METTL21EP PE=5 SV=2
+VSRRNKKVAKYLKINLSPFSSIEELDFLEKFRDVFKNEKELRFKMAWLIITTEKCLHDFTILLEELFPHAYVVDAALIYDFNNSSRPFNTDLAVGWSLEKVQPLHKSKMKTNRSINYQLNGLLEPLDTATVHAGLLSAVISVLGTGAGIEIVNKDVMNYQKANTELFYCLVLASPWVVAGYCDMAETIRIEHGIFHFSEWSTTTILTPIFCRAMIETVVQKDDYAERTDEQAESDMLYHFSPLHYISTKKMIEQFSALPTLQTELLNFHIM
+>sp|O94776|MTA2_HUMAN Metastasis-associated protein MTA2 OS=Homo sapiens OX=9606 GN=MTA2 PE=1 SV=1
+MAANMYRVGDYVYFENSSSNPYLVRRIEELNKTANGNVEAKVVCLFRRRDISSSLNSLADSNAREFEEESKQPGVSEQQRHQLKHRELFLSRQFESLPATHIRGKCSVTLLNETDILSQYLEKEDCFFYSLVFDPVQKTLLADQGEIRVGCKYQAEIPDRLVEGESDNRNQQKMEMKVWDPDNPLTDRQIDQFLVVARAVGTFARALDCSSSIRQPSLHMSAAAASRDITLFHAMDTLQRNGYDLAKAMSTLVPQGGPVLCRDEMEEWSASEAMLFEEALEKYGKDFNDIRQDFLPWKSLASIVQFYYMWKTTDRYIQQKRLKAAEADSKLKQVYIPTYTKPNPNQIISVGSKPGMNGAGFQKGLTCESCHTTQSAQWYAWGPPNMQCRLCASCWIYWKKYGGLKTPTQLEGATRGTTEPHSRGHLSRPEAQSLSPYTTSANRAKLLAKNRQTFLLQTTKLTRLARRMCRDLLQPRRAARRPYAPINANAIKAECSIRLPKAAKTPLKIHPLVRLPLATIVKDLVAQAPLKPKTPRGTKTPINRNQLSQNRGLGGIMVKRAYETMAGAGVPFSANGRPLASGIRSSSQPAAKRQKLNPADAPNPVVFVATKDTRALRKALTHLEMRRAARRPNLPLKVKPTLIAVRPPVPLPAPSHPASTNEPIVLED
+>DECOY_sp|O94776|MTA2_HUMAN Metastasis-associated protein MTA2 OS=Homo sapiens OX=9606 GN=MTA2 PE=1 SV=1
+DELVIPENTSAPHSPAPLPVPPRVAILTPKVKLPLNPRRAARRMELHTLAKRLARTDKTAVFVVPNPADAPNLKQRKAAPQSSSRIGSALPRGNASFPVGAGAMTEYARKVMIGGLGRNQSLQNRNIPTKTGRPTKPKLPAQAVLDKVITALPLRVLPHIKLPTKAAKPLRISCEAKIANANIPAYPRRAARRPQLLDRCMRRALRTLKTTQLLFTQRNKALLKARNASTTYPSLSQAEPRSLHGRSHPETTGRTAGELQTPTKLGGYKKWYIWCSACLRCQMNPPGWAYWQASQTTHCSECTLGKQFGAGNMGPKSGVSIIQNPNPKTYTPIYVQKLKSDAEAAKLRKQQIYRDTTKWMYYFQVISALSKWPLFDQRIDNFDKGYKELAEEFLMAESASWEEMEDRCLVPGGQPVLTSMAKALDYGNRQLTDMAHFLTIDRSAAAASMHLSPQRISSSCDLARAFTGVARAVVLFQDIQRDTLPNDPDWVKMEMKQQNRNDSEGEVLRDPIEAQYKCGVRIEGQDALLTKQVPDFVLSYFFCDEKELYQSLIDTENLLTVSCKGRIHTAPLSEFQRSLFLERHKLQHRQQESVGPQKSEEEFERANSDALSNLSSSIDRRRFLCVVKAEVNGNATKNLEEIRRVLYPNSSSNEFYVYDGVRYMNAAM
+>sp|O43439|MTG8R_HUMAN Protein CBFA2T2 OS=Homo sapiens OX=9606 GN=CBFA2T2 PE=1 SV=1
+MAKESGISLKEIQVLARQWKVGPEKRVPAMPGSPVEVKIQSRSSPPTMPPLPPINPGGPRPVSFTPTALSNGINHSPPTLNGAPSPPQRFSNGPASSTSSALTNQQLPATCGARQLSKLKRFLTTLQQFGNDISPEIGEKVRTLVLALVNSTVTIEEFHCKLQEATNFPLRPFVIPFLKANLPLLQRELLHCARAAKQTPSQYLAQHEHLLLNTSIASPADSSELLMEVHGNGKRPSPERREENSFDRDTIAPEPPAKRVCTISPAPRHSPALTVPLMNPGGQFHPTPPPLQHYTLEDIATSHLYREPNKMLEHREVRDRHHSLGLNGGYQDELVDHRLTEREWADEWKHLDHALNCIMEMVEKTRRSMAVLRRCQESDREELNYWKRRYNENTELRKTGTELVSRQHSPGSADSLSNDSQREFNSRPGTGYVPVEFWKKTEEAVNKVKIQAMSEVQKAVAEAEQKAFEVIATERARMEQTIADVKRQAAEDAFLVINEQEESTENCWNCGRKASETCSGCNIARYCGSFCQHKDWERHHRLCGQNLHGQSPHGQGRPLLPVGRGSSARSADCSVPSPALDKTSATTSRSSTPASVTAIDTNGL
+>DECOY_sp|O43439|MTG8R_HUMAN Protein CBFA2T2 OS=Homo sapiens OX=9606 GN=CBFA2T2 PE=1 SV=1
+LGNTDIATVSAPTSSRSTTASTKDLAPSPVSCDASRASSGRGVPLLPRGQGHPSQGHLNQGCLRHHREWDKHQCFSGCYRAINCGSCTESAKRGCNWCNETSEEQENIVLFADEAAQRKVDAITQEMRARETAIVEFAKQEAEAVAKQVESMAQIKVKNVAEETKKWFEVPVYGTGPRSNFERQSDNSLSDASGPSHQRSVLETGTKRLETNENYRRKWYNLEERDSEQCRRLVAMSRRTKEVMEMICNLAHDLHKWEDAWERETLRHDVLEDQYGGNLGLSHHRDRVERHELMKNPERYLHSTAIDELTYHQLPPPTPHFQGGPNMLPVTLAPSHRPAPSITCVRKAPPEPAITDRDFSNEERREPSPRKGNGHVEMLLESSDAPSAISTNLLLHEHQALYQSPTQKAARACHLLERQLLPLNAKLFPIVFPRLPFNTAEQLKCHFEEITVTSNVLALVLTRVKEGIEPSIDNGFQQLTTLFRKLKSLQRAGCTAPLQQNTLASSTSSAPGNSFRQPPSPAGNLTPPSHNIGNSLATPTFSVPRPGGPNIPPLPPMTPPSSRSQIKVEVPSGPMAPVRKEPGVKWQRALVQIEKLSIGSEKAM
+>sp|Q13496|MTM1_HUMAN Myotubularin OS=Homo sapiens OX=9606 GN=MTM1 PE=1 SV=2
+MASASTSKYNSHSLENESIKRTSRDGVNRDLTEAVPRLPGETLITDKEVIYICPFNGPIKGRVYITNYRLYLRSLETDSSLILDVPLGVISRIEKMGGATSRGENSYGLDITCKDMRNLRFALKQEGHSRRDMFEILTRYAFPLAHSLPLFAFLNEEKFNVDGWTVYNPVEEYRRQGLPNHHWRITFINKCYELCDTYPALLVVPYRASDDDLRRVATFRSRNRIPVLSWIHPENKTVIVRCSQPLVGMSGKRNKDDEKYLDVIRETNKQISKLTIYDARPSVNAVANKATGGGYESDDAYHNAELFFLDIHNIHVMRESLKKVKDIVYPNVEESHWLSSLESTHWLEHIKLVLTGAIQVADKVSSGKSSVLVHCSDGWDRTAQLTSLAMLMLDSFYRSIEGFEILVQKEWISFGHKFASRIGHGDKNHTDADRSPIFLQFIDCVWQMSKQFPTAFEFNEQFLIIILDHLYSCRFGTFLFNCESARERQKVTERTVSLWSLINSNKEKFKNPFYTKEINRVLYPVASMRHLELWVNYYIRWNPRIKQQQPNPVEQRYMELLALRDEYIKRLEELQLANSAKLSDPPTSPSSPSQMMPHVQTHF
+>DECOY_sp|Q13496|MTM1_HUMAN Myotubularin OS=Homo sapiens OX=9606 GN=MTM1 PE=1 SV=2
+FHTQVHPMMQSPSSPSTPPDSLKASNALQLEELRKIYEDRLALLEMYRQEVPNPQQQKIRPNWRIYYNVWLELHRMSAVPYLVRNIEKTYFPNKFKEKNSNILSWLSVTRETVKQRERASECNFLFTGFRCSYLHDLIIILFQENFEFATPFQKSMQWVCDIFQLFIPSRDADTHNKDGHGIRSAFKHGFSIWEKQVLIEFGEISRYFSDLMLMALSTLQATRDWGDSCHVLVSSKGSSVKDAVQIAGTLVLKIHELWHTSELSSLWHSEEVNPYVIDKVKKLSERMVHINHIDLFFLEANHYADDSEYGGGTAKNAVANVSPRADYITLKSIQKNTERIVDLYKEDDKNRKGSMGVLPQSCRVIVTKNEPHIWSLVPIRNRSRFTAVRRLDDDSARYPVVLLAPYTDCLEYCKNIFTIRWHHNPLGQRRYEEVPNYVTWGDVNFKEENLFAFLPLSHALPFAYRTLIEFMDRRSHGEQKLAFRLNRMDKCTIDLGYSNEGRSTAGGMKEIRSIVGLPVDLILSSDTELSRLYLRYNTIYVRGKIPGNFPCIYIVEKDTILTEGPLRPVAETLDRNVGDRSTRKISENELSHSNYKSTSASAM
+>sp|Q13615|MTMR3_HUMAN Myotubularin-related protein 3 OS=Homo sapiens OX=9606 GN=MTMR3 PE=1 SV=3
+MDEETRHSLECIQANQIFPRKQLIREDENLQVPFLELHGESTEFVGRAEDAIIALSNYRLHIKFKESLVNVPLQLIESVECRDIFQLHLTCKDCKVIRCQFSTFEQCQEWLKRLNNAIRPPAKIEDLFSFAYHAWCMEVYASEKEQHGDLCRPGEHVTSRFKNEVERMGFDMNNAWRISNINEKYKLCGSYPQELIVPAWITDKELESVSSFRSWKRIPAVIYRHQSNGAVIARCGQPEVSWWGWRNADDEHLVQSVAKACASDSRSSGSKLSTRNTSRDFPNGGDLSDVEFDSSLSNASGAESLAIQPQKLLILDARSYAAAVANRAKGGGCECPEYYPNCEVVFMGMANIHSIRRSFQSLRLLCTQMPDPGNWLSALESTKWLHHLSVLLKSALLVVHAVDQDQRPVLVHCSDGWDRTPQIVALAKLLLDPYYRTIEGFQVLVEMEWLDFGHKFADRCGHGENSDDLNERCPVFLQWLDCVHQLQRQFPCSFEFNEAFLVKLVQHTYSCLFGTFLCNNAKERGEKHTQERTCSVWSLLRAGNKAFKNLLYSSQSEAVLYPVCHVRNLMLWSAVYLPCPSPTTPVDDSCAPYPAPGTSPDDPPLSRLPKTRSYDNLTTACDNTVPLASRRCSDPSLNEKWQEHRRSLELSSLAGPGEDPLSADSLGKPTRVPGGAELSVAAGVAEGQMENILQEATKEESGVEEPAHRAGIEIQEGKEDPLLEKESRRKTPEASAIGLHQDPELGDAALRSHLDMSWPLFSQGISEQQSGLSVLLSSLQVPPRGEDSLEVPVEQFRIEEIAEGREEAVLPIPVDAKVGYGTSQSCSLLPSQVPFETRGPNVDSSTDMLVEDKVKSVSGPQGHHRSCLVNSGKDRLPQTMEPSPSETSLVERPQVGSVVHRTSLGSTLSLTRSPCALPLAECKEGLVCNGAPETENRASEQPPGLSTLQMYPTPNGHCANGEAGRSKDSLSRQLSAMSCSSAHLHSRNLHHKWLHSHSGRPSATSSPDQPSRSHLDDDGMSVYTDTIQQRLRQIESGHQQEVETLKKQVQELKSRLESQYLTSSLHFNGDFGDEVTSIPDSESNLDQNCLSRCSTEIFSEASWEQVDKQDTEMTRWLPDHLAAHCYACDSAFWLASRKHHCRNCGNVFCSSCCNQKVPVPSQQLFEPSRVCKSCYSSLHPTSSSIDLELDKPIAATSN
+>DECOY_sp|Q13615|MTMR3_HUMAN Myotubularin-related protein 3 OS=Homo sapiens OX=9606 GN=MTMR3 PE=1 SV=3
+NSTAAIPKDLELDISSSTPHLSSYCSKCVRSPEFLQQSPVPVKQNCCSSCFVNGCNRCHHKRSALWFASDCAYCHAALHDPLWRTMETDQKDVQEWSAESFIETSCRSLCNQDLNSESDPISTVEDGFDGNFHLSSTLYQSELRSKLEQVQKKLTEVEQQHGSEIQRLRQQITDTYVSMGDDDLHSRSPQDPSSTASPRGSHSHLWKHHLNRSHLHASSCSMASLQRSLSDKSRGAEGNACHGNPTPYMQLTSLGPPQESARNETEPAGNCVLGEKCEALPLACPSRTLSLTSGLSTRHVVSGVQPREVLSTESPSPEMTQPLRDKGSNVLCSRHHGQPGSVSKVKDEVLMDTSSDVNPGRTEFPVQSPLLSCSQSTGYGVKADVPIPLVAEERGEAIEEIRFQEVPVELSDEGRPPVQLSSLLVSLGSQQESIGQSFLPWSMDLHSRLAADGLEPDQHLGIASAEPTKRRSEKELLPDEKGEQIEIGARHAPEEVGSEEKTAEQLINEMQGEAVGAAVSLEAGGPVRTPKGLSDASLPDEGPGALSSLELSRRHEQWKENLSPDSCRRSALPVTNDCATTLNDYSRTKPLRSLPPDDPSTGPAPYPACSDDVPTTPSPCPLYVASWLMLNRVHCVPYLVAESQSSYLLNKFAKNGARLLSWVSCTREQTHKEGREKANNCLFTGFLCSYTHQVLKVLFAENFEFSCPFQRQLQHVCDLWQLFVPCRENLDDSNEGHGCRDAFKHGFDLWEMEVLVQFGEITRYYPDLLLKALAVIQPTRDWGDSCHVLVPRQDQDVAHVVLLASKLLVSLHHLWKTSELASLWNGPDPMQTCLLRLSQFSRRISHINAMGMFVVECNPYYEPCECGGGKARNAVAAAYSRADLILLKQPQIALSEAGSANSLSSDFEVDSLDGGNPFDRSTNRTSLKSGSSRSDSACAKAVSQVLHEDDANRWGWWSVEPQGCRAIVAGNSQHRYIVAPIRKWSRFSSVSELEKDTIWAPVILEQPYSGCLKYKENINSIRWANNMDFGMREVENKFRSTVHEGPRCLDGHQEKESAYVEMCWAHYAFSFLDEIKAPPRIANNLRKLWEQCQEFTSFQCRIVKCDKCTLHLQFIDRCEVSEILQLPVNVLSEKFKIHLRYNSLAIIADEARGVFETSEGHLELFPVQLNEDERILQKRPFIQNAQICELSHRTEEDM
+>sp|Q9Y217|MTMR6_HUMAN Myotubularin-related protein 6 OS=Homo sapiens OX=9606 GN=MTMR6 PE=1 SV=3
+MEHIRTTKVEQVKLLDRFSTSNKSLTGTLYLTATHLLFIDSHQKETWILHHHIASVEKLALTTSGCPLVIQCKNFRTVHFIVPRERDCHDIYNSLLQLSKQAKYEDLYAFSYNPKQNDSERLQGWQLIDLAEEYKRMGVPNSHWQLSDANRDYKICETYPRELYVPRIASKPIIVGSSKFRSKGRFPVLSYYHQDKEAAICRCSQPLSGFSARCLEDEHLLQAISKANPVNRYMYVMDTRPKLNAMANRAAGKGYENEDNYSNIRFQFVGIENIHVMRSSLQKLLEVNGTKGLSVNDFYSGLESSGWLRHIKAVMDAAIFLAKAITVENASVLVHCSDGWDRTSQVCSLGSLLLDSYYRTIKGFMVLIEKDWISFGHKFSERCGQLDGDPKEVSPVFTQFLECVWHLTEQFPQAFEFSEAFLLQIHEHIHSCQFGNFLGNCQKEREELKLKEKTYSLWPFLLEDQKKYLNPLYSSESHRFTVLEPNTVSFNFKFWRNMYHQFDRTLHPRQSVFNIIMNMNEQNKQLEKDIKDLESKIKQRKNKQTDGILTKELLHSVHPESPNLKTSLCFKEQTLLPVNDALRTIEGSSPADNRYSEYAEEFSKSEPAVVSLEYGVARMTC
+>DECOY_sp|Q9Y217|MTMR6_HUMAN Myotubularin-related protein 6 OS=Homo sapiens OX=9606 GN=MTMR6 PE=1 SV=3
+CTMRAVGYELSVVAPESKSFEEAYESYRNDAPSSGEITRLADNVPLLTQEKFCLSTKLNPSEPHVSHLLEKTLIGDTQKNKRQKIKSELDKIDKELQKNQENMNMIINFVSQRPHLTRDFQHYMNRWFKFNFSVTNPELVTFRHSESSYLPNLYKKQDELLFPWLSYTKEKLKLEEREKQCNGLFNGFQCSHIHEHIQLLFAESFEFAQPFQETLHWVCELFQTFVPSVEKPDGDLQGCRESFKHGFSIWDKEILVMFGKITRYYSDLLLSGLSCVQSTRDWGDSCHVLVSANEVTIAKALFIAADMVAKIHRLWGSSELGSYFDNVSLGKTGNVELLKQLSSRMVHINEIGVFQFRINSYNDENEYGKGAARNAMANLKPRTDMVYMYRNVPNAKSIAQLLHEDELCRASFGSLPQSCRCIAAEKDQHYYSLVPFRGKSRFKSSGVIIPKSAIRPVYLERPYTECIKYDRNADSLQWHSNPVGMRKYEEALDILQWGQLRESDNQKPNYSFAYLDEYKAQKSLQLLSNYIDHCDRERPVIFHVTRFNKCQIVLPCGSTTLALKEVSAIHHHLIWTEKQHSDIFLLHTATLYLTGTLSKNSTSFRDLLKVQEVKTTRIHEM
+>sp|Q96QG7|MTMR9_HUMAN Myotubularin-related protein 9 OS=Homo sapiens OX=9606 GN=MTMR9 PE=1 SV=1
+MEFAELIKTPRVDNVVLHRPFYPAVEGTLCLTGHHLILSSRQDNTEELWLLHSNIDAIDKRFVGSLGTIIIKCKDFRIIQLDIPGMEECLNIASSIEALSTLDSITLMYPFFYRPMFEVIEDGWHSFLPEQEFELYSSATSEWRLSYVNKEFAVCPSYPPIVTVPKSIDDEALRKVATFRHGGRFPVLSYYHKKNGMVIMRSGQPLTGTNGRRCKEDEKLINATLRAGKRGYIIDTRSLNVAQQTRAKGGGFEQEAHYPQWRRIHKSIERYHILQESLIKLVEACNDQTHNMDRWLSKLEASNWLTHIKEILTTACLAAQCIDREGASILIHGTEGTDSTLQVTSLAQIILEPRSRTIRGFEALIEREWLQAGHPFQQRCAQSAYCNTKQKWEAPVFLLFLDCVWQILRQFPCSFEFNENFLIMLFEHAYASQFGTFLGNNESERCKLKLQQKTMSLWSWVNQPSELSKFTNPLFEANNLVIWPSVAPQSLPLWEGIFLRWNRSSKYLDEAYEEMVNIIEYNKELQAKVNILRRQLAELETEDGMQESP
+>DECOY_sp|Q96QG7|MTMR9_HUMAN Myotubularin-related protein 9 OS=Homo sapiens OX=9606 GN=MTMR9 PE=1 SV=1
+PSEQMGDETELEALQRRLINVKAQLEKNYEIINVMEEYAEDLYKSSRNWRLFIGEWLPLSQPAVSPWIVLNNAEFLPNTFKSLESPQNVWSWLSMTKQQLKLKCRESENNGLFTGFQSAYAHEFLMILFNENFEFSCPFQRLIQWVCDLFLLFVPAEWKQKTNCYASQACRQQFPHGAQLWEREILAEFGRITRSRPELIIQALSTVQLTSDTGETGHILISAGERDICQAALCATTLIEKIHTLWNSAELKSLWRDMNHTQDNCAEVLKILSEQLIHYREISKHIRRWQPYHAEQEFGGGKARTQQAVNLSRTDIIYGRKGARLTANILKEDEKCRRGNTGTLPQGSRMIVMGNKKHYYSLVPFRGGHRFTAVKRLAEDDISKPVTVIPPYSPCVAFEKNVYSLRWESTASSYLEFEQEPLFSHWGDEIVEFMPRYFFPYMLTISDLTSLAEISSAINLCEEMGPIDLQIIRFDKCKIIITGLSGVFRKDIADINSHLLWLEETNDQRSSLILHHGTLCLTGEVAPYFPRHLVVNDVRPTKILEAFEM
+>sp|A4FU01|MTMRB_HUMAN Myotubularin-related protein 11 OS=Homo sapiens OX=9606 GN=MTMR11 PE=2 SV=2
+MWWGGRGQSFNIAPQKEEPEMGSVQENRMPEPRSRQPSSCLASRCLPGEQILAWAPGVRKGLEPELSGTLICTNFRVTFQPCGWQWNQDTPLNSEYDFALVNIGRLEAVSGLSRVQLLRPGSLHKFIPEEILIHGRDFRLLRVGFEAGGLEPQAFQVTMAIVQARAQSNQAQQYSGITLSKAGQGSGSRKPPIPLMETAEDWETERKKQAARGWRVSTVNERFDVATSLPRYFWVPNRILDSEVRRAFGHFHQGRGPRLSWHHPGGSDLLRCGGFYTASDPNKEDIRAVELMLQAGHSDVVLVDTMDELPSLADVQLAHLRLRALCLPDSSVAEDKWLSALEGTRWLDYVRACLRKASDISVLVTSRVRSVILQERGDRDLNGLLSSLVQLLSAPEARTLFGFQSLVQREWVAAGHPFLTRLGGTGASEEAPVFLLFLDCVWQLLQQFPADFEFSEFFLLALHDSVRVPDTLTFLRNTPWERGKQSGQLNSYTQVYTPGYSQPPAGNSFNLQLSVWDWDLRYSNAQILQFQNPGYDPEHCPDSWLPRPQPSFMVPGPPSSVWLFSRGALTPLNQLCPWRDSPSLLAVSSRWLPRPAISSESLADQEWGLPSHWGACPLPPGLLLPGYLGPQIRLWRRCYLRGRPEVQMGLSAPTISGLQDELSHLQELLRKWTPRISPEDHSKKRDPHTILNPTEIAGILKGRAEGDLG
+>DECOY_sp|A4FU01|MTMRB_HUMAN Myotubularin-related protein 11 OS=Homo sapiens OX=9606 GN=MTMR11 PE=2 SV=2
+GLDGEARGKLIGAIETPNLITHPDRKKSHDEPSIRPTWKRLLEQLHSLEDQLGSITPASLGMQVEPRGRLYCRRWLRIQPGLYGPLLLGPPLPCAGWHSPLGWEQDALSESSIAPRPLWRSSVALLSPSDRWPCLQNLPTLAGRSFLWVSSPPGPVMFSPQPRPLWSDPCHEPDYGPNQFQLIQANSYRLDWDWVSLQLNFSNGAPPQSYGPTYVQTYSNLQGSQKGREWPTNRLFTLTDPVRVSDHLALLFFESFEFDAPFQQLLQWVCDLFLLFVPAEESAGTGGLRTLFPHGAAVWERQVLSQFGFLTRAEPASLLQVLSSLLGNLDRDGREQLIVSRVRSTVLVSIDSAKRLCARVYDLWRTGELASLWKDEAVSSDPLCLARLRLHALQVDALSPLEDMTDVLVVDSHGAQLMLEVARIDEKNPDSATYFGGCRLLDSGGPHHWSLRPGRGQHFHGFARRVESDLIRNPVWFYRPLSTAVDFRENVTSVRWGRAAQKKRETEWDEATEMLPIPPKRSGSGQGAKSLTIGSYQQAQNSQARAQVIAMTVQFAQPELGGAEFGVRLLRFDRGHILIEEPIFKHLSGPRLLQVRSLGSVAELRGINVLAFDYESNLPTDQNWQWGCPQFTVRFNTCILTGSLEPELGKRVGPAWALIQEGPLCRSALCSSPQRSRPEPMRNEQVSGMEPEEKQPAINFSQGRGGWWM
+>sp|Q86WG5|MTMRD_HUMAN Myotubularin-related protein 13 OS=Homo sapiens OX=9606 GN=SBF2 PE=1 SV=1
+MARLADYFIVVGYDHEKPGSGEGLGKIIQRFPQKDWDDTPFPQGIELFCQPGGWQLSRERKQPTFFVVVLTDIDSDRHYCSCLTFYEAEINLQGTKKEEIEGEAKVSGLIQPAEVFAPKSLVLVSRLYYPEIFRACLGLIYTVYVDSLNVSLESLIANLCACLVPAAGGSQKLFSLGAGDRQLIQTPLHDSLPITGTSVALLFQQLGIQNVLSLFCAVLTENKVLFHSASFQRLSDACRALESLMFPLKYSYPYIPILPAQLLEVLSSPTPFIIGVHSVFKTDVHELLDVIIADLDGGTIKIPECIHLSSLPEPLLHQTQSALSLILHPDLEVADHAFPPPRTALSHSKMLDKEVRAVFLRLFAQLFQGYRSCLQLIRIHAEPVIHFHKTAFLGQRGLVENDFLTKVLSGMAFAGFVSERGPPYRSCDLFDELVAFEVERIKVEENNPVKMIKHVRELAEQLFKNENPNPHMAFQKVPRPTEGSHLRVHILPFPEINEARVQELIQENVAKNQNAPPATRIEKKCVVPAGPPVVSIMDKVTTVFNSAQRLEVVRNCISFIFENKILETEKTLPAALRALKGKAARQCLTDELGLHVQQNRAILDHQQFDYIIRMMNCTLQDCSSLEEYNIAAALLPLTSAFYRKLAPGVSQFAYTCVQDHPIWTNQQFWETTFYNAVQEQVRSLYLSAKEDNHAPHLKQKDKLPDDHYQEKTAMDLAAEQLRLWPTLSKSTQQELVQHEESTVFSQAIHFANLMVNLLVPLDTSKNKLLRTSAPGDWESGSNSIVTNSIAGSVAESYDTESGFEDSENTDIANSVVRFITRFIDKVCTESGVTQDHIKSLHCMIPGIVAMHIETLEAVHRESRRLPPIQKPKILRPALLPGEEIVCEGLRVLLDPDGREEATGGLLGGPQLLPAEGALFLTTYRILFRGTPHDQLVGEQTVVRSFPIASITKEKKITMQNQLQQNMQEGLQITSASFQLIKVAFDEEVSPEVVEIFKKQLMKFRYPQSIFSTFAFAAGQTTPQIILPKQKEKNTSFRTFSKTIVKGAKRAGKMTIGRQYLLKKKTGTIVEERVNRPGWNEDDDVSVSDESELPTSTTLKASEKSTMEQLVEKACFRDYQRLGLGTISGSSSRSRPEYFRITASNRMYSLCRSYPGLLVVPQAVQDSSLPRVARCYRHNRLPVVCWKNSRSGTLLLRSGGFHGKGVVGLFKSQNSPQAAPTSSLESSSSIEQEKYLQALLNAVSVHQKLRGNSTLTVRPAFALSPGVWASLRSSTRLISSPTSFIDVGARLAGKDHSASFSNSSYLQNQLLKRQAALYIFGEKSQLRNFKVEFALNCEFVPVEFHEIRQVKASFKKLMRACIPSTIPTDSEVTFLKALGDSEWFPQLHRIMQLAVVVSEVLENGSSVLVCLEEGWDITAQVTSLVQLLSDPFYRTLEGFQMLVEKEWLSFGHKFSQRSSLTLNCQGSGFAPVFLQFLDCVHQVHNQYPTEFEFNLYYLKFLAFHYVSNRFKTFLLDSDYERLEHGTLFDDKGEKHAKKGVCIWECIDRMHKRSPIFFNYLYSPLEIEALKPNVNVSSLKKWDYYIEETLSTGPSYDWMMLTPKHFPSEDSDLAGEAGPRSQRRTVWPCYDDVSCTQPDALTSLFSEIEKLEHKLNQAPEKWQQLWERVTVDLKEEPRTDRSQRHLSRSPGIVSTNLPSYQKRSLLHLPDSSMGEEQNSSISPSNGVERRAATLYSQYTSKNDENRSFEGTLYKRGALLKGWKPRWFVLDVTKHQLRYYDSGEDTSCKGHIDLAEVEMVIPAGPSMGAPKHTSDKAFFDLKTSKRVYNFCAQDGQSAQQWMDKIQSCISDA
+>DECOY_sp|Q86WG5|MTMRD_HUMAN Myotubularin-related protein 13 OS=Homo sapiens OX=9606 GN=SBF2 PE=1 SV=1
+ADSICSQIKDMWQQASQGDQACFNYVRKSTKLDFFAKDSTHKPAGMSPGAPIVMEVEALDIHGKCSTDEGSDYYRLQHKTVDLVFWRPKWGKLLAGRKYLTGEFSRNEDNKSTYQSYLTAARREVGNSPSISSNQEEGMSSDPLHLLSRKQYSPLNTSVIGPSRSLHRQSRDTRPEEKLDVTVREWLQQWKEPAQNLKHELKEIESFLSTLADPQTCSVDDYCPWVTRRQSRPGAEGALDSDESPFHKPTLMMWDYSPGTSLTEEIYYDWKKLSSVNVNPKLAEIELPSYLYNFFIPSRKHMRDICEWICVGKKAHKEGKDDFLTGHELREYDSDLLFTKFRNSVYHFALFKLYYLNFEFETPYQNHVQHVCDLFQLFVPAFGSGQCNLTLSSRQSFKHGFSLWEKEVLMQFGELTRYFPDSLLQVLSTVQATIDWGEELCVLVSSGNELVESVVVALQMIRHLQPFWESDGLAKLFTVESDTPITSPICARMLKKFSAKVQRIEHFEVPVFECNLAFEVKFNRLQSKEGFIYLAAQRKLLQNQLYSSNSFSASHDKGALRAGVDIFSTPSSILRTSSRLSAWVGPSLAFAPRVTLTSNGRLKQHVSVANLLAQLYKEQEISSSSELSSTPAAQPSNQSKFLGVVGKGHFGGSRLLLTGSRSNKWCVVPLRNHRYCRAVRPLSSDQVAQPVVLLGPYSRCLSYMRNSATIRFYEPRSRSSSGSITGLGLRQYDRFCAKEVLQEMTSKESAKLTTSTPLESEDSVSVDDDENWGPRNVREEVITGTKKKLLYQRGITMKGARKAGKVITKSFTRFSTNKEKQKPLIIQPTTQGAAFAFTSFISQPYRFKMLQKKFIEVVEPSVEEDFAVKILQFSASTIQLGEQMNQQLQNQMTIKKEKTISAIPFSRVVTQEGVLQDHPTGRFLIRYTTLFLAGEAPLLQPGGLLGGTAEERGDPDLLVRLGECVIEEGPLLAPRLIKPKQIPPLRRSERHVAELTEIHMAVIGPIMCHLSKIHDQTVGSETCVKDIFRTIFRVVSNAIDTNESDEFGSETDYSEAVSGAISNTVISNSGSEWDGPASTRLLKNKSTDLPVLLNVMLNAFHIAQSFVTSEEHQVLEQQTSKSLTPWLRLQEAALDMATKEQYHDDPLKDKQKLHPAHNDEKASLYLSRVQEQVANYFTTEWFQQNTWIPHDQVCTYAFQSVGPALKRYFASTLPLLAAAINYEELSSCDQLTCNMMRIIYDFQQHDLIARNQQVHLGLEDTLCQRAAKGKLARLAAPLTKETELIKNEFIFSICNRVVELRQASNFVTTVKDMISVVPPGAPVVCKKEIRTAPPANQNKAVNEQILEQVRAENIEPFPLIHVRLHSGETPRPVKQFAMHPNPNENKFLQEALERVHKIMKVPNNEEVKIREVEFAVLEDFLDCSRYPPGRESVFGAFAMGSLVKTLFDNEVLGRQGLFATKHFHIVPEAHIRILQLCSRYGQFLQAFLRLFVARVEKDLMKSHSLATRPPPFAHDAVELDPHLILSLASQTQHLLPEPLSSLHICEPIKITGGDLDAIIVDLLEHVDTKFVSHVGIIFPTPSSLVELLQAPLIPIYPYSYKLPFMLSELARCADSLRQFSASHFLVKNETLVACFLSLVNQIGLQQFLLAVSTGTIPLSDHLPTQILQRDGAGLSFLKQSGGAAPVLCACLNAILSELSVNLSDVYVTYILGLCARFIEPYYLRSVLVLSKPAFVEAPQILGSVKAEGEIEEKKTGQLNIEAEYFTLCSCYHRDSDIDTLVVVFFTPQKRERSLQWGGPQCFLEIGQPFPTDDWDKQPFRQIIKGLGEGSGPKEHDYGVVIFYDALRAM
+>sp|Q96GX9|MTNB_HUMAN Methylthioribulose-1-phosphate dehydratase OS=Homo sapiens OX=9606 GN=APIP PE=1 SV=1
+MSGCDAREGDCCSRRCGAQDKEHPRYLIPELCKQFYHLGWVTGTGGGISLKHGDEIYIAPSGVQKERIQPEDMFVCDINEKDISGPSPSKKLKKSQCTPLFMNAYTMRGAGAVIHTHSKAAVMATLLFPGREFKITHQEMIKGIKKCTSGGYYRYDDMLVVPIIENTPEEKDLKDRMAHAMNEYPDSCAVLVRRHGVYVWGETWEKAKTMCECYDYLFDIAVSMKKVGLDPSQLPVGENGIV
+>DECOY_sp|Q96GX9|MTNB_HUMAN Methylthioribulose-1-phosphate dehydratase OS=Homo sapiens OX=9606 GN=APIP PE=1 SV=1
+VIGNEGVPLQSPDLGVKKMSVAIDFLYDYCECMTKAKEWTEGWVYVGHRRVLVACSDPYENMAHAMRDKLDKEEPTNEIIPVVLMDDYRYYGGSTCKKIGKIMEQHTIKFERGPFLLTAMVAAKSHTHIVAGAGRMTYANMFLPTCQSKKLKKSPSPGSIDKENIDCVFMDEPQIREKQVGSPAIYIEDGHKLSIGGGTGTVWGLHYFQKCLEPILYRPHEKDQAGCRRSCCDGERADCGSM
+>sp|Q5JR59|MTUS2_HUMAN Microtubule-associated tumor suppressor candidate 2 OS=Homo sapiens OX=9606 GN=MTUS2 PE=1 SV=3
+MSVPVAPKKSCYTQLRDNRNAARNNNESILSLGDTNANQIMLEVSSSHDESKTCDLGDEIGNTNSSEPENRTHFHKEFHQLQGFGKGSQAGSASLKDFRLSSTIQRELNEEHTVERGTDSLQTTRSIQGPSLSSWRNVMSEASLDVLAKRDAEIPRHVPKDKLAKTLDNEELRRHSLERASSSVAAVGSLTPQHPQPLSLDSREARGQIPGGGEGPQKTLPDHAVPAAFPATDSTSEGKSVRHPKPSTSESKQSTPSETQTVGAHVLQVCSEHTSHSAHPEPALNLTLASKEIPSKLEAQLGQGKGEAKLDLKYVPPRRVEQEGKAAQEGYLGCHKEENLSALEGRDPCGEAHPEATDALGHLLNSDLHHLGVGRGNCEEKRGVNPGEQDSLHTTPKQGSASLGGADNQPTGKISPCAGEKLGERTSSSFSPGDSHVAFIPNNLTDSKPLDVIEEERRLGSGNKDSVMVLVFNPSVGENKTEVPEPLDPQSGRSEARESKEVTTSVAENRNLLENADKIESTSARADSVLNIPAPLHPETTVNMTYQPTTPSSSFQDVSVFGMDAGSPLVVPPPTDSARLLNTSPKVPDKNTCPSGIPKPVFTHSKDTPSSQEGMENYQVEKTEERTETKPIIMPKPKHVRPKIITYIRRNPQALGQVDASLVPVGLPYAPPTCTMPLPHEEKAAGGDLKPSANLYEKFKPDLQKPRVFSSGLMVSGIKPPGHPFSQMSEKFLQEVTDHPGKEEFCSPPYAHYEVPPTFYRSAMLLKPQLGLGAMSRLPSAKSRILIASQRSSASAIHPPGPITTATSLYSSDPSADLKKASSSNAAKSNLPKSGLRPPGYSRLPAAKLAAFGFVRSSSVSSVSSTQSGDSAQPEQGRPATRSTFGNEEQPVLKASLPSKDTPKGAGRVAPPASSSVTAPRRSLLPAPKSTSTPAGTKKDAQKDQDTNKPAVSSPKRVAASTTKLHSPGYPKQRTAAARNGFPPKPDPQAREAERQLVLRLKERCEQQTRQLGVAQGELKRAICGFDALAVATQHFFRKNESALVKEKELSIELANIRDEVAFHTAKCEKLQKEKEELERRFEDEVKRLGWQQQAELQELEERLQLQFEAEMARLQEEHGDQLLSIRCQHQEQVEDLTASHDAALLEMENNHTVAITILQDDHDHKVQELMSTHELEKKELEENFEKLRLSLQDQVDTLTFQSQSLRDRARRFEEALRKNTEEQLEIALAPYQHLEEDMKSLKQVLEMKNQQIHEQEKKILELEKLAEKNIILEEKIQVLQQQNEDLKARIDQNTVVTRQLSEENANLQEYVEKETQEKKRLSRTNEELLWKLQTGDPTSPIKLSPTSPVYRGSSSGPSSPARVSTTPR
+>DECOY_sp|Q5JR59|MTUS2_HUMAN Microtubule-associated tumor suppressor candidate 2 OS=Homo sapiens OX=9606 GN=MTUS2 PE=1 SV=3
+RPTTSVRAPSSPGSSSGRYVPSTPSLKIPSTPDGTQLKWLLEENTRSLRKKEQTEKEVYEQLNANEESLQRTVVTNQDIRAKLDENQQQLVQIKEELIINKEALKELELIKKEQEHIQQNKMELVQKLSKMDEELHQYPALAIELQEETNKRLAEEFRRARDRLSQSQFTLTDVQDQLSLRLKEFNEELEKKELEHTSMLEQVKHDHDDQLITIAVTHNNEMELLAADHSATLDEVQEQHQCRISLLQDGHEEQLRAMEAEFQLQLREELEQLEAQQQWGLRKVEDEFRRELEEKEKQLKECKATHFAVEDRINALEISLEKEKVLASENKRFFHQTAVALADFGCIARKLEGQAVGLQRTQQECREKLRLVLQREAERAQPDPKPPFGNRAAATRQKPYGPSHLKTTSAAVRKPSSVAPKNTDQDKQADKKTGAPTSTSKPAPLLSRRPATVSSSAPPAVRGAGKPTDKSPLSAKLVPQEENGFTSRTAPRGQEPQASDGSQTSSVSSVSSSRVFGFAALKAAPLRSYGPPRLGSKPLNSKAANSSSAKKLDASPDSSYLSTATTIPGPPHIASASSRQSAILIRSKASPLRSMAGLGLQPKLLMASRYFTPPVEYHAYPPSCFEEKGPHDTVEQLFKESMQSFPHGPPKIGSVMLGSSFVRPKQLDPKFKEYLNASPKLDGGAAKEEHPLPMTCTPPAYPLGVPVLSADVQGLAQPNRRIYTIIKPRVHKPKPMIIPKTETREETKEVQYNEMGEQSSPTDKSHTFVPKPIGSPCTNKDPVKPSTNLLRASDTPPPVVLPSGADMGFVSVDQFSSSPTTPQYTMNVTTEPHLPAPINLVSDARASTSEIKDANELLNRNEAVSTTVEKSERAESRGSQPDLPEPVETKNEGVSPNFVLVMVSDKNGSGLRREEEIVDLPKSDTLNNPIFAVHSDGPSFSSSTREGLKEGACPSIKGTPQNDAGGLSASGQKPTTHLSDQEGPNVGRKEECNGRGVGLHHLDSNLLHGLADTAEPHAEGCPDRGELASLNEEKHCGLYGEQAAKGEQEVRRPPVYKLDLKAEGKGQGLQAELKSPIEKSALTLNLAPEPHASHSTHESCVQLVHAGVTQTESPTSQKSESTSPKPHRVSKGESTSDTAPFAAPVAHDPLTKQPGEGGGPIQGRAERSDLSLPQPHQPTLSGVAAVSSSARELSHRRLEENDLTKALKDKPVHRPIEADRKALVDLSAESMVNRWSSLSPGQISRTTQLSDTGREVTHEENLERQITSSLRFDKLSASGAQSGKGFGQLQHFEKHFHTRNEPESSNTNGIEDGLDCTKSEDHSSSVELMIQNANTDGLSLISENNNRAANRNDRLQTYCSKKPAVPVSM
+>sp|P20592|MX2_HUMAN Interferon-induced GTP-binding protein Mx2 OS=Homo sapiens OX=9606 GN=MX2 PE=1 SV=1
+MSKAHKPWPYRRRSQFSSRKYLKKEMNSFQQQPPPFGTVPPQMMFPPNWQGAEKDAAFLAKDFNFLTLNNQPPPGNRSQPRAMGPENNLYSQYEQKVRPCIDLIDSLRALGVEQDLALPAIAVIGDQSSGKSSVLEALSGVALPRGSGIVTRCPLVLKLKKQPCEAWAGRISYRNTELELQDPGQVEKEIHKAQNVMAGNGRGISHELISLEITSPEVPDLTIIDLPGITRVAVDNQPRDIGLQIKALIKKYIQRQQTINLVVVPCNVDIATTEALSMAHEVDPEGDRTIGILTKPDLMDRGTEKSVMNVVRNLTYPLKKGYMIVKCRGQQEITNRLSLAEATKKEITFFQTHPYFRVLLEEGSATVPRLAERLTTELIMHIQKSLPLLEGQIRESHQKATEELRRCGADIPSQEADKMFFLIEKIKMFNQDIEKLVEGEEVVRENETRLYNKIREDFKNWVGILATNTQKVKNIIHEEVEKYEKQYRGKELLGFVNYKTFEIIVHQYIQQLVEPALSMLQKAMEIIQQAFINVAKKHFGEFFNLNQTVQSTIEDIKVKHTAKAENMIQLQFRMEQMVFCQDQIYSVVLKKVREEIFNPLGTPSQNMKLNSHFPSNESSVSSFTEIGIHLNAYFLETSKRLANQIPFIIQYFMLRENGDSLQKAMMQILQEKNRYSWLLQEQSETATKRRILKERIYRLTQARHALCQFSSKEIH
+>DECOY_sp|P20592|MX2_HUMAN Interferon-induced GTP-binding protein Mx2 OS=Homo sapiens OX=9606 GN=MX2 PE=1 SV=1
+HIEKSSFQCLAHRAQTLRYIREKLIRRKTATESQEQLLWSYRNKEQLIQMMAKQLSDGNERLMFYQIIFPIQNALRKSTELFYANLHIGIETFSSVSSENSPFHSNLKMNQSPTGLPNFIEERVKKLVVSYIQDQCFVMQEMRFQLQIMNEAKATHKVKIDEITSQVTQNLNFFEGFHKKAVNIFAQQIIEMAKQLMSLAPEVLQQIYQHVIIEFTKYNVFGLLEKGRYQKEYKEVEEHIINKVKQTNTALIGVWNKFDERIKNYLRTENERVVEEGEVLKEIDQNFMKIKEILFFMKDAEQSPIDAGCRRLEETAKQHSERIQGELLPLSKQIHMILETTLREALRPVTASGEELLVRFYPHTQFFTIEKKTAEALSLRNTIEQQGRCKVIMYGKKLPYTLNRVVNMVSKETGRDMLDPKTLIGITRDGEPDVEHAMSLAETTAIDVNCPVVVLNITQQRQIYKKILAKIQLGIDRPQNDVAVRTIGPLDIITLDPVEPSTIELSILEHSIGRGNGAMVNQAKHIEKEVQGPDQLELETNRYSIRGAWAECPQKKLKLVLPCRTVIGSGRPLAVGSLAELVSSKGSSQDGIVAIAPLALDQEVGLARLSDILDICPRVKQEYQSYLNNEPGMARPQSRNGPPPQNNLTLFNFDKALFAADKEAGQWNPPFMMQPPVTGFPPPQQQFSNMEKKLYKRSSFQSRRRYPWPKHAKSM
+>sp|P50539|MXI1_HUMAN Max-interacting protein 1 OS=Homo sapiens OX=9606 GN=MXI1 PE=1 SV=2
+MERVKMINVQRLLEAAEFLERRERECEHGYASSFPSMPSPRLQHSKPPRRLSRAQKHSSGSSNTSTANRSTHNELEKNRRAHLRLCLERLKVLIPLGPDCTRHTTLGLLNKAKAHIKKLEEAERKSQHQLENLEREQRFLKWRLEQLQGPQEMERIRMDSIGSTISSDRSDSEREEIEVDVESTEFSHGEVDNISTTSISDIDDHSSLPSIGSDEGYSSASVKLSFTS
+>DECOY_sp|P50539|MXI1_HUMAN Max-interacting protein 1 OS=Homo sapiens OX=9606 GN=MXI1 PE=1 SV=2
+STFSLKVSASSYGEDSGISPLSSHDDIDSISTTSINDVEGHSFETSEVDVEIEERESDSRDSSITSGISDMRIREMEQPGQLQELRWKLFRQERELNELQHQSKREAEELKKIHAKAKNLLGLTTHRTCDPGLPILVKLRELCLRLHARRNKELENHTSRNATSTNSSGSSHKQARSLRRPPKSHQLRPSPMSPFSSAYGHECERERRELFEAAELLRQVNIMKVREM
+>sp|Q9NR99|MXRA5_HUMAN Matrix-remodeling-associated protein 5 OS=Homo sapiens OX=9606 GN=MXRA5 PE=1 SV=3
+MPKRAHWGALSVVLILLWGHPRVALACPHPCACYVPSEVHCTFRSLASVPAGIAKHVERINLGFNSIQALSETSFAGLTKLELLMIHGNEIPSIPDGALRDLSSLQVFKFSYNKLRVITGQTLQGLSNLMRLHIDHNKIEFIHPQAFNGLTSLRLLHLEGNLLHQLHPSTFSTFTFLDYFRLSTIRHLYLAENMVRTLPASMLRNMPLLENLYLQGNPWTCDCEMRWFLEWDAKSRGILKCKKDKAYEGGQLCAMCFSPKKLYKHEIHKLKDMTCLKPSIESPLRQNRSRSIEEEQEQEEDGGSQLILEKFQLPQWSISLNMTDEHGNMVNLVCDIKKPMDVYKIHLNQTDPPDIDINATVALDFECPMTRENYEKLWKLIAYYSEVPVKLHRELMLSKDPRVSYQYRQDADEEALYYTGVRAQILAEPEWVMQPSIDIQLNRRQSTAKKVLLSYYTQYSQTISTKDTRQARGRSWVMIEPSGAVQRDQTVLEGGPCQLSCNVKASESPSIFWVLPDGSILKAPMDDPDSKFSILSSGWLRIKSMEPSDSGLYQCIAQVRDEMDRMVYRVLVQSPSTQPAEKDTVTIGKNPGESVTLPCNALAIPEAHLSWILPNRRIINDLANTSHVYMLPNGTLSIPKVQVSDSGYYRCVAVNQQGADHFTVGITVTKKGSGLPSKRGRRPGAKALSRVREDIVEDEGGSGMGDEENTSRRLLHPKDQEVFLKTKDDAINGDKKAKKGRRKLKLWKHSEKEPETNVAEGRRVFESRRRINMANKQINPERWADILAKVRGKNLPKGTEVPPLIKTTSPPSLSLEVTPPFPAISPPSASPVQTVTSAEESSADVPLLGEEEHVLGTISSASMGLEHNHNGVILVEPEVTSTPLEEVVDDLSEKTEEITSTEGDLKGTAAPTLISEPYEPSPTLHTLDTVYEKPTHEETATEGWSAADVGSSPEPTSSEYEPPLDAVSLAESEPMQYFDPDLETKSQPDEDKMKEDTFAHLTPTPTIWVNDSSTSQLFEDSTIGEPGVPGQSHLQGLTDNIHLVKSSLSTQDTLLIKKGMKEMSQTLQGGNMLEGDPTHSRSSESEGQESKSITLPDSTLGIMSSMSPVKKPAETTVGTLLDKDTTTATTTPRQKVAPSSTMSTHPSRRRPNGRRRLRPNKFRHRHKQTPPTTFAPSETFSTQPTQAPDIKISSQVESSLVPTAWVDNTVNTPKQLEMEKNAEPTSKGTPRRKHGKRPNKHRYTPSTVSSRASGSKPSPSPENKHRNIVTPSSETILLPRTVSLKTEGPYDSLDYMTTTRKIYSSYPKVQETLPVTYKPTSDGKEIKDDVATNVDKHKSDILVTGESITNAIPTSRSLVSTMGEFKEESSPVGFPGTPTWNPSRTAQPGRLQTGIPVTTSGENLTDPPLLKELEDVDFTSEFLSSLTVSTPFHQEEAGSSTTLSSIKVEVASSQAETTTLDQDHLETTVAILLSETRPQNHTPTAARMKEPASSSPSTILMSLGQTTTTKPALPSPRISQASRDSKENVFLNYVGNPETEATPVNNEGTQHMSGPNELSTPSSDQDAFNLSTKLELEKQVFGSRSLPRGPDSQRQDGRVHASHQLTRVPAKPILPTATVRLPEMSTQSASRYFVTSQSPRHWTNKPEITTYPSGALPENKQFTTPRLSSTTIPLPLHMSKPSIPSKFTDRRTDQFNGYSKVFGNNNIPEARNPVGKPPSPRIPHYSNGRLPFFTNKTLSFPQLGVTRRPQIPTSPAPVMRERKVIPGSYNRIHSHSTFHLDFGPPAPPLLHTPQTTGSPSTNLQNIPMVSSTQSSISFITSSVQSSGSFHQSSSKFFAGGPPASKFWSLGEKPQILTKSPQTVSVTAETDTVFPCEATGKPKPFVTWTKVSTGALMTPNTRIQRFEVLKNGTLVIRKVQVQDRGQYMCTASNLHGLDRMVVLLSVTVQQPQILASHYQDVTVYLGDTIAMECLAKGTPAPQISWIFPDRRVWQTVSPVEGRITLHENRTLSIKEASFSDRGVYKCVASNAAGADSLAIRLHVAALPPVIHQEKLENISLPPGLSIHIHCTAKAAPLPSVRWVLGDGTQIRPSQFLHGNLFVFPNGTLYIRNLAPKDSGRYECVAANLVGSARRTVQLNVQRAAANARITGTSPRRTDVRYGGTLKLDCSASGDPWPRILWRLPSKRMIDALFSFDSRIKVFANGTLVVKSVTDKDAGDYLCVARNKVGDDYVVLKVDVVMKPAKIEHKEENDHKVFYGGDLKVDCVATGLPNPEISWSLPDGSLVNSFMQSDDSGGRTKRYVVFNNGTLYFNEVGMREEGDYTCFAENQVGKDEMRVRVKVVTAPATIRNKTYLAVQVPYGDVVTVACEAKGEPMPKVTWLSPTNKVIPTSSEKYQIYQDGTLLIQKAQRSDSGNYTCLVRNSAGEDRKTVWIHVNVQPPKINGNPNPITTVREIAAGGSRKLIDCKAEGIPTPRVLWAFPEGVVLPAPYYGNRITVHGNGSLDIRSLRKSDSVQLVCMARNEGGEARLILQLTVLEPMEKPIFHDPISEKITAMAGHTISLNCSAAGTPTPSLVWVLPNGTDLQSGQQLQRFYHKADGMLHISGLSSVDAGAYRCVARNAAGHTERLVSLKVGLKPEANKQYHNLVSIINGETLKLPCTPPGAGQGRFSWTLPNGMHLEGPQTLGRVSLLDNGTLTVREASVFDRGTYVCRMETEYGPSVTSIPVIVIAYPPRITSEPTPVIYTRPGNTVKLNCMAMGIPKADITWELPDKSHLKAGVQARLYGNRFLHPQGSLTIQHATQRDAGFYKCMAKNILGSDSKTTYIHVF
+>DECOY_sp|Q9NR99|MXRA5_HUMAN Matrix-remodeling-associated protein 5 OS=Homo sapiens OX=9606 GN=MXRA5 PE=1 SV=3
+FVHIYTTKSDSGLINKAMCKYFGADRQTAHQITLSGQPHLFRNGYLRAQVGAKLHSKDPLEWTIDAKPIGMAMCNLKVTNGPRTYIVPTPESTIRPPYAIVIVPISTVSPGYETEMRCVYTGRDFVSAERVTLTGNDLLSVRGLTQPGELHMGNPLTWSFRGQGAGPPTCPLKLTEGNIISVLNHYQKNAEPKLGVKLSVLRETHGAANRAVCRYAGADVSSLGSIHLMGDAKHYFRQLQQGSQLDTGNPLVWVLSPTPTGAASCNLSITHGAMATIKESIPDHFIPKEMPELVTLQLILRAEGGENRAMCVLQVSDSKRLSRIDLSGNGHVTIRNGYYPAPLVVGEPFAWLVRPTPIGEAKCDILKRSGGAAIERVTTIPNPNGNIKPPQVNVHIWVTKRDEGASNRVLCTYNGSDSRQAKQILLTGDQYIQYKESSTPIVKNTPSLWTVKPMPEGKAECAVTVVDGYPVQVALYTKNRITAPATVVKVRVRMEDKGVQNEAFCTYDGEERMGVENFYLTGNNFVVYRKTRGGSDDSQMFSNVLSGDPLSWSIEPNPLGTAVCDVKLDGGYFVKHDNEEKHEIKAPKMVVDVKLVVYDDGVKNRAVCLYDGADKDTVSKVVLTGNAFVKIRSDFSFLADIMRKSPLRWLIRPWPDGSASCDLKLTGGYRVDTRRPSTGTIRANAAARQVNLQVTRRASGVLNAAVCEYRGSDKPALNRIYLTGNPFVFLNGHLFQSPRIQTGDGLVWRVSPLPAAKATCHIHISLGPPLSINELKEQHIVPPLAAVHLRIALSDAGAANSAVCKYVGRDSFSAEKISLTRNEHLTIRGEVPSVTQWVRRDPFIWSIQPAPTGKALCEMAITDGLYVTVDQYHSALIQPQQVTVSLLVVMRDLGHLNSATCMYQGRDQVQVKRIVLTGNKLVEFRQIRTNPTMLAGTSVKTWTVFPKPKGTAECPFVTDTEATVSVTQPSKTLIQPKEGLSWFKSAPPGGAFFKSSSQHFSGSSQVSSTIFSISSQTSSVMPINQLNTSPSGTTQPTHLLPPAPPGFDLHFTSHSHIRNYSGPIVKRERMVPAPSTPIQPRRTVGLQPFSLTKNTFFPLRGNSYHPIRPSPPKGVPNRAEPINNNGFVKSYGNFQDTRRDTFKSPISPKSMHLPLPITTSSLRPTTFQKNEPLAGSPYTTIEPKNTWHRPSQSTVFYRSASQTSMEPLRVTATPLIPKAPVRTLQHSAHVRGDQRQSDPGRPLSRSGFVQKELELKTSLNFADQDSSPTSLENPGSMHQTGENNVPTAETEPNGVYNLFVNEKSDRSAQSIRPSPLAPKTTTTQGLSMLITSPSSSAPEKMRAATPTHNQPRTESLLIAVTTELHDQDLTTTEAQSSAVEVKISSLTTSSGAEEQHFPTSVTLSSLFESTFDVDELEKLLPPDTLNEGSTTVPIGTQLRGPQATRSPNWTPTGPFGVPSSEEKFEGMTSVLSRSTPIANTISEGTVLIDSKHKDVNTAVDDKIEKGDSTPKYTVPLTEQVKPYSSYIKRTTTMYDLSDYPGETKLSVTRPLLITESSPTVINRHKNEPSPSPKSGSARSSVTSPTYRHKNPRKGHKRRPTGKSTPEANKEMELQKPTNVTNDVWATPVLSSEVQSSIKIDPAQTPQTSFTESPAFTTPPTQKHRHRFKNPRLRRRGNPRRRSPHTSMTSSPAVKQRPTTTATTTDKDLLTGVTTEAPKKVPSMSSMIGLTSDPLTISKSEQGESESSRSHTPDGELMNGGQLTQSMEKMGKKILLTDQTSLSSKVLHINDTLGQLHSQGPVGPEGITSDEFLQSTSSDNVWITPTPTLHAFTDEKMKDEDPQSKTELDPDFYQMPESEALSVADLPPEYESSTPEPSSGVDAASWGETATEEHTPKEYVTDLTHLTPSPEYPESILTPAATGKLDGETSTIEETKESLDDVVEELPTSTVEPEVLIVGNHNHELGMSASSITGLVHEEEGLLPVDASSEEASTVTQVPSASPPSIAPFPPTVELSLSPPSTTKILPPVETGKPLNKGRVKALIDAWREPNIQKNAMNIRRRSEFVRRGEAVNTEPEKESHKWLKLKRRGKKAKKDGNIADDKTKLFVEQDKPHLLRRSTNEEDGMGSGGEDEVIDERVRSLAKAGPRRGRKSPLGSGKKTVTIGVTFHDAGQQNVAVCRYYGSDSVQVKPISLTGNPLMYVHSTNALDNIIRRNPLIWSLHAEPIALANCPLTVSEGPNKGITVTDKEAPQTSPSQVLVRYVMRDMEDRVQAICQYLGSDSPEMSKIRLWGSSLISFKSDPDDMPAKLISGDPLVWFISPSESAKVNCSLQCPGGELVTQDRQVAGSPEIMVWSRGRAQRTDKTSITQSYQTYYSLLVKKATSQRRNLQIDISPQMVWEPEALIQARVGTYYLAEEDADQRYQYSVRPDKSLMLERHLKVPVESYYAILKWLKEYNERTMPCEFDLAVTANIDIDPPDTQNLHIKYVDMPKKIDCVLNVMNGHEDTMNLSISWQPLQFKELILQSGGDEEQEQEEEISRSRNQRLPSEISPKLCTMDKLKHIEHKYLKKPSFCMACLQGGEYAKDKKCKLIGRSKADWELFWRMECDCTWPNGQLYLNELLPMNRLMSAPLTRVMNEALYLHRITSLRFYDLFTFTSFTSPHLQHLLNGELHLLRLSTLGNFAQPHIFEIKNHDIHLRMLNSLGQLTQGTIVRLKNYSFKFVQLSSLDRLAGDPISPIENGHIMLLELKTLGAFSTESLAQISNFGLNIREVHKAIGAPVSALSRFTCHVESPVYCACPHPCALAVRPHGWLLILVVSLAGWHARKPM
+>sp|Q96JP2|MY15B_HUMAN Unconventional myosin-XVB OS=Homo sapiens OX=9606 GN=MYO15B PE=1 SV=3
+MKEASGVGGGHSPMCPPHCHMPPGPAGEWPGATVQQPRQRAPTALLQPDAAGPGGGGVSAGVAVLGACPSASEGVLPRPPGRSAPQPPEYPGRPDMAVPGWSAMVRSRLTATSTSQATDHTFLQKSHYHHGDHPSYAKPRLPLPVFTVRHYAGTVTYQVHKFLNRNRDQLDPAVVEMLGQSQLQLVGSLFQEAEPQSRGGRGRPTLASRFQQALEDLIARLGRSHVYFIQCLTPNPGKLPGLFDVGHVTEQLHQAAILEAVGTRSANFPVRVPFEAFLASFQALGSEGQEDLSDREKCGAVLSQVLGAESPLYHLGATKVLLQEQGWQRLEELRDQQRSQALVDLHRSFHTCISRQRVLPRMQARMRGFQARKRYLRRRAALGQLNTILLVAQPLLQRRQRLQLGRWQGWHSSERALERVPSMELGRLEIPAELAVMLKTAESHRDALAGSITECLPPEVPARPSLTLPADIDLFPFSSFVAIGFQEPSLPRPGQPLAKPLTQLDGDNPQRALDINKVMLRLLGDGSLESWQRQIMGAYLVRQGQCRPGLRNELFSQLVAQLWQNPDEQQSQRGWALMAVLLSAFPPLPVLQKPLLKFVSDQAPRGMAALCQHKLLGALEQSQLASGATRAHPPTQLEWLAGWRRGRMALDVFTFSEECYSAEVESWTTGEQLAGWILQSRGLEAPPRGWSVSLHSRDAWQDLAGCDFVLDLISQTEDLGDPARPRSYPITPLGSAEAIPLAPGIQAPSLPPGPPPGPAPTLPSRDHTGEVQRSGSLDGFLDQIFQPVISSGLSDLEQSWALSSRMKGGGAIGPTQQGYPMVYPGMIQMPAYQPGMVPAPMPMMPAMGTVPAMPAMVVPPQPPLPSLDAGQLAVQQQNFIQQQALILAQQMTAQAMSLSLEQQMQQRQQQARASEAASQASPSAVTSKPRKPPTPPEKPQRDLGSEGGCLRETSEEAEDRPYQPKSFQQKRNYFQRMGQPQITVRTMKPPAKVHIPQGEAQEEEEEEEEEEEQEEQEVETRAVPSPPPPPIVKKPLKQGGAKAPKEAEAEPAKETAAKGHGQGPAQGRGTVVRSSDSKPKRPQPSREIGNIIRMYQSRPGPVPVPVQPSRPPKAFLRKIDPKDEALAKLGINGAHSSPPMLSPSPGKGPPPAVAPRPKAPLQLGPSSSIKEKQGPLLDLFGQKLPIAHTPPPPPAPPLPLPEDPGTLSAERRCLTQPVEDQGVSTQLLAPSGSVCFSYTGTPWKLFLRKEVFYPRENFSHPYYLRLLCEQILRDTFSESCIRISQNERRKMKDLLGGLEVDLDSLTTTEDSVKKRIVVAARDNWANYFSRFFPVSGESGSDVQLLAVSHRGLRLLKVTQGPGLRPDQLKILCSYSFAEVLGVECRGGSTLELSLKSEQLVLHTARARAIEALVELFLNELKKDSGYVIALRSYITDNCSLLSFHRGDLIKLLPVATLEPGWQFGSAGGRSGLFPADIVQPAAAPDFSFSKEQRSGWHKGQLSNGEPGLARWDRASEVRKMGEGQAEARPA
+>DECOY_sp|Q96JP2|MY15B_HUMAN Unconventional myosin-XVB OS=Homo sapiens OX=9606 GN=MYO15B PE=1 SV=3
+APRAEAQGEGMKRVESARDWRALGPEGNSLQGKHWGSRQEKSFSFDPAAAPQVIDAPFLGSRGGASGFQWGPELTAVPLLKILDGRHFSLLSCNDTIYSRLAIVYGSDKKLENLFLEVLAEIARARATHLVLQESKLSLELTSGGRCEVGLVEAFSYSCLIKLQDPRLGPGQTVKLLRLGRHSVALLQVDSGSEGSVPFFRSFYNAWNDRAAVVIRKKVSDETTTLSDLDVELGGLLDKMKRRENQSIRICSESFTDRLIQECLLRLYYPHSFNERPYFVEKRLFLKWPTGTYSFCVSGSPALLQTSVGQDEVPQTLCRREASLTGPDEPLPLPPAPPPPPTHAIPLKQGFLDLLPGQKEKISSSPGLQLPAKPRPAVAPPPGKGPSPSLMPPSSHAGNIGLKALAEDKPDIKRLFAKPPRSPQVPVPVPGPRSQYMRIINGIERSPQPRKPKSDSSRVVTGRGQAPGQGHGKAATEKAPEAEAEKPAKAGGQKLPKKVIPPPPPSPVARTEVEQEEQEEEEEEEEEEEQAEGQPIHVKAPPKMTRVTIQPQGMRQFYNRKQQFSKPQYPRDEAEESTERLCGGESGLDRQPKEPPTPPKRPKSTVASPSAQSAAESARAQQQRQQMQQELSLSMAQATMQQALILAQQQIFNQQQVALQGADLSPLPPQPPVVMAPMAPVTGMAPMMPMPAPVMGPQYAPMQIMGPYVMPYGQQTPGIAGGGKMRSSLAWSQELDSLGSSIVPQFIQDLFGDLSGSRQVEGTHDRSPLTPAPGPPPGPPLSPAQIGPALPIAEASGLPTIPYSRPRAPDGLDETQSILDLVFDCGALDQWADRSHLSVSWGRPPAELGRSQLIWGALQEGTTWSEVEASYCEESFTFVDLAMRGRRWGALWELQTPPHARTAGSALQSQELAGLLKHQCLAAMGRPAQDSVFKLLPKQLVPLPPFASLLVAMLAWGRQSQQEDPNQWLQAVLQSFLENRLGPRCQGQRVLYAGMIQRQWSELSGDGLLRLMVKNIDLARQPNDGDLQTLPKALPQGPRPLSPEQFGIAVFSSFPFLDIDAPLTLSPRAPVEPPLCETISGALADRHSEATKLMVALEAPIELRGLEMSPVRELARESSHWGQWRGLQLRQRRQLLPQAVLLITNLQGLAARRRLYRKRAQFGRMRAQMRPLVRQRSICTHFSRHLDVLAQSRQQDRLEELRQWGQEQLLVKTAGLHYLPSEAGLVQSLVAGCKERDSLDEQGESGLAQFSALFAEFPVRVPFNASRTGVAELIAAQHLQETVHGVDFLGPLKGPNPTLCQIFYVHSRGLRAILDELAQQFRSALTPRGRGGRSQPEAEQFLSGVLQLQSQGLMEVVAPDLQDRNRNLFKHVQYTVTGAYHRVTFVPLPLRPKAYSPHDGHHYHSKQLFTHDTAQSTSTATLRSRVMASWGPVAMDPRGPYEPPQPASRGPPRPLVGESASPCAGLVAVGASVGGGGPGAADPQLLATPARQRPQQVTAGPWEGAPGPPMHCHPPCMPSHGGGVGSAEKM
+>sp|Q92614|MY18A_HUMAN Unconventional myosin-XVIIIa OS=Homo sapiens OX=9606 GN=MYO18A PE=1 SV=3
+MFNLMKKDKDKDGGRKEKKEKKEKKERMSAAELRSLEEMSLRRGFFNLNRSSKRESKTRLEISNPIPIKVASGSDLHLTDIDSDSNRGSVILDSGHLSTASSSDDLKGEEGSFRGSVLQRAAKFGSLAKQNSQMIVKRFSFSQRSRDESASETSTPSEHSAAPSPQVEVRTLEGQLVQHPGPGIPRPGHRSRAPELVTKKFPVDLRLPPVVPLPPPTLRELELQRRPTGDFGFSLRRTTMLDRGPEGQACRRVVHFAEPGAGTKDLALGLVPGDRLVEINGHNVESKSRDEIVEMIRQSGDSVRLKVQPIPELSELSRSWLRSGEGPRREPSDAKTEEQIAAEEAWNETEKVWLVHRDGFSLASQLKSEELNLPEGKVRVKLDHDGAILDVDEDDVEKANAPSCDRLEDLASLVYLNESSVLHTLRQRYGASLLHTYAGPSLLVLGPRGAPAVYSEKVMHMFKGCRREDMAPHIYAVAQTAYRAMLMSRQDQSIILLGSSGSGKTTSCQHLVQYLATIAGISGNKVFSVEKWQALYTLLEAFGNSPTIINGNATRFSQILSLDFDQAGQVASASIQTMLLEKLRVARRPASEATFNVFYYLLACGDGTLRTELHLNHLAENNVFGIVPLAKPEEKQKAAQQFSKLQAAMKVLGISPDEQKACWFILAAIYHLGAAGATKEAAEAGRKQFARHEWAQKAAYLLGCSLEELSSAIFKHQHKGGTLQRSTSFRQGPEESGLGDGTGPKLSALECLEGMAAGLYSELFTLLVSLVNRALKSSQHSLCSMMIVDTPGFQNPEQGGSARGASFEELCHNYTQDRLQRLFHERTFVQELERYKEENIELAFDDLEPPTDDSVAAVDQASHQSLVRSLARTDEARGLLWLLEEEALVPGASEDTLLERLFSYYGPQEGDKKGQSPLLHSSKPHHFLLGHSHGTNWVEYNVTGWLNYTKQNPATQNAPRLLQDSQKKIISNLFLGRAGSATVLSGSIAGLEGGSQLALRRATSMRKTFTTGMAAVKKKSLCIQMKLQVDALIDTIKKSKLHFVHCFLPVAEGWAGEPRSASSRRVSSSSELDLPSGDHCEAGLLQLDVPLLRTQLRGSRLLDAMRMYRQGYPDHMVFSEFRRRFDVLAPHLTKKHGRNYIVVDERRAVEELLECLDLEKSSCCMGLSRVFFRAGTLARLEEQRDEQTSRNLTLFQAACRGYLARQHFKKRKIQDLAIRCVQKNIKKNKGVKDWPWWKLFTTVRPLIEVQLSEEQIRNKDEEIQQLRSKLEKAEKERNELRLNSDRLESRISELTSELTDERNTGESASQLLDAETAERLRAEKEMKELQTQYDALKKQMEVMEMEVMEARLIRAAEINGEVDDDDAGGEWRLKYERAVREVDFTKKRLQQEFEDKLEVEQQNKRQLERRLGDLQADSEESQRALQQLKKKCQRLTAELQDTKLHLEGQQVRNHELEKKQRRFDSELSQAHEEAQREKLQREKLQREKDMLLAEAFSLKQQLEEKDMDIAGFTQKVVSLEAELQDISSQESKDEASLAKVKKQLRDLEAKVKDQEEELDEQAGTIQMLEQAKLRLEMEMERMRQTHSKEMESRDEEVEEARQSCQKKLKQMEVQLEEEYEDKQKVLREKRELEGKLATLSDQVNRRDFESEKRLRKDLKRTKALLADAQLMLDHLKNSAPSKREIAQLKNQLEESEFTCAAAVKARKAMEVEIEDLHLQIDDIAKAKTALEEQLSRLQREKNEIQNRLEEDQEDMNELMKKHKAAVAQASRDLAQINDLQAQLEEANKEKQELQEKLQALQSQVEFLEQSMVDKSLVSRQEAKIRELETRLEFERTQVKRLESLASRLKENMEKLTEERDQRIAAENREKEQNKRLQRQLRDTKEEMGELARKEAEASRKKHELEMDLESLEAANQSLQADLKLAFKRIGDLQAAIEDEMESDENEDLINSLQDMVTKYQKRKNKLEGDSDVDSELEDRVDGVKSWLSKNKGPSKAASDDGSLKSSSPTSYWKSLAPDRSDDEHDPLDNTSRPRYSHSYLSDSDTEAKLTETNA
+>DECOY_sp|Q92614|MY18A_HUMAN Unconventional myosin-XVIIIa OS=Homo sapiens OX=9606 GN=MYO18A PE=1 SV=3
+ANTETLKAETDSDSLYSHSYRPRSTNDLPDHEDDSRDPALSKWYSTPSSSKLSGDDSAAKSPGKNKSLWSKVGDVRDELESDVDSDGELKNKRKQYKTVMDQLSNILDENEDSEMEDEIAAQLDGIRKFALKLDAQLSQNAAELSELDMELEHKKRSAEAEKRALEGMEEKTDRLQRQLRKNQEKERNEAAIRQDREETLKEMNEKLRSALSELRKVQTREFELRTELERIKAEQRSVLSKDVMSQELFEVQSQLAQLKEQLEQKEKNAEELQAQLDNIQALDRSAQAVAAKHKKMLENMDEQDEELRNQIENKERQLRSLQEELATKAKAIDDIQLHLDEIEVEMAKRAKVAAACTFESEELQNKLQAIERKSPASNKLHDLMLQADALLAKTRKLDKRLRKESEFDRRNVQDSLTALKGELERKERLVKQKDEYEEELQVEMQKLKKQCSQRAEEVEEDRSEMEKSHTQRMREMEMELRLKAQELMQITGAQEDLEEEQDKVKAELDRLQKKVKALSAEDKSEQSSIDQLEAELSVVKQTFGAIDMDKEELQQKLSFAEALLMDKERQLKERQLKERQAEEHAQSLESDFRRQKKELEHNRVQQGELHLKTDQLEATLRQCKKKLQQLARQSEESDAQLDGLRRELQRKNQQEVELKDEFEQQLRKKTFDVERVAREYKLRWEGGADDDDVEGNIEAARILRAEMVEMEMVEMQKKLADYQTQLEKMEKEARLREATEADLLQSASEGTNREDTLESTLESIRSELRDSNLRLENREKEAKELKSRLQQIEEDKNRIQEESLQVEILPRVTTFLKWWPWDKVGKNKKINKQVCRIALDQIKRKKFHQRALYGRCAAQFLTLNRSTQEDRQEELRALTGARFFVRSLGMCCSSKELDLCELLEEVARREDVVIYNRGHKKTLHPALVDFRRRFESFVMHDPYGQRYMRMADLLRSGRLQTRLLPVDLQLLGAECHDGSPLDLESSSSVRRSSASRPEGAWGEAVPLFCHVFHLKSKKITDILADVQLKMQICLSKKKVAAMGTTFTKRMSTARRLALQSGGELGAISGSLVTASGARGLFLNSIIKKQSDQLLRPANQTAPNQKTYNLWGTVNYEVWNTGHSHGLLFHHPKSSHLLPSQGKKDGEQPGYYSFLRELLTDESAGPVLAEEELLWLLGRAEDTRALSRVLSQHSAQDVAAVSDDTPPELDDFALEINEEKYRELEQVFTREHFLRQLRDQTYNHCLEEFSAGRASGGQEPNQFGPTDVIMMSCLSHQSSKLARNVLSVLLTFLESYLGAAMGELCELASLKPGTGDGLGSEEPGQRFSTSRQLTGGKHQHKFIASSLEELSCGLLYAAKQAWEHRAFQKRGAEAAEKTAGAAGLHYIAALIFWCAKQEDPSIGLVKMAAQLKSFQQAAKQKEEPKALPVIGFVNNEALHNLHLETRLTGDGCALLYYFVNFTAESAPRRAVRLKELLMTQISASAVQGAQDFDLSLIQSFRTANGNIITPSNGFAELLTYLAQWKEVSFVKNGSIGAITALYQVLHQCSTTKGSGSSGLLIISQDQRSMLMARYATQAVAYIHPAMDERRCGKFMHMVKESYVAPAGRPGLVLLSPGAYTHLLSAGYRQRLTHLVSSENLYVLSALDELRDCSPANAKEVDDEDVDLIAGDHDLKVRVKGEPLNLEESKLQSALSFGDRHVLWVKETENWAEEAAIQEETKADSPERRPGEGSRLWSRSLESLEPIPQVKLRVSDGSQRIMEVIEDRSKSEVNHGNIEVLRDGPVLGLALDKTGAGPEAFHVVRRCAQGEPGRDLMTTRRLSFGFDGTPRRQLELERLTPPPLPVVPPLRLDVPFKKTVLEPARSRHGPRPIGPGPHQVLQGELTRVEVQPSPAASHESPTSTESASEDRSRQSFSFRKVIMQSNQKALSGFKAARQLVSGRFSGEEGKLDDSSSATSLHGSDLIVSGRNSDSDIDTLHLDSGSAVKIPIPNSIELRTKSERKSSRNLNFFGRRLSMEELSRLEAASMREKKEKKEKKEKRGGDKDKDKKMLNFM
+>sp|P10243|MYBA_HUMAN Myb-related protein A OS=Homo sapiens OX=9606 GN=MYBL1 PE=1 SV=2
+MAKRSRSEDEDDDLQYADHDYEVPQQKGLKKLWNRVKWTRDEDDKLKKLVEQHGTDDWTLIASHLQNRSDFQCQHRWQKVLNPELIKGPWTKEEDQRVIELVQKYGPKRWSLIAKHLKGRIGKQCRERWHNHLNPEVKKSSWTEEEDRIIYEAHKRLGNRWAEIAKLLPGRTDNSIKNHWNSTMRRKVEQEGYLQDGIKSERSSSKLQHKPCAAMDHMQTQNQFYIPVQIPGYQYVSPEGNCIEHVQPTSAFIQQPFIDEDPDKEKKIKELEMLLMSAENEVRRKRIPSQPGSFSSWSGSFLMDDNMSNTLNSLDEHTSEFYSMDENQPVSAQQNSPTKFLAVEANAVLSSLQTIPEFAETLELIESDPVAWSDVTSFDISDAAASPIKSTPVKLMRIQHNEGAMECQFNVSLVLEGKKNTCNGGNSEAVPLTSPNIAKFSTPPAILRKKRKMRVGHSPGSELRDGSLNDGGNMALKHTPLKTLPFSPSQFFNTCPGNEQLNIENPSFTSTPICGQKALITTPLHKETTPKDQKENVGFRTPTIRRSILGTTPRTPTPFKNALAAQEKKYGPLKIVSQPLAFLEEDIREVLKEETGTDLFLKEEDEPAYKSCKQENTASGKKVRKSLVLDNWEKEESGTQLLTEDISDMQSENRFTTSLLMIPLLEIHDNRCNLIPEKQDINSTNKTYTLTKKKPNPNTSKVVKLEKNLQSNCEWETVVYGKTEDQLIMTEQARRYLSTYTATSSTSRALIL
+>DECOY_sp|P10243|MYBA_HUMAN Myb-related protein A OS=Homo sapiens OX=9606 GN=MYBL1 PE=1 SV=2
+LILARSTSSTATYTSLYRRAQETMILQDETKGYVVTEWECNSQLNKELKVVKSTNPNPKKKTLTYTKNTSNIDQKEPILNCRNDHIELLPIMLLSTTFRNESQMDSIDETLLQTGSEEKEWNDLVLSKRVKKGSATNEQKCSKYAPEDEEKLFLDTGTEEKLVERIDEELFALPQSVIKLPGYKKEQAALANKFPTPTRPTTGLISRRITPTRFGVNEKQDKPTTEKHLPTTILAKQGCIPTSTFSPNEINLQENGPCTNFFQSPSFPLTKLPTHKLAMNGGDNLSGDRLESGPSHGVRMKRKKRLIAPPTSFKAINPSTLPVAESNGGNCTNKKGELVLSVNFQCEMAGENHQIRMLKVPTSKIPSAAADSIDFSTVDSWAVPDSEILELTEAFEPITQLSSLVANAEVALFKTPSNQQASVPQNEDMSYFESTHEDLSNLTNSMNDDMLFSGSWSSFSGPQSPIRKRRVENEASMLLMELEKIKKEKDPDEDIFPQQIFASTPQVHEICNGEPSVYQYGPIQVPIYFQNQTQMHDMAACPKHQLKSSSRESKIGDQLYGEQEVKRRMTSNWHNKISNDTRGPLLKAIEAWRNGLRKHAEYIIRDEEETWSSKKVEPNLHNHWRERCQKGIRGKLHKAILSWRKPGYKQVLEIVRQDEEKTWPGKILEPNLVKQWRHQCQFDSRNQLHSAILTWDDTGHQEVLKKLKDDEDRTWKVRNWLKKLGKQQPVEYDHDAYQLDDDEDESRSRKAM
+>sp|P10242|MYB_HUMAN Transcriptional activator Myb OS=Homo sapiens OX=9606 GN=MYB PE=1 SV=2
+MARRPRHSIYSSDEDDEDFEMCDHDYDGLLPKSGKRHLGKTRWTREEDEKLKKLVEQNGTDDWKVIANYLPNRTDVQCQHRWQKVLNPELIKGPWTKEEDQRVIELVQKYGPKRWSVIAKHLKGRIGKQCRERWHNHLNPEVKKTSWTEEEDRIIYQAHKRLGNRWAEIAKLLPGRTDNAIKNHWNSTMRRKVEQEGYLQESSKASQPAVATSFQKNSHLMGFAQAPPTAQLPATGQPTVNNDYSYYHISEAQNVSSHVPYPVALHVNIVNVPQPAAAAIQRHYNDEDPEKEKRIKELELLLMSTENELKGQQVLPTQNHTCSYPGWHSTTIADHTRPHGDSAPVSCLGEHHSTPSLPADPGSLPEESASPARCMIVHQGTILDNVKNLLEFAETLQFIDSFLNTSSNHENSDLEMPSLTSTPLIGHKLTVTTPFHRDQTVKTQKENTVFRTPAIKRSILESSPRTPTPFKHALAAQEIKYGPLKMLPQTPSHLVEDLQDVIKQESDESGIVAEFQENGPPLLKKIKQEVESPTDKSGNFFCSHHWEGDSLNTQLFTQTSPVADAPNILTSSVLMAPASEDEDNVLKAFTVPKNRSLASPLQPCSSTWEPASCGKMEEQMTSSSQARKYVNAFSARTLVM
+>DECOY_sp|P10242|MYB_HUMAN Transcriptional activator Myb OS=Homo sapiens OX=9606 GN=MYB PE=1 SV=2
+MVLTRASFANVYKRAQSSSTMQEEMKGCSAPEWTSSCPQLPSALSRNKPVTFAKLVNDEDESAPAMLVSSTLINPADAVPSTQTFLQTNLSDGEWHHSCFFNGSKDTPSEVEQKIKKLLPPGNEQFEAVIGSEDSEQKIVDQLDEVLHSPTQPLMKLPGYKIEQAALAHKFPTPTRPSSELISRKIAPTRFVTNEKQTKVTQDRHFPTTVTLKHGILPTSTLSPMELDSNEHNSSTNLFSDIFQLTEAFELLNKVNDLITGQHVIMCRAPSASEEPLSGPDAPLSPTSHHEGLCSVPASDGHPRTHDAITTSHWGPYSCTHNQTPLVQQGKLENETSMLLLELEKIRKEKEPDEDNYHRQIAAAAPQPVNVINVHLAVPYPVHSSVNQAESIHYYSYDNNVTPQGTAPLQATPPAQAFGMLHSNKQFSTAVAPQSAKSSEQLYGEQEVKRRMTSNWHNKIANDTRGPLLKAIEAWRNGLRKHAQYIIRDEEETWSTKKVEPNLHNHWRERCQKGIRGKLHKAIVSWRKPGYKQVLEIVRQDEEKTWPGKILEPNLVKQWRHQCQVDTRNPLYNAIVKWDDTGNQEVLKKLKEDEERTWRTKGLHRKGSKPLLGDYDHDCMEFDEDDEDSSYISHRPRRAM
+>sp|Q969H8|MYDGF_HUMAN Myeloid-derived growth factor OS=Homo sapiens OX=9606 GN=MYDGF PE=1 SV=1
+MAAPSGGWNGVGASLWAALLLGAVALRPAEAVSEPTTVAFDVRPGGVVHSFSHNVGPGDKYTCMFTYASQGGTNEQWQMSLGTSEDHQHFTCTIWRPQGKSYLYFTQFKAEVRGAEIEYAMAYSKAAFERESDVPLKTEEFEVTKTAVAHRPGAFKAELSKLVIVAKASRTEL
+>DECOY_sp|Q969H8|MYDGF_HUMAN Myeloid-derived growth factor OS=Homo sapiens OX=9606 GN=MYDGF PE=1 SV=1
+LETRSAKAVIVLKSLEAKFAGPRHAVATKTVEFEETKLPVDSEREFAAKSYAMAYEIEAGRVEAKFQTFYLYSKGQPRWITCTFHQHDESTGLSMQWQENTGGQSAYTFMCTYKDGPGVNHSFSHVVGGPRVDFAVTTPESVAEAPRLAVAGLLLAAWLSAGVGNWGGSPAAM
+>sp|Q96EZ4|MYEOV_HUMAN Myeloma-overexpressed gene protein OS=Homo sapiens OX=9606 GN=MYEOV PE=2 SV=2
+MALRICVTYTPALPIGLCTRCCLCLEQSPSWCHCLRGVSFLTFHLHQSVPLGDRDSLLMFTRQAGHFVEGSKAGRSRGRLCLSQALRVAVRGAFVSLWFAAGAGDRERNKGDKGAQTGAGLSQEAEDVDVSRARRVTDAPQGTLCGTGNRNSGSQSARVVGVAHLGEAFRVGVEQAISSCPEEVHGRHGLSMEIMWARMDVALRSPGRGLLAGAGALCMTLAESSCPDYERGRRACLTLHRHPTPHCSTWGLPLRVAGSWLTVVTVEALGGWRMGVRRTGQVGPTMHPPPVSGASPLLLHHLLLLLLIIILTC
+>DECOY_sp|Q96EZ4|MYEOV_HUMAN Myeloma-overexpressed gene protein OS=Homo sapiens OX=9606 GN=MYEOV PE=2 SV=2
+CTLIIILLLLLLHHLLLPSAGSVPPPHMTPGVQGTRRVGMRWGGLAEVTVVTLWSGAVRLPLGWTSCHPTPHRHLTLCARRGREYDPCSSEALTMCLAGAGALLGRGPSRLAVDMRAWMIEMSLGHRGHVEEPCSSIAQEVGVRFAEGLHAVGVVRASQSGSNRNGTGCLTGQPADTVRRARSVDVDEAEQSLGAGTQAGKDGKNRERDGAGAAFWLSVFAGRVAVRLAQSLCLRGRSRGAKSGEVFHGAQRTFMLLSDRDGLPVSQHLHFTLFSVGRLCHCWSPSQELCLCCRTCLGIPLAPTYTVCIRLAM
+>sp|Q9HB07|MYG1_HUMAN UPF0160 protein MYG1, mitochondrial OS=Homo sapiens OX=9606 GN=C12orf10 PE=1 SV=2
+MGHQFLRGLLTLLLPPPPLYTRHRMLGPESVPPPKRSRSKLMAPPRIGTHNGTFHCDEALACALLRLLPEYRDAEIVRTRDPEKLASCDIVVDVGGEYDPRRHRYDHHQRSFTETMSSLSPGKPWQTKLSSAGLIYLHFGHKLLAQLLGTSEEDSMVGTLYDKMYENFVEEVDAVDNGISQWAEGEPRYALTTTLSARVARLNPTWNHPDQDTEAGFKRAMDLVQEEFLQRLDFYQHSWLPARALVEEALAQRFQVDPSGEIVELAKGACPWKEHLYHLESGLSPPVAIFFVIYTDQAGQWRIQCVPKEPHSFQSRLPLPEPWRGLRDEALDQVSGIPGCIFVHASGFTGGHHTREGALSMARATLAQRSYLPQIS
+>DECOY_sp|Q9HB07|MYG1_HUMAN UPF0160 protein MYG1, mitochondrial OS=Homo sapiens OX=9606 GN=C12orf10 PE=1 SV=2
+SIQPLYSRQALTARAMSLAGERTHHGGTFGSAHVFICGPIGSVQDLAEDRLGRWPEPLPLRSQFSHPEKPVCQIRWQGAQDTYIVFFIAVPPSLGSELHYLHEKWPCAGKALEVIEGSPDVQFRQALAEEVLARAPLWSHQYFDLRQLFEEQVLDMARKFGAETDQDPHNWTPNLRAVRASLTTTLAYRPEGEAWQSIGNDVADVEEVFNEYMKDYLTGVMSDEESTGLLQALLKHGFHLYILGASSLKTQWPKGPSLSSMTETFSRQHHDYRHRRPDYEGGVDVVIDCSALKEPDRTRVIEADRYEPLLRLLACALAEDCHFTGNHTGIRPPAMLKSRSRKPPPVSEPGLMRHRTYLPPPPLLLTLLGRLFQHGM
+>sp|P05976|MYL1_HUMAN Myosin light chain 1/3, skeletal muscle isoform OS=Homo sapiens OX=9606 GN=MYL1 PE=2 SV=3
+MAPKKDVKKPVAAAAAAPAPAPAPAPAPAPAKPKEEKIDLSAIKIEFSKEQQDEFKEAFLLFDRTGDSKITLSQVGDVLRALGTNPTNAEVRKVLGNPSNEELNAKKIEFEQFLPMMQAISNNKDQATYEDFVEGLRVFDKEGNGTVMGAELRHVLATLGEKMKEEEVEALMAGQEDSNGCINYEAFVKHIMSI
+>DECOY_sp|P05976|MYL1_HUMAN Myosin light chain 1/3, skeletal muscle isoform OS=Homo sapiens OX=9606 GN=MYL1 PE=2 SV=3
+ISMIHKVFAEYNICGNSDEQGAMLAEVEEEKMKEGLTALVHRLEAGMVTGNGEKDFVRLGEVFDEYTAQDKNNSIAQMMPLFQEFEIKKANLEENSPNGLVKRVEANTPNTGLARLVDGVQSLTIKSDGTRDFLLFAEKFEDQQEKSFEIKIASLDIKEEKPKAPAPAPAPAPAPAPAAAAAAVPKKVDKKPAM
+>sp|Q9HD67|MYO10_HUMAN Unconventional myosin-X OS=Homo sapiens OX=9606 GN=MYO10 PE=1 SV=3
+MDNFFTEGTRVWLRENGQHFPSTVNSCAEGIVVFRTDYGQVFTYKQSTITHQKVTAMHPTNEEGVDDMASLTELHGGSIMYNLFQRYKRNQIYTYIGSILASVNPYQPIAGLYEPATMEQYSRRHLGELPPHIFAIANECYRCLWKRHDNQCILISGESGAGKTESTKLILKFLSVISQQSLELSLKEKTSCVERAILESSPIMEAFGNAKTVYNNNSSRFGKFVQLNICQKGNIQGGRIVDYLLEKNRVVRQNPGERNYHIFYALLAGLEHEEREEFYLSTPENYHYLNQSGCVEDKTISDQESFREVITAMDVMQFSKEEVREVSRLLAGILHLGNIEFITAGGAQVSFKTALGRSAELLGLDPTQLTDALTQRSMFLRGEEILTPLNVQQAVDSRDSLAMALYACCFEWVIKKINSRIKGNEDFKSIGILDIFGFENFEVNHFEQFNINYANEKLQEYFNKHIFSLEQLEYSREGLVWEDIDWIDNGECLDLIEKKLGLLALINEESHFPQATDSTLLEKLHSQHANNHFYVKPRVAVNNFGVKHYAGEVQYDVRGILEKNRDTFRDDLLNLLRESRFDFIYDLFEHVSSRNNQDTLKCGSKHRRPTVSSQFKDSLHSLMATLSSSNPFFVRCIKPNMQKMPDQFDQAVVLNQLRYSGMLETVRIRKAGYAVRRPFQDFYKRYKVLMRNLALPEDVRGKCTSLLQLYDASNSEWQLGKTKVFLRESLEQKLEKRREEEVSHAAMVIRAHVLGFLARKQYRKVLYCVVIIQKNYRAFLLRRRFLHLKKAAIVFQKQLRGQIARRVYRQLLAEKREQEEKKKQEEEEKKKREEEERERERERREAELRAQQEEETRKQQELEALQKSQKEAELTRELEKQKENKQVEEILRLEKEIEDLQRMKEQQELSLTEASLQKLQERRDQELRRLEEEACRAAQEFLESLNFDEIDECVRNIERSLSVGSEFSSELAESACEEKPNFNFSQPYPEEEVDEGFEADDDAFKDSPNPSEHGHSDQRTSGIRTSDDSSEEDPYMNDTVVPTSPSADSTVLLAPSVQDSGSLHNSSSGESTYCMPQNAGDLPSPDGDYDYDQDDYEDGAITSGSSVTFSNSYGSQWSPDYRCSVGTYNSSGAYRFSSEGAQSSFEDSEEDFDSRFDTDDELSYRRDSVYSCVTLPYFHSFLYMKGGLMNSWKRRWCVLKDETFLWFRSKQEALKQGWLHKKGGGSSTLSRRNWKKRWFVLRQSKLMYFENDSEEKLKGTVEVRTAKEIIDNTTKENGIDIIMADRTFHLIAESPEDASQWFSVLSQVHASTDQEIQEMHDEQANPQNAVGTLDVGLIDSVCASDSPDRPNSFVIITANRVLHCNADTPEEMHHWITLLQRSKGDTRVEGQEFIVRGWLHKEVKNSPKMSSLKLKKRWFVLTHNSLDYYKSSEKNALKLGTLVLNSLCSVVPPDEKIFKETGYWNVTVYGRKHCYRLYTKLLNEATRWSSAIQNVTDTKAPIDTPTQQLIQDIKENCLNSDVVEQIYKRNPILRYTHHPLHSPLLPLPYGDINLNLLKDKGYTTLQDEAIKIFNSLQQLESMSDPIPIIQGILQTGHDLRPLRDELYCQLIKQTNKVPHPGSVGNLYSWQILTCLSCTFLPSRGILKYLKFHLKRIREQFPGSEMEKYALFTYESLKKTKCREFVPSRDEIEALIHRQEMTSTVYCHGGGSCKITINSHTTAGEVVEKLIRGLAMEDSRNMFALFEYNGHVDKAIESRTVVADVLAKFEKLAATSEVGDLPWKFYFKLYCFLDTDNVPKDSVEFAFMFEQAHEAVIHGHHPAPEENLQVLAALRLQYLQGDYTLHAAIPPLEEVYSLQRLKARISQSTKTFTPCERLEKRRTSFLEGTLRRSFRTGSVVRQKVEEEQMLDMWIKEEVSSARASIIDKWRKFQGMNQEQAMAKYMALIKEWPGYGSTLFDVECKEGGFPQELWLGVSADAVSVYKRGEGRPLEVFQYEHILSFGAPLANTYKIVVDERELLFETSEVVDVAKLMKAYISMIVKKRYSTTRSASSQGSSR
+>DECOY_sp|Q9HD67|MYO10_HUMAN Unconventional myosin-X OS=Homo sapiens OX=9606 GN=MYO10 PE=1 SV=3
+RSSGQSSASRTTSYRKKVIMSIYAKMLKAVDVVESTEFLLEREDVVIKYTNALPAGFSLIHEYQFVELPRGEGRKYVSVADASVGLWLEQPFGGEKCEVDFLTSGYGPWEKILAMYKAMAQEQNMGQFKRWKDIISARASSVEEKIWMDLMQEEEVKQRVVSGTRFSRRLTGELFSTRRKELRECPTFTKTSQSIRAKLRQLSYVEELPPIAAHLTYDGQLYQLRLAALVQLNEEPAPHHGHIVAEHAQEFMFAFEVSDKPVNDTDLFCYLKFYFKWPLDGVESTAALKEFKALVDAVVTRSEIAKDVHGNYEFLAFMNRSDEMALGRILKEVVEGATTHSNITIKCSGGGHCYVTSTMEQRHILAEIEDRSPVFERCKTKKLSEYTFLAYKEMESGPFQERIRKLHFKLYKLIGRSPLFTCSLCTLIQWSYLNGVSGPHPVKNTQKILQCYLEDRLPRLDHGTQLIGQIIPIPDSMSELQQLSNFIKIAEDQLTTYGKDKLLNLNIDGYPLPLLPSHLPHHTYRLIPNRKYIQEVVDSNLCNEKIDQILQQTPTDIPAKTDTVNQIASSWRTAENLLKTYLRYCHKRGYVTVNWYGTEKFIKEDPPVVSCLSNLVLTGLKLANKESSKYYDLSNHTLVFWRKKLKLSSMKPSNKVEKHLWGRVIFEQGEVRTDGKSRQLLTIWHHMEEPTDANCHLVRNATIIVFSNPRDPSDSACVSDILGVDLTGVANQPNAQEDHMEQIEQDTSAHVQSLVSFWQSADEPSEAILHFTRDAMIIDIGNEKTTNDIIEKATRVEVTGKLKEESDNEFYMLKSQRLVFWRKKWNRRSLTSSGGGKKHLWGQKLAEQKSRFWLFTEDKLVCWRRKWSNMLGGKMYLFSHFYPLTVCSYVSDRRYSLEDDTDFRSDFDEESDEFSSQAGESSFRYAGSSNYTGVSCRYDPSWQSGYSNSFTVSSGSTIAGDEYDDQDYDYDGDPSPLDGANQPMCYTSEGSSSNHLSGSDQVSPALLVTSDASPSTPVVTDNMYPDEESSDDSTRIGSTRQDSHGHESPNPSDKFADDDAEFGEDVEEEPYPQSFNFNPKEECASEALESSFESGVSLSREINRVCEDIEDFNLSELFEQAARCAEEELRRLEQDRREQLKQLSAETLSLEQQEKMRQLDEIEKELRLIEEVQKNEKQKELERTLEAEKQSKQLAELEQQKRTEEEQQARLEAERREREREREEEERKKKEEEEQKKKEEQERKEALLQRYVRRAIQGRLQKQFVIAAKKLHLFRRRLLFARYNKQIIVVCYLVKRYQKRALFGLVHARIVMAAHSVEEERRKELKQELSERLFVKTKGLQWESNSADYLQLLSTCKGRVDEPLALNRMLVKYRKYFDQFPRRVAYGAKRIRVTELMGSYRLQNLVVAQDFQDPMKQMNPKICRVFFPNSSSLTAMLSHLSDKFQSSVTPRRHKSGCKLTDQNNRSSVHEFLDYIFDFRSERLLNLLDDRFTDRNKELIGRVDYQVEGAYHKVGFNNVAVRPKVYFHNNAHQSHLKELLTSDTAQPFHSEENILALLGLKKEILDLCEGNDIWDIDEWVLGERSYELQELSFIHKNFYEQLKENAYNINFQEFHNVEFNEFGFIDLIGISKFDENGKIRSNIKKIVWEFCCAYLAMALSDRSDVAQQVNLPTLIEEGRLFMSRQTLADTLQTPDLGLLEASRGLATKFSVQAGGATIFEINGLHLIGALLRSVERVEEKSFQMVDMATIVERFSEQDSITKDEVCGSQNLYHYNEPTSLYFEEREEHELGALLAYFIHYNREGPNQRVVRNKELLYDVIRGGQINGKQCINLQVFKGFRSSNNNYVTKANGFAEMIPSSELIAREVCSTKEKLSLELSQQSIVSLFKLILKTSETKGAGSEGSILICQNDHRKWLCRYCENAIAFIHPPLEGLHRRSYQEMTAPEYLGAIPQYPNVSALISGIYTYIQNRKYRQFLNYMISGGHLETLSAMDDVGEENTPHMATVKQHTITSQKYTFVQGYDTRFVVIGEACSNVTSPFHQGNERLWVRTGETFFNDM
+>sp|Q9UKN7|MYO15_HUMAN Unconventional myosin-XV OS=Homo sapiens OX=9606 GN=MYO15A PE=1 SV=2
+MAKEEDEEKKAKKGKKGKKAPEPEKPKRSLKGTSRLFMGFRDRTPKISKKGQFRSASAFFWGLHTGPQKTKRKRKARTVLKSTSKLMTQMRMGKKKRAMKGKKPSFMVIRFPGRRGYGRLRPRARSLSKASTAINWLTKKFLLKKAEESGSEQATVDAWLQRSSSRMGSRKLPFPSGAEILRPGGRLRRFPRSRSIYASGEPLGFLPFEDEAPFHHSGSRKSLYGLEGFQDLGEYYDYHRDGDDYYDRQSLHRYEEQEPYLAGLGPYSPAWPPYGDHYYGYPPEDPYDYYHPDYYGGPFDPGYTYGYGYDDYEPPYAPPSGYSSPYSYHDGYEGEAHPYGYYLDPYAPYDAPYPPYDLPYHTPYDVPYFDPYGVHYTVPYAEGVYGGGDEAIYPPEVPYFYPEESASAFVYPWVPPPIPSPHNPYAHAMDDIAELEEPEDAGVERQGTSFRLPSAAFFEQQGMDKPARSKLSLIRKFRLFPRPQVKLFGKEKLEVPLPPSLDIPLPLGDADEEEDEEELPPVSAVPYGHPFWGFLTPRQRNLQRALSAFGAHRGLGFGPEFGRPVPRPATSLARFLKKTLSEKKPIARLRGSQKARAGGPAVREAAYKRFGYKLAGMDPEKPGTPIVLRRAQPRARSSNDARRPPAPQPAPRTLSHWSALLSPPVPPRPPSSGPPPAPPLSPALSGLPRPASPYGSLRRHPPPWAAPAHVPPAPQASWWAFVEPPAVSPEVPPDLLAFPGPRPSFRGSRRRGAAFGFPGASPRASRRRAWSPLASPQPSLRSSPGLGYCSPLAPPSPQLSLRTGPFQPPFLPPARRPRSLQESPAPRRAAGRLGPPGSPLPGSPRPPSPPLGLCHSPRRSSLNLPSRLPHTWRRLSEPPTRAVKPQVRLPFHRPPRAGAWRAPLEHRESPREPEDSETPWTVPPLAPSWDVDMPPTQRPPSPWPGGAGSRRGFSRPPPVPENPFLQLLGPVPSPTLQPEDPAADMTRVFLGRHHEPGPGQLTKSAGPTPEKPEEEATLGDPQLPAETKPPTPAPPKDVTPPKDITPPKDVLPEQKTLRPSLSYPLAACDQTRATWPPWHRWGTLPQAAAPLAPIRAPEPLPKGGERRQAAPGRFAVVMPRVQKLSSFQRVGPATLKPQVQPIQDPKPRACSLRWSCLWLRADAYGPWPRVHTHPQSCHLGPGAACLSLRGSWEEVGPPSWRNKMHSIRNLPSMRFREQHGEDGVEDMTQLEDLQETTVLSNLKIRFERNLIYTYIGSILVSVNPYQMFGIYGPEQVQQYNGRALGENPPHLFAVANLAFAKMLDAKQNQCIIISGESGSGKTEATKLILRYLAAMNQKREVMQQIKILEATPLLESFGNAKTVRNDNSSRFGKFVEIFLEGGVISGAITSQYLLEKSRIVFQAKNERNYHIFYELLAGLPAQLRQAFSLQEAETYYYLNQGGNCEIAGKSDADDFRRLLAAMEVLGFSSEDQDSIFRILASILHLGNVYFEKYETDAQEVASVVSAREIQAVAELLQISPEGLQKAITFKVTETMREKIFTPLTVESAVDARDAIAKVLYALLFSWLITRVNALVSPRQDTLSIAILDIYGFEDLSFNSFEQLCINYANENLQYLFNKIVFQEEQEEYIREQIDWQEITFADNQPCINLISLKPYGILRILDDQCCFPQATDHTFLQKCHYHHGANPLYSKPKMPLPEFTIKHYAGKVTYQVHKFLDKNHDQVRQDVLDLFVRSRTRVVAHLFSSHAPQAAPQRLGKSSSVTRLYKAHTVAAKFQQSLLDLVEKMERCNPLFMRCLKPNHKKEPGLFEPDVVMAQLRYSGVLETVRIRKEGFPVRLPFQGFIDRYCCLVALKHDLPANGDMCVSVLSRLCKVMPNMYRVGVSKLFLKEHLYQLLESMREHVLNLAALTLQRCLRGFFIKRRFRSLRHKIILLQSRARGYLARQRYQQMRRSLVKFRSLVHAYVSRRRYLKLRAEWRCQVEGALLWEQEELSKREVVAVGHLEVPAELAGLLQAVAGLGLAQVPQVAPVRTPRLQAEPRVTLPLDINNYPMAKFVQCHFKEPAFGMLTVPLRTPLTQLPAEHHAEAVSIFKLILRFMGDPHLHGARENIFGNYIVQKGLAVPELRDEILAQLANQVWHNHNAHNAERGWLLLAACLSGFAPSPCFNKYLLKFVSDYGRNGFQAVCQHRLMQAMGRAQQQGSGAARTLPPTQLEWTATYEKASMALDVGCFNGDQFSCPVHSWSTGEEVAGDILRHRGLADGWRGWTVAMKNGVQWAELAGHDYVLDLVSDLELLRDFPRQKSYFIVGTEGPAASRGGPKVVFGNSWDSDEDMSTRPQPQEHMPKVLDSDGYSSHNQDGTNGETEAQRGTATHQESDSLGEPAVPHKGLDCYLDSLFDPVLSYGDADLEKPTAIAYRMKGGGQPGGGSSSGTEDTPRRPPEPKPIPGLDASTLALQQAFIHKQAVLLAREMTLQATALQQQPLSAALRSLPAEKPPAPEAQPTSVGTGPPAKPVLLRATPKPLAPAPLAKAPRLPIKPVAAPVLAQDQASPETTSPSPELVRYSTLNSEHFPQPTQQIKNIVRQYQQPFRGGRPEALRKDGGKVFMKRPDPHEEALMILKGQMTHLAAAPGTQVSREAVALVKPVTSAPRPSMAPTSALPSRSLEPPEELTQTRLHRLINPNFYGYQDAPWKIFLRKEVFYPKDSYSHPVQLDLLFRQILHDTLSEACLRISEDERLRMKALFAQNQLDTQKPLVTESVKRAVVSTARDTWEVYFSRIFPATGSVGTGVQLLAVSHVGIKLLRMVKGGQEAGGQLRVLRAYSFADILFVTMPSQNMLEFNLASEKVILFSARAHQVKTLVDDFILELKKDSDYVVAVRNFLPEDPALLAFHKGDIIHLQPLEPPRVGYSAGCVVRRKVVYLEELRRRGPDFGWRFGTIHGRVGRFPSELVQPAAAPDFLQLPTEPGRGRAAAVAAAVASAAAAQEVGRRREGPPVRARSADHGEDALALPPYTMLEFAQKYFRDPQRRPQDGLRLKSKEPRESRTLEDMLCFTKTPLQESLIELSDSSLSKMATDMFLAVMRFMGDAPLKGQSDLDVLCNLLKLCGDHEVMRDECYCQVVKQITDNTSSKQDSCQRGWRLLYIVTAYHSCSEVLHPHLTRFLQDVSRTPGLPFQGIAKACEQNLQKTLRFGGRLELPSSIELRAMLAGRSSKRQLFLLPGGLERHLKIKTCTVALDVVEEICAEMALTRPEAFNEYVIFVVTNRGQHVCPLSRRAYILDVASEMEQVDGGYMLWFRRVLWDQPLKFENELYVTMHYNQVLPDYLKGLFSSVPASRPSEQLLQQVSKLASLQHRAKDHFYLPSVREVQEYIPAQLYRTTAGSTWLNLVSQHRQQTQALSPHQARAQFLGLLSALPMFGSSFFFIQSCSNIAVPAPCILAINHNGLNFLSTETHELMVKFPLKEIQSTRTQRPTANSSYPYVEIALGDVAAQRTLQLQLEQGLELCRVVAVHVENLLSAHEKRLTLPPSEITLL
+>DECOY_sp|Q9UKN7|MYO15_HUMAN Unconventional myosin-XV OS=Homo sapiens OX=9606 GN=MYO15A PE=1 SV=2
+LLTIESPPLTLRKEHASLLNEVHVAVVRCLELGQELQLQLTRQAAVDGLAIEVYPYSSNATPRQTRTSQIEKLPFKVMLEHTETSLFNLGNHNIALICPAPVAINSCSQIFFFSSGFMPLASLLGLFQARAQHPSLAQTQQRHQSVLNLWTSGATTRYLQAPIYEQVERVSPLYFHDKARHQLSALKSVQQLLQESPRSAPVSSFLGKLYDPLVQNYHMTVYLENEFKLPQDWLVRRFWLMYGGDVQEMESAVDLIYARRSLPCVHQGRNTVVFIVYENFAEPRTLAMEACIEEVVDLAVTCTKIKLHRELGGPLLFLQRKSSRGALMARLEISSPLELRGGFRLTKQLNQECAKAIGQFPLGPTRSVDQLFRTLHPHLVESCSHYATVIYLLRWGRQCSDQKSSTNDTIQKVVQCYCEDRMVEHDGCLKLLNCLVDLDSQGKLPADGMFRMVALFMDTAMKSLSSDSLEILSEQLPTKTFCLMDELTRSERPEKSKLRLGDQPRRQPDRFYKQAFELMTYPPLALADEGHDASRARVPPGERRRGVEQAAAASAVAAAVAAARGRGPETPLQLFDPAAAPQVLESPFRGVRGHITGFRWGFDPGRRRLEELYVVKRRVVCGASYGVRPPELPQLHIIDGKHFALLAPDEPLFNRVAVVYDSDKKLELIFDDVLTKVQHARASFLIVKESALNFELMNQSPMTVFLIDAFSYARLVRLQGGAEQGGKVMRLLKIGVHSVALLQVGTGVSGTAPFIRSFYVEWTDRATSVVARKVSETVLPKQTDLQNQAFLAKMRLREDESIRLCAESLTDHLIQRFLLDLQVPHSYSDKPYFVEKRLFIKWPADQYGYFNPNILRHLRTQTLEEPPELSRSPLASTPAMSPRPASTVPKVLAVAERSVQTGPAAALHTMQGKLIMLAEEHPDPRKMFVKGGDKRLAEPRGGRFPQQYQRVINKIQQTPQPFHESNLTSYRVLEPSPSTTEPSAQDQALVPAAVPKIPLRPAKALPAPALPKPTARLLVPKAPPGTGVSTPQAEPAPPKEAPLSRLAASLPQQQLATAQLTMERALLVAQKHIFAQQLALTSADLGPIPKPEPPRRPTDETGSSSGGGPQGGGKMRYAIATPKELDADGYSLVPDFLSDLYCDLGKHPVAPEGLSDSEQHTATGRQAETEGNTGDQNHSSYGDSDLVKPMHEQPQPRTSMDEDSDWSNGFVVKPGGRSAAPGETGVIFYSKQRPFDRLLELDSVLDLVYDHGALEAWQVGNKMAVTWGRWGDALGRHRLIDGAVEEGTSWSHVPCSFQDGNFCGVDLAMSAKEYTATWELQTPPLTRAAGSGQQQARGMAQMLRHQCVAQFGNRGYDSVFKLLYKNFCPSPAFGSLCAALLLWGREANHANHNHWVQNALQALIEDRLEPVALGKQVIYNGFINERAGHLHPDGMFRLILKFISVAEAHHEAPLQTLPTRLPVTLMGFAPEKFHCQVFKAMPYNNIDLPLTVRPEAQLRPTRVPAVQPVQALGLGAVAQLLGALEAPVELHGVAVVERKSLEEQEWLLAGEVQCRWEARLKLYRRRSVYAHVLSRFKVLSRRMQQYRQRALYGRARSQLLIIKHRLSRFRRKIFFGRLCRQLTLAALNLVHERMSELLQYLHEKLFLKSVGVRYMNPMVKCLRSLVSVCMDGNAPLDHKLAVLCCYRDIFGQFPLRVPFGEKRIRVTELVGSYRLQAMVVDPEFLGPEKKHNPKLCRMFLPNCREMKEVLDLLSQQFKAAVTHAKYLRTVSSSKGLRQPAAQPAHSSFLHAVVRTRSRVFLDLVDQRVQDHNKDLFKHVQYTVKGAYHKITFEPLPMKPKSYLPNAGHHYHCKQLFTHDTAQPFCCQDDLIRLIGYPKLSILNICPQNDAFTIEQWDIQERIYEEQEEQFVIKNFLYQLNENAYNICLQEFSNFSLDEFGYIDLIAISLTDQRPSVLANVRTILWSFLLAYLVKAIADRADVASEVTLPTFIKERMTETVKFTIAKQLGEPSIQLLEAVAQIERASVVSAVEQADTEYKEFYVNGLHLISALIRFISDQDESSFGLVEMAALLRRFDDADSKGAIECNGGQNLYYYTEAEQLSFAQRLQAPLGALLEYFIHYNRENKAQFVIRSKELLYQSTIAGSIVGGELFIEVFKGFRSSNDNRVTKANGFSELLPTAELIKIQQMVERKQNMAALYRLILKTAETKGSGSEGSIIICQNQKADLMKAFALNAVAFLHPPNEGLARGNYQQVQEPGYIGFMQYPNVSVLISGIYTYILNREFRIKLNSLVTTEQLDELQTMDEVGDEGHQERFRMSPLNRISHMKNRWSPPGVEEWSGRLSLCAAGPGLHCSQPHTHVRPWPGYADARLWLCSWRLSCARPKPDQIPQVQPKLTAPGVRQFSSLKQVRPMVVAFRGPAAQRREGGKPLPEPARIPALPAAAQPLTGWRHWPPWTARTQDCAALPYSLSPRLTKQEPLVDKPPTIDKPPTVDKPPAPTPPKTEAPLQPDGLTAEEEPKEPTPGASKTLQGPGPEHHRGLFVRTMDAAPDEPQLTPSPVPGLLQLFPNEPVPPPRSFGRRSGAGGPWPSPPRQTPPMDVDWSPALPPVTWPTESDEPERPSERHELPARWAGARPPRHFPLRVQPKVARTPPESLRRWTHPLRSPLNLSSRRPSHCLGLPPSPPRPSGPLPSGPPGLRGAARRPAPSEQLSRPRRAPPLFPPQFPGTRLSLQPSPPALPSCYGLGPSSRLSPQPSALPSWARRRSARPSAGPFGFAAGRRRSGRFSPRPGPFALLDPPVEPSVAPPEVFAWWSAQPAPPVHAPAAWPPPHRRLSGYPSAPRPLGSLAPSLPPAPPPGSSPPRPPVPPSLLASWHSLTRPAPQPAPPRRADNSSRARPQARRLVIPTGPKEPDMGALKYGFRKYAAERVAPGGARAKQSGRLRAIPKKESLTKKLFRALSTAPRPVPRGFEPGFGLGRHAGFASLARQLNRQRPTLFGWFPHGYPVASVPPLEEEDEEEDADGLPLPIDLSPPLPVELKEKGFLKVQPRPFLRFKRILSLKSRAPKDMGQQEFFAASPLRFSTGQREVGADEPEELEAIDDMAHAYPNHPSPIPPPVWPYVFASASEEPYFYPVEPPYIAEDGGGYVGEAYPVTYHVGYPDFYPVDYPTHYPLDYPPYPADYPAYPDLYYGYPHAEGEYGDHYSYPSSYGSPPAYPPEYDDYGYGYTYGPDFPGGYYDPHYYDYPDEPPYGYYHDGYPPWAPSYPGLGALYPEQEEYRHLSQRDYYDDGDRHYDYYEGLDQFGELGYLSKRSGSHHFPAEDEFPLFGLPEGSAYISRSRPFRRLRGGPRLIEAGSPFPLKRSGMRSSSRQLWADVTAQESGSEEAKKLLFKKTLWNIATSAKSLSRARPRLRGYGRRGPFRIVMFSPKKGKMARKKKGMRMQTMLKSTSKLVTRAKRKRKTKQPGTHLGWFFASASRFQGKKSIKPTRDRFGMFLRSTGKLSRKPKEPEPAKKGKKGKKAKKEEDEEKAM
+>sp|Q96H55|MYO19_HUMAN Unconventional myosin-XIX OS=Homo sapiens OX=9606 GN=MYO19 PE=1 SV=2
+MLQQVNGHNPGSDGQAREYLREDLQEFLGGEVLLYKLDDLTRVNPVTLETVLRCLQARYMADTFYTNAGCTLVALNPFKPVPQLYSPELMREYHAAPQPQKLKPHVFTVGEQTYRNVKSLIEPVNQSIVVSGESGAGKTWTSRCLMKFYAVVATSPASWESHKIAERIEQRILNSNPVMEAFGNACTLRNNNSSRFGKFIQLQLNRAQQMTGAAVQTYLLEKTRVACQASSERNFHIFYQICKGASEDERLQWHLPEGAAFSWLPNPERSLEEDCFEVTREAMLHLGIDTPTQNNIFKVLAGLLHLGNIQFAASEDEAQPCQPMDDAKYSVRTAASLLGLPEDVLLEMVQIRTIRAGRQQQVFRKPCARAECDTRRDCLAKLIYARLFDWLVSVINSSICADTDSWTTFIGLLDVYGFESFPDNSLEQLCINYANEKLQQHFVAHYLRAQQEEYAVEGLEWSFINYQDNQPCLDLIEGSPISICSLINEECRLNRPSSAAQLQTRIETALAGSPCLGHNKLSREPSFIVVHYAGPVRYHTAGLVEKNKDPIPPELTRLLQQSQDPLLMGLFPTNPKEKTQEEPPGQSRAPVLTVVSKFKASLEQLLQVLHSTTPHYIRCIKPNSQGQAQTFLQEEVLSQLEACGLVETIHISAAGFPIRVSHRNFVERYKLLRRLHPCTSSGPDSPYPAKGLPEWCPHSEEATLEPLIQDILHTLPVLTQAAAITGDSAEAMPAPMHCGRTKVFMTDSMLELLECGRARVLEQCARCIQGGWRRHRHREQERQWRAVMLIQAAIRSWLTRKHIQRLHAAATVIKRAWQKWRIRMACLAAKELDGVEEKHFSQAPCSLSTSPLQTRLLEAIIRLWPLGLVLANTAMGVGSFQRKLVVWACLQLPRGSPSSYTVQTAQDQAGVTSIRALPQGSIKFHCRKSPLRYADICPEPSPYSITGFNQILLERHRLIHVTSSAFTGLG
+>DECOY_sp|Q96H55|MYO19_HUMAN Unconventional myosin-XIX OS=Homo sapiens OX=9606 GN=MYO19 PE=1 SV=2
+GLGTFASSTVHILRHRELLIQNFGTISYPSPEPCIDAYRLPSKRCHFKISGQPLARISTVGAQDQATQVTYSSPSGRPLQLCAWVVLKRQFSGVGMATNALVLGLPWLRIIAELLRTQLPSTSLSCPAQSFHKEEVGDLEKAALCAMRIRWKQWARKIVTAAAHLRQIHKRTLWSRIAAQILMVARWQREQERHRHRRWGGQICRACQELVRARGCELLELMSDTMFVKTRGCHMPAPMAEASDGTIAAAQTLVPLTHLIDQILPELTAEESHPCWEPLGKAPYPSDPGSSTCPHLRRLLKYREVFNRHSVRIPFGAASIHITEVLGCAELQSLVEEQLFTQAQGQSNPKICRIYHPTTSHLVQLLQELSAKFKSVVTLVPARSQGPPEEQTKEKPNTPFLGMLLPDQSQQLLRTLEPPIPDKNKEVLGATHYRVPGAYHVVIFSPERSLKNHGLCPSGALATEIRTQLQAASSPRNLRCEENILSCISIPSGEILDLCPQNDQYNIFSWELGEVAYEEQQARLYHAVFHQQLKENAYNICLQELSNDPFSEFGYVDLLGIFTTWSDTDACISSNIVSVLWDFLRAYILKALCDRRTDCEARACPKRFVQQQRGARITRIQVMELLVDEPLGLLSAATRVSYKADDMPQCPQAEDESAAFQINGLHLLGALVKFINNQTPTDIGLHLMAERTVEFCDEELSREPNPLWSFAAGEPLHWQLREDESAGKCIQYFIHFNRESSAQCAVRTKELLYTQVAAGTMQQARNLQLQIFKGFRSSNNNRLTCANGFAEMVPNSNLIRQEIREAIKHSEWSAPSTAVVAYFKMLCRSTWTKGAGSEGSVVISQNVPEILSKVNRYTQEGVTFVHPKLKQPQPAAHYERMLEPSYLQPVPKFPNLAVLTCGANTYFTDAMYRAQLCRLVTELTVPNVRTLDDLKYLLVEGGLFEQLDERLYERAQGDSGPNHGNVQQLM
+>sp|Q8N1T3|MYO1H_HUMAN Unconventional myosin-Ih OS=Homo sapiens OX=9606 GN=MYO1H PE=1 SV=2
+MEGALTARDKVGVQDFVLLDAYTSESAFVDNLRKRFSENLIYTYIGTLLVSVNPYQELGIYTVSQMELYQGVNFFELPPHVYAIADNAYRMMCAELNNHFILISGESGAGKTEASKKILEYFAVTCPMTQSLQIARDRLLFSNPVLEAFGNARTLRNDNSSRFGKYMDIQFDFQGIPVGGHIISYLIEKSRVVYQNEGERNFHIFYQLLAGGEEERLSYLGLERDPQLYKYLSQGHCAKESSISDKNDWKTVSNAFSVIDFTEADLENLFGIIASVLHLGNIGFEEDDQGCATIPDTHEIKWIAKLLGVHPSVLLEALTHRKIEAKTEEVICPLTLELSVYARDAMAKAVYGRTFTWLVNKINSSLVNKVGQRILDPLLLLTWKTVIGLLDIYGFEVFDKNGFEQFCINYCNEKLQQLLIERTLKAEQAEYEMEGIEWEPIKYFNNKIICDLVEERHKGIISILDEECIRPGPATDLSFLEKLEEKVGKHAHFETRKLAGPKGRKRIGWMEFRLLHYAGEVTYCTKGFLEKNNDLLYRHLKEVLCKSKNIILRECFLLAELENRRRPPTVGTQFKNSLSSLLETLISKEPSYIRCIKPNDRKEPSKFDDFLIRHQIKYLGLMEHLRVRRAGFAYRRKYEHFLQRYKSLCPDTWPHWHGPPAEGVERLIKYIGYKPEEYKLGKTKIFIRFPRTLFATEDAFEFSKHQLVARIQATYKRCLGRREYVKKRQAAIKLEAHWRGALARKAIQRRKWAVRIIRKFIKGFISRNKPLCPDNEEFIVFVRKNYILNLRYHLPKTVLDKSWLRPPGILENASDLLRKMCVRNLVQKYCRGITAERKAMMQQKVVTSEIFRGRKDGYTESLNQPFVNSRIDEGDINPKVLQLISHEKIQYGVPVIKYDRKGFKARQRQLILTQKAAYVVELAKIKQKIEYSALKGVSTSNLSDGILVIHVSPEDSKQKGDAVLQCGHVFEAVTKLVMLVKKENIVNVVQGSLQFFISPGKEGTIVFDTGLEEQVYKNKNGQLTVVSVRRKS
+>DECOY_sp|Q8N1T3|MYO1H_HUMAN Unconventional myosin-Ih OS=Homo sapiens OX=9606 GN=MYO1H PE=1 SV=2
+SKRRVSVVTLQGNKNKYVQEELGTDFVITGEKGPSIFFQLSGQVVNVINEKKVLMVLKTVAEFVHGCQLVADGKQKSDEPSVHIVLIGDSLNSTSVGKLASYEIKQKIKALEVVYAAKQTLILQRQRAKFGKRDYKIVPVGYQIKEHSILQLVKPNIDGEDIRSNVFPQNLSETYGDKRGRFIESTVVKQQMMAKREATIGRCYKQVLNRVCMKRLLDSANELIGPPRLWSKDLVTKPLHYRLNLIYNKRVFVIFEENDPCLPKNRSIFGKIFKRIIRVAWKRRQIAKRALAGRWHAELKIAAQRKKVYERRGLCRKYTAQIRAVLQHKSFEFADETAFLTRPFRIFIKTKGLKYEEPKYGIYKILREVGEAPPGHWHPWTDPCLSKYRQLFHEYKRRYAFGARRVRLHEMLGLYKIQHRILFDDFKSPEKRDNPKICRIYSPEKSILTELLSSLSNKFQTGVTPPRRRNELEALLFCERLIINKSKCLVEKLHRYLLDNNKELFGKTCYTVEGAYHLLRFEMWGIRKRGKPGALKRTEFHAHKGVKEELKELFSLDTAPGPRICEEDLISIIGKHREEVLDCIIKNNFYKIPEWEIGEMEYEAQEAKLTREILLQQLKENCYNICFQEFGNKDFVEFGYIDLLGIVTKWTLLLLPDLIRQGVKNVLSSNIKNVLWTFTRGYVAKAMADRAYVSLELTLPCIVEETKAEIKRHTLAELLVSPHVGLLKAIWKIEHTDPITACGQDDEEFGINGLHLVSAIIGFLNELDAETFDIVSFANSVTKWDNKDSISSEKACHGQSLYKYLQPDRELGLYSLREEEGGALLQYFIHFNREGENQYVVRSKEILYSIIHGGVPIGQFDFQIDMYKGFRSSNDNRLTRANGFAELVPNSFLLRDRAIQLSQTMPCTVAFYELIKKSAETKGAGSEGSILIFHNNLEACMMRYANDAIAYVHPPLEFFNVGQYLEMQSVTYIGLEQYPNVSVLLTGIYTYILNESFRKRLNDVFASESTYADLLVFDQVGVKDRATLAGEM
+>sp|Q8TF71|MOT10_HUMAN Monocarboxylate transporter 10 OS=Homo sapiens OX=9606 GN=SLC16A10 PE=1 SV=1
+MVLSQEEPDSARGTSEAQPLGPAPTGAAPPPGPGPSDSPEAAVEKVEVELAGPATAEPHEPPEPPEGGWGWLVMLAAMWCNGSVFGIQNACGVLFVSMLETFGSKDDDKMVFKTAWVGSLSMGMIFFCCPIVSVFTDLFGCRKTAVVGAAVGFVGLMSSSFVSSIEPLYLTYGIIFACGCSFAYQPSLVILGHYFKKRLGLVNGIVTAGSSVFTILLPLLLRVLIDSVGLFYTLRVLCIFMFVLFLAGFTYRPLATSTKDKESGGSGSSLFSRKKFSPPKKIFNFAIFKVTAYAVWAVGIPLALFGYFVPYVHLMKHVNERFQDEKNKEVVLMCIGVTSGVGRLLFGRIADYVPGVKKVYLQVLSFFFIGLMSMMIPLCSIFGALIAVCLIMGLFDGCFISIMAPIAFELVGAQDVSQAIGFLLGFMSIPMTVGPPIAGLLRDKLGSYDVAFYLAGVPPLIGGAVLCFIPWIHSKKQREISKTTGKEKMEKMLENQNSLLSSSSGMFKKESDSII
+>DECOY_sp|Q8TF71|MOT10_HUMAN Monocarboxylate transporter 10 OS=Homo sapiens OX=9606 GN=SLC16A10 PE=1 SV=1
+IISDSEKKFMGSSSSLLSNQNELMKEMKEKGTTKSIERQKKSHIWPIFCLVAGGILPPVGALYFAVDYSGLKDRLLGAIPPGVTMPISMFGLLFGIAQSVDQAGVLEFAIPAMISIFCGDFLGMILCVAILAGFISCLPIMMSMLGIFFFSLVQLYVKKVGPVYDAIRGFLLRGVGSTVGICMLVVEKNKEDQFRENVHKMLHVYPVFYGFLALPIGVAWVAYATVKFIAFNFIKKPPSFKKRSFLSSGSGGSEKDKTSTALPRYTFGALFLVFMFICLVRLTYFLGVSDILVRLLLPLLITFVSSGATVIGNVLGLRKKFYHGLIVLSPQYAFSCGCAFIIGYTLYLPEISSVFSSSMLGVFGVAAGVVATKRCGFLDTFVSVIPCCFFIMGMSLSGVWATKFVMKDDDKSGFTELMSVFLVGCANQIGFVSGNCWMAALMVLWGWGGEPPEPPEHPEATAPGALEVEVKEVAAEPSDSPGPGPPPAAGTPAPGLPQAESTGRASDPEEQSLVM
+>sp|O15427|MOT4_HUMAN Monocarboxylate transporter 4 OS=Homo sapiens OX=9606 GN=SLC16A3 PE=1 SV=1
+MGGAVVDEGPTGVKAPDGGWGWAVLFGCFVITGFSYAFPKAVSVFFKELIQEFGIGYSDTAWISSILLAMLYGTGPLCSVCVNRFGCRPVMLVGGLFASLGMVAASFCRSIIQVYLTTGVITGLGLALNFQPSLIMLNRYFSKRRPMANGLAAAGSPVFLCALSPLGQLLQDRYGWRGGFLILGGLLLNCCVCAALMRPLVVTAQPGSGPPRPSRRLLDLSVFRDRGFVLYAVAASVMVLGLFVPPVFVVSYAKDLGVPDTKAAFLLTILGFIDIFARPAAGFVAGLGKVRPYSVYLFSFSMFFNGLADLAGSTAGDYGGLVVFCIFFGISYGMVGALQFEVLMAIVGTHKFSSAIGLVLLMEAVAVLVGPPSGGKLLDATHVYMYVFILAGAEVLTSSLILLLGNFFCIRKKPKEPQPEVAAAEEEKLHKPPADSGVDLREVEHFLKAEPEKNGEVVHTPETSV
+>DECOY_sp|O15427|MOT4_HUMAN Monocarboxylate transporter 4 OS=Homo sapiens OX=9606 GN=SLC16A3 PE=1 SV=1
+VSTEPTHVVEGNKEPEAKLFHEVERLDVGSDAPPKHLKEEEAAAVEPQPEKPKKRICFFNGLLLILSSTLVEAGALIFVYMYVHTADLLKGGSPPGVLVAVAEMLLVLGIASSFKHTGVIAMLVEFQLAGVMGYSIGFFICFVVLGGYDGATSGALDALGNFFMSFSFLYVSYPRVKGLGAVFGAAPRAFIDIFGLITLLFAAKTDPVGLDKAYSVVFVPPVFLGLVMVSAAVAYLVFGRDRFVSLDLLRRSPRPPGSGPQATVVLPRMLAACVCCNLLLGGLILFGGRWGYRDQLLQGLPSLACLFVPSGAAALGNAMPRRKSFYRNLMILSPQFNLALGLGTIVGTTLYVQIISRCFSAAVMGLSAFLGGVLMVPRCGFRNVCVSCLPGTGYLMALLISSIWATDSYGIGFEQILEKFFVSVAKPFAYSFGTIVFCGFLVAWGWGGDPAKVGTPGEDVVAGGM
+>sp|O15403|MOT7_HUMAN Monocarboxylate transporter 7 OS=Homo sapiens OX=9606 GN=SLC16A6 PE=1 SV=2
+MTQNKLKLCSKANVYTEVPDGGWGWAVAVSFFFVEVFTYGIIKTFGVFFNDLMDSFNESNSRISWIISICVFVLTFSAPLATVLSNRFGHRLVVMLGGLLVSTGMVAASFSQEVSHMYVAIGIISGLGYCFSFLPTVTILSQYFGKRRSIVTAVASTGECFAVFAFAPAIMALKERIGWRYSLLFVGLLQLNIVIFGALLRPIFIRGPASPKIVIQENRKEAQYMLENEKTRTSIDSIDSGVELTTSPKNVPTHTNLELEPKADMQQVLVKTSPRPSEKKAPLLDFSILKEKSFICYALFGLFATLGFFAPSLYIIPLGISLGIDQDRAAFLLSTMAIAEVFGRIGAGFVLNREPIRKIYIELICVILLTVSLFAFTFATEFWGLMSCSIFFGFMVGTIGGTHIPLLAEDDVVGIEKMSSAAGVYIFIQSIAGLAGPPLAGLLVDQSKIYSRAFYSCAAGMALAAVCLALVRPCKMGLCQHHHSGETKVVSHRGKTLQDIPEDFLEMDLAKNEHRVHVQMEPV
+>DECOY_sp|O15403|MOT7_HUMAN Monocarboxylate transporter 7 OS=Homo sapiens OX=9606 GN=SLC16A6 PE=1 SV=2
+VPEMQVHVRHENKALDMELFDEPIDQLTKGRHSVVKTEGSHHHQCLGMKCPRVLALCVAALAMGAACSYFARSYIKSQDVLLGALPPGALGAISQIFIYVGAASSMKEIGVVDDEALLPIHTGGITGVMFGFFISCSMLGWFETAFTFAFLSVTLLIVCILEIYIKRIPERNLVFGAGIRGFVEAIAMTSLLFAARDQDIGLSIGLPIIYLSPAFFGLTAFLGFLAYCIFSKEKLISFDLLPAKKESPRPSTKVLVQQMDAKPELELNTHTPVNKPSTTLEVGSDISDISTRTKENELMYQAEKRNEQIVIKPSAPGRIFIPRLLAGFIVINLQLLGVFLLSYRWGIREKLAMIAPAFAFVAFCEGTSAVATVISRRKGFYQSLITVTPLFSFCYGLGSIIGIAVYMHSVEQSFSAAVMGTSVLLGGLMVVLRHGFRNSLVTALPASFTLVFVCISIIWSIRSNSENFSDMLDNFFVGFTKIIGYTFVEVFFFSVAVAWGWGGDPVETYVNAKSCLKLKNQTM
+>sp|Q7RTY1|MOT9_HUMAN Monocarboxylate transporter 9 OS=Homo sapiens OX=9606 GN=SLC16A9 PE=1 SV=1
+MELKKSPDGGWGWVIVFVSFLTQFLCYGSPLAVGVLYIEWLDAFGEGKGKTAWVGSLASGVGLLASPVCSLCVSSFGARPVTIFSGFMVAGGLMLSSFAPNIYFLFFSYGIVVGLGCGLLYTATVTITCQYFDDRRGLALGLISTGSSVGLFIYAALQRMLVEFYGLDGCLLIVGALALNILACGSLMRPLQSSDCPLPKKIAPEDLPDKYSIYNEKGKNLEENINILDKSYSSEEKCRITLANGDWKQDSLLHKNPTVTHTKEPETYKKKVAEQTYFCKQLAKRKWQLYKNYCGETVALFKNKVFSALFIAILLFDIGGFPPSLLMEDVARSSNVKEEEFIMPLISIIGIMTAVGKLLLGILADFKWINTLYLYVATLIIMGLALCAIPFAKSYVTLALLSGILGFLTGNWSIFPYVTTKTVGIEKLAHAYGILMFFAGLGNSLGPPIVGWFYDWTQTYDIAFYFSGFCVLLGGFILLLAALPSWDTCNKQLPKPAPTTFLYKVASNV
+>DECOY_sp|Q7RTY1|MOT9_HUMAN Monocarboxylate transporter 9 OS=Homo sapiens OX=9606 GN=SLC16A9 PE=1 SV=1
+VNSAVKYLFTTPAPKPLQKNCTDWSPLAALLLIFGGLLVCFGSFYFAIDYTQTWDYFWGVIPPGLSNGLGAFFMLIGYAHALKEIGVTKTTVYPFISWNGTLFGLIGSLLALTVYSKAFPIACLALGMIILTAVYLYLTNIWKFDALIGLLLKGVATMIGIISILPMIFEEEKVNSSRAVDEMLLSPPFGGIDFLLIAIFLASFVKNKFLAVTEGCYNKYLQWKRKALQKCFYTQEAVKKKYTEPEKTHTVTPNKHLLSDQKWDGNALTIRCKEESSYSKDLININEELNKGKENYISYKDPLDEPAIKKPLPCDSSQLPRMLSGCALINLALAGVILLCGDLGYFEVLMRQLAAYIFLGVSSGTSILGLALGRRDDFYQCTITVTATYLLGCGLGVVIGYSFFLFYINPAFSSLMLGGAVMFGSFITVPRAGFSSVCLSCVPSALLGVGSALSGVWATKGKGEGFADLWEIYLVGVALPSGYCLFQTLFSVFVIVWGWGGDPSKKLEM
+>sp|Q6UVY6|MOXD1_HUMAN DBH-like monooxygenase protein 1 OS=Homo sapiens OX=9606 GN=MOXD1 PE=1 SV=1
+MCCWPLLLLWGLLPGTAAGGSGRTYPHRTLLDSEGKYWLGWSQRGSQIAFRLQVRTAGYVGFGFSPTGAMASADIVVGGVAHGRPYLQDYFTNANRELKKDAQQDYHLEYAMENSTHTIIEFTRELHTCDINDKSITDSTVRVIWAYHHEDAGEAGPKYHDSNRGTKSLRLLNPEKTSVLSTALPYFDLVNQDVPIPNKDTTYWCQMFKIPVFQEKHHVIKVEPVIQRGHESLVHHILLYQCSNNFNDSVLESGHECYHPNMPDAFLTCETVIFAWAIGGEGFSYPPHVGLSLGTPLDPHYVLLEVHYDNPTYEEGLIDNSGLRLFYTMDIRKYDAGVIEAGLWVSLFHTIPPGMPEFQSEGHCTLECLEEALEAEKPSGIHVFAVLLHAHLAGRGIRLRHFRKGKEMKLLAYDDDFDFNFQEFQYLKEEQTILPGDNLITECRYNTKDRAEMTWGGLSTRSEMCLSYLLYYPRINLTRCASIPDIMEQLQFIGVKEIYRPVTTWPFIIKSPKQYKNLSFMDAMNKFKWTKKEGLSFNKLVLSLPVNVRCSKTDNAEWSIQGMTALPPDIERPYKAEPLVCGTSSSSSLHRDFSINLLVCLLLLSCTLSTKSL
+>DECOY_sp|Q6UVY6|MOXD1_HUMAN DBH-like monooxygenase protein 1 OS=Homo sapiens OX=9606 GN=MOXD1 PE=1 SV=1
+LSKTSLTCSLLLLCVLLNISFDRHLSSSSSTGCVLPEAKYPREIDPPLATMGQISWEANDTKSCRVNVPLSLVLKNFSLGEKKTWKFKNMADMFSLNKYQKPSKIIFPWTTVPRYIEKVGIFQLQEMIDPISACRTLNIRPYYLLYSLCMESRTSLGGWTMEARDKTNYRCETILNDGPLITQEEKLYQFEQFNFDFDDDYALLKMEKGKRFHRLRIGRGALHAHLLVAFVHIGSPKEAELAEELCELTCHGESQFEPMGPPITHFLSVWLGAEIVGADYKRIDMTYFLRLGSNDILGEEYTPNDYHVELLVYHPDLPTGLSLGVHPPYSFGEGGIAWAFIVTECTLFADPMNPHYCEHGSELVSDNFNNSCQYLLIHHVLSEHGRQIVPEVKIVHHKEQFVPIKFMQCWYTTDKNPIPVDQNVLDFYPLATSLVSTKEPNLLRLSKTGRNSDHYKPGAEGADEHHYAWIVRVTSDTISKDNIDCTHLERTFEIITHTSNEMAYELHYDQQADKKLERNANTFYDQLYPRGHAVGGVVIDASAMAGTPSFGFGVYGATRVQLRFAIQSGRQSWGLWYKGESDLLTRHPYTRGSGGAATGPLLGWLLLLPWCCM
+>sp|Q02750|MP2K1_HUMAN Dual specificity mitogen-activated protein kinase kinase 1 OS=Homo sapiens OX=9606 GN=MAP2K1 PE=1 SV=2
+MPKKKPTPIQLNPAPDGSAVNGTSSAETNLEALQKKLEELELDEQQRKRLEAFLTQKQKVGELKDDDFEKISELGAGNGGVVFKVSHKPSGLVMARKLIHLEIKPAIRNQIIRELQVLHECNSPYIVGFYGAFYSDGEISICMEHMDGGSLDQVLKKAGRIPEQILGKVSIAVIKGLTYLREKHKIMHRDVKPSNILVNSRGEIKLCDFGVSGQLIDSMANSFVGTRSYMSPERLQGTHYSVQSDIWSMGLSLVEMAVGRYPIPPPDAKELELMFGCQVEGDAAETPPRPRTPGRPLSSYGMDSRPPMAIFELLDYIVNEPPPKLPSGVFSLEFQDFVNKCLIKNPAERADLKQLMVHAFIKRSDAEEVDFAGWLCSTIGLNQPSTPTHAAGV
+>DECOY_sp|Q02750|MP2K1_HUMAN Dual specificity mitogen-activated protein kinase kinase 1 OS=Homo sapiens OX=9606 GN=MAP2K1 PE=1 SV=2
+VGAAHTPTSPQNLGITSCLWGAFDVEEADSRKIFAHVMLQKLDAREAPNKILCKNVFDQFELSFVGSPLKPPPENVIYDLLEFIAMPPRSDMGYSSLPRGPTRPRPPTEAADGEVQCGFMLELEKADPPPIPYRGVAMEVLSLGMSWIDSQVSYHTGQLREPSMYSRTGVFSNAMSDILQGSVGFDCLKIEGRSNVLINSPKVDRHMIKHKERLYTLGKIVAISVKGLIQEPIRGAKKLVQDLSGGDMHEMCISIEGDSYFAGYFGVIYPSNCEHLVQLERIIQNRIAPKIELHILKRAMVLGSPKHSVKFVVGGNGAGLESIKEFDDDKLEGVKQKQTLFAELRKRQQEDLELEELKKQLAELNTEASSTGNVASGDPAPNLQIPTPKKKPM
+>sp|P46734|MP2K3_HUMAN Dual specificity mitogen-activated protein kinase kinase 3 OS=Homo sapiens OX=9606 GN=MAP2K3 PE=1 SV=2
+MESPASSQPASMPQSKGKSKRKKDLRISCMSKPPAPNPTPPRNLDSRTFITIGDRNFEVEADDLVTISELGRGAYGVVEKVRHAQSGTIMAVKRIRATVNSQEQKRLLMDLDINMRTVDCFYTVTFYGALFREGDVWICMELMDTSLDKFYRKVLDKNMTIPEDILGEIAVSIVRALEHLHSKLSVIHRDVKPSNVLINKEGHVKMCDFGISGYLVDSVAKTMDAGCKPYMAPERINPELNQKGYNVKSDVWSLGITMIEMAILRFPYESWGTPFQQLKQVVEEPSPQLPADRFSPEFVDFTAQCLRKNPAERMSYLELMEHPFFTLHKTKKTDIAAFVKEILGEDS
+>DECOY_sp|P46734|MP2K3_HUMAN Dual specificity mitogen-activated protein kinase kinase 3 OS=Homo sapiens OX=9606 GN=MAP2K3 PE=1 SV=2
+SDEGLIEKVFAAIDTKKTKHLTFFPHEMLELYSMREAPNKRLCQATFDVFEPSFRDAPLQPSPEEVVQKLQQFPTGWSEYPFRLIAMEIMTIGLSWVDSKVNYGKQNLEPNIREPAMYPKCGADMTKAVSDVLYGSIGFDCMKVHGEKNILVNSPKVDRHIVSLKSHLHELARVISVAIEGLIDEPITMNKDLVKRYFKDLSTDMLEMCIWVDGERFLAGYFTVTYFCDVTRMNIDLDMLLRKQEQSNVTARIRKVAMITGSQAHRVKEVVGYAGRGLESITVLDDAEVEFNRDGITIFTRSDLNRPPTPNPAPPKSMCSIRLDKKRKSKGKSQPMSAPQSSAPSEM
+>sp|Q2M3A8|MRAS1_HUMAN Putative uncharacterized protein MRGPRG-AS1 OS=Homo sapiens OX=9606 GN=MRGPRG-AS1 PE=5 SV=1
+MDLASEITSATQTSSLCSSGRGHAGYPAPGIVAHGFETHGTARVAQGHLLPPCLLPPPQMPVLAALRDLSRRGSTSSSRSPSRPVSTSASKPCLPASCLGETWSISINLVGSSGHLQSPGAQRDAQRETGCLGPSWLPHHQGRDEELSLSHSAQGEEF
+>DECOY_sp|Q2M3A8|MRAS1_HUMAN Putative uncharacterized protein MRGPRG-AS1 OS=Homo sapiens OX=9606 GN=MRGPRG-AS1 PE=5 SV=1
+FEEGQASHSLSLEEDRGQHHPLWSPGLCGTERQADRQAGPSQLHGSSGVLNISISWTEGLCSAPLCPKSASTSVPRSPSRSSSTSGRRSLDRLAALVPMQPPPLLCPPLLHGQAVRATGHTEFGHAVIGPAPYGAHGRGSSCLSSTQTASTIESALDM
+>sp|Q8N565|MREG_HUMAN Melanoregulin OS=Homo sapiens OX=9606 GN=MREG PE=1 SV=1
+MGLRDWLRTVCCCCGCECLEERALPEKEPLVSDNNPYSSFGATLVRDDEKNLWSMPHDVSHTEADDDRTLYNLIVIRNQQAKDSEEWQKLNYDIHTLRQVRREVRNRWKCILEDLGFQKEADSLLSVTKLSTISDSKNTRKAREMLLKLAEETNIFPTSWELSERYLFVVDRLIALDAAEEFFKLARRTYPKKPGVPCLADGQKELHYLPFPSP
+>DECOY_sp|Q8N565|MREG_HUMAN Melanoregulin OS=Homo sapiens OX=9606 GN=MREG PE=1 SV=1
+PSPFPLYHLEKQGDALCPVGPKKPYTRRALKFFEEAADLAILRDVVFLYRESLEWSTPFINTEEALKLLMERAKRTNKSDSITSLKTVSLLSDAEKQFGLDELICKWRNRVERRVQRLTHIDYNLKQWEESDKAQQNRIVILNYLTRDDDAETHSVDHPMSWLNKEDDRVLTAGFSSYPNNDSVLPEKEPLAREELCECGCCCCVTRLWDRLGM
+>sp|Q9HC36|MRM3_HUMAN rRNA methyltransferase 3, mitochondrial OS=Homo sapiens OX=9606 GN=MRM3 PE=1 SV=2
+MAALVRPARFVVRPLLQVVQAWDLDARRWVRALRRSPVKVVFPSGEVVEQKRAPGKQPRKAPSEASAQEQREKQPLEESASRAPSTWEESGLRYDKAYPGDRRLSSVMTIVKSRPFREKQGKILLEGRRLISDALKAGAVPKMFFFSRLEYLKELPVDKLKGVSLIKVKFEDIKDWSDLVTPQGIMGIFAKPDHVKMTYPKTQLQHSLPLLLICDNLRDPGNLGTILRSAAGAGCSKVLLTKGCVDAWEPKVLRAGMGAHFRMPIINNLEWETVPNYLPPDTRVYVADNCGLYAQAEMSNKASDHGWVCDQRVMKFHKYEEEEDVETGASQDWLPHVEVQSYDSDWTEAPAAVVIGGETYGVSLESLQLAESTGGKRLLIPVVPGVDSLNSAMAASILLFEGKRQLRGRAEDLSRDRSYH
+>DECOY_sp|Q9HC36|MRM3_HUMAN rRNA methyltransferase 3, mitochondrial OS=Homo sapiens OX=9606 GN=MRM3 PE=1 SV=2
+HYSRDRSLDEARGRLQRKGEFLLISAAMASNLSDVGPVVPILLRKGGTSEALQLSELSVGYTEGGIVVAAPAETWDSDYSQVEVHPLWDQSAGTEVDEEEEYKHFKMVRQDCVWGHDSAKNSMEAQAYLGCNDAVYVRTDPPLYNPVTEWELNNIIPMRFHAGMGARLVKPEWADVCGKTLLVKSCGAGAASRLITGLNGPDRLNDCILLLPLSHQLQTKPYTMKVHDPKAFIGMIGQPTVLDSWDKIDEFKVKILSVGKLKDVPLEKLYELRSFFFMKPVAGAKLADSILRRGELLIKGQKERFPRSKVITMVSSLRRDGPYAKDYRLGSEEWTSPARSASEELPQKERQEQASAESPAKRPQKGPARKQEVVEGSPFVVKVPSRRLARVWRRADLDWAQVVQLLPRVVFRAPRVLAAM
+>sp|A6NES4|MRO2A_HUMAN Maestro heat-like repeat-containing protein family member 2A OS=Homo sapiens OX=9606 GN=MROH2A PE=4 SV=4
+MTEAITEAAVASSEEVSEERDDLGPLELHDSGTFQQVVNLLDIIDSESAKTDTTGAGLDMRKTLASVIIMEKATTEPSVVINTLIRCLQVPEISTQRKVNIYNILQDIIQQEGELEEQCVQRLVAIASKEMREIPEMEGYMKAEVASDTLVALSRNHFSLVMYELQHHLKPLNLTDEFVIITLAKLANGNVFEFMPYMGITLATIFTMLRLANEAKIRQAICSAMETFCETVQFYLKHLEESVYPVMTEEEFALKVFPMYRYFVTVWLRHYNPEVKLGVIKSLKPMLGLLLPNDDLREQVYDYIPLLLAEYQGSLEVLFVTQVLRQILELSVTTNTPVPQMQLHTIFTELHVQVCNKAPAQHQYSSQNLMEMVHCFVALARSYPKELMKFFFSQMETNKEAVRVGTLNLIRAIVSADEPRMSIRAIYLAIRVVKNTISDTRSKVRMAILHIIGQLALCGYQERIKGWGLKYLSVQLTLSTYKLTNRREKFYQRDLEERMVHKVTMDTVKIITSSVSGMTTEFWVRLLCYIMETDYVEALTPICISLTNLAEHQLHGQDVDVSVAGKSRQVDLPAPQKLLARLLVLMSSPYKGEGRGIAMLNLLRTLSQSIAPSMADMWELEIALLVRYLEEHTEFTWDQKAWEDKLIQFLRNSLKKTRGSSWSLRLSKELNNQIASFDSPSLEKGFLYRALGFTLATGLEASKVEVLLLELLYKTDYSNDFDSEGVIMCFGLCARGQVKTVLNVLHDFEERIQESEQSWQISAWRKDHPWRRETVKSALMVMYSCVASYCHPQLLLNLVDSPITAKIIHHYVSSCQDICLKMAFMKSVVQVTKAINNIKDLEDFHFAQKTTLTSIIVAVIKAEPTDNLVSPVRALAMEALSHLSKLKPFYSTEENSELMDISIHSVISLQLPGEDNESIKTLYANALSSLEQLMESLLQRQLDPKGLQEMVQLLEKWILSEKEWEREKAVSLHLYLMWIYVHSTAVCIHLKLGQFGTMVGLIAPCTCDAHQRTRMASMNVLSSLLDLHASQTCSLWGPSKQKELEKCKGDLQSTDVEKIFCASSRIAKVVCMEFSCDEVVSLIQKLCENTGAMNLQHDKASVTWIAFFLQMRAKELEDKVAEILSAILVHLPVVDHPEVRRLLIDGILLLAHHHQETILTSLLRQPLPMESHLAEVWLAVSENVPFARTMLHSLMGRLQSRLSPRISATSKADIWRLAAVDPLMTLCTIHLLIQKLDENDKLPDFLPDLIYTLLLQLGSSHRPEAAPPVLKMWKLVHTTPLPEEMNLQRVTIKSMQLLFKRVKSQHLAHTLDEQAVWDLLQDGGTFLEGVSLLARLCMQHVEGHRQRLAELVLRGMDSEVLSCRISSTAVCFMSGPVLYQEKLLKPAALLLEKGADQEEDEALRVLSLRALGNMALGAPKKVKQYRKVLLEKCLGPLREPVSNSVTAEGMEALTKILAELREGDVGSSFDAMSEQCRIFFDNESELLRLKAFILFGKLARVVGMSKKHFFKGEVKKAWIPLMLHSQDPCSNAAQACMATMFQCVHFWGWKSLEHPSGPSDTATDDKMTVFQTTMCSILTRKKPAVLYRFLLETMAYVKNNLSRIRIAACNLAGIIMKQMSTHYLKKLDFPALRNSLQELQLDPDPGVRRAALETLTVLDSCSQHGFLASPQGMS
+>DECOY_sp|A6NES4|MRO2A_HUMAN Maestro heat-like repeat-containing protein family member 2A OS=Homo sapiens OX=9606 GN=MROH2A PE=4 SV=4
+SMGQPSALFGHQSCSDLVTLTELAARRVGPDPDLQLEQLSNRLAPFDLKKLYHTSMQKMIIGALNCAAIRIRSLNNKVYAMTELLFRYLVAPKKRTLISCMTTQFVTMKDDTATDSPGSPHELSKWGWFHVCQFMTAMCAQAANSCPDQSHLMLPIWAKKVEGKFFHKKSMGVVRALKGFLIFAKLRLLESENDFFIRCQESMADFSSGVDGERLEALIKTLAEMGEATVSNSVPERLPGLCKELLVKRYQKVKKPAGLAMNGLARLSLVRLAEDEEQDAGKELLLAAPKLLKEQYLVPGSMFCVATSSIRCSLVESDMGRLVLEALRQRHGEVHQMCLRALLSVGELFTGGDQLLDWVAQEDLTHALHQSKVRKFLLQMSKITVRQLNMEEPLPTTHVLKWMKLVPPAAEPRHSSGLQLLLTYILDPLFDPLKDNEDLKQILLHITCLTMLPDVAALRWIDAKSTASIRPSLRSQLRGMLSHLMTRAFPVNESVALWVEALHSEMPLPQRLLSTLITEQHHHALLLIGDILLRRVEPHDVVPLHVLIASLIEAVKDELEKARMQLFFAIWTVSAKDHQLNMAGTNECLKQILSVVEDCSFEMCVVKAIRSSACFIKEVDTSQLDGKCKELEKQKSPGWLSCTQSAHLDLLSSLVNMSAMRTRQHADCTCPAILGVMTGFQGLKLHICVATSHVYIWMLYLHLSVAKEREWEKESLIWKELLQVMEQLGKPDLQRQLLSEMLQELSSLANAYLTKISENDEGPLQLSIVSHISIDMLESNEETSYFPKLKSLHSLAEMALARVPSVLNDTPEAKIVAVIISTLTTKQAFHFDELDKINNIAKTVQVVSKMFAMKLCIDQCSSVYHHIIKATIPSDVLNLLLQPHCYSAVCSYMVMLASKVTERRWPHDKRWASIQWSQESEQIREEFDHLVNLVTKVQGRACLGFCMIVGESDFDNSYDTKYLLELLLVEVKSAELGTALTFGLARYLFGKELSPSDFSAIQNNLEKSLRLSWSSGRTKKLSNRLFQILKDEWAKQDWTFETHEELYRVLLAIELEWMDAMSPAISQSLTRLLNLMAIGRGEGKYPSSMLVLLRALLKQPAPLDVQRSKGAVSVDVDQGHLQHEALNTLSICIPTLAEVYDTEMIYCLLRVWFETTMGSVSSTIIKVTDMTVKHVMREELDRQYFKERRNTLKYTSLTLQVSLYKLGWGKIREQYGCLALQGIIHLIAMRVKSRTDSITNKVVRIALYIARISMRPEDASVIARILNLTGVRVAEKNTEMQSFFFKMLEKPYSRALAVFCHVMEMLNQSSYQHQAPAKNCVQVHLETFITHLQMQPVPTNTTVSLELIQRLVQTVFLVELSGQYEALLLPIYDYVQERLDDNPLLLGLMPKLSKIVGLKVEPNYHRLWVTVFYRYMPFVKLAFEEETMVPYVSEELHKLYFQVTECFTEMASCIAQRIKAENALRLMTFITALTIGMYPMFEFVNGNALKALTIIVFEDTLNLPKLHHQLEYMVLSFHNRSLAVLTDSAVEAKMYGEMEPIERMEKSAIAVLRQVCQEELEGEQQIIDQLINYINVKRQTSIEPVQLCRILTNIVVSPETTAKEMIIVSALTKRMDLGAGTTDTKASESDIIDLLNVVQQFTGSDHLELPGLDDREESVEESSAVAAETIAETM
+>sp|Q7Z745|MRO2B_HUMAN Maestro heat-like repeat-containing protein family member 2B OS=Homo sapiens OX=9606 GN=MROH2B PE=2 SV=3
+MTLSTEESIEMFGDINLTLGMLNKEDIVNKEDIYSHLTSVIQNTDILDDAIVQRLIYYASKDMRDNNMLREIRMLAGEVLVSLAAHDFNSVMYEVQSNFRILELPDEFVVLALAELATSYVSQSIPFMMMTLLTMQTMLRLAEDERMKGTFCIALEKFSKAIYKYVNHWRDFPYPRLDANRLSDKIFMLFWYIMEKWAPLASPMQTLSIVKAHGPTVSLLLHREDFRGYALGQVPWLLNQYKDKEIDFHVTQSLKQILTAAVLYDIGLPRSLRRSIFINLLQQICRAPEPPVKENEMKASSCFLILAHSNPGELMEFFDEQVRSNNEAIRVGILTLLRLAVNADEPRLRDHIISIERTVKIVMGDLSTKVRNSVLLLIQTMCEKSYIEAREGWPLIDYVFSQFATLNRNLEKPVKTNFHENEKEEESVRETSLEVLKTLDPLVIGMPQVLWPRILTFVVPAEYTEALEPLFSIIRILIMAEEKKQHSAKESTALVVSTGAVKLPSPQQLLARLLVISMPASLGELRGAGAIGLLKILPEIIHPKLVDLWKTRLPELLQPLEGKNISTVLWETMLLQLLKESLWKISDVAWTIQLTQDFKQQMGSYSNNSTEKKFLWKALGTTLACCQDSDFVNSQIKEFLTAPNQLGDQRQGITSILGYCAENHLDIVLKVLKTFQNQEKFFMNRCKSLFSGKKSLTKTDVMVIYGAVALHAPKKQLLSRLNQDIISQVLSLHGQCSQVLGMSVMNKDMDLQMSFTRSITEIGIAVQDAEDQGFQFSYKEMLIGYMLDFIRDEPLDSLASPIRWKALIAIRYLSKLKPQLSLQDHLNILEENIRRLLPLPPLENLKSEGQTDKDKEHIQFLYERSMDALGKLLKTMMWDNVNAEDCQEMFNLLQMWLVSQKEWERERAFQITAKVLTNDIEAPENFKIGSLLGLLAPHSCDTLPTIRQAAASSTIGLFYIKGIHLEVERLQGLQEGLESDDVQVQIKISSKIAKIVSKFIPNEEILMFLEEMLDGLESLNPTCTKACGIWMITVLKQQGAALEDQLLEILGTIYHHMPVLRQKEESFQFILEAISQIASFHMDTVVVNLLQKPLPFDRDTKTLWKALAEKPASSGKLLQALIDKLETELEDDIARVEAISVACAMYEVISMGTSVTGLYPELFTLLLKLVSCTLGQKMLTCPWSHRRHVMQQGEQQQIPDPCRLSTATLKCLQAQAMREGLAKESDEGDNLWTLLSSPSTHHIGVCSLARSMAVWQHGVILDIMEQLLSSLTSSSENYRITGAAFFSELMKEPILWKHGNLRNVLILMDQSAWDSNATLRQMAIRGLGNTASGAPHKVKKHKQLMLESIIRGLYHLARTEVVCESLKALKKILELLTDRDVSFYFKEIVLQTRTFFEDEQDDVRLTAIFLFEDLAPLTGRRWKIFFAEEIKKSLISFLLHLWDPNPKIGVACRDVLMVCIPFLGLQELYGVLDRLLDQDLPRARDFYRQFCVKLAKKNQEILWILHTHSFTFFTSTWEVIRSAAVKLTDAVVLNLTSQYVELLDREQLTTRLQALRQDPCISVQRAAEAALQTLLRRCKETSIPL
+>DECOY_sp|Q7Z745|MRO2B_HUMAN Maestro heat-like repeat-containing protein family member 2B OS=Homo sapiens OX=9606 GN=MROH2B PE=2 SV=3
+LPISTEKCRRLLTQLAAEAARQVSICPDQRLAQLRTTLQERDLLEVYQSTLNLVVADTLKVAASRIVEWTSTFFTFSHTHLIWLIEQNKKALKVCFQRYFDRARPLDQDLLRDLVGYLEQLGLFPICVMLVDRCAVGIKPNPDWLHLLFSILSKKIEEAFFIKWRRGTLPALDEFLFIATLRVDDQEDEFFTRTQLVIEKFYFSVDRDTLLELIKKLAKLSECVVETRALHYLGRIISELMLQKHKKVKHPAGSATNGLGRIAMQRLTANSDWASQDMLILVNRLNGHKWLIPEKMLESFFAAGTIRYNESSSTLSSLLQEMIDLIVGHQWVAMSRALSCVGIHHTSPSSLLTWLNDGEDSEKALGERMAQAQLCKLTATSLRCPDPIQQQEGQQMVHRRHSWPCTLMKQGLTCSVLKLLLTFLEPYLGTVSTGMSIVEYMACAVSIAEVRAIDDELETELKDILAQLLKGSSAPKEALAKWLTKTDRDFPLPKQLLNVVVTDMHFSAIQSIAELIFQFSEEKQRLVPMHHYITGLIELLQDELAAGQQKLVTIMWIGCAKTCTPNLSELGDLMEELFMLIEENPIFKSVIKAIKSSIKIQVQVDDSELGEQLGQLREVELHIGKIYFLGITSSAAAQRITPLTDCSHPALLGLLSGIKFNEPAEIDNTLVKATIQFAREREWEKQSVLWMQLLNFMEQCDEANVNDWMMTKLLKGLADMSREYLFQIHEKDKDTQGESKLNELPPLPLLRRINEELINLHDQLSLQPKLKSLYRIAILAKWRIPSALSDLPEDRIFDLMYGILMEKYSFQFGQDEADQVAIGIETISRTFSMQLDMDKNMVSMGLVQSCQGHLSLVQSIIDQNLRSLLQKKPAHLAVAGYIVMVDTKTLSKKGSFLSKCRNMFFKEQNQFTKLVKLVIDLHNEACYGLISTIGQRQDGLQNPATLFEKIQSNVFDSDQCCALTTGLAKWLFKKETSNNSYSGMQQKFDQTLQITWAVDSIKWLSEKLLQLLMTEWLVTSINKGELPQLLEPLRTKWLDVLKPHIIEPLIKLLGIAGAGRLEGLSAPMSIVLLRALLQQPSPLKVAGTSVVLATSEKASHQKKEEAMILIRIISFLPELAETYEAPVVFTLIRPWLVQPMGIVLPDLTKLVELSTERVSEEEKENEHFNTKVPKELNRNLTAFQSFVYDILPWGERAEIYSKECMTQILLLVSNRVKTSLDGMVIKVTREISIIHDRLRPEDANVALRLLTLIGVRIAENNSRVQEDFFEMLEGPNSHALILFCSSAKMENEKVPPEPARCIQQLLNIFISRRLSRPLGIDYLVAATLIQKLSQTVHFDIEKDKYQNLLWPVQGLAYGRFDERHLLLSVTPGHAKVISLTQMPSALPAWKEMIYWFLMFIKDSLRNADLRPYPFDRWHNVYKYIAKSFKELAICFTGKMREDEALRLMTQMTLLTMMMFPISQSVYSTALEALALVVFEDPLELIRFNSQVEYMVSNFDHAALSVLVEGALMRIERLMNNDRMDKSAYYILRQVIADDLIDTNQIVSTLHSYIDEKNVIDEKNLMGLTLNIDGFMEISEETSLTM
+>sp|Q6ZUA9|MROH5_HUMAN Maestro heat-like repeat family member 5 OS=Homo sapiens OX=9606 GN=MROH5 PE=2 SV=2
+MDRQCSERPYSCTPTGRVSSAVSQNSRISPPVSTSMKDSSCMKVHQDSARRDRWSHPTTILLHKSQSSQATLMLQEHRMFMGEAYSAATGFKMLQDMNSADPFHLKYIIKKIKNMAHGSPKLVMETIHDYFIDNPEISSRHKFRLFQTLEMVIGASDVLEETWEKTFTRLALENMTKATELEDIYQDAASNMLVAICRHSWRVVAQHLETELLTGVFPHRSLLYVMGVLSSSEELFSQEDKACWEEQLIQMAIKSVPFLSTDVWSKELLWTLTTPSWTQQEQSPEKAFLFTYYGLILQAEKNGATVRRHLQALLETSHQWPKQREGMALTLGLAATRHLDDVWAVLDQFGRSRPIRWSLPSSSPKNSEDLRWKWASSTILLAYGQVAAKARAHILPWVDNIVSRMVFYFHYSSWDETLKQSFLTATLMLMGAVSRSEGAHSYEFFQTSELLQCLMVLMEKEPQDTLCTRSRQQAMHIASSLCKLRPPIDLERKSQLLSTCFRSVFALPLLDALEKHTCLFLEPPNIQLWPVARERAGWTHQGWGPRAVLHCSEHLQSLYSRTMEALDFMLQSLIMQNPTADELHFLLSHLYIWLASEKAHERQRAVHSCMILLKFLNHNGYLDPKEDFKRIGQLVGILGMLCQDPDRATQRCSLEGASHLYQLLMCHKTGEALQAESQAPKELSQAHSDGAPLWNSRDQKATPLGPQEMAKNHIFQLCSFQVIKDIMQQLTLAELSDLIWTAIDGLGSTSPFRVQAASEMLLTAVQEHGAKLEIVSSMAQAIRLRLCSVHIPQAKEKTLHAITLLARSHTCELVATFLNISIPLDSHTFQLWRALGAGQPTSHLVLTTLLACLQERPLPTGASDSSPCPKEKTYLRLLAAMNMLHELQFAREFKQAVQEGYPKLFLALLTQMHYVLELNLPSEPQPKQQAQEAAVPSPQSCSTSLEALKSLLSTTGHWHDFAHLELQGSWELFTTIHTYPKGVGLLARAMVQNHCRQIPAVLRQLLPSLQSPQERERKVAILILTKFLYSPVLLEVLPKQAALTVLAQGLHDPSPEVRVLSLQGLSNILFHPDKGSLLQGQLRPLLDGFFQSSDQVIVCIMGTVSDTLHRLGAQGTGSQSLGVAISTRSFFNDERDGIRAAAMALFGDLVAAMADRELSGLRTQVHQSMVPLLLHLKDQCPAVATQAKFTFYRCAVLLRWRLLHTLFCTLAWERGLSARHFLWTCLMTRSQEEFSIHLSQALSYLHSHSCHIKTWVTLFIGHTICYHPQAVFQMLNAVDTNLLFRTFEHLRSDPEPSIREFATSQLSFLQKVSARPKQ
+>DECOY_sp|Q6ZUA9|MROH5_HUMAN Maestro heat-like repeat family member 5 OS=Homo sapiens OX=9606 GN=MROH5 PE=2 SV=2
+QKPRASVKQLFSLQSTAFERISPEPDSRLHEFTRFLLNTDVANLMQFVAQPHYCITHGIFLTVWTKIHCSHSHLYSLAQSLHISFEEQSRTMLCTWLFHRASLGREWALTCFLTHLLRWRLLVACRYFTFKAQTAVAPCQDKLHLLLPVMSQHVQTRLGSLERDAMAAVLDGFLAMAAARIGDREDNFFSRTSIAVGLSQSGTGQAGLRHLTDSVTGMICVIVQDSSQFFGDLLPRLQGQLLSGKDPHFLINSLGQLSLVRVEPSPDHLGQALVTLAAQKPLVELLVPSYLFKTLILIAVKREREQPSQLSPLLQRLVAPIQRCHNQVMARALLGVGKPYTHITTFLEWSGQLELHAFDHWHGTTSLLSKLAELSTSCSQPSPVAAEQAQQKPQPESPLNLELVYHMQTLLALFLKPYGEQVAQKFERAFQLEHLMNMAALLRLYTKEKPCPSSDSAGTPLPREQLCALLTTLVLHSTPQGAGLARWLQFTHSDLPISINLFTAVLECTHSRALLTIAHLTKEKAQPIHVSCLRLRIAQAMSSVIELKAGHEQVATLLMESAAQVRFPSTSGLGDIATWILDSLEALTLQQMIDKIVQFSCLQFIHNKAMEQPGLPTAKQDRSNWLPAGDSHAQSLEKPAQSEAQLAEGTKHCMLLQYLHSAGELSCRQTARDPDQCLMGLIGVLQGIRKFDEKPDLYGNHNLFKLLIMCSHVARQREHAKESALWIYLHSLLFHLEDATPNQMILSQLMFDLAEMTRSYLSQLHESCHLVARPGWGQHTWGARERAVPWLQINPPELFLCTHKELADLLPLAFVSRFCTSLLQSKRELDIPPRLKCLSSAIHMAQQRSRTCLTDQPEKEMLVMLCQLLESTQFFEYSHAGESRSVAGMLMLTATLFSQKLTEDWSSYHFYFVMRSVINDVWPLIHARAKAAVQGYALLITSSAWKWRLDESNKPSSSPLSWRIPRSRGFQDLVAWVDDLHRTAALGLTLAMGERQKPWQHSTELLAQLHRRVTAGNKEAQLILGYYTFLFAKEPSQEQQTWSPTTLTWLLEKSWVDTSLFPVSKIAMQILQEEWCAKDEQSFLEESSSLVGMVYLLSRHPFVGTLLETELHQAVVRWSHRCIAVLMNSAADQYIDELETAKTMNELALRTFTKEWTEELVDSAGIVMELTQFLRFKHRSSIEPNDIFYDHITEMVLKPSGHAMNKIKKIIYKLHFPDASNMDQLMKFGTAASYAEGMFMRHEQLMLTAQSSQSKHLLITTPHSWRDRRASDQHVKMCSSDKMSTSVPPSIRSNQSVASSVRGTPTCSYPRESCQRDM
+>sp|P49006|MRP_HUMAN MARCKS-related protein OS=Homo sapiens OX=9606 GN=MARCKSL1 PE=1 SV=2
+MGSQSSKAPRGDVTAEEAAGASPAKANGQENGHVKSNGDLSPKGEGESPPVNGTDEAAGATGDAIEPAPPSQGAEAKGEVPPKETPKKKKKFSFKKPFKLSGLSFKRNRKEGGGDSSASSPTEEEQEQGEIGACSDEGTAQEGKAAATPESQEPQAKGAEASAASEEEAGPQATEPSTPSGPESGPTPASAEQNE
+>DECOY_sp|P49006|MRP_HUMAN MARCKS-related protein OS=Homo sapiens OX=9606 GN=MARCKSL1 PE=1 SV=2
+ENQEASAPTPGSEPGSPTSPETAQPGAEEESAASAEAGKAQPEQSEPTAAAKGEQATGEDSCAGIEGQEQEEETPSSASSDGGGEKRNRKFSLGSLKFPKKFSFKKKKKPTEKPPVEGKAEAGQSPPAPEIADGTAGAAEDTGNVPPSEGEGKPSLDGNSKVHGNEQGNAKAPSAGAAEEATVDGRPAKSSQSGM
+>sp|Q9NYP9|MS18A_HUMAN Protein Mis18-alpha OS=Homo sapiens OX=9606 GN=MIS18A PE=1 SV=1
+MAGVRSLRCSRGCAGGCECGDKGKCSDSSLLGKRLSEDSSRHQLLQKWASMWSSMSEDASVADMERAQLEEEAAAAEERPLVFLCSGCRRPLGDSLSWVASQEDTNCILLRCVSCNVSVDKEQKLSKREKENGCVLETLCCAGCSLNLGYVYRCTPKNLDYKRDLFCLSVEAIESYVLGSSEKQIVSEDKELFNLESRVEIEKSLTQMEDVLKALQMKLWEAESKLSFATCKS
+>DECOY_sp|Q9NYP9|MS18A_HUMAN Protein Mis18-alpha OS=Homo sapiens OX=9606 GN=MIS18A PE=1 SV=1
+SKCTAFSLKSEAEWLKMQLAKLVDEMQTLSKEIEVRSELNFLEKDESVIQKESSGLVYSEIAEVSLCFLDRKYDLNKPTCRYVYGLNLSCGACCLTELVCGNEKERKSLKQEKDVSVNCSVCRLLICNTDEQSAVWSLSDGLPRRCGSCLFVLPREEAAAAEEELQAREMDAVSADESMSSWMSAWKQLLQHRSSDESLRKGLLSSDSCKGKDGCECGGACGRSCRLSRVGAM
+>sp|Q01726|MSHR_HUMAN Melanocyte-stimulating hormone receptor OS=Homo sapiens OX=9606 GN=MC1R PE=1 SV=2
+MAVQGSQRRLLGSLNSTPTAIPQLGLAANQTGARCLEVSISDGLFLSLGLVSLVENALVVATIAKNRNLHSPMYCFICCLALSDLLVSGSNVLETAVILLLEAGALVARAAVLQQLDNVIDVITCSSMLSSLCFLGAIAVDRYISIFYALRYHSIVTLPRARRAVAAIWVASVVFSTLFIAYYDHVAVLLCLVVFFLAMLVLMAVLYVHMLARACQHAQGIARLHKRQRPVHQGFGLKGAVTLTILLGIFFLCWGPFFLHLTLIVLCPEHPTCGCIFKNFNLFLALIICNAIIDPLIYAFHSQELRRTLKEVLTCSW
+>DECOY_sp|Q01726|MSHR_HUMAN Melanocyte-stimulating hormone receptor OS=Homo sapiens OX=9606 GN=MC1R PE=1 SV=2
+WSCTLVEKLTRRLEQSHFAYILPDIIANCIILALFLNFNKFICGCTPHEPCLVILTLHLFFPGWCLFFIGLLITLTVAGKLGFGQHVPRQRKHLRAIGQAHQCARALMHVYLVAMLVLMALFFVVLCLLVAVHDYYAIFLTSFVVSAVWIAAVARRARPLTVISHYRLAYFISIYRDVAIAGLFCLSSLMSSCTIVDIVNDLQQLVAARAVLAGAELLLIVATELVNSGSVLLDSLALCCIFCYMPSHLNRNKAITAVVLANEVLSVLGLSLFLGDSISVELCRAGTQNAALGLQPIATPTSNLSGLLRRQSGQVAM
+>sp|Q9HCI7|MSL2_HUMAN E3 ubiquitin-protein ligase MSL2 OS=Homo sapiens OX=9606 GN=MSL2 PE=1 SV=2
+MNPVNATALYISASRLVLNYDPGDPKAFTEINRLLPYFRQSLSCCVCGHLLQDPIAPTNSTCQHYVCKTCKGKKMMMKPSCSWCKDYEQFEENKQLSILVNCYKKLCEYITQTTLARDIIEAVDCSSDILALLNDGSLFCEETEKPSDSSFTLCLTHSPLPSTSEPTTDPQASLSPMSESTLSIAIGSSVINGLPTYNGLSIDRFGINIPSPEHSNTIDVCNTVDIKTEDLSDSLPPVCDTVATDLCSTGIDICSFSEDIKPGDSLLLSVEEVLRSLETVSNTEVCCPNLQPNLEATVSNGPFLQLSSQSLSHNVFMSTSPALHGLSCTAATPKIAKLNRKRSRSESDSEKVQPLPISTIIRGPTLGASAPVTVKRESKISLQPIATVPNGGTTPKISKTVLLSTKSMKKSHEHGSKKSHSKTKPGILKKDKAVKEKIPSHHFMPGSPTKTVYKKPQEKKGCKCGRATQNPSVLTCRGQRCPCYSNRKACLDCICRGCQNSYMANGEKKLEAFAVPEKALEQTRLTLGINVTSIAVRNASTSTSVINVTGSPVTTFLAASTHDDKSLDEAIDMRFDC
+>DECOY_sp|Q9HCI7|MSL2_HUMAN E3 ubiquitin-protein ligase MSL2 OS=Homo sapiens OX=9606 GN=MSL2 PE=1 SV=2
+CDFRMDIAEDLSKDDHTSAALFTTVPSGTVNIVSTSTSANRVAISTVNIGLTLRTQELAKEPVAFAELKKEGNAMYSNQCGRCICDLCAKRNSYCPCRQGRCTLVSPNQTARGCKCGKKEQPKKYVTKTPSGPMFHHSPIKEKVAKDKKLIGPKTKSHSKKSGHEHSKKMSKTSLLVTKSIKPTTGGNPVTAIPQLSIKSERKVTVPASAGLTPGRIITSIPLPQVKESDSESRSRKRNLKAIKPTAATCSLGHLAPSTSMFVNHSLSQSSLQLFPGNSVTAELNPQLNPCCVETNSVTELSRLVEEVSLLLSDGPKIDESFSCIDIGTSCLDTAVTDCVPPLSDSLDETKIDVTNCVDITNSHEPSPINIGFRDISLGNYTPLGNIVSSGIAISLTSESMPSLSAQPDTTPESTSPLPSHTLCLTFSSDSPKETEECFLSGDNLLALIDSSCDVAEIIDRALTTQTIYECLKKYCNVLISLQKNEEFQEYDKCWSCSPKMMMKKGKCTKCVYHQCTSNTPAIPDQLLHGCVCCSLSQRFYPLLRNIETFAKPDGPDYNLVLRSASIYLATANVPNM
+>sp|Q15800|MSMO1_HUMAN Methylsterol monooxygenase 1 OS=Homo sapiens OX=9606 GN=MSMO1 PE=1 SV=1
+MATNESVSIFSSASLAVEYVDSLLPENPLQEPFKNAWNYMLNNYTKFQIATWGSLIVHEALYFLFCLPGFLFQFIPYMKKYKIQKDKPETWENQWKCFKVLLFNHFCIQLPLICGTYYFTEYFNIPYDWERMPRWYFLLARCFGCAVIEDTWHYFLHRLLHHKRIYKYIHKVHHEFQAPFGMEAEYAHPLETLILGTGFFIGIVLLCDHVILLWAWVTIRLLETIDVHSGYDIPLNPLNLIPFYAGSRHHDFHHMNFIGNYASTFTWWDRIFGTDSQYNAYNEKRKKFEKKTE
+>DECOY_sp|Q15800|MSMO1_HUMAN Methylsterol monooxygenase 1 OS=Homo sapiens OX=9606 GN=MSMO1 PE=1 SV=1
+ETKKEFKKRKENYANYQSDTGFIRDWWTFTSAYNGIFNMHHFDHHRSGAYFPILNLPNLPIDYGSHVDITELLRITVWAWLLIVHDCLLVIGIFFGTGLILTELPHAYEAEMGFPAQFEHHVKHIYKYIRKHHLLRHLFYHWTDEIVACGFCRALLFYWRPMREWDYPINFYETFYYTGCILPLQICFHNFLLVKFCKWQNEWTEPKDKQIKYKKMYPIFQFLFGPLCFLFYLAEHVILSGWTAIQFKTYNNLMYNWANKFPEQLPNEPLLSDVYEVALSASSFISVSENTAM
+>sp|Q1L6U9|MSMP_HUMAN Prostate-associated microseminoprotein OS=Homo sapiens OX=9606 GN=MSMP PE=1 SV=1
+MALRMLWAGQAKGILGGWGIICLVMSLLLQHPGVYSKCYFQAQAPCHYEGKYFTLGESWLRKDCFHCTCLHPVGVGCCDTSQHPIDFPAGCEVRQEAGTCQFSLVQKSDPRLPCKGGGPDPEWGSANTPVPGAPAPHSS
+>DECOY_sp|Q1L6U9|MSMP_HUMAN Prostate-associated microseminoprotein OS=Homo sapiens OX=9606 GN=MSMP PE=1 SV=1
+SSHPAPAGPVPTNASGWEPDPGGGKCPLRPDSKQVLSFQCTGAEQRVECGAPFDIPHQSTDCCGVGVPHLCTCHFCDKRLWSEGLTFYKGEYHCPAQAQFYCKSYVGPHQLLLSMVLCIIGWGGLIGKAQGAWLMRLAM
+>sp|Q9BUK6|MSTO1_HUMAN Protein misato homolog 1 OS=Homo sapiens OX=9606 GN=MSTO1 PE=1 SV=1
+MAGGAREVLTLQLGHFAGFVGAHWWNQQDAALGRATDSKEPPGELCPDVLYRTGRTLHGQETYTPRLILMDLKGSLSSLKEEGGLYRDKQLDAAIAWQGKLTTHKEELYPKNPYLQDFLSAEGVLSSDGVWRVKSIPNGKGSSPLPTATTPKPLIPTEASIRVWSDFLRVHLHPRSICMIQKYNHDGEAGRLEAFGQGESVLKEPKYQEELEDRLHFYVEECDYLQGFQILCDLHDGFSGVGAKAAELLQDEYSGRGIITWGLLPGPYHRGEAQRNIYRLLNTAFGLVHLTAHSSLVCPLSLGGSLGLRPEPPVSFPYLHYDATLPFHCSAILATALDTVTVPYRLCSSPVSMVHLADMLSFCGKKVVTAGAIIPFPLAPGQSLPDSLMQFGGATPWTPLSACGEPSGTRCFAQSVVLRGIDRACHTSQLTPGTPPPSALHACTTGEEILAQYLQQQQPGVMSSSHLLLTPCRVAPPYPHLFSSCSPPGMVLDGSPKGAAVESIPVFGALCSSSSLHQTLEALARDLTKLDLRRWASFMDAGVEHDDVAELLQELQSLAQCYQGGDSLVD
+>DECOY_sp|Q9BUK6|MSTO1_HUMAN Protein misato homolog 1 OS=Homo sapiens OX=9606 GN=MSTO1 PE=1 SV=1
+DVLSDGGQYCQALSQLEQLLEAVDDHEVGADMFSAWRRLDLKTLDRALAELTQHLSSSSCLAGFVPISEVAAGKPSGDLVMGPPSCSSFLHPYPPAVRCPTLLLHSSSMVGPQQQQLYQALIEEGTTCAHLASPPPTGPTLQSTHCARDIGRLVVSQAFCRTGSPEGCASLPTWPTAGGFQMLSDPLSQGPALPFPIIAGATVVKKGCFSLMDALHVMSVPSSCLRYPVTVTDLATALIASCHFPLTADYHLYPFSVPPEPRLGLSGGLSLPCVLSSHATLHVLGFATNLLRYINRQAEGRHYPGPLLGWTIIGRGSYEDQLLEAAKAGVGSFGDHLDCLIQFGQLYDCEEVYFHLRDELEEQYKPEKLVSEGQGFAELRGAEGDHNYKQIMCISRPHLHVRLFDSWVRISAETPILPKPTTATPLPSSGKGNPISKVRWVGDSSLVGEASLFDQLYPNKPYLEEKHTTLKGQWAIAADLQKDRYLGGEEKLSSLSGKLDMLILRPTYTEQGHLTRGTRYLVDPCLEGPPEKSDTARGLAADQQNWWHAGVFGAFHGLQLTLVERAGGAM
+>sp|P04731|MT1A_HUMAN Metallothionein-1A OS=Homo sapiens OX=9606 GN=MT1A PE=1 SV=2
+MDPNCSCATGGSCTCTGSCKCKECKCTSCKKSCCSCCPMSCAKCAQGCICKGASEKCSCCA
+>DECOY_sp|P04731|MT1A_HUMAN Metallothionein-1A OS=Homo sapiens OX=9606 GN=MT1A PE=1 SV=2
+ACCSCKESAGKCICGQACKACSMPCCSCCSKKCSTCKCEKCKCSGTCTCSGGTACSCNPDM
+>sp|A1L3X4|MT1DP_HUMAN Putative metallothionein MT1DP OS=Homo sapiens OX=9606 GN=MT1DP PE=5 SV=1
+MDLSCSCATGGSCTCASSCKCKEYKCTSCKKNCCSCCPMGCAKCAQGCT
+>DECOY_sp|A1L3X4|MT1DP_HUMAN Putative metallothionein MT1DP OS=Homo sapiens OX=9606 GN=MT1DP PE=5 SV=1
+TCGQACKACGMPCCSCCNKKCSTCKYEKCKCSSACTCSGGTACSCSLDM
+>sp|P04733|MT1F_HUMAN Metallothionein-1F OS=Homo sapiens OX=9606 GN=MT1F PE=1 SV=1
+MDPNCSCAAGVSCTCAGSCKCKECKCTSCKKSCCSCCPVGCSKCAQGCVCKGASEKCSCCD
+>DECOY_sp|P04733|MT1F_HUMAN Metallothionein-1F OS=Homo sapiens OX=9606 GN=MT1F PE=1 SV=1
+DCCSCKESAGKCVCGQACKSCGVPCCSCCSKKCSTCKCEKCKCSGACTCSVGAACSCNPDM
+>sp|Q8N339|MT1M_HUMAN Metallothionein-1M OS=Homo sapiens OX=9606 GN=MT1M PE=3 SV=2
+MDPNCSCTTGVSCACTGSCTCKECKCTSCKKSCCSCCPVGCAKCAHGCVCKGTLENCSCCA
+>DECOY_sp|Q8N339|MT1M_HUMAN Metallothionein-1M OS=Homo sapiens OX=9606 GN=MT1M PE=3 SV=2
+ACCSCNELTGKCVCGHACKACGVPCCSCCSKKCSTCKCEKCTCSGTCACSVGTTCSCNPDM
+>sp|P80297|MT1X_HUMAN Metallothionein-1X OS=Homo sapiens OX=9606 GN=MT1X PE=1 SV=1
+MDPNCSCSPVGSCACAGSCKCKECKCTSCKKSCCSCCPVGCAKCAQGCICKGTSDKCSCCA
+>DECOY_sp|P80297|MT1X_HUMAN Metallothionein-1X OS=Homo sapiens OX=9606 GN=MT1X PE=1 SV=1
+ACCSCKDSTGKCICGQACKACGVPCCSCCSKKCSTCKCEKCKCSGACACSGVPSCSCNPDM
+>sp|P25713|MT3_HUMAN Metallothionein-3 OS=Homo sapiens OX=9606 GN=MT3 PE=1 SV=1
+MDPETCPCPSGGSCTCADSCKCEGCKCTSCKKSCCSCCPAECEKCAKDCVCKGGEAAEAEAEKCSCCQ
+>DECOY_sp|P25713|MT3_HUMAN Metallothionein-3 OS=Homo sapiens OX=9606 GN=MT3 PE=1 SV=1
+QCCSCKEAEAEAAEGGKCVCDKACKECEAPCCSCCSKKCSTCKCGECKCSDACTCSGGSPCPCTEPDM
+>sp|Q9Y6C9|MTCH2_HUMAN Mitochondrial carrier homolog 2 OS=Homo sapiens OX=9606 GN=MTCH2 PE=1 SV=1
+MADAASQVLLGSGLTILSQPLMYVKVLIQVGYEPLPPTIGRNIFGRQVCQLPGLFSYAQHIASIDGRRGLFTGLTPRLCSGVLGTVVHGKVLQHYQESDKGEELGPGNVQKEVSSSFDHVIKETTREMIARSAATLITHPFHVITLRSMVQFIGRESKYCGLCDSIITIYREEGILGFFAGLVPRLLGDILSLWLCNSLAYLVNTYALDSGVSTMNEMKSYSQAVTGFFASMLTYPFVLVSNLMAVNNCGLAGGCPPYSPIYTSWIDCWCMLQKEGNMSRGNSLFFRKVPFGKTYCCDLKMLI
+>DECOY_sp|Q9Y6C9|MTCH2_HUMAN Mitochondrial carrier homolog 2 OS=Homo sapiens OX=9606 GN=MTCH2 PE=1 SV=1
+ILMKLDCCYTKGFPVKRFFLSNGRSMNGEKQLMCWCDIWSTYIPSYPPCGGALGCNNVAMLNSVLVFPYTLMSAFFGTVAQSYSKMENMTSVGSDLAYTNVLYALSNCLWLSLIDGLLRPVLGAFFGLIGEERYITIISDCLGCYKSERGIFQVMSRLTIVHFPHTILTAASRAIMERTTEKIVHDFSSSVEKQVNGPGLEEGKDSEQYHQLVKGHVVTGLVGSCLRPTLGTFLGRRGDISAIHQAYSFLGPLQCVQRGFINRGITPPLPEYGVQILVKVYMLPQSLITLGSGLLVQSAADAM
+>sp|Q9Y4B5|MTCL1_HUMAN Microtubule cross-linking factor 1 OS=Homo sapiens OX=9606 GN=MTCL1 PE=1 SV=5
+METLNGPAGGGAPDAKLQPPGQHHRHHHLHPVAERRRLHRAPSPARPFLKDLHARPAAPGPAVPSSGRAPAPAAPRSPNLAGKAPPSPGSLAAPGRLSRRSGGVPGAKDKPPPGAGARAAGGAKAALGSRRAARVAPAEPLSRAGKPPGAEPPSAAAKGRKAKRGSRAPPARTVGPPTPAARIPAVTLAVTSVAGSPARCSRISHTDSSSDLSDCPSEPLSDEQRLLPAASSDAESGTGSSDREPPRGAPTPSPAARGAPPGSPEPPALLAAPLAAGACPGGRSIPSGVSGGFAGPGVAEDVRGRSPPERPVPGTPKEPSLGEQSRLVPAAEEEELLREMEELRSENDYLKDELDELRAEMEEMRDSYLEEDVYQLQELRRELDRANKNCRILQYRLRKAEQKSLKVAETGQVDGELIRSLEQDLKVAKDVSVRLHHELKTVEEKRAKAEDENETLRQQMIEVEISKQALQNELERLKESSLKRRSTREMYKEKKTFNQDDSADLRCQLQFAKEEAFLMRKKMAKLGREKDELEQELQKYKSLYGDVDSPLPTGEAGGPPSTREAELKLRLKLVEEEANILGRKIVELEVENRGLKAEMEDMRGQQEREGPGRDHAPSIPTSPFGDSLESSTELRRHLQFVEEEAELLRRSISEIEDHNRQLTHELSKFKFEPPREPGWLGEGASPGAGGGAPLQEELKSARLQISELSGKVLKLQHENHALLSNIQRCDLAAHLGLRAPSPRDSDAESDAGKKESDGEESRLPQPKREGPVGGESDSEEMFEKTSGFGSGKPSEASEPCPTELLKAREDSEYLVTLKHEAQRLERTVERLITDTDSFLHDAGLRGGAPLPGPGLQGEEEQGEGDQQEPQLLGTINAKMKAFKKELQAFLEQVNRIGDGLSPLPHLTESSSFLSTVTSVSRDSPIGNLGKELGPDLQSRLKEQLEWQLGPARGDERESLRLRAARELHRRADGDTGSHGLGGQTCFSLEMEEEHLYALRWKELEMHSLALQNTLHERTWSDEKNLMQQELRSLKQNIFLFYVKLRWLLKHWRQGKQMEEEGEEFTEGEHPETLSRLGELGVQGGHQADGPDHDSDRGCGFPVGEHSPHSRVQIGDHSLRLQTADRGQPHKQVVENQQLFSAFKALLEDFRAELREDERARLRLQQQYASDKAAWDVEWAVLKCRLEQLEEKTENKLGELGSSAESKGALKKEREVHQKLLADSHSLVMDLRWQIHHSEKNWNREKVELLDRLDRDRQEWERQKKEFLWRIEQLQKENSPRRGGSFLCDQKDGNVRPFPHQGSLRMPRPVAMWPCADADSIPFEDRPLSKLKESDRCSASENLYLDALSLDDEPEEPPAHRPEREFRNRLPEEEENHKGNLQRAVSVSSMSEFQRLMDISPFLPEKGLPSTSSKEDVTPPLSPDDLKYIEEFNKSWDYTPNRGHNGGGPDLWADRTEVGRAGHEDSTEPFPDSSWYLTTSVTMTTDTMTSPEHCQKQPLRSHVLTEQSGLRVLHSPPAVRRVDSITAAGGEGPFPTSRARGSPGDTKGGPPEPMLSRWPCTSPRHSRDYVEGARRPLDSPLCTSLGFASPLHSLEMSKNLSDDMKEVAFSVRNAICSGPGELQVKDMACQTNGSRTMGTQTVQTISVGLQTEALRGSGVTSSPHKCLTPKAGGGATPVSSPSRSLRSRQVAPAIEKVQAKFERTCCSPKYGSPKLQRKPLPKADQPNNRTSPGMAQKGYSESAWARSTTTRESPVHTTINDGLSSLFNIIDHSPVVQDPFQKGLRAGSRSRSAEPRPELGPGQETGTNSRGRSPSPIGVGSEMCREEGGEGTPVKQDLSAPPGYTLTENVARILNKKLLEHALKEERRQAAHGPPGLHSDSHSLGDTAEPGPMENQTVLLTAPWGL
+>DECOY_sp|Q9Y4B5|MTCL1_HUMAN Microtubule cross-linking factor 1 OS=Homo sapiens OX=9606 GN=MTCL1 PE=1 SV=5
+LGWPATLLVTQNEMPGPEATDGLSHSDSHLGPPGHAAQRREEKLAHELLKKNLIRAVNETLTYGPPASLDQKVPTGEGGEERCMESGVGIPSPSRGRSNTGTEQGPGLEPRPEASRSRSGARLGKQFPDQVVPSHDIINFLSSLGDNITTHVPSERTTTSRAWASESYGKQAMGPSTRNNPQDAKPLPKRQLKPSGYKPSCCTREFKAQVKEIAPAVQRSRLSRSPSSVPTAGGGAKPTLCKHPSSTVGSGRLAETQLGVSITQVTQTGMTRSGNTQCAMDKVQLEGPGSCIANRVSFAVEKMDDSLNKSMELSHLPSAFGLSTCLPSDLPRRAGEVYDRSHRPSTCPWRSLMPEPPGGKTDGPSGRARSTPFPGEGGAATISDVRRVAPPSHLVRLGSQETLVHSRLPQKQCHEPSTMTDTTMTVSTTLYWSSDPFPETSDEHGARGVETRDAWLDPGGGNHGRNPTYDWSKNFEEIYKLDDPSLPPTVDEKSSTSPLGKEPLFPSIDMLRQFESMSSVSVARQLNGKHNEEEEPLRNRFEREPRHAPPEEPEDDLSLADLYLNESASCRDSEKLKSLPRDEFPISDADACPWMAVPRPMRLSGQHPFPRVNGDKQDCLFSGGRRPSNEKQLQEIRWLFEKKQREWEQRDRDLRDLLEVKERNWNKESHHIQWRLDMVLSHSDALLKQHVEREKKLAGKSEASSGLEGLKNETKEELQELRCKLVAWEVDWAAKDSAYQQQLRLRAREDERLEARFDELLAKFASFLQQNEVVQKHPQGRDATQLRLSHDGIQVRSHPSHEGVPFGCGRDSDHDPGDAQHGGQVGLEGLRSLTEPHEGETFEEGEEEMQKGQRWHKLLWRLKVYFLFINQKLSRLEQQMLNKEDSWTREHLTNQLALSHMELEKWRLAYLHEEEMELSFCTQGGLGHSGTDGDARRHLERAARLRLSEREDGRAPGLQWELQEKLRSQLDPGLEKGLNGIPSDRSVSTVTSLFSSSETLHPLPSLGDGIRNVQELFAQLEKKFAKMKANITGLLQPEQQDGEGQEEEGQLGPGPLPAGGRLGADHLFSDTDTILREVTRELRQAEHKLTVLYESDERAKLLETPCPESAESPKGSGFGSTKEFMEESDSEGGVPGERKPQPLRSEEGDSEKKGADSEADSDRPSPARLGLHAALDCRQINSLLAHNEHQLKLVKGSLESIQLRASKLEEQLPAGGGAGPSAGEGLWGPERPPEFKFKSLEHTLQRNHDEIESISRRLLEAEEEVFQLHRRLETSSELSDGFPSTPISPAHDRGPGEREQQGRMDEMEAKLGRNEVELEVIKRGLINAEEEVLKLRLKLEAERTSPPGGAEGTPLPSDVDGYLSKYKQLEQELEDKERGLKAMKKRMLFAEEKAFQLQCRLDASDDQNFTKKEKYMERTSRRKLSSEKLRELENQLAQKSIEVEIMQQRLTENEDEAKARKEEVTKLEHHLRVSVDKAVKLDQELSRILEGDVQGTEAVKLSKQEAKRLRYQLIRCNKNARDLERRLEQLQYVDEELYSDRMEEMEARLEDLEDKLYDNESRLEEMERLLEEEEAAPVLRSQEGLSPEKPTGPVPREPPSRGRVDEAVGPGAFGGSVGSPISRGGPCAGAALPAALLAPPEPSGPPAGRAAPSPTPAGRPPERDSSGTGSEADSSAAPLLRQEDSLPESPCDSLDSSSDTHSIRSCRAPSGAVSTVALTVAPIRAAPTPPGVTRAPPARSGRKAKRGKAAASPPEAGPPKGARSLPEAPAVRAARRSGLAAKAGGAARAGAGPPPKDKAGPVGGSRRSLRGPAALSGPSPPAKGALNPSRPAAPAPARGSSPVAPGPAAPRAHLDKLFPRAPSPARHLRRREAVPHLHHHRHHQGPPQLKADPAGGGAPGNLTEM
+>sp|Q6P444|MTFR2_HUMAN Mitochondrial fission regulator 2 OS=Homo sapiens OX=9606 GN=MTFR2 PE=1 SV=2
+MSLILNILREMLEYFGVPVEQVLLIWENKDYGSTRSIVRIIGKMLPLEPCRRPNFELIPLLNSVDSDNCGSMVPSFADILYVANDEEASYLRFRNSIWKNEEEKVEIFHPLRLVRDPLSPAVRQKETVKNDLPVNEAAIRKIAALENELTFLRSQIAAIVEMQELKNSTNSSSFGLSDERISLGQLSSSRAAHLSVDPDQLPGSVLSPPPPPPLPPQFSSLQPPCFPPVQPGSNNICDSDNPATEMSKQNPAANKTNYSHHSKSQRNKDIPNMLDVLKDMNKVKLRAIERSPGGRPIHKRKRQNSHWDPVSLISHALKQKFAFQEDDSFEKENRSWESSPFSSPETSRFGHHISQSEGQRTKEEMVNTKAVDQGISNTSLLNSRI
+>DECOY_sp|Q6P444|MTFR2_HUMAN Mitochondrial fission regulator 2 OS=Homo sapiens OX=9606 GN=MTFR2 PE=1 SV=2
+IRSNLLSTNSIGQDVAKTNVMEEKTRQGESQSIHHGFRSTEPSSFPSSEWSRNEKEFSDDEQFAFKQKLAHSILSVPDWHSNQRKRKHIPRGGPSREIARLKVKNMDKLVDLMNPIDKNRQSKSHHSYNTKNAAPNQKSMETAPNDSDCINNSGPQVPPFCPPQLSSFQPPLPPPPPPSLVSGPLQDPDVSLHAARSSSLQGLSIREDSLGFSSSNTSNKLEQMEVIAAIQSRLFTLENELAAIKRIAAENVPLDNKVTEKQRVAPSLPDRVLRLPHFIEVKEEENKWISNRFRLYSAEEDNAVYLIDAFSPVMSGCNDSDVSNLLPILEFNPRRCPELPLMKGIIRVISRTSGYDKNEWILLVQEVPVGFYELMERLINLILSM
+>sp|Q9Y2Z2|MTO1_HUMAN Protein MTO1 homolog, mitochondrial OS=Homo sapiens OX=9606 GN=MTO1 PE=1 SV=2
+MFYFRGCGRWVAVSFTKQQFPLARLSSDSAAPRTPHFDVIVIGGGHAGTEAATAAARCGSRTLLLTHRVDTIGQMSCNPSFGGIGKGHLMREVDALDGLCSRICDQSGVHYKVLNRRKGPAVWGLRAQIDRKLYKQNMQKEILNTPLLTVQEGAVEDLILTEPEPEHTGKCRVSGVVLVDGSTVYAESVILTTGTFLRGMIVIGLETHPAGRLGDQPSIGLAQTLEKLGFVVGRLKTGTPPRIAKESINFSILNKHIPDNPSIPFSFTNETVWIKPEDQLPCYLTHTNPRVDEIVLKNLHLNSHVKETTRGPRYCPSIESKVLRFPNRLHQVWLEPEGMDSDLIYPQGLSMTLPAELQEKMITCIRGLEKAKVIQPDGVLLLLPRMECNGAISAHHNLPLPGYGVQYDYLDPRQITPSLETHLVQRLFFAGQINGTTGYEEAAAQGVIAGINASLRVSRKPPFVVSRTEGYIGVLIDDLTTLGTSEPYRMFTSRVEFRLSLRPDNADSRLTLRGYKDAGCVSQQRYERACWMKSSLEEGISVLKSIEFLSSKWKKLIPEASISTSRSLPVRALDVLKYEEVDMDSLAKAVPEPLKKYTKCRELAERLKIEATYESVLFHQLQEIKGVQQDEALQLPKDLDYLTIRDVSLSHEVREKLHFSRPQTIGAASRIPGVTPAAIINLLRFVKTTQRRQSAMNESSKTDQYLCDADRLQEREL
+>DECOY_sp|Q9Y2Z2|MTO1_HUMAN Protein MTO1 homolog, mitochondrial OS=Homo sapiens OX=9606 GN=MTO1 PE=1 SV=2
+LEREQLRDADCLYQDTKSSENMASQRRQTTKVFRLLNIIAAPTVGPIRSAAGITQPRSFHLKERVEHSLSVDRITLYDLDKPLQLAEDQQVGKIEQLQHFLVSEYTAEIKLREALERCKTYKKLPEPVAKALSDMDVEEYKLVDLARVPLSRSTSISAEPILKKWKSSLFEISKLVSIGEELSSKMWCAREYRQQSVCGADKYGRLTLRSDANDPRLSLRFEVRSTFMRYPESTGLTTLDDILVGIYGETRSVVFPPKRSVRLSANIGAIVGQAAAEEYGTTGNIQGAFFLRQVLHTELSPTIQRPDLYDYQVGYGPLPLNHHASIAGNCEMRPLLLLVGDPQIVKAKELGRICTIMKEQLEAPLTMSLGQPYILDSDMGEPELWVQHLRNPFRLVKSEISPCYRPGRTTEKVHSNLHLNKLVIEDVRPNTHTLYCPLQDEPKIWVTENTFSFPISPNDPIHKNLISFNISEKAIRPPTGTKLRGVVFGLKELTQALGISPQDGLRGAPHTELGIVIMGRLFTGTTLIVSEAYVTSGDVLVVGSVRCKGTHEPEPETLILDEVAGEQVTLLPTNLIEKQMNQKYLKRDIQARLGWVAPGKRRNLVKYHVGSQDCIRSCLGDLADVERMLHGKGIGGFSPNCSMQGITDVRHTLLLTRSGCRAAATAAETGAHGGGIVIVDFHPTRPAASDSSLRALPFQQKTFSVAVWRGCGRFYFM
+>sp|P58546|MTPN_HUMAN Myotrophin OS=Homo sapiens OX=9606 GN=MTPN PE=1 SV=2
+MCDKEFMWALKNGDLDEVKDYVAKGEDVNRTLEGGRKPLHYAADCGQLEILEFLLLKGADINAPDKHHITPLLSAVYEGHVSCVKLLLSKGADKTVKGPDGLTAFEATDNQAIKALLQ
+>DECOY_sp|P58546|MTPN_HUMAN Myotrophin OS=Homo sapiens OX=9606 GN=MTPN PE=1 SV=2
+QLLAKIAQNDTAEFATLGDPGKVTKDAGKSLLLKVCSVHGEYVASLLPTIHHKDPANIDAGKLLLFELIELQGCDAAYHLPKRGGELTRNVDEGKAVYDKVEDLDGNKLAWMFEKDCM
+>sp|P48039|MTR1A_HUMAN Melatonin receptor type 1A OS=Homo sapiens OX=9606 GN=MTNR1A PE=1 SV=1
+MQGNGSALPNASQPVLRGDGARPSWLASALACVLIFTIVVDILGNLLVILSVYRNKKLRNAGNIFVVSLAVADLVVAIYPYPLVLMSIFNNGWNLGYLHCQVSGFLMGLSVIGSIFNITGIAINRYCYICHSLKYDKLYSSKNSLCYVLLIWLLTLAAVLPNLRAGTLQYDPRIYSCTFAQSVSSAYTIAVVVFHFLVPMIIVIFCYLRIWILVLQVRQRVKPDRKPKLKPQDFRNFVTMFVVFVLFAICWAPLNFIGLAVASDPASMVPRIPEWLFVASYYMAYFNSCLNAIIYGLLNQNFRKEYRRIIVSLCTARVFFVDSSNDVADRVKWKPSPLMTNNNVVKVDSV
+>DECOY_sp|P48039|MTR1A_HUMAN Melatonin receptor type 1A OS=Homo sapiens OX=9606 GN=MTNR1A PE=1 SV=1
+VSDVKVVNNNTMLPSPKWKVRDAVDNSSDVFFVRATCLSVIIRRYEKRFNQNLLGYIIANLCSNFYAMYYSAVFLWEPIRPVMSAPDSAVALGIFNLPAWCIAFLVFVVFMTVFNRFDQPKLKPKRDPKVRQRVQLVLIWIRLYCFIVIIMPVLFHFVVVAITYASSVSQAFTCSYIRPDYQLTGARLNPLVAALTLLWILLVYCLSNKSSYLKDYKLSHCIYCYRNIAIGTINFISGIVSLGMLFGSVQCHLYGLNWGNNFISMLVLPYPYIAVVLDAVALSVVFINGANRLKKNRYVSLIVLLNGLIDVVITFILVCALASALWSPRAGDGRLVPQSANPLASGNGQM
+>sp|Q8N387|MUC15_HUMAN Mucin-15 OS=Homo sapiens OX=9606 GN=MUC15 PE=2 SV=2
+MLALAKILLISTLFYSLLSGSHGKENQDINTTQNIAEVFKTMENKPISLESEANLNSDKENITTSNLKASHSPPLNLPNNSHGITDFSSNSSAEHSLGSLKPTSTISTSPPLIHSFVSKVPWNAPIADEDLLPISAHPNATPALSSENFTWSLVNDTVKTPDNSSITVSILSSEPTSPSVTPLIVEPSGWLTTNSDSFTGFTPYQEKTTLQPTLKFTNNSKLFPNTSDPQKENRNTGIVFGAILGAILGVSLLTLVGYLLCGKRKTDSFSHRRLYDDRNEPVLRLDNAPEPYDVSFGNSSYYNPTLNDSAMPESEENARDGIPMDDIPPLRTSV
+>DECOY_sp|Q8N387|MUC15_HUMAN Mucin-15 OS=Homo sapiens OX=9606 GN=MUC15 PE=2 SV=2
+VSTRLPPIDDMPIGDRANEESEPMASDNLTPNYYSSNGFSVDYPEPANDLRLVPENRDDYLRRHSFSDTKRKGCLLYGVLTLLSVGLIAGLIAGFVIGTNRNEKQPDSTNPFLKSNNTFKLTPQLTTKEQYPTFGTFSDSNTTLWGSPEVILPTVSPSTPESSLISVTISSNDPTKVTDNVLSWTFNESSLAPTANPHASIPLLDEDAIPANWPVKSVFSHILPPSTSITSTPKLSGLSHEASSNSSFDTIGHSNNPLNLPPSHSAKLNSTTINEKDSNLNAESELSIPKNEMTKFVEAINQTTNIDQNEKGHSGSLLSYFLTSILLIKALALM
+>sp|Q685J3|MUC17_HUMAN Mucin-17 OS=Homo sapiens OX=9606 GN=MUC17 PE=1 SV=2
+MPRPGTMALCLLTLVLSLLPPQAAAEQDLSVNRAVWDGGGCISQGDVLNRQCQQLSQHVRTGSAANTATGTTSTNVVEPRMYLSCSTNPEMTSIESSVTSDTPGVSSTRMTPTESRTTSESTSDSTTLFPSSTEDTSSPTTPEGTDVPMSTPSEESISSTMAFVSTAPLPSFEAYTSLTYKVDMSTPLTTSTQASSSPTTPESTTIPKSTNSEGSTPLTSMPASTMKVASSEAITLLTTPVEISTPVTISAQASSSPTTAEGPSLSNSAPSGGSTPLTRMPLSVMLVVSSEASTLSTTPAATNIPVITSTEASSSPTTAEGTSIPTSTYTEGSTPLTSTPASTMPVATSEMSTLSITPVDTSTLVTTSTEPSSLPTTAEATSMLTSTLSEGSTPLTNMPVSTILVASSEASTTSTIPVDSKTFVTTASEASSSPTTAEDTSIATSTPSEGSTPLTSMPVSTTPVASSEASNLSTTPVDSKTQVTTSTEASSSPPTAEVNSMPTSTPSEGSTPLTSMSVSTMPVASSEASTLSTTPVDTSTPVTTSSEASSSSTTPEGTSIPTSTPSEGSTPLTNMPVSTRLVVSSEASTTSTTPADSNTFVTTSSEASSSSTTAEGTSMPTSTYSERGTTITSMSVSTTLVASSEASTLSTTPVDSNTPVTTSTEATSSSTTAEGTSMPTSTYTEGSTPLTSMPVNTTLVASSEASTLSTTPVDTSTPVTTSTEASSSPTTADGASMPTSTPSEGSTPLTSMPVSKTLLTSSEASTLSTTPLDTSTHITTSTEASCSPTTTEGTSMPISTPSEGSPLLTSIPVSITPVTSPEASTLSTTPVDSNSPVTTSTEVSSSPTPAEGTSMPTSTYSEGRTPLTSMPVSTTLVATSAISTLSTTPVDTSTPVTNSTEARSSPTTSEGTSMPTSTPGEGSTPLTSMPDSTTPVVSSEARTLSATPVDTSTPVTTSTEATSSPTTAEGTSIPTSTPSEGTTPLTSTPVSHTLVANSEASTLSTTPVDSNTPLTTSTEASSPPPTAEGTSMPTSTPSEGSTPLTRMPVSTTMVASSETSTLSTTPADTSTPVTTYSQASSSSTTADGTSMPTSTYSEGSTPLTSVPVSTRLVVSSEASTLSTTPVDTSIPVTTSTEASSSPTTAEGTSIPTSPPSEGTTPLASMPVSTTLVVSSEANTLSTTPVDSKTQVATSTEASSPPPTAEVTSMPTSTPGERSTPLTSMPVRHTPVASSEASTLSTSPVDTSTPVTTSAETSSSPTTAEGTSLPTSTTSEGSTLLTSIPVSTTLVTSPEASTLLTTPVDTKGPVVTSNEVSSSPTPAEGTSMPTSTYSEGRTPLTSIPVNTTLVASSAISILSTTPVDNSTPVTTSTEACSSPTTSEGTSMPNSNPSEGTTPLTSIPVSTTPVVSSEASTLSATPVDTSTPGTTSAEATSSPTTAEGISIPTSTPSEGKTPLKSIPVSNTPVANSEASTLSTTPVDSNSPVVTSTAVSSSPTPAEGTSIAISTPSEGSTALTSIPVSTTTVASSEINSLSTTPAVTSTPVTTYSQASSSPTTADGTSMQTSTYSEGSTPLTSLPVSTMLVVSSEANTLSTTPIDSKTQVTASTEASSSTTAEGSSMTISTPSEGSPLLTSIPVSTTPVASPEASTLSTTPVDSNSPVITSTEVSSSPTPAEGTSMPTSTYTEGRTPLTSITVRTTPVASSAISTLSTTPVDNSTPVTTSTEARSSPTTSEGTSMPNSTPSEGTTPLTSIPVSTTPVLSSEASTLSATPIDTSTPVTTSTEATSSPTTAEGTSIPTSTLSEGMTPLTSTPVSHTLVANSEASTLSTTPVDSNSPVVTSTAVSSSPTPAEGTSIATSTPSEGSTALTSIPVSTTTVASSETNTLSTTPAVTSTPVTTYAQVSSSPTTADGSSMPTSTPREGRPPLTSIPVSTTTVASSEINTLSTTLADTRTPVTTYSQASSSPTTADGTSMPTPAYSEGSTPLTSMPLSTTLVVSSEASTLSTTPVDTSTPATTSTEGSSSPTTAGGTSIQTSTPSERTTPLAGMPVSTTLVVSSEGNTLSTTPVDSKTQVTNSTEASSSATAEGSSMTISAPSEGSPLLTSIPLSTTPVASPEASTLSTTPVDSNSPVITSTEVSSSPIPTEGTSMQTSTYSDRRTPLTSMPVSTTVVASSAISTLSTTPVDTSTPVTNSTEARSSPTTSEGTSMPTSTPSEGSTPFTSMPVSTMPVVTSEASTLSATPVDTSTPVTTSTEATSSPTTAEGTSIPTSTLSEGTTPLTSIPVSHTLVANSEVSTLSTTPVDSNTPFTTSTEASSPPPTAEGTSMPTSTSSEGNTPLTRMPVSTTMVASFETSTLSTTPADTSTPVTTYSQAGSSPTTADDTSMPTSTYSEGSTPLTSVPVSTMPVVSSEASTHSTTPVDTSTPVTTSTEASSSPTTAEGTSIPTSPPSEGTTPLASMPVSTTPVVSSEAGTLSTTPVDTSTPMTTSTEASSSPTTAEDIVVPISTASEGSTLLTSIPVSTTPVASPEASTLSTTPVDSNSPVVTSTEISSSATSAEGTSMPTSTYSEGSTPLRSMPVSTKPLASSEASTLSTTPVDTSIPVTTSTETSSSPTTAKDTSMPISTPSEVSTSLTSILVSTMPVASSEASTLSTTPVDTRTLVTTSTGTSSSPTTAEGSSMPTSTPGERSTPLTNILVSTTLLANSEASTLSTTPVDTSTPVTTSAEASSSPTTAEGTSMRISTPSDGSTPLTSILVSTLPVASSEASTVSTTAVDTSIPVTTSTEASSSPTTAEVTSMPTSTPSETSTPLTSMPVNHTPVASSEAGTLSTTPVDTSTPVTTSTKASSSPTTAEGIVVPISTASEGSTLLTSIPVSTTPVASSEASTLSTTPVDTSIPVTTSTEGSSSPTTAEGTSMPISTPSEVSTPLTSILVSTVPVAGSEASTLSTTPVDTRTPVTTSAEASSSPTTAEGTSMPISTPGERRTPLTSMSVSTMPVASSEASTLSRTPADTSTPVTTSTEASSSPTTAEGTGIPISTPSEGSTPLTSIPVSTTPVAIPEASTLSTTPVDSNSPVVTSTEVSSSPTPAEGTSMPISTYSEGSTPLTGVPVSTTPVTSSAISTLSTTPVDTSTPVTTSTEAHSSPTTSEGTSMPTSTPSEGSTPLTYMPVSTMLVVSSEDSTLSATPVDTSTPVTTSTEATSSTTAEGTSIPTSTPSEGMTPLTSVPVSNTPVASSEASILSTTPVDSNTPLTTSTEASSSPPTAEGTSMPTSTPSEGSTPLTSMPVSTTTVASSETSTLSTTPADTSTPVTTYSQASSSPPIADGTSMPTSTYSEGSTPLTNMSFSTTPVVSSEASTLSTTPVDTSTPVTTSTEASLSPTTAEGTSIPTSSPSEGTTPLASMPVSTTPVVSSEVNTLSTTPVDSNTLVTTSTEASSSPTIAEGTSLPTSTTSEGSTPLSIMPLSTTPVASSEASTLSTTPVDTSTPVTTSSPTNSSPTTAEVTSMPTSTAGEGSTPLTNMPVSTTPVASSEASTLSTTPVDSNTFVTSSSQASSSPATLQVTTMRMSTPSEGSSSLTTMLLSSTYVTSSEASTPSTPSVDRSTPVTTSTQSNSTPTPPEVITLPMSTPSEVSTPLTIMPVSTTSVTISEAGTASTLPVDTSTPVITSTQVSSSPVTPEGTTMPIWTPSEGSTPLTTMPVSTTRVTSSEGSTLSTPSVVTSTPVTTSTEAISSSATLDSTTMSVSMPMEISTLGTTILVSTTPVTRFPESSTPSIPSVYTSMSMTTASEGSSSPTTLEGTTTMPMSTTSERSTLLTTVLISPISVMSPSEASTLSTPPGDTSTPLLTSTKAGSFSIPAEVTTIRISITSERSTPLTTLLVSTTLPTSFPGASIASTPPLDTSTTFTPSTDTASTPTIPVATTISVSVITEGSTPGTTIFIPSTPVTSSTADVFPATTGAVSTPVITSTELNTPSTSSSSTTTSFSTTKEFTTPAMTTAAPLTYVTMSTAPSTPRTTSRGCTTSASTLSATSTPHTSTSVTTRPVTPSSESSRPSTITSHTIPPTFPPAHSSTPPTTSASSTTVNPEAVTTMTTRTKPSTRTTSFPTVTTTAVPTNTTIKSNPTSTPTVPRTTTCFGDGCQNTASRCKNGGTWDGLKCQCPNLYYGELCEEVVSSIDIGPPETISAQMELTVTVTSVKFTEELKNHSSQEFQEFKQTFTEQMNIVYSGIPEYVGVNITKLRLGSVVVEHDVLLRTKYTPEYKTVLDNATEVVKEKITKVTTQQIMINDICSDMMCFNTTGTQVQNITVTQYDPEEDCRKMAKEYGDYFVVEYRDQKPYCISPCEPGFSVSKNCNLGKCQMSLSGPQCLCVTTETHWYSGETCNQGTQKSLVYGLVGAGVVLMLIILVALLMLVFRSKREVKRQKYRLSQLYKWQEEDSGPAPGTFQNIGFDICQDDDSIHLESIYSNFQPSLRHIDPETKIRIQRPQVMTTSF
+>DECOY_sp|Q685J3|MUC17_HUMAN Mucin-17 OS=Homo sapiens OX=9606 GN=MUC17 PE=1 SV=2
+FSTTMVQPRQIRIKTEPDIHRLSPQFNSYISELHISDDDQCIDFGINQFTGPAPGSDEEQWKYLQSLRYKQRKVERKSRFVLMLLAVLIILMLVVGAGVLGYVLSKQTGQNCTEGSYWHTETTVCLCQPGSLSMQCKGLNCNKSVSFGPECPSICYPKQDRYEVVFYDGYEKAMKRCDEEPDYQTVTINQVQTGTTNFCMMDSCIDNIMIQQTTVKTIKEKVVETANDLVTKYEPTYKTRLLVDHEVVVSGLRLKTINVGVYEPIGSYVINMQETFTQKFEQFEQSSHNKLEETFKVSTVTVTLEMQASITEPPGIDISSVVEECLEGYYLNPCQCKLGDWTGGNKCRSATNQCGDGFCTTTRPVTPTSTPNSKITTNTPVATTTVTPFSTTRTSPKTRTTMTTVAEPNVTTSSASTTPPTSSHAPPFTPPITHSTITSPRSSESSPTVPRTTVSTSTHPTSTASLTSASTTCGRSTTRPTSPATSMTVYTLPAATTMAPTTFEKTTSFSTTTSSSSTSPTNLETSTIVPTSVAGTTAPFVDATSSTVPTSPIFITTGPTSGETIVSVSITTAVPITPTSATDTSPTFTTSTDLPPTSAISAGPFSTPLTTSVLLTTLPTSRESTISIRITTVEAPISFSGAKTSTLLPTSTDGPPTSLTSAESPSMVSIPSILVTTLLTSRESTTSMPMTTTGELTTPSSSGESATTMSMSTYVSPISPTSSEPFRTVPTTSVLITTGLTSIEMPMSVSMTTSDLTASSSIAETSTTVPTSTVVSPTSLTSGESSTVRTTSVPMTTLPTSGESPTWIPMTTGEPTVPSSSVQTSTIVPTSTDVPLTSATGAESITVSTTSVPMITLPTSVESPTSMPLTIVEPPTPTSNSQTSTTVPTSRDVSPTSPTSAESSTVYTSSLLMTTLSSSGESPTSMRMTTVQLTAPSSSAQSSSTVFTNSDVPTTSLTSAESSAVPTTSVPMNTLPTSGEGATSTPMSTVEATTPSSNTPSSTTVPTSTDVPTTSLTSAESSAVPTTSLPMISLPTSGESTTSTPLSTGEAITPSSSAETSTTVLTNSDVPTTSLTNVESSVVPTTSVPMSALPTTGESPSSTPISTGEATTPSLSAETSTTVPTSTDVPTTSLTSAESSVVPTTSFSMNTLPTSGESYTSTPMSTGDAIPPSSSAQSYTTVPTSTDAPTTSLTSTESSAVTTTSVPMSTLPTSGESPTSTPMSTGEATPPSSSAETSTTLPTNSDVPTTSLISAESSAVPTNSVPVSTLPTMGESPTSTPISTGEATTSSTAETSTTVPTSTDVPTASLTSDESSVVLMTSVPMYTLPTSGESPTSTPMSTGESTTPSSHAETSTTVPTSTDVPTTSLTSIASSTVPTTSVPVGTLPTSGESYTSIPMSTGEAPTPSSSVETSTVVPSNSDVPTTSLTSAEPIAVPTTSVPISTLPTSGESPTSIPIGTGEATTPSSSAETSTTVPTSTDAPTRSLTSAESSAVPMTSVSMSTLPTRREGPTSIPMSTGEATTPSSSAEASTTVPTRTDVPTTSLTSAESGAVPVTSVLISTLPTSVESPTSIPMSTGEATTPSSSGETSTTVPISTDVPTTSLTSAESSAVPTTSVPISTLLTSGESATSIPVVIGEATTPSSSAKTSTTVPTSTDVPTTSLTGAESSAVPTHNVPMSTLPTSTESPTSTPMSTVEATTPSSSAETSTTVPISTDVATTSVTSAESSAVPLTSVLISTLPTSGDSPTSIRMSTGEATTPSSSAEASTTVPTSTDVPTTSLTSAESNALLTTSVLINTLPTSREGPTSTPMSSGEATTPSSSTGTSTTVLTRTDVPTTSLTSAESSAVPMTSVLISTLSTSVESPTSIPMSTDKATTPSSSTETSTTVPISTDVPTTSLTSAESSALPKTSVPMSRLPTSGESYTSTPMSTGEASTASSSIETSTVVPSNSDVPTTSLTSAEPSAVPTTSVPISTLLTSGESATSIPVVIDEATTPSSSAETSTTMPTSTDVPTTSLTGAESSVVPTTSVPMSALPTTGESPPSTPISTGEATTPSSSAETSTTVPTSTDVPTTSHTSAESSVVPMTSVPVSTLPTSGESYTSTPMSTDDATTPSSGAQSYTTVPTSTDAPTTSLTSTEFSAVMTTSVPMRTLPTNGESSTSTPMSTGEATPPPSSAETSTTFPTNSDVPTTSLTSVESNAVLTHSVPISTLPTTGESLTSTPISTGEATTPSSTAETSTTVPTSTDVPTASLTSAESTVVPMTSVPMSTFPTSGESPTSTPMSTGESTTPSSRAETSNTVPTSTDVPTTSLTSIASSAVVTTSVPMSTLPTRRDSYTSTQMSTGETPIPSSSVETSTIVPSNSDVPTTSLTSAEPSAVPTTSLPISTLLPSGESPASITMSSGEATASSSAETSNTVQTKSDVPTTSLTNGESSVVLTTSVPMGALPTTRESPTSTQISTGGATTPSSSGETSTTAPTSTDVPTTSLTSAESSVVLTTSLPMSTLPTSGESYAPTPMSTGDATTPSSSAQSYTTVPTRTDALTTSLTNIESSAVTTTSVPISTLPPRGERPTSTPMSSGDATTPSSSVQAYTTVPTSTVAPTTSLTNTESSAVTTTSVPISTLATSGESPTSTAISTGEAPTPSSSVATSTVVPSNSDVPTTSLTSAESNAVLTHSVPTSTLPTMGESLTSTPISTGEATTPSSTAETSTTVPTSTDIPTASLTSAESSLVPTTSVPISTLPTTGESPTSNPMSTGESTTPSSRAETSTTVPTSNDVPTTSLTSIASSAVPTTRVTISTLPTRGETYTSTPMSTGEAPTPSSSVETSTIVPSNSDVPTTSLTSAEPSAVPTTSVPISTLLPSGESPTSITMSSGEATTSSSAETSATVQTKSDIPTTSLTNAESSVVLMTSVPLSTLPTSGESYTSTQMSTGDATTPSSSAQSYTTVPTSTVAPTTSLSNIESSAVTTTSVPISTLATSGESPTSIAISTGEAPTPSSSVATSTVVPSNSDVPTTSLTSAESNAVPTNSVPISKLPTKGESPTSTPISIGEATTPSSTAEASTTGPTSTDVPTASLTSAESSVVPTTSVPISTLPTTGESPNSNPMSTGESTTPSSCAETSTTVPTSNDVPTTSLISIASSAVLTTNVPISTLPTRGESYTSTPMSTGEAPTPSSSVENSTVVPGKTDVPTTLLTSAEPSTVLTTSVPISTLLTSGESTTSTPLSTGEATTPSSSTEASTTVPTSTDVPSTSLTSAESSAVPTHRVPMSTLPTSREGPTSTPMSTVEATPPPSSAETSTAVQTKSDVPTTSLTNAESSVVLTTSVPMSALPTTGESPPSTPISTGEATTPSSSAETSTTVPISTDVPTTSLTSAESSVVLRTSVPVSTLPTSGESYTSTPMSTGDATTSSSSAQSYTTVPTSTDAPTTSLTSTESSAVMTTSVPMRTLPTSGESPTSTPMSTGEATPPPSSAETSTTLPTNSDVPTTSLTSAESNAVLTHSVPTSTLPTTGESPTSTPISTGEATTPSSTAETSTTVPTSTDVPTASLTRAESSVVPTTSDPMSTLPTSGEGPTSTPMSTGESTTPSSRAETSNTVPTSTDVPTTSLTSIASTAVLTTSVPMSTLPTRGESYTSTPMSTGEAPTPSSSVETSTTVPSNSDVPTTSLTSAEPSTVPTISVPISTLLPSGESPTSIPMSTGETTTPSCSAETSTTIHTSTDLPTTSLTSAESSTLLTKSVPMSTLPTSGESPTSTPMSAGDATTPSSSAETSTTVPTSTDVPTTSLTSAESSAVLTTNVPMSTLPTSGETYTSTPMSTGEATTSSSTAETSTTVPTNSDVPTTSLTSAESSAVLTTSVSMSTITTGRESYTSTPMSTGEATTSSSSAESSTTVFTNSDAPTTSTTSAESSVVLRTSVPMNTLPTSGESPTSTPISTGEPTTSSSSAESSTTVPTSTDVPTTSLTSAESSAVPMTSVSMSTLPTSGESPTSTPMSNVEATPPSSSAETSTTVQTKSDVPTTSLNSAESSAVPTTSVPMSTLPTSGESPTSTAISTDEATTPSSSAESATTVFTKSDVPITSTTSAESSAVLITSVPMNTLPTSGESLTSTLMSTAEATTPLSSPETSTTVLTSTDVPTISLTSMESTAVPMTSAPTSTLPTSGETYTSTPISTGEATTPSSSAETSTIVPINTAAPTTSLTSAESSVVLMVSLPMRTLPTSGGSPASNSLSPGEATTPSSSAQASITVPTSIEVPTTLLTIAESSAVKMTSAPMSTLPTSGESNTSKPITTSEPTTPSSSAQTSTTLPTSMDVKYTLSTYAEFSPLPATSVFAMTSSISEESPTSMPVDTGEPTTPSSTDETSSPFLTTSDSTSESTTRSETPTMRTSSVGPTDSTVSSEISTMEPNTSCSLYMRPEVVNTSTTGTATNAASGTRVHQSLQQCQRNLVDGQSICGGGDWVARNVSLDQEAAAQPPLLSLVLTLLCLAMTGPRPM
+>sp|Q5SSG8|MUC21_HUMAN Mucin-21 OS=Homo sapiens OX=9606 GN=MUC21 PE=1 SV=2
+MKMQKGNVLLMFGLLLHLEAATNSNETSTSANTGSSVISSGASTATNSGSSVTSSGVSTATISGSSVTSNGVSIVTNSEFHTTSSGISTATNSEFSTVSSGISIATNSESSTTSSGASTATNSESSTPSSGASTATNSDSSTTSSGASTATNSDSSTTSSEASTATNSESSTTSSGASTATNSESSTVSSRASTATNSESSTTSSGASTATNSESRTTSNGAGTATNSESSTTSSGASTATNSESSTPSSGAGTATNSESSTTSSGAGTATNSESSTVSSGISTVTNSESSTPSSGANTATNSESSTTSSGANTATNSDSSTTSSGASTATNSESSTTSSGASTATNSESSTTSSGASTATNSGSSTTSSGTSTATNSESSTVSSGASTATTSESSTTSSGASTATNSESSTVSSGASTATNSESSTTSSGANTATNSGSSVTSAGSGTAALTGMHTTSHSASTAVSEAKPGGSLVPWEIFLITLVSVVAAVGLFAGLFFCVRNSLSLRNTFNTAVYHPHGLNHGLGPGPGGNHGAPHRPRWSPNWFWRRPVSSIAMEMSGRNSGP
+>DECOY_sp|Q5SSG8|MUC21_HUMAN Mucin-21 OS=Homo sapiens OX=9606 GN=MUC21 PE=1 SV=2
+PGSNRGSMEMAISSVPRRWFWNPSWRPRHPAGHNGGPGPGLGHNLGHPHYVATNFTNRLSLSNRVCFFLGAFLGVAAVVSVLTILFIEWPVLSGGPKAESVATSASHSTTHMGTLAATGSGASTVSSGSNTATNAGSSTTSSESNTATSAGSSVTSSESNTATSAGSSTTSSESTTATSAGSSVTSSESNTATSTGSSTTSSGSNTATSAGSSTTSSESNTATSAGSSTTSSESNTATSAGSSTTSSDSNTATNAGSSTTSSESNTATNAGSSPTSSESNTVTSIGSSVTSSESNTATGAGSSTTSSESNTATGAGSSPTSSESNTATSAGSSTTSSESNTATGAGNSTTRSESNTATSAGSSTTSSESNTATSARSSVTSSESNTATSAGSSTTSSESNTATSAESSTTSSDSNTATSAGSSTTSSDSNTATSAGSSPTSSESNTATSAGSSTTSSESNTAISIGSSVTSFESNTATSIGSSTTHFESNTVISVGNSTVSSGSITATSVGSSTVSSGSNTATSAGSSIVSSGTNASTSTENSNTAAELHLLLGFMLLVNGKQMKM
+>sp|Q9H195|MUC3B_HUMAN Mucin-3B (Fragments) OS=Homo sapiens OX=9606 GN=MUC3B PE=2 SV=2
+MQLLGLLSILWMLKSSPGATGTLSTATSTSHVTFPRAEATRTALSNSPHSRYLAEWPQGVPQLASPAPGHRENAPMTLTTSPHDTLISETLLSSLVSSNTSTTPTSKFAFKVETTPPTVLVYSATTECVYPTSFIITISHSTSICVTTTQVTFTSSYTPTPVTQKPVTTVTRTYPMTTTEKGTSAMISSPSTTTARETPIVTVTPSSSVSATDTTFHTTISSTTRTTERTPLPTGSIHTTMSPTPVFTTLKTAVTSTSPITSTITSTNTVTSMTTTTSRPTATNTLSSLTSSILSSTPAPNTEVITSHTTTTTPPSTLVTTLPTAIARSTPTSETTPSFMSSIITTETTSHSTPSFSSSTIHSTVSSSTTAITSPFTTAETGVTSTPSSPSSLSTDIPTTSLRTLTPLSLSTSTSLTTTTDLPSIPTDISSLPTPIHIISSSPSIQSTETSSLVGTTSPTMSTVRATLRSTENTPISSFSTSIVVTPETPTTQAPPVLMSATGTQTSPVPTTVTFGSMDSSTSTLHTLTPSTALSKIMSTSQFPIPSTHSSTLQTTPSIPSLQTSLTSTSEFTTESFTRGSTSTNAILTSFSTIIWSSTPTIIMSSSPSSASITPVFATTIHSVPSSPYIFSTENVGSASITAFPSLSSSSTTSTSPTSSSLTTALTEITPFSYISLPSTTPCPGTITITIVPASPTDPCVEMDPSTEATSPPTTPLTVFPFTTEMVTCPSSISMQTTLATHMDTSSMTPESESSIIPNASSSTGTGTVPTNTVFTSTRLPTSETWLSNNSVIPTPLPGVSTIPLTMKPSSSLPTILRTSSKSTHPSPPTARTSQTSVATTQTPTTLTTCRTTPITSWMTTQSTLTTTAGTCDNGGTWEQGQCACLPGFSGDRCQLQTRCQNGGQWDGLKCQCPSTFYGSSCEFAVEQVDLDVVETEVGMEVSVDQQFSPDLNDNTSQAYRDFNKTFWNQMQKIFADMQGFTFKGVEILSLRNGSIVVDYLVLLEMPFSPQLESEYEQVKTTLKEGLQNASQDANSCQDSQALCFKPDSIKVNNNSKTELTPEAICRRAAPTGYEEFYFPLVEATRLRCVTKCTSGLDNAIDCHQGQCVLETSGPACRCYSTDTHWFSGPRCEVTVHWRALVGGLTAGAALLVLLLLALGVRAVRSEWWGRQRRGRSWDQDRKWFETWDEEVVGTFSNWGFEDDGTDKDTNFHVALENVDTTMKVHIKRPEMTSSSV
+>DECOY_sp|Q9H195|MUC3B_HUMAN Mucin-3B (Fragments) OS=Homo sapiens OX=9606 GN=MUC3B PE=2 SV=2
+VSSSTMEPRKIHVKMTTDVNELAVHFNTDKDTGDDEFGWNSFTGVVEEDWTEFWKRDQDWSRGRRQRGWWESRVARVGLALLLLVLLAAGATLGGVLARWHVTVECRPGSFWHTDTSYCRCAPGSTELVCQGQHCDIANDLGSTCKTVCRLRTAEVLPFYFEEYGTPAARRCIAEPTLETKSNNNVKISDPKFCLAQSDQCSNADQSANQLGEKLTTKVQEYESELQPSFPMELLVLYDVVISGNRLSLIEVGKFTFGQMDAFIKQMQNWFTKNFDRYAQSTNDNLDPSFQQDVSVEMGVETEVVDLDVQEVAFECSSGYFTSPCQCKLGDWQGGNQCRTQLQCRDGSFGPLCACQGQEWTGGNDCTGATTTLTSQTTMWSTIPTTRCTTLTTPTQTTAVSTQSTRATPPSPHTSKSSTRLITPLSSSPKMTLPITSVGPLPTPIVSNNSLWTESTPLRTSTFVTNTPVTGTGTSSSANPIISSESEPTMSSTDMHTALTTQMSISSPCTVMETTFPFVTLPTTPPSTAETSPDMEVCPDTPSAPVITITITGPCPTTSPLSIYSFPTIETLATTLSSSTPSTSTTSSSSLSPFATISASGVNETSFIYPSSPVSHITTAFVPTISASSPSSSMIITPTSSWIITSFSTLIANTSTSGRTFSETTFESTSTLSTQLSPISPTTQLTSSHTSPIPFQSTSMIKSLATSPTLTHLTSTSSDMSGFTVTTPVPSTQTGTASMLVPPAQTTPTEPTVVISTSFSSIPTNETSRLTARVTSMTPSTTGVLSSTETSQISPSSSIIHIPTPLSSIDTPISPLDTTTTLSTSTSLSLPTLTRLSTTPIDTSLSSPSSPTSTVGTEATTFPSTIATTSSSVTSHITSSSFSPTSHSTTETTIISSMFSPTTESTPTSRAIATPLTTVLTSPPTTTTTHSTIVETNPAPTSSLISSTLSSLTNTATPRSTTTTMSTVTNTSTITSTIPSTSTVATKLTTFVPTPSMTTHISGTPLPTRETTRTTSSITTHFTTDTASVSSSPTVTVIPTERATTTSPSSIMASTGKETTTMPYTRTVTTVPKQTVPTPTYSSTFTVQTTTVCISTSHSITIIFSTPYVCETTASYVLVTPPTTEVKFAFKSTPTTSTNSSVLSSLLTESILTDHPSTTLTMPANERHGPAPSALQPVGQPWEALYRSHPSNSLATRTAEARPFTVHSTSTATSLTGTAGPSSKLMWLISLLGLLQM
+>sp|Q99102|MUC4_HUMAN Mucin-4 OS=Homo sapiens OX=9606 GN=MUC4 PE=1 SV=4
+MKGARWRRVPWVSLSCLCLCLLPHVVPGTTEDTLITGSKTAAPVTSTGSTTATLEGQSTAASSRTSNQDISASSQNHQTKSTETTSKAQTDTLTQMMTSTLFSSPSVHNVMETVTQETAPPDEMTTSFPSSVTNTLMMTSKTITMTTSTDSTLGNTEETSTAGTESSTPVTSAVSITAGQEGQSRTTSWRTSIQDTSASSQNHWTRSTQTTRESQTSTLTHRTTSTPSFSPSVHNVTGTVSQKTSPSGETATSSLCSVTNTSMMTSEKITVTTSTGSTLGNPGETSSVPVTGSLMPVTSAALVTVDPEGQSPATFSRTSTQDTTAFSKNHQTQSVETTRVSQINTLNTLTPVTTSTVLSSPSGFNPSGTVSQETFPSGETTISSPSSVSNTFLVTSKVFRMPISRDSTLGNTEETSLSVSGTISAITSKVSTIWWSDTLSTALSPSSLPPKISTAFHTQQSEGAETTGRPHERSSFSPGVSQEIFTLHETTTWPSSFSSKGHTTWSQTELPSTSTGAATRLVTGNPSTRAAGTIPRVPSKVSAIGEPGEPTTYSSHSTTLPKTTGAGAQTQWTQETGTTGEALLSSPSYSVIQMIKTATSPSSSPMLDRHTSQQITTAPSTNHSTIHSTSTSPQESPAVSQRGHTRAPQTTQESQTTRSVSPMTDTKTVTTPGSSFTASGHSPSEIVPQDAPTISAATTFAPAPTGNGHTTQAPTTALQAAPSSHDATLGPSGGTSLSKTGALTLANSVVSTPGGPEGQWTSASASTSPDTAAAMTHTHQAESTEASGQTQTSEPASSGSRTTSAGTATPSSSGASGTTPSGSEGISTSGETTRFSSNPSRDSHTTQSTTELLSASASHGAIPVSTGMASSIVPGTFHPTLSEASTAGRPTGQSSPTSPSASPQETAAISRMAQTQRTGTSRGSDTISLASQATDTFSTVPPTPPSITSSGLTSPQTQTHTLSPSGSGKTFTTALISNATPLPVTSTSSASTGHATPLAVSSATSASTVSSDSPLKMETSGMTTPSLKTDGGRRTATSPPPTTSQTIISTIPSTAMHTRSTAAPIPILPERGVSLFPYGAGAGDLEFVRRTVDFTSPLFKPATGFPLGSSLRDSLYFTDNGQIIFPESDYQIFSYPNPLPTGFTGRDPVALVAPFWDDADFSTGRGTTFYQEYETFYGEHSLLVQQAESWIRKMTNNGGYKARWALKVTWVNAHAYPAQWTLGSNTYQAILSTDGSRSYALFLYQSGGMQWDVAQRSGNPVLMGFSSGDGYFENSPLMSQPVWERYRPDRFLNSNSGLQGLQFYRLHREERPNYRLECLQWLKSQPRWPSWGWNQVSCPCSWQQGRRDLRFQPVSIGRWGLGSRQLCSFTSWRGGVCCSYGPWGEFREGWHVQRPWQLAQELEPQSWCCRWNDKPYLCALYQQRRPHVGCATYRPPQPAWMFGDPHITTLDGVSYTFNGLGDFLLVGAQDGNSSFLLQGRTAQTGSAQATNFIAFAAQYRSSSLGPVTVQWLLEPHDAIRVLLDNQTVTFQPDHEDGGGQETFNATGVLLSRNGSEVSASFDGWATVSVIALSNILHASASLPPEYQNRTEGLLGVWNNNPEDDFRMPNGSTIPPGSPEEMLFHFGMTWQINGTGLLGKRNDQLPSNFTPVFYSQLQKNSSWAEHLISNCDGDSSCIYDTLALRNASIGLHTREVSKNYEQANATLNQYPPSINGGRVIEAYKGQTTLIQYTSNAEDANFTLRDSCTDLELFENGTLLWTPKSLEPFTLEILARSAKIGLASALQPRTVVCHCNAESQCLYNQTSRVGNSSLEVAGCKCDGGTFGRYCEGSEDACEEPCFPSVHCVPGKGCEACPPNLTGDGRHCAALGSSFLCQNQSCPVNYCYNQGHCYISQTLGCQPMCTCPPAFTDSRCFLAGNNFSPTVNLELPLRVIQLLLSEEENASMAEVNASVAYRLGTLDMRAFLRNSQVERIDSAAPASGSPIQHWMVISEFQYRPRGPVIDFLNNQLLAAVVEAFLYHVPRRSEEPRNDVVFQPISGEDVRDVTALNVSTLKAYFRCDGYKGYDLVYSPQSGFTCVSPCSRGYCDHGGQCQHLPSGPRCSCVSFSIYTAWGEHCEHLSMKLDAFFGIFFGALGGLLLLGVGTFVVLRFWGCSGARFSYFLNSAEALP
+>DECOY_sp|Q99102|MUC4_HUMAN Mucin-4 OS=Homo sapiens OX=9606 GN=MUC4 PE=1 SV=4
+PLAEASNLFYSFRAGSCGWFRLVVFTGVGLLLLGGLAGFFIGFFADLKMSLHECHEGWATYISFSVCSCRPGSPLHQCQGGHDCYGRSCPSVCTFGSQPSYVLDYGKYGDCRFYAKLTSVNLATVDRVDEGSIPQFVVDNRPEESRRPVHYLFAEVVAALLQNNLFDIVPGRPRYQFESIVMWHQIPSGSAPAASDIREVQSNRLFARMDLTGLRYAVSANVEAMSANEEESLLLQIVRLPLELNVTPSFNNGALFCRSDTFAPPCTCMPQCGLTQSIYCHGQNYCYNVPCSQNQCLFSSGLAACHRGDGTLNPPCAECGKGPVCHVSPFCPEECADESGECYRGFTGGDCKCGAVELSSNGVRSTQNYLCQSEANCHCVVTRPQLASALGIKASRALIELTFPELSKPTWLLTGNEFLELDTCSDRLTFNADEANSTYQILTTQGKYAEIVRGGNISPPYQNLTANAQEYNKSVERTHLGISANRLALTDYICSSDGDCNSILHEAWSSNKQLQSYFVPTFNSPLQDNRKGLLGTGNIQWTMGFHFLMEEPSGPPITSGNPMRFDDEPNNNWVGLLGETRNQYEPPLSASAHLINSLAIVSVTAWGDFSASVESGNRSLLVGTANFTEQGGGDEHDPQFTVTQNDLLVRIADHPELLWQVTVPGLSSSRYQAAFAIFNTAQASGTQATRGQLLFSSNGDQAGVLLFDGLGNFTYSVGDLTTIHPDGFMWAPQPPRYTACGVHPRRQQYLACLYPKDNWRCCWSQPELEQALQWPRQVHWGERFEGWPGYSCCVGGRWSTFSCLQRSGLGWRGISVPQFRLDRRGQQWSCPCSVQNWGWSPWRPQSKLWQLCELRYNPREERHLRYFQLGQLGSNSNLFRDPRYREWVPQSMLPSNEFYGDGSSFGMLVPNGSRQAVDWQMGGSQYLFLAYSRSGDTSLIAQYTNSGLTWQAPYAHANVWTVKLAWRAKYGGNNTMKRIWSEAQQVLLSHEGYFTEYEQYFTTGRGTSFDADDWFPAVLAVPDRGTFGTPLPNPYSFIQYDSEPFIIQGNDTFYLSDRLSSGLPFGTAPKFLPSTFDVTRRVFELDGAGAGYPFLSVGREPLIPIPAATSRTHMATSPITSIITQSTTPPPSTATRRGGDTKLSPTTMGSTEMKLPSDSSVTSASTASSVALPTAHGTSASSTSTVPLPTANSILATTFTKGSGSPSLTHTQTQPSTLGSSTISPPTPPVTSFTDTAQSALSITDSGRSTGTRQTQAMRSIAATEQPSASPSTPSSQGTPRGATSAESLTPHFTGPVISSAMGTSVPIAGHSASASLLETTSQTTHSDRSPNSSFRTTEGSTSIGESGSPTTGSAGSSSPTATGASTTRSGSSAPESTQTQGSAETSEAQHTHTMAAATDPSTSASASTWQGEPGGPTSVVSNALTLAGTKSLSTGGSPGLTADHSSPAAQLATTPAQTTHGNGTPAPAFTTAASITPADQPVIESPSHGSATFSSGPTTVTKTDTMPSVSRTTQSEQTTQPARTHGRQSVAPSEQPSTSTSHITSHNTSPATTIQQSTHRDLMPSSSPSTATKIMQIVSYSPSSLLAEGTTGTEQTWQTQAGAGTTKPLTTSHSSYTTPEGPEGIASVKSPVRPITGAARTSPNGTVLRTAAGTSTSPLETQSWTTHGKSSFSSPWTTTEHLTFIEQSVGPSFSSREHPRGTTEAGESQQTHFATSIKPPLSSPSLATSLTDSWWITSVKSTIASITGSVSLSTEETNGLTSDRSIPMRFVKSTVLFTNSVSSPSSITTEGSPFTEQSVTGSPNFGSPSSLVTSTTVPTLTNLTNIQSVRTTEVSQTQHNKSFATTDQTSTRSFTAPSQGEPDVTVLAASTVPMLSGTVPVSSTEGPNGLTSGTSTTVTIKESTMMSTNTVSCLSSTATEGSPSTKQSVTGTVNHVSPSFSPTSTTRHTLTSTQSERTTQTSRTWHNQSSASTDQISTRWSTTRSQGEQGATISVASTVPTSSETGATSTEETNGLTSDTSTTMTITKSTMMLTNTVSSPFSTTMEDPPATEQTVTEMVNHVSPSSFLTSTMMQTLTDTQAKSTTETSKTQHNQSSASIDQNSTRSSAATSQGELTATTSGTSTVPAATKSGTILTDETTGPVVHPLLCLCLCSLSVWPVRRWRAGKM
+>sp|Q969V5|MUL1_HUMAN Mitochondrial ubiquitin ligase activator of NFKB 1 OS=Homo sapiens OX=9606 GN=MUL1 PE=1 SV=1
+MESGGRPSLCQFILLGTTSVVTAALYSVYRQKARVSQELKGAKKVHLGEDLKSILSEAPGKCVPYAVIEGAVRSVKETLNSQFVENCKGVIQRLTLQEHKMVWNRTTHLWNDCSKIIHQRTNTVPFDLVPHEDGVDVAVRVLKPLDSVDLGLETVYEKFHPSIQSFTDVIGHYISGERPKGIQETEEMLKVGATLTGVGELVLDNNSVRLQPPKQGMQYYLSSQDFDSLLQRQESSVRLWKVLALVFGFATCATLFFILRKQYLQRQERLRLKQMQEEFQEHEAQLLSRAKPEDRESLKSACVVCLSSFKSCVFLECGHVCSCTECYRALPEPKKCPICRQAITRVIPLYNS
+>DECOY_sp|Q969V5|MUL1_HUMAN Mitochondrial ubiquitin ligase activator of NFKB 1 OS=Homo sapiens OX=9606 GN=MUL1 PE=1 SV=1
+SNYLPIVRTIAQRCIPCKKPEPLARYCETCSCVHGCELFVCSKFSSLCVVCASKLSERDEPKARSLLQAEHEQFEEQMQKLRLREQRQLYQKRLIFFLTACTAFGFVLALVKWLRVSSEQRQLLSDFDQSSLYYQMGQKPPQLRVSNNDLVLEGVGTLTAGVKLMEETEQIGKPREGSIYHGIVDTFSQISPHFKEYVTELGLDVSDLPKLVRVAVDVGDEHPVLDFPVTNTRQHIIKSCDNWLHTTRNWVMKHEQLTLRQIVGKCNEVFQSNLTEKVSRVAGEIVAYPVCKGPAESLISKLDEGLHVKKAGKLEQSVRAKQRYVSYLAATVVSTTGLLIFQCLSPRGGSEM
+>sp|Q8TC71|MIEAP_HUMAN Mitochondria-eating protein OS=Homo sapiens OX=9606 GN=SPATA18 PE=1 SV=1
+MAENLKRLVSNETLRTLQEKLDFWLKEYNTNTCDQNLNHCLELIEQVAKVQGQLFGILTAAAQEGGRNDGVETIKSRLLPWLEASFTAASLGKSVDSKVPSLQDTFDRERHKDPSPRDRDMQQLDSNLNSTRSQCNQVQDDLVETEKNLEESKNRSAISLLAAEEEINQLKKQLKSLQAQEDARHRNTDQRSSENRRSEPWSLEERKREQWNSLKQNADQQDTEAMSDYKKQLRNLKEEIAVLSAEKSALQGRSSRSRSPSPAPRSRSCSRSRSASPSTAVKVRRPSPNRSKLSNVARKAALLSRFSDSYSQARLDAQCLLRRCIDKAETVQRIIYIATVEAFHVAKMAFRHFKIHVRKSLTPSYVGSNDFENAVLDYVICHLDLYDSQSSVNDVIRAMNVNPKISFPPVVDFCLLSDFIQEICCIAFAMQALEPPLDIAYGADGEVFNDCKYRRSYDSDFTAPLVLYHVWPALMENDCVIMKGEAVTRRGAFWNSVRSVSRCRSRSLSPICPRSQIGLNTMSRSRSPSPIRCGLPRF
+>DECOY_sp|Q8TC71|MIEAP_HUMAN Mitochondria-eating protein OS=Homo sapiens OX=9606 GN=SPATA18 PE=1 SV=1
+FRPLGCRIPSPSRSRSMTNLGIQSRPCIPSLSRSRCRSVSRVSNWFAGRRTVAEGKMIVCDNEMLAPWVHYLVLPATFDSDYSRRYKCDNFVEGDAGYAIDLPPELAQMAFAICCIEQIFDSLLCFDVVPPFSIKPNVNMARIVDNVSSQSDYLDLHCIVYDLVANEFDNSGVYSPTLSKRVHIKFHRFAMKAVHFAEVTAIYIIRQVTEAKDICRRLLCQADLRAQSYSDSFRSLLAAKRAVNSLKSRNPSPRRVKVATSPSASRSRSCSRSRPAPSPSRSRSSRGQLASKEASLVAIEEKLNRLQKKYDSMAETDQQDANQKLSNWQERKREELSWPESRRNESSRQDTNRHRADEQAQLSKLQKKLQNIEEEAALLSIASRNKSEELNKETEVLDDQVQNCQSRTSNLNSDLQQMDRDRPSPDKHRERDFTDQLSPVKSDVSKGLSAATFSAELWPLLRSKITEVGDNRGGEQAAATLIGFLQGQVKAVQEILELCHNLNQDCTNTNYEKLWFDLKEQLTRLTENSVLRKLNEAM
+>sp|Q8N108|MIER1_HUMAN Mesoderm induction early response protein 1 OS=Homo sapiens OX=9606 GN=MIER1 PE=1 SV=2
+MAEPSVESSSPGGSATSDDHEFDPSADMLVHDFDDERTLEEEEMMEGETNFSSEIEDLAREGDMPIHELLSLYGYGSTVRLPEEDEEEEEEEEEGEDDEDADNDDNSGCSGENKEENIKDSSGQEDETQSSNDDPSQSVASQDAQEIIRPRRCKYFDTNSEVEEESEEDEDYIPSEDWKKEIMVGSMFQAEIPVGICRYKENEKVYENDDQLLWDPEYLPEDKVIIFLKDASRRTGDEKGVEAIPEGSHIKDNEQALYELVKCNFDTEEALRRLRFNVKAAREELSVWTEEECRNFEQGLKAYGKDFHLIQANKVRTRSVGECVAFYYMWKKSERYDFFAQQTRFGKKKYNLHPGVTDYMDRLLDESESAASSRAPSPPPTASNSSNSQSEKEDGTVSTANQNGVSSNGPGEILNKEEVKVEGLHINGPTGGNKKPLHADMDTNGYETDNLTTDPKLAHMTARNENDFDEKSERPAKRRRVNSNGKESPGSSEFFQEAVSHGKFEELENTDD
+>DECOY_sp|Q8N108|MIER1_HUMAN Mesoderm induction early response protein 1 OS=Homo sapiens OX=9606 GN=MIER1 PE=1 SV=2
+DDTNELEEFKGHSVAEQFFESSGPSEKGNSNVRRRKAPRESKEDFDNENRATMHALKPDTTLNDTEYGNTDMDAHLPKKNGGTPGNIHLGEVKVEEKNLIEGPGNSSVGNQNATSVTGDEKESQSNSSNSATPPPSPARSSAASESEDLLRDMYDTVGPHLNYKKKGFRTQQAFFDYRESKKWMYYFAVCEGVSRTRVKNAQILHFDKGYAKLGQEFNRCEEETWVSLEERAAKVNFRLRRLAEETDFNCKVLEYLAQENDKIHSGEPIAEVGKEDGTRRSADKLFIIVKDEPLYEPDWLLQDDNEYVKENEKYRCIGVPIEAQFMSGVMIEKKWDESPIYDEDEESEEEVESNTDFYKCRRPRIIEQADQSAVSQSPDDNSSQTEDEQGSSDKINEEKNEGSCGSNDDNDADEDDEGEEEEEEEEEDEEPLRVTSGYGYLSLLEHIPMDGERALDEIESSFNTEGEMMEEEELTREDDFDHVLMDASPDFEHDDSTASGGPSSSEVSPEAM
+>sp|P58340|MLF1_HUMAN Myeloid leukemia factor 1 OS=Homo sapiens OX=9606 GN=MLF1 PE=1 SV=1
+MFRMLNSSFEDDPFFSESILAHRENMRQMIRSFSEPFGRDLLSISDGRGRAHNRRGHNDGEDSLTHTDVSSFQTMDQMVSNMRNYMQKLERNFGQLSVDPNGHSFCSSSVMTYSKIGDEPPKVFQASTQTRRAPGGIKETRKAMRDSDSGLEKMAIGHHIHDRAHVIKKSKNKKTGDEEVNQEFINMNESDAHAFDEEWQSEVLKYKPGRHNLGNTRMRSVGHENPGSRELKRREKPQQSPAIEHGRRSNVLGDKLHIKGSSVKSNKK
+>DECOY_sp|P58340|MLF1_HUMAN Myeloid leukemia factor 1 OS=Homo sapiens OX=9606 GN=MLF1 PE=1 SV=1
+KKNSKVSSGKIHLKDGLVNSRRGHEIAPSQQPKERRKLERSGPNEHGVSRMRTNGLNHRGPKYKLVESQWEEDFAHADSENMNIFEQNVEEDGTKKNKSKKIVHARDHIHHGIAMKELGSDSDRMAKRTEKIGGPARRTQTSAQFVKPPEDGIKSYTMVSSSCFSHGNPDVSLQGFNRELKQMYNRMNSVMQDMTQFSSVDTHTLSDEGDNHGRRNHARGRGDSISLLDRGFPESFSRIMQRMNERHALISESFFPDDEFSSNLMRFM
+>sp|Q9UHC1|MLH3_HUMAN DNA mismatch repair protein Mlh3 OS=Homo sapiens OX=9606 GN=MLH3 PE=1 SV=3
+MIKCLSVEVQAKLRSGLAISSLGQCVEELALNSIDAEAKCVAVRVNMETFQVQVIDNGFGMGSDDVEKVGNRYFTSKCHSVQDLENPRFYGFRGEALANIADMASAVEISSKKNRTMKTFVKLFQSGKALKACEADVTRASAGTTVTVYNLFYQLPVRRKCMDPRLEFEKVRQRIEALSLMHPSISFSLRNDVSGSMVLQLPKTKDVCSRFCQIYGLGKSQKLREISFKYKEFELSGYISSEAHYNKNMQFLFVNKRLVLRTKLHKLIDFLLRKESIICKPKNGPTSRQMNSSLRHRSTPELYGIYVINVQCQFCEYDVCMEPAKTLIEFQNWDTLLFCIQEGVKMFLKQEKLFVELSGEDIKEFSEDNGFSLFDATLQKRVTSDERSNFQEACNNILDSYEMFNLQSKAVKRKTTAENVNTQSSRDSEATRKNTNDAFLYIYESGGPGHSKMTEPSLQNKDSSCSESKMLEQETIVASEAGENEKHKKSFLEHSSLENPCGTSLEMFLSPFQTPCHFEESGQDLEIWKESTTVNGMAANILKNNRIQNQPKRFKDATEVGCQPLPFATTLWGVHSAQTEKEKKKESSNCGRRNVFSYGRVKLCSTGFITHVVQNEKTKSTETEHSFKNYVRPGPTRAQETFGNRTRHSVETPDIKDLASTLSKESGQLPNKKNCRTNISYGLENEPTATYTMFSAFQEGSKKSQTDCILSDTSPSFPWYRHVSNDSRKTDKLIGFSKPIVRKKLSLSSQLGSLEKFKRQYGKVENPLDTEVEESNGVTTNLSLQVEPDILLKDKNRLENSDVCKITTMEHSDSDSSCQPASHILNSEKFPFSKDEDCLEQQMPSLRESPMTLKELSLFNRKPLDLEKSSESLASKLSRLKGSERETQTMGMMSRFNELPNSDSSRKDSKLCSVLTQDFCMLFNNKHEKTENGVIPTSDSATQDNSFNKNSKTHSNSNTTENCVISETPLVLPYNNSKVTGKDSDVLIRASEQQIGSLDSPSGMLMNPVEDATGDQNGICFQSEESKARACSETEESNTCCSDWQRHFDVALGRMVYVNKMTGLSTFIAPTEDIQAACTKDLTTVAVDVVLENGSQYRCQPFRSDLVLPFLPRARAERTVMRQDNRDTVDDTVSSESLQSLFSEWDNPVFARYPEVAVDVSSGQAESLAVKIHNILYPYRFTKGMIHSMQVLQQVDNKFIACLMSTKTEENGEAGGNLLVLVDQHAAHERIRLEQLIIDSYEKQQAQGSGRKKLLSSTLIPPLEITVTEEQRRLLWCYHKNLEDLGLEFVFPDTSDSLVLVGKVPLCFVEREANELRRGRSTVTKSIVEEFIREQLELLQTTGGIQGTLPLTVQKVLASQACHGAIKFNDGLSLQESCRLIEALSSCQLPFQCAHGRPSMLPLADIDHLEQEKQIKPNLTKLRKMAQAWRLFGKAECDTRQSLQQSMPPCEPP
+>DECOY_sp|Q9UHC1|MLH3_HUMAN DNA mismatch repair protein Mlh3 OS=Homo sapiens OX=9606 GN=MLH3 PE=1 SV=3
+PPECPPMSQQLSQRTDCEAKGFLRWAQAMKRLKTLNPKIQKEQELHDIDALPLMSPRGHACQFPLQCSSLAEILRCSEQLSLGDNFKIAGHCAQSALVKQVTLPLTGQIGGTTQLLELQERIFEEVISKTVTSRGRRLENAEREVFCLPVKGVLVLSDSTDPFVFELGLDELNKHYCWLLRRQEETVTIELPPILTSSLLKKRGSGQAQQKEYSDIILQELRIREHAAHQDVLVLLNGGAEGNEETKTSMLCAIFKNDVQQLVQMSHIMGKTFRYPYLINHIKVALSEAQGSSVDVAVEPYRAFVPNDWESFLSQLSESSVTDDVTDRNDQRMVTREARARPLFPLVLDSRFPQCRYQSGNELVVDVAVTTLDKTCAAQIDETPAIFTSLGTMKNVYVMRGLAVDFHRQWDSCCTNSEETESCARAKSEESQFCIGNQDGTADEVPNMLMGSPSDLSGIQQESARILVDSDKGTVKSNNYPLVLPTESIVCNETTNSNSHTKSNKNFSNDQTASDSTPIVGNETKEHKNNFLMCFDQTLVSCLKSDKRSSDSNPLENFRSMMGMTQTERESGKLRSLKSALSESSKELDLPKRNFLSLEKLTMPSERLSPMQQELCDEDKSFPFKESNLIHSAPQCSSDSDSHEMTTIKCVDSNELRNKDKLLIDPEVQLSLNTTVGNSEEVETDLPNEVKGYQRKFKELSGLQSSLSLKKRVIPKSFGILKDTKRSDNSVHRYWPFSPSTDSLICDTQSKKSGEQFASFMTYTATPENELGYSINTRCNKKNPLQGSEKSLTSALDKIDPTEVSHRTRNGFTEQARTPGPRVYNKFSHETETSKTKENQVVHTIFGTSCLKVRGYSFVNRRGCNSSEKKKEKETQASHVGWLTTAFPLPQCGVETADKFRKPQNQIRNNKLINAAMGNVTTSEKWIELDQGSEEFHCPTQFPSLFMELSTGCPNELSSHELFSKKHKENEGAESAVITEQELMKSESCSSDKNQLSPETMKSHGPGGSEYIYLFADNTNKRTAESDRSSQTNVNEATTKRKVAKSQLNFMEYSDLINNCAEQFNSREDSTVRKQLTADFLSFGNDESFEKIDEGSLEVFLKEQKLFMKVGEQICFLLTDWNQFEILTKAPEMCVDYECFQCQVNIVYIGYLEPTSRHRLSSNMQRSTPGNKPKCIISEKRLLFDILKHLKTRLVLRKNVFLFQMNKNYHAESSIYGSLEFEKYKFSIERLKQSKGLGYIQCFRSCVDKTKPLQLVMSGSVDNRLSFSISPHMLSLAEIRQRVKEFELRPDMCKRRVPLQYFLNYVTVTTGASARTVDAECAKLAKGSQFLKVFTKMTRNKKSSIEVASAMDAINALAEGRFGYFRPNELDQVSHCKSTFYRNGVKEVDDSGMGFGNDIVQVQFTEMNVRVAVCKAEADISNLALEEVCQGLSSIALGSRLKAQVEVSLCKIM
+>sp|Q8NB16|MLKL_HUMAN Mixed lineage kinase domain-like protein OS=Homo sapiens OX=9606 GN=MLKL PE=1 SV=1
+MENLKHIITLGQVIHKRCEEMKYCKKQCRRLGHRVLGLIKPLEMLQDQGKRSVPSEKLTTAMNRFKAALEEANGEIEKFSNRSNICRFLTASQDKILFKDVNRKLSDVWKELSLLLQVEQRMPVSPISQGASWAQEDQQDADEDRRAFQMLRRDNEKIEASLRRLEINMKEIKETLRQYLPPKCMQEIPQEQIKEIKKEQLSGSPWILLRENEVSTLYKGEYHRAPVAIKVFKKLQAGSIAIVRQTFNKEIKTMKKFESPNILRIFGICIDETVTPPQFSIVMEYCELGTLRELLDREKDLTLGKRMVLVLGAARGLYRLHHSEAPELHGKIRSSNFLVTQGYQVKLAGFELRKTQTSMSLGTTREKTDRVKSTAYLSPQELEDVFYQYDVKSEIYSFGIVLWEIATGDIPFQGCNSEKIRKLVAVKRQQEPLGEDCPSELREIIDECRAHDPSVRPSVDEILKKLSTFSK
+>DECOY_sp|Q8NB16|MLKL_HUMAN Mixed lineage kinase domain-like protein OS=Homo sapiens OX=9606 GN=MLKL PE=1 SV=1
+KSFTSLKKLIEDVSPRVSPDHARCEDIIERLESPCDEGLPEQQRKVAVLKRIKESNCGQFPIDGTAIEWLVIGFSYIESKVDYQYFVDELEQPSLYATSKVRDTKERTTGLSMSTQTKRLEFGALKVQYGQTVLFNSSRIKGHLEPAESHHLRYLGRAAGLVLVMRKGLTLDKERDLLERLTGLECYEMVISFQPPTVTEDICIGFIRLINPSEFKKMTKIEKNFTQRVIAISGAQLKKFVKIAVPARHYEGKYLTSVENERLLIWPSGSLQEKKIEKIQEQPIEQMCKPPLYQRLTEKIEKMNIELRRLSAEIKENDRRLMQFARRDEDADQQDEQAWSAGQSIPSVPMRQEVQLLLSLEKWVDSLKRNVDKFLIKDQSATLFRCINSRNSFKEIEGNAEELAAKFRNMATTLKESPVSRKGQDQLMELPKILGLVRHGLRRCQKKCYKMEECRKHIVQGLTIIHKLNEM
+>sp|Q9HAP2|MLXIP_HUMAN MLX-interacting protein OS=Homo sapiens OX=9606 GN=MLXIP PE=1 SV=2
+MAADVFMCSPRRPRSRGRQVLLKPQVSEDDDDSDTDEPSPPPASGAATPARAHASAAPPPPRAGPGREEPPRRQQIIHSGHFMVSSPHREHPPKKGYDFDTVNKQTCQTYSFGKTSSCHLSIDASLTKLFECMTLAYSGKLVSPKWKNFKGLKLQWRDKIRLNNAIWRAWYMQYLEKRKNPVCHFVTPLDGSVDVDEHRRPEAITTEGKYWKSRIEIVIREYHKWRTYFKKRLQQHKDEDLSSLVQDDDMLYWHKHGDGWKTPVPMEEDPLLDTDMLMSEFSDTLFSTLSSHQPVAWPNPREIAHLGNADMIQPGLIPLQPNLDFMDTFEPFQDLFSSSRSIFGSMLPASASAPVPDPNNPPAQESILPTTALPTVSLPDSLIAPPTAPSLAHMDEQGCEHTSRTEDPFIQPTDFGPSEPPLSVPQPFLPVFTMPLLSPSPAPPPISPVLPLVPPPATALNPPAPPTFHQPQKFAGVNKAPSVITHTASATLTHDAPATTFSQSQGLVITTHHPAPSAAPCGLALSPVTRPPQPRLTFVHPKPVSLTGGRPKQPHKIVPAPKPEPVSLVLKNARIAPAAFSGQPQAVIMTSGPLKREGMLASTVSQSNVVIAPAAIARAPGVPEFHSSILVTDLGHGTSSPPAPVSRLFPSTAQDPLGKGEQVPLHGGSPQVTVTGPSRDCPNSGQASPCASEQSPSPQSPQNNCSGKSDPKNVAALKNRQMKHISAEQKRRFNIKMCFDMLNSLISNNSKLTSHAITLQKTVEYITKLQQERGQMQEEARRLREEIEELNATIISCQQLLPATGVPVTRRQFDHMKDMFDEYVKTRTLQNWKFWIFSIIIKPLFESFKGMVSTSSLEELHRTALSWLDQHCSLPILRPMVLSTLRQLSTSTSILTDPAQLPEQASKAVTRIGKRLGES
+>DECOY_sp|Q9HAP2|MLXIP_HUMAN MLX-interacting protein OS=Homo sapiens OX=9606 GN=MLXIP PE=1 SV=2
+SEGLRKGIRTVAKSAQEPLQAPDTLISTSTSLQRLTSLVMPRLIPLSCHQDLWSLATRHLEELSSTSVMGKFSEFLPKIIISFIWFKWNQLTRTKVYEDFMDKMHDFQRRTVPVGTAPLLQQCSIITANLEEIEERLRRAEEQMQGREQQLKTIYEVTKQLTIAHSTLKSNNSILSNLMDFCMKINFRRKQEASIHKMQRNKLAAVNKPDSKGSCNNQPSQPSPSQESACPSAQGSNPCDRSPGTVTVQPSGGHLPVQEGKGLPDQATSPFLRSVPAPPSSTGHGLDTVLISSHFEPVGPARAIAAPAIVVNSQSVTSALMGERKLPGSTMIVAQPQGSFAAPAIRANKLVLSVPEPKPAPVIKHPQKPRGGTLSVPKPHVFTLRPQPPRTVPSLALGCPAASPAPHHTTIVLGQSQSFTTAPADHTLTASATHTIVSPAKNVGAFKQPQHFTPPAPPNLATAPPPVLPLVPSIPPPAPSPSLLPMTFVPLFPQPVSLPPESPGFDTPQIFPDETRSTHECGQEDMHALSPATPPAILSDPLSVTPLATTPLISEQAPPNNPDPVPASASAPLMSGFISRSSSFLDQFPEFTDMFDLNPQLPILGPQIMDANGLHAIERPNPWAVPQHSSLTSFLTDSFESMLMDTDLLPDEEMPVPTKWGDGHKHWYLMDDDQVLSSLDEDKHQQLRKKFYTRWKHYERIVIEIRSKWYKGETTIAEPRRHEDVDVSGDLPTVFHCVPNKRKELYQMYWARWIANNLRIKDRWQLKLGKFNKWKPSVLKGSYALTMCEFLKTLSADISLHCSSTKGFSYTQCTQKNVTDFDYGKKPPHERHPSSVMFHGSHIIQQRRPPEERGPGARPPPPAASAHARAPTAAGSAPPPSPEDTDSDDDDESVQPKLLVQRGRSRPRRPSCMFVDAAM
+>sp|Q9H8L6|MMRN2_HUMAN Multimerin-2 OS=Homo sapiens OX=9606 GN=MMRN2 PE=1 SV=2
+MILSLLFSLGGPLGWGLLGAWAQASSTSLSDLQSSRTPGVWKAEAEDTGKDPVGRNWCPYPMSKLVTLLALCKTEKFLIHSQQPCPQGAPDCQKVKVMYRMAHKPVYQVKQKVLTSLAWRCCPGYTGPNCEHHDSMAIPEPADPGDSHQEPQDGPVSFKPGHLAAVINEVEVQQEQQEHLLGDLQNDVHRVADSLPGLWKALPGNLTAAVMEANQTGHEFPDRSLEQVLLPHVDTFLQVHFSPIWRSFNQSLHSLTQAIRNLSLDVEANRQAISRVQDSAVARADFQELGAKFEAKVQENTQRVGQLRQDVEDRLHAQHFTLHRSISELQADVDTKLKRLHKAQEAPGTNGSLVLATPGAGARPEPDSLQARLGQLQRNLSELHMTTARREEELQYTLEDMRATLTRHVDEIKELYSESDETFDQISKVERQVEELQVNHTALRELRVILMEKSLIMEENKEEVERQLLELNLTLQHLQGGHADLIKYVKDCNCQKLYLDLDVIREGQRDATRALEETQVSLDERRQLDGSSLQALQNAVDAVSLAVDAHKAEGERARAATSRLRSQVQALDDEVGALKAAAAEARHEVRQLHSAFAALLEDALRHEAVLAALFGEEVLEEMSEQTPGPLPLSYEQIRVALQDAASGLQEQALGWDELAARVTALEQASEPPRPAEHLEPSHDAGREEAATTALAGLARELQSLSNDVKNVGRCCEAEAGAGAASLNASLHGLHNALFATQRSLEQHQRLFHSLFGNFQGLMEANVSLDLGKLQTMLSRKGKKQQKDLEAPRKRDKKEAEPLVDIRVTGPVPGALGAALWEAGSPVAFYASFSEGTAALQTVKFNTTYINIGSSYFPEHGYFRAPERGVYLFAVSVEFGPGPGTGQLVFGGHHRTPVCTTGQGSGSTATVFAMAELQKGERVWFELTQGSITKRSLSGTAFGGFLMFKT
+>DECOY_sp|Q9H8L6|MMRN2_HUMAN Multimerin-2 OS=Homo sapiens OX=9606 GN=MMRN2 PE=1 SV=2
+TKFMLFGGFATGSLSRKTISGQTLEFWVREGKQLEAMAFVTATSGSGQGTTCVPTRHHGGFVLQGTGPGPGFEVSVAFLYVGREPARFYGHEPFYSSGINIYTTNFKVTQLAATGESFSAYFAVPSGAEWLAAGLAGPVPGTVRIDVLPEAEKKDRKRPAELDKQQKKGKRSLMTQLKGLDLSVNAEMLGQFNGFLSHFLRQHQELSRQTAFLANHLGHLSANLSAAGAGAEAECCRGVNKVDNSLSQLERALGALATTAAEERGADHSPELHEAPRPPESAQELATVRAALEDWGLAQEQLGSAADQLAVRIQEYSLPLPGPTQESMEELVEEGFLAALVAEHRLADELLAAFASHLQRVEHRAEAAAAKLAGVEDDLAQVQSRLRSTAARAREGEAKHADVALSVADVANQLAQLSSGDLQRREDLSVQTEELARTADRQGERIVDLDLYLKQCNCDKVYKILDAHGGQLHQLTLNLELLQREVEEKNEEMILSKEMLIVRLERLATHNVQLEEVQREVKSIQDFTEDSESYLEKIEDVHRTLTARMDELTYQLEEERRATTMHLESLNRQLQGLRAQLSDPEPRAGAGPTALVLSGNTGPAEQAKHLRKLKTDVDAQLESISRHLTFHQAHLRDEVDQRLQGVRQTNEQVKAEFKAGLEQFDARAVASDQVRSIAQRNAEVDLSLNRIAQTLSHLSQNFSRWIPSFHVQLFTDVHPLLVQELSRDPFEHGTQNAEMVAATLNGPLAKWLGPLSDAVRHVDNQLDGLLHEQQEQQVEVENIVAALHGPKFSVPGDQPEQHSDGPDAPEPIAMSDHHECNPGTYGPCCRWALSTLVKQKVQYVPKHAMRYMVKVKQCDPAGQPCPQQSHILFKETKCLALLTVLKSMPYPCWNRGVPDKGTDEAEAKWVGPTRSSQLDSLSTSSAQAWAGLLGWGLPGGLSFLLSLIM
+>sp|Q9BWT6|MND1_HUMAN Meiotic nuclear division protein 1 homolog OS=Homo sapiens OX=9606 GN=MND1 PE=1 SV=1
+MSKKKGLSAEEKRTRMMEIFSETKDVFQLKDLEKIAPKEKGITAMSVKEVLQSLVDDGMVDCERIGTSNYYWAFPSKALHARKHKLEVLESQLSEGSQKHASLQKSIEKAKIGRCETEERTRLAKELSSLRDQREQLKAEVEKYKDCDPQVVEEIRQANKVAKEAANRWTDNIFAIKSWAKRKFGFEENKIDRTFGIPEDFDYID
+>DECOY_sp|Q9BWT6|MND1_HUMAN Meiotic nuclear division protein 1 homolog OS=Homo sapiens OX=9606 GN=MND1 PE=1 SV=1
+DIYDFDEPIGFTRDIKNEEFGFKRKAWSKIAFINDTWRNAAEKAVKNAQRIEEVVQPDCDKYKEVEAKLQERQDRLSSLEKALRTREETECRGIKAKEISKQLSAHKQSGESLQSELVELKHKRAHLAKSPFAWYYNSTGIRECDVMGDDVLSQLVEKVSMATIGKEKPAIKELDKLQFVDKTESFIEMMRTRKEEASLGKKKSM
+>sp|Q8NEH6|MNS1_HUMAN Meiosis-specific nuclear structural protein 1 OS=Homo sapiens OX=9606 GN=MNS1 PE=1 SV=2
+MGSKRRNLSCSERHQKLVDENYCKKLHVQALKNVNSQIRNQMVQNENDNRVQRKQFLRLLQNEQFELDMEEAIQKAEENKRLKELQLKQEEKLAMELAKLKHESLKDEKMRQQVRENSIELRELEKKLKAAYMNKERAAQIAEKDAIKYEQMKRDAEIAKTMMEEHKRIIKEENAAEDKRNKAKAQYYLDLEKQLEEQEKKKQEAYEQLLKEKLMIDEIVRKIYEEDQLEKQQKLEKMNAMRRYIEEFQKEQALWRKKKREEMEEENRKIIEFANMQQQREEDRMAKVQENEEKRLQLQNALTQKLEEMLRQREDLEQVRQELYQEEQAEIYKSKLKEEAEKKLRKQKEMKQDFEEQMALKELVLQAAKEEEENFRKTMLAKFAEDDRIELMNAQKQRMKQLEHRRAVEKLIEERRQQFLADKQRELEEWQLQQRRQGFINAIIEEERLKLLKEHATNLLGYLPKGVFKKEDDIDLLGEEFRKVYQQRSEICEEK
+>DECOY_sp|Q8NEH6|MNS1_HUMAN Meiosis-specific nuclear structural protein 1 OS=Homo sapiens OX=9606 GN=MNS1 PE=1 SV=2
+KEECIESRQQYVKRFEEGLLDIDDEKKFVGKPLYGLLNTAHEKLLKLREEEIIANIFGQRRQQLQWEELERQKDALFQQRREEILKEVARRHELQKMRQKQANMLEIRDDEAFKALMTKRFNEEEEKAAQLVLEKLAMQEEFDQKMEKQKRLKKEAEEKLKSKYIEAQEEQYLEQRVQELDERQRLMEELKQTLANQLQLRKEENEQVKAMRDEERQQQMNAFEIIKRNEEEMEERKKKRWLAQEKQFEEIYRRMANMKELKQQKELQDEEYIKRVIEDIMLKEKLLQEYAEQKKKEQEELQKELDLYYQAKAKNRKDEAANEEKIIRKHEEMMTKAIEADRKMQEYKIADKEAIQAAREKNMYAAKLKKELERLEISNERVQQRMKEDKLSEHKLKALEMALKEEQKLQLEKLRKNEEAKQIAEEMDLEFQENQLLRLFQKRQVRNDNENQVMQNRIQSNVNKLAQVHLKKCYNEDVLKQHRESCSLNRRKSGM
+>sp|Q9UBU8|MO4L1_HUMAN Mortality factor 4-like protein 1 OS=Homo sapiens OX=9606 GN=MORF4L1 PE=1 SV=2
+MAPKQDPKPKFQEGERVLCFHGPLLYEAKCVKVAIKDKQVKYFIHYSGWNKKSAVRPRRSEKSLKTHEDIVALFPVPEGAPSVHHPLLTSSWDEWVPESRVLKYVDTNLQKQRELQKANQEQYAEGKMRGAAPGKKTSGLQQKNVEVKTKKNKQKTPGNGDGGSTSETPQPPRKKRARVDPTVENEETFMNRVEVKVKIPEELKPWLVDDWDLITRQKQLFYLPAKKNVDSILEDYANYKKSRGNTDNKEYAVNEVVAGIKEYFNVMLGTQLLYKFERPQYAEILADHPDAPMSQVYGAPHLLRLFVRIGAMLAYTPLDEKSLALLLNYLHDFLKYLAKNSATLFSASDYEVAPPEYHRKAV
+>DECOY_sp|Q9UBU8|MO4L1_HUMAN Mortality factor 4-like protein 1 OS=Homo sapiens OX=9606 GN=MORF4L1 PE=1 SV=2
+VAKRHYEPPAVEYDSASFLTASNKALYKLFDHLYNLLLALSKEDLPTYALMAGIRVFLRLLHPAGYVQSMPADPHDALIEAYQPREFKYLLQTGLMVNFYEKIGAVVENVAYEKNDTNGRSKKYNAYDELISDVNKKAPLYFLQKQRTILDWDDVLWPKLEEPIKVKVEVRNMFTEENEVTPDVRARKKRPPQPTESTSGGDGNGPTKQKNKKTKVEVNKQQLGSTKKGPAAGRMKGEAYQEQNAKQLERQKQLNTDVYKLVRSEPVWEDWSSTLLPHHVSPAGEPVPFLAVIDEHTKLSKESRRPRVASKKNWGSYHIFYKVQKDKIAVKVCKAEYLLPGHFCLVREGEQFKPKPDQKPAM
+>sp|O96033|MOC2A_HUMAN Molybdopterin synthase sulfur carrier subunit OS=Homo sapiens OX=9606 GN=MOCS2 PE=1 SV=1
+MVPLCQVEVLYFAKSAEITGVRSETISVPQEIKALQLWKEIETRHPGLADVRNQIIFAVRQEYVELGDQLLVLQPGDEIAVIPPISGG
+>DECOY_sp|O96033|MOC2A_HUMAN Molybdopterin synthase sulfur carrier subunit OS=Homo sapiens OX=9606 GN=MOCS2 PE=1 SV=1
+GGSIPPIVAIEDGPQLVLLQDGLEVYEQRVAFIIQNRVDALGPHRTEIEKWLQLAKIEQPVSITESRVGTIEASKAFYLVEVQCLPVM
+>sp|Q9H3H1|MOD5_HUMAN tRNA dimethylallyltransferase OS=Homo sapiens OX=9606 GN=TRIT1 PE=1 SV=1
+MASVAAARAVPVGSGLRGLQRTLPLVVILGATGTGKSTLALQLGQRLGGEIVSADSMQVYEGLDIITNKVSAQEQRICRHHMISFVDPLVTNYTVVDFRNRATALIEDIFARDKIPIVVGGTNYYIESLLWKVLVNTKPQEMGTEKVIDRKVELEKEDGLVLHKRLSQVDPEMAAKLHPHDKRKVARSLQVFEETGISHSEFLHRQHTEEGGGPLGGPLKFSNPCILWLHADQAVLDERLDKRVDDMLAAGLLEELRDFHRRYNQKNVSENSQDYQHGIFQSIGFKEFHEYLITEGKCTLETSNQLLKKGIEALKQVTKRYARKQNRWVKNRFLSRPGPIVPPVYGLEVSDVSKWEESVLEPALEIVQSFIQGHKPTATPIKMPYNEAENKRSYHLCDLCDRIIIGDREWAAHIKSKSHLNQLKKRRRLDSDAVNTIESQSVSPDHNKEPKEKGSPGQNDQELKCSV
+>DECOY_sp|Q9H3H1|MOD5_HUMAN tRNA dimethylallyltransferase OS=Homo sapiens OX=9606 GN=TRIT1 PE=1 SV=1
+VSCKLEQDNQGPSGKEKPEKNHDPSVSQSEITNVADSDLRRRKKLQNLHSKSKIHAAWERDGIIIRDCLDCLHYSRKNEAENYPMKIPTATPKHGQIFSQVIELAPELVSEEWKSVDSVELGYVPPVIPGPRSLFRNKVWRNQKRAYRKTVQKLAEIGKKLLQNSTELTCKGETILYEHFEKFGISQFIGHQYDQSNESVNKQNYRRHFDRLEELLGAALMDDVRKDLREDLVAQDAHLWLICPNSFKLPGGLPGGGEETHQRHLFESHSIGTEEFVQLSRAVKRKDHPHLKAAMEPDVQSLRKHLVLGDEKELEVKRDIVKETGMEQPKTNVLVKWLLSEIYYNTGGVVIPIKDRAFIDEILATARNRFDVVTYNTVLPDVFSIMHHRCIRQEQASVKNTIIDLGEYVQMSDASVIEGGLRQGLQLALTSKGTGTAGLIVVLPLTRQLGRLGSGVPVARAAAVSAM
+>sp|Q16653|MOG_HUMAN Myelin-oligodendrocyte glycoprotein OS=Homo sapiens OX=9606 GN=MOG PE=1 SV=2
+MASLSRPSLPSCLCSFLLLLLLQVSSSYAGQFRVIGPRHPIRALVGDEVELPCRISPGKNATGMEVGWYRPPFSRVVHLYRNGKDQDGDQAPEYRGRTELLKDAIGEGKVTLRIRNVRFSDEGGFTCFFRDHSYQEEAAMELKVEDPFYWVSPGVLVLLAVLPVLLLQITVGLIFLCLQYRLRGKLRAEIENLHRTFDPHFLRVPCWKITLFVIVPVLGPLVALIICYNWLHRRLAGQFLEELRNPF
+>DECOY_sp|Q16653|MOG_HUMAN Myelin-oligodendrocyte glycoprotein OS=Homo sapiens OX=9606 GN=MOG PE=1 SV=2
+FPNRLEELFQGALRRHLWNYCIILAVLPGLVPVIVFLTIKWCPVRLFHPDFTRHLNEIEARLKGRLRYQLCLFILGVTIQLLLVPLVALLVLVGPSVWYFPDEVKLEMAAEEQYSHDRFFCTFGGEDSFRVNRIRLTVKGEGIADKLLETRGRYEPAQDGDQDKGNRYLHVVRSFPPRYWGVEMGTANKGPSIRCPLEVEDGVLARIPHRPGIVRFQGAYSSSVQLLLLLLFSCLCSPLSPRSLSAM
+>sp|Q2KHM9|MOONR_HUMAN Protein moonraker OS=Homo sapiens OX=9606 GN=KIAA0753 PE=1 SV=3
+MGPGQPASTCVHLAPRTQLDGRSDPKVLQTQNQLQFNRNVPTHSSNLAIRYSCPHAIRIEKLKHSYNESYHCKDADCRVGPDLGSSVSFSVISQERLSYAVHLARRDVKRRQFEKHIKEHHLRSQPQSSQKCGHTKYKIPDHRVERKESKSQAACQCSHQPSKVEISSSGAKVYLYSSHPGQSDLTVPNSPPTHDPGLQPHPRIGDHKNISEQKSLLEVQRLQKELSSCIHKIEEVTKKDRLEEALDPDEERRIRIRRQEQAARSARMLYVLQQQVKEIQEELDKLSPHKIKHTKKSWAMSKLAAAHRGAIRALQMFVTQFTDRGEHPLPARCKELGSLIRQLSLCSVKLDADPSVPDVVIDILQQIEALESLLEKKLSPKKVKKCFSEIRSRFPIGSQKALERWPSTSPKGERRPLTAKDTFPQETSRPSVAKQLLADKYQPDTELPETQRLQSELDVLDADIVLEEGPFILDQSASFKDEVLAVAKTKAGKKKPVTENVPFRKKDTLAPARQQGLRKAERGRQSQPHSKSRVQQTTVSSRLKMNRQPVKDRKAPWIPPNPTSPPASPKCAAWLKVKTSPRDATKEPLQQEDPQEESHLTGAVEHEAARLAWLDAETSKRLKELEELKAKEIDSMQKQRLDWLDAETSRRTKELNELKAEEMYRLQQLSVSATHLADKVEEAVLDRLKPLLVKAQRVNSTTEANIHLKDGSSVNTAKAQPAQEVAAVDFESNNIRQLDDFLEDCASELWAVTHAKILGSETLATVEDSKDSPDLEIMMRRMEEMEKYQESVRQRYNKIAYADPRLWMQEENNDQKISAISEKPLSPHPIRITKTVDRKDPAVNIMLERPCNGNSLDESVGTEEGSEKREAPLLSLAEDSQQKEGRAPLFVPPGMQHSIGDYCSRFEQYLRIISHEAVGSFNPWLIAESFSEELVDEALGAVAAELQDMCEDYAEAVFTSEFLEAAT
+>DECOY_sp|Q2KHM9|MOONR_HUMAN Protein moonraker OS=Homo sapiens OX=9606 GN=KIAA0753 PE=1 SV=3
+TAAELFESTFVAEAYDECMDQLEAAVAGLAEDVLEESFSEAILWPNFSGVAEHSIIRLYQEFRSCYDGISHQMGPPVFLPARGEKQQSDEALSLLPAERKESGEETGVSEDLSNGNCPRELMINVAPDKRDVTKTIRIPHPSLPKESIASIKQDNNEEQMWLRPDAYAIKNYRQRVSEQYKEMEEMRRMMIELDPSDKSDEVTALTESGLIKAHTVAWLESACDELFDDLQRINNSEFDVAAVEQAPQAKATNVSSGDKLHINAETTSNVRQAKVLLPKLRDLVAEEVKDALHTASVSLQQLRYMEEAKLENLEKTRRSTEADLWDLRQKQMSDIEKAKLEELEKLRKSTEADLWALRAAEHEVAGTLHSEEQPDEQQLPEKTADRPSTKVKLWAACKPSAPPSTPNPPIWPAKRDKVPQRNMKLRSSVTTQQVRSKSHPQSQRGREAKRLGQQRAPALTDKKRFPVNETVPKKKGAKTKAVALVEDKFSASQDLIFPGEELVIDADLVDLESQLRQTEPLETDPQYKDALLQKAVSPRSTEQPFTDKATLPRREGKPSTSPWRELAKQSGIPFRSRIESFCKKVKKPSLKKELLSELAEIQQLIDIVVDPVSPDADLKVSCLSLQRILSGLEKCRAPLPHEGRDTFQTVFMQLARIAGRHAAALKSMAWSKKTHKIKHPSLKDLEEQIEKVQQQLVYLMRASRAAQEQRRIRIRREEDPDLAEELRDKKTVEEIKHICSSLEKQLRQVELLSKQESINKHDGIRPHPQLGPDHTPPSNPVTLDSQGPHSSYLYVKAGSSSIEVKSPQHSCQCAAQSKSEKREVRHDPIKYKTHGCKQSSQPQSRLHHEKIHKEFQRRKVDRRALHVAYSLREQSIVSFSVSSGLDPGVRCDADKCHYSENYSHKLKEIRIAHPCSYRIALNSSHTPVNRNFQLQNQTQLVKPDSRGDLQTRPALHVCTSAPQGPGM
+>sp|Q502X0|MORN2_HUMAN MORN repeat-containing protein 2 OS=Homo sapiens OX=9606 GN=MORN2 PE=1 SV=2
+MNGFGRLEHFSGAVYEGQFKDNMFHGLGTYTFPNGAKYTGNFNENRVEGEGEYTDIQGLEWSGNFHFTAAPDLKLKLHM
+>DECOY_sp|Q502X0|MORN2_HUMAN MORN repeat-containing protein 2 OS=Homo sapiens OX=9606 GN=MORN2 PE=1 SV=2
+MHLKLKLDPAATFHFNGSWELGQIDTYEGEGEVRNENFNGTYKAGNPFTYTGLGHFMNDKFQGEYVAGSFHELRGFGNM
+>sp|Q8NCK7|MOT11_HUMAN Monocarboxylate transporter 11 OS=Homo sapiens OX=9606 GN=SLC16A11 PE=1 SV=1
+MPAPQRKHRRGGFSHRCFPTPQTAMTPQPAGPPDGGWGWVVAAAAFAINGLSYGLLRSLGLAFPDLAEHFDRSAQDTAWISALALAVQQAASPVGSALSTRWGARPVVMVGGVLASLGFVFSAFASDLLHLYLGLGLLAGFGWALVFAPALGTLSRYFSRRRVLAVGLALTGNGASSLLLAPALQLLLDTFGWRGALLLLGAITLHLTPCGALLLPLVLPGDPPAPPRSPLAALGLSLFTRRAFSIFALGTALVGGGYFVPYVHLAPHALDRGLGGYGAALVVAVAAMGDAGARLVCGWLADQGWVPLPRLLAVFGALTGLGLWVVGLVPVVGGEESWGGPLLAAAVAYGLSAGSYAPLVFGVLPGLVGVGGVVQATGLVMMLMSLGGLLGPPLSGFLRDETGDFTASFLLSGSLILSGSFIYIGLPRALPSCGPASPPATPPPETGELLPAPQAVLLSPGGPGSTLDTTC
+>DECOY_sp|Q8NCK7|MOT11_HUMAN Monocarboxylate transporter 11 OS=Homo sapiens OX=9606 GN=SLC16A11 PE=1 SV=1
+CTTDLTSGPGGPSLLVAQPAPLLEGTEPPPTAPPSAPGCSPLARPLGIYIFSGSLILSGSLLFSATFDGTEDRLFGSLPPGLLGGLSMLMMVLGTAQVVGGVGVLGPLVGFVLPAYSGASLGYAVAAALLPGGWSEEGGVVPVLGVVWLGLGTLAGFVALLRPLPVWGQDALWGCVLRAGADGMAAVAVVLAAGYGGLGRDLAHPALHVYPVFYGGGVLATGLAFISFARRTFLSLGLAALPSRPPAPPDGPLVLPLLLAGCPTLHLTIAGLLLLAGRWGFTDLLLQLAPALLLSSAGNGTLALGVALVRRRSFYRSLTGLAPAFVLAWGFGALLGLGLYLHLLDSAFASFVFGLSALVGGVMVVPRAGWRTSLASGVPSAAQQVALALASIWATDQASRDFHEALDPFALGLSRLLGYSLGNIAFAAAAVVWGWGGDPPGAPQPTMATQPTPFCRHSFGGRRHKRQPAPM
+>sp|Q7RTX9|MOT14_HUMAN Monocarboxylate transporter 14 OS=Homo sapiens OX=9606 GN=SLC16A14 PE=2 SV=1
+MYTSHEDIGYDFEDGPKDKKTLKPHPNIDGGWAWMMVLSSFFVHILIMGSQMALGVLNVEWLEEFHQSRGLTAWVSSLSMGITLIVGPFIGLFINTCGCRQTAIIGGLVNSLGWVLSAYAANVHYLFITFGVAAGLGSGMAYLPAVVMVGRYFQKRRALAQGLSTTGTGFGTFLMTVLLKYLCAEYGWRNAMLIQGAVSLNLCVCGALMRPLSPGKNPNDPGEKDVRGLPAHSTESVKSTGQQGRTEEKDGGLGNEETLCDLQAQECPDQAGHRKNMCALRILKTVSWLTMRVRKGFEDWYSGYFGTASLFTNRMFVAFIFWALFAYSSFVIPFIHLPEIVNLYNLSEQNDVFPLTSIIAIVHIFGKVILGVIADLPCISVWNVFLLANFTLVLSIFILPLMHTYAGLAVICALIGFSSGYFSLMPVVTEDLVGIEHLANAYGIIICANGISALLGPPFAGWIYDITQKYDFSFYICGLLYMIGILFLLIQPCIRIIEQSRRKYMDGAHV
+>DECOY_sp|Q7RTX9|MOT14_HUMAN Monocarboxylate transporter 14 OS=Homo sapiens OX=9606 GN=SLC16A14 PE=2 SV=1
+VHAGDMYKRRSQEIIRICPQILLFLIGIMYLLGCIYFSFDYKQTIDYIWGAFPPGLLASIGNACIIIGYANALHEIGVLDETVVPMLSFYGSSFGILACIVALGAYTHMLPLIFISLVLTFNALLFVNWVSICPLDAIVGLIVKGFIHVIAIISTLPFVDNQESLNYLNVIEPLHIFPIVFSSYAFLAWFIFAVFMRNTFLSATGFYGSYWDEFGKRVRMTLWSVTKLIRLACMNKRHGAQDPCEQAQLDCLTEENGLGGDKEETRGQQGTSKVSETSHAPLGRVDKEGPDNPNKGPSLPRMLAGCVCLNLSVAGQILMANRWGYEACLYKLLVTMLFTGFGTGTTSLGQALARRKQFYRGVMVVAPLYAMGSGLGAAVGFTIFLYHVNAAYASLVWGLSNVLGGIIATQRCGCTNIFLGIFPGVILTIGMSLSSVWATLGRSQHFEELWEVNLVGLAMQSGMILIHVFFSSLVMMWAWGGDINPHPKLTKKDKPGDEFDYGIDEHSTYM
+>sp|O15374|MOT5_HUMAN Monocarboxylate transporter 5 OS=Homo sapiens OX=9606 GN=SLC16A4 PE=2 SV=1
+MLKREGKVQPYTKTLDGGWGWMIVIHFFLVNVFVMGMTKTFAIFFVVFQEEFEGTSEQIGWIGSIMSSLRFCAGPLVAIICDILGEKTTSILGAFVVTGGYLISSWATSIPFLCVTMGLLPGLGSAFLYQVAAVVTTKYFKKRLALSTAIARSGMGLTFLLAPFTKFLIDLYDWTGALILFGAIALNLVPSSMLLRPIHIKSENNSGIKDKGSSLSAHGPEAHATETHCHETEESTIKDSTTQKAGLPSKNLTVSQNQSEEFYNGPNRNRLLLKSDEESDKVISWSCKQLFDISLFRNPFFYIFTWSFLLSQLAYFIPTFHLVARAKTLGIDIMDASYLVSVAGILETVSQIISGWVADQNWIKKYHYHKSYLILCGITNLLAPLATTFPLLMTYTICFAIFAGGYLALILPVLVDLCRNSTVNRFLGLASFFAGMAVLSGPPIAGWLYDYTQTYNGSFYFSGICYLLSSVSFFFVPLAERWKNSLT
+>DECOY_sp|O15374|MOT5_HUMAN Monocarboxylate transporter 5 OS=Homo sapiens OX=9606 GN=SLC16A4 PE=2 SV=1
+TLSNKWREALPVFFFSVSSLLYCIGSFYFSGNYTQTYDYLWGAIPPGSLVAMGAFFSALGLFRNVTSNRCLDVLVPLILALYGGAFIAFCITYTMLLPFTTALPALLNTIGCLILYSKHYHYKKIWNQDAVWGSIIQSVTELIGAVSVLYSADMIDIGLTKARAVLHFTPIFYALQSLLFSWTFIYFFPNRFLSIDFLQKCSWSIVKDSEEDSKLLLRNRNPGNYFEESQNQSVTLNKSPLGAKQTTSDKITSEETEHCHTETAHAEPGHASLSSGKDKIGSNNESKIHIPRLLMSSPVLNLAIAGFLILAGTWDYLDILFKTFPALLFTLGMGSRAIATSLALRKKFYKTTVVAAVQYLFASGLGPLLGMTVCLFPISTAWSSILYGGTVVFAGLISTTKEGLIDCIIAVLPGACFRLSSMISGIWGIQESTGEFEEQFVVFFIAFTKTMGMVFVNVLFFHIVIMWGWGGDLTKTYPQVKGERKLM
+>sp|P52564|MP2K6_HUMAN Dual specificity mitogen-activated protein kinase kinase 6 OS=Homo sapiens OX=9606 GN=MAP2K6 PE=1 SV=1
+MSQSKGKKRNPGLKIPKEAFEQPQTSSTPPRDLDSKACISIGNQNFEVKADDLEPIMELGRGAYGVVEKMRHVPSGQIMAVKRIRATVNSQEQKRLLMDLDISMRTVDCPFTVTFYGALFREGDVWICMELMDTSLDKFYKQVIDKGQTIPEDILGKIAVSIVKALEHLHSKLSVIHRDVKPSNVLINALGQVKMCDFGISGYLVDSVAKTIDAGCKPYMAPERINPELNQKGYSVKSDIWSLGITMIELAILRFPYDSWGTPFQQLKQVVEEPSPQLPADKFSAEFVDFTSQCLKKNSKERPTYPELMQHPFFTLHESKGTDVASFVKLILGD
+>DECOY_sp|P52564|MP2K6_HUMAN Dual specificity mitogen-activated protein kinase kinase 6 OS=Homo sapiens OX=9606 GN=MAP2K6 PE=1 SV=1
+DGLILKVFSAVDTGKSEHLTFFPHQMLEPYTPREKSNKKLCQSTFDVFEASFKDAPLQPSPEEVVQKLQQFPTGWSDYPFRLIALEIMTIGLSWIDSKVSYGKQNLEPNIREPAMYPKCGADITKAVSDVLYGSIGFDCMKVQGLANILVNSPKVDRHIVSLKSHLHELAKVISVAIKGLIDEPITQGKDIVQKYFKDLSTDMLEMCIWVDGERFLAGYFTVTFPCDVTRMSIDLDMLLRKQEQSNVTARIRKVAMIQGSPVHRMKEVVGYAGRGLEMIPELDDAKVEFNQNGISICAKSDLDRPPTSSTQPQEFAEKPIKLGPNRKKGKSQSM
+>sp|O14733|MP2K7_HUMAN Dual specificity mitogen-activated protein kinase kinase 7 OS=Homo sapiens OX=9606 GN=MAP2K7 PE=1 SV=2
+MAASSLEQKLSRLEAKLKQENREARRRIDLNLDISPQRPRPTLQLPLANDGGSRSPSSESSPQHPTPPARPRHMLGLPSTLFTPRSMESIEIDQKLQEIMKQTGYLTIGGQRYQAEINDLENLGEMGSGTCGQVWKMRFRKTGHVIAVKQMRRSGNKEENKRILMDLDVVLKSHDCPYIVQCFGTFITNTDVFIAMELMGTCAEKLKKRMQGPIPERILGKMTVAIVKALYYLKEKHGVIHRDVKPSNILLDERGQIKLCDFGISGRLVDSKAKTRSAGCAAYMAPERIDPPDPTKPDYDIRADVWSLGISLVELATGQFPYKNCKTDFEVLTKVLQEEPPLLPGHMGFSGDFQSFVKDCLTKDHRKRPKYNKLLEHSFIKRYETLEVDVASWFKDVMAKTESPRTSGVLSQPHLPFFR
+>DECOY_sp|O14733|MP2K7_HUMAN Dual specificity mitogen-activated protein kinase kinase 7 OS=Homo sapiens OX=9606 GN=MAP2K7 PE=1 SV=2
+RFFPLHPQSLVGSTRPSETKAMVDKFWSAVDVELTEYRKIFSHELLKNYKPRKRHDKTLCDKVFSQFDGSFGMHGPLLPPEEQLVKTLVEFDTKCNKYPFQGTALEVLSIGLSWVDARIDYDPKTPDPPDIREPAMYAACGASRTKAKSDVLRGSIGFDCLKIQGREDLLINSPKVDRHIVGHKEKLYYLAKVIAVTMKGLIREPIPGQMRKKLKEACTGMLEMAIFVDTNTIFTGFCQVIYPCDHSKLVVDLDMLIRKNEEKNGSRRMQKVAIVHGTKRFRMKWVQGCTGSGMEGLNELDNIEAQYRQGGITLYGTQKMIEQLKQDIEISEMSRPTFLTSPLGLMHRPRAPPTPHQPSSESSPSRSGGDNALPLQLTPRPRQPSIDLNLDIRRRAERNEQKLKAELRSLKQELSSAAM
+>sp|O75970|MPDZ_HUMAN Multiple PDZ domain protein OS=Homo sapiens OX=9606 GN=MPDZ PE=1 SV=2
+MLEAIDKNRALHAAERLQTKLRERGDVANEDKLSLLKSVLQSPLFSQILSLQTSVQQLKDQVNIATSATSNIEYAHVPHLSPAVIPTLQNESFLLSPNNGNLEALTGPGIPHINGKPACDEFDQLIKNMAQGRHVEVFELLKPPSGGLGFSVVGLRSENRGELGIFVQEIQEGSVAHRDGRLKETDQILAINGQALDQTITHQQAISILQKAKDTVQLVIARGSLPQLVSPIVSRSPSAASTISAHSNPVHWQHMETIELVNDGSGLGFGIIGGKATGVIVKTILPGGVADQHGRLCSGDHILKIGDTDLAGMSSEQVAQVLRQCGNRVKLMIARGAIEERTAPTALGITLSSSPTSTPELRVDASTQKGEESETFDVELTKNVQGLGITIAGYIGDKKLEPSGIFVKSITKSSAVEHDGRIQIGDQIIAVDGTNLQGFTNQQAVEVLRHTGQTVLLTLMRRGMKQEAELMSREDVTKDADLSPVNASIIKENYEKDEDFLSSTRNTNILPTEEEGYPLLSAEIEEIEDAQKQEAALLTKWQRIMGINYEIVVAHVSKFSENSGLGISLEATVGHHFIRSVLPEGPVGHSGKLFSGDELLEVNGITLLGENHQDVVNILKELPIEVTMVCCRRTVPPTTQSELDSLDLCDIELTEKPHVDLGEFIGSSETEDPVLAMTDAGQSTEEVQAPLAMWEAGIQHIELEKGSKGLGFSILDYQDPIDPASTVIIIRSLVPGGIAEKDGRLLPGDRLMFVNDVNLENSSLEEAVEALKGAPSGTVRIGVAKPLPLSPEEGYVSAKEDSFLYPPHSCEEAGLADKPLFRADLALVGTNDADLVDESTFESPYSPENDSIYSTQASILSLHGSSCGDGLNYGSSLPSSPPKDVIENSCDPVLDLHMSLEELYTQNLLQRQDENTPSVDISMGPASGFTINDYTPANAIEQQYECENTIVWTESHLPSEVISSAELPSVLPDSAGKGSEYLLEQSSLACNAECVMLQNVSKESFERTINIAKGNSSLGMTVSANKDGLGMIVRSIIHGGAISRDGRIAIGDCILSINEESTISVTNAQARAMLRRHSLIGPDIKITYVPAEHLEEFKISLGQQSGRVMALDIFSSYTGRDIPELPEREEGEGEESELQNTAYSNWNQPRRVELWREPSKSLGISIVGGRGMGSRLSNGEVMRGIFIKHVLEDSPAGKNGTLKPGDRIVEVDGMDLRDASHEQAVEAIRKAGNPVVFMVQSIINRPRKSPLPSLLHNLYPKYNFSSTNPFADSLQINADKAPSQSESEPEKAPLCSVPPPPPSAFAEMGSDHTQSSASKISQDVDKEDEFGYSWKNIRERYGTLTGELHMIELEKGHSGLGLSLAGNKDRSRMSVFIVGIDPNGAAGKDGRLQIADELLEINGQILYGRSHQNASSIIKCAPSKVKIIFIRNKDAVNQMAVCPGNAVEPLPSNSENLQNKETEPTVTTSDAAVDLSSFKNVQHLELPKDQGGLGIAISEEDTLSGVIIKSLTEHGVAATDGRLKVGDQILAVDDEIVVGYPIEKFISLLKTAKMTVKLTIHAENPDSQAVPSAAGAASGEKKNSSQSLMVPQSGSPEPESIRNTSRSSTPAIFASDPATCPIIPGCETTIEISKGRTGLGLSIVGGSDTLLGAIIIHEVYEEGAACKDGRLWAGDQILEVNGIDLRKATHDEAINVLRQTPQRVRLTLYRDEAPYKEEEVCDTLTIELQKKPGKGLGLSIVGKRNDTGVFVSDIVKGGIADADGRLMQGDQILMVNGEDVRNATQEAVAALLKCSLGTVTLEVGRIKAGPFHSERRPSQSSQVSEGSLSSFTFPLSGSSTSESLESSSKKNALASEIQGLRTVEMKKGPTDSLGISIAGGVGSPLGDVPIFIAMMHPTGVAAQTQKLRVGDRIVTICGTSTEGMTHTQAVNLLKNASGSIEMQVVAGGDVSVVTGHQQEPASSSLSFTGLTSSSIFQDDLGPPQCKSITLERGPDGLGFSIVGGYGSPHGDLPIYVKTVFAKGAASEDGRLKRGDQIIAVNGQSLEGVTHEEAVAILKRTKGTVTLMVLS
+>DECOY_sp|O75970|MPDZ_HUMAN Multiple PDZ domain protein OS=Homo sapiens OX=9606 GN=MPDZ PE=1 SV=2
+SLVMLTVTGKTRKLIAVAEEHTVGELSQGNVAIIQDGRKLRGDESAAGKAFVTKVYIPLDGHPSGYGGVISFGLGDPGRELTISKCQPPGLDDQFISSSTLGTFSLSSSAPEQQHGTVVSVDGGAVVQMEISGSANKLLNVAQTHTMGETSTGCITVIRDGVRLKQTQAAVGTPHMMAIFIPVDGLPSGVGGAISIGLSDTPGKKMEVTRLGQIESALANKKSSSELSESTSSGSLPFTFSSLSGESVQSSQSPRRESHFPGAKIRGVELTVTGLSCKLLAAVAEQTANRVDEGNVMLIQDGQMLRGDADAIGGKVIDSVFVGTDNRKGVISLGLGKGPKKQLEITLTDCVEEEKYPAEDRYLTLRVRQPTQRLVNIAEDHTAKRLDIGNVELIQDGAWLRGDKCAAGEEYVEHIIIAGLLTDSGGVISLGLGTRGKSIEITTECGPIIPCTAPDSAFIAPTSSRSTNRISEPEPSGSQPVMLSQSSNKKEGSAAGAASPVAQSDPNEAHITLKVTMKATKLLSIFKEIPYGVVIEDDVALIQDGVKLRGDTAAVGHETLSKIIVGSLTDEESIAIGLGGQDKPLELHQVNKFSSLDVAADSTTVTPETEKNQLNESNSPLPEVANGPCVAMQNVADKNRIFIIKVKSPACKIISSANQHSRGYLIQGNIELLEDAIQLRGDKGAAGNPDIGVIFVSMRSRDKNGALSLGLGSHGKELEIMHLEGTLTGYRERINKWSYGFEDEKDVDQSIKSASSQTHDSGMEAFASPPPPPVSCLPAKEPESESQSPAKDANIQLSDAFPNTSSFNYKPYLNHLLSPLPSKRPRNIISQVMFVVPNGAKRIAEVAQEHSADRLDMGDVEVIRDGPKLTGNKGAPSDELVHKIFIGRMVEGNSLRSGMGRGGVISIGLSKSPERWLEVRRPQNWNSYATNQLESEEGEGEEREPLEPIDRGTYSSFIDLAMVRGSQQGLSIKFEELHEAPVYTIKIDPGILSHRRLMARAQANTVSITSEENISLICDGIAIRGDRSIAGGHIISRVIMGLGDKNASVTMGLSSNGKAINITREFSEKSVNQLMVCEANCALSSQELLYESGKGASDPLVSPLEASSIVESPLHSETWVITNECEYQQEIANAPTYDNITFGSAPGMSIDVSPTNEDQRQLLNQTYLEELSMHLDLVPDCSNEIVDKPPSSPLSSGYNLGDGCSSGHLSLISAQTSYISDNEPSYPSEFTSEDVLDADNTGVLALDARFLPKDALGAEECSHPPYLFSDEKASVYGEEPSLPLPKAVGIRVTGSPAGKLAEVAEELSSNELNVDNVFMLRDGPLLRGDKEAIGGPVLSRIIIVTSAPDIPDQYDLISFGLGKSGKELEIHQIGAEWMALPAQVEETSQGADTMALVPDETESSGIFEGLDVHPKETLEIDCLDLSDLESQTTPPVTRRCCVMTVEIPLEKLINVVDQHNEGLLTIGNVELLEDGSFLKGSHGVPGEPLVSRIFHHGVTAELSIGLGSNESFKSVHAVVIEYNIGMIRQWKTLLAAEQKQADEIEEIEASLLPYGEEETPLINTNRTSSLFDEDKEYNEKIISANVPSLDADKTVDERSMLEAEQKMGRRMLTLLVTQGTHRLVEVAQQNTFGQLNTGDVAIIQDGIQIRGDHEVASSKTISKVFIGSPELKKDGIYGAITIGLGQVNKTLEVDFTESEEGKQTSADVRLEPTSTPSSSLTIGLATPATREEIAGRAIMLKVRNGCQRLVQAVQESSMGALDTDGIKLIHDGSCLRGHQDAVGGPLITKVIVGTAKGGIIGFGLGSGDNVLEITEMHQWHVPNSHASITSAASPSRSVIPSVLQPLSGRAIVLQVTDKAKQLISIAQQHTITQDLAQGNIALIQDTEKLRGDRHAVSGEQIEQVFIGLEGRNESRLGVVSFGLGGSPPKLLEFVEVHRGQAMNKILQDFEDCAPKGNIHPIGPGTLAELNGNNPSLLFSENQLTPIVAPSLHPVHAYEINSTASTAINVQDKLQQVSTQLSLIQSFLPSQLVSKLLSLKDENAVDGRERLKTQLREAAHLARNKDIAELM
+>sp|P30307|MPIP3_HUMAN M-phase inducer phosphatase 3 OS=Homo sapiens OX=9606 GN=CDC25C PE=1 SV=2
+MSTELFSSTREEGSSGSGPSFRSNQRKMLNLLLERDTSFTVCPDVPRTPVGKFLGDSANLSILSGGTPKRCLDLSNLSSGEITATQLTTSADLDETGHLDSSGLQEVHLAGMNHDQHLMKCSPAQLLCSTPNGLDRGHRKRDAMCSSSANKENDNGNLVDSEMKYLGSPITTVPKLDKNPNLGEDQAEEISDELMEFSLKDQEAKVSRSGLYRSPSMPENLNRPRLKQVEKFKDNTIPDKVKKKYFSGQGKLRKGLCLKKTVSLCDITITQMLEEDSNQGHLIGDFSKVCALPTVSGKHQDLKYVNPETVAALLSGKFQGLIEKFYVIDCRYPYEYLGGHIQGALNLYSQEELFNFFLKKPIVPLDTQKRIIIVFHCEFSSERGPRMCRCLREEDRSLNQYPALYYPELYILKGGYRDFFPEYMELCEPQSYCPMHHQDHKTELLRCRSQSKVQEGERQLREQIALLVKDMSP
+>DECOY_sp|P30307|MPIP3_HUMAN M-phase inducer phosphatase 3 OS=Homo sapiens OX=9606 GN=CDC25C PE=1 SV=2
+PSMDKVLLAIQERLQREGEQVKSQSRCRLLETKHDQHHMPCYSQPECLEMYEPFFDRYGGKLIYLEPYYLAPYQNLSRDEERLCRCMRPGRESSFECHFVIIIRKQTDLPVIPKKLFFNFLEEQSYLNLAGQIHGGLYEYPYRCDIVYFKEILGQFKGSLLAAVTEPNVYKLDQHKGSVTPLACVKSFDGILHGQNSDEELMQTITIDCLSVTKKLCLGKRLKGQGSFYKKKVKDPITNDKFKEVQKLRPRNLNEPMSPSRYLGSRSVKAEQDKLSFEMLEDSIEEAQDEGLNPNKDLKPVTTIPSGLYKMESDVLNGNDNEKNASSSCMADRKRHGRDLGNPTSCLLQAPSCKMLHQDHNMGALHVEQLGSSDLHGTEDLDASTTLQTATIEGSSLNSLDLCRKPTGGSLISLNASDGLFKGVPTRPVDPCVTFSTDRELLLNLMKRQNSRFSPGSGSSGEERTSSFLETSM
+>sp|P34949|MPI_HUMAN Mannose-6-phosphate isomerase OS=Homo sapiens OX=9606 GN=MPI PE=1 SV=2
+MAAPRVFPLSCAVQQYAWGKMGSNSEVARLLASSDPLAQIAEDKPYAELWMGTHPRGDAKILDNRISQKTLSQWIAENQDSLGSKVKDTFNGNLPFLFKVLSVETPLSIQAHPNKELAEKLHLQAPQHYPDANHKPEMAIALTPFQGLCGFRPVEEIVTFLKKVPEFQFLIGDEAATHLKQTMSHDSQAVASSLQSCFSHLMKSEKKVVVEQLNLLVKRISQQAAAGNNMEDIFGELLLQLHQQYPGDIGCFAIYFLNLLTLKPGEAMFLEANVPHAYLKGDCVECMACSDNTVRAGLTPKFIDVPTLCEMLSYTPSSSKDRLFLPTRSQEDPYLSIYDPPVPDFTIMKTEVPGSVTEYKVLALDSASILLMVQGTVIASTPTTQTPIPLQRGGVLFIGANESVSLKLTEPKDLLIFRACCLL
+>DECOY_sp|P34949|MPI_HUMAN Mannose-6-phosphate isomerase OS=Homo sapiens OX=9606 GN=MPI PE=1 SV=2
+LLCCARFILLDKPETLKLSVSENAGIFLVGGRQLPIPTQTTPTSAIVTGQVMLLISASDLALVKYETVSGPVETKMITFDPVPPDYISLYPDEQSRTPLFLRDKSSSPTYSLMECLTPVDIFKPTLGARVTNDSCAMCEVCDGKLYAHPVNAELFMAEGPKLTLLNLFYIAFCGIDGPYQQHLQLLLEGFIDEMNNGAAAQQSIRKVLLNLQEVVVKKESKMLHSFCSQLSSAVAQSDHSMTQKLHTAAEDGILFQFEPVKKLFTVIEEVPRFGCLGQFPTLAIAMEPKHNADPYHQPAQLHLKEALEKNPHAQISLPTEVSLVKFLFPLNGNFTDKVKSGLSDQNEAIWQSLTKQSIRNDLIKADGRPHTGMWLEAYPKDEAIQALPDSSALLRAVESNSGMKGWAYQQVACSLPFVRPAAM
+>sp|Q8N594|MPND_HUMAN MPN domain-containing protein OS=Homo sapiens OX=9606 GN=MPND PE=1 SV=1
+MAAPEPLSPAGGAGEEAPEEDEDEAEAEDPERPNAGAGGGRSGGGGSSVSGGGGGGGAGAGGCGGPGGALTRRAVTLRVLLKDALLEPGAGVLSIYYLGKKFLGDLQPDGRIMWQETGQTFNSPSAWATHCKKLVNPAKKSGCGWASVKYKGQKLDKYKATWLRLHQLHTPATAADESPASEGEEEELLMEEEEEDVLAGVSAEDKSRRPLGKSPSEPAHPEATTPGKRVDSKIRVPVRYCMLGSRDLARNPHTLVEVTSFAAINKFQPFNVAVSSNVLFLLDFHSHLTRSEVVGYLGGRWDVNSQMLTVLRAFPCRSRLGDAETAAAIEEEIYQSLFLRGLSLVGWYHSHPHSPALPSLQDIDAQMDYQLRLQGSSNGFQPCLALLCSPYYSGNPGPESKISPFWVMPPPEMLLVEFYKGSPDLVRLQEPWSQEHTYLDKLKISLASRTPKDQSLCHVLEQVCGVLKQGS
+>DECOY_sp|Q8N594|MPND_HUMAN MPN domain-containing protein OS=Homo sapiens OX=9606 GN=MPND PE=1 SV=1
+SGQKLVGCVQELVHCLSQDKPTRSALSIKLKDLYTHEQSWPEQLRVLDPSGKYFEVLLMEPPPMVWFPSIKSEPGPNGSYYPSCLLALCPQFGNSSGQLRLQYDMQADIDQLSPLAPSHPHSHYWGVLSLGRLFLSQYIEEEIAAATEADGLRSRCPFARLVTLMQSNVDWRGGLYGVVESRTLHSHFDLLFLVNSSVAVNFPQFKNIAAFSTVEVLTHPNRALDRSGLMCYRVPVRIKSDVRKGPTTAEPHAPESPSKGLPRRSKDEASVGALVDEEEEEMLLEEEEGESAPSEDAATAPTHLQHLRLWTAKYKDLKQGKYKVSAWGCGSKKAPNVLKKCHTAWASPSNFTQGTEQWMIRGDPQLDGLFKKGLYYISLVGAGPELLADKLLVRLTVARRTLAGGPGGCGGAGAGGGGGGGSVSSGGGGSRGGGAGANPREPDEAEAEDEDEEPAEEGAGGAPSLPEPAAM
+>sp|O00566|MPP10_HUMAN U3 small nucleolar ribonucleoprotein protein MPP10 OS=Homo sapiens OX=9606 GN=MPHOSPH10 PE=1 SV=2
+MAPQVWRRRTLERCLTEVGKATGRPECFLTIQEGLASKFTSLTKVLYDFNKILENGRIHGSPLQKLVIENFDDEQIWQQLELQNEPILQYFQNAVSETINDEDISLLPESEEQEREEDGSEIEADDKEDLEDLEEEEVSDMGNDDPEMGERAENSSKSDLRKSPVFSDEDSDLDFDISKLEQQSKVQNKGQGKPREKSIVDDKFFKLSEMEAYLENIEKEEERKDDNDEEEEDIDFFEDIDSDEDEGGLFGSKKLKSGKSSRNLKYKDFFDPVESDEDITNVHDDELDSNKEDDEIAEEEAEELSISETDEDDDLQENEDNKQHKESLKRVTFALPDDAETEDTGVLNVKKNSDEVKSSFEKRQEKMNEKIASLEKELLEKKPWQLQGEVTAQKRPENSLLEETLHFDHAVRMAPVITEETTLQLEDIIKQRIRDQAWDDVVRKEKPKEDAYEYKKRLTLDHEKSKLSLAEIYEQEYIKLNQQKTAEEENPEHVEIQKMMDSLFLKLDALSNFHFIPKPPVPEIKVVSNLPAITMEEVAPVSVSDAALLAPEEIKEKNKAGDIKTAAEKTATDKKRERRKKKYQKRMKIKEKEKRRKLLEKSSVDQAGKYSKTVASEKLKQLTKTGKASFIKDEGKDKALKSSQAFFSKLQDQVKMQINDAKKTEKKKKKRQDISVHKLKL
+>DECOY_sp|O00566|MPP10_HUMAN U3 small nucleolar ribonucleoprotein protein MPP10 OS=Homo sapiens OX=9606 GN=MPHOSPH10 PE=1 SV=2
+LKLKHVSIDQRKKKKKETKKADNIQMKVQDQLKSFFAQSSKLAKDKGEDKIFSAKGTKTLQKLKESAVTKSYKGAQDVSSKELLKRRKEKEKIKMRKQYKKKRRERKKDTATKEAATKIDGAKNKEKIEEPALLAADSVSVPAVEEMTIAPLNSVVKIEPVPPKPIFHFNSLADLKLFLSDMMKQIEVHEPNEEEATKQQNLKIYEQEYIEALSLKSKEHDLTLRKKYEYADEKPKEKRVVDDWAQDRIRQKIIDELQLTTEETIVPAMRVAHDFHLTEELLSNEPRKQATVEGQLQWPKKELLEKELSAIKENMKEQRKEFSSKVEDSNKKVNLVGTDETEADDPLAFTVRKLSEKHQKNDENEQLDDDEDTESISLEEAEEEAIEDDEKNSDLEDDHVNTIDEDSEVPDFFDKYKLNRSSKGSKLKKSGFLGGEDEDSDIDEFFDIDEEEEDNDDKREEEKEINELYAEMESLKFFKDDVISKERPKGQGKNQVKSQQELKSIDFDLDSDEDSFVPSKRLDSKSSNEAREGMEPDDNGMDSVEEEELDELDEKDDAEIESGDEEREQEESEPLLSIDEDNITESVANQFYQLIPENQLELQQWIQEDDFNEIVLKQLPSGHIRGNELIKNFDYLVKTLSTFKSALGEQITLFCEPRGTAKGVETLCRELTRRRWVQPAM
+>sp|Q96JB8|MPP4_HUMAN MAGUK p55 subfamily member 4 OS=Homo sapiens OX=9606 GN=MPP4 PE=1 SV=2
+MIQSDKGADPPDKKDMKLSTATNPQNGLSQILRLVLQELSLFYGRDVNGVCLLYDLLHSPWLQALLKIYDCLQEFKEKKLVPATPHAQVLSYEVVELLRETPTSPEIQELRQMLQAPHFKALLSAHDTIAQKDFEPLLPPLPDNIPESEEAMRIVCLVKNQQPLGATIKRHEMTGDILVARIIHGGLAERSGLLYAGDKLVEVNGVSVEGLDPEQVIHILAMSRGTIMFKVVPVSDPPVNSQQMVYVRAMTEYWPQEDPDIPCMDAGLPFQKGDILQIVDQNDALWWQARKISDPATCAGLVPSNHLLKRKQREFWWSQPYQPHTCLKSTLSISMEEEDDMKIDEKCVEADEETFESEELSEDKEEFVGYGQKFFIAGFRRSMRLCRRKSHLSPLHASVCCTGSCYSAVGAPYEEVVRYQRRPSDKYRLIVLMGPSGVGVNELRRQLIEFNPSHFQSAVPHTTRTKKSYEMNGREYHYVSKETFENLIYSHRMLEYGEYKGHLYGTSVDAVQTVLVEGKICVMDLEPQDIQGVRTHELKPYVIFIKPSNMRCMKQSRKNAKVITDYYVDMKFKDEDLQEMENLAQRMETQFGQFFDHVIVNDSLHDACAQLLSAIQKAQEEPQWVPATWISSDTESQ
+>DECOY_sp|Q96JB8|MPP4_HUMAN MAGUK p55 subfamily member 4 OS=Homo sapiens OX=9606 GN=MPP4 PE=1 SV=2
+QSETDSSIWTAPVWQPEEQAKQIASLLQACADHLSDNVIVHDFFQGFQTEMRQALNEMEQLDEDKFKMDVYYDTIVKANKRSQKMCRMNSPKIFIVYPKLEHTRVGQIDQPELDMVCIKGEVLVTQVADVSTGYLHGKYEGYELMRHSYILNEFTEKSVYHYERGNMEYSKKTRTTHPVASQFHSPNFEILQRRLENVGVGSPGMLVILRYKDSPRRQYRVVEEYPAGVASYCSGTCCVSAHLPSLHSKRRCLRMSRRFGAIFFKQGYGVFEEKDESLEESEFTEEDAEVCKEDIKMDDEEEMSISLTSKLCTHPQYPQSWWFERQKRKLLHNSPVLGACTAPDSIKRAQWWLADNQDVIQLIDGKQFPLGADMCPIDPDEQPWYETMARVYVMQQSNVPPDSVPVVKFMITGRSMALIHIVQEPDLGEVSVGNVEVLKDGAYLLGSREALGGHIIRAVLIDGTMEHRKITAGLPQQNKVLCVIRMAEESEPINDPLPPLLPEFDKQAITDHASLLAKFHPAQLMQRLEQIEPSTPTERLLEVVEYSLVQAHPTAPVLKKEKFEQLCDYIKLLAQLWPSHLLDYLLCVGNVDRGYFLSLEQLVLRLIQSLGNQPNTATSLKMDKKDPPDAGKDSQIM
+>sp|Q8N3R9|MPP5_HUMAN MAGUK p55 subfamily member 5 OS=Homo sapiens OX=9606 GN=MPP5 PE=1 SV=3
+MTTSHMNGHVTEESDSEVKNVDLASPEEHQKHREMAVDCPGDLGTRMMPIRRSAQLERIRQQQEDMRRRREEEGKKQELDLNSSMRLKKLAQIPPKTGIDNPMFDTEEGIVLESPHYAVKILEIEDLFSSLKHIQHTLVDSQSQEDISLLLQLVQNKDFQNAFKIHNAITVHMNKASPPFPLISNAQDLAQEVQTVLKPVHHKEGQELTALLNTPHIQALLLAHDKVAEQEMQLEPITDERVYESIGQYGGETVKIVRIEKARDIPLGATVRNEMDSVIISRIVKGGAAEKSGLLHEGDEVLEINGIEIRGKDVNEVFDLLSDMHGTLTFVLIPSQQIKPPPAKETVIHVKAHFDYDPSDDPYVPCRELGLSFQKGDILHVISQEDPNWWQAYREGDEDNQPLAGLVPGKSFQQQREAMKQTIEEDKEPEKSGKLWCAKKNKKKRKKVLYNANKNDDYDNEEILTYEEMSLYHQPANRKRPIILIGPQNCGQNELRQRLMNKEKDRFASAVPHTTRSRRDQEVAGRDYHFVSRQAFEADIAAGKFIEHGEFEKNLYGTSIDSVRQVINSGKICLLSLRTQSLKTLRNSDLKPYIIFIAPPSQERLRALLAKEGKNPKPEELREIIEKTREMEQNNGHYFDTAIVNSDLDKAYQELLRLINKLDTEPQWVPSTWLR
+>DECOY_sp|Q8N3R9|MPP5_HUMAN MAGUK p55 subfamily member 5 OS=Homo sapiens OX=9606 GN=MPP5 PE=1 SV=3
+RLWTSPVWQPETDLKNILRLLEQYAKDLDSNVIATDFYHGNNQEMERTKEIIERLEEPKPNKGEKALLARLREQSPPAIFIIYPKLDSNRLTKLSQTRLSLLCIKGSNIVQRVSDISTGYLNKEFEGHEIFKGAAIDAEFAQRSVFHYDRGAVEQDRRSRTTHPVASAFRDKEKNMLRQRLENQGCNQPGILIIPRKRNAPQHYLSMEEYTLIEENDYDDNKNANYLVKKRKKKNKKACWLKGSKEPEKDEEITQKMAERQQQFSKGPVLGALPQNDEDGERYAQWWNPDEQSIVHLIDGKQFSLGLERCPVYPDDSPDYDFHAKVHIVTEKAPPPKIQQSPILVFTLTGHMDSLLDFVENVDKGRIEIGNIELVEDGEHLLGSKEAAGGKVIRSIIVSDMENRVTAGLPIDRAKEIRVIKVTEGGYQGISEYVREDTIPELQMEQEAVKDHALLLAQIHPTNLLATLEQGEKHHVPKLVTQVEQALDQANSILPFPPSAKNMHVTIANHIKFANQFDKNQVLQLLLSIDEQSQSDVLTHQIHKLSSFLDEIELIKVAYHPSELVIGEETDFMPNDIGTKPPIQALKKLRMSSNLDLEQKKGEEERRRRMDEQQQRIRELQASRRIPMMRTGLDGPCDVAMERHKQHEEPSALDVNKVESDSEETVHGNMHSTTM
+>sp|Q6DT37|MRCKG_HUMAN Serine/threonine-protein kinase MRCK gamma OS=Homo sapiens OX=9606 GN=CDC42BPG PE=1 SV=2
+MERRLRALEQLARGEAGGCPGLDGLLDLLLALHHELSSGPLRRERSVAQFLSWASPFVSKVKELRLQRDDFEILKVIGRGAFGEVTVVRQRDTGQIFAMKMLHKWEMLKRAETACFREERDVLVKGDSRWVTTLHYAFQDEEYLYLVMDYYAGGDLLTLLSRFEDRLPPELAQFYLAEMVLAIHSLHQLGYVHRDVKPDNVLLDVNGHIRLADFGSCLRLNTNGMVDSSVAVGTPDYISPEILQAMEEGKGHYGPQCDWWSLGVCAYELLFGETPFYAESLVETYGKIMNHEDHLQFPPDVPDVPASAQDLIRQLLCRQEERLGRGGLDDFRNHPFFEGVDWERLASSTAPYIPELRGPMDTSNFDVDDDTLNHPGTLPPPSHGAFSGHHLPFVGFTYTSGSHSPESSSEAWAALERKLQCLEQEKVELSRKHQEALHAPTDHRELEQLRKEVQTLRDRLPEMLRDKASLSQTDGPPAGSPGQDSDLRQELDRLHRELAEGRAGLQAQEQELCRAQGQQEELLQRLQEAQEREAATASQTRALSSQLEEARAAQRELEAQVSSLSRQVTQLQGQWEQRLEESSQAKTIHTASETNGMGPPEGGPQEAQLRKEVAALREQLEQAHSHRPSGKEEALCQLQEENRRLSREQERLEAELAQEQESKQRLEGERRETESNWEAQLADILSWVNDEKVSRGYLQALATKMAEELESLRNVGTQTLPARPLDHQWKARRLQKMEASARLELQSALEAEIRAKQGLQERLTQVQEAQLQAERRLQEAEKQSQALQQELAMLREELRARGPVDTKPSNSLIPFLSFRSSEKDSAKDPGISGEATRHGGEPDLRPEGRRSLRMGAVFPRAPTANTASTEGLPAKPGSHTLRPRSFPSPTKCLRCTSLMLGLGRQGLGCDACGYFCHTTCAPQAPPCPVPPDLLRTALGVHPETGTGTAYEGFLSVPRPSGVRRGWQRVFAALSDSRLLLFDAPDLRLSPPSGALLQVLDLRDPQFSATPVLASDVIHAQSRDLPRIFRVTTSQLAVPPTTCTVLLLAESEGERERWLQVLGELQRLLLDARPRPRPVYTLKEAYDNGLPLLPHTLCAAILDQDRLALGTEEGLFVIHLRSNDIFQVGECRRVQQLTLSPSAGLLVVLCGRGPSVRLFALAELENIEVAGAKIPESRGCQVLAAGSILQARTPVLCVAVKRQVLCYQLGPGPGPWQRRIRELQAPATVQSLGLLGDRLCVGAAGGFALYPLLNEAAPLALGAGLVPEELPPSRGGLGEALGAVELSLSEFLLLFTTAGIYVDGAGRKSRGHELLWPAAPMGWGYAAPYLTVFSENSIDVFDVRRAEWVQTVPLKKVRPLNPEGSLFLYGTEKVRLTYLRNQLAEKDEFDIPDLTDNSRRQLFRTKSKRRFFFRVSEEQQKQQRREMLKDPFVRSKLISPPTNFNHLVHVGPANGRPGARDKSPAPEEKGRVARGSGPQRPHSFSEALRRPASMGSEGLGGDADPMKRKPWTSLSSESVSCPQGSLSPATSLMQVSERPRSLPLSPELESSP
+>DECOY_sp|Q6DT37|MRCKG_HUMAN Serine/threonine-protein kinase MRCK gamma OS=Homo sapiens OX=9606 GN=CDC42BPG PE=1 SV=2
+PSSELEPSLPLSRPRESVQMLSTAPSLSGQPCSVSESSLSTWPKRKMPDADGGLGESGMSAPRRLAESFSHPRQPGSGRAVRGKEEPAPSKDRAGPRGNAPGVHVLHNFNTPPSILKSRVFPDKLMERRQQKQQEESVRFFFRRKSKTRFLQRRSNDTLDPIDFEDKEALQNRLYTLRVKETGYLFLSGEPNLPRVKKLPVTQVWEARRVDFVDISNESFVTLYPAAYGWGMPAAPWLLEHGRSKRGAGDVYIGATTFLLLFESLSLEVAGLAEGLGGRSPPLEEPVLGAGLALPAAENLLPYLAFGGAAGVCLRDGLLGLSQVTAPAQLERIRRQWPGPGPGLQYCLVQRKVAVCLVPTRAQLISGAALVQCGRSEPIKAGAVEINELEALAFLRVSPGRGCLVVLLGASPSLTLQQVRRCEGVQFIDNSRLHIVFLGEETGLALRDQDLIAACLTHPLLPLGNDYAEKLTYVPRPRPRADLLLRQLEGLVQLWREREGESEALLLVTCTTPPVALQSTTVRFIRPLDRSQAHIVDSALVPTASFQPDRLDLVQLLAGSPPSLRLDPADFLLLRSDSLAAFVRQWGRRVGSPRPVSLFGEYATGTGTEPHVGLATRLLDPPVPCPPAQPACTTHCFYGCADCGLGQRGLGLMLSTCRLCKTPSPFSRPRLTHSGPKAPLGETSATNATPARPFVAGMRLSRRGEPRLDPEGGHRTAEGSIGPDKASDKESSRFSLFPILSNSPKTDVPGRARLEERLMALEQQLAQSQKEAEQLRREAQLQAEQVQTLREQLGQKARIEAELASQLELRASAEMKQLRRAKWQHDLPRAPLTQTGVNRLSELEEAMKTALAQLYGRSVKEDNVWSLIDALQAEWNSETERREGELRQKSEQEQALEAELREQERSLRRNEEQLQCLAEEKGSPRHSHAQELQERLAAVEKRLQAEQPGGEPPGMGNTESATHITKAQSSEELRQEWQGQLQTVQRSLSSVQAELERQAARAEELQSSLARTQSATAAEREQAEQLRQLLEEQQGQARCLEQEQAQLGARGEALERHLRDLEQRLDSDQGPSGAPPGDTQSLSAKDRLMEPLRDRLTQVEKRLQELERHDTPAHLAEQHKRSLEVKEQELCQLKRELAAWAESSSEPSHSGSTYTFGVFPLHHGSFAGHSPPPLTGPHNLTDDDVDFNSTDMPGRLEPIYPATSSALREWDVGEFFPHNRFDDLGGRGLREEQRCLLQRILDQASAPVDPVDPPFQLHDEHNMIKGYTEVLSEAYFPTEGFLLEYACVGLSWWDCQPGYHGKGEEMAQLIEPSIYDPTGVAVSSDVMGNTNLRLCSGFDALRIHGNVDLLVNDPKVDRHVYGLQHLSHIALVMEALYFQALEPPLRDEFRSLLTLLDGGAYYDMVLYLYEEDQFAYHLTTVWRSDGKVLVDREERFCATEARKLMEWKHLMKMAFIQGTDRQRVVTVEGFAGRGIVKLIEFDDRQLRLEKVKSVFPSAWSLFQAVSRERRLPGSSLEHHLALLLDLLGDLGPCGGAEGRALQELARLRREM
+>sp|P47944|MT4_HUMAN Metallothionein-4 OS=Homo sapiens OX=9606 GN=MT4 PE=3 SV=2
+MDPRECVCMSGGICMCGDNCKCTTCNCKTYWKSCCPCCPPGCAKCARGCICKGGSDKCSCCP
+>DECOY_sp|P47944|MT4_HUMAN Metallothionein-4 OS=Homo sapiens OX=9606 GN=MT4 PE=3 SV=2
+PCCSCKDSGGKCICGRACKACGPPCCPCCSKWYTKCNCTTCKCNDGCMCIGGSMCVCERPDM
+>sp|P13995|MTDC_HUMAN Bifunctional methylenetetrahydrofolate dehydrogenase/cyclohydrolase, mitochondrial OS=Homo sapiens OX=9606 GN=MTHFD2 PE=1 SV=2
+MAATSLMSALAARLLQPAHSCSLRLRPFHLAAVRNEAVVISGRKLAQQIKQEVRQEVEEWVASGNKRPHLSVILVGENPASHSYVLNKTRAAAVVGINSETIMKPASISEEELLNLINKLNNDDNVDGLLVQLPLPEHIDERRICNAVSPDKDVDGFHVINVGRMCLDQYSMLPATPWGVWEIIKRTGIPTLGKNVVVAGRSKNVGMPIAMLLHTDGAHERPGGDATVTISHRYTPKEQLKKHTILADIVISAAGIPNLITADMIKEGAAVIDVGINRVHDPVTAKPKLVGDVDFEGVRQKAGYITPVPGGVGPMTVAMLMKNTIIAAKKVLRLEEREVLKSKELGVATN
+>DECOY_sp|P13995|MTDC_HUMAN Bifunctional methylenetetrahydrofolate dehydrogenase/cyclohydrolase, mitochondrial OS=Homo sapiens OX=9606 GN=MTHFD2 PE=1 SV=2
+NTAVGLEKSKLVEREELRLVKKAAIITNKMLMAVTMPGVGGPVPTIYGAKQRVGEFDVDGVLKPKATVPDHVRNIGVDIVAAGEKIMDATILNPIGAASIVIDALITHKKLQEKPTYRHSITVTADGGPREHAGDTHLLMAIPMGVNKSRGAVVVNKGLTPIGTRKIIEWVGWPTAPLMSYQDLCMRGVNIVHFGDVDKDPSVANCIRREDIHEPLPLQVLLGDVNDDNNLKNILNLLEEESISAPKMITESNIGVVAAARTKNLVYSHSAPNEGVLIVSLHPRKNGSAVWEEVEQRVEQKIQQALKRGSIVVAENRVAALHFPRLRLSCSHAPQLLRAALASMLSTAAM
+>sp|Q49AM1|MTEF2_HUMAN Transcription termination factor 2, mitochondrial OS=Homo sapiens OX=9606 GN=MTERF2 PE=1 SV=2
+MLWKLLLRSQSCRLCSFRKMRSPPKYRPFLACFTYTTDKQSSKENTRTVEKLYKCSVDIRKIRRLKGWVLLEDETYVEEIANILQELGADETAVASILERCPEAIVCSPTAVNTQRKLWQLVCKNEEELIKLIEQFPESFFTIKDQENQKLNVQFFQELGLKNVVISRLLTAAPNVFHNPVEKNKQMVRILQESYLDVGGSEANMKVWLLKLLSQNPFILLNSPTAIKETLEFLQEQGFTSFEILQLLSKLKGFLFQLCPRSIQNSISFSKNAFKCTDHDLKQLVLKCPALLYYSVPVLEERMQGLLREGISIAQIRETPMVLELTPQIVQYRIRKLNSSGYRIKDGHLANLNGSKKEFEANFGKIQAKKVRPLFNPVAPLNVEE
+>DECOY_sp|Q49AM1|MTEF2_HUMAN Transcription termination factor 2, mitochondrial OS=Homo sapiens OX=9606 GN=MTERF2 PE=1 SV=2
+EEVNLPAVPNFLPRVKKAQIKGFNAEFEKKSGNLNALHGDKIRYGSSNLKRIRYQVIQPTLELVMPTERIQAISIGERLLGQMREELVPVSYYLLAPCKLVLQKLDHDTCKFANKSFSISNQISRPCLQFLFGKLKSLLQLIEFSTFGQEQLFELTEKIATPSNLLIFPNQSLLKLLWVKMNAESGGVDLYSEQLIRVMQKNKEVPNHFVNPAATLLRSIVVNKLGLEQFFQVNLKQNEQDKITFFSEPFQEILKILEEENKCVLQWLKRQTNVATPSCVIAEPCRELISAVATEDAGLEQLINAIEEVYTEDELLVWGKLRRIKRIDVSCKYLKEVTRTNEKSSQKDTTYTFCALFPRYKPPSRMKRFSCLRCSQSRLLLKWLM
+>sp|Q96E29|MTEF3_HUMAN Transcription termination factor 3, mitochondrial OS=Homo sapiens OX=9606 GN=MTERF3 PE=1 SV=2
+MALSAQQIPRWFNSVKLRSLINAAQLTKRFTRPARTLLHGFSAQPQISSDNCFLQWGFKTYRTSSLWNSSQSTSSSSQENNSAQSSLLPSMNEQSQKTQNISSFDSELFLEELDELPPLSPMQPISEEEAIQIIADPPLPPASFTLRDYVDHSETLQKLVLLGVDLSKIEKHPEAANLLLRLDFEKDIKQMLLFLKDVGIEDNQLGAFLTKNHAIFSEDLENLKTRVAYLHSKNFSKADVAQMVRKAPFLLNFSVERLDNRLGFFQKELELSVKKTRDLVVRLPRLLTGSLEPVKENMKVYRLELGFKHNEIQHMITRIPKMLTANKMKLTETFDFVHNVMSIPHHIIVKFPQVFNTRLFKVKERHLFLTYLGRAQYDPAKPNYISLDKLVSIPDEIFCEEIAKASVQDFEKFLKTL
+>DECOY_sp|Q96E29|MTEF3_HUMAN Transcription termination factor 3, mitochondrial OS=Homo sapiens OX=9606 GN=MTERF3 PE=1 SV=2
+LTKLFKEFDQVSAKAIEECFIEDPISVLKDLSIYNPKAPDYQARGLYTLFLHREKVKFLRTNFVQPFKVIIHHPISMVNHVFDFTETLKMKNATLMKPIRTIMHQIENHKFGLELRYVKMNEKVPELSGTLLRPLRVVLDRTKKVSLELEKQFFGLRNDLREVSFNLLFPAKRVMQAVDAKSFNKSHLYAVRTKLNELDESFIAHNKTLFAGLQNDEIGVDKLFLLMQKIDKEFDLRLLLNAAEPHKEIKSLDVGLLVLKQLTESHDVYDRLTFSAPPLPPDAIIQIAEEESIPQMPSLPPLEDLEELFLESDFSSINQTKQSQENMSPLLSSQASNNEQSSSSTSQSSNWLSSTRYTKFGWQLFCNDSSIQPQASFGHLLTRAPRTFRKTLQAANILSRLKVSNFWRPIQQASLAM
+>sp|Q9UDX5|MTFP1_HUMAN Mitochondrial fission process protein 1 OS=Homo sapiens OX=9606 GN=MTFP1 PE=1 SV=1
+MSEPQPRGAERDLYRDTWVRYLGYANEVGEAFRSLVPAAVVWLSYGVASSYVLADAIDKGKKAGEVPSPEAGRSARVTVAVVDTFVWQALASVAIPGFTINRVCAASLYVLGTATRWPLAVRKWTTTALGLLTIPIIIHPIDRSVDFLLDSSLRKLYPTVGKPSSS
+>DECOY_sp|Q9UDX5|MTFP1_HUMAN Mitochondrial fission process protein 1 OS=Homo sapiens OX=9606 GN=MTFP1 PE=1 SV=1
+SSSPKGVTPYLKRLSSDLLFDVSRDIPHIIIPITLLGLATTTWKRVALPWRTATGLVYLSAACVRNITFGPIAVSALAQWVFTDVVAVTVRASRGAEPSPVEGAKKGKDIADALVYSSAVGYSLWVVAAPVLSRFAEGVENAYGLYRVWTDRYLDREAGRPQPESM
+>sp|Q15390|MTFR1_HUMAN Mitochondrial fission regulator 1 OS=Homo sapiens OX=9606 GN=MTFR1 PE=1 SV=2
+MLGWIKRLIRMVFQQVGVSMQSVLWSRKPYGSSRSIVRKIGTNLSLIQCPRVQFQINSHATEWSPSHPGEDAVASFADVGWVAKEEGECSARLRTEVRSRPPLQDDLLFFEKAPSRQISLPDLSQEEPQLKTPALANEEALQKICALENELAALRAQIAKIVTQQEQQNLTAGDLDSTTFGTIPPHPPPPPPPLPPPALGLHQSTSAVDLIKERREKRANAGKTLVKNNPKKPEMPNMLEILKEMNSVKLRSVKRSEQDVKPKPVDATDPAALIAEALKKKFAYRYRSDSQDEVEKGIPKSESEATSERVLFGPHMLKPTGKMKALIENVSDS
+>DECOY_sp|Q15390|MTFR1_HUMAN Mitochondrial fission regulator 1 OS=Homo sapiens OX=9606 GN=MTFR1 PE=1 SV=2
+SDSVNEILAKMKGTPKLMHPGFLVRESTAESESKPIGKEVEDQSDSRYRYAFKKKLAEAILAAPDTADVPKPKVDQESRKVSRLKVSNMEKLIELMNPMEPKKPNNKVLTKGANARKERREKILDVASTSQHLGLAPPPLPPPPPPPHPPITGFTTSDLDGATLNQQEQQTVIKAIQARLAALENELACIKQLAEENALAPTKLQPEEQSLDPLSIQRSPAKEFFLLDDQLPPRSRVETRLRASCEGEEKAVWGVDAFSAVADEGPHSPSWETAHSNIQFQVRPCQILSLNTGIKRVISRSSGYPKRSWLVSQMSVGVQQFVMRILRKIWGLM
+>sp|O75081|MTG16_HUMAN Protein CBFA2T3 OS=Homo sapiens OX=9606 GN=CBFA2T3 PE=1 SV=2
+MPASRLRDRAASSASGSTCGSMSQTHPVLESGLLASAGCSAPRGPRKGGPAPVDRKAKASAMPDSPAEVKTQPRSTPPSMPPPPPAASQGATRPPSFTPHTHREDGPATLPHGRFHGCLKWSMVCLLMNGSSHSPTAINGAPCTPNGFSNGPATSSTASLSTQHLPPACGARQLSKLKRFLTTLQQFGSDISPEIGERVRTLVLGLVNSTLTIEEFHSKLQEATNFPLRPFVIPFLKANLPLLQRELLHCARLAKQTPAQYLAQHEQLLLDASASSPIDSSELLLEVNENGKRRTPDRTKENGSDRDPLHPEHLSKRPCTLNPAQRYSPSNGPPQPTPPPHYRLEDIAMAHHFRDAYRHPDPRELRERHRPLVVPGSRQEEVIDHKLTEREWAEEWKHLNNLLNCIMDMVEKTRRSLTVLRRCQEADREELNHWARRYSDAEDTKKGPAPAAARPRSSSAGPEGPQLDVPREFLPRTLTGYVPEDIWRKAEEAVNEVKRQAMSELQKAVSDAERKAHELITTERAKMERALAEAKRQASEDALTVINQQEDSSESCWNCGRKASETCSGCNAARYCGSFCQHRDWEKHHHVCGQSLQGPTAVVADPVPGPPEAAHSLGPSLPVGAASPSEAGSAGPSRPGSPSPPGPLDTVPR
+>DECOY_sp|O75081|MTG16_HUMAN Protein CBFA2T3 OS=Homo sapiens OX=9606 GN=CBFA2T3 PE=1 SV=2
+RPVTDLPGPPSPSGPRSPGASGAESPSAAGVPLSPGLSHAAEPPGPVPDAVVATPGQLSQGCVHHHKEWDRHQCFSGCYRAANCGSCTESAKRGCNWCSESSDEQQNIVTLADESAQRKAEALAREMKARETTILEHAKREADSVAKQLESMAQRKVENVAEEAKRWIDEPVYGTLTRPLFERPVDLQPGEPGASSSRPRAAAPAPGKKTDEADSYRRAWHNLEERDAEQCRRLVTLSRRTKEVMDMICNLLNNLHKWEEAWERETLKHDIVEEQRSGPVVLPRHRERLERPDPHRYADRFHHAMAIDELRYHPPPTPQPPGNSPSYRQAPNLTCPRKSLHEPHLPDRDSGNEKTRDPTRRKGNENVELLLESSDIPSSASADLLLQEHQALYQAPTQKALRACHLLERQLLPLNAKLFPIVFPRLPFNTAEQLKSHFEEITLTSNVLGLVLTRVREGIEPSIDSGFQQLTTLFRKLKSLQRAGCAPPLHQTSLSATSSTAPGNSFGNPTCPAGNIATPSHSSGNMLLCVMSWKLCGHFRGHPLTAPGDERHTHPTFSPPRTAGQSAAPPPPPMSPPTSRPQTKVEAPSDPMASAKAKRDVPAPGGKRPGRPASCGASALLGSELVPHTQSMSGCTSGSASSAARDRLRSAPM
+>sp|Q9H4K7|MTG2_HUMAN Mitochondrial ribosome-associated GTPase 2 OS=Homo sapiens OX=9606 GN=MTG2 PE=1 SV=1
+MAPARCFSARLRTVFQGVGHWALSTWAGLKPSRLLPQRASPRLLSVGRADLAKHQELPGKKLLSEKKLKRYFVDYRRVLVCGGNGGAGASCFHSEPRKEFGGPDGGDGGNGGHVILRVDQQVKSLSSVLSRYQGFSGEDGGSKNCFGRSGAVLYIRVPVGTLVKEGGRVVADLSCVGDEYIAALGGAGGKGNRFFLANNNRAPVTCTPGQPGQQRVLHLELKTVAHAGMVGFPNAGKSSLLRAISNARPAVASYPFTTLKPHVGIVHYEGHLQIAVADIPGIIRGAHQNRGLGSAFLRHIERCRFLLFVVDLSQPEPWTQVDDLKYELEMYEKGLSARPHAIVANKIDLPEAQANLSQLRDHLGQEVIVLSALTGENLEQLLLHLKVLYDAYAEAELGQGRQPLRW
+>DECOY_sp|Q9H4K7|MTG2_HUMAN Mitochondrial ribosome-associated GTPase 2 OS=Homo sapiens OX=9606 GN=MTG2 PE=1 SV=1
+WRLPQRGQGLEAEAYADYLVKLHLLLQELNEGTLASLVIVEQGLHDRLQSLNAQAEPLDIKNAVIAHPRASLGKEYMELEYKLDDVQTWPEPQSLDVVFLLFRCREIHRLFASGLGRNQHAGRIIGPIDAVAIQLHGEYHVIGVHPKLTTFPYSAVAPRANSIARLLSSKGANPFGVMGAHAVTKLELHLVRQQGPQGPTCTVPARNNNALFFRNGKGGAGGLAAIYEDGVCSLDAVVRGGEKVLTGVPVRIYLVAGSRGFCNKSGGDEGSFGQYRSLVSSLSKVQQDVRLIVHGGNGGDGGDPGGFEKRPESHFCSAGAGGNGGCVLVRRYDVFYRKLKKESLLKKGPLEQHKALDARGVSLLRPSARQPLLRSPKLGAWTSLAWHGVGQFVTRLRASFCRAPAM
+>sp|Q06455|MTG8_HUMAN Protein CBFA2T1 OS=Homo sapiens OX=9606 GN=RUNX1T1 PE=1 SV=2
+MISVKRNTWRALSLVIGDCRKKGNFEYCQDRTEKHSTMPDSPVDVKTQSRLTPPTMPPPPTTQGAPRTSSFTPTTLTNGTSHSPTALNGAPSPPNGFSNGPSSSSSSSLANQQLPPACGARQLSKLKRFLTTLQQFGNDISPEIGERVRTLVLGLVNSTLTIEEFHSKLQEATNFPLRPFVIPFLKANLPLLQRELLHCARLAKQNPAQYLAQHEQLLLDASTTSPVDSSELLLDVNENGKRRTPDRTKENGFDREPLHSEHPSKRPCTISPGQRYSPNNGLSYQPNGLPHPTPPPPQHYRLDDMAIAHHYRDSYRHPSHRDLRDRNRPMGLHGTRQEEMIDHRLTDREWAEEWKHLDHLLNCIMDMVEKTRRSLTVLRRCQEADREELNYWIRRYSDAEDLKKGGGSSSSHSRQQSPVNPDPVALDAHREFLHRPASGYVPEEIWKKAEEAVNEVKRQAMTELQKAVSEAERKAHDMITTERAKMERTVAEAKRQAAEDALAVINQQEDSSESCWNCGRKASETCSGCNTARYCGSFCQHKDWEKHHHICGQTLQAQQQGDTPAVSSSVTPNSGAGSPMDTPPAATPRSTTPGTPSTIETTPR
+>DECOY_sp|Q06455|MTG8_HUMAN Protein CBFA2T1 OS=Homo sapiens OX=9606 GN=RUNX1T1 PE=1 SV=2
+RPTTEITSPTGPTTSRPTAAPPTDMPSGAGSNPTVSSSVAPTDGQQQAQLTQGCIHHHKEWDKHQCFSGCYRATNCGSCTESAKRGCNWCSESSDEQQNIVALADEAAQRKAEAVTREMKARETTIMDHAKREAESVAKQLETMAQRKVENVAEEAKKWIEEPVYGSAPRHLFERHADLAVPDPNVPSQQRSHSSSSGGGKKLDEADSYRRIWYNLEERDAEQCRRLVTLSRRTKEVMDMICNLLHDLHKWEEAWERDTLRHDIMEEQRTGHLGMPRNRDRLDRHSPHRYSDRYHHAIAMDDLRYHQPPPPTPHPLGNPQYSLGNNPSYRQGPSITCPRKSPHESHLPERDFGNEKTRDPTRRKGNENVDLLLESSDVPSTTSADLLLQEHQALYQAPNQKALRACHLLERQLLPLNAKLFPIVFPRLPFNTAEQLKSHFEEITLTSNVLGLVLTRVREGIEPSIDNGFQQLTTLFRKLKSLQRAGCAPPLQQNALSSSSSSSPGNSFGNPPSPAGNLATPSHSTGNTLTTPTFSSTRPAGQTTPPPPMTPPTLRSQTKVDVPSDPMTSHKETRDQCYEFNGKKRCDGIVLSLARWTNRKVSIM
+>sp|Q9Y4I5|MTL5_HUMAN Tesmin OS=Homo sapiens OX=9606 GN=TESMIN PE=1 SV=2
+MEEGPLPGGLPSPEDAMVTELLSPEGPFASENIGLKAPVKYEEDEFHVFKEAYLGPADPKEPVLHAFNPALGADCKGQVKAKLAGGDSDGGELLGEYPGIPELSALEDVALLQAPQPPACNVHFLSSLLPAHRSPAVLPLGAWVLEGASHPGVRMIPVEIKEAGGTTTSNNPEEATLQNLLAQESCCKFPSSQELEDASCCSLKKDSNPMVICQLKGGTQMLCIDNSRTRELKALHLVPQYQDQNNYLQSDVPKPMTALVGRFLPASTKLNLITQQLEGALPSVVNGSAFPSGSTLPGPPKITLAGYCDCFASGDFCNNCNCNNCCNNLHHDIERFKAIKACLGRNPEAFQPKIGKGQLGNVKPQHNKGCNCRRSGCLKNYCECYEAQIMCSSICKCIGCKNYEESPERKTLMSMPNYMQTGGLEGSHYLPPTKFSGLPRFSHDRRPSSCISWEVVEATCACLLAQGEEAEKEHCSKCLAEQMILEEFGRCLSQILHTEFKSKGLKME
+>DECOY_sp|Q9Y4I5|MTL5_HUMAN Tesmin OS=Homo sapiens OX=9606 GN=TESMIN PE=1 SV=2
+EMKLGKSKFETHLIQSLCRGFEELIMQEALCKSCHEKEAEEGQALLCACTAEVVEWSICSSPRRDHSFRPLGSFKTPPLYHSGELGGTQMYNPMSMLTKREPSEEYNKCGICKCISSCMIQAEYCECYNKLCGSRRCNCGKNHQPKVNGLQGKGIKPQFAEPNRGLCAKIAKFREIDHHLNNCCNNCNCNNCFDGSAFCDCYGALTIKPPGPLTSGSPFASGNVVSPLAGELQQTILNLKTSAPLFRGVLATMPKPVDSQLYNNQDQYQPVLHLAKLERTRSNDICLMQTGGKLQCIVMPNSDKKLSCCSADELEQSSPFKCCSEQALLNQLTAEEPNNSTTTGGAEKIEVPIMRVGPHSAGELVWAGLPLVAPSRHAPLLSSLFHVNCAPPQPAQLLAVDELASLEPIGPYEGLLEGGDSDGGALKAKVQGKCDAGLAPNFAHLVPEKPDAPGLYAEKFVHFEDEEYKVPAKLGINESAFPGEPSLLETVMADEPSPLGGPLPGEEM
+>sp|Q13613|MTMR1_HUMAN Myotubularin-related protein 1 OS=Homo sapiens OX=9606 GN=MTMR1 PE=1 SV=4
+MDRPAAAAAAGCEGGGGPNPGPAGGRRPPRAAGGATAGSRQPSVETLDSPTGSHVEWCKQLIAATISSQISGSVTSENVSRDYKALRDGNKLAQMEEAPLFPGESIKAIVKDVMYICPFMGAVSGTLTVTDFKLYFKNVERDPHFILDVPLGVISRVEKIGAQSHGDNSCGIEIVCKDMRNLRLAYKQEEQSKLGIFENLNKHAFPLSNGQALFAFSYKEKFPINGWKVYDPVSEYKRQGLPNESWKISKINSNYEFCDTYPAIIVVPTSVKDDDLSKVAAFRAKGRVPVLSWIHPESQATITRCSQPLVGPNDKRCKEDEKYLQTIMDANAQSHKLIIFDARQNSVADTNKTKGGGYESESAYPNAELVFLEIHNIHVMRESLRKLKEIVYPSIDEARWLSNVDGTHWLEYIRMLLAGAVRIADKIESGKTSVVVHCSDGWDRTAQLTSLAMLMLDSYYRTIKGFETLVEKEWISFGHRFALRVGHGNDNHADADRSPIFLQFVDCVWQMTRQFPSAFEFNELFLITILDHLYSCLFGTFLCNCEQQRFKEDVYTKTISLWSYINSQLDEFSNPFFVNYENHVLYPVASLSHLELWVNYYVRWNPRMRPQMPIHQNLKELLAVRAELQKRVEGLQREVATRAVSSSSERGSSPSHSATSVHTSV
+>DECOY_sp|Q13613|MTMR1_HUMAN Myotubularin-related protein 1 OS=Homo sapiens OX=9606 GN=MTMR1 PE=1 SV=4
+VSTHVSTASHSPSSGRESSSSVARTAVERQLGEVRKQLEARVALLEKLNQHIPMQPRMRPNWRVYYNVWLELHSLSAVPYLVHNEYNVFFPNSFEDLQSNIYSWLSITKTYVDEKFRQQECNCLFTGFLCSYLHDLITILFLENFEFASPFQRTMQWVCDVFQLFIPSRDADAHNDNGHGVRLAFRHGFSIWEKEVLTEFGKITRYYSDLMLMALSTLQATRDWGDSCHVVVSTKGSEIKDAIRVAGALLMRIYELWHTGDVNSLWRAEDISPYVIEKLKRLSERMVHINHIELFVLEANPYASESEYGGGKTKNTDAVSNQRADFIILKHSQANADMITQLYKEDEKCRKDNPGVLPQSCRTITAQSEPHIWSLVPVRGKARFAAVKSLDDDKVSTPVVIIAPYTDCFEYNSNIKSIKWSENPLGQRKYESVPDYVKWGNIPFKEKYSFAFLAQGNSLPFAHKNLNEFIGLKSQEEQKYALRLNRMDKCVIEIGCSNDGHSQAGIKEVRSIVGLPVDLIFHPDREVNKFYLKFDTVTLTGSVAGMFPCIYMVDKVIAKISEGPFLPAEEMQALKNGDRLAKYDRSVNESTVSGSIQSSITAAILQKCWEVHSGTPSDLTEVSPQRSGATAGGAARPPRRGGAPGPNPGGGGECGAAAAAAPRDM
+>sp|Q13614|MTMR2_HUMAN Myotubularin-related protein 2 OS=Homo sapiens OX=9606 GN=MTMR2 PE=1 SV=4
+MEKSSSCESLGSQPAAARPPSVDSLSSASTSHSENSVHTKSASVVSSDSISTSADNFSPDLRVLRESNKLAEMEEPPLLPGENIKDMAKDVTYICPFTGAVRGTLTVTNYRLYFKSMERDPPFVLDASLGVINRVEKIGGASSRGENSYGLETVCKDIRNLRFAHKPEGRTRRSIFENLMKYAFPVSNNLPLFAFEYKEVFPENGWKLYDPLLEYRRQGIPNESWRITKINERYELCDTYPALLVVPANIPDEELKRVASFRSRGRIPVLSWIHPESQATITRCSQPMVGVSGKRSKEDEKYLQAIMDSNAQSHKIFIFDARPSVNAVANKAKGGGYESEDAYQNAELVFLDIHNIHVMRESLRKLKEIVYPNIEETHWLSNLESTHWLEHIKLILAGALRIADKVESGKTSVVVHCSDGWDRTAQLTSLAMLMLDGYYRTIRGFEVLVEKEWLSFGHRFQLRVGHGDKNHADADRSPVFLQFIDCVWQMTRQFPTAFEFNEYFLITILDHLYSCLFGTFLCNSEQQRGKENLPKRTVSLWSYINSQLEDFTNPLYGSYSNHVLYPVASMRHLELWVGYYIRWNPRMKPQEPIHNRYKELLAKRAELQKKVEELQREISNRSTSSSERASSPAQCVTPVQTVV
+>DECOY_sp|Q13614|MTMR2_HUMAN Myotubularin-related protein 2 OS=Homo sapiens OX=9606 GN=MTMR2 PE=1 SV=4
+VVTQVPTVCQAPSSARESSSTSRNSIERQLEEVKKQLEARKALLEKYRNHIPEQPKMRPNWRIYYGVWLELHRMSAVPYLVHNSYSGYLPNTFDELQSNIYSWLSVTRKPLNEKGRQQESNCLFTGFLCSYLHDLITILFYENFEFATPFQRTMQWVCDIFQLFVPSRDADAHNKDGHGVRLQFRHGFSLWEKEVLVEFGRITRYYGDLMLMALSTLQATRDWGDSCHVVVSTKGSEVKDAIRLAGALILKIHELWHTSELNSLWHTEEINPYVIEKLKRLSERMVHINHIDLFVLEANQYADESEYGGGKAKNAVANVSPRADFIFIKHSQANSDMIAQLYKEDEKSRKGSVGVMPQSCRTITAQSEPHIWSLVPIRGRSRFSAVRKLEEDPINAPVVLLAPYTDCLEYRENIKTIRWSENPIGQRRYELLPDYLKWGNEPFVEKYEFAFLPLNNSVPFAYKMLNEFISRRTRGEPKHAFRLNRIDKCVTELGYSNEGRSSAGGIKEVRNIVGLSADLVFPPDREMSKFYLRYNTVTLTGRVAGTFPCIYTVDKAMDKINEGPLLPPEEMEALKNSERLVRLDPSFNDASTSISDSSVVSASKTHVSNESHSTSASSLSDVSPPRAAAPQSGLSECSSSKEM
+>sp|Q96EF0|MTMR8_HUMAN Myotubularin-related protein 8 OS=Homo sapiens OX=9606 GN=MTMR8 PE=1 SV=1
+MDHITVPKVENVKLVDRYVSKKPANGILYLTATHLIYVEASGAARKETWIALHHIATVEKLPITSLGCPLTLRCKNFRVAHFVLDSDLVCHEVYISLLKLSQPALPEDLYAFSYNPKSSKEMRESGWKLIDPISDFGRMGIPNRNWTITDANRNYEICSTYPPEIVVPKSVTLGTVVGSSKFRSKERVPVLSYLYKENNAAICRCSQPLSGFYTRCVDDELLLEAISQTNPGSQFMYVVDTRPKLNAMANRAAGKGYENEDNYANIRFRFMGIENIHVMRSSLQKLLEVCELKTPTMSEFLSGLESSGWLRHIKAIMDAGIFITKAVKVEKASVLVHCSDGWDRTAQVCSVASILLDPFYRTFKGLMILIEKEWISMGHKFSQRCGHLDGDSKEVSPIFTQFLDCIWQLMEQFPCAFEFNENFLLEIHDHVFSCQFGNFLGNCQKDREDLRVYEKTHSVWPFLVQRKPDFRNPLYKGFTMYGVLNPSTVPYNIQFWCGMYNRFDKGLQPKQSMLESLLEIKKQRAMLETDVHELEKKLKVRDEPPEEICTCSQLGNILSQHLGSPLTNPLGFMGINGDLNTLMENGTLSREGGLRAQMDQVKSQGADLHHNCCEIVGSLRAINISGDVGISEAMGISGDMCTFEATGFSKDLGICGAMDISEATGISGNLGISEARGFSGDMGILGDTGISKASTKEADYSKHQ
+>DECOY_sp|Q96EF0|MTMR8_HUMAN Myotubularin-related protein 8 OS=Homo sapiens OX=9606 GN=MTMR8 PE=1 SV=1
+QHKSYDAEKTSAKSIGTDGLIGMDGSFGRAESIGLNGSIGTAESIDMAGCIGLDKSFGTAEFTCMDGSIGMAESIGVDGSINIARLSGVIECCNHHLDAGQSKVQDMQARLGGERSLTGNEMLTNLDGNIGMFGLPNTLPSGLHQSLINGLQSCTCIEEPPEDRVKLKKELEHVDTELMARQKKIELLSELMSQKPQLGKDFRNYMGCWFQINYPVTSPNLVGYMTFGKYLPNRFDPKRQVLFPWVSHTKEYVRLDERDKQCNGLFNGFQCSFVHDHIELLFNENFEFACPFQEMLQWICDLFQTFIPSVEKSDGDLHGCRQSFKHGMSIWEKEILIMLGKFTRYFPDLLISAVSCVQATRDWGDSCHVLVSAKEVKVAKTIFIGADMIAKIHRLWGSSELGSLFESMTPTKLECVELLKQLSSRMVHINEIGMFRFRINAYNDENEYGKGAARNAMANLKPRTDVVYMFQSGPNTQSIAELLLEDDVCRTYFGSLPQSCRCIAANNEKYLYSLVPVREKSRFKSSGVVTGLTVSKPVVIEPPYTSCIEYNRNADTITWNRNPIGMRGFDSIPDILKWGSERMEKSSKPNYSFAYLDEPLAPQSLKLLSIYVEHCVLDSDLVFHAVRFNKCRLTLPCGLSTIPLKEVTAIHHLAIWTEKRAAGSAEVYILHTATLYLIGNAPKKSVYRDVLKVNEVKPVTIHDM
+>sp|Q9C0I1|MTMRC_HUMAN Myotubularin-related protein 12 OS=Homo sapiens OX=9606 GN=MTMR12 PE=1 SV=2
+MLGKGVVGGGGGTKAPKPSFVSYVRPEEIHTNEKEVTEKEVTLHLLPGEQLLCEASTVLKYVQEDSCQHGVYGRLVCTDFKIAFLGDDESALDNDETQFKNKVIGENDITLHCVDQIYGVFDEKKKTLFGQLKKYPEKLIIHCKDLRVFQFCLRYTKEEEVKRIVSGIIHHTQAPKLLKRLFLFSYATAAQNNTVTDPKNHTVMFDTLKDWCWELERTKGNMKYKAVSVNEGYKVCERLPAYFVVPTPLPEENVQRFQGHGIPIWCWSCHNGSALLKMSALPKEQDDGILQIQKSFLDGIYKTIHRPPYEIVKTEDLSSNFLSLQEIQTAYSKFKQLFLIDNSTEFWDTDIKWFSLLESSSWLDIIRRCLKKAIEITECMEAQNMNVLLLEENASDLCCLISSLVQLMMDPHCRTRIGFQSLIQKEWVMGGHCFLDRCNHLRQNDKEEVPVFLLFLDCVWQLVHQHPPAFEFTETYLTVLSDSLYIPIFSTFFFNSPHQKDTNMGREGQDTQSKPLNLLTVWDWSVQFEPKAQTLLKNPLYVEKPKLDKGQRKGMRFKHQRQLSLPLTQSKSSPKRGFFREETDHLIKNLLGKRISKLINSSDELQDNFREFYDSWHSKSTDYHGLLLPHIEGPEIKVWAQRYLRWIPEAQILGGGQVATLSKLLEMMEEVQSLQEKIDERHHSQQAPQAEAPCLLRNSARLSSLFPFALLQRHSSKPVLPTSGWKALGDEDDLAKREDEFVDLGDV
+>DECOY_sp|Q9C0I1|MTMRC_HUMAN Myotubularin-related protein 12 OS=Homo sapiens OX=9606 GN=MTMR12 PE=1 SV=2
+VDGLDVFEDERKALDDEDGLAKWGSTPLVPKSSHRQLLAFPFLSSLRASNRLLCPAEAQPAQQSHHREDIKEQLSQVEEMMELLKSLTAVQGGGLIQAEPIWRLYRQAWVKIEPGEIHPLLLGHYDTSKSHWSDYFERFNDQLEDSSNILKSIRKGLLNKILHDTEERFFGRKPSSKSQTLPLSLQRQHKFRMGKRQGKDLKPKEVYLPNKLLTQAKPEFQVSWDWVTLLNLPKSQTDQGERGMNTDKQHPSNFFFTSFIPIYLSDSLVTLYTETFEFAPPHQHVLQWVCDLFLLFVPVEEKDNQRLHNCRDLFCHGGMVWEKQILSQFGIRTRCHPDMMLQVLSSILCCLDSANEELLLVNMNQAEMCETIEIAKKLCRRIIDLWSSSELLSFWKIDTDWFETSNDILFLQKFKSYATQIEQLSLFNSSLDETKVIEYPPRHITKYIGDLFSKQIQLIGDDQEKPLASMKLLASGNHCSWCWIPIGHGQFRQVNEEPLPTPVVFYAPLRECVKYGENVSVAKYKMNGKTRELEWCWDKLTDFMVTHNKPDTVTNNQAATAYSFLFLRKLLKPAQTHHIIGSVIRKVEEEKTYRLCFQFVRLDKCHIILKEPYKKLQGFLTKKKEDFVGYIQDVCHLTIDNEGIVKNKFQTEDNDLASEDDGLFAIKFDTCVLRGYVGHQCSDEQVYKLVTSAECLLQEGPLLHLTVEKETVEKENTHIEEPRVYSVFSPKPAKTGGGGGVVGKGLM
+>sp|Q9BV20|MTNA_HUMAN Methylthioribose-1-phosphate isomerase OS=Homo sapiens OX=9606 GN=MRI1 PE=1 SV=1
+MTLEAIRYSRGSLQILDQLLLPKQSRYEAVGSVHQAWEAIRAMKVRGAPAIALVGCLSLAVELQAGAGGPGLAALVAFVRDKLSFLVTARPTAVNMARAARDLADVAAREAEREGATEEAVRERVICCTEDMLEKDLRDNRSIGDLGARHLLERVAPSGGKVTVLTHCNTGALATAGYGTALGVIRSLHSLGRLEHAFCTETRPYNQGARLTAFELVYEQIPATLITDSMVAAAMAHRGVSAVVVGADRVVANGDTANKVGTYQLAIVAKHHGIPFYVAAPSSSCDLRLETGKEIIIEERPGQELTDVNGVRIAAPGIGVWNPAFDVTPHDLITGGIITELGVFAPEELRTALTTTISSRDGTLDGPQM
+>DECOY_sp|Q9BV20|MTNA_HUMAN Methylthioribose-1-phosphate isomerase OS=Homo sapiens OX=9606 GN=MRI1 PE=1 SV=1
+MQPGDLTGDRSSITTTLATRLEEPAFVGLETIIGGTILDHPTVDFAPNWVGIGPAAIRVGNVDTLEQGPREEIIIEKGTELRLDCSSSPAAVYFPIGHHKAVIALQYTGVKNATDGNAVVRDAGVVVASVGRHAMAAAVMSDTILTAPIQEYVLEFATLRAGQNYPRTETCFAHELRGLSHLSRIVGLATGYGATALAGTNCHTLVTVKGGSPAVRELLHRAGLDGISRNDRLDKELMDETCCIVRERVAEETAGEREAERAAVDALDRAARAMNVATPRATVLFSLKDRVFAVLAALGPGGAGAQLEVALSLCGVLAIAPAGRVKMARIAEWAQHVSGVAEYRSQKPLLLQDLIQLSGRSYRIAELTM
+>sp|Q9BV57|MTND_HUMAN 1,2-dihydroxy-3-keto-5-methylthiopentene dioxygenase OS=Homo sapiens OX=9606 GN=ADI1 PE=1 SV=1
+MVQAWYMDDAPGDPRQPHRPDPGRPVGLEQLRRLGVLYWKLDADKYENDPELEKIRRERNYSWMDIITICKDKLPNYEEKIKMFYEEHLHLDDEIRYILDGSGYFDVRDKEDQWIRIFMEKGDMVTLPAGIYHRFTVDEKNYTKAMRLFVGEPVWTAYNRPADHFEARGQYVKFLAQTA
+>DECOY_sp|Q9BV57|MTND_HUMAN 1,2-dihydroxy-3-keto-5-methylthiopentene dioxygenase OS=Homo sapiens OX=9606 GN=ADI1 PE=1 SV=1
+ATQALFKVYQGRAEFHDAPRNYATWVPEGVFLRMAKTYNKEDVTFRHYIGAPLTVMDGKEMFIRIWQDEKDRVDFYGSGDLIYRIEDDLHLHEEYFMKIKEEYNPLKDKCITIIDMWSYNRERRIKELEPDNEYKDADLKWYLVGLRRLQELGVPRGPDPRHPQRPDGPADDMYWAQVM
+>sp|Q9ULD2|MTUS1_HUMAN Microtubule-associated tumor suppressor 1 OS=Homo sapiens OX=9606 GN=MTUS1 PE=1 SV=2
+MTDDNSDDKIEDELQTFFTSDKDGNTHAYNPKSPPTQNSSASSVNWNSANPDDMVVDYETDPAVVTGENISLSLQGVEVFGHEKSSSDFISKQVLDMHKDSICQCPALVGTEKPKYLQHSCHSLEAVEGQSVEPSLPFVWKPNDNLNCAGYCDALELNQTFDMTVDKVNCTFISHHAIGKSQSFHTAGSLPPTGRRSGSTSSLSYSTWTSSHSDKTHARETTYDRESFENPQVTPSEAQDMTYTAFSDVVMQSEVFVSDIGNQCACSSGKVTSEYTDGSQQRLVGEKETQALTPVSDGMEVPNDSALQEFFCLSHDESNSEPHSQSSYRHKEMGQNLRETVSYCLIDDECPLMVPAFDKSEAQVLNPEHKVTETEDTQMVSKGKDLGTQNHTSELILSSPPGQKVGSSFGLTWDANDMVISTDKTMCMSTPVLEPTKVTFSVSPIEATEKCKKVEKGNRGLKNIPDSKEAPVNLCKPSLGKSTIKTNTPIGCKVRKTEIISYPRPNFKNVKAKVMSRAVLQPKDAALSKVTPRPQQTSASSPSSVNSRQQTVLSRTPRSDLNADKKAEILINKTHKQQFNKLITSQAVHVTTHSKNASHRVPRTTSAVKSNQEDVDKASSSNSACETGSVSALFQKIKGILPVKMESAECLEMTYVPNIDRISPEKKGEKENGTSMEKQELKQEIMNETFEYGSLFLGSASKTTTTSGRNISKPDSCGLRQIAAPKAKVGPPVSCLRRNSDNRNPSADRAVSPQRIRRVSSSGKPTSLKTAQSSWVNLPRPLPKSKASLKSPALRRTGSTPSIASTHSELSTYSNNSGNAAVIKYEEKPPKPAFQNGSSGSFYLKPLVSRAHVHLMKTPPKGPSRKNLFTALNAVEKSRQKNPRSLCIQPQTAPDALPPEKTLELTQYKTKCENQSGFILQLKQLLACGNTKFEALTVVIQHLLSEREEALKQHKTLSQELVNLRGELVTASTTCEKLEKARNELQTVYEAFVQQHQAEKTERENRLKEFYTREYEKLRDTYIEEAEKYKMQLQEQFDNLNAAHETSKLEIEASHSEKLELLKKAYEASLSEIKKGHEIEKKSLEDLLSEKQESLEKQINDLKSENDALNEKLKSEEQKRRAREKANLKNPQIMYLEQELESLKAVLEIKNEKLHQQDIKLMKMEKLVDNNTALVDKLKRFQQENEELKARMDKHMAISRQLSTEQAVLQESLEKESKVNKRLSMENEELLWKLHNGDLCSPKRSPTSSAIPLQSPRNSGSFPSPSISPR
+>DECOY_sp|Q9ULD2|MTUS1_HUMAN Microtubule-associated tumor suppressor 1 OS=Homo sapiens OX=9606 GN=MTUS1 PE=1 SV=2
+RPSISPSPFSGSNRPSQLPIASSTPSRKPSCLDGNHLKWLLEENEMSLRKNVKSEKELSEQLVAQETSLQRSIAMHKDMRAKLEENEQQFRKLKDVLATNNDVLKEMKMLKIDQQHLKENKIELVAKLSELEQELYMIQPNKLNAKERARRKQEESKLKENLADNESKLDNIQKELSEQKESLLDELSKKEIEHGKKIESLSAEYAKKLLELKESHSAEIELKSTEHAANLNDFQEQLQMKYKEAEEIYTDRLKEYERTYFEKLRNERETKEAQHQQVFAEYVTQLENRAKELKECTTSATVLEGRLNVLEQSLTKHQKLAEERESLLHQIVVTLAEFKTNGCALLQKLQLIFGSQNECKTKYQTLELTKEPPLADPATQPQICLSRPNKQRSKEVANLATFLNKRSPGKPPTKMLHVHARSVLPKLYFSGSSGNQFAPKPPKEEYKIVAANGSNNSYTSLESHTSAISPTSGTRRLAPSKLSAKSKPLPRPLNVWSSQATKLSTPKGSSSVRRIRQPSVARDASPNRNDSNRRLCSVPPGVKAKPAAIQRLGCSDPKSINRGSTTTTKSASGLFLSGYEFTENMIEQKLEQKEMSTGNEKEGKKEPSIRDINPVYTMELCEASEMKVPLIGKIKQFLASVSGTECASNSSSAKDVDEQNSKVASTTRPVRHSANKSHTTVHVAQSTILKNFQQKHTKNILIEAKKDANLDSRPTRSLVTQQRSNVSSPSSASTQQPRPTVKSLAADKPQLVARSMVKAKVNKFNPRPYSIIETKRVKCGIPTNTKITSKGLSPKCLNVPAEKSDPINKLGRNGKEVKKCKETAEIPSVSFTVKTPELVPTSMCMTKDTSIVMDNADWTLGFSSGVKQGPPSSLILESTHNQTGLDKGKSVMQTDETETVKHEPNLVQAESKDFAPVMLPCEDDILCYSVTERLNQGMEKHRYSSQSHPESNSEDHSLCFFEQLASDNPVEMGDSVPTLAQTEKEGVLRQQSGDTYESTVKGSSCACQNGIDSVFVESQMVVDSFATYTMDQAESPTVQPNEFSERDYTTERAHTKDSHSSTWTSYSLSSTSGSRRGTPPLSGATHFSQSKGIAHHSIFTCNVKDVTMDFTQNLELADCYGACNLNDNPKWVFPLSPEVSQGEVAELSHCSHQLYKPKETGVLAPCQCISDKHMDLVQKSIFDSSSKEHGFVEVGQLSLSINEGTVVAPDTEYDVVMDDPNASNWNVSSASSNQTPPSKPNYAHTNGDKDSTFFTQLEDEIKDDSNDDTM
+>sp|Q13505|MTX1_HUMAN Metaxin-1 OS=Homo sapiens OX=9606 GN=MTX1 PE=1 SV=3
+MLLGGPPRSPRSGTSPKGPWSSTGHVQFGKSPQTWPRRTRPRSPEPAAPSGVRGSTWTRRRDSPRRAGPTALSRYVGHLWMGRRPPSPEARGPVPRSSAASRARRSLASPGISPGPLTATIGGAVAGGGPRQGRAEAHKEVFPGQRVGKMAAPMELFCWSGGWGLPSVDLDSLAVLTYARFTGAPLKVHKISNPWQSPSGTLPALRTSHGEVISVPHKIITHLRKEKYNADYDLSARQGADTLAFMSLLEEKLLPVLVHTFWIDTKNYVEVTRKWYAEAMPFPLNFFLPGRMQRQYMERLQLLTGEHRPEDEEELEKELYREARECLTLLSQRLGSQKFFFGDAPASLDAFVFSYLALLLQAKLPSGKLQVHLRGLHNLCAYCTHILSLYFPWDGAEVPPQRQTPAGPETEEEPYRRRNQILSVLAGLAAMVGYALLSGIVSIQRATPARAPGTRTLGMAEEDEEE
+>DECOY_sp|Q13505|MTX1_HUMAN Metaxin-1 OS=Homo sapiens OX=9606 GN=MTX1 PE=1 SV=3
+EEEDEEAMGLTRTGPARAPTARQISVIGSLLAYGVMAALGALVSLIQNRRRYPEEETEPGAPTQRQPPVEAGDWPFYLSLIHTCYACLNHLGRLHVQLKGSPLKAQLLLALYSFVFADLSAPADGFFFKQSGLRQSLLTLCERAERYLEKELEEEDEPRHEGTLLQLREMYQRQMRGPLFFNLPFPMAEAYWKRTVEVYNKTDIWFTHVLVPLLKEELLSMFALTDAGQRASLDYDANYKEKRLHTIIKHPVSIVEGHSTRLAPLTGSPSQWPNSIKHVKLPAGTFRAYTLVALSDLDVSPLGWGGSWCFLEMPAAMKGVRQGPFVEKHAEARGQRPGGGAVAGGITATLPGPSIGPSALSRRARSAASSRPVPGRAEPSPPRRGMWLHGVYRSLATPGARRPSDRRRTWTSGRVGSPAAPEPSRPRTRRPWTQPSKGFQVHGTSSWPGKPSTGSRPSRPPGGLLM
+>sp|O75431|MTX2_HUMAN Metaxin-2 OS=Homo sapiens OX=9606 GN=MTX2 PE=1 SV=1
+MSLVAEAFVSQIAAAEPWPENATLYQQLKGEQILLSDNAASLAVQAFLQMCNLPIKVVCRANAEYMSPSGKVPFIHVGNQVVSELGPIVQFVKAKGHSLSDGLEEVQKAEMKAYMELVNNMLLTAELYLQWCDEATVGEITHARYGSPYPWPLNHILAYQKQWEVKRKMKAIGWGKKTLDQVLEDVDQCCQALSQRLGTQPYFFNKQPTELDALVFGHLYTILTTQLTNDELSEKVKNYSNLLAFCRRIEQHYFEDRGKGRLS
+>DECOY_sp|O75431|MTX2_HUMAN Metaxin-2 OS=Homo sapiens OX=9606 GN=MTX2 PE=1 SV=1
+SLRGKGRDEFYHQEIRRCFALLNSYNKVKESLEDNTLQTTLITYLHGFVLADLETPQKNFFYPQTGLRQSLAQCCQDVDELVQDLTKKGWGIAKMKRKVEWQKQYALIHNLPWPYPSGYRAHTIEGVTAEDCWQLYLEATLLMNNVLEMYAKMEAKQVEELGDSLSHGKAKVFQVIPGLESVVQNGVHIFPVKGSPSMYEANARCVVKIPLNCMQLFAQVALSAANDSLLIQEGKLQQYLTANEPWPEAAAIQSVFAEAVLSM
+>sp|Q9UKN1|MUC12_HUMAN Mucin-12 OS=Homo sapiens OX=9606 GN=MUC12 PE=1 SV=2
+MLVIWILTLALRLCASVTTVTPEGSAVHKAISQQGTLWTGEVLEKQTVEQGKSTLRRQKNHFHRSAGELRCRNALKDEGASAGWSVMFAGESVVVLVHLWMTGARVKNLGLVEFASPGDDGDGRAEGFSLGLPLSEQARAAGAREKERQETVINHSTFSGFSQITGSTVNTSIGGNTTSASTPSSSDPFTTFSDYGVSVTFITGSTATKHFLDSSTNSGHSEESTVSHSGPGATGTTLFPSHSATSVFVGEPKTSPITSASMETTALPGSTTTAGLSEKSTTFYSSPRSPDRTLSPARTTSSGVSEKSTTSHSRPGPTHTIAFPDSTTMPGVSQESTASHSIPGSTDTTLSPGTTTPSSLGPESTTFHSSPGYTKTTRLPDNTTTSGLLEASTPVHSSTGSPHTTLSPSSSTTHEGEPTTFQSWPSSKDTSPAPSGTTSAFVKLSTTYHSSPSSTPTTHFSASSTTLGHSEESTPVHSSPVATATTPPPARSATSGHVEESTAYHRSPGSTQTMHFPESSTTSGHSEESATFHGSTTHTKSSTPSTTAALAHTSYHSSLGSTETTHFRDSSTISGRSEESKASHSSPDAMATTVLPAGSTPSVLVGDSTPSPISSGSMETTALPGSTTKPGLSEKSTTFYSSPRSPDTTHLPASMTSSGVSEESTTSHSRPGSTHTTAFPGSTTMPGLSQESTASHSSPGPTDTTLSPGSTTASSLGPEYTTFHSRPGSTETTLLPDNTTASGLLEASMPVHSSTRSPHTTLSPAGSTTRQGESTTFHSWPSSKDTRPAPPTTTSAFVEPSTTSHGSPSSIPTTHISARSTTSGLVEESTTYHSSPGSTQTMHFPESDTTSGRGEESTTSHSSTTHTISSAPSTTSALVEEPTSYHSSPGSTATTHFPDSSTTSGRSEESTASHSSQDATGTIVLPARSTTSVLLGESTTSPISSGSMETTALPGSTTTPGLSERSTTFHSSPRSPATTLSPASTTSSGVSEESTTSRSRPGSTHTTAFPDSTTTPGLSRHSTTSHSSPGSTDTTLLPASTTTSGPSQESTTSHSSSGSTDTALSPGSTTALSFGQESTTFHSNPGSTHTTLFPDSTTSSGIVEASTRVHSSTGSPRTTLSPASSTSPGLQGESTAFQTHPASTHTTPSPPSTATAPVEESTTYHRSPGSTPTTHFPASSTTSGHSEKSTIFHSSPDASGTTPSSAHSTTSGRGESTTSRISPGSTEITTLPGSTTTPGLSEASTTFYSSPRSPTTTLSPASMTSLGVGEESTTSRSQPGSTHSTVSPASTTTPGLSEESTTVYSSSRGSTETTVFPHSTTTSVHGEEPTTFHSRPASTHTTLFTEDSTTSGLTEESTAFPGSPASTQTGLPATLTTADLGEESTTFPSSSGSTGTKLSPARSTTSGLVGESTPSRLSPSSTETTTLPGSPTTPSLSEKSTTFYTSPRSPDATLSPATTTSSGVSEESSTSHSQPGSTHTTAFPDSTTTSDLSQEPTTSHSSQGSTEATLSPGSTTASSLGQQSTTFHSSPGDTETTLLPDDTITSGLVEASTPTHSSTGSLHTTLTPASSTSAGLQEESTTFQSWPSSSDTTPSPPGTTAAPVEVSTTYHSRPSSTPTTHFSASSTTLGRSEESTTVHSSPGATGTALFPTRSATSVLVGEPTTSPISSGSTETTALPGSTTTAGLSEKSTTFYSSPRSPDTTLSPASTTSSGVSEESTTSHSRPGSTHTTAFPGSTTMPGVSQESTASHSSPGSTDTTLSPGSTTASSLGPESTTFHSSPGSTETTLLPDNTTASGLLEASTPVHSSTGSPHTTLSPAGSTTRQGESTTFQSWPSSKDTMPAPPTTTSAFVELSTTSHGSPSSTPTTHFSASSTTLGRSEESTTVHSSPVATATTPSPARSTTSGLVEESTAYHSSPGSTQTMHFPESSTASGRSEESRTSHSSTTHTISSPPSTTSALVEEPTSYHSSPGSTATTHFPDSSTTSGRSEESTASHSSQDATGTIVLPARSTTSVLLGESTTSPISSGSMETTALPGSTTTPGLSEKSTTFHSSPRSPATTLSPASTTSSGVSEESTTSHSRPGSTHTTAFPDSTTTPGLSRHSTTSHSSPGSTDTTLLPASTTTSGPSQESTTSHSSPGSTDTALSPGSTTALSFGQESTTFHSSPGSTHTTLFPDSTTSSGIVEASTRVHSSTGSPRTTLSPASSTSPGLQGESTAFQTHPASTHTTPSPPSTATAPVEESTTYHRSPGSTPTTHFPASSTTSGHSEKSTIFHSSPDASGTTPSSAHSTTSGRGESTTSRISPGSTEITTLPGSTTTPGLSEASTTFYSSPRSPTTTLSPASMTSLGVGEESTTSRSQPGSTHSTVSPASTTTPGLSEESTTVYSSSPGSTETTVFPRTPTTSVRGEEPTTFHSRPASTHTTLFTEDSTTSGLTEESTAFPGSPASTQTGLPATLTTADLGEESTTFPSSSGSTGTTLSPARSTTSGLVGESTPSRLSPSSTETTTLPGSPTTPSLSEKSTTFYTSPRSPDATLSPATTTSSGVSEESSTSHSQPGSTHTTAFPDSTTTPGLSRHSTTSHSSPGSTDTTLLPASTTTSGPSQESTTSHSSPGSTDTALSPGSTTALSFGQESTTFHSSPGSTHTTLFPDSTTSSGIVEASTRVHSSTGSPRTTLSPASSTSPGLQGESTTFQTHPASTHTTPSPPSTATAPVEESTTYHRSPGSTPTTHFPASSTTSGHSEKSTIFHSSPDASGTTPSSAHSTTSGRGESTTSRISPGSTEITTLPGSTTTPGLSEASTTFYSSPRSPTTTLSPASMTSLGVGEESTTSRSQPGSTHSTVSPASTTTPGLSEESTTVYSSSPGSTETTVFPRSTTTSVRGEEPTTFHSRPASTHTTLFTEDSTTSGLTEESTAFPGSPASTQTGLPATLTTADLGEESTTFPSSSGSTGTTLSPARSTTSGLVGESTPSRLSPSSTETTTLPGSPTTPSLSEKSTTFYTSPRSPDATLSPATTTSSGVSEESSTSHSQPGSTHTTAFPDSTTTSGLSQEPTASHSSQGSTEATLSPGSTTASSLGQQSTTFHSSPGDTETTLLPDDTITSGLVEASTPTHSSTGSLHTTLTPASSTSAGLQEESTTFQSWPSSSDTTPSPPGTTAAPVEVSTTYHSRPSSTPTTHFSASSTTLGRSEESTTVHSSPGATGTALFPTRSATSVLVGEPTTSPISSGSTETTALPGSTTTAGLSEKSTTFYSSPRSPDTTLSPASTTSSGVSEESTTSHSRPGSTHTTAFPGSTTMPGVSQESTASHSSPGSTDTTLSPGSTTASSLGPESTTFHSGPGSTETTLLPDNTTASGLLEASTPVHSSTGSPHTTLSPAGSTTRQGESTTFQSWPNSKDTTPAPPTTTSAFVELSTTSHGSPSSTPTTHFSASSTTLGRSEESTTVHSSPVATATTPSPARSTTSGLVEESTTYHSSPGSTQTMHFPESDTTSGRGEESTTSHSSTTHTISSAPSTTSALVEEPTSYHSSPGSTATTHFPDSSTTSGRSEESTASHSSQDATGTIVLPARSTTSVLLGESTTSPISSGSMETTALPGSTTTPGLSEKSTTFHSSPRSPATTLSPASTTSSGVSEESTTSHSRPGSTHTTAFPDSTTTPGLSRHSTTSHSSPGSTDTTLLPASTTTSGSSQESTTSHSSSGSTDTALSPGSTTALSFGQESTTFHSSPGSTHTTLFPDSTTSSGIVEASTRVHSSTGSPRTTLSPASSTSPGLQGESTAFQTHPASTHTTPSPPSTATAPVEESTTYHRSPGSTPTTHFPASSTTSGHSEKSTIFHSSPDASGTTPSSAHSTTSGRGESTTSRISPGSTEITTLPGSTTTPGLSEASTTFYSSPRSPTTTLSPASMTSLGVGEESTTSRSQPGSTHSTVSPASTTTPGLSEESTTVYSSSPGSTETTVFPRSTTTSVRREEPTTFHSRPASTHTTLFTEDSTTSGLTEESTAFPGSPASTQTGLPATLTTADLGEESTTFPSSSGSTGTKLSPARSTTSGLVGESTPSRLSPSSTETTTLPGSPTTPSLSEKSTTFYTSPRSPDATLSPATTTSSGVSEESSTSHSQPGSTHTTAFPDSTTTSGLSQEPTTSHSSQGSTEATLSPGSTTASSLGQQSTTFHSSPGDTETTLLPDDTITSGLVEASTPTHSSTGSLHTTLTPASSTSTGLQEESTTFQSWPSSSDTTPSPPSTTAVPVEVSTTYHSRPSSTPTTHFSASSTTLGRSEESTTVHSSPGATGTALFPTRSATSVLVGEPTTSPISSGSTETTALPGSTTTAGLSEKSTTFYSSPRSPDTTLSPASTTSSGVSEESTTSHSRPGSMHTTAFPSSTTMPGVSQESTASHSSPGSTDTTLSPGSTTASSLGPESTTFHSSPGSTETTLLPDNTTASGLLEASTPVHSSTGSPHTTLSPAGSTTRQGESTTFQSWPNSKDTTPAPPTTTSAFVELSTTSHGSPSSTPTTHFSASSTTLGRSEESTTVHSSPVATATTPSPARSTTSGLVEESTTYHSSPGSTQTMHFPESNTTSGRGEESTTSHSSTTHTISSAPSTTSALVEEPTSYHSSPGSTATTHFPDSSTTSGRSEESTASHSSQDATGTIVLPARSTTSVLLGESTTSPISSGSMETTALPGSTTTPGLSEKSTTFHSSPSSTPTTHFSASSTTLGRSEESTTVHSSPVATATTPSPARSTTSGLVEESTAYHSSPGSTQTMHFPESSTASGRSEESRTSHSSTTHTISSPPSTTSALVEEPTSYHSSPGSIATTHFPESSTTSGRSEESTASHSSPDTNGITPLPAHFTTSGRIAESTTFYISPGSMETTLASTATTPGLSAKSTILYSSSRSPDQTLSPASMTSSSISGEPTSLYSQAESTHTTAFPASTTTSGLSQESTTFHSKPGSTETTLSPGSITTSSFAQEFTTPHSQPGSALSTVSPASTTVPGLSEESTTFYSSPGSTETTAFSHSNTMSIHSQQSTPFPDSPGFTHTVLPATLTTTDIGQESTAFHSSSDATGTTPLPARSTASDLVGEPTTFYISPSPTYTTLFPASSSTSGLTEESTTFHTSPSFTSTIVSTESLETLAPGLCQEGQIWNGKQCVCPQGYVGYQCLSPLESFPVETPEKLNATLGMTVKVTYRNFTEKMNDASSQEYQNFSTLFKNRMDVVLKGDNLPQYRGVNIRRLLNGSIVVKNDVILEADYTLEYEELFENLAEIVKAKIMNETRTTLLDPDSCRKAILCYSEEDTFVDSSVTPGFDFQEQCTQKAAEGYTQFYYVDVLDGKLACVNKCTKGTKSQMNCNLGTCQLQRSGPRCLCPNTNTHWYWGETCEFNIAKSLVYGIVGAVMAVLLLALIILIILFSLSQRKRHREQYDVPQEWRKEGTPGIFQKTAIWEDQNLRESRFGLENAYNNFRPTLETVDSGTELHIQRPEMVASTV
+>DECOY_sp|Q9UKN1|MUC12_HUMAN Mucin-12 OS=Homo sapiens OX=9606 GN=MUC12 PE=1 SV=2
+VTSAVMEPRQIHLETGSDVTELTPRFNNYANELGFRSERLNQDEWIATKQFIGPTGEKRWEQPVDYQERHRKRQSLSFLIILIILALLLVAMVAGVIGYVLSKAINFECTEGWYWHTNTNPCLCRPGSRQLQCTGLNCNMQSKTGKTCKNVCALKGDLVDVYYFQTYGEAAKQTCQEQFDFGPTVSSDVFTDEESYCLIAKRCSDPDLLTTRTENMIKAKVIEALNEFLEEYELTYDAELIVDNKVVISGNLLRRINVGRYQPLNDGKLVVDMRNKFLTSFNQYEQSSADNMKETFNRYTVKVTMGLTANLKEPTEVPFSELPSLCQYGVYGQPCVCQKGNWIQGEQCLGPALTELSETSVITSTFSPSTHFTTSEETLGSTSSSAPFLTTYTPSPSIYFTTPEGVLDSATSRAPLPTTGTADSSSHFATSEQGIDTTTLTAPLVTHTFGPSDPFPTSQQSHISMTNSHSFATTETSGPSSYFTTSEESLGPVTTSAPSVTSLASGPQSHPTTFEQAFSSTTISGPSLTTETSGPKSHFTTSEQSLGSTTTSAPFATTHTSEAQSYLSTPEGSISSSTMSAPSLTQDPSRSSSYLITSKASLGPTTATSALTTEMSGPSIYFTTSEAIRGSTTFHAPLPTIGNTDPSSHSATSEESRGSTTSSEPFHTTAISGPSSHYSTPEEVLASTTSPPSSITHTTSSHSTRSEESRGSATSSEPFHMTQTSGPSSHYATSEEVLGSTTSRAPSPTTATAVPSSHVTTSEESRGLTTSSASFHTTPTSSPSSHFTTSKESLGPTTTSGPLATTEMSGSSIPSTTSEGLLVSTTSRAPLVITGTADQSSHSATSEESRGSTTSSDPFHTTATSGPSSHYSTPEEVLASTTSPASSITHTTSSHSTTSEEGRGSTTNSEPFHMTQTSGPSSHYTTSEEVLGSTTSRAPSPTTATAVPSSHVTTSEESRGLTTSSASFHTTPTSSPSGHSTTSLEVFASTTTPPAPTTDKSNPWSQFTTSEGQRTTSGAPSLTTHPSGTSSHVPTSAELLGSATTNDPLLTTETSGPSSHFTTSEPGLSSATTSGPSLTTDTSGPSSHSATSEQSVGPMTTSSPFATTHMSGPRSHSTTSEESVGSSTTSAPSLTTDPSRPSSYFTTSKESLGATTTSGPLATTETSGSSIPSTTPEGVLVSTASRTPFLATGTAGPSSHVTTSEESRGLTTSSASFHTTPTSSPRSHYTTSVEVPVATTSPPSPTTDSSSPWSQFTTSEEQLGTSTSSAPTLTTHLSGTSSHTPTSAEVLGSTITDDPLLTTETDGPSSHFTTSQQGLSSATTSGPSLTAETSGQSSHSTTPEQSLGSTTTSDPFATTHTSGPQSHSTSSEESVGSSTTTAPSLTADPSRPSTYFTTSKESLSPTTPSGPLTTTETSSPSLRSPTSEGVLGSTTSRAPSLKTGTSGSSSPFTTSEEGLDATTLTAPLGTQTSAPSGPFATSEETLGSTTSDETFLTTHTSAPRSHFTTPEERRVSTTTSRPFVTTETSGPSSSYVTTSEESLGPTTTSAPSVTSHTSGPQSRSTTSEEGVGLSTMSAPSLTTTPSRPSSYFTTSAESLGPTTTSGPLTTIETSGPSIRSTTSEGRGSTTSHASSPTTGSADPSSHFITSKESHGSTTSSAPFHTTPTSGPSRHYTTSEEVPATATSPPSPTTHTSAPHTQFATSEGQLGPSTSSAPSLTTRPSGTSSHVRTSAEVIGSSTTSDPFLTTHTSGPSSHFTTSEQGFSLATTSGPSLATDTSGSSSHSTTSEQSSGSTTTSAPLLTTDTSGPSSHSTTSHRSLGPTTTSDPFATTHTSGPRSHSTTSEESVGSSTTSAPSLTTAPSRPSSHFTTSKESLGPTTTSGPLATTEMSGSSIPSTTSEGLLVSTTSRAPLVITGTADQSSHSATSEESRGSTTSSDPFHTTATSGPSSHYSTPEEVLASTTSPASSITHTTSSHSTTSEEGRGSTTDSEPFHMTQTSGPSSHYTTSEEVLGSTTSRAPSPTTATAVPSSHVTTSEESRGLTTSSASFHTTPTSSPSGHSTTSLEVFASTTTPPAPTTDKSNPWSQFTTSEGQRTTSGAPSLTTHPSGTSSHVPTSAELLGSATTNDPLLTTETSGPGSHFTTSEPGLSSATTSGPSLTTDTSGPSSHSATSEQSVGPMTTSGPFATTHTSGPRSHSTTSEESVGSSTTSAPSLTTDPSRPSSYFTTSKESLGATTTSGPLATTETSGSSIPSTTPEGVLVSTASRTPFLATGTAGPSSHVTTSEESRGLTTSSASFHTTPTSSPRSHYTTSVEVPAATTGPPSPTTDSSSPWSQFTTSEEQLGASTSSAPTLTTHLSGTSSHTPTSAEVLGSTITDDPLLTTETDGPSSHFTTSQQGLSSATTSGPSLTAETSGQSSHSATPEQSLGSTTTSDPFATTHTSGPQSHSTSSEESVGSSTTTAPSLTADPSRPSTYFTTSKESLSPTTPSGPLTTTETSSPSLRSPTSEGVLGSTTSRAPSLTTGTSGSSSPFTTSEEGLDATTLTAPLGTQTSAPSGPFATSEETLGSTTSDETFLTTHTSAPRSHFTTPEEGRVSTTTSRPFVTTETSGPSSSYVTTSEESLGPTTTSAPSVTSHTSGPQSRSTTSEEGVGLSTMSAPSLTTTPSRPSSYFTTSAESLGPTTTSGPLTTIETSGPSIRSTTSEGRGSTTSHASSPTTGSADPSSHFITSKESHGSTTSSAPFHTTPTSGPSRHYTTSEEVPATATSPPSPTTHTSAPHTQFTTSEGQLGPSTSSAPSLTTRPSGTSSHVRTSAEVIGSSTTSDPFLTTHTSGPSSHFTTSEQGFSLATTSGPSLATDTSGPSSHSTTSEQSPGSTTTSAPLLTTDTSGPSSHSTTSHRSLGPTTTSDPFATTHTSGPQSHSTSSEESVGSSTTTAPSLTADPSRPSTYFTTSKESLSPTTPSGPLTTTETSSPSLRSPTSEGVLGSTTSRAPSLTTGTSGSSSPFTTSEEGLDATTLTAPLGTQTSAPSGPFATSEETLGSTTSDETFLTTHTSAPRSHFTTPEEGRVSTTPTRPFVTTETSGPSSSYVTTSEESLGPTTTSAPSVTSHTSGPQSRSTTSEEGVGLSTMSAPSLTTTPSRPSSYFTTSAESLGPTTTSGPLTTIETSGPSIRSTTSEGRGSTTSHASSPTTGSADPSSHFITSKESHGSTTSSAPFHTTPTSGPSRHYTTSEEVPATATSPPSPTTHTSAPHTQFATSEGQLGPSTSSAPSLTTRPSGTSSHVRTSAEVIGSSTTSDPFLTTHTSGPSSHFTTSEQGFSLATTSGPSLATDTSGPSSHSTTSEQSPGSTTTSAPLLTTDTSGPSSHSTTSHRSLGPTTTSDPFATTHTSGPRSHSTTSEESVGSSTTSAPSLTTAPSRPSSHFTTSKESLGPTTTSGPLATTEMSGSSIPSTTSEGLLVSTTSRAPLVITGTADQSSHSATSEESRGSTTSSDPFHTTATSGPSSHYSTPEEVLASTTSPPSSITHTTSSHSTRSEESRGSATSSEPFHMTQTSGPSSHYATSEEVLGSTTSRAPSPTTATAVPSSHVTTSEESRGLTTSSASFHTTPTSSPSGHSTTSLEVFASTTTPPAPMTDKSSPWSQFTTSEGQRTTSGAPSLTTHPSGTSSHVPTSAELLGSATTNDPLLTTETSGPSSHFTTSEPGLSSATTSGPSLTTDTSGPSSHSATSEQSVGPMTTSGPFATTHTSGPRSHSTTSEESVGSSTTSAPSLTTDPSRPSSYFTTSKESLGATTTSGPLATTETSGSSIPSTTPEGVLVSTASRTPFLATGTAGPSSHVTTSEESRGLTTSSASFHTTPTSSPRSHYTTSVEVPAATTGPPSPTTDSSSPWSQFTTSEEQLGASTSSAPTLTTHLSGTSSHTPTSAEVLGSTITDDPLLTTETDGPSSHFTTSQQGLSSATTSGPSLTAETSGQSSHSTTPEQSLDSTTTSDPFATTHTSGPQSHSTSSEESVGSSTTTAPSLTADPSRPSTYFTTSKESLSPTTPSGPLTTTETSSPSLRSPTSEGVLGSTTSRAPSLKTGTSGSSSPFTTSEEGLDATTLTAPLGTQTSAPSGPFATSEETLGSTTSDETFLTTHTSAPRSHFTTPEEGHVSTTTSHPFVTTETSGRSSSYVTTSEESLGPTTTSAPSVTSHTSGPQSRSTTSEEGVGLSTMSAPSLTTTPSRPSSYFTTSAESLGPTTTSGPLTTIETSGPSIRSTTSEGRGSTTSHASSPTTGSADPSSHFITSKESHGSTTSSAPFHTTPTSGPSRHYTTSEEVPATATSPPSPTTHTSAPHTQFATSEGQLGPSTSSAPSLTTRPSGTSSHVRTSAEVIGSSTTSDPFLTTHTSGPNSHFTTSEQGFSLATTSGPSLATDTSGSSSHSTTSEQSPGSTTTSAPLLTTDTSGPSSHSTTSHRSLGPTTTSDPFATTHTSGPRSRSTTSEESVGSSTTSAPSLTTAPSRPSSHFTTSRESLGPTTTSGPLATTEMSGSSIPSTTSEGLLVSTTSRAPLVITGTADQSSHSATSEESRGSTTSSDPFHTTATSGPSSHYSTPEEVLASTTSPASSITHTTSSHSTTSEEGRGSTTDSEPFHMTQTSGPSSHYTTSEEVLGSTTSRASIHTTPISSPSGHSTTSPEVFASTTTPPAPRTDKSSPWSHFTTSEGQRTTSGAPSLTTHPSRTSSHVPMSAELLGSATTNDPLLTTETSGPRSHFTTYEPGLSSATTSGPSLTTDTPGPSSHSATSEQSLGPMTTSGPFATTHTSGPRSHSTTSEESVGSSTMSAPLHTTDPSRPSSYFTTSKESLGPKTTSGPLATTEMSGSSIPSPTSDGVLVSPTSGAPLVTTAMADPSSHSAKSEESRGSITSSDRFHTTETSGLSSHYSTHALAATTSPTSSKTHTTSGHFTASEESHGSTTSSEPFHMTQTSGPSRHYATSEEVHGSTASRAPPPTTATAVPSSHVPTSEESHGLTTSSASFHTTPTSSPSSHYTTSLKVFASTTGSPAPSTDKSSPWSQFTTPEGEHTTSSSPSLTTHPSGTSSHVPTSAELLGSTTTNDPLRTTKTYGPSSHFTTSEPGLSSPTTTGPSLTTDTSGPISHSATSEQSVGPMTTSDPFAITHTPGPRSHSTTSKESVGSSTTRAPSLTRDPSRPSSYFTTSKESLGATTTSGPLATTEMSASTIPSTKPEGVFVSTASHSPFLTTGTAGPGSHSVTSEESHGSNTSSDLFHKTATSGTIFTVSVGYDSFTTFPDSSSPTSASTTNGGISTNVTSGTIQSFGSFTSHNIVTEQREKERAGAARAQESLPLGLSFGEARGDGDDGPSAFEVLGLNKVRAGTMWLHVLVVVSEGAFMVSWGASAGEDKLANRCRLEGASRHFHNKQRRLTSKGQEVTQKELVEGTWLTGQQSIAKHVASGEPTVTTVSACLRLALTLIWIVLM
+>sp|P43121|MUC18_HUMAN Cell surface glycoprotein MUC18 OS=Homo sapiens OX=9606 GN=MCAM PE=1 SV=2
+MGLPRLVCAFLLAACCCCPRVAGVPGEAEQPAPELVEVEVGSTALLKCGLSQSQGNLSHVDWFSVHKEKRTLIFRVRQGQGQSEPGEYEQRLSLQDRGATLALTQVTPQDERIFLCQGKRPRSQEYRIQLRVYKAPEEPNIQVNPLGIPVNSKEPEEVATCVGRNGYPIPQVIWYKNGRPLKEEKNRVHIQSSQTVESSGLYTLQSILKAQLVKEDKDAQFYCELNYRLPSGNHMKESREVTVPVFYPTEKVWLEVEPVGMLKEGDRVEIRCLADGNPPPHFSISKQNPSTREAEEETTNDNGVLVLEPARKEHSGRYECQGLDLDTMISLLSEPQELLVNYVSDVRVSPAAPERQEGSSLTLTCEAESSQDLEFQWLREETGQVLERGPVLQLHDLKREAGGGYRCVASVPSIPGLNRTQLVNVAIFGPPWMAFKERKVWVKENMVLNLSCEASGHPRPTISWNVNGTASEQDQDPQRVLSTLNVLVTPELLETGVECTASNDLGKNTSILFLELVNLTTLTPDSNTTTGLSTSTASPHTRANSTSTERKLPEPESRGVVIVAVIVCILVLAVLGAVLYFLYKKGKLPCRRSGKQEITLPPSRKSELVVEVKSDKLPEEMGLLQGSSGDKRAPGDQGEKYIDLRH
+>DECOY_sp|P43121|MUC18_HUMAN Cell surface glycoprotein MUC18 OS=Homo sapiens OX=9606 GN=MCAM PE=1 SV=2
+HRLDIYKEGQDGPARKDGSSGQLLGMEEPLKDSKVEVVLESKRSPPLTIEQKGSRRCPLKGKKYLFYLVAGLVALVLICVIVAVIVVGRSEPEPLKRETSTSNARTHPSATSTSLGTTTNSDPTLTTLNVLELFLISTNKGLDNSATCEVGTELLEPTVLVNLTSLVRQPDQDQESATGNVNWSITPRPHGSAECSLNLVMNEKVWVKREKFAMWPPGFIAVNVLQTRNLGPISPVSAVCRYGGGAERKLDHLQLVPGRELVQGTEERLWQFELDQSSEAECTLTLSSGEQREPAAPSVRVDSVYNVLLEQPESLLSIMTDLDLGQCEYRGSHEKRAPELVLVGNDNTTEEEAERTSPNQKSISFHPPPNGDALCRIEVRDGEKLMGVPEVELWVKETPYFVPVTVERSEKMHNGSPLRYNLECYFQADKDEKVLQAKLISQLTYLGSSEVTQSSQIHVRNKEEKLPRGNKYWIVQPIPYGNRGVCTAVEEPEKSNVPIGLPNVQINPEEPAKYVRLQIRYEQSRPRKGQCLFIREDQPTVQTLALTAGRDQLSLRQEYEGPESQGQGQRVRFILTRKEKHVSFWDVHSLNGQSQSLGCKLLATSGVEVEVLEPAPQEAEGPVGAVRPCCCCAALLFACVLRPLGM
+>sp|E2RYF6|MUC22_HUMAN Mucin-22 OS=Homo sapiens OX=9606 GN=MUC22 PE=1 SV=2
+MRRGNISPAFWFLWLLLFGLLGPSSENTTAFTKGSDTTTASITGSETTMASTMASTSALTTGSKITTDSTTGSETTSASTMASTAAFTTGSETNTASTTDSGTTIASTRTFTTGSDTTTGSTAGSETIVASTTVSGTTTTFTIASTTVPETTMASSTTSTAGSEKTMASSIISETTMASTTGSETATVSTTGSETTTTSTASSEATKVSTTGSETTTASTAGSETTTTSTSMAGSEATTTSTADSKVITASSMSSETTVAPAAGSNTTTASTTGSETTTILIKASETTTASTAGSETTTPSPTGSQTTIVSISGSEITTTSTAGSENTTVSSAGSGTTTASMAGSETTVSTAGSETTTVSITGTETTMVSAMGSETTTNSTTSSETTVTSTAGSETTTVSTVGSETTTAYTADSETTAASTTGSEMTTVFTAGSETITPSTAGSETTTVSTAGSETTTVSTTGSETTTASTAHSETTAASTMGSETTKVSTAGSETTVSTAGSETTAASTEDSETNTAFTEDSKTTTASTTGFETTAASTTGSEPTMASTMGSETTMASTIGPETTKVSTASSEVTTVFAAGSETIRASTVGSETTTVSTTGSETTTASIMGSETSTDSTTGSETTTASTEGSETTTASTEGSEATTVSTTGSETTTVSITDSETTTTCTEGSEMTAVSTTVFETTTASTEGSEITIASTSDSETTTASTEGSETTTVTTAGSETKTAYTTGSETTTASNTGLETTTVFTIGSDTTTASTEGSETTAVSATGSEMTTVSTEGSENTTVSTTGSETTTVSTTGLETTTTSTEGSEMTTVSTTGAETTTDSTEGSGTTAASTAGSETTTVSTADSENTTASTADSETTSASTTGSETTTASTTSSETTTASTEGSETTTVSTTDSETTMVSTTGSERTITSTEGSETTTVSATGSETTVSTEGSGTTTVSITGSETTKVSTTGSETTTTSTEGSEITTASITGSETTTASTEGSETTTASTEGSETTSASTTGSETTTASTTSSETTMASIMGSETTMASTIGSETTKVSTASSKMTTVFTENSETTIASTTASETTTVSTAGSETIPASTAGSETTTTTSTEGSETTTASTEGSETTTASTESSETTTATTIGSETTTASTEGSETTTTSTEGSETTTASTEGSEITTVSTTGSETTTASTEGSETTTASTEGSELTTVSTTGSETITVSAEGSETTTVTTMGSETTTASTAGSETTTVSTAGSETTTASIEGSETTTVSSTGSETTTVSTTGTETTITSTEGSETTTVTTAGSETTAVYTTGSETTTTSTEGSETTTVSTTGSETTTASTADLETTTVSTSGSGTTTASTAGSETTTVYITGSKTTTASTEGSEATTVSTTSSETTTASTTGSEMTTVFTTVSETTTVSTIGSEATTSSAAGSEATTTSTEGSETTTASTAGSETTTASTAGSETTTASTSGSETNTACTTGSETSTPSSAGSETNTAFIIGSESTIASTASLEPTATSLTGSETTTVSITASGATAASTTVSSTTFVLTKATDVSIQPITNTPMSGTRTTGTRLTASSSVTMAPGMDFTASAASHTVPGIVLNTSGLGTSTMGASSTTSAHGVRTTTGSTREPTSSTFQETGPVSMGTNTVSMSHTPTNVIKPSGYLQPWAIILISLAAVVAAVGLSVGLSFCLRNLFFPLRYCGIYYPHGHSHSLGLDLNLGLGSGTFHSLGNALVHGGELEMGHGGTHGFGYGVGHGLSHIHGDGYGVNHGGHYGHGGGH
+>DECOY_sp|E2RYF6|MUC22_HUMAN Mucin-22 OS=Homo sapiens OX=9606 GN=MUC22 PE=1 SV=2
+HGGGHGYHGGHNVGYGDGHIHSLGHGVGYGFGHTGGHGMELEGGHVLANGLSHFTGSGLGLNLDLGLSHSHGHPYYIGCYRLPFFLNRLCFSLGVSLGVAAVVAALSILIIAWPQLYGSPKIVNTPTHSMSVTNTGMSVPGTEQFTSSTPERTSGTTTRVGHASTTSSAGMTSTGLGSTNLVIGPVTHSAASATFDMGPAMTVSSSATLRTGTTRTGSMPTNTIPQISVDTAKTLVFTTSSVTTSAATAGSATISVTTTESGTLSTATPELSATSAITSESGIIFATNTESGASSPTSTESGTTCATNTESGSTSATTTESGATSATTTESGATSATTTESGETSTTTAESGAASSTTAESGITSVTTTESVTTFVTTMESGTTSATTTESSTTSVTTAESGETSATTTKSGTIYVTTTESGATSATTTGSGSTSVTTTELDATSATTTESGTTSVTTTESGETSTTTTESGTTYVATTESGATTVTTTESGETSTITTETGTTSVTTTESGTSSVTTTESGEISATTTESGATSVTTTESGATSATTTESGMTTVTTTESGEASVTITESGTTSVTTLESGETSATTTESGETSATTTESGTTSVTTIESGETSATTTESGETSTTTTESGETSATTTESGITTATTTESSETSATTTESGETSATTTESGETSTTTTTESGATSAPITESGATSVTTTESATTSAITTESNETFVTTMKSSATSVKTTESGITSAMTTESGMISAMTTESSTTSATTTESGTTSASTTESGETSATTTESGETSATTTESGTISATTIESGETSTTTTESGTTSVKTTESGTISVTTTGSGETSVTTESGTASVTTTESGETSTITRESGTTSVMTTESDTTSVTTTESGETSATTTESSTTSATTTESGTTSASTTESDATSATTNESDATSVTTTESGATSAATTGSGETSDTTTEAGTTSVTTMESGETSTTTTELGTTSVTTTESGTTSVTTNESGETSVTTMESGTASVATTESGETSATTTDSGITFVTTTELGTNSATTTESGTTYATKTESGATTVTTTESGETSATTTESDSTSAITIESGETSATTTEFVTTSVATMESGETCTTTTESDTISVTTTESGTTSVTTAESGETSATTTESGETSATTTESGTTSDTSTESGMISATTTESGTTSVTTTESGVTSARITESGAAFVTTVESSATSVKTTEPGITSAMTTESGMTSAMTPESGTTSAATTEFGTTSATTTKSDETFATNTESDETSAATTESGATSVTTESGATSVKTTESGMTSAATTESHATSATTTESGTTSVTTTESGATSVTTTESGATSPTITESGATFVTTMESGTTSAATTESDATYATTTESGVTSVTTTESGATSTVTTESSTTSNTTTESGMASVMTTETGTISVTTTESGATSVTTESGAMSATTTGSGASSVTTNESGATSTTTIESGSISVITTQSGTPSPTTTESGATSATTTESAKILITTTESGTTSATTTNSGAAPAVTTESSMSSATIVKSDATSTTTAESGAMSTSTTTTESGATSATTTESGTTSVKTAESSATSTTTTESGTTSVTATESGTTSAMTTESIISSAMTKESGATSTTSSAMTTEPVTTSAITFTTTTGSVTTSAVITESGATSGTTTDSGTTFTRTSAITTGSDTTSATNTESGTTFAATSAMTSASTTESGTTSDTTIKSGTTLASTSAMTSAMTTESGTISATTTDSGKTFATTNESSPGLLGFLLLWLFWFAPSINGRRM
+>sp|Q04900|MUC24_HUMAN Sialomucin core protein 24 OS=Homo sapiens OX=9606 GN=CD164 PE=1 SV=2
+MSRLSRSLLWAATCLGVLCVLSADKNTTQHPNVTTLAPISNVTSAPVTSLPLVTTPAPETCEGRNSCVSCFNVSVVNTTCFWIECKDESYCSHNSTVSDCQVGNTTDFCSVSTATPVPTANSTAKPTVQPSPSTTSKTVTTSGTTNNTVTPTSQPVRKSTFDAASFIGGIVLVLGVQAVIFFLYKFCKSKERNYHTL
+>DECOY_sp|Q04900|MUC24_HUMAN Sialomucin core protein 24 OS=Homo sapiens OX=9606 GN=CD164 PE=1 SV=2
+LTHYNREKSKCFKYLFFIVAQVGLVLVIGGIFSAADFTSKRVPQSTPTVTNNTTGSTTVTKSTTSPSPQVTPKATSNATPVPTATSVSCFDTTNGVQCDSVTSNHSCYSEDKCEIWFCTTNVVSVNFCSVCSNRGECTEPAPTTVLPLSTVPASTVNSIPALTTVNPHQTTNKDASLVCLVGLCTAAWLLSRSLRSM
+>sp|Q96DR8|MUCL1_HUMAN Mucin-like protein 1 OS=Homo sapiens OX=9606 GN=MUCL1 PE=1 SV=1
+MKFLAVLVLLGVSIFLVSAQNPTTAAPADTYPATGPADDEAPDAETTAAATTATTAAPTTATTAASTTARKDIPVLPKWVGDLPNGRVCP
+>DECOY_sp|Q96DR8|MUCL1_HUMAN Mucin-like protein 1 OS=Homo sapiens OX=9606 GN=MUCL1 PE=1 SV=1
+PCVRGNPLDGVWKPLVPIDKRATTSAATTATTPAATTATTAAATTEADPAEDDAPGTAPYTDAPAATTPNQASVLFISVGLLVLVALFKM
+>sp|Q2TAK8|MUM1_HUMAN PWWP domain-containing protein MUM1 OS=Homo sapiens OX=9606 GN=MUM1 PE=1 SV=3
+MADAKYVLCRWEKRLWPAKVLARTATSTKNKRRKEYFLAVQILSLEEKIKVKSTEVEILEKSQIEAIASSLASQNEVPAAPLEELAYRRSLRVALDVLSEGSIWSQESSAGTGRADRSLRGKPMEHVSSPCDSNSSSLPRGDVLGSSRPHRRRPCVQQSLSSSFTCEKDPECKVDHKKGLRKSENPRGPLVLPAGGGAQDESGSRIHHKNWTLASKRGGNSAQKASLCLNGSSLSEDDTERDMGSKGGSWAAPSLPSGVREDDPCANAEGHDPGLPLGSLTAPPAPEPSACSEPGECPAKKRPRLDGSQRPPAVQLEPMAAGAAPSPGPGPGPRESVTPRSTARLGPPPSHASADATRCLPCPDSQKLEKECQSSEESMGSNSMRSILEEDEEDEEPPRVLLYHEPRSFEVGMLVWHKHKKYPFWPAVVKSVRQRDKKASVLYIEGHMNPKMKGFTVSLKSLKHFDCKEKQTLLNQAREDFNQDIGWCVSLITDYRVRLGCGSFAGSFLEYYAADISYPVRKSIQQDVLGTKLPQLSKGSPEEPVVGCPLGQRQPCRKMLPDRSRAARDRANQKLVEYIVKAKGAESHLRAILKSRKPSRWLQTFLSSSQYVTCVETYLEDEGQLDLVVKYLQGVYQEVGAKVLQRTNGDRIRFILDVLLPEAIICAISAVDEVDYKTAEEKYIKGPSLSYREKEIFDNQLLEERNRRRR
+>DECOY_sp|Q2TAK8|MUM1_HUMAN PWWP domain-containing protein MUM1 OS=Homo sapiens OX=9606 GN=MUM1 PE=1 SV=3
+RRRRNREELLQNDFIEKERYSLSPGKIYKEEATKYDVEDVASIACIIAEPLLVDLIFRIRDGNTRQLVKAGVEQYVGQLYKVVLDLQGEDELYTEVCTVYQSSSLFTQLWRSPKRSKLIARLHSEAGKAKVIYEVLKQNARDRAARSRDPLMKRCPQRQGLPCGVVPEEPSGKSLQPLKTGLVDQQISKRVPYSIDAAYYELFSGAFSGCGLRVRYDTILSVCWGIDQNFDERAQNLLTQKEKCDFHKLSKLSVTFGKMKPNMHGEIYLVSAKKDRQRVSKVVAPWFPYKKHKHWVLMGVEFSRPEHYLLVRPPEEDEEDEELISRMSNSGMSEESSQCEKELKQSDPCPLCRTADASAHSPPPGLRATSRPTVSERPGPGPGPSPAAGAAMPELQVAPPRQSGDLRPRKKAPCEGPESCASPEPAPPATLSGLPLGPDHGEANACPDDERVGSPLSPAAWSGGKSGMDRETDDESLSSGNLCLSAKQASNGGRKSALTWNKHHIRSGSEDQAGGGAPLVLPGRPNESKRLGKKHDVKCEPDKECTFSSSLSQQVCPRRRHPRSSGLVDGRPLSSSNSDCPSSVHEMPKGRLSRDARGTGASSEQSWISGESLVDLAVRLSRRYALEELPAAPVENQSALSSAIAEIQSKELIEVETSKVKIKEELSLIQVALFYEKRRKNKTSTATRALVKAPWLRKEWRCLVYKADAM
+>sp|P53602|MVD1_HUMAN Diphosphomevalonate decarboxylase OS=Homo sapiens OX=9606 GN=MVD PE=1 SV=1
+MASEKPLAAVTCTAPVNIAVIKYWGKRDEELVLPINSSLSVTLHQDQLKTTTTAVISKDFTEDRIWLNGREEDVGQPRLQACLREIRCLARKRRNSRDGDPLPSSLSCKVHVASVNNFPTAAGLASSAAGYACLAYTLARVYGVESDLSEVARRGSGSACRSLYGGFVEWQMGEQADGKDSIARQVAPESHWPELRVLILVVSAEKKLTGSTVGMRASVETSPLLRFRAESVVPARMAEMARCIRERDFPSFAQLTMKDSNQFHATCLDTFPPISYLNAISWRIIHLVHRFNAHHGDTKVAYTFDAGPNAVIFTLDDTVAEFVAAVWHGFPPGSNGDTFLKGLQVRPAPLSAELQAALAMEPTPGGVKYIIVTQVGPGPQILDDPCAHLLGPDGLPKPAA
+>DECOY_sp|P53602|MVD1_HUMAN Diphosphomevalonate decarboxylase OS=Homo sapiens OX=9606 GN=MVD PE=1 SV=1
+AAPKPLGDPGLLHACPDDLIQPGPGVQTVIIYKVGGPTPEMALAAQLEASLPAPRVQLGKLFTDGNSGPPFGHWVAAVFEAVTDDLTFIVANPGADFTYAVKTDGHHANFRHVLHIIRWSIANLYSIPPFTDLCTAHFQNSDKMTLQAFSPFDRERICRAMEAMRAPVVSEARFRLLPSTEVSARMGVTSGTLKKEASVVLILVRLEPWHSEPAVQRAISDKGDAQEGMQWEVFGGYLSRCASGSGRRAVESLDSEVGYVRALTYALCAYGAASSALGAATPFNNVSAVHVKCSLSSPLPDGDRSNRRKRALCRIERLCAQLRPQGVDEERGNLWIRDETFDKSIVATTTTKLQDQHLTVSLSSNIPLVLEEDRKGWYKIVAINVPATCTVAALPKESAM
+>sp|P20591|MX1_HUMAN Interferon-induced GTP-binding protein Mx1 OS=Homo sapiens OX=9606 GN=MX1 PE=1 SV=4
+MVVSEVDIAKADPAAASHPLLLNGDATVAQKNPGSVAENNLCSQYEEKVRPCIDLIDSLRALGVEQDLALPAIAVIGDQSSGKSSVLEALSGVALPRGSGIVTRCPLVLKLKKLVNEDKWRGKVSYQDYEIEISDASEVEKEINKAQNAIAGEGMGISHELITLEISSRDVPDLTLIDLPGITRVAVGNQPADIGYKIKTLIKKYIQRQETISLVVVPSNVDIATTEALSMAQEVDPEGDRTIGILTKPDLVDKGTEDKVVDVVRNLVFHLKKGYMIVKCRGQQEIQDQLSLSEALQREKIFFENHPYFRDLLEEGKATVPCLAEKLTSELITHICKSLPLLENQIKETHQRITEELQKYGVDIPEDENEKMFFLIDKVNAFNQDITALMQGEETVGEEDIRLFTRLRHEFHKWSTIIENNFQEGHKILSRKIQKFENQYRGRELPGFVNYRTFETIVKQQIKALEEPAVDMLHTVTDMVRLAFTDVSIKNFEEFFNLHRTAKSKIEDIRAEQEREGEKLIRLHFQMEQIVYCQDQVYRGALQKVREKELEEEKKKKSWDFGAFQSSSATDSSMEEIFQHLMAYHQEASKRISSHIPLIIQFFMLQTYGQQLQKAMLQLLQDKDTYSWLLKERSDTSDKRKFLKERLARLTQARRRLAQFPG
+>DECOY_sp|P20591|MX1_HUMAN Interferon-induced GTP-binding protein Mx1 OS=Homo sapiens OX=9606 GN=MX1 PE=1 SV=4
+GPFQALRRRAQTLRALREKLFKRKDSTDSREKLLWSYTDKDQLLQLMAKQLQQGYTQLMFFQIILPIHSSIRKSAEQHYAMLHQFIEEMSSDTASSSQFAGFDWSKKKKEEELEKERVKQLAGRYVQDQCYVIQEMQFHLRILKEGEREQEARIDEIKSKATRHLNFFEEFNKISVDTFALRVMDTVTHLMDVAPEELAKIQQKVITEFTRYNVFGPLERGRYQNEFKQIKRSLIKHGEQFNNEIITSWKHFEHRLRTFLRIDEEGVTEEGQMLATIDQNFANVKDILFFMKENEDEPIDVGYKQLEETIRQHTEKIQNELLPLSKCIHTILESTLKEALCPVTAKGEELLDRFYPHNEFFIKERQLAESLSLQDQIEQQGRCKVIMYGKKLHFVLNRVVDVVKDETGKDVLDPKTLIGITRDGEPDVEQAMSLAETTAIDVNSPVVVLSITEQRQIYKKILTKIKYGIDAPQNGVAVRTIGPLDILTLDPVDRSSIELTILEHSIGMGEGAIANQAKNIEKEVESADSIEIEYDQYSVKGRWKDENVLKKLKLVLPCRTVIGSGRPLAVGSLAELVSSKGSSQDGIVAIAPLALDQEVGLARLSDILDICPRVKEEYQSCLNNEAVSGPNKQAVTADGNLLLPHSAAAPDAKAIDVESVVM
+>sp|P12872|MOTI_HUMAN Promotilin OS=Homo sapiens OX=9606 GN=MLN PE=1 SV=1
+MVSRKAVAALLVVHVAAMLASQTEAFVPIFTYGELQRMQEKERNKGQKKSLSVWQRSGEEGPVDPAEPIREEENEMIKLTAPLEIGMRMNSRQLEKYPATLEGLLSEMLPQHAAK
+>DECOY_sp|P12872|MOTI_HUMAN Promotilin OS=Homo sapiens OX=9606 GN=MLN PE=1 SV=1
+KAAHQPLMESLLGELTAPYKELQRSNMRMGIELPATLKIMENEEERIPEAPDVPGEEGSRQWVSLSKKQGKNREKEQMRQLEGYTFIPVFAETQSALMAAVHVVLLAAVAKRSVM
+>sp|Q9Y5U8|MPC1_HUMAN Mitochondrial pyruvate carrier 1 OS=Homo sapiens OX=9606 GN=MPC1 PE=1 SV=1
+MAGALVRKAADYVRSKDFRDYLMSTHFWGPVANWGLPIAAINDMKKSPEIISGRMTFALCCYSLTFMRFAYKVQPRNWLLFACHATNEVAQLIQGGRLIKHEMTKTASA
+>DECOY_sp|Q9Y5U8|MPC1_HUMAN Mitochondrial pyruvate carrier 1 OS=Homo sapiens OX=9606 GN=MPC1 PE=1 SV=1
+ASATKTMEHKILRGGQILQAVENTAHCAFLLWNRPQVKYAFRMFTLSYCCLAFTMRGSIIEPSKKMDNIAAIPLGWNAVPGWFHTSMLYDRFDKSRVYDAAKRVLAGAM
+>sp|Q00325|MPCP_HUMAN Phosphate carrier protein, mitochondrial OS=Homo sapiens OX=9606 GN=SLC25A3 PE=1 SV=2
+MFSSVAHLARANPFNTPHLQLVHDGLGDLRSSSPGPTGQPRRPRNLAAAAVEEQYSCDYGSGRFFILCGLGGIISCGTTHTALVPLDLVKCRMQVDPQKYKGIFNGFSVTLKEDGVRGLAKGWAPTFLGYSMQGLCKFGFYEVFKVLYSNMLGEENTYLWRTSLYLAASASAEFFADIALAPMEAAKVRIQTQPGYANTLRDAAPKMYKEEGLKAFYKGVAPLWMRQIPYTMMKFACFERTVEALYKFVVPKPRSECSKPEQLVVTFVAGYIAGVFCAIVSHPADSVVSVLNKEKGSSASLVLKRLGFKGVWKGLFARIIMIGTLTALQWFIYDSVKVYFRLPRPPPPEMPESLKKKLGLTQ
+>DECOY_sp|Q00325|MPCP_HUMAN Phosphate carrier protein, mitochondrial OS=Homo sapiens OX=9606 GN=SLC25A3 PE=1 SV=2
+QTLGLKKKLSEPMEPPPPRPLRFYVKVSDYIFWQLATLTGIMIIRAFLGKWVGKFGLRKLVLSASSGKEKNLVSVVSDAPHSVIACFVGAIYGAVFTVVLQEPKSCESRPKPVVFKYLAEVTREFCAFKMMTYPIQRMWLPAVGKYFAKLGEEKYMKPAADRLTNAYGPQTQIRVKAAEMPALAIDAFFEASASAALYLSTRWLYTNEEGLMNSYLVKFVEYFGFKCLGQMSYGLFTPAWGKALGRVGDEKLTVSFGNFIGKYKQPDVQMRCKVLDLPVLATHTTGCSIIGGLGCLIFFRGSGYDCSYQEEVAAAALNRPRRPQGTPGPSSSRLDGLGDHVLQLHPTNFPNARALHAVSSFM
+>sp|Q9NZW5|MPP6_HUMAN MAGUK p55 subfamily member 6 OS=Homo sapiens OX=9606 GN=MPP6 PE=1 SV=2
+MQQVLENLTELPSSTGAEEIDLIFLKGIMENPIVKSLAKAHERLEDSKLEAVSDNNLELVNEILEDITPLINVDENVAELVGILKEPHFQSLLEAHDIVASKCYDSPPSSPEMNNSSINNQLLPVDAIRILGIHKRAGEPLGVTFRVENNDLVIARILHGGMIDRQGLLHVGDIIKEVNGHEVGNNPKELQELLKNISGSVTLKILPSYRDTITPQQVFVKCHFDYNPYNDNLIPCKEAGLKFSKGEILQIVNREDPNWWQASHVKEGGSAGLIPSQFLEEKRKAFVRRDWDNSGPFCGTISSKKKKKMMYLTTRNAEFDRHEIQIYEEVAKMPPFQRKTLVLIGAQGVGRRSLKNRFIVLNPTRFGTTVPFTSRKPREDEKDGQAYKFVSRSEMEADIKAGKYLEHGEYEGNLYGTKIDSILEVVQTGRTCILDVNPQALKVLRTSEFMPYVVFIAAPELETLRAMHKAVVDAGITTKLLTDSDLKKTVDESARIQRAYNHYFDLIIINDNLDKAFEKLQTAIEKLRMEPQWVPISWVY
+>DECOY_sp|Q9NZW5|MPP6_HUMAN MAGUK p55 subfamily member 6 OS=Homo sapiens OX=9606 GN=MPP6 PE=1 SV=2
+YVWSIPVWQPEMRLKEIATQLKEFAKDLNDNIIILDFYHNYARQIRASEDVTKKLDSDTLLKTTIGADVVAKHMARLTELEPAAIFVVYPMFESTRLVKLAQPNVDLICTRGTQVVELISDIKTGYLNGEYEGHELYKGAKIDAEMESRSVFKYAQGDKEDERPKRSTFPVTTGFRTPNLVIFRNKLSRRGVGQAGILVLTKRQFPPMKAVEEYIQIEHRDFEANRTTLYMMKKKKKSSITGCFPGSNDWDRRVFAKRKEELFQSPILGASGGEKVHSAQWWNPDERNVIQLIEGKSFKLGAEKCPILNDNYPNYDFHCKVFVQQPTITDRYSPLIKLTVSGSINKLLEQLEKPNNGVEHGNVEKIIDGVHLLGQRDIMGGHLIRAIVLDNNEVRFTVGLPEGARKHIGLIRIADVPLLQNNISSNNMEPSSPPSDYCKSAVIDHAELLSQFHPEKLIGVLEAVNEDVNILPTIDELIENVLELNNDSVAELKSDELREHAKALSKVIPNEMIGKLFILDIEEAGTSSPLETLNELVQQM
+>sp|Q99549|MPP8_HUMAN M-phase phosphoprotein 8 OS=Homo sapiens OX=9606 GN=MPHOSPH8 PE=1 SV=2
+MEQVAEGARVTAVPVSAADSTEELAEVEEGVGVVGEDNDAAARGAEAFGDSEEDGEDVFEVEKILDMKTEGGKVLYKVRWKGYTSDDDTWEPEIHLEDCKEVLLEFRKKIAENKAKAVRKDIQRLSLNNDIFEANSDSDQQSETKEDTSPKKKKKKLRQREEKSPDDLKKKKAKAGKLKDKSKPDLESSLESLVFDLRTKKRISEAKEELKESKKPKKDEVKETKELKKVKKGEIRDLKTKTREDPKENRKTKKEKFVESQVESESSVLNDSPFPEDDSEGLHSDSREEKQNTKSARERAGQDMGLEHGFEKPLDSAMSAEEDTDVRGRRKKKTPRKAEDTRENRKLENKNAFLEKKTVPKKQRNQDRSKSAAELEKLMPVSAQTPKGRRLSGEERGLWSTDSAEEDKETKRNESKEKYQKRHDSDKEEKGRKEPKGLKTLKEIRNAFDLFKLTPEEKNDVSENNRKREEIPLDFKTIDDHKTKENKQSLKERRNTRDETDTWAYIAAEGDQEVLDSVCQADENSDGRQQILSLGMDLQLEWMKLEDFQKHLDGKDENFAATDAIPSNVLRDAVKNGDYITVKVALNSNEEYNLDQEDSSGMTLVMLAAAGGQDDLLRLLITKGAKVNGRQKNGTTALIHAAEKNFLTTVAILLEAGAFVNVQQSNGETALMKACKRGNSDIVRLVIECGADCNILSKHQNSALHFAKQSNNVLVYDLLKNHLETLSRVAEETIKDYFEARLALLEPVFPIACHRLCEGPDFSTDFNYKPPQNIPEGSGILLFIFHANFLGKEVIARLCGPCSVQAVVLNDKFQLPVFLDSHFVYSFSPVAGPNKLFIRLTEAPSAKVKLLIGAYRVQLQ
+>DECOY_sp|Q99549|MPP8_HUMAN M-phase phosphoprotein 8 OS=Homo sapiens OX=9606 GN=MPHOSPH8 PE=1 SV=2
+QLQVRYAGILLKVKASPAETLRIFLKNPGAVPSFSYVFHSDLFVPLQFKDNLVVAQVSCPGCLRAIVEKGLFNAHFIFLLIGSGEPINQPPKYNFDTSFDPGECLRHCAIPFVPELLALRAEFYDKITEEAVRSLTELHNKLLDYVLVNNSQKAFHLASNQHKSLINCDAGCEIVLRVIDSNGRKCAKMLATEGNSQQVNVFAGAELLIAVTTLFNKEAAHILATTGNKQRGNVKAGKTILLRLLDDQGGAAALMVLTMGSSDEQDLNYEENSNLAVKVTIYDGNKVADRLVNSPIADTAAFNEDKGDLHKQFDELKMWELQLDMGLSLIQQRGDSNEDAQCVSDLVEQDGEAAIYAWTDTEDRTNRREKLSQKNEKTKHDDITKFDLPIEERKRNNESVDNKEEPTLKFLDFANRIEKLTKLGKPEKRGKEEKDSDHRKQYKEKSENRKTEKDEEASDTSWLGREEGSLRRGKPTQASVPMLKELEAASKSRDQNRQKKPVTKKELFANKNELKRNERTDEAKRPTKKKRRGRVDTDEEASMASDLPKEFGHELGMDQGARERASKTNQKEERSDSHLGESDDEPFPSDNLVSSESEVQSEVFKEKKTKRNEKPDERTKTKLDRIEGKKVKKLEKTEKVEDKKPKKSEKLEEKAESIRKKTRLDFVLSELSSELDPKSKDKLKGAKAKKKKLDDPSKEERQRLKKKKKKPSTDEKTESQQDSDSNAEFIDNNLSLRQIDKRVAKAKNEAIKKRFELLVEKCDELHIEPEWTDDDSTYGKWRVKYLVKGGETKMDLIKEVEFVDEGDEESDGFAEAGRAAADNDEGVVGVGEEVEALEETSDAASVPVATVRAGEAVQEM
+>sp|Q10713|MPPA_HUMAN Mitochondrial-processing peptidase subunit alpha OS=Homo sapiens OX=9606 GN=PMPCA PE=1 SV=2
+MAAVVLAATRLLRGSGSWGCSRLRFGPPAYRRFSSGGAYPNIPLSSPLPGVPKPVFATVDGQEKFETKVTTLDNGLRVASQNKFGQFCTVGILINSGSRYEAKYLSGIAHFLEKLAFSSTARFDSKDEILLTLEKHGGICDCQTSRDTTMYAVSADSKGLDTVVALLADVVLQPRLTDEEVEMTRMAVQFELEDLNLRPDPEPLLTEMIHEAAYRENTVGLHRFCPTENVAKINREVLHSYLRNYYTPDRMVLAGVGVEHEHLVDCARKYLLGVQPAWGSAEAVDIDRSVAQYTGGIAKLERDMSNVSLGPTPIPELTHIMVGLESCSFLEEDFIPFAVLNMMMGGGGSFSAGGPGKGMFSRLYLNVLNRHHWMYNATSYHHSYEDTGLLCIHASADPRQVREMVEIITKEFILMGGTVDTVELERAKTQLTSMLMMNLESRPVIFEDVGRQVLATRSRKLPHELCTLIRNVKPEDVKRVASKMLRGKPAVAALGDLTDLPTYEHIQTALSSKDGRLPRTYRLFR
+>DECOY_sp|Q10713|MPPA_HUMAN Mitochondrial-processing peptidase subunit alpha OS=Homo sapiens OX=9606 GN=PMPCA PE=1 SV=2
+RFLRYTRPLRGDKSSLATQIHEYTPLDTLDGLAAVAPKGRLMKSAVRKVDEPKVNRILTCLEHPLKRSRTALVQRGVDEFIVPRSELNMMLMSTLQTKARELEVTDVTGGMLIFEKTIIEVMERVQRPDASAHICLLGTDEYSHHYSTANYMWHHRNLVNLYLRSFMGKGPGGASFSGGGGMMMNLVAFPIFDEELFSCSELGVMIHTLEPIPTPGLSVNSMDRELKAIGGTYQAVSRDIDVAEASGWAPQVGLLYKRACDVLHEHEVGVGALVMRDPTYYNRLYSHLVERNIKAVNETPCFRHLGVTNERYAAEHIMETLLPEPDPRLNLDELEFQVAMRTMEVEEDTLRPQLVVDALLAVVTDLGKSDASVAYMTTDRSTQCDCIGGHKELTLLIEDKSDFRATSSFALKELFHAIGSLYKAEYRSGSNILIGVTCFQGFKNQSAVRLGNDLTTVKTEFKEQGDVTAFVPKPVGPLPSSLPINPYAGGSSFRRYAPPGFRLRSCGWSGSGRLLRTAALVVAAM
+>sp|O15442|MPPD1_HUMAN Metallophosphoesterase domain-containing protein 1 OS=Homo sapiens OX=9606 GN=MPPED1 PE=2 SV=3
+MWRSRWDASVLKAEALALLPCGLGMAFSQSHVMAARRHQHSRLIIEVDEYSSNPTQAFTFYNINQGRFQPPHVQMVDPVPHDAPKPPGYTRFVCVSDTHSRTDPIQMPYGDVLIHAGDFTELGLPSEVKKFNEWLGSLPYEYKIVIAGNHELTFDQEFMADLIKQDFYYFPSVSKLKPENYENVQSLLTNCIYLQDSEVTVRGFRIYGSPWQPWFYGWGFNLPRGQALLEKWNLIPEGVDILITHGPPLGFLDWVPKKMQRVGCVELLNTVQRRVQPRLHVFGHIHEGYGVMADGTTTYVNASVCTVNYQPVNPPIVIDLPTPRNS
+>DECOY_sp|O15442|MPPD1_HUMAN Metallophosphoesterase domain-containing protein 1 OS=Homo sapiens OX=9606 GN=MPPED1 PE=2 SV=3
+SNRPTPLDIVIPPNVPQYNVTCVSANVYTTTGDAMVGYGEHIHGFVHLRPQVRRQVTNLLEVCGVRQMKKPVWDLFGLPPGHTILIDVGEPILNWKELLAQGRPLNFGWGYFWPQWPSGYIRFGRVTVESDQLYICNTLLSQVNEYNEPKLKSVSPFYYFDQKILDAMFEQDFTLEHNGAIVIKYEYPLSGLWENFKKVESPLGLETFDGAHILVDGYPMQIPDTRSHTDSVCVFRTYGPPKPADHPVPDVMQVHPPQFRGQNINYFTFAQTPNSSYEDVEIILRSHQHRRAAMVHSQSFAMGLGCPLLALAEAKLVSADWRSRWM
+>sp|P22897|MRC1_HUMAN Macrophage mannose receptor 1 OS=Homo sapiens OX=9606 GN=MRC1 PE=1 SV=1
+MRLPLLLVFASVIPGAVLLLDTRQFLIYNEDHKRCVDAVSPSAVQTAACNQDAESQKFRWVSESQIMSVAFKLCLGVPSKTDWVAITLYACDSKSEFQKWECKNDTLLGIKGEDLFFNYGNRQEKNIMLYKGSGLWSRWKIYGTTDNLCSRGYEAMYTLLGNANGATCAFPFKFENKWYADCTSAGRSDGWLWCGTTTDYDTDKLFGYCPLKFEGSESLWNKDPLTSVSYQINSKSALTWHQARKSCQQQNAELLSITEIHEQTYLTGLTSSLTSGLWIGLNSLSFNSGWQWSDRSPFRYLNWLPGSPSAEPGKSCVSLNPGKNAKWENLECVQKLGYICKKGNTTLNSFVIPSESDVPTHCPSQWWPYAGHCYKIHRDEKKIQRDALTTCRKEGGDLTSIHTIEELDFIISQLGYEPNDELWIGLNDIKIQMYFEWSDGTPVTFTKWLRGEPSHENNRQEDCVVMKGKDGYWADRGCEWPLGYICKMKSRSQGPEIVEVEKGCRKGWKKHHFYCYMIGHTLSTFAEANQTCNNENAYLTTIEDRYEQAFLTSFVGLRPEKYFWTGLSDIQTKGTFQWTIEEEVRFTHWNSDMPGRKPGCVAMRTGIAGGLWDVLKCDEKAKFVCKHWAEGVTHPPKPTTTPEPKCPEDWGASSRTSLCFKLYAKGKHEKKTWFESRDFCRALGGDLASINNKEEQQTIWRLITASGSYHKLFWLGLTYGSPSEGFTWSDGSPVSYENWAYGEPNNYQNVEYCGELKGDPTMSWNDINCEHLNNWICQIQKGQTPKPEPTPAPQDNPPVTEDGWVIYKDYQYYFSKEKETMDNARAFCKRNFGDLVSIQSESEKKFLWKYVNRNDAQSAYFIGLLISLDKKFAWMDGSKVDYVSWATGEPNFANEDENCVTMYSNSGFWNDINCGYPNAFICQRHNSSINATTVMPTMPSVPSGCKEGWNFYSNKCFKIFGFMEEERKNWQEARKACIGFGGNLVSIQNEKEQAFLTYHMKDSTFSAWTGLNDVNSEHTFLWTDGRGVHYTNWGKGYPGGRRSSLSYEDADCVVIIGGASNEAGKWMDDTCDSKRGYICQTRSDPSLTNPPATIQTDGFVKYGKSSYSLMRQKFQWHEAETYCKLHNSLIASILDPYSNAFAWLQMETSNERVWIALNSNLTDNQYTWTDKWRVRYTNWAADEPKLKSACVYLDLDGYWKTAHCNESFYFLCKRSDEIPATEPPQLPGRCPESDHTAWIPFHGHCYYIESSYTRNWGQASLECLRMGSSLVSIESAAESSFLSYRVEPLKSKTNFWIGLFRNVEGTWLWINNSPVSFVNWNTGDPSGERNDCVALHASSGFWSNIHCSSYKGYICKRPKIIDAKPTHELLTTKADTRKMDPSKPSSNVAGVVIIVILLILTGAGLAAYFFYKKRRVHLPQEGAFENTLYFNSQSSPGTSDMKDLVGNIEQNEHSVI
+>DECOY_sp|P22897|MRC1_HUMAN Macrophage mannose receptor 1 OS=Homo sapiens OX=9606 GN=MRC1 PE=1 SV=1
+IVSHENQEINGVLDKMDSTGPSSQSNFYLTNEFAGEQPLHVRRKKYFFYAALGAGTLILLIVIIVVGAVNSSPKSPDMKRTDAKTTLLEHTPKADIIKPRKCIYGKYSSCHINSWFGSSAHLAVCDNREGSPDGTNWNVFSVPSNNIWLWTGEVNRFLGIWFNTKSKLPEVRYSLFSSEAASEISVLSSGMRLCELSAQGWNRTYSSEIYYCHGHFPIWATHDSEPCRGPLQPPETAPIEDSRKCLFYFSENCHATKWYGDLDLYVCASKLKPEDAAWNTYRVRWKDTWTYQNDTLNSNLAIWVRENSTEMQLWAFANSYPDLISAILSNHLKCYTEAEHWQFKQRMLSYSSKGYKVFGDTQITAPPNTLSPDSRTQCIYGRKSDCTDDMWKGAENSAGGIIVVCDADEYSLSSRRGGPYGKGWNTYHVGRGDTWLFTHESNVDNLGTWASFTSDKMHYTLFAQEKENQISVLNGGFGICAKRAEQWNKREEEMFGFIKFCKNSYFNWGEKCGSPVSPMTPMVTTANISSNHRQCIFANPYGCNIDNWFGSNSYMTVCNEDENAFNPEGTAWSVYDVKSGDMWAFKKDLSILLGIFYASQADNRNVYKWLFKKESESQISVLDGFNRKCFARANDMTEKEKSFYYQYDKYIVWGDETVPPNDQPAPTPEPKPTQGKQIQCIWNNLHECNIDNWSMTPDGKLEGCYEVNQYNNPEGYAWNEYSVPSGDSWTFGESPSGYTLGLWFLKHYSGSATILRWITQQEEKNNISALDGGLARCFDRSEFWTKKEHKGKAYLKFCLSTRSSAGWDEPCKPEPTTTPKPPHTVGEAWHKCVFKAKEDCKLVDWLGGAIGTRMAVCGPKRGPMDSNWHTFRVEEEITWQFTGKTQIDSLGTWFYKEPRLGVFSTLFAQEYRDEITTLYANENNCTQNAEAFTSLTHGIMYCYFHHKKWGKRCGKEVEVIEPGQSRSKMKCIYGLPWECGRDAWYGDKGKMVVCDEQRNNEHSPEGRLWKTFTVPTGDSWEFYMQIKIDNLGIWLEDNPEYGLQSIIFDLEEITHISTLDGGEKRCTTLADRQIKKEDRHIKYCHGAYPWWQSPCHTPVDSESPIVFSNLTTNGKKCIYGLKQVCELNEWKANKGPNLSVCSKGPEASPSGPLWNLYRFPSRDSWQWGSNFSLSNLGIWLGSTLSSTLGTLYTQEHIETISLLEANQQQCSKRAQHWTLASKSNIQYSVSTLPDKNWLSESGEFKLPCYGFLKDTDYDTTTGCWLWGDSRGASTCDAYWKNEFKFPFACTAGNANGLLTYMAEYGRSCLNDTTGYIKWRSWLGSGKYLMINKEQRNGYNFFLDEGKIGLLTDNKCEWKQFESKSDCAYLTIAVWDTKSPVGLCLKFAVSMIQSESVWRFKQSEADQNCAATQVASPSVADVCRKHDENYILFQRTDLLLVAGPIVSAFVLLLPLRM
+>sp|Q9UBG0|MRC2_HUMAN C-type mannose receptor 2 OS=Homo sapiens OX=9606 GN=MRC2 PE=1 SV=2
+MGPGRPAPAPWPRHLLRCVLLLGCLHLGRPGAPGDAALPEPNVFLIFSHGLQGCLEAQGGQVRVTPACNTSLPAQRWKWVSRNRLFNLGTMQCLGTGWPGTNTTASLGMYECDREALNLRWHCRTLGDQLSLLLGARTSNISKPGTLERGDQTRSGQWRIYGSEEDLCALPYHEVYTIQGNSHGKPCTIPFKYDNQWFHGCTSTGREDGHLWCATTQDYGKDERWGFCPIKSNDCETFWDKDQLTDSCYQFNFQSTLSWREAWASCEQQGADLLSITEIHEQTYINGLLTGYSSTLWIGLNDLDTSGGWQWSDNSPLKYLNWESDQPDNPSEENCGVIRTESSGGWQNRDCSIALPYVCKKKPNATAEPTPPDRWANVKVECEPSWQPFQGHCYRLQAEKRSWQESKKACLRGGGDLVSIHSMAELEFITKQIKQEVEELWIGLNDLKLQMNFEWSDGSLVSFTHWHPFEPNNFRDSLEDCVTIWGPEGRWNDSPCNQSLPSICKKAGQLSQGAAEEDHGCRKGWTWHSPSCYWLGEDQVTYSEARRLCTDHGSQLVTITNRFEQAFVSSLIYNWEGEYFWTALQDLNSTGSFFWLSGDEVMYTHWNRDQPGYSRGGCVALATGSAMGLWEVKNCTSFRARYICRQSLGTPVTPELPGPDPTPSLTGSCPQGWASDTKLRYCYKVFSSERLQDKKSWVQAQGACQELGAQLLSLASYEEEHFVANMLNKIFGESEPEIHEQHWFWIGLNRRDPRGGQSWRWSDGVGFSYHNFDRSRHDDDDIRGCAVLDLASLQWVAMQCDTQLDWICKIPRGTDVREPDDSPQGRREWLRFQEAEYKFFEHHSTWAQAQRICTWFQAELTSVHSQAELDFLSHNLQKFSRAQEQHWWIGLHTSESDGRFRWTDGSIINFISWAPGKPRPVGKDKKCVYMTASREDWGDQRCLTALPYICKRSNVTKETQPPDLPTTALGGCPSDWIQFLNKCFQVQGQEPQSRVKWSEAQFSCEQQEAQLVTITNPLEQAFITASLPNVTFDLWIGLHASQRDFQWVEQEPLMYANWAPGEPSGPSPAPSGNKPTSCAVVLHSPSAHFTGRWDDRSCTEETHGFICQKGTDPSLSPSPAALPPAPGTELSYLNGTFRLLQKPLRWHDALLLCESRNASLAYVPDPYTQAFLTQAARGLRTPLWIGLAGEEGSRRYSWVSEEPLNYVGWQDGEPQQPGGCTYVDVDGAWRTTSCDTKLQGAVCGVSSGPPPPRRISYHGSCPQGLADSAWIPFREHCYSFHMELLLGHKEARQRCQRAGGAVLSILDEMENVFVWEHLQSYEGQSRGAWLGMNFNPKGGTLVWQDNTAVNYSNWGPPGLGPSMLSHNSCYWIQSNSGLWRPGACTNITMGVVCKLPRAEQSSFSPSALPENPAALVVVLMAVLLLLALLTAALILYRRRQSIERGAFEGARYSRSSSSPTEATEKNILVSDMEMNEQQE
+>DECOY_sp|Q9UBG0|MRC2_HUMAN C-type mannose receptor 2 OS=Homo sapiens OX=9606 GN=MRC2 PE=1 SV=2
+EQQENMEMDSVLINKETAETPSSSSRSYRAGEFAGREISQRRRYLILAATLLALLLLVAMLVVVLAAPNEPLASPSFSSQEARPLKCVVGMTINTCAGPRWLGSNSQIWYCSNHSLMSPGLGPPGWNSYNVATNDQWVLTGGKPNFNMGLWAGRSQGEYSQLHEWVFVNEMEDLISLVAGGARQCRQRAEKHGLLLEMHFSYCHERFPIWASDALGQPCSGHYSIRRPPPPGSSVGCVAGQLKTDCSTTRWAGDVDVYTCGGPQQPEGDQWGVYNLPEESVWSYRRSGEEGALGIWLPTRLGRAAQTLFAQTYPDPVYALSANRSECLLLADHWRLPKQLLRFTGNLYSLETGPAPPLAAPSPSLSPDTGKQCIFGHTEETCSRDDWRGTFHASPSHLVVACSTPKNGSPAPSPGSPEGPAWNAYMLPEQEVWQFDRQSAHLGIWLDFTVNPLSATIFAQELPNTITVLQAEQQECSFQAESWKVRSQPEQGQVQFCKNLFQIWDSPCGGLATTPLDPPQTEKTVNSRKCIYPLATLCRQDGWDERSATMYVCKKDKGVPRPKGPAWSIFNIISGDTWRFRGDSESTHLGIWWHQEQARSFKQLNHSLFDLEAQSHVSTLEAQFWTCIRQAQAWTSHHEFFKYEAEQFRLWERRGQPSDDPERVDTGRPIKCIWDLQTDCQMAVWQLSALDLVACGRIDDDDHRSRDFNHYSFGVGDSWRWSQGGRPDRRNLGIWFWHQEHIEPESEGFIKNLMNAVFHEEEYSALSLLQAGLEQCAGQAQVWSKKDQLRESSFVKYCYRLKTDSAWGQPCSGTLSPTPDPGPLEPTVPTGLSQRCIYRARFSTCNKVEWLGMASGTALAVCGGRSYGPQDRNWHTYMVEDGSLWFFSGTSNLDQLATWFYEGEWNYILSSVFAQEFRNTITVLQSGHDTCLRRAESYTVQDEGLWYCSPSHWTWGKRCGHDEEAAGQSLQGAKKCISPLSQNCPSDNWRGEPGWITVCDELSDRFNNPEFPHWHTFSVLSGDSWEFNMQLKLDNLGIWLEEVEQKIQKTIFELEAMSHISVLDGGGRLCAKKSEQWSRKEAQLRYCHGQFPQWSPECEVKVNAWRDPPTPEATANPKKKCVYPLAISCDRNQWGGSSETRIVGCNEESPNDPQDSEWNLYKLPSNDSWQWGGSTDLDNLGIWLTSSYGTLLGNIYTQEHIETISLLDAGQQECSAWAERWSLTSQFNFQYCSDTLQDKDWFTECDNSKIPCFGWREDKGYDQTTACWLHGDERGTSTCGHFWQNDYKFPITCPKGHSNGQITYVEHYPLACLDEESGYIRWQGSRTQDGRELTGPKSINSTRAGLLLSLQDGLTRCHWRLNLAERDCEYMGLSATTNTGPWGTGLCQMTGLNFLRNRSVWKWRQAPLSTNCAPTVRVQGGQAELCGQLGHSFILFVNPEPLAADGPAGPRGLHLCGLLLVCRLLHRPWPAPAPRGPGM
+>sp|Q5VT25|MRCKA_HUMAN Serine/threonine-protein kinase MRCK alpha OS=Homo sapiens OX=9606 GN=CDC42BPA PE=1 SV=1
+MSGEVRLRQLEQFILDGPAQTNGQCFSVETLLDILICLYDECNNSPLRREKNILEYLEWAKPFTSKVKQMRLHREDFEILKVIGRGAFGEVAVVKLKNADKVFAMKILNKWEMLKRAETACFREERDVLVNGDNKWITTLHYAFQDDNNLYLVMDYYVGGDLLTLLSKFEDRLPEDMARFYLAEMVIAIDSVHQLHYVHRDIKPDNILMDMNGHIRLADFGSCLKLMEDGTVQSSVAVGTPDYISPEILQAMEDGKGRYGPECDWWSLGVCMYEMLYGETPFYAESLVETYGKIMNHKERFQFPAQVTDVSENAKDLIRRLICSREHRLGQNGIEDFKKHPFFSGIDWDNIRNCEAPYIPEVSSPTDTSNFDVDDDCLKNSETMPPPTHTAFSGHHLPFVGFTYTSSCVLSDRSCLRVTAGPTSLDLDVNVQRTLDNNLATEAYERRIKRLEQEKLELSRKLQESTQTVQALQYSTVDGPLTASKDLEIKNLKEEIEKLRKQVTESSHLEQQLEEANAVRQELDDAFRQIKAYEKQIKTLQQEREDLNKELVQASERLKNQSKELKDAHCQRKLAMQEFMEINERLTELHTQKQKLARHVRDKEEEVDLVMQKVESLRQELRRTERAKKELEVHTEALAAEASKDRKLREQSEHYSKQLENELEGLKQKQISYSPGVCSIEHQQEITKLKTDLEKKSIFYEEELSKREGIHANEIKNLKKELHDSEGQQLALNKEIMILKDKLEKTRRESQSEREEFESEFKQQYEREKVLLTEENKKLTSELDKLTTLYENLSIHNQQLEEEVKDLADKKESVAHWEAQITEIIQWVSDEKDARGYLQALASKMTEELEALRNSSLGTRATDMPWKMRRFAKLDMSARLELQSALDAEIRAKQAIQEELNKVKASNIITECKLKDSEKKNLELLSEIEQLIKDTEELRSEKGIEHQDSQHSFLAFLNTPTDALDQFERSPSCTPASKGRRTVDSTPLSVHTPTLRKKGCPGSTGFPPKRKTHQFFVKSFTTPTKCHQCTSLMVGLIRQGCSCEVCGFSCHITCVNKAPTTCPVPPEQTKGPLGIDPQKGIGTAYEGHVRIPKPAGVKKGWQRALAIVCDFKLFLYDIAEGKASQPSVVISQVIDMRDEEFSVSSVLASDVIHASRKDIPCIFRVTASQLSASNNKCSILMLADTENEKNKWVGVLSELHKILKKNKFRDRSVYVPKEAYDSTLPLIKTTQAAAIIDHERIALGNEEGLFVVHVTKDEIIRVGDNKKIHQIELIPNDQLVAVISGRNRHVRLFPMSALDGRETDFYKLSETKGCQTVTSGKVRHGALTCLCVAMKRQVLCYELFQSKTRHRKFKEIQVPYNVQWMAIFSEQLCVGFQSGFLRYPLNGEGNPYSMLHSNDHTLSFIAHQPMDAICAVEISSKEYLLCFNSIGIYTDCQGRRSRQQELMWPANPSSCCYNAPYLSVYSENAVDIFDVNSMEWIQTLPLKKVRPLNNEGSLNLLGLETIRLIYFKNKMAEGDELVVPETSDNSRKQMVRNINNKRRYSFRVPEEERMQQRREMLRDPEMRNKLISNPTNFNHIAHMGPGDGIQILKDLPMNPRPQESRTVFSGSVSIPSITKSRPEPGRSMSASSGLSARSSAQNGSALKREFSGGSYSAKRQPMPSPSEGSLSSGGMDQGSDAPARDFDGEDSDSPRHSTASNSSNLSSPPSPASPRKTKSLSLESTDRGSWDP
+>DECOY_sp|Q5VT25|MRCKA_HUMAN Serine/threonine-protein kinase MRCK alpha OS=Homo sapiens OX=9606 GN=CDC42BPA PE=1 SV=1
+PDWSGRDTSELSLSKTKRPSAPSPPSSLNSSNSATSHRPSDSDEGDFDRAPADSGQDMGGSSLSGESPSPMPQRKASYSGGSFERKLASGNQASSRASLGSSASMSRGPEPRSKTISPISVSGSFVTRSEQPRPNMPLDKLIQIGDGPGMHAIHNFNTPNSILKNRMEPDRLMERRQQMREEEPVRFSYRRKNNINRVMQKRSNDSTEPVVLEDGEAMKNKFYILRITELGLLNLSGENNLPRVKKLPLTQIWEMSNVDFIDVANESYVSLYPANYCCSSPNAPWMLEQQRSRRGQCDTYIGISNFCLLYEKSSIEVACIADMPQHAIFSLTHDNSHLMSYPNGEGNLPYRLFGSQFGVCLQESFIAMWQVNYPVQIEKFKRHRTKSQFLEYCLVQRKMAVCLCTLAGHRVKGSTVTQCGKTESLKYFDTERGDLASMPFLRVHRNRGSIVAVLQDNPILEIQHIKKNDGVRIIEDKTVHVVFLGEENGLAIREHDIIAAAQTTKILPLTSDYAEKPVYVSRDRFKNKKLIKHLESLVGVWKNKENETDALMLISCKNNSASLQSATVRFICPIDKRSAHIVDSALVSSVSFEEDRMDIVQSIVVSPQSAKGEAIDYLFLKFDCVIALARQWGKKVGAPKPIRVHGEYATGIGKQPDIGLPGKTQEPPVPCTTPAKNVCTIHCSFGCVECSCGQRILGVMLSTCQHCKTPTTFSKVFFQHTKRKPPFGTSGPCGKKRLTPTHVSLPTSDVTRRGKSAPTCSPSREFQDLADTPTNLFALFSHQSDQHEIGKESRLEETDKILQEIESLLELNKKESDKLKCETIINSAKVKNLEEQIAQKARIEADLASQLELRASMDLKAFRRMKWPMDTARTGLSSNRLAELEETMKSALAQLYGRADKEDSVWQIIETIQAEWHAVSEKKDALDKVEEELQQNHISLNEYLTTLKDLESTLKKNEETLLVKEREYQQKFESEFEERESQSERRTKELKDKLIMIEKNLALQQGESDHLEKKLNKIENAHIGERKSLEEEYFISKKELDTKLKTIEQQHEISCVGPSYSIQKQKLGELENELQKSYHESQERLKRDKSAEAALAETHVELEKKARETRRLEQRLSEVKQMVLDVEEEKDRVHRALKQKQTHLETLRENIEMFEQMALKRQCHADKLEKSQNKLRESAQVLEKNLDEREQQLTKIQKEYAKIQRFADDLEQRVANAEELQQELHSSETVQKRLKEIEEKLNKIELDKSATLPGDVTSYQLAQVTQTSEQLKRSLELKEQELRKIRREYAETALNNDLTRQVNVDLDLSTPGATVRLCSRDSLVCSSTYTFGVFPLHHGSFATHTPPPMTESNKLCDDDVDFNSTDTPSSVEPIYPAECNRINDWDIGSFFPHKKFDEIGNQGLRHERSCILRRILDKANESVDTVQAPFQFREKHNMIKGYTEVLSEAYFPTEGYLMEYMCVGLSWWDCEPGYRGKGDEMAQLIEPSIYDPTGVAVSSQVTGDEMLKLCSGFDALRIHGNMDMLINDPKIDRHVYHLQHVSDIAIVMEALYFRAMDEPLRDEFKSLLTLLDGGVYYDMVLYLNNDDQFAYHLTTIWKNDGNVLVDREERFCATEARKLMEWKNLIKMAFVKDANKLKVVAVEGFAGRGIVKLIEFDERHLRMQKVKSTFPKAWELYELINKERRLPSNNCEDYLCILIDLLTEVSFCQGNTQAPGDLIFQELQRLRVEGSM
+>sp|P49959|MRE11_HUMAN Double-strand break repair protein MRE11 OS=Homo sapiens OX=9606 GN=MRE11 PE=1 SV=3
+MSTADALDDENTFKILVATDIHLGFMEKDAVRGNDTFVTLDEILRLAQENEVDFILLGGDLFHENKPSRKTLHTCLELLRKYCMGDRPVQFEILSDQSVNFGFSKFPWVNYQDGNLNISIPVFSIHGNHDDPTGADALCALDILSCAGFVNHFGRSMSVEKIDISPVLLQKGSTKIALYGLGSIPDERLYRMFVNKKVTMLRPKEDENSWFNLFVIHQNRSKHGSTNFIPEQFLDDFIDLVIWGHEHECKIAPTKNEQQLFYISQPGSSVVTSLSPGEAVKKHVGLLRIKGRKMNMHKIPLHTVRQFFMEDIVLANHPDIFNPDNPKVTQAIQSFCLEKIEEMLENAERERLGNSHQPEKPLVRLRVDYSGGFEPFSVLRFSQKFVDRVANPKDIIHFFRHREQKEKTGEEINFGKLITKPSEGTTLRVEDLVKQYFQTAEKNVQLSLLTERGMGEAVQEFVDKEEKDAIEELVKYQLEKTQRFLKERHIDALEDKIDEEVRRFRETRQKNTNEEDDEVREAMTRARALRSQSEESASAFSADDLMSIDLAEQMANDSDDSISAATNKGRGRGRGRRGGRGQNSASRGGSQRGRADTGLETSTRSRNSKTAVSASRNMSIIDAFKSTRQQPSRNVTTKNYSEVIEVDESDVEEDIFPTTSKTDQRWSSTSSSKIMSQSQVSKGVDFESSEDDDDDPFMNTSSLRRNRR
+>DECOY_sp|P49959|MRE11_HUMAN Double-strand break repair protein MRE11 OS=Homo sapiens OX=9606 GN=MRE11 PE=1 SV=3
+RRNRRLSSTNMFPDDDDDESSEFDVGKSVQSQSMIKSSSTSSWRQDTKSTTPFIDEEVDSEDVEIVESYNKTTVNRSPQQRTSKFADIISMNRSASVATKSNRSRTSTELGTDARGRQSGGRSASNQGRGGRRGRGRGRGKNTAASISDDSDNAMQEALDISMLDDASFASASEESQSRLARARTMAERVEDDEENTNKQRTERFRRVEEDIKDELADIHREKLFRQTKELQYKVLEEIADKEEKDVFEQVAEGMGRETLLSLQVNKEATQFYQKVLDEVRLTTGESPKTILKGFNIEEGTKEKQERHRFFHIIDKPNAVRDVFKQSFRLVSFPEFGGSYDVRLRVLPKEPQHSNGLREREANELMEEIKELCFSQIAQTVKPNDPNFIDPHNALVIDEMFFQRVTHLPIKHMNMKRGKIRLLGVHKKVAEGPSLSTVVSSGPQSIYFLQQENKTPAIKCEHEHGWIVLDIFDDLFQEPIFNTSGHKSRNQHIVFLNFWSNEDEKPRLMTVKKNVFMRYLREDPISGLGYLAIKTSGKQLLVPSIDIKEVSMSRGFHNVFGACSLIDLACLADAGTPDDHNGHISFVPISINLNGDQYNVWPFKSFGFNVSQDSLIEFQVPRDGMCYKRLLELCTHLTKRSPKNEHFLDGGLLIFDVENEQALRLIEDLTVFTDNGRVADKEMFGLHIDTAVLIKFTNEDDLADATSM
+>sp|Q86SM5|MRGRG_HUMAN Mas-related G-protein coupled receptor member G OS=Homo sapiens OX=9606 GN=MRGPRG PE=2 SV=2
+MFGLFGLWRTFDSVVFYLTLIVGLGGPVGNGLVLWNLGFRIKKGPFSIYLLHLAAADFLFLSCRVGFSVAQAALGAQDTLYFVLTFLWFAVGLWLLAAFSVERCLSDLFPACYQGCRPRHASAVLCALVWTPTLPAVPLPANACGLLRNSACPLVCPRYHVASVTWFLVLARVAWTAGVVLFVWVTCCSTRPRPRLYGIVLGALLLLFFCGLPSVFYWSLQPLLNFLLPVFSPLATLLACVNSSSKPLIYSGLGRQPGKREPLRSVLRRALGEGAELGARGQSLPMGLL
+>DECOY_sp|Q86SM5|MRGRG_HUMAN Mas-related G-protein coupled receptor member G OS=Homo sapiens OX=9606 GN=MRGPRG PE=2 SV=2
+LLGMPLSQGRAGLEAGEGLARRLVSRLPERKGPQRGLGSYILPKSSSNVCALLTALPSFVPLLFNLLPQLSWYFVSPLGCFFLLLLAGLVIGYLRPRPRTSCCTVWVFLVVGATWAVRALVLFWTVSAVHYRPCVLPCASNRLLGCANAPLPVAPLTPTWVLACLVASAHRPRCGQYCAPFLDSLCREVSFAALLWLGVAFWLFTLVFYLTDQAGLAAQAVSFGVRCSLFLFDAAALHLLYISFPGKKIRFGLNWLVLGNGVPGGLGVILTLYFVVSDFTRWLGFLGFM
+>sp|Q96LB2|MRGX1_HUMAN Mas-related G-protein coupled receptor member X1 OS=Homo sapiens OX=9606 GN=MRGPRX1 PE=1 SV=1
+MDPTISTLDTELTPINGTEETLCYKQTLSLTVLTCIVSLVGLTGNAVVLWLLGCRMRRNAFSIYILNLAAADFLFLSGRLIYSLLSFISIPHTISKILYPVMMFSYFAGLSFLSAVSTERCLSVLWPIWYRCHRPTHLSAVVCVLLWALSLLRSILEWMLCGFLFSGADSAWCQTSDFITVAWLIFLCVVLCGSSLVLLIRILCGSRKIPLTRLYVTILLTVLVFLLCGLPFGIQFFLFLWIHVDREVLFCHVHLVSIFLSALNSSANPIIYFFVGSFRQRQNRQNLKLVLQRALQDASEVDEGGGQLPEEILELSGSRLEQ
+>DECOY_sp|Q96LB2|MRGX1_HUMAN Mas-related G-protein coupled receptor member X1 OS=Homo sapiens OX=9606 GN=MRGPRX1 PE=1 SV=1
+QELRSGSLELIEEPLQGGGEDVESADQLARQLVLKLNQRNQRQRFSGVFFYIIPNASSNLASLFISVLHVHCFLVERDVHIWLFLFFQIGFPLGCLLFVLVTLLITVYLRTLPIKRSGCLIRILLVLSSGCLVVCLFILWAVTIFDSTQCWASDAGSFLFGCLMWELISRLLSLAWLLVCVVASLHTPRHCRYWIPWLVSLCRETSVASLFSLGAFYSFMMVPYLIKSITHPISIFSLLSYILRGSLFLFDAAALNLIYISFANRRMRCGLLWLVVANGTLGVLSVICTLVTLSLTQKYCLTEETGNIPTLETDLTSITPDM
+>sp|Q9UI43|MRM2_HUMAN rRNA methyltransferase 2, mitochondrial OS=Homo sapiens OX=9606 GN=MRM2 PE=1 SV=1
+MAGYLKLVCVSFQRQGFHTVGSRCKNRTGAEHLWLTRHLRDPFVKAAKVESYRCRSAFKLLEVNERHQILRPGLRVLDCGAAPGAWSQVAVQKVNAAGTDPSSPVGFVLGVDLLHIFPLEGATFLCPADVTDPRTSQRILEVLPGRRADVILSDMAPNATGFRDLDHDRLISLCLTLLSVTPDILQPGGTFLCKTWAGSQSRRLQRRLTEEFQNVRIIKPEASRKESSEVYFLATQYHGRKGTVKQ
+>DECOY_sp|Q9UI43|MRM2_HUMAN rRNA methyltransferase 2, mitochondrial OS=Homo sapiens OX=9606 GN=MRM2 PE=1 SV=1
+QKVTGKRGHYQTALFYVESSEKRSAEPKIIRVNQFEETLRRQLRRSQSGAWTKCLFTGGPQLIDPTVSLLTLCLSILRDHDLDRFGTANPAMDSLIVDARRGPLVELIRQSTRPDTVDAPCLFTAGELPFIHLLDVGLVFGVPSSPDTGAANVKQVAVQSWAGPAAGCDLVRLGPRLIQHRENVELLKFASRCRYSEVKAAKVFPDRLHRTLWLHEAGTRNKCRSGVTHFGQRQFSVCVLKLYGAM
+>sp|O15439|MRP4_HUMAN Multidrug resistance-associated protein 4 OS=Homo sapiens OX=9606 GN=ABCC4 PE=1 SV=3
+MLPVYQEVKPNPLQDANLCSRVFFWWLNPLFKIGHKRRLEEDDMYSVLPEDRSQHLGEELQGFWDKEVLRAENDAQKPSLTRAIIKCYWKSYLVLGIFTLIEESAKVIQPIFLGKIINYFENYDPMDSVALNTAYAYATVLTFCTLILAILHHLYFYHVQCAGMRLRVAMCHMIYRKALRLSNMAMGKTTTGQIVNLLSNDVNKFDQVTVFLHFLWAGPLQAIAVTALLWMEIGISCLAGMAVLIILLPLQSCFGKLFSSLRSKTATFTDARIRTMNEVITGIRIIKMYAWEKSFSNLITNLRKKEISKILRSSCLRGMNLASFFSASKIIVFVTFTTYVLLGSVITASRVFVAVTLYGAVRLTVTLFFPSAIERVSEAIVSIRRIQTFLLLDEISQRNRQLPSDGKKMVHVQDFTAFWDKASETPTLQGLSFTVRPGELLAVVGPVGAGKSSLLSAVLGELAPSHGLVSVHGRIAYVSQQPWVFSGTLRSNILFGKKYEKERYEKVIKACALKKDLQLLEDGDLTVIGDRGTTLSGGQKARVNLARAVYQDADIYLLDDPLSAVDAEVSRHLFELCICQILHEKITILVTHQLQYLKAASQILILKDGKMVQKGTYTEFLKSGIDFGSLLKKDNEESEQPPVPGTPTLRNRTFSESSVWSQQSSRPSLKDGALESQDTENVPVTLSEENRSEGKVGFQAYKNYFRAGAHWIVFIFLILLNTAAQVAYVLQDWWLSYWANKQSMLNVTVNGGGNVTEKLDLNWYLGIYSGLTVATVLFGIARSLLVFYVLVNSSQTLHNKMFESILKAPVLFFDRNPIGRILNRFSKDIGHLDDLLPLTFLDFIQTLLQVVGVVSVAVAVIPWIAIPLVPLGIIFIFLRRYFLETSRDVKRLESTTRSPVFSHLSSSLQGLWTIRAYKAEERCQELFDAHQDLHSEAWFLFLTTSRWFAVRLDAICAMFVIIVAFGSLILAKTLDAGQVGLALSYALTLMGMFQWCVRQSAEVENMMISVERVIEYTDLEKEAPWEYQKRPPPAWPHEGVIIFDNVNFMYSPGGPLVLKHLTALIKSQEKVGIVGRTGAGKSSLISALFRLSEPEGKIWIDKILTTEIGLHDLRKKMSIIPQEPVLFTGTMRKNLDPFNEHTDEELWNALQEVQLKETIEDLPGKMDTELAESGSNFSVGQRQLVCLARAILRKNQILIIDEATANVDPRTDELIQKKIREKFAHCTVLTIAHRLNTIIDSDKIMVLDSGRLKEYDEPYVLLQNKESLFYKMVQQLGKAEAAALTETAKQVYFKRNYPHIGHTDHMVTNTSNGQPSTLTIFETAL
+>DECOY_sp|O15439|MRP4_HUMAN Multidrug resistance-associated protein 4 OS=Homo sapiens OX=9606 GN=ABCC4 PE=1 SV=3
+LATEFITLTSPQGNSTNTVMHDTHGIHPYNRKFYVQKATETLAAAEAKGLQQVMKYFLSEKNQLLVYPEDYEKLRGSDLVMIKDSDIITNLRHAITLVTCHAFKERIKKQILEDTRPDVNATAEDIILIQNKRLIARALCVLQRQGVSFNSGSEALETDMKGPLDEITEKLQVEQLANWLEEDTHENFPDLNKRMTGTFLVPEQPIISMKKRLDHLGIETTLIKDIWIKGEPESLRFLASILSSKGAGTRGVIGVKEQSKILATLHKLVLPGGPSYMFNVNDFIIVGEHPWAPPPRKQYEWPAEKELDTYEIVREVSIMMNEVEASQRVCWQFMGMLTLAYSLALGVQGADLTKALILSGFAVIIVFMACIADLRVAFWRSTTLFLFWAESHLDQHADFLEQCREEAKYARITWLGQLSSSLHSFVPSRTTSELRKVDRSTELFYRRLFIFIIGLPVLPIAIWPIVAVAVSVVGVVQLLTQIFDLFTLPLLDDLHGIDKSFRNLIRGIPNRDFFLVPAKLISEFMKNHLTQSSNVLVYFVLLSRAIGFLVTAVTLGSYIGLYWNLDLKETVNGGGNVTVNLMSQKNAWYSLWWDQLVYAVQAATNLLILFIFVIWHAGARFYNKYAQFGVKGESRNEESLTVPVNETDQSELAGDKLSPRSSQQSWVSSESFTRNRLTPTGPVPPQESEENDKKLLSGFDIGSKLFETYTGKQVMKGDKLILIQSAAKLYQLQHTVLITIKEHLIQCICLEFLHRSVEADVASLPDDLLYIDADQYVARALNVRAKQGGSLTTGRDGIVTLDGDELLQLDKKLACAKIVKEYREKEYKKGFLINSRLTGSFVWPQQSVYAIRGHVSVLGHSPALEGLVASLLSSKGAGVPGVVALLEGPRVTFSLGQLTPTESAKDWFATFDQVHVMKKGDSPLQRNRQSIEDLLLFTQIRRISVIAESVREIASPFFLTVTLRVAGYLTVAVFVRSATIVSGLLVYTTFTVFVIIKSASFFSALNMGRLCSSRLIKSIEKKRLNTILNSFSKEWAYMKIIRIGTIVENMTRIRADTFTATKSRLSSFLKGFCSQLPLLIILVAMGALCSIGIEMWLLATVAIAQLPGAWLFHLFVTVQDFKNVDNSLLNVIQGTTTKGMAMNSLRLAKRYIMHCMAVRLRMGACQVHYFYLHHLIALILTCFTLVTAYAYATNLAVSDMPDYNEFYNIIKGLFIPQIVKASEEILTFIGLVLYSKWYCKIIARTLSPKQADNEARLVEKDWFGQLEEGLHQSRDEPLVSYMDDEELRRKHGIKFLPNLWWFFVRSCLNADQLPNPKVEQYVPLM
+>sp|Q5T3U5|MRP7_HUMAN Multidrug resistance-associated protein 7 OS=Homo sapiens OX=9606 GN=ABCC10 PE=1 SV=1
+MERLLAQLCGSSAAWPLPLWEGDTTGHCFTQLVLSALPHALLAVLSACYLGTPRSPDYILPCSPGWRLRLAASFLLSVFPLLDLLPVALPPGAGPGPIGLEVLAGCVAAVAWISHSLALWVLAHSPHGHSRGPLALALVALLPAPALVLTVLWHCQRGTLLPPLLPGPMARLCLLILQLAALLAYALGWAAPGGPREPWAQEPLLPEDQEPEVAEDGESWLSRFSYAWLAPLLARGACGELRQPQDICRLPHRLQPTYLARVFQAHWQEGARLWRALYGAFGRCYLALGLLKLVGTMLGFSGPLLLSLLVGFLEEGQEPLSHGLLYALGLAGGAVLGAVLQNQYGYEVYKVTLQARGAVLNILYCKALQLGPSRPPTGEALNLLGTDSERLLNFAGSFHEAWGLPLQLAITLYLLYQQVGVAFVGGLILALLLVPVNKVIATRIMASNQEMLQHKDARVKLVTELLSGIRVIKFCGWEQALGARVEACRARELGRLRVIKYLDAACVYLWAALPVVISIVIFITYVLMGHQLTATKVFTALALVRMLILPLNNFPWVINGLLEAKVSLDRIQLFLDLPNHNPQAYYSPDPPAEPSTVLELHGALFSWDPVGTSLETFISHLEVKKGMLVGIVGKVGCGKSSLLAAIAGELHRLRGHVAVRGLSKGFGLATQEPWIQFATIRDNILFGKTFDAQLYKEVLEACALNDDLSILPAGDQTEVGEKGVTLSGGQRARIALARAVYQEKELYLLDDPLAAVDADVANHLLHRCILGMLSYTTRLLCTHRTEYLERADAVLLMEAGRLIRAGPPSEILPLVQAVPKAWAENGQESDSATAQSVQNPEKTKEGLEEEQSTSGRLLQEESKKEGAVALHVYQAYWKAVGQGLALAILFSLLLMQATRNAADWWLSHWISQLKAENSSQEAQPSTSPASMGLFSPQLLLFSPGNLYIPVFPLPKAAPNGSSDIRFYLTVYATIAGVNSLCTLLRAVLFAAGTLQAAATLHRRLLHRVLMAPVTFFNATPTGRILNRFSSDVACADDSLPFILNILLANAAGLLGLLAVLGSGLPWLLLLLPPLSIMYYHVQRHYRASSRELRRLGSLTLSPLYSHLADTLAGLSVLRATGATYRFEEENLRLLELNQRCQFATSATMQWLDIRLQLMGAAVVSAIAGIALVQHQQGLANPGLVGLSLSYALSLTGLLSGLVSSFTQTEAMLVSVERLEEYTCDLPQEPQGQPLQLGTGWLTQGGVEFQDVVLAYRPGLPNALDGVTFCVQPGEKLGIVGRTGSGKSSLLLVLFRLLEPSSGRVLLDGVDTSQLELAQLRSQLAIIPQEPFLFSGTVRENLDPQGLHKDRALWQALKQCHLSEVITSMGGLDGELGEGGRSLSLGQRQLLCLARALLTDAKILCIDEATASVDQKTDQLLQQTICKRFANKTVLTIAHRLNTILNSDRVLVLQAGRVVELDSPATLRNQPHSLFQQLLQSSQQGVPASLGGP
+>DECOY_sp|Q5T3U5|MRP7_HUMAN Multidrug resistance-associated protein 7 OS=Homo sapiens OX=9606 GN=ABCC10 PE=1 SV=1
+PGGLSAPVGQQSSQLLQQFLSHPQNRLTAPSDLEVVRGAQLVLVRDSNLITNLRHAITLVTKNAFRKCITQQLLQDTKQDVSATAEDICLIKADTLLARALCLLQRQGLSLSRGGEGLEGDLGGMSTIVESLHCQKLAQWLARDKHLGQPDLNERVTGSFLFPEQPIIALQSRLQALELQSTDVGDLLVRGSSPELLRFLVLLLSSKGSGTRGVIGLKEGPQVCFTVGDLANPLGPRYALVVDQFEVGGQTLWGTGLQLPQGQPEQPLDCTYEELREVSVLMAETQTFSSVLGSLLGTLSLAYSLSLGVLGPNALGQQHQVLAIGAIASVVAAGMLQLRIDLWQMTASTAFQCRQNLELLRLNEEEFRYTAGTARLVSLGALTDALHSYLPSLTLSGLRRLERSSARYHRQVHYYMISLPPLLLLLWPLGSGLVALLGLLGAANALLINLIFPLSDDACAVDSSFRNLIRGTPTANFFTVPAMLVRHLLRRHLTAAAQLTGAAFLVARLLTCLSNVGAITAYVTLYFRIDSSGNPAAKPLPFVPIYLNGPSFLLLQPSFLGMSAPSTSPQAEQSSNEAKLQSIWHSLWWDAANRTAQMLLLSFLIALALGQGVAKWYAQYVHLAVAGEKKSEEQLLRGSTSQEEELGEKTKEPNQVSQATASDSEQGNEAWAKPVAQVLPLIESPPGARILRGAEMLLVADARELYETRHTCLLRTTYSLMGLICRHLLHNAVDADVAALPDDLLYLEKEQYVARALAIRARQGGSLTVGKEGVETQDGAPLISLDDNLACAELVEKYLQADFTKGFLINDRITAFQIWPEQTALGFGKSLGRVAVHGRLRHLEGAIAALLSSKGCGVKGVIGVLMGKKVELHSIFTELSTGVPDWSFLAGHLELVTSPEAPPDPSYYAQPNHNPLDLFLQIRDLSVKAELLGNIVWPFNNLPLILMRVLALATFVKTATLQHGMLVYTIFIVISIVVPLAAWLYVCAADLYKIVRLRGLERARCAEVRAGLAQEWGCFKIVRIGSLLETVLKVRADKHQLMEQNSAMIRTAIVKNVPVLLLALILGGVFAVGVQQYLLYLTIALQLPLGWAEHFSGAFNLLRESDTGLLNLAEGTPPRSPGLQLAKCYLINLVAGRAQLTVKYVEYGYQNQLVAGLVAGGALGLAYLLGHSLPEQGEELFGVLLSLLLPGSFGLMTGVLKLLGLALYCRGFAGYLARWLRAGEQWHAQFVRALYTPQLRHPLRCIDQPQRLEGCAGRALLPALWAYSFRSLWSEGDEAVEPEQDEPLLPEQAWPERPGGPAAWGLAYALLAALQLILLCLRAMPGPLLPPLLTGRQCHWLVTLVLAPAPLLAVLALALPGRSHGHPSHALVWLALSHSIWAVAAVCGALVELGIPGPGAGPPLAVPLLDLLPFVSLLFSAALRLRWGPSCPLIYDPSRPTGLYCASLVALLAHPLASLVLQTFCHGTTDGEWLPLPWAASSGCLQALLREM
+>sp|Q96J65|MRP9_HUMAN Multidrug resistance-associated protein 9 OS=Homo sapiens OX=9606 GN=ABCC12 PE=1 SV=2
+MVGEGPYLISDLDQRGRRRSFAERYDPSLKTMIPVRPCARLAPNPVDDAGLLSFATFSWLTPVMVKGYRQRLTVDTLPPLSTYDSSDTNAKRFRVLWDEEVARVGPEKASLSHVVWKFQRTRVLMDIVANILCIIMAAIGPVILIHQILQQTERTSGKVWVGIGLCIALFATEFTKVFFWALAWAINYRTAIRLKVALSTLVFENLVSFKTLTHISVGEVLNILSSDSYSLFEAALFCPLPATIPILMVFCAAYAFFILGPTALIGISVYVIFIPVQMFMAKLNSAFRRSAILVTDKRVQTMNEFLTCIRLIKMYAWEKSFTNTIQDIRRRERKLLEKAGFVQSGNSALAPIVSTIAIVLTLSCHILLRRKLTAPVAFSVIAMFNVMKFSIAILPFSIKAMAEANVSLRRMKKILIDKSPPSYITQPEDPDTVLLLANATLTWEHEASRKSTPKKLQNQKRHLCKKQRSEAYSERSPPAKGATGPEEQSDSLKSVLHSISFVVRKGKILGICGNVGSGKSSLLAALLGQMQLQKGVVAVNGTLAYVSQQAWIFHGNVRENILFGEKYDHQRYQHTVRVCGLQKDLSNLPYGDLTEIGERGLNLSGGQRQRISLARAVYSDRQLYLLDDPLSAVDAHVGKHVFEECIKKTLRGKTVVLVTHQLQFLESCDEVILLEDGEICEKGTHKELMEERGRYAKLIHNLRGLQFKDPEHLYNAAMVEAFKESPAEREEDAGIIVLAPGNEKDEGKESETGSEFVDTKVPEHQLIQTESPQEGTVTWKTYHTYIKASGGYLLSLFTVFLFLLMIGSAAFSNWWLGLWLDKGSRMTCGPQGNRTMCEVGAVLADIGQHVYQWVYTASMVFMLVFGVTKGFVFTKTTLMASSSLHDTVFDKILKSPMSFFDTTPTGRLMNRFSKDMDELDVRLPFHAENFLQQFFMVVFILVILAAVFPAVLLVVASLAVGFFILLRIFHRGVQELKKVENVSRSPWFTHITSSMQGLGIIHAYGKKESCITYHLLYFNCALRWFALRMDVLMNILTFTVALLVTLSFSSISTSSKGLSLSYIIQLSGLLQVCVRTGTETQAKFTSVELLREYISTCVPECTHPLKVGTCPKDWPSRGEITFRDYQMRYRDNTPLVLDSLNLNIQSGQTVGIVGRTGSGKSSLGMALFRLVEPASGTIFIDEVDICILSLEDLRTKLTVIPQDPVLFVGTVRYNLDPFESHTDEMLWQVLERTFMRDTIMKLPEKLQAEVTENGENFSVGERQLLCVARALLRNSKIILLDEATASMDSKTDTLVQNTIKDAFKGCTVLTIAHRLNTVLNCDHVLVMENGKVIEFDKPEVLAEKPDSAFAMLLAAEVRL
+>DECOY_sp|Q96J65|MRP9_HUMAN Multidrug resistance-associated protein 9 OS=Homo sapiens OX=9606 GN=ABCC12 PE=1 SV=2
+LRVEAALLMAFASDPKEALVEPKDFEIVKGNEMVLVHDCNLVTNLRHAITLVTCGKFADKITNQVLTDTKSDMSATAEDLLIIKSNRLLARAVCLLQREGVSFNEGNETVEAQLKEPLKMITDRMFTRELVQWLMEDTHSEFPDLNYRVTGVFLVPDQPIVTLKTRLDELSLICIDVEDIFITGSAPEVLRFLAMGLSSKGSGTRGVIGVTQGSQINLNLSDLVLPTNDRYRMQYDRFTIEGRSPWDKPCTGVKLPHTCEPVCTSIYERLLEVSTFKAQTETGTRVCVQLLGSLQIIYSLSLGKSSTSISSFSLTVLLAVTFTLINMLVDMRLAFWRLACNFYLLHYTICSEKKGYAHIIGLGQMSSTIHTFWPSRSVNEVKKLEQVGRHFIRLLIFFGVALSAVVLLVAPFVAALIVLIFVVMFFQQLFNEAHFPLRVDLEDMDKSFRNMLRGTPTTDFFSMPSKLIKDFVTDHLSSSAMLTTKTFVFGKTVGFVLMFVMSATYVWQYVHQGIDALVAGVECMTRNGQPGCTMRSGKDLWLGLWWNSFAASGIMLLFLFVTFLSLLYGGSAKIYTHYTKWTVTGEQPSETQILQHEPVKTDVFESGTESEKGEDKENGPALVIIGADEEREAPSEKFAEVMAANYLHEPDKFQLGRLNHILKAYRGREEMLEKHTGKECIEGDELLIVEDCSELFQLQHTVLVVTKGRLTKKICEEFVHKGVHADVASLPDDLLYLQRDSYVARALSIRQRQGGSLNLGREGIETLDGYPLNSLDKQLGCVRVTHQYRQHDYKEGFLINERVNGHFIWAQQSVYALTGNVAVVGKQLQMQGLLAALLSSKGSGVNGCIGLIKGKRVVFSISHLVSKLSDSQEEPGTAGKAPPSRESYAESRQKKCLHRKQNQLKKPTSKRSAEHEWTLTANALLLVTDPDEPQTIYSPPSKDILIKKMRRLSVNAEAMAKISFPLIAISFKMVNFMAIVSFAVPATLKRRLLIHCSLTLVIAITSVIPALASNGSQVFGAKELLKRERRRIDQITNTFSKEWAYMKILRICTLFENMTQVRKDTVLIASRRFASNLKAMFMQVPIFIVYVSIGILATPGLIFFAYAACFVMLIPITAPLPCFLAAEFLSYSDSSLINLVEGVSIHTLTKFSVLNEFVLTSLAVKLRIATRYNIAWALAWFFVKTFETAFLAICLGIGVWVKGSTRETQQLIQHILIVPGIAAMIICLINAVIDMLVRTRQFKWVVHSLSAKEPGVRAVEEDWLVRFRKANTDSSDYTSLPPLTDVTLRQRYGKVMVPTLWSFTAFSLLGADDVPNPALRACPRVPIMTKLSPDYREAFSRRRGRQDLDSILYPGEGVM
+>sp|Q9Y6F6|MRVI1_HUMAN Protein MRVI1 OS=Homo sapiens OX=9606 GN=MRVI1 PE=1 SV=3
+MGMDLTCPFGISPACGAQASWSIFGADAAEVPGTRGHSQQEAAMPHIPEDEEPPGEPQAAQSPAGQGPPAAGVSCSPTPTIVLTGDATSPEGETDKNLANRVHSPHKRLSHRHLKVSTASLTSVDPAGHIIDLVNDQLPDISISEEDKKKNLALLEEAKLVSERFLTRRGRKSRSSPGDSPSAVSPNLSPSASPTSSRSNSLTVPTPPGLDVCSGPPSPLPGAPPQQKGDEADVSSPHPGEPNVPKGLADRKQNDQRKVSQGRLAPRPPPVEKSKEIAIEQKENFDPLQYPETTPKGLAPVTNSSGKMALNSPQPGPVESELGKQLLKTGWEGSPLPRSPTQDAAGVGPPASQGRGPAGEPMGPEAGSKAELPPTVSRPPLLRGLSWDSGPEEPGPRLQKVLAKLPLAEEEKRFAGKAGGKLAKAPGLKDFQIQVQPVRMQKLTKLREEHILMRNQNLVGLKLPDLSEAAEQEKGLPSELSPAIEEEESKSGLDVMPNISDVLLRKLRVHRSLPGSAPPLTEKEVENVFVQLSLAFRNDSYTLESRINQAERERNLTEENTEKELENFKASITSSASLWHHCEHRETYQKLLEDIAVLHRLAARLSSRAEVVGAVRQEKRMSKATEVMMQYVENLKRTYEKDHAELMEFKKLANQNSSRSCGPSEDGVPRTARSMSLTLGKNMPRRRVSVAVVPKFNALNLPGQTPSSSSIPSLPALSESPNGKGSLPVTSALPALLESGKTNGDPDCEASAPALTLSCLEELSQETKARMEEEAYSKGFQEGLKKTKELQDLKEEEEEQKSESPEEPEEVEETEEEEKGPRSSKLEELVHFLQVMYPKLCQHWQVIWMMAAVMLVLTVVLGLYNSYNSCAEQADGPLGRSTCSAAQRDSWWSSGLQHEQPTEQ
+>DECOY_sp|Q9Y6F6|MRVI1_HUMAN Protein MRVI1 OS=Homo sapiens OX=9606 GN=MRVI1 PE=1 SV=3
+QETPQEHQLGSSWWSDRQAASCTSRGLPGDAQEACSNYSNYLGLVVTLVLMVAAMMWIVQWHQCLKPYMVQLFHVLEELKSSRPGKEEEETEEVEEPEEPSESKQEEEEEKLDQLEKTKKLGEQFGKSYAEEEMRAKTEQSLEELCSLTLAPASAECDPDGNTKGSELLAPLASTVPLSGKGNPSESLAPLSPISSSSPTQGPLNLANFKPVVAVSVRRRPMNKGLTLSMSRATRPVGDESPGCSRSSNQNALKKFEMLEAHDKEYTRKLNEVYQMMVETAKSMRKEQRVAGVVEARSSLRAALRHLVAIDELLKQYTERHECHHWLSASSTISAKFNELEKETNEETLNREREAQNIRSELTYSDNRFALSLQVFVNEVEKETLPPASGPLSRHVRLKRLLVDSINPMVDLGSKSEEEEIAPSLESPLGKEQEAAESLDPLKLGVLNQNRMLIHEERLKTLKQMRVPQVQIQFDKLGPAKALKGGAKGAFRKEEEALPLKALVKQLRPGPEEPGSDWSLGRLLPPRSVTPPLEAKSGAEPGMPEGAPGRGQSAPPGVGAADQTPSRPLPSGEWGTKLLQKGLESEVPGPQPSNLAMKGSSNTVPALGKPTTEPYQLPDFNEKQEIAIEKSKEVPPPRPALRGQSVKRQDNQKRDALGKPVNPEGPHPSSVDAEDGKQQPPAGPLPSPPGSCVDLGPPTPVTLSNSRSSTPSASPSLNPSVASPSDGPSSRSKRGRRTLFRESVLKAEELLALNKKKDEESISIDPLQDNVLDIIHGAPDVSTLSATSVKLHRHSLRKHPSHVRNALNKDTEGEPSTADGTLVITPTPSCSVGAAPPGQGAPSQAAQPEGPPEEDEPIHPMAAEQQSHGRTGPVEAADAGFISWSAQAGCAPSIGFPCTLDMGM
+>sp|Q96HJ5|MS4A3_HUMAN Membrane-spanning 4-domains subfamily A member 3 OS=Homo sapiens OX=9606 GN=MS4A3 PE=1 SV=1
+MASHEVDNAELGSASAHGTPGSEAGPEELNTSVYQPIDGSPDYQKAKLQVLGAIQILNAAMILALGVFLGSLQYPYHFQKHFFFFTFYTGYPIWGAVFFCSSGTLSVVAGIKPTRTWIQNSFGMNIASATIALVGTAFLSLNIAVNIQSLRSCHSSSESPDLCNYMGSISNGMVSLLLILTLLELCVTISTIAMWCNANCCNSREEISSPPNSV
+>DECOY_sp|Q96HJ5|MS4A3_HUMAN Membrane-spanning 4-domains subfamily A member 3 OS=Homo sapiens OX=9606 GN=MS4A3 PE=1 SV=1
+VSNPPSSIEERSNCCNANCWMAITSITVCLELLTLILLLSVMGNSISGMYNCLDPSESSSHCSRLSQINVAINLSLFATGVLAITASAINMGFSNQIWTRTPKIGAVVSLTGSSCFFVAGWIPYGTYFTFFFFHKQFHYPYQLSGLFVGLALIMAANLIQIAGLVQLKAKQYDPSGDIPQYVSTNLEEPGAESGPTGHASASGLEANDVEHSAM
+>sp|Q9UJ68|MSRA_HUMAN Mitochondrial peptide methionine sulfoxide reductase OS=Homo sapiens OX=9606 GN=MSRA PE=1 SV=1
+MLSATRRACQLLLLHSLFPVPRMGNSASNIVSPQEALPGRKEQTPVAAKHHVNGNRTVEPFPEGTQMAVFGMGCFWGAERKFWVLKGVYSTQVGFAGGYTSNPTYKEVCSEKTGHAEVVRVVYQPEHMSFEELLKVFWENHDPTQGMRQGNDHGTQYRSAIYPTSAKQMEAALSSKENYQKVLSEHGFGPITTDIREGQTFYYAEDYHQQYLSKNPNGYCGLGGTGVSCPVGIKK
+>DECOY_sp|Q9UJ68|MSRA_HUMAN Mitochondrial peptide methionine sulfoxide reductase OS=Homo sapiens OX=9606 GN=MSRA PE=1 SV=1
+KKIGVPCSVGTGGLGCYGNPNKSLYQQHYDEAYYFTQGERIDTTIPGFGHESLVKQYNEKSSLAAEMQKASTPYIASRYQTGHDNGQRMGQTPDHNEWFVKLLEEFSMHEPQYVVRVVEAHGTKESCVEKYTPNSTYGGAFGVQTSYVGKLVWFKREAGWFCGMGFVAMQTGEPFPEVTRNGNVHHKAAVPTQEKRGPLAEQPSVINSASNGMRPVPFLSHLLLLQCARRTASLM
+>sp|P21757|MSRE_HUMAN Macrophage scavenger receptor types I and II OS=Homo sapiens OX=9606 GN=MSR1 PE=1 SV=1
+MEQWDHFHNQQEDTDSCSESVKFDARSMTALLPPNPKNSPSLQEKLKSFKAALIALYLLVFAVLIPLIGIVAAQLLKWETKNCSVSSTNANDITQSLTGKGNDSEEEMRFQEVFMEHMSNMEKRIQHILDMEANLMDTEHFQNFSMTTDQRFNDILLQLSTLFSSVQGHGNAIDEISKSLISLNTTLLDLQLNIENLNGKIQENTFKQQEEISKLEERVYNVSAEIMAMKEEQVHLEQEIKGEVKVLNNITNDLRLKDWEHSQTLRNITLIQGPPGPPGEKGDRGPTGESGPRGFPGPIGPPGLKGDRGAIGFPGSRGLPGYAGRPGNSGPKGQKGEKGSGNTLTPFTKVRLVGGSGPHEGRVEILHSGQWGTICDDRWEVRVGQVVCRSLGYPGVQAVHKAAHFGQGTGPIWLNEVFCFGRESSIEECKIRQWGTRACSHSEDAGVTCTL
+>DECOY_sp|P21757|MSRE_HUMAN Macrophage scavenger receptor types I and II OS=Homo sapiens OX=9606 GN=MSR1 PE=1 SV=1
+LTCTVGADESHSCARTGWQRIKCEEISSERGFCFVENLWIPGTGQGFHAAKHVAQVGPYGLSRCVVQGVRVEWRDDCITGWQGSHLIEVRGEHPGSGGVLRVKTFPTLTNGSGKEGKQGKPGSNGPRGAYGPLGRSGPFGIAGRDGKLGPPGIPGPFGRPGSEGTPGRDGKEGPPGPPGQILTINRLTQSHEWDKLRLDNTINNLVKVEGKIEQELHVQEEKMAMIEASVNYVREELKSIEEQQKFTNEQIKGNLNEINLQLDLLTTNLSILSKSIEDIANGHGQVSSFLTSLQLLIDNFRQDTTMSFNQFHETDMLNAEMDLIHQIRKEMNSMHEMFVEQFRMEEESDNGKGTLSQTIDNANTSSVSCNKTEWKLLQAAVIGILPILVAFVLLYLAILAAKFSKLKEQLSPSNKPNPPLLATMSRADFKVSESCSDTDEQQNHFHDWQEM
+>sp|Q4VC12|MSS51_HUMAN Putative protein MSS51 homolog, mitochondrial OS=Homo sapiens OX=9606 GN=MSS51 PE=1 SV=2
+MAPRSRRRRHKKPPSSVAPIIMAPTTIVTPVPLTPSKPGPSIDTLGFFSLDDNVPGLSQLILQKLNMKSYEEYKLVVDGGTPVSGFGFRCPQEMFQRMEDTFRFCAHCRALPSGLSDSKVLRHCKRCRNVYYCGPECQKSDWPAHRRVCQELRLVAVDRLMEWLLVTGDFVLPSGPWPWPPEAVQDWDSWFSMKGLHLDATLDAVLVSHAVTTLWASVGRPRPDPDVLQGSLKRLLTDVLSRPLTLGLGLRALGIDVRRTGGSTVHVVGASHVETFLTRPGDYDELGYMFPGHLGLRVVMVGVDVATGFSQSTSTSPLEPGTIQLSAHRGLYHDFWEEQVETGQTHHPDLVAAFHPGFHSSPDLMEAWLPTLLLLRDYKIPTLITVYSHQELVSSLQILVELDTHITAFGSNPFMSLKPEQVYSSPNKQPVYCSAYYIMFLGSSCQLDNRQLEEKVDGGI
+>DECOY_sp|Q4VC12|MSS51_HUMAN Putative protein MSS51 homolog, mitochondrial OS=Homo sapiens OX=9606 GN=MSS51 PE=1 SV=2
+IGGDVKEELQRNDLQCSSGLFMIYYASCYVPQKNPSSYVQEPKLSMFPNSGFATIHTDLEVLIQLSSVLEQHSYVTILTPIKYDRLLLLTPLWAEMLDPSSHFGPHFAAVLDPHHTQGTEVQEEWFDHYLGRHASLQITGPELPSTSTSQSFGTAVDVGVMVVRLGLHGPFMYGLEDYDGPRTLFTEVHSAGVVHVTSGGTRRVDIGLARLGLGLTLPRSLVDTLLRKLSGQLVDPDPRPRGVSAWLTTVAHSVLVADLTADLHLGKMSFWSDWDQVAEPPWPWPGSPLVFDGTVLLWEMLRDVAVLRLEQCVRRHAPWDSKQCEPGCYYVNRCRKCHRLVKSDSLGSPLARCHACFRFTDEMRQFMEQPCRFGFGSVPTGGDVVLKYEEYSKMNLKQLILQSLGPVNDDLSFFGLTDISPGPKSPTLPVPTVITTPAMIIPAVSSPPKKHRRRRSRPAM
+>sp|Q2TV78|MST1L_HUMAN Putative macrophage stimulating 1-like protein OS=Homo sapiens OX=9606 GN=MST1L PE=2 SV=2
+MAPAPVTLLAPGAASSMSCSQPGQRSPSNDFQVLRGTELQHLLHAVVPGPWQEDVADAEECAGRCGPLMDCWAFHYNVSSHGCQLLPWTQHSPHSRLWHSGRCDLFQEKGEWGYMPTLRNGLEENFCRNPDGDPGGPWCHTTDPAVRFQSCSIKSCRVAACVWCNGEEYRGAVDRTESGRECQRWDLQHPHQHPFEPGKFLDQGLDDNYCRNPDGSERPWCYTTDPQIEREFCDLPRCGSEAQPRQEATSVSCFRGKGEGYRGTANTTTAAYLASVGTRKSHISTDLRQKNTRASEVGGGAGVGTCCCGDLRENFCWNLDGSEAPWCFTLRPGTRVGFCYQIRRCTDDVRPQDCYHGAGEQYRGTVSKTRKGVQCQRWSAETPHKLQALTLGRHALMSGTRAWKWLRLPCHDFAPAPASVHIYLRTACTTGGELLPDPDGDSHGPWCYTMDPRTPFDYCALRRCDQVQFEKCGKRVDRLDQRRSKLRVAGGHPGNSPWTVSLRNRQGQHFCAGSLVKEQWILTARQCFSSCHMPLTGYEVWLGTLFQNPQHGEPGLQRVPVAKMLCGPSGSQLVLLKLERSVTLNQRVALICLPPEWYVVPPGTKCEIAGWGETKGTGNDTVLNVALLNVISNQECNIKHRGHVRESEMCTEGLLAPVGACEGDYGGPLACFTHNCWVLKGIRIPNRVCTRSRWPAVFTRVSVFVDWIHKVMRLG
+>DECOY_sp|Q2TV78|MST1L_HUMAN Putative macrophage stimulating 1-like protein OS=Homo sapiens OX=9606 GN=MST1L PE=2 SV=2
+GLRMVKHIWDVFVSVRTFVAPWRSRTCVRNPIRIGKLVWCNHTFCALPGGYDGECAGVPALLGETCMESERVHGRHKINCEQNSIVNLLAVNLVTDNGTGKTEGWGAIECKTGPPVVYWEPPLCILAVRQNLTVSRELKLLVLQSGSPGCLMKAVPVRQLGPEGHQPNQFLTGLWVEYGTLPMHCSSFCQRATLIWQEKVLSGACFHQGQRNRLSVTWPSNGPHGGAVRLKSRRQDLRDVRKGCKEFQVQDCRRLACYDFPTRPDMTYCWPGHSDGDPDPLLEGGTTCATRLYIHVSAPAPAFDHCPLRLWKWARTGSMLAHRGLTLAQLKHPTEASWRQCQVGKRTKSVTGRYQEGAGHYCDQPRVDDTCRRIQYCFGVRTGPRLTFCWPAESGDLNWCFNERLDGCCCTGVGAGGGVESARTNKQRLDTSIHSKRTGVSALYAATTTNATGRYGEGKGRFCSVSTAEQRPQAESGCRPLDCFEREIQPDTTYCWPRESGDPNRCYNDDLGQDLFKGPEFPHQHPHQLDWRQCERGSETRDVAGRYEEGNCWVCAAVRCSKISCSQFRVAPDTTHCWPGGPDGDPNRCFNEELGNRLTPMYGWEGKEQFLDCRGSHWLRSHPSHQTWPLLQCGHSSVNYHFAWCDMLPGCRGACEEADAVDEQWPGPVVAHLLHQLETGRLVQFDNSPSRQGPQSCSMSSAAGPALLTVPAPAM
+>sp|Q93083|MT1L_HUMAN Metallothionein-1L OS=Homo sapiens OX=9606 GN=MT1L PE=2 SV=1
+MDPNCSCATGGSCSCASSCKCKECKCTSCKKSCCSCCPMGCAKCAQGCVCKGASEKCSCCA
+>DECOY_sp|Q93083|MT1L_HUMAN Metallothionein-1L OS=Homo sapiens OX=9606 GN=MT1L PE=2 SV=1
+ACCSCKESAGKCVCGQACKACGMPCCSCCSKKCSTCKCEKCKCSSACSCSGGTACSCNPDM
+>sp|Q86U44|MTA70_HUMAN N6-adenosine-methyltransferase catalytic subunit OS=Homo sapiens OX=9606 GN=METTL3 PE=1 SV=2
+MSDTWSSIQAHKKQLDSLRERLQRRRKQDSGHLDLRNPEAALSPTFRSDSPVPTAPTSGGPKPSTASAVPELATDPELEKKLLHHLSDLALTLPTDAVSICLAISTPDAPATQDGVESLLQKFAAQELIEVKRGLLQDDAHPTLVTYADHSKLSAMMGAVAEKKGPGEVAGTVTGQKRRAEQDSTTVAAFASSLVSGLNSSASEPAKEPAKKSRKHAASDVDLEIESLLNQQSTKEQQSKKVSQEILELLNTTTAKEQSIVEKFRSRGRAQVQEFCDYGTKEECMKASDADRPCRKLHFRRIINKHTDESLGDCSFLNTCFHMDTCKYVHYEIDACMDSEAPGSKDHTPSQELALTQSVGGDSSADRLFPPQWICCDIRYLDVSILGKFAVVMADPPWDIHMELPYGTLTDDEMRRLNIPVLQDDGFLFLWVTGRAMELGRECLNLWGYERVDEIIWVKTNQLQRIIRTGRTGHWLNHGKEHCLVGVKGNPQGFNQGLDCDVIVAEVRSTSHKPDEIYGMIERLSPGTRKIELFGRPHNVQPNWITLGNQLDGIHLLDPDVVARFKQRYPDGIISKPKNL
+>DECOY_sp|Q86U44|MTA70_HUMAN N6-adenosine-methyltransferase catalytic subunit OS=Homo sapiens OX=9606 GN=METTL3 PE=1 SV=2
+LNKPKSIIGDPYRQKFRAVVDPDLLHIGDLQNGLTIWNPQVNHPRGFLEIKRTGPSLREIMGYIEDPKHSTSRVEAVIVDCDLGQNFGQPNGKVGVLCHEKGHNLWHGTRGTRIIRQLQNTKVWIIEDVREYGWLNLCERGLEMARGTVWLFLFGDDQLVPINLRRMEDDTLTGYPLEMHIDWPPDAMVVAFKGLISVDLYRIDCCIWQPPFLRDASSDGGVSQTLALEQSPTHDKSGPAESDMCADIEYHVYKCTDMHFCTNLFSCDGLSEDTHKNIIRRFHLKRCPRDADSAKMCEEKTGYDCFEQVQARGRSRFKEVISQEKATTTNLLELIEQSVKKSQQEKTSQQNLLSEIELDVDSAAHKRSKKAPEKAPESASSNLGSVLSSAFAAVTTSDQEARRKQGTVTGAVEGPGKKEAVAGMMASLKSHDAYTVLTPHADDQLLGRKVEILEQAAFKQLLSEVGDQTAPADPTSIALCISVADTPLTLALDSLHHLLKKELEPDTALEPVASATSPKPGGSTPATPVPSDSRFTPSLAAEPNRLDLHGSDQKRRRQLRERLSDLQKKHAQISSWTDSM
+>sp|P56278|MTCP1_HUMAN Protein p13 MTCP-1 OS=Homo sapiens OX=9606 GN=MTCP1 PE=1 SV=1
+MAGEDVGAPPDHLWVHQEGIYRDEYQRTWVAVVEEETSFLRARVQQIQVPLGDAARPSHLLTSQLPLMWQLYPEERYMDNNSRLWQIQHHLMVRGVQELLLKLLPDD
+>DECOY_sp|P56278|MTCP1_HUMAN Protein p13 MTCP-1 OS=Homo sapiens OX=9606 GN=MTCP1 PE=1 SV=1
+DDPLLKLLLEQVGRVMLHHQIQWLRSNNDMYREEPYLQWMLPLQSTLLHSPRAADGLPVQIQQVRARLFSTEEEVVAVWTRQYEDRYIGEQHVWLHDPPAGVDEGAM
+>sp|Q99551|MTEF1_HUMAN Transcription termination factor 1, mitochondrial OS=Homo sapiens OX=9606 GN=MTERF1 PE=1 SV=1
+MQSLSLGQTSISKGLNYLTIMAPGNLWHMRNNFLFGSRCWMTRFSAENIFKSVSFRLFGVKCHNTDSEPLKNEDLLKNLLTMGVDIDMARKRQPGVFHRMITNEQDLKMFLLSKGASKEVIASIISRYPRAITRTPENLSKRWDLWRKIVTSDLEIVNILERSPESFFRSNNNLNLENNIKFLYSVGLTRKCLCRLLTNAPRTFSNSLDLNKQMVEFLQAAGLSLGHNDPADFVRKIIFKNPFILIQSTKRVKANIEFLRSTFNLNSEELLVLICGPGAEILDLSNDYARRSYANIKEKLFSLGCTEEEVQKFVLSYPDVIFLAEKKFNDKIDCLMEENISISQIIENPRVLDSSISTLKSRIKELVNAGCNLSTLNITLLSWSKKRYEAKLKKLSRFA
+>DECOY_sp|Q99551|MTEF1_HUMAN Transcription termination factor 1, mitochondrial OS=Homo sapiens OX=9606 GN=MTERF1 PE=1 SV=1
+AFRSLKKLKAEYRKKSWSLLTINLTSLNCGANVLEKIRSKLTSISSDLVRPNEIIQSISINEEMLCDIKDNFKKEALFIVDPYSLVFKQVEEETCGLSFLKEKINAYSRRAYDNSLDLIEAGPGCILVLLEESNLNFTSRLFEINAKVRKTSQILIFPNKFIIKRVFDAPDNHGLSLGAAQLFEVMQKNLDLSNSFTRPANTLLRCLCKRTLGVSYLFKINNELNLNNNSRFFSEPSRELINVIELDSTVIKRWLDWRKSLNEPTRTIARPYRSIISAIVEKSAGKSLLFMKLDQENTIMRHFVGPQRKRAMDIDVGMTLLNKLLDENKLPESDTNHCKVGFLRFSVSKFINEASFRTMWCRSGFLFNNRMHWLNGPAMITLYNLGKSISTQGLSLSQM
+>sp|P42898|MTHR_HUMAN Methylenetetrahydrofolate reductase OS=Homo sapiens OX=9606 GN=MTHFR PE=1 SV=3
+MVNEARGNSSLNPCLEGSASSGSESSKDSSRCSTPGLDPERHERLREKMRRRLESGDKWFSLEFFPPRTAEGAVNLISRFDRMAAGGPLYIDVTWHPAGDPGSDKETSSMMIASTAVNYCGLETILHMTCCRQRLEEITGHLHKAKQLGLKNIMALRGDPIGDQWEEEEGGFNYAVDLVKHIRSEFGDYFDICVAGYPKGHPEAGSFEADLKHLKEKVSAGADFIITQLFFEADTFFRFVKACTDMGITCPIVPGIFPIQGYHSLRQLVKLSKLEVPQEIKDVIEPIKDNDAAIRNYGIELAVSLCQELLASGLVPGLHFYTLNREMATTEVLKRLGMWTEDPRRPLPWALSAHPKRREEDVRPIFWASRPKSYIYRTQEWDEFPNGRWGNSSSPAFGELKDYYLFYLKSKSPKEELLKMWGEELTSEESVFEVFVLYLSGEPNRNGHKVTCLPWNDEPLAAETSLLKEELLRVNRQGILTINSQPNINGKPSSDPIVGWGPSGGYVFQKAYLEFFTSRETAEALLQVLKKYELRVNYHLVNVKGENITNAPELQPNAVTWGIFPGREIIQPTVVDPVSFMFWKDEAFALWIERWGKLYEEESPSRTIIQYIHDNYFLVNLVDNDFPLDNCLWQVVEDTLELLNRPTQNARETEAP
+>DECOY_sp|P42898|MTHR_HUMAN Methylenetetrahydrofolate reductase OS=Homo sapiens OX=9606 GN=MTHFR PE=1 SV=3
+PAETERANQTPRNLLELTDEVVQWLCNDLPFDNDVLNVLFYNDHIYQIITRSPSEEEYLKGWREIWLAFAEDKWFMFSVPDVVTPQIIERGPFIGWTVANPQLEPANTINEGKVNVLHYNVRLEYKKLVQLLAEATERSTFFELYAKQFVYGGSPGWGVIPDSSPKGNINPQSNITLIGQRNVRLLEEKLLSTEAALPEDNWPLCTVKHGNRNPEGSLYLVFVEFVSEESTLEEGWMKLLEEKPSKSKLYFLYYDKLEGFAPSSSNGWRGNPFEDWEQTRYIYSKPRSAWFIPRVDEERRKPHASLAWPLPRRPDETWMGLRKLVETTAMERNLTYFHLGPVLGSALLEQCLSVALEIGYNRIAADNDKIPEIVDKIEQPVELKSLKVLQRLSHYGQIPFIGPVIPCTIGMDTCAKVFRFFTDAEFFLQTIIFDAGASVKEKLHKLDAEFSGAEPHGKPYGAVCIDFYDGFESRIHKVLDVAYNFGGEEEEWQDGIPDGRLAMINKLGLQKAKHLHGTIEELRQRCCTMHLITELGCYNVATSAIMMSSTEKDSGPDGAPHWTVDIYLPGGAAMRDFRSILNVAGEATRPPFFELSFWKDGSELRRRMKERLREHREPDLGPTSCRSSDKSSESGSSASGELCPNLSSNGRAENVM
+>sp|Q9NYA4|MTMR4_HUMAN Myotubularin-related protein 4 OS=Homo sapiens OX=9606 GN=MTMR4 PE=1 SV=2
+MGEEGPPSLEYIQAKDLFPPKELVKEEENLQVPFTVLQGEGVEFLGRAADALIAISNYRLHIKFKDSVINVPLRMIDSVESRDMFQLHISCKDSKVVRCHFSTFKQCQEWLSRLSRATARPAKPEDLFAFAYHAWCLGLTEEDQHTHLCQPGEHIRCRQEAELARMGFDLQNVWRVSHINSNYKLCPSYPQKLLVPVWITDKELENVASFRSWKRIPVVVYRHLRNGAAIARCSQPEISWWGWRNADDEYLVTSIAKACALDPGTRATGGSLSTGNNDTSEACDADFDSSLTACSGVESTAAPQKLLILDARSYTAAVANRAKGGGCECEEYYPNCEVVFMGMANIHAIRNSFQYLRAVCSQMPDPSNWLSALESTKWLQHLSVMLKAAVLVANTVDREGRPVLVHCSDGWDRTPQIVALAKILLDPYYRTLEGFQVLVESDWLDFGHKFGDRCGHQENVEDQNEQCPVFLQWLDSVHQLLKQFPCLFEFNEAFLVKLVQHTYSCLYGTFLANNPCEREKRNIYKRTCSVWALLRAGNKNFHNFLYTPSSDMVLHPVCHVRALHLWTAVYLPASSPCTLGEENMDLYLSPVAQSQEFSGRSLDRLPKTRSMDDLLSACDTSSPLTRTSSDPNLNNHCQEVRVGLEPWHSNPEGSETSFVDSGVGGPQQTVGEVGLPPPLPSSQKDYLSNKPFKSHKSCSPSYKLLNTAVPREMKSNTSDPEIKVLEETKGPAPDPSAQDELGRTLDGIGEPPEHCPETEAVSALSKVISNKCDGVCNFPESSQNSPTGTPQQAQPDSMLGVPSKCVLDHSLSTVCNPPSAACQTPLDPSTDFLNQDPSGSVASISHQEQLSSVPDLTHGEEDIGKRGNNRNGQLLENPRFGKMPLELVRKPISQSQISEFSFLGSNWDSFQGMVTSFPSGEATPRRLLSYGCCSKRPNSKQMRATGPCFGGQWAQREGVKSPVCSSHSNGHCTGPGGKNQMWLSSHPKQVSSTKPVPLNCPSPVPPLYLDDDGLPFPTDVIQHRLRQIEAGYKQEVEQLRRQVRELQMRLDIRHCCAPPAEPPMDYEDDFTCLKESDGSDTEDFGSDHSEDCLSEASWEPVDKKETEVTRWVPDHMASHCYNCDCEFWLAKRRHHCRNCGNVFCAGCCHLKLPIPDQQLYDPVLVCNSCYEHIQVSRARELMSQQLKKPIATASS
+>DECOY_sp|Q9NYA4|MTMR4_HUMAN Myotubularin-related protein 4 OS=Homo sapiens OX=9606 GN=MTMR4 PE=1 SV=2
+SSATAIPKKLQQSMLERARSVQIHEYCSNCVLVPDYLQQDPIPLKLHCCGACFVNGCNRCHHRRKALWFECDCNYCHSAMHDPVWRTVETEKKDVPEWSAESLCDESHDSGFDETDSGDSEKLCTFDDEYDMPPEAPPACCHRIDLRMQLERVQRRLQEVEQKYGAEIQRLRHQIVDTPFPLGDDDLYLPPVPSPCNLPVPKTSSVQKPHSSLWMQNKGGPGTCHGNSHSSCVPSKVGERQAWQGGFCPGTARMQKSNPRKSCCGYSLLRRPTAEGSPFSTVMGQFSDWNSGLFSFESIQSQSIPKRVLELPMKGFRPNELLQGNRNNGRKGIDEEGHTLDPVSSLQEQHSISAVSGSPDQNLFDTSPDLPTQCAASPPNCVTSLSHDLVCKSPVGLMSDPQAQQPTGTPSNQSSEPFNCVGDCKNSIVKSLASVAETEPCHEPPEGIGDLTRGLEDQASPDPAPGKTEELVKIEPDSTNSKMERPVATNLLKYSPSCSKHSKFPKNSLYDKQSSPLPPPLGVEGVTQQPGGVGSDVFSTESGEPNSHWPELGVRVEQCHNNLNPDSSTRTLPSSTDCASLLDDMSRTKPLRDLSRGSFEQSQAVPSLYLDMNEEGLTCPSSAPLYVATWLHLARVHCVPHLVMDSSPTYLFNHFNKNGARLLAWVSCTRKYINRKERECPNNALFTGYLCSYTHQVLKVLFAENFEFLCPFQKLLQHVSDLWQLFVPCQENQDEVNEQHGCRDGFKHGFDLWDSEVLVQFGELTRYYPDLLIKALAVIQPTRDWGDSCHVLVPRGERDVTNAVLVAAKLMVSLHQLWKTSELASLWNSPDPMQSCVARLYQFSNRIAHINAMGMFVVECNPYYEECECGGGKARNAVAATYSRADLILLKQPAATSEVGSCATLSSDFDADCAESTDNNGTSLSGGTARTGPDLACAKAISTVLYEDDANRWGWWSIEPQSCRAIAAGNRLHRYVVVPIRKWSRFSAVNELEKDTIWVPVLLKQPYSPCLKYNSNIHSVRWVNQLDFGMRALEAEQRCRIHEGPQCLHTHQDEETLGLCWAHYAFAFLDEPKAPRATARSLRSLWEQCQKFTSFHCRVVKSDKCSIHLQFMDRSEVSDIMRLPVNIVSDKFKIHLRYNSIAILADAARGLFEVGEGQLVTFPVQLNEEEKVLEKPPFLDKAQIYELSPPGEEGM
+>sp|Q9NXD2|MTMRA_HUMAN Myotubularin-related protein 10 OS=Homo sapiens OX=9606 GN=MTMR10 PE=1 SV=3
+MFSLKPPKPTFRSYLLPPPQTDDKINSEPKIKKLEPVLLPGEIVVNEVNFVRKCIATDTSQYDLWGKLICSNFKISFITDDPMPLQKFHYRNLLLGEHDVPLTCIEQIVTVNDHKRKQKVLGPNQKLKFNPTELIIYCKDFRIVRFRFDESGPESAKKVCLAIAHYSQPTDLQLLFAFEYVGKKYHNSANKINGIPSGDGGGGGGGGNGAGGGSSQKTPLFETYSDWDREIKRTGASGWRVCSINEGYMISTCLPEYIVVPSSLADQDLKIFSHSFVGRRMPLWCWSHSNGSALVRMALIKDVLQQRKIDQRICNAITKSHPQRSDVYKSDLDKTLPNIQEVQAAFVKLKQLCVNEPFEETEEKWLSSLENTRWLEYVRAFLKHSAELVYMLESKHLSVVLQEEEGRDLSCCVASLVQVMLDPYFRTITGFQSLIQKEWVMAGYQFLDRCNHLKRSEKESPLFLLFLDATWQLLEQYPAAFEFSETYLAVLYDSTRISLFGTFLFNSPHQRVKQSTEFAISKNIQLGDEKGLKFPSVWDWSLQFTAKDRTLFHNPFYIGKSTPCIQNGSVKSFKRTKKSYSSTLRGMPSALKNGIISDQELLPRRNSLILKPKPDPAQQTDSQNSDTEQYFREWFSKPANLHGVILPRVSGTHIKLWKLCYFRWVPEAQISLGGSITAFHKLSLLADEVDVLSRMLRQQRSGPLEACYGELGQSRMYFNASGPHHTDTSGTPEFLSSSFPFSPVGNLCRRSILGTPLSKFLSGAKIWLSTETLANED
+>DECOY_sp|Q9NXD2|MTMRA_HUMAN Myotubularin-related protein 10 OS=Homo sapiens OX=9606 GN=MTMR10 PE=1 SV=3
+DENALTETSLWIKAGSLFKSLPTGLISRRCLNGVPSFPFSSSLFEPTGSTDTHHPGSANFYMRSQGLEGYCAELPGSRQQRLMRSLVDVEDALLSLKHFATISGGLSIQAEPVWRFYCLKWLKIHTGSVRPLIVGHLNAPKSFWERFYQETDSNQSDTQQAPDPKPKLILSNRRPLLEQDSIIGNKLASPMGRLTSSYSKKTRKFSKVSGNQICPTSKGIYFPNHFLTRDKATFQLSWDWVSPFKLGKEDGLQINKSIAFETSQKVRQHPSNFLFTGFLSIRTSDYLVALYTESFEFAAPYQELLQWTADLFLLFLPSEKESRKLHNCRDLFQYGAMVWEKQILSQFGTITRFYPDLMVQVLSAVCCSLDRGEEEQLVVSLHKSELMYVLEASHKLFARVYELWRTNELSSLWKEETEEFPENVCLQKLKVFAAQVEQINPLTKDLDSKYVDSRQPHSKTIANCIRQDIKRQQLVDKILAMRVLASGNSHSWCWLPMRRGVFSHSFIKLDQDALSSPVVIYEPLCTSIMYGENISCVRWGSAGTRKIERDWDSYTEFLPTKQSSGGGAGNGGGGGGGGDGSPIGNIKNASNHYKKGVYEFAFLLQLDTPQSYHAIALCVKKASEPGSEDFRFRVIRFDKCYIILETPNFKLKQNPGLVKQKRKHDNVTVIQEICTLPVDHEGLLLNRYHFKQLPMPDDTIFSIKFNSCILKGWLDYQSTDTAICKRVFNVENVVIEGPLLVPELKKIKPESNIKDDTQPPPLLYSRFTPKPPKLSFM
+>sp|Q9NZB8|MOCS1_HUMAN Molybdenum cofactor biosynthesis protein 1 OS=Homo sapiens OX=9606 GN=MOCS1 PE=1 SV=3
+MAARPLSRMLRRLLRSSARSCSSGAPVTQPCPGESARAASEEVSRRRQFLREHAAPFSAFLTDSFGRQHSYLRISLTEKCNLRCQYCMPEEGVPLTPKANLLTTEEILTLARLFVKEGIDKIRLTGGEPLIRPDVVDIVAQLQRLEGLRTIGVTTNGINLARLLPQLQKAGLSAINISLDTLVPAKFEFIVRRKGFHKVMEGIHKAIELGYNPVKVNCVVMRGLNEDELLDFAALTEGLPLDVRFIEYMPFDGNKWNFKKMVSYKEMLDTVRQQWPELEKVPEEESSTAKAFKIPGFQGQISFITSMSEHFCGTCNRLRITADGNLKVCLFGNSEVSLRDHLRAGASEQELLRIIGAAVGRKKRQHAGMFSISQMKNRPMILIELFLMFPNSPPANPSIFSWDPLHVQGLRPRMSFSSQVATLWKGCRVPQTPPLAQQRLGSGSFQRHYTSRADSDANSKCLSPGSWASAAPSGPQLTSEQLTHVDSEGRAAMVDVGRKPDTERVAVASAVVLLGPVAFKLVQQNQLKKGDALVVAQLAGVQAAKVTSQLIPLCHHVALSHIQVQLELDSTRHAVKIQASCRARGPTGVEMEALTSAAVAALTLYDMCKAVSRDIVLEEIKLISKTGGQRGDFHRA
+>DECOY_sp|Q9NZB8|MOCS1_HUMAN Molybdenum cofactor biosynthesis protein 1 OS=Homo sapiens OX=9606 GN=MOCS1 PE=1 SV=3
+ARHFDGRQGGTKSILKIEELVIDRSVAKCMDYLTLAAVAASTLAEMEVGTPGRARCSAQIKVAHRTSDLELQVQIHSLAVHHCLPILQSTVKAAQVGALQAVVLADGKKLQNQQVLKFAVPGLLVVASAVAVRETDPKRGVDVMAARGESDVHTLQESTLQPGSPAASAWSGPSLCKSNADSDARSTYHRQFSGSGLRQQALPPTQPVRCGKWLTAVQSSFSMRPRLGQVHLPDWSFISPNAPPSNPFMLFLEILIMPRNKMQSISFMGAHQRKKRGVAAGIIRLLEQESAGARLHDRLSVESNGFLCVKLNGDATIRLRNCTGCFHESMSTIFSIQGQFGPIKFAKATSSEEEPVKELEPWQQRVTDLMEKYSVMKKFNWKNGDFPMYEIFRVDLPLGETLAAFDLLEDENLGRMVVCNVKVPNYGLEIAKHIGEMVKHFGKRRVIFEFKAPVLTDLSINIASLGAKQLQPLLRALNIGNTTVGITRLGELRQLQAVIDVVDPRILPEGGTLRIKDIGEKVFLRALTLIEETTLLNAKPTLPVGEEPMCYQCRLNCKETLSIRLYSHQRGFSDTLFASFPAAHERLFQRRRSVEESAARASEGPCPQTVPAGSSCSRASSRLLRRLMRSLPRAAM
+>sp|O95396|MOCS3_HUMAN Adenylyltransferase and sulfurtransferase MOCS3 OS=Homo sapiens OX=9606 GN=MOCS3 PE=1 SV=1
+MASREEVLALQAEVAQREEELNSLKQKLASALLAEQEPQPERLVPVSPLPPKAALSRDEILRYSRQLVLPELGVHGQLRLGTACVLIVGCGGLGCPLAQYLAAAGVGRLGLVDYDVVEMSNLARQVLHGEALAGQAKAFSAAASLRRLNSAVECVPYTQALTPATALDLVRRYDVVADCSDNVPTRYLVNDACVLAGRPLVSASALRFEGQITVYHYDGGPCYRCIFPQPPPAETVTNCADGGVLGVVTGVLGCLQALEVLKIAAGLGPSYSGSLLLFDALRGHFRSIRLRSRRLDCAACGERPTVTDLLDYEAFCGSSATDKCRSLQLLSPEERVSVTDYKRLLDSGAFHLLLDVRPQVEVDICRLPHALHIPLKHLERRDAESLKLLKEAIWEEKQGTQEGAAVPIYVICKLGNDSQKAVKILQSLSAAQELDPLTVRDVVGGLMAWAAKIDGTFPQY
+>DECOY_sp|O95396|MOCS3_HUMAN Adenylyltransferase and sulfurtransferase MOCS3 OS=Homo sapiens OX=9606 GN=MOCS3 PE=1 SV=1
+YQPFTGDIKAAWAMLGGVVDRVTLPDLEQAASLSQLIKVAKQSDNGLKCIVYIPVAAGEQTGQKEEWIAEKLLKLSEADRRELHKLPIHLAHPLRCIDVEVQPRVDLLLHFAGSDLLRKYDTVSVREEPSLLQLSRCKDTASSGCFAEYDLLDTVTPREGCAACDLRRSRLRISRFHGRLADFLLLSGSYSPGLGAAIKLVELAQLCGLVGTVVGLVGGDACNTVTEAPPPQPFICRYCPGGDYHYVTIQGEFRLASASVLPRGALVCADNVLYRTPVNDSCDAVVDYRRVLDLATAPTLAQTYPVCEVASNLRRLSAAASFAKAQGALAEGHLVQRALNSMEVVDYDVLGLRGVGAAALYQALPCGLGGCGVILVCATGLRLQGHVGLEPLVLQRSYRLIEDRSLAAKPPLPSVPVLREPQPEQEALLASALKQKLSNLEEERQAVEAQLALVEERSAM
+>sp|P26038|MOES_HUMAN Moesin OS=Homo sapiens OX=9606 GN=MSN PE=1 SV=3
+MPKTISVRVTTMDAELEFAIQPNTTGKQLFDQVVKTIGLREVWFFGLQYQDTKGFSTWLKLNKKVTAQDVRKESPLLFKFRAKFYPEDVSEELIQDITQRLFFLQVKEGILNDDIYCPPETAVLLASYAVQSKYGDFNKEVHKSGYLAGDKLLPQRVLEQHKLNKDQWEERIQVWHEEHRGMLREDAVLEYLKIAQDLEMYGVNYFSIKNKKGSELWLGVDALGLNIYEQNDRLTPKIGFPWSEIRNISFNDKKFVIKPIDKKAPDFVFYAPRLRINKRILALCMGNHELYMRRRKPDTIEVQQMKAQAREEKHQKQMERAMLENEKKKREMAEKEKEKIEREKEELMERLKQIEEQTKKAQQELEEQTRRALELEQERKRAQSEAEKLAKERQEAEEAKEALLQASRDQKKTQEQLALEMAELTARISQLEMARQKKESEAVEWQQKAQMVQEDLEKTRAELKTAMSTPHVAEPAENEQDEQDENGAEASADLRADAMAKDRSEEERTTEAEKNERVQKHLKALTSELANARDESKKTANDMIHAENMRLGRDKYKTLRQIRQGNTKQRIDEFESM
+>DECOY_sp|P26038|MOES_HUMAN Moesin OS=Homo sapiens OX=9606 GN=MSN PE=1 SV=3
+MSEFEDIRQKTNGQRIQRLTKYKDRGLRMNEAHIMDNATKKSEDRANALESTLAKLHKQVRENKEAETTREEESRDKAMADARLDASAEAGNEDQEDQENEAPEAVHPTSMATKLEARTKELDEQVMQAKQQWEVAESEKKQRAMELQSIRATLEAMELALQEQTKKQDRSAQLLAEKAEEAEQREKALKEAESQARKREQELELARRTQEELEQQAKKTQEEIQKLREMLEEKEREIKEKEKEAMERKKKENELMAREMQKQHKEERAQAKMQQVEITDPKRRRMYLEHNGMCLALIRKNIRLRPAYFVFDPAKKDIPKIVFKKDNFSINRIESWPFGIKPTLRDNQEYINLGLADVGLWLESGKKNKISFYNVGYMELDQAIKLYELVADERLMGRHEEHWVQIREEWQDKNLKHQELVRQPLLKDGALYGSKHVEKNFDGYKSQVAYSALLVATEPPCYIDDNLIGEKVQLFFLRQTIDQILEESVDEPYFKARFKFLLPSEKRVDQATVKKNLKLWTSFGKTDQYQLGFFWVERLGITKVVQDFLQKGTTNPQIAFELEADMTTVRVSITKPM
+>sp|Q86VX9|MON1A_HUMAN Vacuolar fusion protein MON1 homolog A OS=Homo sapiens OX=9606 GN=MON1A PE=1 SV=3
+MHPGGGPSRAERLELGLGRERPAKAIFLHRRPGEGGGRERCLRCGHVCVRRGPGPREAVPSGRPRPDTLTPPWVRQRAVTGTFCASWTPLRNRRAQRMATDMQRKRSSECLDGTLTPSDGQSMERAESPTPGMAQGMEPGAGQEGAMFVHARSYEDLTESEDGAASGDSHKEGTRGPPPLPTDMRQISQDFSELSTQLTGVARDLQEEMLPGSSEDWLEPPGAVGRPATEPPREGTTEGDEEDATEAWRLHQKHVFVLSEAGKPVYSRYGSEEALSSTMGVMVALVSFLEADKNAIRSIHADGYKVVFVRRSPLVLVAVARTRQSAQELAQELLYIYYQILSLLTGAQLSHIFQQKQNYDLRRLLSGSERITDNLLQLMARDPSFLMGAARCLPLAAAVRDTVSASLQQARARSLVFSILLARNQLVALVRRKDQFLHPIDLHLLFNLISSSSSFREGEAWTPVCLPKFNAAGFFHAHISYLEPDTDLCLLLVSTDREDFFAVSDCRRRFQERLRKRGAHLALREALRTPYYSVAQVGIPDLRHFLYKSKSSGLFTSPEIEAPYTSEEEQERLLGLYQYLHSRAHNASRPLKTIYYTGPNENLLAWVTGAFELYMCYSPLGTKASAVSAIHKLMRWIRKEEDRLFILTPLTY
+>DECOY_sp|Q86VX9|MON1A_HUMAN Vacuolar fusion protein MON1 homolog A OS=Homo sapiens OX=9606 GN=MON1A PE=1 SV=3
+YTLPTLIFLRDEEKRIWRMLKHIASVASAKTGLPSYCMYLEFAGTVWALLNENPGTYYITKLPRSANHARSHLYQYLGLLREQEEESTYPAEIEPSTFLGSSKSKYLFHRLDPIGVQAVSYYPTRLAERLALHAGRKRLREQFRRRCDSVAFFDERDTSVLLLCLDTDPELYSIHAHFFGAANFKPLCVPTWAEGERFSSSSSILNFLLHLDIPHLFQDKRRVLAVLQNRALLISFVLSRARAQQLSASVTDRVAAALPLCRAAGMLFSPDRAMLQLLNDTIRESGSLLRRLDYNQKQQFIHSLQAGTLLSLIQYYIYLLEQALEQASQRTRAVAVLVLPSRRVFVVKYGDAHISRIANKDAELFSVLAVMVGMTSSLAEESGYRSYVPKGAESLVFVHKQHLRWAETADEEDGETTGERPPETAPRGVAGPPELWDESSGPLMEEQLDRAVGTLQTSLESFDQSIQRMDTPLPPPGRTGEKHSDGSAAGDESETLDEYSRAHVFMAGEQGAGPEMGQAMGPTPSEAREMSQGDSPTLTGDLCESSRKRQMDTAMRQARRNRLPTWSACFTGTVARQRVWPPTLTDPRPRGSPVAERPGPGRRVCVHGCRLCRERGGGEGPRRHLFIAKAPRERGLGLELREARSPGGGPHM
+>sp|P53985|MOT1_HUMAN Monocarboxylate transporter 1 OS=Homo sapiens OX=9606 GN=SLC16A1 PE=1 SV=3
+MPPAVGGPVGYTPPDGGWGWAVVIGAFISIGFSYAFPKSITVFFKEIEGIFHATTSEVSWISSIMLAVMYGGGPISSILVNKYGSRIVMIVGGCLSGCGLIAASFCNTVQQLYVCIGVIGGLGLAFNLNPALTMIGKYFYKRRPLANGLAMAGSPVFLCTLAPLNQVFFGIFGWRGSFLILGGLLLNCCVAGALMRPIGPKPTKAGKDKSKASLEKAGKSGVKKDLHDANTDLIGRHPKQEKRSVFQTINQFLDLTLFTHRGFLLYLSGNVIMFFGLFAPLVFLSSYGKSQHYSSEKSAFLLSILAFVDMVARPSMGLVANTKPIRPRIQYFFAASVVANGVCHMLAPLSTTYVGFCVYAGFFGFAFGWLSSVLFETLMDLVGPQRFSSAVGLVTIVECCPVLLGPPLLGRLNDMYGDYKYTYWACGVVLIISGIYLFIGMGINYRLLAKEQKANEQKKESKEEETSIDVAGKPNEVTKAAESPDQKDTDGGPKEEESPV
+>DECOY_sp|P53985|MOT1_HUMAN Monocarboxylate transporter 1 OS=Homo sapiens OX=9606 GN=SLC16A1 PE=1 SV=3
+VPSEEEKPGGDTDKQDPSEAAKTVENPKGAVDISTEEEKSEKKQENAKQEKALLRYNIGMGIFLYIGSIILVVGCAWYTYKYDGYMDNLRGLLPPGLLVPCCEVITVLGVASSFRQPGVLDMLTEFLVSSLWGFAFGFFGAYVCFGVYTTSLPALMHCVGNAVVSAAFFYQIRPRIPKTNAVLGMSPRAVMDVFALISLLFASKESSYHQSKGYSSLFVLPAFLGFFMIVNGSLYLLFGRHTFLTLDLFQNITQFVSRKEQKPHRGILDTNADHLDKKVGSKGAKELSAKSKDKGAKTPKPGIPRMLAGAVCCNLLLGGLILFSGRWGFIGFFVQNLPALTCLFVPSGAMALGNALPRRKYFYKGIMTLAPNLNFALGLGGIVGICVYLQQVTNCFSAAILGCGSLCGGVIMVIRSGYKNVLISSIPGGGYMVALMISSIWSVESTTAHFIGEIEKFFVTISKPFAYSFGISIFAGIVVAWGWGGDPPTYGVPGGVAPPM
+>sp|O60669|MOT2_HUMAN Monocarboxylate transporter 2 OS=Homo sapiens OX=9606 GN=SLC16A7 PE=1 SV=2
+MPPMPSAPPVHPPPDGGWGWIVVGAAFISIGFSYAFPKAVTVFFKEIQQIFHTTYSEIAWISSIMLAVMYAGGPVSSVLVNKYGSRPVVIAGGLLCCLGMVLASFSSSVVQLYLTMGFITGLGLAFNLQPALTIIGKYFYRKRPMANGLAMAGSPVFLSSLAPFNQYLFNTFGWKGSFLILGSLLLNACVAGSLMRPLGPNQTTSKSKNKTGKTEDDSSPKKIKTKKSTWEKVNKYLDFSLFKHRGFLIYLSGNVIMFLGFFAPIIFLAPYAKDQGIDEYSAAFLLSVMAFVDMFARPSVGLIANSKYIRPRIQYFFSFAIMFNGVCHLLCPLAQDYTSLVLYAVFFGLGFGSVSSVLFETLMDLVGAPRFSSAVGLVTIVECGPVLLGPPLAGKLVDLTGEYKYMYMSCGAIVVAASVWLLIGNAINYRLLAKERKEENARQKTRESEPLSKSKHSEDVNVKVSNAQSVTSERETNI
+>DECOY_sp|O60669|MOT2_HUMAN Monocarboxylate transporter 2 OS=Homo sapiens OX=9606 GN=SLC16A7 PE=1 SV=2
+INTERESTVSQANSVKVNVDESHKSKSLPESERTKQRANEEKREKALLRYNIANGILLWVSAAVVIAGCSMYMYKYEGTLDVLKGALPPGLLVPGCEVITVLGVASSFRPAGVLDMLTEFLVSSVSGFGLGFFVAYLVLSTYDQALPCLLHCVGNFMIAFSFFYQIRPRIYKSNAILGVSPRAFMDVFAMVSLLFAASYEDIGQDKAYPALFIIPAFFGLFMIVNGSLYILFGRHKFLSFDLYKNVKEWTSKKTKIKKPSSDDETKGTKNKSKSTTQNPGLPRMLSGAVCANLLLSGLILFSGKWGFTNFLYQNFPALSSLFVPSGAMALGNAMPRKRYFYKGIITLAPQLNFALGLGTIFGMTLYLQVVSSSFSALVMGLCCLLGGAIVVPRSGYKNVLVSSVPGGAYMVALMISSIWAIESYTTHFIQQIEKFFVTVAKPFAYSFGISIFAAGVVIWGWGGDPPPHVPPASPMPPM
+>sp|P36021|MOT8_HUMAN Monocarboxylate transporter 8 OS=Homo sapiens OX=9606 GN=SLC16A2 PE=1 SV=2
+MALQSQASEEAKGPWQEADQEQQEPVGSPEPESEPEPEPEPEPVPVPPPEPQPEPQPLPDPAPLPELEFESERVHEPEPTPTVETRGTARGFQPPEGGFGWVVVFAATWCNGSIFGIHNSVGILYSMLLEEEKEKNRQVEFQAAWVGALAMGMIFFCSPIVSIFTDRLGCRITATAGAAVAFIGLHTSSFTSSLSLRYFTYGILFGCGCSFAFQPSLVILGHYFQRRLGLANGVVSAGSSIFSMSFPFLIRMLGDKIKLAQTFQVLSTFMFVLMLLSLTYRPLLPSSQDTPSKRGVRTLHQRFLAQLRKYFNMRVFRQRTYRIWAFGIAAAALGYFVPYVHLMKYVEEEFSEIKETWVLLVCIGATSGLGRLVSGHISDSIPGLKKIYLQVLSFLLLGLMSMMIPLCRDFGGLIVVCLFLGLCDGFFITIMAPIAFELVGPMQASQAIGYLLGMMALPMIAGPPIAGLLRNCFGDYHVAFYFAGVPPIIGAVILFFVPLMHQRMFKKEQRDSSKDKMLAPDPDPNGELLPGSPNPEEPI
+>DECOY_sp|P36021|MOT8_HUMAN Monocarboxylate transporter 8 OS=Homo sapiens OX=9606 GN=SLC16A2 PE=1 SV=2
+IPEEPNPSGPLLEGNPDPDPALMKDKSSDRQEKKFMRQHMLPVFFLIVAGIIPPVGAFYFAVHYDGFCNRLLGAIPPGAIMPLAMMGLLYGIAQSAQMPGVLEFAIPAMITIFFGDCLGLFLCVVILGGFDRCLPIMMSMLGLLLFSLVQLYIKKLGPISDSIHGSVLRGLGSTAGICVLLVWTEKIESFEEEVYKMLHVYPVFYGLAAAAIGFAWIRYTRQRFVRMNFYKRLQALFRQHLTRVGRKSPTDQSSPLLPRYTLSLLMLVFMFTSLVQFTQALKIKDGLMRILFPFSMSFISSGASVVGNALGLRRQFYHGLIVLSPQFAFSCGCGFLIGYTFYRLSLSSTFSSTHLGIFAVAAGATATIRCGLRDTFISVIPSCFFIMGMALAGVWAAQFEVQRNKEKEEELLMSYLIGVSNHIGFISGNCWTAAFVVVWGFGGEPPQFGRATGRTEVTPTPEPEHVRESEFELEPLPAPDPLPQPEPQPEPPPVPVPEPEPEPEPESEPEPSGVPEQQEQDAEQWPGKAEESAQSQLAM
+>sp|A0A0C5B5G6|MOTSC_HUMAN Mitochondrial-derived peptide MOTS-c OS=Homo sapiens OX=9606 GN=MT-RNR1 PE=1 SV=1
+MRWQEMGYIFYPRKLR
+>DECOY_sp|A0A0C5B5G6|MOTSC_HUMAN Mitochondrial-derived peptide MOTS-c OS=Homo sapiens OX=9606 GN=MT-RNR1 PE=1 SV=1
+RLKRPYFIYGMEQWRM
+>sp|A6NCE7|MP3B2_HUMAN Microtubule-associated proteins 1A/1B light chain 3 beta 2 OS=Homo sapiens OX=9606 GN=MAP1LC3B2 PE=2 SV=1
+MPSEKTFKQRRTFEQRVEDVRLIREQHPTKIPVIIERYKGEKQLPVLDKTKFLVPDHVNMSELIKIIRRRLQLNANQAFFLLVNGHSMVSVSTPISEVYESEKDEDGFLYMVCASQETFGMKLSV
+>DECOY_sp|A6NCE7|MP3B2_HUMAN Microtubule-associated proteins 1A/1B light chain 3 beta 2 OS=Homo sapiens OX=9606 GN=MAP1LC3B2 PE=2 SV=1
+VSLKMGFTEQSACVMYLFGDEDKESEYVESIPTSVSVMSHGNVLLFFAQNANLQLRRRIIKILESMNVHDPVLFKTKDLVPLQKEGKYREIIVPIKTPHQERILRVDEVRQEFTRRQKFTKESPM
+>sp|P0DKB6|MPC1L_HUMAN Mitochondrial pyruvate carrier 1-like protein OS=Homo sapiens OX=9606 GN=MPC1L PE=2 SV=1
+MARMAVLWRKMRDNFQSKEFREYVSSTHFWGPAFSWGLPLAAFKDMKASPEIISGRMTTALILYSAIFMRFAYRVQPRNLLLMACHCTNVMAQSVQASRYLLYYYGGGGAEAKARDPPATAAAATSPGSQPPKQAS
+>DECOY_sp|P0DKB6|MPC1L_HUMAN Mitochondrial pyruvate carrier 1-like protein OS=Homo sapiens OX=9606 GN=MPC1L PE=2 SV=1
+SAQKPPQSGPSTAAAATAPPDRAKAEAGGGGYYYLLYRSAQVSQAMVNTCHCAMLLLNRPQVRYAFRMFIASYLILATTMRGSIIEPSAKMDKFAALPLGWSFAPGWFHTSSVYERFEKSQFNDRMKRWLVAMRAM
+>sp|P30304|MPIP1_HUMAN M-phase inducer phosphatase 1 OS=Homo sapiens OX=9606 GN=CDC25A PE=1 SV=2
+MELGPEPPHRRRLLFACSPPPASQPVVKALFGASAAGGLSPVTNLTVTMDQLQGLGSDYEQPLEVKNNSNLQRMGSSESTDSGFCLDSPGPLDSKENLENPMRRIHSLPQKLLGCSPALKRSHSDSLDHDIFQLIDPDENKENEAFEFKKPVRPVSRGCLHSHGLQEGKDLFTQRQNSAPARMLSSNERDSSEPGNFIPLFTPQSPVTATLSDEDDGFVDLLDGENLKNEEETPSCMASLWTAPLVMRTTNLDNRCKLFDSPSLCSSSTRSVLKRPERSQEESPPGSTKRRKSMSGASPKESTNPEKAHETLHQSLSLASSPKGTIENILDNDPRDLIGDFSKGYLFHTVAGKHQDLKYISPEIMASVLNGKFANLIKEFVIIDCRYPYEYEGGHIKGAVNLHMEEEVEDFLLKKPIVPTDGKRVIVVFHCEFSSERGPRMCRYVRERDRLGNEYPKLHYPELYVLKGGYKEFFMKCQSYCEPPSYRPMHHEDFKEDLKKFRTKSRTWAGEKSKREMYSRLKKL
+>DECOY_sp|P30304|MPIP1_HUMAN M-phase inducer phosphatase 1 OS=Homo sapiens OX=9606 GN=CDC25A PE=1 SV=2
+LKKLRSYMERKSKEGAWTRSKTRFKKLDEKFDEHHMPRYSPPECYSQCKMFFEKYGGKLVYLEPYHLKPYENGLRDRERVYRCMRPGRESSFECHFVVIVRKGDTPVIPKKLLFDEVEEEMHLNVAGKIHGGEYEYPYRCDIIVFEKILNAFKGNLVSAMIEPSIYKLDQHKGAVTHFLYGKSFDGILDRPDNDLINEITGKPSSALSLSQHLTEHAKEPNTSEKPSAGSMSKRRKTSGPPSEEQSREPRKLVSRTSSSCLSPSDFLKCRNDLNTTRMVLPATWLSAMCSPTEEENKLNEGDLLDVFGDDEDSLTATVPSQPTFLPIFNGPESSDRENSSLMRAPASNQRQTFLDKGEQLGHSHLCGRSVPRVPKKFEFAENEKNEDPDILQFIDHDLSDSHSRKLAPSCGLLKQPLSHIRRMPNELNEKSDLPGPSDLCFGSDTSESSGMRQLNSNNKVELPQEYDSGLGQLQDMTVTLNTVPSLGGAASAGFLAKVVPQSAPPPSCAFLLRRRHPPEPGLEM
+>sp|P30305|MPIP2_HUMAN M-phase inducer phosphatase 2 OS=Homo sapiens OX=9606 GN=CDC25B PE=1 SV=2
+MEVPQPEPAPGSALSPAGVCGGAQRPGHLPGLLLGSHGLLGSPVRAAASSPVTTLTQTMHDLAGLGSETPKSQVGTLLFRSRSRLTHLSLSRRASESSLSSESSESSDAGLCMDSPSPMDPHMAEQTFEQAIQAASRIIRNEQFAIRRFQSMPVRLLGHSPVLRNITNSQAPDGRRKSEAGSGAASSSGEDKENDGFVFKMPWKPTHPSSTHALAEWASRREAFAQRPSSAPDLMCLSPDRKMEVEELSPLALGRFSLTPAEGDTEEDDGFVDILESDLKDDDAVPPGMESLISAPLVKTLEKEEEKDLVMYSKCQRLFRSPSMPCSVIRPILKRLERPQDRDTPVQNKRRRSVTPPEEQQEAEEPKARVLRSKSLCHDEIENLLDSDHRELIGDYSKAFLLQTVDGKHQDLKYISPETMVALLTGKFSNIVDKFVIVDCRYPYEYEGGHIKTAVNLPLERDAESFLLKSPIAPCSLDKRVILIFHCEFSSERGPRMCRFIRERDRAVNDYPSLYYPEMYILKGGYKEFFPQHPNFCEPQDYRPMNHEAFKDELKTFRLKTRSWAGERSRRELCSRLQDQ
+>DECOY_sp|P30305|MPIP2_HUMAN M-phase inducer phosphatase 2 OS=Homo sapiens OX=9606 GN=CDC25B PE=1 SV=2
+QDQLRSCLERRSREGAWSRTKLRFTKLEDKFAEHNMPRYDQPECFNPHQPFFEKYGGKLIYMEPYYLSPYDNVARDRERIFRCMRPGRESSFECHFILIVRKDLSCPAIPSKLLFSEADRELPLNVATKIHGGEYEYPYRCDVIVFKDVINSFKGTLLAVMTEPSIYKLDQHKGDVTQLLFAKSYDGILERHDSDLLNEIEDHCLSKSRLVRAKPEEAEQQEEPPTVSRRRKNQVPTDRDQPRELRKLIPRIVSCPMSPSRFLRQCKSYMVLDKEEEKELTKVLPASILSEMGPPVADDDKLDSELIDVFGDDEETDGEAPTLSFRGLALPSLEEVEMKRDPSLCMLDPASSPRQAFAERRSAWEALAHTSSPHTPKWPMKFVFGDNEKDEGSSSAAGSGAESKRRGDPAQSNTINRLVPSHGLLRVPMSQFRRIAFQENRIIRSAAQIAQEFTQEAMHPDMPSPSDMCLGADSSESSESSLSSESARRSLSLHTLRSRSRFLLTGVQSKPTESGLGALDHMTQTLTTVPSSAAARVPSGLLGHSGLLLGPLHGPRQAGGCVGAPSLASGPAPEPQPVEM
+>sp|Q8TAP9|MPLKI_HUMAN M-phase-specific PLK1-interacting protein OS=Homo sapiens OX=9606 GN=MPLKIP PE=1 SV=1
+MQRQNFRPPTPPYPGPGGGGWGSGSSFRGTPGGGGPRPPSPRDGYGSPHHTPPYGPRSRPYGSSHSPRHGGSFPGGRFGSPSPGGYPGSYSRSPAGSQQQFGYSPGQQQTHPQGSPRTSTPFGSGRVREKRMSNELENYFKPSMLEDPWAGLEPVSVVDISQQYSNTQTFTGKKGRYFC
+>DECOY_sp|Q8TAP9|MPLKI_HUMAN M-phase-specific PLK1-interacting protein OS=Homo sapiens OX=9606 GN=MPLKIP PE=1 SV=1
+CFYRGKKGTFTQTNSYQQSIDVVSVPELGAWPDELMSPKFYNELENSMRKERVRGSGFPTSTRPSGQPHTQQQGPSYGFQQQSGAPSRSYSGPYGGPSPSGFRGGPFSGGHRPSHSSGYPRSRPGYPPTHHPSGYGDRPSPPRPGGGGPTGRFSSGSGWGGGGPGPYPPTPPRFNQRQM
+>sp|Q14168|MPP2_HUMAN MAGUK p55 subfamily member 2 OS=Homo sapiens OX=9606 GN=MPP2 PE=1 SV=3
+MPVAATNSETAMQQVLDNLGSLPSATGAAELDLIFLRGIMESPIVRSLAKVIMVLWFMQQNVFVPMKYMLKYFGAHERLEETKLEAVRDNNLELVQEILRDLAHVAEQSSTAAELAHILQEPHFQSLLETHDSVASKTYETPPPSPGLDPTFSNQPVPPDAVRMVGIRKTAGEHLGVTFRVEGGELVIARILHGGMVAQQGLLHVGDIIKEVNGQPVGSDPRALQELLRNASGSVILKILPSYQEPHLPRQVFVKCHFDYDPARDSLIPCKEAGLRFNAGDLLQIVNQDDANWWQACHVEGGSAGLIPSQLLEEKRKAFVKRDLELTPNSGTLCGSLSGKKKKRMMYLTTKNAEFDRHELLIYEEVARMPPFRRKTLVLIGAQGVGRRSLKNKLIMWDPDRYGTTVPYTSRRPKDSEREGQGYSFVSRGEMEADVRAGRYLEHGEYEGNLYGTRIDSIRGVVAAGKVCVLDVNPQAVKVLRTAEFVPYVVFIEAPDFETLRAMNRAALESGISTKQLTEADLRRTVEESSRIQRGYGHYFDLCLVNSNLERTFRELQTAMEKLRTEPQWVPVSWVY
+>DECOY_sp|Q14168|MPP2_HUMAN MAGUK p55 subfamily member 2 OS=Homo sapiens OX=9606 GN=MPP2 PE=1 SV=3
+YVWSVPVWQPETRLKEMATQLERFTRELNSNVLCLDFYHGYGRQIRSSEEVTRRLDAETLQKTSIGSELAARNMARLTEFDPAEIFVVYPVFEATRLVKVAQPNVDLVCVKGAAVVGRISDIRTGYLNGEYEGHELYRGARVDAEMEGRSVFSYGQGERESDKPRRSTYPVTTGYRDPDWMILKNKLSRRGVGQAGILVLTKRRFPPMRAVEEYILLEHRDFEANKTTLYMMRKKKKGSLSGCLTGSNPTLELDRKVFAKRKEELLQSPILGASGGEVHCAQWWNADDQNVIQLLDGANFRLGAEKCPILSDRAPDYDFHCKVFVQRPLHPEQYSPLIKLIVSGSANRLLEQLARPDSGVPQGNVEKIIDGVHLLGQQAVMGGHLIRAIVLEGGEVRFTVGLHEGATKRIGVMRVADPPVPQNSFTPDLGPSPPPTEYTKSAVSDHTELLSQFHPEQLIHALEAATSSQEAVHALDRLIEQVLELNNDRVAELKTEELREHAGFYKLMYKMPVFVNQQMFWLVMIVKALSRVIPSEMIGRLFILDLEAAGTASPLSGLNDLVQQMATESNTAAVPM
+>sp|O75352|MPU1_HUMAN Mannose-P-dolichol utilization defect 1 protein OS=Homo sapiens OX=9606 GN=MPDU1 PE=1 SV=2
+MAAEADGPLKRLLVPILLPEKCYDQLFVQWDLLHVPCLKILLSKGLGLGIVAGSLLVKLPQVFKILGAKSAEGLSLQSVMLELVALTGTMVYSITNNFPFSSWGEALFLMLQTITICFLVMHYRGQTVKGVAFLACYGLVLLVLLSPLTPLTVVTLLQASNVPAVVVGRLLQAATNYHNGHTGQLSAITVFLLFGGSLARIFTSIQETGDPLMAGTFVVSSLCNGLIAAQLLFYWNAKPPHKQKKAQ
+>DECOY_sp|O75352|MPU1_HUMAN Mannose-P-dolichol utilization defect 1 protein OS=Homo sapiens OX=9606 GN=MPDU1 PE=1 SV=2
+QAKKQKHPPKANWYFLLQAAILGNCLSSVVFTGAMLPDGTEQISTFIRALSGGFLLFVTIASLQGTHGNHYNTAAQLLRGVVVAPVNSAQLLTVVTLPTLPSLLVLLVLGYCALFAVGKVTQGRYHMVLFCITITQLMLFLAEGWSSFPFNNTISYVMTGTLAVLELMVSQLSLGEASKAGLIKFVQPLKVLLSGAVIGLGLGKSLLIKLCPVHLLDWQVFLQDYCKEPLLIPVLLRKLPGDAEAAM
+>sp|Q6NTE8|MRNIP_HUMAN MRN complex-interacting protein OS=Homo sapiens OX=9606 GN=MRNIP PE=1 SV=2
+MASLQRSRVLRCCSCRLFQAHQVKKSVKWTCKACGEKQSFLQAYGEGSGADCRRHVQKLNLLQGQVSELPLRSLEETVSASEEENVGHQQAGNVKQQEKSQPSESRWLKYLEKDSQELELEGTGVCFSKQPSSKMEEPGPRFSQDLPRKRKWSRSTVQPPCSRGVQDSGGSEVAWGPQKGQAGLTWKVKQGSSPCLQENSADCSAGELRGPGKELWSPIQQVTATSSKWAQFVLPPRKSSHVDSEQPRSLQRDPRPAGPAQAKQGTPRAQASREGLSRPTAAVQLPRATHPVTSGSERPCGKTSWDARTPWAEGGPLVLEAQNPRPTRLCDLFITGEDFDDDV
+>DECOY_sp|Q6NTE8|MRNIP_HUMAN MRN complex-interacting protein OS=Homo sapiens OX=9606 GN=MRNIP PE=1 SV=2
+VDDDFDEGTIFLDCLRTPRPNQAELVLPGGEAWPTRADWSTKGCPRESGSTVPHTARPLQVAATPRSLGERSAQARPTGQKAQAPGAPRPDRQLSRPQESDVHSSKRPPLVFQAWKSSTATVQQIPSWLEKGPGRLEGASCDASNEQLCPSSGQKVKWTLGAQGKQPGWAVESGGSDQVGRSCPPQVTSRSWKRKRPLDQSFRPGPEEMKSSPQKSFCVGTGELELEQSDKELYKLWRSESPQSKEQQKVNGAQQHGVNEEESASVTEELSRLPLESVQGQLLNLKQVHRRCDAGSGEGYAQLFSQKEGCAKCTWKVSKKVQHAQFLRCSCCRLVRSRQLSAM
+>sp|Q68CQ1|MROH7_HUMAN Maestro heat-like repeat-containing protein family member 7 OS=Homo sapiens OX=9606 GN=MROH7 PE=2 SV=4
+MALSPGANLVFHEDPKMTPSPPSCGAPGLGSGTIPQPHPDMAQVPMLNLLPSPGLALVPDLNDSLSPVSGEASGLVSENTPRPDDSRAIAPASLQITSSCSGEALDLDSKDVSRPDSQGRLCPASNPILSPSSTEAPRLSSGNHPQSNSEDAFKCLSSKIFKLGQRNSNPSRHELNPFIRHHSREGLVLGHCISRPSSKALLIPTSNSSLDLDSNPLLNMGSRNTSKLNLNVAPDSHGTLIPDTNETITLASHNISESVSKGAFSTTWSTSSKETMNVASSGHSRSDLSVTITQASYVTLIPGSSYGISLHSSTHEPNSTISPPSCMTLILGSNETLSLDSSLLFSDTSTLTLSSQQDDAKDNSIHTVPLEENLESWSEMASIKVGQFPLGFPISNPAGKDAVTLQGIPEGAFDEVTSCLVKVPEKTEGGNNMALVENVTTLQKSQDLLEAEGEKKTMIKKIMRQIQEEPLDSLSSSVRKQAMEILTQLSHTQPTLGMRERSELVNVCVHSVFSLPSVQAMQEKDEAKAETIQALYHQTLEALQTLLKALFIEDPTPAGLKSILEALGPWMNSGKAHERARAVNTNVSVLNHMLLTLPFFMPLGFPALGLLLGRLILHIGDPDEEIGCEALDGIIILYTILELQKRARDKEETNKKELYESNKHFLGPYNPVSPCQNILRVIEEFGDFLGPQQIKDLLLAALEGLKGSSEAPGKDSREMMQLASEVMLSSVLEWYRHRALEVIPEIMQGIYMQLSHIQEPRARQVALLPVSLLASSFMTEVVVALLMCPLPLNSNGAEMWRQLILCKPSCDVRDLLDLLLGSLKEKPVTKEGRASIVPLAAASGLCELLSVNSCMGRVRRIYPQLLLALLIQVHYHIGLNLPGCVAPPKDTKKGAQPSPFVPVRWVVKVVKTLLLRMGCSYETTFLEDQGGWELMEQVESHHRGVALLARAMVQYSCQELCRILYLLIPLLERGDEKHRITATAFFVELLQMEQVRRIPEEYSLGRMAEGLSHHDPIMKVLSIRGLVILARRSEKTAKVKALLPSMVKGLKNMDGMLVVEAVHNLKAVFKGRDQKLMDSAVYVEMLQILLPHFSDAREVVRSSCINLYGKVVQKLRAPRTQAMEEQLVSTLVPLLLTMQEGNSKVSQKCVKTLLRCSYFMAWELPKRAYSRKPWDNQQQTVAKICKCLVNTHRDSAFIFLSQSLEYAKNSRASLRKCSVMFIGSLVPCMESIMTEDRLNEVKAALDNLRHDPEASVCIYAAQVQDHILASCWQNSWLPHGNSWVCYSATTHRWSPSCENLPTSHQRRSWIMQALGSWKMSLKK
+>DECOY_sp|Q68CQ1|MROH7_HUMAN Maestro heat-like repeat-containing protein family member 7 OS=Homo sapiens OX=9606 GN=MROH7 PE=2 SV=4
+KKLSMKWSGLAQMIWSRRQHSTPLNECSPSWRHTTASYCVWSNGHPLWSNQWCSALIHDQVQAAYICVSAEPDHRLNDLAAKVENLRDETMISEMCPVLSGIFMVSCKRLSARSNKAYELSQSLFIFASDRHTNVLCKCIKAVTQQQNDWPKRSYARKPLEWAMFYSCRLLTKVCKQSVKSNGEQMTLLLPVLTSVLQEEMAQTRPARLKQVVKGYLNICSSRVVERADSFHPLLIQLMEVYVASDMLKQDRGKFVAKLNHVAEVVLMGDMNKLGKVMSPLLAKVKATKESRRALIVLGRISLVKMIPDHHSLGEAMRGLSYEEPIRRVQEMQLLEVFFATATIRHKEDGRELLPILLYLIRCLEQCSYQVMARALLAVGRHHSEVQEMLEWGGQDELFTTEYSCGMRLLLTKVVKVVWRVPVFPSPQAGKKTDKPPAVCGPLNLGIHYHVQILLALLLQPYIRRVRGMCSNVSLLECLGSAAALPVISARGEKTVPKEKLSGLLLDLLDRVDCSPKCLILQRWMEAGNSNLPLPCMLLAVVVETMFSSALLSVPLLAVQRARPEQIHSLQMYIGQMIEPIVELARHRYWELVSSLMVESALQMMERSDKGPAESSGKLGELAALLLDKIQQPGLFDGFEEIVRLINQCPSVPNYPGLFHKNSEYLEKKNTEEKDRARKQLELITYLIIIGDLAECGIEEDPDGIHLILRGLLLGLAPFGLPMFFPLTLLMHNLVSVNTNVARAREHAKGSNMWPGLAELISKLGAPTPDEIFLAKLLTQLAELTQHYLAQITEAKAEDKEQMAQVSPLSFVSHVCVNVLESRERMGLTPQTHSLQTLIEMAQKRVSSSLSDLPEEQIQRMIKKIMTKKEGEAELLDQSKQLTTVNEVLAMNNGGETKEPVKVLCSTVEDFAGEPIGQLTVADKGAPNSIPFGLPFQGVKISAMESWSELNEELPVTHISNDKADDQQSSLTLTSTDSFLLSSDLSLTENSGLILTMCSPPSITSNPEHTSSHLSIGYSSGPILTVYSAQTITVSLDSRSHGSSAVNMTEKSSTSWTTSFAGKSVSESINHSALTITENTDPILTGHSDPAVNLNLKSTNRSGMNLLPNSDLDLSSNSTPILLAKSSPRSICHGLVLGERSHHRIFPNLEHRSPNSNRQGLKFIKSSLCKFADESNSQPHNGSSLRPAETSSPSLIPNSAPCLRGQSDPRSVDKSDLDLAEGSCSSTIQLSAPAIARSDDPRPTNESVLGSAEGSVPSLSDNLDPVLALGPSPLLNLMPVQAMDPHPQPITGSGLGPAGCSPPSPTMKPDEHFVLNAGPSLAM
+>sp|Q92887|MRP2_HUMAN Canalicular multispecific organic anion transporter 1 OS=Homo sapiens OX=9606 GN=ABCC2 PE=1 SV=3
+MLEKFCNSTFWNSSFLDSPEADLPLCFEQTVLVWIPLGYLWLLAPWQLLHVYKSRTKRSSTTKLYLAKQVFVGFLLILAAIELALVLTEDSGQATVPAVRYTNPSLYLGTWLLVLLIQYSRQWCVQKNSWFLSLFWILSILCGTFQFQTLIRTLLQGDNSNLAYSCLFFISYGFQILILIFSAFSENNESSNNPSSIASFLSSITYSWYDSIILKGYKRPLTLEDVWEVDEEMKTKTLVSKFETHMKRELQKARRALQRRQEKSSQQNSGARLPGLNKNQSQSQDALVLEDVEKKKKKSGTKKDVPKSWLMKALFKTFYMVLLKSFLLKLVNDIFTFVSPQLLKLLISFASDRDTYLWIGYLCAILLFTAALIQSFCLQCYFQLCFKLGVKVRTAIMASVYKKALTLSNLARKEYTVGETVNLMSVDAQKLMDVTNFMHMLWSSVLQIVLSIFFLWRELGPSVLAGVGVMVLVIPINAILSTKSKTIQVKNMKNKDKRLKIMNEILSGIKILKYFAWEPSFRDQVQNLRKKELKNLLAFSQLQCVVIFVFQLTPVLVSVVTFSVYVLVDSNNILDAQKAFTSITLFNILRFPLSMLPMMISSMLQASVSTERLEKYLGGDDLDTSAIRHDCNFDKAMQFSEASFTWEHDSEATVRDVNLDIMAGQLVAVIGPVGSGKSSLISAMLGEMENVHGHITIKGTTAYVPQQSWIQNGTIKDNILFGTEFNEKRYQQVLEACALLPDLEMLPGGDLAEIGEKGINLSGGQKQRISLARATYQNLDIYLLDDPLSAVDAHVGKHIFNKVLGPNGLLKGKTRLLVTHSMHFLPQVDEIVVLGNGTIVEKGSYSALLAKKGEFAKNLKTFLRHTGPEEEATVHDGSEEEDDDYGLISSVEEIPEDAASITMRRENSFRRTLSRSSRSNGRHLKSLRNSLKTRNVNSLKEDEELVKGQKLIKKEFIETGKVKFSIYLEYLQAIGLFSIFFIILAFVMNSVAFIGSNLWLSAWTSDSKIFNSTDYPASQRDMRVGVYGALGLAQGIFVFIAHFWSAFGFVHASNILHKQLLNNILRAPMRFFDTTPTGRIVNRFAGDISTVDDTLPQSLRSWITCFLGIISTLVMICMATPVFTIIVIPLGIIYVSVQMFYVSTSRQLRRLDSVTRSPIYSHFSETVSGLPVIRAFEHQQRFLKHNEVRIDTNQKCVFSWITSNRWLAIRLELVGNLTVFFSALMMVIYRDTLSGDTVGFVLSNALNITQTLNWLVRMTSEIETNIVAVERITEYTKVENEAPWVTDKRPPPDWPSKGKIQFNNYQVRYRPELDLVLRGITCDIGSMEKIGVVGRTGAGKSSLTNCLFRILEAAGGQIIIDGVDIASIGLHDLREKLTIIPQDPILFSGSLRMNLDPFNNYSDEEIWKALELAHLKSFVASLQLGLSHEVTEAGGNLSIGQRQLLCLGRALLRKSKILVLDEATAAVDLETDNLIQTTIQNEFAHCTVITIAHRLHTIMDSDKVMVLDNGKIIECGSPEELLQIPGPFYFMAKEAGIENVNSTKF
+>DECOY_sp|Q92887|MRP2_HUMAN Canalicular multispecific organic anion transporter 1 OS=Homo sapiens OX=9606 GN=ABCC2 PE=1 SV=3
+FKTSNVNEIGAEKAMFYFPGPIQLLEEPSGCEIIKGNDLVMVKDSDMITHLRHAITIVTCHAFENQITTQILNDTELDVAATAEDLVLIKSKRLLARGLCLLQRQGISLNGGAETVEHSLGLQLSAVFSKLHALELAKWIEEDSYNNFPDLNMRLSGSFLIPDQPIITLKERLDHLGISAIDVGDIIIQGGAAELIRFLCNTLSSKGAGTRGVVGIKEMSGIDCTIGRLVLDLEPRYRVQYNNFQIKGKSPWDPPPRKDTVWPAENEVKTYETIREVAVINTEIESTMRVLWNLTQTINLANSLVFGVTDGSLTDRYIVMMLASFFVTLNGVLELRIALWRNSTIWSFVCKQNTDIRVENHKLFRQQHEFARIVPLGSVTESFHSYIPSRTVSDLRRLQRSTSVYFMQVSVYIIGLPIVIITFVPTAMCIMVLTSIIGLFCTIWSRLSQPLTDDVTSIDGAFRNVIRGTPTTDFFRMPARLINNLLQKHLINSAHVFGFASWFHAIFVFIGQALGLAGYVGVRMDRQSAPYDTSNFIKSDSTWASLWLNSGIFAVSNMVFALIIFFISFLGIAQLYELYISFKVKGTEIFEKKILKQGKVLEEDEKLSNVNRTKLSNRLSKLHRGNSRSSRSLTRRFSNERRMTISAADEPIEEVSSILGYDDDEEESGDHVTAEEEPGTHRLFTKLNKAFEGKKALLASYSGKEVITGNGLVVIEDVQPLFHMSHTVLLRTKGKLLGNPGLVKNFIHKGVHADVASLPDDLLYIDLNQYTARALSIRQKQGGSLNIGKEGIEALDGGPLMELDPLLACAELVQQYRKENFETGFLINDKITGNQIWSQQPVYATTGKITIHGHVNEMEGLMASILSSKGSGVPGIVAVLQGAMIDLNVDRVTAESDHEWTFSAESFQMAKDFNCDHRIASTDLDDGGLYKELRETSVSAQLMSSIMMPLMSLPFRLINFLTISTFAKQADLINNSDVLVYVSFTVVSVLVPTLQFVFIVVCQLQSFALLNKLEKKRLNQVQDRFSPEWAFYKLIKIGSLIENMIKLRKDKNKMNKVQITKSKTSLIANIPIVLVMVGVGALVSPGLERWLFFISLVIQLVSSWLMHMFNTVDMLKQADVSMLNVTEGVTYEKRALNSLTLAKKYVSAMIATRVKVGLKFCLQFYCQLCFSQILAATFLLIACLYGIWLYTDRDSAFSILLKLLQPSVFTFIDNVLKLLFSKLLVMYFTKFLAKMLWSKPVDKKTGSKKKKKEVDELVLADQSQSQNKNLGPLRAGSNQQSSKEQRRQLARRAKQLERKMHTEFKSVLTKTKMEEDVEWVDELTLPRKYGKLIISDYWSYTISSLFSAISSPNNSSENNESFASFILILIQFGYSIFFLCSYALNSNDGQLLTRILTQFQFTGCLISLIWFLSLFWSNKQVCWQRSYQILLVLLWTGLYLSPNTYRVAPVTAQGSDETLVLALEIAALILLFGVFVQKALYLKTTSSRKTRSKYVHLLQWPALLWLYGLPIWVLVTQEFCLPLDAEPSDLFSSNWFTSNCFKELM
+>sp|O15440|MRP5_HUMAN Multidrug resistance-associated protein 5 OS=Homo sapiens OX=9606 GN=ABCC5 PE=1 SV=2
+MKDIDIGKEYIIPSPGYRSVRERTSTSGTHRDREDSKFRRTRPLECQDALETAARAEGLSLDASMHSQLRILDEEHPKGKYHHGLSALKPIRTTSKHQHPVDNAGLFSCMTFSWLSSLARVAHKKGELSMEDVWSLSKHESSDVNCRRLERLWQEELNEVGPDAASLRRVVWIFCRTRLILSIVCLMITQLAGFSGPAFMVKHLLEYTQATESNLQYSLLLVLGLLLTEIVRSWSLALTWALNYRTGVRLRGAILTMAFKKILKLKNIKEKSLGELINICSNDGQRMFEAAAVGSLLAGGPVVAILGMIYNVIILGPTGFLGSAVFILFYPAMMFASRLTAYFRRKCVAATDERVQKMNEVLTYIKFIKMYAWVKAFSQSVQKIREEERRILEKAGYFQSITVGVAPIVVVIASVVTFSVHMTLGFDLTAAQAFTVVTVFNSMTFALKVTPFSVKSLSEASVAVDRFKSLFLMEEVHMIKNKPASPHIKIEMKNATLAWDSSHSSIQNSPKLTPKMKKDKRASRGKKEKVRQLQRTEHQAVLAEQKGHLLLDSDERPSPEEEEGKHIHLGHLRLQRTLHSIDLEIQEGKLVGICGSVGSGKTSLISAILGQMTLLEGSIAISGTFAYVAQQAWILNATLRDNILFGKEYDEERYNSVLNSCCLRPDLAILPSSDLTEIGERGANLSGGQRQRISLARALYSDRSIYILDDPLSALDAHVGNHIFNSAIRKHLKSKTVLFVTHQLQYLVDCDEVIFMKEGCITERGTHEELMNLNGDYATIFNNLLLGETPPVEINSKKETSGSQKKSQDKGPKTGSVKKEKAVKPEEGQLVQLEEKGQGSVPWSVYGVYIQAAGGPLAFLVIMALFMLNVGSTAFSTWWLSYWIKQGSGNTTVTRGNETSVSDSMKDNPHMQYYASIYALSMAVMLILKAIRGVVFVKGTLRASSRLHDELFRRILRSPMKFFDTTPTGRILNRFSKDMDEVDVRLPFQAEMFIQNVILVFFCVGMIAGVFPWFLVAVGPLVILFSVLHIVSRVLIRELKRLDNITQSPFLSHITSSIQGLATIHAYNKGQEFLHRYQELLDDNQAPFFLFTCAMRWLAVRLDLISIALITTTGLMIVLMHGQIPPAYAGLAISYAVQLTGLFQFTVRLASETEARFTSVERINHYIKTLSLEAPARIKNKAPSPDWPQEGEVTFENAEMRYRENLPLVLKKVSFTIKPKEKIGIVGRTGSGKSSLGMALFRLVELSGGCIKIDGVRISDIGLADLRSKLSIIPQEPVLFSGTVRSNLDPFNQYTEDQIWDALERTHMKECIAQLPLKLESEVMENGDNFSVGERQLLCIARALLRHCKILILDEATAAMDTETDLLIQETIREAFADCTMLTIAHRLHTVLGSDRIMVLAQGQVVEFDTPSVLLSNDSSRFYAMFAAAENKVAVKG
+>DECOY_sp|O15440|MRP5_HUMAN Multidrug resistance-associated protein 5 OS=Homo sapiens OX=9606 GN=ABCC5 PE=1 SV=2
+GKVAVKNEAAAFMAYFRSSDNSLLVSPTDFEVVQGQALVMIRDSGLVTHLRHAITLMTCDAFAERITEQILLDTETDMAATAEDLILIKCHRLLARAICLLQREGVSFNDGNEMVESELKLPLQAICEKMHTRELADWIQDETYQNFPDLNSRVTGSFLVPEQPIISLKSRLDALGIDSIRVGDIKICGGSLEVLRFLAMGLSSKGSGTRGVIGIKEKPKITFSVKKLVLPLNERYRMEANEFTVEGEQPWDPSPAKNKIRAPAELSLTKIYHNIREVSTFRAETESALRVTFQFLGTLQVAYSIALGAYAPPIQGHMLVIMLGTTTILAISILDLRVALWRMACTFLFFPAQNDDLLEQYRHLFEQGKNYAHITALGQISSTIHSLFPSQTINDLRKLERILVRSVIHLVSFLIVLPGVAVLFWPFVGAIMGVCFFVLIVNQIFMEAQFPLRVDVEDMDKSFRNLIRGTPTTDFFKMPSRLIRRFLEDHLRSSARLTGKVFVVGRIAKLILMVAMSLAYISAYYQMHPNDKMSDSVSTENGRTVTTNGSGQKIWYSLWWTSFATSGVNLMFLAMIVLFALPGGAAQIYVGYVSWPVSGQGKEELQVLQGEEPKVAKEKKVSGTKPGKDQSKKQSGSTEKKSNIEVPPTEGLLLNNFITAYDGNLNMLEEHTGRETICGEKMFIVEDCDVLYQLQHTVFLVTKSKLHKRIASNFIHNGVHADLASLPDDLIYISRDSYLARALSIRQRQGGSLNAGREGIETLDSSPLIALDPRLCCSNLVSNYREEDYEKGFLINDRLTANLIWAQQAVYAFTGSIAISGELLTMQGLIASILSTKGSGVSGCIGVLKGEQIELDISHLTRQLRLHGLHIHKGEEEEPSPREDSDLLLHGKQEALVAQHETRQLQRVKEKKGRSARKDKKMKPTLKPSNQISSHSSDWALTANKMEIKIHPSAPKNKIMHVEEMLFLSKFRDVAVSAESLSKVSFPTVKLAFTMSNFVTVVTFAQAATLDFGLTMHVSFTVVSAIVVVIPAVGVTISQFYGAKELIRREEERIKQVSQSFAKVWAYMKIFKIYTLVENMKQVREDTAAVCKRRFYATLRSAFMMAPYFLIFVASGLFGTPGLIIVNYIMGLIAVVPGGALLSGVAAAEFMRQGDNSCINILEGLSKEKINKLKLIKKFAMTLIAGRLRVGTRYNLAWTLALSWSRVIETLLLGLVLLLSYQLNSETAQTYELLHKVMFAPGSFGALQTIMLCVISLILRTRCFIWVVRRLSAADPGVENLEEQWLRELRRCNVDSSEHKSLSWVDEMSLEGKKHAVRALSSLWSFTMCSFLGANDVPHQHKSTTRIPKLASLGHHYKGKPHEEDLIRLQSHMSADLSLGEARAATELADQCELPRTRRFKSDERDRHTGSTSTRERVSRYGPSPIIYEKGIDIDKM
+>sp|O95255|MRP6_HUMAN Multidrug resistance-associated protein 6 OS=Homo sapiens OX=9606 GN=ABCC6 PE=1 SV=2
+MAAPAEPCAGQGVWNQTEPEPAATSLLSLCFLRTAGVWVPPMYLWVLGPIYLLFIHHHGRGYLRMSPLFKAKMVLGFALIVLCTSSVAVALWKIQQGTPEAPEFLIHPTVWLTTMSFAVFLIHTERKKGVQSSGVLFGYWLLCFVLPATNAAQQASGAGFQSDPVRHLSTYLCLSLVVAQFVLSCLADQPPFFPEDPQQSNPCPETGAAFPSKATFWWVSGLVWRGYRRPLRPKDLWSLGRENSSEELVSRLEKEWMRNRSAARRHNKAIAFKRKGGSGMKAPETEPFLRQEGSQWRPLLKAIWQVFHSTFLLGTLSLIISDVFRFTVPKLLSLFLEFIGDPKPPAWKGYLLAVLMFLSACLQTLFEQQNMYRLKVLQMRLRSAITGLVYRKVLALSSGSRKASAVGDVVNLVSVDVQRLTESVLYLNGLWLPLVWIVVCFVYLWQLLGPSALTAIAVFLSLLPLNFFISKKRNHHQEEQMRQKDSRARLTSSILRNSKTIKFHGWEGAFLDRVLGIRGQELGALRTSGLLFSVSLVSFQVSTFLVALVVFAVHTLVAENAMNAEKAFVTLTVLNILNKAQAFLPFSIHSLVQARVSFDRLVTFLCLEEVDPGVVDSSSSGSAAGKDCITIHSATFAWSQESPPCLHRINLTVPQGCLLAVVGPVGAGKSSLLSALLGELSKVEGFVSIEGAVAYVPQEAWVQNTSVVENVCFGQELDPPWLERVLEACALQPDVDSFPEGIHTSIGEQGMNLSGGQKQRLSLARAVYRKAAVYLLDDPLAALDAHVGQHVFNQVIGPGGLLQGTTRILVTHALHILPQADWIIVLANGAIAEMGSYQELLQRKGALMCLLDQARQPGDRGEGETEPGTSTKDPRGTSAGRRPELRRERSIKSVPEKDRTTSEAQTEVPLDDPDRAGWPAGKDSIQYGRVKATVHLAYLRAVGTPLCLYALFLFLCQQVASFCRGYWLSLWADDPAVGGQQTQAALRGGIFGLLGCLQAIGLFASMAAVLLGGARASRLLFQRLLWDVVRSPISFFERTPIGHLLNRFSKETDTVDVDIPDKLRSLLMYAFGLLEVSLVVAVATPLATVAILPLFLLYAGFQSLYVVSSCQLRRLESASYSSVCSHMAETFQGSTVVRAFRTQAPFVAQNNARVDESQRISFPRLVADRWLAANVELLGNGLVFAAATCAVLSKAHLSAGLVGFSVSAALQVTQTLQWVVRNWTDLENSIVSVERMQDYAWTPKEAPWRLPTCAAQPPWPQGGQIEFRDFGLRYRPELPLAVQGVSFKIHAGEKVGIVGRTGAGKSSLASGLLRLQEAAEGGIWIDGVPIAHVGLHTLRSRISIIPQDPILFPGSLRMNLDLLQEHSDEAIWAALETVQLKALVASLPGQLQYKCADRGEDLSVGQKQLLCLARALLRKTQILILDEATAAVDPGTELQMQAMLGSWFAQCTVLLIAHRLRSVMDCARVLVMDKGQVAESGSPAQLLAQKGLFYRLAQESGLV
+>DECOY_sp|O95255|MRP6_HUMAN Multidrug resistance-associated protein 6 OS=Homo sapiens OX=9606 GN=ABCC6 PE=1 SV=2
+VLGSEQALRYFLGKQALLQAPSGSEAVQGKDMVLVRACDMVSRLRHAILLVTCQAFWSGLMAQMQLETGPDVAATAEDLILIQTKRLLARALCLLQKQGVSLDEGRDACKYQLQGPLSAVLAKLQVTELAAWIAEDSHEQLLDLNMRLSGPFLIPDQPIISIRSRLTHLGVHAIPVGDIWIGGEAAEQLRLLGSALSSKGAGTRGVIGVKEGAHIKFSVGQVALPLEPRYRLGFDRFEIQGGQPWPPQAACTPLRWPAEKPTWAYDQMREVSVISNELDTWNRVVWQLTQTVQLAASVSFGVLGASLHAKSLVACTAAAFVLGNGLLEVNAALWRDAVLRPFSIRQSEDVRANNQAVFPAQTRFARVVTSGQFTEAMHSCVSSYSASELRRLQCSSVVYLSQFGAYLLFLPLIAVTALPTAVAVVLSVELLGFAYMLLSRLKDPIDVDVTDTEKSFRNLLHGIPTREFFSIPSRVVDWLLRQFLLRSARAGGLLVAAMSAFLGIAQLCGLLGFIGGRLAAQTQQGGVAPDDAWLSLWYGRCFSAVQQCLFLFLAYLCLPTGVARLYALHVTAKVRGYQISDKGAPWGARDPDDLPVETQAESTTRDKEPVSKISRERRLEPRRGASTGRPDKTSTGPETEGEGRDGPQRAQDLLCMLAGKRQLLEQYSGMEAIAGNALVIIWDAQPLIHLAHTVLIRTTGQLLGGPGIVQNFVHQGVHADLAALPDDLLYVAAKRYVARALSLRQKQGGSLNMGQEGISTHIGEPFSDVDPQLACAELVRELWPPDLEQGFCVNEVVSTNQVWAEQPVYAVAGEISVFGEVKSLEGLLASLLSSKGAGVPGVVALLCGQPVTLNIRHLCPPSEQSWAFTASHITICDKGAASGSSSSDVVGPDVEELCLFTVLRDFSVRAQVLSHISFPLFAQAKNLINLVTLTVFAKEANMANEAVLTHVAFVVLAVLFTSVQFSVLSVSFLLGSTRLAGLEQGRIGLVRDLFAGEWGHFKITKSNRLISSTLRARSDKQRMQEEQHHNRKKSIFFNLPLLSLFVAIATLASPGLLQWLYVFCVVIWVLPLWLGNLYLVSETLRQVDVSVLNVVDGVASAKRSGSSLALVKRYVLGTIASRLRMQLVKLRYMNQQEFLTQLCASLFMLVALLYGKWAPPKPDGIFELFLSLLKPVTFRFVDSIILSLTGLLFTSHFVQWIAKLLPRWQSGEQRLFPETEPAKMGSGGKRKFAIAKNHRRAASRNRMWEKELRSVLEESSNERGLSWLDKPRLPRRYGRWVLGSVWWFTAKSPFAAGTEPCPNSQQPDEPFFPPQDALCSLVFQAVVLSLCLYTSLHRVPDSQFGAGSAQQAANTAPLVFCLLWYGFLVGSSQVGKKRETHILFVAFSMTTLWVTPHILFEPAEPTGQQIKWLAVAVSSTCLVILAFGLVMKAKFLPSMRLYGRGHHHIFLLYIPGLVWLYMPPVWVGATRLFCLSLLSTAAPEPETQNWVGQGACPEAPAAM
+>sp|Q9UKD2|MRT4_HUMAN mRNA turnover protein 4 homolog OS=Homo sapiens OX=9606 GN=MRTO4 PE=1 SV=2
+MPKSKRDKKVSLTKTAKKGLELKQNLIEELRKCVDTYKYLFIFSVANMRNSKLKDIRNAWKHSRMFFGKNKVMMVALGRSPSDEYKDNLHQVSKRLRGEVGLLFTNRTKEEVNEWFTKYTEMDYARAGNKAAFTVSLDPGPLEQFPHSMEPQLRQLGLPTALKRGVVTLLSDYEVCKEGDVLTPEQARVLKLFGYEMAEFKVTIKYMWDSQSGRFQQMGDDLPESASESTEESDSEDDD
+>DECOY_sp|Q9UKD2|MRT4_HUMAN mRNA turnover protein 4 homolog OS=Homo sapiens OX=9606 GN=MRTO4 PE=1 SV=2
+DDDESDSEETSESASEPLDDGMQQFRGSQSDWMYKITVKFEAMEYGFLKLVRAQEPTLVDGEKCVEYDSLLTVVGRKLATPLGLQRLQPEMSHPFQELPGPDLSVTFAAKNGARAYDMETYKTFWENVEEKTRNTFLLGVEGRLRKSVQHLNDKYEDSPSRGLAVMMVKNKGFFMRSHKWANRIDKLKSNRMNAVSFIFLYKYTDVCKRLEEILNQKLELGKKATKTLSVKKDRKSKPM
+>sp|B2RBV5|MRUPP_HUMAN Putative MORF4 family-associated protein 1-like protein UPP OS=Homo sapiens OX=9606 PE=1 SV=1
+MRPVDADEAREPREEPGSPLSPAPRAGRENLASLERERARAHWRARRKLLEIQSLLDAIKSEVEAEERGARAPAPRPRAEAEERVARLCAEAERKAAEAARMGRRIVELHQRIAGCECC
+>DECOY_sp|B2RBV5|MRUPP_HUMAN Putative MORF4 family-associated protein 1-like protein UPP OS=Homo sapiens OX=9606 PE=1 SV=1
+CCECGAIRQHLEVIRRGMRAAEAAKREAEACLRAVREEAEARPRPAPARAGREEAEVESKIADLLSQIELLKRRARWHARARERELSALNERGARPAPSLPSGPEERPERAEDADVPRM
+>sp|Q8N5Y2|MS3L1_HUMAN Male-specific lethal 3 homolog OS=Homo sapiens OX=9606 GN=MSL3 PE=1 SV=1
+MSASEGMKFKFHSGEKVLCFEPDPTKARVLYDAKIVDVIVGKDEKGRKIPEYLIHFNGWNRSWDRWAAEDHVLRDTDENRRLQRKLARKAVARLRSTGRKKKRCRLPGVDSVLKGLPTEEKDENDENSLSSSSDCSENKDEEISEESDIEEKTEVKEEPELQTRREMEERTITIEIPEVLKKQLEDDCYYINRRKRLVKLPCQTNIITILESYVKHFAINAAFSANERPRHHHVMPHANMNVHYIPAEKNVDLCKEMVDGLRITFDYTLPLVLLYPYEQAQYKKVTSSKFFLPIKESATSTNRSQEELSPSPPLLNPSTPQSTESQPTTGEPATPKRRKAEPEALQSLRRSTRHSANCDRLSESSASPQPKRRQQDTSASMPKLFLHLEKKTPVHSRSSSPIPLTPSKEGSAVFAGFEGRRTNEINEVLSWKLVPDNYPPGDQPPPPSYIYGAQHLLRLFVKLPEILGKMSFSEKNLKALLKHFDLFLRFLAEYHDDFFPESAYVAACEAHYSTKNPRAIY
+>DECOY_sp|Q8N5Y2|MS3L1_HUMAN Male-specific lethal 3 homolog OS=Homo sapiens OX=9606 GN=MSL3 PE=1 SV=1
+YIARPNKTSYHAECAAVYASEPFFDDHYEALFRLFLDFHKLLAKLNKESFSMKGLIEPLKVFLRLLHQAGYIYSPPPPQDGPPYNDPVLKWSLVENIENTRRGEFGAFVASGEKSPTLPIPSSSRSHVPTKKELHLFLKPMSASTDQQRRKPQPSASSESLRDCNASHRTSRRLSQLAEPEAKRRKPTAPEGTTPQSETSQPTSPNLLPPSPSLEEQSRNTSTASEKIPLFFKSSTVKKYQAQEYPYLLVLPLTYDFTIRLGDVMEKCLDVNKEAPIYHVNMNAHPMVHHHRPRENASFAANIAFHKVYSELITIINTQCPLKVLRKRRNIYYCDDELQKKLVEPIEITITREEMERRTQLEPEEKVETKEEIDSEESIEEDKNESCDSSSSLSNEDNEDKEETPLGKLVSDVGPLRCRKKKRGTSRLRAVAKRALKRQLRRNEDTDRLVHDEAAWRDWSRNWGNFHILYEPIKRGKEDKGVIVDVIKADYLVRAKTPDPEFCLVKEGSHFKFKMGESASM
+>sp|Q96H12|MSD3_HUMAN Myb/SANT-like DNA-binding domain-containing protein 3 OS=Homo sapiens OX=9606 GN=MSANTD3 PE=1 SV=1
+MQNNEIIKPAKYFSELEKSILLALVEKYKYVLECKKSDARTIALKQRTWQALAHEYNSQPSVSLRDFKQLKKCWENIKARTKKIMAHERREKVKRSVSPLLSTHVLGKEKIASMLPEQLYFLQSPPEEEPEYHPDASAQESFAVSNRELCDDEKEFIHFPVCEGTSQPEPSCSAVRITANKNYRSKTSQEGALKKMHEEEHHQQMSILQLQLIQMNEVHVAKIQQIERECEMAEEEHRIKMEVLNKKKMYWERKLQTFTKEWPVSSFNRPFPNSP
+>DECOY_sp|Q96H12|MSD3_HUMAN Myb/SANT-like DNA-binding domain-containing protein 3 OS=Homo sapiens OX=9606 GN=MSANTD3 PE=1 SV=1
+PSNPFPRNFSSVPWEKTFTQLKREWYMKKKNLVEMKIRHEEEAMECEREIQQIKAVHVENMQILQLQLISMQQHHEEEHMKKLAGEQSTKSRYNKNATIRVASCSPEPQSTGECVPFHIFEKEDDCLERNSVAFSEQASADPHYEPEEEPPSQLFYLQEPLMSAIKEKGLVHTSLLPSVSRKVKERREHAMIKKTRAKINEWCKKLQKFDRLSVSPQSNYEHALAQWTRQKLAITRADSKKCELVYKYKEVLALLISKELESFYKAPKIIENNQM
+>sp|P43246|MSH2_HUMAN DNA mismatch repair protein Msh2 OS=Homo sapiens OX=9606 GN=MSH2 PE=1 SV=1
+MAVQPKETLQLESAAEVGFVRFFQGMPEKPTTTVRLFDRGDFYTAHGEDALLAAREVFKTQGVIKYMGPAGAKNLQSVVLSKMNFESFVKDLLLVRQYRVEVYKNRAGNKASKENDWYLAYKASPGNLSQFEDILFGNNDMSASIGVVGVKMSAVDGQRQVGVGYVDSIQRKLGLCEFPDNDQFSNLEALLIQIGPKECVLPGGETAGDMGKLRQIIQRGGILITERKKADFSTKDIYQDLNRLLKGKKGEQMNSAVLPEMENQVAVSSLSAVIKFLELLSDDSNFGQFELTTFDFSQYMKLDIAAVRALNLFQGSVEDTTGSQSLAALLNKCKTPQGQRLVNQWIKQPLMDKNRIEERLNLVEAFVEDAELRQTLQEDLLRRFPDLNRLAKKFQRQAANLQDCYRLYQGINQLPNVIQALEKHEGKHQKLLLAVFVTPLTDLRSDFSKFQEMIETTLDMDQVENHEFLVKPSFDPNLSELREIMNDLEKKMQSTLISAARDLGLDPGKQIKLDSSAQFGYYFRVTCKEEKVLRNNKNFSTVDIQKNGVKFTNSKLTSLNEEYTKNKTEYEEAQDAIVKEIVNISSGYVEPMQTLNDVLAQLDAVVSFAHVSNGAPVPYVRPAILEKGQGRIILKASRHACVEVQDEIAFIPNDVYFEKDKQMFHIITGPNMGGKSTYIRQTGVIVLMAQIGCFVPCESAEVSIVDCILARVGAGDSQLKGVSTFMAEMLETASILRSATKDSLIIIDELGRGTSTYDGFGLAWAISEYIATKIGAFCMFATHFHELTALANQIPTVNNLHVTALTTEETLTMLYQVKKGVCDQSFGIHVAELANFPKHVIECAKQKALELEEFQYIGESQGYDIMEPAAKKCYLEREQGEKIIQEFLSKVKQMPFTEMSEENITIKLKQLKAEVIAKNNSFVNEIISRIKVTT
+>DECOY_sp|P43246|MSH2_HUMAN DNA mismatch repair protein Msh2 OS=Homo sapiens OX=9606 GN=MSH2 PE=1 SV=1
+TTVKIRSIIENVFSNNKAIVEAKLQKLKITINEESMETFPMQKVKSLFEQIIKEGQERELYCKKAAPEMIDYGQSEGIYQFEELELAKQKACEIVHKPFNALEAVHIGFSQDCVGKKVQYLMTLTEETTLATVHLNNVTPIQNALATLEHFHTAFMCFAGIKTAIYESIAWALGFGDYTSTGRGLEDIIILSDKTASRLISATELMEAMFTSVGKLQSDGAGVRALICDVISVEASECPVFCGIQAMLVIVGTQRIYTSKGGMNPGTIIHFMQKDKEFYVDNPIFAIEDQVEVCAHRSAKLIIRGQGKELIAPRVYPVPAGNSVHAFSVVADLQALVDNLTQMPEVYGSSINVIEKVIADQAEEYETKNKTYEENLSTLKSNTFKVGNKQIDVTSFNKNNRLVKEEKCTVRFYYGFQASSDLKIQKGPDLGLDRAASILTSQMKKELDNMIERLESLNPDFSPKVLFEHNEVQDMDLTTEIMEQFKSFDSRLDTLPTVFVALLLKQHKGEHKELAQIVNPLQNIGQYLRYCDQLNAAQRQFKKALRNLDPFRRLLDEQLTQRLEADEVFAEVLNLREEIRNKDMLPQKIWQNVLRQGQPTKCKNLLAALSQSGTTDEVSGQFLNLARVAAIDLKMYQSFDFTTLEFQGFNSDDSLLELFKIVASLSSVAVQNEMEPLVASNMQEGKKGKLLRNLDQYIDKTSFDAKKRETILIGGRQIIQRLKGMDGATEGGPLVCEKPGIQILLAELNSFQDNDPFECLGLKRQISDVYGVGVQRQGDVASMKVGVVGISASMDNNGFLIDEFQSLNGPSAKYALYWDNEKSAKNGARNKYVEVRYQRVLLLDKVFSEFNMKSLVVSQLNKAGAPGMYKIVGQTKFVERAALLADEGHATYFDGRDFLRVTTTPKEPMGQFFRVFGVEAASELQLTEKPQVAM
+>sp|P20585|MSH3_HUMAN DNA mismatch repair protein Msh3 OS=Homo sapiens OX=9606 GN=MSH3 PE=1 SV=4
+MSRRKPASGGLAASSSAPARQAVLSRFFQSTGSLKSTSSSTGAADQVDPGAAAAAAAAAAAAPPAPPAPAFPPQLPPHIATEIDRRKKRPLENDGPVKKKVKKVQQKEGGSDLGMSGNSEPKKCLRTRNVSKSLEKLKEFCCDSALPQSRVQTESLQERFAVLPKCTDFDDISLLHAKNAVSSEDSKRQINQKDTTLFDLSQFGSSNTSHENLQKTASKSANKRSKSIYTPLELQYIEMKQQHKDAVLCVECGYKYRFFGEDAEIAARELNIYCHLDHNFMTASIPTHRLFVHVRRLVAKGYKVGVVKQTETAALKAIGDNRSSLFSRKLTALYTKSTLIGEDVNPLIKLDDAVNVDEIMTDTSTSYLLCISENKENVRDKKKGNIFIGIVGVQPATGEVVFDSFQDSASRSELETRMSSLQPVELLLPSALSEQTEALIHRATSVSVQDDRIRVERMDNIYFEYSHAFQAVTEFYAKDTVDIKGSQIISGIVNLEKPVICSLAAIIKYLKEFNLEKMLSKPENFKQLSSKMEFMTINGTTLRNLEILQNQTDMKTKGSLLWVLDHTKTSFGRRKLKKWVTQPLLKLREINARLDAVSEVLHSESSVFGQIENHLRKLPDIERGLCSIYHKKCSTQEFFLIVKTLYHLKSEFQAIIPAVNSHIQSDLLRTVILEIPELLSPVEHYLKILNEQAAKVGDKTELFKDLSDFPLIKKRKDEIQGVIDEIRMHLQEIRKILKNPSAQYVTVSGQEFMIEIKNSAVSCIPTDWVKVGSTKAVSRFHSPFIVENYRHLNQLREQLVLDCSAEWLDFLEKFSEHYHSLCKAVHHLATVDCIFSLAKVAKQGDYCRPTVQEERKIVIKNGRHPVIDVLLGEQDQYVPNNTDLSEDSERVMIITGPNMGGKSSYIKQVALITIMAQIGSYVPAEEATIGIVDGIFTRMGAADNIYKGQSTFMEELTDTAEIIRKATSQSLVILDELGRGTSTHDGIAIAYATLEYFIRDVKSLTLFVTHYPPVCELEKNYSHQVGNYHMGFLVSEDESKLDPGAAEQVPDFVTFLYQITRGIAARSYGLNVAKLADVPGEILKKAAHKSKELEGLINTKRKRLKYFAKLWTMHNAQDLQKWTEEFNMEETQTSLLH
+>DECOY_sp|P20585|MSH3_HUMAN DNA mismatch repair protein Msh3 OS=Homo sapiens OX=9606 GN=MSH3 PE=1 SV=4
+HLLSTQTEEMNFEETWKQLDQANHMTWLKAFYKLRKRKTNILGELEKSKHAAKKLIEGPVDALKAVNLGYSRAAIGRTIQYLFTVFDPVQEAAGPDLKSEDESVLFGMHYNGVQHSYNKELECVPPYHTVFLTLSKVDRIFYELTAYAIAIGDHTSTGRGLEDLIVLSQSTAKRIIEATDTLEEMFTSQGKYINDAAGMRTFIGDVIGITAEEAPVYSGIQAMITILAVQKIYSSKGGMNPGTIIMVRESDESLDTNNPVYQDQEGLLVDIVPHRGNKIVIKREEQVTPRCYDGQKAVKALSFICDVTALHHVAKCLSHYHESFKELFDLWEASCDLVLQERLQNLHRYNEVIFPSHFRSVAKTSGVKVWDTPICSVASNKIEIMFEQGSVTVYQASPNKLIKRIEQLHMRIEDIVGQIEDKRKKILPFDSLDKFLETKDGVKAAQENLIKLYHEVPSLLEPIELIVTRLLDSQIHSNVAPIIAQFESKLHYLTKVILFFEQTSCKKHYISCLGREIDPLKRLHNEIQGFVSSESHLVESVADLRANIERLKLLPQTVWKKLKRRGFSTKTHDLVWLLSGKTKMDTQNQLIELNRLTTGNITMFEMKSSLQKFNEPKSLMKELNFEKLYKIIAALSCIVPKELNVIGSIIQSGKIDVTDKAYFETVAQFAHSYEFYINDMREVRIRDDQVSVSTARHILAETQESLASPLLLEVPQLSSMRTELESRSASDQFSDFVVEGTAPQVGVIGIFINGKKKDRVNEKNESICLLYSTSTDTMIEDVNVADDLKILPNVDEGILTSKTYLATLKRSFLSSRNDGIAKLAATETQKVVGVKYGKAVLRRVHVFLRHTPISATMFNHDLHCYINLERAAIEADEGFFRYKYGCEVCLVADKHQQKMEIYQLELPTYISKSRKNASKSATKQLNEHSTNSSGFQSLDFLTTDKQNIQRKSDESSVANKAHLLSIDDFDTCKPLVAFREQLSETQVRSQPLASDCCFEKLKELSKSVNRTRLCKKPESNGSMGLDSGGEKQQVKKVKKKVPGDNELPRKKRRDIETAIHPPLQPPFAPAPPAPPAAAAAAAAAAAAGPDVQDAAGTSSSTSKLSGTSQFFRSLVAQRAPASSSAALGGSAPKRRSM
+>sp|O15457|MSH4_HUMAN MutS protein homolog 4 OS=Homo sapiens OX=9606 GN=MSH4 PE=1 SV=2
+MLRPEISSTSPSAPAVSPSSGETRSPQGPRYNFGLQETPQSRPSVQVVSASTCPGTSGAAGDRSSSSSSLPCPAPNSRPAQGSYFGNKRAYAENTVASNFTFGASSSSARDTNYPQTLKTPLSTGNPQRSGYKSWTPQVGYSASSSSAISAHSPSVIVAVVEGRGLARGEIGMASIDLKNPQIILSQFADNTTYAKVITKLKILSPLEIIMSNTACAVGNSTKLFTLITENFKNVNFTTIQRKYFNETKGLEYIEQLCIAEFSTVLMEVQSKYYCLAAVAALLKYVEFIQNSVYAPKSLKICFQGSEQTAMIDSSSAQNLELLINNQDYRNNHTLFGVLNYTKTPGGSRRLRSNILEPLVDIETINMRLDCVQELLQDEELFFGLQSVISRFLDTEQLLSVLVQIPKQDTVNAAESKITNLIYLKHTLELVDPLKIAMKNCNTPLLRAYYGSLEDKRFGIILEKIKTVINDDARYMKGCLNMRTQKCYAVRSNINEFLDIARRTYTEIVDDIAGMISQLGEKYSLPLRTSFSSARGFFIQMTTDCIALPSDQLPSEFIKISKVKNSYSFTSADLIKMNERCQESLREIYHMTYMIVCKLLSEIYEHIHCLYKLSDTVSMLDMLLSFAHACTLSDYVRPEFTDTLAIKQGWHPILEKISAEKPIANNTYVTEGSNFLIITGPNMSGKSTYLKQIALCQIMAQIGSYVPAEYSSFRIAKQIFTRISTDDDIETNSSTFMKEMKEIAYILHNANDKSLILIDELGRGTNTEEGIGICYAVCEYLLSLKAFTLFATHFLELCHIDALYPNVENMHFEVQHVKNTSRNKEAILYTYKLSKGLTEEKNYGLKAAEVSSLPPSIVLDAKEITTQITRQILQNQRSTPEMERQRAVYHLATRLVQTARNSQLDPDSLRIYLSNLKKKYKEDFPRTEQVPEKTEE
+>DECOY_sp|O15457|MSH4_HUMAN MutS protein homolog 4 OS=Homo sapiens OX=9606 GN=MSH4 PE=1 SV=2
+EETKEPVQETRPFDEKYKKKLNSLYIRLSDPDLQSNRATQVLRTALHYVARQREMEPTSRQNQLIQRTIQTTIEKADLVISPPLSSVEAAKLGYNKEETLGKSLKYTYLIAEKNRSTNKVHQVEFHMNEVNPYLADIHCLELFHTAFLTFAKLSLLYECVAYCIGIGEETNTGRGLEDILILSKDNANHLIYAIEKMEKMFTSSNTEIDDDTSIRTFIQKAIRFSSYEAPVYSGIQAMIQCLAIQKLYTSKGSMNPGTIILFNSGETVYTNNAIPKEASIKELIPHWGQKIALTDTFEPRVYDSLTCAHAFSLLMDLMSVTDSLKYLCHIHEYIESLLKCVIMYTMHYIERLSEQCRENMKILDASTFSYSNKVKSIKIFESPLQDSPLAICDTTMQIFFGRASSFSTRLPLSYKEGLQSIMGAIDDVIETYTRRAIDLFENINSRVAYCKQTRMNLCGKMYRADDNIVTKIKELIIGFRKDELSGYYARLLPTNCNKMAIKLPDVLELTHKLYILNTIKSEAANVTDQKPIQVLVSLLQETDLFRSIVSQLGFFLEEDQLLEQVCDLRMNITEIDVLPELINSRLRRSGGPTKTYNLVGFLTHNNRYDQNNILLELNQASSSDIMATQESGQFCIKLSKPAYVSNQIFEVYKLLAAVAALCYYKSQVEMLVTSFEAICLQEIYELGKTENFYKRQITTFNVNKFNETILTFLKTSNGVACATNSMIIELPSLIKLKTIVKAYTTNDAFQSLIIQPNKLDISAMGIEGRALGRGEVVAVIVSPSHASIASSSSASYGVQPTWSKYGSRQPNGTSLPTKLTQPYNTDRASSSSAGFTFNSAVTNEAYARKNGFYSGQAPRSNPAPCPLSSSSSSRDGAAGSTGPCTSASVVQVSPRSQPTEQLGFNYRPGQPSRTEGSSPSVAPASPSTSSIEPRLM
+>sp|O75425|MSPD3_HUMAN Motile sperm domain-containing protein 3 OS=Homo sapiens OX=9606 GN=MOSPD3 PE=2 SV=1
+MRRGAPQDQELVGPGPPGRGSRGAPPPLGPVVPVLVFPPDLVFRADQRSGPRQLLTLYNPTGTALRFRVLCTAPAKYTVFDAEGYVKPQSCIDIVIRHVAPIPSHYDVQDRFRIELSEEGAEGRVVGRKDITSILRAPAYPLELQGQPDPAPRPGPPAGTPPPTARHFQEHPRQQLATSSFLLFLLTGIVSVAFLLLPLPDELGSQLPQVLHVSLGQKLVAAYVLGLLTMVFLRT
+>DECOY_sp|O75425|MSPD3_HUMAN Motile sperm domain-containing protein 3 OS=Homo sapiens OX=9606 GN=MOSPD3 PE=2 SV=1
+TRLFVMTLLGLVYAAVLKQGLSVHLVQPLQSGLEDPLPLLLFAVSVIGTLLFLLFSSTALQQRPHEQFHRATPPPTGAPPGPRPAPDPQGQLELPYAPARLISTIDKRGVVRGEAGEESLEIRFRDQVDYHSPIPAVHRIVIDICSQPKVYGEADFVTYKAPATCLVRFRLATGTPNYLTLLQRPGSRQDARFVLDPPFVLVPVVPGLPPPAGRSGRGPPGPGVLEQDQPAGRRM
+>sp|Q9BYG7|MSTRO_HUMAN Protein maestro OS=Homo sapiens OX=9606 GN=MRO PE=2 SV=2
+MDQRQRRILGQPLSIPTSQPKQKRTSMISFFSKVSWKLRFQKREPLKNVFFILAERARDPSAKKRHMAMRNLGTMAYEAPDKVRKYKKIVLDLLVYGLYDPVNLEVIHESMKTLTVVLGKIQGKGLGSFFIDITLQTRTLLDDENDSLRYSAFVLFGQLAAFAGRKWKKFFTSQVKQTRDSLLIHLQDRNPQVAKACKTTFQACSPYLKLKEEYSFQSEEDQRNTKLYQQLSHYHPEILQFFYANKIL
+>DECOY_sp|Q9BYG7|MSTRO_HUMAN Protein maestro OS=Homo sapiens OX=9606 GN=MRO PE=2 SV=2
+LIKNAYFFQLIEPHYHSLQQYLKTNRQDEESQFSYEEKLKLYPSCAQFTTKCAKAVQPNRDQLHILLSDRTQKVQSTFFKKWKRGAFAALQGFLVFASYRLSDNEDDLLTRTQLTIDIFFSGLGKGQIKGLVVTLTKMSEHIVELNVPDYLGYVLLDLVIKKYKRVKDPAEYAMTGLNRMAMHRKKASPDRAREALIFFVNKLPERKQFRLKWSVKSFFSIMSTRKQKPQSTPISLPQGLIRRQRQDM
+>sp|P11137|MTAP2_HUMAN Microtubule-associated protein 2 OS=Homo sapiens OX=9606 GN=MAP2 PE=1 SV=4
+MADERKDEAKAPHWTSAPLTEASAHSHPPEIKDQGGAGEGLVRSANGFPYREDEEGAFGEHGSQGTYSNTKENGINGELTSADRETAEEVSARIVQVVTAEAVAVLKGEQEKEAQHKDQTAALPLAAEETANLPPSPPPSPASEQTVTVEEDLLTASKMEFHDQQELTPSTAEPSDQKEKESEKQSKPGEDLKHAALVSQPETTKTYPDKKDMQGTEEEKAPLALFGHTLVASLEDMKQKTEPSLVVPGIDLPKEPPTPKEQKDWFIEMPTEAKKDEWGLVAPISPGPLTPMREKDVFDDIPKWEGKQFDSPMPSPFQGGSFTLPLDVMKNEIVTETSPFAPAFLQPDDKKSLQQTSGPATAKDSFKIEEPHEAKPDKMAEAPPSEAMTLPKDAHIPVVEEHVMGKVLEEEKEAINQETVQQRDTFTPSGQEPILTEKETELKLEEKTTISDKEAVPKESKPPKPADEEIGIIQTSTEHTFSEQKDQEPTTDMLKQDSFPVSLEQAVTDSAMTSKTLEKAMTEPSALIEKSSIQELFEMRVDDKDKIEGVGAATSAELDMPFYEDKSGMSKYFETSALKEEATKSIEPGSDYYELSDTRESVHESIDTMSPMHKNGDKEFQTGKESQPSPPAQEAGYSTLAQSYPSDLPEEPSSPQERMFTIDPKVYGEKRDLHSKNKDDLTLSRSLGLGGRSAIEQRSMSINLPMSCLDSIALGFNFGRGHDLSPLASDILTNTSGSMDEGDDYLPATTPALEKAPCFPVESKEEEQIEKVKATGEESTQAEISCESPFLAKDFYKNGTVMAPDLPEMLDLAGTRSRLASVSADAEVARRKSVPSETVVEDSRTGLPPVTDENHVIVKTDSQLEDLGYCVFNKYTVPLPSPVQDSENLSGESGTFYEGTDDKVRRDLATDLSLIEVKLAAAGRVKDEFSVDKEASAHISGDKSGLSKEFDQEKKANDRLDTVLEKSEEHADSKEHAKKTEEAGDEIETFGLGVTYEQALAKDLSIPTDASSEKAEKGLSSVPEIAEVEPSKKVEQGLDFAVQGQLDVKISDFGQMASGLNIDDRRATELKLEATQDMTPSSKAPQEADAFMGVESGHMKEGTKVSETEVKEKVAKPDLVHQEAVDKEESYESSGEHESLTMESLKADEGKKETSPESSLIQDEIAVKLSVEIPCPPAVSEADLATDERADVQMEFIQGPKEESKETPDISITPSDVAEPLHETIVSEPAEIQSEEEEIEAQGEYDKLLFRSDTLQITDLGVSGAREEFVETCPSEHKGVIESVVTIEDDFITVVQTTTDEGESGSHSVRFAALEQPEVERRPSPHDEEEFEVEEAAEAQAEPKDGSPEAPASPEREEVALSEYKTETYDDYKDETTIDDSIMDADSLWVDTQDDDRSIMTEQLETIPKEEKAEKEARRSSLEKHRKEKPFKTGRGRISTPERKVAKKEPSTVSRDEVRRKKAVYKKAELAKKTEVQAHSPSRKFILKPAIKYTRPTHLSCVKRKTTAAGGESALAPSVFKQAKDKVSDGVTKSPEKRSSLPRPSSILPPRRGVSGDRDENSFSLNSSISSSARRTTRSEPIRRAGKSGTSTPTTPGSTAITPGTPPSYSSRTPGTPGTPSYPRTPHTPGTPKSAILVPSEKKVAIIRTPPKSPATPKQLRLINQPLPDLKNVKSKIGSTDNIKYQPKGGQVQIVTKKIDLSHVTSKCGSLKNIRHRPGGGRVKIESVKLDFKEKAQAKVGSLDNAHHVPGGGNVKIDSQKLNFREHAKARVDHGAEIITQSPGRSSVASPRRLSNVSSSGSINLLESPQLATLAEDVTAALAKQGL
+>DECOY_sp|P11137|MTAP2_HUMAN Microtubule-associated protein 2 OS=Homo sapiens OX=9606 GN=MAP2 PE=1 SV=4
+LGQKALAATVDEALTALQPSELLNISGSSSVNSLRRPSAVSSRGPSQTIIEAGHDVRAKAHERFNLKQSDIKVNGGGPVHHANDLSGVKAQAKEKFDLKVSEIKVRGGGPRHRINKLSGCKSTVHSLDIKKTVIQVQGGKPQYKINDTSGIKSKVNKLDPLPQNILRLQKPTAPSKPPTRIIAVKKESPVLIASKPTGPTHPTRPYSPTGPTGPTRSSYSPPTGPTIATSGPTTPTSTGSKGARRIPESRTTRRASSSISSNLSFSNEDRDGSVGRRPPLISSPRPLSSRKEPSKTVGDSVKDKAQKFVSPALASEGGAATTKRKVCSLHTPRTYKIAPKLIFKRSPSHAQVETKKALEAKKYVAKKRRVEDRSVTSPEKKAVKREPTSIRGRGTKFPKEKRHKELSSRRAEKEAKEEKPITELQETMISRDDDQTDVWLSDADMISDDITTEDKYDDYTETKYESLAVEEREPSAPAEPSGDKPEAQAEAAEEVEFEEEDHPSPRREVEPQELAAFRVSHSGSEGEDTTTQVVTIFDDEITVVSEIVGKHESPCTEVFEERAGSVGLDTIQLTDSRFLLKDYEGQAEIEEEESQIEAPESVITEHLPEAVDSPTISIDPTEKSEEKPGQIFEMQVDAREDTALDAESVAPPCPIEVSLKVAIEDQILSSEPSTEKKGEDAKLSEMTLSEHEGSSEYSEEKDVAEQHVLDPKAVKEKVETESVKTGEKMHGSEVGMFADAEQPAKSSPTMDQTAELKLETARRDDINLGSAMQGFDSIKVDLQGQVAFDLGQEVKKSPEVEAIEPVSSLGKEAKESSADTPISLDKALAQEYTVGLGFTEIEDGAEETKKAHEKSDAHEESKELVTDLRDNAKKEQDFEKSLGSKDGSIHASAEKDVSFEDKVRGAAALKVEILSLDTALDRRVKDDTGEYFTGSEGSLNESDQVPSPLPVTYKNFVCYGLDELQSDTKVIVHNEDTVPPLGTRSDEVVTESPVSKRRAVEADASVSALRSRTGALDLMEPLDPAMVTGNKYFDKALFPSECSIEAQTSEEGTAKVKEIQEEEKSEVPFCPAKELAPTTAPLYDDGEDMSGSTNTLIDSALPSLDHGRGFNFGLAISDLCSMPLNISMSRQEIASRGGLGLSRSLTLDDKNKSHLDRKEGYVKPDITFMREQPSSPEEPLDSPYSQALTSYGAEQAPPSPQSEKGTQFEKDGNKHMPSMTDISEHVSERTDSLEYYDSGPEISKTAEEKLASTEFYKSMGSKDEYFPMDLEASTAAGVGEIKDKDDVRMEFLEQISSKEILASPETMAKELTKSTMASDTVAQELSVPFSDQKLMDTTPEQDKQESFTHETSTQIIGIEEDAPKPPKSEKPVAEKDSITTKEELKLETEKETLIPEQGSPTFTDRQQVTEQNIAEKEEELVKGMVHEEVVPIHADKPLTMAESPPAEAMKDPKAEHPEEIKFSDKATAPGSTQQLSKKDDPQLFAPAFPSTETVIENKMVDLPLTFSGGQFPSPMPSDFQKGEWKPIDDFVDKERMPTLPGPSIPAVLGWEDKKAETPMEIFWDKQEKPTPPEKPLDIGPVVLSPETKQKMDELSAVLTHGFLALPAKEEETGQMDKKDPYTKTTEPQSVLAAHKLDEGPKSQKESEKEKQDSPEATSPTLEQQDHFEMKSATLLDEEVTVTQESAPSPPPSPPLNATEEAALPLAATQDKHQAEKEQEGKLVAVAEATVVQVIRASVEEATERDASTLEGNIGNEKTNSYTGQSGHEGFAGEEDERYPFGNASRVLGEGAGGQDKIEPPHSHASAETLPASTWHPAKAEDKREDAM
+>sp|Q9BT17|MTG1_HUMAN Mitochondrial ribosome-associated GTPase 1 OS=Homo sapiens OX=9606 GN=MTG1 PE=1 SV=2
+MRLTPRALCSAAQAAWRENFPLCGRDVARWFPGHMAKGLKKMQSSLKLVDCIIEVHDARIPLSGRNPLFQETLGLKPHLLVLNKMDLADLTEQQKIMQHLEGEGLKNVIFTNCVKDENVKQIIPMVTELIGRSHRYHRKENLEYCIMVIGVPNVGKSSLINSLRRQHLRKGKATRVGGEPGITRAVMSKIQVSERPLMFLLDTPGVLAPRIESVETGLKLALCGTVLDHLVGEETMADYLLYTLNKHQRFGYVQHYGLGSACDNVERVLKSVAVKLGKTQKVKVLTGTGNVNIIQPNYPAAARDFLQTFRRGLLGSVMLDLDVLRGHPPAETLP
+>DECOY_sp|Q9BT17|MTG1_HUMAN Mitochondrial ribosome-associated GTPase 1 OS=Homo sapiens OX=9606 GN=MTG1 PE=1 SV=2
+PLTEAPPHGRLVDLDLMVSGLLGRRFTQLFDRAAAPYNPQIINVNGTGTLVKVKQTKGLKVAVSKLVREVNDCASGLGYHQVYGFRQHKNLTYLLYDAMTEEGVLHDLVTGCLALKLGTEVSEIRPALVGPTDLLFMLPRESVQIKSMVARTIGPEGGVRTAKGKRLHQRRLSNILSSKGVNPVGIVMICYELNEKRHYRHSRGILETVMPIIQKVNEDKVCNTFIVNKLGEGELHQMIKQQETLDALDMKNLVLLHPKLGLTEQFLPNRGSLPIRADHVEIICDVLKLSSQMKKLGKAMHGPFWRAVDRGCLPFNERWAAQAASCLARPTLRM
+>sp|Q96EY8|MMAB_HUMAN Cob(I)yrinic acid a,c-diamide adenosyltransferase, mitochondrial OS=Homo sapiens OX=9606 GN=MMAB PE=1 SV=1
+MAVCGLGSRLGLGSRLGLRGCFGAARLLYPRFQSRGPQGVEDGDRPQPSSKTPRIPKIYTKTGDKGFSSTFTGERRPKDDQVFEAVGTTDELSSAIGFALELVTEKGHTFAEELQKIQCTLQDVGSALATPCSSAREAHLKYTTFKAGPILELEQWIDKYTSQLPPLTAFILPSGGKISSALHFCRAVCRRAERRVVPLVQMGETDANVAKFLNRLSDYLFTLARYAAMKEGNQEKIYMKNDPSAESEGL
+>DECOY_sp|Q96EY8|MMAB_HUMAN Cob(I)yrinic acid a,c-diamide adenosyltransferase, mitochondrial OS=Homo sapiens OX=9606 GN=MMAB PE=1 SV=1
+LGESEASPDNKMYIKEQNGEKMAAYRALTFLYDSLRNLFKAVNADTEGMQVLPVVRREARRCVARCFHLASSIKGGSPLIFATLPPLQSTYKDIWQELELIPGAKFTTYKLHAERASSCPTALASGVDQLTCQIKQLEEAFTHGKETVLELAFGIASSLEDTTGVAEFVQDDKPRREGTFTSSFGKDGTKTYIKPIRPTKSSPQPRDGDEVGQPGRSQFRPYLLRAAGFCGRLGLRSGLGLRSGLGCVAM
+>sp|P09238|MMP10_HUMAN Stromelysin-2 OS=Homo sapiens OX=9606 GN=MMP10 PE=1 SV=1
+MMHLAFLVLLCLPVCSAYPLSGAAKEEDSNKDLAQQYLEKYYNLEKDVKQFRRKDSNLIVKKIQGMQKFLGLEVTGKLDTDTLEVMRKPRCGVPDVGHFSSFPGMPKWRKTHLTYRIVNYTPDLPRDAVDSAIEKALKVWEEVTPLTFSRLYEGEADIMISFAVKEHGDFYSFDGPGHSLAHAYPPGPGLYGDIHFDDDEKWTEDASGTNLFLVAAHELGHSLGLFHSANTEALMYPLYNSFTELAQFRLSQDDVNGIQSLYGPPPASTEEPLVPTKSVPSGSEMPAKCDPALSFDAISTLRGEYLFFKDRYFWRRSHWNPEPEFHLISAFWPSLPSYLDAAYEVNSRDTVFIFKGNEFWAIRGNEVQAGYPRGIHTLGFPPTIRKIDAAVSDKEKKKTYFFAADKYWRFDENSQSMEQGFPRLIADDFPGVEPKVDAVLQAFGFFYFFSGSSQFEFDPNARMVTHILKSNSWLHC
+>DECOY_sp|P09238|MMP10_HUMAN Stromelysin-2 OS=Homo sapiens OX=9606 GN=MMP10 PE=1 SV=1
+CHLWSNSKLIHTVMRANPDFEFQSSGSFFYFFGFAQLVADVKPEVGPFDDAILRPFGQEMSQSNEDFRWYKDAAFFYTKKKEKDSVAADIKRITPPFGLTHIGRPYGAQVENGRIAWFENGKFIFVTDRSNVEYAADLYSPLSPWFASILHFEPEPNWHSRRWFYRDKFFLYEGRLTSIADFSLAPDCKAPMESGSPVSKTPVLPEETSAPPPGYLSQIGNVDDQSLRFQALETFSNYLPYMLAETNASHFLGLSHGLEHAAVLFLNTGSADETWKEDDDFHIDGYLGPGPPYAHALSHGPGDFSYFDGHEKVAFSIMIDAEGEYLRSFTLPTVEEWVKLAKEIASDVADRPLDPTYNVIRYTLHTKRWKPMGPFSSFHGVDPVGCRPKRMVELTDTDLKGTVELGLFKQMGQIKKVILNSDKRRFQKVDKELNYYKELYQQALDKNSDEEKAAGSLPYASCVPLCLLVLFALHMM
+>sp|Q9ULZ9|MMP17_HUMAN Matrix metalloproteinase-17 OS=Homo sapiens OX=9606 GN=MMP17 PE=1 SV=4
+MRRRAARGPGPPPPGPGLSRLPLPLLLLLALGTRGGCAAPAPAPRAEDLSLGVEWLSRFGYLPPADPTTGQLQTQEELSKAITAMQQFGGLEATGILDEATLALMKTPRCSLPDLPVLTQARRRRQAPAPTKWNKRNLSWRVRTFPRDSPLGHDTVRALMYYALKVWSDIAPLNFHEVAGSAADIQIDFSKADHNDGYPFDGPGGTVAHAFFPGHHHTAGDTHFDDDEAWTFRSSDAHGMDLFAVAVHEFGHAIGLSHVAAAHSIMRPYYQGPVGDPLRYGLPYEDKVRVWQLYGVRESVSPTAQPEEPPLLPEPPDNRSSAPPRKDVPHRCSTHFDAVAQIRGEAFFFKGKYFWRLTRDRHLVSLQPAQMHRFWRGLPLHLDSVDAVYERTSDHKIVFFKGDRYWVFKDNNVEEGYPRPVSDFSLPPGGIDAAFSWAHNDRTYFFKDQLYWRYDDHTRHMDPGYPAQSPLWRGVPSTLDDAMRWSDGASYFFRGQEYWKVLDGELEVAPGYPQSTARDWLVCGDSQADGSVAAGVDAAEGPRAPPGQHDQSRSEDGYEVCSCTSGASSPPGAPGPLVAATMLLLLPPLSPGALWTAAQALTL
+>DECOY_sp|Q9ULZ9|MMP17_HUMAN Matrix metalloproteinase-17 OS=Homo sapiens OX=9606 GN=MMP17 PE=1 SV=4
+LTLAQAATWLAGPSLPPLLLLMTAAVLPGPAGPPSSAGSTCSCVEYGDESRSQDHQGPPARPGEAADVGAAVSGDAQSDGCVLWDRATSQPYGPAVELEGDLVKWYEQGRFFYSAGDSWRMADDLTSPVGRWLPSQAPYGPDMHRTHDDYRWYLQDKFFYTRDNHAWSFAADIGGPPLSFDSVPRPYGEEVNNDKFVWYRDGKFFVIKHDSTREYVADVSDLHLPLGRWFRHMQAPQLSVLHRDRTLRWFYKGKFFFAEGRIQAVADFHTSCRHPVDKRPPASSRNDPPEPLLPPEEPQATPSVSERVGYLQWVRVKDEYPLGYRLPDGVPGQYYPRMISHAAAVHSLGIAHGFEHVAVAFLDMGHADSSRFTWAEDDDFHTDGATHHHGPFFAHAVTGGPGDFPYGDNHDAKSFDIQIDAASGAVEHFNLPAIDSWVKLAYYMLARVTDHGLPSDRPFTRVRWSLNRKNWKTPAPAQRRRRAQTLVPLDPLSCRPTKMLALTAEDLIGTAELGGFQQMATIAKSLEEQTQLQGTTPDAPPLYGFRSLWEVGLSLDEARPAPAPAACGGRTGLALLLLLPLPLRSLGPGPPPPGPGRAARRRM
+>sp|Q99542|MMP19_HUMAN Matrix metalloproteinase-19 OS=Homo sapiens OX=9606 GN=MMP19 PE=1 SV=1
+MNCQQLWLGFLLPMTVSGRVLGLAEVAPVDYLSQYGYLQKPLEGSNNFKPEDITEALRAFQEASELPVSGQLDDATRARMRQPRCGLEDPFNQKTLKYLLLGRWRKKHLTFRILNLPSTLPPHTARAALRQAFQDWSNVAPLTFQEVQAGAADIRLSFHGRQSSYCSNTFDGPGRVLAHADIPELGSVHFDEDEFWTEGTYRGVNLRIIAAHEVGHALGLGHSRYSQALMAPVYEGYRPHFKLHPDDVAGIQALYGKKSPVIRDEEEEETELPTVPPVPTEPSPMPDPCSSELDAMMLGPRGKTYAFKGDYVWTVSDSGPGPLFRVSALWEGLPGNLDAAVYSPRTQWIHFFKGDKVWRYINFKMSPGFPKKLNRVEPNLDAALYWPLNQKVFLFKGSGYWQWDELARTDFSSYPKPIKGLFTGVPNQPSAAMSWQDGRVYFFKGKVYWRLNQQLRVEKGYPRNISHNWMHCRPRTIDTTPSGGNTTPSGTGITLDTTLSATETTFEY
+>DECOY_sp|Q99542|MMP19_HUMAN Matrix metalloproteinase-19 OS=Homo sapiens OX=9606 GN=MMP19 PE=1 SV=1
+YEFTTETASLTTDLTIGTGSPTTNGGSPTTDITRPRCHMWNHSINRPYGKEVRLQQNLRWYVKGKFFYVRGDQWSMAASPQNPVGTFLGKIPKPYSSFDTRALEDWQWYGSGKFLFVKQNLPWYLAADLNPEVRNLKKPFGPSMKFNIYRWVKDGKFFHIWQTRPSYVAADLNGPLGEWLASVRFLPGPGSDSVTWVYDGKFAYTKGRPGLMMADLESSCPDPMPSPETPVPPVTPLETEEEEEDRIVPSKKGYLAQIGAVDDPHLKFHPRYGEYVPAMLAQSYRSHGLGLAHGVEHAAIIRLNVGRYTGETWFEDEDFHVSGLEPIDAHALVRGPGDFTNSCYSSQRGHFSLRIDAAGAQVEQFTLPAVNSWDQFAQRLAARATHPPLTSPLNLIRFTLHKKRWRGLLLYKLTKQNFPDELGCRPQRMRARTADDLQGSVPLESAEQFARLAETIDEPKFNNSGELPKQLYGYQSLYDVPAVEALGLVRGSVTMPLLFGLWLQQCNM
+>sp|Q9NPA2|MMP25_HUMAN Matrix metalloproteinase-25 OS=Homo sapiens OX=9606 GN=MMP25 PE=1 SV=1
+MRLRLRLLALLLLLLAPPARAPKPSAQDVSLGVDWLTRYGYLPPPHPAQAQLQSPEKLRDAIKVMQRFAGLPETGRMDPGTVATMRKPRCSLPDVLGVAGLVRRRRRYALSGSVWKKRTLTWRVRSFPQSSQLSQETVRVLMSYALMAWGMESGLTFHEVDSPQGQEPDILIDFARAFHQDSYPFDGLGGTLAHAFFPGEHPISGDTHFDDEETWTFGSKDGEGTDLFAVAVHEFGHALGLGHSSAPNSIMRPFYQGPVGDPDKYRLSQDDRDGLQQLYGKAPQTPYDKPTRKPLAPPPQPPASPTHSPSFPIPDRCEGNFDAIANIRGETFFFKGPWFWRLQPSGQLVSPRPARLHRFWEGLPAQVRVVQAAYARHRDGRILLFSGPQFWVFQDRQLEGGARPLTELGLPPGEEVDAVFSWPQNGKTYLVRGRQYWRYDEAAARPDPGYPRDLSLWEGAPPSPDDVTVSNAGDTYFFKGAHYWRFPKNSIKTEPDAPQPMGPNWLDCPAPSSGPRAPRPPKATPVSETCDCQCELNQAAGRWPAPIPLLLLPLLVGGVASR
+>DECOY_sp|Q9NPA2|MMP25_HUMAN Matrix metalloproteinase-25 OS=Homo sapiens OX=9606 GN=MMP25 PE=1 SV=1
+RSAVGGVLLPLLLLPIPAPWRGAAQNLECQCDCTESVPTAKPPRPARPGSSPAPCDLWNPGMPQPADPETKISNKPFRWYHAGKFFYTDGANSVTVDDPSPPAGEWLSLDRPYGPDPRAAAEDYRWYQRGRVLYTKGNQPWSFVADVEEGPPLGLETLPRAGGELQRDQFVWFQPGSFLLIRGDRHRAYAAQVVRVQAPLGEWFRHLRAPRPSVLQGSPQLRWFWPGKFFFTEGRINAIADFNGECRDPIPFSPSHTPSAPPQPPPALPKRTPKDYPTQPAKGYLQQLGDRDDQSLRYKDPDGVPGQYFPRMISNPASSHGLGLAHGFEHVAVAFLDTGEGDKSGFTWTEEDDFHTDGSIPHEGPFFAHALTGGLGDFPYSDQHFARAFDILIDPEQGQPSDVEHFTLGSEMGWAMLAYSMLVRVTEQSLQSSQPFSRVRWTLTRKKWVSGSLAYRRRRRVLGAVGLVDPLSCRPKRMTAVTGPDMRGTEPLGAFRQMVKIADRLKEPSQLQAQAPHPPPLYGYRTLWDVGLSVDQASPKPARAPPALLLLLLALLRLRLRM
+>sp|Q9H306|MMP27_HUMAN Matrix metalloproteinase-27 OS=Homo sapiens OX=9606 GN=MMP27 PE=1 SV=2
+MKRLLLLFLFFITFSSAFPLVRMTENEENMQLAQAYLNQFYSLEIEGNHLVQSKNRSLIDDKIREMQAFFGLTVTGKLDSNTLEIMKTPRCGVPDVGQYGYTLPGWRKYNLTYRIINYTPDMARAAVDEAIQEGLEVWSKVTPLKFTKISKGIADIMIAFRTRVHGRCPRYFDGPLGVLGHAFPPGPGLGGDTHFDEDENWTKDGAGFNLFLVAAHEFGHALGLSHSNDQTALMFPNYVSLDPRKYPLSQDDINGIQSIYGGLPKEPAKPKEPTIPHACDPDLTFDAITTFRREVMFFKGRHLWRIYYDITDVEFELIASFWPSLPADLQAAYENPRDKILVFKDENFWMIRGYAVLPDYPKSIHTLGFPGRVKKIDAAVCDKTTRKTYFFVGIWCWRFDEMTQTMDKGFPQRVVKHFPGISIRVDAAFQYKGFFFFSRGSKQFEYDIKTKNITRIMRTNTWFQCKEPKNSSFGFDINKEKAHSGGIKILYHKSLSLFIFGIVHLLKNTSIYQ
+>DECOY_sp|Q9H306|MMP27_HUMAN Matrix metalloproteinase-27 OS=Homo sapiens OX=9606 GN=MMP27 PE=1 SV=2
+QYISTNKLLHVIGFIFLSLSKHYLIKIGGSHAKEKNIDFGFSSNKPEKCQFWTNTRMIRTINKTKIDYEFQKSGRSFFFFGKYQFAADVRISIGPFHKVVRQPFGKDMTQTMEDFRWCWIGVFFYTKRTTKDCVAADIKKVRGPFGLTHISKPYDPLVAYGRIMWFNEDKFVLIKDRPNEYAAQLDAPLSPWFSAILEFEVDTIDYYIRWLHRGKFFMVERRFTTIADFTLDPDCAHPITPEKPKAPEKPLGGYISQIGNIDDQSLPYKRPDLSVYNPFMLATQDNSHSLGLAHGFEHAAVLFLNFGAGDKTWNEDEDFHTDGGLGPGPPFAHGLVGLPGDFYRPCRGHVRTRFAIMIDAIGKSIKTFKLPTVKSWVELGEQIAEDVAARAMDPTYNIIRYTLNYKRWGPLTYGYQGVDPVGCRPTKMIELTNSDLKGTVTLGFFAQMERIKDDILSRNKSQVLHNGEIELSYFQNLYAQALQMNEENETMRVLPFASSFTIFFLFLLLLRKM
+>sp|Q9H8S9|MOB1A_HUMAN MOB kinase activator 1A OS=Homo sapiens OX=9606 GN=MOB1A PE=1 SV=4
+MSFLFSSRSSKTFKPKKNIPEGSHQYELLKHAEATLGSGNLRQAVMLPEGEDLNEWIAVNTVDFFNQINMLYGTITEFCTEASCPVMSAGPRYEYHWADGTNIKKPIKCSAPKYIDYLMTWVQDQLDDETLFPSKIGVPFPKNFMSVAKTILKRLFRVYAHIYHQHFDSVMQLQEEAHLNTSFKHFIFFVQEFNLIDRRELAPLQELIEKLGSKDR
+>DECOY_sp|Q9H8S9|MOB1A_HUMAN MOB kinase activator 1A OS=Homo sapiens OX=9606 GN=MOB1A PE=1 SV=4
+RDKSGLKEILEQLPALERRDILNFEQVFFIFHKFSTNLHAEEQLQMVSDFHQHYIHAYVRFLRKLITKAVSMFNKPFPVGIKSPFLTEDDLQDQVWTMLYDIYKPASCKIPKKINTGDAWHYEYRPGASMVPCSAETCFETITGYLMNIQNFFDVTNVAIWENLDEGEPLMVAQRLNGSGLTAEAHKLLEYQHSGEPINKKPKFTKSSRSSFLFSM
+>sp|Q70IA8|MOB3C_HUMAN MOB kinase activator 3C OS=Homo sapiens OX=9606 GN=MOB3C PE=1 SV=1
+MALCLKQVFAKDKTFRPRKRFEPGTQRFELYKKAQASLKSGLDLRSVVRLPPGENIDDWIAVHVVDFFNRINLIYGTMAERCSETSCPVMAGGPRYEYRWQDERQYRRPAKLSAPRYMALLMDWIEGLINDEEVFPTRVGVPFPKNFQQVCTKILTRLFRVFVHVYIHHFDSILSMGAEAHVNTCYKHFYYFIREFSLVDQRELEPLREMTERICH
+>DECOY_sp|Q70IA8|MOB3C_HUMAN MOB kinase activator 3C OS=Homo sapiens OX=9606 GN=MOB3C PE=1 SV=1
+HCIRETMERLPELERQDVLSFERIFYYFHKYCTNVHAEAGMSLISDFHHIYVHVFVRFLRTLIKTCVQQFNKPFPVGVRTPFVEEDNILGEIWDMLLAMYRPASLKAPRRYQREDQWRYEYRPGGAMVPCSTESCREAMTGYILNIRNFFDVVHVAIWDDINEGPPLRVVSRLDLGSKLSAQAKKYLEFRQTGPEFRKRPRFTKDKAFVQKLCLAM
+>sp|Q96EN8|MOCOS_HUMAN Molybdenum cofactor sulfurase OS=Homo sapiens OX=9606 GN=MOCOS PE=1 SV=2
+MAGAAAESGRELWTFAGSRDPSAPRLAYGYGPGSLRELRAREFSRLAGTVYLDHAGATLFSQSQLESFTSDLMENTYGNPHSQNISSKLTHDTVEQVRYRILAHFHTTAEDYTVIFTAGSTAALKLVAEAFPWVSQGPESSGSRFCYLTDSHTSVVGMRNVTMAINVISTPVRPEDLWSAEERSASASNPDCQLPHLFCYPAQSNFSGVRYPLSWIEEVKSGRLHPVSTPGKWFVLLDAASYVSTSPLDLSAHQADFVPISFYKIFGFPTGLGALLVHNRAAPLLRKTYFGGGTASAYLAGEDFYIPRQSVAQRFEDGTISFLDVIALKHGFDTLERLTGGMENIKQHTFTLAQYTYVALSSLQYPNGAPVVRIYSDSEFSSPEVQGPIINFNVLDDKGNIIGYSQVDKMASLYNIHLRTGCFCNTGACQRHLGISNEMVRKHFQAGHVCGDNMDLIDGQPTGSVRISFGYMSTLDDVQAFLRFIIDTRLHSSGDWPVPQAHADTGETGAPSADSQADVIPAVMGRRSLSPQEDALTGSRVWNNSSTVNAVPVAPPVCDVARTQPTPSEKAAGVLEGALGPHVVTNLYLYPIKSCAAFEVTRWPVGNQGLLYDRSWMVVNHNGVCLSQKQEPRLCLIQPFIDLRQRIMVIKAKGMEPIEVPLEENSERTQIRQSRVCADRVSTYDCGEKISSWLSTFFGRPCHLIKQSSNSQRNAKKKHGKDQLPGTMATLSLVNEAQYLLINTSSILELHRQLNTSDENGKEELFSLKDLSLRFRANIIINGKRAFEEEKWDEISIGSLRFQVLGPCHRCQMICIDQQTGQRNQHVFQKLSESRETKVNFGMYLMHASLDLSSPCFLSVGSQVLPVLKENVEGHDLPASEKHQDVTS
+>DECOY_sp|Q96EN8|MOCOS_HUMAN Molybdenum cofactor sulfurase OS=Homo sapiens OX=9606 GN=MOCOS PE=1 SV=2
+STVDQHKESAPLDHGEVNEKLVPLVQSGVSLFCPSSLDLSAHMLYMGFNVKTERSESLKQFVHQNRQGTQQDICIMQCRHCPGLVQFRLSGISIEDWKEEEFARKGNIIINARFRLSLDKLSFLEEKGNEDSTNLQRHLELISSTNILLYQAENVLSLTAMTGPLQDKGHKKKANRQSNSSQKILHCPRGFFTSLWSSIKEGCDYTSVRDACVRSQRIQTRESNEELPVEIPEMGKAKIVMIRQRLDIFPQILCLRPEQKQSLCVGNHNVVMWSRDYLLGQNGVPWRTVEFAACSKIPYLYLNTVVHPGLAGELVGAAKESPTPQTRAVDCVPPAVPVANVTSSNNWVRSGTLADEQPSLSRRGMVAPIVDAQSDASPAGTEGTDAHAQPVPWDGSSHLRTDIIFRLFAQVDDLTSMYGFSIRVSGTPQGDILDMNDGCVHGAQFHKRVMENSIGLHRQCAGTNCFCGTRLHINYLSAMKDVQSYGIINGKDDLVNFNIIPGQVEPSSFESDSYIRVVPAGNPYQLSSLAVYTYQALTFTHQKINEMGGTLRELTDFGHKLAIVDLFSITGDEFRQAVSQRPIYFDEGALYASATGGGFYTKRLLPAARNHVLLAGLGTPFGFIKYFSIPVFDAQHASLDLPSTSVYSAADLLVFWKGPTSVPHLRGSKVEEIWSLPYRVGSFNSQAPYCFLHPLQCDPNSASASREEASWLDEPRVPTSIVNIAMTVNRMGVVSTHSDTLYCFRSGSSEPGQSVWPFAEAVLKLAATSGATFIVTYDEATTHFHALIRYRVQEVTDHTLKSSINQSHPNGYTNEMLDSTFSELQSQSFLTAGAHDLYVTGALRSFERARLERLSGPGYGYALRPASPDRSGAFTWLERGSEAAAGAM
+>sp|Q7Z3U7|MON2_HUMAN Protein MON2 homolog OS=Homo sapiens OX=9606 GN=MON2 PE=1 SV=3
+MSGTSSPEAVKKLLENMQSDLRALSLECKKKFPPVKEAAESGIIKVKTIAARNTEILAALKENSSEVVQPFLMGCGTKEPKITQLCLAAIQRLMSHEVVSETAAGNIINMLWQLMENSLEELKLLQTVLVLLTTNTVVHDEALSKAIVLCFRLHFTKDNITNNTAAATVRQVVTVVFERMVAEDERHRDIIEQPVLVQGNSNRRSVSTLKPCAKDAYMLFQDLCQLVNADAPYWLVGMTEMTRTFGLELLESVLNDFPQVFLQHQEFSFLLKERVCPLVIKLFSPNIKFRQGSSTSSSPAPVEKPYFPICMRLLRVVSVLIKQFYSLLVTECEIFLSLLVKFLDADKPQWLRAVAVESIHRFCVQPQLLRSFCQSYDMKQHSTKVFRDIVNALGSFIQSLFLVPPTGNPATSNQAGNNNLGGSVSAPANSGMVGIGGGVTLLPAFEYRGTWIPILTITVQGSAKATYLEMLDKVEPPTIPEGYAMSVAFHCLLDLVRGITSMIEGELGELETECQTTTEEGSSPTQSTEQQDLQSTSDQMDKEIVSRAVWEEMVNACWCGLLAALSLLLDASTDEAATENILKAELTMAALCGRLGLVTSRDAFITAICKGSLPPHYALTVLNTTTAATLSNKSYSVQGQSVMMISPSSESHQQVVAVGQPLAVQPQGTVMLTSKNIQCMRTLLNLAHCHGAVLGTSWQLVLATLQHLVWILGLKPSSGGALKPGRAVEGPSTVLTTAVMTDLPVISNILSRLFESSQYLDDVSLHHLINALCSLSLEAMDMAYGNNKEPSLFAVAKLLETGLVNMHRIEILWRPLTGHLLEVCQHPNSRMREWGAEALTSLIKAGLTFNHDPPLSQNQRLQLLLLNPLKEMSNINHPDIRLKQLECVLQILQSQGDSLGPGWPLVLGVMGAIRNDQGESLIRTAFQCLQLVVTDFLPTMPCTCLQIVVDVAGSFGLHNQELNISLTSIGLLWNISDYFFQRGETIEKELNKEEAAQQKQAEEKGVVLNRPFHPAPPFDCLWLCLYAKLGELCVDPRPAVRKSAGQTLFSTIGAHGTLLQHSTWHTVIWKVLFHLLDRVRESSTTADKEKIESGGGNILIHHSRDTAEKQWAETWVLTLAGVARIFNTRRYLLQPLGDFSRAWDVLLDHIQSAALSKNNEVSLAALKSFQEILQIVSPVRDSDKPETPPVVNVPVPVLIGPISGMSRPFVRTDSIGEKLGRYSSSEPPIVTDELEDLNLWWAAWNTWYRIGSESTKPPITFDKLTFIPSQPFLTALIQIFPALYQHIKTGFNMDDLQKLGVILHSAISVPISSDASPFILPSYTEAVLTSLQEAVLTALDVLQKAICVGPENMQIMYPAIFDQLLAFVEFSCKPPQYGQLETKHIANAKYNQIQLFAPAEWVALNYVPFAERSLEVVVDLYQKTACHKAVVNEKVLQNIIKTLRVPLSLKYSCPSESTWKLAVSSLLRVLSIGLPVARQHASSGKFDSMWPELANTFEDFLFTKSIPPDNLSIQEFQRNENIDVEVVQLISNEILPYANFIPKEFVGQIMTMLNKGSIHSQSSSFTEAEIDIRLREEFSKMCFETLLQFSFSNKVTTPQEGYISRMALSVLLKRSQDVLHRYIEDERLSGKCPLPRQQVTEIIFVLKAVSTLIDSLKKTQPENVDGNTWAQVIALYPTLVECITCSSSEVCSALKEALVPFKDFMQPPASRVQNGES
+>DECOY_sp|Q7Z3U7|MON2_HUMAN Protein MON2 homolog OS=Homo sapiens OX=9606 GN=MON2 PE=1 SV=3
+SEGNQVRSAPPQMFDKFPVLAEKLASCVESSSCTICEVLTPYLAIVQAWTNGDVNEPQTKKLSDILTSVAKLVFIIETVQQRPLPCKGSLREDEIYRHLVDQSRKLLVSLAMRSIYGEQPTTVKNSFSFQLLTEFCMKSFEERLRIDIEAETFSSSQSHISGKNLMTMIQGVFEKPIFNAYPLIENSILQVVEVDINENRQFEQISLNDPPISKTFLFDEFTNALEPWMSDFKGSSAHQRAVPLGISLVRLLSSVALKWTSESPCSYKLSLPVRLTKIINQLVKENVVAKHCATKQYLDVVVELSREAFPVYNLAVWEAPAFLQIQNYKANAIHKTELQGYQPPKCSFEVFALLQDFIAPYMIQMNEPGVCIAKQLVDLATLVAEQLSTLVAETYSPLIFPSADSSIPVSIASHLIVGLKQLDDMNFGTKIHQYLAPFIQILATLFPQSPIFTLKDFTIPPKTSESGIRYWTNWAAWWLNLDELEDTVIPPESSSYRGLKEGISDTRVFPRSMGSIPGILVPVPVNVVPPTEPKDSDRVPSVIQLIEQFSKLAALSVENNKSLAASQIHDLLVDWARSFDGLPQLLYRRTNFIRAVGALTLVWTEAWQKEATDRSHHILINGGGSEIKEKDATTSSERVRDLLHFLVKWIVTHWTSHQLLTGHAGITSFLTQGASKRVAPRPDVCLEGLKAYLCLWLCDFPPAPHFPRNLVVGKEEAQKQQAAEEKNLEKEITEGRQFFYDSINWLLGISTLSINLEQNHLGFSGAVDVVIQLCTCPMTPLFDTVVLQLCQFATRILSEGQDNRIAGMVGLVLPWGPGLSDGQSQLIQLVCELQKLRIDPHNINSMEKLPNLLLLQLRQNQSLPPDHNFTLGAKILSTLAEAGWERMRSNPHQCVELLHGTLPRWLIEIRHMNVLGTELLKAVAFLSPEKNNGYAMDMAELSLSCLANILHHLSVDDLYQSSEFLRSLINSIVPLDTMVATTLVTSPGEVARGPKLAGGSSPKLGLIWVLHQLTALVLQWSTGLVAGHCHALNLLTRMCQINKSTLMVTGQPQVALPQGVAVVQQHSESSPSIMMVSQGQVSYSKNSLTAATTTNLVTLAYHPPLSGKCIATIFADRSTVLGLRGCLAAMTLEAKLINETAAEDTSADLLLSLAALLGCWCANVMEEWVARSVIEKDMQDSTSQLDQQETSQTPSSGEETTTQCETELEGLEGEIMSTIGRVLDLLCHFAVSMAYGEPITPPEVKDLMELYTAKASGQVTITLIPIWTGRYEFAPLLTVGGGIGVMGSNAPASVSGGLNNNGAQNSTAPNGTPPVLFLSQIFSGLANVIDRFVKTSHQKMDYSQCFSRLLQPQVCFRHISEVAVARLWQPKDADLFKVLLSLFIECETVLLSYFQKILVSVVRLLRMCIPFYPKEVPAPSSSTSSGQRFKINPSFLKIVLPCVREKLLFSFEQHQLFVQPFDNLVSELLELGFTRTMETMGVLWYPADANVLQCLDQFLMYADKACPKLTSVSRRNSNGQVLVPQEIIDRHREDEAVMREFVVTVVQRVTAAATNNTINDKTFHLRFCLVIAKSLAEDHVVTNTTLLVLVTQLLKLEELSNEMLQWLMNIINGAATESVVEHSMLRQIAALCLQTIKPEKTGCGMLFPQVVESSNEKLAALIETNRAAITKVKIIGSEAAEKVPPFKKKCELSLARLDSQMNELLKKVAEPSSTGSM
+>sp|Q86VD1|MORC1_HUMAN MORC family CW-type zinc finger protein 1 OS=Homo sapiens OX=9606 GN=MORC1 PE=2 SV=2
+MDDRYPALQRAQLRLDFIHANSTTHSFLFGALAELLDNARDAGAERLDVFSVDNEKLQGGFMLCFLDDGCGMSPEEASDIIYFGRSKKRLSTLKFIGQYGNGLKSGSMRIGKDFILFTKKEETMTCVFFSQTFCEEESLSEVVVPMPSWLIRTRESVTDDPQKFAMELSIIYKYSPFKTEAELMQQFDVIYGKCGTLLVIYNLKLLLNGEPELDVKTDKEDILMAGALEDFPARWSFRAYTSVLYFNPWMRIFIQAKRVKTKHLCYCLYRPRKYLYVTSSFKGAFKDEVKKAEEAVKIAESILKEAQIKVNQCDRTSLSSAKDVLQRALEDVEAKQKNLKEKQRELKTARTLSLFYGVNVENRSQAGMFIYSNNRLIKMHEKVGSQLKLKSLLGAGVVGIVNIPLEVMEPSHNKQEFLNVQEYNHLLKVMGQYLVQYCKDTGINNRNLTLFCNEFGYQNDIDVEKPLNSFQYQRRQAMGIPFIIQCDLCLKWRVLPSSTNYQEKEFFDIWICANNPNRLENSCHQVECLPSIPLGTMSTISPSKNEKEKQLRESVIKYQNRLAEQQPQPQFIPVDEITVTSTCLTSAHKENTKTQKIRLLGDDLKHESLSSFELSASRRGQKRNIEETDSDVEYISETKIMKKSMEEKMNSQQQRIPVALPENVKLAERSQRSQIANITTVWRAQPTEGCLKNAQAASWEMKRKQSLNFVEECKVLTEDENTSDSDIILVSDKSNTDVSLKQEKKEIPLLNQEKQELCNDVLAMKRSSSLPSWKSLLNVPMEDVNLSSGHIARVSVSGSCKVASSPASSQSTPVKETVRKLKSKLREILLYFFPEHQLPSELEEPALSCELEQCPEQMNKKLKMCFNQIQNTYMVQYEKKIKRKLQSIIYDSNTRGIHNEISLGQCENKRKISEDKLKNLRIKLALLLQKLQLGGPEGDLEQTDTYLEALLKEDNLLFQNNLNKVTIDARHRLPLEKNEKTSEN
+>DECOY_sp|Q86VD1|MORC1_HUMAN MORC family CW-type zinc finger protein 1 OS=Homo sapiens OX=9606 GN=MORC1 PE=2 SV=2
+NESTKENKELPLRHRADITVKNLNNQFLLNDEKLLAELYTDTQELDGEPGGLQLKQLLLALKIRLNKLKDESIKRKNECQGLSIENHIGRTNSDYIISQLKRKIKKEYQVMYTNQIQNFCMKLKKNMQEPCQELECSLAPEELESPLQHEPFFYLLIERLKSKLKRVTEKVPTSQSSAPSSAVKCSGSVSVRAIHGSSLNVDEMPVNLLSKWSPLSSSRKMALVDNCLEQKEQNLLPIEKKEQKLSVDTNSKDSVLIIDSDSTNEDETLVKCEEVFNLSQKRKMEWSAAQANKLCGETPQARWVTTINAIQSRQSREALKVNEPLAVPIRQQQSNMKEEMSKKMIKTESIYEVDSDTEEINRKQGRRSASLEFSSLSEHKLDDGLLRIKQTKTNEKHASTLCTSTVTIEDVPIFQPQPQQEALRNQYKIVSERLQKEKENKSPSITSMTGLPISPLCEVQHCSNELRNPNNACIWIDFFEKEQYNTSSPLVRWKLCLDCQIIFPIGMAQRRQYQFSNLPKEVDIDNQYGFENCFLTLNRNNIGTDKCYQVLYQGMVKLLHNYEQVNLFEQKNHSPEMVELPINVIGVVGAGLLSKLKLQSGVKEHMKILRNNSYIFMGAQSRNEVNVGYFLSLTRATKLERQKEKLNKQKAEVDELARQLVDKASSLSTRDCQNVKIQAEKLISEAIKVAEEAKKVEDKFAGKFSSTVYLYKRPRYLCYCLHKTKVRKAQIFIRMWPNFYLVSTYARFSWRAPFDELAGAMLIDEKDTKVDLEPEGNLLLKLNYIVLLTGCKGYIVDFQQMLEAETKFPSYKYIISLEMAFKQPDDTVSERTRILWSPMPVVVESLSEEECFTQSFFVCTMTEEKKTFLIFDKGIRMSGSKLGNGYQGIFKLTSLRKKSRGFYIIDSAEEPSMGCGDDLFCLMFGGQLKENDVSFVDLREAGADRANDLLEALAGFLFSHTTSNAHIFDLRLQARQLAPYRDDM
+>sp|Q14149|MORC3_HUMAN MORC family CW-type zinc finger protein 3 OS=Homo sapiens OX=9606 GN=MORC3 PE=1 SV=3
+MAAQPPRGIRLSALCPKFLHTNSTSHTWPFSAVAELIDNAYDPDVNAKQIWIDKTVINDHICLTFTDNGNGMTSDKLHKMLSFGFSDKVTMNGHVPVGLYGNGFKSGSMRLGKDAIVFTKNGESMSVGLLSQTYLEVIKAEHVVVPIVAFNKHRQMINLAESKASLAAILEHSLFSTEQKLLAELDAIIGKKGTRIIIWNLRSYKNATEFDFEKDKYDIRIPEDLDEITGKKGYKKQERMDQIAPESDYSLRAYCSILYLKPRMQIILRGQKVKTQLVSKSLAYIERDVYRPKFLSKTVRITFGFNCRNKDHYGIMMYHRNRLIKAYEKVGCQLRANNMGVGVVGIIECNFLKPTHNKQDFDYTNEYRLTITALGEKLNDYWNEMKVKKNTEYPLNLPVEDIQKRPDQTWVQCDACLKWRKLPDGMDQLPEKWYCSNNPDPQFRNCEVPEEPEDEDLVHPTYEKTYKKTNKEKFRIRQPEMIPRINAELLFRPTALSTPSFSSPKESVPRRHLSEGTNSYATRLLNNHQVPPQSEPESNSLKRRLSTRSSILNAKNRRLSSQFENSVYKGDDDDEDVIILEENSTPKPAVDHDIDMKSEQSHVEQGGVQVEFVGDSEPCGQTGSTSTSSSRCDQGNTAATQTEVPSLVVKKEETVEDEIDVRNDAVILPSCVEAEAKIHETQETTDKSADDAGCQLQELRNQLLLVTEEKENYKRQCHMFTDQIKVLQQRILEMNDKYVKKETCHQSTETDAVFLLESINGKSESPDHMVSQYQQALEEIERLKKQCSALQHVKAECSQCSNNESKSEMDEMAVQLDDVFRQLDKCSIERDQYKSEVELLEMEKSQIRSQCEELKTEVEQLKSTNQQTATDVSTSSNIEESVNHMDGESLKLRSLRVNVGQLLAMIVPDLDLQQVNYDVDVVDEILGQVVEQMSEISST
+>DECOY_sp|Q14149|MORC3_HUMAN MORC family CW-type zinc finger protein 3 OS=Homo sapiens OX=9606 GN=MORC3 PE=1 SV=3
+TSSIESMQEVVQGLIEDVVDVDYNVQQLDLDPVIMALLQGVNVRLSRLKLSEGDMHNVSEEINSSTSVDTATQQNTSKLQEVETKLEECQSRIQSKEMELLEVESKYQDREISCKDLQRFVDDLQVAMEDMESKSENNSCQSCEAKVHQLASCQKKLREIEELAQQYQSVMHDPSESKGNISELLFVADTETSQHCTEKKVYKDNMELIRQQLVKIQDTFMHCQRKYNEKEETVLLLQNRLEQLQCGADDASKDTTEQTEHIKAEAEVCSPLIVADNRVDIEDEVTEEKKVVLSPVETQTAATNGQDCRSSSTSTSGTQGCPESDGVFEVQVGGQEVHSQESKMDIDHDVAPKPTSNEELIIVDEDDDDGKYVSNEFQSSLRRNKANLISSRTSLRRKLSNSEPESQPPVQHNNLLRTAYSNTGESLHRRPVSEKPSSFSPTSLATPRFLLEANIRPIMEPQRIRFKEKNTKKYTKEYTPHVLDEDEPEEPVECNRFQPDPNNSCYWKEPLQDMGDPLKRWKLCADCQVWTQDPRKQIDEVPLNLPYETNKKVKMENWYDNLKEGLATITLRYENTYDFDQKNHTPKLFNCEIIGVVGVGMNNARLQCGVKEYAKILRNRHYMMIGYHDKNRCNFGFTIRVTKSLFKPRYVDREIYALSKSVLQTKVKQGRLIIQMRPKLYLISCYARLSYDSEPAIQDMREQKKYGKKGTIEDLDEPIRIDYKDKEFDFETANKYSRLNWIIIRTGKKGIIADLEALLKQETSFLSHELIAALSAKSEALNIMQRHKNFAVIPVVVHEAKIVELYTQSLLGVSMSEGNKTFVIADKGLRMSGSKFGNGYLGVPVHGNMTVKDSFGFSLMKHLKDSTMGNGNDTFTLCIHDNIVTKDIWIQKANVDPDYANDILEAVASFPWTHSTSNTHLFKPCLASLRIGRPPQAAM
+>sp|Q8TE76|MORC4_HUMAN MORC family CW-type zinc finger protein 4 OS=Homo sapiens OX=9606 GN=MORC4 PE=1 SV=2
+MLLYRGAPAGPGAPGCGLARPGGGPQAFGIRLSTMSPRYLQSNSSSHTRPFSAIAELLDNAVDPDVSARTVFIDVEEVKNKSCLTFTDDGCGMTPHKLHRMLSFGFTDKVIKKSQCPIGVFGNGFKSGSMRLGKDALVFTKNGGTLTVGLLSQTYLECVQAQAVIVPIVPFNQQNKKMIITEDSLPSLEAILNYSIFNRENDLLAQFDAIPGKKGTRVLIWNIRRNKNGKSELDFDTDQYDILVSDFDTEEKMTGGVTSELPETEYSLRAFCGILYMKPRMKIFLRQKKVTTQMIAKSLANVEYDTYKPTFTNKQVRITFGFSCKNSNQFGIMMYHNNRLIKSFEKVGCQVKPTRGEGVGVIGVIECNFLKPAYNKQDFEYTKEYRLTINALAQKLNAYWKEKTSQDNFETSTVARPIPKVPDQTWVQCDECLKWRKLPGKIDPSMLPARWFCYYNSHPKYRRCSVPEEQELTDEDLCLSKAKKQEQTVEEKKKMPMENENHQVFSNPPKILTVQEMAGLNNKTIGYEGIHSPSVLPSGGEESRSPSLQLKPLDSSVLQFSSKYKWILGEEPVEKRRRLQNEMTTPSLDYSMPAPYRRVEAPVAYPEGENSHDKSSSERSTPPYLFPEYPEASKNTGQNREVSILYPGAKDQRQGSLLPEELEDQMPRLVAEESNRGSTTINKEEVNKGPFVAVVGVAKGVRDSGAPIQLIPFNREELAERRKAVESWNPVPYSVASAAIPAAAIGEKARGYEESEGHNTPKLKNQRELEELKRTTEKLERVLAERNLFQQKVEELEQERNHWQSEFKKVQHELVIYSTQEAEGLYWSKKHMGYRQAEFQILKAELERTKEEKQELKEKLKETETHLEMLQKAQVSYRTPEGDDLERALAKLTRLRIHVSYLLTSVLPHLELREIGYDSEQVDGILYTVLEANHILD
+>DECOY_sp|Q8TE76|MORC4_HUMAN MORC family CW-type zinc finger protein 4 OS=Homo sapiens OX=9606 GN=MORC4 PE=1 SV=2
+DLIHNAELVTYLIGDVQESDYGIERLELHPLVSTLLYSVHIRLRTLKALARELDDGEPTRYSVQAKQLMELHTETEKLKEKLEQKEEKTRELEAKLIQFEAQRYGMHKKSWYLGEAEQTSYIVLEHQVKKFESQWHNREQELEEVKQQFLNREALVRELKETTRKLEELERQNKLKPTNHGESEEYGRAKEGIAAAPIAASAVSYPVPNWSEVAKRREALEERNFPILQIPAGSDRVGKAVGVVAVFPGKNVEEKNITTSGRNSEEAVLRPMQDELEEPLLSGQRQDKAGPYLISVERNQGTNKSAEPYEPFLYPPTSRESSSKDHSNEGEPYAVPAEVRRYPAPMSYDLSPTTMENQLRRRKEVPEEGLIWKYKSSFQLVSSDLPKLQLSPSRSEEGGSPLVSPSHIGEYGITKNNLGAMEQVTLIKPPNSFVQHNENEMPMKKKEEVTQEQKKAKSLCLDEDTLEQEEPVSCRRYKPHSNYYCFWRAPLMSPDIKGPLKRWKLCEDCQVWTQDPVKPIPRAVTSTEFNDQSTKEKWYANLKQALANITLRYEKTYEFDQKNYAPKLFNCEIVGIVGVGEGRTPKVQCGVKEFSKILRNNHYMMIGFQNSNKCSFGFTIRVQKNTFTPKYTDYEVNALSKAIMQTTVKKQRLFIKMRPKMYLIGCFARLSYETEPLESTVGGTMKEETDFDSVLIDYQDTDFDLESKGNKNRRINWILVRTGKKGPIADFQALLDNERNFISYNLIAELSPLSDETIIMKKNQQNFPVIPVIVAQAQVCELYTQSLLGVTLTGGNKTFVLADKGLRMSGSKFGNGFVGIPCQSKKIVKDTFGFSLMRHLKHPTMGCGDDTFTLCSKNKVEEVDIFVTRASVDPDVANDLLEAIASFPRTHSSSNSQLYRPSMTSLRIGFAQPGGGPRALGCGPAGPGAPAGRYLLM
+>sp|Q6PF18|MORN3_HUMAN MORN repeat-containing protein 3 OS=Homo sapiens OX=9606 GN=MORN3 PE=1 SV=2
+MPVSKCPKKSESLWKGWDRKAQRNGLRSQVYAVNGDYYVGEWKDNVKHGKGTQVWKKKGAIYEGDWKFGKRDGYGTLSLPDQQTGKCRRVYSGWWKGDKKSGYGIQFFGPKEYYEGDWCGSQRSGWGRMYYSNGDIYEGQWENDKPNGEGMLRLKNGNRYEGCWERGMKNGAGRFFHLDHGQLFEGFWVDNMAKCGTMIDFGRDEAPEPTQFPIPEVKILDPDGVLAEALAMFRKTEEGD
+>DECOY_sp|Q6PF18|MORN3_HUMAN MORN repeat-containing protein 3 OS=Homo sapiens OX=9606 GN=MORN3 PE=1 SV=2
+DGEETKRFMALAEALVGDPDLIKVEPIPFQTPEPAEDRGFDIMTGCKAMNDVWFGEFLQGHDLHFFRGAGNKMGREWCGEYRNGNKLRLMGEGNPKDNEWQGEYIDGNSYYMRGWGSRQSGCWDGEYYEKPGFFQIGYGSKKDGKWWGSYVRRCKGTQQDPLSLTGYGDRKGFKWDGEYIAGKKKWVQTGKGHKVNDKWEGVYYDGNVAYVQSRLGNRQAKRDWGKWLSESKKPCKSVPM
+>sp|Q8NDC4|MORN4_HUMAN MORN repeat-containing protein 4 OS=Homo sapiens OX=9606 GN=MORN4 PE=1 SV=1
+MTLTKGSFTYSSGEEYRGEWKEGRRHGFGQLMFADGGTYLGHFENGLFNGFGVLTFSDGSRYEGEFAQGKFNGVGVFIRYDNMTFEGEFKNGRVDGFGLLTFPDGSHGIPRNEGLFENNKLLRREKCSAIVQRAQSASKSARNLTA
+>DECOY_sp|Q8NDC4|MORN4_HUMAN MORN repeat-containing protein 4 OS=Homo sapiens OX=9606 GN=MORN4 PE=1 SV=1
+ATLNRASKSASQARQVIASCKERRLLKNNEFLGENRPIGHSGDPFTLLGFGDVRGNKFEGEFTMNDYRIFVGVGNFKGQAFEGEYRSGDSFTLVGFGNFLGNEFHGLYTGGDAFMLQGFGHRRGEKWEGRYEEGSSYTFSGKTLTM
+>sp|Q8NHV5|MOSMO_HUMAN Modulator of smoothened protein OS=Homo sapiens OX=9606 GN=MOSMO PE=2 SV=3
+MDKLTIISGCLFLAADIFAIASIANPDWINTGESAGALTVGLVRQCQTIHGRDRTCIPPRLPPEWVTTLFFIIMGIISLTVTCGLLVASHWRREATKYARWIAFTGMILFCMAALIFPIGFYINEVGGQPYKLPNNTVVGSSYVLFVLSIFFTIVGLLFAGKVCLPG
+>DECOY_sp|Q8NHV5|MOSMO_HUMAN Modulator of smoothened protein OS=Homo sapiens OX=9606 GN=MOSMO PE=2 SV=3
+GPLCVKGAFLLGVITFFISLVFLVYSSGVVTNNPLKYPQGGVENIYFGIPFILAAMCFLIMGTFAIWRAYKTAERRWHSAVLLGCTVTLSIIGMIIFFLTTVWEPPLRPPICTRDRGHITQCQRVLGVTLAGASEGTNIWDPNAISAIAFIDAALFLCGSIITLKDM
+>sp|O95563|MPC2_HUMAN Mitochondrial pyruvate carrier 2 OS=Homo sapiens OX=9606 GN=MPC2 PE=1 SV=1
+MSAAGARGLRATYHRLLDKVELMLPEKLRPLYNHPAGPRTVFFWAPIMKWGLVCAGLADMARPAEKLSTAQSAVLMATGFIWSRYSLVIIPKNWSLFAVNFFVGAAGASQLFRIWRYNQELKAKAHK
+>DECOY_sp|O95563|MPC2_HUMAN Mitochondrial pyruvate carrier 2 OS=Homo sapiens OX=9606 GN=MPC2 PE=1 SV=1
+KHAKAKLEQNYRWIRFLQSAGAAGVFFNVAFLSWNKPIIVLSYRSWIFGTAMLVASQATSLKEAPRAMDALGACVLGWKMIPAWFFVTRPGAPHNYLPRLKEPLMLEVKDLLRHYTARLGRAGAASM
+>sp|Q13368|MPP3_HUMAN MAGUK p55 subfamily member 3 OS=Homo sapiens OX=9606 GN=MPP3 PE=1 SV=2
+MPVLSEDSGLHETLALLTSQLRPDSNHKEEMGFLRDVFSEKSLSYLMKIHEKLRYYERQSPTPVLHSAVALAEDVMEELQAASVHSDERELLQLLSTPHLRAVLMVHDTVAQKNFDPVLPPLPDNIDEDFDEESVKIVRLVKNKEPLGATIRRDEHSGAVVVARIMRGGAADRSGLVHVGDELREVNGIAVLHKRPDEISQILAQSQGSITLKIIPATQEEDRLKESKVFMRALFHYNPREDRAIPCQEAGLPFQRRQVLEVVSQDDPTWWQAKRVGDTNLRAGLIPSKGFQERRLSYRRAAGTLPSPQSLRKPPYDQPCDKETCDCEGYLKGHYVAGLRRSFRLGCRERLGGSQEGKMSSGAESPELLTYEEVARYQHQPGERPRLVVLIGSLGARLHELKQKVVAENPQHFGVAVPHTTRPRKSHEKEGVEYHFVSKQAFEADLHHNKFLEHGEYKENLYGTSLEAIQAVMAKNKVCLVDVEPEALKQLRTSEFKPYIIFVKPAIQEKRKTPPMSPACEDTAAPFDEQQQEMAASAAFIDRHYGHLVDAVLVKEDLQGAYSQLKVVLEKLSKDTHWVPVSWVR
+>DECOY_sp|Q13368|MPP3_HUMAN MAGUK p55 subfamily member 3 OS=Homo sapiens OX=9606 GN=MPP3 PE=1 SV=2
+RVWSVPVWHTDKSLKELVVKLQSYAGQLDEKVLVADVLHGYHRDIFAASAAMEQQQEDFPAATDECAPSMPPTKRKEQIAPKVFIIYPKFESTRLQKLAEPEVDVLCVKNKAMVAQIAELSTGYLNEKYEGHELFKNHHLDAEFAQKSVFHYEVGEKEHSKRPRTTHPVAVGFHQPNEAVVKQKLEHLRAGLSGILVVLRPREGPQHQYRAVEEYTLLEPSEAGSSMKGEQSGGLRERCGLRFSRRLGAVYHGKLYGECDCTEKDCPQDYPPKRLSQPSPLTGAARRYSLRREQFGKSPILGARLNTDGVRKAQWWTPDDQSVVELVQRRQFPLGAEQCPIARDERPNYHFLARMFVKSEKLRDEEQTAPIIKLTISGQSQALIQSIEDPRKHLVAIGNVERLEDGVHVLGSRDAAGGRMIRAVVVAGSHEDRRITAGLPEKNKVLRVIKVSEEDFDEDINDPLPPLVPDFNKQAVTDHVMLVARLHPTSLLQLLEREDSHVSAAQLEEMVDEALAVASHLVPTPSQREYYRLKEHIKMLYSLSKESFVDRLFGMEEKHNSDPRLQSTLLALTEHLGSDESLVPM
+>sp|Q5T2T1|MPP7_HUMAN MAGUK p55 subfamily member 7 OS=Homo sapiens OX=9606 GN=MPP7 PE=1 SV=1
+MPALSTGSGSDTGLYELLAALPAQLQPHVDSQEDLTFLWDMFGEKSLHSLVKIHEKLHYYEKQSPVPILHGAAALADDLAEELQNKPLNSEIRELLKLLSKPNVKALLSVHDTVAQKNYDPVLPPMPEDIDDEEDSVKIIRLVKNREPLGATIKKDEQTGAIIVARIMRGGAADRSGLIHVGDELREVNGIPVEDKRPEEIIQILAQSQGAITFKIIPGSKEETPSKEGKMFIKALFDYNPNEDKAIPCKEAGLSFKKGDILQIMSQDDATWWQAKHEADANPRAGLIPSKHFQERRLALRRPEILVQPLKVSNRKSSGFRKSFRLSRKDKKTNKSMYECKKSDQYDTADVPTYEEVTPYRRQTNEKYRLVVLVGPVGVGLNELKRKLLISDTQHYGVTVPHTTRARRSQESDGVEYIFISKHLFETDVQNNKFIEYGEYKNNYYGTSIDSVRSVLAKNKVCLLDVQPHTVKHLRTLEFKPYVIFIKPPSIERLRETRKNAKIISSRDDQGAAKPFTEEDFQEMIKSAQIMESQYGHLFDKIIINDDLTVAFNELKTTFDKLETETHWVPVSWLHS
+>DECOY_sp|Q5T2T1|MPP7_HUMAN MAGUK p55 subfamily member 7 OS=Homo sapiens OX=9606 GN=MPP7 PE=1 SV=1
+SHLWSVPVWHTETELKDFTTKLENFAVTLDDNIIIKDFLHGYQSEMIQASKIMEQFDEETFPKAAGQDDRSSIIKANKRTERLREISPPKIFIVYPKFELTRLHKVTHPQVDLLCVKNKALVSRVSDISTGYYNNKYEGYEIFKNNQVDTEFLHKSIFIYEVGDSEQSRRARTTHPVTVGYHQTDSILLKRKLENLGVGVPGVLVVLRYKENTQRRYPTVEEYTPVDATDYQDSKKCEYMSKNTKKDKRSLRFSKRFGSSKRNSVKLPQVLIEPRRLALRREQFHKSPILGARPNADAEHKAQWWTADDQSMIQLIDGKKFSLGAEKCPIAKDENPNYDFLAKIFMKGEKSPTEEKSGPIIKFTIAGQSQALIQIIEEPRKDEVPIGNVERLEDGVHILGSRDAAGGRMIRAVIIAGTQEDKKITAGLPERNKVLRIIKVSDEEDDIDEPMPPLVPDYNKQAVTDHVSLLAKVNPKSLLKLLERIESNLPKNQLEEALDDALAAAGHLIPVPSQKEYYHLKEHIKVLSHLSKEGFMDWLFTLDEQSDVHPQLQAPLAALLEYLGTDSGSGTSLAPM
+>sp|Q53F39|MPPE1_HUMAN Metallophosphoesterase 1 OS=Homo sapiens OX=9606 GN=MPPE1 PE=1 SV=2
+MAMIELGFGRQNFHPLKRKSSLLLKLIAVVFAVLLFCEFLIYYLAIFQCNWPEVKTTASDGEQTTREPVLKAMFLADTHLLGEFLGHWLDKLRREWQMERAFQTALWLLQPEVVFILGDIFDEGKWSTPEAWADDVERFQKMFRHPSHVQLKVVAGNHDIGFHYEMNTYKVERFEKVFSSERLFSWKGINFVMVNSVALNGDGCGICSETEAELIEVSHRLNCSREARGSSRCGPGPLLPTSAPVLLQHYPLYRRSDANCSGEDAAPAEERDIPFKENYDVLSREASQKLLWWLQPRLVLSGHTHSACEVHHGGRVPELSVPSFSWRNRNNPSFIMGSITPTDYTLSKCYLPREDVVLIIYCGVVGFLVVLTLTHFGLLASPFLSGLNLLGKRKTR
+>DECOY_sp|Q53F39|MPPE1_HUMAN Metallophosphoesterase 1 OS=Homo sapiens OX=9606 GN=MPPE1 PE=1 SV=2
+RTKRKGLLNLGSLFPSALLGFHTLTLVVLFGVVGCYIILVVDERPLYCKSLTYDTPTISGMIFSPNNRNRWSFSPVSLEPVRGGHHVECASHTHGSLVLRPQLWWLLKQSAERSLVDYNEKFPIDREEAPAADEGSCNADSRRYLPYHQLLVPASTPLLPGPGCRSSGRAERSCNLRHSVEILEAETESCIGCGDGNLAVSNVMVFNIGKWSFLRESSFVKEFREVKYTNMEYHFGIDHNGAVVKLQVHSPHRFMKQFREVDDAWAEPTSWKGEDFIDGLIFVVEPQLLWLATQFAREMQWERRLKDLWHGLFEGLLHTDALFMAKLVPERTTQEGDSATTKVEPWNCQFIALYYILFECFLLVAFVVAILKLLLSSKRKLPHFNQRGFGLEIMAM
+>sp|P11717|MPRI_HUMAN Cation-independent mannose-6-phosphate receptor OS=Homo sapiens OX=9606 GN=IGF2R PE=1 SV=3
+MGAAAGRSPHLGPAPARRPQRSLLLLQLLLLVAAPGSTQAQAAPFPELCSYTWEAVDTKNNVLYKINICGSVDIVQCGPSSAVCMHDLKTRTYHSVGDSVLRSATRSLLEFNTTVSCDQQGTNHRVQSSIAFLCGKTLGTPEFVTATECVHYFEWRTTAACKKDIFKANKEVPCYVFDEELRKHDLNPLIKLSGAYLVDDSDPDTSLFINVCRDIDTLRDPGSQLRACPPGTAACLVRGHQAFDVGQPRDGLKLVRKDRLVLSYVREEAGKLDFCDGHSPAVTITFVCPSERREGTIPKLTAKSNCRYEIEWITEYACHRDYLESKTCSLSGEQQDVSIDLTPLAQSGGSSYISDGKEYLFYLNVCGETEIQFCNKKQAAVCQVKKSDTSQVKAAGRYHNQTLRYSDGDLTLIYFGGDECSSGFQRMSVINFECNKTAGNDGKGTPVFTGEVDCTYFFTWDTEYACVKEKEDLLCGATDGKKRYDLSALVRHAEPEQNWEAVDGSQTETEKKHFFINICHRVLQEGKARGCPEDAAVCAVDKNGSKNLGKFISSPMKEKGNIQLSYSDGDDCGHGKKIKTNITLVCKPGDLESAPVLRTSGEGGCFYEFEWHTAAACVLSKTEGENCTVFDSQAGFSFDLSPLTKKNGAYKVETKKYDFYINVCGPVSVSPCQPDSGACQVAKSDEKTWNLGLSNAKLSYYDGMIQLNYRGGTPYNNERHTPRATLITFLCDRDAGVGFPEYQEEDNSTYNFRWYTSYACPEEPLECVVTDPSTLEQYDLSSLAKSEGGLGGNWYAMDNSGEHVTWRKYYINVCRPLNPVPGCNRYASACQMKYEKDQGSFTEVVSISNLGMAKTGPVVEDSGSLLLEYVNGSACTTSDGRQTTYTTRIHLVCSRGRLNSHPIFSLNWECVVSFLWNTEAACPIQTTTDTDQACSIRDPNSGFVFNLNPLNSSQGYNVSGIGKIFMFNVCGTMPVCGTILGKPASGCEAETQTEELKNWKPARPVGIEKSLQLSTEGFITLTYKGPLSAKGTADAFIVRFVCNDDVYSGPLKFLHQDIDSGQGIRNTYFEFETALACVPSPVDCQVTDLAGNEYDLTGLSTVRKPWTAVDTSVDGRKRTFYLSVCNPLPYIPGCQGSAVGSCLVSEGNSWNLGVVQMSPQAAANGSLSIMYVNGDKCGNQRFSTRITFECAQISGSPAFQLQDGCEYVFIWRTVEACPVVRVEGDNCEVKDPRHGNLYDLKPLGLNDTIVSAGEYTYYFRVCGKLSSDVCPTSDKSKVVSSCQEKREPQGFHKVAGLLTQKLTYENGLLKMNFTGGDTCHKVYQRSTAIFFYCDRGTQRPVFLKETSDCSYLFEWRTQYACPPFDLTECSFKDGAGNSFDLSSLSRYSDNWEAITGTGDPEHYLINVCKSLAPQAGTEPCPPEAAACLLGGSKPVNLGRVRDGPQWRDGIIVLKYVDGDLCPDGIRKKSTTIRFTCSESQVNSRPMFISAVEDCEYTFAWPTATACPMKSNEHDDCQVTNPSTGHLFDLSSLSGRAGFTAAYSEKGLVYMSICGENENCPPGVGACFGQTRISVGKANKRLRYVDQVLQLVYKDGSPCPSKSGLSYKSVISFVCRPEARPTNRPMLISLDKQTCTLFFSWHTPLACEQATECSVRNGSSIVDLSPLIHRTGGYEAYDESEDDASDTNPDFYINICQPLNPMHGVPCPAGAAVCKVPIDGPPIDIGRVAGPPILNPIANEIYLNFESSTPCLADKHFNYTSLIAFHCKRGVSMGTPKLLRTSECDFVFEWETPVVCPDEVRMDGCTLTDEQLLYSFNLSSLSTSTFKVTRDSRTYSVGVCTFAVGPEQGGCKDGGVCLLSGTKGASFGRLQSMKLDYRHQDEAVVLSYVNGDRCPPETDDGVPCVFPFIFNGKSYEECIIESRAKLWCSTTADYDRDHEWGFCRHSNSYRTSSIIFKCDEDEDIGRPQVFSEVRGCDVTFEWKTKVVCPPKKLECKFVQKHKTYDLRLLSSLTGSWSLVHNGVSYYINLCQKIYKGPLGCSERASICRRTTTGDVQVLGLVHTQKLGVIGDKVVVTYSKGYPCGGNKTASSVIELTCTKTVGRPAFKRFDIDSCTYYFSWDSRAACAVKPQEVQMVNGTITNPINGKSFSLGDIYFKLFRASGDMRTNGDNYLYEIQLSSITSSRNPACSGANICQVKPNDQHFSRKVGTSDKTKYYLQDGDLDVVFASSSKCGKDKTKSVSSTIFFHCDPLVEDGIPEFSHETADCQYLFSWYTSAVCPLGVGFDSENPGDDGQMHKGLSERSQAVGAVLSLLLVALTCCLLALLLYKKERRETVISKLTTCCRRSSNVSYKYSKVNKEEETDENETEWLMEEIQLPPPRQGKEGQENGHITTKSVKALSSLHGDDQDSEDEVLTIPEVKVHSGRGAGAESSHPVRNAQSNALQEREDDRVGLVRGEKARKGKSSSAQQKTVSSTKLVSFHDDSDEDLLHI
+>DECOY_sp|P11717|MPRI_HUMAN Cation-independent mannose-6-phosphate receptor OS=Homo sapiens OX=9606 GN=IGF2R PE=1 SV=3
+IHLLDEDSDDHFSVLKTSSVTKQQASSSKGKRAKEGRVLGVRDDEREQLANSQANRVPHSSEAGAGRGSHVKVEPITLVEDESDQDDGHLSSLAKVSKTTIHGNEQGEKGQRPPPLQIEEMLWETENEDTEEEKNVKSYKYSVNSSRRCCTTLKSIVTERREKKYLLLALLCCTLAVLLLSLVAGVAQSRESLGKHMQGDDGPNESDFGVGLPCVASTYWSFLYQCDATEHSFEPIGDEVLPDCHFFITSSVSKTKDKGCKSSSAFVVDLDGDQLYYKTKDSTGVKRSFHQDNPKVQCINAGSCAPNRSSTISSLQIEYLYNDGNTRMDGSARFLKFYIDGLSFSKGNIPNTITGNVMQVEQPKVACAARSDWSFYYTCSDIDFRKFAPRGVTKTCTLEIVSSATKNGGCPYGKSYTVVVKDGIVGLKQTHVLGLVQVDGTTTRRCISARESCGLPGKYIKQCLNIYYSVGNHVLSWSGTLSSLLRLDYTKHKQVFKCELKKPPCVVKTKWEFTVDCGRVESFVQPRGIDEDEDCKFIISSTRYSNSHRCFGWEHDRDYDATTSCWLKARSEIICEEYSKGNFIFPFVCPVGDDTEPPCRDGNVYSLVVAEDQHRYDLKMSQLRGFSAGKTGSLLCVGGDKCGGQEPGVAFTCVGVSYTRSDRTVKFTSTSLSSLNFSYLLQEDTLTCGDMRVEDPCVVPTEWEFVFDCESTRLLKPTGMSVGRKCHFAILSTYNFHKDALCPTSSEFNLYIENAIPNLIPPGAVRGIDIPPGDIPVKCVAAGAPCPVGHMPNLPQCINIYFDPNTDSADDESEDYAEYGGTRHILPSLDVISSGNRVSCETAQECALPTHWSFFLTCTQKDLSILMPRNTPRAEPRCVFSIVSKYSLGSKSPCPSGDKYVLQLVQDVYRLRKNAKGVSIRTQGFCAGVGPPCNENEGCISMYVLGKESYAATFGARGSLSSLDFLHGTSPNTVQCDDHENSKMPCATATPWAFTYECDEVASIFMPRSNVQSESCTFRITTSKKRIGDPCLDGDVYKLVIIGDRWQPGDRVRGLNVPKSGGLLCAAAEPPCPETGAQPALSKCVNILYHEPDGTGTIAEWNDSYRSLSSLDFSNGAGDKFSCETLDFPPCAYQTRWEFLYSCDSTEKLFVPRQTGRDCYFFIATSRQYVKHCTDGGTFNMKLLGNEYTLKQTLLGAVKHFGQPERKEQCSSVVKSKDSTPCVDSSLKGCVRFYYTYEGASVITDNLGLPKLDYLNGHRPDKVECNDGEVRVVPCAEVTRWIFVYECGDQLQFAPSGSIQACEFTIRTSFRQNGCKDGNVYMISLSGNAAAQPSMQVVGLNWSNGESVLCSGVASGQCGPIYPLPNCVSLYFTRKRGDVSTDVATWPKRVTSLGTLDYENGALDTVQCDVPSPVCALATEFEFYTNRIGQGSDIDQHLFKLPGSYVDDNCVFRVIFADATGKASLPGKYTLTIFGETSLQLSKEIGVPRAPKWNKLEETQTEAECGSAPKGLITGCVPMTGCVNFMFIKGIGSVNYGQSSNLPNLNFVFGSNPDRISCAQDTDTTTQIPCAAETNWLFSVVCEWNLSFIPHSNLRGRSCVLHIRTTYTTQRGDSTTCASGNVYELLLSGSDEVVPGTKAMGLNSISVVETFSGQDKEYKMQCASAYRNCGPVPNLPRCVNIYYKRWTVHEGSNDMAYWNGGLGGESKALSSLDYQELTSPDTVVCELPEEPCAYSTYWRFNYTSNDEEQYEPFGVGADRDCLFTILTARPTHRENNYPTGGRYNLQIMGDYYSLKANSLGLNWTKEDSKAVQCAGSDPQCPSVSVPGCVNIYFDYKKTEVKYAGNKKTLPSLDFSFGAQSDFVTCNEGETKSLVCAAATHWEFEYFCGGEGSTRLVPASELDGPKCVLTINTKIKKGHGCDDGDSYSLQINGKEKMPSSIFKGLNKSGNKDVACVAADEPCGRAKGEQLVRHCINIFFHKKETETQSGDVAEWNQEPEAHRVLASLDYRKKGDTAGCLLDEKEKVCAYETDWTFFYTCDVEGTFVPTGKGDNGATKNCEFNIVSMRQFGSSCEDGGFYILTLDGDSYRLTQNHYRGAAKVQSTDSKKVQCVAAQKKNCFQIETEGCVNLYFLYEKGDSIYSSGGSQALPTLDISVDQQEGSLSCTKSELYDRHCAYETIWEIEYRCNSKATLKPITGERRESPCVFTITVAPSHGDCFDLKGAEERVYSLVLRDKRVLKLGDRPQGVDFAQHGRVLCAATGPPCARLQSGPDRLTDIDRCVNIFLSTDPDSDDVLYAGSLKILPNLDHKRLEEDFVYCPVEKNAKFIDKKCAATTRWEFYHVCETATVFEPTGLTKGCLFAISSQVRHNTGQQDCSVTTNFELLSRTASRLVSDGVSHYTRTKLDHMCVASSPGCQVIDVSGCINIKYLVNNKTDVAEWTYSCLEPFPAAQAQTSGPAAVLLLLQLLLLSRQPRRAPAPGLHPSRGAAAGM
+>sp|O60487|MPZL2_HUMAN Myelin protein zero-like protein 2 OS=Homo sapiens OX=9606 GN=MPZL2 PE=1 SV=1
+MYGKSSTRAVLLLLGIQLTALWPIAAVEIYTSRVLEAVNGTDARLKCTFSSFAPVGDALTVTWNFRPLDGGPEQFVFYYHIDPFQPMSGRFKDRVSWDGNPERYDASILLWKLQFDDNGTYTCQVKNPPDVDGVIGEIRLSVVHTVRFSEIHFLALAIGSACALMIIIVIVVVLFQHYRKKRWAERAHKVVEIKSKEEERLNQEKKVSVYLEDTD
+>DECOY_sp|O60487|MPZL2_HUMAN Myelin protein zero-like protein 2 OS=Homo sapiens OX=9606 GN=MPZL2 PE=1 SV=1
+DTDELYVSVKKEQNLREEEKSKIEVVKHAREAWRKKRYHQFLVVVIVIIIMLACASGIALALFHIESFRVTHVVSLRIEGIVGDVDPPNKVQCTYTGNDDFQLKWLLISADYREPNGDWSVRDKFRGSMPQFPDIHYYFVFQEPGGDLPRFNWTVTLADGVPAFSSFTCKLRADTGNVAELVRSTYIEVAAIPWLATLQIGLLLLVARTSSKGYM
+>sp|Q96G30|MRAP2_HUMAN Melanocortin-2 receptor accessory protein 2 OS=Homo sapiens OX=9606 GN=MRAP2 PE=1 SV=2
+MSAQRLISNRTSQQSASNSDYTWEYEYYEIGPVSFEGLKAHKYSIVIGFWVGLAVFVIFMFFVLTLLTKTGAPHQDNAESSEKRFRMNSFVSDFGRPLEPDKVFSRQGNEESRSLFHCYINEVERLDRAKACHQTTALDSDVQLQEAIRSSGQPEEELNRLMKFDIPNFVNTDQNYFGEDDLLISEPPIVLETKPLSQTSHKDLD
+>DECOY_sp|Q96G30|MRAP2_HUMAN Melanocortin-2 receptor accessory protein 2 OS=Homo sapiens OX=9606 GN=MRAP2 PE=1 SV=2
+DLDKHSTQSLPKTELVIPPESILLDDEGFYNQDTNVFNPIDFKMLRNLEEEPQGSSRIAEQLQVDSDLATTQHCAKARDLREVENIYCHFLSRSEENGQRSFVKDPELPRGFDSVFSNMRFRKESSEANDQHPAGTKTLLTLVFFMFIVFVALGVWFGIVISYKHAKLGEFSVPGIEYYEYEWTYDSNSASQQSTRNSILRQASM
+>sp|Q8TDS7|MRGRD_HUMAN Mas-related G-protein coupled receptor member D OS=Homo sapiens OX=9606 GN=MRGPRD PE=2 SV=1
+MNQTLNSSGTVESALNYSRGSTVHTAYLVLSSLAMFTCLCGMAGNSMVIWLLGFRMHRNPFCIYILNLAAADLLFLFSMASTLSLETQPLVNTTDKVHELMKRLMYFAYTVGLSLLTAISTQRCLSVLFPIWFKCHRPRHLSAWVCGLLWTLCLLMNGLTSSFCSKFLKFNEDRCFRVDMVQAALIMGVLTPVMTLSSLTLFVWVRRSSQQWRRQPTRLFVVVLASVLVFLICSLPLSIYWFVLYWLSLPPEMQVLCFSLSRLSSSVSSSANPVIYFLVGSRRSHRLPTRSLGTVLQQALREEPELEGGETPTVGTNEMGA
+>DECOY_sp|Q8TDS7|MRGRD_HUMAN Mas-related G-protein coupled receptor member D OS=Homo sapiens OX=9606 GN=MRGPRD PE=2 SV=1
+AGMENTGVTPTEGGELEPEERLAQQLVTGLSRTPLRHSRRSGVLFYIVPNASSSVSSSLRSLSFCLVQMEPPLSLWYLVFWYISLPLSCILFVLVSALVVVFLRTPQRRWQQSSRRVWVFLTLSSLTMVPTLVGMILAAQVMDVRFCRDENFKLFKSCFSSTLGNMLLCLTWLLGCVWASLHRPRHCKFWIPFLVSLCRQTSIATLLSLGVTYAFYMLRKMLEHVKDTTNVLPQTELSLTSAMSFLFLLDAAALNLIYICFPNRHMRFGLLWIVMSNGAMGCLCTFMALSSLVLYATHVTSGRSYNLASEVTGSSNLTQNM
+>sp|Q96LB1|MRGX2_HUMAN Mas-related G-protein coupled receptor member X2 OS=Homo sapiens OX=9606 GN=MRGPRX2 PE=1 SV=1
+MDPTTPAWGTESTTVNGNDQALLLLCGKETLIPVFLILFIALVGLVGNGFVLWLLGFRMRRNAFSVYVLSLAGADFLFLCFQIINCLVYLSNFFCSISINFPSFFTTVMTCAYLAGLSMLSTVSTERCLSVLWPIWYRCRRPRHLSAVVCVLLWALSLLLSILEGKFCGFLFSDGDSGWCQTFDFITAAWLIFLFMVLCGSSLALLVRILCGSRGLPLTRLYLTILLTVLVFLLCGLPFGIQWFLILWIWKDSDVLFCHIHPVSVVLSSLNSSANPIIYFFVGSFRKQWRLQQPILKLALQRALQDIAEVDHSEGCFRQGTPEMSRSSLV
+>DECOY_sp|Q96LB1|MRGX2_HUMAN Mas-related G-protein coupled receptor member X2 OS=Homo sapiens OX=9606 GN=MRGPRX2 PE=1 SV=1
+VLSSRSMEPTGQRFCGESHDVEAIDQLARQLALKLIPQQLRWQKRFSGVFFYIIPNASSNLSSLVVSVPHIHCFLVDSDKWIWLILFWQIGFPLGCLLFVLVTLLITLYLRTLPLGRSGCLIRVLLALSSGCLVMFLFILWAATIFDFTQCWGSDGDSFLFGCFKGELISLLLSLAWLLVCVVASLHRPRRCRYWIPWLVSLCRETSVTSLMSLGALYACTMVTTFFSPFNISISCFFNSLYVLCNIIQFCLFLFDAGALSLVYVSFANRRMRFGLLWLVFGNGVLGVLAIFLILFVPILTEKGCLLLLAQDNGNVTTSETGWAPTTPDM
+>sp|Q96LB0|MRGX3_HUMAN Mas-related G-protein coupled receptor member X3 OS=Homo sapiens OX=9606 GN=MRGPRX3 PE=2 SV=2
+MDSTIPVLGTELTPINGREETPCYKQTLSFTGLTCIVSLVALTGNAVVLWLLGCRMRRNAVSIYILNLVAADFLFLSGHIICSPLRLINIRHPISKILSPVMTFPYFIGLSMLSAISTERCLSILWPIWYHCRRPRYLSSVMCVLLWALSLLRSILEWMFCDFLFSGANSVWCETSDFITIAWLVFLCVVLCGSSLVLLVRILCGSRKMPLTRLYVTILLTVLVFLLCGLPFGIQWALFSRIHLDWKVLFCHVHLVSIFLSALNSSANPIIYFFVGSFRQRQNRQNLKLVLQRALQDTPEVDEGGGWLPQETLELSGSRLEQ
+>DECOY_sp|Q96LB0|MRGX3_HUMAN Mas-related G-protein coupled receptor member X3 OS=Homo sapiens OX=9606 GN=MRGPRX3 PE=2 SV=2
+QELRSGSLELTEQPLWGGGEDVEPTDQLARQLVLKLNQRNQRQRFSGVFFYIIPNASSNLASLFISVLHVHCFLVKWDLHIRSFLAWQIGFPLGCLLFVLVTLLITVYLRTLPMKRSGCLIRVLLVLSSGCLVVCLFVLWAITIFDSTECWVSNAGSFLFDCFMWELISRLLSLAWLLVCMVSSLYRPRRCHYWIPWLISLCRETSIASLMSLGIFYPFTMVPSLIKSIPHRINILRLPSCIIHGSLFLFDAAVLNLIYISVANRRMRCGLLWLVVANGTLAVLSVICTLGTFSLTQKYCPTEERGNIPTLETGLVPITSDM
+>sp|Q6IN84|MRM1_HUMAN rRNA methyltransferase 1, mitochondrial OS=Homo sapiens OX=9606 GN=MRM1 PE=1 SV=1
+MALLSTVRGATWGRLVTRHFSHAARHGERPGGEELSRLLLDDLVPTSRLELLFGMTPCLLALQAARRSVARLLLQAGKAGLQGKRAELLRMAEARDIPVLRPRRQKLDTMCRYQVHQGVCMEVSPLRPRPWREAGEASPGDDPQQLWLVLDGIQDPRNFGAVLRSAHFLGVDKVITSRRNSCPLTPVVSKSSAGAMEVMDVFSTDDLTGFLQTKAQQGWLVAGTVGCPSTEDPQSSEIPIMSCLEFLWERPTLLVLGNEGSGLSQEVQASCQLLLTILPRRQLPPGLESLNVSVAAGILLHSICSQRKGFPTEGERRQLLQDPQEPSARSEGLSMAQHPGLSSGPEKERQNEG
+>DECOY_sp|Q6IN84|MRM1_HUMAN rRNA methyltransferase 1, mitochondrial OS=Homo sapiens OX=9606 GN=MRM1 PE=1 SV=1
+GENQREKEPGSSLGPHQAMSLGESRASPEQPDQLLQRREGETPFGKRQSCISHLLIGAAVSVNLSELGPPLQRRPLITLLLQCSAQVEQSLGSGENGLVLLTPREWLFELCSMIPIESSQPDETSPCGVTGAVLWGQQAKTQLFGTLDDTSFVDMVEMAGASSKSVVPTLPCSNRRSTIVKDVGLFHASRLVAGFNRPDQIGDLVLWLQQPDDGPSAEGAERWPRPRLPSVEMCVGQHVQYRCMTDLKQRRPRLVPIDRAEAMRLLEARKGQLGAKGAQLLLRAVSRRAAQLALLCPTMGFLLELRSTPVLDDLLLRSLEEGGPREGHRAAHSFHRTVLRGWTAGRVTSLLAM
+>sp|Q9HD23|MRS2_HUMAN Magnesium transporter MRS2 homolog, mitochondrial OS=Homo sapiens OX=9606 GN=MRS2 PE=1 SV=1
+MECLRSLPCLLPRAMRLPRRTLCALALDVTSVGPPVAACGRRANLIGRSRAAQLCGPDRLRVAGEVHRFRTSDVSQATLASVAPVFTVTKFDKQGNVTSFERKKTELYQELGLQARDLRFQHVMSITVRNNRIIMRMEYLKAVITPECLLILDYRNLNLEQWLFRELPSQLSGEGQLVTYPLPFEFRAIEALLQYWINTLQGKLSILQPLILETLDALVDPKHSSVDRSKLHILLQNGKSLSELETDIKIFKESILEILDEEELLEELCVSKWSDPQVFEKSSAGIDHAEEMELLLENYYRLADDLSNAARELRVLIDDSQSIIFINLDSHRNVMMRLNLQLTMGTFSLSLFGLMGVAFGMNLESSLEEDHRIFWLITGIMFMGSGLIWRRLLSFLGRQLEAPLPPMMASLPKKTLLADRSMELKNSLRLDGLGSGRSILTNR
+>DECOY_sp|Q9HD23|MRS2_HUMAN Magnesium transporter MRS2 homolog, mitochondrial OS=Homo sapiens OX=9606 GN=MRS2 PE=1 SV=1
+RNTLISRGSGLGDLRLSNKLEMSRDALLTKKPLSAMMPPLPAELQRGLFSLLRRWILGSGMFMIGTILWFIRHDEELSSELNMGFAVGMLGFLSLSFTGMTLQLNLRMMVNRHSDLNIFIISQSDDILVRLERAANSLDDALRYYNELLLEMEEAHDIGASSKEFVQPDSWKSVCLEELLEEEDLIELISEKFIKIDTELESLSKGNQLLIHLKSRDVSSHKPDVLADLTELILPQLISLKGQLTNIWYQLLAEIARFEFPLPYTVLQGEGSLQSPLERFLWQELNLNRYDLILLCEPTIVAKLYEMRMIIRNNRVTISMVHQFRLDRAQLGLEQYLETKKREFSTVNGQKDFKTVTFVPAVSALTAQSVDSTRFRHVEGAVRLRDPGCLQAARSRGILNARRGCAAVPPGVSTVDLALACLTRRPLRMARPLLCPLSRLCEM
+>sp|O43482|MS18B_HUMAN Protein Mis18-beta OS=Homo sapiens OX=9606 GN=OIP5 PE=1 SV=2
+MAAQPLRHRSRCATPPRGDFCGGTERAIDQASFTTSMEWDTQVVKGSSPLGPAGLGAEEPAAGPQLPSWLQPERCAVFQCAQCHAVLADSVHLAWDLSRSLGAVVFSRVTNNVVLEAPFLVGIEGSLKGSTYNLLFCGSCGIPVGFHLYSTHAALAALRGHFCLSSDKMVCYLLKTKAIVNASEMDIQNVPLSEKIAELKEKIVLTHNRLKSLMKILSEVTPDQSKPEN
+>DECOY_sp|O43482|MS18B_HUMAN Protein Mis18-beta OS=Homo sapiens OX=9606 GN=OIP5 PE=1 SV=2
+NEPKSQDPTVESLIKMLSKLRNHTLVIKEKLEAIKESLPVNQIDMESANVIAKTKLLYCVMKDSSLCFHGRLAALAAHTSYLHFGVPIGCSGCFLLNYTSGKLSGEIGVLFPAELVVNNTVRSFVVAGLSRSLDWALHVSDALVAHCQACQFVACREPQLWSPLQPGAAPEEAGLGAPGLPSSGKVVQTDWEMSTTFSAQDIARETGGCFDGRPPTACRSRHRLPQAAM
+>sp|P0C860|MS3L2_HUMAN Putative male-specific lethal-3 protein-like 2 OS=Homo sapiens OX=9606 GN=MSL3P1 PE=5 SV=1
+MPDRACAVGSVARALSRSRRYVCARDADASRRRRRPFNYGLSIEEKNENDENSLSSSSDSSEDKDEKISEECDIEEKTEVKEEPELQTKREMEERTVTLEIPEVLKRQLEDDCYYINRRKRLVQLPCHTNIITILESYVKHFAISAAFSANERPRHHHAMPHASMNVPYIPAEKNIDLCKEMVDGLRITFDYTLPLVLLYPYEQAQYKKVTASKVFLAIKESATNTNRSQEKLSPSLRLLNPSRPQSTESQSTSGEPATPKRRKAEPQAVQSLRRSSPHTANCDRLSKSSTSPQPKRWQQDMSTSVPKLFLHLEKKTPVHSRSSSPTLTPSQEGSPVFAGFEGRRTNEINEVLSWKLVPDNYPPGDQPPPPSYIYGAQHLLRLFVKLPEILGKMSFTEKNLKALLKHFDLFVRFLAEYHDDFFPESAYVAASEVHYSTRNPQAVNKC
+>DECOY_sp|P0C860|MS3L2_HUMAN Putative male-specific lethal-3 protein-like 2 OS=Homo sapiens OX=9606 GN=MSL3P1 PE=5 SV=1
+CKNVAQPNRTSYHVESAAVYASEPFFDDHYEALFRVFLDFHKLLAKLNKETFSMKGLIEPLKVFLRLLHQAGYIYSPPPPQDGPPYNDPVLKWSLVENIENTRRGEFGAFVPSGEQSPTLTPSSSRSHVPTKKELHLFLKPVSTSMDQQWRKPQPSTSSKSLRDCNATHPSSRRLSQVAQPEAKRRKPTAPEGSTSQSETSQPRSPNLLRLSPSLKEQSRNTNTASEKIALFVKSATVKKYQAQEYPYLLVLPLTYDFTIRLGDVMEKCLDINKEAPIYPVNMSAHPMAHHHRPRENASFAASIAFHKVYSELITIINTHCPLQVLRKRRNIYYCDDELQRKLVEPIELTVTREEMERKTQLEPEEKVETKEEIDCEESIKEDKDESSDSSSSLSNEDNENKEEISLGYNFPRRRRRSADADRACVYRRSRSLARAVSGVACARDPM
+>sp|Q9H3V2|MS4A5_HUMAN Membrane-spanning 4-domains subfamily A member 5 OS=Homo sapiens OX=9606 GN=MS4A5 PE=2 SV=1
+MDSSTAHSPVFLVFPPEITASEYESTELSATTFSTQSPLQKLFARKMKILGTIQILFGIMTFSFGVIFLFTLLKPYPRFPFIFLSGYPFWGSVLFINSGAFLIAVKRKTTETLIILSRIMNFLSALGAIAGIILLTFGFILDQNYICGYSHQNSQCKAVTVLFLGILITLMTFSIIELFISLPFSILGCHSEDCDCEQCC
+>DECOY_sp|Q9H3V2|MS4A5_HUMAN Membrane-spanning 4-domains subfamily A member 5 OS=Homo sapiens OX=9606 GN=MS4A5 PE=2 SV=1
+CCQECDCDESHCGLISFPLSIFLEIISFTMLTILIGLFLVTVAKCQSNQHSYGCIYNQDLIFGFTLLIIGAIAGLASLFNMIRSLIILTETTKRKVAILFAGSNIFLVSGWFPYGSLFIFPFRPYPKLLTFLFIVGFSFTMIGFLIQITGLIKMKRAFLKQLPSQTSFTTASLETSEYESATIEPPFVLFVPSHATSSDM
+>sp|O43347|MSI1H_HUMAN RNA-binding protein Musashi homolog 1 OS=Homo sapiens OX=9606 GN=MSI1 PE=1 SV=1
+METDAPQPGLASPDSPHDPCKMFIGGLSWQTTQEGLREYFGQFGEVKECLVMRDPLTKRSRGFGFVTFMDQAGVDKVLAQSRHELDSKTIDPKVAFPRRAQPKMVTRTKKIFVGGLSVNTTVEDVKQYFEQFGKVDDAMLMFDKTTNRHRGFGFVTFESEDIVEKVCEIHFHEINNKMVECKKAQPKEVMSPTGSARGRSRVMPYGMDAFMLGIGMLGYPGFQATTYASRSYTGLAPGYTYQFPEFRVERTPLPSAPVLPELTAIPLTAYGPMAAAAAAAAVVRGTGSHPWTMAPPPGSTPSRTGGFLGTTSPGPMAELYGAANQDSGVSSYISAASPAPSTGFGHSLGGPLIATAFTNGYH
+>DECOY_sp|O43347|MSI1H_HUMAN RNA-binding protein Musashi homolog 1 OS=Homo sapiens OX=9606 GN=MSI1 PE=1 SV=1
+HYGNTFATAILPGGLSHGFGTSPAPSAASIYSSVGSDQNAAGYLEAMPGPSTTGLFGGTRSPTSGPPPAMTWPHSGTGRVVAAAAAAAAMPGYATLPIATLEPLVPASPLPTREVRFEPFQYTYGPALGTYSRSAYTTAQFGPYGLMGIGLMFADMGYPMVRSRGRASGTPSMVEKPQAKKCEVMKNNIEHFHIECVKEVIDESEFTVFGFGRHRNTTKDFMLMADDVKGFQEFYQKVDEVTTNVSLGGVFIKKTRTVMKPQARRPFAVKPDITKSDLEHRSQALVKDVGAQDMFTVFGFGRSRKTLPDRMVLCEKVEGFQGFYERLGEQTTQWSLGGIFMKCPDHPSDPSALGPQPADTEM
+>sp|P28360|MSX1_HUMAN Homeobox protein MSX-1 OS=Homo sapiens OX=9606 GN=MSX1 PE=1 SV=3
+MAPAADMTSLPLGVKVEDSAFGKPAGGGAGQAPSAAAATAAAMGADEEGAKPKVSPSLLPFSVEALMADHRKPGAKESALAPSEGVQAAGGSAQPLGVPPGSLGAPDAPSSPRPLGHFSVGGLLKLPEDALVKAESPEKPERTPWMQSPRFSPPPARRLSPPACTLRKHKTNRKPRTPFTTAQLLALERKFRQKQYLSIAERAEFSSSLSLTETQVKIWFQNRRAKAKRLQEAELEKLKMAAKPMLPPAAFGLSFPLGGPAAVAAAAGASLYGASGPFQRAALPVAPVGLYTAHVGYSMYHLT
+>DECOY_sp|P28360|MSX1_HUMAN Homeobox protein MSX-1 OS=Homo sapiens OX=9606 GN=MSX1 PE=1 SV=3
+TLHYMSYGVHATYLGVPAVPLAARQFPGSAGYLSAGAAAAVAAPGGLPFSLGFAAPPLMPKAAMKLKELEAEQLRKAKARRNQFWIKVQTETLSLSSSFEAREAISLYQKQRFKRELALLQATTFPTRPKRNTKHKRLTCAPPSLRRAPPPSFRPSQMWPTREPKEPSEAKVLADEPLKLLGGVSFHGLPRPSSPADPAGLSGPPVGLPQASGGAAQVGESPALASEKAGPKRHDAMLAEVSFPLLSPSVKPKAGEEDAGMAAATAAAASPAQGAGGGAPKGFASDEVKVGLPLSTMDAAPAM
+>sp|P07438|MT1B_HUMAN Metallothionein-1B OS=Homo sapiens OX=9606 GN=MT1B PE=3 SV=1
+MDPNCSCTTGGSCACAGSCKCKECKCTSCKKCCCSCCPVGCAKCAQGCVCKGSSEKCRCCA
+>DECOY_sp|P07438|MT1B_HUMAN Metallothionein-1B OS=Homo sapiens OX=9606 GN=MT1B PE=3 SV=1
+ACCRCKESSGKCVCGQACKACGVPCCSCCCKKCSTCKCEKCKCSGACACSGGTTCSCNPDM
+>sp|P02795|MT2_HUMAN Metallothionein-2 OS=Homo sapiens OX=9606 GN=MT2A PE=1 SV=1
+MDPNCSCAAGDSCTCAGSCKCKECKCTSCKKSCCSCCPVGCAKCAQGCICKGASDKCSCCA
+>DECOY_sp|P02795|MT2_HUMAN Metallothionein-2 OS=Homo sapiens OX=9606 GN=MT2A PE=1 SV=1
+ACCSCKDSAGKCICGQACKACGVPCCSCCSKKCSTCKCEKCKCSGACTCSDGAACSCNPDM
+>sp|Q7Z6M4|MTEF4_HUMAN Transcription termination factor 4, mitochondrial OS=Homo sapiens OX=9606 GN=MTERF4 PE=1 SV=3
+MAAFGRQVLDWHRLIPLTWACMARQTPHLGEQRRTTASLLRKLTTASNGGVIEELSCVRSNNYVQEPECRRNLVQCLLEKQGTPVVQGSLELERVMSSLLDMGFSNAHINELLSVRRGASLQQLLDIISEFILLGLNPEPVCVVLKKSPQLLKLPIMQMRKRSSYLQKLGLGEGKLKRVLYCCPEIFTMRQQDINDTVRLLKEKCLFTVQQVTKILHSCPSVLREDLGQLEYKFQYAYFRMGIKHPDIVKSEYLQYSLTKIKQRHIYLERLGRYQTPDKKGQTQIPNPLLKDILRVSEAEFLARTACTSVEEFQVFKKLLAREEEESESSTSDDKRASLDEDEDDDDEEDNDEDDNDEDDDDEDDDEAEDNDEDEDDDEEE
+>DECOY_sp|Q7Z6M4|MTEF4_HUMAN Transcription termination factor 4, mitochondrial OS=Homo sapiens OX=9606 GN=MTERF4 PE=1 SV=3
+EEEDDDEDEDNDEAEDDDEDDDDEDNDDEDNDEEDDDDEDEDLSARKDDSTSSESEEEERALLKKFVQFEEVSTCATRALFEAESVRLIDKLLPNPIQTQGKKDPTQYRGLRELYIHRQKIKTLSYQLYESKVIDPHKIGMRFYAYQFKYELQGLDERLVSPCSHLIKTVQQVTFLCKEKLLRVTDNIDQQRMTFIEPCCYLVRKLKGEGLGLKQLYSSRKRMQMIPLKLLQPSKKLVVCVPEPNLGLLIFESIIDLLQQLSAGRRVSLLENIHANSFGMDLLSSMVRELELSGQVVPTGQKELLCQVLNRRCEPEQVYNNSRVCSLEEIVGGNSATTLKRLLSATTRRQEGLHPTQRAMCAWTLPILRHWDLVQRGFAAM
+>sp|Q9Y483|MTF2_HUMAN Metal-response element-binding transcription factor 2 OS=Homo sapiens OX=9606 GN=MTF2 PE=1 SV=3
+MRDSTGAGNSLVHKRSPLRRNQKTPTSLTKLSLQDGHKAKKPACKFEEGQDVLARWSDGLFYLGTIKKINILKQSCFIIFEDSSKSWVLWKDIQTGATGSGEMVCTICQEEYSEAPNEMVICDKCGQGYHQLCHTPHIDSSVIDSDEKWLCRQCVFATTTKRGGALKKGPNAKALQVMKQTLPYSVADLEWDAGHKTNVQQCYCYCGGPGDWYLKMLQCCKCKQWFHEACVQCLQKPMLFGDRFYTFICSVCSSGPEYLKRLPLQWVDIAHLCLYNLSVIHKKKYFDSELELMTYINENWDRLHPGELADTPKSERYEHVLEALNDYKTMFMSGKEIKKKKHLFGLRIRVPPVPPNVAFKAEKEPEGTSHEFKIKGRKASKPISDSREVSNGIEKKGKKKSVGRPPGPYTRKMIQKTAEPLLDKESISENPTLDLPCSIGRTEGTAHSSNTSDVDFTGASSAKETTSSSISRHYGLSDSRKRTRTGRSWPAAIPHLRRRRGRLPRRALQTQNSEIVKDDEGKEDYQFDELNTEILNNLADQELQLNHLKNSITSYFGAAGRIACGEKYRVLARRVTLDGKVQYLVEWEGATAS
+>DECOY_sp|Q9Y483|MTF2_HUMAN Metal-response element-binding transcription factor 2 OS=Homo sapiens OX=9606 GN=MTF2 PE=1 SV=3
+SATAGEWEVLYQVKGDLTVRRALVRYKEGCAIRGAAGFYSTISNKLHNLQLEQDALNNLIETNLEDFQYDEKGEDDKVIESNQTQLARRPLRGRRRRLHPIAAPWSRGTRTRKRSDSLGYHRSISSSTTEKASSAGTFDVDSTNSSHATGETRGISCPLDLTPNESISEKDLLPEATKQIMKRTYPGPPRGVSKKKGKKEIGNSVERSDSIPKSAKRGKIKFEHSTGEPEKEAKFAVNPPVPPVRIRLGFLHKKKKIEKGSMFMTKYDNLAELVHEYRESKPTDALEGPHLRDWNENIYTMLELESDFYKKKHIVSLNYLCLHAIDVWQLPLRKLYEPGSSCVSCIFTYFRDGFLMPKQLCQVCAEHFWQKCKCCQLMKLYWDGPGGCYCYCQQVNTKHGADWELDAVSYPLTQKMVQLAKANPGKKLAGGRKTTTAFVCQRCLWKEDSDIVSSDIHPTHCLQHYGQGCKDCIVMENPAESYEEQCITCVMEGSGTAGTQIDKWLVWSKSSDEFIIFCSQKLINIKKITGLYFLGDSWRALVDQGEEFKCAPKKAKHGDQLSLKTLSTPTKQNRRLPSRKHVLSNGAGTSDRM
+>sp|Q2M296|MTHSD_HUMAN Methenyltetrahydrofolate synthase domain-containing protein OS=Homo sapiens OX=9606 GN=MTHFSD PE=1 SV=2
+MEPRAVGVSKQDIREQIWGYMESQNLADFPRPVHHRIPNFKGSYLACQNIKDLDVFARTQEVKVDPDKPLEGVRLLVLQSKKTLLVPTPRLRTGLFNKITPPPGATKDILRKCATSQGVRNYSVPIGLDSRVLVDLVVVGSVAVSEKGWRIGKGEGYADLEYAMMVSMGAVSKETPVVTIVHDCQVVDIPEELVEEHDITVDYILTPTRVIATGCKRPKPMGITWFKISLEMMEKIPILRSLRAREQQAGKDVTLQGEHQHLPEPGCQQTVPLSVGRRPPDTPGPETNSMEAAPGSPPGEGAPLAADVYVGNLPGDARVSDLKRALRELGSVPLRLTWQGPRRRAFLHYPDSAAAQQAVSCLQGLRLGTDTLRVALARQQRDK
+>DECOY_sp|Q2M296|MTHSD_HUMAN Methenyltetrahydrofolate synthase domain-containing protein OS=Homo sapiens OX=9606 GN=MTHFSD PE=1 SV=2
+KDRQQRALAVRLTDTGLRLGQLCSVAQQAAASDPYHLFARRRPGQWTLRLPVSGLERLARKLDSVRADGPLNGVYVDAALPAGEGPPSGPAAEMSNTEPGPTDPPRRGVSLPVTQQCGPEPLHQHEGQLTVDKGAQQERARLSRLIPIKEMMELSIKFWTIGMPKPRKCGTAIVRTPTLIYDVTIDHEEVLEEPIDVVQCDHVITVVPTEKSVAGMSVMMAYELDAYGEGKGIRWGKESVAVSGVVVLDVLVRSDLGIPVSYNRVGQSTACKRLIDKTAGPPPTIKNFLGTRLRPTPVLLTKKSQLVLLRVGELPKDPDVKVEQTRAFVDLDKINQCALYSGKFNPIRHHVPRPFDALNQSEMYGWIQERIDQKSVGVARPEM
+>sp|Q96S19|MTL26_HUMAN Methyltransferase-like 26 OS=Homo sapiens OX=9606 GN=METTL26 PE=1 SV=2
+MLVAAAAERNKDPILHVLRQYLDPAQRGVRVLEVASGSGQHAAHFARAFPLAEWQPSDVDQRCLDSIAATTQAQGLTNVKAPLHLDVTWGWEHWGGILPQSLDLLLCINMAHVSPLRCTEGLFRAAGHLLKPRALLITYGPYAINGKISPQSNVDFDLMLRCRNPEWGLRDTALLEDLGKASGLLLERMVDMPANNKCLIFRKN
+>DECOY_sp|Q96S19|MTL26_HUMAN Methyltransferase-like 26 OS=Homo sapiens OX=9606 GN=METTL26 PE=1 SV=2
+NKRFILCKNNAPMDVMRELLLGSAKGLDELLATDRLGWEPNRCRLMLDFDVNSQPSIKGNIAYPGYTILLARPKLLHGAARFLGETCRLPSVHAMNICLLLDLSQPLIGGWHEWGWTVDLHLPAKVNTLGQAQTTAAISDLCRQDVDSPQWEALPFARAFHAAHQGSGSAVELVRVGRQAPDLYQRLVHLIPDKNREAAAAVLM
+>sp|Q96RQ3|MCCA_HUMAN Methylcrotonoyl-CoA carboxylase subunit alpha, mitochondrial OS=Homo sapiens OX=9606 GN=MCCC1 PE=1 SV=3
+MAAASAVSVLLVAAERNRWHRLPSLLLPPRTWVWRQRTMKYTTATGRNITKVLIANRGEIACRVMRTAKKLGVQTVAVYSEADRNSMHVDMADEAYSIGPAPSQQSYLSMEKIIQVAKTSAAQAIHPGCGFLSENMEFAELCKQEGIIFIGPPPSAIRDMGIKSTSKSIMAAAGVPVVEGYHGEDQSDQCLKEHARRIGYPVMIKAVRGGGGKGMRIVRSEQEFQEQLESARREAKKSFNDDAMLIEKFVDTPRHVEVQVFGDHHGNAVYLFERDCSVQRRHQKIIEEAPAPGIKSEVRKKLGEAAVRAAKAVNYVGAGTVEFIMDSKHNFCFMEMNTRLQVEHPVTEMITGTDLVEWQLRIAAGEKIPLSQEEITLQGHAFEARIYAEDPSNNFMPVAGPLVHLSTPRADPSTRIETGVRQGDEVSVHYDPMIAKLVVWAADRQAALTKLRYSLRQYNIVGLHTNIDFLLNLSGHPEFEAGNVHTDFIPQHHKQLLLSRKAAAKESLCQAALGLILKEKAMTDTFTLQAHDQFSPFSSSSGRRLNISYTRNMTLKDGKNNVAIAVTYNHDGSYSMQIEDKTFQVLGNLYSEGDCTYLKCSVNGVASKAKLIILENTIYLFSKEGSIEIDIPVPKYLSSVSSQETQGGPLAPMTGTIEKVFVKAGDKVKAGDSLMVMIAMKMEHTIKSPKDGTVKKVFYREGAQANRHTPLVEFEEEESDKRESE
+>DECOY_sp|Q96RQ3|MCCA_HUMAN Methylcrotonoyl-CoA carboxylase subunit alpha, mitochondrial OS=Homo sapiens OX=9606 GN=MCCC1 PE=1 SV=3
+ESERKDSEEEEFEVLPTHRNAQAGERYFVKKVTGDKPSKITHEMKMAIMVMLSDGAKVKDGAKVFVKEITGTMPALPGGQTEQSSVSSLYKPVPIDIEISGEKSFLYITNELIILKAKSAVGNVSCKLYTCDGESYLNGLVQFTKDEIQMSYSGDHNYTVAIAVNNKGDKLTMNRTYSINLRRGSSSSFPSFQDHAQLTFTDTMAKEKLILGLAAQCLSEKAAAKRSLLLQKHHQPIFDTHVNGAEFEPHGSLNLLFDINTHLGVINYQRLSYRLKTLAAQRDAAWVVLKAIMPDYHVSVEDGQRVGTEIRTSPDARPTSLHVLPGAVPMFNNSPDEAYIRAEFAHGQLTIEEQSLPIKEGAAIRLQWEVLDTGTIMETVPHEVQLRTNMEMFCFNHKSDMIFEVTGAGVYNVAKAARVAAEGLKKRVESKIGPAPAEEIIKQHRRQVSCDREFLYVANGHHDGFVQVEVHRPTDVFKEILMADDNFSKKAERRASELQEQFEQESRVIRMGKGGGGRVAKIMVPYGIRRAHEKLCQDSQDEGHYGEVVPVGAAAMISKSTSKIGMDRIASPPPGIFIIGEQKCLEAFEMNESLFGCGPHIAQAASTKAVQIIKEMSLYSQQSPAPGISYAEDAMDVHMSNRDAESYVAVTQVGLKKATRMVRCAIEGRNAILVKTINRGTATTYKMTRQRWVWTRPPLLLSPLRHWRNREAAVLLVSVASAAAM
+>sp|P59942|MCCD1_HUMAN Mitochondrial coiled-coil domain protein 1 OS=Homo sapiens OX=9606 GN=MCCD1 PE=2 SV=3
+MVLPLPWLSRYHFLRLLLPSWSLAPQGSHGCCSQNPKASMEEQTSSRGNGKMTSPPRGPGTHRTAELARAEELLEQQLELYQALLEGQEGAWEAQALVLKIQKLKEQMRRHQESLGGGA
+>DECOY_sp|P59942|MCCD1_HUMAN Mitochondrial coiled-coil domain protein 1 OS=Homo sapiens OX=9606 GN=MCCD1 PE=2 SV=3
+AGGGLSEQHRRMQEKLKQIKLVLAQAEWAGEQGELLAQYLELQQELLEEARALEATRHTGPGRPPSTMKGNGRSSTQEEMSAKPNQSCCGHSGQPALSWSPLLLRLFHYRSLWPLPLVM
+>sp|Q8NI22|MCFD2_HUMAN Multiple coagulation factor deficiency protein 2 OS=Homo sapiens OX=9606 GN=MCFD2 PE=1 SV=1
+MTMRSLLRTPFLCGLLWAFCAPGARAEEPAASFSQPGSMGLDKNTVHDQEHIMEHLEGVINKPEAEMSPQELQLHYFKMHDYDGNNLLDGLELSTAITHVHKEEGSEQAPLMSEDELINIIDGVLRDDDKNNDGYIDYAEFAKSLQ
+>DECOY_sp|Q8NI22|MCFD2_HUMAN Multiple coagulation factor deficiency protein 2 OS=Homo sapiens OX=9606 GN=MCFD2 PE=1 SV=1
+QLSKAFEAYDIYGDNNKDDDRLVGDIINILEDESMLPAQESGEEKHVHTIATSLELGDLLNNGDYDHMKFYHLQLEQPSMEAEPKNIVGELHEMIHEQDHVTNKDLGMSGPQSFSAAPEEARAGPACFAWLLGCLFPTRLLSRMTM
+>sp|D6RGH6|MCIN_HUMAN Multicilin OS=Homo sapiens OX=9606 GN=MCIDAS PE=1 SV=1
+MQACGGGAAGRRAFDSICPNRMLALPGRALLCKPGKPERKFAPPRKFFPGCTGGSPVSVYEDPPDAEPTALPALTTIDLQDLADCSSLLGSDAPPGGDLAASQNHSHQTEADFNLQDFRDTVDDLISDSSSMMSPTLASGDFPFSPCDISPFGPCLSPPLDPRALQSPPLRPPDVPPPEQYWKEVADQNQRALGDALVENNQLHVTLTQKQEEIASLKERNVQLKELASRTRHLASVLDKLMITQSRDCGAAAEPFLLKAKAKRSLEELVSAAGQDCAEVDAILREISERCDEALQSRDPKRPRLLPEPANTDTRPGNLHGAFRGLRTDCSRSALNLSHSELEEGGSFSTRIRSHSTIRTLAFPQGNAFTIRTANGGYKFRWVPS
+>DECOY_sp|D6RGH6|MCIN_HUMAN Multicilin OS=Homo sapiens OX=9606 GN=MCIDAS PE=1 SV=1
+SPVWRFKYGGNATRITFANGQPFALTRITSHSRIRTSFSGGEELESHSLNLASRSCDTRLGRFAGHLNGPRTDTNAPEPLLRPRKPDRSQLAEDCRESIERLIADVEACDQGAASVLEELSRKAKAKLLFPEAAAGCDRSQTIMLKDLVSALHRTRSALEKLQVNREKLSAIEEQKQTLTVHLQNNEVLADGLARQNQDAVEKWYQEPPPVDPPRLPPSQLARPDLPPSLCPGFPSIDCPSFPFDGSALTPSMMSSSDSILDDVTDRFDQLNFDAETQHSHNQSAALDGGPPADSGLLSSCDALDQLDITTLAPLATPEADPPDEYVSVPSGGTCGPFFKRPPAFKREPKGPKCLLARGPLALMRNPCISDFARRGAAGGGCAQM
+>sp|P25205|MCM3_HUMAN DNA replication licensing factor MCM3 OS=Homo sapiens OX=9606 GN=MCM3 PE=1 SV=3
+MAGTVVLDDVELREAQRDYLDFLDDEEDQGIYQSKVRELISDNQYRLIVNVNDLRRKNEKRANRLLNNAFEELVAFQRALKDFVASIDATYAKQYEEFYVGLEGSFGSKHVSPRTLTSCFLSCVVCVEGIVTKCSLVRPKVVRSVHYCPATKKTIERRYSDLTTLVAFPSSSVYPTKDEENNPLETEYGLSVYKDHQTITIQEMPEKAPAGQLPRSVDVILDDDLVDKAKPGDRVQVVGTYRCLPGKKGGYTSGTFRTVLIACNVKQMSKDAQPSFSAEDIAKIKKFSKTRSKDIFDQLAKSLAPSIHGHDYVKKAILCLLLGGVERDLENGSHIRGDINILLIGDPSVAKSQLLRYVLCTAPRAIPTTGRGSSGVGLTAAVTTDQETGERRLEAGAMVLADRGVVCIDEFDKMSDMDRTAIHEVMEQGRVTIAKAGIHARLNARCSVLAAANPVYGRYDQYKTPMENIGLQDSLLSRFDLLFIMLDQMDPEQDREISDHVLRMHRYRAPGEQDGDAMPLGSAVDILATDDPNFSQEDQQDTQIYEKHDNLLHGTKKKKEKMVSAAFMKKYIHVAKIIKPVLTQESATYIAEEYSRLRSQDSMSSDTARTSPVTARTLETLIRLATAHAKARMSKTVDLQDAEEAVELVQYAYFKKVLEKEKKRKKRSEDESETEDEEEKSQEDQEQKRKRRKTRQPDAKDGDSYDPYDFSDTEEEMPQVHTPKTADSQETKESQKVELSESRLKAFKVALLDVFREAHAQSIGMNRLTESINRDSEEPFSSVEIQAALSKMQDDNQVMVSEGIIFLI
+>DECOY_sp|P25205|MCM3_HUMAN DNA replication licensing factor MCM3 OS=Homo sapiens OX=9606 GN=MCM3 PE=1 SV=3
+ILFIIGESVMVQNDDQMKSLAAQIEVSSFPEESDRNISETLRNMGISQAHAERFVDLLAVKFAKLRSESLEVKQSEKTEQSDATKPTHVQPMEEETDSFDYPDYSDGDKADPQRTKRRKRKQEQDEQSKEEEDETESEDESRKKRKKEKELVKKFYAYQVLEVAEEADQLDVTKSMRAKAHATALRILTELTRATVPSTRATDSSMSDQSRLRSYEEAIYTASEQTLVPKIIKAVHIYKKMFAASVMKEKKKKTGHLLNDHKEYIQTDQQDEQSFNPDDTALIDVASGLPMADGDQEGPARYRHMRLVHDSIERDQEPDMQDLMIFLLDFRSLLSDQLGINEMPTKYQDYRGYVPNAAALVSCRANLRAHIGAKAITVRGQEMVEHIATRDMDSMKDFEDICVVGRDALVMAGAELRREGTEQDTTVAATLGVGSSGRGTTPIARPATCLVYRLLQSKAVSPDGILLINIDGRIHSGNELDREVGGLLLCLIAKKVYDHGHISPALSKALQDFIDKSRTKSFKKIKAIDEASFSPQADKSMQKVNCAILVTRFTGSTYGGKKGPLCRYTGVVQVRDGPKAKDVLDDDLIVDVSRPLQGAPAKEPMEQITITQHDKYVSLGYETELPNNEEDKTPYVSSSPFAVLTTLDSYRREITKKTAPCYHVSRVVKPRVLSCKTVIGEVCVVCSLFCSTLTRPSVHKSGFSGELGVYFEEYQKAYTADISAVFDKLARQFAVLEEFANNLLRNARKENKRRLDNVNVILRYQNDSILERVKSQYIGQDEEDDLFDLYDRQAERLEVDDLVVTGAM
+>sp|C9JLW8|MCRI1_HUMAN Mapk-regulated corepressor-interacting protein 1 OS=Homo sapiens OX=9606 GN=MCRIP1 PE=1 SV=1
+MTSSPVSRVVYNGKRTSSPRSPPSSSEIFTPAHEENVRFIYEAWQGVERDLRGQVPGGERGLVEEYVEKVPNPSLKTFKPIDLSDLKRRSTQDAKKS
+>DECOY_sp|C9JLW8|MCRI1_HUMAN Mapk-regulated corepressor-interacting protein 1 OS=Homo sapiens OX=9606 GN=MCRIP1 PE=1 SV=1
+SKKADQTSRRKLDSLDIPKFTKLSPNPVKEVYEEVLGREGGPVQGRLDREVGQWAEYIFRVNEEHAPTFIESSSPPSRPSSTRKGNYVVRSVPSSTM
+>sp|Q9UI95|MD2L2_HUMAN Mitotic spindle assembly checkpoint protein MAD2B OS=Homo sapiens OX=9606 GN=MAD2L2 PE=1 SV=2
+MTTLTRQDLNFGQVVADVLCEFLEVAVHLILYVREVYPVGIFQKRKKYNVPVQMSCHPELNQYIQDTLHCVKPLLEKNDVEKVVVVILDKEHRPVEKFVFEITQPPLLSISSDSLLSHVEQLLRAFILKISVCDAVLDHNPPGCTFTVLVHTREAATRNMEKIQVIKDFPWILADEQDVHMHDPRLIPLKTMTSDILKMQLYVEERAHKGS
+>DECOY_sp|Q9UI95|MD2L2_HUMAN Mitotic spindle assembly checkpoint protein MAD2B OS=Homo sapiens OX=9606 GN=MAD2L2 PE=1 SV=2
+SGKHAREEVYLQMKLIDSTMTKLPILRPDHMHVDQEDALIWPFDKIVQIKEMNRTAAERTHVLVTFTCGPPNHDLVADCVSIKLIFARLLQEVHSLLSDSSISLLPPQTIEFVFKEVPRHEKDLIVVVVKEVDNKELLPKVCHLTDQIYQNLEPHCSMQVPVNYKKRKQFIGVPYVERVYLILHVAVELFECLVDAVVQGFNLDQRTLTTM
+>sp|Q8TC05|MDM1_HUMAN Nuclear protein MDM1 OS=Homo sapiens OX=9606 GN=MDM1 PE=1 SV=2
+MPVRFKGLSEYQRNFLWKKSYLSESCNSSVGRKYPWAGLRSDQLGITKEPSFISKRRVPYHDPQISKSLEWNGAISESNVVASPEPEAPETPKSQEAEQKDVTQERVHSLEASRVPKRTRSHSADSRAEGASDVENNEGVTNHTPVNENVELEHSTKVLSENVDNGLDRLLRKKAGLTVVPSYNALRNSEYQRQFVWKTSKETAPAFAANQVFHNKSQFVPPFKGNSVIHETEYKRNFKGLSPVKEPKLRNDLRENRNLETVSPERKSNKIDDRLKLEAEMELKDLHQPKRKLTPWKHQRLGKVNSEYRAKFLSPAQYLYKAGAWTHVKGNMPNQVKELREKAEFYRKRVQGTHFSRDHLNQILSDSNCCWDVSSTTSSEGTVSSNIRALDLAGDPTSHKTLQKCPSTEPEEKGNIVEEQPQKNTTEKLGVSAPTIPVRRRLAWDTENTSEDVQKQPGEKEEEDDNEEEGDRKTGKQAFMGEQEKLDVREKSKADKMKEGSDSSVSSEKGGRLPTPKLRELGGIQRTHHDLTTPAVGGAVLVSPSKMKPPAPEQRKRMTSQDCLETSKNDFTKKESRAVSLLTSPAAGIKTVDPLPLREDSEDNIHKFAEATLPVSKIPKYPTNPPGQLPSPPHVPSYWHPSRRIQGSLRDPEFQHNVGKARMNNLQLPQHEAFNDEDEDRLSEISARSAASSLRAFQTLARAKKRKENFWGKT
+>DECOY_sp|Q8TC05|MDM1_HUMAN Nuclear protein MDM1 OS=Homo sapiens OX=9606 GN=MDM1 PE=1 SV=2
+TKGWFNEKRKKARALTQFARLSSAASRASIESLRDEDEDNFAEHQPLQLNNMRAKGVNHQFEPDRLSGQIRRSPHWYSPVHPPSPLQGPPNTPYKPIKSVPLTAEAFKHINDESDERLPLPDVTKIGAAPSTLLSVARSEKKTFDNKSTELCDQSTMRKRQEPAPPKMKSPSVLVAGGVAPTTLDHHTRQIGGLERLKPTPLRGGKESSVSSDSGEKMKDAKSKERVDLKEQEGMFAQKGTKRDGEEENDDEEEKEGPQKQVDESTNETDWALRRRVPITPASVGLKETTNKQPQEEVINGKEEPETSPCKQLTKHSTPDGALDLARINSSVTGESSTTSSVDWCCNSDSLIQNLHDRSFHTGQVRKRYFEAKERLEKVQNPMNGKVHTWAGAKYLYQAPSLFKARYESNVKGLRQHKWPTLKRKPQHLDKLEMEAELKLRDDIKNSKREPSVTELNRNERLDNRLKPEKVPSLGKFNRKYETEHIVSNGKFPPVFQSKNHFVQNAAFAPATEKSTKWVFQRQYESNRLANYSPVVTLGAKKRLLRDLGNDVNESLVKTSHELEVNENVPTHNTVGENNEVDSAGEARSDASHSRTRKPVRSAELSHVREQTVDKQEAEQSKPTEPAEPEPSAVVNSESIAGNWELSKSIQPDHYPVRRKSIFSPEKTIGLQDSRLGAWPYKRGVSSNCSESLYSKKWLFNRQYESLGKFRVPM
+>sp|P51608|MECP2_HUMAN Methyl-CpG-binding protein 2 OS=Homo sapiens OX=9606 GN=MECP2 PE=1 SV=1
+MVAGMLGLREEKSEDQDLQGLKDKPLKFKKVKKDKKEEKEGKHEPVQPSAHHSAEPAEAGKAETSEGSGSAPAVPEASASPKQRRSIIRDRGPMYDDPTLPEGWTRKLKQRKSGRSAGKYDVYLINPQGKAFRSKVELIAYFEKVGDTSLDPNDFDFTVTGRGSPSRREQKPPKKPKSPKAPGTGRGRGRPKGSGTTRPKAATSEGVQVKRVLEKSPGKLLVKMPFQTSPGGKAEGGGATTSTQVMVIKRPGRKRKAEADPQAIPKKRGRKPGSVVAAAAAEAKKKAVKESSIRSVQETVLPIKKRKTRETVSIEVKEVVKPLLVSTLGEKSGKGLKTCKSPGRKSKESSPKGRSSSASSPPKKEHHHHHHHSESPKAPVPLLPPLPPPPPEPESSEDPTSPPEPQDLSSSVCKEEKMPRGGSLESDGCPKEPAKTQPAVATAATAAEKYKHRGEGERKDIVSSSMPRPNREEPVDSRTPVTERVS
+>DECOY_sp|P51608|MECP2_HUMAN Methyl-CpG-binding protein 2 OS=Homo sapiens OX=9606 GN=MECP2 PE=1 SV=1
+SVRETVPTRSDVPEERNPRPMSSSVIDKREGEGRHKYKEAATAATAVAPQTKAPEKPCGDSELSGGRPMKEEKCVSSSLDQPEPPSTPDESSEPEPPPPPLPPLLPVPAKPSESHHHHHHHEKKPPSSASSSRGKPSSEKSKRGPSKCTKLGKGSKEGLTSVLLPKVVEKVEISVTERTKRKKIPLVTEQVSRISSEKVAKKKAEAAAAAVVSGPKRGRKKPIAQPDAEAKRKRGPRKIVMVQTSTTAGGGEAKGGPSTQFPMKVLLKGPSKELVRKVQVGESTAAKPRTTGSGKPRGRGRGTGPAKPSKPKKPPKQERRSPSGRGTVTFDFDNPDLSTDGVKEFYAILEVKSRFAKGQPNILYVDYKGASRGSKRQKLKRTWGEPLTPDDYMPGRDRIISRRQKPSASAEPVAPASGSGESTEAKGAEAPEASHHASPQVPEHKGEKEEKKDKKVKKFKLPKDKLGQLDQDESKEERLGLMGAVM
+>sp|Q9BTT4|MED10_HUMAN Mediator of RNA polymerase II transcription subunit 10 OS=Homo sapiens OX=9606 GN=MED10 PE=1 SV=1
+MAEKFDHLEEHLEKFVENIRQLGIIVSDFQPSSQAGLNQKLNFIVTGLQDIDKCRQQLHDITVPLEVFEYIDQGRNPQLYTKECLERALAKNEQVKGKIDTMKKFKSLLIQELSKVFPEDMAKYRSIRGEDHPPS
+>DECOY_sp|Q9BTT4|MED10_HUMAN Mediator of RNA polymerase II transcription subunit 10 OS=Homo sapiens OX=9606 GN=MED10 PE=1 SV=1
+SPPHDEGRISRYKAMDEPFVKSLEQILLSKFKKMTDIKGKVQENKALARELCEKTYLQPNRGQDIYEFVELPVTIDHLQQRCKDIDQLGTVIFNLKQNLGAQSSPQFDSVIIGLQRINEVFKELHEELHDFKEAM
+>sp|Q96RN5|MED15_HUMAN Mediator of RNA polymerase II transcription subunit 15 OS=Homo sapiens OX=9606 GN=MED15 PE=1 SV=2
+MDVSGQETDWRSTAFRQKLVSQIEDAMRKAGVAHSKSSKDMESHVFLKAKTRDEYLSLVARLIIHFRDIHNKKSQASVSDPMNALQSLTGGPAAGAAGIGMPPRGPGQSLGGMGSLGAMGQPMSLSGQPPPGTSGMAPHSMAVVSTATPQTQLQLQQVALQQQQQQQQFQQQQQAALQQQQQQQQQQQFQAQQSAMQQQFQAVVQQQQQLQQQQQQQQHLIKLHHQNQQQIQQQQQQLQRIAQLQLQQQQQQQQQQQQQQQQALQAQPPIQQPPMQQPQPPPSQALPQQLQQMHHTQHHQPPPQPQQPPVAQNQPSQLPPQSQTQPLVSQAQALPGQMLYTQPPLKFVRAPMVVQQPPVQPQVQQQQTAVQTAQAAQMVAPGVQMITEALAQGGMHIRARFPPTTAVSAIPSSSIPLGRQPMAQVSQSSLPMLSSPSPGQQVQTPQSMPPPPQPSPQPGQPSSQPNSNVSSGPAPSPSSFLPSPSPQPSQSPVTARTPQNFSVPSPGPLNTPVNPSSVMSPAGSSQAEEQQYLDKLKQLSKYIEPLRRMINKIDKNEDRKKDLSKMKSLLDILTDPSKRCPLKTLQKCEIALEKLKNDMAVPTPPPPPVPPTKQQYLCQPLLDAVLANIRSPVFNHSLYRTFVPAMTAIHGPPITAPVVCTRKRRLEDDERQSIPSVLQGEVARLDPKFLVNLDPSHCSNNGTVHLICKLDDKDLPSVPPLELSVPADYPAQSPLWIDRQWQYDANPFLQSVHRCMTSRLLQLPDKHSVTALLNTWAQSVHQACLSAA
+>DECOY_sp|Q96RN5|MED15_HUMAN Mediator of RNA polymerase II transcription subunit 15 OS=Homo sapiens OX=9606 GN=MED15 PE=1 SV=2
+AASLCAQHVSQAWTNLLATVSHKDPLQLLRSTMCRHVSQLFPNADYQWQRDIWLPSQAPYDAPVSLELPPVSPLDKDDLKCILHVTGNNSCHSPDLNVLFKPDLRAVEGQLVSPISQREDDELRRKRTCVVPATIPPGHIATMAPVFTRYLSHNFVPSRINALVADLLPQCLYQQKTPPVPPPPPTPVAMDNKLKELAIECKQLTKLPCRKSPDTLIDLLSKMKSLDKKRDENKDIKNIMRRLPEIYKSLQKLKDLYQQEEAQSSGAPSMVSSPNVPTNLPGPSPVSFNQPTRATVPSQSPQPSPSPLFSSPSPAPGSSVNSNPQSSPQGPQPSPQPPPPMSQPTQVQQGPSPSSLMPLSSQSVQAMPQRGLPISSSPIASVATTPPFRARIHMGGQALAETIMQVGPAVMQAAQATQVATQQQQVQPQVPPQQVVMPARVFKLPPQTYLMQGPLAQAQSVLPQTQSQPPLQSPQNQAVPPQQPQPPPQHHQTHHMQQLQQPLAQSPPPQPQQMPPQQIPPQAQLAQQQQQQQQQQQQQQQQLQLQAIRQLQQQQQQIQQQNQHHLKILHQQQQQQQQLQQQQQVVAQFQQQMASQQAQFQQQQQQQQQQQLAAQQQQQFQQQQQQQQLAVQQLQLQTQPTATSVVAMSHPAMGSTGPPPQGSLSMPQGMAGLSGMGGLSQGPGRPPMGIGAAGAAPGGTLSQLANMPDSVSAQSKKNHIDRFHIILRAVLSLYEDRTKAKLFVHSEMDKSSKSHAVGAKRMADEIQSVLKQRFATSRWDTEQGSVDM
+>sp|Q9BUE0|MED18_HUMAN Mediator of RNA polymerase II transcription subunit 18 OS=Homo sapiens OX=9606 GN=MED18 PE=1 SV=1
+MEAPPVTMMPVTGGTINMMEYLLQGSVLDHSLESLIHRLRGLCDNMEPETFLDHEMVFLLKGQQASPFVLRARRSMDRAGAPWHLRYLGQPEMGDKNRHALVRNCVDIATSENLTDFLMEMGFRMDHEFVAKGHLFRKGIMKIMVYKIFRILVPGNTDSTEALSLSYLVELSVVAPAGQDMVSDDMKNFAEQLKPLVHLEKIDPKRLM
+>DECOY_sp|Q9BUE0|MED18_HUMAN Mediator of RNA polymerase II transcription subunit 18 OS=Homo sapiens OX=9606 GN=MED18 PE=1 SV=1
+MLRKPDIKELHVLPKLQEAFNKMDDSVMDQGAPAVVSLEVLYSLSLAETSDTNGPVLIRFIKYVMIKMIGKRFLHGKAVFEHDMRFGMEMLFDTLNESTAIDVCNRVLAHRNKDGMEPQGLYRLHWPAGARDMSRRARLVFPSAQQGKLLFVMEHDLFTEPEMNDCLGRLRHILSELSHDLVSGQLLYEMMNITGGTVPMMTVPPAEM
+>sp|Q9H944|MED20_HUMAN Mediator of RNA polymerase II transcription subunit 20 OS=Homo sapiens OX=9606 GN=MED20 PE=1 SV=1
+MGVTCVSQMPVAEGKSVQQTVELLTRKLEMLGAEKQGTFCVDCETYHTAASTLGSQGQTGKLMYVMHNSEYPLSCFALFENGPCLIADTNFDVLMVKLKGFFQSAKASKIETRGTRYQYCDFLVKVGTVTMGPSARGISVEVEYGPCVVASDCWSLLLEFLQSFLGSHTPGAPAVFGNRHDAVYGPADTMVQYMELFNKIRKQQQVPVAGIR
+>DECOY_sp|Q9H944|MED20_HUMAN Mediator of RNA polymerase II transcription subunit 20 OS=Homo sapiens OX=9606 GN=MED20 PE=1 SV=1
+RIGAVPVQQQKRIKNFLEMYQVMTDAPGYVADHRNGFVAPAGPTHSGLFSQLFELLLSWCDSAVVCPGYEVEVSIGRASPGMTVTGVKVLFDCYQYRTGRTEIKSAKASQFFGKLKVMLVDFNTDAILCPGNEFLAFCSLPYESNHMVYMLKGTQGQSGLTSAATHYTECDVCFTGQKEAGLMELKRTLLEVTQQVSKGEAVPMQSVCTVGM
+>sp|Q9NX70|MED29_HUMAN Mediator of RNA polymerase II transcription subunit 29 OS=Homo sapiens OX=9606 GN=MED29 PE=1 SV=1
+MAASQQQASAASSAAGVSGPSSAGGPGPQQQPQPPAQLVGPAQSGLLQQQQQDFDPVQRYKMLIPQLKESLQTLMKVAAQNLIQNTNIDNGQKSSDGPIQRFDKCLEEFYALCDQLELCLRLAHECLSQSCDSAKHSPTLVPTATKPDAVQPDSLPYPQYLAVIKAQISCAKDIHTALLDCANKVTGKTPAPPAGPGGTL
+>DECOY_sp|Q9NX70|MED29_HUMAN Mediator of RNA polymerase II transcription subunit 29 OS=Homo sapiens OX=9606 GN=MED29 PE=1 SV=1
+LTGGPGAPPAPTKGTVKNACDLLATHIDKACSIQAKIVALYQPYPLSDPQVADPKTATPVLTPSHKASDCSQSLCEHALRLCLELQDCLAYFEELCKDFRQIPGDSSKQGNDINTNQILNQAAVKMLTQLSEKLQPILMKYRQVPDFDQQQQQLLGSQAPGVLQAPPQPQQQPGPGGASSPGSVGAASSAASAQQQSAAM
+>sp|O43513|MED7_HUMAN Mediator of RNA polymerase II transcription subunit 7 OS=Homo sapiens OX=9606 GN=MED7 PE=1 SV=1
+MGEPQQVSALPPPPMQYIKEYTDENIQEGLAPKPPPPIKDSYMMFGNQFQCDDLIIRPLESQGIERLHPMQFDHKKELRKLNMSILINFLDLLDILIRSPGSIKREEKLEDLKLLFVHVHHLINEYRPHQARETLRVMMEVQKRQRLETAERFQKHLERVIEMIQNCLASLPDDLPHSEAGMRVKTEPMDADDSNNCTGQNEHQRENSGHRRDQIIEKDAALCVLIDEMNERP
+>DECOY_sp|O43513|MED7_HUMAN Mediator of RNA polymerase II transcription subunit 7 OS=Homo sapiens OX=9606 GN=MED7 PE=1 SV=1
+PRENMEDILVCLAADKEIIQDRRHGSNERQHENQGTCNNSDDADMPETKVRMGAESHPLDDPLSALCNQIMEIVRELHKQFREATELRQRKQVEMMVRLTERAQHPRYENILHHVHVFLLKLDELKEERKISGPSRILIDLLDLFNILISMNLKRLEKKHDFQMPHLREIGQSELPRIILDDCQFQNGFMMYSDKIPPPPKPALGEQINEDTYEKIYQMPPPPLASVQQPEGM
+>sp|Q96G25|MED8_HUMAN Mediator of RNA polymerase II transcription subunit 8 OS=Homo sapiens OX=9606 GN=MED8 PE=1 SV=2
+MQREEKQLEASLDALLSQVADLKNSLGSFICKLENEYGRLTWPSVLDSFALLSGQLNTLNKVLKHEKTPLFRNQVIIPLVLSPDRDEDLMRQTEGRVPVFSHEVVPDHLRTKPDPEVEEQEKQLTTDAARIGADAAQKQIQSLNKMCSNLLEKISKEERESESGGLRPNKQTFNPTDTNALVAAVAFGKGLSNWRPSGSSGPGQAGQPGAGTILAGTSGLQQVQMAGAPSQQQPMLSGVQMAQAGQPGKMPSGIKTNIKSASMHPYQR
+>DECOY_sp|Q96G25|MED8_HUMAN Mediator of RNA polymerase II transcription subunit 8 OS=Homo sapiens OX=9606 GN=MED8 PE=1 SV=2
+RQYPHMSASKINTKIGSPMKGPQGAQAMQVGSLMPQQQSPAGAMQVQQLGSTGALITGAGPQGAQGPGSSGSPRWNSLGKGFAVAAVLANTDTPNFTQKNPRLGGSESEREEKSIKELLNSCMKNLSQIQKQAADAGIRAADTTLQKEQEEVEPDPKTRLHDPVVEHSFVPVRGETQRMLDEDRDPSLVLPIIVQNRFLPTKEHKLVKNLTNLQGSLLAFSDLVSPWTLRGYENELKCIFSGLSNKLDAVQSLLADLSAELQKEERQM
+>sp|Q5VYS4|MEDAG_HUMAN Mesenteric estrogen-dependent adipogenesis protein OS=Homo sapiens OX=9606 GN=MEDAG PE=2 SV=1
+MAGAACEPVARPSLTSISSGELRSLWTCDCELALLPLAQLLRLQPGAFQLSGDQLVVARPGEPAAARGGFNVFGDGLVRLDGQLYRLSSYIKRYVELTNYCDYKDYRETILSKPMLFFINVQTKKDTSKERTYAFLVNTRHPKIRRQIEQGMDMVISSVIGESYRLQFDFQEAVKNFFPPGNEVVNGENLSFAYEFKADALFDFFYWFGLSNSVVKVNGKVLNLSSTSPEKKETIKLFLEKMSEPLIRRSSFSDRKFSVTSRGSIDDVFNCNLSPRSSLTEPLLAELPFPSVLESEETPNQFI
+>DECOY_sp|Q5VYS4|MEDAG_HUMAN Mesenteric estrogen-dependent adipogenesis protein OS=Homo sapiens OX=9606 GN=MEDAG PE=2 SV=1
+IFQNPTEESELVSPFPLEALLPETLSSRPSLNCNFVDDISGRSTVSFKRDSFSSRRILPESMKELFLKITEKKEPSTSSLNLVKGNVKVVSNSLGFWYFFDFLADAKFEYAFSLNEGNVVENGPPFFNKVAEQFDFQLRYSEGIVSSIVMDMGQEIQRRIKPHRTNVLFAYTREKSTDKKTQVNIFFLMPKSLITERYDKYDCYNTLEVYRKIYSSLRYLQGDLRVLGDGFVNFGGRAAAPEGPRAVVLQDGSLQFAGPQLRLLQALPLLALECDCTWLSRLEGSSISTLSPRAVPECAAGAM
+>sp|Q14814|MEF2D_HUMAN Myocyte-specific enhancer factor 2D OS=Homo sapiens OX=9606 GN=MEF2D PE=1 SV=1
+MGRKKIQIQRITDERNRQVTFTKRKFGLMKKAYELSVLCDCEIALIIFNHSNKLFQYASTDMDKVLLKYTEYNEPHESRTNADIIETLRKKGFNGCDSPEPDGEDSLEQSPLLEDKYRRASEELDGLFRRYGSTVPAPNFAMPVTVPVSNQSSLQFSNPSGSLVTPSLVTSSLTDPRLLSPQQPALQRNSVSPGLPQRPASAGAMLGGDLNSANGACPSPVGNGYVSARASPGLLPVANGNSLNKVIPAKSPPPPTHSTQLGAPSRKPDLRVITSQAGKGLMHHLTEDHLDLNNAQRLGVSQSTHSLTTPVVSVATPSLLSQGLPFSSMPTAYNTDYQLTSAELSSLPAFSSPGGLSLGNVTAWQQPQQPQQPQQPQPPQQQPPQPQQPQPQQPQQPQQPPQQQSHLVPVSLSNLIPGSPLPHVGAALTVTTHPHISIKSEPVSPSRERSPAPPPPAVFPAARPEPGDGLSSPAGGSYETGDRDDGRGDFGPTLGLLRPAPEPEAEGSAVKRMRLDTWTLK
+>DECOY_sp|Q14814|MEF2D_HUMAN Myocyte-specific enhancer factor 2D OS=Homo sapiens OX=9606 GN=MEF2D PE=1 SV=1
+KLTWTDLRMRKVASGEAEPEPAPRLLGLTPGFDGRGDDRDGTEYSGGAPSSLGDGPEPRAAPFVAPPPPAPSRERSPSVPESKISIHPHTTVTLAAGVHPLPSGPILNSLSVPVLHSQQQPPQQPQQPQQPQPQQPQPPQQQPPQPQQPQQPQQPQQWATVNGLSLGGPSSFAPLSSLEASTLQYDTNYATPMSSFPLGQSLLSPTAVSVVPTTLSHTSQSVGLRQANNLDLHDETLHHMLGKGAQSTIVRLDPKRSPAGLQTSHTPPPPSKAPIVKNLSNGNAVPLLGPSARASVYGNGVPSPCAGNASNLDGGLMAGASAPRQPLGPSVSNRQLAPQQPSLLRPDTLSSTVLSPTVLSGSPNSFQLSSQNSVPVTVPMAFNPAPVTSGYRRFLGDLEESARRYKDELLPSQELSDEGDPEPSDCGNFGKKRLTEIIDANTRSEHPENYETYKLLVKDMDTSAYQFLKNSHNFIILAIECDCLVSLEYAKKMLGFKRKTFTVQRNREDTIRQIQIKKRGM
+>sp|Q96KG7|MEG10_HUMAN Multiple epidermal growth factor-like domains protein 10 OS=Homo sapiens OX=9606 GN=MEGF10 PE=1 SV=1
+MVISLNSCLSFICLLLCHWIGTASPLNLEDPNVCSHWESYSVTVQESYPHPFDQIYYTSCTDILNWFKCTRHRVSYRTAYRHGEKTMYRRKSQCCPGFYESGEMCVPHCADKCVHGRCIAPNTCQCEPGWGGTNCSSACDGDHWGPHCTSRCQCKNGALCNPITGACHCAAGFRGWRCEDRCEQGTYGNDCHQRCQCQNGATCDHVTGECRCPPGYTGAFCEDLCPPGKHGPQCEQRCPCQNGGVCHHVTGECSCPSGWMGTVCGQPCPEGRFGKNCSQECQCHNGGTCDAATGQCHCSPGYTGERCQDECPVGTYGVLCAETCQCVNGGKCYHVSGACLCEAGFAGERCEARLCPEGLYGIKCDKRCPCHLENTHSCHPMSGECACKPGWSGLYCNETCSPGFYGEACQQICSCQNGADCDSVTGKCTCAPGFKGIDCSTPCPLGTYGINCSSRCGCKNDAVCSPVDGSCTCKAGWHGVDCSIRCPSGTWGFGCNLTCQCLNGGACNTLDGTCTCAPGWRGEKCELPCQDGTYGLNCAERCDCSHADGCHPTTGHCRCLPGWSGVHCDSVCAEGRWGPNCSLPCYCKNGASCSPDDGICECAPGFRGTTCQRICSPGFYGHRCSQTCPQCVHSSGPCHHITGLCDCLPGFTGALCNEVCPSGRFGKNCAGICTCTNNGTCNPIDRSCQCYPGWIGSDCSQPCPPAHWGPNCIHTCNCHNGAFCSAYDGECKCTPGWTGLYCTQRCPLGFYGKDCALICQCQNGADCDHISGQCTCRTGFMGRHCEQKCPSGTYGYGCRQICDCLNNSTCDHITGTCYCSPGWKGARCDQAGVIIVGNLNSLSRTSTALPADSYQIGAIAGIIILVLVVLFLLALFIIYRHKQKGKESSMPAVTYTPAMRVVNADYTISGTLPHSNGGNANSHYFTNPSYHTLTQCATSPHVNNRDRMTVTKSKNNQLFVNLKNVNPGKRGPVGDCTGTLPADWKHGGYLNELGAFGLDRSYMGKSLKDLGKNSEYNSSNCSLSSSENPYATIKDPPVLIPKSSECGYVEMKSPARRDSPYAEINNSTSANRNVYEVEPTVSVVQGVFSNNGRLSQDPYDLPKNSHIPCHYDLLPVRDSSSSPKQEDSGGSSSNSSSSSE
+>DECOY_sp|Q96KG7|MEG10_HUMAN Multiple epidermal growth factor-like domains protein 10 OS=Homo sapiens OX=9606 GN=MEGF10 PE=1 SV=1
+ESSSSSNSSSGGSDEQKPSSSSDRVPLLDYHCPIHSNKPLDYPDQSLRGNNSFVGQVVSVTPEVEYVNRNASTSNNIEAYPSDRRAPSKMEVYGCESSKPILVPPDKITAYPNESSSLSCNSSNYESNKGLDKLSKGMYSRDLGFAGLENLYGGHKWDAPLTGTCDGVPGRKGPNVNKLNVFLQNNKSKTVTMRDRNNVHPSTACQTLTHYSPNTFYHSNANGGNSHPLTGSITYDANVVRMAPTYTVAPMSSEKGKQKHRYIIFLALLFLVVLVLIIIGAIAGIQYSDAPLATSTRSLSNLNGVIIVGAQDCRAGKWGPSCYCTGTIHDCTSNNLCDCIQRCGYGYTGSPCKQECHRGMFGTRCTCQGSIHDCDAGNQCQCILACDKGYFGLPCRQTCYLGTWGPTCKCEGDYASCFAGNHCNCTHICNPGWHAPPCPQSCDSGIWGPYCQCSRDIPNCTGNNTCTCIGACNKGFRGSPCVENCLAGTFGPLCDCLGTIHHCPGSSHVCQPCTQSCRHGYFGPSCIRQCTTGRFGPACECIGDDPSCSAGNKCYCPLSCNPGWRGEACVSDCHVGSWGPLCRCHGTTPHCGDAHSCDCREACNLGYTGDQCPLECKEGRWGPACTCTGDLTNCAGGNLCQCTLNCGFGWTGSPCRISCDVGHWGAKCTCSGDVPSCVADNKCGCRSSCNIGYTGLPCPTSCDIGKFGPACTCKGTVSDCDAGNQCSCIQQCAEGYFGPSCTENCYLGSWGPKCACEGSMPHCSHTNELHCPCRKDCKIGYLGEPCLRAECREGAFGAECLCAGSVHYCKGGNVCQCTEACLVGYTGVPCEDQCREGTYGPSCHCQGTAADCTGGNHCQCEQSCNKGFRGEPCPQGCVTGMWGSPCSCEGTVHHCVGGNQCPCRQECQPGHKGPPCLDECFAGTYGPPCRCEGTVHDCTAGNQCQCRQHCDNGYTGQECRDECRWGRFGAACHCAGTIPNCLAGNKCQCRSTCHPGWHDGDCASSCNTGGWGPECQCTNPAICRGHVCKDACHPVCMEGSEYFGPCCQSKRRYMTKEGHRYATRYSVRHRTCKFWNLIDTCSTYYIQDFPHPYSEQVTVSYSEWHSCVNPDELNLPSATGIWHCLLLCIFSLCSNLSIVM
+>sp|Q9H1U4|MEGF9_HUMAN Multiple epidermal growth factor-like domains protein 9 OS=Homo sapiens OX=9606 GN=MEGF9 PE=2 SV=3
+MNGGAERAMRSLPSLGGLALLCCAAAAAAAAVASAASAGNVTGGGGAAGQVDASPGPGLRGEPSHPFPRATAPTAQAPRTGPPRATVHRPLAATSPAQSPETTPLWATAGPSSTTFQAPLGPSPTTPPAAERTSTTSQAPTRPAPTTLSTTTGPAPTTPVATTVPAPTTPRTPTPDLPSSSNSSVLPTPPATEAPSSPPPEYVCNCSVVGSLNVNRCNQTTGQCECRPGYQGLHCETCKEGFYLNYTSGLCQPCDCSPHGALSIPCNSSGKCQCKVGVIGSICDRCQDGYYGFSKNGCLPCQCNNRSASCDALTGACLNCQENSKGNHCEECKEGFYQSPDATKECLRCPCSAVTSTGSCSIKSSELEPECDQCKDGYIGPNCNKCENGYYNFDSICRKCQCHGHVDPVKTPKICKPESGECINCLHNTTGFWCENCLEGYVHDLEGNCIKKEVILPTPEGSTILVSNASLTTSVPTPVINSTFTPTTLQTIFSVSTSENSTSALADVSWTQFNIIILTVIIIVVVLLMGFVGAVYMYREYQNRKLNAPFWTIELKEDNISFSSYHDSIPNADVSGLLEDDGNEVAPNGQLTLTTPIHNYKA
+>DECOY_sp|Q9H1U4|MEGF9_HUMAN Multiple epidermal growth factor-like domains protein 9 OS=Homo sapiens OX=9606 GN=MEGF9 PE=2 SV=3
+AKYNHIPTTLTLQGNPAVENGDDELLGSVDANPISDHYSSFSINDEKLEITWFPANLKRNQYERYMYVAGVFGMLLVVVIIIVTLIIINFQTWSVDALASTSNESTSVSFITQLTTPTFTSNIVPTPVSTTLSANSVLITSGEPTPLIVEKKICNGELDHVYGELCNECWFGTTNHLCNICEGSEPKCIKPTKVPDVHGHCQCKRCISDFNYYGNECKNCNPGIYGDKCQDCEPELESSKISCSGTSTVASCPCRLCEKTADPSQYFGEKCEECHNGKSNEQCNLCAGTLADCSASRNNCQCPLCGNKSFGYYGDQCRDCISGIVGVKCQCKGSSNCPISLAGHPSCDCPQCLGSTYNLYFGEKCTECHLGQYGPRCECQGTTQNCRNVNLSGVVSCNCVYEPPPSSPAETAPPTPLVSSNSSSPLDPTPTRPTTPAPVTTAVPTTPAPGTTTSLTTPAPRTPAQSTTSTREAAPPTTPSPGLPAQFTTSSPGATAWLPTTEPSQAPSTAALPRHVTARPPGTRPAQATPATARPFPHSPEGRLGPGPSADVQGAAGGGGTVNGASAASAVAAAAAAAACCLLALGGLSPLSRMAREAGGNM
+>sp|Q5TIA1|MEI1_HUMAN Meiosis inhibitor protein 1 OS=Homo sapiens OX=9606 GN=MEI1 PE=2 SV=2
+MAVRQAATAGTPGPRREEEAALLFERAHYRHDPRWLLPVTPRLCLACALELLPDPGVSLVRKKHMLSCFQDALVRHTSLVTQLVSQDQRVCIHFISVLFGLLCSMEDGSVTDLCIEVLIQITTQLKLEQTIRCLLDECHKELCNMPSMRGSLATLTLLGKLVDAIPALADELVMEHGNLMEHLLRGLVYPSEGIQASVCYLYGKLYSSPVAAEMLSGHFREKLFPLFLSILDGAQTKELQINCLGLLRQLLKYDLFVSMIMNQDGLGESAKNIEGSSGNTSLPLVLKKLLLSRDETLQVASAHCITAVLVHSPAKHASAFIHADIPEFLFEHLSSSSEVLVWSSCNCLTLLVEEPLFFSKCHTVYGIEAVVRSLQGSLKMNNIELHKQGLLLFAEILTRQPEEIKLFTSSAMCRDAGRALQEAVSSPVLEVAAEALKATSAFLRKDHQSTPPVQYGELQALLEAMLNRCAEFSQTLLSRRPLGHASSRDSEKAILQRGKFLLSTLEGFRSACRLAIEFQSEPSAQENPFTAPSAKKEDTLEAFSEFLLSACDSLCIPMVMRHLEQTTHPALMEVFLSILHNLFVIVPHMKEKFSKKLASSSFIRLTLELKARFCSGLSHSALNQVCSNFLYYMCLNLLSAPEKTGPPSKEELSAVSELLQHGLPQISSRSPESLAFLSDRQYMEGAARQRQYCILLLFYLAYIHEDRFVSEAELFEAVQSFLLSLQDQGERPPLVVFKASIYLLAICQDKDNTLRETMVSAIRKFLEGIPDLQLVYTHHPLLLRFFLLYPELMSRYGHRVLELWFFWEESSYEELDDVTSAGQPALPASLVVLFQLLRSIPSILLILLDLIYSSPVDTAHKVLISLRTFLRRNEDIQVGGLIRGHFLLILQRLLVEHGASPSGASGNLPLLLSLLSLMQLRNVSEQELDSVAMKLLHQVSKLCGKCSPTDVDILQPSFNFLYWSLHQTTPSSQKRAAAVLLSSTGLMELLEKMLALTLAKADSPRTALLCSAWLLTASFSAQQHKGSLQVHQTLSVEMDQVLKALSFPKKKAALLSAAILCFLRTALRQSFSSALVALVPSGAQPLPATKDTVLAPLRMSQVRSLVIGLQNLLVQKDPLLSQACVGCLEALLDYLDARSPDIALHVASQPWNRFLLFTLLDAGENSFLRPEILRLMTLFMRYRSSSVLSHEEVGDVLQGVALADLSTLSNTTLQALHGFFQQLQSMGHLADHSMAQTLQASLEGLPPSTSSGQPPLQDMLCLGGVAVSLSHIRN
+>DECOY_sp|Q5TIA1|MEI1_HUMAN Meiosis inhibitor protein 1 OS=Homo sapiens OX=9606 GN=MEI1 PE=2 SV=2
+NRIHSLSVAVGGLCLMDQLPPQGSSTSPPLGELSAQLTQAMSHDALHGMSQLQQFFGHLAQLTTNSLTSLDALAVGQLVDGVEEHSLVSSSRYRMFLTMLRLIEPRLFSNEGADLLTFLLFRNWPQSAVHLAIDPSRADLYDLLAELCGVCAQSLLPDKQVLLNQLGIVLSRVQSMRLPALVTDKTAPLPQAGSPVLAVLASSFSQRLATRLFCLIAASLLAAKKKPFSLAKLVQDMEVSLTQHVQLSGKHQQASFSATLLWASCLLATRPSDAKALTLALMKELLEMLGTSSLLVAAARKQSSPTTQHLSWYLFNFSPQLIDVDTPSCKGCLKSVQHLLKMAVSDLEQESVNRLQMLSLLSLLLPLNGSAGSPSAGHEVLLRQLILLFHGRILGGVQIDENRRLFTRLSILVKHATDVPSSYILDLLILLISPISRLLQFLVVLSAPLAPQGASTVDDLEEYSSEEWFFWLELVRHGYRSMLEPYLLFFRLLLPHHTYVLQLDPIGELFKRIASVMTERLTNDKDQCIALLYISAKFVVLPPREGQDQLSLLFSQVAEFLEAESVFRDEHIYALYFLLLICYQRQRAAGEMYQRDSLFALSEPSRSSIQPLGHQLLESVASLEEKSPPGTKEPASLLNLCMYYLFNSCVQNLASHSLGSCFRAKLELTLRIFSSSALKKSFKEKMHPVIVFLNHLISLFVEMLAPHTTQELHRMVMPICLSDCASLLFESFAELTDEKKASPATFPNEQASPESQFEIALRCASRFGELTSLLFKGRQLIAKESDRSSAHGLPRRSLLTQSFEACRNLMAELLAQLEGYQVPPTSQHDKRLFASTAKLAEAAVELVPSSVAEQLARGADRCMASSTFLKIEEPQRTLIEAFLLLGQKHLEINNMKLSGQLSRVVAEIGYVTHCKSFFLPEEVLLTLCNCSSWVLVESSSSLHEFLFEPIDAHIFASAHKAPSHVLVATICHASAVQLTEDRSLLLKKLVLPLSTNGSSGEINKASEGLGDQNMIMSVFLDYKLLQRLLGLCNIQLEKTQAGDLISLFLPFLKERFHGSLMEAAVPSSYLKGYLYCVSAQIGESPYVLGRLLHEMLNGHEMVLEDALAPIADVLKGLLTLTALSGRMSPMNCLEKHCEDLLCRITQELKLQTTIQILVEICLDTVSGDEMSCLLGFLVSIFHICVRQDQSVLQTVLSTHRVLADQFCSLMHKKRVLSVGPDPLLELACALCLRPTVPLLWRPDHRYHAREFLLAAEEERRPGPTGATAAQRVAM
+>sp|Q8N635|MEIOB_HUMAN Meiosis-specific with OB domain-containing protein OS=Homo sapiens OX=9606 GN=MEIOB PE=2 SV=3
+MANSFAARIFTTLSDLQTNMANLKVIGIVIGKTDVKGFPDRKNIGSERYTFSFTIRDSPAHFVNAASWGNEDYIKSLSDSFRVGDCVIIENPLIQRKEIEREEKFSPATPSNCKLLLSENHSTVKVCSSYEVDTKLLSLIHLPVKESHDYYSLGDIVANGHSLNGRIINVLAAVKSVGEPKYFTTSDRRKGQRCEVRLYDETESSFAMTCWDNESILLAQSWMPRETVIFASDVRINFDKFRNCMTATVISKTIITTNPDIPEANILLNFIRENKETNVLDDEIDSYFKESINLSTIVDVYTVEQLKGKALKNEGKADPSYGILYAYISTLNIDDETTKVVRNRCSSCGYIVNEASNMCTTCNKNSLDFKSVFLSFHVLIDLTDHTGTLHSCSLTGSVAEETLGCTFVLSHRARSGLKISVLSCKLADPTEASRNLSGQKHV
+>DECOY_sp|Q8N635|MEIOB_HUMAN Meiosis-specific with OB domain-containing protein OS=Homo sapiens OX=9606 GN=MEIOB PE=2 SV=3
+VHKQGSLNRSAETPDALKCSLVSIKLGSRARHSLVFTCGLTEEAVSGTLSCSHLTGTHDTLDILVHFSLFVSKFDLSNKNCTTCMNSAENVIYGCSSCRNRVVKTTEDDINLTSIYAYLIGYSPDAKGENKLAKGKLQEVTYVDVITSLNISEKFYSDIEDDLVNTEKNERIFNLLINAEPIDPNTTIITKSIVTATMCNRFKDFNIRVDSAFIVTERPMWSQALLISENDWCTMAFSSETEDYLRVECRQGKRRDSTTFYKPEGVSKVAALVNIIRGNLSHGNAVIDGLSYYDHSEKVPLHILSLLKTDVEYSSCVKVTSHNESLLLKCNSPTAPSFKEEREIEKRQILPNEIIVCDGVRFSDSLSKIYDENGWSAANVFHAPSDRITFSFTYRESGINKRDPFGKVDTKGIVIGIVKLNAMNTQLDSLTTFIRAAFSNAM
+>sp|O14770|MEIS2_HUMAN Homeobox protein Meis2 OS=Homo sapiens OX=9606 GN=MEIS2 PE=1 SV=2
+MAQRYDELPHYGGMDGVGVPASMYGDPHAPRPIPPVHHLNHGPPLHATQHYGAHAPHPNVMPASMGSAVNDALKRDKDAIYGHPLFPLLALVFEKCELATCTPREPGVAGGDVCSSDSFNEDIAVFAKQVRAEKPLFSSNPELDNLMIQAIQVLRFHLLELEKVHELCDNFCHRYISCLKGKMPIDLVIDERDGSSKSDHEELSGSSTNLADHNPSSWRDHDDATSTHSAGTPGPSSGGHASQSGDNSSEQGDGLDNSVASPGTGDDDDPDKDKKRQKKRGIFPKVATNIMRAWLFQHLTHPYPSEEQKKQLAQDTGLTILQVNNWFINARRRIVQPMIDQSNRAGFLLDPSVSQGAAYSPEGQPMGSFVLDGQQHMGIRPAGLQSMPGDYVSQGGPMGMSMAQPSYTPPQMTPHPTQLRHGPPMHSYLPSHPHHPAMMMHGGPPTHPGMTMSAQSPTMLNSVDPNVGGQVMDIHAQ
+>DECOY_sp|O14770|MEIS2_HUMAN Homeobox protein Meis2 OS=Homo sapiens OX=9606 GN=MEIS2 PE=1 SV=2
+QAHIDMVQGGVNPDVSNLMTPSQASMTMGPHTPPGGHMMMAPHHPHSPLYSHMPPGHRLQTPHPTMQPPTYSPQAMSMGMPGGQSVYDGPMSQLGAPRIGMHQQGDLVFSGMPQGEPSYAAGQSVSPDLLFGARNSQDIMPQVIRRRANIFWNNVQLITLGTDQALQKKQEESPYPHTLHQFLWARMINTAVKPFIGRKKQRKKDKDPDDDDGTGPSAVSNDLGDGQESSNDGSQSAHGGSSPGPTGASHTSTADDHDRWSSPNHDALNTSSGSLEEHDSKSSGDREDIVLDIPMKGKLCSIYRHCFNDCLEHVKELELLHFRLVQIAQIMLNDLEPNSSFLPKEARVQKAFVAIDENFSDSSCVDGGAVGPERPTCTALECKEFVLALLPFLPHGYIADKDRKLADNVASGMSAPMVNPHPAHAGYHQTAHLPPGHNLHHVPPIPRPAHPDGYMSAPVGVGDMGGYHPLEDYRQAM
+>sp|Q16820|MEP1B_HUMAN Meprin A subunit beta OS=Homo sapiens OX=9606 GN=MEP1B PE=1 SV=3
+MDLWNLSWFLFLDALLVISGLATPENFDVDGGMDQDIFDINEGLGLDLFEGDIRLDRAQIRNSIIGEKYRWPHTIPYVLEDSLEMNAKGVILNAFERYRLKTCIDFKPWAGETNYISVFKGSGCWSSVGNRRVGKQELSIGANCDRIATVQHEFLHALGFWHEQSRSDRDDYVRIMWDRILSGREHNFNTYSDDISDSLNVPYDYTSVMHYSKTAFQNGTEPTIVTRISDFEDVIGQRMDFSDSDLLKLNQLYNCSSSLSFMDSCSFELENVCGMIQSSGDNADWQRVSQVPRGPESDHSNMGQCQGSGFFMHFDSSSVNVGATAVLESRTLYPKRGFQCLQFYLYNSGSESDQLNIYIREYSADNVDGNLTLVEEIKEIPTGSWQLYHVTLKVTKKFRVVFEGRKGSGASLGGLSIDDINLSETRCPHHIWHIRNFTQFIGSPNGTLYSPPFYSSKGYAFQIYLNLAHVTNAGIYFHLISGANDDQLQWPCPWQQATMTLLDQNPDIRQRMSNQRSITTDPFMTTDNGNYFWDRPSKVGTVALFSNGTQFRRGGGYGTSAFITHERLKSRDFIKGDDVYILLTVEDISHLNSTQIQLTPAPSVQDLCSKTTCKNDGVCTVRDGKAECRCQSGEDWWYMGERCEKRGSTRDTIVIAVSSTVAVFALMLIITLVSVYCTRKKYRERMSSNRPNLTPQNQHAF
+>DECOY_sp|Q16820|MEP1B_HUMAN Meprin A subunit beta OS=Homo sapiens OX=9606 GN=MEP1B PE=1 SV=3
+FAHQNQPTLNPRNSSMRERYKKRTCYVSVLTIILMLAFVAVTSSVAIVITDRTSGRKECREGMYWWDEGSQCRCEAKGDRVTCVGDNKCTTKSCLDQVSPAPTLQIQTSNLHSIDEVTLLIYVDDGKIFDRSKLREHTIFASTGYGGGRRFQTGNSFLAVTGVKSPRDWFYNGNDTTMFPDTTISRQNSMRQRIDPNQDLLTMTAQQWPCPWQLQDDNAGSILHFYIGANTVHALNLYIQFAYGKSSYFPPSYLTGNPSGIFQTFNRIHWIHHPCRTESLNIDDISLGGLSAGSGKRGEFVVRFKKTVKLTVHYLQWSGTPIEKIEEVLTLNGDVNDASYERIYINLQDSESGSNYLYFQLCQFGRKPYLTRSELVATAGVNVSSSDFHMFFGSGQCQGMNSHDSEPGRPVQSVRQWDANDGSSQIMGCVNELEFSCSDMFSLSSSCNYLQNLKLLDSDSFDMRQGIVDEFDSIRTVITPETGNQFATKSYHMVSTYDYPVNLSDSIDDSYTNFNHERGSLIRDWMIRVYDDRDSRSQEHWFGLAHLFEHQVTAIRDCNAGISLEQKGVRRNGVSSWCGSGKFVSIYNTEGAWPKFDICTKLRYREFANLIVGKANMELSDELVYPITHPWRYKEGIISNRIQARDLRIDGEFLDLGLGENIDFIDQDMGGDVDFNEPTALGSIVLLADLFLFWSLNWLDM
+>sp|Q9BRJ9|MESP1_HUMAN Mesoderm posterior protein 1 OS=Homo sapiens OX=9606 GN=MESP1 PE=2 SV=1
+MAQPLCPPLSESWMLSAAWGPTRRPPPSDKDCGRSLVSSPDSWGSTPADSPVASPARPGTLRDPRAPSVGRRGARSSRLGSGQRQSASEREKLRMRTLARALHELRRFLPPSVAPAGQSLTKIETLRLAIRYIGHLSAVLGLSEESLQRRCRQRGDAGSPRGCPLCPDDCPAQMQTRTQAEGQGQGRGLGLVSAVRAGASWGSPPACPGARAAPEPRDPPALFAEAACPEGQAMEPSPPSPLLPGDVLALLETWMPLSPLEWLPEEPK
+>DECOY_sp|Q9BRJ9|MESP1_HUMAN Mesoderm posterior protein 1 OS=Homo sapiens OX=9606 GN=MESP1 PE=2 SV=1
+KPEEPLWELPSLPMWTELLALVDGPLLPSPPSPEMAQGEPCAAEAFLAPPDRPEPAARAGPCAPPSGWSAGARVASVLGLGRGQGQGEAQTRTQMQAPCDDPCLPCGRPSGADGRQRCRRQLSEESLGLVASLHGIYRIALRLTEIKTLSQGAPAVSPPLFRRLEHLARALTRMRLKERESASQRQGSGLRSSRAGRRGVSPARPDRLTGPRAPSAVPSDAPTSGWSDPSSVLSRGCDKDSPPPRRTPGWAASLMWSESLPPCLPQAM
+>sp|Q9HCE5|MET14_HUMAN N6-adenosine-methyltransferase non-catalytic subunit OS=Homo sapiens OX=9606 GN=METTL14 PE=1 SV=2
+MDSRLQEIRERQKLRRQLLAQQLGAESADSIGAVLNSKDEQREIAETRETCRASYDTSAPNAKRKYLDEGETDEDKMEEYKDELEMQQDEENLPYEEEIYKDSSTFLKGTQSLNPHNDYCQHFVDTGHRPQNFIRDVGLADRFEEYPKLRELIRLKDELIAKSNTPPMYLQADIEAFDIRELTPKFDVILLEPPLEEYYRETGITANEKCWTWDDIMKLEIDEIAAPRSFIFLWCGSGEGLDLGRVCLRKWGYRRCEDICWIKTNKNNPGKTKTLDPKAVFQRTKEHCLMGIKGTVKRSTDGDFIHANVDIDLIITEEPEIGNIEKPVEIFHIIEHFCLGRRRLHLFGRDSTIRPGWLTVGPTLTNSNYNAETYASYFSAPNSYLTGCTEEIERLRPKSPPPKSKSDRGGGAPRGGGRGGTSAGRGRERNRSNFRGERGGFRGGRGGAHRGGFPPR
+>DECOY_sp|Q9HCE5|MET14_HUMAN N6-adenosine-methyltransferase non-catalytic subunit OS=Homo sapiens OX=9606 GN=METTL14 PE=1 SV=2
+RPPFGGRHAGGRGGRFGGREGRFNSRNRERGRGASTGGRGGGRPAGGGRDSKSKPPPSKPRLREIEETCGTLYSNPASFYSAYTEANYNSNTLTPGVTLWGPRITSDRGFLHLRRRGLCFHEIIHFIEVPKEINGIEPEETIILDIDVNAHIFDGDTSRKVTGKIGMLCHEKTRQFVAKPDLTKTKGPNNKNTKIWCIDECRRYGWKRLCVRGLDLGEGSGCWLFIFSRPAAIEDIELKMIDDWTWCKENATIGTERYYEELPPELLIVDFKPTLERIDFAEIDAQLYMPPTNSKAILEDKLRILERLKPYEEFRDALGVDRIFNQPRHGTDVFHQCYDNHPNLSQTGKLFTSSDKYIEEEYPLNEEDQQMELEDKYEEMKDEDTEGEDLYKRKANPASTDYSARCTERTEAIERQEDKSNLVAGISDASEAGLQQALLQRRLKQRERIEQLRSDM
+>sp|Q6P1Q9|MET2B_HUMAN Methyltransferase-like protein 2B OS=Homo sapiens OX=9606 GN=METTL2B PE=1 SV=3
+MAGSYPEGAPAILADKRQQFGSRFLSDPARVFHHNAWDNVEWSEEQAAAAERKVQENSIQRVCQEKQVDYEINAHKYWNDFYKIHENGFFKDRHWLFTEFPELAPSQNQNHLKDWFLENKSEVCECRNNEDGPGLIMEEQHKCSSKSLEHKTQTPPVEENVTQKISDLEICADEFPGSSATYRILEVGCGVGNTVFPILQTNNDPGLFVYCCDFSSTAIELVQTNSEYDPSRCFAFVHDLCDEEKSYPVPKGSLDIIILIFVLSAVVPDKMQKAINRLSRLLKPGGMVLLRDYGRYDMAQLRFKKGQCLSGNFYVRGDGTRVYFFTQEELDTLFTTAGLEKVQNLVDRRLQVNRGKQLTMYRVWIQCKYCKPLLSSTS
+>DECOY_sp|Q6P1Q9|MET2B_HUMAN Methyltransferase-like protein 2B OS=Homo sapiens OX=9606 GN=METTL2B PE=1 SV=3
+STSSLLPKCYKCQIWVRYMTLQKGRNVQLRRDVLNQVKELGATTFLTDLEEQTFFYVRTGDGRVYFNGSLCQGKKFRLQAMDYRGYDRLLVMGGPKLLRSLRNIAKQMKDPVVASLVFILIIIDLSGKPVPYSKEEDCLDHVFAFCRSPDYESNTQVLEIATSSFDCCYVFLGPDNNTQLIPFVTNGVGCGVELIRYTASSGPFEDACIELDSIKQTVNEEVPPTQTKHELSKSSCKHQEEMILGPGDENNRCECVESKNELFWDKLHNQNQSPALEPFETFLWHRDKFFGNEHIKYFDNWYKHANIEYDVQKEQCVRQISNEQVKREAAAAQEESWEVNDWANHHFVRAPDSLFRSGFQQRKDALIAPAGEPYSGAM
+>sp|Q00266|METK1_HUMAN S-adenosylmethionine synthase isoform type-1 OS=Homo sapiens OX=9606 GN=MAT1A PE=1 SV=2
+MNGPVDGLCDHSLSEGVFMFTSESVGEGHPDKICDQISDAVLDAHLKQDPNAKVACETVCKTGMVLLCGEITSMAMVDYQRVVRDTIKHIGYDDSAKGFDFKTCNVLVALEQQSPDIAQCVHLDRNEEDVGAGDQGLMFGYATDETEECMPLTIILAHKLNARMADLRRSGLLPWLRPDSKTQVTVQYMQDNGAVIPVRIHTIVISVQHNEDITLEEMRRALKEQVIRAVVPAKYLDEDTVYHLQPSGRFVIGGPQGDAGVTGRKIIVDTYGGWGAHGGGAFSGKDYTKVDRSAAYAARWVAKSLVKAGLCRRVLVQVSYAIGVAEPLSISIFTYGTSQKTERELLDVVHKNFDLRPGVIVRDLDLKKPIYQKTACYGHFGRSEFPWEVPRKLVF
+>DECOY_sp|Q00266|METK1_HUMAN S-adenosylmethionine synthase isoform type-1 OS=Homo sapiens OX=9606 GN=MAT1A PE=1 SV=2
+FVLKRPVEWPFESRGFHGYCATKQYIPKKLDLDRVIVGPRLDFNKHVVDLLERETKQSTGYTFISISLPEAVGIAYSVQVLVRRCLGAKVLSKAVWRAAYAASRDVKTYDKGSFAGGGHAGWGGYTDVIIKRGTVGADGQPGGIVFRGSPQLHYVTDEDLYKAPVVARIVQEKLARRMEELTIDENHQVSIVITHIRVPIVAGNDQMYQVTVQTKSDPRLWPLLGSRRLDAMRANLKHALIITLPMCEETEDTAYGFMLGQDGAGVDEENRDLHVCQAIDPSQQELAVLVNCTKFDFGKASDDYGIHKITDRVVRQYDVMAMSTIEGCLLVMGTKCVTECAVKANPDQKLHADLVADSIQDCIKDPHGEGVSESTFMFVGESLSHDCLGDVPGNM
+>sp|P31153|METK2_HUMAN S-adenosylmethionine synthase isoform type-2 OS=Homo sapiens OX=9606 GN=MAT2A PE=1 SV=1
+MNGQLNGFHEAFIEEGTFLFTSESVGEGHPDKICDQISDAVLDAHLQQDPDAKVACETVAKTGMILLAGEITSRAAVDYQKVVREAVKHIGYDDSSKGFDYKTCNVLVALEQQSPDIAQGVHLDRNEEDIGAGDQGLMFGYATDETEECMPLTIVLAHKLNAKLAELRRNGTLPWLRPDSKTQVTVQYMQDRGAVLPIRVHTIVISVQHDEEVCLDEMRDALKEKVIKAVVPAKYLDEDTIYHLQPSGRFVIGGPQGDAGLTGRKIIVDTYGGWGAHGGGAFSGKDYTKVDRSAAYAARWVAKSLVKGGLCRRVLVQVSYAIGVSHPLSISIFHYGTSQKSERELLEIVKKNFDLRPGVIVRDLDLKKPIYQRTAAYGHFGRDSFPWEVPKKLKY
+>DECOY_sp|P31153|METK2_HUMAN S-adenosylmethionine synthase isoform type-2 OS=Homo sapiens OX=9606 GN=MAT2A PE=1 SV=1
+YKLKKPVEWPFSDRGFHGYAATRQYIPKKLDLDRVIVGPRLDFNKKVIELLERESKQSTGYHFISISLPHSVGIAYSVQVLVRRCLGGKVLSKAVWRAAYAASRDVKTYDKGSFAGGGHAGWGGYTDVIIKRGTLGADGQPGGIVFRGSPQLHYITDEDLYKAPVVAKIVKEKLADRMEDLCVEEDHQVSIVITHVRIPLVAGRDQMYQVTVQTKSDPRLWPLTGNRRLEALKANLKHALVITLPMCEETEDTAYGFMLGQDGAGIDEENRDLHVGQAIDPSQQELAVLVNCTKYDFGKSSDDYGIHKVAERVVKQYDVAARSTIEGALLIMGTKAVTECAVKADPDQQLHADLVADSIQDCIKDPHGEGVSESTFLFTGEEIFAEHFGNLQGNM
+>sp|Q9NRN9|METL5_HUMAN Methyltransferase-like protein 5 OS=Homo sapiens OX=9606 GN=METTL5 PE=1 SV=1
+MKKVRLKELESRLQQVDGFEKPKLLLEQYPTRPHIAACMLYTIHNTYDDIENKVVADLGCGCGVLSIGTAMLGAGLCVGFDIDEDALEIFNRNAEEFELTNIDMVQCDVCLLSNRMSKSFDTVIMNPPFGTKNNKGTDMAFLKTALEMARTAVYSLHKSSTREHVQKKAAEWKIKIDIIAELRYDLPASYKFHKKKSVDIEVDLIRFSF
+>DECOY_sp|Q9NRN9|METL5_HUMAN Methyltransferase-like protein 5 OS=Homo sapiens OX=9606 GN=METTL5 PE=1 SV=1
+FSFRILDVEIDVSKKKHFKYSAPLDYRLEAIIDIKIKWEAAKKQVHERTSSKHLSYVATRAMELATKLFAMDTGKNNKTGFPPNMIVTDFSKSMRNSLLCVDCQVMDINTLEFEEANRNFIELADEDIDFGVCLGAGLMATGISLVGCGCGLDAVVKNEIDDYTNHITYLMCAAIHPRTPYQELLLKPKEFGDVQQLRSELEKLRVKKM
+>sp|Q9H1A3|METL9_HUMAN Methyltransferase-like protein 9 OS=Homo sapiens OX=9606 GN=METTL9 PE=2 SV=1
+MRLLAGWLCLSLASVWLARRMWTLRSPLTRSLYVNMTSGPGGPAAAAGGRKENHQWYVCNREKLCESLQAVFVQSYLDQGTQIFLNNSIEKSGWLFIQLYHSFVSSVFSLFMSRTSINGLLGRGSMFVFSPDQFQRLLKINPDWKTHRLLDLGAGDGEVTKIMSPHFEEIYATELSETMIWQLQKKKYRVLGINEWQNTGFQYDVISCLNLLDRCDQPLTLLKDIRSVLEPTRGRVILALVLPFHPYVENVGGKWEKPSEILEIKGQNWEEQVNSLPEVFRKAGFVIEAFTRLPYLCEGDMYNDYYVLDDAVFVLKPV
+>DECOY_sp|Q9H1A3|METL9_HUMAN Methyltransferase-like protein 9 OS=Homo sapiens OX=9606 GN=METTL9 PE=2 SV=1
+VPKLVFVADDLVYYDNYMDGECLYPLRTFAEIVFGAKRFVEPLSNVQEEWNQGKIELIESPKEWKGGVNEVYPHFPLVLALIVRGRTPELVSRIDKLLTLPQDCRDLLNLCSIVDYQFGTNQWENIGLVRYKKKQLQWIMTESLETAYIEEFHPSMIKTVEGDGAGLDLLRHTKWDPNIKLLRQFQDPSFVFMSGRGLLGNISTRSMFLSFVSSVFSHYLQIFLWGSKEISNNLFIQTGQDLYSQVFVAQLSECLKERNCVYWQHNEKRGGAAAAPGGPGSTMNVYLSRTLPSRLTWMRRALWVSALSLCLWGALLRM
+>sp|Q641Q3|METRL_HUMAN Meteorin-like protein OS=Homo sapiens OX=9606 GN=METRNL PE=2 SV=1
+MRGAARAAWGRAGQPWPRPPAPGPPPPPLPLLLLLLAGLLGGAGAQYSSDRCSWKGSGLTHEAHRKEVEQVYLRCAAGAVEWMYPTGALIVNLRPNTFSPARHLTVCIRSFTDSSGANIYLEKTGELRLLVPDGDGRPGRVQCFGLEQGGLFVEATPQQDIGRRTTGFQYELVRRHRASDLHELSAPCRPCSDTEVLLAVCTSDFAVRGSIQQVTHEPERQDSAIHLRVSRLYRQKSRVFEPVPEGDGHWQGRVRTLLECGVRPGHGDFLFTGHMHFGEARLGCAPRFKDFQRMYRDAQERGLNPCEVGTD
+>DECOY_sp|Q641Q3|METRL_HUMAN Meteorin-like protein OS=Homo sapiens OX=9606 GN=METRNL PE=2 SV=1
+DTGVECPNLGREQADRYMRQFDKFRPACGLRAEGFHMHGTFLFDGHGPRVGCELLTRVRGQWHGDGEPVPEFVRSKQRYLRSVRLHIASDQREPEHTVQQISGRVAFDSTCVALLVETDSCPRCPASLEHLDSARHRRVLEYQFGTTRRGIDQQPTAEVFLGGQELGFCQVRGPRGDGDPVLLRLEGTKELYINAGSSDTFSRICVTLHRAPSFTNPRLNVILAGTPYMWEVAGAACRLYVQEVEKRHAEHTLGSGKWSCRDSSYQAGAGGLLGALLLLLLPLPPPPPGPAPPRPWPQGARGWAARAAGRM
+>sp|P55081|MFAP1_HUMAN Microfibrillar-associated protein 1 OS=Homo sapiens OX=9606 GN=MFAP1 PE=1 SV=2
+MSVPSALMKQPPIQSTAGAVPVRNEKGEISMEKVKVKRYVSGKRPDYAPMESSDEEDEEFQFIKKAKEQEAEPEEQEEDSSSDPRLRRLQNRISEDVEERLARHRKIVEPEVVGESDSEVEGDAWRMEREDSSEEEEEEIDDEEIERRRGMMRQRAQERKNEEMEVMEVEDEGRSGEESESESEYEEYTDSEDEMEPRLKPVFIRKKDRVTVQEREAEALKQKELEQEAKRMAEERRKYTLKIVEEETKKELEENKRSLAALDALNTDDENDEEEYEAWKVRELKRIKRDREDREALEKEKAEIERMRNLTEEERRAELRANGKVITNKAVKGKYKFLQKYYHRGAFFMDEDEEVYKRDFSAPTLEDHFNKTILPKVMQVKNFGRSGRTKYTHLVDQDTTSFDSAWGQESAQNTKFFKQKAAGVRDVFERPSAKKRKTT
+>DECOY_sp|P55081|MFAP1_HUMAN Microfibrillar-associated protein 1 OS=Homo sapiens OX=9606 GN=MFAP1 PE=1 SV=2
+TTKRKKASPREFVDRVGAAKQKFFKTNQASEQGWASDFSTTDQDVLHTYKTRGSRGFNKVQMVKPLITKNFHDELTPASFDRKYVEEDEDMFFAGRHYYKQLFKYKGKVAKNTIVKGNARLEARREEETLNRMREIEAKEKELAERDERDRKIRKLERVKWAEYEEEDNEDDTNLADLAALSRKNEELEKKTEEEVIKLTYKRREEAMRKAEQELEKQKLAEAEREQVTVRDKKRIFVPKLRPEMEDESDTYEEYESESESEEGSRGEDEVEMVEMEENKREQARQRMMGRRREIEEDDIEEEEEESSDEREMRWADGEVESDSEGVVEPEVIKRHRALREEVDESIRNQLRRLRPDSSSDEEQEEPEAEQEKAKKIFQFEEDEEDSSEMPAYDPRKGSVYRKVKVKEMSIEGKENRVPVAGATSQIPPQKMLASPVSM
+>sp|A6NFX1|MFS2B_HUMAN Major facilitator superfamily domain-containing protein 2B OS=Homo sapiens OX=9606 GN=MFSD2B PE=1 SV=4
+MAAPPAPAAKGSPQPEPHAPEPGPGSAKRGREDSRAGRLSFCTKVCYGIGGVPNQIASSATAFYLQLFLLDIAQIPAAQVSLVLFGGKVSGAAADPVAGFFINRSQRTGSGRLMPWVLGCTPFIALAYFFLWFLPPFTSLRGLWYTTFYCLFQALATFFQVPYTALTMLLTPCPRERDSATAYRMTVEMAGTLMGATVHGLIVSGAHRPHRCEATATPGPVTVSPNAAHLYCIAAAVVVVTYPVCISLLCLGVKERPDPSAPASGPGLSFLAGLSLTTRHPPYLKLVISFLFISAAVQVEQSYLVLFCTHASQLHDHVQGLVLTVLVSAVLSTPLWEWVLQRFGKKTSAFGIFAMVPFAILLAAVPTAPVAYVVAFVSGVSIAVSLLLPWSMLPDVVDDFQLQHRHGPGLETIFYSSYVFFTKLSGACALGISTLSLEFSGYKAGVCKQAEEVVVTLKVLIGAVPTCMILAGLCILMVGSTPKTPSRDASSRLSLRRRTSYSLA
+>DECOY_sp|A6NFX1|MFS2B_HUMAN Major facilitator superfamily domain-containing protein 2B OS=Homo sapiens OX=9606 GN=MFSD2B PE=1 SV=4
+ALSYSTRRRLSLRSSADRSPTKPTSGVMLICLGALIMCTPVAGILVKLTVVVEEAQKCVGAKYGSFELSLTSIGLACAGSLKTFFVYSSYFITELGPGHRHQLQFDDVVDPLMSWPLLLSVAISVGSVFAVVYAVPATPVAALLIAFPVMAFIGFASTKKGFRQLVWEWLPTSLVASVLVTLVLGQVHDHLQSAHTCFLVLYSQEVQVAASIFLFSIVLKLYPPHRTTLSLGALFSLGPGSAPASPDPREKVGLCLLSICVPYTVVVVAAAICYLHAANPSVTVPGPTATAECRHPRHAGSVILGHVTAGMLTGAMEVTMRYATASDRERPCPTLLMTLATYPVQFFTALAQFLCYFTTYWLGRLSTFPPLFWLFFYALAIFPTCGLVWPMLRGSGTRQSRNIFFGAVPDAAAGSVKGGFLVLSVQAAPIQAIDLLFLQLYFATASSAIQNPVGGIGYCVKTCFSLRGARSDERGRKASGPGPEPAHPEPQPSGKAAPAPPAAM
+>sp|Q8NHS3|MFSD8_HUMAN Major facilitator superfamily domain-containing protein 8 OS=Homo sapiens OX=9606 GN=MFSD8 PE=1 SV=1
+MAGLRNESEQEPLLGDTPGSREWDILETEEHYKSRWRSIRILYLTMFLSSVGFSVVMMSIWPYLQKIDPTADTSFLGWVIASYSLGQMVASPIFGLWSNYRPRKEPLIVSILISVAANCLYAYLHIPASHNKYYMLVARGLLGIGAGNVAVVRSYTAGATSLQERTSSMANISMCQALGFILGPVFQTCFTFLGEKGVTWDVIKLQINMYTTPVLLSAFLGILNIILILAILREHRVDDSGRQCKSINFEEASTDEAQVPQGNIDQVAVVAINVLFFVTLFIFALFETIITPLTMDMYAWTQEQAVLYNGIILAALGVEAVVIFLGVKLLSKKIGERAILLGGLIVVWVGFFILLPWGNQFPKIQWEDLHNNSIPNTTFGEIIIGLWKSPMEDDNERPTGCSIEQAWCLYTPVIHLAQFLTSAVLIGLGYPVCNLMSYTLYSKILGPKPQGVYMGWLTASGSGARILGPMFISQVYAHWGPRWAFSLVCGIIVLTITLLGVVYKRLIALSVRYGRIQE
+>DECOY_sp|Q8NHS3|MFSD8_HUMAN Major facilitator superfamily domain-containing protein 8 OS=Homo sapiens OX=9606 GN=MFSD8 PE=1 SV=1
+EQIRGYRVSLAILRKYVVGLLTITLVIIGCVLSFAWRPGWHAYVQSIFMPGLIRAGSGSATLWGMYVGQPKPGLIKSYLTYSMLNCVPYGLGILVASTLFQALHIVPTYLCWAQEISCGTPRENDDEMPSKWLGIIIEGFTTNPISNNHLDEWQIKPFQNGWPLLIFFGVWVVILGGLLIAREGIKKSLLKVGLFIVVAEVGLAALIIGNYLVAQEQTWAYMDMTLPTIITEFLAFIFLTVFFLVNIAVVAVQDINGQPVQAEDTSAEEFNISKCQRGSDDVRHERLIALILIINLIGLFASLLVPTTYMNIQLKIVDWTVGKEGLFTFCTQFVPGLIFGLAQCMSINAMSSTREQLSTAGATYSRVVAVNGAGIGLLGRAVLMYYKNHSAPIHLYAYLCNAAVSILISVILPEKRPRYNSWLGFIPSAVMQGLSYSAIVWGLFSTDATPDIKQLYPWISMMVVSFGVSSLFMTLYLIRISRWRSKYHEETELIDWERSGPTDGLLPEQESENRLGAM
+>sp|A9UHW6|MI4GD_HUMAN MIF4G domain-containing protein OS=Homo sapiens OX=9606 GN=MIF4GD PE=1 SV=1
+MGEPSREEYKIQSFDAETQQLLKTALKDPGAVDLEKVANVIVDHSLQDCVFSKEAGRMCYAIIQAESKQAGQSVFRRGLLNRLQQEYQAREQLRARSLQGWVCYVTFICNIFDYLRVNNMPMMALVNPVYDCLFRLAQPDSLSKEEEVDCLVLQLHRVGEQLEKMNGQRMDELFVLIRDGFLLPTGLSSLAQLLLLEIIEFRAAGWKTTPAAHKYYYSEVSD
+>DECOY_sp|A9UHW6|MI4GD_HUMAN MIF4G domain-containing protein OS=Homo sapiens OX=9606 GN=MIF4GD PE=1 SV=1
+DSVESYYYKHAAPTTKWGAARFEIIELLLLQALSSLGTPLLFGDRILVFLEDMRQGNMKELQEGVRHLQLVLCDVEEEKSLSDPQALRFLCDYVPNVLAMMPMNNVRLYDFINCIFTVYCVWGQLSRARLQERAQYEQQLRNLLGRRFVSQGAQKSEAQIIAYCMRGAEKSFVCDQLSHDVIVNAVKELDVAGPDKLATKLLQQTEADFSQIKYEERSPEGM
+>sp|A0JLT2|MED19_HUMAN Mediator of RNA polymerase II transcription subunit 19 OS=Homo sapiens OX=9606 GN=MED19 PE=1 SV=2
+MENFTALFGAQADPPPPPTALGFGPGKPPPPPPPPAGGGPGTAPPPTAATAPPGADKSGAGCGPFYLMRELPGSTELTGSTNLITHYNLEQAYNKFCGKKVKEKLSNFLPDLPGMIDLPGSHDNSSLRSLIEKPPILSSSFNPITGTMLAGFRLHTGPLPEQCRLMHIQPPKKKNKHKHKQSRTQDPVPPETPSDSDHKKKKKKKEEDPDRKRKKKEKKKKKNRHSPDHPGMGSSQASSSSSLR
+>DECOY_sp|A0JLT2|MED19_HUMAN Mediator of RNA polymerase II transcription subunit 19 OS=Homo sapiens OX=9606 GN=MED19 PE=1 SV=2
+RLSSSSSAQSSGMGPHDPSHRNKKKKKEKKKRKRDPDEEKKKKKKKHDSDSPTEPPVPDQTRSQKHKHKNKKKPPQIHMLRCQEPLPGTHLRFGALMTGTIPNFSSSLIPPKEILSRLSSNDHSGPLDIMGPLDPLFNSLKEKVKKGCFKNYAQELNYHTILNTSGTLETSGPLERMLYFPGCGAGSKDAGPPATAATPPPATGPGGGAPPPPPPPPKGPGFGLATPPPPPDAQAGFLATFNEM
+>sp|Q13503|MED21_HUMAN Mediator of RNA polymerase II transcription subunit 21 OS=Homo sapiens OX=9606 GN=MED21 PE=1 SV=1
+MADRLTQLQDAVNSLADQFCNAIGVLQQCGPPASFNNIQTAINKDQPANPTEEYAQLFAALIARTAKDIDVLIDSLPSEESTAALQAASLYKLEEENHEAATCLEDVVYRGDMLLEKIQSALADIAQSQLKTRSGTHSQSLPDS
+>DECOY_sp|Q13503|MED21_HUMAN Mediator of RNA polymerase II transcription subunit 21 OS=Homo sapiens OX=9606 GN=MED21 PE=1 SV=1
+SDPLSQSHTGSRTKLQSQAIDALASQIKELLMDGRYVVDELCTAAEHNEEELKYLSAAQLAATSEESPLSDILVDIDKATRAILAAFLQAYEETPNAPQDKNIATQINNFSAPPGCQQLVGIANCFQDALSNVADQLQTLRDAM
+>sp|Q15528|MED22_HUMAN Mediator of RNA polymerase II transcription subunit 22 OS=Homo sapiens OX=9606 GN=MED22 PE=1 SV=2
+MAQQRALPQSKETLLQSYNKRLKDDIKSIMDNFTEIIKTAKIEDETQVSRATQGEQDNYEMHVRAANIVRAGESLMKLVSDLKQFLILNDFPSVNEAIDQRNQQLRTLQEECDRKLITLRDEISIDLYELEEEYYSSSSSLCEANDLPLCEAYGRLDLDTDSADGLSAPLLASPEPSAGPLQVAAPAHSHAGGPGPTEHA
+>DECOY_sp|Q15528|MED22_HUMAN Mediator of RNA polymerase II transcription subunit 22 OS=Homo sapiens OX=9606 GN=MED22 PE=1 SV=2
+AHETPGPGGAHSHAPAAVQLPGASPEPSALLPASLGDASDTDLDLRGYAECLPLDNAECLSSSSSYYEEELEYLDISIEDRLTILKRDCEEQLTRLQQNRQDIAENVSPFDNLILFQKLDSVLKMLSEGARVINAARVHMEYNDQEGQTARSVQTEDEIKATKIIETFNDMISKIDDKLRKNYSQLLTEKSQPLARQQAM
+>sp|Q9ULK4|MED23_HUMAN Mediator of RNA polymerase II transcription subunit 23 OS=Homo sapiens OX=9606 GN=MED23 PE=1 SV=2
+METQLQSIFEEVVKTEVIEEAFPGMFMDTPEDEKTKLISCLGAFRQFWGGLSQESHEQCIQWIVKFIHGQHSPKRISFLYDCLAMAVETGLLPPRLVCESLINSDTLEWERTQLWALTFKLVRKIIGGVDYKGVRDLLKVILEKILTIPNTVSSAVVQQLLAAREVIAYILERNACLLPAYFAVTEIRKLYPEGKLPHWLLGNLVSDFVDTFRPTARINSICGRCSLLPVVNNSGAICNSWKLDPATLRFPLKGLLPYDKDLFEPQTALLRYVLEQPYSRDMVCNMLGLNKQHKQRCPVLEDQLVDLVVYAMERSETEEKFDDGGTSQLLWQHLSSQLIFFVLFQFASFPHMVLSLHQKLAGRGLIKGRDHLMWVLLQFISGSIQKNALADFLPVMKLFDLLYPEKEYIPVPDINKPQSTHAFAMTCIWIHLNRKAQNDNSKLQIPIPHSLRLHHEFLQQSLRNKSLQMNDYKIALLCNAYSTNSECFTLPMGALVETIYGNGIMRIPLPGTNCMASGSITPLPMNLLDSLTVHAKMSLIHSIATRVIKLAHAKSSVALAPALVETYSRLLVYMEIESLGIKGFISQLLPTVFKSHAWGILHTLLEMFSYRMHHIQPHYRVQLLSHLHTLAAVAQTNQNQLHLCVESTALRLITALGSSEVQPQFTRFLSDPKTVLSAESEELNRALILTLARATHVTDFFTGSDSIQGTWCKDILQTIMSFTPHNWASHTLSCFPGPLQAFFKQNNVPQESRFNLKKNVEEEYRKWKSMSNENDIITHFSMQGSPPLFLCLLWKMLLETDHINQIGYRVLERIGARALVAHVRTFADFLVYEFSTSAGGQQLNKCIEILNDMVWKYNIVTLDRLILCLAMRSHEGNEAQVCYFIIQLLLLKPNDFRNRVSDFVKENSPEHWLQNDWHTKHMNYHKKYPEKLYFEGLAEQVDPPVQIQSPYLPIYFGNVCLRFLPVFDIVIHRFLELLPVSKSLETLLDHLGGLYKFHDRPVTYLYNTLHYYEMHLRDRAFLKRKLVHAIIGSLKDNRPQGWCLSDTYLKCAMNAREENPWVPDDTYYCRLIGRLVDTMAGKSPGPFPNCDWRFNEFPNPAAHALHVTCVELMALAVSGKEVGNALLNVVLKSQPLVPRENITAWMNAIGLIITALPEPYWIVLHDRIVSVISSPSLTSETEWVGYPFRLFDFTACHQSYSEMSCSYTLALAHAVWHHSSIGQLSLIPKFLTEVLLPIVKTEFQLLYVYHLVGPFLQRFQQERTRCMIEIGVAFYDMLLNVDQCSTHLNYMDPICDFLYHMKYMFTGDSVKEQVEKIICNLKPALKLRLRFITHISKMEPAAVPPQAMNSGSPAPQSNQVPVSLPVTQ
+>DECOY_sp|Q9ULK4|MED23_HUMAN Mediator of RNA polymerase II transcription subunit 23 OS=Homo sapiens OX=9606 GN=MED23 PE=1 SV=2
+QTVPLSVPVQNSQPAPSGSNMAQPPVAAPEMKSIHTIFRLRLKLAPKLNCIIKEVQEKVSDGTFMYKMHYLFDCIPDMYNLHTSCQDVNLLMDYFAVGIEIMCRTREQQFRQLFPGVLHYVYLLQFETKVIPLLVETLFKPILSLQGISSHHWVAHALALTYSCSMESYSQHCATFDFLRFPYGVWETESTLSPSSIVSVIRDHLVIWYPEPLATIILGIANMWATINERPVLPQSKLVVNLLANGVEKGSVALAMLEVCTVHLAHAAPNPFENFRWDCNPFPGPSKGAMTDVLRGILRCYYTDDPVWPNEERANMACKLYTDSLCWGQPRNDKLSGIIAHVLKRKLFARDRLHMEYYHLTNYLYTVPRDHFKYLGGLHDLLTELSKSVPLLELFRHIVIDFVPLFRLCVNGFYIPLYPSQIQVPPDVQEALGEFYLKEPYKKHYNMHKTHWDNQLWHEPSNEKVFDSVRNRFDNPKLLLLQIIFYCVQAENGEHSRMALCLILRDLTVINYKWVMDNLIEICKNLQQGGASTSFEYVLFDAFTRVHAVLARAGIRELVRYGIQNIHDTELLMKWLLCLFLPPSGQMSFHTIIDNENSMSKWKRYEEEVNKKLNFRSEQPVNNQKFFAQLPGPFCSLTHSAWNHPTFSMITQLIDKCWTGQISDSGTFFDTVHTARALTLILARNLEESEASLVTKPDSLFRTFQPQVESSGLATILRLATSEVCLHLQNQNTQAVAALTHLHSLLQVRYHPQIHHMRYSFMELLTHLIGWAHSKFVTPLLQSIFGKIGLSEIEMYVLLRSYTEVLAPALAVSSKAHALKIVRTAISHILSMKAHVTLSDLLNMPLPTISGSAMCNTGPLPIRMIGNGYITEVLAGMPLTFCESNTSYANCLLAIKYDNMQLSKNRLSQQLFEHHLRLSHPIPIQLKSNDNQAKRNLHIWICTMAFAHTSQPKNIDPVPIYEKEPYLLDFLKMVPLFDALANKQISGSIFQLLVWMLHDRGKILGRGALKQHLSLVMHPFSAFQFLVFFILQSSLHQWLLQSTGGDDFKEETESREMAYVVLDVLQDELVPCRQKHQKNLGLMNCVMDRSYPQELVYRLLATQPEFLDKDYPLLGKLPFRLTAPDLKWSNCIAGSNNVVPLLSCRGCISNIRATPRFTDVFDSVLNGLLWHPLKGEPYLKRIETVAFYAPLLCANRELIYAIVERAALLQQVVASSVTNPITLIKELIVKLLDRVGKYDVGGIIKRVLKFTLAWLQTREWELTDSNILSECVLRPPLLGTEVAMALCDYLFSIRKPSHQGHIFKVIWQICQEHSEQSLGGWFQRFAGLCSILKTKEDEPTDMFMGPFAEEIVETKVVEEFISQLQTEM
+>sp|O75448|MED24_HUMAN Mediator of RNA polymerase II transcription subunit 24 OS=Homo sapiens OX=9606 GN=MED24 PE=1 SV=1
+MKVVNLKQAILQAWKERWSDYQWAINMKKFFPKGATWDILNLADALLEQAMIGPSPNPLILSYLKYAISSQMVSYSSVLTAISKFDDFSRDLCVQALLDIMDMFCDRLSCHGKAEECIGLCRALLSALHWLLRCTAASAERLREGLEAGTPAAGEKQLAMCLQRLEKTLSSTKNRALLHIAKLEEASSWTAIEHSLLKLGEILANLSNPQLRSQAEQCGTLIRSIPTMLSVHAEQMHKTGFPTVHAVILLEGTMNLTGETQSLVEQLTMVKRMQHIPTPLFVLEIWKACFVGLIESPEGTEELKWTAFTFLKIPQVLVKLKKYSHGDKDFTEDVNCAFEFLLKLTPLLDKADQRCNCDCTNFLLQECGKQGLLSEASVNNLMAKRKADREHAPQQKSGENANIQPNIQLILRAEPTVTNILKTMDADHSKSPEGLLGVLGHMLSGKSLDLLLAAAAATGKLKSFARKFINLNEFTTYGSEESTKPASVRALLFDISFLMLCHVAQTYGSEVILSESRTGAEVPFFETWMQTCMPEEGKILNPDHPCFRPDSTKVESLVALLNNSSEMKLVQMKWHEACLSISAAILEILNAWENGVLAFESIQKITDNIKGKVCSLAVCAVAWLVAHVRMLGLDEREKSLQMIRQLAGPLFSENTLQFYNERVVIMNSILERMCADVLQQTATQIKFPSTGVDTMPYWNLLPPKRPIKEVLTDIFAKVLEKGWVDSRSIHIFDTLLHMGGVYWFCNNLIKELLKETRKEHTLRAVELLYSIFCLDMQQVTLVLLGHILPGLLTDSSKWHSLMDPPGTALAKLAVWCALSSYSSHKGQASTRQKKRHREDIEDYISLFPLDDVQPSKLMRLLSSNEDDANILSSPTDRSMSSSLSASQLHTVNMRDPLNRVLANLFLLISSILGSRTAGPHTQFVQWFMEECVDCLEQGGRGSVLQFMPFTTVSELVKVSAMSSPKVVLAITDLSLPLGRQVAAKAIAAL
+>DECOY_sp|O75448|MED24_HUMAN Mediator of RNA polymerase II transcription subunit 24 OS=Homo sapiens OX=9606 GN=MED24 PE=1 SV=1
+LAAIAKAAVQRGLPLSLDTIALVVKPSSMASVKVLESVTTFPMFQLVSGRGGQELCDVCEEMFWQVFQTHPGATRSGLISSILLFLNALVRNLPDRMNVTHLQSASLSSSMSRDTPSSLINADDENSSLLRMLKSPQVDDLPFLSIYDEIDERHRKKQRTSAQGKHSSYSSLACWVALKALATGPPDMLSHWKSSDTLLGPLIHGLLVLTVQQMDLCFISYLLEVARLTHEKRTEKLLEKILNNCFWYVGGMHLLTDFIHISRSDVWGKELVKAFIDTLVEKIPRKPPLLNWYPMTDVGTSPFKIQTATQQLVDACMRELISNMIVVRENYFQLTNESFLPGALQRIMQLSKEREDLGLMRVHAVLWAVACVALSCVKGKINDTIKQISEFALVGNEWANLIELIAASISLCAEHWKMQVLKMESSNNLLAVLSEVKTSDPRFCPHDPNLIKGEEPMCTQMWTEFFPVEAGTRSESLIVESGYTQAVHCLMLFSIDFLLARVSAPKTSEESGYTTFENLNIFKRAFSKLKGTAAAAALLLDLSKGSLMHGLVGLLGEPSKSHDADMTKLINTVTPEARLILQINPQINANEGSKQQPAHERDAKRKAMLNNVSAESLLGQKGCEQLLFNTCDCNCRQDAKDLLPTLKLLFEFACNVDETFDKDGHSYKKLKVLVQPIKLFTFATWKLEETGEPSEILGVFCAKWIELVFLPTPIHQMRKVMTLQEVLSQTEGTLNMTGELLIVAHVTPFGTKHMQEAHVSLMTPISRILTGCQEAQSRLQPNSLNALIEGLKLLSHEIATWSSAEELKAIHLLARNKTSSLTKELRQLCMALQKEGAAPTGAELGERLREASAATCRLLWHLASLLARCLGICEEAKGHCSLRDCFMDMIDLLAQVCLDRSFDDFKSIATLVSSYSVMQSSIAYKLYSLILPNPSPGIMAQELLADALNLIDWTAGKPFFKKMNIAWQYDSWREKWAQLIAQKLNVVKM
+>sp|Q9NWA0|MED9_HUMAN Mediator of RNA polymerase II transcription subunit 9 OS=Homo sapiens OX=9606 GN=MED9 PE=1 SV=1
+MASAGVAAGRQAEDVLPPTSDQPLPDTKPLPPPQPPPVPAPQPQQSPAPRPQSPARAREEENYSFLPLVHNIIKCMDKDSPEVHQDLNALKSKFQEMRKLISTMPGIHLSPEQQQQQLQSLREQVRTKNELLQKYKSLCMFEIPKE
+>DECOY_sp|Q9NWA0|MED9_HUMAN Mediator of RNA polymerase II transcription subunit 9 OS=Homo sapiens OX=9606 GN=MED9 PE=1 SV=1
+EKPIEFMCLSKYKQLLENKTRVQERLSQLQQQQQEPSLHIGPMTSILKRMEQFKSKLANLDQHVEPSDKDMCKIINHVLPLFSYNEEERARAPSQPRPAPSQQPQPAPVPPPQPPPLPKTDPLPQDSTPPLVDEAQRGAAVGASAM
+>sp|Q99687|MEIS3_HUMAN Homeobox protein Meis3 OS=Homo sapiens OX=9606 GN=MEIS3 PE=2 SV=3
+MARRYDELPHYPGIVDGPAALASFPETVPAVPGPYGPHRPPQPLPPGLDSDGLKREKDEIYGHPLFPLLALVFEKCELATCSPRDGAGAGLGTPPGGDVCSSDSFNEDIAAFAKQVRSERPLFSSNPELDNLMIQAIQVLRFHLLELEKVHDLCDNFCHRYITCLKGKMPIDLVIEDRDGGCREDFEDYPASCPSLPDQNNMWIRDHEDSGSVHLGTPGPSSGGLASQSGDNSSDQGDGLDTSVASPSSGGEDEDLDQERRRNKKRGIFPKVATNIMRAWLFQHLSHPYPSEEQKKQLAQDTGLTILQVNNWFINARRRIVQPMIDQSNRTGQGAAFSPEGQPIGGYTETQPHVAVRPPGSVGMSLNLEGEWHYL
+>DECOY_sp|Q99687|MEIS3_HUMAN Homeobox protein Meis3 OS=Homo sapiens OX=9606 GN=MEIS3 PE=2 SV=3
+LYHWEGELNLSMGVSGPPRVAVHPQTETYGGIPQGEPSFAAGQGTRNSQDIMPQVIRRRANIFWNNVQLITLGTDQALQKKQEESPYPHSLHQFLWARMINTAVKPFIGRKKNRRREQDLDEDEGGSSPSAVSTDLGDGQDSSNDGSQSALGGSSPGPTGLHVSGSDEHDRIWMNNQDPLSPCSAPYDEFDERCGGDRDEIVLDIPMKGKLCTIYRHCFNDCLDHVKELELLHFRLVQIAQIMLNDLEPNSSFLPRESRVQKAFAAIDENFSDSSCVDGGPPTGLGAGAGDRPSCTALECKEFVLALLPFLPHGYIEDKERKLGDSDLGPPLPQPPRHPGYPGPVAPVTEPFSALAAPGDVIGPYHPLEDYRRAM
+>sp|O00255|MEN1_HUMAN Menin OS=Homo sapiens OX=9606 GN=MEN1 PE=1 SV=4
+MGLKAAQKTLFPLRSIDDVVRLFAAELGREEPDLVLLSLVLGFVEHFLAVNRVIPTNVPELTFQPSPAPDPPGGLTYFPVADLSIIAALYARFTAQIRGAVDLSLYPREGGVSSRELVKKVSDVIWNSLSRSYFKDRAHIQSLFSFITGWSPVGTKLDSSGVAFAVVGACQALGLRDVHLALSEDHAWVVFGPNGEQTAEVTWHGKGNEDRRGQTVNAGVAERSWLYLKGSYMRCDRKMEVAFMVCAINPSIDLHTDSLELLQLQQKLLWLLYDLGHLERYPMALGNLADLEELEPTPGRPDPLTLYHKGIASAKTYYRDEHIYPYMYLAGYHCRNRNVREALQAWADTATVIQDYNYCREDEEIYKEFFEVANDVIPNLLKEAASLLEAGEERPGEQSQGTQSQGSALQDPECFAHLLRFYDGICKWEEGSPTPVLHVGWATFLVQSLGRFEGQVRQKVRIVSREAEAAEAEEPWGEEAREGRRRGPRRESKPEEPPPPKKPALDKGLGTGQGAVSGPPRKPPGTVAGTARGPEGGSTAQVPAPTASPPPEGPVLTFQSEKMKGMKELLVATKINSSAIKLQLTAQSQVQMKKQKVSTPSDYTLSFLKRQRKGL
+>DECOY_sp|O00255|MEN1_HUMAN Menin OS=Homo sapiens OX=9606 GN=MEN1 PE=1 SV=4
+LGKRQRKLFSLTYDSPTSVKQKKMQVQSQATLQLKIASSNIKTAVLLEKMGKMKESQFTLVPGEPPPSATPAPVQATSGGEPGRATGAVTGPPKRPPGSVAGQGTGLGKDLAPKKPPPPEEPKSERRPGRRRGERAEEGWPEEAEAAEAERSVIRVKQRVQGEFRGLSQVLFTAWGVHLVPTPSGEEWKCIGDYFRLLHAFCEPDQLASGQSQTGQSQEGPREEGAELLSAAEKLLNPIVDNAVEFFEKYIEEDERCYNYDQIVTATDAWAQLAERVNRNRCHYGALYMYPYIHEDRYYTKASAIGKHYLTLPDPRGPTPELEELDALNGLAMPYRELHGLDYLLWLLKQQLQLLELSDTHLDISPNIACVMFAVEMKRDCRMYSGKLYLWSREAVGANVTQGRRDENGKGHWTVEATQEGNPGFVVWAHDESLALHVDRLGLAQCAGVVAFAVGSSDLKTGVPSWGTIFSFLSQIHARDKFYSRSLSNWIVDSVKKVLERSSVGGERPYLSLDVAGRIQATFRAYLAAIISLDAVPFYTLGGPPDPAPSPQFTLEPVNTPIVRNVALFHEVFGLVLSLLVLDPEERGLEAAFLRVVDDISRLPFLTKQAAKLGM
+>sp|Q9BUN1|MENT_HUMAN Protein MENT OS=Homo sapiens OX=9606 GN=MENT PE=2 SV=1
+MVPAAGALLWVLLLNLGPRAAGAQGLTQTPTEMQRVSLRFGGPMTRSYRSTARTGLPRKTRIILEDENDAMADADRLAGPAAAELLAATVSTGFSRSSAINEEDGSSEEGVVINAGKDSTSRELPSATPNTAGSSSTRFIANSQEPEIRLTSSLPRSPGRSTEDLPGSQATLSQWSTPGSTPSRWPSPSPTAMPSPEDLRLVLMPWGPWHCHCKSGTMSRSRSGKLHGLSGRLRVGALSQLRTEHKPCTYQQCPCNRLREECPLDTSLCTDTNCASQSTTSTRTTTTPFPTIHLRSSPSLPPASPCPALAFWKRVRIGLEDIWNSLSSVFTEMQPIDRNQR
+>DECOY_sp|Q9BUN1|MENT_HUMAN Protein MENT OS=Homo sapiens OX=9606 GN=MENT PE=2 SV=1
+RQNRDIPQMETFVSSLSNWIDELGIRVRKWFALAPCPSAPPLSPSSRLHITPFPTTTTRTSTTSQSACNTDTCLSTDLPCEERLRNCPCQQYTCPKHETRLQSLAGVRLRGSLGHLKGSRSRSMTGSKCHCHWPGWPMLVLRLDEPSPMATPSPSPWRSPTSGPTSWQSLTAQSGPLDETSRGPSRPLSSTLRIEPEQSNAIFRTSSSGATNPTASPLERSTSDKGANIVVGEESSGDEENIASSRSFGTSVTAALLEAAAPGALRDADAMADNEDELIIRTKRPLGTRATSRYSRTMPGGFRLSVRQMETPTQTLGQAGAARPGLNLLLVWLLAGAAPVM
+>sp|P50222|MEOX2_HUMAN Homeobox protein MOX-2 OS=Homo sapiens OX=9606 GN=MEOX2 PE=1 SV=2
+MEHPLFGCLRSPHATAQGLHPFSQSSLALHGRSDHMSYPELSTSSSSCIIAGYPNEEGMFASQHHRGHHHHHHHHHHHHHQQQQHQALQTNWHLPQMSSPPSAARHSLCLQPDSGGPPELGSSPPVLCSNSSSLGSSTPTGAACAPGDYGRQALSPAEAEKRSGGKRKSDSSDSQEGNYKSEVNSKPRKERTAFTKEQIRELEAEFAHHNYLTRLRRYEIAVNLDLTERQVKVWFQNRRMKWKRVKGGQQGAAAREKELVNVKKGTLLPSELSGIGAATLQQTGDSIANEDSHDSDHSSEHAHL
+>DECOY_sp|P50222|MEOX2_HUMAN Homeobox protein MOX-2 OS=Homo sapiens OX=9606 GN=MEOX2 PE=1 SV=2
+LHAHESSHDSDHSDENAISDGTQQLTAAGIGSLESPLLTGKKVNVLEKERAAAGQQGGKVRKWKMRRNQFWVKVQRETLDLNVAIEYRRLRTLYNHHAFEAELERIQEKTFATREKRPKSNVESKYNGEQSDSSDSKRKGGSRKEAEAPSLAQRGYDGPACAAGTPTSSGLSSSNSCLVPPSSGLEPPGGSDPQLCLSHRAASPPSSMQPLHWNTQLAQHQQQQHHHHHHHHHHHHHGRHHQSAFMGEENPYGAIICSSSSTSLEPYSMHDSRGHLALSSQSFPHLGQATAHPSRLCGFLPHEM
+>sp|Q9NQ76|MEPE_HUMAN Matrix extracellular phosphoglycoprotein OS=Homo sapiens OX=9606 GN=MEPE PE=1 SV=1
+MRVFCVGLLLFSVTWAAPTFQPQTEKTKQSCVEEQRQEEKNKDNIGFHHLGKRINQELSSKENIVQERKKDLSLSEASENKGSSKSQNYFTNRQRLNKEYSISNKENTHNGLRMSIYPKSTGNKGFEDGDDAISKLHDQEEYGAALIRNNMQHIMGPVTAIKLLGEENKENTPRNVLNIIPASMNYAKAHSKDKKKPQRDSQAQKSPVKSKSTHRIQHNIDYLKHLSKVKKIPSDFEGSGYTDLQERGDNDISPFSGDGQPFKDIPGKGEATGPDLEGKDIQTGFAGPSEAESTHLDTKKPGYNEIPEREENGGNTIGTRDETAKEADAVDVSLVEGSNDIMGSTNFKELPGREGNRVDAGSQNAHQGKVEFHYPPAPSKEKRKEGSSDAAESTNYNEIPKNGKGSTRKGVDHSNRNQATLNEKQRFPSKGKSQGLPIPSRGLDNEIKNEMDSFNGPSHENIITHGRKYHYVPHRQNNSTRNKGMPQGKGSWGRQPHSNRRFSSRRRDDSSESSDSGSSSESDGD
+>DECOY_sp|Q9NQ76|MEPE_HUMAN Matrix extracellular phosphoglycoprotein OS=Homo sapiens OX=9606 GN=MEPE PE=1 SV=1
+DGDSESSSGSDSSESSDDRRRSSFRRNSHPQRGWSGKGQPMGKNRTSNNQRHPVYHYKRGHTIINEHSPGNFSDMENKIENDLGRSPIPLGQSKGKSPFRQKENLTAQNRNSHDVGKRTSGKGNKPIENYNTSEAADSSGEKRKEKSPAPPYHFEVKGQHANQSGADVRNGERGPLEKFNTSGMIDNSGEVLSVDVADAEKATEDRTGITNGGNEEREPIENYGPKKTDLHTSEAESPGAFGTQIDKGELDPGTAEGKGPIDKFPQGDGSFPSIDNDGREQLDTYGSGEFDSPIKKVKSLHKLYDINHQIRHTSKSKVPSKQAQSDRQPKKKDKSHAKAYNMSAPIINLVNRPTNEKNEEGLLKIATVPGMIHQMNNRILAAGYEEQDHLKSIADDGDEFGKNGTSKPYISMRLGNHTNEKNSISYEKNLRQRNTFYNQSKSSGKNESAESLSLDKKREQVINEKSSLEQNIRKGLHHFGINDKNKEEQRQEEVCSQKTKETQPQFTPAAWTVSFLLLGVCFVRM
+>sp|Q8N4P3|MESH1_HUMAN Guanosine-3',5'-bis(diphosphate) 3'-pyrophosphohydrolase MESH1 OS=Homo sapiens OX=9606 GN=HDDC3 PE=1 SV=3
+MGSEAAQLLEAADFAARKHRQQRRKDPEGTPYINHPIGVARILTHEAGITDIVVLQAALLHDTVEDTDTTLDEVELHFGAQVRRLVEEVTDDKTLPKLERKRLQVEQAPHSSPGAKLVKLADKLYNLRDLNRCTPEGWSEHRVQEYFEWAAQVVKGLQGTNRQLEEALKHLFKQRGLTI
+>DECOY_sp|Q8N4P3|MESH1_HUMAN Guanosine-3',5'-bis(diphosphate) 3'-pyrophosphohydrolase MESH1 OS=Homo sapiens OX=9606 GN=HDDC3 PE=1 SV=3
+ITLGRQKFLHKLAEELQRNTGQLGKVVQAAWEFYEQVRHESWGEPTCRNLDRLNYLKDALKVLKAGPSSHPAQEVQLRKRELKPLTKDDTVEEVLRRVQAGFHLEVEDLTTDTDEVTDHLLAAQLVVIDTIGAEHTLIRAVGIPHNIYPTGEPDKRRQQRHKRAAFDAAELLQAAESGM
+>sp|P08581|MET_HUMAN Hepatocyte growth factor receptor OS=Homo sapiens OX=9606 GN=MET PE=1 SV=4
+MKAPAVLAPGILVLLFTLVQRSNGECKEALAKSEMNVNMKYQLPNFTAETPIQNVILHEHHIFLGATNYIYVLNEEDLQKVAEYKTGPVLEHPDCFPCQDCSSKANLSGGVWKDNINMALVVDTYYDDQLISCGSVNRGTCQRHVFPHNHTADIQSEVHCIFSPQIEEPSQCPDCVVSALGAKVLSSVKDRFINFFVGNTINSSYFPDHPLHSISVRRLKETKDGFMFLTDQSYIDVLPEFRDSYPIKYVHAFESNNFIYFLTVQRETLDAQTFHTRIIRFCSINSGLHSYMEMPLECILTEKRKKRSTKKEVFNILQAAYVSKPGAQLARQIGASLNDDILFGVFAQSKPDSAEPMDRSAMCAFPIKYVNDFFNKIVNKNNVRCLQHFYGPNHEHCFNRTLLRNSSGCEARRDEYRTEFTTALQRVDLFMGQFSEVLLTSISTFIKGDLTIANLGTSEGRFMQVVVSRSGPSTPHVNFLLDSHPVSPEVIVEHTLNQNGYTLVITGKKITKIPLNGLGCRHFQSCSQCLSAPPFVQCGWCHDKCVRSEECLSGTWTQQICLPAIYKVFPNSAPLEGGTRLTICGWDFGFRRNNKFDLKKTRVLLGNESCTLTLSESTMNTLKCTVGPAMNKHFNMSIIISNGHGTTQYSTFSYVDPVITSISPKYGPMAGGTLLTLTGNYLNSGNSRHISIGGKTCTLKSVSNSILECYTPAQTISTEFAVKLKIDLANRETSIFSYREDPIVYEIHPTKSFISGGSTITGVGKNLNSVSVPRMVINVHEAGRNFTVACQHRSNSEIICCTTPSLQQLNLQLPLKTKAFFMLDGILSKYFDLIYVHNPVFKPFEKPVMISMGNENVLEIKGNDIDPEAVKGEVLKVGNKSCENIHLHSEAVLCTVPNDLLKLNSELNIEWKQAISSTVLGKVIVQPDQNFTGLIAGVVSISTALLLLLGFFLWLKKRKQIKDLGSELVRYDARVHTPHLDRLVSARSVSPTTEMVSNESVDYRATFPEDQFPNSSQNGSCRQVQYPLTDMSPILTSGDSDISSPLLQNTVHIDLSALNPELVQAVQHVVIGPSSLIVHFNEVIGRGHFGCVYHGTLLDNDGKKIHCAVKSLNRITDIGEVSQFLTEGIIMKDFSHPNVLSLLGICLRSEGSPLVVLPYMKHGDLRNFIRNETHNPTVKDLIGFGLQVAKGMKYLASKKFVHRDLAARNCMLDEKFTVKVADFGLARDMYDKEYYSVHNKTGAKLPVKWMALESLQTQKFTTKSDVWSFGVLLWELMTRGAPPYPDVNTFDITVYLLQGRRLLQPEYCPDPLYEVMLKCWHPKAEMRPSFSELVSRISAIFSTFIGEHYVHVNATYVNVKCVAPYPSLLSSEDNADDEVDTRPASFWETS
+>DECOY_sp|P08581|MET_HUMAN Hepatocyte growth factor receptor OS=Homo sapiens OX=9606 GN=MET PE=1 SV=4
+STEWFSAPRTDVEDDANDESSLLSPYPAVCKVNVYTANVHVYHEGIFTSFIASIRSVLESFSPRMEAKPHWCKLMVEYLPDPCYEPQLLRRGQLLYVTIDFTNVDPYPPAGRTMLEWLLVGFSWVDSKTTFKQTQLSELAMWKVPLKAGTKNHVSYYEKDYMDRALGFDAVKVTFKEDLMCNRAALDRHVFKKSALYKMGKAVQLGFGILDKVTPNHTENRIFNRLDGHKMYPLVVLPSGESRLCIGLLSLVNPHSFDKMIIGETLFQSVEGIDTIRNLSKVACHIKKGDNDLLTGHYVCGFHGRGIVENFHVILSSPGIVVHQVAQVLEPNLASLDIHVTNQLLPSSIDSDGSTLIPSMDTLPYQVQRCSGNQSSNPFQDEPFTARYDVSENSVMETTPSVSRASVLRDLHPTHVRADYRVLESGLDKIQKRKKLWLFFGLLLLLATSISVVGAILGTFNQDPQVIVKGLVTSSIAQKWEINLESNLKLLDNPVTCLVAESHLHINECSKNGVKLVEGKVAEPDIDNGKIELVNENGMSIMVPKEFPKFVPNHVYILDFYKSLIGDLMFFAKTKLPLQLNLQQLSPTTCCIIESNSRHQCAVTFNRGAEHVNIVMRPVSVSNLNKGVGTITSGGSIFSKTPHIEYVIPDERYSFISTERNALDIKLKVAFETSITQAPTYCELISNSVSKLTCTKGGISIHRSNGSNLYNGTLTLLTGGAMPGYKPSISTIVPDVYSFTSYQTTGHGNSIIISMNFHKNMAPGVTCKLTNMTSESLTLTCSENGLLVRTKKLDFKNNRRFGFDWGCITLRTGGELPASNPFVKYIAPLCIQQTWTGSLCEESRVCKDHCWGCQVFPPASLCQSCSQFHRCGLGNLPIKTIKKGTIVLTYGNQNLTHEVIVEPSVPHSDLLFNVHPTSPGSRSVVVQMFRGESTGLNAITLDGKIFTSISTLLVESFQGMFLDVRQLATTFETRYEDRRAECGSSNRLLTRNFCHEHNPGYFHQLCRVNNKNVIKNFFDNVYKIPFACMASRDMPEASDPKSQAFVGFLIDDNLSAGIQRALQAGPKSVYAAQLINFVEKKTSRKKRKETLICELPMEMYSHLGSNISCFRIIRTHFTQADLTERQVTLFYIFNNSEFAHVYKIPYSDRFEPLVDIYSQDTLFMFGDKTEKLRRVSISHLPHDPFYSSNITNGVFFNIFRDKVSSLVKAGLASVVCDPCQSPEEIQPSFICHVESQIDATHNHPFVHRQCTGRNVSGCSILQDDYYTDVVLAMNINDKWVGGSLNAKSSCDQCPFCDPHELVPGTKYEAVKQLDEENLVYIYNTAGLFIHHEHLIVNQIPTEATFNPLQYKMNVNMESKALAEKCEGNSRQVLTFLLVLIGPALVAPAKM
+>sp|P55001|MFAP2_HUMAN Microfibrillar-associated protein 2 OS=Homo sapiens OX=9606 GN=MFAP2 PE=2 SV=1
+MRAAYLFLLFLPAGLLAQGQYDLDPLPPFPDHVQYTHYSDQIDNPDYYDYQEVTPRPSEEQFQFQSQQQVQQEVIPAPTPEPGNAELEPTEPGPLDCREEQYPCTRLYSIHRPCKQCLNEVCFYSLRRVYVINKEICVRTVCAHEELLRADLCRDKFSKCGVMASSGLCQSVAASCARSCGSC
+>DECOY_sp|P55001|MFAP2_HUMAN Microfibrillar-associated protein 2 OS=Homo sapiens OX=9606 GN=MFAP2 PE=2 SV=1
+CSGCSRACSAAVSQCLGSSAMVGCKSFKDRCLDARLLEEHACVTRVCIEKNIVYVRRLSYFCVENLCQKCPRHISYLRTCPYQEERCDLPGPETPELEANGPEPTPAPIVEQQVQQQSQFQFQEESPRPTVEQYDYYDPNDIQDSYHTYQVHDPFPPLPDLDYQGQALLGAPLFLLFLYAARM
+>sp|Q86YT6|MIB1_HUMAN E3 ubiquitin-protein ligase MIB1 OS=Homo sapiens OX=9606 GN=MIB1 PE=1 SV=1
+MSNSRNNRVMVEGVGARVVRGPDWKWGKQDGGEGHVGTVRSFESPEEVVVVWDNGTAANYRCSGAYDLRILDSAPTGIKHDGTMCDTCRQQPIIGIRWKCAECTNYDLCTVCYHGDKHHLRHRFYRITTPGSERVLLESRRKSKKITARGIFAGARVVRGVDWQWEDQDGGNGRRGKVTEIQDWSASSPHSAAYVLWDNGAKNLYRVGFEGMSDLKCVQDAKGGSFYRDHCPVLGEQNGNRNPGGLQIGDLVNIDLDLEIVQSLQHGHGGWTDGMFETLTTTGTVCGIDEDHDIVVQYPSGNRWTFNPAVLTKANIVRSGDAAQGAEGGTSQFQVGDLVQVCYDLERIKLLQRGHGEWAEAMLPTLGKVGRVQQIYSDSDLKVEVCGTSWTYNPAAVSKVASAGSAISNASGERLSQLLKKLFETQESGDLNEELVKAAANGDVAKVEDLLKRPDVDVNGQCAGHTAMQAASQNGHVDILKLLLKQNVDVEAEDKDGDRAVHHAAFGDEGAVIEVLHRGSADLNARNKRRQTPLHIAVNKGHLQVVKTLLDFGCHPSLQDSEGDTPLHDAISKKRDDILAVLLEAGADVTITNNNGFNALHHAALRGNPSAMRVLLSKLPRPWIVDEKKDDGYTALHLAALNNHVEVAELLVHQGNANLDIQNVNQQTALHLAVERQHTQIVRLLVRAGAKLDIQDKDGDTPLHEALRHHTLSQLRQLQDMQDVGKVDAAWEPSKNTLIMGLGTQGAEKKSAASIACFLAANGADLSIRNKKGQSPLDLCPDPNLCKALAKCHKEKVSGQVGSRSPSMISNDSETLEECMVCSDMKRDTLFGPCGHIATCSLCSPRVKKCLICKEQVQSRTKIEECVVCSDKKAAVLFQPCGHMCACENCANLMKKCVQCRAVVERRVPFIMCCGGKSSEDATDDISSGNIPVLQKDKDNTNVNADVQKLQQQLQDIKEQTMCPVCLDRLKNMIFLCGHGTCQLCGDRMSECPICRKAIERRILLY
+>DECOY_sp|Q86YT6|MIB1_HUMAN E3 ubiquitin-protein ligase MIB1 OS=Homo sapiens OX=9606 GN=MIB1 PE=1 SV=1
+YLLIRREIAKRCIPCESMRDGCLQCTGHGCLFIMNKLRDLCVPCMTQEKIDQLQQQLKQVDANVNTNDKDKQLVPINGSSIDDTADESSKGGCCMIFPVRREVVARCQVCKKMLNACNECACMHGCPQFLVAAKKDSCVVCEEIKTRSQVQEKCILCKKVRPSCLSCTAIHGCPGFLTDRKMDSCVMCEELTESDNSIMSPSRSGVQGSVKEKHCKALAKCLNPDPCLDLPSQGKKNRISLDAGNAALFCAISAASKKEAGQTGLGMILTNKSPEWAADVKGVDQMDQLQRLQSLTHHRLAEHLPTDGDKDQIDLKAGARVLLRVIQTHQREVALHLATQQNVNQIDLNANGQHVLLEAVEVHNNLAALHLATYGDDKKEDVIWPRPLKSLLVRMASPNGRLAAHHLANFGNNNTITVDAGAELLVALIDDRKKSIADHLPTDGESDQLSPHCGFDLLTKVVQLHGKNVAIHLPTQRRKNRANLDASGRHLVEIVAGEDGFAAHHVARDGDKDEAEVDVNQKLLLKLIDVHGNQSAAQMATHGACQGNVDVDPRKLLDEVKAVDGNAAAKVLEENLDGSEQTEFLKKLLQSLREGSANSIASGASAVKSVAAPNYTWSTGCVEVKLDSDSYIQQVRGVKGLTPLMAEAWEGHGRQLLKIRELDYCVQVLDGVQFQSTGGEAGQAADGSRVINAKTLVAPNFTWRNGSPYQVVIDHDEDIGCVTGTTTLTEFMGDTWGGHGHQLSQVIELDLDINVLDGIQLGGPNRNGNQEGLVPCHDRYFSGGKADQVCKLDSMGEFGVRYLNKAGNDWLVYAASHPSSASWDQIETVKGRRGNGGDQDEWQWDVGRVVRAGAFIGRATIKKSKRRSELLVRESGPTTIRYFRHRLHHKDGHYCVTCLDYNTCEACKWRIGIIPQQRCTDCMTGDHKIGTPASDLIRLDYAGSCRYNAATGNDWVVVVEEPSEFSRVTGVHGEGGDQKGWKWDPGRVVRAGVGEVMVRNNRSNSM
+>sp|Q96AX9|MIB2_HUMAN E3 ubiquitin-protein ligase MIB2 OS=Homo sapiens OX=9606 GN=MIB2 PE=1 SV=3
+MGWKPSEARGQSQSFQASGLQPRSLKAARRATGRPDRSRAARPTMDPSAHRSRAAPPNMDPDPQAGVQVGMRVVRGVDWKWGQQDGGEGGVGTVVELGRHGSPSTPDRTVVVQWDQGTRTNYRAGYQGAHDLLLYDNAQIGVRHPNIICDCCKKHGLRGMRWKCRVCLDYDLCTQCYMHNKHELAHAFDRYETAHSRPVTLSPRQGLPRIPLRGIFQGAKVVRGPDWEWGSQDGGEGKPGRVVDIRGWDVETGRSVASVTWADGTTNVYRVGHKGKVDLKCVGEAAGGFYYKDHLPRLGKPAELQRRVSADSQPFQHGDKVKCLLDTDVLREMQEGHGGWNPRMAEFIGQTGTVHRITDRGDVRVQFNHETRWTFHPGALTKHHSFWVGDVVRVIGDLDTVKRLQAGHGEWTDDMAPALGRVGKVVKVFGDGNLRVAVAGQRWTFSPSCLVAYRPEEDANLDVAERARENKSSLSVALDKLRAQKSDPEHPGRLVVEVALGNAARALDLLRRRPEQVDTKNQGRTALQVAAYLGQVELIRLLLQARAGVDLPDDEGNTALHYAALGNQPEATRVLLSAGCRADAINSTQSTALHVAVQRGFLEVVRALCERGCDVNLPDAHSDTPLHSAISAGTGASGIVEVLTEVPNIDVTATNSQGFTLLHHASLKGHALAVRKILARARQLVDAKKEDGFTALHLAALNNHREVAQILIREGRCDVNVRNRKLQSPLHLAVQQAHVGLVPLLVDAGCSVNAEDEEGDTALHVALQRHQLLPLVADGAGGDPGPLQLLSRLQASGLPGSAELTVGAAVACFLALEGADVSYTNHRGRSPLDLAAEGRVLKALQGCAQRFRERQAGGGAAPGPRQTLGTPNTVTNLHVGAAPGPEAAECLVCSELALLVLFSPCQHRTVCEECARRMKKCIRCQVVVSKKLRPDGSEVASAAPAPGPPRQLVEELQSRYRQMEERITCPICIDSHIRLVFQCGHGACAPCGSALSACPICRQPIRDRIQIFV
+>DECOY_sp|Q96AX9|MIB2_HUMAN E3 ubiquitin-protein ligase MIB2 OS=Homo sapiens OX=9606 GN=MIB2 PE=1 SV=3
+VFIQIRDRIPQRCIPCASLASGCPACAGHGCQFVLRIHSDICIPCTIREEMQRYRSQLEEVLQRPPGPAPAASAVESGDPRLKKSVVVQCRICKKMRRACEECVTRHQCPSFLVLLALESCVLCEAAEPGPAAGVHLNTVTNPTGLTQRPGPAAGGGAQRERFRQACGQLAKLVRGEAALDLPSRGRHNTYSVDAGELALFCAVAAGVTLEASGPLGSAQLRSLLQLPGPDGGAGDAVLPLLQHRQLAVHLATDGEEDEANVSCGADVLLPVLGVHAQQVALHLPSQLKRNRVNVDCRGERILIQAVERHNNLAALHLATFGDEKKADVLQRARALIKRVALAHGKLSAHHLLTFGQSNTATVDINPVETLVEVIGSAGTGASIASHLPTDSHADPLNVDCGRECLARVVELFGRQVAVHLATSQTSNIADARCGASLLVRTAEPQNGLAAYHLATNGEDDPLDVGARAQLLLRILEVQGLYAAVQLATRGQNKTDVQEPRRRLLDLARAANGLAVEVVLRGPHEPDSKQARLKDLAVSLSSKNERAREAVDLNADEEPRYAVLCSPSFTWRQGAVAVRLNGDGFVKVVKGVRGLAPAMDDTWEGHGAQLRKVTDLDGIVRVVDGVWFSHHKTLAGPHFTWRTEHNFQVRVDGRDTIRHVTGTQGIFEAMRPNWGGHGEQMERLVDTDLLCKVKDGHQFPQSDASVRRQLEAPKGLRPLHDKYYFGGAAEGVCKLDVKGKHGVRYVNTTGDAWTVSAVSRGTEVDWGRIDVVRGPKGEGGDQSGWEWDPGRVVKAGQFIGRLPIRPLGQRPSLTVPRSHATEYRDFAHALEHKNHMYCQTCLDYDLCVRCKWRMGRLGHKKCCDCIINPHRVGIQANDYLLLDHAGQYGARYNTRTGQDWQVVVTRDPTSPSGHRGLEVVTGVGGEGGDQQGWKWDVGRVVRMGVQVGAQPDPDMNPPAARSRHASPDMTPRAARSRDPRGTARRAAKLSRPQLGSAQFSQSQGRAESPKWGM
+>sp|Q9BPX6|MICU1_HUMAN Calcium uptake protein 1, mitochondrial OS=Homo sapiens OX=9606 GN=MICU1 PE=1 SV=1
+MFRLNSLSALAELAVGSRWYHGGSQPIQIRRRLMMVAFLGASAVTASTGLLWKRAHAESPPCVDNLKSDIGDKGKNKDEGDVCNHEKKTADLAPHPEEKKKKRSGFRDRKVMEYENRIRAYSTPDKIFRYFATLKVISEPGEAEVFMTPEDFVRSITPNEKQPEHLGLDQYIIKRFDGKKISQEREKFADEGSIFYTLGECGLISFSDYIFLTTVLSTPQRNFEIAFKMFDLNGDGEVDMEEFEQVQSIIRSQTSMGMRHRDRPTTGNTLKSGLCSALTTYFFGADLKGKLTIKNFLEFQRKLQHDVLKLEFERHDPVDGRITERQFGGMLLAYSGVQSKKLTAMQRQLKKHFKEGKGLTFQEVENFFTFLKNINDVDTALSFYHMAGASLDKVTMQQVARTVAKVELSDHVCDVVFALFDCDGNGELSNKEFVSIMKQRLMRGLEKPKDMGFTRLMQAMWKCAQETAWDFALPKQ
+>DECOY_sp|Q9BPX6|MICU1_HUMAN Calcium uptake protein 1, mitochondrial OS=Homo sapiens OX=9606 GN=MICU1 PE=1 SV=1
+QKPLAFDWATEQACKWMAQMLRTFGMDKPKELGRMLRQKMISVFEKNSLEGNGDCDFLAFVVDCVHDSLEVKAVTRAVQQMTVKDLSAGAMHYFSLATDVDNINKLFTFFNEVEQFTLGKGEKFHKKLQRQMATLKKSQVGSYALLMGGFQRETIRGDVPDHREFELKLVDHQLKRQFELFNKITLKGKLDAGFFYTTLASCLGSKLTNGTTPRDRHRMGMSTQSRIISQVQEFEEMDVEGDGNLDFMKFAIEFNRQPTSLVTTLFIYDSFSILGCEGLTYFISGEDAFKEREQSIKKGDFRKIIYQDLGLHEPQKENPTISRVFDEPTMFVEAEGPESIVKLTAFYRFIKDPTSYARIRNEYEMVKRDRFGSRKKKKEEPHPALDATKKEHNCVDGEDKNKGKDGIDSKLNDVCPPSEAHARKWLLGTSATVASAGLFAVMMLRRRIQIPQSGGHYWRSGVALEALASLSNLRFM
+>sp|Q9NQG6|MID51_HUMAN Mitochondrial dynamics protein MID51 OS=Homo sapiens OX=9606 GN=MIEF1 PE=1 SV=1
+MAGAGERKGKKDDNGIGTAIDFVLSNARLVLGVGGAAMLGIATLAVKRMYDRAISAPTSPTRLSHSGKRSWEEPNWMGSPRLLNRDMKTGLSRSLQTLPTDSSTFDTDTFCPPRPKPVARKGQVDLKKSRLRMSLQEKLLTYYRNRAAIPAGEQARAKQAAVDICAELRSFLRAKLPDMPLRDMYLSGSLYDDLQVVTADHIQLIVPLVLEQNLWSCIPGEDTIMNVPGFFLVRRENPEYFPRGSSYWDRCVVGGYLSPKTVADTFEKVVAGSINWPAIGSLLDYVIRPAPPPEALTLEVQYERDKHLFIDFLPSVTLGDTVLVAKPHRLAQYDNLWRLSLRPAETARLRALDQADSGCRSLCLKILKAICKSTPALGHLTASQLTNVILHLAQEEADWSPDMLADRFLQALRGLISYLEAGVLPSALNPKVNLFAELTPEEIDELGYTLYCSLSEPEVLLQT
+>DECOY_sp|Q9NQG6|MID51_HUMAN Mitochondrial dynamics protein MID51 OS=Homo sapiens OX=9606 GN=MIEF1 PE=1 SV=1
+TQLLVEPESLSCYLTYGLEDIEEPTLEAFLNVKPNLASPLVGAELYSILGRLAQLFRDALMDPSWDAEEQALHLIVNTLQSATLHGLAPTSKCIAKLIKLCLSRCGSDAQDLARLRATEAPRLSLRWLNDYQALRHPKAVLVTDGLTVSPLFDIFLHKDREYQVELTLAEPPPAPRIVYDLLSGIAPWNISGAVVKEFTDAVTKPSLYGGVVCRDWYSSGRPFYEPNERRVLFFGPVNMITDEGPICSWLNQELVLPVILQIHDATVVQLDDYLSGSLYMDRLPMDPLKARLFSRLEACIDVAAQKARAQEGAPIAARNRYYTLLKEQLSMRLRSKKLDVQGKRAVPKPRPPCFTDTDFTSSDTPLTQLSRSLGTKMDRNLLRPSGMWNPEEWSRKGSHSLRTPSTPASIARDYMRKVALTAIGLMAAGGVGLVLRANSLVFDIATGIGNDDKKGKREGAGAM
+>sp|L0R8F8|MIDUO_HUMAN MIEF1 upstream open reading frame protein OS=Homo sapiens OX=9606 GN=MIEF1 PE=1 SV=1
+MAPWSREAVLSLYRALLRQGRQLRYTDRDFYFASIRREFRKNQKLEDAEARERQLEKGLVFLNGKLGRII
+>DECOY_sp|L0R8F8|MIDUO_HUMAN MIEF1 upstream open reading frame protein OS=Homo sapiens OX=9606 GN=MIEF1 PE=1 SV=1
+IIRGLKGNLFVLGKELQRERAEADELKQNKRFERRISAFYFDRDTYRLQRGQRLLARYLSLVAERSWPAM
+>sp|Q8NAN2|MIGA1_HUMAN Mitoguardin 1 OS=Homo sapiens OX=9606 GN=MIGA1 PE=1 SV=1
+MSDCCSAPGISWEAGVGRPAVPGLELQIRRGAMSEETVSESQFSLKTAALRVFDLPLTWYYSLSQIKFSPVAKKLFVVTAVSAISVIFLAHHFKRKRGKKKGKILPWEPEHLILEYTKRAASDKGSSCSSSRQNLTLSLSSTKDKGSQVCNYANGGLFSKYSGSAQSLASVQSVNSCHSCACGNSNSWDKADEDDIKLVNIPVTTPENLYLMGMELFEEALRRWEQALTFRNRQAEDEACGSIKLGAGDAIAEENVDDIISTEFIHKLEALLQRAYRLQEEFEATLGASDPNSLADDIDKDTDITMKGNVEDFGLRDTLSIASTDSFASAAELAEHREVRHTYSLESLCHCPFYEEAMHLVEEGKIYSRVLRTEMLECLGDSDFLAKLHCIRQAFQVILSESANRIFLAESGRKILSALIVKARKNPKKFEDVFDEMIYFLEQTDHWGSTEMELAARGVKNLNFYDVVLDFILMDSFEDLENPPTSIQNVVNNRWLNSSFKETAVASSCWSVLKQKRQQMKIPDGFFAHFYAICEHISPVLAWGFLGPRNSLYDLCCFFKNQVLLFLKDIFDFEKVRYSSTETLAEDLMQLLIRRTELLMAYLEADALRHTSSCLSSHGHVMSTGLLEAKVQ
+>DECOY_sp|Q8NAN2|MIGA1_HUMAN Mitoguardin 1 OS=Homo sapiens OX=9606 GN=MIGA1 PE=1 SV=1
+QVKAELLGTSMVHGHSSLCSSTHRLADAELYAMLLETRRILLQMLDEALTETSSYRVKEFDFIDKLFLLVQNKFFCCLDYLSNRPGLFGWALVPSIHECIAYFHAFFGDPIKMQQRKQKLVSWCSSAVATEKFSSNLWRNNVVNQISTPPNELDEFSDMLIFDLVVDYFNLNKVGRAALEMETSGWHDTQELFYIMEDFVDEFKKPNKRAKVILASLIKRGSEALFIRNASESLIVQFAQRICHLKALFDSDGLCELMETRLVRSYIKGEEVLHMAEEYFPCHCLSELSYTHRVERHEALEAASAFSDTSAISLTDRLGFDEVNGKMTIDTDKDIDDALSNPDSAGLTAEFEEQLRYARQLLAELKHIFETSIIDDVNEEAIADGAGLKISGCAEDEAQRNRFTLAQEWRRLAEEFLEMGMLYLNEPTTVPINVLKIDDEDAKDWSNSNGCACSHCSNVSQVSALSQASGSYKSFLGGNAYNCVQSGKDKTSSLSLTLNQRSSSCSSGKDSAARKTYELILHEPEWPLIKGKKKGRKRKFHHALFIVSIASVATVVFLKKAVPSFKIQSLSYYWTLPLDFVRLAATKLSFQSESVTEESMAGRRIQLELGPVAPRGVGAEWSIGPASCCDSM
+>sp|P20774|MIME_HUMAN Mimecan OS=Homo sapiens OX=9606 GN=OGN PE=1 SV=1
+MKTLQSTLLLLLLVPLIKPAPPTQQDSRIIYDYGTDNFEESIFSQDYEDKYLDGKNIKEKETVIIPNEKSLQLQKDEAITPLPPKKENDEMPTCLLCVCLSGSVYCEEVDIDAVPPLPKESAYLYARFNKIKKLTAKDFADIPNLRRLDFTGNLIEDIEDGTFSKLSLLEELSLAENQLLKLPVLPPKLTLFNAKYNKIKSRGIKANAFKKLNNLTFLYLDHNALESVPLNLPESLRVIHLQFNNIASITDDTFCKANDTSYIRDRIEEIRLEGNPIVLGKHPNSFICLKRLPIGSYF
+>DECOY_sp|P20774|MIME_HUMAN Mimecan OS=Homo sapiens OX=9606 GN=OGN PE=1 SV=1
+FYSGIPLRKLCIFSNPHKGLVIPNGELRIEEIRDRIYSTDNAKCFTDDTISAINNFQLHIVRLSEPLNLPVSELANHDLYLFTLNNLKKFANAKIGRSKIKNYKANFLTLKPPLVPLKLLQNEALSLEELLSLKSFTGDEIDEILNGTFDLRRLNPIDAFDKATLKKIKNFRAYLYASEKPLPPVADIDVEECYVSGSLCVCLLCTPMEDNEKKPPLPTIAEDKQLQLSKENPIIVTEKEKINKGDLYKDEYDQSFISEEFNDTGYDYIIRSDQQTPPAPKILPVLLLLLLTSQLTKM
+>sp|Q4G0A6|MINY4_HUMAN Probable ubiquitin carboxyl-terminal hydrolase MINDY-4 OS=Homo sapiens OX=9606 GN=MINDY4 PE=1 SV=2
+MDSLFVEEVAASLVREFLSRKGLKKTCVTMDQERPRSDLSINNRNDLRKVLHLEFLYKENKAKENPLKTSLELITRYFLDHFGNTANNFTQDTPIPALSVPKKNNKVPSRCSETTLVNIYDLSDEDAGWRTSLSETSKARHDNLDGDVLGNFVSSKRPPHKSKPMQTVPGETPVLTSAWEKIDKLHSEPSLDVKRMGENSRPKSGLIVRGMMSGPIASSPQDSFHRHYLRRSSPSSSSTQPQEESRKVPELFVCTQQDILASSNSSPSRTSLGQLSELTVERQKTTASSPPHLPSKRLPPWDRARPRDPSEDTPAVDGSTDTDRMPLKLYLPGGNSRMTQERLERAFKRQGSQPAPVRKNQLLPSDKVDGELGALRLEDVEDELIREEVILSPVPSVLKLQTASKPIDLSVAKEIKTLLFGSSFCCFNEEWKLQSFSFSNTASLKYGIVQNKGGPCGVLAAVQGCVLQKLLFEGDSKADCAQGLQPSDAHRTRCLVLALADIVWRAGGRERAVVALASRTQQFSPTGKYKADGVLETLTLHSLTCYEDLVTFLQQSIHQFEVGPYGCILLTLSAILSRSTELIRQDFDVPTSHLIGAHGYCTQELVNLLLTGKAVSNVFNDVVELDSGDGNITLLRGIAARSDIGFLSLFEHYNMCQVGCFLKTPRFPIWVVCSESHFSILFSLQPGLLRDWRTERLFDLYYYDGLANQQEQIRLTIDTTQTISEDTDNDLVPPLELCIRTKWKGASVNWNGSDPIL
+>DECOY_sp|Q4G0A6|MINY4_HUMAN Probable ubiquitin carboxyl-terminal hydrolase MINDY-4 OS=Homo sapiens OX=9606 GN=MINDY4 PE=1 SV=2
+LIPDSGNWNVSAGKWKTRICLELPPVLDNDTDESITQTTDITLRIQEQQNALGDYYYLDFLRETRWDRLLGPQLSFLISFHSESCVVWIPFRPTKLFCGVQCMNYHEFLSLFGIDSRAAIGRLLTINGDGSDLEVVDNFVNSVAKGTLLLNVLEQTCYGHAGILHSTPVDFDQRILETSRSLIASLTLLICGYPGVEFQHISQQLFTVLDEYCTLSHLTLTELVGDAKYKGTPSFQQTRSALAVVARERGGARWVIDALALVLCRTRHADSPQLGQACDAKSDGEFLLKQLVCGQVAALVGCPGGKNQVIGYKLSATNSFSFSQLKWEENFCCFSSGFLLTKIEKAVSLDIPKSATQLKLVSPVPSLIVEERILEDEVDELRLAGLEGDVKDSPLLQNKRVPAPQSGQRKFARELREQTMRSNGGPLYLKLPMRDTDTSGDVAPTDESPDRPRARDWPPLRKSPLHPPSSATTKQREVTLESLQGLSTRSPSSNSSALIDQQTCVFLEPVKRSEEQPQTSSSSPSSRRLYHRHFSDQPSSAIPGSMMGRVILGSKPRSNEGMRKVDLSPESHLKDIKEWASTLVPTEGPVTQMPKSKHPPRKSSVFNGLVDGDLNDHRAKSTESLSTRWGADEDSLDYINVLTTESCRSPVKNNKKPVSLAPIPTDQTFNNATNGFHDLFYRTILELSTKLPNEKAKNEKYLFELHLVKRLDNRNNISLDSRPREQDMTVCTKKLGKRSLFERVLSAAVEEVFLSDM
+>sp|Q99797|MIPEP_HUMAN Mitochondrial intermediate peptidase OS=Homo sapiens OX=9606 GN=MIPEP PE=1 SV=2
+MLCVGRLGGLGARAAALPPRRAGRGSLEAGIRARRVSTSWSPVGAAFNVKPQGSRLDLFGERRGLFGVPELSAPEGFHIAQEKALRKTELLVDRACSTPPGPQTVLIFDELSDSLCRVADLADFVKIAHPEPAFREAAEEACRSIGTMVEKLNTNVDLYQSLQKLLADKKLVDSLDPETRRVAELFMFDFEISGIHLDKEKRKRAVDLNVKILDLSSTFLMGTNFPNKIEKHLLPEHIRRNFTSAGDHIIIDGLHAESPDDLVREAAYKIFLYPNAGQLKCLEELLSSRDLLAKLVGYSTFSHRALQGTIAKNPETVMQFLEKLSDKLSERTLKDFEMIRGMKMKLNPQNSEVMPWDPPYYSGVIRAERYNIEPSLYCPFFSLGACMEGLNILLNRLLGISLYAEQPAKGEVWSEDVRKLAVVHESEGLLGYIYCDFFQRADKPHQDCHFTIRGGRLKEDGDYQLPVVVLMLNLPRSSRSSPTLLTPSMMENLFHEMGHAMHSMLGRTRYQHVTGTRCPTDFAEVPSILMEYFANDYRVVNQFARHYQTGQPLPKNMVSRLCESKKVCAAADMQLQVFYATLDQIYHGKHPLRNSTTDILKETQEKFYGLPYVPNTAWQLRFSHLVGYGARYYSYLMSRAVASMVWKECFLQDPFNRAAGERYRREMLAHGGGREPMLMVEGMLQKCPSVDDFVSALVSDLDLDFETFLMDSE
+>DECOY_sp|Q99797|MIPEP_HUMAN Mitochondrial intermediate peptidase OS=Homo sapiens OX=9606 GN=MIPEP PE=1 SV=2
+ESDMLFTEFDLDLDSVLASVFDDVSPCKQLMGEVMLMPERGGGHALMERRYREGAARNFPDQLFCEKWVMSAVARSMLYSYYRAGYGVLHSFRLQWATNPVYPLGYFKEQTEKLIDTTSNRLPHKGHYIQDLTAYFVQLQMDAAACVKKSECLRSVMNKPLPQGTQYHRAFQNVVRYDNAFYEMLISPVEAFDTPCRTGTVHQYRTRGLMSHMAHGMEHFLNEMMSPTLLTPSSRSSRPLNLMLVVVPLQYDGDEKLRGGRITFHCDQHPKDARQFFDCYIYGLLGESEHVVALKRVDESWVEGKAPQEAYLSIGLLRNLLINLGEMCAGLSFFPCYLSPEINYREARIVGSYYPPDWPMVESNQPNLKMKMGRIMEFDKLTRESLKDSLKELFQMVTEPNKAITGQLARHSFTSYGVLKALLDRSSLLEELCKLQGANPYLFIKYAAERVLDDPSEAHLGDIIIHDGASTFNRRIHEPLLHKEIKNPFNTGMLFTSSLDLIKVNLDVARKRKEKDLHIGSIEFDFMFLEAVRRTEPDLSDVLKKDALLKQLSQYLDVNTNLKEVMTGISRCAEEAAERFAPEPHAIKVFDALDAVRCLSDSLEDFILVTQPGPPTSCARDVLLETKRLAKEQAIHFGEPASLEPVGFLGRREGFLDLRSGQPKVNFAAGVPSWSTSVRRARIGAELSGRGARRPPLAAARAGLGGLRGVCLM
+>sp|P30301|MIP_HUMAN Lens fiber major intrinsic protein OS=Homo sapiens OX=9606 GN=MIP PE=1 SV=1
+MWELRSASFWRAIFAEFFATLFYVFFGLGSSLRWAPGPLHVLQVAMAFGLALATLVQSVGHISGAHVNPAVTFAFLVGSQMSLLRAFCYMAAQLLGAVAGAAVLYSVTPPAVRGNLALNTLHPAVSVGQATTVEIFLTLQFVLCIFATYDERRNGQLGSVALAVGFSLALGHLFGMYYTGAGMNPARSFAPAILTGNFTNHWVYWVGPIIGGGLGSLLYDFLLFPRLKSISERLSVLKGAKPDVSNGQPEVTGEPVELNTQAL
+>DECOY_sp|P30301|MIP_HUMAN Lens fiber major intrinsic protein OS=Homo sapiens OX=9606 GN=MIP PE=1 SV=1
+LAQTNLEVPEGTVEPQGNSVDPKAGKLVSLRESISKLRPFLLFDYLLSGLGGGIIPGVWYVWHNTFNGTLIAPAFSRAPNMGAGTYYMGFLHGLALSFGVALAVSGLQGNRREDYTAFICLVFQLTLFIEVTTAQGVSVAPHLTNLALNGRVAPPTVSYLVAAGAVAGLLQAAMYCFARLLSMQSGVLFAFTVAPNVHAGSIHGVSQVLTALALGFAMAVQLVHLPGPAWRLSSGLGFFVYFLTAFFEAFIARWFSASRLEWM
+>sp|Q9UL63|MKLN1_HUMAN Muskelin OS=Homo sapiens OX=9606 GN=MKLN1 PE=1 SV=2
+MAAGGAVAAAPECRLLPYALHKWSSFSSTYLPENILVDKPNDQSSRWSSESNYPPQYLILKLERPAIVQNITFGKYEKTHVCNLKKFKVFGGMNEENMTELLSSGLKNDYNKETFTLKHKIDEQMFPCRFIKIVPLLSWGPSFNFSIWYVELSGIDDPDIVQPCLNWYSKYREQEAIRLCLKHFRQHNYTEAFESLQKKTKIALEHPMLTDIHDKLVLKGDFDACEELIEKAVNDGLFNQYISQQEYKPRWSQIIPKSTKGDGEDNRPGMRGGHQMVIDVQTETVYLFGGWDGTQDLADFWAYSVKENQWTCISRDTEKENGPSARSCHKMCIDIQRRQIYTLGRYLDSSVRNSKSLKSDFYRYDIDTNTWMLLSEDTAADGGPKLVFDHQMCMDSEKHMIYTFGGRILTCNGSVDDSRASEPQFSGLFAFNCQCQTWKLLREDSCNAGPEDIQSRIGHCMLFHSKNRCLYVFGGQRSKTYLNDFFSYDVDSDHVDIISDGTKKDSGMVPMTGFTQRATIDPELNEIHVLSGLSKDKEKREENVRNSFWIYDIVRNSWSCVYKNDQAAKDNPTKSLQEEEPCPRFAHQLVYDELHKVHYLFGGNPGKSCSPKMRLDDFWSLKLCRPSKDYLLRHCKYLIRKHRFEEKAQVDPLSALKYLQNDLYITVDHSDPEETKEFQLLASALFKSGSDFTALGFSDVDHTYAQRTQLFDTLVNFFPDSMTPPKGNLVDLITL
+>DECOY_sp|Q9UL63|MKLN1_HUMAN Muskelin OS=Homo sapiens OX=9606 GN=MKLN1 PE=1 SV=2
+LTILDVLNGKPPTMSDPFFNVLTDFLQTRQAYTHDVDSFGLATFDSGSKFLASALLQFEKTEEPDSHDVTIYLDNQLYKLASLPDVQAKEEFRHKRILYKCHRLLYDKSPRCLKLSWFDDLRMKPSCSKGPNGGFLYHVKHLEDYVLQHAFRPCPEEEQLSKTPNDKAAQDNKYVCSWSNRVIDYIWFSNRVNEERKEKDKSLGSLVHIENLEPDITARQTFGTMPVMGSDKKTGDSIIDVHDSDVDYSFFDNLYTKSRQGGFVYLCRNKSHFLMCHGIRSQIDEPGANCSDERLLKWTQCQCNFAFLGSFQPESARSDDVSGNCTLIRGGFTYIMHKESDMCMQHDFVLKPGGDAATDESLLMWTNTDIDYRYFDSKLSKSNRVSSDLYRGLTYIQRRQIDICMKHCSRASPGNEKETDRSICTWQNEKVSYAWFDALDQTGDWGGFLYVTETQVDIVMQHGGRMGPRNDEGDGKTSKPIIQSWRPKYEQQSIYQNFLGDNVAKEILEECADFDGKLVLKDHIDTLMPHELAIKTKKQLSEFAETYNHQRFHKLCLRIAEQERYKSYWNLCPQVIDPDDIGSLEVYWISFNFSPGWSLLPVIKIFRCPFMQEDIKHKLTFTEKNYDNKLGSSLLETMNEENMGGFVKFKKLNCVHTKEYKGFTINQVIAPRELKLILYQPPYNSESSWRSSQDNPKDVLINEPLYTSSFSSWKHLAYPLLRCEPAAAVAGGAAM
+>sp|H3BPM6|MKROS_HUMAN MKRN2 opposite strand protein OS=Homo sapiens OX=9606 GN=MKRN2OS PE=4 SV=1
+MHCAEAGKALIKFNHCEKYIYSFSVPQCCPLCQQDLGSRKLEDAPVSIANPFTNGHQEKCSFLLRPTQGTFLREYDGRSDLHVGITNTNGVVYNYSAHGVQRDGEGWEESISIPLLQPNMYGMMEQWDKYLEDFSTSGAWLPHRYEDNHHNCYSYALTFINCVLMAEGRQQLDKGEFTEKYVVPRTRLASKFITLYRAIREHGFYVTDCPQQQAQPPEGGGLC
+>DECOY_sp|H3BPM6|MKROS_HUMAN MKRN2 opposite strand protein OS=Homo sapiens OX=9606 GN=MKRN2OS PE=4 SV=1
+CLGGGEPPQAQQQPCDTVYFGHERIARYLTIFKSALRTRPVVYKETFEGKDLQQRGEAMLVCNIFTLAYSYCNHHNDEYRHPLWAGSTSFDELYKDWQEMMGYMNPQLLPISISEEWGEGDRQVGHASYNYVVGNTNTIGVHLDSRGDYERLFTGQTPRLLFSCKEQHGNTFPNAISVPADELKRSGLDQQCLPCCQPVSFSYIYKECHNFKILAKGAEACHM
+>sp|Q8IYA7|MKX_HUMAN Homeobox protein Mohawk OS=Homo sapiens OX=9606 GN=MKX PE=2 SV=2
+MNTIVFNKLSGAVLFEDGGASERERGGRPYSGVLDSPHARPEVGIPDGPPLKDNLGLRHRRTGARQNGGKVRHKRQALQDMARPLKQWLYKHRDNPYPTKTEKILLALGSQMTLVQVSNWFANARRRLKNTVRQPDLSWALRIKLYNKYVQGNAERLSVSSDDSCSEDGENPPRTHMNEGGYNTPVHHPVIKSENSVIKAGVRPESRASEDYVAPPKYKSSLLNRYLNDSLRHVMATNTTMMGKTRQRNHSGSFSSNEFEEELVSPSSSETEGNFVYRTDTLENGSNKGESAANRKGPSKDDTYWKEINAAMALTNLAQGKDKLQGTTSCIIQKSSHIAEVKTVKVPLVQQF
+>DECOY_sp|Q8IYA7|MKX_HUMAN Homeobox protein Mohawk OS=Homo sapiens OX=9606 GN=MKX PE=2 SV=2
+FQQVLPVKVTKVEAIHSSKQIICSTTGQLKDKGQALNTLAMAANIEKWYTDDKSPGKRNAASEGKNSGNELTDTRYVFNGETESSSPSVLEEEFENSSFSGSHNRQRTKGMMTTNTAMVHRLSDNLYRNLLSSKYKPPAVYDESARSEPRVGAKIVSNESKIVPHHVPTNYGGENMHTRPPNEGDESCSDDSSVSLREANGQVYKNYLKIRLAWSLDPQRVTNKLRRRANAFWNSVQVLTMQSGLALLIKETKTPYPNDRHKYLWQKLPRAMDQLAQRKHRVKGGNQRAGTRRHRLGLNDKLPPGDPIGVEPRAHPSDLVGSYPRGGRERESAGGDEFLVAGSLKNFVITNM
+>sp|Q14165|MLEC_HUMAN Malectin OS=Homo sapiens OX=9606 GN=MLEC PE=1 SV=1
+MLGAWAVEGTAVALLRLLLLLLPPAIRGPGLGVAGVAGAAGAGLPESVIWAVNAGGEAHVDVHGIHFRKDPLEGRVGRASDYGMKLPILRSNPEDQILYQTERYNEETFGYEVPIKEEGDYVLVLKFAEVYFAQSQQKVFDVRLNGHVVVKDLDIFDRVGHSTAHDEIIPMSIRKGKLSVQGEVSTFTGKLYIEFVKGYYDNPKVCALYIMAGTVDDVPKLQPHPGLEKKEEEEEEEEYDEGSNLKKQTNKNRVQSGPRTPNPYASDNSSLMFPILVAFGVFIPTLFCLCRL
+>DECOY_sp|Q14165|MLEC_HUMAN Malectin OS=Homo sapiens OX=9606 GN=MLEC PE=1 SV=1
+LRCLCFLTPIFVGFAVLIPFMLSSNDSAYPNPTRPGSQVRNKNTQKKLNSGEDYEEEEEEEEKKELGPHPQLKPVDDVTGAMIYLACVKPNDYYGKVFEIYLKGTFTSVEGQVSLKGKRISMPIIEDHATSHGVRDFIDLDKVVVHGNLRVDFVKQQSQAFYVEAFKLVLVYDGEEKIPVEYGFTEENYRETQYLIQDEPNSRLIPLKMGYDSARGVRGELPDKRFHIGHVDVHAEGGANVAWIVSEPLGAGAAGAVGAVGLGPGRIAPPLLLLLLRLLAVATGEVAWAGLM
+>sp|Q9H492|MLP3A_HUMAN Microtubule-associated proteins 1A/1B light chain 3A OS=Homo sapiens OX=9606 GN=MAP1LC3A PE=1 SV=2
+MPSDRPFKQRRSFADRCKEVQQIRDQHPSKIPVIIERYKGEKQLPVLDKTKFLVPDHVNMSELVKIIRRRLQLNPTQAFFLLVNQHSMVSVSTPIADIYEQEKDEDGFLYMVYASQETFGF
+>DECOY_sp|Q9H492|MLP3A_HUMAN Microtubule-associated proteins 1A/1B light chain 3A OS=Homo sapiens OX=9606 GN=MAP1LC3A PE=1 SV=2
+FGFTEQSAYVMYLFGDEDKEQEYIDAIPTSVSVMSHQNVLLFFAQTPNLQLRRRIIKVLESMNVHDPVLFKTKDLVPLQKEGKYREIIVPIKSPHQDRIQQVEKCRDAFSRRQKFPRDSPM
+>sp|Q9BXW4|MLP3C_HUMAN Microtubule-associated proteins 1A/1B light chain 3C OS=Homo sapiens OX=9606 GN=MAP1LC3C PE=1 SV=1
+MPPPQKIPSVRPFKQRKSLAIRQEEVAGIRAKFPNKIPVVVERYPRETFLPPLDKTKFLVPQELTMTQFLSIIRSRMVLRATEAFYLLVNNKSLVSMSATMAEIYRDYKDEDGFVYMTYASQETFGCLESAAPRDGSSLEDRPCNPL
+>DECOY_sp|Q9BXW4|MLP3C_HUMAN Microtubule-associated proteins 1A/1B light chain 3C OS=Homo sapiens OX=9606 GN=MAP1LC3C PE=1 SV=1
+LPNCPRDELSSGDRPAASELCGFTEQSAYTMYVFGDEDKYDRYIEAMTASMSVLSKNNVLLYFAETARLVMRSRIISLFQTMTLEQPVLFKTKDLPPLFTERPYREVVVPIKNPFKARIGAVEEQRIALSKRQKFPRVSPIKQPPPM
+>sp|Q9NP71|MLXPL_HUMAN Carbohydrate-responsive element-binding protein OS=Homo sapiens OX=9606 GN=MLXIPL PE=1 SV=1
+MAGALAGLAAGLQVPRVAPSPDSDSDTDSEDPSLRRSAGGLLRSQVIHSGHFMVSSPHSDSLPRRRDQEGSVGPSDFGPRSIDPTLTRLFECLSLAYSGKLVSPKWKNFKGLKLLCRDKIRLNNAIWRAWYIQYVKRRKSPVCGFVTPLQGPEADAHRKPEAVVLEGNYWKRRIEVVMREYHKWRIYYKKRLRKPSREDDLLAPKQAEGRWPPPEQWCKQLFSSVVPVLLGDPEEEPGGRQLLDLNCFLSDISDTLFTMTQSGPSPLQLPPEDAYVGNADMIQPDLTPLQPSLDDFMDISDFFTNSRLPQPPMPSNFPEPPSFSPVVDSLFSSGTLGPEVPPASSAMTHLSGHSRLQARNSCPGPLDSSAFLSSDFLLPEDPKPRLPPPPVPPPLLHYPPPAKVPGLEPCPPPPFPPMAPPTALLQEEPLFSPRFPFPTVPPAPGVSPLPAPAAFPPTPQSVPSPAPTPFPIELLPLGYSEPAFGPCFSMPRGKPPAPSPRGQKASPPTLAPATASPPTTAGSNNPCLTQLLTAAKPEQALEPPLVSSTLLRSPGSPQETVPEFPCTFLPPTPAPTPPRPPPGPATLAPSRPLLVPKAERLSPPAPSGSERRLSGDLSSMPGPGTLSVRVSPPQPILSRGRPDSNKTENRRITHISAEQKRRFNIKLGFDTLHGLVSTLSAQPSLKVSKATTLQKTAEYILMLQQERAGLQEEAQQLRDEIEELNAAINLCQQQLPATGVPITHQRFDQMRDMFDDYVRTRTLHNWKFWVFSILIRPLFESFNGMVSTASVHTLRQTSLAWLDQYCSLPALRPTVLNSLRQLGTSTSILTDPGRIPEQATRAVTEGTLGKPL
+>DECOY_sp|Q9NP71|MLXPL_HUMAN Carbohydrate-responsive element-binding protein OS=Homo sapiens OX=9606 GN=MLXIPL PE=1 SV=1
+LPKGLTGETVARTAQEPIRGPDTLISTSTGLQRLSNLVTPRLAPLSCYQDLWALSTQRLTHVSATSVMGNFSEFLPRILISFVWFKWNHLTRTRVYDDFMDRMQDFRQHTIPVGTAPLQQQCLNIAANLEEIEDRLQQAEEQLGAREQQLMLIYEATKQLTTAKSVKLSPQASLTSVLGHLTDFGLKINFRRKQEASIHTIRRNETKNSDPRGRSLIPQPPSVRVSLTGPGPMSSLDGSLRRESGSPAPPSLREAKPVLLPRSPALTAPGPPPRPPTPAPTPPLFTCPFEPVTEQPSGPSRLLTSSVLPPELAQEPKAATLLQTLCPNNSGATTPPSATAPALTPPSAKQGRPSPAPPKGRPMSFCPGFAPESYGLPLLEIPFPTPAPSPVSQPTPPFAAPAPLPSVGPAPPVTPFPFRPSFLPEEQLLATPPAMPPFPPPPCPELGPVKAPPPYHLLPPPVPPPPLRPKPDEPLLFDSSLFASSDLPGPCSNRAQLRSHGSLHTMASSAPPVEPGLTGSSFLSDVVPSFSPPEPFNSPMPPQPLRSNTFFDSIDMFDDLSPQLPTLDPQIMDANGVYADEPPLQLPSPGSQTMTFLTDSIDSLFCNLDLLQRGGPEEEPDGLLVPVVSSFLQKCWQEPPPWRGEAQKPALLDDERSPKRLRKKYYIRWKHYERMVVEIRRKWYNGELVVAEPKRHADAEPGQLPTVFGCVPSKRRKVYQIYWARWIANNLRIKDRCLLKLGKFNKWKPSVLKGSYALSLCEFLRTLTPDISRPGFDSPGVSGEQDRRRPLSDSHPSSVMFHGSHIVQSRLLGGASRRLSPDESDTDSDSDPSPAVRPVQLGAALGALAGAM
+>sp|Q8IVH4|MMAA_HUMAN Methylmalonic aciduria type A protein, mitochondrial OS=Homo sapiens OX=9606 GN=MMAA PE=1 SV=1
+MPMLLPHPHQHFLKGLLRAPFRCYHFIFHSSTHLGSGIPCAQPFNSLGLHCTKWMLLSDGLKRKLCVQTTLKDHTEGLSDKEQRFVDKLYTGLIQGQRACLAEAITLVESTHSRKKELAQVLLQKVLLYHREQEQSNKGKPLAFRVGLSGPPGAGKSTFIEYFGKMLTERGHKLSVLAVDPSSCTSGGSLLGDKTRMTELSRDMNAYIRPSPTRGTLGGVTRTTNEAILLCEGAGYDIILIETVGVGQSEFAVADMVDMFVLLLPPAGGDELQGIKRGIIEMADLVAVTKSDGDLIVPARRIQAEYVSALKLLRKRSQVWKPKVIRISARSGEGISEMWDKMKDFQDLMLASGELTAKRRKQQKVWMWNLIQESVLEHFRTHPTVREQIPLLEQKVLIGALSPGLAADFLLKAFKSRD
+>DECOY_sp|Q8IVH4|MMAA_HUMAN Methylmalonic aciduria type A protein, mitochondrial OS=Homo sapiens OX=9606 GN=MMAA PE=1 SV=1
+DRSKFAKLLFDAALGPSLAGILVKQELLPIQERVTPHTRFHELVSEQILNWMWVKQQKRRKATLEGSALMLDQFDKMKDWMESIGEGSRASIRIVKPKWVQSRKRLLKLASVYEAQIRRAPVILDGDSKTVAVLDAMEIIGRKIGQLEDGGAPPLLLVFMDVMDAVAFESQGVGVTEILIIDYGAGECLLIAENTTRTVGGLTGRTPSPRIYANMDRSLETMRTKDGLLSGGSTCSSPDVALVSLKHGRETLMKGFYEIFTSKGAGPPGSLGVRFALPKGKNSQEQERHYLLVKQLLVQALEKKRSHTSEVLTIAEALCARQGQILGTYLKDVFRQEKDSLGETHDKLTTQVCLKRKLGDSLLMWKTCHLGLSNFPQACPIGSGLHTSSHFIFHYCRFPARLLGKLFHQHPHPLLMPM
+>sp|P24347|MMP11_HUMAN Stromelysin-3 OS=Homo sapiens OX=9606 GN=MMP11 PE=1 SV=3
+MAPAAWLRSAAARALLPPMLLLLLQPPPLLARALPPDAHHLHAERRGPQPWHAALPSSPAPAPATQEAPRPASSLRPPRCGVPDPSDGLSARNRQKRFVLSGGRWEKTDLTYRILRFPWQLVQEQVRQTMAEALKVWSDVTPLTFTEVHEGRADIMIDFARYWHGDDLPFDGPGGILAHAFFPKTHREGDVHFDYDETWTIGDDQGTDLLQVAAHEFGHVLGLQHTTAAKALMSAFYTFRYPLSLSPDDCRGVQHLYGQPWPTVTSRTPALGPQAGIDTNEIAPLEPDAPPDACEASFDAVSTIRGELFFFKAGFVWRLRGGQLQPGYPALASRHWQGLPSPVDAAFEDAQGHIWFFQGAQYWVYDGEKPVLGPAPLTELGLVRFPVHAALVWGPEKNKIYFFRGRDYWRFHPSTRRVDSPVPRRATDWRGVPSEIDAAFQDADGYAYFLRGRLYWKFDPVKVKALEGFPRLVGPDFFGCAEPANTFL
+>DECOY_sp|P24347|MMP11_HUMAN Stromelysin-3 OS=Homo sapiens OX=9606 GN=MMP11 PE=1 SV=3
+LFTNAPEACGFFDPGVLRPFGELAKVKVPDFKWYLRGRLFYAYGDADQFAADIESPVGRWDTARRPVPSDVRRTSPHFRWYDRGRFFYIKNKEPGWVLAAHVPFRVLGLETLPAPGLVPKEGDYVWYQAGQFFWIHGQADEFAADVPSPLGQWHRSALAPYGPQLQGGRLRWVFGAKFFFLEGRITSVADFSAECADPPADPELPAIENTDIGAQPGLAPTRSTVTPWPQGYLHQVGRCDDPSLSLPYRFTYFASMLAKAATTHQLGLVHGFEHAAVQLLDTGQDDGITWTEDYDFHVDGERHTKPFFAHALIGGPGDFPLDDGHWYRAFDIMIDARGEHVETFTLPTVDSWVKLAEAMTQRVQEQVLQWPFRLIRYTLDTKEWRGGSLVFRKQRNRASLGDSPDPVGCRPPRLSSAPRPAEQTAPAPAPSSPLAAHWPQPGRREAHLHHADPPLARALLPPPQLLLLLMPPLLARAAASRLWAAPAM
+>sp|P39900|MMP12_HUMAN Macrophage metalloelastase OS=Homo sapiens OX=9606 GN=MMP12 PE=1 SV=1
+MKFLLILLLQATASGALPLNSSTSLEKNNVLFGERYLEKFYGLEINKLPVTKMKYSGNLMKEKIQEMQHFLGLKVTGQLDTSTLEMMHAPRCGVPDVHHFREMPGGPVWRKHYITYRINNYTPDMNREDVDYAIRKAFQVWSNVTPLKFSKINTGMADILVVFARGAHGDFHAFDGKGGILAHAFGPGSGIGGDAHFDEDEFWTTHSGGTNLFLTAVHEIGHSLGLGHSSDPKAVMFPTYKYVDINTFRLSADDIRGIQSLYGDPKENQRLPNPDNSEPALCDPNLSFDAVTTVGNKIFFFKDRFFWLKVSERPKTSVNLISSLWPTLPSGIEAAYEIEARNQVFLFKDDKYWLISNLRPEPNYPKSIHSFGFPNFVKKIDAAVFNPRFYRTYFFVDNQYWRYDERRQMMDPGYPKLITKNFQGIGPKIDAVFYSKNKYYYFFQGSNQFEYDFLLQRITKTLKSNSWFGC
+>DECOY_sp|P39900|MMP12_HUMAN Macrophage metalloelastase OS=Homo sapiens OX=9606 GN=MMP12 PE=1 SV=1
+CGFWSNSKLTKTIRQLLFDYEFQNSGQFFYYYKNKSYFVADIKPGIGQFNKTILKPYGPDMMQRREDYRWYQNDVFFYTRYFRPNFVAADIKKVFNPFGFSHISKPYNPEPRLNSILWYKDDKFLFVQNRAEIEYAAEIGSPLTPWLSSILNVSTKPRESVKLWFFRDKFFFIKNGVTTVADFSLNPDCLAPESNDPNPLRQNEKPDGYLSQIGRIDDASLRFTNIDVYKYTPFMVAKPDSSHGLGLSHGIEHVATLFLNTGGSHTTWFEDEDFHADGGIGSGPGFAHALIGGKGDFAHFDGHAGRAFVVLIDAMGTNIKSFKLPTVNSWVQFAKRIAYDVDERNMDPTYNNIRYTIYHKRWVPGGPMERFHHVDPVGCRPAHMMELTSTDLQGTVKLGLFHQMEQIKEKMLNGSYKMKTVPLKNIELGYFKELYREGFLVNNKELSTSSNLPLAGSATAQLLLILLFKM
+>sp|P45452|MMP13_HUMAN Collagenase 3 OS=Homo sapiens OX=9606 GN=MMP13 PE=1 SV=1
+MHPGVLAAFLFLSWTHCRALPLPSGGDEDDLSEEDLQFAERYLRSYYHPTNLAGILKENAASSMTERLREMQSFFGLEVTGKLDDNTLDVMKKPRCGVPDVGEYNVFPRTLKWSKMNLTYRIVNYTPDMTHSEVEKAFKKAFKVWSDVTPLNFTRLHDGIADIMISFGIKEHGDFYPFDGPSGLLAHAFPPGPNYGGDAHFDDDETWTSSSKGYNLFLVAAHEFGHSLGLDHSKDPGALMFPIYTYTGKSHFMLPDDDVQGIQSLYGPGDEDPNPKHPKTPDKCDPSLSLDAITSLRGETMIFKDRFFWRLHPQQVDAELFLTKSFWPELPNRIDAAYEHPSHDLIFIFRGRKFWALNGYDILEGYPKKISELGLPKEVKKISAAVHFEDTGKTLLFSGNQVWRYDDTNHIMDKDYPRLIEEDFPGIGDKVDAVYEKNGYIYFFNGPIQFEYSIWSNRIVRVMPANSILWC
+>DECOY_sp|P45452|MMP13_HUMAN Collagenase 3 OS=Homo sapiens OX=9606 GN=MMP13 PE=1 SV=1
+CWLISNAPMVRVIRNSWISYEFQIPGNFFYIYGNKEYVADVKDGIGPFDEEILRPYDKDMIHNTDDYRWVQNGSFLLTKGTDEFHVAASIKKVEKPLGLESIKKPYGELIDYGNLAWFKRGRFIFILDHSPHEYAADIRNPLEPWFSKTLFLEADVQQPHLRWFFRDKFIMTEGRLSTIADLSLSPDCKDPTKPHKPNPDEDGPGYLSQIGQVDDDPLMFHSKGTYTYIPFMLAGPDKSHDLGLSHGFEHAAVLFLNYGKSSSTWTEDDDFHADGGYNPGPPFAHALLGSPGDFPYFDGHEKIGFSIMIDAIGDHLRTFNLPTVDSWVKFAKKFAKEVESHTMDPTYNVIRYTLNMKSWKLTRPFVNYEGVDPVGCRPKKMVDLTNDDLKGTVELGFFSQMERLRETMSSAANEKLIGALNTPHYYSRLYREAFQLDEESLDDEDGGSPLPLARCHTWSLFLFAALVGPHM
+>sp|P50281|MMP14_HUMAN Matrix metalloproteinase-14 OS=Homo sapiens OX=9606 GN=MMP14 PE=1 SV=3
+MSPAPRPPRCLLLPLLTLGTALASLGSAQSSSFSPEAWLQQYGYLPPGDLRTHTQRSPQSLSAAIAAMQKFYGLQVTGKADADTMKAMRRPRCGVPDKFGAEIKANVRRKRYAIQGLKWQHNEITFCIQNYTPKVGEYATYEAIRKAFRVWESATPLRFREVPYAYIREGHEKQADIMIFFAEGFHGDSTPFDGEGGFLAHAYFPGPNIGGDTHFDSAEPWTVRNEDLNGNDIFLVAVHELGHALGLEHSSDPSAIMAPFYQWMDTENFVLPDDDRRGIQQLYGGESGFPTKMPPQPRTTSRPSVPDKPKNPTYGPNICDGNFDTVAMLRGEMFVFKERWFWRVRNNQVMDGYPMPIGQFWRGLPASINTAYERKDGKFVFFKGDKHWVFDEASLEPGYPKHIKELGRGLPTDKIDAALFWMPNGKTYFFRGNKYYRFNEELRAVDSEYPKNIKVWEGIPESPRGSFMGSDEVFTYFYKGNKYWKFNNQKLKVEPGYPKSALRDWMGCPSGGRPDEGTEEETEVIIIEVDEEGGGAVSAAAVVLPVLLLLLVLAVGLAVFFFRRHGTPRRLLYCQRSLLDKV
+>DECOY_sp|P50281|MMP14_HUMAN Matrix metalloproteinase-14 OS=Homo sapiens OX=9606 GN=MMP14 PE=1 SV=3
+VKDLLSRQCYLLRRPTGHRRFFFVALGVALVLLLLLVPLVVAAASVAGGGEEDVEIIIVETEEETGEDPRGGSPCGMWDRLASKPYGPEVKLKQNNFKWYKNGKYFYTFVEDSGMFSGRPSEPIGEWVKINKPYESDVARLEENFRYYKNGRFFYTKGNPMWFLAADIKDTPLGRGLEKIHKPYGPELSAEDFVWHKDGKFFVFKGDKREYATNISAPLGRWFQGIPMPYGDMVQNNRVRWFWREKFVFMEGRLMAVTDFNGDCINPGYTPNKPKDPVSPRSTTRPQPPMKTPFGSEGGYLQQIGRRDDDPLVFNETDMWQYFPAMIASPDSSHELGLAHGLEHVAVLFIDNGNLDENRVTWPEASDFHTDGGINPGPFYAHALFGGEGDFPTSDGHFGEAFFIMIDAQKEHGERIYAYPVERFRLPTASEWVRFAKRIAEYTAYEGVKPTYNQICFTIENHQWKLGQIAYRKRRVNAKIEAGFKDPVGCRPRRMAKMTDADAKGTVQLGYFKQMAAIAASLSQPSRQTHTRLDGPPLYGYQQLWAEPSFSSSQASGLSALATGLTLLPLLLCRPPRPAPSM
+>sp|P14780|MMP9_HUMAN Matrix metalloproteinase-9 OS=Homo sapiens OX=9606 GN=MMP9 PE=1 SV=3
+MSLWQPLVLVLLVLGCCFAAPRQRQSTLVLFPGDLRTNLTDRQLAEEYLYRYGYTRVAEMRGESKSLGPALLLLQKQLSLPETGELDSATLKAMRTPRCGVPDLGRFQTFEGDLKWHHHNITYWIQNYSEDLPRAVIDDAFARAFALWSAVTPLTFTRVYSRDADIVIQFGVAEHGDGYPFDGKDGLLAHAFPPGPGIQGDAHFDDDELWSLGKGVVVPTRFGNADGAACHFPFIFEGRSYSACTTDGRSDGLPWCSTTANYDTDDRFGFCPSERLYTQDGNADGKPCQFPFIFQGQSYSACTTDGRSDGYRWCATTANYDRDKLFGFCPTRADSTVMGGNSAGELCVFPFTFLGKEYSTCTSEGRGDGRLWCATTSNFDSDKKWGFCPDQGYSLFLVAAHEFGHALGLDHSSVPEALMYPMYRFTEGPPLHKDDVNGIRHLYGPRPEPEPRPPTTTTPQPTAPPTVCPTGPPTVHPSERPTAGPTGPPSAGPTGPPTAGPSTATTVPLSPVDDACNVNIFDAIAEIGNQLYLFKDGKYWRFSEGRGSRPQGPFLIADKWPALPRKLDSVFEERLSKKLFFFSGRQVWVYTGASVLGPRRLDKLGLGADVAQVTGALRSGRGKMLLFSGRRLWRFDVKAQMVDPRSASEVDRMFPGVPLDTHDVFQYREKAYFCQDRFYWRVSSRSELNQVDQVGYVTYDILQCPED
+>DECOY_sp|P14780|MMP9_HUMAN Matrix metalloproteinase-9 OS=Homo sapiens OX=9606 GN=MMP9 PE=1 SV=3
+DEPCQLIDYTVYGVQDVQNLESRSSVRWYFRDQCFYAKERYQFVDHTDLPVGPFMRDVESASRPDVMQAKVDFRWLRRGSFLLMKGRGSRLAGTVQAVDAGLGLKDLRRPGLVSAGTYVWVQRGSFFFLKKSLREEFVSDLKRPLAPWKDAILFPGQPRSGRGESFRWYKGDKFLYLQNGIEAIADFINVNCADDVPSLPVTTATSPGATPPGTPGASPPGTPGATPRESPHVTPPGTPCVTPPATPQPTTTTPPRPEPEPRPGYLHRIGNVDDKHLPPGETFRYMPYMLAEPVSSHDLGLAHGFEHAAVLFLSYGQDPCFGWKKDSDFNSTTACWLRGDGRGESTCTSYEKGLFTFPFVCLEGASNGGMVTSDARTPCFGFLKDRDYNATTACWRYGDSRGDTTCASYSQGQFIFPFQCPKGDANGDQTYLRESPCFGFRDDTDYNATTSCWPLGDSRGDTTCASYSRGEFIFPFHCAAGDANGFRTPVVVGKGLSWLEDDDFHADGQIGPGPPFAHALLGDKGDFPYGDGHEAVGFQIVIDADRSYVRTFTLPTVASWLAFARAFADDIVARPLDESYNQIWYTINHHHWKLDGEFTQFRGLDPVGCRPTRMAKLTASDLEGTEPLSLQKQLLLLAPGLSKSEGRMEAVRTYGYRYLYEEALQRDTLNTRLDGPFLVLTSQRQRPAAFCCGLVLLVLVLPQWLSM
+>sp|Q5JTB6|PLAC9_HUMAN Placenta-specific protein 9 OS=Homo sapiens OX=9606 GN=PLAC9 PE=1 SV=1
+MRPLLCALTGLALLRAAGSLAAAEPFSPPRGDSAQSTACDRHMAVQRRLDVMEEMVEKTVDHLGTEVKGLLGLLEELAWNLPPGPFSPAPDLLGDGF
+>DECOY_sp|Q5JTB6|PLAC9_HUMAN Placenta-specific protein 9 OS=Homo sapiens OX=9606 GN=PLAC9 PE=1 SV=1
+FGDGLLDPAPSFPGPPLNWALEELLGLLGKVETGLHDVTKEVMEEMVDLRRQVAMHRDCATSQASDGRPPSFPEAAALSGAARLLALGTLACLLPRM
+>sp|Q9UPG8|PLAL2_HUMAN Zinc finger protein PLAGL2 OS=Homo sapiens OX=9606 GN=PLAGL2 PE=1 SV=1
+MTTFFTSVPPWIQDAKQEEEVGWKLVPRPRGREAESQVKCQCEISGTPFSNGEKLRPHSLPQPEQRPYSCPQLHCGKAFASKYKLYRHMATHSAQKPHQCMYCDKMFHRKDHLRNHLQTHDPNKEALHCSECGKNYNTKLGYRRHLAMHAASSGDLSCKVCLQTFESTQALLEHLKAHSRRVAGGAKEKKHPCDHCDRRFYTRKDVRRHLVVHTGRKDFLCQYCAQRFGRKDHLTRHVKKSHSQELLKIKTEPVDMLGLLSCSSTVSVKEELSPVLCMASRDVMGTKAFPGMLPMGMYGAHIPTMPSTGVPHSLVHNTLPMGMSYPLESSPISSPAQLPPKYQLGSTSYLPDKLPKVEVDSFLAELPGSLSLSSAEPQPASPQPAAAAALLDEALLAKSPANLSEALCAANVDFSHLLGFLPLNLPPCNPPGATGGLVMGYSQAEAQPLLTTLQAQPQDSPGAGGPLNFGPLHSLPPVFTSGLSSTTLPRFHQAFQ
+>DECOY_sp|Q9UPG8|PLAL2_HUMAN Zinc finger protein PLAGL2 OS=Homo sapiens OX=9606 GN=PLAGL2 PE=1 SV=1
+QFAQHFRPLTTSSLGSTFVPPLSHLPGFNLPGGAGPSDQPQAQLTTLLPQAEAQSYGMVLGGTAGPPNCPPLNLPLFGLLHSFDVNAACLAESLNAPSKALLAEDLLAAAAAPQPSAPQPEASSLSLSGPLEALFSDVEVKPLKDPLYSTSGLQYKPPLQAPSSIPSSELPYSMGMPLTNHVLSHPVGTSPMTPIHAGYMGMPLMGPFAKTGMVDRSAMCLVPSLEEKVSVTSSCSLLGLMDVPETKIKLLEQSHSKKVHRTLHDKRGFRQACYQCLFDKRGTHVVLHRRVDKRTYFRRDCHDCPHKKEKAGGAVRRSHAKLHELLAQTSEFTQLCVKCSLDGSSAAHMALHRRYGLKTNYNKGCESCHLAEKNPDHTQLHNRLHDKRHFMKDCYMCQHPKQASHTAMHRYLKYKSAFAKGCHLQPCSYPRQEPQPLSHPRLKEGNSFPTGSIECQCKVQSEAERGRPRPVLKWGVEEEQKADQIWPPVSTFFTTM
+>sp|Q9Y263|PLAP_HUMAN Phospholipase A-2-activating protein OS=Homo sapiens OX=9606 GN=PLAA PE=1 SV=2
+MTSGATRYRLSCSLRGHELDVRGLVCCAYPPGAFVSVSRDRTTRLWAPDSPNRSFTEMHCMSGHSNFVSCVCIIPSSDIYPHGLIATGGNDHNICIFSLDSPMPLYILKGHKNTVCSLSSGKFGTLLSGSWDTTAKVWLNDKCMMTLQGHTAAVWAVKILPEQGLMLTGSADKTVKLWKAGRCERTFSGHEDCVRGLAILSETEFLSCANDASIRRWQITGECLEVYYGHTNYIYSISVFPNCRDFVTTAEDRSLRIWKHGECAQTIRLPAQSIWCCCVLDNGDIVVGASDGIIRVFTESEDRTASAEEIKAFEKELSHATIDSKTGDLGDINAEQLPGREHLNEPGTREGQTRLIRDGEKVEAYQWSVSEGRWIKIGDVVGSSGANQQTSGKVLYEGKEFDYVFSIDVNEGGPSYKLPYNTSDDPWLTAYNFLQKNDLNPMFLDQVAKFIIDNTKGQMLGLGNPSFSDPFTGGGRYVPGSSGSSNTLPTADPFTGAGRYVPGSASMGTTMAGVDPFTGNSAYRSAASKTMNIYFPKKEAVTFDQANPTQILGKLKELNGTAPEEKKLTEDDLILLEKILSLICNSSSEKPTVQQLQILWKAINCPEDIVFPALDILRLSIKHPSVNENFCNEKEGAQFSSHLINLLNPKGKPANQLLALRTFCNCFVGQAGQKLMMSQRESLMSHAIELKSGSNKNIHIALATLALNYSVCFHKDHNIEGKAQCLSLISTILEVVQDLEATFRLLVALGTLISDDSNAVQLAKSLGVDSQIKKYSSVSEPAKVSECCRFILNLL
+>DECOY_sp|Q9Y263|PLAP_HUMAN Phospholipase A-2-activating protein OS=Homo sapiens OX=9606 GN=PLAA PE=1 SV=2
+LLNLIFRCCESVKAPESVSSYKKIQSDVGLSKALQVANSDDSILTGLAVLLRFTAELDQVVELITSILSLCQAKGEINHDKHFCVSYNLALTALAIHINKNSGSKLEIAHSMLSERQSMMLKQGAQGVFCNCFTRLALLQNAPKGKPNLLNILHSSFQAGEKENCFNENVSPHKISLRLIDLAPFVIDEPCNIAKWLIQLQQVTPKESSSNCILSLIKELLILDDETLKKEEPATGNLEKLKGLIQTPNAQDFTVAEKKPFYINMTKSAASRYASNGTFPDVGAMTTGMSASGPVYRGAGTFPDATPLTNSSGSSGPVYRGGGTFPDSFSPNGLGLMQGKTNDIIFKAVQDLFMPNLDNKQLFNYATLWPDDSTNYPLKYSPGGENVDISFVYDFEKGEYLVKGSTQQNAGSSGVVDGIKIWRGESVSWQYAEVKEGDRILRTQGERTGPENLHERGPLQEANIDGLDGTKSDITAHSLEKEFAKIEEASATRDESETFVRIIGDSAGVVIDGNDLVCCCWISQAPLRITQACEGHKWIRLSRDEATTVFDRCNPFVSISYIYNTHGYYVELCEGTIQWRRISADNACSLFETESLIALGRVCDEHGSFTRECRGAKWLKVTKDASGTLMLGQEPLIKVAWVAATHGQLTMMCKDNLWVKATTDWSGSLLTGFKGSSLSCVTNKHGKLIYLPMPSDLSFICINHDNGGTAILGHPYIDSSPIICVCSVFNSHGSMCHMETFSRNPSDPAWLRTTRDRSVSVFAGPPYACCVLGRVDLEHGRLSCSLRYRTAGSTM
+>sp|Q8NHP8|PLBL2_HUMAN Putative phospholipase B-like 2 OS=Homo sapiens OX=9606 GN=PLBD2 PE=1 SV=2
+MVGQMYCYPGSHLARALTRALALALVLALLVGPFLSGLAGAIPAPGGRWARDGQVPPASRSRSVLLDVSAGQLLMVDGRHPDAVAWANLTNAIRETGWAFLELGTSGQYNDSLQAYAAGVVEAAVSEELIYMHWMNTVVNYCGPFEYEVGYCERLKSFLEANLEWMQEEMESNPDSPYWHQVRLTLLQLKGLEDSYEGRVSFPAGKFTIKPLGFLLLQLSGDLEDLELALNKTKIKPSLGSGSCSALIKLLPGQSDLLVAHNTWNNYQHMLRVIKKYWLQFREGPWGDYPLVPGNKLVFSSYPGTIFSCDDFYILGSGLVTLETTIGNKNPALWKYVRPRGCVLEWVRNIVANRLASDGATWADIFKRFNSGTYNNQWMIVDYKAFIPGGPSPGSRVLTILEQIPGMVVVADKTSELYQKTYWASYNIPSFETVFNASGLQALVAQYGDWFSYDGSPRAQIFRRNQSLVQDMDSMVRLMRYNDFLHDPLSLCKACNPQPNGENAISARSDLNPANGSYPFQALRQRSHGGIDVKVTSMSLARILSLLAASGPTWDQVPPFQWSTSPFSGLLHMGQPDLWKFAPVKVSWD
+>DECOY_sp|Q8NHP8|PLBL2_HUMAN Putative phospholipase B-like 2 OS=Homo sapiens OX=9606 GN=PLBD2 PE=1 SV=2
+DWSVKVPAFKWLDPQGMHLLGSFPSTSWQFPPVQDWTPGSAALLSLIRALSMSTVKVDIGGHSRQRLAQFPYSGNAPNLDSRASIANEGNPQPNCAKCLSLPDHLFDNYRMLRVMSDMDQVLSQNRRFIQARPSGDYSFWDGYQAVLAQLGSANFVTEFSPINYSAWYTKQYLESTKDAVVVMGPIQELITLVRSGPSPGGPIFAKYDVIMWQNNYTGSNFRKFIDAWTAGDSALRNAVINRVWELVCGRPRVYKWLAPNKNGITTELTVLGSGLIYFDDCSFITGPYSSFVLKNGPVLPYDGWPGERFQLWYKKIVRLMHQYNNWTNHAVLLDSQGPLLKILASCSGSGLSPKIKTKNLALELDELDGSLQLLLFGLPKITFKGAPFSVRGEYSDELGKLQLLTLRVQHWYPSDPNSEMEEQMWELNAELFSKLRECYGVEYEFPGCYNVVTNMWHMYILEESVAAEVVGAAYAQLSDNYQGSTGLELFAWGTERIANTLNAWAVADPHRGDVMLLQGASVDLLVSRSRSAPPVQGDRAWRGGPAPIAGALGSLFPGVLLALVLALALARTLARALHSGPYCYMQGVM
+>sp|Q9NQ66|PLCB1_HUMAN 1-phosphatidylinositol 4,5-bisphosphate phosphodiesterase beta-1 OS=Homo sapiens OX=9606 GN=PLCB1 PE=1 SV=1
+MAGAQPGVHALQLKPVCVSDSLKKGTKFVKWDDDSTIVTPIILRTDPQGFFFYWTDQNKETELLDLSLVKDARCGRHAKAPKDPKLRELLDVGNIGRLEQRMITVVYGPDLVNISHLNLVAFQEEVAKEWTNEVFSLATNLLAQNMSRDAFLEKAYTKLKLQVTPEGRIPLKNIYRLFSADRKRVETALEACSLPSSRNDSIPQEDFTPEVYRVFLNNLCPRPEIDNIFSEFGAKSKPYLTVDQMMDFINLKQRDPRLNEILYPPLKQEQVQVLIEKYEPNNSLARKGQISVDGFMRYLSGEENGVVSPEKLDLNEDMSQPLSHYFINSSHNTYLTAGQLAGNSSVEMYRQVLLSGCRCVELDCWKGRTAEEEPVITHGFTMTTEISFKEVIEAIAECAFKTSPFPILLSFENHVDSPKQQAKMAEYCRLIFGDALLMEPLEKYPLESGVPLPSPMDLMYKILVKNKKKSHKSSEGSGKKKLSEQASNTYSDSSSMFEPSSPGAGEADTESDDDDDDDDCKKSSMDEGTAGSEAMATEEMSNLVNYIQPVKFESFEISKKRNKSFEMSSFVETKGLEQLTKSPVEFVEYNKMQLSRIYPKGTRVDSSNYMPQLFWNAGCQMVALNFQTMDLAMQINMGMYEYNGKSGYRLKPEFMRRPDKHFDPFTEGIVDGIVANTLSVKIISGQFLSDKKVGTYVEVDMFGLPVDTRRKAFKTKTSQGNAVNPVWEEEPIVFKKVVLPTLACLRIAVYEEGGKFIGHRILPVQAIRPGYHYICLRNERNQPLTLPAVFVYIEVKDYVPDTYADVIEALSNPIRYVNLMEQRAKQLAALTLEDEEEVKKEADPGETPSEAPSEARTTPAENGVNHTTTLTPKPPSQALHSQPAPGSVKAPAKTEDLIQSVLTEVEAQTIEELKQQKSFVKLQKKHYKEMKDLVKRHHKKTTDLIKEHTTKYNEIQNDYLRRRAALEKSAKKDSKKKSEPSSPDHGSSTIEQDLAALDAEMTQKLIDLKDKQQQQLLNLRQEQYYSEKYQKREHIKLLIQKLTDVAEECQNNQLKKLKEICEKEKKELKKKMDKKRQEKITEAKSKDKSQMEEEKTEMIRSYIQEVVQYIKRLEEAQSKRQEKLVEKHKEIRQQILDEKPKLQVELEQEYQDKFKRLPLEILEFVQEAMKGKISEDSNHGSAPLSLSSDPGKVNHKTPSSEELGGDIPGKEFDTPL
+>DECOY_sp|Q9NQ66|PLCB1_HUMAN 1-phosphatidylinositol 4,5-bisphosphate phosphodiesterase beta-1 OS=Homo sapiens OX=9606 GN=PLCB1 PE=1 SV=1
+LPTDFEKGPIDGGLEESSPTKHNVKGPDSSLSLPASGHNSDESIKGKMAEQVFELIELPLRKFKDQYEQELEVQLKPKEDLIQQRIEKHKEVLKEQRKSQAEELRKIYQVVEQIYSRIMETKEEEMQSKDKSKAETIKEQRKKDMKKKLEKKEKECIEKLKKLQNNQCEEAVDTLKQILLKIHERKQYKESYYQEQRLNLLQQQQKDKLDILKQTMEADLAALDQEITSSGHDPSSPESKKKSDKKASKELAARRRLYDNQIENYKTTHEKILDTTKKHHRKVLDKMEKYHKKQLKVFSKQQKLEEITQAEVETLVSQILDETKAPAKVSGPAPQSHLAQSPPKPTLTTTHNVGNEAPTTRAESPAESPTEGPDAEKKVEEEDELTLAALQKARQEMLNVYRIPNSLAEIVDAYTDPVYDKVEIYVFVAPLTLPQNRENRLCIYHYGPRIAQVPLIRHGIFKGGEEYVAIRLCALTPLVVKKFVIPEEEWVPNVANGQSTKTKFAKRRTDVPLGFMDVEVYTGVKKDSLFQGSIIKVSLTNAVIGDVIGETFPDFHKDPRRMFEPKLRYGSKGNYEYMGMNIQMALDMTQFNLAVMQCGANWFLQPMYNSSDVRTGKPYIRSLQMKNYEVFEVPSKTLQELGKTEVFSSMEFSKNRKKSIEFSEFKVPQIYNVLNSMEETAMAESGATGEDMSSKKCDDDDDDDDSETDAEGAGPSSPEFMSSSDSYTNSAQESLKKKGSGESSKHSKKKNKVLIKYMLDMPSPLPVGSELPYKELPEMLLADGFILRCYEAMKAQQKPSDVHNEFSLLIPFPSTKFACEAIAEIVEKFSIETTMTFGHTIVPEEEATRGKWCDLEVCRCGSLLVQRYMEVSSNGALQGATLYTNHSSNIFYHSLPQSMDENLDLKEPSVVGNEEGSLYRMFGDVSIQGKRALSNNPEYKEILVQVQEQKLPPYLIENLRPDRQKLNIFDMMQDVTLYPKSKAGFESFINDIEPRPCLNNLFVRYVEPTFDEQPISDNRSSPLSCAELATEVRKRDASFLRYINKLPIRGEPTVQLKLKTYAKELFADRSMNQALLNTALSFVENTWEKAVEEQFAVLNLHSINVLDPGYVVTIMRQELRGINGVDLLERLKPDKPAKAHRGCRADKVLSLDLLETEKNQDTWYFFFGQPDTRLIIPTVITSDDDWKVFKTGKKLSDSVCVPKLQLAHVGPQAGAM
+>sp|Q15147|PLCB4_HUMAN 1-phosphatidylinositol 4,5-bisphosphate phosphodiesterase beta-4 OS=Homo sapiens OX=9606 GN=PLCB4 PE=1 SV=3
+MAKPYEFNWQKEVPSFLQEGAVFDRYEEESFVFEPNCLFKVDEFGFFLTWRSEGKEGQVLECSLINSIRSGAIPKDPKILAALEAVGKSENDLEGRIVCVCSGTDLVNISFTYMVAENPEVTKQWVEGLRSIIHNFRANNVSPMTCLKKHWMKLAFMTNTNGKIPVRSITRTFASGKTEKVIFQALKELGLPSGKNDEIEPTAFSYEKFYELTQKICPRTDIEDLFKKINGDKTDYLTVDQLVSFLNEHQRDPRLNEILFPFYDAKRAMQIIEMYEPDEDLKKKGLISSDGFCRYLMSDENAPVFLDRLELYQEMDHPLAHYFISSSHNTYLTGRQFGGKSSVEMYRQVLLAGCRCVELDCWDGKGEDQEPIITHGKAMCTDILFKDVIQAIKETAFVTSEYPVILSFENHCSKYQQYKMSKYCEDLFGDLLLKQALESHPLEPGRALPSPNDLKRKILIKNKRLKPEVEKKQLEALRSMMEAGESASPANILEDDNEEEIESADQEEEAHPEFKFGNELSADDLGHKEAVANSVKKGLVTVEDEQAWMASYKYVGATTNIHPYLSTMINYAQPVKFQGFHVAEERNIHYNMSSFNESVGLGYLKTHAIEFVNYNKRQMSRIYPKGGRVDSSNYMPQIFWNAGCQMVSLNYQTPDLAMQLNQGKFEYNGSCGYLLKPDFMRRPDRTFDPFSETPVDGVIAATCSVQVISGQFLSDKKIGTYVEVDMYGLPTDTIRKEFRTRMVMNNGLNPVYNEESFVFRKVILPDLAVLRIAVYDDNNKLIGQRILPLDGLQAGYRHISLRNEGNKPLSLPTIFCNIVLKTYVPDGFGDIVDALSDPKKFLSITEKRADQMRAMGIETSDIADVPSDTSKNDKKGKANTAKANVTPQSSSELRPTTTAALASGVEAKKGIELIPQVRIEDLKQMKAYLKHLKKQQKELNSLKKKHAKEHSTMQKLHCTQVDKIVAQYDKEKSTHEKILEKAMKKKGGSNCLEMKKETEIKIQTLTSDHKSKVKEIVAQHTKEWSEMINTHSAEEQEIRDLHLSQQCELLKKLLINAHEQQTQQLKLSHDRESKEMRAHQAKISMENSKAISQDKSIKNKAERERRVRELNSSNTKKFLEERKRLAMKQSKEMDQLKKVQLEHLEFLEKQNEQAKEMQQMVKLEAEMDRRPATVV
+>DECOY_sp|Q15147|PLCB4_HUMAN 1-phosphatidylinositol 4,5-bisphosphate phosphodiesterase beta-4 OS=Homo sapiens OX=9606 GN=PLCB4 PE=1 SV=3
+VVTAPRRDMEAELKVMQQMEKAQENQKELFELHELQVKKLQDMEKSQKMALRKREELFKKTNSSNLERVRREREAKNKISKDQSIAKSNEMSIKAQHARMEKSERDHSLKLQQTQQEHANILLKKLLECQQSLHLDRIEQEEASHTNIMESWEKTHQAVIEKVKSKHDSTLTQIKIETEKKMELCNSGGKKKMAKELIKEHTSKEKDYQAVIKDVQTCHLKQMTSHEKAHKKKLSNLEKQQKKLHKLYAKMQKLDEIRVQPILEIGKKAEVGSALAATTTPRLESSSQPTVNAKATNAKGKKDNKSTDSPVDAIDSTEIGMARMQDARKETISLFKKPDSLADVIDGFGDPVYTKLVINCFITPLSLPKNGENRLSIHRYGAQLGDLPLIRQGILKNNDDYVAIRLVALDPLIVKRFVFSEENYVPNLGNNMVMRTRFEKRITDTPLGYMDVEVYTGIKKDSLFQGSIVQVSCTAAIVGDVPTESFPDFTRDPRRMFDPKLLYGCSGNYEFKGQNLQMALDPTQYNLSVMQCGANWFIQPMYNSSDVRGGKPYIRSMQRKNYNVFEIAHTKLYGLGVSENFSSMNYHINREEAVHFGQFKVPQAYNIMTSLYPHINTTAGVYKYSAMWAQEDEVTVLGKKVSNAVAEKHGLDDASLENGFKFEPHAEEEQDASEIEEENDDELINAPSASEGAEMMSRLAELQKKEVEPKLRKNKILIKRKLDNPSPLARGPELPHSELAQKLLLDGFLDECYKSMKYQQYKSCHNEFSLIVPYESTVFATEKIAQIVDKFLIDTCMAKGHTIIPEQDEGKGDWCDLEVCRCGALLVQRYMEVSSKGGFQRGTLYTNHSSSIFYHALPHDMEQYLELRDLFVPANEDSMLYRCFGDSSILGKKKLDEDPEYMEIIQMARKADYFPFLIENLRPDRQHENLFSVLQDVTLYDTKDGNIKKFLDEIDTRPCIKQTLEYFKEYSFATPEIEDNKGSPLGLEKLAQFIVKETKGSAFTRTISRVPIKGNTNTMFALKMWHKKLCTMPSVNNARFNHIISRLGEVWQKTVEPNEAVMYTFSINVLDTGSCVCVIRGELDNESKGVAELAALIKPDKPIAGSRISNILSCELVQGEKGESRWTLFFGFEDVKFLCNPEFVFSEEEYRDFVAGEQLFSPVEKQWNFEYPKAM
+>sp|P16885|PLCG2_HUMAN 1-phosphatidylinositol 4,5-bisphosphate phosphodiesterase gamma-2 OS=Homo sapiens OX=9606 GN=PLCG2 PE=1 SV=4
+MSTTVNVDSLAEYEKSQIKRALELGTVMTVFSFRKSTPERRTVQVIMETRQVAWSKTADKIEGFLDIMEIKEIRPGKNSKDFERAKAVRQKEDCCFTILYGTQFVLSTLSLAADSKEDAVNWLSGLKILHQEAMNASTPTIIESWLRKQIYSVDQTRRNSISLRELKTILPLINFKVSSAKFLKDKFVEIGAHKDELSFEQFHLFYKKLMFEQQKSILDEFKKDSSVFILGNTDRPDASAVYLHDFQRFLIHEQQEHWAQDLNKVRERMTKFIDDTMRETAEPFLFVDEFLTYLFSRENSIWDEKYDAVDMQDMNNPLSHYWISSSHNTYLTGDQLRSESSPEAYIRCLRMGCRCIELDCWDGPDGKPVIYHGWTRTTKIKFDDVVQAIKDHAFVTSSFPVILSIEEHCSVEQQRHMAKAFKEVFGDLLLTKPTEASADQLPSPSQLREKIIIKHKKLGPRGDVDVNMEDKKDEHKQQGELYMWDSIDQKWTRHYCAIADAKLSFSDDIEQTMEEEVPQDIPPTELHFGEKWFHKKVEKRTSAEKLLQEYCMETGGKDGTFLVRESETFPNDYTLSFWRSGRVQHCRIRSTMEGGTLKYYLTDNLTFSSIYALIQHYRETHLRCAEFELRLTDPVPNPNPHESKPWYYDSLSRGEAEDMLMRIPRDGAFLIRKREGSDSYAITFRARGKVKHCRINRDGRHFVLGTSAYFESLVELVSYYEKHSLYRKMRLRYPVTPELLERYNMERDINSLYDVSRMYVDPSEINPSMPQRTVKALYDYKAKRSDELSFCRGALIHNVSKEPGGWWKGDYGTRIQQYFPSNYVEDISTADFEELEKQIIEDNPLGSLCRGILDLNTYNVVKAPQGKNQKSFVFILEPKQQGDPPVEFATDRVEELFEWFQSIREITWKIDTKENNMKYWEKNQSIAIELSDLVVYCKPTSKTKDNLENPDFREIRSFVETKADSIIRQKPVDLLKYNQKGLTRVYPKGQRVDSSNYDPFRLWLCGSQMVALNFQTADKYMQMNHALFSLNGRTGYVLQPESMRTEKYDPMPPESQRKILMTLTVKVLGARHLPKLGRSIACPFVEVEICGAEYDNNKFKTTVVNDNGLSPIWAPTQEKVTFEIYDPNLAFLRFVVYEEDMFSDPNFLAHATYPIKAVKSGFRSVPLKNGYSEDIELASLLVFCEMRPVLESEEELYSSCRQLRRRQEELNNQLFLYDTHQNLRNANRDALVKEFSVNENQLQLYQEKCNKRLREKRVSNSKFYS
+>DECOY_sp|P16885|PLCG2_HUMAN 1-phosphatidylinositol 4,5-bisphosphate phosphodiesterase gamma-2 OS=Homo sapiens OX=9606 GN=PLCG2 PE=1 SV=4
+SYFKSNSVRKERLRKNCKEQYLQLQNENVSFEKVLADRNANRLNQHTDYLFLQNNLEEQRRRLQRCSSYLEEESELVPRMECFVLLSALEIDESYGNKLPVSRFGSKVAKIPYTAHALFNPDSFMDEEYVVFRLFALNPDYIEFTVKEQTPAWIPSLGNDNVVTTKFKNNDYEAGCIEVEVFPCAISRGLKPLHRAGLVKVTLTMLIKRQSEPPMPDYKETRMSEPQLVYGTRGNLSFLAHNMQMYKDATQFNLAVMQSGCLWLRFPDYNSSDVRQGKPYVRTLGKQNYKLLDVPKQRIISDAKTEVFSRIERFDPNELNDKTKSTPKCYVVLDSLEIAISQNKEWYKMNNEKTDIKWTIERISQFWEFLEEVRDTAFEVPPDGQQKPELIFVFSKQNKGQPAKVVNYTNLDLIGRCLSGLPNDEIIQKELEEFDATSIDEVYNSPFYQQIRTGYDGKWWGGPEKSVNHILAGRCFSLEDSRKAKYDYLAKVTRQPMSPNIESPDVYMRSVDYLSNIDREMNYRELLEPTVPYRLRMKRYLSHKEYYSVLEVLSEFYASTGLVFHRGDRNIRCHKVKGRARFTIAYSDSGERKRILFAGDRPIRMLMDEAEGRSLSDYYWPKSEHPNPNPVPDTLRLEFEACRLHTERYHQILAYISSFTLNDTLYYKLTGGEMTSRIRCHQVRGSRWFSLTYDNPFTESERVLFTGDKGGTEMCYEQLLKEASTRKEVKKHFWKEGFHLETPPIDQPVEEEMTQEIDDSFSLKADAIACYHRTWKQDISDWMYLEGQQKHEDKKDEMNVDVDGRPGLKKHKIIIKERLQSPSPLQDASAETPKTLLLDGFVEKFAKAMHRQQEVSCHEEISLIVPFSSTVFAHDKIAQVVDDFKIKTTRTWGHYIVPKGDPGDWCDLEICRCGMRLCRIYAEPSSESRLQDGTLYTNHSSSIWYHSLPNNMDQMDVADYKEDWISNERSFLYTLFEDVFLFPEATERMTDDIFKTMRERVKNLDQAWHEQQEHILFRQFDHLYVASADPRDTNGLIFVSSDKKFEDLISKQQEFMLKKYFLHFQEFSLEDKHAGIEVFKDKLFKASSVKFNILPLITKLERLSISNRRTQDVSYIQKRLWSEIITPTSANMAEQHLIKLGSLWNVADEKSDAALSLTSLVFQTGYLITFCCDEKQRVAKAREFDKSNKGPRIEKIEMIDLFGEIKDATKSWAVQRTEMIVQVTRREPTSKRFSFVTMVTGLELARKIQSKEYEALSDVNVTTSM
+>sp|Q9Y3B1|PLD3B_HUMAN PRELI domain containing protein 3B OS=Homo sapiens OX=9606 GN=PRELID3B PE=2 SV=2
+MKIWTSEHVFDHPWETVTTAAMQKYPNPMNPSVVGVDVLDRHIDPSGKLHSHRLLSTEWGLPSIVKSLIGAARTKTYVQEHSVVDPVEKTMELKSTNISFTNMVSVDERLIYKPHPQDPEKTVLTQEAIITVKGVSLSSYLEGLMASTISSNASKGREAMEWVIHKLNAEIEELTASARGTIRTPMAAAAFAEK
+>DECOY_sp|Q9Y3B1|PLD3B_HUMAN PRELI domain containing protein 3B OS=Homo sapiens OX=9606 GN=PRELID3B PE=2 SV=2
+KEAFAAAAMPTRITGRASATLEEIEANLKHIVWEMAERGKSANSSITSAMLGELYSSLSVGKVTIIAEQTLVTKEPDQPHPKYILREDVSVMNTFSINTSKLEMTKEVPDVVSHEQVYTKTRAAGILSKVISPLGWETSLLRHSHLKGSPDIHRDLVDVGVVSPNMPNPYKQMAATTVTEWPHDFVHESTWIKM
+>sp|Q96BZ4|PLD4_HUMAN Phospholipase D4 OS=Homo sapiens OX=9606 GN=PLD4 PE=2 SV=2
+MLKPLWKAAVAPTWPCSMPPRRPWDREAGTLQVLGALAVLWLGSVALICLLWQVPRPPTWGQVQPKDVPRSWEHGSSPAWEPLEAEARQQRDSCQLVLVESIPQDLPSAAGSPSAQPLGQAWLQLLDTAQESVHVASYYWSLTGPDIGVNDSSSQLGEALLQKLQQLLGRNISLAVATSSPTLARTSTDLQVLAARGAHVRQVPMGRLTRGVLHSKFWVVDGRHIYMGSANMDWRSLTQVKELGAVIYNCSHLAQDLEKTFQTYWVLGVPKAVLPKTWPQNFSSHFNRFQPFHGLFDGVPTTAYFSASPPALCPQGRTRDLEALLAVMGSAQEFIYASVMEYFPTTRFSHPPRYWPVLDNALRAAAFGKGVRVRLLVGCGLNTDPTMFPYLRSLQALSNPAANVSVDVKVFIVPVGNHSNIPFSRVNHSKFMVTEKAAYIGTSNWSEDYFSSTAGVGLVVTQSPGAQPAGATVQEQLRQLFERDWSSRYAVGLDGQAPGQDCVWQG
+>DECOY_sp|Q96BZ4|PLD4_HUMAN Phospholipase D4 OS=Homo sapiens OX=9606 GN=PLD4 PE=2 SV=2
+GQWVCDQGPAQGDLGVAYRSSWDREFLQRLQEQVTAGAPQAGPSQTVVLGVGATSSFYDESWNSTGIYAAKETVMFKSHNVRSFPINSHNGVPVIFVKVDVSVNAAPNSLAQLSRLYPFMTPDTNLGCGVLLRVRVGKGFAAARLANDLVPWYRPPHSFRTTPFYEMVSAYIFEQASGMVALLAELDRTRGQPCLAPPSASFYATTPVGDFLGHFPQFRNFHSSFNQPWTKPLVAKPVGLVWYTQFTKELDQALHSCNYIVAGLEKVQTLSRWDMNASGMYIHRGDVVWFKSHLVGRTLRGMPVQRVHAGRAALVQLDTSTRALTPSSTAVALSINRGLLQQLKQLLAEGLQSSSDNVGIDPGTLSWYYSAVHVSEQATDLLQLWAQGLPQASPSGAASPLDQPISEVLVLQCSDRQQRAEAELPEWAPSSGHEWSRPVDKPQVQGWTPPRPVQWLLCILAVSGLWLVALAGLVQLTGAERDWPRRPPMSCPWTPAVAAKWLPKLM
+>sp|Q8IUK5|PLDX1_HUMAN Plexin domain-containing protein 1 OS=Homo sapiens OX=9606 GN=PLXDC1 PE=1 SV=2
+MRGELWLLVLVLREAARALSPQPGAGHDEGPGSGWAAKGTVRGWNRRARESPGHVSEPDRTQLSQDLGGGTLAMDTLPDNRTRVVEDNHSYYVSRLYGPSEPHSRELWVDVAEANRSQVKIHTILSNTHRQASRVVLSFDFPFYGHPLRQITIATGGFIFMGDVIHRMLTATQYVAPLMANFNPGYSDNSTVVYFDNGTVFVVQWDHVYLQGWEDKGSFTFQAALHHDGRIVFAYKEIPMSVPEISSSQHPVKTGLSDAFMILNPSPDVPESRRRSIFEYHRIELDPSKVTSMSAVEFTPLPTCLQHRSCDACMSSDLTFNCSWCHVLQRCSSGFDRYRQEWMDYGCAQEAEGRMCEDFQDEDHDSASPDTSFSPYDGDLTTTSSSLFIDSLTTEDDTKLNPYAGGDGLQNNLSPKTKGTPVHLGTIVGIVLAVLLVAAIILAGIYINGHPTSNAALFFIERRPHHWPAMKFRSHPDHSTYAEVEPSGHEKEGFMEAEQC
+>DECOY_sp|Q8IUK5|PLDX1_HUMAN Plexin domain-containing protein 1 OS=Homo sapiens OX=9606 GN=PLXDC1 PE=1 SV=2
+CQEAEMFGEKEHGSPEVEAYTSHDPHSRFKMAPWHHPRREIFFLAANSTPHGNIYIGALIIAAVLLVALVIGVITGLHVPTGKTKPSLNNQLGDGGAYPNLKTDDETTLSDIFLSSSTTTLDGDYPSFSTDPSASDHDEDQFDECMRGEAEQACGYDMWEQRYRDFGSSCRQLVHCWSCNFTLDSSMCADCSRHQLCTPLPTFEVASMSTVKSPDLEIRHYEFISRRRSEPVDPSPNLIMFADSLGTKVPHQSSSIEPVSMPIEKYAFVIRGDHHLAAQFTFSGKDEWGQLYVHDWQVVFVTGNDFYVVTSNDSYGPNFNAMLPAVYQTATLMRHIVDGMFIFGGTAITIQRLPHGYFPFDFSLVVRSAQRHTNSLITHIKVQSRNAEAVDVWLERSHPESPGYLRSVYYSHNDEVVRTRNDPLTDMALTGGGLDQSLQTRDPESVHGPSERARRNWGRVTGKAAWGSGPGEDHGAGPQPSLARAAERLVLVLLWLEGRM
+>sp|A6NEE1|PLHD1_HUMAN Pleckstrin homology domain-containing family D member 1 OS=Homo sapiens OX=9606 GN=PLEKHD1 PE=2 SV=3
+MFTSKSNSVSPSPSLEQADSDALDISTKVQLYGVLWKRPFGRPSAKWSRRFFIIKESFLLYYSESEKKSFETNKYFNIHPKGVIPLGGCLVEPKEEPSMPYAMKISHQDFHGNILLAAESEFEQTQWLEMLQESGKVTWKNAQLGEAMIKSLEAQGLQLAKEKQEYLDKLMEETEELCLQREQREELERLNQVLEAEKQQFEEVVQELRMEQEQIKRELELTARCLKGVEQEKKELRHLTESLQQTLEELSIEKKKTLEMLEENENHLQTLANQSEQPPPSGGLHSNLRQIEEKMQQLLEEKLLAEKRMKENEERSRALEEEREFYSSQSQALQNSLQELTAEKQQAERELKAEVKVRMDLERRLREAEGALRSLEQGLNSKVRNKEKEERMRADVSHLKRFFEECIRNAELEAKMPVIMKNSVYIHKAATRRIKSCRFHRRRSSTSWNDMKPSQSFMTSQLDANNMEELKEVAKRLSRDQRFRESIYHIMATQPGAPSALSRGGK
+>DECOY_sp|A6NEE1|PLHD1_HUMAN Pleckstrin homology domain-containing family D member 1 OS=Homo sapiens OX=9606 GN=PLEKHD1 PE=2 SV=3
+KGGRSLASPAGPQTAMIHYISERFRQDRSLRKAVEKLEEMNNADLQSTMFSQSPKMDNWSTSSRRRHFRCSKIRRTAAKHIYVSNKMIVPMKAELEANRICEEFFRKLHSVDARMREEKEKNRVKSNLGQELSRLAGEAERLRRELDMRVKVEAKLEREAQQKEATLEQLSNQLAQSQSSYFEREEELARSREENEKMRKEALLKEELLQQMKEEIQRLNSHLGGSPPPQESQNALTQLHNENEELMELTKKKEISLEELTQQLSETLHRLEKKEQEVGKLCRATLELERKIQEQEMRLEQVVEEFQQKEAELVQNLRELEERQERQLCLEETEEMLKDLYEQKEKALQLGQAELSKIMAEGLQANKWTVKGSEQLMELWQTQEFESEAALLINGHFDQHSIKMAYPMSPEEKPEVLCGGLPIVGKPHINFYKNTEFSKKESESYYLLFSEKIIFFRRSWKASPRGFPRKWLVGYLQVKTSIDLADSDAQELSPSPSVSNSKSTFM
+>sp|Q9Y342|PLLP_HUMAN Plasmolipin OS=Homo sapiens OX=9606 GN=PLLP PE=1 SV=1
+MAEFPSKVSTRTSSPAQGAEASVSALRPDLGFVRSRLGALMLLQLVLGLLVWALIADTPYHLYPAYGWVMFVAVFLWLVTIVLFNLYLFQLHMKLYMVPWPLVLMIFNISATVLYITAFIACSAAVDLTSLRGTRPYNQRAAASFFACLVMIAYGVSAFFSYQAWRGVGSNAATSQMAGGYA
+>DECOY_sp|Q9Y342|PLLP_HUMAN Plasmolipin OS=Homo sapiens OX=9606 GN=PLLP PE=1 SV=1
+AYGGAMQSTAANSGVGRWAQYSFFASVGYAIMVLCAFFSAAARQNYPRTGRLSTLDVAASCAIFATIYLVTASINFIMLVLPWPVMYLKMHLQFLYLNFLVITVLWLFVAVFMVWGYAPYLHYPTDAILAWVLLGLVLQLLMLAGLRSRVFGLDPRLASVSAEAGQAPSSTRTSVKSPFEAM
+>sp|P00747|PLMN_HUMAN Plasminogen OS=Homo sapiens OX=9606 GN=PLG PE=1 SV=2
+MEHKEVVLLLLLFLKSGQGEPLDDYVNTQGASLFSVTKKQLGAGSIEECAAKCEEDEEFTCRAFQYHSKEQQCVIMAENRKSSIIIRMRDVVLFEKKVYLSECKTGNGKNYRGTMSKTKNGITCQKWSSTSPHRPRFSPATHPSEGLEENYCRNPDNDPQGPWCYTTDPEKRYDYCDILECEEECMHCSGENYDGKISKTMSGLECQAWDSQSPHAHGYIPSKFPNKNLKKNYCRNPDRELRPWCFTTDPNKRWELCDIPRCTTPPPSSGPTYQCLKGTGENYRGNVAVTVSGHTCQHWSAQTPHTHNRTPENFPCKNLDENYCRNPDGKRAPWCHTTNSQVRWEYCKIPSCDSSPVSTEQLAPTAPPELTPVVQDCYHGDGQSYRGTSSTTTTGKKCQSWSSMTPHRHQKTPENYPNAGLTMNYCRNPDADKGPWCFTTDPSVRWEYCNLKKCSGTEASVVAPPPVVLLPDVETPSEEDCMFGNGKGYRGKRATTVTGTPCQDWAAQEPHRHSIFTPETNPRAGLEKNYCRNPDGDVGGPWCYTTNPRKLYDYCDVPQCAAPSFDCGKPQVEPKKCPGRVVGGCVAHPHSWPWQVSLRTRFGMHFCGGTLISPEWVLTAAHCLEKSPRPSSYKVILGAHQEVNLEPHVQEIEVSRLFLEPTRKDIALLKLSSPAVITDKVIPACLPSPNYVVADRTECFITGWGETQGTFGAGLLKEAQLPVIENKVCNRYEFLNGRVQSTELCAGHLAGGTDSCQGDSGGPLVCFEKDKYILQGVTSWGLGCARPNKPGVYVRVSRFVTWIEGVMRNN
+>DECOY_sp|P00747|PLMN_HUMAN Plasminogen OS=Homo sapiens OX=9606 GN=PLG PE=1 SV=2
+NNRMVGEIWTVFRSVRVYVGPKNPRACGLGWSTVGQLIYKDKEFCVLPGGSDGQCSDTGGALHGACLETSQVRGNLFEYRNCVKNEIVPLQAEKLLGAGFTGQTEGWGTIFCETRDAVVYNPSPLCAPIVKDTIVAPSSLKLLAIDKRTPELFLRSVEIEQVHPELNVEQHAGLIVKYSSPRPSKELCHAATLVWEPSILTGGCFHMGFRTRLSVQWPWSHPHAVCGGVVRGPCKKPEVQPKGCDFSPAACQPVDCYDYLKRPNTTYCWPGGVDGDPNRCYNKELGARPNTEPTFISHRHPEQAAWDQCPTGTVTTARKGRYGKGNGFMCDEESPTEVDPLLVVPPPAVVSAETGSCKKLNCYEWRVSPDTTFCWPGKDADPNRCYNMTLGANPYNEPTKQHRHPTMSSWSQCKKGTTTTSSTGRYSQGDGHYCDQVVPTLEPPATPALQETSVPSSDCSPIKCYEWRVQSNTTHCWPARKGDPNRCYNEDLNKCPFNEPTRNHTHPTQASWHQCTHGSVTVAVNGRYNEGTGKLCQYTPGSSPPPTTCRPIDCLEWRKNPDTTFCWPRLERDPNRCYNKKLNKNPFKSPIYGHAHPSQSDWAQCELGSMTKSIKGDYNEGSCHMCEEECELIDCYDYRKEPDTTYCWPGQPDNDPNRCYNEELGESPHTAPSFRPRHPSTSSWKQCTIGNKTKSMTGRYNKGNGTKCESLYVKKEFLVVDRMRIIISSKRNEAMIVCQQEKSHYQFARCTFEEDEECKAACEEISGAGLQKKTVSFLSAGQTNVYDDLPEGQGSKLFLLLLLVVEKHEM
+>sp|O00168|PLM_HUMAN Phospholemman OS=Homo sapiens OX=9606 GN=FXYD1 PE=1 SV=2
+MASLGHILVFCVGLLTMAKAESPKEHDPFTYDYQSLQIGGLVIAGILFILGILIVLSRRCRCKFNQQQRTGEPDEEEGTFRSSIRRLSTRRR
+>DECOY_sp|O00168|PLM_HUMAN Phospholemman OS=Homo sapiens OX=9606 GN=FXYD1 PE=1 SV=2
+RRRTSLRRISSRFTGEEEDPEGTRQQQNFKCRCRRSLVILIGLIFLIGAIVLGGIQLSQYDYTFPDHEKPSEAKAMTLLGVCFVLIHGLSAM
+>sp|O94903|PLPHP_HUMAN Pyridoxal phosphate homeostasis protein OS=Homo sapiens OX=9606 GN=PLPBP PE=1 SV=1
+MWRAGSMSAELGVGCALRAVNERVQQAVARRPRDLPAIQPRLVAVSKTKPADMVIEAYGHGQRTFGENYVQELLEKASNPKILSLCPEIKWHFIGHLQKQNVNKLMAVPNLFMLETVDSVKLADKVNSSWQRKGSPERLKVMVQINTSGEESKHGLPPSETIAIVEHINAKCPNLEFVGLMTIGSFGHDLSQGPNPDFQLLLSLREELCKKLNIPADQVELSMGMSADFQHAVEVGSTNVRIGSTIFGERDYSKKPTPDKCAADVKAPLEVAQEH
+>DECOY_sp|O94903|PLPHP_HUMAN Pyridoxal phosphate homeostasis protein OS=Homo sapiens OX=9606 GN=PLPBP PE=1 SV=1
+HEQAVELPAKVDAACKDPTPKKSYDREGFITSGIRVNTSGVEVAHQFDASMGMSLEVQDAPINLKKCLEERLSLLLQFDPNPGQSLDHGFSGITMLGVFELNPCKANIHEVIAITESPPLGHKSEEGSTNIQVMVKLREPSGKRQWSSNVKDALKVSDVTELMFLNPVAMLKNVNQKQLHGIFHWKIEPCLSLIKPNSAKELLEQVYNEGFTRQGHGYAEIVMDAPKTKSVAVLRPQIAPLDRPRRAVAQQVRENVARLACGVGLEASMSGARWM
+>sp|Q8N8W4|PLPL1_HUMAN Patatin-like phospholipase domain-containing protein 1 OS=Homo sapiens OX=9606 GN=PNPLA1 PE=1 SV=3
+MEEQVFKGDPDTPHSISFSGSGFLSFYQAGAVDALRDLAPRMLETAHRFAGTSAGAVIAALAICGIEMDEYLRVLNVGVAEVKKSFLGPLSPSCKMVQMMRQFLYRVLPEDSYKVTTGKLHVSLTRLTDGENVVVSEFTSKEELIEALYCSCFVPVYCGLIPPTYRGVRYIDGGFTGMQPCAFWTDAITISTFSGQQDICPRDCPAIFHDFRMFNCSFQFSLENIARMTHALFPPDLVILHDYYYRGYEDAVLYLRRLNAVYLNSSSKRVIFPRVEVYCQIELALGNECPERSQPSLRARQASLEGATQPHKEWVPKGDGRGSHGPPVSQPVQTLEFTCESPVSAPVSPLEQPPAQPLASSTPLSLSGMPPVSFPAVHKPPSSTPGSSLPTPPPGLSPLSPQQQVQPSGSPARSLHSQAPTSPRPSLGPSTVGAPQTLPRSSLSAFPAQPPVEELGQEQPQAVALLVSSKPKSAVPLVHVKETVSKPYVTESPAEDSNWVNKVFKKNKQKTSGTRKGFPRHSGSKKPSSKVQ
+>DECOY_sp|Q8N8W4|PLPL1_HUMAN Patatin-like phospholipase domain-containing protein 1 OS=Homo sapiens OX=9606 GN=PNPLA1 PE=1 SV=3
+QVKSSPKKSGSHRPFGKRTGSTKQKNKKFVKNVWNSDEAPSETVYPKSVTEKVHVLPVASKPKSSVLLAVAQPQEQGLEEVPPQAPFASLSSRPLTQPAGVTSPGLSPRPSTPAQSHLSRAPSGSPQVQQQPSLPSLGPPPTPLSSGPTSSPPKHVAPFSVPPMGSLSLPTSSALPQAPPQELPSVPASVPSECTFELTQVPQSVPPGHSGRGDGKPVWEKHPQTAGELSAQRARLSPQSREPCENGLALEIQCYVEVRPFIVRKSSSNLYVANLRRLYLVADEYGRYYYDHLIVLDPPFLAHTMRAINELSFQFSCNFMRFDHFIAPCDRPCIDQQGSFTSITIADTWFACPQMGTFGGDIYRVGRYTPPILGCYVPVFCSCYLAEILEEKSTFESVVVNEGDTLRTLSVHLKGTTVKYSDEPLVRYLFQRMMQVMKCSPSLPGLFSKKVEAVGVNLVRLYEDMEIGCIALAAIVAGASTGAFRHATELMRPALDRLADVAGAQYFSLFGSGSFSISHPTDPDGKFVQEEM
+>sp|Q7Z6Z6|PLPL5_HUMAN Patatin-like phospholipase domain-containing protein 5 OS=Homo sapiens OX=9606 GN=PNPLA5 PE=2 SV=1
+MGFLEEEGRWNLSFSGAGYLGAHHVGATECLRQRAPRLLQGARRIYGSSSGALNAVSIVCGKSVDFCCSHLLGMVGQLERLSLSILHPAYAPIEHVKQQLQDALPPDAHVLASQRLGISLTRWPDGRNFLVTDFATCDELIQALVCTLYFPFYCGLIPPEFRGERYIDGALSNNLPFADCPSTITVSPFHGTVDICPQSTSPNLHELNVFNFSFQISTENFFLGLICLIPPSLEVVADNCRQGYLDALRFLERRGLTKEPVLWTLVSKEPPAPADGNWDAGCDQRWKGGLSLNWKVPHVQVKDVPNFEQLSPELEAALKKACTRDPSRWARFWHSGPGQVLTYLLLPCTLPFEYIYFRSRRLVVWLPDVPADLWWMQGLLRNMALEVFSRTKAQLLGPISPPATRVLETSPLQPQIAPHREELGPTHQA
+>DECOY_sp|Q7Z6Z6|PLPL5_HUMAN Patatin-like phospholipase domain-containing protein 5 OS=Homo sapiens OX=9606 GN=PNPLA5 PE=2 SV=1
+AQHTPGLEERHPAIQPQLPSTELVRTAPPSIPGLLQAKTRSFVELAMNRLLGQMWWLDAPVDPLWVVLRRSRFYIYEFPLTCPLLLYTLVQGPGSHWFRAWRSPDRTCAKKLAAELEPSLQEFNPVDKVQVHPVKWNLSLGGKWRQDCGADWNGDAPAPPEKSVLTWLVPEKTLGRRELFRLADLYGQRCNDAVVELSPPILCILGLFFNETSIQFSFNFVNLEHLNPSTSQPCIDVTGHFPSVTITSPCDAFPLNNSLAGDIYREGRFEPPILGCYFPFYLTCVLAQILEDCTAFDTVLFNRGDPWRTLSIGLRQSALVHADPPLADQLQQKVHEIPAYAPHLISLSLRELQGVMGLLHSCCFDVSKGCVISVANLAGSSSGYIRRAGQLLRPARQRLCETAGVHHAGLYGAGSFSLNWRGEEELFGM
+>sp|Q9HCM2|PLXA4_HUMAN Plexin-A4 OS=Homo sapiens OX=9606 GN=PLXNA4 PE=1 SV=4
+MKAMPWNWTCLLSHLLMVGMGSSTLLTRQPAPLSQKQRSFVTFRGEPAEGFNHLVVDERTGHIYLGAVNRIYKLSSDLKVLVTHETGPDEDNPKCYPPRIVQTCNEPLTTTNNVNKMLLIDYKENRLIACGSLYQGICKLLRLEDLFKLGEPYHKKEHYLSGVNESGSVFGVIVSYSNLDDKLFIATAVDGKPEYFPTISSRKLTKNSEADGMFAYVFHDEFVASMIKIPSDTFTIIPDFDIYYVYGFSSGNFVYFLTLQPEMVSPPGSTTKEQVYTSKLVRLCKEDTAFNSYVEVPIGCERSGVEYRLLQAAYLSKAGAVLGRTLGVHPDDDLLFTVFSKGQKRKMKSLDESALCIFILKQINDRIKERLQSCYRGEGTLDLAWLKVKDIPCSSALLTIDDNFCGLDMNAPLGVSDMVRGIPVFTEDRDRMTSVIAYVYKNHSLAFVGTKSGKLKKIRVDGPRGNALQYETVQVVDPGPVLRDMAFSKDHEQLYIMSERQLTRVPVESCGQYQSCGECLGSGDPHCGWCVLHNTCTRKERCERSKEPRRFASEMKQCVRLTVHPNNISVSQYNVLLVLETYNVPELSAGVNCTFEDLSEMDGLVVGNQIQCYSPAAKEVPRIITENGDHHVVQLQLKSKETGMTFASTSFVFYNCSVHNSCLSCVESPYRCHWCKYRHVCTHDPKTCSFQEGRVKLPEDCPQLLRVDKILVPVEVIKPITLKAKNLPQPQSGQRGYECILNIQGSEQRVPALRFNSSSVQCQNTSYSYEGMEINNLPVELTVVWNGHFNIDNPAQNKVHLYKCGAMRESCGLCLKADPDFACGWCQGPGQCTLRQHCPAQESQWLELSGAKSKCTNPRITEIIPVTGPREGGTKVTIRGENLGLEFRDIASHVKVAGVECSPLVDGYIPAEQIVCEMGEAKPSQHAGFVEICVAVCRPEFMARSSQLYYFMTLTLSDLKPSRGPMSGGTQVTITGTNLNAGSNVVVMFGKQPCLFHRRSPSYIVCNTTSSDEVLEMKVSVQVDRAKIHQDLVFQYVEDPTIVRIEPEWSIVSGNTPIAVWGTHLDLIQNPQIRAKHGGKEHINICEVLNATEMTCQAPALALGPDHQSDLTERPEEFGFILDNVQSLLILNKTNFTYYPNPVFEAFGPSGILELKPGTPIILKGKNLIPPVAGGNVKLNYTVLVGEKPCTVTVSDVQLLCESPNLIGRHKVMARVGGMEYSPGMVYIAPDSPLSLPAIVSIAVAGGLLIIFIVAVLIAYKRKSRESDLTLKRLQMQMDNLESRVALECKEAFAELQTDIHELTSDLDGAGIPFLDYRTYTMRVLFPGIEDHPVLRDLEVPGYRQERVEKGLKLFAQLINNKVFLLSFIRTLESQRSFSMRDRGNVASLIMTVLQSKLEYATDVLKQLLADLIDKNLESKNHPKLLLRRTESVAEKMLTNWFTFLLYKFLKECAGEPLFSLFCAIKQQMEKGPIDAITGEARYSLSEDKLIRQQIDYKTLVLSCVSPDNANSPEVPVKILNCDTITQVKEKILDAIFKNVPCSHRPKAADMDLEWRQGSGARMILQDEDITTKIENDWKRLNTLAHYQVPDGSVVALVSKQVTAYNAVNNSTVSRTSASKYENMIRYTGSPDSLRSRTPMITPDLESGVKMWHLVKNHEHGDQKEGDRGSKMVSEIYLTRLLATKGTLQKFVDDLFETIFSTAHRGSALPLAIKYMFDFLDEQADKHGIHDPHVRHTWKSNCLPLRFWVNMIKNPQFVFDIHKNSITDACLSVVAQTFMDSCSTSEHRLGKDSPSNKLLYAKDIPSYKNWVERYYSDIGKMPAISDQDMNAYLAEQSRMHMNEFNTMSALSEIFSYVGKYSEEILGPLDHDDQCGKQKLAYKLEQVITLMSLDS
+>DECOY_sp|Q9HCM2|PLXA4_HUMAN Plexin-A4 OS=Homo sapiens OX=9606 GN=PLXNA4 PE=1 SV=4
+SDLSMLTIVQELKYALKQKGCQDDHDLPGLIEESYKGVYSFIESLASMTNFENMHMRSQEALYANMDQDSIAPMKGIDSYYREVWNKYSPIDKAYLLKNSPSDKGLRHESTSCSDMFTQAVVSLCADTISNKHIDFVFQPNKIMNVWFRLPLCNSKWTHRVHPDHIGHKDAQEDLFDFMYKIALPLASGRHATSFITEFLDDVFKQLTGKTALLRTLYIESVMKSGRDGEKQDGHEHNKVLHWMKVGSELDPTIMPTRSRLSDPSGTYRIMNEYKSASTRSVTSNNVANYATVQKSVLAVVSGDPVQYHALTNLRKWDNEIKTTIDEDQLIMRAGSGQRWELDMDAAKPRHSCPVNKFIADLIKEKVQTITDCNLIKVPVEPSNANDPSVCSLVLTKYDIQQRILKDESLSYRAEGTIADIPGKEMQQKIACFLSFLPEGACEKLFKYLLFTFWNTLMKEAVSETRRLLLKPHNKSELNKDILDALLQKLVDTAYELKSQLVTMILSAVNGRDRMSFSRQSELTRIFSLLFVKNNILQAFLKLGKEVREQRYGPVELDRLVPHDEIGPFLVRMTYTRYDLFPIGAGDLDSTLEHIDTQLEAFAEKCELAVRSELNDMQMQLRKLTLDSERSKRKYAILVAVIFIILLGGAVAISVIAPLSLPSDPAIYVMGPSYEMGGVRAMVKHRGILNPSECLLQVDSVTVTCPKEGVLVTYNLKVNGGAVPPILNKGKLIIPTGPKLELIGSPGFAEFVPNPYYTFNTKNLILLSQVNDLIFGFEEPRETLDSQHDPGLALAPAQCTMETANLVECINIHEKGGHKARIQPNQILDLHTGWVAIPTNGSVISWEPEIRVITPDEVYQFVLDQHIKARDVQVSVKMELVEDSSTTNCVIYSPSRRHFLCPQKGFMVVVNSGANLNTGTITVQTGGSMPGRSPKLDSLTLTMFYYLQSSRAMFEPRCVAVCIEVFGAHQSPKAEGMECVIQEAPIYGDVLPSCEVGAVKVHSAIDRFELGLNEGRITVKTGGERPGTVPIIETIRPNTCKSKAGSLELWQSEQAPCHQRLTCQGPGQCWGCAFDPDAKLCLGCSERMAGCKYLHVKNQAPNDINFHGNWVVTLEVPLNNIEMGEYSYSTNQCQVSSSNFRLAPVRQESGQINLICEYGRQGSQPQPLNKAKLTIPKIVEVPVLIKDVRLLQPCDEPLKVRGEQFSCTKPDHTCVHRYKCWHCRYPSEVCSLCSNHVSCNYFVFSTSAFTMGTEKSKLQLQVVHHDGNETIIRPVEKAAPSYCQIQNGVVLGDMESLDEFTCNVGASLEPVNYTELVLLVNYQSVSINNPHVTLRVCQKMESAFRRPEKSRECREKRTCTNHLVCWGCHPDGSGLCEGCSQYQGCSEVPVRTLQRESMIYLQEHDKSFAMDRLVPGPDVVQVTEYQLANGRPGDVRIKKLKGSKTGVFALSHNKYVYAIVSTMRDRDETFVPIGRVMDSVGLPANMDLGCFNDDITLLASSCPIDKVKLWALDLTGEGRYCSQLREKIRDNIQKLIFICLASEDLSKMKRKQGKSFVTFLLDDDPHVGLTRGLVAGAKSLYAAQLLRYEVGSRECGIPVEVYSNFATDEKCLRVLKSTYVQEKTTSGPPSVMEPQLTLFYVFNGSSFGYVYYIDFDPIITFTDSPIKIMSAVFEDHFVYAFMGDAESNKTLKRSSITPFYEPKGDVATAIFLKDDLNSYSVIVGFVSGSENVGSLYHEKKHYPEGLKFLDELRLLKCIGQYLSGCAILRNEKYDILLMKNVNNTTTLPENCTQVIRPPYCKPNDEDPGTEHTVLVKLDSSLKYIRNVAGLYIHGTREDVVLHNFGEAPEGRFTVFSRQKQSLPAPQRTLLTSSGMGVMLLHSLLCTWNWPMAKM
+>sp|O15031|PLXB2_HUMAN Plexin-B2 OS=Homo sapiens OX=9606 GN=PLXNB2 PE=1 SV=3
+MALQLWALTLLGLLGAGASLRPRKLDFFRSEKELNHLAVDEASGVVYLGAVNALYQLDAKLQLEQQVATGPALDNKKCTPPIEASQCHEAEMTDNVNQLLLLDPPRKRLVECGSLFKGICALRALSNISLRLFYEDGSGEKSFVASNDEGVATVGLVSSTGPGGDRVLFVGKGNGPHDNGIIVSTRLLDRTDSREAFEAYTDHATYKAGYLSTNTQQFVAAFEDGPYVFFVFNQQDKHPARNRTLLARMCREDPNYYSYLEMDLQCRDPDIHAAAFGTCLAASVAAPGSGRVLYAVFSRDSRSSGGPGAGLCLFPLDKVHAKMEANRNACYTGTREARDIFYKPFHGDIQCGGHAPGSSKSFPCGSEHLPYPLGSRDGLRGTAVLQRGGLNLTAVTVAAENNHTVAFLGTSDGRILKVYLTPDGTSSEYDSILVEINKRVKRDLVLSGDLGSLYAMTQDKVFRLPVQECLSYPTCTQCRDSQDPYCGWCVVEGRCTRKAECPRAEEASHWLWSRSKSCVAVTSAQPQNMSRRAQGEVQLTVSPLPALSEEDELLCLFGESPPHPARVEGEAVICNSPSSIPVTPPGQDHVAVTIQLLLRRGNIFLTSYQYPFYDCRQAMSLEENLPCISCVSNRWTCQWDLRYHECREASPNPEDGIVRAHMEDSCPQFLGPSPLVIPMNHETDVNFQGKNLDTVKGSSLHVGSDLLKFMEPVTMQESGTFAFRTPKLSHDANETLPLHLYVKSYGKNIDSKLHVTLYNCSFGRSDCSLCRAANPDYRCAWCGGQSRCVYEALCNTTSECPPPVITRIQPETGPLGGGIRITILGSNLGVQAGDIQRISVAGRNCSFQPERYSVSTRIVCVIEAAETPFTGGVEVDVFGKLGRSPPNVQFTFQQPKPLSVEPQQGPQAGGTTLTIHGTHLDTGSQEDVRVTLNGVPCKVTKFGAQLQCVTGPQATRGQMLLEVSYGGSPVPNPGIFFTYRENPVLRAFEPLRSFASGGRSINVTGQGFSLIQRFAMVVIAEPLQSWQPPREAESLQPMTVVGTDYVFHNDTKVVFLSPAVPEEPEAYNLTVLIEMDGHRALLRTEAGAFEYVPDPTFENFTGGVKKQVNKLIHARGTNLNKAMTLQEAEAFVGAERCTMKTLTETDLYCEPPEVQPPPKRRQKRDTTHNLPEFIVKFGSREWVLGRVEYDTRVSDVPLSLILPLVIVPMVVVIAVSVYCYWRKSQQAEREYEKIKSQLEGLEESVRDRCKKEFTDLMIEMEDQTNDVHEAGIPVLDYKTYTDRVFFLPSKDGDKDVMITGKLDIPEPRRPVVEQALYQFSNLLNSKSFLINFIHTLENQREFSARAKVYFASLLTVALHGKLEYYTDIMHTLFLELLEQYVVAKNPKLMLRRSETVVERMLSNWMSICLYQYLKDSAGEPLYKLFKAIKHQVEKGPVDAVQKKAKYTLNDTGLLGDDVEYAPLTVSVIVQDEGVDAIPVKVLNCDTISQVKEKIIDQVYRGQPCSCWPRPDSVVLEWRPGSTAQILSDLDLTSQREGRWKRVNTLMHYNVRDGATLILSKVGVSQQPEDSQQDLPGERHALLEEENRVWHLVRPTDEVDEGKSKRGSVKEKERTKAITEIYLTRLLSVKGTLQQFVDNFFQSVLAPGHAVPPAVKYFFDFLDEQAEKHNIQDEDTIHIWKTNSLPLRFWVNILKNPHFIFDVHVHEVVDASLSVIAQTFMDACTRTEHKLSRDSPSNKLLYAKEISTYKKMVEDYYKGIRQMVQVSDQDMNTHLAEISRAHTDSLNTLVALHQLYQYTQKYYDEIINALEEDPAAQKMQLAFRLQQIAAALENKVTDL
+>DECOY_sp|O15031|PLXB2_HUMAN Plexin-B2 OS=Homo sapiens OX=9606 GN=PLXNB2 PE=1 SV=3
+LDTVKNELAAAIQQLRFALQMKQAAPDEELANIIEDYYKQTYQYLQHLAVLTNLSDTHARSIEALHTNMDQDSVQVMQRIGKYYDEVMKKYTSIEKAYLLKNSPSDRSLKHETRTCADMFTQAIVSLSADVVEHVHVDFIFHPNKLINVWFRLPLSNTKWIHITDEDQINHKEAQEDLFDFFYKVAPPVAHGPALVSQFFNDVFQQLTGKVSLLRTLYIETIAKTREKEKVSGRKSKGEDVEDTPRVLHWVRNEEELLAHREGPLDQQSDEPQQSVGVKSLILTAGDRVNYHMLTNVRKWRGERQSTLDLDSLIQATSGPRWELVVSDPRPWCSCPQGRYVQDIIKEKVQSITDCNLVKVPIADVGEDQVIVSVTLPAYEVDDGLLGTDNLTYKAKKQVADVPGKEVQHKIAKFLKYLPEGASDKLYQYLCISMWNSLMREVVTESRRLMLKPNKAVVYQELLELFLTHMIDTYYELKGHLAVTLLSAFYVKARASFERQNELTHIFNILFSKSNLLNSFQYLAQEVVPRRPEPIDLKGTIMVDKDGDKSPLFFVRDTYTKYDLVPIGAEHVDNTQDEMEIMLDTFEKKCRDRVSEELGELQSKIKEYEREAQQSKRWYCYVSVAIVVVMPVIVLPLILSLPVDSVRTDYEVRGLVWERSGFKVIFEPLNHTTDRKQRRKPPPQVEPPECYLDTETLTKMTCREAGVFAEAEQLTMAKNLNTGRAHILKNVQKKVGGTFNEFTPDPVYEFAGAETRLLARHGDMEILVTLNYAEPEEPVAPSLFVVKTDNHFVYDTGVVTMPQLSEAERPPQWSQLPEAIVVMAFRQILSFGQGTVNISRGGSAFSRLPEFARLVPNERYTFFIGPNPVPSGGYSVELLMQGRTAQPGTVCQLQAGFKTVKCPVGNLTVRVDEQSGTDLHTGHITLTTGGAQPGQQPEVSLPKPQQFTFQVNPPSRGLKGFVDVEVGGTFPTEAAEIVCVIRTSVSYREPQFSCNRGAVSIRQIDGAQVGLNSGLITIRIGGGLPGTEPQIRTIVPPPCESTTNCLAEYVCRSQGGCWACRYDPNAARCLSCDSRGFSCNYLTVHLKSDINKGYSKVYLHLPLTENADHSLKPTRFAFTGSEQMTVPEMFKLLDSGVHLSSGKVTDLNKGQFNVDTEHNMPIVLPSPGLFQPCSDEMHARVIGDEPNPSAERCEHYRLDWQCTWRNSVCSICPLNEELSMAQRCDYFPYQYSTLFINGRRLLLQITVAVHDQGPPTVPISSPSNCIVAEGEVRAPHPPSEGFLCLLEDEESLAPLPSVTLQVEGQARRSMNQPQASTVAVCSKSRSWLWHSAEEARPCEAKRTCRGEVVCWGCYPDQSDRCQTCTPYSLCEQVPLRFVKDQTMAYLSGLDGSLVLDRKVRKNIEVLISDYESSTGDPTLYVKLIRGDSTGLFAVTHNNEAAVTVATLNLGGRQLVATGRLGDRSGLPYPLHESGCPFSKSSGPAHGGCQIDGHFPKYFIDRAERTGTYCANRNAEMKAHVKDLPFLCLGAGPGGSSRSDRSFVAYLVRGSGPAAVSAALCTGFAAAHIDPDRCQLDMELYSYYNPDERCMRALLTRNRAPHKDQQNFVFFVYPGDEFAAVFQQTNTSLYGAKYTAHDTYAEFAERSDTRDLLRTSVIIGNDHPGNGKGVFLVRDGGPGTSSVLGVTAVGEDNSAVFSKEGSGDEYFLRLSINSLARLACIGKFLSGCEVLRKRPPDLLLLQNVNDTMEAEHCQSAEIPPTCKKNDLAPGTAVQQELQLKADLQYLANVAGLYVVGSAEDVALHNLEKESRFFDLKRPRLSAGAGLLGLLTLAWLQLAM
+>sp|Q9ULL4|PLXB3_HUMAN Plexin-B3 OS=Homo sapiens OX=9606 GN=PLXNB3 PE=1 SV=2
+MCHAAQETPLLHHFMAPVMARWPPFGLCLLLLLLSPPPLPLTGAHRFSAPNTTLNHLALAPGRGTLYVGAVNRLFQLSPELQLEAVAVTGPVIDSPDCVPFRDPAECPQAQLTDNANQLLLVSSRAQELVACGQVRQGVCETRRLGDVAEVLYQAEDPGDGQFVAANTPGVATVGLVVPLPGRDLLLVARGLAGKLSAGVPPLAIRQLAGSQPFSSEGLGRLVVGDFSDYNNSYVGAFADARSAYFVFRRRGARAQAEYRSYVARVCLGDTNLYSYVEVPLACQGQGLIQAAFLAPGTLLGVFAAGPRGTQAALCAFPMVELGASMEQARRLCYTAGGRGPSGAEEATVEYGVTSRCVTLPLDSPESYPCGDEHTPSPIAGRQPLEVQPLLKLGQPVSAVAALQADGHMIAFLGDTQGQLYKVFLHGSQGQVYHSQQVGPPGSAISPDLLLDSSGSHLYVLTAHQVDRIPVAACPQFPDCASCLQAQDPLCGWCVLQGRCTRKGQCGRAGQLNQWLWSYEEDSHCLHIQSLLPGHHPRQEQGQVTLSVPRLPILDADEYFHCAFGDYDSLAHVEGPHVACVTPPQDQVPLNPPGTDHVTVPLALMFEDVTVAATNFSFYDCSAVQALEAAAPCRACVGSIWRCHWCPQSSHCVYGEHCPEGERTIYSAQEVDIQVRGPGACPQVEGLAGPHLVPVGWESHLALRVRNLQHFRGLPASFHCWLELPGELRGLPATLEETAGDSGLIHCQAHQFYPSMSQRELPVPIYVTQGEAQRLDNTHALYVILYDCAMGHPDCSHCQAANRSLGCLWCADGQPACRYGPLCPPGAVELLCPAPSIDAVEPLTGPPEGGLALTILGSNLGRAFADVQYAVSVASRPCNPEPSLYRTSARIVCVTSPAPNGTTGPVRVAIKSQPPGISSQHFTYQDPVLLSLSPRWGPQAGGTQLTIRGQHLQTGGNTSAFVGGQPCPILEPVCPEAIVCRTRPQAAPGEAAVLVVFGHAQRTLLASPFRYTANPQLVAAEPSASFRGGGRLIRVRGTGLDVVQRPLLSVWLEADAEVQASRAQPQDPQPRRSCGAPAADPQACIQLGGGLLQCSTVCSVNSSSLLLCRSPAVPDRAHPQRVFFTLDNVQVDFASASGGQGFLYQPNPRLAPLSREGPARPYRLKPGHVLDVEGEGLNLGISKEEVRVHIGRGECLVKTLTRTHLYCEPPAHAPQPANGSGLPQFVVQMGNVQLALGPVQYEAEPPLSAFPVEAQAGVGMGAAVLIAAVLLLTLMYRHKSKQALRDYQKVLVQLESLETGVGDQCRKEFTDLMTEMTDLSSDLEGSGIPFLDYRTYAERAFFPGHGGCPLQPKPEGPGEDGHCATVRQGLTQLSNLLNSKLFLLTLIHTLEEQPSFSQRDRCHVASLLSLALHGKLEYLTDIMRTLLGDLAAHYVHRNPKLMLRRTETMVEKLLTNWLSICLYAFLREVAGEPLYMLFRAIQYQVDKGPVDAVTGKAKRTLNDSRLLREDVEFQPLTLMVLVGPGAGGAAGSSEMQRVPARVLDTDTITQVKEKVLDQVYKGTPFSQRPSVHALDLEWRSGLAGHLTLSDEDLTSVTQNHWKRLNTLQHYKVPDGATVGLVPQLHRGSTISQSLAQRCPLGENIPTLEDGEEGGVCLWHLVKATEEPEGAKVRCSSLREREPARAKAIPEIYLTRLLSMKGTLQKFVDDTFQAILSVNRPIPIAVKYLFDLLDELAEKHGIEDPGTLHIWKTNSLLLRFWVNALKNPQLIFDVRVSDNVDAILAVIAQTFIDSCTTSEHKVGRDSPVNKLLYAREIPRYKQMVERYYADIRQSSPASYQEMNSALAELSGNYTSAPHCLEALQELYNHIHRYYDQIISALEEDPVGQKLQLACRLQQVAALVENKVTDL
+>DECOY_sp|Q9ULL4|PLXB3_HUMAN Plexin-B3 OS=Homo sapiens OX=9606 GN=PLXNB3 PE=1 SV=2
+LDTVKNEVLAAVQQLRCALQLKQGVPDEELASIIQDYYRHIHNYLEQLAELCHPASTYNGSLEALASNMEQYSAPSSQRIDAYYREVMQKYRPIERAYLLKNVPSDRGVKHESTTCSDIFTQAIVALIADVNDSVRVDFILQPNKLANVWFRLLLSNTKWIHLTGPDEIGHKEALEDLLDFLYKVAIPIPRNVSLIAQFTDDVFKQLTGKMSLLRTLYIEPIAKARAPERERLSSCRVKAGEPEETAKVLHWLCVGGEEGDELTPINEGLPCRQALSQSITSGRHLQPVLGVTAGDPVKYHQLTNLRKWHNQTVSTLDEDSLTLHGALGSRWELDLAHVSPRQSFPTGKYVQDLVKEKVQTITDTDLVRAPVRQMESSGAAGGAGPGVLVMLTLPQFEVDERLLRSDNLTRKAKGTVADVPGKDVQYQIARFLMYLPEGAVERLFAYLCISLWNTLLKEVMTETRRLMLKPNRHVYHAALDGLLTRMIDTLYELKGHLALSLLSAVHCRDRQSFSPQEELTHILTLLFLKSNLLNSLQTLGQRVTACHGDEGPGEPKPQLPCGGHGPFFAREAYTRYDLFPIGSGELDSSLDTMETMLDTFEKRCQDGVGTELSELQVLVKQYDRLAQKSKHRYMLTLLLVAAILVAAGMGVGAQAEVPFASLPPEAEYQVPGLALQVNGMQVVFQPLGSGNAPQPAHAPPECYLHTRTLTKVLCEGRGIHVRVEEKSIGLNLGEGEVDLVHGPKLRYPRAPGERSLPALRPNPQYLFGQGGSASAFDVQVNDLTFFVRQPHARDPVAPSRCLLLSSSNVSCVTSCQLLGGGLQICAQPDAAPAGCSRRPQPDQPQARSAQVEADAELWVSLLPRQVVDLGTGRVRILRGGGRFSASPEAAVLQPNATYRFPSALLTRQAHGFVVLVAAEGPAAQPRTRCVIAEPCVPELIPCPQGGVFASTNGGTQLHQGRITLQTGGAQPGWRPSLSLLVPDQYTFHQSSIGPPQSKIAVRVPGTTGNPAPSTVCVIRASTRYLSPEPNCPRSAVSVAYQVDAFARGLNSGLITLALGGEPPGTLPEVADISPAPCLLEVAGPPCLPGYRCAPQGDACWLCGLSRNAAQCHSCDPHGMACDYLIVYLAHTNDLRQAEGQTVYIPVPLERQSMSPYFQHAQCHILGSDGATEELTAPLGRLEGPLELWCHFSAPLGRFHQLNRVRLALHSEWGVPVLHPGALGEVQPCAGPGRVQIDVEQASYITREGEPCHEGYVCHSSQPCWHCRWISGVCARCPAAAELAQVASCDYFSFNTAAVTVDEFMLALPVTVHDTGPPNLPVQDQPPTVCAVHPGEVHALSDYDGFACHFYEDADLIPLRPVSLTVQGQEQRPHHGPLLSQIHLCHSDEEYSWLWQNLQGARGCQGKRTCRGQLVCWGCLPDQAQLCSACDPFQPCAAVPIRDVQHATLVYLHSGSSDLLLDPSIASGPPGVQQSHYVQGQSGHLFVKYLQGQTDGLFAIMHGDAQLAAVASVPQGLKLLPQVELPQRGAIPSPTHEDGCPYSEPSDLPLTVCRSTVGYEVTAEEAGSPGRGGATYCLRRAQEMSAGLEVMPFACLAAQTGRPGAAFVGLLTGPALFAAQILGQGQCALPVEVYSYLNTDGLCVRAVYSRYEAQARAGRRRFVFYASRADAFAGVYSNNYDSFDGVVLRGLGESSFPQSGALQRIALPPVGASLKGALGRAVLLLDRGPLPVVLGVTAVGPTNAAVFQGDGPDEAQYLVEAVDGLRRTECVGQRVQGCAVLEQARSSVLLLQNANDTLQAQPCEAPDRFPVCDPSDIVPGTVAVAELQLEPSLQFLRNVAGVYLTGRGPALALHNLTTNPASFRHAGTLPLPPPSLLLLLLCLGFPPWRAMVPAMFHHLLPTEQAAHCM
+>sp|A0A1B0GUJ8|PNM8C_HUMAN Paraneoplastic antigen-like protein 8C OS=Homo sapiens OX=9606 GN=PNMA8C PE=3 SV=1
+MLFGVKDIALLEHGCKALEVDSYKSLMILGIPEDCNHEEFEEIIRLPLKPLGKFEVAGKAYLEEDKSKAAIIQLTEDINYAVVPREIKGKGGVWRVVYMPRKQDIEFLTKLNLFLQSEGRTVEDMARVLRQELCPPATGPRELPARKCSVPGLGEKPEAGATVQMDVVPPLDSSEKESKAGVGKRGKRKNKKNRRRHHASDKKL
+>DECOY_sp|A0A1B0GUJ8|PNM8C_HUMAN Paraneoplastic antigen-like protein 8C OS=Homo sapiens OX=9606 GN=PNMA8C PE=3 SV=1
+LKKDSAHHRRRNKKNKRKGRKGVGAKSEKESSDLPPVVDMQVTAGAEPKEGLGPVSCKRAPLERPGTAPPCLEQRLVRAMDEVTRGESQLFLNLKTLFEIDQKRPMYVVRWVGGKGKIERPVVAYNIDETLQIIAAKSKDEELYAKGAVEFKGLPKLPLRIIEEFEEHNCDEPIGLIMLSKYSDVELAKCGHELLAIDKVGFLM
+>sp|Q96PV4|PNMA5_HUMAN Paraneoplastic antigen-like protein 5 OS=Homo sapiens OX=9606 GN=PNMA5 PE=1 SV=2
+MALTLLEDWCKGMDMDPRKALLIVGIPMECSEVEIQDTVKAGLQPLCAYRVLGRMFRREDNAKAVFIELADTVNYTTLPSHIPGKGGSWEVVVKPRNPDDEFLSRLNYFLKDEGRSMTDVARALGCCSLPAESLDAEVMPQVRSPPLEPPKESMWYRKLKVFSGTASPSPGEETFEDWLEQVTEIMPIWQVSEVEKRRRLLESLRGPALSIMRVLQANNDSITVEQCLDALKQIFGDKEDFRASQFRFLQTSPKIGEKVSTFLLRLEPLLQKAVHKSPLSVRSTDMIRLKHLLARVAMTPALRGKLELLDQRGCPPNFLELMKLIRDEEEWENTEAVMKNKEKPSGRGRGASGRQARAEASVSAPQATVQARSFSDSSPQTIQGGLPPLVKRRRLLGSESTRGEDHGQATYPKAENQTPGREGPQAAGEELGNEAGAGAMSHPKPWET
+>DECOY_sp|Q96PV4|PNMA5_HUMAN Paraneoplastic antigen-like protein 5 OS=Homo sapiens OX=9606 GN=PNMA5 PE=1 SV=2
+TEWPKPHSMAGAGAENGLEEGAAQPGERGPTQNEAKPYTAQGHDEGRTSESGLLRRRKVLPPLGGQITQPSSDSFSRAQVTAQPASVSAEARAQRGSAGRGRGSPKEKNKMVAETNEWEEEDRILKMLELFNPPCGRQDLLELKGRLAPTMAVRALLHKLRIMDTSRVSLPSKHVAKQLLPELRLLFTSVKEGIKPSTQLFRFQSARFDEKDGFIQKLADLCQEVTISDNNAQLVRMISLAPGRLSELLRRRKEVESVQWIPMIETVQELWDEFTEEGPSPSATGSFVKLKRYWMSEKPPELPPSRVQPMVEADLSEAPLSCCGLARAVDTMSRGEDKLFYNLRSLFEDDPNRPKVVVEWSGGKGPIHSPLTTYNVTDALEIFVAKANDERRFMRGLVRYACLPQLGAKVTDQIEVESCEMPIGVILLAKRPDMDMGKCWDELLTLAM
+>sp|Q15319|PO4F3_HUMAN POU domain, class 4, transcription factor 3 OS=Homo sapiens OX=9606 GN=POU4F3 PE=1 SV=1
+MMAMNSKQPFGMHPVLQEPKFSSLHSGSEAMRRVCLPAPQLQGNIFGSFDESLLARAEALAAVDIVSHGKNHPFKPDATYHTMSSVPCTSTSSTVPISHPAALTSHPHHAVHQGLEGDLLEHISPTLSVSGLGAPEHSVMPAQIHPHHLGAMGHLHQAMGMSHPHTVAPHSAMPACLSDVESDPRELEAFAERFKQRRIKLGVTQADVGAALANLKIPGVGSLSQSTICRFESLTLSHNNMIALKPVLQAWLEEAEAAYREKNSKPELFNGSERKRKRTSIAAPEKRSLEAYFAIQPRPSSEKIAAIAEKLDLKKNVVRVWFCNQRQKQKRMKYSAVH
+>DECOY_sp|Q15319|PO4F3_HUMAN POU domain, class 4, transcription factor 3 OS=Homo sapiens OX=9606 GN=POU4F3 PE=1 SV=1
+HVASYKMRKQKQRQNCFWVRVVNKKLDLKEAIAAIKESSPRPQIAFYAELSRKEPAAISTRKRKRESGNFLEPKSNKERYAAEAEELWAQLVPKLAIMNNHSLTLSEFRCITSQSLSGVGPIKLNALAAGVDAQTVGLKIRRQKFREAFAELERPDSEVDSLCAPMASHPAVTHPHSMGMAQHLHGMAGLHHPHIQAPMVSHEPAGLGSVSLTPSIHELLDGELGQHVAHHPHSTLAAPHSIPVTSSTSTCPVSSMTHYTADPKFPHNKGHSVIDVAALAEARALLSEDFSGFINGQLQPAPLCVRRMAESGSHLSSFKPEQLVPHMGFPQKSNMAMM
+>sp|P78424|PO6F2_HUMAN POU domain, class 6, transcription factor 2 OS=Homo sapiens OX=9606 GN=POU6F2 PE=1 SV=3
+MSALLQDPMIAGQVSKPLLSVRSEMNAELRGEDKAATSDSELNEPLLAPVESNDSEDTPSKLFGARGNPALSDPGTPDQHQASQTHPPFPVGPQPLLTAQQLASAVAGVMPGGPPALNQPILIPFNMAGQLGGQQGLVLTLPTANLTNIQGLVAAAAAGGIMTLPLQNLQATSSLNSQLQQLQLQLQQQQQQQQQQPPPSTNQHPQPAPQAPSQSQQQPLQPTPPQQPPPASQQPPAPTSQLQQAPQPQQHQPHSHSQNQNQPSPTQQSSSPPQKPSQSPGHGLPSPLTPPNPLQLVNNPLASQAAAAAAAMSSIASSQAFGNALSSLQGVTGQLVTNAQGQIIGTIPLMPNPGPSSQAASGTQGLQVQPITPQLLTNAQGQIIATVIGNQILPVINTQGITLSPIKPGQQLHQPSQTSVGQAASQGNLLHLAHSQASMSQSPVRQASSSSSSSSSSSALSVGQLVSNPQTAAGEVDGVNLEEIREFAKAFKIRRLSLGLTQTQVGQALSATEGPAYSQSAICRHTILRSHFFLPQEAQENTIASSLTAKLNPGLLYPARFEKLDITPKSAQKIKPVLERWMAEAEARHRAGMQNLTEFIGSEPSKKRKRRTSFTPQALEILNAHFEKNTHPSGQEMTEIAEKLNYDREVVRVWFCNKRQALKNTIKRLKQHEPATAVPLEPLTDSLEENS
+>DECOY_sp|P78424|PO6F2_HUMAN POU domain, class 6, transcription factor 2 OS=Homo sapiens OX=9606 GN=POU6F2 PE=1 SV=3
+SNEELSDTLPELPVATAPEHQKLRKITNKLAQRKNCFWVRVVERDYNLKEAIETMEQGSPHTNKEFHANLIELAQPTFSTRRKRKKSPESGIFETLNQMGARHRAEAEAMWRELVPKIKQASKPTIDLKEFRAPYLLGPNLKATLSSAITNEQAEQPLFFHSRLITHRCIASQSYAPGETASLAQGVQTQTLGLSLRRIKFAKAFERIEELNVGDVEGAATQPNSVLQGVSLASSSSSSSSSSSAQRVPSQSMSAQSHALHLLNGQSAAQGVSTQSPQHLQQGPKIPSLTIGQTNIVPLIQNGIVTAIIQGQANTLLQPTIPQVQLGQTGSAAQSSPGPNPMLPITGIIQGQANTVLQGTVGQLSSLANGFAQSSAISSMAAAAAAAQSALPNNVLQLPNPPTLPSPLGHGPSQSPKQPPSSSQQTPSPQNQNQSHSHPQHQQPQPAQQLQSTPAPPQQSAPPPQQPPTPQLPQQQSQSPAQPAPQPHQNTSPPPQQQQQQQQQQLQLQLQQLQSNLSSTAQLNQLPLTMIGGAAAAAVLGQINTLNATPLTLVLGQQGGLQGAMNFPILIPQNLAPPGGPMVGAVASALQQATLLPQPGVPFPPHTQSAQHQDPTGPDSLAPNGRAGFLKSPTDESDNSEVPALLPENLESDSTAAKDEGRLEANMESRVSLLPKSVQGAIMPDQLLASM
+>sp|Q6PEZ8|PONL1_HUMAN Podocan-like protein 1 OS=Homo sapiens OX=9606 GN=PODNL1 PE=2 SV=2
+MAESGLAMWPSLLLLLLLPGPPPVAGLEDAAFPHLGESLQPLPRACPLRCSCPRVDTVDCDGLDLRVFPDNITRAAQHLSLQNNQLQELPYNELSRLSGLRTLNLHNNLISSEGLPDEAFESLTQLQHLCVAHNKLSVAPQFLPRSLRVADLAANQVMEIFPLTFGEKPALRSVYLHNNQLSNAGLPPDAFRGSEAIATLSLSNNQLSYLPPSLPPSLERLHLQNNLISKVPRGALSRQTQLRELYLQHNQLTDSGLDATTFSKLHSLEYLDLSHNQLTTVPAGLPRTLAILHLGRNRIRQVEAARLHGARGLRYLLLQHNQLGSSGLPAGALRPLRGLHTLHLYGNGLDRVPPALPRRLRALVLPHNHVAALGARDLVATPGLTELNLAYNRLASARVHHRAFRRLRALRSLDLAGNQLTRLPMGLPTGLRTLQLQRNQLRMLEPEPLAGLDQLRELSLAHNRLRVGDIGPGTWHELQALQVRHRLVSHTVPRAPPSPCLPCHVPNILVSW
+>DECOY_sp|Q6PEZ8|PONL1_HUMAN Podocan-like protein 1 OS=Homo sapiens OX=9606 GN=PODNL1 PE=2 SV=2
+WSVLINPVHCPLCPSPPARPVTHSVLRHRVQLAQLEHWTGPGIDGVRLRNHALSLERLQDLGALPEPELMRLQNRQLQLTRLGTPLGMPLRTLQNGALDLSRLARLRRFARHHVRASALRNYALNLETLGPTAVLDRAGLAAVHNHPLVLARLRRPLAPPVRDLGNGYLHLTHLGRLPRLAGAPLGSSGLQNHQLLLYRLGRAGHLRAAEVQRIRNRGLHLIALTRPLGAPVTTLQNHSLDLYELSHLKSFTTADLGSDTLQNHQLYLERLQTQRSLAGRPVKSILNNQLHLRELSPPLSPPLYSLQNNSLSLTAIAESGRFADPPLGANSLQNNHLYVSRLAPKEGFTLPFIEMVQNAALDAVRLSRPLFQPAVSLKNHAVCLHQLQTLSEFAEDPLGESSILNNHLNLTRLGSLRSLENYPLEQLQNNQLSLHQAARTINDPFVRLDLGDCDVTDVRPCSCRLPCARPLPQLSEGLHPFAADELGAVPPPGPLLLLLLLSPWMALGSEAM
+>sp|B2RU33|POTEC_HUMAN POTE ankyrin domain family member C OS=Homo sapiens OX=9606 GN=POTEC PE=2 SV=2
+MVTEVCSMPAASAVKKPFDLRSKMGKWFHHRFPCCKGSGKSNMGTSGDHDDSFMKMLRSKMGKCCHHCFPCCRGSGTSNVGTSGDHDNSFMKTLRSKMGKWCCHCFPCCRGSGKSNVGAWGDYDDSAFMEPRYHVRREDLDKLHRAAWWGKVPRKDLIVMLRDTDMNKRDKQKRTALHLASANGNSEVVQLLLDRRCQLNVLDNKKRTALIKAVQCQEDECVLMLLEHGADQNIPDEYGNTTLHYAVHNEDKLMAKALLLYGADIESKNKCGLTPLLLGVHEQKQQVVKFLIKKKANLNALDRYGRTALILAVCCGSASIVNLLLEQNVDVSSQDLSGQTAREYAVSSHHHVICELLSDYKEKQMLKISSENSNPEQDLKLTSEEESQRLKVSENSQPEKMSQEPEINKDCDREVEEEIKKHGSNPVGLPENLTNGASAGNGDDGLIPQRRSRKPENQQFPDTENEEYHSDEQNDTRKQLSEEQNTGISQDEILTNKQKQIEVAEKKMNSELSLSHKKEEDLLRENSMLQEEIAMLISGDWN
+>DECOY_sp|B2RU33|POTEC_HUMAN POTE ankyrin domain family member C OS=Homo sapiens OX=9606 GN=POTEC PE=2 SV=2
+NWDGSILMAIEEQLMSNERLLDEEKKHSLSLESNMKKEAVEIQKQKNTLIEDQSIGTNQEESLQKRTDNQEDSHYEENETDPFQQNEPKRSRRQPILGDDGNGASAGNTLNEPLGVPNSGHKKIEEEVERDCDKNIEPEQSMKEPQSNESVKLRQSEEESTLKLDQEPNSNESSIKLMQKEKYDSLLECIVHHHSSVAYERATQGSLDQSSVDVNQELLLNVISASGCCVALILATRGYRDLANLNAKKKILFKVVQQKQEHVGLLLPTLGCKNKSEIDAGYLLLAKAMLKDENHVAYHLTTNGYEDPINQDAGHELLMLVCEDEQCQVAKILATRKKNDLVNLQCRRDLLLQVVESNGNASALHLATRKQKDRKNMDTDRLMVILDKRPVKGWWAARHLKDLDERRVHYRPEMFASDDYDGWAGVNSKGSGRCCPFCHCCWKGMKSRLTKMFSNDHDGSTGVNSTGSGRCCPFCHHCCKGMKSRLMKMFSDDHDGSTGMNSKGSGKCCPFRHHFWKGMKSRLDFPKKVASAAPMSCVETVM
+>sp|Q6S8J3|POTEE_HUMAN POTE ankyrin domain family member E OS=Homo sapiens OX=9606 GN=POTEE PE=2 SV=3
+MVVEVDSMPAASSVKKPFGLRSKMGKWCCRCFPCYRESGKSNVGTSGDHDDSAMKTLRSKMGKWCHHCFPCCRGSGKSNVGASGDHDDSAMKTLRNKMGKWCCHCFPCCRGSGKSKVGAWGDYDDSAFMEPRYHVRGEDLDKLHRAAWWGKVPRKDLIVMLRDTDVNKKDKQKRTALHLASANGNSEVVKLLLDRRCQLNVLDNKKRTALIKAVQCQEDECALMLLEHGTDPNIPDEYGNTTLHYAIYNEDKLMAKALLLYGADIESKNKHGLTPLLLGVHEQKQQVVKFLIKKKANLNALDRYGRTALILAVCCGSASIVSLLLEQNIDVSSQDLSGQTAREYAVSSHHHVICQLLSDYKEKQMLKISSENSNPEQELKLTSEEESQRFKGSENSQPEKMSQELEINKDGDREVEEEMKKHESNNVGLLENLTNGVTAGNGDNGLIPQRKSRTPENQQFPDNESEEYHRICELLSDYKEKQMPKYSSENSNPEQDLKLTSEEESQRLKGSENGQPEKRSQEPEINKDGDRELENFMAIEEMKKHGSTHVGFPENLTNGATAGNGDDGLIPPRKSRTPESQQFPDTENEEYHSDEQNDTQKQFCEEQNTGILHDEILIHEEKQIEVVEKMNSELSLSCKKEKDVLHENSTLREEIAMLRLELDTMKHQSQLREKKYLEDIESVKKKNDNLLKALQLNELTMDDDTAVLVIDNGSGMCKAGFAGDDAPRAVFPSIVGRPRQQGMMGGMHQKESYVGKEAQSKRGILTLKYPMEHGIITNWDDMEKIWHHTFYNELRVAPEEHPILLTEAPLNPKANREKMTQIMFETFNTPAMYVAIQAVPSLYTSGRTTGIVMDSGDGVTHTVPIYEGNALPHATLRLDLAGRELPDYLMKILTERGYRFTTMAEREIVRDIKEKLCYVALDFEQEMATAASSSSLEKSYELPDGQVITIGNERFRCPEALFQPCFLGMESCGIHETTFNSIMKSDVDIRKDLYTNTVLSGGTTMYPGMAHRMQKEIAALAPSMMKIRIIAPPKRKYSVWVGGSILASLSTFQQMWISKQEYDESGPSIVHRKCF
+>DECOY_sp|Q6S8J3|POTEE_HUMAN POTE ankyrin domain family member E OS=Homo sapiens OX=9606 GN=POTEE PE=2 SV=3
+FCKRHVISPGSEDYEQKSIWMQQFTSLSALISGGVWVSYKRKPPAIIRIKMMSPALAAIEKQMRHAMGPYMTTGGSLVTNTYLDKRIDVDSKMISNFTTEHIGCSEMGLFCPQFLAEPCRFRENGITIVQGDPLEYSKELSSSSAATAMEQEFDLAVYCLKEKIDRVIEREAMTTFRYGRETLIKMLYDPLERGALDLRLTAHPLANGEYIPVTHTVGDGSDMVIGTTRGSTYLSPVAQIAVYMAPTNFTEFMIQTMKERNAKPNLPAETLLIPHEEPAVRLENYFTHHWIKEMDDWNTIIGHEMPYKLTLIGRKSQAEKGVYSEKQHMGGMMGQQRPRGVISPFVARPADDGAFGAKCMGSGNDIVLVATDDDMTLENLQLAKLLNDNKKKVSEIDELYKKERLQSQHKMTDLELRLMAIEERLTSNEHLVDKEKKCSLSLESNMKEVVEIQKEEHILIEDHLIGTNQEECFQKQTDNQEDSHYEENETDPFQQSEPTRSKRPPILGDDGNGATAGNTLNEPFGVHTSGHKKMEEIAMFNELERDGDKNIEPEQSRKEPQGNESGKLRQSEEESTLKLDQEPNSNESSYKPMQKEKYDSLLECIRHYEESENDPFQQNEPTRSKRQPILGNDGNGATVGNTLNELLGVNNSEHKKMEEEVERDGDKNIELEQSMKEPQSNESGKFRQSEEESTLKLEQEPNSNESSIKLMQKEKYDSLLQCIVHHHSSVAYERATQGSLDQSSVDINQELLLSVISASGCCVALILATRGYRDLANLNAKKKILFKVVQQKQEHVGLLLPTLGHKNKSEIDAGYLLLAKAMLKDENYIAYHLTTNGYEDPINPDTGHELLMLACEDEQCQVAKILATRKKNDLVNLQCRRDLLLKVVESNGNASALHLATRKQKDKKNVDTDRLMVILDKRPVKGWWAARHLKDLDEGRVHYRPEMFASDDYDGWAGVKSKGSGRCCPFCHCCWKGMKNRLTKMASDDHDGSAGVNSKGSGRCCPFCHHCWKGMKSRLTKMASDDHDGSTGVNSKGSERYCPFCRCCWKGMKSRLGFPKKVSSAAPMSDVEVVM
+>sp|Q6S545|POTEH_HUMAN POTE ankyrin domain family member H OS=Homo sapiens OX=9606 GN=POTEH PE=2 SV=3
+MVAEAGSMPAASSVKKPFGLRSKMGKWCRHCFAWCRGSGKSNVGTSGDHDDSAMKTLRSKMGKWCCHCFPWCRGSGKSNVGTSGDHDDSAMKTLRSKMGKWCCHCFPCCRGSGKSNVGTSGDHDDSAMKTLRSKMGKWCCHCFPCCRGSGKNKVGPWGDYDDSAFMEPRYHVRREDLDKLHRAAWWGKVPRKDLIVMLKDTDMNKKDKQKRTALHLASANGNSEVVKLLLDRRCQLNILDNKKRTALTKAVQCQEDECALMLLEHGTDPNIPDEYGNTALHYAIYNEDKLMAKALLLYGADIESKNKHGLTPLLLGVHEQKQQVVKFLIKKKANLNALDRYGRTALILAVCCGSASIVSLLLEQNIDVSSQDLSGQTAREYAVSSRHNVICQLLSDYKEKQILKVSSENSNPEQDLKLTSEEESQRLKGSENSQPEEMSQEPEINKGGDRKVEEEMKKHGSTHMGFPENLTNGATADNGDDGLIPPRKSRTPESQQFPDTENEQYHSDEQNDTQKQLSEEQNTGILQDEILIHEEKQIEVAENEF
+>DECOY_sp|Q6S545|POTEH_HUMAN POTE ankyrin domain family member H OS=Homo sapiens OX=9606 GN=POTEH PE=2 SV=3
+FENEAVEIQKEEHILIEDQLIGTNQEESLQKQTDNQEDSHYQENETDPFQQSEPTRSKRPPILGDDGNDATAGNTLNEPFGMHTSGHKKMEEEVKRDGGKNIEPEQSMEEPQSNESGKLRQSEEESTLKLDQEPNSNESSVKLIQKEKYDSLLQCIVNHRSSVAYERATQGSLDQSSVDINQELLLSVISASGCCVALILATRGYRDLANLNAKKKILFKVVQQKQEHVGLLLPTLGHKNKSEIDAGYLLLAKAMLKDENYIAYHLATNGYEDPINPDTGHELLMLACEDEQCQVAKTLATRKKNDLINLQCRRDLLLKVVESNGNASALHLATRKQKDKKNMDTDKLMVILDKRPVKGWWAARHLKDLDERRVHYRPEMFASDDYDGWPGVKNKGSGRCCPFCHCCWKGMKSRLTKMASDDHDGSTGVNSKGSGRCCPFCHCCWKGMKSRLTKMASDDHDGSTGVNSKGSGRCWPFCHCCWKGMKSRLTKMASDDHDGSTGVNSKGSGRCWAFCHRCWKGMKSRLGFPKKVSSAAPMSGAEAVM
+>sp|P0CG39|POTEJ_HUMAN POTE ankyrin domain family member J OS=Homo sapiens OX=9606 GN=POTEJ PE=3 SV=1
+MVAEVDSMPAASSVKKPFVLRSKMGKWCRHCFPCCRGSGKSNVGTSGDQDDSTMKTLRSKMGKWCCHCFPCCRGSGKSNVGAWGDYDDSAFVEPRYHVRREDLDKLHRAAWWGKVARKDLIVMLRDTDVNKQDKQKRTALHLASANGNSGVVKLLLDRRCQLNVLDNKKRTALTKAVQCQEDECALMLLEHGTDPNIPDEYGNTTLHYAIYNEDKLMAKALLLYGADIESKNKHGLTPLLLGVHEQKQQVVKFLIKKKANLNALDRYGRTALILAVCCGSASIVSLLLEQNIDVSSQDLSGQTAREYAVSSHHHVICQLLSDYKEKQMLKISSENSNPEQDLKLTSEEESQRFKGSENSQPEKMSQEPEINKDGDREVEEEMKKHESNNVGLLENLSNGVTAGNGDDGLIPQRKSRTPENQQFPDNESEEYHRICELVSDYKEKQMPKYSSENSNPEQDLKLTSEEESQRLKGSENGQPEKRSQEPEINKDGDRELENFMAIEEMKKHGSTHVGFPENLTNGATAGNGDDGLIPPRKSRTPESQQFPDTENEEYHSDEQNDTQKQFCEEQNTGILHDEILIHEEKQIEVVEKMNSELSLSCKKERDFLHENSMLREEIAMLRLELDTMKHQSQLRKKKYLEDIESVKKKNDNLLKALQLNELTMDDDTAVLVIDNGSGMCKAGFAGDDAPRAVFPSIVGCPRQQGMMGGMHQKESYVGKEAQSKRGILTLKYPMEHGIITNWDDMEKIWHHTFYNELRVAPEEHPILLTEAPLNPKANREKMTQIMFETFNTPAMYVAIQAMLSLYTSGRTTGIVMDSGDGVTHTVPIYDGNALPHATLRLDLAGRELTDYLMKILTERGYRFTTMAEREIVRDIKEKLCYVALDFEQEMAMVASSSSLEKSYELPDGQVITISNEWFRCPEALFQPCFLGMESCGIHETTFNSIMKSDVDIRKDLYTNTVLSGGTTMYPGMAHRMQKEIAALAPSMMKIRIIAPPKRKYSVWVGGSILASLSTFQQMWISKQEYDESGPSIVHRKCF
+>DECOY_sp|P0CG39|POTEJ_HUMAN POTE ankyrin domain family member J OS=Homo sapiens OX=9606 GN=POTEJ PE=3 SV=1
+FCKRHVISPGSEDYEQKSIWMQQFTSLSALISGGVWVSYKRKPPAIIRIKMMSPALAAIEKQMRHAMGPYMTTGGSLVTNTYLDKRIDVDSKMISNFTTEHIGCSEMGLFCPQFLAEPCRFWENSITIVQGDPLEYSKELSSSSAVMAMEQEFDLAVYCLKEKIDRVIEREAMTTFRYGRETLIKMLYDTLERGALDLRLTAHPLANGDYIPVTHTVGDGSDMVIGTTRGSTYLSLMAQIAVYMAPTNFTEFMIQTMKERNAKPNLPAETLLIPHEEPAVRLENYFTHHWIKEMDDWNTIIGHEMPYKLTLIGRKSQAEKGVYSEKQHMGGMMGQQRPCGVISPFVARPADDGAFGAKCMGSGNDIVLVATDDDMTLENLQLAKLLNDNKKKVSEIDELYKKKRLQSQHKMTDLELRLMAIEERLMSNEHLFDREKKCSLSLESNMKEVVEIQKEEHILIEDHLIGTNQEECFQKQTDNQEDSHYEENETDPFQQSEPTRSKRPPILGDDGNGATAGNTLNEPFGVHTSGHKKMEEIAMFNELERDGDKNIEPEQSRKEPQGNESGKLRQSEEESTLKLDQEPNSNESSYKPMQKEKYDSVLECIRHYEESENDPFQQNEPTRSKRQPILGDDGNGATVGNSLNELLGVNNSEHKKMEEEVERDGDKNIEPEQSMKEPQSNESGKFRQSEEESTLKLDQEPNSNESSIKLMQKEKYDSLLQCIVHHHSSVAYERATQGSLDQSSVDINQELLLSVISASGCCVALILATRGYRDLANLNAKKKILFKVVQQKQEHVGLLLPTLGHKNKSEIDAGYLLLAKAMLKDENYIAYHLTTNGYEDPINPDTGHELLMLACEDEQCQVAKTLATRKKNDLVNLQCRRDLLLKVVGSNGNASALHLATRKQKDQKNVDTDRLMVILDKRAVKGWWAARHLKDLDERRVHYRPEVFASDDYDGWAGVNSKGSGRCCPFCHCCWKGMKSRLTKMTSDDQDGSTGVNSKGSGRCCPFCHRCWKGMKSRLVFPKKVSSAAPMSDVEAVM
+>sp|Q96C90|PP14B_HUMAN Protein phosphatase 1 regulatory subunit 14B OS=Homo sapiens OX=9606 GN=PPP1R14B PE=1 SV=3
+MADSGTAGGAALAAPAPGPGSGGPGPRVYFQSPPGAAGEGPGGADDEGPVRRQGKVTVKYDRKELRKRLNLEEWILEQLTRLYDCQEEEIPELEIDVDELLDMESDDARAARVKELLVDCYKPTEAFISGLLDKIRGMQKLSTPQKK
+>DECOY_sp|Q96C90|PP14B_HUMAN Protein phosphatase 1 regulatory subunit 14B OS=Homo sapiens OX=9606 GN=PPP1R14B PE=1 SV=3
+KKQPTSLKQMGRIKDLLGSIFAETPKYCDVLLEKVRAARADDSEMDLLEDVDIELEPIEEEQCDYLRTLQELIWEELNLRKRLEKRDYKVTVKGQRRVPGEDDAGGPGEGAAGPPSQFYVRPGPGGSGPGPAPAALAAGGATGSDAM
+>sp|Q96T49|PP16B_HUMAN Protein phosphatase 1 regulatory inhibitor subunit 16B OS=Homo sapiens OX=9606 GN=PPP1R16B PE=1 SV=1
+MASHVDLLTELQLLEKVPTLERLRAAQKRRAQQLKKWAQYEQDLQHRKRKHERKRSTGGRRKKVSFEASVALLEASLRNDAEEVRYFLKNKVSPDLCNEDGLTALHQCCIDNFEEIVKLLLSHGANVNAKDNELWTPLHAAATCGHINLVKILVQYGADLLAVNSDGNMPYDLCEDEPTLDVIETCMAYQGITQEKINEMRVAPEQQMIADIHCMIAAGQDLDWIDAQGATLLHIAGANGYLRAAELLLDHGVRVDVKDWDGWEPLHAAAFWGQMQMAELLVSHGASLSARTSMDEMPIDLCEEEEFKVLLLELKHKHDVIMKSQLRHKSSLSRRTSSAGSRGKVVRRASLSDRTNLYRKEYEGEAILWQRSAAEDQRTSTYNGDIRETRTDQENKDPNPRLEKPVLLSEFPTKIPRGELDMPVENGLRAPVSAYQYALANGDVWKVHEVPDYSMAYGNPGVADATPPWSSYKEQSPQTLLELKRQRAAAKLLSHPFLSTHLGSSMARTGESSSEGKAPLIGGRTSPYSSNGTSVYYTVTSGDPPLLKFKAPIEEMEEKVHGCCRIS
+>DECOY_sp|Q96T49|PP16B_HUMAN Protein phosphatase 1 regulatory inhibitor subunit 16B OS=Homo sapiens OX=9606 GN=PPP1R16B PE=1 SV=1
+SIRCCGHVKEEMEEIPAKFKLLPPDGSTVTYYVSTGNSSYPSTRGGILPAKGESSSEGTRAMSSGLHTSLFPHSLLKAAARQRKLELLTQPSQEKYSSWPPTADAVGPNGYAMSYDPVEHVKWVDGNALAYQYASVPARLGNEVPMDLEGRPIKTPFESLLVPKELRPNPDKNEQDTRTERIDGNYTSTRQDEAASRQWLIAEGEYEKRYLNTRDSLSARRVVKGRSGASSTRRSLSSKHRLQSKMIVDHKHKLELLLVKFEEEECLDIPMEDMSTRASLSAGHSVLLEAMQMQGWFAAAHLPEWGDWDKVDVRVGHDLLLEAARLYGNAGAIHLLTAGQADIWDLDQGAAIMCHIDAIMQQEPAVRMENIKEQTIGQYAMCTEIVDLTPEDECLDYPMNGDSNVALLDAGYQVLIKVLNIHGCTAAAHLPTWLENDKANVNAGHSLLLKVIEEFNDICCQHLATLGDENCLDPSVKNKLFYRVEEADNRLSAELLAVSAEFSVKKRRGGTSRKREHKRKRHQLDQEYQAWKKLQQARRKQAARLRELTPVKELLQLETLLDVHSAM
+>sp|Q12972|PP1R8_HUMAN Nuclear inhibitor of protein phosphatase 1 OS=Homo sapiens OX=9606 GN=PPP1R8 PE=1 SV=2
+MAAAANSGSSLPLFDCPTWAGKPPPGLHLDVVKGDKLIEKLIIDEKKYYLFGRNPDLCDFTIDHQSCSRVHAALVYHKHLKRVFLIDLNSTHGTFLGHIRLEPHKPQQIPIDSTVSFGASTRAYTLREKPQTLPSAVKGDEKMGGEDDELKGLLGLPEEETELDNLTEFNTAHNKRISTLTIEEGNLDIQRPKRKRKNSRVTFSEDDEIINPEDVDPSVGRFRNMVQTAVVPVKKKRVEGPGSLGLEESGSRRMQNFAFSGGLYGGLPPTHSEAGSQPHGIHGTALIGGLPMPYPNLAPDVDLTPVVPSAVNMNPAPNPAVYNPEAVNEPKKKKYAKEAWPGKKPTPSLLI
+>DECOY_sp|Q12972|PP1R8_HUMAN Nuclear inhibitor of protein phosphatase 1 OS=Homo sapiens OX=9606 GN=PPP1R8 PE=1 SV=2
+ILLSPTPKKGPWAEKAYKKKKPENVAEPNYVAPNPAPNMNVASPVVPTLDVDPALNPYPMPLGGILATGHIGHPQSGAESHTPPLGGYLGGSFAFNQMRRSGSEELGLSGPGEVRKKKVPVVATQVMNRFRGVSPDVDEPNIIEDDESFTVRSNKRKRKPRQIDLNGEEITLTSIRKNHATNFETLNDLETEEEPLGLLGKLEDDEGGMKEDGKVASPLTQPKERLTYARTSAGFSVTSDIPIQQPKHPELRIHGLFTGHTSNLDILFVRKLHKHYVLAAHVRSCSQHDITFDCLDPNRGFLYYKKEDIILKEILKDGKVVDLHLGPPPKGAWTPCDFLPLSSGSNAAAAM
+>sp|O60927|PP1RB_HUMAN E3 ubiquitin-protein ligase PPP1R11 OS=Homo sapiens OX=9606 GN=PPP1R11 PE=1 SV=1
+MAEAGAGLSETVTETTVTVTTEPENRSLTIKLRKRKPEKKVEWTSDTVDNEHMGRRSSKCCCIYEKPRAFGESSTESDEEEEEGCGHTHCVRGHRKGRRRATLGPTPTTPPQPPDPSQPPPGPMQH
+>DECOY_sp|O60927|PP1RB_HUMAN E3 ubiquitin-protein ligase PPP1R11 OS=Homo sapiens OX=9606 GN=PPP1R11 PE=1 SV=1
+HQMPGPPPQSPDPPQPPTTPTPGLTARRRGKRHGRVCHTHGCGEEEEEDSETSSEGFARPKEYICCCKSSRRGMHENDVTDSTWEVKKEPKRKRLKITLSRNEPETTVTVTTETVTESLGAGAEAM
+>sp|Q08209|PP2BA_HUMAN Serine/threonine-protein phosphatase 2B catalytic subunit alpha isoform OS=Homo sapiens OX=9606 GN=PPP3CA PE=1 SV=1
+MSEPKAIDPKLSTTDRVVKAVPFPPSHRLTAKEVFDNDGKPRVDILKAHLMKEGRLEESVALRIITEGASILRQEKNLLDIDAPVTVCGDIHGQFFDLMKLFEVGGSPANTRYLFLGDYVDRGYFSIECVLYLWALKILYPKTLFLLRGNHECRHLTEYFTFKQECKIKYSERVYDACMDAFDCLPLAALMNQQFLCVHGGLSPEINTLDDIRKLDRFKEPPAYGPMCDILWSDPLEDFGNEKTQEHFTHNTVRGCSYFYSYPAVCEFLQHNNLLSILRAHEAQDAGYRMYRKSQTTGFPSLITIFSAPNYLDVYNNKAAVLKYENNVMNIRQFNCSPHPYWLPNFMDVFTWSLPFVGEKVTEMLVNVLNICSDDELGSEEDGFDGATAAARKEVIRNKIRAIGKMARVFSVLREESESVLTLKGLTPTGMLPSGVLSGGKQTLQSATVEAIEADEAIKGFSPQHKITSFEEAKGLDRINERMPPRRDAMPSDANLNSINKALTSETNGTDSNGSNSSNIQ
+>DECOY_sp|Q08209|PP2BA_HUMAN Serine/threonine-protein phosphatase 2B catalytic subunit alpha isoform OS=Homo sapiens OX=9606 GN=PPP3CA PE=1 SV=1
+QINSSNSGNSDTGNTESTLAKNISNLNADSPMADRRPPMRENIRDLGKAEEFSTIKHQPSFGKIAEDAEIAEVTASQLTQKGGSLVGSPLMGTPTLGKLTLVSESEERLVSFVRAMKGIARIKNRIVEKRAAATAGDFGDEESGLEDDSCINLVNVLMETVKEGVFPLSWTFVDMFNPLWYPHPSCNFQRINMVNNEYKLVAAKNNYVDLYNPASFITILSPFGTTQSKRYMRYGADQAEHARLISLLNNHQLFECVAPYSYFYSCGRVTNHTFHEQTKENGFDELPDSWLIDCMPGYAPPEKFRDLKRIDDLTNIEPSLGGHVCLFQQNMLAALPLCDFADMCADYVRESYKIKCEQKFTFYETLHRCEHNGRLLFLTKPYLIKLAWLYLVCEISFYGRDVYDGLFLYRTNAPSGGVEFLKMLDFFQGHIDGCVTVPADIDLLNKEQRLISAGETIIRLAVSEELRGEKMLHAKLIDVRPKGDNDFVEKATLRHSPPFPVAKVVRDTTSLKPDIAKPESM
+>sp|O14830|PPE2_HUMAN Serine/threonine-protein phosphatase with EF-hands 2 OS=Homo sapiens OX=9606 GN=PPEF2 PE=1 SV=2
+MGSGTSTQHHFAFQNAERAFKAAALIQRWYRRYVARLEMRRRCTWSIFQSIEYAGQQDQVKLHDFFSYLMDHFIPSSHNDRDFLTRIFTEDRFAQDSEMKKCSDYESIEVPDSYTGPRLSFPLLPDHATALVEAFRLKQQLHARYVLNLLYETKKHLVQLPNINRVSTCYSEEITVCGDLHGQLDDLIFIFYKNGLPSPERSYVFNGDFVDRGKDSVEILMILFAFMLVYPKEFHLNRGNHEDHMVNLRYGFTKEVMNKYKVHGKEILRTLQDVFCWLPLATLIDEKVLILHGGVSDITDLELLDKIERSKIVSTMRCKTRQKSEKQMEEKRRANQKSSAQGPIPWFLPESRSLPSSPLRLGSYKAQKTSRSSSIPCSGSLDGRELSRQVRSSVELELERCRQQAGLLVTGEKEEPSRSASEADSEAGELRKPTQEEWRQVVDILWSDPMAQEGCKANTIRGGGCYFGPDVTQQLLQKYNMQFLIRSHECKPEGYEFCHNRKVLTIFSASNYYEVGSNRGAYVKLGPALTPHIVQYQANKVTHTLTMRQRISRVEESALRALREKLFAHSSDLLSEFKKHDADKVGLITLSDWAAAVESVLHLGLPWRMLRPQLVNSSADNMLEYKSWLKNLAKEQLSRENIQSSLLETLYRNRSNLETIFRIIDSDHSGFISLDEFRQTWKLFSSHMNIDITDDCICDLARSIDFNKDGHIDINEFLEAFRLVEKSCPEGDASECPQATNAKDSGCSSPGAH
+>DECOY_sp|O14830|PPE2_HUMAN Serine/threonine-protein phosphatase with EF-hands 2 OS=Homo sapiens OX=9606 GN=PPEF2 PE=1 SV=2
+HAGPSSCGSDKANTAQPCESADGEPCSKEVLRFAELFENIDIHGDKNFDISRALDCICDDTIDINMHSSFLKWTQRFEDLSIFGSHDSDIIRFITELNSRNRYLTELLSSQINERSLQEKALNKLWSKYELMNDASSNVLQPRLMRWPLGLHLVSEVAAAWDSLTILGVKDADHKKFESLLDSSHAFLKERLARLASEEVRSIRQRMTLTHTVKNAQYQVIHPTLAPGLKVYAGRNSGVEYYNSASFITLVKRNHCFEYGEPKCEHSRILFQMNYKQLLQQTVDPGFYCGGGRITNAKCGEQAMPDSWLIDVVQRWEEQTPKRLEGAESDAESASRSPEEKEGTVLLGAQQRCRELELEVSSRVQRSLERGDLSGSCPISSSRSTKQAKYSGLRLPSSPLSRSEPLFWPIPGQASSKQNARRKEEMQKESKQRTKCRMTSVIKSREIKDLLELDTIDSVGGHLILVKEDILTALPLWCFVDQLTRLIEKGHVKYKNMVEKTFGYRLNVMHDEHNGRNLHFEKPYVLMFAFLIMLIEVSDKGRDVFDGNFVYSREPSPLGNKYFIFILDDLQGHLDGCVTIEESYCTSVRNINPLQVLHKKTEYLLNLVYRAHLQQKLRFAEVLATAHDPLLPFSLRPGTYSDPVEISEYDSCKKMESDQAFRDETFIRTLFDRDNHSSPIFHDMLYSFFDHLKVQDQQGAYEISQFISWTCRRRMELRAVYRRYWRQILAAAKFAREANQFAFHHQTSTGSGM
+>sp|Q8NEY8|PPHLN_HUMAN Periphilin-1 OS=Homo sapiens OX=9606 GN=PPHLN1 PE=1 SV=2
+MWSEGRYEYERIPRERAPPRSHPSDGYNRLVNIVPKKPPLLDRPGEGSYNRYYSHVDYRDYDEGRSFSHDRRSGPPHRGDESGYRWTRDDHSASRQPEYRDMRDGFRRKSFYSSHYARERSPYKRDNTFFRESPVGRKDSPHSRSGSSVSSRSYSPERSKSYSFHQSQHRKSVRPGASYKRQNEGNPERDKERPVQSLKTSRDTSPSSGSAVSSSKVLDKPSRLTEKELAEAASKWAAEKLEKSDESNLPEISEYEAGSTAPLFTDQPEEPESNTTHGIELFEDSQLTTRSKAIASKTKEIEQVYRQDCETFGMVVKMLIEKDPSLEKSIQFALRQNLHEIESAGQTWQQVPPVRNTEMDHDGTPENEGEETAQSAPQPPQAPQPLQPRKKRVRRTTQLRRTTGAPDITWGMLKKTTQEAERILLRTQTPFTPENLFLAMLSVVHCNSRKDVKPENKQ
+>DECOY_sp|Q8NEY8|PPHLN_HUMAN Periphilin-1 OS=Homo sapiens OX=9606 GN=PPHLN1 PE=1 SV=2
+QKNEPKVDKRSNCHVVSLMALFLNEPTFPTQTRLLIREAEQTTKKLMGWTIDPAGTTRRLQTTRRVRKKRPQLPQPAQPPQPASQATEEGENEPTGDHDMETNRVPPVQQWTQGASEIEHLNQRLAFQISKELSPDKEILMKVVMGFTECDQRYVQEIEKTKSAIAKSRTTLQSDEFLEIGHTTNSEPEEPQDTFLPATSGAEYESIEPLNSEDSKELKEAAWKSAAEALEKETLRSPKDLVKSSSVASGSSPSTDRSTKLSQVPREKDREPNGENQRKYSAGPRVSKRHQSQHFSYSKSREPSYSRSSVSSGSRSHPSDKRGVPSERFFTNDRKYPSRERAYHSSYFSKRRFGDRMDRYEPQRSASHDDRTWRYGSEDGRHPPGSRRDHSFSRGEDYDRYDVHSYYRNYSGEGPRDLLPPKKPVINVLRNYGDSPHSRPPARERPIREYEYRGESWM
+>sp|Q8WUA2|PPIL4_HUMAN Peptidyl-prolyl cis-trans isomerase-like 4 OS=Homo sapiens OX=9606 GN=PPIL4 PE=1 SV=1
+MAVLLETTLGDVVIDLYTEERPRACLNFLKLCKIKYYNYCLIHNVQRDFIIQTGDPTGTGRGGESIFGQLYGDQASFFEAEKVPRIKHKKKGTVSMVNNGSDQHGSQFLITTGENLDYLDGVHTVFGEVTEGMDIIKKINETFVDKDFVPYQDIRINHTVILDDPFDDPPDLLIPDRSPEPTREQLDSGRIGADEEIDDFKGRSAEEVEEIKAEKEAKTQAILLEMVGDLPDADIKPPENVLFVCKLNPVTTDEDLEIIFSRFGPIRSCEVIRDWKTGESLCYAFIEFEKEEDCEKAFFKMDNVLIDDRRIHVDFSQSVAKVKWKGKGGKYTKSDFKEYEKEQDKPPNLVLKDKVKPKQDTKYDLILDEQAEDSKSSHSHTSKKHKKKTHHCSEEKEDEDYMPIKNTNQDIYREMGFGHYEEEESCWEKQKSEKRDRTQNRSRSRSRERDGHYSNSHKSKYQTDLYERERSKKRDRSRSPKKSKDKEKSKYR
+>DECOY_sp|Q8WUA2|PPIL4_HUMAN Peptidyl-prolyl cis-trans isomerase-like 4 OS=Homo sapiens OX=9606 GN=PPIL4 PE=1 SV=1
+RYKSKEKDKSKKPSRSRDRKKSREREYLDTQYKSKHSNSYHGDRERSRSRSRNQTRDRKESKQKEWCSEEEEYHGFGMERYIDQNTNKIPMYDEDEKEESCHHTKKKHKKSTHSHSSKSDEAQEDLILDYKTDQKPKVKDKLVLNPPKDQEKEYEKFDSKTYKGGKGKWKVKAVSQSFDVHIRRDDILVNDMKFFAKECDEEKEFEIFAYCLSEGTKWDRIVECSRIPGFRSFIIELDEDTTVPNLKCVFLVNEPPKIDADPLDGVMELLIAQTKAEKEAKIEEVEEASRGKFDDIEEDAGIRGSDLQERTPEPSRDPILLDPPDDFPDDLIVTHNIRIDQYPVFDKDVFTENIKKIIDMGETVEGFVTHVGDLYDLNEGTTILFQSGHQDSGNNVMSVTGKKKHKIRPVKEAEFFSAQDGYLQGFISEGGRGTGTPDGTQIIFDRQVNHILCYNYYKIKCLKLFNLCARPREETYLDIVVDGLTTELLVAM
+>sp|Q9H939|PPIP2_HUMAN Proline-serine-threonine phosphatase-interacting protein 2 OS=Homo sapiens OX=9606 GN=PSTPIP2 PE=1 SV=4
+MTRSLFKGNFWSADILSTIGYDNIIQHLNNGRKNCKEFEDFLKERAAIEERYGKDLLNLSRKKPCGQSEINTLKRALEVFKQQVDNVAQCHIQLAQSLREEARKMEEFREKQKLQRKKTELIMDAIHKQKSLQFKKTMDAKKNYEQKCRDKDEAEQAVSRSANLVNPKQQEKLFVKLATSKTAVEDSDKAYMLHIGTLDKVREEWQSEHIKACEAFEAQECERINFFRNALWLHVNQLSQQCVTSDEMYEQVRKSLEMCSIQRDIEYFVNQRKTGQIPPAPIMYENFYSSQKNAVPAGKATGPNLARRGPLPIPKSSPDDPNYSLVDDYSLLYQ
+>DECOY_sp|Q9H939|PPIP2_HUMAN Proline-serine-threonine phosphatase-interacting protein 2 OS=Homo sapiens OX=9606 GN=PSTPIP2 PE=1 SV=4
+QYLLSYDDVLSYNPDDPSSKPIPLPGRRALNPGTAKGAPVANKQSSYFNEYMIPAPPIQGTKRQNVFYEIDRQISCMELSKRVQEYMEDSTVCQQSLQNVHLWLANRFFNIRECEQAEFAECAKIHESQWEERVKDLTGIHLMYAKDSDEVATKSTALKVFLKEQQKPNVLNASRSVAQEAEDKDRCKQEYNKKADMTKKFQLSKQKHIADMILETKKRQLKQKERFEEMKRAEERLSQALQIHCQAVNDVQQKFVELARKLTNIESQGCPKKRSLNLLDKGYREEIAAREKLFDEFEKCNKRGNNLHQIINDYGITSLIDASWFNGKFLSRTM
+>sp|Q8WY54|PPM1E_HUMAN Protein phosphatase 1E OS=Homo sapiens OX=9606 GN=PPM1E PE=1 SV=3
+MAGCIPEEKTYRRFLELFLGEFRGPCGGGEPEPEPEPEPEPEPESEPEPEPELVEAEAAEASVEEPGEEAATVAATEEGDQEQDPEPEEEAAVEGEEEEEGAATAAAAPGHSAVPPPPPQLPPLPPLPRPLSERITREEVEGESLDLCLQQLYKYNCPSFLAAALARATSDEVLQSDLSAHYIPKETDGTEGTVEIETVKLARSVFSKLHEICCSWVKDFPLRRRPQLYYETSIHAIKNMRRKMEDKHVCIPDFNMLFNLEDQEEQAYFAVFDGHGGVDAAIYASIHLHVNLVRQEMFPHDPAEALCRAFRVTDERFVQKAARESLRCGTTGVVTFIRGNMLHVAWVGDSQVMLVRKGQAVELMKPHKPDREDEKQRIEALGGCVVWFGAWRVNGSLSVSRAIGDAEHKPYICGDADSASTVLDGTEDYLILACDGFYDTVNPDEAVKVVSDHLKENNGDSSMVAHKLVASARDAGSSDNITVIVVFLRDMNKAVNVSEESDWTENSFQGGQEDGGDDKENHGECKRPWPQHQCSAPADLGYDGRVDSFTDRTSLSPGSQINVLEDPGYLDLTQIEASKPHSAQFLLPVEMFGPGAPKKANLINELMMEKKSVQSSLPEWSGAGEFPTAFNLGSTGEQIYRMQSLSPVCSGLENEQFKSPGNRVSRLSHLRHHYSKKWHRFRFNPKFYSFLSAQEPSHKIGTSLSSLTGSGKRNRIRSSLPWRQNSWKGYSENMRKLRKTHDIPCPDLPWSYKIE
+>DECOY_sp|Q8WY54|PPM1E_HUMAN Protein phosphatase 1E OS=Homo sapiens OX=9606 GN=PPM1E PE=1 SV=3
+EIKYSWPLDPCPIDHTKRLKRMNESYGKWSNQRWPLSSRIRNRKGSGTLSSLSTGIKHSPEQASLFSYFKPNFRFRHWKKSYHHRLHSLRSVRNGPSKFQENELGSCVPSLSQMRYIQEGTSGLNFATPFEGAGSWEPLSSQVSKKEMMLENILNAKKPAGPGFMEVPLLFQASHPKSAEIQTLDLYGPDELVNIQSGPSLSTRDTFSDVRGDYGLDAPASCQHQPWPRKCEGHNEKDDGGDEQGGQFSNETWDSEESVNVAKNMDRLFVVIVTINDSSGADRASAVLKHAVMSSDGNNEKLHDSVVKVAEDPNVTDYFGDCALILYDETGDLVTSASDADGCIYPKHEADGIARSVSLSGNVRWAGFWVVCGGLAEIRQKEDERDPKHPKMLEVAQGKRVLMVQSDGVWAVHLMNGRIFTVVGTTGCRLSERAAKQVFREDTVRFARCLAEAPDHPFMEQRVLNVHLHISAYIAADVGGHGDFVAFYAQEEQDELNFLMNFDPICVHKDEMKRRMNKIAHISTEYYLQPRRRLPFDKVWSCCIEHLKSFVSRALKVTEIEVTGETGDTEKPIYHASLDSQLVEDSTARALAAALFSPCNYKYLQQLCLDLSEGEVEERTIRESLPRPLPPLPPLQPPPPPVASHGPAAAATAAGEEEEEGEVAAEEEPEPDQEQDGEETAAVTAAEEGPEEVSAEAAEAEVLEPEPEPESEPEPEPEPEPEPEPEGGGCPGRFEGLFLELFRRYTKEEPICGAM
+>sp|Q9ULR3|PPM1H_HUMAN Protein phosphatase 1H OS=Homo sapiens OX=9606 GN=PPM1H PE=1 SV=2
+MLTRVKSAVANFMGGIMAGSSGSEHGGGSCGGSDLPLRFPYGRPEFLGLSQDEVECSADHIARPILILKETRRLPWATGYAEVINAGKSTHNEDQASCEVLTVKKKAGAVTSTPNRNSSKRRSSLPNGEGLQLKENSESEGVSCHYWSLFDGHAGSGAAVVASRLLQHHITEQLQDIVDILKNSAVLPPTCLGEEPENTPANSRTLTRAASLRGGVGAPGSPSTPPTRFFTEKKIPHECLVIGALESAFKEMDLQIERERSSYNISGGCTALIVICLLGKLYVANAGDSRAIIIRNGEIIPMSSEFTPETERQRLQYLAFMQPHLLGNEFTHLEFPRRVQRKELGKKMLYRDFNMTGWAYKTIEDEDLKFPLIYGEGKKARVMATIGVTRGLGDHDLKVHDSNIYIKPFLSSAPEVRIYDLSKYDHGSDDVLILATDGLWDVLSNEEVAEAITQFLPNCDPDDPHRYTLAAQDLVMRARGVLKDRGWRISNDRLGSGDDISVYVIPLIHGNKLS
+>DECOY_sp|Q9ULR3|PPM1H_HUMAN Protein phosphatase 1H OS=Homo sapiens OX=9606 GN=PPM1H PE=1 SV=2
+SLKNGHILPIVYVSIDDGSGLRDNSIRWGRDKLVGRARMVLDQAALTYRHPDDPDCNPLFQTIAEAVEENSLVDWLGDTALILVDDSGHDYKSLDYIRVEPASSLFPKIYINSDHVKLDHDGLGRTVGITAMVRAKKGEGYILPFKLDEDEITKYAWGTMNFDRYLMKKGLEKRQVRRPFELHTFENGLLHPQMFALYQLRQRETEPTFESSMPIIEGNRIIIARSDGANAVYLKGLLCIVILATCGGSINYSSREREIQLDMEKFASELAGIVLCEHPIKKETFFRTPPTSPSGPAGVGGRLSAARTLTRSNAPTNEPEEGLCTPPLVASNKLIDVIDQLQETIHHQLLRSAVVAAGSGAHGDFLSWYHCSVGESESNEKLQLGEGNPLSSRRKSSNRNPTSTVAGAKKKVTLVECSAQDENHTSKGANIVEAYGTAWPLRRTEKLILIPRAIHDASCEVEDQSLGLFEPRGYPFRLPLDSGGCSGGGHESGSSGAMIGGMFNAVASKVRTLM
+>sp|Q5SGD2|PPM1L_HUMAN Protein phosphatase 1L OS=Homo sapiens OX=9606 GN=PPM1L PE=1 SV=1
+MIEDTMTLLSLLGRIMRYFLLRPETLFLLCISLALWSYFFHTDEVKTIVKSSRDAVKMVKGKVAEIMQNDRLGGLDVLEAEFSKTWEFKNHNVAVYSIQGRRDHMEDRFEVLTDLANKTHPSIFGIFDGHGGETAAEYVKSRLPEALKQHLQDYEKDKENSVLSYQTILEQQILSIDREMLEKLTVSYDEAGTTCLIALLSDKDLTVANVGDSRGVLCDKDGNAIPLSHDHKPYQLKERKRIKRAGGFISFNGSWRVQGILAMSRSLGDYPLKNLNVVIPDPDILTFDLDKLQPEFMILASDGLWDAFSNEEAVRFIKERLDEPHFGAKSIVLQSFYRGCPDNITVMVVKFRNSSKTEEQ
+>DECOY_sp|Q5SGD2|PPM1L_HUMAN Protein phosphatase 1L OS=Homo sapiens OX=9606 GN=PPM1L PE=1 SV=1
+QEETKSSNRFKVVMVTINDPCGRYFSQLVISKAGFHPEDLREKIFRVAEENSFADWLGDSALIMFEPQLKDLDFTLIDPDPIVVNLNKLPYDGLSRSMALIGQVRWSGNFSIFGGARKIRKREKLQYPKHDHSLPIANGDKDCLVGRSDGVNAVTLDKDSLLAILCTTGAEDYSVTLKELMERDISLIQQELITQYSLVSNEKDKEYDQLHQKLAEPLRSKVYEAATEGGHGDFIGFISPHTKNALDTLVEFRDEMHDRRGQISYVAVNHNKFEWTKSFEAELVDLGGLRDNQMIEAVKGKVMKVADRSSKVITKVEDTHFFYSWLALSICLLFLTEPRLLFYRMIRGLLSLLTMTDEIM
+>sp|O15305|PMM2_HUMAN Phosphomannomutase 2 OS=Homo sapiens OX=9606 GN=PMM2 PE=1 SV=1
+MAAPGPALCLFDVDGTLTAPRQKITKEMDDFLQKLRQKIKIGVVGGSDFEKVQEQLGNDVVEKYDYVFPENGLVAYKDGKLLCRQNIQSHLGEALIQDLINYCLSYIAKIKLPKKRGTFIEFRNGMLNVSPIGRSCSQEERIEFYELDKKENIRQKFVADLRKEFAGKGLTFSIGGQISFDVFPDGWDKRYCLRHVENDGYKTIYFFGDKTMPGGNDHEIFTDPRTMGYSVTAPEDTRRICELLFS
+>DECOY_sp|O15305|PMM2_HUMAN Phosphomannomutase 2 OS=Homo sapiens OX=9606 GN=PMM2 PE=1 SV=1
+SFLLECIRRTDEPATVSYGMTRPDTFIEHDNGGPMTKDGFFYITKYGDNEVHRLCYRKDWGDPFVDFSIQGGISFTLGKGAFEKRLDAVFKQRINEKKDLEYFEIREEQSCSRGIPSVNLMGNRFEIFTGRKKPLKIKAIYSLCYNILDQILAEGLHSQINQRCLLKGDKYAVLGNEPFVYDYKEVVDNGLQEQVKEFDSGGVVGIKIKQRLKQLFDDMEKTIKQRPATLTGDVDFLCLAPGPAAM
+>sp|Q01453|PMP22_HUMAN Peripheral myelin protein 22 OS=Homo sapiens OX=9606 GN=PMP22 PE=1 SV=1
+MLLLLLSIIVLHVAVLVLLFVSTIVSQWIVGNGHATDLWQNCSTSSSGNVHHCFSSSPNEWLQSVQATMILSIIFSILSLFLFFCQLFTLTKGGRFYITGIFQILAGLCVMSAAAIYTVRHPEWHLNSDYSYGFAYILAWVAFPLALLSGVIYVILRKRE
+>DECOY_sp|Q01453|PMP22_HUMAN Peripheral myelin protein 22 OS=Homo sapiens OX=9606 GN=PMP22 PE=1 SV=1
+ERKRLIVYIVGSLLALPFAVWALIYAFGYSYDSNLHWEPHRVTYIAAASMVCLGALIQFIGTIYFRGGKTLTFLQCFFLFLSLISFIISLIMTAQVSQLWENPSSSFCHHVNGSSSTSCNQWLDTAHGNGVIWQSVITSVFLLVLVAVHLVIISLLLLLM
+>sp|Q6XQN6|PNCB_HUMAN Nicotinate phosphoribosyltransferase OS=Homo sapiens OX=9606 GN=NAPRT PE=1 SV=2
+MAAEQDPEARAAARPLLTDLYQATMALGYWRAGRARDAAEFELFFRRCPFGGAFALAAGLRDCVRFLRAFRLRDADVQFLASVLPPDTDPAFFEHLRALDCSEVTVRALPEGSLAFPGVPLLQVSGPLLVVQLLETPLLCLVSYASLVATNAARLRLIAGPEKRLLEMGLRRAQGPDGGLTASTYSYLGGFDSSSNVLAGQLRGVPVAGTLAHSFVTSFSGSEVPPDPMLAPAAGEGPGVDLAAKAQVWLEQVCAHLGLGVQEPHPGERAAFVAYALAFPRAFQGLLDTYSVWRSGLPNFLAVALALGELGYRAVGVRLDSGDLLQQAQEIRKVFRAAAAQFQVPWLESVLIVVSNNIDEEALARLAQEGSEVNVIGIGTSVVTCPQQPSLGGVYKLVAVGGQPRMKLTEDPEKQTLPGSKAAFRLLGSDGSPLMDMLQLAEEPVPQAGQELRVWPPGAQEPCTVRPAQVEPLLRLCLQQGQLCEPLPSLAESRALAQLSLSRLSPEHRRLRSPAQYQVVLSERLQALVNSLCAGQSP
+>DECOY_sp|Q6XQN6|PNCB_HUMAN Nicotinate phosphoribosyltransferase OS=Homo sapiens OX=9606 GN=NAPRT PE=1 SV=2
+PSQGACLSNVLAQLRESLVVQYQAPSRLRRHEPSLRSLSLQALARSEALSPLPECLQGQQLCLRLLPEVQAPRVTCPEQAGPPWVRLEQGAQPVPEEALQLMDMLPSGDSGLLRFAAKSGPLTQKEPDETLKMRPQGGVAVLKYVGGLSPQQPCTVVSTGIGIVNVESGEQALRALAEEDINNSVVILVSELWPVQFQAAAARFVKRIEQAQQLLDGSDLRVGVARYGLEGLALAVALFNPLGSRWVSYTDLLGQFARPFALAYAVFAAREGPHPEQVGLGLHACVQELWVQAKAALDVGPGEGAAPALMPDPPVESGSFSTVFSHALTGAVPVGRLQGALVNSSSDFGGLYSYTSATLGGDPGQARRLGMELLRKEPGAILRLRAANTAVLSAYSVLCLLPTELLQVVLLPGSVQLLPVGPFALSGEPLARVTVESCDLARLHEFFAPDTDPPLVSALFQVDADRLRFARLFRVCDRLGAALAFAGGFPCRRFFLEFEAADRARGARWYGLAMTAQYLDTLLPRAAARAEPDQEAAM
+>sp|Q8N490|PNKD_HUMAN Probable hydrolase PNKD OS=Homo sapiens OX=9606 GN=PNKD PE=1 SV=2
+MAAVVAATALKGRGARNARVLRGILAGATANKASHNRTRALQSHSSPEGKEEPEPLSPELEYIPRKRGKNPMKAVGLAWYSLYTRTWLGYLFYRQQLRRARNRYPKGHSKTQPRLFNGVKVLPIPVLSDNYSYLIIDTQAQLAVAVDPSDPRAVQASIEKEGVTLVAILCTHKHWDHSGGNRDLSRRHRDCRVYGSPQDGIPYLTHPLCHQDVVSVGRLQIRALATPGHTQGHLVYLLDGEPYKGPSCLFSGDLLFLSGCGRTFEGNAETMLSSLDTVLGLGDDTLLWPGHEYAEENLGFAGVVEPENLARERKMQWVQRQRLERKGTCPSTLGEERSYNPFLRTHCLALQEALGPGPGPTGDDDYSRAQLLEELRRLKDMHKSK
+>DECOY_sp|Q8N490|PNKD_HUMAN Probable hydrolase PNKD OS=Homo sapiens OX=9606 GN=PNKD PE=1 SV=2
+KSKHMDKLRRLEELLQARSYDDDGTPGPGPGLAEQLALCHTRLFPNYSREEGLTSPCTGKRELRQRQVWQMKRERALNEPEVVGAFGLNEEAYEHGPWLLTDDGLGLVTDLSSLMTEANGEFTRGCGSLFLLDGSFLCSPGKYPEGDLLYVLHGQTHGPTALARIQLRGVSVVDQHCLPHTLYPIGDQPSGYVRCDRHRRSLDRNGGSHDWHKHTCLIAVLTVGEKEISAQVARPDSPDVAVALQAQTDIILYSYNDSLVPIPLVKVGNFLRPQTKSHGKPYRNRARRLQQRYFLYGLWTRTYLSYWALGVAKMPNKGRKRPIYELEPSLPEPEEKGEPSSHSQLARTRNHSAKNATAGALIGRLVRANRAGRGKLATAAVVAAM
+>sp|Q8TC44|POC1B_HUMAN POC1 centriolar protein homolog B OS=Homo sapiens OX=9606 GN=POC1B PE=1 SV=1
+MASATEDPVLERYFKGHKAAITSLDLSPNGKQLATASWDTFLMLWNFKPHARAYRYVGHKDVVTSVQFSPHGNLLASASRDRTVRLWIPDKRGKFSEFKAHTAPVRSVDFSADGQFLATASEDKSIKVWSMYRQRFLYSLYRHTHWVRCAKFSPDGRLIVSCSEDKTIKIWDTTNKQCVNNFSDSVGFANFVDFNPSGTCIASAGSDQTVKVWDVRVNKLLQHYQVHSGGVNCISFHPSGNYLITASSDGTLKILDLLEGRLIYTLQGHTGPVFTVSFSKGGELFASGGADTQVLLWRTNFDELHCKGLTKRNLKRLHFDSPPHLLDIYPRTPHPHEEKVETVEINPKLEVIDLQISTPPVMDILSFDSTTTTETSGRTLPDKGEEACGYFLNPSLMSPECLPTTTKKKTEDMSDLPCESQRSIPLAVTDALEHIMEQLNVLTQTVSILEQRLTLTEDKLKDCLENQQKLFSAVQQKS
+>DECOY_sp|Q8TC44|POC1B_HUMAN POC1 centriolar protein homolog B OS=Homo sapiens OX=9606 GN=POC1B PE=1 SV=1
+SKQQVASFLKQQNELCDKLKDETLTLRQELISVTQTLVNLQEMIHELADTVALPISRQSECPLDSMDETKKKTTTPLCEPSMLSPNLFYGCAEEGKDPLTRGSTETTTTSDFSLIDMVPPTSIQLDIVELKPNIEVTEVKEEHPHPTRPYIDLLHPPSDFHLRKLNRKTLGKCHLEDFNTRWLLVQTDAGGSAFLEGGKSFSVTFVPGTHGQLTYILRGELLDLIKLTGDSSATILYNGSPHFSICNVGGSHVQYHQLLKNVRVDWVKVTQDSGASAICTGSPNFDVFNAFGVSDSFNNVCQKNTTDWIKITKDESCSVILRGDPSFKACRVWHTHRYLSYLFRQRYMSWVKISKDESATALFQGDASFDVSRVPATHAKFESFKGRKDPIWLRVTRDRSASALLNGHPSFQVSTVVDKHGVYRYARAHPKFNWLMLFTDWSATALQKGNPSLDLSTIAAKHGKFYRELVPDETASAM
+>sp|Q9NP85|PODO_HUMAN Podocin OS=Homo sapiens OX=9606 GN=NPHS2 PE=1 SV=1
+MERRARSSSRESRGRGGRTPHKENKRAKAERSGGGRGRQEAGPEPSGSGRAGTPGEPRAPAATVVDVDEVRGSGEEGTEVVALLESERPEEGTKSSGLGACEWLLVLISLLFIIMTFPFSIWFCVKVVQEYERVIIFRLGHLLPGRAKGPGLFFFLPCLDTYHKVDLRLQTLEIPFHEIVTKDMFIMEIDAICYYRMENASLLLSSLAHVSKAVQFLVQTTMKRLLAHRSLTEILLERKSIAQDAKVALDSVTCIWGIKVERIEIKDVRLPAGLQHSLAVEAEAQRQAKVRMIAAEAEKAASESLRMAAEILSGTPAAVQLRYLHTLQSLSTEKPSTVVLPLPFDLLNCLSSPSNRTQGSLPFPSPSKPVEPLNPKKKDSPML
+>DECOY_sp|Q9NP85|PODO_HUMAN Podocin OS=Homo sapiens OX=9606 GN=NPHS2 PE=1 SV=1
+LMPSDKKKPNLPEVPKSPSPFPLSGQTRNSPSSLCNLLDFPLPLVVTSPKETSLSQLTHLYRLQVAAPTGSLIEAAMRLSESAAKEAEAAIMRVKAQRQAEAEVALSHQLGAPLRVDKIEIREVKIGWICTVSDLAVKADQAISKRELLIETLSRHALLRKMTTQVLFQVAKSVHALSSLLLSANEMRYYCIADIEMIFMDKTVIEHFPIELTQLRLDVKHYTDLCPLFFFLGPGKARGPLLHGLRFIIVREYEQVVKVCFWISFPFTMIIFLLSILVLLWECAGLGSSKTGEEPRESELLAVVETGEEGSGRVEDVDVVTAAPARPEGPTGARGSGSPEPGAEQRGRGGGSREAKARKNEKHPTRGGRGRSERSSSRARREM
+>sp|Q9P215|POGK_HUMAN Pogo transposable element with KRAB domain OS=Homo sapiens OX=9606 GN=POGK PE=1 SV=2
+MESTAYPLNLSLKEEEEEEEIQSRELEDGPADMQKVRICSEGGWVPALFDEVAIYFSDEEWEVLTEQQKALYREVMRMNYETVLSLEFPFPKPDMITRLEGEEESQNSDEWQLQGGTSAENEESDVKPPDWPNPMNATSQFPQPQHFDSFGLRLPRDITELPEWSEGYPFYMAMGFPGYDLSADDIAGKFQFSRGMRRSYDAGFKLMVVEYAESTNNCQAAKQFGVLEKNVRDWRKVKPQLQNAHAMRRAFRGPKNGRFALVDQRVAEYVRYMQAKGDPITREAMQLKALEIAQEMNIPEKGFKASLGWCRRMMRRYDLSLRHKVPVPQHLPEDLTEKLVTYQRSVLALRRAHDYEVAQMGNADETPICLEVPSRVTVDNQGEKPVLVKTPGREKLKITAMLGVLADGRKLPPYIILRGTYIPPGKFPSGMEIRCHRYGWMTEDLMQDWLEVVWRRRTGAVPKQRGMLILNGFRGHATDSVKNSMESMNTDMVIIPGGLTSQLQVLDVVVYKPLNDSVRAQYSNWLLAGNLALSPTGNAKKPPLGLFLEWVMVAWNSISSESIVQGFKKCHISSNLEEEDDVLWEIESELPGGGEPPKDCDTESMAESN
+>DECOY_sp|Q9P215|POGK_HUMAN Pogo transposable element with KRAB domain OS=Homo sapiens OX=9606 GN=POGK PE=1 SV=2
+NSEAMSETDCDKPPEGGGPLESEIEWLVDDEEELNSSIHCKKFGQVISESSISNWAVMVWELFLGLPPKKANGTPSLALNGALLWNSYQARVSDNLPKYVVVDLVQLQSTLGGPIIVMDTNMSEMSNKVSDTAHGRFGNLILMGRQKPVAGTRRRWVVELWDQMLDETMWGYRHCRIEMGSPFKGPPIYTGRLIIYPPLKRGDALVGLMATIKLKERGPTKVLVPKEGQNDVTVRSPVELCIPTEDANGMQAVEYDHARRLALVSRQYTVLKETLDEPLHQPVPVKHRLSLDYRRMMRRCWGLSAKFGKEPINMEQAIELAKLQMAERTIPDGKAQMYRVYEAVRQDVLAFRGNKPGRFARRMAHANQLQPKVKRWDRVNKELVGFQKAAQCNNTSEAYEVVMLKFGADYSRRMGRSFQFKGAIDDASLDYGPFGMAMYFPYGESWEPLETIDRPLRLGFSDFHQPQPFQSTANMPNPWDPPKVDSEENEASTGGQLQWEDSNQSEEEGELRTIMDPKPFPFELSLVTEYNMRMVERYLAKQQETLVEWEEDSFYIAVEDFLAPVWGGESCIRVKQMDAPGDELERSQIEEEEEEEKLSLNLPYATSEM
+>sp|Q7Z3K3|POGZ_HUMAN Pogo transposable element with ZNF domain OS=Homo sapiens OX=9606 GN=POGZ PE=1 SV=2
+MADTDLFMECEEEELEPWQKISDVIEDSVVEDYNSVDKTTTVSVSQQPVSAPVPIAAHASVAGHLSTSTTVSSSGAQNSDSTKKTLVTLIANNNAGNPLVQQGGQPLILTQNPAPGLGTMVTQPVLRPVQVMQNANHVTSSPVASQPIFITTQGFPVRNVRPVQNAMNQVGIVLNVQQGQTVRPITLVPAPGTQFVKPTVGVPQVFSQMTPVRPGSTMPVRPTTNTFTTVIPATLTIRSTVPQSQSQQTKSTPSTSTTPTATQPTSLGQLAVQSPGQSNQTTNPKLAPSFPSPPAVSIASFVTVKRPGVTGENSNEVAKLVNTLNTIPSLGQSPGPVVVSNNSSAHGSQRTSGPESSMKVTSSIPVFDLQDGGRKICPRCNAQFRVTEALRGHMCYCCPEMVEYQKKGKSLDSEPSVPSAAKPPSPEKTAPVASTPSSTPIPALSPPTKVPEPNENVGDAVQTKLIMLVDDFYYGRDGGKVAQLTNFPKVATSFRCPHCTKRLKNNIRFMNHMKHHVELDQQNGEVDGHTICQHCYRQFSTPFQLQCHLENVHSPYESTTKCKICEWAFESEPLFLQHMKDTHKPGEMPYVCQVCQYRSSLYSEVDVHFRMIHEDTRHLLCPYCLKVFKNGNAFQQHYMRHQKRNVYHCNKCRLQFLFAKDKIEHKLQHHKTFRKPKQLEGLKPGTKVTIRASRGQPRTVPVSSNDTPPSALQEAAPLTSSMDPLPVFLYPPVQRSIQKRAVRKMSVMGRQTCLECSFEIPDFPNHFPTYVHCSLCRYSTCCSRAYANHMINNHVPRKSPKYLALFKNSVSGIKLACTSCTFVTSVGDAMAKHLVFNPSHRSSSILPRGLTWIAHSRHGQTRDRVHDRNVKNMYPPPSFPTNKAATVKSAGATPAEPEELLTPLAPALPSPASTATPPPTPTHPQALALPPLATEGAECLNVDDQDEGSPVTQEPELASGGGGSGGVGKKEQLSVKKLRVVLFALCCNTEQAAEHFRNPQRRIRRWLRRFQASQGENLEGKYLSFEAEEKLAEWVLTQREQQLPVNEETLFQKATKIGRSLEGGFKISYEWAVRFMLRHHLTPHARRAVAHTLPKDVAENAGLFIDFVQRQIHNQDLPLSMIVAIDEISLFLDTEVLSSDDRKENALQTVGTGEPWCDVVLAILADGTVLPTLVFYRGQMDQPANMPDSILLEAKESGYSDDEIMELWSTRVWQKHTACQRSKGMLVMDCHRTHLSEEVLAMLSASSTLPAVVPAGCSSKIQPLDVCIKRTVKNFLHKKWKEQAREMADTACDSDVLLQLVLVWLGEVLGVIGDCPELVQRSFLVASVLPGPDGNINSPTRNADMQEELIASLEEQLKLSGEHSESSTPRPRSSPEETIEPESLHQLFEGESETESFYGFEEADLDLMEI
+>DECOY_sp|Q7Z3K3|POGZ_HUMAN Pogo transposable element with ZNF domain OS=Homo sapiens OX=9606 GN=POGZ PE=1 SV=2
+IEMLDLDAEEFGYFSETESEGEFLQHLSEPEITEEPSSRPRPTSSESHEGSLKLQEELSAILEEQMDANRTPSNINGDPGPLVSAVLFSRQVLEPCDGIVGLVEGLWVLVLQLLVDSDCATDAMERAQEKWKKHLFNKVTRKICVDLPQIKSSCGAPVVAPLTSSASLMALVEESLHTRHCDMVLMGKSRQCATHKQWVRTSWLEMIEDDSYGSEKAELLISDPMNAPQDMQGRYFVLTPLVTGDALIALVVDCWPEGTGVTQLANEKRDDSSLVETDLFLSIEDIAVIMSLPLDQNHIQRQVFDIFLGANEAVDKPLTHAVARRAHPTLHHRLMFRVAWEYSIKFGGELSRGIKTAKQFLTEENVPLQQERQTLVWEALKEEAEFSLYKGELNEGQSAQFRRLWRRIRRQPNRFHEAAQETNCCLAFLVVRLKKVSLQEKKGVGGSGGGGSALEPEQTVPSGEDQDDVNLCEAGETALPPLALAQPHTPTPPPTATSAPSPLAPALPTLLEEPEAPTAGASKVTAAKNTPFSPPPYMNKVNRDHVRDRTQGHRSHAIWTLGRPLISSSRHSPNFVLHKAMADGVSTVFTCSTCALKIGSVSNKFLALYKPSKRPVHNNIMHNAYARSCCTSYRCLSCHVYTPFHNPFDPIEFSCELCTQRGMVSMKRVARKQISRQVPPYLFVPLPDMSSTLPAAEQLASPPTDNSSVPVTRPQGRSARITVKTGPKLGELQKPKRFTKHHQLKHEIKDKAFLFQLRCKNCHYVNRKQHRMYHQQFANGNKFVKLCYPCLLHRTDEHIMRFHVDVESYLSSRYQCVQCVYPMEGPKHTDKMHQLFLPESEFAWECIKCKTTSEYPSHVNELHCQLQFPTSFQRYCHQCITHGDVEGNQQDLEVHHKMHNMFRINNKLRKTCHPCRFSTAVKPFNTLQAVKGGDRGYYFDDVLMILKTQVADGVNENPEPVKTPPSLAPIPTSSPTSAVPATKEPSPPKAASPVSPESDLSKGKKQYEVMEPCCYCMHGRLAETVRFQANCRPCIKRGGDQLDFVPISSTVKMSSEPGSTRQSGHASSNNSVVVPGPSQGLSPITNLTNVLKAVENSNEGTVGPRKVTVFSAISVAPPSPFSPALKPNTTQNSQGPSQVALQGLSTPQTATPTTSTSPTSKTQQSQSQPVTSRITLTAPIVTTFTNTTPRVPMTSGPRVPTMQSFVQPVGVTPKVFQTGPAPVLTIPRVTQGQQVNLVIGVQNMANQVPRVNRVPFGQTTIFIPQSAVPSSTVHNANQMVQVPRLVPQTVMTGLGPAPNQTLILPQGGQQVLPNGANNNAILTVLTKKTSDSNQAGSSSVTTSTSLHGAVSAHAAIPVPASVPQQSVSVTTTKDVSNYDEVVSDEIVDSIKQWPELEEEECEMFLDTDAM
+>sp|P63128|POK9_HUMAN Endogenous retrovirus group K member 9 Pol protein OS=Homo sapiens OX=9606 GN=ERVK-9 PE=3 SV=3
+MGQTKSKIKSKYASYLSFIKILLKRGGVKVSTKNLIKLFQIIEQFCPWFPEQGTLDLKDWKRIGKELKQAGRKGNIIPLTVWNDWAIIKAALEPFQTEEDSISVSDAPGSGIIDCNEKTRKKSQKETESLHCEYVAEPVMAQSTQNVDYNQLQEVIYPETLKLEGKGPELVGPSESKPRGTSPLPAGQVPVTLQPQKQVKENKTQPPVAYQYWPPAELQYRPPPESQYGYPGMPPAPQGRAPYPQPPTRRLNPTAPPSRQGSELHEIIDKSRKEGDTEAWQFPVTLEPMPPGEGAQEGEPPTVEARYKSFSIKILKDMKEGVKQYGPNSPYMRTLLDSIAHGHRLIPYDWEILAKSSLSPSQFLQFKTWWIDGVQEQVRRNRAANPPVNIDADQLLGIGQNWSTISQQALMQNEAIEQVRAICLRAWEKIQDPGSTCPSFNTVRQGSKEPYPDFVARLQDVAQKSIADEKARKVIVELMAYENANPECQSAIKPLKGKVPAGSDVISEYVKACDGIGGAMHKAMLMAQAITGVVLGGQVRTFGGKCYNCGQIGHLKKNCPVLNKQNITIQATTTGREPPDLCPRCKKGKHWASQCRSKFDKNGQPLSGNEQRGQPQAPQQTGAFPIQPFVPQGFQGQQPPLSQVFQGISQLPQYNNCPPPQVAVQQVDLCTIQAVSLLPGEPPQKIPTGVYGPLPEGTVGLILGRSSLNLKGVQIHTSVVDSDYKGEIQLVISSSVPWSASPGDRIAQLLLLPYIKGGNSEIKRIGGLGSTDPTGKAAYWASQVSENRPVCKAIIQGKQFEGLVDTGADVSIIALNQWPKNWPKQKAVTGLVGIGTASEVYQSMEILHCLGPDNQESTVQPMITSIPLNLWGRDLLQQWGAEITMPAPLYSPTSQKIMTKRGYIPGKGLGKNEDGIKIPFEAKINQKREGIGYPFLGAATIEPPKPIPLTWKTEKPVWVNQWPLPKQKLEALHLLANEQLEKGHIEPSFSPWNSPVFVIQKKSGKWRMLTDLRAVNAVIQPMGPLQPGLPSPAMIPKDWPLIIIDLKDCFFTIPLAEQDCEKFAFTIPAINNKEPATRFQWKVLPQGMLNSPTICQTFVGRALQPVKVFRLLYYSLY
+>DECOY_sp|P63128|POK9_HUMAN Endogenous retrovirus group K member 9 Pol protein OS=Homo sapiens OX=9606 GN=ERVK-9 PE=3 SV=3
+YLSYYLLRFVKVPQLARGVFTQCITPSNLMGQPLVKWQFRTAPEKNNIAPITFAFKECDQEALPITFFCDKLDIIILPWDKPIMAPSPLGPQLPGMPQIVANVARLDTLMRWKGSKKQIVFVPSNWPSFSPEIHGKELQENALLHLAELKQKPLPWQNVWVPKETKWTLPIPKPPEITAAGLFPYGIGERKQNIKAEFPIKIGDENKGLGKGPIYGRKTMIKQSTPSYLPAPMTIEAGWQQLLDRGWLNLPISTIMPQVTSEQNDPGLCHLIEMSQYVESATGIGVLGTVAKQKPWNKPWQNLAIISVDAGTDVLGEFQKGQIIAKCVPRNESVQSAWYAAKGTPDTSGLGGIRKIESNGGKIYPLLLLQAIRDGPSASWPVSSSIVLQIEGKYDSDVVSTHIQVGKLNLSSRGLILGVTGEPLPGYVGTPIKQPPEGPLLSVAQITCLDVQQVAVQPPPCNNYQPLQSIGQFVQSLPPQQGQFGQPVFPQIPFAGTQQPAQPQGRQENGSLPQGNKDFKSRCQSAWHKGKKCRPCLDPPERGTTTAQITINQKNLVPCNKKLHGIQGCNYCKGGFTRVQGGLVVGTIAQAMLMAKHMAGGIGDCAKVYESIVDSGAPVKGKLPKIASQCEPNANEYAMLEVIVKRAKEDAISKQAVDQLRAVFDPYPEKSGQRVTNFSPCTSGPDQIKEWARLCIARVQEIAENQMLAQQSITSWNQGIGLLQDADINVPPNAARNRRVQEQVGDIWWTKFQLFQSPSLSSKALIEWDYPILRHGHAISDLLTRMYPSNPGYQKVGEKMDKLIKISFSKYRAEVTPPEGEQAGEGPPMPELTVPFQWAETDGEKRSKDIIEHLESGQRSPPATPNLRRTPPQPYPARGQPAPPMGPYGYQSEPPPRYQLEAPPWYQYAVPPQTKNEKVQKQPQLTVPVQGAPLPSTGRPKSESPGVLEPGKGELKLTEPYIVEQLQNYDVNQTSQAMVPEAVYECHLSETEKQSKKRTKENCDIIGSGPADSVSISDEETQFPELAAKIIAWDNWVTLPIINGKRGAQKLEKGIRKWDKLDLTGQEPFWPCFQEIIQFLKILNKTSVKVGGRKLLIKIFSLYSAYKSKIKSKTQGM
+>sp|Q5K4E3|POLS2_HUMAN Polyserase-2 OS=Homo sapiens OX=9606 GN=PRSS36 PE=1 SV=2
+MARHLLLPLVMLVISPIPGAFQDSALSPTQEEPEDLDCGRPEPSARIVGGSNAQPGTWPWQVSLHHGGGHICGGSLIAPSWVLSAAHCFMTNGTLEPAAEWSVLLGVHSQDGPLDGAHTRAVAAIVVPANYSQVELGADLALLRLASPASLGPAVWPVCLPRASHRFVHGTACWATGWGDVQEADPLPLPWVLQEVELRLLGEATCQCLYSQPGPFNLTLQILPGMLCAGYPEGRRDTCQGDSGGPLVCEEGGRWFQAGITSFGFGCGRRNRPGVFTAVATYEAWIREQVMGSEPGPAFPTQPQKTQSDPQEPREENCTIALPECGKAPRPGAWPWEAQVMVPGSRPCHGALVSESWVLAPASCFLDPNSSDSPPRDLDAWRVLLPSRPRAERVARLVQHENASWDNASDLALLQLRTPVNLSAASRPVCLPHPEHYFLPGSRCRLARWGRGEPALGPGALLEAELLGGWWCHCLYGRQGAAVPLPGDPPHALCPAYQEKEEVGSCWNDSRWSLLCQEEGTWFLAGIRDFPSGCLRPRAFFPLQTHGPWISHVTRGAYLEDQLAWDWGPDGEETETQTCPPHTEHGACGLRLEAAPVGVLWPWLAEVHVAGDRVCTGILLAPGWVLAATHCVLRPGSTTVPYIEVYLGRAGASSLPQGHQVSRLVISIRLPQHLGLRPPLALLELSSRVEPSPSALPICLHPAGIPPGASCWVLGWKEPQDRVPVAAAVSILTQRICDCLYQGILPPGTLCVLYAEGQENRCEMTSAPPLLCQMTEGSWILVGMAVQGSRELFAAIGPEEAWISQTVGEANFLPPSGSPHWPTGGSNLCPPELAKASGSPHAVYFLLLLTLLIQS
+>DECOY_sp|Q5K4E3|POLS2_HUMAN Polyserase-2 OS=Homo sapiens OX=9606 GN=PRSS36 PE=1 SV=2
+SQILLTLLLLFYVAHPSGSAKALEPPCLNSGGTPWHPSGSPPLFNAEGVTQSIWAEEPGIAAFLERSGQVAMGVLIWSGETMQCLLPPASTMECRNEQGEAYLVCLTGPPLIGQYLCDCIRQTLISVAAAVPVRDQPEKWGLVWCSAGPPIGAPHLCIPLASPSPEVRSSLELLALPPRLGLHQPLRISIVLRSVQHGQPLSSAGARGLYVEIYPVTTSGPRLVCHTAALVWGPALLIGTCVRDGAVHVEALWPWLVGVPAAELRLGCAGHETHPPCTQTETEEGDPGWDWALQDELYAGRTVHSIWPGHTQLPFFARPRLCGSPFDRIGALFWTGEEQCLLSWRSDNWCSGVEEKEQYAPCLAHPPDGPLPVAAGQRGYLCHCWWGGLLEAELLAGPGLAPEGRGWRALRCRSGPLFYHEPHPLCVPRSAASLNVPTRLQLLALDSANDWSANEHQVLRAVREARPRSPLLVRWADLDRPPSDSSNPDLFCSAPALVWSESVLAGHCPRSGPVMVQAEWPWAGPRPAKGCEPLAITCNEERPEQPDSQTKQPQTPFAPGPESGMVQERIWAEYTAVATFVGPRNRRGCGFGFSTIGAQFWRGGEECVLPGGSDGQCTDRRGEPYGACLMGPLIQLTLNFPGPQSYLCQCTAEGLLRLEVEQLVWPLPLPDAEQVDGWGTAWCATGHVFRHSARPLCVPWVAPGLSAPSALRLLALDAGLEVQSYNAPVVIAAVARTHAGDLPGDQSHVGLLVSWEAAPELTGNTMFCHAASLVWSPAILSGGCIHGGGHHLSVQWPWTGPQANSGGVIRASPEPRGCDLDEPEEQTPSLASDQFAGPIPSIVLMVLPLLLHRAM
+>sp|Q15165|PON2_HUMAN Serum paraoxonase/arylesterase 2 OS=Homo sapiens OX=9606 GN=PON2 PE=1 SV=4
+MGRLVAVGLLGIALALLGERLLALRNRLKASREVESVDLPHCHLIKGIEAGSEDIDILPNGLAFFSVGLKFPGLHSFAPDKPGGILMMDLKEEKPRARELRISRGFDLASFNPHGISTFIDNDDTVYLFVVNHPEFKNTVEIFKFEEAENSLLHLKTVKHELLPSVNDITAVGPAHFYATNDHYFSDPFLKYLETYLNLHWANVVYYSPNEVKVVAEGFDSANGINISPDDKYIYVADILAHEIHVLEKHTNMNLTQLKVLELDTLVDNLSIDPSSGDIWVGCHPNGQKLFVYDPNNPPSSEVLRIQNILSEKPTVTTVYANNGSVLQGSSVASVYDGKLLIGTLYHRALYCEL
+>DECOY_sp|Q15165|PON2_HUMAN Serum paraoxonase/arylesterase 2 OS=Homo sapiens OX=9606 GN=PON2 PE=1 SV=4
+LECYLARHYLTGILLKGDYVSAVSSGQLVSGNNAYVTTVTPKESLINQIRLVESSPPNNPDYVFLKQGNPHCGVWIDGSSPDISLNDVLTDLELVKLQTLNMNTHKELVHIEHALIDAVYIYKDDPSINIGNASDFGEAVVKVENPSYYVVNAWHLNLYTELYKLFPDSFYHDNTAYFHAPGVATIDNVSPLLEHKVTKLHLLSNEAEEFKFIEVTNKFEPHNVVFLYVTDDNDIFTSIGHPNFSALDFGRSIRLERARPKEEKLDMMLIGGPKDPAFSHLGPFKLGVSFFALGNPLIDIDESGAEIGKILHCHPLDVSEVERSAKLRNRLALLREGLLALAIGLLGVAVLRGM
+>sp|Q9H8P0|PORED_HUMAN Polyprenol reductase OS=Homo sapiens OX=9606 GN=SRD5A3 PE=1 SV=1
+MAPWAEAEHSALNPLRAVWLTLTAAFLLTLLLQLLPPGLLPGCAIFQDLIRYGKTKCGEPSRPAACRAFDVPKRYFSHFYIISVLWNGFLLWCLTQSLFLGAPFPSWLHGLLRILGAAQFQGGELALSAFLVLVFLWLHSLRRLFECLYVSVFSNVMIHVVQYCFGLVYYVLVGLTVLSQVPMDGRNAYITGKNLLMQARWFHILGMMMFIWSSAHQYKCHVILGNLRKNKAGVVIHCNHRIPFGDWFEYVSSPNYLAELMIYVSMAVTFGFHNLTWWLVVTNVFFNQALSAFLSHQFYKSKFVSYPKHRKAFLPFLF
+>DECOY_sp|Q9H8P0|PORED_HUMAN Polyprenol reductase OS=Homo sapiens OX=9606 GN=SRD5A3 PE=1 SV=1
+FLFPLFAKRHKPYSVFKSKYFQHSLFASLAQNFFVNTVVLWWTLNHFGFTVAMSVYIMLEALYNPSSVYEFWDGFPIRHNCHIVVGAKNKRLNGLIVHCKYQHASSWIFMMMGLIHFWRAQMLLNKGTIYANRGDMPVQSLVTLGVLVYYVLGFCYQVVHIMVNSFVSVYLCEFLRRLSHLWLFVLVLFASLALEGGQFQAAGLIRLLGHLWSPFPAGLFLSQTLCWLLFGNWLVSIIYFHSFYRKPVDFARCAAPRSPEGCKTKGYRILDQFIACGPLLGPPLLQLLLTLLFAATLTLWVARLPNLASHEAEAWPAM
+>sp|Q96I34|PP16A_HUMAN Protein phosphatase 1 regulatory subunit 16A OS=Homo sapiens OX=9606 GN=PPP1R16A PE=1 SV=1
+MAEHLELLAEMPMVGRMSTQERLKHAQKRRAQQVKMWAQAEKEAQGKKGPGERPRKEAASQGLLKQVLFPPSVVLLEAAARNDLEEVRQFLGSGVSPDLANEDGLTALHQCCIDDFREMVQQLLEAGANINACDSECWTPLHAAATCGHLHLVELLIASGANLLAVNTDGNMPYDLCDDEQTLDCLETAMADRGITQDSIEAARAVPELRMLDDIRSRLQAGADLHAPLDHGATLLHVAAANGFSEAAALLLEHRASLSAKDQDGWEPLHAAAYWGQVPLVELLVAHGADLNAKSLMDETPLDVCGDEEVRAKLLELKHKHDALLRAQSRQRSLLRRRTSSAGSRGKVVRRVSLTQRTDLYRKQHAQEAIVWQQPPPTSPEPPEDNDDRQTGAELRPPPPEEDNPEVVRPHNGRVGGSPVRHLYSKRLDRSVSYQLSPLDSTTPHTLVHDKAHHTLADLKRQRAAAKLQRPPPEGPESPETAEPGLPGDTVTPQPDCGFRAGGDPPLLKLTAPAVEAPVERRPCCLLM
+>DECOY_sp|Q96I34|PP16A_HUMAN Protein phosphatase 1 regulatory subunit 16A OS=Homo sapiens OX=9606 GN=PPP1R16A PE=1 SV=1
+MLLCCPRREVPAEVAPATLKLLPPDGGARFGCDPQPTVTDGPLGPEATEPSEPGEPPPRQLKAAARQRKLDALTHHAKDHVLTHPTTSDLPSLQYSVSRDLRKSYLHRVPSGGVRGNHPRVVEPNDEEPPPPRLEAGTQRDDNDEPPEPSTPPPQQWVIAEQAHQKRYLDTRQTLSVRRVVKGRSGASSTRRRLLSRQRSQARLLADHKHKLELLKARVEEDGCVDLPTEDMLSKANLDAGHAVLLEVLPVQGWYAAAHLPEWGDQDKASLSARHELLLAAAESFGNAAAVHLLTAGHDLPAHLDAGAQLRSRIDDLMRLEPVARAAEISDQTIGRDAMATELCDLTQEDDCLDYPMNGDTNVALLNAGSAILLEVLHLHGCTAAAHLPTWCESDCANINAGAELLQQVMERFDDICCQHLATLGDENALDPSVGSGLFQRVEELDNRAAAELLVVSPPFLVQKLLGQSAAEKRPREGPGKKGQAEKEAQAWMKVQQARRKQAHKLREQTSMRGVMPMEALLELHEAM
+>sp|P62140|PP1B_HUMAN Serine/threonine-protein phosphatase PP1-beta catalytic subunit OS=Homo sapiens OX=9606 GN=PPP1CB PE=1 SV=3
+MADGELNVDSLITRLLEVRGCRPGKIVQMTEAEVRGLCIKSREIFLSQPILLELEAPLKICGDIHGQYTDLLRLFEYGGFPPEANYLFLGDYVDRGKQSLETICLLLAYKIKYPENFFLLRGNHECASINRIYGFYDECKRRFNIKLWKTFTDCFNCLPIAAIVDEKIFCCHGGLSPDLQSMEQIRRIMRPTDVPDTGLLCDLLWSDPDKDVQGWGENDRGVSFTFGADVVSKFLNRHDLDLICRAHQVVEDGYEFFAKRQLVTLFSAPNYCGEFDNAGGMMSVDETLMCSFQILKPSEKKAKYQYGGLNSGRPVTPPRTANPPKKR
+>DECOY_sp|P62140|PP1B_HUMAN Serine/threonine-protein phosphatase PP1-beta catalytic subunit OS=Homo sapiens OX=9606 GN=PPP1CB PE=1 SV=3
+RKKPPNATRPPTVPRGSNLGGYQYKAKKESPKLIQFSCMLTEDVSMMGGANDFEGCYNPASFLTVLQRKAFFEYGDEVVQHARCILDLDHRNLFKSVVDAGFTFSVGRDNEGWGQVDKDPDSWLLDCLLGTDPVDTPRMIRRIQEMSQLDPSLGGHCCFIKEDVIAAIPLCNFCDTFTKWLKINFRRKCEDYFGYIRNISACEHNGRLLFFNEPYKIKYALLLCITELSQKGRDVYDGLFLYNAEPPFGGYEFLRLLDTYQGHIDGCIKLPAELELLIPQSLFIERSKICLGRVEAETMQVIKGPRCGRVELLRTILSDVNLEGDAM
+>sp|Q86T03|PP4P1_HUMAN Type 1 phosphatidylinositol 4,5-bisphosphate 4-phosphatase OS=Homo sapiens OX=9606 GN=PIP4P1 PE=1 SV=1
+MAADGERSPLLSEPIDGGAGGNGLVGPGGSGAGPGGGLTPSAPPYGAAFPPFPEGHPAVLPGEDPPPYSPLTSPDSGSAPMITCRVCQSLINVEGKMHQHVVKCGVCNEATPIKNAPPGKKYVRCPCNCLLICKVTSQRIACPRPYCKRIINLGPVHPGPLSPEPQPMGVRVICGHCKNTFLWTEFTDRTLARCPHCRKVSSIGRRYPRKRCICCFLLGLLLAVTATGLAFGTWKHARRYGGIYAAWAFVILLAVLCLGRALYWACMKVSHPVQNFS
+>DECOY_sp|Q86T03|PP4P1_HUMAN Type 1 phosphatidylinositol 4,5-bisphosphate 4-phosphatase OS=Homo sapiens OX=9606 GN=PIP4P1 PE=1 SV=1
+SFNQVPHSVKMCAWYLARGLCLVALLIVFAWAAYIGGYRRAHKWTGFALGTATVALLLGLLFCCICRKRPYRRGISSVKRCHPCRALTRDTFETWLFTNKCHGCIVRVGMPQPEPSLPGPHVPGLNIIRKCYPRPCAIRQSTVKCILLCNCPCRVYKKGPPANKIPTAENCVGCKVVHQHMKGEVNILSQCVRCTIMPASGSDPSTLPSYPPPDEGPLVAPHGEPFPPFAAGYPPASPTLGGGPGAGSGGPGVLGNGGAGGDIPESLLPSREGDAAM
+>sp|Q9NY27|PP4R2_HUMAN Serine/threonine-protein phosphatase 4 regulatory subunit 2 OS=Homo sapiens OX=9606 GN=PPP4R2 PE=1 SV=3
+MDVERLQEALKDFEKRGKKEVCPVLDQFLCHVAKTGETMIQWSQFKGYFIFKLEKVMDDFRTSAPEPRGPPNPNVEYIPFDEMKERILKIVTGFNGIPFTIQRLCELLTDPRRNYTGTDKFLRGVEKNVMVVSCVYPSSEKNNSNSLNRMNGVMFPGNSPSYTERSNINGPGTPRPLNRPKVSLSAPMTTNGLPESTDSKEANLQQNEEKNHSDSSTSESEVSSVSPLKNKHPDEDAVEAEGHEVKRLRFDKEGEVRETASQTTSSEISSVMVGETEASSSSQDKDKDSRCTRQHCTEEDEEEDEEEEEESFMTSREMIPERKNQEKESDDALTVNEETSEENNQMEESDVSQAEKDLLHSEGSENEGPVSSSSSDCRETEELVGSNSSKTGEILSESSMENDDEATEVTDEPMEQD
+>DECOY_sp|Q9NY27|PP4R2_HUMAN Serine/threonine-protein phosphatase 4 regulatory subunit 2 OS=Homo sapiens OX=9606 GN=PPP4R2 PE=1 SV=3
+DQEMPEDTVETAEDDNEMSSESLIEGTKSSNSGVLEETERCDSSSSSVPGENESGESHLLDKEAQSVDSEEMQNNEESTEENVTLADDSEKEQNKREPIMERSTMFSEEEEEEDEEEDEETCHQRTCRSDKDKDQSSSSAETEGVMVSSIESSTTQSATERVEGEKDFRLRKVEHGEAEVADEDPHKNKLPSVSSVESESTSSDSHNKEENQQLNAEKSDTSEPLGNTTMPASLSVKPRNLPRPTGPGNINSRETYSPSNGPFMVGNMRNLSNSNNKESSPYVCSVVMVNKEVGRLFKDTGTYNRRPDTLLECLRQITFPIGNFGTVIKLIREKMEDFPIYEVNPNPPGRPEPASTRFDDMVKELKFIFYGKFQSWQIMTEGTKAVHCLFQDLVPCVEKKGRKEFDKLAEQLREVDM
+>sp|Q6NUP7|PP4R4_HUMAN Serine/threonine-protein phosphatase 4 regulatory subunit 4 OS=Homo sapiens OX=9606 GN=PPP4R4 PE=1 SV=1
+MHPPPPAAAMDFSQNSLFGYMEDLQELTIIERPVRRSLKTPEEIERLTVDEDLSDIERAVYLLSAGQDVQGTSVIANLPFLMRQNPTETLRRVLPKVREALHVAGVEMQLTAAMSFLTILQDESVSIHAYTHSFLQVILLHLEHRDTGVSNAWLETLLSVIEVLPKETLRHEILNPLVSKAQLSQTVQSRLVSCKILGKLTNKFDAHTIKREILPLVKSLCQDVEYEVRSCMCRQLENIAQGIGTELTKSVVLPELIELSRDEGSSVRLAAFETLVNLLDIFDTDDRSQTILPLVKSFCEKSFKADESILISLSFHLGKLCHGLYGIFTPDQHLRFLEFYKKLCTLGLQQENGHNENQIPPQILEQEKKYISVRKNCAYNFPAMIVFVDPKNFHMELYSTFFCLCHDPEVPVRYTIAICFYEVSKLLNSGVYLIHKELITLLQDESLEVLDALIDHLPEILELMSTGGESSVQENKLSSLPDLIPALTAAEQRAAASLKWRTHEKLLQKYACLPHVISSDQIYYRFLQRMFTIMMTNNVLPVQKAASRTLCIFLRYNRKQEQRHEVIQKLIEQLGQGKSYWNRLRFLDTCEFIIEIFSKSFFCKYFFLPAIELTHDPVANVRMKLCYLLPKVKSTLKIPADKHLLQQLEMCVRKLLCQEKDKDVLAIVKRTVLELDRMEMSMDAFQKKFYEKDLLDQEKEREELLLLEMEQLEKEKQQNDGRPMSDKMFEKKRRDTKTPTQSLPKNIPISVPGPSSVTPSTSKEIKKSKLIRSQSFNNQAFHAKYGNLEKCASKSSTTGYTTSVSGLGKTSVLSLADDSFRTRNASSVPSSFSPNTPLPSTSRGTGNSVDPKSSGSKDTQPRKATLKSRKSNP
+>DECOY_sp|Q6NUP7|PP4R4_HUMAN Serine/threonine-protein phosphatase 4 regulatory subunit 4 OS=Homo sapiens OX=9606 GN=PPP4R4 PE=1 SV=1
+PNSKRSKLTAKRPQTDKSGSSKPDVSNGTGRSTSPLPTNPSFSSPVSSANRTRFSDDALSLVSTKGLGSVSTTYGTTSSKSACKELNGYKAHFAQNNFSQSRILKSKKIEKSTSPTVSSPGPVSIPINKPLSQTPTKTDRRKKEFMKDSMPRGDNQQKEKELQEMELLLLEEREKEQDLLDKEYFKKQFADMSMEMRDLELVTRKVIALVDKDKEQCLLKRVCMELQQLLHKDAPIKLTSKVKPLLYCLKMRVNAVPDHTLEIAPLFFYKCFFSKSFIEIIFECTDLFRLRNWYSKGQGLQEILKQIVEHRQEQKRNYRLFICLTRSAAKQVPLVNNTMMITFMRQLFRYYIQDSSIVHPLCAYKQLLKEHTRWKLSAAARQEAATLAPILDPLSSLKNEQVSSEGGTSMLELIEPLHDILADLVELSEDQLLTILEKHILYVGSNLLKSVEYFCIAITYRVPVEPDHCLCFFTSYLEMHFNKPDVFVIMAPFNYACNKRVSIYKKEQELIQPPIQNENHGNEQQLGLTCLKKYFELFRLHQDPTFIGYLGHCLKGLHFSLSILISEDAKFSKECFSKVLPLITQSRDDTDFIDLLNVLTEFAALRVSSGEDRSLEILEPLVVSKTLETGIGQAINELQRCMCSRVEYEVDQCLSKVLPLIERKITHADFKNTLKGLIKCSVLRSQVTQSLQAKSVLPNLIEHRLTEKPLVEIVSLLTELWANSVGTDRHELHLLIVQLFSHTYAHISVSEDQLITLFSMAATLQMEVGAVHLAERVKPLVRRLTETPNQRMLFPLNAIVSTGQVDQGASLLYVAREIDSLDEDVTLREIEEPTKLSRRVPREIITLEQLDEMYGFLSNQSFDMAAAPPPPHM
+>sp|E7EU14|PP5D1_HUMAN Protein PPP5D1 OS=Homo sapiens OX=9606 GN=PPP5D1 PE=2 SV=2
+MAEMRAWRPLVRPSLQCVKLGRATARWWWVVKVKPHDKDAKMKYQECNKIVKQKAFERAIAGDEHKRSVVDSLDIESMTIEGEYSGPKLEDDKVTITFMKGLMQWYKDQKKLHQKCAYQGLALSPRLKCSGTITAHCSLNLLGPRDPPTSASQVAVTEGMHHHTWLIFLFL
+>DECOY_sp|E7EU14|PP5D1_HUMAN Protein PPP5D1 OS=Homo sapiens OX=9606 GN=PPP5D1 PE=2 SV=2
+LFLFILWTHHHMGETVAVQSASTPPDRPGLLNLSCHATITGSCKLRPSLALGQYACKQHLKKQDKYWQMLGKMFTITVKDDELKPGSYEGEITMSEIDLSDVVSRKHEDGAIAREFAKQKVIKNCEQYKMKADKDHPKVKVVWWWRATARGLKVCQLSPRVLPRWARMEAM
+>sp|P11117|PPAL_HUMAN Lysosomal acid phosphatase OS=Homo sapiens OX=9606 GN=ACP2 PE=1 SV=3
+MAGKRSGWSRAALLQLLLGVNLVVMPPTRARSLRFVTLLYRHGDRSPVKTYPKDPYQEEEWPQGFGQLTKEGMLQHWELGQALRQRYHGFLNTSYHRQEVYVRSTDFDRTLMSAEANLAGLFPPNGMQRFNPNISWQPIPVHTVPITEDRLLKFPLGPCPRYEQLQNETRQTPEYQNESSRNAQFLDMVANETGLTDLTLETVWNVYDTLFCEQTHGLRLPPWASPQTMQRLSRLKDFSFRFLFGIYQQAEKARLQGGVLLAQIRKNLTLMATTSQLPKLLVYSAHDTTLVALQMALDVYNGEQAPYASCHIFELYQEDSGNFSVEMYFRNESDKAPWPLSLPGCPHRCPLQDFLRLTEPVVPKDWQQECQLASGPADTEVIVALAVCGSILFLLIVLLLTVLFRMQAQPPGYRHVADGEDHA
+>DECOY_sp|P11117|PPAL_HUMAN Lysosomal acid phosphatase OS=Homo sapiens OX=9606 GN=ACP2 PE=1 SV=3
+AHDEGDAVHRYGPPQAQMRFLVTLLLVILLFLISGCVALAVIVETDAPGSALQCEQQWDKPVVPETLRLFDQLPCRHPCGPLSLPWPAKDSENRFYMEVSFNGSDEQYLEFIHCSAYPAQEGNYVDLAMQLAVLTTDHASYVLLKPLQSTTAMLTLNKRIQALLVGGQLRAKEAQQYIGFLFRFSFDKLRSLRQMTQPSAWPPLRLGHTQECFLTDYVNWVTELTLDTLGTENAVMDLFQANRSSENQYEPTQRTENQLQEYRPCPGLPFKLLRDETIPVTHVPIPQWSINPNFRQMGNPPFLGALNAEASMLTRDFDTSRVYVEQRHYSTNLFGHYRQRLAQGLEWHQLMGEKTLQGFGQPWEEEQYPDKPYTKVPSRDGHRYLLTVFRLSRARTPPMVVLNVGLLLQLLAARSWGSRKGAM
+>sp|P15309|PPAP_HUMAN Prostatic acid phosphatase OS=Homo sapiens OX=9606 GN=ACPP PE=1 SV=3
+MRAAPLLLARAASLSLGFLFLLFFWLDRSVLAKELKFVTLVFRHGDRSPIDTFPTDPIKESSWPQGFGQLTQLGMEQHYELGEYIRKRYRKFLNESYKHEQVYIRSTDVDRTLMSAMTNLAALFPPEGVSIWNPILLWQPIPVHTVPLSEDQLLYLPFRNCPRFQELESETLKSEEFQKRLHPYKDFIATLGKLSGLHGQDLFGIWSKVYDPLYCESVHNFTLPSWATEDTMTKLRELSELSLLSLYGIHKQKEKSRLQGGVLVNEILNHMKRATQIPSYKKLIMYSAHDTTVSGLQMALDVYNGLLPPYASCHLTELYFEKGEYFVEMYYRNETQHEPYPLMLPGCSPSCPLERFAELVGPVIPQDWSTECMTTNSHQGTEDSTD
+>DECOY_sp|P15309|PPAP_HUMAN Prostatic acid phosphatase OS=Homo sapiens OX=9606 GN=ACPP PE=1 SV=3
+DTSDETGQHSNTTMCETSWDQPIVPGVLEAFRELPCSPSCGPLMLPYPEHQTENRYYMEVFYEGKEFYLETLHCSAYPPLLGNYVDLAMQLGSVTTDHASYMILKKYSPIQTARKMHNLIENVLVGGQLRSKEKQKHIGYLSLLSLESLERLKTMTDETAWSPLTFNHVSECYLPDYVKSWIGFLDQGHLGSLKGLTAIFDKYPHLRKQFEESKLTESELEQFRPCNRFPLYLLQDESLPVTHVPIPQWLLIPNWISVGEPPFLAALNTMASMLTRDVDTSRIYVQEHKYSENLFKRYRKRIYEGLEYHQEMGLQTLQGFGQPWSSEKIPDTPFTDIPSRDGHRFVLTVFKLEKALVSRDLWFFLLFLFGLSLSAARALLLPAARM
+>sp|Q07869|PPARA_HUMAN Peroxisome proliferator-activated receptor alpha OS=Homo sapiens OX=9606 GN=PPARA PE=1 SV=2
+MVDTESPLCPLSPLEAGDLESPLSEEFLQEMGNIQEISQSIGEDSSGSFGFTEYQYLGSCPGSDGSVITDTLSPASSPSSVTYPVVPGSVDESPSGALNIECRICGDKASGYHYGVHACEGCKGFFRRTIRLKLVYDKCDRSCKIQKKNRNKCQYCRFHKCLSVGMSHNAIRFGRMPRSEKAKLKAEILTCEHDIEDSETADLKSLAKRIYEAYLKNFNMNKVKARVILSGKASNNPPFVIHDMETLCMAEKTLVAKLVANGIQNKEAEVRIFHCCQCTSVETVTELTEFAKAIPGFANLDLNDQVTLLKYGVYEAIFAMLSSVMNKDGMLVAYGNGFITREFLKSLRKPFCDIMEPKFDFAMKFNALELDDSDISLFVAAIICCGDRPGLLNVGHIEKMQEGIVHVLRLHLQSNHPDDIFLFPKLLQKMADLRQLVTEHAQLVQIIKKTESDAALHPLLQEIYRDMY
+>DECOY_sp|Q07869|PPARA_HUMAN Peroxisome proliferator-activated receptor alpha OS=Homo sapiens OX=9606 GN=PPARA PE=1 SV=2
+YMDRYIEQLLPHLAADSETKKIIQVLQAHETVLQRLDAMKQLLKPFLFIDDPHNSQLHLRLVHVIGEQMKEIHGVNLLGPRDGCCIIAAVFLSIDSDDLELANFKMAFDFKPEMIDCFPKRLSKLFERTIFGNGYAVLMGDKNMVSSLMAFIAEYVGYKLLTVQDNLDLNAFGPIAKAFETLETVTEVSTCQCCHFIRVEAEKNQIGNAVLKAVLTKEAMCLTEMDHIVFPPNNSAKGSLIVRAKVKNMNFNKLYAEYIRKALSKLDATESDEIDHECTLIEAKLKAKESRPMRGFRIANHSMGVSLCKHFRCYQCKNRNKKQIKCSRDCKDYVLKLRITRRFFGKCGECAHVGYHYGSAKDGCIRCEINLAGSPSEDVSGPVVPYTVSSPSSAPSLTDTIVSGDSGPCSGLYQYETFGFSGSSDEGISQSIEQINGMEQLFEESLPSELDGAELPSLPCLPSETDVM
+>sp|P09923|PPBI_HUMAN Intestinal-type alkaline phosphatase OS=Homo sapiens OX=9606 GN=ALPI PE=1 SV=2
+MQGPWVLLLLGLRLQLSLGVIPAEEENPAFWNRQAAEALDAAKKLQPIQKVAKNLILFLGDGLGVPTVTATRILKGQKNGKLGPETPLAMDRFPYLALSKTYNVDRQVPDSAATATAYLCGVKANFQTIGLSAAARFNQCNTTRGNEVISVMNRAKQAGKSVGVVTTTRVQHASPAGTYAHTVNRNWYSDADMPASARQEGCQDIATQLISNMDIDVILGGGRKYMFPMGTPDPEYPADASQNGIRLDGKNLVQEWLAKHQGAWYVWNRTELMQASLDQSVTHLMGLFEPGDTKYEIHRDPTLDPSLMEMTEAALRLLSRNPRGFYLFVEGGRIDHGHHEGVAYQALTEAVMFDDAIERAGQLTSEEDTLTLVTADHSHVFSFGGYTLRGSSIFGLAPSKAQDSKAYTSILYGNGPGYVFNSGVRPDVNESESGSPDYQQQAAVPLSSETHGGEDVAVFARGPQAHLVHGVQEQSFVAHVMAFAACLEPYTACDLAPPACTTDAAHPVAASLPLLAGTLLLLGASAAP
+>DECOY_sp|P09923|PPBI_HUMAN Intestinal-type alkaline phosphatase OS=Homo sapiens OX=9606 GN=ALPI PE=1 SV=2
+PAASAGLLLLTGALLPLSAAVPHAADTTCAPPALDCATYPELCAAFAMVHAVFSQEQVGHVLHAQPGRAFVAVDEGGHTESSLPVAAQQQYDPSGSESENVDPRVGSNFVYGPGNGYLISTYAKSDQAKSPALGFISSGRLTYGGFSFVHSHDATVLTLTDEESTLQGAREIADDFMVAETLAQYAVGEHHGHDIRGGEVFLYFGRPNRSLLRLAAETMEMLSPDLTPDRHIEYKTDGPEFLGMLHTVSQDLSAQMLETRNWVYWAGQHKALWEQVLNKGDLRIGNQSADAPYEPDPTGMPFMYKRGGGLIVDIDMNSILQTAIDQCGEQRASAPMDADSYWNRNVTHAYTGAPSAHQVRTTTVVGVSKGAQKARNMVSIVENGRTTNCQNFRAAASLGITQFNAKVGCLYATATAASDPVQRDVNYTKSLALYPFRDMALPTEPGLKGNKQGKLIRTATVTPVGLGDGLFLILNKAVKQIPQLKKAADLAEAAQRNWFAPNEEEAPIVGLSLQLRLGLLLLVWPGQM
+>sp|P10696|PPBN_HUMAN Alkaline phosphatase, placental-like OS=Homo sapiens OX=9606 GN=ALPPL2 PE=2 SV=4
+MQGPWVLLLLGLRLQLSLGIIPVEEENPDFWNRQAAEALGAAKKLQPAQTAAKNLIIFLGDGMGVSTVTAARILKGQKKDKLGPETFLAMDRFPYVALSKTYSVDKHVPDSGATATAYLCGVKGNFQTIGLSAAARFNQCNTTRGNEVISVMNRAKKAGKSVGVVTTTRVQHASPAGAYAHTVNRNWYSDADVPASARQEGCQDIATQLISNMDIDVILGGGRKYMFPMGTPDPEYPDDYSQGGTRLDGKNLVQEWLAKHQGARYVWNRTELLQASLDPSVTHLMGLFEPGDMKYEIHRDSTLDPSLMEMTEAALLLLSRNPRGFFLFVEGGRIDHGHHESRAYRALTETIMFDDAIERAGQLTSEEDTLSLVTADHSHVFSFGGYPLRGSSIFGLAPGKARDRKAYTVLLYGNGPGYVLKDGARPDVTESESGSPEYRQQSAVPLDGETHAGEDVAVFARGPQAHLVHGVQEQTFIAHVMAFAACLEPYTACDLAPRAGTTDAAHPGPSVVPALLPLLAGTLLLLGTATAP
+>DECOY_sp|P10696|PPBN_HUMAN Alkaline phosphatase, placental-like OS=Homo sapiens OX=9606 GN=ALPPL2 PE=2 SV=4
+PATATGLLLLTGALLPLLAPVVSPGPHAADTTGARPALDCATYPELCAAFAMVHAIFTQEQVGHVLHAQPGRAFVAVDEGAHTEGDLPVASQQRYEPSGSESETVDPRAGDKLVYGPGNGYLLVTYAKRDRAKGPALGFISSGRLPYGGFSFVHSHDATVLSLTDEESTLQGAREIADDFMITETLARYARSEHHGHDIRGGEVFLFFGRPNRSLLLLAAETMEMLSPDLTSDRHIEYKMDGPEFLGMLHTVSPDLSAQLLETRNWVYRAGQHKALWEQVLNKGDLRTGGQSYDDPYEPDPTGMPFMYKRGGGLIVDIDMNSILQTAIDQCGEQRASAPVDADSYWNRNVTHAYAGAPSAHQVRTTTVVGVSKGAKKARNMVSIVENGRTTNCQNFRAAASLGITQFNGKVGCLYATATAGSDPVHKDVSYTKSLAVYPFRDMALFTEPGLKDKKQGKLIRAATVTSVGMGDGLFIILNKAATQAPQLKKAAGLAEAAQRNWFDPNEEEVPIIGLSLQLRLGLLLLVWPGQM
+>sp|P48147|PPCE_HUMAN Prolyl endopeptidase OS=Homo sapiens OX=9606 GN=PREP PE=1 SV=2
+MLSLQYPDVYRDETAVQDYHGHKICDPYAWLEDPDSEQTKAFVEAQNKITVPFLEQCPIRGLYKERMTELYDYPKYSCHFKKGKRYFYFYNTGLQNQRVLYVQDSLEGEARVFLDPNILSDDGTVALRGYAFSEDGEYFAYGLSASGSDWVTIKFMKVDGAKELPDVLERVKFSCMAWTHDGKGMFYNSYPQQDGKSDGTETSTNLHQKLYYHVLGTDQSEDILCAEFPDEPKWMGGAELSDDGRYVLLSIREGCDPVNRLWYCDLQQESSGIAGILKWVKLIDNFEGEYDYVTNEGTVFTFKTNRQSPNYRVINIDFRDPEESKWKVLVPEHEKDVLEWIACVRSNFLVLCYLHDVKNILQLHDLTTGALLKTFPLDVGSIVGYSGQKKDTEIFYQFTSFLSPGIIYHCDLTKEELEPRVFREVTVKGIDASDYQTVQIFYPSKDGTKIPMFIVHKKGIKLDGSHPAFLYGYGGFNISITPNYSVSRLIFVRHMGGILAVANIRGGGEYGETWHKGGILANKQNCFDDFQCAAEYLIKEGYTSPKRLTINGGSNGGLLVAACANQRPDLFGCVIAQVGVMDMLKFHKYTIGHAWTTDYGCSDSKQHFEWLVKYSPLHNVKLPEADDIQYPSMLLLTADHDDRVVPLHSLKFIATLQYIVGRSRKQSNPLLIHVDTKAGHGAGKPTAKVIEEVSDMFAFIARCLNVDWIP
+>DECOY_sp|P48147|PPCE_HUMAN Prolyl endopeptidase OS=Homo sapiens OX=9606 GN=PREP PE=1 SV=2
+PIWDVNLCRAIFAFMDSVEEIVKATPKGAGHGAKTDVHILLPNSQKRSRGVIYQLTAIFKLSHLPVVRDDHDATLLLMSPYQIDDAEPLKVNHLPSYKVLWEFHQKSDSCGYDTTWAHGITYKHFKLMDMVGVQAIVCGFLDPRQNACAAVLLGGNSGGNITLRKPSTYGEKILYEAACQFDDFCNQKNALIGGKHWTEGYEGGGRINAVALIGGMHRVFILRSVSYNPTISINFGGYGYLFAPHSGDLKIGKKHVIFMPIKTGDKSPYFIQVTQYDSADIGKVTVERFVRPELEEKTLDCHYIIGPSLFSTFQYFIETDKKQGSYGVISGVDLPFTKLLAGTTLDHLQLINKVDHLYCLVLFNSRVCAIWELVDKEHEPVLVKWKSEEPDRFDINIVRYNPSQRNTKFTFVTGENTVYDYEGEFNDILKVWKLIGAIGSSEQQLDCYWLRNVPDCGERISLLVYRGDDSLEAGGMWKPEDPFEACLIDESQDTGLVHYYLKQHLNTSTETGDSKGDQQPYSNYFMGKGDHTWAMCSFKVRELVDPLEKAGDVKMFKITVWDSGSASLGYAFYEGDESFAYGRLAVTGDDSLINPDLFVRAEGELSDQVYLVRQNQLGTNYFYFYRKGKKFHCSYKPYDYLETMREKYLGRIPCQELFPVTIKNQAEVFAKTQESDPDELWAYPDCIKHGHYDQVATEDRYVDPYQLSLM
+>sp|Q9UKL6|PPCT_HUMAN Phosphatidylcholine transfer protein OS=Homo sapiens OX=9606 GN=PCTP PE=1 SV=1
+MELAAGSFSEEQFWEACAELQQPALAGADWQLLVETSGISIYRLLDKKTGLYEYKVFGVLEDCSPTLLADIYMDSDYRKQWDQYVKELYEQECNGETVVYWEVKYPFPMSNRDYVYLRQRRDLDMEGRKIHVILARSTSMPQLGERSGVIRVKQYKQSLAIESDGKKGSKVFMYYFDNPGGQIPSWLINWAAKNGVPNFLKDMARACQNYLKKT
+>DECOY_sp|Q9UKL6|PPCT_HUMAN Phosphatidylcholine transfer protein OS=Homo sapiens OX=9606 GN=PCTP PE=1 SV=1
+TKKLYNQCARAMDKLFNPVGNKAAWNILWSPIQGGPNDFYYMFVKSGKKGDSEIALSQKYQKVRIVGSREGLQPMSTSRALIVHIKRGEMDLDRRQRLYVYDRNSMPFPYKVEWYVVTEGNCEQEYLEKVYQDWQKRYDSDMYIDALLTPSCDELVGFVKYEYLGTKKDLLRYISIGSTEVLLQWDAGALAPQQLEACAEWFQEESFSGAALEM
+>sp|P62937|PPIA_HUMAN Peptidyl-prolyl cis-trans isomerase A OS=Homo sapiens OX=9606 GN=PPIA PE=1 SV=2
+MVNPTVFFDIAVDGEPLGRVSFELFADKVPKTAENFRALSTGEKGFGYKGSCFHRIIPGFMCQGGDFTRHNGTGGKSIYGEKFEDENFILKHTGPGILSMANAGPNTNGSQFFICTAKTEWLDGKHVVFGKVKEGMNIVEAMERFGSRNGKTSKKITIADCGQLE
+>DECOY_sp|P62937|PPIA_HUMAN Peptidyl-prolyl cis-trans isomerase A OS=Homo sapiens OX=9606 GN=PPIA PE=1 SV=2
+ELQGCDAITIKKSTKGNRSGFREMAEVINMGEKVKGFVVHKGDLWETKATCIFFQSGNTNPGANAMSLIGPGTHKLIFNEDEFKEGYISKGGTGNHRTFDGGQCMFGPIIRHFCSGKYGFGKEGTSLARFNEATKPVKDAFLEFSVRGLPEGDVAIDFFVTPNVM
+>sp|O15355|PPM1G_HUMAN Protein phosphatase 1G OS=Homo sapiens OX=9606 GN=PPM1G PE=1 SV=1
+MGAYLSQPNTVKCSGDGVGAPRLPLPYGFSAMQGWRVSMEDAHNCIPELDSETAMFSVYDGHGGEEVALYCAKYLPDIIKDQKAYKEGKLQKALEDAFLAIDAKLTTEEVIKELAQIAGRPTEDEDEKEKVADEDDVDNEEAALLHEEATMTIEELLTRYGQNCHKGPPHSKSGGGTGEEPGSQGLNGEAGPEDSTRETPSQENGPTAKAYTGFSSNSERGTEAGQVGEPGIPTGEAGPSCSSASDKLPRVAKSKFFEDSEDESDEAEEEEEDSEECSEEEDGYSSEEAENEEDEDDTEEAEEDDEEEEEEMMVPGMEGKEEPGSDSGTTAVVALIRGKQLIVANAGDSRCVVSEAGKALDMSYDHKPEDEVELARIKNAGGKVTMDGRVNGGLNLSRAIGDHFYKRNKNLPPEEQMISALPDIKVLTLTDDHEFMVIACDGIWNVMSSQEVVDFIQSKISQRDENGELRLLSSIVEELLDQCLAPDTSGDGTGCDNMTCIIICFKPRNTAELQPESGKRKLEEVLSTEGAEENGNSDKKKKAKRD
+>DECOY_sp|O15355|PPM1G_HUMAN Protein phosphatase 1G OS=Homo sapiens OX=9606 GN=PPM1G PE=1 SV=1
+DRKAKKKKDSNGNEEAGETSLVEELKRKGSEPQLEATNRPKFCIIICTMNDCGTGDGSTDPALCQDLLEEVISSLLRLEGNEDRQSIKSQIFDVVEQSSMVNWIGDCAIVMFEHDDTLTLVKIDPLASIMQEEPPLNKNRKYFHDGIARSLNLGGNVRGDMTVKGGANKIRALEVEDEPKHDYSMDLAKGAESVVCRSDGANAVILQKGRILAVVATTGSDSGPEEKGEMGPVMMEEEEEEDDEEAEETDDEDEENEAEESSYGDEEESCEESDEEEEEAEDSEDESDEFFKSKAVRPLKDSASSCSPGAEGTPIGPEGVQGAETGRESNSSFGTYAKATPGNEQSPTERTSDEPGAEGNLGQSGPEEGTGGGSKSHPPGKHCNQGYRTLLEEITMTAEEHLLAAEENDVDDEDAVKEKEDEDETPRGAIQALEKIVEETTLKADIALFADELAKQLKGEKYAKQDKIIDPLYKACYLAVEEGGHGDYVSFMATESDLEPICNHADEMSVRWGQMASFGYPLPLRPAGVGDGSCKVTNPQSLYAGM
+>sp|Q96MI6|PPM1M_HUMAN Protein phosphatase 1M OS=Homo sapiens OX=9606 GN=PPM1M PE=2 SV=1
+MHLNGRCICPSDPQFVEEKGIRAEDLVIGALESAFQECDEVIGRELEASGQMGGCTALVAVSLQGKLYMANAGDSRAILVRRDEIRPLSFEFTPETERQRIQQLAFVYPELLAGEFTRLEFPRRLKGDDLGQKVLFRDHHMSGWSYKRVEKSDLKYPLIHGQGRQARLLGTLAVSRGLGDHQLRVLDTNIQLKPFLLSVPQVTVLDVDQLELQEDDVVVMATDGLWDVLSNEQVAWLVRSFLPGNQEDPHRYCSCWGPAWAWVGASSKPK
+>DECOY_sp|Q96MI6|PPM1M_HUMAN Protein phosphatase 1M OS=Homo sapiens OX=9606 GN=PPM1M PE=2 SV=1
+KPKSSAGVWAWAPGWCSCYRHPDEQNGPLFSRVLWAVQENSLVDWLGDTAMVVVDDEQLELQDVDLVTVQPVSLLFPKLQINTDLVRLQHDGLGRSVALTGLLRAQRGQGHILPYKLDSKEVRKYSWGSMHHDRFLVKQGLDDGKLRRPFELRTFEGALLEPYVFALQQIRQRETEPTFEFSLPRIEDRRVLIARSDGANAMYLKGQLSVAVLATCGGMQGSAELERGIVEDCEQFASELAGIVLDEARIGKEEVFQPDSPCICRGNLHM
+>sp|Q9UD71|PPR1B_HUMAN Protein phosphatase 1 regulatory subunit 1B OS=Homo sapiens OX=9606 GN=PPP1R1B PE=1 SV=2
+MDPKDRKKIQFSVPAPPSQLDPRQVEMIRRRRPTPAMLFRLSEHSSPEEEASPHQRASGEGHHLKSKRPNPCAYTPPSLKAVQRIAESHLQSISNLNENQASEEEDELGELRELGYPREEDEEEEEDDEEEEEEEDSQAEVLKVIRQSAGQKTTCGQGLEGPWERPPPLDESERDGGSEDQVEDPALSEPGEEPQRPSPSEPGT
+>DECOY_sp|Q9UD71|PPR1B_HUMAN Protein phosphatase 1 regulatory subunit 1B OS=Homo sapiens OX=9606 GN=PPP1R1B PE=1 SV=2
+TGPESPSPRQPEEGPESLAPDEVQDESGGDRESEDLPPPREWPGELGQGCTTKQGASQRIVKLVEAQSDEEEEEEEDDEEEEEDEERPYGLERLEGLEDEEESAQNENLNSISQLHSEAIRQVAKLSPPTYACPNPRKSKLHHGEGSARQHPSAEEEPSSHESLRFLMAPTPRRRRIMEVQRPDLQSPPAPVSFQIKKRDKPDM
+>sp|Q8WVI7|PPR1C_HUMAN Protein phosphatase 1 regulatory subunit 1C OS=Homo sapiens OX=9606 GN=PPP1R1C PE=1 SV=1
+MEPNSPKKIQFAVPVFQSQIAPEAAEQIRKRRPTPASLVILNEHNPPEIDDKRGPNTQGELQNASPKQRKQSVYTPPTIKGVKHLKGQNESAFPEEEEGTNEREEQRDH
+>DECOY_sp|Q8WVI7|PPR1C_HUMAN Protein phosphatase 1 regulatory subunit 1C OS=Homo sapiens OX=9606 GN=PPP1R1C PE=1 SV=1
+HDRQEERENTGEEEEPFASENQGKLHKVGKITPPTYVSQKRQKPSANQLEGQTNPGRKDDIEPPNHENLIVLSAPTPRRKRIQEAAEPAIQSQFVPVAFQIKKPSNPEM
+>sp|Q5R3F8|PPR29_HUMAN Protein phosphatase 1 regulatory subunit 29 OS=Homo sapiens OX=9606 GN=ELFN2 PE=1 SV=1
+MLRLGLCAAALLCVCRPGAVRADCWLIEGDKGYVWLAICSQNQPPYETIPQHINSTVHDLRLNENKLKAVLYSSLNRFGNLTDLNLTKNEISYIEDGAFLGQSSLQVLQLGYNKLSNLTEGMLRGMSRLQFLFVQHNLIEVVTPTAFSECPSLISIDLSSNRLSRLDGATFASLASLMVCELAGNPFNCECDLFGFLAWLVVFNNVTKNYDRLQCESPREFAGYPLLVPRPYHSLNAITVLQAKCRNGSLPARPVSHPTPYSTDAQREPDENSGFNPDEILSVEPPASSTTDASAGPAIKLHHVTFTSATLVVIIPHPYSKMYILVQYNNSYFSDVMTLKNKKEIVTLDKLRAHTEYTFCVTSLRNSRRFNHTCLTFTTRDPVPGDLAPSTSTTTHYIMTILGCLFGMVIVLGAVYYCLRKRRMQEEKQKSVNVKKTILEMRYGADVDAGSIVHAAQKLGEPPVLPVSRMASIPSMIGEKLPTAKGLEAGLDTPKVATKGNYIEVRTGAGGDGLARPEDDLPDLENGQGSAAEISTIAKEVDKVNQIINNCIDALKLDSASFLGGGSSSGDPELAFECQSLPAAAAASSATGPGALERPSFLSPPYKESSHHPLQRQLSADAAVTRKTCSVSSSGSIKSAKVFSLDVPDHPAATGLAKGDSKYIEKGSPLNSPLDRLPLVPAGSGGGSGGGGGIHHLEVKPAYHCSEHRHSFPALYYEEGADSLSQRVSFLKPLTRSKRDSTYSQLSPRHYYSGYSSSPEYSSESTHKIWERFRPYKKHHREEVYMAAGHALRKKVQFAKDEDLHDILDYWKGVSAQQKL
+>DECOY_sp|Q5R3F8|PPR29_HUMAN Protein phosphatase 1 regulatory subunit 29 OS=Homo sapiens OX=9606 GN=ELFN2 PE=1 SV=1
+LKQQASVGKWYDLIDHLDEDKAFQVKKRLAHGAAMYVEERHHKKYPRFREWIKHTSESSYEPSSSYGSYYHRPSLQSYTSDRKSRTLPKLFSVRQSLSDAGEEYYLAPFSHRHESCHYAPKVELHHIGGGGGSGGGSGAPVLPLRDLPSNLPSGKEIYKSDGKALGTAAPHDPVDLSFVKASKISGSSSVSCTKRTVAADASLQRQLPHHSSEKYPPSLFSPRELAGPGTASSAAAAAPLSQCEFALEPDGSSSGGGLFSASDLKLADICNNIIQNVKDVEKAITSIEAASGQGNELDPLDDEPRALGDGGAGTRVEIYNGKTAVKPTDLGAELGKATPLKEGIMSPISAMRSVPLVPPEGLKQAAHVISGADVDAGYRMELITKKVNVSKQKEEQMRRKRLCYYVAGLVIVMGFLCGLITMIYHTTTSTSPALDGPVPDRTTFTLCTHNFRRSNRLSTVCFTYETHARLKDLTVIEKKNKLTMVDSFYSNNYQVLIYMKSYPHPIIVVLTASTFTVHHLKIAPGASADTTSSAPPEVSLIEDPNFGSNEDPERQADTSYPTPHSVPRAPLSGNRCKAQLVTIANLSHYPRPVLLPYGAFERPSECQLRDYNKTVNNFVVLWALFGFLDCECNFPNGALECVMLSALSAFTAGDLRSLRNSSLDISILSPCESFATPTVVEILNHQVFLFQLRSMGRLMGETLNSLKNYGLQLVQLSSQGLFAGDEIYSIENKTLNLDTLNGFRNLSSYLVAKLKNENLRLDHVTSNIHQPITEYPPQNQSCIALWVYGKDGEILWCDARVAGPRCVCLLAAACLGLRLM
+>sp|Q8TAP8|PPR35_HUMAN Protein phosphatase 1 regulatory subunit 35 OS=Homo sapiens OX=9606 GN=PPP1R35 PE=1 SV=1
+MMMGCGESELKSADGEEAAAVPGPPPEPQVPQLRAPVPEPGLDLSLSPRPDSPQPRHGSPGRRKGRAERRGAARQRRQVRFRLTPPSPVRSEPQPAVPQELEMPVLKSSLALGLELRAAAGSHFDAAKAVEEQLRKSFQIRCGLEESVSEGLNVPRSKRLFRDLVSLQVPEEQVLNAALREKLALLPPQARAPHPKEPPGPGPDMTILCDPETLFYESPHLTLDGLPPLRLQLRPRPSEDTFLMHRTLRRWEA
+>DECOY_sp|Q8TAP8|PPR35_HUMAN Protein phosphatase 1 regulatory subunit 35 OS=Homo sapiens OX=9606 GN=PPP1R35 PE=1 SV=1
+AEWRRLTRHMLFTDESPRPRLQLRLPPLGDLTLHPSEYFLTEPDCLITMDPGPGPPEKPHPARAQPPLLALKERLAANLVQEEPVQLSVLDRFLRKSRPVNLGESVSEELGCRIQFSKRLQEEVAKAADFHSGAAARLELGLALSSKLVPMELEQPVAPQPESRVPSPPTLRFRVQRRQRAAGRREARGKRRGPSGHRPQPSDPRPSLSLDLGPEPVPARLQPVQPEPPPGPVAAAEEGDASKLESEGCGMMM
+>sp|O95685|PPR3D_HUMAN Protein phosphatase 1 regulatory subunit 3D OS=Homo sapiens OX=9606 GN=PPP1R3D PE=1 SV=1
+MSRGPSSAVLPSALGSRKLGPRSLSCLSDLDGGVALEPRACRPPGSPGRAPPPTPAPSGCDPRLRPIILRRARSLPSSPERRQKAAGAPGAACRPGCSQKLRVRFADALGLELAQVKVFNAGDDPSVPLHVLSRLAINSDLCCSSQDLEFTLHCLVPDFPPPVEAADFGERLQRQLVCLERVTCSDLGISGTVRVCNVAFEKQVAVRYTFSGWRSTHEAVARWRGPAGPEGTEDVFTFGFPVPPFLLELGSRVHFAVRYQVAGAEYWDNNDHRDYSLTCRNHALHMPRGECEESWIHFI
+>DECOY_sp|O95685|PPR3D_HUMAN Protein phosphatase 1 regulatory subunit 3D OS=Homo sapiens OX=9606 GN=PPP1R3D PE=1 SV=1
+IFHIWSEECEGRPMHLAHNRCTLSYDRHDNNDWYEAGAVQYRVAFHVRSGLELLFPPVPFGFTFVDETGEPGAPGRWRAVAEHTSRWGSFTYRVAVQKEFAVNCVRVTGSIGLDSCTVRELCVLQRQLREGFDAAEVPPPFDPVLCHLTFELDQSSCCLDSNIALRSLVHLPVSPDDGANFVKVQALELGLADAFRVRLKQSCGPRCAAGPAGAAKQRREPSSPLSRARRLIIPRLRPDCGSPAPTPPPARGPSGPPRCARPELAVGGDLDSLCSLSRPGLKRSGLASPLVASSPGRSM
+>sp|P50897|PPT1_HUMAN Palmitoyl-protein thioesterase 1 OS=Homo sapiens OX=9606 GN=PPT1 PE=1 SV=1
+MASPGCLWLLAVALLPWTCASRALQHLDPPAPLPLVIWHGMGDSCCNPLSMGAIKKMVEKKIPGIYVLSLEIGKTLMEDVENSFFLNVNSQVTTVCQALAKDPKLQQGYNAMGFSQGGQFLRAVAQRCPSPPMINLISVGGQHQGVFGLPRCPGESSHICDFIRKTLNAGAYSKVVQERLVQAEYWHDPIKEDVYRNHSIFLADINQERGINESYKKNLMALKKFVMVKFLNDSIVDPVDSEWFGFYRSGQAKETIPLQETSLYTQDRLGLKEMDNAGQLVFLATEGDHLQLSEEWFYAHIIPFLG
+>DECOY_sp|P50897|PPT1_HUMAN Palmitoyl-protein thioesterase 1 OS=Homo sapiens OX=9606 GN=PPT1 PE=1 SV=1
+GLFPIIHAYFWEESLQLHDGETALFVLQGANDMEKLGLRDQTYLSTEQLPITEKAQGSRYFGFWESDVPDVISDNLFKVMVFKKLAMLNKKYSENIGREQNIDALFISHNRYVDEKIPDHWYEAQVLREQVVKSYAGANLTKRIFDCIHSSEGPCRPLGFVGQHQGGVSILNIMPPSPCRQAVARLFQGGQSFGMANYGQQLKPDKALAQCVTTVQSNVNLFFSNEVDEMLTKGIELSLVYIGPIKKEVMKKIAGMSLPNCCSDGMGHWIVLPLPAPPDLHQLARSACTWPLLAVALLWLCGPSAM
+>sp|P80108|PHLD_HUMAN Phosphatidylinositol-glycan-specific phospholipase D OS=Homo sapiens OX=9606 GN=GPLD1 PE=1 SV=3
+MSAFRLWPGLLIMLGSLCHRGSPCGLSTHVEIGHRALEFLQLHNGRVNYRELLLEHQDAYQAGIVFPDCFYPSICKGGKFHDVSESTHWTPFLNASVHYIRENYPLPWEKDTEKLVAFLFGITSHMAADVSWHSLGLEQGFLRTMGAIDFHGSYSEAHSAGDFGGDVLSQFEFNFNYLARRWYVPVKDLLGIYEKLYGRKVITENVIVDCSHIQFLEMYGEMLAVSKLYPTYSTKSPFLVEQFQEYFLGGLDDMAFWSTNIYHLTSFMLENGTSDCNLPENPLFIACGGQQNHTQGSKMQKNDFHRNLTTSLTESVDRNINYTERGVFFSVNSWTPDSMSFIYKALERNIRTMFIGGSQLSQKHVSSPLASYFLSFPYARLGWAMTSADLNQDGHGDLVVGAPGYSRPGHIHIGRVYLIYGNDLGLPPVDLDLDKEAHRILEGFQPSGRFGSALAVLDFNVDGVPDLAVGAPSVGSEQLTYKGAVYVYFGSKQGGMSSSPNITISCQDIYCNLGWTLLAADVNGDSEPDLVIGSPFAPGGGKQKGIVAAFYSGPSLSDKEKLNVEAANWTVRGEEDFSWFGYSLHGVTVDNRTLLLVGSPTWKNASRLGHLLHIRDEKKSLGRVYGYFPPNGQSWFTISGDKAMGKLGTSLSSGHVLMNGTLKQVLLVGAPTYDDVSKVAFLTVTLHQGGATRMYALTSDAQPLLLSTFSGDRRFSRFGGVLHLSDLDDDGLDEIIMAAPLRIADVTSGLIGGEDGRVYVYNGKETTLGDMTGKCKSWITPCPEEKAQYVLISPEASSRFGSSLITVRSKAKNQVVIAAGRSSLGARLSGALHVYSLGSD
+>DECOY_sp|P80108|PHLD_HUMAN Phosphatidylinositol-glycan-specific phospholipase D OS=Homo sapiens OX=9606 GN=GPLD1 PE=1 SV=3
+DSGLSYVHLAGSLRAGLSSRGAAIVVQNKAKSRVTILSSGFRSSAEPSILVYQAKEEPCPTIWSKCKGTMDGLTTEKGNYVYVRGDEGGILGSTVDAIRLPAAMIIEDLGDDDLDSLHLVGGFRSFRRDGSFTSLLLPQADSTLAYMRTAGGQHLTVTLFAVKSVDDYTPAGVLLVQKLTGNMLVHGSSLSTGLKGMAKDGSITFWSQGNPPFYGYVRGLSKKEDRIHLLHGLRSANKWTPSGVLLLTRNDVTVGHLSYGFWSFDEEGRVTWNAAEVNLKEKDSLSPGSYFAAVIGKQKGGGPAFPSGIVLDPESDGNVDAALLTWGLNCYIDQCSITINPSSSMGGQKSGFYVYVAGKYTLQESGVSPAGVALDPVGDVNFDLVALASGFRGSPQFGELIRHAEKDLDLDVPPLGLDNGYILYVRGIHIHGPRSYGPAGVVLDGHGDQNLDASTMAWGLRAYPFSLFYSALPSSVHKQSLQSGGIFMTRINRELAKYIFSMSDPTWSNVSFFVGRETYNINRDVSETLSTTLNRHFDNKQMKSGQTHNQQGGCAIFLPNEPLNCDSTGNELMFSTLHYINTSWFAMDDLGGLFYEQFQEVLFPSKTSYTPYLKSVALMEGYMELFQIHSCDVIVNETIVKRGYLKEYIGLLDKVPVYWRRALYNFNFEFQSLVDGGFDGASHAESYSGHFDIAGMTRLFGQELGLSHWSVDAAMHSTIGFLFAVLKETDKEWPLPYNERIYHVSANLFPTWHTSESVDHFKGGKCISPYFCDPFVIGAQYADQHELLLERYNVRGNHLQLFELARHGIEVHTSLGCPSGRHCLSGLMILLGPWLRFASM
+>sp|Q6ZVD8|PHLP2_HUMAN PH domain leucine-rich repeat-containing protein phosphatase 2 OS=Homo sapiens OX=9606 GN=PHLPP2 PE=1 SV=3
+MKRNGSRNCLNRRSRFGSRERDWLREDVKRGCVYLYGADTTTATTTTTTSSSSSSSSSSSDLHLVLCTVETPASEICAGEGRESLYLQLHGDLVRRLEPTERPLQIVYDYLSRLGFDDPVRIQEEATNPDLGCMIRFYGEKPCHMDRLDRILLSGIYNVRKGKTQLHKWAERLVVLCGTCLIVSSVKDCQTGKMHILPLVGGKIEEVKRRQYSLAFSSAGAQAQTYHVSFETLAEYQRWQRQASKVVSQRISTVDLSCYSLEEVPEHLFYSQDITYLNLRHNFMQLERPGGLDTLYKFSQLKGLNLSHNKLGLFPILLCEISTLTELNLSCNGFHDLPSQIGNLLNLQTLCLDGNFLTTLPEELGNLQQLSSLGISFNNFSQIPEVYEKLTMLDRVVMAGNCLEVLNLGVLNRMNHIKHVDLRMNHLKTMVIENLEGNKHITHVDLRDNRLTDLDLSSLCSLEQLHCGRNQLRELTLSGFSLRTLYASSNRLTAVNVYPVPSLLTFLDLSRNLLECVPDWACEAKKIEVLDVSYNLLTEVPVRILSSLSLRKLMLGHNHVQNLPTLVEHIPLEVLDLQHNALTRLPDTLFSKALNLRYLNASANSLESLPSACTGEESLSMLQLLYLTNNLLTDQCIPVLVGHLHLRILHLANNQLQTFPASKLNKLEQLEELNLSGNKLKTIPTTIANCKRLHTLVAHSNNISIFPEILQLPQIQFVDLSCNDLTEILIPEALPATLQDLDLTGNTNLVLEHKTLDIFSHITTLKIDQKPLPTTDSTVTSTFWSHGLAEMAGQRNKLCVSALAMDSFAEGVGAVYGMFDGDRNEELPRLLQCTMADVLLEEVQQSTNDTVFMANTFLVSHRKLGMAGQKLGSSALLCYIRPDTADPASSFSLTVANVGTCQAVLCRGGKPVPLSKVFSLEQDPEEAQRVKDQKAIITEDNKVNGVTCCTRMLGCTYLYPWILPKPHISSTPLTIQDELLILGNKALWEHLSYTEAVNAVRHVQDPLAAAKKLCTLAQSYGCQDNVGAMVVYLNIGEEGCTCEMNGLTLPGPVGFASTTTIKDAPKPATPSSSSGIASEFSSEMSTSEVSSEVGSTASDEHNAGGLDTALLPRPERRCSLHPTPTSGLFQRQPSSATFSSNQSDNGLDSDDDQPVEGVITNGSKVEVEVDIHCCRGRDLENSPPLIESSPTLCSEEHARGSCFGIRRQNSVNSGMLLPMSKDRMELQKSPSTSCLYGKKLSNGSIVPLEDSLNLIEVATEVPKRKTGYFAAPTQMEPEDQFVVPHDLEEEVKEQMKQHQDSRLEPEPHEEDRTEPPEEFDTAL
+>DECOY_sp|Q6ZVD8|PHLP2_HUMAN PH domain leucine-rich repeat-containing protein phosphatase 2 OS=Homo sapiens OX=9606 GN=PHLPP2 PE=1 SV=3
+LATDFEEPPETRDEEHPEPELRSDQHQKMQEKVEEELDHPVVFQDEPEMQTPAAFYGTKRKPVETAVEILNLSDELPVISGNSLKKGYLCSTSPSKQLEMRDKSMPLLMGSNVSNQRRIGFCSGRAHEESCLTPSSEILPPSNELDRGRCCHIDVEVEVKSGNTIVGEVPQDDDSDLGNDSQNSSFTASSPQRQFLGSTPTPHLSCRREPRPLLATDLGGANHEDSATSGVESSVESTSMESSFESAIGSSSSPTAPKPADKITTTSAFGVPGPLTLGNMECTCGEEGINLYVVMAGVNDQCGYSQALTCLKKAAALPDQVHRVANVAETYSLHEWLAKNGLILLEDQITLPTSSIHPKPLIWPYLYTCGLMRTCCTVGNVKNDETIIAKQDKVRQAEEPDQELSFVKSLPVPKGGRCLVAQCTGVNAVTLSFSSAPDATDPRIYCLLASSGLKQGAMGLKRHSVLFTNAMFVTDNTSQQVEELLVDAMTCQLLRPLEENRDGDFMGYVAGVGEAFSDMALASVCLKNRQGAMEALGHSWFTSTVTSDTTPLPKQDIKLTTIHSFIDLTKHELVLNTNGTLDLDQLTAPLAEPILIETLDNCSLDVFQIQPLQLIEPFISINNSHAVLTHLRKCNAITTPITKLKNGSLNLEELQELKNLKSAPFTQLQNNALHLIRLHLHGVLVPICQDTLLNNTLYLLQLMSLSEEGTCASPLSELSNASANLYRLNLAKSFLTDPLRTLANHQLDLVELPIHEVLTPLNQVHNHGLMLKRLSLSSLIRVPVETLLNYSVDLVEIKKAECAWDPVCELLNRSLDLFTLLSPVPYVNVATLRNSSAYLTRLSFGSLTLERLQNRGCHLQELSCLSSLDLDTLRNDRLDVHTIHKNGELNEIVMTKLHNMRLDVHKIHNMRNLVGLNLVELCNGAMVVRDLMTLKEYVEPIQSFNNFSIGLSSLQQLNGLEEPLTTLFNGDLCLTQLNLLNGIQSPLDHFGNCSLNLETLTSIECLLIPFLGLKNHSLNLGKLQSFKYLTDLGGPRELQMFNHRLNLYTIDQSYFLHEPVEELSYCSLDVTSIRQSVVKSAQRQWRQYEALTEFSVHYTQAQAGASSFALSYQRRKVEEIKGGVLPLIHMKGTQCDKVSSVILCTGCLVVLREAWKHLQTKGKRVNYIGSLLIRDLRDMHCPKEGYFRIMCGLDPNTAEEQIRVPDDFGLRSLYDYVIQLPRETPELRRVLDGHLQLYLSERGEGACIESAPTEVTCLVLHLDSSSSSSSSSSSTTTTTTATTTDAGYLYVCGRKVDERLWDRERSGFRSRRNLCNRSGNRKM
+>sp|Q9H0N5|PHS2_HUMAN Pterin-4-alpha-carbinolamine dehydratase 2 OS=Homo sapiens OX=9606 GN=PCBD2 PE=1 SV=4
+MAAVLGALGATRRLLAALRGQSLGLAAMSSGTHRLTAEERNQAILDLKAAGWSELSERDAIYKEFSFHNFNQAFGFMSRVALQAEKMNHHPEWFNVYNKVQITLTSHDCGELTKKDVKLAKFIEKAAASV
+>DECOY_sp|Q9H0N5|PHS2_HUMAN Pterin-4-alpha-carbinolamine dehydratase 2 OS=Homo sapiens OX=9606 GN=PCBD2 PE=1 SV=4
+VSAAAKEIFKALKVDKKTLEGCDHSTLTIQVKNYVNFWEPHHNMKEAQLAVRSMFGFAQNFNHFSFEKYIADRESLESWGAAKLDLIAQNREEATLRHTGSSMAALGLSQGRLAALLRRTAGLAGLVAAM
+>sp|P28069|PIT1_HUMAN Pituitary-specific positive transcription factor 1 OS=Homo sapiens OX=9606 GN=POU1F1 PE=1 SV=1
+MSCQAFTSADTFIPLNSDASATLPLIMHHSAAECLPVSNHATNVMSTATGLHYSVPSCHYGNQPSTYGVMAGSLTPCLYKFPDHTLSHGFPPIHQPLLAEDPTAADFKQELRRKSKLVEEPIDMDSPEIRELEKFANEFKVRRIKLGYTQTNVGEALAAVHGSEFSQTTICRFENLQLSFKNACKLKAILSKWLEEAEQVGALYNEKVGANERKRKRRTTISIAAKDALERHFGEQNKPSSQEIMRMAEELNLEKEVVRVWFCNRRQREKRVKTSLNQSLFSISKEHLECR
+>DECOY_sp|P28069|PIT1_HUMAN Pituitary-specific positive transcription factor 1 OS=Homo sapiens OX=9606 GN=POU1F1 PE=1 SV=1
+RCELHEKSISFLSQNLSTKVRKERQRRNCFWVRVVEKELNLEEAMRMIEQSSPKNQEGFHRELADKAAISITTRRKRKRENAGVKENYLAGVQEAEELWKSLIAKLKCANKFSLQLNEFRCITTQSFESGHVAALAEGVNTQTYGLKIRRVKFENAFKELERIEPSDMDIPEEVLKSKRRLEQKFDAATPDEALLPQHIPPFGHSLTHDPFKYLCPTLSGAMVGYTSPQNGYHCSPVSYHLGTATSMVNTAHNSVPLCEAASHHMILPLTASADSNLPIFTDASTFAQCSM
+>sp|O00562|PITM1_HUMAN Membrane-associated phosphatidylinositol transfer protein 1 OS=Homo sapiens OX=9606 GN=PITPNM1 PE=1 SV=4
+MLIKEYHILLPMSLDEYQVAQLYMIQKKSREESSGEGSGVEILANRPYTDGPGGSGQYTHKVYHVGSHIPGWFRALLPKAALQVEEESWNAYPYTRTRYTCPFVEKFSIEIETYYLPDGGQQPNVFNLSGAERRQRILDTIDIVRDAVAPGEYKAEEDPRLYHSVKTGRGPLSDDWARTAAQTGPLMCAYKLCKVEFRYWGMQAKIEQFIHDVGLRRVMLRAHRQAWCWQDEWTELSMADIRALEEETARMLAQRMAKCNTGSEGSEAQPPGKPSTEARSAASNTGTPDGPEAPPGPDASPDASFGKQWSSSSRSSYSSQHGGAVSPQSLSEWRMQNIARDSENSSEEEFFDAHEGFSDSEEVFPKEMTKWNSNDFIDAFASPVEAEGTPEPGAEAAKGIEDGAQAPRDSEGLDGAGELGAEACAVHALFLILHSGNILDSGPGDANSKQADVQTLSSAFEAVTRIHFPEALGHVALRLVPCPPICAAAYALVSNLSPYSHDGDSLSRSQDHIPLAALPLLATSSSRYQGAVATVIARTNQAYSAFLRSPEGAGFCGQVALIGDGVGGILGFDALCHSANAGTGSRGSSRRGSMNNELLSPEFGPVRDPLADGVEGLGRGSPEPSALPPQRIPSDMASPEPEGSQNSLQAAPATTSSWEPRRASTAFCPPAASSEAPDGPSSTARLDFKVSGFFLFGSPLGLVLALRKTVMPALEAAQMRPACEQIYNLFHAADPCASRLEPLLAPKFQAIAPLTVPRYQKFPLGDGSSLLLADTLQTHSSLFLEELEMLVPSTPTSTSGAFWKGSELATDPPAQPAAPSTTSEVVKILERWWGTKRIDYSLYCPEALTAFPTVTLPHLFHASYWESADVVAFILRQVIEKERPQLAECEEPSIYSPAFPREKWQRKRTQVKIRNVTSNHRASDTVVCEGRPQVLSGRFMYGPLDVVTLTGEKVDVYIMTQPLSGKWIHFGTEVTNSSGRLTFPVPPERALGIGVYPVRMVVRGDHTYAECCLTVVARGTEAVVFSIDGSFTASVSIMGSDPKVRAGAVDVVRHWQDSGYLIVYVTGRPDMQKHRVVAWLSQHNFPHGVVSFCDGLTHDPLRQKAMFLQSLVQEVELNIVAGYGSPKDVAVYAALGLSPSQTYIVGRAVRKLQAQCQFLSDGYVAHLGQLEAGSHSHASSGPPRAALGKSSYGVAAPVDFLRKQSQLLRSRGPSQAEREGPGTPPTTLARGKARSISLKLDSEE
+>DECOY_sp|O00562|PITM1_HUMAN Membrane-associated phosphatidylinositol transfer protein 1 OS=Homo sapiens OX=9606 GN=PITPNM1 PE=1 SV=4
+EESDLKLSISRAKGRALTTPPTGPGEREAQSPGRSRLLQSQKRLFDVPAAVGYSSKGLAARPPGSSAHSHSGAELQGLHAVYGDSLFQCQAQLKRVARGVIYTQSPSLGLAAYVAVDKPSGYGAVINLEVEQVLSQLFMAKQRLPDHTLGDCFSVVGHPFNHQSLWAVVRHKQMDPRGTVYVILYGSDQWHRVVDVAGARVKPDSGMISVSATFSGDISFVVAETGRAVVTLCCEAYTHDGRVVMRVPYVGIGLAREPPVPFTLRGSSNTVETGFHIWKGSLPQTMIYVDVKEGTLTVVDLPGYMFRGSLVQPRGECVVTDSARHNSTVNRIKVQTRKRQWKERPFAPSYISPEECEALQPREKEIVQRLIFAVVDASEWYSAHFLHPLTVTPFATLAEPCYLSYDIRKTGWWRELIKVVESTTSPAAPQAPPDTALESGKWFAGSTSTPTSPVLMELEELFLSSHTQLTDALLLSSGDGLPFKQYRPVTLPAIAQFKPALLPELRSACPDAAHFLNYIQECAPRMQAAELAPMVTKRLALVLGLPSGFLFFGSVKFDLRATSSPGDPAESSAAPPCFATSARRPEWSSTTAPAAQLSNQSGEPEPSAMDSPIRQPPLASPEPSGRGLGEVGDALPDRVPGFEPSLLENNMSGRRSSGRSGTGANASHCLADFGLIGGVGDGILAVQGCFGAGEPSRLFASYAQNTRAIVTAVAGQYRSSSTALLPLAALPIHDQSRSLSDGDHSYPSLNSVLAYAAACIPPCPVLRLAVHGLAEPFHIRTVAEFASSLTQVDAQKSNADGPGSDLINGSHLILFLAHVACAEAGLEGAGDLGESDRPAQAGDEIGKAAEAGPEPTGEAEVPSAFADIFDNSNWKTMEKPFVEESDSFGEHADFFEEESSNESDRAINQMRWESLSQPSVAGGHQSSYSSRSSSSWQKGFSADPSADPGPPAEPGDPTGTNSAASRAETSPKGPPQAESGESGTNCKAMRQALMRATEEELARIDAMSLETWEDQWCWAQRHARLMVRRLGVDHIFQEIKAQMGWYRFEVKCLKYACMLPGTQAATRAWDDSLPGRGTKVSHYLRPDEEAKYEGPAVADRVIDITDLIRQRREAGSLNFVNPQQGGDPLYYTEIEISFKEVFPCTYRTRTYPYANWSEEEVQLAAKPLLARFWGPIHSGVHYVKHTYQGSGGPGDTYPRNALIEVGSGEGSSEERSKKQIMYLQAVQYEDLSMPLLIHYEKILM
+>sp|Q9BZ71|PITM3_HUMAN Membrane-associated phosphatidylinositol transfer protein 3 OS=Homo sapiens OX=9606 GN=PITPNM3 PE=1 SV=2
+MAKAGRAGGPPPGGGAPWHLRNVLSDSVESSDDEFFDAREEMAEGKNAILIGMSQWNSNDLVEQIETMGKLDEHQGEGTAPCTSSILQEKQRELYRVSLRRQRFPAQGSIEIHEDSEEGCPQRSCKTHVLLLVLHGGNILDTGAGDPSCKAADIHTFSSVLEKVTRAHFPAALGHILIKFVPCPAICSEAFSLVSHLNPYSHDEGCLSSSQDHVPLAALPLLAISSPQYQDAVATVIERANQVYREFLKSSDGIGFSGQVCLIGDCVGGLLAFDAICYSAGPSGDSPASSSRKGSISSTQDTPVAVEEDCSLASSKRLSKSNIDISSGLEDEEPKRPLPRKQSDSSTYDCEAITQHHAFLSSIHSSVLKDESETPAAGGPQLPEVSLGRFDFDVSDFFLFGSPLGLVLAMRRTVLPGLDGFQVRPACSQVYSFFHCADPSASRLEPLLEPKFHLVPPVSVPRYQRFPLGDGQSLLLADALHTHSPLFLEGSSRDSPPLLDAPASPPQASRFQRPGRRMSEGSSHSESSESSDSMAPVGASRITAKWWGSKRIDYALYCPDVLTAFPTVALPHLFHASYWESTDVVAFILRQVMRYESVNIKESARLDPAALSPANPREKWLRKRTQVKLRNVTANHRANDVIAAEDGPQVLVGRFMYGPLDMVALTGEKVDILVMAEPSSGRWVHLDTEITNSSGRITYNVPRPRRLGVGVYPVKMVVRGDQTCAMSYLTVLPRGMECVVFSIDGSFAASVSIMGSDPKVRPGAVDVVRHWQDLGYMILYITGRPDMQKQRVVSWLSQHNFPQGMIFFSDGLVHDPLRQKAIFLRNLMQECFIKISAAYGSTKDISVYSVLGLPASQIFIVGRPTKKYQTQCQFLSEGYAAHLAALEASHRSRPKKNNSRMILRKGSFGLHAQPEFLRKRNHLRRTMSVQQPDPPAANPKPERAQSQPESDKDHERPLPALSWARGPPKFESVP
+>DECOY_sp|Q9BZ71|PITM3_HUMAN Membrane-associated phosphatidylinositol transfer protein 3 OS=Homo sapiens OX=9606 GN=PITPNM3 PE=1 SV=2
+PVSEFKPPGRAWSLAPLPREHDKDSEPQSQAREPKPNAAPPDPQQVSMTRRLHNRKRLFEPQAHLGFSGKRLIMRSNNKKPRSRHSAELAALHAAYGESLFQCQTQYKKTPRGVIFIQSAPLGLVSYVSIDKTSGYAASIKIFCEQMLNRLFIAKQRLPDHVLGDSFFIMGQPFNHQSLWSVVRQKQMDPRGTIYLIMYGLDQWHRVVDVAGPRVKPDSGMISVSAAFSGDISFVVCEMGRPLVTLYSMACTQDGRVVMKVPYVGVGLRRPRPVNYTIRGSSNTIETDLHVWRGSSPEAMVLIDVKEGTLAVMDLPGYMFRGVLVQPGDEAAIVDNARHNATVNRLKVQTRKRLWKERPNAPSLAAPDLRASEKINVSEYRMVQRLIFAVVDTSEWYSAHFLHPLAVTPFATLVDPCYLAYDIRKSGWWKATIRSAGVPAMSDSSESSESHSSGESMRRGPRQFRSAQPPSAPADLLPPSDRSSGELFLPSHTHLADALLLSQGDGLPFRQYRPVSVPPVLHFKPELLPELRSASPDACHFFSYVQSCAPRVQFGDLGPLVTRRMALVLGLPSGFLFFDSVDFDFRGLSVEPLQPGGAAPTESEDKLVSSHISSLFAHHQTIAECDYTSSDSQKRPLPRKPEEDELGSSIDINSKSLRKSSALSCDEEVAVPTDQTSSISGKRSSSAPSDGSPGASYCIADFALLGGVCDGILCVQGSFGIGDSSKLFERYVQNAREIVTAVADQYQPSSIALLPLAALPVHDQSSSLCGEDHSYPNLHSVLSFAESCIAPCPVFKILIHGLAAPFHARTVKELVSSFTHIDAAKCSPDGAGTDLINGGHLVLLLVHTKCSRQPCGEESDEHIEISGQAPFRQRRLSVRYLERQKEQLISSTCPATGEGQHEDLKGMTEIQEVLDNSNWQSMGILIANKGEAMEERADFFEDDSSEVSDSLVNRLHWPAGGGPPPGGARGAKAM
+>sp|O75364|PITX3_HUMAN Pituitary homeobox 3 OS=Homo sapiens OX=9606 GN=PITX3 PE=1 SV=1
+MEFGLLSEAEARSPALSLSDAGTPHPQLPEHGCKGQEHSDSEKASASLPGGSPEDGSLKKKQRRQRTHFTSQQLQELEATFQRNRYPDMSTREEIAVWTNLTEARVRVWFKNRRAKWRKRERSQQAELCKGSFAAPLGGLVPPYEEVYPGYSYGNWPPKALAPPLAAKTFPFAFNSVNVGPLASQPVFSPPSSIAASMVPSAAAAPGTVPGPGALQGLGGGPPGLAPAAVSSGAVSCPYASAAAAAAAAASSPYVYRDPCNSSLASLRLKAKQHASFSYPAVHGPPPAANLSPCQYAVERPV
+>DECOY_sp|O75364|PITX3_HUMAN Pituitary homeobox 3 OS=Homo sapiens OX=9606 GN=PITX3 PE=1 SV=1
+VPREVAYQCPSLNAAPPPGHVAPYSFSAHQKAKLRLSALSSNCPDRYVYPSSAAAAAAAAASAYPCSVAGSSVAAPALGPPGGGLGQLAGPGPVTGPAAAASPVMSAAISSPPSFVPQSALPGVNVSNFAFPFTKAALPPALAKPPWNGYSYGPYVEEYPPVLGGLPAAFSGKCLEAQQSRERKRWKARRNKFWVRVRAETLNTWVAIEERTSMDPYRNRQFTAELEQLQQSTFHTRQRRQKKKLSGDEPSGGPLSASAKESDSHEQGKCGHEPLQPHPTGADSLSLAPSRAEAESLLGFEM
+>sp|Q0ZLH3|PJVK_HUMAN Pejvakin OS=Homo sapiens OX=9606 GN=PJVK PE=1 SV=1
+MFAAATKSFVKQVGDGGRLVPVPSLSEADKYQPLSLVVKKKRCFLFPRYKFTSTPFTLKDILLGDREISAGISSYQLLNYEDESDVSLYGRRGNHIVNDVGINVAGSDSIAVKASFGIVTKHEVEVSTLLKEITTRKINFDHSLIRQSRSSRKAVLCVVMESIRTTRQCSLSVHAGIRGEAMRFHFMDEQNPKGRDKAIVFPAHTTIAFSVFELFIYLDGAFDLCVTSVSKGGFEREETATFALLYRLRNILFERNRRVMDVISRSQLYLDDLFSDYYDKPLSMTDISLKEGTHIRVNLLNHNIPKGPCILCGMGNFKRETVYGCFQCSVDGQKYVRLHAVPCFDIWHKRMK
+>DECOY_sp|Q0ZLH3|PJVK_HUMAN Pejvakin OS=Homo sapiens OX=9606 GN=PJVK PE=1 SV=1
+KMRKHWIDFCPVAHLRVYKQGDVSCQFCGYVTERKFNGMGCLICPGKPINHNLLNVRIHTGEKLSIDTMSLPKDYYDSFLDDLYLQSRSIVDMVRRNREFLINRLRYLLAFTATEEREFGGKSVSTVCLDFAGDLYIFLEFVSFAITTHAPFVIAKDRGKPNQEDMFHFRMAEGRIGAHVSLSCQRTTRISEMVVCLVAKRSSRSQRILSHDFNIKRTTIEKLLTSVEVEHKTVIGFSAKVAISDSGAVNIGVDNVIHNGRRGYLSVDSEDEYNLLQYSSIGASIERDGLLIDKLTFPTSTFKYRPFLFCRKKKVVLSLPQYKDAESLSPVPVLRGGDGVQKVFSKTAAAFM
+>sp|P42338|PK3CB_HUMAN Phosphatidylinositol 4,5-bisphosphate 3-kinase catalytic subunit beta isoform OS=Homo sapiens OX=9606 GN=PIK3CB PE=1 SV=1
+MCFSFIMPPAMADILDIWAVDSQIASDGSIPVDFLLPTGIYIQLEVPREATISYIKQMLWKQVHNYPMFNLLMDIDSYMFACVNQTAVYEELEDETRRLCDVRPFLPVLKLVTRSCDPGEKLDSKIGVLIGKGLHEFDSLKDPEVNEFRRKMRKFSEEKILSLVGLSWMDWLKQTYPPEHEPSIPENLEDKLYGGKLIVAVHFENCQDVFSFQVSPNMNPIKVNELAIQKRLTIHGKEDEVSPYDYVLQVSGRVEYVFGDHPLIQFQYIRNCVMNRALPHFILVECCKIKKMYEQEMIAIEAAINRNSSNLPLPLPPKKTRIISHVWENNNPFQIVLVKGNKLNTEETVKVHVRAGLFHGTELLCKTIVSSEVSGKNDHIWNEPLEFDINICDLPRMARLCFAVYAVLDKVKTKKSTKTINPSKYQTIRKAGKVHYPVAWVNTMVFDFKGQLRTGDIILHSWSSFPDELEEMLNPMGTVQTNPYTENATALHVKFPENKKQPYYYPPFDKIIEKAAEIASSDSANVSSRGGKKFLPVLKEILDRDPLSQLCENEMDLIWTLRQDCREIFPQSLPKLLLSIKWNKLEDVAQLQALLQIWPKLPPREALELLDFNYPDQYVREYAVGCLRQMSDEELSQYLLQLVQVLKYEPFLDCALSRFLLERALGNRRIGQFLFWHLRSEVHIPAVSVQFGVILEAYCRGSVGHMKVLSKQVEALNKLKTLNSLIKLNAVKLNRAKGKEAMHTCLKQSAYREALSDLQSPLNPCVILSELYVEKCKYMDSKMKPLWLVYNNKVFGEDSVGVIFKNGDDLRQDMLTLQMLRLMDLLWKEAGLDLRMLPYGCLATGDRSGLIEVVSTSETIADIQLNSSNVAAAAAFNKDALLNWLKEYNSGDDLDRAIEEFTLSCAGYCVASYVLGIGDRHSDNIMVKKTGQLFHIDFGHILGNFKSKFGIKRERVPFILTYDFIHVIQQGKTGNTEKFGRFRQCCEDAYLILRRHGNLFITLFALMLTAGLPELTSVKDIQYLKDSLALGKSEEEALKQFKQKFDEALRESWTTKVNWMAHTVRKDYRS
+>DECOY_sp|P42338|PK3CB_HUMAN Phosphatidylinositol 4,5-bisphosphate 3-kinase catalytic subunit beta isoform OS=Homo sapiens OX=9606 GN=PIK3CB PE=1 SV=1
+SRYDKRVTHAMWNVKTTWSERLAEDFKQKFQKLAEEESKGLALSDKLYQIDKVSTLEPLGATLMLAFLTIFLNGHRRLILYADECCQRFRGFKETNGTKGQQIVHIFDYTLIFPVRERKIGFKSKFNGLIHGFDIHFLQGTKKVMINDSHRDGIGLVYSAVCYGACSLTFEEIARDLDDGSNYEKLWNLLADKNFAAAAAVNSSNLQIDAITESTSVVEILGSRDGTALCGYPLMRLDLGAEKWLLDMLRLMQLTLMDQRLDDGNKFIVGVSDEGFVKNNYVLWLPKMKSDMYKCKEVYLESLIVCPNLPSQLDSLAERYASQKLCTHMAEKGKARNLKVANLKILSNLTKLKNLAEVQKSLVKMHGVSGRCYAELIVGFQVSVAPIHVESRLHWFLFQGIRRNGLARELLFRSLACDLFPEYKLVQVLQLLYQSLEEDSMQRLCGVAYERVYQDPYNFDLLELAERPPLKPWIQLLAQLQAVDELKNWKISLLLKPLSQPFIERCDQRLTWILDMENECLQSLPDRDLIEKLVPLFKKGGRSSVNASDSSAIEAAKEIIKDFPPYYYPQKKNEPFKVHLATANETYPNTQVTGMPNLMEELEDPFSSWSHLIIDGTRLQGKFDFVMTNVWAVPYHVKGAKRITQYKSPNITKTSKKTKVKDLVAYVAFCLRAMRPLDCINIDFELPENWIHDNKGSVESSVITKCLLETGHFLGARVHVKVTEETNLKNGKVLVIQFPNNNEWVHSIIRTKKPPLPLPLNSSNRNIAAEIAIMEQEYMKKIKCCEVLIFHPLARNMVCNRIYQFQILPHDGFVYEVRGSVQLVYDYPSVEDEKGHITLRKQIALENVKIPNMNPSVQFSFVDQCNEFHVAVILKGGYLKDELNEPISPEHEPPYTQKLWDMWSLGVLSLIKEESFKRMKRRFENVEPDKLSDFEHLGKGILVGIKSDLKEGPDCSRTVLKLVPLFPRVDCLRRTEDELEEYVATQNVCAFMYSDIDMLLNFMPYNHVQKWLMQKIYSITAERPVELQIYIGTPLLFDVPISGDSAIQSDVAWIDLIDAMAPPMIFSFCM
+>sp|O00329|PK3CD_HUMAN Phosphatidylinositol 4,5-bisphosphate 3-kinase catalytic subunit delta isoform OS=Homo sapiens OX=9606 GN=PIK3CD PE=1 SV=2
+MPPGVDCPMEFWTKEENQSVVVDFLLPTGVYLNFPVSRNANLSTIKQLLWHRAQYEPLFHMLSGPEAYVFTCINQTAEQQELEDEQRRLCDVQPFLPVLRLVAREGDRVKKLINSQISLLIGKGLHEFDSLCDPEVNDFRAKMCQFCEEAAARRQQLGWEAWLQYSFPLQLEPSAQTWGPGTLRLPNRALLVNVKFEGSEESFTFQVSTKDVPLALMACALRKKATVFRQPLVEQPEDYTLQVNGRHEYLYGSYPLCQFQYICSCLHSGLTPHLTMVHSSSILAMRDEQSNPAPQVQKPRAKPPPIPAKKPSSVSLWSLEQPFRIELIQGSKVNADERMKLVVQAGLFHGNEMLCKTVSSSEVSVCSEPVWKQRLEFDINICDLPRMARLCFALYAVIEKAKKARSTKKKSKKADCPIAWANLMLFDYKDQLKTGERCLYMWPSVPDEKGELLNPTGTVRSNPNTDSAAALLICLPEVAPHPVYYPALEKILELGRHSECVHVTEEEQLQLREILERRGSGELYEHEKDLVWKLRHEVQEHFPEALARLLLVTKWNKHEDVAQMLYLLCSWPELPVLSALELLDFSFPDCHVGSFAIKSLRKLTDDELFQYLLQLVQVLKYESYLDCELTKFLLDRALANRKIGHFLFWHLRSEMHVPSVALRFGLILEAYCRGSTHHMKVLMKQGEALSKLKALNDFVKLSSQKTPKPQTKELMHLCMRQEAYLEALSHLQSPLDPSTLLAEVCVEQCTFMDSKMKPLWIMYSNEEAGSGGSVGIIFKNGDDLRQDMLTLQMIQLMDVLWKQEGLDLRMTPYGCLPTGDRTGLIEVVLRSDTIANIQLNKSNMAATAAFNKDALLNWLKSKNPGEALDRAIEEFTLSCAGYCVATYVLGIGDRHSDNIMIRESGQLFHIDFGHFLGNFKTKFGINRERVPFILTYDFVHVIQQGKTNNSEKFERFRGYCERAYTILRRHGLLFLHLFALMRAAGLPELSCSKDIQYLKDSLALGKTEEEALKHFRVKFNEALRESWKTKVNWLAHNVSKDNRQ
+>DECOY_sp|O00329|PK3CD_HUMAN Phosphatidylinositol 4,5-bisphosphate 3-kinase catalytic subunit delta isoform OS=Homo sapiens OX=9606 GN=PIK3CD PE=1 SV=2
+QRNDKSVNHALWNVKTKWSERLAENFKVRFHKLAEEETKGLALSDKLYQIDKSCSLEPLGAARMLAFLHLFLLGHRRLITYARECYGRFREFKESNNTKGQQIVHVFDYTLIFPVRERNIGFKTKFNGLFHGFDIHFLQGSERIMINDSHRDGIGLVYTAVCYGACSLTFEEIARDLAEGPNKSKLWNLLADKNFAATAAMNSKNLQINAITDSRLVVEILGTRDGTPLCGYPTMRLDLGEQKWLVDMLQIMQLTLMDQRLDDGNKFIIGVSGGSGAEENSYMIWLPKMKSDMFTCQEVCVEALLTSPDLPSQLHSLAELYAEQRMCLHMLEKTQPKPTKQSSLKVFDNLAKLKSLAEGQKMLVKMHHTSGRCYAELILGFRLAVSPVHMESRLHWFLFHGIKRNALARDLLFKTLECDLYSEYKLVQVLQLLYQFLEDDTLKRLSKIAFSGVHCDPFSFDLLELASLVPLEPWSCLLYLMQAVDEHKNWKTVLLLRALAEPFHEQVEHRLKWVLDKEHEYLEGSGRRELIERLQLQEEETVHVCESHRGLELIKELAPYYVPHPAVEPLCILLAAASDTNPNSRVTGTPNLLEGKEDPVSPWMYLCREGTKLQDKYDFLMLNAWAIPCDAKKSKKKTSRAKKAKEIVAYLAFCLRAMRPLDCINIDFELRQKWVPESCVSVESSSVTKCLMENGHFLGAQVVLKMREDANVKSGQILEIRFPQELSWLSVSSPKKAPIPPPKARPKQVQPAPNSQEDRMALISSSHVMTLHPTLGSHLCSCIYQFQCLPYSGYLYEHRGNVQLTYDEPQEVLPQRFVTAKKRLACAMLALPVDKTSVQFTFSEESGEFKVNVLLARNPLRLTGPGWTQASPELQLPFSYQLWAEWGLQQRRAAAEECFQCMKARFDNVEPDCLSDFEHLGKGILLSIQSNILKKVRDGERAVLRLVPLFPQVDCLRRQEDELEQQEATQNICTFVYAEPGSLMHFLPEYQARHWLLQKITSLNANRSVPFNLYVGTPLLFDVVVSQNEEKTWFEMPCDVGPPM
+>sp|Q13563|PKD2_HUMAN Polycystin-2 OS=Homo sapiens OX=9606 GN=PKD2 PE=1 SV=3
+MVNSSRVQPQQPGDAKRPPAPRAPDPGRLMAGCAAVGASLAAPGGLCEQRGLEIEMQRIRQAAARDPPAGAAASPSPPLSSCSRQAWSRDNPGFEAEEEEEEVEGEEGGMVVEMDVEWRPGSRRSAASSAVSSVGARSRGLGGYHGAGHPSGRRRRREDQGPPCPSPVGGGDPLHRHLPLEGQPPRVAWAERLVRGLRGLWGTRLMEESSTNREKYLKSVLRELVTYLLFLIVLCILTYGMMSSNVYYYTRMMSQLFLDTPVSKTEKTNFKTLSSMEDFWKFTEGSLLDGLYWKMQPSNQTEADNRSFIFYENLLLGVPRIRQLRVRNGSCSIPQDLRDEIKECYDVYSVSSEDRAPFGPRNGTAWIYTSEKDLNGSSHWGIIATYSGAGYYLDLSRTREETAAQVASLKKNVWLDRGTRATFIDFSVYNANINLFCVVRLLVEFPATGGVIPSWQFQPLKLIRYVTTFDFFLAACEIIFCFFIFYYVVEEILEIRIHKLHYFRSFWNCLDVVIVVLSVVAIGINIYRTSNVEVLLQFLEDQNTFPNFEHLAYWQIQFNNIAAVTVFFVWIKLFKFINFNRTMSQLSTTMSRCAKDLFGFAIMFFIIFLAYAQLAYLVFGTQVDDFSTFQECIFTQFRIILGDINFAEIEEANRVLGPIYFTTFVFFMFFILLNMFLAIINDTYSEVKSDLAQQKAEMELSDLIRKGYHKALVKLKLKKNTVDDISESLRQGGGKLNFDELRQDLKGKGHTDAEIEAIFTKYDQDGDQELTEHEHQQMRDDLEKEREDLDLDHSSLPRPMSSRSFPRSLDDSEEDDDEDSGHSSRRRGSISSGVSYEEFQVLVRRVDRMEHSIGSIVSKIDAVIVKLEIMERAKLKRREVLGRLLDGVAEDERLGRDSEIHREQMERLVREELERWESDDAASQISHGLGTPVGLNGQPRPRSSRPSSSQSTEGMEGAGGNGSSNVHV
+>DECOY_sp|Q13563|PKD2_HUMAN Polycystin-2 OS=Homo sapiens OX=9606 GN=PKD2 PE=1 SV=3
+VHVNSSGNGGAGEMGETSQSSSPRSSRPRPQGNLGVPTGLGHSIQSAADDSEWRELEERVLREMQERHIESDRGLREDEAVGDLLRGLVERRKLKAREMIELKVIVADIKSVISGISHEMRDVRRVLVQFEEYSVGSSISGRRRSSHGSDEDDDEESDDLSRPFSRSSMPRPLSSHDLDLDEREKELDDRMQQHEHETLEQDGDQDYKTFIAEIEADTHGKGKLDQRLEDFNLKGGGQRLSESIDDVTNKKLKLKVLAKHYGKRILDSLEMEAKQQALDSKVESYTDNIIALFMNLLIFFMFFVFTTFYIPGLVRNAEEIEAFNIDGLIIRFQTFICEQFTSFDDVQTGFVLYALQAYALFIIFFMIAFGFLDKACRSMTTSLQSMTRNFNIFKFLKIWVFFVTVAAINNFQIQWYALHEFNPFTNQDELFQLLVEVNSTRYINIGIAVVSLVVIVVDLCNWFSRFYHLKHIRIELIEEVVYYFIFFCFIIECAALFFDFTTVYRILKLPQFQWSPIVGGTAPFEVLLRVVCFLNINANYVSFDIFTARTGRDLWVNKKLSAVQAATEERTRSLDLYYGAGSYTAIIGWHSSGNLDKESTYIWATGNRPGFPARDESSVSYVDYCEKIEDRLDQPISCSGNRVRLQRIRPVGLLLNEYFIFSRNDAETQNSPQMKWYLGDLLSGETFKWFDEMSSLTKFNTKETKSVPTDLFLQSMMRTYYYVNSSMMGYTLICLVILFLLYTVLERLVSKLYKERNTSSEEMLRTGWLGRLGRVLREAWAVRPPQGELPLHRHLPDGGGVPSPCPPGQDERRRRRGSPHGAGHYGGLGRSRAGVSSVASSAASRRSGPRWEVDMEVVMGGEEGEVEEEEEEAEFGPNDRSWAQRSCSSLPPSPSAAAGAPPDRAAAQRIRQMEIELGRQECLGGPAALSAGVAACGAMLRGPDPARPAPPRKADGPQQPQVRSSNVM
+>sp|Q9H7P9|PKHG2_HUMAN Pleckstrin homology domain-containing family G member 2 OS=Homo sapiens OX=9606 GN=PLEKHG2 PE=1 SV=3
+MPEGAQGLSLSKPSPSLGCGRRGEVCDCGTVCETRTAPAAPTMASPRGSGSSTSLSTVGSEGDPAPGPTPACSASRPEPLPGPPIRLHLSPVGIPGSARPSRLERVAREIVETERAYVRDLRSIVEDYLGPLLDGGVLGLSVEQVGTLFANIEDIYEFSSELLEDLENSSSAGGIAECFVQRSEDFDIYTLYCMNYPSSLALLRELSLSPPAALWLQERQAQLRHSLPLQSFLLKPVQRILKYHLLLQELGKHWAEGPGTGGREMVEEAIVSMTAVAWYINDMKRKQEHAARLQEVQRRLGGWTGPELSAFGELVLEGAFRGGGGGGPRLRGGERLLFLFSRMLLVAKRRGLEYTYKGHIFCCNLSVSESPRDPLGFKVSDLTIPKHRHLLQAKNQEEKRLWIHCLQRLFFENHPASIPAKAKQVLLENSLHCAPKSKPVLEPLTPPLGSPRPRDARSFTPGRRNTAPSPGPSVIRRGRRQSEPVKDPYVMFPQNAKPGFKHAGSEGELYPPESQPPVSGSAPPEDLEDAGPPTLDPSGTSITEEILELLNQRGLRDPGPSTHDIPKFPGDSQVPGDSETLTFQALPSRDSSEEEEEEEEGLEMDERGPSPLHVLEGLESSIAAEMPSIPCLTKIPDVPNLPEIPSRCEIPEGSRLPSLSDISDVFEMPCLPAIPSVPNTPSLSSTPTLSCDSWLQGPLQEPAEAPATRRELFSGSNPGKLGEPPSGGKAGPEEDEEGVSFTDFQPQDVTQHQGFPDELAFRSCSEIRSAWQALEQGQLARPGFPEPLLILEDSDLGGDSGSGKAGAPSSERTASRVRELARLYSERIQQMQRAETRASANAPRRRPRVLAQPQPSPCLPQEQAEPGLLPAFGHVLVCELAFPLTCAQESVPLGPAVWVQAAIPLSKQGGSPDGQGLHVSNLPKQDLPGIHVSAATLLPEQGGSRHVQAPAATPLPKQEGPLHLQVPALTTFSDQGHPEIQVPATTPLPEHRSHMVIPAPSTAFCPEQGHCADIHVPTTPALPKEICSDFTVSVTTPVPKQEGHLDSESPTNIPLTKQGGSRDVQGPDPVCSQPIQPLSWHGSSLDPQGPGDTLPPLPCHLPDLQIPGTSPLPAHGSHLDHRIPANAPLSLSQELPDTQVPATTPLPLPQVLTDIWVQALPTSPKQGSLPDIQGPAAAPPLPEPSLTDTQVQKLTPSLEQKSLIDAHVPAATPLPERGGSLDIQGLSPTPVQTTMVLSKPGGSLASHVARLESSDLTPPHSPPPSSRQLLGPNAAALSRYLAASYISQSLARRQGPGGGAPAASRGSWSSAPTSRASSPPPQPQPPPPPARRLSYATTVNIHVGGGGRLRPAKAQVRLNHPALLASTQESMGLHRAQGAPDAPFHM
+>DECOY_sp|Q9H7P9|PKHG2_HUMAN Pleckstrin homology domain-containing family G member 2 OS=Homo sapiens OX=9606 GN=PLEKHG2 PE=1 SV=3
+MHFPADPAGQARHLGMSEQTSALLAPHNLRVQAKAPRLRGGGGVHINVTTAYSLRRAPPPPPQPQPPPSSARSTPASSWSGRSAAPAGGGPGQRRALSQSIYSAALYRSLAAANPGLLQRSSPPPSHPPTLDSSELRAVHSALSGGPKSLVMTTQVPTPSLGQIDLSGGREPLPTAAPVHADILSKQELSPTLKQVQTDTLSPEPLPPAAAPGQIDPLSGQKPSTPLAQVWIDTLVQPLPLPTTAPVQTDPLEQSLSLPANAPIRHDLHSGHAPLPSTGPIQLDPLHCPLPPLTDGPGQPDLSSGHWSLPQIPQSCVPDPGQVDRSGGQKTLPINTPSESDLHGEQKPVPTTVSVTFDSCIEKPLAPTTPVHIDACHGQEPCFATSPAPIVMHSRHEPLPTTAPVQIEPHGQDSFTTLAPVQLHLPGEQKPLPTAAPAQVHRSGGQEPLLTAASVHIGPLDQKPLNSVHLGQGDPSGGQKSLPIAAQVWVAPGLPVSEQACTLPFALECVLVHGFAPLLGPEAQEQPLCPSPQPQALVRPRRRPANASARTEARQMQQIRESYLRALERVRSATRESSPAGAKGSGSDGGLDSDELILLPEPFGPRALQGQELAQWASRIESCSRFALEDPFGQHQTVDQPQFDTFSVGEEDEEPGAKGGSPPEGLKGPNSGSFLERRTAPAEAPEQLPGQLWSDCSLTPTSSLSPTNPVSPIAPLCPMEFVDSIDSLSPLRSGEPIECRSPIEPLNPVDPIKTLCPISPMEAAISSELGELVHLPSPGREDMELGEEEEEEEESSDRSPLAQFTLTESDGPVQSDGPFKPIDHTSPGPDRLGRQNLLELIEETISTGSPDLTPPGADELDEPPASGSVPPQSEPPYLEGESGAHKFGPKANQPFMVYPDKVPESQRRGRRIVSPGPSPATNRRGPTFSRADRPRPSGLPPTLPELVPKSKPACHLSNELLVQKAKAPISAPHNEFFLRQLCHIWLRKEEQNKAQLLHRHKPITLDSVKFGLPDRPSESVSLNCCFIHGKYTYELGRRKAVLLMRSFLFLLREGGRLRPGGGGGGRFAGELVLEGFASLEPGTWGGLRRQVEQLRAAHEQKRKMDNIYWAVATMSVIAEEVMERGGTGPGEAWHKGLEQLLLHYKLIRQVPKLLFSQLPLSHRLQAQREQLWLAAPPSLSLERLLALSSPYNMCYLTYIDFDESRQVFCEAIGGASSSNELDELLESSFEYIDEINAFLTGVQEVSLGLVGGDLLPGLYDEVISRLDRVYARETEVIERAVRELRSPRASGPIGVPSLHLRIPPGPLPEPRSASCAPTPGPAPDGESGVTSLSTSSGSGRPSAMTPAAPATRTECVTGCDCVEGRRGCGLSPSPKSLSLGQAGEPM
+>sp|Q6ZWE6|PKHM3_HUMAN Pleckstrin homology domain-containing family M member 3 OS=Homo sapiens OX=9606 GN=PLEKHM3 PE=2 SV=2
+MEALEVDDISPALEVTEEFFSTLDSNLEKAVQQAEVYGIQEVPELVGHEVLSNITDNGAMRNVTSLGKGGMIWDHCKSRLLETKAQNVFPAKEQFMVQRGTTPDNLSWMEQKEASTFNFFNICQRRRDRPRSVNDLLDETSTFKPGHARSRSDITQVDWRVVLKTTPLQQQQQQQPLLQGPHVTRPSFLLPSPNKIEDAQGNTEHKQTFPNILKKGYLEIRKDHDSYWQSCYAELSPYNLYFYSLDSSGNQNLYATYQLSHFQSISVLGNLEARMVDTVLYDNTQLQLKAESPWEALDWGQKLWEVVHAAVPGYMGRQNELTISPGLGHHDDYTQNHSFQKKTSGLLPPSPVLDSSKQYQNILKSGTLYRLTVQNNWKAFTFVLSRAYLMAFQPGKLDEDPLLSYNVDVCLAVQMDNLDGCDSCFQVIFPQDVLRLRAETRQRAQEWMEALKIAANVARSSEQNLQVTLRNKPKDQMGGHELRKNKRQSVTTSFLSILTTLSLERGLTAQSFKCAGCQRSIGLSNGKAKVCNYSGWYYCSSCHVDDSFLIPARIVHNWDTSKYKVSKQAKEFLEYVYEEPLIDIQQENAMLYHHAEPLAAVLRLRQRLKSLRAYLFSCRAAVAEDLRRRIFPREYLLQQIHLYSLADLQQVIEGKLAPFLGKVIKFATSHVYSCSLCSQKGFICEICNNGEILYPFEDISTSRCESCGAVFHSECKEKSVPCPRCVRRELQKKQKSFWQRLNMDESLEEACTMFELSYQNT
+>DECOY_sp|Q6ZWE6|PKHM3_HUMAN Pleckstrin homology domain-containing family M member 3 OS=Homo sapiens OX=9606 GN=PLEKHM3 PE=2 SV=2
+TNQYSLEFMTCAEELSEDMNLRQWFSKQKKQLERRVCRPCPVSKEKCESHFVAGCSECRSTSIDEFPYLIEGNNCIECIFGKQSCLSCSYVHSTAFKIVKGLFPALKGEIVQQLDALSYLHIQQLLYERPFIRRRLDEAVAARCSFLYARLSKLRQRLRLVAALPEAHHYLMANEQQIDILPEEYVYELFEKAQKSVKYKSTDWNHVIRAPILFSDDVHCSSCYYWGSYNCVKAKGNSLGISRQCGACKFSQATLGRELSLTTLISLFSTTVSQRKNKRLEHGGMQDKPKNRLTVQLNQESSRAVNAAIKLAEMWEQARQRTEARLRLVDQPFIVQFCSDCGDLNDMQVALCVDVNYSLLPDEDLKGPQFAMLYARSLVFTFAKWNNQVTLRYLTGSKLINQYQKSSDLVPSPPLLGSTKKQFSHNQTYDDHHGLGPSITLENQRGMYGPVAAHVVEWLKQGWDLAEWPSEAKLQLQTNDYLVTDVMRAELNGLVSISQFHSLQYTAYLNQNGSSDLSYFYLNYPSLEAYCSQWYSDHDKRIELYGKKLINPFTQKHETNGQADEIKNPSPLLFSPRTVHPGQLLPQQQQQQQLPTTKLVVRWDVQTIDSRSRAHGPKFTSTEDLLDNVSRPRDRRRQCINFFNFTSAEKQEMWSLNDPTTGRQVMFQEKAPFVNQAKTELLRSKCHDWIMGGKGLSTVNRMAGNDTINSLVEHGVLEPVEQIGYVEAQQVAKELNSDLTSFFEETVELAPSIDDVELAEM
+>sp|Q00G26|PLIN5_HUMAN Perilipin-5 OS=Homo sapiens OX=9606 GN=PLIN5 PE=1 SV=2
+MSEEEAAQIPRSSVWEQDQQNVVQRVVALPLVRATCTAVCDVYSAAKDRHPLLGSACRLAENCVCGLTTRALDHAQPLLEHLQPQLATMNSLACRGLDKLEEKLPFLQQPSETVVTSAKDVVASSVTGVVDLARRGRRWSVELKRSVSHAVDVVLEKSEELVDHFLPMTEEELAALAAEAEGPEVGSVEDQRRQQGYFVRLGSLSARIRHLAYEHSVGKLRQSKHRAQDTLAQLQETLELIDHMQCGVTPTAPACPGKVHELWGEWGQRPPESRRRSQAELETLVLSRSLTQELQGTVEALESSVRGLPAGAQEKVAEVRRSVDALQTAFADARCFRDVPAAALAEGRGRVAHAHACVDELLELVVQAVPLPWLVGPFAPILVERPEPLPDLADLVDEVIGGPDPRWAHLDWPAQQRAWEAEHRDGSGNGDGDRMGVAGDICEQEPETPSCPVKHTLMPELDF
+>DECOY_sp|Q00G26|PLIN5_HUMAN Perilipin-5 OS=Homo sapiens OX=9606 GN=PLIN5 PE=1 SV=2
+FDLEPMLTHKVPCSPTEPEQECIDGAVGMRDGDGNGSGDRHEAEWARQQAPWDLHAWRPDPGGIVEDVLDALDPLPEPREVLIPAFPGVLWPLPVAQVVLELLEDVCAHAHAVRGRGEALAAAPVDRFCRADAFATQLADVSRRVEAVKEQAGAPLGRVSSELAEVTGQLEQTLSRSLVLTELEAQSRRRSEPPRQGWEGWLEHVKGPCAPATPTVGCQMHDILELTEQLQALTDQARHKSQRLKGVSHEYALHRIRASLSGLRVFYGQQRRQDEVSGVEPGEAEAALAALEEETMPLFHDVLEESKELVVDVAHSVSRKLEVSWRRGRRALDVVGTVSSAVVDKASTVVTESPQQLFPLKEELKDLGRCALSNMTALQPQLHELLPQAHDLARTTLGCVCNEALRCASGLLPHRDKAASYVDCVATCTARVLPLAVVRQVVNQQDQEWVSSRPIQAAEEESM
+>sp|Q96AD5|PLPL2_HUMAN Patatin-like phospholipase domain-containing protein 2 OS=Homo sapiens OX=9606 GN=PNPLA2 PE=1 SV=1
+MFPREKTWNISFAGCGFLGVYYVGVASCLREHAPFLVANATHIYGASAGALTATALVTGVCLGEAGAKFIEVSKEARKRFLGPLHPSFNLVKIIRSFLLKVLPADSHEHASGRLGISLTRVSDGENVIISHFNSKDELIQANVCSGFIPVYCGLIPPSLQGVRYVDGGISDNLPLYELKNTITVSPFSGESDICPQDSSTNIHELRVTNTSIQFNLRNLYRLSKALFPPEPLVLREMCKQGYRDGLRFLQRNGLLNRPNPLLALPPARPHGPEDKDQAVESAQAEDYSQLPGEDHILEHLPARLNEALLEACVEPTDLLTTLSNMLPVRLATAMMVPYTLPLESALSFTIRLLEWLPDVPEDIRWMKEQTGSICQYLVMRAKRKLGRHLPSRLPEQVELRRVQSLPSVPLSCAAYREALPGWMRNNLSLGDALAKWEECQRQLLLGLFCTNVAFPPEALRMRAPADPAPAPADPASPQHQLAGPAPLLSTPAPEARPVIGALGL
+>DECOY_sp|Q96AD5|PLPL2_HUMAN Patatin-like phospholipase domain-containing protein 2 OS=Homo sapiens OX=9606 GN=PNPLA2 PE=1 SV=1
+LGLAGIVPRAEPAPTSLLPAPGALQHQPSAPDAPAPAPDAPARMRLAEPPFAVNTCFLGLLLQRQCEEWKALADGLSLNNRMWGPLAERYAACSLPVSPLSQVRRLEVQEPLRSPLHRGLKRKARMVLYQCISGTQEKMWRIDEPVDPLWELLRITFSLASELPLTYPVMMATALRVPLMNSLTTLLDTPEVCAELLAENLRAPLHELIHDEGPLQSYDEAQASEVAQDKDEPGHPRAPPLALLPNPRNLLGNRQLFRLGDRYGQKCMERLVLPEPPFLAKSLRYLNRLNFQISTNTVRLEHINTSSDQPCIDSEGSFPSVTITNKLEYLPLNDSIGGDVYRVGQLSPPILGCYVPIFGSCVNAQILEDKSNFHSIIVNEGDSVRTLSIGLRGSAHEHSDAPLVKLLFSRIIKVLNFSPHLPGLFRKRAEKSVEIFKAGAEGLCVGTVLATATLAGASAGYIHTANAVLFPAHERLCSAVGVYYVGLFGCGAFSINWTKERPFM
+>sp|P41247|PLPL4_HUMAN Patatin-like phospholipase domain-containing protein 4 OS=Homo sapiens OX=9606 GN=PNPLA4 PE=2 SV=3
+MKHINLSFAACGFLGIYHLGAASALCRHGKKLVKDVKAFAGASAGSLVASVLLTAPEKIEECNQFTYKFAEEIRRQSFGAVTPGYDFMARLRSGMESILPPSAHELAQNRLHVSITNAKTRENHLVSTFSSREDLIKVLLASSFVPIYAGLKLVEYKGQKWVDGGLTNALPILPVGRTVTISPFSGRLDISPQDKGQLDLYVNIAKQDIMLSLANLVRLNQALFPPSKRKMESLYQCGFDDTVKFLLKENWFE
+>DECOY_sp|P41247|PLPL4_HUMAN Patatin-like phospholipase domain-containing protein 4 OS=Homo sapiens OX=9606 GN=PNPLA4 PE=2 SV=3
+EFWNEKLLFKVTDDFGCQYLSEMKRKSPPFLAQNLRVLNALSLMIDQKAINVYLDLQGKDQPSIDLRGSFPSITVTRGVPLIPLANTLGGDVWKQGKYEVLKLGAYIPVFSSALLVKILDERSSFTSVLHNERTKANTISVHLRNQALEHASPPLISEMGSRLRAMFDYGPTVAGFSQRRIEEAFKYTFQNCEEIKEPATLLVSAVLSGASAGAFAKVDKVLKKGHRCLASAAGLHYIGLFGCAAFSLNIHKM
+>sp|O60733|PLPL9_HUMAN 85/88 kDa calcium-independent phospholipase A2 OS=Homo sapiens OX=9606 GN=PLA2G6 PE=1 SV=2
+MQFFGRLVNTFSGVTNLFSNPFRVKEVAVADYTSSDRVREEGQLILFQNTPNRTWDCVLVNPRNSQSGFRLFQLELEADALVNFHQYSSQLLPFYESSPQVLHTEVLQHLTDLIRNHPSWSVAHLAVELGIRECFHHSRIISCANCAENEEGCTPLHLACRKGDGEILVELVQYCHTQMDVTDYKGETVFHYAVQGDNSQVLQLLGRNAVAGLNQVNNQGLTPLHLACQLGKQEMVRVLLLCNARCNIMGPNGYPIHSAMKFSQKGCAEMIISMDSSQIHSKDPRYGASPLHWAKNAEMARMLLKRGCNVNSTSSAGNTALHVAVMRNRFDCAIVLLTHGANADARGEHGNTPLHLAMSKDNVEMIKALIVFGAEVDTPNDFGETPTFLASKIGRLVTRKAILTLLRTVGAEYCFPPIHGVPAEQGSAAPHHPFSLERAQPPPISLNNLELQDLMHISRARKPAFILGSMRDEKRTHDHLLCLDGGGVKGLIIIQLLIAIEKASGVATKDLFDWVAGTSTGGILALAILHSKSMAYMRGMYFRMKDEVFRGSRPYESGPLEEFLKREFGEHTKMTDVRKPKVMLTGTLSDRQPAELHLFRNYDAPETVREPRFNQNVNLRPPAQPSDQLVWRAARSSGAAPTYFRPNGRFLDGGLLANNPTLDAMTEIHEYNQDLIRKGQANKVKKLSIVVSLGTGRSPQVPVTCVDVFRPSNPWELAKTVFGAKELGKMVVDCCTDPDGRAVDRARAWCEMVGIQYFRLNPQLGTDIMLDEVSDTVLVNALWETEVYIYEHREEFQKLIQLLLSP
+>DECOY_sp|O60733|PLPL9_HUMAN 85/88 kDa calcium-independent phospholipase A2 OS=Homo sapiens OX=9606 GN=PLA2G6 PE=1 SV=2
+PSLLLQILKQFEERHEYIYVETEWLANVLVTDSVEDLMIDTGLQPNLRFYQIGVMECWARARDVARGDPDTCCDVVMKGLEKAGFVTKALEWPNSPRFVDVCTVPVQPSRGTGLSVVISLKKVKNAQGKRILDQNYEHIETMADLTPNNALLGGDLFRGNPRFYTPAAGSSRAARWVLQDSPQAPPRLNVNQNFRPERVTEPADYNRFLHLEAPQRDSLTGTLMVKPKRVDTMKTHEGFERKLFEELPGSEYPRSGRFVEDKMRFYMGRMYAMSKSHLIALALIGGTSTGAVWDFLDKTAVGSAKEIAILLQIIILGKVGGGDLCLLHDHTRKEDRMSGLIFAPKRARSIHMLDQLELNNLSIPPPQARELSFPHHPAASGQEAPVGHIPPFCYEAGVTRLLTLIAKRTVLRGIKSALFTPTEGFDNPTDVEAGFVILAKIMEVNDKSMALHLPTNGHEGRADANAGHTLLVIACDFRNRMVAVHLATNGASSTSNVNCGRKLLMRAMEANKAWHLPSAGYRPDKSHIQSSDMSIIMEACGKQSFKMASHIPYGNPGMINCRANCLLLVRVMEQKGLQCALHLPTLGQNNVQNLGAVANRGLLQLVQSNDGQVAYHFVTEGKYDTVDMQTHCYQVLEVLIEGDGKRCALHLPTCGEENEACNACSIIRSHHFCERIGLEVALHAVSWSPHNRILDTLHQLVETHLVQPSSEYFPLLQSSYQHFNVLADAELELQFLRFGSQSNRPNVLVCDWTRNPTNQFLILQGEERVRDSSTYDAVAVEKVRFPNSFLNTVGSFTNVLRGFFQM
+>sp|O43688|PLPP2_HUMAN Phospholipid phosphatase 2 OS=Homo sapiens OX=9606 GN=PLPP2 PE=1 SV=1
+MQRRWVFVLLDVLCLLVASLPFAILTLVNAPYKRGFYCGDDSIRYPYRPDTITHGLMAGVTITATVILVSAGEAYLVYTDRLYSRSDFNNYVAAVYKVLGTFLFGAAVSQSLTDLAKYMIGRLRPNFLAVCDPDWSRVNCSVYVQLEKVCRGNPADVTEARLSFYSGHSSFGMYCMVFLALYVQARLCWKWARLLRPTVQFFLVAFALYVGYTRVSDYKHHWSDVLVGLLQGALVAALTVCYISDFFKARPPQHCLKEEELERKPSLSLTLTLGEADHNHYGYPHSSS
+>DECOY_sp|O43688|PLPP2_HUMAN Phospholipid phosphatase 2 OS=Homo sapiens OX=9606 GN=PLPP2 PE=1 SV=1
+SSSHPYGYHNHDAEGLTLTLSLSPKRELEEEKLCHQPPRAKFFDSIYCVTLAAVLAGQLLGVLVDSWHHKYDSVRTYGVYLAFAVLFFQVTPRLLRAWKWCLRAQVYLALFVMCYMGFSSHGSYFSLRAETVDAPNGRCVKELQVYVSCNVRSWDPDCVALFNPRLRGIMYKALDTLSQSVAAGFLFTGLVKYVAAVYNNFDSRSYLRDTYVLYAEGASVLIVTATITVGAMLGHTITDPRYPYRISDDGCYFGRKYPANVLTLIAFPLSAVLLCLVDLLVFVWRRQM
+>sp|Q8IY26|PLPP6_HUMAN Phospholipid phosphatase 6 OS=Homo sapiens OX=9606 GN=PLPP6 PE=1 SV=3
+MPSPRRSMEGRPLGVSASSSSSSPGSPAHGGGGGGSRFEFQSLLSSRATAVDPTCARLRASESPVHRRGSFPLAAAGPSQSPAPPLPEEDRMDLNPSFLGIALRSLLAIDLWLSKKLGVCAGESSSWGSVRPLMKLLEISGHGIPWLLGTLYCLCRSDSWAGREVLMNLLFALLLDLLLVALIKGLVRRRRPAHNQMDMFVTLSVDKYSFPSGHATRAALMSRFILNHLVLAIPLRVLVVLWAFVLGLSRVMLGRHNVTDVAFGFFLGYMQYSIVDYCWLSPHNAPVLFLLWSQR
+>DECOY_sp|Q8IY26|PLPP6_HUMAN Phospholipid phosphatase 6 OS=Homo sapiens OX=9606 GN=PLPP6 PE=1 SV=3
+RQSWLLFLVPANHPSLWCYDVISYQMYGLFFGFAVDTVNHRGLMVRSLGLVFAWLVVLVRLPIALVLHNLIFRSMLAARTAHGSPFSYKDVSLTVFMDMQNHAPRRRRVLGKILAVLLLDLLLAFLLNMLVERGAWSDSRCLCYLTGLLWPIGHGSIELLKMLPRVSGWSSSEGACVGLKKSLWLDIALLSRLAIGLFSPNLDMRDEEPLPPAPSQSPGAAALPFSGRRHVPSESARLRACTPDVATARSSLLSQFEFRSGGGGGGHAPSGPSSSSSSASVGLPRGEMSRRPSPM
+>sp|Q96GD0|PLPP_HUMAN Pyridoxal phosphate phosphatase OS=Homo sapiens OX=9606 GN=PDXP PE=1 SV=2
+MARCERLRGAALRDVLGRAQGVLFDCDGVLWNGERAVPGAPELLERLARAGKAALFVSNNSRRARPELALRFARLGFGGLRAEQLFSSALCAARLLRQRLPGPPDAPGAVFVLGGEGLRAELRAAGLRLAGDPSAGDGAAPRVRAVLVGYDEHFSFAKLREACAHLRDPECLLVATDRDPWHPLSDGSRTPGTGSLAAAVETASGRQALVVGKPSPYMFECITENFSIDPARTLMVGDRLETDILFGHRCGMTTVLTLTGVSRLEEAQAYLAAGQHDLVPHYYVESIADLTEGLED
+>DECOY_sp|Q96GD0|PLPP_HUMAN Pyridoxal phosphate phosphatase OS=Homo sapiens OX=9606 GN=PDXP PE=1 SV=2
+DELGETLDAISEVYYHPVLDHQGAALYAQAEELRSVGTLTLVTTMGCRHGFLIDTELRDGVMLTRAPDISFNETICEFMYPSPKGVVLAQRGSATEVAAALSGTGPTRSGDSLPHWPDRDTAVLLCEPDRLHACAERLKAFSFHEDYGVLVARVRPAAGDGASPDGALRLGAARLEARLGEGGLVFVAGPADPPGPLRQRLLRAACLASSFLQEARLGGFGLRAFRLALEPRARRSNNSVFLAAKGARALRELLEPAGPVAREGNWLVGDCDFLVGQARGLVDRLAAGRLRECRAM
+>sp|Q96GM1|PLPR2_HUMAN Phospholipid phosphatase-related protein type 2 OS=Homo sapiens OX=9606 GN=PLPPR2 PE=2 SV=1
+MAGGRPHLKRSFSIIPCFVFVESVLLGIVILLAYRLEFTDTFPVHTQGFFCYDSTYAKPYPGPEAASRVPPALVYALVTAGPTLTILLGELARAFFPAPPSAVPVIGESTIVSGACCRFSPPVRRLVRFLGVYSFGLFTTTIFANAGQVVTGNPTPHFLSVCRPNYTALGCLPPSPDRPGPDRFVTDQGACAGSPSLVAAARRAFPCKDAALCAYAVTYTAMYVTLVFRVKGSRLVKPSLCLALLCPAFLVGVVRVAEYRNHWSDVLAGFLTGAAIATFLVTCVVHNFQSRPPSGRRLSPWEDLGQAPTMDSPLEKNPRSAGRIRHRHGSPHPSRRTAPAVAT
+>DECOY_sp|Q96GM1|PLPR2_HUMAN Phospholipid phosphatase-related protein type 2 OS=Homo sapiens OX=9606 GN=PLPPR2 PE=2 SV=1
+TAVAPATRRSPHPSGHRHRIRGASRPNKELPSDMTPAQGLDEWPSLRRGSPPRSQFNHVVCTVLFTAIAAGTLFGALVDSWHNRYEAVRVVGVLFAPCLLALCLSPKVLRSGKVRFVLTVYMATYTVAYACLAADKCPFARRAAAVLSPSGACAGQDTVFRDPGPRDPSPPLCGLATYNPRCVSLFHPTPNGTVVQGANAFITTTFLGFSYVGLFRVLRRVPPSFRCCAGSVITSEGIVPVASPPAPFFARALEGLLITLTPGATVLAYVLAPPVRSAAEPGPYPKAYTSDYCFFGQTHVPFTDTFELRYALLIVIGLLVSEVFVFCPIISFSRKLHPRGGAM
+>sp|Q7Z2D5|PLPR4_HUMAN Phospholipid phosphatase-related protein type 4 OS=Homo sapiens OX=9606 GN=PLPPR4 PE=1 SV=1
+MQRAGSSGGRGECDISGAGRLGLEEAARLSCAVHTSPGGGRRPGQAAGMSAKERPKGKVIKDSVTLLPCFYFVELPILASSVVSLYFLELTDVFKPVHSGFSCYDRSLSMPYIEPTQEAIPFLMLLSLAFAGPAITIMVGEGILYCCLSKRRNGVGLEPNINAGGCNFNSFLRRAVRFVGVHVFGLCSTALITDIIQLSTGYQAPYFLTVCKPNYTSLNVSCKENSYIVEDICSGSDLTVINSGRKSFPSQHATLAAFAAVYVSMYFNSTLTDSSKLLKPLLVFTFIICGIICGLTRITQYKNHPVDVYCGFLIGGGIALYLGLYAVGNFLPSDESMFQHRDALRSLTDLNQDPNRLLSAKNGSSSDGIAHTEGILNRNHRDASSLTNLKRANADVEIITPRSPMGKENMVTFSNTLPRANTPSVEDPVRRNASIHASMDSARSKQLLTQWKNKNESRKLSLQVIEPEPGQSPPRSIEMRSSSEPSRVGVNGDHHGPGNQYLKIQPGAVPGCNNSMPGGPRVSIQSRPGSSQLVHIPEETQENISTSPKSSSARAKWLKAAEKTVACNRSNSQPRIMQVIAMSKQQGVLQSSPKNTEGSTVSCTGSIRYKTLTDHEPSGIVRVEAHPENNRPIIQIPSTEGEGSGSWKWKAPEKGSLRQTYELNDLNRDSESCESLKDSFGSGDRKRSNIDSNEHHHHGITTIRVTPVEGSEIGSETLSISSSRDSTLRRKGNIILIPERSNSPENTRNIFYKGTSPTRAYKD
+>DECOY_sp|Q7Z2D5|PLPR4_HUMAN Phospholipid phosphatase-related protein type 4 OS=Homo sapiens OX=9606 GN=PLPPR4 PE=1 SV=1
+DKYARTPSTGKYFINRTNEPSNSREPILIINGKRRLTSDRSSSISLTESGIESGEVPTVRITTIGHHHHENSDINSRKRDGSGFSDKLSECSESDRNLDNLEYTQRLSGKEPAKWKWSGSGEGETSPIQIIPRNNEPHAEVRVIGSPEHDTLTKYRISGTCSVTSGETNKPSSQLVGQQKSMAIVQMIRPQSNSRNCAVTKEAAKLWKARASSSKPSTSINEQTEEPIHVLQSSGPRSQISVRPGGPMSNNCGPVAGPQIKLYQNGPGHHDGNVGVRSPESSSRMEISRPPSQGPEPEIVQLSLKRSENKNKWQTLLQKSRASDMSAHISANRRVPDEVSPTNARPLTNSFTVMNEKGMPSRPTIIEVDANARKLNTLSSADRHNRNLIGETHAIGDSSSGNKASLLRNPDQNLDTLSRLADRHQFMSEDSPLFNGVAYLGLYLAIGGGILFGCYVDVPHNKYQTIRTLGCIIGCIIFTFVLLPKLLKSSDTLTSNFYMSVYVAAFAALTAHQSPFSKRGSNIVTLDSGSCIDEVIYSNEKCSVNLSTYNPKCVTLFYPAQYGTSLQIIDTILATSCLGFVHVGVFRVARRLFSNFNCGGANINPELGVGNRRKSLCCYLIGEGVMITIAPGAFALSLLMLFPIAEQTPEIYPMSLSRDYCSFGSHVPKFVDTLELFYLSVVSSALIPLEVFYFCPLLTVSDKIVKGKPREKASMGAAQGPRRGGGPSTHVACSLRAAEELGLRGAGSIDCEGRGGSSGARQM
+>sp|P13796|PLSL_HUMAN Plastin-2 OS=Homo sapiens OX=9606 GN=LCP1 PE=1 SV=6
+MARGSVSDEEMMELREAFAKVDTDGNGYISFNELNDLFKAACLPLPGYRVREITENLMATGDLDQDGRISFDEFIKIFHGLKSTDVAKTFRKAINKKEGICAIGGTSEQSSVGTQHSYSEEEKYAFVNWINKALENDPDCRHVIPMNPNTNDLFNAVGDGIVLCKMINLSVPDTIDERTINKKKLTPFTIQENLNLALNSASAIGCHVVNIGAEDLKEGKPYLVLGLLWQVIKIGLFADIELSRNEALIALLREGESLEDLMKLSPEELLLRWANYHLENAGCNKIGNFSTDIKDSKAYYHLLEQVAPKGDEEGVPAVVIDMSGLREKDDIQRAECMLQQAERLGCRQFVTATDVVRGNPKLNLAFIANLFNRYPALHKPENQDIDWGALEGETREERTFRNWMNSLGVNPRVNHLYSDLSDALVIFQLYEKIKVPVDWNRVNKPPYPKLGGNMKKLENCNYAVELGKNQAKFSLVGIGGQDLNEGNRTLTLALIWQLMRRYTLNILEEIGGGQKVNDDIIVNWVNETLREAKKSSSISSFKDPKISTSLPVLDLIDAIQPGSINYDLLKTENLNDDEKLNNAKYAISMARKIGARVYALPEDLVEVNPKMVMTVFACLMGKGMKRV
+>DECOY_sp|P13796|PLSL_HUMAN Plastin-2 OS=Homo sapiens OX=9606 GN=LCP1 PE=1 SV=6
+VRKMGKGMLCAFVTMVMKPNVEVLDEPLAYVRAGIKRAMSIAYKANNLKEDDNLNETKLLDYNISGPQIADILDLVPLSTSIKPDKFSSISSSKKAERLTENVWNVIIDDNVKQGGGIEELINLTYRRMLQWILALTLTRNGENLDQGGIGVLSFKAQNKGLEVAYNCNELKKMNGGLKPYPPKNVRNWDVPVKIKEYLQFIVLADSLDSYLHNVRPNVGLSNMWNRFTREERTEGELAGWDIDQNEPKHLAPYRNFLNAIFALNLKPNGRVVDTATVFQRCGLREAQQLMCEARQIDDKERLGSMDIVVAPVGEEDGKPAVQELLHYYAKSDKIDTSFNGIKNCGANELHYNAWRLLLEEPSLKMLDELSEGERLLAILAENRSLEIDAFLGIKIVQWLLGLVLYPKGEKLDEAGINVVHCGIASASNLALNLNEQITFPTLKKKNITREDITDPVSLNIMKCLVIGDGVANFLDNTNPNMPIVHRCDPDNELAKNIWNVFAYKEEESYSHQTGVSSQESTGGIACIGEKKNIAKRFTKAVDTSKLGHFIKIFEDFSIRGDQDLDGTAMLNETIERVRYGPLPLCAAKFLDNLENFSIYGNGDTDVKAFAERLEMMEEDSVSGRAM
+>sp|P29590|PML_HUMAN Protein PML OS=Homo sapiens OX=9606 GN=PML PE=1 SV=3
+MEPAPARSPRPQQDPARPQEPTMPPPETPSEGRQPSPSPSPTERAPASEEEFQFLRCQQCQAEAKCPKLLPCLHTLCSGCLEASGMQCPICQAPWPLGADTPALDNVFFESLQRRLSVYRQIVDAQAVCTRCKESADFWCFECEQLLCAKCFEAHQWFLKHEARPLAELRNQSVREFLDGTRKTNNIFCSNPNHRTPTLTSIYCRGCSKPLCCSCALLDSSHSELKCDISAEIQQRQEELDAMTQALQEQDSAFGAVHAQMHAAVGQLGRARAETEELIRERVRQVVAHVRAQERELLEAVDARYQRDYEEMASRLGRLDAVLQRIRTGSALVQRMKCYASDQEVLDMHGFLRQALCRLRQEEPQSLQAAVRTDGFDEFKVRLQDLSSCITQGKDAAVSKKASPEAASTPRDPIDVDLPEEAERVKAQVQALGLAEAQPMAVVQSVPGAHPVPVYAFSIKGPSYGEDVSNTTTAQKRKCSQTQCPRKVIKMESEEGKEARLARSSPEQPRPSTSKAVSPPHLDGPPSPRSPVIGSEVFLPNSNHVASGAGEAEERVVVISSSEDSDAENSSSRELDDSSSESSDLQLEGPSTLRVLDENLADPQAEDRPLVFFDLKIDNETQKISQLAAVNRESKFRVVIQPEAFFSIYSKAVSLEVGLQHFLSFLSSMRRPILACYKLWGPGLPNFFRALEDINRLWEFQEAISGFLAALPLIRERVPGASSFKLKNLAQTYLARNMSERSAMAAVLAMRDLCRLLEVSPGPQLAQHVYPFSSLQCFASLQPLVQAAVLPRAEARLLALHNVSFMELLSAHRRDRQGGLKKYSRYLSLQTTTLPPAQPAFNLQALGTYFEGLLEGPALARAEGVSTPLAGRGLAERASQQS
+>DECOY_sp|P29590|PML_HUMAN Protein PML OS=Homo sapiens OX=9606 GN=PML PE=1 SV=3
+SQQSAREALGRGALPTSVGEARALAPGELLGEFYTGLAQLNFAPQAPPLTTTQLSLYRSYKKLGGQRDRRHASLLEMFSVNHLALLRAEARPLVAAQVLPQLSAFCQLSSFPYVHQALQPGPSVELLRCLDRMALVAAMASRESMNRALYTQALNKLKFSSAGPVRERILPLAALFGSIAEQFEWLRNIDELARFFNPLGPGWLKYCALIPRRMSSLFSLFHQLGVELSVAKSYISFFAEPQIVVRFKSERNVAALQSIKQTENDIKLDFFVLPRDEAQPDALNEDLVRLTSPGELQLDSSESSSDDLERSSSNEADSDESSSIVVVREEAEGAGSAVHNSNPLFVESGIVPSRPSPPGDLHPPSVAKSTSPRPQEPSSRALRAEKGEESEMKIVKRPCQTQSCKRKQATTTNSVDEGYSPGKISFAYVPVPHAGPVSQVVAMPQAEALGLAQVQAKVREAEEPLDVDIPDRPTSAAEPSAKKSVAADKGQTICSSLDQLRVKFEDFGDTRVAAQLSQPEEQRLRCLAQRLFGHMDLVEQDSAYCKMRQVLASGTRIRQLVADLRGLRSAMEEYDRQYRADVAELLEREQARVHAVVQRVRERILEETEARARGLQGVAAHMQAHVAGFASDQEQLAQTMADLEEQRQQIEASIDCKLESHSSDLLACSCCLPKSCGRCYISTLTPTRHNPNSCFINNTKRTGDLFERVSQNRLEALPRAEHKLFWQHAEFCKACLLQECEFCWFDASEKCRTCVAQADVIQRYVSLRRQLSEFFVNDLAPTDAGLPWPAQCIPCQMGSAELCGSCLTHLCPLLKPCKAEAQCQQCRLFQFEEESAPARETPSPSPSPQRGESPTEPPPMTPEQPRAPDQQPRPSRAPAPEM
+>sp|Q9ULN7|PNM8B_HUMAN Paraneoplastic antigen-like protein 8B OS=Homo sapiens OX=9606 GN=PNMA8B PE=2 SV=4
+MAMSLLQDWCRSLDVDAHRALLVTGIPEGLEQADVEAVLQPTLLPLGTFRLRHMKALMNEKAQAALVEFVEDVNHAAIPREIPGKDGVWRVLWKDRAQDTRVLRQMRRLLLDDGPTQAAEAGTPGEAPTPPASETQAQDSGEVTGQAGSLLGAARNPRRGRRGRRNRTRRNRLTQKGKKRSRGGRPSAPARSEAEDSSDESLGIVIEEIDQGDLSGEEDQSALYATLQAAARELVRQWAPCNSEGEEDGPREFLALVTVTDKSKKEEAEKEPAGAESIRLNTKEDKNGVPDLVALLAVRDTPDEEPVDSDTSESDSQESGDQETEELDNPEFVAIVAYTDPSDPWAREEMLKIASVIESLGWSDEKDKRDPLRQVLSVMSKDTNGTRVKVEEAGREVDAVVLRKAGDDGDLRECISTLAQPDLPPQAKKAGRGLFGGWSEHREDEGGLLELVALLAAQDMAEVMKEEKENAWEGGKYKYPKGKLGEVLALLAARENMGSNEGSEEASDEQSEEESEDTESEASEPEDRASRKPRAKRARTAPRGLTPAGAPPTASGARKTRAGGRGRGRGVTPEKKAGSRGSAQDDAAGSRKKKGSAGAGAHARAGEAKGQAPTGSKAARGKKARRGRRLPPKCR
+>DECOY_sp|Q9ULN7|PNM8B_HUMAN Paraneoplastic antigen-like protein 8B OS=Homo sapiens OX=9606 GN=PNMA8B PE=2 SV=4
+RCKPPLRRGRRAKKGRAAKSGTPAQGKAEGARAHAGAGASGKKKRSGAADDQASGRSGAKKEPTVGRGRGRGGARTKRAGSATPPAGAPTLGRPATRARKARPKRSARDEPESAESETDESEEESQEDSAEESGENSGMNERAALLALVEGLKGKPYKYKGGEWANEKEEKMVEAMDQAALLAVLELLGGEDERHESWGGFLGRGAKKAQPPLDPQALTSICERLDGDDGAKRLVVADVERGAEEVKVRTGNTDKSMVSLVQRLPDRKDKEDSWGLSEIVSAIKLMEERAWPDSPDTYAVIAVFEPNDLEETEQDGSEQSDSESTDSDVPEEDPTDRVALLAVLDPVGNKDEKTNLRISEAGAPEKEAEEKKSKDTVTVLALFERPGDEEGESNCPAWQRVLERAAAQLTAYLASQDEEGSLDGQDIEEIVIGLSEDSSDEAESRAPASPRGGRSRKKGKQTLRNRRTRNRRGRRGRRPNRAAGLLSGAQGTVEGSDQAQTESAPPTPAEGPTGAEAAQTPGDDLLLRRMQRLVRTDQARDKWLVRWVGDKGPIERPIAAHNVDEVFEVLAAQAKENMLAKMHRLRFTGLPLLTPQLVAEVDAQELGEPIGTVLLARHADVDLSRCWDQLLSMAM
+>sp|Q8ND90|PNMA1_HUMAN Paraneoplastic antigen Ma1 OS=Homo sapiens OX=9606 GN=PNMA1 PE=1 SV=2
+MAMTLLEDWCRGMDVNSQRALLVWGIPVNCDEAEIEETLQAAMPQVSYRMLGRMFWREENAKAALLELTGAVDYAAIPREMPGKGGVWKVLFKPPTSDAEFLERLHLFLAREGWTVQDVARVLGFQNPTPTPGPEMPAEMLNYILDNVIQPLVESIWYKRLTLFSGRDIPGPGEETFDPWLEHTNEVLEEWQVSDVEKRRRLMESLRGPAADVIRILKSNNPAITTAECLKALEQVFGSVESSRDAQIKFLNTYQNPGEKLSAYVIRLEPLLQKVVEKGAIDKDNVNQARLEQVIAGANHSGAIRRQLWLTGAGEGPAPNLFQLLVQIREEEAKEEEEEAEATLLQLGLEGHF
+>DECOY_sp|Q8ND90|PNMA1_HUMAN Paraneoplastic antigen Ma1 OS=Homo sapiens OX=9606 GN=PNMA1 PE=1 SV=2
+FHGELGLQLLTAEAEEEEEKAEEERIQVLLQFLNPAPGEGAGTLWLQRRIAGSHNAGAIVQELRAQNVNDKDIAGKEVVKQLLPELRIVYASLKEGPNQYTNLFKIQADRSSEVSGFVQELAKLCEATTIAPNNSKLIRIVDAAPGRLSEMLRRRKEVDSVQWEELVENTHELWPDFTEEGPGPIDRGSFLTLRKYWISEVLPQIVNDLIYNLMEAPMEPGPTPTPNQFGLVRAVDQVTWGERALFLHLRELFEADSTPPKFLVKWVGGKGPMERPIAAYDVAGTLELLAAKANEERWFMRGLMRYSVQPMAAQLTEEIEAEDCNVPIGWVLLARQSNVDMGRCWDELLTMAM
+>sp|P11086|PNMT_HUMAN Phenylethanolamine N-methyltransferase OS=Homo sapiens OX=9606 GN=PNMT PE=1 SV=1
+MSGADRSPNAGAAPDSAPGQAAVASAYQRFEPRAYLRNNYAPPRGDLCNPNGVGPWKLRCLAQTFATGEVSGRTLIDIGSGPTVYQLLSACSHFEDITMTDFLEVNRQELGRWLQEEPGAFNWSMYSQHACLIEGKGECWQDKERQLRARVKRVLPIDVHQPQPLGAGSPAPLPADALVSAFCLEAVSPDLASFQRALDHITTLLRPGGHLLLIGALEESWYLAGEARLTVVPVSEEEVREALVRSGYKVRDLRTYIMPAHLQTGVDDVKGVFFAWAQKVGL
+>DECOY_sp|P11086|PNMT_HUMAN Phenylethanolamine N-methyltransferase OS=Homo sapiens OX=9606 GN=PNMT PE=1 SV=1
+LGVKQAWAFFVGKVDDVGTQLHAPMIYTRLDRVKYGSRVLAERVEEESVPVVTLRAEGALYWSEELAGILLLHGGPRLLTTIHDLARQFSALDPSVAELCFASVLADAPLPAPSGAGLPQPQHVDIPLVRKVRARLQREKDQWCEGKGEILCAHQSYMSWNFAGPEEQLWRGLEQRNVELFDTMTIDEFHSCASLLQYVTPGSGIDILTRGSVEGTAFTQALCRLKWPGVGNPNCLDGRPPAYNNRLYARPEFRQYASAVAAQGPASDPAAGANPSRDAGSM
+>sp|Q8TCS8|PNPT1_HUMAN Polyribonucleotide nucleotidyltransferase 1, mitochondrial OS=Homo sapiens OX=9606 GN=PNPT1 PE=1 SV=2
+MAACRYCCSCLRLRPLSDGPFLLPRRDRALTQLQVRALWSSAGSRAVAVDLGNRKLEISSGKLARFADGSAVVQSGDTAVMVTAVSKTKPSPSQFMPLVVDYRQKAAAAGRIPTNYLRREIGTSDKEILTSRIIDRSIRPLFPAGYFYDTQVLCNLLAVDGVNEPDVLAINGASVALSLSDIPWNGPVGAVRIGIIDGEYVVNPTRKEMSSSTLNLVVAGAPKSQIVMLEASAENILQQDFCHAIKVGVKYTQQIIQGIQQLVKETGVTKRTPQKLFTPSPEIVKYTHKLAMERLYAVFTDYEHDKVSRDEAVNKIRLDTEEQLKEKFPEADPYEIIESFNVVAKEVFRSIVLNEYKRCDGRDLTSLRNVSCEVDMFKTLHGSALFQRGQTQVLCTVTFDSLESGIKSDQVITAINGIKDKNFMLHYEFPPYATNEIGKVTGLNRRELGHGALAEKALYPVIPRDFPFTIRVTSEVLESNGSSSMASACGGSLALMDSGVPISSAVAGVAIGLVTKTDPEKGEIEDYRLLTDILGIEDYNGDMDFKIAGTNKGITALQADIKLPGIPIKIVMEAIQQASVAKKEILQIMNKTISKPRASRKENGPVVETVQVPLSKRAKFVGPGGYNLKKLQAETGVTISQVDEETFSVFAPTPSAMHEARDFITEICKDDQEQQLEFGAVYTATITEIRDTGVMVKLYPNMTAVLLHNTQLDQRKIKHPTALGLEVGQEIQVKYFGRDPADGRMRLSRKVLQSPATTVVRTLNDRSSIVMGEPISQSSSNSQ
+>DECOY_sp|Q8TCS8|PNPT1_HUMAN Polyribonucleotide nucleotidyltransferase 1, mitochondrial OS=Homo sapiens OX=9606 GN=PNPT1 PE=1 SV=2
+QSNSSSQSIPEGMVISSRDNLTRVVTTAPSQLVKRSLRMRGDAPDRGFYKVQIEQGVELGLATPHKIKRQDLQTNHLLVATMNPYLKVMVGTDRIETITATYVAGFELQQEQDDKCIETIFDRAEHMASPTPAFVSFTEEDVQSITVGTEAQLKKLNYGGPGVFKARKSLPVQVTEVVPGNEKRSARPKSITKNMIQLIEKKAVSAQQIAEMVIKIPIGPLKIDAQLATIGKNTGAIKFDMDGNYDEIGLIDTLLRYDEIEGKEPDTKTVLGIAVGAVASSIPVGSDMLALSGGCASAMSSSGNSELVESTVRITFPFDRPIVPYLAKEALAGHGLERRNLGTVKGIENTAYPPFEYHLMFNKDKIGNIATIVQDSKIGSELSDFTVTCLVQTQGRQFLASGHLTKFMDVECSVNRLSTLDRGDCRKYENLVISRFVEKAVVNFSEIIEYPDAEPFKEKLQEETDLRIKNVAEDRSVKDHEYDTFVAYLREMALKHTYKVIEPSPTFLKQPTRKTVGTEKVLQQIGQIIQQTYKVGVKIAHCFDQQLINEASAELMVIQSKPAGAVVLNLTSSSMEKRTPNVVYEGDIIGIRVAGVPGNWPIDSLSLAVSAGNIALVDPENVGDVALLNCLVQTDYFYGAPFLPRISRDIIRSTLIEKDSTGIERRLYNTPIRGAAAAKQRYDVVLPMFQSPSPKTKSVATVMVATDGSQVVASGDAFRALKGSSIELKRNGLDVAVARSGASSWLARVQLQTLARDRRPLLFPGDSLPRLRLCSCCYRCAAM
+>sp|Q12796|PNRC1_HUMAN Proline-rich nuclear receptor coactivator 1 OS=Homo sapiens OX=9606 GN=PNRC1 PE=1 SV=1
+MTVVSVPQREPLVLGGRLAPLGFSSRGYFGALPMVTTAPPPLPRIPDPRALPPTLFLPHFLGGDGPCLTPQPRAPAALPNRSLAVAGGTPRAAPKKRRKKKVRASPAGQLPSRFHQYQQHRPSLEGGRSPATGPSGAQEVPGPAAALAPSPAAAAGTEGASPDLAPLRPAAPGQTPLRKEVLKSKMGKSEKIALPHGQLVHGIHLYEQPKINRQKSKYNLPLTKITSAKRNENNFWQDSVSSDRIQKQEKKPFKNTENIKNSHLKKSAFLTEVSQKENYAGAKFSDPPSPSVLPKPPSHWMGSTVENSNQNRELMAVHLKTLLKVQT
+>DECOY_sp|Q12796|PNRC1_HUMAN Proline-rich nuclear receptor coactivator 1 OS=Homo sapiens OX=9606 GN=PNRC1 PE=1 SV=1
+TQVKLLTKLHVAMLERNQNSNEVTSGMWHSPPKPLVSPSPPDSFKAGAYNEKQSVETLFASKKLHSNKINETNKFPKKEQKQIRDSSVSDQWFNNENRKASTIKTLPLNYKSKQRNIKPQEYLHIGHVLQGHPLAIKESKGMKSKLVEKRLPTQGPAAPRLPALDPSAGETGAAAAPSPALAAAPGPVEQAGSPGTAPSRGGELSPRHQQYQHFRSPLQGAPSARVKKKRRKKPAARPTGGAVALSRNPLAAPARPQPTLCPGDGGLFHPLFLTPPLARPDPIRPLPPPATTVMPLAGFYGRSSFGLPALRGGLVLPERQPVSVVTM
+>sp|Q01860|PO5F1_HUMAN POU domain, class 5, transcription factor 1 OS=Homo sapiens OX=9606 GN=POU5F1 PE=1 SV=1
+MAGHLASDFAFSPPPGGGGDGPGGPEPGWVDPRTWLSFQGPPGGPGIGPGVGPGSEVWGIPPCPPPYEFCGGMAYCGPQVGVGLVPQGGLETSQPEGEAGVGVESNSDGASPEPCTVTPGAVKLEKEKLEQNPEESQDIKALQKELEQFAKLLKQKRITLGYTQADVGLTLGVLFGKVFSQTTICRFEALQLSFKNMCKLRPLLQKWVEEADNNENLQEICKAETLVQARKRKRTSIENRVRGNLENLFLQCPKPTLQQISHIAQQLGLEKDVVRVWFCNRRQKGKRSSSDYAQREDFEAAGSPFSGGPVSFPLAPGPHFGTPGYGSPHFTALYSSVPFPEGEAFPPVSVTTLGSPMHSN
+>DECOY_sp|Q01860|PO5F1_HUMAN POU domain, class 5, transcription factor 1 OS=Homo sapiens OX=9606 GN=POU5F1 PE=1 SV=1
+NSHMPSGLTTVSVPPFAEGEPFPVSSYLATFHPSGYGPTGFHPGPALPFSVPGGSFPSGAAEFDERQAYDSSSRKGKQRRNCFWVRVVDKELGLQQAIHSIQQLTPKPCQLFLNELNGRVRNEISTRKRKRAQVLTEAKCIEQLNENNDAEEVWKQLLPRLKCMNKFSLQLAEFRCITTQSFVKGFLVGLTLGVDAQTYGLTIRKQKLLKAFQELEKQLAKIDQSEEPNQELKEKELKVAGPTVTCPEPSAGDSNSEVGVGAEGEPQSTELGGQPVLGVGVQPGCYAMGGCFEYPPPCPPIGWVESGPGVGPGIGPGGPPGQFSLWTRPDVWGPEPGGPGDGGGGPPPSFAFDSALHGAM
+>sp|Q9UQG0|POK11_HUMAN Endogenous retrovirus group K member 11 Pol protein OS=Homo sapiens OX=9606 GN=ERVK-11 PE=2 SV=2
+NKSRKRRNRVSFLGAATVEPPKPIPLTWKTEKPVWVNQWPLPKQKLEALHLLANEQLEKGHIEPSFSPWNSPVFVIQKKSGKWRMLTDLRAVNAVIQPMGPLQPGLPSPAMIPKDWPLIIIDLKDCFFTIPLAEQDCEKFAFTIPAINNKEPATRFQWKVLPQGMLNSPTICQTFVGRALQPVREKFSDCYIIHYIDDILCAAETKDKLIDCYTFLQAEVANAGLAIASDKIQTSTPFHYLGMQIENRKIKPQKIEIRKDTLKTLNDFQKLLGDINWIRPTLGIPTYAMSNLFSILRGDSDLNSKRILTPEATKEIKLVEEKIQSAQINRIDPLAPLQLLIFATAHSPTGIIIQNTDLVEWSFLPHSTVKTFTLYLDQIATLIGQTRLRIIKLCGNDPDKIVVPLTKEQVRQAFINSGAWQIGLANFVGIIDNHYPKTKIFQFLKMTTWILPKITRREPLENALTVFTDGSSNGKAAYTGPKERVIKTPYQSAQRAELVAVITVLQDFDQPINIISDSAYVVQATRDVETALIKYSMDDQLNQLFNLLQQTVRKRNFPFYITHIRAHTNLPGPLTKANEEADLLVSSALIKAQELHALTHVNAAGLKNKFDVTWKQAKDIVQHCTQCQVLHLPTQEAGVNPRGLCPNALWQMDVTHVPSFGRLSYVHVTVDTYSHFIWATCQTGESTSHVKKHLLSCFAVMGVPEKIKTDNGPGYCSKAFQKFLSQWKISHTTGIPYNSQGQAIVERTNRTLKTQLVKQKEGGDSKECTTPQMQLNLALYTLNFLNIYRNQTTTSAEQHLTGKKNSPHEGKLIWWKDNKNKTWEIGKVITWGRGFACVSPGENQLPVWIPTRHLKFYNEPIGDAKKRASTEMVTPVTWMDNPIEVYVNDSVWVPGPTDDRCPAKPEEEGMMINISIGYRYPPICLGRAPGCLMPTVQNWLVEVPIVSPICRFTYHMVSGMSLRPRVNYL
+>DECOY_sp|Q9UQG0|POK11_HUMAN Endogenous retrovirus group K member 11 Pol protein OS=Homo sapiens OX=9606 GN=ERVK-11 PE=2 SV=2
+LYNVRPRLSMGSVMHYTFRCIPSVIPVEVLWNQVTPMLCGPARGLCIPPYRYGISINIMMGEEEPKAPCRDDTPGPVWVSDNVYVEIPNDMWTVPTVMETSARKKADGIPENYFKLHRTPIWVPLQNEGPSVCAFGRGWTIVKGIEWTKNKNDKWWILKGEHPSNKKGTLHQEASTTTQNRYINLFNLTYLALNLQMQPTTCEKSDGGEKQKVLQTKLTRNTREVIAQGQSNYPIGTTHSIKWQSLFKQFAKSCYGPGNDTKIKEPVGMVAFCSLLHKKVHSTSEGTQCTAWIFHSYTDVTVHVYSLRGFSPVHTVDMQWLANPCLGRPNVGAEQTPLHLVQCQTCHQVIDKAQKWTVDFKNKLGAANVHTLAHLEQAKILASSVLLDAEENAKTLPGPLNTHARIHTIYFPFNRKRVTQQLLNFLQNLQDDMSYKILATEVDRTAQVVYASDSIINIPQDFDQLVTIVAVLEARQASQYPTKIVREKPGTYAAKGNSSGDTFVTLANELPERRTIKPLIWTTMKLFQFIKTKPYHNDIIGVFNALGIQWAGSNIFAQRVQEKTLPVVIKDPDNGCLKIIRLRTQGILTAIQDLYLTFTKVTSHPLFSWEVLDTNQIIIGTPSHATAFILLQLPALPDIRNIQASQIKEEVLKIEKTAEPTLIRKSNLDSDGRLISFLNSMAYTPIGLTPRIWNIDGLLKQFDNLTKLTDKRIEIKQPKIKRNEIQMGLYHFPTSTQIKDSAIALGANAVEAQLFTYCDILKDKTEAACLIDDIYHIIYCDSFKERVPQLARGVFTQCITPSNLMGQPLVKWQFRTAPEKNNIAPITFAFKECDQEALPITFFCDKLDIIILPWDKPIMAPSPLGPQLPGMPQIVANVARLDTLMRWKGSKKQIVFVPSNWPSFSPEIHGKELQENALLHLAELKQKPLPWQNVWVPKETKWTLPIPKPPEVTAAGLFSVRNRRKRSKN
+>sp|Q9BXR3|POK6_HUMAN Endogenous retrovirus group K member 6 Pol protein OS=Homo sapiens OX=9606 GN=ERVK-6 PE=2 SV=2
+NKSRKRRNRESLLGAATVEPPKPIPLTWKTEKPVWVNQWPLPKQKLEALHLLANEQLEKGHIEPSFSPWNSPVFVIQKKSGKWRMLTDLRAVNAVIQPMGPLQPGLPSPAMIPKDWPLIIIDLKDCFFTIPLAEQDCEKFAFTIPAINNKEPATRFQWKVLPQGMLNSPTICQTFVGRALQPVREKFSDCYIIHCIDDILCAAETKDKLIDCYTFLQAEVANAGLAIASDKIQTSTPFHYLGMQIENRKIKPQKIEIRKDTLKTLNDFQKLLGDINWIRPTLGIPTYAMSNLFSILRGDSDLNSKRMLTPEATKEIKLVEEKIQSAQINRIDPLAPLQLLIFATAHSPTGIIIQNTDLVEWSFLPHSTVKTFTLYLDQIATLIGQTRLRIIKLCGNDPDKIVVPLTKEQVRQAFINSGAWKIGLANFVGIIDNHYPKTKIFQFLKLTTWILPKITRREPLENALTVFTDGSSNGKAAYTGPKERVIKTPYQSAQRAELVAVITVLQDFDQPINIISDSAYVVQATRDVETALIKYSMDDQLNQLFNLLQQTVRKRNFPFYITHIRAHTNLPGPLTKANEQADLLVSSALIKAQELHALTHVNAAGLKNKFDVTWKQAKDIVQHCTQCQVLHLPTQEAGVNPRGLCPNALWQMDVTHVPSFGRLSYVHVTVDTYSHFIWATCQTGESTSHVKKHLLSCFAVMGVPEKIKTDNGPGYCSKAFQKFLSQWKISHTTGIPYNSQGQAIVERTNRTLKTQLVKQKEGGDSKECTTPQMQLNLALYTLNFLNIYRNQTTTSAEQHLTGKKNSPHEGKLIWWKDNKNKTWEIGKVITWGRGFACVSPGENQLPVWIPTRHLKFYNEPIRDAKKSTSAETETSQSSTVDSQDEQNGDVRRTDEVAIHQEGRAANLGTTKEADAVSYKISREHKGDTNPREYAACSLDDCINGGKSPYACRSSCS
+>DECOY_sp|Q9BXR3|POK6_HUMAN Endogenous retrovirus group K member 6 Pol protein OS=Homo sapiens OX=9606 GN=ERVK-6 PE=2 SV=2
+SCSSRCAYPSKGGNICDDLSCAAYERPNTDGKHERSIKYSVADAEKTTGLNAARGEQHIAVEDTRRVDGNQEDQSDVTSSQSTETEASTSKKADRIPENYFKLHRTPIWVPLQNEGPSVCAFGRGWTIVKGIEWTKNKNDKWWILKGEHPSNKKGTLHQEASTTTQNRYINLFNLTYLALNLQMQPTTCEKSDGGEKQKVLQTKLTRNTREVIAQGQSNYPIGTTHSIKWQSLFKQFAKSCYGPGNDTKIKEPVGMVAFCSLLHKKVHSTSEGTQCTAWIFHSYTDVTVHVYSLRGFSPVHTVDMQWLANPCLGRPNVGAEQTPLHLVQCQTCHQVIDKAQKWTVDFKNKLGAANVHTLAHLEQAKILASSVLLDAQENAKTLPGPLNTHARIHTIYFPFNRKRVTQQLLNFLQNLQDDMSYKILATEVDRTAQVVYASDSIINIPQDFDQLVTIVAVLEARQASQYPTKIVREKPGTYAAKGNSSGDTFVTLANELPERRTIKPLIWTTLKLFQFIKTKPYHNDIIGVFNALGIKWAGSNIFAQRVQEKTLPVVIKDPDNGCLKIIRLRTQGILTAIQDLYLTFTKVTSHPLFSWEVLDTNQIIIGTPSHATAFILLQLPALPDIRNIQASQIKEEVLKIEKTAEPTLMRKSNLDSDGRLISFLNSMAYTPIGLTPRIWNIDGLLKQFDNLTKLTDKRIEIKQPKIKRNEIQMGLYHFPTSTQIKDSAIALGANAVEAQLFTYCDILKDKTEAACLIDDICHIIYCDSFKERVPQLARGVFTQCITPSNLMGQPLVKWQFRTAPEKNNIAPITFAFKECDQEALPITFFCDKLDIIILPWDKPIMAPSPLGPQLPGMPQIVANVARLDTLMRWKGSKKQIVFVPSNWPSFSPEIHGKELQENALLHLAELKQKPLPWQNVWVPKETKWTLPIPKPPEVTAAGLLSERNRRKRSKN
+>sp|Q9Y244|POMP_HUMAN Proteasome maturation protein OS=Homo sapiens OX=9606 GN=POMP PE=1 SV=1
+MNARGLGSELKDSIPVTELSASGPFESHDLLRKGFSCVKNELLPSHPLELSEKNFQLNQDKMNFSTLRNIQGLFAPLKLQMEFKAVQQVQRLPFLSSSNLSLDVLRGNDETIGFEDILNDPSQSEVMGEPHLMVEYKLGLL
+>DECOY_sp|Q9Y244|POMP_HUMAN Proteasome maturation protein OS=Homo sapiens OX=9606 GN=POMP PE=1 SV=1
+LLGLKYEVMLHPEGMVESQSPDNLIDEFGITEDNGRLVDLSLNSSSLFPLRQVQQVAKFEMQLKLPAFLGQINRLTSFNMKDQNLQFNKESLELPHSPLLENKVCSFGKRLLDHSEFPGSASLETVPISDKLESGLGRANM
+>sp|Q6S8J7|POTEA_HUMAN POTE ankyrin domain family member A OS=Homo sapiens OX=9606 GN=POTEA PE=2 SV=1
+MVAEVSPKLAASPMKKPFGFRGKMGKWCCCCFPCCRGSGKNNMGAWRDHDDSAFTEPRYHVRREDLGKLHRAAWWGEVPRADLIVMLRGPGINKRDKKKRTALHLACANGNSEVVSLLLDRQCQLHVFDSKKRTALIKAVQCQEDECALMLLQHGTDPNLPDMYGNTALHYAVYNEDKLMAKTLLLYGADIESKNKGGLTPLLLAVHGQKQRMVKFLIKKKANLNALDRFGRTALILAVRCGSASIVSLLLQQNIDVFSQDVFGQTAEDYAVSSHHSIICQLLSDYKENQMPNNSSGNSNPEQDLKLTSEEEPQRLKGSENSQHEKVTQEPDINKDCDREVEEEMQKHGSNNVGLSENLTDGAAAGNGDGGLVPQRKSRKHENQQFPNTEIEEYHRPEKKSNEKNKVKSQIHSVDNLDDITWPSEIASEDYDLLFSNYETFTLLIEQLKMDFNDSASLSKIQDAVISEEHLLELKNSHYEQLTVEVEQMENMVHVLQK
+>DECOY_sp|Q6S8J7|POTEA_HUMAN POTE ankyrin domain family member A OS=Homo sapiens OX=9606 GN=POTEA PE=2 SV=1
+KQLVHVMNEMQEVEVTLQEYHSNKLELLHEESIVADQIKSLSASDNFDMKLQEILLTFTEYNSFLLDYDESAIESPWTIDDLNDVSHIQSKVKNKENSKKEPRHYEEIETNPFQQNEHKRSKRQPVLGGDGNGAAAGDTLNESLGVNNSGHKQMEEEVERDCDKNIDPEQTVKEHQSNESGKLRQPEEESTLKLDQEPNSNGSSNNPMQNEKYDSLLQCIISHHSSVAYDEATQGFVDQSFVDINQQLLLSVISASGCRVALILATRGFRDLANLNAKKKILFKVMRQKQGHVALLLPTLGGKNKSEIDAGYLLLTKAMLKDENYVAYHLATNGYMDPLNPDTGHQLLMLACEDEQCQVAKILATRKKSDFVHLQCQRDLLLSVVESNGNACALHLATRKKKDRKNIGPGRLMVILDARPVEGWWAARHLKGLDERRVHYRPETFASDDHDRWAGMNNKGSGRCCPFCCCCWKGMKGRFGFPKKMPSAALKPSVEAVM
+>sp|B7ZBB8|PP13G_HUMAN Protein phosphatase 1 regulatory subunit 3G OS=Homo sapiens OX=9606 GN=PPP1R3G PE=1 SV=1
+MEPIGARLSLEAPGPAPFREAPPAEELPAPVVPCVQGGGDGGGASETPSPDAQLGDRPLSPKEEAAPQEQEELLECRRRCRARSFSLPADPILQAAKFLQQQQQQAVALGGEGAEDAQLGPGGCCAKCKKRVQFADTLGLSLASVKHFSEAEEPQVPPAVLSRLRSFPMRAEDLEQLGGLLAAAAVAAPLSAPPSRLRPLFQLPGPSAAAERLQRQRVCLERVQCSTASGAEVKGSGRVLSCPGPRAVTVRYTFTEWRSFLDVPAELQPEPLEPQQPEAPSGASEPGSGDAKKEPGAECFHFSLCLPPGLQPEDEEDADERGVAVHFAVCYRCAQGEYWDNNAGANYTLRYARPADAL
+>DECOY_sp|B7ZBB8|PP13G_HUMAN Protein phosphatase 1 regulatory subunit 3G OS=Homo sapiens OX=9606 GN=PPP1R3G PE=1 SV=1
+LADAPRAYRLTYNAGANNDWYEGQACRYCVAFHVAVGREDADEEDEPQLGPPLCLSFHFCEAGPEKKADGSGPESAGSPAEPQQPELPEPQLEAPVDLFSRWETFTYRVTVARPGPCSLVRGSGKVEAGSATSCQVRELCVRQRQLREAAASPGPLQFLPRLRSPPASLPAAVAAAALLGGLQELDEARMPFSRLRSLVAPPVQPEEAESFHKVSALSLGLTDAFQVRKKCKACCGGPGLQADEAGEGGLAVAQQQQQQLFKAAQLIPDAPLSFSRARCRRRCELLEEQEQPAAEEKPSLPRDGLQADPSPTESAGGGDGGGQVCPVVPAPLEEAPPAERFPAPGPAELSLRAGIPEM
+>sp|Q9UHV8|PP13_HUMAN Galactoside-binding soluble lectin 13 OS=Homo sapiens OX=9606 GN=LGALS13 PE=1 SV=1
+MSSLPVPYKLPVSLSVGSCVIIKGTPIHSFINDPQLQVDFYTDMDEDSDIAFRFRVHFGNHVVMNRREFGIWMLEETTDYVPFEDGKQFELCIYVHYNEYEIKVNGIRIYGFVHRIPPSFVKMVQVSRDISLTSVCVCN
+>DECOY_sp|Q9UHV8|PP13_HUMAN Galactoside-binding soluble lectin 13 OS=Homo sapiens OX=9606 GN=LGALS13 PE=1 SV=1
+NCVCVSTLSIDRSVQVMKVFSPPIRHVFGYIRIGNVKIEYENYHVYICLEFQKGDEFPVYDTTEELMWIGFERRNMVVHNGFHVRFRFAIDSDEDMDTYFDVQLQPDNIFSHIPTGKIIVCSGVSLSVPLKYPVPLSSM
+>sp|Q9NXH3|PP14D_HUMAN Protein phosphatase 1 regulatory subunit 14D OS=Homo sapiens OX=9606 GN=PPP1R14D PE=1 SV=1
+MLSSSPASCTSPSPDGENPCKKVHWASGRRRTSSTDSESKSHPDSSKIPRSRRPSRLTVKYDRGQLQRWLEMEQWVDAQVQELFQDQATPSEPEIDLEALMDLSTEEQKTQLEAILGNCPRPTEAFISELLSQLKKLRRLSRPQK
+>DECOY_sp|Q9NXH3|PP14D_HUMAN Protein phosphatase 1 regulatory subunit 14D OS=Homo sapiens OX=9606 GN=PPP1R14D PE=1 SV=1
+KQPRSLRRLKKLQSLLESIFAETPRPCNGLIAELQTKQEETSLDMLAELDIEPESPTAQDQFLEQVQADVWQEMELWRQLQGRDYKVTLRSPRRSRPIKSSDPHSKSESDTSSTRRRGSAWHVKKCPNEGDPSPSTCSAPSSSLM
+>sp|P62136|PP1A_HUMAN Serine/threonine-protein phosphatase PP1-alpha catalytic subunit OS=Homo sapiens OX=9606 GN=PPP1CA PE=1 SV=1
+MSDSEKLNLDSIIGRLLEVQGSRPGKNVQLTENEIRGLCLKSREIFLSQPILLELEAPLKICGDIHGQYYDLLRLFEYGGFPPESNYLFLGDYVDRGKQSLETICLLLAYKIKYPENFFLLRGNHECASINRIYGFYDECKRRYNIKLWKTFTDCFNCLPIAAIVDEKIFCCHGGLSPDLQSMEQIRRIMRPTDVPDQGLLCDLLWSDPDKDVQGWGENDRGVSFTFGAEVVAKFLHKHDLDLICRAHQVVEDGYEFFAKRQLVTLFSAPNYCGEFDNAGAMMSVDETLMCSFQILKPADKNKGKYGQFSGLNPGGRPITPPRNSAKAKK
+>DECOY_sp|P62136|PP1A_HUMAN Serine/threonine-protein phosphatase PP1-alpha catalytic subunit OS=Homo sapiens OX=9606 GN=PPP1CA PE=1 SV=1
+KKAKASNRPPTIPRGGPNLGSFQGYKGKNKDAPKLIQFSCMLTEDVSMMAGANDFEGCYNPASFLTVLQRKAFFEYGDEVVQHARCILDLDHKHLFKAVVEAGFTFSVGRDNEGWGQVDKDPDSWLLDCLLGQDPVDTPRMIRRIQEMSQLDPSLGGHCCFIKEDVIAAIPLCNFCDTFTKWLKINYRRKCEDYFGYIRNISACEHNGRLLFFNEPYKIKYALLLCITELSQKGRDVYDGLFLYNSEPPFGGYEFLRLLDYYQGHIDGCIKLPAELELLIPQSLFIERSKLCLGRIENETLQVNKGPRSGQVELLRGIISDLNLKESDSM
+>sp|Q15435|PP1R7_HUMAN Protein phosphatase 1 regulatory subunit 7 OS=Homo sapiens OX=9606 GN=PPP1R7 PE=1 SV=1
+MAAERGAGQQQSQEMMEVDRRVESEESGDEEGKKHSSGIVADLSEQSLKDGEERGEEDPEEEHELPVDMETINLDRDAEDVDLNHYRIGKIEGFEVLKKVKTLCLRQNLIKCIENLEELQSLRELDLYDNQIKKIENLEALTELEILDISFNLLRNIEGVDKLTRLKKLFLVNNKISKIENLSNLHQLQMLELGSNRIRAIENIDTLTNLESLFLGKNKITKLQNLDALTNLTVLSMQSNRLTKIEGLQNLVNLRELYLSHNGIEVIEGLENNNKLTMLDIASNRIKKIENISHLTELQEFWMNDNLLESWSDLDELKGARSLETVYLERNPLQKDPQYRRKVMLALPSVRQIDATFVRF
+>DECOY_sp|Q15435|PP1R7_HUMAN Protein phosphatase 1 regulatory subunit 7 OS=Homo sapiens OX=9606 GN=PPP1R7 PE=1 SV=1
+FRVFTADIQRVSPLALMVKRRYQPDKQLPNRELYVTELSRAGKLEDLDSWSELLNDNMWFEQLETLHSINEIKKIRNSAIDLMTLKNNNELGEIVEIGNHSLYLERLNVLNQLGEIKTLRNSQMSLVTLNTLADLNQLKTIKNKGLFLSELNTLTDINEIARIRNSGLELMQLQHLNSLNEIKSIKNNVLFLKKLRTLKDVGEINRLLNFSIDLIELETLAELNEIKKIQNDYLDLERLSQLEELNEICKILNQRLCLTKVKKLVEFGEIKGIRYHNLDVDEADRDLNITEMDVPLEHEEEPDEEGREEGDKLSQESLDAVIGSSHKKGEEDGSEESEVRRDVEMMEQSQQQGAGREAAM
+>sp|Q96QC0|PP1RA_HUMAN Serine/threonine-protein phosphatase 1 regulatory subunit 10 OS=Homo sapiens OX=9606 GN=PPP1R10 PE=1 SV=1
+MGSGPIDPKELLKGLDSFLNRDGEVKSVDGISKIFSLMKEARKMVSRCTYLNILLQTRSPEILVKFIDVGGYKLLNNWLTYSKTTNNIPLLQQILLTLQHLPLTVDHLKQNNTAKLVKQLSKSSEDEELRKLASVLVSDWMAVIRSQSSTQPAEKDKKKRKDEGKSRTTLPERPLTEVKAETRAEEAPEKKREKPKSLRTTAPSHAKFRSTGLELETPSLVPVKKNASTVVVSDKYNLKPIPLKRQSNVAAPGDATPPAEKKYKPLNTTPNATKEIKVKIIPPQPMEGLGFLDALNSAPVPGIKIKKKKKVLSPTAAKPSPFEGKTSTEPSTAKPSSPEPAPPSEAMDADRPGTPVPPVEVPELMDTASLEPGALDAKPVESPGDPNQLTRKGRKRKSVTWPEEGKLREYFYFELDETERVNVNKIKDFGEAAKREILSDRHAFETARRLSHDNMEEKVPWVCPRPLVLPSPLVTPGSNSQERYIQAEREKGILQELFLNKESPHEPDPEPYEPIPPKLIPLDEECSMDETPYVETLEPGGSGGSPDGAGGSKLPPVLANLMGSMGAGKGPQGPGGGGINVQEILTSIMGSPNSHPSEELLKQPDYSDKIKQMLVPHGLLGPGPIANGFPPGGPGGPKGMQHFPPGPGGPMPGPHGGPGGPVGPRLLGPPPPPRGGDPFWDGPGDPMRGGPMRGGPGPGPGPYHRGRGGRGGNEPPPPPPPFRGARGGRSGGGPPNGRGGPGGGMVGGGGHRPHEGPGGGMGNSSGHRPHEGPGGGMGSGHRPHEGPGGSMGGGGGHRPHEGPGGGISGGSGHRPHEGPGGGMGAGGGHRPHEGPGGSMGGSGGHRPHEGPGHGGPHGHRPHDVPGHRGHDHRGPPPHEHRGHDGPGHGGGGHRGHDGGHSHGGDMSNRPVCRHFMMKGNCRYENNCAFYHPGVNGPPLP
+>DECOY_sp|Q96QC0|PP1RA_HUMAN Serine/threonine-protein phosphatase 1 regulatory subunit 10 OS=Homo sapiens OX=9606 GN=PPP1R10 PE=1 SV=1
+PLPPGNVGPHYFACNNEYRCNGKMMFHRCVPRNSMDGGHSHGGDHGRHGGGGHGPGDHGRHEHPPPGRHDHGRHGPVDHPRHGHPGGHGPGEHPRHGGSGGMSGGPGEHPRHGGGAGMGGGPGEHPRHGSGGSIGGGPGEHPRHGGGGGMSGGPGEHPRHGSGMGGGPGEHPRHGSSNGMGGGPGEHPRHGGGGVMGGGPGGRGNPPGGGSRGGRAGRFPPPPPPPENGGRGGRGRHYPGPGPGPGGRMPGGRMPDGPGDWFPDGGRPPPPPGLLRPGVPGGPGGHPGPMPGGPGPPFHQMGKPGGPGGPPFGNAIPGPGLLGHPVLMQKIKDSYDPQKLLEESPHSNPSGMISTLIEQVNIGGGGPGQPGKGAGMSGMLNALVPPLKSGGAGDPSGGSGGPELTEVYPTEDMSCEEDLPILKPPIPEYPEPDPEHPSEKNLFLEQLIGKEREAQIYREQSNSGPTVLPSPLVLPRPCVWPVKEEMNDHSLRRATEFAHRDSLIERKAAEGFDKIKNVNVRETEDLEFYFYERLKGEEPWTVSKRKRGKRTLQNPDGPSEVPKADLAGPELSATDMLEPVEVPPVPTGPRDADMAESPPAPEPSSPKATSPETSTKGEFPSPKAATPSLVKKKKKIKIGPVPASNLADLFGLGEMPQPPIIKVKIEKTANPTTNLPKYKKEAPPTADGPAAVNSQRKLPIPKLNYKDSVVVTSANKKVPVLSPTELELGTSRFKAHSPATTRLSKPKERKKEPAEEARTEAKVETLPREPLTTRSKGEDKRKKKDKEAPQTSSQSRIVAMWDSVLVSALKRLEEDESSKSLQKVLKATNNQKLHDVTLPLHQLTLLIQQLLPINNTTKSYTLWNNLLKYGGVDIFKVLIEPSRTQLLINLYTCRSVMKRAEKMLSFIKSIGDVSKVEGDRNLFSDLGKLLEKPDIPGSGM
+>sp|Q99570|PI3R4_HUMAN Phosphoinositide 3-kinase regulatory subunit 4 OS=Homo sapiens OX=9606 GN=PIK3R4 PE=1 SV=3
+MGNQLAGIAPSQILSVESYFSDIHDFEYDKSLGSTRFFKVARAKHREGLVVVKVFAIQDPTLPLTSYKQELEELKIRLNSAQNCLPFQKASEKASEKAAMLFRQYVRDNLYDRISTRPFLNNIEKRWIAFQILTAVDQAHKSGVRHGDIKTENVMVTSWNWVLLTDFASFKPTYLPEDNPADFNYFFDTSRRRTCYIAPERFVDGGMFATELEYMRDPSTPLVDLNSNQRTRGELKRAMDIFSAGCVIAELFTEGVPLFDLSQLLAYRNGHFFPEQVLNKIEDHSIRELVTQMIHREPDKRLEAEDYLKQQRGNAFPEIFYTFLQPYMAQFAKETFLSADERILVIRKDLGNIIHNLCGHDLPEKAEGEPKENGLVILVSVITSCLQTLKYCDSKLAALELILHLAPRLSVEILLDRITPYLLHFSNDSVPRVRAEALRTLTKVLALVKEVPRNDINIYPEYILPGIAHLAQDDATIVRLAYAENIALLAETALRFLELVQLKNLNMENDPNNEEIDEVTHPNGNYDTELQALHEMVQQKVVTLLSDPENIVKQTLMENGITRLCVFFGRQKANDVLLSHMITFLNDKNDWHLRGAFFDSIVGVAAYVGWQSSSILKPLLQQGLSDAEEFVIVKALYALTCMCQLGLLQKPHVYEFASDIAPFLCHPNLWIRYGAVGFITVVARQISTADVYCKLMPYLDPYITQPIIQIERKLVLLSVLKEPVSRSIFDYALRSKDITSLFRHLHMRQKKRNGSLPDCPPPEDPAIAQLLKKLLSQGMTEEEEDKLLALKDFMMKSNKAKANIVDQSHLHDSSQKGVIDLAALGITGRQVDLVKTKQEPDDKRARKHVKQDSNVNEEWKSMFGSLDPPNMPQALPKGSDQEVIQTGKPPRSESSAGICVPLSTSSQVPEVTTVQNKKPVIPVLSSTILPSTYQIRITTCKTELQQLIQQKREQCNAERIAKQMMENAEWESKPPPPGWRPKGLLVAHLHEHKSAVNRIRVSDEHSLFATCSNDGTVKIWNSQKMEGKTTTTRSILTYSRIGGRVKTLTFCQGSHYLAIASDNGAVQLLGIEASKLPKSPKIHPLQSRILDQKEDGCVVDMHHFNSGAQSVLAYATVNGSLVGWDLRSSSNAWTLKHDLKSGLITSFAVDIHQCWLCIGTSSGTMACWDMRFQLPISSHCHPSRARIRRLSMHPLYQSWVIAAVQGNNEVSMWDMETGDRRFTLWASSAPPLSELQPSPHSVHGIYCSPADGNPILLTAGSDMKIRFWDLAYPERSYVVAGSTSSPSVSYYRKIIEGTEVVQEIQNKQKVGPSDDTPRRGPESLPVGHHDIITDVATFQTTQGFIVTASRDGIVKVWK
+>DECOY_sp|Q99570|PI3R4_HUMAN Phosphoinositide 3-kinase regulatory subunit 4 OS=Homo sapiens OX=9606 GN=PIK3R4 PE=1 SV=3
+KWVKVIGDRSATVIFGQTTQFTAVDTIIDHHGVPLSEPGRRPTDDSPGVKQKNQIEQVVETGEIIKRYYSVSPSSTSGAVVYSREPYALDWFRIKMDSGATLLIPNGDAPSCYIGHVSHPSPQLESLPPASSAWLTFRRDGTEMDWMSVENNGQVAAIVWSQYLPHMSLRRIRARSPHCHSSIPLQFRMDWCAMTGSSTGICLWCQHIDVAFSTILGSKLDHKLTWANSSSRLDWGVLSGNVTAYALVSQAGSNFHHMDVVCGDEKQDLIRSQLPHIKPSKPLKSAEIGLLQVAGNDSAIALYHSGQCFTLTKVRGGIRSYTLISRTTTTKGEMKQSNWIKVTGDNSCTAFLSHEDSVRIRNVASKHEHLHAVLLGKPRWGPPPPKSEWEANEMMQKAIREANCQERKQQILQQLETKCTTIRIQYTSPLITSSLVPIVPKKNQVTTVEPVQSSTSLPVCIGASSESRPPKGTQIVEQDSGKPLAQPMNPPDLSGFMSKWEENVNSDQKVHKRARKDDPEQKTKVLDVQRGTIGLAALDIVGKQSSDHLHSQDVINAKAKNSKMMFDKLALLKDEEEETMGQSLLKKLLQAIAPDEPPPCDPLSGNRKKQRMHLHRFLSTIDKSRLAYDFISRSVPEKLVSLLVLKREIQIIPQTIYPDLYPMLKCYVDATSIQRAVVTIFGVAGYRIWLNPHCLFPAIDSAFEYVHPKQLLGLQCMCTLAYLAKVIVFEEADSLGQQLLPKLISSSQWGVYAAVGVISDFFAGRLHWDNKDNLFTIMHSLLVDNAKQRGFFVCLRTIGNEMLTQKVINEPDSLLTVVKQQVMEHLAQLETDYNGNPHTVEDIEENNPDNEMNLNKLQVLELFRLATEALLAINEAYALRVITADDQALHAIGPLIYEPYINIDNRPVEKVLALVKTLTRLAEARVRPVSDNSFHLLYPTIRDLLIEVSLRPALHLILELAALKSDCYKLTQLCSTIVSVLIVLGNEKPEGEAKEPLDHGCLNHIINGLDKRIVLIREDASLFTEKAFQAMYPQLFTYFIEPFANGRQQKLYDEAELRKDPERHIMQTVLERISHDEIKNLVQEPFFHGNRYALLQSLDFLPVGETFLEAIVCGASFIDMARKLEGRTRQNSNLDVLPTSPDRMYELETAFMGGDVFREPAIYCTRRRSTDFFYNFDAPNDEPLYTPKFSAFDTLLVWNWSTVMVNETKIDGHRVGSKHAQDVATLIQFAIWRKEINNLFPRTSIRDYLNDRVYQRFLMAAKESAKESAKQFPLCNQASNLRIKLEELEQKYSTLPLTPDQIAFVKVVVLGERHKARAVKFFRTSGLSKDYEFDHIDSFYSEVSLIQSPAIGALQNGM
+>sp|Q8TBX8|PI42C_HUMAN Phosphatidylinositol 5-phosphate 4-kinase type-2 gamma OS=Homo sapiens OX=9606 GN=PIP4K2C PE=1 SV=3
+MASSSVPPATVSAATAGPGPGFGFASKTKKKHFVQQKVKVFRAADPLVGVFLWGVAHSINELSQVPPPVMLLPDDFKASSKIKVNNHLFHRENLPSHFKFKEYCPQVFRNLRDRFGIDDQDYLVSLTRNPPSESEGSDGRFLISYDRTLVIKEVSSEDIADMHSNLSNYHQYIVKCHGNTLLPQFLGMYRVSVDNEDSYMLVMRNMFSHRLPVHRKYDLKGSLVSREASDKEKVKELPTLKDMDFLNKNQKVYIGEEEKKIFLEKLKRDVEFLVQLKIMDYSLLLGIHDIIRGSEPEEEAPVREDESEVDGDCSLTGPPALVGSYGTSPEGIGGYIHSHRPLGPGEFESFIDVYAIRSAEGAPQKEVYFMGLIDILTQYDAKKKAAHAAKTVKHGAGAEISTVHPEQYAKRFLDFITNIFA
+>DECOY_sp|Q8TBX8|PI42C_HUMAN Phosphatidylinositol 5-phosphate 4-kinase type-2 gamma OS=Homo sapiens OX=9606 GN=PIP4K2C PE=1 SV=3
+AFINTIFDLFRKAYQEPHVTSIEAGAGHKVTKAAHAAKKKADYQTLIDILGMFYVEKQPAGEASRIAYVDIFSEFEGPGLPRHSHIYGGIGEPSTGYSGVLAPPGTLSCDGDVESEDERVPAEEEPESGRIIDHIGLLLSYDMIKLQVLFEVDRKLKELFIKKEEEGIYVKQNKNLFDMDKLTPLEKVKEKDSAERSVLSGKLDYKRHVPLRHSFMNRMVLMYSDENDVSVRYMGLFQPLLTNGHCKVIYQHYNSLNSHMDAIDESSVEKIVLTRDYSILFRGDSGESESPPNRTLSVLYDQDDIGFRDRLNRFVQPCYEKFKFHSPLNERHFLHNNVKIKSSAKFDDPLLMVPPPVQSLENISHAVGWLFVGVLPDAARFVKVKQQVFHKKKTKSAFGFGPGPGATAASVTAPPVSSSAM
+>sp|Q99755|PI51A_HUMAN Phosphatidylinositol 4-phosphate 5-kinase type-1 alpha OS=Homo sapiens OX=9606 GN=PIP5K1A PE=1 SV=1
+MASASSGPSSSVGFSSFDPAVPSCTLSSAASGIKRPMASEVLEARQDSYISLVPYASGMPIKKIGHRSVDSSGETTYKKTTSSALKGAIQLGITHTVGSLSTKPERDVLMQDFYVVESIFFPSEGSNLTPAHHYNDFRFKTYAPVAFRYFRELFGIRPDDYLYSLCSEPLIELCSSGASGSLFYVSSDDEFIIKTVQHKEAEFLQKLLPGYYMNLNQNPRTLLPKFYGLYCVQAGGKNIRIVVMNNLLPRSVKMHIKYDLKGSTYKRRASQKEREKPLPTFKDLDFLQDIPDGLFLDADMYNALCKTLQRDCLVLQSFKIMDYSLLMSIHNIDHAQREPLSSETQYSVDTRRPAPQKALYSTAMESIQGEARRGGTMETDDHMGGIPARNSKGERLLLYIGIIDILQSYRFVKKLEHSWKALVHDGDTVSVHRPGFYAERFQRFMCNTVFKKIPLKPSPSKKFRSGSSFSRRAGSSGNSCITYQPSVSGEHKAQVTTKAEVEPGVHLGRPDVLPQTPPLEEISEGSPIPDPSFSPLVGETLQMLTTSTTLEKLEVAESEFTH
+>DECOY_sp|Q99755|PI51A_HUMAN Phosphatidylinositol 4-phosphate 5-kinase type-1 alpha OS=Homo sapiens OX=9606 GN=PIP5K1A PE=1 SV=1
+HTFESEAVELKELTTSTTLMQLTEGVLPSFSPDPIPSGESIEELPPTQPLVDPRGLHVGPEVEAKTTVQAKHEGSVSPQYTICSNGSSGARRSFSSGSRFKKSPSPKLPIKKFVTNCMFRQFREAYFGPRHVSVTDGDHVLAKWSHELKKVFRYSQLIDIIGIYLLLREGKSNRAPIGGMHDDTEMTGGRRAEGQISEMATSYLAKQPAPRRTDVSYQTESSLPERQAHDINHISMLLSYDMIKFSQLVLCDRQLTKCLANYMDADLFLGDPIDQLFDLDKFTPLPKEREKQSARRKYTSGKLDYKIHMKVSRPLLNNMVVIRINKGGAQVCYLGYFKPLLTRPNQNLNMYYGPLLKQLFEAEKHQVTKIIFEDDSSVYFLSGSAGSSCLEILPESCLSYLYDDPRIGFLERFYRFAVPAYTKFRFDNYHHAPTLNSGESPFFISEVVYFDQMLVDREPKTSLSGVTHTIGLQIAGKLASSTTKKYTTEGSSDVSRHGIKKIPMGSAYPVLSIYSDQRAELVESAMPRKIGSAASSLTCSPVAPDFSSFGVSSSPGSSASAM
+>sp|O60331|PI51C_HUMAN Phosphatidylinositol 4-phosphate 5-kinase type-1 gamma OS=Homo sapiens OX=9606 GN=PIP5K1C PE=1 SV=2
+MELEVPDEAESAEAGAVPSEAAWAAESGAAAGLAQKKAAPTEVLSMTAQPGPGHGKKLGHRGVDASGETTYKKTTSSTLKGAIQLGIGYTVGHLSSKPERDVLMQDFYVVESIFFPSEGSNLTPAHHFQDFRFKTYAPVAFRYFRELFGIRPDDYLYSLCNEPLIELSNPGASGSLFYVTSDDEFIIKTVMHKEAEFLQKLLPGYYMNLNQNPRTLLPKFYGLYCVQSGGKNIRVVVMNNILPRVVKMHLKFDLKGSTYKRRASKKEKEKSFPTYKDLDFMQDMPEGLLLDADTFSALVKTLQRDCLVLESFKIMDYSLLLGVHNIDQHERERQAQGAQSTSDEKRPVGQKALYSTAMESIQGGAARGEAIESDDTMGGIPAVNGRGERLLLHIGIIDILQSYRFIKKLEHTWKALVHDGDTVSVHRPSFYAERFFKFMSNTVFRKNSSLKSSPSKKGRGGALLAVKPLGPTAAFSASQIPSEREEAQYDLRGARSYPTLEDEGRPDLLPCTPPSFEEATTASIATTLSSTSLSIPERSPSETSEQPRYRRRTQSSGQDGRPQEEPPAEEDLQQITVQVEPACSVEIVVPKEEDAGVEASPAGASAAVEVETASQASDEEGAPASQASDEEDAPATDIYFPTDERSWVYSPLHYSAQAPPASDGESDT
+>DECOY_sp|O60331|PI51C_HUMAN Phosphatidylinositol 4-phosphate 5-kinase type-1 gamma OS=Homo sapiens OX=9606 GN=PIP5K1C PE=1 SV=2
+TDSEGDSAPPAQASYHLPSYVWSREDTPFYIDTAPADEEDSAQSAPAGEEDSAQSATEVEVAASAGAPSAEVGADEEKPVVIEVSCAPEVQVTIQQLDEEAPPEEQPRGDQGSSQTRRRYRPQESTESPSREPISLSTSSLTTAISATTAEEFSPPTCPLLDPRGEDELTPYSRAGRLDYQAEERESPIQSASFAATPGLPKVALLAGGRGKKSPSSKLSSNKRFVTNSMFKFFREAYFSPRHVSVTDGDHVLAKWTHELKKIFRYSQLIDIIGIHLLLREGRGNVAPIGGMTDDSEIAEGRAAGGQISEMATSYLAKQGVPRKEDSTSQAGQAQREREHQDINHVGLLLSYDMIKFSELVLCDRQLTKVLASFTDADLLLGEPMDQMFDLDKYTPFSKEKEKKSARRKYTSGKLDFKLHMKVVRPLINNMVVVRINKGGSQVCYLGYFKPLLTRPNQNLNMYYGPLLKQLFEAEKHMVTKIIFEDDSTVYFLSGSAGPNSLEILPENCLSYLYDDPRIGFLERFYRFAVPAYTKFRFDQFHHAPTLNSGESPFFISEVVYFDQMLVDREPKSSLHGVTYGIGLQIAGKLTSSTTKKYTTEGSADVGRHGLKKGHGPGPQATMSLVETPAAKKQALGAAAGSEAAWAAESPVAGAEASEAEDPVELEM
+>sp|Q8N2W9|PIAS4_HUMAN E3 SUMO-protein ligase PIAS4 OS=Homo sapiens OX=9606 GN=PIAS4 PE=1 SV=1
+MAAELVEAKNMVMSFRVSDLQMLLGFVGRSKSGLKHELVTRALQLVQFDCSPELFKKIKELYETRYAKKNSEPAPQPHRPLDPLTMHSTYDRAGAVPRTPLAGPNIDYPVLYGKYLNGLGRLPAKTLKPEVRLVKLPFFNMLDELLKPTELVPQNNEKLQESPCIFALTPRQVELIRNSRELQPGVKAVQVVLRICYSDTSCPQEDQYPPNIAVKVNHSYCSVPGYYPSNKPGVEPKRPCRPINLTHLMYLSSATNRITVTWGNYGKSYSVALYLVRQLTSSELLQRLKTIGVKHPELCKALVKEKLRLDPDSEIATTGVRVSLICPLVKMRLSVPCRAETCAHLQCFDAVFYLQMNEKKPTWMCPVCDKPAPYDQLIIDGLLSKILSECEDADEIEYLVDGSWCPIRAEKERSCSPQGAILVLGPSDANGLLPAPSVNGSGALGSTGGGGPVGSMENGKPGADVVDLTLDSSSSSEDEEEEEEEEEDEDEEGPRPKRRCPFQKGLVPAC
+>DECOY_sp|Q8N2W9|PIAS4_HUMAN E3 SUMO-protein ligase PIAS4 OS=Homo sapiens OX=9606 GN=PIAS4 PE=1 SV=1
+CAPVLGKQFPCRRKPRPGEEDEDEEEEEEEEEDESSSSSDLTLDVVDAGPKGNEMSGVPGGGGTSGLAGSGNVSPAPLLGNADSPGLVLIAGQPSCSREKEARIPCWSGDVLYEIEDADECESLIKSLLGDIILQDYPAPKDCVPCMWTPKKENMQLYFVADFCQLHACTEARCPVSLRMKVLPCILSVRVGTTAIESDPDLRLKEKVLAKCLEPHKVGITKLRQLLESSTLQRVLYLAVSYSKGYNGWTVTIRNTASSLYMLHTLNIPRCPRKPEVGPKNSPYYGPVSCYSHNVKVAINPPYQDEQPCSTDSYCIRLVVQVAKVGPQLERSNRILEVQRPTLAFICPSEQLKENNQPVLETPKLLEDLMNFFPLKVLRVEPKLTKAPLRGLGNLYKGYLVPYDINPGALPTRPVAGARDYTSHMTLPDLPRHPQPAPESNKKAYRTEYLEKIKKFLEPSCDFQVLQLARTVLEHKLGSKSRGVFGLLMQLDSVRFSMVMNKAEVLEAAM
+>sp|Q9NRD5|PICK1_HUMAN PRKCA-binding protein OS=Homo sapiens OX=9606 GN=PICK1 PE=1 SV=2
+MFADLDYDIEEDKLGIPTVPGKVTLQKDAQNLIGISIGGGAQYCPCLYIVQVFDNTPAALDGTVAAGDEITGVNGRSIKGKTKVEVAKMIQEVKGEVTIHYNKLQADPKQGMSLDIVLKKVKHRLVENMSSGTADALGLSRAILCNDGLVKRLEELERTAELYKGMTEHTKNLLRAFYELSQTHRAFGDVFSVIGVREPQPAASEAFVKFADAHRSIEKFGIRLLKTIKPMLTDLNTYLNKAIPDTRLTIKKYLDVKFEYLSYCLKVKEMDDEEYSCIALGEPLYRVSTGNYEYRLILRCRQEARARFSQMRKDVLEKMELLDQKHVQDIVFQLQRLVSTMSKYYNDCYAVLRDADVFPIEVDLAHTTLAYGLNQEEFTDGEEEEEEEDTAAGEPSRDTRGAAGPLDKGGSWCDS
+>DECOY_sp|Q9NRD5|PICK1_HUMAN PRKCA-binding protein OS=Homo sapiens OX=9606 GN=PICK1 PE=1 SV=2
+SDCWSGGKDLPGAAGRTDRSPEGAATDEEEEEEEGDTFEEQNLGYALTTHALDVEIPFVDADRLVAYCDNYYKSMTSVLRQLQFVIDQVHKQDLLEMKELVDKRMQSFRARAEQRCRLILRYEYNGTSVRYLPEGLAICSYEEDDMEKVKLCYSLYEFKVDLYKKITLRTDPIAKNLYTNLDTLMPKITKLLRIGFKEISRHADAFKVFAESAAPQPERVGIVSFVDGFARHTQSLEYFARLLNKTHETMGKYLEATRELEELRKVLGDNCLIARSLGLADATGSSMNEVLRHKVKKLVIDLSMGQKPDAQLKNYHITVEGKVEQIMKAVEVKTKGKISRGNVGTIEDGAAVTGDLAAPTNDFVQVIYLCPCYQAGGGISIGILNQADKQLTVKGPVTPIGLKDEEIDYDLDAFM
+>sp|Q9HB75|PIDD1_HUMAN p53-induced death domain-containing protein 1 OS=Homo sapiens OX=9606 GN=PIDD1 PE=1 SV=2
+MAATVEGPELEAAAAAGDASEDSDAGSRALPFLGGNRLSLDLYPGGCQQLLHLCVQQPLQLLQVEFLRLSTHEDPQLLEATLAQLPQSLSCLRSLVLKGGQRRDTLGACLRGALTNLPAGLSGLAHLAHLDLSFNSLETLPACVLQMRGLGALLLSHNCLSELPEALGALPALTFLTVTHNRLQTLPPALGALSTLQRLDLSQNLLDTLPPEIGGLGSLLELNLASNRLQSLPASLAGLRSLRLLVLHSNLLASVPADLARLPLLTRLDLRDNQLRDLPPELLDAPFVRLQGNPLGEASPDAPSSPVAALIPEMPRLFLTSDLDSFPVTPQGCSVTLACGVRLQFPAGATATPITIRYRLLLPEPGLVPLGPHDALLSHVLELQPHGVAFQQDVGLWLLFTPPQARRCREVVVRTRNDNSWGDLETYLEEEAPQRLWAHCQVPHFSWFLVVSRPVSNACLVPPEGTLLCSSGHPGVKVIFPPGATEEPRRVSMQVVRMAGRELQALLGEPEAAVSPLLCLSQSGPPSFLQPVTVQLPLPSGITGLSLDRSRLHLLYWAPPAATWDDITAQVVLELTHLYARFQVTHFSWYWLWYTTKNCVGGLARKAWERLRLHRVNLIALQRRRDPEQVLLQCLPRNKVDATLRRLLERYRGPEPSDTVEMFEGEEFFAAFERGIDVDADRPDCVEGRICFVFYSHLKNVKEVYVTTTLDREAQAVRGQVSFYRGAVPVRVPEEAEAARQRKGADALWMATLPIKLPRLRGSEGPRRGAGLSLAPLNLGDAETGFLTQSNLLSVAGRLGLDWPAVALHLGVSYREVQRIRHEFRDDLDEQIRHMLFSWAERQAGQPGAVGLLVQALEQSDRQDVAEEVRAVLELGRRKYQDSIRRMGLAPKDPALPGSSAPQPPEPAQA
+>DECOY_sp|Q9HB75|PIDD1_HUMAN p53-induced death domain-containing protein 1 OS=Homo sapiens OX=9606 GN=PIDD1 PE=1 SV=2
+AQAPEPPQPASSGPLAPDKPALGMRRISDQYKRRGLELVARVEEAVDQRDSQELAQVLLGVAGPQGAQREAWSFLMHRIQEDLDDRFEHRIRQVERYSVGLHLAVAPWDLGLRGAVSLLNSQTLFGTEADGLNLPALSLGAGRRPGESGRLRPLKIPLTAMWLADAGKRQRAAEAEEPVRVPVAGRYFSVQGRVAQAERDLTTTVYVEKVNKLHSYFVFCIRGEVCDPRDADVDIGREFAAFFEEGEFMEVTDSPEPGRYRELLRRLTADVKNRPLCQLLVQEPDRRRQLAILNVRHLRLREWAKRALGGVCNKTTYWLWYWSFHTVQFRAYLHTLELVVQATIDDWTAAPPAWYLLHLRSRDLSLGTIGSPLPLQVTVPQLFSPPGSQSLCLLPSVAAEPEGLLAQLERGAMRVVQMSVRRPEETAGPPFIVKVGPHGSSCLLTGEPPVLCANSVPRSVVLFWSFHPVQCHAWLRQPAEEELYTELDGWSNDNRTRVVVERCRRAQPPTFLLWLGVDQQFAVGHPQLELVHSLLADHPGLPVLGPEPLLLRYRITIPTATAGAPFQLRVGCALTVSCGQPTVPFSDLDSTLFLRPMEPILAAVPSSPADPSAEGLPNGQLRVFPADLLEPPLDRLQNDRLDLRTLLPLRALDAPVSALLNSHLVLLRLSRLGALSAPLSQLRNSALNLELLSGLGGIEPPLTDLLNQSLDLRQLTSLAGLAPPLTQLRNHTVTLFTLAPLAGLAEPLESLCNHSLLLAGLGRMQLVCAPLTELSNFSLDLHALHALGSLGAPLNTLAGRLCAGLTDRRQGGKLVLSRLCSLSQPLQALTAELLQPDEHTSLRLFEVQLLQLPQQVCLHLLQQCGGPYLDLSLRNGGLFPLARSGADSDESADGAAAAAELEPGEVTAAM
+>sp|Q8TCI5|PIFO_HUMAN Protein pitchfork OS=Homo sapiens OX=9606 GN=PIFO PE=1 SV=2
+MCFSRADAADNYPFGTCQQRKLFPHFHPPNLIGNKFVPLRGSPHRGPGCYFSDGYGLAYDLSKIPTSIKGYTLGARTAVRFKPIQKEMTPHAGRYQKVSPQQEKHKQNFAPFNVLVPRFKNYPKDTYYPSPGAYNPEKKPPPKIAWPMKFGSPDWAQVPCLQKRTLKAELSTDKDFRKHRNRVAYLSLYYN
+>DECOY_sp|Q8TCI5|PIFO_HUMAN Protein pitchfork OS=Homo sapiens OX=9606 GN=PIFO PE=1 SV=2
+NYYLSLYAVRNRHKRFDKDTSLEAKLTRKQLCPVQAWDPSGFKMPWAIKPPPKKEPNYAGPSPYYTDKPYNKFRPVLVNFPAFNQKHKEQQPSVKQYRGAHPTMEKQIPKFRVATRAGLTYGKISTPIKSLDYALGYGDSFYCGPGRHPSGRLPVFKNGILNPPHFHPFLKRQQCTGFPYNDAADARSFCM
+>sp|P37287|PIGA_HUMAN Phosphatidylinositol N-acetylglucosaminyltransferase subunit A OS=Homo sapiens OX=9606 GN=PIGA PE=1 SV=1
+MACRGGAGNGHRASATLSRVSPGSLYTCRTRTHNICMVSDFFYPNMGGVESHIYQLSQCLIERGHKVIIVTHAYGNRKGIRYLTSGLKVYYLPLKVMYNQSTATTLFHSLPLLRYIFVRERVTIIHSHSSFSAMAHDALFHAKTMGLQTVFTDHSLFGFADVSSVLTNKLLTVSLCDTNHIICVSYTSKENTVLRAALNPEIVSVIPNAVDPTDFTPDPFRRHDSITIVVVSRLVYRKGIDLLSGIIPELCQKYPDLNFIIGGEGPKRIILEEVRERYQLHDRVRLLGALEHKDVRNVLVQGHIFLNTSLTEAFCMAIVEAASCGLQVVSTRVGGIPEVLPENLIILCEPSVKSLCEGLEKAIFQLKSGTLPAPENIHNIVKTFYTWRNVAERTEKVYDRVSVEAVLPMDKRLDRLISHCGPVTGYIFALLAVFNFLFLIFLRWMTPDSIIDVAIDATGPRGAWTNNYSHSKRGGENNEISETR
+>DECOY_sp|P37287|PIGA_HUMAN Phosphatidylinositol N-acetylglucosaminyltransferase subunit A OS=Homo sapiens OX=9606 GN=PIGA PE=1 SV=1
+RTESIENNEGGRKSHSYNNTWAGRPGTADIAVDIISDPTMWRLFILFLFNFVALLAFIYGTVPGCHSILRDLRKDMPLVAEVSVRDYVKETREAVNRWTYFTKVINHINEPAPLTGSKLQFIAKELGECLSKVSPECLIILNEPLVEPIGGVRTSVVQLGCSAAEVIAMCFAETLSTNLFIHGQVLVNRVDKHELAGLLRVRDHLQYRERVEELIIRKPGEGGIIFNLDPYKQCLEPIIGSLLDIGKRYVLRSVVVITISDHRRFPDPTFDTPDVANPIVSVIEPNLAARLVTNEKSTYSVCIIHNTDCLSVTLLKNTLVSSVDAFGFLSHDTFVTQLGMTKAHFLADHAMASFSSHSHIITVRERVFIYRLLPLSHFLTTATSQNYMVKLPLYYVKLGSTLYRIGKRNGYAHTVIIVKHGREILCQSLQYIHSEVGGMNPYFFDSVMCINHTRTRCTYLSGPSVRSLTASARHGNGAGGRCAM
+>sp|Q92535|PIGC_HUMAN Phosphatidylinositol N-acetylglucosaminyltransferase subunit C OS=Homo sapiens OX=9606 GN=PIGC PE=2 SV=1
+MYAQPVTNTKEVKWQKVLYERQPFPDNYVDRRFLEELRKNIHARKYQYWAVVFESSVVIQQLCSVCVFVVIWWYMDEGLLAPHWLLGTGLASSLIGYVLFDLIDGGEGRKKSGQTRWADLKSALVFITFTYGFSPVLKTLTESVSTDTIYAMSVFMLLGHLIFFDYGANAAIVSSTLSLNMAIFASVCLASRLPRSLHAFIMVTFAIQIFALWPMLQKKLKACTPRSYVGVTLLFAFSAVGGLLSISAVGAVLFALLLMSISCLCPFYLIRLQLFKENIHGPWDEAEIKEDLSRFLS
+>DECOY_sp|Q92535|PIGC_HUMAN Phosphatidylinositol N-acetylglucosaminyltransferase subunit C OS=Homo sapiens OX=9606 GN=PIGC PE=2 SV=1
+SLFRSLDEKIEAEDWPGHINEKFLQLRILYFPCLCSISMLLLAFLVAGVASISLLGGVASFAFLLTVGVYSRPTCAKLKKQLMPWLAFIQIAFTVMIFAHLSRPLRSALCVSAFIAMNLSLTSSVIAANAGYDFFILHGLLMFVSMAYITDTSVSETLTKLVPSFGYTFTIFVLASKLDAWRTQGSKKRGEGGDILDFLVYGILSSALGTGLLWHPALLGEDMYWWIVVFVCVSCLQQIVVSSEFVVAWYQYKRAHINKRLEELFRRDVYNDPFPQREYLVKQWKVEKTNTVPQAYM
+>sp|Q5H8A4|PIGG_HUMAN GPI ethanolamine phosphate transferase 2 OS=Homo sapiens OX=9606 GN=PIGG PE=1 SV=1
+MRLGSGTFATCCVAIEVLGIAVFLRGFFPAPVRSSARAEHGAEPPAPEPSAGASSNWTTLPPPLFSKVVIVLIDALRDDFVFGSKGVKFMPYTTYLVEKGASHSFVAEAKPPTVTMPRIKALMTGSLPGFVDVIRNLNSPALLEDSVIRQAKAAGKRIVFYGDETWVKLFPKHFVEYDGTTSFFVSDYTEVDNNVTRHLDKVLKRGDWDILILHYLGLDHIGHISGPNSPLIGQKLSEMDSVLMKIHTSLQSKERETPLPNLLVLCGDHGMSETGSHGASSTEEVNTPLILISSAFERKPGDIRHPKHVQQTDVAATLAIALGLPIPKDSVGSLLFPVVEGRPMREQLRFLHLNTVQLSKLLQENVPSYEKDPGFEQFKMSERLHGNWIRLYLEEKHSEVLFNLGSKVLRQYLDALKTLSLSLSAQVAQYDIYSMMVGTVVVLEVLTLLLLSVPQALRRKAELEVPLSSPGFSLLFYLVILVLSAVHVIVCTSAESSCYFCGLSWLAAGGVMVLASALLCVIVSVLTNVLVGGNTPRKNPMHPSSRWSELDLLILLGTAGHVLSLGASSFVEEEHQTWYFLVNTLCLALSQETYRNYFLGDDGEPPCGLCVEQGHDGATAAWQDGPGCDVLERDKGHGSPSTSEVLRGREKWMVLASPWLILACCRLLRSLNQTGVQWAHRPDLGHWLTSSDHKAELSVLAALSLLVVFVLVQRGCSPVSKAALALGLLGVYCYRAAIGSVRFPWRPDSKDISKGIIEARFVYVFVLGILFTGTKDLLKSQVIAADFKLKTVGLWEIYSGLVLLAALLFRPHNLPVLAFSLLIQTLMTKFIWKPLRHDAAEITVMHYWFGQAFFYFQGNSNNIATVDISAGFVGLDTYVEIPAVLLTAFGTYAGPVLWASHLVHFLSSETRSGSALSHACFCYALICSIPVFTYIVLVTSLRYHLFIWSVFSPKLLYEGMHLLITAAVCVFFTAMDQTRLTQS
+>DECOY_sp|Q5H8A4|PIGG_HUMAN GPI ethanolamine phosphate transferase 2 OS=Homo sapiens OX=9606 GN=PIGG PE=1 SV=1
+SQTLRTQDMATFFVCVAATILLHMGEYLLKPSFVSWIFLHYRLSTVLVIYTFVPISCILAYCFCAHSLASGSRTESSLFHVLHSAWLVPGAYTGFATLLVAPIEVYTDLGVFGASIDVTAINNSNGQFYFFAQGFWYHMVTIEAADHRLPKWIFKTMLTQILLSFALVPLNHPRFLLAALLVLGSYIEWLGVTKLKFDAAIVQSKLLDKTGTFLIGLVFVYVFRAEIIGKSIDKSDPRWPFRVSGIAARYCYVGLLGLALAAKSVPSCGRQVLVFVVLLSLAALVSLEAKHDSSTLWHGLDPRHAWQVGTQNLSRLLRCCALILWPSALVMWKERGRLVESTSPSGHGKDRELVDCGPGDQWAATAGDHGQEVCLGCPPEGDDGLFYNRYTEQSLALCLTNVLFYWTQHEEEVFSSAGLSLVHGATGLLILLDLESWRSSPHMPNKRPTNGGVLVNTLVSVIVCLLASALVMVGGAALWSLGCFYCSSEASTCVIVHVASLVLIVLYFLLSFGPSSLPVELEAKRRLAQPVSLLLLTLVELVVVTGVMMSYIDYQAVQASLSLSLTKLADLYQRLVKSGLNFLVESHKEELYLRIWNGHLRESMKFQEFGPDKEYSPVNEQLLKSLQVTNLHLFRLQERMPRGEVVPFLLSGVSDKPIPLGLAIALTAAVDTQQVHKPHRIDGPKREFASSILILPTNVEETSSAGHSGTESMGHDGCLVLLNPLPTEREKSQLSTHIKMLVSDMESLKQGILPSNPGSIHGIHDLGLYHLILIDWDGRKLVKDLHRTVNNDVETYDSVFFSTTGDYEVFHKPFLKVWTEDGYFVIRKGAAKAQRIVSDELLAPSNLNRIVDVFGPLSGTMLAKIRPMTVTPPKAEAVFSHSAGKEVLYTTYPMFKVGKSGFVFDDRLADILVIVVKSFLPPPLTTWNSSAGASPEPAPPEAGHEARASSRVPAPFFGRLFVAIGLVEIAVCCTAFTGSGLRM
+>sp|Q9Y2B2|PIGL_HUMAN N-acetylglucosaminyl-phosphatidylinositol de-N-acetylase OS=Homo sapiens OX=9606 GN=PIGL PE=1 SV=1
+MEAMWLLCVALAVLAWGFLWVWDSSERMKSREQGGRLGAESRTLLVIAHPDDEAMFFAPTVLGLARLRHWVYLLCFSAGNYYNQGETRKKELLQSCDVLGIPLSSVMIIDNRDFPDDPGMQWDTEHVARVLLQHIEVNGINLVVTFDAGGVSGHSNHIALYAAVRALHSEGKLPKGCSVLTLQSVNVLRKYISLLDLPLSLLHTQDVLFVLNSKEVAQAKKAMSCHRSQLLWFRRLYIIFSRYMRINSLSFL
+>DECOY_sp|Q9Y2B2|PIGL_HUMAN N-acetylglucosaminyl-phosphatidylinositol de-N-acetylase OS=Homo sapiens OX=9606 GN=PIGL PE=1 SV=1
+LFSLSNIRMYRSFIIYLRRFWLLQSRHCSMAKKAQAVEKSNLVFLVDQTHLLSLPLDLLSIYKRLVNVSQLTLVSCGKPLKGESHLARVAAYLAIHNSHGSVGGADFTVVLNIGNVEIHQLLVRAVHETDWQMGPDDPFDRNDIIMVSSLPIGLVDCSQLLEKKRTEGQNYYNGASFCLLYVWHRLRALGLVTPAFFMAEDDPHAIVLLTRSEAGLRGGQERSKMRESSDWVWLFGWALVALAVCLLWMAEM
+>sp|Q9BRB3|PIGQ_HUMAN Phosphatidylinositol N-acetylglucosaminyltransferase subunit Q OS=Homo sapiens OX=9606 GN=PIGQ PE=1 SV=3
+MVLKAFFPTCCVSTDSGLLVGRWVPEQSSAVVLAVLHFPFIPIQVKQLLAQVRQASQVGVAVLGTWCHCRQEPEESLGRFLESLGAVFPHEPWLRLCRERGGTFWSCEATHRQAPTAPGAPGEDQVMLIFYDQRQVLLSQLHLPTVLPDRQAGATTASTGGLAAVFDTVARSEVLFRSDRFDEGPVRLSHWQSEGVEASILAELARRASGPICLLLASLLSLVSAVSACRVFKLWPLSFLGSKLSTCEQLRHRLEHLTLIFSTRKAENPAQLMRKANTVASVLLDVALGLMLLSWLHGRSRIGHLADALVPVADHVAEELQHLLQWLMGAPAGLKMNRALDQVLGRFFLYHIHLWISYIHLMSPFVEHILWHVGLSACLGLTVALSLLSDIIALLTFHIYCFYVYGARLYCLKIHGLSSLWRLFRGKKWNVLRQRVDSCSYDLDQLFIGTLLFTILLFLLPTTALYYLVFTLLRLLVVAVQGLIHLLVDLINSLPLYSLGLRLCRPYRLADKPTALQPRGAHLPPPQLWLPPQALLGRPVPQAVPWGAHLPLEAERGQAGLRELLARLAPPHGHSQPSALPGWHQLSWRMSCALWTLLCAPEHGRPCYHTLGLEVIGSEQMWGWPARLAALHHWHCLPWDPLPTCCGHHGGEHSNPRCPEHCPMPTLCTQVQRVRPPQQPQVEGWSPWGLPSGSALAVGVEGPCQDEPPSPRHPLAPSAEQHPASGGLKQSLTPVPSGPGPSLPEPHGVYLRMFPGEVAL
+>DECOY_sp|Q9BRB3|PIGQ_HUMAN Phosphatidylinositol N-acetylglucosaminyltransferase subunit Q OS=Homo sapiens OX=9606 GN=PIGQ PE=1 SV=3
+LAVEGPFMRLYVGHPEPLSPGPGSPVPTLSQKLGGSAPHQEASPALPHRPSPPEDQCPGEVGVALASGSPLGWPSWGEVQPQQPPRVRQVQTCLTPMPCHEPCRPNSHEGGHHGCCTPLPDWPLCHWHHLAALRAPWGWMQESGIVELGLTHYCPRGHEPACLLTWLACSMRWSLQHWGPLASPQSHGHPPALRALLERLGAQGREAELPLHAGWPVAQPVPRGLLAQPPLWLQPPPLHAGRPQLATPKDALRYPRCLRLGLSYLPLSNILDVLLHILGQVAVVLLRLLTFVLYYLATTPLLFLLITFLLTGIFLQDLDYSCSDVRQRLVNWKKGRFLRWLSSLGHIKLCYLRAGYVYFCYIHFTLLAIIDSLLSLAVTLGLCASLGVHWLIHEVFPSMLHIYSIWLHIHYLFFRGLVQDLARNMKLGAPAGMLWQLLHQLEEAVHDAVPVLADALHGIRSRGHLWSLLMLGLAVDLLVSAVTNAKRMLQAPNEAKRTSFILTLHELRHRLQECTSLKSGLFSLPWLKFVRCASVASVLSLLSALLLCIPGSARRALEALISAEVGESQWHSLRVPGEDFRDSRFLVESRAVTDFVAALGGTSATTAGAQRDPLVTPLHLQSLLVQRQDYFILMVQDEGPAGPATPAQRHTAECSWFTGGRERCLRLWPEHPFVAGLSELFRGLSEEPEQRCHCWTGLVAVGVQSAQRVQALLQKVQIPIFPFHLVALVVASSQEPVWRGVLLGSDTSVCCTPFFAKLVM
+>sp|Q96S52|PIGS_HUMAN GPI transamidase component PIG-S OS=Homo sapiens OX=9606 GN=PIGS PE=1 SV=3
+MAAAGAAATHLEVARGKRAALFFAAVAIVLGLPLWWKTTETYRASLPYSQISGLNALQLRLMVPVTVVFTRESVPLDDQEKLPFTVVHEREIPLKYKMKIKCRFQKAYRRALDHEEEALSSGSVQEAEAMLDEPQEQAEGSLTVYVISEHSSLLPQDMMSYIGPKRTAVVRGIMHREAFNIIGRRIVQVAQAMSLTEDVLAAALADHLPEDKWSAEKRRPLKSSLGYEITFSLLNPDPKSHDVYWDIEGAVRRYVQPFLNALGAAGNFSVDSQILYYAMLGVNPRFDSASSSYYLDMHSLPHVINPVESRLGSSAASLYPVLNFLLYVPELAHSPLYIQDKDGAPVATNAFHSPRWGGIMVYNVDSKTYNASVLPVRVEVDMVRVMEVFLAQLRLLFGIAQPQLPPKCLLSGPTSEGLMTWELDRLLWARSVENLATATTTLTSLAQLLGKISNIVIKDDVASEVYKAVAAVQKSAEELASGHLASAFVASQEAVTSSELAFFDPSLLHLLYFPDDQKFAIYIPLFLPMAVPILLSLVKIFLETRKSWRKPEKTD
+>DECOY_sp|Q96S52|PIGS_HUMAN GPI transamidase component PIG-S OS=Homo sapiens OX=9606 GN=PIGS PE=1 SV=3
+DTKEPKRWSKRTELFIKVLSLLIPVAMPLFLPIYIAFKQDDPFYLLHLLSPDFFALESSTVAEQSAVFASALHGSALEEASKQVAAVAKYVESAVDDKIVINSIKGLLQALSTLTTTATALNEVSRAWLLRDLEWTMLGESTPGSLLCKPPLQPQAIGFLLRLQALFVEMVRVMDVEVRVPLVSANYTKSDVNYVMIGGWRPSHFANTAVPAGDKDQIYLPSHALEPVYLLFNLVPYLSAASSGLRSEVPNIVHPLSHMDLYYSSSASDFRPNVGLMAYYLIQSDVSFNGAAGLANLFPQVYRRVAGEIDWYVDHSKPDPNLLSFTIEYGLSSKLPRRKEASWKDEPLHDALAAALVDETLSMAQAVQVIRRGIINFAERHMIGRVVATRKPGIYSMMDQPLLSSHESIVYVTLSGEAQEQPEDLMAEAEQVSGSSLAEEEHDLARRYAKQFRCKIKMKYKLPIEREHVVTFPLKEQDDLPVSERTFVVTVPVMLRLQLANLGSIQSYPLSARYTETTKWWLPLGLVIAVAAFFLAARKGRAVELHTAAAGAAAM
+>sp|Q969N2|PIGT_HUMAN GPI transamidase component PIG-T OS=Homo sapiens OX=9606 GN=PIGT PE=1 SV=1
+MAAAMPLALLVLLLLGPGGWCLAEPPRDSLREELVITPLPSGDVAATFQFRTRWDSELQREGVSHYRLFPKALGQLISKYSLRELHLSFTQGFWRTRYWGPPFLQAPSGAELWVWFQDTVTDVDKSWKELSNVLSGIFCASLNFIDSTNTVTPTASFKPLGLANDTDHYFLRYAVLPREVVCTENLTPWKKLLPCSSKAGLSVLLKADRLFHTSYHSQAVHIRPVCRNARCTSISWELRQTLSVVFDAFITGQGKKDWSLFRMFSRTLTEPCPLASESRVYVDITTYNQDNETLEVHPPPTTTYQDVILGTRKTYAIYDLLDTAMINNSRNLNIQLKWKRPPENEAPPVPFLHAQRYVSGYGLQKGELSTLLYNTHPYRAFPVLLLDTVPWYLRLYVHTLTITSKGKENKPSYIHYQPAQDRLQPHLLEMLIQLPANSVTKVSIQFERALLKWTEYTPDPNHGFYVSPSVLSALVPSMVAAKPVDWEESPLFNSLFPVSDGSNYFVRLYTEPLLVNLPTPDFSMPYNVICLTCTVVAVCYGSFYNLLTRTFHIEEPRTGGLAKRLANLIRRARGVPPL
+>DECOY_sp|Q969N2|PIGT_HUMAN GPI transamidase component PIG-T OS=Homo sapiens OX=9606 GN=PIGT PE=1 SV=1
+LPPVGRARRILNALRKALGGTRPEEIHFTRTLLNYFSGYCVAVVTCTLCIVNYPMSFDPTPLNVLLPETYLRVFYNSGDSVPFLSNFLPSEEWDVPKAAVMSPVLASLVSPSVYFGHNPDPTYETWKLLAREFQISVKTVSNAPLQILMELLHPQLRDQAPQYHIYSPKNEKGKSTITLTHVYLRLYWPVTDLLLVPFARYPHTNYLLTSLEGKQLGYGSVYRQAHLFPVPPAENEPPRKWKLQINLNRSNNIMATDLLDYIAYTKRTGLIVDQYTTTPPPHVELTENDQNYTTIDVYVRSESALPCPETLTRSFMRFLSWDKKGQGTIFADFVVSLTQRLEWSISTCRANRCVPRIHVAQSHYSTHFLRDAKLLVSLGAKSSCPLLKKWPTLNETCVVERPLVAYRLFYHDTDNALGLPKFSATPTVTNTSDIFNLSACFIGSLVNSLEKWSKDVDTVTDQFWVWLEAGSPAQLFPPGWYRTRWFGQTFSLHLERLSYKSILQGLAKPFLRYHSVGERQLESDWRTRFQFTAAVDGSPLPTIVLEERLSDRPPEALCWGGPGLLLLVLLALPMAAAM
+>sp|Q9UKJ1|PILRA_HUMAN Paired immunoglobulin-like type 2 receptor alpha OS=Homo sapiens OX=9606 GN=PILRA PE=1 SV=3
+MGRPLLLPLLPLLLPPAFLQPSGSTGSGPSYLYGVTQPKHLSASMGGSVEIPFSFYYPWELATAPDVRISWRRGHFHRQSFYSTRPPSIHKDYVNRLFLNWTEGQKSGFLRISNLQKQDQSVYFCRVELDTRSSGRQQWQSIEGTKLSITQAVTTTTQRPSSMTTTWRLSSTTTTTGLRVTQGKRRSDSWHISLETAVGVAVAVTVLGIMILGLICLLRWRRRKGQQRTKATTPAREPFQNTEEPYENIRNEGQNTDPKLNPKDDGIVYASLALSSSTSPRAPPSHRPLKSPQNETLYSVLKA
+>DECOY_sp|Q9UKJ1|PILRA_HUMAN Paired immunoglobulin-like type 2 receptor alpha OS=Homo sapiens OX=9606 GN=PILRA PE=1 SV=3
+AKLVSYLTENQPSKLPRHSPPARPSTSSSLALSAYVIGDDKPNLKPDTNQGENRINEYPEETNQFPERAPTTAKTRQQGKRRRWRLLCILGLIMIGLVTVAVAVGVATELSIHWSDSRRKGQTVRLGTTTTTSSLRWTTTMSSPRQTTTTVAQTISLKTGEISQWQQRGSSRTDLEVRCFYVSQDQKQLNSIRLFGSKQGETWNLFLRNVYDKHISPPRTSYFSQRHFHGRRWSIRVDPATALEWPYYFSFPIEVSGGMSASLHKPQTVGYLYSPGSGTSGSPQLFAPPLLLPLLPLLLPRGM
+>sp|Q9P1W9|PIM2_HUMAN Serine/threonine-protein kinase pim-2 OS=Homo sapiens OX=9606 GN=PIM2 PE=1 SV=1
+MLTKPLQGPPAPPGTPTPPPGGKDREAFEAEYRLGPLLGKGGFGTVFAGHRLTDRLQVAIKVIPRNRVLGWSPLSDSVTCPLEVALLWKVGAGGGHPGVIRLLDWFETQEGFMLVLERPLPAQDLFDYITEKGPLGEGPSRCFFGQVVAAIQHCHSRGVVHRDIKDENILIDLRRGCAKLIDFGSGALLHDEPYTDFDGTRVYSPPEWISRHQYHALPATVWSLGILLYDMVCGDIPFERDQEILEAELHFPAHVSPDCCALIRRCLAPKPSSRPSLEEILLDPWMQTPAEDVPLNPSKGGPAPLAWSLLP
+>DECOY_sp|Q9P1W9|PIM2_HUMAN Serine/threonine-protein kinase pim-2 OS=Homo sapiens OX=9606 GN=PIM2 PE=1 SV=1
+PLLSWALPAPGGKSPNLPVDEAPTQMWPDLLIEELSPRSSPKPALCRRILACCDPSVHAPFHLEAELIEQDREFPIDGCVMDYLLIGLSWVTAPLAHYQHRSIWEPPSYVRTGDFDTYPEDHLLAGSGFDILKACGRRLDILINEDKIDRHVVGRSHCHQIAAVVQGFFCRSPGEGLPGKETIYDFLDQAPLPRELVLMFGEQTEFWDLLRIVGPHGGGAGVKWLLAVELPCTVSDSLPSWGLVRNRPIVKIAVQLRDTLRHGAFVTGFGGKGLLPGLRYEAEFAERDKGGPPPTPTGPPAPPGQLPKTLM
+>sp|Q13526|PIN1_HUMAN Peptidyl-prolyl cis-trans isomerase NIMA-interacting 1 OS=Homo sapiens OX=9606 GN=PIN1 PE=1 SV=1
+MADEEKLPPGWEKRMSRSSGRVYYFNHITNASQWERPSGNSSSGGKNGQGEPARVRCSHLLVKHSQSRRPSSWRQEKITRTKEEALELINGYIQKIKSGEEDFESLASQFSDCSSAKARGDLGAFSRGQMQKPFEDASFALRTGEMSGPVFTDSGIHIILRTE
+>DECOY_sp|Q13526|PIN1_HUMAN Peptidyl-prolyl cis-trans isomerase NIMA-interacting 1 OS=Homo sapiens OX=9606 GN=PIN1 PE=1 SV=1
+ETRLIIHIGSDTFVPGSMEGTRLAFSADEFPKQMQGRSFAGLDGRAKASSCDSFQSALSEFDEEGSKIKQIYGNILELAEEKTRTIKEQRWSSPRRSQSHKVLLHSCRVRAPEGQGNKGGSSSNGSPREWQSANTIHNFYYVRGSSRSMRKEWGPPLKEEDAM
+>sp|Q9GZP4|PITH1_HUMAN PITH domain-containing protein 1 OS=Homo sapiens OX=9606 GN=PITHD1 PE=1 SV=1
+MSHGHSHGGGGCRCAAEREEPPEQRGLAYGLYLRIDLERLQCLNESREGSGRGVFKPWEERTDRSKFVESDADEELLFNIPFTGNVKLKGIIIMGEDDDSHPSEMRLYKNIPQMSFDDTEREPDQTFSLNRDLTGELEYATKISRFSNVYHLSIHISKNFGADTTKVFYIGLRGEWTELRRHEVTICNYEASANPADHRVHQVTPQTHFIS
+>DECOY_sp|Q9GZP4|PITH1_HUMAN PITH domain-containing protein 1 OS=Homo sapiens OX=9606 GN=PITHD1 PE=1 SV=1
+SIFHTQPTVQHVRHDAPNASAEYNCITVEHRRLETWEGRLGIYFVKTTDAGFNKSIHISLHYVNSFRSIKTAYELEGTLDRNLSFTQDPERETDDFSMQPINKYLRMESPHSDDDEGMIIIGKLKVNGTFPINFLLEEDADSEVFKSRDTREEWPKFVGRGSGERSENLCQLRELDIRLYLGYALGRQEPPEEREAACRCGGGGHSHGHSM
+>sp|Q8NEB9|PK3C3_HUMAN Phosphatidylinositol 3-kinase catalytic subunit type 3 OS=Homo sapiens OX=9606 GN=PIK3C3 PE=1 SV=1
+MGEAEKFHYIYSCDLDINVQLKIGSLEGKREQKSYKAVLEDPMLKFSGLYQETCSDLYVTCQVFAEGKPLALPVRTSYKAFSTRWNWNEWLKLPVKYPDLPRNAQVALTIWDVYGPGKAVPVGGTTVSLFGKYGMFRQGMHDLKVWPNVEADGSEPTKTPGRTSSTLSEDQMSRLAKLTKAHRQGHMVKVDWLDRLTFREIEMINESEKRSSNFMYLMVEFRCVKCDDKEYGIVYYEKDGDESSPILTSFELVKVPDPQMSMENLVESKHHKLARSLRSGPSDHDLKPNAATRDQLNIIVSYPPTKQLTYEEQDLVWKFRYYLTNQEKALTKFLKCVNWDLPQEAKQALELLGKWKPMDVEDSLELLSSHYTNPTVRRYAVARLRQADDEDLLMYLLQLVQALKYENFDDIKNGLEPTKKDSQSSVSENVSNSGINSAEIDSSQIITSPLPSVSSPPPASKTKEVPDGENLEQDLCTFLISRACKNSTLANYLYWYVIVECEDQDTQQRDPKTHEMYLNVMRRFSQALLKGDKSVRVMRSLLAAQQTFVDRLVHLMKAVQRESGNRKKKNERLQALLGDNEKMNLSDVELIPLPLEPQVKIRGIIPETATLFKSALMPAQLFFKTEDGGKYPVIFKHGDDLRQDQLILQIISLMDKLLRKENLDLKLTPYKVLATSTKHGFMQFIQSVPVAEVLDTEGSIQNFFRKYAPSENGPNGISAEVMDTYVKSCAGYCVITYILGVGDRHLDNLLLTKTGKLFHIDFGYILGRDPKPLPPPMKLNKEMVEGMGGTQSEQYQEFRKQCYTAFLHLRRYSNLILNLFSLMVDANIPDIALEPDKTVKKVQDKFRLDLSDEEAVHYMQSLIDESVHALFAAVVEQIHKFAQYWRK
+>DECOY_sp|Q8NEB9|PK3C3_HUMAN Phosphatidylinositol 3-kinase catalytic subunit type 3 OS=Homo sapiens OX=9606 GN=PIK3C3 PE=1 SV=1
+KRWYQAFKHIQEVVAAFLAHVSEDILSQMYHVAEEDSLDLRFKDQVKKVTKDPELAIDPINADVMLSFLNLILNSYRRLHLFATYCQKRFEQYQESQTGGMGEVMEKNLKMPPPLPKPDRGLIYGFDIHFLKGTKTLLLNDLHRDGVGLIYTIVCYGACSKVYTDMVEASIGNPGNESPAYKRFFNQISGETDLVEAVPVSQIFQMFGHKTSTALVKYPTLKLDLNEKRLLKDMLSIIQLILQDQRLDDGHKFIVPYKGGDETKFFLQAPMLASKFLTATEPIIGRIKVQPELPLPILEVDSLNMKENDGLLAQLRENKKKRNGSERQVAKMLHVLRDVFTQQAALLSRMVRVSKDGKLLAQSFRRMVNLYMEHTKPDRQQTDQDECEVIVYWYLYNALTSNKCARSILFTCLDQELNEGDPVEKTKSAPPPSSVSPLPSTIIQSSDIEASNIGSNSVNESVSSQSDKKTPELGNKIDDFNEYKLAQVLQLLYMLLDEDDAQRLRAVAYRRVTPNTYHSSLLELSDEVDMPKWKGLLELAQKAEQPLDWNVCKLFKTLAKEQNTLYYRFKWVLDQEEYTLQKTPPYSVIINLQDRTAANPKLDHDSPGSRLSRALKHHKSEVLNEMSMQPDPVKVLEFSTLIPSSEDGDKEYYVIGYEKDDCKVCRFEVMLYMFNSSRKESENIMEIERFTLRDLWDVKVMHGQRHAKTLKALRSMQDESLTSSTRGPTKTPESGDAEVNPWVKLDHMGQRFMGYKGFLSVTTGGVPVAKGPGYVDWITLAVQANRPLDPYKVPLKLWENWNWRTSFAKYSTRVPLALPKGEAFVQCTVYLDSCTEQYLGSFKLMPDELVAKYSKQERKGELSGIKLQVNIDLDCSYIYHFKEAEGM
+>sp|P42336|PK3CA_HUMAN Phosphatidylinositol 4,5-bisphosphate 3-kinase catalytic subunit alpha isoform OS=Homo sapiens OX=9606 GN=PIK3CA PE=1 SV=2
+MPPRPSSGELWGIHLMPPRILVECLLPNGMIVTLECLREATLITIKHELFKEARKYPLHQLLQDESSYIFVSVTQEAEREEFFDETRRLCDLRLFQPFLKVIEPVGNREEKILNREIGFAIGMPVCEFDMVKDPEVQDFRRNILNVCKEAVDLRDLNSPHSRAMYVYPPNVESSPELPKHIYNKLDKGQIIVVIWVIVSPNNDKQKYTLKINHDCVPEQVIAEAIRKKTRSMLLSSEQLKLCVLEYQGKYILKVCGCDEYFLEKYPLSQYKYIRSCIMLGRMPNLMLMAKESLYSQLPMDCFTMPSYSRRISTATPYMNGETSTKSLWVINSALRIKILCATYVNVNIRDIDKIYVRTGIYHGGEPLCDNVNTQRVPCSNPRWNEWLNYDIYIPDLPRAARLCLSICSVKGRKGAKEEHCPLAWGNINLFDYTDTLVSGKMALNLWPVPHGLEDLLNPIGVTGSNPNKETPCLELEFDWFSSVVKFPDMSVIEEHANWSVSREAGFSYSHAGLSNRLARDNELRENDKEQLKAISTRDPLSEITEQEKDFLWSHRHYCVTIPEILPKLLLSVKWNSRDEVAQMYCLVKDWPPIKPEQAMELLDCNYPDPMVRGFAVRCLEKYLTDDKLSQYLIQLVQVLKYEQYLDNLLVRFLLKKALTNQRIGHFFFWHLKSEMHNKTVSQRFGLLLESYCRACGMYLKHLNRQVEAMEKLINLTDILKQEKKDETQKVQMKFLVEQMRRPDFMDALQGFLSPLNPAHQLGNLRLEECRIMSSAKRPLWLNWENPDIMSELLFQNNEIIFKNGDDLRQDMLTLQIIRIMENIWQNQGLDLRMLPYGCLSIGDCVGLIEVVRNSHTIMQIQCKGGLKGALQFNSHTLHQWLKDKNKGEIYDAAIDLFTRSCAGYCVATFILGIGDRHNSNIMVKDDGQLFHIDFGHFLDHKKKKFGYKRERVPFVLTQDFLIVISKGAQECTKTREFERFQEMCYKAYLAIRQHANLFINLFSMMLGSGMPELQSFDDIAYIRKTLALDKTEQEALEYFMKQMNDAHHGGWTTKMDWIFHTIKQHALN
+>DECOY_sp|P42336|PK3CA_HUMAN Phosphatidylinositol 4,5-bisphosphate 3-kinase catalytic subunit alpha isoform OS=Homo sapiens OX=9606 GN=PIK3CA PE=1 SV=2
+NLAHQKITHFIWDMKTTWGGHHADNMQKMFYELAEQETKDLALTKRIYAIDDFSQLEPMGSGLMMSFLNIFLNAHQRIALYAKYCMEQFREFERTKTCEQAGKSIVILFDQTLVFPVRERKYGFKKKKHDLFHGFDIHFLQGDDKVMINSNHRDGIGLIFTAVCYGACSRTFLDIAADYIEGKNKDKLWQHLTHSNFQLAGKLGGKCQIQMITHSNRVVEILGVCDGISLCGYPLMRLDLGQNQWINEMIRIIQLTLMDQRLDDGNKFIIENNQFLLESMIDPNEWNLWLPRKASSMIRCEELRLNGLQHAPNLPSLFGQLADMFDPRRMQEVLFKMQVKQTEDKKEQKLIDTLNILKEMAEVQRNLHKLYMGCARCYSELLLGFRQSVTKNHMESKLHWFFFHGIRQNTLAKKLLFRVLLNDLYQEYKLVQVLQILYQSLKDDTLYKELCRVAFGRVMPDPYNCDLLEMAQEPKIPPWDKVLCYMQAVEDRSNWKVSLLLKPLIEPITVCYHRHSWLFDKEQETIESLPDRTSIAKLQEKDNERLENDRALRNSLGAHSYSFGAERSVSWNAHEEIVSMDPFKVVSSFWDFELELCPTEKNPNSGTVGIPNLLDELGHPVPWLNLAMKGSVLTDTYDFLNINGWALPCHEEKAGKRGKVSCISLCLRAARPLDPIYIDYNLWENWRPNSCPVRQTNVNDCLPEGGHYIGTRVYIKDIDRINVNVYTACLIKIRLASNIVWLSKTSTEGNMYPTATSIRRSYSPMTFCDMPLQSYLSEKAMLMLNPMRGLMICSRIYKYQSLPYKELFYEDCGCVKLIYKGQYELVCLKLQESSLLMSRTKKRIAEAIVQEPVCDHNIKLTYKQKDNNPSVIVWIVVIIQGKDLKNYIHKPLEPSSEVNPPYVYMARSHPSNLDRLDVAEKCVNLINRRFDQVEPDKVMDFECVPMGIAFGIERNLIKEERNGVPEIVKLFPQFLRLDCLRRTEDFFEEREAEQTVSVFIYSSEDQLLQHLPYKRAEKFLEHKITILTAERLCELTVIMGNPLLCEVLIRPPMLHIGWLEGSSPRPPM
+>sp|Q9NTG1|PKDRE_HUMAN Polycystic kidney disease and receptor for egg jelly-related protein OS=Homo sapiens OX=9606 GN=PKDREJ PE=2 SV=2
+MRPGPALLLLGVGLSLSVGRLPLPPVPRGAQAAVSGAPGGLLRGAPGLGVRGGRALLSLRPSAVRAGGAVLSGRGSLCFPHGGTGRRWYCLDLRVLLSAQRLPWPAAPALALVDLQLSARGGRLSLTWSVRLPRSPGRLAWAFRLRLLGPGAARPASPAARVSPRSAAPGPRPQQGFVARTECPTDGPARVMLQAVNSSSHRAVESSVSCQINACVIQRVRINTDQKGAPVRLSMQAEATINASVQLDCPAARAIAQYWQVFSVPAVGQAPDWTQPLDLPQLEIRNSPLFIHIPNNSLQWGVYVFNFTVSITTGNPKMPEVKDSDAVYVWIVRSSLQAVMLGDANITANFTEQLILDGSTSSDPDADSPLQGLQFFWYCTTDPRNYGGDRIILGSKEVCHPEQANLKWPWASGPVLTLLPETLKGDHVYFFRMVIRKDSRTAFSDKRVHVLQGPKAIAHITCIENCERNFIVSDRFSLFLNCTNCASRDFYKWSILSSSGGEMLFDWMGETVTGRNGAYLSIKAFAFRHFLEAEFSISLYLACWSGVTSVFRHSFIINHGPQIGECKINPAKGIALITKFVVQCSNFRDKHVPLTYKIIVSDLHSVGEISSVKENTLGTILYLGPQSTVPPSFLPVGMLASQYGLKIYAQVYDSLGAFSQVTLHATAQAPTDKNSSKTVLNQLLSFTVGPSSLLSTLIQKKDFLPAGYLLYIVASVLNNMKTELPLRDDRVNLRKHLIDQSFLLPVSTLVEIGQVVMTITKLTQKPSEFTWDAQKRATMRVWQANQALQEYQQKDKRFRSEQIEIVSTGILMSLSNILKMTSPHQVVKDPFYVIESLSDTILANKVPGNKTTSMRTPNFNMYVKKVEKWGINQLFRNEKHCRNCFYPTLNVSSVPGLSANGPISTMFCDFTNDLFPWLNDQENTSVEVSGFRMTGVADNGSVLEITPDVAEVYLVRKNLTFAAFNLTVGPNSEVDGSLKKTTGGFSFQVDSTVLREVLVHIVTEVMVLFTVLVYTGSQITPTALVATFLVPHDIPPFASQSALFDPACTVKKARVVCLPVSLLQLIAQHSHSPHCTVSIVLQAPRFVMKLNDKLVRISIFSVQCLDMYGIQSEWREGYCILGEKTSWYEVHCICKNVVRARRQLGTIGLTGIHLHTHYVMAKVIVIPNPVDLRLNIIKSLHQNPVTLFTVLFIILLYVGLAFWALYRDEMDQHLRGHVIVLPDNDPYDNLCYLVTIFTGSRWGSGTRANVFVQLRGTVSTSDVHCLSHPHFTTLYRGSINTFLLTTKSDLGDIHSIRVWHNNEGRSPSWYLSRIKVENLFSRHIWLFICQKWLSVDTTLDRTFHVTHPDERLTRKDFFFIDVSSNLRKNHMWFSIFASVVAKTFNRLQRLSCCLAMLLSSLLCNIMFFNLNRQEQTESRERKYMRSMMIGIESVLITIPVQLLITFLFTCSQRKPQADLKEVSPQKHPLMSEASEHWEEYLRKWHAYETAKVHPREVAKPASKGKPRLPKASPKATSKPKHRHRKAQIKTPETLGPNTNSNNNIEDDQDVHSEQHPSQKDLQQLKKKPRIVLPWWCVYVAWFLVFATSSISSFFIVFYGLTYGYDKSIEWLFASFCSFCQSVLLVQPSKIILLSGFRTNKPKYCKNLSWSTKYKYTEIRLDGMRMHPEEMQRIHDQIVRIRGTRMYQPLTEDEIRIFKRKKRIKRRALLFLSYILTHFIFLALLLILIVLLRHTDCFYYNQFIRDRFSMDLATVTKLEDIYRWLNSVLLPLLHNDLNPTFLPESSSKILGLPLMRQVRAKSSEKMCLPAEKFVQNSIRREIHCHPKYGIDPEDTKNYSGFWNEVDKQAIDESTNGFTYKPQGTQWLYYSYGLLHTYGSGGYALYFFPEQQRFNSTLRLKELQESNWLDEKTWAVVLELTTFNPDINLFCSISVIFEVSQLGVVNTSISLHSFSLADFDRKASAEIYLYVAILIFFLAYVVDEGCIIMQERASYVRSVYNLLNFALKCIFTVLIVLFLRKHFLATGIIRFYLSNPEDFIPFHAVSQVDHIMRIILGFLLFLTILKTLRYSRFFYDVRLAQRAIQAALPGICHMAFVVSVYFFVYMAFGYLVFGQHEWNYSNLIHSTQTVFSYCVSAFQNTEFSNNRILGVLFLSSFMLVMICVLINLFQAVILSAYEEMKQPVYEEPSDEVEAMTYLCRKLRTMFSFLTSQSKAKDEPEFFIDMLYGQPEKNSHRYLGLKTRNINGKKMVYLVV
+>DECOY_sp|Q9NTG1|PKDRE_HUMAN Polycystic kidney disease and receptor for egg jelly-related protein OS=Homo sapiens OX=9606 GN=PKDREJ PE=2 SV=2
+VVLYVMKKGNINRTKLGLYRHSNKEPQGYLMDIFFEPEDKAKSQSTLFSFMTRLKRCLYTMAEVEDSPEEYVPQKMEEYASLIVAQFLNILVCIMVLMFSSLFLVGLIRNNSFETNQFASVCYSFVTQTSHILNSYNWEHQGFVLYGFAMYVFFYVSVVFAMHCIGPLAAQIARQALRVDYFFRSYRLTKLITLFLLFGLIIRMIHDVQSVAHFPIFDEPNSLYFRIIGTALFHKRLFLVILVTFICKLAFNLLNYVSRVYSAREQMIICGEDVVYALFFILIAVYLYIEASAKRDFDALSFSHLSISTNVVGLQSVEFIVSISCFLNIDPNFTTLELVVAWTKEDLWNSEQLEKLRLTSNFRQQEPFFYLAYGGSGYTHLLGYSYYLWQTGQPKYTFGNTSEDIAQKDVENWFGSYNKTDEPDIGYKPHCHIERRISNQVFKEAPLCMKESSKARVQRMLPLGLIKSSSEPLFTPNLDNHLLPLLVSNLWRYIDELKTVTALDMSFRDRIFQNYYFCDTHRLLVILILLLALFIFHTLIYSLFLLARRKIRKKRKFIRIEDETLPQYMRTGRIRVIQDHIRQMEEPHMRMGDLRIETYKYKTSWSLNKCYKPKNTRFGSLLIIKSPQVLLVSQCFSCFSAFLWEISKDYGYTLGYFVIFFSSISSTAFVLFWAVYVCWWPLVIRPKKKLQQLDKQSPHQESHVDQDDEINNNSNTNPGLTEPTKIQAKRHRHKPKSTAKPSAKPLRPKGKSAPKAVERPHVKATEYAHWKRLYEEWHESAESMLPHKQPSVEKLDAQPKRQSCTFLFTILLQVPITILVSEIGIMMSRMYKRERSETQEQRNLNFFMINCLLSSLLMALCCSLRQLRNFTKAVVSAFISFWMHNKRLNSSVDIFFFDKRTLREDPHTVHFTRDLTTDVSLWKQCIFLWIHRSFLNEVKIRSLYWSPSRGENNHWVRISHIDGLDSKTTLLFTNISGRYLTTFHPHSLCHVDSTSVTGRLQVFVNARTGSGWRSGTFITVLYCLNDYPDNDPLVIVHGRLHQDMEDRYLAWFALGVYLLIIFLVTFLTVPNQHLSKIINLRLDVPNPIVIVKAMVYHTHLHIGTLGITGLQRRARVVNKCICHVEYWSTKEGLICYGERWESQIGYMDLCQVSFISIRVLKDNLKMVFRPAQLVISVTCHPSHSHQAILQLLSVPLCVVRAKKVTCAPDFLASQSAFPPIDHPVLFTAVLATPTIQSGTYVLVTFLVMVETVIHVLVERLVTSDVQFSFGGTTKKLSGDVESNPGVTLNFAAFTLNKRVLYVEAVDPTIELVSGNDAVGTMRFGSVEVSTNEQDNLWPFLDNTFDCFMTSIPGNASLGPVSSVNLTPYFCNRCHKENRFLQNIGWKEVKKVYMNFNPTRMSTTKNGPVKNALITDSLSEIVYFPDKVVQHPSTMKLINSLSMLIGTSVIEIQESRFRKDKQQYEQLAQNAQWVRMTARKQADWTFESPKQTLKTITMVVQGIEVLTSVPLLFSQDILHKRLNVRDDRLPLETKMNNLVSAVIYLLYGAPLFDKKQILTSLLSSPGVTFSLLQNLVTKSSNKDTPAQATAHLTVQSFAGLSDYVQAYIKLGYQSALMGVPLFSPPVTSQPGLYLITGLTNEKVSSIEGVSHLDSVIIKYTLPVHKDRFNSCQVVFKTILAIGKAPNIKCEGIQPGHNIIFSHRFVSTVGSWCALYLSISFEAELFHRFAFAKISLYAGNRGTVTEGMWDFLMEGGSSSLISWKYFDRSACNTCNLFLSFRDSVIFNRECNEICTIHAIAKPGQLVHVRKDSFATRSDKRIVMRFFYVHDGKLTEPLLTLVPGSAWPWKLNAQEPHCVEKSGLIIRDGGYNRPDTTCYWFFQLGQLPSDADPDSSTSGDLILQETFNATINADGLMVAQLSSRVIWVYVADSDKVEPMKPNGTTISVTFNFVYVGWQLSNNPIHIFLPSNRIELQPLDLPQTWDPAQGVAPVSFVQWYQAIARAAPCDLQVSANITAEAQMSLRVPAGKQDTNIRVRQIVCANIQCSVSSEVARHSSSNVAQLMVRAPGDTPCETRAVFGQQPRPGPAASRPSVRAAPSAPRAAGPGLLRLRFAWALRGPSRPLRVSWTLSLRGGRASLQLDVLALAPAAPWPLRQASLLVRLDLCYWRRGTGGHPFCLSGRGSLVAGGARVASPRLSLLARGGRVGLGPAGRLLGGPAGSVAAQAGRPVPPLPLRGVSLSLGVGLLLLAPGPRM
+>sp|Q9HB19|PKHA2_HUMAN Pleckstrin homology domain-containing family A member 2 OS=Homo sapiens OX=9606 GN=PLEKHA2 PE=1 SV=2
+MPYVDRQNRICGFLDIEEHENSGKFLRRYFILDTQANCLLWYMDNPQNLAMGAGAVGALQLTYISKVSIATPKQKPKTPFCFVINALSQRYFLQANDQKDMKDWVEALNQASKITVPKGGGLPMTTEVLKSLAAPPALEKKPQVAYKTEIIGGVVVHTPISQNGGDGQEGSEPGSHTILRRSQSYIPTSGCRASTGPPLIKSGYCVKQGNVRKSWKRRFFALDDFTICYFKCEQDREPLRTIFLKDVLKTHECLVKSGDLLMRDNLFEIITSSRTFYVQADSPEDMHSWIKEIGAAVQALKCHPRETSFSRSISLTRPGSSSLSSGPNSILCRGRPPLEEKKALCKAPSVASSWQPWTPVPQAGEKLLPPGDTSEDSLFTPRPGEGAPPGVLPSSRIRHRSEPQHPKEKPFMFNLDDENIRTSDV
+>DECOY_sp|Q9HB19|PKHA2_HUMAN Pleckstrin homology domain-containing family A member 2 OS=Homo sapiens OX=9606 GN=PLEKHA2 PE=1 SV=2
+VDSTRINEDDLNFMFPKEKPHQPESRHRIRSSPLVGPPAGEGPRPTFLSDESTDGPPLLKEGAQPVPTWPQWSSAVSPAKCLAKKEELPPRGRCLISNPGSSLSSSGPRTLSISRSFSTERPHCKLAQVAAGIEKIWSHMDEPSDAQVYFTRSSTIIEFLNDRMLLDGSKVLCEHTKLVDKLFITRLPERDQECKFYCITFDDLAFFRRKWSKRVNGQKVCYGSKILPPGTSARCGSTPIYSQSRRLITHSGPESGEQGDGGNQSIPTHVVVGGIIETKYAVQPKKELAPPAALSKLVETTMPLGGGKPVTIKSAQNLAEVWDKMDKQDNAQLFYRQSLANIVFCFPTKPKQKPTAISVKSIYTLQLAGVAGAGMALNQPNDMYWLLCNAQTDLIFYRRLFKGSNEHEEIDLFGCIRNQRDVYPM
+>sp|Q9HB20|PKHA3_HUMAN Pleckstrin homology domain-containing family A member 3 OS=Homo sapiens OX=9606 GN=PLEKHA3 PE=1 SV=2
+MEGVLYKWTNYLTGWQPRWFVLDNGILSYYDSQDDVCKGSKGSIKMAVCEIKVHSADNTRMELIIPGEQHFYMKAVNAAERQRWLVALGSSKACLTDTRTKKEKEISETSESLKTKMSELRLYCDLLMQQVHTIQEFVHHDENHSSPSAENMNEASSLLSATCNTFITTLEECVKIANAKFKPEMFQLHHPDPLVSPVSPSPVQMMKRSVSHPGSCSSERSSHSIKEPVSTLHRLSQRRRRTYSDTDSCSDIPLEDPDRPVHCSKNTLNGDLASATIPEESRLMAKKQSESEDTLPSFSS
+>DECOY_sp|Q9HB20|PKHA3_HUMAN Pleckstrin homology domain-containing family A member 3 OS=Homo sapiens OX=9606 GN=PLEKHA3 PE=1 SV=2
+SSFSPLTDESESQKKAMLRSEEPITASALDGNLTNKSCHVPRDPDELPIDSCSDTDSYTRRRRQSLRHLTSVPEKISHSSRESSCSGPHSVSRKMMQVPSPSVPSVLPDPHHLQFMEPKFKANAIKVCEELTTIFTNCTASLLSSAENMNEASPSSHNEDHHVFEQITHVQQMLLDCYLRLESMKTKLSESTESIEKEKKTRTDTLCAKSSGLAVLWRQREAANVAKMYFHQEGPIILEMRTNDASHVKIECVAMKISGKSGKCVDDQSDYYSLIGNDLVFWRPQWGTLYNTWKYLVGEM
+>sp|O95397|PKHA9_HUMAN Putative protein PLEKHA9 OS=Homo sapiens OX=9606 GN=PLEKHA8P1 PE=5 SV=1
+MSELRLCCDLLVQQVDKTKEVTTTGVSNSEEGIDVGTLLKSTCNTFLKTLEECMQIANAAFTSELLYHTPPGSPQLAMLKSSKMKHPIIPIHNSLERQTELSTCENGSLNMEINGEEEILMKNKNSLYLKSAEIDCSISSEENTDDNITVQGEIMKEDRMENLKNHDNNLSQSGSDSSCSPECLWEEGKEVIPTFFSTMNTSFSDIELLEDSGIPTEAFLASCCAVVPVLDKLGPTVFAPVKMDLVENIKKVNQKYITNKEEFTTLQKIVLHEVEADVAQVRNSATEALLWLKRGLKFLKGFLTEVKNGEKDIQTALNNAYGKTLRQHHGWVVRGVFALALRATPSYEDFVAALTVKEGDHRKEAFSIGMQRDLSLYLPAMKKQMAILDAL
+>DECOY_sp|O95397|PKHA9_HUMAN Putative protein PLEKHA9 OS=Homo sapiens OX=9606 GN=PLEKHA8P1 PE=5 SV=1
+LADLIAMQKKMAPLYLSLDRQMGISFAEKRHDGEKVTLAAVFDEYSPTARLALAFVGRVVWGHHQRLTKGYANNLATQIDKEGNKVETLFGKLFKLGRKLWLLAETASNRVQAVDAEVEHLVIKQLTTFEEKNTIYKQNVKKINEVLDMKVPAFVTPGLKDLVPVVACCSALFAETPIGSDELLEIDSFSTNMTSFFTPIVEKGEEWLCEPSCSSDSGSQSLNNDHNKLNEMRDEKMIEGQVTINDDTNEESSISCDIEASKLYLSNKNKMLIEEEGNIEMNLSGNECTSLETQRELSNHIPIIPHKMKSSKLMALQPSGPPTHYLLESTFAANAIQMCEELTKLFTNCTSKLLTGVDIGEESNSVGTTTVEKTKDVQQVLLDCCLRLESM
+>sp|Q96S99|PKHF1_HUMAN Pleckstrin homology domain-containing family F member 1 OS=Homo sapiens OX=9606 GN=PLEKHF1 PE=1 SV=3
+MVDHLANTEINSQRIAAVESCFGASGQPLALPGRVLLGEGVLTKECRKKAKPRIFFLFNDILVYGSIVLNKRKYRSQHIIPLEEVTLELLPETLQAKNRWMIKTAKKSFVVSAASATERQEWISHIEECVRRQLRATGRPPSTEHAAPWIPDKATDICMRCTQTRFSALTRRHHCRKCGFVVCAECSRQRFLLPRLSPKPVRVCSLCYRELAAQQRQEEAEEQGAGSPGQPAHLARPICGASSGDDDDSDEDKEGSRDGDWPSSVEFYASGVAWSAFHS
+>DECOY_sp|Q96S99|PKHF1_HUMAN Pleckstrin homology domain-containing family F member 1 OS=Homo sapiens OX=9606 GN=PLEKHF1 PE=1 SV=3
+SHFASWAVGSAYFEVSSPWDGDRSGEKDEDSDDDDGSSAGCIPRALHAPQGPSGAGQEEAEEQRQQAALERYCLSCVRVPKPSLRPLLFRQRSCEACVVFGCKRCHHRRTLASFRTQTCRMCIDTAKDPIWPAAHETSPPRGTARLQRRVCEEIHSIWEQRETASAASVVFSKKATKIMWRNKAQLTEPLLELTVEELPIIHQSRYKRKNLVISGYVLIDNFLFFIRPKAKKRCEKTLVGEGLLVRGPLALPQGSAGFCSEVAAIRQSNIETNALHDVM
+>sp|Q9ULL1|PKHG1_HUMAN Pleckstrin homology domain-containing family G member 1 OS=Homo sapiens OX=9606 GN=PLEKHG1 PE=1 SV=2
+MELSDSDRPVSFGSTSSSASSRDSHGSFGSRMTLVSNSHMGLFNQDKEVGAIKLELIPARPFSSSELQRDNPATGQQNADEGSERPPRAQWRVDSNGAPKTIADSATSPKLLYVDRVVQEILETERTYVQDLKSIVEDYLDCIRDQTKLPLGTEERSALFGNIQDIYHFNSELLQDLENCENDPVAIAECFVSKSEEFHIYTQYCTNYPRSVAVLTECMRNKILAKFFRERQETLKHSLPLGSYLLKPVQRILKYHLLLHEIENHLDKDTEGYDVVLDAIDTMQRVAWHINDMKRKHEHAVRLQEIQSLLTNWKGPDLTSYGELVLEGTFRIQRAKNERTLFLFDKLLLITKKRDDTFTYKAHILCGNLMLVEVIPKEPLSFSVFHYKNPKLQHTVQAKSQQDKRLWVLHLKRLILENHAAKIPAKAKQAILEMDAIHHPGFCYSPEGGTKALFGSKEGSAPYRLRRKSEPSSRSHKVLKTSETAQDIQKVSREEGSPQLSSARPSPAQRNSQPSSSTMISVLRAGGALRNIWTDHQIRQALFPSRRSPQENEDDEDDYQMFVPSFSSSDLNSTRLCEDSTSSRPCSWHMGQMESTETSSSGHRIVRRASSAGESNTCPPEIGTSDRTRELQNSPKTEGQEEMTPFGSSIELTIDDIDHVYDNISYEDLKLMVAKREEAESTPSKSARDSVRPKSTPELAFTKRQAGHSKGSLYAQTDGTLSGGEASSQSTHELQAVEENIYDTIGLPDPPSLGFKCSSLKRAKRSTFLGLEADFVCCDSLRPFVSQDSLQLSEDEAPYHQATPDHGYLSLLYDSPSGNLSMPHKPVSDKLSEEVDEIWNDLENYIKKNEDKARDRLLAAFPVSKDDVPDRLHAESTPELSRDVGRSVSTLSLPESQALLTPVKSRAGRASRANCPFEEDLISKEGSFMSLNRLSLASEMPLMDNPYDLANSGLSQTDPENPDLGMEATDKTKSRVFMMARQYSQKIKKANQLLKVKSLELEQPPASQHQKSMHKDLAAILEEKKQGGPAIGARIAEYSQLYDQIVFRESPLKIQKDGWASPQESSLLRSVSPSQVHHGSGDWLLHSTYSNGELADFCLPPEQDLRSRYPTFEINTKSTPRQLSAACSVPSLQTSDPLPGSVQRCSVVVSQPNKENWCQDHLYNSLGRKGISAKSQPYHRSQSSSSVLINKSMDSINYPSDVGKQQLLSLHRSSRCESHQDLLPDIADSHQQGTEKLSDLTLQDSQKVVVVNRNLPLNAQIATQNYFSNFKETDGDEDDYVEIKSEEDESELELSHNRRRKSDSKFVDADFSDNVCSGNTLHSLNSPRTPKKPVNSKLGLSPYLTPYNDSDKLNDYLWRGPSPNQQNIVQSLREKFQCLSSSSFA
+>DECOY_sp|Q9ULL1|PKHG1_HUMAN Pleckstrin homology domain-containing family G member 1 OS=Homo sapiens OX=9606 GN=PLEKHG1 PE=1 SV=2
+AFSSSSLCQFKERLSQVINQQNPSPGRWLYDNLKDSDNYPTLYPSLGLKSNVPKKPTRPSNLSHLTNGSCVNDSFDADVFKSDSKRRRNHSLELESEDEESKIEVYDDEDGDTEKFNSFYNQTAIQANLPLNRNVVVVKQSDQLTLDSLKETGQQHSDAIDPLLDQHSECRSSRHLSLLQQKGVDSPYNISDMSKNILVSSSSQSRHYPQSKASIGKRGLSNYLHDQCWNEKNPQSVVVSCRQVSGPLPDSTQLSPVSCAASLQRPTSKTNIEFTPYRSRLDQEPPLCFDALEGNSYTSHLLWDGSGHHVQSPSVSRLLSSEQPSAWGDKQIKLPSERFVIQDYLQSYEAIRAGIAPGGQKKEELIAALDKHMSKQHQSAPPQELELSKVKLLQNAKKIKQSYQRAMMFVRSKTKDTAEMGLDPNEPDTQSLGSNALDYPNDMLPMESALSLRNLSMFSGEKSILDEEFPCNARSARGARSKVPTLLAQSEPLSLTSVSRGVDRSLEPTSEAHLRDPVDDKSVPFAALLRDRAKDENKKIYNELDNWIEDVEESLKDSVPKHPMSLNGSPSDYLLSLYGHDPTAQHYPAEDESLQLSDQSVFPRLSDCCVFDAELGLFTSRKARKLSSCKFGLSPPDPLGITDYINEEVAQLEHTSQSSAEGGSLTGDTQAYLSGKSHGAQRKTFALEPTSKPRVSDRASKSPTSEAEERKAVMLKLDEYSINDYVHDIDDITLEISSGFPTMEEQGETKPSNQLERTRDSTGIEPPCTNSEGASSARRVIRHGSSSTETSEMQGMHWSCPRSSTSDECLRTSNLDSSSFSPVFMQYDDEDDENEQPSRRSPFLAQRIQHDTWINRLAGGARLVSIMTSSSPQSNRQAPSPRASSLQPSGEERSVKQIDQATESTKLVKHSRSSPESKRRLRYPASGEKSGFLAKTGGEPSYCFGPHHIADMELIAQKAKAPIKAAHNELILRKLHLVWLRKDQQSKAQVTHQLKPNKYHFVSFSLPEKPIVEVLMLNGCLIHAKYTFTDDRKKTILLLKDFLFLTRENKARQIRFTGELVLEGYSTLDPGKWNTLLSQIEQLRVAHEHKRKMDNIHWAVRQMTDIADLVVDYGETDKDLHNEIEHLLLHYKLIRQVPKLLYSGLPLSHKLTEQRERFFKALIKNRMCETLVAVSRPYNTCYQTYIHFEESKSVFCEAIAVPDNECNELDQLLESNFHYIDQINGFLASREETGLPLKTQDRICDLYDEVISKLDQVYTRETELIEQVVRDVYLLKPSTASDAITKPAGNSDVRWQARPPRESGEDANQQGTAPNDRQLESSSFPRAPILELKIAGVEKDQNFLGMHSNSVLTMRSGFSGHSDRSSASSSTSGFSVPRDSDSLEM
+>sp|Q6ZR37|PKHG7_HUMAN Pleckstrin homology domain-containing family G member 7 OS=Homo sapiens OX=9606 GN=PLEKHG7 PE=2 SV=1
+MIFMNTLRYLQTHEYLLDVDLWRLFANLEELTQTSLGFVNSLFGIIKDYVDASEISSSLDFISVLTKYFRGSLCQSHQTYCLNYSAAIFYLESLRQRDDFGIYLKWCEQNEQCRRLHVPELLVAPLQRLTRYPLLLKNIWKRSMDSAEKIMIYSIKEKVEKSIRDLEGKVKWLDNFQKFRYLQEIIVWPPLWDRDKRFFIPECLKHIFKEHMAENILSPTSRHLLYEGKLTLAESTRFLDVYLFLFNDFLLVTKTKCNKKKLGGSDPGLMCPSLTPELQAVIKEGGSCTVLDQPIPLDRLVVKSIEPLHVSVFGLRNAFLIQHENRYRQCIAAFLLQAQTENIKKTWMAQITTAISCFTKSQETKKISLFTLPAESSEI
+>DECOY_sp|Q6ZR37|PKHG7_HUMAN Pleckstrin homology domain-containing family G member 7 OS=Homo sapiens OX=9606 GN=PLEKHG7 PE=2 SV=1
+IESSEAPLTFLSIKKTEQSKTFCSIATTIQAMWTKKINETQAQLLFAAICQRYRNEHQILFANRLGFVSVHLPEISKVVLRDLPIPQDLVTCSGGEKIVAQLEPTLSPCMLGPDSGGLKKKNCKTKTVLLFDNFLFLYVDLFRTSEALTLKGEYLLHRSTPSLINEAMHEKFIHKLCEPIFFRKDRDWLPPWVIIEQLYRFKQFNDLWKVKGELDRISKEVKEKISYIMIKEASDMSRKWINKLLLPYRTLRQLPAVLLEPVHLRRCQENQECWKLYIGFDDRQRLSELYFIAASYNLCYTQHSQCLSGRFYKTLVSIFDLSSSIESADVYDKIIGFLSNVFGLSTQTLEELNAFLRWLDVDLLYEHTQLYRLTNMFIM
+>sp|Q9NW61|PKHJ1_HUMAN Pleckstrin homology domain-containing family J member 1 OS=Homo sapiens OX=9606 GN=PLEKHJ1 PE=1 SV=1
+MRYNEKELQALSRQPAEMAAELGMRGPKKGSVLKRRLVKLVVNFLFYFRTDEAEPVGALLLERCRVVREEPGTFSISFIEDPERKYHFECSSEEQCQEWMEALRRASYEFMRRSLIFYRNEIRKVTGKDPLEQFGISEEARFQLSGLQA
+>DECOY_sp|Q9NW61|PKHJ1_HUMAN Pleckstrin homology domain-containing family J member 1 OS=Homo sapiens OX=9606 GN=PLEKHJ1 PE=1 SV=1
+AQLGSLQFRAEESIGFQELPDKGTVKRIENRYFILSRRMFEYSARRLAEMWEQCQEESSCEFHYKREPDEIFSISFTGPEERVVRCRELLLAGVPEAEDTRFYFLFNVVLKVLRRKLVSGKKPGRMGLEAAMEAPQRSLAQLEKENYRM
+>sp|Q86WI1|PKHL1_HUMAN Fibrocystin-L OS=Homo sapiens OX=9606 GN=PKHD1L1 PE=2 SV=2
+MGHLWLLGIWGLCGLLLCAADPSTDGSQIIPKVTEIIPKYGSINGATRLTIRGEGFSQANQFNYGVDNAELGNSVQLISSFQSITCDVEKDASHSTQITCYTRAMPEDSYTVRVSVDGVPVTENNTCKGHINSWECTFNAKSFRTPTIRSITPLSGTPGTLITIQGRIFTDVYGSNIALSSNGKNVRILRVYIGGMPCELLIPQSDNLYGLKLDHPNGDMGSMVCKTTGTFIGHHNVSFILDNDYGRSFPQKMAYFVSSLNKIAMFQTYAEVTMIFPSQGSIRGGTTLTISGRFFDQTDFPVRVLVGGEPCDILNVTENSICCKTPPKPHILKTVYPGGRGLKLEVWNNSRPIRLEEILEYNEKTPGYMGASWVDSASYIWLMEQDTFVARFSGFLVAPDSDVYRFYIKGDDRYAIYFSQTGLPEDKVRIAYHSANANSYFSSPTQRSDDIHLQKGKEYYIEILLQEYRLSAFVDVGLYQYRNVYTEQQTGDAVNEEQVIKSQSTILQEVQVITLENWETTNAINEVQKIKVTSPCVEANSCSLYQYRLIYNMEKTVFLPADASEFILQSALNDLWSIKPDTVQVIRTQNPQSYVYMVTFISTRGDFDLLGYEVVEGNNVTLDITEQTKGKPNLETFTLNWDGIASKPLTLWSSEAEFQGAVEEMVSTKCPPQIANFEEGFVVKYFRDYETDFNLEHINRGQKTAETDAYCGRYSLKNPAVLFDSADVKPNRRPYGDILLFPYNQLCLAYKGFLANYIGLKFQYQDNSKITRSTDTQFTYNFAYGNNWTYTCIDLLDLVRTKYTGTNVSLQRISLHKASESQSFYVDVVYIGHTSTISTLDEMPKRRLPALANKGIFLEHFQVNQTKTNGPTMTNQYSVTMTSYNCSYNIPMMAVSFGQIITHETENEFVYRGNNWPGESKIHIQRIQAASPPLSGSFDIQAYGHILKGLPAAVSAADLQFALQSLEGMGRISVTREGTCAGYAWNIKWRSTCGKQNLLQINDSNIIGEKANMTVTRIKEGGLFRQHVLGDLLRTPSQQPQVEVYVNGIPAKCSGDCGFTWDSNITPLVLAISPSQGSYEEGTILTIVGSGFSPSSAVTVSVGPVGCSLLSVDEKELKCQILNGSAGHAPVAVSMADVGLAQNVGGEEFYFVYQSQISHIWPDSGSIAGGTLLTLSGFGFNENSKVLVGNETCNVIEGDLNRITCRTPKKTEGTVDISVTTNGFQATARDAFSYNCLQTPIITDFSPKVRTILGEVNLTIKGYNFGNELTQNMAVYVGGKTCQILHWNFTDIRCLLPKLSPGKHDIYVEVRNWGFASTRDKLNSSIQYVLEVTSMFPQRGSLFGGTEITIRGFGFSTIPAENTVLLGSIPCNVTSSSENVIKCILHSTGNIFRITNNGKDSVHGLGYAWSPPVLNVSVGDTVAWHWQTHPFLRGIGYRIFSVSSPGSVIYDGKGFTSGRQKSTSGSFSYQFTSPGIHYYSSGYVDEAHSIFLQGVINVLPAETRHIPLHLFVGRSEATYAYGGPENLHLGSSVAGCLATEPLCSLNNTRVKNSKRLLFEVSSCFSPSISNITPSTGTVNELITIIGHGFSNLPWANKVTIGSYPCVVEESSEDSITCHIDPQNSMDVGIRETVTLTVYNLGTAINTLSNEFDRRFVLLPNIDLVLPNAGSTTGMTSVTIKGSGFAVSSAGVKVLMGHFPCKVLSVNYTAIECETSPAAQQLVDVDLLIHGVPAQCQGNCTFSYLESITPYITGVFPNSVIGSVKVLIEGEGLGTVLEDIAVFIGNQQFRAIEVNENNITALVTPLPVGHHSVSVVVGSKGLALGNLTVSSPPVASLSPTSGSIGGGTTLVITGNGFYPGNTTVTIGDEPCQIISINPNEVYCRTPAGTTGMVDVKIFVNTIAYPPLLFTYALEDTPFLRGIIPSRGPPGTEIEITGSNFGFEILEISVMINNIQCNVTMANDSVVQCIVGDHAGGTFPVMMHHKTKGSAMSTVVFEYPLNIQNINPSQGSFGGGQTMTVTGTGFNPQNSIILVCGSECAIDRLRSDYTTLLCEIPSNNGTGAEQACEVSVVNGKDLSQSMTPFTYAVSLTPLITAVSPKRGSTAGGTRLTVVGSGFSENMEDVHITIAEAKCDVEYSNKTHIICMTDAHTLSGWAPVCVHIRGVGMAKLDNADFLYVDAWSSNFSWGGKSPPEEGSLVVITKGQTILLDQSTPILKMLLIQGGTLIFDEADIELQAENILITDGGVLQIGTETSPFQHKAVITLHGHLRSPELPVYGAKTLAVREGILDLHGVPVPVTWTRLAHTAKAGERILILQEAVTWKPGDNIVIASTGHRHSQGENEKMTIASVSADGINITLSNPLNYTHLGITVTLPDGTLFEARAEVGILTRNILIRGSDNVEWNNKIPACPDGFDTGEFATQTCLQGKFGEEIGSDQFGGCVMFHAPVPGANMVTGRIEYVEVFHAGQAFRLGRYPIHWHLLGDLQFKSYVRGCAIHQAYNRAVTIHNTHHLLVERNIIYDIKGGAFFIEDGIEHGNILQYNLAVFVQQSTSLLNDDVTPAAFWVTNPNNTIRHNAVAGGTHFGFWYRMNNHPDGPSYDRNICQKRVPLGEFFNNTVHSQGWFGMWIFEEYFPMQTGSCTSTVPAPAIFNSLTTWNCQKGAEWVNGGALQFHNFVMVNNYEAGIETKRILAPYVGGWGETNGAVIKNAKIVGHLDELGMGSAFCTAKGLVLPFSEGLTVSSVHFMNFDRPNCVALGVTSISGVCNDRCGGWSAKFVDVQYSHTPNKAGFRWEHEMVMIDVDGSLTGHKGHTVIPHSSLLDPSHCTQEAEWSIGFPGSVCDASVSFHRLAFNQPSPVSLLEKDVVLSDSFGTSIIPFQKKRLTHMSGWMALIPNANHINWYFKGVDHITNISYTSTFYGFKEEDYVIISHNFTQNPDMFNIIDMRNGSSNPLNWNTSKNGDWHLEANTSTLYYLVSGRNDLHQSQLISGNLDPDVKDVVINFQAYCCILQDCFPVHPPSRKPIPKKRPATYNLWSNDSFWQSSRENNYTVPHPGANVIIPEGTWIVADIDMPSMERLIIWGVLELEDKYNVGAAESSYREVVLNATYISLQGGRLIGGWEDNPFKGDLKIVLRGNHTTQDWALPEGPNQGAKVLGVFGELDLHGIPHSIYKTKLSETAFAGSKVLSLMDAVDWQEGEEIVITTTSYDFHQTETRSIVKILHDHKILILNDSLSYTHFAEKYHVPGTGESYTLAADVGILSRNIKIVGEDYPGWSEDSFGARVLVGSFTENMMTFKGNARISNVEFYHSGQEGFRDSTDPRYAVTFLNLGQIQEHGSSYIRGCAFHHGFSPAIGVFGTDGLDIDDNIIHFTVGEGIRIWGNANRVRGNLIALSVWPGTYQNRKDLSSTLWHAAIEINRGTNTVLQNNVVAGFGRAGYRIDGEPCPGQFNPVEKWFDNEAHGGLYGIYMNQDGLPGCSLIQGFTIWTCWDYGIYFQTTESVHIYNVTLVDNGMAIFPMIYMPAAISHKISSKNVQIKSSLIVGSSPGFNCSDVLTNDDPNIELTAAHRSPRSPSGGRSGICWPTFASAHNMAPRKPHAGIMSYNAISGLLDISGSTFVGFKNVCSGETNVIFITNPLNEDLQHPIHVKNIKLVDTTEQSKIFIHRPDISKVNPSDCVDMVCDAKRKSFLRDIDGSFLGNAGSVIPQAEYEWDGNSQVGIGDYRIPKAMLTFLNGSRIPVTEKAPHKGIIRDSTCKYLPEWQSYQCFGMEYAMMVIESLDPDTETRRLSPVAIMGNGYVDLINGPQDHGWCAGYTCQRRLSLFHSIVALNKSYEVYFTGTSPQNLRLMLLNVDHNKAVLVGIFFSTLQRLDVYVNNLLVCPKTTIWNAQQKHCELNNHLYKDQFLPNLDSTVLGENYFDGTYQMLYLLVKGTIPVEIHTATVIFVSFQLSVATEDDFYTSHNLVKNLALFLKIPSDKIRISKIRGKSLRRKRSMGFIIEIEIGDPPIQFISNGTTGQMQLSELQEIAGSLGQAVILGNISSILGFNISSMSITNPLPSPSDSGWIKVTAQPVERSAFPVHHVAFVSSLLVITQPVAAQPGQPFPQQPSVKATDSDGNCVSVGITALTLRAILKDSNNNQVNGLSGNTTIPFSSCWANYTDLTPLRTGKNYKIEFILDNVVGVESRTFSLLAESVSSSGSSSSSNSKASTVGTYAQIMTVVISCLVGRMWLLEIFMAAVSTLNITLRSY
+>DECOY_sp|Q86WI1|PKHL1_HUMAN Fibrocystin-L OS=Homo sapiens OX=9606 GN=PKHD1L1 PE=2 SV=2
+YSRLTINLTSVAAMFIELLWMRGVLCSIVVTMIQAYTGVTSAKSNSSSSSGSSSVSEALLSFTRSEVGVVNDLIFEIKYNKGTRLPTLDTYNAWCSSFPITTNGSLGNVQNNNSDKLIARLTLATIGVSVCNGDSDTAKVSPQQPFPQGPQAAVPQTIVLLSSVFAVHHVPFASREVPQATVKIWGSDSPSPLPNTISMSSINFGLISSINGLIVAQGLSGAIEQLESLQMQGTTGNSIFQIPPDGIEIEIIFGMSRKRRLSKGRIKSIRIKDSPIKLFLALNKVLNHSTYFDDETAVSLQFSVFIVTATHIEVPITGKVLLYLMQYTGDFYNEGLVTSDLNPLFQDKYLHNNLECHKQQANWITTKPCVLLNNVYVDLRQLTSFFIGVLVAKNHDVNLLMLRLNQPSTGTFYVEYSKNLAVISHFLSLRRQCTYGACWGHDQPGNILDVYGNGMIAVPSLRRTETDPDLSEIVMMAYEMGFCQYSQWEPLYKCTSDRIIGKHPAKETVPIRSGNLFTLMAKPIRYDGIGVQSNGDWEYEAQPIVSGANGLFSGDIDRLFSKRKADCVMDVCDSPNVKSIDPRHIFIKSQETTDVLKINKVHIPHQLDENLPNTIFIVNTEGSCVNKFGVFTSGSIDLLGSIANYSMIGAHPKRPAMNHASAFTPWCIGSRGGSPSRPSRHAATLEINPDDNTLVDSCNFGPSSGVILSSKIQVNKSSIKHSIAAPMYIMPFIAMGNDVLTVNYIHVSETTQFYIGYDWCTWITFGQILSCGPLGDQNMYIGYLGGHAENDFWKEVPNFQGPCPEGDIRYGARGFGAVVNNQLVTNTGRNIEIAAHWLTSSLDKRNQYTGPWVSLAILNGRVRNANGWIRIGEGVTFHIINDDIDLGDTGFVGIAPSFGHHFACGRIYSSGHEQIQGLNLFTVAYRPDTSDRFGEQGSHYFEVNSIRANGKFTMMNETFSGVLVRAGFSDESWGPYDEGVIKINRSLIGVDAALTYSEGTGPVHYKEAFHTYSLSDNLILIKHDHLIKVISRTETQHFDYSTTTIVIEEGEQWDVADMLSLVKSGAFATESLKTKYISHPIGHLDLEGFVGLVKAGQNPGEPLAWDQTTHNGRLVIKLDGKFPNDEWGGILRGGQLSIYTANLVVERYSSEAAGVNYKDELELVGWIILREMSPMDIDAVIWTGEPIIVNAGPHPVTYNNERSSQWFSDNSWLNYTAPRKKPIPKRSPPHVPFCDQLICCYAQFNIVVDKVDPDLNGSILQSQHLDNRGSVLYYLTSTNAELHWDGNKSTNWNLPNSSGNRMDIINFMDPNQTFNHSIIVYDEEKFGYFTSTYSINTIHDVGKFYWNIHNANPILAMWGSMHTLRKKQFPIISTGFSDSLVVDKELLSVPSPQNFALRHFSVSADCVSGPFGISWEAEQTCHSPDLLSSHPIVTHGKHGTLSGDVDIMVMEHEWRFGAKNPTHSYQVDVFKASWGGCRDNCVGSISTVGLAVCNPRDFNMFHVSSVTLGESFPLVLGKATCFASGMGLEDLHGVIKANKIVAGNTEGWGGVYPALIRKTEIGAEYNNVMVFNHFQLAGGNVWEAGKQCNWTTLSNFIAPAPVTSTCSGTQMPFYEEFIWMGFWGQSHVTNNFFEGLPVRKQCINRDYSPGDPHNNMRYWFGFHTGGAVANHRITNNPNTVWFAAPTVDDNLLSTSQQVFVALNYQLINGHEIGDEIFFAGGKIDYIINREVLLHHTNHITVARNYAQHIACGRVYSKFQLDGLLHWHIPYRGLRFAQGAHFVEVYEIRGTVMNAGPVPAHFMVCGGFQDSGIEEGFKGQLCTQTAFEGTDFGDPCAPIKNNWEVNDSGRILINRTLIGVEARAEFLTGDPLTVTIGLHTYNLPNSLTINIGDASVSAITMKENEGQSHRHGTSAIVINDGPKWTVAEQLILIREGAKATHALRTWTVPVPVGHLDLIGERVALTKAGYVPLEPSRLHGHLTIVAKHQFPSTETGIQLVGGDTILINEAQLEIDAEDFILTGGQILLMKLIPTSQDLLITQGKTIVVLSGEEPPSKGGWSFNSSWADVYLFDANDLKAMGVGRIHVCVPAWGSLTHADTMCIIHTKNSYEVDCKAEAITIHVDEMNESFGSGVVTLRTGGATSGRKPSVATILPTLSVAYTFPTMSQSLDKGNVVSVECAQEAGTGNNSPIECLLTTYDSRLRDIACESGCVLIISNQPNFGTGTVTMTQGGGFSGQSPNINQINLPYEFVVTSMASGKTKHHMMVPFTGGAHDGVICQVVSDNAMTVNCQINNIMVSIELIEFGFNSGTIEIETGPPGRSPIIGRLFPTDELAYTFLLPPYAITNVFIKVDVMGTTGAPTRCYVENPNISIIQCPEDGITVTTNGPYFGNGTIVLTTGGGISGSTPSLSAVPPSSVTLNGLALGKSGVVVSVSHHGVPLPTVLATINNENVEIARFQQNGIFVAIDELVTGLGEGEILVKVSGIVSNPFVGTIYPTISELYSFTCNGQCQAPVGHILLDVDVLQQAAPSTECEIATYNVSLVKCPFHGMLVKVGASSVAFGSGKITVSTMGTTSGANPLVLDINPLLVFRRDFENSLTNIATGLNYVTLTVTERIGVDMSNQPDIHCTISDESSEEVVCPYSGITVKNAWPLNSFGHGIITILENVTGTSPTINSISPSFCSSVEFLLRKSNKVRTNNLSCLPETALCGAVSSGLHLNEPGGYAYTAESRGVFLHLPIHRTEAPLVNIVGQLFISHAEDVYGSSYYHIGPSTFQYSFSGSTSKQRGSTFGKGDYIVSGPSSVSFIRYGIGRLFPHTQWHWAVTDGVSVNLVPPSWAYGLGHVSDKGNNTIRFINGTSHLICKIVNESSSTVNCPISGLLVTNEAPITSFGFGRITIETGGFLSGRQPFMSTVELVYQISSNLKDRTSAFGWNRVEVYIDHKGPSLKPLLCRIDTFNWHLIQCTKGGVYVAMNQTLENGFNYGKITLNVEGLITRVKPSFDTIIPTQLCNYSFADRATAQFGNTTVSIDVTGETKKPTRCTIRNLDGEIVNCTENGVLVKSNENFGFGSLTLLTGGAISGSDPWIHSIQSQYVFYFEEGGVNQALGVDAMSVAVPAHGASGNLIQCKLEKEDVSLLSCGVPGVSVTVASSPSFGSGVITLITGEEYSGQSPSIALVLPTINSDWTFGCDGSCKAPIGNVYVEVQPQQSPTRLLDGLVHQRFLGGEKIRTVTMNAKEGIINSDNIQLLNQKGCTSRWKINWAYGACTGERTVSIRGMGELSQLAFQLDAASVAAPLGKLIHGYAQIDFSGSLPPSAAQIRQIHIKSEGPWNNGRYVFENETEHTIIQGFSVAMMPINYSCNYSTMTVSYQNTMTPGNTKTQNVQFHELFIGKNALAPLRRKPMEDLTSITSTHGIYVVDVYFSQSESAKHLSIRQLSVNTGTYKTRVLDLLDICTYTWNNGYAFNYTFQTDTSRTIKSNDQYQFKLGIYNALFGKYALCLQNYPFLLIDGYPRRNPKVDASDFLVAPNKLSYRGCYADTEATKQGRNIHELNFDTEYDRFYKVVFGEEFNAIQPPCKTSVMEEVAGQFEAESSWLTLPKSAIGDWNLTFTELNPKGKTQETIDLTVNNGEVVEYGLLDFDGRTSIFTVMYVYSQPNQTRIVQVTDPKISWLDNLASQLIFESADAPLFVTKEMNYILRYQYLSCSNAEVCPSTVKIKQVENIANTTEWNELTIVQVEQLITSQSKIVQEENVADGTQQETYVNRYQYLGVDVFASLRYEQLLIEIYYEKGKQLHIDDSRQTPSSFYSNANASHYAIRVKDEPLGTQSFYIAYRDDGKIYFRYVDSDPAVLFGSFRAVFTDQEMLWIYSASDVWSAGMYGPTKENYELIEELRIPRSNNWVELKLGRGGPYVTKLIHPKPPTKCCISNETVNLIDCPEGGVLVRVPFDTQDFFRGSITLTTGGRISGQSPFIMTVEAYTQFMAIKNLSSVFYAMKQPFSRGYDNDLIFSVNHHGIFTGTTKCVMSGMDGNPHDLKLGYLNDSQPILLECPMGGIYVRLIRVNKGNSSLAINSGYVDTFIRGQITILTGPTGSLPTISRITPTRFSKANFTCEWSNIHGKCTNNETVPVGDVSVRVTYSDEPMARTYCTIQTSHSADKEVDCTISQFSSILQVSNGLEANDVGYNFQNAQSFGEGRITLRTAGNISGYKPIIETVKPIIQSGDTSPDAACLLLGCLGWIGLLWLHGM
+>sp|Q9Y4G2|PKHM1_HUMAN Pleckstrin homology domain-containing family M member 1 OS=Homo sapiens OX=9606 GN=PLEKHM1 PE=1 SV=3
+MLSVVENGLDPQAAIPVIKKKLVGSVKALQKQYVSLDTVVTSEDGDANTMCSALEAVFIHGLHAKHIRAEAGGKRKKSAHQKPLPQPVFWPLLKAVTHKHIISELEHLTFVNTDVGRCRAWLRLALNDGLMECYLKLLLQEQARLHEYYQPTALLRDAEEGEFLLSFLQGLTSLSFELSYKSAILNEWTLTPLALSGLCPLSELDPLSTSGAELQRKESLDSISHSSGSEDIEVHHSGHKIRRNQKLTASSLSLDTASSSQLSCSLNSDSCLLQENGSKSPDHCEEPMSCDSDLGTANAEDSDRSLQEVLLEFSKAQVNSVPTNGLSQETEIPTPQASLSLHGLNTSTYLHCEAPAEPLPAQAASGTQDGVHVQEPRPQAPSPLDLQQPVESTSGQQPSSTVSETAREVGQGNGLQKAQAHDGAGLKLVVSSPTSPKNKSWISEDDFYRPSREQPLESASDHPIASYRGTPGSRPGLHRHFSQEPRKNCSLGALDQACVPSPGRRQAQAAPSQGHKSFRVVHRRQMGLSNPFRGLMKLGTVERRGAMGIWKELFCELSPLEFRLYLSNEEHTCVENCSLLRCESVGPAHSDGRFELVFSGKKLALRASSQDEAEDWLDRVREALQKVRPQQEDEWVNVQYPDQPEEPPEAPQGCLSPSDLLSEPAALQGTQFDWSSAQVPEPDAIKESLLYLYMDRTWMPYIFSLSLEALKCFRIRNNEKMLSDSHGVETIRDILPDTSLGGPSFFKIITAKAVLKLQAGNAEEAALWRDLVRKVLASYLETAEEAVTLGGSLDENCQEVLKFATRENGFLLQYLVAIPMEKGLDSQGCFCAGCSRQIGFSFVRPKLCAFSGLYYCDICHQDDASVIPARIIHNWDLTKRPICRQALKFLTQIRAQPLINLQMVNASLYEHVERMHLIGRRREQLKLLGDYLGLCRSGALKELSKRLNHRNYLLESPHRFSVADLQQIADGVYEGFLKALIEFASQHVYHCDLCTQRGFICQICQHHDIIFPFEFDTTVRCAECKTVFHQSCQAVVKKGCPRCARRRKYQEQNIFA
+>DECOY_sp|Q9Y4G2|PKHM1_HUMAN Pleckstrin homology domain-containing family M member 1 OS=Homo sapiens OX=9606 GN=PLEKHM1 PE=1 SV=3
+AFINQEQYKRRRACRPCGKKVVAQCSQHFVTKCEACRVTTDFEFPFIIDHHQCIQCIFGRQTCLDCHYVHQSAFEILAKLFGEYVGDAIQQLDAVSFRHPSELLYNRHNLRKSLEKLAGSRCLGLYDGLLKLQERRRGILHMREVHEYLSANVMQLNILPQARIQTLFKLAQRCIPRKTLDWNHIIRAPIVSADDQHCIDCYYLGSFACLKPRVFSFGIQRSCGACFCGQSDLGKEMPIAVLYQLLFGNERTAFKLVEQCNEDLSGGLTVAEEATELYSALVKRVLDRWLAAEEANGAQLKLVAKATIIKFFSPGGLSTDPLIDRITEVGHSDSLMKENNRIRFCKLAELSLSFIYPMWTRDMYLYLLSEKIADPEPVQASSWDFQTGQLAAPESLLDSPSLCGQPAEPPEEPQDPYQVNVWEDEQQPRVKQLAERVRDLWDEAEDQSSARLALKKGSFVLEFRGDSHAPGVSECRLLSCNEVCTHEENSLYLRFELPSLECFLEKWIGMAGRREVTGLKMLGRFPNSLGMQRRHVVRFSKHGQSPAAQAQRRGPSPVCAQDLAGLSCNKRPEQSFHRHLGPRSGPTGRYSAIPHDSASELPQERSPRYFDDESIWSKNKPSTPSSVVLKLGAGDHAQAKQLGNGQGVERATESVTSSPQQGSTSEVPQQLDLPSPAQPRPEQVHVGDQTGSAAQAPLPEAPAECHLYTSTNLGHLSLSAQPTPIETEQSLGNTPVSNVQAKSFELLVEQLSRDSDEANATGLDSDCSMPEECHDPSKSGNEQLLCSDSNLSCSLQSSSATDLSLSSATLKQNRRIKHGSHHVEIDESGSSHSISDLSEKRQLEAGSTSLPDLESLPCLGSLALPTLTWENLIASKYSLEFSLSTLGQLFSLLFEGEEADRLLATPQYYEHLRAQEQLLLKLYCEMLGDNLALRLWARCRGVDTNVFTLHELESIIHKHTVAKLLPWFVPQPLPKQHASKKRKGGAEARIHKAHLGHIFVAELASCMTNADGDESTVVTDLSVYQKQLAKVSGVLKKKIVPIAAQPDLGNEVVSLM
+>sp|Q494U1|PKHN1_HUMAN Pleckstrin homology domain-containing family N member 1 OS=Homo sapiens OX=9606 GN=PLEKHN1 PE=1 SV=2
+MGNSHCVPQAPRRLRASFSRKPSLKGNREDSARMSAGLPGPEAARSGDAAANKLFHYIPGTDILDLENQRENLEQPFLSVFKKGRRRVPVRNLGKVVHYAKVQLRFQHSQDVSDCYLELFPAHLYFQAHGSEGLTFQGLLPLTELSVCPLEGSREHAFQITGVWDASRAPRGTPDPGLGEGPALWLRSTCVYVCALSALPAGPLPAPLLVLCPSRAELDRWLYHLEKQTALLGGPRRCHSAPPQGSCGDELPWTLQRRLTRLRTASGHEPGGSAVCASRVKLQHLPAQEQWDRLLVLYPTSLAIFSEELDGLCFKGELPLRAVHINLEEKEKQIRSFLIEGPLINTIRVVCASYEDYGHWLLCLRAVTHREGAPPLPGAESFPGSQVMGSGRGSLSSGGQTSWDSGCLAPPSTRTSHSLPESSVPSTVGCSSQHTPDQANSDRASIGRRRTELRRSGSSRSPGSKARAEGRGPVTPLHLDLTQLHRLSLESSPDAPDHTSETSHSPLYADPYTPPATSHRRVTDVRGLEEFLSAMQSARGPTPSSPLPSVPVSVPASDPRSCSSGPAGPYLLSKKGALQSRAAQRHRGSAKDGGPQPPDAPQLVSSAREGSPEPWLPLTDGRSPRRSRDPGYDHLWDETLSSSHQKCPQLGGPEASGGLVQWI
+>DECOY_sp|Q494U1|PKHN1_HUMAN Pleckstrin homology domain-containing family N member 1 OS=Homo sapiens OX=9606 GN=PLEKHN1 PE=1 SV=2
+IWQVLGGSAEPGGLQPCKQHSSSLTEDWLHDYGPDRSRRPSRGDTLPLWPEPSGERASSVLQPADPPQPGGDKASGRHRQAARSQLAGKKSLLYPGAPGSSCSRPDSAPVSVPVSPLPSSPTPGRASQMASLFEELGRVDTVRRHSTAPPTYPDAYLPSHSTESTHDPADPSSELSLRHLQTLDLHLPTVPGRGEARAKSGPSRSSGSRRLETRRRGISARDSNAQDPTHQSSCGVTSPVSSEPLSHSTRTSPPALCGSDWSTQGGSSLSGRGSGMVQSGPFSEAGPLPPAGERHTVARLCLLWHGYDEYSACVVRITNILPGEILFSRIQKEKEELNIHVARLPLEGKFCLGDLEESFIALSTPYLVLLRDWQEQAPLHQLKVRSACVASGGPEHGSATRLRTLRRQLTWPLEDGCSGQPPASHCRRPGGLLATQKELHYLWRDLEARSPCLVLLPAPLPGAPLASLACVYVCTSRLWLAPGEGLGPDPTGRPARSADWVGTIQFAHERSGELPCVSLETLPLLGQFTLGESGHAQFYLHAPFLELYCDSVDQSHQFRLQVKAYHVVKGLNRVPVRRRGKKFVSLFPQELNERQNELDLIDTGPIYHFLKNAAADGSRAAEPGPLGASMRASDERNGKLSPKRSFSARLRRPAQPVCHSNGM
+>sp|Q53GL0|PKHO1_HUMAN Pleckstrin homology domain-containing family O member 1 OS=Homo sapiens OX=9606 GN=PLEKHO1 PE=1 SV=2
+MMKKNNSAKRGPQDGNQQPAPPEKVGWVRKFCGKGIFREIWKNRYVVLKGDQLYISEKEVKDEKNIQEVFDLSDYEKCEELRKSKSRSKKNHSKFTLAHSKQPGNTAPNLIFLAVSPEEKESWINALNSAITRAKNRILDEVTVEEDSYLAHPTRDRAKIQHSRRPPTRGHLMAVASTSTSDGMLTLDLIQEEDPSPEEPTSCAESFRVDLDKSVAQLAGSRRRADSDRIQPSADRASSLSRPWEKTDKGATYTPQAPKKLTPTEKGRCASLEEILSQRDAASARTLQLRAEEPPTPALPNPGQLSRIQDLVARKLEETQELLAEVQGLGDGKRKAKDPPRSPPDSESEQLLLETERLLGEASSNWSQAKRVLQEVRELRDLYRQMDLQTPDSHLRQTTPHSQYRKSLM
+>DECOY_sp|Q53GL0|PKHO1_HUMAN Pleckstrin homology domain-containing family O member 1 OS=Homo sapiens OX=9606 GN=PLEKHO1 PE=1 SV=2
+MLSKRYQSHPTTQRLHSDPTQLDMQRYLDRLERVEQLVRKAQSWNSSAEGLLRETELLLQESESDPPSRPPDKAKRKGDGLGQVEALLEQTEELKRAVLDQIRSLQGPNPLAPTPPEEARLQLTRASAADRQSLIEELSACRGKETPTLKKPAQPTYTAGKDTKEWPRSLSSARDASPQIRDSDARRRSGALQAVSKDLDVRFSEACSTPEEPSPDEEQILDLTLMGDSTSTSAVAMLHGRTPPRRSHQIKARDRTPHALYSDEEVTVEDLIRNKARTIASNLANIWSEKEEPSVALFILNPATNGPQKSHALTFKSHNKKSRSKSKRLEECKEYDSLDFVEQINKEDKVEKESIYLQDGKLVVYRNKWIERFIGKGCFKRVWGVKEPPAPQQNGDQPGRKASNNKKMM
+>sp|Q16512|PKN1_HUMAN Serine/threonine-protein kinase N1 OS=Homo sapiens OX=9606 GN=PKN1 PE=1 SV=2
+MASDAVQSEPRSWSLLEQLGLAGADLAAPGVQQQLELERERLRREIRKELKLKEGAENLRRATTDLGRSLGPVELLLRGSSRRLDLLHQQLQELHAHVVLPDPAATHDGPQSPGAGGPTCSATNLSRVAGLEKQLAIELKVKQGAENMIQTYSNGSTKDRKLLLTAQQMLQDSKTKIDIIRMQLRRALQAGQLENQAAPDDTQGSPDLGAVELRIEELRHHFRVEHAVAEGAKNVLRLLSAAKAPDRKAVSEAQEKLTESNQKLGLLREALERRLGELPADHPKGRLLREELAAASSAAFSTRLAGPFPATHYSTLCKPAPLTGTLEVRVVGCRDLPETIPWNPTPSMGGPGTPDSRPPFLSRPARGLYSRSGSLSGRSSLKAEAENTSEVSTVLKLDNTVVGQTSWKPCGPNAWDQSFTLELERARELELAVFWRDQRGLCALKFLKLEDFLDNERHEVQLDMEPQGCLVAEVTFRNPVIERIPRLRRQKKIFSKQQGKAFQRARQMNIDVATWVRLLRRLIPNATGTGTFSPGASPGSEARTTGDISVEKLNLGTDSDSSPQKSSRDPPSSPSSLSSPIQESTAPELPSETQETPGPALCSPLRKSPLTLEDFKFLAVLGRGHFGKVLLSEFRPSGELFAIKALKKGDIVARDEVESLMCEKRILAAVTSAGHPFLVNLFGCFQTPEHVCFVMEYSAGGDLMLHIHSDVFSEPRAIFYSACVVLGLQFLHEHKIVYRDLKLDNLLLDTEGYVKIADFGLCKEGMGYGDRTSTFCGTPEFLAPEVLTDTSYTRAVDWWGLGVLLYEMLVGESPFPGDDEEEVFDSIVNDEVRYPRFLSAEAIGIMRRLLRRNPERRLGSSERDAEDVKKQPFFRTLGWEALLARRLPPPFVPTLSGRTDVSNFDEEFTGEAPTLSPPRDARPLTAAEQAAFLDFDFVAGGC
+>DECOY_sp|Q16512|PKN1_HUMAN Serine/threonine-protein kinase N1 OS=Homo sapiens OX=9606 GN=PKN1 PE=1 SV=2
+CGGAVFDFDLFAAQEAATLPRADRPPSLTPAEGTFEEDFNSVDTRGSLTPVFPPPLRRALLAEWGLTRFFPQKKVDEADRESSGLRREPNRRLLRRMIGIAEASLFRPYRVEDNVISDFVEEEDDGPFPSEGVLMEYLLVGLGWWDVARTYSTDTLVEPALFEPTGCFTSTRDGYGMGEKCLGFDAIKVYGETDLLLNDLKLDRYVIKHEHLFQLGLVVCASYFIARPESFVDSHIHLMLDGGASYEMVFCVHEPTQFCGFLNVLFPHGASTVAALIRKECMLSEVEDRAVIDGKKLAKIAFLEGSPRFESLLVKGFHGRGLVALFKFDELTLPSKRLPSCLAPGPTEQTESPLEPATSEQIPSSLSSPSSPPDRSSKQPSSDSDTGLNLKEVSIDGTTRAESGPSAGPSFTGTGTANPILRRLLRVWTAVDINMQRARQFAKGQQKSFIKKQRRLRPIREIVPNRFTVEAVLCGQPEMDLQVEHRENDLFDELKLFKLACLGRQDRWFVALELERARELELTFSQDWANPGCPKWSTQGVVTNDLKLVTSVESTNEAEAKLSSRGSLSGSRSYLGRAPRSLFPPRSDPTGPGGMSPTPNWPITEPLDRCGVVRVELTGTLPAPKCLTSYHTAPFPGALRTSFAASSAAALEERLLRGKPHDAPLEGLRRELAERLLGLKQNSETLKEQAESVAKRDPAKAASLLRLVNKAGEAVAHEVRFHHRLEEIRLEVAGLDPSGQTDDPAAQNELQGAQLARRLQMRIIDIKTKSDQLMQQATLLLKRDKTSGNSYTQIMNEAGQKVKLEIALQKELGAVRSLNTASCTPGGAGPSQPGDHTAAPDPLVVHAHLEQLQQHLLDLRRSSGRLLLEVPGLSRGLDTTARRLNEAGEKLKLEKRIERRLRERELELQQQVGPAALDAGALGLQELLSWSRPESQVADSAM
+>sp|Q16513|PKN2_HUMAN Serine/threonine-protein kinase N2 OS=Homo sapiens OX=9606 GN=PKN2 PE=1 SV=1
+MASNPERGEILLTELQGDSRSLPFSENVSAVQKLDFSDTMVQQKLDDIKDRIKREIRKELKIKEGAENLRKVTTDKKSLAYVDNILKKSNKKLEELHHKLQELNAHIVVSDPEDITDCPRTPDTPNNDPRCSTSNNRLKALQKQLDIELKVKQGAENMIQMYSNGSSKDRKLHGTAQQLLQDSKTKIEVIRMQILQAVQTNELAFDNAKPVISPLELRMEELRHHFRIEFAVAEGAKNVMKLLGSGKVTDRKALSEAQARFNESSQKLDLLKYSLEQRLNEVPKNHPKSRIIIEELSLVAASPTLSPRQSMISTQNQYSTLSKPAALTGTLEVRLMGCQDILENVPGRSKATSVALPGWSPSETRSSFMSRTSKSKSGSSRNLLKTDDLSNDVCAVLKLDNTVVGQTSWKPISNQSWDQKFTLELDRSRELEISVYWRDWRSLCAVKFLRLEDFLDNQRHGMCLYLEPQGTLFAEVTFFNPVIERRPKLQRQKKIFSKQQGKTFLRAPQMNINIATWGRLVRRAIPTVNHSGTFSPQAPVPTTVPVVDVRIPQLAPPASDSTVTKLDFDLEPEPPPAPPRASSLGEIDESSELRVLDIPGQDSETVFDIQNDRNSILPKSQSEYKPDTPQSGLEYSGIQELEDRRSQQRFQFNLQDFRCCAVLGRGHFGKVLLAEYKNTNEMFAIKALKKGDIVARDEVDSLMCEKRIFETVNSVRHPFLVNLFACFQTKEHVCFVMEYAAGGDLMMHIHTDVFSEPRAVFYAACVVLGLQYLHEHKIVYRDLKLDNLLLDTEGFVKIADFGLCKEGMGYGDRTSTFCGTPEFLAPEVLTETSYTRAVDWWGLGVLIYEMLVGESPFPGDDEEEVFDSIVNDEVRYPRFLSTEAISIMRRLLRRNPERRLGASEKDAEDVKKHPFFRLIDWSALMDKKVKPPFIPTIRGREDVSNFDDEFTSEAPILTPPREPRILSEEEQEMFRDFDYIADWC
+>DECOY_sp|Q16513|PKN2_HUMAN Serine/threonine-protein kinase N2 OS=Homo sapiens OX=9606 GN=PKN2 PE=1 SV=1
+CWDAIYDFDRFMEQEEESLIRPERPPTLIPAESTFEDDFNSVDERGRITPIFPPKVKKDMLASWDILRFFPHKKVDEADKESAGLRREPNRRLLRRMISIAETSLFRPYRVEDNVISDFVEEEDDGPFPSEGVLMEYILVGLGWWDVARTYSTETLVEPALFEPTGCFTSTRDGYGMGEKCLGFDAIKVFGETDLLLNDLKLDRYVIKHEHLYQLGLVVCAAYFVARPESFVDTHIHMMLDGGAAYEMVFCVHEKTQFCAFLNVLFPHRVSNVTEFIRKECMLSDVEDRAVIDGKKLAKIAFMENTNKYEALLVKGFHGRGLVACCRFDQLNFQFRQQSRRDELEQIGSYELGSQPTDPKYESQSKPLISNRDNQIDFVTESDQGPIDLVRLESSEDIEGLSSARPPAPPPEPELDFDLKTVTSDSAPPALQPIRVDVVPVTTPVPAQPSFTGSHNVTPIARRVLRGWTAININMQPARLFTKGQQKSFIKKQRQLKPRREIVPNFFTVEAFLTGQPELYLCMGHRQNDLFDELRLFKVACLSRWDRWYVSIELERSRDLELTFKQDWSQNSIPKWSTQGVVTNDLKLVACVDNSLDDTKLLNRSSGSKSKSTRSMFSSRTESPSWGPLAVSTAKSRGPVNELIDQCGMLRVELTGTLAAPKSLTSYQNQTSIMSQRPSLTPSAAVLSLEEIIIRSKPHNKPVENLRQELSYKLLDLKQSSENFRAQAESLAKRDTVKGSGLLKMVNKAGEAVAFEIRFHHRLEEMRLELPSIVPKANDFALENTQVAQLIQMRIVEIKTKSDQLLQQATGHLKRDKSSGNSYMQIMNEAGQKVKLEIDLQKQLAKLRNNSTSCRPDNNPTDPTRPCDTIDEPDSVVIHANLEQLKHHLEELKKNSKKLINDVYALSKKDTTVKRLNEAGEKIKLEKRIERKIRDKIDDLKQQVMTDSFDLKQVASVNESFPLSRSDGQLETLLIEGREPNSAM
+>sp|Q13835|PKP1_HUMAN Plakophilin-1 OS=Homo sapiens OX=9606 GN=PKP1 PE=1 SV=2
+MNHSPLKTALAYECFQDQDNSTLALPSDQKMKTGTSGRQRVQEQVMMTVKRQKSKSSQSSTLSHSNRGSMYDGLADNYNYGTTSRSSYYSKFQAGNGSWGYPIYNGTLKREPDNRRFSSYSQMENWSRHYPRGSCNTTGAGSDICFMQKIKASRSEPDLYCDPRGTLRKGTLGSKGQKTTQNRYSFYSTCSGQKAIKKCPVRPPSCASKQDPVYIPPISCNKDLSFGHSRASSKICSEDIECSGLTIPKAVQYLSSQDEKYQAIGAYYIQHTCFQDESAKQQVYQLGGICKLVDLLRSPNQNVQQAAAGALRNLVFRSTTNKLETRRQNGIREAVSLLRRTGNAEIQKQLTGLLWNLSSTDELKEELIADALPVLADRVIIPFSGWCDGNSNMSREVVDPEVFFNATGCLRKRLGMRELLALVPQRATSSRVNLSSADAGRQTMRNYSGLIDSLMAYVQNCVAASRCDDKSVENCMCVLHNLSYRLDAEVPTRYRQLEYNARNAYTEKSSTGCFSNKSDKMMNNNYDCPLPEEETNPKGSGWLYHSDAIRTYLNLMGKSKKDATLEACAGALQNLTASKGLMSSGMSQLIGLKEKGLPQIARLLQSGNSDVVRSGASLLSNMSRHPLLHRVMGNQVFPEVTRLLTSHTGNTSNSEDILSSACYTVRNLMASQPQLAKQYFSSSMLNNIINLCRSSASPKAAEAARLLLSDMWSSKELQGVLRQQGFDRNMLGTLAGANSLRNFTSRF
+>DECOY_sp|Q13835|PKP1_HUMAN Plakophilin-1 OS=Homo sapiens OX=9606 GN=PKP1 PE=1 SV=2
+FRSTFNRLSNAGALTGLMNRDFGQQRLVGQLEKSSWMDSLLLRAAEAAKPSASSRCLNIINNLMSSSFYQKALQPQSAMLNRVTYCASSLIDESNSTNGTHSTLLRTVEPFVQNGMVRHLLPHRSMNSLLSAGSRVVDSNGSQLLRAIQPLGKEKLGILQSMGSSMLGKSATLNQLAGACAELTADKKSKGMLNLYTRIADSHYLWGSGKPNTEEEPLPCDYNNNMMKDSKNSFCGTSSKETYANRANYELQRYRTPVEADLRYSLNHLVCMCNEVSKDDCRSAAVCNQVYAMLSDILGSYNRMTQRGADASSLNVRSSTARQPVLALLERMGLRKRLCGTANFFVEPDVVERSMNSNGDCWGSFPIIVRDALVPLADAILEEKLEDTSSLNWLLGTLQKQIEANGTRRLLSVAERIGNQRRTELKNTTSRFVLNRLAGAAAQQVNQNPSRLLDVLKCIGGLQYVQQKASEDQFCTHQIYYAGIAQYKEDQSSLYQVAKPITLGSCEIDESCIKSSARSHGFSLDKNCSIPPIYVPDQKSACSPPRVPCKKIAKQGSCTSYFSYRNQTTKQGKSGLTGKRLTGRPDCYLDPESRSAKIKQMFCIDSGAGTTNCSGRPYHRSWNEMQSYSSFRRNDPERKLTGNYIPYGWSGNGAQFKSYYSSRSTTGYNYNDALGDYMSGRNSHSLTSSQSSKSKQRKVTMMVQEQVRQRGSTGTKMKQDSPLALTSNDQDQFCEYALATKLPSHNM
+>sp|Q9H875|PKRI1_HUMAN PRKR-interacting protein 1 OS=Homo sapiens OX=9606 GN=PRKRIP1 PE=1 SV=1
+MASPAASSVRPPRPKKEPQTLVIPKNAAEEQKLKLERLMKNPDKAVPIPEKMSEWAPRPPPEFVRDVMGSSAGAGSGEFHVYRHLRRREYQRQDYMDAMAEKQKLDAEFQKRLEKNKIAAEEQTAKRRKKRQKLKEKKLLAKKMKLEQKKQEGPGQPKEQGSSSSAEASGTEEEEEVPSFTMGR
+>DECOY_sp|Q9H875|PKRI1_HUMAN PRKR-interacting protein 1 OS=Homo sapiens OX=9606 GN=PRKRIP1 PE=1 SV=1
+RGMTFSPVEEEEETGSAEASSSSGQEKPQGPGEQKKQELKMKKALLKKEKLKQRKKRRKATQEEAAIKNKELRKQFEADLKQKEAMADMYDQRQYERRRLHRYVHFEGSGAGASSGMVDRVFEPPPRPAWESMKEPIPVAKDPNKMLRELKLKQEEAANKPIVLTQPEKKPRPPRVSSAAPSAM
+>sp|Q53H76|PLA1A_HUMAN Phospholipase A1 member A OS=Homo sapiens OX=9606 GN=PLA1A PE=2 SV=2
+MPPGPWESCFWVGGLILWLSVGSSGDAPPTPQPKCADFQSANLFEGTDLKVQFLLFVPSNPSCGQLVEGSSDLQNSGFNATLGTKLIIHGFRVLGTKPSWIDTFIRTLLRATNANVIAVDWIYGSTGVYFSAVKNVIKLSLEISLFLNKLLVLGVSESSIHIIGVSLGAHVGGMVGQLFGGQLGQITGLDPAGPEYTRASVEERLDAGDALFVEAIHTDTDNLGIRIPVGHVDYFVNGGQDQPGCPTFFYAGYSYLICDHMRAVHLYISALENSCPLMAFPCASYKAFLAGRCLDCFNPFLLSCPRIGLVEQGGVKIEPLPKEVKVYLLTTSSAPYCMHHSLVEFHLKELRNKDTNIEVTFLSSNITSSSKITIPKQQRYGKGIIAHATPQCQINQVKFKFQSSNRVWKKDRTTIIGKFCTALLPVNDREKMVCLPEPVNLQASVTVSCDLKIACV
+>DECOY_sp|Q53H76|PLA1A_HUMAN Phospholipase A1 member A OS=Homo sapiens OX=9606 GN=PLA1A PE=2 SV=2
+VCAIKLDCSVTVSAQLNVPEPLCVMKERDNVPLLATCFKGIITTRDKKWVRNSSQFKFKVQNIQCQPTAHAIIGKGYRQQKPITIKSSSTINSSLFTVEINTDKNRLEKLHFEVLSHHMCYPASSTTLLYVKVEKPLPEIKVGGQEVLGIRPCSLLFPNFCDLCRGALFAKYSACPFAMLPCSNELASIYLHVARMHDCILYSYGAYFFTPCGPQDQGGNVFYDVHGVPIRIGLNDTDTHIAEVFLADGADLREEVSARTYEPGAPDLGTIQGLQGGFLQGVMGGVHAGLSVGIIHISSESVGLVLLKNLFLSIELSLKIVNKVASFYVGTSGYIWDVAIVNANTARLLTRIFTDIWSPKTGLVRFGHIILKTGLTANFGSNQLDSSGEVLQGCSPNSPVFLLFQVKLDTGEFLNASQFDACKPQPTPPADGSSGVSLWLILGGVWFCSEWPGPPM
+>sp|Q13018|PLA2R_HUMAN Secretory phospholipase A2 receptor OS=Homo sapiens OX=9606 GN=PLA2R1 PE=1 SV=2
+MLLSPSLLLLLLLGAPRGCAEGVAAALTPERLLEWQDKGIFVIQSESLKKCIQAGKSVLTLENCKQANKHMLWKWVSNHGLFNIGGSGCLGLNFSAPEQPLSLYECDSTLVSLRWRCNRKMITGPLQYSVQVAHDNTVVASRKYIHKWISYGSGGGDICEYLHKDLHTIKGNTHGMPCMFPFQYNHQWHHECTREGREDDLLWCATTSRYERDEKWGFCPDPTSAEVGCDTIWEKDLNSHICYQFNLLSSLSWSEAHSSCQMQGGTLLSITDETEENFIREHMSSKTVEVWMGLNQLDEHAGWQWSDGTPLNYLNWSPEVNFEPFVEDHCGTFSSFMPSAWRSRDCESTLPYICKKYLNHIDHEIVEKDAWKYYATHCEPGWNPYNRNCYKLQKEEKTWHEALRSCQADNSALIDITSLAEVEFLVTLLGDENASETWIGLSSNKIPVSFEWSNDSSVIFTNWHTLEPHIFPNRSQLCVSAEQSEGHWKVKNCEERLFYICKKAGHVLSDAESGCQEGWERHGGFCYKIDTVLRSFDQASSGYYCPPALVTITNRFEQAFITSLISSVVKMKDSYFWIALQDQNDTGEYTWKPVGQKPEPVQYTHWNTHQPRYSGGCVAMRGRHPLGRWEVKHCRHFKAMSLCKQPVENQEKAEYEERWPFHPCYLDWESEPGLASCFKVFHSEKVLMKRTWREAEAFCEEFGAHLASFAHIEEENFVNELLHSKFNWTEERQFWIGFNKRNPLNAGSWEWSDRTPVVSSFLDNTYFGEDARNCAVYKANKTLLPLHCGSKREWICKIPRDVKPKIPFWYQYDVPWLFYQDAEYLFHTFASEWLNFEFVCSWLHSDLLTIHSAHEQEFIHSKIKALSKYGASWWIGLQEERANDEFRWRDGTPVIYQNWDTGRERTVNNQSQRCGFISSITGLWGSEECSVSMPSICKRKKVWLIEKKKDTPKQHGTCPKGWLYFNYKCLLLNIPKDPSSWKNWTHAQHFCAEEGGTLVAIESEVEQAFITMNLFGQTTSVWIGLQNDDYETWLNGKPVVYSNWSPFDIINIPSHNTTEVQKHIPLCALLSSNPNFHFTGKWYFEDCGKEGYGFVCEKMQDTSGHGVNTSDMYPMPNTLEYGNRTYKIINANMTWYAAIKTCLMHKAQLVSITDQYHQSFLTVVLNRLGYAHWIGLFTTDNGLNFDWSDGTKSSFTFWKDEESSLLGDCVFADSNGRWHSTACESFLQGAICHVPPETRQSEHPELCSETSIPWIKFKSNCYSFSTVLDSMSFEAAHEFCKKEGSNLLTIKDEAENAFLLEELFAFGSSVQMVWLNAQFDGNNETIKWFDGTPTDQSNWGIRKPDTDYFKPHHCVALRIPEGLWQLSPCQEKKGFICKMEADIHTAEALPEKGPSHSIIPLAVVLTLIVIVAICTLSFCIYKHNGGFFRRLAGFRNPYYPATNFSTVYLEENILISDLEKSDQ
+>DECOY_sp|Q13018|PLA2R_HUMAN Secretory phospholipase A2 receptor OS=Homo sapiens OX=9606 GN=PLA2R1 PE=1 SV=2
+QDSKELDSILINEELYVTSFNTAPYYPNRFGALRRFFGGNHKYICFSLTCIAVIVILTLVVALPIISHSPGKEPLAEATHIDAEMKCIFGKKEQCPSLQWLGEPIRLAVCHHPKFYDTDPKRIGWNSQDTPTGDFWKITENNGDFQANLWVMQVSSGFAFLEELLFANEAEDKITLLNSGEKKCFEHAAEFSMSDLVTSFSYCNSKFKIWPISTESCLEPHESQRTEPPVHCIAGQLFSECATSHWRGNSDAFVCDGLLSSEEDKWFTFSSKTGDSWDFNLGNDTTFLGIWHAYGLRNLVVTLFSQHYQDTISVLQAKHMLCTKIAAYWTMNANIIKYTRNGYELTNPMPYMDSTNVGHGSTDQMKECVFGYGEKGCDEFYWKGTFHFNPNSSLLACLPIHKQVETTNHSPINIIDFPSWNSYVVPKGNLWTEYDDNQLGIWVSTTQGFLNMTIFAQEVESEIAVLTGGEEACFHQAHTWNKWSSPDKPINLLLCKYNFYLWGKPCTGHQKPTDKKKEILWVKKRKCISPMSVSCEESGWLGTISSIFGCRQSQNNVTRERGTDWNQYIVPTGDRWRFEDNAREEQLGIWWSAGYKSLAKIKSHIFEQEHASHITLLDSHLWSCVFEFNLWESAFTHFLYEADQYFLWPVDYQYWFPIKPKVDRPIKCIWERKSGCHLPLLTKNAKYVACNRADEGFYTNDLFSSVVPTRDSWEWSGANLPNRKNFGIWFQREETWNFKSHLLENVFNEEEIHAFSALHAGFEECFAEAERWTRKMLVKESHFVKFCSALGPESEWDLYCPHFPWREEYEAKEQNEVPQKCLSMAKFHRCHKVEWRGLPHRGRMAVCGGSYRPQHTNWHTYQVPEPKQGVPKWTYEGTDNQDQLAIWFYSDKMKVVSSILSTIFAQEFRNTITVLAPPCYYGSSAQDFSRLVTDIKYCFGGHREWGEQCGSEADSLVHGAKKCIYFLREECNKVKWHGESQEASVCLQSRNPFIHPELTHWNTFIVSSDNSWEFSVPIKNSSLGIWTESANEDGLLTVLFEVEALSTIDILASNDAQCSRLAEHWTKEEKQLKYCNRNYPNWGPECHTAYYKWADKEVIEHDIHNLYKKCIYPLTSECDRSRWASPMFSSFTGCHDEVFPEFNVEPSWNLYNLPTGDSWQWGAHEDLQNLGMWVEVTKSSMHERIFNEETEDTISLLTGGQMQCSSHAESWSLSSLLNFQYCIHSNLDKEWITDCGVEASTPDPCFGWKEDREYRSTTACWLLDDERGERTCEHHWQHNYQFPFMCPMGHTNGKITHLDKHLYECIDGGGSGYSIWKHIYKRSAVVTNDHAVQVSYQLPGTIMKRNCRWRLSVLTSDCEYLSLPQEPASFNLGLCGSGGINFLGHNSVWKWLMHKNAQKCNELTLVSKGAQICKKLSESQIVFIGKDQWELLREPTLAAAVGEACGRPAGLLLLLLLSPSLLM
+>sp|Q6P4A8|PLBL1_HUMAN Phospholipase B-like 1 OS=Homo sapiens OX=9606 GN=PLBD1 PE=1 SV=2
+MTRGGPGGRPGLPQPPPLLLLLLLLPLLLVTAEPPKPAGVYYATAYWMPAEKTVQVKNVMDKNGDAYGFYNNSVKTTGWGILEIRAGYGSQTLSNEIIMFVAGFLEGYLTAPHMNDHYTNLYPQLITKPSIMDKVQDFMEKQDKWTRKNIKEYKTDSFWRHTGYVMAQIDGLYVGAKKRAILEGTKPMTLFQIQFLNSVGDLLDLIPSLSPTKNGSLKVFKRWDMGHCSALIKVLPGFENILFAHSSWYTYAAMLRIYKHWDFNVIDKDTSSSRLSFSSYPGFLESLDDFYILSSGLILLQTTNSVFNKTLLKQVIPETLLSWQRVRVANMMADSGKRWADIFSKYNSGTYNNQYMVLDLKKVKLNHSLDKGTLYIVEQIPTYVEYSEQTDVLRKGYWPSYNVPFHEKIYNWSGYPLLVQKLGLDYSYDLAPRAKIFRRDQGKVTDTASMKYIMRYNNYKKDPYSRGDPCNTICCREDLNSPNPSPGGCYDTKVADIYLASQYTSYAISGPTVQGGLPVFRWDRFNKTLHQGMPEVYNFDFITMKPILKLDIK
+>DECOY_sp|Q6P4A8|PLBL1_HUMAN Phospholipase B-like 1 OS=Homo sapiens OX=9606 GN=PLBD1 PE=1 SV=2
+KIDLKLIPKMTIFDFNYVEPMGQHLTKNFRDWRFVPLGGQVTPGSIAYSTYQSALYIDAVKTDYCGGPSPNPSNLDERCCITNCPDGRSYPDKKYNNYRMIYKMSATDTVKGQDRRFIKARPALDYSYDLGLKQVLLPYGSWNYIKEHFPVNYSPWYGKRLVDTQESYEVYTPIQEVIYLTGKDLSHNLKVKKLDLVMYQNNYTGSNYKSFIDAWRKGSDAMMNAVRVRQWSLLTEPIVQKLLTKNFVSNTTQLLILGSSLIYFDDLSELFGPYSSFSLRSSSTDKDIVNFDWHKYIRLMAAYTYWSSHAFLINEFGPLVKILASCHGMDWRKFVKLSGNKTPSLSPILDLLDGVSNLFQIQFLTMPKTGELIARKKAGVYLGDIQAMVYGTHRWFSDTKYEKINKRTWKDQKEMFDQVKDMISPKTILQPYLNTYHDNMHPATLYGELFGAVFMIIENSLTQSGYGARIELIGWGTTKVSNNYFGYADGNKDMVNKVQVTKEAPMWYATAYYVGAPKPPEATVLLLPLLLLLLLLPPPQPLGPRGGPGGRTM
+>sp|Q9NRZ7|PLCC_HUMAN 1-acyl-sn-glycerol-3-phosphate acyltransferase gamma OS=Homo sapiens OX=9606 GN=AGPAT3 PE=1 SV=1
+MGLLAFLKTQFVLHLLVGFVFVVSGLVINFVQLCTLALWPVSKQLYRRLNCRLAYSLWSQLVMLLEWWSCTECTLFTDQATVERFGKEHAVIILNHNFEIDFLCGWTMCERFGVLGSSKVLAKKELLYVPLIGWTWYFLEIVFCKRKWEEDRDTVVEGLRRLSDYPEYMWFLLYCEGTRFTETKHRVSMEVAAAKGLPVLKYHLLPRTKGFTTAVKCLRGTVAAVYDVTLNFRGNKNPSLLGILYGKKYEADMCVRRFPLEDIPLDEKEAAQWLHKLYQEKDALQEIYNQKGMFPGEQFKPARRPWTLLNFLSWATILLSPLFSFVLGVFASGSPLLILTFLGFVGAASFGVRRLIGVTEIEKGSSYGNQEFKKKE
+>DECOY_sp|Q9NRZ7|PLCC_HUMAN 1-acyl-sn-glycerol-3-phosphate acyltransferase gamma OS=Homo sapiens OX=9606 GN=AGPAT3 PE=1 SV=1
+EKKKFEQNGYSSGKEIETVGILRRVGFSAAGVFGLFTLILLPSGSAFVGLVFSFLPSLLITAWSLFNLLTWPRRAPKFQEGPFMGKQNYIEQLADKEQYLKHLWQAAEKEDLPIDELPFRRVCMDAEYKKGYLIGLLSPNKNGRFNLTVDYVAAVTGRLCKVATTFGKTRPLLHYKLVPLGKAAAVEMSVRHKTETFRTGECYLLFWMYEPYDSLRRLGEVVTDRDEEWKRKCFVIELFYWTWGILPVYLLEKKALVKSSGLVGFRECMTWGCLFDIEFNHNLIIVAHEKGFREVTAQDTFLTCETCSWWELLMVLQSWLSYALRCNLRRYLQKSVPWLALTCLQVFNIVLGSVVFVFGVLLHLVFQTKLFALLGM
+>sp|Q9P212|PLCE1_HUMAN 1-phosphatidylinositol 4,5-bisphosphate phosphodiesterase epsilon-1 OS=Homo sapiens OX=9606 GN=PLCE1 PE=1 SV=3
+MTSEEMTASVLIPVTQRKVVSAQSAADESSEKVSDINISKAHTVRRSGETSHTISQLNKLKEEPSGSNLPKILSIAREKIVSDENSNEKCWEKIMPDSAKNLNINCNNILRNHQHGLPQRQFYEMYNSVAEEDLCLETGIPSPLERKVFPGIQLELDRPSMGISPLGNQSVIIETGRAHPDSRRAVFHFHYEVDRRMSDTFCTLSENLILDDCGNCVPLPGGEEKQKKNYVAYTCKLMELAKNCDNKNEQLQCDHCDTLNDKYFCFEGSCEKVDMVYSGDSFCRKDFTDSQAAKTFLSHFEDFPDNCDDVEEDAFKSKKERSTLLVRRFCKNDREVKKSVYTGTRAIVRTLPSGHIGLTAWSYIDQKRNGPLLPCGRVMEPPSTVEIRQDGSQRLSEAQWYPIYNAVRREETENTVGSLLHFLTKLPASETAHGRISVGPCLKQCVRDTVCEYRATLQRTSISQYITGSLLEATTSLGARSGLLSTFGGSTGRMMLKERQPGPSVANSNALPSSSAGISKELIDLQPLIQFPEEVASILMEQEQTIYRRVLPVDYLCFLTRDLGTPECQSSLPCLKASISASILTTQNGEHNALEDLVMRFNEVSSWVTWLILTAGSMEEKREVFSYLVHVAKCCWNMGNYNAVMEFLAGLRSRKVLKMWQFMDQSDIETMRSLKDAMAQHESSCEYRKVVTRALHIPGCKVVPFCGVFLKELCEVLDGASGLMKLCPRYNSQEETLEFVADYSGQDNFLQRVGQNGLKNSEKESTVNSIFQVIRSCNRSLETDEEDSPSEGNSSRKSSLKDKSRWQFIIGDLLDSDNDIFEQSKEYDSHGSEDSQKAFDHGTELIPWYVLSIQADVHQFLLQGATVIHYDQDTHLSARCFLQLQPDNSTLTWVKPTTASPASSKAKLGVLNNTAEPGKFPLLGNAGLSSLTEGVLDLFAVKAVYMGHPGIDIHTVCVQNKLGSMFLSETGVTLLYGLQTTDNRLLHFVAPKHTAKMLFSGLLELTRAVRKMRKFPDQRQQWLRKQYVSLYQEDGRYEGPTLAHAVELFGGRRWSARNPSPGTSAKNAEKPNMQRNNTLGISTTKKKKKILMRGESGEVTDDEMATRKAKMHKECRSRSGSDPQDINEQEESEVNAIANPPNPLPSRRAHSLTTAGSPNLAAGTSSPIRPVSSPVLSSSNKSPSSAWSSSSWHGRIKGGMKGFQSFMVSDSNMSFVEFVELFKSFSVRSRKDLKDLFDVYAVPCNRSGSESAPLYTNLTIDENTSDLQPDLDLLTRNVSDLGLFIKSKQQLSDNQRQISDAIAAASIVTNGTGIESTSLGIFGVGILQLNDFLVNCQGEHCTYDEILSIIQKFEPSISMCHQGLMSFEGFARFLMDKENFASKNDESQENIKELQLPLSYYYIESSHNTYLTGHQLKGESSVELYSQVLLQGCRSVELDCWDGDDGMPIIYHGHTLTTKIPFKEVVEAIDRSAFINSDLPIIISIENHCSLPQQRKMAEIFKTVFGEKLVTKFLFETDFSDDPMLPSPDQLRKKVLLKNKKLKAHQTPVDILKQKAHQLASMQVQAYNGGNANPRPANNEEEEDEEDEYDYDYESLSDDNILEDRPENKSCNDKLQFEYNEEIPKRIKKADNSACNKGKVYDMELGEEFYLDQNKKESRQIAPELSDLVIYCQAVKFPGLSTLNASGSSRGKERKSRKSIFGNNPGRMSPGETASFNKTSGKSSCEGIRQTWEESSSPLNPTTSLSAIIRTPKCYHISSLNENAAKRLCRRYSQKLTQHTACQLLRTYPAATRIDSSNPNPLMFWLHGIQLVALNYQTDDLPLHLNAAMFEANGGCGYVLKPPVLWDKNCPMYQKFSPLERDLDSMDPAVYSLTIVSGQNVCPSNSMGSPCIEVDVLGMPLDSCHFRTKPIHRNTLNPMWNEQFLFHVHFEDLVFLRFAVVENNSSAVTAQRIIPLKALKRGYRHLQLRNLHNEVLEISSLFINSRRMEENSSGNTMSASSMFNTEERKCLQTHRVTVHGVPGPEPFTVFTINGGTKAKQLLQQILTNEQDIKPVTTDYFLMEEKYFISKEKNECRKQPFQRAIGPEEEIMQILSSWFPEEGYMGRIVLKTQQENLEEKNIVQDDKEVILSSEEESFFVQVHDVSPEQPRTVIKAPRVSTAQDVIQQTLCKAKYSYSILSNPNPSDYVLLEEVVKDTTNKKTTTPKSSQRVLLDQECVFQAQSKWKGAGKFILKLKEQVQASREDKKKGISFASELKKLTKSTKQPRGLTSPSQLLTSESIQTKEEKPVGGLSSSDTMDYRQ
+>DECOY_sp|Q9P212|PLCE1_HUMAN 1-phosphatidylinositol 4,5-bisphosphate phosphodiesterase epsilon-1 OS=Homo sapiens OX=9606 GN=PLCE1 PE=1 SV=3
+QRYDMTDSSSLGGVPKEEKTQISESTLLQSPSTLGRPQKTSKTLKKLESAFSIGKKKDERSAQVQEKLKLIFKGAGKWKSQAQFVCEQDLLVRQSSKPTTTKKNTTDKVVEELLVYDSPNPNSLISYSYKAKCLTQQIVDQATSVRPAKIVTRPQEPSVDHVQVFFSEEESSLIVEKDDQVINKEELNEQQTKLVIRGMYGEEPFWSSLIQMIEEEPGIARQFPQKRCENKEKSIFYKEEMLFYDTTVPKIDQENTLIQQLLQKAKTGGNITFVTFPEPGPVGHVTVRHTQLCKREETNFMSSASMTNGSSNEEMRRSNIFLSSIELVENHLNRLQLHRYGRKLAKLPIIRQATVASSNNEVVAFRLFVLDEFHVHFLFQENWMPNLTNRHIPKTRFHCSDLPMGLVDVEICPSGMSNSPCVNQGSVITLSYVAPDMSDLDRELPSFKQYMPCNKDWLVPPKLVYGCGGNAEFMAANLHLPLDDTQYNLAVLQIGHLWFMLPNPNSSDIRTAAPYTRLLQCATHQTLKQSYRRCLRKAANENLSSIHYCKPTRIIASLSTTPNLPSSSEEWTQRIGECSSKGSTKNFSATEGPSMRGPNNGFISKRSKREKGRSSGSANLTSLGPFKVAQCYIVLDSLEPAIQRSEKKNQDLYFEEGLEMDYVKGKNCASNDAKKIRKPIEENYEFQLKDNCSKNEPRDELINDDSLSEYDYDYEDEEDEEEENNAPRPNANGGNYAQVQMSALQHAKQKLIDVPTQHAKLKKNKLLVKKRLQDPSPLMPDDSFDTEFLFKTVLKEGFVTKFIEAMKRQQPLSCHNEISIIIPLDSNIFASRDIAEVVEKFPIKTTLTHGHYIIPMGDDGDWCDLEVSRCGQLLVQSYLEVSSEGKLQHGTLYTNHSSEIYYYSLPLQLEKINEQSEDNKSAFNEKDMLFRAFGEFSMLGQHCMSISPEFKQIISLIEDYTCHEGQCNVLFDNLQLIGVGFIGLSTSEIGTGNTVISAAAIADSIQRQNDSLQQKSKIFLGLDSVNRTLLDLDPQLDSTNEDITLNTYLPASESGSRNCPVAYVDFLDKLDKRSRVSFSKFLEVFEVFSMNSDSVMFSQFGKMGGKIRGHWSSSSWASSPSKNSSSLVPSSVPRIPSSTGAALNPSGATTLSHARRSPLPNPPNAIANVESEEQENIDQPDSGSRSRCEKHMKAKRTAMEDDTVEGSEGRMLIKKKKKTTSIGLTNNRQMNPKEANKASTGPSPNRASWRRGGFLEVAHALTPGEYRGDEQYLSVYQKRLWQQRQDPFKRMKRVARTLELLGSFLMKATHKPAVFHLLRNDTTQLGYLLTVGTESLFMSGLKNQVCVTHIDIGPHGMYVAKVAFLDLVGETLSSLGANGLLPFKGPEATNNLVGLKAKSSAPSATTPKVWTLTSNDPQLQLFCRASLHTDQDYHIVTAGQLLFQHVDAQISLVYWPILETGHDFAKQSDESGHSDYEKSQEFIDNDSDLLDGIIFQWRSKDKLSSKRSSNGESPSDEEDTELSRNCSRIVQFISNVTSEKESNKLGNQGVRQLFNDQGSYDAVFELTEEQSNYRPCLKMLGSAGDLVECLEKLFVGCFPVVKCGPIHLARTVVKRYECSSEHQAMADKLSRMTEIDSQDMFQWMKLVKRSRLGALFEMVANYNGMNWCCKAVHVLYSFVERKEEMSGATLILWTVWSSVENFRMVLDELANHEGNQTTLISASISAKLCPLSSQCEPTGLDRTLFCLYDVPLVRRYITQEQEMLISAVEEPFQILPQLDILEKSIGASSSPLANSNAVSPGPQREKLMMRGTSGGFTSLLGSRAGLSTTAELLSGTIYQSISTRQLTARYECVTDRVCQKLCPGVSIRGHATESAPLKTLFHLLSGVTNETEERRVANYIPYWQAESLRQSGDQRIEVTSPPEMVRGCPLLPGNRKQDIYSWATLGIHGSPLTRVIARTGTYVSKKVERDNKCFRRVLLTSREKKSKFADEEVDDCNDPFDEFHSLFTKAAQSDTFDKRCFSDGSYVMDVKECSGEFCFYKDNLTDCHDCQLQENKNDCNKALEMLKCTYAVYNKKQKEEGGPLPVCNGCDDLILNESLTCFTDSMRRDVEYHFHFVARRSDPHARGTEIIVSQNGLPSIGMSPRDLELQIGPFVKRELPSPIGTELCLDEEAVSNYMEYFQRQPLGHQHNRLINNCNINLNKASDPMIKEWCKENSNEDSVIKERAISLIKPLNSGSPEEKLKNLQSITHSTEGSRRVTHAKSINIDSVKESSEDAASQASVVKRQTVPILVSATMEESTM
+>sp|P19174|PLCG1_HUMAN 1-phosphatidylinositol 4,5-bisphosphate phosphodiesterase gamma-1 OS=Homo sapiens OX=9606 GN=PLCG1 PE=1 SV=1
+MAGAASPCANGCGPGAPSDAEVLHLCRSLEVGTVMTLFYSKKSQRPERKTFQVKLETRQITWSRGADKIEGAIDIREIKEIRPGKTSRDFDRYQEDPAFRPDQSHCFVILYGMEFRLKTLSLQATSEDEVNMWIKGLTWLMEDTLQAPTPLQIERWLRKQFYSVDRNREDRISAKDLKNMLSQVNYRVPNMRFLRERLTDLEQRSGDITYGQFAQLYRSLMYSAQKTMDLPFLEASTLRAGERPELCRVSLPEFQQFLLDYQGELWAVDRLQVQEFMLSFLRDPLREIEEPYFFLDEFVTFLFSKENSVWNSQLDAVCPDTMNNPLSHYWISSSHNTYLTGDQFSSESSLEAYARCLRMGCRCIELDCWDGPDGMPVIYHGHTLTTKIKFSDVLHTIKEHAFVASEYPVILSIEDHCSIAQQRNMAQYFKKVLGDTLLTKPVEISADGLPSPNQLKRKILIKHKKLAEGSAYEEVPTSMMYSENDISNSIKNGILYLEDPVNHEWYPHYFVLTSSKIYYSEETSSDQGNEDEEEPKEVSSSTELHSNEKWFHGKLGAGRDGRHIAERLLTEYCIETGAPDGSFLVRESETFVGDYTLSFWRNGKVQHCRIHSRQDAGTPKFFLTDNLVFDSLYDLITHYQQVPLRCNEFEMRLSEPVPQTNAHESKEWYHASLTRAQAEHMLMRVPRDGAFLVRKRNEPNSYAISFRAEGKIKHCRVQQEGQTVMLGNSEFDSLVDLISYYEKHPLYRKMKLRYPINEEALEKIGTAEPDYGALYEGRNPGFYVEANPMPTFKCAVKALFDYKAQREDELTFIKSAIIQNVEKQEGGWWRGDYGGKKQLWFPSNYVEEMVNPVALEPEREHLDENSPLGDLLRGVLDVPACQIAIRPEGKNNRLFVFSISMASVAHWSLDVAADSQEELQDWVKKIREVAQTADARLTEGKIMERRKKIALELSELVVYCRPVPFDEEKIGTERACYRDMSSFPETKAEKYVNKAKGKKFLQYNRLQLSRIYPKGQRLDSSNYDPLPMWICGSQLVALNFQTPDKPMQMNQALFMTGRHCGYVLQPSTMRDEAFDPFDKSSLRGLEPCAISIEVLGARHLPKNGRGIVCPFVEIEVAGAEYDSTKQKTEFVVDNGLNPVWPAKPFHFQISNPEFAFLRFVVYEEDMFSDQNFLAQATFPVKGLKTGYRAVPLKNNYSEDLELASLLIKIDIFPAKENGDLSPFSGTSLRERGSDASGQLFHGRAREGSFESRYQQPFEDFRISQEHLADHFDSRERRAPRRTRVNGDNRL
+>DECOY_sp|P19174|PLCG1_HUMAN 1-phosphatidylinositol 4,5-bisphosphate phosphodiesterase gamma-1 OS=Homo sapiens OX=9606 GN=PLCG1 PE=1 SV=1
+LRNDGNVRTRRPARRERSDFHDALHEQSIRFDEFPQQYRSEFSGERARGHFLQGSADSGRERLSTGSFPSLDGNEKAPFIDIKILLSALELDESYNNKLPVARYGTKLGKVPFTAQALFNQDSFMDEEYVVFRLFAFEPNSIQFHFPKAPWVPNLGNDVVFETKQKTSDYEAGAVEIEVFPCVIGRGNKPLHRAGLVEISIACPELGRLSSKDFPDFAEDRMTSPQLVYGCHRGTMFLAQNMQMPKDPTQFNLAVLQSGCIWMPLPDYNSSDLRQGKPYIRSLQLRNYQLFKKGKAKNVYKEAKTEPFSSMDRYCARETGIKEEDFPVPRCYVVLESLELAIKKRREMIKGETLRADATQAVERIKKVWDQLEEQSDAAVDLSWHAVSAMSISFVFLRNNKGEPRIAIQCAPVDLVGRLLDGLPSNEDLHEREPELAVPNVMEEVYNSPFWLQKKGGYDGRWWGGEQKEVNQIIASKIFTLEDERQAKYDFLAKVACKFTPMPNAEVYFGPNRGEYLAGYDPEATGIKELAEENIPYRLKMKRYLPHKEYYSILDVLSDFESNGLMVTQGEQQVRCHKIKGEARFSIAYSNPENRKRVLFAGDRPVRMLMHEAQARTLSAHYWEKSEHANTQPVPESLRMEFENCRLPVQQYHTILDYLSDFVLNDTLFFKPTGADQRSHIRCHQVKGNRWFSLTYDGVFTESERVLFSGDPAGTEICYETLLREAIHRGDRGAGLKGHFWKENSHLETSSSVEKPEEEDENGQDSSTEESYYIKSSTLVFYHPYWEHNVPDELYLIGNKISNSIDNESYMMSTPVEEYASGEALKKHKILIKRKLQNPSPLGDASIEVPKTLLTDGLVKKFYQAMNRQQAISCHDEISLIVPYESAVFAHEKITHLVDSFKIKTTLTHGHYIVPMGDPGDWCDLEICRCGMRLCRAYAELSSESSFQDGTLYTNHSSSIWYHSLPNNMTDPCVADLQSNWVSNEKSFLFTVFEDLFFYPEEIERLPDRLFSLMFEQVQLRDVAWLEGQYDLLFQQFEPLSVRCLEPREGARLTSAELFPLDMTKQASYMLSRYLQAFQGYTIDGSRQELDTLRERLFRMNPVRYNVQSLMNKLDKASIRDERNRDVSYFQKRLWREIQLPTPAQLTDEMLWTLGKIWMNVEDESTAQLSLTKLRFEMGYLIVFCHSQDPRFAPDEQYRDFDRSTKGPRIEKIERIDIAGEIKDAGRSWTIQRTELKVQFTKREPRQSKKSYFLTMVTGVELSRCLHLVEADSPAGPGCGNACPSAAGAM
+>sp|Q0VAA5|PLCX2_HUMAN PI-PLC X domain-containing protein 2 OS=Homo sapiens OX=9606 GN=PLCXD2 PE=2 SV=1
+MLAVRKARRKLRMGTICSPNPSGTKTSSEVCNADWMASLPPHLHNLPLSNLAIPGSHDSFSYWVDEKSPVGPDQTQAIKRLARISLVKKLMKKWSVTQNLTFREQLEAGIRYFDLRVSSKPGDADQEIYFIHGLFGIKVWDGLMEIDSFLTQHPQEIIFLDFNHFYAMDETHHKCLVLRIQEAFGNKLCPACSVESLTLRTLWEKNCQVLIFYHCPFYKQYPFLWPGKKIPAPWANTTSVRKLILFLETTLSERASRGSFHVSQAILTPRVKTIARGLVGGLKNTLVHSNRWNSHGPSLLSQERS
+>DECOY_sp|Q0VAA5|PLCX2_HUMAN PI-PLC X domain-containing protein 2 OS=Homo sapiens OX=9606 GN=PLCXD2 PE=2 SV=1
+SREQSLLSPGHSNWRNSHVLTNKLGGVLGRAITKVRPTLIAQSVHFSGRSARESLTTELFLILKRVSTTNAWPAPIKKGPWLFPYQKYFPCHYFILVQCNKEWLTRLTLSEVSCAPCLKNGFAEQIRLVLCKHHTEDMAYFHNFDLFIIEQPHQTLFSDIEMLGDWVKIGFLGHIFYIEQDADGPKSSVRLDFYRIGAELQERFTLNQTVSWKKMLKKVLSIRALRKIAQTQDPGVPSKEDVWYSFSDHSGPIALNSLPLNHLHPPLSAMWDANCVESSTKTGSPNPSCITGMRLKRRAKRVALM
+>sp|Q86YW0|PLCZ1_HUMAN 1-phosphatidylinositol 4,5-bisphosphate phosphodiesterase zeta-1 OS=Homo sapiens OX=9606 GN=PLCZ1 PE=1 SV=1
+MEMRWFLSKIQDDFRGGKINLEKTQRLLEKLDIRCSYIHVKQIFKDNDRLKQGRITIEEFRAIYRIITHREEIIEIFNTYSENRKILLASNLAQFLTQEQYAAEMSKAIAFEIIQKYEPIEEVRKAHQMSLEGFTRYMDSRECLLFKNECRKVYQDMTHPLNDYFISSSHNTYLVSDQLLGPSDLWGYVSALVKGCRCLEIDCWDGAQNEPVVYHGYTLTSKLLFKTVIQAIHKYAFMTSDYPVVLSLENHCSTAQQEVMADNLQATFGESLLSDMLDDFPDTLPSPEALKFKILVKNKKIGTLKETHERKGSDKRGDNQDKETGVKKLPGVMLFKKKKTRKLKIALALSDLVIYTKAEKFKSFQHSRLYQQFNENNSIGETQARKLSKLRVHEFIFHTRKFITRIYPKATRADSSNFNPQEFWNIGCQMVALNFQTPGLPMDLQNGKFLDNGGSGYILKPHFLRESKSYFNPSNIKEGMPITLTIRLISGIQLPLTHSSSNKGDSLVIIEVFGVPNDQMKQQTRVIKKNAFSPRWNETFTFIIHVPELALIRFVVEGQGLIAGNEFLGQYTLPLLCMNKGYRRIPLFSRMGESLEPASLFVYVWYVR
+>DECOY_sp|Q86YW0|PLCZ1_HUMAN 1-phosphatidylinositol 4,5-bisphosphate phosphodiesterase zeta-1 OS=Homo sapiens OX=9606 GN=PLCZ1 PE=1 SV=1
+RVYWVYVFLSAPELSEGMRSFLPIRRYGKNMCLLPLTYQGLFENGAILGQGEVVFRILALEPVHIIFTFTENWRPSFANKKIVRTQQKMQDNPVGFVEIIVLSDGKNSSSHTLPLQIGSILRITLTIPMGEKINSPNFYSKSERLFHPKLIYGSGGNDLFKGNQLDMPLGPTQFNLAVMQCGINWFEQPNFNSSDARTAKPYIRTIFKRTHFIFEHVRLKSLKRAQTEGISNNENFQQYLRSHQFSKFKEAKTYIVLDSLALAIKLKRTKKKKFLMVGPLKKVGTEKDQNDGRKDSGKREHTEKLTGIKKNKVLIKFKLAEPSPLTDPFDDLMDSLLSEGFTAQLNDAMVEQQATSCHNELSLVVPYDSTMFAYKHIAQIVTKFLLKSTLTYGHYVVPENQAGDWCDIELCRCGKVLASVYGWLDSPGLLQDSVLYTNHSSSIFYDNLPHTMDQYVKRCENKFLLCERSDMYRTFGELSMQHAKRVEEIPEYKQIIEFAIAKSMEAAYQEQTLFQALNSALLIKRNESYTNFIEIIEERHTIIRYIARFEEITIRGQKLRDNDKFIQKVHIYSCRIDLKELLRQTKELNIKGGRFDDQIKSLFWRMEM
+>sp|O14939|PLD2_HUMAN Phospholipase D2 OS=Homo sapiens OX=9606 GN=PLD2 PE=1 SV=2
+MTATPESLFPTGDELDSSQLQMESDEVDTLKEGEDPADRMHPFLAIYELQSLKVHPLVFAPGVPVTAQVVGTERYTSGSKVGTCTLYSVRLTHGDFSWTTKKKYRHFQELHRDLLRHKVLMSLLPLARFAVAYSPARDAGNREMPSLPRAGPEGSTRHAASKQKYLENYLNRLLTMSFYRNYHAMTEFLEVSQLSFIPDLGRKGLEGMIRKRSGGHRVPGLTCCGRDQVCYRWSKRWLVVKDSFLLYMCLETGAISFVQLFDPGFEVQVGKRSTEARHGVRIDTSHRSLILKCSSYRQARWWAQEITELAQGPGRDFLQLHRHDSYAPPRPGTLARWFVNGAGYFAAVADAILRAQEEIFITDWWLSPEVYLKRPAHSDDWRLDIMLKRKAEEGVRVSILLFKEVELALGINSGYSKRALMLLHPNIKVMRHPDQVTLWAHHEKLLVVDQVVAFLGGLDLAYGRWDDLHYRLTDLGDSSESAASQPPTPRPDSPATPDLSHNQFFWLGKDYSNLITKDWVQLDRPFEDFIDRETTPRMPWRDVGVVVHGLPARDLARHFIQRWNFTKTTKAKYKTPTYPYLLPKSTSTANQLPFTLPGGQCTTVQVLRSVDRWSAGTLENSILNAYLHTIRESQHFLYIENQFFISCSDGRTVLNKVGDEIVDRILKAHKQGWCYRVYVLLPLLPGFEGDISTGGGNSIQAILHFTYRTLCRGEYSILHRLKAAMGTAWRDYISICGLRTHGELGGHPVSELIYIHSKVLIADDRTVIIGSANINDRSLLGKRDSELAVLIEDTETEPSLMNGAEYQAGRFALSLRKHCFGVILGANTRPDLDLRDPICDDFFQLWQDMAESNANIYEQIFRCLPSNATRSLRTLREYVAVEPLATVSPPLARSELTQVQGHLVHFPLKFLEDESLLPPLGSKEGMIPLEVWT
+>DECOY_sp|O14939|PLD2_HUMAN Phospholipase D2 OS=Homo sapiens OX=9606 GN=PLD2 PE=1 SV=2
+TWVELPIMGEKSGLPPLLSEDELFKLPFHVLHGQVQTLESRALPPSVTALPEVAVYERLTRLSRTANSPLCRFIQEYINANSEAMDQWLQFFDDCIPDRLDLDPRTNAGLIVGFCHKRLSLAFRGAQYEAGNMLSPETETDEILVALESDRKGLLSRDNINASGIIVTRDDAILVKSHIYILESVPHGGLEGHTRLGCISIYDRWATGMAAKLRHLISYEGRCLTRYTFHLIAQISNGGGTSIDGEFGPLLPLLVYVRYCWGQKHAKLIRDVIEDGVKNLVTRGDSCSIFFQNEIYLFHQSERITHLYANLISNELTGASWRDVSRLVQVTTCQGGPLTFPLQNATSTSKPLLYPYTPTKYKAKTTKTFNWRQIFHRALDRAPLGHVVVGVDRWPMRPTTERDIFDEFPRDLQVWDKTILNSYDKGLWFFQNHSLDPTAPSDPRPTPPQSAASESSDGLDTLRYHLDDWRGYALDLGGLFAVVQDVVLLKEHHAWLTVQDPHRMVKINPHLLMLARKSYGSNIGLALEVEKFLLISVRVGEEAKRKLMIDLRWDDSHAPRKLYVEPSLWWDTIFIEEQARLIADAVAAFYGAGNVFWRALTGPRPPAYSDHRHLQLFDRGPGQALETIEQAWWRAQRYSSCKLILSRHSTDIRVGHRAETSRKGVQVEFGPDFLQVFSIAGTELCMYLLFSDKVVLWRKSWRYCVQDRGCCTLGPVRHGGSRKRIMGELGKRGLDPIFSLQSVELFETMAHYNRYFSMTLLRNLYNELYKQKSAAHRTSGEPGARPLSPMERNGADRAPSYAVAFRALPLLSMLVKHRLLDRHLEQFHRYKKKTTWSFDGHTLRVSYLTCTGVKSGSTYRETGVVQATVPVGPAFVLPHVKLSQLEYIALFPHMRDAPDEGEKLTDVEDSEMQLQSSDLEDGTPFLSEPTATM
+>sp|Q8N2A8|PLD6_HUMAN Mitochondrial cardiolipin hydrolase OS=Homo sapiens OX=9606 GN=PLD6 PE=1 SV=1
+MGRLSWQVAAAAAVGLALTLEALPWVLRWLRSRRRRPRREALFFPSQVTCTEALLRAPGAELAELPEGCPCGLPHGESALSRLLRALLAARASLDLCLFAFSSPQLGRAVQLLHQRGVRVRVVTDCDYMALNGSQIGLLRKAGIQVRHDQDPGYMHHKFAIVDKRVLITGSLNWTTQAIQNNRENVLITEDDEYVRLFLEEFERIWEQFNPTKYTFFPPKKSHGSCAPPVSRAGGRLLSWHRTCGTSSESQT
+>DECOY_sp|Q8N2A8|PLD6_HUMAN Mitochondrial cardiolipin hydrolase OS=Homo sapiens OX=9606 GN=PLD6 PE=1 SV=1
+TQSESSTGCTRHWSLLRGGARSVPPACSGHSKKPPFFTYKTPNFQEWIREFEELFLRVYEDDETILVNERNNQIAQTTWNLSGTILVRKDVIAFKHHMYGPDQDHRVQIGAKRLLGIQSGNLAMYDCDTVVRVRVGRQHLLQVARGLQPSSFAFLCLDLSARAALLARLLRSLASEGHPLGCPCGEPLEALEAGPARLLAETCTVQSPFFLAERRPRRRRSRLWRLVWPLAELTLALGVAAAAAVQWSLRGM
+>sp|Q496M5|PLK5_HUMAN Inactive serine/threonine-protein kinase PLK5 OS=Homo sapiens OX=9606 GN=PLK5 PE=1 SV=4
+MYTVLTGTPPFMASPLSEMYQNIREGHYPEPAHLSANARRLIVHLLAPNPAERPSLDHLLQDDFFTQGFTPDRLPAHSCHSPPIFAIPPPLGRIFRKVGQRLLTQCRPPCPFTPKEASGPGEGGPDPDSMEWDGESSLSAKEVPCLEGPIHLVAQGTLQSDLAGPEGSRRPEVEAALRHLQLCLDVGPPATQDPLGEQQPILWAPKWVDYSSKYGFGYQLLDGGRTGRHPHGPATPRREGTLPTPVPPAGPGLCLLRFLASEHALLLLFSNGMVQVSFSGVPAQLVLSGEGEGLQLTLWEQGSPGTSYSLDVPRSHGCAPTTGQHLHHALRMLQSI
+>DECOY_sp|Q496M5|PLK5_HUMAN Inactive serine/threonine-protein kinase PLK5 OS=Homo sapiens OX=9606 GN=PLK5 PE=1 SV=4
+ISQLMRLAHHLHQGTTPACGHSRPVDLSYSTGPSGQEWLTLQLGEGEGSLVLQAPVGSFSVQVMGNSFLLLLAHESALFRLLCLGPGAPPVPTPLTGERRPTAPGHPHRGTRGGDLLQYGFGYKSSYDVWKPAWLIPQQEGLPDQTAPPGVDLCLQLHRLAAEVEPRRSGEPGALDSQLTGQAVLHIPGELCPVEKASLSSEGDWEMSDPDPGGEGPGSAEKPTFPCPPRCQTLLRQGVKRFIRGLPPPIAFIPPSHCSHAPLRDPTFGQTFFDDQLLHDLSPREAPNPALLHVILRRANASLHAPEPYHGERINQYMESLPSAMFPPTGTLVTYM
+>sp|O60568|PLOD3_HUMAN Procollagen-lysine,2-oxoglutarate 5-dioxygenase 3 OS=Homo sapiens OX=9606 GN=PLOD3 PE=1 SV=1
+MTSSGPGPRFLLLLPLLLPPAASASDRPRGRDPVNPEKLLVITVATAETEGYLRFLRSAEFFNYTVRTLGLGEEWRGGDVARTVGGGQKVRWLKKEMEKYADREDMIIMFVDSYDVILAGSPTELLKKFVQSGSRLLFSAESFCWPEWGLAEQYPEVGTGKRFLNSGGFIGFATTIHQIVRQWKYKDDDDDQLFYTRLYLDPGLREKLSLNLDHKSRIFQNLNGALDEVVLKFDRNRVRIRNVAYDTLPIVVHGNGPTKLQLNYLGNYVPNGWTPEGGCGFCNQDRRTLPGGQPPPRVFLAVFVEQPTPFLPRFLQRLLLLDYPPDRVTLFLHNNEVFHEPHIADSWPQLQDHFSAVKLVGPEEALSPGEARDMAMDLCRQDPECEFYFSLDADAVLTNLQTLRILIEENRKVIAPMLSRHGKLWSNFWGALSPDEYYARSEDYVELVQRKRVGVWNVPYISQAYVIRGDTLRMELPQRDVFSGSDTDPDMAFCKSFRDKGIFLHLSNQHEFGRLLATSRYDTEHLHPDLWQIFDNPVDWKEQYIHENYSRALEGEGIVEQPCPDVYWFPLLSEQMCDELVAEMEHYGQWSGGRHEDSRLAGGYENVPTVDIHMKQVGYEDQWLQLLRTYVGPMTESLFPGYHTKARAVMNFVVRYRPDEQPSLRPHHDSSTFTLNVALNHKGLDYEGGGCRFLRYDCVISSPRKGWALLHPGRLTHYHEGLPTTWGTRYIMVSFVDP
+>DECOY_sp|O60568|PLOD3_HUMAN Procollagen-lysine,2-oxoglutarate 5-dioxygenase 3 OS=Homo sapiens OX=9606 GN=PLOD3 PE=1 SV=1
+PDVFSVMIYRTGWTTPLGEHYHTLRGPHLLAWGKRPSSIVCDYRLFRCGGGEYDLGKHNLAVNLTFTSSDHHPRLSPQEDPRYRVVFNMVARAKTHYGPFLSETMPGVYTRLLQLWQDEYGVQKMHIDVTPVNEYGGALRSDEHRGGSWQGYHEMEAVLEDCMQESLLPFWYVDPCPQEVIGEGELARSYNEHIYQEKWDVPNDFIQWLDPHLHETDYRSTALLRGFEHQNSLHLFIGKDRFSKCFAMDPDTDSGSFVDRQPLEMRLTDGRIVYAQSIYPVNWVGVRKRQVLEVYDESRAYYEDPSLAGWFNSWLKGHRSLMPAIVKRNEEILIRLTQLNTLVADADLSFYFECEPDQRCLDMAMDRAEGPSLAEEPGVLKVASFHDQLQPWSDAIHPEHFVENNHLFLTVRDPPYDLLLLRQLFRPLFPTPQEVFVALFVRPPPQGGPLTRRDQNCFGCGGEPTWGNPVYNGLYNLQLKTPGNGHVVIPLTDYAVNRIRVRNRDFKLVVEDLAGNLNQFIRSKHDLNLSLKERLGPDLYLRTYFLQDDDDDKYKWQRVIQHITTAFGIFGGSNLFRKGTGVEPYQEALGWEPWCFSEASFLLRSGSQVFKKLLETPSGALIVDYSDVFMIIMDERDAYKEMEKKLWRVKQGGGVTRAVDGGRWEEGLGLTRVTYNFFEASRLFRLYGETEATAVTIVLLKEPNVPDRGRPRDSASAAPPLLLPLLLLFRPGPGSSTM
+>sp|Q9NRY6|PLS3_HUMAN Phospholipid scramblase 3 OS=Homo sapiens OX=9606 GN=PLSCR3 PE=1 SV=2
+MAGYLPPKGYAPSPPPPYPVTPGYPEPALHPGPGQAPVPAQVPAPAPGFALFPSPGPVALGSAAPFLPLPGVPSGLEFLVQIDQILIHQKAERVETFLGWETCNRYELRSGAGQPLGQAAEESNCCARLCCGARRPLRVRLADPGDREVLRLLRPLHCGCSCCPCGLQEMEVQAPPGTTIGHVLQTWHPFLPKFSIQDADRQTVLRVVGPCWTCGCGTDTNFEVKTRDESRSVGRISKQWGGLVREALTDADDFGLQFPLDLDVRVKAVLLGATFLIDYMFFEKRGGAGPSAVTS
+>DECOY_sp|Q9NRY6|PLS3_HUMAN Phospholipid scramblase 3 OS=Homo sapiens OX=9606 GN=PLSCR3 PE=1 SV=2
+STVASPGAGGRKEFFMYDILFTAGLLVAKVRVDLDLPFQLGFDDADTLAERVLGGWQKSIRGVSRSEDRTKVEFNTDTGCGCTWCPGVVRLVTQRDADQISFKPLFPHWTQLVHGITTGPPAQVEMEQLGCPCCSCGCHLPRLLRLVERDGPDALRVRLPRRAGCCLRACCNSEEAAQGLPQGAGSRLEYRNCTEWGLFTEVREAKQHILIQDIQVLFELGSPVGPLPLFPAASGLAVPGPSPFLAFGPAPAPVQAPVPAQGPGPHLAPEPYGPTVPYPPPPSPAYGKPPLYGAM
+>sp|P55058|PLTP_HUMAN Phospholipid transfer protein OS=Homo sapiens OX=9606 GN=PLTP PE=1 SV=1
+MALFGALFLALLAGAHAEFPGCKIRVTSKALELVKQEGLRFLEQELETITIPDLRGKEGHFYYNISEVKVTELQLTSSELDFQPQQELMLQITNASLGLRFRRQLLYWFFYDGGYINASAEGVSIRTGLELSRDPAGRMKVSNVSCQASVSRMHAAFGGTFKKVYDFLSTFITSGMRFLLNQQICPVLYHAGTVLLNSLLDTVPVRSSVDELVGIDYSLMKDPVASTSNLDMDFRGAFFPLTERNWSLPNRAVEPQLQEEERMVYVAFSEFFFDSAMESYFRAGALQLLLVGDKVPHDLDMLLRATYFGSIVLLSPAVIDSPLKLELRVLAPPRCTIKPSGTTISVTASVTIALVPPDQPEVQLSSMTMDARLSAKMALRGKALRTQLDLRRFRIYSNHSALESLALIPLQAPLKTMLQIGVMPMLNERTWRGVQIPLPEGINFVHEVVTNHAGFLTIGADLHFAKGLREVIEKNRPADVRASTAPTPSTAAV
+>DECOY_sp|P55058|PLTP_HUMAN Phospholipid transfer protein OS=Homo sapiens OX=9606 GN=PLTP PE=1 SV=1
+VAATSPTPATSARVDAPRNKEIVERLGKAFHLDAGITLFGAHNTVVEHVFNIGEPLPIQVGRWTRENLMPMVGIQLMTKLPAQLPILALSELASHNSYIRFRRLDLQTRLAKGRLAMKASLRADMTMSSLQVEPQDPPVLAITVSATVSITTGSPKITCRPPALVRLELKLPSDIVAPSLLVISGFYTARLLMDLDHPVKDGVLLLQLAGARFYSEMASDFFFESFAVYVMREEEQLQPEVARNPLSWNRETLPFFAGRFDMDLNSTSAVPDKMLSYDIGVLEDVSSRVPVTDLLSNLLVTGAHYLVPCIQQNLLFRMGSTIFTSLFDYVKKFTGGFAAHMRSVSAQCSVNSVKMRGAPDRSLELGTRISVGEASANIYGGDYFFWYLLQRRFRLGLSANTIQLMLEQQPQFDLESSTLQLETVKVESINYYFHGEKGRLDPITITELEQELFRLGEQKVLELAKSTVRIKCGPFEAHAGALLALFLAGFLAM
+>sp|Q9UIW2|PLXA1_HUMAN Plexin-A1 OS=Homo sapiens OX=9606 GN=PLXNA1 PE=1 SV=3
+MPLPPRSLQVLLLLLLLLLLLPGMWAEAGLPRAGGGSQPPFRTFSASDWGLTHLVVHEQTGEVYVGAVNRIYKLSGNLTLLRAHVTGPVEDNEKCYPPPSVQSCPHGLGSTDNVNKLLLLDYAANRLLACGSASQGICQFLRLDDLFKLGEPHHRKEHYLSSVQEAGSMAGVLIAGPPGQGQAKLFVGTPIDGKSEYFPTLSSRRLMANEEDADMFGFVYQDEFVSSQLKIPSDTLSKFPAFDIYYVYSFRSEQFVYYLTLQLDTQLTSPDAAGEHFFTSKIVRLCVDDPKFYSYVEFPIGCEQAGVEYRLVQDAYLSRPGRALAHQLGLAEDEDVLFTVFAQGQKNRVKPPKESALCLFTLRAIKEKIKERIQSCYRGEGKLSLPWLLNKELGCINSPLQIDDDFCGQDFNQPLGGTVTIEGTPLFVDKDDGLTAVAAYDYRGRTVVFAGTRSGRIRKILVDLSNPGGRPALAYESVVAQEGSPILRDLVLSPNHQYLYAMTEKQVTRVPVESCVQYTSCELCLGSRDPHCGWCVLHSICSRRDACERADEPQRFAADLLQCVQLTVQPRNVSVTMSQVPLVLQAWNVPDLSAGVNCSFEDFTESESVLEDGRIHCRSPSAREVAPITRGQGDQRVVKLYLKSKETGKKFASVDFVFYNCSVHQSCLSCVNGSFPCHWCKYRHVCTHNVADCAFLEGRVNVSEDCPQILPSTQIYVPVGVVKPITLAARNLPQPQSGQRGYECLFHIPGSPARVTALRFNSSSLQCQNSSYSYEGNDVSDLPVNLSVVWNGNFVIDNPQNIQAHLYKCPALRESCGLCLKADPRFECGWCVAERRCSLRHHCAADTPASWMHARHGSSRCTDPKILKLSPETGPRQGGTRLTITGENLGLRFEDVRLGVRVGKVLCSPVESEYISAEQIVCEIGDASSVRAHDALVEVCVRDCSPHYRALSPKRFTFVTPTFYRVSPSRGPLSGGTWIGIEGSHLNAGSDVAVSVGGRPCSFSWRNSREIRCLTPPGQSPGSAPIIININRAQLTNPEVKYNYTEDPTILRIDPEWSINSGGTLLTVTGTNLATVREPRIRAKYGGIERENGCLVYNDTTMVCRAPSVANPVRSPPELGERPDELGFVMDNVRSLLVLNSTSFLYYPDPVLEPLSPTGLLELKPSSPLILKGRNLLPPAPGNSRLNYTVLIGSTPCTLTVSETQLLCEAPNLTGQHKVTVRAGGFEFSPGTLQVYSDSLLTLPAIVGIGGGGGLLLLVIVAVLIAYKRKSRDADRTLKRLQLQMDNLESRVALECKEAFAELQTDIHELTNDLDGAGIPFLDYRTYAMRVLFPGIEDHPVLKEMEVQANVEKSLTLFGQLLTKKHFLLTFIRTLEAQRSFSMRDRGNVASLIMTALQGEMEYATGVLKQLLSDLIEKNLESKNHPKLLLRRTESVAEKMLTNWFTFLLYKFLKECAGEPLFMLYCAIKQQMEKGPIDAITGEARYSLSEDKLIRQQIDYKTLTLNCVNPENENAPEVPVKGLDCDTVTQAKEKLLDAAYKGVPYSQRPKAADMDLEWRQGRMARIILQDEDVTTKIDNDWKRLNTLAHYQVTDGSSVALVPKQTSAYNISNSSTFTKSLSRYESMLRTASSPDSLRSRTPMITPDLESGTKLWHLVKNHDHLDQREGDRGSKMVSEIYLTRLLATKGTLQKFVDDLFETIFSTAHRGSALPLAIKYMFDFLDEQADKHQIHDADVRHTWKSNCLPLRFWVNVIKNPQFVFDIHKNSITDACLSVVAQTFMDSCSTSEHKLGKDSPSNKLLYAKDIPNYKSWVERYYADIAKMPAISDQDMSAYLAEQSRLHLSQFNSMSALHEIYSYITKYKDEILAALEKDEQARRQRLRSKLEQVVDTMALSS
+>DECOY_sp|Q9UIW2|PLXA1_HUMAN Plexin-A1 OS=Homo sapiens OX=9606 GN=PLXNA1 PE=1 SV=3
+SSLAMTDVVQELKSRLRQRRAQEDKELAALIEDKYKTIYSYIEHLASMSNFQSLHLRSQEALYASMDQDSIAPMKAIDAYYREVWSKYNPIDKAYLLKNSPSDKGLKHESTSCSDMFTQAVVSLCADTISNKHIDFVFQPNKIVNVWFRLPLCNSKWTHRVDADHIQHKDAQEDLFDFMYKIALPLASGRHATSFITEFLDDVFKQLTGKTALLRTLYIESVMKSGRDGERQDLHDHNKVLHWLKTGSELDPTIMPTRSRLSDPSSATRLMSEYRSLSKTFTSSNSINYASTQKPVLAVSSGDTVQYHALTNLRKWDNDIKTTVDEDQLIIRAMRGQRWELDMDAAKPRQSYPVGKYAADLLKEKAQTVTDCDLGKVPVEPANENEPNVCNLTLTKYDIQQRILKDESLSYRAEGTIADIPGKEMQQKIACYLMFLPEGACEKLFKYLLFTFWNTLMKEAVSETRRLLLKPHNKSELNKEILDSLLQKLVGTAYEMEGQLATMILSAVNGRDRMSFSRQAELTRIFTLLFHKKTLLQGFLTLSKEVNAQVEMEKLVPHDEIGPFLVRMAYTRYDLFPIGAGDLDNTLEHIDTQLEAFAEKCELAVRSELNDMQLQLRKLTRDADRSKRKYAILVAVIVLLLLGGGGGIGVIAPLTLLSDSYVQLTGPSFEFGGARVTVKHQGTLNPAECLLQTESVTLTCPTSGILVTYNLRSNGPAPPLLNRGKLILPSSPKLELLGTPSLPELVPDPYYLFSTSNLVLLSRVNDMVFGLEDPREGLEPPSRVPNAVSPARCVMTTDNYVLCGNEREIGGYKARIRPERVTALNTGTVTLLTGGSNISWEPDIRLITPDETYNYKVEPNTLQARNINIIIPASGPSQGPPTLCRIERSNRWSFSCPRGGVSVAVDSGANLHSGEIGIWTGGSLPGRSPSVRYFTPTVFTFRKPSLARYHPSCDRVCVEVLADHARVSSADGIECVIQEASIYESEVPSCLVKGVRVGLRVDEFRLGLNEGTITLRTGGQRPGTEPSLKLIKPDTCRSSGHRAHMWSAPTDAACHHRLSCRREAVCWGCEFRPDAKLCLGCSERLAPCKYLHAQINQPNDIVFNGNWVVSLNVPLDSVDNGEYSYSSNQCQLSSSNFRLATVRAPSGPIHFLCEYGRQGSQPQPLNRAALTIPKVVGVPVYIQTSPLIQPCDESVNVRGELFACDAVNHTCVHRYKCWHCPFSGNVCSLCSQHVSCNYFVFDVSAFKKGTEKSKLYLKVVRQDGQGRTIPAVERASPSRCHIRGDELVSESETFDEFSCNVGASLDPVNWAQLVLPVQSMTVSVNRPQVTLQVCQLLDAAFRQPEDARECADRRSCISHLVCWGCHPDRSGLCLECSTYQVCSEVPVRTVQKETMAYLYQHNPSLVLDRLIPSGEQAVVSEYALAPRGGPNSLDVLIKRIRGSRTGAFVVTRGRYDYAAVATLGDDKDVFLPTGEITVTGGLPQNFDQGCFDDDIQLPSNICGLEKNLLWPLSLKGEGRYCSQIREKIKEKIARLTFLCLASEKPPKVRNKQGQAFVTFLVDEDEALGLQHALARGPRSLYADQVLRYEVGAQECGIPFEVYSYFKPDDVCLRVIKSTFFHEGAADPSTLQTDLQLTLYYVFQESRFSYVYYIDFAPFKSLTDSPIKLQSSVFEDQYVFGFMDADEENAMLRRSSLTPFYESKGDIPTGVFLKAQGQGPPGAILVGAMSGAEQVSSLYHEKRHHPEGLKFLDDLRLFQCIGQSASGCALLRNAAYDLLLLKNVNDTSGLGHPCSQVSPPPYCKENDEVPGTVHARLLTLNGSLKYIRNVAGVYVEGTQEHVVLHTLGWDSASFTRFPPQSGGGARPLGAEAWMGPLLLLLLLLLLLVQLSRPPLPM
+>sp|O75051|PLXA2_HUMAN Plexin-A2 OS=Homo sapiens OX=9606 GN=PLXNA2 PE=1 SV=4
+MEQRRPWPRALEVDSRSVVLLSVVWVLLAPPAAGMPQFSTFHSENRDWTFNHLTVHQGTGAVYVGAINRVYKLTGNLTIQVAHKTGPEEDNKSCYPPLIVQPCSEVLTLTNNVNKLLIIDYSENRLLACGSLYQGVCKLLRLDDLFILVEPSHKKEHYLSSVNKTGTMYGVIVRSEGEDGKLFIGTAVDGKQDYFPTLSSRKLPRDPESSAMLDYELHSDFVSSLIKIPSDTLALVSHFDIFYIYGFASGGFVYFLTVQPETPEGVAINSAGDLFYTSRIVRLCKDDPKFHSYVSLPFGCTRAGVEYRLLQAAYLAKPGDSLAQAFNITSQDDVLFAIFSKGQKQYHHPPDDSALCAFPIRAINLQIKERLQSCYQGEGNLELNWLLGKDVQCTKAPVPIDDNFCGLDINQPLGGSTPVEGLTLYTTSRDRMTSVASYVYNGYSVVFVGTKSGKLKKIRADGPPHGGVQYEMVSVLKDGSPILRDMAFSIDQRYLYVMSERQVTRVPVESCEQYTTCGECLSSGDPHCGWCALHNMCSRRDKCQQAWEPNRFAASISQCVSLAVHPSSISVSEHSRLLSLVVSDAPDLSAGIACAFGNLTEVEGQVSGSQVICISPGPKDVPVIPLDQDWFGLELQLRSKETGKIFVSTEFKFYNCSAHQLCLSCVNSAFRCHWCKYRNLCTHDPTTCSFQEGRINISEDCPQLVPTEEILIPVGEVKPITLKARNLPQPQSGQRGYECVLNIQGAIHRVPALRFNSSSVQCQNSSYQYDGMDISNLAVDFAVVWNGNFIIDNPQDLKVHLYKCAAQRESCGLCLKADRKFECGWCSGERRCTLHQHCTSPSSPWLDWSSHNVKCSNPQITEILTVSGPPEGGTRVTIHGVNLGLDFSEIAHHVQVAGVPCTPLPGEYIIAEQIVCEMGHALVGTTSGPVRLCIGECKPEFMTKSHQQYTFVNPSVLSLNPIRGPESGGTMVTITGHYLGAGSSVAVYLGNQTCEFYGRSMSEIVCVSPPSSNGLGPVPVSVSVDRAHVDSNLQFEYIDDPRVQRIEPEWSIASGHTPLTITGFNLDVIQEPRIRVKFNGKESVNVCKVVNTTTLTCLAPSLTTDYRPGLDTVERPDEFGFVFNNVQSLLIYNDTKFIYYPNPTFELLSPTGVLDQKPGSPIILKGKNLCPPASGGAKLNYTVLIGETPCAVTVSETQLLCEPPNLTGQHKVMVHVGGMVFSPGSVSVISDSLLTLPAIVSIAAGGSLLLIIVIIVLIAYKRKSRENDLTLKRLQMQMDNLESRVALECKEAFAELQTDINELTSDLDRSGIPYLDYRTYAMRVLFPGIEDHPVLRELEVQGNGQQHVEKALKLFAQLINNKVFLLTFIRTLELQRSFSMRDRGNVASLIMTGLQGRLEYATDVLKQLLSDLIDKNLENKNHPKLLLRRTESVAEKMLTNWFAFLLHKFLKECAGEPLFMLYCAIKQQMEKGPIDAITGEARYSLSEDKLIRQQIEYKTLILNCVNPDNENSPEIPVKVLNCDTITQVKEKILDAVYKNVPYSQRPRAVDMDLEWRQGRIARVVLQDEDITTKIEGDWKRLNTLMHYQVSDRSVVALVPKQTSSYNIPASASISRTSISRYDSSFRYTGSPDSLRSRAPMITPDLESGVKVWHLVKNHDHGDQKEGDRGSKMVSEIYLTRLLATKGTLQKFVDDLFETLFSTVHRGSALPLAIKYMFDFLDEQADRHSIHDTDVRHTWKSNCLPLRFWVNVIKNPQFVFDIHKGSITDACLSVVAQTFMDSCSTSEHRLGKDSPSNKLLYAKDIPSYKSWVERYYADIAKLPAISDQDMNAYLAEQSRLHAVEFNMLSALNEIYSYVSKYSEELIGALEQDEQARRQRLAYKVEQLINAMSIES
+>DECOY_sp|O75051|PLXA2_HUMAN Plexin-A2 OS=Homo sapiens OX=9606 GN=PLXNA2 PE=1 SV=4
+SEISMANILQEVKYALRQRRAQEDQELAGILEESYKSVYSYIENLASLMNFEVAHLRSQEALYANMDQDSIAPLKAIDAYYREVWSKYSPIDKAYLLKNSPSDKGLRHESTSCSDMFTQAVVSLCADTISGKHIDFVFQPNKIVNVWFRLPLCNSKWTHRVDTDHISHRDAQEDLFDFMYKIALPLASGRHVTSFLTEFLDDVFKQLTGKTALLRTLYIESVMKSGRDGEKQDGHDHNKVLHWVKVGSELDPTIMPARSRLSDPSGTYRFSSDYRSISTRSISASAPINYSSTQKPVLAVVSRDSVQYHMLTNLRKWDGEIKTTIDEDQLVVRAIRGQRWELDMDVARPRQSYPVNKYVADLIKEKVQTITDCNLVKVPIEPSNENDPNVCNLILTKYEIQQRILKDESLSYRAEGTIADIPGKEMQQKIACYLMFLPEGACEKLFKHLLFAFWNTLMKEAVSETRRLLLKPHNKNELNKDILDSLLQKLVDTAYELRGQLGTMILSAVNGRDRMSFSRQLELTRIFTLLFVKNNILQAFLKLAKEVHQQGNGQVELERLVPHDEIGPFLVRMAYTRYDLYPIGSRDLDSTLENIDTQLEAFAEKCELAVRSELNDMQMQLRKLTLDNERSKRKYAILVIIVIILLLSGGAAISVIAPLTLLSDSIVSVSGPSFVMGGVHVMVKHQGTLNPPECLLQTESVTVACPTEGILVTYNLKAGGSAPPCLNKGKLIIPSGPKQDLVGTPSLLEFTPNPYYIFKTDNYILLSQVNNFVFGFEDPREVTDLGPRYDTTLSPALCTLTTTNVVKCVNVSEKGNFKVRIRPEQIVDLNFGTITLPTHGSAISWEPEIRQVRPDDIYEFQLNSDVHARDVSVSVPVPGLGNSSPPSVCVIESMSRGYFECTQNGLYVAVSSGAGLYHGTITVMTGGSEPGRIPNLSLVSPNVFTYQQHSKTMFEPKCEGICLRVPGSTTGVLAHGMECVIQEAIIYEGPLPTCPVGAVQVHHAIESFDLGLNVGHITVRTGGEPPGSVTLIETIQPNSCKVNHSSWDLWPSSPSTCHQHLTCRREGSCWGCEFKRDAKLCLGCSERQAACKYLHVKLDQPNDIIFNGNWVVAFDVALNSIDMGDYQYSSNQCQVSSSNFRLAPVRHIAGQINLVCEYGRQGSQPQPLNRAKLTIPKVEGVPILIEETPVLQPCDESINIRGEQFSCTTPDHTCLNRYKCWHCRFASNVCSLCLQHASCNYFKFETSVFIKGTEKSRLQLELGFWDQDLPIVPVDKPGPSICIVQSGSVQGEVETLNGFACAIGASLDPADSVVLSLLRSHESVSISSPHVALSVCQSISAAFRNPEWAQQCKDRRSCMNHLACWGCHPDGSSLCEGCTTYQECSEVPVRTVQRESMVYLYRQDISFAMDRLIPSGDKLVSVMEYQVGGHPPGDARIKKLKGSKTGVFVVSYGNYVYSAVSTMRDRSTTYLTLGEVPTSGGLPQNIDLGCFNDDIPVPAKTCQVDKGLLWNLELNGEGQYCSQLREKIQLNIARIPFACLASDDPPHHYQKQGKSFIAFLVDDQSTINFAQALSDGPKALYAAQLLRYEVGARTCGFPLSVYSHFKPDDKCLRVIRSTYFLDGASNIAVGEPTEPQVTLFYVFGGSAFGYIYFIDFHSVLALTDSPIKILSSVFDSHLEYDLMASSEPDRPLKRSSLTPFYDQKGDVATGIFLKGDEGESRVIVGYMTGTKNVSSLYHEKKHSPEVLIFLDDLRLLKCVGQYLSGCALLRNESYDIILLKNVNNTLTLVESCPQVILPPYCSKNDEEPGTKHAVQITLNGTLKYVRNIAGVYVAGTGQHVTLHNFTWDRNESHFTSFQPMGAAPPALLVWVVSLLVVSRSDVELARPWPRRQEM
+>sp|P51805|PLXA3_HUMAN Plexin-A3 OS=Homo sapiens OX=9606 GN=PLXNA3 PE=1 SV=2
+MPSVCLLLLLFLAVGGALGNRPFRAFVVTDTTLTHLAVHRVTGEVFVGAVNRVFKLAPNLTELRAHVTGPVEDNARCYPPPSMRVCAHRLAPVDNINKLLLIDYAARRLVACGSIWQGICQFLRLDDLFKLGEPHHRKEHYLSGAQEPDSMAGVIVEQGQGPSKLFVGTAVDGKSEYFPTLSSRKLISDEDSADMFSLVYQDEFVSSQIKIPSDTLSLYPAFDIYYIYGFVSASFVYFLTLQLDTQQTLLDTAGEKFFTSKIVRMCAGDSEFYSYVEFPIGCSWRGVEYRLVQSAHLAKPGLLLAQALGVPADEDVLFTIFSQGQKNRASPPRQTILCLFTLSNINAHIRRRIQSCYRGEGTLALPWLLNKELPCINTPMQINGNFCGLVLNQPLGGLHVIEGLPLLADSTDGMASVAAYTYRQHSVVFIGTRSGSLKKVRVDGFQDAHLYETVPVVDGSPILRDLLFSPDHRHIYLLSEKQVSQLPVETCEQYQSCAACLGSGDPHCGWCVLRHRCCREGACLGASAPHGFAEELSKCVQVRVRPNNVSVTSPGVQLTVTLHNVPDLSAGVSCAFEAAAENEAVLLPSGELLCPSPSLQELRALTRGHGATRTVRLQLLSKETGVRFAGADFVFYNCSVLQSCMSCVGSPYPCHWCKYRHTCTSRPHECSFQEGRVHSPEGCPEILPSGDLLIPVGVMQPLTLRAKNLPQPQSGQKNYECVVRVQGRQQRVPAVRFNSSSVQCQNASYSYEGDEHGDTELDFSVVWDGDFPIDKPPSFRALLYKCWAQRPSCGLCLKADPRFNCGWCISEHRCQLRTHCPAPKTNWMHLSQKGTRCSHPRITQIHPLVGPKEGGTRVTIVGDNLGLLSREVGLRVAGVRCNSIPAEYISAERIVCEMEESLVPSPPPGPVELCVGDCSADFRTQSEQVYSFVTPTFDQVSPSRGPASGGTRLTISGSSLDAGSRVTVTVRDSECQFVRRDAKAIVCISPLSTLGPSQAPITLAIDRANISSPGLIYTYTQDPTVTRLEPTWSIINGSTAITVSGTHLLTVQEPRVRAKYRGIETTNTCQVINDTAMLCKAPGIFLGRPQPRAQGEHPDEFGFLLDHVQTARSLNRSSFTYYPDPSFEPLGPSGVLDVKPGSHVVLKGKNLIPAAAGSSRLNYTVLIGGQPCSLTVSDTQLLCDSPSQTGRQPVMVLVGGLEFWLGTLHISAERALTLPAMMGLAAGGGLLLLAITAVLVAYKRKTQDADRTLKRLQLQMDNLESRVALECKEAFAELQTDINELTNHMDEVQIPFLDYRTYAVRVLFPGIEAHPVLKELDTPPNVEKALRLFGQLLHSRAFVLTFIHTLEAQSSFSMRDRGTVASLTMVALQSRLDYATGLLKQLLADLIEKNLESKNHPKLLLRRTESVAEKMLTNWFTFLLHKFLKECAGEPLFLLYCAIKQQMEKGPIDAITGEARYSLSEDKLIRQQIDYKTLTLHCVCPENEGSAQVPVKVLNCDSITQAKDKLLDTVYKGIPYSQRPKAEDMDLEWRQGRMTRIILQDEDVTTKIECDWKRLNSLAHYQVTDGSLVALVPKQVSAYNMANSFTFTRSLSRYESLLRTASSPDSLRSRAPMITPDQETGTKLWHLVKNHDHADHREGDRGSKMVSEIYLTRLLATKGTLQKFVDDLFETVFSTAHRGSALPLAIKYMFDFLDEQADQRQISDPDVRHTWKSNCLPLRFWVNVIKNPQFVFDIHKNSITDACLSVVAQTFMDSCSTSEHRLGKDSPSNKLLYAKDIPNYKSWVERYYRDIAKMASISDQDMDAYLVEQSRLHASDFSVLSALNELYFYVTKYRQEILTALDRDASCRKHKLRQKLEQIISLVSSDS
+>DECOY_sp|P51805|PLXA3_HUMAN Plexin-A3 OS=Homo sapiens OX=9606 GN=PLXNA3 PE=1 SV=2
+SDSSVLSIIQELKQRLKHKRCSADRDLATLIEQRYKTVYFYLENLASLVSFDSAHLRSQEVLYADMDQDSISAMKAIDRYYREVWSKYNPIDKAYLLKNSPSDKGLRHESTSCSDMFTQAVVSLCADTISNKHIDFVFQPNKIVNVWFRLPLCNSKWTHRVDPDSIQRQDAQEDLFDFMYKIALPLASGRHATSFVTEFLDDVFKQLTGKTALLRTLYIESVMKSGRDGERHDAHDHNKVLHWLKTGTEQDPTIMPARSRLSDPSSATRLLSEYRSLSRTFTFSNAMNYASVQKPVLAVLSGDTVQYHALSNLRKWDCEIKTTVDEDQLIIRTMRGQRWELDMDEAKPRQSYPIGKYVTDLLKDKAQTISDCNLVKVPVQASGENEPCVCHLTLTKYDIQQRILKDESLSYRAEGTIADIPGKEMQQKIACYLLFLPEGACEKLFKHLLFTFWNTLMKEAVSETRRLLLKPHNKSELNKEILDALLQKLLGTAYDLRSQLAVMTLSAVTGRDRMSFSSQAELTHIFTLVFARSHLLQGFLRLAKEVNPPTDLEKLVPHAEIGPFLVRVAYTRYDLFPIQVEDMHNTLENIDTQLEAFAEKCELAVRSELNDMQLQLRKLTRDADQTKRKYAVLVATIALLLLGGGAALGMMAPLTLAREASIHLTGLWFELGGVLVMVPQRGTQSPSDCLLQTDSVTLSCPQGGILVTYNLRSSGAAAPILNKGKLVVHSGPKVDLVGSPGLPEFSPDPYYTFSSRNLSRATQVHDLLFGFEDPHEGQARPQPRGLFIGPAKCLMATDNIVQCTNTTEIGRYKARVRPEQVTLLHTGSVTIATSGNIISWTPELRTVTPDQTYTYILGPSSINARDIALTIPAQSPGLTSLPSICVIAKADRRVFQCESDRVTVTVRSGADLSSGSITLRTGGSAPGRSPSVQDFTPTVFSYVQESQTRFDASCDGVCLEVPGPPPSPVLSEEMECVIREASIYEAPISNCRVGAVRLGVERSLLGLNDGVITVRTGGEKPGVLPHIQTIRPHSCRTGKQSLHMWNTKPAPCHTRLQCRHESICWGCNFRPDAKLCLGCSPRQAWCKYLLARFSPPKDIPFDGDWVVSFDLETDGHEDGEYSYSANQCQVSSSNFRVAPVRQQRGQVRVVCEYNKQGSQPQPLNKARLTLPQMVGVPILLDGSPLIEPCGEPSHVRGEQFSCEHPRSTCTHRYKCWHCPYPSGVCSMCSQLVSCNYFVFDAGAFRVGTEKSLLQLRVTRTAGHGRTLARLEQLSPSPCLLEGSPLLVAENEAAAEFACSVGASLDPVNHLTVTLQVGPSTVSVNNPRVRVQVCKSLEEAFGHPASAGLCAGERCCRHRLVCWGCHPDGSGLCAACSQYQECTEVPLQSVQKESLLYIHRHDPSFLLDRLIPSGDVVPVTEYLHADQFGDVRVKKLSGSRTGIFVVSHQRYTYAAVSAMGDTSDALLPLGEIVHLGGLPQNLVLGCFNGNIQMPTNICPLEKNLLWPLALTGEGRYCSQIRRRIHANINSLTFLCLITQRPPSARNKQGQSFITFLVDEDAPVGLAQALLLGPKALHASQVLRYEVGRWSCGIPFEVYSYFESDGACMRVIKSTFFKEGATDLLTQQTDLQLTLFYVFSASVFGYIYYIDFAPYLSLTDSPIKIQSSVFEDQYVLSFMDASDEDSILKRSSLTPFYESKGDVATGVFLKSPGQGQEVIVGAMSDPEQAGSLYHEKRHHPEGLKFLDDLRLFQCIGQWISGCAVLRRAAYDILLLKNINDVPALRHACVRMSPPPYCRANDEVPGTVHARLETLNPALKFVRNVAGVFVEGTVRHVALHTLTTDTVVFARFPRNGLAGGVALFLLLLLCVSPM
+>sp|A8MQ11|PM2P5_HUMAN Postmeiotic segregation increased 2-like protein 5 OS=Homo sapiens OX=9606 GN=PMS2P5 PE=5 SV=2
+MWGRRRKLRRLNDVTISTCHVSAKVGTRLVFDHDGKIIQKTPYPHPRGTTVSVKQLFSTLPVRHKEFQRNIKKKRACFPFAFCRDCQFLEGSPAMLPVQPAKLTPRSTPPHPCSLEDNVITVFSSVKNGPGSSR
+>DECOY_sp|A8MQ11|PM2P5_HUMAN Postmeiotic segregation increased 2-like protein 5 OS=Homo sapiens OX=9606 GN=PMS2P5 PE=5 SV=2
+RSSGPGNKVSSFVTIVNDELSCPHPPTSRPTLKAPQVPLMAPSGELFQCDRCFAFPFCARKKKINRQFEKHRVPLTSFLQKVSVTTGRPHPYPTKQIIKGDHDFVLRTGVKASVHCTSITVDNLRRLKRRRGWM
+>sp|A0A0J9YXQ4|PMA6E_HUMAN Paraneoplastic antigen Ma6E OS=Homo sapiens OX=9606 GN=PNMA6E PE=4 SV=1
+MALAMLRDWCRWMGANAERSLLILGIPDDCKEHEFQEAVRAALSPLGRYRVLTKHFRKELGAKAALVEFAEYLNRSLIPHQIPGNGGPWKVIFLPQVPVIEFQDMPSFPAQPQGQAVAKAAGEGGGAGEAGGVGEVGAAGEAGGTGEAGATGEAGAAGEAGGAGEAGGVGEAGAAGEAGGAGEAGAAGEGGAAGEAGGAGEAGGVGEAGAAGEAGGAGEAGGVGEAGAAGEAGGAGEAGAAGEAGGAGEGRAAGEAGAAGEAGAVGEAGAAGEAGAVGEAGAAGEAGAVGEAGGTNVTKAWVQPWRCTLQPVLENRAYRELRPFSRREQPGCEEESFESWVEHAKDMLQLWCHASEREKKRWLLESLGGPALEVVSGLLEEDTNLSALDCLAALGQVFRNQDTRMTSRLKFLTCTQGPQEGLFAFVVRLEGLLQRAVEKGAVCPALANYLRLQQVLSWARPSEALQDTLRGMQLEKRPPGFLGLLRLIREMEAWAAFPARSQQGVAWAAAPVESEDPAAAQASPAQGNASEAGPGAEDAAEAASATKEAARGAPAAGEGESAPAGPEGLGQARPIEVPWGSSPARMSSAVWVFPRGLSWGPEGLIQVRGQEARKPPLEGLQTILEEPENEDEDGAGDEGQPKSSQGK
+>DECOY_sp|A0A0J9YXQ4|PMA6E_HUMAN Paraneoplastic antigen Ma6E OS=Homo sapiens OX=9606 GN=PNMA6E PE=4 SV=1
+KGQSSKPQGEDGAGDEDENEPEELITQLGELPPKRAEQGRVQILGEPGWSLGRPFVWVASSMRAPSSGWPVEIPRAQGLGEPGAPASEGEGAAPAGRAAEKTASAAEAADEAGPGAESANGQAPSAQAAAPDESEVPAAAWAVGQQSRAPFAAWAEMERILRLLGLFGPPRKELQMGRLTDQLAESPRAWSLVQQLRLYNALAPCVAGKEVARQLLGELRVVFAFLGEQPGQTCTLFKLRSTMRTDQNRFVQGLAALCDLASLNTDEELLGSVVELAPGGLSELLWRKKERESAHCWLQLMDKAHEVWSEFSEEECGPQERRSFPRLERYARNELVPQLTCRWPQVWAKTVNTGGAEGVAGAEGAAGAEGVAGAEGAAGAEGVAGAEGAAGAEGAARGEGAGGAEGAAGAEGAGGAEGAAGAEGVGGAEGAGGAEGAAGAEGVGGAEGAGGAEGAAGGEGAAGAEGAGGAEGAAGAEGVGGAEGAGGAEGAAGAEGTAGAEGTGGAEGAAGVEGVGGAEGAGGGEGAAKAVAQGQPQAPFSPMDQFEIVPVQPLFIVKWPGGNGPIQHPILSRNLYEAFEVLAAKAGLEKRFHKTLVRYRGLPSLAARVAEQFEHEKCDDPIGLILLSREANAGMWRCWDRLMALAM
+>sp|P40967|PMEL_HUMAN Melanocyte protein PMEL OS=Homo sapiens OX=9606 GN=PMEL PE=1 SV=2
+MDLVLKRCLLHLAVIGALLAVGATKVPRNQDWLGVSRQLRTKAWNRQLYPEWTEAQRLDCWRGGQVSLKVSNDGPTLIGANASFSIALNFPGSQKVLPDGQVIWVNNTIINGSQVWGGQPVYPQETDDACIFPDGGPCPSGSWSQKRSFVYVWKTWGQYWQVLGGPVSGLSIGTGRAMLGTHTMEVTVYHRRGSRSYVPLAHSSSAFTITDQVPFSVSVSQLRALDGGNKHFLRNQPLTFALQLHDPSGYLAEADLSYTWDFGDSSGTLISRALVVTHTYLEPGPVTAQVVLQAAIPLTSCGSSPVPGTTDGHRPTAEAPNTTAGQVPTTEVVGTTPGQAPTAEPSGTTSVQVPTTEVISTAPVQMPTAESTGMTPEKVPVSEVMGTTLAEMSTPEATGMTPAEVSIVVLSGTTAAQVTTTEWVETTARELPIPEPEGPDASSIMSTESITGSLGPLLDGTATLRLVKRQVPLDCVLYRYGSFSVTLDIVQGIESAEILQAVPSGEGDAFELTVSCQGGLPKEACMEISSPGCQPPAQRLCQPVLPSPACQLVLHQILKGGSGTYCLNVSLADTNSLAVVSTQLIMPGQEAGLGQVPLIVGILLVLMAVVLASLIYRRRLMKQDFSVPQLPHSSSHWLRLPRIFCSCPIGENSPLLSGQQV
+>DECOY_sp|P40967|PMEL_HUMAN Melanocyte protein PMEL OS=Homo sapiens OX=9606 GN=PMEL PE=1 SV=2
+VQQGSLLPSNEGIPCSCFIRPLRLWHSSSHPLQPVSFDQKMLRRRYILSALVVAMLVLLIGVILPVQGLGAEQGPMILQTSVVALSNTDALSVNLCYTGSGGKLIQHLVLQCAPSPLVPQCLRQAPPQCGPSSIEMCAEKPLGGQCSVTLEFADGEGSPVAQLIEASEIGQVIDLTVSFSGYRYLVCDLPVQRKVLRLTATGDLLPGLSGTISETSMISSADPGEPEPIPLERATTEVWETTTVQAATTGSLVVISVEAPTMGTAEPTSMEALTTGMVESVPVKEPTMGTSEATPMQVPATSIVETTPVQVSTTGSPEATPAQGPTTGVVETTPVQGATTNPAEATPRHGDTTGPVPSSGCSTLPIAAQLVVQATVPGPELYTHTVVLARSILTGSSDGFDWTYSLDAEALYGSPDHLQLAFTLPQNRLFHKNGGDLARLQSVSVSFPVQDTITFASSSHALPVYSRSGRRHYVTVEMTHTGLMARGTGISLGSVPGGLVQWYQGWTKWVYVFSRKQSWSGSPCPGGDPFICADDTEQPYVPQGGWVQSGNIITNNVWIVQGDPLVKQSGPFNLAISFSANAGILTPGDNSVKLSVQGGRWCDLRQAETWEPYLQRNWAKTRLQRSVGLWDQNRPVKTAGVALLAGIVALHLLCRKLVLDM
+>sp|Q6P1K2|PMF1_HUMAN Polyamine-modulated factor 1 OS=Homo sapiens OX=9606 GN=PMF1 PE=1 SV=2
+MAEASSANLGSGCEEKRHEGSSSESVPPGTTISRVKLLDTMVDTFLQKLVAAGSYQRFTDCYKCFYQLQPAMTQQIYDKFIAQLQTSIREEISDIKEEGNLEAVLNALDKIVEEGKVRKEPAWRPSGIPEKDLHSVMAPYFLQQRDTLRRHVQKQEAENQQLADAVLAGRRQVEELQLQVQAQQQAWQALHREQRELVAVLREPE
+>DECOY_sp|Q6P1K2|PMF1_HUMAN Polyamine-modulated factor 1 OS=Homo sapiens OX=9606 GN=PMF1 PE=1 SV=2
+EPERLVAVLERQERHLAQWAQQQAQVQLQLEEVQRRGALVADALQQNEAEQKQVHRRLTDRQQLFYPAMVSHLDKEPIGSPRWAPEKRVKGEEVIKDLANLVAELNGEEKIDSIEERISTQLQAIFKDYIQQTMAPQLQYFCKYCDTFRQYSGAAVLKQLFTDVMTDLLKVRSITTGPPVSESSSGEHRKEECGSGLNASSAEAM
+>sp|P07738|PMGE_HUMAN Bisphosphoglycerate mutase OS=Homo sapiens OX=9606 GN=BPGM PE=1 SV=2
+MSKYKLIMLRHGEGAWNKENRFCSWVDQKLNSEGMEEARNCGKQLKALNFEFDLVFTSVLNRSIHTAWLILEELGQEWVPVESSWRLNERHYGALIGLNREQMALNHGEEQVRLWRRSYNVTPPPIEESHPYYQEIYNDRRYKVCDVPLDQLPRSESLKDVLERLLPYWNERIAPEVLRGKTILISAHGNSSRALLKHLEGISDEDIINITLPTGVPILLELDENLRAVGPHQFLGDQEAIQAAIKKVEDQGKVKQAKK
+>DECOY_sp|P07738|PMGE_HUMAN Bisphosphoglycerate mutase OS=Homo sapiens OX=9606 GN=BPGM PE=1 SV=2
+KKAQKVKGQDEVKKIAAQIAEQDGLFQHPGVARLNEDLELLIPVGTPLTINIIDEDSIGELHKLLARSSNGHASILITKGRLVEPAIRENWYPLLRELVDKLSESRPLQDLPVDCVKYRRDNYIEQYYPHSEEIPPPTVNYSRRWLRVQEEGHNLAMQERNLGILAGYHRENLRWSSEVPVWEQGLEELILWATHISRNLVSTFVLDFEFNLAKLQKGCNRAEEMGESNLKQDVWSCFRNEKNWAGEGHRLMILKYKSM
+>sp|Q8NAT1|PMGT2_HUMAN Protein O-linked-mannose beta-1,4-N-acetylglucosaminyltransferase 2 OS=Homo sapiens OX=9606 GN=POMGNT2 PE=1 SV=1
+MHLSAVFNALLVSVLAAVLWKHVRLREHAATLEEELALSRQATEPAPALRIDYPKALQILMEGGTHMVCTGRTHTDRICRFKWLCYSNEAEEFIFFHGNTSVMLPNLGSRRFQPALLDLSTVEDHNTQYFNFVELPAAALRFMPKPVFVPDVALIANRFNPDNLMHVFHDDLLPLFYTLRQFPGLAHEARLFFMEGWGEGAHFDLYKLLSPKQPLLRAQLKTLGRLLCFSHAFVGLSKITTWYQYGFVQPQGPKANILVSGNEIRQFARFMTEKLNVSHTGVPLGEEYILVFSRTQNRLILNEAELLLALAQEFQMKTVTVSLEDHTFADVVRLVSNASMLVSMHGAQLVTTLFLPRGATVVELFPYAVNPDHYTPYKTLAMLPGMDLQYVAWRNMMPENTVTHPERPWDQGGITHLDRAEQARILQSREVPRHLCCRNPEWLFRIYQDTKVDIPSLIQTIRRVVKGRPGPRKQKWTVGLYPGKVREARCQASVHGASEARLTVSWQIPWNLKYLKVREVKYEVWLQEQGENTYVPYILALQNHTFTENIKPFTTYLVWVRCIFNKILLGPFADVLVCNT
+>DECOY_sp|Q8NAT1|PMGT2_HUMAN Protein O-linked-mannose beta-1,4-N-acetylglucosaminyltransferase 2 OS=Homo sapiens OX=9606 GN=POMGNT2 PE=1 SV=1
+TNCVLVDAFPGLLIKNFICRVWVLYTTFPKINETFTHNQLALIYPVYTNEGQEQLWVEYKVERVKLYKLNWPIQWSVTLRAESAGHVSAQCRAERVKGPYLGVTWKQKRPGPRGKVVRRITQILSPIDVKTDQYIRFLWEPNRCCLHRPVERSQLIRAQEARDLHTIGGQDWPREPHTVTNEPMMNRWAVYQLDMGPLMALTKYPTYHDPNVAYPFLEVVTAGRPLFLTTVLQAGHMSVLMSANSVLRVVDAFTHDELSVTVTKMQFEQALALLLEAENLILRNQTRSFVLIYEEGLPVGTHSVNLKETMFRAFQRIENGSVLINAKPGQPQVFGYQYWTTIKSLGVFAHSFCLLRGLTKLQARLLPQKPSLLKYLDFHAGEGWGEMFFLRAEHALGPFQRLTYFLPLLDDHFVHMLNDPNFRNAILAVDPVFVPKPMFRLAAAPLEVFNFYQTNHDEVTSLDLLAPQFRRSGLNPLMVSTNGHFFIFEEAENSYCLWKFRCIRDTHTRGTCVMHTGGEMLIQLAKPYDIRLAPAPETAQRSLALEEELTAAHERLRVHKWLVAALVSVLLANFVASLHM
+>sp|A0A1W2PS18|PMIS2_HUMAN Transmembrane protein PMIS2 OS=Homo sapiens OX=9606 GN=PMIS2 PE=3 SV=1
+MALKPPSATQPAPNAPATPDAPPTTGDPGASAAPGSPTTTGGPGAPAEVPQEPQEPTQTPEELAFYAPNYLCLTIFAILLFPPFGLAALYFSYEGSWTQKPTSMLPPLQTMKANQNSEWEEAYINSGRTGWFGAFVVMIGLGIIYGLVLY
+>DECOY_sp|A0A1W2PS18|PMIS2_HUMAN Transmembrane protein PMIS2 OS=Homo sapiens OX=9606 GN=PMIS2 PE=3 SV=1
+YLVLGYIIGLGIMVVFAGFWGTRGSNIYAEEWESNQNAKMTQLPPLMSTPKQTWSGEYSFYLAALGFPPFLLIAFITLCLYNPAYFALEEPTQTPEQPEQPVEAPAGPGGTTTPSGPAASAGPDGTTPPADPTAPANPAPQTASPPKLAM
+>sp|Q92871|PMM1_HUMAN Phosphomannomutase 1 OS=Homo sapiens OX=9606 GN=PMM1 PE=1 SV=2
+MAVTAQAARRKERVLCLFDVDGTLTPARQKIDPEVAAFLQKLRSRVQIGVVGGSDYCKIAEQLGDGDEVIEKFDYVFAENGTVQYKHGRLLSKQTIQNHLGEELLQDLINFCLSYMALLRLPKKRGTFIEFRNGMLNISPIGRSCTLEERIEFSELDKKEKIREKFVEALKTEFAGKGLRFSRGGMISFDVFPEGWDKRYCLDSLDQDSFDTIHFFGNETSPGGNDFEIFADPRTVGHSVVSPQDTVQRCREIFFPETAHEA
+>DECOY_sp|Q92871|PMM1_HUMAN Phosphomannomutase 1 OS=Homo sapiens OX=9606 GN=PMM1 PE=1 SV=2
+AEHATEPFFIERCRQVTDQPSVVSHGVTRPDAFIEFDNGGPSTENGFFHITDFSDQDLSDLCYRKDWGEPFVDFSIMGGRSFRLGKGAFETKLAEVFKERIKEKKDLESFEIREELTCSRGIPSINLMGNRFEIFTGRKKPLRLLAMYSLCFNILDQLLEEGLHNQITQKSLLRGHKYQVTGNEAFVYDFKEIVEDGDGLQEAIKCYDSGGVVGIQVRSRLKQLFAAVEPDIKQRAPTLTGDVDFLCLVREKRRAAQATVAM
+>sp|Q68D20|PMS2L_HUMAN Protein PMS2CL OS=Homo sapiens OX=9606 GN=PMS2CL PE=2 SV=1
+MHAADLEKPMVEKQDQSPSLRTGEEKRDVSISRLREAFSLRHTTENKPHSPKTPEPRRSPLGQKRGMSSSSTSDAISDRGVLRPQKEAVSSSQGPSDPTDRAEVEKDSGHGSTSVDSEGFSIPDTGSHCSSECVASTPGDRGSQEHVDSQEKAPETDDSFSDVDCHSNQEDTGCKFQVLPQPTNLTSPNTKVF
+>DECOY_sp|Q68D20|PMS2L_HUMAN Protein PMS2CL OS=Homo sapiens OX=9606 GN=PMS2CL PE=2 SV=1
+FVKTNPSTLNTPQPLVQFKCGTDEQNSHCDVDSFSDDTEPAKEQSDVHEQSGRDGPTSAVCESSCHSGTDPISFGESDVSTSGHGSDKEVEARDTPDSPGQSSSVAEKQPRLVGRDSIADSTSSSSMGRKQGLPSRRPEPTKPSHPKNETTHRLSFAERLRSISVDRKEEGTRLSPSQDQKEVMPKELDAAHM
+>sp|Q15126|PMVK_HUMAN Phosphomevalonate kinase OS=Homo sapiens OX=9606 GN=PMVK PE=1 SV=3
+MAPLGGAPRLVLLFSGKRKSGKDFVTEALQSRLGADVCAVLRLSGPLKEQYAQEHGLNFQRLLDTSTYKEAFRKDMIRWGEEKRQADPGFFCRKIVEGISQPIWLVSDTRRVSDIQWFREAYGAVTQTVRVVALEQSRQQRGWVFTPGVDDAESECGLDNFGDFDWVIENHGVEQRLEEQLENLIEFIRSRL
+>DECOY_sp|Q15126|PMVK_HUMAN Phosphomevalonate kinase OS=Homo sapiens OX=9606 GN=PMVK PE=1 SV=3
+LRSRIFEILNELQEELRQEVGHNEIVWDFDGFNDLGCESEADDVGPTFVWGRQQRSQELAVVRVTQTVAGYAERFWQIDSVRRTDSVLWIPQSIGEVIKRCFFGPDAQRKEEGWRIMDKRFAEKYTSTDLLRQFNLGHEQAYQEKLPGSLRLVACVDAGLRSQLAETVFDKGSKRKGSFLLVLRPAGGLPAM
+>sp|Q8TF01|PNISR_HUMAN Arginine/serine-rich protein PNISR OS=Homo sapiens OX=9606 GN=PNISR PE=1 SV=2
+MWDQGGQPWQQWPLNQQQWMQSFQHQQDPSQIDWAALAQAWIAQREASGQQSMVEQPPGMMPNGQDMSTMESGPNNHGNFQGDSNFNRMWQPEWGMHQQPPHPPPDQPWMPPTPGPMDIVPPSEDSNSQDSGEFAPDNRHIFNQNNHNFGGPPDNFAVGPVNQFDYQHGAAFGPPQGGFHPPYWQPGPPGPPAPPQNRRERPSSFRDRQRSPIALPVKQEPPQIDAVKRRTLPAWIREGLEKMEREKQKKLEKERMEQQRSQLSKKEKKATEDAEGGDGPRLPQRSKFDSDEEEEDTENVEAASSGKVTRSPSPVPQEEHSDPEMTEEEKEYQMMLLTKMLLTEILLDVTDEEIYYVAKDAHRKATKAPAKQLAQSSALASLTGLGGLGGYGSGDSEDERSDRGSESSDTDDEELRHRIRQKQEAFWRKEKEQQLLHDKQMEEEKQQTERVTKEMNEFIHKEQNSLSLLEAREADGDVVNEKKRTPNETTSVLEPKKEHKEKEKQGRSRSGSSSSGSSSSNSRTSSTSSTVSSSSYSSSSGSSRTSSRSSSPKRKKRHSRSRSPTIKARRSRSRSYSRRIKIESNRARVKIRDRRRSNRNSIERERRRNRSPSRERRRSRSRSRDRRTNRASRSRSRDRRKIDDQRGNLSGNSHKHKGEAKEQERKKERSRSIDKDRKKKDKEREREQDKRKEKQKREEKDFKFSSQDDRLKRKRESERTFSRSGSISVKIIRHDSRQDSKKSTTKDSKKHSGSDSSGRSSSESPGSSKEKKAKKPKHSRSRSVEKSQRSGKKASRKHKSKSRSR
+>DECOY_sp|Q8TF01|PNISR_HUMAN Arginine/serine-rich protein PNISR OS=Homo sapiens OX=9606 GN=PNISR PE=1 SV=2
+RSRSKSKHKRSAKKGSRQSKEVSRSRSHKPKKAKKEKSSGPSESSSRGSSDSGSHKKSDKTTSKKSDQRSDHRIIKVSISGSRSFTRESERKRKLRDDQSSFKFDKEERKQKEKRKDQEREREKDKKKRDKDISRSREKKREQEKAEGKHKHSNGSLNGRQDDIKRRDRSRSRSARNTRRDRSRSRSRRRERSPSRNRRREREISNRNSRRRDRIKVRARNSEIKIRRSYSRSRSRRAKITPSRSRSHRKKRKPSSSRSSTRSSGSSSSYSSSSVTSSTSSTRSNSSSSGSSSSGSRSRGQKEKEKHEKKPELVSTTENPTRKKENVVDGDAERAELLSLSNQEKHIFENMEKTVRETQQKEEEMQKDHLLQQEKEKRWFAEQKQRIRHRLEEDDTDSSESGRDSREDESDGSGYGGLGGLGTLSALASSQALQKAPAKTAKRHADKAVYYIEEDTVDLLIETLLMKTLLMMQYEKEEETMEPDSHEEQPVPSPSRTVKGSSAAEVNETDEEEEDSDFKSRQPLRPGDGGEADETAKKEKKSLQSRQQEMREKELKKQKEREMKELGERIWAPLTRRKVADIQPPEQKVPLAIPSRQRDRFSSPRERRNQPPAPPGPPGPQWYPPHFGGQPPGFAAGHQYDFQNVPGVAFNDPPGGFNHNNQNFIHRNDPAFEGSDQSNSDESPPVIDMPGPTPPMWPQDPPPHPPQQHMGWEPQWMRNFNSDGQFNGHNNPGSEMTSMDQGNPMMGPPQEVMSQQGSAERQAIWAQALAAWDIQSPDQQHQFSQMWQQQNLPWQQWPQGGQDWM
+>sp|P00491|PNPH_HUMAN Purine nucleoside phosphorylase OS=Homo sapiens OX=9606 GN=PNP PE=1 SV=2
+MENGYTYEDYKNTAEWLLSHTKHRPQVAIICGSGLGGLTDKLTQAQIFDYGEIPNFPRSTVPGHAGRLVFGFLNGRACVMMQGRFHMYEGYPLWKVTFPVRVFHLLGVDTLVVTNAAGGLNPKFEVGDIMLIRDHINLPGFSGQNPLRGPNDERFGDRFPAMSDAYDRTMRQRALSTWKQMGEQRELQEGTYVMVAGPSFETVAECRVLQKLGADAVGMSTVPEVIVARHCGLRVFGFSLITNKVIMDYESLEKANHEEVLAAGKQAAQKLEQFVSILMASIPLPDKAS
+>DECOY_sp|P00491|PNPH_HUMAN Purine nucleoside phosphorylase OS=Homo sapiens OX=9606 GN=PNP PE=1 SV=2
+SAKDPLPISAMLISVFQELKQAAQKGAALVEEHNAKELSEYDMIVKNTILSFGFVRLGCHRAVIVEPVTSMGVADAGLKQLVRCEAVTEFSPGAVMVYTGEQLERQEGMQKWTSLARQRMTRDYADSMAPFRDGFREDNPGRLPNQGSFGPLNIHDRILMIDGVEFKPNLGGAANTVVLTDVGLLHFVRVPFTVKWLPYGEYMHFRGQMMVCARGNLFGFVLRGAHGPVTSRPFNPIEGYDFIQAQTLKDTLGGLGSGCIIAVQPRHKTHSLLWEATNKYDEYTYGNEM
+>sp|Q9NVS9|PNPO_HUMAN Pyridoxine-5'-phosphate oxidase OS=Homo sapiens OX=9606 GN=PNPO PE=1 SV=1
+MTCWLRGVTATFGRPAEWPGYLSHLCGRSAAMDLGPMRKSYRGDREAFEETHLTSLDPVKQFAAWFEEAVQCPDIGEANAMCLATCTRDGKPSARMLLLKGFGKDGFRFFTNFESRKGKELDSNPFASLVFYWEPLNRQVRVEGPVKKLPEEEAECYFHSRPKSSQIGAVVSHQSSVIPDREYLRKKNEELEQLYQDQEVPKPKSWGGYVLYPQVMEFWQGQTNRLHDRIVFRRGLPTGDSPLGPMTHRGEEDWLYERLAP
+>DECOY_sp|Q9NVS9|PNPO_HUMAN Pyridoxine-5'-phosphate oxidase OS=Homo sapiens OX=9606 GN=PNPO PE=1 SV=1
+PALREYLWDEEGRHTMPGLPSDGTPLGRRFVIRDHLRNTQGQWFEMVQPYLVYGGWSKPKPVEQDQYLQELEENKKRLYERDPIVSSQHSVVAGIQSSKPRSHFYCEAEEEPLKKVPGEVRVQRNLPEWYFVLSAFPNSDLEKGKRSEFNTFFRFGDKGFGKLLLMRASPKGDRTCTALCMANAEGIDPCQVAEEFWAAFQKVPDLSTLHTEEFAERDGRYSKRMPGLDMAASRGCLHSLYGPWEAPRGFTATVGRLWCTM
+>sp|P63132|PO113_HUMAN Endogenous retrovirus group K member 113 Pol protein OS=Homo sapiens OX=9606 GN=HERVK_113 PE=3 SV=1
+NKSRKRRNRVSFLGAATVEPPKPIPLTWKTEKPVWVNQWPLPKQKLEALHLLANEQLEKGHIEPSFSPWNSPVFVIQKKSGKWRMLTDLRAVNAVIQPMGPLQPGLPSPAMIPKDWPLIIIDLKDCFFTIPLAEQDCEKFAFTIPAINNKEPATRFQWKVLPQGMLNSPTICQTFVGRALQPVRDKFSDCYIIHYIDDILCAAETKDKLIDCYTFLQAEVANAGLAIASDKIQTSTPFHYLGMQIENRKIKPQKIEIRKDTLKTLNDFQKLLGDINWIRPTLGIPTYVMSNLFSILRGDSDLNSKRMLTPETTKEIKLVEEKIQSAQINRIDPLAPLRLLIFATAHSPIGIIIQNTDLVEWSFLPHSTVKTFTLYLDQIATLIGQTRLRIIKLCGNDPDKIVVPLTKEQVRQAFINSGAWQIGLANFVGIIDNHYPKTKIFQFLKLTTWILPKITRREPLENALTVFTDGSSNGKAAYTGLKERVIKTPYQSAQRAELVAVITVLQDFDQPINIISDSAYVVQATRDVETALIKYSMDDQLNQLFNLLQQTVRKRNFPFYITHIRAHTNLPGPLTKANEQADLLVSSALIKAQELHALTHVNAAGLKNKFDVTWKQAKDIVQHCTQCQVLHLPTQEAGVNPRGLCPNALWQMDVTHVPSFGRLSYVHVTVDTYSHFIWATCQTGESTSHVKKHLLSCFAVMGVPEKIKTDNGPGYCSKAFQKFLSQWKISHTTGIPYNSQGQAIVERTNRTLKTQLVKQKEGGDSKECTTPQMQLNLAPYTLNFLNIYRNQTTTSAEQHLTGKKNSPHEGKLIWWKDNKNKTWEIGKVITWGRGFACVSPGENQLPVWMPTRHLKFYNEPIGDAKKSTSAETETPQSSTVDSQDEQNGDVRRTDEVAIHQEGRAADLGTTKEADAVSYKISREHKGDTNPREYAACSLDDCINGGKSPYACRSSCS
+>DECOY_sp|P63132|PO113_HUMAN Endogenous retrovirus group K member 113 Pol protein OS=Homo sapiens OX=9606 GN=HERVK_113 PE=3 SV=1
+SCSSRCAYPSKGGNICDDLSCAAYERPNTDGKHERSIKYSVADAEKTTGLDAARGEQHIAVEDTRRVDGNQEDQSDVTSSQPTETEASTSKKADGIPENYFKLHRTPMWVPLQNEGPSVCAFGRGWTIVKGIEWTKNKNDKWWILKGEHPSNKKGTLHQEASTTTQNRYINLFNLTYPALNLQMQPTTCEKSDGGEKQKVLQTKLTRNTREVIAQGQSNYPIGTTHSIKWQSLFKQFAKSCYGPGNDTKIKEPVGMVAFCSLLHKKVHSTSEGTQCTAWIFHSYTDVTVHVYSLRGFSPVHTVDMQWLANPCLGRPNVGAEQTPLHLVQCQTCHQVIDKAQKWTVDFKNKLGAANVHTLAHLEQAKILASSVLLDAQENAKTLPGPLNTHARIHTIYFPFNRKRVTQQLLNFLQNLQDDMSYKILATEVDRTAQVVYASDSIINIPQDFDQLVTIVAVLEARQASQYPTKIVREKLGTYAAKGNSSGDTFVTLANELPERRTIKPLIWTTLKLFQFIKTKPYHNDIIGVFNALGIQWAGSNIFAQRVQEKTLPVVIKDPDNGCLKIIRLRTQGILTAIQDLYLTFTKVTSHPLFSWEVLDTNQIIIGIPSHATAFILLRLPALPDIRNIQASQIKEEVLKIEKTTEPTLMRKSNLDSDGRLISFLNSMVYTPIGLTPRIWNIDGLLKQFDNLTKLTDKRIEIKQPKIKRNEIQMGLYHFPTSTQIKDSAIALGANAVEAQLFTYCDILKDKTEAACLIDDIYHIIYCDSFKDRVPQLARGVFTQCITPSNLMGQPLVKWQFRTAPEKNNIAPITFAFKECDQEALPITFFCDKLDIIILPWDKPIMAPSPLGPQLPGMPQIVANVARLDTLMRWKGSKKQIVFVPSNWPSFSPEIHGKELQENALLHLAELKQKPLPWQNVWVPKETKWTLPIPKPPEVTAAGLFSVRNRRKRSKN
+>sp|P27169|PON1_HUMAN Serum paraoxonase/arylesterase 1 OS=Homo sapiens OX=9606 GN=PON1 PE=1 SV=3
+MAKLIALTLLGMGLALFRNHQSSYQTRLNALREVQPVELPNCNLVKGIETGSEDLEILPNGLAFISSGLKYPGIKSFNPNSPGKILLMDLNEEDPTVLELGITGSKFDVSSFNPHGISTFTDEDNAMYLLVVNHPDAKSTVELFKFQEEEKSLLHLKTIRHKLLPNLNDIVAVGPEHFYGTNDHYFLDPYLQSWEMYLGLAWSYVVYYSPSEVRVVAEGFDFANGINISPDGKYVYIAELLAHKIHVYEKHANWTLTPLKSLDFNTLVDNISVDPETGDLWVGCHPNGMKIFFYDSENPPASEVLRIQNILTEEPKVTQVYAENGTVLQGSTVASVYKGKLLIGTVFHKALYCEL
+>DECOY_sp|P27169|PON1_HUMAN Serum paraoxonase/arylesterase 1 OS=Homo sapiens OX=9606 GN=PON1 PE=1 SV=3
+LECYLAKHFVTGILLKGKYVSAVTSGQLVTGNEAYVQTVKPEETLINQIRLVESAPPNESDYFFIKMGNPHCGVWLDGTEPDVSINDVLTNFDLSKLPTLTWNAHKEYVHIKHALLEAIYVYKGDPSINIGNAFDFGEAVVRVESPSYYVVYSWALGLYMEWSQLYPDLFYHDNTGYFHEPGVAVIDNLNPLLKHRITKLHLLSKEEEQFKFLEVTSKADPHNVVLLYMANDEDTFTSIGHPNFSSVDFKSGTIGLELVTPDEENLDMLLIKGPSNPNFSKIGPYKLGSSIFALGNPLIELDESGTEIGKVLNCNPLEVPQVERLANLRTQYSSQHNRFLALGMGLLTLAILKAM
+>sp|Q9H237|PORCN_HUMAN Protein-serine O-palmitoleoyltransferase porcupine OS=Homo sapiens OX=9606 GN=PORCN PE=1 SV=2
+MATFSRQEFFQQLLQGCLLPTAQQGLDQIWLLLAICLACRLLWRLGLPSYLKHASTVAGGFFSLYHFFQLHMVWVVLLSLLCYLVLFLCRHSSHRGVFLSVTILIYLLMGEMHMVDTVTWHKMRGAQMIVAMKAVSLGFDLDRGEVGTVPSPVEFMGYLYFVGTIVFGPWISFHSYLQAVQGRPLSCRWLQKVARSLALALLCLVLSTCVGPYLFPYFIPLNGDRLLRNKKRKARGTMVRWLRAYESAVSFHFSNYFVGFLSEATATLAGAGFTEEKDHLEWDLTVSKPLNVELPRSMVEVVTSWNLPMSYWLNNYVFKNALRLGTFSAVLVTYAASALLHGFSFHLAAVLLSLAFITYVEHVLRKRLARILSACVLSKRCPPDCSHQHRLGLGVRALNLLFGALAIFHLAYLGSLFDVDVDDTTEEQGYGMAYTVHKWSELSWASHWVTFGCWIFYRLIG
+>DECOY_sp|Q9H237|PORCN_HUMAN Protein-serine O-palmitoleoyltransferase porcupine OS=Homo sapiens OX=9606 GN=PORCN PE=1 SV=2
+GILRYFIWCGFTVWHSAWSLESWKHVTYAMGYGQEETTDDVDVDFLSGLYALHFIALAGFLLNLARVGLGLRHQHSCDPPCRKSLVCASLIRALRKRLVHEVYTIFALSLLVAALHFSFGHLLASAAYTVLVASFTGLRLANKFVYNNLWYSMPLNWSTVVEVMSRPLEVNLPKSVTLDWELHDKEETFGAGALTATAESLFGVFYNSFHFSVASEYARLWRVMTGRAKRKKNRLLRDGNLPIFYPFLYPGVCTSLVLCLLALALSRAVKQLWRCSLPRGQVAQLYSHFSIWPGFVITGVFYLYGMFEVPSPVTGVEGRDLDFGLSVAKMAVIMQAGRMKHWTVTDVMHMEGMLLYILITVSLFVGRHSSHRCLFLVLYCLLSLLVVWVMHLQFFHYLSFFGGAVTSAHKLYSPLGLRWLLRCALCIALLLWIQDLGQQATPLLCGQLLQQFFEQRSFTAM
+>sp|Q8N131|PORIM_HUMAN Porimin OS=Homo sapiens OX=9606 GN=TMEM123 PE=1 SV=1
+MGLGARGAWAALLLGTLQVLALLGAAHESAAMAASANIENSGLPHNSSANSTETLQHVPSDHTNETSNSTVKPPTSVASDSSNTTVTTMKPTAASNTTTPGMVSTNMTSTTLKSTPKTTSVSQNTSQISTSTMTVTHNSSVTSAASSVTITTTMHSEAKKGSKFDTGSFVGGIVLTLGVLSILYIGCKMYYSRRGIRYRTIDEHDAII
+>DECOY_sp|Q8N131|PORIM_HUMAN Porimin OS=Homo sapiens OX=9606 GN=TMEM123 PE=1 SV=1
+IIADHEDITRYRIGRRSYYMKCGIYLISLVGLTLVIGGVFSGTDFKSGKKAESHMTTTITVSSAASTVSSNHTVTMTSTSIQSTNQSVSTTKPTSKLTTSTMNTSVMGPTTTNSAATPKMTTVTTNSSDSAVSTPPKVTSNSTENTHDSPVHQLTETSNASSNHPLGSNEINASAAMAASEHAAGLLALVQLTGLLLAAWAGRAGLGM
+>sp|Q9UL41|PNMA3_HUMAN Paraneoplastic antigen Ma3 OS=Homo sapiens OX=9606 GN=PNMA3 PE=2 SV=3
+MPLTLLQDWCRGEHLNTRRCMLILGIPEDCGEDEFEETLQEACRHLGRYRVIGRMFRREENAQAILLELAQDIDYALLPREIPGKGGPWEVIVKPRNSDGEFLNRLNRFLEEERRTVSDMNRVLGSDTNCSAPRVTISPEFWTWAQTLGAAVQPLLEQMLYRELRVFSGNTISIPGALAFDAWLEHTTEMLQMWQVPEGEKRRRLMECLRGPALQVVSGLRASNASITVEECLAALQQVFGPVESHKIAQVKLCKAYQEAGEKVSSFVLRLEPLLQRAVENNVVSRRNVNQTRLKRVLSGATLPDKLRDKLKLMKQRRKPPGFLALVKLLREEEEWEATLGPDRESLEGLEVAPRPPARITGVGAVPLPASGNSFDARPSQGYRRRRGRGQHRRGGVARAGSRGSRKRKRHTFCYSCGEDGHIRVQCINPSNLLLVKQKKQAAVESGNGNWAWDKSHPKSKAK
+>DECOY_sp|Q9UL41|PNMA3_HUMAN Paraneoplastic antigen Ma3 OS=Homo sapiens OX=9606 GN=PNMA3 PE=2 SV=3
+KAKSKPHSKDWAWNGNGSEVAAQKKQKVLLLNSPNICQVRIHGDEGCSYCFTHRKRKRSGRSGARAVGGRRHQGRGRRRRYGQSPRADFSNGSAPLPVAGVGTIRAPPRPAVELGELSERDPGLTAEWEEEERLLKVLALFGPPKRRQKMLKLKDRLKDPLTAGSLVRKLRTQNVNRRSVVNNEVARQLLPELRLVFSSVKEGAEQYAKCLKVQAIKHSEVPGFVQQLAALCEEVTISANSARLGSVVQLAPGRLCEMLRRRKEGEPVQWMQLMETTHELWADFALAGPISITNGSFVRLERYLMQELLPQVAAGLTQAWTWFEPSITVRPASCNTDSGLVRNMDSVTRREEELFRNLRNLFEGDSNRPKVIVEWPGGKGPIERPLLAYDIDQALELLIAQANEERRFMRGIVRYRGLHRCAEQLTEEFEDEGCDEPIGLILMCRRTNLHEGRCWDQLLTLPM
+>sp|Q9NRX1|PNO1_HUMAN RNA-binding protein PNO1 OS=Homo sapiens OX=9606 GN=PNO1 PE=1 SV=1
+MESEMETQSARAEEGFTQVTRKGGRRAKKRQAEQLSAAGEGGDAGRMDTEEARPAKRPVFPPLCGDGLLSGKEETRKIPVPANRYTPLKENWMKIFTPIVEHLGLQIRFNLKSRNVEIRTCKETKDVSALTKAADFVKAFILGFQVEDALALIRLDDLFLESFEITDVKPLKGDHLSRAIGRIAGKGGKTKFTIENVTRTRIVLADVKVHILGSFQNIKMARTAICNLILGNPPSKVYGNIRAVASRSADRF
+>DECOY_sp|Q9NRX1|PNO1_HUMAN RNA-binding protein PNO1 OS=Homo sapiens OX=9606 GN=PNO1 PE=1 SV=1
+FRDASRSAVARINGYVKSPPNGLILNCIATRAMKINQFSGLIHVKVDALVIRTRTVNEITFKTKGGKGAIRGIARSLHDGKLPKVDTIEFSELFLDDLRILALADEVQFGLIFAKVFDAAKTLASVDKTEKCTRIEVNRSKLNFRIQLGLHEVIPTFIKMWNEKLPTYRNAPVPIKRTEEKGSLLGDGCLPPFVPRKAPRAEETDMRGADGGEGAASLQEAQRKKARRGGKRTVQTFGEEARASQTEMESEM
+>sp|Q13519|PNOC_HUMAN Prepronociceptin OS=Homo sapiens OX=9606 GN=PNOC PE=1 SV=1
+MKVLLCDLLLLSLFSSVFSSCQRDCLTCQEKLHPALDSFDLEVCILECEEKVFPSPLWTPCTKVMARSSWQLSPAAPEHVAAALYQPRASEMQHLRRMPRVRSLFQEQEEPEPGMEEAGEMEQKQLQKRFGGFTGARKSARKLANQKRFSEFMRQYLVLSMQSSQRRRTLHQNGNV
+>DECOY_sp|Q13519|PNOC_HUMAN Prepronociceptin OS=Homo sapiens OX=9606 GN=PNOC PE=1 SV=1
+VNGNQHLTRRRQSSQMSLVLYQRMFESFRKQNALKRASKRAGTFGGFRKQLQKQEMEGAEEMGPEPEEQEQFLSRVRPMRRLHQMESARPQYLAAAVHEPAAPSLQWSSRAMVKTCPTWLPSPFVKEECELICVELDFSDLAPHLKEQCTLCDRQCSSFVSSFLSLLLLDCLLVKM
+>sp|Q9NPJ4|PNRC2_HUMAN Proline-rich nuclear receptor coactivator 2 OS=Homo sapiens OX=9606 GN=PNRC2 PE=1 SV=1
+MGGGERYNIPAPQSRNVSKNQQQLNRQKTKEQNSQMKIVHKKKERGHGYNSSAAAWQAMQNGGKNKNFPNNQSWNSSLSGPRLLFKSQANQNYAGAKFSEPPSPSVLPKPPSHWVPVSFNPSDKEIMTFQLKTLLKVQV
+>DECOY_sp|Q9NPJ4|PNRC2_HUMAN Proline-rich nuclear receptor coactivator 2 OS=Homo sapiens OX=9606 GN=PNRC2 PE=1 SV=1
+VQVKLLTKLQFTMIEKDSPNFSVPVWHSPPKPLVSPSPPESFKAGAYNQNAQSKFLLRPGSLSSNWSQNNPFNKNKGGNQMAQWAAASSNYGHGREKKKHVIKMQSNQEKTKQRNLQQQNKSVNRSQPAPINYREGGGM
+>sp|P49335|PO3F4_HUMAN POU domain, class 3, transcription factor 4 OS=Homo sapiens OX=9606 GN=POU3F4 PE=1 SV=2
+MATAASNPYSILSSTSLVHADSAGMQQGSPFRNPQKLLQSDYLQGVPSNGHPLGHHWVTSLSDGGPWSSTLATSPLDQQDVKPGREDLQLGAIIHHRSPHVAHHSPHTNHPNAWGASPAPNPSITSSGQPLNVYSQPGFTVSGMLEHGGLTPPPAAASAQSLHPVLREPPDHGELGSHHCQDHSDEETPTSDELEQFAKQFKQRRIKLGFTQADVGLALGTLYGNVFSQTTICRFEGLQLSFKNMCKLKPLLNKWLEEADSSTGSPTSIDKIAAQGRKRKKRTSIEVSVKGVLETHFLKCPKPAAQEISSLADSLQLEKEVVRVWFCNRRQKEKRMTPPGDQQPHEVYSHTVKTDTSCHDL
+>DECOY_sp|P49335|PO3F4_HUMAN POU domain, class 3, transcription factor 4 OS=Homo sapiens OX=9606 GN=POU3F4 PE=1 SV=2
+LDHCSTDTKVTHSYVEHPQQDGPPTMRKEKQRRNCFWVRVVEKELQLSDALSSIEQAAPKPCKLFHTELVGKVSVEISTRKKRKRGQAAIKDISTPSGTSSDAEELWKNLLPKLKCMNKFSLQLGEFRCITTQSFVNGYLTGLALGVDAQTFGLKIRRQKFQKAFQELEDSTPTEEDSHDQCHHSGLEGHDPPERLVPHLSQASAAAPPPTLGGHELMGSVTFGPQSYVNLPQGSSTISPNPAPSAGWANPHNTHPSHHAVHPSRHHIIAGLQLDERGPKVDQQDLPSTALTSSWPGGDSLSTVWHHGLPHGNSPVGQLYDSQLLKQPNRFPSGQQMGASDAHVLSTSSLISYPNSAATAM
+>sp|Q12837|PO4F2_HUMAN POU domain, class 4, transcription factor 2 OS=Homo sapiens OX=9606 GN=POU4F2 PE=1 SV=2
+MMMMSLNSKQAFSMPHGGSLHVEPKYSALHSTSPGSSAPIAPSASSPSSSSNAGGGGGGGGGGGGGGGRSSSSSSSGSSGGGGSEAMRRACLPTPPSNIFGGLDESLLARAEALAAVDIVSQSKSHHHHPPHHSPFKPDATYHTMNTIPCTSAASSSSVPISHPSALAGTHHHHHHHHHHHHQPHQALEGELLEHLSPGLALGAMAGPDGAVVSTPAHAPHMATMNPMHQAALSMAHAHGLPSHMGCMSDVDADPRDLEAFAERFKQRRIKLGVTQADVGSALANLKIPGVGSLSQSTICRFESLTLSHNNMIALKPILQAWLEEAEKSHREKLTKPELFNGAEKKRKRTSIAAPEKRSLEAYFAIQPRPSSEKIAAIAEKLDLKKNVVRVWFCNQRQKQKRMKYSAGI
+>DECOY_sp|Q12837|PO4F2_HUMAN POU domain, class 4, transcription factor 2 OS=Homo sapiens OX=9606 GN=POU4F2 PE=1 SV=2
+IGASYKMRKQKQRQNCFWVRVVNKKLDLKEAIAAIKESSPRPQIAFYAELSRKEPAAISTRKRKKEAGNFLEPKTLKERHSKEAEELWAQLIPKLAIMNNHSLTLSEFRCITSQSLSGVGPIKLNALASGVDAQTVGLKIRRQKFREAFAELDRPDADVDSMCGMHSPLGHAHAMSLAAQHMPNMTAMHPAHAPTSVVAGDPGAMAGLALGPSLHELLEGELAQHPQHHHHHHHHHHHHTGALASPHSIPVSSSSAASTCPITNMTHYTADPKFPSHHPPHHHHSKSQSVIDVAALAEARALLSEDLGGFINSPPTPLCARRMAESGGGGSSGSSSSSSSRGGGGGGGGGGGGGGGANSSSSPSSASPAIPASSGPSTSHLASYKPEVHLSGGHPMSFAQKSNLSMMMM
+>sp|Q8N7G0|PO5F2_HUMAN POU domain, class 5, transcription factor 2 OS=Homo sapiens OX=9606 GN=POU5F2 PE=2 SV=1
+MAGHRPSNHFCPLPGSGGGGPRGPMPLRVDTLTWLSTQAAPGRVMVWPAVRPGICPGPDVWRIPLGPLPHEFRGWIAPCRPRLGASEAGDWLRRPSEGALPGPYIALRSIPKLPPPEDISGILKELQQLAKELRQKRLSLGYSQADVGIAVGALFGKVLSQTTICRFEAQQLSVANMWKLRPLLKKWLKEVEAENLLGLCKMEMILQQSGKWRRASRERRIGNSLEKFFQRCPKPTPQQISHIAGCLQLQKDVVRVWFYNRSKMGSRPTNDASPREIVGTAGPPCPGAPVCFHLGLGLPVDIPHYTRLYSAGVAHSSAPATTLGLLRF
+>DECOY_sp|Q8N7G0|PO5F2_HUMAN POU domain, class 5, transcription factor 2 OS=Homo sapiens OX=9606 GN=POU5F2 PE=2 SV=1
+FRLLGLTTAPASSHAVGASYLRTYHPIDVPLGLGLHFCVPAGPCPPGATGVIERPSADNTPRSGMKSRNYFWVRVVDKQLQLCGAIHSIQQPTPKPCRQFFKELSNGIRRERSARRWKGSQQLIMEMKCLGLLNEAEVEKLWKKLLPRLKWMNAVSLQQAEFRCITTQSLVKGFLAGVAIGVDAQSYGLSLRKQRLEKALQQLEKLIGSIDEPPPLKPISRLAIYPGPLAGESPRRLWDGAESAGLRPRCPAIWGRFEHPLPGLPIRWVDPGPCIGPRVAPWVMVRGPAAQTSLWTLTDVRLPMPGRPGGGGSGPLPCFHNSPRHGAM
+>sp|Q14863|PO6F1_HUMAN POU domain, class 6, transcription factor 1 OS=Homo sapiens OX=9606 GN=POU6F1 PE=1 SV=1
+MPGISSQILTNAQGQVIGTLPWVVNSASVAAPAPAQSLQVQAVTPQLLLNAQGQVIATLASSPLPPPVAVRKPSTPESPAKSEVQPIQPTPTVPQPAVVIASPAPAAKPSASAPIPITCSETPTVSQLVSKPHTPSLDEDGINLEEIREFAKNFKIRRLSLGLTQTQVGQALTATEGPAYSQSAICRFEKLDITPKSAQKLKPVLEKWLNEAELRNQEGQQNLMEFVGGEPSKKRKRRTSFTPQAIEALNAYFEKNPLPTGQEITEIAKELNYDREVVRVWFCNRRQTLKNTSKLNVFQIP
+>DECOY_sp|Q14863|PO6F1_HUMAN POU domain, class 6, transcription factor 1 OS=Homo sapiens OX=9606 GN=POU6F1 PE=1 SV=1
+PIQFVNLKSTNKLTQRRNCFWVRVVERDYNLEKAIETIEQGTPLPNKEFYANLAEIAQPTFSTRRKRKKSPEGGVFEMLNQQGEQNRLEAENLWKELVPKLKQASKPTIDLKEFRCIASQSYAPGETATLAQGVQTQTLGLSLRRIKFNKAFERIEELNIGDEDLSPTHPKSVLQSVTPTESCTIPIPASASPKAAPAPSAIVVAPQPVTPTPQIPQVESKAPSEPTSPKRVAVPPPLPSSALTAIVQGQANLLLQPTVAQVQLSQAPAPAAVSASNVVWPLTGIVQGQANTLIQSSIGPM
+>sp|P63136|POK25_HUMAN Endogenous retrovirus group K member 25 Pol protein OS=Homo sapiens OX=9606 GN=ERVK-25 PE=3 SV=1
+NKSKKRRNRVSFLGAATVEPPKPIPLTWKTEKPVWVNQWPLPKQKLEALHLLANEQLEKGHIEPSFSPWNSPVFVIQKKSGKWRMLTDLRAVNAVIQPMGPLQPGLPSPAMIPKDWPLIIIDLKDCFFTIPLAEQDCEKFAFTIPAINNKEPATRFQWKVLPQGMLNSPTICQTFVGRALQPVREKFSDCYIIHYIDDILCAAETKDKLIDCYTFLQAEVANAGLAIASDKIQTSTPFHYLGMQIENRKIKPQKIEIRKDTLKALNDFQKLLGDINWIRPTLGIPTYAMSNLFSILRGDSDLNSKRMLTPEATKEIKLVEEKIQSAQINRIDPLAPLQLLIFATAHSPTGIIIQNTDLVEWSFLPHSTVKTFTLYLDQIATLIGQTRLRIIKLCGNDPDKIVVPLTKEQVRQAFINSGAWQIGLANFVGIIDNHYPKTKIFQFLKLTTWILPKITRREPLENALTVFTDGSSNGKAAYTGPKERVIKTPYQSAQRAELVAVITVLQDFDINIISDSAYVVQATRDVETALIKYSMDDQLNQLFNLLQQTVRKRNFPFYITHIRAHTNLPGPLTKANKQADLLVSSALIKAQELHALTHVNAAGLKNKFDVTWKLAKDIVQHCTQCQVLHLPTQEAGVNPRGLCPNALWQMDVTHVPSFGRLSYVHVTVDTYSHFIWATCHTGESTSHVKKHLLSCFAVMGVPEKIKTDNGPGYCSKAFQKFLSQWKISHTTGIPYNSQGQAIVERTNRTLKTQLVKQKEGGDSKECTTPQMQLNLALYTLNFLNIYRNQTTTSAEQHLTGKKNSPHEGKLIWWKDNKNKTWEIGKVITWGRGFACVSPGENQLPVWIPTRHLKFYNEPIRDAKKSTSAETETPQSSTVDSQDEQNGDVRRTDEVAIHQEGRAANLGTTKEADAVSYKISREHKGDTNPREYAACSLDDCINGGKSPYACRSSCS
+>DECOY_sp|P63136|POK25_HUMAN Endogenous retrovirus group K member 25 Pol protein OS=Homo sapiens OX=9606 GN=ERVK-25 PE=3 SV=1
+SCSSRCAYPSKGGNICDDLSCAAYERPNTDGKHERSIKYSVADAEKTTGLNAARGEQHIAVEDTRRVDGNQEDQSDVTSSQPTETEASTSKKADRIPENYFKLHRTPIWVPLQNEGPSVCAFGRGWTIVKGIEWTKNKNDKWWILKGEHPSNKKGTLHQEASTTTQNRYINLFNLTYLALNLQMQPTTCEKSDGGEKQKVLQTKLTRNTREVIAQGQSNYPIGTTHSIKWQSLFKQFAKSCYGPGNDTKIKEPVGMVAFCSLLHKKVHSTSEGTHCTAWIFHSYTDVTVHVYSLRGFSPVHTVDMQWLANPCLGRPNVGAEQTPLHLVQCQTCHQVIDKALKWTVDFKNKLGAANVHTLAHLEQAKILASSVLLDAQKNAKTLPGPLNTHARIHTIYFPFNRKRVTQQLLNFLQNLQDDMSYKILATEVDRTAQVVYASDSIINIDFDQLVTIVAVLEARQASQYPTKIVREKPGTYAAKGNSSGDTFVTLANELPERRTIKPLIWTTLKLFQFIKTKPYHNDIIGVFNALGIQWAGSNIFAQRVQEKTLPVVIKDPDNGCLKIIRLRTQGILTAIQDLYLTFTKVTSHPLFSWEVLDTNQIIIGTPSHATAFILLQLPALPDIRNIQASQIKEEVLKIEKTAEPTLMRKSNLDSDGRLISFLNSMAYTPIGLTPRIWNIDGLLKQFDNLAKLTDKRIEIKQPKIKRNEIQMGLYHFPTSTQIKDSAIALGANAVEAQLFTYCDILKDKTEAACLIDDIYHIIYCDSFKERVPQLARGVFTQCITPSNLMGQPLVKWQFRTAPEKNNIAPITFAFKECDQEALPITFFCDKLDIIILPWDKPIMAPSPLGPQLPGMPQIVANVARLDTLMRWKGSKKQIVFVPSNWPSFSPEIHGKELQENALLHLAELKQKPLPWQNVWVPKETKWTLPIPKPPEVTAAGLFSVRNRRKKSKN
+>sp|P63135|POK7_HUMAN Endogenous retrovirus group K member 7 Pol protein OS=Homo sapiens OX=9606 GN=ERVK-7 PE=3 SV=1
+NKSRKRRNRLSFLGAATVEPPKPIPLTWKTEKPVWVNQWPLPKQKLEALHLLANEQLEKGHIEPSFSPWNSPVFVIQKKSGKWRMLTDLRAVNAVIQPMGPLQPGLPSPAMIPKDWPLIIIDLKDCFFTIPLAEQDCEKFAFTIPAINNKEPATRFQWKVLPQGMLNSPTICQTFVGRALQPVREKFSDCYIIHYIDDILCAAETRDKLIDCYTFLQAEVANAGLAIASDKIQTSTPFHYLGMQIENRKIKQQKIEIRKDTLKTLNDFQKLLGDINWIRPTLGIPTYAMSNLFSILRGDSDLNSKRILTPEATKEIKLVEEKIQSAQINRIDPLAPLQLLIFATAHSPTGIIIQNTDLVEWSFLPHSTVKTFTLYLDQIATLIGQTRLRIIKLCGNDPDKIVVPLTKEQVRQAFINSGAWQIGLANFVGIIDNHYPKTKIFQFLKLTTWILPKITRREPLENALTVFTDGSSNGKAAYTGPKERVIKTPYQSAQRAELVAVITVLQDFDQPINIISDSAYVVQATRDVETALIKYSMDDQLNQLFNLLQQTVRKRNFPFYITHIRAHTNLPGPLTKANEQADLLVSSALIKAQELHALTHVNAAGLKNKFDVTWKQAKDIVQHCTQCQILHLPTQEAGVNPRGLCPNALWQMDVTHVPSFGRLSYVHVTVDTYSHFIWATCQTGESTSHVKKHLLSCFAVMGVPEKIKTDNGPGYCSKAFQKFLSQWKISHTTGIPYNSQGQAIVERTNRTLKTQLVKQKEGGDSKECTTPQMQLNLALYTLNFLNIYRNQTTTSAEQHLTGKKNSPHEGKLIWWKDNKNKTWEIGKVITWGRGFACVSPGENQLPVWIPTRHLKFYNEPIGDAKKRASTEMVTPVTWMDNPIEIYVNDSVWVPGPIDDRCPAKPEEEGMMINISIGYRYPPICLGRAPGCLMPAVQNWLVEVPTVSPISRFTYHMVSGMSLRPRVNYLQDFSYQRSLKFRPKGKPCPKEIPKESKNTEVLVWEECVANSAVILQNNEFGTIIDWAPRGQFYHNCSGQTQSCPSAQVSPAVDSDLTESLDKHKHKKLQSFYPWEWGEKGISTPRPKIVSPVSGPEHPELWRLTVASHHIRIWSGNQTLETRDCKPFYTIDLNSSLTVPLQSCVKPPYMLVVGNIVIKPDSQTITCENCRLLTCIDSTFNWQHRILLVRAREGVWIPVSMDRPWEASPSVHILTEVLKGVLNRSKRFIFTLIAVIMGLIAVTATAAVAGVALHSSVQSVNFVNDWQKNSTRLWNSQSSIDQKLANQINDLRQTVIWMGDRLMSLEHRFQLQCDWNTSDFCITPQIYNESEHHWDMVRRHLQGREDNLTLDISKLKEQIFEASKAHLNLVPGTEAIAGVADGLANLNPVTWVKTIGSTTIINLILILVCLFCLLLVCRCTQQLRRDSDHRERAMMTMAVLSKRKGGNVGKSKRDQIVTVSV
+>DECOY_sp|P63135|POK7_HUMAN Endogenous retrovirus group K member 7 Pol protein OS=Homo sapiens OX=9606 GN=ERVK-7 PE=3 SV=1
+VSVTVIQDRKSKGVNGGKRKSLVAMTMMARERHDSDRRLQQTCRCVLLLCFLCVLILILNIITTSGITKVWTVPNLNALGDAVGAIAETGPVLNLHAKSAEFIQEKLKSIDLTLNDERGQLHRRVMDWHHESENYIQPTICFDSTNWDCQLQFRHELSMLRDGMWIVTQRLDNIQNALKQDISSQSNWLRTSNKQWDNVFNVSQVSSHLAVGAVAATATVAILGMIVAILTFIFRKSRNLVGKLVETLIHVSPSAEWPRDMSVPIWVGERARVLLIRHQWNFTSDICTLLRCNECTITQSDPKIVINGVVLMYPPKVCSQLPVTLSSNLDITYFPKCDRTELTQNGSWIRIHHSAVTLRWLEPHEPGSVPSVIKPRPTSIGKEGWEWPYFSQLKKHKHKDLSETLDSDVAPSVQASPCSQTQGSCNHYFQGRPAWDIITGFENNQLIVASNAVCEEWVLVETNKSEKPIEKPCPKGKPRFKLSRQYSFDQLYNVRPRLSMGSVMHYTFRSIPSVTPVEVLWNQVAPMLCGPARGLCIPPYRYGISINIMMGEEEPKAPCRDDIPGPVWVSDNVYIEIPNDMWTVPTVMETSARKKADGIPENYFKLHRTPIWVPLQNEGPSVCAFGRGWTIVKGIEWTKNKNDKWWILKGEHPSNKKGTLHQEASTTTQNRYINLFNLTYLALNLQMQPTTCEKSDGGEKQKVLQTKLTRNTREVIAQGQSNYPIGTTHSIKWQSLFKQFAKSCYGPGNDTKIKEPVGMVAFCSLLHKKVHSTSEGTQCTAWIFHSYTDVTVHVYSLRGFSPVHTVDMQWLANPCLGRPNVGAEQTPLHLIQCQTCHQVIDKAQKWTVDFKNKLGAANVHTLAHLEQAKILASSVLLDAQENAKTLPGPLNTHARIHTIYFPFNRKRVTQQLLNFLQNLQDDMSYKILATEVDRTAQVVYASDSIINIPQDFDQLVTIVAVLEARQASQYPTKIVREKPGTYAAKGNSSGDTFVTLANELPERRTIKPLIWTTLKLFQFIKTKPYHNDIIGVFNALGIQWAGSNIFAQRVQEKTLPVVIKDPDNGCLKIIRLRTQGILTAIQDLYLTFTKVTSHPLFSWEVLDTNQIIIGTPSHATAFILLQLPALPDIRNIQASQIKEEVLKIEKTAEPTLIRKSNLDSDGRLISFLNSMAYTPIGLTPRIWNIDGLLKQFDNLTKLTDKRIEIKQQKIKRNEIQMGLYHFPTSTQIKDSAIALGANAVEAQLFTYCDILKDRTEAACLIDDIYHIIYCDSFKERVPQLARGVFTQCITPSNLMGQPLVKWQFRTAPEKNNIAPITFAFKECDQEALPITFFCDKLDIIILPWDKPIMAPSPLGPQLPGMPQIVANVARLDTLMRWKGSKKQIVFVPSNWPSFSPEIHGKELQENALLHLAELKQKPLPWQNVWVPKETKWTLPIPKPPEVTAAGLFSLRNRRKRSKN
+>sp|Q9Y6A1|POMT1_HUMAN Protein O-mannosyl-transferase 1 OS=Homo sapiens OX=9606 GN=POMT1 PE=1 SV=3
+MWGFLKRPVVVTADINLSLVALTGMGLLSRLWRLTYPRAVVFDEVYYGQYISFYMKQIFFLDDSGPPFGHMVLALGGYLGGFDGNFLWNRIGAEYSSNVPVWSLRLLPALAGALSVPMAYQIVLELHFSHCAAMGAALLMLIENALITQSRLMLLESVLIFFNLLAVLSYLKFFNCQKHSPFSLSWWFWLTLTGVACSCAVGIKYMGVFTYVLVLGVAAVHAWHLLGDQTLSNVGADVQCCMRPACMGQMQMSQGVCVFCHLLARAVALLVIPVVLYLLFFYVHLILVFRSGPHDQIMSSAFQASLEGGLARITQGQPLEVAFGSQVTLRNVFGKPVPCWLHSHQDTYPMIYENGRGSSHQQQVTCYPFKDVNNWWIVKDPRRHQLVVSSPPRPVRHGDMVQLVHGMTTRSLNTHDVAAPLSPHSQEVSCYIDYNISMPAQNLWRLEIVNRGSDTDVWKTILSEVRFVHVNTSAVLKLSGAHLPDWGYRQLEIVGEKLSRGYHGSTVWNVEEHRYGASQEQRERERELHSPAQVDVSRNLSFMARFSELQWRMLALRSDDSEHKYSSSPLEWVTLDTNIAYWLHPRTSAQIHLLGNIVIWVSGSLALAIYALLSLWYLLRRRRNVHDLPQDAWLRWVLAGALCAGGWAVNYLPFFLMEKTLFLYHYLPALTFQILLLPVVLQHISDHLCRSQLQRSIFSALVVAWYSSACHVSNTLRPLTYGDKSLSPHELKALRWKDSWDILIRKH
+>DECOY_sp|Q9Y6A1|POMT1_HUMAN Protein O-mannosyl-transferase 1 OS=Homo sapiens OX=9606 GN=POMT1 PE=1 SV=3
+HKRILIDWSDKWRLAKLEHPSLSKDGYTLPRLTNSVHCASSYWAVVLASFISRQLQSRCLHDSIHQLVVPLLLIQFTLAPLYHYLFLTKEMLFFPLYNVAWGGACLAGALVWRLWADQPLDHVNRRRRLLYWLSLLAYIALALSGSVWIVINGLLHIQASTRPHLWYAINTDLTVWELPSSSYKHESDDSRLALMRWQLESFRAMFSLNRSVDVQAPSHLERERERQEQSAGYRHEEVNWVTSGHYGRSLKEGVIELQRYGWDPLHAGSLKLVASTNVHVFRVESLITKWVDTDSGRNVIELRWLNQAPMSINYDIYCSVEQSHPSLPAAVDHTNLSRTTMGHVLQVMDGHRVPRPPSSVVLQHRRPDKVIWWNNVDKFPYCTVQQQHSSGRGNEYIMPYTDQHSHLWCPVPKGFVNRLTVQSGFAVELPQGQTIRALGGELSAQFASSMIQDHPGSRFVLILHVYFFLLYLVVPIVLLAVARALLHCFVCVGQSMQMQGMCAPRMCCQVDAGVNSLTQDGLLHWAHVAAVGLVLVYTFVGMYKIGVACSCAVGTLTLWFWWSLSFPSHKQCNFFKLYSLVALLNFFILVSELLMLRSQTILANEILMLLAAGMAACHSFHLELVIQYAMPVSLAGALAPLLRLSWVPVNSSYEAGIRNWLFNGDFGGLYGGLALVMHGFPPGSDDLFFIQKMYFSIYQGYYVEDFVVARPYTLRWLRSLLGMGTLAVLSLNIDATVVVPRKLFGWM
+>sp|Q99575|POP1_HUMAN Ribonucleases P/MRP protein subunit POP1 OS=Homo sapiens OX=9606 GN=POP1 PE=1 SV=2
+MSNAKERKHAKKMRNQPTNVTLSSGFVADRGVKHHSGGEKPFQAQKQEPHPGTSRQRQTRVNPHSLPDPEVNEQSSSKGMFRKKGGWKAGPEGTSQEIPKYITASTFAQARAAEISAMLKAVTQKSSNSLVFQTLPRHMRRRAMSHNVKRLPRRLQEIAQKEAEKAVHQKKEHSKNKCHKARRCHMNRTLEFNRRQKKNIWLETHIWHAKRFHMVKKWGYCLGERPTVKSHRACYRAMTNRCLLQDLSYYCCLELKGKEEEILKALSGMCNIDTGLTFAAVHCLSGKRQGSLVLYRVNKYPREMLGPVTFIWKSQRTPGDPSESRQLWIWLHPTLKQDILEEIKAACQCVEPIKSAVCIADPLPTPSQEKSQTELPDEKIGKKRKRKDDGENAKPIKKIIGDGTRDPCLPYSWISPTTGIIISDLTMEMNRFRLIGPLSHSILTEAIKAASVHTVGEDTEETPHRWWIETCKKPDSVSLHCRQEAIFELLGGITSPAEIPAGTILGLTVGDPRINLPQKKSKALPNPEKCQDNEKVRQLLLEGVPVECTHSFIWNQDICKSVTENKISDQDLNRMRSELLVPGSQLILGPHESKIPILLIQQPGKVTGEDRLGWGSGWDVLLPKGWGMAFWIPFIYRGVRVGGLKESAVHSQYKRSPNVPGDFPDCPAGMLFAEEQAKNLLEKYKRRPPAKRPNYVKLGTLAPFCCPWEQLTQDWESRVQAYEEPSVASSPNGKESDLRRSEVPCAPMPKKTHQPSDEVGTSIEHPREAEEVMDAGCQESAGPERITDQEASENHVAATGSHLCVLRSRKLLKQLSAWCGPSSEDSRGGRRAPGRGQQGLTREACLSILGHFPRALVWVSLSLLSKGSPEPHTMICVPAKEDFLQLHEDWHYCGPQESKHSDPFRSKILKQKEKKKREKRQKPGRASSDGPAGEEPVAGQEALTLGLWSGPLPRVTLHCSRTLLGFVTQGDFSMAVGCGEALGFVSLTGLLDMLSSQPAAQRGLVLLRPPASLQYRFARIAIEV
+>DECOY_sp|Q99575|POP1_HUMAN Ribonucleases P/MRP protein subunit POP1 OS=Homo sapiens OX=9606 GN=POP1 PE=1 SV=2
+VEIAIRAFRYQLSAPPRLLVLGRQAAPQSSLMDLLGTLSVFGLAEGCGVAMSFDGQTVFGLLTRSCHLTVRPLPGSWLGLTLAEQGAVPEEGAPGDSSARGPKQRKERKKKEKQKLIKSRFPDSHKSEQPGCYHWDEHLQLFDEKAPVCIMTHPEPSGKSLLSLSVWVLARPFHGLISLCAERTLGQQGRGPARRGGRSDESSPGCWASLQKLLKRSRLVCLHSGTAAVHNESAEQDTIREPGASEQCGADMVEEAERPHEISTGVEDSPQHTKKPMPACPVESRRLDSEKGNPSSAVSPEEYAQVRSEWDQTLQEWPCCFPALTGLKVYNPRKAPPRRKYKELLNKAQEEAFLMGAPCDPFDGPVNPSRKYQSHVASEKLGGVRVGRYIFPIWFAMGWGKPLLVDWGSGWGLRDEGTVKGPQQILLIPIKSEHPGLILQSGPVLLESRMRNLDQDSIKNETVSKCIDQNWIFSHTCEVPVGELLLQRVKENDQCKEPNPLAKSKKQPLNIRPDGVTLGLITGAPIEAPSTIGGLLEFIAEQRCHLSVSDPKKCTEIWWRHPTEETDEGVTHVSAAKIAETLISHSLPGILRFRNMEMTLDSIIIGTTPSIWSYPLCPDRTGDGIIKKIPKANEGDDKRKRKKGIKEDPLETQSKEQSPTPLPDAICVASKIPEVCQCAAKIEELIDQKLTPHLWIWLQRSESPDGPTRQSKWIFTVPGLMERPYKNVRYLVLSGQRKGSLCHVAAFTLGTDINCMGSLAKLIEEEKGKLELCCYYSLDQLLCRNTMARYCARHSKVTPREGLCYGWKKVMHFRKAHWIHTELWINKKQRRNFELTRNMHCRRAKHCKNKSHEKKQHVAKEAEKQAIEQLRRPLRKVNHSMARRRMHRPLTQFVLSNSSKQTVAKLMASIEAARAQAFTSATIYKPIEQSTGEPGAKWGGKKRFMGKSSSQENVEPDPLSHPNVRTQRQRSTGPHPEQKQAQFPKEGGSHHKVGRDAVFGSSLTVNTPQNRMKKAHKREKANSM
+>sp|H3BUK9|POTB2_HUMAN POTE ankyrin domain family member B2 OS=Homo sapiens OX=9606 GN=POTEB2 PE=3 SV=1
+MVAEVCSMPAASAVKKPFDLRSKMGKWCHHRFPCCRGSGTSNVGTSGDHDDSFMKTLRSKMGKWCCHCFPCCRGSGKSNVGTWGDYDDSAFMEPRYHVRREDLDKLHRAAWWGKVPRKDLIVMLRDTDMNKRDKQKRTALHLASANGNSEVVQLLLDRRCQLNVLDNKKRTALIKAVQCQEDECVLMLLEHGADGNIQDEYGNTALHYAIYNEDKLMAKALLLYGADIESKNKCGLTPLLLGVHEQKQEVVKFLIKKKANLNALDRYGRTALILAVCCGSASIVNLLLEQNVDVSSQDLSGQTAREYAVSSHHHVICELLSDYKEKQMLKISSENSNPEQDLKLTSEEESQRLKVSENSQPEKMSQEPEINKDCDREVEEEIKKHGSNPVGLPENLTNGASAGNGDDGLIPQRKSRKPENQQFPDTENEEYHSDEQNDTQKQLSEEQNTGISQDEILTNKQKQIEVAEKEMNSELSLSHKKEEDLLRENSMLREEIAKLRLELDETKHQNQLRENKILEEIESVKEKLLKTIQLNEEALTKTSI
+>DECOY_sp|H3BUK9|POTB2_HUMAN POTE ankyrin domain family member B2 OS=Homo sapiens OX=9606 GN=POTEB2 PE=3 SV=1
+ISTKTLAEENLQITKLLKEKVSEIEELIKNERLQNQHKTEDLELRLKAIEERLMSNERLLDEEKKHSLSLESNMEKEAVEIQKQKNTLIEDQSIGTNQEESLQKQTDNQEDSHYEENETDPFQQNEPKRSKRQPILGDDGNGASAGNTLNEPLGVPNSGHKKIEEEVERDCDKNIEPEQSMKEPQSNESVKLRQSEEESTLKLDQEPNSNESSIKLMQKEKYDSLLECIVHHHSSVAYERATQGSLDQSSVDVNQELLLNVISASGCCVALILATRGYRDLANLNAKKKILFKVVEQKQEHVGLLLPTLGCKNKSEIDAGYLLLAKAMLKDENYIAYHLATNGYEDQINGDAGHELLMLVCEDEQCQVAKILATRKKNDLVNLQCRRDLLLQVVESNGNASALHLATRKQKDRKNMDTDRLMVILDKRPVKGWWAARHLKDLDERRVHYRPEMFASDDYDGWTGVNSKGSGRCCPFCHCCWKGMKSRLTKMFSDDHDGSTGVNSTGSGRCCPFRHHCWKGMKSRLDFPKKVASAAPMSCVEAVM
+>sp|Q86YR6|POTED_HUMAN POTE ankyrin domain family member D OS=Homo sapiens OX=9606 GN=POTED PE=2 SV=2
+MVAEVCSMPTASTVKKPFDLRSKMGKWCHHRFPCCRGSGKSNMGTSGDHDDSFMKMLRSKMGKCCRHCFPCCRGSGTSNVGTSGDHENSFMKMLRSKMGKWCCHCFPCCRGSGKSNVGAWGDYDHSAFMEPRYHIRREDLDKLHRAAWWGKVPRKDLIVMLRDTDMNKRDKEKRTALHLASANGNSEVVQLLLDRRCQLNVLDNKKRTALIKAIQCQEDECVLMLLEHGADRNIPDEYGNTALHYAIYNEDKLMAKALLLYGADIESKNKCGLTPLLLGVHEQKQQVVKFLIKKKANLNVLDRYGRTALILAVCCGSASIVNLLLEQNVDVSSQDLSGQTAREYAVSSHHHVICELLSDYKEKQMLKISSENSNPEQDLKLTSEEESQRLKVSENSQPEKMSQEPEINKDCDREVEEEIKKHGSNPVGLPENLTNGASAGNGDDGLIPQRRSRKPENQQFPDTENEEYHSDEQNDTRKQLSEEQNTGISQDEILTNKQKQIEVAEQKMNSELSLSHKKEEDLLRENSVLQEEIAMLRLELDETKHQNQLRENKILEEIESVKEKTDKLLRAMQLNEEALTKTNI
+>DECOY_sp|Q86YR6|POTED_HUMAN POTE ankyrin domain family member D OS=Homo sapiens OX=9606 GN=POTED PE=2 SV=2
+INTKTLAEENLQMARLLKDTKEKVSEIEELIKNERLQNQHKTEDLELRLMAIEEQLVSNERLLDEEKKHSLSLESNMKQEAVEIQKQKNTLIEDQSIGTNQEESLQKRTDNQEDSHYEENETDPFQQNEPKRSRRQPILGDDGNGASAGNTLNEPLGVPNSGHKKIEEEVERDCDKNIEPEQSMKEPQSNESVKLRQSEEESTLKLDQEPNSNESSIKLMQKEKYDSLLECIVHHHSSVAYERATQGSLDQSSVDVNQELLLNVISASGCCVALILATRGYRDLVNLNAKKKILFKVVQQKQEHVGLLLPTLGCKNKSEIDAGYLLLAKAMLKDENYIAYHLATNGYEDPINRDAGHELLMLVCEDEQCQIAKILATRKKNDLVNLQCRRDLLLQVVESNGNASALHLATRKEKDRKNMDTDRLMVILDKRPVKGWWAARHLKDLDERRIHYRPEMFASHDYDGWAGVNSKGSGRCCPFCHCCWKGMKSRLMKMFSNEHDGSTGVNSTGSGRCCPFCHRCCKGMKSRLMKMFSDDHDGSTGMNSKGSGRCCPFRHHCWKGMKSRLDFPKKVTSATPMSCVEAVM
+>sp|A6NI47|POTEM_HUMAN Putative POTE ankyrin domain family member M OS=Homo sapiens OX=9606 GN=POTEM PE=3 SV=2
+MVAEAGSMPAASSVKKPFGLRSKMGKWCRHCFPWCRGSGKSNVGTSGDHDDSAMKTLRSKMGKWCRHCFPWCRGSGKSNVGTSGDHDDSAMKTLRSKMGKWCCHCFPCCRGSGKSKVGPWGDYDDSAFMEPRYHVRREDLDKLHRAAWWGKVPRKDLIVMLKDTDMNKKDKQKRTALHLASANGNSEVVKLLLDRRCQLNILDNKKRTALTKAVQCQEDECALMLLEHGTDPNIPDEYGNTALHYAIYNEDKLMAKALLLYGADIESKNKHGLTPLLLGVHEQKQQVVKFLIKKKANLNALDRYGRTVLILAVCCGSASIVSLLLEQNIDVSSQDLSGQTAREYAVSSRHNVICQLLSDYKEKQILKVSSENSNPEQDLKLTSEEESQRLKGSENSQPEEMSQEPEINKGGDRKVEEEMKKHGSTHMGFPENLPNGATADNGDDGLIPPRKSRTPESQQFPDTENEQYHSDEQNDTQKQLSEEQNTGILQDEILIHEEKQIEVAENEF
+>DECOY_sp|A6NI47|POTEM_HUMAN Putative POTE ankyrin domain family member M OS=Homo sapiens OX=9606 GN=POTEM PE=3 SV=2
+FENEAVEIQKEEHILIEDQLIGTNQEESLQKQTDNQEDSHYQENETDPFQQSEPTRSKRPPILGDDGNDATAGNPLNEPFGMHTSGHKKMEEEVKRDGGKNIEPEQSMEEPQSNESGKLRQSEEESTLKLDQEPNSNESSVKLIQKEKYDSLLQCIVNHRSSVAYERATQGSLDQSSVDINQELLLSVISASGCCVALILVTRGYRDLANLNAKKKILFKVVQQKQEHVGLLLPTLGHKNKSEIDAGYLLLAKAMLKDENYIAYHLATNGYEDPINPDTGHELLMLACEDEQCQVAKTLATRKKNDLINLQCRRDLLLKVVESNGNASALHLATRKQKDKKNMDTDKLMVILDKRPVKGWWAARHLKDLDERRVHYRPEMFASDDYDGWPGVKSKGSGRCCPFCHCCWKGMKSRLTKMASDDHDGSTGVNSKGSGRCWPFCHRCWKGMKSRLTKMASDDHDGSTGVNSKGSGRCWPFCHRCWKGMKSRLGFPKKVSSAAPMSGAEAVM
+>sp|Q9P1A2|PP4RL_HUMAN Putative serine/threonine-protein phosphatase 4 regulatory subunit 1-like OS=Homo sapiens OX=9606 GN=PPP4R1L PE=5 SV=3
+MAEIPLYFVDLQDDLDDYGFEDYGTDCDNMRVTAFLDIPGQDNLPPLTRLEKYAFSENTFNRQIIARGLLDIFRDFGNNEEDFLTVMEIVVRLSEDAEPTVRTELMEQIPPIAIFLQENRSNFPVVLSEYLIPIVVRYLTDPNNQIICKMASMLSKSTVERLLLPRFCELCGDRKLFQVRKVCAANFGDICHAVGQEATEKFLIPKFFELCSDAVWGMRKACAECFTAVSHSSSPGVRRTQLFPLFIRLVSDPCRWVHQAAFQSLGPFTSTFANPSRAGLYLREDGALSIWPLTQDLDSGFASGSPAPSSGGNTSPASLTRSAKPVRSEPELPVEGTSAKTSDCPHSSSSSDGPAESPVESCVSAGAEWTRVSPETSACSKLSDMNDLPISSYPGSDSWACPGNTEDVFSHFLYW
+>DECOY_sp|Q9P1A2|PP4RL_HUMAN Putative serine/threonine-protein phosphatase 4 regulatory subunit 1-like OS=Homo sapiens OX=9606 GN=PPP4R1L PE=5 SV=3
+WYLFHSFVDETNGPCAWSDSGPYSSIPLDNMDSLKSCASTEPSVRTWEAGASVCSEVPSEAPGDSSSSSHPCDSTKASTGEVPLEPESRVPKASRTLSAPSTNGGSSPAPSGSAFGSDLDQTLPWISLAGDERLYLGARSPNAFTSTFPGLSQFAAQHVWRCPDSVLRIFLPFLQTRRVGPSSSHSVATFCEACAKRMGWVADSCLEFFKPILFKETAEQGVAHCIDGFNAACVKRVQFLKRDGCLECFRPLLLREVTSKSLMSAMKCIIQNNPDTLYRVVIPILYESLVVPFNSRNEQLFIAIPPIQEMLETRVTPEADESLRVVIEMVTLFDEENNGFDRFIDLLGRAIIQRNFTNESFAYKELRTLPPLNDQGPIDLFATVRMNDCDTGYDEFGYDDLDDQLDVFYLPIEAM
+>sp|P24666|PPAC_HUMAN Low molecular weight phosphotyrosine protein phosphatase OS=Homo sapiens OX=9606 GN=ACP1 PE=1 SV=3
+MAEQATKSVLFVCLGNICRSPIAEAVFRKLVTDQNISENWRVDSAATSGYEIGNPPDYRGQSCMKRHGIPMSHVARQITKEDFATFDYILCMDESNLRDLNRKSNQVKTCKAKIELLGSYDPQKQLIIEDPYYGNDSDFETVYQQCVRCCRAFLEKAH
+>DECOY_sp|P24666|PPAC_HUMAN Low molecular weight phosphotyrosine protein phosphatase OS=Homo sapiens OX=9606 GN=ACP1 PE=1 SV=3
+HAKELFARCCRVCQQYVTEFDSDNGYYPDEIILQKQPDYSGLLEIKAKCTKVQNSKRNLDRLNSEDMCLIYDFTAFDEKTIQRAVHSMPIGHRKMCSQGRYDPPNGIEYGSTAASDVRWNESINQDTVLKRFVAEAIPSRCINGLCVFLVSKTAQEAM
+>sp|Q03181|PPARD_HUMAN Peroxisome proliferator-activated receptor delta OS=Homo sapiens OX=9606 GN=PPARD PE=1 SV=1
+MEQPQEEAPEVREEEEKEEVAEAEGAPELNGGPQHALPSSSYTDLSRSSSPPSLLDQLQMGCDGASCGSLNMECRVCGDKASGFHYGVHACEGCKGFFRRTIRMKLEYEKCERSCKIQKKNRNKCQYCRFQKCLALGMSHNAIRFGRMPEAEKRKLVAGLTANEGSQYNPQVADLKAFSKHIYNAYLKNFNMTKKKARSILTGKASHTAPFVIHDIETLWQAEKGLVWKQLVNGLPPYKEISVHVFYRCQCTTVETVRELTEFAKSIPSFSSLFLNDQVTLLKYGVHEAIFAMLASIVNKDGLLVANGSGFVTREFLRSLRKPFSDIIEPKFEFAVKFNALELDDSDLALFIAAIILCGDRPGLMNVPRVEAIQDTILRALEFHLQANHPDAQYLFPKLLQKMADLRQLVTEHAQMMQRIKKTETETSLHPLLQEIYKDMY
+>DECOY_sp|Q03181|PPARD_HUMAN Peroxisome proliferator-activated receptor delta OS=Homo sapiens OX=9606 GN=PPARD PE=1 SV=1
+YMDKYIEQLLPHLSTETETKKIRQMMQAHETVLQRLDAMKQLLKPFLYQADPHNAQLHFELARLITDQIAEVRPVNMLGPRDGCLIIAAIFLALDSDDLELANFKVAFEFKPEIIDSFPKRLSRLFERTVFGSGNAVLLGDKNVISALMAFIAEHVGYKLLTVQDNLFLSSFSPISKAFETLERVTEVTTCQCRYFVHVSIEKYPPLGNVLQKWVLGKEAQWLTEIDHIVFPATHSAKGTLISRAKKKTMNFNKLYANYIHKSFAKLDAVQPNYQSGENATLGAVLKRKEAEPMRGFRIANHSMGLALCKQFRCYQCKNRNKKQIKCSRECKEYELKMRITRRFFGKCGECAHVGYHFGSAKDGCVRCEMNLSGCSAGDCGMQLQDLLSPPSSSRSLDTYSSSPLAHQPGGNLEPAGEAEAVEEKEEEERVEPAEEQPQEM
+>sp|Q9BZG2|PPAT_HUMAN Testicular acid phosphatase OS=Homo sapiens OX=9606 GN=ACP4 PE=1 SV=1
+MAGLGFWGHPAGPLLLLLLLVLPPRALPEGPLVFVALVFRHGDRAPLASYPMDPHKEVASTLWPRGLGQLTTEGVRQQLELGRFLRSRYEAFLSPEYRREEVYIRSTDFDRTLESAQANLAGLFPEAAPGSPEARWRPIPVHTVPVAEDKLLRFPMRSCPRYHELLREATEAAEYQEALEGWTGFLSRLENFTGLSLVGEPLRRAWKVLDTLMCQQAHGLPLPAWASPDVLRTLAQISALDIGAHVGPPRAAEKAQLTGGILLNAILANFSRVQRLGLPLKMVMYSAHDSTLLALQGALGLYDGHTPPYAACLGFEFRKHLGNPAKDGGNVTVSLFYRNDSAHLPLPLSLPGCPAPCPLGRFYQLTAPARPPAHGVSCHGPYEAAIPPAPVVPLLAGAVAVLVALSLGLGLLAWRPGCLRALGGPV
+>DECOY_sp|Q9BZG2|PPAT_HUMAN Testicular acid phosphatase OS=Homo sapiens OX=9606 GN=ACP4 PE=1 SV=1
+VPGGLARLCGPRWALLGLGLSLAVLVAVAGALLPVVPAPPIAAEYPGHCSVGHAPPRAPATLQYFRGLPCPAPCGPLSLPLPLHASDNRYFLSVTVNGGDKAPNGLHKRFEFGLCAAYPPTHGDYLGLAGQLALLTSDHASYMVMKLPLGLRQVRSFNALIANLLIGGTLQAKEAARPPGVHAGIDLASIQALTRLVDPSAWAPLPLGHAQQCMLTDLVKWARRLPEGVLSLGTFNELRSLFGTWGELAEQYEAAETAERLLEHYRPCSRMPFRLLKDEAVPVTHVPIPRWRAEPSGPAAEPFLGALNAQASELTRDFDTSRIYVEERRYEPSLFAEYRSRLFRGLELQQRVGETTLQGLGRPWLTSAVEKHPDMPYSALPARDGHRFVLAVFVLPGEPLARPPLVLLLLLLLPGAPHGWFGLGAM
+>sp|P05187|PPB1_HUMAN Alkaline phosphatase, placental type OS=Homo sapiens OX=9606 GN=ALPP PE=1 SV=2
+MLGPCMLLLLLLLGLRLQLSLGIIPVEEENPDFWNREAAEALGAAKKLQPAQTAAKNLIIFLGDGMGVSTVTAARILKGQKKDKLGPEIPLAMDRFPYVALSKTYNVDKHVPDSGATATAYLCGVKGNFQTIGLSAAARFNQCNTTRGNEVISVMNRAKKAGKSVGVVTTTRVQHASPAGTYAHTVNRNWYSDADVPASARQEGCQDIATQLISNMDIDVILGGGRKYMFRMGTPDPEYPDDYSQGGTRLDGKNLVQEWLAKRQGARYVWNRTELMQASLDPSVTHLMGLFEPGDMKYEIHRDSTLDPSLMEMTEAALRLLSRNPRGFFLFVEGGRIDHGHHESRAYRALTETIMFDDAIERAGQLTSEEDTLSLVTADHSHVFSFGGYPLRGSSIFGLAPGKARDRKAYTVLLYGNGPGYVLKDGARPDVTESESGSPEYRQQSAVPLDEETHAGEDVAVFARGPQAHLVHGVQEQTFIAHVMAFAACLEPYTACDLAPPAGTTDAAHPGRSVVPALLPLLAGTLLLLETATAP
+>DECOY_sp|P05187|PPB1_HUMAN Alkaline phosphatase, placental type OS=Homo sapiens OX=9606 GN=ALPP PE=1 SV=2
+PATATELLLLTGALLPLLAPVVSRGPHAADTTGAPPALDCATYPELCAAFAMVHAIFTQEQVGHVLHAQPGRAFVAVDEGAHTEEDLPVASQQRYEPSGSESETVDPRAGDKLVYGPGNGYLLVTYAKRDRAKGPALGFISSGRLPYGGFSFVHSHDATVLSLTDEESTLQGAREIADDFMITETLARYARSEHHGHDIRGGEVFLFFGRPNRSLLRLAAETMEMLSPDLTSDRHIEYKMDGPEFLGMLHTVSPDLSAQMLETRNWVYRAGQRKALWEQVLNKGDLRTGGQSYDDPYEPDPTGMRFMYKRGGGLIVDIDMNSILQTAIDQCGEQRASAPVDADSYWNRNVTHAYTGAPSAHQVRTTTVVGVSKGAKKARNMVSIVENGRTTNCQNFRAAASLGITQFNGKVGCLYATATAGSDPVHKDVNYTKSLAVYPFRDMALPIEPGLKDKKQGKLIRAATVTSVGMGDGLFIILNKAATQAPQLKKAAGLAEAAERNWFDPNEEEVPIIGLSLQLRLGLLLLLLLMCPGLM
+>sp|O14829|PPE1_HUMAN Serine/threonine-protein phosphatase with EF-hands 1 OS=Homo sapiens OX=9606 GN=PPEF1 PE=1 SV=1
+MGCSSSSTKTRRSDTSLRAALIIQNWYRGYKARLKARQHYALTIFQSIEYADEQGQMQLSTFFSFMLENYTHIHKEELELRNQSLESEQDMRDRWDYVDSIDVPDSYNGPRLQFPLTCTDIDLLLEAFKEQQILHAHYVLEVLFETKKVLKQMPNFTHIQTSPSKEVTICGDLHGKLDDLFLIFYKNGLPSERNPYVFNGDFVDRGKNSIEILMILCVSFLVYPNDLHLNRGNHEDFMMNLRYGFTKEILHKYKLHGKRILQILEEFYAWLPIGTIVDNEILVIHGGISETTDLNLLHRVERNKMKSVLIPPTETNRDHDTDSKHNKVGVTFNAHGRIKTNGSPTEHLTEHEWEQIIDILWSDPRGKNGCFPNTCRGGGCYFGPDVTSKILNKYQLKMLIRSHECKPEGYEICHDGKVVTIFSASNYYEEGSNRGAYIKLCSGTTPRFFQYQVTKATCFQPLRQRVDTMENSAIKILRERVISRKSDLTRAFQLQDHRKSGKLSVSQWAFCMENILGLNLPWRSLSSNLVNIDQNGNVEYMSSFQNIRIEKPVQEAHSTLVETLYRYRSDLEIIFNAIDTDHSGLISVEEFRAMWKLFSSHYNVHIDDSQVNKLANIMDLNKDGSIDFNEFLKAFYVVHRYEDLMKPDVTNLG
+>DECOY_sp|O14829|PPE1_HUMAN Serine/threonine-protein phosphatase with EF-hands 1 OS=Homo sapiens OX=9606 GN=PPEF1 PE=1 SV=1
+GLNTVDPKMLDEYRHVVYFAKLFENFDISGDKNLDMINALKNVQSDDIHVNYHSSFLKWMARFEEVSILGSHDTDIANFIIELDSRYRYLTEVLTSHAEQVPKEIRINQFSSMYEVNGNQDINVLNSSLSRWPLNLGLINEMCFAWQSVSLKGSKRHDQLQFARTLDSKRSIVRERLIKIASNEMTDVRQRLPQFCTAKTVQYQFFRPTTGSCLKIYAGRNSGEEYYNSASFITVVKGDHCIEYGEPKCEHSRILMKLQYKNLIKSTVDPGFYCGGGRCTNPFCGNKGRPDSWLIDIIQEWEHETLHETPSGNTKIRGHANFTVGVKNHKSDTDHDRNTETPPILVSKMKNREVRHLLNLDTTESIGGHIVLIENDVITGIPLWAYFEELIQLIRKGHLKYKHLIEKTFGYRLNMMFDEHNGRNLHLDNPYVLFSVCLIMLIEISNKGRDVFDGNFVYPNRESPLGNKYFILFLDDLKGHLDGCITVEKSPSTQIHTFNPMQKLVKKTEFLVELVYHAHLIQQEKFAELLLDIDTCTLPFQLRPGNYSDPVDISDVYDWRDRMDQESELSQNRLELEEKHIHTYNELMFSFFTSLQMQGQEDAYEISQFITLAYHQRAKLRAKYGRYWNQIILAARLSTDSRRTKTSSSSCGM
+>sp|P45877|PPIC_HUMAN Peptidyl-prolyl cis-trans isomerase C OS=Homo sapiens OX=9606 GN=PPIC PE=1 SV=1
+MGPGPRLLLPLVLCVGLGALVFSSGAEGFRKRGPSVTAKVFFDVRIGDKDVGRIVIGLFGKVVPKTVENFVALATGEKGYGYKGSKFHRVIKDFMIQGGDITTGDGTGGVSIYGETFPDENFKLKHYGIGWVSMANAGPDTNGSQFFITLTKPTWLDGKHVVFGKVIDGMTVVHSIELQATDGHDRPLTNCSIINSGKIDVKTPFVVEIADW
+>DECOY_sp|P45877|PPIC_HUMAN Peptidyl-prolyl cis-trans isomerase C OS=Homo sapiens OX=9606 GN=PPIC PE=1 SV=1
+WDAIEVVFPTKVDIKGSNIISCNTLPRDHGDTAQLEISHVVTMGDIVKGFVVHKGDLWTPKTLTIFFQSGNTDPGANAMSVWGIGYHKLKFNEDPFTEGYISVGGTGDGTTIDGGQIMFDKIVRHFKSGKYGYGKEGTALAVFNEVTKPVVKGFLGIVIRGVDKDGIRVDFFVKATVSPGRKRFGEAGSSFVLAGLGVCLVLPLLLRPGPGM
+>sp|Q08752|PPID_HUMAN Peptidyl-prolyl cis-trans isomerase D OS=Homo sapiens OX=9606 GN=PPID PE=1 SV=3
+MSHPSPQAKPSNPSNPRVFFDVDIGGERVGRIVLELFADIVPKTAENFRALCTGEKGIGHTTGKPLHFKGCPFHRIIKKFMIQGGDFSNQNGTGGESIYGEKFEDENFHYKHDREGLLSMANAGRNTNGSQFFITTVPTPHLDGKHVVFGQVIKGIGVARILENVEVKGEKPAKLCVIAECGELKEGDDGGIFPKDGSGDSHPDFPEDADIDLKDVDKILLITEDLKNIGNTFFKSQNWEMAIKKYAEVLRYVDSSKAVIETADRAKLQPIALSCVLNIGACKLKMSNWQGAIDSCLEALELDPSNTKALYRRAQGWQGLKEYDQALADLKKAQGIAPEDKAIQAELLKVKQKIKAQKDKEKAVYAKMFA
+>DECOY_sp|Q08752|PPID_HUMAN Peptidyl-prolyl cis-trans isomerase D OS=Homo sapiens OX=9606 GN=PPID PE=1 SV=3
+AFMKAYVAKEKDKQAKIKQKVKLLEAQIAKDEPAIGQAKKLDALAQDYEKLGQWGQARRYLAKTNSPDLELAELCSDIAGQWNSMKLKCAGINLVCSLAIPQLKARDATEIVAKSSDVYRLVEAYKKIAMEWNQSKFFTNGINKLDETILLIKDVDKLDIDADEPFDPHSDGSGDKPFIGGDDGEKLEGCEAIVCLKAPKEGKVEVNELIRAVGIGKIVQGFVVHKGDLHPTPVTTIFFQSGNTNRGANAMSLLGERDHKYHFNEDEFKEGYISEGGTGNQNSFDGGQIMFKKIIRHFPCGKFHLPKGTTHGIGKEGTCLARFNEATKPVIDAFLELVIRGVREGGIDVDFFVRPNSPNSPKAQPSPHSM
+>sp|Q6NYC8|PPR18_HUMAN Phostensin OS=Homo sapiens OX=9606 GN=PPP1R18 PE=1 SV=1
+MATIPDWKLQLLARRRQEEASVRGREKAERERLSQMPAWKRGLLERRRAKLGLSPGEPSPVLGTVEAGPPDPDESAVLLEAIGPVHQNRFIRQERQQQQQQQQRSEELLAERKPGPLEARERRPSPGEMRDQSPKGRESREERLSPRETRERRLGIGGAQELSLRPLEARDWRQSPGEVGDRSSRLSEAWKWRLSPGETPERSLRLAESREQSPRRKEVESRLSPGESAYQKLGLTEAHKWRPDSRESQEQSLVQLEATEWRLRSGEERQDYSEECGRKEEWPVPGVAPKETAELSETLTREAQGNSSAGVEAAEQRPVEDGERGMKPTEGWKWTLNSGKAREWTPRDIEAQTQKPEPPESAEKLLESPGVEAGEGEAEKEEAGAQGRPLRALQNCCSVPSPLPPEDAGTGGLRQQEEEAVELQPPPPAPLSPPPPAPTAPQPPGDPLMSRLFYGVKAGPGVGAPRRSGHTFTVNPRRSVPPATPATPTSPATVDAAVPGAGKKRYPTAEEILVLGGYLRLSRSCLAKGSPERHHKQLKISFSETALETTYQYPSESSVLEELGPEPEVPSAPNPPAAQPDDEEDEEELLLLQPELQGGLRTKALIVDESCRR
+>DECOY_sp|Q6NYC8|PPR18_HUMAN Phostensin OS=Homo sapiens OX=9606 GN=PPP1R18 PE=1 SV=1
+RRCSEDVILAKTRLGGQLEPQLLLLEEEDEEDDPQAAPPNPASPVEPEPGLEELVSSESPYQYTTELATESFSIKLQKHHREPSGKALCSRSLRLYGGLVLIEEATPYRKKGAGPVAADVTAPSTPTAPTAPPVSRRPNVTFTHGSRRPAGVGPGAKVGYFLRSMLPDGPPQPATPAPPPPSLPAPPPPQLEVAEEEQQRLGGTGADEPPLPSPVSCCNQLARLPRGQAGAEEKEAEGEGAEVGPSELLKEASEPPEPKQTQAEIDRPTWERAKGSNLTWKWGETPKMGREGDEVPRQEAAEVGASSNGQAERTLTESLEATEKPAVGPVPWEEKRGCEESYDQREEGSRLRWETAELQVLSQEQSERSDPRWKHAETLGLKQYASEGPSLRSEVEKRRPSQERSEALRLSREPTEGPSLRWKWAESLRSSRDGVEGPSQRWDRAELPRLSLEQAGGIGLRRERTERPSLREERSERGKPSQDRMEGPSPRRERAELPGPKREALLEESRQQQQQQQQREQRIFRNQHVPGIAELLVASEDPDPPGAEVTGLVPSPEGPSLGLKARRRELLGRKWAPMQSLREREAKERGRVSAEEQRRRALLQLKWDPITAM
+>sp|Q5SWA1|PR15B_HUMAN Protein phosphatase 1 regulatory subunit 15B OS=Homo sapiens OX=9606 GN=PPP1R15B PE=1 SV=1
+MEPGTGGSRKRLGPRAGFRFWPPFFPRRSQAGSSKFPTPLGPENSGNPTLLSSAQPETRVSYWTKLLSQLLAPLPGLLQKVLIWSQLFGGMFPTRWLDFAGVYSALRALKGREKPAAPTAQKSLSSLQLDSSDPSVTSPLDWLEEGIHWQYSPPDLKLELKAKGSALDPAAQAFLLEQQLWGVELLPSSLQSRLYSNRELGSSPSGPLNIQRIDNFSVVSYLLNPSYLDCFPRLEVSYQNSDGNSEVVGFQTLTPESSCLREDHCHPQPLSAELIPASWQGCPPLSTEGLPEIHHLRMKRLEFLQQANKGQDLPTPDQDNGYHSLEEEHSLLRMDPKHCRDNPTQFVPAAGDIPGNTQESTEEKIELLTTEVPLALEEESPSEGCPSSEIPMEKEPGEGRISVVDYSYLEGDLPISARPACSNKLIDYILGGASSDLETSSDPEGEDWDEEAEDDGFDSDSSLSDSDLEQDPEGLHLWNSFCSVDPYNPQNFTATIQTAARIVPEEPSDSEKDLSGKSDLENSSQSGSLPETPEHSSGEEDDWESSADEAESLKLWNSFCNSDDPYNPLNFKAPFQTSGENEKGCRDSKTPSESIVAISECHTLLSCKVQLLGSQESECPDSVQRDVLSGGRHTHVKRKKVTFLEEVTEYYISGDEDRKGPWEEFARDGCRFQKRIQETEDAIGYCLTFEHRERMFNRLQGTCFKGLNVLKQC
+>DECOY_sp|Q5SWA1|PR15B_HUMAN Protein phosphatase 1 regulatory subunit 15B OS=Homo sapiens OX=9606 GN=PPP1R15B PE=1 SV=1
+CQKLVNLGKFCTGQLRNFMRERHEFTLCYGIADETEQIRKQFRCGDRAFEEWPGKRDEDGSIYYETVEELFTVKKRKVHTHRGGSLVDRQVSDPCESEQSGLLQVKCSLLTHCESIAVISESPTKSDRCGKENEGSTQFPAKFNLPNYPDDSNCFSNWLKLSEAEDASSEWDDEEGSSHEPTEPLSGSQSSNELDSKGSLDKESDSPEEPVIRAATQITATFNQPNYPDVSCFSNWLHLGEPDQELDSDSLSSDSDFGDDEAEEDWDEGEPDSSTELDSSAGGLIYDILKNSCAPRASIPLDGELYSYDVVSIRGEGPEKEMPIESSPCGESPSEEELALPVETTLLEIKEETSEQTNGPIDGAAPVFQTPNDRCHKPDMRLLSHEEELSHYGNDQDPTPLDQGKNAQQLFELRKMRLHHIEPLGETSLPPCGQWSAPILEASLPQPHCHDERLCSSEPTLTQFGVVESNGDSNQYSVELRPFCDLYSPNLLYSVVSFNDIRQINLPGSPSSGLERNSYLRSQLSSPLLEVGWLQQELLFAQAAPDLASGKAKLELKLDPPSYQWHIGEELWDLPSTVSPDSSDLQLSSLSKQATPAAPKERGKLARLASYVGAFDLWRTPFMGGFLQSWILVKQLLGPLPALLQSLLKTWYSVRTEPQASSLLTPNGSNEPGLPTPFKSSGAQSRRPFFPPWFRFGARPGLRKRSGGTGPEM
+>sp|Q6ZRP0|PR23C_HUMAN Proline-rich protein 23C OS=Homo sapiens OX=9606 GN=PRR23C PE=2 SV=1
+MGSRPCSPSACLAPWWGQQPGGPGPAKRSRLEEPAGPESRAAPSPEDPAGTPAVDALTSMVVLDAGCALRVPLEDVDLVLELAPMSVLRVSLGGHTLIVIPEVLLSSVDECSGAQGDWSAGLEVDVFLGAHGEDVVVEQEVCASVPEIAAEEEAYEEDADSEFPELWMDSAAGSAAGLYPSARSMFSPYREGPIRGPCALAPNPSSERRSPRPIFDLEFHLLEPVPSSPLQPLPPSPSPGPHARPELPERPPCKVRRRLFQE
+>DECOY_sp|Q6ZRP0|PR23C_HUMAN Proline-rich protein 23C OS=Homo sapiens OX=9606 GN=PRR23C PE=2 SV=1
+EQFLRRRVKCPPREPLEPRAHPGPSPSPPLPQLPSSPVPELLHFELDFIPRPSRRESSPNPALACPGRIPGERYPSFMSRASPYLGAASGAASDMWLEPFESDADEEYAEEEAAIEPVSACVEQEVVVDEGHAGLFVDVELGASWDGQAGSCEDVSSLLVEPIVILTHGGLSVRLVSMPALELVLDVDELPVRLACGADLVVMSTLADVAPTGAPDEPSPAARSEPGAPEELRSRKAPGPGGPQQGWWPALCASPSCPRSGM
+>sp|O60813|PRA11_HUMAN PRAME family member 11 OS=Homo sapiens OX=9606 GN=PRAMEF11 PE=2 SV=5
+MKMSIRIPPRLLELAGRSLLRDQALAVSTLEELPTELFPPLFMEAFSRRRCEALKLMVQAWPFRRLPLRPLIKMPCLEAFQAVLDGLDALLTQGVRPRRWKLQVLDLQDVCENFWMVWSEAMAHGCFLNAKRNKKPVQDCPRMRGRQPLTVFVELWLKNRTLDEYLTCLLLWVKQRRDLLHLCCKKLKILGMPFRNIRSILKMVNLDCIQEVEVNCKWILPILTQFTPYLGHLRNLQKLVLSHMDVSRYVSPEQKKEIVTQFTTQFLKLRCLQKLYMNSVSFLEGHLDQLLSCLKTSLKVLTITNCVLLESDLKHLSQCPSISQLKTLDLSGIRLTNYSLVPLQILLEKVAATLEYLDLDDCGIIDSQVNAILPALSRCFELNTFSFCGNPICMATLENLLSHTIILKNLCLELYPAPQESYGADGTLCWSRFAQIRAELMKKVRHLRHPKRILFCTDNCPDHGDRSFYDLEADQYCC
+>DECOY_sp|O60813|PRA11_HUMAN PRAME family member 11 OS=Homo sapiens OX=9606 GN=PRAMEF11 PE=2 SV=5
+CCYQDAELDYFSRDGHDPCNDTCFLIRKPHRLHRVKKMLEARIQAFRSWCLTGDAGYSEQPAPYLELCLNKLIITHSLLNELTAMCIPNGCFSFTNLEFCRSLAPLIANVQSDIIGCDDLDLYELTAAVKELLIQLPVLSYNTLRIGSLDLTKLQSISPCQSLHKLDSELLVCNTITLVKLSTKLCSLLQDLHGELFSVSNMYLKQLCRLKLFQTTFQTVIEKKQEPSVYRSVDMHSLVLKQLNRLHGLYPTFQTLIPLIWKCNVEVEQICDLNVMKLISRINRFPMGLIKLKKCCLHLLDRRQKVWLLLCTLYEDLTRNKLWLEVFVTLPQRGRMRPCDQVPKKNRKANLFCGHAMAESWVMWFNECVDQLDLVQLKWRRPRVGQTLLADLGDLVAQFAELCPMKILPRLPLRRFPWAQVMLKLAECRRRSFAEMFLPPFLETPLEELTSVALAQDRLLSRGALELLRPPIRISMKM
+>sp|Q9H4Q4|PRD12_HUMAN PR domain zinc finger protein 12 OS=Homo sapiens OX=9606 GN=PRDM12 PE=1 SV=2
+MMGSVLPAEALVLKTGLKAPGLALAEVITSDILHSFLYGRWRNVLGEQLFEDKSHHASPKTAFTAEVLAQSFSGEVQKLSSLVLPAEVIIAQSSIPGEGLGIFSKTWIKAGTEMGPFTGRVIAPEHVDICKNNNLMWEVFNEDGTVRYFIDASQEDHRSWMTYIKCARNEQEQNLEVVQIGTSIFYKAIEMIPPDQELLVWYGNSHNTFLGIPGVPGLEEDQKKNKHEDFHPADSAAGPAGRMRCVICHRGFNSRSNLRSHMRIHTLDKPFVCRFCNRRFSQSSTLRNHVRLHTGERPYKCQVCQSAYSQLAGLRAHQKSARHRPPSTALQAHSPALPAPHAHAPALAAAAAAAAAAAAHHLPAMVL
+>DECOY_sp|Q9H4Q4|PRD12_HUMAN PR domain zinc finger protein 12 OS=Homo sapiens OX=9606 GN=PRDM12 PE=1 SV=2
+LVMAPLHHAAAAAAAAAAAALAPAHAHPAPLAPSHAQLATSPPRHRASKQHARLGALQSYASQCVQCKYPREGTHLRVHNRLTSSQSFRRNCFRCVFPKDLTHIRMHSRLNSRSNFGRHCIVCRMRGAPGAASDAPHFDEHKNKKQDEELGPVGPIGLFTNHSNGYWVLLEQDPPIMEIAKYFISTGIQVVELNQEQENRACKIYTMWSRHDEQSADIFYRVTGDENFVEWMLNNNKCIDVHEPAIVRGTFPGMETGAKIWTKSFIGLGEGPISSQAIIVEAPLVLSSLKQVEGSFSQALVEATFATKPSAHHSKDEFLQEGLVNRWRGYLFSHLIDSTIVEALALGPAKLGTKLVLAEAPLVSGMM
+>sp|Q9GZV8|PRD14_HUMAN PR domain zinc finger protein 14 OS=Homo sapiens OX=9606 GN=PRDM14 PE=1 SV=1
+MALPRPSEAVPQDKVCYPPESSPQNLAAYYTPFPSYGHYRNSLATVEEDFQPFRQLEAAASAAPAMPPFPFRMAPPLLSPGLGLQREPLYDLPWYSKLPPWYPIPHVPREVPPFLSSSHEYAGASSEDLGHQIIGGDNESGPCCGPDTLIPPPPADASLLPEGLRTSQLLPCSPSKQSEDGPKPSNQEGKSPARFQFTEEDLHFVLYGVTPSLEHPASLHHAISGLLVPPDSSGSDSLPQTLDKDSLQLPEGLCLMQTVFGEVPHFGVFCSSFIAKGVRFGPFQGKVVNASEVKTYGDNSVMWEIFEDGHLSHFIDGKGGTGNWMSYVNCARFPKEQNLVAVQCQGHIFYESCKEIHQNQELLVWYGDCYEKFLDIPVSLQVTEPGKQPSGPSEESAEGYRCERCGKVFTYKYYRDKHLKYTPCVDKGDRKFPCSLCKRSFEKRDRLRIHILHVHEKHRPHKCSTCGKCFSQSSSLNKHMRVHSGDRPYQCVYCTKRFTASSILRTHIRQHSGEKPFKCKYCGKSFASHAAHDSHVRRSHKEDDGCSCSICGKIFSDQETFYSHMKFHEDY
+>DECOY_sp|Q9GZV8|PRD14_HUMAN PR domain zinc finger protein 14 OS=Homo sapiens OX=9606 GN=PRDM14 PE=1 SV=1
+YDEHFKMHSYFTEQDSFIKGCISCSCGDDEKHSRRVHSDHAAHSAFSKGCYKCKFPKEGSHQRIHTRLISSATFRKTCYVCQYPRDGSHVRMHKNLSSSQSFCKGCTSCKHPRHKEHVHLIHIRLRDRKEFSRKCLSCPFKRDGKDVCPTYKLHKDRYYKYTFVKGCRECRYGEASEESPGSPQKGPETVQLSVPIDLFKEYCDGYWVLLEQNQHIEKCSEYFIHGQCQVAVLNQEKPFRACNVYSMWNGTGGKGDIFHSLHGDEFIEWMVSNDGYTKVESANVVKGQFPGFRVGKAIFSSCFVGFHPVEGFVTQMLCLGEPLQLSDKDLTQPLSDSGSSDPPVLLGSIAHHLSAPHELSPTVGYLVFHLDEETFQFRAPSKGEQNSPKPGDESQKSPSCPLLQSTRLGEPLLSADAPPPPILTDPGCCPGSENDGGIIQHGLDESSAGAYEHSSSLFPPVERPVHPIPYWPPLKSYWPLDYLPERQLGLGPSLLPPAMRFPFPPMAPAASAAAELQRFPQFDEEVTALSNRYHGYSPFPTYYAALNQPSSEPPYCVKDQPVAESPRPLAM
+>sp|Q9NQX1|PRDM5_HUMAN PR domain zinc finger protein 5 OS=Homo sapiens OX=9606 GN=PRDM5 PE=1 SV=2
+MLGMYVPDRFSLKSSRVQDGMGLYTARRVRKGEKFGPFAGEKRMPEDLDENMDYRLMWEVRGSKGEVLYILDATNPRHSNWLRFVHEAPSQEQKNLAAIQEGENIFYLAVEDIETDTELLIGYLDSDMEAEEEEQQIMTVIKEGEVENSRRQSTAGRKDRLGCKEDYACPQCESSFTSEDILAEHLQTLHQKPTEEKEFKCKNCGKKFPVKQALQRHVLQCTAKSSLKESSRSFQCSVCNSSFSSASSFEQHQETCRGDARFVCKADSCGKRLKSKDALKRHQENVHTGDPKKKLICSVCNKKCSSASSLQEHRKIHEIFDCQECMKKFISANQLKRHMITHSEKRPYNCEICNKSFKRLDQVGAHKVIHSEDKPYKCKLCGKGFAHRNVYKNHKKTHSEERPFQCEECKALFRTPFSLQRHLLIHNSERTFKCHHCDATFKRKDTLNVHVQVVHERHKKYRCELCNKAFVTPSVLRSHKKTHTGEKEKICPYCGQKFASSGTLRVHIRSHTGERPYQCPYCEKGFSKNDGLKMHIRTHTREKPYKCSECSKAFSQKRGLDEHKRTHTGEKPFQCDVCDLAFSLKKMLIRHKMTHNPNRPLAECQFCHKKFTRNDYLKVHMDNIHGVADS
+>DECOY_sp|Q9NQX1|PRDM5_HUMAN PR domain zinc finger protein 5 OS=Homo sapiens OX=9606 GN=PRDM5 PE=1 SV=2
+SDAVGHINDMHVKLYDNRTFKKHCFQCEALPRNPNHTMKHRILMKKLSFALDCVDCQFPKEGTHTRKHEDLGRKQSFAKSCESCKYPKERTHTRIHMKLGDNKSFGKECYPCQYPREGTHSRIHVRLTGSSAFKQGCYPCIKEKEGTHTKKHSRLVSPTVFAKNCLECRYKKHREHVVQVHVNLTDKRKFTADCHHCKFTRESNHILLHRQLSFPTRFLAKCEECQFPREESHTKKHNKYVNRHAFGKGCLKCKYPKDESHIVKHAGVQDLRKFSKNCIECNYPRKESHTIMHRKLQNASIFKKMCEQCDFIEHIKRHEQLSSASSCKKNCVSCILKKKPDGTHVNEQHRKLADKSKLRKGCSDAKCVFRADGRCTEQHQEFSSASSFSSNCVSCQFSRSSEKLSSKATCQLVHRQLAQKVPFKKGCNKCKFEKEETPKQHLTQLHEALIDESTFSSECQPCAYDEKCGLRDKRGATSQRRSNEVEGEKIVTMIQQEEEEAEMDSDLYGILLETDTEIDEVALYFINEGEQIAALNKQEQSPAEHVFRLWNSHRPNTADLIYLVEGKSGRVEWMLRYDMNEDLDEPMRKEGAFPGFKEGKRVRRATYLGMGDQVRSSKLSFRDPVYMGLM
+>sp|Q9HCU5|PREB_HUMAN Prolactin regulatory element-binding protein OS=Homo sapiens OX=9606 GN=PREB PE=1 SV=2
+MGRRRAPELYRAPFPLYALQVDPSTGLLIAAGGGGAAKTGIKNGVHFLQLELINGRLSASLLHSHDTETRATMNLALAGDILAAGQDAHCQLLRFQAHQQQGNKAEKAGSKEQGPRQRKGAAPAEKKCGAETQHEGLELRVENLQAVQTDFSSDPLQKVVCFNHDNTLLATGGTDGYVRVWKVPSLEKVLEFKAHEGEIEDLALGPDGKLVTVGRDLKASVWQKDQLVTQLHWQENGPTFSSTPYRYQACRFGQVPDQPAGLRLFTVQIPHKRLRQPPPCYLTAWDGSNFLPLRTKSCGHEVVSCLDVSESGTFLGLGTVTGSVAIYIAFSLQCLYYVREAHGIVVTDVAFLPEKGRGPELLGSHETALFSVAVDSRCQLHLLPSRRSVPVWLLLLLCVGLIIVTILLLQSAFPGFL
+>DECOY_sp|Q9HCU5|PREB_HUMAN Prolactin regulatory element-binding protein OS=Homo sapiens OX=9606 GN=PREB PE=1 SV=2
+LFGPFASQLLLITVIILGVCLLLLLWVPVSRRSPLLHLQCRSDVAVSFLATEHSGLLEPGRGKEPLFAVDTVVIGHAERVYYLCQLSFAIYIAVSGTVTGLGLFTGSESVDLCSVVEHGCSKTRLPLFNSGDWATLYCPPPQRLRKHPIQVTFLRLGAPQDPVQGFRCAQYRYPTSSFTPGNEQWHLQTVLQDKQWVSAKLDRGVTVLKGDPGLALDEIEGEHAKFELVKELSPVKWVRVYGDTGGTALLTNDHNFCVVKQLPDSSFDTQVAQLNEVRLELGEHQTEAGCKKEAPAAGKRQRPGQEKSGAKEAKNGQQQHAQFRLLQCHADQGAALIDGALALNMTARTETDHSHLLSASLRGNILELQLFHVGNKIGTKAAGGGGAAILLGTSPDVQLAYLPFPARYLEPARRRGM
+>sp|Q92954|PRG4_HUMAN Proteoglycan 4 OS=Homo sapiens OX=9606 GN=PRG4 PE=1 SV=3
+MAWKTLPIYLLLLLSVFVIQQVSSQDLSSCAGRCGEGYSRDATCNCDYNCQHYMECCPDFKRVCTAELSCKGRCFESFERGRECDCDAQCKKYDKCCPDYESFCAEVHNPTSPPSSKKAPPPSGASQTIKSTTKRSPKPPNKKKTKKVIESEEITEEHSVSENQESSSSSSSSSSSSTIRKIKSSKNSAANRELQKKLKVKDNKKNRTKKKPTPKPPVVDEAGSGLDNGDFKVTTPDTSTTQHNKVSTSPKITTAKPINPRPSLPPNSDTSKETSLTVNKETTVETKETTTTNKQTSTDGKEKTTSAKETQSIEKTSAKDLAPTSKVLAKPTPKAETTTKGPALTTPKEPTPTTPKEPASTTPKEPTPTTIKSAPTTPKEPAPTTTKSAPTTPKEPAPTTTKEPAPTTPKEPAPTTTKEPAPTTTKSAPTTPKEPAPTTPKKPAPTTPKEPAPTTPKEPTPTTPKEPAPTTKEPAPTTPKEPAPTAPKKPAPTTPKEPAPTTPKEPAPTTTKEPSPTTPKEPAPTTTKSAPTTTKEPAPTTTKSAPTTPKEPSPTTTKEPAPTTPKEPAPTTPKKPAPTTPKEPAPTTPKEPAPTTTKKPAPTTPKEPAPTTPKETAPTTPKKLTPTTPEKLAPTTPEKPAPTTPEELAPTTPEEPTPTTPEEPAPTTPKAAAPNTPKEPAPTTPKEPAPTTPKEPAPTTPKETAPTTPKGTAPTTLKEPAPTTPKKPAPKELAPTTTKEPTSTTSDKPAPTTPKGTAPTTPKEPAPTTPKEPAPTTPKGTAPTTLKEPAPTTPKKPAPKELAPTTTKGPTSTTSDKPAPTTPKETAPTTPKEPAPTTPKKPAPTTPETPPPTTSEVSTPTTTKEPTTIHKSPDESTPELSAEPTPKALENSPKEPGVPTTKTPAATKPEMTTTAKDKTTERDLRTTPETTTAAPKMTKETATTTEKTTESKITATTTQVTSTTTQDTTPFKITTLKTTTLAPKVTTTKKTITTTEIMNKPEETAKPKDRATNSKATTPKPQKPTKAPKKPTSTKKPKTMPRVRKPKTTPTPRKMTSTMPELNPTSRIAEAMLQTTTRPNQTPNSKLVEVNPKSEDAGGAEGETPHMLLRPHVFMPEVTPDMDYLPRVPNQGIIINPMLSDETNICNGKPVDGLTTLRNGTLVAFRGHYFWMLSPFSPPSPARRITEVWGIPSPIDTVFTRCNCEGKTFFFKDSQYWRFTNDIKDAGYPKPIFKGFGGLTGQIVAALSTAKYKNWPESVYFFKRGGSIQQYIYKQEPVQKCPGRRPALNYPVYGETTQVRRRRFERAIGPSQTHTIRIQYSPARLAYQDKGVLHNEVKVSILWRGLPNVVTSAISLPNIRKPDGYDYYAFSKDQYYNIDVPSRTARAITTRSGQTLSKVWYNCP
+>DECOY_sp|Q92954|PRG4_HUMAN Proteoglycan 4 OS=Homo sapiens OX=9606 GN=PRG4 PE=1 SV=3
+PCNYWVKSLTQGSRTTIARATRSPVDINYYQDKSFAYYDYGDPKRINPLSIASTVVNPLGRWLISVKVENHLVGKDQYALRAPSYQIRITHTQSPGIAREFRRRRVQTTEGYVPYNLAPRRGPCKQVPEQKYIYQQISGGRKFFYVSEPWNKYKATSLAAVIQGTLGGFGKFIPKPYGADKIDNTFRWYQSDKFFFTKGECNCRTFVTDIPSPIGWVETIRRAPSPPSFPSLMWFYHGRFAVLTGNRLTTLGDVPKGNCINTEDSLMPNIIIGQNPVRPLYDMDPTVEPMFVHPRLLMHPTEGEAGGADESKPNVEVLKSNPTQNPRTTTQLMAEAIRSTPNLEPMTSTMKRPTPTTKPKRVRPMTKPKKTSTPKKPAKTPKQPKPTTAKSNTARDKPKATEEPKNMIETTTITKKTTTVKPALTTTKLTTIKFPTTDQTTTSTVQTTTATIKSETTKETTTATEKTMKPAATTTEPTTRLDRETTKDKATTTMEPKTAAPTKTTPVGPEKPSNELAKPTPEASLEPTSEDPSKHITTPEKTTTPTSVESTTPPPTEPTTPAPKKPTTPAPEKPTTPATEKPTTPAPKDSTTSTPGKTTTPALEKPAPKKPTTPAPEKLTTPATGKPTTPAPEKPTTPAPEKPTTPATGKPTTPAPKDSTTSTPEKTTTPALEKPAPKKPTTPAPEKLTTPATGKPTTPATEKPTTPAPEKPTTPAPEKPTTPAPEKPTNPAAAKPTTPAPEEPTTPTPEEPTTPALEEPTTPAPKEPTTPALKEPTTPTLKKPTTPATEKPTTPAPEKPTTPAPKKTTTPAPEKPTTPAPEKPTTPAPKKPTTPAPEKPTTPAPEKTTTPSPEKPTTPASKTTTPAPEKTTTPASKTTTPAPEKPTTPSPEKTTTPAPEKPTTPAPEKPTTPAPKKPATPAPEKPTTPAPEKTTPAPEKPTTPTPEKPTTPAPEKPTTPAPKKPTTPAPEKPTTPASKTTTPAPEKTTTPAPEKPTTPAPEKTTTPAPEKPTTPASKTTTPAPEKPTTPASKITTPTPEKPTTSAPEKPTTPTPEKPTTLAPGKTTTEAKPTPKALVKSTPALDKASTKEISQTEKASTTKEKGDTSTQKNTTTTEKTEVTTEKNVTLSTEKSTDSNPPLSPRPNIPKATTIKPSTSVKNHQTTSTDPTTVKFDGNDLGSGAEDVVPPKPTPKKKTRNKKNDKVKLKKQLERNAASNKSSKIKRITSSSSSSSSSSSSEQNESVSHEETIEESEIVKKTKKKNPPKPSRKTTSKITQSAGSPPPAKKSSPPSTPNHVEACFSEYDPCCKDYKKCQADCDCERGREFSEFCRGKCSLEATCVRKFDPCCEMYHQCNYDCNCTADRSYGEGCRGACSSLDQSSVQQIVFVSLLLLLYIPLTKWAM
+>sp|Q9UBK2|PRGC1_HUMAN Peroxisome proliferator-activated receptor gamma coactivator 1-alpha OS=Homo sapiens OX=9606 GN=PPARGC1A PE=1 SV=1
+MAWDMCNQDSESVWSDIECAALVGEDQPLCPDLPELDLSELDVNDLDTDSFLGGLKWCSDQSEIISNQYNNEPSNIFEKIDEENEANLLAVLTETLDSLPVDEDGLPSFDALTDGDVTTDNEASPSSMPDGTPPPQEAEEPSLLKKLLLAPANTQLSYNECSGLSTQNHANHNHRIRTNPAIVKTENSWSNKAKSICQQQKPQRRPCSELLKYLTTNDDPPHTKPTENRNSSRDKCTSKKKSHTQSQSQHLQAKPTTLSLPLTPESPNDPKGSPFENKTIERTLSVELSGTAGLTPPTTPPHKANQDNPFRASPKLKSSCKTVVPPPSKKPRYSESSGTQGNNSTKKGPEQSELYAQLSKSSVLTGGHEERKTKRPSLRLFGDHDYCQSINSKTEILINISQELQDSRQLENKDVSSDWQGQICSSTDSDQCYLRETLEASKQVSPCSTRKQLQDQEIRAELNKHFGHPSQAVFDDEADKTGELRDSDFSNEQFSKLPMFINSGLAMDGLFDDSEDESDKLSYPWDGTQSYSLFNVSPSCSSFNSPCRDSVSPPKSLFSQRPQRMRSRSRSFSRHRSCSRSPYSRSRSRSPGSRSSSRSCYYYESSHYRHRTHRNSPLYVRSRSRSPYSRRPRYDSYEEYQHERLKREEYRREYEKRESERAKQRERQRQKAIEERRVIYVGKIRPDTTRTELRDRFEVFGEIEECTVNLRDDGDSYGFITYRYTCDAFAALENGYTLRRSNETDFELYFCGRKQFFKSNYADLDSNSDDFDPASTKSKYDSLDFDSLLKEAQRSLRR
+>DECOY_sp|Q9UBK2|PRGC1_HUMAN Peroxisome proliferator-activated receptor gamma coactivator 1-alpha OS=Homo sapiens OX=9606 GN=PPARGC1A PE=1 SV=1
+RRLSRQAEKLLSDFDLSDYKSKTSAPDFDDSNSDLDAYNSKFFQKRGCFYLEFDTENSRRLTYGNELAAFADCTYRYTIFGYSDGDDRLNVTCEEIEGFVEFRDRLETRTTDPRIKGVYIVRREEIAKQRQRERQKARESERKEYERRYEERKLREHQYEEYSDYRPRRSYPSRSRSRVYLPSNRHTRHRYHSSEYYYCSRSSSRSGPSRSRSRSYPSRSCSRHRSFSRSRSRMRQPRQSFLSKPPSVSDRCPSNFSSCSPSVNFLSYSQTGDWPYSLKDSEDESDDFLGDMALGSNIFMPLKSFQENSFDSDRLEGTKDAEDDFVAQSPHGFHKNLEARIEQDQLQKRTSCPSVQKSAELTERLYCQDSDTSSCIQGQWDSSVDKNELQRSDQLEQSINILIETKSNISQCYDHDGFLRLSPRKTKREEHGGTLVSSKSLQAYLESQEPGKKTSNNGQTGSSESYRPKKSPPPVVTKCSSKLKPSARFPNDQNAKHPPTTPPTLGATGSLEVSLTREITKNEFPSGKPDNPSEPTLPLSLTTPKAQLHQSQSQTHSKKKSTCKDRSSNRNETPKTHPPDDNTTLYKLLESCPRRQPKQQQCISKAKNSWSNETKVIAPNTRIRHNHNAHNQTSLGSCENYSLQTNAPALLLKKLLSPEEAEQPPPTGDPMSSPSAENDTTVDGDTLADFSPLGDEDVPLSDLTETLVALLNAENEEDIKEFINSPENNYQNSIIESQDSCWKLGGLFSDTDLDNVDLESLDLEPLDPCLPQDEGVLAACEIDSWVSESDQNCMDWAM
+>sp|P49643|PRI2_HUMAN DNA primase large subunit OS=Homo sapiens OX=9606 GN=PRIM2 PE=1 SV=2
+MEFSGRKWRKLRLAGDQRNASYPHCLQFYLQPPSENISLIEFENLAIDRVKLLKSVENLGVSYVKGTEQYQSKLESELRKLKFSYRENLEDEYEPRRRDHISHFILRLAYCQSEELRRWFIQQEMDLLRFRFSILPKDKIQDFLKDSQLQFEAISDEEKTLREQEIVASSPSLSGLKLGFESIYKIPFADALDLFRGRKVYLEDGFAYVPLKDIVAIILNEFRAKLSKALALTARSLPAVQSDERLQPLLNHLSHSYTGQDYSTQGNVGKISLDQIDLLSTKSFPPCMRQLHKALRENHHLRHGGRMQYGLFLKGIGLTLEQALQFWKQEFIKGKMDPDKFDKGYSYNIRHSFGKEGKRTDYTPFSCLKIILSNPPSQGDYHGCPFRHSDPELLKQKLQSYKISPGGISQILDLVKGTHYQVACQKYFEMIHNVDDCGFSLNHPNQFFCESQRILNGGKDIKKEPIQPETPQPKPSVQKTKDASSALASLNSSLEMDMEGLEDYFSEDS
+>DECOY_sp|P49643|PRI2_HUMAN DNA primase large subunit OS=Homo sapiens OX=9606 GN=PRIM2 PE=1 SV=2
+SDESFYDELGEMDMELSSNLSALASSADKTKQVSPKPQPTEPQIPEKKIDKGGNLIRQSECFFQNPHNLSFGCDDVNHIMEFYKQCAVQYHTGKVLDLIQSIGGPSIKYSQLKQKLLEPDSHRFPCGHYDGQSPPNSLIIKLCSFPTYDTRKGEKGFSHRINYSYGKDFKDPDMKGKIFEQKWFQLAQELTLGIGKLFLGYQMRGGHRLHHNERLAKHLQRMCPPFSKTSLLDIQDLSIKGVNGQTSYDQGTYSHSLHNLLPQLREDSQVAPLSRATLALAKSLKARFENLIIAVIDKLPVYAFGDELYVKRGRFLDLADAFPIKYISEFGLKLGSLSPSSAVIEQERLTKEEDSIAEFQLQSDKLFDQIKDKPLISFRFRLLDMEQQIFWRRLEESQCYALRLIFHSIHDRRRPEYEDELNERYSFKLKRLESELKSQYQETGKVYSVGLNEVSKLLKVRDIALNEFEILSINESPPQLYFQLCHPYSANRQDGALRLKRWKRGSFEM
+>sp|O43900|PRIC3_HUMAN Prickle planar cell polarity protein 3 OS=Homo sapiens OX=9606 GN=PRICKLE3 PE=1 SV=2
+MFARGSRRRRSGRAPPEAEDPDRGQPCNSCREQCPGFLLHGWRKICQHCKCPREEHAVHAVPVDLERIMCRLISDFQRHSISDDDSGCASEEYAWVPPGLKPEQVYQFFSCLPEDKVPYVNSPGEKYRIKQLLHQLPPHDSEAQYCTALEEEEKKELRAFSQQRKRENLGRGIVRIFPVTITGAICEECGKQIGGGDIAVFASRAGLGACWHPQCFVCTTCQELLVDLIYFYHVGKVYCGRHHAECLRPRCQACDEIIFSPECTEAEGRHWHMDHFCCFECEASLGGQRYVMRQSRPHCCACYEARHAEYCDGCGEHIGLDQGQMAYEGQHWHASDRCFCCSRCGRALLGRPFLPRRGLIFCSRACSLGSEPTAPGPSRRSWSAGPVTAPLAASTASFSAVKGASETTTKGTSTELAPATGPEEPSRFLRGAPHRHSMPELGLRSVPEPPPESPGQPNLRPDDSAFGRQSTPRVSFRDPLVSEGGPRRTLSAPPAQRRRPRSPPPRAPSRRRHHHHNHHHHHNRHPSRRRHYQCDAGSGSDSESCSSSPSSSSSESSEDDGFFLGERIPLPPHLCRPMPAQDTAMETFNSPSLSLPRDSRAGMPRQARDKNCIVA
+>DECOY_sp|O43900|PRIC3_HUMAN Prickle planar cell polarity protein 3 OS=Homo sapiens OX=9606 GN=PRICKLE3 PE=1 SV=2
+AVICNKDRAQRPMGARSDRPLSLSPSNFTEMATDQAPMPRCLHPPLPIREGLFFGDDESSESSSSSPSSSCSESDSGSGADCQYHRRRSPHRNHHHHHNHHHHRRRSPARPPPSRPRRRQAPPASLTRRPGGESVLPDRFSVRPTSQRGFASDDPRLNPQGPSEPPPEPVSRLGLEPMSHRHPAGRLFRSPEEPGTAPALETSTGKTTTESAGKVASFSATSAALPATVPGASWSRRSPGPATPESGLSCARSCFILGRRPLFPRGLLARGCRSCCFCRDSAHWHQGEYAMQGQDLGIHEGCGDCYEAHRAEYCACCHPRSQRMVYRQGGLSAECEFCCFHDMHWHRGEAETCEPSFIIEDCAQCRPRLCEAHHRGCYVKGVHYFYILDVLLEQCTTCVFCQPHWCAGLGARSAFVAIDGGGIQKGCEECIAGTITVPFIRVIGRGLNERKRQQSFARLEKKEEEELATCYQAESDHPPLQHLLQKIRYKEGPSNVYPVKDEPLCSFFQYVQEPKLGPPVWAYEESACGSDDDSISHRQFDSILRCMIRELDVPVAHVAHEERPCKCHQCIKRWGHLLFGPCQERCSNCPQGRDPDEAEPPARGSRRRRSGRAFM
+>sp|Q86XR5|PRIMA_HUMAN Proline-rich membrane anchor 1 OS=Homo sapiens OX=9606 GN=PRIMA1 PE=1 SV=2
+MLLRDLVLRRGCCWSSLLLHCALHPLWGFVQVTHGEPQKSCSKVTDSCRHVCQCRPPPPLPPPPPPPPPPRLLSAPAPNSTSCPTEESWWSGLVIIIAVCCASLVFLTVLVIICYKAIKRKPLRKDENGTSVAEYPMSASQSNKGVDVNNAVV
+>DECOY_sp|Q86XR5|PRIMA_HUMAN Proline-rich membrane anchor 1 OS=Homo sapiens OX=9606 GN=PRIMA1 PE=1 SV=2
+VVANNVDVGKNSQSASMPYEAVSTGNEDKRLPKRKIAKYCIIVLVTLFVLSACCVAIIIVLGSWWSEETPCSTSNPAPASLLRPPPPPPPPPPLPPPPRCQCVHRCSDTVKSCSKQPEGHTVQVFGWLPHLACHLLLSSWCCGRRLVLDRLLM
+>sp|Q8N945|PRLD2_HUMAN PRELI domain-containing protein 2 OS=Homo sapiens OX=9606 GN=PRELID2 PE=2 SV=1
+MGVSVDVHQVYKYPFEQVVASFLRKYPNPMDKNVISVKIMEEKRDESTGVIYRKRIAICQNVVPEILRKSLSTLVILCWKKVSILKVPNIQLEEESWLNPRERNMAIRSHCLTWTQYASMKEESVFRESMENPNWTEFIQRGRISITGVGFLNCVLETFASTFLRQGAQKGIRIMEMLLKEQCGAPLAE
+>DECOY_sp|Q8N945|PRLD2_HUMAN PRELI domain-containing protein 2 OS=Homo sapiens OX=9606 GN=PRELID2 PE=2 SV=1
+EALPAGCQEKLLMEMIRIGKQAGQRLFTSAFTELVCNLFGVGTISIRGRQIFETWNPNEMSERFVSEEKMSAYQTWTLCHSRIAMNRERPNLWSEEELQINPVKLISVKKWCLIVLTSLSKRLIEPVVNQCIAIRKRYIVGTSEDRKEEMIKVSIVNKDMPNPYKRLFSAVVQEFPYKYVQHVDVSVGM
+>sp|Q9NNZ6|PRM3_HUMAN Protamine-3 OS=Homo sapiens OX=9606 GN=PRM3 PE=3 SV=1
+MGSRCAKLNTGQSPGHSPGHSTGHGRGHESSMKKLMACVSQDNFSLSSAGEEEEEEEEEGEEEEKEELPVQGKLLLLEPERQEEGQKDNAEAQQSPEPKQTPS
+>DECOY_sp|Q9NNZ6|PRM3_HUMAN Protamine-3 OS=Homo sapiens OX=9606 GN=PRM3 PE=3 SV=1
+SPTQKPEPSQQAEANDKQGEEQREPELLLLKGQVPLEEKEEEEGEEEEEEEEEGASSLSFNDQSVCAMLKKMSSEHGRGHGTSHGPSHGPSQGTNLKACRSGM
+>sp|Q8TEQ8|PIGO_HUMAN GPI ethanolamine phosphate transferase 3 OS=Homo sapiens OX=9606 GN=PIGO PE=1 SV=3
+MQKASVLLFLAWVCFLFYAGIALFTSGFLLTRLELTNHSSCQEPPGPGSLPWGSQGKPGACWMASRFSRVVLVLIDALRFDFAQPQHSHVPREPPVSLPFLGKLSSLQRILEIQPHHARLYRSQVDPPTTTMQRLKALTTGSLPTFIDAGSNFASHAIVEDNLIKQLTSAGRRVVFMGDDTWKDLFPGAFSKAFFFPSFNVRDLDTVDNGILEHLYPTMDSGEWDVLIAHFLGVDHCGHKHGPHHPEMAKKLSQMDQVIQGLVERLENDTLLVVAGDHGMTTNGDHGGDSELEVSAALFLYSPTAVFPSTPPEEPEVIPQVSLVPTLALLLGLPIPFGNIGEVMAELFSGGEDSQPHSSALAQASALHLNAQQVSRFLHTYSAATQDLQAKELHQLQNLFSKASADYQWLLQSPKGAEATLPTVIAELQQFLRGARAMCIESWARFSLVRMAGGTALLAASCFICLLASQWAISPGFPFCPLLLTPVAWGLVGAIAYAGLLGTIELKLDLVLLGAVAAVSSFLPFLWKAWAGWGSKRPLATLFPIPGPVLLLLLFRLAVFFSDSFVVAEARATPFLLGSFILLLVVQLHWEGQLLPPKLLTMPRLGTSATTNPPRHNGAYALRLGIGLLLCTRLAGLFHRCPEETPVCHSSPWLSPLASMVGGRAKNLWYGACVAALVALLAAVRLWLRRYGNLKSPEPPMLFVRWGLPLMALGTAAYWALASGADEAPPRLRVLVSGASMVLPRAVAGLAASGLALLLWKPVTVLVKAGAGAPRTRTVLTPFSGPPTSQADLDYVVPQIYRHMQEEFRGRLERTKSQGPLTVAAYQLGSVYSAAMVTALTLLAFPLLLLHAERISLVFLLLFLQSFLLLHLLAAGIPVTTPGPFTVPWQAVSAWALMATQTFYSTGHQPVFPAIHWHAAFVGFPEGHGSCTWLPALLVGANTFASHLLFAVGCPLLLLWPFLCESQGLRKRQQPPGNEADARVRPEEEEEPLMEMRLRDAPQHFYAALLQLGLKYLFILGIQILACALAASILRRHLMVWKVFAPKFIFEAVGFIVSSVGLLLGIALVMRVDGAVSSWFRQLFLAQQR
+>DECOY_sp|Q8TEQ8|PIGO_HUMAN GPI ethanolamine phosphate transferase 3 OS=Homo sapiens OX=9606 GN=PIGO PE=1 SV=3
+RQQALFLQRFWSSVAGDVRMVLAIGLLLGVSSVIFGVAEFIFKPAFVKWVMLHRRLISAALACALIQIGLIFLYKLGLQLLAAYFHQPADRLRMEMLPEEEEEPRVRADAENGPPQQRKRLGQSECLFPWLLLLPCGVAFLLHSAFTNAGVLLAPLWTCSGHGEPFGVFAAHWHIAPFVPQHGTSYFTQTAMLAWASVAQWPVTFPGPTTVPIGAALLHLLLFSQLFLLLFVLSIREAHLLLLPFALLTLATVMAASYVSGLQYAAVTLPGQSKTRELRGRFEEQMHRYIQPVVYDLDAQSTPPGSFPTLVTRTRPAGAGAKVLVTVPKWLLLALGSAALGAVARPLVMSAGSVLVRLRPPAEDAGSALAWYAATGLAMLPLGWRVFLMPPEPSKLNGYRRLWLRVAALLAVLAAVCAGYWLNKARGGVMSALPSLWPSSHCVPTEEPCRHFLGALRTCLLLGIGLRLAYAGNHRPPNTTASTGLRPMTLLKPPLLQGEWHLQVVLLLIFSGLLFPTARAEAVVFSDSFFVALRFLLLLLVPGPIPFLTALPRKSGWGAWAKWLFPLFSSVAAVAGLLVLDLKLEITGLLGAYAIAGVLGWAVPTLLLPCFPFGPSIAWQSALLCIFCSAALLATGGAMRVLSFRAWSEICMARAGRLFQQLEAIVTPLTAEAGKPSQLLWQYDASAKSFLNQLQHLEKAQLDQTAASYTHLFRSVQQANLHLASAQALASSHPQSDEGGSFLEAMVEGINGFPIPLGLLLALTPVLSVQPIVEPEEPPTSPFVATPSYLFLAASVELESDGGHDGNTTMGHDGAVVLLTDNELREVLGQIVQDMQSLKKAMEPHHPGHKHGCHDVGLFHAILVDWEGSDMTPYLHELIGNDVTDLDRVNFSPFFFAKSFAGPFLDKWTDDGMFVVRRGASTLQKILNDEVIAHSAFNSGADIFTPLSGTTLAKLRQMTTTPPDVQSRYLRAHHPQIELIRQLSSLKGLFPLSVPPERPVHSHQPQAFDFRLADILVLVVRSFRSAMWCAGPKGQSGWPLSGPGPPEQCSSHNTLELRTLLFGSTFLAIGAYFLFCVWALFLLVSAKQM
+>sp|Q96BK5|PINX1_HUMAN PIN2/TERF1-interacting telomerase inhibitor 1 OS=Homo sapiens OX=9606 GN=PINX1 PE=1 SV=2
+MSMLAERRRKQKWAVDPQNTAWSNDDSKFGQRMLEKMGWSKGKGLGAQEQGATDHIKVQVKNNHLGLGATINNEDNWIAHQDDFNQLLAELNTCHGQETTDSSDKKEKKSFSLEEKSKISKNRVHYMKFTKGKDLSSRSKTDLDCIFGKRQSKKTPEGDASPSTPEENETTTTSAFTIQEYFAKRMAALKNKPQVPVPGSDISETQVERKRGKKRNKEATGKDVESYLQPKAKRHTEGKPERAEAQERVAKKKSAPAEEQLRGPCWDQSSKASAQDAGDHVQPPEGRDFTLKPKKRRGKKKLQKPVEIAEDATLEETLVKKKKKKDSK
+>DECOY_sp|Q96BK5|PINX1_HUMAN PIN2/TERF1-interacting telomerase inhibitor 1 OS=Homo sapiens OX=9606 GN=PINX1 PE=1 SV=2
+KSDKKKKKKVLTEELTADEAIEVPKQLKKKGRRKKPKLTFDRGEPPQVHDGADQASAKSSQDWCPGRLQEEAPASKKKAVREQAEAREPKGETHRKAKPQLYSEVDKGTAEKNRKKGRKREVQTESIDSGPVPVQPKNKLAAMRKAFYEQITFASTTTTENEEPTSPSADGEPTKKSQRKGFICDLDTKSRSSLDKGKTFKMYHVRNKSIKSKEELSFSKKEKKDSSDTTEQGHCTNLEALLQNFDDQHAIWNDENNITAGLGLHNNKVQVKIHDTAGQEQAGLGKGKSWGMKELMRQGFKSDDNSWATNQPDVAWKQKRRREALMSM
+>sp|Q00169|PIPNA_HUMAN Phosphatidylinositol transfer protein alpha isoform OS=Homo sapiens OX=9606 GN=PITPNA PE=1 SV=2
+MVLLKEYRVILPVSVDEYQVGQLYSVAEASKNETGGGEGVEVLVNEPYEKDGEKGQYTHKIYHLQSKVPTFVRMLAPEGALNIHEKAWNAYPYCRTVITNEYMKEDFLIKIETWHKPDLGTQENVHKLEPEAWKHVEAVYIDIADRSQVLSKDYKAEEDPAKFKSIKTGRGPLGPNWKQELVNQKDCPYMCAYKLVTVKFKWWGLQNKVENFIHKQERRLFTNFHRQLFCWLDKWVDLTMDDIRRMEEETKRQLDEMRQKDPVKGMTADD
+>DECOY_sp|Q00169|PIPNA_HUMAN Phosphatidylinositol transfer protein alpha isoform OS=Homo sapiens OX=9606 GN=PITPNA PE=1 SV=2
+DDATMGKVPDKQRMEDLQRKTEEEMRRIDDMTLDVWKDLWCFLQRHFNTFLRREQKHIFNEVKNQLGWWKFKVTVLKYACMYPCDKQNVLEQKWNPGLPGRGTKISKFKAPDEEAKYDKSLVQSRDAIDIYVAEVHKWAEPELKHVNEQTGLDPKHWTEIKILFDEKMYENTIVTRCYPYANWAKEHINLAGEPALMRVFTPVKSQLHYIKHTYQGKEGDKEYPENVLVEVGEGGGTENKSAEAVSYLQGVQYEDVSVPLIVRYEKLLVM
+>sp|A2A3N6|PIPSL_HUMAN Putative PIP5K1A and PSMD4-like protein OS=Homo sapiens OX=9606 GN=PIPSL PE=5 SV=1
+MASEVPYASGMPIKKIGHRSVDSSGGTTSSALKGAIQLGITHTVGSLSTKPESDVLMQDFHMVESIFFPSEGSNLTPAHHYNAFRFKTYAPVAFRYFWELFGIRPDDYLYSLCSEPLIELCSSGASGSLFYVSSDDEFIVKTVRHKEAEFLQKLLPGYYINLNQNPRTLLPKFYGLYCVQTGGKNIRIVVMNNLLPRSVKMHIKYDLKGSTYRRRASQKEREKPLPTFKDLDFLQDIPDGLFLDADVHNALCKTLQRDCLVLQSFKIMDYSLLMSIHNIDHAQREPLSSETQYSVDTRRPAPQKALYSTAMESIQGEARRGGTMETDDHMGGIPARNSKGERLLLYIGIIDILQSYRFVKKLEHSWKALIHDGDTVSVHRPGFYAEWFQRFMCNTVFKKIPLKPSPSKKLRSGSSFSQRAGSSGNSCITYQPLVSGEHKAQVTTKAEVEPGVHLGCPDVLPQTPPLEEISEGSPTPDPSFSPLVEETLQMLTTSVDNSEYMGNGDFLPTRLQAQQDAVNTVCHSKTRSNPENNVGLITLDNDCEVLTTLTPDTGRILSKLHTVQPKGKITFCMGIHVAHLALKHRQGNNHKIRIIAFVGNPVEDNEKNLVKLAKCLKKEKVNVDIINFGEEEVNTEKLTAFVNTLNGKDGTGSHLVTVPPGPSLADALISFPILAGEGGAMMGLGASDFEFGVDPSADPELALVLRVFMEEQRQRQEEEARQAAAASAAEAGIATTGTEDSDDALLKMTISQQEFGHTGLPDLSSMTEEEKIVCAMQMSLQGAEFGLAESADIDASSAMDTSEPAKEEDDYDVMQDPEFLQSVLENLPGVDPNNEAIRNAVGSLASQATKDSKKDKKEEDKK
+>DECOY_sp|A2A3N6|PIPSL_HUMAN Putative PIP5K1A and PSMD4-like protein OS=Homo sapiens OX=9606 GN=PIPSL PE=5 SV=1
+KKDEEKKDKKSDKTAQSALSGVANRIAENNPDVGPLNELVSQLFEPDQMVDYDDEEKAPESTDMASSADIDASEALGFEAGQLSMQMACVIKEEETMSSLDPLGTHGFEQQSITMKLLADDSDETGTTAIGAEAASAAAAQRAEEEQRQRQEEMFVRLVLALEPDASPDVGFEFDSAGLGMMAGGEGALIPFSILADALSPGPPVTVLHSGTGDKGNLTNVFATLKETNVEEEGFNIIDVNVKEKKLCKALKVLNKENDEVPNGVFAIIRIKHNNGQRHKLALHAVHIGMCFTIKGKPQVTHLKSLIRGTDPTLTTLVECDNDLTILGVNNEPNSRTKSHCVTNVADQQAQLRTPLFDGNGMYESNDVSTTLMQLTEEVLPSFSPDPTPSGESIEELPPTQPLVDPCGLHVGPEVEAKTTVQAKHEGSVLPQYTICSNGSSGARQSFSSGSRLKKSPSPKLPIKKFVTNCMFRQFWEAYFGPRHVSVTDGDHILAKWSHELKKVFRYSQLIDIIGIYLLLREGKSNRAPIGGMHDDTEMTGGRRAEGQISEMATSYLAKQPAPRRTDVSYQTESSLPERQAHDINHISMLLSYDMIKFSQLVLCDRQLTKCLANHVDADLFLGDPIDQLFDLDKFTPLPKEREKQSARRRYTSGKLDYKIHMKVSRPLLNNMVVIRINKGGTQVCYLGYFKPLLTRPNQNLNIYYGPLLKQLFEAEKHRVTKVIFEDDSSVYFLSGSAGSSCLEILPESCLSYLYDDPRIGFLEWFYRFAVPAYTKFRFANYHHAPTLNSGESPFFISEVMHFDQMLVDSEPKTSLSGVTHTIGLQIAGKLASSTTGGSSDVSRHGIKKIPMGSAYPVESAM
+>sp|Q9UG56|PISD_HUMAN Phosphatidylserine decarboxylase proenzyme, mitochondrial OS=Homo sapiens OX=9606 GN=PISD PE=2 SV=4
+MATSVGHRCLGLLHGVAPWRSSLHPCEITALSQSLQPLRKLPFRAFRTDARKIHTAPARTMFLLRPLPILLVTGGGYAGYRQYEKYRERELEKLGLEIPPKLAGHWEVALYKSVPTRLLSRAWGRLNQVELPHWLRRPVYSLYIWTFGVNMKEAAVEDLHHYRNLSEFFRRKLKPQARPVCGLHSVISPSDGRILNFGQVKNCEVEQVKGVTYSLESFLGPRMCTEDLPFPPAASCDSFKNQLVTREGNELYHCVIYLAPGDYHCFHSPTDWTVSHRRHFPGSLMSVNPGMARWIKELFCHNERVVLTGDWKHGFFSLTAVGATNVGSIRIYFDRDLHTNSPRHSKGSYNDFSFVTHTNREGVPMRKGEHLGEFNLGSTIVLIFEAPKDFNFQLKTGQKIRFGEALGSL
+>DECOY_sp|Q9UG56|PISD_HUMAN Phosphatidylserine decarboxylase proenzyme, mitochondrial OS=Homo sapiens OX=9606 GN=PISD PE=2 SV=4
+LSGLAEGFRIKQGTKLQFNFDKPAEFILVITSGLNFEGLHEGKRMPVGERNTHTVFSFDNYSGKSHRPSNTHLDRDFYIRISGVNTAGVATLSFFGHKWDGTLVVRENHCFLEKIWRAMGPNVSMLSGPFHRRHSVTWDTPSHFCHYDGPALYIVCHYLENGERTVLQNKFSDCSAAPPFPLDETCMRPGLFSELSYTVGKVQEVECNKVQGFNLIRGDSPSIVSHLGCVPRAQPKLKRRFFESLNRYHHLDEVAAEKMNVGFTWIYLSYVPRRLWHPLEVQNLRGWARSLLRTPVSKYLAVEWHGALKPPIELGLKELERERYKEYQRYGAYGGGTVLLIPLPRLLFMTRAPATHIKRADTRFARFPLKRLPQLSQSLATIECPHLSSRWPAVGHLLGLCRHGVSTAM
+>sp|Q9NWT1|PK1IP_HUMAN p21-activated protein kinase-interacting protein 1 OS=Homo sapiens OX=9606 GN=PAK1IP1 PE=1 SV=2
+MELVAGCYEQVLFGFAVHPEPEACGDHEQWTLVADFTHHAHTASLSAVAVNSRFVVTGSKDETIHIYDMKKKIEHGALVHHSGTITCLKFYGNRHLISGAEDGLICIWDAKKWECLKSIKAHKGQVTFLSIHPSGKLALSVGTDKTLRTWNLVEGRSAFIKNIKQNAHIVEWSPRGEQYVVIIQNKIDIYQLDTASISGTITNEKRISSVKFLSESVLAVAGDEEVIRFFDCDSLVCLCEFKAHENRVKDMFSFEIPEHHVIVSASSDGFIKMWKLKQDKKVPPSLLCEINTNARLTCLGVWLDKVADMKESLPPAAEPSPVSKEQSKIGKKEPGDTVHKEEKRSKPNTKKRGLTGDSKKATKESGLISTKKRKMVEMLEKKRKKKKIKTMQ
+>DECOY_sp|Q9NWT1|PK1IP_HUMAN p21-activated protein kinase-interacting protein 1 OS=Homo sapiens OX=9606 GN=PAK1IP1 PE=1 SV=2
+QMTKIKKKKRKKELMEVMKRKKTSILGSEKTAKKSDGTLGRKKTNPKSRKEEKHVTDGPEKKGIKSQEKSVPSPEAAPPLSEKMDAVKDLWVGLCTLRANTNIECLLSPPVKKDQKLKWMKIFGDSSASVIVHHEPIEFSFMDKVRNEHAKFECLCVLSDCDFFRIVEEDGAVALVSESLFKVSSIRKENTITGSISATDLQYIDIKNQIIVVYQEGRPSWEVIHANQKINKIFASRGEVLNWTRLTKDTGVSLALKGSPHISLFTVQGKHAKISKLCEWKKADWICILGDEAGSILHRNGYFKLCTITGSHHVLAGHEIKKKMDYIHITEDKSGTVVFRSNVAVASLSATHAHHTFDAVLTWQEHDGCAEPEPHVAFGFLVQEYCGAVLEM
+>sp|Q7Z442|PK1L2_HUMAN Polycystic kidney disease protein 1-like 2 OS=Homo sapiens OX=9606 GN=PKD1L2 PE=1 SV=5
+MSAVGLVLLVLALRLRATTVKPEEGSFCSNSQVAFRDACYEFVPLGRTFRDAQSWCEGQGGHLVFIQDEGTQWFLQKHISQDREWWIGLTWNLARNGTTEGPGTWLDTSNVTYSNWHGGQAAAAPDTCGHIGRGPSSEWVTSDCAQTFAFMCEFRVGQSLACEGLNATVHCGLGQVIQVQDAVYGRQNPHFCTQDAGRPSDLEQGCSWANVKEEVAGQCQELQSCQVAADETYFGNLCPTQGSYLWVQYQCREALQLMVSSESFIFDNVTISLTWLLSPYIGNLSCIISTGDSHTFDPYNPPSVSSNVTHQFTSPGEFTVFAECTTSEWHVTAQRQVTVRDKMETLSVTACSGLSQSGAGPLCQAVFGDPLWIQVELDGGTGVTYTVLLGDITLAESTTQKGSLPYNLILDRETQKLMGPGRHRLEIQATGNTTTSTISRNITVHLVELLSGLQASWASDHLELGQDLLITISLAQGTPEELTFEVAGLNATFSHEQVSFGEPFGICRLAVPVEGTFLVTMLVRNAFSNLSLEIGNITITAPSGLQEPSGMNAEGKSKDKGDMEVYIQPGPYVDPFTTVTLGWPDNDKELRFQWSCGSCWALWSSCVERQLLRTDQRELVVPASCLPPPDSAVTLRLAVLRGQELENRAEQCLYVSAPWELRPRVSCERNCRPVNASKDILLRVTMGEDSPVAMFSWYLDNTPTEQAEPLLDACRLRGFWPRSLTLLQSNTSTLLLNSSFLQSRGEVIRIRATALTRHAYGEDTYVISTVPPREVPACTIAPEEGTVLTSFAIFCNASTALGPLEFCFCLESGSCLHCGPEPALPSVYLPLGEENNDFVLTVVISATNRAGDTQQTQAMAKVALGDTCVEDVAFQAAVSEKIPTALQGEGGPEQLLQLAKAVSSMLNQEHESQGSGQSLSIDVRQKVREHVLGSLSAVTTGLEDVQRVQELAEVLREVTCRSKELTPSAQWEASLALQHASEALLTVSAKARPEDQRRQAATRDLFQAVGSVLEASLSNRPEEPAEASSSQIATVLRLLRVMEHVQTTLLLGKLPGGLPAMLATPSISVYTNRIQPWSWQGSSLRPDAADSATFMLPAASSLSSLEGGQEPVDIKIMSFPKSPFPARSHFDVSGTVGGLRVTSPSGQLIPVKNLSENIEILLPRHSQRHSQPTVLNLTSPEALWVNVTSGEATLGIQLHWRPDIALTLSLGYGYHPNKSSYDAQTHLVPMVAPDELPTWILSPQDLRFGEGVYYLTVVPESDLEPAPGRDLTVGITTFLSHCVFWDEVQETWDDSGCQVGPRTSPYQTHCLCNHLTFFGSTFLVMSNAINIHQTAELFATFEDNPVVVTTVGCLCVVYVLVVIWARRKDAQDQAKVKVTVLEDNDPFAQYHYLVTVYTGHRRGAATSSKVTVTLYGLDGEREPHHLADPDTPVFERGAVDAFLLSTLFPLGELRSLRLWHDNSGDRPSWYVSRVLVYDLVMDRKWYFLCNSWLSINVGDCVLDKVFPVATEQDRKQFSHLFFMKTSAGFQDGHIWYSIFSRCARSSFTRVQRVSCCFSLLLCTMLTSIMFWGVPKDPAEQKMDLGKIEFTWQEVMIGLESSILMFPINLLIVQIFQNTRPRVAKEQNTGKWDRGSPNLTPSPQPMEDGLLTPEAVTKDVSRIVSSLFKALKVPSPALGWDSVNLMDINSLLALVEDVIYPQNTSGQVFWEEAKKREDPVTLTLGSSEMKEKSQCPKPKAARSGPWKDSAYRQCLYLQLEHVEQELRLVGPRGFSQPHSHAQALRQLQTLKGGLGVQPGTWAPAHASALQVSKPPQGLPWWCILVGWLLVAATSGVAAFFTMLYGLHYGRASSLRWLISMAVSFVESMFVTQPLKVLGFAAFFALVLKRVDDEEDTVAPLPGHLLGPDPYALFRARRNSSRDVYQPPLTAAIEKMKTTHLKEQKAFALIREILAYLGFLWMLLLVAYGQRDPSAYHLNRHLQHSFTRGFSGVLGFREFFKWANTTLVSNLYGHPPGFITDGNSKLVGSAQIRQVRVQESSCPLAQQPQAYLNGCRAPYSLDAEDMADYGEGWNATTLSEWQYQSQDQRQGYPIWGKLTVYRGGGYVVPLGTDRQSTSRILRYLFDNTWLDALTRAVFVESTVYNANVNLFCIVTLTLETSALGTFFTHAALQSLRLYPFTDGWHPFVVAAELIYFLFLLYYMVVQGKRMSKETWGYFCSKWNLLELAIILASWSALAVFVKRAVLAERDLQRCRNHREEGISFSETAAADAALGYIIAFLVLLSTVKLWHLLRLNPKMNMITAALRRAWGDISGFMIVILTMLLAYSIASNLIFGWKLRSYKTLFDAAETMVSLQLGIFNYEEVLDYSPVLGSFLIGSCIVFMTFVVLNLFISVILVAFSEEQKYYQLSEEGEIVDLLLMKILSFLGIKSKREEPGSSREQPGSLSQTRHSRPAQALPKD
+>DECOY_sp|Q7Z442|PK1L2_HUMAN Polycystic kidney disease protein 1-like 2 OS=Homo sapiens OX=9606 GN=PKD1L2 PE=1 SV=5
+DKPLAQAPRSHRTQSLSGPQERSSGPEERKSKIGLFSLIKMLLLDVIEGEESLQYYKQEESFAVLIVSIFLNLVVFTMFVICSGILFSGLVPSYDLVEEYNFIGLQLSVMTEAADFLTKYSRLKWGFILNSAISYALLMTLIVIMFGSIDGWARRLAATIMNMKPNLRLLHWLKVTSLLVLFAIIYGLAADAAATESFSIGEERHNRCRQLDREALVARKVFVALASWSALIIALELLNWKSCFYGWTEKSMRKGQVVMYYLLFLFYILEAAVVFPHWGDTFPYLRLSQLAAHTFFTGLASTELTLTVICFLNVNANYVTSEVFVARTLADLWTNDFLYRLIRSTSQRDTGLPVVYGGGRYVTLKGWIPYGQRQDQSQYQWESLTTANWGEGYDAMDEADLSYPARCGNLYAQPQQALPCSSEQVRVQRIQASGVLKSNGDTIFGPPHGYLNSVLTTNAWKFFERFGLVGSFGRTFSHQLHRNLHYASPDRQGYAVLLLMWLFGLYALIERILAFAKQEKLHTTKMKEIAATLPPQYVDRSSNRRARFLAYPDPGLLHGPLPAVTDEEDDVRKLVLAFFAAFGLVKLPQTVFMSEVFSVAMSILWRLSSARGYHLGYLMTFFAAVGSTAAVLLWGVLICWWPLGQPPKSVQLASAHAPAWTGPQVGLGGKLTQLQRLAQAHSHPQSFGRPGVLRLEQEVHELQLYLCQRYASDKWPGSRAAKPKPCQSKEKMESSGLTLTVPDERKKAEEWFVQGSTNQPYIVDEVLALLSNIDMLNVSDWGLAPSPVKLAKFLSSVIRSVDKTVAEPTLLGDEMPQPSPTLNPSGRDWKGTNQEKAVRPRTNQFIQVILLNIPFMLISSELGIMVEQWTFEIKGLDMKQEAPDKPVGWFMISTLMTCLLLSFCCSVRQVRTFSSRACRSFISYWIHGDQFGASTKMFFLHSFQKRDQETAVPFVKDLVCDGVNISLWSNCLFYWKRDMVLDYVLVRSVYWSPRDGSNDHWLRLSRLEGLPFLTSLLFADVAGREFVPTDPDALHHPEREGDLGYLTVTVKSSTAAGRRHGTYVTVLYHYQAFPDNDELVTVKVKAQDQADKRRAWIVVLVYVVCLCGVTTVVVPNDEFTAFLEATQHINIANSMVLFTSGFFTLHNCLCHTQYPSTRPGVQCGSDDWTEQVEDWFVCHSLFTTIGVTLDRGPAPELDSEPVVTLYYVGEGFRLDQPSLIWTPLEDPAVMPVLHTQADYSSKNPHYGYGLSLTLAIDPRWHLQIGLTAEGSTVNVWLAEPSTLNLVTPQSHRQSHRPLLIEINESLNKVPILQGSPSTVRLGGVTGSVDFHSRAPFPSKPFSMIKIDVPEQGGELSSLSSAAPLMFTASDAADPRLSSGQWSWPQIRNTYVSISPTALMAPLGGPLKGLLLTTQVHEMVRLLRLVTAIQSSSAEAPEEPRNSLSAELVSGVAQFLDRTAAQRRQDEPRAKASVTLLAESAHQLALSAEWQASPTLEKSRCTVERLVEALEQVRQVDELGTTVASLSGLVHERVKQRVDISLSQGSGQSEHEQNLMSSVAKALQLLQEPGGEGQLATPIKESVAAQFAVDEVCTDGLAVKAMAQTQQTDGARNTASIVVTLVFDNNEEGLPLYVSPLAPEPGCHLCSGSELCFCFELPGLATSANCFIAFSTLVTGEEPAITCAPVERPPVTSIVYTDEGYAHRTLATARIRIVEGRSQLFSSNLLLTSTNSQLLTLSRPWFGRLRCADLLPEAQETPTNDLYWSFMAVPSDEGMTVRLLIDKSANVPRCNRECSVRPRLEWPASVYLCQEARNELEQGRLVALRLTVASDPPPLCSAPVVLERQDTRLLQREVCSSWLAWCSGCSWQFRLEKDNDPWGLTVTTFPDVYPGPQIYVEMDGKDKSKGEANMGSPEQLGSPATITINGIELSLNSFANRVLMTVLFTGEVPVALRCIGFPEGFSVQEHSFTANLGAVEFTLEEPTGQALSITILLDQGLELHDSAWSAQLGSLLEVLHVTINRSITSTTTNGTAQIELRHRGPGMLKQTERDLILNYPLSGKQTTSEALTIDGLLVTYTVGTGGDLEVQIWLPDGFVAQCLPGAGSQSLGSCATVSLTEMKDRVTVQRQATVHWESTTCEAFVTFEGPSTFQHTVNSSVSPPNYPDFTHSDGTSIICSLNGIYPSLLWTLSITVNDFIFSESSVMLQLAERCQYQVWLYSGQTPCLNGFYTEDAAVQCSQLEQCQGAVEEKVNAWSCGQELDSPRGADQTCFHPNQRGYVADQVQIVQGLGCHVTANLGECALSQGVRFECMFAFTQACDSTVWESSPGRGIHGCTDPAAAAQGGHWNSYTVNSTDLWTGPGETTGNRALNWTLGIWWERDQSIHKQLFWQTGEDQIFVLHGGQGECWSQADRFTRGLPVFEYCADRFAVQSNSCFSGEEPKVTTARLRLALVLLVLGVASM
+>sp|Q7Z443|PK1L3_HUMAN Polycystic kidney disease protein 1-like 3 OS=Homo sapiens OX=9606 GN=PKD1L3 PE=1 SV=1
+MFFKGGSWLWLYIRTSIILGSELNSPAPHGQNNCYQLNRFQCSFEEAQHYCHVQRGFLAHIWNKEVQDLIRDYLEEGKKWWIGQNVMPLKKHQDNKYPADVAANGPPKPLSCTYLSRNFIRISSKGDKCLLKYYFICQTGDFLDGDAHYERNGNNSHLYQRHKKTKRGVAIARDKMPPGPGHLPTTCHYPLPAHLSKTLCHPISQFPSVLSSITSQVTSAASEPSSQPLPVITQLTMPVSVTHAGQSLAETTSSPKEEGHPNTFTSYLQVSLQKASGQVIDEIAGNFSRAVHGLQALNKLQEACEFLQKLTALTPRFSKPAQVNLINSLIYLSEELLRIPFQNNNSLGFKVPPTVCPFHSLNNVTKAGEGSWLESKRHTEPVEDILEMSLVEFGNIGEAFLEQNQSPESSVTLTSANATLLLSRQNISTLPLSSYTLGHPAPVRLGFPSALALKELLNKHPGVNVQITGLAFNPFKDLDNRNIVGSIGSVLLSANRKLLQVHDLMEDIEIMLWRNVSLETHPTSLNMSTHQLTITVNVTSLEKSLIVSIDPDSPLLMTLYLGFQYQPNCTHFHLNITLPKDKVWQKDEEYTWVLNPEHLQHGIGTYYITAVLSERQEGAQQTPSLVSVITAVTQCYYWEIHNQTWSSAGCQVGPQSTILRTQCLCNHLTFFASDFFVVPRTVNVEDTIKLFLRVTNNPVGVSLLASLLGFYVITVVWARKKDQADMQKVKVTVLADNDPSAQFHYLIQVYTGYRRSAATTAKVVITLYGSEGRSEPHHLCDPQKTVFERGGLDVFLLTTWTSLGNLHSLRLWHDNSGVSPSWYVSQVIVCDMAVKRKWHFLCNCWLAVDLGDCELDRVFIPVSKRELFSFRHLFSSMIVEKFTQDYLWLSIATRHPWNQFTRVQRLSCCMTLLLCNMVINVMFWKINSTTAKRDEQMRPFAVAWSELLVSIHTAVILFPINLVIGRLFPLIEPQETLPLFPPIQASCLSDASVEPLSATMVVEELKETVRFLLRRNTYLLSKCEQPPWSSWDITKLVKLLSSLVSSHLEGQGCHQQGERHWARVVPENHHHFCCYLHRVLQRLKSHLGTLGLTQGHQSCDFLDAASQLQKLQELLETHILPTEQEPSREVTSFAILSSEEGKKPISNGLSKWLTSVCWLLLGFTSLASAFFTALYSLELSKDQATSWMISIILSVLQNIFISQPVKVVFFTFLYSLMMSRMPRLNKENEQQTKRILALLAKCSSSVPGSRDKNNPVYVAPAINSPTKHPERTLKKKKLFKLTGDILVQILFLTLLMTAIYSAKNSNRFYLHQAIWKTFSHQFSEIKLLQDFYPWANHILLPSLYGDYRGKNAVLEPSHCKCGVQLIFQIPRTKTYEKVDEGQLAFCDNGHTCGRPKSLFPGLHLRRFSYICSPRPMVLIPTDELHERLTSKNENGFSYIMRGAFFTSLRLESFTSLQMSKKGCVWSIISQVIYYLLVCYYAFIQGCQLKQQKWRFFTGKRNILDTSIILISFILLGLDMKSISLHKKNMARYRDDQDRFISFYEAVKVNSAATHLVGFPVLLATVQLWNLLRHSPRLRVISRTLSRAWDEVVGFLLIILILLTGYAIAFNLLFGCSISDYRTFFSSAVTVVGLLMGISHQEEVFALDPVLGTFLILTSVILMVLVVINLFVSAILMAFGKERKSLKKEAALIDTLLQKLSNLLGISWPQKTSSEQAATTAVGSDTEVLDELP
+>DECOY_sp|Q7Z443|PK1L3_HUMAN Polycystic kidney disease protein 1-like 3 OS=Homo sapiens OX=9606 GN=PKD1L3 PE=1 SV=1
+PLEDLVETDSGVATTAAQESSTKQPWSIGLLNSLKQLLTDILAAEKKLSKREKGFAMLIASVFLNIVVLVMLIVSTLILFTGLVPDLAFVEEQHSIGMLLGVVTVASSFFTRYDSISCGFLLNFAIAYGTLLILIILLFGVVEDWARSLTRSIVRLRPSHRLLNWLQVTALLVPFGVLHTAASNVKVAEYFSIFRDQDDRYRAMNKKHLSISKMDLGLLIFSILIISTDLINRKGTFFRWKQQKLQCGQIFAYYCVLLYYIVQSIISWVCGKKSMQLSTFSELRLSTFFAGRMIYSFGNENKSTLREHLEDTPILVMPRPSCIYSFRRLHLGPFLSKPRGCTHGNDCFALQGEDVKEYTKTRPIQFILQVGCKCHSPELVANKGRYDGYLSPLLIHNAWPYFDQLLKIESFQHSFTKWIAQHLYFRNSNKASYIATMLLTLFLIQVLIDGTLKFLKKKKLTREPHKTPSNIAPAVYVPNNKDRSGPVSSSCKALLALIRKTQQENEKNLRPMRSMMLSYLFTFFVVKVPQSIFINQLVSLIISIMWSTAQDKSLELSYLATFFASALSTFGLLLWCVSTLWKSLGNSIPKKGEESSLIAFSTVERSPEQETPLIHTELLEQLKQLQSAADLFDCSQHGQTLGLTGLHSKLRQLVRHLYCCFHHHNEPVVRAWHREGQQHCGQGELHSSVLSSLLKVLKTIDWSSWPPQECKSLLYTNRRLLFRVTEKLEEVVMTASLPEVSADSLCSAQIPPFLPLTEQPEILPFLRGIVLNIPFLIVATHISVLLESWAVAFPRMQEDRKATTSNIKWFMVNIVMNCLLLTMCCSLRQVRTFQNWPHRTAISLWLYDQTFKEVIMSSFLHRFSFLERKSVPIFVRDLECDGLDVALWCNCLFHWKRKVAMDCVIVQSVYWSPSVGSNDHWLRLSHLNGLSTWTTLLFVDLGGREFVTKQPDCLHHPESRGESGYLTIVVKATTAASRRYGTYVQILYHFQASPDNDALVTVKVKQMDAQDKKRAWVVTIVYFGLLSALLSVGVPNNTVRLFLKITDEVNVTRPVVFFDSAFFTLHNCLCQTRLITSQPGVQCGASSWTQNHIEWYYCQTVATIVSVLSPTQQAGEQRESLVATIYYTGIGHQLHEPNLVWTYEEDKQWVKDKPLTINLHFHTCNPQYQFGLYLTMLLPSDPDISVILSKELSTVNVTITLQHTSMNLSTPHTELSVNRWLMIEIDEMLDHVQLLKRNASLLVSGISGVINRNDLDKFPNFALGTIQVNVGPHKNLLEKLALASPFGLRVPAPHGLTYSSLPLTSINQRSLLLTANASTLTVSSEPSQNQELFAEGINGFEVLSMELIDEVPETHRKSELWSGEGAKTVNNLSHFPCVTPPVKFGLSNNNQFPIRLLEESLYILSNILNVQAPKSFRPTLATLKQLFECAEQLKNLAQLGHVARSFNGAIEDIVQGSAKQLSVQLYSTFTNPHGEEKPSSTTEALSQGAHTVSVPMTLQTIVPLPQSSPESAASTVQSTISSLVSPFQSIPHCLTKSLHAPLPYHCTTPLHGPGPPMKDRAIAVGRKTKKHRQYLHSNNGNREYHADGDLFDGTQCIFYYKLLCKDGKSSIRIFNRSLYTCSLPKPPGNAAVDAPYKNDQHKKLPMVNQGIWWKKGEELYDRILDQVEKNWIHALFGRQVHCYHQAEEFSCQFRNLQYCNNQGHPAPSNLESGLIISTRIYLWLWSGGKFFM
+>sp|Q9P0L9|PK2L1_HUMAN Polycystic kidney disease 2-like 1 protein OS=Homo sapiens OX=9606 GN=PKD2L1 PE=1 SV=1
+MNAVGSPEGQELQKLGSGAWDNPAYSGPPSPHGTLRVCTISSTGPLQPQPKKPEDEPQETAYRTQVSSCCLHICQGIRGLWGTTLTENTAENRELYIKTTLRELLVYIVFLVDICLLTYGMTSSSAYYYTKVMSELFLHTPSDTGVSFQAISSMADFWDFAQGPLLDSLYWTKWYNNQSLGHGSHSFIYYENMLLGVPRLRQLKVRNDSCVVHEDFREDILSCYDVYSPDKEEQLPFGPFNGTAWTYHSQDELGGFSHWGRLTSYSGGGYYLDLPGSRQGSAEALRALQEGLWLDRGTRVVFIDFSVYNANINLFCVLRLVVEFPATGGAIPSWQIRTVKLIRYVSNWDFFIVGCEVIFCVFIFYYVVEEILELHIHRLRYLSSIWNILDLVVILLSIVAVGFHIFRTLEVNRLMGKLLQQPNTYADFEFLAFWQTQYNNMNAVNLFFAWIKIFKYISFNKTMTQLSSTLARCAKDILGFAVMFFIVFFAYAQLGYLLFGTQVENFSTFIKCIFTQFRIILGDFDYNAIDNANRILGPAYFVTYVFFVFFVLLNMFLAIINDTYSEVKEELAGQKDELQLSDLLKQGYNKTLLRLRLRKERVSDVQKVLQGGEQEIQFEDFTNTLRELGHAEHEITELTATFTKFDRDGNRILDEKEQEKMRQDLEEERVALNTEIEKLGRSIVSSPQGKSGPEAARAGGWVSGEEFYMLTRRVLQLETVLEGVVSQIDAVGSKLKMLERKGWLAPSPGVKEQAIWKHPQPAPAVTPDPWGVQGGQESEVPYKREEEALEERRLSRGEIPTLQRS
+>DECOY_sp|Q9P0L9|PK2L1_HUMAN Polycystic kidney disease 2-like 1 protein OS=Homo sapiens OX=9606 GN=PKD2L1 PE=1 SV=1
+SRQLTPIEGRSLRREELAEEERKYPVESEQGGQVGWPDPTVAPAPQPHKWIAQEKVGPSPALWGKRELMKLKSGVADIQSVVGELVTELQLVRRTLMYFEEGSVWGGARAAEPGSKGQPSSVISRGLKEIETNLAVREEELDQRMKEQEKEDLIRNGDRDFKTFTATLETIEHEAHGLERLTNTFDEFQIEQEGGQLVKQVDSVREKRLRLRLLTKNYGQKLLDSLQLEDKQGALEEKVESYTDNIIALFMNLLVFFVFFVYTVFYAPGLIRNANDIANYDFDGLIIRFQTFICKIFTSFNEVQTGFLLYGLQAYAFFVIFFMVAFGLIDKACRALTSSLQTMTKNFSIYKFIKIWAFFLNVANMNNYQTQWFALFEFDAYTNPQQLLKGMLRNVELTRFIHFGVAVISLLIVVLDLINWISSLYRLRHIHLELIEEVVYYFIFVCFIVECGVIFFDWNSVYRILKVTRIQWSPIAGGTAPFEVVLRLVCFLNINANYVSFDIFVVRTGRDLWLGEQLARLAEASGQRSGPLDLYYGGGSYSTLRGWHSFGGLEDQSHYTWATGNFPGFPLQEEKDPSYVDYCSLIDERFDEHVVCSDNRVKLQRLRPVGLLMNEYYIFSHSGHGLSQNNYWKTWYLSDLLPGQAFDWFDAMSSIAQFSVGTDSPTHLFLESMVKTYYYASSSTMGYTLLCIDVLFVIYVLLERLTTKIYLERNEATNETLTTGWLGRIGQCIHLCCSSVQTRYATEQPEDEPKKPQPQLPGTSSITCVRLTGHPSPPGSYAPNDWAGSGLKQLEQGEPSGVANM
+>sp|Q9HAU0|PKHA5_HUMAN Pleckstrin homology domain-containing family A member 5 OS=Homo sapiens OX=9606 GN=PLEKHA5 PE=1 SV=1
+MAADLNLEWISLPRSWTYGITRGGRVFFINEEAKSTTWLHPVTGEAVVTGHRRQSTDLPTGWEEAYTFEGARYYINHNERKVTCKHPVTGQPSQDNCIFVVNEQTVATMTSEEKKERPISMINEASNYNVTSDYAVHPMSPVGRTSRASKKVHNFGKRSNSIKRNPNAPVVRRGWLYKQDSTGMKLWKKRWFVLSDLCLFYYRDEKEEGILGSILLPSFQIALLTSEDHINRKYAFKAAHPNMRTYYFCTDTGKEMELWMKAMLDAALVQTEPVKRVDKITSENAPTKETNNIPNHRVLIKPEIQNNQKNKEMSKIEEKKALEAEKYGFQKDGQDRPLTKINSVKLNSLPSEYESGSACPAQTVHYRPINLSSSENKIVNVSLADLRGGNRPNTGPLYTEADRVIQRTNSMQQLEQWIKIQKGRGHEEETRGVISYQTLPRNMPSHRAQIMARYPEGYRTLPRNSKTRPESICSVTPSTHDKTLGPGAEEKRRSMRDDTMWQLYEWQQRQFYNKQSTLPRHSTLSSPKTMVNISDQTMHSIPTSPSHGSIAAYQGYSPQRTYRSEVSSPIQRGDVTIDRRHRAHHPKHVYVPDRRSVPAGLTLQSVSPQSLQGKTLSQDEGRGTLYKYRPEEVDIDAKLSRLCEQDKVVHALEEKLQQLHKEKYTLEQALLSASQEIEMHADNPAAIQTVVLQRDDLQNGLLSTCRELSRATAELERAWREYDKLEYDVTVTRNQMQEQLDHLGEVQTESAGIQRAQIQKELWRIQDVMEGLSKHKQQRGTTEIGMIGSKPFSTVKYKNEGPDYRLYKSEPELTTVAEVDESNGEEKSEPVSEIETSVVKGSHFPVGVVPPRAKSPTPESSTIASYVTLRKTKKMMDLRTERPRSAVEQLCLAESTRPRMTVEEQMERIRRHQQACLREKKKGLNVIGASDQSPLQSPSNLRDNPFRTTQTRRRDDKELDTAIRENDVKPDHETPATEIVQLKETEPQNVDFSKELKKTENISYEMLFEPEPNGVNSVEMMDKERNKDKMPEDVTFSPQDETQTANHKPEEHPEENTKNSVDEQEETVISYESTPEVSRGNQTMAVKSLSPSPESSASPVPSTQPQLTEGSHFMCV
+>DECOY_sp|Q9HAU0|PKHA5_HUMAN Pleckstrin homology domain-containing family A member 5 OS=Homo sapiens OX=9606 GN=PLEKHA5 PE=1 SV=1
+VCMFHSGETLQPQTSPVPSASSEPSPSLSKVAMTQNGRSVEPTSEYSIVTEEQEDVSNKTNEEPHEEPKHNATQTEDQPSFTVDEPMKDKNREKDMMEVSNVGNPEPEFLMEYSINETKKLEKSFDVNQPETEKLQVIETAPTEHDPKVDNERIATDLEKDDRRRTQTTRFPNDRLNSPSQLPSQDSAGIVNLGKKKERLCAQQHRRIREMQEEVTMRPRTSEALCLQEVASRPRETRLDMMKKTKRLTVYSAITSSEPTPSKARPPVVGVPFHSGKVVSTEIESVPESKEEGNSEDVEAVTTLEPESKYLRYDPGENKYKVTSFPKSGIMGIETTGRQQKHKSLGEMVDQIRWLEKQIQARQIGASETQVEGLHDLQEQMQNRTVTVDYELKDYERWARELEATARSLERCTSLLGNQLDDRQLVVTQIAAPNDAHMEIEQSASLLAQELTYKEKHLQQLKEELAHVVKDQECLRSLKADIDVEEPRYKYLTGRGEDQSLTKGQLSQPSVSQLTLGAPVSRRDPVYVHKPHHARHRRDITVDGRQIPSSVESRYTRQPSYGQYAAISGHSPSTPISHMTQDSINVMTKPSSLTSHRPLTSQKNYFQRQQWEYLQWMTDDRMSRRKEEAGPGLTKDHTSPTVSCISEPRTKSNRPLTRYGEPYRAMIQARHSPMNRPLTQYSIVGRTEEEHGRGKQIKIWQELQQMSNTRQIVRDAETYLPGTNPRNGGRLDALSVNVIKNESSSLNIPRYHVTQAPCASGSEYESPLSNLKVSNIKTLPRDQGDKQFGYKEAELAKKEEIKSMEKNKQNNQIEPKILVRHNPINNTEKTPANESTIKDVRKVPETQVLAADLMAKMWLEMEKGTDTCFYYTRMNPHAAKFAYKRNIHDESTLLAIQFSPLLISGLIGEEKEDRYYFLCLDSLVFWRKKWLKMGTSDQKYLWGRRVVPANPNRKISNSRKGFNHVKKSARSTRGVPSMPHVAYDSTVNYNSAENIMSIPREKKEESTMTAVTQENVVFICNDQSPQGTVPHKCTVKRENHNIYYRAGEFTYAEEWGTPLDTSQRRHGTVVAEGTVPHLWTTSKAEENIFFVRGGRTIGYTWSRPLSIWELNLDAAM
+>sp|Q96JA3|PKHA8_HUMAN Pleckstrin homology domain-containing family A member 8 OS=Homo sapiens OX=9606 GN=PLEKHA8 PE=1 SV=3
+MEGVLYKWTNYLSGWQPRWFLLCGGILSYYDSPEDAWKGCKGSIQMAVCEIQVHSVDNTRMDLIIPGEQYFYLKARSVAERQRWLVALGSAKACLTDSRTQKEKEFAENTENLKTKMSELRLYCDLLVQQVDKTKEVTTTGVSNSEEGIDVGTLLKSTCNTFLKTLEECMQIANAAFTSELLYRTPPGSPQLAMLKSSKMKHPIIPIHNSLERQMELSTCENGSLNMEINGEEEILMKNKNSLYLKSAEIDCSISSEENTDDNITVQGEIRKEDGMENLKNHDNNLTQSGSDSSCSPECLWEEGKEVIPTFFSTMNTSFSDIELLEDSGIPTEAFLASCYAVVPVLDKLGPTVFAPVKMDLVGNIKKVNQKYITNKEEFTTLQKIVLHEVEADVAQVRNSATEALLWLKRGLKFLKGFLTEVKNGEKDIQTALNNAYGKTLRQHHGWVVRGVFALALRAAPSYEDFVAALTVKEGDHQKEAFSIGMQRDLSLYLPAMEKQLAILDTLYEVHGLESDEVV
+>DECOY_sp|Q96JA3|PKHA8_HUMAN Pleckstrin homology domain-containing family A member 8 OS=Homo sapiens OX=9606 GN=PLEKHA8 PE=1 SV=3
+VVEDSELGHVEYLTDLIALQKEMAPLYLSLDRQMGISFAEKQHDGEKVTLAAVFDEYSPAARLALAFVGRVVWGHHQRLTKGYANNLATQIDKEGNKVETLFGKLFKLGRKLWLLAETASNRVQAVDAEVEHLVIKQLTTFEEKNTIYKQNVKKINGVLDMKVPAFVTPGLKDLVPVVAYCSALFAETPIGSDELLEIDSFSTNMTSFFTPIVEKGEEWLCEPSCSSDSGSQTLNNDHNKLNEMGDEKRIEGQVTINDDTNEESSISCDIEASKLYLSNKNKMLIEEEGNIEMNLSGNECTSLEMQRELSNHIPIIPHKMKSSKLMALQPSGPPTRYLLESTFAANAIQMCEELTKLFTNCTSKLLTGVDIGEESNSVGTTTVEKTKDVQQVLLDCYLRLESMKTKLNETNEAFEKEKQTRSDTLCAKASGLAVLWRQREAVSRAKLYFYQEGPIILDMRTNDVSHVQIECVAMQISGKCGKWADEPSDYYSLIGGCLLFWRPQWGSLYNTWKYLVGEM
+>sp|Q6P5Z2|PKN3_HUMAN Serine/threonine-protein kinase N3 OS=Homo sapiens OX=9606 GN=PKN3 PE=1 SV=1
+MEEGAPRQPGPSQWPPEDEKEVIRRAIQKELKIKEGVENLRRVATDRRHLGHVQQLLRSSNRRLEQLHGELRELHARILLPGPGPGPAEPVASGPRPWAEQLRARHLEALRRQLHVELKVKQGAENMTHTCASGTPKERKLLAAAQQMLRDSQLKVALLRMKISSLEASGSPEPGPELLAEELQHRLHVEAAVAEGAKNVVKLLSSRRTQDRKALAEAQAQLQESSQKLDLLRLALEQLLEQLPPAHPLRSRVTRELRAAVPGYPQPSGTPVKPTALTGTLQVRLLGCEQLLTAVPGRSPAAALASSPSEGWLRTKAKHQRGRGELASEVLAVLKVDNRVVGQTGWGQVAEQSWDQTFVIPLERARELEIGVHWRDWRQLCGVAFLRLEDFLDNACHQLSLSLVPQGLLFAQVTFCDPVIERRPRLQRQERIFSKRRGQDFLRASQMNLGMAAWGRLVMNLLPPCSSPSTISPPKGCPRTPTTLREASDPATPSNFLPKKTPLGEEMTPPPKPPRLYLPQEPTSEETPRTKRPHMEPRTRRGPSPPASPTRKPPRLQDFRCLAVLGRGHFGKVLLVQFKGTGKYYAIKALKKQEVLSRDEIESLYCEKRILEAVGCTGHPFLLSLLACFQTSSHACFVTEFVPGGDLMMQIHEDVFPEPQARFYVACVVLGLQFLHEKKIIYRDLKLDNLLLDAQGFLKIADFGLCKEGIGFGDRTSTFCGTPEFLAPEVLTQEAYTRAVDWWGLGVLLYEMLVGECPFPGDTEEEVFDCIVNMDAPYPGFLSVQGLEFIQKLLQKCPEKRLGAGEQDAEEIKVQPFFRTTNWQALLARTIQPPFVPTLCGPADLRYFEGEFTGLPPALTPPAPHSLLTARQQAAFRDFDFVSERFLEP
+>DECOY_sp|Q6P5Z2|PKN3_HUMAN Serine/threonine-protein kinase N3 OS=Homo sapiens OX=9606 GN=PKN3 PE=1 SV=1
+PELFRESVFDFDRFAAQQRATLLSHPAPPTLAPPLGTFEGEFYRLDAPGCLTPVFPPQITRALLAQWNTTRFFPQVKIEEADQEGAGLRKEPCKQLLKQIFELGQVSLFGPYPADMNVICDFVEEETDGPFPCEGVLMEYLLVGLGWWDVARTYAEQTLVEPALFEPTGCFTSTRDGFGIGEKCLGFDAIKLFGQADLLLNDLKLDRYIIKKEHLFQLGLVVCAVYFRAQPEPFVDEHIQMMLDGGPVFETVFCAHSSTQFCALLSLLFPHGTCGVAELIRKECYLSEIEDRSLVEQKKLAKIAYYKGTGKFQVLLVKGFHGRGLVALCRFDQLRPPKRTPSAPPSPGRRTRPEMHPRKTRPTEESTPEQPLYLRPPKPPPTMEEGLPTKKPLFNSPTAPDSAERLTTPTRPCGKPPSITSPSSCPPLLNMVLRGWAAMGLNMQSARLFDQGRRKSFIREQRQLRPRREIVPDCFTVQAFLLGQPVLSLSLQHCANDLFDELRLFAVGCLQRWDRWHVGIELERARELPIVFTQDWSQEAVQGWGTQGVVRNDVKLVALVESALEGRGRQHKAKTRLWGESPSSALAAAPSRGPVATLLQECGLLRVQLTGTLATPKVPTGSPQPYGPVAARLERTVRSRLPHAPPLQELLQELALRLLDLKQSSEQLQAQAEALAKRDQTRRSSLLKVVNKAGEAVAAEVHLRHQLEEALLEPGPEPSGSAELSSIKMRLLAVKLQSDRLMQQAAALLKREKPTGSACTHTMNEAGQKVKLEVHLQRRLAELHRARLQEAWPRPGSAVPEAPGPGPGPLLIRAHLERLEGHLQELRRNSSRLLQQVHGLHRRDTAVRRLNEVGEKIKLEKQIARRIVEKEDEPPWQSPGPQRPAGEEM
+>sp|Q9UM63|PLAL1_HUMAN Zinc finger protein PLAGL1 OS=Homo sapiens OX=9606 GN=PLAGL1 PE=1 SV=2
+MATFPCQLCGKTFLTLEKFTIHNYSHSRERPYKCVQPDCGKAFVSRYKLMRHMATHSPQKSHQCAHCEKTFNRKDHLKNHLQTHDPNKMAFGCEECGKKYNTMLGYKRHLALHAASSGDLTCGVCALELGSTEVLLDHLKAHAEEKPPSGTKEKKHQCDHCERCFYTRKDVRRHLVVHTGCKDFLCQFCAQRFGRKDHLTRHTKKTHSQELMKESLQTGDLLSTFHTISPSFQLKAAALPPFPLGASAQNGLASSLPAEVHSLTLSPPEQAAQPMQPLPESLASLHPSVSPGSPPPPLPNHKYNTTSTSYSPLASLPLKADTKGFCNISLFEDLPLQEPQSPQKLNPGFDLAKGNAGKVNLPKELPADAVNLTIPASLDLSPLLGFWQLPPPATQNTFGNSTLALGPGESLPHRLSCLGQQQQEPPLAMGTVSLGQLPLPPIPHVFSAGTGSAILPHFHHAFR
+>DECOY_sp|Q9UM63|PLAL1_HUMAN Zinc finger protein PLAGL1 OS=Homo sapiens OX=9606 GN=PLAGL1 PE=1 SV=2
+RFAHHFHPLIASGTGASFVHPIPPLPLQGLSVTGMALPPEQQQQGLCSLRHPLSEGPGLALTSNGFTNQTAPPPLQWFGLLPSLDLSAPITLNVADAPLEKPLNVKGANGKALDFGPNLKQPSQPEQLPLDEFLSINCFGKTDAKLPLSALPSYSTSTTNYKHNPLPPPPSGPSVSPHLSALSEPLPQMPQAAQEPPSLTLSHVEAPLSSALGNQASAGLPFPPLAAAKLQFSPSITHFTSLLDGTQLSEKMLEQSHTKKTHRTLHDKRGFRQACFQCLFDKCGTHVVLHRRVDKRTYFCRECHDCQHKKEKTGSPPKEEAHAKLHDLLVETSGLELACVGCTLDGSSAAHLALHRKYGLMTNYKKGCEECGFAMKNPDHTQLHNKLHDKRNFTKECHACQHSKQPSHTAMHRMLKYRSVFAKGCDPQVCKYPRERSHSYNHITFKELTLFTKGCLQCPFTAM
+>sp|P51178|PLCD1_HUMAN 1-phosphatidylinositol 4,5-bisphosphate phosphodiesterase delta-1 OS=Homo sapiens OX=9606 GN=PLCD1 PE=1 SV=2
+MDSGRDFLTLHGLQDDEDLQALLKGSQLLKVKSSSWRRERFYKLQEDCKTIWQESRKVMRTPESQLFSIEDIQEVRMGHRTEGLEKFARDVPEDRCFSIVFKDQRNTLDLIAPSPADAQHWVLGLHKIIHHSGSMDQRQKLQHWIHSCLRKADKNKDNKMSFKELQNFLKELNIQVDDSYARKIFRECDHSQTDSLEDEEIEAFYKMLTQRVEIDRTFAEAAGSGETLSVDQLVTFLQHQQREEAAGPALALSLIERYEPSETAKAQRQMTKDGFLMYLLSADGSAFSLAHRRVYQDMGQPLSHYLVSSSHNTYLLEDQLAGPSSTEAYIRALCKGCRCLELDCWDGPNQEPIIYHGYTFTSKILFCDVLRAIRDYAFKASPYPVILSLENHCTLEQQRVMARHLHAILGPMLLNRPLDGVTNSLPSPEQLKGKILLKGKKLGGLLPPGGEGGPEATVVSDEDEAAEMEDEAVRSRVQHKPKEDKLRLAQELSDMVIYCKSVHFGGFSSPGTPGQAFYEMASFSENRALRLLQESGNGFVRHNVGHLSRIYPAGWRTDSSNYSPVEMWNGGCQIVALNFQTPGPEMDVYQGRFQDNGACGYVLKPAFLRDPNGTFNPRALAQGPWWARKRLNIRVISGQQLPKVNKNKNSIVDPKVTVEIHGVSRDVASRQTAVITNNGFNPWWDTEFAFEVVVPDLALIRFLVEDYDASSKNDFIGQSTIPLNSLKQGYRHVHLMSKNGDQHPSATLFVKISLQD
+>DECOY_sp|P51178|PLCD1_HUMAN 1-phosphatidylinositol 4,5-bisphosphate phosphodiesterase delta-1 OS=Homo sapiens OX=9606 GN=PLCD1 PE=1 SV=2
+DQLSIKVFLTASPHQDGNKSMLHVHRYGQKLSNLPITSQGIFDNKSSADYDEVLFRILALDPVVVEFAFETDWWPNFGNNTIVATQRSAVDRSVGHIEVTVKPDVISNKNKNVKPLQQGSIVRINLRKRAWWPGQALARPNFTGNPDRLFAPKLVYGCAGNDQFRGQYVDMEPGPTQFNLAVIQCGGNWMEVPSYNSSDTRWGAPYIRSLHGVNHRVFGNGSEQLLRLARNESFSAMEYFAQGPTGPSSFGGFHVSKCYIVMDSLEQALRLKDEKPKHQVRSRVAEDEMEAAEDEDSVVTAEPGGEGGPPLLGGLKKGKLLIKGKLQEPSPLSNTVGDLPRNLLMPGLIAHLHRAMVRQQELTCHNELSLIVPYPSAKFAYDRIARLVDCFLIKSTFTYGHYIIPEQNPGDWCDLELCRCGKCLARIYAETSSPGALQDELLYTNHSSSVLYHSLPQGMDQYVRRHALSFASGDASLLYMLFGDKTMQRQAKATESPEYREILSLALAPGAAEERQQHQLFTVLQDVSLTEGSGAAEAFTRDIEVRQTLMKYFAEIEEDELSDTQSHDCERFIKRAYSDDVQINLEKLFNQLEKFSMKNDKNKDAKRLCSHIWHQLKQRQDMSGSHHIIKHLGLVWHQADAPSPAILDLTNRQDKFVISFCRDEPVDRAFKELGETRHGMRVEQIDEISFLQSEPTRMVKRSEQWITKCDEQLKYFRERRWSSSKVKLLQSGKLLAQLDEDDQLGHLTLFDRGSDM
+>sp|Q9NUQ2|PLCE_HUMAN 1-acyl-sn-glycerol-3-phosphate acyltransferase epsilon OS=Homo sapiens OX=9606 GN=AGPAT5 PE=1 SV=3
+MLLSLVLHTYSMRYLLPSVVLLGTAPTYVLAWGVWRLLSAFLPARFYQALDDRLYCVYQSMVLFFFENYTGVQILLYGDLPKNKENIIYLANHQSTVDWIVADILAIRQNALGHVRYVLKEGLKWLPLYGCYFAQHGGIYVKRSAKFNEKEMRNKLQSYVDAGTPMYLVIFPEGTRYNPEQTKVLSASQAFAAQRGLAVLKHVLTPRIKATHVAFDCMKNYLDAIYDVTVVYEGKDDGGQRRESPTMTEFLCKECPKIHIHIDRIDKKDVPEEQEHMRRWLHERFEIKDKMLIEFYESPDPERRKRFPGKSVNSKLSIKKTLPSMLILSGLTAGMLMTDAGRKLYVNTWIYGTLLGCLWVTIKA
+>DECOY_sp|Q9NUQ2|PLCE_HUMAN 1-acyl-sn-glycerol-3-phosphate acyltransferase epsilon OS=Homo sapiens OX=9606 GN=AGPAT5 PE=1 SV=3
+AKITVWLCGLLTGYIWTNVYLKRGADTMLMGATLGSLILMSPLTKKISLKSNVSKGPFRKRREPDPSEYFEILMKDKIEFREHLWRRMHEQEEPVDKKDIRDIHIHIKPCEKCLFETMTPSERRQGGDDKGEYVVTVDYIADLYNKMCDFAVHTAKIRPTLVHKLVALGRQAAFAQSASLVKTQEPNYRTGEPFIVLYMPTGADVYSQLKNRMEKENFKASRKVYIGGHQAFYCGYLPLWKLGEKLVYRVHGLANQRIALIDAVIWDVTSQHNALYIINEKNKPLDGYLLIQVGTYNEFFFLVMSQYVCYLRDDLAQYFRAPLFASLLRWVGWALVYTPATGLLVVSPLLYRMSYTHLVLSLLM
+>sp|Q4KWH8|PLCH1_HUMAN 1-phosphatidylinositol 4,5-bisphosphate phosphodiesterase eta-1 OS=Homo sapiens OX=9606 GN=PLCH1 PE=1 SV=1
+MADLEVYKNLSPEKVERCMSVMQSGTQMIKLKRGTKGLVRLFYLDEHRTRLRWRPSRKSEKAKILIDSIYKVTEGRQSEIFHRQAEGNFDPSCCFTIYHGNHMESLDLITSNPEEARTWITGLKYLMAGISDEDSLAKRQRTHDQWVKQTFEEADKNGDGLLNIEEIHQLMHKLNVNLPRRKVRQMFQEADTDENQGTLTFEEFCVFYKMMSLRRDLYLLLLSYSDKKDHLTVEELAQFLKVEQKMNNVTTDYCLDIIKKFEVSEENKVKNVLGIEGFTNFMRSPACDIFNPLHHEVYQDMDQPLCNYYIASSHNTYLTGDQLLSQSKVDMYARVLQEGCRCVEVDCWDGPDGEPVVHHGYTLTSKILFRDVVETINKHAFVKNEFPVILSIENHCSIQQQRKIAQYLKGIFGDKLDLSSVDTGECKQLPSPQSLKGKILVKGKKLPYHLGDDAEEGEVSDEDSADEIEDECKFKLHYSNGTTEHQVESFIRKKLESLLKESQIRDKEDPDSFTVRALLKATHEGLNAHLKQSPDVKESGKKSHGRSLMTNFGKHKKTTKSRSKSYSTDDEEDTQQSTGKEGGQLYRLGRRRKTMKLCRELSDLVVYTNSVAAQDIVDDGTTGNVLSFSETRAHQVVQQKSEQFMIYNQKQLTRIYPSAYRIDSSNFNPLPYWNAGCQLVALNYQSEGRMMQLNRAKFKANGNCGYVLKPQQMCKGTFNPFSGDPLPANPKKQLILKVISGQQLPKPPDSMFGDRGEIIDPFVEVEIIGLPVDCCKDQTRVVDDNGFNPVWEETLTFTVHMPEIALVRFLVWDHDPIGRDFVGQRTVTFSSLVPGYRHVYLEGLTEASIFVHITINEIYGKWSPLILNPSYTILHFLGATKNRQLQGLKGLFNKNPRHSSSENNSHYVRKRSIGDRILRRTASAPAKGRKKSKMGFQEMVEIKDSVSEATRDQDGVLRRTTRSLQARPVSMPVDRNLLGALSLPVSETAKDIEGKENSLAEDKDGRRKGKASIKDPHFLNFNKKLSSSSSALLHKDTSQGDTIVSTAHMSVTGEQLGMSSPRGGRTTSNATSNCQENPCPSKSLSPKQHLAPDPVVNPTQDLHGVKIKEKGNPEDFVEGKSILSGSVLSHSNLEIKNLEGNRGKGRAATSFSLSDVSMLCSDIPDLHSTAILQESVISHLIDNVTLTNENEPGSSISALIGQFDETNNQALTVVSHLHNTSVMSGHCPLPSLGLKMPIKHGFCKGKSKSSFLCSSPELIALSSSETTKHATNTVYETTCTPISKTKPDDDLSSKAKTAALESNLPGSPNTSRGWLPKSPTKGEDWETLKSCSPASSPDLTLEDVIADPTLCFNSGESSLVEIDGESENLSLTTCEYRREGTSQLASPLKLKYNQGVVEHFQRGLRNGYCKETLRPSVPEIFNNIQDVKTQSISYLAYQGAGFVHNHFSDSDAKMFQTCVPQQSSAQDMHVPVPKQLAHLPLPALKLPSPCKSKSLGDLTSEDIACNFESKYQCISKSFVTTGIRDKKGVTVKTKSLEPIDALTEQLRKLVSFDQEDNCQVLYSKQDANQLPRALVRKLSSRSQSRVRNIASRAKEKQEANKQKVPNPSNGAGVVLRNKPSAPTPAVNRHSTGSYIAGYLKNTKGGGLEGRGIPEGACTALHYGHVDQFCSDNSVLQTEPSSDDKPEIYFLLRL
+>DECOY_sp|Q4KWH8|PLCH1_HUMAN 1-phosphatidylinositol 4,5-bisphosphate phosphodiesterase eta-1 OS=Homo sapiens OX=9606 GN=PLCH1 PE=1 SV=1
+LRLLFYIEPKDDSSPETQLVSNDSCFQDVHGYHLATCAGEPIGRGELGGGKTNKLYGAIYSGTSHRNVAPTPASPKNRLVVGAGNSPNPVKQKNAEQKEKARSAINRVRSQSRSSLKRVLARPLQNADQKSYLVQCNDEQDFSVLKRLQETLADIPELSKTKVTVGKKDRIGTTVFSKSICQYKSEFNCAIDESTLDGLSKSKCPSPLKLAPLPLHALQKPVPVHMDQASSQQPVCTQFMKADSDSFHNHVFGAGQYALYSISQTKVDQINNFIEPVSPRLTEKCYGNRLGRQFHEVVGQNYKLKLPSALQSTGERRYECTTLSLNESEGDIEVLSSEGSNFCLTPDAIVDELTLDPSSAPSCSKLTEWDEGKTPSKPLWGRSTNPSGPLNSELAATKAKSSLDDDPKTKSIPTCTTEYVTNTAHKTTESSSLAILEPSSCLFSSKSKGKCFGHKIPMKLGLSPLPCHGSMVSTNHLHSVVTLAQNNTEDFQGILASISSGPENENTLTVNDILHSIVSEQLIATSHLDPIDSCLMSVDSLSFSTAARGKGRNGELNKIELNSHSLVSGSLISKGEVFDEPNGKEKIKVGHLDQTPNVVPDPALHQKPSLSKSPCPNEQCNSTANSTTRGGRPSSMGLQEGTVSMHATSVITDGQSTDKHLLASSSSSLKKNFNLFHPDKISAKGKRRGDKDEALSNEKGEIDKATESVPLSLAGLLNRDVPMSVPRAQLSRTTRRLVGDQDRTAESVSDKIEVMEQFGMKSKKRGKAPASATRRLIRDGISRKRVYHSNNESSSHRPNKNFLGKLGQLQRNKTAGLFHLITYSPNLILPSWKGYIENITIHVFISAETLGELYVHRYGPVLSSFTVTRQGVFDRGIPDHDWVLFRVLAIEPMHVTFTLTEEWVPNFGNDDVVRTQDKCCDVPLGIIEVEVFPDIIEGRDGFMSDPPKPLQQGSIVKLILQKKPNAPLPDGSFPNFTGKCMQQPKLVYGCNGNAKFKARNLQMMRGESQYNLAVLQCGANWYPLPNFNSSDIRYASPYIRTLQKQNYIMFQESKQQVVQHARTESFSLVNGTTGDDVIDQAAVSNTYVVLDSLERCLKMTKRRRGLRYLQGGEKGTSQQTDEEDDTSYSKSRSKTTKKHKGFNTMLSRGHSKKGSEKVDPSQKLHANLGEHTAKLLARVTFSDPDEKDRIQSEKLLSELKKRIFSEVQHETTGNSYHLKFKCEDEIEDASDEDSVEGEEADDGLHYPLKKGKVLIKGKLSQPSPLQKCEGTDVSSLDLKDGFIGKLYQAIKRQQQISCHNEISLIVPFENKVFAHKNITEVVDRFLIKSTLTYGHHVVPEGDPGDWCDVEVCRCGEQLVRAYMDVKSQSLLQDGTLYTNHSSAIYYNCLPQDMDQYVEHHLPNFIDCAPSRMFNTFGEIGLVNKVKNEESVEFKKIIDLCYDTTVNNMKQEVKLFQALEEVTLHDKKDSYSLLLLYLDRRLSMMKYFVCFEEFTLTGQNEDTDAEQFMQRVKRRPLNVNLKHMLQHIEEINLLGDGNKDAEEFTQKVWQDHTRQRKALSDEDSIGAMLYKLGTIWTRAEEPNSTILDLSEMHNGHYITFCCSPDFNGEAQRHFIESQRGETVKYISDILIKAKESKRSPRWRLRTRHEDLYFLRVLGKTGRKLKIMQTGSQMVSMCREVKEPSLNKYVELDAM
+>sp|O75038|PLCH2_HUMAN 1-phosphatidylinositol 4,5-bisphosphate phosphodiesterase eta-2 OS=Homo sapiens OX=9606 GN=PLCH2 PE=2 SV=3
+MSGPWPSPDSRTKGTVAWLAEVLLWVGGSVVLSSEWQLGPLVERCMGAMQEGMQMVKLRGGSKGLVRFYYLDEHRSCIRWRPSRKNEKAKISIDSIQEVSEGRQSEVFQRYPDGSFDPNCCFSIYHGSHRESLDLVSTSSEVARTWVTGLRYLMAGISDEDSLARRQRTRDQWLKQTFDEADKNGDGSLSIGEVLQLLHKLNVNLPRQRVKQMFREADTDDHQGTLGFEEFCAFYKMMSTRRDLYLLMLTYSNHKDHLDAASLQRFLQVEQKMAGVTLESCQDIIEQFEPCPENKSKGLLGIDGFTNYTRSPAGDIFNPEHHHVHQDMTQPLSHYFITSSHNTYLVGDQLMSQSRVDMYAWVLQAGCRCVEVDCWDGPDGEPIVHHGYTLTSKILFKDVIETINKYAFIKNEYPVILSIENHCSVIQQKKMAQYLTDILGDKLDLSSVSSEDATTLPSPQMLKGKILVKGKKLPANISEDAEEGEVSDEDSADEIDDDCKLLNGDASTNRKRVENTAKRKLDSLIKESKIRDCEDPNNFSVSTLSPSGKLGRKSKAEEDVESGEDAGASRRNGRLVVGSFSRRKKKGSKLKKAASVEEGDEGQDSPGGQSRGATRQKKTMKLSRALSDLVKYTKSVATHDIEMEAASSWQVSSFSETKAHQILQQKPAQYLRFNQQQLSRIYPSSYRVDSSNYNPQPFWNAGCQMVALNYQSEGRMLQLNRAKFSANGGCGYVLKPGCMCQGVFNPNSEDPLPGQLKKQLVLRIISGQQLPKPRDSMLGDRGEIIDPFVEVEIIGLPVDCSREQTRVVDDNGFNPTWEETLVFMVHMPEIALVRFLVWDHDPIGRDFIGQRTLAFSSMMPGYRHVYLEGMEEASIFVHVAVSDISGKVKQALGLKGLFLRGPKPGSLDSHAAGRPPARPSVSQRILRRTASAPTKSQKPGRRGFPELVLGTRDTGSKGVADDVVPPGPGPAPEAPAQEGPGSGSPRDTRPLSTQRPLPPLCSLETIAEEPAPGPGPPPPAAVPTSSSQGRPPYPTGPGANVASPLEDTEEPRDSRPRPCNGEGAGGAYERAPGSQTDGRSQPRTLGHLPVIRRVKSEGQVPTEPLGGWRPLAAPFPAPAVYSDATGSDPLWQRLEPCGHRDSVSSSSSMSSSDTVIDLSLPSLGLGRSRENLAGAHMGRLPPRPHSASAARPDLPPVTKSKSNPNLRATGQRPPIPDELQPRSLAPRMAGLPFRPPWGCLSLVGVQDCPVAAKSKSLGDLTADDFAPSFEGGSRRLSHSLGLPGGTRRVSGPGVRRDTLTEQLRWLTVFQQAGDITSPTSLGPAGEGVAGGPGFVRRSSSRSHSRVRAIASRARQAQERQQRLQGLGRQGPPEEERGTPEGACSVGHEGSVDAPAPSKGALGPASAAAENLVLLRL
+>DECOY_sp|O75038|PLCH2_HUMAN 1-phosphatidylinositol 4,5-bisphosphate phosphodiesterase eta-2 OS=Homo sapiens OX=9606 GN=PLCH2 PE=2 SV=3
+LRLLVLNEAAASAPGLAGKSPAPADVSGEHGVSCAGEPTGREEEPPGQRGLGQLRQQREQAQRARSAIARVRSHSRSSSRRVFGPGGAVGEGAPGLSTPSTIDGAQQFVTLWRLQETLTDRRVGPGSVRRTGGPLGLSHSLRRSGGEFSPAFDDATLDGLSKSKAAVPCDQVGVLSLCGWPPRFPLGAMRPALSRPQLEDPIPPRQGTARLNPNSKSKTVPPLDPRAASASHPRPPLRGMHAGALNERSRGLGLSPLSLDIVTDSSSMSSSSSVSDRHGCPELRQWLPDSGTADSYVAPAPFPAALPRWGGLPETPVQGESKVRRIVPLHGLTRPQSRGDTQSGPAREYAGGAGEGNCPRPRSDRPEETDELPSAVNAGPGTPYPPRGQSSSTPVAAPPPPGPGPAPEEAITELSCLPPLPRQTSLPRTDRPSGSGPGEQAPAEPAPGPGPPVVDDAVGKSGTDRTGLVLEPFGRRGPKQSKTPASATRRLIRQSVSPRAPPRGAAHSDLSGPKPGRLFLGKLGLAQKVKGSIDSVAVHVFISAEEMGELYVHRYGPMMSSFALTRQGIFDRGIPDHDWVLFRVLAIEPMHVMFVLTEEWTPNFGNDDVVRTQERSCDVPLGIIEVEVFPDIIEGRDGLMSDRPKPLQQGSIIRLVLQKKLQGPLPDESNPNFVGQCMCGPKLVYGCGGNASFKARNLQLMRGESQYNLAVMQCGANWFPQPNYNSSDVRYSSPYIRSLQQQNFRLYQAPKQQLIQHAKTESFSSVQWSSAAEMEIDHTAVSKTYKVLDSLARSLKMTKKQRTAGRSQGGPSDQGEDGEEVSAAKKLKSGKKKRRSFSGVVLRGNRRSAGADEGSEVDEEAKSKRGLKGSPSLTSVSFNNPDECDRIKSEKILSDLKRKATNEVRKRNTSADGNLLKCDDDIEDASDEDSVEGEEADESINAPLKKGKVLIKGKLMQPSPLTTADESSVSSLDLKDGLIDTLYQAMKKQQIVSCHNEISLIVPYENKIFAYKNITEIVDKFLIKSTLTYGHHVIPEGDPGDWCDVEVCRCGAQLVWAYMDVRSQSMLQDGVLYTNHSSTIFYHSLPQTMDQHVHHHEPNFIDGAPSRTYNTFGDIGLLGKSKNEPCPEFQEIIDQCSELTVGAMKQEVQLFRQLSAADLHDKHNSYTLMLLYLDRRTSMMKYFACFEEFGLTGQHDDTDAERFMQKVRQRPLNVNLKHLLQLVEGISLSGDGNKDAEDFTQKLWQDRTRQRRALSDEDSIGAMLYRLGTVWTRAVESSTSVLDLSERHSGHYISFCCNPDFSGDPYRQFVESQRGESVEQISDISIKAKENKRSPRWRICSRHEDLYYFRVLGKSGGRLKVMQMGEQMAGMCREVLPGLQWESSLVVSGGVWLLVEALWAVTGKTRSDPSPWPGSM
+>sp|Q15111|PLCL1_HUMAN Inactive phospholipase C-like protein 1 OS=Homo sapiens OX=9606 GN=PLCL1 PE=1 SV=3
+MAEGAAGREDPAPPDAAGGEDDPRVGPDAAGDCVTAASGGRMRDRRSGVALPGAAGTPADSEAGLLEAARATPRRSSIIKDPSNQKCGGRKKTVSFSSMPSEKKISSANDCISFMQAGCELKKVRPNSRIYNRFFTLDTDLQALRWEPSKKDLEKAKLDISAIKEIRLGKNTETFRNNGLADQICEDCAFSILHGENYESLDLVANSADVANIWVSGLRYLVSRSKQPLDFMEGNQNTPRFMWLKTVFEAADVDGNGIMLEDTSVELIKQLNPTLKEAKIRLKFKEIQKSKEKLTTRVTEEEFCEAFCELCTRPEVYFLLVQISKNKEYLDANDLMLFLEAEQGVTHITEDICLDIIRRYELSEEGRQKGFLAIDGFTQYLLSSECDIFDPEQKKVAQDMTQPLSHYYINASHNTYLIEDQFRGPADINGYIRALKMGCRSVELDVSDGSDNEPILCNRNNMTTHVSFRSVIEVINKFAFVASEYPLILCLGNHCSLPQQKVMAQQMKKVFGNKLYTEAPLPSESYLPSPEKLKRMIIVKGKKLPSDPDVLEGEVTDEDEEAEMSRRMSVDYNGEQKQIRLCRELSDLVSICKSVQYRDFELSMKSQNYWEMCSFSETEASRIANEYPEDFVNYNKKFLSRIYPSAMRIDSSNLNPQDFWNCGCQIVAMNFQTPGPMMDLHTGWFLQNGGCGYVLRPSIMRDEVSYFSANTKGILPGVSPLALHIKIISGQNFPKPKGACAKGDVIDPYVCIEIHGIPADCSEQRTKTVQQNSDNPIFDETFEFQVNLPELAMIRFVVLDDDYIGDEFIGQYTIPFECLQPGYRHVPLRSFVGDIMEHVTLFVHIAITNRSGGGKAQKRSLSVRMGKKVREYTMLRNIGLKTIDDIFKIAVHPLREAIDMRENMQNAIVSIKELCGLPPIASLKQCLLTLSSRLITSDNTPSVSLVMKDSFPYLEPLGAIPDVQKKMLTAYDLMIQESRFLIEMADTVQEKIVQCQKAGMEFHEELHNLGAKEGLKGRKLNKATESFAWNITVLKGQGDLLKNAKNEAIENMKQIQLACLSCGLSKAPSSSAEAKSKRSLEAIEEKESSEENGKL
+>DECOY_sp|Q15111|PLCL1_HUMAN Inactive phospholipase C-like protein 1 OS=Homo sapiens OX=9606 GN=PLCL1 PE=1 SV=3
+LKGNEESSEKEEIAELSRKSKAEASSSPAKSLGCSLCALQIQKMNEIAENKANKLLDGQGKLVTINWAFSETAKNLKRGKLGEKAGLNHLEEHFEMGAKQCQVIKEQVTDAMEILFRSEQIMLDYATLMKKQVDPIAGLPELYPFSDKMVLSVSPTNDSTILRSSLTLLCQKLSAIPPLGCLEKISVIANQMNERMDIAERLPHVAIKFIDDITKLGINRLMTYERVKKGMRVSLSRKQAKGGGSRNTIAIHVFLTVHEMIDGVFSRLPVHRYGPQLCEFPITYQGIFEDGIYDDDLVVFRIMALEPLNVQFEFTEDFIPNDSNQQVTKTRQESCDAPIGHIEICVYPDIVDGKACAGKPKPFNQGSIIKIHLALPSVGPLIGKTNASFYSVEDRMISPRLVYGCGGNQLFWGTHLDMMPGPTQFNMAVIQCGCNWFDQPNLNSSDIRMASPYIRSLFKKNYNVFDEPYENAIRSAETESFSCMEWYNQSKMSLEFDRYQVSKCISVLDSLERCLRIQKQEGNYDVSMRRSMEAEEDEDTVEGELVDPDSPLKKGKVIIMRKLKEPSPLYSESPLPAETYLKNGFVKKMQQAMVKQQPLSCHNGLCLILPYESAVFAFKNIVEIVSRFSVHTTMNNRNCLIPENDSGDSVDLEVSRCGMKLARIYGNIDAPGRFQDEILYTNHSANIYYHSLPQTMDQAVKKQEPDFIDCESSLLYQTFGDIALFGKQRGEESLEYRRIIDLCIDETIHTVGQEAELFLMLDNADLYEKNKSIQVLLFYVEPRTCLECFAECFEEETVRTTLKEKSKQIEKFKLRIKAEKLTPNLQKILEVSTDELMIGNGDVDAAEFVTKLWMFRPTNQNGEMFDLPQKSRSVLYRLGSVWINAVDASNAVLDLSEYNEGHLISFACDECIQDALGNNRFTETNKGLRIEKIASIDLKAKELDKKSPEWRLAQLDTDLTFFRNYIRSNPRVKKLECGAQMFSICDNASSIKKESPMSSFSVTKKRGGCKQNSPDKIISSRRPTARAAELLGAESDAPTGAAGPLAVGSRRDRMRGGSAATVCDGAADPGVRPDDEGGAADPPAPDERGAAGEAM
+>sp|Q9UPR0|PLCL2_HUMAN Inactive phospholipase C-like protein 2 OS=Homo sapiens OX=9606 GN=PLCL2 PE=1 SV=2
+MAECGRGGAAGGALPTSPGPALGAKGALKAGVGEGGGGGGRLGHGRARYDSGGVSNGDCSLGVSGDEARASPTRGPRGVALAPTPSAVVCTLPRESKPGGLPRRSSIIKDGTKQKRERKKTVSFSSMPTEKKISSASDCINSMVEGSELKKVRSNSRIYHRYFLLDADMQSLRWEPSKKDSEKAKIDIKSIKEVRTGKNTDIFRSNGISDQISEDCAFSVIYGENYESLDLVANSADVANIWVTGLRYLISYGKHTLDMLESSQDNMRTSWVSQMFSEIDVDNLGHITLCNAVQCIRNLNPGLKTSKIELKFKELHKSKDKAGTEVTKEEFIEVFHELCTRPEIYFLLVQFSSNKEFLDTKDLMMFLEAEQGVAHINEEISLEIIHKYEPSKEGQEKGWLSIDGFTNYLMSPDCYIFDPEHKKVCQDMKQPLSHYFINSSHNTYLIEDQFRGPSDITGYIRALKMGCRSVELDVWDGPDNEPVIYTGHTMTSQIVFRSVIDIINKYAFFASEYPLILCLENHCSIKQQKVMVQHMKKLLGDKLYTTSPNVEESYLPSPDVLKGKILIKAKKLSSNCSGVEGDVTDEDEGAEMSQRMGKENMEQPNNVPVKRFQLCKELSELVSICKSVQFKEFQVSFQVQKYWEVCSFNEVLASKYANENPGDFVNYNKRFLARVFPSPMRIDSSNMNPQDFWKCGCQIVAMNFQTPGLMMDLNIGWFRQNGNCGYVLRPAIMREEVSFFSANTKDSVPGVSPQLLHIKIISGQNFPKPKGSGAKGDVVDPYVYVEIHGIPADCAEQRTKTVHQNGDAPIFDESFEFQINLPELAMVRFVVLDDDYIGDEFIGQYTIPFECLQTGYRHVPLQSLTGEVLAHASLFVHVAITNRRGGGKPHKRGLSVRKGKKSREYASLRTLWIKTVDEVFKNAQPPIRDATDLRENMQNAVVSFKELCGLSSVANLMQCMLAVSPRFLGPDNTPLVVLNLSEQYPTMELQGIVPEVLKKIVTTYDMMIQSLKALIENADAVYEKIVHCQKAAMEFHEHLHSIGTKEGLKERKLQKAVESFTWNITILKGQADLLKYAKNETLENLKQIHFAAVSCGLNKPGTENADVQKPRRSLEVIPEKANDETGE
+>DECOY_sp|Q9UPR0|PLCL2_HUMAN Inactive phospholipase C-like protein 2 OS=Homo sapiens OX=9606 GN=PLCL2 PE=1 SV=2
+EGTEDNAKEPIVELSRRPKQVDANETGPKNLGCSVAAFHIQKLNELTENKAYKLLDAQGKLITINWTFSEVAKQLKREKLGEKTGISHLHEHFEMAAKQCHVIKEYVADANEILAKLSQIMMDYTTVIKKLVEPVIGQLEMTPYQESLNLVVLPTNDPGLFRPSVALMCQMLNAVSSLGCLEKFSVVANQMNERLDTADRIPPQANKFVEDVTKIWLTRLSAYERSKKGKRVSLGRKHPKGGGRRNTIAVHVFLSAHALVEGTLSQLPVHRYGTQLCEFPITYQGIFEDGIYDDDLVVFRVMALEPLNIQFEFSEDFIPADGNQHVTKTRQEACDAPIGHIEVYVYPDVVDGKAGSGKPKPFNQGSIIKIHLLQPSVGPVSDKTNASFFSVEERMIAPRLVYGCNGNQRFWGINLDMMLGPTQFNMAVIQCGCKWFDQPNMNSSDIRMPSPFVRALFRKNYNVFDGPNENAYKSALVENFSCVEWYKQVQFSVQFEKFQVSKCISVLESLEKCLQFRKVPVNNPQEMNEKGMRQSMEAGEDEDTVDGEVGSCNSSLKKAKILIKGKLVDPSPLYSEEVNPSTTYLKDGLLKKMHQVMVKQQKISCHNELCLILPYESAFFAYKNIIDIVSRFVIQSTMTHGTYIVPENDPGDWVDLEVSRCGMKLARIYGTIDSPGRFQDEILYTNHSSNIFYHSLPQKMDQCVKKHEPDFIYCDPSMLYNTFGDISLWGKEQGEKSPEYKHIIELSIEENIHAVGQEAELFMMLDKTDLFEKNSSFQVLLFYIEPRTCLEHFVEIFEEKTVETGAKDKSKHLEKFKLEIKSTKLGPNLNRICQVANCLTIHGLNDVDIESFMQSVWSTRMNDQSSELMDLTHKGYSILYRLGTVWINAVDASNAVLDLSEYNEGYIVSFACDESIQDSIGNSRFIDTNKGTRVEKISKIDIKAKESDKKSPEWRLSQMDADLLFYRHYIRSNSRVKKLESGEVMSNICDSASSIKKETPMSSFSVTKKRERKQKTGDKIISSRRPLGGPKSERPLTCVVASPTPALAVGRPGRTPSARAEDGSVGLSCDGNSVGGSDYRARGHGLRGGGGGGEGVGAKLAGKAGLAPGPSTPLAGGAAGGRGCEAM
+>sp|Q8IV08|PLD3_HUMAN Phospholipase D3 OS=Homo sapiens OX=9606 GN=PLD3 PE=1 SV=1
+MKPKLMYQELKVPAEEPANELPMNEIEAWKAAEKKARWVLLVLILAVVGFGALMTQLFLWEYGDLHLFGPNQRPAPCYDPCEAVLVESIPEGLDFPNASTGNPSTSQAWLGLLAGAHSSLDIASFYWTLTNNDTHTQEPSAQQGEEVLRQLQTLAPKGVNVRIAVSKPSGPQPQADLQALLQSGAQVRMVDMQKLTHGVLHTKFWVVDQTHFYLGSANMDWRSLTQVKELGVVMYNCSCLARDLTKIFEAYWFLGQAGSSIPSTWPRFYDTRYNQETPMEICLNGTPALAYLASAPPPLCPSGRTPDLKALLNVVDNARSFIYVAVMNYLPTLEFSHPHRFWPAIDDGLRRATYERGVKVRLLISCWGHSEPSMRAFLLSLAALRDNHTHSDIQVKLFVVPADEAQARIPYARVNHNKYMVTERATYIGTSNWSGNYFTETAGTSLLVTQNGRGGLRSQLEAIFLRDWDSPYSHDLDTSADSVGNACRLL
+>DECOY_sp|Q8IV08|PLD3_HUMAN Phospholipase D3 OS=Homo sapiens OX=9606 GN=PLD3 PE=1 SV=1
+LLRCANGVSDASTDLDHSYPSDWDRLFIAELQSRLGGRGNQTVLLSTGATETFYNGSWNSTGIYTARETVMYKNHNVRAYPIRAQAEDAPVVFLKVQIDSHTHNDRLAALSLLFARMSPESHGWCSILLRVKVGREYTARRLGDDIAPWFRHPHSFELTPLYNMVAVYIFSRANDVVNLLAKLDPTRGSPCLPPPASALYALAPTGNLCIEMPTEQNYRTDYFRPWTSPISSGAQGLFWYAEFIKTLDRALCSCNYMVVGLEKVQTLSRWDMNASGLYFHTQDVVWFKTHLVGHTLKQMDVMRVQAGSQLLAQLDAQPQPGSPKSVAIRVNVGKPALTQLQRLVEEGQQASPEQTHTDNNTLTWYFSAIDLSSHAGALLGLWAQSTSPNGTSANPFDLGEPISEVLVAECPDYCPAPRQNPGFLHLDGYEWLFLQTMLAGFGVVALILVLLVWRAKKEAAKWAEIENMPLENAPEEAPVKLEQYMLKPKM
+>sp|Q15149|PLEC_HUMAN Plectin OS=Homo sapiens OX=9606 GN=PLEC PE=1 SV=3
+MVAGMLMPRDQLRAIYEVLFREGVMVAKKDRRPRSLHPHVPGVTNLQVMRAMASLRARGLVRETFAWCHFYWYLTNEGIAHLRQYLHLPPEIVPASLQRVRRPVAMVMPARRTPHVQAVQGPLGSPPKRGPLPTEEQRVYRRKELEEVSPETPVVPATTQRTLARPGPEPAPATDERDRVQKKTFTKWVNKHLIKAQRHISDLYEDLRDGHNLISLLEVLSGDSLPREKGRMRFHKLQNVQIALDYLRHRQVKLVNIRNDDIADGNPKLTLGLIWTIILHFQISDIQVSGQSEDMTAKEKLLLWSQRMVEGYQGLRCDNFTSSWRDGRLFNAIIHRHKPLLIDMNKVYRQTNLENLDQAFSVAERDLGVTRLLDPEDVDVPQPDEKSIITYVSSLYDAMPRVPDVQDGVRANELQLRWQEYRELVLLLLQWMRHHTAAFEERRFPSSFEEIEILWSQFLKFKEMELPAKEADKNRSKGIYQSLEGAVQAGQLKVPPGYHPLDVEKEWGKLHVAILEREKQLRSEFERLECLQRIVTKLQMEAGLCEEQLNQADALLQSDVRLLAAGKVPQRAGEVERDLDKADSMIRLLFNDVQTLKDGRHPQGEQMYRRVYRLHERLVAIRTEYNLRLKAGVAAPATQVAQVTLQSVQRRPELEDSTLRYLQDLLAWVEENQHRVDGAEWGVDLPSVEAQLGSHRGLHQSIEEFRAKIERARSDEGQLSPATRGAYRDCLGRLDLQYAKLLNSSKARLRSLESLHSFVAAATKELMWLNEKEEEEVGFDWSDRNTNMTAKKESYSALMRELELKEKKIKELQNAGDRLLREDHPARPTVESFQAALQTQWSWMLQLCCCIEAHLKENAAYFQFFSDVREAEGQLQKLQEALRRKYSCDRSATVTRLEDLLQDAQDEKEQLNEYKGHLSGLAKRAKAVVQLKPRHPAHPMRGRLPLLAVCDYKQVEVTVHKGDECQLVGPAQPSHWKVLSSSGSEAAVPSVCFLVPPPNQEAQEAVTRLEAQHQALVTLWHQLHVDMKSLLAWQSLRRDVQLIRSWSLATFRTLKPEEQRQALHSLELHYQAFLRDSQDAGGFGPEDRLMAEREYGSCSHHYQQLLQSLEQGAQEESRCQRCISELKDIRLQLEACETRTVHRLRLPLDKEPARECAQRIAEQQKAQAEVEGLGKGVARLSAEAEKVLALPEPSPAAPTLRSELELTLGKLEQVRSLSAIYLEKLKTISLVIRGTQGAEEVLRAHEEQLKEAQAVPATLPELEATKASLKKLRAQAEAQQPTFDALRDELRGAQEVGERLQQRHGERDVEVERWRERVAQLLERWQAVLAQTDVRQRELEQLGRQLRYYRESADPLGAWLQDARRRQEQIQAMPLADSQAVREQLRQEQALLEEIERHGEKVEECQRFAKQYINAIKDYELQLVTYKAQLEPVASPAKKPKVQSGSESVIQEYVDLRTHYSELTTLTSQYIKFISETLRRMEEEERLAEQQRAEERERLAEVEAALEKQRQLAEAHAQAKAQAEREAKELQQRMQEEVVRREEAAVDAQQQKRSIQEELQQLRQSSEAEIQAKARQAEAAERSRLRIEEEIRVVRLQLEATERQRGGAEGELQALRARAEEAEAQKRQAQEEAERLRRQVQDESQRKRQAEVELASRVKAEAEAAREKQRALQALEELRLQAEEAERRLRQAEVERARQVQVALETAQRSAEAELQSKRASFAEKTAQLERSLQEEHVAVAQLREEAERRAQQQAEAERAREEAERELERWQLKANEALRLRLQAEEVAQQKSLAQAEAEKQKEEAEREARRRGKAEEQAVRQRELAEQELEKQRQLAEGTAQQRLAAEQELIRLRAETEQGEQQRQLLEEELARLQREAAAATQKRQELEAELAKVRAEMEVLLASKARAEEESRSTSEKSKQRLEAEAGRFRELAEEAARLRALAEEAKRQRQLAEEDAARQRAEAERVLAEKLAAIGEATRLKTEAEIALKEKEAENERLRRLAEDEAFQRRRLEEQAAQHKADIEERLAQLRKASDSELERQKGLVEDTLRQRRQVEEEILALKASFEKAAAGKAELELELGRIRSNAEDTLRSKEQAELEAARQRQLAAEEERRRREAEERVQKSLAAEEEAARQRKAALEEVERLKAKVEEARRLRERAEQESARQLQLAQEAAQKRLQAEEKAHAFAVQQKEQELQQTLQQEQSVLDQLRGEAEAARRAAEEAEEARVQAEREAAQSRRQVEEAERLKQSAEEQAQARAQAQAAAEKLRKEAEQEAARRAQAEQAALRQKQAADAEMEKHKKFAEQTLRQKAQVEQELTTLRLQLEETDHQKNLLDEELQRLKAEATEAARQRSQVEEELFSVRVQMEELSKLKARIEAENRALILRDKDNTQRFLQEEAEKMKQVAEEAARLSVAAQEAARLRQLAEEDLAQQRALAEKMLKEKMQAVQEATRLKAEAELLQQQKELAQEQARRLQEDKEQMAQQLAEETQGFQRTLEAERQRQLEMSAEAERLKLRVAEMSRAQARAEEDAQRFRKQAEEIGEKLHRTELATQEKVTLVQTLEIQRQQSDHDAERLREAIAELEREKEKLQQEAKLLQLKSEEMQTVQQEQLLQETQALQQSFLSEKDSLLQRERFIEQEKAKLEQLFQDEVAKAQQLREEQQRQQQQMEQERQRLVASMEEARRRQHEAEEGVRRKQEELQQLEQQRRQQEELLAEENQRLREQLQLLEEQHRAALAHSEEVTASQVAATKTLPNGRDALDGPAAEAEPEHSFDGLRRKVSAQRLQEAGILSAEELQRLAQGHTTVDELARREDVRHYLQGRSSIAGLLLKATNEKLSVYAALQRQLLSPGTALILLEAQAASGFLLDPVRNRRLTVNEAVKEGVVGPELHHKLLSAERAVTGYKDPYTGQQISLFQAMQKGLIVREHGIRLLEAQIATGGVIDPVHSHRVPVDVAYRRGYFDEEMNRVLADPSDDTKGFFDPNTHENLTYLQLLERCVEDPETGLCLLPLTDKAAKGGELVYTDSEARDVFEKATVSAPFGKFQGKTVTIWEIINSEYFTAEQRRDLLRQFRTGRITVEKIIKIIITVVEEQEQKGRLCFEGLRSLVPAAELLESRVIDRELYQQLQRGERSVRDVAEVDTVRRALRGANVIAGVWLEEAGQKLSIYNALKKDLLPSDMAVALLEAQAGTGHIIDPATSARLTVDEAVRAGLVGPEFHEKLLSAEKAVTGYRDPYTGQSVSLFQALKKGLIPREQGLRLLDAQLSTGGIVDPSKSHRVPLDVACARGCLDEETSRALSAPRADAKAYSDPSTGEPATYGELQQRCRPDQLTGLSLLPLSEKAARARQEELYSELQARETFEKTPVEVPVGGFKGRTVTVWELISSEYFTAEQRQELLRQFRTGKVTVEKVIKILITIVEEVETLRQERLSFSGLRAPVPASELLASGVLSRAQFEQLKDGKTTVKDLSELGSVRTLLQGSGCLAGIYLEDTKEKVSIYEAMRRGLLRATTAALLLEAQAATGFLVDPVRNQRLYVHEAVKAGVVGPELHEQLLSAEKAVTGYRDPYSGSTISLFQAMQKGLVLRQHGIRLLEAQIATGGIIDPVHSHRVPVDVAYQRGYFSEEMNRVLADPSDDTKGFFDPNTHENLTYRQLLERCVEDPETGLRLLPLKGAEKAEVVETTQVYTEEETRRAFEETQIDIPGGGSHGGSTMSLWEVMQSDLIPEEQRAQLMADFQAGRVTKERMIIIIIEIIEKTEIIRQQGLASYDYVRRRLTAEDLFEARIISLETYNLLREGTRSLREALEAESAWCYLYGTGSVAGVYLPGSRQTLSIYQALKKGLLSAEVARLLLEAQAATGFLLDPVKGERLTVDEAVRKGLVGPELHDRLLSAERAVTGYRDPYTEQTISLFQAMKKELIPTEEALRLLDAQLATGGIVDPRLGFHLPLEVAYQRGYLNKDTHDQLSEPSEVRSYVDPSTDERLSYTQLLRRCRRDDGTGQLLLPLSDARKLTFRGLRKQITMEELVRSQVMDEATALQLREGLTSIEEVTKNLQKFLEGTSCIAGVFVDATKERLSVYQAMKKGIIRPGTAFELLEAQAATGYVIDPIKGLKLTVEEAVRMGIVGPEFKDKLLSAERAVTGYKDPYSGKLISLFQAMKKGLILKDHGIRLLEAQIATGGIIDPEESHRLPVEVAYKRGLFDEEMNEILTDPSDDTKGFFDPNTEENLTYLQLMERCITDPQTGLCLLPLKEKKRERKTSSKSSVRKRRVVIVDPETGKEMSVYEAYRKGLIDHQTYLELSEQECEWEEITISSSDGVVKSMIIDRRSGRQYDIDDAIAKNLIDRSALDQYRAGTLSITEFADMLSGNAGGFRSRSSSVGSSSSYPISPAVSRTQLASWSDPTEETGPVAGILDTETLEKVSITEAMHRNLVDNITGQRLLEAQACTGGIIDPSTGERFPVTDAVNKGLVDKIMVDRINLAQKAFCGFEDPRTKTKMSAAQALKKGWLYYEAGQRFLEVQYLTGGLIEPDTPGRVPLDEALQRGTVDARTAQKLRDVGAYSKYLTCPKTKLKISYKDALDRSMVEEGTGLRLLEAAAQSTKGYYSPYSVSGSGSTAGSRTGSRTGSRAGSRRGSFDATGSGFSMTFSSSSYSSSGYGRRYASGSSASLGGPESAVA
+>DECOY_sp|Q15149|PLEC_HUMAN Plectin OS=Homo sapiens OX=9606 GN=PLEC PE=1 SV=3
+AVASEPGGLSASSGSAYRRGYGSSSYSSSSFTMSFGSGTADFSGRRSGARSGTRSGTRSGATSGSGSVSYPSYYGKTSQAAAELLRLGTGEEVMSRDLADKYSIKLKTKPCTLYKSYAGVDRLKQATRADVTGRQLAEDLPVRGPTDPEILGGTLYQVELFRQGAEYYLWGKKLAQAASMKTKTRPDEFGCFAKQALNIRDVMIKDVLGKNVADTVPFREGTSPDIIGGTCAQAELLRQGTINDVLNRHMAETISVKELTETDLIGAVPGTEETPDSWSALQTRSVAPSIPYSSSSGVSSSRSRFGGANGSLMDAFETISLTGARYQDLASRDILNKAIADDIDYQRGSRRDIIMSKVVGDSSSITIEEWECEQESLELYTQHDILGKRYAEYVSMEKGTEPDVIVVRRKRVSSKSSTKRERKKEKLPLLCLGTQPDTICREMLQLYTLNEETNPDFFGKTDDSPDTLIENMEEDFLGRKYAVEVPLRHSEEPDIIGGTAIQAELLRIGHDKLILGKKMAQFLSILKGSYPDKYGTVAREASLLKDKFEPGVIGMRVAEEVTLKLGKIPDIVYGTAAQAELLEFATGPRIIGKKMAQYVSLREKTADVFVGAICSTGELFKQLNKTVEEISTLGERLQLATAEDMVQSRVLEEMTIQKRLGRFTLKRADSLPLLLQGTGDDRRCRRLLQTYSLREDTSPDVYSRVESPESLQDHTDKNLYGRQYAVELPLHFGLRPDVIGGTALQADLLRLAEETPILEKKMAQFLSITQETYPDRYGTVAREASLLRDHLEPGVLGKRVAEDVTLREGKVPDLLFGTAAQAELLLRAVEASLLGKKLAQYISLTQRSGPLYVGAVSGTGYLYCWASEAELAERLSRTGERLLNYTELSIIRAEFLDEATLRRRVYDYSALGQQRIIETKEIIEIIIIIMREKTVRGAQFDAMLQARQEEPILDSQMVEWLSMTSGGHSGGGPIDIQTEEFARRTEEETYVQTTEVVEAKEAGKLPLLRLGTEPDEVCRELLQRYTLNEHTNPDFFGKTDDSPDALVRNMEESFYGRQYAVDVPVRHSHVPDIIGGTAIQAELLRIGHQRLVLGKQMAQFLSITSGSYPDRYGTVAKEASLLQEHLEPGVVGAKVAEHVYLRQNRVPDVLFGTAAQAELLLAATTARLLGRRMAEYISVKEKTDELYIGALCGSGQLLTRVSGLESLDKVTTKGDKLQEFQARSLVGSALLESAPVPARLGSFSLREQRLTEVEEVITILIKIVKEVTVKGTRFQRLLEQRQEATFYESSILEWVTVTRGKFGGVPVEVPTKEFTERAQLESYLEEQRARAAKESLPLLSLGTLQDPRCRQQLEGYTAPEGTSPDSYAKADARPASLARSTEEDLCGRACAVDLPVRHSKSPDVIGGTSLQADLLRLGQERPILGKKLAQFLSVSQGTYPDRYGTVAKEASLLKEHFEPGVLGARVAEDVTLRASTAPDIIHGTGAQAELLAVAMDSPLLDKKLANYISLKQGAEELWVGAIVNAGRLARRVTDVEAVDRVSREGRQLQQYLERDIVRSELLEAAPVLSRLGEFCLRGKQEQEEVVTIIIKIIKEVTIRGTRFQRLLDRRQEATFYESNIIEWITVTKGQFKGFPASVTAKEFVDRAESDTYVLEGGKAAKDTLPLLCLGTEPDEVCRELLQLYTLNEHTNPDFFGKTDDSPDALVRNMEEDFYGRRYAVDVPVRHSHVPDIVGGTAIQAELLRIGHERVILGKQMAQFLSIQQGTYPDKYGTVAREASLLKHHLEPGVVGEKVAENVTLRRNRVPDLLFGSAAQAELLILATGPSLLQRQLAAYVSLKENTAKLLLGAISSRGQLYHRVDERRALEDVTTHGQALRQLEEASLIGAEQLRQASVKRRLGDFSHEPEAEAAPGDLADRGNPLTKTAAVQSATVEESHALAARHQEELLQLQERLRQNEEALLEEQQRRQQELQQLEEQKRRVGEEAEHQRRRAEEMSAVLRQREQEMQQQQRQQEERLQQAKAVEDQFLQELKAKEQEIFRERQLLSDKESLFSQQLAQTEQLLQEQQVTQMEESKLQLLKAEQQLKEKERELEAIAERLREADHDSQQRQIELTQVLTVKEQTALETRHLKEGIEEAQKRFRQADEEARAQARSMEAVRLKLREAEASMELQRQREAELTRQFGQTEEALQQAMQEKDEQLRRAQEQALEKQQQLLEAEAKLRTAEQVAQMKEKLMKEALARQQALDEEALQRLRAAEQAAVSLRAAEEAVQKMKEAEEQLFRQTNDKDRLILARNEAEIRAKLKSLEEMQVRVSFLEEEVQSRQRAAETAEAKLRQLEEDLLNKQHDTEELQLRLTTLEQEVQAKQRLTQEAFKKHKEMEADAAQKQRLAAQEAQARRAAEQEAEKRLKEAAAQAQARAQAQEEASQKLREAEEVQRRSQAAEREAQVRAEEAEEAARRAAEAEGRLQDLVSQEQQLTQQLEQEKQQVAFAHAKEEAQLRKQAAEQALQLQRASEQEARERLRRAEEVKAKLREVEELAAKRQRAAEEEAALSKQVREEAERRRREEEAALQRQRAAELEAQEKSRLTDEANSRIRGLELELEAKGAAAKEFSAKLALIEEEVQRRQRLTDEVLGKQRELESDSAKRLQALREEIDAKHQAAQEELRRRQFAEDEALRRLRENEAEKEKLAIEAETKLRTAEGIAALKEALVREAEARQRAADEEALQRQRKAEEALARLRAAEEALERFRGAEAELRQKSKESTSRSEEEARAKSALLVEMEARVKALEAELEQRKQTAAAAERQLRALEEELLQRQQEGQETEARLRILEQEAALRQQATGEALQRQKELEQEALERQRVAQEEAKGRRRAEREAEEKQKEAEAQALSKQQAVEEAQLRLRLAENAKLQWRELEREAEERAREAEAQQQARREAEERLQAVAVHEEQLSRELQATKEAFSARKSQLEAEASRQATELAVQVQRAREVEAQRLRREAEEAQLRLEELAQLARQKERAAEAEAKVRSALEVEAQRKRQSEDQVQRRLREAEEQAQRKQAEAEEARARLAQLEGEAGGRQRETAELQLRVVRIEEEIRLRSREAAEAQRAKAQIEAESSQRLQQLEEQISRKQQQADVAAEERRVVEEQMRQQLEKAEREAQAKAQAHAEALQRQKELAAEVEALREREEARQQEALREEEEMRRLTESIFKIYQSTLTTLESYHTRLDVYEQIVSESGSQVKPKKAPSAVPELQAKYTVLQLEYDKIANIYQKAFRQCEEVKEGHREIEELLAQEQRLQERVAQSDALPMAQIQEQRRRADQLWAGLPDASERYYRLQRGLQELERQRVDTQALVAQWRELLQAVRERWREVEVDREGHRQQLREGVEQAGRLEDRLADFTPQQAEAQARLKKLSAKTAELEPLTAPVAQAEKLQEEHARLVEEAGQTGRIVLSITKLKELYIASLSRVQELKGLTLELESRLTPAAPSPEPLALVKEAEASLRAVGKGLGEVEAQAKQQEAIRQACERAPEKDLPLRLRHVTRTECAELQLRIDKLESICRQCRSEEQAGQELSQLLQQYHHSCSGYEREAMLRDEPGFGGADQSDRLFAQYHLELSHLAQRQEEPKLTRFTALSWSRILQVDRRLSQWALLSKMDVHLQHWLTVLAQHQAELRTVAEQAEQNPPPVLFCVSPVAAESGSSSLVKWHSPQAPGVLQCEDGKHVTVEVQKYDCVALLPLRGRMPHAPHRPKLQVVAKARKALGSLHGKYENLQEKEDQADQLLDELRTVTASRDCSYKRRLAEQLKQLQGEAERVDSFFQFYAANEKLHAEICCCLQLMWSWQTQLAAQFSEVTPRAPHDERLLRDGANQLEKIKKEKLELERMLASYSEKKATMNTNRDSWDFGVEEEEKENLWMLEKTAAAVFSHLSELSRLRAKSSNLLKAYQLDLRGLCDRYAGRTAPSLQGEDSRAREIKARFEEISQHLGRHSGLQAEVSPLDVGWEAGDVRHQNEEVWALLDQLYRLTSDELEPRRQVSQLTVQAVQTAPAAVGAKLRLNYETRIAVLREHLRYVRRYMQEGQPHRGDKLTQVDNFLLRIMSDAKDLDREVEGARQPVKGAALLRVDSQLLADAQNLQEECLGAEMQLKTVIRQLCELREFESRLQKERELIAVHLKGWEKEVDLPHYGPPVKLQGAQVAGELSQYIGKSRNKDAEKAPLEMEKFKLFQSWLIEIEEFSSPFRREEFAATHHRMWQLLLLVLERYEQWRLQLENARVGDQVDPVRPMADYLSSVYTIISKEDPQPVDVDEPDLLRTVGLDREAVSFAQDLNELNTQRYVKNMDILLPKHRHIIANFLRGDRWSSTFNDCRLGQYGEVMRQSWLLLKEKATMDESQGSVQIDSIQFHLIITWILGLTLKPNGDAIDDNRINVLKVQRHRLYDLAIQVNQLKHFRMRGKERPLSDGSLVELLSILNHGDRLDEYLDSIHRQAKILHKNVWKTFTKKQVRDREDTAPAPEPGPRALTRQTTAPVVPTEPSVEELEKRRYVRQEETPLPGRKPPSGLPGQVAQVHPTRRAPMVMAVPRRVRQLSAPVIEPPLHLYQRLHAIGENTLYWYFHCWAFTERVLGRARLSAMARMVQLNTVGPVHPHLSRPRRDKKAVMVGERFLVEYIARLQDRPMLMGAVM
+>sp|P08567|PLEK_HUMAN Pleckstrin OS=Homo sapiens OX=9606 GN=PLEK PE=1 SV=3
+MEPKRIREGYLVKKGSVFNTWKPMWVVLLEDGIEFYKKKSDNSPKGMIPLKGSTLTSPCQDFGKRMFVFKITTTKQQDHFFQAAFLEERDAWVRDIKKAIKCIEGGQKFARKSTRRSIRLPETIDLGALYLSMKDTEKGIKELNLEKDKKIFNHCFTGNCVIDWLVSNQSVRNRQEGLMIASSLLNEGYLQPAGDMSKSAVDGTAENPFLDNPDAFYYFPDSGFFCEENSSDDDVILKEEFRGVIIKQGCLLKQGHRRKNWKVRKFILREDPAYLHYYDPAGAEDPLGAIHLRGCVVTSVESNSNGRKSEEENLFEIITADEVHYFLQAATPKERTEWIRAIQMASRTGK
+>DECOY_sp|P08567|PLEK_HUMAN Pleckstrin OS=Homo sapiens OX=9606 GN=PLEK PE=1 SV=3
+KGTRSAMQIARIWETREKPTAAQLFYHVEDATIIEFLNEEESKRGNSNSEVSTVVCGRLHIAGLPDEAGAPDYYHLYAPDERLIFKRVKWNKRRHGQKLLCGQKIIVGRFEEKLIVDDDSSNEECFFGSDPFYYFADPNDLFPNEATGDVASKSMDGAPQLYGENLLSSAIMLGEQRNRVSQNSVLWDIVCNGTFCHNFIKKDKELNLEKIGKETDKMSLYLAGLDITEPLRISRRTSKRAFKQGGEICKIAKKIDRVWADREELFAAQFFHDQQKTTTIKFVFMRKGFDQCPSTLTSGKLPIMGKPSNDSKKKYFEIGDELLVVWMPKWTNFVSGKKVLYGERIRKPEM
+>sp|P02776|PLF4_HUMAN Platelet factor 4 OS=Homo sapiens OX=9606 GN=PF4 PE=1 SV=2
+MSSAAGFCASRPGLLFLGLLLLPLVVAFASAEAEEDGDLQCLCVKTTSQVRPRHITSLEVIKAGPHCPTAQLIATLKNGRKICLDLQAPLYKKIIKKLLES
+>DECOY_sp|P02776|PLF4_HUMAN Platelet factor 4 OS=Homo sapiens OX=9606 GN=PF4 PE=1 SV=2
+SELLKKIIKKYLPAQLDLCIKRGNKLTAILQATPCHPGAKIVELSTIHRPRVQSTTKVCLCQLDGDEEAEASAFAVVLPLLLLGLFLLGPRSACFGAASSM
+>sp|P53350|PLK1_HUMAN Serine/threonine-protein kinase PLK1 OS=Homo sapiens OX=9606 GN=PLK1 PE=1 SV=1
+MSAAVTAGKLARAPADPGKAGVPGVAAPGAPAAAPPAKEIPEVLVDPRSRRRYVRGRFLGKGGFAKCFEISDADTKEVFAGKIVPKSLLLKPHQREKMSMEISIHRSLAHQHVVGFHGFFEDNDFVFVVLELCRRRSLLELHKRRKALTEPEARYYLRQIVLGCQYLHRNRVIHRDLKLGNLFLNEDLEVKIGDFGLATKVEYDGERKKTLCGTPNYIAPEVLSKKGHSFEVDVWSIGCIMYTLLVGKPPFETSCLKETYLRIKKNEYSIPKHINPVAASLIQKMLQTDPTARPTINELLNDEFFTSGYIPARLPITCLTIPPRFSIAPSSLDPSNRKPLTVLNKGLENPLPERPREKEEPVVRETGEVVDCHLSDMLQQLHSVNASKPSERGLVRQEEAEDPACIPIFWVSKWVDYSDKYGLGYQLCDNSVGVLFNDSTRLILYNDGDSLQYIERDGTESYLTVSSHPNSLMKKITLLKYFRNYMSEHLLKAGANITPREGDELARLPYLRTWFRTRSAIILHLSNGSVQINFFQDHTKLILCPLMAAVTYIDEKRDFRTYRLSLLEEYGCCKELASRLRYARTMVDKLLSSRSASNRLKAS
+>DECOY_sp|P53350|PLK1_HUMAN Serine/threonine-protein kinase PLK1 OS=Homo sapiens OX=9606 GN=PLK1 PE=1 SV=1
+SAKLRNSASRSSLLKDVMTRAYRLRSALEKCCGYEELLSLRYTRFDRKEDIYTVAAMLPCLILKTHDQFFNIQVSGNSLHLIIASRTRFWTRLYPLRALEDGERPTINAGAKLLHESMYNRFYKLLTIKKMLSNPHSSVTLYSETGDREIYQLSDGDNYLILRTSDNFLVGVSNDCLQYGLGYKDSYDVWKSVWFIPICAPDEAEEQRVLGRESPKSANVSHLQQLMDSLHCDVVEGTERVVPEEKERPREPLPNELGKNLVTLPKRNSPDLSSPAISFRPPITLCTIPLRAPIYGSTFFEDNLLENITPRATPDTQLMKQILSAAVPNIHKPISYENKKIRLYTEKLCSTEFPPKGVLLTYMICGISWVDVEFSHGKKSLVEPAIYNPTGCLTKKREGDYEVKTALGFDGIKVELDENLFLNGLKLDRHIVRNRHLYQCGLVIQRLYYRAEPETLAKRRKHLELLSRRRCLELVVFVFDNDEFFGHFGVVHQHALSRHISIEMSMKERQHPKLLLSKPVIKGAFVEKTDADSIEFCKAFGGKGLFRGRVYRRRSRPDVLVEPIEKAPPAAAPAGPAAVGPVGAKGPDAPARALKGATVAASM
+>sp|O00444|PLK4_HUMAN Serine/threonine-protein kinase PLK4 OS=Homo sapiens OX=9606 GN=PLK4 PE=1 SV=3
+MATCIGEKIEDFKVGNLLGKGSFAGVYRAESIHTGLEVAIKMIDKKAMYKAGMVQRVQNEVKIHCQLKHPSILELYNYFEDSNYVYLVLEMCHNGEMNRYLKNRVKPFSENEARHFMHQIITGMLYLHSHGILHRDLTLSNLLLTRNMNIKIADFGLATQLKMPHEKHYTLCGTPNYISPEIATRSAHGLESDVWSLGCMFYTLLIGRPPFDTDTVKNTLNKVVLADYEMPSFLSIEAKDLIHQLLRRNPADRLSLSSVLDHPFMSRNSSTKSKDLGTVEDSIDSGHATISTAITASSSTSISGSLFDKRRLLIGQPLPNKMTVFPKNKSSTDFSSSGDGNSFYTQWGNQETSNSGRGRVIQDAEERPHSRYLRRAYSSDRSGTSNSQSQAKTYTMERCHSAEMLSVSKRSGGGENEERYSPTDNNANIFNFFKEKTSSSSGSFERPDNNQALSNHLCPGKTPFPFADPTPQTETVQQWFGNLQINAHLRKTTEYDSISPNRDFQGHPDLQKDTSKNAWTDTKVKKNSDASDNAHSVKQQNTMKYMTALHSKPEIIQQECVFGSDPLSEQSKTRGMEPPWGYQNRTLRSITSPLVAHRLKPIRQKTKKAVVSILDSEEVCVELVKEYASQEYVKEVLQISSDGNTITIYYPNGGRGFPLADRPPSPTDNISRYSFDNLPEKYWRKYQYASRFVQLVRSKSPKITYFTRYAKCILMENSPGADFEVWFYDGVKIHKTEDFIQVIEKTGKSYTLKSESEVNSLKEEIKMYMDHANEGHRICLALESIISEEERKTRSAPFFPIIIGRKPGSTSSPKALSPPPSVDSNYPTRERASFNRMVMHSAASPTQAPILNPSMVTNEGLGLTTTASGTDISSNSLKDCLPKSAQLLKSVFVKNVGWATQLTSGAVWVQFNDGSQLVVQAGVSSISYTSPNGQTTRYGENEKLPDYIKQKLQCLSSILLMFSNPTPNFH
+>DECOY_sp|O00444|PLK4_HUMAN Serine/threonine-protein kinase PLK4 OS=Homo sapiens OX=9606 GN=PLK4 PE=1 SV=3
+HFNPTPNSFMLLISSLCQLKQKIYDPLKENEGYRTTQGNPSTYSISSVGAQVVLQSGDNFQVWVAGSTLQTAWGVNKVFVSKLLQASKPLCDKLSNSSIDTGSATTTLGLGENTVMSPNLIPAQTPSAASHMVMRNFSARERTPYNSDVSPPPSLAKPSSTSGPKRGIIIPFFPASRTKREEESIISELALCIRHGENAHDMYMKIEEKLSNVESESKLTYSKGTKEIVQIFDETKHIKVGDYFWVEFDAGPSNEMLICKAYRTFYTIKPSKSRVLQVFRSAYQYKRWYKEPLNDFSYRSINDTPSPPRDALPFGRGGNPYYITITNGDSSIQLVEKVYEQSAYEKVLEVCVEESDLISVVAKKTKQRIPKLRHAVLPSTISRLTRNQYGWPPEMGRTKSQESLPDSGFVCEQQIIEPKSHLATMYKMTNQQKVSHANDSADSNKKVKTDTWANKSTDKQLDPHGQFDRNPSISDYETTKRLHANIQLNGFWQQVTETQPTPDAFPFPTKGPCLHNSLAQNNDPREFSGSSSSTKEKFFNFINANNDTPSYREENEGGGSRKSVSLMEASHCREMTYTKAQSQSNSTGSRDSSYARRLYRSHPREEADQIVRGRGSNSTEQNGWQTYFSNGDGSSSFDTSSKNKPFVTMKNPLPQGILLRRKDFLSGSISTSSSATIATSITAHGSDISDEVTGLDKSKTSSNRSMFPHDLVSSLSLRDAPNRRLLQHILDKAEISLFSPMEYDALVVKNLTNKVTDTDFPPRGILLTYFMCGLSWVDSELGHASRTAIEPSIYNPTGCLTYHKEHPMKLQTALGFDAIKINMNRTLLLNSLTLDRHLIGHSHLYLMGTIIQHMFHRAENESFPKVRNKLYRNMEGNHCMELVLYVYNSDEFYNYLELISPHKLQCHIKVENQVRQVMGAKYMAKKDIMKIAVELGTHISEARYVGAFSGKGLLNGVKFDEIKEGICTAM
+>sp|Q04941|PLP2_HUMAN Proteolipid protein 2 OS=Homo sapiens OX=9606 GN=PLP2 PE=1 SV=1
+MADSERLSAPGCWAACTNFSRTRKGILLFAEIILCLVILICFSASTPGYSSLSVIEMILAAIFFVVYMCDLHTKIPFINWPWSDFFRTLIAAILYLITSIVVLVERGNHSKIVAGVLGLIATCLFGYDAYVTFPVRQPRHTAAPTDPADGPV
+>DECOY_sp|Q04941|PLP2_HUMAN Proteolipid protein 2 OS=Homo sapiens OX=9606 GN=PLP2 PE=1 SV=1
+VPGDAPDTPAATHRPQRVPFTVYADYGFLCTAILGLVGAVIKSHNGREVLVVISTILYLIAAILTRFFDSWPWNIFPIKTHLDCMYVVFFIAALIMEIVSLSSYGPTSASFCILIVLCLIIEAFLLIGKRTRSFNTCAAWCGPASLRESDAM
+>sp|Q8NBV4|PLPP7_HUMAN Inactive phospholipid phosphatase 7 OS=Homo sapiens OX=9606 GN=PLPP7 PE=2 SV=1
+MPASQSRARARDRNNVLNRAEFLSLNQPPKGGPEPRSSGRKASGPSAQPPPAGDGARERRQSQQLPEEDCMQLNPSFKGIAFNSLLAIDICMSKRLGVCAGRAASWASARSMVKLIGITGHGIPWIGGTILCLVKSSTLAGQEVLMNLLLALLLDIMTVAGVQKLIKRRGPYETSPSLLDYLTMDIYAFPAGHASRAAMVSKFFLSHLVLAVPLRVLLVLWALCVGLSRVMIGRHHVTDVLSGFVIGYLQFRLVELVWMPSSTCQMLISAW
+>DECOY_sp|Q8NBV4|PLPP7_HUMAN Inactive phospholipid phosphatase 7 OS=Homo sapiens OX=9606 GN=PLPP7 PE=2 SV=1
+WASILMQCTSSPMWVLEVLRFQLYGIVFGSLVDTVHHRGIMVRSLGVCLAWLVLLVRLPVALVLHSLFFKSVMAARSAHGAPFAYIDMTLYDLLSPSTEYPGRRKILKQVGAVTMIDLLLALLLNMLVEQGALTSSKVLCLITGGIWPIGHGTIGILKVMSRASAWSAARGACVGLRKSMCIDIALLSNFAIGKFSPNLQMCDEEPLQQSQRRERAGDGAPPPQASPGSAKRGSSRPEPGGKPPQNLSLFEARNLVNNRDRARARSQSAPM
+>sp|Q8TBJ4|PLPR1_HUMAN Phospholipid phosphatase-related protein type 1 OS=Homo sapiens OX=9606 GN=PLPPR1 PE=2 SV=1
+MAVGNNTQRSYSIIPCFIFVELVIMAGTVLLAYYFECTDTFQVHIQGFFCQDGDLMKPYPGTEEESFITPLVLYCVLAATPTAIIFIGEISMYFIKSTRESLIAQEKTILTGECCYLNPLLRRIIRFTGVFAFGLFATDIFVNAGQVVTGHLTPYFLTVCKPNYTSADCQAHHQFINNGNICTGDLEVIEKARRSFPSKHAALSIYSALYATMYITSTIKTKSSRLAKPVLCLGTLCTAFLTGLNRVSEYRNHCSDVIAGFILGTAVALFLGMCVVHNFKGTQGSPSKPKPEDPRGVPLMAFPRIESPLETLSAQNHSASMTEVT
+>DECOY_sp|Q8TBJ4|PLPR1_HUMAN Phospholipid phosphatase-related protein type 1 OS=Homo sapiens OX=9606 GN=PLPPR1 PE=2 SV=1
+TVETMSASHNQASLTELPSEIRPFAMLPVGRPDEPKPKSPSGQTGKFNHVVCMGLFLAVATGLIFGAIVDSCHNRYESVRNLGTLFATCLTGLCLVPKALRSSKTKITSTIYMTAYLASYISLAAHKSPFSRRAKEIVELDGTCINGNNIFQHHAQCDASTYNPKCVTLFYPTLHGTVVQGANVFIDTAFLGFAFVGTFRIIRRLLPNLYCCEGTLITKEQAILSERTSKIFYMSIEGIFIIATPTAALVCYLVLPTIFSEEETGPYPKMLDGDQCFFGQIHVQFTDTCEFYYALLVTGAMIVLEVFIFCPIISYSRQTNNGVAM
+>sp|Q32ZL2|PLPR5_HUMAN Phospholipid phosphatase-related protein type 5 OS=Homo sapiens OX=9606 GN=PLPPR5 PE=2 SV=2
+MPLLPAALTSSMLYFQMVIMAGTVMLAYYFEYTDTFTVNVQGFFCHDSAYRKPYPGPEDSSAVPPVLLYSLAAGVPVLVIIVGETAVFCLQLATRDFENQEKTILTGDCCYINPLVRRTVRFLGIYTFGLFATDIFVNAGQVVTGNLAPHFLALCKPNYTALGCQQYTQFISGEEACTGNPDLIMRARKTFPSKEAALSVYAAMYLTMYITNTIKAKGTRLAKPVLCLGLMCLAFLTGLNRVAEYRNHWSDVIAGFLVGISIAVFLVVCVVNNFKGRQAENEHIHMDNLAQMPMISIPRVESPLEKVTSVQNHITAFAEVT
+>DECOY_sp|Q32ZL2|PLPR5_HUMAN Phospholipid phosphatase-related protein type 5 OS=Homo sapiens OX=9606 GN=PLPPR5 PE=2 SV=2
+TVEAFATIHNQVSTVKELPSEVRPISIMPMQALNDMHIHENEAQRGKFNNVVCVVLFVAISIGVLFGAIVDSWHNRYEAVRNLGTLFALCMLGLCLVPKALRTGKAKITNTIYMTLYMAAYVSLAAEKSPFTKRARMILDPNGTCAEEGSIFQTYQQCGLATYNPKCLALFHPALNGTVVQGANVFIDTAFLGFTYIGLFRVTRRVLPNIYCCDGTLITKEQNEFDRTALQLCFVATEGVIIVLVPVGAALSYLLVPPVASSDEPGPYPKRYASDHCFFGQVNVTFTDTYEFYYALMVTGAMIVMQFYLMSSTLAAPLLPM
+>sp|Q9NRY7|PLS2_HUMAN Phospholipid scramblase 2 OS=Homo sapiens OX=9606 GN=PLSCR2 PE=1 SV=2
+MRSWNSLFCLNSSRPPGHIVYPKHQAGHTGKQADHLGSQAFYPGRQHDYLVPPAGTAGIPVQNQPGRPEGVPWMPAPPPPLNCPPGLEYLSQIDMILIHQQIELLEVLFSFESSNMYEIKNSFGQRIYFAAEDTNFCIRNCCGRSRPFTLRITDNVGREVITLERPLRCNCCCCPCCLQEIEIQAPPGVPVGYVTQTWHPCLTKFTIKNQKREDVLKISGPCIVCSCIAGVDFEITSLDEQIVVGRISKHWSGFLREAFTDADNFGIQFPRDLDVKMKAVMIGACFLIDYMFFERTR
+>DECOY_sp|Q9NRY7|PLS2_HUMAN Phospholipid scramblase 2 OS=Homo sapiens OX=9606 GN=PLSCR2 PE=1 SV=2
+RTREFFMYDILFCAGIMVAKMKVDLDRPFQIGFNDADTFAERLFGSWHKSIRGVVIQEDLSTIEFDVGAICSCVICPGSIKLVDERKQNKITFKTLCPHWTQTVYGVPVGPPAQIEIEQLCCPCCCCNCRLPRELTIVERGVNDTIRLTFPRSRGCCNRICFNTDEAAFYIRQGFSNKIEYMNSSEFSFLVELLEIQQHILIMDIQSLYELGPPCNLPPPPAPMWPVGEPRGPQNQVPIGATGAPPVLYDHQRGPYFAQSGLHDAQKGTHGAQHKPYVIHGPPRSSNLCFLSNWSRM
+>sp|A0PG75|PLS5_HUMAN Phospholipid scramblase family member 5 OS=Homo sapiens OX=9606 GN=PLSCR5 PE=2 SV=2
+MASKDAQNQRRGLPGFLPGAPDPDQSLPASSNPGNQAWQLSLPLPSSFLPTVSLPPGLEYLSQLDLIIIHQQVELLGMILGTETSNKYEIKNSLGQRIYFAVEESICFNRTFCSTLRSCTLRITDNSGREVITVNRPLRCNSCWCPCYLQELEIQAPPGTIVGYVTQKWDPFLPKFTIQNANKEDILKIVGPCVTCGCFGDVDFEVKTINEKLTIGKISKYWSGFVNDVFTNADNFGIHVPADLDVTVKAAMIGACFLFDFMFFEHSLAGL
+>DECOY_sp|A0PG75|PLS5_HUMAN Phospholipid scramblase family member 5 OS=Homo sapiens OX=9606 GN=PLSCR5 PE=2 SV=2
+LGALSHEFFMFDFLFCAGIMAAKVTVDLDAPVHIGFNDANTFVDNVFGSWYKSIKGITLKENITKVEFDVDGFCGCTVCPGVIKLIDEKNANQITFKPLFPDWKQTVYGVITGPPAQIELEQLYCPCWCSNCRLPRNVTIVERGSNDTIRLTCSRLTSCFTRNFCISEEVAFYIRQGLSNKIEYKNSTETGLIMGLLEVQQHIIILDLQSLYELGPPLSVTPLFSSPLPLSLQWAQNGPNSSAPLSQDPDPAGPLFGPLGRRQNQADKSAM
+>sp|Q14651|PLSI_HUMAN Plastin-1 OS=Homo sapiens OX=9606 GN=PLS1 PE=1 SV=2
+MENSTTTISREELEELQEAFNKIDIDNSGYVSDYELQDLFKEASLPLPGYKVREIVEKILSVADSNKDGKISFEEFVSLMQELKSKDISKTFRKIINKREGITAIGGTSTISSEGTQHSYSEEEKVAFVNWINKALENDPDCKHLIPMNPNDDSLFKSLADGILLCKMINLSEPDTIDERAINKKKLTPFTISENLNLALNSASAIGCTVVNIGASDLKEGKPHLVLGLLWQIIKVGLFADIEISRNEALIALLNEGEELEELMKLSPEELLLRWVNYHLTNAGWHTISNFSQDIKDSRAYFHLLNQIAPKGGEDGPAIAIDLSGINETNDLKRAGLMLQEADKLGCKQFVTPADVVSGNPKLNLAFVANLFNTYPCLHKPNNNDIDMNLLEGESKEERTFRNWMNSLGVNPYINHLYSDLADALVIFQLYEMIRVPVNWSHVNKPPYPALGGNMKKIENCNYAVELGKNKAKFSLVGIAGQDLNEGNSTLTLALVWQLMRRYTLNVLSDLGEGEKVNDEIIIKWVNQTLKSANKKTSISSFKDKSISTSLPVLDLIDAIAPNAVRQEMIRRENLSDEDKLNNAKYAISVARKIGARIYALPDDLVEVKPKMVMTVFACLMGKGLNRIK
+>DECOY_sp|Q14651|PLSI_HUMAN Plastin-1 OS=Homo sapiens OX=9606 GN=PLS1 PE=1 SV=2
+KIRNLGKGMLCAFVTMVMKPKVEVLDDPLAYIRAGIKRAVSIAYKANNLKDEDSLNERRIMEQRVANPAIADILDLVPLSTSISKDKFSSISTKKNASKLTQNVWKIIIEDNVKEGEGLDSLVNLTYRRMLQWVLALTLTSNGENLDQGAIGVLSFKAKNKGLEVAYNCNEIKKMNGGLAPYPPKNVHSWNVPVRIMEYLQFIVLADALDSYLHNIYPNVGLSNMWNRFTREEKSEGELLNMDIDNNNPKHLCPYTNFLNAVFALNLKPNGSVVDAPTVFQKCGLKDAEQLMLGARKLDNTENIGSLDIAIAPGDEGGKPAIQNLLHFYARSDKIDQSFNSITHWGANTLHYNVWRLLLEEPSLKMLEELEEGENLLAILAENRSIEIDAFLGVKIIQWLLGLVLHPKGEKLDSAGINVVTCGIASASNLALNLNESITFPTLKKKNIAREDITDPESLNIMKCLLIGDALSKFLSDDNPNMPILHKCDPDNELAKNIWNVFAVKEEESYSHQTGESSITSTGGIATIGERKNIIKRFTKSIDKSKLEQMLSVFEEFSIKGDKNSDAVSLIKEVIERVKYGPLPLSAEKFLDQLEYDSVYGSNDIDIKNFAEQLEELEERSITTTSNEM
+>sp|Q9Y4D7|PLXD1_HUMAN Plexin-D1 OS=Homo sapiens OX=9606 GN=PLXND1 PE=1 SV=3
+MAPRAAGGAPLSARAAAASPPPFQTPPRCPVPLLLLLLLGAARAGALEIQRRFPSPTPTNNFALDGAAGTVYLAAVNRLYQLSGANLSLEAEAAVGPVPDSPLCHAPQLPQASCEHPRRLTDNYNKILQLDPGQGLVVVCGSIYQGFCQLRRRGNISAVAVRFPPAAPPAEPVTVFPSMLNVAANHPNASTVGLVLPPAAGAGGSRLLVGATYTGYGSSFFPRNRSLEDHRFENTPEIAIRSLDTRGDLAKLFTFDLNPSDDNILKIKQGAKEQHKLGFVSAFLHPSDPPPGAQSYAYLALNSEARAGDKESQARSLLARICLPHGAGGDAKKLTESYIQLGLQCAGGAGRGDLYSRLVSVFPARERLFAVFERPQGSPAARAAPAALCAFRFADVRAAIRAARTACFVEPAPDVVAVLDSVVQGTGPACERKLNIQLQPEQLDCGAAHLQHPLSILQPLKATPVFRAPGLTSVAVASVNNYTAVFLGTVNGRLLKINLNESMQVVSRRVVTVAYGEPVHHVMQFDPADSGYLYLMTSHQMARVKVAACNVHSTCGDCVGAADAYCGWCALETRCTLQQDCTNSSQQHFWTSASEGPSRCPAMTVLPSEIDVRQEYPGMILQISGSLPSLSGMEMACDYGNNIRTVARVPGPAFGHQIAYCNLLPRDQFPPFPPNQDHVTVEMSVRVNGRNIVKANFTIYDCSRTAQVYPHTACTSCLSAQWPCFWCSQQHSCVSNQSRCEASPNPTSPQDCPRTLLSPLAPVPTGGSQNILVPLANTAFFQGAALECSFGLEEIFEAVWVNESVVRCDQVVLHTTRKSQVFPLSLQLKGRPARFLDSPEPMTVMVYNCAMGSPDCSQCLGREDLGHLCMWSDGCRLRGPLQPMAGTCPAPEIHAIEPLSGPLDGGTLLTIRGRNLGRRLSDVAHGVWIGGVACEPLPDRYTVSEEIVCVTGPAPGPLSGVVTVNASKEGKSRDRFSYVLPLVHSLEPTMGPKAGGTRITIHGNDLHVGSELQVLVNDTDPCTELMRTDTSIACTMPEGALPAPVPVCVRFERRGCVHGNLTFWYMQNPVITAISPRRSPVSGGRTITVAGERFHMVQNVSMAVHHIGREPTLCKVLNSTLITCPSPGALSNASAPVDFFINGRAYADEVAVAEELLDPEEAQRGSRFRLDYLPNPQFSTAKREKWIKHHPGEPLTLVIHKEQDSLGLQSHEYRVKIGQVSCDIQIVSDRIIHCSVNESLGAAVGQLPITIQVGNFNQTIATLQLGGSETAIIVSIVICSVLLLLSVVALFVFCTKSRRAERYWQKTLLQMEEMESQIREEIRKGFAELQTDMTDLTKELNRSQGIPFLEYKHFVTRTFFPKCSSLYEERYVLPSQTLNSQGSSQAQETHPLLGEWKIPESCRPNMEEGISLFSSLLNNKHFLIVFVHALEQQKDFAVRDRCSLASLLTIALHGKLEYYTSIMKELLVDLIDASAAKNPKLMLRRTESVVEKMLTNWMSICMYSCLRETVGEPFFLLLCAIKQQINKGSIDAITGKARYTLSEEWLLRENIEAKPRNLNVSFQGCGMDSLSVRAMDTDTLTQVKEKILEAFCKNVPYSQWPRAEDVDLEWFASSTQSYILRDLDDTSVVEDGRKKLNTLAHYKIPEGASLAMSLIDKKDNTLGRVKDLDTEKYFHLVLPTDELAEPKKSHRQSHRKKVLPEIYLTRLLSTKGTLQKFLDDLFKAILSIREDKPPLAVKYFFDFLEEQAEKRGISDPDTLHIWKTNSLPLRFWVNILKNPQFVFDIDKTDHIDACLSVIAQAFIDACSISDLQLGKDSPTNKLLYAKEIPEYRKIVQRYYKQIQDMTPLSEQEMNAHLAEESRKYQNEFNTNVAMAEIYKYAKRYRPQIMAALEANPTARRTQLQHKFEQVVALMEDNIYECYSEA
+>DECOY_sp|Q9Y4D7|PLXD1_HUMAN Plexin-D1 OS=Homo sapiens OX=9606 GN=PLXND1 PE=1 SV=3
+AESYCEYINDEMLAVVQEFKHQLQTRRATPNAELAAMIQPRYRKAYKYIEAMAVNTNFENQYKRSEEALHANMEQESLPTMDQIQKYYRQVIKRYEPIEKAYLLKNTPSDKGLQLDSISCADIFAQAIVSLCADIHDTKDIDFVFQPNKLINVWFRLPLSNTKWIHLTDPDSIGRKEAQEELFDFFYKVALPPKDERISLIAKFLDDLFKQLTGKTSLLRTLYIEPLVKKRHSQRHSKKPEALEDTPLVLHFYKETDLDKVRGLTNDKKDILSMALSAGEPIKYHALTNLKKRGDEVVSTDDLDRLIYSQTSSAFWELDVDEARPWQSYPVNKCFAELIKEKVQTLTDTDMARVSLSDMGCGQFSVNLNRPKAEINERLLWEESLTYRAKGTIADISGKNIQQKIACLLLFFPEGVTERLCSYMCISMWNTLMKEVVSETRRLMLKPNKAASADILDVLLEKMISTYYELKGHLAITLLSALSCRDRVAFDKQQELAHVFVILFHKNNLLSSFLSIGEEMNPRCSEPIKWEGLLPHTEQAQSSGQSNLTQSPLVYREEYLSSCKPFFTRTVFHKYELFPIGQSRNLEKTLDTMDTQLEAFGKRIEERIQSEMEEMQLLTKQWYREARRSKTCFVFLAVVSLLLLVSCIVISVIIATESGGLQLTAITQNFNGVQITIPLQGVAAGLSENVSCHIIRDSVIQIDCSVQGIKVRYEHSQLGLSDQEKHIVLTLPEGPHHKIWKERKATSFQPNPLYDLRFRSGRQAEEPDLLEEAVAVEDAYARGNIFFDVPASANSLAGPSPCTILTSNLVKCLTPERGIHHVAMSVNQVMHFREGAVTITRGGSVPSRRPSIATIVPNQMYWFTLNGHVCGRREFRVCVPVPAPLAGEPMTCAISTDTRMLETCPDTDNVLVQLESGVHLDNGHITIRTGGAKPGMTPELSHVLPLVYSFRDRSKGEKSANVTVVGSLPGPAPGTVCVIEESVTYRDPLPECAVGGIWVGHAVDSLRRGLNRGRITLLTGGDLPGSLPEIAHIEPAPCTGAMPQLPGRLRCGDSWMCLHGLDERGLCQSCDPSGMACNYVMVTMPEPSDLFRAPRGKLQLSLPFVQSKRTTHLVVQDCRVVSENVWVAEFIEELGFSCELAAGQFFATNALPVLINQSGGTPVPALPSLLTRPCDQPSTPNPSAECRSQNSVCSHQQSCWFCPWQASLCSTCATHPYVQATRSCDYITFNAKVINRGNVRVSMEVTVHDQNPPFPPFQDRPLLNCYAIQHGFAPGPVRAVTRINNGYDCAMEMGSLSPLSGSIQLIMGPYEQRVDIESPLVTMAPCRSPGESASTWFHQQSSNTCDQQLTCRTELACWGCYADAAGVCDGCTSHVNCAAVKVRAMQHSTMLYLYGSDAPDFQMVHHVPEGYAVTVVRRSVVQMSENLNIKLLRGNVTGLFVATYNNVSAVAVSTLGPARFVPTAKLPQLISLPHQLHAAGCDLQEPQLQINLKRECAPGTGQVVSDLVAVVDPAPEVFCATRAARIAARVDAFRFACLAAPAARAAPSGQPREFVAFLRERAPFVSVLRSYLDGRGAGGACQLGLQIYSETLKKADGGAGHPLCIRALLSRAQSEKDGARAESNLALYAYSQAGPPPDSPHLFASVFGLKHQEKAGQKIKLINDDSPNLDFTFLKALDGRTDLSRIAIEPTNEFRHDELSRNRPFFSSGYGTYTAGVLLRSGGAGAAPPLVLGVTSANPHNAAVNLMSPFVTVPEAPPAAPPFRVAVASINGRRRLQCFGQYISGCVVVLGQGPDLQLIKNYNDTLRRPHECSAQPLQPAHCLPSDPVPGVAAEAELSLNAGSLQYLRNVAALYVTGAAGDLAFNNTPTPSPFRRQIELAGARAAGLLLLLLLPVPCRPPTQFPPPSAAAARASLPAGGAARPAM
+>sp|Q13401|PM2P3_HUMAN Putative postmeiotic segregation increased 2-like protein 3 OS=Homo sapiens OX=9606 GN=PMS2P3 PE=5 SV=2
+MNTLQGPVSFKDVAVDFTQEEWRQLDPDEKIAYGDVMLENYSHLVSVGYDYHQAKHHHGVEVKEVEQGEEPWIMEGEFPCQHSPEPAKAIKPIDRKSVHQICSGPVVLSLSTAVKELVENSLDAGATNIDLKLKDYGVDLIEVSDNGCGVEEENFEGLISFSSETSHM
+>DECOY_sp|Q13401|PM2P3_HUMAN Putative postmeiotic segregation increased 2-like protein 3 OS=Homo sapiens OX=9606 GN=PMS2P3 PE=5 SV=2
+MHSTESSFSILGEFNEEEVGCGNDSVEILDVGYDKLKLDINTAGADLSNEVLEKVATSLSLVVPGSCIQHVSKRDIPKIAKAPEPSHQCPFEGEMIWPEEGQEVEKVEVGHHHKAQHYDYGVSVLHSYNELMVDGYAIKEDPDLQRWEEQTFDVAVDKFSVPGQLTNM
+>sp|A0A0J9YX94|PMA6F_HUMAN Paraneoplastic antigen Ma6F OS=Homo sapiens OX=9606 GN=PNMA6F PE=4 SV=1
+MLQDWCRRMGVNAERSLLILDIPDDCEEHEFQEAVRAALSPLGRYRVLIKVFRKELGARAALVEFAEGLNQSLIPRQIAGKGGPWKVISLPQALDAEFQDIPSFPAQPQGQAVARGAGEAGAAGEAGSVGEAGGVNEERSAGEDEAGGIGEAGGVGEAGAAGEAGAAGEAGAAGEAGGAGEAGGAGEAGGAGEEGGTGEEGGAGEAGGAGEEGGEDEAGAAGEAVGAGVVEAWTQSWRQTLRPLVKTMAYRELRPFSGREQPGCVEESFESWLEDAKDMLQLWCHASERERRRRLLDSLDGLALDIVSGLLEEDPDFSAQDCLTALGQVFRSRDTWMTSRMKFLTCTQGPQEGLFAFVVRLEGLLQKAVEKGAVHPAMANHLRLRQVLSRARPSEALQDTLRRMQLERRPPDFLRLLRLIRDMEAWAASLARSQQGVAWAAAPVESEDPAAAQASPAQGDASEADPGAEDADEAASTTKEAARVAPATGEDENAPAGLEGLGQGRSPDAPGGLPARMGSAVDMAPGGPSWEPEGLVQVGGQEAEEPPQEGLKPILEESENEDEDGAGEAGKPKSPPGK
+>DECOY_sp|A0A0J9YX94|PMA6F_HUMAN Paraneoplastic antigen Ma6F OS=Homo sapiens OX=9606 GN=PNMA6F PE=4 SV=1
+KGPPSKPKGAEGAGDEDENESEELIPKLGEQPPEEAEQGGVQVLGEPEWSPGGPAMDVASGMRAPLGGPADPSRGQGLGELGAPANEDEGTAPAVRAAEKTTSAAEDADEAGPDAESADGQAPSAQAAAPDESEVPAAAWAVGQQSRALSAAWAEMDRILRLLRLFDPPRRELQMRRLTDQLAESPRARSLVQRLRLHNAMAPHVAGKEVAKQLLGELRVVFAFLGEQPGQTCTLFKMRSTMWTDRSRFVQGLATLCDQASFDPDEELLGSVIDLALGDLSDLLRRRRERESAHCWLQLMDKADELWSEFSEEVCGPQERGSFPRLERYAMTKVLPRLTQRWSQTWAEVVGAGVAEGAAGAEDEGGEEGAGGAEGAGGEEGTGGEEGAGGAEGAGGAEGAGGAEGAAGAEGAAGAEGAAGAEGVGGAEGIGGAEDEGASREENVGGAEGVSGAEGAAGAEGAGRAVAQGQPQAPFSPIDQFEADLAQPLSIVKWPGGKGAIQRPILSQNLGEAFEVLAARAGLEKRFVKILVRYRGLPSLAARVAEQFEHEECDDPIDLILLSREANVGMRRCWDQLM
+>sp|Q8TBY8|PMFBP_HUMAN Polyamine-modulated factor 1-binding protein 1 OS=Homo sapiens OX=9606 GN=PMFBP1 PE=2 SV=2
+MKDEAGERDREVSSLNSKLLSLQLDIKNLHDVCKRQRKTLQDNQLCMEEAMNSSHDKKQAQALAFEESEVEFGSSKQCHLRQLQQLKKKLLVLQQELEFHTEELQTSYYSLRQYQSILEKQTSDLVLLHHHCKLKEDEVILYEEEMGNHNENTGEKLHLAQEQLALAGDKIASLERSLNLYRDKYQSSLSNIELLECQVKMLQGELGGIMGQEPENKGDHSKVRIYTSPCMIQEHQETQKRLSEVWQKVSQQDDLIQELRNKLACSNALVLEREKALIKLQADFASCTATHRYPPSSSEECEDIKKILKHLQEQKDSQCLHVEEYQNLVKDLRVELEAVSEQKRNIMKDMMKLELDLHGLREETSAHIERKDKDITILQCRLQELQLEFTETQKLTLKKDKFLQEKDEMLQELEKKLTQVQNSLLKKEKELEKQQCMATELEMTVKEAKQDKSKEAECKALQAEVQKLKNSLEEAKQQERLAGEAPAAQQAAQCKEEAALAGCHLEDTQRKLQKGLLLDKQKADTIQELQRELQMLQKESSMAEKEQTSNRKRVEELSLELSEALRKLENSDKEKRQLQKTVAEQDMKMNDMLDRIKHQHREQGSIKCKLEEDLQEATKLLEDKREQLKKSKEHEKLMEGELEALRQEFKKKDKTLKENSRKLEEENENLRAELQCCSTQLESSLNKYNTSQQVIQDLNKEIALQKESLMSLQAQLDKALQKEKHYLQTTITKEAYDALSRKSAACQDDLTQALEKLNHVTSETKSLQQSLTQTQEKKAQLEEEIIAYEERMKKLNTELRKLRGFHQESELEVHAFDKKLEEMSCQVLQWQKQHQNDLKMLAAKEEQLREFQEEMAALKENLLEDDKEPCCLPQWSVPKDTCRLYRGNDQIMTNLEQWAKQQKVANEKLGNQLREQVKYIAKLSGEKDHLHSVMVHLQQENKKLKKEIEEKKMKAENTRLCTKALGPSRTESTQREKVCGTLGWKGLPQDMGQRMDLTKYIGMPHCPGTSAICQKNKCDFFL
+>DECOY_sp|Q8TBY8|PMFBP_HUMAN Polyamine-modulated factor 1-binding protein 1 OS=Homo sapiens OX=9606 GN=PMFBP1 PE=2 SV=2
+LFFDCKNKQCIASTGPCHPMGIYKTLDMRQGMDQPLGKWGLTGCVKERQTSETRSPGLAKTCLRTNEAKMKKEEIEKKLKKNEQQLHVMVSHLHDKEGSLKAIYKVQERLQNGLKENAVKQQKAWQELNTMIQDNGRYLRCTDKPVSWQPLCCPEKDDELLNEKLAAMEEQFERLQEEKAALMKLDNQHQKQWQLVQCSMEELKKDFAHVELESEQHFGRLKRLETNLKKMREEYAIIEEELQAKKEQTQTLSQQLSKTESTVHNLKELAQTLDDQCAASKRSLADYAEKTITTQLYHKEKQLAKDLQAQLSMLSEKQLAIEKNLDQIVQQSTNYKNLSSELQTSCCQLEARLNENEEELKRSNEKLTKDKKKFEQRLAELEGEMLKEHEKSKKLQERKDELLKTAEQLDEELKCKISGQERHQHKIRDLMDNMKMDQEAVTKQLQRKEKDSNELKRLAESLELSLEEVRKRNSTQEKEAMSSEKQLMQLERQLEQITDAKQKDLLLGKQLKRQTDELHCGALAAEEKCQAAQQAAPAEGALREQQKAEELSNKLKQVEAQLAKCEAEKSKDQKAEKVTMELETAMCQQKELEKEKKLLSNQVQTLKKELEQLMEDKEQLFKDKKLTLKQTETFELQLEQLRCQLITIDKDKREIHASTEERLGHLDLELKMMDKMINRKQESVAELEVRLDKVLNQYEEVHLCQSDKQEQLHKLIKKIDECEESSSPPYRHTATCSAFDAQLKILAKERELVLANSCALKNRLEQILDDQQSVKQWVESLRKQTEQHEQIMCPSTYIRVKSHDGKNEPEQGMIGGLEGQLMKVQCELLEINSLSSQYKDRYLNLSRELSAIKDGALALQEQALHLKEGTNENHNGMEEEYLIVEDEKLKCHHHLLVLDSTQKELISQYQRLSYYSTQLEETHFELEQQLVLLKKKLQQLQRLHCQKSSGFEVESEEFALAQAQKKDHSSNMAEEMCLQNDQLTKRQRKCVDHLNKIDLQLSLLKSNLSSVERDREGAEDKM
+>sp|Q99640|PMYT1_HUMAN Membrane-associated tyrosine- and threonine-specific cdc2-inhibitory kinase OS=Homo sapiens OX=9606 GN=PKMYT1 PE=1 SV=1
+MLERPPALAMPMPTEGTPPPLSGTPIPVPAYFRHAEPGFSLKRPRGLSRSLPPPPPAKGSIPISRLFPPRTPGWHQLQPRRVSFRGEASETLQSPGYDPSRPESFFQQSFQRLSRLGHGSYGEVFKVRSKEDGRLYAVKRSMSPFRGPKDRARKLAEVGSHEKVGQHPCCVRLEQAWEEGGILYLQTELCGPSLQQHCEAWGASLPEAQVWGYLRDTLLALAHLHSQGLVHLDVKPANIFLGPRGRCKLGDFGLLVELGTAGAGEVQEGDPRYMAPELLQGSYGTAADVFSLGLTILEVACNMELPHGGEGWQQLRQGYLPPEFTAGLSSELRSVLVMMLEPDPKLRATAEALLALPVLRQPRAWGVLWCMAAEALSRGWALWQALLALLCWLWHGLAHPASWLQPLGPPATPPGSPPCSLLLDSSLSSNWDDDSLGPSLSPEAVLARTVGSTSTPRSRCTPRDALDLSDINSEPPRGSFPSFEPRNLLSLFEDTLDPT
+>DECOY_sp|Q99640|PMYT1_HUMAN Membrane-associated tyrosine- and threonine-specific cdc2-inhibitory kinase OS=Homo sapiens OX=9606 GN=PKMYT1 PE=1 SV=1
+TPDLTDEFLSLLNRPEFSPFSGRPPESNIDSLDLADRPTCRSRPTSTSGVTRALVAEPSLSPGLSDDDWNSSLSSDLLLSCPPSGPPTAPPGLPQLWSAPHALGHWLWCLLALLAQWLAWGRSLAEAAMCWLVGWARPQRLVPLALLAEATARLKPDPELMMVLVSRLESSLGATFEPPLYGQRLQQWGEGGHPLEMNCAVELITLGLSFVDAATGYSGQLLEPAMYRPDGEQVEGAGATGLEVLLGFDGLKCRGRPGLFINAPKVDLHVLGQSHLHALALLTDRLYGWVQAEPLSAGWAECHQQLSPGCLETQLYLIGGEEWAQELRVCCPHQGVKEHSGVEALKRARDKPGRFPSMSRKVAYLRGDEKSRVKFVEGYSGHGLRSLRQFSQQFFSEPRSPDYGPSQLTESAEGRFSVRRPQLQHWGPTRPPFLRSIPISGKAPPPPPLSRSLGRPRKLSFGPEAHRFYAPVPIPTGSLPPPTGETPMPMALAPPRELM
+>sp|Q8NA58|PNDC1_HUMAN Poly(A)-specific ribonuclease PNLDC1 OS=Homo sapiens OX=9606 GN=PNLDC1 PE=1 SV=2
+MFCTRGLLFFAFLAGLDIEFTGLRSNLSGPQQISLFDLPSEWYLKTRQSVQQFTVCQIGLSVFSAIEGEANKYIAHSCNFYLFPTTFGILDSEFSFQASSVQFLNQYGFNYNKFLKNGIPYMNEEQEKKIRHDILTGNWRVRSSPDKDQIKVVIDEVTRWLELAKEGDWMTLPGITGFQAFEVQLVLRQALPNIWTVLKDEGVVVKKVSKQHRWYLQNTSCDRESCWKENILLSARGFSVFFQMLVKAQKPLVGHNMMMDLLHLHEKFFRPLPESYDQFKQNIHSLFPVLIDTKSVTKDIWKEMNFPRVSNLSEVYEVLNSDLNPTKNSGPEIVHASRCEKYVETKCPHEAAYDAFLCGSVLLKVAHLLLQKIYHIDPVPESSFPQYLDVLAPYVNQVNLIRAGVPKINFSGPDYPSIRPPILILSVKRWPGVSEQQVYHKFQNLCKFDVRRLTRSQFLLLTNKFKDARNILKEYRDHPTLCISLYRYWRHSPNVNCLLQVCGIVTAWALLAFILGRSGT
+>DECOY_sp|Q8NA58|PNDC1_HUMAN Poly(A)-specific ribonuclease PNLDC1 OS=Homo sapiens OX=9606 GN=PNLDC1 PE=1 SV=2
+TGSRGLIFALLAWATVIGCVQLLCNVNPSHRWYRYLSICLTPHDRYEKLINRADKFKNTLLLFQSRTLRRVDFKCLNQFKHYVQQESVGPWRKVSLILIPPRISPYDPGSFNIKPVGARILNVQNVYPALVDLYQPFSSEPVPDIHYIKQLLLHAVKLLVSGCLFADYAAEHPCKTEVYKECRSAHVIEPGSNKTPNLDSNLVEYVESLNSVRPFNMEKWIDKTVSKTDILVPFLSHINQKFQDYSEPLPRFFKEHLHLLDMMMNHGVLPKQAKVLMQFFVSFGRASLLINEKWCSERDCSTNQLYWRHQKSVKKVVVGEDKLVTWINPLAQRLVLQVEFAQFGTIGPLTMWDGEKALELWRTVEDIVVKIQDKDPSSRVRWNGTLIDHRIKKEQEENMYPIGNKLFKNYNFGYQNLFQVSSAQFSFESDLIGFTTPFLYFNCSHAIYKNAEGEIASFVSLGIQCVTFQQVSQRTKLYWESPLDFLSIQQPGSLNSRLGTFEIDLGALFAFFLLGRTCFM
+>sp|Q86V59|PNM8A_HUMAN Paraneoplastic antigen-like protein 8A OS=Homo sapiens OX=9606 GN=PNMA8A PE=1 SV=2
+MSKTMAMNLLEDWCRGMEVDIHRSLLVTGIPEDCGQAEIEETLNGVLSPLGPYRVLNKIFVREENVKAALIEVGEGVNLSTIPREFPGRGGVWRVVCRDPTQDAEFLKNLNEFLDAEGRTWEDVVRLLQLNHPTLSQNQHQPPENWAEALGVLLGAVVQIIFCMDAEIRSREEARAQEAAEFEEMAAWALAAGRKVKKEPGLAAEVGSALKAETPNNWNATEDQHEPTKPLVRRAGAKSRSRRKKQKKNSRQEAVPWKKPKGINSNSTANLEDPEVGDAESMAISEPIKGSRKPCVNKEELALKKPMAKCAWKGPREPPQDARAEAESPGGASESDQDGGHESPPKKKAVAWVSAKNPAPMRKKKKVSLGPVSYVLVDSEDGRKKPVMPKKGPGSRREASDQKAPRGQQPAEATASTSRGPKAKPEGSPRRATNESRKV
+>DECOY_sp|Q86V59|PNM8A_HUMAN Paraneoplastic antigen-like protein 8A OS=Homo sapiens OX=9606 GN=PNMA8A PE=1 SV=2
+VKRSENTARRPSGEPKAKPGRSTSATAEAPQQGRPAKQDSAERRSGPGKKPMVPKKRGDESDVLVYSVPGLSVKKKKRMPAPNKASVWAVAKKKPPSEHGGDQDSESAGGPSEAEARADQPPERPGKWACKAMPKKLALEEKNVCPKRSGKIPESIAMSEADGVEPDELNATSNSNIGKPKKWPVAEQRSNKKQKKRRSRSKAGARRVLPKTPEHQDETANWNNPTEAKLASGVEAALGPEKKVKRGAALAWAAMEEFEAAEQARAEERSRIEADMCFIIQVVAGLLVGLAEAWNEPPQHQNQSLTPHNLQLLRVVDEWTRGEADLFENLNKLFEADQTPDRCVVRWVGGRGPFERPITSLNVGEGVEILAAKVNEERVFIKNLVRYPGLPSLVGNLTEEIEAQGCDEPIGTVLLSRHIDVEMGRCWDELLNMAMTKSM
+>sp|Q9UL42|PNMA2_HUMAN Paraneoplastic antigen Ma2 OS=Homo sapiens OX=9606 GN=PNMA2 PE=1 SV=2
+MALALLEDWCRIMSVDEQKSLMVTGIPADFEEAEIQEVLQETLKSLGRYRLLGKIFRKQENANAVLLELLEDTDVSAIPSEVQGKGGVWKVIFKTPNQDTEFLERLNLFLEKEGQTVSGMFRALGQEGVSPATVPCISPELLAHLLGQAMAHAPQPLLPMRYRKLRVFSGSAVPAPEEESFEVWLEQATEIVKEWPVTEAEKKRWLAESLRGPALDLMHIVQADNPSISVEECLEAFKQVFGSLESRRTAQVRYLKTYQEEGEKVSAYVLRLETLLRRAVEKRAIPRRIADQVRLEQVMAGATLNQMLWCRLRELKDQGPPPSFLELMKVIREEEEEEASFENESIEEPEERDGYGRWNHEGDD
+>DECOY_sp|Q9UL42|PNMA2_HUMAN Paraneoplastic antigen Ma2 OS=Homo sapiens OX=9606 GN=PNMA2 PE=1 SV=2
+DDGEHNWRGYGDREEPEEISENEFSAEEEEEERIVKMLELFSPPPGQDKLERLRCWLMQNLTAGAMVQELRVQDAIRRPIARKEVARRLLTELRLVYASVKEGEEQYTKLYRVQATRRSELSGFVQKFAELCEEVSISPNDAQVIHMLDLAPGRLSEALWRKKEAETVPWEKVIETAQELWVEFSEEEPAPVASGSFVRLKRYRMPLLPQPAHAMAQGLLHALLEPSICPVTAPSVGEQGLARFMGSVTQGEKELFLNLRELFETDQNPTKFIVKWVGGKGQVESPIASVDTDELLELLVANANEQKRFIKGLLRYRGLSKLTEQLVEQIEAEEFDAPIGTVMLSKQEDVSMIRCWDELLALAM
+>sp|P09086|PO2F2_HUMAN POU domain, class 2, transcription factor 2 OS=Homo sapiens OX=9606 GN=POU2F2 PE=1 SV=3
+MVHSSMGAPEIRMSKPLEAEKQGLDSPSEHTDTERNGPDTNHQNPQNKTSPFSVSPTGPSTKIKAEDPSGDSAPAAPLPPQPAQPHLPQAQLMLTGSQLAGDIQQLLQLQQLVLVPGHHLQPPAQFLLPQAQQSQPGLLPTPNLFQLPQQTQGALLTSQPRAGLPTQAVTRPTLPDPHLSHPQPPKCLEPPSHPEEPSDLEELEQFARTFKQRRIKLGFTQGDVGLAMGKLYGNDFSQTTISRFEALNLSFKNMCKLKPLLEKWLNDAETMSVDSSLPSPNQLSSPSLGFDGLPGRRRKKRTSIETNVRFALEKSFLANQKPTSEEILLIAEQLHMEKEVIRVWFCNRRQKEKRINPCSAAPMLPSPGKPASYSPHMVTPQGGAGTLPLSQASSSLSTTVTTLSSAVGTLHPSRTAGGGGGGGGAAPPLNSIPSVTPPPPATTNSTNPSPQGSHSAIGLSGLNPSTGPGLWWNPAPYQP
+>DECOY_sp|P09086|PO2F2_HUMAN POU domain, class 2, transcription factor 2 OS=Homo sapiens OX=9606 GN=POU2F2 PE=1 SV=3
+PQYPAPNWWLGPGTSPNLGSLGIASHSGQPSPNTSNTTAPPPPTVSPISNLPPAAGGGGGGGGATRSPHLTGVASSLTTVTTSLSSSAQSLPLTGAGGQPTVMHPSYSAPKGPSPLMPAASCPNIRKEKQRRNCFWVRIVEKEMHLQEAILLIEESTPKQNALFSKELAFRVNTEISTRKKRRRGPLGDFGLSPSSLQNPSPLSSDVSMTEADNLWKELLPKLKCMNKFSLNLAEFRSITTQSFDNGYLKGMALGVDGQTFGLKIRRQKFTRAFQELEELDSPEEPHSPPELCKPPQPHSLHPDPLTPRTVAQTPLGARPQSTLLAGQTQQPLQFLNPTPLLGPQSQQAQPLLFQAPPQLHHGPVLVLQQLQLLQQIDGALQSGTLMLQAQPLHPQAPQPPLPAAPASDGSPDEAKIKTSPGTPSVSFPSTKNQPNQHNTDPGNRETDTHESPSDLGQKEAELPKSMRIEPAGMSSHVM
+>sp|Q03052|PO3F1_HUMAN POU domain, class 3, transcription factor 1 OS=Homo sapiens OX=9606 GN=POU3F1 PE=2 SV=3
+MATTAQYLPRGPGGGAGGTGPLMHPDAAAAAAAAAAAERLHAGAAYREVQKLMHHEWLGAGAGHPVGLAHPQWLPTGGGGGGDWAGGPHLEHGKAGGGGTGRADDGGGGGGFHARLVHQGAAHAGAAWAQGSTAHHLGPAMSPSPGASGGHQPQPLGLYAQAAYPGGGGGGLAGMLAAGGGGAGPGLHHALHEDGHEAQLEPSPPPHLGAHGHAHGHAHAGGLHAAAAHLHPGAGGGGSSVGEHSDEDAPSSDDLEQFAKQFKQRRIKLGFTQADVGLALGTLYGNVFSQTTICRFEALQLSFKNMCKLKPLLNKWLEETDSSSGSPTNLDKIAAQGRKRKKRTSIEVGVKGALESHFLKCPKPSAHEITGLADSLQLEKEVVRVWFCNRRQKEKRMTPAAGAGHPPMDDVYAPGELGPGGGGASPPSAPPPPPPAALHHHHHHTLPGSVQ
+>DECOY_sp|Q03052|PO3F1_HUMAN POU domain, class 3, transcription factor 1 OS=Homo sapiens OX=9606 GN=POU3F1 PE=2 SV=3
+QVSGPLTHHHHHHLAAPPPPPPASPPSAGGGGPGLEGPAYVDDMPPHGAGAAPTMRKEKQRRNCFWVRVVEKELQLSDALGTIEHASPKPCKLFHSELAGKVGVEISTRKKRKRGQAAIKDLNTPSGSSSDTEELWKNLLPKLKCMNKFSLQLAEFRCITTQSFVNGYLTGLALGVDAQTFGLKIRRQKFQKAFQELDDSSPADEDSHEGVSSGGGGAGPHLHAAAAHLGGAHAHGHAHGHAGLHPPPSPELQAEHGDEHLAHHLGPGAGGGGAALMGALGGGGGGPYAAQAYLGLPQPQHGGSAGPSPSMAPGLHHATSGQAWAAGAHAAGQHVLRAHFGGGGGGDDARGTGGGGAKGHELHPGGAWDGGGGGGTPLWQPHALGVPHGAGAGLWEHHMLKQVERYAAGAHLREAAAAAAAAAAADPHMLPGTGGAGGGPGRPLYQATTAM
+>sp|P20264|PO3F3_HUMAN POU domain, class 3, transcription factor 3 OS=Homo sapiens OX=9606 GN=POU3F3 PE=2 SV=2
+MATAASNPYLPGNSLLAAGSIVHSDAAGAGGGGGGGGGGGGGGAGGGGGGMQPGSAAVTSGAYRGDPSSVKMVQSDFMQGAMAASNGGHMLSHAHQWVTALPHAAAAAAAAAAAAVEASSPWSGSAVGMAGSPQQPPQPPPPPPQGPDVKGGAGRDDLHAGTALHHRGPPHLGPPPPPPHQGHPGGWGAAAAAAAAAAAAAAAAHLPSMAGGQQPPPQSLLYSQPGGFTVNGMLSAPPGPGGGGGGAGGGAQSLVHPGLVRGDTPELAEHHHHHHHHAHPHPPHPHHAQGPPHHGGGGGGAGPGLNSHDPHSDEDTPTSDDLEQFAKQFKQRRIKLGFTQADVGLALGTLYGNVFSQTTICRFEALQLSFKNMCKLKPLLNKWLEEADSSTGSPTSIDKIAAQGRKRKKRTSIEVSVKGALESHFLKCPKPSAQEITNLADSLQLEKEVVRVWFCNRRQKEKRMTPPGIQQQTPDDVYSQVGTVSADTPPPHHGLQTSVQ
+>DECOY_sp|P20264|PO3F3_HUMAN POU domain, class 3, transcription factor 3 OS=Homo sapiens OX=9606 GN=POU3F3 PE=2 SV=2
+QVSTQLGHHPPPTDASVTGVQSYVDDPTQQQIGPPTMRKEKQRRNCFWVRVVEKELQLSDALNTIEQASPKPCKLFHSELAGKVSVEISTRKKRKRGQAAIKDISTPSGTSSDAEELWKNLLPKLKCMNKFSLQLAEFRCITTQSFVNGYLTGLALGVDAQTFGLKIRRQKFQKAFQELDDSTPTDEDSHPDHSNLGPGAGGGGGGHHPPGQAHHPHPPHPHAHHHHHHHHEALEPTDGRVLGPHVLSQAGGGAGGGGGGPGPPASLMGNVTFGGPQSYLLSQPPPQQGGAMSPLHAAAAAAAAAAAAAAAAGWGGPHGQHPPPPPPGLHPPGRHHLATGAHLDDRGAGGKVDPGQPPPPPPQPPQQPSGAMGVASGSWPSSAEVAAAAAAAAAAAAHPLATVWQHAHSLMHGGNSAAMAGQMFDSQVMKVSSPDGRYAGSTVAASGPQMGGGGGGAGGGGGGGGGGGGGGAGAADSHVISGAALLSNGPLYPNSAATAM
+>sp|Q01851|PO4F1_HUMAN POU domain, class 4, transcription factor 1 OS=Homo sapiens OX=9606 GN=POU4F1 PE=2 SV=4
+MMSMNSKQPHFAMHPTLPEHKYPSLHSSSEAIRRACLPTPPLQSNLFASLDETLLARAEALAAVDIAVSQGKSHPFKPDATYHTMNSVPCTSTSTVPLAHHHHHHHHHQALEPGDLLDHISSPSLALMAGAGGAGAAAGGGGAHDGPGGGGGPGGGGGPGGGPGGGGGGGPGGGGGGPGGGLLGGSAHPHPHMHSLGHLSHPAAAAAMNMPSGLPHPGLVAAAAHHGAAAAAAAAAAGQVAAASAAAAVVGAAGLASICDSDTDPRELEAFAERFKQRRIKLGVTQADVGSALANLKIPGVGSLSQSTICRFESLTLSHNNMIALKPILQAWLEEAEGAQREKMNKPELFNGGEKKRKRTSIAAPEKRSLEAYFAVQPRPSSEKIAAIAEKLDLKKNVVRVWFCNQRQKQKRMKFSATY
+>DECOY_sp|Q01851|PO4F1_HUMAN POU domain, class 4, transcription factor 1 OS=Homo sapiens OX=9606 GN=POU4F1 PE=2 SV=4
+YTASFKMRKQKQRQNCFWVRVVNKKLDLKEAIAAIKESSPRPQVAFYAELSRKEPAAISTRKRKKEGGNFLEPKNMKERQAGEAEELWAQLIPKLAIMNNHSLTLSEFRCITSQSLSGVGPIKLNALASGVDAQTVGLKIRRQKFREAFAELERPDTDSDCISALGAAGVVAAAASAAAVQGAAAAAAAAAAGHHAAAAVLGPHPLGSPMNMAAAAAPHSLHGLSHMHPHPHASGGLLGGGPGGGGGGPGGGGGGGPGGGPGGGGGPGGGGGPGDHAGGGGAAAGAGGAGAMLALSPSSIHDLLDGPELAQHHHHHHHHHALPVTSTSTCPVSNMTHYTADPKFPHSKGQSVAIDVAALAEARALLTEDLSAFLNSQLPPTPLCARRIAESSSHLSPYKHEPLTPHMAFHPQKSNMSMM
+>sp|Q8NBT0|POC1A_HUMAN POC1 centriolar protein homolog A OS=Homo sapiens OX=9606 GN=POC1A PE=1 SV=2
+MAAPCAEDPSLERHFKGHRDAVTCVDFSINTKQLASGSMDSCLMVWHMKPQSRAYRFTGHKDAVTCVNFSPSGHLLASGSRDKTVRIWVPNVKGESTVFRAHTATVRSVHFCSDGQSFVTASDDKTVKVWATHRQKFLFSLSQHINWVRCAKFSPDGRLIVSASDDKTVKLWDKSSRECVHSYCEHGGFVTYVDFHPSGTCIAAAGMDNTVKVWDVRTHRLLQHYQLHSAAVNGLSFHPSGNYLITASSDSTLKILDLMEGRLLYTLHGHQGPATTVAFSRTGEYFASGGSDEQVMVWKSNFDIVDHGEVTKVPRPPATLASSMGNLPEVDFPVPPGRGRSVESVQSQPQEPVSVPQTLTSTLEHIVGQLDVLTQTVSILEQRLTLTEDKLKQCLENQQLIMQRATP
+>DECOY_sp|Q8NBT0|POC1A_HUMAN POC1 centriolar protein homolog A OS=Homo sapiens OX=9606 GN=POC1A PE=1 SV=2
+PTARQMILQQNELCQKLKDETLTLRQELISVTQTLVDLQGVIHELTSTLTQPVSVPEQPQSQVSEVSRGRGPPVPFDVEPLNGMSSALTAPPRPVKTVEGHDVIDFNSKWVMVQEDSGGSAFYEGTRSFAVTTAPGQHGHLTYLLRGEMLDLIKLTSDSSATILYNGSPHFSLGNVAASHLQYHQLLRHTRVDWVKVTNDMGAAAICTGSPHFDVYTVFGGHECYSHVCERSSKDWLKVTKDDSASVILRGDPSFKACRVWNIHQSLSFLFKQRHTAWVKVTKDDSATVFSQGDSCFHVSRVTATHARFVTSEGKVNPVWIRVTKDRSGSALLHGSPSFNVCTVADKHGTFRYARSQPKMHWVMLCSDMSGSALQKTNISFDVCTVADRHGKFHRELSPDEACPAAM
+>sp|O00592|PODXL_HUMAN Podocalyxin OS=Homo sapiens OX=9606 GN=PODXL PE=1 SV=2
+MRCALALSALLLLLSTPPLLPSSPSPSPSPSQNATQTTTDSSNKTAPTPASSVTIMATDTAQQSTVPTSKANEILASVKATTLGVSSDSPGTTTLAQQVSGPVNTTVARGGGSGNPTTTIESPKSTKSADTTTVATSTATAKPNTTSSQNGAEDTTNSGGKSSHSVTTDLTSTKAEHLTTPHPTSPLSPRQPTSTHPVATPTSSGHDHLMKISSSSSTVAIPGYTFTSPGMTTTLLETVFHHVSQAGLELLTSGDLPTLASQSAGITASSVISQRTQQTSSQMPASSTAPSSQETVQPTSPATALRTPTLPETMSSSPTAASTTHRYPKTPSPTVAHESNWAKCEDLETQTQSEKQLVLNLTGNTLCAGGASDEKLISLICRAVKATFNPAQDKCGIRLASVPGSQTVVVKEITIHTKLPAKDVYERLKDKWDELKEAGVSDMKLGDQGPPEEAEDRFSMPLIITIVCMASFLLLVAALYGCCHQRLSQRKDQQRLTEELQTVENGYHDNPTLEVMETSSEMQEKKVVSLNGELGDSWIVPLDNLTKDDLDEEEDTHL
+>DECOY_sp|O00592|PODXL_HUMAN Podocalyxin OS=Homo sapiens OX=9606 GN=PODXL PE=1 SV=2
+LHTDEEEDLDDKTLNDLPVIWSDGLEGNLSVVKKEQMESSTEMVELTPNDHYGNEVTQLEETLRQQDKRQSLRQHCCGYLAAVLLLFSAMCVITIILPMSFRDEAEEPPGQDGLKMDSVGAEKLEDWKDKLREYVDKAPLKTHITIEKVVVTQSGPVSALRIGCKDQAPNFTAKVARCILSILKEDSAGGACLTNGTLNLVLQKESQTQTELDECKAWNSEHAVTPSPTKPYRHTTSAATPSSSMTEPLTPTRLATAPSTPQVTEQSSPATSSAPMQSSTQQTRQSIVSSATIGASQSALTPLDGSTLLELGAQSVHHFVTELLTTTMGPSTFTYGPIAVTSSSSSIKMLHDHGSSTPTAVPHTSTPQRPSLPSTPHPTTLHEAKTSTLDTTVSHSSKGGSNTTDEAGNQSSTTNPKATATSTAVTTTDASKTSKPSEITTTPNGSGGGRAVTTNVPGSVQQALTTTGPSDSSVGLTTAKVSALIENAKSTPVTSQQATDTAMITVSSAPTPATKNSSDTTTQTANQSPSPSPSPSSPLLPPTSLLLLLASLALACRM
+>sp|Q9WJR5|POK19_HUMAN Endogenous retrovirus group K member 19 Pol protein OS=Homo sapiens OX=9606 GN=ERVK-19 PE=2 SV=2
+NKSKKRRNRVSFLGAATVEPPKPIPLTWKTEKPVWVNQWPLPKQKLEALHLLANEQLEKGHIEPSFSPWNSPVFVIQKKSGKWRMLTDLRAVNAVNAVIQPMGPLQPGLPSLAMIPKDWPLIIIDLKDCFFTIPLAEQDCEKFAFTIPAINNKEPATRFQWKVLPQGMLNSPTICQTFVGRALQPVREKFSDCYIIHYIDDILCAAEMKDKLIDCYTFLQAEVANAGLAIASDKIQTSTPFHYLEMQIENRKIKPPKIEIRKDTLKTLNDFQKLLGDINWIRPTLGIPTYAMSNLFSILRGDSDLNSKRMLTPEATKEIKLVEEKIQSAQINRIDPLAPLQLLIFATAHSPTGIIIQNTDLVEWSFLPHSTVKTFTLYLDQMATLIGQTRLRIIKLCGNDPDKIVVPLTKEQVRQAFINSGAWQIGLANFVGIIDNHYPKTKIFQFLKMTTWILPKITRREPLENALTVFTDGSSNGKAAYTGPKERVIKTQYQSAQRAELVAVITVLQDFDQPINIISDSAYVVQATRDVETALIKYSMDDQLNQLFNLLQQTVRKRNFPFYITHIRAHTNLPGPLTKANEQADLLVSSALIKAQELHALTHVNVAGLKNKFDVTWKQAKDIVQHCTQCQVLHLPTQEAGVNPRGLCPNALWQMDVTHVSSFGRLSYIHVTVDTYSHFIWATCQTGESTSHVKKHLLSCFAVMGVPEKIKTDNGPGYCSKAFQKFLSQWKISHTTGIPYNSQGQAIVERTNRTLKTQLVKQKEGGDSKECTTPQMQLNLALYTLNFLNIYRNQTTTSAEQHLTGKKNSPHEGKLIWWKDNKNKTWEIGKVITWGRGFACVSPGENQLPVWIPTRHLKFYNEPIGDAKKSTSAETETPQSSTVDSQDEQNGDVRRTDEVAIHQESRAADLGTTKEADAVSYKISREHKGDTNPREYAACGLDDCINGGKSPYACRSSCS
+>DECOY_sp|Q9WJR5|POK19_HUMAN Endogenous retrovirus group K member 19 Pol protein OS=Homo sapiens OX=9606 GN=ERVK-19 PE=2 SV=2
+SCSSRCAYPSKGGNICDDLGCAAYERPNTDGKHERSIKYSVADAEKTTGLDAARSEQHIAVEDTRRVDGNQEDQSDVTSSQPTETEASTSKKADGIPENYFKLHRTPIWVPLQNEGPSVCAFGRGWTIVKGIEWTKNKNDKWWILKGEHPSNKKGTLHQEASTTTQNRYINLFNLTYLALNLQMQPTTCEKSDGGEKQKVLQTKLTRNTREVIAQGQSNYPIGTTHSIKWQSLFKQFAKSCYGPGNDTKIKEPVGMVAFCSLLHKKVHSTSEGTQCTAWIFHSYTDVTVHIYSLRGFSSVHTVDMQWLANPCLGRPNVGAEQTPLHLVQCQTCHQVIDKAQKWTVDFKNKLGAVNVHTLAHLEQAKILASSVLLDAQENAKTLPGPLNTHARIHTIYFPFNRKRVTQQLLNFLQNLQDDMSYKILATEVDRTAQVVYASDSIINIPQDFDQLVTIVAVLEARQASQYQTKIVREKPGTYAAKGNSSGDTFVTLANELPERRTIKPLIWTTMKLFQFIKTKPYHNDIIGVFNALGIQWAGSNIFAQRVQEKTLPVVIKDPDNGCLKIIRLRTQGILTAMQDLYLTFTKVTSHPLFSWEVLDTNQIIIGTPSHATAFILLQLPALPDIRNIQASQIKEEVLKIEKTAEPTLMRKSNLDSDGRLISFLNSMAYTPIGLTPRIWNIDGLLKQFDNLTKLTDKRIEIKPPKIKRNEIQMELYHFPTSTQIKDSAIALGANAVEAQLFTYCDILKDKMEAACLIDDIYHIIYCDSFKERVPQLARGVFTQCITPSNLMGQPLVKWQFRTAPEKNNIAPITFAFKECDQEALPITFFCDKLDIIILPWDKPIMALSPLGPQLPGMPQIVANVANVARLDTLMRWKGSKKQIVFVPSNWPSFSPEIHGKELQENALLHLAELKQKPLPWQNVWVPKETKWTLPIPKPPEVTAAGLFSVRNRRKKSKN
+>sp|P63133|POK8_HUMAN Endogenous retrovirus group K member 8 Pol protein OS=Homo sapiens OX=9606 GN=ERVK-8 PE=3 SV=1
+NKSKKRRNRVSFLGVATIEPPKPIPLTWKTEKLVWVNQWPLPKQKLEALHLLANEQLEKGHIEPSFSPWNSPVFVIQKKSGKWRMLTDLRAVNAVIQPMGPLQPGLPSPAMIPKDWPLIIIDLKDCFFTIPLAEQDCEKFAFTIPAINNKEPATRFQWKVLPQGMLNSPTICQTFVGRALQPVRKKFSDCYIIHYIDDILCAAETKDKLIDCYTFLQAEVASAGLAIASDKIQTSTPFHYLGMQIENRKIKPQKIEIRKDTLKTLNDFQKLLGDINWIQPTLGIPTYAMSNLFSILRGDSDLNSKRILTPEATKEIKLVEEKIQSAQINRIDPLAPLQLLIFATAHSPTGIIIQNTDLVEWSFLPHSTVKTFTLYLDQIATLIGQTRLRIIKLCGNDPDKIVVPLTKEQVRQAFINSGAWQIGLANFVGIIDNHYPKTKIFQFLKLTTWILPKITRREPLENALTVFTDGSSNGKAAYTGPKERVIKTPYQSAQRAELVAVITVLQDFDQPINIISDSAYVVQATRVVETALIKYSMDDQLNQLFNLLQQTVRKRNFPFYITHIRAHTNLPGPLTKANEQADLLVSSALIKAQELHALTHVNAAGLKNKFDVTWKQAKDIVQHCTQCQVLHLPTQEAGVNPRGLCPNALWQMDVTHVPSFGRLSYVHVTVDTYSHFIWATCQTGESTSHVKKHLLSCFAVMGVPEKIKTDNGPGYCSKAFQKFLSQWKISHTTGIPYNSQGQAIVERTNRTLKTQLVKQKEGGDSKECTTPQMQLNLALYTLNFLNIYRNQTTTSAEQHLTGKKNSPHEGKLIWWKDNKNKTWEIGKVITWGRGFACVSPGENQLPVWIPTRHLKFYNEPIRDAKKSTSAETETPQSSTVDSQDEQNGDVRRTDEVAIHQEGRAADLGTTKEADAVSYKISREHKGDTNPREYAACSLDDCINGGKSPYACRSSCS
+>DECOY_sp|P63133|POK8_HUMAN Endogenous retrovirus group K member 8 Pol protein OS=Homo sapiens OX=9606 GN=ERVK-8 PE=3 SV=1
+SCSSRCAYPSKGGNICDDLSCAAYERPNTDGKHERSIKYSVADAEKTTGLDAARGEQHIAVEDTRRVDGNQEDQSDVTSSQPTETEASTSKKADRIPENYFKLHRTPIWVPLQNEGPSVCAFGRGWTIVKGIEWTKNKNDKWWILKGEHPSNKKGTLHQEASTTTQNRYINLFNLTYLALNLQMQPTTCEKSDGGEKQKVLQTKLTRNTREVIAQGQSNYPIGTTHSIKWQSLFKQFAKSCYGPGNDTKIKEPVGMVAFCSLLHKKVHSTSEGTQCTAWIFHSYTDVTVHVYSLRGFSPVHTVDMQWLANPCLGRPNVGAEQTPLHLVQCQTCHQVIDKAQKWTVDFKNKLGAANVHTLAHLEQAKILASSVLLDAQENAKTLPGPLNTHARIHTIYFPFNRKRVTQQLLNFLQNLQDDMSYKILATEVVRTAQVVYASDSIINIPQDFDQLVTIVAVLEARQASQYPTKIVREKPGTYAAKGNSSGDTFVTLANELPERRTIKPLIWTTLKLFQFIKTKPYHNDIIGVFNALGIQWAGSNIFAQRVQEKTLPVVIKDPDNGCLKIIRLRTQGILTAIQDLYLTFTKVTSHPLFSWEVLDTNQIIIGTPSHATAFILLQLPALPDIRNIQASQIKEEVLKIEKTAEPTLIRKSNLDSDGRLISFLNSMAYTPIGLTPQIWNIDGLLKQFDNLTKLTDKRIEIKQPKIKRNEIQMGLYHFPTSTQIKDSAIALGASAVEAQLFTYCDILKDKTEAACLIDDIYHIIYCDSFKKRVPQLARGVFTQCITPSNLMGQPLVKWQFRTAPEKNNIAPITFAFKECDQEALPITFFCDKLDIIILPWDKPIMAPSPLGPQLPGMPQIVANVARLDTLMRWKGSKKQIVFVPSNWPSFSPEIHGKELQENALLHLAELKQKPLPWQNVWVLKETKWTLPIPKPPEITAVGLFSVRNRRKKSKN
+>sp|Q9Y253|POLH_HUMAN DNA polymerase eta OS=Homo sapiens OX=9606 GN=POLH PE=1 SV=1
+MATGQDRVVALVDMDCFFVQVEQRQNPHLRNKPCAVVQYKSWKGGGIIAVSYEARAFGVTRSMWADDAKKLCPDLLLAQVRESRGKANLTKYREASVEVMEIMSRFAVIERASIDEAYVDLTSAVQERLQKLQGQPISADLLPSTYIEGLPQGPTTAEETVQKEGMRKQGLFQWLDSLQIDNLTSPDLQLTVGAVIVEEMRAAIERETGFQCSAGISHNKVLAKLACGLNKPNRQTLVSHGSVPQLFSQMPIRKIRSLGGKLGASVIEILGIEYMGELTQFTESQLQSHFGEKNGSWLYAMCRGIEHDPVKPRQLPKTIGCSKNFPGKTALATREQVQWWLLQLAQELEERLTKDRNDNDRVATQLVVSIRVQGDKRLSSLRRCCALTRYDAHKMSHDAFTVIKNCNTSGIQTEWSPPLTMLFLCATKFSASAPSSSTDITSFLSSDPSSLPKVPVTSSEAKTQGSGPAVTATKKATTSLESFFQKAAERQKVKEASLSSLTAPTQAPMSNSPSKPSLPFQTSQSTGTEPFFKQKSLLLKQKQLNNSSVSSPQQNPWSNCKALPNSLPTEYPGCVPVCEGVSKLEESSKATPAEMDLAHNSQSMHASSASKSVLEVTQKATPNPSLLAAEDQVPCEKCGSLVPVWDMPEHMDYHFALELQKSFLQPHSSNPQVVSAVSHQGKRNPKSPLACTNKRPRPEGMQTLESFFKPLTH
+>DECOY_sp|Q9Y253|POLH_HUMAN DNA polymerase eta OS=Homo sapiens OX=9606 GN=POLH PE=1 SV=1
+HTLPKFFSELTQMGEPRPRKNTCALPSKPNRKGQHSVASVVQPNSSHPQLFSKQLELAFHYDMHEPMDWVPVLSGCKECPVQDEAALLSPNPTAKQTVELVSKSASSAHMSQSNHALDMEAPTAKSSEELKSVGECVPVCGPYETPLSNPLAKCNSWPNQQPSSVSSNNLQKQKLLLSKQKFFPETGTSQSTQFPLSPKSPSNSMPAQTPATLSSLSAEKVKQREAAKQFFSELSTTAKKTATVAPGSGQTKAESSTVPVKPLSSPDSSLFSTIDTSSSPASASFKTACLFLMTLPPSWETQIGSTNCNKIVTFADHSMKHADYRTLACCRRLSSLRKDGQVRISVVLQTAVRDNDNRDKTLREELEQALQLLWWQVQERTALATKGPFNKSCGITKPLQRPKVPDHEIGRCMAYLWSGNKEGFHSQLQSETFQTLEGMYEIGLIEIVSAGLKGGLSRIKRIPMQSFLQPVSGHSVLTQRNPKNLGCALKALVKNHSIGASCQFGTEREIAARMEEVIVAGVTLQLDPSTLNDIQLSDLWQFLGQKRMGEKQVTEEATTPGQPLGEIYTSPLLDASIPQGQLKQLREQVASTLDVYAEDISAREIVAFRSMIEMVEVSAERYKTLNAKGRSERVQALLLDPCLKKADDAWMSRTVGFARAEYSVAIIGGGKWSKYQVVACPKNRLHPNQRQEVQVFFCDMDVLAVVRDQGTAM
+>sp|Q9UNA4|POLI_HUMAN DNA polymerase iota OS=Homo sapiens OX=9606 GN=POLI PE=1 SV=3
+MEKLGVEPEEEGGGDDDEEDAEAWAMELADVGAAASSQGVHDQVLPTPNASSRVIVHVDLDCFYAQVEMISNPELKDKPLGVQQKYLVVTCNYEARKLGVKKLMNVRDAKEKCPQLVLVNGEDLTRYREMSYKVTELLEEFSPVVERLGFDENFVDLTEMVEKRLQQLQSDELSAVTVSGHVYNNQSINLLDVLHIRLLVGSQIAAEMREAMYNQLGLTGCAGVASNKLLAKLVSGVFKPNQQTVLLPESCQHLIHSLNHIKEIPGIGYKTAKCLEALGINSVRDLQTFSPKILEKELGISVAQRIQKLSFGEDNSPVILSGPPQSFSEEDSFKKCSSEVEAKNKIEELLASLLNRVCQDGRKPHTVRLIIRRYSSEKHYGRESRQCPIPSHVIQKLGTGNYDVMTPMVDILMKLFRNMVNVKMPFHLTLLSVCFCNLKALNTAKKGLIDYYLMPSLSTTSRSGKHSFKMKDTHMEDFPKDKETNRDFLPSGRIESTRTRESPLDTTNFSKEKDINEFPLCSLPEGVDQEVFKQLPVDIQEEILSGKSREKFQGKGSVSCPLHASRGVLSFFSKKQMQDIPINPRDHLSSSKQVSSVSPCEPGTSGFNSSSSSYMSSQKDYSYYLDNRLKDERISQGPKEPQGFHFTNSNPAVSAFHSFPNLQSEQLFSRNHTTDSHKQTVATDSHEGLTENREPDSVDEKITFPSDIDPQVFYELPEAVQKELLAEWKRAGSDFHIGHK
+>DECOY_sp|Q9UNA4|POLI_HUMAN DNA polymerase iota OS=Homo sapiens OX=9606 GN=POLI PE=1 SV=3
+KHGIHFDSGARKWEALLEKQVAEPLEYFVQPDIDSPFTIKEDVSDPERNETLGEHSDTAVTQKHSDTTHNRSFLQESQLNPFSHFASVAPNSNTFHFGQPEKPGQSIREDKLRNDLYYSYDKQSSMYSSSSSNFGSTGPECPSVSSVQKSSSLHDRPNIPIDQMQKKSFFSLVGRSAHLPCSVSGKGQFKERSKGSLIEEQIDVPLQKFVEQDVGEPLSCLPFENIDKEKSFNTTDLPSERTRTSEIRGSPLFDRNTEKDKPFDEMHTDKMKFSHKGSRSTTSLSPMLYYDILGKKATNLAKLNCFCVSLLTLHFPMKVNVMNRFLKMLIDVMPTMVDYNGTGLKQIVHSPIPCQRSERGYHKESSYRRIILRVTHPKRGDQCVRNLLSALLEEIKNKAEVESSCKKFSDEESFSQPPGSLIVPSNDEGFSLKQIRQAVSIGLEKELIKPSFTQLDRVSNIGLAELCKATKYGIGPIEKIHNLSHILHQCSEPLLVTQQNPKFVGSVLKALLKNSAVGACGTLGLQNYMAERMEAAIQSGVLLRIHLVDLLNISQNNYVHGSVTVASLEDSQLQQLRKEVMETLDVFNEDFGLREVVPSFEELLETVKYSMERYRTLDEGNVLVLQPCKEKADRVNMLKKVGLKRAEYNCTVVLYKQQVGLPKDKLEPNSIMEVQAYFCDLDVHVIVRSSANPTPLVQDHVGQSSAAAGVDALEMAWAEADEEDDDGGGEEEPEVGLKEM
+>sp|Q9UBT6|POLK_HUMAN DNA polymerase kappa OS=Homo sapiens OX=9606 GN=POLK PE=1 SV=1
+MDSTKEKCDSYKDDLLLRMGLNDNKAGMEGLDKEKINKIIMEATKGSRFYGNELKKEKQVNQRIENMMQQKAQITSQQLRKAQLQVDRFAMELEQSRNLSNTIVHIDMDAFYAAVEMRDNPELKDKPIAVGSMSMLSTSNYHARRFGVRAAMPGFIAKRLCPQLIIVPPNFDKYRAVSKEVKEILADYDPNFMAMSLDEAYLNITKHLEERQNWPEDKRRYFIKMGSSVENDNPGKEVNKLSEHERSISPLLFEESPSDVQPPGDPFQVNFEEQNNPQILQNSVVFGTSAQEVVKEIRFRIEQKTTLTASAGIAPNTMLAKVCSDKNKPNGQYQILPNRQAVMDFIKDLPIRKVSGIGKVTEKMLKALGIITCTELYQQRALLSLLFSETSWHYFLHISLGLGSTHLTRDGERKSMSVERTFSEINKAEEQYSLCQELCSELAQDLQKERLKGRTVTIKLKNVNFEVKTRASTVSSVVSTAEEIFAIAKELLKTEIDADFPHPLRLRLMGVRISSFPNEEDRKHQQRSIIGFLQAGNQALSATECTLEKTDKDKFVKPLEMSHKKSFFDKKRSERKWSHQDTFKCEAVNKQSFQTSQPFQVLKKKMNENLEISENSDDCQILTCPVCFRAQGCISLEALNKHVDECLDGPSISENFKMFSCSHVSATKVNKKENVPASSLCEKQDYEAHPKIKEISSVDCIALVDTIDNSSKAESIDALSNKHSKEECSSLPSKSFNIEHCHQNSSSTVSLENEDVGSFRQEYRQPYLCEVKTGQALVCPVCNVEQKTSDLTLFNVHVDVCLNKSFIQELRKDKFNPVNQPKESSRSTGSSSGVQKAVTRTKRPGLMTKYSTSKKIKPNNPKHTLDIFFK
+>DECOY_sp|Q9UBT6|POLK_HUMAN DNA polymerase kappa OS=Homo sapiens OX=9606 GN=POLK PE=1 SV=1
+KFFIDLTHKPNNPKIKKSTSYKTMLGPRKTRTVAKQVGSSSGTSRSSEKPQNVPNFKDKRLEQIFSKNLCVDVHVNFLTLDSTKQEVNCVPCVLAQGTKVECLYPQRYEQRFSGVDENELSVTSSSNQHCHEINFSKSPLSSCEEKSHKNSLADISEAKSSNDITDVLAICDVSSIEKIKPHAEYDQKECLSSAPVNEKKNVKTASVHSCSFMKFNESISPGDLCEDVHKNLAELSICGQARFCVPCTLIQCDDSNESIELNENMKKKLVQFPQSTQFSQKNVAECKFTDQHSWKRESRKKDFFSKKHSMELPKVFKDKDTKELTCETASLAQNGAQLFGIISRQQHKRDEENPFSSIRVGMLRLRLPHPFDADIETKLLEKAIAFIEEATSVVSSVTSARTKVEFNVNKLKITVTRGKLREKQLDQALESCLEQCLSYQEEAKNIESFTREVSMSKREGDRTLHTSGLGLSIHLFYHWSTESFLLSLLARQQYLETCTIIGLAKLMKETVKGIGSVKRIPLDKIFDMVAQRNPLIQYQGNPKNKDSCVKALMTNPAIGASATLTTKQEIRFRIEKVVEQASTGFVVSNQLIQPNNQEEFNVQFPDGPPQVDSPSEEFLLPSISREHESLKNVEKGPNDNEVSSGMKIFYRRKDEPWNQREELHKTINLYAEDLSMAMFNPDYDALIEKVEKSVARYKDFNPPVIILQPCLRKAIFGPMAARVGFRRAHYNSTSLMSMSGVAIPKDKLEPNDRMEVAAYFADMDIHVITNSLNRSQELEMAFRDVQLQAKRLQQSTIQAKQQMMNEIRQNVQKEKKLENGYFRSGKTAEMIIKNIKEKDLGEMGAKNDNLGMRLLLDDKYSDCKEKTSDM
+>sp|P17858|PFKAL_HUMAN ATP-dependent 6-phosphofructokinase, liver type OS=Homo sapiens OX=9606 GN=PFKL PE=1 SV=6
+MAAVDLEKLRASGAGKAIGVLTSGGDAQGMNAAVRAVTRMGIYVGAKVFLIYEGYEGLVEGGENIKQANWLSVSNIIQLGGTIIGSARCKAFTTREGRRAAAYNLVQHGITNLCVIGGDGSLTGANIFRSEWGSLLEELVAEGKISETTARTYSHLNIAGLVGSIDNDFCGTDMTIGTDSALHRIMEVIDAITTTAQSHQRTFVLEVMGRHCGYLALVSALASGADWLFIPEAPPEDGWENFMCERLGETRSRGSRLNIIIIAEGAIDRNGKPISSSYVKDLVVQRLGFDTRVTVLGHVQRGGTPSAFDRILSSKMGMEAVMALLEATPDTPACVVTLSGNQSVRLPLMECVQMTKEVQKAMDDKRFDEATQLRGGSFENNWNIYKLLAHQKPPKEKSNFSLAILNVGAPAAGMNAAVRSAVRTGISHGHTVYVVHDGFEGLAKGQVQEVGWHDVAGWLGRGGSMLGTKRTLPKGQLESIVENIRIYGIHALLVVGGFEAYEGVLQLVEARGRYEELCIVMCVIPATISNNVPGTDFSLGSDTAVNAAMESCDRIKQSASGTKRRVFIVETMGGYCGYLATVTGIAVGADAAYVFEDPFNIHDLKVNVEHMTEKMKTDIQRGLVLRNEKCHDYYTTEFLYNLYSSEGKGVFDCRTNVLGHLQQGGAPTPFDRNYGTKLGVKAMLWLSEKLREVYRKGRVFANAPDSACVIGLKKKAVAFSPVTELKKDTDFEHRMPREQWWLSLRLMLKMLAQYRISMAAYVSGELEHVTRRTLSMDKGF
+>DECOY_sp|P17858|PFKAL_HUMAN ATP-dependent 6-phosphofructokinase, liver type OS=Homo sapiens OX=9606 GN=PFKL PE=1 SV=6
+FGKDMSLTRRTVHELEGSVYAAMSIRYQALMKLMLRLSLWWQERPMRHEFDTDKKLETVPSFAVAKKKLGIVCASDPANAFVRGKRYVERLKESLWLMAKVGLKTGYNRDFPTPAGGQQLHGLVNTRCDFVGKGESSYLNYLFETTYYDHCKENRLVLGRQIDTKMKETMHEVNVKLDHINFPDEFVYAADAGVAIGTVTALYGCYGGMTEVIFVRRKTGSASQKIRDCSEMAANVATDSGLSFDTGPVNNSITAPIVCMVICLEEYRGRAEVLQLVGEYAEFGGVVLLAHIGYIRINEVISELQGKPLTRKTGLMSGGRGLWGAVDHWGVEQVQGKALGEFGDHVVYVTHGHSIGTRVASRVAANMGAAPAGVNLIALSFNSKEKPPKQHALLKYINWNNEFSGGRLQTAEDFRKDDMAKQVEKTMQVCEMLPLRVSQNGSLTVVCAPTDPTAELLAMVAEMGMKSSLIRDFASPTGGRQVHGLVTVRTDFGLRQVVLDKVYSSSIPKGNRDIAGEAIIIINLRSGRSRTEGLRECMFNEWGDEPPAEPIFLWDAGSALASVLALYGCHRGMVELVFTRQHSQATTTIADIVEMIRHLASDTGITMDTGCFDNDISGVLGAINLHSYTRATTESIKGEAVLEELLSGWESRFINAGTLSGDGGIVCLNTIGHQVLNYAAARRGERTTFAKCRASGIITGGLQIINSVSLWNAQKINEGGEVLGEYGEYILFVKAGVYIGMRTVARVAANMGQADGGSTLVGIAKGAGSARLKELDVAAM
+>sp|Q9UHJ9|PGAP2_HUMAN Post-GPI attachment to proteins factor 2 OS=Homo sapiens OX=9606 GN=PGAP2 PE=1 SV=2
+MYQVPLPLDRDGTLVRLRFTMVALVTVCCPLVAFLFCILWSLLFHFKETTATHCGVPNYLPSVSSAIGGEVPQRYVWRFCIGLHSAPRFLVAFAYWNHYLSCTSPCSCYRPLCRLNFGLNVVENLALLVLTYVSSSEDFTIHENAFIVFIASSLGHMLLTCILWRLTKKHTVSQEDRKSYSWKQRLFIINFISFFSALAVYFRHNMYCEAGVYTIFAILEYTVVLTNMAFHMTAWWDFGNKELLITSQPEEKRF
+>DECOY_sp|Q9UHJ9|PGAP2_HUMAN Post-GPI attachment to proteins factor 2 OS=Homo sapiens OX=9606 GN=PGAP2 PE=1 SV=2
+FRKEEPQSTILLEKNGFDWWATMHFAMNTLVVTYELIAFITYVGAECYMNHRFYVALASFFSIFNIIFLRQKWSYSKRDEQSVTHKKTLRWLICTLLMHGLSSAIFVIFANEHITFDESSSVYTLVLLALNEVVNLGFNLRCLPRYCSCPSTCSLYHNWYAFAVLFRPASHLGICFRWVYRQPVEGGIASSVSPLYNPVGCHTATTEKFHFLLSWLICFLFAVLPCCVTVLAVMTFRLRVLTGDRDLPLPVQYM
+>sp|Q96FM1|PGAP3_HUMAN Post-GPI attachment to proteins factor 3 OS=Homo sapiens OX=9606 GN=PGAP3 PE=1 SV=2
+MAGLAARLVLLAGAAALASGSQGDREPVYRDCVLQCEEQNCSGGALNHFRSRQPIYMSLAGWTCRDDCKYECMWVTVGLYLQEGHKVPQFHGKWPFSRFLFFQEPASAVASFLNGLASLVMLCRYRTFVPASSPMYHTCVAFAWVSLNAWFWSTVFHTRDTDLTEKMDYFCASTVILHSIYLCCVRTVGLQHPAVVSAFRALLLLMLTVHVSYLSLIRFDYGYNLVANVAIGLVNVVWWLAWCLWNQRRLPHVRKCVVVVLLLQGLSLLELLDFPPLFWVLDAHAIWHISTIPVHVLFFSFLEDDSLYLLKESEDKFKLD
+>DECOY_sp|Q96FM1|PGAP3_HUMAN Post-GPI attachment to proteins factor 3 OS=Homo sapiens OX=9606 GN=PGAP3 PE=1 SV=2
+DLKFKDESEKLLYLSDDELFSFFLVHVPITSIHWIAHADLVWFLPPFDLLELLSLGQLLLVVVVCKRVHPLRRQNWLCWALWWVVNVLGIAVNAVLNYGYDFRILSLYSVHVTLMLLLLARFASVVAPHQLGVTRVCCLYISHLIVTSACFYDMKETLDTDRTHFVTSWFWANLSVWAFAVCTHYMPSSAPVFTRYRCLMVLSALGNLFSAVASAPEQFFLFRSFPWKGHFQPVKHGEQLYLGVTVWMCEYKCDDRCTWGALSMYIPQRSRFHNLAGGSCNQEECQLVCDRYVPERDGQSGSALAAAGALLVLRAALGAM
+>sp|Q96DM1|PGBD4_HUMAN PiggyBac transposable element-derived protein 4 OS=Homo sapiens OX=9606 GN=PGBD4 PE=2 SV=3
+MSNPRKRSIPMRDSNTGLEQLLAEDSFDESDFSEIDDSDNFSDSALEADKIRPLSHLESDGKSSTSSDSGRSMKWSARAMIPRQRYDFTGTPGRKVDVSDITDPLQYFELFFTEELVSKITRETNAQAALLASKPPGPKGFSRMDKWKDTDNDELKVFFAVMLLQGIVQKPELEMFWSTRPLLDTPYLRQIMTGERFLLLFRCLHFVNNSSISAGQSKAQISLQKIKPVFDFLVNKFSTVYTPNRNIAVDESLMLFKGPLAMKQYLPTKRVRFGLKLYVLCESQSGYVWNALVHTGPGMNLKDSADGLKSSRIVLTLVNDLLGQGYCVFLDNFNISPMLFRELHQNRTDAVGTARLNRKQIPNDLKKRIAKGTTVARFCGELMALKWCDGKEVTMLSTFHNDTVIEVNNRNGKKTKRPRVIVDYNENMGAVDSADQMLTSYPSERKRHKVWYKKFFHHLLHITVLNSYILFKKDNPEHTMSHINFRLALIERMLEKHHKPGQQHLRGRPCSDDVTPLRLSGRHFPKSIPATSGKQNPTGRCKICCSQYDKDGKKIRKETRYFCAECDVPLCVVPCFEIYHTKKNY
+>DECOY_sp|Q96DM1|PGBD4_HUMAN PiggyBac transposable element-derived protein 4 OS=Homo sapiens OX=9606 GN=PGBD4 PE=2 SV=3
+YNKKTHYIEFCPVVCLPVDCEACFYRTEKRIKKGDKDYQSCCIKCRGTPNQKGSTAPISKPFHRGSLRLPTVDDSCPRGRLHQQGPKHHKELMREILALRFNIHSMTHEPNDKKFLIYSNLVTIHLLHHFFKKYWVKHRKRESPYSTLMQDASDVAGMNENYDVIVRPRKTKKGNRNNVEIVTDNHFTSLMTVEKGDCWKLAMLEGCFRAVTTGKAIRKKLDNPIQKRNLRATGVADTRNQHLERFLMPSINFNDLFVCYGQGLLDNVLTLVIRSSKLGDASDKLNMGPGTHVLANWVYGSQSECLVYLKLGFRVRKTPLYQKMALPGKFLMLSEDVAINRNPTYVTSFKNVLFDFVPKIKQLSIQAKSQGASISSNNVFHLCRFLLLFREGTMIQRLYPTDLLPRTSWFMELEPKQVIGQLLMVAFFVKLEDNDTDKWKDMRSFGKPGPPKSALLAAQANTERTIKSVLEETFFLEFYQLPDTIDSVDVKRGPTGTFDYRQRPIMARASWKMSRGSDSSTSSKGDSELHSLPRIKDAELASDSFNDSDDIESFDSEDFSDEALLQELGTNSDRMPISRKRPNSM
+>sp|Q15198|PGFRL_HUMAN Platelet-derived growth factor receptor-like protein OS=Homo sapiens OX=9606 GN=PDGFRL PE=1 SV=1
+MKVWLLLGLLLVHEALEDVTGQHLPKNKRPKEPGENRIKPTNKKVKPKIPKMKDRDSANSAPKTQSIMMQVLDKGRFQKPAATLSLLAGQTVELRCKGSRIGWSYPAYLDTFKDSRLSVKQNERYGQLTLVNSTSADTGEFSCWVQLCSGYICRKDEAKTGSTYIFFTEKGELFVPSPSYFDVVYLNPDRQAVVPCRVTVLSAKVTLHREFPAKEIPANGTDIVYDMKRGFVYLQPHSEHQGVVYCRAEAGGRSQISVKYQLLYVAVPSGPPSTTILASSNKVKSGDDISVLCTVLGEPDVEVEFTWIFPGQKDERPVTIQDTWRLIHRGLGHTTRISQSVITVEDFETIDAGYYICTAQNLQGQTTVATTVEFS
+>DECOY_sp|Q15198|PGFRL_HUMAN Platelet-derived growth factor receptor-like protein OS=Homo sapiens OX=9606 GN=PDGFRL PE=1 SV=1
+SFEVTTAVTTQGQLNQATCIYYGADITEFDEVTIVSQSIRTTHGLGRHILRWTDQITVPREDKQGPFIWTFEVEVDPEGLVTCLVSIDDGSKVKNSSALITTSPPGSPVAVYLLQYKVSIQSRGGAEARCYVVGQHESHPQLYVFGRKMDYVIDTGNAPIEKAPFERHLTVKASLVTVRCPVVAQRDPNLYVVDFYSPSPVFLEGKETFFIYTSGTKAEDKRCIYGSCLQVWCSFEGTDASTSNVLTLQGYRENQKVSLRSDKFTDLYAPYSWGIRSGKCRLEVTQGALLSLTAAPKQFRGKDLVQMMISQTKPASNASDRDKMKPIKPKVKKNTPKIRNEGPEKPRKNKPLHQGTVDELAEHVLLLGLLLWVKM
+>sp|Q32M88|PGGHG_HUMAN Protein-glucosylgalactosylhydroxylysine glucosidase OS=Homo sapiens OX=9606 GN=PGGHG PE=1 SV=2
+MEDAGEDPTTFAAHSLPSDPRLLATVTNAYLGTRVFHDTLHVSGVYNGAGGDTHRAMLPSPLNVRLEAPAGMGEQLTETFALDTNTGSFLHTLEGPRFRASQCIYAHRTLPHVLAFRVSIARLAPGSGPITLLLRSAFSPESPDLDLHQGPDFQGARYLYGHTLTPEQPGGPQQEVHMLWTPAPPDLTLGEGEEARTWDFLTAVGGSQAEAQACLTEALQLQARGALYTAHAQAWAQLWVECGLDVVGPLQLRQALRGSLYYLLSALPQPKAPGYICHGLSPGGLSNGSREECYWGHVFWDQDLWMFPSILMFHPEAARAILEYRIRTLDGALENAQNLGYQGAKFAWESADSGLEVCPEDIYGVQEVHVNGAVVLAFELYYHTTQDLQLFREAGGWDVVRAVAEFWCSRVEWSPREEKYHLRGVMSPDEYHSGVNNSVYTNVLVQNSLRFAAALAQDLGLPIPSQWLAVADKIKVPFDVEQNFHPEFDGYEPGEVVKQADVVLLGYPVPFSLSPDVRRKNLEIYEAVTSPQGPAMTWSMFAVGWMELKDAVRARGLLDRSFANMAEPFKVWTENADGSGAVNFLTGMGGFLQAVVFGCTGFRVTRAGVTFDPVCLSGISRVSVSGIFYQGNKLNFSFSEDSVTVEVTARAGPWAPHLEAELWPSQSRLSLLPGHKVSFPRSAGRIQMSPPKLPGSSSSEFPGRTFSDVRDPLQSPLWVTLGSSSPTESLTVDPASE
+>DECOY_sp|Q32M88|PGGHG_HUMAN Protein-glucosylgalactosylhydroxylysine glucosidase OS=Homo sapiens OX=9606 GN=PGGHG PE=1 SV=2
+ESAPDVTLSETPSSSGLTVWLPSQLPDRVDSFTRGPFESSSSGPLKPPSMQIRGASRPFSVKHGPLLSLRSQSPWLEAELHPAWPGARATVEVTVSDESFSFNLKNGQYFIGSVSVRSIGSLCVPDFTVGARTVRFGTCGFVVAQLFGGMGTLFNVAGSGDANETWVKFPEAMNAFSRDLLGRARVADKLEMWGVAFMSWTMAPGQPSTVAEYIELNKRRVDPSLSFPVPYGLLVVDAQKVVEGPEYGDFEPHFNQEVDFPVKIKDAVALWQSPIPLGLDQALAAAFRLSNQVLVNTYVSNNVGSHYEDPSMVGRLHYKEERPSWEVRSCWFEAVARVVDWGGAERFLQLDQTTHYYLEFALVVAGNVHVEQVGYIDEPCVELGSDASEWAFKAGQYGLNQANELAGDLTRIRYELIARAAEPHFMLISPFMWLDQDWFVHGWYCEERSGNSLGGPSLGHCIYGPAKPQPLASLLYYLSGRLAQRLQLPGVVDLGCEVWLQAWAQAHATYLAGRAQLQLAETLCAQAEAQSGGVATLFDWTRAEEGEGLTLDPPAPTWLMHVEQQPGGPQEPTLTHGYLYRAGQFDPGQHLDLDPSEPSFASRLLLTIPGSGPALRAISVRFALVHPLTRHAYICQSARFRPGELTHLFSGTNTDLAFTETLQEGMGAPAELRVNLPSPLMARHTDGGAGNYVGSVHLTDHFVRTGLYANTVTALLRPDSPLSHAAFTTPDEGADEM
+>sp|P23219|PGH1_HUMAN Prostaglandin G/H synthase 1 OS=Homo sapiens OX=9606 GN=PTGS1 PE=1 SV=2
+MSRSLLLWFLLFLLLLPPLPVLLADPGAPTPVNPCCYYPCQHQGICVRFGLDRYQCDCTRTGYSGPNCTIPGLWTWLRNSLRPSPSFTHFLLTHGRWFWEFVNATFIREMLMRLVLTVRSNLIPSPPTYNSAHDYISWESFSNVSYYTRILPSVPKDCPTPMGTKGKKQLPDAQLLARRFLLRRKFIPDPQGTNLMFAFFAQHFTHQFFKTSGKMGPGFTKALGHGVDLGHIYGDNLERQYQLRLFKDGKLKYQVLDGEMYPPSVEEAPVLMHYPRGIPPQSQMAVGQEVFGLLPGLMLYATLWLREHNRVCDLLKAEHPTWGDEQLFQTTRLILIGETIKIVIEEYVQQLSGYFLQLKFDPELLFGVQFQYRNRIAMEFNHLYHWHPLMPDSFKVGSQEYSYEQFLFNTSMLVDYGVEALVDAFSRQIAGRIGGGRNMDHHILHVAVDVIRESREMRLQPFNEYRKRFGMKPYTSFQELVGEKEMAAELEELYGDIDALEFYPGLLLEKCHPNSIFGESMIEIGAPFSLKGLLGNPICSPEYWKPSTFGGEVGFNIVKTATLKKLVCLNTKTCPYVSFRVPDASQDDGPAVERPSTEL
+>DECOY_sp|P23219|PGH1_HUMAN Prostaglandin G/H synthase 1 OS=Homo sapiens OX=9606 GN=PTGS1 PE=1 SV=2
+LETSPREVAPGDDQSADPVRFSVYPCTKTNLCVLKKLTATKVINFGVEGGFTSPKWYEPSCIPNGLLGKLSFPAGIEIMSEGFISNPHCKELLLGPYFELADIDGYLEELEAAMEKEGVLEQFSTYPKMGFRKRYENFPQLRMERSERIVDVAVHLIHHDMNRGGGIRGAIQRSFADVLAEVGYDVLMSTNFLFQEYSYEQSGVKFSDPMLPHWHYLHNFEMAIRNRYQFQVGFLLEPDFKLQLFYGSLQQVYEEIVIKITEGILILRTTQFLQEDGWTPHEAKLLDCVRNHERLWLTAYLMLGPLLGFVEQGVAMQSQPPIGRPYHMLVPAEEVSPPYMEGDLVQYKLKGDKFLRLQYQRELNDGYIHGLDVGHGLAKTFGPGMKGSTKFFQHTFHQAFFAFMLNTGQPDPIFKRRLLFRRALLQADPLQKKGKTGMPTPCDKPVSPLIRTYYSVNSFSEWSIYDHASNYTPPSPILNSRVTLVLRMLMERIFTANVFEWFWRGHTLLFHTFSPSPRLSNRLWTWLGPITCNPGSYGTRTCDCQYRDLGFRVCIGQHQCPYYCCPNVPTPAGPDALLVPLPPLLLLFLLFWLLLSRSM
+>sp|Q8IWS0|PHF6_HUMAN PHD finger protein 6 OS=Homo sapiens OX=9606 GN=PHF6 PE=1 SV=1
+MSSSVEQKKGPTRQRKCGFCKSNRDKECGQLLISENQKVAAHHKCMLFSSALVSSHSDNESLGGFSIEDVQKEIKRGTKLMCSLCHCPGATIGCDVKTCHRTYHYHCALHDKAQIREKPSQGIYMVYCRKHKKTAHNSEADLEESFNEHELEPSSPKSKKKSRKGRPRKTNFKGLSEDTRSTSSHGTDEMESSSYRDRSPHRSSPSDTRPKCGFCHVGEEENEARGKLHIFNAKKAAAHYKCMLFSSGTVQLTTTSRAEFGDFDIKTVLQEIKRGKRMKCTLCSQPGATIGCEIKACVKTYHYHCGVQDKAKYIENMSRGIYKLYCKNHSGNDERDEEDEERESKSRGKVEIDQQQLTQQQLNGN
+>DECOY_sp|Q8IWS0|PHF6_HUMAN PHD finger protein 6 OS=Homo sapiens OX=9606 GN=PHF6 PE=1 SV=1
+NGNLQQQTLQQQDIEVKGRSKSEREEDEEDREDNGSHNKCYLKYIGRSMNEIYKAKDQVGCHYHYTKVCAKIECGITAGPQSCLTCKMRKGRKIEQLVTKIDFDGFEARSTTTLQVTGSSFLMCKYHAAAKKANFIHLKGRAENEEEGVHCFGCKPRTDSPSSRHPSRDRYSSSEMEDTGHSSTSRTDESLGKFNTKRPRGKRSKKKSKPSSPELEHENFSEELDAESNHATKKHKRCYVMYIGQSPKERIQAKDHLACHYHYTRHCTKVDCGITAGPCHCLSCMLKTGRKIEKQVDEISFGGLSENDSHSSVLASSFLMCKHHAAVKQNESILLQGCEKDRNSKCFGCKRQRTPGKKQEVSSSM
+>sp|Q8WWQ0|PHIP_HUMAN PH-interacting protein OS=Homo sapiens OX=9606 GN=PHIP PE=1 SV=2
+MSCERKGLSELRSELYFLIARFLEDGPCQQAAQVLIREVAEKELLPRRTDWTGKEHPRTYQNLVKYYRHLAPDHLLQICHRLGPLLEQEIPQSVPGVQTLLGAGRQSLLRTNKSCKHVVWKGSALAALHCGRPPESPVNYGSPPSIADTLFSRKLNGKYRLERLVPTAVYQHMKMHKRILGHLSSVYCVTFDRTGRRIFTGSDDCLVKIWATDDGRLLATLRGHAAEISDMAVNYENTMIAAGSCDKMIRVWCLRTCAPLAVLQGHSASITSLQFSPLCSGSKRYLSSTGADGTICFWLWDAGTLKINPRPAKFTERPRPGVQMICSSFSAGGMFLATGSTDHIIRVYFFGSGQPEKISELEFHTDKVDSIQFSNTSNRFVSGSRDGTARIWQFKRREWKSILLDMATRPAGQNLQGIEDKITKMKVTMVAWDRHDNTVITAVNNMTLKVWNSYTGQLIHVLMGHEDEVFVLEPHPFDPRVLFSAGHDGNVIVWDLARGVKIRSYFNMIEGQGHGAVFDCKCSPDGQHFACTDSHGHLLIFGFGSSSKYDKIADQMFFHSDYRPLIRDANNFVLDEQTQQAPHLMPPPFLVDVDGNPHPSRYQRLVPGRENCREEQLIPQMGVTSSGLNQVLSQQANQEISPLDSMIQRLQQEQDLRRSGEAVISNTSRLSRGSISSTSEVHSPPNVGLRRSGQIEGVRQMHSNAPRSEIATERDLVAWSRRVVVPELSAGVASRQEEWRTAKGEEEIKTYRSEEKRKHLTVPKENKIPTVSKNHAHEHFLDLGESKKQQTNQHNYRTRSALEETPRPSEEIENGSSSSDEGEVVAVSGGTSEEEERAWHSDGSSSDYSSDYSDWTADAGINLQPPKKVPKNKTKKAESSSDEEEESEKQKQKQIKKEKKKVNEEKDGPISPKKKKPKERKQKRLAVGELTENGLTLEEWLPSTWITDTIPRRCPFVPQMGDEVYYFRQGHEAYVEMARKNKIYSINPKKQPWHKMELREQELMKIVGIKYEVGLPTLCCLKLAFLDPDTGKLTGGSFTMKYHDMPDVIDFLVLRQQFDDAKYRRWNIGDRFRSVIDDAWWFGTIESQEPLQLEYPDSLFQCYNVCWDNGDTEKMSPWDMELIPNNAVFPEELGTSVPLTDGECRSLIYKPLDGEWGTNPRDEECERIVAGINQLMTLDIASAFVAPVDLQAYPMYCTVVAYPTDLSTIKQRLENRFYRRVSSLMWEVRYIEHNTRTFNEPGSPIVKSAKFVTDLLLHFIKDQTCYNIIPLYNSMKKKVLSDSEDEEKDADVPGTSTRKRKDHQPRRRLRNRAQSYDIQAWKKQCEELLNLIFQCEDSEPFRQPVDLLEYPDYRDIIDTPMDFATVRETLEAGNYESPMELCKDVRLIFSNSKAYTPSKRSRIYSMSLRLSAFFEEHISSVLSDYKSALRFHKRNTITKRRKKRNRSSSVSSSAASSPERKKRILKPQLKSESSTSAFSTPTRSIPPRHNAAQINGKTESSSVVRTRSNRVVVDPVVTEQPSTSSAAKTFITKANASAIPGKTILENSVKHSKALNTLSSPGQSSFSHGTRNNSAKENMEKEKPVKRKMKSSVLPKASTLSKSSAVIEQGDCKNNALVPGTIQVNGHGGQPSKLVKRGPGRKPKVEVNTNSGEIIHKKRGRKPKKLQYAKPEDLEQNNVHPIRDEVLPSSTCNFLSETNNVKEDLLQKKNRGGRKPKRKMKTQKLDADLLVPASVKVLRRSNRKKIDDPIDEEEEFEELKGSEPHMRTRNQGRRTAFYNEDDSEEEQRQLLFEDTSLTFGTSSRGRVRKLTEKAKANLIGW
+>DECOY_sp|Q8WWQ0|PHIP_HUMAN PH-interacting protein OS=Homo sapiens OX=9606 GN=PHIP PE=1 SV=2
+WGILNAKAKETLKRVRGRSSTGFTLSTDEFLLQRQEEESDDENYFATRRGQNRTRMHPESGKLEEFEEEEDIPDDIKKRNSRRLVKVSAPVLLDADLKQTKMKRKPKRGGRNKKQLLDEKVNNTESLFNCTSSPLVEDRIPHVNNQELDEPKAYQLKKPKRGRKKHIIEGSNTNVEVKPKRGPGRKVLKSPQGGHGNVQITGPVLANNKCDGQEIVASSKSLTSAKPLVSSKMKRKVPKEKEMNEKASNNRTGHSFSSQGPSSLTNLAKSHKVSNELITKGPIASANAKTIFTKAASSTSPQETVVPDVVVRNSRTRVVSSSETKGNIQAANHRPPISRTPTSFASTSSESKLQPKLIRKKREPSSAASSSVSSSRNRKKRRKTITNRKHFRLASKYDSLVSSIHEEFFASLRLSMSYIRSRKSPTYAKSNSFILRVDKCLEMPSEYNGAELTERVTAFDMPTDIIDRYDPYELLDVPQRFPESDECQFILNLLEECQKKWAQIDYSQARNRLRRRPQHDKRKRTSTGPVDADKEEDESDSLVKKKMSNYLPIINYCTQDKIFHLLLDTVFKASKVIPSGPENFTRTNHEIYRVEWMLSSVRRYFRNELRQKITSLDTPYAVVTCYMPYAQLDVPAVFASAIDLTMLQNIGAVIRECEEDRPNTGWEGDLPKYILSRCEGDTLPVSTGLEEPFVANNPILEMDWPSMKETDGNDWCVNYCQFLSDPYELQLPEQSEITGFWWADDIVSRFRDGINWRRYKADDFQQRLVLFDIVDPMDHYKMTFSGGTLKGTDPDLFALKLCCLTPLGVEYKIGVIKMLEQERLEMKHWPQKKPNISYIKNKRAMEVYAEHGQRFYYVEDGMQPVFPCRRPITDTIWTSPLWEELTLGNETLEGVALRKQKREKPKKKKPSIPGDKEENVKKKEKKIQKQKQKESEEEEDSSSEAKKTKNKPVKKPPQLNIGADATWDSYDSSYDSSSGDSHWAREEEESTGGSVAVVEGEDSSSSGNEIEESPRPTEELASRTRYNHQNTQQKKSEGLDLFHEHAHNKSVTPIKNEKPVTLHKRKEESRYTKIEEEGKATRWEEQRSAVGASLEPVVVRRSWAVLDRETAIESRPANSHMQRVGEIQGSRRLGVNPPSHVESTSSISGRSLRSTNSIVAEGSRRLDQEQQLRQIMSDLPSIEQNAQQSLVQNLGSSTVGMQPILQEERCNERGPVLRQYRSPHPNGDVDVLFPPPMLHPAQQTQEDLVFNNADRILPRYDSHFFMQDAIKDYKSSSGFGFILLHGHSDTCAFHQGDPSCKCDFVAGHGQGEIMNFYSRIKVGRALDWVIVNGDHGASFLVRPDFPHPELVFVEDEHGMLVHILQGTYSNWVKLTMNNVATIVTNDHRDWAVMTVKMKTIKDEIGQLNQGAPRTAMDLLISKWERRKFQWIRATGDRSGSVFRNSTNSFQISDVKDTHFELESIKEPQGSGFFYVRIIHDTSGTALFMGGASFSSCIMQVGPRPRETFKAPRPNIKLTGADWLWFCITGDAGTSSLYRKSGSCLPSFQLSTISASHGQLVALPACTRLCWVRIMKDCSGAAIMTNEYNVAMDSIEAAHGRLTALLRGDDTAWIKVLCDDSGTFIRRGTRDFTVCYVSSLHGLIRKHMKMHQYVATPVLRELRYKGNLKRSFLTDAISPPSGYNVPSEPPRGCHLAALASGKWVVHKCSKNTRLLSQRGAGLLTQVGPVSQPIEQELLPGLRHCIQLLHDPALHRYYKVLNQYTRPHEKGTWDTRRPLLEKEAVERILVQAAQQCPGDELFRAILFYLESRLESLGKRECSM
+>sp|Q9NRX4|PHP14_HUMAN 14 kDa phosphohistidine phosphatase OS=Homo sapiens OX=9606 GN=PHPT1 PE=1 SV=1
+MAVADLALIPDVDIDSDGVFKYVLIRVHSAPRSGAPAAESKEIVRGYKWAEYHADIYDKVSGDMQKQGCDCECLGGGRISHQSQDKKIHVYGYSMAYGPAQHAISTEKIKAKYPDYEVTWANDGY
+>DECOY_sp|Q9NRX4|PHP14_HUMAN 14 kDa phosphohistidine phosphatase OS=Homo sapiens OX=9606 GN=PHPT1 PE=1 SV=1
+YGDNAWTVEYDPYKAKIKETSIAHQAPGYAMSYGYVHIKKDQSQHSIRGGGLCECDCGQKQMDGSVKDYIDAHYEAWKYGRVIEKSEAAPAGSRPASHVRILVYKFVGDSDIDVDPILALDAVAM
+>sp|Q6UXB8|PI16_HUMAN Peptidase inhibitor 16 OS=Homo sapiens OX=9606 GN=PI16 PE=1 SV=1
+MHGSCSFLMLLLPLLLLLVATTGPVGALTDEEKRLMVELHNLYRAQVSPTASDMLHMRWDEELAAFAKAYARQCVWGHNKERGRRGENLFAITDEGMDVPLAMEEWHHEREHYNLSAATCSPGQMCGHYTQVVWAKTERIGCGSHFCEKLQGVEETNIELLVCNYEPPGNVKGKRPYQEGTPCSQCPSGYHCKNSLCEPIGSPEDAQDLPYLVTEAPSFRATEASDSRKMGTPSSLATGIPAFLVTEVSGSLATKALPAVETQAPTSLATKDPPSMATEAPPCVTTEVPSILAAHSLPSLDEEPVTFPKSTHVPIPKSADKVTDKTKVPSRSPENSLDPKMSLTGARELLPHAQEEAEAEAELPPSSEVLASVFPAQDKPGELQATLDHTGHTSSKSLPNFPNTSATANATGGRALALQSSLPGAEGPDKPSVVSGLNSGPGHVWGPLLGLLLLPPLVLAGIF
+>DECOY_sp|Q6UXB8|PI16_HUMAN Peptidase inhibitor 16 OS=Homo sapiens OX=9606 GN=PI16 PE=1 SV=1
+FIGALVLPPLLLLGLLPGWVHGPGSNLGSVVSPKDPGEAGPLSSQLALARGGTANATASTNPFNPLSKSSTHGTHDLTAQLEGPKDQAPFVSALVESSPPLEAEAEAEEQAHPLLERAGTLSMKPDLSNEPSRSPVKTKDTVKDASKPIPVHTSKPFTVPEEDLSPLSHAALISPVETTVCPPAETAMSPPDKTALSTPAQTEVAPLAKTALSGSVETVLFAPIGTALSSPTGMKRSDSAETARFSPAETVLYPLDQADEPSGIPECLSNKCHYGSPCQSCPTGEQYPRKGKVNGPPEYNCVLLEINTEEVGQLKECFHSGCGIRETKAWVVQTYHGCMQGPSCTAASLNYHEREHHWEEMALPVDMGEDTIAFLNEGRRGREKNHGWVCQRAYAKAFAALEEDWRMHLMDSATPSVQARYLNHLEVMLRKEEDTLAGVPGTTAVLLLLLPLLLMLFSCSGHM
+>sp|Q07326|PIGF_HUMAN Phosphatidylinositol-glycan biosynthesis class F protein OS=Homo sapiens OX=9606 GN=PIGF PE=1 SV=1
+MKDNDIKRLLYTHLLCIFSIILSVFIPSLFLENFSILETHLTWLCICSGFVTAVNLVLYLVVKPNTSSKRSSLSHKVTGFLKCCIYFLMSCFSFHVIFVLYGAPLIELALETFLFAVILSTFTTVPCLCLLGPNLKAWLRVFSRNGVTSIWENSLQITTISSFVGAWLGALPIPLDWERPWQVWPISCTLGATFGYVAGLVISPLWIYWNRKQLTYKNN
+>DECOY_sp|Q07326|PIGF_HUMAN Phosphatidylinositol-glycan biosynthesis class F protein OS=Homo sapiens OX=9606 GN=PIGF PE=1 SV=1
+NNKYTLQKRNWYIWLPSIVLGAVYGFTAGLTCSIPWVQWPREWDLPIPLAGLWAGVFSSITTIQLSNEWISTVGNRSFVRLWAKLNPGLLCLCPVTTFTSLIVAFLFTELALEILPAGYLVFIVHFSFCSMLFYICCKLFGTVKHSLSSRKSSTNPKVVLYLVLNVATVFGSCICLWTLHTELISFNELFLSPIFVSLIISFICLLHTYLLRKIDNDKM
+>sp|Q9H3S5|PIGM_HUMAN GPI mannosyltransferase 1 OS=Homo sapiens OX=9606 GN=PIGM PE=1 SV=1
+MGSTKHWGEWLLNLKVAPAGVFGVAFLARVALVFYGVFQDRTLHVRYTDIDYQVFTDAARFVTEGRSPYLRATYRYTPLLGWLLTPNIYLSELFGKFLFISCDLLTAFLLYRLLLLKGLGRRQACGYCVFWLLNPLPMAVSSRGNADSIVASLVLMVLYLIKKRLVACAAVFYGFAVHMKIYPVTYILPITLHLLPDRDNDKSLRQFRYTFQACLYELLKRLCNRAVLLFVAVAGLTFFALSFGFYYEYGWEFLEHTYFYHLTRRDIRHNFSPYFYMLYLTAESKWSFSLGIAAFLPQLILLSAVSFAYYRDLVFCCFLHTSIFVTFNKVCTSQYFLWYLCLLPLVMPLVRMPWKRAVVLLMLWFIGQAMWLAPAYVLEFQGKNTFLFIWLAGLFFLLINCSILIQIISHYKEEPLTERIKYD
+>DECOY_sp|Q9H3S5|PIGM_HUMAN GPI mannosyltransferase 1 OS=Homo sapiens OX=9606 GN=PIGM PE=1 SV=1
+DYKIRETLPEEKYHSIIQILISCNILLFFLGALWIFLFTNKGQFELVYAPALWMAQGIFWLMLLVVARKWPMRVLPMVLPLLCLYWLFYQSTCVKNFTVFISTHLFCCFVLDRYYAFSVASLLILQPLFAAIGLSFSWKSEATLYLMYFYPSFNHRIDRRTLHYFYTHELFEWGYEYYFGFSLAFFTLGAVAVFLLVARNCLRKLLEYLCAQFTYRFQRLSKDNDRDPLLHLTIPLIYTVPYIKMHVAFGYFVAACAVLRKKILYLVMLVLSAVISDANGRSSVAMPLPNLLWFVCYGCAQRRGLGKLLLLRYLLFATLLDCSIFLFKGFLESLYINPTLLWGLLPTYRYTARLYPSRGETVFRAADTFVQYDIDTYRVHLTRDQFVGYFVLAVRALFAVGFVGAPAVKLNLLWEGWHKTSGM
+>sp|Q9NWS0|PIHD1_HUMAN PIH1 domain-containing protein 1 OS=Homo sapiens OX=9606 GN=PIH1D1 PE=1 SV=1
+MANPKLLGMGLSEAEAIGADSARFEELLLQASKELQQAQTTRPESTQIQPQPGFCIKTNSSEGKVFINICHSPSIPPPADVTEEELLQMLEEDQAGFRIPMSLGEPHAELDAKGQGCTAYDVAVNSDFYRRMQNSDFLRELVITIAREGLEDKYNLQLNPEWRMMKNRPFMGSISQQNIRSEQRPRIQELGDLYTPAPGRAESGPEKPHLNLWLEAPDLLLAEVDLPKLDGALGLSLEIGENRLVMGGPQQLYHLDAYIPLQINSHESKAAFHRKRKQLMVAMPLLPVPS
+>DECOY_sp|Q9NWS0|PIHD1_HUMAN PIH1 domain-containing protein 1 OS=Homo sapiens OX=9606 GN=PIH1D1 PE=1 SV=1
+SPVPLLPMAVMLQKRKRHFAAKSEHSNIQLPIYADLHYLQQPGGMVLRNEGIELSLGLAGDLKPLDVEALLLDPAELWLNLHPKEPGSEARGPAPTYLDGLEQIRPRQESRINQQSISGMFPRNKMMRWEPNLQLNYKDELGERAITIVLERLFDSNQMRRYFDSNVAVDYATCGQGKADLEAHPEGLSMPIRFGAQDEELMQLLEEETVDAPPPISPSHCINIFVKGESSNTKICFGPQPQIQTSEPRTTQAQQLEKSAQLLLEEFRASDAGIAEAESLGMGLLKPNAM
+>sp|P11309|PIM1_HUMAN Serine/threonine-protein kinase pim-1 OS=Homo sapiens OX=9606 GN=PIM1 PE=1 SV=3
+MPHEPHEPLTPPFSALPDPAGAPSRRQSRQRPQLSSDSPSAFRASRSHSRNATRSHSHSHSPRHSLRHSPGSGSCGSSSGHRPCADILEVGMLLSKINSLAHLRAAPCNDLHATKLAPGKEKEPLESQYQVGPLLGSGGFGSVYSGIRVSDNLPVAIKHVEKDRISDWGELPNGTRVPMEVVLLKKVSSGFSGVIRLLDWFERPDSFVLILERPEPVQDLFDFITERGALQEELARSFFWQVLEAVRHCHNCGVLHRDIKDENILIDLNRGELKLIDFGSGALLKDTVYTDFDGTRVYSPPEWIRYHRYHGRSAAVWSLGILLYDMVCGDIPFEHDEEIIRGQVFFRQRVSSECQHLIRWCLALRPSDRPTFEEIQNHPWMQDVLLPQETAEIHLHSLSPGPSK
+>DECOY_sp|P11309|PIM1_HUMAN Serine/threonine-protein kinase pim-1 OS=Homo sapiens OX=9606 GN=PIM1 PE=1 SV=3
+KSPGPSLSHLHIEATEQPLLVDQMWPHNQIEEFTPRDSPRLALCWRILHQCESSVRQRFFVQGRIIEEDHEFPIDGCVMDYLLIGLSWVAASRGHYRHYRIWEPPSYVRTGDFDTYVTDKLLAGSGFDILKLEGRNLDILINEDKIDRHLVGCNHCHRVAELVQWFFSRALEEQLAGRETIFDFLDQVPEPRELILVFSDPREFWDLLRIVGSFGSSVKKLLVVEMPVRTGNPLEGWDSIRDKEVHKIAVPLNDSVRIGSYVSGFGGSGLLPGVQYQSELPEKEKGPALKTAHLDNCPAARLHALSNIKSLLMGVELIDACPRHGSSSGCSGSGPSHRLSHRPSHSHSHSRTANRSHSRSARFASPSDSSLQPRQRSQRRSPAGAPDPLASFPPTLPEHPEHPM
+>sp|P22061|PIMT_HUMAN Protein-L-isoaspartate(D-aspartate) O-methyltransferase OS=Homo sapiens OX=9606 GN=PCMT1 PE=1 SV=4
+MAWKSGGASHSELIHNLRKNGIIKTDKVFEVMLATDRSHYAKCNPYMDSPQSIGFQATISAPHMHAYALELLFDQLHEGAKALDVGSGSGILTACFARMVGCTGKVIGIDHIKELVDDSVNNVRKDDPTLLSSGRVQLVVGDGRMGYAEEAPYDAIHVGAAAPVVPQALIDQLKPGGRLILPVGPAGGNQMLEQYDKLQDGSIKMKPLMGVIYVPLTDKEKQWSRWK
+>DECOY_sp|P22061|PIMT_HUMAN Protein-L-isoaspartate(D-aspartate) O-methyltransferase OS=Homo sapiens OX=9606 GN=PCMT1 PE=1 SV=4
+KWRSWQKEKDTLPVYIVGMLPKMKISGDQLKDYQELMQNGGAPGVPLILRGGPKLQDILAQPVVPAAAGVHIADYPAEEAYGMRGDGVVLQVRGSSLLTPDDKRVNNVSDDVLEKIHDIGIVKGTCGVMRAFCATLIGSGSGVDLAKAGEHLQDFLLELAYAHMHPASITAQFGISQPSDMYPNCKAYHSRDTALMVEFVKDTKIIGNKRLNHILESHSAGGSKWAM
+>sp|Q9H307|PININ_HUMAN Pinin OS=Homo sapiens OX=9606 GN=PNN PE=1 SV=5
+MAVAVRTLQEQLEKAKESLKNVDENIRKLTGRDPNDVRPIQARLLALSGPGGGRGRGSLLLRRGFSDSGGGPPAKQRDLEGAVSRLGGERRTRRESRQESDPEDDDVKKPALQSSVVATSKERTRRDLIQDQNMDEKGKQRNRRIFGLLMGTLQKFKQESTVATERQKRRQEIEQKLEVQAEEERKQVENERRELFEERRAKQTELRLLEQKVELAQLQEEWNEHNAKIIKYIRTKTKPHLFYIPGRMCPATQKLIEESQRKMNALFEGRRIEFAEQINKMEARPRRQSMKEKEHQVVRNEEQKAEQEEGKVAQREEELEETGNQHNDVEIEEAGEEEEKEIAIVHSDAEKEQEEEEQKQEMEVKMEEETEVRESEKQQDSQPEEVMDVLEMVENVKHVIADQEVMETNRVESVEPSENEASKELEPEMEFEIEPDKECKTLSPGKENVSALDMEKESEEKEEKESEPQPEPVAQPQPQSQPQLQLQSQSQPVLQSQPPSQPEDLSLAVLQPTPQVTQEQGHLLPERKDFPVESVKLTEVPVEPVLTVHPESKSKTKTRSRSRGRARNKTSKSRSRSSSSSSSSSSSTSSSSGSSSSSGSSSSRSSSSSSSSTSGSSSRDSSSSTSSSSESRSRSRGRGHNRDRKHRRSVDRKRRDTSGLERSHKSSKGGSSRDTKGSKDKNSRSDRKRSISESSRSGKRSSRSERDRKSDRKDKRR
+>DECOY_sp|Q9H307|PININ_HUMAN Pinin OS=Homo sapiens OX=9606 GN=PNN PE=1 SV=5
+RRKDKRDSKRDRESRSSRKGSRSSESISRKRDSRSNKDKSGKTDRSSGGKSSKHSRELGSTDRRKRDVSRRHKRDRNHGRGRSRSRSESSSSTSSSSDRSSSGSTSSSSSSSSRSSSSGSSSSSGSSSSTSSSSSSSSSSSRSRSKSTKNRARGRSRSRTKTKSKSEPHVTLVPEVPVETLKVSEVPFDKREPLLHGQEQTVQPTPQLVALSLDEPQSPPQSQLVPQSQSQLQLQPQSQPQPQAVPEPQPESEKEEKEESEKEMDLASVNEKGPSLTKCEKDPEIEFEMEPELEKSAENESPEVSEVRNTEMVEQDAIVHKVNEVMELVDMVEEPQSDQQKESERVETEEEMKVEMEQKQEEEEQEKEADSHVIAIEKEEEEGAEEIEVDNHQNGTEELEEERQAVKGEEQEAKQEENRVVQHEKEKMSQRRPRAEMKNIQEAFEIRRGEFLANMKRQSEEILKQTAPCMRGPIYFLHPKTKTRIYKIIKANHENWEEQLQALEVKQELLRLETQKARREEFLERRENEVQKREEEAQVELKQEIEQRRKQRETAVTSEQKFKQLTGMLLGFIRRNRQKGKEDMNQDQILDRRTREKSTAVVSSQLAPKKVDDDEPDSEQRSERRTRREGGLRSVAGELDRQKAPPGGGSDSFGRRLLLSGRGRGGGPGSLALLRAQIPRVDNPDRGTLKRINEDVNKLSEKAKELQEQLTRVAVAM
+>sp|O15428|PINL_HUMAN Putative PIN1-like protein OS=Homo sapiens OX=9606 GN=PIN1P1 PE=5 SV=1
+MADEEKLPPGWEKRMSRPSGRGYYFNHITNPSQWERPSGNSSSGGKIWQGEPARVRRSHLLVKPVKAALDLAAGNHPDQGGGPGADQRLHPEDQGRREGL
+>DECOY_sp|O15428|PINL_HUMAN Putative PIN1-like protein OS=Homo sapiens OX=9606 GN=PIN1P1 PE=5 SV=1
+LGERRGQDEPHLRQDAGPGGGQDPHNGAALDLAAKVPKVLLHSRRVRAPEGQWIKGGSSSNGSPREWQSPNTIHNFYYGRGSPRSMRKEWGPPLKEEDAM
+>sp|P48739|PIPNB_HUMAN Phosphatidylinositol transfer protein beta isoform OS=Homo sapiens OX=9606 GN=PITPNB PE=1 SV=2
+MVLIKEFRVVLPCSVQEYQVGQLYSVAEASKNETGGGEGIEVLKNEPYEKDGEKGQYTHKIYHLKSKVPAFVRMIAPEGSLVFHEKAWNAYPYCRTIVTNEYMKDDFFIKIETWHKPDLGTLENVHGLDPNTWKTVEIVHIDIADRSQVEPADYKADEDPALFQSVKTKRGPLGPNWKKELANSPDCPQMCAYKLVTIKFKWWGLQSKVENFIQKQEKRIFTNFHRQLFCWIDKWIDLTMEDIRRMEDETQKELETMRKRGSVRGTSAADV
+>DECOY_sp|P48739|PIPNB_HUMAN Phosphatidylinositol transfer protein beta isoform OS=Homo sapiens OX=9606 GN=PITPNB PE=1 SV=2
+VDAASTGRVSGRKRMTELEKQTEDEMRRIDEMTLDIWKDIWCFLQRHFNTFIRKEQKQIFNEVKSQLGWWKFKITVLKYACMQPCDPSNALEKKWNPGLPGRKTKVSQFLAPDEDAKYDAPEVQSRDAIDIHVIEVTKWTNPDLGHVNELTGLDPKHWTEIKIFFDDKMYENTVITRCYPYANWAKEHFVLSGEPAIMRVFAPVKSKLHYIKHTYQGKEGDKEYPENKLVEIGEGGGTENKSAEAVSYLQGVQYEQVSCPLVVRFEKILVM
+>sp|Q7Z3Z4|PIWL4_HUMAN Piwi-like protein 4 OS=Homo sapiens OX=9606 GN=PIWIL4 PE=2 SV=2
+MSGRARVKARGIARSPSATEVGRIQASPLPRSVDLSNNEASSSNGFLGTSRISTNDKYGISSGDAGSTFMERGVKNKQDFMDLSICTREKLAHVRNCKTGSSGIPVKLVTNLFNLDFPQDWQLYQYHVTYIPDLASRRLRIALLYSHSELSNKAKAFDGAILFLSQKLEEKVTELSSETQRGETIKMTITLKRELPSSSPVCIQVFNIIFRKILKKLSMYQIGRNFYNPSEPMEIPQHKLSLWPGFAISVSYFERKLLFSADVSYKVLRNETVLEFMTALCQRTGLSCFTQTCEKQLIGLIVLTRYNNRTYSIDDIDWSVKPTHTFQKRDGTEITYVDYYKQQYDITVSDLNQPMLVSLLKKKRNDNSEAQLAHLIPELCFLTGLTDQATSDFQLMKAVAEKTRLSPSGRQQRLARLVDNIQRNTNARFELETWGLHFGSQISLTGRIVPSEKILMQDHICQPVSAADWSKDIRTCKILNAQSLNTWLILCSDRTEYVAESFLNCLRRVAGSMGFNVDYPKIIKVQENPAAFVRAIQQYVDPDVQLVMCILPSNQKTYYDSIKKYLSSDCPVPSQCVLARTLNKQGMMMSIATKIAMQMTCKLGGELWAVEIPLKSLMVVGIDVCKDALSKDVMVVGCVASVNPRITRWFSRCILQRTMTDVADCLKVFMTGALNKWYKYNHDLPARIIVYRAGVGDGQLKTLIEYEVPQLLSSVAESSSNTSSRLSVIVVRKKCMPRFFTEMNRTVQNPPLGTVVDSEATRNEWYDFYLISQVACRGTVSPTYYNVIYDDNGLKPDHMQRLTFKLCHLYYNWPGIVSVPAPCQYAHKLTFLVAQSIHKEPSLELANHLFYL
+>DECOY_sp|Q7Z3Z4|PIWL4_HUMAN Piwi-like protein 4 OS=Homo sapiens OX=9606 GN=PIWIL4 PE=2 SV=2
+LYFLHNALELSPEKHISQAVLFTLKHAYQCPAPVSVIGPWNYYLHCLKFTLRQMHDPKLGNDDYIVNYYTPSVTGRCAVQSILYFDYWENRTAESDVVTGLPPNQVTRNMETFFRPMCKKRVVIVSLRSSTNSSSEAVSSLLQPVEYEILTKLQGDGVGARYVIIRAPLDHNYKYWKNLAGTMFVKLCDAVDTMTRQLICRSFWRTIRPNVSAVCGVVMVDKSLADKCVDIGVVMLSKLPIEVAWLEGGLKCTMQMAIKTAISMMMGQKNLTRALVCQSPVPCDSSLYKKISDYYTKQNSPLICMVLQVDPDVYQQIARVFAAPNEQVKIIKPYDVNFGMSGAVRRLCNLFSEAVYETRDSCLILWTNLSQANLIKCTRIDKSWDAASVPQCIHDQMLIKESPVIRGTLSIQSGFHLGWTELEFRANTNRQINDVLRALRQQRGSPSLRTKEAVAKMLQFDSTAQDTLGTLFCLEPILHALQAESNDNRKKKLLSVLMPQNLDSVTIDYQQKYYDVYTIETGDRKQFTHTPKVSWDIDDISYTRNNYRTLVILGILQKECTQTFCSLGTRQCLATMFELVTENRLVKYSVDASFLLKREFYSVSIAFGPWLSLKHQPIEMPESPNYFNRGIQYMSLKKLIKRFIINFVQICVPSSSPLERKLTITMKITEGRQTESSLETVKEELKQSLFLIAGDFAKAKNSLESHSYLLAIRLRRSALDPIYTVHYQYLQWDQPFDLNFLNTVLKVPIGSSGTKCNRVHALKERTCISLDMFDQKNKVGREMFTSGADGSSIGYKDNTSIRSTGLFGNSSSAENNSLDVSRPLPSAQIRGVETASPSRAIGRAKVRARGSM
+>sp|O43164|PJA2_HUMAN E3 ubiquitin-protein ligase Praja-2 OS=Homo sapiens OX=9606 GN=PJA2 PE=1 SV=4
+MSQYTEKEPAAMDQESGKAVWPKPAGGYQTITGRRYGRRHAYVSFKPCMTRHERSLGRAGDDYEVLELDDVPKENSSGSSPLDQVDSSLPSEPIFEKSETEIPTCGSALNQTTESSQSFVAVHHSEEGRDTLGSSTNLHNHSEGEYIPGACSASSVQNGIALVHTDSYDPDGKHGEDNDHLQLSAEVVEGSRYQESLGNTVFELENREAEAYTGLSPPVPSFNCEVRDEFEELDSVPLVKSSAGDTEFVHQNSQEIQRSSQDEMVSTKQQNNTSQERQTEHSPEDAACGPGHICSEQNTNDREKNHGSSPEQVVRPKVRKLISSSQVDQETGFNRHEAKQRSVQRWREALEVEESGSDDLLIKCEEYDGEHDCMFLDPPYSRVITQRETENNQMTSESGATAGRQEVDNTFWNGCGDYYQLYDKDEDSSECSDGEWSASLPHRFSGTEKDQSSSDESWETLPGKDENEPELQSDSSGPEEENQELSLQEGEQTSLEEGEIPWLQYNEVNESSSDEGNEPANEFAQPAFMLDGNNNLEDDSSVSEDLDVDWSLFDGFADGLGVAEAISYVDPQFLTYMALEERLAQAMETALAHLESLAVDVEVANPPASKESIDGLPETLVLEDHTAIGQEQCCPICCSEYIKDDIATELPCHHFFHKPCVSIWLQKSGTCPVCRRHFPPAVIEASAAPSSEPDPDAPPSNDSIAEAP
+>DECOY_sp|O43164|PJA2_HUMAN E3 ubiquitin-protein ligase Praja-2 OS=Homo sapiens OX=9606 GN=PJA2 PE=1 SV=4
+PAEAISDNSPPADPDPESSPAASAEIVAPPFHRRCVPCTGSKQLWISVCPKHFFHHCPLETAIDDKIYESCCIPCCQEQGIATHDELVLTEPLGDISEKSAPPNAVEVDVALSELHALATEMAQALREELAMYTLFQPDVYSIAEAVGLGDAFGDFLSWDVDLDESVSSDDELNNNGDLMFAPQAFENAPENGEDSSSENVENYQLWPIEGEELSTQEGEQLSLEQNEEEPGSSDSQLEPENEDKGPLTEWSEDSSSQDKETGSFRHPLSASWEGDSCESSDEDKDYLQYYDGCGNWFTNDVEQRGATAGSESTMQNNETERQTIVRSYPPDLFMCDHEGDYEECKILLDDSGSEEVELAERWRQVSRQKAEHRNFGTEQDVQSSSILKRVKPRVVQEPSSGHNKERDNTNQESCIHGPGCAADEPSHETQREQSTNNQQKTSVMEDQSSRQIEQSNQHVFETDGASSKVLPVSDLEEFEDRVECNFSPVPPSLGTYAEAERNELEFVTNGLSEQYRSGEVVEASLQLHDNDEGHKGDPDYSDTHVLAIGNQVSSASCAGPIYEGESHNHLNTSSGLTDRGEESHHVAVFSQSSETTQNLASGCTPIETESKEFIPESPLSSDVQDLPSSGSSNEKPVDDLELVEYDDGARGLSREHRTMCPKFSVYAHRRGYRRGTITQYGGAPKPWVAKGSEQDMAAPEKETYQSM
+>sp|Q8TDX9|PK1L1_HUMAN Polycystic kidney disease protein 1-like 1 OS=Homo sapiens OX=9606 GN=PKD1L1 PE=1 SV=1
+MAEEAAQNISDDQERCLQAACCLSFGGELSVSTDKSWGLHLCSCSPPGGGLWVEVYANHVLLMSDGKCGCPWCALNGKAEDRESQSPSSSASRQKNIWKTTSEAALSVVNEKTQAVVNEKTQAPLDCDNSADRIPHKPFIIIARAWSSGGPRFHHRRLCATGTADSTFSALLQLQGTTSAAAPCSLKMEASCCVLRLLCCAEDVATGLLPGTVTMETPTKVARPTQTSSQRVPLWPISHFPTSPRSSHGLPPGIPRTPSFTASQSGSEILYPPTQHPPVAILARNSDNFMNPVLNCSLEVEARAPPNLGFRVHMASGEALCLMMDFGDSSGVEMRLHNMSEAMAVTAYHQYSKGIFFHLLHFQLDMSTYKEAETQNTTLNVYLCQSENSCLEDSDPSNLGYELISAFVTKGVYMLKAVIYNEFHGTEVELGPYYVEIGHEAVSAFMNSSSVHEDEVLVFADSQVNQKSTVVIHHFPSIPSYNVSFISQTQVGDSQAWHSMTVWYKMQSVSVYTNGTVFATDTDITFTAVTKETIPLEFEWYFGEDPPVRTTSRSIKKRLSIPQWYRVMVKASNRMSSVVSEPHVIRVQKKIVANRLTSPSSALVNASVAFECWINFGTDVAYLWDFGDGTVSLGSSSSSHVYSREGEFTVEVLAFNNVSASTLRQQLFIVCEPCQPPLVKNMGPGKVQIWRSQPVRLGVTFEAAVFCDISQGLSYTWNLMDSEGLPVSLPAAVDTHRQTLILPSHTLEYGNYTALAKVQIEGSVVYSNYCVGLEVRAQAPVSVISEGTHLFFSRTTSSPIVLRGTQSFDPDDPGATLRYHWECATAGSPAHPCFDSSTAHQLDAAAPTVSFEAQWLSDSYDQFLVMLRVSSGGRNSSETRVFLSPYPDSAFRFVHISWVSFKDTFVNWNDELSLQAMCEDCSEIPNLSYSWDLFLVNATEKNRIEVPFCRVVGLLGSLGLGAISESSQLNLLPTEPGTADPDATTTPFSREPSPVTLGQPATSAPRGTPTEPMTGVYWIPPAGDSAVLGEAPEEGSLDLEPGPQSKGSLMTGRSERSQPTHSPDPHLSDFEAYYSDIQEAIPSGGRQPAKDTSFPGSGPSLSAEESPGDGDNLVDPSLSAGRAEPVLMIDWPKALLGRAVFQGYSSSGITEQTVTIKPYSLSSGETYVLQVSVASKHGLLGKAQLYLTVNPAPRDMACQVQPHHGLEAHTVFSVFCMSGKPDFHYEFSYQIGNTSKHTLYHGRDTQYYFVLPAGEHLDNYKVMVSTEITDGKGSKVQPCTVVVTVLPRYHGNDCLGEDLYNSSLKNLSTLQLMGSYTEIRNYITVITRILSRLSKEDKTASCNQWSRIQDALISSVCRLAFVDQEEMIGSVLMLRDLVSFSNKLGFMSAVLILKYTRALLAQGQFSGPFVIDKGVRLELIGLISRVWEVSEQENSKEEVYRHEEGITVISDLLLGCLSLNHVSTGQMEFRTLLHYNLQSSVQSLGSVQVHLPGDLAGHSPAGAETQSPCYISQLILFKKNPYPGSQAPGQIGGVVGLNLYTCSSRRPINRQWLRKPVMVEFGEEDGLDNRRNKTTFVLLRDKVNLHQFTELSENPQESLQIEIEFSKPVTRAFPVMLLVRFSEKPTPSDFLVKQIYFWDESIVQIYIPAASQKDASVGYLSLLDADYDRKPPNRYLAKAVNYTVHFQWIRCLFWDKREWKSERFSPQPGTSPEKVNCSYHRLAAFALLRRKLKASFEVSDISKLQSHPENLLPSIFIMGSVILYGFLVAKSRQVDHHEKKKAGYIFLQEASLPGHQLYAVVIDTGFRAPARLTSKVYIVLCGDNGLSETKELSCPEKPLFERNSRHTFILSAPAQLGLLRKIRLWHDSRGPSPGWFISHVMVKELHTGQGWFFPAQCWLSAGRHDGRVERELTCLQGGLGFRKLFYCKFTEYLEDFHVWLSVYSRPSSSRYLHTPRLTVSFSLLCVYACLTALVAAGGQEQPHLDVSPTLGSFRVGLLCTLLASPGAQLLSLLFRLSKEAPGSARVEPHSPLRGGAQTEAPHGPNSWGRIPDAQEPRKQPASAILSGSGRAQRKAASDNGTACPAPKLQVHGADHSRTSLMGKSHCCPPHTQAPSSGLEGLMPQWSRALQPWWSSAVWAICGTASLACSLGTGFLAYRFGQEQCVQWLHLLSLSVVCCIFITQPLMVCLMALGFAWKRRADNHFFTESLCEATRDLDSELAERSWTRLPFSSSCSIPDCAGEVEKVLAARQQARHLRWAHPPSKAQLRGTRQRMRRESRTRAALRDISMDILMLLLLLCVIYGRFSQDEYSLNQAIRKEFTRNARNCLGGLRNIADWWDWSLTTLLDGLYPGGTPSARVPGAQPGALGGKCYLIGSSVIRQLKVFPRHLCKPPRPFSALIEDSIPTCSPEVGGPENPYLIDPENQNVTLNGPGGCGTREDCVLSLGRTRTEAHTALSRLRASMWIDRSTRAVSVHFTLYNPPTQLFTSVSLRVEILPTGSLVPSSLVESFSIFRSDSALQYHLMLPQLVFLALSLIHLCVQLYRMMDKGVLSYWRKPRNWLELSVVGVSLTYYAVSGHLVTLAGDVTNQFHRGLCRAFMDLTLMASWNQRARWLRGILLFLFTLKCVYLPGIQNTMASCSSMMRHSLPSIFVAGLVGALMLAALSHLHRFLLSMWVLPPGTFTDAFPGLLFHFPRRSQKDCLLGLSKSDQRAMACYFGILLIVSATLCFGMLRGFLMTLPQKRKSFQSKSFVRLKDVTAYMWEKVLTFLRLETPKLEEAEMVENHNYYLDEFANLLDELLMKINGLSDSLQLPLLEKTSNNTGEARTEESPLVDISSYQAAEPADIKDF
+>DECOY_sp|Q8TDX9|PK1L1_HUMAN Polycystic kidney disease protein 1-like 1 OS=Homo sapiens OX=9606 GN=PKD1L1 PE=1 SV=1
+FDKIDAPEAAQYSSIDVLPSEETRAEGTNNSTKELLPLQLSDSLGNIKMLLEDLLNAFEDLYYNHNEVMEAEELKPTELRLFTLVKEWMYATVDKLRVFSKSQFSKRKQPLTMLFGRLMGFCLTASVILLIGFYCAMARQDSKSLGLLCDKQSRRPFHFLLGPFADTFTGPPLVWMSLLFRHLHSLAALMLAGVLGAVFISPLSHRMMSSCSAMTNQIGPLYVCKLTFLFLLIGRLWRARQNWSAMLTLDMFARCLGRHFQNTVDGALTVLHGSVAYYTLSVGVVSLELWNRPKRWYSLVGKDMMRYLQVCLHILSLALFVLQPLMLHYQLASDSRFISFSEVLSSPVLSGTPLIEVRLSVSTFLQTPPNYLTFHVSVARTSRDIWMSARLRSLATHAETRTRGLSLVCDERTGCGGPGNLTVNQNEPDILYPNEPGGVEPSCTPISDEILASFPRPPKCLHRPFVKLQRIVSSGILYCKGGLAGPQAGPVRASPTGGPYLGDLLTTLSWDWWDAINRLGGLCNRANRTFEKRIAQNLSYEDQSFRGYIVCLLLLLMLIDMSIDRLAARTRSERRMRQRTGRLQAKSPPHAWRLHRAQQRAALVKEVEGACDPISCSSSFPLRTWSREALESDLDRTAECLSETFFHNDARRKWAFGLAMLCVMLPQTIFICCVVSLSLLHLWQVCQEQGFRYALFGTGLSCALSATGCIAWVASSWWPQLARSWQPMLGELGSSPAQTHPPCCHSKGMLSTRSHDAGHVQLKPAPCATGNDSAAKRQARGSGSLIASAPQKRPEQADPIRGWSNPGHPAETQAGGRLPSHPEVRASGPAEKSLRFLLSLLQAGPSALLTCLLGVRFSGLTPSVDLHPQEQGGAAVLATLCAYVCLLSFSVTLRPTHLYRSSSPRSYVSLWVHFDELYETFKCYFLKRFGLGGQLCTLEREVRGDHRGASLWCQAPFFWGQGTHLEKVMVHSIFWGPSPGRSDHWLRIKRLLGLQAPASLIFTHRSNREFLPKEPCSLEKTESLGNDGCLVIYVKSTLRAPARFGTDIVVAYLQHGPLSAEQLFIYGAKKKEHHDVQRSKAVLFGYLIVSGMIFISPLLNEPHSQLKSIDSVEFSAKLKRRLLAFAALRHYSCNVKEPSTGPQPSFRESKWERKDWFLCRIWQFHVTYNVAKALYRNPPKRDYDADLLSLYGVSADKQSAAPIYIQVISEDWFYIQKVLFDSPTPKESFRVLLMVPFARTVPKSFEIEIQLSEQPNESLETFQHLNVKDRLLVFTTKNRRNDLGDEEGFEVMVPKRLWQRNIPRRSSCTYLNLGVVGGIQGPAQSGPYPNKKFLILQSIYCPSQTEAGAPSHGALDGPLHVQVSGLSQVSSQLNYHLLTRFEMQGTSVHNLSLCGLLLDSIVTIGEEHRYVEEKSNEQESVEWVRSILGILELRVGKDIVFPGSFQGQALLARTYKLILVASMFGLKNSFSVLDRLMLVSGIMEEQDVFALRCVSSILADQIRSWQNCSATKDEKSLRSLIRTIVTIYNRIETYSGMLQLTSLNKLSSNYLDEGLCDNGHYRPLVTVVVTCPQVKSGKGDTIETSVMVKYNDLHEGAPLVFYYQTDRGHYLTHKSTNGIQYSFEYHFDPKGSMCFVSFVTHAELGHHPQVQCAMDRPAPNVTLYLQAKGLLGHKSAVSVQLVYTEGSSLSYPKITVTQETIGSSSYGQFVARGLLAKPWDIMLVPEARGASLSPDVLNDGDGPSEEASLSPGSGPFSTDKAPQRGGSPIAEQIDSYYAEFDSLHPDPSHTPQSRESRGTMLSGKSQPGPELDLSGEEPAEGLVASDGAPPIWYVGTMPETPTGRPASTAPQGLTVPSPERSFPTTTADPDATGPETPLLNLQSSESIAGLGLSGLLGVVRCFPVEIRNKETANVLFLDWSYSLNPIESCDECMAQLSLEDNWNVFTDKFSVWSIHVFRFASDPYPSLFVRTESSNRGGSSVRLMVLFQDYSDSLWQAEFSVTPAAADLQHATSSDFCPHAPSGATACEWHYRLTAGPDDPDFSQTGRLVIPSSTTRSFFLHTGESIVSVPAQARVELGVCYNSYVVSGEIQVKALATYNGYELTHSPLILTQRHTDVAAPLSVPLGESDMLNWTYSLGQSIDCFVAAEFTVGLRVPQSRWIQVKGPGMNKVLPPQCPECVIFLQQRLTSASVNNFALVEVTFEGERSYVHSSSSSGLSVTGDGFDWLYAVDTGFNIWCEFAVSANVLASSPSTLRNAVIKKQVRIVHPESVVSSMRNSAKVMVRYWQPISLRKKISRSTTRVPPDEGFYWEFELPITEKTVATFTIDTDTAFVTGNTYVSVSQMKYWVTMSHWAQSDGVQTQSIFSVNYSPISPFHHIVVTSKQNVQSDAFVLVEDEHVSSSNMFASVAEHGIEVYYPGLEVETGHFENYIVAKLMYVGKTVFASILEYGLNSPDSDELCSNESQCLYVNLTTNQTEAEKYTSMDLQFHLLHFFIGKSYQHYATVAMAESMNHLRMEVGSSDGFDMMLCLAEGSAMHVRFGLNPPARAEVELSCNLVPNMFNDSNRALIAVPPHQTPPYLIESGSQSATFSPTRPIGPPLGHSSRPSTPFHSIPWLPVRQSSTQTPRAVKTPTEMTVTGPLLGTAVDEACCLLRLVCCSAEMKLSCPAAASTTGQLQLLASFTSDATGTACLRRHHFRPGGSSWARAIIIFPKHPIRDASNDCDLPAQTKENVVAQTKENVVSLAAESTTKWINKQRSASSSPSQSERDEAKGNLACWPCGCKGDSMLLVHNAYVEVWLGGGPPSCSCLHLGWSKDTSVSLEGGFSLCCAAQLCREQDDSINQAAEEAM
+>sp|P48736|PK3CG_HUMAN Phosphatidylinositol 4,5-bisphosphate 3-kinase catalytic subunit gamma isoform OS=Homo sapiens OX=9606 GN=PIK3CG PE=1 SV=3
+MELENYKQPVVLREDNCRRRRRMKPRSAAASLSSMELIPIEFVLPTSQRKCKSPETALLHVAGHGNVEQMKAQVWLRALETSVAADFYHRLGPHHFLLLYQKKGQWYEIYDKYQVVQTLDCLRYWKATHRSPGQIHLVQRHPPSEESQAFQRQLTALIGYDVTDVSNVHDDELEFTRRGLVTPRMAEVASRDPKLYAMHPWVTSKPLPEYLWKKIANNCIFIVIHRSTTSQTIKVSPDDTPGAILQSFFTKMAKKKSLMDIPESQSEQDFVLRVCGRDEYLVGETPIKNFQWVRHCLKNGEEIHVVLDTPPDPALDEVRKEEWPLVDDCTGVTGYHEQLTIHGKDHESVFTVSLWDCDRKFRVKIRGIDIPVLPRNTDLTVFVEANIQHGQQVLCQRRTSPKPFTEEVLWNVWLEFSIKIKDLPKGALLNLQIYCGKAPALSSKASAESPSSESKGKVQLLYYVNLLLIDHRFLLRRGEYVLHMWQISGKGEDQGSFNADKLTSATNPDKENSMSISILLDNYCHPIALPKHQPTPDPEGDRVRAEMPNQLRKQLEAIIATDPLNPLTAEDKELLWHFRYESLKHPKAYPKLFSSVKWGQQEIVAKTYQLLARREVWDQSALDVGLTMQLLDCNFSDENVRAIAVQKLESLEDDDVLHYLLQLVQAVKFEPYHDSALARFLLKRGLRNKRIGHFLFWFLRSEIAQSRHYQQRFAVILEAYLRGCGTAMLHDFTQQVQVIEMLQKVTLDIKSLSAEKYDVSSQVISQLKQKLENLQNSQLPESFRVPYDPGLKAGALAIEKCKVMASKKKPLWLEFKCADPTALSNETIGIIFKHGDDLRQDMLILQILRIMESIWETESLDLCLLPYGCISTGDKIGMIEIVKDATTIAKIQQSTVGNTGAFKDEVLNHWLKEKSPTEEKFQAAVERFVYSCAGYCVATFVLGIGDRHNDNIMITETGNLFHIDFGHILGNYKSFLGINKERVPFVLTPDFLFVMGTSGKKTSPHFQKFQDICVKAYLALRHHTNLLIILFSMMLMTGMPQLTSKEDIEYIRDALTVGKNEEDAKKYFLDQIEVCRDKGWTVQFNWFLHLVLGIKQGEKHSA
+>DECOY_sp|P48736|PK3CG_HUMAN Phosphatidylinositol 4,5-bisphosphate 3-kinase catalytic subunit gamma isoform OS=Homo sapiens OX=9606 GN=PIK3CG PE=1 SV=3
+ASHKEGQKIGLVLHLFWNFQVTWGKDRCVEIQDLFYKKADEENKGVTLADRIYEIDEKSTLQPMGTMLMMSFLIILLNTHHRLALYAKVCIDQFKQFHPSTKKGSTGMVFLFDPTLVFPVREKNIGLFSKYNGLIHGFDIHFLNGTETIMINDNHRDGIGLVFTAVCYGACSYVFREVAAQFKEETPSKEKLWHNLVEDKFAGTNGVTSQQIKAITTADKVIEIMGIKDGTSICGYPLLCLDLSETEWISEMIRLIQLILMDQRLDDGHKFIIGITENSLATPDACKFELWLPKKKSAMVKCKEIALAGAKLGPDYPVRFSEPLQSNQLNELKQKLQSIVQSSVDYKEASLSKIDLTVKQLMEIVQVQQTFDHLMATGCGRLYAELIVAFRQQYHRSQAIESRLFWFLFHGIRKNRLGRKLLFRALASDHYPEFKVAQVLQLLYHLVDDDELSELKQVAIARVNEDSFNCDLLQMTLGVDLASQDWVERRALLQYTKAVIEQQGWKVSSFLKPYAKPHKLSEYRFHWLLEKDEATLPNLPDTAIIAELQKRLQNPMEARVRDGEPDPTPQHKPLAIPHCYNDLLISISMSNEKDPNTASTLKDANFSGQDEGKGSIQWMHLVYEGRRLLFRHDILLLNVYYLLQVKGKSESSPSEASAKSSLAPAKGCYIQLNLLAGKPLDKIKISFELWVNWLVEETFPKPSTRRQCLVQQGHQINAEVFVTLDTNRPLVPIDIGRIKVRFKRDCDWLSVTFVSEHDKGHITLQEHYGTVGTCDDVLPWEEKRVEDLAPDPPTDLVVHIEEGNKLCHRVWQFNKIPTEGVLYEDRGCVRLVFDQESQSEPIDMLSKKKAMKTFFSQLIAGPTDDPSVKITQSTTSRHIVIFICNNAIKKWLYEPLPKSTVWPHMAYLKPDRSAVEAMRPTVLGRRTFELEDDHVNSVDTVDYGILATLQRQFAQSEESPPHRQVLHIQGPSRHTAKWYRLCDLTQVVQYKDYIEYWQGKKQYLLLFHHPGLRHYFDAAVSTELARLWVQAKMQEVNGHGAVHLLATEPSKCKRQSTPLVFEIPILEMSSLSAAASRPKMRRRRRCNDERLVVPQKYNELEM
+>sp|P98161|PKD1_HUMAN Polycystin-1 OS=Homo sapiens OX=9606 GN=PKD1 PE=1 SV=3
+MPPAAPARLALALGLGLWLGALAGGPGRGCGPCEPPCLCGPAPGAACRVNCSGRGLRTLGPALRIPADATALDVSHNLLRALDVGLLANLSALAELDISNNKISTLEEGIFANLFNLSEINLSGNPFECDCGLAWLPRWAEEQQVRVVQPEAATCAGPGSLAGQPLLGIPLLDSGCGEEYVACLPDNSSGTVAAVSFSAAHEGLLQPEACSAFCFSTGQGLAALSEQGWCLCGAAQPSSASFACLSLCSGPPPPPAPTCRGPTLLQHVFPASPGATLVGPHGPLASGQLAAFHIAAPLPVTATRWDFGDGSAEVDAAGPAASHRYVLPGRYHVTAVLALGAGSALLGTDVQVEAAPAALELVCPSSVQSDESLDLSIQNRGGSGLEAAYSIVALGEEPARAVHPLCPSDTEIFPGNGHCYRLVVEKAAWLQAQEQCQAWAGAALAMVDSPAVQRFLVSRVTRSLDVWIGFSTVQGVEVGPAPQGEAFSLESCQNWLPGEPHPATAEHCVRLGPTGWCNTDLCSAPHSYVCELQPGGPVQDAENLLVGAPSGDLQGPLTPLAQQDGLSAPHEPVEVMVFPGLRLSREAFLTTAEFGTQELRRPAQLRLQVYRLLSTAGTPENGSEPESRSPDNRTQLAPACMPGGRWCPGANICLPLDASCHPQACANGCTSGPGLPGAPYALWREFLFSVPAGPPAQYSVTLHGQDVLMLPGDLVGLQHDAGPGALLHCSPAPGHPGPRAPYLSANASSWLPHLPAQLEGTWACPACALRLLAATEQLTVLLGLRPNPGLRLPGRYEVRAEVGNGVSRHNLSCSFDVVSPVAGLRVIYPAPRDGRLYVPTNGSALVLQVDSGANATATARWPGGSVSARFENVCPALVATFVPGCPWETNDTLFSVVALPWLSEGEHVVDVVVENSASRANLSLRVTAEEPICGLRATPSPEARVLQGVLVRYSPVVEAGSDMVFRWTINDKQSLTFQNVVFNVIYQSAAVFKLSLTASNHVSNVTVNYNVTVERMNRMQGLQVSTVPAVLSPNATLALTAGVLVDSAVEVAFLWTFGDGEQALHQFQPPYNESFPVPDPSVAQVLVEHNVMHTYAAPGEYLLTVLASNAFENLTQQVPVSVRASLPSVAVGVSDGVLVAGRPVTFYPHPLPSPGGVLYTWDFGDGSPVLTQSQPAANHTYASRGTYHVRLEVNNTVSGAAAQADVRVFEELRGLSVDMSLAVEQGAPVVVSAAVQTGDNITWTFDMGDGTVLSGPEATVEHVYLRAQNCTVTVGAASPAGHLARSLHVLVFVLEVLRVEPAACIPTQPDARLTAYVTGNPAHYLFDWTFGDGSSNTTVRGCPTVTHNFTRSGTFPLALVLSSRVNRAHYFTSICVEPEVGNVTLQPERQFVQLGDEAWLVACAWPPFPYRYTWDFGTEEAAPTRARGPEVTFIYRDPGSYLVTVTASNNISAANDSALVEVQEPVLVTSIKVNGSLGLELQQPYLFSAVGRGRPASYLWDLGDGGWLEGPEVTHAYNSTGDFTVRVAGWNEVSRSEAWLNVTVKRRVRGLVVNASRTVVPLNGSVSFSTSLEAGSDVRYSWVLCDRCTPIPGGPTISYTFRSVGTFNIIVTAENEVGSAQDSIFVYVLQLIEGLQVVGGGRYFPTNHTVQLQAVVRDGTNVSYSWTAWRDRGPALAGSGKGFSLTVLEAGTYHVQLRATNMLGSAWADCTMDFVEPVGWLMVAASPNPAAVNTSVTLSAELAGGSGVVYTWSLEEGLSWETSEPFTTHSFPTPGLHLVTMTAGNPLGSANATVEVDVQVPVSGLSIRASEPGGSFVAAGSSVPFWGQLATGTNVSWCWAVPGGSSKRGPHVTMVFPDAGTFSIRLNASNAVSWVSATYNLTAEEPIVGLVLWASSKVVAPGQLVHFQILLAAGSAVTFRLQVGGANPEVLPGPRFSHSFPRVGDHVVSVRGKNHVSWAQAQVRIVVLEAVSGLQVPNCCEPGIATGTERNFTARVQRGSRVAYAWYFSLQKVQGDSLVILSGRDVTYTPVAAGLLEIQVRAFNALGSENRTLVLEVQDAVQYVALQSGPCFTNRSAQFEAATSPSPRRVAYHWDFGDGSPGQDTDEPRAEHSYLRPGDYRVQVNASNLVSFFVAQATVTVQVLACREPEVDVVLPLQVLMRRSQRNYLEAHVDLRDCVTYQTEYRWEVYRTASCQRPGRPARVALPGVDVSRPRLVLPRLALPVGHYCFVFVVSFGDTPLTQSIQANVTVAPERLVPIIEGGSYRVWSDTRDLVLDGSESYDPNLEDGDQTPLSFHWACVASTQREAGGCALNFGPRGSSTVTIPRERLAAGVEYTFSLTVWKAGRKEEATNQTVLIRSGRVPIVSLECVSCKAQAVYEVSRSSYVYLEGRCLNCSSGSKRGRWAARTFSNKTLVLDETTTSTGSAGMRLVLRRGVLRDGEGYTFTLTVLGRSGEEEGCASIRLSPNRPPLGGSCRLFPLGAVHALTTKVHFECTGWHDAEDAGAPLVYALLLRRCRQGHCEEFCVYKGSLSSYGAVLPPGFRPHFEVGLAVVVQDQLGAAVVALNRSLAITLPEPNGSATGLTVWLHGLTASVLPGLLRQADPQHVIEYSLALVTVLNEYERALDVAAEPKHERQHRAQIRKNITETLVSLRVHTVDDIQQIAAALAQCMGPSRELVCRSCLKQTLHKLEAMMLILQAETTAGTVTPTAIGDSILNITGDLIHLASSDVRAPQPSELGAESPSRMVASQAYNLTSALMRILMRSRVLNEEPLTLAGEEIVAQGKRSDPRSLLCYGGAPGPGCHFSIPEAFSGALANLSDVVQLIFLVDSNPFPFGYISNYTVSTKVASMAFQTQAGAQIPIERLASERAITVKVPNNSDWAARGHRSSANSANSVVVQPQASVGAVVTLDSSNPAAGLHLQLNYTLLDGHYLSEEPEPYLAVYLHSEPRPNEHNCSASRRIRPESLQGADHRPYTFFISPGSRDPAGSYHLNLSSHFRWSALQVSVGLYTSLCQYFSEEDMVWRTEGLLPLEETSPRQAVCLTRHLTAFGASLFVPPSHVRFVFPEPTADVNYIVMLTCAVCLVTYMVMAAILHKLDQLDASRGRAIPFCGQRGRFKYEILVKTGWGRGSGTTAHVGIMLYGVDSRSGHRHLDGDRAFHRNSLDIFRIATPHSLGSVWKIRVWHDNKGLSPAWFLQHVIVRDLQTARSAFFLVNDWLSVETEANGGLVEKEVLAASDAALLRFRRLLVAELQRGFFDKHIWLSIWDRPPRSRFTRIQRATCCVLLICLFLGANAVWYGAVGDSAYSTGHVSRLSPLSVDTVAVGLVSSVVVYPVYLAILFLFRMSRSKVAGSPSPTPAGQQVLDIDSCLDSSVLDSSFLTFSGLHAEQAFVGQMKSDLFLDDSKSLVCWPSGEGTLSWPDLLSDPSIVGSNLRQLARGQAGHGLGPEEDGFSLASPYSPAKSFSASDEDLIQQVLAEGVSSPAPTQDTHMETDLLSSLSSTPGEKTETLALQRLGELGPPSPGLNWEQPQAARLSRTGLVEGLRKRLLPAWCASLAHGLSLLLVAVAVAVSGWVGASFPPGVSVAWLLSSSASFLASFLGWEPLKVLLEALYFSLVAKRLHPDEDDTLVESPAVTPVSARVPRVRPPHGFALFLAKEEARKVKRLHGMLRSLLVYMLFLLVTLLASYGDASCHGHAYRLQSAIKQELHSRAFLAITRSEELWPWMAHVLLPYVHGNQSSPELGPPRLRQVRLQEALYPDPPGPRVHTCSAAGGFSTSDYDVGWESPHNGSGTWAYSAPDLLGAWSWGSCAVYDSGGYVQELGLSLEESRDRLRFLQLHNWLDNRSRAVFLELTRYSPAVGLHAAVTLRLEFPAAGRALAALSVRPFALRRLSAGLSLPLLTSVCLLLFAVHFAVAEARTWHREGRWRVLRLGAWARWLLVALTAATALVRLAQLGAADRQWTRFVRGRPRRFTSFDQVAQLSSAARGLAASLLFLLLVKAAQQLRFVRQWSVFGKTLCRALPELLGVTLGLVVLGVAYAQLAILLVSSCVDSLWSVAQALLVLCPGTGLSTLCPAESWHLSPLLCVGLWALRLWGALRLGAVILRWRYHALRGELYRPAWEPQDYEMVELFLRRLRLWMGLSKVKEFRHKVRFEGMEPLPSRSSRGSKVSPDVPPPSAGSDASHPSTSSSQLDGLSVSLGRLGTRCEPEPSRLQAVFEALLTQFDRLNQATEDVYQLEQQLHSLQGRRSSRAPAGSSRGPSPGLRPALPSRLARASRGVDLATGPSRTPLRAKNKVHPSST
+>DECOY_sp|P98161|PKD1_HUMAN Polycystin-1 OS=Homo sapiens OX=9606 GN=PKD1 PE=1 SV=3
+TSSPHVKNKARLPTRSPGTALDVGRSARALRSPLAPRLGPSPGRSSGAPARSSRRGQLSHLQQELQYVDETAQNLRDFQTLLAEFVAQLRSPEPECRTGLRGLSVSLGDLQSSSTSPHSADSGASPPPVDPSVKSGRSSRSPLPEMGEFRVKHRFEKVKSLGMWLRLRRLFLEVMEYDQPEWAPRYLEGRLAHYRWRLIVAGLRLAGWLRLAWLGVCLLPSLHWSEAPCLTSLGTGPCLVLLAQAVSWLSDVCSSVLLIALQAYAVGLVVLGLTVGLLEPLARCLTKGFVSWQRVFRLQQAAKVLLLFLLSAALGRAASSLQAVQDFSTFRRPRGRVFRTWQRDAAGLQALRVLATAATLAVLLWRAWAGLRLVRWRGERHWTRAEAVAFHVAFLLLCVSTLLPLSLGASLRRLAFPRVSLAALARGAAPFELRLTVAAHLGVAPSYRTLELFVARSRNDLWNHLQLFRLRDRSEELSLGLEQVYGGSDYVACSGWSWAGLLDPASYAWTGSGNHPSEWGVDYDSTSFGGAASCTHVRPGPPDPYLAEQLRVQRLRPPGLEPSSQNGHVYPLLVHAMWPWLEESRTIALFARSHLEQKIASQLRYAHGHCSADGYSALLTVLLFLMYVLLSRLMGHLRKVKRAEEKALFLAFGHPPRVRPVRASVPTVAPSEVLTDDEDPHLRKAVLSFYLAELLVKLPEWGLFSALFSASSSLLWAVSVGPPFSAGVWGSVAVAVAVLLLSLGHALSACWAPLLRKRLGEVLGTRSLRAAQPQEWNLGPSPPGLEGLRQLALTETKEGPTSSLSSLLDTEMHTDQTPAPSSVGEALVQQILDEDSASFSKAPSYPSALSFGDEEPGLGHGAQGRALQRLNSGVISPDSLLDPWSLTGEGSPWCVLSKSDDLFLDSKMQGVFAQEAHLGSFTLFSSDLVSSDLCSDIDLVQQGAPTPSPSGAVKSRSMRFLFLIALYVPYVVVSSVLGVAVTDVSLPSLRSVHGTSYASDGVAGYWVANAGLFLCILLVCCTARQIRTFRSRPPRDWISLWIHKDFFGRQLEAVLLRRFRLLAADSAALVEKEVLGGNAETEVSLWDNVLFFASRATQLDRVIVHQLFWAPSLGKNDHWVRIKWVSGLSHPTAIRFIDLSNRHFARDGDLHRHGSRSDVGYLMIGVHATTGSGRGWGTKVLIEYKFRGRQGCFPIARGRSADLQDLKHLIAAMVMYTVLCVACTLMVIYNVDATPEPFVFRVHSPPVFLSAGFATLHRTLCVAQRPSTEELPLLGETRWVMDEESFYQCLSTYLGVSVQLASWRFHSSLNLHYSGAPDRSGPSIFFTYPRHDAGQLSEPRIRRSASCNHENPRPESHLYVALYPEPEESLYHGDLLTYNLQLHLGAAPNSSDLTVVAGVSAQPQVVVSNASNASSRHGRAAWDSNNPVKVTIARESALREIPIQAGAQTQFAMSAVKTSVTYNSIYGFPFPNSDVLFILQVVDSLNALAGSFAEPISFHCGPGPAGGYCLLSRPDSRKGQAVIEEGALTLPEENLVRSRMLIRMLASTLNYAQSAVMRSPSEAGLESPQPARVDSSALHILDGTINLISDGIATPTVTGATTEAQLILMMAELKHLTQKLCSRCVLERSPGMCQALAAAIQQIDDVTHVRLSVLTETINKRIQARHQREHKPEAAVDLAREYENLVTVLALSYEIVHQPDAQRLLGPLVSATLGHLWVTLGTASGNPEPLTIALSRNLAVVAAGLQDQVVVALGVEFHPRFGPPLVAGYSSLSGKYVCFEECHGQRCRRLLLAYVLPAGADEADHWGTCEFHVKTTLAHVAGLPFLRCSGGLPPRNPSLRISACGEEEGSRGLVTLTFTYGEGDRLVGRRLVLRMGASGTSTTTEDLVLTKNSFTRAAWRGRKSGSSCNLCRGELYVYSSRSVEYVAQAKCSVCELSVIPVRGSRILVTQNTAEEKRGAKWVTLSFTYEVGAALRERPITVTSSGRPGFNLACGGAERQTSAVCAWHFSLPTQDGDELNPDYSESGDLVLDRTDSWVRYSGGEIIPVLREPAVTVNAQISQTLPTDGFSVVFVFCYHGVPLALRPLVLRPRSVDVGPLAVRAPRGPRQCSATRYVEWRYETQYTVCDRLDVHAELYNRQSRRMLVQLPLVVDVEPERCALVQVTVTAQAVFFSVLNSANVQVRYDGPRLYSHEARPEDTDQGPSGDGFDWHYAVRRPSPSTAAEFQASRNTFCPGSQLAVYQVADQVELVLTRNESGLANFARVQIELLGAAVPTYTVDRGSLIVLSDGQVKQLSFYWAYAVRSGRQVRATFNRETGTAIGPECCNPVQLGSVAELVVIRVQAQAWSVHNKGRVSVVHDGVRPFSHSFRPGPLVEPNAGGVQLRFTVASGAALLIQFHVLQGPAVVKSSAWLVLGVIPEEATLNYTASVWSVANSANLRISFTGADPFVMTVHPGRKSSGGPVAWCWSVNTGTALQGWFPVSSGAAVFSGGPESARISLGSVPVQVDVEVTANASGLPNGATMTVLHLGPTPFSHTTFPESTEWSLGEELSWTYVVGSGGALEASLTVSTNVAAPNPSAAVMLWGVPEVFDMTCDAWASGLMNTARLQVHYTGAELVTLSFGKGSGALAPGRDRWATWSYSVNTGDRVVAQLQVTHNTPFYRGGGVVQLGEILQLVYVFISDQASGVENEATVIINFTGVSRFTYSITPGGPIPTCRDCLVWSYRVDSGAELSTSFSVSGNLPVVTRSANVVLGRVRRKVTVNLWAESRSVENWGAVRVTFDGTSNYAHTVEPGELWGGDGLDWLYSAPRGRGVASFLYPQQLELGLSGNVKISTVLVPEQVEVLASDNAASINNSATVTVLYSGPDRYIFTVEPGRARTPAAEETGFDWTYRYPFPPWACAVLWAEDGLQVFQREPQLTVNGVEPEVCISTFYHARNVRSSLVLALPFTGSRTFNHTVTPCGRVTTNSSGDGFTWDFLYHAPNGTVYATLRADPQTPICAAPEVRLVELVFVLVHLSRALHGAPSAAGVTVTCNQARLYVHEVTAEPGSLVTGDGMDFTWTINDGTQVAASVVVPAGQEVALSMDVSLGRLEEFVRVDAQAAAGSVTNNVELRVHYTGRSAYTHNAAPQSQTLVPSGDGFDWTYLVGGPSPLPHPYFTVPRGAVLVGDSVGVAVSPLSARVSVPVQQTLNEFANSALVTLLYEGPAAYTHMVNHEVLVQAVSPDPVPFSENYPPQFQHLAQEGDGFTWLFAVEVASDVLVGATLALTANPSLVAPVTSVQLGQMRNMREVTVNYNVTVNSVHNSATLSLKFVAASQYIVNFVVNQFTLSQKDNITWRFVMDSGAEVVPSYRVLVGQLVRAEPSPTARLGCIPEEATVRLSLNARSASNEVVVDVVHEGESLWPLAVVSFLTDNTEWPCGPVFTAVLAPCVNEFRASVSGGPWRATATANAGSDVQLVLASGNTPVYLRGDRPAPYIVRLGAVPSVVDFSCSLNHRSVGNGVEARVEYRGPLRLGPNPRLGLLVTLQETAALLRLACAPCAWTGELQAPLHPLWSSANASLYPARPGPHGPAPSCHLLAGPGADHQLGVLDGPLMLVDQGHLTVSYQAPPGAPVSFLFERWLAYPAGPLGPGSTCGNACAQPHCSADLPLCINAGPCWRGGPMCAPALQTRNDPSRSEPESGNEPTGATSLLRYVQLRLQAPRRLEQTGFEATTLFAERSLRLGPFVMVEVPEHPASLGDQQALPTLPGQLDGSPAGVLLNEADQVPGGPQLECVYSHPASCLDTNCWGTPGLRVCHEATAPHPEGPLWNQCSELSFAEGQPAPGVEVGQVTSFGIWVDLSRTVRSVLFRQVAPSDVMALAAGAWAQCQEQAQLWAAKEVVLRYCHGNGPFIETDSPCLPHVARAPEEGLAVISYAAELGSGGRNQISLDLSEDSQVSSPCVLELAAPAAEVQVDTGLLASGAGLALVATVHYRGPLVYRHSAAPGAADVEASGDGFDWRTATVPLPAAIHFAALQGSALPGHPGVLTAGPSAPFVHQLLTPGRCTPAPPPPPGSCLSLCAFSASSPQAAGCLCWGQESLAALGQGTSFCFASCAEPQLLGEHAASFSVAAVTGSSNDPLCAVYEEGCGSDLLPIGLLPQGALSGPGACTAAEPQVVRVQQEEAWRPLWALGCDCEFPNGSLNIESLNFLNAFIGEELTSIKNNSIDLEALASLNALLGVDLARLLNHSVDLATADAPIRLAPGLTRLGRGSCNVRCAAGPAPGCLCPPECPGCGRGPGGALAGLWLGLGLALALRAPAAPPM
+>sp|Q9HB21|PKHA1_HUMAN Pleckstrin homology domain-containing family A member 1 OS=Homo sapiens OX=9606 GN=PLEKHA1 PE=1 SV=2
+MPYVDRQNRICGFLDIEENENSGKFLRRYFILDTREDSFVWYMDNPQNLPSGSSRVGAIKLTYISKVSDATKLRPKAEFCFVMNAGMRKYFLQANDQQDLVEWVNVLNKAIKITVPKQSDSQPNSDNLSRHGECGKKQVSYRTDIVGGVPIITPTQKEEVNECGESIDRNNLKRSQSHLPYFTPKPPQDSAVIKAGYCVKQGAVMKNWKRRYFQLDENTIGYFKSELEKEPLRVIPLKEVHKVQECKQSDIMMRDNLFEIVTTSRTFYVQADSPEEMHSWIKAVSGAIVAQRGPGRSASSEHPPGPSESKHAFRPTNAATATSHSTASRSNSLVSTFTMEKRGFYESLAKVKPGNFKVQTVSPREPASKVTEQALLRPQSKNGPQEKDCDLVDLDDASLPVSDV
+>DECOY_sp|Q9HB21|PKHA1_HUMAN Pleckstrin homology domain-containing family A member 1 OS=Homo sapiens OX=9606 GN=PLEKHA1 PE=1 SV=2
+VDSVPLSADDLDVLDCDKEQPGNKSQPRLLAQETVKSAPERPSVTQVKFNGPKVKALSEYFGRKEMTFTSVLSNSRSATSHSTATAANTPRFAHKSESPGPPHESSASRGPGRQAVIAGSVAKIWSHMEEPSDAQVYFTRSTTVIEFLNDRMMIDSQKCEQVKHVEKLPIVRLPEKELESKFYGITNEDLQFYRRKWNKMVAGQKVCYGAKIVASDQPPKPTFYPLHSQSRKLNNRDISEGCENVEEKQTPTIIPVGGVIDTRYSVQKKGCEGHRSLNDSNPQSDSQKPVTIKIAKNLVNVWEVLDQQDNAQLFYKRMGANMVFCFEAKPRLKTADSVKSIYTLKIAGVRSSGSPLNQPNDMYWVFSDERTDLIFYRRLFKGSNENEEIDLFGCIRNQRDVYPM
+>sp|Q9H4M7|PKHA4_HUMAN Pleckstrin homology domain-containing family A member 4 OS=Homo sapiens OX=9606 GN=PLEKHA4 PE=1 SV=2
+MEGSRPRSSLSLASSASTISSLSSLSPKKPTRAVNKIHAFGKRGNALRRDPNLPVHIRGWLHKQDSSGLRLWKRRWFVLSGHCLFYYKDSREESVLGSVLLPSYNIRPDGPGAPRGRRFTFTAEHPGMRTYVLAADTLEDLRGWLRALGRASRAEGDDYGQPRSPARPQPGEGPGGPGGPPEVSRGEEGRISESPEVTRLSRGRGRPRLLTPSPTTDLHSGLQMRRARSPDLFTPLSRPPSPLSLPRPRSAPARRPPAPSGDTAPPARPHTPLSRIDVRPPLDWGPQRQTLSRPPTPRRGPPSEAGGGKPPRSPQHWSQEPRTQAHSGSPTYLQLPPRPPGTRASMVLLPGPPLESTFHQSLETDTLLTKLCGQDRLLRRLQEEIDQKQEEKEQLEAALELTRQQLGQATREAGAPGRAWGRQRLLQDRLVSVRATLCHLTQERERVWDTYSGLEQELGTLRETLEYLLHLGSPQDRVSAQQQLWMVEDTLAGLGGPQKPPPHTEPDSPSPVLQGEESSERESLPESLELSSPRSPETDWGRPPGGDKDLASPHLGLGSPRVSRASSPEGRHLPSPQLGTKAPVARPRMSAQEQLERMRRNQECGRPFPRPTSPRLLTLGRTLSPARRQPDVEQRPVVGHSGAQKWLRSSGSWSSPRNTTPYLPTSEGHRERVLSLSQALATEASQWHRMMTGGNLDSQGDPLPGVPLPPSDPTRQETPPPRSPPVANSGSTGFSRRGSGRGGGPTPWGPAWDAGIAPPVLPQDEGAWPLRVTLLQSSF
+>DECOY_sp|Q9H4M7|PKHA4_HUMAN Pleckstrin homology domain-containing family A member 4 OS=Homo sapiens OX=9606 GN=PLEKHA4 PE=1 SV=2
+FSSQLLTVRLPWAGEDQPLVPPAIGADWAPGWPTPGGGRGSGRRSFGTSGSNAVPPSRPPPTEQRTPDSPPLPVGPLPDGQSDLNGGTMMRHWQSAETALAQSLSLVRERHGESTPLYPTTNRPSSWSGSSRLWKQAGSHGVVPRQEVDPQRRAPSLTRGLTLLRPSTPRPFPRGCEQNRRMRELQEQASMRPRAVPAKTGLQPSPLHRGEPSSARSVRPSGLGLHPSALDKDGGPPRGWDTEPSRPSSLELSEPLSERESSEEGQLVPSPSDPETHPPPKQPGGLGALTDEVMWLQQQASVRDQPSGLHLLYELTERLTGLEQELGSYTDWVREREQTLHCLTARVSVLRDQLLRQRGWARGPAGAERTAQGLQQRTLELAAELQEKEEQKQDIEEQLRRLLRDQGCLKTLLTDTELSQHFTSELPPGPLLVMSARTGPPRPPLQLYTPSGSHAQTRPEQSWHQPSRPPKGGGAESPPGRRPTPPRSLTQRQPGWDLPPRVDIRSLPTHPRAPPATDGSPAPPRRAPASRPRPLSLPSPPRSLPTFLDPSRARRMQLGSHLDTTPSPTLLRPRGRGRSLRTVEPSESIRGEEGRSVEPPGGPGGPGEGPQPRAPSRPQGYDDGEARSARGLARLWGRLDELTDAALVYTRMGPHEATFTFRRGRPAGPGDPRINYSPLLVSGLVSEERSDKYYFLCHGSLVFWRRKWLRLGSSDQKHLWGRIHVPLNPDRRLANGRKGFAHIKNVARTPKKPSLSSLSSITSASSALSLSSRPRSGEM
+>sp|Q9Y2H5|PKHA6_HUMAN Pleckstrin homology domain-containing family A member 6 OS=Homo sapiens OX=9606 GN=PLEKHA6 PE=1 SV=4
+MSNKTGGKRPATTNSDIPNHNMVSEVPPERPSVRATRTARKAVAFGKRSHSMKRNPNAPVTKAGWLFKQASSGVKQWNKRWFVLVDRCLFYYKDEKEESILGSIPLLSFRVAAVQPSDNISRKHTFKAEHAGVRTYFFSAESPEEQEAWIQAMGEAARVQIPPAQKSVPQAVRHSHEKPDSENVPPSKHHQQPPHNSLPKPEPEAKTRGEGDGRGCEKAERRPERPEVKKEPPVKANGLPAGPEPASEPGSPYPEGPRVPGGGEQPAQPNGWQYHSPSRPGSTAFPSQDGETGGHRRSFPPRTNPDKIAQRKSSMNQLQQWVNLRRGVPPPEDLRSPSRFYPVSRRVPEYYGPYSSQYPDDYQYYPPGVRPESICSMPAYDRISPPWALEDKRHAFRNGGGPAYQLREWKEPASYGRQDATVWIPSPSRQPVYYDELDAASSSLRRLSLQPRSHSVPRSPSQGSYSRARIYSPVRSPSARFERLPPRSEDIYADPAAYVMRRSISSPKVPPYPEVFRDSLHTYKLNEQDTDKLLGKLCEQNKVVREQDRLVQQLRAEKESLESALMGTHQELEMFGSQPAYPEKLRHKKDSLQNQLINIRVELSQATTALTNSTIEYEHLESEVSALHDDLWEQLNLDTQNEVLNRQIQKEIWRIQDVMEGLRKNNPSRGTDTAKHRGGLGPSATYSSNSPASPLSSASLTSPLSPFSLVSGSQGSPTKPGSNEPKANYEQSKKDPHQTLPLDTPRDISLVPTRQEVEAEKQAALNKVGVVPPRTKSPTDDEVTPSAVVRRNASGLTNGLSSQERPKSAVFPGEGKVKMSVEEQIDRMRRHQSGSMREKRRSLQLPASPAPDPSPRPAYKVVRRHRSIHEVDISNLEAALRAEEPGGHAYETPREEIARLRKMELEPQHYDVDINKELSTPDKVLIPERYIDLEPDTPLSPEELKEKQKKVERIKTLIAKSSMQNVVPIGEGDSVDVPQDSESQLQEQEKRIEISCALATEASRRGRMLSVQCATPSPPTSPASPAPPANPLSSESPRGADSSYTMRV
+>DECOY_sp|Q9Y2H5|PKHA6_HUMAN Pleckstrin homology domain-containing family A member 6 OS=Homo sapiens OX=9606 GN=PLEKHA6 PE=1 SV=4
+VRMTYSSDAGRPSESSLPNAPPAPSAPSTPPSPTACQVSLMRGRRSAETALACSIEIRKEQEQLQSESDQPVDVSDGEGIPVVNQMSSKAILTKIREVKKQKEKLEEPSLPTDPELDIYREPILVKDPTSLEKNIDVDYHQPELEMKRLRAIEERPTEYAHGGPEEARLAAELNSIDVEHISRHRRVVKYAPRPSPDPAPSAPLQLSRRKERMSGSQHRRMRDIQEEVSMKVKGEGPFVASKPREQSSLGNTLGSANRRVVASPTVEDDTPSKTRPPVVGVKNLAAQKEAEVEQRTPVLSIDRPTDLPLTQHPDKKSQEYNAKPENSGPKTPSGQSGSVLSFPSLPSTLSASSLPSAPSNSSYTASPGLGGRHKATDTGRSPNNKRLGEMVDQIRWIEKQIQRNLVENQTDLNLQEWLDDHLASVESELHEYEITSNTLATTAQSLEVRINILQNQLSDKKHRLKEPYAPQSGFMELEQHTGMLASELSEKEARLQQVLRDQERVVKNQECLKGLLKDTDQENLKYTHLSDRFVEPYPPVKPSSISRRMVYAAPDAYIDESRPPLREFRASPSRVPSYIRARSYSGQSPSRPVSHSRPQLSLRRLSSSAADLEDYYVPQRSPSPIWVTADQRGYSAPEKWERLQYAPGGGNRFAHRKDELAWPPSIRDYAPMSCISEPRVGPPYYQYDDPYQSSYPGYYEPVRRSVPYFRSPSRLDEPPPVGRRLNVWQQLQNMSSKRQAIKDPNTRPPFSRRHGGTEGDQSPFATSGPRSPSHYQWGNPQAPQEGGGPVRPGEPYPSGPESAPEPGAPLGNAKVPPEKKVEPREPRREAKECGRGDGEGRTKAEPEPKPLSNHPPQQHHKSPPVNESDPKEHSHRVAQPVSKQAPPIQVRAAEGMAQIWAEQEEPSEASFFYTRVGAHEAKFTHKRSINDSPQVAAVRFSLLPISGLISEEKEDKYYFLCRDVLVFWRKNWQKVGSSAQKFLWGAKTVPANPNRKMSHSRKGFAVAKRATRTARVSPREPPVESVMNHNPIDSNTTAPRKGGTKNSM
+>sp|Q6IQ23|PKHA7_HUMAN Pleckstrin homology domain-containing family A member 7 OS=Homo sapiens OX=9606 GN=PLEKHA7 PE=1 SV=2
+MAAATVGRDTLPEHWSYGVCRDGRVFFINDQLRCTTWLHPRTGEPVNSGHMIRSDLPRGWEEGFTEEGASYFIDHNQQTTAFRHPVTGQFSPENSEFILQEEPNPHMSKQDRNQRPSSMVSETSTAGTASTLEAKPGPKIIKSSSKVHSFGKRDQAIRRNPNVPVVVRGWLHKQDSSGMRLWKRRWFVLADYCLFYYKDSREEAVLGSIPLPSYVISPVAPEDRISRKYSFKAVHTGMRALIYNSSTAGSQAEQSGMRTYYFSADTQEDMNAWVRAMNQAAQVLSRSSLKRDMEKVERQAVPQANHTESCHECGRVGPGHTRDCPHRGHDDIVNFERQEQEGEQYRSQRDPLEGKRDRSKARSPYSPAEEDALFMDLPTGPRGQQAQPQRAEKNGMLPASYGPGEQNGTGGYQRAFPPRTNPEKHSQRKSNLAQVEHWARAQKGDSRSLPLDQTLPRQGPGQSLSFPENYQTLPKSTRHPSGGSSPPPRNLPSDYKYAQDRASHLKMSSEERRAHRDGTVWQLYEWQQRQQFRHGSPTAPICLGSPEFTDQGRSRSMLEVPRSISVPPSPSDIPPPGPPRVFPPRRPHTPAERVTVKPPDQRRSVDISLGDSPRRARGHAVKNSSHVDRRSMPSMGYMTHTVSAPSLHGKSADDTYLQLKKDLEYLDLKMTGRDLLKDRSLKPVKIAESDTDVKLSIFCEQDRVLQDLEDKIRALKENKDQLESVLEVLHRQMEQYRDQPQHLEKIAYQQKLLQEDLVHIRAELSRESTEMENAWNEYLKLENDVEQLKQTLQEQHRRAFFFQEKSQIQKDLWRIEDVTAGLSANKENFRILVESVKNPERKTVPLFPHPPVPSLSTSESKPPPQPSPPTSPVRTPLEVRLFPQLQTYVPYRPHPPQLRKVTSPLQSPTKAKPKVEDEAPPRPPLPELYSPEDQPPAVPPLPREATIIRHTSVRGLKRQSDERKRDRELGQCVNGDSRVELRSYVSEPELATLSGDMAQPSLGLVGPESRYQTLPGRGLSGSTSRLQQSSTIAPYVTLRRGLNAESSKATFPRPKSALERLYSGDHQRGKMSAEEQLERMKRHQKALVRERKRTLGQGERTGLPSSRYLSRPLPGDLGSVC
+>DECOY_sp|Q6IQ23|PKHA7_HUMAN Pleckstrin homology domain-containing family A member 7 OS=Homo sapiens OX=9606 GN=PLEKHA7 PE=1 SV=2
+CVSGLDGPLPRSLYRSSPLGTREGQGLTRKRERVLAKQHRKMRELQEEASMKGRQHDGSYLRELASKPRPFTAKSSEANLGRRLTVYPAITSSQQLRSTSGSLGRGPLTQYRSEPGVLGLSPQAMDGSLTALEPESVYSRLEVRSDGNVCQGLERDRKREDSQRKLGRVSTHRIITAERPLPPVAPPQDEPSYLEPLPPRPPAEDEVKPKAKTPSQLPSTVKRLQPPHPRYPVYTQLQPFLRVELPTRVPSTPPSPQPPPKSESTSLSPVPPHPFLPVTKREPNKVSEVLIRFNEKNASLGATVDEIRWLDKQIQSKEQFFFARRHQEQLTQKLQEVDNELKLYENWANEMETSERSLEARIHVLDEQLLKQQYAIKELHQPQDRYQEMQRHLVELVSELQDKNEKLARIKDELDQLVRDQECFISLKVDTDSEAIKVPKLSRDKLLDRGTMKLDLYELDKKLQLYTDDASKGHLSPASVTHTMYGMSPMSRRDVHSSNKVAHGRARRPSDGLSIDVSRRQDPPKVTVREAPTHPRRPPFVRPPGPPPIDSPSPPVSISRPVELMSRSRGQDTFEPSGLCIPATPSGHRFQQRQQWEYLQWVTGDRHARREESSMKLHSARDQAYKYDSPLNRPPPSSGGSPHRTSKPLTQYNEPFSLSQGPGQRPLTQDLPLSRSDGKQARAWHEVQALNSKRQSHKEPNTRPPFARQYGGTGNQEGPGYSAPLMGNKEARQPQAQQGRPGTPLDMFLADEEAPSYPSRAKSRDRKGELPDRQSRYQEGEQEQREFNVIDDHGRHPCDRTHGPGVRGCEHCSETHNAQPVAQREVKEMDRKLSSRSLVQAAQNMARVWANMDEQTDASFYYTRMGSQEAQSGATSSNYILARMGTHVAKFSYKRSIRDEPAVPSIVYSPLPISGLVAEERSDKYYFLCYDALVFWRRKWLRMGSSDQKHLWGRVVVPVNPNRRIAQDRKGFSHVKSSSKIIKPGPKAELTSATGATSTESVMSSPRQNRDQKSMHPNPEEQLIFESNEPSFQGTVPHRFATTQQNHDIFYSAGEETFGEEWGRPLDSRIMHGSNVPEGTRPHLWTTCRLQDNIFFVRGDRCVGYSWHEPLTDRGVTAAAM
+>sp|Q58EX7|PKHG4_HUMAN Puratrophin-1 OS=Homo sapiens OX=9606 GN=PLEKHG4 PE=1 SV=1
+MERPLENGDESPDSQGHATDWRFAVCSFRDAWEEEEPASQMHVKDPGPPRPPAGATQDEELQGSPLSRKFQLPPAADESGDAQRGTVESSSVLSEGPGPSGVESLLCPMSSHLSLAQGESDTPGVGLVGDPGPSRAMPSGLSPGALDSDPVGLGDPLSEISKLLEAAPSGSGLPKPADCLLAQDLCWELLASGMATLPGTRDVQGRAVLLLCAHSPAWLQSECSSQELIRLLLYLRSIPRPEVQALGLTVLVDARICAPSSSLFSGLSQLQEAAPGAVYQVLLVGSTLLKEVPSGLQLEQLPSQSLLTHIPTAGLPTSLGGGLPYCHQAWLDFRRRLEALLQNCQAACALLQGAIESVKAVPQPMEPGEVGQLLQQTEVLMQQVLDSPWLAWLQCQGGRELTWLKQEVPEVTLSPDYRTAMDKADELYDRVDGLLHQLTLQSNQRIQALELVQTLEARESGLHQIEVWLQQVGWPALEEAGEPSLDMLLQAQGSFQELYQVAQEQVRQGEKFLQPLTGWEAAELDPPGARFLALRAQLTEFSRALAQRCQRLADAERLFQLFREALTWAEEGQRVLAELEQERPGVVLQQLQLHWTRHPDLPPAHFRKMWALATGLGSEAIRQECRWAWARCQDTWLALDQKLEASLKLPPVGSTASLCVSQVPAAPAHPPLRKAYSFDRNLGQSLSEPACHCHHAATIAACRRPEAGGGALPQASPTVPPPGSSDPRSLNRLQLVLAEMVATEREYVRALEYTMENYFPELDRPDVPQGLRGQRAHLFGNLEKLRDFHCHFFLRELEACTRHPPRVAYAFLRHRVQFGMYALYSKNKPRSDALMSSYGHTFFKDKQQALGDHLDLASYLLKPIQRMGKYALLLQELARACGGPTQELSALREAQSLVHFQLRHGNDLLAMDAIQGCDVNLKEQGQLVRQDEFVVRTGRHKSVRRIFLFEELLLFSKPRHGPTGVDTFAYKRSFKMADLGLTECCGNSNLRFEIWFRRRKARDTFVLQASSLAIKQAWTADISHLLWRQAVHNKEVRMAEMVSMGVGNKAFRDIAPSEEAINDRTVNYVLKCREVRSRASIAVAPFDHDSLYLGASNSLPGDPASCSVLGSLNLHLYRDPALLGLRCPLYPSFPEEAALEAEAELGGQPSLTAEDSEISSQCPSASGSSGSDSSCVSGQALGRGLEDLPCV
+>DECOY_sp|Q58EX7|PKHG4_HUMAN Puratrophin-1 OS=Homo sapiens OX=9606 GN=PLEKHG4 PE=1 SV=1
+VCPLDELGRGLAQGSVCSSDSGSSGSASPCQSSIESDEATLSPQGGLEAEAELAAEEPFSPYLPCRLGLLAPDRYLHLNLSGLVSCSAPDGPLSNSAGLYLSDHDFPAVAISARSRVERCKLVYNVTRDNIAEESPAIDRFAKNGVGMSVMEAMRVEKNHVAQRWLLHSIDATWAQKIALSSAQLVFTDRAKRRRFWIEFRLNSNGCCETLGLDAMKFSRKYAFTDVGTPGHRPKSFLLLEEFLFIRRVSKHRGTRVVFEDQRVLQGQEKLNVDCGQIADMALLDNGHRLQFHVLSQAERLASLEQTPGGCARALEQLLLAYKGMRQIPKLLYSALDLHDGLAQQKDKFFTHGYSSMLADSRPKNKSYLAYMGFQVRHRLFAYAVRPPHRTCAELERLFFHCHFDRLKELNGFLHARQGRLGQPVDPRDLEPFYNEMTYELARVYERETAVMEALVLQLRNLSRPDSSGPPPVTPSAQPLAGGGAEPRRCAAITAAHHCHCAPESLSQGLNRDFSYAKRLPPHAPAAPVQSVCLSATSGVPPLKLSAELKQDLALWTDQCRAWAWRCEQRIAESGLGTALAWMKRFHAPPLDPHRTWHLQLQQLVVGPREQELEALVRQGEEAWTLAERFLQFLREADALRQCRQALARSFETLQARLALFRAGPPDLEAAEWGTLPQLFKEGQRVQEQAVQYLEQFSGQAQLLMDLSPEGAEELAPWGVQQLWVEIQHLGSERAELTQVLELAQIRQNSQLTLQHLLGDVRDYLEDAKDMATRYDPSLTVEPVEQKLWTLERGGQCQLWALWPSDLVQQMLVETQQLLQGVEGPEMPQPVAKVSEIAGQLLACAAQCNQLLAELRRRFDLWAQHCYPLGGGLSTPLGATPIHTLLSQSPLQELQLGSPVEKLLTSGVLLVQYVAGPAAEQLQSLGSFLSSSPACIRADVLVTLGLAQVEPRPISRLYLLLRILEQSSCESQLWAPSHACLLLVARGQVDRTGPLTAMGSALLEWCLDQALLCDAPKPLGSGSPAAELLKSIESLPDGLGVPDSDLAGPSLGSPMARSPGPDGVLGVGPTDSEGQALSLHSSMPCLLSEVGSPGPGESLVSSSEVTGRQADGSEDAAPPLQFKRSLPSGQLEEDQTAGAPPRPPGPDKVHMQSAPEEEEWADRFSCVAFRWDTAHGQSDPSEDGNELPREM
+>sp|O94827|PKHG5_HUMAN Pleckstrin homology domain-containing family G member 5 OS=Homo sapiens OX=9606 GN=PLEKHG5 PE=1 SV=3
+MDDQSPAEKKGLRCQNPACMDKGRAAKVCHHADCQQLHRRGPLNLCEACDSKFHSTMHYDGHVRFDLPPQGSVLARNVSTRSCPPRTSPAVDLEEEEEESSVDGKGDRKSTGLKLSKKKARRRHTDDPSKECFTLKFDLNVDIETEIVPAMKKKSLGEVLLPVFERKGIALGKVDIYLDQSNTPLSLTFEAYRFGGHYLRVKAPAKPGDEGKVEQGMKDSKSLSLPILRPAGTGPPALERVDAQSRRESLDILAPGRRRKNMSEFLGEASIPGQEPPTPSSCSLPSGSSGSTNTGDSWKNRAASRFSGFFSSGPSTSAFGREVDKMEQLEGKLHTYSLFGLPRLPRGLRFDHDSWEEEYDEDEDEDNACLRLEDSWRELIDGHEKLTRRQCHQQEAVWELLHTEASYIRKLRVIINLFLCCLLNLQESGLLCEVEAERLFSNIPEIAQLHRRLWASVMAPVLEKARRTRALLQPGDFLKGFKMFGSLFKPYIRYCMEEEGCMEYMRGLLRDNDLFRAYITWAEKHPQCQRLKLSDMLAKPHQRLTKYPLLLKSVLRKTEEPRAKEAVVAMIGSVERFIHHVNACMRQRQERQRLAAVVSRIDAYEVVESSSDEVDKLLKEFLHLDLTAPIPGASPEETRQLLLEGSLRMKEGKDSKMDVYCFLFTDLLLVTKAVKKAERTRVIRPPLLVDKIVCRELRDPGSFLLIYLNEFHSAVGAYTFQASGQALCRGWVDTIYNAQNQLQQLRAQEPPGSQQPLQSLEEEEDEQEEEEEEEEEEEEGEDSGTSAASSPTIMRKSSGSPDSQHCASDGSTETLAMVVVEPGDTLSSPEFDSGPFSSQSDETSLSTTASSATPTSELLPLGPVDGRSCSMDSAYGTLSPTSLQDFVAPGPMAELVPRAPESPRVPSPPPSPRLRRRTPVQLLSCPPHLLKSKSEASLLQLLAGAGTHGTPSAPSRSLSELCLAVPAPGIRTQGSPQEAGPSWDCRGAPSPGSGPGLVGCLAGEPAGSHRKRCGDLPSGASPRVQPEPPPGVSAQHRKLTLAQLYRIRTTLLLNSTLTASEV
+>DECOY_sp|O94827|PKHG5_HUMAN Pleckstrin homology domain-containing family G member 5 OS=Homo sapiens OX=9606 GN=PLEKHG5 PE=1 SV=3
+VESATLTSNLLLTTRIRYLQALTLKRHQASVGPPPEPQVRPSAGSPLDGCRKRHSGAPEGALCGVLGPGSGPSPAGRCDWSPGAEQPSGQTRIGPAPVALCLESLSRSPASPTGHTGAGALLQLLSAESKSKLLHPPCSLLQVPTRRRLRPSPPPSPVRPSEPARPVLEAMPGPAVFDQLSTPSLTGYASDMSCSRGDVPGLPLLESTPTASSATTSLSTEDSQSSFPGSDFEPSSLTDGPEVVVMALTETSGDSACHQSDPSGSSKRMITPSSAASTGSDEGEEEEEEEEEEEEQEDEEEELSQLPQQSGPPEQARLQQLQNQANYITDVWGRCLAQGSAQFTYAGVASHFENLYILLFSGPDRLERCVIKDVLLPPRIVRTREAKKVAKTVLLLDTFLFCYVDMKSDKGEKMRLSGELLLQRTEEPSAGPIPATLDLHLFEKLLKDVEDSSSEVVEYADIRSVVAALRQREQRQRMCANVHHIFREVSGIMAVVAEKARPEETKRLVSKLLLPYKTLRQHPKALMDSLKLRQCQPHKEAWTIYARFLDNDRLLGRMYEMCGEEEMCYRIYPKFLSGFMKFGKLFDGPQLLARTRRAKELVPAMVSAWLRRHLQAIEPINSFLREAEVECLLGSEQLNLLCCLFLNIIVRLKRIYSAETHLLEWVAEQQHCQRRTLKEHGDILERWSDELRLCANDEDEDEDYEEEWSDHDFRLGRPLRPLGFLSYTHLKGELQEMKDVERGFASTSPGSSFFGSFRSAARNKWSDGTNTSGSSGSPLSCSSPTPPEQGPISAEGLFESMNKRRRGPALIDLSERRSQADVRELAPPGTGAPRLIPLSLSKSDKMGQEVKGEDGPKAPAKVRLYHGGFRYAEFTLSLPTNSQDLYIDVKGLAIGKREFVPLLVEGLSKKKMAPVIETEIDVNLDFKLTFCEKSPDDTHRRRAKKKSLKLGTSKRDGKGDVSSEEEEEELDVAPSTRPPCSRTSVNRALVSGQPPLDFRVHGDYHMTSHFKSDCAECLNLPGRRHLQQCDAHHCVKAARGKDMCAPNQCRLGKKEAPSQDDM
+>sp|Q9Y446|PKP3_HUMAN Plakophilin-3 OS=Homo sapiens OX=9606 GN=PKP3 PE=1 SV=1
+MQDGNFLLSALQPEAGVCSLALPSDLQLDRRGAEGPEAERLRAARVQEQVRARLLQLGQQPRHNGAAEPEPEAETARGTSRGQYHTLQAGFSSRSQGLSGDKTSGFRPIAKPAYSPASWSSRSAVDLSCSRRLSSAHNGGSAFGAAGYGGAQPTPPMPTRPVSFHERGGVGSRADYDTLSLRSLRLGPGGLDDRYSLVSEQLEPAATSTYRAFAYERQASSSSSRAGGLDWPEATEVSPSRTIRAPAVRTLQRFQSSHRSRGVGGAVPGAVLEPVARAPSVRSLSLSLADSGHLPDVHGFNSYGSHRTLQRLSSGFDDIDLPSAVKYLMASDPNLQVLGAAYIQHKCYSDAAAKKQARSLQAVPRLVKLFNHANQEVQRHATGAMRNLIYDNADNKLALVEENGIFELLRTLREQDDELRKNVTGILWNLSSSDHLKDRLARDTLEQLTDLVLSPLSGAGGPPLIQQNASEAEIFYNATGFLRNLSSASQATRQKMRECHGLVDALVTSINHALDAGKCEDKSVENAVCVLRNLSYRLYDEMPPSALQRLEGRGRRDLAGAPPGEVVGCFTPQSRRLRELPLAADALTFAEVSKDPKGLEWLWSPQIVGLYNRLLQRCELNRHTTEAAAGALQNITAGDRRWAGVLSRLALEQERILNPLLDRVRTADHHQLRSLTGLIRNLSRNARNKDEMSTKVVSHLIEKLPGSVGEKSPPAEVLVNIIAVLNNLVVASPIAARDLLYFDGLRKLIFIKKKRDSPDSEKSSRAASSLLANLWQYNKLHRDFRAKGYRKEDFLGP
+>DECOY_sp|Q9Y446|PKP3_HUMAN Plakophilin-3 OS=Homo sapiens OX=9606 GN=PKP3 PE=1 SV=1
+PGLFDEKRYGKARFDRHLKNYQWLNALLSSAARSSKESDPSDRKKKIFILKRLGDFYLLDRAAIPSAVVLNNLVAIINVLVEAPPSKEGVSGPLKEILHSVVKTSMEDKNRANRSLNRILGTLSRLQHHDATRVRDLLPNLIREQELALRSLVGAWRRDGATINQLAGAAAETTHRNLECRQLLRNYLGVIQPSWLWELGKPDKSVEAFTLADAALPLERLRRSQPTFCGVVEGPPAGALDRRGRGELRQLASPPMEDYLRYSLNRLVCVANEVSKDECKGADLAHNISTVLADVLGHCERMKQRTAQSASSLNRLFGTANYFIEAESANQQILPPGGAGSLPSLVLDTLQELTDRALRDKLHDSSSLNWLIGTVNKRLEDDQERLTRLLEFIGNEEVLALKNDANDYILNRMAGTAHRQVEQNAHNFLKVLRPVAQLSRAQKKAAADSYCKHQIYAAGLVQLNPDSAMLYKVASPLDIDDFGSSLRQLTRHSGYSNFGHVDPLHGSDALSLSLSRVSPARAVPELVAGPVAGGVGRSRHSSQFRQLTRVAPARITRSPSVETAEPWDLGGARSSSSSAQREYAFARYTSTAAPELQESVLSYRDDLGGPGLRLSRLSLTDYDARSGVGGREHFSVPRTPMPPTPQAGGYGAAGFASGGNHASSLRRSCSLDVASRSSWSAPSYAPKAIPRFGSTKDGSLGQSRSSFGAQLTHYQGRSTGRATEAEPEPEAAGNHRPQQGLQLLRARVQEQVRAARLREAEPGEAGRRDLQLDSPLALSCVGAEPQLASLLFNGDQM
+>sp|Q99569|PKP4_HUMAN Plakophilin-4 OS=Homo sapiens OX=9606 GN=PKP4 PE=1 SV=2
+MPAPEQASLVEEGQPQTRQEAASTGPGMEPETTATTILASVKEQELQFQRLTRELEVERQIVASQLERCRLGAESPSIASTSSTEKSFPWRSTDVPNTGVSKPRVSDAVQPNNYLIRTEPEQGTLYSPEQTSLHESEGSLGNSRSSTQMNSYSDSGYQEAGSFHNSQNVSKADNRQQHSFIGSTNNHVVRNSRAEGQTLVQPSVANRAMRRVSSVPSRAQSPSYVISTGVSPSRGSLRTSLGSGFGSPSVTDPRPLNPSAYSSTTLPAARAASPYSQRPASPTAIRRIGSVTSRQTSNPNGPTPQYQTTARVGSPLTLTDAQTRVASPSQGQVGSSSPKRSGMTAVPQHLGPSLQRTVHDMEQFGQQQYDIYERMVPPRPDSLTGLRSSYASQHSQLGQDLRSAVSPDLHITPIYEGRTYYSPVYRSPNHGTVELQGSQTALYRTGSVGIGNLQRTSSQRSTLTYQRNNYALNTTATYAEPYRPIQYRVQECNYNRLQHAVPADDGTTRSPSIDSIQKDPREFAWRDPELPEVIHMLQHQFPSVQANAAAYLQHLCFGDNKVKMEVCRLGGIKHLVDLLDHRVLEVQKNACGALRNLVFGKSTDENKIAMKNVGGIPALLRLLRKSIDAEVRELVTGVLWNLSSCDAVKMTIIRDALSTLTNTVIVPHSGWNNSSFDDDHKIKFQTSLVLRNTTGCLRNLSSAGEEARKQMRSCEGLVDSLLYVIHTCVNTSDYDSKTVENCVCTLRNLSYRLELEVPQARLLGLNELDDLLGKESPSKDSEPSCWGKKKKKKKRTPQEDQWDGVGPIPGLSKSPKGVEMLWHPSVVKPYLTLLAESSNPATLEGSAGSLQNLSAGNWKFAAYIRAAVRKEKGLPILVELLRMDNDRVVSSVATALRNMALDVRNKELIGKYAMRDLVNRLPGGNGPSVLSDETMAAICCALHEVTSKNMENAKALADSGGIEKLVNITKGRGDRSSLKVVKAAAQVLNTLWQYRDLRSIYKKDGWNQNHFITPVSTLERDRFKSHPSLSTTNQQMSPIIQSVGSTSSSPALLGIRDPRSEYDRTQPPMQYYNSQGDATHKGLYPGSSKPSPIYISSYSSPAREQNRRLQHQQLYYSQDDSNRKNFDAYRLYLQSPHSYEDPYFDDRVHFPASTDYSTQYGLKSTTNYVDFYSTKRPSYRAEQYPGSPDSWV
+>DECOY_sp|Q99569|PKP4_HUMAN Plakophilin-4 OS=Homo sapiens OX=9606 GN=PKP4 PE=1 SV=2
+VWSDPSGPYQEARYSPRKTSYFDVYNTTSKLGYQTSYDTSAPFHVRDDFYPDEYSHPSQLYLRYADFNKRNSDDQSYYLQQHQLRRNQERAPSSYSSIYIPSPKSSGPYLGKHTADGQSNYYQMPPQTRDYESRPDRIGLLAPSSSTSGVSQIIPSMQQNTTSLSPHSKFRDRELTSVPTIFHNQNWGDKKYISRLDRYQWLTNLVQAAAKVVKLSSRDGRGKTINVLKEIGGSDALAKANEMNKSTVEHLACCIAAMTEDSLVSPGNGGPLRNVLDRMAYKGILEKNRVDLAMNRLATAVSSVVRDNDMRLLEVLIPLGKEKRVAARIYAAFKWNGASLNQLSGASGELTAPNSSEALLTLYPKVVSPHWLMEVGKPSKSLGPIPGVGDWQDEQPTRKKKKKKKGWCSPESDKSPSEKGLLDDLENLGLLRAQPVELELRYSLNRLTCVCNEVTKSDYDSTNVCTHIVYLLSDVLGECSRMQKRAEEGASSLNRLCGTTNRLVLSTQFKIKHDDDFSSNNWGSHPVIVTNTLTSLADRIITMKVADCSSLNWLVGTVLERVEADISKRLLRLLAPIGGVNKMAIKNEDTSKGFVLNRLAGCANKQVELVRHDLLDVLHKIGGLRCVEMKVKNDGFCLHQLYAAANAQVSPFQHQLMHIVEPLEPDRWAFERPDKQISDISPSRTTGDDAPVAHQLRNYNCEQVRYQIPRYPEAYTATTNLAYNNRQYTLTSRQSSTRQLNGIGVSGTRYLATQSGQLEVTGHNPSRYVPSYYTRGEYIPTIHLDPSVASRLDQGLQSHQSAYSSRLGTLSDPRPPVMREYIDYQQQGFQEMDHVTRQLSPGLHQPVATMGSRKPSSSGVQGQSPSAVRTQADTLTLPSGVRATTQYQPTPGNPNSTQRSTVSGIRRIATPSAPRQSYPSAARAAPLTTSSYASPNLPRPDTVSPSGFGSGLSTRLSGRSPSVGTSIVYSPSQARSPVSSVRRMARNAVSPQVLTQGEARSNRVVHNNTSGIFSHQQRNDAKSVNQSNHFSGAEQYGSDSYSNMQTSSRSNGLSGESEHLSTQEPSYLTGQEPETRILYNNPQVADSVRPKSVGTNPVDTSRWPFSKETSSTSAISPSEAGLRCRELQSAVIQREVELERTLRQFQLEQEKVSALITTATTEPEMGPGTSAAEQRTQPQGEEVLSAQEPAPM
+>sp|Q8TCW9|PKR1_HUMAN Prokineticin receptor 1 OS=Homo sapiens OX=9606 GN=PROKR1 PE=1 SV=1
+METTMGFMDDNATNTSTSFLSVLNPHGAHATSFPFNFSYSDYDMPLDEDEDVTNSRTFFAAKIVIGMALVGIMLVCGIGNFIFIAALVRYKKLRNLTNLLIANLAISDFLVAIVCCPFEMDYYVVRQLSWEHGHVLCTSVNYLRTVSLYVSTNALLAIAIDRYLAIVHPLRPRMKCQTATGLIALVWTVSILIAIPSAYFTTETVLVIVKSQEKIFCGQIWPVDQQLYYKSYFLFIFGIEFVGPVVTMTLCYARISRELWFKAVPGFQTEQIRKRLRCRRKTVLVLMCILTAYVLCWAPFYGFTIVRDFFPTVFVKEKHYLTAFYIVECIAMSNSMINTLCFVTVKNDTVKYFKKIMLLHWKASYNGGKSSADLDLKTIGMPATEEVDCIRLK
+>DECOY_sp|Q8TCW9|PKR1_HUMAN Prokineticin receptor 1 OS=Homo sapiens OX=9606 GN=PROKR1 PE=1 SV=1
+KLRICDVEETAPMGITKLDLDASSKGGNYSAKWHLLMIKKFYKVTDNKVTVFCLTNIMSNSMAICEVIYFATLYHKEKVFVTPFFDRVITFGYFPAWCLVYATLICMLVLVTKRRCRLRKRIQETQFGPVAKFWLERSIRAYCLTMTVVPGVFEIGFIFLFYSKYYLQQDVPWIQGCFIKEQSKVIVLVTETTFYASPIAILISVTWVLAILGTATQCKMRPRLPHVIALYRDIAIALLANTSVYLSVTRLYNVSTCLVHGHEWSLQRVVYYDMEFPCCVIAVLFDSIALNAILLNTLNRLKKYRVLAAIFIFNGIGCVLMIGVLAMGIVIKAAFFTRSNTVDEDEDLPMDYDSYSFNFPFSTAHAGHPNLVSLFSTSTNTANDDMFGMTTEM
+>sp|A1L4L8|PL8L1_HUMAN PLAC8-like protein 1 OS=Homo sapiens OX=9606 GN=PLAC8L1 PE=2 SV=1
+MNWFGSNFFRCPEDLSLLNIYSPLLSHMSSEDEHFISNLRGHVPASAVVKQPVRGASGRTTITAIVQTGGGWSTGLFSVCRDRRICFCGLFCPMCLECDIARHYGECLCWPLLPGSTFALRIGTRERHKIQGTLCEDWLAVHCCWAFSICQVARELKMRTSQVYEICAVPMTKDTLV
+>DECOY_sp|A1L4L8|PL8L1_HUMAN PLAC8-like protein 1 OS=Homo sapiens OX=9606 GN=PLAC8L1 PE=2 SV=1
+VLTDKTMPVACIEYVQSTRMKLERAVQCISFAWCCHVALWDECLTGQIKHRERTGIRLAFTSGPLLPWCLCEGYHRAIDCELCMPCFLGCFCIRRDRCVSFLGTSWGGGTQVIATITTRGSAGRVPQKVVASAPVHGRLNSIFHEDESSMHSLLPSYINLLSLDEPCRFFNSGFWNM
+>sp|Q6P1J6|PLB1_HUMAN Phospholipase B1, membrane-associated OS=Homo sapiens OX=9606 GN=PLB1 PE=1 SV=3
+MGLRPGIFLLELLLLLGQGTPQIHTSPRKSTLEGQLWPETLKNSPFPCNPNKLGVNMPSKSVHSLKPSDIKFVAAIGNLEIPPDPGTGDLEKQDWTERPQQVCMGVMTVLSDIIRYFSPSVPMPVCHTGKRVIPHDGAEDLWIQAQELVRNMKENLQLDFQFDWKLINVFFSNASQCYLCPSAQQNGLAAGGVDELMGVLDYLQQEVPRAFVNLVDLSEVAEVSRQYHGTWLSPAPEPCNCSEETTRLAKVVMQWSYQEAWNSLLASSRYSEQESFTVVFQPFFYETTPSLHSEDPRLQDSTTLAWHLWNRMMEPAGEKDEPLSVKHGRPMKCPSQESPYLFSYRNSNYLTRLQKPQDKLEVREGAEIRCPDKDPSDTVPTSVHRLKPADINVIGALGDSLTAGNGAGSTPGNVLDVLTQYRGLSWSVGGDENIGTVTTLANILREFNPSLKGFSVGTGKETSPNAFLNQAVAGGRAEDLPVQARRLVDLMKNDTRIHFQEDWKIITLFIGGNDLCDFCNDLVHYSPQNFTDNIGKALDILHAEVPRAFVNLVTVLEIVNLRELYQEKKVYCPRMILRSLCPCVLKFDDNSTELATLIEFNKKFQEKTHQLIESGRYDTREDFTVVVQPFFENVDMPKTSEGLPDNSFFAPDCFHFSSKSHSRAASALWNNMLEPVGQKTTRHKFENKINITCPNQVQPFLRTYKNSMQGHGTWLPCRDRAPSALHPTSVHALRPADIQVVAALGDSLTAGNGIGSKPDDLPDVTTQYRGLSYSAGGDGSLENVTTLPNILREFNRNLTGYAVGTGDANDTNAFLNQAVPGAKAEDLMSQVQTLMQKMKDDHRVNFHEDWKVITVLIGGSDLCDYCTDSNLYSAANFVHHLRNALDVLHREVPRVLVNLVDFLNPTIMRQVFLGNPDKCPVQQASVLCNCVLTLRENSQELARLEAFSRAYRSSMRELVGSGRYDTQEDFSVVLQPFFQNIQLPVLADGLPDTSFFAPDCIHPNQKFHSQLARALWTNMLEPLGSKTETLDLRAEMPITCPTQNEPFLRTPRNSNYTYPIKPAIENWGSDFLCTEWKASNSVPTSVHQLRPADIKVVAALGDSLTTAVGARPNNSSDLPTSWRGLSWSIGGDGNLETHTTLPNILKKFNPYLLGFSTSTWEGTAGLNVAAEGARARDMPAQAWDLVERMKNSPDINLEKDWKLVTLFIGVNDLCHYCENPEAHLATEYVQHIQQALDILSEELPRAFVNVVEVMELASLYQGQGGKCAMLAAQNNCTCLRHSQSSLEKQELKKVNWNLQHGISSFSYWHQYTQREDFAVVVQPFFQNTLTPLNERGDTDLTFFSEDCFHFSDRGHAEMAIALWNNMLEPVGRKTTSNNFTHSRAKLKCPSPESPYLYTLRNSRLLPDQAEEAPEVLYWAVPVAAGVGLVVGIIGTVVWRCRRGGRREDPPMSLRTVAL
+>DECOY_sp|Q6P1J6|PLB1_HUMAN Phospholipase B1, membrane-associated OS=Homo sapiens OX=9606 GN=PLB1 PE=1 SV=3
+LAVTRLSMPPDERRGGRRCRWVVTGIIGVVLGVGAAVPVAWYLVEPAEEAQDPLLRSNRLTYLYPSEPSPCKLKARSHTFNNSTTKRGVPELMNNWLAIAMEAHGRDSFHFCDESFFTLDTDGRENLPTLTNQFFPQVVVAFDERQTYQHWYSFSSIGHQLNWNVKKLEQKELSSQSHRLCTCNNQAALMACKGGQGQYLSALEMVEVVNVFARPLEESLIDLAQQIHQVYETALHAEPNECYHCLDNVGIFLTVLKWDKELNIDPSNKMREVLDWAQAPMDRARAGEAAVNLGATGEWTSTSFGLLYPNFKKLINPLTTHTELNGDGGISWSLGRWSTPLDSSNNPRAGVATTLSDGLAAVVKIDAPRLQHVSTPVSNSAKWETCLFDSGWNEIAPKIPYTYNSNRPTRLFPENQTPCTIPMEARLDLTETKSGLPELMNTWLARALQSHFKQNPHICDPAFFSTDPLGDALVPLQINQFFPQLVVSFDEQTDYRGSGVLERMSSRYARSFAELRALEQSNERLTLVCNCLVSAQQVPCKDPNGLFVQRMITPNLFDVLNVLVRPVERHLVDLANRLHHVFNAASYLNSDTCYDCLDSGGILVTIVKWDEHFNVRHDDKMKQMLTQVQSMLDEAKAGPVAQNLFANTDNADGTGVAYGTLNRNFERLINPLTTVNELSGDGGASYSLGRYQTTVDPLDDPKSGIGNGATLSDGLAAVVQIDAPRLAHVSTPHLASPARDRCPLWTGHGQMSNKYTRLFPQVQNPCTINIKNEFKHRTTKQGVPELMNNWLASAARSHSKSSFHFCDPAFFSNDPLGESTKPMDVNEFFPQVVVTFDERTDYRGSEILQHTKEQFKKNFEILTALETSNDDFKLVCPCLSRLIMRPCYVKKEQYLERLNVIELVTVLNVFARPVEAHLIDLAKGINDTFNQPSYHVLDNCFDCLDNGGIFLTIIKWDEQFHIRTDNKMLDVLRRAQVPLDEARGGAVAQNLFANPSTEKGTGVSFGKLSPNFERLINALTTVTGINEDGGVSWSLGRYQTLVDLVNGPTSGAGNGATLSDGLAGIVNIDAPKLRHVSTPVTDSPDKDPCRIEAGERVELKDQPKQLRTLYNSNRYSFLYPSEQSPCKMPRGHKVSLPEDKEGAPEMMRNWLHWALTTSDQLRPDESHLSPTTEYFFPQFVVTFSEQESYRSSALLSNWAEQYSWQMVVKALRTTEESCNCPEPAPSLWTGHYQRSVEAVESLDVLNVFARPVEQQLYDLVGMLEDVGGAALGNQQASPCLYCQSANSFFVNILKWDFQFDLQLNEKMNRVLEQAQIWLDEAGDHPIVRKGTHCVPMPVSPSFYRIIDSLVTMVGMCVQQPRETWDQKELDGTGPDPPIELNGIAAVFKIDSPKLSHVSKSPMNVGLKNPNCPFPSNKLTEPWLQGELTSKRPSTHIQPTGQGLLLLLELLFIGPRLGM
+>sp|Q00722|PLCB2_HUMAN 1-phosphatidylinositol 4,5-bisphosphate phosphodiesterase beta-2 OS=Homo sapiens OX=9606 GN=PLCB2 PE=1 SV=2
+MSLLNPVLLPPKVKAYLSQGERFIKWDDETTVASPVILRVDPKGYYLYWTYQSKEMEFLDITSIRDTRFGKFAKMPKSQKLRDVFNMDFPDNSFLLKTLTVVSGPDMVDLTFHNFVSYKENVGKAWAEDVLALVKHPLTANASRSTFLDKILVKLKMQLNSEGKIPVKNFFQMFPADRKRVEAALSACHLPKGKNDAINPEDFPEPVYKSFLMSLCPRPEIDEIFTSYHAKAKPYMTKEHLTKFINQKQRDSRLNSLLFPPARPDQVQGLIDKYEPSGINAQRGQLSPEGMVWFLCGPENSVLAQDKLLLHHDMTQPLNHYFINSSHNTYLTAGQFSGLSSAEMYRQVLLSGCRCVELDCWKGKPPDEEPIITHGFTMTTDIFFKEAIEAIAESAFKTSPYPIILSFENHVDSPRQQAKMAEYCRTIFGDMLLTEPLEKFPLKPGVPLPSPEDLRGKILIKNKKNQFSGPTSSSKDTGGEAEGSSPPSAPAGEGTVWAGEEGTELEEEEVEEEEEEESGNLDEEEIKKMQSDEGTAGLEVTAYEEMSSLVNYIQPTKFVSFEFSAQKNRSYVISSFTELKAYDLLSKASVQFVDYNKRQMSRIYPKGTRMDSSNYMPQMFWNAGCQMVALNFQTMDLPMQQNMAVFEFNGQSGYLLKHEFMRRPDKQFNPFSVDRIDVVVATTLSITVISGQFLSERSVRTYVEVELFGLPGDPKRRYRTKLSPSTNSINPVWKEEPFVFEKILMPELASLRVAVMEEGNKFLGHRIIPINALNSGYHHLCLHSESNMPLTMPALFIFLEMKDYIPGAWADLTVALANPIKFFSAHDTKSVKLKEAMGGLPEKPFPLASPVASQVNGALAPTSNGSPAARAGAREEAMKEAAEPRTASLEELRELKGVVKLQRRHEKELRELERRGARRWEELLQRGAAQLAELGPPGVGGVGACKLGPGKGSRKKRSLPREESAGAAPGEGPEGVDGRVRELKDRLELELLRQGEEQYECVLKRKEQHVAEQISKMMELAREKQAAELKALKETSENDTKEMKKKLETKRLERIQGMTKVTTDKMAQERLKREINNSHIQEVVQVIKQMTENLERHQEKLEEKQAACLEQIREMEKQFQKEALAEYEARMKGLEAEVKESVRACLRTCFPSEAKDKPERACECPPELCEQDPLIAKADAQESRL
+>DECOY_sp|Q00722|PLCB2_HUMAN 1-phosphatidylinositol 4,5-bisphosphate phosphodiesterase beta-2 OS=Homo sapiens OX=9606 GN=PLCB2 PE=1 SV=2
+LRSEQADAKAILPDQECLEPPCECAREPKDKAESPFCTRLCARVSEKVEAELGKMRAEYEALAEKQFQKEMERIQELCAAQKEELKEQHRELNETMQKIVQVVEQIHSNNIERKLREQAMKDTTVKTMGQIRELRKTELKKKMEKTDNESTEKLAKLEAAQKERALEMMKSIQEAVHQEKRKLVCEYQEEGQRLLELELRDKLERVRGDVGEPGEGPAAGASEERPLSRKKRSGKGPGLKCAGVGGVGPPGLEALQAAGRQLLEEWRRAGRRELERLEKEHRRQLKVVGKLERLEELSATRPEAAEKMAEERAGARAAPSGNSTPALAGNVQSAVPSALPFPKEPLGGMAEKLKVSKTDHASFFKIPNALAVTLDAWAGPIYDKMELFIFLAPMTLPMNSESHLCLHHYGSNLANIPIIRHGLFKNGEEMVAVRLSALEPMLIKEFVFPEEKWVPNISNTSPSLKTRYRRKPDGPLGFLEVEVYTRVSRESLFQGSIVTISLTTAVVVDIRDVSFPNFQKDPRRMFEHKLLYGSQGNFEFVAMNQQMPLDMTQFNLAVMQCGANWFMQPMYNSSDMRTGKPYIRSMQRKNYDVFQVSAKSLLDYAKLETFSSIVYSRNKQASFEFSVFKTPQIYNVLSSMEEYATVELGATGEDSQMKKIEEEDLNGSEEEEEEEVEEEELETGEEGAWVTGEGAPASPPSSGEAEGGTDKSSSTPGSFQNKKNKILIKGRLDEPSPLPVGPKLPFKELPETLLMDGFITRCYEAMKAQQRPSDVHNEFSLIIPYPSTKFASEAIAEIAEKFFIDTTMTFGHTIIPEEDPPKGKWCDLEVCRCGSLLVQRYMEASSLGSFQGATLYTNHSSNIFYHNLPQTMDHHLLLKDQALVSNEPGCLFWVMGEPSLQGRQANIGSPEYKDILGQVQDPRAPPFLLSNLRSDRQKQNIFKTLHEKTMYPKAKAHYSTFIEDIEPRPCLSMLFSKYVPEPFDEPNIADNKGKPLHCASLAAEVRKRDAPFMQFFNKVPIKGESNLQMKLKVLIKDLFTSRSANATLPHKVLALVDEAWAKGVNEKYSVFNHFTLDVMDPGSVVTLTKLLFSNDPFDMNFVDRLKQSKPMKAFKGFRTDRISTIDLFEMEKSQYTWYLYYGKPDVRLIVPSAVTTEDDWKIFREGQSLYAKVKPPLLVPNLLSM
+>sp|O15120|PLCB_HUMAN 1-acyl-sn-glycerol-3-phosphate acyltransferase beta OS=Homo sapiens OX=9606 GN=AGPAT2 PE=1 SV=1
+MELWPCLAAALLLLLLLVQLSRAAEFYAKVALYCALCFTVSAVASLVCLLRHGGRTVENMSIIGWFVRSFKYFYGLRFEVRDPRRLQEARPCVIVSNHQSILDMMGLMEVLPERCVQIAKRELLFLGPVGLIMYLGGVFFINRQRSSTAMTVMADLGERMVRENLKVWIYPEGTRNDNGDLLPFKKGAFYLAVQAQVPIVPVVYSSFSSFYNTKKKFFTSGTVTVQVLEAIPTSGLTAADVPALVDTCHRAMRTTFLHISKTPQENGATAGSGVQPAQ
+>DECOY_sp|O15120|PLCB_HUMAN 1-acyl-sn-glycerol-3-phosphate acyltransferase beta OS=Homo sapiens OX=9606 GN=AGPAT2 PE=1 SV=1
+QAPQVGSGATAGNEQPTKSIHLFTTRMARHCTDVLAPVDAATLGSTPIAELVQVTVTGSTFFKKKTNYFSSFSSYVVPVIPVQAQVALYFAGKKFPLLDGNDNRTGEPYIWVKLNERVMREGLDAMVTMATSSRQRNIFFVGGLYMILGVPGLFLLERKAIQVCREPLVEMLGMMDLISQHNSVIVCPRAEQLRRPDRVEFRLGYFYKFSRVFWGIISMNEVTRGGHRLLCVLSAVASVTFCLACYLAVKAYFEAARSLQVLLLLLLLAAALCPWLEM
+>sp|Q9BRC7|PLCD4_HUMAN 1-phosphatidylinositol 4,5-bisphosphate phosphodiesterase delta-4 OS=Homo sapiens OX=9606 GN=PLCD4 PE=2 SV=1
+MASLLQDQLTTDQDLLLMQEGMPMRKVRSKSWKKLRYFRLQNDGMTVWHARQARGSAKPSFSISDVETIRNGHDSELLRSLAEELPLEQGFTIVFHGRRSNLDLMANSVEEAQIWMRGLQLLVDLVTSMDHQERLDQWLSDWFQRGDKNQDGKMSFQEVQRLLHLMNVEMDQEYAFSLFQAADTSQSGTLEGEEFVQFYKALTKRAEVQELFESFSADGQKLTLLEFLDFLQEEQKERDCTSELALELIDRYEPSDSGKLRHVLSMDGFLSYLCSKDGDIFNPACLPIYQDMTQPLNHYFICSSHNTYLVGDQLCGQSSVEGYIRALKRGCRCVEVDVWDGPSGEPVVYHGHTLTSRILFKDVVATVAQYAFQTSDYPVILSLETHCSWEQQQTMARHLTEILGEQLLSTTLDGVLPTQLPSPEELRRKILVKGKKLTLEEDLEYEEEEAEPELEESELALESQFETEPEPQEQNLQNKDKKKKSKPILCPALSSLVIYLKSVSFRSFTHSKEHYHFYEISSFSETKAKRLIKEAGNEFVQHNTWQLSRVYPSGLRTDSSNYNPQELWNAGCQMVAMNMQTAGLEMDICDGHFRQNGGCGYVLKPDFLRDIQSSFHPEKPISPFKAQTLLIQVISGQQLPKVDKTKEGSIVDPLVKVQIFGVRLDTARQETNYVENNGFNPYWGQTLCFRVLVPELAMLRFVVMDYDWKSRNDFIGQYTLPWTCMQQGYRHIHLLSKDGISLRPASIFVYICIQEGLEGDES
+>DECOY_sp|Q9BRC7|PLCD4_HUMAN 1-phosphatidylinositol 4,5-bisphosphate phosphodiesterase delta-4 OS=Homo sapiens OX=9606 GN=PLCD4 PE=2 SV=1
+SEDGELGEQICIYVFISAPRLSIGDKSLLHIHRYGQQMCTWPLTYQGIFDNRSKWDYDMVVFRLMALEPVLVRFCLTQGWYPNFGNNEVYNTEQRATDLRVGFIQVKVLPDVISGEKTKDVKPLQQGSIVQILLTQAKFPSIPKEPHFSSQIDRLFDPKLVYGCGGNQRFHGDCIDMELGATQMNMAVMQCGANWLEQPNYNSSDTRLGSPYVRSLQWTNHQVFENGAEKILRKAKTESFSSIEYFHYHEKSHTFSRFSVSKLYIVLSSLAPCLIPKSKKKKDKNQLNQEQPEPETEFQSELALESEELEPEAEEEEYELDEELTLKKGKVLIKRRLEEPSPLQTPLVGDLTTSLLQEGLIETLHRAMTQQQEWSCHTELSLIVPYDSTQFAYQAVTAVVDKFLIRSTLTHGHYVVPEGSPGDWVDVEVCRCGRKLARIYGEVSSQGCLQDGVLYTNHSSCIFYHNLPQTMDQYIPLCAPNFIDGDKSCLYSLFGDMSLVHRLKGSDSPEYRDILELALESTCDREKQEEQLFDLFELLTLKQGDASFSEFLEQVEARKTLAKYFQVFEEGELTGSQSTDAAQFLSFAYEQDMEVNMLHLLRQVEQFSMKGDQNKDGRQFWDSLWQDLREQHDMSTVLDVLLQLGRMWIQAEEVSNAMLDLNSRRGHFVITFGQELPLEEALSRLLESDHGNRITEVDSISFSPKASGRAQRAHWVTMGDNQLRFYRLKKWSKSRVKRMPMGEQMLLLDQDTTLQDQLLSAM
+>sp|Q63HM9|PLCX3_HUMAN PI-PLC X domain-containing protein 3 OS=Homo sapiens OX=9606 GN=PLCXD3 PE=2 SV=2
+MASSQGKNELKLADWMATLPESMHSIPLTNLAIPGSHDSFSFYIDEASPVGPEQPETVQNFVSVFGTVAKKLMRKWLATQTMNFTGQLGAGIRYFDLRISTKPRDPDNELYFAHGLFSAKVNEGLEEINAFLTDHHKEVVFLDFNHFYGMQKYHHEKLVQMLKDIYGNKMCPAIFAQEVSLKYLWEKDYQVLVFYHSPVALEVPFLWPGQMMPAPWANTTDPEKLIQFLQASITERRKKGSFFISQVVLTPKASTVVKGVASGLRETITERALPAMMQWVRTQKPGESGINIVTADFVELGDFISTVIKLNYVFDEGEANT
+>DECOY_sp|Q63HM9|PLCX3_HUMAN PI-PLC X domain-containing protein 3 OS=Homo sapiens OX=9606 GN=PLCXD3 PE=2 SV=2
+TNAEGEDFVYNLKIVTSIFDGLEVFDATVINIGSEGPKQTRVWQMMAPLARETITERLGSAVGKVVTSAKPTLVVQSIFFSGKKRRETISAQLFQILKEPDTTNAWPAPMMQGPWLFPVELAVPSHYFVLVQYDKEWLYKLSVEQAFIAPCMKNGYIDKLMQVLKEHHYKQMGYFHNFDLFVVEKHHDTLFANIEELGENVKASFLGHAFYLENDPDRPKTSIRLDFYRIGAGLQGTFNMTQTALWKRMLKKAVTGFVSVFNQVTEPQEPGVPSAEDIYFSFSDHSGPIALNTLPISHMSEPLTAMWDALKLENKGQSSAM
+>sp|Q9NYT0|PLEK2_HUMAN Pleckstrin-2 OS=Homo sapiens OX=9606 GN=PLEK2 PE=1 SV=1
+MEDGVLKEGFLVKRGHIVHNWKARWFILRQNTLVYYKLEGGRRVTPPKGRILLDGCTITCPCLEYENRPLLIKLKTQTSTEYFLEACSREERDAWAFEITGAIHAGQPGKVQQLHSLRNSFKLPPHISLHRIVDKMHDSNTGIRSSPNMEQGSTYKKTFLGSSLVDWLISNSFTASRLEAVTLASMLMEENFLRPVGVRSMGAIRSGDLAEQFLDDSTALYTFAESYKKKISPKEEISLSTVELSGTVVKQGYLAKQGHKRKNWKVRRFVLRKDPAFLHYYDPSKEENRPVGGFSLRGSLVSALEDNGVPTGVKGNVQGNLFKVITKDDTHYYIQASSKAERAEWIEAIKKLT
+>DECOY_sp|Q9NYT0|PLEK2_HUMAN Pleckstrin-2 OS=Homo sapiens OX=9606 GN=PLEK2 PE=1 SV=1
+TLKKIAEIWEAREAKSSAQIYYHTDDKTIVKFLNGQVNGKVGTPVGNDELASVLSGRLSFGGVPRNEEKSPDYYHLFAPDKRLVFRRVKWNKRKHGQKALYGQKVVTGSLEVTSLSIEEKPSIKKKYSEAFTYLATSDDLFQEALDGSRIAGMSRVGVPRLFNEEMLMSALTVAELRSATFSNSILWDVLSSGLFTKKYTSGQEMNPSSRIGTNSDHMKDVIRHLSIHPPLKFSNRLSHLQQVKGPQGAHIAGTIEFAWADREERSCAELFYETSTQTKLKILLPRNEYELCPCTITCGDLLIRGKPPTVRRGGELKYYVLTNQRLIFWRAKWNHVIHGRKVLFGEKLVGDEM
+>sp|Q15195|PLGA_HUMAN Plasminogen-like protein A OS=Homo sapiens OX=9606 GN=PLGLA PE=2 SV=1
+MEHKEVVLLLLLFLKSGQGEPLDDYVNAQGASLFSVTKKQLGAGSREECAAKCEEDKEFTCRAFQYHSKEQQCVIMAENKKSSIIIRMRDVVLFEK
+>DECOY_sp|Q15195|PLGA_HUMAN Plasminogen-like protein A OS=Homo sapiens OX=9606 GN=PLGLA PE=2 SV=1
+KEFLVVDRMRIIISSKKNEAMIVCQQEKSHYQFARCTFEKDEECKAACEERSGAGLQKKTVSFLSAGQANVYDDLPEGQGSKLFLLLLLVVEKHEM
+>sp|Q9NST1|PLPL3_HUMAN Patatin-like phospholipase domain-containing protein 3 OS=Homo sapiens OX=9606 GN=PNPLA3 PE=1 SV=2
+MYDAERGWSLSFAGCGFLGFYHVGATRCLSEHAPHLLRDARMLFGASAGALHCVGVLSGIPLEQTLQVLSDLVRKARSRNIGIFHPSFNLSKFLRQGLCKCLPANVHQLISGKIGISLTRVSDGENVLVSDFRSKDEVVDALVCSCFIPFYSGLIPPSFRGVRYVDGGVSDNVPFIDAKTTITVSPFYGEYDICPKVKSTNFLHVDITKLSLRLCTGNLYLLSRAFVPPDLKVLGEICLRGYLDAFRFLEEKGICNRPQPGLKSSSEGMDPEVAMPSWANMSLDSSPESAALAVRLEGDELLDHLRLSILPWDESILDTLSPRLATALSEEMKDKGGYMSKICNLLPIRIMSYVMLPCTLPVESAIAIVQRLVTWLPDMPDDVLWLQWVTSQVFTRVLMCLLPASRSQMPVSSQQASPCTPEQDWPCWTPCSPKGCPAETKAEATPRSILRSSLNFFLGNKVPAGAEGLSTFPSFSLEKSL
+>DECOY_sp|Q9NST1|PLPL3_HUMAN Patatin-like phospholipase domain-containing protein 3 OS=Homo sapiens OX=9606 GN=PNPLA3 PE=1 SV=2
+LSKELSFSPFTSLGEAGAPVKNGLFFNLSSRLISRPTAEAKTEAPCGKPSCPTWCPWDQEPTCPSAQQSSVPMQSRSAPLLCMLVRTFVQSTVWQLWLVDDPMDPLWTVLRQVIAIASEVPLTCPLMVYSMIRIPLLNCIKSMYGGKDKMEESLATALRPSLTDLISEDWPLISLRLHDLLEDGELRVALAASEPSSDLSMNAWSPMAVEPDMGESSSKLGPQPRNCIGKEELFRFADLYGRLCIEGLVKLDPPVFARSLLYLNGTCLRLSLKTIDVHLFNTSKVKPCIDYEGYFPSVTITTKADIFPVNDSVGGDVYRVGRFSPPILGSYFPIFCSCVLADVVEDKSRFDSVLVNEGDSVRTLSIGIKGSILQHVNAPLCKCLGQRLFKSLNFSPHFIGINRSRAKRVLDSLVQLTQELPIGSLVGVCHLAGASAGFLMRADRLLHPAHESLCRTAGVHYFGLFGCGAFSLSWGREADYM
+>sp|Q8IY17|PLPL6_HUMAN Neuropathy target esterase OS=Homo sapiens OX=9606 GN=PNPLA6 PE=1 SV=2
+MGTSSHGLATNSSGAKVAERDGFQDVLAPGEGSAGRICGAQPVPFVPQVLGVMIGAGVAVVVTAVLILLVVRRLRVPKTPAPDGPRYRFRKRDKVLFYGRKIMRKVSQSTSSLVDTSVSATSRPRMRKKLKMLNIAKKILRIQKETPTLQRKEPPPAVLEADLTEGDLANSHLPSEVLYMLKNVRVLGHFEKPLFLELCRHMVFQRLGQGDYVFRPGQPDASIYVVQDGLLELCLPGPDGKECVVKEVVPGDSVNSLLSILDVITGHQHPQRTVSARAARDSTVLRLPVEAFSAVFTKYPESLVRVVQIIMVRLQRVTFLALHNYLGLTNELFSHEIQPLRLFPSPGLPTRTSPVRGSKRMVSTSATDEPRETPGRPPDPTGAPLPGPTGDPVKPTSLETPSAPLLSRCVSMPGDISGLQGGPRSDFDMAYERGRISVSLQEEASGGSLAAPARTPTQEPREQPAGACEYSYCEDESATGGCPFGPYQGRQTSSIFEAAKQELAKLMRIEDPSLLNSRVLLHHAKAGTIIARQGDQDVSLHFVLWGCLHVYQRMIDKAEDVCLFVAQPGELVGQLAVLTGEPLIFTLRAQRDCTFLRISKSDFYEIMRAQPSVVLSAAHTVAARMSPFVRQMDFAIDWTAVEAGRALYRQGDRSDCTYIVLNGRLRSVIQRGSGKKELVGEYGRGDLIGVVEALTRQPRATTVHAVRDTELAKLPEGTLGHIKRRYPQVVTRLIHLLSQKILGNLQQLQGPFPAGSGLGVPPHSELTNPASNLATVAILPVCAEVPMVAFTLELQHALQAIGPTLLLNSDIIRARLGASALDSIQEFRLSGWLAQQEDAHRIVLYQTDASLTPWTVRCLRQADCILIVGLGDQEPTLGQLEQMLENTAVRALKQLVLLHREEGAGPTRTVEWLNMRSWCSGHLHLRCPRRLFSRRSPAKLHELYEKVFSRRADRHSDFSRLARVLTGNTIALVLGGGGARGCSHIGVLKALEEAGVPVDLVGGTSIGSFIGALYAEERSASRTKQRAREWAKSMTSVLEPVLDLTYPVTSMFTGSAFNRSIHRVFQDKQIEDLWLPYFNVTTDITASAMRVHKDGSLWRYVRASMTLSGYLPPLCDPKDGHLLMDGGYINNLPADIARSMGAKTVIAIDVGSQDETDLSTYGDSLSGWWLLWKRLNPWADKVKVPDMAEIQSRLAYVSCVRQLEVVKSSSYCEYLRPPIDCFKTMDFGKFDQIYDVGYQYGKAVFGGWSRGNVIEKMLTDRRSTDLNESRRADVLAFPSSGFTDLAEIVSRIEPPTSYVSDGCADGEESDCLTEYEEDAGPDCSRDEGGSPEGASPSTASEMEEEKSILRQRRCLPQEPPGSATDA
+>DECOY_sp|Q8IY17|PLPL6_HUMAN Neuropathy target esterase OS=Homo sapiens OX=9606 GN=PNPLA6 PE=1 SV=2
+ADTASGPPEQPLCRRQRLISKEEEMESATSPSAGEPSGGEDRSCDPGADEEYETLCDSEEGDACGDSVYSTPPEIRSVIEALDTFGSSPFALVDARRSENLDTSRRDTLMKEIVNGRSWGGFVAKGYQYGVDYIQDFKGFDMTKFCDIPPRLYECYSSSKVVELQRVCSVYALRSQIEAMDPVKVKDAWPNLRKWLLWWGSLSDGYTSLDTEDQSGVDIAIVTKAGMSRAIDAPLNNIYGGDMLLHGDKPDCLPPLYGSLTMSARVYRWLSGDKHVRMASATIDTTVNFYPLWLDEIQKDQFVRHISRNFASGTFMSTVPYTLDLVPELVSTMSKAWERARQKTRSASREEAYLAGIFSGISTGGVLDVPVGAEELAKLVGIHSCGRAGGGGLVLAITNGTLVRALRSFDSHRDARRSFVKEYLEHLKAPSRRSFLRRPCRLHLHGSCWSRMNLWEVTRTPGAGEERHLLVLQKLARVATNELMQELQGLTPEQDGLGVILICDAQRLCRVTWPTLSADTQYLVIRHADEQQALWGSLRFEQISDLASAGLRARIIDSNLLLTPGIAQLAHQLELTFAVMPVEACVPLIAVTALNSAPNTLESHPPVGLGSGAPFPGQLQQLNGLIKQSLLHILRTVVQPYRRKIHGLTGEPLKALETDRVAHVTTARPQRTLAEVVGILDGRGYEGVLEKKGSGRQIVSRLRGNLVIYTCDSRDGQRYLARGAEVATWDIAFDMQRVFPSMRAAVTHAASLVVSPQARMIEYFDSKSIRLFTCDRQARLTFILPEGTLVALQGVLEGPQAVFLCVDEAKDIMRQYVHLCGWLVFHLSVDQDGQRAIITGAKAHHLLVRSNLLSPDEIRMLKALEQKAAEFISSTQRGQYPGFPCGGTASEDECYSYECAGAPQERPEQTPTRAPAALSGGSAEEQLSVSIRGREYAMDFDSRPGGQLGSIDGPMSVCRSLLPASPTELSTPKVPDGTPGPLPAGTPDPPRGPTERPEDTASTSVMRKSGRVPSTRTPLGPSPFLRLPQIEHSFLENTLGLYNHLALFTVRQLRVMIIQVVRVLSEPYKTFVASFAEVPLRLVTSDRAARASVTRQPHQHGTIVDLISLLSNVSDGPVVEKVVCEKGDPGPLCLELLGDQVVYISADPQGPRFVYDGQGLRQFVMHRCLELFLPKEFHGLVRVNKLMYLVESPLHSNALDGETLDAELVAPPPEKRQLTPTEKQIRLIKKAINLMKLKKRMRPRSTASVSTDVLSSTSQSVKRMIKRGYFLVKDRKRFRYRPGDPAPTKPVRLRRVVLLILVATVVVAVGAGIMVGLVQPVFPVPQAGCIRGASGEGPALVDQFGDREAVKAGSSNTALGHSSTGM
+>sp|Q9NP80|PLPL8_HUMAN Calcium-independent phospholipase A2-gamma OS=Homo sapiens OX=9606 GN=PNPLA8 PE=1 SV=1
+MSINLTVDIYIYLLSNARSVCGKQRSKQLYFLFSPKHYWRISHISLQRGFHTNIIRCKWTKSEAHSCSKHCYSPSNHGLHIGILKLSTSAPKGLTKVNICMSRIKSTLNSVSKAVFGNQNEMISRLAQFKPSSQILRKVSDSGWLKQKNIKQAIKSLKKYSDKSAEKSPFPEEKSHIIDKEEDIGKRSLFHYTSSITTKFGDSFYFLSNHINSYFKRKEKMSQQKENEHFRDKSELEDKKVEEGKLRSPDPGILAYKPGSESVHTVDKPTSPSAIPDVLQVSTKQSIANFLSRPTEGVQALVGGYIGGLVPKLKYDSKSQSEEQEEPAKTDQAVSKDRNAEEKKRLSLQREKIIARVSIDNRTRALVQALRRTTDPKLCITRVEELTFHLLEFPEGKGVAVKERIIPYLLRLRQIKDETLQAAVREILALIGYVDPVKGRGIRILSIDGGGTRGVVALQTLRKLVELTQKPVHQLFDYICGVSTGAILAFMLGLFHMPLDECEELYRKLGSDVFSQNVIVGTVKMSWSHAFYDSQTWENILKDRMGSALMIETARNPTCPKVAAVSTIVNRGITPKAFVFRNYGHFPGINSHYLGGCQYKMWQAIRASSAAPGYFAEYALGNDLHQDGGLLLNNPSALAMHECKCLWPDVPLECIVSLGTGRYESDVRNTVTYTSLKTKLSNVINSATDTEEVHIMLDGLLPPDTYFRFNPVMCENIPLDESRNEKLDQLQLEGLKYIERNEQKMKKVAKILSQEKTTLQKINDWIKLKTDMYEGLPFFSKL
+>DECOY_sp|Q9NP80|PLPL8_HUMAN Calcium-independent phospholipase A2-gamma OS=Homo sapiens OX=9606 GN=PNPLA8 PE=1 SV=1
+LKSFFPLGEYMDTKLKIWDNIKQLTTKEQSLIKAVKKMKQENREIYKLGELQLQDLKENRSEDLPINECMVPNFRFYTDPPLLGDLMIHVEETDTASNIVNSLKTKLSTYTVTNRVDSEYRGTGLSVICELPVDPWLCKCEHMALASPNNLLLGGDQHLDNGLAYEAFYGPAASSARIAQWMKYQCGGLYHSNIGPFHGYNRFVFAKPTIGRNVITSVAAVKPCTPNRATEIMLASGMRDKLINEWTQSDYFAHSWSMKVTGVIVNQSFVDSGLKRYLEECEDLPMHFLGLMFALIAGTSVGCIYDFLQHVPKQTLEVLKRLTQLAVVGRTGGGDISLIRIGRGKVPDVYGILALIERVAAQLTEDKIQRLRLLYPIIREKVAVGKGEPFELLHFTLEEVRTICLKPDTTRRLAQVLARTRNDISVRAIIKERQLSLRKKEEANRDKSVAQDTKAPEEQEESQSKSDYKLKPVLGGIYGGVLAQVGETPRSLFNAISQKTSVQLVDPIASPSTPKDVTHVSESGPKYALIGPDPSRLKGEEVKKDELESKDRFHENEKQQSMKEKRKFYSNIHNSLFYFSDGFKTTISSTYHFLSRKGIDEEKDIIHSKEEPFPSKEASKDSYKKLSKIAQKINKQKLWGSDSVKRLIQSSPKFQALRSIMENQNGFVAKSVSNLTSKIRSMCINVKTLGKPASTSLKLIGIHLGHNSPSYCHKSCSHAESKTWKCRIINTHFGRQLSIHSIRWYHKPSFLFYLQKSRQKGCVSRANSLLYIYIDVTLNISM
+>sp|Q9NRQ2|PLS4_HUMAN Phospholipid scramblase 4 OS=Homo sapiens OX=9606 GN=PLSCR4 PE=1 SV=2
+MSGVVPTAPEQPAGEMENQTKPPDPRPDAPPEYNSHFLPGPPGTAVPPPTGYPGGLPMGYYSPQQPSTFPLYQPVGGIHPVRYQPGKYPMPNQSVPITWMPGPTPMANCPPGLEYLVQLDNIHVLQHFEPLEMMTCFETNNRYDIKNNSDQMVYIVTEDTDDFTRNAYRTLRPFVLRVTDCMGREIMTMQRPFRCTCCCFCCPSARQELEVQCPPGVTIGFVAEHWNLCRAVYSIQNEKKENVMRVRGPCSTYGCGSDSVFEVKSLDGISNIGSIIRKWNGLLSAMADADHFDIHFPLDLDVKMKAMIFGACFLIDFMYFERSPPQRSR
+>DECOY_sp|Q9NRQ2|PLS4_HUMAN Phospholipid scramblase 4 OS=Homo sapiens OX=9606 GN=PLSCR4 PE=1 SV=2
+RSRQPPSREFYMFDILFCAGFIMAKMKVDLDLPFHIDFHDADAMASLLGNWKRIISGINSIGDLSKVEFVSDSGCGYTSCPGRVRMVNEKKENQISYVARCLNWHEAVFGITVGPPCQVELEQRASPCCFCCCTCRFPRQMTMIERGMCDTVRLVFPRLTRYANRTFDDTDETVIYVMQDSNNKIDYRNNTEFCTMMELPEFHQLVHINDLQVLYELGPPCNAMPTPGPMWTIPVSQNPMPYKGPQYRVPHIGGVPQYLPFTSPQQPSYYGMPLGGPYGTPPPVATGPPGPLFHSNYEPPADPRPDPPKTQNEMEGAPQEPATPVVGSM
+>sp|Q9BX97|PLVAP_HUMAN Plasmalemma vesicle-associated protein OS=Homo sapiens OX=9606 GN=PLVAP PE=2 SV=1
+MGLAMEHGGSYARAGGSSRGCWYYLRYFFLFVSLIQFLIILGLVLFMVYGNVHVSTESNLQATERRAEGLYSQLLGLTASQSNLTKELNFTTRAKDAIMQMWLNARRDLDRINASFRQCQGDRVIYTNNQRYMAAIILSEKQCRDQFKDMNKSCDALLFMLNQKVKTLEVEIAKEKTICTKDKESVLLNKRVAEEQLVECVKTRELQHQERQLAKEQLQKVQALCLPLDKDKFEMDLRNLWRDSIIPRSLDNLGYNLYHPLGSELASIRRACDHMPSLMSSKVEELARSLRADIERVARENSDLQRQKLEAQQGLRASQEAKQKVEKEAQAREAKLQAECSRQTQLALEEKAVLRKERDNLAKELEEKKREAEQLRMELAIRNSALDTCIKTKSQPMMPVSRPMGPVPNPQPIDPASLEEFKRKILESQRPPAGIPVAPSSG
+>DECOY_sp|Q9BX97|PLVAP_HUMAN Plasmalemma vesicle-associated protein OS=Homo sapiens OX=9606 GN=PLVAP PE=2 SV=1
+GSSPAVPIGAPPRQSELIKRKFEELSAPDIPQPNPVPGMPRSVPMMPQSKTKICTDLASNRIALEMRLQEAERKKEELEKALNDREKRLVAKEELALQTQRSCEAQLKAERAQAEKEVKQKAEQSARLGQQAELKQRQLDSNERAVREIDARLSRALEEVKSSMLSPMHDCARRISALESGLPHYLNYGLNDLSRPIISDRWLNRLDMEFKDKDLPLCLAQVKQLQEKALQREQHQLERTKVCEVLQEEAVRKNLLVSEKDKTCITKEKAIEVELTKVKQNLMFLLADCSKNMDKFQDRCQKESLIIAAMYRQNNTYIVRDGQCQRFSANIRDLDRRANLWMQMIADKARTTFNLEKTLNSQSATLGLLQSYLGEARRETAQLNSETSVHVNGYVMFLVLGLIILFQILSVFLFFYRLYYWCGRSSGGARAYSGGHEMALGM
+>sp|O43157|PLXB1_HUMAN Plexin-B1 OS=Homo sapiens OX=9606 GN=PLXNB1 PE=1 SV=3
+MPALGPALLQALWAGWVLTLQPLPPTAFTPNGTYLQHLARDPTSGTLYLGATNFLFQLSPGLQLEATVSTGPVLDSRDCLPPVMPDECPQAQPTNNPNQLLLVSPGALVVCGSVHQGVCEQRRLGQLEQLLLRPERPGDTQYVAANDPAVSTVGLVAQGLAGEPLLFVGRGYTSRGVGGGIPPITTRALWPPDPQAAFSYEETAKLAVGRLSEYSHHFVSAFARGASAYFLFLRRDLQAQSRAFRAYVSRVCLRDQHYYSYVELPLACEGGRYGLIQAAAVATSREVAHGEVLFAAFSSAAPPTVGRPPSAAAGASGASALCAFPLDEVDRLANRTRDACYTREGRAEDGTEVAYIEYDVNSDCAQLPVDTLDAYPCGSDHTPSPMASRVPLEATPILEWPGIQLTAVAVTMEDGHTIAFLGDSQGQLHRVYLGPGSDGHPYSTQSIQQGSAVSRDLTFDGTFEHLYVMTQSTLLKVPVASCAQHLDCASCLAHRDPYCGWCVLLGRCSRRSECSRGQGPEQWLWSFQPELGCLQVAAMSPANISREETREVFLSVPDLPPLWPGESYSCHFGEHQSPALLTGSGVMCPSPDPSEAPVLPRGADYVSVSVELRFGAVVIAKTSLSFYDCVAVTELRPSAQCQACVSSRWGCNWCVWQHLCTHKASCDAGPMVASHQSPLVSPDPPARGGPSPSPPTAPKALATPAPDTLPVEPGAPSTATASDISPGASPSLLSPWGPWAGSGSISSPGSTGSPLHEEPSPPSPQNGPGTAVPAPTDFRPSATPEDLLASPLSPSEVAAVPPADPGPEALHPTVPLDLPPATVPATTFPGAMGSVKPALDWLTREGGELPEADEWTGGDAPAFSTSTLLSGDGDSAELEGPPAPLILPSSLDYQYDTPGLWELEEATLGASSCPCVESVQGSTLMPVHVEREIRLLGRNLHLFQDGPGDNECVMELEGLEVVVEARVECEPPPDTQCHVTCQQHQLSYEALQPELRVGLFLRRAGRLRVDSAEGLHVVLYDCSVGHGDCSRCQTAMPQYGCVWCEGERPRCVTREACGEAEAVATQCPAPLIHSVEPLTGPVDGGTRVTIRGSNLGQHVQDVLGMVTVAGVPCAVDAQEYEVSSSLVCITGASGEEVAGATAVEVPGRGRGVSEHDFAYQDPKVHSIFPARGPRAGGTRLTLNGSKLLTGRLEDIRVVVGDQPCHLLPEQQSEQLRCETSPRPTPATLPVAVWFGATERRLQRGQFKYTLDPNITSAGPTKSFLSGGREICVRGQNLDVVQTPRIRVTVVSRMLQPSQGLGRRRRVVPETACSLGPSCSSQQFEEPCHVNSSQLITCRTPALPGLPEDPWVRVEFILDNLVFDFATLNPTPFSYEADPTLQPLNPEDPTMPFRHKPGSVFSVEGENLDLAMSKEEVVAMIGDGPCVVKTLTRHHLYCEPPVEQPLPRHHALREAPDSLPEFTVQMGNLRFSLGHVQYDGESPGAFPVAAQVGLGVGTSLLALGVIIIVLMYRRKSKQALRDYKKVQIQLENLESSVRDRCKKEFTDLMTEMTDLTSDLLGSGIPFLDYKVYAERIFFPGHRESPLHRDLGVPESRRPTVEQGLGQLSNLLNSKLFLTKFIHTLESQRTFSARDRAYVASLLTVALHGKLEYFTDILRTLLSDLVAQYVAKNPKLMLRRTETVVEKLLTNWMSICLYTFVRDSVGEPLYMLFRGIKHQVDKGPVDSVTGKAKYTLNDNRLLREDVEYRPLTLNALLAVGPGAGEAQGVPVKVLDCDTISQAKEKMLDQLYKGVPLTQRPDPRTLDVEWRSGVAGHLILSDEDVTSEVQGLWRRLNTLQHYKVPDGATVALVPCLTKHVLRENQDYVPGERTPMLEDVDEGGIRPWHLVKPSDEPEPPRPRRGSLRGGERERAKAIPEIYLTRLLSMKGTLQKFVDDLFQVILSTSRPVPLAVKYFFDLLDEQAQQHGISDQDTIHIWKTNSLPLRFWINIIKNPQFVFDVQTSDNMDAVLLVIAQTFMDACTLADHKLGRDSPINKLLYARDIPRYKRMVERYYADIRQTVPASDQEMNSVLAELSWNYSGDLGARVALHELYKYINKYYDQIITALEEDGTAQKMQLGYRLQQIAAAVENKVTDL
+>DECOY_sp|O43157|PLXB1_HUMAN Plexin-B1 OS=Homo sapiens OX=9606 GN=PLXNB1 PE=1 SV=3
+LDTVKNEVAAAIQQLRYGLQMKQATGDEELATIIQDYYKNIYKYLEHLAVRAGLDGSYNWSLEALVSNMEQDSAPVTQRIDAYYREVMRKYRPIDRAYLLKNIPSDRGLKHDALTCADMFTQAIVLLVADMNDSTQVDFVFQPNKIINIWFRLPLSNTKWIHITDQDSIGHQQAQEDLLDFFYKVALPVPRSTSLIVQFLDDVFKQLTGKMSLLRTLYIEPIAKAREREGGRLSGRRPRPPEPEDSPKVLHWPRIGGEDVDELMPTREGPVYDQNERLVHKTLCPVLAVTAGDPVKYHQLTNLRRWLGQVESTVDEDSLILHGAVGSRWEVDLTRPDPRQTLPVGKYLQDLMKEKAQSITDCDLVKVPVGQAEGAGPGVALLANLTLPRYEVDERLLRNDNLTYKAKGTVSDVPGKDVQHKIGRFLMYLPEGVSDRVFTYLCISMWNTLLKEVVTETRRLMLKPNKAVYQAVLDSLLTRLIDTFYELKGHLAVTLLSAVYARDRASFTRQSELTHIFKTLFLKSNLLNSLQGLGQEVTPRRSEPVGLDRHLPSERHGPFFIREAYVKYDLFPIGSGLLDSTLDTMETMLDTFEKKCRDRVSSELNELQIQVKKYDRLAQKSKRRYMLVIIIVGLALLSTGVGLGVQAAVPFAGPSEGDYQVHGLSFRLNGMQVTFEPLSDPAERLAHHRPLPQEVPPECYLHHRTLTKVVCPGDGIMAVVEEKSMALDLNEGEVSFVSGPKHRFPMTPDEPNLPQLTPDAEYSFPTPNLTAFDFVLNDLIFEVRVWPDEPLGPLAPTRCTILQSSNVHCPEEFQQSSCSPGLSCATEPVVRRRRGLGQSPQLMRSVVTVRIRPTQVVDLNQGRVCIERGGSLFSKTPGASTINPDLTYKFQGRQLRRETAGFWVAVPLTAPTPRPSTECRLQESQQEPLLHCPQDGVVVRIDELRGTLLKSGNLTLRTGGARPGRAPFISHVKPDQYAFDHESVGRGRGPVEVATAGAVEEGSAGTICVLSSSVEYEQADVACPVGAVTVMGLVDQVHQGLNSGRITVRTGGDVPGTLPEVSHILPAPCQTAVAEAEGCAERTVCRPREGECWVCGYQPMATQCRSCDGHGVSCDYLVVHLGEASDVRLRGARRLFLGVRLEPQLAEYSLQHQQCTVHCQTDPPPECEVRAEVVVELGELEMVCENDGPGDQFLHLNRGLLRIEREVHVPMLTSGQVSEVCPCSSAGLTAEELEWLGPTDYQYDLSSPLILPAPPGELEASDGDGSLLTSTSFAPADGGTWEDAEPLEGGERTLWDLAPKVSGMAGPFTTAPVTAPPLDLPVTPHLAEPGPDAPPVAAVESPSLPSALLDEPTASPRFDTPAPVATGPGNQPSPPSPEEHLPSGTSGPSSISGSGAWPGWPSLLSPSAGPSIDSATATSPAGPEVPLTDPAPTALAKPATPPSPSPGGRAPPDPSVLPSQHSAVMPGADCSAKHTCLHQWVCWNCGWRSSVCAQCQASPRLETVAVCDYFSLSTKAIVVAGFRLEVSVSVYDAGRPLVPAESPDPSPCMVGSGTLLAPSQHEGFHCSYSEGPWLPPLDPVSLFVERTEERSINAPSMAAVQLCGLEPQFSWLWQEPGQGRSCESRRSCRGLLVCWGCYPDRHALCSACDLHQACSAVPVKLLTSQTMVYLHEFTGDFTLDRSVASGQQISQTSYPHGDSGPGLYVRHLQGQSDGLFAITHGDEMTVAVATLQIGPWELIPTAELPVRSAMPSPTHDSGCPYADLTDVPLQACDSNVDYEIYAVETGDEARGERTYCADRTRNALRDVEDLPFACLASAGSAGAAASPPRGVTPPAASSFAAFLVEGHAVERSTAVAAAQILGYRGGECALPLEVYSYYHQDRLCVRSVYARFARSQAQLDRRLFLFYASAGRAFASVFHHSYESLRGVALKATEEYSFAAQPDPPWLARTTIPPIGGGVGRSTYGRGVFLLPEGALGQAVLGVTSVAPDNAAVYQTDGPREPRLLLQELQGLRRQECVGQHVSGCVVLAGPSVLLLQNPNNTPQAQPCEDPMVPPLCDRSDLVPGTSVTAELQLGPSLQFLFNTAGLYLTGSTPDRALHQLYTGNPTFATPPLPQLTLVWGAWLAQLLAPGLAPM
+>sp|O60486|PLXC1_HUMAN Plexin-C1 OS=Homo sapiens OX=9606 GN=PLXNC1 PE=1 SV=1
+MEVSRRKAPPRPPRPAAPLPLLAYLLALAAPGRGADEPVWRSEQAIGAIAASQEDGVFVASGSCLDQLDYSLEHSLSRLYRDQAGNCTEPVSLAPPARPRPGSSFSKLLLPYREGAAGLGGLLLTGWTFDRGACEVRPLGNLSRNSLRNGTEVVSCHPQGSTAGVVYRAGRNNRWYLAVAATYVLPEPETASRCNPAASDHDTAIALKDTEGRSLATQELGRLKLCEGAGSLHFVDAFLWNGSIYFPYYPYNYTSGAATGWPSMARIAQSTEVLFQGQASLDCGHGHPDGRRLLLSSSLVEALDVWAGVFSAAAGEGQERRSPTTTALCLFRMSEIQARAKRVSWDFKTAESHCKEGDQPERVQPIASSTLIHSDLTSVYGTVVMNRTVLFLGTGDGQLLKVILGENLTSNCPEVIYEIKEETPVFYKLVPDPVKNIYIYLTAGKEVRRIRVANCNKHKSCSECLTATDPHCGWCHSLQRCTFQGDCVHSENLENWLDISSGAKKCPKIQIIRSSKEKTTVTMVGSFSPRHSKCMVKNVDSSRELCQNKSQPNRTCTCSIPTRATYKDVSVVNVMFSFGSWNLSDRFNFTNCSSLKECPACVETGCAWCKSARRCIHPFTACDPSDYERNQEQCPVAVEKTSGGGRPKENKGNRTNQALQVFYIKSIEPQKVSTLGKSNVIVTGANFTRASNITMILKGTSTCDKDVIQVSHVLNDTHMKFSLPSSRKEMKDVCIQFDGGNCSSVGSLSYIALPHCSLIFPATTWISGGQNITMMGRNFDVIDNLIISHELKGNINVSEYCVATYCGFLAPSLKSSKVRTNVTVKLRVQDTYLDCGTLQYREDPRFTGYRVESEVDTELEVKIQKENDNFNISKKDIEITLFHGENGQLNCSFENITRNQDLTTILCKIKGIKTASTIANSSKKVRVKLGNLELYVEQESVPSTWYFLIVLPVLLVIVIFAAVGVTRHKSKELSRKQSQQLELLESELRKEIRDGFAELQMDKLDVVDSFGTVPFLDYKHFALRTFFPESGGFTHIFTEDMHNRDANDKNESLTALDALICNKSFLVTVIHTLEKQKNFSVKDRCLFASFLTIALQTKLVYLTSILEVLTRDLMEQCSNMQPKLMLRRTESVVEKLLTNWMSVCLSGFLRETVGEPFYLLVTTLNQKINKGPVDVITCKALYTLNEDWLLWQVPEFSTVALNVVFEKIPENESADVCRNISVNVLDCDTIGQAKEKIFQAFLSKNGSPYGLQLNEIGLELQMGTRQKELLDIDSSSVILEDGITKLNTIGHYEISNGSTIKVFKKIANFTSDVEYSDDHCHLILPDSEAFQDVQGKRHRGKHKFKVKEMYLTKLLSTKVAIHSVLEKLFRSIWSLPNSRAPFAIKYFFDFLDAQAENKKITDPDVVHIWKTNSLPLRFWVNILKNPQFVFDIKKTPHIDGCLSVIAQAFMDAFSLTEQQLGKEAPTNKLLYAKDIPTYKEEVKSYYKAIRDLPPLSSSEMEEFLTQESKKHENEFNEEVALTEIYKYIVKYFDEILNKLERERGLEEAQKQLLHVKVLFDEKKKCKWM
+>DECOY_sp|O60486|PLXC1_HUMAN Plexin-C1 OS=Homo sapiens OX=9606 GN=PLXNC1 PE=1 SV=1
+MWKCKKKEDFLVKVHLLQKQAEELGRERELKNLIEDFYKVIYKYIETLAVEENFENEHKKSEQTLFEEMESSSLPPLDRIAKYYSKVEEKYTPIDKAYLLKNTPAEKGLQQETLSFADMFAQAIVSLCGDIHPTKKIDFVFQPNKLINVWFRLPLSNTKWIHVVDPDTIKKNEAQADLFDFFYKIAFPARSNPLSWISRFLKELVSHIAVKTSLLKTLYMEKVKFKHKGRHRKGQVDQFAESDPLILHCHDDSYEVDSTFNAIKKFVKITSGNSIEYHGITNLKTIGDELIVSSSDIDLLEKQRTGMQLELGIENLQLGYPSGNKSLFAQFIKEKAQGITDCDLVNVSINRCVDASENEPIKEFVVNLAVTSFEPVQWLLWDENLTYLAKCTIVDVPGKNIKQNLTTVLLYFPEGVTERLFGSLCVSMWNTLLKEVVSETRRLMLKPQMNSCQEMLDRTLVELISTLYVLKTQLAITLFSAFLCRDKVSFNKQKELTHIVTVLFSKNCILADLATLSENKDNADRNHMDETFIHTFGGSEPFFTRLAFHKYDLFPVTGFSDVVDLKDMQLEAFGDRIEKRLESELLELQQSQKRSLEKSKHRTVGVAAFIVIVLLVPLVILFYWTSPVSEQEVYLELNGLKVRVKKSSNAITSATKIGKIKCLITTLDQNRTINEFSCNLQGNEGHFLTIEIDKKSINFNDNEKQIKVELETDVESEVRYGTFRPDERYQLTGCDLYTDQVRLKVTVNTRVKSSKLSPALFGCYTAVCYESVNINGKLEHSIILNDIVDFNRGMMTINQGGSIWTTAPFILSCHPLAIYSLSGVSSCNGGDFQICVDKMEKRSSPLSFKMHTDNLVHSVQIVDKDCTSTGKLIMTINSARTFNAGTVIVNSKGLTSVKQPEISKIYFVQLAQNTRNGKNEKPRGGGSTKEVAVPCQEQNREYDSPDCATFPHICRRASKCWACGTEVCAPCEKLSSCNTFNFRDSLNWSGFSFMVNVVSVDKYTARTPISCTCTRNPQSKNQCLERSSDVNKVMCKSHRPSFSGVMTVTTKEKSSRIIQIKPCKKAGSSIDLWNELNESHVCDGQFTCRQLSHCWGCHPDTATLCESCSKHKNCNAVRIRRVEKGATLYIYINKVPDPVLKYFVPTEEKIEYIVEPCNSTLNEGLIVKLLQGDGTGLFLVTRNMVVTGYVSTLDSHILTSSAIPQVREPQDGEKCHSEATKFDWSVRKARAQIESMRFLCLATTTPSRREQGEGAAASFVGAWVDLAEVLSSSLLLRRGDPHGHGCDLSAQGQFLVETSQAIRAMSPWGTAAGSTYNYPYYPFYISGNWLFADVFHLSGAGECLKLRGLEQTALSRGETDKLAIATDHDSAAPNCRSATEPEPLVYTAAVALYWRNNRGARYVVGATSGQPHCSVVETGNRLSNRSLNGLPRVECAGRDFTWGTLLLGGLGAAGERYPLLLKSFSSGPRPRAPPALSVPETCNGAQDRYLRSLSHELSYDLQDLCSGSAVFVGDEQSAAIAGIAQESRWVPEDAGRGPAALALLYALLPLPAAPRPPRPPAKRRSVEM
+>sp|Q8WZA1|PMGT1_HUMAN Protein O-linked-mannose beta-1,2-N-acetylglucosaminyltransferase 1 OS=Homo sapiens OX=9606 GN=POMGNT1 PE=1 SV=2
+MDDWKPSPLIKPFGARKKRSWYLTWKYKLTNQRALRRFCQTGAVLFLLVTVIVNIKLILDTRRAISEANEDPEPEQDYDEALGRLEPPRRRGSGPRRVLDVEVYSSRSKVYVAVDGTTVLEDEAREQGRGIHVIVLNQATGHVMAKRVFDTYSPHEDEAMVLFLNMVAPGRVLICTVKDEGSFHLKDTAKALLRSLGSQAGPALGWRDTWAFVGRKGGPVFGEKHSKSPALSSWGDPVLLKTDVPLSSAEEAECHWADTELNRRRRRFCSKVEGYGSVCSCKDPTPIEFSPDPLPDNKVLNVPVAVIAGNRPNYLYRMLRSLLSAQGVSPQMITVFIDGYYEEPMDVVALFGLRGIQHTPISIKNARVSQHYKASLTATFNLFPEAKFAVVLEEDLDIAVDFFSFLSQSIHLLEEDDSLYCISAWNDQGYEHTAEDPALLYRVETMPGLGWVLRRSLYKEELEPKWPTPEKLWDWDMWMRMPEQRRGRECIIPDVSRSYHFGIVGLNMNGYFHEAYFKKHKFNTVPGVQLRNVDSLKKEAYEVEVHRLLSEAEVLDHSKNPCEDSFLPDTEGHTYVAFIRMEKDDDFTTWTQLAKCLHIWDLDVRGNHRGLWRLFRKKNHFLMVGVPASPYSVKKPPSVTPIFLEPPPKEEGAPGAPEQT
+>DECOY_sp|Q8WZA1|PMGT1_HUMAN Protein O-linked-mannose beta-1,2-N-acetylglucosaminyltransferase 1 OS=Homo sapiens OX=9606 GN=POMGNT1 PE=1 SV=2
+TQEPAGPAGEEKPPPELFIPTVSPPKKVSYPSAPVGVMLFHNKKRFLRWLGRHNGRVDLDWIHLCKALQTWTTFDDDKEMRIFAVYTHGETDPLFSDECPNKSHDLVEAESLLRHVEVEYAEKKLSDVNRLQVGPVTNFKHKKFYAEHFYGNMNLGVIGFHYSRSVDPIICERGRRQEPMRMWMDWDWLKEPTPWKPELEEKYLSRRLVWGLGPMTEVRYLLAPDEATHEYGQDNWASICYLSDDEELLHISQSLFSFFDVAIDLDEELVVAFKAEPFLNFTATLSAKYHQSVRANKISIPTHQIGRLGFLAVVDMPEEYYGDIFVTIMQPSVGQASLLSRLMRYLYNPRNGAIVAVPVNLVKNDPLPDPSFEIPTPDKCSCVSGYGEVKSCFRRRRRNLETDAWHCEAEEASSLPVDTKLLVPDGWSSLAPSKSHKEGFVPGGKRGVFAWTDRWGLAPGAQSGLSRLLAKATDKLHFSGEDKVTCILVRGPAVMNLFLVMAEDEHPSYTDFVRKAMVHGTAQNLVIVHIGRGQERAEDELVTTGDVAVYVKSRSSYVEVDLVRRPGSGRRRPPELRGLAEDYDQEPEPDENAESIARRTDLILKINVIVTVLLFLVAGTQCFRRLARQNTLKYKWTLYWSRKKRAGFPKILPSPKWDDM
+>sp|O00541|PESC_HUMAN Pescadillo homolog OS=Homo sapiens OX=9606 GN=PES1 PE=1 SV=1
+MGGLEKKKYERGSATNYITRNKARKKLQLSLADFRRLCILKGIYPHEPKHKKKVNKGSTAARTFYLIKDIRFLLHEPIVNKFREYKVFVRKLRKAYGKSEWNTVERLKDNKPNYKLDHIIKERYPTFIDALRDLDDALSMCFLFSTFPRTGKCHVQTIQLCRRLTVEFMHYIIAARALRKVFLSIKGIYYQAEVLGQPIVWITPYAFSHDHPTDVDYRVMATFTEFYTTLLGFVNFRLYQLLNLHYPPKLEGQAQAEAKAGEGTYALDSESCMEKLAALSASLARVVVPATEEEAEVDEFPTDGEMSAQEEDRRKELEAQEKHKKLFEGLKFFLNREVPREALAFIIRSFGGEVSWDKSLCIGATYDVTDSRITHQIVDRPGQQTSVIGRCYVQPQWVFDSVNARLLLPVAEYFSGVQLPPHLSPFVTEKEGDYVPPEKLKLLALQRGEDPGNLNESEEEEEEDDNNEGDGDEEGENEEEEEDAEAGSEKEEEARLAALEEQRMEGKKPRVMAGTLKLEDKQRLAQEEESEAKRLAIMMMKKREKYLYQKIMFGKRRKIREANKLAEKRKAHDEAVRSEKKAKKARPE
+>DECOY_sp|O00541|PESC_HUMAN Pescadillo homolog OS=Homo sapiens OX=9606 GN=PES1 PE=1 SV=1
+EPRAKKAKKESRVAEDHAKRKEALKNAERIKRRKGFMIKQYLYKERKKMMMIALRKAESEEEQALRQKDELKLTGAMVRPKKGEMRQEELAALRAEEEKESGAEADEEEEENEGEEDGDGENNDDEEEEEESENLNGPDEGRQLALLKLKEPPVYDGEKETVFPSLHPPLQVGSFYEAVPLLLRANVSDFVWQPQVYCRGIVSTQQGPRDVIQHTIRSDTVDYTAGICLSKDWSVEGGFSRIIFALAERPVERNLFFKLGEFLKKHKEQAELEKRRDEEQASMEGDTPFEDVEAEEETAPVVVRALSASLAALKEMCSESDLAYTGEGAKAEAQAQGELKPPYHLNLLQYLRFNVFGLLTTYFETFTAMVRYDVDTPHDHSFAYPTIWVIPQGLVEAQYYIGKISLFVKRLARAAIIYHMFEVTLRRCLQITQVHCKGTRPFTSFLFCMSLADDLDRLADIFTPYREKIIHDLKYNPKNDKLREVTNWESKGYAKRLKRVFVKYERFKNVIPEHLLFRIDKILYFTRAATSGKNVKKKHKPEHPYIGKLICLRRFDALSLQLKKRAKNRTIYNTASGREYKKKELGGM
+>sp|O60683|PEX10_HUMAN Peroxisome biogenesis factor 10 OS=Homo sapiens OX=9606 GN=PEX10 PE=1 SV=1
+MAPAAASPPEVIRAAQKDEYYRGGLRSAAGGALHSLAGARKWLEWRKEVELLSDVAYFGLTTLAGYQTLGEEYVSIIQVDPSRIHVPSSLRRGVLVTLHAVLPYLLDKALLPLEQELQADPDSGRPLQGSLGPGGRGCSGARRWMRHHTATLTEQQRRALLRAVFVLRQGLACLQRLHVAWFYIHGVFYHLAKRLTGITYLRVRSLPGEDLRARVSYRLLGVISLLHLVLSMGLQLYGFRQRQRARKEWRLHRGLSHRRASLEERAVSRNPLCTLCLEERRHPTATPCGHLFCWECITAWCSSKAECPLCREKFPPQKLIYLRHYR
+>DECOY_sp|O60683|PEX10_HUMAN Peroxisome biogenesis factor 10 OS=Homo sapiens OX=9606 GN=PEX10 PE=1 SV=1
+RYHRLYILKQPPFKERCLPCEAKSSCWATICEWCFLHGCPTATPHRREELCLTCLPNRSVAREELSARRHSLGRHLRWEKRARQRQRFGYLQLGMSLVLHLLSIVGLLRYSVRARLDEGPLSRVRLYTIGTLRKALHYFVGHIYFWAVHLRQLCALGQRLVFVARLLARRQQETLTATHHRMWRRAGSCGRGGPGLSGQLPRGSDPDAQLEQELPLLAKDLLYPLVAHLTVLVGRRLSSPVHIRSPDVQIISVYEEGLTQYGALTTLGFYAVDSLLEVEKRWELWKRAGALSHLAGGAASRLGGRYYEDKQAARIVEPPSAAAPAM
+>sp|Q92968|PEX13_HUMAN Peroxisomal membrane protein PEX13 OS=Homo sapiens OX=9606 GN=PEX13 PE=1 SV=2
+MASQPPPPPKPWETRRIPGAGPGPGPGPTFQSADLGPTLMTRPGQPALTRVPPPILPRPSQQTGSSSVNTFRPAYSSFSSGYGAYGNSFYGGYSPYSYGYNGLGYNRLRVDDLPPSRFVQQAEESSRGAFQSIESIVHAFASVSMMMDATFSAVYNSFRAVLDVANHFSRLKIHFTKVFSAFALVRTIRYLYRRLQRMLGLRRGSENEDLWAESEGTVACLGAEDRAATSAKSWPIFLFFAVILGGPYLIWKLLSTHSDEVTDSINWASGEDDHVVARAEYDFAAVSEEEISFRAGDMLNLALKEQQPKVRGWLLASLDGQTTGLIPANYVKILGKRKGRKTVESSKVSKQQQSFTNPTLTKGATVADSLDEQEAAFESVFVETNKVPVAPDSIGKDGEKQDL
+>DECOY_sp|Q92968|PEX13_HUMAN Peroxisomal membrane protein PEX13 OS=Homo sapiens OX=9606 GN=PEX13 PE=1 SV=2
+LDQKEGDKGISDPAVPVKNTEVFVSEFAAEQEDLSDAVTAGKTLTPNTFSQQQKSVKSSEVTKRGKRKGLIKVYNAPILGTTQGDLSALLWGRVKPQQEKLALNLMDGARFSIEEESVAAFDYEARAVVHDDEGSAWNISDTVEDSHTSLLKWILYPGGLIVAFFLFIPWSKASTAARDEAGLCAVTGESEAWLDENESGRRLGLMRQLRRYLYRITRVLAFASFVKTFHIKLRSFHNAVDLVARFSNYVASFTADMMMSVSAFAHVISEISQFAGRSSEEAQQVFRSPPLDDVRLRNYGLGNYGYSYPSYGGYFSNGYAGYGSSFSSYAPRFTNVSSSGTQQSPRPLIPPPVRTLAPQGPRTMLTPGLDASQFTPGPGPGPGAGPIRRTEWPKPPPPPQSAM
+>sp|Q7Z412|PEX26_HUMAN Peroxisome assembly protein 26 OS=Homo sapiens OX=9606 GN=PEX26 PE=1 SV=2
+MKSDSSTSAAPLRGLGGPLRSSEPVRAVPARAPAVDLLEEAADLLVVHLDFRAALETCERAWQSLANHAVAEEPAGTSLEVKCSLCVVGIQALAEMDRWQEVLSWVLQYYQVPEKLPPKVLELCILLYSKMQEPGAVLDVVGAWLQDPANQNLPEYGALAEFHVQRVLLPLGCLSEAEELVVGSAAFGEERRLDVLQAIHTARQQQKQEHSGSEEAQKPNLEGSVSHKFLSLPMLVRQLWDSAVSHFFSLPFKKSLLAALILCLLVVRFDPASPSSLHFLYKLAQLFRWIRKAAFSRLYQLRIRD
+>DECOY_sp|Q7Z412|PEX26_HUMAN Peroxisome assembly protein 26 OS=Homo sapiens OX=9606 GN=PEX26 PE=1 SV=2
+DRIRLQYLRSFAAKRIWRFLQALKYLFHLSSPSAPDFRVVLLCLILAALLSKKFPLSFFHSVASDWLQRVLMPLSLFKHSVSGELNPKQAEESGSHEQKQQQRATHIAQLVDLRREEGFAASGVVLEEAESLCGLPLLVRQVHFEALAGYEPLNQNAPDQLWAGVVDLVAGPEQMKSYLLICLELVKPPLKEPVQYYQLVWSLVEQWRDMEALAQIGVVCLSCKVELSTGAPEEAVAHNALSQWARECTELAARFDLHVVLLDAAEELLDVAPARAPVARVPESSRLPGGLGRLPAASTSSDSKM
+>sp|P28328|PEX2_HUMAN Peroxisome biogenesis factor 2 OS=Homo sapiens OX=9606 GN=PEX2 PE=1 SV=2
+MASRKENAKSANRVLRISQLDALELNKALEQLVWSQFTQCFHGFKPGLLARFEPEVKACLWVFLWRFTIYSKNATVGQSVLNIKYKNDFSPNLRYQPPSKNQKIWYAVCTIGGRWLEERCYDLFRNHHLASFGKVKQCVNFVIGLLKLGGLINFLIFLQRGKFATLTERLLGIHSVFCKPQNICEVGFEYMNRELLWHGFAEFLIFLLPLINVQKLKAKLSSWCIPLTGAPNSDNTLATSGKECALCGEWPTMPHTIGCEHIFCYFCAKSSFLFDVYFTCPKCGTEVHSLQPLKSGIEMSEVNAL
+>DECOY_sp|P28328|PEX2_HUMAN Peroxisome biogenesis factor 2 OS=Homo sapiens OX=9606 GN=PEX2 PE=1 SV=2
+LANVESMEIGSKLPQLSHVETGCKPCTFYVDFLFSSKACFYCFIHECGITHPMTPWEGCLACEKGSTALTNDSNPAGTLPICWSSLKAKLKQVNILPLLFILFEAFGHWLLERNMYEFGVECINQPKCFVSHIGLLRETLTAFKGRQLFILFNILGGLKLLGIVFNVCQKVKGFSALHHNRFLDYCREELWRGGITCVAYWIKQNKSPPQYRLNPSFDNKYKINLVSQGVTANKSYITFRWLFVWLCAKVEPEFRALLGPKFGHFCQTFQSWVLQELAKNLELADLQSIRLVRNASKANEKRSAM
+>sp|P15259|PGAM2_HUMAN Phosphoglycerate mutase 2 OS=Homo sapiens OX=9606 GN=PGAM2 PE=1 SV=3
+MATHRLVMVRHGESTWNQENRFCGWFDAELSEKGTEEAKRGAKAIKDAKMEFDICYTSVLKRAIRTLWAILDGTDQMWLPVVRTWRLNERHYGGLTGLNKAETAAKHGEEQVKIWRRSFDIPPPPMDEKHPYYNSISKERRYAGLKPGELPTCESLKDTIARALPFWNEEIVPQIKAGKRVLIAAHGNSLRGIVKHLEGMSDQAIMELNLPTGIPIVYELNKELKPTKPMQFLGDEETVRKAMEAVAAQGKAK
+>DECOY_sp|P15259|PGAM2_HUMAN Phosphoglycerate mutase 2 OS=Homo sapiens OX=9606 GN=PGAM2 PE=1 SV=3
+KAKGQAAVAEMAKRVTEEDGLFQMPKTPKLEKNLEYVIPIGTPLNLEMIAQDSMGELHKVIGRLSNGHAAILVRKGAKIQPVIEENWFPLARAITDKLSECTPLEGPKLGAYRREKSISNYYPHKEDMPPPPIDFSRRWIKVQEEGHKAATEAKNLGTLGGYHRENLRWTRVVPLWMQDTGDLIAWLTRIARKLVSTYCIDFEMKADKIAKAGRKAEETGKESLEADFWGCFRNEQNWTSEGHRVMVLRHTAM
+>sp|Q96HS1|PGAM5_HUMAN Serine/threonine-protein phosphatase PGAM5, mitochondrial OS=Homo sapiens OX=9606 GN=PGAM5 PE=1 SV=2
+MAFRQALQLAACGLAGGSAAVLFSAVAVGKPRAGGDAEPRPAEPPAWAGGARPGPGVWDPNWDRREPLSLINVRKRNVESGEEELASKLDHYKAKATRHIFLIRHSQYHVDGSLEKDRTLTPLGREQAELTGLRLASLGLKFNKIVHSSMTRAIETTDIISRHLPGVCKVSTDLLREGAPIEPDPPVSHWKPEAVQYYEDGARIEAAFRNYIHRADARQEEDSYEIFICHANVIRYIVCRALQFPPEGWLRLSLNNGSITHLVIRPNGRVALRTLGDTGFMPPDKITRS
+>DECOY_sp|Q96HS1|PGAM5_HUMAN Serine/threonine-protein phosphatase PGAM5, mitochondrial OS=Homo sapiens OX=9606 GN=PGAM5 PE=1 SV=2
+SRTIKDPPMFGTDGLTRLAVRGNPRIVLHTISGNNLSLRLWGEPPFQLARCVIYRIVNAHCIFIEYSDEEQRADARHIYNRFAAEIRAGDEYYQVAEPKWHSVPPDPEIPAGERLLDTSVKCVGPLHRSIIDTTEIARTMSSHVIKNFKLGLSALRLGTLEAQERGLPTLTRDKELSGDVHYQSHRILFIHRTAKAKYHDLKSALEEEGSEVNRKRVNILSLPERRDWNPDWVGPGPRAGGAWAPPEAPRPEADGGARPKGVAVASFLVAASGGALGCAALQLAQRFAM
+>sp|Q8N328|PGBD3_HUMAN PiggyBac transposable element-derived protein 3 OS=Homo sapiens OX=9606 GN=PGBD3 PE=1 SV=3
+MPRTLSLHEITDLLETDDSIEASAIVIQPPENATAPVSDEESGDEEGGTINNLPGSLLHTAAYLIQDGSDAESDSDDPSYAPKDDSPDEVPSTFTVQQPPPSRRRKMTKILCKWKKADLTVQPVAGRVTAPPNDFFTVMRTPTEILELFLDDEVIELIVKYSNLYACSKGVHLGLTSSEFKCFLGIIFLSGYVSVPRRRMFWEQRTDVHNVLVSAAMRRDRFETIFSNLHVADNANLDPVDKFSKLRPLISKLNERCMKFVPNETYFSFDEFMVPYFGRHGCKQFIRGKPIRFGYKFWCGATCLGYICWFQPYQGKNPNTKHEEYGVGASLVLQFSEALTEAHPGQYHFVFNNFFTSIALLDKLSSMGHQATGTVRKDHIDRVPLESDVALKKKERGTFDYRIDGKGNIVCRWNDNSVVTVASSGAGIHPLCLVSRYSQKLKKKIQVQQPNMIKVYNQFMGGVDRADENIDKYRASIRGKKWYSSPLLFCFELVLQNAWQLHKTYDEKPVDFLEFRRRVVCHYLETHGHPPEPGQKGRPQKRNIDSRYDGINHVIVKQGKQTRCAECHKNTTFRCEKCDVALHVKCSVEYHTE
+>DECOY_sp|Q8N328|PGBD3_HUMAN PiggyBac transposable element-derived protein 3 OS=Homo sapiens OX=9606 GN=PGBD3 PE=1 SV=3
+ETHYEVSCKVHLAVDCKECRFTTNKHCEACRTQKGQKVIVHNIGDYRSDINRKQPRGKQGPEPPHGHTELYHCVVRRRFELFDVPKEDYTKHLQWANQLVLEFCFLLPSSYWKKGRISARYKDINEDARDVGGMFQNYVKIMNPQQVQIKKKLKQSYRSVLCLPHIGAGSSAVTVVSNDNWRCVINGKGDIRYDFTGREKKKLAVDSELPVRDIHDKRVTGTAQHGMSSLKDLLAISTFFNNFVFHYQGPHAETLAESFQLVLSAGVGYEEHKTNPNKGQYPQFWCIYGLCTAGCWFKYGFRIPKGRIFQKCGHRGFYPVMFEDFSFYTENPVFKMCRENLKSILPRLKSFKDVPDLNANDAVHLNSFITEFRDRRMAASVLVNHVDTRQEWFMRRRPVSVYGSLFIIGLFCKFESSTLGLHVGKSCAYLNSYKVILEIVEDDLFLELIETPTRMVTFFDNPPATVRGAVPQVTLDAKKWKCLIKTMKRRRSPPPQQVTFTSPVEDPSDDKPAYSPDDSDSEADSGDQILYAATHLLSGPLNNITGGEEDGSEEDSVPATANEPPQIVIASAEISDDTELLDTIEHLSLTRPM
+>sp|Q96PD5|PGRP2_HUMAN N-acetylmuramoyl-L-alanine amidase OS=Homo sapiens OX=9606 GN=PGLYRP2 PE=1 SV=1
+MAQGVLWILLGLLLWSDPGTASLPLLMDSVIQALAELEQKVPAAKTRHTASAWLMSAPNSGPHNRLYHFLLGAWSLNATELDPCPLSPELLGLTKEVARHDVREGKEYGVVLAPDGSTVAVEPLLAGLEAGLQGRRVINLPLDSMAAPWETGDTFPDVVAIAPDVRATSSPGLRDGSPDVTTADIGANTPDATKGCPDVQASLPDAKAKSPPTMVDSLLAVTLAGNLGLTFLRGSQTQSHPDLGTEGCWDQLSAPRTFTLLDPKASLLTMAFLNGALDGVILGDYLSRTPEPRPSLSHLLSQYYGAGVARDPGFRSNFRRQNGAALTSASILAQQVWGTLVLLQRLEPVHLQLQCMSQEQLAQVAANATKEFTEAFLGCPAIHPRCRWGAAPYRGRPKLLQLPLGFLYVHHTYVPAPPCTDFTRCAANMRSMQRYHQDTQGWGDIGYSFVVGSDGYVYEGRGWHWVGAHTLGHNSRGFGVAIVGNYTAALPTEAALRTVRDTLPSCAVRAGLLRPDYALLGHRQLVRTDCPGDALFDLLRTWPHFTATVKPRPARSVSKRSRREPPPRTLPATDLQ
+>DECOY_sp|Q96PD5|PGRP2_HUMAN N-acetylmuramoyl-L-alanine amidase OS=Homo sapiens OX=9606 GN=PGLYRP2 PE=1 SV=1
+QLDTAPLTRPPPERRSRKSVSRAPRPKVTATFHPWTRLLDFLADGPCDTRVLQRHGLLAYDPRLLGARVACSPLTDRVTRLAAETPLAATYNGVIAVGFGRSNHGLTHAGVWHWGRGEYVYGDSGVVFSYGIDGWGQTDQHYRQMSRMNAACRTFDTCPPAPVYTHHVYLFGLPLQLLKPRGRYPAAGWRCRPHIAPCGLFAETFEKTANAAVQALQEQSMCQLQLHVPELRQLLVLTGWVQQALISASTLAAGNQRRFNSRFGPDRAVGAGYYQSLLHSLSPRPEPTRSLYDGLIVGDLAGNLFAMTLLSAKPDLLTFTRPASLQDWCGETGLDPHSQTQSGRLFTLGLNGALTVALLSDVMTPPSKAKADPLSAQVDPCGKTADPTNAGIDATTVDPSGDRLGPSSTARVDPAIAVVDPFTDGTEWPAAMSDLPLNIVRRGQLGAELGALLPEVAVTSGDPALVVGYEKGERVDHRAVEKTLGLLEPSLPCPDLETANLSWAGLLFHYLRNHPGSNPASMLWASATHRTKAAPVKQELEALAQIVSDMLLPLSATGPDSWLLLGLLIWLVGQAM
+>sp|Q8N6C7|PGSF1_HUMAN Putative uncharacterized protein encoded by MIR7-3HG OS=Homo sapiens OX=9606 GN=MIR7-3HG PE=5 SV=1
+MPGMRLVCRLAHGHFPRKGQRRRSLTVWKAETSRADCLGAPNIRTAPLGRSEKRTAICFSTGAQDSSQRAPFRLQNPGQLLQLGMHSLHLHPELPTTDPAFFCKLHFIKGNDPYCLTISHVKSVLTFS
+>DECOY_sp|Q8N6C7|PGSF1_HUMAN Putative uncharacterized protein encoded by MIR7-3HG OS=Homo sapiens OX=9606 GN=MIR7-3HG PE=5 SV=1
+SFTLVSKVHSITLCYPDNGKIFHLKCFFAPDTTPLEPHLHLSHMGLQLLQGPNQLRFPARQSSDQAGTSFCIATRKESRGLPATRINPAGLCDARSTEAKWVTLSRRRQGKRPFHGHALRCVLRMGPM
+>sp|P78364|PHC1_HUMAN Polyhomeotic-like protein 1 OS=Homo sapiens OX=9606 GN=PHC1 PE=1 SV=3
+METESEQNSNSTNGSSSSGGSSRPQIAQMSLYERQAVQALQALQRQPNAAQYFHQFMLQQQLSNAQLHSLAAVQQATIAASRQASSPNTSTTQQQTTTTQASINLATTSAAQLISRSQSVSSPSATTLTQSVLLGNTTSPPLNQSQAQMYLRPQLGNLLQVNRTLGRNVPLASQLILMPNGAVAAVQQEVPSAQSPGVHADADQVQNLAVRNQQASAQGPQMQGSTQKAIPPGASPVSSLSQASSQALAVAQASSGATNQSLNLSQAGGGSGNSIPGSMGPGGGGQAHGGLGQLPSSGMGGGSCPRKGTGVVQPLPAAQTVTVSQGSQTEAESAAAKKAEADGSGQQNVGMNLTRTATPAPSQTLISSATYTQIQPHSLIQQQQQIHLQQKQVVIQQQIAIHHQQQFQHRQSQLLHTATHLQLAQQQQQQQQQQQQQQQPQATTLTAPQPPQVPPTQQVPPSQSQQQAQTLVVQPMLQSSPLSLPPDAAPKPPIPIQSKPPVAPIKPPQLGAAKMSAAQQPPPHIPVQVVGTRQPGTAQAQALGLAQLAAAVPTSRGMPGTVQSGQAHLASSPPSSQAPGALQECPPTLAPGMTLAPVQGTAHVVKGGATTSSPVVAQVPAAFYMQSVHLPGKPQTLAVKRKADSEEERDDVSTLGSMLPAKASPVAESPKVMDEKSSLGEKAESVANVNANTPSSELVALTPAPSVPPPTLAMVSRQMGDSKPPQAIVKPQILTHIIEGFVIQEGAEPFPVGCSQLLKESEKPLQTGLPTGLTENQSGGPLGVDSPSAELDKKANLLKCEYCGKYAPAEQFRGSKRFCSMTCAKRYNVSCSHQFRLKRKKMKEFQEANYARVRRRGPRRSSSDIARAKIQGKCHRGQEDSSRGSDNSSYDEALSPTSPGPLSVRAGHGERDLGNPNTAPPTPELHGINPVFLSSNPSRWSVEEVYEFIASLQGCQEIAEEFRSQEIDGQALLLLKEEHLMSAMNIKLGPALKICAKINVLKET
+>DECOY_sp|P78364|PHC1_HUMAN Polyhomeotic-like protein 1 OS=Homo sapiens OX=9606 GN=PHC1 PE=1 SV=3
+TEKLVNIKACIKLAPGLKINMASMLHEEKLLLLAQGDIEQSRFEEAIEQCGQLSAIFEYVEEVSWRSPNSSLFVPNIGHLEPTPPATNPNGLDREGHGARVSLPGPSTPSLAEDYSSNDSGRSSDEQGRHCKGQIKARAIDSSSRRPGRRRVRAYNAEQFEKMKKRKLRFQHSCSVNYRKACTMSCFRKSGRFQEAPAYKGCYECKLLNAKKDLEASPSDVGLPGGSQNETLGTPLGTQLPKESEKLLQSCGVPFPEAGEQIVFGEIIHTLIQPKVIAQPPKSDGMQRSVMALTPPPVSPAPTLAVLESSPTNANVNAVSEAKEGLSSKEDMVKPSEAVPSAKAPLMSGLTSVDDREEESDAKRKVALTQPKGPLHVSQMYFAAPVQAVVPSSTTAGGKVVHATGQVPALTMGPALTPPCEQLAGPAQSSPPSSALHAQGSQVTGPMGRSTPVAAALQALGLAQAQATGPQRTGVVQVPIHPPPQQAASMKAAGLQPPKIPAVPPKSQIPIPPKPAADPPLSLPSSQLMPQVVLTQAQQQSQSPPVQQTPPVQPPQPATLTTAQPQQQQQQQQQQQQQQQALQLHTATHLLQSQRHQFQQQHHIAIQQQIVVQKQQLHIQQQQQILSHPQIQTYTASSILTQSPAPTATRTLNMGVNQQGSGDAEAKKAAASEAETQSGQSVTVTQAAPLPQVVGTGKRPCSGGGMGSSPLQGLGGHAQGGGGPGMSGPISNGSGGGAQSLNLSQNTAGSSAQAVALAQSSAQSLSSVPSAGPPIAKQTSGQMQPGQASAQQNRVALNQVQDADAHVGPSQASPVEQQVAAVAGNPMLILQSALPVNRGLTRNVQLLNGLQPRLYMQAQSQNLPPSTTNGLLVSQTLTTASPSSVSQSRSILQAASTTALNISAQTTTTQQQTTSTNPSSAQRSAAITAQQVAALSHLQANSLQQQLMFQHFYQAANPQRQLAQLAQVAQREYLSMQAIQPRSSGGSSSSGNTSNSNQESETEM
+>sp|Q13371|PHLP_HUMAN Phosducin-like protein OS=Homo sapiens OX=9606 GN=PDCL PE=1 SV=3
+MTTLDDKLLGEKLQYYYSSSEDEDSDHEDKDRGRCAPASSSVPAEAELAGEGISVNTGPKGVINDWRRFKQLETEQREEQCREMERLIKKLSMTCRSHLDEEEEQQKQKDLQEKISGKMTLKEFAIMNEDQDDEEFLQQYRKQRMEEMRQQLHKGPQFKQVFEISSGEGFLDMIDKEQKSIVIMVHIYEDGIPGTEAMNGCMICLAAEYPAVKFCKVKSSVIGASSQFTRNALPALLIYKGGELIGNFVRVTDQLGDDFFAVDLEAFLQEFGLLPEKEVLVLTSVRNSATCHSEDSDLEID
+>DECOY_sp|Q13371|PHLP_HUMAN Phosducin-like protein OS=Homo sapiens OX=9606 GN=PDCL PE=1 SV=3
+DIELDSDESHCTASNRVSTLVLVEKEPLLGFEQLFAELDVAFFDDGLQDTVRVFNGILEGGKYILLAPLANRTFQSSAGIVSSKVKCFKVAPYEAALCIMCGNMAETGPIGDEYIHVMIVISKQEKDIMDLFGEGSSIEFVQKFQPGKHLQQRMEEMRQKRYQQLFEEDDQDENMIAFEKLTMKGSIKEQLDKQKQQEEEEDLHSRCTMSLKKILREMERCQEERQETELQKFRRWDNIVGKPGTNVSIGEGALEAEAPVSSSAPACRGRDKDEHDSDEDESSSYYYQLKEGLLKDDLTTM
+>sp|Q99453|PHX2B_HUMAN Paired mesoderm homeobox protein 2B OS=Homo sapiens OX=9606 GN=PHOX2B PE=1 SV=2
+MYKMEYSYLNSSAYESCMAGMDTSSLASAYADFSSCSQASGFQYNPIRTTFGATSGCPSLTPGSCSLGTLRDHQSSPYAAVPYKLFTDHGGLNEKRKQRRIRTTFTSAQLKELERVFAETHYPDIYTREELALKIDLTEARVQVWFQNRRAKFRKQERAAAAAAAAAKNGSSGKKSDSSRDDESKEAKSTDPDSTGGPGPNPNPTPSCGANGGGGGGPSPAGAPGAAGPGGPGGEPGKGGAAAAAAAAAAAAAAAAAAAAGGLAAAGGPGQGWAPGPGPITSIPDSLGGPFASVLSSLQRPNGAKAALVKSSMF
+>DECOY_sp|Q99453|PHX2B_HUMAN Paired mesoderm homeobox protein 2B OS=Homo sapiens OX=9606 GN=PHOX2B PE=1 SV=2
+FMSSKVLAAKAGNPRQLSSLVSAFPGGLSDPISTIPGPGPAWGQGPGGAAALGGAAAAAAAAAAAAAAAAAAAAGGKGPEGGPGGPGAAGPAGAPSPGGGGGGNAGCSPTPNPNPGPGGTSDPDTSKAEKSEDDRSSDSKKGSSGNKAAAAAAAAAREQKRFKARRNQFWVQVRAETLDIKLALEERTYIDPYHTEAFVRELEKLQASTFTTRIRRQKRKENLGGHDTFLKYPVAAYPSSQHDRLTGLSCSGPTLSPCGSTAGFTTRIPNYQFGSAQSCSSFDAYASALSSTDMGAMCSEYASSNLYSYEMKYM
+>sp|Q5UE93|PI3R6_HUMAN Phosphoinositide 3-kinase regulatory subunit 6 OS=Homo sapiens OX=9606 GN=PIK3R6 PE=1 SV=1
+MESSDVELDLQRSVQAVLRELSTQAPALQSNQGMWRWSLHKKVERDPGKSPVLVRILLRELEKAESQDLRHVIIPLLHTVMYVLTKATGITEELYQRIYAFCTRLLTLPTPYCTVALDCAIRLKTEMAVPGTLYQRMVIAEQNLTNELYPYQERVFLFVDPELVSASVCSALLLEIEAAQAQQTPETCMRHVVSHALQAALGEACHAGALHRKLQASPRRTLEHYFHAVVAALEQMASEASPSREGHVERLEEIYCSLLGPAAGRCGGDLVQERPPSIPLPSPYITFHLWTGEEQLWKELVLFLRPRSQLRLSADLEVLDLQGLRPDRELARVSVLSTDSGIERDLPTGADELPAPGSPEMERAGLQRKGGIKKRAWPLDFLMPGSWDGPPGLHRRTGRPSGDGEMLPGVSRLHTARVLVLGDDRMLGRLAQAYHRLRKRETQKFCLTPRLSLQLYYIPVLAPEKPAASRQPELGELATFLGRVDPWYQSNVNTLCPAIHKLAEMPPSLDTSRTVDPFILDVITYYIRMGTQPIYFQIYTVKIFFSDLSQDPTEDIFLIELKVKIQDSKFPKDGFSPRRRGVAEGPGAELSLCYQKALLSHRPREVTVSLRATGLILKAIPASDTEVSGSSHCPLPAAPVTDHTCLNVNVTEVVKSSNLAGKSFSTVTNTFRTNNIQIQSRDQRLLTLSLDKDDQRTFRDVVRFEVAPCPEPCSGAQKSKAPWLNLHGQQEVEAIKAKPKPLLMPINTFSGIVQ
+>DECOY_sp|Q5UE93|PI3R6_HUMAN Phosphoinositide 3-kinase regulatory subunit 6 OS=Homo sapiens OX=9606 GN=PIK3R6 PE=1 SV=1
+QVIGSFTNIPMLLPKPKAKIAEVEQQGHLNLWPAKSKQAGSCPEPCPAVEFRVVDRFTRQDDKDLSLTLLRQDRSQIQINNTRFTNTVTSFSKGALNSSKVVETVNVNLCTHDTVPAAPLPCHSSGSVETDSAPIAKLILGTARLSVTVERPRHSLLAKQYCLSLEAGPGEAVGRRRPSFGDKPFKSDQIKVKLEILFIDETPDQSLDSFFIKVTYIQFYIPQTGMRIYYTIVDLIFPDVTRSTDLSPPMEALKHIAPCLTNVNSQYWPDVRGLFTALEGLEPQRSAAPKEPALVPIYYLQLSLRPTLCFKQTERKRLRHYAQALRGLMRDDGLVLVRATHLRSVGPLMEGDGSPRGTRRHLGPPGDWSGPMLFDLPWARKKIGGKRQLGAREMEPSGPAPLEDAGTPLDREIGSDTSLVSVRALERDPRLGQLDLVELDASLRLQSRPRLFLVLEKWLQEEGTWLHFTIYPSPLPISPPREQVLDGGCRGAAPGLLSCYIEELREVHGERSPSAESAMQELAAVVAHFYHELTRRPSAQLKRHLAGAHCAEGLAAQLAHSVVHRMCTEPTQQAQAAEIELLLASCVSASVLEPDVFLFVREQYPYLENTLNQEAIVMRQYLTGPVAMETKLRIACDLAVTCYPTPLTLLRTCFAYIRQYLEETIGTAKTLVYMVTHLLPIIVHRLDQSEAKELERLLIRVLVPSKGPDREVKKHLSWRWMGQNSQLAPAQTSLERLVAQVSRQLDLEVDSSEM
+>sp|P48426|PI42A_HUMAN Phosphatidylinositol 5-phosphate 4-kinase type-2 alpha OS=Homo sapiens OX=9606 GN=PIP4K2A PE=1 SV=2
+MATPGNLGSSVLASKTKTKKKHFVAQKVKLFRASDPLLSVLMWGVNHSINELSHVQIPVMLMPDDFKAYSKIKVDNHLFNKENMPSHFKFKEYCPMVFRNLRERFGIDDQDFQNSLTRSAPLPNDSQARSGARFHTSYDKRYIIKTITSEDVAEMHNILKKYHQYIVECHGITLLPQFLGMYRLNVDGVEIYVIVTRNVFSHRLSVYRKYDLKGSTVAREASDKEKAKELPTLKDNDFINEGQKIYIDDNNKKVFLEKLKKDVEFLAQLKLMDYSLLVGIHDVERAEQEEVECEENDGEEEGESDGTHPVGTPPDSPGNTLNSSPPLAPGEFDPNIDVYGIKCHENSPRKEVYFMAIIDILTHYDAKKKAAHAAKTVKHGAGAEISTVNPEQYSKRFLDFIGHILT
+>DECOY_sp|P48426|PI42A_HUMAN Phosphatidylinositol 5-phosphate 4-kinase type-2 alpha OS=Homo sapiens OX=9606 GN=PIP4K2A PE=1 SV=2
+TLIHGIFDLFRKSYQEPNVTSIEAGAGHKVTKAAHAAKKKADYHTLIDIIAMFYVEKRPSNEHCKIGYVDINPDFEGPALPPSSNLTNGPSDPPTGVPHTGDSEGEEEGDNEECEVEEQEAREVDHIGVLLSYDMLKLQALFEVDKKLKELFVKKNNDDIYIKQGENIFDNDKLTPLEKAKEKDSAERAVTSGKLDYKRYVSLRHSFVNRTVIVYIEVGDVNLRYMGLFQPLLTIGHCEVIYQHYKKLINHMEAVDESTITKIIYRKDYSTHFRAGSRAQSDNPLPASRTLSNQFDQDDIGFRERLNRFVMPCYEKFKFHSPMNEKNFLHNDVKIKSYAKFDDPMLMVPIQVHSLENISHNVGWMLVSLLPDSARFLKVKQAVFHKKKTKTKSALVSSGLNGPTAM
+>sp|P42356|PI4KA_HUMAN Phosphatidylinositol 4-kinase alpha OS=Homo sapiens OX=9606 GN=PI4KA PE=1 SV=4
+MAAAPARGGGGGGGGGGGCSGSGSSASRGFYFNTVLSLARSLAVQRPASLEKVQKLLCMCPVDFHGIFQLDERRRDAVIALGIFLIESDLQHKDCVVPYLLRLLKGLPKVYWVEESTARKGRGALPVAESFSFCLVTLLSDVAYRDPSLRDEILEVLLQVLHVLLGMCQALEIQDKEYLCKYAIPCLIGISRAFGRYSNMEESLLSKLFPKIPPHSLRVLEELEGVRRRSFNDFRSILPSNLLTVCQEGTLKRKTSSVSSISQVSPERGMPPPSSPGGSAFHYFEASCLPDGTALEPEYYFSTISSSFSVSPLFNGVTYKEFNIPLEMLRELLNLVKKIVEEAVLKSLDAIVASVMEANPSADLYYTSFSDPLYLTMFKMLRDTLYYMKDLPTSFVKEIHDFVLEQFNTSQGELQKILHDADRIHNELSPLKLRCQANAACVDLMVWAVKDEQGAENLCIKLSEKLQSKTSSKVIIAHLPLLICCLQGLGRLCERFPVVVHSVTPSLRDFLVIPSPVLVKLYKYHSQYHTVAGNDIKISVTNEHSESTLNVMSGKKSQPSMYEQLRDIAIDNICRCLKAGLTVDPVIVEAFLASLSNRLYISQESDKDAHLIPDHTIRALGHIAVALRDTPKVMEPILQILQQKFCQPPSPLDVLIIDQLGCLVITGNQYIYQEVWNLFQQISVKASSVVYSATKDYKDHGYRHCSLAVINALANIAANIQDEHLVDELLMNLLELFVQLGLEGKRASERASEKGPALKASSSAGNLGVLIPVIAVLTRRLPPIKEAKPRLQKLFRDFWLYSVLMGFAVEGSGLWPEEWYEGVCEIATKSPLLTFPSKEPLRSVLQYNSAMKNDTVTPAELSELRSTIINLLDPPPEVSALINKLDFAMSTYLLSVYRLEYMRVLRSTDPDRFQVMFCYFEDKAIQKDKSGMMQCVIAVADKVFDAFLNMMADKAKTKENEEELERHAQFLLVNFNHIHKRIRRVADKYLSGLVDKFPHLLWSGTVLKTMLDILQTLSLSLSADIHKDQPYYDIPDAPYRITVPDTYEARESIVKDFAARCGMILQEAMKWAPTVTKSHLQEYLNKHQNWVSGLSQHTGLAMATESILHFAGYNKQNTTLGATQLSERPACVKKDYSNFMASLNLRNRYAGEVYGMIRFSGTTGQMSDLNKMMVQDLHSALDRSHPQHYTQAMFKLTAMLISSKDCDPQLLHHLCWGPLRMFNEHGMETALACWEWLLAGKDGVEVPFMREMAGAWHMTVEQKFGLFSAEIKEADPLAASEASQPKPCPPEVTPHYIWIDFLVQRFEIAKYCSSDQVEIFSSLLQRSMSLNIGGAKGSMNRHVAAIGPRFKLLTLGLSLLHADVVPNATIRNVLREKIYSTAFDYFSCPPKFPTQGEKRLREDISIMIKFWTAMFSDKKYLTASQLVPPDNQDTRSNLDITVGSRQQATQGWINTYPLSSGMSTISKKSGMSKKTNRGSQLHKYYMKRRTLLLSLLATEIERLITWYNPLSAPELELDQAGENSVANWRSKYISLSEKQWKDNVNLAWSISPYLAVQLPARFKNTEAIGNEVTRLVRLDPGAVSDVPEAIKFLVTWHTIDADAPELSHVLCWAPTDPPTGLSYFSSMYPPHPLTAQYGVKVLRSFPPDAILFYIPQIVQALRYDKMGYVREYILWAASKSQLLAHQFIWNMKTNIYLDEEGHQKDPDIGDLLDQLVEEITGSLSGPAKDFYQREFDFFNKITNVSAIIKPYPKGDERKKACLSALSEVKVQPGCYLPSNPEAIVLDIDYKSGTPMQSAAKAPYLAKFKVKRCGVSELEKEGLRCRSDSEDECSTQEADGQKISWQAAIFKVGDDCRQDMLALQIIDLFKNIFQLVGLDLFVFPYRVVATAPGCGVIECIPDCTSRDQLGRQTDFGMYDYFTRQYGDESTLAFQQARYNFIRSMAAYSLLLFLLQIKDRHNGNIMLDKKGHIIHIDFGFMFESSPGGNLGWEPDIKLTDEMVMIMGGKMEATPFKWFMEMCVRGYLAVRPYMDAVVSLVTLMLDTGLPCFRGQTIKLLKHRFSPNMTEREAANFIMKVIQSCFLSNRSRTYDMIQYYQNDIPY
+>DECOY_sp|P42356|PI4KA_HUMAN Phosphatidylinositol 4-kinase alpha OS=Homo sapiens OX=9606 GN=PI4KA PE=1 SV=4
+YPIDNQYYQIMDYTRSRNSLFCSQIVKMIFNAAERETMNPSFRHKLLKITQGRFCPLGTDLMLTVLSVVADMYPRVALYGRVCMEMFWKFPTAEMKGGMIMVMEDTLKIDPEWGLNGGPSSEFMFGFDIHIIHGKKDLMINGNHRDKIQLLFLLLSYAAMSRIFNYRAQQFALTSEDGYQRTFYDYMGFDTQRGLQDRSTCDPICEIVGCGPATAVVRYPFVFLDLGVLQFINKFLDIIQLALMDQRCDDGVKFIAAQWSIKQGDAEQTSCEDESDSRCRLGEKELESVGCRKVKFKALYPAKAASQMPTGSKYDIDLVIAEPNSPLYCGPQVKVESLASLCAKKREDGKPYPKIIASVNTIKNFFDFERQYFDKAPGSLSGTIEEVLQDLLDGIDPDKQHGEEDLYINTKMNWIFQHALLQSKSAAWLIYERVYGMKDYRLAQVIQPIYFLIADPPFSRLVKVGYQATLPHPPYMSSFYSLGTPPDTPAWCLVHSLEPADADITHWTVLFKIAEPVDSVAGPDLRVLRTVENGIAETNKFRAPLQVALYPSISWALNVNDKWQKESLSIYKSRWNAVSNEGAQDLELEPASLPNYWTILREIETALLSLLLTRRKMYYKHLQSGRNTKKSMGSKKSITSMGSSLPYTNIWGQTAQQRSGVTIDLNSRTDQNDPPVLQSATLYKKDSFMATWFKIMISIDERLRKEGQTPFKPPCSFYDFATSYIKERLVNRITANPVVDAHLLSLGLTLLKFRPGIAAVHRNMSGKAGGINLSMSRQLLSSFIEVQDSSCYKAIEFRQVLFDIWIYHPTVEPPCPKPQSAESAALPDAEKIEASFLGFKQEVTMHWAGAMERMFPVEVGDKGALLWEWCALATEMGHENFMRLPGWCLHHLLQPDCDKSSILMATLKFMAQTYHQPHSRDLASHLDQVMMKNLDSMQGTTGSFRIMGYVEGAYRNRLNLSAMFNSYDKKVCAPRESLQTAGLTTNQKNYGAFHLISETAMALGTHQSLGSVWNQHKNLYEQLHSKTVTPAWKMAEQLIMGCRAAFDKVISERAEYTDPVTIRYPADPIDYYPQDKHIDASLSLSLTQLIDLMTKLVTGSWLLHPFKDVLGSLYKDAVRRIRKHIHNFNVLLFQAHRELEEENEKTKAKDAMMNLFADFVKDAVAIVCQMMGSKDKQIAKDEFYCFMVQFRDPDTSRLVRMYELRYVSLLYTSMAFDLKNILASVEPPPDLLNIITSRLESLEAPTVTDNKMASNYQLVSRLPEKSPFTLLPSKTAIECVGEYWEEPWLGSGEVAFGMLVSYLWFDRFLKQLRPKAEKIPPLRRTLVAIVPILVGLNGASSSAKLAPGKESARESARKGELGLQVFLELLNMLLEDVLHEDQINAAINALANIVALSCHRYGHDKYDKTASYVVSSAKVSIQQFLNWVEQYIYQNGTIVLCGLQDIILVDLPSPPQCFKQQLIQLIPEMVKPTDRLAVAIHGLARITHDPILHADKDSEQSIYLRNSLSALFAEVIVPDVTLGAKLCRCINDIAIDRLQEYMSPQSKKGSMVNLTSESHENTVSIKIDNGAVTHYQSHYKYLKVLVPSPIVLFDRLSPTVSHVVVPFRECLRGLGQLCCILLPLHAIIVKSSTKSQLKESLKICLNEAGQEDKVAWVMLDVCAANAQCRLKLPSLENHIRDADHLIKQLEGQSTNFQELVFDHIEKVFSTPLDKMYYLTDRLMKFMTLYLPDSFSTYYLDASPNAEMVSAVIADLSKLVAEEVIKKVLNLLERLMELPINFEKYTVGNFLPSVSFSSSITSFYYEPELATGDPLCSAEFYHFASGGPSSPPPMGREPSVQSISSVSSTKRKLTGEQCVTLLNSPLISRFDNFSRRRVGELEELVRLSHPPIKPFLKSLLSEEMNSYRGFARSIGILCPIAYKCLYEKDQIELAQCMGLLVHLVQLLVELIEDRLSPDRYAVDSLLTVLCFSFSEAVPLAGRGKRATSEEVWYVKPLGKLLRLLYPVVCDKHQLDSEILFIGLAIVADRRREDLQFIGHFDVPCMCLLKQVKELSAPRQVALSRALSLVTNFYFGRSASSGSGSCGGGGGGGGGGGRAPAAAM
+>sp|Q8N8J0|PI4P1_HUMAN Putative inactive phosphatidylinositol 4-kinase alpha-like protein P1 OS=Homo sapiens OX=9606 GN=PI4KAP1 PE=5 SV=1
+MTVEQKFGLFSAEIKEADPLAASEASQPKPCPPEVTPHYIWIDFLVQRFEIAKYCSSDQVEIFSSLLQRSMSLNIGGAKGSMNRHVAAIGPRFKLLTLGLSLLHADVVPNATIRNVLREKIYSTAFDYFSCPPKFPTQGEKRLREDISIMIKFWTAMFSDKKYLTASQLVPPADIGDLREQLVEENTGSLSGPAKDFYQREFDFFNKITNVSAVIKPYPKGDQRKKACLSALSEVTVQPGCSLPSNPEAIVLDVDYKSGTPM
+>DECOY_sp|Q8N8J0|PI4P1_HUMAN Putative inactive phosphatidylinositol 4-kinase alpha-like protein P1 OS=Homo sapiens OX=9606 GN=PI4KAP1 PE=5 SV=1
+MPTGSKYDVDLVIAEPNSPLSCGPQVTVESLASLCAKKRQDGKPYPKIVASVNTIKNFFDFERQYFDKAPGSLSGTNEEVLQERLDGIDAPPVLQSATLYKKDSFMATWFKIMISIDERLRKEGQTPFKPPCSFYDFATSYIKERLVNRITANPVVDAHLLSLGLTLLKFRPGIAAVHRNMSGKAGGINLSMSRQLLSSFIEVQDSSCYKAIEFRQVLFDIWIYHPTVEPPCPKPQSAESAALPDAEKIEASFLGFKQEVTM
+>sp|Q9H611|PIF1_HUMAN ATP-dependent DNA helicase PIF1 OS=Homo sapiens OX=9606 GN=PIF1 PE=1 SV=2
+MLSGIEAAAGEYEDSELRCRVAVEELSPGGQPRRRQALRTAELSLGRNERRELMLRLQAPGPAGRPRCFPLRAARLFTRFAEAGRSTLRLPAHDTPGAGAVQLLLSDCPPDRLRRFLRTLRLKLAAAPGPGPASARAQLLGPRPRDFVTISPVQPEERRLRAATRVPDTTLVKRPVEPQAGAEPSTEAPRWPLPVKRLSLPSTKPQLSEEQAAVLRAVLKGQSIFFTGSAGTGKSYLLKRILGSLPPTGTVATASTGVAACHIGGTTLHAFAGIGSGQAPLAQCVALAQRPGVRQGWLNCQRLVIDEISMVEADLFDKLEAVARAVRQQNKPFGGIQLIICGDFLQLPPVTKGSQPPRFCFQSKSWKRCVPVTLELTKVWRQADQTFISLLQAVRLGRCSDEVTRQLQATASHKVGRDGIVATRLCTHQDDVALTNERRLQELPGKVHRFEAMDSNPELASTLDAQCPVSQLLQLKLGAQVMLVKNLSVSRGLVNGARGVVVGFEAEGRGLPQVRFLCGVTEVIHADRWTVQATGGQLLSRQQLPLQLAWAMSIHKSQGMTLDCVEISLGRVFASGQAYVALSRARSLQGLRVLDFDPMAVRCDPRVLHFYATLRRGRSLSLESPDDDEAASDQENMDPIL
+>DECOY_sp|Q9H611|PIF1_HUMAN ATP-dependent DNA helicase PIF1 OS=Homo sapiens OX=9606 GN=PIF1 PE=1 SV=2
+LIPDMNEQDSAAEDDDPSELSLSRGRRLTAYFHLVRPDCRVAMPDFDLVRLGQLSRARSLAVYAQGSAFVRGLSIEVCDLTMGQSKHISMAWALQLPLQQRSLLQGGTAQVTWRDAHIVETVGCLFRVQPLGRGEAEFGVVVGRAGNVLGRSVSLNKVLMVQAGLKLQLLQSVPCQADLTSALEPNSDMAEFRHVKGPLEQLRRENTLAVDDQHTCLRTAVIGDRGVKHSATAQLQRTVEDSCRGLRVAQLLSIFTQDAQRWVKTLELTVPVCRKWSKSQFCFRPPQSGKTVPPLQLFDGCIILQIGGFPKNQQRVARAVAELKDFLDAEVMSIEDIVLRQCNLWGQRVGPRQALAVCQALPAQGSGIGAFAHLTTGGIHCAAVGTSATAVTGTPPLSGLIRKLLYSKGTGASGTFFISQGKLVARLVAAQEESLQPKTSPLSLRKVPLPWRPAETSPEAGAQPEVPRKVLTTDPVRTAARLRREEPQVPSITVFDRPRPGLLQARASAPGPGPAAALKLRLTRLFRRLRDPPCDSLLLQVAGAGPTDHAPLRLTSRGAEAFRTFLRAARLPFCRPRGAPGPAQLRLMLERRENRGLSLEATRLAQRRRPQGGPSLEEVAVRCRLESDEYEGAAAEIGSLM
+>sp|A0A0B4J2F0|PIOS1_HUMAN Protein PIGBOS1 OS=Homo sapiens OX=9606 GN=PIGBOS1 PE=3 SV=1
+MFRRLTFAQLLFATVLGIAGGVYIFQPVFEQYAKDQKELKEKMQLVQESEEKKS
+>DECOY_sp|A0A0B4J2F0|PIOS1_HUMAN Protein PIGBOS1 OS=Homo sapiens OX=9606 GN=PIGBOS1 PE=3 SV=1
+SKKEESEQVLQMKEKLEKQDKAYQEFVPQFIYVGGAIGLVTAFLLQAFTLRRFM
+>sp|Q9UKF7|PITC1_HUMAN Cytoplasmic phosphatidylinositol transfer protein 1 OS=Homo sapiens OX=9606 GN=PITPNC1 PE=1 SV=3
+MLLKEYRICMPLTVDEYKIGQLYMISKHSHEQSDRGEGVEVVQNEPFEDPHHGNGQFTEKRVYLNSKLPSWARAVVPKIFYVTEKAWNYYPYTITEYTCSFLPKFSIHIETKYEDNKGSNDTIFDNEAKDVEREVCFIDIACDEIPERYYKESEDPKHFKSEKTGRGQLREGWRDSHQPIMCSYKLVTVKFEVWGLQTRVEQFVHKVVRDILLIGHRQAFAWVDEWYDMTMDEVREFERATQEATNKKIGIFPPAISISSIPLLPSSVRSAPSSAPSTPLSTDAPEFLSVPKDRPRKKSAPETLTLPDPEKKATLNLPGMHSSDKPCRPKSE
+>DECOY_sp|Q9UKF7|PITC1_HUMAN Cytoplasmic phosphatidylinositol transfer protein 1 OS=Homo sapiens OX=9606 GN=PITPNC1 PE=1 SV=3
+ESKPRCPKDSSHMGPLNLTAKKEPDPLTLTEPASKKRPRDKPVSLFEPADTSLPTSPASSPASRVSSPLLPISSISIAPPFIGIKKNTAEQTAREFERVEDMTMDYWEDVWAFAQRHGILLIDRVVKHVFQEVRTQLGWVEFKVTVLKYSCMIPQHSDRWGERLQGRGTKESKFHKPDESEKYYREPIEDCAIDIFCVEREVDKAENDFITDNSGKNDEYKTEIHISFKPLFSCTYETITYPYYNWAKETVYFIKPVVARAWSPLKSNLYVRKETFQGNGHHPDEFPENQVVEVGEGRDSQEHSHKSIMYLQGIKYEDVTLPMCIRYEKLLM
+>sp|Q99697|PITX2_HUMAN Pituitary homeobox 2 OS=Homo sapiens OX=9606 GN=PITX2 PE=1 SV=2
+METNCRKLVSACVQLGVQPAAVECLFSKDSEIKKVEFTDSPESRKEAASSKFFPRQHPGANEKDKSQQGKNEDVGAEDPSKKKRQRRQRTHFTSQQLQELEATFQRNRYPDMSTREEIAVWTNLTEARVRVWFKNRRAKWRKRERNQQAELCKNGFGPQFNGLMQPYDDMYPGYSYNNWAAKGLTSASLSTKSFPFFNSMNVNPLSSQSMFSPPNSISSMSMSSSMVPSAVTGVPGSSLNSLNNLNNLSSPSLNSAVPTPACPYAPPTPPYVYRDTCNSSLASLRLKAKQHSSFGYASVQNPASNLSACQYAVDRPV
+>DECOY_sp|Q99697|PITX2_HUMAN Pituitary homeobox 2 OS=Homo sapiens OX=9606 GN=PITX2 PE=1 SV=2
+VPRDVAYQCASLNSAPNQVSAYGFSSHQKAKLRLSALSSNCTDRYVYPPTPPAYPCAPTPVASNLSPSSLNNLNNLSNLSSGPVGTVASPVMSSSMSMSSISNPPSFMSQSSLPNVNMSNFFPFSKTSLSASTLGKAAWNNYSYGPYMDDYPQMLGNFQPGFGNKCLEAQQNRERKRWKARRNKFWVRVRAETLNTWVAIEERTSMDPYRNRQFTAELEQLQQSTFHTRQRRQRKKKSPDEAGVDENKGQQSKDKENAGPHQRPFFKSSAAEKRSEPSDTFEVKKIESDKSFLCEVAAPQVGLQVCASVLKRCNTEM
+>sp|Q96J94|PIWL1_HUMAN Piwi-like protein 1 OS=Homo sapiens OX=9606 GN=PIWIL1 PE=1 SV=1
+MTGRARARARGRARGQETAQLVGSTASQQPGYIQPRPQPPPAEGELFGRGRQRGTAGGTAKSQGLQISAGFQELSLAERGGRRRDFHDLGVNTRQNLDHVKESKTGSSGIIVRLSTNHFRLTSRPQWALYQYHIDYNPLMEARRLRSALLFQHEDLIGKCHAFDGTILFLPKRLQQKVTEVFSKTRNGEDVRITITLTNELPPTSPTCLQFYNIIFRRLLKIMNLQQIGRNYYNPNDPIDIPSHRLVIWPGFTTSILQYENSIMLCTDVSHKVLRSETVLDFMFNFYHQTEEHKFQEQVSKELIGLVVLTKYNNKTYRVDDIDWDQNPKSTFKKADGSEVSFLEYYRKQYNQEITDLKQPVLVSQPKRRRGPGGTLPGPAMLIPELCYLTGLTDKMRNDFNVMKDLAVHTRLTPEQRQREVGRLIDYIHKNDNVQRELRDWGLSFDSNLLSFSGRILQTEKIHQGGKTFDYNPQFADWSKETRGAPLISVKPLDNWLLIYTRRNYEAANSLIQNLFKVTPAMGMQMRKAIMIEVDDRTEAYLRVLQQKVTADTQIVVCLLSSNRKDKYDAIKKYLCTDCPTPSQCVVARTLGKQQTVMAIATKIALQMNCKMGGELWRVDIPLKLVMIVGIDCYHDMTAGRRSIAGFVASINEGMTRWFSRCIFQDRGQELVDGLKVCLQAALRAWNSCNEYMPSRIIVYRDGVGDGQLKTLVNYEVPQFLDCLKSIGRGYNPRLTVIVVKKRVNTRFFAQSGGRLQNPLPGTVIDVEVTRPEWYDFFIVSQAVRSGSVSPTHYNVIYDNSGLKPDHIQRLTYKLCHIYYNWPGVIRVPAPCQYAHKLAFLVGQSIHREPNLSLSNRLYYL
+>DECOY_sp|Q96J94|PIWL1_HUMAN Piwi-like protein 1 OS=Homo sapiens OX=9606 GN=PIWIL1 PE=1 SV=1
+LYYLRNSLSLNPERHISQGVLFALKHAYQCPAPVRIVGPWNYYIHCLKYTLRQIHDPKLGSNDYIVNYHTPSVSGSRVAQSVIFFDYWEPRTVEVDIVTGPLPNQLRGGSQAFFRTNVRKKVVIVTLRPNYGRGISKLCDLFQPVEYNVLTKLQGDGVGDRYVIIRSPMYENCSNWARLAAQLCVKLGDVLEQGRDQFICRSFWRTMGENISAVFGAISRRGATMDHYCDIGVIMVLKLPIDVRWLEGGMKCNMQLAIKTAIAMVTQQKGLTRAVVCQSPTPCDTCLYKKIADYKDKRNSSLLCVVIQTDATVKQQLVRLYAETRDDVEIMIAKRMQMGMAPTVKFLNQILSNAAEYNRRTYILLWNDLPKVSILPAGRTEKSWDAFQPNYDFTKGGQHIKETQLIRGSFSLLNSDFSLGWDRLERQVNDNKHIYDILRGVERQRQEPTLRTHVALDKMVNFDNRMKDTLGTLYCLEPILMAPGPLTGGPGRRRKPQSVLVPQKLDTIEQNYQKRYYELFSVESGDAKKFTSKPNQDWDIDDVRYTKNNYKTLVVLGILEKSVQEQFKHEETQHYFNFMFDLVTESRLVKHSVDTCLMISNEYQLISTTFGPWIVLRHSPIDIPDNPNYYNRGIQQLNMIKLLRRFIINYFQLCTPSTPPLENTLTITIRVDEGNRTKSFVETVKQQLRKPLFLITGDFAHCKGILDEHQFLLASRLRRAEMLPNYDIHYQYLAWQPRSTLRFHNTSLRVIIGSSGTKSEKVHDLNQRTNVGLDHFDRRRGGREALSLEQFGASIQLGQSKATGGATGRQRGRGFLEGEAPPPQPRPQIYGPQQSATSGVLQATEQGRARGRARARARGTM
+>sp|Q8TC59|PIWL2_HUMAN Piwi-like protein 2 OS=Homo sapiens OX=9606 GN=PIWIL2 PE=1 SV=1
+MDPFRPSFRGQSPIHPSQCQAVRMPGCWPQASKPLDPALGRGAPAGRGHVFGKPEEPSTQRGPAQRESVGLVSMFRGLGIETVSKTPLKREMLPSGRGILGRGLSANLVRKDREELSPTFWDPKVLAAGDSKMAETSVGWSRTLGRGSSDASLLPLGRAAGGISREVDKPPCTFSTPSRGPPQLSSPPALPQSPLHSPDRPLVLTVEHKEKELIVKQGSKGTPQSLGLNLVKIQCHNEAVYQYHVTFSPNVECKSMRFGMLKDHQAVTGNVTAFDGSILYLPVKLQQVLELKSQRKTDSAEISIKIQMTKILEPCSDLCIPFYNVVFRRVMKLLDMKLVGRNFYDPTSAMVLQQHRLQIWPGYAASIRRTDGGLFLLADVSHKVIRNDCVLDVMHAIYQQNKEHFQDECTKLLVGNIVITRYNNRTYRIDDVDWNKTPKDSFTMSDGKEITFLEYYSKNYGITVKEEDQPLLIHRPSERQDNHGMLLKGEILLLPELSFMTGIPEKMKKDFRAMKDLAQQINLSPKQHHSALECLLQRIAKNEAATNELMRWGLRLQKDVHKIEGRVLPMERINLKNTSFITSQELNWVKEVTRDPSILTIPMHFWALFYPKRAMDQARELVNMLEKIAGPIGMRMSPPAWVELKDDRIETYVRTIQSTLGAEGKIQMVVCIIMGPRDDLYGAIKKLCCVQSPVPSQVVNVRTIGQPTRLRSVAQKILLQINCKLGGELWGVDIPLKQLMVIGMDVYHDPSRGMRSVVGFVASINLTLTKWYSRVVFQMPHQEIVDSLKLCLVGSLKKFYEVNHCLPEKIVVYRDGVSDGQLKTVANYEIPQLQKCFEAFENYQPKMVVFVVQKKISTNLYLAAPQNFVTPTPGTVVDHTITSCEWVDFYLLAHHVRQGCGIPTHYVCVLNTANLSPDHMQRLTFKLCHMYWNWPGTIRVPAPCKYAHKLAFLSGHILHHEPAIQLCENLFFL
+>DECOY_sp|Q8TC59|PIWL2_HUMAN Piwi-like protein 2 OS=Homo sapiens OX=9606 GN=PIWIL2 PE=1 SV=1
+LFFLNECLQIAPEHHLIHGSLFALKHAYKCPAPVRITGPWNWYMHCLKFTLRQMHDPSLNATNLVCVYHTPIGCGQRVHHALLYFDVWECSTITHDVVTGPTPTVFNQPAALYLNTSIKKQVVFVVMKPQYNEFAEFCKQLQPIEYNAVTKLQGDSVGDRYVVIKEPLCHNVEYFKKLSGVLCLKLSDVIEQHPMQFVVRSYWKTLTLNISAVFGVVSRMGRSPDHYVDMGIVMLQKLPIDVGWLEGGLKCNIQLLIKQAVSRLRTPQGITRVNVVQSPVPSQVCCLKKIAGYLDDRPGMIICVVMQIKGEAGLTSQITRVYTEIRDDKLEVWAPPSMRMGIPGAIKELMNVLERAQDMARKPYFLAWFHMPITLISPDRTVEKVWNLEQSTIFSTNKLNIREMPLVRGEIKHVDKQLRLGWRMLENTAAENKAIRQLLCELASHHQKPSLNIQQALDKMARFDKKMKEPIGTMFSLEPLLLIEGKLLMGHNDQRESPRHILLPQDEEKVTIGYNKSYYELFTIEKGDSMTFSDKPTKNWDVDDIRYTRNNYRTIVINGVLLKTCEDQFHEKNQQYIAHMVDLVCDNRIVKHSVDALLFLGGDTRRISAAYGPWIQLRHQQLVMASTPDYFNRGVLKMDLLKMVRRFVVNYFPICLDSCPELIKTMQIKISIEASDTKRQSKLELVQQLKVPLYLISGDFATVNGTVAQHDKLMGFRMSKCEVNPSFTVHYQYVAENHCQIKVLNLGLSQPTGKSGQKVILEKEKHEVTLVLPRDPSHLPSQPLAPPSSLQPPGRSPTSFTCPPKDVERSIGGAARGLPLLSADSSGRGLTRSWGVSTEAMKSDGAALVKPDWFTPSLEERDKRVLNASLGRGLIGRGSPLMERKLPTKSVTEIGLGRFMSVLGVSERQAPGRQTSPEEPKGFVHGRGAPAGRGLAPDLPKSAQPWCGPMRVAQCQSPHIPSQGRFSPRFPDM
+>sp|Q8NG27|PJA1_HUMAN E3 ubiquitin-protein ligase Praja-1 OS=Homo sapiens OX=9606 GN=PJA1 PE=1 SV=2
+MGQESSKPVWPNPTGGYQSNTGRRYGRRHAYVSFRPPTSQRERIASQRKTNSEVPMHRSAPSQTTKRSRSPFSTTRRSWDDSESSGTNLNIDNEDYSRYPPREYRASGSRRGMAYGHIDSYGADDSEEEGAGPVERPPVRGKTGKFKDDKLYDPEKGARSLAGPPPHFSSFSRDVREERDKLDPVPAARCSASRADFLPQSSVASQSSSEGKLATKGDSSERERREQNLPARPSRAPVSICGGGENTSKSAEEPVVRPKIRNLASPNCVKPKIFFDTDDDDDMPHSTSRWRDTANDNEGHSDGLARRGRGESSSGYPEPKYPEDKREARSDQVKPEKVPRRRRTMADPDFWTHSDDYYKYCDEDSDSDKEWIAALRRKYRSREQTLSSSGESWETLPGKEEREPPQAKVSASTGTSPGPGASASAGAGAGASAGSNGSNYLEEVREPSLQEEQASLEEGEIPWLQYHENDSSSEGDNDSGHELMQPGVFMLDGNNNLEDDSSVSEDLEVDWSLFDGFADGLGVAEAISYVDPQFLTYMALEERLAQAMETALAHLESLAVDVEVANPPASKESIDALPEILVTEDHGAVGQEMCCPICCSEYVKGEVATELPCHHYFHKPCVSIWLQKSGTCPVCRCMFPPPL
+>DECOY_sp|Q8NG27|PJA1_HUMAN E3 ubiquitin-protein ligase Praja-1 OS=Homo sapiens OX=9606 GN=PJA1 PE=1 SV=2
+LPPPFMCRCVPCTGSKQLWISVCPKHFYHHCPLETAVEGKVYESCCIPCCMEQGVAGHDETVLIEPLADISEKSAPPNAVEVDVALSELHALATEMAQALREELAMYTLFQPDVYSIAEAVGLGDAFGDFLSWDVELDESVSSDDELNNNGDLMFVGPQMLEHGSDNDGESSSDNEHYQLWPIEGEELSAQEEQLSPERVEELYNSGNSGASAGAGAGASASAGPGPSTGTSASVKAQPPEREEKGPLTEWSEGSSSLTQERSRYKRRLAAIWEKDSDSDEDCYKYYDDSHTWFDPDAMTRRRRPVKEPKVQDSRAERKDEPYKPEPYGSSSEGRGRRALGDSHGENDNATDRWRSTSHPMDDDDDTDFFIKPKVCNPSALNRIKPRVVPEEASKSTNEGGGCISVPARSPRAPLNQERRERESSDGKTALKGESSSQSAVSSQPLFDARSASCRAAPVPDLKDREERVDRSFSSFHPPPGALSRAGKEPDYLKDDKFKGTKGRVPPREVPGAGEEESDDAGYSDIHGYAMGRRSGSARYERPPYRSYDENDINLNTGSSESDDWSRRTTSFPSRSRKTTQSPASRHMPVESNTKRQSAIRERQSTPPRFSVYAHRRGYRRGTNSQYGGTPNPWVPKSSEQGM
+>sp|Q02325|PLGB_HUMAN Plasminogen-like protein B OS=Homo sapiens OX=9606 GN=PLGLB1 PE=3 SV=1
+MEHKEVVLLLLLFLKSGQGEPLDDYVNTQGPSLFSVTKKQLGAGSREECAAKCEEDKEFTCRAFQYHSKEQQCVIMAENRKSSIIIRMRDAVLFEK
+>DECOY_sp|Q02325|PLGB_HUMAN Plasminogen-like protein B OS=Homo sapiens OX=9606 GN=PLGLB1 PE=3 SV=1
+KEFLVADRMRIIISSKRNEAMIVCQQEKSHYQFARCTFEKDEECKAACEERSGAGLQKKTVSFLSPGQTNVYDDLPEGQGSKLFLLLLLVVEKHEM
+>sp|Q96Q06|PLIN4_HUMAN Perilipin-4 OS=Homo sapiens OX=9606 GN=PLIN4 PE=1 SV=2
+MQTLGSFFGSLPGFSSARNLVANAHSSARARPAADPTGAPAAEAAQPQAQVAAHPEQTAPWTEKELQPSEKQMVSGAKDLVCSKMSRAKDAVSSGVASVVDVAKGVVQGGLDTTRSALTGTKEVVSSGVTGAMDMAKGAVQGGLDTSKAVLTGTKDTVSTGLTGAVNVAKGTVQAGVDTTKTVLTGTKDTVTTGVMGAVNLAKGTVQTGVETSKAVLTGTKDAVSTGLTGAVNVARGSIQTGVDTSKTVLTGTKDTVCSGVTGAMNVAKGTIQTGVDTSKTVLTGTKDTVCSGVTGAMNVAKGTIQTGVDTSKTVLTGTKDTVCSGVTGAMNVAKGTIQTGVDTTKTVLTGTKNTVCSGVTGAVNLAKEAIQGGLDTTKSMVMGTKDTMSTGLTGAANVAKGAMQTGLNTTQNIATGTKDTVCSGVTGAMNLARGTIQTGVDTTKIVLTGTKDTVCSGVTGAANVAKGAVQGGLDTTKSVLTGTKDAVSTGLTGAVNVAKGTVQTGVDTTKTVLTGTKDTVCSGVTSAVNVAKGAVQGGLDTTKSVVIGTKDTMSTGLTGAANVAKGAVQTGVDTAKTVLTGTKDTVTTGLVGAVNVAKGTVQTGMDTTKTVLTGTKDTIYSGVTSAVNVAKGAVQTGLKTTQNIATGTKNTFGSGVTSAVNVAKGAAQTGVDTAKTVLTGTKDTVTTGLMGAVNVAKGTVQTSVDTTKTVLTGTKDTVCSGVTGAANVAKGAIQGGLDTTKSVLTGTKDAVSTGLTGAVKLAKGTVQTGMDTTKTVLTGTKDAVCSGVTGAANVAKGAVQMGVDTAKTVLTGTKDTVCSGVTGAANVAKGAVQTGLKTTQNIATGTKNTLGSGVTGAAKVAKGAVQGGLDTTKSVLTGTKDAVSTGLTGAVNLAKGTVQTGVDTSKTVLTGTKDTVCSGVTGAVNVAKGTVQTGVDTAKTVLSGAKDAVTTGVTGAVNVAKGTVQTGVDASKAVLMGTKDTVFSGVTGAMSMAKGAVQGGLDTTKTVLTGTKDAVSAGLMGSGNVATGATHTGLSTFQNWLPSTPATSWGGLTSSRTTDNGGEQTALSPQEAPFSGISTPPDVLSVGPEPAWEAAATTKGLATDVATFTQGAAPGREDTGLLATTHGPEEAPRLAMLQNELEGLGDIFHPMNAEEQAQLAASQPGPKVLSAEQGSYFVRLGDLGPSFRQRAFEHAVSHLQHGQFQARDTLAQLQDCFRLIEKAQQAPEGQPRLDQGSGASAEDAAVQEERDAGVLSRVCGLLRQLHTAYSGLVSSLQGLPAELQQPVGRARHSLCELYGIVASAGSVEELPAERLVQSREGVHQAWQGLEQLLEGLQHNPPLSWLVGPFALPAGGQ
+>DECOY_sp|Q96Q06|PLIN4_HUMAN Perilipin-4 OS=Homo sapiens OX=9606 GN=PLIN4 PE=1 SV=2
+QGGAPLAFPGVLWSLPPNHQLGELLQELGQWAQHVGERSQVLREAPLEEVSGASAVIGYLECLSHRARGVPQQLEAPLGQLSSVLGSYATHLQRLLGCVRSLVGADREEQVAADEASAGSGQDLRPQGEPAQQAKEILRFCDQLQALTDRAQFQGHQLHSVAHEFARQRFSPGLDGLRVFYSGQEASLVKPGPQSAALQAQEEANMPHFIDGLGELENQLMALRPAEEPGHTTALLGTDERGPAAGQTFTAVDTALGKTTAAAEWAPEPGVSLVDPPTSIGSFPAEQPSLATQEGGNDTTRSSTLGGWSTAPTSPLWNQFTSLGTHTAGTAVNGSGMLGASVADKTGTLVTKTTDLGGQVAGKAMSMAGTVGSFVTDKTGMLVAKSADVGTQVTGKAVNVAGTVGTTVADKAGSLVTKATDVGTQVTGKAVNVAGTVGSCVTDKTGTLVTKSTDVGTQVTGKALNVAGTLGTSVADKTGTLVSKTTDLGGQVAGKAVKAAGTVGSGLTNKTGTAINQTTKLGTQVAGKAVNAAGTVGSCVTDKTGTLVTKATDVGMQVAGKAVNAAGTVGSCVADKTGTLVTKTTDMGTQVTGKALKVAGTLGTSVADKTGTLVSKTTDLGGQIAGKAVNAAGTVGSCVTDKTGTLVTKTTDVSTQVTGKAVNVAGMLGTTVTDKTGTLVTKATDVGTQAAGKAVNVASTVGSGFTNKTGTAINQTTKLGTQVAGKAVNVASTVGSYITDKTGTLVTKTTDMGTQVTGKAVNVAGVLGTTVTDKTGTLVTKATDVGTQVAGKAVNAAGTLGTSMTDKTGIVVSKTTDLGGQVAGKAVNVASTVGSCVTDKTGTLVTKTTDVGTQVTGKAVNVAGTLGTSVADKTGTLVSKTTDLGGQVAGKAVNAAGTVGSCVTDKTGTLVIKTTDVGTQITGRALNMAGTVGSCVTDKTGTAINQTTNLGTQMAGKAVNAAGTLGTSMTDKTGMVMSKTTDLGGQIAEKALNVAGTVGSCVTNKTGTLVTKTTDVGTQITGKAVNMAGTVGSCVTDKTGTLVTKSTDVGTQITGKAVNMAGTVGSCVTDKTGTLVTKSTDVGTQITGKAVNMAGTVGSCVTDKTGTLVTKSTDVGTQISGRAVNVAGTLGTSVADKTGTLVAKSTEVGTQVTGKALNVAGMVGTTVTDKTGTLVTKTTDVGAQVTGKAVNVAGTLGTSVTDKTGTLVAKSTDLGGQVAGKAMDMAGTVGSSVVEKTGTLASRTTDLGGQVVGKAVDVVSAVGSSVADKARSMKSCVLDKAGSVMQKESPQLEKETWPATQEPHAAVQAQPQAAEAAPAGTPDAAPRARASSHANAVLNRASSFGPLSGFFSGLTQM
+>sp|Q6ZV29|PLPL7_HUMAN Patatin-like phospholipase domain-containing protein 7 OS=Homo sapiens OX=9606 GN=PNPLA7 PE=1 SV=4
+MEEEKDDSPQLTGIAVGALLALALVGVLILFMFRRLRQFRQAQPTPQYRFRKRDKVMFYGRKIMRKVTTLPNTLVENTALPRQRARKRTKVLSLAKRILRFKKEYPALQPKEPPPSLLEADLTEFDVKNSHLPSEVLYMLKNVRVLGHFEKPLFLELCKHIVFVQLQEGEHVFQPREPDPSICVVQDGRLEVCIQDTDGTEVVVKEVLAGDSVHSLLSILDIITGHAAPYKTVSVRAAIPSTILRLPAAAFHGVFEKYPETLVRVVQIIMVRLQRVTFLALHNYLGLTTELFNAESQAIPLVSVASVAAGKAKKQVFYGEEERLKKPPRLQESCDSDHGGGRPAAAGPLLKRSHSVPAPSIRKQILEELEKPGAGDPDPSAPQGGPGSATSDLGMACDRARVFLHSDEHPGSSVASKSRKSVMVAEIPSTVSQHSESHTDETLASRKSDAIFRAAKKDLLTLMKLEDSSLLDGRVALLHVPAGTVVSRQGDQDASILFVVSGLLHVYQRKIGSQEDTCLFLTRPGEMVGQLAVLTGEPLIFTVKANRDCSFLSISKAHFYEIMRKQPTVVLGVAHTVVKRMSSFVRQIDFALDWVEVEAGRAIYRQGDKSDCTYIMLSGRLRSVIRKDDGKKRLAGEYGRGDLVGVVETLTHQARATTVHAVRDSELAKLPAGALTSIKRRYPQVVTRLIHLLGEKILGSLQQGPVTGHQLGLPTEGSKWDLGNPAVNLSTVAVMPVSEEVPLTAFALELEHALSAIGPTLLLTSDNIKRRLGSAALDSVHEYRLSSWLGQQEDTHRIVLYQADGTLTPWTQRCVRQADCILIVGLGDQEPTVGELERMLESTAVRAQKQLILLHREEGPAPARTVEWLNMRSWCSGHLHLCCPRRVFSRRSLPKLVEMYKHVFQRPPDRHSDFSRLARVLTGNAIALVLGGGGARGCAQVGVLKALAECGIPVDMVGGTSIGAFVGALYSEERNYSQMRIRAKQWAEGMTSLMKAALDLTYPITSMFSGAGFNSSIFSVFKDQQIEDLWIPYFAITTDITASAMRVHTDGSLWWYVRASMSLSGYMPPLCDPKDGHLLMDGGYINNLPADVARSMGAKVVIAIDVGSRDETDLTNYGDALSGWWLLWKRWNPLATKVKVLNMAEIQTRLAYVCCVRQLEVVKSSDYCEYLRPPIDSYSTLDFGKFNEICEVGYQHGRTVFDIWGRSGVLEKMLRDQQGPSKKPASAVLTCPNASFTDLAEIVSRIEPAKPAMVDDESDYQTEYEEELLDVPRDAYADFQSTSAQQGSDLEDESSLRHRHPSLAFPKLSEGSSDQDG
+>DECOY_sp|Q6ZV29|PLPL7_HUMAN Patatin-like phospholipase domain-containing protein 7 OS=Homo sapiens OX=9606 GN=PNPLA7 PE=1 SV=4
+GDQDSSGESLKPFALSPHRHRLSSEDELDSGQQASTSQFDAYADRPVDLLEEEYETQYDSEDDVMAPKAPEIRSVIEALDTFSANPCTLVASAPKKSPGQQDRLMKELVGSRGWIDFVTRGHQYGVECIENFKGFDLTSYSDIPPRLYECYDSSKVVELQRVCCVYALRTQIEAMNLVKVKTALPNWRKWLLWWGSLADGYNTLDTEDRSGVDIAIVVKAGMSRAVDAPLNNIYGGDMLLHGDKPDCLPPMYGSLSMSARVYWWLSGDTHVRMASATIDTTIAFYPIWLDEIQQDKFVSFISSNFGAGSFMSTIPYTLDLAAKMLSTMGEAWQKARIRMQSYNREESYLAGVFAGISTGGVMDVPIGCEALAKLVGVQACGRAGGGGLVLAIANGTLVRALRSFDSHRDPPRQFVHKYMEVLKPLSRRSFVRRPCCLHLHGSCWSRMNLWEVTRAPAPGEERHLLILQKQARVATSELMRELEGVTPEQDGLGVILICDAQRVCRQTWPTLTGDAQYLVIRHTDEQQGLWSSLRYEHVSDLAASGLRRKINDSTLLLTPGIASLAHELELAFATLPVEESVPMVAVTSLNVAPNGLDWKSGETPLGLQHGTVPGQQLSGLIKEGLLHILRTVVQPYRRKISTLAGAPLKALESDRVAHVTTARAQHTLTEVVGVLDGRGYEGALRKKGDDKRIVSRLRGSLMIYTCDSKDGQRYIARGAEVEVWDLAFDIQRVFSSMRKVVTHAVGLVVTPQKRMIEYFHAKSISLFSCDRNAKVTFILPEGTLVALQGVMEGPRTLFLCTDEQSGIKRQYVHLLGSVVFLISADQDGQRSVVTGAPVHLLAVRGDLLSSDELKMLTLLDKKAARFIADSKRSALTEDTHSESHQSVTSPIEAVMVSKRSKSAVSSGPHEDSHLFVRARDCAMGLDSTASGPGGQPASPDPDGAGPKELEELIQKRISPAPVSHSRKLLPGAAAPRGGGHDSDCSEQLRPPKKLREEEGYFVQKKAKGAAVSAVSVLPIAQSEANFLETTLGLYNHLALFTVRQLRVMIIQVVRVLTEPYKEFVGHFAAAPLRLITSPIAARVSVTKYPAAHGTIIDLISLLSHVSDGALVEKVVVETGDTDQICVELRGDQVVCISPDPERPQFVHEGEQLQVFVIHKCLELFLPKEFHGLVRVNKLMYLVESPLHSNKVDFETLDAELLSPPPEKPQLAPYEKKFRLIRKALSLVKTRKRARQRPLATNEVLTNPLTTVKRMIKRGYFMVKDRKRFRYQPTPQAQRFQRLRRFMFLILVGVLALALLAGVAIGTLQPSDDKEEEM
+>sp|Q5VZY2|PLPP4_HUMAN Phospholipid phosphatase 4 OS=Homo sapiens OX=9606 GN=PLPP4 PE=1 SV=2
+MRELAIEIGVRALLFGVFVFTEFLDPFQRVIQPEEIWLYKNPLVQSDNIPTRLMFAISFLTPLAVICVVKIIRRTDKTEIKEAFLAVSLALALNGVCTNTIKLIVGRPRPDFFYRCFPDGVMNSEMHCTGDPDLVSEGRKSFPSIHSSFAFSGLGFTTFYLAGKLHCFTESGRGKSWRLCAAILPLYCAMMIALSRMCDYKHHWQDSFVGGVIGLIFAYICYRQHYPPLANTACHKPYVSLRVPASLKKEERPTADSAPSLPLEGITEGPV
+>DECOY_sp|Q5VZY2|PLPP4_HUMAN Phospholipid phosphatase 4 OS=Homo sapiens OX=9606 GN=PLPP4 PE=1 SV=2
+VPGETIGELPLSPASDATPREEKKLSAPVRLSVYPKHCATNALPPYHQRYCIYAFILGIVGGVFSDQWHHKYDCMRSLAIMMACYLPLIAACLRWSKGRGSETFCHLKGALYFTTFGLGSFAFSSHISPFSKRGESVLDPDGTCHMESNMVGDPFCRYFFDPRPRGVILKITNTCVGNLALALSVALFAEKIETKDTRRIIKVVCIVALPTLFSIAFMLRTPINDSQVLPNKYLWIEEPQIVRQFPDLFETFVFVGFLLARVGIEIALERM
+>sp|Q9HBL7|PLRKT_HUMAN Plasminogen receptor (KT) OS=Homo sapiens OX=9606 GN=PLGRKT PE=1 SV=1
+MGFIFSKSMNESMKNQKEFMLMNARLQLERQLIMQSEMRERQMAMQIAWSREFLKYFGTFFGLAAISLTAGAIKKKKPAFLVPIVPLSFILTYQYDLGYGTLLERMKGEAEDILETEKSKLQLPRGMITFESIEKARKEQSRFFIDK
+>DECOY_sp|Q9HBL7|PLRKT_HUMAN Plasminogen receptor (KT) OS=Homo sapiens OX=9606 GN=PLGRKT PE=1 SV=1
+KDIFFRSQEKRAKEISEFTIMGRPLQLKSKETELIDEAEGKMRELLTGYGLDYQYTLIFSLPVIPVLFAPKKKKIAGATLSIAALGFFTGFYKLFERSWAIQMAMQRERMESQMILQRELQLRANMLMFEKQNKMSENMSKSFIFGM
+>sp|O15162|PLS1_HUMAN Phospholipid scramblase 1 OS=Homo sapiens OX=9606 GN=PLSCR1 PE=1 SV=1
+MDKQNSQMNASHPETNLPVGYPPQYPPTAFQGPPGYSGYPGPQVSYPPPPAGHSGPGPAGFPVPNQPVYNQPVYNQPVGAAGVPWMPAPQPPLNCPPGLEYLSQIDQILIHQQIELLEVLTGFETNNKYEIKNSFGQRVYFAAEDTDCCTRNCCGPSRPFTLRIIDNMGQEVITLERPLRCSSCCCPCCLQEIEIQAPPGVPIGYVIQTWHPCLPKFTIQNEKREDVLKISGPCVVCSCCGDVDFEIKSLDEQCVVGKISKHWTGILREAFTDADNFGIQFPLDLDVKMKAVMIGACFLIDFMFFESTGSQEQKSGVW
+>DECOY_sp|O15162|PLS1_HUMAN Phospholipid scramblase 1 OS=Homo sapiens OX=9606 GN=PLSCR1 PE=1 SV=1
+WVGSKQEQSGTSEFFMFDILFCAGIMVAKMKVDLDLPFQIGFNDADTFAERLIGTWHKSIKGVVCQEDLSKIEFDVDGCCSCVVCPGSIKLVDERKENQITFKPLCPHWTQIVYGIPVGPPAQIEIEQLCCPCCCSSCRLPRELTIVEQGMNDIIRLTFPRSPGCCNRTCCDTDEAAFYVRQGFSNKIEYKNNTEFGTLVELLEIQQHILIQDIQSLYELGPPCNLPPQPAPMWPVGAAGVPQNYVPQNYVPQNPVPFGAPGPGSHGAPPPPYSVQPGPYGSYGPPGQFATPPYQPPYGVPLNTEPHSANMQSNQKDM
+>sp|A4D2B8|PM2P1_HUMAN Putative postmeiotic segregation increased 2-like protein 1 OS=Homo sapiens OX=9606 GN=PMS2P1 PE=5 SV=1
+MVTMCGGHRPENFLHQVLTEFGEELAGEGKSEVGGGAPRSYLQVASAECWAAAPAVHVGEPVHAGGLHTERGADPVIGLYLVHRGGACQTPTVGNRQTPTLGIHARPRRRATTSLLTLLLAFGKNAVRCALIGPGSLTSRTRPLTEPLGEKERREVFFPPRPERVEHNVESSRWEPRRRGACGSRGGNFPSPRGGSGVASLERAESSSTEPAKAIKPIDRKSVHQICSGPVVPSLSTAVKELVENSLDAGATNIDLKLKDYGVDLIEVSGNGCGVEEENFEGLTLKHHTSKIQEFADLPQVETFGFRGEALSSLCALSDVTISTCHVSAKVGTRLVFDHYGKIIQKTPYPHPRGMTVSVKQLFSTLPVHHKEFQRNIKKKRACFPFAFCRDCQFPEASPAMLPVQPAELTPRSTPPHPCSLEDNVITVFSSVKNGPGSSR
+>DECOY_sp|A4D2B8|PM2P1_HUMAN Putative postmeiotic segregation increased 2-like protein 1 OS=Homo sapiens OX=9606 GN=PMS2P1 PE=5 SV=1
+RSSGPGNKVSSFVTIVNDELSCPHPPTSRPTLEAPQVPLMAPSAEPFQCDRCFAFPFCARKKKINRQFEKHHVPLTSFLQKVSVTMGRPHPYPTKQIIKGYHDFVLRTGVKASVHCTSITVDSLACLSSLAEGRFGFTEVQPLDAFEQIKSTHHKLTLGEFNEEEVGCGNGSVEILDVGYDKLKLDINTAGADLSNEVLEKVATSLSPVVPGSCIQHVSKRDIPKIAKAPETSSSEARELSAVGSGGRPSPFNGGRSGCAGRRRPEWRSSEVNHEVREPRPPFFVERREKEGLPETLPRTRSTLSGPGILACRVANKGFALLLTLLSTTARRRPRAHIGLTPTQRNGVTPTQCAGGRHVLYLGIVPDAGRETHLGGAHVPEGVHVAPAAAWCEASAVQLYSRPAGGGVESKGEGALEEGFETLVQHLFNEPRHGGCMTVM
+>sp|O43808|PM34_HUMAN Peroxisomal membrane protein PMP34 OS=Homo sapiens OX=9606 GN=SLC25A17 PE=1 SV=1
+MASVLSYESLVHAVAGAVGSVTAMTVFFPLDTARLRLQVDEKRKSKTTHMVLLEIIKEEGLLAPYRGWFPVISSLCCSNFVYFYTFNSLKALWVKGQHSTTGKDLVVGFVAGVVNVLLTTPLWVVNTRLKLQGAKFRNEDIVPTNYKGIIDAFHQIIRDEGISALWNGTFPSLLLVFNPAIQFMFYEGLKRQLLKKRMKLSSLDVFIIGAVAKAIATTVTYPLQTVQSILRFGRHRLNPENRTLGSLRNILYLLHQRVRRFGIMGLYKGLEAKLLQTVLTAALMFLVYEKLTAATFTVMGLKRAHQH
+>DECOY_sp|O43808|PM34_HUMAN Peroxisomal membrane protein PMP34 OS=Homo sapiens OX=9606 GN=SLC25A17 PE=1 SV=1
+HQHARKLGMVTFTAATLKEYVLFMLAATLVTQLLKAELGKYLGMIGFRRVRQHLLYLINRLSGLTRNEPNLRHRGFRLISQVTQLPYTVTTAIAKAVAGIIFVDLSSLKMRKKLLQRKLGEYFMFQIAPNFVLLLSPFTGNWLASIGEDRIIQHFADIIGKYNTPVIDENRFKAGQLKLRTNVVWLPTTLLVNVVGAVFGVVLDKGTTSHQGKVWLAKLSNFTYFYVFNSCCLSSIVPFWGRYPALLGEEKIIELLVMHTTKSKRKEDVQLRLRATDLPFFVTMATVSGVAGAVAHVLSEYSLVSAM
+>sp|Q969W9|PMEPA_HUMAN Protein TMEPAI OS=Homo sapiens OX=9606 GN=PMEPA1 PE=1 SV=1
+MHRLMGVNSTAAAAAGQPNVSCTCNCKRSLFQSMEITELEFVQIIIIVVVMMVMVVVITCLLSHYKLSARSFISRHSQGRRREDALSSEGCLWPSESTVSGNGIPEPQVYAPPRPTDRLAVPPFAQRERFHRFQPTYPYLQHEIDLPPTISLSDGEEPPPYQGPCTLQLRDPEQQLELNRESVRAPPNRTIFDSDLMDSARLGGPCPPSSNSGISATCYGSGGRMEGPPPTYSEVIGHYPGSSFQHQQSSGPPSLLEGTRLHHTHIAPLESAAIWSKEKDKQKGHPL
+>DECOY_sp|Q969W9|PMEPA_HUMAN Protein TMEPAI OS=Homo sapiens OX=9606 GN=PMEPA1 PE=1 SV=1
+LPHGKQKDKEKSWIAASELPAIHTHHLRTGELLSPPGSSQQHQFSSGPYHGIVESYTPPPGEMRGGSGYCTASIGSNSSPPCPGGLRASDMLDSDFITRNPPARVSERNLELQQEPDRLQLTCPGQYPPPEEGDSLSITPPLDIEHQLYPYTPQFRHFRERQAFPPVALRDTPRPPAYVQPEPIGNGSVTSESPWLCGESSLADERRRGQSHRSIFSRASLKYHSLLCTIVVVMVMMVVVIIIIQVFELETIEMSQFLSRKCNCTCSVNPQGAAAAATSNVGMLRHM
+>sp|P54278|PMS2_HUMAN Mismatch repair endonuclease PMS2 OS=Homo sapiens OX=9606 GN=PMS2 PE=1 SV=2
+MERAESSSTEPAKAIKPIDRKSVHQICSGQVVLSLSTAVKELVENSLDAGATNIDLKLKDYGVDLIEVSDNGCGVEEENFEGLTLKHHTSKIQEFADLTQVETFGFRGEALSSLCALSDVTISTCHASAKVGTRLMFDHNGKIIQKTPYPRPRGTTVSVQQLFSTLPVRHKEFQRNIKKEYAKMVQVLHAYCIISAGIRVSCTNQLGQGKRQPVVCTGGSPSIKENIGSVFGQKQLQSLIPFVQLPPSDSVCEEYGLSCSDALHNLFYISGFISQCTHGVGRSSTDRQFFFINRRPCDPAKVCRLVNEVYHMYNRHQYPFVVLNISVDSECVDINVTPDKRQILLQEEKLLLAVLKTSLIGMFDSDVNKLNVSQQPLLDVEGNLIKMHAADLEKPMVEKQDQSPSLRTGEEKKDVSISRLREAFSLRHTTENKPHSPKTPEPRRSPLGQKRGMLSSSTSGAISDKGVLRPQKEAVSSSHGPSDPTDRAEVEKDSGHGSTSVDSEGFSIPDTGSHCSSEYAASSPGDRGSQEHVDSQEKAPKTDDSFSDVDCHSNQEDTGCKFRVLPQPTNLATPNTKRFKKEEILSSSDICQKLVNTQDMSASQVDVAVKINKKVVPLDFSMSSLAKRIKQLHHEAQQSEGEQNYRKFRAKICPGENQAAEDELRKEISKTMFAEMEIIGQFNLGFIITKLNEDIFIVDQHATDEKYNFEMLQQHTVLQGQRLIAPQTLNLTAVNEAVLIENLEIFRKNGFDFVIDENAPVTERAKLISLPTSKNWTFGPQDVDELIFMLSDSPGVMCRPSRVKQMFASRACRKSVMIGTALNTSEMKKLITHMGEMDHPWNCPHGRPTMRHIANLGVISQN
+>DECOY_sp|P54278|PMS2_HUMAN Mismatch repair endonuclease PMS2 OS=Homo sapiens OX=9606 GN=PMS2 PE=1 SV=2
+NQSIVGLNAIHRMTPRGHPCNWPHDMEGMHTILKKMESTNLATGIMVSKRCARSAFMQKVRSPRCMVGPSDSLMFILEDVDQPGFTWNKSTPLSILKARETVPANEDIVFDFGNKRFIELNEILVAENVATLNLTQPAILRQGQLVTHQQLMEFNYKEDTAHQDVIFIDENLKTIIFGLNFQGIIEMEAFMTKSIEKRLEDEAAQNEGPCIKARFKRYNQEGESQQAEHHLQKIRKALSSMSFDLPVVKKNIKVAVDVQSASMDQTNVLKQCIDSSSLIEEKKFRKTNPTALNTPQPLVRFKCGTDEQNSHCDVDSFSDDTKPAKEQSDVHEQSGRDGPSSAAYESSCHSGTDPISFGESDVSTSGHGSDKEVEARDTPDSPGHSSSVAEKQPRLVGKDSIAGSTSSSLMGRKQGLPSRRPEPTKPSHPKNETTHRLSFAERLRSISVDKKEEGTRLSPSQDQKEVMPKELDAAHMKILNGEVDLLPQQSVNLKNVDSDFMGILSTKLVALLLKEEQLLIQRKDPTVNIDVCESDVSINLVVFPYQHRNYMHYVENVLRCVKAPDCPRRNIFFFQRDTSSRGVGHTCQSIFGSIYFLNHLADSCSLGYEECVSDSPPLQVFPILSQLQKQGFVSGINEKISPSGGTCVVPQRKGQGLQNTCSVRIGASIICYAHLVQVMKAYEKKINRQFEKHRVPLTSFLQQVSVTTGRPRPYPTKQIIKGNHDFMLRTGVKASAHCTSITVDSLACLSSLAEGRFGFTEVQTLDAFEQIKSTHHKLTLGEFNEEEVGCGNDSVEILDVGYDKLKLDINTAGADLSNEVLEKVATSLSLVVQGSCIQHVSKRDIPKIAKAPETSSSEAREM
+>sp|Q96T60|PNKP_HUMAN Bifunctional polynucleotide phosphatase/kinase OS=Homo sapiens OX=9606 GN=PNKP PE=1 SV=1
+MGEVEAPGRLWLESPPGGAPPIFLPSDGQALVLGRGPLTQVTDRKCSRTQVELVADPETRTVAVKQLGVNPSTTGTQELKPGLEGSLGVGDTLYLVNGLHPLTLRWEETRTPESQPDTPPGTPLVSQDEKRDAELPKKRMRKSNPGWENLEKLLVFTAAGVKPQGKVAGFDLDGTLITTRSGKVFPTGPSDWRILYPEIPRKLRELEAEGYKLVIFTNQMSIGRGKLPAEEFKAKVEAVVEKLGVPFQVLVATHAGLYRKPVTGMWDHLQEQANDGTPISIGDSIFVGDAAGRPANWAPGRKKKDFSCADRLFALNLGLPFATPEEFFLKWPAAGFELPAFDPRTVSRSGPLCLPESRALLSASPEVVVAVGFPGAGKSTFLKKHLVSAGYVHVNRDTLGSWQRCVTTCETALKQGKRVAIDNTNPDAASRARYVQCARAAGVPCRCFLFTATLEQARHNNRFREMTDSSHIPVSDMVMYGYRKQFEAPTLAEGFSAILEIPFRLWVEPRLGRLYCQFSEG
+>DECOY_sp|Q96T60|PNKP_HUMAN Bifunctional polynucleotide phosphatase/kinase OS=Homo sapiens OX=9606 GN=PNKP PE=1 SV=1
+GESFQCYLRGLRPEVWLRFPIELIASFGEALTPAEFQKRYGYMVMDSVPIHSSDTMERFRNNHRAQELTATFLFCRCPVGAARACQVYRARSAADPNTNDIAVRKGQKLATECTTVCRQWSGLTDRNVHVYGASVLHKKLFTSKGAGPFGVAVVVEPSASLLARSEPLCLPGSRSVTRPDFAPLEFGAAPWKLFFEEPTAFPLGLNLAFLRDACSFDKKKRGPAWNAPRGAADGVFISDGISIPTGDNAQEQLHDWMGTVPKRYLGAHTAVLVQFPVGLKEVVAEVKAKFEEAPLKGRGISMQNTFIVLKYGEAELERLKRPIEPYLIRWDSPGTPFVKGSRTTILTGDLDFGAVKGQPKVGAATFVLLKELNEWGPNSKRMRKKPLEADRKEDQSVLPTGPPTDPQSEPTRTEEWRLTLPHLGNVLYLTDGVGLSGELGPKLEQTGTTSPNVGLQKVAVTRTEPDAVLEVQTRSCKRDTVQTLPGRGLVLAQGDSPLFIPPAGGPPSELWLRGPAEVEGM
+>sp|Q8TEM1|PO210_HUMAN Nuclear pore membrane glycoprotein 210 OS=Homo sapiens OX=9606 GN=NUP210 PE=1 SV=3
+MAARGRGLLLLTLSVLLAAGPSAAAAKLNIPKVLLPFTRATRVNFTLEASEGCYRWLSTRPEVASIEPLGLDEQQCSQKAVVQARLTQPARLTSIIFAEDITTGQVLRCDAIVDLIHDIQIVSTTRELYLEDSPLELKIQALDSEGNTFSTLAGLVFEWTIVKDSEADRFSDSHNALRILTFLESTYIPPSYISEMEKAAKQGDTILVSGMKTGSSKLKARIQEAVYKNVRPAEVRLLILENILLNPAYDVYLMVGTSIHYKVQKIRQGKITELSMPSDQYELQLQNSIPGPEGDPARPVAVLAQDTSMVTALQLGQSSLVLGHRSIRMQGASRLPNSTIYVVEPGYLGFTVHPGDRWVLETGRLYEITIEVFDKFSNKVYVSDNIRIETVLPAEFFEVLSSSQNGSYHRIRALKRGQTAIDAALTSVVDQDGGVHILQVPVWNQQEVEIHIPITLYPSILTFPWQPKTGAYQYTIRAHGGSGNFSWSSSSHLVATVTVKGVMTTGSDIGFSVIQAHDVQNPLHFGEMKVYVIEPHSMEFAPCQVEARVGQALELPLRISGLMPGGASEVVTLSDCSHFDLAVEVENQGVFQPLPGRLPPGSEHCSGIRVKAEAQGSTTLLVSYRHGHVHLSAKITIAAYLPLKAVDPSSVALVTLGSSKEMLFEGGPRPWILEPSKFFQNVTAEDTDSIGLALFAPHSSRNYQQHWILVTCQALGEQVIALSVGNKPSLTNPFPAVEPAVVKFVCAPPSRLTLAPVYTSPQLDMSCPLLQQNKQVVPVSSHRNPRLDLAAYDQEGRRFDNFSSLSIQWESTRPVLASIEPELPMQLVSQDDESGQKKLHGLQAILVHEASGTTAITATATGYQESHLSSARTKQPHDPLVPLSASIELILVEDVRVSPEEVTIYNHPGIQAELRIREGSGYFFLNTSTADVVKVAYQEARGVAMVHPLLPGSSTIMIHDLCLVFPAPAKAVVYVSDIQELYIRVVDKVEIGKTVKAYVRVLDLHKKPFLAKYFPFMDLKLRAASPIITLVALDEALDNYTITFLIRGVAIGQTSLTASVTNKAGQRINSAPQQIEVFPPFRLMPRKVTLLIGATMQVTSEGGPQPQSNILFSISNESVALVSAAGLVQGLAIGNGTVSGLVQAVDAETGKVVIISQDLVQVEVLLLRAVRIRAPIMRMRTGTQMPIYVTGITNHQNPFSFGNAVPGLTFHWSVTKRDVLDLRGRHHEASIRLPSQYNFAMNVLGRVKGRTGLRVVVKAVDPTSGQLYGLARELSDEIQVQVFEKLQLLNPEIEAEQILMSPNSYIKLQTNRDGAASLSYRVLDGPEKVPVVHVDEKGFLASGSMIGTSTIEVIAQEPFGANQTIIVAVKVSPVSYLRVSMSPVLHTQNKEALVAVPLGMTVTFTVHFHDNSGDVFHAHSSVLNFATNRDDFVQIGKGPTNNTCVVRTVSVGLTLLRVWDAEHPGLSDFMPLPVLQAISPELSGAMVVGDVLCLATVLTSLEGLSGTWSSSANSILHIDPKTGVAVARAVGSVTVYYEVAGHLRTYKEVVVSVPQRIMARHLHPIQTSFQEATASKVIVAVGDRSSNLRGECTPTQREVIQALHPETLISCQSQFKPAVFDFPSQDVFTVEPQFDTALGQYFCSITMHRLTDKQRKHLSMKKTALVVSASLSSSHFSTEQVGAEVPFSPGLFADQAEILLSNHYTSSEIRVFGAPEVLENLEVKSGSPAVLAFAKEKSFGWPSFITYTVGVLDPAAGSQGPLSTTLTFSSPVTNQAIAIPVTVAFVVDRRGPGPYGASLFQHFLDSYQVMFFTLFALLAGTAVMIIAYHTVCTPRDLAVPAALTPRASPGHSPHYFAASSPTSPNALPPARKASPPSGLWSPAYASH
+>DECOY_sp|Q8TEM1|PO210_HUMAN Nuclear pore membrane glycoprotein 210 OS=Homo sapiens OX=9606 GN=NUP210 PE=1 SV=3
+HSAYAPSWLGSPPSAKRAPPLANPSTPSSAAFYHPSHGPSARPTLAAPVALDRPTCVTHYAIIMVATGALLAFLTFFMVQYSDLFHQFLSAGYPGPGRRDVVFAVTVPIAIAQNTVPSSFTLTTSLPGQSGAAPDLVGVTYTIFSPWGFSKEKAFALVAPSGSKVELNELVEPAGFVRIESSTYHNSLLIEAQDAFLGPSFPVEAGVQETSFHSSSLSASVVLATKKMSLHKRQKDTLRHMTISCFYQGLATDFQPEVTFVDQSPFDFVAPKFQSQCSILTEPHLAQIVERQTPTCEGRLNSSRDGVAVIVKSATAEQFSTQIPHLHRAMIRQPVSVVVEKYTRLHGAVEYYVTVSGVARAVAVGTKPDIHLISNASSSWTGSLGELSTLVTALCLVDGVVMAGSLEPSIAQLVPLPMFDSLGPHEADWVRLLTLGVSVTRVVCTNNTPGKGIQVFDDRNTAFNLVSSHAHFVDGSNDHFHVTFTVTMGLPVAVLAEKNQTHLVPSMSVRLYSVPSVKVAVIITQNAGFPEQAIVEITSTGIMSGSALFGKEDVHVVPVKEPGDLVRYSLSAAGDRNTQLKIYSNPSMLIQEAEIEPNLLQLKEFVQVQIEDSLERALGYLQGSTPDVAKVVVRLGTRGKVRGLVNMAFNYQSPLRISAEHHRGRLDLVDRKTVSWHFTLGPVANGFSFPNQHNTIGTVYIPMQTGTRMRMIPARIRVARLLLVEVQVLDQSIIVVKGTEADVAQVLGSVTGNGIALGQVLGAASVLAVSENSISFLINSQPQPGGESTVQMTAGILLTVKRPMLRFPPFVEIQQPASNIRQGAKNTVSATLSTQGIAVGRILFTITYNDLAEDLAVLTIIPSAARLKLDMFPFYKALFPKKHLDLVRVYAKVTKGIEVKDVVRIYLEQIDSVYVVAKAPAPFVLCLDHIMITSSGPLLPHVMAVGRAEQYAVKVVDATSTNLFFYGSGERIRLEAQIGPHNYITVEEPSVRVDEVLILEISASLPVLPDHPQKTRASSLHSEQYGTATATIATTGSAEHVLIAQLGHLKKQGSEDDQSVLQMPLEPEISALVPRTSEWQISLSSFNDFRRGEQDYAALDLRPNRHSSVPVVQKNQQLLPCSMDLQPSTYVPALTLRSPPACVFKVVAPEVAPFPNTLSPKNGVSLAIVQEGLAQCTVLIWHQQYNRSSHPAFLALGISDTDEATVNQFFKSPELIWPRPGGEFLMEKSSGLTVLAVSSPDVAKLPLYAAITIKASLHVHGHRYSVLLTTSGQAEAKVRIGSCHESGPPLRGPLPQFVGQNEVEVALDFHSCDSLTVVESAGGPMLGSIRLPLELAQGVRAEVQCPAFEMSHPEIVYVKMEGFHLPNQVDHAQIVSFGIDSGTTMVGKVTVTAVLHSSSSWSFNGSGGHARITYQYAGTKPQWPFTLISPYLTIPIHIEVEQQNWVPVQLIHVGGDQDVVSTLAADIATQGRKLARIRHYSGNQSSSLVEFFEAPLVTEIRINDSVYVKNSFKDFVEITIEYLRGTELVWRDGPHVTFGLYGPEVVYITSNPLRSAGQMRISRHGLVLSSQGLQLATVMSTDQALVAVPRAPDGEPGPISNQLQLEYQDSPMSLETIKGQRIKQVKYHISTGVMLYVDYAPNLLINELILLRVEAPRVNKYVAEQIRAKLKSSGTKMGSVLITDGQKAAKEMESIYSPPIYTSELFTLIRLANHSDSFRDAESDKVITWEFVLGALTSFTNGESDLAQIKLELPSDELYLERTTSVIQIDHILDVIADCRLVQGTTIDEAFIISTLRAPQTLRAQVVAKQSCQQEDLGLPEISAVEPRTSLWRYCGESAELTFNVRTARTFPLLVKPINLKAAAASPGAALLVSLTLLLLGRGRAAM
+>sp|P14859|PO2F1_HUMAN POU domain, class 2, transcription factor 1 OS=Homo sapiens OX=9606 GN=POU2F1 PE=1 SV=2
+MNNPSETSKPSMESGDGNTGTQTNGLDFQKQPVPVGGAISTAQAQAFLGHLHQVQLAGTSLQAAAQSLNVQSKSNEESGDSQQPSQPSQQPSVQAAIPQTQLMLAGGQITGLTLTPAQQQLLLQQAQAQAQLLAAAVQQHSASQQHSAAGATISASAATPMTQIPLSQPIQIAQDLQQLQQLQQQNLNLQQFVLVHPTTNLQPAQFIISQTPQGQQGLLQAQNLLTQLPQQSQANLLQSQPSITLTSQPATPTRTIAATPIQTLPQSQSTPKRIDTPSLEEPSDLEELEQFAKTFKQRRIKLGFTQGDVGLAMGKLYGNDFSQTTISRFEALNLSFKNMCKLKPLLEKWLNDAENLSSDSSLSSPSALNSPGIEGLSRRRKKRTSIETNIRVALEKSFLENQKPTSEEITMIADQLNMEKEVIRVWFCNRRQKEKRINPPSSGGTSSSPIKAIFPSPTSLVATTPSLVTSSAATTLTVSPVLPLTSAAVTNLSVTGTSDTTSNNTATVISTAPPASSAVTSPSLSPSPSASASTSEASSASETSTTQTTSTPLSSPLGTSQVMVTASGLQTAAAAALQGAAQLPANASLAAMAAAAGLNPSLMAPSQFAAGGALLSLNPGTLSGALSPALMSNSTLATIQALASGGSLPITSLDATGNLVFANAGGAPNIVTAPLFLNPQNLSLLTSNPVSLVSAAAASAGNSAPVASLHATSTSAESIQNSLFTVASASGAASTTTTASKAQ
+>DECOY_sp|P14859|PO2F1_HUMAN POU domain, class 2, transcription factor 1 OS=Homo sapiens OX=9606 GN=POU2F1 PE=1 SV=2
+QAKSATTTTSAAGSASAVTFLSNQISEASTSTAHLSAVPASNGASAAAASVLSVPNSTLLSLNQPNLFLPATVINPAGGANAFVLNGTADLSTIPLSGGSALAQITALTSNSMLAPSLAGSLTGPNLSLLAGGAAFQSPAMLSPNLGAAAAMAALSANAPLQAAGQLAAAAATQLGSATVMVQSTGLPSSLPTSTTQTTSTESASSAESTSASASPSPSLSPSTVASSAPPATSIVTATNNSTTDSTGTVSLNTVAASTLPLVPSVTLTTAASSTVLSPTTAVLSTPSPFIAKIPSSSTGGSSPPNIRKEKQRRNCFWVRIVEKEMNLQDAIMTIEESTPKQNELFSKELAVRINTEISTRKKRRRSLGEIGPSNLASPSSLSSDSSLNEADNLWKELLPKLKCMNKFSLNLAEFRSITTQSFDNGYLKGMALGVDGQTFGLKIRRQKFTKAFQELEELDSPEELSPTDIRKPTSQSQPLTQIPTAAITRTPTAPQSTLTISPQSQLLNAQSQQPLQTLLNQAQLLGQQGQPTQSIIFQAPQLNTTPHVLVFQQLNLNQQQLQQLQQLDQAIQIPQSLPIQTMPTAASASITAGAASHQQSASHQQVAAALLQAQAQAQQLLLQQQAPTLTLGTIQGGALMLQTQPIAAQVSPQQSPQSPQQSDGSEENSKSQVNLSQAAAQLSTGALQVQHLHGLFAQAQATSIAGGVPVPQKQFDLGNTQTGTNGDGSEMSPKSTESPNNM
+>sp|Q9UKI9|PO2F3_HUMAN POU domain, class 2, transcription factor 3 OS=Homo sapiens OX=9606 GN=POU2F3 PE=2 SV=3
+MVNLESMHTDIKMSGDVADSTDARSTLSQVEPGNDRNGLDFNRQIKTEDLSDSLQQTLSHRPCHLSQGPAMMSGNQMSGLNASPCQDMASLHPLQQLVLVPGHLQSVSQFLLSQTQPGQQGLQPNLLPFPQQQSGLLLPQTGPGLASQAFGHPGLPGSSLEPHLEASQHLPVPKHLPSSGGADEPSDLEELEKFAKTFKQRRIKLGFTQGDVGLAMGKLYGNDFSQTTISRFEALNLSFKNMCKLKPLLEKWLNDAESSPSDPSVSTPSSYPSLSEVFGRKRKKRTSIETNIRLTLEKRFQDNPKPSSEEISMIAEQLSMEKEVVRVWFCNRRQKEKRINCPVATPIKPPVYNSRLVSPSGSLGPLSVPPVHSTMPGTVTSSCSPGNNSRPSSPGSGLHASSPTASQNNSKAAVNSASSFNSSGSWYRWNHSTYLH
+>DECOY_sp|Q9UKI9|PO2F3_HUMAN POU domain, class 2, transcription factor 3 OS=Homo sapiens OX=9606 GN=POU2F3 PE=2 SV=3
+HLYTSHNWRYWSGSSNFSSASNVAAKSNNQSATPSSAHLGSGPSSPRSNNGPSCSSTVTGPMTSHVPPVSLPGLSGSPSVLRSNYVPPKIPTAVPCNIRKEKQRRNCFWVRVVEKEMSLQEAIMSIEESSPKPNDQFRKELTLRINTEISTRKKRKRGFVESLSPYSSPTSVSPDSPSSEADNLWKELLPKLKCMNKFSLNLAEFRSITTQSFDNGYLKGMALGVDGQTFGLKIRRQKFTKAFKELEELDSPEDAGGSSPLHKPVPLHQSAELHPELSSGPLGPHGFAQSALGPGTQPLLLGSQQQPFPLLNPQLGQQGPQTQSLLFQSVSQLHGPVLVLQQLPHLSAMDQCPSANLGSMQNGSMMAPGQSLHCPRHSLTQQLSDSLDETKIQRNFDLGNRDNGPEVQSLTSRADTSDAVDGSMKIDTHMSELNVM
+>sp|P20265|PO3F2_HUMAN POU domain, class 3, transcription factor 2 OS=Homo sapiens OX=9606 GN=POU3F2 PE=1 SV=4
+MATAASNHYSLLTSSASIVHAEPPGGMQQGAGGYREAQSLVQGDYGALQSNGHPLSHAHQWITALSHGGGGGGGGGGGGGGGGGGGGGDGSPWSTSPLGQPDIKPSVVVQQGGRGDELHGPGALQQQHQQQQQQQQQQQQQQQQQQQQQRPPHLVHHAANHHPGPGAWRSAAAAAHLPPSMGASNGGLLYSQPSFTVNGMLGAGGQPAGLHHHGLRDAHDEPHHADHHPHPHSHPHQQPPPPPPPQGPPGHPGAHHDPHSDEDTPTSDDLEQFAKQFKQRRIKLGFTQADVGLALGTLYGNVFSQTTICRFEALQLSFKNMCKLKPLLNKWLEEADSSSGSPTSIDKIAAQGRKRKKRTSIEVSVKGALESHFLKCPKPSAQEITSLADSLQLEKEVVRVWFCNRRQKEKRMTPPGGTLPGAEDVYGGSRDTPPHHGVQTPVQ
+>DECOY_sp|P20265|PO3F2_HUMAN POU domain, class 3, transcription factor 2 OS=Homo sapiens OX=9606 GN=POU3F2 PE=1 SV=4
+QVPTQVGHHPPTDRSGGYVDEAGPLTGGPPTMRKEKQRRNCFWVRVVEKELQLSDALSTIEQASPKPCKLFHSELAGKVSVEISTRKKRKRGQAAIKDISTPSGSSSDAEELWKNLLPKLKCMNKFSLQLAEFRCITTQSFVNGYLTGLALGVDAQTFGLKIRRQKFQKAFQELDDSTPTDEDSHPDHHAGPHGPPGQPPPPPPPQQHPHSHPHPHHDAHHPEDHADRLGHHHLGAPQGGAGLMGNVTFSPQSYLLGGNSAGMSPPLHAAAAASRWAGPGPHHNAAHHVLHPPRQQQQQQQQQQQQQQQQQQQQQHQQQLAGPGHLEDGRGGQQVVVSPKIDPQGLPSTSWPSGDGGGGGGGGGGGGGGGGGGGGGHSLATIWQHAHSLPHGNSQLAGYDGQVLSQAERYGGAGQQMGGPPEAHVISASSTLLSYHNSAATAM
+>sp|P40855|PEX19_HUMAN Peroxisomal biogenesis factor 19 OS=Homo sapiens OX=9606 GN=PEX19 PE=1 SV=1
+MAAAEEGCSVGAEADRELEELLESALDDFDKAKPSPAPPSTTTAPDASGPQKRSPGDTAKDALFASQEKFFQELFDSELASQATAEFEKAMKELAEEEPHLVEQFQKLSEAAGRVGSDMTSQQEFTSCLKETLSGLAKNATDLQNSSMSEEELTKAMEGLGMDEGDGEGNILPIMQSIMQNLLSKDVLYPSLKEITEKYPEWLQSHRESLPPEQFEKYQEQHSVMCKICEQFEAETPTDSETTQKARFEMVLDLMQQLQDLGHPPKELAGEMPPGLNFDLDALNLSGPPGASGEQCLIM
+>DECOY_sp|P40855|PEX19_HUMAN Peroxisomal biogenesis factor 19 OS=Homo sapiens OX=9606 GN=PEX19 PE=1 SV=1
+MILCQEGSAGPPGSLNLADLDFNLGPPMEGALEKPPHGLDQLQQMLDLVMEFRAKQTTESDTPTEAEFQECIKCMVSHQEQYKEFQEPPLSERHSQLWEPYKETIEKLSPYLVDKSLLNQMISQMIPLINGEGDGEDMGLGEMAKTLEEESMSSNQLDTANKALGSLTEKLCSTFEQQSTMDSGVRGAAESLKQFQEVLHPEEEALEKMAKEFEATAQSALESDFLEQFFKEQSAFLADKATDGPSRKQPGSADPATTTSPPAPSPKAKDFDDLASELLEELERDAEAGVSCGEEAAAM
+>sp|O43933|PEX1_HUMAN Peroxisome biogenesis factor 1 OS=Homo sapiens OX=9606 GN=PEX1 PE=1 SV=1
+MWGSDRLAGAGGGGAAVTVAFTNARDCFLHLPRRLVAQLHLLQNQAIEVVWSHQPAFLSWVEGRHFSDQGENVAEINRQVGQKLGLSNGGQVFLKPCSHVVSCQQVEVEPLSADDWEILELHAVSLEQHLLDQIRIVFPKAIFPVWVDQQTYIFIQIVALIPAASYGRLETDTKLLIQPKTRRAKENTFSKADAEYKKLHSYGRDQKGMMKELQTKQLQSNTVGITESNENESEIPVDSSSVASLWTMIGSIFSFQSEKKQETSWGLTEINAFKNMQSKVVPLDNIFRVCKSQPPSIYNASATSVFHKHCAIHVFPWDQEYFDVEPSFTVTYGKLVKLLSPKQQQSKTKQNVLSPEKEKQMSEPLDQKKIRSDHNEEDEKACVLQVVWNGLEELNNAIKYTKNVEVLHLGKVWIPDDLRKRLNIEMHAVVRITPVEVTPKIPRSLKLQPRENLPKDISEEDIKTVFYSWLQQSTTTMLPLVISEEEFIKLETKDGLKEFSLSIVHSWEKEKDKNIFLLSPNLLQKTTIQVLLDPMVKEENSEEIDFILPFLKLSSLGGVNSLGVSSLEHITHSLLGRPLSRQLMSLVAGLRNGALLLTGGKGSGKSTLAKAICKEAFDKLDAHVERVDCKALRGKRLENIQKTLEVAFSEAVWMQPSVVLLDDLDLIAGLPAVPEHEHSPDAVQSQRLAHALNDMIKEFISMGSLVALIATSQSQQSLHPLLVSAQGVHIFQCVQHIQPPNQEQRCEILCNVIKNKLDCDINKFTDLDLQHVAKETGGFVARDFTVLVDRAIHSRLSRQSISTREKLVLTTLDFQKALRGFLPASLRSVNLHKPRDLGWDKIGGLHEVRQILMDTIQLPAKYPELFANLPIRQRTGILLYGPPGTGKTLLAGVIARESRMNFISVKGPELLSKYIGASEQAVRDIFIRAQAAKPCILFFDEFESIAPRRGHDNTGVTDRVVNQLLTQLDGVEGLQGVYVLAATSRPDLIDPALLRPGRLDKCVYCPPPDQVSRLEILNVLSDSLPLADDVDLQHVASVTDSFTGADLKALLYNAQLEALHGMLLSSGLQDGSSSSDSDLSLSSMVFLNHSSGSDDSAGDGECGLDQSLVSLEMSEILPDESKFNMYRLYFGSSYESELGNGTSSDLSSQCLSAPSSMTQDLPGVPGKDQLFSQPPVLRTASQEGCQELTQEQRDQLRADISIIKGRYRSQSGEDESMNQPGPIKTRLAISQSHLMTALGHTRPSISEDDWKNFAELYESFQNPKRRKNQSGTMFRPGQKVTLA
+>DECOY_sp|O43933|PEX1_HUMAN Peroxisome biogenesis factor 1 OS=Homo sapiens OX=9606 GN=PEX1 PE=1 SV=1
+ALTVKQGPRFMTGSQNKRRKPNQFSEYLEAFNKWDDESISPRTHGLATMLHSQSIALRTKIPGPQNMSEDEGSQSRYRGKIISIDARLQDRQEQTLEQCGEQSATRLVPPQSFLQDKGPVGPLDQTMSSPASLCQSSLDSSTGNGLESEYSSGFYLRYMNFKSEDPLIESMELSVLSQDLGCEGDGASDDSGSSHNLFVMSSLSLDSDSSSSGDQLGSSLLMGHLAELQANYLLAKLDAGTFSDTVSAVHQLDVDDALPLSDSLVNLIELRSVQDPPPCYVCKDLRGPRLLAPDILDPRSTAALVYVGQLGEVGDLQTLLQNVVRDTVGTNDHGRRPAISEFEDFFLICPKAAQARIFIDRVAQESAGIYKSLLEPGKVSIFNMRSERAIVGALLTKGTGPPGYLLIGTRQRIPLNAFLEPYKAPLQITDMLIQRVEHLGGIKDWGLDRPKHLNVSRLSAPLFGRLAKQFDLTTLVLKERTSISQRSLRSHIARDVLVTFDRAVFGGTEKAVHQLDLDTFKNIDCDLKNKIVNCLIECRQEQNPPQIHQVCQFIHVGQASVLLPHLSQQSQSTAILAVLSGMSIFEKIMDNLAHALRQSQVADPSHEHEPVAPLGAILDLDDLLVVSPQMWVAESFAVELTKQINELRKGRLAKCDVREVHADLKDFAEKCIAKALTSKGSGKGGTLLLAGNRLGAVLSMLQRSLPRGLLSHTIHELSSVGLSNVGGLSSLKLFPLIFDIEESNEEKVMPDLLVQITTKQLLNPSLLFINKDKEKEWSHVISLSFEKLGDKTELKIFEEESIVLPLMTTTSQQLWSYFVTKIDEESIDKPLNERPQLKLSRPIKPTVEVPTIRVVAHMEINLRKRLDDPIWVKGLHLVEVNKTYKIANNLEELGNWVVQLVCAKEDEENHDSRIKKQDLPESMQKEKEPSLVNQKTKSQQQKPSLLKVLKGYTVTFSPEVDFYEQDWPFVHIACHKHFVSTASANYISPPQSKCVRFINDLPVVKSQMNKFANIETLGWSTEQKKESQFSFISGIMTWLSAVSSSDVPIESENENSETIGVTNSQLQKTQLEKMMGKQDRGYSHLKKYEADAKSFTNEKARRTKPQILLKTDTELRGYSAAPILAVIQIFIYTQQDVWVPFIAKPFVIRIQDLLHQELSVAHLELIEWDDASLPEVEVQQCSVVHSCPKLFVQGGNSLGLKQGVQRNIEAVNEGQDSFHRGEVWSLFAPQHSWVVEIAQNQLLHLQAVLRRPLHLFCDRANTFAVTVAAGGGGAGALRDSGWM
+>sp|P56589|PEX3_HUMAN Peroxisomal biogenesis factor 3 OS=Homo sapiens OX=9606 GN=PEX3 PE=1 SV=1
+MLRSVWNFLKRHKKKCIFLGTVLGGVYILGKYGQKKIREIQEREAAEYIAQARRQYHFESNQRTCNMTVLSMLPTLREALMQQLNSESLTALLKNRPSNKLEIWEDLKIISFTRSTVAVYSTCMLVVLLRVQLNIIGGYIYLDNAAVGKNGTTILAPPDVQQQYLSSIQHLLGDGLTELITVIKQAVQKVLGSVSLKHSLSLLDLEQKLKEIRNLVEQHKSSSWINKDGSKPLLCHYMMPDEETPLAVQACGLSPRDITTIKLLNETRDMLESPDFSTVLNTCLNRGFSRLLDNMAEFFRPTEQDLQHGNSMNSLSSVSLPLAKIIPIVNGQIHSVCSETPSHFVQDLLTMEQVKDFAANVYEAFSTPQQLEK
+>DECOY_sp|P56589|PEX3_HUMAN Peroxisomal biogenesis factor 3 OS=Homo sapiens OX=9606 GN=PEX3 PE=1 SV=1
+KELQQPTSFAEYVNAAFDKVQEMTLLDQVFHSPTESCVSHIQGNVIPIIKALPLSVSSLSNMSNGHQLDQETPRFFEAMNDLLRSFGRNLCTNLVTSFDPSELMDRTENLLKITTIDRPSLGCAQVALPTEEDPMMYHCLLPKSGDKNIWSSSKHQEVLNRIEKLKQELDLLSLSHKLSVSGLVKQVAQKIVTILETLGDGLLHQISSLYQQQVDPPALITTGNKGVAANDLYIYGGIINLQVRLLVVLMCTSYVAVTSRTFSIIKLDEWIELKNSPRNKLLATLSESNLQQMLAERLTPLMSLVTMNCTRQNSEFHYQRRAQAIYEAAEREQIERIKKQGYKGLIYVGGLVTGLFICKKKHRKLFNWVSRLM
+>sp|Q8IYB4|PEX5R_HUMAN PEX5-related protein OS=Homo sapiens OX=9606 GN=PEX5L PE=1 SV=2
+MYQGHMQKSKEQGYGKLSSDEDLEIIVDQKQGKGSRAADKAVAMVMKEIPREESAEEKPLLTMTSQLVNEQQESRPLLSPSIDDFLCETKSEAIARPVTSNTAVLTTGLDLLDLSEPVSQTQTKAKKSEPSSKTSSLKKKADGSDLISTDAEQRGQPLRVPETSSLDLDIQTQLEKWDDVKFHGDRNTKGHPMAERKSSSSRTGSKELLWSSEHRSQPELSGGKSALNSESASELELVAPTQARLTKEHRWGSALLSRNHSLEEEFERAKAAVESDTEFWDKMQAEWEEMARRNWISENQEAQNQVTISASEKGYYFHTENPFKDWPGAFEEGLKRLKEGDLPVTILFMEAAILQDPGDAEAWQFLGITQAENENEQAAIVALQRCLELQPNNLKALMALAVSYTNTGHQQDACDALKNWIKQNPKYKYLVKSKKGSPGLTRRMSKSPVDSSVLEGVKELYLEAAHQNGDMIDPDLQTGLGVLFHLSGEFNRAIDAFNAALTVRPEDYSLWNRLGATLANGDRSEEAVEAYTRALEIQPGFIRSRYNLGISCINLGAYREAVSNFLTALSLQRKSRNQQQVPHPAISGNIWAALRIALSLMDQPELFQAANLGDLDVLLRAFNLDP
+>DECOY_sp|Q8IYB4|PEX5R_HUMAN PEX5-related protein OS=Homo sapiens OX=9606 GN=PEX5L PE=1 SV=2
+PDLNFARLLVDLDGLNAAQFLEPQDMLSLAIRLAAWINGSIAPHPVQQQNRSKRQLSLATLFNSVAERYAGLNICSIGLNYRSRIFGPQIELARTYAEVAEESRDGNALTAGLRNWLSYDEPRVTLAANFADIARNFEGSLHFLVGLGTQLDPDIMDGNQHAAELYLEKVGELVSSDVPSKSMRRTLGPSGKKSKVLYKYKPNQKIWNKLADCADQQHGTNTYSVALAMLAKLNNPQLELCRQLAVIAAQENENEAQTIGLFQWAEADGPDQLIAAEMFLITVPLDGEKLRKLGEEFAGPWDKFPNETHFYYGKESASITVQNQAEQNESIWNRRAMEEWEAQMKDWFETDSEVAAKAREFEEELSHNRSLLASGWRHEKTLRAQTPAVLELESASESNLASKGGSLEPQSRHESSWLLEKSGTRSSSSKREAMPHGKTNRDGHFKVDDWKELQTQIDLDLSSTEPVRLPQGRQEADTSILDSGDAKKKLSSTKSSPESKKAKTQTQSVPESLDLLDLGTTLVATNSTVPRAIAESKTECLFDDISPSLLPRSEQQENVLQSTMTLLPKEEASEERPIEKMVMAVAKDAARSGKGQKQDVIIELDEDSSLKGYGQEKSKQMHGQYM
+>sp|Q13608|PEX6_HUMAN Peroxisome assembly factor 2 OS=Homo sapiens OX=9606 GN=PEX6 PE=1 SV=2
+MALAVLRVLEPFPTETPPLAVLLPPGGPWPAAELGLVLALRPAGESPAGPALLVAALEGPDAGTEEQGPGPPQLLVSRALLRLLALGSGAWVRARAVRRPPALGWALLGTSLGPGLGPRVGPLLVRRGETLPVPGPRVLETRPALQGLLGPGTRLAVTELRGRARLCPESGDSSRPPPPPVVSSFAVSGTVRRLQGVLGGTGDSLGVSRSCLRGLGLFQGEWVWVAQARESSNTSQPHLARVQVLEPRWDLSDRLGPGSGPLGEPLADGLALVPATLAFNLGCDPLEMGELRIQRYLEGSIAPEDKGSCSLLPGPPFARELHIEIVSSPHYSTNGNYDGVLYRHFQIPRVVQEGDVLCVPTIGQVEILEGSPEKLPRWREMFFKVKKTVGEAPDGPASAYLADTTHTSLYMVGSTLSPVPWLPSEESTLWSSLSPPGLEALVSELCAVLKPRLQPGGALLTGTSSVLLRGPPGCGKTTVVAAACSHLGLHLLKVPCSSLCAESSGAVETKLQAIFSRARRCRPAVLLLTAVDLLGRDRDGLGEDARVMAVLRHLLLNEDPLNSCPPLMVVATTSRAQDLPADVQTAFPHELEVPALSEGQRLSILRALTAHLPLGQEVNLAQLARRCAGFVVGDLYALLTHSSRAACTRIKNSGLAGGLTEEDEGELCAAGFPLLAEDFGQALEQLQTAHSQAVGAPKIPSVSWHDVGGLQEVKKEILETIQLPLEHPELLSLGLRRSGLLLHGPPGTGKTLLAKAVATECSLTFLSVKGPELINMYVGQSEENVREVFARARAAAPCIIFFDELDSLAPSRGRSGDSGGVMDRVVSQLLAELDGLHSTQDVFVIGATNRPDLLDPALLRPGRFDKLVFVGANEDRASQLRVLSAITRKFKLEPSVSLVNVLDCCPPQLTGADLYSLCSDAMTAALKRRVHDLEEGLEPGSSALMLTMEDLLQAAARLQPSVSEQELLRYKRIQRKFAAC
+>DECOY_sp|Q13608|PEX6_HUMAN Peroxisome assembly factor 2 OS=Homo sapiens OX=9606 GN=PEX6 PE=1 SV=2
+CAAFKRQIRKYRLLEQESVSPQLRAAAQLLDEMTLMLASSGPELGEELDHVRRKLAATMADSCLSYLDAGTLQPPCCDLVNVLSVSPELKFKRTIASLVRLQSARDENAGVFVLKDFRGPRLLAPDLLDPRNTAGIVFVDQTSHLGDLEALLQSVVRDMVGGSDGSRGRSPALSDLEDFFIICPAAARARAFVERVNEESQGVYMNILEPGKVSLFTLSCETAVAKALLTKGTGPPGHLLLGSRRLGLSLLEPHELPLQITELIEKKVEQLGGVDHWSVSPIKPAGVAQSHATQLQELAQGFDEALLPFGAACLEGEDEETLGGALGSNKIRTCAARSSHTLLAYLDGVVFGACRRALQALNVEQGLPLHATLARLISLRQGESLAPVELEHPFATQVDAPLDQARSTTAVVMLPPCSNLPDENLLLHRLVAMVRADEGLGDRDRGLLDVATLLLVAPRCRRARSFIAQLKTEVAGSSEACLSSCPVKLLHLGLHSCAAAVVTTKGCGPPGRLLVSSTGTLLAGGPQLRPKLVACLESVLAELGPPSLSSWLTSEESPLWPVPSLTSGVMYLSTHTTDALYASAPGDPAEGVTKKVKFFMERWRPLKEPSGELIEVQGITPVCLVDGEQVVRPIQFHRYLVGDYNGNTSYHPSSVIEIHLERAFPPGPLLSCSGKDEPAISGELYRQIRLEGMELPDCGLNFALTAPVLALGDALPEGLPGSGPGLRDSLDWRPELVQVRALHPQSTNSSERAQAVWVWEGQFLGLGRLCSRSVGLSDGTGGLVGQLRRVTGSVAFSSVVPPPPPRSSDGSEPCLRARGRLETVALRTGPGLLGQLAPRTELVRPGPVPLTEGRRVLLPGVRPGLGPGLSTGLLAWGLAPPRRVARARVWAGSGLALLRLLARSVLLQPPGPGQEETGADPGELAAVLLAPGAPSEGAPRLALVLGLEAAPWPGGPPLLVALPPTETPFPELVRLVALAM
+>sp|Q96EK2|PF21B_HUMAN PHD finger protein 21B OS=Homo sapiens OX=9606 GN=PHF21B PE=1 SV=1
+MELQSRPEALAVELARHQNGDLKKQLHERQPRIAALSDKQALGTITAVPVTGPQVSSLQRLAGQGAAVLPQVRPKTLIPDSLPVAPGRDRPPKQPPTFQKATVVSVKNPSPALPTANNTVSHVPAPGSQPQALAEPAALASPLSSAGVAYAIISTSPSNAAAMAPSTAVSVVSDSIKVQPLLISADNKPPPRLLSSPHPATHHCPLHPSSLPLTPPSPSLSPSPLHGIFQVIIIQPQVQTQPESTAESRPPTEEPSQGAQATKKKKEDRPPTQENPEKIAFMVALGLVTTEHLEEIQSKRQERKRRSTANPAYSGLLETERKRLASNYLNNPLFLTARANEDPCWKNEITHDEHCAACKRGANLQPCGTCPGAYHLSCLEPPLKTAPKGVWVCPRCQQKALKKDEGVPWTGMLAIVHSYVTHKTVKEEEKQKLLQRGSELQNEHQQLEERDRRLASAVQKCLELKTSLLARQRGTQSSLDRLRALLRLIQGEQLLQVTMTTTSPAPLLAGPWTKPSVAATHPTVQHPQGHN
+>DECOY_sp|Q96EK2|PF21B_HUMAN PHD finger protein 21B OS=Homo sapiens OX=9606 GN=PHF21B PE=1 SV=1
+NHGQPHQVTPHTAAVSPKTWPGALLPAPSTTTMTVQLLQEGQILRLLARLRDLSSQTGRQRALLSTKLELCKQVASALRRDREELQQHENQLESGRQLLKQKEEEKVTKHTVYSHVIALMGTWPVGEDKKLAKQQCRPCVWVGKPATKLPPELCSLHYAGPCTGCPQLNAGRKCAACHEDHTIENKWCPDENARATLFLPNNLYNSALRKRETELLGSYAPNATSRRKREQRKSQIEELHETTVLGLAVMFAIKEPNEQTPPRDEKKKKTAQAGQSPEETPPRSEATSEPQTQVQPQIIIVQFIGHLPSPSLSPSPPTLPLSSPHLPCHHTAPHPSSLLRPPPKNDASILLPQVKISDSVVSVATSPAMAAANSPSTSIIAYAVGASSLPSALAAPEALAQPQSGPAPVHSVTNNATPLAPSPNKVSVVTAKQFTPPQKPPRDRGPAVPLSDPILTKPRVQPLVAAGQGALRQLSSVQPGTVPVATITGLAQKDSLAAIRPQREHLQKKLDGNQHRALEVALAEPRSQLEM
+>sp|O60925|PFD1_HUMAN Prefoldin subunit 1 OS=Homo sapiens OX=9606 GN=PFDN1 PE=1 SV=2
+MAAPVDLELKKAFTELQAKVIDTQQKVKLADIQIEQLNRTKKHAHLTDTEIMTLVDETNMYEGVGRMFILQSKEAIHSQLLEKQKIAEEKIKELEQKKSYLERSVKEAEDNIREMLMARRAQ
+>DECOY_sp|O60925|PFD1_HUMAN Prefoldin subunit 1 OS=Homo sapiens OX=9606 GN=PFDN1 PE=1 SV=2
+QARRAMLMERINDEAEKVSRELYSKKQELEKIKEEAIKQKELLQSHIAEKSQLIFMRGVGEYMNTEDVLTMIETDTLHAHKKTRNLQEIQIDALKVKQQTDIVKAQLETFAKKLELDVPAAM
+>sp|O15212|PFD6_HUMAN Prefoldin subunit 6 OS=Homo sapiens OX=9606 GN=PFDN6 PE=1 SV=1
+MAELIQKKLQGEVEKYQQLQKDLSKSMSGRQKLEAQLTENNIVKEELALLDGSNVVFKLLGPVLVKQELGEARATVGKRLDYITAEIKRYESQLRDLERQSEQQRETLAQLQQEFQRAQAAKAGAPGKA
+>DECOY_sp|O15212|PFD6_HUMAN Prefoldin subunit 6 OS=Homo sapiens OX=9606 GN=PFDN6 PE=1 SV=1
+AKGPAGAKAAQARQFEQQLQALTERQQESQRELDRLQSEYRKIEATIYDLRKGVTARAEGLEQKVLVPGLLKFVVNSGDLLALEEKVINNETLQAELKQRGSMSKSLDKQLQQYKEVEGQLKKQILEAM
+>sp|Q8NBL1|PGLT1_HUMAN Protein O-glucosyltransferase 1 OS=Homo sapiens OX=9606 GN=POGLUT1 PE=1 SV=1
+MEWWASSPLRLWLLLFLLPSAQGRQKESGSKWKVFIDQINRSLENYEPCSSQNCSCYHGVIEEDLTPFRGGISRKMMAEVVRRKLGTHYQITKNRLYRENDCMFPSRCSGVEHFILEVIGRLPDMEMVINVRDYPQVPKWMEPAIPVFSFSKTSEYHDIMYPAWTFWEGGPAVWPIYPTGLGRWDLFREDLVRSAAQWPWKKKNSTAYFRGSRTSPERDPLILLSRKNPKLVDAEYTKNQAWKSMKDTLGKPAAKDVHLVDHCKYKYLFNFRGVAASFRFKHLFLCGSLVFHVGDEWLEFFYPQLKPWVHYIPVKTDLSNVQELLQFVKANDDVAQEIAERGSQFIRNHLQMDDITCYWENLLSEYSKFLSYNVTRRKGYDQIIPKMLKTEL
+>DECOY_sp|Q8NBL1|PGLT1_HUMAN Protein O-glucosyltransferase 1 OS=Homo sapiens OX=9606 GN=POGLUT1 PE=1 SV=1
+LETKLMKPIIQDYGKRRTVNYSLFKSYESLLNEWYCTIDDMQLHNRIFQSGREAIEQAVDDNAKVFQLLEQVNSLDTKVPIYHVWPKLQPYFFELWEDGVHFVLSGCLFLHKFRFSAAVGRFNFLYKYKCHDVLHVDKAAPKGLTDKMSKWAQNKTYEADVLKPNKRSLLILPDREPSTRSGRFYATSNKKKWPWQAASRVLDERFLDWRGLGTPYIPWVAPGGEWFTWAPYMIDHYESTKSFSFVPIAPEMWKPVQPYDRVNIVMEMDPLRGIVELIFHEVGSCRSPFMCDNERYLRNKTIQYHTGLKRRVVEAMMKRSIGGRFPTLDEEIVGHYCSCNQSSCPEYNELSRNIQDIFVKWKSGSEKQRGQASPLLFLLLWLRLPSSAWWEM
+>sp|P00439|PH4H_HUMAN Phenylalanine-4-hydroxylase OS=Homo sapiens OX=9606 GN=PAH PE=1 SV=1
+MSTAVLENPGLGRKLSDFGQETSYIEDNCNQNGAISLIFSLKEEVGALAKVLRLFEENDVNLTHIESRPSRLKKDEYEFFTHLDKRSLPALTNIIKILRHDIGATVHELSRDKKKDTVPWFPRTIQELDRFANQILSYGAELDADHPGFKDPVYRARRKQFADIAYNYRHGQPIPRVEYMEEEKKTWGTVFKTLKSLYKTHACYEYNHIFPLLEKYCGFHEDNIPQLEDVSQFLQTCTGFRLRPVAGLLSSRDFLGGLAFRVFHCTQYIRHGSKPMYTPEPDICHELLGHVPLFSDRSFAQFSQEIGLASLGAPDEYIEKLATIYWFTVEFGLCKQGDSIKAYGAGLLSSFGELQYCLSEKPKLLPLELEKTAIQNYTVTEFQPLYYVAESFNDAKEKVRNFAATIPRPFSVRYDPYTQRIEVLDNTQQLKILADSINSEIGILCSALQKIK
+>DECOY_sp|P00439|PH4H_HUMAN Phenylalanine-4-hydroxylase OS=Homo sapiens OX=9606 GN=PAH PE=1 SV=1
+KIKQLASCLIGIESNISDALIKLQQTNDLVEIRQTYPDYRVSFPRPITAAFNRVKEKADNFSEAVYYLPQFETVTYNQIATKELELPLLKPKESLCYQLEGFSSLLGAGYAKISDGQKCLGFEVTFWYITALKEIYEDPAGLSALGIEQSFQAFSRDSFLPVHGLLEHCIDPEPTYMPKSGHRIYQTCHFVRFALGGLFDRSSLLGAVPRLRFGTCTQLFQSVDELQPINDEHFGCYKELLPFIHNYEYCAHTKYLSKLTKFVTGWTKKEEEMYEVRPIPQGHRYNYAIDAFQKRRARYVPDKFGPHDADLEAGYSLIQNAFRDLEQITRPFWPVTDKKKDRSLEHVTAGIDHRLIKIINTLAPLSRKDLHTFFEYEDKKLRSPRSEIHTLNVDNEEFLRLVKALAGVEEKLSFILSIAGNQNCNDEIYSTEQGFDSLKRGLGPNELVATSM
+>sp|O75167|PHAR2_HUMAN Phosphatase and actin regulator 2 OS=Homo sapiens OX=9606 GN=PHACTR2 PE=1 SV=2
+MDNAVDGLDKASIANSDGPTAGSQTPPFKRKGKLSTIGKIFKPWKWRKKKTSDKFRETSAVLERKISTRQSREELIRRGVLKELPDQDGDVTVNFENSNGHMIPIGEESTREENVVKSEEGNGSVSEKTPPLEEQAEDKKENTENHSETPAAPALPPSAPPKPRPKPKPKKSPVPPKGATAGASHKGDEVPPIKKNTKAPGKQAPVPPPKPASRNTTREAAGSSHSKKTTGSKASASPSTSSTSSRPKASKETVSSKAGTVGTTKGKRKTDKQPITSHLSSDTTTSGTSDLKGEPAETRVESFKLEQTVPGAEEQNTGKFKSMVPPPPVAPAPSPLAPPLPLEDQCITASDTPVVLVSVGADLPVSALDPSQLLWAEEPTNRTTLYSGTGLSVNRENAKCFTTKEELGKTVPQLLTPGLMGESSESFSASEDEGHREYQANDSDSDGPILYTDDEDEDEDEDGSGESALASKIRRRDTLAIKLGNRPSKKELEDKNILQRTSEEERQEIRQQIGTKLVRRLSQRPTTEELEQRNILKQKNEEEEQEAKMELKRRLSRKLSLRPTVAELQARRILRFNEYVEVTDSPDYDRRADKPWARLTPADKAAIRKELNEFKSTEMEVHEESRQFTRFHRP
+>DECOY_sp|O75167|PHAR2_HUMAN Phosphatase and actin regulator 2 OS=Homo sapiens OX=9606 GN=PHACTR2 PE=1 SV=2
+PRHFRTFQRSEEHVEMETSKFENLEKRIAAKDAPTLRAWPKDARRDYDPSDTVEVYENFRLIRRAQLEAVTPRLSLKRSLRRKLEMKAEQEEEENKQKLINRQELEETTPRQSLRRVLKTGIQQRIEQREEESTRQLINKDELEKKSPRNGLKIALTDRRRIKSALASEGSGDEDEDEDEDDTYLIPGDSDSDNAQYERHGEDESASFSESSEGMLGPTLLQPVTKGLEEKTTFCKANERNVSLGTGSYLTTRNTPEEAWLLQSPDLASVPLDAGVSVLVVPTDSATICQDELPLPPALPSPAPAVPPPPVMSKFKGTNQEEAGPVTQELKFSEVRTEAPEGKLDSTGSTTTDSSLHSTIPQKDTKRKGKTTGVTGAKSSVTEKSAKPRSSTSSTSPSASAKSGTTKKSHSSGAAERTTNRSAPKPPPVPAQKGPAKTNKKIPPVEDGKHSAGATAGKPPVPSKKPKPKPRPKPPASPPLAPAAPTESHNETNEKKDEAQEELPPTKESVSGNGEESKVVNEERTSEEGIPIMHGNSNEFNVTVDGDQDPLEKLVGRRILEERSQRTSIKRELVASTERFKDSTKKKRWKWPKFIKGITSLKGKRKFPPTQSGATPGDSNAISAKDLGDVANDM
+>sp|Q99623|PHB2_HUMAN Prohibitin-2 OS=Homo sapiens OX=9606 GN=PHB2 PE=1 SV=2
+MAQNLKDLAGRLPAGPRGMGTALKLLLGAGAVAYGVRESVFTVEGGHRAIFFNRIGGVQQDTILAEGLHFRIPWFQYPIIYDIRARPRKISSPTGSKDLQMVNISLRVLSRPNAQELPSMYQRLGLDYEERVLPSIVNEVLKSVVAKFNASQLITQRAQVSLLIRRELTERAKDFSLILDDVAITELSFSREYTAAVEAKQVAQQEAQRAQFLVEKAKQEQRQKIVQAEGEAEAAKMLGEALSKNPGYIKLRKIRAAQNISKTIATSQNRIYLTADNLVLNLQDESFTRGSDSLIKGKK
+>DECOY_sp|Q99623|PHB2_HUMAN Prohibitin-2 OS=Homo sapiens OX=9606 GN=PHB2 PE=1 SV=2
+KKGKILSDSGRTFSEDQLNLVLNDATLYIRNQSTAITKSINQAARIKRLKIYGPNKSLAEGLMKAAEAEGEAQVIKQRQEQKAKEVLFQARQAEQQAVQKAEVAATYERSFSLETIAVDDLILSFDKARETLERRILLSVQARQTILQSANFKAVVSKLVENVISPLVREEYDLGLRQYMSPLEQANPRSLVRLSINVMQLDKSGTPSSIKRPRARIDYIIPYQFWPIRFHLGEALITDQQVGGIRNFFIARHGGEVTFVSERVGYAVAGAGLLLKLATGMGRPGAPLRGALDKLNQAM
+>sp|Q9UIL8|PHF11_HUMAN PHD finger protein 11 OS=Homo sapiens OX=9606 GN=PHF11 PE=1 SV=3
+MAQASPPRPERVLGASSPEARPAQEALLLPTGVFQVAEKMEKRTCALCPKDVEYNVLYFAQSENIAAHENCLLYSSGLVECEDQDPLNPDRSFDVESVKKEIQRGRKLKCKFCHKRGATVGCDLKNCNKNYHFFCAKKDDAVPQSDGVRGIYKLLCQQHAQFPIIAQSAKFSGVKRKRGRKKPLSGNHVQPPETMKCNTFIRQVKEEHGRHTDATVKVPFLKKCKEAGLLNYLLEEILDKVHSIPEKLMDETTSESDYEEIGSALFDCRLFEDTFVNFQAAIEKKIHASQQRWQQLKEEIELLQDLKQTLCSFQENRDLMSSSTSISSLSY
+>DECOY_sp|Q9UIL8|PHF11_HUMAN PHD finger protein 11 OS=Homo sapiens OX=9606 GN=PHF11 PE=1 SV=3
+YSLSSISTSSSMLDRNEQFSCLTQKLDQLLEIEEKLQQWRQQSAHIKKEIAAQFNVFTDEFLRCDFLASGIEEYDSESTTEDMLKEPISHVKDLIEELLYNLLGAEKCKKLFPVKVTADTHRGHEEKVQRIFTNCKMTEPPQVHNGSLPKKRGRKRKVGSFKASQAIIPFQAHQQCLLKYIGRVGDSQPVADDKKACFFHYNKNCNKLDCGVTAGRKHCFKCKLKRGRQIEKKVSEVDFSRDPNLPDQDECEVLGSSYLLCNEHAAINESQAFYLVNYEVDKPCLACTRKEMKEAVQFVGTPLLLAEQAPRAEPSSAGLVREPRPPSAQAM
+>sp|Q86YI8|PHF13_HUMAN PHD finger protein 13 OS=Homo sapiens OX=9606 GN=PHF13 PE=1 SV=2
+MDSDSCAAAFHPEEYSPSCKRRRTVEDFNKFCTFVLAYAGYIPYPKEELPLRSSPSPANSTAGTIDSDGWDAGFSDIASSVPLPVSDRCFSHLQPTLLQRAKPSNFLLDRKKTDKLKKKKKRKRRDSDAPGKEGYRGGLLKLEAADPYVETPTSPTLQDIPQAPSDPCSGWDSDTPSSGSCATVSPDQVKEIKTEGKRTIVRQGKQVVFRDEDSTGNDEDIMVDSDDDSWDLVTCFCMKPFAGRPMIECNECHTWIHLSCAKIRKSNVPEVFVCQKCRDSKFDIRRSNRSRTGSRKLFLD
+>DECOY_sp|Q86YI8|PHF13_HUMAN PHD finger protein 13 OS=Homo sapiens OX=9606 GN=PHF13 PE=1 SV=2
+DLFLKRSGTRSRNSRRIDFKSDRCKQCVFVEPVNSKRIKACSLHIWTHCENCEIMPRGAFPKMCFCTVLDWSDDDSDVMIDEDNGTSDEDRFVVQKGQRVITRKGETKIEKVQDPSVTACSGSSPTDSDWGSCPDSPAQPIDQLTPSTPTEVYPDAAELKLLGGRYGEKGPADSDRRKRKKKKKLKDTKKRDLLFNSPKARQLLTPQLHSFCRDSVPLPVSSAIDSFGADWGDSDITGATSNAPSPSSRLPLEEKPYPIYGAYALVFTCFKNFDEVTRRRKCSPSYEEPHFAAACSDSDM
+>sp|O43189|PHF1_HUMAN PHD finger protein 1 OS=Homo sapiens OX=9606 GN=PHF1 PE=1 SV=3
+MAQPPRLSRSGASSLWDPASPAPTSGPRPRLWEGQDVLARWTDGLLYLGTIKKVDSAREVCLVQFEDDSQFLVLWKDISPAALPGEELLCCVCRSETVVPGNRLVSCEKCRHAYHQDCHVPRAPAPGEGEGTSWVCRQCVFAIATKRGGALKKGPYARAMLGMKLSLPYGLKGLDWDAGHLSNRQQSYCYCGGPGEWNLKMLQCRSCLQWFHEACTQCLSKPLLYGDRFYEFECCVCRGGPEKVRRLQLRWVDVAHLVLYHLSVCCKKKYFDFDREILPFTSENWDSLLLGELSDTPKGERSSRLLSALNSHKDRFISGREIKKRKCLFGLHARMPPPVEPPTGDGALTSFPSGQGPGGGVSRPLGKRRRPEPEPLRRRQKGKVEELGPPSAVRNQPEPQEQRERAHLQRALQASVSPPSPSPNQSYQGSSGYNFRPTDARCLPSSPIRMFASFHPSASTAGTSGDSGPPDRSPLELHIGFPTDIPKSAPHSMTASSSSVSSPSPGLPRRSAPPSPLCRSLSPGTGGGVRGGVGYLSRGDPVRVLARRVRPDGSVQYLVEWGGGGIF
+>DECOY_sp|O43189|PHF1_HUMAN PHD finger protein 1 OS=Homo sapiens OX=9606 GN=PHF1 PE=1 SV=3
+FIGGGGWEVLYQVSGDPRVRRALVRVPDGRSLYGVGGRVGGGTGPSLSRCLPSPPASRRPLGPSPSSVSSSSATMSHPASKPIDTPFGIHLELPSRDPPGSDGSTGATSASPHFSAFMRIPSSPLCRADTPRFNYGSSGQYSQNPSPSPPSVSAQLARQLHARERQEQPEPQNRVASPPGLEEVKGKQRRRLPEPEPRRRKGLPRSVGGGPGQGSPFSTLAGDGTPPEVPPPMRAHLGFLCKRKKIERGSIFRDKHSNLASLLRSSREGKPTDSLEGLLLSDWNESTFPLIERDFDFYKKKCCVSLHYLVLHAVDVWRLQLRRVKEPGGRCVCCEFEYFRDGYLLPKSLCQTCAEHFWQLCSRCQLMKLNWEGPGGCYCYSQQRNSLHGADWDLGKLGYPLSLKMGLMARAYPGKKLAGGRKTAIAFVCQRCVWSTGEGEGPAPARPVHCDQHYAHRCKECSVLRNGPVVTESRCVCCLLEEGPLAAPSIDKWLVLFQSDDEFQVLCVERASDVKKITGLYLLGDTWRALVDQGEWLRPRPGSTPAPSAPDWLSSAGSRSLRPPQAM
+>sp|Q9UPV7|PHF24_HUMAN PHD finger protein 24 OS=Homo sapiens OX=9606 GN=PHF24 PE=1 SV=2
+MGVLMSKRQTVEQVQKVSLAVSAFKDGLRDRPSIRRTGELPGSRRGTVEGSVQEVQEEKEAEAGTSVVQEESSAGRAAWERLRDGRGVEPEEFDRTSRFTPPAFIRPTRKLDDDKPPEICLEPREPVVNDEMCDVCEVWTAESLFPCRVCTRVFHDGCLRRMGYIQGDSAAEVTEMAHTETGWSCHYCDNINLLLTEEEMYSLTETFQRCKVIPDCSLTLEDFLRYRHQAAKRGDRDRALSEEQEEQAARQFAALDPEHRGHIEWPDFLSHESLLLLQQLRPQNSLLRLLTVKERERARAAFLARGSGSTVSEAECRRAQHSWFCKRFPEAPSCSVSISHVGPIADSSPASSSSKSQDKTLLPTEQESRFVDWPTFLQENVLYILAARPNSAAIHLKPPG
+>DECOY_sp|Q9UPV7|PHF24_HUMAN PHD finger protein 24 OS=Homo sapiens OX=9606 GN=PHF24 PE=1 SV=2
+GPPKLHIAASNPRAALIYLVNEQLFTPWDVFRSEQETPLLTKDQSKSSSSAPSSDAIPGVHSISVSCSPAEPFRKCFWSHQARRCEAESVTSGSGRALFAARAREREKVTLLRLLSNQPRLQQLLLLSEHSLFDPWEIHGRHEPDLAAFQRAAQEEQEESLARDRDGRKAAQHRYRLFDELTLSCDPIVKCRQFTETLSYMEEETLLLNINDCYHCSWGTETHAMETVEAASDGQIYGMRRLCGDHFVRTCVRCPFLSEATWVECVDCMEDNVVPERPELCIEPPKDDDLKRTPRIFAPPTFRSTRDFEEPEVGRGDRLREWAARGASSEEQVVSTGAEAEKEEQVEQVSGEVTGRRSGPLEGTRRISPRDRLGDKFASVALSVKQVQEVTQRKSMLVGM
+>sp|O75151|PHF2_HUMAN Lysine-specific demethylase PHF2 OS=Homo sapiens OX=9606 GN=PHF2 PE=1 SV=4
+MATVPVYCVCRLPYDVTRFMIECDACKDWFHGSCVGVEEEEAPDIDIYHCPNCEKTHGKSTLKKKRTWHKHGPGQAPDVKPVQNGSQLFIKELRSRTFPSAEDVVARVPGSQLTLGYMEEHGFTEPILVPKKDGLGLAVPAPTFYVSDVENYVGPERSVDVTDVTKQKDCKMKLKEFVDYYYSTNRKRVLNVTNLEFSDTRMSSFVEPPDIVKKLSWVENYWPDDALLAKPKVTKYCLICVKDSYTDFHIDSGGASAWYHVLKGEKTFYLIRPASANISLYERWRSASNHSEMFFADQVDKCYKCIVKQGQTLFIPSGWIYATLTPVDCLAFAGHFLHSLSVEMQMRAYEVERRLKLGSLTQFPNFETACWYMGKHLLEAFKGSHKSGKQLPPHLVQGAKILNGAFRSWTKKQALAEHEDELPEHFKPSQLIKDLAKEIRLSENASKAVRPEVNTVASSDEVCDGDREKEEPPSPIEATPPQSLLEKVSKKKTPKTVKMPKPSKIPKPPKPPKPPRPPKTLKLKDGGKKKGKKSRESASPTIPNLDLLEAHTKEALTKMEPPKKGKATKSVLSVPNKDVVHMQNDVERLEIREQTKSKSEAKWKYKNSKPDSLLKMEEEQKLEKSPLAGNKDNKFSFSFSNKKLLGSKALRPPTSPGVFGALQNFKEDKPKPVRDEYEYVSDDGELKIDEFPIRRKKNAPKRDLSFLLDKKAVLPTPVTKPKLDSAAYKSDDSSDEGSLHIDTDTKPGRNARVKKESGSSAAGILDLLQASEEVGALEYNPSSQPPASPSTQEAIQGMLSMANLQASDSCLQTTWGAGQAKGSSLAAHGARKNGGGSGKSAGKRLLKRAAKNSVDLDDYEEEQDHLDACFKDSDYVYPSLESDEDNPIFKSRSKKRKGSDDAPYSPTARVGPSVPRQDRPVREGTRVASIETGLAAAAAKLSQQEEQKSKKKKSAKRKLTPNTTSPSTSTSISAGTTSTSTTPASTTPASTTPASTSTASSQASQEGSSPEPPPESHSSSLADHEYTAAGTFTGAQAGRTSQPMAPGVFLTQRRPSASSPNNNTAAKGKRTKKGMATAKQRLGKILKIHRNGKLLL
+>DECOY_sp|O75151|PHF2_HUMAN Lysine-specific demethylase PHF2 OS=Homo sapiens OX=9606 GN=PHF2 PE=1 SV=4
+LLLKGNRHIKLIKGLRQKATAMGKKTRKGKAATNNNPSSASPRRQTLFVGPAMPQSTRGAQAGTFTGAATYEHDALSSSHSEPPPEPSSGEQSAQSSATSTSAPTTSAPTTSAPTTSTSTTGASISTSTSPSTTNPTLKRKASKKKKSKQEEQQSLKAAAAALGTEISAVRTGERVPRDQRPVSPGVRATPSYPADDSGKRKKSRSKFIPNDEDSELSPYVYDSDKFCADLHDQEEEYDDLDVSNKAARKLLRKGASKGSGGGNKRAGHAALSSGKAQGAGWTTQLCSDSAQLNAMSLMGQIAEQTSPSAPPQSSPNYELAGVEESAQLLDLIGAASSGSEKKVRANRGPKTDTDIHLSGEDSSDDSKYAASDLKPKTVPTPLVAKKDLLFSLDRKPANKKRRIPFEDIKLEGDDSVYEYEDRVPKPKDEKFNQLAGFVGPSTPPRLAKSGLLKKNSFSFSFKNDKNGALPSKELKQEEEMKLLSDPKSNKYKWKAESKSKTQERIELREVDNQMHVVDKNPVSLVSKTAKGKKPPEMKTLAEKTHAELLDLNPITPSASERSKKGKKKGGDKLKLTKPPRPPKPPKPPKPIKSPKPMKVTKPTKKKSVKELLSQPPTAEIPSPPEEKERDGDCVEDSSAVTNVEPRVAKSANESLRIEKALDKILQSPKFHEPLEDEHEALAQKKTWSRFAGNLIKAGQVLHPPLQKGSKHSGKFAELLHKGMYWCATEFNPFQTLSGLKLRREVEYARMQMEVSLSHLFHGAFALCDVPTLTAYIWGSPIFLTQGQKVICKYCKDVQDAFFMESHNSASRWREYLSINASAPRILYFTKEGKLVHYWASAGGSDIHFDTYSDKVCILCYKTVKPKALLADDPWYNEVWSLKKVIDPPEVFSSMRTDSFELNTVNLVRKRNTSYYYDVFEKLKMKCDKQKTVDTVDVSREPGVYNEVDSVYFTPAPVALGLGDKKPVLIPETFGHEEMYGLTLQSGPVRAVVDEASPFTRSRLEKIFLQSGNQVPKVDPAQGPGHKHWTRKKKLTSKGHTKECNPCHYIDIDPAEEEEVGVCSGHFWDKCADCEIMFRTVDYPLRCVCYVPVTAM
+>sp|Q53GA4|PHLA2_HUMAN Pleckstrin homology-like domain family A member 2 OS=Homo sapiens OX=9606 GN=PHLDA2 PE=1 SV=2
+MKSPDEVLREGELEKRSDSLFQLWKKKRGVLTSDRLSLFPASPRARPKELRFHSILKVDCVERTGKYVYFTIVTTDHKEIDFRCAGESCWNAAIALALIDFQNRRALQDFRSRQERTAPAAPAEDAVAAAAAAPSEPSEPSRPSPQPKPRTP
+>DECOY_sp|Q53GA4|PHLA2_HUMAN Pleckstrin homology-like domain family A member 2 OS=Homo sapiens OX=9606 GN=PHLDA2 PE=1 SV=2
+PTRPKPQPSPRSPESPESPAAAAAAVADEAPAAPATREQRSRFDQLARRNQFDILALAIAANWCSEGACRFDIEKHDTTVITFYVYKGTREVCDVKLISHFRLEKPRARPSAPFLSLRDSTLVGRKKKWLQFLSDSRKELEGERLVEDPSKM
+>sp|Q9Y5J5|PHLA3_HUMAN Pleckstrin homology-like domain family A member 3 OS=Homo sapiens OX=9606 GN=PHLDA3 PE=1 SV=1
+MTAAATATVLKEGVLEKRSGGLLQLWKRKRCVLTERGLQLFEAKGTGGRPKELSFARIKAVECVESTGRHIYFTLVTEGGGEIDFRCPLEDPGWNAQITLGLVKFKNQQAIQTVRARQSLGTGTLVS
+>DECOY_sp|Q9Y5J5|PHLA3_HUMAN Pleckstrin homology-like domain family A member 3 OS=Homo sapiens OX=9606 GN=PHLDA3 PE=1 SV=1
+SVLTGTGLSQRARVTQIAQQNKFKVLGLTIQANWGPDELPCRFDIEGGGETVLTFYIHRGTSEVCEVAKIRAFSLEKPRGGTGKAEFLQLGRETLVCRKRKWLQLLGGSRKELVGEKLVTATAAATM
+>sp|Q86UU1|PHLB1_HUMAN Pleckstrin homology-like domain family B member 1 OS=Homo sapiens OX=9606 GN=PHLDB1 PE=1 SV=1
+MDALNRNQIGPGCQTQTMVQKGPLDLIETGKGLKVQTDKPHLVSLGSGRLSTAITLLPLEEGRTVIGSAARDISLQGPGLAPEHCYIENLRGTLTLYPCGNACTIDGLPVRQPTRLTQGCMLCLGQSTFLRFNHPAEAKWMKSMIPAGGRAPGPPYSPVPAESESLVNGNHTPQTATRGPSACASHSSLVSSIEKDLQEIMDSLVLEEPGAAGKKPAATSPLSPMANGGRYLLSPPTSPGAMSVGSSYENTSPAFSPLSSPASSGSCASHSPSGQEPGPSVPPLVPARSSSYHLALQPPQSRPSGARSESPRLSRKGGHERPPSPGLRGLLTDSPAATVLAEARRATESPRLGGQLPVVAISLSEYPASGALSQPTSIPGSPKFQPPVPAPRNKIGTLQDRPPSPFREPPGSERVLTTSPSRQLVGRTFSDGLATRTLQPPESPRLGRRGLDSMRELPPLSPSLSRRALSPLPTRTTPDPKLNREVAESPRPRRWAAHGASPEDFSLTLGARGRRTRSPSPTLGESLAPHKGSFSGRLSPAYSLGSLTGASPCQSPCVQRKLSSGDLRVPVTRERKNSITEISDNEDDLLEYHRRQRQERLREQEMERLERQRLETILNLCAEYSRADGGPEAGELPSIGEATAALALAGRRPSRGLAGASGRSSEEPGVATQRLWESMERSDEENLKEECSSTESTQQEHEDAPSTKLQGEVLALEEERAQVLGHVEQLKVRVKELEQQLQESAREAEMERALLQGEREAERALLQKEQKAVDQLQEKLVALETGIQKERDKEAEALETETKLFEDLEFQQLERESRVEEERELAGQGLLRSKAELLRSIAKRKERLAILDSQAGQIRAQAVQESERLARDKNASLQLLQKEKEKLTVLERRYHSLTGGRPFPKTTSTLKEMEKLLLPAVDLEQWYQELMAGLGTGPAAASPHSSPPPLPAKASRQLQVYRSKMDGEATSPLPRTRSGPLPSSSGSSSSSSQLSVATLGRSPSPKSALLTQNGTGSLPRNLAATLQDIETKRQLALQQKGQQVIEEQRRRLAELKQKAAAEAQCQWDALHGAAPFPAGPSGFPPLMHHSILHHLPAGRERGEEGEHAYDTLSLESSDSMETSISTGGNSACSPDNMSSASGLDMGKIEEMEKMLKEAHAEKNRLMESREREMELRRQALEEERRRREQVERRLQSESARRQQLVEKEVKMREKQFSQARPLTRYLPIRKEDFDLKTHIESSGHGVDTCLHVVLSSKVCRGYLVKMGGKIKSWKKRWFVFDRLKRTLSYYVDKHETKLKGVIYFQAIEEVYYDHLRSAAKKRFFRFTMVTESPNPALTFCVKTHDRLYYMVAPSAEAMRIWMDVIVTGAEGYTQFMN
+>DECOY_sp|Q86UU1|PHLB1_HUMAN Pleckstrin homology-like domain family B member 1 OS=Homo sapiens OX=9606 GN=PHLDB1 PE=1 SV=1
+NMFQTYGEAGTVIVDMWIRMAEASPAVMYYLRDHTKVCFTLAPNPSETVMTFRFFRKKAASRLHDYYVEEIAQFYIVGKLKTEHKDVYYSLTRKLRDFVFWRKKWSKIKGGMKVLYGRCVKSSLVVHLCTDVGHGSSEIHTKLDFDEKRIPLYRTLPRAQSFQKERMKVEKEVLQQRRASESQLRREVQERRRREEELAQRRLEMERERSEMLRNKEAHAEKLMKEMEEIKGMDLGSASSMNDPSCASNGGTSISTEMSDSSELSLTDYAHEGEEGRERGAPLHHLISHHMLPPFGSPGAPFPAAGHLADWQCQAEAAAKQKLEALRRRQEEIVQQGKQQLALQRKTEIDQLTAALNRPLSGTGNQTLLASKPSPSRGLTAVSLQSSSSSSGSSSPLPGSRTRPLPSTAEGDMKSRYVQLQRSAKAPLPPPSSHPSAAAPGTGLGAMLEQYWQELDVAPLLLKEMEKLTSTTKPFPRGGTLSHYRRELVTLKEKEKQLLQLSANKDRALRESEQVAQARIQGAQSDLIALREKRKAISRLLEAKSRLLGQGALEREEEVRSERELQQFELDEFLKTETELAEAEKDREKQIGTELAVLKEQLQDVAKQEKQLLAREAEREGQLLAREMEAERASEQLQQELEKVRVKLQEVHGLVQAREEELALVEGQLKTSPADEHEQQTSETSSCEEKLNEEDSREMSEWLRQTAVGPEESSRGSAGALGRSPRRGALALAATAEGISPLEGAEPGGDARSYEACLNLITELRQRELREMEQERLREQRQRRHYELLDDENDSIETISNKRERTVPVRLDGSSLKRQVCPSQCPSAGTLSGLSYAPSLRGSFSGKHPALSEGLTPSPSRTRRGRAGLTLSFDEPSAGHAAWRRPRPSEAVERNLKPDPTTRTPLPSLARRSLSPSLPPLERMSDLGRRGLRPSEPPQLTRTALGDSFTRGVLQRSPSTTLVRESGPPERFPSPPRDQLTGIKNRPAPVPPQFKPSGPISTPQSLAGSAPYESLSIAVVPLQGGLRPSETARRAEALVTAAPSDTLLGRLGPSPPREHGGKRSLRPSESRAGSPRSQPPQLALHYSSSRAPVLPPVSPGPEQGSPSHSACSGSSAPSSLPSFAPSTNEYSSGVSMAGPSTPPSLLYRGGNAMPSLPSTAAPKKGAAGPEELVLSDMIEQLDKEISSVLSSHSACASPGRTATQPTHNGNVLSESEAPVPSYPPGPARGGAPIMSKMWKAEAPHNFRLFTSQGLCLMCGQTLRTPQRVPLGDITCANGCPYLTLTGRLNEIYCHEPALGPGQLSIDRAASGIVTRGEELPLLTIATSLRGSGLSVLHPKDTQVKLGKGTEILDLPGKQVMTQTQCGPGIQNRNLADM
+>sp|Q86SQ0|PHLB2_HUMAN Pleckstrin homology-like domain family B member 2 OS=Homo sapiens OX=9606 GN=PHLDB2 PE=1 SV=2
+MEEHSYIQKELDLQNGSLEEDSVVHSVENDSQNMMESLSPKKYSSSLRFKANGDYSGSYLTLSQPVPAKRSPSPLGTSVRSSPSLAKIQGSKQFSYDGTDKNIPMKPPTPLLNTTSSLSGYPLGRADFDHYTGRDSERALRLSEKPPYSKYSSRHKSHDNVYSLGGLEGRKASGSLLAMWNGSSLSDAGPPPISRSGAASMPSSPKQARKMSIQDSLALQPKLTRHKELASENINLRTRKYSSSSLSHMGAYSRSLPRLYRATENQLTPLSLPPRNSLGNSKRTKLGEKDLPHSVIDNDNYLNFSSLSSGALPYKTSASEGNPYVSSTLSVPASPRVARKMLLASTSSCASDDFDQASYVGTNPSHSLLAGESDRVFATRRNFSCGSVEFDEADLESLRQASGTPQPALRERKSSISSISGRDDLMDYHRRQREERLREQEMERLERQRLETILSLCAEYTKPDSRLSTGTTVEDVQKINKELEKLQLSDEESVFEEALMSPDTRYRCHRKDSLPDADLASCGSLSQSSASFFTPRSTRNDELLSDLTRTPPPPSSTFPKASSESSYLSILPKTPEGISEEQRSQELAAMEETRIVILNNLEELKQKIKDINDQMDESFRELDMECALLDGEQKSETTELMKEKEILDHLNRKIAELEKNIVGEKTKEKVKLDAEREKLERLQELYSEQKTQLDNCPESMREQLQQQLKRDADLLDVESKHFEDLEFQQLEHESRLDEEKENLTQQLLREVAEYQRNIVSRKEKISALKKQANHIVQQAQREQDHFVKEKNNLIMMLQREKENLCNLEKKYSSLSGGKGFPVNPNTLKEGYISVNEINEPCGNSTNLSPSTQFPADADAVATEPATAVLASQPQSKEHFRSLEERKKQHKEGLYLSDTLPRKKTTSSISPHFSSATMGRSITPKAHLPLGQSNSCGSVLPPSLAAMAKDSESRRMLRGYNHQQMSEGHRQKSEFYNRTASESNVYLNSFHYPDHSYKDQAFDTLSLDSSDSMETSISACSPDNISSASTSNIARIEEMERLLKQAHAEKTRLLESREREMEAKKRALEEEKRRREILEKRLQEETSQRQKLIEKEVKIRERQRAQARPLTRYLPVRKEDFDLRSHVETAGHNIDTCYHVSITEKTCRGFLIKMGGKIKTWKKRWFVFDRNKRTFSYYADKHETKLKGVIYFQAIEEVYYDHLKNANKSPNPLLTFSVKTHDRIYYMVAPSPEAMRIWMDVIVTGAEGYTHFLL
+>DECOY_sp|Q86SQ0|PHLB2_HUMAN Pleckstrin homology-like domain family B member 2 OS=Homo sapiens OX=9606 GN=PHLDB2 PE=1 SV=2
+LLFHTYGEAGTVIVDMWIRMAEPSPAVMYYIRDHTKVSFTLLPNPSKNANKLHDYYVEEIAQFYIVGKLKTEHKDAYYSFTRKNRDFVFWRKKWTKIKGGMKILFGRCTKETISVHYCTDINHGATEVHSRLDFDEKRVPLYRTLPRAQARQRERIKVEKEILKQRQSTEEQLRKELIERRRKEEELARKKAEMERERSELLRTKEAHAQKLLREMEEIRAINSTSASSINDPSCASISTEMSDSSDLSLTDFAQDKYSHDPYHFSNLYVNSESATRNYFESKQRHGESMQQHNYGRLMRRSESDKAMAALSPPLVSGCSNSQGLPLHAKPTISRGMTASSFHPSISSTTKKRPLTDSLYLGEKHQKKREELSRFHEKSQPQSALVATAPETAVADADAPFQTSPSLNTSNGCPENIENVSIYGEKLTNPNVPFGKGGSLSSYKKELNCLNEKERQLMMILNNKEKVFHDQERQAQQVIHNAQKKLASIKEKRSVINRQYEAVERLLQQTLNEKEEDLRSEHELQQFELDEFHKSEVDLLDADRKLQQQLQERMSEPCNDLQTKQESYLEQLRELKEREADLKVKEKTKEGVINKELEAIKRNLHDLIEKEKMLETTESKQEGDLLACEMDLERFSEDMQDNIDKIKQKLEELNNLIVIRTEEMAALEQSRQEESIGEPTKPLISLYSSESSAKPFTSSPPPPTRTLDSLLEDNRTSRPTFFSASSQSLSGCSALDADPLSDKRHCRYRTDPSMLAEEFVSEEDSLQLKELEKNIKQVDEVTTGTSLRSDPKTYEACLSLITELRQRELREMEQERLREERQRRHYDMLDDRGSISSISSKRERLAPQPTGSAQRLSELDAEDFEVSGCSFNRRTAFVRDSEGALLSHSPNTGVYSAQDFDDSACSSTSALLMKRAVRPSAPVSLTSSVYPNGESASTKYPLAGSSLSSFNLYNDNDIVSHPLDKEGLKTRKSNGLSNRPPLSLPTLQNETARYLRPLSRSYAGMHSLSSSSYKRTRLNINESALEKHRTLKPQLALSDQISMKRAQKPSSPMSAAGSRSIPPPGADSLSSGNWMALLSGSAKRGELGGLSYVNDHSKHRSSYKSYPPKESLRLARESDRGTYHDFDARGLPYGSLSSTTNLLPTPPKMPINKDTGDYSFQKSGQIKALSPSSRVSTGLPSPSRKAPVPQSLTLYSGSYDGNAKFRLSSSYKKPSLSEMMNQSDNEVSHVVSDEELSGNQLDLEKQIYSHEEM
+>sp|Q8TCD6|PHOP2_HUMAN Pyridoxal phosphate phosphatase PHOSPHO2 OS=Homo sapiens OX=9606 GN=PHOSPHO2 PE=1 SV=1
+MKILLVFDFDNTIIDDNSDTWIVQCAPNKKLPIELRDSYRKGFWTEFMGRVFKYLGDKGVREHEMKRAVTSLPFTPGMVELFNFIRKNKDKFDCIIISDSNSVFIDWVLEAASFHDIFDKVFTNPAAFNSNGHLTVENYHTHSCNRCPKNLCKKVVLIEFVDKQLQQGVNYTQIVYIGDGGNDVCPVTFLKNDDVAMPRKGYTLQKTLSRMSQNLEPMEYSVVVWSSGVDIISHLQFLIKD
+>DECOY_sp|Q8TCD6|PHOP2_HUMAN Pyridoxal phosphate phosphatase PHOSPHO2 OS=Homo sapiens OX=9606 GN=PHOSPHO2 PE=1 SV=1
+DKILFQLHSIIDVGSSWVVVSYEMPELNQSMRSLTKQLTYGKRPMAVDDNKLFTVPCVDNGGDGIYVIQTYNVGQQLQKDVFEILVVKKCLNKPCRNCSHTHYNEVTLHGNSNFAAPNTFVKDFIDHFSAAELVWDIFVSNSDSIIICDFKDKNKRIFNFLEVMGPTFPLSTVARKMEHERVGKDGLYKFVRGMFETWFGKRYSDRLEIPLKKNPACQVIWTDSNDDIITNDFDFVLLIKM
+>sp|Q5SRE7|PHYD1_HUMAN Phytanoyl-CoA dioxygenase domain-containing protein 1 OS=Homo sapiens OX=9606 GN=PHYHD1 PE=1 SV=2
+MACLSPSQLQKFQQDGFLVLEGFLSAEECVAMQQRIGEIVAEMDVPLHCRTEFSTQEEEQLRAQGSTDYFLSSGDKIRFFFEKGVFDEKGNFLVPPEKSINKIGHALHAHDPVFKSITHSFKVQTLARSLGLQMPVVVQSMYIFKQPHFGGEVSPHQDASFLYTEPLGRVLGVWIAVEDATLENGCLWFIPGSHTSGVSRRMVRAPVGSAPGTSFLGSEPARDNSLFVPTPVQRGALVLIHGEVVHKSKQNLSDRSRQAYTFHLMEASGTTWSPENWLQPTAELPFPQLYT
+>DECOY_sp|Q5SRE7|PHYD1_HUMAN Phytanoyl-CoA dioxygenase domain-containing protein 1 OS=Homo sapiens OX=9606 GN=PHYHD1 PE=1 SV=2
+TYLQPFPLEATPQLWNEPSWTTGSAEMLHFTYAQRSRDSLNQKSKHVVEGHILVLAGRQVPTPVFLSNDRAPESGLFSTGPASGVPARVMRRSVGSTHSGPIFWLCGNELTADEVAIWVGLVRGLPETYLFSADQHPSVEGGFHPQKFIYMSQVVVPMQLGLSRALTQVKFSHTISKFVPDHAHLAHGIKNISKEPPVLFNGKEDFVGKEFFFRIKDGSSLFYDTSGQARLQEEEQTSFETRCHLPVDMEAVIEGIRQQMAVCEEASLFGELVLFGDQQFKQLQSPSLCAM
+>sp|Q92561|PHYIP_HUMAN Phytanoyl-CoA hydroxylase-interacting protein OS=Homo sapiens OX=9606 GN=PHYHIP PE=1 SV=1
+MELLSTPHSIEINNITCDSFRISWAMEDSDLERVTHYFIDLNKKENKNSNKFKHRDVPTKLVAKAVPLPMTVRGHWFLSPRTEYSVAVQTAVKQSDGEYLVSGWSETVEFCTGDYAKEHLAQLQEKAEQIAGRMLRFSVFYRNHHKEYFQHARTHCGNMLQPYLKDNSGSHGSPTSGMLHGVFFSCNTEFNTGQPPQDSPYGRWRFQIPAQRLFNPSTNLYFADFYCMYTAYHYAILVLAPKGSLGDRFCRDRLPLLDIACNKFLTCSVEDGELVFRHAQDLILEIIYTEPVDLSLGTLGEISGHQLMSLSTADAKKDPSCKTCNISVGR
+>DECOY_sp|Q92561|PHYIP_HUMAN Phytanoyl-CoA hydroxylase-interacting protein OS=Homo sapiens OX=9606 GN=PHYHIP PE=1 SV=1
+RGVSINCTKCSPDKKADATSLSMLQHGSIEGLTGLSLDVPETYIIELILDQAHRFVLEGDEVSCTLFKNCAIDLLPLRDRCFRDGLSGKPALVLIAYHYATYMCYFDAFYLNTSPNFLRQAPIQFRWRGYPSDQPPQGTNFETNCSFFVGHLMGSTPSGHSGSNDKLYPQLMNGCHTRAHQFYEKHHNRYFVSFRLMRGAIQEAKEQLQALHEKAYDGTCFEVTESWGSVLYEGDSQKVATQVAVSYETRPSLFWHGRVTMPLPVAKAVLKTPVDRHKFKNSNKNEKKNLDIFYHTVRELDSDEMAWSIRFSDCTINNIEISHPTSLLEM
+>sp|P78356|PI42B_HUMAN Phosphatidylinositol 5-phosphate 4-kinase type-2 beta OS=Homo sapiens OX=9606 GN=PIP4K2B PE=1 SV=1
+MSSNCTSTTAVAVAPLSASKTKTKKKHFVCQKVKLFRASEPILSVLMWGVNHTINELSNVPVPVMLMPDDFKAYSKIKVDNHLFNKENLPSRFKFKEYCPMVFRNLRERFGIDDQDYQNSVTRSAPINSDSQGRCGTRFLTTYDRRFVIKTVSSEDVAEMHNILKKYHQFIVECHGNTLLPQFLGMYRLTVDGVETYMVVTRNVFSHRLTVHRKYDLKGSTVAREASDKEKAKDLPTFKDNDFLNEGQKLHVGEESKKNFLEKLKRDVEFLAQLKIMDYSLLVGIHDVDRAEQEEMEVEERAEDEECENDGVGGNLLCSYGTPPDSPGNLLSFPRFFGPGEFDPSVDVYAMKSHESSPKKEVYFMAIIDILTPYDTKKKAAHAAKTVKHGAGAEISTVNPEQYSKRFNEFMSNILT
+>DECOY_sp|P78356|PI42B_HUMAN Phosphatidylinositol 5-phosphate 4-kinase type-2 beta OS=Homo sapiens OX=9606 GN=PIP4K2B PE=1 SV=1
+TLINSMFENFRKSYQEPNVTSIEAGAGHKVTKAAHAAKKKTDYPTLIDIIAMFYVEKKPSSEHSKMAYVDVSPDFEGPGFFRPFSLLNGPSDPPTGYSCLLNGGVGDNECEEDEAREEVEMEEQEARDVDHIGVLLSYDMIKLQALFEVDRKLKELFNKKSEEGVHLKQGENLFDNDKFTPLDKAKEKDSAERAVTSGKLDYKRHVTLRHSFVNRTVVMYTEVGDVTLRYMGLFQPLLTNGHCEVIFQHYKKLINHMEAVDESSVTKIVFRRDYTTLFRTGCRGQSDSNIPASRTVSNQYDQDDIGFRERLNRFVMPCYEKFKFRSPLNEKNFLHNDVKIKSYAKFDDPMLMVPVPVNSLENITHNVGWMLVSLIPESARFLKVKQCVFHKKKTKTKSASLPAVAVATTSTCNSSM
+>sp|Q9UBF8|PI4KB_HUMAN Phosphatidylinositol 4-kinase beta OS=Homo sapiens OX=9606 GN=PI4KB PE=1 SV=1
+MGDTVVEPAPLKPTSEPTSGPPGNNGGSLLSVITEGVGELSVIDPEVAQKACQEVLEKVKLLHGGVAVSSRGTPLELVNGDGVDSEIRCLDDPPAQIREEEDEMGAAVASGTAKGARRRRQNNSAKQSWLLRLFESKLFDISMAISYLYNSKEPGVQAYIGNRLFCFRNEDVDFYLPQLLNMYIHMDEDVGDAIKPYIVHRCRQSINFSLQCALLLGAYSSDMHISTQRHSRGTKLRKLILSDELKPAHRKRELPSLSPAPDTGLSPSKRTHQRSKSDATASISLSSNLKRTASNPKVENEDEELSSSTESIDNSFSSPVRLAPEREFIKSLMAIGKRLATLPTKEQKTQRLISELSLLNHKLPARVWLPTAGFDHHVVRVPHTQAVVLNSKDKAPYLIYVEVLECENFDTTSVPARIPENRIRSTRSVENLPECGITHEQRAGSFSTVPNYDNDDEAWSVDDIGELQVELPEVHTNSCDNISQFSVDSITSQESKEPVFIAAGDIRRRLSEQLAHTPTAFKRDPEDPSAVALKEPWQEKVRRIREGSPYGHLPNWRLLSVIVKCGDDLRQELLAFQVLKQLQSIWEQERVPLWIKPYKILVISADSGMIEPVVNAVSIHQVKKQSQLSLLDYFLQEHGSYTTEAFLSAQRNFVQSCAGYCLVCYLLQVKDRHNGNILLDAEGHIIHIDFGFILSSSPRNLGFETSAFKLTTEFVDVMGGLDGDMFNYYKMLMLQGLIAARKHMDKVVQIVEIMQQGSQLPCFHGSSTIRNLKERFHMSMTEEQLQLLVEQMVDGSMRSITTKLYDGFQYLTNGIM
+>DECOY_sp|Q9UBF8|PI4KB_HUMAN Phosphatidylinositol 4-kinase beta OS=Homo sapiens OX=9606 GN=PI4KB PE=1 SV=1
+MIGNTLYQFGDYLKTTISRMSGDVMQEVLLQLQEETMSMHFREKLNRITSSGHFCPLQSGQQMIEVIQVVKDMHKRAAILGQLMLMKYYNFMDGDLGGMVDVFETTLKFASTEFGLNRPSSSLIFGFDIHIIHGEADLLINGNHRDKVQLLYCVLCYGACSQVFNRQASLFAETTYSGHEQLFYDLLSLQSQKKVQHISVANVVPEIMGSDASIVLIKYPKIWLPVREQEWISQLQKLVQFALLEQRLDDGCKVIVSLLRWNPLHGYPSGERIRRVKEQWPEKLAVASPDEPDRKFATPTHALQESLRRRIDGAAIFVPEKSEQSTISDVSFQSINDCSNTHVEPLEVQLEGIDDVSWAEDDNDYNPVTSFSGARQEHTIGCEPLNEVSRTSRIRNEPIRAPVSTTDFNECELVEVYILYPAKDKSNLVVAQTHPVRVVHHDFGATPLWVRAPLKHNLLSLESILRQTKQEKTPLTALRKGIAMLSKIFEREPALRVPSSFSNDISETSSSLEEDENEVKPNSATRKLNSSLSISATADSKSRQHTRKSPSLGTDPAPSLSPLERKRHAPKLEDSLILKRLKTGRSHRQTSIHMDSSYAGLLLACQLSFNISQRCRHVIYPKIADGVDEDMHIYMNLLQPLYFDVDENRFCFLRNGIYAQVGPEKSNYLYSIAMSIDFLKSEFLRLLWSQKASNNQRRRRAGKATGSAVAAGMEDEEERIQAPPDDLCRIESDVGDGNVLELPTGRSSVAVGGHLLKVKELVEQCAKQAVEPDIVSLEGVGETIVSLLSGGNNGPPGSTPESTPKLPAPEVVTDGM
+>sp|A4QPH2|PI4P2_HUMAN Putative phosphatidylinositol 4-kinase alpha-like protein P2 OS=Homo sapiens OX=9606 GN=PI4KAP2 PE=5 SV=3
+MTVEQKFGLFSAEIKEADPLAASEASQPKPCPPEVTPHYIWIDFLVQRFEIAKYCSSDQVEIFSSLLQRSMSLNIGRAKGSMNRHVAAIGPRFKLLTLGLSLLHADVVPNATIRNVLREKIYSTAFDYFSCPPKFPTQGEKRLREDISIMIKFWTAMFSDKKYLTASQLVPPADIGDLLEQLVEENTGSLSGPAKDFYQRGFDFFNKITNVSAVIKPYPKGDERKKACLSALSEVTVQPGCSLPSNPEAIVLDVDYKSGTPMQSAAKAPYLAKFKVKRCGVSELEKEGLRCRSDSEDECSTQEADGQKISWQAAIFKLGDDCRQKSYWGARMPTDRILRLPASQDMLALQIIDLFKNIFQLVGLDLFVFPYRVVATAPGCGVIECIPDCTSRDQLGRQTDFGMYDYFTRQYGDESTLAFQQARYNFIRSMAAYSLLLFLLQIKDRHNGNIMLDKKGHIIHIDFGFMFESSPGGNLGWEPDIKLTDEMVMIMGGKMEATPFKWFMEMCVQATWLCGEPGPYMDVVVSLVTIMLDTGLPCFRGQTIKLLKHRFSPNMTEREAANFIMKVIQSCFLSNRSRTYNMIQYYQNDIPY
+>DECOY_sp|A4QPH2|PI4P2_HUMAN Putative phosphatidylinositol 4-kinase alpha-like protein P2 OS=Homo sapiens OX=9606 GN=PI4KAP2 PE=5 SV=3
+YPIDNQYYQIMNYTRSRNSLFCSQIVKMIFNAAERETMNPSFRHKLLKITQGRFCPLGTDLMITVLSVVVDMYPGPEGCLWTAQVCMEMFWKFPTAEMKGGMIMVMEDTLKIDPEWGLNGGPSSEFMFGFDIHIIHGKKDLMINGNHRDKIQLLFLLLSYAAMSRIFNYRAQQFALTSEDGYQRTFYDYMGFDTQRGLQDRSTCDPICEIVGCGPATAVVRYPFVFLDLGVLQFINKFLDIIQLALMDQSAPLRLIRDTPMRAGWYSKQRCDDGLKFIAAQWSIKQGDAEQTSCEDESDSRCRLGEKELESVGCRKVKFKALYPAKAASQMPTGSKYDVDLVIAEPNSPLSCGPQVTVESLASLCAKKREDGKPYPKIVASVNTIKNFFDFGRQYFDKAPGSLSGTNEEVLQELLDGIDAPPVLQSATLYKKDSFMATWFKIMISIDERLRKEGQTPFKPPCSFYDFATSYIKERLVNRITANPVVDAHLLSLGLTLLKFRPGIAAVHRNMSGKARGINLSMSRQLLSSFIEVQDSSCYKAIEFRQVLFDIWIYHPTVEPPCPKPQSAESAALPDAEKIEASFLGFKQEVTM
+>sp|Q5T9C9|PI5L1_HUMAN Phosphatidylinositol 4-phosphate 5-kinase-like protein 1 OS=Homo sapiens OX=9606 GN=PIP5KL1 PE=2 SV=2
+MAAPSPGPREVLAPSPEAGCRAVTSSRRGLLWRLRDKQSRLGLFEISPGHELHGMTCMMQAGLWAATQVSMDHPPTGPPSRDDFSEVLTQVHEGFELGTLAGPAFAWLRRSLGLAEEDYQAALGPGGPYLQFLSTSKSKASFFLSHDQRFFLKTQGRREVQALLAHLPRYVQHLQRHPHSLLARLLGVHSLRVDRGKKTYFIVMQSVFYPAGRISERYDIKGCEVSRWVDPAPEGSPLVLVLKDLNFQGKTINLGPQRSWFLRQMELDTTFLRELNVLDYSLLIAFQRLHEDERGPGSSLIFRTARSVQGAQSPEESRAQNRRLLPDAPNALHILDGPEQRYFLGVVDLATVYGLRKRLEHLWKTLRYPGRTFSTVSPARYARRLCQWVEAHTE
+>DECOY_sp|Q5T9C9|PI5L1_HUMAN Phosphatidylinositol 4-phosphate 5-kinase-like protein 1 OS=Homo sapiens OX=9606 GN=PIP5KL1 PE=2 SV=2
+ETHAEVWQCLRRAYRAPSVTSFTRGPYRLTKWLHELRKRLGYVTALDVVGLFYRQEPGDLIHLANPADPLLRRNQARSEEPSQAGQVSRATRFILSSGPGREDEHLRQFAILLSYDLVNLERLFTTDLEMQRLFWSRQPGLNITKGQFNLDKLVLVLPSGEPAPDVWRSVECGKIDYRESIRGAPYFVSQMVIFYTKKGRDVRLSHVGLLRALLSHPHRQLHQVYRPLHALLAQVERRGQTKLFFRQDHSLFFSAKSKSTSLFQLYPGGPGLAAQYDEEALGLSRRLWAFAPGALTGLEFGEHVQTLVESFDDRSPPGTPPHDMSVQTAAWLGAQMMCTMGHLEHGPSIEFLGLRSQKDRLRWLLGRRSSTVARCGAEPSPALVERPGPSPAAM
+>sp|Q92521|PIGB_HUMAN GPI mannosyltransferase 3 OS=Homo sapiens OX=9606 GN=PIGB PE=1 SV=1
+MRRPLSKCGMEPGGGDASLTLHGLQNRSHGKIKLRKRKSTLYFNTQEKSARRRGDLLGENIYLLLFTIALRILNCFLVQTSFVPDEYWQSLEVSHHMVFNYGYLTWEWTERLRSYTYPLIFASIYKILHLLGKDSVQLLIWIPRLAQALLSAVADVRLYSLMKQLENQEVARWVFFCQLCSWFTWYCCTRTLTNTMETVLTIIALFYYPLEGSKSMNSVKYSSLVALAFIIRPTAVILWTPLLFRHFCQEPRKLDLILHHFLPVGFVTLSLSLMIDRIFFGQWTLVQFNFLKFNVLQNWGTFYGSHPWHWYFSQGFPVILGTHLPFFIHGCYLAPKRYRILLVTVLWTLLVYSMLSHKEFRFIYPVLPFCMVFCGYSLTHLKTWKKPALSFLFLSNLFLALYTGLVHQRGTLDVMSHIQKVCYNNPNKSSASIFIMMPCHSTPYYSHVHCPLPMRFLQCPPDLTGKSHYLDEADVFYLNPLNWLHREFHDDASLPTHLITFSILEEEISAFLISSNYKRTAVFFHTHLPEGRIGSHIYVYERKLKGKFNMKMKF
+>DECOY_sp|Q92521|PIGB_HUMAN GPI mannosyltransferase 3 OS=Homo sapiens OX=9606 GN=PIGB PE=1 SV=1
+FKMKMNFKGKLKREYVYIHSGIRGEPLHTHFFVATRKYNSSILFASIEEELISFTILHTPLSADDHFERHLWNLPNLYFVDAEDLYHSKGTLDPPCQLFRMPLPCHVHSYYPTSHCPMMIFISASSKNPNNYCVKQIHSMVDLTGRQHVLGTYLALFLNSLFLFSLAPKKWTKLHTLSYGCFVMCFPLVPYIFRFEKHSLMSYVLLTWLVTVLLIRYRKPALYCGHIFFPLHTGLIVPFGQSFYWHWPHSGYFTGWNQLVNFKLFNFQVLTWQGFFIRDIMLSLSLTVFGVPLFHHLILDLKRPEQCFHRFLLPTWLIVATPRIIFALAVLSSYKVSNMSKSGELPYYFLAIITLVTEMTNTLTRTCCYWTFWSCLQCFFVWRAVEQNELQKMLSYLRVDAVASLLAQALRPIWILLQVSDKGLLHLIKYISAFILPYTYSRLRETWEWTLYGYNFVMHHSVELSQWYEDPVFSTQVLFCNLIRLAITFLLLYINEGLLDGRRRASKEQTNFYLTSKRKRLKIKGHSRNQLGHLTLSADGGGPEMGCKSLPRRM
+>sp|Q14442|PIGH_HUMAN Phosphatidylinositol N-acetylglucosaminyltransferase subunit H OS=Homo sapiens OX=9606 GN=PIGH PE=1 SV=1
+MEDERSFSDICGGRLALQRRYYSPSCREFCLSCPRLSLRSLTAVTCTVWLAAYGLFTLCENSMILSAAIFITLLGLLGYLHFVKIDQETLLIIDSLGIQMTSSYASGKESTTFIEMGKVKDIVINEAIYMQKVIYYLCILLKDPVEPHGISQVVPVFQSAKPRLDCLIEVYRSCQEILAHQKATSTSP
+>DECOY_sp|Q14442|PIGH_HUMAN Phosphatidylinositol N-acetylglucosaminyltransferase subunit H OS=Homo sapiens OX=9606 GN=PIGH PE=1 SV=1
+PSTSTAKQHALIEQCSRYVEILCDLRPKASQFVPVVQSIGHPEVPDKLLICLYYIVKQMYIAENIVIDKVKGMEIFTTSEKGSAYSSTMQIGLSDIILLTEQDIKVFHLYGLLGLLTIFIAASLIMSNECLTFLGYAALWVTCTVATLSRLSLRPCSLCFERCSPSYYRRQLALRGGCIDSFSREDEM
+>sp|O95427|PIGN_HUMAN GPI ethanolamine phosphate transferase 1 OS=Homo sapiens OX=9606 GN=PIGN PE=1 SV=1
+MLLFFTLGLLIHFVFFASIFDIYFTSPLVHGMTPQFTPLPPPARRLVLFVADGLRADALYELDENGNSRAPFIRNIIMHEGSWGISHTRVPTESRPGHVALIAGFYEDVSAVAKGWKENPVEFDSLFNESKYTWSWGSPDILPMFAKGASGDHVYTYSYDAKREDFGAQDATKLDTWVFDNVKDFFHHARNNQSLFSKINEEKIVFFLHLLGIDTNGHAHRPSSRDYKHNIKKVDDGVKEIVSMFNHFYGNDGKTTFIFTSDHGMTDWGSHGAGHPSETLTPLVTWGAGIKYPQRVSAQQFDDAFLKEWRLENWKRLDVNQADIAPLMTSLIGVPFPLNSVGILPVDYLNNTDLFKAESMFTNAVQILEQFKVKMTQKKEVTLPFLFTPFKLLSDSKQFNILRKARSYIKHRKFDEVVSLCKELIHLALKGLSYYHTYDRFFLGVNVVIGFVGWISYASLLIIKSHSNLIKGVSKEVKKPSHLLPCSFVAIGILVAFFLLIQACPWTYYVYGLLPLPIWYAVLREFQVIQDLVVSVLTYPLSHFVGYLLAFTLGIEVLVLSFFYRYMLTAGLTAFAAWPFLTRLWTRAKMTSLSWTFFSLLLAVFPLMPVVGRKPDISLVMGAGLLVLLLSLCVVTSLMKRKDSFIKEELLVHLLQVLSTVLSMYVVYSTQSSLLRKQGLPLMNQIISWATLASSLVVPLLSSPVLFQRLFSILLSLMSTYLLLSTGYEALFPLVLSCLMFVWINIEQETLQQSGVCCKQKLTSIQFSYNTDITQFRQLYLDDIRRAFFLVFFLVTAFFGTGNIASINSFDLASVYCFLTVFSPFMMGALMMWKILIPFVLVMCAFEAVQLTTQLSSKSLFLIVLVISDIMALHFFFLVKDYGSWLDIGTSISHYVIVMSMTIFLVFLNGLAQLLTTKKLRLCGKPKSHFM
+>DECOY_sp|O95427|PIGN_HUMAN GPI ethanolamine phosphate transferase 1 OS=Homo sapiens OX=9606 GN=PIGN PE=1 SV=1
+MFHSKPKGCLRLKKTTLLQALGNLFVLFITMSMVIVYHSISTGIDLWSGYDKVLFFFHLAMIDSIVLVILFLSKSSLQTTLQVAEFACMVLVFPILIKWMMLAGMMFPSFVTLFCYVSALDFSNISAINGTGFFATVLFFVLFFARRIDDLYLQRFQTIDTNYSFQISTLKQKCCVGSQQLTEQEINIWVFMLCSLVLPFLAEYGTSLLLYTSMLSLLISFLRQFLVPSSLLPVVLSSALTAWSIIQNMLPLGQKRLLSSQTSYVVYMSLVTSLVQLLHVLLEEKIFSDKRKMLSTVVCLSLLLVLLGAGMVLSIDPKRGVVPMLPFVALLLSFFTWSLSTMKARTWLRTLFPWAAFATLGATLMYRYFFSLVLVEIGLTFALLYGVFHSLPYTLVSVVLDQIVQFERLVAYWIPLPLLGYVYYTWPCAQILLFFAVLIGIAVFSCPLLHSPKKVEKSVGKILNSHSKIILLSAYSIWGVFGIVVNVGLFFRDYTHYYSLGKLALHILEKCLSVVEDFKRHKIYSRAKRLINFQKSDSLLKFPTFLFPLTVEKKQTMKVKFQELIQVANTFMSEAKFLDTNNLYDVPLIGVSNLPFPVGILSTMLPAIDAQNVDLRKWNELRWEKLFADDFQQASVRQPYKIGAGWTVLPTLTESPHGAGHSGWDTMGHDSTFIFTTKGDNGYFHNFMSVIEKVGDDVKKINHKYDRSSPRHAHGNTDIGLLHLFFVIKEENIKSFLSQNNRAHHFFDKVNDFVWTDLKTADQAGFDERKADYSYTYVHDGSAGKAFMPLIDPSGWSWTYKSENFLSDFEVPNEKWGKAVASVDEYFGAILAVHGPRSETPVRTHSIGWSGEHMIINRIFPARSNGNEDLEYLADARLGDAVFLVLRRAPPPLPTFQPTMGHVLPSTFYIDFISAFFVFHILLGLTFFLLM
+>sp|P57054|PIGP_HUMAN Phosphatidylinositol N-acetylglucosaminyltransferase subunit P OS=Homo sapiens OX=9606 GN=PIGP PE=1 SV=4
+MVPRSTSLTLIVFLFHRLSKAPGKMVENSPSPLPERAIYGFVLFLSSQFGFILYLVWAFIPESWLNSLGLTYWPQKYWAVALPVYLLIAIVIGYVLLFGINMMSTSPLDSIHTITDNYAKNQQQKKYQEEAIPALRDISISEVNQMFFLAAKELYTKN
+>DECOY_sp|P57054|PIGP_HUMAN Phosphatidylinositol N-acetylglucosaminyltransferase subunit P OS=Homo sapiens OX=9606 GN=PIGP PE=1 SV=4
+NKTYLEKAALFFMQNVESISIDRLAPIAEEQYKKQQQNKAYNDTITHISDLPSTSMMNIGFLLVYGIVIAILLYVPLAVAWYKQPWYTLGLSNLWSEPIFAWVLYLIFGFQSSLFLVFGYIAREPLPSPSNEVMKGPAKSLRHFLFVILTLSTSRPVM
+>sp|P01833|PIGR_HUMAN Polymeric immunoglobulin receptor OS=Homo sapiens OX=9606 GN=PIGR PE=1 SV=4
+MLLFVLTCLLAVFPAISTKSPIFGPEEVNSVEGNSVSITCYYPPTSVNRHTRKYWCRQGARGGCITLISSEGYVSSKYAGRANLTNFPENGTFVVNIAQLSQDDSGRYKCGLGINSRGLSFDVSLEVSQGPGLLNDTKVYTVDLGRTVTINCPFKTENAQKRKSLYKQIGLYPVLVIDSSGYVNPNYTGRIRLDIQGTGQLLFSVVINQLRLSDAGQYLCQAGDDSNSNKKNADLQVLKPEPELVYEDLRGSVTFHCALGPEVANVAKFLCRQSSGENCDVVVNTLGKRAPAFEGRILLNPQDKDGSFSVVITGLRKEDAGRYLCGAHSDGQLQEGSPIQAWQLFVNEESTIPRSPTVVKGVAGGSVAVLCPYNRKESKSIKYWCLWEGAQNGRCPLLVDSEGWVKAQYEGRLSLLEEPGNGTFTVILNQLTSRDAGFYWCLTNGDTLWRTTVEIKIIEGEPNLKVPGNVTAVLGETLKVPCHFPCKFSSYEKYWCKWNNTGCQALPSQDEGPSKAFVNCDENSRLVSLTLNLVTRADEGWYWCGVKQGHFYGETAAVYVAVEERKAAGSRDVSLAKADAAPDEKVLDSGFREIENKAIQDPRLFAEEKAVADTRDQADGSRASVDSGSSEEQGGSSRALVSTLVPLGLVLAVGAVAVGVARARHRKNVDRVSIRSYRTDISMSDFENSREFGANDNMGASSITQETSLGGKEEFVATTESTTETKEPKKAKRSSKEEAEMAYKDFLLQSSTVAAEAQDGPQEA
+>DECOY_sp|P01833|PIGR_HUMAN Polymeric immunoglobulin receptor OS=Homo sapiens OX=9606 GN=PIGR PE=1 SV=4
+AEQPGDQAEAAVTSSQLLFDKYAMEAEEKSSRKAKKPEKTETTSETTAVFEEKGGLSTEQTISSAGMNDNAGFERSNEFDSMSIDTRYSRISVRDVNKRHRARAVGVAVAGVALVLGLPVLTSVLARSSGGQEESSGSDVSARSGDAQDRTDAVAKEEAFLRPDQIAKNEIERFGSDLVKEDPAADAKALSVDRSGAAKREEVAVYVAATEGYFHGQKVGCWYWGEDARTVLNLTLSVLRSNEDCNVFAKSPGEDQSPLAQCGTNNWKCWYKEYSSFKCPFHCPVKLTEGLVATVNGPVKLNPEGEIIKIEVTTRWLTDGNTLCWYFGADRSTLQNLIVTFTGNGPEELLSLRGEYQAKVWGESDVLLPCRGNQAGEWLCWYKISKSEKRNYPCLVAVSGGAVGKVVTPSRPITSEENVFLQWAQIPSGEQLQGDSHAGCLYRGADEKRLGTIVVSFSGDKDQPNLLIRGEFAPARKGLTNVVVDCNEGSSQRCLFKAVNAVEPGLACHFTVSGRLDEYVLEPEPKLVQLDANKKNSNSDDGAQCLYQGADSLRLQNIVVSFLLQGTGQIDLRIRGTYNPNVYGSSDIVLVPYLGIQKYLSKRKQANETKFPCNITVTRGLDVTYVKTDNLLGPGQSVELSVDFSLGRSNIGLGCKYRGSDDQSLQAINVVFTGNEPFNTLNARGAYKSSVYGESSILTICGGRAGQRCWYKRTHRNVSTPPYYCTISVSNGEVSNVEEPGFIPSKTSIAPFVALLCTLVFLLM
+>sp|Q9H490|PIGU_HUMAN Phosphatidylinositol glycan anchor biosynthesis class U protein OS=Homo sapiens OX=9606 GN=PIGU PE=1 SV=3
+MAAPLVLVLVVAVTVRAALFRSSLAEFISERVEVVSPLSSWKRVVEGLSLLDLGVSPYSGAVFHETPLIIYLFHFLIDYAELVFMITDALTAIALYFAIQDFNKVVFKKQKLLLELDQYAPDVAELIRTPMEMRYIPLKVALFYLLNPYTILSCVAKSTCAINNTLIAFFILTTIKGSAFLSAIFLALATYQSLYPLTLFVPGLLYLLQRQYIPVKMKSKAFWIFSWEYAMMYVGSLVVIICLSFFLLSSWDFIPAVYGFILSVPDLTPNIGLFWYFFAEMFEHFSLFFVCVFQINVFFYTIPLAIKLKEHPIFFMFIQIAVIAIFKSYPTVGDVALYMAFFPVWNHLYRFLRNIFVLTCIIIVCSLLFPVLWHLWIYAGSANSNFFYAITLTFNVGQILLISDYFYAFLRREYYLTHGLYLTAKDGTEAMLVLK
+>DECOY_sp|Q9H490|PIGU_HUMAN Phosphatidylinositol glycan anchor biosynthesis class U protein OS=Homo sapiens OX=9606 GN=PIGU PE=1 SV=3
+KLVLMAETGDKATLYLGHTLYYERRLFAYFYDSILLIQGVNFTLTIAYFFNSNASGAYIWLHWLVPFLLSCVIIICTLVFINRLFRYLHNWVPFFAMYLAVDGVTPYSKFIAIVAIQIFMFFIPHEKLKIALPITYFFVNIQFVCVFFLSFHEFMEAFFYWFLGINPTLDPVSLIFGYVAPIFDWSSLLFFSLCIIVVLSGVYMMAYEWSFIWFAKSKMKVPIYQRQLLYLLGPVFLTLPYLSQYTALALFIASLFASGKITTLIFFAILTNNIACTSKAVCSLITYPNLLYFLAVKLPIYRMEMPTRILEAVDPAYQDLELLLKQKKFVVKNFDQIAFYLAIATLADTIMFVLEAYDILFHFLYIILPTEHFVAGSYPSVGLDLLSLGEVVRKWSSLPSVVEVRESIFEALSSRFLAARVTVAVVLVLVLPAAM
+>sp|Q9NUD9|PIGV_HUMAN GPI mannosyltransferase 2 OS=Homo sapiens OX=9606 GN=PIGV PE=1 SV=1
+MWPQDPSRKEVLRFAVSCRILTLMLQALFNAIIPDHHAEAFSPPRLAPSGFVDQLVEGLLGGLSHWDAEHFLFIAEHGYLYEHNFAFFPGFPLALLVGTELLRPLRGLLSLRSCLLISVASLNFLFFMLAAVALHDLGCLVLHCPHQSFYAALLFCLSPANVFLAAGYSEALFALLTFSAMGQLERGRVWTSVLLFAFATGVRSNGLVSVGFLMHSQCQGFFSSLTMLNPLRQLFKLMASLFLSVFTLGLPFALFQYYAYTQFCLPGSARPIPEPLVQLAVDKGYRIAEGNEPPWCFWDVPLIYSYIQDVYWNVGFLKYYELKQVPNFLLAAPVAILVAWATWTYVTTHPWLCLTLGLQRSKNNKTLEKPDLGFLSPQVFVYVVHAAVLLLFGGLCMHVQVLTRFLGSSTPIMYWFPAHLLQDQEPLLRSLKTVPWKPLAEDSPPGQKVPRNPIMGLLYHWKTCSPVTRYILGYFLTYWLLGLLLHCNFLPWT
+>DECOY_sp|Q9NUD9|PIGV_HUMAN GPI mannosyltransferase 2 OS=Homo sapiens OX=9606 GN=PIGV PE=1 SV=1
+TWPLFNCHLLLGLLWYTLFYGLIYRTVPSCTKWHYLLGMIPNRPVKQGPPSDEALPKWPVTKLSRLLPEQDQLLHAPFWYMIPTSSGLFRTLVQVHMCLGGFLLLVAAHVVYVFVQPSLFGLDPKELTKNNKSRQLGLTLCLWPHTTVYTWTAWAVLIAVPAALLFNPVQKLEYYKLFGVNWYVDQIYSYILPVDWFCWPPENGEAIRYGKDVALQVLPEPIPRASGPLCFQTYAYYQFLAFPLGLTFVSLFLSAMLKFLQRLPNLMTLSSFFGQCQSHMLFGVSVLGNSRVGTAFAFLLVSTWVRGRELQGMASFTLLAFLAESYGAALFVNAPSLCFLLAAYFSQHPCHLVLCGLDHLAVAALMFFLFNLSAVSILLCSRLSLLGRLPRLLETGVLLALPFGPFFAFNHEYLYGHEAIFLFHEADWHSLGGLLGEVLQDVFGSPALRPPSFAEAHHDPIIANFLAQLMLTLIRCSVAFRLVEKRSPDQPWM
+>sp|Q3MUY2|PIGY_HUMAN Phosphatidylinositol N-acetylglucosaminyltransferase subunit Y OS=Homo sapiens OX=9606 GN=PIGY PE=1 SV=1
+MFLSLPTLTVLIPLVSLAGLFYSASVEENFPQGCTSTASLCFYSLLLPITIPVYVFFHLWTWMGIKLFRHN
+>DECOY_sp|Q3MUY2|PIGY_HUMAN Phosphatidylinositol N-acetylglucosaminyltransferase subunit Y OS=Homo sapiens OX=9606 GN=PIGY PE=1 SV=1
+NHRFLKIGMWTWLHFFVYVPITIPLLLSYFCLSATSTCGQPFNEEVSASYFLGALSVLPILVTLTPLSLFM
+>sp|Q9UKJ0|PILRB_HUMAN Paired immunoglobulin-like type 2 receptor beta OS=Homo sapiens OX=9606 GN=PILRB PE=1 SV=1
+MGRPLLLPLLLLLQPPAFLQPGGSTGSGPSYLYGVTQPKHLSASMGGSVEIPFSFYYPWELAIVPNVRISWRRGHFHGQSFYSTRPPSIHKDYVNRLFLNWTEGQESGFLRISNLRKEDQSVYFCRVELDTRRSGRQQLQSIKGTKLTITQAVTTTTTWRPSSTTTIAGLRVTESKGHSESWHLSLDTAIRVALAVAVLKTVILGLLCLLLLWWRRRKGSRAPSSDF
+>DECOY_sp|Q9UKJ0|PILRB_HUMAN Paired immunoglobulin-like type 2 receptor beta OS=Homo sapiens OX=9606 GN=PILRB PE=1 SV=1
+FDSSPARSGKRRRWWLLLLCLLGLIVTKLVAVALAVRIATDLSLHWSESHGKSETVRLGAITTTSSPRWTTTTTVAQTITLKTGKISQLQQRGSRRTDLEVRCFYVSQDEKRLNSIRLFGSEQGETWNLFLRNVYDKHISPPRTSYFSQGHFHGRRWSIRVNPVIALEWPYYFSFPIEVSGGMSASLHKPQTVGYLYSPGSGTSGGPQLFAPPQLLLLLPLLLPRGM
+>sp|Q86V86|PIM3_HUMAN Serine/threonine-protein kinase pim-3 OS=Homo sapiens OX=9606 GN=PIM3 PE=1 SV=3
+MLLSKFGSLAHLCGPGGVDHLPVKILQPAKADKESFEKAYQVGAVLGSGGFGTVYAGSRIADGLPVAVKHVVKERVTEWGSLGGATVPLEVVLLRKVGAAGGARGVIRLLDWFERPDGFLLVLERPEPAQDLFDFITERGALDEPLARRFFAQVLAAVRHCHSCGVVHRDIKDENLLVDLRSGELKLIDFGSGALLKDTVYTDFDGTRVYSPPEWIRYHRYHGRSATVWSLGVLLYDMVCGDIPFEQDEEILRGRLLFRRRVSPECQQLIRWCLSLRPSERPSLDQIAAHPWMLGADGGVPESCDLRLCTLDPDDVASTTSSSESL
+>DECOY_sp|Q86V86|PIM3_HUMAN Serine/threonine-protein kinase pim-3 OS=Homo sapiens OX=9606 GN=PIM3 PE=1 SV=3
+LSESSSTTSAVDDPDLTCLRLDCSEPVGGDAGLMWPHAAIQDLSPRESPRLSLCWRILQQCEPSVRRRFLLRGRLIEEDQEFPIDGCVMDYLLVGLSWVTASRGHYRHYRIWEPPSYVRTGDFDTYVTDKLLAGSGFDILKLEGSRLDVLLNEDKIDRHVVGCSHCHRVAALVQAFFRRALPEDLAGRETIFDFLDQAPEPRELVLLFGDPREFWDLLRIVGRAGGAAGVKRLLVVELPVTAGGLSGWETVREKVVHKVAVPLGDAIRSGAYVTGFGGSGLVAGVQYAKEFSEKDAKAPQLIKVPLHDVGGPGCLHALSGFKSLLM
+>sp|Q9BSJ6|PIMRE_HUMAN Protein PIMREG OS=Homo sapiens OX=9606 GN=PIMREG PE=1 SV=1
+MASRWQNMGTSVRRRSLQHQEQLEDSKELQPVVSHQETSVGALGSLCRQFQRRLPLRAVNLNLRAGPSWKRLETPEPGQQGLQAAARSAKSALGAVSQRIQESCQSGTKWLVETQVKARRRKRGAQKGSGSPTHSLSQKSTRLSGAAPAHSAADPWEKEHHRLSVRMGSHAHPLRRSRREAAFRSPYSSTEPLCSPSESDSDLEPVGAGIQHLQKLSQELDEAIMAEERKQALSDRQGFILKDVYASP
+>DECOY_sp|Q9BSJ6|PIMRE_HUMAN Protein PIMREG OS=Homo sapiens OX=9606 GN=PIMREG PE=1 SV=1
+PSAYVDKLIFGQRDSLAQKREEAMIAEDLEQSLKQLHQIGAGVPELDSDSESPSCLPETSSYPSRFAAERRSRRLPHAHSGMRVSLRHHEKEWPDAASHAPAAGSLRTSKQSLSHTPSGSGKQAGRKRRRAKVQTEVLWKTGSQCSEQIRQSVAGLASKASRAAAQLGQQGPEPTELRKWSPGARLNLNVARLPLRRQFQRCLSGLAGVSTEQHSVVPQLEKSDELQEQHQLSRRRVSTGMNQWRSAM
+>sp|Q9Y237|PIN4_HUMAN Peptidyl-prolyl cis-trans isomerase NIMA-interacting 4 OS=Homo sapiens OX=9606 GN=PIN4 PE=1 SV=1
+MPPKGKSGSGKAGKGGAASGSDSADKKAQGPKGGGNAVKVRHILCEKHGKIMEAMEKLKSGMRFNEVAAQYSEDKARQGGDLGWMTRGSMVGPFQEAAFALPVSGMDKPVFTDPPVKTKFGYHIIMVEGRK
+>DECOY_sp|Q9Y237|PIN4_HUMAN Peptidyl-prolyl cis-trans isomerase NIMA-interacting 4 OS=Homo sapiens OX=9606 GN=PIN4 PE=1 SV=1
+KRGEVMIIHYGFKTKVPPDTFVPKDMGSVPLAFAAEQFPGVMSGRTMWGLDGGQRAKDESYQAAVENFRMGSKLKEMAEMIKGHKECLIHRVKVANGGGKPGQAKKDASDSGSAAGGKGAKGSGSKGKPPM
+>sp|A6NC86|PINLY_HUMAN phospholipase A2 inhibitor and Ly6/PLAUR domain-containing protein OS=Homo sapiens OX=9606 GN=PINLYP PE=2 SV=3
+MRLSRRPETFLLAFVLLCTLLGLGCPLHCEICTAAGSRCHGQMKTCSSDKDTCVLLVGKATSKGKELVHTYKGCIRSQDCYSGVISTTMGPKDHMVTSSFCCQSDGCNSAFLSVPLTNLTENGLMCPACTASFRDKCMGPMTHCTGKENHCVSLSGHVQAGIFKPRFAMRGCATESMCFTKPGAEVPTGTNVLFLHHIECTHSP
+>DECOY_sp|A6NC86|PINLY_HUMAN phospholipase A2 inhibitor and Ly6/PLAUR domain-containing protein OS=Homo sapiens OX=9606 GN=PINLYP PE=2 SV=3
+PSHTCEIHHLFLVNTGTPVEAGPKTFCMSETACGRMAFRPKFIGAQVHGSLSVCHNEKGTCHTMPGMCKDRFSATCAPCMLGNETLNTLPVSLFASNCGDSQCCFSSTVMHDKPGMTTSIVGSYCDQSRICGKYTHVLEKGKSTAKGVLLVCTDKDSSCTKMQGHCRSGAATCIECHLPCGLGLLTCLLVFALLFTEPRRSLRM
+>sp|Q9BXM7|PINK1_HUMAN Serine/threonine-protein kinase PINK1, mitochondrial OS=Homo sapiens OX=9606 GN=PINK1 PE=1 SV=1
+MAVRQALGRGLQLGRALLLRFTGKPGRAYGLGRPGPAAGCVRGERPGWAAGPGAEPRRVGLGLPNRLRFFRQSVAGLAARLQRQFVVRAWGCAGPCGRAVFLAFGLGLGLIEEKQAESRRAVSACQEIQAIFTQKSKPGPDPLDTRRLQGFRLEEYLIGQSIGKGCSAAVYEATMPTLPQNLEVTKSTGLLPGRGPGTSAPGEGQERAPGAPAFPLAIKMMWNISAGSSSEAILNTMSQELVPASRVALAGEYGAVTYRKSKRGPKQLAPHPNIIRVLRAFTSSVPLLPGALVDYPDVLPSRLHPEGLGHGRTLFLVMKNYPCTLRQYLCVNTPSPRLAAMMLLQLLEGVDHLVQQGIAHRDLKSDNILVELDPDGCPWLVIADFGCCLADESIGLQLPFSSWYVDRGGNGCLMAPEVSTARPGPRAVIDYSKADAWAVGAIAYEIFGLVNPFYGQGKAHLESRSYQEAQLPALPESVPPDVRQLVRALLQREASKRPSARVAANVLHLSLWGEHILALKNLKLDKMVGWLLQQSAATLLANRLTEKCCVETKMKMLFLANLECETLCQAALLLCSWRAAL
+>DECOY_sp|Q9BXM7|PINK1_HUMAN Serine/threonine-protein kinase PINK1, mitochondrial OS=Homo sapiens OX=9606 GN=PINK1 PE=1 SV=1
+LAARWSCLLLAAQCLTECELNALFLMKMKTEVCCKETLRNALLTAASQQLLWGVMKDLKLNKLALIHEGWLSLHLVNAAVRASPRKSAERQLLARVLQRVDPPVSEPLAPLQAEQYSRSELHAKGQGYFPNVLGFIEYAIAGVAWADAKSYDIVARPGPRATSVEPAMLCGNGGRDVYWSSFPLQLGISEDALCCGFDAIVLWPCGDPDLEVLINDSKLDRHAIGQQVLHDVGELLQLLMMAALRPSPTNVCLYQRLTCPYNKMVLFLTRGHGLGEPHLRSPLVDPYDVLAGPLLPVSSTFARLVRIINPHPALQKPGRKSKRYTVAGYEGALAVRSAPVLEQSMTNLIAESSSGASINWMMKIALPFAPAGPAREQGEGPASTGPGRGPLLGTSKTVELNQPLTPMTAEYVAASCGKGISQGILYEELRFGQLRRTDLPDPGPKSKQTFIAQIEQCASVARRSEAQKEEILGLGLGFALFVARGCPGACGWARVVFQRQLRAALGAVSQRFFRLRNPLGLGVRRPEAGPGAAWGPREGRVCGAAPGPRGLGYARGPKGTFRLLLARGLQLGRGLAQRVAM
+>sp|Q7Z3Z3|PIWL3_HUMAN Piwi-like protein 3 OS=Homo sapiens OX=9606 GN=PIWIL3 PE=2 SV=2
+MPGRARTRARGRARRRESYQQEAPGGPRAPGSATTQEPPQLQSTPRPLQEEVPVVRPLQPRAARGGAGGGAQSQGVKEPGPEAGLHTAPLQERRIGGVFQDLVVNTRQDMKHVKDSKTGSEGTVVQLLANHFRVISRPQWVAYKYNVDYKPDIEDGNLRTILLDQHRRKFGERHIFDGNSLLLSRPLKERRVEWLSTTKDKNIVKITVEFSKELTPTSPDCLRYYNILFRRTFKLLDFEQVGRNYYTKKKAIQLYRHGTSLEIWLGYVTSVLQYENSITLCADVSHKLLRIETAYDFIKRTSAQAQTGNIREEVTNKLIGSIVLTKYNNKTYRVDDIDWKQNPEDTFNKSDGSKITYIDYYRQQHKEIVTVKKQPLLVSQGRWKKGLTGTQREPILLIPQLCHMTGLTDEICKDYSIVKELAKHTRLSPRRRHHTLKEFINTLQDNKKVRELLQLWDLKFDTNFLSVPGRVLKNANIVQGRRMVKANSQGDWSREIRELPLLNAMPLHSWLILYSRSSHREAMSLKGHLQSVTAPMGITMKPAEMIEVDGDANSYIDTLRKYTRPTLQMGMSCLLVFKVICILPNDDKRRYDSIKRYLCTKCPIPSQCVVKKTLEKVQARTIVTKIAQQMNCKMGGALWKVETDVQRTMFVGIDCFHDIVNRQKSIAGFVASTNAELTKWYSQCVIQKTGEELVKELEICLKAALDVWCKNESSMPHSVIVYRDGVGDGQLQALLDHEAKKMSTYLKTISPNNFTLAFIVVKKRINTRFFLKHGSNFQNPPPGTVIDVELTRNEWYDFFIVSQSVQDGTVTPTHYNVIYDTIGLSPDTVQRLTYCLCHMYYNLPGIIRVPAPCHYAHKLAYLVGQSIHQEPNRSLSTRLFYL
+>DECOY_sp|Q7Z3Z3|PIWL3_HUMAN Piwi-like protein 3 OS=Homo sapiens OX=9606 GN=PIWIL3 PE=2 SV=2
+LYFLRTSLSRNPEQHISQGVLYALKHAYHCPAPVRIIGPLNYYMHCLCYTLRQVTDPSLGITDYIVNYHTPTVTGDQVSQSVIFFDYWENRTLEVDIVTGPPPNQFNSGHKLFFRTNIRKKVVIFALTFNNPSITKLYTSMKKAEHDLLAQLQGDGVGDRYVIVSHPMSSENKCWVDLAAKLCIELEKVLEEGTKQIVCQSYWKTLEANTSAVFGAISKQRNVIDHFCDIGVFMTRQVDTEVKWLAGGMKCNMQQAIKTVITRAQVKELTKKVVCQSPIPCKTCLYRKISDYRRKDDNPLICIVKFVLLCSMGMQLTPRTYKRLTDIYSNADGDVEIMEAPKMTIGMPATVSQLHGKLSMAERHSSRSYLILWSHLPMANLLPLERIERSWDGQSNAKVMRRGQVINANKLVRGPVSLFNTDFKLDWLQLLERVKKNDQLTNIFEKLTHHRRRPSLRTHKALEKVISYDKCIEDTLGTMHCLQPILLIPERQTGTLGKKWRGQSVLLPQKKVTVIEKHQQRYYDIYTIKSGDSKNFTDEPNQKWDIDDVRYTKNNYKTLVISGILKNTVEERINGTQAQASTRKIFDYATEIRLLKHSVDACLTISNEYQLVSTVYGLWIELSTGHRYLQIAKKKTYYNRGVQEFDLLKFTRRFLINYYRLCDPSTPTLEKSFEVTIKVINKDKTTSLWEVRREKLPRSLLLSNGDFIHREGFKRRHQDLLITRLNGDEIDPKYDVNYKYAVWQPRSIVRFHNALLQVVTGESGTKSDKVHKMDQRTNVVLDQFVGGIRREQLPATHLGAEPGPEKVGQSQAGGGAGGRAARPQLPRVVPVEEQLPRPTSQLQPPEQTTASGPARPGGPAEQQYSERRRARGRARTRARGPM
+>sp|Q96PX9|PKH4B_HUMAN Pleckstrin homology domain-containing family G member 4B OS=Homo sapiens OX=9606 GN=PLEKHG4B PE=1 SV=4
+MEALRNPMPLGSSEEALGDLACSSLTGASRDLGTGAVASGTQEETSGPRGDPQQTPSLEKERHTPSRTGPGAAGRTLPRRSRSWERAPRSSRGAQAAACHTSHHSAGSRPGGHLGGQAVGTPNCVPVEGPGCTKEEDVLASSACVSTDGGSLHCHNPSGPSDVPARQPHPEQEGWPPGTGDFPSQVPKQVLDVSQELLQSGVVTLPGTRDRHGRAVVQVRTRSLLWTREHSSCAELTRLLLYFHSIPRKEVRDLGLVVLVDARRSPAAPAVSQALSGLQNNTSPIIHSILLLVDKESAFRPDKDAIIQCEVVSSLKAVHKFVDSCQLTADLDGSFPYSHGDWICFRQRLEHFAANCEEAIIFLQNSFCSLNTHRTPRTAQEVAELIDQHETMMKLVLEDPLLVSLRLEGGTVLARLRREELGTEDSRDTLEAATSLYDRVDEEVHRLVLTSNNRLQQLEHLRELASLLEGNDQQSCQKGLQLAKENPQRTEEMVQDFRRGLSAVVSQAECREGELARWTRSSELCETVSSWMGPLDPEACPSSPVAECLRSCHQEATSVAAEAFPGAGVAVLKPHALGKPWASQQDLWLQYPQTRLRLEEALSEAAPDPSLPPLAQSPPKHERAQEAMRRHQKPPSFPSTDSGGGAWEPAQPLSGLPGRALLCGQDGETLRPGLCALWDPLSLLRGLPGAGATTAHLEDSSACSSEPTQTLASRPRKHPQKKMIKKTQSFEIPQPDSGPRDSCQPDHTSVFSKGLEVTSTVATEKKLPLWQHARSPPVTQSRSLSSPSGLHPAEEDGRQQVGSSRLRHIMAEMIATEREYIRCLGYVIDNYFPEMERMDLPQGLRGKHHVIFGNLEKLHDFHQQHFLRELERCQHCPLAVGRSFLRHEEQFGMYVIYSKNKPQSDALLSSHGNAFFKDKQRELGDKMDLASYLLRPVQRVAKYALLLQDLLKEASCGLAQGQELGELRAAEVVVCFQLRHGNDLLAMDAIRGCDVNLKEQGQLRCRDEFIVCCGRKKYLRHVFLFEDLILFSKTQKVEGSHDVYLYKQSFKTAEIGMTENVGDSGLRFEIWFRRRRKSQDTYILQASSAEVKSAWTDVIGRILWRQALKSRELRIQEMASMGIGNQPFMDVKPRDRTPDCAVISDRAPKCAVMSDRVPDSIVKGTESQMRGSTAVSSSDHAAPFKRPHSTISDSSTSSSSSQSSSILGSLGLLVSSSPAHPGLWSPAHSPWSSDIRACVEEDEPEPELETGTQAAVCEGAPAVLLSRTRQA
+>DECOY_sp|Q96PX9|PKH4B_HUMAN Pleckstrin homology domain-containing family G member 4B OS=Homo sapiens OX=9606 GN=PLEKHG4B PE=1 SV=4
+AQRTRSLLVAPAGECVAAQTGTELEPEPEDEEVCARIDSSWPSHAPSWLGPHAPSSSVLLGLSGLISSSQSSSSSTSSDSITSHPRKFPAAHDSSSVATSGRMQSETGKVISDPVRDSMVACKPARDSIVACDPTRDRPKVDMFPQNGIGMSAMEQIRLERSKLAQRWLIRGIVDTWASKVEASSAQLIYTDQSKRRRRFWIEFRLGSDGVNETMGIEATKFSQKYLYVDHSGEVKQTKSFLILDEFLFVHRLYKKRGCCVIFEDRCRLQGQEKLNVDCGRIADMALLDNGHRLQFCVVVEAARLEGLEQGQALGCSAEKLLDQLLLAYKAVRQVPRLLYSALDMKDGLERQKDKFFANGHSSLLADSQPKNKSYIVYMGFQEEHRLFSRGVALPCHQCRELERLFHQQHFDHLKELNGFIVHHKGRLGQPLDMREMEPFYNDIVYGLCRIYERETAIMEAMIHRLRSSGVQQRGDEEAPHLGSPSSLSRSQTVPPSRAHQWLPLKKETAVTSTVELGKSFVSTHDPQCSDRPGSDPQPIEFSQTKKIMKKQPHKRPRSALTQTPESSCASSDELHATTAGAGPLGRLLSLPDWLACLGPRLTEGDQGCLLARGPLGSLPQAPEWAGGGSDTSPFSPPKQHRRMAEQAREHKPPSQALPPLSPDPAAESLAEELRLRTQPYQLWLDQQSAWPKGLAHPKLVAVGAGPFAEAAVSTAEQHCSRLCEAVPSSPCAEPDLPGMWSSVTECLESSRTWRALEGERCEAQSVVASLGRRFDQVMEETRQPNEKALQLGKQCSQQDNGELLSALERLHELQQLRNNSTLVLRHVEEDVRDYLSTAAELTDRSDETGLEERRLRALVTGGELRLSVLLPDELVLKMMTEHQDILEAVEQATRPTRHTNLSCFSNQLFIIAEECNAAFHELRQRFCIWDGHSYPFSGDLDATLQCSDVFKHVAKLSSVVECQIIADKDPRFASEKDVLLLISHIIPSTNNQLGSLAQSVAPAAPSRRADVLVVLGLDRVEKRPISHFYLLLRTLEACSSHERTWLLSRTRVQVVARGHRDRTGPLTVVGSQLLEQSVDLVQKPVQSPFDGTGPPWGEQEPHPQRAPVDSPGSPNHCHLSGGDTSVCASSALVDEEKTCGPGEVPVCNPTGVAQGGLHGGPRSGASHHSTHCAAAQAGRSSRPAREWSRSRRPLTRGAAGPGTRSPTHREKELSPTQQPDGRPGSTEEQTGSAVAGTGLDRSAGTLSSCALDGLAEESSGLPMPNRLAEM
+>sp|Q9H8W4|PKHF2_HUMAN Pleckstrin homology domain-containing family F member 2 OS=Homo sapiens OX=9606 GN=PLEKHF2 PE=1 SV=1
+MVDRLANSEANTRRISIVENCFGAAGQPLTIPGRVLIGEGVLTKLCRKKPKARQFFLFNDILVYGNIVIQKKKYNKQHIIPLENVTIDSIKDEGDLRNGWLIKTPTKSFAVYAATATEKSEWMNHINKCVTDLLSKSGKTPSNEHAAVWVPDSEATVCMRCQKAKFTPVNRRHHCRKCGFVVCGPCSEKRFLLPSQSSKPVRICDFCYDLLSAGDMATCQPARSDSYSQSLKSPLNDMSDDDDDDDSSD
+>DECOY_sp|Q9H8W4|PKHF2_HUMAN Pleckstrin homology domain-containing family F member 2 OS=Homo sapiens OX=9606 GN=PLEKHF2 PE=1 SV=1
+DSSDDDDDDDSMDNLPSKLSQSYSDSRAPQCTAMDGASLLDYCFDCIRVPKSSQSPLLFRKESCPGCVVFGCKRCHHRRNVPTFKAKQCRMCVTAESDPVWVAAHENSPTKGSKSLLDTVCKNIHNMWESKETATAAYVAFSKTPTKILWGNRLDGEDKISDITVNELPIIHQKNYKKKQIVINGYVLIDNFLFFQRAKPKKRCLKTLVGEGILVRGPITLPQGAAGFCNEVISIRRTNAESNALRDVM
+>sp|Q3KR16|PKHG6_HUMAN Pleckstrin homology domain-containing family G member 6 OS=Homo sapiens OX=9606 GN=PLEKHG6 PE=1 SV=3
+MKAFGPPHEGPLQGLVASRIETYGGRHRASAQSTAGRLYPRGYPVLDPSRRRLQQYVPFARGSGQARGLSPMRLRDPEPEKRHGGHVGAGLLHSPKLKELTKAHELEVRLHTFSMFGMPRLPPEDRRHWEIGEGGDSGLTIEKSWRELVPGHKEMSQELCHQQEALWELLTTELIYVRKLKIMTDLLAAGLLNLQRVGLLMEVSAETLFGNVPSLIRTHRSFWDEVLGPTLEETRASGQPLDPIGLQSGFLTFGQRFHPYVQYCLRVKQTMAYAREQQETNPLFHAFVQWCEKHKRSGRQMLCDLLIKPHQRITKYPLLLHAVLKRSPEARAQEALNAMIEAVESFLRHINGQVRQGEEQESLAAAAQRIGPYEVLEPPSDEVEKNLRPFSTLDLTSPMLGVASEHTRQLLLEGPVRVKEGREGKLDVYLFLFSDVLLVTKPQRKADKAKVIRPPLMLEKLVCQPLRDPNSFLLIHLTEFQCVSSALLVHCPSPTDRAQWLEKTQQAQAALQKLKAEEYVQQKRELLTLYRDQDRESPSTRPSTPSLEGSQSSAEGRTPEFSTIIPHLVVTEDTDEDAPLVPDDTSDSGYGTLIPGTPTGSRSPLSRLRQRALRRDPRLTFSTLELRDIPLRPHPPDPQAPQRRSAPELPEGILKGGSLPQEDPPTWSEEEDGASERGNVVVETLHRARLRGQLPSSPTHADSAGESPWESSGEEEEEGPLFLKAGHTSLRPMRAEDMLREIREELASQRIEGAEEPRDSRPRKLTRAQLQRMRGPHIIQLDTPLSASEV
+>DECOY_sp|Q3KR16|PKHG6_HUMAN Pleckstrin homology domain-containing family G member 6 OS=Homo sapiens OX=9606 GN=PLEKHG6 PE=1 SV=3
+VESASLPTDLQIIHPGRMRQLQARTLKRPRSDRPEEAGEIRQSALEERIERLMDEARMPRLSTHGAKLFLPGEEEEEGSSEWPSEGASDAHTPSSPLQGRLRARHLTEVVVNGRESAGDEEESWTPPDEQPLSGGKLIGEPLEPASRRQPAQPDPPHPRLPIDRLELTSFTLRPDRRLARQRLRSLPSRSGTPTGPILTGYGSDSTDDPVLPADEDTDETVVLHPIITSFEPTRGEASSQSGELSPTSPRTSPSERDQDRYLTLLERKQQVYEEAKLKQLAAQAQQTKELWQARDTPSPCHVLLASSVCQFETLHILLFSNPDRLPQCVLKELMLPPRIVKAKDAKRQPKTVLLVDSFLFLYVDLKGERGEKVRVPGELLLQRTHESAVGLMPSTLDLTSFPRLNKEVEDSPPELVEYPGIRQAAAALSEQEEGQRVQGNIHRLFSEVAEIMANLAEQARAEPSRKLVAHLLLPYKTIRQHPKILLDCLMQRGSRKHKECWQVFAHFLPNTEQQERAYAMTQKVRLCYQVYPHFRQGFTLFGSQLGIPDLPQGSARTEELTPGLVEDWFSRHTRILSPVNGFLTEASVEMLLGVRQLNLLGAALLDTMIKLKRVYILETTLLEWLAEQQHCLEQSMEKHGPVLERWSKEITLGSDGGEGIEWHRRDEPPLRPMGFMSFTHLRVELEHAKTLEKLKPSHLLGAGVHGGHRKEPEPDRLRMPSLGRAQGSGRAFPVYQQLRRRSPDLVPYGRPYLRGATSQASARHRGGYTEIRSAVLGQLPGEHPPGFAKM
+>sp|Q8IWE5|PKHM2_HUMAN Pleckstrin homology domain-containing family M member 2 OS=Homo sapiens OX=9606 GN=PLEKHM2 PE=1 SV=2
+MEPGEVKDRILENISLSVKKLQSYFAACEDEIPAIRNHDKVLQRLCEHLDHALLYGLQDLSSGYWVLVVHFTRREAIKQIEVLQHVATNLGRSRAWLYLALNENSLESYLRLFQENLGLLHKYYVKNALVCSHDHLTLFLTLVSGLEFIRFELDLDAPYLDLAPYMPDYYKPQYLLDFEDRLPSSVHGSDSLSLNSFNSVTSTNLEWDDSAIAPSSEDYDFGDVFPAVPSVPSTDWEDGDLTDTVSGPRSTASDLTSSKASTRSPTQRQNPFNEEPAETVSSSDTTPVHTTSQEKEEAQALDPPDACTELEVIRVTKKKKIGKKKKSRSDEEASPLHPACSQKKCAKQGDGDSRNGSPSLGRDSPDTMLASPQEEGEGPSSTTESSERSEPGLLIPEMKDTSMERLGQPLSKVIDQLNGQLDPSTWCSRAEPPDQSFRTGSPGDAPERPPLCDFSEGLSAPMDFYRFTVESPSTVTSGGGHHDPAGLGQPLHVPSSPEAAGQEEEGGGGEGQTPRPLEDTTREAQELEAQLSLVREGPVSEPEPGTQEVLCQLKRDQPSPCLSSAEDSGVDEGQGSPSEMVHSSEFRVDNNHLLLLMIHVFRENEEQLFKMIRMSTGHMEGNLQLLYVLLTDCYVYLLRKGATEKPYLVEEAVSYNELDYVSVGLDQQTVKLVCTNRRKQFLLDTADVALAEFFLASLKSAMIKGCREPPYPSILTDATMEKLALAKFVAQESKCEASAVTVRFYGLVHWEDPTDESLGPTPCHCSPPEGTITKEGMLHYKAGTSYLGKEHWKTCFVVLSNGILYQYPDRTDVIPLLSVNMGGEQCGGCRRANTTDRPHAFQVILSDRPCLELSAESEAEMAEWMQHLCQAVSKGVIPQGVAPSPCIPCCLVLTDDRLFTCHEDCQTSFFRSLGTAKLGDISAVSTEPGKEYCVLEFSQDSQQLLPPWVIYLSCTSELDRLLSALNSGWKTIYQVDLPHTAIQEASNKKKFEDALSLIHSAWQRSDSLCRGRASRDPWC
+>DECOY_sp|Q8IWE5|PKHM2_HUMAN Pleckstrin homology domain-containing family M member 2 OS=Homo sapiens OX=9606 GN=PLEKHM2 PE=1 SV=2
+CWPDRSARGRCLSDSRQWASHILSLADEFKKKNSAEQIATHPLDVQYITKWGSNLASLLRDLESTCSLYIVWPPLLQQSDQSFELVCYEKGPETSVASIDGLKATGLSRFFSTQCDEHCTFLRDDTLVLCCPICPSPAVGQPIVGKSVAQCLHQMWEAMEAESEASLELCPRDSLIVQFAHPRDTTNARRCGGCQEGGMNVSLLPIVDTRDPYQYLIGNSLVVFCTKWHEKGLYSTGAKYHLMGEKTITGEPPSCHCPTPGLSEDTPDEWHVLGYFRVTVASAECKSEQAVFKALALKEMTADTLISPYPPERCGKIMASKLSALFFEALAVDATDLLFQKRRNTCVLKVTQQDLGVSVYDLENYSVAEEVLYPKETAGKRLLYVYCDTLLVYLLQLNGEMHGTSMRIMKFLQEENERFVHIMLLLLHNNDVRFESSHVMESPSGQGEDVGSDEASSLCPSPQDRKLQCLVEQTGPEPESVPGERVLSLQAELEQAERTTDELPRPTQGEGGGGEEEQGAAEPSSPVHLPQGLGAPDHHGGGSTVTSPSEVTFRYFDMPASLGESFDCLPPREPADGPSGTRFSQDPPEARSCWTSPDLQGNLQDIVKSLPQGLREMSTDKMEPILLGPESRESSETTSSPGEGEEQPSALMTDPSDRGLSPSGNRSDGDGQKACKKQSCAPHLPSAEEDSRSKKKKGIKKKKTVRIVELETCADPPDLAQAEEKEQSTTHVPTTDSSSVTEAPEENFPNQRQTPSRTSAKSSTLDSATSRPGSVTDTLDGDEWDTSPVSPVAPFVDGFDYDESSPAIASDDWELNTSTVSNFSNLSLSDSGHVSSPLRDEFDLLYQPKYYDPMYPALDLYPADLDLEFRIFELGSVLTLFLTLHDHSCVLANKVYYKHLLGLNEQFLRLYSELSNENLALYLWARSRGLNTAVHQLVEIQKIAERRTFHVVLVWYGSSLDQLGYLLAHDLHECLRQLVKDHNRIAPIEDECAAFYSQLKKVSLSINELIRDKVEGPEM
+>sp|Q8TD55|PKHO2_HUMAN Pleckstrin homology domain-containing family O member 2 OS=Homo sapiens OX=9606 GN=PLEKHO2 PE=1 SV=1
+MEEEGVKEAGEKPRGAQMVDKAGWIKKSSGGLLGFWKDRYLLLCQAQLLVYENEDDQKCVETVELGSYEKCQDLRALLKRKHRFILLRSPGNKVSDIKFQAPTGEEKESWIKALNEGINRGKNKAFDEVKVDKSCALEHVTRDRVRGGQRRRPPTRVHLKEVASAASDGLLRLDLDVPDSGPPVFAPSNHVSEAQPRETPRPLMPPTKPFLAPETTSPGDRVETPVGERAPTPVSASSEVSPESQEDSETPAEEDSGSEQPPNSVLPDKLKVSWENPSPQEAPAAESAEPSQAPCSETSEAAPREGGKPPTPPPKILSEKLKASMGEMQASGPPAPGTVQVSVNGMDDSPEPAKPSQAEGTPGTPPKDATTSTALPPWDLPPQFHPRCSSLGDLLGEGPRHPLQPRERLYRAQLEVKVASEQTEKLLNKVLGSEPAPVSAETLLSQAVEQLRQATQVLQEMRDLGELSQEAPGLREKRKELVTLYRRSAP
+>DECOY_sp|Q8TD55|PKHO2_HUMAN Pleckstrin homology domain-containing family O member 2 OS=Homo sapiens OX=9606 GN=PLEKHO2 PE=1 SV=1
+PASRRYLTVLEKRKERLGPAEQSLEGLDRMEQLVQTAQRLQEVAQSLLTEASVPAPESGLVKNLLKETQESAVKVELQARYLRERPQLPHRPGEGLLDGLSSCRPHFQPPLDWPPLATSTTADKPPTGPTGEAQSPKAPEPSDDMGNVSVQVTGPAPPGSAQMEGMSAKLKESLIKPPPTPPKGGERPAAESTESCPAQSPEASEAAPAEQPSPNEWSVKLKDPLVSNPPQESGSDEEAPTESDEQSEPSVESSASVPTPAREGVPTEVRDGPSTTEPALFPKTPPMLPRPTERPQAESVHNSPAFVPPGSDPVDLDLRLLGDSAASAVEKLHVRTPPRRRQGGRVRDRTVHELACSKDVKVEDFAKNKGRNIGENLAKIWSEKEEGTPAQFKIDSVKNGPSRLLIFRHKRKLLARLDQCKEYSGLEVTEVCKQDDENEYVLLQAQCLLLYRDKWFGLLGGSSKKIWGAKDVMQAGRPKEGAEKVGEEEM
+>sp|Q5SXH7|PKHS1_HUMAN Pleckstrin homology domain-containing family S member 1 OS=Homo sapiens OX=9606 GN=PLEKHS1 PE=1 SV=3
+MAGGKQFTFSYENEVCKQDYFIKSPPSQLFSSVTSWKKRFFILSKAGEKSFSLSYYKDHHHRGSIEIDQNSSVEVGISSQEKMQSVQKMFKCHPDEVMSIRTTNREYFLIGHDREKIKDWVSFMSSFRQDIKATQQNTEEELSLGNKRTLFYSSPLLGPSSTSEAVGSSSPRNGLQDKHLMEQSSPGFRQTHLQDLSEATQDVKEENHYLTPRSVLLELDNIIASSDSGESIETDGPDQVSGRIECHYEPMESSFFKETSHESVDSSKEEPQTLPETQDGDLHLQEQGSGIDWCLSPADVEAQTTNDQKGSASLTVVQLSILINNIPDESQVEKLNVFLSPPDVINYLALTEATGRICVSQWEGPPRLGCIFCHGDHLLAVNDLKPQSLEEVSLFLTRSIQKEKLKLTIGRIPNSETFHAASCMCPSKCQSAAPSQLDKPRLNRAPKRSPAIKKSQQKGARE
+>DECOY_sp|Q5SXH7|PKHS1_HUMAN Pleckstrin homology domain-containing family S member 1 OS=Homo sapiens OX=9606 GN=PLEKHS1 PE=1 SV=3
+ERAGKQQSKKIAPSRKPARNLRPKDLQSPAASQCKSPCMCSAAHFTESNPIRGITLKLKEKQISRTLFLSVEELSQPKLDNVALLHDGHCFICGLRPPGEWQSVCIRGTAETLALYNIVDPPSLFVNLKEVQSEDPINNILISLQVVTLSASGKQDNTTQAEVDAPSLCWDIGSGQEQLHLDGDQTEPLTQPEEKSSDVSEHSTEKFFSSEMPEYHCEIRGSVQDPGDTEISEGSDSSAIINDLELLVSRPTLYHNEEKVDQTAESLDQLHTQRFGPSSQEMLHKDQLGNRPSSSGVAESTSSPGLLPSSYFLTRKNGLSLEEETNQQTAKIDQRFSSMFSVWDKIKERDHGILFYERNTTRISMVEDPHCKFMKQVSQMKEQSSIGVEVSSNQDIEISGRHHHDKYYSLSFSKEGAKSLIFFRKKWSTVSSFLQSPPSKIFYDQKCVENEYSFTFQKGGAM
+>sp|P55347|PKNX1_HUMAN Homeobox protein PKNOX1 OS=Homo sapiens OX=9606 GN=PKNOX1 PE=1 SV=3
+MMATQTLSIDSYQDGQQMQVVTELKTEQDPNCSEPDAEGVSPPPVESQTPMDVDKQAIYRHPLFPLLALLFEKCEQSTQGSEGTTSASFDVDIENFVRKQEKEGKPFFCEDPETDNLMVKAIQVLRIHLLELEKVNELCKDFCSRYIACLKTKMNSETLLSGEPGSPYSPVQSQQIQSAITGTISPQGIVVPASALQQGNVAMATVAGGTVYQPVTVVTPQGQVVTQTLSPGTIRIQNSQLQLQLNQDLSILHQDDGSSKNKRGVLPKHATNVMRSWLFQHIGHPYPTEDEKKQIAAQTNLTLLQVNNWFINARRRILQPMLDSSCSETPKTKKKTAQNRPVQRFWPDSIASGVAQPPPSELTMSEGAVVTITTPVNMNVDSLQSLSSDGATLAVQQVMMAGQSEDESVDSTEEDAGALAPAHISGLVLENSDSLQ
+>DECOY_sp|P55347|PKNX1_HUMAN Homeobox protein PKNOX1 OS=Homo sapiens OX=9606 GN=PKNOX1 PE=1 SV=3
+QLSDSNELVLGSIHAPALAGADEETSDVSEDESQGAMMVQQVALTAGDSSLSQLSDVNMNVPTTITVVAGESMTLESPPPQAVGSAISDPWFRQVPRNQATKKKTKPTESCSSDLMPQLIRRRANIFWNNVQLLTLNTQAAIQKKEDETPYPHGIHQFLWSRMVNTAHKPLVGRKNKSSGDDQHLISLDQNLQLQLQSNQIRITGPSLTQTVVQGQPTVVTVPQYVTGGAVTAMAVNGQQLASAPVVIGQPSITGTIASQIQQSQVPSYPSGPEGSLLTESNMKTKLCAIYRSCFDKCLENVKELELLHIRLVQIAKVMLNDTEPDECFFPKGEKEQKRVFNEIDVDFSASTTGESGQTSQECKEFLLALLPFLPHRYIAQKDVDMPTQSEVPPPSVGEADPESCNPDQETKLETVVQMQQGDQYSDISLTQTAMM
+>sp|Q8NFJ6|PKR2_HUMAN Prokineticin receptor 2 OS=Homo sapiens OX=9606 GN=PROKR2 PE=1 SV=1
+MAAQNGNTSFTPNFNPPQDHASSLSFNFSYGDYDLPMDEDEDMTKTRTFFAAKIVIGIALAGIMLVCGIGNFVFIAALTRYKKLRNLTNLLIANLAISDFLVAIICCPFEMDYYVVRQLSWEHGHVLCASVNYLRTVSLYVSTNALLAIAIDRYLAIVHPLKPRMNYQTASFLIALVWMVSILIAIPSAYFATETVLFIVKSQEKIFCGQIWPVDQQLYYKSYFLFIFGVEFVGPVVTMTLCYARISRELWFKAVPGFQTEQIRKRLRCRRKTVLVLMCILTAYVLCWAPFYGFTIVRDFFPTVFVKEKHYLTAFYVVECIAMSNSMINTVCFVTVKNNTMKYFKKMMLLHWRPSQRGSKSSADLDLRTNGVPTTEEVDCIRLK
+>DECOY_sp|Q8NFJ6|PKR2_HUMAN Prokineticin receptor 2 OS=Homo sapiens OX=9606 GN=PROKR2 PE=1 SV=1
+KLRICDVEETTPVGNTRLDLDASSKSGRQSPRWHLLMMKKFYKMTNNKVTVFCVTNIMSNSMAICEVVYFATLYHKEKVFVTPFFDRVITFGYFPAWCLVYATLICMLVLVTKRRCRLRKRIQETQFGPVAKFWLERSIRAYCLTMTVVPGVFEVGFIFLFYSKYYLQQDVPWIQGCFIKEQSKVIFLVTETAFYASPIAILISVMWVLAILFSATQYNMRPKLPHVIALYRDIAIALLANTSVYLSVTRLYNVSACLVHGHEWSLQRVVYYDMEFPCCIIAVLFDSIALNAILLNTLNRLKKYRTLAAIFVFNGIGCVLMIGALAIGIVIKAAFFTRTKTMDEDEDMPLDYDGYSFNFSLSSAHDQPPNFNPTFSTNGNQAAM
+>sp|Q8WY50|PLAC4_HUMAN Placenta-specific protein 4 OS=Homo sapiens OX=9606 GN=PLAC4 PE=2 SV=2
+MKELLRLKHCKHLLTTHVHSPWTPSLTLTPSLLTLDTLTHPRHRHSSPWTPHSAPWTPSLTLDTFTHPDTLTHPGHPHSPWIPSLLTLDTLTHPGYPHSSPWTLSLTLTPSILTLDSLTPHPGLPHSSPWTPSLLILDTLTQPGHPHSSP
+>DECOY_sp|Q8WY50|PLAC4_HUMAN Placenta-specific protein 4 OS=Homo sapiens OX=9606 GN=PLAC4 PE=2 SV=2
+PSSHPHGPQTLTDLILLSPTWPSSHPLGPHPTLSDLTLISPTLTLSLTWPSSHPYGPHTLTDLTLLSPIWPSHPHGPHTLTDPHTFTDLTLSPTWPASHPTWPSSHRHRPHTLTDLTLLSPTLTLSPTWPSHVHTTLLHKCHKLRLLEKM
+>sp|Q9NZF1|PLAC8_HUMAN Placenta-specific gene 8 protein OS=Homo sapiens OX=9606 GN=PLAC8 PE=2 SV=1
+MQAQAPVVVVTQPGVGPGPAPQNSNWQTGMCDCFSDCGVCLCGTFCFPCLGCQVAADMNECCLCGTSVAMRTLYRTRYGIPGSICDDYMATLCCPHCTLCQIKRDINRRRAMRTF
+>DECOY_sp|Q9NZF1|PLAC8_HUMAN Placenta-specific gene 8 protein OS=Homo sapiens OX=9606 GN=PLAC8 PE=2 SV=1
+FTRMARRRNIDRKIQCLTCHPCCLTAMYDDCISGPIGYRTRYLTRMAVSTGCLCCENMDAAVQCGLCPFCFTGCLCVGCDSFCDCMGTQWNSNQPAPGPGVGPQTVVVVPAQAQM
+>sp|Q99943|PLCA_HUMAN 1-acyl-sn-glycerol-3-phosphate acyltransferase alpha OS=Homo sapiens OX=9606 GN=AGPAT1 PE=1 SV=2
+MDLWPGAWMLLLLLFLLLLFLLPTLWFCSPSAKYFFKMAFYNGWILFLAVLAIPVCAVRGRNVENMKILRLMLLHIKYLYGIRVEVRGAHHFPPSQPYVVVSNHQSSLDLLGMMEVLPGRCVPIAKRELLWAGSAGLACWLAGVIFIDRKRTGDAISVMSEVAQTLLTQDVRVWVFPEGTRNHNGSMLPFKRGAFHLAVQAQVPIVPIVMSSYQDFYCKKERRFTSGQCQVRVLPPVPTEGLTPDDVPALADRVRHSMLTVFREISTDGRGGGDYLKKPGGGG
+>DECOY_sp|Q99943|PLCA_HUMAN 1-acyl-sn-glycerol-3-phosphate acyltransferase alpha OS=Homo sapiens OX=9606 GN=AGPAT1 PE=1 SV=2
+GGGGPKKLYDGGGRGDTSIERFVTLMSHRVRDALAPVDDPTLGETPVPPLVRVQCQGSTFRREKKCYFDQYSSMVIPVIPVQAQVALHFAGRKFPLMSGNHNRTGEPFVWVRVDQTLLTQAVESMVSIADGTRKRDIFIVGALWCALGASGAWLLERKAIPVCRGPLVEMMGLLDLSSQHNSVVVYPQSPPFHHAGRVEVRIGYLYKIHLLMLRLIKMNEVNRGRVACVPIALVALFLIWGNYFAMKFFYKASPSCFWLTPLLFLLLLFLLLLLMWAGPWLDM
+>sp|Q01970|PLCB3_HUMAN 1-phosphatidylinositol 4,5-bisphosphate phosphodiesterase beta-3 OS=Homo sapiens OX=9606 GN=PLCB3 PE=1 SV=2
+MAGAQPGVHALQLEPPTVVETLRRGSKFIKWDEETSSRNLVTLRVDPNGFFLYWTGPNMEVDTLDISSIRDTRTGRYARLPKDPKIREVLGFGGPDARLEEKLMTVVSGPDPVNTVFLNFMAVQDDTAKVWSEELFKLAMNILAQNASRNTFLRKAYTKLKLQVNQDGRIPVKNILKMFSADKKRVETALESCGLKFNRSESIRPDEFSLEIFERFLNKLCLRPDIDKILLEIGAKGKPYLTLEQLMDFINQKQRDPRLNEVLYPPLRPSQARLLIEKYEPNQQFLERDQMSMEGFSRYLGGEENGILPLEALDLSTDMTQPLSAYFINSSHNTYLTAGQLAGTSSVEMYRQALLWGCRCVELDVWKGRPPEEEPFITHGFTMTTEVPLRDVLEAIAETAFKTSPYPVILSFENHVDSAKQQAKMAEYCRSIFGDALLIEPLDKYPLAPGVPLPSPQDLMGRILVKNKKRHRPSAGGPDSAGRKRPLEQSNSALSESSAATEPSSPQLGSPSSDSCPGLSNGEEVGLEKPSLEPQKSLGDEGLNRGPYVLGPADREDEEEDEEEEEQTDPKKPTTDEGTASSEVNATEEMSTLVNYIEPVKFKSFEAARKRNKCFEMSSFVETKAMEQLTKSPMEFVEYNKQQLSRIYPKGTRVDSSNYMPQLFWNVGCQLVALNFQTLDVAMQLNAGVFEYNGRSGYLLKPEFMRRPDKSFDPFTEVIVDGIVANALRVKVISGQFLSDRKVGIYVEVDMFGLPVDTRRKYRTRTSQGNSFNPVWDEEPFDFPKVVLPTLASLRIAAFEEGGKFVGHRILPVSAIRSGYHYVCLRNEANQPLCLPALLIYTEASDYIPDDHQDYAEALINPIKHVSLMDQRARQLAALIGESEAQAGQETCQDTQSQQLGSQPSSNPTPSPLDASPRRPPGPTTSPASTSLSSPGQRDDLIASILSEVAPTPLDELRGHKALVKLRSRQERDLRELRKKHQRKAVTLTRRLLDGLAQAQAEGRCRLRPGALGGAADVEDTKEGEDEAKRYQEFQNRQVQSLLELREAQVDAEAQRRLEHLRQALQRLREVVLDANTTQFKRLKEMNEREKKELQKILDRKRHNSISEAKMRDKHKKEAELTEINRRHITESVNSIRRLEEAQKQRHDRLVAGQQQVLQQLAEEEPKLLAQLAQECQEQRARLPQEIRRSLLGEMPEGLGDGPLVACASNGHAPGSSGHLSGADSESQEENTQL
+>DECOY_sp|Q01970|PLCB3_HUMAN 1-phosphatidylinositol 4,5-bisphosphate phosphodiesterase beta-3 OS=Homo sapiens OX=9606 GN=PLCB3 PE=1 SV=2
+LQTNEEQSESDAGSLHGSSGPAHGNSACAVLPGDGLGEPMEGLLSRRIEQPLRARQEQCEQALQALLKPEEEALQQLVQQQGAVLRDHRQKQAEELRRISNVSETIHRRNIETLEAEKKHKDRMKAESISNHRKRDLIKQLEKKERENMEKLRKFQTTNADLVVERLRQLAQRLHELRRQAEADVQAERLELLSQVQRNQFEQYRKAEDEGEKTDEVDAAGGLAGPRLRCRGEAQAQALGDLLRRTLTVAKRQHKKRLERLDREQRSRLKVLAKHGRLEDLPTPAVESLISAILDDRQGPSSLSTSAPSTTPGPPRRPSADLPSPTPNSSPQSGLQQSQTDQCTEQGAQAESEGILAALQRARQDMLSVHKIPNILAEAYDQHDDPIYDSAETYILLAPLCLPQNAENRLCVYHYGSRIASVPLIRHGVFKGGEEFAAIRLSALTPLVVKPFDFPEEDWVPNFSNGQSTRTRYKRRTDVPLGFMDVEVYIGVKRDSLFQGSIVKVRLANAVIGDVIVETFPDFSKDPRRMFEPKLLYGSRGNYEFVGANLQMAVDLTQFNLAVLQCGVNWFLQPMYNSSDVRTGKPYIRSLQQKNYEVFEMPSKTLQEMAKTEVFSSMEFCKNRKRAAEFSKFKVPEIYNVLTSMEETANVESSATGEDTTPKKPDTQEEEEEDEEEDERDAPGLVYPGRNLGEDGLSKQPELSPKELGVEEGNSLGPCSDSSPSGLQPSSPETAASSESLASNSQELPRKRGASDPGGASPRHRKKNKVLIRGMLDQPSPLPVGPALPYKDLPEILLADGFISRCYEAMKAQQKASDVHNEFSLIVPYPSTKFATEAIAELVDRLPVETTMTFGHTIFPEEEPPRGKWVDLEVCRCGWLLAQRYMEVSSTGALQGATLYTNHSSNIFYASLPQTMDTSLDLAELPLIGNEEGGLYRSFGEMSMQDRELFQQNPEYKEILLRAQSPRLPPYLVENLRPDRQKQNIFDMLQELTLYPKGKAGIELLIKDIDPRLCLKNLFREFIELSFEDPRISESRNFKLGCSELATEVRKKDASFMKLINKVPIRGDQNVQLKLKTYAKRLFTNRSANQALINMALKFLEESWVKATDDQVAMFNLFVTNVPDPGSVVTMLKEELRADPGGFGLVERIKPDKPLRAYRGTRTDRISSIDLTDVEMNPGTWYLFFGNPDVRLTVLNRSSTEEDWKIFKSGRRLTEVVTPPELQLAHVGPQAGAM
+>sp|Q9NRZ5|PLCD_HUMAN 1-acyl-sn-glycerol-3-phosphate acyltransferase delta OS=Homo sapiens OX=9606 GN=AGPAT4 PE=1 SV=1
+MDLAGLLKSQFLCHLVFCYVFIASGLIINTIQLFTLLLWPINKQLFRKINCRLSYCISSQLVMLLEWWSGTECTIFTDPRAYLKYGKENAIVVLNHKFEIDFLCGWSLSERFGLLGGSKVLAKKELAYVPIIGWMWYFTEMVFCSRKWEQDRKTVATSLQHLRDYPEKYFFLIHCEGTRFTEKKHEISMQVARAKGLPRLKHHLLPRTKGFAITVRSLRNVVSAVYDCTLNFRNNENPTLLGVLNGKKYHADLYVRRIPLEDIPEDDDECSAWLHKLYQEKDAFQEEYYRTGTFPETPMVPPRRPWTLVNWLFWASLVLYPFFQFLVSMIRSGSSLTLASFILVFFVASVGVRWMIGVTEIDKGSAYGNSDSKQKLND
+>DECOY_sp|Q9NRZ5|PLCD_HUMAN 1-acyl-sn-glycerol-3-phosphate acyltransferase delta OS=Homo sapiens OX=9606 GN=AGPAT4 PE=1 SV=1
+DNLKQKSDSNGYASGKDIETVGIMWRVGVSAVFFVLIFSALTLSSGSRIMSVLFQFFPYLVLSAWFLWNVLTWPRRPPVMPTEPFTGTRYYEEQFADKEQYLKHLWASCEDDDEPIDELPIRRVYLDAHYKKGNLVGLLTPNENNRFNLTCDYVASVVNRLSRVTIAFGKTRPLLHHKLRPLGKARAVQMSIEHKKETFRTGECHILFFYKEPYDRLHQLSTAVTKRDQEWKRSCFVMETFYWMWGIIPVYALEKKALVKSGGLLGFRESLSWGCLFDIEFKHNLVVIANEKGYKLYARPDTFITCETGSWWELLMVLQSSICYSLRCNIKRFLQKNIPWLLLTFLQITNIILGSAIFVYCFVLHCLFQSKLLGALDM
+>sp|Q9NUJ7|PLCX1_HUMAN PI-PLC X domain-containing protein 1 OS=Homo sapiens OX=9606 GN=PLCXD1 PE=2 SV=1
+MGGQVSASNSFSRLHCRNANEDWMSALCPRLWDVPLHHLSIPGSHDTMTYCLNKKSPISHEESRLLQLLNKALPCITRPVVLKWSVTQALDVTEQLDAGVRYLDLRIAHMLEGSEKNLHFVHMVYTTALVEDTLTEISEWLERHPREVVILACRNFEGLSEDLHEYLVACIKNIFGDMLCPRGEVPTLRQLWSRGQQVIVSYEDESSLRRHHELWPGVPYWWGNRVKTEALIRYLETMKSCGRPGGLFVAGINLTENLQYVLAHPSESLEKMTLPNLPRLSAWVREQCPGPGSRCTNIIAGDFIGADGFVSDVIALNQKLLWC
+>DECOY_sp|Q9NUJ7|PLCX1_HUMAN PI-PLC X domain-containing protein 1 OS=Homo sapiens OX=9606 GN=PLCXD1 PE=2 SV=1
+CWLLKQNLAIVDSVFGDAGIFDGAIINTCRSGPGPCQERVWASLRPLNPLTMKELSESPHALVYQLNETLNIGAVFLGGPRGCSKMTELYRILAETKVRNGWWYPVGPWLEHHRRLSSEDEYSVIVQQGRSWLQRLTPVEGRPCLMDGFINKICAVLYEHLDESLGEFNRCALIVVERPHRELWESIETLTDEVLATTYVMHVFHLNKESGELMHAIRLDLYRVGADLQETVDLAQTVSWKLVVPRTICPLAKNLLQLLRSEEHSIPSKKNLCYTMTDHSGPISLHHLPVDWLRPCLASMWDENANRCHLRSFSNSASVQGGM
+>sp|Q13393|PLD1_HUMAN Phospholipase D1 OS=Homo sapiens OX=9606 GN=PLD1 PE=1 SV=1
+MSLKNEPRVNTSALQKIAADMSNIIENLDTRELHFEGEEVDYDVSPSDPKIQEVYIPFSAIYNTQGFKEPNIQTYLSGCPIKAQVLEVERFTSTTRVPSINLYTIELTHGEFKWQVKRKFKHFQEFHRELLKYKAFIRIPIPTRRHTFRRQNVREEPREMPSLPRSSENMIREEQFLGRRKQLEDYLTKILKMPMYRNYHATTEFLDISQLSFIHDLGPKGIEGMIMKRSGGHRIPGLNCCGQGRACYRWSKRWLIVKDSFLLYMKPDSGAIAFVLLVDKEFKIKVGKKETETKYGIRIDNLSRTLILKCNSYRHARWWGGAIEEFIQKHGTNFLKDHRFGSYAAIQENALAKWYVNAKGYFEDVANAMEEANEEIFITDWWLSPEIFLKRPVVEGNRWRLDCILKRKAQQGVRIFIMLYKEVELALGINSEYTKRTLMRLHPNIKVMRHPDHVSSTVYLWAHHEKLVIIDQSVAFVGGIDLAYGRWDDNEHRLTDVGSVKRVTSGPSLGSLPPAAMESMESLRLKDKNEPVQNLPIQKSIDDVDSKLKGIGKPRKFSKFSLYKQLHRHHLHDADSISSIDSTSSYFNHYRSHHNLIHGLKPHFKLFHPSSESEQGLTRPHADTGSIRSLQTGVGELHGETRFWHGKDYCNFVFKDWVQLDKPFADFIDRYSTPRMPWHDIASAVHGKAARDVARHFIQRWNFTKIMKSKYRSLSYPFLLPKSQTTAHELRYQVPGSVHANVQLLRSAADWSAGIKYHEESIHAAYVHVIENSRHYIYIENQFFISCADDKVVFNKIGDAIAQRILKAHRENQKYRVYVVIPLLPGFEGDISTGGGNALQAIMHFNYRTMCRGENSILGQLKAELGNQWINYISFCGLRTHAELEGNLVTELIYVHSKLLIADDNTVIIGSANINDRSMLGKRDSEMAVIVQDTETVPSVMDGKEYQAGRFARGLRLQCFRVVLGYLDDPSEDIQDPVSDKFFKEVWVSTAARNATIYDKVFRCLPNDEVHNLIQLRDFINKPVLAKEDPIRAEEELKKIRGFLVQFPFYFLSEESLLPSVGTKEAIVPMEVWT
+>DECOY_sp|Q13393|PLD1_HUMAN Phospholipase D1 OS=Homo sapiens OX=9606 GN=PLD1 PE=1 SV=1
+TWVEMPVIAEKTGVSPLLSEESLFYFPFQVLFGRIKKLEEEARIPDEKALVPKNIFDRLQILNHVEDNPLCRFVKDYITANRAATSVWVEKFFKDSVPDQIDESPDDLYGLVVRFCQLRLGRAFRGAQYEKGDMVSPVTETDQVIVAMESDRKGLMSRDNINASGIIVTNDDAILLKSHVYILETVLNGELEAHTRLGCFSIYNIWQNGLEAKLQGLISNEGRCMTRYNFHMIAQLANGGGTSIDGEFGPLLPIVVYVRYKQNERHAKLIRQAIADGIKNFVVKDDACSIFFQNEIYIYHRSNEIVHVYAAHISEEHYKIGASWDAASRLLQVNAHVSGPVQYRLEHATTQSKPLLFPYSLSRYKSKMIKTFNWRQIFHRAVDRAAKGHVASAIDHWPMRPTSYRDIFDAFPKDLQVWDKFVFNCYDKGHWFRTEGHLEGVGTQLSRISGTDAHPRTLGQESESSPHFLKFHPKLGHILNHHSRYHNFYSSTSDISSISDADHLHHRHLQKYLSFKSFKRPKGIGKLKSDVDDISKQIPLNQVPENKDKLRLSEMSEMAAPPLSGLSPGSTVRKVSGVDTLRHENDDWRGYALDIGGVFAVSQDIIVLKEHHAWLYVTSSVHDPHRMVKINPHLRMLTRKTYESNIGLALEVEKYLMIFIRVGQQAKRKLICDLRWRNGEVVPRKLFIEPSLWWDTIFIEENAEEMANAVDEFYGKANVYWKALANEQIAAYSGFRHDKLFNTGHKQIFEEIAGGWWRAHRYSNCKLILTRSLNDIRIGYKTETEKKGVKIKFEKDVLLVFAIAGSDPKMYLLFSDKVILWRKSWRYCARGQGCCNLGPIRHGGSRKMIMGEIGKPGLDHIFSLQSIDLFETTAHYNRYMPMKLIKTLYDELQKRRGLFQEERIMNESSRPLSPMERPEERVNQRRFTHRRTPIPIRIFAKYKLLERHFEQFHKFKRKVQWKFEGHTLEITYLNISPVRTTSTFREVELVQAKIPCGSLYTQINPEKFGQTNYIASFPIYVEQIKPDSPSVDYDVEEGEFHLERTDLNEIINSMDAAIKQLASTNVRPENKLSM
+>sp|O60240|PLIN1_HUMAN Perilipin-1 OS=Homo sapiens OX=9606 GN=PLIN1 PE=1 SV=2
+MAVNKGLTLLDGDLPEQENVLQRVLQLPVVSGTCECFQKTYTSTKEAHPLVASVCNAYEKGVQSASSLAAWSMEPVVRRLSTQFTAANELACRGLDHLEEKIPALQYPPEKIASELKDTISTRLRSARNSISVPIASTSDKVLGAALAGCELAWGVARDTAEFAANTRAGRLASGGADLALGSIEKVVEYLLPPDKEESAPAPGHQQAQKSPKAKPSLLSRVGALTNTLSRYTVQTMARALEQGHTVAMWIPGVVPLSSLAQWGASVAMQAVSRRRSEVRVPWLHSLAAAQEEDHEDQTDTEGEDTEEEEELETEENKFSEVAALPGPRGLLGGVAHTLQKTLQTTISAVTWAPAAVLGMAGRVLHLTPAPAVSSTKGRAMSLSDALKGVTDNVVDTVVHYVPLPRLSLMEPESEFRDIDNPPAEVERREAERRASGAPSAGPEPAPRLAQPRRSLRSAQSPGAPPGPGLEDEVATPAAPRPGFPAVPREKPKRRVSDSFFRPSVMEPILGRTHYSQLRKKS
+>DECOY_sp|O60240|PLIN1_HUMAN Perilipin-1 OS=Homo sapiens OX=9606 GN=PLIN1 PE=1 SV=2
+SKKRLQSYHTRGLIPEMVSPRFFSDSVRRKPKERPVAPFGPRPAAPTAVEDELGPGPPAGPSQASRLSRRPQALRPAPEPGASPAGSARREAERREVEAPPNDIDRFESEPEMLSLRPLPVYHVVTDVVNDTVGKLADSLSMARGKTSSVAPAPTLHLVRGAMGLVAAPAWTVASITTQLTKQLTHAVGGLLGRPGPLAAVESFKNEETELEEEEETDEGETDTQDEHDEEQAAALSHLWPVRVESRRRSVAQMAVSAGWQALSSLPVVGPIWMAVTHGQELARAMTQVTYRSLTNTLAGVRSLLSPKAKPSKQAQQHGPAPASEEKDPPLLYEVVKEISGLALDAGGSALRGARTNAAFEATDRAVGWALECGALAAGLVKDSTSAIPVSISNRASRLRTSITDKLESAIKEPPYQLAPIKEELHDLGRCALENAATFQTSLRRVVPEMSWAALSSASQVGKEYANCVSAVLPHAEKTSTYTKQFCECTGSVVPLQLVRQLVNEQEPLDGDLLTLGKNVAM
+>sp|O00469|PLOD2_HUMAN Procollagen-lysine,2-oxoglutarate 5-dioxygenase 2 OS=Homo sapiens OX=9606 GN=PLOD2 PE=1 SV=2
+MGGCTVKPQLLLLALVLHPWNPCLGADSEKPSSIPTDKLLVITVATKESDGFHRFMQSAKYFNYTVKVLGQGEEWRGGDGINSIGGGQKVRLMKEVMEHYADQDDLVVMFTECFDVIFAGGPEEVLKKFQKANHKVVFAADGILWPDKRLADKYPVVHIGKRYLNSGGFIGYAPYVNRIVQQWNLQDNDDDQLFYTKVYIDPLKREAINITLDHKCKIFQTLNGAVDEVVLKFENGKARAKNTFYETLPVAINGNGPTKILLNYFGNYVPNSWTQDNGCTLCEFDTVDLSAVDVHPNVSIGVFIEQPTPFLPRFLDILLTLDYPKEALKLFIHNKEVYHEKDIKVFFDKAKHEIKTIKIVGPEENLSQAEARNMGMDFCRQDEKCDYYFSVDADVVLTNPRTLKILIEQNRKIIAPLVTRHGKLWSNFWGALSPDGYYARSEDYVDIVQGNRVGVWNVPYMANVYLIKGKTLRSEMNERNYFVRDKLDPDMALCRNAREMGVFMYISNRHEFGRLLSTANYNTSHYNNDLWQIFENPVDWKEKYINRDYSKIFTENIVEQPCPDVFWFPIFSEKACDELVEEMEHYGKWSGGKHHDSRISGGYENVPTDDIHMKQVDLENVWLHFIREFIAPVTLKVFAGYYTKGFALLNFVVKYSPERQRSLRPHHDASTFTINIALNNVGEDFQGGGCKFLRYNCSIESPRKGWSFMHPGRLTHLHEGLPVKNGTRYIAVSFIDP
+>DECOY_sp|O00469|PLOD2_HUMAN Procollagen-lysine,2-oxoglutarate 5-dioxygenase 2 OS=Homo sapiens OX=9606 GN=PLOD2 PE=1 SV=2
+PDIFSVAIYRTGNKVPLGEHLHTLRGPHMFSWGKRPSEISCNYRLFKCGGGQFDEGVNNLAINITFTSADHHPRLSRQREPSYKVVFNLLAFGKTYYGAFVKLTVPAIFERIFHLWVNELDVQKMHIDDTPVNEYGGSIRSDHHKGGSWKGYHEMEEVLEDCAKESFIPFWFVDPCPQEVINETFIKSYDRNIYKEKWDVPNEFIQWLDNNYHSTNYNATSLLRGFEHRNSIYMFVGMERANRCLAMDPDLKDRVFYNRENMESRLTKGKILYVNAMYPVNWVGVRNGQVIDVYDESRAYYGDPSLAGWFNSWLKGHRTVLPAIIKRNQEILIKLTRPNTLVVDADVSFYYDCKEDQRCFDMGMNRAEAQSLNEEPGVIKITKIEHKAKDFFVKIDKEHYVEKNHIFLKLAEKPYDLTLLIDLFRPLFPTPQEIFVGISVNPHVDVASLDVTDFECLTCGNDQTWSNPVYNGFYNLLIKTPGNGNIAVPLTEYFTNKARAKGNEFKLVVEDVAGNLTQFIKCKHDLTINIAERKLPDIYVKTYFLQDDDNDQLNWQQVIRNVYPAYGIFGGSNLYRKGIHVVPYKDALRKDPWLIGDAAFVVKHNAKQFKKLVEEPGGAFIVDFCETFMVVLDDQDAYHEMVEKMLRVKQGGGISNIGDGGRWEEGQGLVKVTYNFYKASQMFRHFGDSEKTAVTIVLLKDTPISSPKESDAGLCPNWPHLVLALLLLQPKVTCGGM
+>sp|P13797|PLST_HUMAN Plastin-3 OS=Homo sapiens OX=9606 GN=PLS3 PE=1 SV=4
+MDEMATTQISKDELDELKEAFAKVDLNSNGFICDYELHELFKEANMPLPGYKVREIIQKLMLDGDRNKDGKISFDEFVYIFQEVKSSDIAKTFRKAINRKEGICALGGTSELSSEGTQHSYSEEEKYAFVNWINKALENDPDCRHVIPMNPNTDDLFKAVGDGIVLCKMINLSVPDTIDERAINKKKLTPFIIQENLNLALNSASAIGCHVVNIGAEDLRAGKPHLVLGLLWQIIKIGLFADIELSRNEALAALLRDGETLEELMKLSPEELLLRWANFHLENSGWQKINNFSADIKDSKAYFHLLNQIAPKGQKEGEPRIDINMSGFNETDDLKRAESMLQQADKLGCRQFVTPADVVSGNPKLNLAFVANLFNKYPALTKPENQDIDWTLLEGETREERTFRNWMNSLGVNPHVNHLYADLQDALVILQLYERIKVPVDWSKVNKPPYPKLGANMKKLENCNYAVELGKHPAKFSLVGIGGQDLNDGNQTLTLALVWQLMRRYTLNVLEDLGDGQKANDDIIVNWVNRTLSEAGKSTSIQSFKDKTISSSLAVVDLIDAIQPGCINYDLVKSGNLTEDDKHNNAKYAVSMARRIGARVYALPEDLVEVKPKMVMTVFACLMGRGMKRV
+>DECOY_sp|P13797|PLST_HUMAN Plastin-3 OS=Homo sapiens OX=9606 GN=PLS3 PE=1 SV=4
+VRKMGRGMLCAFVTMVMKPKVEVLDEPLAYVRAGIRRAMSVAYKANNHKDDETLNGSKVLDYNICGPQIADILDVVALSSSITKDKFSQISTSKGAESLTRNVWNVIIDDNAKQGDGLDELVNLTYRRMLQWVLALTLTQNGDNLDQGGIGVLSFKAPHKGLEVAYNCNELKKMNAGLKPYPPKNVKSWDVPVKIREYLQLIVLADQLDAYLHNVHPNVGLSNMWNRFTREERTEGELLTWDIDQNEPKTLAPYKNFLNAVFALNLKPNGSVVDAPTVFQRCGLKDAQQLMSEARKLDDTENFGSMNIDIRPEGEKQGKPAIQNLLHFYAKSDKIDASFNNIKQWGSNELHFNAWRLLLEEPSLKMLEELTEGDRLLAALAENRSLEIDAFLGIKIIQWLLGLVLHPKGARLDEAGINVVHCGIASASNLALNLNEQIIFPTLKKKNIAREDITDPVSLNIMKCLVIGDGVAKFLDDTNPNMPIVHRCDPDNELAKNIWNVFAYKEEESYSHQTGESSLESTGGLACIGEKRNIAKRFTKAIDSSKVEQFIYVFEDFSIKGDKNRDGDLMLKQIIERVKYGPLPMNAEKFLEHLEYDCIFGNSNLDVKAFAEKLEDLEDKSIQTTAMEDM
+>sp|Q9NY56|OBP2A_HUMAN Odorant-binding protein 2a OS=Homo sapiens OX=9606 GN=OBP2A PE=1 SV=1
+MKTLFLGVTLGLAAALSFTLEEEDITGTWYVKAMVVDKDFPEDRRPRKVSPVKVTALGGGNLEATFTFMREDRCIQKKILMRKTEEPGKFSAYGGRKLIYLQELPGTDDYVFYCKDQRRGGLRYMGKLVGRNPNTNLEALEEFKKLVQHKGLSEEDIFMPLQTGSCVLEH
+>DECOY_sp|Q9NY56|OBP2A_HUMAN Odorant-binding protein 2a OS=Homo sapiens OX=9606 GN=OBP2A PE=1 SV=1
+HELVCSGTQLPMFIDEESLGKHQVLKKFEELAELNTNPNRGVLKGMYRLGGRRQDKCYFVYDDTGPLEQLYILKRGGYASFKGPEETKRMLIKKQICRDERMFTFTAELNGGGLATVKVPSVKRPRRDEPFDKDVVMAKVYWTGTIDEEELTFSLAAALGLTVGLFLTKM
+>sp|Q9BZK8|OCR1_HUMAN Ovarian cancer-related protein 1 OS=Homo sapiens OX=9606 GN=OCR1 PE=4 SV=1
+MPVAPSNHCDNQCPHIFSKALVVSVAPSPPRDKPAPYTFTDVSSLCGLQKKCEGGKAMLFTLKRDRFSFLLFVSHC
+>DECOY_sp|Q9BZK8|OCR1_HUMAN Ovarian cancer-related protein 1 OS=Homo sapiens OX=9606 GN=OCR1 PE=4 SV=1
+CHSVFLLFSFRDRKLTFLMAKGGECKKQLGCLSSVDTFTYPAPKDRPPSPAVSVVLAKSFIHPCQNDCHNSPAVPM
+>sp|Q3SX64|OD3L2_HUMAN Outer dense fiber protein 3-like protein 2 OS=Homo sapiens OX=9606 GN=ODF3L2 PE=1 SV=2
+MGTLSCDSTPRLATAPLGRRVTEGQIPETGLRKSCGTATLENGSGPGLYVLPSTVGFINHDCTRVASPAYSLVRRPSEAPPQDTSPGPIYFLDPKVTRFGRSCTPAYSMQGRAKSRGPEVTPGPGAYSPEKVPPVRHRTPPAFTLGCRLPLKPLDTSAPAPNAYTMPPLWGSQIFTKPSSPSYTVVGRTPPARPPQDPAEIPGPGQYDSPDANTYRQRLPAFTMLGRPRAPRPLEETPGPGAHCPEQVTVNKARAPAFSMGIRHSKRASTMAATTPSRPAGHRLPGRCC
+>DECOY_sp|Q3SX64|OD3L2_HUMAN Outer dense fiber protein 3-like protein 2 OS=Homo sapiens OX=9606 GN=ODF3L2 PE=1 SV=2
+CCRGPLRHGAPRSPTTAAMTSARKSHRIGMSFAPARAKNVTVQEPCHAGPGPTEELPRPARPRGLMTFAPLRQRYTNADPSDYQGPGPIEAPDQPPRAPPTRGVVTYSPSSPKTFIQSGWLPPMTYANPAPASTDLPKLPLRCGLTFAPPTRHRVPPVKEPSYAGPGPTVEPGRSKARGQMSYAPTCSRGFRTVKPDLFYIPGPSTDQPPAESPRRVLSYAPSAVRTCDHNIFGVTSPLVYLGPGSGNELTATGCSKRLGTEPIQGETVRRGLPATALRPTSDCSLTGM
+>sp|O75665|OFD1_HUMAN Oral-facial-digital syndrome 1 protein OS=Homo sapiens OX=9606 GN=OFD1 PE=1 SV=1
+MMAQSNMFTVADVLSQDELRKKLYQTFKDRGILDTLKTQLRNQLIHELMHPVLSGELQPRSISVEGSSLLIGASNSLVADHLQRCGYEYSLSVFFPESGLAKEKVFTMQDLLQLIKINPTSSLYKSLVSGSDKENQKGFLMHFLKELAEYHQAKESCNMETQTSSTFNRDSLAEKLQLIDDQFADAYPQRIKFESLEIKLNEYKREIEEQLRAEMCQKLKFFKDTEIAKIKMEAKKKYEKELTMFQNDFEKACQAKSEALVLREKSTLERIHKHQEIETKEIYAQRQLLLKDMDLLRGREAELKQRVEAFELNQKLQEEKHKSITEALRRQEQNIKSFEETYDRKLKNELLKYQLELKDDYIIRTNRLIEDERKNKEKAVHLQEELIAINSKKEELNQSVNRVKELELELESVKAQSLAITKQNHMLNEKVKEMSDYSLLKEEKLELLAQNKLLKQQLEESRNENLRLLNRLAQPAPELAVFQKELRKAEKAIVVEHEEFESCRQALHKQLQDEIEHSAQLKAQILGYKASVKSLTTQVADLKLQLKQTQTALENEVYCNPKQSVIDRSVNGLINGNVVPCNGEISGDFLNNPFKQENVLARMVASRITNYPTAWVEGSSPDSDLEFVANTKARVKELQQEAERLEKAFRSYHRRVIKNSAKSPLAAKSPPSLHLLEAFKNITSSSPERHIFGEDRVVSEQPQVGTLEERNDVVEALTGSAASRLRGGTSSRRLSSTPLPKAKRSLESEMYLEGLGRSHIASPSPCPDRMPLPSPTESRHSLSIPPVSSPPEQKVGLYRRQTELQDKSEFSDVDKLAFKDNEEFESSFESAGNMPRQLEMGGLSPAGDMSHVDAAAAAVPLSYQHPSVDQKQIEEQKEEEKIREQQVKERRQREERRQSNLQEVLERERRELEKLYQERKMIEESLKIKIKKELEMENELEMSNQEIKDKSAHSENPLEKYMKIIQQEQDQESADKSSKKMVQEGSLVDTLQSSDKVESLTGFSHEELDDSW
+>DECOY_sp|O75665|OFD1_HUMAN Oral-facial-digital syndrome 1 protein OS=Homo sapiens OX=9606 GN=OFD1 PE=1 SV=1
+WSDDLEEHSFGTLSEVKDSSQLTDVLSGEQVMKKSSKDASEQDQEQQIIKMYKELPNESHASKDKIEQNSMELENEMELEKKIKIKLSEEIMKREQYLKELERRERELVEQLNSQRREERQRREKVQQERIKEEEKQEEIQKQDVSPHQYSLPVAAAAADVHSMDGAPSLGGMELQRPMNGASEFSSEFEENDKFALKDVDSFESKDQLETQRRYLGVKQEPPSSVPPISLSHRSETPSPLPMRDPCPSPSAIHSRGLGELYMESELSRKAKPLPTSSLRRSSTGGRLRSAASGTLAEVVDNREELTGVQPQESVVRDEGFIHREPSSSTINKFAELLHLSPPSKAALPSKASNKIVRRHYSRFAKELREAEQQLEKVRAKTNAVFELDSDPSSGEVWATPYNTIRSAVMRALVNEQKFPNNLFDGSIEGNCPVVNGNILGNVSRDIVSQKPNCYVENELATQTQKLQLKLDAVQTTLSKVSAKYGLIQAKLQASHEIEDQLQKHLAQRCSEFEEHEVVIAKEAKRLEKQFVALEPAPQALRNLLRLNENRSEELQQKLLKNQALLELKEEKLLSYDSMEKVKENLMHNQKTIALSQAKVSELELELEKVRNVSQNLEEKKSNIAILEEQLHVAKEKNKREDEILRNTRIIYDDKLELQYKLLENKLKRDYTEEFSKINQEQRRLAETISKHKEEQLKQNLEFAEVRQKLEAERGRLLDMDKLLLQRQAYIEKTEIEQHKHIRELTSKERLVLAESKAQCAKEFDNQFMTLEKEYKKKAEMKIKAIETDKFFKLKQCMEARLQEEIERKYENLKIELSEFKIRQPYADAFQDDILQLKEALSDRNFTSSTQTEMNCSEKAQHYEALEKLFHMLFGKQNEKDSGSVLSKYLSSTPNIKILQLLDQMTFVKEKALGSEPFFVSLSYEYGCRQLHDAVLSNSAGILLSSGEVSISRPQLEGSLVPHMLEHILQNRLQTKLTDLIGRDKFTQYLKKRLEDQSLVDAVTFMNSQAMM
+>sp|Q9Y2G5|OFUT2_HUMAN GDP-fucose protein O-fucosyltransferase 2 OS=Homo sapiens OX=9606 GN=POFUT2 PE=1 SV=3
+MATLSFVFLLLGAVSWPPASASGQEFWPGQSAADILSGAASRRRYLLYDVNPPEGFNLRRDVYIRIASLLKTLLKTEEWVLVLPPWGRLYHWQSPDIHQVRIPWSEFFDLPSLNKNIPVIEYEQFIAESGGPFIDQVYVLQSYAEGWKEGTWEEKVDERPCIDQLLYSQDKHEYYRGWFWGYEETRGLNVSCLSVQGSASIVAPLLLRNTSARSVMLDRAENLLHDHYGGKEYWDTRRSMVFARHLREVGDEFRSRHLNSTDDADRIPFQEDWMKMKVKLGSALGGPYLGVHLRRKDFIWGHRQDVPSLEGAVRKIRSLMKTHRLDKVFVATDAVRKEYEELKKLLPEMVRFEPTWEELELYKDGGVAIIDQWICAHARFFIGTSVSTFSFRIHEEREILGLDPKTTYNRFCGDQEKACEQPTHWKITY
+>DECOY_sp|Q9Y2G5|OFUT2_HUMAN GDP-fucose protein O-fucosyltransferase 2 OS=Homo sapiens OX=9606 GN=POFUT2 PE=1 SV=3
+YTIKWHTPQECAKEQDGCFRNYTTKPDLGLIEREEHIRFSFTSVSTGIFFRAHACIWQDIIAVGGDKYLELEEWTPEFRVMEPLLKKLEEYEKRVADTAVFVKDLRHTKMLSRIKRVAGELSPVDQRHGWIFDKRRLHVGLYPGGLASGLKVKMKMWDEQFPIRDADDTSNLHRSRFEDGVERLHRAFVMSRRTDWYEKGGYHDHLLNEARDLMVSRASTNRLLLPAVISASGQVSLCSVNLGRTEEYGWFWGRYYEHKDQSYLLQDICPREDVKEEWTGEKWGEAYSQLVYVQDIFPGGSEAIFQEYEIVPINKNLSPLDFFESWPIRVQHIDPSQWHYLRGWPPLVLVWEETKLLTKLLSAIRIYVDRRLNFGEPPNVDYLLYRRRSAAGSLIDAASQGPWFEQGSASAPPWSVAGLLLFVFSLTAM
+>sp|O15294|OGT1_HUMAN UDP-N-acetylglucosamine--peptide N-acetylglucosaminyltransferase 110 kDa subunit OS=Homo sapiens OX=9606 GN=OGT PE=1 SV=3
+MASSVGNVADSTEPTKRMLSFQGLAELAHREYQAGDFEAAERHCMQLWRQEPDNTGVLLLLSSIHFQCRRLDRSAHFSTLAIKQNPLLAEAYSNLGNVYKERGQLQEAIEHYRHALRLKPDFIDGYINLAAALVAAGDMEGAVQAYVSALQYNPDLYCVRSDLGNLLKALGRLEEAKACYLKAIETQPNFAVAWSNLGCVFNAQGEIWLAIHHFEKAVTLDPNFLDAYINLGNVLKEARIFDRAVAAYLRALSLSPNHAVVHGNLACVYYEQGLIDLAIDTYRRAIELQPHFPDAYCNLANALKEKGSVAEAEDCYNTALRLCPTHADSLNNLANIKREQGNIEEAVRLYRKALEVFPEFAAAHSNLASVLQQQGKLQEALMHYKEAIRISPTFADAYSNMGNTLKEMQDVQGALQCYTRAIQINPAFADAHSNLASIHKDSGNIPEAIASYRTALKLKPDFPDAYCNLAHCLQIVCDWTDYDERMKKLVSIVADQLEKNRLPSVHPHHSMLYPLSHGFRKAIAERHGNLCLDKINVLHKPPYEHPKDLKLSDGRLRVGYVSSDFGNHPTSHLMQSIPGMHNPDKFEVFCYALSPDDGTNFRVKVMAEANHFIDLSQIPCNGKAADRIHQDGIHILVNMNGYTKGARNELFALRPAPIQAMWLGYPGTSGALFMDYIITDQETSPAEVAEQYSEKLAYMPHTFFIGDHANMFPHLKKKAVIDFKSNGHIYDNRIVLNGIDLKAFLDSLPDVKIVKMKCPDGGDNADSSNTALNMPVIPMNTIAEAVIEMINRGQIQITINGFSISNGLATTQINNKAATGEEVPRTIIVTTRSQYGLPEDAIVYCNFNQLYKIDPSTLQMWANILKRVPNSVLWLLRFPAVGEPNIQQYAQNMGLPQNRIIFSPVAPKEEHVRRGQLADVCLDTPLCNGHTTGMDVLWAGTPMVTMPGETLASRVAASQLTCLGCLELIAKNRQEYEDIAVKLGTDLEYLKKVRGKVWKQRISSPLFNTKQYTMELERLYLQMWEHYAAGNKPDHMIKPVEVTESA
+>DECOY_sp|O15294|OGT1_HUMAN UDP-N-acetylglucosamine--peptide N-acetylglucosaminyltransferase 110 kDa subunit OS=Homo sapiens OX=9606 GN=OGT PE=1 SV=3
+ASETVEVPKIMHDPKNGAAYHEWMQLYLRELEMTYQKTNFLPSSIRQKWVKGRVKKLYELDTGLKVAIDEYEQRNKAILELCGLCTLQSAAVRSALTEGPMTVMPTGAWLVDMGTTHGNCLPTDLCVDALQGRRVHEEKPAVPSFIIRNQPLGMNQAYQQINPEGVAPFRLLWLVSNPVRKLINAWMQLTSPDIKYLQNFNCYVIADEPLGYQSRTTVIITRPVEEGTAAKNNIQTTALGNSISFGNITIQIQGRNIMEIVAEAITNMPIVPMNLATNSSDANDGGDPCKMKVIKVDPLSDLFAKLDIGNLVIRNDYIHGNSKFDIVAKKKLHPFMNAHDGIFFTHPMYALKESYQEAVEAPSTEQDTIIYDMFLAGSTGPYGLWMAQIPAPRLAFLENRAGKTYGNMNVLIHIGDQHIRDAAKGNCPIQSLDIFHNAEAMVKVRFNTGDDPSLAYCFVEFKDPNHMGPISQMLHSTPHNGFDSSVYGVRLRGDSLKLDKPHEYPPKHLVNIKDLCLNGHREAIAKRFGHSLPYLMSHHPHVSPLRNKELQDAVISVLKKMREDYDTWDCVIQLCHALNCYADPFDPKLKLATRYSAIAEPINGSDKHISALNSHADAFAPNIQIARTYCQLAGQVDQMEKLTNGMNSYADAFTPSIRIAEKYHMLAEQLKGQQQLVSALNSHAAAFEPFVELAKRYLRVAEEINGQERKINALNNLSDAHTPCLRLATNYCDEAEAVSGKEKLANALNCYADPFHPQLEIARRYTDIALDILGQEYYVCALNGHVVAHNPSLSLARLYAAVARDFIRAEKLVNGLNIYADLFNPDLTVAKEFHHIALWIEGQANFVCGLNSWAVAFNPQTEIAKLYCAKAEELRGLAKLLNGLDSRVCYLDPNYQLASVYAQVAGEMDGAAVLAAALNIYGDIFDPKLRLAHRYHEIAEQLQGREKYVNGLNSYAEALLPNQKIALTSFHASRDLRRCQFHISSLLLLVGTNDPEQRWLQMCHREAAEFDGAQYERHALEALGQFSLMRKTPETSDAVNGVSSAM
+>sp|Q6UWY5|OLFL1_HUMAN Olfactomedin-like protein 1 OS=Homo sapiens OX=9606 GN=OLFML1 PE=1 SV=2
+MMVALRGASALLVLFLAAFLPPPQCTQDPAMVHYIYQRFRVLEQGLEKCTQATRAYIQEFQEFSKNISVMLGRCQTYTSEYKSAVGNLALRVERAQREIDYIQYLREADECIESEDKTLAEMLLQEAEEEKKIRTLLNASCDNMLMGIKSLKIVKKMMDTHGSWMKDAVYNSPKVYLLIGSRNNTVWEFANIRAFMEDNTKPAPRKQILTLSWQGTGQVIYKGFLFFHNQATSNEIIKYNLQKRTVEDRMLLPGGVGRALVYQHSPSTYIDLAVDEHGLWAIHSGPGTHSHLVLTKIEPGTLGVEHSWDTPCRSQDAEASFLLCGVLYVVYSTGGQGPHRITCIYDPLGTISEEDLPNLFFPKRPRSHSMIHYNPRDKQLYAWNEGNQIIYKLQTKRKLPLK
+>DECOY_sp|Q6UWY5|OLFL1_HUMAN Olfactomedin-like protein 1 OS=Homo sapiens OX=9606 GN=OLFML1 PE=1 SV=2
+KLPLKRKTQLKYIIQNGENWAYLQKDRPNYHIMSHSRPRKPFFLNPLDEESITGLPDYICTIRHPGQGGTSYVVYLVGCLLFSAEADQSRCPTDWSHEVGLTGPEIKTLVLHSHTGPGSHIAWLGHEDVALDIYTSPSHQYVLARGVGGPLLMRDEVTRKQLNYKIIENSTAQNHFFLFGKYIVQGTGQWSLTLIQKRPAPKTNDEMFARINAFEWVTNNRSGILLYVKPSNYVADKMWSGHTDMMKKVIKLSKIGMLMNDCSANLLTRIKKEEEAEQLLMEALTKDESEICEDAERLYQIYDIERQAREVRLALNGVASKYESTYTQCRGLMVSINKSFEQFEQIYARTAQTCKELGQELVRFRQYIYHVMAPDQTCQPPPLFAALFLVLLASAGRLAVMM
+>sp|Q6UX06|OLFM4_HUMAN Olfactomedin-4 OS=Homo sapiens OX=9606 GN=OLFM4 PE=1 SV=1
+MRPGLSFLLALLFFLGQAAGDLGDVGPPIPSPGFSSFPGVDSSSSFSSSSRSGSSSSRSLGSGGSVSQLFSNFTGSVDDRGTCQCSVSLPDTTFPVDRVERLEFTAHVLSQKFEKELSKVREYVQLISVYEKKLLNLTVRIDIMEKDTISYTELDFELIKVEVKEMEKLVIQLKESFGGSSEIVDQLEVEIRNMTLLVEKLETLDKNNVLAIRREIVALKTKLKECEASKDQNTPVVHPPPTPGSCGHGGVVNISKPSVVQLNWRGFSYLYGAWGRDYSPQHPNKGLYWVAPLNTDGRLLEYYRLYNTLDDLLLYINARELRITYGQGSGTAVYNNNMYVNMYNTGNIARVNLTTNTIAVTQTLPNAAYNNRFSYANVAWQDIDFAVDENGLWVIYSTEASTGNMVISKLNDTTLQVLNTWYTKQYKPSASNAFMVCGVLYATRTMNTRTEEIFYYYDTNTGKEGKLDIVMHKMQEKVQSINYNPFDQKLYVYNDGYLLNYDLSVLQKPQ
+>DECOY_sp|Q6UX06|OLFM4_HUMAN Olfactomedin-4 OS=Homo sapiens OX=9606 GN=OLFM4 PE=1 SV=1
+QPKQLVSLDYNLLYGDNYVYLKQDFPNYNISQVKEQMKHMVIDLKGEKGTNTDYYYFIEETRTNMTRTAYLVGCVMFANSASPKYQKTYWTNLVQLTTDNLKSIVMNGTSAETSYIVWLGNEDVAFDIDQWAVNAYSFRNNYAANPLTQTVAITNTTLNVRAINGTNYMNVYMNNNYVATGSGQGYTIRLERANIYLLLDDLTNYLRYYELLRGDTNLPAVWYLGKNPHQPSYDRGWAGYLYSFGRWNLQVVSPKSINVVGGHGCSGPTPPPHVVPTNQDKSAECEKLKTKLAVIERRIALVNNKDLTELKEVLLTMNRIEVELQDVIESSGGFSEKLQIVLKEMEKVEVKILEFDLETYSITDKEMIDIRVTLNLLKKEYVSILQVYERVKSLEKEFKQSLVHATFELREVRDVPFTTDPLSVSCQCTGRDDVSGTFNSFLQSVSGGSGLSRSSSSGSRSSSSFSSSSDVGPFSSFGPSPIPPGVDGLDGAAQGLFFLLALLFSLGPRM
+>sp|Q7RTU3|OLIG3_HUMAN Oligodendrocyte transcription factor 3 OS=Homo sapiens OX=9606 GN=OLIG3 PE=1 SV=2
+MNSDSSSVSSRASSPDMDEMYLRDHHHRHHHHQESRLNSVSSTQGDMMQKMPGESLSRAGAKAAGESSKYKIKKQLSEQDLQQLRLKINGRERKRMHDLNLAMDGLREVMPYAHGPSVRKLSKIATLLLARNYILMLTSSLEEMKRLVGEIYGGHHSAFHCGTVGHSAGHPAHAANSVHPVHPILGGALSSGNASSPLSAASLPAIGTIRPPHSLLKAPSTPPALQLGSGFQHWAGLPCPCTICQMPPPPHLSALSTANMARLSAESKDLLK
+>DECOY_sp|Q7RTU3|OLIG3_HUMAN Oligodendrocyte transcription factor 3 OS=Homo sapiens OX=9606 GN=OLIG3 PE=1 SV=2
+KLLDKSEASLRAMNATSLASLHPPPPMQCITCPCPLGAWHQFGSGLQLAPPTSPAKLLSHPPRITGIAPLSAASLPSSANGSSLAGGLIPHVPHVSNAAHAPHGASHGVTGCHFASHHGGYIEGVLRKMEELSSTLMLIYNRALLLTAIKSLKRVSPGHAYPMVERLGDMALNLDHMRKRERGNIKLRLQQLDQESLQKKIKYKSSEGAAKAGARSLSEGPMKQMMDGQTSSVSNLRSEQHHHHRHHHDRLYMEDMDPSSARSSVSSSDSNM
+>sp|P47874|OMP_HUMAN Olfactory marker protein OS=Homo sapiens OX=9606 GN=OMP PE=2 SV=2
+MAEDRPQQPQLDMPLVLDQGLTRQMRLRVESLKQRGEKRQDGEKLLQPAESVYRLNFTQQQRLQFERWNVVLDKPGKVTITGTSQNWTPDLTNLMTRQLLDPTAIFWRKEDSDAIDWNEADALEFGERLSDLAKIRKVMYFLVTFGEGVEPANLKASVVFNQL
+>DECOY_sp|P47874|OMP_HUMAN Olfactory marker protein OS=Homo sapiens OX=9606 GN=OMP PE=2 SV=2
+LQNFVVSAKLNAPEVGEGFTVLFYMVKRIKALDSLREGFELADAENWDIADSDEKRWFIATPDLLQRTMLNTLDPTWNQSTGTITVKGPKDLVVNWREFQLRQQQTFNLRYVSEAPQLLKEGDQRKEGRQKLSEVRLRMQRTLGQDLVLPMDLQPQQPRDEAM
+>sp|O95948|ONEC2_HUMAN One cut domain family member 2 OS=Homo sapiens OX=9606 GN=ONECUT2 PE=2 SV=2
+MKAAYTAYRCLTKDLEGCAMNPELTMESLGTLHGPAGGGSGGGGGGGGGGGGGGPGHEQELLASPSPHHAGRGAAGSLRGPPPPPTAHQELGTAAAAAAAASRSAMVTSMASILDGGDYRPELSIPLHHAMSMSCDSSPPGMGMSNTYTTLTPLQPLPPISTVSDKFHHPHPHHHPHHHHHHHHQRLSGNVSGSFTLMRDERGLPAMNNLYSPYKEMPGMSQSLSPLAATPLGNGLGGLHNAQQSLPNYGPPGHDKMLSPNFDAHHTAMLTRGEQHLSRGLGTPPAAMMSHLNGLHHPGHTQSHGPVLAPSRERPPSSSSGSQVATSGQLEEINTKEVAQRITAELKRYSIPQAIFAQRVLCRSQGTLSDLLRNPKPWSKLKSGRETFRRMWKWLQEPEFQRMSALRLAACKRKEQEPNKDRNNSQKKSRLVFTDLQRRTLFAIFKENKRPSKEMQITISQQLGLELTTVSNFFMNARRRSLEKWQDDLSTGGSSSTSSTCTKA
+>DECOY_sp|O95948|ONEC2_HUMAN One cut domain family member 2 OS=Homo sapiens OX=9606 GN=ONECUT2 PE=2 SV=2
+AKTCTSSTSSSGGTSLDDQWKELSRRRANMFFNSVTTLELGLQQSITIQMEKSPRKNEKFIAFLTRRQLDTFVLRSKKQSNNRDKNPEQEKRKCAALRLASMRQFEPEQLWKWMRRFTERGSKLKSWPKPNRLLDSLTGQSRCLVRQAFIAQPISYRKLEATIRQAVEKTNIEELQGSTAVQSGSSSSPPRERSPALVPGHSQTHGPHHLGNLHSMMAAPPTGLGRSLHQEGRTLMATHHADFNPSLMKDHGPPGYNPLSQQANHLGGLGNGLPTAALPSLSQSMGPMEKYPSYLNNMAPLGREDRMLTFSGSVNGSLRQHHHHHHHHPHHHPHPHHFKDSVTSIPPLPQLPTLTTYTNSMGMGPPSSDCSMSMAHHLPISLEPRYDGGDLISAMSTVMASRSAAAAAAAATGLEQHATPPPPPGRLSGAAGRGAHHPSPSALLEQEHGPGGGGGGGGGGGGGGSGGGAPGHLTGLSEMTLEPNMACGELDKTLCRYATYAAKM
+>sp|Q86WS3|OOSP2_HUMAN Oocyte-secreted protein 2 OS=Homo sapiens OX=9606 GN=OOSP2 PE=2 SV=1
+MALEVLMLLAVLIWTGAENLHVKISCSLDWLMVSVIPVAESRNLYIFADELHLGMGCPANRIHTYVYEFIYLVRDCGIRTRVVSEETLLFQTELYFTPRNIDHDPQEIHLECSTSRKSVWLTPVSTENEIKLDPSPFIADFQTTAEELGLLSSSPNLL
+>DECOY_sp|Q86WS3|OOSP2_HUMAN Oocyte-secreted protein 2 OS=Homo sapiens OX=9606 GN=OOSP2 PE=2 SV=1
+LLNPSSSLLGLEEATTQFDAIFPSPDLKIENETSVPTLWVSKRSTSCELHIEQPDHDINRPTFYLETQFLLTEESVVRTRIGCDRVLYIFEYVYTHIRNAPCGMGLHLEDAFIYLNRSEAVPIVSVMLWDLSCSIKVHLNEAGTWILVALLMLVELAM
+>sp|O60313|OPA1_HUMAN Dynamin-like 120 kDa protein, mitochondrial OS=Homo sapiens OX=9606 GN=OPA1 PE=1 SV=3
+MWRLRRAAVACEVCQSLVKHSSGIKGSLPLQKLHLVSRSIYHSHHPTLKLQRPQLRTSFQQFSSLTNLPLRKLKFSPIKYGYQPRRNFWPARLATRLLKLRYLILGSAVGGGYTAKKTFDQWKDMIPDLSEYKWIVPDIVWEIDEYIDFEKIRKALPSSEDLVKLAPDFDKIVESLSLLKDFFTSGSPEETAFRATDRGSESDKHFRKVSDKEKIDQLQEELLHTQLKYQRILERLEKENKELRKLVLQKDDKGIHHRKLKKSLIDMYSEVLDVLSDYDASYNTQDHLPRVVVVGDQSAGKTSVLEMIAQARIFPRGSGEMMTRSPVKVTLSEGPHHVALFKDSSREFDLTKEEDLAALRHEIELRMRKNVKEGCTVSPETISLNVKGPGLQRMVLVDLPGVINTVTSGMAPDTKETIFSISKAYMQNPNAIILCIQDGSVDAERSIVTDLVSQMDPHGRRTIFVLTKVDLAEKNVASPSRIQQIIEGKLFPMKALGYFAVVTGKGNSSESIEAIREYEEEFFQNSKLLKTSMLKAHQVTTRNLSLAVSDCFWKMVRESVEQQADSFKATRFNLETEWKNNYPRLRELDRNELFEKAKNEILDEVISLSQVTPKHWEEILQQSLWERVSTHVIENIYLPAAQTMNSGTFNTTVDIKLKQWTDKQLPNKAVEVAWETLQEEFSRFMTEPKGKEHDDIFDKLKEAVKEESIKRHKWNDFAEDSLRVIQHNALEDRSISDKQQWDAAIYFMEEALQARLKDTENAIENMVGPDWKKRWLYWKNRTQEQCVHNETKNELEKMLKCNEEHPAYLASDEITTVRKNLESRGVEVDPSLIKDTWHQVYRRHFLKTALNHCNLCRRGFYYYQRHFVDSELECNDVVLFWRIQRMLAITANTLRQQLTNTEVRRLEKNVKEVLEDFAEDGEKKIKLLTGKRVQLAEDLKKVREIQEKLDAFIEALHQEK
+>DECOY_sp|O60313|OPA1_HUMAN Dynamin-like 120 kDa protein, mitochondrial OS=Homo sapiens OX=9606 GN=OPA1 PE=1 SV=3
+KEQHLAEIFADLKEQIERVKKLDEALQVRKGTLLKIKKEGDEAFDELVEKVNKELRRVETNTLQQRLTNATIALMRQIRWFLVVDNCELESDVFHRQYYYFGRRCLNCHNLATKLFHRRYVQHWTDKILSPDVEVGRSELNKRVTTIEDSALYAPHEENCKLMKELENKTENHVCQEQTRNKWYLWRKKWDPGVMNEIANETDKLRAQLAEEMFYIAADWQQKDSISRDELANHQIVRLSDEAFDNWKHRKISEEKVAEKLKDFIDDHEKGKPETMFRSFEEQLTEWAVEVAKNPLQKDTWQKLKIDVTTNFTGSNMTQAAPLYINEIVHTSVREWLSQQLIEEWHKPTVQSLSIVEDLIENKAKEFLENRDLERLRPYNNKWETELNFRTAKFSDAQQEVSERVMKWFCDSVALSLNRTTVQHAKLMSTKLLKSNQFFEEEYERIAEISESSNGKGTVVAFYGLAKMPFLKGEIIQQIRSPSAVNKEALDVKTLVFITRRGHPDMQSVLDTVISREADVSGDQICLIIANPNQMYAKSISFITEKTDPAMGSTVTNIVGPLDVLVMRQLGPGKVNLSITEPSVTCGEKVNKRMRLEIEHRLAALDEEKTLDFERSSDKFLAVHHPGESLTVKVPSRTMMEGSGRPFIRAQAIMELVSTKGASQDGVVVVRPLHDQTNYSADYDSLVDLVESYMDILSKKLKRHHIGKDDKQLVLKRLEKNEKELRELIRQYKLQTHLLEEQLQDIKEKDSVKRFHKDSESGRDTARFATEEPSGSTFFDKLLSLSEVIKDFDPALKVLDESSPLAKRIKEFDIYEDIEWVIDPVIWKYESLDPIMDKWQDFTKKATYGGGVASGLILYRLKLLRTALRAPWFNRRPQYGYKIPSFKLKRLPLNTLSSFQQFSTRLQPRQLKLTPHHSHYISRSVLHLKQLPLSGKIGSSHKVLSQCVECAVAARRLRWM
+>sp|Q8N2R0|OSR2_HUMAN Protein odd-skipped-related 2 OS=Homo sapiens OX=9606 GN=OSR2 PE=1 SV=2
+MGSKALPAPIPLHPSLQLTNYSFLQAVNTFPATVDHLQGLYGLSAVQTMHMNHWTLGYPNVHEITRSTITEMAAAQGLVDARFPFPALPFTTHLFHPKQGAIAHVLPALHKDRPRFDFANLAVAATQEDPPKMGDLSKLSPGLGSPISGLSKLTPDRKPSRGRLPSKTKKEFICKFCGRHFTKSYNLLIHERTHTDERPYTCDICHKAFRRQDHLRDHRYIHSKEKPFKCQECGKGFCQSRTLAVHKTLHMQESPHKCPTCGRTFNQRSNLKTHLLTHTDIKPYSCEQCGKVFRRNCDLRRHSLTHTPRQDF
+>DECOY_sp|Q8N2R0|OSR2_HUMAN Protein odd-skipped-related 2 OS=Homo sapiens OX=9606 GN=OSR2 PE=1 SV=2
+FDQRPTHTLSHRRLDCNRRFVKGCQECSYPKIDTHTLLHTKLNSRQNFTRGCTPCKHPSEQMHLTKHVALTRSQCFGKGCEQCKFPKEKSHIYRHDRLHDQRRFAKHCIDCTYPREDTHTREHILLNYSKTFHRGCFKCIFEKKTKSPLRGRSPKRDPTLKSLGSIPSGLGPSLKSLDGMKPPDEQTAAVALNAFDFRPRDKHLAPLVHAIAGQKPHFLHTTFPLAPFPFRADVLGQAAAMETITSRTIEHVNPYGLTWHNMHMTQVASLGYLGQLHDVTAPFTNVAQLFSYNTLQLSPHLPIPAPLAKSGM
+>sp|Q86UW1|OSTA_HUMAN Organic solute transporter subunit alpha OS=Homo sapiens OX=9606 GN=SLC51A PE=2 SV=1
+MEPGRTQIKLDPRYTADLLEVLKTNYGIPSACFSQPPTAAQLLRALGPVELALTSILTLLALGSIAIFLEDAVYLYKNTLCPIKRRTLLWKSSAPTVVSVLCCFGLWIPRSLVLVEMTITSFYAVCFYLLMLVMVEGFGGKEAVLRTLRDTPMMVHTGPCCCCCPCCPRLLLTRKKLQLLMLGPFQYAFLKITLTLVGLFLVPDGIYDPADISEGSTALWINTFLGVSTLLALWTLGIISRQARLHLGEQNMGAKFALFQVLLILTALQPSIFSVLANGGQIACSPPYSSKTRSQVMNCHLLILETFLMTVLTRMYYRRKDHKVGYETFSSPDLDLNLKA
+>DECOY_sp|Q86UW1|OSTA_HUMAN Organic solute transporter subunit alpha OS=Homo sapiens OX=9606 GN=SLC51A PE=2 SV=1
+AKLNLDLDPSSFTEYGVKHDKRRYYMRTLVTMLFTELILLHCNMVQSRTKSSYPPSCAIQGGNALVSFISPQLATLILLVQFLAFKAGMNQEGLHLRAQRSIIGLTWLALLTSVGLFTNIWLATSGESIDAPDYIGDPVLFLGVLTLTIKLFAYQFPGLMLLQLKKRTLLLRPCCPCCCCCPGTHVMMPTDRLTRLVAEKGGFGEVMVLMLLYFCVAYFSTITMEVLVLSRPIWLGFCCLVSVVTPASSKWLLTRRKIPCLTNKYLYVADELFIAISGLALLTLISTLALEVPGLARLLQAATPPQSFCASPIGYNTKLVELLDATYRPDLKIQTRGPEM
+>sp|P02818|OSTCN_HUMAN Osteocalcin OS=Homo sapiens OX=9606 GN=BGLAP PE=1 SV=2
+MRALTLLALLALAALCIAGQAGAKPSGAESSKGAAFVSKQEGSEVVKRPRRYLYQWLGAPVPYPDPLEPRREVCELNPDCDELADHIGFQEAYRRFYGPV
+>DECOY_sp|P02818|OSTCN_HUMAN Osteocalcin OS=Homo sapiens OX=9606 GN=BGLAP PE=1 SV=2
+VPGYFRRYAEQFGIHDALEDCDPNLECVERRPELPDPYPVPAGLWQYLYRRPRKVVESGEQKSVFAAGKSSEAGSPKAGAQGAICLAALALLALLTLARM
+>sp|Q8N6M0|OTU6B_HUMAN Deubiquitinase OTUD6B OS=Homo sapiens OX=9606 GN=OTUD6B PE=1 SV=1
+MEAVLTEELDEEEQLLRRHRKEKKELQAKIQGMKNAVPKNDKKRRKQLTEDVAKLEKEMEQKHREELEQLKLTTKENKIDSVAVNISNLVLENQPPRISKAQKRREKKAALEKEREERIAEAEIENLTGARHMESEKLAQILAARQLEIKQIPSDGHCMYKAIEDQLKEKDCALTVVALRSQTAEYMQSHVEDFLPFLTNPNTGDMYTPEEFQKYCEDIVNTAAWGGQLELRALSHILQTPIEIIQADSPPIIVGEEYSKKPLILVYMRHAYGLGEHYNSVTRLVNIVTENCS
+>DECOY_sp|Q8N6M0|OTU6B_HUMAN Deubiquitinase OTUD6B OS=Homo sapiens OX=9606 GN=OTUD6B PE=1 SV=1
+SCNETVINVLRTVSNYHEGLGYAHRMYVLILPKKSYEEGVIIPPSDAQIIEIPTQLIHSLARLELQGGWAATNVIDECYKQFEEPTYMDGTNPNTLFPLFDEVHSQMYEATQSRLAVVTLACDKEKLQDEIAKYMCHGDSPIQKIELQRAALIQALKESEMHRAGTLNEIEAEAIREEREKELAAKKERRKQAKSIRPPQNELVLNSINVAVSDIKNEKTTLKLQELEERHKQEMEKELKAVDETLQKRRKKDNKPVANKMGQIKAQLEKKEKRHRRLLQEEEDLEETLVAEM
+>sp|Q5T2D3|OTUD3_HUMAN OTU domain-containing protein 3 OS=Homo sapiens OX=9606 GN=OTUD3 PE=1 SV=1
+MSRKQAAKSRPGSGSRKAEAERKRDERAARRALAKERRNRPESGGGGGCEEEFVSFANQLQALGLKLREVPGDGNCLFRALGDQLEGHSRNHLKHRQETVDYMIKQREDFEPFVEDDIPFEKHVASLAKPGTFAGNDAIVAFARNHQLNVVIHQLNAPLWQIRGTEKSSVRELHIAYRYGEHYDSVRRINDNSEAPAHLQTDFQMLHQDESNKREKIKTKGMDSEDDLRDEVEDAVQKVCNATGCSDFNLIVQNLEAENYNIESAIIAVLRMNQGKRNNAEENLEPSGRVLKQCGPLWEEGGSGARIFGNQGLNEGRTENNKAQASPSEENKANKNQLAKVTNKQRREQQWMEKKKRQEERHRHKALESRGSHRDNNRSEAEANTQVTLVKTFAALNI
+>DECOY_sp|Q5T2D3|OTUD3_HUMAN OTU domain-containing protein 3 OS=Homo sapiens OX=9606 GN=OTUD3 PE=1 SV=1
+INLAAFTKVLTVQTNAEAESRNNDRHSGRSELAKHRHREEQRKKKEMWQQERRQKNTVKALQNKNAKNEESPSAQAKNNETRGENLGQNGFIRAGSGGEEWLPGCQKLVRGSPELNEEANNRKGQNMRLVAIIASEINYNEAELNQVILNFDSCGTANCVKQVADEVEDRLDDESDMGKTKIKERKNSEDQHLMQFDTQLHAPAESNDNIRRVSDYHEGYRYAIHLERVSSKETGRIQWLPANLQHIVVNLQHNRAFAVIADNGAFTGPKALSAVHKEFPIDDEVFPEFDERQKIMYDVTEQRHKLHNRSHGELQDGLARFLCNGDGPVERLKLGLAQLQNAFSVFEEECGGGGGSEPRNRREKALARRAAREDRKREAEAKRSGSGPRSKAAQKRSM
+>sp|Q01804|OTUD4_HUMAN OTU domain-containing protein 4 OS=Homo sapiens OX=9606 GN=OTUD4 PE=1 SV=4
+MEAAVGVPDGGDQGGAGPREDATPMDAYLRKLGLYRKLVAKDGSCLFRAVAEQVLHSQSRHVEVRMACIHYLRENREKFEAFIEGSFEEYLKRLENPQEWVGQVEISALSLMYRKDFIIYREPNVSPSQVTENNFPEKVLLCFSNGNHYDIVYPIKYKESSAMCQSLLYELLYEKVFKTDVSKIVMELDTLEVADEDNSEISDSEDDSCKSKTAAAAADVNGFKPLSGNEQLKNNGNSTSLPLSRKVLKSLNPAVYRNVEYEIWLKSKQAQQKRDYSIAAGLQYEVGDKCQVRLDHNGKFLNADVQGIHSENGPVLVEELGKKHTSKNLKAPPPESWNTVSGKKMKKPSTSGQNFHSDVDYRGPKNPSKPIKAPSALPPRLQHPSGVRQHAFSSHSSGSQSQKFSSEHKNLSRTPSQIIRKPDRERVEDFDHTSRESNYFGLSPEERREKQAIEESRLLYEIQNRDEQAFPALSSSSVNQSASQSSNPCVQRKSSHVGDRKGSRRRMDTEERKDKDSIHGHSQLDKRPEPSTLENITDDKYATVSSPSKSKKLECPSPAEQKPAEHVSLSNPAPLLVSPEVHLTPAVPSLPATVPAWPSEPTTFGPTGVPAPIPVLSVTQTLTTGPDSAVSQAHLTPSPVPVSIQAVNQPLMPLPQTLSLYQDPLYPGFPCNEKGDRAIVPPYSLCQTGEDLPKDKNILRFFFNLGVKAYSCPMWAPHSYLYPLHQAYLAACRMYPKVPVPVYPHNPWFQEAPAAQNESDCTCTDAHFPMQTEASVNGQMPQPEIGPPTFSSPLVIPPSQVSESHGQLSYQADLESETPGQLLHADYEESLSGKNMFPQPSFGPNPFLGPVPIAPPFFPHVWYGYPFQGFIENPVMRQNIVLPSDEKGELDLSLENLDLSKDCGSVSTVDEFPEARGEHVHSLPEASVSSKPDEGRTEQSSQTRKADTALASIPPVAEGKAHPPTQILNRERETVPVELEPKRTIQSLKEKTEKVKDPKTAADVVSPGANSVDSRVQRPKEESSEDENEVSNILRSGRSKQFYNQTYGSRKYKSDWGYSGRGGYQHVRSEESWKGQPSRSRDEGYQYHRNVRGRPFRGDRRRSGMGDGHRGQHT
+>DECOY_sp|Q01804|OTUD4_HUMAN OTU domain-containing protein 4 OS=Homo sapiens OX=9606 GN=OTUD4 PE=1 SV=4
+THQGRHGDGMGSRRRDGRFPRGRVNRHYQYGEDRSRSPQGKWSEESRVHQYGGRGSYGWDSKYKRSGYTQNYFQKSRGSRLINSVENEDESSEEKPRQVRSDVSNAGPSVVDAATKPDKVKETKEKLSQITRKPELEVPVTERERNLIQTPPHAKGEAVPPISALATDAKRTQSSQETRGEDPKSSVSAEPLSHVHEGRAEPFEDVTSVSGCDKSLDLNELSLDLEGKEDSPLVINQRMVPNEIFGQFPYGYWVHPFFPPAIPVPGLFPNPGFSPQPFMNKGSLSEEYDAHLLQGPTESELDAQYSLQGHSESVQSPPIVLPSSFTPPGIEPQPMQGNVSAETQMPFHADTCTCDSENQAAPAEQFWPNHPYVPVPVKPYMRCAALYAQHLPYLYSHPAWMPCSYAKVGLNFFFRLINKDKPLDEGTQCLSYPPVIARDGKENCPFGPYLPDQYLSLTQPLPMLPQNVAQISVPVPSPTLHAQSVASDPGTTLTQTVSLVPIPAPVGTPGFTTPESPWAPVTAPLSPVAPTLHVEPSVLLPAPNSLSVHEAPKQEAPSPCELKKSKSPSSVTAYKDDTINELTSPEPRKDLQSHGHISDKDKREETDMRRRSGKRDGVHSSKRQVCPNSSQSASQNVSSSSLAPFAQEDRNQIEYLLRSEEIAQKERREEPSLGFYNSERSTHDFDEVRERDPKRIIQSPTRSLNKHESSFKQSQSGSSHSSFAHQRVGSPHQLRPPLASPAKIPKSPNKPGRYDVDSHFNQGSTSPKKMKKGSVTNWSEPPPAKLNKSTHKKGLEEVLVPGNESHIGQVDANLFKGNHDLRVQCKDGVEYQLGAAISYDRKQQAQKSKLWIEYEVNRYVAPNLSKLVKRSLPLSTSNGNNKLQENGSLPKFGNVDAAAAATKSKCSDDESDSIESNDEDAVELTDLEMVIKSVDTKFVKEYLLEYLLSQCMASSEKYKIPYVIDYHNGNSFCLLVKEPFNNETVQSPSVNPERYIIFDKRYMLSLASIEVQGVWEQPNELRKLYEEFSGEIFAEFKERNERLYHICAMRVEVHRSQSHLVQEAVARFLCSGDKAVLKRYLGLKRLYADMPTADERPGAGGQDGGDPVGVAAEM
+>sp|P32243|OTX2_HUMAN Homeobox protein OTX2 OS=Homo sapiens OX=9606 GN=OTX2 PE=1 SV=1
+MMSYLKQPPYAVNGLSLTTSGMDLLHPSVGYPATPRKQRRERTTFTRAQLDVLEALFAKTRYPDIFMREEVALKINLPESRVQVWFKNRRAKCRQQQQQQQNGGQNKVRPAKKKTSPAREVSSESGTSGQFTPPSSTSVPTIASSSAPVSIWSPASISPLSDPLSTSSSCMQRSYPMTYTQASGYSQGYAGSTSYFGGMDCGSYLTPMHHQLPGPGATLSPMGTNAVTSHLNQSPASLSTQGYGASSLGFNSTTDCLDYKDQTASWKLNFNADCLDYKDQTSSWKFQVL
+>DECOY_sp|P32243|OTX2_HUMAN Homeobox protein OTX2 OS=Homo sapiens OX=9606 GN=OTX2 PE=1 SV=1
+LVQFKWSSTQDKYDLCDANFNLKWSATQDKYDLCDTTSNFGLSSAGYGQTSLSAPSQNLHSTVANTGMPSLTAGPGPLQHHMPTLYSGCDMGGFYSTSGAYGQSYGSAQTYTMPYSRQMCSSSTSLPDSLPSISAPSWISVPASSSAITPVSTSSPPTFQGSTGSESSVERAPSTKKKAPRVKNQGGNQQQQQQQRCKARRNKFWVQVRSEPLNIKLAVEERMFIDPYRTKAFLAELVDLQARTFTTRERRQKRPTAPYGVSPHLLDMGSTTLSLGNVAYPPQKLYSMM
+>sp|Q6IE37|OVOS1_HUMAN Ovostatin homolog 1 OS=Homo sapiens OX=9606 GN=OVOS1 PE=2 SV=2
+MHVHVCVCLCVCIYTSSCVCACVHMCMRDALLAEGRGGGLAAADDFLYLECCKCFSQESQIAMVCQERSQNETYEVKMNNDTEACRATLNLEERRSVAIRSRENVVFVQTDKPTYKPGQKDVNGIAQFFLDTYTFTYPNITLKDPQNNRIFQRQNVTSFRNITQLSFQLISEPMFGDYWIVVKRNSRETVTHQFAVKRYVLPKFEVTVNAPQTVTISDDEFQVDVCAYNFGQPVQGETQIRVCREYFSSSNCEKNENEICEQFIAQVQTNLDIFTLLCSSFLTVMQISEKTSVFITQLLGTVNFENMDTFYRRGISYFGQLKFSDPNNVPMVNKLLQLELNDEFIGNYTTDENGEAQFSIDTSDIFDPEFNLKVRHQRTEECYLPSWLTPQYLDAHFLVSRFYSRTNSFLKIVPEPKQLECNQQKVVTVHYSLNSEAYEDDSNVKFFYLNGNFSFPISISADLAPAAVLFVYTLHPSGEIVADSVRFQVDKCFKHKVNIKFSNEQGLPGSNASLCLQAAPVLFCALRAVDRNVLLLKSEQQLSAESVSSLYNMVPSIEPYGYFYHGLNLDDGKEDPCIPQRDMFYNGLYYTPVSNYGDGDIYNIVRVRSLRILENIIQTVRTNFPETWMWDLVSVSSSGSANLSFLIPDTITQWEASGFCVNGDVGFGISSTTTLEVSQPFFIEIASPFSVVQNEQFDLIVNVFSYRNTCVEVSYIWECLPGKVNITVVAESKQSSACPNEGMEQQKLNWKDTVVQSFLVEFLFLGDILGLALQNLVVLQMPYGSGEQNAALLASDTYVLDYLKSTEQLTEEVQSKAFFLSILGYQRQLSFKNSDGSYSVFWQQSQKGSIWLSALTFKTLERMKKYVFIDENVQKQTLIWLSSQQKTSGCFKNDGQLFNHALRNALFCLEAALDSGVTNGYNHAILAYAFALAGKEKQVESLLQTLDQSAPKLSKRYYWERERKPKTEEFPSFIPWAPSAQTEKSCYVLLAVISRKIPDLTYASKIVQWLAQRMNSHGGFSSNQVINVGLILIAARGEEGLFSKDQNTVTFSSEGSSEIFQVNGHNRLLVQRSEVTQAPGEYTVDVEGHGCTFIQIFRYTGIRNKSSMVVIDVKMLSGFTPTMSSIEEVNNRSLIFQHKDSYIEYKRADSFPFSVEQSNLVFNIQPAPAMVYDYYEKGRQATAMP
+>DECOY_sp|Q6IE37|OVOS1_HUMAN Ovostatin homolog 1 OS=Homo sapiens OX=9606 GN=OVOS1 PE=2 SV=2
+PMATAQRGKEYYDYVMAPAPQINFVLNSQEVSFPFSDARKYEIYSDKHQFILSRNNVEEISSMTPTFGSLMKVDIVVMSSKNRIGTYRFIQIFTCGHGEVDVTYEGPAQTVESRQVLLRNHGNVQFIESSGESSFTVTNQDKSFLGEEGRAAILILGVNIVQNSSFGGHSNMRQALWQVIKSAYTLDPIKRSIVALLVYCSKETQASPAWPIFSPFEETKPKREREWYYRKSLKPASQDLTQLLSEVQKEKGALAFAYALIAHNYGNTVGSDLAAELCFLANRLAHNFLQGDNKFCGSTKQQSSLWILTQKQVNEDIFVYKKMRELTKFTLASLWISGKQSQQWFVSYSGDSNKFSLQRQYGLISLFFAKSQVEETLQETSKLYDLVYTDSALLAANQEGSGYPMQLVVLNQLALGLIDGLFLFEVLFSQVVTDKWNLKQQEMGENPCASSQKSEAVVTINVKGPLCEWIYSVEVCTNRYSFVNVILDFQENQVVSFPSAIEIFFPQSVELTTTSSIGFGVDGNVCFGSAEWQTITDPILFSLNASGSSSVSVLDWMWTEPFNTRVTQIINELIRLSRVRVINYIDGDGYNSVPTYYLGNYFMDRQPICPDEKGDDLNLGHYFYGYPEISPVMNYLSSVSEASLQQESKLLLVNRDVARLACFLVPAAQLCLSANSGPLGQENSFKINVKHKFCKDVQFRVSDAVIEGSPHLTYVFLVAAPALDASISIPFSFNGNLYFFKVNSDDEYAESNLSYHVTVVKQQNCELQKPEPVIKLFSNTRSYFRSVLFHADLYQPTLWSPLYCEETRQHRVKLNFEPDFIDSTDISFQAEGNEDTTYNGIFEDNLELQLLKNVMPVNNPDSFKLQGFYSIGRRYFTDMNEFNVTGLLQTIFVSTKESIQMVTLFSSCLLTFIDLNTQVQAIFQECIENENKECNSSSFYERCVRIQTEGQVPQGFNYACVDVQFEDDSITVTQPANVTVEFKPLVYRKVAFQHTVTERSNRKVVIWYDGFMPESILQFSLQTINRFSTVNQRQFIRNNQPDKLTINPYTFTYTDLFFQAIGNVDKQGPKYTPKDTQVFVVNERSRIAVSRREELNLTARCAETDNNMKVEYTENQSREQCVMAIQSEQSFCKCCELYLFDDAAALGGGRGEALLADRMCMHVCACVCSSTYICVCLCVCVHVHM
+>sp|P83859|OX26_HUMAN Orexigenic neuropeptide QRFP OS=Homo sapiens OX=9606 GN=QRFP PE=2 SV=1
+MVRPYPLIYFLFLPLGACFPLLDRREPTDAMGGLGAGERWADLAMGPRPHSVWGSSRWLRASQPQALLVIARGLQTSGREHAGCRFRFGRQDEGSEATGFLPAAGEKTSGPLGNLAEELNGYSRKKGGFSFRFGRR
+>DECOY_sp|P83859|OX26_HUMAN Orexigenic neuropeptide QRFP OS=Homo sapiens OX=9606 GN=QRFP PE=2 SV=1
+RRGFRFSFGGKKRSYGNLEEALNGLPGSTKEGAAPLFGTAESGEDQRGFRFRCGAHERGSTQLGRAIVLLAQPQSARLWRSSGWVSHPRPGMALDAWREGAGLGGMADTPERRDLLPFCAGLPLFLFYILPYPRVM
+>sp|Q92569|P55G_HUMAN Phosphatidylinositol 3-kinase regulatory subunit gamma OS=Homo sapiens OX=9606 GN=PIK3R3 PE=1 SV=2
+MYNTVWSMDRDDADWREVMMPYSTELIFYIEMDPPALPPKPPKPMTSAVPNGMKDSSVSLQDAEWYWGDISREEVNDKLRDMPDGTFLVRDASTKMQGDYTLTLRKGGNNKLIKIYHRDGKYGFSDPLTFNSVVELINHYHHESLAQYNPKLDVKLMYPVSRYQQDQLVKEDNIDAVGKKLQEYHSQYQEKSKEYDRLYEEYTRTSQEIQMKRTAIEAFNETIKIFEEQCHTQEQHSKEYIERFRREGNEKEIERIMMNYDKLKSRLGEIHDSKMRLEQDLKNQALDNREIDKKMNSIKPDLIQLRKIRDQHLVWLNHKGVRQKRLNVWLGIKNEDADENYFINEEDENLPHYDEKTWFVEDINRVQAEDLLYGKPDGAFLIRESSKKGCYACSVVADGEVKHCVIYSTARGYGFAEPYNLYSSLKELVLHYQQTSLVQHNDSLNVRLAYPVHAQMPSLCR
+>DECOY_sp|Q92569|P55G_HUMAN Phosphatidylinositol 3-kinase regulatory subunit gamma OS=Homo sapiens OX=9606 GN=PIK3R3 PE=1 SV=2
+RCLSPMQAHVPYALRVNLSDNHQVLSTQQYHLVLEKLSSYLNYPEAFGYGRATSYIVCHKVEGDAVVSCAYCGKKSSERILFAGDPKGYLLDEAQVRNIDEVFWTKEDYHPLNEDEENIFYNEDADENKIGLWVNLRKQRVGKHNLWVLHQDRIKRLQILDPKISNMKKDIERNDLAQNKLDQELRMKSDHIEGLRSKLKDYNMMIREIEKENGERRFREIYEKSHQEQTHCQEEFIKITENFAEIATRKMQIEQSTRTYEEYLRDYEKSKEQYQSHYEQLKKGVADINDEKVLQDQQYRSVPYMLKVDLKPNYQALSEHHYHNILEVVSNFTLPDSFGYKGDRHYIKILKNNGGKRLTLTYDGQMKTSADRVLFTGDPMDRLKDNVEERSIDGWYWEADQLSVSSDKMGNPVASTMPKPPKPPLAPPDMEIYFILETSYPMMVERWDADDRDMSWVTNYM
+>sp|P32322|P5CR1_HUMAN Pyrroline-5-carboxylate reductase 1, mitochondrial OS=Homo sapiens OX=9606 GN=PYCR1 PE=1 SV=2
+MSVGFIGAGQLAFALAKGFTAAGVLAAHKIMASSPDMDLATVSALRKMGVKLTPHNKETVQHSDVLFLAVKPHIIPFILDEIGADIEDRHIVVSCAAGVTISSIEKKLSAFRPAPRVIRCMTNTPVVVREGATVYATGTHAQVEDGRLMEQLLSSVGFCTEVEEDLIDAVTGLSGSGPAYAFTALDALADGGVKMGLPRRLAVRLGAQALLGAAKMLLHSEQHPGQLKDNVSSPGGATIHALHVLESGGFRSLLINAVEASCIRTRELQSMADQEQVSPAAIKKTILDKVKLDSPAGTALSPSGHTKLLPRSLAPAGKD
+>DECOY_sp|P32322|P5CR1_HUMAN Pyrroline-5-carboxylate reductase 1, mitochondrial OS=Homo sapiens OX=9606 GN=PYCR1 PE=1 SV=2
+DKGAPALSRPLLKTHGSPSLATGAPSDLKVKDLITKKIAAPSVQEQDAMSQLERTRICSAEVANILLSRFGGSELVHLAHITAGGPSSVNDKLQGPHQESHLLMKAAGLLAQAGLRVALRRPLGMKVGGDALADLATFAYAPGSGSLGTVADILDEEVETCFGVSSLLQEMLRGDEVQAHTGTAYVTAGERVVVPTNTMCRIVRPAPRFASLKKEISSITVGAACSVVIHRDEIDAGIEDLIFPIIHPKVALFLVDSHQVTEKNHPTLKVGMKRLASVTALDMDPSSAMIKHAALVGAATFGKALAFALQGAGIFGVSM
+>sp|O15350|P73_HUMAN Tumor protein p73 OS=Homo sapiens OX=9606 GN=TP73 PE=1 SV=1
+MAQSTATSPDGGTTFEHLWSSLEPDSTYFDLPQSSRGNNEVVGGTDSSMDVFHLEGMTTSVMAQFNLLSSTMDQMSSRAASASPYTPEHAASVPTHSPYAQPSSTFDTMSPAPVIPSNTDYPGPHHFEVTFQQSSTAKSATWTYSPLLKKLYCQIAKTCPIQIKVSTPPPPGTAIRAMPVYKKAEHVTDVVKRCPNHELGRDFNEGQSAPASHLIRVEGNNLSQYVDDPVTGRQSVVVPYEPPQVGTEFTTILYNFMCNSSCVGGMNRRPILIIITLEMRDGQVLGRRSFEGRICACPGRDRKADEDHYREQQALNESSAKNGAASKRAFKQSPPAVPALGAGVKKRRHGDEDTYYLQVRGRENFEILMKLKESLELMELVPQPLVDSYRQQQQLLQRPSHLQPPSYGPVLSPMNKVHGGMNKLPSVNQLVGQPPPHSSAATPNLGPVGPGMLNNHGHAVPANGEMSSSHSAQSMVSGSHCTPPPPYHADPSLVSFLTGLGCPNCIEYFTSQGLQSIYHLQNLTIEDLGALKIPEQYRMTIWRGLQDLKQGHDYSTAQQLLRSSNAATISIGGSGELQRQRVMEAVHFRVRHTITIPNRGGPGGGPDEWADFGFDLPDCKARKQPIKEEFTEAEIH
+>DECOY_sp|O15350|P73_HUMAN Tumor protein p73 OS=Homo sapiens OX=9606 GN=TP73 PE=1 SV=1
+HIEAETFEEKIPQKRAKCDPLDFGFDAWEDPGGGPGGRNPITITHRVRFHVAEMVRQRQLEGSGGISITAANSSRLLQQATSYDHGQKLDQLGRWITMRYQEPIKLAGLDEITLNQLHYISQLGQSTFYEICNPCGLGTLFSVLSPDAHYPPPPTCHSGSVMSQASHSSSMEGNAPVAHGHNNLMGPGVPGLNPTAASSHPPPQGVLQNVSPLKNMGGHVKNMPSLVPGYSPPQLHSPRQLLQQQQRYSDVLPQPVLEMLELSEKLKMLIEFNERGRVQLYYTDEDGHRRKKVGAGLAPVAPPSQKFARKSAAGNKASSENLAQQERYHDEDAKRDRGPCACIRGEFSRRGLVQGDRMELTIIILIPRRNMGGVCSSNCMFNYLITTFETGVQPPEYPVVVSQRGTVPDDVYQSLNNGEVRILHSAPASQGENFDRGLEHNPCRKVVDTVHEAKKYVPMARIATGPPPPTSVKIQIPCTKAIQCYLKKLLPSYTWTASKATSSQQFTVEFHHPGPYDTNSPIVPAPSMTDFTSSPQAYPSHTPVSAAHEPTYPSASAARSSMQDMTSSLLNFQAMVSTTMGELHFVDMSSDTGGVVENNGRSSQPLDFYTSDPELSSWLHEFTTGGDPSTATSQAM
+>sp|O00459|P85B_HUMAN Phosphatidylinositol 3-kinase regulatory subunit beta OS=Homo sapiens OX=9606 GN=PIK3R2 PE=1 SV=2
+MAGPEGFQYRALYPFRRERPEDLELLPGDVLVVSRAALQALGVAEGGERCPQSVGWMPGLNERTRQRGDFPGTYVEFLGPVALARPGPRPRGPRPLPARPRDGAPEPGLTLPDLPEQFSPPDVAPPLLVKLVEAIERTGLDSESHYRPELPAPRTDWSLSDVDQWDTAALADGIKSFLLALPAPLVTPEASAEARRALREAAGPVGPALEPPTLPLHRALTLRFLLQHLGRVASRAPALGPAVRALGATFGPLLLRAPPPPSSPPPGGAPDGSEPSPDFPALLVEKLLQEHLEEQEVAPPALPPKPPKAKPASTVLANGGSPPSLQDAEWYWGDISREEVNEKLRDTPDGTFLVRDASSKIQGEYTLTLRKGGNNKLIKVFHRDGHYGFSEPLTFCSVVDLINHYRHESLAQYNAKLDTRLLYPVSKYQQDQIVKEDSVEAVGAQLKVYHQQYQDKSREYDQLYEEYTRTSQELQMKRTAIEAFNETIKIFEEQGQTQEKCSKEYLERFRREGNEKEMQRILLNSERLKSRIAEIHESRTKLEQQLRAQASDNREIDKRMNSLKPDLMQLRKIRDQYLVWLTQKGARQKKINEWLGIKNETEDQYALMEDEDDLPHHEERTWYVGKINRTQAEEMLSGKRDGTFLIRESSQRGCYACSVVVDGDTKHCVIYRTATGFGFAEPYNLYGSLKELVLHYQHASLVQHNDALTVTLAHPVRAPGPGPPPAAR
+>DECOY_sp|O00459|P85B_HUMAN Phosphatidylinositol 3-kinase regulatory subunit beta OS=Homo sapiens OX=9606 GN=PIK3R2 PE=1 SV=2
+RAAPPPGPGPARVPHALTVTLADNHQVLSAHQYHLVLEKLSGYLNYPEAFGFGTATRYIVCHKTDGDVVVSCAYCGRQSSERILFTGDRKGSLMEEAQTRNIKGVYWTREEHHPLDDEDEMLAYQDETENKIGLWENIKKQRAGKQTLWVLYQDRIKRLQMLDPKLSNMRKDIERNDSAQARLQQELKTRSEHIEAIRSKLRESNLLIRQMEKENGERRFRELYEKSCKEQTQGQEEFIKITENFAEIATRKMQLEQSTRTYEEYLQDYERSKDQYQQHYVKLQAGVAEVSDEKVIQDQQYKSVPYLLRTDLKANYQALSEHRYHNILDVVSCFTLPESFGYHGDRHFVKILKNNGGKRLTLTYEGQIKSSADRVLFTGDPTDRLKENVEERSIDGWYWEADQLSPPSGGNALVTSAPKAKPPKPPLAPPAVEQEELHEQLLKEVLLAPFDPSPESGDPAGGPPPSSPPPPARLLLPGFTAGLARVAPGLAPARSAVRGLHQLLFRLTLARHLPLTPPELAPGVPGAAERLARRAEASAEPTVLPAPLALLFSKIGDALAATDWQDVDSLSWDTRPAPLEPRYHSESDLGTREIAEVLKVLLPPAVDPPSFQEPLDPLTLGPEPAGDRPRAPLPRPGRPRPGPRALAVPGLFEVYTGPFDGRQRTRENLGPMWGVSQPCREGGEAVGLAQLAARSVVLVDGPLLELDEPRERRFPYLARYQFGEPGAM
+>sp|Q9NZ20|PA2G3_HUMAN Group 3 secretory phospholipase A2 OS=Homo sapiens OX=9606 GN=PLA2G3 PE=1 SV=2
+MGVQAGLFGMLGFLGVALGGSPALRWYRTSCHLTKAVPGNPLGYLSFLAKDAQGLALIHARWDAHRRLQSCSWEDEPELTAAYGALCAHETAWGSFIHTPGPELQRALATLQSQWEACRALEESPAGARKKRAAGQSGVPGGGHQREKRGWTMPGTLWCGVGDSAGNSSELGVFQGPDLCCREHDRCPQNISPLQYNYGIRNYRFHTISHCDCDTRFQQCLQNQHDSISDIVGVAFFNVLEIPCFVLEEQEACVAWYWWGGCRMYGTVPLARLQPRTFYNASWSSRATSPTPSSRSPAPPKPRQKQHLRKGPPHQKGSKRPSKANTTALQDPMVSPRLDVAPTGLQGPQGGLKPQGARWVCRSFRRHLDQCEHQIGPREIEFQLLNSAQEPLFHCNCTRRLARFLRLHSPPEVTNMLWELLGTTCFKLAPPLDCVEGKNCSRDPRAIRVSARHLRRLQQRRHQLQDKGTDERQPWPSEPLRGPMSFYNQCLQLTQAARRPDRQQKSWSQ
+>DECOY_sp|Q9NZ20|PA2G3_HUMAN Group 3 secretory phospholipase A2 OS=Homo sapiens OX=9606 GN=PLA2G3 PE=1 SV=2
+QSWSKQQRDPRRAAQTLQLCQNYFSMPGRLPESPWPQREDTGKDQLQHRRQQLRRLHRASVRIARPDRSCNKGEVCDLPPALKFCTTGLLEWLMNTVEPPSHLRLFRALRRTCNCHFLPEQASNLLQFEIERPGIQHECQDLHRRFSRCVWRAGQPKLGGQPGQLGTPAVDLRPSVMPDQLATTNAKSPRKSGKQHPPGKRLHQKQRPKPPAPSRSSPTPSTARSSWSANYFTRPQLRALPVTGYMRCGGWWYWAVCAEQEELVFCPIELVNFFAVGVIDSISDHQNQLCQQFRTDCDCHSITHFRYNRIGYNYQLPSINQPCRDHERCCLDPGQFVGLESSNGASDGVGCWLTGPMTWGRKERQHGGGPVGSQGAARKKRAGAPSEELARCAEWQSQLTALARQLEPGPTHIFSGWATEHACLAGYAATLEPEDEWSCSQLRRHADWRAHILALGQADKALFSLYGLPNGPVAKTLHCSTRYWRLAPSGGLAVGLFGLMGFLGAQVGM
+>sp|Q9UNK4|PA2GD_HUMAN Group IID secretory phospholipase A2 OS=Homo sapiens OX=9606 GN=PLA2G2D PE=1 SV=2
+MELALLCGLVVMAGVIPIQGGILNLNKMVKQVTGKMPILSYWPYGCHCGLGGRGQPKDATDWCCQTHDCCYDHLKTQGCSIYKDYYRYNFSQGNIHCSDKGSWCEQQLCACDKEVAFCLKRNLDTYQKRLRFYWRPHCRGQTPGC
+>DECOY_sp|Q9UNK4|PA2GD_HUMAN Group IID secretory phospholipase A2 OS=Homo sapiens OX=9606 GN=PLA2G2D PE=1 SV=2
+CGPTQGRCHPRWYFRLRKQYTDLNRKLCFAVEKDCACLQQECWSGKDSCHINGQSFNYRYYDKYISCGQTKLHDYCCDHTQCCWDTADKPQGRGGLGCHCGYPWYSLIPMKGTVQKVMKNLNLIGGQIPIVGAMVVLGCLLALEM
+>sp|O15496|PA2GX_HUMAN Group 10 secretory phospholipase A2 OS=Homo sapiens OX=9606 GN=PLA2G10 PE=1 SV=3
+MGPLPVCLPIMLLLLLPSLLLLLLLPGPGSGEASRILRVHRRGILELAGTVGCVGPRTPIAYMKYGCFCGLGGHGQPRDAIDWCCHGHDCCYTRAEEAGCSPKTERYSWQCVNQSVLCGPAENKCQELLCKCDQEIANCLAQTEYNLKYLFYPQFLCEPDSPKCD
+>DECOY_sp|O15496|PA2GX_HUMAN Group 10 secretory phospholipase A2 OS=Homo sapiens OX=9606 GN=PLA2G10 PE=1 SV=3
+DCKPSDPECLFQPYFLYKLNYETQALCNAIEQDCKCLLEQCKNEAPGCLVSQNVCQWSYRETKPSCGAEEARTYCCDHGHCCWDIADRPQGHGGLGCFCGYKMYAIPTRPGVCGVTGALELIGRRHVRLIRSAEGSGPGPLLLLLLLSPLLLLLMIPLCVPLPGM
+>sp|Q9BY11|PACN1_HUMAN Protein kinase C and casein kinase substrate in neurons protein 1 OS=Homo sapiens OX=9606 GN=PACSIN1 PE=1 SV=1
+MSSSYDEASLAPEETTDSFWEVGNYKRTVKRIDDGHRLCNDLMNCVQERAKIEKAYGQQLTDWAKRWRQLIEKGPQYGSLERAWGAIMTEADKVSELHQEVKNNLLNEDLEKVKNWQKDAYHKQIMGGFKETKEAEDGFRKAQKPWAKKMKELEAAKKAYHLACKEEKLAMTREMNSKTEQSVTPEQQKKLQDKVDKCKQDVQKTQEKYEKVLEDVGKTTPQYMENMEQVFEQCQQFEEKRLVFLKEVLLDIKRHLNLAENSSYIHVYRELEQAIRGADAQEDLRWFRSTSGPGMPMNWPQFEEWNPDLPHTTTKKEKQPKKAEGVALTNATGAVESTSQAGDRGSVSSYDRGQPYATEWSDDESGNPFGGSETNGGANPFEDDSKGVRVRALYDYDGQEQDELSFKAGDELTKLGEEDEQGWCRGRLDSGQLGLYPANYVEAI
+>DECOY_sp|Q9BY11|PACN1_HUMAN Protein kinase C and casein kinase substrate in neurons protein 1 OS=Homo sapiens OX=9606 GN=PACSIN1 PE=1 SV=1
+IAEVYNAPYLGLQGSDLRGRCWGQEDEEGLKTLEDGAKFSLEDQEQGDYDYLARVRVGKSDDEFPNAGGNTESGGFPNGSEDDSWETAYPQGRDYSSVSGRDGAQSTSEVAGTANTLAVGEAKKPQKEKKTTTHPLDPNWEEFQPWNMPMGPGSTSRFWRLDEQADAGRIAQELERYVHIYSSNEALNLHRKIDLLVEKLFVLRKEEFQQCQEFVQEMNEMYQPTTKGVDELVKEYKEQTKQVDQKCKDVKDQLKKQQEPTVSQETKSNMERTMALKEEKCALHYAKKAAELEKMKKAWPKQAKRFGDEAEKTEKFGGMIQKHYADKQWNKVKELDENLLNNKVEQHLESVKDAETMIAGWARELSGYQPGKEILQRWRKAWDTLQQGYAKEIKAREQVCNMLDNCLRHGDDIRKVTRKYNGVEWFSDTTEEPALSAEDYSSSM
+>sp|Q86VP3|PACS2_HUMAN Phosphofurin acidic cluster sorting protein 2 OS=Homo sapiens OX=9606 GN=PACS2 PE=1 SV=3
+MAERGRLGLPGAPGALNTPVPMNLFATWEVDGSSPSCVPRLCSLTLKKLVVFKELEKELISVVIAVKMQGSKRILRSHEIVLPPSGQVETDLALTFSLQYPHFLKREGNKLQIMLQRRKRYKNRTILGYKTLAAGSISMAEVMQHPSEGGQVLSLCSSIKEAPVKAAEIWIASLSSQPIDHEDSTMQAGPKAKSTDNYSEEEYESFSSEQEASDDAVQGQDLDEDDFDVGKPKKQRRSIVRTTSMTRQQNFKQKVVALLRRFKVSDEVLDSEQDPAEHIPEAEEDLDLLYDTLDMEHPSDSGPDMEDDDSVLSTPKPKLRPYFEGLSHSSSQTEIGSIHSARSHKEPPSPADVPEKTRSLGGRQPSDSVSDTVALGVPGPREHPGQPEDSPEAEASTLDVFTERLPPSGRITKTESLVIPSTRSEGKQAGRRGRSTSLKERQAARPQNERANSLDNERCPDARSQLQIPRKTVYDQLNHILISDDQLPENIILVNTSDWQGQFLSDVLQRHTLPVVCTCSPADVQAAFSTIVSRIQRYCNCNSQPPTPVKIAVAGAQHYLSAILRLFVEQLSHKTPDWLGYMRFLVIPLGSHPVARYLGSVDYRYNNFFQDLAWRDLFNKLEAQSAVQDTPDIVSRITQYIAGANCAHQLPIAEAMLTYKQKSPDEESSQKFIPFVGVVKVGIVEPSSATSGDSDDAAPSGSGTLSSTPPSASPAAKEASPTPPSSPSVSGGLSSPSQGVGAELMGLQVDYWTAAQPADRKRDAEKKDLPVTKNTLKCTFRSLQVSRLPSSGEAAATPTMSMTVVTKEKNKKVMFLPKKAKDKDVESKSQCIEGISRLICTARQQQNMLRVLIDGVECSDVKFFQLAAQWSSHVKHFPICIFGHSKATF
+>DECOY_sp|Q86VP3|PACS2_HUMAN Phosphofurin acidic cluster sorting protein 2 OS=Homo sapiens OX=9606 GN=PACS2 PE=1 SV=3
+FTAKSHGFICIPFHKVHSSWQAALQFFKVDSCEVGDILVRLMNQQQRATCILRSIGEICQSKSEVDKDKAKKPLFMVKKNKEKTVVTMSMTPTAAAEGSSPLRSVQLSRFTCKLTNKTVPLDKKEADRKRDAPQAATWYDVQLGMLEAGVGQSPSSLGGSVSPSSPPTPSAEKAAPSASPPTSSLTGSGSPAADDSDGSTASSPEVIGVKVVGVFPIFKQSSEEDPSKQKYTLMAEAIPLQHACNAGAIYQTIRSVIDPTDQVASQAELKNFLDRWALDQFFNNYRYDVSGLYRAVPHSGLPIVLFRMYGLWDPTKHSLQEVFLRLIASLYHQAGAVAIKVPTPPQSNCNCYRQIRSVITSFAAQVDAPSCTCVVPLTHRQLVDSLFQGQWDSTNVLIINEPLQDDSILIHNLQDYVTKRPIQLQSRADPCRENDLSNARENQPRAAQREKLSTSRGRRGAQKGESRTSPIVLSETKTIRGSPPLRETFVDLTSAEAEPSDEPQGPHERPGPVGLAVTDSVSDSPQRGGLSRTKEPVDAPSPPEKHSRASHISGIETQSSSHSLGEFYPRLKPKPTSLVSDDDEMDPGSDSPHEMDLTDYLLDLDEEAEPIHEAPDQESDLVEDSVKFRRLLAVVKQKFNQQRTMSTTRVISRRQKKPKGVDFDDEDLDQGQVADDSAEQESSFSEYEEESYNDTSKAKPGAQMTSDEHDIPQSSLSAIWIEAAKVPAEKISSCLSLVQGGESPHQMVEAMSISGAALTKYGLITRNKYRKRRQLMIQLKNGERKLFHPYQLSFTLALDTEVQGSPPLVIEHSRLIRKSGQMKVAIVVSILEKELEKFVVLKKLTLSCLRPVCSPSSGDVEWTAFLNMPVPTNLAGPAGPLGLRGREAM
+>sp|Q9UM07|PADI4_HUMAN Protein-arginine deiminase type-4 OS=Homo sapiens OX=9606 GN=PADI4 PE=1 SV=2
+MAQGTLIRVTPEQPTHAVCVLGTLTQLDICSSAPEDCTSFSINASPGVVVDIAHGPPAKKKSTGSSTWPLDPGVEVTLTMKVASGSTGDQKVQISYYGPKTPPVKALLYLTGVEISLCADITRTGKVKPTRAVKDQRTWTWGPCGQGAILLVNCDRDNLESSAMDCEDDEVLDSEDLQDMSLMTLSTKTPKDFFTNHTLVLHVARSEMDKVRVFQATRGKLSSKCSVVLGPKWPSHYLMVPGGKHNMDFYVEALAFPDTDFPGLITLTISLLDTSNLELPEAVVFQDSVVFRVAPWIMTPNTQPPQEVYACSIFENEDFLKSVTTLAMKAKCKLTICPEEENMDDQWMQDEMEIGYIQAPHKTLPVVFDSPRNRGLKEFPIKRVMGPDFGYVTRGPQTGGISGLDSFGNLEVSPPVTVRGKEYPLGRILFGDSCYPSNDSRQMHQALQDFLSAQQVQAPVKLYSDWLSVGHVDEFLSFVPAPDRKGFRLLLASPRSCYKLFQEQQNEGHGEALLFEGIKKKKQQKIKNILSNKTLREHNSFVERCIDWNRELLKRELGLAESDIIDIPQLFKLKEFSKAEAFFPNMVNMLVLGKHLGIPKPFGPVINGRCCLEEKVCSLLEPLGLQCTFINDFFTYHIRHGEVHCGTNVRRKPFSFKWWNMVP
+>DECOY_sp|Q9UM07|PADI4_HUMAN Protein-arginine deiminase type-4 OS=Homo sapiens OX=9606 GN=PADI4 PE=1 SV=2
+PVMNWWKFSFPKRRVNTGCHVEGHRIHYTFFDNIFTCQLGLPELLSCVKEELCCRGNIVPGFPKPIGLHKGLVLMNVMNPFFAEAKSFEKLKFLQPIDIIDSEALGLERKLLERNWDICREVFSNHERLTKNSLINKIKQQKKKKIGEFLLAEGHGENQQEQFLKYCSRPSALLLRFGKRDPAPVFSLFEDVHGVSLWDSYLKVPAQVQQASLFDQLAQHMQRSDNSPYCSDGFLIRGLPYEKGRVTVPPSVELNGFSDLGSIGGTQPGRTVYGFDPGMVRKIPFEKLGRNRPSDFVVPLTKHPAQIYGIEMEDQMWQDDMNEEEPCITLKCKAKMALTTVSKLFDENEFISCAYVEQPPQTNPTMIWPAVRFVVSDQFVVAEPLELNSTDLLSITLTILGPFDTDPFALAEVYFDMNHKGGPVMLYHSPWKPGLVVSCKSSLKGRTAQFVRVKDMESRAVHLVLTHNTFFDKPTKTSLTMLSMDQLDESDLVEDDECDMASSELNDRDCNVLLIAGQGCPGWTWTRQDKVARTPKVKGTRTIDACLSIEVGTLYLLAKVPPTKPGYYSIQVKQDGTSGSAVKMTLTVEVGPDLPWTSSGTSKKKAPPGHAIDVVVGPSANISFSTCDEPASSCIDLQTLTGLVCVAHTPQEPTVRILTGQAM
+>sp|P09466|PAEP_HUMAN Glycodelin OS=Homo sapiens OX=9606 GN=PAEP PE=1 SV=2
+MLCLLLTLGVALVCGVPAMDIPQTKQDLELPKLAGTWHSMAMATNNISLMATLKAPLRVHITSLLPTPEDNLEIVLHRWENNSCVEKKVLGEKTENPKKFKINYTVANEATLLDTDYDNFLFLCLQDTTTPIQSMMCQYLARVLVEDDEIMQGFIRAFRPLPRHLWYLLDLKQMEEPCRF
+>DECOY_sp|P09466|PAEP_HUMAN Glycodelin OS=Homo sapiens OX=9606 GN=PAEP PE=1 SV=2
+FRCPEEMQKLDLLYWLHRPLPRFARIFGQMIEDDEVLVRALYQCMMSQIPTTTDQLCLFLFNDYDTDLLTAENAVTYNIKFKKPNETKEGLVKKEVCSNNEWRHLVIELNDEPTPLLSTIHVRLPAKLTAMLSINNTAMAMSHWTGALKPLELDQKTQPIDMAPVGCVLAVGLTLLLCLM
+>sp|Q99487|PAFA2_HUMAN Platelet-activating factor acetylhydrolase 2, cytoplasmic OS=Homo sapiens OX=9606 GN=PAFAH2 PE=1 SV=1
+MGVNQSVGFPPVTGPHLVGCGDVMEGQNLQGSFFRLFYPCQKAEETMEQPLWIPRYEYCTGLAEYLQFNKRCGGLLFNLAVGSCRLPVSWNGPFKTKDSGYPLIIFSHGLGAFRTLYSAFCMELASRGFVVAVPEHRDRSAATTYFCKQAPEENQPTNESLQEEWIPFRRVEEGEKEFHVRNPQVHQRVSECLRVLKILQEVTAGQTVFNILPGGLDLMTLKGNIDMSRVAVMGHSFGGATAILALAKETQFRCAVALDAWMFPLERDFYPKARGPVFFINTEKFQTMESVNLMKKICAQHEQSRIITVLGSVHRSQTDFAFVTGNLIGKFFSTETRGSLDPYEGQEVMVRAMLAFLQKHLDLKEDYNQWNNLIEGIGPSLTPGAPHHLSSL
+>DECOY_sp|Q99487|PAFA2_HUMAN Platelet-activating factor acetylhydrolase 2, cytoplasmic OS=Homo sapiens OX=9606 GN=PAFAH2 PE=1 SV=1
+LSSLHHPAGPTLSPGIGEILNNWQNYDEKLDLHKQLFALMARVMVEQGEYPDLSGRTETSFFKGILNGTVFAFDTQSRHVSGLVTIIRSQEHQACIKKMLNVSEMTQFKETNIFFVPGRAKPYFDRELPFMWADLAVACRFQTEKALALIATAGGFSHGMVAVRSMDINGKLTMLDLGGPLINFVTQGATVEQLIKLVRLCESVRQHVQPNRVHFEKEGEEVRRFPIWEEQLSENTPQNEEPAQKCFYTTAASRDRHEPVAVVFGRSALEMCFASYLTRFAGLGHSFIILPYGSDKTKFPGNWSVPLRCSGVALNFLLGGCRKNFQLYEALGTCYEYRPIWLPQEMTEEAKQCPYFLRFFSGQLNQGEMVDGCGVLHPGTVPPFGVSQNVGM
+>sp|Q7Z2X7|PAGE2_HUMAN P antigen family member 2 OS=Homo sapiens OX=9606 GN=PAGE2 PE=1 SV=1
+MSELLRARSQSSERGNDQESSQPVGSVIVQEPTEEKRQEEEPPTDNQGIAPSGEIENQAVPAFQGPDMEAFQQELALLKIEDEPGDGPDVREGIMPTFDLTKVLEAGDAQP
+>DECOY_sp|Q7Z2X7|PAGE2_HUMAN P antigen family member 2 OS=Homo sapiens OX=9606 GN=PAGE2 PE=1 SV=1
+PQADGAELVKTLDFTPMIGERVDPGDGPEDEIKLLALEQQFAEMDPGQFAPVAQNEIEGSPAIGQNDTPPEEEQRKEETPEQVIVSGVPQSSEQDNGRESSQSRARLLESM
+>sp|Q96IV0|NGLY1_HUMAN Peptide-N(4)-(N-acetyl-beta-glucosaminyl)asparagine amidase OS=Homo sapiens OX=9606 GN=NGLY1 PE=1 SV=1
+MAAAALGSSSGSASPAVAELCQNTPETFLEASKLLLTYADNILRNPNDEKYRSIRIGNTAFSTRLLPVRGAVECLFEMGFEEGETHLIFPKKASVEQLQKIRDLIAIERSSRLDGSNKSHKVKSSQQPAASTQLPTTPSSNPSGLNQHTRNRQGQSSDPPSASTVAADSAILEVLQSNIQHVLVYENPALQEKALACIPVQELKRKSQEKLSRARKLDKGINISDEDFLLLELLHWFKEEFFHWVNNVLCSKCGGQTRSRDRSLLPSDDELKWGAKEVEDHYCDACQFSNRFPRYNNPEKLLETRCGRCGEWANCFTLCCRAVGFEARYVWDYTDHVWTEVYSPSQQRWLHCDACEDVCDKPLLYEIGWGKKLSYVIAFSKDEVVDVTWRYSCKHEEVIARRTKVKEALLRDTINGLNKQRQLFLSENRRKELLQRIIVELVEFISPKTPKPGELGGRISGSVAWRVARGEMGLQRKETLFIPCENEKISKQLHLCYNIVKDRYVRVSNNNQTISGWENGVWKMESIFRKVETDWHMVYLARKEGSSFAYISWKFECGSVGLKVDSISIRTSSQTFQTGTVEWKLRSDTAQVELTGDNSLHSYADFSGATEVILEAELSRGDGDVAWQHTQLFRQSLNDHEENCLEIIIKFSDL
+>DECOY_sp|Q96IV0|NGLY1_HUMAN Peptide-N(4)-(N-acetyl-beta-glucosaminyl)asparagine amidase OS=Homo sapiens OX=9606 GN=NGLY1 PE=1 SV=1
+LDSFKIIIELCNEEHDNLSQRFLQTHQWAVDGDGRSLEAELIVETAGSFDAYSHLSNDGTLEVQATDSRLKWEVTGTQFTQSSTRISISDVKLGVSGCEFKWSIYAFSSGEKRALYVMHWDTEVKRFISEMKWVGNEWGSITQNNNSVRVYRDKVINYCLHLQKSIKENECPIFLTEKRQLGMEGRAVRWAVSGSIRGGLEGPKPTKPSIFEVLEVIIRQLLEKRRNESLFLQRQKNLGNITDRLLAEKVKTRRAIVEEHKCSYRWTVDVVEDKSFAIVYSLKKGWGIEYLLPKDCVDECADCHLWRQQSPSYVETWVHDTYDWVYRAEFGVARCCLTFCNAWEGCRGCRTELLKEPNNYRPFRNSFQCADCYHDEVEKAGWKLEDDSPLLSRDRSRTQGGCKSCLVNNVWHFFEEKFWHLLELLLFDEDSINIGKDLKRARSLKEQSKRKLEQVPICALAKEQLAPNEYVLVHQINSQLVELIASDAAVTSASPPDSSQGQRNRTHQNLGSPNSSPTTPLQTSAAPQQSSKVKHSKNSGDLRSSREIAILDRIKQLQEVSAKKPFILHTEGEEFGMEFLCEVAGRVPLLRTSFATNGIRISRYKEDNPNRLINDAYTLLLKSAELFTEPTNQCLEAVAPSASGSSSGLAAAAM
+>sp|Q92886|NGN1_HUMAN Neurogenin-1 OS=Homo sapiens OX=9606 GN=NEUROG1 PE=1 SV=2
+MPARLETCISDLDCASSSGSDLSGFLTDEEDCARLQQAASASGPPAPARRGAPNISRASEVPGAQDDEQERRRRRGRTRVRSEALLHSLRRSRRVKANDRERNRMHNLNAALDALRSVLPSFPDDTKLTKIETLRFAYNYIWALAETLRLADQGLPGGGARERLLPPQCVPCLPGPPSPASDAESWGSGAAAASPLSDPSSPAASEDFTYRPGDPVFSFPSLPKDLLHTTPCFIPYH
+>DECOY_sp|Q92886|NGN1_HUMAN Neurogenin-1 OS=Homo sapiens OX=9606 GN=NEUROG1 PE=1 SV=2
+HYPIFCPTTHLLDKPLSPFSFVPDGPRYTFDESAAPSSPDSLPSAAAAGSGWSEADSAPSPPGPLCPVCQPPLLRERAGGGPLGQDALRLTEALAWIYNYAFRLTEIKTLKTDDPFSPLVSRLADLAANLNHMRNRERDNAKVRRSRRLSHLLAESRVRTRGRRRRREQEDDQAGPVESARSINPAGRRAPAPPGSASAAQQLRACDEEDTLFGSLDSGSSSACDLDSICTELRAPM
+>sp|Q92982|NINJ1_HUMAN Ninjurin-1 OS=Homo sapiens OX=9606 GN=NINJ1 PE=1 SV=2
+MDSGTEEYELNGGLPPGTPGSPDASPARWGWRHGPINVNHYASKKSAAESMLDIALLMANASQLKAVVEQGPSFAFYVPLVVLISISLVLQIGVGVLLIFLVKYDLNNPAKHAKLDFLNNLATGLVFIIVVVNIFITAFGVQKPLMDMAPQQ
+>DECOY_sp|Q92982|NINJ1_HUMAN Ninjurin-1 OS=Homo sapiens OX=9606 GN=NINJ1 PE=1 SV=2
+QQPAMDMLPKQVGFATIFINVVVIIFVLGTALNNLFDLKAHKAPNNLDYKVLFILLVGVGIQLVLSISILVVLPVYFAFSPGQEVVAKLQSANAMLLAIDLMSEAASKKSAYHNVNIPGHRWGWRAPSADPSGPTGPPLGGNLEYEETGSDM
+>sp|Q86WB0|NIPA_HUMAN Nuclear-interacting partner of ALK OS=Homo sapiens OX=9606 GN=ZC3HC1 PE=1 SV=1
+MAAPCEGQAFAVGVEKNWGAVVRSPEGTPQKIRQLIDEGIAPEEGGVDAKDTSATSQSVNGSPQAEQPSLESTSKEAFFSRVETFSSLKWAGKPFELSPLVCAKYGWVTVECDMLKCSSCQAFLCASLQPAFDFDRYKQRCAELKKALCTAHEKFCFWPDSPSPDRFGMLPLDEPAILVSEFLDRFQSLCHLDLQLPSLRPEDLKTMCLTEDKISLLLHLLEDELDHRTDERKTTIKLGSDIQVHVTACILSVCGWACSSSLESMQLSLITCSQCMRKVGLWGFQQIESSMTDLDASFGLTSSPIPGLEGRPERLPLVPESPRRMMTRSQDATFSPGSEQAEKSPGPIVSRTRSWDSSSPVDRPEPEAASPTTRTRPVTRSMGTGDTPGLEVPSSPLRKAKRARLCSSSSSDTSSRSFFDPTSQHRDWCPWVNITLGKESRENGGTEPDASAPAEPGWKAVLTILLAHKQSSQPAETDSMSLSEKSRKVFRIFRQWESLCSC
+>DECOY_sp|Q86WB0|NIPA_HUMAN Nuclear-interacting partner of ALK OS=Homo sapiens OX=9606 GN=ZC3HC1 PE=1 SV=1
+CSCLSEWQRFIRFVKRSKESLSMSDTEAPQSSQKHALLITLVAKWGPEAPASADPETGGNERSEKGLTINVWPCWDRHQSTPDFFSRSSTDSSSSSCLRARKAKRLPSSPVELGPTDGTGMSRTVPRTRTTPSAAEPEPRDVPSSSDWSRTRSVIPGPSKEAQESGPSFTADQSRTMMRRPSEPVLPLREPRGELGPIPSSTLGFSADLDTMSSEIQQFGWLGVKRMCQSCTILSLQMSELSSSCAWGCVSLICATVHVQIDSGLKITTKREDTRHDLEDELLHLLLSIKDETLCMTKLDEPRLSPLQLDLHCLSQFRDLFESVLIAPEDLPLMGFRDPSPSDPWFCFKEHATCLAKKLEACRQKYRDFDFAPQLSACLFAQCSSCKLMDCEVTVWGYKACVLPSLEFPKGAWKLSSFTEVRSFFAEKSTSELSPQEAQPSGNVSQSTASTDKADVGGEEPAIGEDILQRIKQPTGEPSRVVAGWNKEVGVAFAQGECPAAM
+>sp|Q6KC79|NIPBL_HUMAN Nipped-B-like protein OS=Homo sapiens OX=9606 GN=NIPBL PE=1 SV=2
+MNGDMPHVPITTLAGIASLTDLLNQLPLPSPLPATTTKSLLFNARIAEEVNCLLACRDDNLVSQLVHSLNQVSTDHIELKDNLGSDDPEGDIPVLLQAVLARSPNVFREKSMQNRYVQSGMMMSQYKLSQNSMHSSPASSNYQQTTISHSPSSRFVPPQTSSGNRFMPQQNSPVPSPYAPQSPAGYMPYSHPSSYTTHPQMQQASVSSPIVAGGLRNIHDNKVSGPLSGNSANHHADNPRHGSSEDYLHMVHRLSSDDGDSSTMRNAASFPLRSPQPVCSPAGSEGTPKGSRPPLILQSQSLPCSSPRDVPPDILLDSPERKQKKQKKMKLGKDEKEQSEKAAMYDIISSPSKDSTKLTLRLSRVRSSDMDQQEDMISGVENSNVSENDIPFNVQYPGQTSKTPITPQDINRPLNAAQCLSQQEQTAFLPANQVPVLQQNTSVAAKQPQTSVVQNQQQISQQGPIYDEVELDALAEIERIERESAIERERFSKEVQDKDKPLKKRKQDSYPQEAGGATGGNRPASQETGSTGNGSRPALMVSIDLHQAGRVDSQASITQDSDSIKKPEEIKQCNDAPVSVLQEDIVGSLKSTPENHPETPKKKSDPELSKSEMKQSESRLAESKPNENRLVETKSSENKLETKVETQTEELKQNESRTTECKQNESTIVEPKQNENRLSDTKPNDNKQNNGRSETTKSRPETPKQKGESRPETPKQKSDGHPETPKQKGDGRPETPKQKGESRPETPKQKNEGRPETPKHRHDNRRDSGKPSTEKKPEVSKHKQDTKSDSPRLKSERAEALKQRPDGRSVSESLRRDHDNKQKSDDRGESERHRGDQSRVRRPETLRSSSRNEHGIKSDSSKTDKLERKHRHESGDSRERPSSGEQKSRPDSPRVKQGDSNKSRSDKLGFKSPTSKDDKRTEGNKSKVDTNKAHPDNKAEFPSYLLGGRSGALKNFVIPKIKRDKDGNVTQETKKMEMKGEPKDKVEKIGLVEDLNKGAKPVVVLQKLSLDDVQKLIKDREDKSRSSLKPIKNKPSKSNKGSIDQSVLKELPPELLAEIESTMPLCERVKMNKRKRSTVNEKPKYAEISSDEDNDSDEAFESSRKRHKKDDDKAWEYEERDRRSSGDHRRSGHSHEGRRSSGGGRYRNRSPSDSDMEDYSPPPSLSEVARKMKKKEKQKKRKAYEPKLTPEEMMDSSTFKRFTASIENILDNLEDMDFTAFGDDDEIPQELLLGKHQLNELGSESAKIKAMGIMDKLSTDKTVKVLNILEKNIQDGSKLSTLLNHNNDTEEEERLWRDLIMERVTKSADACLTTINIMTSPNMPKAVYIEDVIERVIQYTKFHLQNTLYPQYDPVYRLDPHGGGLLSSKAKRAKCSTHKQRVIVMLYNKVCDIVSSLSELLEIQLLTDTTILQVSSMGITPFFVENVSELQLCAIKLVTAVFSRYEKHRQLILEEIFTSLARLPTSKRSLRNFRLNSSDMDGEPMYIQMVTALVLQLIQCVVHLPSSEKDSNAEEDSNKKIDQDVVITNSYETAMRTAQNFLSIFLKKCGSKQGEEDYRPLFENFVQDLLSTVNKPEWPAAELLLSLLGRLLVHQFSNKSTEMALRVASLDYLGTVAARLRKDAVTSKMDQGSIERILKQVSGGEDEIQQLQKALLDYLDENTETDPSLVFSRKFYIAQWFRDTTLETEKAMKSQKDEESSEGTHHAKEIETTGQIMHRAENRKKFLRSIIKTTPSQFSTLKMNSDTVDYDDACLIVRYLASMRPFAQSFDIYLTQILRVLGENAIAVRTKAMKCLSEVVAVDPSILARLDMQRGVHGRLMDNSTSVREAAVELLGRFVLCRPQLAEQYYDMLIERILDTGISVRKRVIKILRDICIEQPTFPKITEMCVKMIRRVNDEEGIKKLVNETFQKLWFTPTPHNDKEAMTRKILNITDVVAACRDTGYDWFEQLLQNLLKSEEDSSYKPVKKACTQLVDNLVEHILKYEESLADSDNKGVNSGRLVACITTLFLFSKIRPQLMVKHAMTMQPYLTTKCSTQNDFMVICNVAKILELVVPLMEHPSETFLATIEEDLMKLIIKYGMTVVQHCVSCLGAVVNKVTQNFKFVWACFNRYYGAISKLKSQHQEDPNNTSLLTNKPALLRSLFTVGALCRHFDFDLEDFKGNSKVNIKDKVLELLMYFTKHSDEEVQTKAIIGLGFAFIQHPSLMFEQEVKNLYNNILSDKNSSVNLKIQVLKNLQTYLQEEDTRMQQADRDWKKVAKQEDLKEMGDVSSGMSSSIMQLYLKQVLEAFFHTQSSVRHFALNVIALTLNQGLIHPVQCVPYLIAMGTDPEPAMRNKADQQLVEIDKKYAGFIHMKAVAGMKMSYQVQQAINTCLKDPVRGFRQDESSSALCSHLYSMIRGNRQHRRAFLISLLNLFDDTAKTDVTMLLYIADNLACFPYQTQEEPLFIMHHIDITLSVSGSNLLQSFKESMVKDKRKERKSSPSKENESSDSEEEVSRPRKSRKRVDSDSDSDSEDDINSVMKCLPENSAPLIEFANVSQGILLLLMLKQHLKNLCGFSDSKIQKYSPSESAKVYDKAINRKTGVHFHPKQTLDFLRSDMANSKITEEVKRSIVKQYLDFKLLMEHLDPDEEEEEGEVSASTNARNKAITSLLGGGSPKNNTAAETEDDESDGEDRGGGTSGSLRRSKRNSDSTELAAQMNESVDVMDVIAICCPKYKDRPQIARVVQKTSSGFSVQWMAGSYSGSWTEAKRRDGRKLVPWVDTIKESDIIYKKIALTSANKLTNKVVQTLRSLYAAKDGTSS
+>DECOY_sp|Q6KC79|NIPBL_HUMAN Nipped-B-like protein OS=Homo sapiens OX=9606 GN=NIPBL PE=1 SV=2
+SSTGDKAAYLSRLTQVVKNTLKNASTLAIKKYIIDSEKITDVWPVLKRGDRRKAETWSGSYSGAMWQVSFGSSTKQVVRAIQPRDKYKPCCIAIVDMVDVSENMQAALETSDSNRKSRRLSGSTGGGRDEGDSEDDETEAATNNKPSGGGLLSTIAKNRANTSASVEGEEEEEDPDLHEMLLKFDLYQKVISRKVEETIKSNAMDSRLFDLTQKPHFHVGTKRNIAKDYVKASESPSYKQIKSDSFGCLNKLHQKLMLLLLIGQSVNAFEILPASNEPLCKMVSNIDDESDSDSDSDVRKRSKRPRSVEEESDSSENEKSPSSKREKRKDKVMSEKFSQLLNSGSVSLTIDIHHMIFLPEEQTQYPFCALNDAIYLLMTVDTKATDDFLNLLSILFARRHQRNGRIMSYLHSCLASSSEDQRFGRVPDKLCTNIAQQVQYSMKMGAVAKMHIFGAYKKDIEVLQQDAKNRMAPEPDTGMAILYPVCQVPHILGQNLTLAIVNLAFHRVSSQTHFFAELVQKLYLQMISSSMGSSVDGMEKLDEQKAVKKWDRDAQQMRTDEEQLYTQLNKLVQIKLNVSSNKDSLINNYLNKVEQEFMLSPHQIFAFGLGIIAKTQVEEDSHKTFYMLLELVKDKINVKSNGKFDELDFDFHRCLAGVTFLSRLLAPKNTLLSTNNPDEQHQSKLKSIAGYYRNFCAWVFKFNQTVKNVVAGLCSVCHQVVTMGYKIILKMLDEEITALFTESPHEMLPVVLELIKAVNCIVMFDNQTSCKTTLYPQMTMAHKVMLQPRIKSFLFLTTICAVLRGSNVGKNDSDALSEEYKLIHEVLNDVLQTCAKKVPKYSSDEESKLLNQLLQEFWDYGTDRCAAVVDTINLIKRTMAEKDNHPTPTFWLKQFTENVLKKIGEEDNVRRIMKVCMETIKPFTPQEICIDRLIKIVRKRVSIGTDLIREILMDYYQEALQPRCLVFRGLLEVAAERVSTSNDMLRGHVGRQMDLRALISPDVAVVESLCKMAKTRVAIANEGLVRLIQTLYIDFSQAFPRMSALYRVILCADDYDVTDSNMKLTSFQSPTTKIISRLFKKRNEARHMIQGTTEIEKAHHTGESSEEDKQSKMAKETELTTDRFWQAIYFKRSFVLSPDTETNEDLYDLLAKQLQQIEDEGGSVQKLIREISGQDMKSTVADKRLRAAVTGLYDLSAVRLAMETSKNSFQHVLLRGLLSLLLEAAPWEPKNVTSLLDQVFNEFLPRYDEEGQKSGCKKLFISLFNQATRMATEYSNTIVVDQDIKKNSDEEANSDKESSPLHVVCQILQLVLATVMQIYMPEGDMDSSNLRFNRLSRKSTPLRALSTFIEELILQRHKEYRSFVATVLKIACLQLESVNEVFFPTIGMSSVQLITTDTLLQIELLESLSSVIDCVKNYLMVIVRQKHTSCKARKAKSSLLGGGHPDLRYVPDYQPYLTNQLHFKTYQIVREIVDEIYVAKPMNPSTMINITTLCADASKTVREMILDRWLREEEETDNNHNLLTSLKSGDQINKELINLVKVTKDTSLKDMIGMAKIKASESGLENLQHKGLLLEQPIEDDDGFATFDMDELNDLINEISATFRKFTSSDMMEEPTLKPEYAKRKKQKEKKKMKRAVESLSPPPSYDEMDSDSPSRNRYRGGGSSRRGEHSHGSRRHDGSSRRDREEYEWAKDDDKKHRKRSSEFAEDSDNDEDSSIEAYKPKENVTSRKRKNMKVRECLPMTSEIEALLEPPLEKLVSQDISGKNSKSPKNKIPKLSSRSKDERDKILKQVDDLSLKQLVVVPKAGKNLDEVLGIKEVKDKPEGKMEMKKTEQTVNGDKDRKIKPIVFNKLAGSRGGLLYSPFEAKNDPHAKNTDVKSKNGETRKDDKSTPSKFGLKDSRSKNSDGQKVRPSDPRSKQEGSSPRERSDGSEHRHKRELKDTKSSDSKIGHENRSSSRLTEPRRVRSQDGRHRESEGRDDSKQKNDHDRRLSESVSRGDPRQKLAEARESKLRPSDSKTDQKHKSVEPKKETSPKGSDRRNDHRHKPTEPRGENKQKPTEPRSEGKQKPTEPRGDGKQKPTEPHGDSKQKPTEPRSEGKQKPTEPRSKTTESRGNNQKNDNPKTDSLRNENQKPEVITSENQKCETTRSENQKLEETQTEVKTELKNESSKTEVLRNENPKSEALRSESQKMESKSLEPDSKKKPTEPHNEPTSKLSGVIDEQLVSVPADNCQKIEEPKKISDSDQTISAQSDVRGAQHLDISVMLAPRSGNGTSGTEQSAPRNGGTAGGAEQPYSDQKRKKLPKDKDQVEKSFREREIASEREIREIEALADLEVEDYIPGQQSIQQQNQVVSTQPQKAAVSTNQQLVPVQNAPLFATQEQQSLCQAANLPRNIDQPTIPTKSTQGPYQVNFPIDNESVNSNEVGSIMDEQQDMDSSRVRSLRLTLKTSDKSPSSIIDYMAAKESQEKEDKGLKMKKQKKQKREPSDLLIDPPVDRPSSCPLSQSQLILPPRSGKPTGESGAPSCVPQPSRLPFSAANRMTSSDGDDSSLRHVMHLYDESSGHRPNDAHHNASNGSLPGSVKNDHINRLGGAVIPSSVSAQQMQPHTTYSSPHSYPMYGAPSQPAYPSPVPSNQQPMFRNGSSTQPPVFRSSPSHSITTQQYNSSAPSSHMSNQSLKYQSMMMGSQVYRNQMSKERFVNPSRALVAQLLVPIDGEPDDSGLNDKLEIHDTSVQNLSHVLQSVLNDDRCALLCNVEEAIRANFLLSKTTTAPLPSPLPLQNLLDTLSAIGALTTIPVHPMDGNM
+>sp|Q9NQR4|NIT2_HUMAN Omega-amidase NIT2 OS=Homo sapiens OX=9606 GN=NIT2 PE=1 SV=1
+MTSFRLALIQLQISSIKSDNVTRACSFIREAATQGAKIVSLPECFNSPYGAKYFPEYAEKIPGESTQKLSEVAKECSIYLIGGSIPEEDAGKLYNTCAVFGPDGTLLAKYRKIHLFDIDVPGKITFQESKTLSPGDSFSTFDTPYCRVGLGICYDMRFAELAQIYAQRGCQLLVYPGAFNLTTGPAHWELLQRSRAVDNQVYVATASPARDDKASYVAWGHSTVVNPWGEVLAKAGTEEAIVYSDIDLKKLAEIRQQIPVFRQKRSDLYAVEMKKP
+>DECOY_sp|Q9NQR4|NIT2_HUMAN Omega-amidase NIT2 OS=Homo sapiens OX=9606 GN=NIT2 PE=1 SV=1
+PKKMEVAYLDSRKQRFVPIQQRIEALKKLDIDSYVIAEETGAKALVEGWPNVVTSHGWAVYSAKDDRAPSATAVYVQNDVARSRQLLEWHAPGTTLNFAGPYVLLQCGRQAYIQALEAFRMDYCIGLGVRCYPTDFTSFSDGPSLTKSEQFTIKGPVDIDFLHIKRYKALLTGDPGFVACTNYLKGADEEPISGGILYISCEKAVESLKQTSEGPIKEAYEPFYKAGYPSNFCEPLSVIKAGQTAAERIFSCARTVNDSKISSIQLQILALRFSTM
+>sp|Q5VXU1|NKAI2_HUMAN Sodium/potassium-transporting ATPase subunit beta-1-interacting protein 2 OS=Homo sapiens OX=9606 GN=NKAIN2 PE=2 SV=1
+MGYCSGRCTLIFICGMQLVCVLERQIFDFLGYQWAPILANFVHIIIVILGLFGTIQYRPRYITGYAVWLVLWVTWNVFVICFYLEAGDLSKETDLILTFNISMHRSWWMENGPGCTVTSVTPAPDWAPEDHRYITVSGCLLEYQYIEVAHSSLQIVLALAGFIYACYVVKCITEEEDSFDFIGGFDSYGYQGPQKTSHLQLQPMYMSK
+>DECOY_sp|Q5VXU1|NKAI2_HUMAN Sodium/potassium-transporting ATPase subunit beta-1-interacting protein 2 OS=Homo sapiens OX=9606 GN=NKAIN2 PE=2 SV=1
+KSMYMPQLQLHSTKQPGQYGYSDFGGIFDFSDEEETICKVVYCAYIFGALALVIQLSSHAVEIYQYELLCGSVTIYRHDEPAWDPAPTVSTVTCGPGNEMWWSRHMSINFTLILDTEKSLDGAELYFCIVFVNWTVWLVLWVAYGTIYRPRYQITGFLGLIVIIIHVFNALIPAWQYGLFDFIQRELVCVLQMGCIFILTCRGSCYGM
+>sp|Q6ZUT1|NKAP1_HUMAN Uncharacterized protein NKAPD1 OS=Homo sapiens OX=9606 GN=NKAPD1 PE=1 SV=2
+MSRIPLGKVLLRNVIRHTDAHNKIQEESDMWKIRELEKQMEDAYRGTKRKMLPSSSSRMRSDGFDEESQRYYWRPKNEISGTLEDDFLKAKSWNKKFYDYEANMPDRWGHSGYKELYPEEFETDSDQQDITNGKKTSPQVKSSTHESRKHKKSKKSHKKKQKKRSHKKQKKSKKEATDITADSSSEFSEETGASGTRKGKQPHKRKKKSRKKSLKKPALFLEAESNTSHSDDSASSSSEESEERDTKKTKRKKREKKAHTSVANNEIQERTNKRTNWKVATDERSAESSEDD
+>DECOY_sp|Q6ZUT1|NKAP1_HUMAN Uncharacterized protein NKAPD1 OS=Homo sapiens OX=9606 GN=NKAPD1 PE=1 SV=2
+DDESSEASREDTAVKWNTRKNTREQIENNAVSTHAKKERKKRKTKKTDREESEESSSSASDDSHSTNSEAELFLAPKKLSKKRSKKKRKHPQKGKRTGSAGTEESFESSSDATIDTAEKKSKKQKKHSRKKQKKKHSKKSKKHKRSEHTSSKVQPSTKKGNTIDQQDSDTEFEEPYLEKYGSHGWRDPMNAEYDYFKKNWSKAKLFDDELTGSIENKPRWYYRQSEEDFGDSRMRSSSSPLMKRKTGRYADEMQKELERIKWMDSEEQIKNHADTHRIVNRLLVKGLPIRSM
+>sp|O43908|NKG2F_HUMAN NKG2-F type II integral membrane protein OS=Homo sapiens OX=9606 GN=KLRC4 PE=2 SV=2
+MNKQRGTYSEVSLAQDPKRQQRKLKGNKISISGTKQEIFQVELNLQNASSDHQGNDKTYHCKGLLPPPEKLTAEVLGIICIVLMATVLKTIVLIPCIGVLEQNNFSLNRRMQKARHCGHCPEEWITYSNSCYYIGKERRTWEERVCWPVLRRTLICFL
+>DECOY_sp|O43908|NKG2F_HUMAN NKG2-F type II integral membrane protein OS=Homo sapiens OX=9606 GN=KLRC4 PE=2 SV=2
+LFCILTRRLVPWCVREEWTRREKGIYYCSNSYTIWEEPCHGCHRAKQMRRNLSFNNQELVGICPILVITKLVTAMLVICIIGLVEATLKEPPPLLGKCHYTKDNGQHDSSANQLNLEVQFIEQKTGSISIKNGKLKRQQRKPDQALSVESYTGRQKNM
+>sp|Q17RQ9|NKPD1_HUMAN NTPase KAP family P-loop domain-containing protein 1 OS=Homo sapiens OX=9606 GN=NKPD1 PE=2 SV=1
+MQQEAAQRESEELQHVQWRPRAVSGWGVPQLLWYLVFLQPIITEVHLRRRNVQFLFIRFSAWQYAGTDKLWAGLVTTLCEGIRRHYGALPFSVYSVLGNKPATRQDCCQSEWHCRRRVCLGLLALLAALGLGVGLLYLSLGGHALGHGSPSGSLLKVFGGAATTLSGSGLLMAVYSVGKHLFVSQRKKIERLVSREKFGSQLGFMCEVKKEVELLTDFLCFLEIYQRRRLRVVLEVTGLDTCYPERVVGVLNAINTLLSDSHAPFIFILVVDPSILAACLESAGNMKGTADNGYLFLNRTVTLPFSVPIMGRRTKLQFLHDAVQSRDDLLYREMTRKPWLPGDAGGESAQLLAVQAQAGTERGQGRIDDEAARRIQEALFCLHDERDCLYEYVPDNVVSMRRIVNTVPITVRLLQQQQQQGDFGGPTPRQAVAWVVLANQWPCRLSWALQCLEDRQQTGGAPEGRARLWDVFRDNSRELHTMTKALQNVLDLDGDPELFERFLGADFPFTVAEAQSLLRCTVNLDHSIRRRMGLIRAVSALKPPSPPKSPTRDTPHAAHRANSASRAPPSGRASGQAGEGHHTGDLAHRGKLWPVACALFRPGQSSPGGP
+>DECOY_sp|Q17RQ9|NKPD1_HUMAN NTPase KAP family P-loop domain-containing protein 1 OS=Homo sapiens OX=9606 GN=NKPD1 PE=2 SV=1
+PGGPSSQGPRFLACAVPWLKGRHALDGTHHGEGAQGSARGSPPARSASNARHAAHPTDRTPSKPPSPPKLASVARILGMRRRISHDLNVTCRLLSQAEAVTFPFDAGLFREFLEPDGDLDLVNQLAKTMTHLERSNDRFVDWLRARGEPAGGTQQRDELCQLAWSLRCPWQNALVVWAVAQRPTPGGFDGQQQQQQLLRVTIPVTNVIRRMSVVNDPVYEYLCDREDHLCFLAEQIRRAAEDDIRGQGRETGAQAQVALLQASEGGADGPLWPKRTMERYLLDDRSQVADHLFQLKTRRGMIPVSFPLTVTRNLFLYGNDATGKMNGASELCAALISPDVVLIFIFPAHSDSLLTNIANLVGVVREPYCTDLGTVELVVRLRRRQYIELFCLFDTLLEVEKKVECMFGLQSGFKERSVLREIKKRQSVFLHKGVSYVAMLLGSGSLTTAAGGFVKLLSGSPSGHGLAHGGLSLYLLGVGLGLAALLALLGLCVRRRCHWESQCCDQRTAPKNGLVSYVSFPLAGYHRRIGECLTTVLGAWLKDTGAYQWASFRIFLFQVNRRRLHVETIIPQLFVLYWLLQPVGWGSVARPRWQVHQLEESERQAAEQQM
+>sp|P30414|NKTR_HUMAN NK-tumor recognition protein OS=Homo sapiens OX=9606 GN=NKTR PE=1 SV=2
+MGAQDRPQCHFDIEINREPVGRIMFQLFSDICPKTCKNFLCLCSGEKGLGKTTGKKLCYKGSTFHRVVKNFMIQGGDFSEGNGKGGESIYGGYFKDENFILKHDRAFLLSMANRGKHTNGSQFFITTKPAPHLDGVHVVFGLVISGFEVIEQIENLKTDAASRPYADVRVIDCGVLATKSIKDVFEKKRKKPTHSEGSDSSSNSSSSSESSSESELEHERSRRRKHKRRPKVKRSKKRRKEASSSEEPRNKHAMNPKGHSERSDTNEKRSVDSSAKREKPVVRPEEIPPVPENRFLLRRDMPVVTAEPEPKIPDVAPIVSDQKPSVSKSGRKIKGRGTIRYHTPPRSRSCSESDDDDSSETPPHWKEEMQRLRAYRPPSGEKWSKGDKLSDPCSSRWDERSLSQRSRSWSYNGYYSDLSTARHSGHHKKRRKEKKVKHKKKGKKQKHCRRHKQTKKRRILIPSDIESSKSSTRRMKSSCDRERSSRSSSLSSHHSSKRDWSKSDKDVQSSLTHSSRDSYRSKSHSQSYSRGSSRSRTASKSSSHSRSRSKSRSSSKSGHRKRASKSPRKTASQLSENKPVKTEPLRATMAQNENVVVQPVVAENIPVIPLSDSPPPSRWKPGQKPWKPSYERIQEMKAKTTHLLPIQSTYSLANIKETGSSSSYHKREKNSESDQSTYSKYSDRSSESSPRSRSRSSRSRSYSRSYTRSRSLASSHSRSRSPSSRSHSRNKYSDHSQCSRSSSYTSISSDDGRRAKRRLRSSGKKNSVSHKKHSSSSEKTLHSKYVKGRDRSSCVRKYSESRSSLDYSSDSEQSSVQATQSAQEKEKQGQMERTHNKQEKNRGEEKSKSERECPHSKKRTLKENLSDHLRNGSKPKRKNYAGSKWDSESNSERDVTKNSKNDSHPSSDKEEGEATSDSESEVSEIHIKVKPTTKSSTNTSLPDDNGAWKSSKQRTSTSDSEGSCSNSENNRGKPQKHKHGSKENLKREHTKKVKEKLKGKKDKKHKAPKRKQAFHWQPPLEFGEEEEEEIDDKQVTQESKEKKVSENNETIKDNILKTEKSSEEDLSGKHDTVTVSSDLDQFTKDDSKLSISPTALNTEENVACLQNIQHVEESVPNGVEDVLQTDDNMEICTPDRSSPAKVEETSPLGNARLDTPDINIVLKQDMATEHPQAEVVKQESSMSESKVLGEVGKQDSSSASLASAGESTGKKEVAEKSQINLIDKKWKPLQGVGNLAAPNAATSSAVEVKVLTTVPEMKPQGLRIEIKSKNKVRPGSLFDEVRKTARLNRRPRNQESSSDEQTPSRDDDSQSRSPSRSRSKSETKSRHRTRSVSYSHSRSRSRSSTSSYRSRSYSRSRSRGWYSRGRTRSRSSSYRSYKSHRTSSRSRSRSSSYDPHSRSRSYTYDSYYSRSRSRSRSQRSDSYHRGRSYNRRSRSCRSYGSDSESDRSYSHHRSPSESSRYS
+>DECOY_sp|P30414|NKTR_HUMAN NK-tumor recognition protein OS=Homo sapiens OX=9606 GN=NKTR PE=1 SV=2
+SYRSSESPSRHHSYSRDSESDSGYSRCSRSRRNYSRGRHYSDSRQSRSRSRSRSYYSDYTYSRSRSHPDYSSSRSRSRSSTRHSKYSRYSSSRSRTRGRSYWGRSRSRSYSRSRYSSTSSRSRSRSHSYSVSRTRHRSKTESKSRSRSPSRSQSDDDRSPTQEDSSSEQNRPRRNLRATKRVEDFLSGPRVKNKSKIEIRLGQPKMEPVTTLVKVEVASSTAANPAALNGVGQLPKWKKDILNIQSKEAVEKKGTSEGASALSASSSDQKGVEGLVKSESMSSEQKVVEAQPHETAMDQKLVINIDPTDLRANGLPSTEEVKAPSSRDPTCIEMNDDTQLVDEVGNPVSEEVHQINQLCAVNEETNLATPSISLKSDDKTFQDLDSSVTVTDHKGSLDEESSKETKLINDKITENNESVKKEKSEQTVQKDDIEEEEEEGFELPPQWHFAQKRKPAKHKKDKKGKLKEKVKKTHERKLNEKSGHKHKQPKGRNNESNSCSGESDSTSTRQKSSKWAGNDDPLSTNTSSKTTPKVKIHIESVESESDSTAEGEEKDSSPHSDNKSNKTVDRESNSESDWKSGAYNKRKPKSGNRLHDSLNEKLTRKKSHPCERESKSKEEGRNKEQKNHTREMQGQKEKEQASQTAQVSSQESDSSYDLSSRSESYKRVCSSRDRGKVYKSHLTKESSSSHKKHSVSNKKGSSRLRRKARRGDDSSISTYSSSRSCQSHDSYKNRSHSRSSPSRSRSHSSALSRSRTYSRSYSRSRSSRSRSRPSSESSRDSYKSYTSQDSESNKERKHYSSSSGTEKINALSYTSQIPLLHTTKAKMEQIREYSPKWPKQGPKWRSPPPSDSLPIVPINEAVVPQVVVNENQAMTARLPETKVPKNESLQSATKRPSKSARKRHGSKSSSRSKSRSRSHSSSKSATRSRSSGRSYSQSHSKSRYSDRSSHTLSSQVDKDSKSWDRKSSHHSSLSSSRSSRERDCSSKMRRTSSKSSEIDSPILIRRKKTQKHRRCHKQKKGKKKHKVKKEKRRKKHHGSHRATSLDSYYGNYSWSRSRQSLSREDWRSSCPDSLKDGKSWKEGSPPRYARLRQMEEKWHPPTESSDDDDSESCSRSRPPTHYRITGRGKIKRGSKSVSPKQDSVIPAVDPIKPEPEATVVPMDRRLLFRNEPVPPIEEPRVVPKERKASSDVSRKENTDSRESHGKPNMAHKNRPEESSSAEKRRKKSRKVKPRRKHKRRRSREHELESESSSESSSSSNSSSDSGESHTPKKRKKEFVDKISKTALVGCDIVRVDAYPRSAADTKLNEIQEIVEFGSIVLGFVVHVGDLHPAPKTTIFFQSGNTHKGRNAMSLLFARDHKLIFNEDKFYGGYISEGGKGNGESFDGGQIMFNKVVRHFTSGKYCLKKGTTKGLGKEGSCLCLFNKCTKPCIDSFLQFMIRGVPERNIEIDFHCQPRDQAGM
+>sp|P30419|NMT1_HUMAN Glycylpeptide N-tetradecanoyltransferase 1 OS=Homo sapiens OX=9606 GN=NMT1 PE=1 SV=2
+MADESETAVKPPAPPLPQMMEGNGNGHEHCSDCENEEDNSYNRGGLSPANDTGAKKKKKKQKKKKEKGSETDSAQDQPVKMNSLPAERIQEIQKAIELFSVGQGPAKTMEEASKRSYQFWDTQPVPKLGEVVNTHGPVEPDKDNIRQEPYTLPQGFTWDALDLGDRGVLKELYTLLNENYVEDDDNMFRFDYSPEFLLWALRPPGWLPQWHCGVRVVSSRKLVGFISAIPANIHIYDTEKKMVEINFLCVHKKLRSKRVAPVLIREITRRVHLEGIFQAVYTAGVVLPKPVGTCRYWHRSLNPRKLIEVKFSHLSRNMTMQRTMKLYRLPETPKTAGLRPMETKDIPVVHQLLTRYLKQFHLTPVMSQEEVEHWFYPQENIIDTFVVENANGEVTDFLSFYTLPSTIMNHPTHKSLKAAYSFYNVHTQTPLLDLMSDALVLAKMKGFDVFNALDLMENKTFLEKLKFGIGDGNLQYYLYNWKCPSMGAEKVGLVLQ
+>DECOY_sp|P30419|NMT1_HUMAN Glycylpeptide N-tetradecanoyltransferase 1 OS=Homo sapiens OX=9606 GN=NMT1 PE=1 SV=2
+QLVLGVKEAGMSPCKWNYLYYQLNGDGIGFKLKELFTKNEMLDLANFVDFGKMKALVLADSMLDLLPTQTHVNYFSYAAKLSKHTPHNMITSPLTYFSLFDTVEGNANEVVFTDIINEQPYFWHEVEEQSMVPTLHFQKLYRTLLQHVVPIDKTEMPRLGATKPTEPLRYLKMTRQMTMNRSLHSFKVEILKRPNLSRHWYRCTGVPKPLVVGATYVAQFIGELHVRRTIERILVPAVRKSRLKKHVCLFNIEVMKKETDYIHINAPIASIFGVLKRSSVVRVGCHWQPLWGPPRLAWLLFEPSYDFRFMNDDDEVYNENLLTYLEKLVGRDGLDLADWTFGQPLTYPEQRINDKDPEVPGHTNVVEGLKPVPQTDWFQYSRKSAEEMTKAPGQGVSFLEIAKQIEQIREAPLSNMKVPQDQASDTESGKEKKKKQKKKKKKAGTDNAPSLGGRNYSNDEENECDSCHEHGNGNGEMMQPLPPAPPKVATESEDAM
+>sp|O95897|NOE2_HUMAN Noelin-2 OS=Homo sapiens OX=9606 GN=OLFM2 PE=1 SV=2
+MWPLTVPPPLLLLLCSGLAGQTLFQNPEEGWQLYTSAQAPDGKCICTAVIPAQSTCSRDGRSRELRQLMEKVQNVSQSMEVLELRTYRDLQYVRGMETLMRSLDARLRAADGSLSAKSFQELKDRMTELLPLSSVLEQYKADTRTIVRLREEVRNLSGSLAAIQEEMGAYGYEDLQQRVMALEARLHACAQKLGCGKLTGVSNPITVRAMGSRFGSWMTDTMAPSADSRVWYMDGYYKGRRVLEFRTLGDFIKGQNFIQHLLPQPWAGTGHVVYNGSLFYNKYQSNVVVKYHFRSRSVLVQRSLPGAGYNNTFPYSWGGFSDMDFMVDESGLWAVYTTNQNAGNIVVSRLDPHTLEVMRSWDTGYPKRSAGEAFMICGVLYVTNSHLAGAKVYFAYFTNTSSYEYTDVPFHNQYSHISMLDYNPRERALYTWNNGHQVLYNVTLFHVISTSGDP
+>DECOY_sp|O95897|NOE2_HUMAN Noelin-2 OS=Homo sapiens OX=9606 GN=OLFM2 PE=1 SV=2
+PDGSTSIVHFLTVNYLVQHGNNWTYLARERPNYDLMSIHSYQNHFPVDTYEYSSTNTFYAFYVKAGALHSNTVYLVGCIMFAEGASRKPYGTDWSRMVELTHPDLRSVVINGANQNTTYVAWLGSEDVMFDMDSFGGWSYPFTNNYGAGPLSRQVLVSRSRFHYKVVVNSQYKNYFLSGNYVVHGTGAWPQPLLHQIFNQGKIFDGLTRFELVRRGKYYGDMYWVRSDASPAMTDTMWSGFRSGMARVTIPNSVGTLKGCGLKQACAHLRAELAMVRQQLDEYGYAGMEEQIAALSGSLNRVEERLRVITRTDAKYQELVSSLPLLETMRDKLEQFSKASLSGDAARLRADLSRMLTEMGRVYQLDRYTRLELVEMSQSVNQVKEMLQRLERSRGDRSCTSQAPIVATCICKGDPAQASTYLQWGEEPNQFLTQGALGSCLLLLLPPPVTLPWM
+>sp|Q96PB7|NOE3_HUMAN Noelin-3 OS=Homo sapiens OX=9606 GN=OLFM3 PE=1 SV=1
+MSPPLLKLGAVLSTMAMISNWMSQTLPSLVGLNTTRLSTPDTLTQISPKEGWQVYSSAQDPDGRCICTVVAPEQNLCSRDAKSRQLRQLLEKVQNMSQSIEVLNLRTQRDFQYVLKMETQMKGLKAKFRQIEDDRKTLMTKHFQELKEKMDELLPLIPVLEQYKTDAKLITQFKEEIRNLSAVLTGIQEEIGAYDYEELHQRVLSLETRLRDCMKKLTCGKLMKITGPVTVKTSGTRFGAWMTDPLASEKNNRVWYMDSYTNNKIVREYKSIADFVSGAESRTYNLPFKWAGTNHVVYNGSLYFNKYQSNIIIKYSFDMGRVLAQRSLEYAGFHNVYPYTWGGFSDIDLMADEIGLWAVYATNQNAGNIVISQLNQDTLEVMKSWSTGYPKRSAGESFMICGTLYVTNSHLTGAKVYYSYSTKTSTYEYTDIPFHNQYFHISMLDYNARDRALYAWNNGHQVLFNVTLFHIIKTEDDT
+>DECOY_sp|Q96PB7|NOE3_HUMAN Noelin-3 OS=Homo sapiens OX=9606 GN=OLFM3 PE=1 SV=1
+TDDETKIIHFLTVNFLVQHGNNWAYLARDRANYDLMSIHFYQNHFPIDTYEYTSTKTSYSYYVKAGTLHSNTVYLTGCIMFSEGASRKPYGTSWSKMVELTDQNLQSIVINGANQNTAYVAWLGIEDAMLDIDSFGGWTYPYVNHFGAYELSRQALVRGMDFSYKIIINSQYKNFYLSGNYVVHNTGAWKFPLNYTRSEAGSVFDAISKYERVIKNNTYSDMYWVRNNKESALPDTMWAGFRTGSTKVTVPGTIKMLKGCTLKKMCDRLRTELSLVRQHLEEYDYAGIEEQIGTLVASLNRIEEKFQTILKADTKYQELVPILPLLEDMKEKLEQFHKTMLTKRDDEIQRFKAKLGKMQTEMKLVYQFDRQTRLNLVEISQSMNQVKELLQRLQRSKADRSCLNQEPAVVTCICRGDPDQASSYVQWGEKPSIQTLTDPTSLRTTNLGVLSPLTQSMWNSIMAMTSLVAGLKLLPPSM
+>sp|Q9BZE4|NOG1_HUMAN Nucleolar GTP-binding protein 1 OS=Homo sapiens OX=9606 GN=GTPBP4 PE=1 SV=3
+MAHYNFKKITVVPSAKDFIDLTLSKTQRKTPTVIHKHYQIHRIRHFYMRKVKFTQQNYHDRLSQILTDFPKLDDIHPFYADLMNILYDKDHYKLALGQINIAKNLVDNVAKDYVRLMKYGDSLYRCKQLKRAALGRMCTVIKRQKQSLEYLEQVRQHLSRLPTIDPNTRTLLLCGYPNVGKSSFINKVTRADVDVQPYAFTTKSLFVGHMDYKYLRWQVVDTPGILDHPLEDRNTIEMQAITALAHLRAAVLYVMDLSEQCGHGLREQLELFQNIRPLFINKPLIVVANKCDVKRIAELSEDDQKIFTDLQSEGFPVIETSTLTEEGVIKVKTEACDRLLAHRVETKMKGNKVNEVLNRLHLAIPTRRDDKERPPFIPEGVVARRKRMETEESRKKRERDLELEMGDDYILDLQKYWDLMNLSEKHDKIPEIWEGHNIADYIDPAIMKKLEELEKEEELRTAAGEYDSVSESEDEEMLEIRQLAKQIREKKKLKILESKEKNTQGPRMPRTAKKVQRTVLEKEMRSLGVDMDDKDDAHYAVQARRSRSITRKRKREDSAPPSSVARSGSCSRTPRDVSGLRDVKMVKKAKTMMKNAQKKMNRLGKKGEADRHVFDMKPKHLLSGKRKAGKKDRR
+>DECOY_sp|Q9BZE4|NOG1_HUMAN Nucleolar GTP-binding protein 1 OS=Homo sapiens OX=9606 GN=GTPBP4 PE=1 SV=3
+RRDKKGAKRKGSLLHKPKMDFVHRDAEGKKGLRNMKKQANKMMTKAKKVMKVDRLGSVDRPTRSCSGSRAVSSPPASDERKRKRTISRSRRAQVAYHADDKDDMDVGLSRMEKELVTRQVKKATRPMRPGQTNKEKSELIKLKKKERIQKALQRIELMEEDESESVSDYEGAATRLEEEKELEELKKMIAPDIYDAINHGEWIEPIKDHKESLNMLDWYKQLDLIYDDGMELELDRERKKRSEETEMRKRRAVVGEPIFPPREKDDRRTPIALHLRNLVENVKNGKMKTEVRHALLRDCAETKVKIVGEETLTSTEIVPFGESQLDTFIKQDDESLEAIRKVDCKNAVVILPKNIFLPRINQFLELQERLGHGCQESLDMVYLVAARLHALATIAQMEITNRDELPHDLIGPTDVVQWRLYKYDMHGVFLSKTTFAYPQVDVDARTVKNIFSSKGVNPYGCLLLTRTNPDITPLRSLHQRVQELYELSQKQRKIVTCMRGLAARKLQKCRYLSDGYKMLRVYDKAVNDVLNKAINIQGLALKYHDKDYLINMLDAYFPHIDDLKPFDTLIQSLRDHYNQQTFKVKRMYFHRIRHIQYHKHIVTPTKRQTKSLTLDIFDKASPVVTIKKFNYHAM
+>sp|Q13253|NOGG_HUMAN Noggin OS=Homo sapiens OX=9606 GN=NOG PE=1 SV=1
+MERCPSLGVTLYALVVVLGLRATPAGGQHYLHIRPAPSDNLPLVDLIEHPDPIFDPKEKDLNETLLRSLLGGHYDPGFMATSPPEDRPGGGGGAAGGAEDLAELDQLLRQRPSGAMPSEIKGLEFSEGLAQGKKQRLSKKLRRKLQMWLWSQTFCPVLYAWNDLGSRFWPRYVKVGSCFSKRSCSVPEGMVCKPSKSVHLTVLRWRCQRRGGQRCGWIPIQYPIISECKCSC
+>DECOY_sp|Q13253|NOGG_HUMAN Noggin OS=Homo sapiens OX=9606 GN=NOG PE=1 SV=1
+CSCKCESIIPYQIPIWGCRQGGRRQCRWRLVTLHVSKSPKCVMGEPVSCSRKSFCSGVKVYRPWFRSGLDNWAYLVPCFTQSWLWMQLKRRLKKSLRQKKGQALGESFELGKIESPMAGSPRQRLLQDLEALDEAGGAAGGGGGPRDEPPSTAMFGPDYHGGLLSRLLTENLDKEKPDFIPDPHEILDVLPLNDSPAPRIHLYHQGGAPTARLGLVVVLAYLTVGLSPCREM
+>sp|O60936|NOL3_HUMAN Nucleolar protein 3 OS=Homo sapiens OX=9606 GN=NOL3 PE=1 SV=2
+MGNAQERPSETIDRERKRLVETLQADSGLLLDALLARGVLTGPEYEALDALPDAERRVRRLLLLVQGKGEAACQELLRCAQRTAGAPDPAWDWQHVGPGYRDRSYDPPCPGHWTPEAPGSGTTCPGLPRASDPDEAGGPEGSEAVQSGTPEEPEPELEAEASKEAEPEPEPEPELEPEAEAEPEPELEPEPDPEPEPDFEERDESEDS
+>DECOY_sp|O60936|NOL3_HUMAN Nucleolar protein 3 OS=Homo sapiens OX=9606 GN=NOL3 PE=1 SV=2
+SDESEDREEFDPEPEPDPEPELEPEPEAEAEPELEPEPEPEPEAEKSAEAELEPEPEEPTGSQVAESGEPGGAEDPDSARPLGPCTTGSGPAEPTWHGPCPPDYSRDRYGPGVHQWDWAPDPAGATRQACRLLEQCAAEGKGQVLLLLRRVRREADPLADLAEYEPGTLVGRALLADLLLGSDAQLTEVLRKRERDITESPREQANGM
+>sp|Q76FK4|NOL8_HUMAN Nucleolar protein 8 OS=Homo sapiens OX=9606 GN=NOL8 PE=1 SV=1
+MKVNRETKRLYVGGLSQDISEADLQNQFSRFGEVSDVEIITRKDDQGNPQKVFAYINISVAEADLKKCMSVLNKTKWKGGTLQIQLAKESFLHRLAQEREAAKAKKEESTTGNANLLEKTGGVDFHMKAVPGTEVPGHKNWVVSKFGRVLPVLHLKNQHKRKIIKYDPSKYCHNLKKIGEDFSNTIPISSLTWELEGGNDPMSKKRRGEFSDFHGPPKKIIKVQKDESSTGSLAMSTRPRRVIERPPLTQQQAAQKRTCDSITPSKSSPVPVSDTQKLKNLPFKTSGLETAKKRNSISDDDTDSEDELRMMIAKEENLQRTTQPSINESESDPFEVVRDDFKSGVHKLHSLIGLGIKNRVSCHDSDDDIMRNDREYDSGDTDEIIAMKKNVAKVKNSTEFSQMEKSTKKTSFKNRENCELSDHCIKLQKRKSNVESALSHGLKSLNRKSPSHSSSSEDADSASELADSEGGEEYNAMMKNCLRVNLTLADLEQLAGSDLKVPNEDTKSDGPETTTQCKFDRGSKSPKTPTGLRRGRQCIRPAEIVASLLEGEENTCGKQKPKENNLKPKFQAFKGVGCLYEKESMKKSLKDSVASNNKDQNSMKHEDPSIISMEDGSPYVNGSLGEVTPCQHAKKANGPNYIQPQKRQTTFESQDRKAVSPSSSEKRSKNPISRPLEGKKSLSLSAKTHNIGFDKDSCHSTTKTEASQEERSDSSGLTSLKKSPKVSSKDTREIKTDFSLSISNSSDVSAKDKHAEDNEKRLAALEARQKAKEVQKKLVHNALANLDGHPEDKPTHIIFGSDSECETEETSTQEQSHPGEEWVKESMGKTSGKLFDSSDDDESDSEDDSNRFKIKPQFEGRAGQKLMDLQSHFGTDDRFRMDSRFLETDSEEEQEEVNEKKTAEEEELAEEKKKALNVVQSVLQINLSNSTNRGSVAAKKFKDIIHYDPTKQDHATYERKRDDKPKESKAKRKKKREEAEKLPEVSKEMYYNIAMDLKEIFQTTKYTSEKEEGTPWNEDCGKEKPEEIQDPAALTSDAEQPSGFTFSFFDSDTKDIKEETYRVETVKPGKIVWQEDPRLQDSSSEEEDVTEETDHRNSSPGEASLLEKETTRFFFFSKNDERLQGSDLFWRGVGSNMSRNSWEARTTNLRMDCRKKHKDAKRKMKPK
+>DECOY_sp|Q76FK4|NOL8_HUMAN Nucleolar protein 8 OS=Homo sapiens OX=9606 GN=NOL8 PE=1 SV=1
+KPKMKRKADKHKKRCDMRLNTTRAEWSNRSMNSGVGRWFLDSGQLREDNKSFFFFRTTEKELLSAEGPSSNRHDTEETVDEEESSSDQLRPDEQWVIKGPKVTEVRYTEEKIDKTDSDFFSFTFGSPQEADSTLAAPDQIEEPKEKGCDENWPTGEEKESTYKTTQFIEKLDMAINYYMEKSVEPLKEAEERKKKRKAKSEKPKDDRKREYTAHDQKTPDYHIIDKFKKAAVSGRNTSNSLNIQLVSQVVNLAKKKEEALEEEEATKKENVEEQEEESDTELFRSDMRFRDDTGFHSQLDMLKQGARGEFQPKIKFRNSDDESDSEDDDSSDFLKGSTKGMSEKVWEEGPHSQEQTSTEETECESDSGFIIHTPKDEPHGDLNALANHVLKKQVEKAKQRAELAALRKENDEAHKDKASVDSSNSISLSFDTKIERTDKSSVKPSKKLSTLGSSDSREEQSAETKTTSHCSDKDFGINHTKASLSLSKKGELPRSIPNKSRKESSSPSVAKRDQSEFTTQRKQPQIYNPGNAKKAHQCPTVEGLSGNVYPSGDEMSIISPDEHKMSNQDKNNSAVSDKLSKKMSEKEYLCGVGKFAQFKPKLNNEKPKQKGCTNEEGELLSAVIEAPRICQRGRRLGTPTKPSKSGRDFKCQTTTEPGDSKTDENPVKLDSGALQELDALTLNVRLCNKMMANYEEGGESDALESASDADESSSSHSPSKRNLSKLGHSLASEVNSKRKQLKICHDSLECNERNKFSTKKTSKEMQSFETSNKVKAVNKKMAIIEDTDGSDYERDNRMIDDDSDHCSVRNKIGLGILSHLKHVGSKFDDRVVEFPDSESENISPQTTRQLNEEKAIMMRLEDESDTDDDSISNRKKATELGSTKFPLNKLKQTDSVPVPSSKSPTISDCTRKQAAQQQTLPPREIVRRPRTSMALSGTSSEDKQVKIIKKPPGHFDSFEGRRKKSMPDNGGELEWTLSSIPITNSFDEGIKKLNHCYKSPDYKIIKRKHQNKLHLVPLVRGFKSVVWNKHGPVETGPVAKMHFDVGGTKELLNANGTTSEEKKAKAAEREQALRHLFSEKALQIQLTGGKWKTKNLVSMCKKLDAEAVSINIYAFVKQPNGQDDKRTIIEVDSVEGFRSFQNQLDAESIDQSLGGVYLRKTERNVKM
+>sp|Q9NZM5|NOP53_HUMAN Ribosome biogenesis protein NOP53 OS=Homo sapiens OX=9606 GN=NOP53 PE=1 SV=2
+MAAGGSGVGGKRSSKSDADSGFLGLRPTSVDPALRRRRRGPRNKKRGWRRLAQEPLGLEVDQFLEDVRLQERTSGGLLSEAPNEKLFFVDTGSKEKGLTKKRTKVQKKSLLLKKPLRVDLILENTSKVPAPKDVLAHQVPNAKKLRRKEQLWEKLAKQGELPREVRRAQARLLNPSATRAKPGPQDTVERPFYDLWASDNPLDRPLVGQDEFFLEQTKKKGVKRPARLHTKPSQAPAVEVAPAGASYNPSFEDHQTLLSAAHEVELQRQKEAEKLERQLALPATEQAATQESTFQELCEGLLEESDGEGEPGQGEGPEAGDAEVCPTPARLATTEKKTEQQRRREKAVHRLRVQQAALRAARLRHQELFRLRGIKAQVALRLAELARRQRRRQARREAEADKPRRLGRLKYQAPDIDVQLSSELTDSLRTLKPEGNILRDRFKSFQRRNMIEPRERAKFKRKYKVKLVEKRAFREIQL
+>DECOY_sp|Q9NZM5|NOP53_HUMAN Ribosome biogenesis protein NOP53 OS=Homo sapiens OX=9606 GN=NOP53 PE=1 SV=2
+LQIERFARKEVLKVKYKRKFKARERPEIMNRRQFSKFRDRLINGEPKLTRLSDTLESSLQVDIDPAQYKLRGLRRPKDAEAERRAQRRRQRRALEALRLAVQAKIGRLRFLEQHRLRAARLAAQQVRLRHVAKERRRQQETKKETTALRAPTPCVEADGAEPGEGQGPEGEGDSEELLGECLEQFTSEQTAAQETAPLALQRELKEAEKQRQLEVEHAASLLTQHDEFSPNYSAGAPAVEVAPAQSPKTHLRAPRKVGKKKTQELFFEDQGVLPRDLPNDSAWLDYFPREVTDQPGPKARTASPNLLRAQARRVERPLEGQKALKEWLQEKRRLKKANPVQHALVDKPAPVKSTNELILDVRLPKKLLLSKKQVKTRKKTLGKEKSGTDVFFLKENPAESLLGGSTREQLRVDELFQDVELGLPEQALRRWGRKKNRPGRRRRRLAPDVSTPRLGLFGSDADSKSSRKGGVGSGGAAM
+>sp|Q9Y2X3|NOP58_HUMAN Nucleolar protein 58 OS=Homo sapiens OX=9606 GN=NOP58 PE=1 SV=1
+MLVLFETSVGYAIFKVLNEKKLQEVDSLWKEFETPEKANKIVKLKHFEKFQDTAEALAAFTALMEGKINKQLKKVLKKIVKEAHEPLAVADAKLGGVIKEKLNLSCIHSPVVNELMRGIRSQMDGLIPGVEPREMAAMCLGLAHSLSRYRLKFSADKVDTMIVQAISLLDDLDKELNNYIMRCREWYGWHFPELGKIISDNLTYCKCLQKVGDRKNYASAKLSELLPEEVEAEVKAAAEISMGTEVSEEDICNILHLCTQVIEISEYRTQLYEYLQNRMMAIAPNVTVMVGELVGARLIAHAGSLLNLAKHAASTVQILGAEKALFRALKSRRDTPKYGLIYHASLVGQTSPKHKGKISRMLAAKTVLAIRYDAFGEDSSSAMGVENRAKLEARLRTLEDRGIRKISGTGKALAKTEKYEHKSEVKTYDPSGDSTLPTCSKKRKIEQVDKEDEITEKKAKKAKIKVKVEEEEEEKVAEEEETSVKKKKKRGKKKHIKEEPLSEEEPCTSTAIASPEKKKKKKKKRENED
+>DECOY_sp|Q9Y2X3|NOP58_HUMAN Nucleolar protein 58 OS=Homo sapiens OX=9606 GN=NOP58 PE=1 SV=1
+DENERKKKKKKKKEPSAIATSTCPEEESLPEEKIHKKKGRKKKKKVSTEEEEAVKEEEEEEVKVKIKAKKAKKETIEDEKDVQEIKRKKSCTPLTSDGSPDYTKVESKHEYKETKALAKGTGSIKRIGRDELTRLRAELKARNEVGMASSSDEGFADYRIALVTKAALMRSIKGKHKPSTQGVLSAHYILGYKPTDRRSKLARFLAKEAGLIQVTSAAHKALNLLSGAHAILRAGVLEGVMVTVNPAIAMMRNQLYEYLQTRYESIEIVQTCLHLINCIDEESVETGMSIEAAAKVEAEVEEPLLESLKASAYNKRDGVKQLCKCYTLNDSIIKGLEPFHWGYWERCRMIYNNLEKDLDDLLSIAQVIMTDVKDASFKLRYRSLSHALGLCMAAMERPEVGPILGDMQSRIGRMLENVVPSHICSLNLKEKIVGGLKADAVALPEHAEKVIKKLVKKLQKNIKGEMLATFAALAEATDQFKEFHKLKVIKNAKEPTEFEKWLSDVEQLKKENLVKFIAYGVSTEFLVLM
+>sp|P35228|NOS2_HUMAN Nitric oxide synthase, inducible OS=Homo sapiens OX=9606 GN=NOS2 PE=1 SV=2
+MACPWKFLFKTKFHQYAMNGEKDINNNVEKAPCATSSPVTQDDLQYHNLSKQQNESPQPLVETGKKSPESLVKLDATPLSSPRHVRIKNWGSGMTFQDTLHHKAKGILTCRSKSCLGSIMTPKSLTRGPRDKPTPPDELLPQAIEFVNQYYGSFKEAKIEEHLARVEAVTKEIETTGTYQLTGDELIFATKQAWRNAPRCIGRIQWSNLQVFDARSCSTAREMFEHICRHVRYSTNNGNIRSAITVFPQRSDGKHDFRVWNAQLIRYAGYQMPDGSIRGDPANVEFTQLCIDLGWKPKYGRFDVVPLVLQANGRDPELFEIPPDLVLEVAMEHPKYEWFRELELKWYALPAVANMLLEVGGLEFPGCPFNGWYMGTEIGVRDFCDVQRYNILEEVGRRMGLETHKLASLWKDQAVVEINIAVLHSFQKQNVTIMDHHSAAESFMKYMQNEYRSRGGCPADWIWLVPPMSGSITPVFHQEMLNYVLSPFYYYQVEAWKTHVWQDEKRRPKRREIPLKVLVKAVLFACMLMRKTMASRVRVTILFATETGKSEALAWDLGALFSCAFNPKVVCMDKYRLSCLEEERLLLVVTSTFGNGDCPGNGEKLKKSLFMLKELNNKFRYAVFGLGSSMYPRFCAFAHDIDQKLSHLGASQLTPMGEGDELSGQEDAFRSWAVQTFKAACETFDVRGKQHIQIPKLYTSNVTWDPHHYRLVQDSQPLDLSKALSSMHAKNVFTMRLKSRQNLQSPTSSRATILVELSCEDGQGLNYLPGEHLGVCPGNQPALVQGILERVVDGPTPHQTVRLEALDESGSYWVSDKRLPPCSLSQALTYFLDITTPPTQLLLQKLAQVATEEPERQRLEALCQPSEYSKWKFTNSPTFLEVLEEFPSLRVSAGFLLSQLPILKPRFYSISSSRDHTPTEIHLTVAVVTYHTRDGQGPLHHGVCSTWLNSLKPQDPVPCFVRNASGFHLPEDPSHPCILIGPGTGIAPFRSFWQQRLHDSQHKGVRGGRMTLVFGCRRPDEDHIYQEEMLEMAQKGVLHAVHTAYSRLPGKPKVYVQDILRQQLASEVLRVLHKEPGHLYVCGDVRMARDVAHTLKQLVAAKLKLNEEQVEDYFFQLKSQKRYHEDIFGAVFPYEAKKDRVAVQPSSLEMSAL
+>DECOY_sp|P35228|NOS2_HUMAN Nitric oxide synthase, inducible OS=Homo sapiens OX=9606 GN=NOS2 PE=1 SV=2
+LASMELSSPQVAVRDKKAEYPFVAGFIDEHYRKQSKLQFFYDEVQEENLKLKAAVLQKLTHAVDRAMRVDGCVYLHGPEKHLVRLVESALQQRLIDQVYVKPKGPLRSYATHVAHLVGKQAMELMEEQYIHDEDPRRCGFVLTMRGGRVGKHQSDHLRQQWFSRFPAIGTGPGILICPHSPDEPLHFGSANRVFCPVPDQPKLSNLWTSCVGHHLPGQGDRTHYTVVAVTLHIETPTHDRSSSISYFRPKLIPLQSLLFGASVRLSPFEELVELFTPSNTFKWKSYESPQCLAELRQREPEETAVQALKQLLLQTPPTTIDLFYTLAQSLSCPPLRKDSVWYSGSEDLAELRVTQHPTPGDVVRELIGQVLAPQNGPCVGLHEGPLYNLGQGDECSLEVLITARSSTPSQLNQRSKLRMTFVNKAHMSSLAKSLDLPQSDQVLRYHHPDWTVNSTYLKPIQIHQKGRVDFTECAAKFTQVAWSRFADEQGSLEDGEGMPTLQSAGLHSLKQDIDHAFACFRPYMSSGLGFVAYRFKNNLEKLMFLSKKLKEGNGPCDGNGFTSTVVLLLREEELCSLRYKDMCVVKPNFACSFLAGLDWALAESKGTETAFLITVRVRSAMTKRMLMCAFLVAKVLVKLPIERRKPRRKEDQWVHTKWAEVQYYYFPSLVYNLMEQHFVPTISGSMPPVLWIWDAPCGGRSRYENQMYKMFSEAASHHDMITVNQKQFSHLVAINIEVVAQDKWLSALKHTELGMRRGVEELINYRQVDCFDRVGIETGMYWGNFPCGPFELGGVELLMNAVAPLAYWKLELERFWEYKPHEMAVELVLDPPIEFLEPDRGNAQLVLPVVDFRGYKPKWGLDICLQTFEVNAPDGRISGDPMQYGAYRILQANWVRFDHKGDSRQPFVTIASRINGNNTSYRVHRCIHEFMERATSCSRADFVQLNSWQIRGICRPANRWAQKTAFILEDGTLQYTGTTEIEKTVAEVRALHEEIKAEKFSGYYQNVFEIAQPLLEDPPTPKDRPGRTLSKPTMISGLCSKSRCTLIGKAKHHLTDQFTMGSGWNKIRVHRPSSLPTADLKVLSEPSKKGTEVLPQPSENQQKSLNHYQLDDQTVPSSTACPAKEVNNNIDKEGNMAYQHFKTKFLFKWPCAM
+>sp|Q8IVI9|NOSTN_HUMAN Nostrin OS=Homo sapiens OX=9606 GN=NOSTRIN PE=1 SV=2
+MRDPLTDCPYNKVYKNLKEFSQNGENFCKQVTSVLQQRANLEISYAKGLQKLASKLSKALQNTRKSCVSSAWAWASEGMKSTADLHQKLGKAIELEAIKPTYQVLNVQEKKRKSLDNEVEKTANLVISNWNQQIKAKKKLMVSTKKHEALFQLVESSKQSMTEKEKRKLLNKLTKSTEKLEKEDENYYQKNMAGYSTRLKWENTLENCYQSILELEKERIQLLCNNLNQYSQHISLFGQTLTTCHTQIHCAISKIDIEKDIQAVMEETAILSTENKSEFLLTDYFEEDPNSAMDKERRKSLLKPKLLRLQRDIEKASKDKEGLERMLKTYSSTSSFSDAKSQKDTAALMDENNLKLDLLEANSYKLSSMLAELEQRPQPSHPCSNSIFRWREKEHTHSYVKISRPFLMKRLENIVSKASSGGQSNPGSSTPAPGAAQLSSRLCKALYSFQARQDDELNLEKGDIVIIHEKKEGGWWFGSLNGKKGHFPAAYVEELPSNAGNTATKA
+>DECOY_sp|Q8IVI9|NOSTN_HUMAN Nostrin OS=Homo sapiens OX=9606 GN=NOSTRIN PE=1 SV=2
+AKTATNGANSPLEEVYAAPFHGKKGNLSGFWWGGEKKEHIIVIDGKELNLEDDQRAQFSYLAKCLRSSLQAAGPAPTSSGPNSQGGSSAKSVINELRKMLFPRSIKVYSHTHEKERWRFISNSCPHSPQPRQELEALMSSLKYSNAELLDLKLNNEDMLAATDKQSKADSFSSTSSYTKLMRELGEKDKSAKEIDRQLRLLKPKLLSKRREKDMASNPDEEFYDTLLFESKNETSLIATEEMVAQIDKEIDIKSIACHIQTHCTTLTQGFLSIHQSYQNLNNCLLQIREKELELISQYCNELTNEWKLRTSYGAMNKQYYNEDEKELKETSKTLKNLLKRKEKETMSQKSSEVLQFLAEHKKTSVMLKKKAKIQQNWNSIVLNATKEVENDLSKRKKEQVNLVQYTPKIAELEIAKGLKQHLDATSKMGESAWAWASSVCSKRTNQLAKSLKSALKQLGKAYSIELNARQQLVSTVQKCFNEGNQSFEKLNKYVKNYPCDTLPDRM
+>sp|Q9UNW9|NOVA2_HUMAN RNA-binding protein Nova-2 OS=Homo sapiens OX=9606 GN=NOVA2 PE=1 SV=1
+MEPEAPDSRKRPLETPPEVVCTKRSNTGEEGEYFLKVLIPSYAAGSIIGKGGQTIVQLQKETGATIKLSKSKDFYPGTTERVCLVQGTAEALNAVHSFIAEKVREIPQAMTKPEVVNILQPQTTMNPDRAKQAKLIVPNSTAGLIIGKGGATVKAVMEQSGAWVQLSQKPEGINLQERVVTVSGEPEQVHKAVSAIVQKVQEDPQSSSCLNISYANVAGPVANSNPTGSPYASPADVLPAAAAASAAAASGLLGPAGLAGVGAFPAALPAFSGTDLLAISTALNTLASYGYNTNSLGLGLNSAAASGVLAAVAAGANPAAAAAANLLASYAGEAGAGPAGGAAPPPPPPPGALGSFALAAAANGYLGAGAGGGAGGGGGPLVAAAAAAGAAGGFLTAEKLAAESAKELVEIAVPENLVGAILGKGGKTLVEYQELTGARIQISKKGEFLPGTRNRRVTITGSPAATQAAQYLISQRVTYEQGVRASNPQKVG
+>DECOY_sp|Q9UNW9|NOVA2_HUMAN RNA-binding protein Nova-2 OS=Homo sapiens OX=9606 GN=NOVA2 PE=1 SV=1
+GVKQPNSARVGQEYTVRQSILYQAAQTAAPSGTITVRRNRTGPLFEGKKSIQIRAGTLEQYEVLTKGGKGLIAGVLNEPVAIEVLEKASEAALKEATLFGGAAGAAAAAAVLPGGGGGAGGGAGAGLYGNAAAALAFSGLAGPPPPPPPAAGGAPGAGAEGAYSALLNAAAAAAPNAGAAVAALVGSAAASNLGLGLSNTNYGYSALTNLATSIALLDTGSFAPLAAPFAGVGALGAPGLLGSAAAASAAAAAPLVDAPSAYPSGTPNSNAVPGAVNAYSINLCSSSQPDEQVKQVIASVAKHVQEPEGSVTVVREQLNIGEPKQSLQVWAGSQEMVAKVTAGGKGIILGATSNPVILKAQKARDPNMTTQPQLINVVEPKTMAQPIERVKEAIFSHVANLAEATGQVLCVRETTGPYFDKSKSLKITAGTEKQLQVITQGGKGIISGAAYSPILVKLFYEGEEGTNSRKTCVVEPPTELPRKRSDPAEPEM
+>sp|Q9UND3|NPIA1_HUMAN Nuclear pore complex-interacting protein family member A1 OS=Homo sapiens OX=9606 GN=NPIPA1 PE=2 SV=3
+MFCCLGYEWLSGGCKTWHSAWVINTLADHRHRGTDFGGSPWLLIITVFLRSYKFAISLCTSYLCVSFLKTIFPSQNGHDGSTDVQQRARRSNRRRQEGIKIVLEDIFTLWRQVETKVRAKIRKMKVTTKVNRHDKINGKRKTAKEHLRKLSMKEREHGEKERQVSEAEENGKLDMKEIHTYMEMFQRAQALRRRAEDYYRCKITPSARKPLCNRVRMAAVEHRHSSGLPYWPYLTAETLKNRMGHQPPPPTQQHSIIDNSLSLKTPSECLLTPLPPSALPSADDNLKTPAECLLYPLPPSADDNLKTPPECLLTPLPPSAPPSVDDNLKTPPECVCSLPFHPQRMIISRN
+>DECOY_sp|Q9UND3|NPIA1_HUMAN Nuclear pore complex-interacting protein family member A1 OS=Homo sapiens OX=9606 GN=NPIPA1 PE=2 SV=3
+NRSIIMRQPHFPLSCVCEPPTKLNDDVSPPASPPLPTLLCEPPTKLNDDASPPLPYLLCEAPTKLNDDASPLASPPLPTLLCESPTKLSLSNDIISHQQTPPPPQHGMRNKLTEATLYPWYPLGSSHRHEVAAMRVRNCLPKRASPTIKCRYYDEARRRLAQARQFMEMYTHIEKMDLKGNEEAESVQREKEGHEREKMSLKRLHEKATKRKGNIKDHRNVKTTVKMKRIKARVKTEVQRWLTFIDELVIKIGEQRRRNSRRARQQVDTSGDHGNQSPFITKLFSVCLYSTCLSIAFKYSRLFVTIILLWPSGGFDTGRHRHDALTNIVWASHWTKCGGSLWEYGLCCFM
+>sp|E9PQR5|NPIB8_HUMAN Nuclear pore complex-interacting protein family member B8 OS=Homo sapiens OX=9606 GN=NPIPB8 PE=3 SV=1
+MVKLSIVLTPQFLSHDQGQLTKELQQHVKSVTCPCEYLRKVINSLAVYRHRETDFGVGVRDHPGQHGKTPSPQKLDNLIIIIIGFLRCYTFNILFCTSCLCVSFLKTIFWSRNGHDGSMDVQQRAWRSNRSRQKGLRSICMHTKKRVSSFRGNKIGLKDVITLRRHVETKVRAKIRKRKVTTKINRHDKINGKRKTARKQKMFQRAQELRRRAEDYHKCKIPPSARKPLCNWVRMAAAEHRHSSGLPYWLYLTAETLKNRMGRQPPPPTQQHSITDNSLSLKTPPECLLTPLPPSVDDNIKECPLAPLPPSPLPPSVDDNLKECLFVPLPPSPLPPSVDDNLKECLFVPLPPSPLPPSVDDNLKTPPLATQEAEVEKPPKPKRWRVDEVEQSPKPKRQREAEAQQLPKPKRRRLSKLRTRHCTQAWAIRINP
+>DECOY_sp|E9PQR5|NPIB8_HUMAN Nuclear pore complex-interacting protein family member B8 OS=Homo sapiens OX=9606 GN=NPIPB8 PE=3 SV=1
+PNIRIAWAQTCHRTRLKSLRRRKPKPLQQAEAERQRKPKPSQEVEDVRWRKPKPPKEVEAEQTALPPTKLNDDVSPPLPSPPLPVFLCEKLNDDVSPPLPSPPLPVFLCEKLNDDVSPPLPSPPLPALPCEKINDDVSPPLPTLLCEPPTKLSLSNDTISHQQTPPPPQRGMRNKLTEATLYLWYPLGSSHRHEAAAMRVWNCLPKRASPPIKCKHYDEARRRLEQARQFMKQKRATKRKGNIKDHRNIKTTVKRKRIKARVKTEVHRRLTIVDKLGIKNGRFSSVRKKTHMCISRLGKQRSRNSRWARQQVDMSGDHGNRSWFITKLFSVCLCSTCFLINFTYCRLFGIIIIILNDLKQPSPTKGHQGPHDRVGVGFDTERHRYVALSNIVKRLYECPCTVSKVHQQLEKTLQGQDHSLFQPTLVISLKVM
+>sp|P22736|NR4A1_HUMAN Nuclear receptor subfamily 4 group A member 1 OS=Homo sapiens OX=9606 GN=NR4A1 PE=1 SV=1
+MPCIQAQYGTPAPSPGPRDHLASDPLTPEFIKPTMDLASPEAAPAAPTALPSFSTFMDGYTGEFDTFLYQLPGTVQPCSSASSSASSTSSSSATSPASASFKFEDFQVYGCYPGPLSGPVDEALSSSGSDYYGSPCSAPSPSTPSFQPPQLSPWDGSFGHFSPSQTYEGLRAWTEQLPKASGPPQPPAFFSFSPPTGPSPSLAQSPLKLFPSQATHQLGEGESYSMPTAFPGLAPTSPHLEGSGILDTPVTSTKARSGAPGGSEGRCAVCGDNASCQHYGVRTCEGCKGFFKRTVQKNAKYICLANKDCPVDKRRRNRCQFCRFQKCLAVGMVKEVVRTDSLKGRRGRLPSKPKQPPDASPANLLTSLVRAHLDSGPSTAKLDYSKFQELVLPHFGKEDAGDVQQFYDLLSGSLEVIRKWAEKIPGFAELSPADQDLLLESAFLELFILRLAYRSKPGEGKLIFCSGLVLHRLQCARGFGDWIDSILAFSRSLHSLLVDVPAFACLSALVLITDRHGLQEPRRVEELQNRIASCLKEHVAAVAGEPQPASCLSRLLGKLPELRTLCTQGLQRIFYLKLEDLVPPPPIIDKIFMDTLPF
+>DECOY_sp|P22736|NR4A1_HUMAN Nuclear receptor subfamily 4 group A member 1 OS=Homo sapiens OX=9606 GN=NR4A1 PE=1 SV=1
+FPLTDMFIKDIIPPPPVLDELKLYFIRQLGQTCLTRLEPLKGLLRSLCSAPQPEGAVAAVHEKLCSAIRNQLEEVRRPEQLGHRDTILVLASLCAFAPVDVLLSHLSRSFALISDIWDGFGRACQLRHLVLGSCFILKGEGPKSRYALRLIFLELFASELLLDQDAPSLEAFGPIKEAWKRIVELSGSLLDYFQQVDGADEKGFHPLVLEQFKSYDLKATSPGSDLHARVLSTLLNAPSADPPQKPKSPLRGRRGKLSDTRVVEKVMGVALCKQFRCFQCRNRRRKDVPCDKNALCIYKANKQVTRKFFGKCGECTRVGYHQCSANDGCVACRGESGGPAGSRAKTSTVPTDLIGSGELHPSTPALGPFATPMSYSEGEGLQHTAQSPFLKLPSQALSPSPGTPPSFSFFAPPQPPGSAKPLQETWARLGEYTQSPSFHGFSGDWPSLQPPQFSPTSPSPASCPSGYYDSGSSSLAEDVPGSLPGPYCGYVQFDEFKFSASAPSTASSSSTSSASSSASSCPQVTGPLQYLFTDFEGTYGDMFTSFSPLATPAAPAAEPSALDMTPKIFEPTLPDSALHDRPGPSPAPTGYQAQICPM
+>sp|Q7Z6K4|NRARP_HUMAN Notch-regulated ankyrin repeat-containing protein OS=Homo sapiens OX=9606 GN=NRARP PE=2 SV=1
+MSQAELSTCSAPQTQRIFQEAVRKGNTQELQSLLQNMTNCEFNVNSFGPEGQTALHQSVIDGNLELVKLLVKFGADIRLANRDGWSALHIAAFGGHQDIVLYLITKAKYAASGR
+>DECOY_sp|Q7Z6K4|NRARP_HUMAN Notch-regulated ankyrin repeat-containing protein OS=Homo sapiens OX=9606 GN=NRARP PE=2 SV=1
+RGSAAYKAKTILYLVIDQHGGFAAIHLASWGDRNALRIDAGFKVLLKVLELNGDIVSQHLATQGEPGFSNVNFECNTMNQLLSQLEQTNGKRVAEQFIRQTQPASCTSLEAQSM
+>sp|Q96F24|NRBF2_HUMAN Nuclear receptor-binding factor 2 OS=Homo sapiens OX=9606 GN=NRBF2 PE=1 SV=1
+MEVMEGPLNLAHQQSRRADRLLAAGKYEEAISCHKKAAAYLSEAMKLTQSEQAHLSLELQRDSHMKQLLLIQERWKRAQREERLKAQQNTDKDAAAHLQTSHKPSAEDAEGQSPLSQKYSPSTEKCLPEIQGIFDRDPDTLLYLLQQKSEPAEPCIGSKAPKDDKTIIEEQATKIADLKRHVEFLVAENERLRKENKQLKAEKARLLKGPIEKELDVDADFVETSELWSLPPHAETATASSTWQKFAANTGKAKDIPIPNLPPLDFPSPELPLMELSEDILKGFMNN
+>DECOY_sp|Q96F24|NRBF2_HUMAN Nuclear receptor-binding factor 2 OS=Homo sapiens OX=9606 GN=NRBF2 PE=1 SV=1
+NNMFGKLIDESLEMLPLEPSPFDLPPLNPIPIDKAKGTNAAFKQWTSSATATEAHPPLSWLESTEVFDADVDLEKEIPGKLLRAKEAKLQKNEKRLRENEAVLFEVHRKLDAIKTAQEEIITKDDKPAKSGICPEAPESKQQLLYLLTDPDRDFIGQIEPLCKETSPSYKQSLPSQGEADEASPKHSTQLHAAADKDTNQQAKLREERQARKWREQILLLQKMHSDRQLELSLHAQESQTLKMAESLYAAAKKHCSIAEEYKGAALLRDARRSQQHALNLPGEMVEM
+>sp|P48552|NRIP1_HUMAN Nuclear receptor-interacting protein 1 OS=Homo sapiens OX=9606 GN=NRIP1 PE=1 SV=2
+MTHGEELGSDVHQDSIVLTYLEGLLMHQAAGGSGTAVDKKSAGHNEEDQNFNISGSAFPTCQSNGPVLNTHTYQGSGMLHLKKARLLQSSEDWNAAKRKRLSDSIMNLNVKKEALLAGMVDSVPKGKQDSTLLASLLQSFSSRLQTVALSQQIRQSLKEQGYALSHDSLKVEKDLRCYGVASSHLKTLLKKSKVKDQKPDTNLPDVTKNLIRDRFAESPHHVGQSGTKVMSEPLSCAARLQAVASMVEKRASPATSPKPSVACSQLALLLSSEAHLQQYSREHALKTQNANQAASERLAAMARLQENGQKDVGSYQLPKGMSSHLNGQARTSSSKLMASKSSATVFQNPMGIIPSSPKNAGYKNSLERNNIKQAANNSLLLHLLKSQTIPKPMNGHSHSERGSIFEESSTPTTIDEYSDNNPSFTDDSSGDESSYSNCVPIDLSCKHRTEKSESDQPVSLDNFTQSLLNTWDPKVPDVDIKEDQDTSKNSKLNSHQKVTLLQLLLGHKNEENVEKNTSPQGVHNDVSKFNTQNYARTSVIESPSTNRTTPVSTPPLLTSSKAGSPINLSQHSLVIKWNSPPYVCSTQSEKLTNTASNHSMDLTKSKDPPGEKPAQNEGAQNSATFSASKLLQNLAQCGMQSSMSVEEQRPSKQLLTGNTDKPIGMIDRLNSPLLSNKTNAVEENKAFSSQPTGPEPGLSGSEIENLLERRTVLQLLLGNPNKGKSEKKEKTPLRDESTQEHSERALSEQILMVKIKSEPCDDLQIPNTNVHLSHDAKSAPFLGMAPAVQRSAPALPVSEDFKSEPVSPQDFSFSKNGLLSRLLRQNQDSYLADDSDRSHRNNEMALLESKNLCMVPKKRKLYTEPLENPFKKMKNNIVDAANNHSAPEVLYGSLLNQEELKFSRNDLEFKYPAGHGSASESEHRSWARESKSFNVLKQLLLSENCVRDLSPHRSNSVADSKKKGHKNNVTNSKPEFSISSLNGLMYSSTQPSSCMDNRTFSYPGVVKTPVSPTFPEHLGCAGSRPESGLLNGCSMPSEKGPIKWVITDAEKNEYEKDSPRLTKTNPILYYMLQKGGNSVTSRETQDKDIWREASSAESVSQVTAKEELLPTAETKASFFNLRSPYNSHMGNNASRPHSANGEVYGLLGSVLTIKKESE
+>DECOY_sp|P48552|NRIP1_HUMAN Nuclear receptor-interacting protein 1 OS=Homo sapiens OX=9606 GN=NRIP1 PE=1 SV=2
+ESEKKITLVSGLLGYVEGNASHPRSANNGMHSNYPSRLNFFSAKTEATPLLEEKATVQSVSEASSAERWIDKDQTERSTVSNGGKQLMYYLIPNTKTLRPSDKEYENKEADTIVWKIPGKESPMSCGNLLGSEPRSGACGLHEPFTPSVPTKVVGPYSFTRNDMCSSPQTSSYMLGNLSSISFEPKSNTVNNKHGKKKSDAVSNSRHPSLDRVCNESLLLQKLVNFSKSERAWSRHESESASGHGAPYKFELDNRSFKLEEQNLLSGYLVEPASHNNAADVINNKMKKFPNELPETYLKRKKPVMCLNKSELLAMENNRHSRDSDDALYSDQNQRLLRSLLGNKSFSFDQPSVPESKFDESVPLAPASRQVAPAMGLFPASKADHSLHVNTNPIQLDDCPESKIKVMLIQESLARESHEQTSEDRLPTKEKKESKGKNPNGLLLQLVTRRELLNEIESGSLGPEPGTPQSSFAKNEEVANTKNSLLPSNLRDIMGIPKDTNGTLLQKSPRQEEVSMSSQMGCQALNQLLKSASFTASNQAGENQAPKEGPPDKSKTLDMSHNSATNTLKESQTSCVYPPSNWKIVLSHQSLNIPSGAKSSTLLPPTSVPTTRNTSPSEIVSTRAYNQTNFKSVDNHVGQPSTNKEVNEENKHGLLLQLLTVKQHSNLKSNKSTDQDEKIDVDPVKPDWTNLLSQTFNDLSVPQDSESKETRHKCSLDIPVCNSYSSEDGSSDDTFSPNNDSYEDITTPTSSEEFISGRESHSHGNMPKPITQSKLLHLLLSNNAAQKINNRELSNKYGANKPSSPIIGMPNQFVTASSKSAMLKSSSTRAQGNLHSSMGKPLQYSGVDKQGNEQLRAMAALRESAAQNANQTKLAHERSYQQLHAESSLLLALQSCAVSPKPSTAPSARKEVMSAVAQLRAACSLPESMVKTGSQGVHHPSEAFRDRILNKTVDPLNTDPKQDKVKSKKLLTKLHSSAVGYCRLDKEVKLSDHSLAYGQEKLSQRIQQSLAVTQLRSSFSQLLSALLTSDQKGKPVSDVMGALLAEKKVNLNMISDSLRKRKAANWDESSQLLRAKKLHLMGSGQYTHTNLVPGNSQCTPFASGSINFNQDEENHGASKKDVATGSGGAAQHMLLGELYTLVISDQHVDSGLEEGHTM
+>sp|Q9NWW6|NRK1_HUMAN Nicotinamide riboside kinase 1 OS=Homo sapiens OX=9606 GN=NMRK1 PE=1 SV=1
+MKTFIIGISGVTNSGKTTLAKNLQKHLPNCSVISQDDFFKPESEIETDKNGFLQYDVLEALNMEKMMSAISCWMESARHSVVSTDQESAEEIPILIIEGFLLFNYKPLDTIWNRSYFLTIPYEECKRRRSTRVYQPPDSPGYFDGHVWPMYLKYRQEMQDITWEVVYLDGTKSEEDLFLQVYEDLIQELAKQKCLQVTA
+>DECOY_sp|Q9NWW6|NRK1_HUMAN Nicotinamide riboside kinase 1 OS=Homo sapiens OX=9606 GN=NMRK1 PE=1 SV=1
+ATVQLCKQKALEQILDEYVQLFLDEESKTGDLYVVEWTIDQMEQRYKLYMPWVHGDFYGPSDPPQYVRTSRRRKCEEYPITLFYSRNWITDLPKYNFLLFGEIILIPIEEASEQDTSVVSHRASEMWCSIASMMKEMNLAELVDYQLFGNKDTEIESEPKFFDDQSIVSCNPLHKQLNKALTTKGSNTVGSIGIIFTKM
+>sp|P54845|NRL_HUMAN Neural retina-specific leucine zipper protein OS=Homo sapiens OX=9606 GN=NRL PE=1 SV=1
+MALPPSPLAMEYVNDFDLMKFEVKREPSEGRPGPPTASLGSTPYSSVPPSPTFSEPGMVGATEGTRPGLEELYWLATLQQQLGAGEALGLSPEEAMELLQGQGPVPVDGPHGYYPGSPEETGAQHVQLAERFSDAALVSMSVRELNRQLRGCGRDEALRLKQRRRTLKNRGYAQACRSKRLQQRRGLEAERARLAAQLDALRAEVARLARERDLYKARCDRLTSSGPGSGDPSHLFL
+>DECOY_sp|P54845|NRL_HUMAN Neural retina-specific leucine zipper protein OS=Homo sapiens OX=9606 GN=NRL PE=1 SV=1
+LFLHSPDGSGPGSSTLRDCRAKYLDRERALRAVEARLADLQAALRAREAELGRRQQLRKSRCAQAYGRNKLTRRRQKLRLAEDRGCGRLQRNLERVSMSVLAADSFREALQVHQAGTEEPSGPYYGHPGDVPVPGQGQLLEMAEEPSLGLAEGAGLQQQLTALWYLEELGPRTGETAGVMGPESFTPSPPVSSYPTSGLSATPPGPRGESPERKVEFKMLDFDNVYEMALPSPPLAM
+>sp|Q496H8|NRN1L_HUMAN Neuritin-like protein OS=Homo sapiens OX=9606 GN=NRN1L PE=2 SV=2
+MMRCCRRRCCCRQPPHALRPLLLLPLVLLPPLAAAAAGPNRCDTIYQGFAECLIRLGDSMGRGGELETICRSWNDFHACASQVLSGCPEEAAAVWESLQQEARQAPRPNNLHTLCGAPVHVRERGTGSETNQETLRATAPALPMAPAPPLLAAALALAYLLRPLA
+>DECOY_sp|Q496H8|NRN1L_HUMAN Neuritin-like protein OS=Homo sapiens OX=9606 GN=NRN1L PE=2 SV=2
+ALPRLLYALALAAALLPPAPAMPLAPATARLTEQNTESGTGRERVHVPAGCLTHLNNPRPAQRAEQQLSEWVAAAEEPCGSLVQSACAHFDNWSRCITELEGGRGMSDGLRILCEAFGQYITDCRNPGAAAAALPPLLVLPLLLLPRLAHPPQRCCCRRRCCRMM
+>sp|Q9NPD7|NRN1_HUMAN Neuritin OS=Homo sapiens OX=9606 GN=NRN1 PE=2 SV=1
+MGLKLNGRYISLILAVQIAYLVQAVRAAGKCDAVFKGFSDCLLKLGDSMANYPQGLDDKTNIKTVCTYWEDFHSCTVTALTDCQEGAKDMWDKLRKESKNLNIQGSLFELCGSGNGAAGSLLPAFPVLLVSLSAALATWLSF
+>DECOY_sp|Q9NPD7|NRN1_HUMAN Neuritin OS=Homo sapiens OX=9606 GN=NRN1 PE=2 SV=1
+FSLWTALAASLSVLLVPFAPLLSGAAGNGSGCLEFLSGQINLNKSEKRLKDWMDKAGEQCDTLATVTCSHFDEWYTCVTKINTKDDLGQPYNAMSDGLKLLCDSFGKFVADCKGAARVAQVLYAIQVALILSIYRGNLKLGM
+>sp|Q8IZ57|NRSN1_HUMAN Neurensin-1 OS=Homo sapiens OX=9606 GN=NRSN1 PE=1 SV=1
+MSSCSNVCGSRQAQAAAEGGYQRYGVRSYLHQFYEDCTASIWEYEDDFQIQRSPNRWSSVFWKVGLISGTVFVILGLTVLAVGFLVPPKIEAFGEADFVVVDTHAVQFNSALDMYKLAGAVLFCIGGTSMAGCLLMSVFVKSYSKEEKFLQQKFKERIADIKAHTQPVTKAPGPGETKIPVTLSRVQNVQPLLAT
+>DECOY_sp|Q8IZ57|NRSN1_HUMAN Neurensin-1 OS=Homo sapiens OX=9606 GN=NRSN1 PE=1 SV=1
+TALLPQVNQVRSLTVPIKTEGPGPAKTVPQTHAKIDAIREKFKQQLFKEEKSYSKVFVSMLLCGAMSTGGICFLVAGALKYMDLASNFQVAHTDVVVFDAEGFAEIKPPVLFGVALVTLGLIVFVTGSILGVKWFVSSWRNPSRQIQFDDEYEWISATCDEYFQHLYSRVGYRQYGGEAAAQAQRSGCVNSCSSM
+>sp|Q9Y4C0|NRX3A_HUMAN Neurexin-3 OS=Homo sapiens OX=9606 GN=NRXN3 PE=1 SV=4
+MSSTLHSVFFTLKVSILLGSLLGLCLGLEFMGLPNQWARYLRWDASTRSDLSFQFKTNVSTGLLLYLDDGGVCDFLCLSLVDGRVQLRFSMDCAETAVLSNKQVNDSSWHFLMVSRDRLRTVLMLDGEGQSGELQPQRPYMDVVSDLFLGGVPTDIRPSALTLDGVQAMPGFKGLILDLKYGNSEPRLLGSRGVQMDAEGPCGERPCENGGICFLLDGHPTCDCSTTGYGGKLCSEDVSQDPGLSHLMMSEQAREENVATFRGSEYLCYDLSQNPIQSSSDEITLSFKTWQRNGLILHTGKSADYVNLALKDGAVSLVINLGSGAFEAIVEPVNGKFNDNAWHDVKVTRNLRQVTISVDGILTTTGYTQEDYTMLGSDDFFYVGGSPSTADLPGSPVSNNFMGCLKEVVYKNNDIRLELSRLARIADTKMKIYGEVVFKCENVATLDPINFETPEAYISLPKWNTKRMGSISFDFRTTEPNGLILFTHGKPQERKDARSQKNTKVDFFAVELLDGNLYLLLDMGSGTIKVKATQKKANDGEWYHVDIQRDGRSGTISVNSRRTPFTASGESEILDLEGDMYLGGLPENRAGLILPTELWTAMLNYGYVGCIRDLFIDGRSKNIRQLAEMQNAAGVKSSCSRMSAKQCDSYPCKNNAVCKDGWNRFICDCTGTGYWGRTCEREASILSYDGSMYMKIIMPMVMHTEAEDVSFRFMSQRAYGLLVATTSRDSADTLRLELDGGRVKLMVNLDCIRINCNSSKGPETLYAGQKLNDNEWHTVRVVRRGKSLKLTVDDDVAEGTMVGDHTRLEFHNIETGIMTEKRYISVVPSSFIGHLQSLMFNGLLYIDLCKNGDIDYCELKARFGLRNIIADPVTFKTKSSYLSLATLQAYTSMHLFFQFKTTSPDGFILFNSGDGNDFIAVELVKGYIHYVFDLGNGPNVIKGNSDRPLNDNQWHNVVITRDNSNTHSLKVDTKVVTQVINGAKNLDLKGDLYMAGLAQGMYSNLPKLVASRDGFQGCLASVDLNGRLPDLINDALHRSGQIERGCEGPSTTCQEDSCANQGVCMQQWEGFTCDCSMTSYSGNQCNDPGATYIFGKSGGLILYTWPANDRPSTRSDRLAVGFSTTVKDGILVRIDSAPGLGDFLQLHIEQGKIGVVFNIGTVDISIKEERTPVNDGKYHVVRFTRNGGNATLQVDNWPVNEHYPTGRQLTIFNTQAQIAIGGKDKGRLFQGQLSGLYYDGLKVLNMAAENNPNIKINGSVRLVGEVPSILGTTQTTSMPPEMSTTVMETTTTMATTTTRKNRSTASIQPTSDDLVSSAECSSDDEDFVECEPSTTGGELVIPLLVEDPLATPPIATRAPSITLPPTFRPLLTIIETTKDSLSMTSEAGLPCLSDQGSDGCDDDGLVISGYGSGETFDSNLPPTDDEDFYTTFSLVTDKSLSTSIFEGGYKAHAPKWESKDFRPNKVSETSRTTTTSLSPELIRFTASSSSGMVPKLPAGKMNNRDLKPQPDIVLLPLPTAYELDSTKLKSPLITSPMFRNVPTANPTEPGIRRVPGASEVIRESSSTTGMVVGIVAAAALCILILLYAMYKYRNRDEGSYQVDETRNYISNSAQSNGTLMKEKQQSSKSGHKKQKNKDREYYV
+>DECOY_sp|Q9Y4C0|NRX3A_HUMAN Neurexin-3 OS=Homo sapiens OX=9606 GN=NRXN3 PE=1 SV=4
+VYYERDKNKQKKHGSKSSQQKEKMLTGNSQASNSIYNRTEDVQYSGEDRNRYKYMAYLLILICLAAAAVIGVVMGTTSSSERIVESAGPVRRIGPETPNATPVNRFMPSTILPSKLKTSDLEYATPLPLLVIDPQPKLDRNNMKGAPLKPVMGSSSSATFRILEPSLSTTTTRSTESVKNPRFDKSEWKPAHAKYGGEFISTSLSKDTVLSFTTYFDEDDTPPLNSDFTEGSGYGSIVLGDDDCGDSGQDSLCPLGAESTMSLSDKTTEIITLLPRFTPPLTISPARTAIPPTALPDEVLLPIVLEGGTTSPECEVFDEDDSSCEASSVLDDSTPQISATSRNKRTTTTAMTTTTEMVTTSMEPPMSTTQTTGLISPVEGVLRVSGNIKINPNNEAAMNLVKLGDYYLGSLQGQFLRGKDKGGIAIQAQTNFITLQRGTPYHENVPWNDVQLTANGGNRTFRVVHYKGDNVPTREEKISIDVTGINFVVGIKGQEIHLQLFDGLGPASDIRVLIGDKVTTSFGVALRDSRTSPRDNAPWTYLILGGSKGFIYTAGPDNCQNGSYSTMSCDCTFGEWQQMCVGQNACSDEQCTTSPGECGREIQGSRHLADNILDPLRGNLDVSALCGQFGDRSAVLKPLNSYMGQALGAMYLDGKLDLNKAGNIVQTVVKTDVKLSHTNSNDRTIVVNHWQNDNLPRDSNGKIVNPGNGLDFVYHIYGKVLEVAIFDNGDGSNFLIFGDPSTTKFQFFLHMSTYAQLTALSLYSSKTKFTVPDAIINRLGFRAKLECYDIDGNKCLDIYLLGNFMLSQLHGIFSSPVVSIYRKETMIGTEINHFELRTHDGVMTGEAVDDDVTLKLSKGRRVVRVTHWENDNLKQGAYLTEPGKSSNCNIRICDLNVMLKVRGGDLELRLTDASDRSTTAVLLGYARQSMFRFSVDEAETHMVMPMIIKMYMSGDYSLISAERECTRGWYGTGTCDCIFRNWGDKCVANNKCPYSDCQKASMRSCSSKVGAANQMEALQRINKSRGDIFLDRICGVYGYNLMATWLETPLILGARNEPLGGLYMDGELDLIESEGSATFPTRRSNVSITGSRGDRQIDVHYWEGDNAKKQTAKVKITGSGMDLLLYLNGDLLEVAFFDVKTNKQSRADKREQPKGHTFLILGNPETTRFDFSISGMRKTNWKPLSIYAEPTEFNIPDLTAVNECKFVVEGYIKMKTDAIRALRSLELRIDNNKYVVEKLCGMFNNSVPSGPLDATSPSGGVYFFDDSGLMTYDEQTYGTTTLIGDVSITVQRLNRTVKVDHWANDNFKGNVPEVIAEFAGSGLNIVLSVAGDKLALNVYDASKGTHLILGNRQWTKFSLTIEDSSSQIPNQSLDYCLYESGRFTAVNEERAQESMMLHSLGPDQSVDESCLKGGYGTTSCDCTPHGDLLFCIGGNECPREGCPGEADMQVGRSGLLRPESNGYKLDLILGKFGPMAQVGDLTLASPRIDTPVGGLFLDSVVDMYPRQPQLEGSQGEGDLMLVTRLRDRSVMLFHWSSDNVQKNSLVATEACDMSFRLQVRGDVLSLCLFDCVGGDDLYLLLGTSVNTKFQFSLDSRTSADWRLYRAWQNPLGMFELGLCLGLLSGLLISVKLTFFVSHLTSSM
+>sp|Q9HDB5|NRX3B_HUMAN Neurexin-3-beta OS=Homo sapiens OX=9606 GN=NRXN3 PE=1 SV=4
+MHLRIHARRSPPRRPAWTLGIWFLFWGCIVSSVWSSSNVASSSSTSSSPGSHSQHEHHFHGSKHHSVPISIYRSPVSLRGGHAGATYIFGKSGGLILYTWPANDRPSTRSDRLAVGFSTTVKDGILVRIDSAPGLGDFLQLHIEQGKIGVVFNIGTVDISIKEERTPVNDGKYHVVRFTRNGGNATLQVDNWPVNEHYPTGRQLTIFNTQAQIAIGGKDKGRLFQGQLSGLYYDGLKVLNMAAENNPNIKINGSVRLVGEVPSILGTTQTTSMPPEMSTTVMETTTTMATTTTRKNRSTASIQPTSDDLVSSAECSSDDEDFVECEPSTGGELVIPLLVEDPLATPPIATRAPSITLPPTFRPLLTIIETTKDSLSMTSEAGLPCLSDQGSDGCDDDGLVISGYGSGETFDSNLPPTDDEDFYTTFSLVTDKSLSTSIFEGGYKAHAPKWESKDFRPNKVSETSRTTTTSLSPELIRFTASSSSGMVPKLPAGKMNNRDLKPQPDIVLLPLPTAYELDSTKLKSPLITSPMFRNVPTANPTEPGIRRVPGASEVIRESSSTTGMVVGIVAAAALCILILLYAMYKYRNRDEGSYQVDETRNYISNSAQSNGTLMKEKQQSSKSGHKKQKNKDREYYV
+>DECOY_sp|Q9HDB5|NRX3B_HUMAN Neurexin-3-beta OS=Homo sapiens OX=9606 GN=NRXN3 PE=1 SV=4
+VYYERDKNKQKKHGSKSSQQKEKMLTGNSQASNSIYNRTEDVQYSGEDRNRYKYMAYLLILICLAAAAVIGVVMGTTSSSERIVESAGPVRRIGPETPNATPVNRFMPSTILPSKLKTSDLEYATPLPLLVIDPQPKLDRNNMKGAPLKPVMGSSSSATFRILEPSLSTTTTRSTESVKNPRFDKSEWKPAHAKYGGEFISTSLSKDTVLSFTTYFDEDDTPPLNSDFTEGSGYGSIVLGDDDCGDSGQDSLCPLGAESTMSLSDKTTEIITLLPRFTPPLTISPARTAIPPTALPDEVLLPIVLEGGTSPECEVFDEDDSSCEASSVLDDSTPQISATSRNKRTTTTAMTTTTEMVTTSMEPPMSTTQTTGLISPVEGVLRVSGNIKINPNNEAAMNLVKLGDYYLGSLQGQFLRGKDKGGIAIQAQTNFITLQRGTPYHENVPWNDVQLTANGGNRTFRVVHYKGDNVPTREEKISIDVTGINFVVGIKGQEIHLQLFDGLGPASDIRVLIGDKVTTSFGVALRDSRTSPRDNAPWTYLILGGSKGFIYTAGAHGGRLSVPSRYISIPVSHHKSGHFHHEHQSHSGPSSSTSSSSAVNSSSWVSSVICGWFLFWIGLTWAPRRPPSRRAHIRLHM
+>sp|Q96L73|NSD1_HUMAN Histone-lysine N-methyltransferase, H3 lysine-36 and H4 lysine-20 specific OS=Homo sapiens OX=9606 GN=NSD1 PE=1 SV=1
+MDQTCELPRRNCLLPFSNPVNLDAPEDKDSPFGNGQSNFSEPLNGCTMQLSTVSGTSQNAYGQDSPSCYIPLRRLQDLASMINVEYLNGSADGSESFQDPEKSDSRAQTPIVCTSLSPGGPTALAMKQEPSCNNSPELQVKVTKTIKNGFLHFENFTCVDDADVDSEMDPEQPVTEDESIEEIFEETQTNATCNYETKSENGVKVAMGSEQDSTPESRHGAVKSPFLPLAPQTETQKNKQRNEVDGSNEKAALLPAPFSLGDTNITIEEQLNSINLSFQDDPDSSTSTLGNMLELPGTSSSSTSQELPFCQPKKKSTPLKYEVGDLIWAKFKRRPWWPCRICSDPLINTHSKMKVSNRRPYRQYYVEAFGDPSERAWVAGKAIVMFEGRHQFEELPVLRRRGKQKEKGYRHKVPQKILSKWEASVGLAEQYDVPKGSKNRKCIPGSIKLDSEEDMPFEDCTNDPESEHDLLLNGCLKSLAFDSEHSADEKEKPCAKSRARKSSDNPKRTSVKKGHIQFEAHKDERRGKIPENLGLNFISGDISDTQASNELSRIANSLTGSNTAPGSFLFSSCGKNTAKKEFETSNGDSLLGLPEGALISKCSREKNKPQRSLVCGSKVKLCYIGAGDEEKRSDSISICTTSDDGSSDLDPIEHSSESDNSVLEIPDAFDRTENMLSMQKNEKIKYSRFAATNTRVKAKQKPLISNSHTDHLMGCTKSAEPGTETSQVNLSDLKASTLVHKPQSDFTNDALSPKFNLSSSISSENSLIKGGAANQALLHSKSKQPKFRSIKCKHKENPVMAEPPVINEECSLKCCSSDTKGSPLASISKSGKVDGLKLLNNMHEKTRDSSDIETAVVKHVLSELKELSYRSLGEDVSDSGTSKPSKPLLFSSASSQNHIPIEPDYKFSTLLMMLKDMHDSKTKEQRLMTAQNLVSYRSPGRGDCSTNSPVGVSKVLVSGGSTHNSEKKGDGTQNSANPSPSGGDSALSGELSASLPGLLSDKRDLPASGKSRSDCVTRRNCGRSKPSSKLRDAFSAQMVKNTVNRKALKTERKRKLNQLPSVTLDAVLQGDRERGGSLRGGAEDPSKEDPLQIMGHLTSEDGDHFSDVHFDSKVKQSDPGKISEKGLSFENGKGPELDSVMNSENDELNGVNQVVPKKRWQRLNQRRTKPRKRMNRFKEKENSECAFRVLLPSDPVQEGRDEFPEHRTPSASILEEPLTEQNHADCLDSAGPRLNVCDKSSASIGDMEKEPGIPSLTPQAELPEPAVRSEKKRLRKPSKWLLEYTEEYDQIFAPKKKQKKVQEQVHKVSSRCEEESLLARGRSSAQNKQVDENSLISTKEEPPVLEREAPFLEGPLAQSELGGGHAELPQLTLSVPVAPEVSPRPALESEELLVKTPGNYESKRQRKPTKKLLESNDLDPGFMPKKGDLGLSKKCYEAGHLENGITESCATSYSKDFGGGTTKIFDKPRKRKRQRHAAAKMQCKKVKNDDSSKEIPGSEGELMPHRTATSPKETVEEGVEHDPGMPASKKMQGERGGGAALKENVCQNCEKLGELLLCEAQCCGAFHLECLGLTEMPRGKFICNECRTGIHTCFVCKQSGEDVKRCLLPLCGKFYHEECVQKYPPTVMQNKGFRCSLHICITCHAANPANVSASKGRLMRCVRCPVAYHANDFCLAAGSKILASNSIICPNHFTPRRGCRNHEHVNVSWCFVCSEGGSLLCCDSCPAAFHRECLNIDIPEGNWYCNDCKAGKKPHYREIVWVKVGRYRWWPAEICHPRAVPSNIDKMRHDVGEFPVLFFGSNDYLWTHQARVFPYMEGDVSSKDKMGKGVDGTYKKALQEAAARFEELKAQKELRQLQEDRKNDKKPPPYKHIKVNRPIGRVQIFTADLSEIPRCNCKATDENPCGIDSECINRMLLYECHPTVCPAGGRCQNQCFSKRQYPEVEIFRTLQRGWGLRTKTDIKKGEFVNEYVGELIDEEECRARIRYAQEHDITNFYMLTLDKDRIIDAGPKGNYARFMNHCCQPNCETQKWSVNGDTRVGLFALSDIKAGTELTFNYNLECLGNGKTVCKCGAPNCSGFLGVRPKNQPIATEEKSKKFKKKQQGKRRTQGEITKEREDECFSCGDAGQLVSCKKPGCPKVYHADCLNLTKRPAGKWECPWHQCDICGKEAASFCEMCPSSFCKQHREGMLFISKLDGRLSCTEHDPCGPNPLEPGEIREYVPPPVPLPPGPSTHLAEQSTGMAAQAPKMSDKPPADTNQMLSLSKKALAGTCQRPLLPERPLERTDSRPQPLDKVRDLAGSGTKSQSLVSSQRPLDRPPAVAGPRPQLSDKPSPVTSPSSSPSVRSQPLERPLGTADPRLDKSIGAASPRPQSLEKTSVPTGLRLPPPDRLLITSSPKPQTSDRPTDKPHASLSQRLPPPEKVLSAVVQTLVAKEKALRPVDQNTQSKNRAALVMDLIDLTPRQKERAASPHQVTPQADEKMPVLESSSWPASKGLGHMPRAVEKGCVSDPLQTSGKAAAPSEDPWQAVKSLTQARLLSQPPAKAFLYEPTTQASGRASAGAEQTPGPLSQSPGLVKQAKQMVGGQQLPALAAKSGQSFRSLGKAPASLPTEEKKLVTTEQSPWALGKASSRAGLWPIVAGQTLAQSCWSAGSTQTLAQTCWSLGRGQDPKPEQNTLPALNQAPSSHKCAESEQK
+>DECOY_sp|Q96L73|NSD1_HUMAN Histone-lysine N-methyltransferase, H3 lysine-36 and H4 lysine-20 specific OS=Homo sapiens OX=9606 GN=NSD1 PE=1 SV=1
+KQESEACKHSSPAQNLAPLTNQEPKPDQGRGLSWCTQALTQTSGASWCSQALTQGAVIPWLGARSSAKGLAWPSQETTVLKKEETPLSAPAKGLSRFSQGSKAALAPLQQGGVMQKAQKVLGPSQSLPGPTQEAGASARGSAQTTPEYLFAKAPPQSLLRAQTLSKVAQWPDESPAAAKGSTQLPDSVCGKEVARPMHGLGKSAPWSSSELVPMKEDAQPTVQHPSAAREKQRPTLDILDMVLAARNKSQTNQDVPRLAKEKAVLTQVVASLVKEPPPLRQSLSAHPKDTPRDSTQPKPSSTILLRDPPPLRLGTPVSTKELSQPRPSAAGISKDLRPDATGLPRELPQSRVSPSSSPSTVPSPKDSLQPRPGAVAPPRDLPRQSSVLSQSKTGSGALDRVKDLPQPRSDTRELPREPLLPRQCTGALAKKSLSLMQNTDAPPKDSMKPAQAAMGTSQEALHTSPGPPLPVPPPVYERIEGPELPNPGCPDHETCSLRGDLKSIFLMGERHQKCFSSPCMECFSAAEKGCIDCQHWPCEWKGAPRKTLNLCDAHYVKPCGPKKCSVLQGADGCSFCEDEREKTIEGQTRRKGQQKKKFKKSKEETAIPQNKPRVGLFGSCNPAGCKCVTKGNGLCELNYNFTLETGAKIDSLAFLGVRTDGNVSWKQTECNPQCCHNMFRAYNGKPGADIIRDKDLTLMYFNTIDHEQAYRIRARCEEEDILEGVYENVFEGKKIDTKTRLGWGRQLTRFIEVEPYQRKSFCQNQCRGGAPCVTPHCEYLLMRNICESDIGCPNEDTAKCNCRPIESLDATFIQVRGIPRNVKIHKYPPPKKDNKRDEQLQRLEKQAKLEEFRAAAEQLAKKYTGDVGKGMKDKSSVDGEMYPFVRAQHTWLYDNSGFFLVPFEGVDHRMKDINSPVARPHCIEAPWWRYRGVKVWVIERYHPKKGAKCDNCYWNGEPIDINLCERHFAAPCSDCCLLSGGESCVFCWSVNVHEHNRCGRRPTFHNPCIISNSALIKSGAALCFDNAHYAVPCRVCRMLRGKSASVNAPNAAHCTICIHLSCRFGKNQMVTPPYKQVCEEHYFKGCLPLLCRKVDEGSQKCVFCTHIGTRCENCIFKGRPMETLGLCELHFAGCCQAECLLLEGLKECNQCVNEKLAAGGGREGQMKKSAPMGPDHEVGEEVTEKPSTATRHPMLEGESGPIEKSSDDNKVKKCQMKAAAHRQRKRKRPKDFIKTTGGGFDKSYSTACSETIGNELHGAEYCKKSLGLDGKKPMFGPDLDNSELLKKTPKRQRKSEYNGPTKVLLEESELAPRPSVEPAVPVSLTLQPLEAHGGGLESQALPGELFPAERELVPPEEKTSILSNEDVQKNQASSRGRALLSEEECRSSVKHVQEQVKKQKKKPAFIQDYEETYELLWKSPKRLRKKESRVAPEPLEAQPTLSPIGPEKEMDGISASSKDCVNLRPGASDLCDAHNQETLPEELISASPTRHEPFEDRGEQVPDSPLLVRFACESNEKEKFRNMRKRPKTRRQNLRQWRKKPVVQNVGNLEDNESNMVSDLEPGKGNEFSLGKESIKGPDSQKVKSDFHVDSFHDGDESTLHGMIQLPDEKSPDEAGGRLSGGRERDGQLVADLTVSPLQNLKRKRETKLAKRNVTNKVMQASFADRLKSSPKSRGCNRRTVCDSRSKGSAPLDRKDSLLGPLSASLEGSLASDGGSPSPNASNQTGDGKKESNHTSGGSVLVKSVGVPSNTSCDGRGPSRYSVLNQATMLRQEKTKSDHMDKLMMLLTSFKYDPEIPIHNQSSASSFLLPKSPKSTGSDSVDEGLSRYSLEKLESLVHKVVATEIDSSDRTKEHMNNLLKLGDVKGSKSISALPSGKTDSSCCKLSCEENIVPPEAMVPNEKHKCKISRFKPQKSKSHLLAQNAAGGKILSNESSISSSLNFKPSLADNTFDSQPKHVLTSAKLDSLNVQSTETGPEASKTCGMLHDTHSNSILPKQKAKVRTNTAAFRSYKIKENKQMSLMNETRDFADPIELVSNDSESSHEIPDLDSSGDDSTTCISISDSRKEEDGAGIYCLKVKSGCVLSRQPKNKERSCKSILAGEPLGLLSDGNSTEFEKKATNKGCSSFLFSGPATNSGTLSNAIRSLENSAQTDSIDGSIFNLGLNEPIKGRREDKHAEFQIHGKKVSTRKPNDSSKRARSKACPKEKEDASHESDFALSKLCGNLLLDHESEPDNTCDEFPMDEESDLKISGPICKRNKSGKPVDYQEALGVSAEWKSLIKQPVKHRYGKEKQKGRRRLVPLEEFQHRGEFMVIAKGAVWARESPDGFAEVYYQRYPRRNSVKMKSHTNILPDSCIRCPWWPRRKFKAWILDGVEYKLPTSKKKPQCFPLEQSTSSSSTGPLELMNGLTSTSSDPDDQFSLNISNLQEEITINTDGLSFPAPLLAAKENSGDVENRQKNKQTETQPALPLFPSKVAGHRSEPTSDQESGMAVKVGNESKTEYNCTANTQTEEFIEEISEDETVPQEPDMESDVDADDVCTFNEFHLFGNKITKTVKVQLEPSNNCSPEQKMALATPGGPSLSTCVIPTQARSDSKEPDQFSESGDASGNLYEVNIMSALDQLRRLPIYCSPSDQGYANQSTGSVTSLQMTCGNLPESFNSQGNGFPSDKDEPADLNVPNSFPLLCNRRPLECTQDM
+>sp|O96028|NSD2_HUMAN Histone-lysine N-methyltransferase NSD2 OS=Homo sapiens OX=9606 GN=NSD2 PE=1 SV=1
+MEFSIKQSPLSVQSVVKCIKMKQAPEILGSANGKTPSCEVNRECSVFLSKAQLSSSLQEGVMQKFNGHDALPFIPADKLKDLTSRVFNGEPGAHDAKLRFESQEMKGIGTPPNTTPIKNGSPEIKLKITKTYMNGKPLFESSICGDSAADVSQSEENGQKPENKARRNRKRSIKYDSLLEQGLVEAALVSKISSPSDKKIPAKKESCPNTGRDKDHLLKYNVGDLVWSKVSGYPWWPCMVSADPLLHSYTKLKGQKKSARQYHVQFFGDAPERAWIFEKSLVAFEGEGQFEKLCQESAKQAPTKAEKIKLLKPISGKLRAQWEMGIVQAEEAASMSVEERKAKFTFLYVGDQLHLNPQVAKEAGIAAESLGEMAESSGVSEEAAENPKSVREECIPMKRRRRAKLCSSAETLESHPDIGKSTPQKTAEADPRRGVGSPPGRKKTTVSMPRSRKGDAASQFLVFCQKHRDEVVAEHPDASGEEIEELLRSQWSLLSEKQRARYNTKFALVAPVQAEEDSGNVNGKKRNHTKRIQDPTEDAEAEDTPRKRLRTDKHSLRKRDTITDKTARTSSYKAMEAASSLKSQAATKNLSDACKPLKKRNRASTAASSALGFSKSSSPSASLTENEVSDSPGDEPSESPYESADETQTEVSVSSKKSERGVTAKKEYVCQLCEKPGSLLLCEGPCCGAFHLACLGLSRRPEGRFTCSECASGIHSCFVCKESKTDVKRCVVTQCGKFYHEACVKKYPLTVFESRGFRCPLHSCVSCHASNPSNPRPSKGKMMRCVRCPVAYHSGDACLAAGCSVIASNSIICTAHFTARKGKRHHAHVNVSWCFVCSKGGSLLCCESCPAAFHPDCLNIEMPDGSWFCNDCRAGKKLHFQDIIWVKLGNYRWWPAEVCHPKNVPPNIQKMKHEIGEFPVFFFGSKDYYWTHQARVFPYMEGDRGSRYQGVRGIGRVFKNALQEAEARFREIKLQREARETQESERKPPPYKHIKVNKPYGKVQIYTADISEIPKCNCKPTDENPCGFDSECLNRMLMFECHPQVCPAGEFCQNQCFTKRQYPETKIIKTDGKGWGLVAKRDIRKGEFVNEYVGELIDEEECMARIKHAHENDITHFYMLTIDKDRIIDAGPKGNYSRFMNHSCQPNCETLKWTVNGDTRVGLFAVCDIPAGTELTFNYNLDCLGNEKTVCRCGASNCSGFLGDRPKTSTTLSSEEKGKKTKKKTRRRRAKGEGKRQSEDECFRCGDGGQLVLCDRKFCTKAYHLSCLGLGKRPFGKWECPWHHCDVCGKPSTSFCHLCPNSFCKEHQDGTAFSCTPDGRSYCCEHDLGAASVRSTKTEKPPPEPGKPKGKRRRRRGWRRVTEGK
+>DECOY_sp|O96028|NSD2_HUMAN Histone-lysine N-methyltransferase NSD2 OS=Homo sapiens OX=9606 GN=NSD2 PE=1 SV=1
+KGETVRRWGRRRRRKGKPKGPEPPPKETKTSRVSAAGLDHECCYSRGDPTCSFATGDQHEKCFSNPCLHCFSTSPKGCVDCHHWPCEWKGFPRKGLGLCSLHYAKTCFKRDCLVLQGGDGCRFCEDESQRKGEGKARRRRTKKKTKKGKEESSLTTSTKPRDGLFGSCNSAGCRCVTKENGLCDLNYNFTLETGAPIDCVAFLGVRTDGNVTWKLTECNPQCSHNMFRSYNGKPGADIIRDKDITLMYFHTIDNEHAHKIRAMCEEEDILEGVYENVFEGKRIDRKAVLGWGKGDTKIIKTEPYQRKTFCQNQCFEGAPCVQPHCEFMLMRNLCESDFGCPNEDTPKCNCKPIESIDATYIQVKGYPKNVKIHKYPPPKRESEQTERAERQLKIERFRAEAEQLANKFVRGIGRVGQYRSGRDGEMYPFVRAQHTWYYDKSGFFFVPFEGIEHKMKQINPPVNKPHCVEAPWWRYNGLKVWIIDQFHLKKGARCDNCFWSGDPMEINLCDPHFAAPCSECCLLSGGKSCVFCWSVNVHAHHRKGKRATFHATCIISNSAIVSCGAALCADGSHYAVPCRVCRMMKGKSPRPNSPNSAHCSVCSHLPCRFGRSEFVTLPYKKVCAEHYFKGCQTVVCRKVDTKSEKCVFCSHIGSACESCTFRGEPRRSLGLCALHFAGCCPGECLLLSGPKECLQCVYEKKATVGRESKKSSVSVETQTEDASEYPSESPEDGPSDSVENETLSASPSSSKSFGLASSAATSARNRKKLPKCADSLNKTAAQSKLSSAAEMAKYSSTRATKDTITDRKRLSHKDTRLRKRPTDEAEADETPDQIRKTHNRKKGNVNGSDEEAQVPAVLAFKTNYRARQKESLLSWQSRLLEEIEEGSADPHEAVVEDRHKQCFVLFQSAADGKRSRPMSVTTKKRGPPSGVGRRPDAEATKQPTSKGIDPHSELTEASSCLKARRRRKMPICEERVSKPNEAAEESVGSSEAMEGLSEAAIGAEKAVQPNLHLQDGVYLFTFKAKREEVSMSAAEEAQVIGMEWQARLKGSIPKLLKIKEAKTPAQKASEQCLKEFQGEGEFAVLSKEFIWAREPADGFFQVHYQRASKKQGKLKTYSHLLPDASVMCPWWPYGSVKSWVLDGVNYKLLHDKDRGTNPCSEKKAPIKKDSPSSIKSVLAAEVLGQELLSDYKISRKRNRRAKNEPKQGNEESQSVDAASDGCISSEFLPKGNMYTKTIKLKIEPSGNKIPTTNPPTGIGKMEQSEFRLKADHAGPEGNFVRSTLDKLKDAPIFPLADHGNFKQMVGEQLSSSLQAKSLFVSCERNVECSPTKGNASGLIEPAQKMKICKVVSQVSLPSQKISFEM
+>sp|P42857|NSG1_HUMAN Neuronal vesicle trafficking-associated protein 1 OS=Homo sapiens OX=9606 GN=NSG1 PE=1 SV=1
+MVKLGNNFAEKGTKQPLLEDGFDTIPLMTPLDVNQLQFPPPDKVVVKTKTEYEPDRKKGKARPPQIAEFTVSITEGVTERFKVSVLVLFALAFLTCVVFLVVYKVYKYDRACPDGFVLKNTQCIPEGLESYYAEQDSSAREKFYTVINHYNLAKQSITRSVSPWMSVLSEEKLSEQETEAAEKSA
+>DECOY_sp|P42857|NSG1_HUMAN Neuronal vesicle trafficking-associated protein 1 OS=Homo sapiens OX=9606 GN=NSG1 PE=1 SV=1
+ASKEAAETEQESLKEESLVSMWPSVSRTISQKALNYHNIVTYFKERASSDQEAYYSELGEPICQTNKLVFGDPCARDYKYVKYVVLFVVCTLFALAFLVLVSVKFRETVGETISVTFEAIQPPRAKGKKRDPEYETKTKVVVKDPPPFQLQNVDLPTMLPITDFGDELLPQKTGKEAFNNGLKVM
+>sp|Q9Y328|NSG2_HUMAN Neuronal vesicle trafficking-associated protein 2 OS=Homo sapiens OX=9606 GN=NSG2 PE=2 SV=1
+MVKLNSNPSEKGTKPPSVEDGFQTVPLITPLEVNHLQLPAPEKVIVKTRTEYQPEQKNKGKFRVPKIAEFTVTILVSLALAFLACIVFLVVYKAFTYDHSCPEGFVYKHKRCIPASLDAYYSSQDPNSRSRFYTVISHYSVAKQSTARAIGPWLSAAAVIHEPKPPKTQGH
+>DECOY_sp|Q9Y328|NSG2_HUMAN Neuronal vesicle trafficking-associated protein 2 OS=Homo sapiens OX=9606 GN=NSG2 PE=2 SV=1
+HGQTKPPKPEHIVAAASLWPGIARATSQKAVSYHSIVTYFRSRSNPDQSSYYADLSAPICRKHKYVFGEPCSHDYTFAKYVVLFVICALFALALSVLITVTFEAIKPVRFKGKNKQEPQYETRTKVIVKEPAPLQLHNVELPTILPVTQFGDEVSPPKTGKESPNSNLKVM
+>sp|Q9GZY6|NTAL_HUMAN Linker for activation of T-cells family member 2 OS=Homo sapiens OX=9606 GN=LAT2 PE=1 SV=1
+MSSGTELLWPGAALLVLLGVAASLCVRCSRPGAKRSEKIYQQRSLREDQQSFTGSRTYSLVGQAWPGPLADMAPTRKDKLLQFYPSLEDPASSRYQNFSKGSRHGSEEAYIDPIAMEYYNWGRFSKPPEDDDANSYENVLICKQKTTETGAQQEGIGGLCRGDLSLSLALKTGPTSGLCPSASPEEDEESEDYQNSASIHQWRESRKVMGQLQREASPGPVGSPDEEDGEPDYVNGEVAATEA
+>DECOY_sp|Q9GZY6|NTAL_HUMAN Linker for activation of T-cells family member 2 OS=Homo sapiens OX=9606 GN=LAT2 PE=1 SV=1
+AETAAVEGNVYDPEGDEEDPSGVPGPSAERQLQGMVKRSERWQHISASNQYDESEEDEEPSASPCLGSTPGTKLALSLSLDGRCLGGIGEQQAGTETTKQKCILVNEYSNADDDEPPKSFRGWNYYEMAIPDIYAEESGHRSGKSFNQYRSSAPDELSPYFQLLKDKRTPAMDALPGPWAQGVLSYTRSGTFSQQDERLSRQQYIKESRKAGPRSCRVCLSAAVGLLVLLAAGPWLLETGSSM
+>sp|P78549|NTH_HUMAN Endonuclease III-like protein 1 OS=Homo sapiens OX=9606 GN=NTHL1 PE=1 SV=2
+MCSPQESGMTALSARMLTRSRSLGPGAGPRGCREEPGPLRRREAAAEARKSHSPVKRPRKAQRLRVAYEGSDSEKGEGAEPLKVPVWEPQDWQQQLVNIRAMRNKKDAPVDHLGTEHCYDSSAPPKVRRYQVLLSLMLSSQTKDQVTAGAMQRLRARGLTVDSILQTDDATLGKLIYPVGFWRSKVKYIKQTSAILQQHYGGDIPASVAELVALPGVGPKMAHLAMAVAWGTVSGIAVDTHVHRIANRLRWTKKATKSPEETRAALEEWLPRELWHEINGLLVGFGQQTCLPVHPRCHACLNQALCPAAQGL
+>DECOY_sp|P78549|NTH_HUMAN Endonuclease III-like protein 1 OS=Homo sapiens OX=9606 GN=NTHL1 PE=1 SV=2
+LGQAAPCLAQNLCAHCRPHVPLCTQQGFGVLLGNIEHWLERPLWEELAARTEEPSKTAKKTWRLRNAIRHVHTDVAIGSVTGWAVAMALHAMKPGVGPLAVLEAVSAPIDGGYHQQLIASTQKIYKVKSRWFGVPYILKGLTADDTQLISDVTLGRARLRQMAGATVQDKTQSSLMLSLLVQYRRVKPPASSDYCHETGLHDVPADKKNRMARINVLQQQWDQPEWVPVKLPEAGEGKESDSGEYAVRLRQAKRPRKVPSHSKRAEAAAERRRLPGPEERCGRPGAGPGLSRSRTLMRASLATMGSEQPSCM
+>sp|B1AL46|NTM2E_HUMAN NUT family member 2E OS=Homo sapiens OX=9606 GN=NUTM2E PE=3 SV=3
+MEVKGPSGRSFCCESEGQFKSCLKRHTPSLLLPSSWKGNSGSCLMAEALHRTSPTPNSCPLPLPLCRMSGVLCSRNLFTFKFSLFQLDSGASGEPGHSLGLTLGFSYCGNCQTAVVSAQPEGMASNGAYPVLGPGVTANPGTSLSVFTALPFTTPAPGPAHGPLLVTAGAPPGGPLVLSTFPSTPLVTEQDGCSPSGAGASNVFVQMRTEVGPVKAAQAQTLVLTQAPLVWQAPGALCGGVVCPPPLLLAAAPVVPVMAAQVVGGTQACEGGWSQGLPLPPPPPPAAQLPPIVSQGNAGPWPQGAHGESSLASSQAKAPPDDSCNPRSVYENFRLWQHYKPLARRHLPQSPDTEALSCFLIPVLRSLARRKPTMTLEEGLWRAMREWQHTSNFDRMIFYEMAEKFLEFEAEEEMQIQKSQWMKGPQCLPPPATPRLEPRGPPAPEVVKQPVYLPSKAGPKAQTACLPPPRPQRPVTKARRPPPQPHRRAETKARLPPPRPQRPAETKVPEEIPPEVVQEYVDIMEELLGPSLGATGEPEKQREEGKVKQPQEEDWTPPDPGLLSYIDKLCSQKDFVTKVEAVIHPQFLEELLSPDPQMDFLALSQDLEQEEGLTLAQLVEKRLPPLKEKQHSRAAPSRGTARLDSSSSKFAAGQGAERDVPDPQEGVGMETCPPQTTARDSQGRGRAHTGMARSEDSVVLLGCQDSPGLRAARPTSPPQDHRPTCPGVGTKDALDLPGGSPVRESHGLAQGSSEEEELPSLAFLLGSQHKLLPWWLPQSPVPASGLLSPEKWGPQGTHQSPSAERRGLNLAPSPANKAKKQPLFGSLSPAEKTPHRGPGLRVSGEQSLTWGLGGPSQSQKRKGDPLVSRKEKKQHCSQ
+>DECOY_sp|B1AL46|NTM2E_HUMAN NUT family member 2E OS=Homo sapiens OX=9606 GN=NUTM2E PE=3 SV=3
+QSCHQKKEKRSVLPDGKRKQSQSPGGLGWTLSQEGSVRLGPGRHPTKEAPSLSGFLPQKKAKNAPSPALNLGRREASPSQHTGQPGWKEPSLLGSAPVPSQPLWWPLLKHQSGLLFALSPLEEEESSGQALGHSERVPSGGPLDLADKTGVGPCTPRHDQPPSTPRAARLGPSDQCGLLVVSDESRAMGTHARGRGQSDRATTQPPCTEMGVGEQPDPVDREAGQGAAFKSSSSDLRATGRSPAARSHQKEKLPPLRKEVLQALTLGEEQELDQSLALFDMQPDPSLLEELFQPHIVAEVKTVFDKQSCLKDIYSLLGPDPPTWDEEQPQKVKGEERQKEPEGTAGLSPGLLEEMIDVYEQVVEPPIEEPVKTEAPRQPRPPPLRAKTEARRHPQPPPRRAKTVPRQPRPPPLCATQAKPGAKSPLYVPQKVVEPAPPGRPELRPTAPPPLCQPGKMWQSKQIQMEEEAEFELFKEAMEYFIMRDFNSTHQWERMARWLGEELTMTPKRRALSRLVPILFCSLAETDPSQPLHRRALPKYHQWLRFNEYVSRPNCSDDPPAKAQSSALSSEGHAGQPWPGANGQSVIPPLQAAPPPPPPLPLGQSWGGECAQTGGVVQAAMVPVVPAAALLLPPPCVVGGCLAGPAQWVLPAQTLVLTQAQAAKVPGVETRMQVFVNSAGAGSPSCGDQETVLPTSPFTSLVLPGGPPAGATVLLPGHAPGPAPTTFPLATFVSLSTGPNATVGPGLVPYAGNSAMGEPQASVVATQCNGCYSFGLTLGLSHGPEGSAGSDLQFLSFKFTFLNRSCLVGSMRCLPLPLPCSNPTPSTRHLAEAMLCSGSNGKWSSPLLLSPTHRKLCSKFQGESECCFSRGSPGKVEM
+>sp|P30989|NTR1_HUMAN Neurotensin receptor type 1 OS=Homo sapiens OX=9606 GN=NTSR1 PE=1 SV=2
+MRLNSSAPGTPGTPAADPFQRAQAGLEEALLAPGFGNASGNASERVLAAPSSELDVNTDIYSKVLVTAVYLALFVVGTVGNTVTAFTLARKKSLQSLQSTVHYHLGSLALSDLLTLLLAMPVELYNFIWVHHPWAFGDAGCRGYYFLRDACTYATALNVASLSVERYLAICHPFKAKTLMSRSRTKKFISAIWLASALLAVPMLFTMGEQNRSADGQHAGGLVCTPTIHTATVKVVIQVNTFMSFIFPMVVISVLNTIIANKLTVMVRQAAEQGQVCTVGGEHSTFSMAIEPGRVQALRHGVRVLRAVVIAFVVCWLPYHVRRLMFCYISDEQWTPFLYDFYHYFYMVTNALFYVSSTINPILYNLVSANFRHIFLATLACLCPVWRRRRKRPAFSRKADSVSSNHTLSSNATRETLY
+>DECOY_sp|P30989|NTR1_HUMAN Neurotensin receptor type 1 OS=Homo sapiens OX=9606 GN=NTSR1 PE=1 SV=2
+YLTERTANSSLTHNSSVSDAKRSFAPRKRRRRWVPCLCALTALFIHRFNASVLNYLIPNITSSVYFLANTVMYFYHYFDYLFPTWQEDSIYCFMLRRVHYPLWCVVFAIVVARLVRVGHRLAQVRGPEIAMSFTSHEGGVTCVQGQEAAQRVMVTLKNAIITNLVSIVVMPFIFSMFTNVQIVVKVTATHITPTCVLGGAHQGDASRNQEGMTFLMPVALLASALWIASIFKKTRSRSMLTKAKFPHCIALYREVSLSAVNLATAYTCADRLFYYGRCGADGFAWPHHVWIFNYLEVPMALLLTLLDSLALSGLHYHVTSQLSQLSKKRALTFATVTNGVTGVVFLALYVATVLVKSYIDTNVDLESSPAALVRESANGSANGFGPALLAEELGAQARQFPDAAPTGPTGPASSNLRM
+>sp|O95665|NTR2_HUMAN Neurotensin receptor type 2 OS=Homo sapiens OX=9606 GN=NTSR2 PE=1 SV=2
+METSSPRPPRPSSNPGLSLDARLGVDTRLWAKVLFTALYALIWALGAAGNALSAHVVLKARAGRAGRLRHHVLSLALAGLLLLLVGVPVELYSFVWFHYPWVFGDLGCRGYYFVHELCAYATVLSVAGLSAERCLAVCQPLRARSLLTPRRTRWLVALSWAASLGLALPMAVIMGQKHELETADGEPEPASRVCTVLVSRTALQVFIQVNVLVSFVLPLALTAFLNGVTVSHLLALCSQVPSTSTPGSSTPSRLELLSEEGLLSFIVWKKTFIQGGQVSLVRHKDVRRIRSLQRSVQVLRAIVVMYVICWLPYHARRLMYCYVPDDAWTDPLYNFYHYFYMVTNTLFYVSSAVTPLLYNAVSSSFRKLFLEAVSSLCGEHHPMKRLPPKPQSPTLMDTASGFGDPPETRT
+>DECOY_sp|O95665|NTR2_HUMAN Neurotensin receptor type 2 OS=Homo sapiens OX=9606 GN=NTSR2 PE=1 SV=2
+TRTEPPDGFGSATDMLTPSQPKPPLRKMPHHEGCLSSVAELFLKRFSSSVANYLLPTVASSVYFLTNTVMYFYHYFNYLPDTWADDPVYCYMLRRAHYPLWCIVYMVVIARLVQVSRQLSRIRRVDKHRVLSVQGGQIFTKKWVIFSLLGEESLLELRSPTSSGPTSTSPVQSCLALLHSVTVGNLFATLALPLVFSVLVNVQIFVQLATRSVLVTCVRSAPEPEGDATELEHKQGMIVAMPLALGLSAAWSLAVLWRTRRPTLLSRARLPQCVALCREASLGAVSLVTAYACLEHVFYYGRCGLDGFVWPYHFWVFSYLEVPVGVLLLLLGALALSLVHHRLRGARGARAKLVVHASLANGAAGLAWILAYLATFLVKAWLRTDVGLRADLSLGPNSSPRPPRPSSTEM
+>sp|Q5HYW2|NHSL2_HUMAN NHS-like protein 2 OS=Homo sapiens OX=9606 GN=NHSL2 PE=1 SV=1
+MESMGMVYSVPSSCNGPTESTFSTSWKGDAFTYMTPSATSQSNQVNENGKNPSCGNSWVSLNKVPPLVPKEAATLLVARDNPAGCSGSAGYPERLIQQRHMPERPSKIGLLTSGTSRLETGPGGASRFRERSLSVPTDSGTTDVDYDEEQKANEACALPFASTSSEGSNSADNIASLSAQQEAQHRRQRSKSISLRKAKKKPSPPTRSVSLVKDEPGLLPEGGSALPKDQRPKSLCLSLEHQGHHSSHPDAQGHPAIPNHKDPESTQFSHHWYLTDWKSGDTYQSLSSSSTATGTTVIECTQVQGSSESLASPSTSRATTPSQLSIEVEAREISSPGRPPGLMSPSSGYSSQSETPTPTVSMSLTLGHLPPPSSSVRVRPVVPERKSSLPPTSPMEKFPKSRLSFDLPLTSSPNLDLSGMSISIRSKTKVSRHHSETNFGVKLAQKTNPNQPIMPMVTQSDLRSVRLRSVSKSEPEDDIESPEYAEEPRAEEVFTLPERKTKPPVAEKPPVARRPPSLVHKPPSVPEEYALTSPTLAMPPRSSIQHARPLPQDSYTVVRKPKPSSFPDGRSPGESTAPSSLVFTPFASSSDAFFSGTQQPPQGSVEDEGPKVRVLPERISLQSQEEAEKKKGKIPPPVPKKPSVLYLPLTSPTAQMEAYVAEPRLPLSPIITLEEDTKCPATGDDLQSLGQRVTSTPQADSEREASPLG
+>DECOY_sp|Q5HYW2|NHSL2_HUMAN NHS-like protein 2 OS=Homo sapiens OX=9606 GN=NHSL2 PE=1 SV=1
+GLPSAERESDAQPTSTVRQGLSQLDDGTAPCKTDEELTIIPSLPLRPEAVYAEMQATPSTLPLYLVSPKKPVPPPIKGKKKEAEEQSQLSIREPLVRVKPGEDEVSGQPPQQTGSFFADSSSAFPTFVLSSPATSEGPSRGDPFSSPKPKRVVTYSDQPLPRAHQISSRPPMALTPSTLAYEEPVSPPKHVLSPPRRAVPPKEAVPPKTKREPLTFVEEARPEEAYEPSEIDDEPESKSVSRLRVSRLDSQTVMPMIPQNPNTKQALKVGFNTESHHRSVKTKSRISISMGSLDLNPSSTLPLDFSLRSKPFKEMPSTPPLSSKREPVVPRVRVSSSPPPLHGLTLSMSVTPTPTESQSSYGSSPSMLGPPRGPSSIERAEVEISLQSPTTARSTSPSALSESSGQVQTCEIVTTGTATSSSSLSQYTDGSKWDTLYWHHSFQTSEPDKHNPIAPHGQADPHSSHHGQHELSLCLSKPRQDKPLASGGEPLLGPEDKVLSVSRTPPSPKKKAKRLSISKSRQRRHQAEQQASLSAINDASNSGESSTSAFPLACAENAKQEEDYDVDTTGSDTPVSLSRERFRSAGGPGTELRSTGSTLLGIKSPREPMHRQQILREPYGASGSCGAPNDRAVLLTAAEKPVLPPVKNLSVWSNGCSPNKGNENVQNSQSTASPTMYTFADGKWSTSFTSETPGNCSSPVSYVMGMSEM
+>sp|Q6T4R5|NHS_HUMAN Nance-Horan syndrome protein OS=Homo sapiens OX=9606 GN=NHS PE=1 SV=2
+MPFAKRIVEPQWLCRQRRPAPGPAVDASGGSAEPPPPLQPPGRRDLDEVEAPGPEEPARAVPAPSGLPPPPPPLPAPADQTQPPHGEASVAGEESTAGIPEAAPAAGEASSAAAAAAVLLMLDLCAVSNAALARVLRQLSDVARHACSLFQELESDIQLTHRRVWALQGKLGGVQRVLSTLDPKQEAVPVSNLDIESKLSVYYRAPWHQQRNIFLPATRPPCVEELHRHARQSLQALRREHRSRSDRREQRAAAPLSIAAPPLPAYPPAHSQRRREFKDRHFLTFNSTRSPSPTECCHMTPWSRKSHPPEDEDTDVMLGQRPKNPIHNIPSTLDKQTNWSKALPLPTPEEKMKQDAQVISSCIIPINVTGVGFDREASIRCSLVHSQSVLQRRRKLRRRKTISGIPRRVQQEIDSDESPVARERNVIVHTNPDPSNTVNRISGTRDSECQTEDILIAAPSRRRIRAQRGQSIAASLSHSAGNISALADKGDTMFTPAVSSRTRSRSLPREGNRGGDAEPKVGAKPSAYEEGESFVGDHERTPNDFSEAPSSPSAQDHQPTLGLACSQHLHSPQHKLSERGRSRLSRMAADSGSCDISSNSDTFGSPIHCISTAGVLLSSHMDQKDDHQSSSGNWSGSSSTCPSQTSETIPPAASPPLTGSSHCDSELSLNTAPHANEDASVFVTEQYNDHLDKVRGHRANSFTSTVADLLDDPNNSNTSDSEWNYLHHHHDASCRQDFSPERPKADSLGCPSFTSMATYDSFLEKSPSDKADTSSHFSVDTEGYYTSMHFDCGLKGNKSYVCHYAALGPENGQGVGASPGLPDCAWQDYLDHKRQGRPSISFRKPKAKPTPPKRSSSLRKSDGNADISEKKEPKISSGQHLPHSSREMKLPLDFANTPSRMENANLPTKQEPSWINQSEQGIKEPQLDASDIPPFKDEVAESTHYADLWLLNDLKTNDPYRSLSNSSTATGTTVIECIKSPESSESQTSQSESRATTPSLPSVDNEFKLASPEKLAGLASPSSGYSSQSETPTSSFPTAFFSGPLSPGGSKRKPKVPERKSSLQQPSLKDGTISLSKDLELPIIPPTHLDLSALHNVLNKPFHHRHPLHVFTHNKQNTVGETLRSNPPPSLAITPTILKSVNLRSINKSEEVKQKEENNTDLPYLEESTLTTAALSPSKIRPHTANKSVSRQYSTEDTILSFLDSSAVEMGPDKLHLEKNSTFDVKNRCDPETITSAGSSLLDSNVTKDQVRTETEPIPENTPTKNCAFPTEGFQRVSAARPNDLDGKIIQYGPGPDETLEQVQKAPSAGLEEVAQPESVDVITSQSDSPTRATDVSNQFKHQFVMSRHHDKVPGTISYESEITSVNSFPEKCSKQENIASGISAKSASDNSKAEETQGNVDEASLKESSPSDDSIISPLSEDSQAEAEGVFVSPNKPRTTEDLFAVIHRSKRKVLGRKDSGDMSVRSKSRAPLSSSSSSASSITSPSSNVTTPNSQRSPGLIYRNAKKSNTSNEEFKLLLLKKGSRSDSSYRMSATEILKSPILPKPPGELTAESPQSTDDAHQGSQGAEALSPLSPCSPRVNAEGFSSKSFATSASARVGRSRAPPAASSSRYSVRCRLYNTPMQAISEGETENSDGSPHDDRSSQSST
+>DECOY_sp|Q6T4R5|NHS_HUMAN Nance-Horan syndrome protein OS=Homo sapiens OX=9606 GN=NHS PE=1 SV=2
+TSSQSSRDDHPSGDSNETEGESIAQMPTNYLRCRVSYRSSSAAPPARSRGVRASASTAFSKSSFGEANVRPSCPSLPSLAEAGQSGQHADDTSQPSEATLEGPPKPLIPSKLIETASMRYSSDSRSGKKLLLLKFEENSTNSKKANRYILGPSRQSNPTTVNSSPSTISSASSSSSSLPARSKSRVSMDGSDKRGLVKRKSRHIVAFLDETTRPKNPSVFVGEAEAQSDESLPSIISDDSPSSEKLSAEDVNGQTEEAKSNDSASKASIGSAINEQKSCKEPFSNVSTIESEYSITGPVKDHHRSMVFQHKFQNSVDTARTPSDSQSTIVDVSEPQAVEELGASPAKQVQELTEDPGPGYQIIKGDLDNPRAASVRQFGETPFACNKTPTNEPIPETETRVQDKTVNSDLLSSGASTITEPDCRNKVDFTSNKELHLKDPGMEVASSDLFSLITDETSYQRSVSKNATHPRIKSPSLAATTLTSEELYPLDTNNEEKQKVEESKNISRLNVSKLITPTIALSPPPNSRLTEGVTNQKNHTFVHLPHRHHFPKNLVNHLASLDLHTPPIIPLELDKSLSITGDKLSPQQLSSKREPVKPKRKSGGPSLPGSFFATPFSSTPTESQSSYGSSPSALGALKEPSALKFENDVSPLSPTTARSESQSTQSESSEPSKICEIVTTGTATSSNSLSRYPDNTKLDNLLWLDAYHTSEAVEDKFPPIDSADLQPEKIGQESQNIWSPEQKTPLNANEMRSPTNAFDLPLKMERSSHPLHQGSSIKPEKKESIDANGDSKRLSSSRKPPTPKAKPKRFSISPRGQRKHDLYDQWACDPLGPSAGVGQGNEPGLAAYHCVYSKNGKLGCDFHMSTYYGETDVSFHSSTDAKDSPSKELFSDYTAMSTFSPCGLSDAKPREPSFDQRCSADHHHHLYNWESDSTNSNNPDDLLDAVTSTFSNARHGRVKDLHDNYQETVFVSADENAHPATNLSLESDCHSSGTLPPSAAPPITESTQSPCTSSSGSWNGSSSQHDDKQDMHSSLLVGATSICHIPSGFTDSNSSIDCSGSDAAMRSLRSRGRESLKHQPSHLHQSCALGLTPQHDQASPSSPAESFDNPTREHDGVFSEGEEYASPKAGVKPEADGGRNGERPLSRSRTRSSVAPTFMTDGKDALASINGASHSLSAAISQGRQARIRRRSPAAILIDETQCESDRTGSIRNVTNSPDPNTHVIVNRERAVPSEDSDIEQQVRRPIGSITKRRRLKRRRQLVSQSHVLSCRISAERDFGVGTVNIPIICSSIVQADQKMKEEPTPLPLAKSWNTQKDLTSPINHIPNKPRQGLMVDTDEDEPPHSKRSWPTMHCCETPSPSRTSNFTLFHRDKFERRRQSHAPPYAPLPPAAISLPAAARQERRDSRSRHERRLAQLSQRAHRHLEEVCPPRTAPLFINRQQHWPARYYVSLKSEIDLNSVPVAEQKPDLTSLVRQVGGLKGQLAWVRRHTLQIDSELEQFLSCAHRAVDSLQRLVRALAANSVACLDLMLLVAAAAAASSAEGAAPAAEPIGATSEEGAVSAEGHPPQTQDAPAPLPPPPPPLGSPAPVARAPEEPGPAEVEDLDRRGPPQLPPPPEASGGSADVAPGPAPRRQRCLWQPEVIRKAFPM
+>sp|Q9BZQ8|NIBAN_HUMAN Protein Niban OS=Homo sapiens OX=9606 GN=FAM129A PE=1 SV=1
+MGGSASSQLDEGKCAYIRGKTEAAIKNFSPYYSRQYSVAFCNHVRTEVEQQRDLTSQFLKTKPPLAPGTILYEAELSQFSEDIKKWKERYVVVKNDYAVESYENKEAYQRGAAPKCRILPAGGKVLTSEDEYNLLSDRHFPDPLASSEKENTQPFVVLPKEFPVYLWQPFFRHGYFCFHEAADQKRFSALLSDCVRHLNHDYMKQMTFEAQAFLEAVQFFRQEKGHYGSWEMITGDEIQILSNLVMEELLPTLQTDLLPKMKGKKNDRKRTWLGLLEEAYTLVQHQVSEGLSALKEECRALTKGLEGTIRSDMDQIVNSKNYLIGKIKAMVAQPAEKSCLESVQPFLASILEELMGPVSSGFSEVRVLFEKEVNEVSQNFQTTKDSVQLKEHLDRLMNLPLHSVKMEPCYTKVNLLHERLQDLKSRFRFPHIDLVVQRTQNYMQELMENAVFTFEQLLSPHLQGEASKTAVAIEKVKLRVLKQYDYDSSTIRKKIFQEALVQITLPTVQKALASTCKPELQKYEQFIFADHTNMIHVENVYEEILHQILLDETLKVIKEAAILKKHNLFEDNMALPSESVSSLTDLKPPTGSNQASPARRASAILPGVLGSETLSNEVFQESEEEKQPEVPSSLAKGESLSLPGPSPPPDGTEQVIISRVDDPVVNPVATEDTAGLPGTCSSELEFGGTLEDEEPAQEEPEPITASGSLKALRKLLTASVEVPVDSAPVMEEDTNGESHVPQENEEEEEKEPSQAAAIHPDNCEESEVSEREAQPPCPEAHGEELGGFPEVGSPASPPASGGLTEEPLGPMEGELPGEACTLTAHEGRGGKCTEEGDASQQEGCTLGSDPICLSESQVSEEQEEMGGQSSAAQATASVNAEEIKVARIHECQWVVEDAPNPDVLLSHKDDVKEGEGGQESFPELPSEE
+>DECOY_sp|Q9BZQ8|NIBAN_HUMAN Protein Niban OS=Homo sapiens OX=9606 GN=FAM129A PE=1 SV=1
+EESPLEPFSEQGGEGEKVDDKHSLLVDPNPADEVVWQCEHIRAVKIEEANVSATAQAASSQGGMEEQEESVQSESLCIPDSGLTCGEQQSADGEETCKGGRGEHATLTCAEGPLEGEMPGLPEETLGGSAPPSAPSGVEPFGGLEEGHAEPCPPQAERESVESEECNDPHIAAAQSPEKEEEEENEQPVHSEGNTDEEMVPASDVPVEVSATLLKRLAKLSGSATIPEPEEQAPEEDELTGGFELESSCTGPLGATDETAVPNVVPDDVRSIIVQETGDPPPSPGPLSLSEGKALSSPVEPQKEEESEQFVENSLTESGLVGPLIASARRAPSAQNSGTPPKLDTLSSVSESPLAMNDEFLNHKKLIAAEKIVKLTEDLLIQHLIEEYVNEVHIMNTHDAFIFQEYKQLEPKCTSALAKQVTPLTIQVLAEQFIKKRITSSDYDYQKLVRLKVKEIAVATKSAEGQLHPSLLQEFTFVANEMLEQMYNQTRQVVLDIHPFRFRSKLDQLREHLLNVKTYCPEMKVSHLPLNMLRDLHEKLQVSDKTTQFNQSVENVEKEFLVRVESFGSSVPGMLEELISALFPQVSELCSKEAPQAVMAKIKGILYNKSNVIQDMDSRITGELGKTLARCEEKLASLGESVQHQVLTYAEELLGLWTRKRDNKKGKMKPLLDTQLTPLLEEMVLNSLIQIEDGTIMEWSGYHGKEQRFFQVAELFAQAEFTMQKMYDHNLHRVCDSLLASFRKQDAAEHFCFYGHRFFPQWLYVPFEKPLVVFPQTNEKESSALPDPFHRDSLLNYEDESTLVKGGAPLIRCKPAAGRQYAEKNEYSEVAYDNKVVVYREKWKKIDESFQSLEAEYLITGPALPPKTKLFQSTLDRQQEVETRVHNCFAVSYQRSYYPSFNKIAAETKGRIYACKGEDLQSSASGGM
+>sp|P25103|NK1R_HUMAN Substance-P receptor OS=Homo sapiens OX=9606 GN=TACR1 PE=1 SV=1
+MDNVLPVDSDLSPNISTNTSEPNQFVQPAWQIVLWAAAYTVIVVTSVVGNVVVMWIILAHKRMRTVTNYFLVNLAFAEASMAAFNTVVNFTYAVHNEWYYGLFYCKFHNFFPIAAVFASIYSMTAVAFDRYMAIIHPLQPRLSATATKVVICVIWVLALLLAFPQGYYSTTETMPSRVVCMIEWPEHPNKIYEKVYHICVTVLIYFLPLLVIGYAYTVVGITLWASEIPGDSSDRYHEQVSAKRKVVKMMIVVVCTFAICWLPFHIFFLLPYINPDLYLKKFIQQVYLAIMWLAMSSTMYNPIIYCCLNDRFRLGFKHAFRCCPFISAGDYEGLEMKSTRYLQTQGSVYKVSRLETTISTVVGAHEEEPEDGPKATPSSLDLTSNCSSRSDSKTMTESFSFSSNVLS
+>DECOY_sp|P25103|NK1R_HUMAN Substance-P receptor OS=Homo sapiens OX=9606 GN=TACR1 PE=1 SV=1
+SLVNSSFSFSETMTKSDSRSSCNSTLDLSSPTAKPGDEPEEEHAGVVTSITTELRSVKYVSGQTQLYRTSKMELGEYDGASIFPCCRFAHKFGLRFRDNLCCYIIPNYMTSSMALWMIALYVQQIFKKLYLDPNIYPLLFFIHFPLWCIAFTCVVVIMMKVVKRKASVQEHYRDSSDGPIESAWLTIGVVTYAYGIVLLPLFYILVTVCIHYVKEYIKNPHEPWEIMCVVRSPMTETTSYYGQPFALLLALVWIVCIVVKTATASLRPQLPHIIAMYRDFAVATMSYISAFVAAIPFFNHFKCYFLGYYWENHVAYTFNVVTNFAAMSAEAFALNVLFYNTVTRMRKHALIIWMVVVNGVVSTVVIVTYAAAWLVIQWAPQVFQNPESTNTSINPSLDSDVPLVNDM
+>sp|P29371|NK3R_HUMAN Neuromedin-K receptor OS=Homo sapiens OX=9606 GN=TACR3 PE=1 SV=1
+MATLPAAETWIDGGGGVGADAVNLTASLAAGAATGAVETGWLQLLDQAGNLSSSPSALGLPVASPAPSQPWANLTNQFVQPSWRIALWSLAYGVVVAVAVLGNLIVIWIILAHKRMRTVTNYFLVNLAFSDASMAAFNTLVNFIYALHSEWYFGANYCRFQNFFPITAVFASIYSMTAIAVDRYMAIIDPLKPRLSATATKIVIGSIWILAFLLAFPQCLYSKTKVMPGRTLCFVQWPEGPKQHFTYHIIVIILVYCFPLLIMGITYTIVGITLWGGEIPGDTCDKYHEQLKAKRKVVKMMIIVVMTFAICWLPYHIYFILTAIYQQLNRWKYIQQVYLASFWLAMSSTMYNPIIYCCLNKRFRAGFKRAFRWCPFIKVSSYDELELKTTRFHPNRQSSMYTVTRMESMTVVFDPNDADTTRSSRKKRATPRDPSFNGCSRRNSKSASATSSFISSPYTSVDEYS
+>DECOY_sp|P29371|NK3R_HUMAN Neuromedin-K receptor OS=Homo sapiens OX=9606 GN=TACR3 PE=1 SV=1
+SYEDVSTYPSSIFSSTASASKSNRRSCGNFSPDRPTARKKRSSRTTDADNPDFVVTMSEMRTVTYMSSQRNPHFRTTKLELEDYSSVKIFPCWRFARKFGARFRKNLCCYIIPNYMTSSMALWFSALYVQQIYKWRNLQQYIATLIFYIHYPLWCIAFTMVVIIMMKVVKRKAKLQEHYKDCTDGPIEGGWLTIGVITYTIGMILLPFCYVLIIVIIHYTFHQKPGEPWQVFCLTRGPMVKTKSYLCQPFALLFALIWISGIVIKTATASLRPKLPDIIAMYRDVAIATMSYISAFVATIPFFNQFRCYNAGFYWESHLAYIFNVLTNFAAMSADSFALNVLFYNTVTRMRKHALIIWIVILNGLVAVAVVVGYALSWLAIRWSPQVFQNTLNAWPQSPAPSAVPLGLASPSSSLNGAQDLLQLWGTEVAGTAAGAALSATLNVADAGVGGGGDIWTEAAPLTAM
+>sp|Q4KMZ8|NKAI1_HUMAN Sodium/potassium-transporting ATPase subunit beta-1-interacting protein 1 OS=Homo sapiens OX=9606 GN=NKAIN1 PE=2 SV=3
+MGKCSGRCTLVAFCCLQLVAALERQIFDFLGYQWAPILANFLHIMAVILGIFGTVQYRSRYLILYAAWLVLWVGWNAFIICFYLEVGQLSQDRDFIMTFNTSLHRSWWMENGPGCLVTPVLNSRLALEDHHVISVTGCLLDYPYIEALSSALQIFLALFGFVFACYVSKVFLEEEDSFDFIGGFDSYGYQAPQKTSHLQLQPLYTSG
+>DECOY_sp|Q4KMZ8|NKAI1_HUMAN Sodium/potassium-transporting ATPase subunit beta-1-interacting protein 1 OS=Homo sapiens OX=9606 GN=NKAIN1 PE=2 SV=3
+GSTYLPQLQLHSTKQPAQYGYSDFGGIFDFSDEEELFVKSVYCAFVFGFLALFIQLASSLAEIYPYDLLCGTVSIVHHDELALRSNLVPTVLCGPGNEMWWSRHLSTNFTMIFDRDQSLQGVELYFCIIFANWGVWLVLWAAYLILYRSRYQVTGFIGLIVAMIHLFNALIPAWQYGLFDFIQRELAAVLQLCCFAVLTCRGSCKGM
+>sp|Q8N5F7|NKAP_HUMAN NF-kappa-B-activating protein OS=Homo sapiens OX=9606 GN=NKAP PE=1 SV=1
+MAPVSGSRSPDREASGSGGRRRSSSKSPKPSKSARSPRGRRSRSHSCSRSGDRNGLTHQLGGLSQGSRNQSYRSRSRSRSRERPSAPRGIPFASASSSVYYGSYSRPYGSDKPWPSLLDKEREESLRQKRLSERERIGELGAPEVWGLSPKNPEPDSDEHTPVEDEEPKKSTTSASTSEEEKKKKSSRSKERSKKRRKKKSSKRKHKKYSEDSDSDSDSETDSSDEDNKRRAKKAKKKEKKKKHRSKKYKKKRSKKSRKESSDSSSKESQEEFLENPWKDRTKAEEPSDLIGPEAPKTLTSQDDKPLNYGHALLPGEGAAMAEYVKAGKRIPRRGEIGLTSEEIASFECSGYVMSGSRHRRMEAVRLRKENQIYSADEKRALASFNQEERRKRENKILASFREMVYRKTKGKDDK
+>DECOY_sp|Q8N5F7|NKAP_HUMAN NF-kappa-B-activating protein OS=Homo sapiens OX=9606 GN=NKAP PE=1 SV=1
+KDDKGKTKRYVMERFSALIKNERKRREEQNFSALARKEDASYIQNEKRLRVAEMRRHRSGSMVYGSCEFSAIEESTLGIEGRRPIRKGAKVYEAMAAGEGPLLAHGYNLPKDDQSTLTKPAEPGILDSPEEAKTRDKWPNELFEEQSEKSSSDSSEKRSKKSRKKKYKKSRHKKKKEKKKAKKARRKNDEDSSDTESDSDSDSDESYKKHKRKSSKKKRRKKSREKSRSSKKKKEEESTSASTTSKKPEEDEVPTHEDSDPEPNKPSLGWVEPAGLEGIRERESLRKQRLSEEREKDLLSPWPKDSGYPRSYSGYYVSSSASAFPIGRPASPRERSRSRSRSRYSQNRSGQSLGGLQHTLGNRDGSRSCSHSRSRRGRPSRASKSPKPSKSSSRRRGGSGSAERDPSRSGSVPAM
+>sp|Q969G9|NKD1_HUMAN Protein naked cuticle homolog 1 OS=Homo sapiens OX=9606 GN=NKD1 PE=1 SV=1
+MGKLHSKPAAVCKRRESPEGDSFAVSAAWARKGIEEWIGRQRCPGGVSGPRQLRLAGTIGRSTRELVGDVLRDTLSEEEEDDFRLEVALPPEKTDGLGSGDEKKMERVSEPCPGSKKQLKFEELQCDVSMEEDSRQEWTFTLYDFDNNGKVTREDITSLLHTIYEVVDSSVNHSPTSSKMLRVKLTVAPDGSQSKRSVLVNQADLQSARPRAETKPTEDLRSWEKKQRAPLRFQGDSRLEQSGCYHHCVDENIERRNHYLDLAGIENYTSQFGPGSPSVAQKSELPPRTSNPTRSRSHEPEAIHIPHRKPQGVDPASFHFLDTPIAKVSELQQRLRGTQDGSKHFVRSPKAQGKSVGVGHVARGARNKPPLGPAIPAVSPSAHLAASPALLPSLAPLGHKKHKHRAKESQQGCRGLQAPLASGGPVLGREHLRELPALVVYESQAGQPVQRHEHHHHHEHHHHYHHFYQT
+>DECOY_sp|Q969G9|NKD1_HUMAN Protein naked cuticle homolog 1 OS=Homo sapiens OX=9606 GN=NKD1 PE=1 SV=1
+TQYFHHYHHHHEHHHHHEHRQVPQGAQSEYVVLAPLERLHERGLVPGGSALPAQLGRCGQQSEKARHKHKKHGLPALSPLLAPSAALHASPSVAPIAPGLPPKNRAGRAVHGVGVSKGQAKPSRVFHKSGDQTGRLRQQLESVKAIPTDLFHFSAPDVGQPKRHPIHIAEPEHSRSRTPNSTRPPLESKQAVSPSGPGFQSTYNEIGALDLYHNRREINEDVCHHYCGSQELRSDGQFRLPARQKKEWSRLDETPKTEARPRASQLDAQNVLVSRKSQSGDPAVTLKVRLMKSSTPSHNVSSDVVEYITHLLSTIDERTVKGNNDFDYLTFTWEQRSDEEMSVDCQLEEFKLQKKSGPCPESVREMKKEDGSGLGDTKEPPLAVELRFDDEEEESLTDRLVDGVLERTSRGITGALRLQRPGSVGGPCRQRGIWEEIGKRAWAASVAFSDGEPSERRKCVAAPKSHLKGM
+>sp|P26715|NKG2A_HUMAN NKG2-A/NKG2-B type II integral membrane protein OS=Homo sapiens OX=9606 GN=KLRC1 PE=1 SV=2
+MDNQGVIYSDLNLPPNPKRQQRKPKGNKNSILATEQEITYAELNLQKASQDFQGNDKTYHCKDLPSAPEKLIVGILGIICLILMASVVTIVVIPSTLIQRHNNSSLNTRTQKARHCGHCPEEWITYSNSCYYIGKERRTWEESLLACTSKNSSLLSIDNEEEMKFLSIISPSSWIGVFRNSSHHPWVTMNGLAFKHEIKDSDNAELNCAVLQVNRLKSAQCGSSIIYHCKHKL
+>DECOY_sp|P26715|NKG2A_HUMAN NKG2-A/NKG2-B type II integral membrane protein OS=Homo sapiens OX=9606 GN=KLRC1 PE=1 SV=2
+LKHKCHYIISSGCQASKLRNVQLVACNLEANDSDKIEHKFALGNMTVWPHHSSNRFVGIWSSPSIISLFKMEEENDISLLSSNKSTCALLSEEWTRREKGIYYCSNSYTIWEEPCHGCHRAKQTRTNLSSNNHRQILTSPIVVITVVSAMLILCIIGLIGVILKEPASPLDKCHYTKDNGQFDQSAKQLNLEAYTIEQETALISNKNGKPKRQQRKPNPPLNLDSYIVGQNDM
+>sp|Q07444|NKG2E_HUMAN NKG2-E type II integral membrane protein OS=Homo sapiens OX=9606 GN=KLRC3 PE=2 SV=3
+MSKQRGTFSEVSLAQDPKWQQRKPKGNKSSISGTEQEIFQVELNLQNASLNHQGIDKIYDCQGLLPPPEKLTAEVLGIICIVLMATVLKTIVLIPFLEQNNSSPNARTQKARHCGHCPEEWITYSNSCYYIGKERRTWEESLQACASKNSSSLLCIDNEEEMKFLASILPSSWIGVFRNSSHHPWVTINGLAFKHEIKDSDHAERNCAMLHVRGLISDQCGSSRIIRRGFIMLTRLVLNS
+>DECOY_sp|Q07444|NKG2E_HUMAN NKG2-E type II integral membrane protein OS=Homo sapiens OX=9606 GN=KLRC3 PE=2 SV=3
+SNLVLRTLMIFGRRIIRSSGCQDSILGRVHLMACNREAHDSDKIEHKFALGNITVWPHHSSNRFVGIWSSPLISALFKMEEENDICLLSSSNKSACAQLSEEWTRREKGIYYCSNSYTIWEEPCHGCHRAKQTRANPSSNNQELFPILVITKLVTAMLVICIIGLVEATLKEPPPLLGQCDYIKDIGQHNLSANQLNLEVQFIEQETGSISSKNGKPKRQQWKPDQALSVESFTGRQKSM
+>sp|Q9UD57|NKX12_HUMAN NK1 transcription factor-related protein 2 OS=Homo sapiens OX=9606 GN=NKX1-2 PE=2 SV=3
+MLAWQDGGAKAAPSHHKISFSVLDILDPQKFTRAALPAVRPAPREARKSLAEVEAGKDASSRDPVRQLETPDAAGPGAGQASPLEGSEAEEEEDAEDPRRPRLRERAARLLPGLARSPDAPAGALASGEPCEDGGGGPVRSPPGSPGSPRPRRRRLEPNCAKPRRARTAFTYEQLVALENKFRATRYLSVCERLNLALSLSLTETQVKIWFQNRRTKWKKQNPGADGAAQVGGGAPQPGAAGGGGGGGSGGSPGPPGTGALHFQTFPSYSAANVLFPSAASFPLTAAAPGSPFAPFLGPSYLTPFYAPRL
+>DECOY_sp|Q9UD57|NKX12_HUMAN NK1 transcription factor-related protein 2 OS=Homo sapiens OX=9606 GN=NKX1-2 PE=2 SV=3
+LRPAYFPTLYSPGLFPAFPSGPAAATLPFSAASPFLVNAASYSPFTQFHLAGTGPPGPSGGSGGGGGGGAAGPQPAGGGVQAAGDAGPNQKKWKTRRNQFWIKVQTETLSLSLALNLRECVSLYRTARFKNELAVLQEYTFATRARRPKACNPELRRRRPRPSGPSGPPSRVPGGGGDECPEGSALAGAPADPSRALGPLLRAARERLRPRRPDEADEEEEAESGELPSAQGAGPGAADPTELQRVPDRSSADKGAEVEALSKRAERPAPRVAPLAARTFKQPDLIDLVSFSIKHHSPAAKAGGDQWALM
+>sp|Q8TAU0|NKX23_HUMAN Homeobox protein Nkx-2.3 OS=Homo sapiens OX=9606 GN=NKX2-3 PE=2 SV=2
+MMLPSPVTSTPFSVKDILNLEQQHQHFHGAHLQADLEHHFHSAPCMLAAAEGTQFSDGGEEDEEDEGEKLSYLNSLAAADGHGDSGLCPQGYVHTVLRDSCSEPKEHEEEPEVVRDRSQKSCQLKKSLETAGDCKAAEESERPKPRSRRKPRVLFSQAQVFELERRFKQQRYLSAPEREHLASSLKLTSTQVKIWFQNRRYKCKRQRQDKSLELGAHAPPPPPRRVAVPVLVRDGKPCVTPSAQAYGAPYSVGASAYSYNSFPAYGYGNSAAAAAAAAAAAAAAAAYSSSYGCAYPAGGGGGGGGTSAATTAMQPACSAAGGGPFVNVSNLGGFGSGGSAQPLHQGTAAGAACAQGTLQGIRAW
+>DECOY_sp|Q8TAU0|NKX23_HUMAN Homeobox protein Nkx-2.3 OS=Homo sapiens OX=9606 GN=NKX2-3 PE=2 SV=2
+WARIGQLTGQACAAGAATGQHLPQASGGSGFGGLNSVNVFPGGGAASCAPQMATTAASTGGGGGGGGAPYACGYSSSYAAAAAAAAAAAAAAAASNGYGYAPFSNYSYASAGVSYPAGYAQASPTVCPKGDRVLVPVAVRRPPPPPAHAGLELSKDQRQRKCKYRRNQFWIKVQTSTLKLSSALHEREPASLYRQQKFRRELEFVQAQSFLVRPKRRSRPKPRESEEAAKCDGATELSKKLQCSKQSRDRVVEPEEEHEKPESCSDRLVTHVYGQPCLGSDGHGDAAALSNLYSLKEGEDEEDEEGGDSFQTGEAAALMCPASHFHHELDAQLHAGHFHQHQQELNLIDKVSFPTSTVPSPLMM
+>sp|Q9C056|NKX62_HUMAN Homeobox protein Nkx-6.2 OS=Homo sapiens OX=9606 GN=NKX6-2 PE=1 SV=2
+MDTNRPGAFVLSSAPLAALHNMAEMKTSLFPYALQGPAGFKAPALGGLGAQLPLGTPHGISDILGRPVGAAGGGLLGGLPRLNGLASSAGVYFGPAAAVARGYPKPLAELPGRPPIFWPGVVQGAPWRDPRLAGPAPAGGVLDKDGKKKHSRPTFSGQQIFALEKTFEQTKYLAGPERARLAYSLGMTESQVKVWFQNRRTKWRKRHAVEMASAKKKQDSDAEKLKVGGSDAEDDDEYNRPLDPNSDDEKITRLLKKHKPSNLALVSPCGGGAGDAL
+>DECOY_sp|Q9C056|NKX62_HUMAN Homeobox protein Nkx-6.2 OS=Homo sapiens OX=9606 GN=NKX6-2 PE=1 SV=2
+LADGAGGGCPSVLALNSPKHKKLLRTIKEDDSNPDLPRNYEDDDEADSGGVKLKEADSDQKKKASAMEVAHRKRWKTRRNQFWVKVQSETMGLSYALRAREPGALYKTQEFTKELAFIQQGSFTPRSHKKKGDKDLVGGAPAPGALRPDRWPAGQVVGPWFIPPRGPLEALPKPYGRAVAAAPGFYVGASSALGNLRPLGGLLGGGAAGVPRGLIDSIGHPTGLPLQAGLGGLAPAKFGAPGQLAYPFLSTKMEAMNHLAALPASSLVFAGPRNTDM
+>sp|Q9UBE8|NLK_HUMAN Serine/threonine-protein kinase NLK OS=Homo sapiens OX=9606 GN=NLK PE=1 SV=2
+MSLCGARANAKMMAAYNGGTSAAAAGHHHHHHHHLPHLPPPHLHHHHHPQHHLHPGSAAAVHPVQQHTSSAAAAAAAAAAAAAMLNPGQQQPYFPSPAPGQAPGPAAAAPAQVQAAAAATVKAHHHQHSHHPQQQLDIEPDRPIGYGAFGVVWSVTDPRDGKRVALKKMPNVFQNLVSCKRVFRELKMLCFFKHDNVLSALDILQPPHIDYFEEIYVVTELMQSDLHKIIVSPQPLSSDHVKVFLYQILRGLKYLHSAGILHRDIKPGNLLVNSNCVLKICDFGLARVEELDESRHMTQEVVTQYYRAPEILMGSRHYSNAIDIWSVGCIFAELLGRRILFQAQSPIQQLDLITDLLGTPSLEAMRTACEGAKAHILRGPHKQPSLPVLYTLSSQATHEAVHLLCRMLVFDPSKRISAKDALAHPYLDEGRLRYHTCMCKCCFSTSTGRVYTSDFEPVTNPKFDDTFEKNLSSVRQVKEIIHQFILEQQKGNRVPLCINPQSAAFKSFISSTVAQPSEMPPSPLVWE
+>DECOY_sp|Q9UBE8|NLK_HUMAN Serine/threonine-protein kinase NLK OS=Homo sapiens OX=9606 GN=NLK PE=1 SV=2
+EWVLPSPPMESPQAVTSSIFSKFAASQPNICLPVRNGKQQELIFQHIIEKVQRVSSLNKEFTDDFKPNTVPEFDSTYVRGTSTSFCCKCMCTHYRLRGEDLYPHALADKASIRKSPDFVLMRCLLHVAEHTAQSSLTYLVPLSPQKHPGRLIHAKAGECATRMAELSPTGLLDTILDLQQIPSQAQFLIRRGLLEAFICGVSWIDIANSYHRSGMLIEPARYYQTVVEQTMHRSEDLEEVRALGFDCIKLVCNSNVLLNGPKIDRHLIGASHLYKLGRLIQYLFVKVHDSSLPQPSVIIKHLDSQMLETVVYIEEFYDIHPPQLIDLASLVNDHKFFCLMKLERFVRKCSVLNQFVNPMKKLAVRKGDRPDTVSWVVGFAGYGIPRDPEIDLQQQPHHSHQHHHAKVTAAAAAQVQAPAAAAPGPAQGPAPSPFYPQQQGPNLMAAAAAAAAAAAAASSTHQQVPHVAAASGPHLHHQPHHHHHLHPPPLHPLHHHHHHHHGAAAASTGGNYAAMMKANARAGCLSM
+>sp|Q96P20|NLRP3_HUMAN NACHT, LRR and PYD domains-containing protein 3 OS=Homo sapiens OX=9606 GN=NLRP3 PE=1 SV=3
+MKMASTRCKLARYLEDLEDVDLKKFKMHLEDYPPQKGCIPLPRGQTEKADHVDLATLMIDFNGEEKAWAMAVWIFAAINRRDLYEKAKRDEPKWGSDNARVSNPTVICQEDSIEEEWMGLLEYLSRISICKMKKDYRKKYRKYVRSRFQCIEDRNARLGESVSLNKRYTRLRLIKEHRSQQEREQELLAIGKTKTCESPVSPIKMELLFDPDDEHSEPVHTVVFQGAAGIGKTILARKMMLDWASGTLYQDRFDYLFYIHCREVSLVTQRSLGDLIMSCCPDPNPPIHKIVRKPSRILFLMDGFDELQGAFDEHIGPLCTDWQKAERGDILLSSLIRKKLLPEASLLITTRPVALEKLQHLLDHPRHVEILGFSEAKRKEYFFKYFSDEAQARAAFSLIQENEVLFTMCFIPLVCWIVCTGLKQQMESGKSLAQTSKTTTAVYVFFLSSLLQPRGGSQEHGLCAHLWGLCSLAADGIWNQKILFEESDLRNHGLQKADVSAFLRMNLFQKEVDCEKFYSFIHMTFQEFFAAMYYLLEEEKEGRTNVPGSRLKLPSRDVTVLLENYGKFEKGYLIFVVRFLFGLVNQERTSYLEKKLSCKISQQIRLELLKWIEVKAKAKKLQIQPSQLELFYCLYEMQEEDFVQRAMDYFPKIEINLSTRMDHMVSSFCIENCHRVESLSLGFLHNMPKEEEEEEKEGRHLDMVQCVLPSSSHAACSHGLVNSHLTSSFCRGLFSVLSTSQSLTELDLSDNSLGDPGMRVLCETLQHPGCNIRRLWLGRCGLSHECCFDISLVLSSNQKLVELDLSDNALGDFGIRLLCVGLKHLLCNLKKLWLVSCCLTSACCQDLASVLSTSHSLTRLYVGENALGDSGVAILCEKAKNPQCNLQKLGLVNSGLTSVCCSALSSVLSTNQNLTHLYLRGNTLGDKGIKLLCEGLLHPDCKLQVLELDNCNLTSHCCWDLSTLLTSSQSLRKLSLGNNDLGDLGVMMFCEVLKQQSCLLQNLGLSEMYFNYETKSALETLQEEKPELTVVFEPSW
+>DECOY_sp|Q96P20|NLRP3_HUMAN NACHT, LRR and PYD domains-containing protein 3 OS=Homo sapiens OX=9606 GN=NLRP3 PE=1 SV=3
+WSPEFVVTLEPKEEQLTELASKTEYNFYMESLGLNQLLCSQQKLVECFMMVGLDGLDNNGLSLKRLSQSSTLLTSLDWCCHSTLNCNDLELVQLKCDPHLLGECLLKIGKDGLTNGRLYLHTLNQNTSLVSSLASCCVSTLGSNVLGLKQLNCQPNKAKECLIAVGSDGLANEGVYLRTLSHSTSLVSALDQCCASTLCCSVLWLKKLNCLLHKLGVCLLRIGFDGLANDSLDLEVLKQNSSLVLSIDFCCEHSLGCRGLWLRRINCGPHQLTECLVRMGPDGLSNDSLDLETLSQSTSLVSFLGRCFSSTLHSNVLGHSCAAHSSSPLVCQVMDLHRGEKEEEEEEKPMNHLFGLSLSEVRHCNEICFSSVMHDMRTSLNIEIKPFYDMARQVFDEEQMEYLCYFLELQSPQIQLKKAKAKVEIWKLLELRIQQSIKCSLKKELYSTREQNVLGFLFRVVFILYGKEFKGYNELLVTVDRSPLKLRSGPVNTRGEKEEELLYYMAAFFEQFTMHIFSYFKECDVEKQFLNMRLFASVDAKQLGHNRLDSEEFLIKQNWIGDAALSCLGWLHACLGHEQSGGRPQLLSSLFFVYVATTTKSTQALSKGSEMQQKLGTCVIWCVLPIFCMTFLVENEQILSFAARAQAEDSFYKFFYEKRKAESFGLIEVHRPHDLLHQLKELAVPRTTILLSAEPLLKKRILSSLLIDGREAKQWDTCLPGIHEDFAGQLEDFGDMLFLIRSPKRVIKHIPPNPDPCCSMILDGLSRQTVLSVERCHIYFLYDFRDQYLTGSAWDLMMKRALITKGIGAAGQFVVTHVPESHEDDPDFLLEMKIPSVPSECTKTKGIALLEQEREQQSRHEKILRLRTYRKNLSVSEGLRANRDEICQFRSRVYKRYKKRYDKKMKCISIRSLYELLGMWEEEISDEQCIVTPNSVRANDSGWKPEDRKAKEYLDRRNIAAFIWVAMAWAKEEGNFDIMLTALDVHDAKETQGRPLPICGKQPPYDELHMKFKKLDVDELDELYRALKCRTSAMKM
+>sp|Q8NA29|NLS1_HUMAN Sodium-dependent lysophosphatidylcholine symporter 1 OS=Homo sapiens OX=9606 GN=MFSD2A PE=1 SV=1
+MAKGEGAESGSAAGLLPTSILQSTERPAQVKKEPKKKKQQLSVCNKLCYALGGAPYQVTGCALGFFLQIYLLDVAQKDEEVVFCFSSFQVGPFSASIILFVGRAWDAITDPLVGLCISKSPWTCLGRLMPWIIFSTPLAVIAYFLIWFVPDFPHGQTYWYLLFYCLFETMVTCFHVPYSALTMFISTEQTERDSATAYRMTVEVLGTVLGTAIQGQIVGQADTPCFQDLNSSTVASQSANHTHGTTSHRETQKAYLLAAGVIVCIYIICAVILILGVREQREPYEAQQSEPIAYFRGLRLVMSHGPYIKLITGFLFTSLAFMLVEGNFVLFCTYTLGFRNEFQNLLLAIMLSATLTIPIWQWFLTRFGKKTAVYVGISSAVPFLILVALMESNLIITYAVAVAAGISVAAAFLLPWSMLPDVIDDFHLKQPHFHGTEPIFFSFYVFFTKFASGVSLGISTLSLDFAGYQTRGCSQPERVKFTLNMLVTMAPIVLILLGLLLFKMYPIDEERRRQNKKALQALRDEASSSGCSETDSTELASIL
+>DECOY_sp|Q8NA29|NLS1_HUMAN Sodium-dependent lysophosphatidylcholine symporter 1 OS=Homo sapiens OX=9606 GN=MFSD2A PE=1 SV=1
+LISALETSDTESCGSSSAEDRLAQLAKKNQRRREEDIPYMKFLLLGLLILVIPAMTVLMNLTFKVREPQSCGRTQYGAFDLSLTSIGLSVGSAFKTFFVYFSFFIPETGHFHPQKLHFDDIVDPLMSWPLLFAAAVSIGAAVAVAYTIILNSEMLAVLILFPVASSIGVYVATKKGFRTLFWQWIPITLTASLMIALLLNQFENRFGLTYTCFLVFNGEVLMFALSTFLFGTILKIYPGHSMVLRLGRFYAIPESQQAEYPERQERVGLILIVACIIYICVIVGAALLYAKQTERHSTTGHTHNASQSAVTSSNLDQFCPTDAQGVIQGQIATGLVTGLVEVTMRYATASDRETQETSIFMTLASYPVHFCTVMTEFLCYFLLYWYTQGHPFDPVFWILFYAIVALPTSFIIWPMLRGLCTWPSKSICLGVLPDTIADWARGVFLIISASFPGVQFSSFCFVVEEDKQAVDLLYIQLFFGLACGTVQYPAGGLAYCLKNCVSLQQKKKKPEKKVQAPRETSQLISTPLLGAASGSEAGEGKAM
+>sp|O60391|NMD3B_HUMAN Glutamate receptor ionotropic, NMDA 3B OS=Homo sapiens OX=9606 GN=GRIN3B PE=2 SV=2
+MEFVRALWLGLALALGPGSAGGHPQPCGVLARLGGSVRLGALLPRAPLARARARAALARAALAPRLPHNLSLELVVAAPPARDPASLTRGLCQALVPPGVAALLAFPEARPELLQLHFLAAATETPVLSLLRREARAPLGAPNPFHLQLHWASPLETLLDVLVAVLQAHAWEDVGLALCRTQDPGGLVALWTSRAGRPPQLVLDLSRRDTGDAGLRARLAPMAAPVGGEAPVPAAVLLGCDIARARRVLEAVPPGPHWLLGTPLPPKALPTAGLPPGLLALGEVARPPLEAAIHDIVQLVARALGSAAQVQPKRALLPAPVNCGDLQPAGPESPGRFLARFLANTSFQGRTGPVWVTGSSQVHMSRHFKVWSLRRDPRGAPAWATVGSWRDGQLDLEPGGASARPPPPQGAQVWPKLRVVTLLEHPFVFARDPDEDGQCPAGQLCLDPGTNDSATLDALFAALANGSAPRALRKCCYGYCIDLLERLAEDTPFDFELYLVGDGKYGALRDGRWTGLVGDLLAGRAHMAVTSFSINSARSQVVDFTSPFFSTSLGIMVRARDTASPIGAFMWPLHWSTWLGVFAALHLTALFLTVYEWRSPYGLTPRGRNRSTVFSYSSALNLCYAILFRRTVSSKTPKCPTGRLLMNLWAIFCLLVLSSYTANLAAVMVGDKTFEELSGIHDPKLHHPAQGFRFGTVWESSAEAYIKKSFPDMHAHMRRHSAPTTPRGVAMLTSDPPKLNAFIMDKSLLDYEVSIDADCKLLTVGKPFAIEGYGIGLPQNSPLTSNLSEFISRYKSSGFIDLLHDKWYKMVPCGKRVFAVTETLQMSIYHFAGLFVLLCLGLGSALLSSLGEHAFFRLALPRIRKGSRLQYWLHTSQKIHRALNTEPPEGSKEETAEAEPSGPEVEQQQQQQDQPTAPEGWKRARRAVDKERRVRFLLEPAVVVAPEADAEAEAAPREGPVWLCSYGRPPAARPTGAPQPGELQELERRIEVARERLRQALVRRGQLLAQLGDSARHRPRRLLQARAAPAEAPPHSGRPGSQE
+>DECOY_sp|O60391|NMD3B_HUMAN Glutamate receptor ionotropic, NMDA 3B OS=Homo sapiens OX=9606 GN=GRIN3B PE=2 SV=2
+EQSGPRGSHPPAEAPAARAQLLRRPRHRASDGLQALLQGRRVLAQRLRERAVEIRRELEQLEGPQPAGTPRAAPPRGYSCLWVPGERPAAEAEADAEPAVVVAPELLFRVRREKDVARRARKWGEPATPQDQQQQQQEVEPGSPEAEATEEKSGEPPETNLARHIKQSTHLWYQLRSGKRIRPLALRFFAHEGLSSLLASGLGLCLLVFLGAFHYISMQLTETVAFVRKGCPVMKYWKDHLLDIFGSSKYRSIFESLNSTLPSNQPLGIGYGEIAFPKGVTLLKCDADISVEYDLLSKDMIFANLKPPDSTLMAVGRPTTPASHRRMHAHMDPFSKKIYAEASSEWVTGFRFGQAPHHLKPDHIGSLEEFTKDGVMVAALNATYSSLVLLCFIAWLNMLLRGTPCKPTKSSVTRRFLIAYCLNLASSYSFVTSRNRGRPTLGYPSRWEYVTLFLATLHLAAFVGLWTSWHLPWMFAGIPSATDRARVMIGLSTSFFPSTFDVVQSRASNISFSTVAMHARGALLDGVLGTWRGDRLAGYKGDGVLYLEFDFPTDEALRELLDICYGYCCKRLARPASGNALAAFLADLTASDNTGPDLCLQGAPCQGDEDPDRAFVFPHELLTVVRLKPWVQAGQPPPPRASAGGPELDLQGDRWSGVTAWAPAGRPDRRLSWVKFHRSMHVQSSGTVWVPGTRGQFSTNALFRALFRGPSEPGAPQLDGCNVPAPLLARKPQVQAASGLARAVLQVIDHIAAELPPRAVEGLALLGPPLGATPLAKPPLPTGLLWHPGPPVAELVRRARAIDCGLLVAAPVPAEGGVPAAMPALRARLGADGTDRRSLDLVLQPPRGARSTWLAVLGGPDQTRCLALGVDEWAHAQLVAVLVDLLTELPSAWHLQLHFPNPAGLPARAERRLLSLVPTETAAALFHLQLLEPRAEPFALLAAVGPPVLAQCLGRTLSAPDRAPPAAVVLELSLNHPLRPALAARALAARARARALPARPLLAGLRVSGGLRALVGCPQPHGGASGPGLALALGLWLARVFEM
+>sp|Q96D46|NMD3_HUMAN 60S ribosomal export protein NMD3 OS=Homo sapiens OX=9606 GN=NMD3 PE=1 SV=1
+MEYMAESTDRSPGHILCCECGVPISPNPANICVACLRSKVDISQGIPKQVSISFCKQCQRYFQPPGTWIQCALESRELLALCLKKIKAPLSKVRLVDAGFVWTEPHSKRLKVKLTIQKEVMNGAILQQVFVVDYVVQSQMCGDCHRVEAKDFWKAVIQVRQKTLHKKTFYYLEQLILKYGMHQNTLRIKEIHDGLDFYYSSKQHAQKMVEFLQCTVPCRYKASQRLISQDIHSNTYNYKSTFSVEIVPICKDNVVCLSPKLAQSLGNMNQICVCIRVTSAIHLIDPNTLQVADIDGSTFWSHPFNSLCHPKQLEEFIVMECSIVQDIKRAAGAGMISKKHTLGEVWVQKTSEMNTDKQYFCRTHLGHLLNPGDLVLGFDLANCNLNDEHVNKMNSDRVPDVVLIKKSYDRTKRQRRRNWKLKELARERENMDTDDERQYQDFLEDLEEDEAIRKNVNIYRDSAIPVESDTDDEGAPRISLAEMLEDLHISQDATGEEGASMLT
+>DECOY_sp|Q96D46|NMD3_HUMAN 60S ribosomal export protein NMD3 OS=Homo sapiens OX=9606 GN=NMD3 PE=1 SV=1
+TLMSAGEEGTADQSIHLDELMEALSIRPAGEDDTDSEVPIASDRYINVNKRIAEDEELDELFDQYQREDDTDMNERERALEKLKWNRRRQRKTRDYSKKILVVDPVRDSNMKNVHEDNLNCNALDFGLVLDGPNLLHGLHTRCFYQKDTNMESTKQVWVEGLTHKKSIMGAGAARKIDQVISCEMVIFEELQKPHCLSNFPHSWFTSGDIDAVQLTNPDILHIASTVRICVCIQNMNGLSQALKPSLCVVNDKCIPVIEVSFTSKYNYTNSHIDQSILRQSAKYRCPVTCQLFEVMKQAHQKSSYYFDLGDHIEKIRLTNQHMGYKLILQELYYFTKKHLTKQRVQIVAKWFDKAEVRHCDGCMQSQVVYDVVFVQQLIAGNMVEKQITLKVKLRKSHPETWVFGADVLRVKSLPAKIKKLCLALLERSELACQIWTGPPQFYRQCQKCFSISVQKPIGQSIDVKSRLCAVCINAPNPSIPVGCECCLIHGPSRDTSEAMYEM
+>sp|Q05586|NMDZ1_HUMAN Glutamate receptor ionotropic, NMDA 1 OS=Homo sapiens OX=9606 GN=GRIN1 PE=1 SV=1
+MSTMRLLTLALLFSCSVARAACDPKIVNIGAVLSTRKHEQMFREAVNQANKRHGSWKIQLNATSVTHKPNAIQMALSVCEDLISSQVYAILVSHPPTPNDHFTPTPVSYTAGFYRIPVLGLTTRMSIYSDKSIHLSFLRTVPPYSHQSSVWFEMMRVYSWNHIILLVSDDHEGRAAQKRLETLLEERESKAEKVLQFDPGTKNVTALLMEAKELEARVIILSASEDDAATVYRAAAMLNMTGSGYVWLVGEREISGNALRYAPDGILGLQLINGKNESAHISDAVGVVAQAVHELLEKENITDPPRGCVGNTNIWKTGPLFKRVLMSSKYADGVTGRVEFNEDGDRKFANYSIMNLQNRKLVQVGIYNGTHVIPNDRKIIWPGGETEKPRGYQMSTRLKIVTIHQEPFVYVKPTLSDGTCKEEFTVNGDPVKKVICTGPNDTSPGSPRHTVPQCCYGFCIDLLIKLARTMNFTYEVHLVADGKFGTQERVNNSNKKEWNGMMGELLSGQADMIVAPLTINNERAQYIEFSKPFKYQGLTILVKKEIPRSTLDSFMQPFQSTLWLLVGLSVHVVAVMLYLLDRFSPFGRFKVNSEEEEEDALTLSSAMWFSWGVLLNSGIGEGAPRSFSARILGMVWAGFAMIIVASYTANLAAFLVLDRPEERITGINDPRLRNPSDKFIYATVKQSSVDIYFRRQVELSTMYRHMEKHNYESAAEAIQAVRDNKLHAFIWDSAVLEFEASQKCDLVTTGELFFRSGFGIGMRKDSPWKQNVSLSILKSHENGFMEDLDKTWVRYQECDSRSNAPATLTFENMAGVFMLVAGGIVAGIFLIFIEIAYKRHKDARRKQMQLAFAAVNVWRKNLQDRKSGRAEPDPKKKATFRAITSTLASSFKRRRSSKDTSTGGGRGALQNQKDTVLPRRAIEREEGQLQLCSRHRES
+>DECOY_sp|Q05586|NMDZ1_HUMAN Glutamate receptor ionotropic, NMDA 1 OS=Homo sapiens OX=9606 GN=GRIN1 PE=1 SV=1
+SERHRSCLQLQGEEREIARRPLVTDKQNQLAGRGGGTSTDKSSRRRKFSSALTSTIARFTAKKKPDPEARGSKRDQLNKRWVNVAAFALQMQKRRADKHRKYAIEIFILFIGAVIGGAVLMFVGAMNEFTLTAPANSRSDCEQYRVWTKDLDEMFGNEHSKLISLSVNQKWPSDKRMGIGFGSRFFLEGTTVLDCKQSAEFELVASDWIFAHLKNDRVAQIAEAASEYNHKEMHRYMTSLEVQRRFYIDVSSQKVTAYIFKDSPNRLRPDNIGTIREEPRDLVLFAALNATYSAVIIMAFGAWVMGLIRASFSRPAGEGIGSNLLVGWSFWMASSLTLADEEEEESNVKFRGFPSFRDLLYLMVAVVHVSLGVLLWLTSQFPQMFSDLTSRPIEKKVLITLGQYKFPKSFEIYQARENNITLPAVIMDAQGSLLEGMMGNWEKKNSNNVREQTGFKGDAVLHVEYTFNMTRALKILLDICFGYCCQPVTHRPSGPSTDNPGTCIVKKVPDGNVTFEEKCTGDSLTPKVYVFPEQHITVIKLRTSMQYGRPKETEGGPWIIKRDNPIVHTGNYIGVQVLKRNQLNMISYNAFKRDGDENFEVRGTVGDAYKSSMLVRKFLPGTKWINTNGVCGRPPDTINEKELLEHVAQAVVGVADSIHASENKGNILQLGLIGDPAYRLANGSIEREGVLWVYGSGTMNLMAAARYVTAADDESASLIIVRAELEKAEMLLATVNKTGPDFQLVKEAKSEREELLTELRKQAARGEHDDSVLLIIHNWSYVRMMEFWVSSQHSYPPVTRLFSLHISKDSYISMRTTLGLVPIRYFGATYSVPTPTFHDNPTPPHSVLIAYVQSSILDECVSLAMQIANPKHTVSTANLQIKWSGHRKNAQNVAERFMQEHKRTSLVAGINVIKPDCAARAVSCSFLLALTLLRMTSM
+>sp|Q9C002|NMES1_HUMAN Normal mucosa of esophagus-specific gene 1 protein OS=Homo sapiens OX=9606 GN=NMES1 PE=2 SV=1
+MSFFQLLMKRKELIPLVVFMTVAAGGASSFAVYSLWKTDVILDRKKNPEPWETVDPTVPQKLITINQQWKPIEELQNVQRVTK
+>DECOY_sp|Q9C002|NMES1_HUMAN Normal mucosa of esophagus-specific gene 1 protein OS=Homo sapiens OX=9606 GN=NMES1 PE=2 SV=1
+KTVRQVNQLEEIPKWQQNITILKQPVTPDVTEWPEPNKKRDLIVDTKWLSYVAFSSAGGAAVTMFVVLPILEKRKMLLQFFSM
+>sp|Q8NCW5|NNRE_HUMAN NAD(P)H-hydrate epimerase OS=Homo sapiens OX=9606 GN=NAXE PE=1 SV=2
+MSRLRALLGLGLLVAGSRVPRIKSQTIACRSGPTWWGPQRLNSGGRWDSEVMASTVVKYLSQEEAQAVDQELFNEYQFSVDQLMELAGLSCATAIAKAYPPTSMSRSPPTVLVICGPGNNGGDGLVCARHLKLFGYEPTIYYPKRPNKPLFTALVTQCQKMDIPFLGEMPAEPMTIDELYELVVDAIFGFSFKGDVREPFHSILSVLKGLTVPIASIDIPSGWDVEKGNAGGIQPDLLISLTAPKKSATQFTGRYHYLGGRFVPPALEKKYQLNLPPYPDTECVYRLQ
+>DECOY_sp|Q8NCW5|NNRE_HUMAN NAD(P)H-hydrate epimerase OS=Homo sapiens OX=9606 GN=NAXE PE=1 SV=2
+QLRYVCETDPYPPLNLQYKKELAPPVFRGGLYHYRGTFQTASKKPATLSILLDPQIGGANGKEVDWGSPIDISAIPVTLGKLVSLISHFPERVDGKFSFGFIADVVLEYLEDITMPEAPMEGLFPIDMKQCQTVLATFLPKNPRKPYYITPEYGFLKLHRACVLGDGGNNGPGCIVLVTPPSRSMSTPPYAKAIATACSLGALEMLQDVSFQYENFLEQDVAQAEEQSLYKVVTSAMVESDWRGGSNLRQPGWWTPGSRCAITQSKIRPVRSGAVLLGLGLLARLRSM
+>sp|Q8NC60|NOA1_HUMAN Nitric oxide-associated protein 1 OS=Homo sapiens OX=9606 GN=NOA1 PE=1 SV=2
+MLPARLPFRLLSLFLRGSAPTAARHGLREPLLERRCAAASSFQHSSSLGRELPYDPVDTEGFGEGGDMQERFLFPEYILDPEPQPTREKQLQELQQQQEEEERQRQQRREERRQQNLRARSREHPVVGHPDPALPPSGVNCSGCGAELHCQDAGVPGYLPREKFLRTAEADGGLARTVCQRCWLLSHHRRALRLQVSREQYLELVSAALRRPGPSLVLYMVDLLDLPDALLPDLPALVGPKQLIVLGNKVDLLPQDAPGYRQRLRERLWEDCARAGLLLAPGHQGPQRPVKDEPQDGENPNPPNWSRTVVRDVRLISAKTGYGVEELISALQRSWRYRGDVYLVGATNAGKSTLFNTLLESDYCTAKGSEAIDRATISPWPGTTLNLLKFPICNPTPYRMFKRHQRLKKDSTQAEEDLSEQEQNQLNVLKKHGYVVGRVGRTFLYSEEQKDNIPFEFDADSLAFDMENDPVMGTHKSTKQVELTAQDVKDAHWFYDTPGITKENCILNLLTEKEVNIVLPTQSIVPRTFVLKPGMVLFLGAIGRIDFLQGNQSAWFTVVASNILPVHITSLDRADALYQKHAGHTLLQIPMGGKERMAGFPPLVAEDIMLKEGLGASEAVADIKFSSAGWVSVTPNFKDRLHLRGYTPEGTVLTVRPPLLPYIVNIKGQRIKKSVAYKTKKPPSLMYNVRKKKGKINV
+>DECOY_sp|Q8NC60|NOA1_HUMAN Nitric oxide-associated protein 1 OS=Homo sapiens OX=9606 GN=NOA1 PE=1 SV=2
+VNIKGKKKRVNYMLSPPKKTKYAVSKKIRQGKINVIYPLLPPRVTLVTGEPTYGRLHLRDKFNPTVSVWGASSFKIDAVAESAGLGEKLMIDEAVLPPFGAMREKGGMPIQLLTHGAHKQYLADARDLSTIHVPLINSAVVTFWASQNGQLFDIRGIAGLFLVMGPKLVFTRPVISQTPLVINVEKETLLNLICNEKTIGPTDYFWHADKVDQATLEVQKTSKHTGMVPDNEMDFALSDADFEFPINDKQEESYLFTRGVRGVVYGHKKLVNLQNQEQESLDEEAQTSDKKLRQHRKFMRYPTPNCIPFKLLNLTTGPWPSITARDIAESGKATCYDSELLTNFLTSKGANTAGVLYVDGRYRWSRQLASILEEVGYGTKASILRVDRVVTRSWNPPNPNEGDQPEDKVPRQPGQHGPALLLGARACDEWLRERLRQRYGPADQPLLDVKNGLVILQKPGVLAPLDPLLADPLDLLDVMYLVLSPGPRRLAASVLELYQERSVQLRLARRHHSLLWCRQCVTRALGGDAEATRLFKERPLYGPVGADQCHLEAGCGSCNVGSPPLAPDPHGVVPHERSRARLNQQRREERRQQRQREEEEQQQQLEQLQKERTPQPEPDLIYEPFLFREQMDGGEGFGETDVPDYPLERGLSSSHQFSSAAACRRELLPERLGHRAATPASGRLFLSLLRFPLRAPLM
+>sp|Q9Y3T9|NOC2L_HUMAN Nucleolar complex protein 2 homolog OS=Homo sapiens OX=9606 GN=NOC2L PE=1 SV=4
+MAAAGSRKRRLAELTVDEFLASGFDSESESESENSPQAETREAREAARSPDKPGGSPSASRRKGRASEHKDQLSRLKDRDPEFYKFLQENDQSLLNFSDSDSSEEEEGPFHSLPDVLEEASEEEDGAEEGEDGDRVPRGLKGKKNSVPVTVAMVERWKQAAKQRLTPKLFHEVVQAFRAAVATTRGDQESAEANKFQVTDSAAFNALVTFCIRDLIGCLQKLLFGKVAKDSSRMLQPSSSPLWGKLRVDIKAYLGSAIQLVSCLSETTVLAAVLRHISVLVPCFLTFPKQCRMLLKRMVIVWSTGEESLRVLAFLVLSRVCRHKKDTFLGPVLKQMYITYVRNCKFTSPGALPFISFMQWTLTELLALEPGVAYQHAFLYIRQLAIHLRNAMTTRKKETYQSVYNWQYVHCLFLWCRVLSTAGPSEALQPLVYPLAQVIIGCIKLIPTARFYPLRMHCIRALTLLSGSSGAFIPVLPFILEMFQQVDFNRKPGRMSSKPINFSVILKLSNVNLQEKAYRDGLVEQLYDLTLEYLHSQAHCIGFPELVLPVVLQLKSFLRECKVANYCRQVQQLLGKVQENSAYICSRRQRVSFGVSEQQAVEAWEKLTREEGTPLTLYYSHWRKLRDREIQLEISGKERLEDLNFPEIKRRKMADRKDEDRKQFKDLFDLNSSEEDDTEGFSERGILRPLSTRHGVEDDEEDEEEGEEDSSNSEDGDPDAEAGLAPGELQQLAQGPEDELEDLQLSEDD
+>DECOY_sp|Q9Y3T9|NOC2L_HUMAN Nucleolar complex protein 2 homolog OS=Homo sapiens OX=9606 GN=NOC2L PE=1 SV=4
+DDESLQLDELEDEPGQALQQLEGPALGAEADPDGDESNSSDEEGEEEDEEDDEVGHRTSLPRLIGRESFGETDDEESSNLDFLDKFQKRDEDKRDAMKRRKIEPFNLDELREKGSIELQIERDRLKRWHSYYLTLPTGEERTLKEWAEVAQQESVGFSVRQRRSCIYASNEQVKGLLQQVQRCYNAVKCERLFSKLQLVVPLVLEPFGICHAQSHLYELTLDYLQEVLGDRYAKEQLNVNSLKLIVSFNIPKSSMRGPKRNFDVQQFMELIFPLVPIFAGSSGSLLTLARICHMRLPYFRATPILKICGIIVQALPYVLPQLAESPGATSLVRCWLFLCHVYQWNYVSQYTEKKRTTMANRLHIALQRIYLFAHQYAVGPELALLETLTWQMFSIFPLAGPSTFKCNRVYTIYMQKLVPGLFTDKKHRCVRSLVLFALVRLSEEGTSWVIVMRKLLMRCQKPFTLFCPVLVSIHRLVAALVTTESLCSVLQIASGLYAKIDVRLKGWLPSSSPQLMRSSDKAVKGFLLKQLCGILDRICFTVLANFAASDTVQFKNAEASEQDGRTTAVAARFAQVVEHFLKPTLRQKAAQKWREVMAVTVPVSNKKGKLGRPVRDGDEGEEAGDEEESAEELVDPLSHFPGEEEESSDSDSFNLLSQDNEQLFKYFEPDRDKLRSLQDKHESARGKRRSASPSGGPKDPSRAAERAERTEAQPSNESESESESDFGSALFEDVTLEALRRKRSGAAAM
+>sp|Q9NPE3|NOP10_HUMAN H/ACA ribonucleoprotein complex subunit 3 OS=Homo sapiens OX=9606 GN=NOP10 PE=1 SV=1
+MFLQYYLNEQGDRVYTLKKFDPMGQQTCSAHPARFSPDDKYSRHRITIKKRFKVLMTQQPRPVL
+>DECOY_sp|Q9NPE3|NOP10_HUMAN H/ACA ribonucleoprotein complex subunit 3 OS=Homo sapiens OX=9606 GN=NOP10 PE=1 SV=1
+LVPRPQQTMLVKFRKKITIRHRSYKDDPSFRAPHASCTQQGMPDFKKLTYVRDGQENLYYQLFM
+>sp|Q9UM47|NOTC3_HUMAN Neurogenic locus notch homolog protein 3 OS=Homo sapiens OX=9606 GN=NOTCH3 PE=1 SV=2
+MGPGARGRRRRRRPMSPPPPPPPVRALPLLLLLAGPGAAAPPCLDGSPCANGGRCTQLPSREAACLCPPGWVGERCQLEDPCHSGPCAGRGVCQSSVVAGTARFSCRCPRGFRGPDCSLPDPCLSSPCAHGARCSVGPDGRFLCSCPPGYQGRSCRSDVDECRVGEPCRHGGTCLNTPGSFRCQCPAGYTGPLCENPAVPCAPSPCRNGGTCRQSGDLTYDCACLPGFEGQNCEVNVDDCPGHRCLNGGTCVDGVNTYNCQCPPEWTGQFCTEDVDECQLQPNACHNGGTCFNTLGGHSCVCVNGWTGESCSQNIDDCATAVCFHGATCHDRVASFYCACPMGKTGLLCHLDDACVSNPCHEDAICDTNPVNGRAICTCPPGFTGGACDQDVDECSIGANPCEHLGRCVNTQGSFLCQCGRGYTGPRCETDVNECLSGPCRNQATCLDRIGQFTCICMAGFTGTYCEVDIDECQSSPCVNGGVCKDRVNGFSCTCPSGFSGSTCQLDVDECASTPCRNGAKCVDQPDGYECRCAEGFEGTLCDRNVDDCSPDPCHHGRCVDGIASFSCACAPGYTGTRCESQVDECRSQPCRHGGKCLDLVDKYLCRCPSGTTGVNCEVNIDDCASNPCTFGVCRDGINRYDCVCQPGFTGPLCNVEINECASSPCGEGGSCVDGENGFRCLCPPGSLPPLCLPPSHPCAHEPCSHGICYDAPGGFRCVCEPGWSGPRCSQSLARDACESQPCRAGGTCSSDGMGFHCTCPPGVQGRQCELLSPCTPNPCEHGGRCESAPGQLPVCSCPQGWQGPRCQQDVDECAGPAPCGPHGICTNLAGSFSCTCHGGYTGPSCDQDINDCDPNPCLNGGSCQDGVGSFSCSCLPGFAGPRCARDVDECLSNPCGPGTCTDHVASFTCTCPPGYGGFHCEQDLPDCSPSSCFNGGTCVDGVNSFSCLCRPGYTGAHCQHEADPCLSRPCLHGGVCSAAHPGFRCTCLESFTGPQCQTLVDWCSRQPCQNGGRCVQTGAYCLCPPGWSGRLCDIRSLPCREAAAQIGVRLEQLCQAGGQCVDEDSSHYCVCPEGRTGSHCEQEVDPCLAQPCQHGGTCRGYMGGYMCECLPGYNGDNCEDDVDECASQPCQHGGSCIDLVARYLCSCPPGTLGVLCEINEDDCGPGPPLDSGPRCLHNGTCVDLVGGFRCTCPPGYTGLRCEADINECRSGACHAAHTRDCLQDPGGGFRCLCHAGFSGPRCQTVLSPCESQPCQHGGQCRPSPGPGGGLTFTCHCAQPFWGPRCERVARSCRELQCPVGVPCQQTPRGPRCACPPGLSGPSCRSFPGSPPGASNASCAAAPCLHGGSCRPAPLAPFFRCACAQGWTGPRCEAPAAAPEVSEEPRCPRAACQAKRGDQRCDRECNSPGCGWDGGDCSLSVGDPWRQCEALQCWRLFNNSRCDPACSSPACLYDNFDCHAGGRERTCNPVYEKYCADHFADGRCDQGCNTEECGWDGLDCASEVPALLARGVLVLTVLLPPEELLRSSADFLQRLSAILRTSLRFRLDAHGQAMVFPYHRPSPGSEPRARRELAPEVIGSVVMLEIDNRLCLQSPENDHCFPDAQSAADYLGALSAVERLDFPYPLRDVRGEPLEPPEPSVPLLPLLVAGAVLLLVILVLGVMVARRKREHSTLWFPEGFSLHKDVASGHKGRREPVGQDALGMKNMAKGESLMGEVATDWMDTECPEAKRLKVEEPGMGAEEAVDCRQWTQHHLVAADIRVAPAMALTPPQGDADADGMDVNVRGPDGFTPLMLASFCGGALEPMPTEEDEADDTSASIISDLICQGAQLGARTDRTGETALHLAARYARADAAKRLLDAGADTNAQDHSGRTPLHTAVTADAQGVFQILIRNRSTDLDARMADGSTALILAARLAVEGMVEELIASHADVNAVDELGKSALHWAAAVNNVEATLALLKNGANKDMQDSKEETPLFLAAREGSYEAAKLLLDHFANREITDHLDRLPRDVAQERLHQDIVRLLDQPSGPRSPPGPHGLGPLLCPPGAFLPGLKAAQSGSKKSRRPPGKAGLGPQGPRGRGKKLTLACPGPLADSSVTLSPVDSLDSPRPFGGPPASPGGFPLEGPYAAATATAVSLAQLGGPGRAGLGRQPPGGCVLSLGLLNPVAVPLDWARLPPPAPPGPSFLLPLAPGPQLLNPGTPVSPQERPPPYLAVPGHGEEYPAAGAHSSPPKARFLRVPSEHPYLTPSPESPEHWASPSPPSLSDWSESTPSPATATGAMATTTGALPAQPLPLSVPSSLAQAQTQLGPQPEVTPKRQVLA
+>DECOY_sp|Q9UM47|NOTC3_HUMAN Neurogenic locus notch homolog protein 3 OS=Homo sapiens OX=9606 GN=NOTCH3 PE=1 SV=2
+ALVQRKPTVEPQPGLQTQAQALSSPVSLPLPQAPLAGTTTAMAGTATAPSPTSESWDSLSPPSPSAWHEPSEPSPTLYPHESPVRLFRAKPPSSHAGAAPYEEGHGPVALYPPPREQPSVPTGPNLLQPGPALPLLFSPGPPAPPPLRAWDLPVAVPNLLGLSLVCGGPPQRGLGARGPGGLQALSVATATAAAYPGELPFGGPSAPPGGFPRPSDLSDVPSLTVSSDALPGPCALTLKKGRGRPGQPGLGAKGPPRRSKKSGSQAAKLGPLFAGPPCLLPGLGHPGPPSRPGSPQDLLRVIDQHLREQAVDRPLRDLHDTIERNAFHDLLLKAAEYSGERAALFLPTEEKSDQMDKNAGNKLLALTAEVNNVAAAWHLASKGLEDVANVDAHSAILEEVMGEVALRAALILATSGDAMRADLDTSRNRILIQFVGQADATVATHLPTRGSHDQANTDAGADLLRKAADARAYRAALHLATEGTRDTRAGLQAGQCILDSIISASTDDAEDEETPMPELAGGCFSALMLPTFGDPGRVNVDMGDADADGQPPTLAMAPAVRIDAAVLHHQTWQRCDVAEEAGMGPEEVKLRKAEPCETDMWDTAVEGMLSEGKAMNKMGLADQGVPERRGKHGSAVDKHLSFGEPFWLTSHERKRRAVMVGLVLIVLLLVAGAVLLPLLPVSPEPPELPEGRVDRLPYPFDLREVASLAGLYDAASQADPFCHDNEPSQLCLRNDIELMVVSGIVEPALERRARPESGPSPRHYPFVMAQGHADLRFRLSTRLIASLRQLFDASSRLLEEPPLLVTLVLVGRALLAPVESACDLGDWGCEETNCGQDCRGDAFHDACYKEYVPNCTRERGGAHCDFNDYLCAPSSCAPDCRSNNFLRWCQLAECQRWPDGVSLSCDGGDWGCGPSNCERDCRQDGRKAQCAARPCRPEESVEPAAAPAECRPGTWGQACACRFFPALPAPRCSGGHLCPAAACSANSAGPPSGPFSRCSPGSLGPPCACRPGRPTQQCPVGVPCQLERCSRAVRECRPGWFPQACHCTFTLGGGPGPSPRCQGGHQCPQSECPSLVTQCRPGSFGAHCLCRFGGGPDQLCDRTHAAHCAGSRCENIDAECRLGTYGPPCTCRFGGVLDVCTGNHLCRPGSDLPPGPGCDDENIECLVGLTGPPCSCLYRAVLDICSGGHQCPQSACEDVDDECNDGNYGPLCECMYGGMYGRCTGGHQCPQALCPDVEQECHSGTRGEPCVCYHSSDEDVCQGGAQCLQELRVGIQAAAERCPLSRIDCLRGSWGPPCLCYAGTQVCRGGNQCPQRSCWDVLTQCQPGTFSELCTCRFGPHAASCVGGHLCPRSLCPDAEHQCHAGTYGPRCLCSFSNVGDVCTGGNFCSSPSCDPLDQECHFGGYGPPCTCTFSAVHDTCTGPGCPNSLCEDVDRACRPGAFGPLCSCSFSGVGDQCSGGNLCPNPDCDNIDQDCSPGTYGGHCTCSFSGALNTCIGHPGCPAPGACEDVDQQCRPGQWGQPCSCVPLQGPASECRGGHECPNPTCPSLLECQRGQVGPPCTCHFGMGDSSCTGGARCPQSECADRALSQSCRPGSWGPECVCRFGGPADYCIGHSCPEHACPHSPPLCLPPLSGPPCLCRFGNEGDVCSGGEGCPSSACENIEVNCLPGTFGPQCVCDYRNIGDRCVGFTCPNSACDDINVECNVGTTGSPCRCLYKDVLDLCKGGHRCPQSRCEDVQSECRTGTYGPACACSFSAIGDVCRGHHCPDPSCDDVNRDCLTGEFGEACRCEYGDPQDVCKAGNRCPTSACEDVDLQCTSGSFGSPCTCSFGNVRDKCVGGNVCPSSQCEDIDVECYTGTFGAMCICTFQGIRDLCTAQNRCPGSLCENVDTECRPGTYGRGCQCLFSGQTNVCRGLHECPNAGISCEDVDQDCAGGTFGPPCTCIARGNVPNTDCIADEHCPNSVCADDLHCLLGTKGMPCACYFSAVRDHCTAGHFCVATACDDINQSCSEGTWGNVCVCSHGGLTNFCTGGNHCANPQLQCEDVDETCFQGTWEPPCQCNYTNVGDVCTGGNLCRHGPCDDVNVECNQGEFGPLCACDYTLDGSQRCTGGNRCPSPACPVAPNECLPGTYGAPCQCRFSGPTNLCTGGHRCPEGVRCEDVDSRCSRGQYGPPCSCLFRGDPGVSCRAGHACPSSLCPDPLSCDPGRFGRPCRCSFRATGAVVSSQCVGRGACPGSHCPDELQCREGVWGPPCLCAAERSPLQTCRGGNACPSGDLCPPAAAGPGALLLLLPLARVPPPPPPPSMPRRRRRRGRAGPGM
+>sp|Q99466|NOTC4_HUMAN Neurogenic locus notch homolog protein 4 OS=Homo sapiens OX=9606 GN=NOTCH4 PE=1 SV=2
+MQPPSLLLLLLLLLLLCVSVVRPRGLLCGSFPEPCANGGTCLSLSLGQGTCQCAPGFLGETCQFPDPCQNAQLCQNGGSCQALLPAPLGLPSSPSPLTPSFLCTCLPGFTGERCQAKLEDPCPPSFCSKRGRCHIQASGRPQCSCMPGWTGEQCQLRDFCSANPCVNGGVCLATYPQIQCHCPPGFEGHACERDVNECFQDPGPCPKGTSCHNTLGSFQCLCPVGQEGPRCELRAGPCPPRGCSNGGTCQLMPEKDSTFHLCLCPPGFIGPDCEVNPDNCVSHQCQNGGTCQDGLDTYTCLCPETWTGWDCSEDVDECETQGPPHCRNGGTCQNSAGSFHCVCVSGWGGTSCEENLDDCIAATCAPGSTCIDRVGSFSCLCPPGRTGLLCHLEDMCLSQPCHGDAQCSTNPLTGSTLCLCQPGYSGPTCHQDLDECLMAQQGPSPCEHGGSCLNTPGSFNCLCPPGYTGSRCEADHNECLSQPCHPGSTCLDLLATFHCLCPPGLEGQLCEVETNECASAPCLNHADCHDLLNGFQCICLPGFSGTRCEEDIDECRSSPCANGGQCQDQPGAFHCKCLPGFEGPRCQTEVDECLSDPCPVGASCLDLPGAFFCLCPSGFTGQLCEVPLCAPNLCQPKQICKDQKDKANCLCPDGSPGCAPPEDNCTCHHGHCQRSSCVCDVGWTGPECEAELGGCISAPCAHGGTCYPQPSGYNCTCPTGYTGPTCSEEMTACHSGPCLNGGSCNPSPGGYYCTCPPSHTGPQCQTSTDYCVSAPCFNGGTCVNRPGTFSCLCAMGFQGPRCEGKLRPSCADSPCRNRATCQDSPQGPRCLCPTGYTGGSCQTLMDLCAQKPCPRNSHCLQTGPSFHCLCLQGWTGPLCNLPLSSCQKAALSQGIDVSSLCHNGGLCVDSGPSYFCHCPPGFQGSLCQDHVNPCESRPCQNGATCMAQPSGYLCQCAPGYDGQNCSKELDACQSQPCHNHGTCTPKPGGFHCACPPGFVGLRCEGDVDECLDQPCHPTGTAACHSLANAFYCQCLPGHTGQWCEVEIDPCHSQPCFHGGTCEATAGSPLGFICHCPKGFEGPTCSHRAPSCGFHHCHHGGLCLPSPKPGFPPRCACLSGYGGPDCLTPPAPKGCGPPSPCLYNGSCSETTGLGGPGFRCSCPHSSPGPRCQKPGAKGCEGRSGDGACDAGCSGPGGNWDGGDCSLGVPDPWKGCPSHSRCWLLFRDGQCHPQCDSEECLFDGYDCETPPACTPAYDQYCHDHFHNGHCEKGCNTAECGWDGGDCRPEDGDPEWGPSLALLVVLSPPALDQQLFALARVLSLTLRVGLWVRKDRDGRDMVYPYPGARAEEKLGGTRDPTYQERAAPQTQPLGKETDSLSAGFVVVMGVDLSRCGPDHPASRCPWDPGLLLRFLAAMAAVGALEPLLPGPLLAVHPHAGTAPPANQLPWPVLCSPVAGVILLALGALLVLQLIRRRRREHGALWLPPGFTRRPRTQSAPHRRRPPLGEDSIGLKALKPKAEVDEDGVVMCSGPEEGEEVGQAEETGPPSTCQLWSLSGGCGALPQAAMLTPPQESEMEAPDLDTRGPDGVTPLMSAVCCGEVQSGTFQGAWLGCPEPWEPLLDGGACPQAHTVGTGETPLHLAARFSRPTAARRLLEAGANPNQPDRAGRTPLHAAVAADAREVCQLLLRSRQTAVDARTEDGTTPLMLAARLAVEDLVEELIAAQADVGARDKWGKTALHWAAAVNNARAARSLLQAGADKDAQDNREQTPLFLAAREGAVEVAQLLLGLGAARELRDQAGLAPADVAHQRNHWDLLTLLEGAGPPEARHKATPGREAGPFPRARTVSVSVPPHGGGALPRCRTLSAGAGPRGGGACLQARTWSVDLAARGGGAYSHCRSLSGVGAGGGPTPRGRRFSAGMRGPRPNPAIMRGRYGVAAGRGGRVSTDDWPCDWVALGACGSASNIPIPPPCLTPSPERGSPQLDCGPPALQEMPINQGGEGKK
+>DECOY_sp|Q99466|NOTC4_HUMAN Neurogenic locus notch homolog protein 4 OS=Homo sapiens OX=9606 GN=NOTCH4 PE=1 SV=2
+KKGEGGQNIPMEQLAPPGCDLQPSGREPSPTLCPPPIPINSASGCAGLAVWDCPWDDTSVRGGRGAAVGYRGRMIAPNPRPGRMGASFRRGRPTPGGGAGVGSLSRCHSYAGGGRAALDVSWTRAQLCAGGGRPGAGASLTRCRPLAGGGHPPVSVSVTRARPFPGAERGPTAKHRAEPPGAGELLTLLDWHNRQHAVDAPALGAQDRLERAAGLGLLLQAVEVAGERAALFLPTQERNDQADKDAGAQLLSRAARANNVAAAWHLATKGWKDRAGVDAQAAILEEVLDEVALRAALMLPTTGDETRADVATQRSRLLLQCVERADAAVAAHLPTRGARDPQNPNAGAELLRRAATPRSFRAALHLPTEGTGVTHAQPCAGGDLLPEWPEPCGLWAGQFTGSQVEGCCVASMLPTVGDPGRTDLDPAEMESEQPPTLMAAQPLAGCGGSLSWLQCTSPPGTEEAQGVEEGEEPGSCMVVGDEDVEAKPKLAKLGISDEGLPPRRRHPASQTRPRRTFGPPLWLAGHERRRRRILQLVLLAGLALLIVGAVPSCLVPWPLQNAPPATGAHPHVALLPGPLLPELAGVAAMAALFRLLLGPDWPCRSAPHDPGCRSLDVGMVVVFGASLSDTEKGLPQTQPAAREQYTPDRTGGLKEEARAGPYPYVMDRGDRDKRVWLGVRLTLSLVRALAFLQQDLAPPSLVVLLALSPGWEPDGDEPRCDGGDWGCEATNCGKECHGNHFHDHCYQDYAPTCAPPTECDYGDFLCEESDCQPHCQGDRFLLWCRSHSPCGKWPDPVGLSCDGGDWNGGPGSCGADCAGDGSRGECGKAGPKQCRPGPSSHPCSCRFGPGGLGTTESCSGNYLCPSPPGCGKPAPPTLCDPGGYGSLCACRPPFGPKPSPLCLGGHHCHHFGCSPARHSCTPGEFGKPCHCIFGLPSGATAECTGGHFCPQSHCPDIEVECWQGTHGPLCQCYFANALSHCAATGTPHCPQDLCEDVDGECRLGVFGPPCACHFGGPKPTCTGHNHCPQSQCADLEKSCNQGDYGPACQCLYGSPQAMCTAGNQCPRSECPNVHDQCLSGQFGPPCHCFYSPGSDVCLGGNHCLSSVDIGQSLAAKQCSSLPLNCLPGTWGQLCLCHFSPGTQLCHSNRPCPKQACLDMLTQCSGGTYGTPCLCRPGQPSDQCTARNRCPSDACSPRLKGECRPGQFGMACLCSFTGPRNVCTGGNFCPASVCYDTSTQCQPGTHSPPCTCYYGGPSPNCSGGNLCPGSHCATMEESCTPGTYGTPCTCNYGSPQPYCTGGHACPASICGGLEAECEPGTWGVDCVCSSRQCHGHHCTCNDEPPACGPSGDPCLCNAKDKQDKCIQKPQCLNPACLPVECLQGTFGSPCLCFFAGPLDLCSAGVPCPDSLCEDVETQCRPGEFGPLCKCHFAGPQDQCQGGNACPSSRCEDIDEECRTGSFGPLCICQFGNLLDHCDAHNLCPASACENTEVECLQGELGPPCLCHFTALLDLCTSGPHCPQSLCENHDAECRSGTYGPPCLCNFSGPTNLCSGGHECPSPGQQAMLCEDLDQHCTPGSYGPQCLCLTSGTLPNTSCQADGHCPQSLCMDELHCLLGTRGPPCLCSFSGVRDICTSGPACTAAICDDLNEECSTGGWGSVCVCHFSGASNQCTGGNRCHPPGQTECEDVDESCDWGTWTEPCLCTYTDLGDQCTGGNQCQHSVCNDPNVECDPGIFGPPCLCLHFTSDKEPMLQCTGGNSCGRPPCPGARLECRPGEQGVPCLCQFSGLTNHCSTGKPCPGPDQFCENVDRECAHGEFGPPCHCQIQPYTALCVGGNVCPNASCFDRLQCQEGTWGPMCSCQPRGSAQIHCRGRKSCFSPPCPDELKAQCREGTFGPLCTCLFSPTLPSPSSPLGLPAPLLAQCSGGNQCLQANQCPDPFQCTEGLFGPACQCTGQGLSLSLCTGGNACPEPFSGCLLGRPRVVSVCLLLLLLLLLLLSPPQM
+>sp|Q6P988|NOTUM_HUMAN Palmitoleoyl-protein carboxylesterase NOTUM OS=Homo sapiens OX=9606 GN=NOTUM PE=1 SV=2
+MGRGVRVLLLLSLLHCAGGSEGRKTWRRRGQQPPPPPRTEAAPAAGQPVESFPLDFTAVEGNMDSFMAQVKSLAQSLYPCSAQQLNEDLRLHLLLNTSVTCNDGSPAGYYLKESRGSRRWLLFLEGGWYCFNRENCDSRYDTMRRLMSSRDWPRTRTGTGILSSQPEENPYWWNANMVFIPYCSSDVWSGASSKSEKNEYAFMGALIIQEVVRELLGRGLSGAKVLLLAGSSAGGTGVLLNVDRVAEQLEKLGYPAIQVRGLADSGWFLDNKQYRHTDCVDTITCAPTEAIRRGIRYWNGVVPERCRRQFQEGEEWNCFFGYKVYPTLRCPVFVVQWLFDEAQLTVDNVHLTGQPVQEGLRLYIQNLGRELRHTLKDVPASFAPACLSHEIIIRSHWTDVQVKGTSLPRALHCWDRSLHDSHKASKTPLKGCPVHLVDSCPWPHCNPSCPTVRDQFTGQEMNVAQFLMHMGFDMQTVAQPQGLEPSELLGMLSNGS
+>DECOY_sp|Q6P988|NOTUM_HUMAN Palmitoleoyl-protein carboxylesterase NOTUM OS=Homo sapiens OX=9606 GN=NOTUM PE=1 SV=2
+SGNSLMGLLESPELGQPQAVTQMDFGMHMLFQAVNMEQGTFQDRVTPCSPNCHPWPCSDVLHVPCGKLPTKSAKHSDHLSRDWCHLARPLSTGKVQVDTWHSRIIIEHSLCAPAFSAPVDKLTHRLERGLNQIYLRLGEQVPQGTLHVNDVTLQAEDFLWQVVFVPCRLTPYVKYGFFCNWEEGEQFQRRCREPVVGNWYRIGRRIAETPACTITDVCDTHRYQKNDLFWGSDALGRVQIAPYGLKELQEAVRDVNLLVGTGGASSGALLLVKAGSLGRGLLERVVEQIILAGMFAYENKESKSSAGSWVDSSCYPIFVMNANWWYPNEEPQSSLIGTGTRTRPWDRSSMLRRMTDYRSDCNERNFCYWGGELFLLWRRSGRSEKLYYGAPSGDNCTVSTNLLLHLRLDENLQQASCPYLSQALSKVQAMFSDMNGEVATFDLPFSEVPQGAAPAAETRPPPPPQQGRRRWTKRGESGGACHLLSLLLLVRVGRGM
+>sp|P51513|NOVA1_HUMAN RNA-binding protein Nova-1 OS=Homo sapiens OX=9606 GN=NOVA1 PE=1 SV=1
+MMAAAPIQQNGTHTGVPIDLDPPDSRKRPLEAPPEAGSTKRTNTGEDGQYFLKVLIPSYAAGSIIGKGGQTIVQLQKETGATIKLSKLSKSKDFYPGTTERVCLIQGTVEALNAVHGFIAEKIREMPQNVAKTEPVSILQPQTTVNPDRIKQTLPSSPTTTKSSPSDPMTTSRANQVKIIVPNSTAGLIIGKGGATVKAVMEQSGAWVQLSQKPDGINLQERVVTVSGEPEQNRKAVELIIQKIQEDPQSGSCLNISYANVTGPVANSNPTGSPYANTAEVLPTAAAAAGLLGHANLAGVAAFPAVLSGFTGNDLVAITSALNTLASYGYNLNTLGLGLSQAAATGALAAAAASANPAAAAANLLATYASEASASGSTAGGTAGTFALGSLAAATAATNGYFGAASPLAASAILGTEKSTDGSKDVVEIAVPENLVGAILGKGGKTLVEYQELTGARIQISKKGEFVPGTRNRKVTITGTPAATQAAQYLITQRITYEQGVRAANPQKVG
+>DECOY_sp|P51513|NOVA1_HUMAN RNA-binding protein Nova-1 OS=Homo sapiens OX=9606 GN=NOVA1 PE=1 SV=1
+GVKQPNAARVGQEYTIRQTILYQAAQTAAPTGTITVKRNRTGPVFEGKKSIQIRAGTLEQYEVLTKGGKGLIAGVLNEPVAIEVVDKSGDTSKETGLIASAALPSAAGFYGNTAATAAALSGLAFTGATGGATSGSASAESAYTALLNAAAAAPNASAAAAALAGTAAAQSLGLGLTNLNYGYSALTNLASTIAVLDNGTFGSLVAPFAAVGALNAHGLLGAAAAATPLVEATNAYPSGTPNSNAVPGTVNAYSINLCSGSQPDEQIKQIILEVAKRNQEPEGSVTVVREQLNIGDPKQSLQVWAGSQEMVAKVTAGGKGIILGATSNPVIIKVQNARSTTMPDSPSSKTTTPSSPLTQKIRDPNVTTQPQLISVPETKAVNQPMERIKEAIFGHVANLAEVTGQILCVRETTGPYFDKSKSLKSLKITAGTEKQLQVITQGGKGIISGAAYSPILVKLFYQGDEGTNTRKTSGAEPPAELPRKRSDPPDLDIPVGTHTGNQQIPAAAMM
+>sp|Q9Y5S8|NOX1_HUMAN NADPH oxidase 1 OS=Homo sapiens OX=9606 GN=NOX1 PE=1 SV=2
+MGNWVVNHWFSVLFLVVWLGLNVFLFVDAFLKYEKADKYYYTRKILGSTLACARASALCLNFNSTLILLPVCRNLLSFLRGTCSFCSRTLRKQLDHNLTFHKLVAYMICLHTAIHIIAHLFNFDCYSRSRQATDGSLASILSSLSHDEKKGGSWLNPIQSRNTTVEYVTFTSIAGLTGVIMTIALILMVTSATEFIRRSYFEVFWYTHHLFIFYILGLGIHGIGGIVRGQTEESMNESHPRKCAESFEMWDDRDSHCRRPKFEGHPPESWKWILAPVILYICERILRFYRSQQKVVITKVVMHPSKVLELQMNKRGFSMEVGQYIFVNCPSISLLEWHPFTLTSAPEEDFFSIHIRAAGDWTENLIRAFEQQYSPIPRIEVDGPFGTASEDVFQYEVAVLVGAGIGVTPFASILKSIWYKFQCADHNLKTKKIYFYWICRETGAFSWFNNLLTSLEQEMEELGKVGFLNYRLFLTGWDSNIVGHAALNFDKATDIVTGLKQKTSFGRPMWDNEFSTIATSHPKSVVGVFLCGPRTLAKSLRKCCHRYSSLDPRKVQFYFNKENF
+>DECOY_sp|Q9Y5S8|NOX1_HUMAN NADPH oxidase 1 OS=Homo sapiens OX=9606 GN=NOX1 PE=1 SV=2
+FNEKNFYFQVKRPDLSSYRHCCKRLSKALTRPGCLFVGVVSKPHSTAITSFENDWMPRGFSTKQKLGTVIDTAKDFNLAAHGVINSDWGTLFLRYNLFGVKGLEEMEQELSTLLNNFWSFAGTERCIWYFYIKKTKLNHDACQFKYWISKLISAFPTVGIGAGVLVAVEYQFVDESATGFPGDVEIRPIPSYQQEFARILNETWDGAARIHISFFDEEPASTLTFPHWELLSISPCNVFIYQGVEMSFGRKNMQLELVKSPHMVVKTIVVKQQSRYFRLIRECIYLIVPALIWKWSEPPHGEFKPRRCHSDRDDWMEFSEACKRPHSENMSEETQGRVIGGIGHIGLGLIYFIFLHHTYWFVEFYSRRIFETASTVMLILAITMIVGTLGAISTFTVYEVTTNRSQIPNLWSGGKKEDHSLSSLISALSGDTAQRSRSYCDFNFLHAIIHIATHLCIMYAVLKHFTLNHDLQKRLTRSCFSCTGRLFSLLNRCVPLLILTSNFNLCLASARACALTSGLIKRTYYYKDAKEYKLFADVFLFVNLGLWVVLFLVSFWHNVVWNGM
+>sp|P55209|NP1L1_HUMAN Nucleosome assembly protein 1-like 1 OS=Homo sapiens OX=9606 GN=NAP1L1 PE=1 SV=1
+MADIDNKEQSELDQDLDDVEEVEEEETGEETKLKARQLTVQMMQNPQILAALQERLDGLVETPTGYIESLPRVVKRRVNALKNLQVKCAQIEAKFYEEVHDLERKYAVLYQPLFDKRFEIINAIYEPTEEECEWKPDEEDEISEELKEKAKIEDEKKDEEKEDPKGIPEFWLTVFKNVDLLSDMVQEHDEPILKHLKDIKVKFSDAGQPMSFVLEFHFEPNEYFTNEVLTKTYRMRSEPDDSDPFSFDGPEIMGCTGCQIDWKKGKNVTLKTIKKKQKHKGRGTVRTVTKTVSNDSFFNFFAPPEVPESGDLDDDAEAILAADFEIGHFLRERIIPRSVLYFTGEAIEDDDDDYDEEGEEADEEGEEEGDEENDPDYDPKKDQNPAECKQQ
+>DECOY_sp|P55209|NP1L1_HUMAN Nucleosome assembly protein 1-like 1 OS=Homo sapiens OX=9606 GN=NAP1L1 PE=1 SV=1
+QQKCEAPNQDKKPDYDPDNEEDGEEEGEEDAEEGEEDYDDDDDEIAEGTFYLVSRPIIRERLFHGIEFDAALIAEADDDLDGSEPVEPPAFFNFFSDNSVTKTVTRVTGRGKHKQKKKITKLTVNKGKKWDIQCGTCGMIEPGDFSFPDSDDPESRMRYTKTLVENTFYENPEFHFELVFSMPQGADSFKVKIDKLHKLIPEDHEQVMDSLLDVNKFVTLWFEPIGKPDEKEEDKKEDEIKAKEKLEESIEDEEDPKWECEEETPEYIANIIEFRKDFLPQYLVAYKRELDHVEEYFKAEIQACKVQLNKLANVRRKVVRPLSEIYGTPTEVLGDLREQLAALIQPNQMMQVTLQRAKLKTEEGTEEEEVEEVDDLDQDLESQEKNDIDAM
+>sp|Q9H841|NPAL2_HUMAN NIPA-like protein 2 OS=Homo sapiens OX=9606 GN=NIPAL2 PE=2 SV=1
+MAAVAPAGPGDSASAALDELSLNFTYGAPGAGNGSLSGDWYRRNQIHLFGVLLAILGNLVISISLNIQKYSHLQLAQQEHPRPYFKSVLWWGGVLLMAVGETGNFAAYGFAPITLIAPLGCVSVTGSAIISVTFLKDNLRASDLLGTTLAFAGTYLLVNFAPNITQAISARTVQYYLVGWQFLIYVILEILIFCILLYFYKRKGMKHMVILLTLVAILASLTVISVKAVSGMITFSVMDKMQLTYPIFYIMFIIMIASCVFQVKFLNQATKLYNTTTVVPVNHIFFTISAIIAGIIFYQEFLGAPFLTVFIYLFGCFLSFLGVFLVTRNREKEHLQQSYIDFGNIPDTTPERKAWRETNVGQNTTRFT
+>DECOY_sp|Q9H841|NPAL2_HUMAN NIPA-like protein 2 OS=Homo sapiens OX=9606 GN=NIPAL2 PE=2 SV=1
+TFRTTNQGVNTERWAKREPTTDPINGFDIYSQQLHEKERNRTVLFVGLFSLFCGFLYIFVTLFPAGLFEQYFIIGAIIASITFFIHNVPVVTTTNYLKTAQNLFKVQFVCSAIMIIFMIYFIPYTLQMKDMVSFTIMGSVAKVSIVTLSALIAVLTLLIVMHKMGKRKYFYLLICFILIELIVYILFQWGVLYYQVTRASIAQTINPAFNVLLYTGAFALTTGLLDSARLNDKLFTVSIIASGTVSVCGLPAILTIPAFGYAAFNGTEGVAMLLVGGWWLVSKFYPRPHEQQALQLHSYKQINLSISIVLNGLIALLVGFLHIQNRRYWDGSLSGNGAGPAGYTFNLSLEDLAASASDGPGAPAVAAM
+>sp|Q99743|NPAS2_HUMAN Neuronal PAS domain-containing protein 2 OS=Homo sapiens OX=9606 GN=NPAS2 PE=1 SV=3
+MDEDEKDRAKRASRNKSEKKRRDQFNVLIKELSSMLPGNTRKMDKTTVLEKVIGFLQKHNEVSAQTEICDIQQDWKPSFLSNEEFTQLMLEALDGFIIAVTTDGSIIYVSDSITPLLGHLPSDVMDQNLLNFLPEQEHSEVYKILSSHMLVTDSPSPEYLKSDSDLEFYCHLLRGSLNPKEFPTYEYIKFVGNFRSYNNVPSPSCNGFDNTLSRPCRVPLGKEVCFIATVRLATPQFLKEMCIVDEPLEEFTSRHSLEWKFLFLDHRAPPIIGYLPFEVLGTSGYDYYHIDDLELLARCHQHLMQFGKGKSCCYRFLTKGQQWIWLQTHYYITYHQWNSKPEFIVCTHSVVSYADVRVERRQELALEDPPSEALHSSALKDKGSSLEPRQHFNTLDVGASGLNTSHSPSASSRSSHKSSHTAMSEPTSTPTKLMAEASTPALPRSATLPQELPVPGLSQAATMPAPLPSPSSCDLTQQLLPQTVLQSTPAPMAQFSAQFSMFQTIKDQLEQRTRILQANIRWQQEELHKIQEQLCLVQDSNVQMFLQQPAVSLSFSSTQRPEAQQQLQQRSAAVTQPQLGAGPQLPGQISSAQVTSQHLLRESSVISTQGPKPMRSSQLMQSSGRSGSSLVSPFSSATAALPPSLNLTTPASTSQDASQCQPSPDFSHDRQLRLLLSQPIQPMMPGSCDARQPSEVSRTGRQVKYAQSQTVFQNPDAHPANSSSAPMPVLLMGQAVLHPSFPASQPSPLQPAQARQQPPQHYLQVQAPTSLHSEQQDSLLLSTYSQQPGTLGYPQPPPAQPQPLRPPRRVSSLSESSGLQQPPR
+>DECOY_sp|Q99743|NPAS2_HUMAN Neuronal PAS domain-containing protein 2 OS=Homo sapiens OX=9606 GN=NPAS2 PE=1 SV=3
+RPPQQLGSSESLSSVRRPPRLPQPQAPPPQPYGLTGPQQSYTSLLLSDQQESHLSTPAQVQLYHQPPQQRAQAPQLPSPQSAPFSPHLVAQGMLLVPMPASSSNAPHADPNQFVTQSQAYKVQRGTRSVESPQRADCSGPMMPQIPQSLLLRLQRDHSFDPSPQCQSADQSTSAPTTLNLSPPLAATASSFPSVLSSGSRGSSQMLQSSRMPKPGQTSIVSSERLLHQSTVQASSIQGPLQPGAGLQPQTVAASRQQLQQQAEPRQTSSFSLSVAPQQLFMQVNSDQVLCLQEQIKHLEEQQWRINAQLIRTRQELQDKITQFMSFQASFQAMPAPTSQLVTQPLLQQTLDCSSPSPLPAPMTAAQSLGPVPLEQPLTASRPLAPTSAEAMLKTPTSTPESMATHSSKHSSRSSASPSHSTNLGSAGVDLTNFHQRPELSSGKDKLASSHLAESPPDELALEQRREVRVDAYSVVSHTCVIFEPKSNWQHYTIYYHTQLWIWQQGKTLFRYCCSKGKGFQMLHQHCRALLELDDIHYYDYGSTGLVEFPLYGIIPPARHDLFLFKWELSHRSTFEELPEDVICMEKLFQPTALRVTAIFCVEKGLPVRCPRSLTNDFGNCSPSPVNNYSRFNGVFKIYEYTPFEKPNLSGRLLHCYFELDSDSKLYEPSPSDTVLMHSSLIKYVESHEQEPLFNLLNQDMVDSPLHGLLPTISDSVYIISGDTTVAIIFGDLAELMLQTFEENSLFSPKWDQQIDCIETQASVENHKQLFGIVKELVTTKDMKRTNGPLMSSLEKILVNFQDRRKKESKNRSARKARDKEDEDM
+>sp|Q14207|NPAT_HUMAN Protein NPAT OS=Homo sapiens OX=9606 GN=NPAT PE=1 SV=3
+MLLPSDVARLVLGYLQQENLISTCQTFILESSDLKEYAEHCTDEGFIPACLLSLFGKNLTTILNEYVAMKTKETSNNVPAIMSSLWKKLDHTLSQIRSMQSSPRFAGSQRARTRTGIAEIKRQRKLASQTAPASAELLTLPYLSGQFTTPPSTGTQVTRPSGQISDPSRSYFVVVNHSQSQDTVTTGEALNVIPGAQEKKAHASLMSPGRRKSESQRKSTTLSGPHSTIRNFQDPNAFAVEKQMVIENAREKILSNKSLQEKLAENINKFLTSDNNIAQVPKQTDNNPTEPETSIDEFLGLPSEIHMSEEAIQDILEQTESDPAFQALFDLFDYGKTKNNKNISQSISSQPMESNPSIVLADETNLAVKGSFETEESDGQSGQPAFCTSYQNDDPLNALKNSNNHDVLRQEDQENFSQISTSIQKKAFKTAVPTEQKCDIDITFESVPNLNDFNQRGNSNAECNPHCAELYTNQMSTETEMAIGIEKNSLSSNVPSESQLQPDQPDIPITSFVSLGCEANNENLILSGKSSQLLSQDTSLTGKPSKKSQFCENSNDTVKLKINFHGSKSSDSSEVHKSKIEINVLEPVMSQLSNCQDNSCLQSEILPVSVESSHLNVSGQVEIHLGDSLSSTKQPSNDSASVELNHTENEAQASKSENSQEPSSSVKEENTIFLSLGGNANCEKVALTPPEGTPVENSHSLPPESVCSSVGDSHPESQNTDDKPSSNNSAEIDASNIVSLKVIISDDPFVSSDTELTSAVSSINGENLPTIILSSPTKSPTKNAELVKCLSSEETVGAVVYAEVGDSASMEQSLLTFKSEDSAVNNTQNEDGIAFSANVTPCVSKDGGYIQLMPATSTAFGNSNNILIATCVTDPTALGTSVSQSNVVVLPGNSAPMTAQPLPPQLQTPPRSNSVFAVNQAVSPNFSQGSAIIIASPVQPVLQGMVGMIPVSVVGQNGNNFSTPPRQVLHMPLTAPVCNRSIPQFPVPPKSQKAQGLRNKPCIGKQVNNLVDSSGHSVGCHAQKTEVSDKSIATDLGKKSEETTVPFPEESIVPAAKPCHRRVLCFDSTTAPVANTQGPNHKMVSQNKERNAVSFPNLDSPNVSSTLKPPSNNAIKREKEKPPLPKILSKSESAISRHTTIRETQSEKKVSPTEIVLESFHKATANKENELCSDVERQKNPENSKLSIGQQNGGLRSEKSIASLQEMTKKQGTSSNNKNVLSVGTAVKDLKQEQTKSASSLITTEMLQDIQRHSSVSRLADSSDLPVPRTPGSGAGEKHKEEPIDIIKAPSSRRFSEDSSTSKVMVPPVTPDLPACSPASETGSENSVNMAAHTLMILSRAAISRTTSATPLKDNTQQFRASSRSTTKKRKIEELDERERNSRPSSKNLTNSSIPMKKKKIKKKKLPSSFPAGMDVDKFLLSLHYDE
+>DECOY_sp|Q14207|NPAT_HUMAN Protein NPAT OS=Homo sapiens OX=9606 GN=NPAT PE=1 SV=3
+EDYHLSLLFKDVDMGAPFSSPLKKKKIKKKKMPISSNTLNKSSPRSNREREDLEEIKRKKTTSRSSARFQQTNDKLPTASTTRSIAARSLIMLTHAAMNVSNESGTESAPSCAPLDPTVPPVMVKSTSSDESFRRSSPAKIIDIPEEKHKEGAGSGPTRPVPLDSSDALRSVSSHRQIDQLMETTILSSASKTQEQKLDKVATGVSLVNKNNSSTGQKKTMEQLSAISKESRLGGNQQGISLKSNEPNKQREVDSCLENEKNATAKHFSELVIETPSVKKESQTERITTHRSIASESKSLIKPLPPKEKERKIANNSPPKLTSSVNPSDLNPFSVANREKNQSVMKHNPGQTNAVPATTSDFCLVRRHCPKAAPVISEEPFPVTTEESKKGLDTAISKDSVETKQAHCGVSHGSSDVLNNVQKGICPKNRLGQAKQSKPPVPFQPISRNCVPATLPMHLVQRPPTSFNNGNQGVVSVPIMGVMGQLVPQVPSAIIIASGQSFNPSVAQNVAFVSNSRPPTQLQPPLPQATMPASNGPLVVVNSQSVSTGLATPDTVCTAILINNSNGFATSTAPMLQIYGGDKSVCPTVNASFAIGDENQTNNVASDESKFTLLSQEMSASDGVEAYVVAGVTEESSLCKVLEANKTPSKTPSSLIITPLNEGNISSVASTLETDSSVFPDDSIIVKLSVINSADIEASNNSSPKDDTNQSEPHSDGVSSCVSEPPLSHSNEVPTGEPPTLAVKECNANGGLSLFITNEEKVSSSPEQSNESKSAQAENETHNLEVSASDNSPQKTSSLSDGLHIEVQGSVNLHSSEVSVPLIESQLCSNDQCNSLQSMVPELVNIEIKSKHVESSDSSKSGHFNIKLKVTDNSNECFQSKKSPKGTLSTDQSLLQSSKGSLILNENNAECGLSVFSTIPIDPQDPQLQSESPVNSSLSNKEIGIAMETETSMQNTYLEACHPNCEANSNGRQNFDNLNPVSEFTIDIDCKQETPVATKFAKKQISTSIQSFNEQDEQRLVDHNNSNKLANLPDDNQYSTCFAPQGSQGDSEETEFSGKVALNTEDALVISPNSEMPQSSISQSINKNNKTKGYDFLDFLAQFAPDSETQELIDQIAEESMHIESPLGLFEDISTEPETPNNDTQKPVQAINNDSTLFKNINEALKEQLSKNSLIKERANEIVMQKEVAFANPDQFNRITSHPGSLTTSKRQSESKRRGPSMLSAHAKKEQAGPIVNLAEGTTVTDQSQSHNVVVFYSRSPDSIQGSPRTVQTGTSPPTTFQGSLYPLTLLEASAPATQSALKRQRKIEAIGTRTRARQSGAFRPSSQMSRIQSLTHDLKKWLSSMIAPVNNSTEKTKMAVYENLITTLNKGFLSLLCAPIFGEDTCHEAYEKLDSSELIFTQCTSILNEQQLYGLVLRAVDSPLLM
+>sp|Q8NG41|NPB_HUMAN Neuropeptide B OS=Homo sapiens OX=9606 GN=NPB PE=1 SV=1
+MARSATLAAAALALCLLLAPPGLAWYKPAAGHSSYSVGRAAGLLSGLRRSPYARRSQPYRGAEPPGGAGASPELQLHPRLRSLAVCVQDVAPNLQRCERLPDGRGTYQCKANVFLSLRAADCLAA
+>DECOY_sp|Q8NG41|NPB_HUMAN Neuropeptide B OS=Homo sapiens OX=9606 GN=NPB PE=1 SV=1
+AALCDAARLSLFVNAKCQYTGRGDPLRECRQLNPAVDQVCVALSRLRPHLQLEPSAGAGGPPEAGRYPQSRRAYPSRRLGSLLGAARGVSYSSHGAAPKYWALGPPALLLCLALAAAALTASRAM
+>sp|O15118|NPC1_HUMAN NPC intracellular cholesterol transporter 1 OS=Homo sapiens OX=9606 GN=NPC1 PE=1 SV=2
+MTARGLALGLLLLLLCPAQVFSQSCVWYGECGIAYGDKRYNCEYSGPPKPLPKDGYDLVQELCPGFFFGNVSLCCDVRQLQTLKDNLQLPLQFLSRCPSCFYNLLNLFCELTCSPRQSQFLNVTATEDYVDPVTNQTKTNVKELQYYVGQSFANAMYNACRDVEAPSSNDKALGLLCGKDADACNATNWIEYMFNKDNGQAPFTITPVFSDFPVHGMEPMNNATKGCDESVDEVTAPCSCQDCSIVCGPKPQPPPPPAPWTILGLDAMYVIMWITYMAFLLVFFGAFFAVWCYRKRYFVSEYTPIDSNIAFSVNASDKGEASCCDPVSAAFEGCLRRLFTRWGSFCVRNPGCVIFFSLVFITACSSGLVFVRVTTNPVDLWSAPSSQARLEKEYFDQHFGPFFRTEQLIIRAPLTDKHIYQPYPSGADVPFGPPLDIQILHQVLDLQIAIENITASYDNETVTLQDICLAPLSPYNTNCTILSVLNYFQNSHSVLDHKKGDDFFVYADYHTHFLYCVRAPASLNDTSLLHDPCLGTFGGPVFPWLVLGGYDDQNYNNATALVITFPVNNYYNDTEKLQRAQAWEKEFINFVKNYKNPNLTISFTAERSIEDELNRESDSDVFTVVISYAIMFLYISLALGHMKSCRRLLVDSKVSLGIAGILIVLSSVACSLGVFSYIGLPLTLIVIEVIPFLVLAVGVDNIFILVQAYQRDERLQGETLDQQLGRVLGEVAPSMFLSSFSETVAFFLGALSVMPAVHTFSLFAGLAVFIDFLLQITCFVSLLGLDIKRQEKNRLDIFCCVRGAEDGTSVQASESCLFRFFKNSYSPLLLKDWMRPIVIAIFVGVLSFSIAVLNKVDIGLDQSLSMPDDSYMVDYFKSISQYLHAGPPVYFVLEEGHDYTSSKGQNMVCGGMGCNNDSLVQQIFNAAQLDNYTRIGFAPSSWIDDYFDWVKPQSSCCRVDNITDQFCNASVVDPACVRCRPLTPEGKQRPQGGDFMRFLPMFLSDNPNPKCGKGGHAAYSSAVNILLGHGTRVGATYFMTYHTVLQTSADFIDALKKARLIASNVTETMGINGSAYRVFPYSVFYVFYEQYLTIIDDTIFNLGVSLGAIFLVTMVLLGCELWSAVIMCATIAMVLVNMFGVMWLWGISLNAVSLVNLVMSCGISVEFCSHITRAFTVSMKGSRVERAEEALAHMGSSVFSGITLTKFGGIVVLAFAKSQIFQIFYFRMYLAMVLLGATHGLIFLPVLLSYIGPSVNKAKSCATEERYKGTERERLLNF
+>DECOY_sp|O15118|NPC1_HUMAN NPC intracellular cholesterol transporter 1 OS=Homo sapiens OX=9606 GN=NPC1 PE=1 SV=2
+FNLLRERETGKYREETACSKAKNVSPGIYSLLVPLFILGHTAGLLVMALYMRFYFIQFIQSKAFALVVIGGFKTLTIGSFVSSGMHALAEEAREVRSGKMSVTFARTIHSCFEVSIGCSMVLNVLSVANLSIGWLWMVGFMNVLVMAITACMIVASWLECGLLVMTVLFIAGLSVGLNFITDDIITLYQEYFVYFVSYPFVRYASGNIGMTETVNSAILRAKKLADIFDASTQLVTHYTMFYTAGVRTGHGLLINVASSYAAHGGKGCKPNPNDSLFMPLFRMFDGGQPRQKGEPTLPRCRVCAPDVVSANCFQDTINDVRCCSSQPKVWDFYDDIWSSPAFGIRTYNDLQAANFIQQVLSDNNCGMGGCVMNQGKSSTYDHGEELVFYVPPGAHLYQSISKFYDVMYSDDPMSLSQDLGIDVKNLVAISFSLVGVFIAIVIPRMWDKLLLPSYSNKFFRFLCSESAQVSTGDEAGRVCCFIDLRNKEQRKIDLGLLSVFCTIQLLFDIFVALGAFLSFTHVAPMVSLAGLFFAVTESFSSLFMSPAVEGLVRGLQQDLTEGQLREDRQYAQVLIFINDVGVALVLFPIVEIVILTLPLGIYSFVGLSCAVSSLVILIGAIGLSVKSDVLLRRCSKMHGLALSIYLFMIAYSIVVTFVDSDSERNLEDEISREATFSITLNPNKYNKVFNIFEKEWAQARQLKETDNYYNNVPFTIVLATANNYNQDDYGGLVLWPFVPGGFTGLCPDHLLSTDNLSAPARVCYLFHTHYDAYVFFDDGKKHDLVSHSNQFYNLVSLITCNTNYPSLPALCIDQLTVTENDYSATINEIAIQLDLVQHLIQIDLPPGFPVDAGSPYPQYIHKDTLPARIILQETRFFPGFHQDFYEKELRAQSSPASWLDVPNTTVRVFVLGSSCATIFVLSFFIVCGPNRVCFSGWRTFLRRLCGEFAASVPDCCSAEGKDSANVSFAINSDIPTYESVFYRKRYCWVAFFAGFFVLLFAMYTIWMIVYMADLGLITWPAPPPPPQPKPGCVISCDQCSCPATVEDVSEDCGKTANNMPEMGHVPFDSFVPTITFPAQGNDKNFMYEIWNTANCADADKGCLLGLAKDNSSPAEVDRCANYMANAFSQGVYYQLEKVNTKTQNTVPDVYDETATVNLFQSQRPSCTLECFLNLLNYFCSPCRSLFQLPLQLNDKLTQLQRVDCCLSVNGFFFGPCLEQVLDYGDKPLPKPPGSYECNYRKDGYAIGCEGYWVCSQSFVQAPCLLLLLLGLALGRATM
+>sp|Q9BY65|NPCR1_HUMAN Nasopharyngeal carcinoma down-regulated gene protein 1 OS=Homo sapiens OX=9606 GN=NPCDR1 PE=4 SV=2
+MPTVKTRKLNSLELTYLAQGHTMSEWGNLDMDFSLPELRADQRLCLNFQDPFLPLVDAHGIEKCRAFSFSVEKFCLPLVMKGILQKGVSPLNSSIDYGRLAKKESL
+>DECOY_sp|Q9BY65|NPCR1_HUMAN Nasopharyngeal carcinoma down-regulated gene protein 1 OS=Homo sapiens OX=9606 GN=NPCDR1 PE=4 SV=2
+LSEKKALRGYDISSNLPSVGKQLIGKMVLPLCFKEVSFSFARCKEIGHADVLPLFPDQFNLCLRQDARLEPLSFDMDLNGWESMTHGQALYTLELSNLKRTKVTPM
+>sp|P0DM63|NPIA8_HUMAN Nuclear pore complex-interacting protein family member A8 OS=Homo sapiens OX=9606 GN=NPIPA8 PE=3 SV=1
+MFCCLGYEWLSGGCTTWHSAWVINTLADHRHRGTDFGGSPWLLIITVFLRSYKFAISLCTSYLCVSFLKTIFPSQNGHDGSTDVQQRARRSNRRRQEGIKIVLEDIFTLWRQVETKVRAKIRKMKVTTKVNRHDKINGKRKTAKEHLRKLSMKEREHREEERQVSEAEENGKLDMKEIHTYMEMFQRAQALRRRAEDYYRCKITPSARKPLCNRVRMAAVEHRHSSGLPYWPYLTAETLKNRMGHQPPPPTQQHSIIDNSLSLKTPSECVLYPLPPSADDNLKTPPECLLTPLPPSALPSADDNLKTPAECLLYPLPPSADDNLKTPPECLLTPLPPSAPPSADDNLKTPPECVCSLPFHPQRMIISRN
+>DECOY_sp|P0DM63|NPIA8_HUMAN Nuclear pore complex-interacting protein family member A8 OS=Homo sapiens OX=9606 GN=NPIPA8 PE=3 SV=1
+NRSIIMRQPHFPLSCVCEPPTKLNDDASPPASPPLPTLLCEPPTKLNDDASPPLPYLLCEAPTKLNDDASPLASPPLPTLLCEPPTKLNDDASPPLPYLVCESPTKLSLSNDIISHQQTPPPPQHGMRNKLTEATLYPWYPLGSSHRHEVAAMRVRNCLPKRASPTIKCRYYDEARRRLAQARQFMEMYTHIEKMDLKGNEEAESVQREEERHEREKMSLKRLHEKATKRKGNIKDHRNVKTTVKMKRIKARVKTEVQRWLTFIDELVIKIGEQRRRNSRRARQQVDTSGDHGNQSPFITKLFSVCLYSTCLSIAFKYSRLFVTIILLWPSGGFDTGRHRHDALTNIVWASHWTTCGGSLWEYGLCCFM
+>sp|Q92617|NPIB3_HUMAN Nuclear pore complex-interacting protein family member B3 OS=Homo sapiens OX=9606 GN=NPIPB3 PE=2 SV=5
+MVKLSIVLTPQFLSHDQGQLTKELQQHVKSVTCPCEYLRKVINTLADHHHRGTDFGGSPWLHVIIAFPTSYKVVITLWIVYLWVSLLKTIFWSRNGHDGSTDVQQRAWRSNRRRQEGLRSICMHTKKRVSSFRGNKIGLKDVITLRRHVETKVRAKIRKRKVTTKINHHDKINGKRKTARKQKMFQRAQELRRRAEDYHKCKIPPSARKALCNWVRMAAAEHRHSSGLPYWPYLTAETLKNRMGHQPPPPTQQHSITDNSLSLKTPPECLLTPLPPSADDNLKTPPECVLTPLPPSADDNLKTPPECVLTPLPPSADDNLKTPPECLLTPLPPSADDNLKTPPECLLTPLPPSALPSAPPSADDNLKTRAECLLHPLPPSADDNLKTPSERQLTPLPPSAPPSADDNIKTPAERLRGPLPPSADDNLKTPSERQLTPLPPSAPPSADDNIKTPAERLRGPLPPSADDNLKTPSERQLTPLPPSAPPSADDNIKTPAERLRGPLPPSADDNLKTPSERQLTALPPSADDNIKTPAERLRGPLPPSADDNLKTPSERQLTPLPPSAPPSADDNIKTPAFHPQRMIISRHLPSVSSLPFHPQLHPQQMIISRHLPSVCGGRFHPQQMIISRHLPSVSSLPFHPQLHPQQMIISRHLPSVCGGRFHPQRMIISRHLPSVSSLPFHPQLHPQQMIISRHLPSVCGGRFHPQQMIISRHLPSVSSLPFHPQLHPQQMIISRHLPSVCGGRFHPQRMIISRHLPSVSSLPFHPQLHPQQMIISRHLPSVCGERLRGPLPPSADDNLKTPSERQLTPLPPSAPPSADDNIKTPAERLRGPLPPSADDNLKTPSERQLTPLPPSAPPSADDNIKTPAERLRGPLPPSADDNLKTPSERQLTPLPPSAPPSADDNIKTPAERLRGPLPPSADDNLKTPPLATQEAEAEKPRKPKRQRAAEMEPPPEPKRRRVGDVEPSRKPKRRRAADVEPSSPEPKRRRVGDVEPSRKPKRRRAADVEPSSPEPKRRRVGDVEPSRKPKRRRAADVEPSLPEPKRRRLS
+>DECOY_sp|Q92617|NPIB3_HUMAN Nuclear pore complex-interacting protein family member B3 OS=Homo sapiens OX=9606 GN=NPIPB3 PE=2 SV=5
+SLRRRKPEPLSPEVDAARRRKPKRSPEVDGVRRRKPEPSSPEVDAARRRKPKRSPEVDGVRRRKPEPSSPEVDAARRRKPKRSPEVDGVRRRKPEPPPEMEAARQRKPKRPKEAEAEQTALPPTKLNDDASPPLPGRLREAPTKINDDASPPASPPLPTLQRESPTKLNDDASPPLPGRLREAPTKINDDASPPASPPLPTLQRESPTKLNDDASPPLPGRLREAPTKINDDASPPASPPLPTLQRESPTKLNDDASPPLPGRLREGCVSPLHRSIIMQQPHLQPHFPLSSVSPLHRSIIMRQPHFRGGCVSPLHRSIIMQQPHLQPHFPLSSVSPLHRSIIMQQPHFRGGCVSPLHRSIIMQQPHLQPHFPLSSVSPLHRSIIMRQPHFRGGCVSPLHRSIIMQQPHLQPHFPLSSVSPLHRSIIMQQPHFRGGCVSPLHRSIIMQQPHLQPHFPLSSVSPLHRSIIMRQPHFAPTKINDDASPPASPPLPTLQRESPTKLNDDASPPLPGRLREAPTKINDDASPPLATLQRESPTKLNDDASPPLPGRLREAPTKINDDASPPASPPLPTLQRESPTKLNDDASPPLPGRLREAPTKINDDASPPASPPLPTLQRESPTKLNDDASPPLPGRLREAPTKINDDASPPASPPLPTLQRESPTKLNDDASPPLPHLLCEARTKLNDDASPPASPLASPPLPTLLCEPPTKLNDDASPPLPTLLCEPPTKLNDDASPPLPTLVCEPPTKLNDDASPPLPTLVCEPPTKLNDDASPPLPTLLCEPPTKLSLSNDTISHQQTPPPPQHGMRNKLTEATLYPWYPLGSSHRHEAAAMRVWNCLAKRASPPIKCKHYDEARRRLEQARQFMKQKRATKRKGNIKDHHNIKTTVKRKRIKARVKTEVHRRLTIVDKLGIKNGRFSSVRKKTHMCISRLGEQRRRNSRWARQQVDTSGDHGNRSWFITKLLSVWLYVIWLTIVVKYSTPFAIIVHLWPSGGFDTGRHHHDALTNIVKRLYECPCTVSKVHQQLEKTLQGQDHSLFQPTLVISLKVM
+>sp|O75200|NPIB7_HUMAN Nuclear pore complex-interacting protein family member B7 OS=Homo sapiens OX=9606 GN=NPIPB7 PE=3 SV=3
+MRLRFWLLIWLLLGFISHQPTPVINSLAVYRHRETDFGVGVRDHPGQHGKTPSPQKLDNLIIIIIGFLRRYTFNVLFCTSCLCVSFLKTIFWSRNGHDGSMDVQQRAWRSNRSRQKGLRSICMHTKKRVSSFRGNKIGLKDVITLRRHVETKVRAKIRKRKVTTKINRHDKINGKRKTARKQKMFQRAQELRRRAEDYHKCKIPPSARKPLCNWVRMAAAEHCHSSGLPYWLYLTAETLKNRMGRQPPPPTQQHSITDNSLSLKTPPECLLTPLPPSVDDNIKECPLAPLPPSPLPPSVDDNLKECLFVPLPPSPLPPSVDDNLKECLFVPLPPSPLPPSVDDNLKTPPLATQEAEVEKPPKPKRWRVDEVEQSPKPKRQREAEAQQLPKPKRRRLSKLRTRHCTQAWAIRINP
+>DECOY_sp|O75200|NPIB7_HUMAN Nuclear pore complex-interacting protein family member B7 OS=Homo sapiens OX=9606 GN=NPIPB7 PE=3 SV=3
+PNIRIAWAQTCHRTRLKSLRRRKPKPLQQAEAERQRKPKPSQEVEDVRWRKPKPPKEVEAEQTALPPTKLNDDVSPPLPSPPLPVFLCEKLNDDVSPPLPSPPLPVFLCEKLNDDVSPPLPSPPLPALPCEKINDDVSPPLPTLLCEPPTKLSLSNDTISHQQTPPPPQRGMRNKLTEATLYLWYPLGSSHCHEAAAMRVWNCLPKRASPPIKCKHYDEARRRLEQARQFMKQKRATKRKGNIKDHRNIKTTVKRKRIKARVKTEVHRRLTIVDKLGIKNGRFSSVRKKTHMCISRLGKQRSRNSRWARQQVDMSGDHGNRSWFITKLFSVCLCSTCFLVNFTYRRLFGIIIIILNDLKQPSPTKGHQGPHDRVGVGFDTERHRYVALSNIVPTPQHSIFGLLLWILLWFRLRM
+>sp|O75607|NPM3_HUMAN Nucleoplasmin-3 OS=Homo sapiens OX=9606 GN=NPM3 PE=1 SV=3
+MAAGTAAALAFLSQESRTRAGGVGGLRVPAPVTMDSFFFGCELSGHTRSFTFKVEEEDDAEHVLALTMLCLTEGAKDECNVVEVVARNHDHQEIAVPVANLKLSCQPMLSLDDFQLQPPVTFRLKSGSGPVRITGRHQIVTMSNDVSEEESEEEEEDSDEEEVELCPILPAKKQGGRP
+>DECOY_sp|O75607|NPM3_HUMAN Nucleoplasmin-3 OS=Homo sapiens OX=9606 GN=NPM3 PE=1 SV=3
+PRGGQKKAPLIPCLEVEEEDSDEEEEESEEESVDNSMTVIQHRGTIRVPGSGSKLRFTVPPQLQFDDLSLMPQCSLKLNAVPVAIEQHDHNRAVVEVVNCEDKAGETLCLMTLALVHEADDEEEVKFTFSRTHGSLECGFFFSDMTVPAPVRLGGVGGARTRSEQSLFALAAATGAAM
+>sp|Q8N130|NPT2C_HUMAN Sodium-dependent phosphate transport protein 2C OS=Homo sapiens OX=9606 GN=SLC34A3 PE=1 SV=2
+MPSSLPGSQVPHPTLDAVDLVEKTLRNEGTSSSAPVLEEGDTDPWTLPQLKDTSQPWKELRVAGRLRRVAGSVLKACGLLGSLYFFICSLDVLSSAFQLLGSKVAGDIFKDNVVLSNPVAGLVIGVLVTALVQSSSTSSSIVVSMVAAKLLTVRVSVPIIMGVNVGTSITSTLVSMAQSGDRDEFQRAFSGSAVHGIFNWLTVLVLLPLESATALLERLSELALGAASLTPRAQAPDILKVLTKPLTHLIVQLDSDMIMSSATGNATNSSLIKHWCGTTGQPTQENSSCGAFGPCTEKNSTAPADRLPCRHLFAGTELTDLAVGCILLAGSLLVLCGCLVLIVKLLNSVLRGRVAQVVRTVINADFPFPLGWLGGYLAVLAGAGLTFALQSSSVFTAAVVPLMGVGVISLDRAYPLLLGSNIGTTTTALLAALASPADRMLSALQVALIHFFFNLAGILLWYLVPALRLPIPLARHFGVVTARYRWVAGVYLLLGFLLLPLAAFGLSLAGGMELAAVGGPLVGLVLLVILVTVLQRRRPAWLPVRLRSWAWLPVWLHSLEPWDRLVTRCCPCNVCSPPKATTKEAYCYENPEILASQQL
+>DECOY_sp|Q8N130|NPT2C_HUMAN Sodium-dependent phosphate transport protein 2C OS=Homo sapiens OX=9606 GN=SLC34A3 PE=1 SV=2
+LQQSALIEPNEYCYAEKTTAKPPSCVNCPCCRTVLRDWPELSHLWVPLWAWSRLRVPLWAPRRRQLVTVLIVLLVLGVLPGGVAALEMGGALSLGFAALPLLLFGLLLYVGAVWRYRATVVGFHRALPIPLRLAPVLYWLLIGALNFFFHILAVQLASLMRDAPSALAALLATTTTGINSGLLLPYARDLSIVGVGMLPVVAATFVSSSQLAFTLGAGALVALYGGLWGLPFPFDANIVTRVVQAVRGRLVSNLLKVILVLCGCLVLLSGALLICGVALDTLETGAFLHRCPLRDAPATSNKETCPGFAGCSSNEQTPQGTTGCWHKILSSNTANGTASSMIMDSDLQVILHTLPKTLVKLIDPAQARPTLSAAGLALESLRELLATASELPLLVLVTLWNFIGHVASGSFARQFEDRDGSQAMSVLTSTISTGVNVGMIIPVSVRVTLLKAAVMSVVISSSTSSSQVLATVLVGIVLGAVPNSLVVNDKFIDGAVKSGLLQFASSLVDLSCIFFYLSGLLGCAKLVSGAVRRLRGAVRLEKWPQSTDKLQPLTWPDTDGEELVPASSSTGENRLTKEVLDVADLTPHPVQSGPLSSPM
+>sp|Q9Y639|NPTN_HUMAN Neuroplastin OS=Homo sapiens OX=9606 GN=NPTN PE=1 SV=2
+MSGSSLPSALALSLLLVSGSLLPGPGAAQNAGFVKSPMSETKLTGDAFELYCDVVGSPTPEIQWWYAEVNRAESFRQLWDGARKRRVTVNTAYGSNGVSVLRITRLTLEDSGTYECRASNDPKRNDLRQNPSITWIRAQATISVLQKPRIVTSEEVIIRDSPVLPVTLQCNLTSSSHTLTYSYWTKNGVELSATRKNASNMEYRINKPRAEDSGEYHCVYHFVSAPKANATIEVKAAPDITGHKRSENKNEGQDATMYCKSVGYPHPDWIWRKKENGMPMDIVNTSGRFFIINKENYTELNIVNLQITEDPGEYECNATNAIGSASVVTVLRVRSHLAPLWPFLGILAEIIILVVIIVVYEKRKRPDEVPDDDEPAGPMKTNSTNNHKDKNLRQRNTN
+>DECOY_sp|Q9Y639|NPTN_HUMAN Neuroplastin OS=Homo sapiens OX=9606 GN=NPTN PE=1 SV=2
+NTNRQRLNKDKHNNTSNTKMPGAPEDDDPVEDPRKRKEYVVIIVVLIIIEALIGLFPWLPALHSRVRLVTVVSASGIANTANCEYEGPDETIQLNVINLETYNEKNIIFFRGSTNVIDMPMGNEKKRWIWDPHPYGVSKCYMTADQGENKNESRKHGTIDPAAKVEITANAKPASVFHYVCHYEGSDEARPKNIRYEMNSANKRTASLEVGNKTWYSYTLTHSSSTLNCQLTVPLVPSDRIIVEESTVIRPKQLVSITAQARIWTISPNQRLDNRKPDNSARCEYTGSDELTLRTIRLVSVGNSGYATNVTVRRKRAGDWLQRFSEARNVEAYWWQIEPTPSGVVDCYLEFADGTLKTESMPSKVFGANQAAGPGPLLSGSVLLLSLALASPLSSGSM
+>sp|O95502|NPTXR_HUMAN Neuronal pentraxin receptor OS=Homo sapiens OX=9606 GN=NPTXR PE=3 SV=2
+MKFLAVLLAAGMLAFLGAVICIIASVPLAASPARALPGGADNASVASGAAASPGPQRSLSALHGAGGSAGPPALPGAPAASAHPLPPGPLFSRFLCTPLAAACPSGAQQGDAAGAAPGEREELLLLQSTAEQLRQTALQQEARIRADQDTIRELTGKLGRCESGLPRGLQGAGPRRDTMADGPWDSPALILELEDAVRALRDRIDRLEQELPARVNLSAAPAPVSAVPTGLHSKMDQLEGQLLAQVLALEKERVALSHSSRRQRQEVEKELDVLQGRVAELEHGSSAYSPPDAFKISIPIRNNYMYARVRKALPELYAFTACMWLRSRSSGTGQGTPFSYSVPGQANEIVLLEAGHEPMELLINDKVAQLPLSLKDNGWHHICIAWTTRDGLWSAYQDGELQGSGENLAAWHPIKPHGILILGQEQDTLGGRFDATQAFVGDIAQFNLWDHALTPAQVLGIANCTAPLLGNVLPWEDKLVEAFGGATKAAFDVCKGRAKA
+>DECOY_sp|O95502|NPTXR_HUMAN Neuronal pentraxin receptor OS=Homo sapiens OX=9606 GN=NPTXR PE=3 SV=2
+AKARGKCVDFAAKTAGGFAEVLKDEWPLVNGLLPATCNAIGLVQAPTLAHDWLNFQAIDGVFAQTADFRGGLTDQEQGLILIGHPKIPHWAALNEGSGQLEGDQYASWLGDRTTWAICIHHWGNDKLSLPLQAVKDNILLEMPEHGAELLVIENAQGPVSYSFPTGQGTGSSRSRLWMCATFAYLEPLAKRVRAYMYNNRIPISIKFADPPSYASSGHELEAVRGQLVDLEKEVEQRQRRSSHSLAVREKELALVQALLQGELQDMKSHLGTPVASVPAPAASLNVRAPLEQELRDIRDRLARVADELELILAPSDWPGDAMTDRRPGAGQLGRPLGSECRGLKGTLERITDQDARIRAEQQLATQRLQEATSQLLLLEEREGPAAGAADGQQAGSPCAAALPTCLFRSFLPGPPLPHASAAPAGPLAPPGASGGAGHLASLSRQPGPSAAAGSAVSANDAGGPLARAPSAALPVSAIICIVAGLFALMGAALLVALFKM
+>sp|P25929|NPY1R_HUMAN Neuropeptide Y receptor type 1 OS=Homo sapiens OX=9606 GN=NPY1R PE=1 SV=1
+MNSTLFSQVENHSVHSNFSEKNAQLLAFENDDCHLPLAMIFTLALAYGAVIILGVSGNLALIIIILKQKEMRNVTNILIVNLSFSDLLVAIMCLPFTFVYTLMDHWVFGEAMCKLNPFVQCVSITVSIFSLVLIAVERHQLIINPRGWRPNNRHAYVGIAVIWVLAVASSLPFLIYQVMTDEPFQNVTLDAYKDKYVCFDQFPSDSHRLSYTTLLLVLQYFGPLCFIFICYFKIYIRLKRRNNMMDKMRDNKYRSSETKRINIMLLSIVVAFAVCWLPLTIFNTVFDWNHQIIATCNHNLLFLLCHLTAMISTCVNPIFYGFLNKNFQRDLQFFFNFCDFRSRDDDYETIAMSTMHTDVSKTSLKQASPVAFKKINNNDDNEKI
+>DECOY_sp|P25929|NPY1R_HUMAN Neuropeptide Y receptor type 1 OS=Homo sapiens OX=9606 GN=NPY1R PE=1 SV=1
+IKENDDNNNIKKFAVPSAQKLSTKSVDTHMTSMAITEYDDDRSRFDCFNFFFQLDRQFNKNLFGYFIPNVCTSIMATLHCLLFLLNHNCTAIIQHNWDFVTNFITLPLWCVAFAVVISLLMINIRKTESSRYKNDRMKDMMNNRRKLRIYIKFYCIFIFCLPGFYQLVLLLTTYSLRHSDSPFQDFCVYKDKYADLTVNQFPEDTMVQYILFPLSSAVALVWIVAIGVYAHRNNPRWGRPNIILQHREVAILVLSFISVTISVCQVFPNLKCMAEGFVWHDMLTYVFTFPLCMIAVLLDSFSLNVILINTVNRMEKQKLIIIILALNGSVGLIIVAGYALALTFIMALPLHCDDNEFALLQANKESFNSHVSHNEVQSFLTSNM
+>sp|P50391|NPY4R_HUMAN Neuropeptide Y receptor type 4 OS=Homo sapiens OX=9606 GN=NPY4R PE=2 SV=1
+MNTSHLLALLLPKSPQGENRSKPLGTPYNFSEHCQDSVDVMVFIVTSYSIETVVGVLGNLCLMCVTVRQKEKANVTNLLIANLAFSDFLMCLLCQPLTAVYTIMDYWIFGETLCKMSAFIQCMSVTVSILSLVLVALERHQLIINPTGWKPSISQAYLGIVLIWVIACVLSLPFLANSILENVFHKNHSKALEFLADKVVCTESWPLAHHRTIYTTFLLLFQYCLPLGFILVCYARIYRRLQRQGRVFHKGTYSLRAGHMKQVNVVLVVMVVAFAVLWLPLHVFNSLEDWHHEAIPICHGNLIFLVCHLLAMASTCVNPFIYGFLNTNFKKEIKALVLTCQQSAPLEESEHLPLSTVHTEVSKGSLRLSGRSNPI
+>DECOY_sp|P50391|NPY4R_HUMAN Neuropeptide Y receptor type 4 OS=Homo sapiens OX=9606 GN=NPY4R PE=2 SV=1
+IPNSRGSLRLSGKSVETHVTSLPLHESEELPASQQCTLVLAKIEKKFNTNLFGYIFPNVCTSAMALLHCVLFILNGHCIPIAEHHWDELSNFVHLPLWLVAFAVVMVVLVVNVQKMHGARLSYTGKHFVRGQRQLRRYIRAYCVLIFGLPLCYQFLLLFTTYITRHHALPWSETCVVKDALFELAKSHNKHFVNELISNALFPLSLVCAIVWILVIGLYAQSISPKWGTPNIILQHRELAVLVLSLISVTVSMCQIFASMKCLTEGFIWYDMITYVATLPQCLLCMLFDSFALNAILLNTVNAKEKQRVTVCMLCLNGLVGVVTEISYSTVIFVMVDVSDQCHESFNYPTGLPKSRNEGQPSKPLLLALLHSTNM
+>sp|Q99463|NPY6R_HUMAN Putative neuropeptide Y receptor type 6 OS=Homo sapiens OX=9606 GN=NPY6R PE=5 SV=1
+MEVSLNHPASNTTSTKNNNSAFFYFESCQPPSPALLLLCIAYTVVLIVGLFGNLSLIIIIFKKQRKAQNFTSILIANLSLSDTLVCVMCIHFTIIYTLMDHWIFGDTMCRLTSYVQSVSISVSIFSLVFTAVERYQLIVNPRGWKPSVTHAYWGITLIWLFSLLLSIPFFLSYHLTDEPFRNLSLPTDLYTHQVACVENWPSKKDRLLFTTSLFLLQYFVPLGFILICYLKIVICLRRRNAKVDKKKENEGRLNENKRINTMLISIVVTFGACWLPRISSMSSLTGIMRC
+>DECOY_sp|Q99463|NPY6R_HUMAN Putative neuropeptide Y receptor type 6 OS=Homo sapiens OX=9606 GN=NPY6R PE=5 SV=1
+CRMIGTLSSMSSIRPLWCAGFTVVISILMTNIRKNENLRGENEKKKDVKANRRRLCIVIKLYCILIFGLPVFYQLLFLSTTFLLRDKKSPWNEVCAVQHTYLDTPLSLNRFPEDTLHYSLFFPISLLLSFLWILTIGWYAHTVSPKWGRPNVILQYREVATFVLSFISVSISVSQVYSTLRCMTDGFIWHDMLTYIITFHICMVCVLTDSLSLNAILISTFNQAKRQKKFIIIILSLNGFLGVILVVTYAICLLLLAPSPPQCSEFYFFASNNNKTSTTNSAPHNLSVEM
+>sp|P15559|NQO1_HUMAN NAD(P)H dehydrogenase [quinone] 1 OS=Homo sapiens OX=9606 GN=NQO1 PE=1 SV=1
+MVGRRALIVLAHSERTSFNYAMKEAAAAALKKKGWEVVESDLYAMNFNPIISRKDITGKLKDPANFQYPAESVLAYKEGHLSPDIVAEQKKLEAADLVIFQFPLQWFGVPAILKGWFERVFIGEFAYTYAAMYDKGPFRSKKAVLSITTGGSGSMYSLQGIHGDMNVILWPIQSGILHFCGFQVLEPQLTYSIGHTPADARIQILEGWKKRLENIWDETPLYFAPSSLFDLNFQAGFLMKKEVQDEEKNKKFGLSVGHHLGKSIPTDNQIKARK
+>DECOY_sp|P15559|NQO1_HUMAN NAD(P)H dehydrogenase [quinone] 1 OS=Homo sapiens OX=9606 GN=NQO1 PE=1 SV=1
+KRAKIQNDTPISKGLHHGVSLGFKKNKEEDQVEKKMLFGAQFNLDFLSSPAFYLPTEDWINELRKKWGELIQIRADAPTHGISYTLQPELVQFGCFHLIGSQIPWLIVNMDGHIGQLSYMSGSGGTTISLVAKKSRFPGKDYMAAYTYAFEGIFVREFWGKLIAPVGFWQLPFQFIVLDAAELKKQEAVIDPSLHGEKYALVSEAPYQFNAPDKLKGTIDKRSIIPNFNMAYLDSEVVEWGKKKLAAAAAEKMAYNFSTRESHALVILARRGVM
+>sp|P16083|NQO2_HUMAN Ribosyldihydronicotinamide dehydrogenase [quinone] OS=Homo sapiens OX=9606 GN=NQO2 PE=1 SV=5
+MAGKKVLIVYAHQEPKSFNGSLKNVAVDELSRQGCTVTVSDLYAMNLEPRATDKDITGTLSNPEVFNYGVETHEAYKQRSLASDITDEQKKVREADLVIFQFPLYWFSVPAILKGWMDRVLCQGFAFDIPGFYDSGLLQGKLALLSVTTGGTAEMYTKTGVNGDSRYFLWPLQHGTLHFCGFKVLAPQISFAPEIASEEERKGMVAAWSQRLQTIWKEEPIPCTAHWHFGQ
+>DECOY_sp|P16083|NQO2_HUMAN Ribosyldihydronicotinamide dehydrogenase [quinone] OS=Homo sapiens OX=9606 GN=NQO2 PE=1 SV=5
+QGFHWHATCPIPEEKWITQLRQSWAAVMGKREEESAIEPAFSIQPALVKFGCFHLTGHQLPWLFYRSDGNVGTKTYMEATGGTTVSLLALKGQLLGSDYFGPIDFAFGQCLVRDMWGKLIAPVSFWYLPFQFIVLDAERVKKQEDTIDSALSRQKYAEHTEVGYNFVEPNSLTGTIDKDTARPELNMAYLDSVTVTCGQRSLEDVAVNKLSGNFSKPEQHAYVILVKKGAM
+>sp|P20393|NR1D1_HUMAN Nuclear receptor subfamily 1 group D member 1 OS=Homo sapiens OX=9606 GN=NR1D1 PE=1 SV=1
+MTTLDSNNNTGGVITYIGSSGSSPSRTSPESLYSDNSNGSFQSLTQGCPTYFPPSPTGSLTQDPARSFGSIPPSLSDDGSPSSSSSSSSSSSSFYNGSPPGSLQVAMEDSSRVSPSKSTSNITKLNGMVLLCKVCGDVASGFHYGVHACEGCKGFFRRSIQQNIQYKRCLKNENCSIVRINRNRCQQCRFKKCLSVGMSRDAVRFGRIPKREKQRMLAEMQSAMNLANNQLSSQCPLETSPTQHPTPGPMGPSPPPAPVPSPLVGFSQFPQQLTPPRSPSPEPTVEDVISQVARAHREIFTYAHDKLGSSPGNFNANHASGSPPATTPHRWENQGCPPAPNDNNTLAAQRHNEALNGLRQAPSSYPPTWPPGPAHHSCHQSNSNGHRLCPTHVYAAPEGKAPANSPRQGNSKNVLLACPMNMYPHGRSGRTVQEIWEDFSMSFTPAVREVVEFAKHIPGFRDLSQHDQVTLLKAGTFEVLMVRFASLFNVKDQTVMFLSRTTYSLQELGAMGMGDLLSAMFDFSEKLNSLALTEEELGLFTAVVLVSADRSGMENSASVEQLQETLLRALRALVLKNRPLETSRFTKLLLKLPDLRTLNNMHSEKLLSFRVDAQ
+>DECOY_sp|P20393|NR1D1_HUMAN Nuclear receptor subfamily 1 group D member 1 OS=Homo sapiens OX=9606 GN=NR1D1 PE=1 SV=1
+QADVRFSLLKESHMNNLTRLDPLKLLLKTFRSTELPRNKLVLARLARLLTEQLQEVSASNEMGSRDASVLVVATFLGLEEETLALSNLKESFDFMASLLDGMGMAGLEQLSYTTRSLFMVTQDKVNFLSAFRVMLVEFTGAKLLTVQDHQSLDRFGPIHKAFEVVERVAPTFSMSFDEWIEQVTRGSRGHPYMNMPCALLVNKSNGQRPSNAPAKGEPAAYVHTPCLRHGNSNSQHCSHHAPGPPWTPPYSSPAQRLGNLAENHRQAALTNNDNPAPPCGQNEWRHPTTAPPSGSAHNANFNGPSSGLKDHAYTFIERHARAVQSIVDEVTPEPSPSRPPTLQQPFQSFGVLPSPVPAPPPSPGMPGPTPHQTPSTELPCQSSLQNNALNMASQMEALMRQKERKPIRGFRVADRSMGVSLCKKFRCQQCRNRNIRVISCNENKLCRKYQINQQISRRFFGKCGECAHVGYHFGSAVDGCVKCLLVMGNLKTINSTSKSPSVRSSDEMAVQLSGPPSGNYFSSSSSSSSSSSSPSGDDSLSPPISGFSRAPDQTLSGTPSPPFYTPCGQTLSQFSGNSNDSYLSEPSTRSPSSGSSGIYTIVGGTNNNSDLTTM
+>sp|P55055|NR1H2_HUMAN Oxysterols receptor LXR-beta OS=Homo sapiens OX=9606 GN=NR1H2 PE=1 SV=2
+MSSPTTSSLDTPLPGNGPPQPGAPSSSPTVKEEGPEPWPGGPDPDVPGTDEASSACSTDWVIPDPEEEPERKRKKGPAPKMLGHELCRVCGDKASGFHYNVLSCEGCKGFFRRSVVRGGARRYACRGGGTCQMDAFMRRKCQQCRLRKCKEAGMREQCVLSEEQIRKKKIRKQQQESQSQSQSPVGPQGSSSSASGPGASPGGSEAGSQGSGEGEGVQLTAAQELMIQQLVAAQLQCNKRSFSDQPKVTPWPLGADPQSRDARQQRFAHFTELAIISVQEIVDFAKQVPGFLQLGREDQIALLKASTIEIMLLETARRYNHETECITFLKDFTYSKDDFHRAGLQVEFINPIFEFSRAMRRLGLDDAEYALLIAINIFSADRPNVQEPGRVEALQQPYVEALLSYTRIKRPQDQLRFPRMLMKLVSLRTLSSVHSEQVFALRLQDKKLPPLLSEIWDVHE
+>DECOY_sp|P55055|NR1H2_HUMAN Oxysterols receptor LXR-beta OS=Homo sapiens OX=9606 GN=NR1H2 PE=1 SV=2
+EHVDWIESLLPPLKKDQLRLAFVQESHVSSLTRLSVLKMLMRPFRLQDQPRKIRTYSLLAEVYPQQLAEVRGPEQVNPRDASFINIAILLAYEADDLGLRRMARSFEFIPNIFEVQLGARHFDDKSYTFDKLFTICETEHNYRRATELLMIEITSAKLLAIQDERGLQLFGPVQKAFDVIEQVSIIALETFHAFRQQRADRSQPDAGLPWPTVKPQDSFSRKNCQLQAAVLQQIMLEQAATLQVGEGEGSGQSGAESGGPSAGPGSASSSSGQPGVPSQSQSQSEQQQKRIKKKRIQEESLVCQERMGAEKCKRLRCQQCKRRMFADMQCTGGGRCAYRRAGGRVVSRRFFGKCGECSLVNYHFGSAKDGCVRCLEHGLMKPAPGKKRKREPEEEPDPIVWDTSCASSAEDTGPVDPDPGGPWPEPGEEKVTPSSSPAGPQPPGNGPLPTDLSSTTPSSM
+>sp|P49116|NR2C2_HUMAN Nuclear receptor subfamily 2 group C member 2 OS=Homo sapiens OX=9606 GN=NR2C2 PE=1 SV=1
+MTSPSPRIQIISTDSAVASPQRIQIVTDQQTGQKIQIVTAVDASGSPKQQFILTSPDGAGTGKVILASPETSSAKQLIFTTSDNLVPGRIQIVTDSASVERLLGKTDVQRPQVVEYCVVCGDKASGRHYGAVSCEGCKGFFKRSVRKNLTYSCRSNQDCIINKHHRNRCQFCRLKKCLEMGMKMESVQSERKPFDVQREKPSNCAASTEKIYIRKDLRSPLIATPTFVADKDGARQTGLLDPGMLVNIQQPLIREDGTVLLATDSKAETSQGALGTLANVVTSLANLSESLNNGDTSEIQPEDQSASEITRAFDTLAKALNTTDSSSSPSLADGIDTSGGGSIHVISRDQSTPIIEVEGPLLSDTHVTFKLTMPSPMPEYLNVHYICESASRLLFLSMHWARSIPAFQALGQDCNTSLVRACWNELFTLGLAQCAQVMSLSTILAAIVNHLQNSIQEDKLSGDRIKQVMEHIWKLQEFCNSMAKLDIDGYEYAYLKAIVLFSPDHPGLTSTSQIEKFQEKAQMELQDYVQKTYSEDTYRLARILVRLPALRLMSSNITEELFFTGLIGNVSIDSIIPYILKMETAEYNGQITGASL
+>DECOY_sp|P49116|NR2C2_HUMAN Nuclear receptor subfamily 2 group C member 2 OS=Homo sapiens OX=9606 GN=NR2C2 PE=1 SV=1
+LSAGTIQGNYEATEMKLIYPIISDISVNGILGTFFLEETINSSMLRLAPLRVLIRALRYTDESYTKQVYDQLEMQAKEQFKEIQSTSTLGPHDPSFLVIAKLYAYEYGDIDLKAMSNCFEQLKWIHEMVQKIRDGSLKDEQISNQLHNVIAALITSLSMVQACQALGLTFLENWCARVLSTNCDQGLAQFAPISRAWHMSLFLLRSASECIYHVNLYEPMPSPMTLKFTVHTDSLLPGEVEIIPTSQDRSIVHISGGGSTDIGDALSPSSSSDTTNLAKALTDFARTIESASQDEPQIESTDGNNLSESLNALSTVVNALTGLAGQSTEAKSDTALLVTGDERILPQQINVLMGPDLLGTQRAGDKDAVFTPTAILPSRLDKRIYIKETSAACNSPKERQVDFPKRESQVSEMKMGMELCKKLRCFQCRNRHHKNIICDQNSRCSYTLNKRVSRKFFGKCGECSVAGYHRGSAKDGCVVCYEVVQPRQVDTKGLLREVSASDTVIQIRGPVLNDSTTFILQKASSTEPSALIVKGTGAGDPSTLIFQQKPSGSADVATVIQIKQGTQQDTVIQIRQPSAVASDTSIIQIRPSPSTM
+>sp|Q9Y466|NR2E1_HUMAN Nuclear receptor subfamily 2 group E member 1 OS=Homo sapiens OX=9606 GN=NR2E1 PE=1 SV=1
+MSKPAGSTSRILDIPCKVCGDRSSGKHYGVYACDGCSGFFKRSIRRNRTYVCKSGNQGGCPVDKTHRNQCRACRLKKCLEVNMNKDAVQHERGPRTSTIRKQVALYFRGHKEENGAAAHFPSAALPAPAFFTAVTQLEPHGLELAAVSTTPERQTLVSLAQPTPKYPHEVNGTPMYLYEVATESVCESAARLLFMSIKWAKSVPAFSTLSLQDQLMLLEDAWRELFVLGIAQWAIPVDANTLLAVSGMNGDNTDSQKLNKIISEIQALQEVVARFRQLRLDATEFACLKCIVTFKAVPTHSGSELRSFRNAAAIAALQDEAQLTLNSYIHTRYPTQPCRFGKLLLLLPALRSISPSTIEEVFFKKTIGNVPITRLLSDMYKSSDI
+>DECOY_sp|Q9Y466|NR2E1_HUMAN Nuclear receptor subfamily 2 group E member 1 OS=Homo sapiens OX=9606 GN=NR2E1 PE=1 SV=1
+IDSSKYMDSLLRTIPVNGITKKFFVEEITSPSISRLAPLLLLLKGFRCPQTPYRTHIYSNLTLQAEDQLAAIAAANRFSRLESGSHTPVAKFTVICKLCAFETADLRLQRFRAVVEQLAQIESIIKNLKQSDTNDGNMGSVALLTNADVPIAWQAIGLVFLERWADELLMLQDQLSLTSFAPVSKAWKISMFLLRAASECVSETAVEYLYMPTGNVEHPYKPTPQALSVLTQREPTTSVAALELGHPELQTVATFFAPAPLAASPFHAAAGNEEKHGRFYLAVQKRITSTRPGREHQVADKNMNVELCKKLRCARCQNRHTKDVPCGGQNGSKCVYTRNRRISRKFFGSCGDCAYVGYHKGSSRDGCVKCPIDLIRSTSGAPKSM
+>sp|P43354|NR4A2_HUMAN Nuclear receptor subfamily 4 group A member 2 OS=Homo sapiens OX=9606 GN=NR4A2 PE=1 SV=1
+MPCVQAQYGSSPQGASPASQSYSYHSSGEYSSDFLTPEFVKFSMDLTNTEITATTSLPSFSTFMDNYSTGYDVKPPCLYQMPLSGQQSSIKVEDIQMHNYQQHSHLPPQSEEMMPHSGSVYYKPSSPPTPTTPGFQVQHSPMWDDPGSLHNFHQNYVATTHMIEQRKTPVSRLSLFSFKQSPPGTPVSSCQMRFDGPLHVPMNPEPAGSHHVVDGQTFAVPNPIRKPASMGFPGLQIGHASQLLDTQVPSPPSRGSPSNEGLCAVCGDNAACQHYGVRTCEGCKGFFKRTVQKNAKYVCLANKNCPVDKRRRNRCQYCRFQKCLAVGMVKEVVRTDSLKGRRGRLPSKPKSPQEPSPPSPPVSLISALVRAHVDSNPAMTSLDYSRFQANPDYQMSGDDTQHIQQFYDLLTGSMEIIRGWAEKIPGFADLPKADQDLLFESAFLELFVLRLAYRSNPVEGKLIFCNGVVLHRLQCVRGFGEWIDSIVEFSSNLQNMNIDISAFSCIAALAMVTERHGLKEPKRVEELQNKIVNCLKDHVTFNNGGLNRPNYLSKLLGKLPELRTLCTQGLQRIFYLKLEDLVPPPAIIDKLFLDTLPF
+>DECOY_sp|P43354|NR4A2_HUMAN Nuclear receptor subfamily 4 group A member 2 OS=Homo sapiens OX=9606 GN=NR4A2 PE=1 SV=1
+FPLTDLFLKDIIAPPPVLDELKLYFIRQLGQTCLTRLEPLKGLLKSLYNPRNLGGNNFTVHDKLCNVIKNQLEEVRKPEKLGHRETVMALAAICSFASIDINMNQLNSSFEVISDIWEGFGRVCQLRHLVVGNCFILKGEVPNSRYALRLVFLELFASEFLLDQDAKPLDAFGPIKEAWGRIIEMSGTLLDYFQQIHQTDDGSMQYDPNAQFRSYDLSTMAPNSDVHARVLASILSVPPSPPSPEQPSKPKSPLRGRRGKLSDTRVVEKVMGVALCKQFRCYQCRNRRRKDVPCNKNALCVYKANKQVTRKFFGKCGECTRVGYHQCAANDGCVACLGENSPSGRSPPSPVQTDLLQSAHGIQLGPFGMSAPKRIPNPVAFTQGDVVHHSGAPEPNMPVHLPGDFRMQCSSVPTGPPSQKFSFLSLRSVPTKRQEIMHTTAVYNQHFNHLSGPDDWMPSHQVQFGPTTPTPPSSPKYYVSGSHPMMEESQPPLHSHQQYNHMQIDEVKISSQQGSLPMQYLCPPKVDYGTSYNDMFTSFSPLSTTATIETNTLDMSFKVFEPTLFDSSYEGSSHYSYSQSAPSAGQPSSGYQAQVCPM
+>sp|Q92570|NR4A3_HUMAN Nuclear receptor subfamily 4 group A member 3 OS=Homo sapiens OX=9606 GN=NR4A3 PE=1 SV=3
+MPCVQAQYSPSPPGSSYAAQTYSSEYTTEIMNPDYTKLTMDLGSTEITATATTSLPSISTFVEGYSSNYELKPSCVYQMQRPLIKVEEGRAPSYHHHHHHHHHHHHHHQQQHQQPSIPPASSPEDEVLPSTSMYFKQSPPSTPTTPAFPPQAGALWDEALPSAPGCIAPGPLLDPPMKAVPTVAGARFPLFHFKPSPPHPPAPSPAGGHHLGYDPTAAAALSLPLGAAAAAGSQAAALESHPYGLPLAKRAAPLAFPPLGLTPSPTASSLLGESPSLPSPPSRSSSSGEGTCAVCGDNAACQHYGVRTCEGCKGFFKRTVQKNAKYVCLANKNCPVDKRRRNRCQYCRFQKCLSVGMVKEVVRTDSLKGRRGRLPSKPKSPLQQEPSQPSPPSPPICMMNALVRALTDSTPRDLDYSRYCPTDQAAAGTDAEHVQQFYNLLTASIDVSRSWAEKIPGFTDLPKEDQTLLIESAFLELFVLRLSIRSNTAEDKFVFCNGLVLHRLQCLRGFGEWLDSIKDFSLNLQSLNLDIQALACLSALSMITERHGLKEPKRVEELCNKITSSLKDHQSKGQALEPTESKVLGALVELRKICTLGLQRIFYLKLEDLVSPPSIIDKLFLDTLPF
+>DECOY_sp|Q92570|NR4A3_HUMAN Nuclear receptor subfamily 4 group A member 3 OS=Homo sapiens OX=9606 GN=NR4A3 PE=1 SV=3
+FPLTDLFLKDIISPPSVLDELKLYFIRQLGLTCIKRLEVLAGLVKSETPELAQGKSQHDKLSSTIKNCLEEVRKPEKLGHRETIMSLASLCALAQIDLNLSQLNLSFDKISDLWEGFGRLCQLRHLVLGNCFVFKDEATNSRISLRLVFLELFASEILLTQDEKPLDTFGPIKEAWSRSVDISATLLNYFQQVHEADTGAAAQDTPCYRSYDLDRPTSDTLARVLANMMCIPPSPPSPQSPEQQLPSKPKSPLRGRRGKLSDTRVVEKVMGVSLCKQFRCYQCRNRRRKDVPCNKNALCVYKANKQVTRKFFGKCGECTRVGYHQCAANDGCVACTGEGSSSSRSPPSPLSPSEGLLSSATPSPTLGLPPFALPAARKALPLGYPHSELAAAQSGAAAAAGLPLSLAAAATPDYGLHHGGAPSPAPPHPPSPKFHFLPFRAGAVTPVAKMPPDLLPGPAICGPASPLAEDWLAGAQPPFAPTTPTSPPSQKFYMSTSPLVEDEPSSAPPISPQQHQQQHHHHHHHHHHHHHHYSPARGEEVKILPRQMQYVCSPKLEYNSSYGEVFTSISPLSTTATATIETSGLDMTLKTYDPNMIETTYESSYTQAAYSSGPPSPSYQAQVCPM
+>sp|Q15406|NR6A1_HUMAN Nuclear receptor subfamily 6 group A member 1 OS=Homo sapiens OX=9606 GN=NR6A1 PE=1 SV=2
+MERDEPPPSGGGGGGGSAGFLEPPAALPPPPRNGFCQDELAELDPGTISVSDDRAEQRTCLICGDRATGLHYGIISCEGCKGFFKRSICNKRVYRCSRDKNCVMSRKQRNRCQYCRLLKCLQMGMNRKAIREDGMPGGRNKSIGPVQISEEEIERIMSGQEFEEEANHWSNHGDSDHSSPGNRASESNQPSPGSTLSSSRSVELNGFMAFREQYMGMSVPPHYQYIPHLFSYSGHSPLLPQQARSLDPQSYSLIHQLLSAEDLEPLGTPMLIEDGYAVTQAELFALLCRLADELLFRQIAWIKKLPFFCELSIKDYTCLLSSTWQELILLSSLTVYSKQIFGELADVTAKYSPSDEELHRFSDEGMEVIERLIYLYHKFHQLKVSNEEYACMKAINFLNQDIRGLTSASQLEQLNKRYWYICQDFTEYKYTHQPNRFPDLMMCLPEIRYIAGKMVNVPLEQLPLLFKVVLHSCKTSVGKE
+>DECOY_sp|Q15406|NR6A1_HUMAN Nuclear receptor subfamily 6 group A member 1 OS=Homo sapiens OX=9606 GN=NR6A1 PE=1 SV=2
+EKGVSTKCSHLVVKFLLPLQELPVNVMKGAIYRIEPLCMMLDPFRNPQHTYKYETFDQCIYWYRKNLQELQSASTLGRIDQNLFNIAKMCAYEENSVKLQHFKHYLYILREIVEMGEDSFRHLEEDSPSYKATVDALEGFIQKSYVTLSSLLILEQWTSSLLCTYDKISLECFFPLKKIWAIQRFLLEDALRCLLAFLEAQTVAYGDEILMPTGLPELDEASLLQHILSYSQPDLSRAQQPLLPSHGSYSFLHPIYQYHPPVSMGMYQERFAMFGNLEVSRSSSLTSGPSPQNSESARNGPSSHDSDGHNSWHNAEEEFEQGSMIREIEEESIQVPGISKNRGGPMGDERIAKRNMGMQLCKLLRCYQCRNRQKRSMVCNKDRSCRYVRKNCISRKFFGKCGECSIIGYHLGTARDGCILCTRQEARDDSVSITGPDLEALEDQCFGNRPPPPLAAPPELFGASGGGGGGGSPPPEDREM
+>sp|Q9H7Z3|NRDE2_HUMAN Protein NRDE2 homolog OS=Homo sapiens OX=9606 GN=NRDE2 PE=1 SV=3
+MALFPAFAGLSEAPDGGSSRKELDWLSNPSFCVGSITSLSQQTEAAPAHVSEGLPLTRSHLKSESSDESDTNKKLKQTSRKKKKEKKKKRKHQHHKKTKRKHGPSSSSRSETDTDSEKDKPSRGVGGSKKESEEPNQGNNAAADTGHRFVWLEDIQAVTGETFRTDKKPDPANWEYKSLYRGDIARYKRKGDSCLGINPKKQCISWEGTSTEKKHSRKQVERYFTKKSVGLMNIDGVAISSKTEPPSSEPISFIPVKDLEDAAPVTTWLNPLGIYDQSTTHWLQGQGPPEQESKQPDAQPDSESAALKAKVEEFNRRVRENPRDTQLWMAFVAFQDEVMKSPGLYAIEEGEQEKRKRSLKLILEKKLAILERAIESNQSSVDLKLAKLKLCTEFWEPSTLVKEWQKLIFLHPNNTALWQKYLLFCQSQFSTFSISKIHSLYGKCLSTLSAVKDGSILSHPALPGTEEAMFALFLQQCHFLRQAGHSEKAISLFQAMVDFTFFKPDSVKDLPTKGQVEFFEPFWDSGEPRAGEKGARGWKAWMHQQERGGWVVINPDEDDDEPEEDDQEIKDKTLPRWQIWLAAERSRDQRHWRPWRPDKTKKQTEEDCEDPERQVLFDDIGQSLIRLSSHDLQFQLVEAFLQFLGVPSGFTPPASCLYLAMDENSIFDNGLYDEKPLTFFNPLFSGASCVGRMDRLGYPRWTRGQNREGEEFIRNVFHLVMPLFSGKEKSQLCFSWLQYEIAKVIWCLHTKNKKRLKSQGKNCKKLAKNLLKEPENCNNFCLWKQYAHLEWLLGNTEDARKVFDTALGMAGSRELKDSDLCELSLLYAELEVELSPEVRRAATARAVHILTKLTESSPYGPYTGQVLAVHILKARKAYEHALQDCLGDSCVSNPAPTDSCSRLISLAKCFMLFQYLTIGIDAAVQIYEQVFAKLNSSVFPEGSGEGDSASSQSWTSVLEAITLMHTSLLRFHMKVSVYPLAPLREALSQALKLYPGNQVLWRSYVQIQNKSHSASKTRRFFDTITRSAKPLEPWLFAIEAEKLRKRLVETVQRLDGREIHATIPETGLMHRIQALFENAMRSDSGSQCPLLWRMYLNFLVSLGNKERSKGVFYKALQNCPWAKVLYLDAVEYFPDEMQEILDLMTEKELRVRLPLEELELLLED
+>DECOY_sp|Q9H7Z3|NRDE2_HUMAN Protein NRDE2 homolog OS=Homo sapiens OX=9606 GN=NRDE2 PE=1 SV=3
+DELLLELEELPLRVRLEKETMLDLIEQMEDPFYEVADLYLVKAWPCNQLAKYFVGKSREKNGLSVLFNLYMRWLLPCQSGSDSRMANEFLAQIRHMLGTEPITAHIERGDLRQVTEVLRKRLKEAEIAFLWPELPKASRTITDFFRRTKSASHSKNQIQVYSRWLVQNGPYLKLAQSLAERLPALPYVSVKMHFRLLSTHMLTIAELVSTWSQSSASDGEGSGEPFVSSNLKAFVQEYIQVAADIGITLYQFLMFCKALSILRSCSDTPAPNSVCSDGLCDQLAHEYAKRAKLIHVALVQGTYPGYPSSETLKTLIHVARATAARRVEPSLEVELEAYLLSLECLDSDKLERSGAMGLATDFVKRADETNGLLWELHAYQKWLCFNNCNEPEKLLNKALKKCNKGQSKLRKKNKTHLCWIVKAIEYQLWSFCLQSKEKGSFLPMVLHFVNRIFEEGERNQGRTWRPYGLRDMRGVCSAGSFLPNFFTLPKEDYLGNDFISNEDMALYLCSAPPTFGSPVGLFQLFAEVLQFQLDHSSLRILSQGIDDFLVQREPDECDEETQKKTKDPRWPRWHRQDRSREAALWIQWRPLTKDKIEQDDEEPEDDDEDPNIVVWGGREQQHMWAKWGRAGKEGARPEGSDWFPEFFEVQGKTPLDKVSDPKFFTFDVMAQFLSIAKESHGAQRLFHCQQLFLAFMAEETGPLAPHSLISGDKVASLTSLCKGYLSHIKSISFTSFQSQCFLLYKQWLATNNPHLFILKQWEKVLTSPEWFETCLKLKALKLDVSSQNSEIARELIALKKELILKLSRKRKEQEGEEIAYLGPSKMVEDQFAVFAMWLQTDRPNERVRRNFEEVKAKLAASESDPQADPQKSEQEPPGQGQLWHTTSQDYIGLPNLWTTVPAADELDKVPIFSIPESSPPETKSSIAVGDINMLGVSKKTFYREVQKRSHKKETSTGEWSICQKKPNIGLCSDGKRKYRAIDGRYLSKYEWNAPDPKKDTRFTEGTVAQIDELWVFRHGTDAAANNGQNPEESEKKSGGVGRSPKDKESDTDTESRSSSSPGHKRKTKKHHQHKRKKKKEKKKKRSTQKLKKNTDSEDSSESKLHSRTLPLGESVHAPAAETQQSLSTISGVCFSPNSLWDLEKRSSGGDPAESLGAFAPFLAM
+>sp|P56975|NRG3_HUMAN Pro-neuregulin-3, membrane-bound isoform OS=Homo sapiens OX=9606 GN=NRG3 PE=1 SV=1
+MSEGAAAASPPGAASAAAASAEEGTAAAAAAAAAGGGPDGGGEGAAEPPRELRCSDCIVWNRQQTWLCVVPLFIGFIGLGLSLMLLKWIVVGSVKEYVPTDLVDSKGMGQDPFFLSKPSSFPKAMETTTTTTSTTSPATPSAGGAASSRTPNRISTRLTTITRAPTRFPGHRVPIRASPRSTTARNTAAPATVPSTTAPFFSSSTLGSRPPVPGTPSTQAMPSWPTAAYATSSYLHDSTPSWTLSPFQDAASSSSSSSSSATTTTPETSTSPKFHTTTYSTERSEHFKPCRDKDLAYCLNDGECFVIETLTGSHKHCRCKEGYQGVRCDQFLPKTDSILSDPTDHLGIEFMESEEVYQRQVLSISCIIFGIVIVGMFCAAFYFKSKKQAKQIQEQLKVPQNGKSYSLKASSTMAKSENLVKSHVQLQNYSKVERHPVTALEKMMESSFVGPQSFPEVPSPDRGSQSVKHHRSLSSCCSPGQRSGMLHRNAFRRTPPSPRSRLGGIVGPAYQQLEESRIPDQDTIPCQGIEVRKTISHLPIQLWCVERPLDLKYSSSGLKTQRNTSINMQLPSRETNPYFNSLEQKDLVGYSSTRASSVPIIPSVGLEETCLQMPGISEVKSIKWCKNSYSADVVNVSIPVSDCLIAEQQEVKILLETVQEQIRILTDARRSEDYELASVETEDSASENTAFLPLSPTAKSEREAQFVLRNEIQRDSALTK
+>DECOY_sp|P56975|NRG3_HUMAN Pro-neuregulin-3, membrane-bound isoform OS=Homo sapiens OX=9606 GN=NRG3 PE=1 SV=1
+KTLASDRQIENRLVFQAERESKATPSLPLFATNESASDETEVSALEYDESRRADTLIRIQEQVTELLIKVEQQEAILCDSVPISVNVVDASYSNKCWKISKVESIGPMQLCTEELGVSPIIPVSSARTSSYGVLDKQELSNFYPNTERSPLQMNISTNRQTKLGSSSYKLDLPREVCWLQIPLHSITKRVEIGQCPITDQDPIRSEELQQYAPGVIGGLRSRPSPPTRRFANRHLMGSRQGPSCCSSLSRHHKVSQSGRDPSPVEPFSQPGVFSSEMMKELATVPHREVKSYNQLQVHSKVLNESKAMTSSAKLSYSKGNQPVKLQEQIQKAQKKSKFYFAACFMGVIVIGFIICSISLVQRQYVEESEMFEIGLHDTPDSLISDTKPLFQDCRVGQYGEKCRCHKHSGTLTEIVFCEGDNLCYALDKDRCPKFHESRETSYTTTHFKPSTSTEPTTTTASSSSSSSSSAADQFPSLTWSPTSDHLYSSTAYAATPWSPMAQTSPTGPVPPRSGLTSSSFFPATTSPVTAPAATNRATTSRPSARIPVRHGPFRTPARTITTLRTSIRNPTRSSAAGGASPTAPSTTSTTTTTTEMAKPFSSPKSLFFPDQGMGKSDVLDTPVYEKVSGVVIWKLLMLSLGLGIFGIFLPVVCLWTQQRNWVICDSCRLERPPEAAGEGGGDPGGGAAAAAAAAATGEEASAAAASAAGPPSAAAAGESM
+>sp|Q9BQI9|NRIP2_HUMAN Nuclear receptor-interacting protein 2 OS=Homo sapiens OX=9606 GN=NRIP2 PE=1 SV=3
+MLFIFPLSLPWRPSCWKESCSTGQRQAGRSREDSVTPPPSSPWPTPPAGAMSTKQEARRDEGEARTRGQEAQLRDRAHLSQQRRLKQATQFLHKDSADLLPLDSLKRLGTSKDLQPRSVIQRRLVEGNPNWLQGEPPRMQDLIHGQESRRKTSRTEIPALLVNCKCQDQLLRVAVDTGTQYNRISAGCLSRLGLEKRVLKASAGDLAPGPPTQVEQLELQLGQETVVCSAQVVDAESPEFCLGLQTLLSLKCCIDLEHGVLRLKAPFSELPFLPLYQEPGQ
+>DECOY_sp|Q9BQI9|NRIP2_HUMAN Nuclear receptor-interacting protein 2 OS=Homo sapiens OX=9606 GN=NRIP2 PE=1 SV=3
+QGPEQYLPLFPLESFPAKLRLVGHELDICCKLSLLTQLGLCFEPSEADVVQASCVVTEQGLQLELQEVQTPPGPALDGASAKLVRKELGLRSLCGASIRNYQTGTDVAVRLLQDQCKCNVLLAPIETRSTKRRSEQGHILDQMRPPEGQLWNPNGEVLRRQIVSRPQLDKSTGLRKLSDLPLLDASDKHLFQTAQKLRRQQSLHARDRLQAEQGRTRAEGEDRRAEQKTSMAGAPPTPWPSSPPPTVSDERSRGAQRQGTSCSEKWCSPRWPLSLPFIFLM
+>sp|Q9NPI5|NRK2_HUMAN Nicotinamide riboside kinase 2 OS=Homo sapiens OX=9606 GN=NMRK2 PE=1 SV=1
+MKLIVGIGGMTNGGKTTLTNSLLRALPNCCVIHQDDFFKPQDQIAVGEDGFKQWDVLESLDMEAMLDTVQAWLSSPQKFARAHGVSVQPEASDTHILLLEGFLLYSYKPLVDLYSRRYFLTVPYEECKWRRSTRNYTVPDPPGLFDGHVWPMYQKYRQEMEANGVEVVYLDGMKSREELFREVLEDIQNSLLNRSQESAPSPARPARTQGPGRGCGHRTARPAASQQDSM
+>DECOY_sp|Q9NPI5|NRK2_HUMAN Nicotinamide riboside kinase 2 OS=Homo sapiens OX=9606 GN=NMRK2 PE=1 SV=1
+MSDQQSAAPRATRHGCGRGPGQTRAPRAPSPASEQSRNLLSNQIDELVERFLEERSKMGDLYVVEVGNAEMEQRYKQYMPWVHGDFLGPPDPVTYNRTSRRWKCEEYPVTLFYRRSYLDVLPKYSYLLFGELLLIHTDSAEPQVSVGHARAFKQPSSLWAQVTDLMAEMDLSELVDWQKFGDEGVAIQDQPKFFDDQHIVCCNPLARLLSNTLTTKGGNTMGGIGVILKM
+>sp|Q8IXM6|NRM_HUMAN Nurim OS=Homo sapiens OX=9606 GN=NRM PE=1 SV=1
+MAPALLLIPAALASFILAFGTGVEFVRFTSLRPLLGGIPESGGPDARQGWLAALQDRSILAPLAWDLGLLLLFVGQHSLMAAERVKAWTSRYFGVLQRSLYVACTALALQLVMRYWEPIPKGPVLWEARAEPWATWVPLLCFVLHVISWLLIFSILLVFDYAELMGLKQVYYHVLGLGEPLALKSPRALRLFSHLRHPVCVELLTVLWVVPTLGTDRLLLAFLLTLYLGLAHGLDQQDLRYLRAQLQRKLHLLSRPQDGEAE
+>DECOY_sp|Q8IXM6|NRM_HUMAN Nurim OS=Homo sapiens OX=9606 GN=NRM PE=1 SV=1
+EAEGDQPRSLLHLKRQLQARLYRLDQQDLGHALGLYLTLLFALLLRDTGLTPVVWLVTLLEVCVPHRLHSFLRLARPSKLALPEGLGLVHYYVQKLGMLEAYDFVLLISFILLWSIVHLVFCLLPVWTAWPEARAEWLVPGKPIPEWYRMVLQLALATCAVYLSRQLVGFYRSTWAKVREAAMLSHQGVFLLLLGLDWALPALISRDQLAALWGQRADPGGSEPIGGLLPRLSTFRVFEVGTGFALIFSALAAPILLLAPAM
+>sp|O60462|NRP2_HUMAN Neuropilin-2 OS=Homo sapiens OX=9606 GN=NRP2 PE=1 SV=3
+MDMFPLTWVFLALYFSRHQVRGQPDPPCGGRLNSKDAGYITSPGYPQDYPSHQNCEWIVYAPEPNQKIVLNFNPHFEIEKHDCKYDFIEIRDGDSESADLLGKHCGNIAPPTIISSGSMLYIKFTSDYARQGAGFSLRYEIFKTGSEDCSKNFTSPNGTIESPGFPEKYPHNLDCTFTILAKPKMEIILQFLIFDLEHDPLQVGEGDCKYDWLDIWDGIPHVGPLIGKYCGTKTPSELRSSTGILSLTFHTDMAVAKDGFSARYYLVHQEPLENFQCNVPLGMESGRIANEQISASSTYSDGRWTPQQSRLHGDDNGWTPNLDSNKEYLQVDLRFLTMLTAIATQGAISRETQNGYYVKSYKLEVSTNGEDWMVYRHGKNHKVFQANNDATEVVLNKLHAPLLTRFVRIRPQTWHSGIALRLELFGCRVTDAPCSNMLGMLSGLIADSQISASSTQEYLWSPSAARLVSSRSGWFPRIPQAQPGEEWLQVDLGTPKTVKGVIIQGARGGDSITAVEARAFVRKFKVSYSLNGKDWEYIQDPRTQQPKLFEGNMHYDTPDIRRFDPIPAQYVRVYPERWSPAGIGMRLEVLGCDWTDSKPTVETLGPTVKSEETTTPYPTEEEATECGENCSFEDDKDLQLPSGFNCNFDFLEEPCGWMYDHAKWLRTTWASSSSPNDRTFPDDRNFLRLQSDSQREGQYARLISPPVHLPRSPVCMEFQYQATGGRGVALQVVREASQESKLLWVIREDQGGEWKHGRIILPSYDMEYQIVFEGVIGKGRSGEIAIDDIRISTDVPLENCMEPISAFAGENFKVDIPEIHEREGYEDEIDDEYEVDWSNSSSATSGSGAPSTDKEKSWLYTLDPILITIIAMSSLGVLLGATCAGLLLYCTCSYSGLSSRSCTTLENYNFELYDGLKHKVKMNHQKCCSEA
+>DECOY_sp|O60462|NRP2_HUMAN Neuropilin-2 OS=Homo sapiens OX=9606 GN=NRP2 PE=1 SV=3
+AESCCKQHNMKVKHKLGDYLEFNYNELTTCSRSSLGSYSCTCYLLLGACTAGLLVGLSSMAIITILIPDLTYLWSKEKDTSPAGSGSTASSSNSWDVEYEDDIEDEYGEREHIEPIDVKFNEGAFASIPEMCNELPVDTSIRIDDIAIEGSRGKGIVGEFVIQYEMDYSPLIIRGHKWEGGQDERIVWLLKSEQSAERVVQLAVGRGGTAQYQFEMCVPSRPLHVPPSILRAYQGERQSDSQLRLFNRDDPFTRDNPSSSSAWTTRLWKAHDYMWGCPEELFDFNCNFGSPLQLDKDDEFSCNEGCETAEEETPYPTTTEESKVTPGLTEVTPKSDTWDCGLVELRMGIGAPSWREPYVRVYQAPIPDFRRIDPTDYHMNGEFLKPQQTRPDQIYEWDKGNLSYSVKFKRVFARAEVATISDGGRAGQIIVGKVTKPTGLDVQLWEEGPQAQPIRPFWGSRSSVLRAASPSWLYEQTSSASIQSDAILGSLMGLMNSCPADTVRCGFLELRLAIGSHWTQPRIRVFRTLLPAHLKNLVVETADNNAQFVKHNKGHRYVMWDEGNTSVELKYSKVYYGNQTERSIAGQTAIATLMTLFRLDVQLYEKNSDLNPTWGNDDGHLRSQQPTWRGDSYTSSASIQENAIRGSEMGLPVNCQFNELPEQHVLYYRASFGDKAVAMDTHFTLSLIGTSSRLESPTKTGCYKGILPGVHPIGDWIDLWDYKCDGEGVQLPDHELDFILFQLIIEMKPKALITFTCDLNHPYKEPFGPSEITGNPSTFNKSCDESGTKFIEYRLSFGAGQRAYDSTFKIYLMSGSSIITPPAINGCHKGLLDASESDGDRIEIFDYKCDHKEIEFHPNFNLVIKQNPEPAYVIWECNQHSPYDQPYGPSTIYGADKSNLRGGCPPDPQGRVQHRSFYLALFVWTLPFMDM
+>sp|Q99748|NRTN_HUMAN Neurturin OS=Homo sapiens OX=9606 GN=NRTN PE=1 SV=1
+MQRWKAAALASVLCSSVLSIWMCREGLLLSHRLGPALVPLHRLPRTLDARIARLAQYRALLQGAPDAMELRELTPWAGRPPGPRRRAGPRRRRARARLGARPCGLRELEVRVSELGLGYASDETVLFRYCAGACEAAARVYDLGLRRLRQRRRLRRERVRAQPCCRPTAYEDEVSFLDAHSRYHTVHELSARECACV
+>DECOY_sp|Q99748|NRTN_HUMAN Neurturin OS=Homo sapiens OX=9606 GN=NRTN PE=1 SV=1
+VCACERASLEHVTHYRSHADLFSVEDEYATPRCCPQARVRERRLRRRQRLRRLGLDYVRAAAECAGACYRFLVTEDSAYGLGLESVRVELERLGCPRAGLRARARRRRPGARRRPGPPRGAWPTLERLEMADPAGQLLARYQALRAIRADLTRPLRHLPVLAPGLRHSLLLGERCMWISLVSSCLVSALAAAKWRQM
+>sp|Q9ULB1|NRX1A_HUMAN Neurexin-1 OS=Homo sapiens OX=9606 GN=NRXN1 PE=2 SV=1
+MGTALLQRGGCFLLCLSLLLLGCWAELGSGLEFPGAEGQWTRFPKWNACCESEMSFQLKTRSARGLVLYFDDEGFCDFLELILTRGGRLQLSFSIFCAEPATLLADTPVNDGAWHSVRIRRQFRNTTLFIDQVEAKWVEVKSKRRDMTVFSGLFVGGLPPELRAAALKLTLASVREREPFKGWIRDVRVNSSQVLPVDSGEVKLDDEPPNSGGGSPCEAGEEGEGGVCLNGGVCSVVDDQAVCDCSRTGFRGKDCSQEDNNVEGLAHLMMGDQGKSKGKEEYIATFKGSEYFCYDLSQNPIQSSSDEITLSFKTLQRNGLMLHTGKSADYVNLALKNGAVSLVINLGSGAFEALVEPVNGKFNDNAWHDVKVTRNLRQHSGIGHAMVTISVDGILTTTGYTQEDYTMLGSDDFFYVGGSPSTADLPGSPVSNNFMGCLKEVVYKNNDVRLELSRLAKQGDPKMKIHGVVAFKCENVATLDPITFETPESFISLPKWNAKKTGSISFDFRTTEPNGLILFSHGKPRHQKDAKHPQMIKVDFFAIEMLDGHLYLLLDMGSGTIKIKALLKKVNDGEWYHVDFQRDGRSGTISVNTLRTPYTAPGESEILDLDDELYLGGLPENKAGLVFPTEVWTALLNYGYVGCIRDLFIDGQSKDIRQMAEVQSTAGVKPSCSKETAKPCLSNPCKNNGMCRDGWNRYVCDCSGTGYLGRSCEREATVLSYDGSMFMKIQLPVVMHTEAEDVSLRFRSQRAYGILMATTSRDSADTLRLELDAGRVKLTVNLDCIRINCNSSKGPETLFAGYNLNDNEWHTVRVVRRGKSLKLTVDDQQAMTGQMAGDHTRLEFHNIETGIITERRYLSSVPSNFIGHLQSLTFNGMAYIDLCKNGDIDYCELNARFGFRNIIADPVTFKTKSSYVALATLQAYTSMHLFFQFKTTSLDGLILYNSGDGNDFIVVELVKGYLHYVFDLGNGANLIKGSSNKPLNDNQWHNVMISRDTSNLHTVKIDTKITTQITAGARNLDLKSDLYIGGVAKETYKSLPKLVHAKEGFQGCLASVDLNGRLPDLISDALFCNGQIERGCEGPSTTCQEDSCSNQGVCLQQWDGFSCDCSMTSFSGPLCNDPGTTYIFSKGGGQITYKWPPNDRPSTRADRLAIGFSTVQKEAVLVRVDSSSGLGDYLELHIHQGKIGVKFNVGTDDIAIEESNAIINDGKYHVVRFTRSGGNATLQVDSWPVIERYPAGRQLTIFNSQATIIIGGKEQGQPFQGQLSGLYYNGLKVLNMAAENDANIAIVGNVRLVGEVPSSMTTESTATAMQSEMSTSIMETTTTLATSTARRGKPPTKEPISQTTDDILVASAECPSDDEDIDPCEPSSGGLANPTRAGGREPYPGSAEVIRESSSTTGMVVGIVAAAALCILILLYAMYKYRNRDEGSYHVDESRNYISNSAQSNGAVVKEKQPSSAKSSNKNKKNKDKEYYV
+>DECOY_sp|Q9ULB1|NRX1A_HUMAN Neurexin-1 OS=Homo sapiens OX=9606 GN=NRXN1 PE=2 SV=1
+VYYEKDKNKKNKNSSKASSPQKEKVVAGNSQASNSIYNRSEDVHYSGEDRNRYKYMAYLLILICLAAAAVIGVVMGTTSSSERIVEASGPYPERGGARTPNALGGSSPECPDIDEDDSPCEASAVLIDDTTQSIPEKTPPKGRRATSTALTTTTEMISTSMESQMATATSETTMSSPVEGVLRVNGVIAINADNEAAMNLVKLGNYYLGSLQGQFPQGQEKGGIIITAQSNFITLQRGAPYREIVPWSDVQLTANGGSRTFRVVHYKGDNIIANSEEIAIDDTGVNFKVGIKGQHIHLELYDGLGSSSDVRVLVAEKQVTSFGIALRDARTSPRDNPPWKYTIQGGGKSFIYTTGPDNCLPGSFSTMSCDCSFGDWQQLCVGQNSCSDEQCTTSPGECGREIQGNCFLADSILDPLRGNLDVSALCGQFGEKAHVLKPLSKYTEKAVGGIYLDSKLDLNRAGATIQTTIKTDIKVTHLNSTDRSIMVNHWQNDNLPKNSSGKILNAGNGLDFVYHLYGKVLEVVIFDNGDGSNYLILGDLSTTKFQFFLHMSTYAQLTALAVYSSKTKFTVPDAIINRFGFRANLECYDIDGNKCLDIYAMGNFTLSQLHGIFNSPVSSLYRRETIIGTEINHFELRTHDGAMQGTMAQQDDVTLKLSKGRRVVRVTHWENDNLNYGAFLTEPGKSSNCNIRICDLNVTLKVRGADLELRLTDASDRSTTAMLIGYARQSRFRLSVDEAETHMVVPLQIKMFMSGDYSLVTAERECSRGLYGTGSCDCVYRNWGDRCMGNNKCPNSLCPKATEKSCSPKVGATSQVEAMQRIDKSQGDIFLDRICGVYGYNLLATWVETPFVLGAKNEPLGGLYLEDDLDLIESEGPATYPTRLTNVSITGSRGDRQFDVHYWEGDNVKKLLAKIKITGSGMDLLLYLHGDLMEIAFFDVKIMQPHKADKQHRPKGHSFLILGNPETTRFDFSISGTKKANWKPLSIFSEPTEFTIPDLTAVNECKFAVVGHIKMKPDGQKALRSLELRVDNNKYVVEKLCGMFNNSVPSGPLDATSPSGGVYFFDDSGLMTYDEQTYGTTTLIGDVSITVMAHGIGSHQRLNRTVKVDHWANDNFKGNVPEVLAEFAGSGLNIVLSVAGNKLALNVYDASKGTHLMLGNRQLTKFSLTIEDSSSQIPNQSLDYCFYESGKFTAIYEEKGKSKGQDGMMLHALGEVNNDEQSCDKGRFGTRSCDCVAQDDVVSCVGGNLCVGGEGEEGAECPSGGGSNPPEDDLKVEGSDVPLVQSSNVRVDRIWGKFPERERVSALTLKLAAARLEPPLGGVFLGSFVTMDRRKSKVEVWKAEVQDIFLTTNRFQRRIRVSHWAGDNVPTDALLTAPEACFISFSLQLRGGRTLILELFDCFGEDDFYLVLGRASRTKLQFSMESECCANWKPFRTWQGEAGPFELGSGLEAWCGLLLLSLCLLFCGGRQLLATGM
+>sp|Q92597|NDRG1_HUMAN Protein NDRG1 OS=Homo sapiens OX=9606 GN=NDRG1 PE=1 SV=1
+MSREMQDVDLAEVKPLVEKGETITGLLQEFDVQEQDIETLHGSVHVTLCGTPKGNRPVILTYHDIGMNHKTCYNPLFNYEDMQEITQHFAVCHVDAPGQQDGAASFPAGYMYPSMDQLAEMLPGVLQQFGLKSIIGMGTGAGAYILTRFALNNPEMVEGLVLINVNPCAEGWMDWAASKISGWTQALPDMVVSHLFGKEEMQSNVEVVHTYRQHIVNDMNPGNLHLFINAYNSRRDLEIERPMPGTHTVTLQCPALLVVGDSSPAVDAVVECNSKLDPTKTTLLKMADCGGLPQISQPAKLAEAFKYFVQGMGYMPSASMTRLMRSRTASGSSVTSLDGTRSRSHTSEGTRSRSHTSEGTRSRSHTSEGAHLDITPNSGAAGNSAGPKSMEVSC
+>DECOY_sp|Q92597|NDRG1_HUMAN Protein NDRG1 OS=Homo sapiens OX=9606 GN=NDRG1 PE=1 SV=1
+CSVEMSKPGASNGAAGSNPTIDLHAGESTHSRSRTGESTHSRSRTGESTHSRSRTGDLSTVSSGSATRSRMLRTMSASPMYGMGQVFYKFAEALKAPQSIQPLGGCDAMKLLTTKTPDLKSNCEVVADVAPSSDGVVLLAPCQLTVTHTGPMPREIELDRRSNYANIFLHLNGPNMDNVIHQRYTHVVEVNSQMEEKGFLHSVVMDPLAQTWGSIKSAAWDMWGEACPNVNILVLGEVMEPNNLAFRTLIYAGAGTGMGIISKLGFQQLVGPLMEALQDMSPYMYGAPFSAAGDQQGPADVHCVAFHQTIEQMDEYNFLPNYCTKHNMGIDHYTLIVPRNGKPTGCLTVHVSGHLTEIDQEQVDFEQLLGTITEGKEVLPKVEALDVDQMERSM
+>sp|Q9UGV2|NDRG3_HUMAN Protein NDRG3 OS=Homo sapiens OX=9606 GN=NDRG3 PE=1 SV=2
+MDELQDVQLTEIKPLLNDKNGTRNFQDFDCQEHDIETTHGVVHVTIRGLPKGNRPVILTYHDIGLNHKSCFNAFFNFEDMQEITQHFAVCHVDAPGQQEGAPSFPTGYQYPTMDELAEMLPPVLTHLSLKSIIGIGVGAGAYILSRFALNHPELVEGLVLINVDPCAKGWIDWAASKLSGLTTNVVDIILAHHFGQEELQANLDLIQTYRMHIAQDINQDNLQLFLNSYNGRRDLEIERPILGQNDNKSKTLKCSTLLVVGDNSPAVEAVVECNSRLNPINTTLLKMADCGGLPQVVQPGKLTEAFKYFLQGMGYIPSASMTRLARSRTHSTSSSLGSGESPFSRSVTSNQSDGTQESCESPDVLDRHQTMEVSC
+>DECOY_sp|Q9UGV2|NDRG3_HUMAN Protein NDRG3 OS=Homo sapiens OX=9606 GN=NDRG3 PE=1 SV=2
+CSVEMTQHRDLVDPSECSEQTGDSQNSTVSRSFPSEGSGLSSSTSHTRSRALRTMSASPIYGMGQLFYKFAETLKGPQVVQPLGGCDAMKLLTTNIPNLRSNCEVVAEVAPSNDGVVLLTSCKLTKSKNDNQGLIPREIELDRRGNYSNLFLQLNDQNIDQAIHMRYTQILDLNAQLEEQGFHHALIIDVVNTTLGSLKSAAWDIWGKACPDVNILVLGEVLEPHNLAFRSLIYAGAGVGIGIISKLSLHTLVPPLMEALEDMTPYQYGTPFSPAGEQQGPADVHCVAFHQTIEQMDEFNFFANFCSKHNLGIDHYTLIVPRNGKPLGRITVHVVGHTTEIDHEQCDFDQFNRTGNKDNLLPKIETLQVDQLEDM
+>sp|Q9ULP0|NDRG4_HUMAN Protein NDRG4 OS=Homo sapiens OX=9606 GN=NDRG4 PE=1 SV=2
+MPECWDGEHDIETPYGLLHVVIRGSPKGNRPAILTYHDVGLNHKLCFNTFFNFEDMQEITKHFVVCHVDAPGQQVGASQFPQGYQFPSMEQLAAMLPSVVQHFGFKYVIGIGVGAGAYVLAKFALIFPDLVEGLVLVNIDPNGKGWIDWAATKLSGLTSTLPDTVLSHLFSQEELVNNTELVQSYRQQIGNVVNQANLQLFWNMYNSRRDLDINRPGTVPNAKTLRCPVMLVVGDNAPAEDGVVECNSKLDPTTTTFLKMADSGGLPQVTQPGKLTEAFKYFLQGMGYIAYLKDRRLSGGAVPSASMTRLARSRTASLTSASSVDGSRPQACTHSESSEGLGQVNHTMEVSC
+>DECOY_sp|Q9ULP0|NDRG4_HUMAN Protein NDRG4 OS=Homo sapiens OX=9606 GN=NDRG4 PE=1 SV=2
+CSVEMTHNVQGLGESSESHTCAQPRSGDVSSASTLSATRSRALRTMSASPVAGGSLRRDKLYAIYGMGQLFYKFAETLKGPQTVQPLGGSDAMKLFTTTTPDLKSNCEVVGDEAPANDGVVLMVPCRLTKANPVTGPRNIDLDRRSNYMNWFLQLNAQNVVNGIQQRYSQVLETNNVLEEQSFLHSLVTDPLTSTLGSLKTAAWDIWGKGNPDINVLVLGEVLDPFILAFKALVYAGAGVGIGIVYKFGFHQVVSPLMAALQEMSPFQYGQPFQSAGVQQGPADVHCVVFHKTIEQMDEFNFFTNFCLKHNLGVDHYTLIAPRNGKPSGRIVVHLLGYPTEIDHEGDWCEPM
+>sp|P52848|NDST1_HUMAN Bifunctional heparan sulfate N-deacetylase/N-sulfotransferase 1 OS=Homo sapiens OX=9606 GN=NDST1 PE=1 SV=1
+MPALACLRRLCRHVSPQAVLFLLFIFCLFSVFISAYYLYGWKRGLEPSADAPEPDCGDPPPVAPSRLLPLKPVQAATPSRTDPLVLVFVESLYSQLGQEVVAILESSRFKYRTEIAPGKGDMPTLTDKGRGRFALIIYENILKYVNLDAWNRELLDKYCVAYGVGIIGFFKANENSLLSAQLKGFPLFLHSNLGLKDCSINPKSPLLYVTRPSEVEKGVLPGEDWTVFQSNHSTYEPVLLAKTRSSESIPHLGADAGLHAALHATVVQDLGLHDGIQRVLFGNNLNFWLHKLVFVDAVAFLTGKRLSLPLDRYILVDIDDIFVGKEGTRMKVEDVKALFDTQNELRAHIPNFTFNLGYSGKFFHTGTNAEDAGDDLLLSYVKEFWWFPHMWSHMQPHLFHNQSVLAEQMALNKKFAVEHGIPTDMGYAVAPHHSGVYPVHVQLYEAWKQVWSIRVTSTEEYPHLKPARYRRGFIHNGIMVLPRQTCGLFTHTIFYNEYPGGSSELDKIINGGELFLTVLLNPISIFMTHLSNYGNDRLGLYTFKHLVRFLHSWTNLRLQTLPPVQLAQKYFQIFSEEKDPLWQDPCEDKRHKDIWSKEKTCDRFPKLLIIGPQKTGTTALYLFLGMHPDLSSNYPSSETFEEIQFFNGHNYHKGIDWYMEFFPIPSNTTSDFYFEKSANYFDSEVAPRRAAALLPKAKVLTILINPADRAYSWYQHQRAHDDPVALKYTFHEVITAGSDASSKLRALQNRCLVPGWYATHIERWLSAYHANQILVLDGKLLRTEPAKVMDMVQKFLGVTNTIDYHKTLAFDPKKGFWCQLLEGGKTKCLGKSKGRKYPEMDLDSRAFLKDYYRDHNIELSKLLYKMGQTLPTWLREDLQNTR
+>DECOY_sp|P52848|NDST1_HUMAN Bifunctional heparan sulfate N-deacetylase/N-sulfotransferase 1 OS=Homo sapiens OX=9606 GN=NDST1 PE=1 SV=1
+RTNQLDERLWTPLTQGMKYLLKSLEINHDRYYDKLFARSDLDMEPYKRGKSKGLCKTKGGELLQCWFGKKPDFALTKHYDITNTVGLFKQVMDMVKAPETRLLKGDLVLIQNAHYASLWREIHTAYWGPVLCRNQLARLKSSADSGATIVEHFTYKLAVPDDHARQHQYWSYARDAPNILITLVKAKPLLAAARRPAVESDFYNASKEFYFDSTTNSPIPFFEMYWDIGKHYNHGNFFQIEEFTESSPYNSSLDPHMGLFLYLATTGTKQPGIILLKPFRDCTKEKSWIDKHRKDECPDQWLPDKEESFIQFYKQALQVPPLTQLRLNTWSHLFRVLHKFTYLGLRDNGYNSLHTMFISIPNLLVTLFLEGGNIIKDLESSGGPYENYFITHTFLGCTQRPLVMIGNHIFGRRYRAPKLHPYEETSTVRISWVQKWAEYLQVHVPYVGSHHPAVAYGMDTPIGHEVAFKKNLAMQEALVSQNHFLHPQMHSWMHPFWWFEKVYSLLLDDGADEANTGTHFFKGSYGLNFTFNPIHARLENQTDFLAKVDEVKMRTGEKGVFIDDIDVLIYRDLPLSLRKGTLFAVADVFVLKHLWFNLNNGFLVRQIGDHLGLDQVVTAHLAAHLGADAGLHPISESSRTKALLVPEYTSHNSQFVTWDEGPLVGKEVESPRTVYLLPSKPNISCDKLGLNSHLFLPFGKLQASLLSNENAKFFGIIGVGYAVCYKDLLERNWADLNVYKLINEYIILAFRGRGKDTLTPMDGKGPAIETRYKFRSSELIAVVEQGLQSYLSEVFVLVLPDTRSPTAAQVPKLPLLRSPAVPPPDGCDPEPADASPELGRKWGYLYYASIFVSFLCFIFLLFLVAQPSVHRCLRRLCALAPM
+>sp|O15239|NDUA1_HUMAN NADH dehydrogenase [ubiquinone] 1 alpha subcomplex subunit 1 OS=Homo sapiens OX=9606 GN=NDUFA1 PE=1 SV=1
+MWFEILPGLSVMGVCLLIPGLATAYIHRFTNGGKEKRVAHFGYHWSLMERDRRISGVDRYYVSKGLENID
+>DECOY_sp|O15239|NDUA1_HUMAN NADH dehydrogenase [ubiquinone] 1 alpha subcomplex subunit 1 OS=Homo sapiens OX=9606 GN=NDUFA1 PE=1 SV=1
+DINELGKSVYYRDVGSIRRDREMLSWHYGFHAVRKEKGGNTFRHIYATALGPILLCVGMVSLGPLIEFWM
+>sp|Q330K2|NDUF6_HUMAN NADH dehydrogenase (ubiquinone) complex I, assembly factor 6 OS=Homo sapiens OX=9606 GN=NDUFAF6 PE=1 SV=2
+MAASAHGSVWGPLRLGIPGLCCRRPPLGLYARMRRLPGPEVSGRSVAAASGPGAWGTDHYCLELLRKRDYEGYLCSLLLPAESRSSVFALRAFNVELAQVKDSVSEKTIGLMRMQFWKKTVEDIYCDNPPHQPVAIELWKAVKRHNLTKRWLMKIVDEREKNLDDKAYRNIKELENYAENTQSSLLYLTLEILGIKDLHADHAASHIGKAQGIVTCLRATPYHGSRRKVFLPMDICMLHGVSQEDFLRRNQDKNVRDVIYDIASQAHLHLKHARSFHKTVPVKAFPAFLQTVSLEDFLKKIQRVDFDIFHPSLQQKNTLLPLYLYIQSWRKTY
+>DECOY_sp|Q330K2|NDUF6_HUMAN NADH dehydrogenase (ubiquinone) complex I, assembly factor 6 OS=Homo sapiens OX=9606 GN=NDUFAF6 PE=1 SV=2
+YTKRWSQIYLYLPLLTNKQQLSPHFIDFDVRQIKKLFDELSVTQLFAPFAKVPVTKHFSRAHKLHLHAQSAIDYIVDRVNKDQNRRLFDEQSVGHLMCIDMPLFVKRRSGHYPTARLCTVIGQAKGIHSAAHDAHLDKIGLIELTLYLLSSQTNEAYNELEKINRYAKDDLNKEREDVIKMLWRKTLNHRKVAKWLEIAVPQHPPNDCYIDEVTKKWFQMRMLGITKESVSDKVQALEVNFARLAFVSSRSEAPLLLSCLYGEYDRKRLLELCYHDTGWAGPGSAAAVSRGSVEPGPLRRMRAYLGLPPRRCCLGPIGLRLPGWVSGHASAAM
+>sp|A1L188|NDUF8_HUMAN NADH dehydrogenase [ubiquinone] 1 alpha subcomplex assembly factor 8 OS=Homo sapiens OX=9606 GN=NDUFAF8 PE=1 SV=1
+MSANGAVWGRVRSRLRAFPERLAACGAEAAAYGRCVQASTAPGGRLSKDFCAREFEALRSCFAAAAKKTLEGGC
+>DECOY_sp|A1L188|NDUF8_HUMAN NADH dehydrogenase [ubiquinone] 1 alpha subcomplex assembly factor 8 OS=Homo sapiens OX=9606 GN=NDUFAF8 PE=1 SV=1
+CGGELTKKAAAAFCSRLAEFERACFDKSLRGGPATSAQVCRGYAAAEAGCAALREPFARLRSRVRGWVAGNASM
+>sp|O75306|NDUS2_HUMAN NADH dehydrogenase [ubiquinone] iron-sulfur protein 2, mitochondrial OS=Homo sapiens OX=9606 GN=NDUFS2 PE=1 SV=2
+MAALRALCGFRGVAAQVLRPGAGVRLPIQPSRGVRQWQPDVEWAQQFGGAVMYPSKETAHWKPPPWNDVDPPKDTIVKNITLNFGPQHPAAHGVLRLVMELSGEMVRKCDPHIGLLHRGTEKLIEYKTYLQALPYFDRLDYVSMMCNEQAYSLAVEKLLNIRPPPRAQWIRVLFGEITRLLNHIMAVTTHALDLGAMTPFFWLFEEREKMFEFYERVSGARMHAAYIRPGGVHQDLPLGLMDDIYQFSKNFSLRLDELEELLTNNRIWRNRTIDIGVVTAEEALNYGFSGVMLRGSGIQWDLRKTQPYDVYDQVEFDVPVGSRGDCYDRYLCRVEEMRQSLRIIAQCLNKMPPGEIKVDDAKVSPPKRAEMKTSMESLIHHFKLYTEGYQVPPGATYTAIEAPKGEFGVYLVSDGSSRPYRCKIKAPGFAHLAGLDKMSKGHMLADVVAIIGTQDIVFGEVDR
+>DECOY_sp|O75306|NDUS2_HUMAN NADH dehydrogenase [ubiquinone] iron-sulfur protein 2, mitochondrial OS=Homo sapiens OX=9606 GN=NDUFS2 PE=1 SV=2
+RDVEGFVIDQTGIIAVVDALMHGKSMKDLGALHAFGPAKIKCRYPRSSGDSVLYVGFEGKPAEIATYTAGPPVQYGETYLKFHHILSEMSTKMEARKPPSVKADDVKIEGPPMKNLCQAIIRLSQRMEEVRCLYRDYCDGRSGVPVDFEVQDYVDYPQTKRLDWQIGSGRLMVGSFGYNLAEEATVVGIDITRNRWIRNNTLLEELEDLRLSFNKSFQYIDDMLGLPLDQHVGGPRIYAAHMRAGSVREYFEFMKEREEFLWFFPTMAGLDLAHTTVAMIHNLLRTIEGFLVRIWQARPPPRINLLKEVALSYAQENCMMSVYDLRDFYPLAQLYTKYEILKETGRHLLGIHPDCKRVMEGSLEMVLRLVGHAAPHQPGFNLTINKVITDKPPDVDNWPPPKWHATEKSPYMVAGGFQQAWEVDPQWQRVGRSPQIPLRVGAGPRLVQAAVGRFGCLARLAAM
+>sp|P56181|NDUV3_HUMAN NADH dehydrogenase [ubiquinone] flavoprotein 3, mitochondrial OS=Homo sapiens OX=9606 GN=NDUFV3 PE=1 SV=2
+MAAPCLLRQGRAGALKTMLQEAQVFRGLASTVSLSAESGKSEKGQPQNSKKQSPPKKPAPVPAEPFDNTTYKNLQHHDYSTYTFLDLNLELSKFRMPQPSSGRESPRH
+>DECOY_sp|P56181|NDUV3_HUMAN NADH dehydrogenase [ubiquinone] flavoprotein 3, mitochondrial OS=Homo sapiens OX=9606 GN=NDUFV3 PE=1 SV=2
+HRPSERGSSPQPMRFKSLELNLDLFTYTSYDHHQLNKYTTNDFPEAPVPAPKKPPSQKKSNQPQGKESKGSEASLSVTSALGRFVQAEQLMTKLAGARGQRLLCPAAM
+>sp|Q8NBZ9|NEAS1_HUMAN Putative uncharacterized protein NEXN-AS1 OS=Homo sapiens OX=9606 GN=NEXN-AS1 PE=5 SV=1
+MVWRFQKHIGKGSSQERPIRKDFLTGTAGRDGDRGWGKWWGTALNFPKDPKGSAEGSAPTPLTEGSLPTVGNAPETQPTRRRGAGQRHCNQKPKAGRHFQTLGQPLVGTPPSPQDAAPRQGSPGPGPARTTAVWRPAPSGAAAEHGQKPQTPSASLQPPFPPPPPPGDPTPPSPLPPAHVPPTLLTLQEPVTGEGTSFRVEGLCASRLAVGRGLGALAANTSAPAAGSPLAAAAAAAAAVSSSKFP
+>DECOY_sp|Q8NBZ9|NEAS1_HUMAN Putative uncharacterized protein NEXN-AS1 OS=Homo sapiens OX=9606 GN=NEXN-AS1 PE=5 SV=1
+PFKSSSVAAAAAAAAALPSGAAPASTNAALAGLGRGVALRSACLGEVRFSTGEGTVPEQLTLLTPPVHAPPLPSPPTPDGPPPPPPFPPQLSASPTQPKQGHEAAAGSPAPRWVATTRAPGPGPSGQRPAADQPSPPTGVLPQGLTQFHRGAKPKQNCHRQGAGRRRTPQTEPANGVTPLSGETLPTPASGEASGKPDKPFNLATGWWKGWGRDGDRGATGTLFDKRIPREQSSGKGIHKQFRWVM
+>sp|Q96SB3|NEB2_HUMAN Neurabin-2 OS=Homo sapiens OX=9606 GN=PPP1R9B PE=1 SV=2
+MMKTEPRGPGGPLRSASPHRSAYEAGIQALKPPDAPGPDEAPKGAHHKKYGSNVHRIKSMFLQMGTTAGPSGEAGGGAGLAEAPRASERGVRLSLPRASSLNENVDHSALLKLGTSVSERVSRFDSKPAPSAQPAPPPHPPSRLQETRKLFERSAPAAGGDKEAARRLLRQERAGLQDRKLDVVVRFNGSTEALDKLDADAVSPTVSQLSAVFEKADSRTGLHRGPGLPRAAGVPQVNSKLVSKRSRVFQPPPPPPPAPSGDAPAEKERCPAGQQPPQHRVAPARPPPKPREVRKIKPVEVEESGESEAESAPGEVIQAEVTVHAALENGSTVATAASPAPEEPKAQAAPEKEAAAVAPPERGVGNGRAPDVAPEEVDESKKEDFSEADLVDVSAYSGLGEDSAGSALEEDDEDDEEDGEPPYEPESGCVEIPGLSEEEDPAPSRKIHFSTAPIQVFSTYSNEDYDRRNEDVDPMAASAEYELEKRVERLELFPVELEKDSEGLGISIIGMGAGADMGLEKLGIFVKTVTEGGAAHRDGRIQVNDLLVEVDGTSLVGVTQSFAASVLRNTKGRVRFMIGRERPGEQSEVAQLIQQTLEQERWQREMMEQRYAQYGEDDEETGEYATDEDEELSPTFPGGEMAIEVFELAENEDALSPVDMEPEKLVHKFKELQIKHAVTEAEIQQLKRKLQSLEQEKGRWRVEKAQLEQSVEENKERMEKLEGYWGEAQSLCQAVDEHLRETQAQYQALERKYSKAKRLIKDYQQKEIEFLKKETAQRRVLEESELARKEEMDKLLDKISELEGNLQTLRNSNST
+>DECOY_sp|Q96SB3|NEB2_HUMAN Neurabin-2 OS=Homo sapiens OX=9606 GN=PPP1R9B PE=1 SV=2
+TSNSNRLTQLNGELESIKDLLKDMEEKRALESEELVRRQATEKKLFEIEKQQYDKILRKAKSYKRELAQYQAQTERLHEDVAQCLSQAEGWYGELKEMREKNEEVSQELQAKEVRWRGKEQELSQLKRKLQQIEAETVAHKIQLEKFKHVLKEPEMDVPSLADENEALEFVEIAMEGGPFTPSLEEDEDTAYEGTEEDDEGYQAYRQEMMERQWREQELTQQILQAVESQEGPRERGIMFRVRGKTNRLVSAAFSQTVGVLSTGDVEVLLDNVQIRGDRHAAGGETVTKVFIGLKELGMDAGAGMGIISIGLGESDKELEVPFLELREVRKELEYEASAAMPDVDENRRDYDENSYTSFVQIPATSFHIKRSPAPDEEESLGPIEVCGSEPEYPPEGDEEDDEDDEELASGASDEGLGSYASVDVLDAESFDEKKSEDVEEPAVDPARGNGVGREPPAVAAAEKEPAAQAKPEEPAPSAATAVTSGNELAAHVTVEAQIVEGPASEAESEGSEEVEVPKIKRVERPKPPPRAPAVRHQPPQQGAPCREKEAPADGSPAPPPPPPPQFVRSRKSVLKSNVQPVGAARPLGPGRHLGTRSDAKEFVASLQSVTPSVADADLKDLAETSGNFRVVVDLKRDQLGAREQRLLRRAAEKDGGAAPASREFLKRTEQLRSPPHPPPAPQASPAPKSDFRSVRESVSTGLKLLASHDVNENLSSARPLSLRVGRESARPAEALGAGGGAEGSPGATTGMQLFMSKIRHVNSGYKKHHAGKPAEDPGPADPPKLAQIGAEYASRHPSASRLPGGPGRPETKMM
+>sp|Q7Z6G3|NECA2_HUMAN N-terminal EF-hand calcium-binding protein 2 OS=Homo sapiens OX=9606 GN=NECAB2 PE=1 SV=1
+MCERAARLCRAGAHRLLREPPQQGRALGGLLRWVGARMGEPRESLAPAAPADPGPASPRGGTAVILDIFRRADKNDDGKLSLEEFQLFFADGVLNEKELEDLFHTIDSDNTNHVDTKELCDYFVDHMGDYEDVLASLETLNHSVLKAMGYTKKVYEGGSNVDQFVTRFLLKETANQIQSLLSSVESAVEAIEEQTSQLRQNHIKPSHSAAQTWCGSPTPASAPNHKLMAMEQGKTLPSATEDAKEEGLEAQISRLAELIGRLESKALWFDLQQRLSDEDGTNMHLQLVRQEMAVCPEQLSEFLDSLRQYLRGTTGVRNCFHITAVRLSDGFTFVIYEFWETEEAWKRHLQSPLCKAFRHVKVDTLSQPEALSRILVPAAWCTVGRD
+>DECOY_sp|Q7Z6G3|NECA2_HUMAN N-terminal EF-hand calcium-binding protein 2 OS=Homo sapiens OX=9606 GN=NECAB2 PE=1 SV=1
+DRGVTCWAAPVLIRSLAEPQSLTDVKVHRFAKCLPSQLHRKWAEETEWFEYIVFTFGDSLRVATIHFCNRVGTTGRLYQRLSDLFESLQEPCVAMEQRVLQLHMNTGDEDSLRQQLDFWLAKSELRGILEALRSIQAELGEEKADETASPLTKGQEMAMLKHNPASAPTPSGCWTQAASHSPKIHNQRLQSTQEEIAEVASEVSSLLSQIQNATEKLLFRTVFQDVNSGGEYVKKTYGMAKLVSHNLTELSALVDEYDGMHDVFYDCLEKTDVHNTNDSDITHFLDELEKENLVGDAFFLQFEELSLKGDDNKDARRFIDLIVATGGRPSAPGPDAPAAPALSERPEGMRAGVWRLLGGLARGQQPPERLLRHAGARCLRAARECM
+>sp|Q9NVZ3|NECP2_HUMAN Adaptin ear-binding coat-associated protein 2 OS=Homo sapiens OX=9606 GN=NECAP2 PE=1 SV=1
+MEESGYESVLCVKPDVHVYRIPPRATNRGYRAAEWQLDQPSWSGRLRITAKGQMAYIKLEDRTSGELFAQAPVDQFPGTAVESVTDSSRYFVIRIEDGNGRRAFIGIGFGDRGDAFDFNVALQDHFKWVKQQCEFAKQAQNPDQGPKLDLGFKEGQTIKLNIANMKKKEGAAGNPRVRPASTGGLSLLPPPPGGKTSTLIPPPGEQLAVGGSLVQPAVAPSSGGAPVPWPQPNPATADIWGDFTKSTGSTSSQTQPGTGWVQF
+>DECOY_sp|Q9NVZ3|NECP2_HUMAN Adaptin ear-binding coat-associated protein 2 OS=Homo sapiens OX=9606 GN=NECAP2 PE=1 SV=1
+FQVWGTGPQTQSSTSGTSKTFDGWIDATAPNPQPWPVPAGGSSPAVAPQVLSGGVALQEGPPPILTSTKGGPPPPLLSLGGTSAPRVRPNGAAGEKKKMNAINLKITQGEKFGLDLKPGQDPNQAQKAFECQQKVWKFHDQLAVNFDFADGRDGFGIGIFARRGNGDEIRIVFYRSSDTVSEVATGPFQDVPAQAFLEGSTRDELKIYAMQGKATIRLRGSWSPQDLQWEAARYGRNTARPPIRYVHVDPKVCLVSEYGSEEM
+>sp|P46934|NEDD4_HUMAN E3 ubiquitin-protein ligase NEDD4 OS=Homo sapiens OX=9606 GN=NEDD4 PE=1 SV=4
+MAQSLRLHFAARRSNTYPLSETSGDDLDSHVHMCFKRPTRISTSNVVQMKLTPRQTALAPLIKENVQSQERSSVPSSENVNKKSSCLQISLQPTRYSGYLQSSNVLADSDDASFTCILKDGIYSSAVVDNELNAVNDGHLVSSPAICSGSLSNFSTSDNGSYSSNGSDFGSCASITSGGSYTNSVISDSSSYTFPPSDDTFLGGNLPSDSTSNRSVPNRNTTPCEIFSRSTSTDPFVQDDLEHGLEIMKLPVSRNTKIPLKRYSSLVIFPRSPSTTRPTSPTSLCTLLSKGSYQTSHQFIISPSEIAHNEDGTSAKGFLSTAVNGLRLSKTICTPGEVRDIRPLHRKGSLQKKIVLSNNTPRQTVCEKSSEGYSCVSVHFTQRKAATLDCETTNGDCKPEMSEIKLNSDSEYIKLMHRTSACLPSSQNVDCQININGELERPHSQMNKNHGILRRSISLGGAYPNISCLSSLKHNCSKGGPSQLLIKFASGNEGKVDNLSRDSNRDCTNELSNSCKTRDDFLGQVDVPLYPLPTENPRLERPYTFKDFVLHPRSHKSRVKGYLRLKMTYLPKTSGSEDDNAEQAEELEPGWVVLDQPDAACHLQQQQEPSPLPPGWEERQDILGRTYYVNHESRRTQWKRPTPQDNLTDAENGNIQLQAQRAFTTRRQISEETESVDNRESSENWEIIREDEATMYSNQAFPSPPPSSNLDVPTHLAEELNARLTIFGNSAVSQPASSSNHSSRRGSLQAYTFEEQPTLPVLLPTSSGLPPGWEEKQDERGRSYYVDHNSRTTTWTKPTVQATVETSQLTSSQSSAGPQSQASTSDSGQQVTQPSEIEQGFLPKGWEVRHAPNGRPFFIDHNTKTTTWEDPRLKIPAHLRGKTSLDTSNDLGPLPPGWEERTHTDGRIFYINHNIKRTQWEDPRLENVAITGPAVPYSRDYKRKYEFFRRKLKKQNDIPNKFEMKLRRATVLEDSYRRIMGVKRADFLKARLWIEFDGEKGLDYGGVAREWFFLISKEMFNPYYGLFEYSATDNYTLQINPNSGLCNEDHLSYFKFIGRVAGMAVYHGKLLDGFFIRPFYKMMLHKPITLHDMESVDSEYYNSLRWILENDPTELDLRFIIDEELFGQTHQHELKNGGSEIVVTNKNKKEYIYLVIQWRFVNRIQKQMAAFKEGFFELIPQDLIKIFDENELELLMCGLGDVDVNDWREHTKYKNGYSANHQVIQWFWKAVLMMDSEKRIRLLQFVTGTSRVPMNGFAELYGSNGPQSFTVEQWGTPEKLPRAHTCFNRLDLPPYESFEELWDKLQMAIENTQGFDGVD
+>DECOY_sp|P46934|NEDD4_HUMAN E3 ubiquitin-protein ligase NEDD4 OS=Homo sapiens OX=9606 GN=NEDD4 PE=1 SV=4
+DVGDFGQTNEIAMQLKDWLEEFSEYPPLDLRNFCTHARPLKEPTGWQEVTFSQPGNSGYLEAFGNMPVRSTGTVFQLLRIRKESDMMLVAKWFWQIVQHNASYGNKYKTHERWDNVDVDGLGCMLLELENEDFIKILDQPILEFFGEKFAAMQKQIRNVFRWQIVLYIYEKKNKNTVVIESGGNKLEHQHTQGFLEEDIIFRLDLETPDNELIWRLSNYYESDVSEMDHLTIPKHLMMKYFPRIFFGDLLKGHYVAMGAVRGIFKFYSLHDENCLGSNPNIQLTYNDTASYEFLGYYPNFMEKSILFFWERAVGGYDLGKEGDFEIWLRAKLFDARKVGMIRRYSDELVTARRLKMEFKNPIDNQKKLKRRFFEYKRKYDRSYPVAPGTIAVNELRPDEWQTRKINHNIYFIRGDTHTREEWGPPLPGLDNSTDLSTKGRLHAPIKLRPDEWTTTKTNHDIFFPRGNPAHRVEWGKPLFGQEIESPQTVQQGSDSTSAQSQPGASSQSSTLQSTEVTAQVTPKTWTTTRSNHDVYYSRGREDQKEEWGPPLGSSTPLLVPLTPQEEFTYAQLSGRRSSHNSSSAPQSVASNGFITLRANLEEALHTPVDLNSSPPPSPFAQNSYMTAEDERIIEWNESSERNDVSETEESIQRRTTFARQAQLQINGNEADTLNDQPTPRKWQTRRSEHNVYYTRGLIDQREEWGPPLPSPEQQQQLHCAADPQDLVVWGPELEEAQEANDDESGSTKPLYTMKLRLYGKVRSKHSRPHLVFDKFTYPRELRPNETPLPYLPVDVQGLFDDRTKCSNSLENTCDRNSDRSLNDVKGENGSAFKILLQSPGGKSCNHKLSSLCSINPYAGGLSISRRLIGHNKNMQSHPRELEGNINIQCDVNQSSPLCASTRHMLKIYESDSNLKIESMEPKCDGNTTECDLTAAKRQTFHVSVCSYGESSKECVTQRPTNNSLVIKKQLSGKRHLPRIDRVEGPTCITKSLRLGNVATSLFGKASTGDENHAIESPSIIFQHSTQYSGKSLLTCLSTPSTPRTTSPSRPFIVLSSYRKLPIKTNRSVPLKMIELGHELDDQVFPDTSTSRSFIECPTTNRNPVSRNSTSDSPLNGGLFTDDSPPFTYSSSDSIVSNTYSGGSTISACSGFDSGNSSYSGNDSTSFNSLSGSCIAPSSVLHGDNVANLENDVVASSYIGDKLICTFSADDSDALVNSSQLYGSYRTPQLSIQLCSSKKNVNESSPVSSREQSQVNEKILPALATQRPTLKMQVVNSTSIRTPRKFCMHVHSDLDDGSTESLPYTNSRRAAFHLRLSQAM
+>sp|Q8TDX7|NEK7_HUMAN Serine/threonine-protein kinase Nek7 OS=Homo sapiens OX=9606 GN=NEK7 PE=1 SV=1
+MDEQSQGMQGPPVPQFQPQKALRPDMGYNTLANFRIEKKIGRGQFSEVYRAACLLDGVPVALKKVQIFDLMDAKARADCIKEIDLLKQLNHPNVIKYYASFIEDNELNIVLELADAGDLSRMIKHFKKQKRLIPERTVWKYFVQLCSALEHMHSRRVMHRDIKPANVFITATGVVKLGDLGLGRFFSSKTTAAHSLVGTPYYMSPERIHENGYNFKSDIWSLGCLLYEMAALQSPFYGDKMNLYSLCKKIEQCDYPPLPSDHYSEELRQLVNMCINPDPEKRPDVTYVYDVAKRMHACTASS
+>DECOY_sp|Q8TDX7|NEK7_HUMAN Serine/threonine-protein kinase Nek7 OS=Homo sapiens OX=9606 GN=NEK7 PE=1 SV=1
+SSATCAHMRKAVDYVYTVDPRKEPDPNICMNVLQRLEESYHDSPLPPYDCQEIKKCLSYLNMKDGYFPSQLAAMEYLLCGLSWIDSKFNYGNEHIREPSMYYPTGVLSHAATTKSSFFRGLGLDGLKVVGTATIFVNAPKIDRHMVRRSHMHELASCLQVFYKWVTREPILRKQKKFHKIMRSLDGADALELVINLENDEIFSAYYKIVNPHNLQKLLDIEKICDARAKADMLDFIQVKKLAVPVGDLLCAARYVESFQGRGIKKEIRFNALTNYGMDPRLAKQPQFQPVPPGQMGQSQEDM
+>sp|Q8WX92|NELFB_HUMAN Negative elongation factor B OS=Homo sapiens OX=9606 GN=NELFB PE=1 SV=1
+MFAGLQDLGVANGEDLKETLTNCTEPLKAIEQFQTENGVLLPSLQSALPFLDLHGTPRLEFHQSVFDELRDKLLERVSAIASEGKAEERYKKLEDLLEKSFSLVKMPSLQPVVMCVMKHLPKVPEKKLKLVMADKELYRACAVEVKRQIWQDNQALFGDEVSPLLKQYILEKESALFSTELSVLHNFFSPSPKTRRQGEVVQRLTRMVGKNVKLYDMVLQFLRTLFLRTRNVHYCTLRAELLMSLHDLDVGEICTVDPCHKFTWCLDACIRERFVDSKRARELQGFLDGVKKGQEQVLGDLSMILCDPFAINTLALSTVRHLQELVGQETLPRDSPDLLLLLRLLALGQGAWDMIDSQVFKEPKMEVELITRFLPMLMSFLVDDYTFNVDQKLPAEEKAPVSYPNTLPESFTKFLQEQRMACEVGLYYVLHITKQRNKNALLRLLPGLVETFGDLAFGDIFLHLLTGNLALLADEFALEDFCSSLFDGFFLTASPRKENVHRHALRLLIHLHPRVAPSKLEALQKALEPTGQSGEAVKELYSQLGEKLEQLDHRKPSPAQAAETPALELPLPSVPAPAPL
+>DECOY_sp|Q8WX92|NELFB_HUMAN Negative elongation factor B OS=Homo sapiens OX=9606 GN=NELFB PE=1 SV=1
+LPAPAPVSPLPLELAPTEAAQAPSPKRHDLQELKEGLQSYLEKVAEGSQGTPELAKQLAELKSPAVRPHLHILLRLAHRHVNEKRPSATLFFGDFLSSCFDELAFEDALLALNGTLLHLFIDGFALDGFTEVLGPLLRLLANKNRQKTIHLVYYLGVECAMRQEQLFKTFSEPLTNPYSVPAKEEAPLKQDVNFTYDDVLFSMLMPLFRTILEVEMKPEKFVQSDIMDWAGQGLALLRLLLLLDPSDRPLTEQGVLEQLHRVTSLALTNIAFPDCLIMSLDGLVQEQGKKVGDLFGQLERARKSDVFRERICADLCWTFKHCPDVTCIEGVDLDHLSMLLEARLTCYHVNRTRLFLTRLFQLVMDYLKVNKGVMRTLRQVVEGQRRTKPSPSFFNHLVSLETSFLASEKELIYQKLLPSVEDGFLAQNDQWIQRKVEVACARYLEKDAMVLKLKKEPVKPLHKMVCMVVPQLSPMKVLSFSKELLDELKKYREEAKGESAIASVRELLKDRLEDFVSQHFELRPTGHLDLFPLASQLSPLLVGNETQFQEIAKLPETCNTLTEKLDEGNAVGLDQLGAFM
+>sp|Q99435|NELL2_HUMAN Protein kinase C-binding protein NELL2 OS=Homo sapiens OX=9606 GN=NELL2 PE=1 SV=1
+MESRVLLRTFCLIFGLGAVWGLGVDPSLQIDVLTELELGESTTGVRQVPGLHNGTKAFLFQDTPRSIKASTATAEQFFQKLRNKHEFTILVTLKQTHLNSGVILSIHHLDHRYLELESSGHRNEVRLHYRSGSHRPHTEVFPYILADDKWHKLSLAISASHLILHIDCNKIYERVVEKPSTDLPLGTTFWLGQRNNAHGYFKGIMQDVQLLVMPQGFIAQCPDLNRTCPTCNDFHGLVQKIMELQDILAKTSAKLSRAEQRMNRLDQCYCERTCTMKGTTYREFESWIDGCKNCTCLNGTIQCETLICPNPDCPLKSALAYVDGKCCKECKSICQFQGRTYFEGERNTVYSSSGVCVLYECKDQTMKLVESSGCPALDCPESHQITLSHSCCKVCKGYDFCSERHNCMENSICRNLNDRAVCSCRDGFRALREDNAYCEDIDECAEGRHYCRENTMCVNTPGSFMCICKTGYIRIDDYSCTEHDECITNQHNCDENALCFNTVGGHNCVCKPGYTGNGTTCKAFCKDGCRNGGACIAANVCACPQGFTGPSCETDIDECSDGFVQCDSRANCINLPGWYHCECRDGYHDNGMFSPSGESCEDIDECGTGRHSCANDTICFNLDGGYDCRCPHGKNCTGDCIHDGKVKHNGQIWVLENDRCSVCSCQNGFVMCRRMVCDCENPTVDLFCCPECDPRLSSQCLHQNGETLYNSGDTWVQNCQQCRCLQGEVDCWPLPCPDVECEFSILPENECCPRCVTDPCQADTIRNDITKTCLDEMNVVRFTGSSWIKHGTECTLCQCKNGHICCSVDPQCLQEL
+>DECOY_sp|Q99435|NELL2_HUMAN Protein kinase C-binding protein NELL2 OS=Homo sapiens OX=9606 GN=NELL2 PE=1 SV=1
+LEQLCQPDVSCCIHGNKCQCLTCETGHKIWSSGTFRVVNMEDLCTKTIDNRITDAQCPDTVCRPCCENEPLISFECEVDPCPLPWCDVEGQLCRCQQCNQVWTDGSNYLTEGNQHLCQSSLRPDCEPCCFLDVTPNECDCVMRRCMVFGNQCSCVSCRDNELVWIQGNHKVKGDHICDGTCNKGHPCRCDYGGDLNFCITDNACSHRGTGCEDIDECSEGSPSFMGNDHYGDRCECHYWGPLNICNARSDCQVFGDSCEDIDTECSPGTFGQPCACVNAAICAGGNRCGDKCFAKCTTGNGTYGPKCVCNHGGVTNFCLANEDCNHQNTICEDHETCSYDDIRIYGTKCICMFSGPTNVCMTNERCYHRGEACEDIDECYANDERLARFGDRCSCVARDNLNRCISNEMCNHRESCFDYGKCVKCCSHSLTIQHSEPCDLAPCGSSEVLKMTQDKCEYLVCVGSSSYVTNREGEFYTRGQFQCISKCEKCCKGDVYALASKLPCDPNPCILTECQITGNLCTCNKCGDIWSEFERYTTGKMTCTRECYCQDLRNMRQEARSLKASTKALIDQLEMIKQVLGHFDNCTPCTRNLDPCQAIFGQPMVLLQVDQMIGKFYGHANNRQGLWFTTGLPLDTSPKEVVREYIKNCDIHLILHSASIALSLKHWKDDALIYPFVETHPRHSGSRYHLRVENRHGSSELELYRHDLHHISLIVGSNLHTQKLTVLITFEHKNRLKQFFQEATATSAKISRPTDQFLFAKTGNHLGPVQRVGTTSEGLELETLVDIQLSPDVGLGWVAGLGFILCFTRLLVRSEM
+>sp|Q9BR09|NEUL2_HUMAN Neuralized-like protein 2 OS=Homo sapiens OX=9606 GN=NEURL2 PE=2 SV=1
+MAAASEPVDSGALWGLERPEPPPTRFHRVHGANIRVDPSGTRATRVESFAHGVCFSREPLAPGQVFLVEIEEKELGWCGHLRLGLTALDPASLAPVPEFSLPDLVNLGHTWVFAITRHHNRVPREGRPEAEAAAPSRPPTLLVEPYLRIEQFRIPRDRLVGRSRPGLYSHLLDQLYELNVLPPTARRSRLGVLFCPRPDGTADMHIIINGEDMGPSARGLPAAQPLYAVVDVFASTKSVRLVQLEYGLPSLQTLCRLVIQRSMVHRLAIDGLHLPKELKDFCKYE
+>DECOY_sp|Q9BR09|NEUL2_HUMAN Neuralized-like protein 2 OS=Homo sapiens OX=9606 GN=NEURL2 PE=2 SV=1
+EYKCFDKLEKPLHLGDIALRHVMSRQIVLRCLTQLSPLGYELQVLRVSKTSAFVDVVAYLPQAAPLGRASPGMDEGNIIIHMDATGDPRPCFLVGLRSRRATPPLVNLEYLQDLLHSYLGPRSRGVLRDRPIRFQEIRLYPEVLLTPPRSPAAAEAEPRGERPVRNHHRTIAFVWTHGLNVLDPLSFEPVPALSAPDLATLGLRLHGCWGLEKEEIEVLFVQGPALPERSFCVGHAFSEVRTARTGSPDVRINAGHVRHFRTPPPEPRELGWLAGSDVPESAAAM
+>sp|Q96JN8|NEUL4_HUMAN Neuralized-like protein 4 OS=Homo sapiens OX=9606 GN=NEURL4 PE=1 SV=2
+MAAGSGGSGGSGGGPGPGPGGGGGPSGSGSGPGSNGGLGSGGELHPRTGRLVSLSACGRTARRQQPGQEFNHGLVLSREPLRDGRVFTVRIDRKVNSWSGSIEIGVTALDPSVLDFPSSATGLKGGSWVVSGCSVLRDGRSVLEEYGQDLDQLGEGDRVGVERTVAGELRLWVNGRDCGVAATGLPPRVWAVVDLYGKCTQITVLPPEPGFSPPTPIPTPPLEPLAPTEDSALAEQGTSADEAFMVSPAQARPETFPNSLESHNDFANMELSEVVSNTILSAYNGGLLNVNLSSPPAGEGLGSSGAATSPILTSNDALLFHEKCGTLIKLSNNNKTAERRRPLDEFNNGVVMTNRPLRDNEMFEIRIDKLVDKWSGSIEIGVTTHNPNSLEYPATMTNLQSGTIMMSGCGILTNGKGTRREYCEFSLDELQEGDHIGLTRKSNSALHFFINGIDQGVATPLTPPVVYGVVDLYGMAVKVTIVHNNNHSDRLRRNNAILRALSPEGALRRAAPAAQAEPERLLFHPNCGQKAAITHEGRTALRPHATDDFNHGVVLSSRALRDGEVFQVRIDKMVDKWAGSIEIGVTTHNPAYLQLPSTMTNLRSGTWMMTGNGVMHNGTTILDEYGHNLDRLKAGDTVGVVRREDGTLHFFVNGMTQGPAAWNVPPGVYAVVDLYGQAAQATIVDDVEVAPVPEPLPEGNNQVSPSSPSSGAGGSDLRFHQLHGSNAVITNGGRTALRHNCRSEFNDAIVISNRALRDGELFEIVIQKMVDRWSGSIEAGVTAIRPEDLEFPNTMTDIDYDTWMLSGTAIMQDGNTMRNNYGCDLDALGTGARIGMMRTAKGDLHYFINGQDQGAACSGLPPGKEVYAVVDLYGQCVQVSITNATGPMDNSLATSNTATEKSFPLHSPVAGVAHRFHSTCGKNVTLEEDGTRAVRAAGYAHGLVFSTKELRAEEVFEVKVEELDEKWAGSLRLGLTTLAPGEMGPGAGGGGPGLPPSLPELRTKTTWMVSSCEVRRDGQLQRMNYGRNLERLGVGSRVGVRRGADDTMHILVDGEDMGPAATGIAKNVWAVLDLYGPVRGVSIVSSTRLEESEGTQPPSPSSDTGSEGEEDDEGEEHGLGGQNEVGIIPTTLEFLENHGKNILLSNGNRTATRVASYNQGIVVINQPLVPQLLVQVRIDFLNRQWTSSLVLGVITCAPERLNFPASACALKRAAWLLRGRGVFHNGLKICEKFGPNLDTCPEGTILGLRLDSSGGLHLHVNGVDQGVAVPDVPQPCHALVDLYGQCEQVTIVNPEPGAASGKSAGTQGDMEKADMVDGIKESVCWGPPPAASPLKSCEYHALCSRFQELLLLPEDYFMPPPKRSLCYCESCRKLRGDEAHRRRGEPPREYALPFGWCRFNLRVNPRLEAGTLTKKWHMAYHGSNVAAVRRVLDRGELGAGTASILSCRPLKGEPGVGFEEPGENCAPPREEQPPPVLLSPSLQYAGAETLASKVQFRDPKSQRTHQAQVAFQVCVRPGSYTPGPPSAALGEPPDPHFSPAELEWVTKEKGATLLCALLVRVE
+>DECOY_sp|Q96JN8|NEUL4_HUMAN Neuralized-like protein 4 OS=Homo sapiens OX=9606 GN=NEURL4 PE=1 SV=2
+EVRVLLACLLTAGKEKTVWELEAPSFHPDPPEGLAASPPGPTYSGPRVCVQFAVQAQHTRQSKPDRFQVKSALTEAGAYQLSPSLLVPPPQEERPPACNEGPEEFGVGPEGKLPRCSLISATGAGLEGRDLVRRVAAVNSGHYAMHWKKTLTGAELRPNVRLNFRCWGFPLAYERPPEGRRRHAEDGRLKRCSECYCLSRKPPPMFYDEPLLLLEQFRSCLAHYECSKLPSAAPPPGWCVSEKIGDVMDAKEMDGQTGASKGSAAGPEPNVITVQECQGYLDVLAHCPQPVDPVAVGQDVGNVHLHLGGSSDLRLGLITGEPCTDLNPGFKECIKLGNHFVGRGRLLWAARKLACASAPFNLREPACTIVGLVLSSTWQRNLFDIRVQVLLQPVLPQNIVVIGQNYSAVRTATRNGNSLLINKGHNELFELTTPIIGVENQGGLGHEEGEDDEEGESGTDSSPSPPQTGESEELRTSSVISVGRVPGYLDLVAWVNKAIGTAAPGMDEGDVLIHMTDDAGRRVGVRSGVGLRELNRGYNMRQLQGDRRVECSSVMWTTKTRLEPLSPPLGPGGGGAGPGMEGPALTTLGLRLSGAWKEDLEEVKVEFVEEARLEKTSFVLGHAYGAARVARTGDEELTVNKGCTSHFRHAVGAVPSHLPFSKETATNSTALSNDMPGTANTISVQVCQGYLDVVAYVEKGPPLGSCAAGQDQGNIFYHLDGKATRMMGIRAGTGLADLDCGYNNRMTNGDQMIATGSLMWTDYDIDTMTNPFELDEPRIATVGAEISGSWRDVMKQIVIEFLEGDRLARNSIVIADNFESRCNHRLATRGGNTIVANSGHLQHFRLDSGGAGSSPSSPSVQNNGEPLPEPVPAVEVDDVITAQAAQGYLDVVAYVGPPVNWAAPGQTMGNVFFHLTGDERRVVGVTDGAKLRDLNHGYEDLITTGNHMVGNGTMMWTGSRLNTMTSPLQLYAPNHTTVGIEISGAWKDVMKDIRVQFVEGDRLARSSLVVGHNFDDTAHPRLATRGEHTIAAKQGCNPHFLLREPEAQAAPAARRLAGEPSLARLIANNRRLRDSHNNNHVITVKVAMGYLDVVGYVVPPTLPTAVGQDIGNIFFHLASNSKRTLGIHDGEQLEDLSFECYERRTGKGNTLIGCGSMMITGSQLNTMTAPYELSNPNHTTVGIEISGSWKDVLKDIRIEFMENDRLPRNTMVVGNNFEDLPRRREATKNNNSLKILTGCKEHFLLADNSTLIPSTAAGSSGLGEGAPPSSLNVNLLGGNYASLITNSVVESLEMNAFDNHSELSNPFTEPRAQAPSVMFAEDASTGQEALASDETPALPELPPTPIPTPPSFGPEPPLVTIQTCKGYLDVVAWVRPPLGTAAVGCDRGNVWLRLEGAVTREVGVRDGEGLQDLDQGYEELVSRGDRLVSCGSVVWSGGKLGTASSPFDLVSPDLATVGIEISGSWSNVKRDIRVTFVRGDRLPERSLVLGHNFEQGPQQRRATRGCASLSVLRGTRPHLEGGSGLGGNSGPGSGSGSPGGGGGPGPGPGGGSGGSGGSGAAM
+>sp|Q9BYT8|NEUL_HUMAN Neurolysin, mitochondrial OS=Homo sapiens OX=9606 GN=NLN PE=1 SV=1
+MIARCLLAVRSLRRVGGSRILLRMTLGREVMSPLQAMSSYTVAGRNVLRWDLSPEQIKTRTEELIVQTKQVYDAVGMLGIEEVTYENCLQALADVEVKYIVERTMLDFPQHVSSDKEVRAASTEADKRLSRFDIEMSMRGDIFERIVHLQETCDLGKIKPEARRYLEKSIKMGKRNGLHLPEQVQNEIKSMKKRMSELCIDFNKNLNEDDTFLVFSKAELGALPDDFIDSLEKTDDDKYKITLKYPHYFPVMKKCCIPETRRRMEMAFNTRCKEENTIILQQLLPLRTKVAKLLGYSTHADFVLEMNTAKSTSRVTAFLDDLSQKLKPLGEAEREFILNLKKKECKDRGFEYDGKINAWDLYYYMTQTEELKYSIDQEFLKEYFPIEVVTEGLLNTYQELLGLSFEQMTDAHVWNKSVTLYTVKDKATGEVLGQFYLDLYPREGKYNHAACFGLQPGCLLPDGSRMMAVAALVVNFSQPVAGRPSLLRHDEVRTYFHEFGHVMHQICAQTDFARFSGTNVETDFVEVPSQMLENWVWDVDSLRRLSKHYKDGSPIADDLLEKLVASRLVNTGLLTLRQIVLSKVDQSLHTNTSLDAASEYAKYCSEILGVAATPGTNMPATFGHLAGGYDGQYYGYLWSEVFSMDMFYSCFKKEGIMNPEVGMKYRNLILKPGGSLDGMDMLHNFLKREPNQKAFLMSRGLHAP
+>DECOY_sp|Q9BYT8|NEUL_HUMAN Neurolysin, mitochondrial OS=Homo sapiens OX=9606 GN=NLN PE=1 SV=1
+PAHLGRSMLFAKQNPERKLFNHLMDMGDLSGGPKLILNRYKMGVEPNMIGEKKFCSYFMDMSFVESWLYGYYQGDYGGALHGFTAPMNTGPTAAVGLIESCYKAYESAADLSTNTHLSQDVKSLVIQRLTLLGTNVLRSAVLKELLDDAIPSGDKYHKSLRRLSDVDWVWNELMQSPVEVFDTEVNTGSFRAFDTQACIQHMVHGFEHFYTRVEDHRLLSPRGAVPQSFNVVLAAVAMMRSGDPLLCGPQLGFCAAHNYKGERPYLDLYFQGLVEGTAKDKVTYLTVSKNWVHADTMQEFSLGLLEQYTNLLGETVVEIPFYEKLFEQDISYKLEETQTMYYYLDWANIKGDYEFGRDKCEKKKLNLIFEREAEGLPKLKQSLDDLFATVRSTSKATNMELVFDAHTSYGLLKAVKTRLPLLQQLIITNEEKCRTNFAMEMRRRTEPICCKKMVPFYHPYKLTIKYKDDDTKELSDIFDDPLAGLEAKSFVLFTDDENLNKNFDICLESMRKKMSKIENQVQEPLHLGNRKGMKISKELYRRAEPKIKGLDCTEQLHVIREFIDGRMSMEIDFRSLRKDAETSAARVEKDSSVHQPFDLMTREVIYKVEVDALAQLCNEYTVEEIGLMGVADYVQKTQVILEETRTKIQEPSLDWRLVNRGAVTYSSMAQLPSMVERGLTMRLLIRSGGVRRLSRVALLCRAIM
+>sp|Q9Y3R4|NEUR2_HUMAN Sialidase-2 OS=Homo sapiens OX=9606 GN=NEU2 PE=1 SV=2
+MASLPVLQKESVFQSGAHAYRIPALLYLPGQQSLLAFAEQRASKKDEHAELIVLRRGDYDAPTHQVQWQAQEVVAQARLDGHRSMNPCPLYDAQTGTLFLFFIAIPGQVTEQQQLQTRANVTRLCQVTSTDHGRTWSSPRDLTDAAIGPAYREWSTFAVGPGHCLQLHDRARSLVVPAYAYRKLHPIQRPIPSAFCFLSHDHGRTWARGHFVAQDTLECQVAEVETGEQRVVTLNARSHLRARVQAQSTNDGLDFQESQLVKKLVEPPPQGCQGSVISFPSPRSGPGSPAQWLLYTHPTHSWQRADLGAYLNPRPPAPEAWSEPVLLAKGSCAYSDLQSMGTGPDGSPLFGCLYEANDYEEIVFLMFTLKQAFPAEYLPQ
+>DECOY_sp|Q9Y3R4|NEUR2_HUMAN Sialidase-2 OS=Homo sapiens OX=9606 GN=NEU2 PE=1 SV=2
+QPLYEAPFAQKLTFMLFVIEEYDNAEYLCGFLPSGDPGTGMSQLDSYACSGKALLVPESWAEPAPPRPNLYAGLDARQWSHTPHTYLLWQAPSGPGSRPSPFSIVSGQCGQPPPEVLKKVLQSEQFDLGDNTSQAQVRARLHSRANLTVVRQEGTEVEAVQCELTDQAVFHGRAWTRGHDHSLFCFASPIPRQIPHLKRYAYAPVVLSRARDHLQLCHGPGVAFTSWERYAPGIAADTLDRPSSWTRGHDTSTVQCLRTVNARTQLQQQETVQGPIAIFFLFLTGTQADYLPCPNMSRHGDLRAQAVVEQAQWQVQHTPADYDGRRLVILEAHEDKKSARQEAFALLSQQGPLYLLAPIRYAHAGSQFVSEKQLVPLSAM
+>sp|P80188|NGAL_HUMAN Neutrophil gelatinase-associated lipocalin OS=Homo sapiens OX=9606 GN=LCN2 PE=1 SV=2
+MPLGLLWLGLALLGALHAQAQDSTSDLIPAPPLSKVPLQQNFQDNQFQGKWYVVGLAGNAILREDKDPQKMYATIYELKEDKSYNVTSVLFRKKKCDYWIRTFVPGCQPGEFTLGNIKSYPGLTSYLVRVVSTNYNQHAMVFFKKVSQNREYFKITLYGRTKELTSELKENFIRFSKSLGLPENHIVFPVPIDQCIDG
+>DECOY_sp|P80188|NGAL_HUMAN Neutrophil gelatinase-associated lipocalin OS=Homo sapiens OX=9606 GN=LCN2 PE=1 SV=2
+GDICQDIPVPFVIHNEPLGLSKSFRIFNEKLESTLEKTRGYLTIKFYERNQSVKKFFVMAHQNYNTSVVRVLYSTLGPYSKINGLTFEGPQCGPVFTRIWYDCKKKRFLVSTVNYSKDEKLEYITAYMKQPDKDERLIANGALGVVYWKGQFQNDQFNQQLPVKSLPPAPILDSTSDQAQAHLAGLLALGLWLLGLPM
+>sp|Q9NPG2|NGB_HUMAN Neuroglobin OS=Homo sapiens OX=9606 GN=NGB PE=1 SV=1
+MERPEPELIRQSWRAVSRSPLEHGTVLFARLFALEPDLLPLFQYNCRQFSSPEDCLSSPEFLDHIRKVMLVIDAAVTNVEDLSSLEEYLASLGRKHRAVGVKLSSFSTVGESLLYMLEKCLGPAFTPATRAAWSQLYGAVVQAMSRGWDGE
+>DECOY_sp|Q9NPG2|NGB_HUMAN Neuroglobin OS=Homo sapiens OX=9606 GN=NGB PE=1 SV=1
+EGDWGRSMAQVVAGYLQSWAARTAPTFAPGLCKELMYLLSEGVTSFSSLKVGVARHKRGLSALYEELSSLDEVNTVAADIVLMVKRIHDLFEPSSLCDEPSSFQRCNYQFLPLLDPELAFLRAFLVTGHELPSRSVARWSQRILEPEPREM
+>sp|Q9NPE2|NGRN_HUMAN Neugrin OS=Homo sapiens OX=9606 GN=NGRN PE=1 SV=2
+MAVTLSLLLGGRVCAAVTRCGFATRGVAGPGPIGREPDPDSDWEPEERELQEVESTLKRQKQAIRFQKIRRQMEAPGAPPRTLTWEAMEQIRYLHEEFPESWSVPRLAEGFDVSTDVIRRVLKSKFLPTLEQKLKQDQKVLKKAGLAHSLQHLRGSGNTSKLLPAGHSVSGSLLMPGHEASSKDPNHSTALKVIESDTHRTNTPRRRKGRNKEIQDLEESFVPVAAPLGHPRELQKYSSDSESPRGTGSGALPSGQKLEELKAEEPDNFSSKVVQRGREFFDSNGNFLYRI
+>DECOY_sp|Q9NPE2|NGRN_HUMAN Neugrin OS=Homo sapiens OX=9606 GN=NGRN PE=1 SV=2
+IRYLFNGNSDFFERGRQVVKSSFNDPEEAKLEELKQGSPLAGSGTGRPSESDSSYKQLERPHGLPAAVPVFSEELDQIEKNRGKRRRPTNTRHTDSEIVKLATSHNPDKSSAEHGPMLLSGSVSHGAPLLKSTNGSGRLHQLSHALGAKKLVKQDQKLKQELTPLFKSKLVRRIVDTSVDFGEALRPVSWSEPFEEHLYRIQEMAEWTLTRPPAGPAEMQRRIKQFRIAQKQRKLTSEVEQLEREEPEWDSDPDPERGIPGPGAVGRTAFGCRTVAACVRGGLLLSLTVAM
+>sp|Q8NBF2|NHLC2_HUMAN NHL repeat-containing protein 2 OS=Homo sapiens OX=9606 GN=NHLRC2 PE=1 SV=1
+MAAPGGRGRSLSGLLPAQTSLEYALLDAVTQQEKDSLVYQYLQKVDGWEQDLSVPEFPEGLEWLNTEEPISVYKDLCGKIVVLDFFTYCCINCIHLLPDLHALEHTYSDKDGLLIIGVHSAKFPNEKVLDNIKSAVLRYNITHPMVNDADASLWQELEVSCWPTLVILGPRGNMLFSLIGEGHKDKLFLYTSIALKYYKDRGQIRDNKIGIKLYKDSLPPSPLLFPGKVTVDQVTDRLVIADTGHHRILVVWKNGQIQYSIGGPNPGRKDGIFSESTFNSPQGVAIMNNIIYVADTENHLIRKIDLEAEKVSTVAGIGIQGTDKEGGAKGEQQPISSPWDVVFGTSGSEVQRGDILWIAMAGTHQIWALLLDSGKLPKKNELTKGTCLRFAGSGNEENRNNAYPHKAGFAQPSGLSLASEDPWSCLFVADSESSTVRTVSLKDGAVKHLVGGERDPMNLFAFGDVDGVGINAKLQHPLGVTWDKKRNLLYVADSYNHKIKVVDPKTKNCTTLAGTGDTNNVTSSSFTESTFNEPGGLCIGENGELLYVADTNNHQIKVMDLETKMVSVLPIFRSENAVVDGPFLVEKQKTLPKLPKSAPSIRLSPVTACAGQTLQFKLRLDLPSGSKLTEGVSSCWFLTAEGNEWLLQGQIAAGDIENISSQPTISLQIPDDCLSLEAIVSVSVFLYYCSADSSACMMKAILFSQPLQITDTQQGCIAPVELRYVF
+>DECOY_sp|Q8NBF2|NHLC2_HUMAN NHL repeat-containing protein 2 OS=Homo sapiens OX=9606 GN=NHLRC2 PE=1 SV=1
+FVYRLEVPAICGQQTDTIQLPQSFLIAKMMCASSDASCYYLFVSVSVIAELSLCDDPIQLSITPQSSINEIDGAAIQGQLLWENGEATLFWCSSVGETLKSGSPLDLRLKFQLTQGACATVPSLRISPASKPLKPLTKQKEVLFPGDVVANESRFIPLVSVMKTELDMVKIQHNNTDAVYLLEGNEGICLGGPENFTSETFSSSTVNNTDGTGALTTCNKTKPDVVKIKHNYSDAVYLLNRKKDWTVGLPHQLKANIGVGDVDGFAFLNMPDREGGVLHKVAGDKLSVTRVTSSESDAVFLCSWPDESALSLGSPQAFGAKHPYANNRNEENGSGAFRLCTGKTLENKKPLKGSDLLLAWIQHTGAMAIWLIDGRQVESGSTGFVVDWPSSIPQQEGKAGGEKDTGQIGIGAVTSVKEAELDIKRILHNETDAVYIINNMIAVGQPSNFTSESFIGDKRGPNPGGISYQIQGNKWVVLIRHHGTDAIVLRDTVQDVTVKGPFLLPSPPLSDKYLKIGIKNDRIQGRDKYYKLAISTYLFLKDKHGEGILSFLMNGRPGLIVLTPWCSVELEQWLSADADNVMPHTINYRLVASKINDLVKENPFKASHVGIILLGDKDSYTHELAHLDPLLHICNICCYTFFDLVVIKGCLDKYVSIPEETNLWELGEPFEPVSLDQEWGDVKQLYQYVLSDKEQQTVADLLAYELSTQAPLLGSLSRGRGGPAAM
+>sp|P48645|NMU_HUMAN Neuromedin-U OS=Homo sapiens OX=9606 GN=NMU PE=1 SV=1
+MLRTESCRPRSPAGQVAAASPLLLLLLLLAWCAGACRGAPILPQGLQPEQQLQLWNEIDDTCSSFLSIDSQPQASNALEELCFMIMGMLPKPQEQDEKDNTKRFLFHYSKTQKLGKSNVVSSVVHPLLQLVPHLHERRMKRFRVDEEFQSPFASQSRGYFLFRPRNGRRSAGFI
+>DECOY_sp|P48645|NMU_HUMAN Neuromedin-U OS=Homo sapiens OX=9606 GN=NMU PE=1 SV=1
+IFGASRRGNRPRFLFYGRSQSAFPSQFEEDVRFRKMRREHLHPVLQLLPHVVSSVVNSKGLKQTKSYHFLFRKTNDKEDQEQPKPLMGMIMFCLEELANSAQPQSDISLFSSCTDDIENWLQLQQEPQLGQPLIPAGRCAGACWALLLLLLLLPSAAAVQGAPSRPRCSETRLM
+>sp|Q16517|NNAT_HUMAN Neuronatin OS=Homo sapiens OX=9606 GN=NNAT PE=2 SV=1
+MAAVAAASAELLIIGWYIFRVLLQVFLECCIYWVGFAFRNPPGTQPIARSEVFRYSLQKLAYTVSRTGRQVLGERRQRAPN
+>DECOY_sp|Q16517|NNAT_HUMAN Neuronatin OS=Homo sapiens OX=9606 GN=NNAT PE=2 SV=1
+NPARQRREGLVQRGTRSVTYALKQLSYRFVESRAIPQTGPPNRFAFGVWYICCELFVQLLVRFIYWGIILLEASAAAVAAM
+>sp|P40261|NNMT_HUMAN Nicotinamide N-methyltransferase OS=Homo sapiens OX=9606 GN=NNMT PE=1 SV=1
+MESGFTSKDTYLSHFNPRDYLEKYYKFGSRHSAESQILKHLLKNLFKIFCLDGVKGDLLIDIGSGPTIYQLLSACESFKEIVVTDYSDQNLQELEKWLKKEPEAFDWSPVVTYVCDLEGNRVKGPEKEEKLRQAVKQVLKCDVTQSQPLGAVPLPPADCVLSTLCLDAACPDLPTYCRALRNLGSLLKPGGFLVIMDALKSSYYMIGEQKFSSLPLGREAVEAAVKEAGYTIEWFEVISQSYSSTMANNEGLFSLVARKLSRPL
+>DECOY_sp|P40261|NNMT_HUMAN Nicotinamide N-methyltransferase OS=Homo sapiens OX=9606 GN=NNMT PE=1 SV=1
+LPRSLKRAVLSFLGENNAMTSSYSQSIVEFWEITYGAEKVAAEVAERGLPLSSFKQEGIMYYSSKLADMIVLFGGPKLLSGLNRLARCYTPLDPCAADLCLTSLVCDAPPLPVAGLPQSQTVDCKLVQKVAQRLKEEKEPGKVRNGELDCVYTVVPSWDFAEPEKKLWKELEQLNQDSYDTVVIEKFSECASLLQYITPGSGIDILLDGKVGDLCFIKFLNKLLHKLIQSEASHRSGFKYYKELYDRPNFHSLYTDKSTFGSEM
+>sp|Q13423|NNTM_HUMAN NAD(P) transhydrogenase, mitochondrial OS=Homo sapiens OX=9606 GN=NNT PE=1 SV=3
+MANLLKTVVTGCSCPLLSNLGSCKGLRVKKDFLRTFYTHQELWCKAPVKPGIPYKQLTVGVPKEIFQNEKRVALSPAGVQNLVKQGFNVVVESGAGEASKFSDDHYRVAGAQIQGAKEVLASDLVVKVRAPMVNPTLGVHEADLLKTSGTLISFIYPAQNPELLNKLSQRKTTVLAMDQVPRVTIAQGYDALSSMANIAGYKAVVLAANHFGRFFTGQITAAGKVPPAKILIVGGGVAGLASAGAAKSMGAIVRGFDTRAAALEQFKSLGAEPLEVDLKESGEGQGGYAKEMSKEFIEAEMKLFAQQCKEVDILISTALIPGKKAPVLFNKEMIESMKEGSVVVDLAAEAGGNFETTKPGELYIHKGITHIGYTDLPSRMATQASTLYSNNITKLLKAISPDKDNFYFDVKDDFDFGTMGHVIRGTVVMKDGKVIFPAPTPKNIPQGAPVKQKTVAELEAEKAATITPFRKTMSTASAYTAGLTGILGLGIAAPNLAFSQMVTTFGLAGIVGYHTVWGVTPALHSPLMSVTNAISGLTAVGGLALMGGHLYPSTTSQGLAALAAFISSVNIAGGFLVTQRMLDMFKRPTDPPEYNYLYLLPAGTFVGGYLAALYSGYNIEQIMYLGSGLCCVGALAGLSTQGTARLGNALGMIGVAGGLAATLGVLKPGPELLAQMSGAMALGGTIGLTIAKRIQISDLPQLVAAFHSLVGLAAVLTCIAEYIIEYPHFATDAAANLTKIVAYLGTYIGGVTFSGSLIAYGKLQGLLKSAPLLLPGRHLLNAGLLAASVGGIIPFMVDPSFTTGITCLGSVSALSAVMGVTLTAAIGGADMPVVITVLNSYSGWALCAEGFLLNNNLLTIVGALIGSSGAILSYIMCVAMNRSLANVILGGYGTTSTAGGKPMEISGTHTEINLDNAIDMIREANSIIITPGYGLCAAKAQYPIADLVKMLTEQGKKVRFGIHPVAGRMPGQLNVLLAEAGVPYDIVLEMDEINHDFPDTDLVLVIGANDTVNSAAQEDPNSIIAGMPVLEVWKSKQVIVMKRSLGVGYAAVDNPIFYKPNTAMLLGDAKKTCDALQAKVRESYQK
+>DECOY_sp|Q13423|NNTM_HUMAN NAD(P) transhydrogenase, mitochondrial OS=Homo sapiens OX=9606 GN=NNT PE=1 SV=3
+KQYSERVKAQLADCTKKADGLLMATNPKYFIPNDVAAYGVGLSRKMVIVQKSKWVELVPMGAIISNPDEQAASNVTDNAGIVLVLDTDPFDHNIEDMELVIDYPVGAEALLVNLQGPMRGAVPHIGFRVKKGQETLMKVLDAIPYQAKAACLGYGPTIIISNAERIMDIANDLNIETHTGSIEMPKGGATSTTGYGGLIVNALSRNMAVCMIYSLIAGSSGILAGVITLLNNNLLFGEACLAWGSYSNLVTIVVPMDAGGIAATLTVGMVASLASVSGLCTIGTTFSPDVMFPIIGGVSAALLGANLLHRGPLLLPASKLLGQLKGYAILSGSFTVGGIYTGLYAVIKTLNAAADTAFHPYEIIYEAICTLVAALGVLSHFAAVLQPLDSIQIRKAITLGITGGLAMAGSMQALLEPGPKLVGLTAALGGAVGIMGLANGLRATGQTSLGALAGVCCLGSGLYMIQEINYGSYLAALYGGVFTGAPLLYLYNYEPPDTPRKFMDLMRQTVLFGGAINVSSIFAALAALGQSTTSPYLHGGMLALGGVATLGSIANTVSMLPSHLAPTVGWVTHYGVIGALGFTTVMQSFALNPAAIGLGLIGTLGATYASATSMTKRFPTITAAKEAELEAVTKQKVPAGQPINKPTPAPFIVKGDKMVVTGRIVHGMTGFDFDDKVDFYFNDKDPSIAKLLKTINNSYLTSAQTAMRSPLDTYGIHTIGKHIYLEGPKTTEFNGGAEAALDVVVSGEKMSEIMEKNFLVPAKKGPILATSILIDVEKCQQAFLKMEAEIFEKSMEKAYGGQGEGSEKLDVELPEAGLSKFQELAAARTDFGRVIAGMSKAAGASALGAVGGGVILIKAPPVKGAATIQGTFFRGFHNAALVVAKYGAINAMSSLADYGQAITVRPVQDMALVTTKRQSLKNLLEPNQAPYIFSILTGSTKLLDAEHVGLTPNVMPARVKVVLDSALVEKAGQIQAGAVRYHDDSFKSAEGAGSEVVVNFGQKVLNQVGAPSLAVRKENQFIEKPVGVTLQKYPIGPKVPAKCWLEQHTYFTRLFDKKVRLGKCSGLNSLLPCSCGTVVTKLLNAM
+>sp|Q9NP64|NO40_HUMAN Nucleolar protein of 40 kDa OS=Homo sapiens OX=9606 GN=ZCCHC17 PE=1 SV=1
+MNSGRPETMENLPALYTIFQGEVAMVTDYGAFIKIPGCRKQGLVHRTHMSSCRVDKPSEIVDVGDKVWVKLIGREMKNDRIKVSLSMKVVNQGTGKDLDPNNVIIEQEERRRRSFQDYTGQKITLEAVLNTTCKKCGCKGHFAKDCFMQPGGTKYSLIPDEEEEKEEAKSAEFEKPDPTRNPSRKRKKEKKKKKHRDRKSSDSDSSDSESDTGKRARHTSKDSKAAKKKKKKKKHKKKHKE
+>DECOY_sp|Q9NP64|NO40_HUMAN Nucleolar protein of 40 kDa OS=Homo sapiens OX=9606 GN=ZCCHC17 PE=1 SV=1
+EKHKKKHKKKKKKKKAAKSDKSTHRARKGTDSESDSSDSDSSKRDRHKKKKKEKKRKRSPNRTPDPKEFEASKAEEKEEEEDPILSYKTGGPQMFCDKAFHGKCGCKKCTTNLVAELTIKQGTYDQFSRRRREEQEIIVNNPDLDKGTGQNVVKMSLSVKIRDNKMERGILKVWVKDGVDVIESPKDVRCSSMHTRHVLGQKRCGPIKIFAGYDTVMAVEGQFITYLAPLNEMTEPRGSNM
+>sp|P51843|NR0B1_HUMAN Nuclear receptor subfamily 0 group B member 1 OS=Homo sapiens OX=9606 GN=NR0B1 PE=1 SV=2
+MAGENHQWQGSILYNMLMSAKQTRAAPEAPETRLVDQCWGCSCGDEPGVGREGLLGGRNVALLYRCCFCGKDHPRQGSILYSMLTSAKQTYAAPKAPEATLGPCWGCSCGSDPGVGRAGLPGGRPVALLYRCCFCGEDHPRQGSILYSLLTSSKQTHVAPAAPEARPGGAWWDRSYFAQRPGGKEALPGGRATALLYRCCFCGEDHPQQGSTLYCVPTSTNQAQAAPEERPRAPWWDTSSGALRPVALKSPQVVCEAASAGLLKTLRFVKYLPCFQVLPLDQQLVLVRNCWASLLMLELAQDRLQFETVEVSEPSMLQKILTTRRRETGGNEPLPVPTLQHHLAPPAEARKVPSASQVQAIKCFLSKCWSLNISTKEYAYLKGTVLFNPDVPGLQCVKYIQGLQWGTQQILSEHTRMTHQGPHDRFIELNSTLFLLRFINANVIAELFFRPIIGTVSMDDMMLEMLCTKI
+>DECOY_sp|P51843|NR0B1_HUMAN Nuclear receptor subfamily 0 group B member 1 OS=Homo sapiens OX=9606 GN=NR0B1 PE=1 SV=2
+IKTCLMELMMDDMSVTGIIPRFFLEAIVNANIFRLLFLTSNLEIFRDHPGQHTMRTHESLIQQTGWQLGQIYKVCQLGPVDPNFLVTGKLYAYEKTSINLSWCKSLFCKIAQVQSASPVKRAEAPPALHHQLTPVPLPENGGTERRRTTLIKQLMSPESVEVTEFQLRDQALELMLLSAWCNRVLVLQQDLPLVQFCPLYKVFRLTKLLGASAAECVVQPSKLAVPRLAGSSTDWWPARPREEPAAQAQNTSTPVCYLTSGQQPHDEGCFCCRYLLATARGGPLAEKGGPRQAFYSRDWWAGGPRAEPAAPAVHTQKSSTLLSYLISGQRPHDEGCFCCRYLLAVPRGGPLGARGVGPDSGCSCGWCPGLTAEPAKPAAYTQKASTLMSYLISGQRPHDKGCFCCRYLLAVNRGGLLGERGVGPEDGCSCGWCQDVLRTEPAEPAARTQKASMLMNYLISGQWQHNEGAM
+>sp|P13056|NR2C1_HUMAN Nuclear receptor subfamily 2 group C member 1 OS=Homo sapiens OX=9606 GN=NR2C1 PE=1 SV=2
+MATIEEIAHQIIEQQMGEIVTEQQTGQKIQIVTALDHNTQGKQFILTNHDGSTPSKVILARQDSTPGKVFLTTPDAAGVNQLFFTTPDLSAQHLQLLTDNSPDQGPNKVFDLCVVCGDKASGRHYGAVTCEGCKGFFKRSIRKNLVYSCRGSKDCIINKHHRNRCQYCRLQRCIAFGMKQDSVQCERKPIEVSREKSSNCAASTEKIYIRKDLRSPLTATPTFVTDSESTRSTGLLDSGMFMNIHPSGVKTESAVLMTSDKAESCQGDLSTLANVVTSLANLGKTKDLSQNSNEMSMIESLSNDDTSLCEFQEMQTNGDVSRAFDTLAKALNPGESTACQSSVAGMEGSVHLITGDSSINYTEKEGPLLSDSHVAFRLTMPSPMPEYLNVHYIGESASRLLFLSMHWALSIPSFQALGQENSISLVKAYWNELFTLGLAQCWQVMNVATILATFVNCLHNSLQQDKMSTERRKLLMEHIFKLQEFCNSMVKLCIDGYEYAYLKAIVLFSPDHPSLENMEQIEKFQEKAYVEFQDYITKTYPDDTYRLSRLLLRLPALRLMNATITEELFFKGLIGNIRIDSVIPHILKMEPADYNSQIIGHSI
+>DECOY_sp|P13056|NR2C1_HUMAN Nuclear receptor subfamily 2 group C member 1 OS=Homo sapiens OX=9606 GN=NR2C1 PE=1 SV=2
+ISHGIIQSNYDAPEMKLIHPIVSDIRINGILGKFFLEETITANMLRLAPLRLLLRSLRYTDDPYTKTIYDQFEVYAKEQFKEIQEMNELSPHDPSFLVIAKLYAYEYGDICLKVMSNCFEQLKFIHEMLLKRRETSMKDQQLSNHLCNVFTALITAVNMVQWCQALGLTFLENWYAKVLSISNEQGLAQFSPISLAWHMSLFLLRSASEGIYHVNLYEPMPSPMTLRFAVHSDSLLPGEKETYNISSDGTILHVSGEMGAVSSQCATSEGPNLAKALTDFARSVDGNTQMEQFECLSTDDNSLSEIMSMENSNQSLDKTKGLNALSTVVNALTSLDGQCSEAKDSTMLVASETKVGSPHINMFMGSDLLGTSRTSESDTVFTPTATLPSRLDKRIYIKETSAACNSSKERSVEIPKRECQVSDQKMGFAICRQLRCYQCRNRHHKNIICDKSGRCSYVLNKRISRKFFGKCGECTVAGYHRGSAKDGCVVCLDFVKNPGQDPSNDTLLQLHQASLDPTTFFLQNVGAADPTTLFVKGPTSDQRALIVKSPTSGDHNTLIFQKGQTNHDLATVIQIKQGTQQETVIEGMQQEIIQHAIEEITAM
+>sp|Q02297|NRG1_HUMAN Pro-neuregulin-1, membrane-bound isoform OS=Homo sapiens OX=9606 GN=NRG1 PE=1 SV=3
+MSERKEGRGKGKGKKKERGSGKKPESAAGSQSPALPPRLKEMKSQESAAGSKLVLRCETSSEYSSLRFKWFKNGNELNRKNKPQNIKIQKKPGKSELRINKASLADSGEYMCKVISKLGNDSASANITIVESNEIITGMPASTEGAYVSSESPIRISVSTEGANTSSSTSTSTTGTSHLVKCAEKEKTFCVNGGECFMVKDLSNPSRYLCKCQPGFTGARCTENVPMKVQNQEKAEELYQKRVLTITGICIALLVVGIMCVVAYCKTKKQRKKLHDRLRQSLRSERNNMMNIANGPHHPNPPPENVQLVNQYVSKNVISSEHIVEREAETSFSTSHYTSTAHHSTTVTQTPSHSWSNGHTESILSESHSVIVMSSVENSRHSSPTGGPRGRLNGTGGPRECNSFLRHARETPDSYRDSPHSERYVSAMTTPARMSPVDFHTPSSPKSPPSEMSPPVSSMTVSMPSMAVSPFMEEERPLLLVTPPRLREKKFDHHPQQFSSFHHNPAHDSNSLPASPLRIVEDEEYETTQEYEPAQEPVKKLANSRRAKRTKPNGHIANRLEVDSNTSSQSSNSESETEDERVGEDTPFLGIQNPLAASLEATPAFRLADSRTNPAGRFSTQEEIQARLSSVIANQDPIAV
+>DECOY_sp|Q02297|NRG1_HUMAN Pro-neuregulin-1, membrane-bound isoform OS=Homo sapiens OX=9606 GN=NRG1 PE=1 SV=3
+VAIPDQNAIVSSLRAQIEEQTSFRGAPNTRSDALRFAPTAELSAALPNQIGLFPTDEGVREDETESESNSSQSSTNSDVELRNAIHGNPKTRKARRSNALKKVPEQAPEYEQTTEYEEDEVIRLPSAPLSNSDHAPNHHFSSFQQPHHDFKKERLRPPTVLLLPREEEMFPSVAMSPMSVTMSSVPPSMESPPSKPSSPTHFDVPSMRAPTTMASVYRESHPSDRYSDPTERAHRLFSNCERPGGTGNLRGRPGGTPSSHRSNEVSSMVIVSHSESLISETHGNSWSHSPTQTVTTSHHATSTYHSTSFSTEAEREVIHESSIVNKSVYQNVLQVNEPPPNPHHPGNAINMMNNRESRLSQRLRDHLKKRQKKTKCYAVVCMIGVVLLAICIGTITLVRKQYLEEAKEQNQVKMPVNETCRAGTFGPQCKCLYRSPNSLDKVMFCEGGNVCFTKEKEACKVLHSTGTTSTSTSSSTNAGETSVSIRIPSESSVYAGETSAPMGTIIENSEVITINASASDNGLKSIVKCMYEGSDALSAKNIRLESKGPKKQIKINQPKNKRNLENGNKFWKFRLSSYESSTECRLVLKSGAASEQSKMEKLRPPLAPSQSGAASEPKKGSGREKKKGKGKGRGEKRESM
+>sp|Q9GZP1|NRSN2_HUMAN Neurensin-2 OS=Homo sapiens OX=9606 GN=NRSN2 PE=2 SV=1
+MMPSCNRSCSCSRGPSVEDGKWYGVRSYLHLFYEDCAGTALSDDPEGPPVLCPRRPWPSLCWKISLSSGTLLLLLGVAALTTGYAVPPKLEGIGEGEFLVLDQRAADYNQALGTCRLAGTALCVAAGVLLAICLFWAMIGWLSQDTKAEPLDPEADSHVEVFGDEPEQQLSPIFRNASGQSWFSPPASPFGQSSVQTIQPKRDS
+>DECOY_sp|Q9GZP1|NRSN2_HUMAN Neurensin-2 OS=Homo sapiens OX=9606 GN=NRSN2 PE=2 SV=1
+SDRKPQITQVSSQGFPSAPPSFWSQGSANRFIPSLQQEPEDGFVEVHSDAEPDLPEAKTDQSLWGIMAWFLCIALLVGAAVCLATGALRCTGLAQNYDAARQDLVLFEGEGIGELKPPVAYGTTLAAVGLLLLLTGSSLSIKWCLSPWPRRPCLVPPGEPDDSLATGACDEYFLHLYSRVGYWKGDEVSPGRSCSCSRNCSPMM
+>sp|P58401|NRX2B_HUMAN Neurexin-2-beta OS=Homo sapiens OX=9606 GN=NRXN2 PE=1 SV=1
+MPPGGSGPGGCPRRPPALAGPLPPPPPPPPPPLLPLLPLLLLLLLGAAEGARVSSSLSTTHHVHHFHSKHGTVPIAINRMPFLTRGGHAGTTYIFGKGGALITYTWPPNDRPSTRMDRLAVGFSTHQRSAVLVRVDSASGLGDYLQLHIDQGTVGVIFNVGTDDITIDEPNAIVSDGKYHVVRFTRSGGNATLQVDSWPVNERYPAGNFDNERLAIARQRIPYRLGRVVDEWLLDKGRQLTIFNSQAAIKIGGRDQGRPFQGQVSGLYYNGLKVLALAAESDPNVRTEGHLRLVGEGPSVLLSAETTATTLLADMATTIMETTTTMATTTTRRGRSPTLRDSTTQNTDDLLVASAECPSDDEDLEECEPSTGGELILPIITEDSLDPPPVATRSPFVPPPPTFYPFLTGVGATQDTLPPPAARRPPSGGPCQAERDDSDCEEPIEASGFASGEVFDSSLPPTDDEDFYTTFPLVTDRTTLLSPRKPAPRPNLRTDGATGAPGVLFAPSAPAPNLPAGKMNHRDPLQPLLENPPLGPGAPTSFEPRRPPPLRPGVTSAPGFPHLPTANPTGPGERGPPGAVEVIRESSSTTGMVVGIVAAAALCILILLYAMYKYRNRDEGSYQVDQSRNYISNSAQSNGAVVKEKAPAAPKTPSKAKKNKDKEYYV
+>DECOY_sp|P58401|NRX2B_HUMAN Neurexin-2-beta OS=Homo sapiens OX=9606 GN=NRXN2 PE=1 SV=1
+VYYEKDKNKKAKSPTKPAAPAKEKVVAGNSQASNSIYNRSQDVQYSGEDRNRYKYMAYLLILICLAAAAVIGVVMGTTSSSERIVEVAGPPGREGPGTPNATPLHPFGPASTVGPRLPPPRRPEFSTPAGPGLPPNELLPQLPDRHNMKGAPLNPAPASPAFLVGPAGTAGDTRLNPRPAPKRPSLLTTRDTVLPFTTYFDEDDTPPLSSDFVEGSAFGSAEIPEECDSDDREAQCPGGSPPRRAAPPPLTDQTAGVGTLFPYFTPPPPVFPSRTAVPPPDLSDETIIPLILEGGTSPECEELDEDDSPCEASAVLLDDTNQTTSDRLTPSRGRRTTTTAMTTTTEMITTAMDALLTTATTEASLLVSPGEGVLRLHGETRVNPDSEAALALVKLGNYYLGSVQGQFPRGQDRGGIKIAAQSNFITLQRGKDLLWEDVVRGLRYPIRQRAIALRENDFNGAPYRENVPWSDVQLTANGGSRTFRVVHYKGDSVIANPEDITIDDTGVNFIVGVTGQDIHLQLYDGLGSASDVRVLVASRQHTSFGVALRDMRTSPRDNPPWTYTILAGGKGFIYTTGAHGGRTLFPMRNIAIPVTGHKSHFHHVHHTTSLSSSVRAGEAAGLLLLLLLPLLPLLPPPPPPPPPPLPGALAPPRRPCGGPGSGGPPM
+>sp|Q08J23|NSUN2_HUMAN tRNA (cytosine(34)-C(5))-methyltransferase OS=Homo sapiens OX=9606 GN=NSUN2 PE=1 SV=2
+MGRRSRGRRLQQQQRPEDAEDGAEGGGKRGEAGWEGGYPEIVKENKLFEHYYQELKIVPEGEWGQFMDALREPLPATLRITGYKSHAKEILHCLKNKYFKELEDLEVDGQKVEVPQPLSWYPEELAWHTNLSRKILRKSPHLEKFHQFLVSETESGNISRQEAVSMIPPLLLNVRPHHKILDMCAAPGSKTTQLIEMLHADMNVPFPEGFVIANDVDNKRCYLLVHQAKRLSSPCIMVVNHDASSIPRLQIDVDGRKEILFYDRILCDVPCSGDGTMRKNIDVWKKWTTLNSLQLHGLQLRIATRGAEQLAEGGRMVYSTCSLNPIEDEAVIASLLEKSEGALELADVSNELPGLKWMPGITQWKVMTKDGQWFTDWDAVPHSRHTQIRPTMFPPKDPEKLQAMHLERCLRILPHHQNTGGFFVAVLVKKSSMPWNKRQPKLQGKSAETRESTQLSPADLTEGKPTDPSKLESPSFTGTGDTEIAHATEDLENNGSKKDGVCGPPPSKKMKLFGFKEDPFVFIPEDDPLFPPIEKFYALDPSFPRMNLLTRTTEGKKRQLYMVSKELRNVLLNNSEKMKVINTGIKVWCRNNSGEEFDCAFRLAQEGIYTLYPFINSRIITVSMEDVKILLTQENPFFRKLSSETYSQAKDLAKGSIVLKYEPDSANPDALQCPIVLCGWRGKASIRTFVPKNERLHYLRMMGLEVLGEKKKEGVILTNESAASTGQPDNDVTEGQRAGEPNSPDAEEANSPDVTAGCDPAGVHPPR
+>DECOY_sp|Q08J23|NSUN2_HUMAN tRNA (cytosine(34)-C(5))-methyltransferase OS=Homo sapiens OX=9606 GN=NSUN2 PE=1 SV=2
+RPPHVGAPDCGATVDPSNAEEADPSNPEGARQGETVDNDPQGTSAASENTLIVGEKKKEGLVELGMMRLYHLRENKPVFTRISAKGRWGCLVIPCQLADPNASDPEYKLVISGKALDKAQSYTESSLKRFFPNEQTLLIKVDEMSVTIIRSNIFPYLTYIGEQALRFACDFEEGSNNRCWVKIGTNIVKMKESNNLLVNRLEKSVMYLQRKKGETTRTLLNMRPFSPDLAYFKEIPPFLPDDEPIFVFPDEKFGFLKMKKSPPPGCVGDKKSGNNELDETAHAIETDGTGTFSPSELKSPDTPKGETLDAPSLQTSERTEASKGQLKPQRKNWPMSSKKVLVAVFFGGTNQHHPLIRLCRELHMAQLKEPDKPPFMTPRIQTHRSHPVADWDTFWQGDKTMVKWQTIGPMWKLGPLENSVDALELAGESKELLSAIVAEDEIPNLSCTSYVMRGGEALQEAGRTAIRLQLGHLQLSNLTTWKKWVDINKRMTGDGSCPVDCLIRDYFLIEKRGDVDIQLRPISSADHNVVMICPSSLRKAQHVLLYCRKNDVDNAIVFGEPFPVNMDAHLMEILQTTKSGPAACMDLIKHHPRVNLLLPPIMSVAEQRSINGSETESVLFQHFKELHPSKRLIKRSLNTHWALEEPYWSLPQPVEVKQGDVELDELEKFYKNKLCHLIEKAHSKYGTIRLTAPLPERLADMFQGWEGEPVIKLEQYYHEFLKNEKVIEPYGGEWGAEGRKGGGEAGDEADEPRQQQQLRRGRSRRGM
+>sp|Q8TEA1|NSUN6_HUMAN Putative methyltransferase NSUN6 OS=Homo sapiens OX=9606 GN=NSUN6 PE=1 SV=1
+MSIFPKISLRPEVENYLKEGFMNKEIVTALGKQEAERKFETLLKHLSHPPSFTTVRVNTHLASVQHVKNLLLDELQKQFNGLSVPILQHPDLQDVLLIPVIGPRKNIKKQQCEAIVGAQCGNAVLRGAHVYAPGIVSASQFMKAGDVISVYSDIKGKCKKGAKEFDGTKVFLGNGISELSRKEIFSGLPELKGMGIRMTEPVYLSPSFDSVLPRYLFLQNLPSALVSHVLNPQPGEKILDLCAAPGGKTTHIAALMHDQGEVIALDKIFNKVEKIKQNALLLGLNSIRAFCFDGTKAVKLDMVEDTEGEPPFLPESFDRILLDAPCSGMGQRPNMACTWSVKEVASYQPLQRKLFTAAVQLLKPEGVLVYSTCTITLAENEEQVAWALTKFPCLQLQPQEPQIGGEGMRGAGLSCEQLKQLQRFDPSAVPLPDTDMDSLREARREDMLRLANKDSIGFFIAKFVKCKST
+>DECOY_sp|Q8TEA1|NSUN6_HUMAN Putative methyltransferase NSUN6 OS=Homo sapiens OX=9606 GN=NSUN6 PE=1 SV=1
+TSKCKVFKAIFFGISDKNALRLMDERRAERLSDMDTDPLPVASPDFRQLQKLQECSLGAGRMGEGGIQPEQPQLQLCPFKTLAWAVQEENEALTITCTSYVLVGEPKLLQVAATFLKRQLPQYSAVEKVSWTCAMNPRQGMGSCPADLLIRDFSEPLFPPEGETDEVMDLKVAKTGDFCFARISNLGLLLANQKIKEVKNFIKDLAIVEGQDHMLAAIHTTKGGPAACLDLIKEGPQPNLVHSVLASPLNQLFLYRPLVSDFSPSLYVPETMRIGMGKLEPLGSFIEKRSLESIGNGLFVKTGDFEKAGKKCKGKIDSYVSIVDGAKMFQSASVIGPAYVHAGRLVANGCQAGVIAECQQKKINKRPGIVPILLVDQLDPHQLIPVSLGNFQKQLEDLLLNKVHQVSALHTNVRVTTFSPPHSLHKLLTEFKREAEQKGLATVIEKNMFGEKLYNEVEPRLSIKPFISM
+>sp|Q7Z3S9|NT2NL_HUMAN Notch homolog 2 N-terminal-like protein OS=Homo sapiens OX=9606 GN=NOTCH2NL PE=1 SV=1
+MCVTYHNGTGYCKCPEGFLGEYCQHRDPCEKNRCQNGGTCVAQAMLGKATCRCASGFTGEDCQYSTSHPCFVSRPCLNGGTCHMLSRDTYECTCQVGFTGKECQWTDACLSHPCANGSTCTTVANQFSCKCLTGFTGQKCETDVNECDIPGHCQHGGTCLNLPGSYQCQCLQGFTGQYCDSLYVPCAPSPCVNGGTCRQTGDFTFECNCLPETVRRGTELWERDREVWNGKEHDEN
+>DECOY_sp|Q7Z3S9|NT2NL_HUMAN Notch homolog 2 N-terminal-like protein OS=Homo sapiens OX=9606 GN=NOTCH2NL PE=1 SV=1
+NEDHEKGNWVERDREWLETGRRVTEPLCNCEFTFDGTQRCTGGNVCPSPACPVYLSDCYQGTFGQLCQCQYSGPLNLCTGGHQCHGPIDCENVDTECKQGTFGTLCKCSFQNAVTTCTSGNACPHSLCADTWQCEKGTFGVQCTCEYTDRSLMHCTGGNLCPRSVFCPHSTSYQCDEGTFGSACRCTAKGLMAQAVCTGGNQCRNKECPDRHQCYEGLFGEPCKCYGTGNHYTVCM
+>sp|Q12908|NTCP2_HUMAN Ileal sodium/bile acid cotransporter OS=Homo sapiens OX=9606 GN=SLC10A2 PE=1 SV=2
+MNDPNSCVDNATVCSGASCVVPESNFNNILSVVLSTVLTILLALVMFSMGCNVEIKKFLGHIKRPWGICVGFLCQFGIMPLTGFILSVAFDILPLQAVVVLIIGCCPGGTASNILAYWVDGDMDLSVSMTTCSTLLALGMMPLCLLIYTKMWVDSGSIVIPYDNIGTSLVSLVVPVSIGMFVNHKWPQKAKIILKIGSIAGAILIVLIAVVGGILYQSAWIIAPKLWIIGTIFPVAGYSLGFLLARIAGLPWYRCRTVAFETGMQNTQLCSTIVQLSFTPEELNVVFTFPLIYSIFQLAFAAIFLGFYVAYKKCHGKNKAEIPESKENGTEPESSFYKANGGFQPDEK
+>DECOY_sp|Q12908|NTCP2_HUMAN Ileal sodium/bile acid cotransporter OS=Homo sapiens OX=9606 GN=SLC10A2 PE=1 SV=2
+KEDPQFGGNAKYFSSEPETGNEKSEPIEAKNKGHCKKYAVYFGLFIAAFALQFISYILPFTFVVNLEEPTFSLQVITSCLQTNQMGTEFAVTRCRYWPLGAIRALLFGLSYGAVPFITGIIWLKPAIIWASQYLIGGVVAILVILIAGAISGIKLIIKAKQPWKHNVFMGISVPVVLSVLSTGINDYPIVISGSDVWMKTYILLCLPMMGLALLTSCTTMSVSLDMDGDVWYALINSATGGPCCGIILVVVAQLPLIDFAVSLIFGTLPMIGFQCLFGVCIGWPRKIHGLFKKIEVNCGMSFMVLALLITLVTSLVVSLINNFNSEPVVCSAGSCVTANDVCSNPDNM
+>sp|Q5PT55|NTCP5_HUMAN Sodium/bile acid cotransporter 5 OS=Homo sapiens OX=9606 GN=SLC10A5 PE=2 SV=1
+MIRKLFIVLLLLLVTIEEARMSSLSFLNIEKTEILFFTKTEETILVSSSYENKRPNSSHLFVKIEDPKILQMVNVAKKISSDATNFTINLVTDEEGETNVTIQLWDSEGRQERLIEEIKNVKVKVLKQKDSLLQAPMHIDRNILMLILPLILLNKCAFGCKIELQLFQTVWKRPLPVILGAVTQFFLMPFCGFLLSQIVALPEAQAFGVVMTCTCPGGGGGYLFALLLDGDFTLAILMTCTSTLLALIMMPVNSYIYSRILGLSGTFHIPVSKIVSTLLFILVPVSIGIVIKHRIPEKASFLERIIRPLSFILMFVGIYLTFTVGLVFLKTDNLEVILLGLLVPALGLLFGYSFAKVCTLPLPVCKTVAIESGMLNSFLALAVIQLSFPQSKANLASVAPFTVAMCSGCEMLLIILVYKAKKRCIFFLQDKRKRNFLI
+>DECOY_sp|Q5PT55|NTCP5_HUMAN Sodium/bile acid cotransporter 5 OS=Homo sapiens OX=9606 GN=SLC10A5 PE=2 SV=1
+ILFNRKRKDQLFFICRKKAKYVLIILLMECGSCMAVTFPAVSALNAKSQPFSLQIVALALFSNLMGSEIAVTKCVPLPLTCVKAFSYGFLLGLAPVLLGLLIVELNDTKLFVLGVTFTLYIGVFMLIFSLPRIIRELFSAKEPIRHKIVIGISVPVLIFLLTSVIKSVPIHFTGSLGLIRSYIYSNVPMMILALLTSTCTMLIALTFDGDLLLAFLYGGGGGPCTCTMVVGFAQAEPLAVIQSLLFGCFPMLFFQTVAGLIVPLPRKWVTQFLQLEIKCGFACKNLLILPLILMLINRDIHMPAQLLSDKQKLVKVKVNKIEEILREQRGESDWLQITVNTEGEEDTVLNITFNTADSSIKKAVNVMQLIKPDEIKVFLHSSNPRKNEYSSSVLITEETKTFFLIETKEINLFSLSSMRAEEITVLLLLLVIFLKRIM
+>sp|O95169|NDUB8_HUMAN NADH dehydrogenase [ubiquinone] 1 beta subcomplex subunit 8, mitochondrial OS=Homo sapiens OX=9606 GN=NDUFB8 PE=1 SV=1
+MAVARAGVLGVQWLQRASRNVMPLGARTASHMTKDMFPGPYPRTPEERAAAAKKYNMRVEDYEPYPDDGMGYGDYPKLPDRSQHERDPWYSWDQPGLRLNWGEPMHWHLDMYNRNRVDTSPTPVSWHVMCMQLFGFLAFMIFMCWVGDVYPVYQPVGPKQYPYNNLYLERGGDPSKEPERVVHYEI
+>DECOY_sp|O95169|NDUB8_HUMAN NADH dehydrogenase [ubiquinone] 1 beta subcomplex subunit 8, mitochondrial OS=Homo sapiens OX=9606 GN=NDUFB8 PE=1 SV=1
+IEYHVVREPEKSPDGGRELYLNNYPYQKPGVPQYVPYVDGVWCMFIMFALFGFLQMCMVHWSVPTPSTDVRNRNYMDLHWHMPEGWNLRLGPQDWSYWPDREHQSRDPLKPYDGYGMGDDPYPEYDEVRMNYKKAAAAREEPTRPYPGPFMDKTMHSATRAGLPMVNRSARQLWQVGLVGARAVAM
+>sp|Q9NX14|NDUBB_HUMAN NADH dehydrogenase [ubiquinone] 1 beta subcomplex subunit 11, mitochondrial OS=Homo sapiens OX=9606 GN=NDUFB11 PE=1 SV=1
+MAAGLFGLSARRLLAAAATRGLPAARVRWESSFSRTVVAPSAVAGKRPPEPTTPWQEDPEPEDENLYEKNPDSHGYDKDPVLDVWNMRLVFFFGVSIILVLGSTFVAYLPDYRMKEWSRREAERLVKYREANGLPIMESNCFDPSKIQLPEDE
+>DECOY_sp|Q9NX14|NDUBB_HUMAN NADH dehydrogenase [ubiquinone] 1 beta subcomplex subunit 11, mitochondrial OS=Homo sapiens OX=9606 GN=NDUFB11 PE=1 SV=1
+EDEPLQIKSPDFCNSEMIPLGNAERYKVLREAERRSWEKMRYDPLYAVFTSGLVLIISVGFFFVLRMNWVDLVPDKDYGHSDPNKEYLNEDEPEPDEQWPTTPEPPRKGAVASPAVVTRSFSSEWRVRAAPLGRTAAAALLRRASLGFLGAAM
+>sp|Q96P71|NECA3_HUMAN N-terminal EF-hand calcium-binding protein 3 OS=Homo sapiens OX=9606 GN=NECAB3 PE=1 SV=2
+MACAGLLTVCLLRPPAPQPQPQTPRHPQLAPDPGPAGHTLFQDVFRRADKNDDGKLSFEEFQNYFADGVLSLGELQELFSGIDGHLTDNLETEKLCDYFSEHLGVYRPVLAALESLNRAVLAAMDATKLEYERASKVDQFVTRFLLRETVSQLQALQSSLEGASDTLEAQAHGWRSDAESVEAQSRLCGSRRAGRRALRSVSRSSTWSPGSSDTGRSSEAEMQWRLQVNRLQELIDQLECKVRAVGPGPHKGGPSWYPPEPGPCWRPGPHSVPSQAPRLEPLREEDLAKGPDLHILMAQRQVQVAEEGLQDFHRALRCYVDFTGAQSHCLHVSAQKMLDGASFTLYEFWQDEASWRRHQQSPGSKAFQRILIDHLRAPDTLTTVFFPASWWIMNNN
+>DECOY_sp|Q96P71|NECA3_HUMAN N-terminal EF-hand calcium-binding protein 3 OS=Homo sapiens OX=9606 GN=NECAB3 PE=1 SV=2
+NNNMIWWSAPFFVTTLTDPARLHDILIRQFAKSGPSQQHRRWSAEDQWFEYLTFSAGDLMKQASVHLCHSQAGTFDVYCRLARHFDQLGEEAVQVQRQAMLIHLDPGKALDEERLPELRPAQSPVSHPGPRWCPGPEPPYWSPGGKHPGPGVARVKCELQDILEQLRNVQLRWQMEAESSRGTDSSGPSWTSSRSVSRLARRGARRSGCLRSQAEVSEADSRWGHAQAELTDSAGELSSQLAQLQSVTERLLFRTVFQDVKSAREYELKTADMAALVARNLSELAALVPRYVGLHESFYDCLKETELNDTLHGDIGSFLEQLEGLSLVGDAFYNQFEEFSLKGDDNKDARRFVDQFLTHGAPGPDPALQPHRPTQPQPQPAPPRLLCVTLLGACAM
+>sp|Q92692|NECT2_HUMAN Nectin-2 OS=Homo sapiens OX=9606 GN=NECTIN2 PE=1 SV=1
+MARAAALLPSRSPPTPLLWPLLLLLLLETGAQDVRVQVLPEVRGQLGGTVELPCHLLPPVPGLYISLVTWQRPDAPANHQNVAAFHPKMGPSFPSPKPGSERLSFVSAKQSTGQDTEAELQDATLALHGLTVEDEGNYTCEFATFPKGSVRGMTWLRVIAKPKNQAEAQKVTFSQDPTTVALCISKEGRPPARISWLSSLDWEAKETQVSGTLAGTVTVTSRFTLVPSGRADGVTVTCKVEHESFEEPALIPVTLSVRYPPEVSISGYDDNWYLGRTDATLSCDVRSNPEPTGYDWSTTSGTFPTSAVAQGSQLVIHAVDSLFNTTFVCTVTNAVGMGRAEQVIFVRETPNTAGAGATGGIIGGIIAAIIATAVAATGILICRQQRKEQTLQGAEEDEDLEGPPSYKPPTPKAKLEAQEMPSQLFTLGASEHSPLKTPYFDAGASCTEQEMPRYHELPTLEERSGPLHPGATSLGSPIPVPPGPPAVEDVSLDLEDEEGEEEEEYLDKINPIYDALSYSSPSDSYQGKGFVMSRAMYV
+>DECOY_sp|Q92692|NECT2_HUMAN Nectin-2 OS=Homo sapiens OX=9606 GN=NECTIN2 PE=1 SV=1
+VYMARSMVFGKGQYSDSPSSYSLADYIPNIKDLYEEEEEGEEDELDLSVDEVAPPGPPVPIPSGLSTAGPHLPGSREELTPLEHYRPMEQETCSAGADFYPTKLPSHESAGLTFLQSPMEQAELKAKPTPPKYSPPGELDEDEEAGQLTQEKRQQRCILIGTAAVATAIIAAIIGGIIGGTAGAGATNPTERVFIVQEARGMGVANTVTCVFTTNFLSDVAHIVLQSGQAVASTPFTGSTTSWDYGTPEPNSRVDCSLTADTRGLYWNDDYGSISVEPPYRVSLTVPILAPEEFSEHEVKCTVTVGDARGSPVLTFRSTVTVTGALTGSVQTEKAEWDLSSLWSIRAPPRGEKSICLAVTTPDQSFTVKQAEAQNKPKAIVRLWTMGRVSGKPFTAFECTYNGEDEVTLGHLALTADQLEAETDQGTSQKASVFSLRESGPKPSPFSPGMKPHFAAVNQHNAPADPRQWTVLSIYLGPVPPLLHCPLEVTGGLQGRVEPLVQVRVDQAGTELLLLLLLPWLLPTPPSRSPLLAAARAM
+>sp|Q96FI4|NEIL1_HUMAN Endonuclease 8-like 1 OS=Homo sapiens OX=9606 GN=NEIL1 PE=1 SV=3
+MPEGPELHLASQFVNEACRALVFGGCVEKSSVSRNPEVPFESSAYRISASARGKELRLILSPLPGAQPQQEPLALVFRFGMSGSFQLVPREELPRHAHLRFYTAPPGPRLALCFVDIRRFGRWDLGGKWQPGRGPCVLQEYQQFRENVLRNLADKAFDRPICEALLDQRFFNGIGNYLRAEILYRLKIPPFEKARSVLEALQQHRPSPELTLSQKIRTKLQNPDLLELCHSVPKEVVQLGGKGYGSESGEEDFAAFRAWLRCYGMPGMSSLQDRHGRTIWFQGDPGPLAPKGRKSRKKKSKATQLSPEDRVEDALPPSKAPSRTRRAKRDLPKRTATQRPEGTSLQQDPEAPTVPKKGRRKGRQAASGHCRPRKVKADIPSLEPEGTSAS
+>DECOY_sp|Q96FI4|NEIL1_HUMAN Endonuclease 8-like 1 OS=Homo sapiens OX=9606 GN=NEIL1 PE=1 SV=3
+SASTGEPELSPIDAKVKRPRCHGSAAQRGKRRGKKPVTPAEPDQQLSTGEPRQTATRKPLDRKARRTRSPAKSPPLADEVRDEPSLQTAKSKKKRSKRGKPALPGPDGQFWITRGHRDQLSSMGPMGYCRLWARFAAFDEEGSESGYGKGGLQVVEKPVSHCLELLDPNQLKTRIKQSLTLEPSPRHQQLAELVSRAKEFPPIKLRYLIEARLYNGIGNFFRQDLLAECIPRDFAKDALNRLVNERFQQYEQLVCPGRGPQWKGGLDWRGFRRIDVFCLALRPGPPATYFRLHAHRPLEERPVLQFSGSMGFRFVLALPEQQPQAGPLPSLILRLEKGRASASIRYASSEFPVEPNRSVSSKEVCGGFVLARCAENVFQSALHLEPGEPM
+>sp|Q8NG66|NEK11_HUMAN Serine/threonine-protein kinase Nek11 OS=Homo sapiens OX=9606 GN=NEK11 PE=1 SV=2
+MLKFQEAAKCVSGSTAISTYPKTLIARRYVLQQKLGSGSFGTVYLVSDKKAKRGEELKVLKEISVGELNPNETVQANLEAQLLSKLDHPAIVKFHASFVEQDNFCIITEYCEGRDLDDKIQEYKQAGKIFPENQIIEWFIQLLLGVDYMHERRILHRDLKSKNVFLKNNLLKIGDFGVSRLLMGSCDLATTLTGTPHYMSPEALKHQGYDTKSDIWSLACILYEMCCMNHAFAGSNFLSIVLKIVEGDTPSLPERYPKELNAIMESMLNKNPSLRPSAIEILKIPYLDEQLQNLMCRYSEMTLEDKNLDCQKEAAHIINAMQKRIHLQTLRALSEVQKMTPRERMRLRKLQAADEKARKLKKIVEEKYEENSKRMQELRSRNFQQLSVDVLHEKTHLKGMEEKEEQPEGRLSCSPQDEDEERWQGREEESDEPTLENLPESQPIPSMDLHELESIVEDATSDLGYHEIPEDPLVAEEYYADAFDSYCEESDEEEEEIALERPEKEIRNEGSQPAYRTNQQDSDIEALARCLENVLGCTSLDTKTITTMAEDMSPGPPIFNSVMARTKMKRMRESAMQKLGTEVFEEVYNYLKRARHQNASEAEIRECLEKVVPQASDCFEVDQLLYFEEQLLITMGKEPTLQNHL
+>DECOY_sp|Q8NG66|NEK11_HUMAN Serine/threonine-protein kinase Nek11 OS=Homo sapiens OX=9606 GN=NEK11 PE=1 SV=2
+LHNQLTPEKGMTILLQEEFYLLQDVEFCDSAQPVVKELCERIEAESANQHRARKLYNYVEEFVETGLKQMASERMRKMKTRAMVSNFIPPGPSMDEAMTTITKTDLSTCGLVNELCRALAEIDSDQQNTRYAPQSGENRIEKEPRELAIEEEEEDSEECYSDFADAYYEEAVLPDEPIEHYGLDSTADEVISELEHLDMSPIPQSEPLNELTPEDSEEERGQWREEDEDQPSCSLRGEPQEEKEEMGKLHTKEHLVDVSLQQFNRSRLEQMRKSNEEYKEEVIKKLKRAKEDAAQLKRLRMRERPTMKQVESLARLTQLHIRKQMANIIHAAEKQCDLNKDELTMESYRCMLNQLQEDLYPIKLIEIASPRLSPNKNLMSEMIANLEKPYREPLSPTDGEVIKLVISLFNSGAFAHNMCCMEYLICALSWIDSKTDYGQHKLAEPSMYHPTGTLTTALDCSGMLLRSVGFDGIKLLNNKLFVNKSKLDRHLIRREHMYDVGLLLQIFWEIIQNEPFIKGAQKYEQIKDDLDRGECYETIICFNDQEVFSAHFKVIAPHDLKSLLQAELNAQVTENPNLEGVSIEKLVKLEEGRKAKKDSVLYVTGFSGSGLKQQLVYRRAILTKPYTSIATSGSVCKAAEQFKLM
+>sp|Q96PY6|NEK1_HUMAN Serine/threonine-protein kinase Nek1 OS=Homo sapiens OX=9606 GN=NEK1 PE=1 SV=2
+MEKYVRLQKIGEGSFGKAILVKSTEDGRQYVIKEINISRMSSKEREESRREVAVLANMKHPNIVQYRESFEENGSLYIVMDYCEGGDLFKRINAQKGVLFQEDQILDWFVQICLALKHVHDRKILHRDIKSQNIFLTKDGTVQLGDFGIARVLNSTVELARTCIGTPYYLSPEICENKPYNNKSDIWALGCVLYELCTLKHAFEAGSMKNLVLKIISGSFPPVSLHYSYDLRSLVSQLFKRNPRDRPSVNSILEKGFIAKRIEKFLSPQLIAEEFCLKTFSKFGSQPIPAKRPASGQNSISVMPAQKITKPAAKYGIPLAYKKYGDKKLHEKKPLQKHKQAHQTPEKRVNTGEERRKISEEAARKRRLEFIEKEKKQKDQIISLMKAEQMKRQEKERLERINRAREQGWRNVLSAGGSGEVKAPFLGSGGTIAPSSFSSRGQYEHYHAIFDQMQQQRAEDNEAKWKREIYGRGLPERGILPGVRPGFPYGAAGHHHFPDADDIRKTLKRLKAVSKQANANRQKGQLAVERAKQVEEFLQRKREAMQNKARAEGHMVYLARLRQIRLQNFNERQQIKAKLRGEKKEANHSEGQEGSEEADMRRKKIESLKAHANARAAVLKEQLERKRKEAYEREKKVWEEHLVAKGVKSSDVSPPLGQHETGGSPSKQQMRSVISVTSALKEVGVDSSLTDTRETSEEMQKTNNAISSKREILRRLNENLKAQEDEKGKQNLSDTFEINVHEDAKEHEKEKSVSSDRKKWEAGGQLVIPLDELTLDTSFSTTERHTVGEVIKLGPNGSPRRAWGKSPTDSVLKILGEAELQLQTELLENTTIRSEISPEGEKYKPLITGEKKVQCISHEINPSAIVDSPVETKSPEFSEASPQMSLKLEGNLEEPDDLETEILQEPSGTNKDESLPCTITDVWISEEKETKETQSADRITIQENEVSEDGVSSTVDQLSDIHIEPGTNDSQHSKCDVDKSVQPEPFFHKVVHSEHLNLVPQVQSVQCSPEESFAFRSHSHLPPKNKNKNSLLIGLSTGLFDANNPKMLRTCSLPDLSKLFRTLMDVPTVGDVRQDNLEIDEIEDENIKEGPSDSEDIVFEETDTDLQELQASMEQLLREQPGEEYSEEEESVLKNSDVEPTANGTDVADEDDNPSSESALNEEWHSDNSDGEIASECECDSVFNHLEELRLHLEQEMGFEKFFEVYEKIKAIHEDEDENIEICSKIVQNILGNEHQHLYAKILHLVMADGAYQEDNDE
+>DECOY_sp|Q96PY6|NEK1_HUMAN Serine/threonine-protein kinase Nek1 OS=Homo sapiens OX=9606 GN=NEK1 PE=1 SV=2
+EDNDEQYAGDAMVLHLIKAYLHQHENGLINQVIKSCIEINEDEDEHIAKIKEYVEFFKEFGMEQELHLRLEELHNFVSDCECESAIEGDSNDSHWEENLASESSPNDDEDAVDTGNATPEVDSNKLVSEEEESYEEGPQERLLQEMSAQLEQLDTDTEEFVIDESDSPGEKINEDEIEDIELNDQRVDGVTPVDMLTRFLKSLDPLSCTRLMKPNNADFLGTSLGILLSNKNKNKPPLHSHSRFAFSEEPSCQVSQVQPVLNLHESHVVKHFFPEPQVSKDVDCKSHQSDNTGPEIHIDSLQDVTSSVGDESVENEQITIRDASQTEKTEKEESIWVDTITCPLSEDKNTGSPEQLIETELDDPEELNGELKLSMQPSAESFEPSKTEVPSDVIASPNIEHSICQVKKEGTILPKYKEGEPSIESRITTNELLETQLQLEAEGLIKLVSDTPSKGWARRPSGNPGLKIVEGVTHRETTSFSTDLTLEDLPIVLQGGAEWKKRDSSVSKEKEHEKADEHVNIEFTDSLNQKGKEDEQAKLNENLRRLIERKSSIANNTKQMEESTERTDTLSSDVGVEKLASTVSIVSRMQQKSPSGGTEHQGLPPSVDSSKVGKAVLHEEWVKKEREYAEKRKRELQEKLVAARANAHAKLSEIKKRRMDAEESGEQGESHNAEKKEGRLKAKIQQRENFNQLRIQRLRALYVMHGEARAKNQMAERKRQLFEEVQKAREVALQGKQRNANAQKSVAKLRKLTKRIDDADPFHHHGAAGYPFGPRVGPLIGREPLGRGYIERKWKAENDEARQQQMQDFIAHYHEYQGRSSFSSPAITGGSGLFPAKVEGSGGASLVNRWGQERARNIRELREKEQRKMQEAKMLSIIQDKQKKEKEIFELRRKRAAEESIKRREEGTNVRKEPTQHAQKHKQLPKKEHLKKDGYKKYALPIGYKAAPKTIKQAPMVSISNQGSAPRKAPIPQSGFKSFTKLCFEEAILQPSLFKEIRKAIFGKELISNVSPRDRPNRKFLQSVLSRLDYSYHLSVPPFSGSIIKLVLNKMSGAEFAHKLTCLEYLVCGLAWIDSKNNYPKNECIEPSLYYPTGICTRALEVTSNLVRAIGFDGLQVTGDKTLFINQSKIDRHLIKRDHVHKLALCIQVFWDLIQDEQFLVGKQANIRKFLDGGECYDMVIYLSGNEEFSERYQVINPHKMNALVAVERRSEEREKSSMRSINIEKIVYQRGDETSKVLIAKGFSGEGIKQLRVYKEM
+>sp|P51955|NEK2_HUMAN Serine/threonine-protein kinase Nek2 OS=Homo sapiens OX=9606 GN=NEK2 PE=1 SV=1
+MPSRAEDYEVLYTIGTGSYGRCQKIRRKSDGKILVWKELDYGSMTEAEKQMLVSEVNLLRELKHPNIVRYYDRIIDRTNTTLYIVMEYCEGGDLASVITKGTKERQYLDEEFVLRVMTQLTLALKECHRRSDGGHTVLHRDLKPANVFLDGKQNVKLGDFGLARILNHDTSFAKTFVGTPYYMSPEQMNRMSYNEKSDIWSLGCLLYELCALMPPFTAFSQKELAGKIREGKFRRIPYRYSDELNEIITRMLNLKDYHRPSVEEILENPLIADLVADEQRRNLERRGRQLGEPEKSQDSSPVLSELKLKEIQLQERERALKAREERLEQKEQELCVRERLAEDKLARAENLLKNYSLLKERKFLSLASNPELLNLPSSVIKKKVHFSGESKENIMRSENSESQLTSKSKCKDLKKRLHAAQLRAQALSDIEKNYQLKSRQILGMR
+>DECOY_sp|P51955|NEK2_HUMAN Serine/threonine-protein kinase Nek2 OS=Homo sapiens OX=9606 GN=NEK2 PE=1 SV=1
+RMGLIQRSKLQYNKEIDSLAQARLQAAHLRKKLDKCKSKSTLQSESNESRMINEKSEGSFHVKKKIVSSPLNLLEPNSALSLFKREKLLSYNKLLNEARALKDEALRERVCLEQEKQELREERAKLAREREQLQIEKLKLESLVPSSDQSKEPEGLQRGRRELNRRQEDAVLDAILPNELIEEVSPRHYDKLNLMRTIIENLEDSYRYPIRRFKGERIKGALEKQSFATFPPMLACLEYLLCGLSWIDSKENYSMRNMQEPSMYYPTGVFTKAFSTDHNLIRALGFDGLKVNQKGDLFVNAPKLDRHLVTHGGDSRRHCEKLALTLQTMVRLVFEEDLYQREKTGKTIVSALDGGECYEMVIYLTTNTRDIIRDYYRVINPHKLERLLNVESVLMQKEAETMSGYDLEKWVLIKGDSKRRIKQCRGYSGTGITYLVEYDEARSPM
+>sp|P51957|NEK4_HUMAN Serine/threonine-protein kinase Nek4 OS=Homo sapiens OX=9606 GN=NEK4 PE=1 SV=2
+MPLAAYCYLRVVGKGSYGEVTLVKHRRDGKQYVIKKLNLRNASSRERRAAEQEAQLLSQLKHPNIVTYKESWEGGDGLLYIVMGFCEGGDLYRKLKEQKGQLLPENQVVEWFVQIAMALQYLHEKHILHRDLKTQNVFLTRTNIIKVGDLGIARVLENHCDMASTLIGTPYYMSPELFSNKPYNYKSDVWALGCCVYEMATLKHAFNAKDMNSLVYRIIEGKLPPMPRDYSPELAELIRTMLSKRPEERPSVRSILRQPYIKRQISFFLEATKIKTSKNNIKNGDSQSKPFATVVSGEAESNHEVIHPQPLSSEGSQTYIMGEGKCLSQEKPRASGLLKSPASLKAHTCKQDLSNTTELATISSVNIDILPAKGRDSVSDGFVQENQPRYLDASNELGGICSISQVEEEMLQDNTKSSAQPENLIPMWSSDIVTGEKNEPVKPLQPLIKEQKPKDQSLALSPKLECSGTILAHSNLRLLGSSDSPASASRVAGITGVCHHAQDQVAGECIIEKQGRIHPDLQPHNSGSEPSLSRQRRQKRREQTEHRGEKRQVRRDLFAFQESPPRFLPSHPIVGKVDVTSTQKEAENQRRVVTGSVSSSRSSEMSSSKDRPLSARERRRLKQSQEEMSSSGPSVRKASLSVAGPGKPQEEDQPLPARRLSSDCSVTQERKQIHCLSEDELSSSTSSTDKSDGDYGEGKGQTNEINALVQLMTQTLKLDSKESCEDVPVANPVSEFKLHRKYRDTLILHGKVAEEAEEIHFKELPSAIMPGSEKIRRLVEVLRTDVIRGLGVQLLEQVYDLLEEEDEFDREVRLREHMGEKYTTYSVKARQLKFFEENMNF
+>DECOY_sp|P51957|NEK4_HUMAN Serine/threonine-protein kinase Nek4 OS=Homo sapiens OX=9606 GN=NEK4 PE=1 SV=2
+FNMNEEFFKLQRAKVSYTTYKEGMHERLRVERDFEDEEELLDYVQELLQVGLGRIVDTRLVEVLRRIKESGPMIASPLEKFHIEEAEEAVKGHLILTDRYKRHLKFESVPNAVPVDECSEKSDLKLTQTMLQVLANIENTQGKGEGYDGDSKDTSSTSSSLEDESLCHIQKREQTVSCDSSLRRAPLPQDEEQPKGPGAVSLSAKRVSPGSSSMEEQSQKLRRRERASLPRDKSSSMESSRSSSVSGTVVRRQNEAEKQTSTVDVKGVIPHSPLFRPPSEQFAFLDRRVQRKEGRHETQERRKQRRQRSLSPESGSNHPQLDPHIRGQKEIICEGAVQDQAHHCVGTIGAVRSASAPSDSSGLLRLNSHALITGSCELKPSLALSQDKPKQEKILPQLPKVPENKEGTVIDSSWMPILNEPQASSKTNDQLMEEEVQSISCIGGLENSADLYRPQNEQVFGDSVSDRGKAPLIDINVSSITALETTNSLDQKCTHAKLSAPSKLLGSARPKEQSLCKGEGMIYTQSGESSLPQPHIVEHNSEAEGSVVTAFPKSQSDGNKINNKSTKIKTAELFFSIQRKIYPQRLISRVSPREEPRKSLMTRILEALEPSYDRPMPPLKGEIIRYVLSNMDKANFAHKLTAMEYVCCGLAWVDSKYNYPKNSFLEPSMYYPTGILTSAMDCHNELVRAIGLDGVKIINTRTLFVNQTKLDRHLIHKEHLYQLAMAIQVFWEVVQNEPLLQGKQEKLKRYLDGGECFGMVIYLLGDGGEWSEKYTVINPHKLQSLLQAEQEAARRERSSANRLNLKKIVYQKGDRRHKVLTVEGYSGKGVVRLYCYAALPM
+>sp|Q86SG6|NEK8_HUMAN Serine/threonine-protein kinase Nek8 OS=Homo sapiens OX=9606 GN=NEK8 PE=1 SV=1
+MEKYERIRVVGRGAFGIVHLCLRKADQKLVIIKQIPVEQMTKEERQAAQNECQVLKLLNHPNVIEYYENFLEDKALMIAMEYAPGGTLAEFIQKRCNSLLEEETILHFFVQILLALHHVHTHLILHRDLKTQNILLDKHRMVVKIGDFGISKILSSKSKAYTVVGTPCYISPELCEGKPYNQKSDIWALGCVLYELASLKRAFEAANLPALVLKIMSGTFAPISDRYSPELRQLVLSLLSLEPAQRPPLSHIMAQPLCIRALLNLHTDVGSVRMRRAEKSVAPSNTGSRTTSVRCRGIPRGPVRPAIPPPLSSVYAWGGGLGTPLRLPMLNTEVVQVAAGRTQKAGVTRSGRLILWEAPPLGAGGGSLLPGAVEQPQPQFISRFLEGQSGVTIKHVACGDFFTACLTDRGIIMTFGSGSNGCLGHGSLTDISQPTIVEALLGYEMVQVACGASHVLALSTERELFAWGRGDSGRLGLGTRESHSCPQQVPMPPGQEAQRVVCGIDSSMILTVPGQALACGSNRFNKLGLDHLSLGEEPVPHQQVEEALSFTLLGSAPLDQEPLLSIDLGTAHSAAVTASGDCYTFGSNQHGQLGTNTRRGSRAPCKVQGLEGIKMAMVACGDAFTVAIGAESEVYSWGKGARGRLGRRDEDAGLPRPVQLDETHPYTVTSVSCCHGNTLLAVRSVTDEPVPP
+>DECOY_sp|Q86SG6|NEK8_HUMAN Serine/threonine-protein kinase Nek8 OS=Homo sapiens OX=9606 GN=NEK8 PE=1 SV=1
+PPVPEDTVSRVALLTNGHCCSVSTVTYPHTEDLQVPRPLGADEDRRGLRGRAGKGWSYVESEAGIAVTFADGCAVMAMKIGELGQVKCPARSGRRTNTGLQGHQNSGFTYCDGSATVAASHATGLDISLLPEQDLPASGLLTFSLAEEVQQHPVPEEGLSLHDLGLKNFRNSGCALAQGPVTLIMSSDIGCVVRQAEQGPPMPVQQPCSHSERTGLGLRGSDGRGWAFLERETSLALVHSAGCAVQVMEYGLLAEVITPQSIDTLSGHGLCGNSGSGFTMIIGRDTLCATFFDGCAVHKITVGSQGELFRSIFQPQPQEVAGPLLSGGGAGLPPAEWLILRGSRTVGAKQTRGAAVQVVETNLMPLRLPTGLGGGWAYVSSLPPPIAPRVPGRPIGRCRVSTTRSGTNSPAVSKEARRMRVSGVDTHLNLLARICLPQAMIHSLPPRQAPELSLLSLVLQRLEPSYRDSIPAFTGSMIKLVLAPLNAAEFARKLSALEYLVCGLAWIDSKQNYPKGECLEPSIYCPTGVVTYAKSKSSLIKSIGFDGIKVVMRHKDLLINQTKLDRHLILHTHVHHLALLIQVFFHLITEEELLSNCRKQIFEALTGGPAYEMAIMLAKDELFNEYYEIVNPHNLLKLVQCENQAAQREEKTMQEVPIQKIIVLKQDAKRLCLHVIGFAGRGVVRIREYKEM
+>sp|P18615|NELFE_HUMAN Negative elongation factor E OS=Homo sapiens OX=9606 GN=NELFE PE=1 SV=3
+MLVIPPGLSEEEEALQKKFNKLKKKKKALLALKKQSSSSTTSQGGVKRSLSEQPVMDTATATEQAKQLVKSGAISAIKAETKNSGFKRSRTLEGKLKDPEKGPVPTFQPFQRSISADDDLQESSRRPQRKSLYESFVSSSDRLRELGPDGEEAEGPGAGDGPPRSFDWGYEERSGAHSSASPPRSRSRDRSHERNRDRDRDRERDRDRDRDRDRERDRDRDRDRDRDRERDRDRERDRDRDREGPFRRSDSFPERRAPRKGNTLYVYGEDMTPTLLRGAFSPFGNIIDLSMDPPRNCAFVTYEKMESADQAVAELNGTQVESVQLKVNIARKQPMLDAATGKSVWGSLAVQNSPKGCHRDKRTQIVYSDDVYKENLVDGF
+>DECOY_sp|P18615|NELFE_HUMAN Negative elongation factor E OS=Homo sapiens OX=9606 GN=NELFE PE=1 SV=3
+FGDVLNEKYVDDSYVIQTRKDRHCGKPSNQVALSGWVSKGTAADLMPQKRAINVKLQVSEVQTGNLEAVAQDASEMKEYTVFACNRPPDMSLDIINGFPSFAGRLLTPTMDEGYVYLTNGKRPARREPFSDSRRFPGERDRDRDRERDRDRERDRDRDRDRDRDRERDRDRDRDRDRERDRDRDRNREHSRDRSRSRPPSASSHAGSREEYGWDFSRPPGDGAGPGEAEEGDPGLERLRDSSSVFSEYLSKRQPRRSSEQLDDDASISRQFPQFTPVPGKEPDKLKGELTRSRKFGSNKTEAKIASIAGSKVLQKAQETATATDMVPQESLSRKVGGQSTTSSSSQKKLALLAKKKKKLKNFKKQLAEEEESLGPPIVLM
+>sp|Q9Y6K9|NEMO_HUMAN NF-kappa-B essential modulator OS=Homo sapiens OX=9606 GN=IKBKG PE=1 SV=2
+MNRHLWKSQLCEMVQPSGGPAADQDVLGEESPLGKPAMLHLPSEQGAPETLQRCLEENQELRDAIRQSNQILRERCEELLHFQASQREEKEFLMCKFQEARKLVERLGLEKLDLKRQKEQALREVEHLKRCQQQMAEDKASVKAQVTSLLGELQESQSRLEAATKECQALEGRARAASEQARQLESEREALQQQHSVQVDQLRMQGQSVEAALRMERQAASEEKRKLAQLQVAYHQLFQEYDNHIKSSVVGSERKRGMQLEDLKQQLQQAEEALVAKQEVIDKLKEEAEQHKIVMETVPVLKAQADIYKADFQAERQAREKLAEKKELLQEQLEQLQREYSKLKASCQESARIEDMRKRHVEVSQAPLPPAPAYLSSPLALPSQRRSPPEEPPDFCCPKCQYQAPDMDTLQIHVMECIE
+>DECOY_sp|Q9Y6K9|NEMO_HUMAN NF-kappa-B essential modulator OS=Homo sapiens OX=9606 GN=IKBKG PE=1 SV=2
+EICEMVHIQLTDMDPAQYQCKPCCFDPPEEPPSRRQSPLALPSSLYAPAPPLPAQSVEVHRKRMDEIRASEQCSAKLKSYERQLQELQEQLLEKKEALKERAQREAQFDAKYIDAQAKLVPVTEMVIKHQEAEEKLKDIVEQKAVLAEEAQQLQQKLDELQMGRKRESGVVSSKIHNDYEQFLQHYAVQLQALKRKEESAAQREMRLAAEVSQGQMRLQDVQVSHQQQLAERESELQRAQESAARARGELAQCEKTAAELRSQSEQLEGLLSTVQAKVSAKDEAMQQQCRKLHEVERLAQEKQRKLDLKELGLREVLKRAEQFKCMLFEKEERQSAQFHLLEECRERLIQNSQRIADRLEQNEELCRQLTEPAGQESPLHLMAPKGLPSEEGLVDQDAAPGGSPQVMECLQSKWLHRNM
+>sp|Q92859|NEO1_HUMAN Neogenin OS=Homo sapiens OX=9606 GN=NEO1 PE=1 SV=2
+MAAERGARRLLSTPSFWLYCLLLLGRRAPGAAAARSGSAPQSPGASIRTFTPFYFLVEPVDTLSVRGSSVILNCSAYSEPSPKIEWKKDGTFLNLVSDDRRQLLPDGSLFISNVVHSKHNKPDEGYYQCVATVESLGTIISRTAKLIVAGLPRFTSQPEPSSVYAGNNAILNCEVNADLVPFVRWEQNRQPLLLDDRVIKLPSGMLVISNATEGDGGLYRCVVESGGPPKYSDEVELKVLPDPEVISDLVFLKQPSPLVRVIGQDVVLPCVASGLPTPTIKWMKNEEALDTESSERLVLLAGGSLEISDVTEDDAGTYFCIADNGNETIEAQAELTVQAQPEFLKQPTNIYAHESMDIVFECEVTGKPTPTVKWVKNGDMVIPSDYFKIVKEHNLQVLGLVKSDEGFYQCIAENDVGNAQAGAQLIILEHAPATTGPLPSAPRDVVASLVSTRFIKLTWRTPASDPHGDNLTYSVFYTKEGIARERVENTSHPGEMQVTIQNLMPATVYIFRVMAQNKHGSGESSAPLRVETQPEVQLPGPAPNLRAYAASPTSITVTWETPVSGNGEIQNYKLYYMEKGTDKEQDVDVSSHSYTINGLKKYTEYSFRVVAYNKHGPGVSTPDVAVRTLSDVPSAAPQNLSLEVRNSKSIMIHWQPPAPATQNGQITGYKIRYRKASRKSDVTETLVSGTQLSQLIEGLDRGTEYNFRVAALTINGTGPATDWLSAETFESDLDETRVPEVPSSLHVRPLVTSIVVSWTPPENQNIVVRGYAIGYGIGSPHAQTIKVDYKQRYYTIENLDPSSHYVITLKAFNNVGEGIPLYESAVTRPHTDTSEVDLFVINAPYTPVPDPTPMMPPVGVQASILSHDTIRITWADNSLPKHQKITDSRYYTVRWKTNIPANTKYKNANATTLSYLVTGLKPNTLYEFSVMVTKGRRSSTWSMTAHGTTFELVPTSPPKDVTVVSKEGKPKTIIVNWQPPSEANGKITGYIIYYSTDVNAEIHDWVIEPVVGNRLTHQIQELTLDTPYYFKIQARNSKGMGPMSEAVQFRTPKADSSDKMPNDQASGSGGKGSRLPDLGSDYKPPMSGSNSPHGSPTSPLDSNMLLVIIVSVGVITIVVVVIIAVFCTRRTTSHQKKKRAACKSVNGSHKYKGNSKDVKPPDLWIHHERLELKPIDKSPDPNPIMTDTPIPRNSQDITPVDNSMDSNIHQRRNSYRGHESEDSMSTLAGRRGMRPKMMMPFDSQPPQPVISAHPIHSLDNPHHHFHSSSLASPARSHLYHPGSPWPIGTSMSLSDRANSTESVRNTPSTDTMPASSSQTCCTDHQDPEGATSSSYLASSQEEDSGQSLPTAHVRPSHPLKSFAVPAIPPPGPPTYDPALPSTPLLSQQALNHHIHSVKTASIGTLGRSRPPMPVVVPSAPEVQETTRMLEDSESSYEPDELTKEMAHLEGLMKDLNAITTA
+>DECOY_sp|Q92859|NEO1_HUMAN Neogenin OS=Homo sapiens OX=9606 GN=NEO1 PE=1 SV=2
+ATTIANLDKMLGELHAMEKTLEDPEYSSESDELMRTTEQVEPASPVVVPMPPRSRGLTGISATKVSHIHHNLAQQSLLPTSPLAPDYTPPGPPPIAPVAFSKLPHSPRVHATPLSQGSDEEQSSALYSSSTAGEPDQHDTCCTQSSSAPMTDTSPTNRVSETSNARDSLSMSTGIPWPSGPHYLHSRAPSALSSSHFHHHPNDLSHIPHASIVPQPPQSDFPMMMKPRMGRRGALTSMSDESEHGRYSNRRQHINSDMSNDVPTIDQSNRPIPTDTMIPNPDPSKDIPKLELREHHIWLDPPKVDKSNGKYKHSGNVSKCAARKKKQHSTTRRTCFVAIIVVVVITIVGVSVIIVLLMNSDLPSTPSGHPSNSGSMPPKYDSGLDPLRSGKGGSGSAQDNPMKDSSDAKPTRFQVAESMPGMGKSNRAQIKFYYPTDLTLEQIQHTLRNGVVPEIVWDHIEANVDTSYYIIYGTIKGNAESPPQWNVIITKPKGEKSVVTVDKPPSTPVLEFTTGHATMSWTSSRRGKTVMVSFEYLTNPKLGTVLYSLTTANANKYKTNAPINTKWRVTYYRSDTIKQHKPLSNDAWTIRITDHSLISAQVGVPPMMPTPDPVPTYPANIVFLDVESTDTHPRTVASEYLPIGEGVNNFAKLTIVYHSSPDLNEITYYRQKYDVKITQAHPSGIGYGIAYGRVVINQNEPPTWSVVISTVLPRVHLSSPVEPVRTEDLDSEFTEASLWDTAPGTGNITLAAVRFNYETGRDLGEILQSLQTGSVLTETVDSKRSAKRYRIKYGTIQGNQTAPAPPQWHIMISKSNRVELSLNQPAASPVDSLTRVAVDPTSVGPGHKNYAVVRFSYETYKKLGNITYSHSSVDVDQEKDTGKEMYYLKYNQIEGNGSVPTEWTVTISTPSAAYARLNPAPGPLQVEPQTEVRLPASSEGSGHKNQAMVRFIYVTAPMLNQITVQMEGPHSTNEVRERAIGEKTYFVSYTLNDGHPDSAPTRWTLKIFRTSVLSAVVDRPASPLPGTTAPAHELIILQAGAQANGVDNEAICQYFGEDSKVLGLVQLNHEKVIKFYDSPIVMDGNKVWKVTPTPKGTVECEFVIDMSEHAYINTPQKLFEPQAQVTLEAQAEITENGNDAICFYTGADDETVDSIELSGGALLVLRESSETDLAEENKMWKITPTPLGSAVCPLVVDQGIVRVLPSPQKLFVLDSIVEPDPLVKLEVEDSYKPPGGSEVVCRYLGGDGETANSIVLMGSPLKIVRDDLLLPQRNQEWRVFPVLDANVECNLIANNGAYVSSPEPQSTFRPLGAVILKATRSIITGLSEVTAVCQYYGEDPKNHKSHVVNSIFLSGDPLLQRRDDSVLNLFTGDKKWEIKPSPESYASCNLIVSSGRVSLTDVPEVLFYFPTFTRISAGPSQPASGSRAAAAGPARRGLLLLCYLWFSPTSLLRRAGREAAM
+>sp|Q8TDF5|NETO1_HUMAN Neuropilin and tolloid-like protein 1 OS=Homo sapiens OX=9606 GN=NETO1 PE=2 SV=2
+MIHGRSVLHIVASLIILHLSGATKKGTEKQTTSETQKSVQCGTWTKHAEGGIFTSPNYPSKYPPDRECIYIIEAAPRQCIELYFDEKYSIEPSWECKFDHIEVRDGPFGFSPIIGRFCGQQNPPVIKSSGRFLWIKFFADGELESMGFSARYNFTPDPDFKDLGALKPLPACEFEMGGSEGIVESIQIMKEGKATASEAVDCKWYIRAPPRSKIYLRFLDYEMQNSNECKRNFVAVYDGSSSVEDLKAKFCSTVANDVMLRTGLGVIRMWADEGSRNSRFQMLFTSFQEPPCEGNTFFCHSNMCINNTLVCNGLQNCVYPWDENHCKEKRKTSLLDQLTNTSGTVIGVTSCIVIILIIISVIVQIKQPRKKYVQRKSDFDQTVFQEVFEPPHYELCTLRGTGATADFADVADDFENYHKLRRSSSKCIHDHHCGSQLSSTKGSRSNLSTRDASILTEMPTQPGKPLIPPMNRRNILVMKHSYSQDAADACDIDEIEEVPTTSHRLSRHDKAVQRFCLIGSLSKHESEYNTTRV
+>DECOY_sp|Q8TDF5|NETO1_HUMAN Neuropilin and tolloid-like protein 1 OS=Homo sapiens OX=9606 GN=NETO1 PE=2 SV=2
+VRTTNYESEHKSLSGILCFRQVAKDHRSLRHSTTPVEEIEDIDCADAADQSYSHKMVLINRRNMPPILPKGPQTPMETLISADRTSLNSRSGKTSSLQSGCHHDHICKSSSRRLKHYNEFDDAVDAFDATAGTGRLTCLEYHPPEFVEQFVTQDFDSKRQVYKKRPQKIQVIVSIIILIIVICSTVGIVTGSTNTLQDLLSTKRKEKCHNEDWPYVCNQLGNCVLTNNICMNSHCFFTNGECPPEQFSTFLMQFRSNRSGEDAWMRIVGLGTRLMVDNAVTSCFKAKLDEVSSSGDYVAVFNRKCENSNQMEYDLFRLYIKSRPPARIYWKCDVAESATAKGEKMIQISEVIGESGGMEFECAPLPKLAGLDKFDPDPTFNYRASFGMSELEGDAFFKIWLFRGSSKIVPPNQQGCFRGIIPSFGFPGDRVEIHDFKCEWSPEISYKEDFYLEICQRPAAEIIYICERDPPYKSPYNPSTFIGGEAHKTWTGCQVSKQTESTTQKETGKKTAGSLHLIILSAVIHLVSRGHIM
+>sp|P56730|NETR_HUMAN Neurotrypsin OS=Homo sapiens OX=9606 GN=PRSS12 PE=2 SV=2
+MTLARFVLALMLGALPEVVGFDSVLNDSLHHSHRHSPPAGPHYPYYLPTQQRPPRTRPPPPLPRFPRPPRALPAQRPHALQAGHTPRPHPWGCPAGEPWVSVTDFGAPCLRWAEVPPFLERSPPASWAQLRGQRHNFCRSPDGAGRPWCFYGDARGKVDWGYCDCRHGSVRLRGGKNEFEGTVEVYASGVWGTVCSSHWDDSDASVICHQLQLGGKGIAKQTPFSGLGLIPIYWSNVRCRGDEENILLCEKDIWQGGVCPQKMAAAVTCSFSHGPTFPIIRLAGGSSVHEGRVELYHAGQWGTVCDDQWDDADAEVICRQLGLSGIAKAWHQAYFGEGSGPVMLDEVRCTGNELSIEQCPKSSWGEHNCGHKEDAGVSCTPLTDGVIRLAGGKGSHEGRLEVYYRGQWGTVCDDGWTELNTYVVCRQLGFKYGKQASANHFEESTGPIWLDDVSCSGKETRFLQCSRRQWGRHDCSHREDVSIACYPGGEGHRLSLGFPVRLMDGENKKEGRVEVFINGQWGTICDDGWTDKDAAVICRQLGYKGPARARTMAYFGEGKGPIHVDNVKCTGNERSLADCIKQDIGRHNCRHSEDAGVICDYFGKKASGNSNKESLSSVCGLRLLHRRQKRIIGGKNSLRGGWPWQVSLRLKSSHGDGRLLCGATLLSSCWVLTAAHCFKRYGNSTRSYAVRVGDYHTLVPEEFEEEIGVQQIVIHREYRPDRSDYDIALVRLQGPEEQCARFSSHVLPACLPLWRERPQKTASNCYITGWGDTGRAYSRTLQQAAIPLLPKRFCEERYKGRFTGRMLCAGNLHEHKRVDSCQGDSGGPLMCERPGESWVVYGVTSWGYGCGVKDSPGVYTKVSAFVPWIKSVTKL
+>DECOY_sp|P56730|NETR_HUMAN Neurotrypsin OS=Homo sapiens OX=9606 GN=PRSS12 PE=2 SV=2
+LKTVSKIWPVFASVKTYVGPSDKVGCGYGWSTVGYVVWSEGPRECMLPGGSDGQCSDVRKHEHLNGACLMRGTFRGKYREECFRKPLLPIAAQQLTRSYARGTDGWGTIYCNSATKQPRERWLPLCAPLVHSSFRACQEEPGQLRVLAIDYDSRDPRYERHIVIQQVGIEEEFEEPVLTHYDGVRVAYSRTSNGYRKFCHAATLVWCSSLLTAGCLLRGDGHSSKLRLSVQWPWGGRLSNKGGIIRKQRRHLLRLGCVSSLSEKNSNGSAKKGFYDCIVGADESHRCNHRGIDQKICDALSRENGTCKVNDVHIPGKGEGFYAMTRARAPGKYGLQRCIVAADKDTWGDDCITGWQGNIFVEVRGEKKNEGDMLRVPFGLSLRHGEGGPYCAISVDERHSCDHRGWQRRSCQLFRTEKGSCSVDDLWIPGTSEEFHNASAQKGYKFGLQRCVVYTNLETWGDDCVTGWQGRYYVELRGEHSGKGGALRIVGDTLPTCSVGADEKHGCNHEGWSSKPCQEISLENGTCRVEDLMVPGSGEGFYAQHWAKAIGSLGLQRCIVEADADDWQDDCVTGWQGAHYLEVRGEHVSSGGALRIIPFTPGHSFSCTVAAAMKQPCVGGQWIDKECLLINEEDGRCRVNSWYIPILGLGSFPTQKAIGKGGLQLQHCIVSADSDDWHSSCVTGWVGSAYVEVTGEFENKGGRLRVSGHRCDCYGWDVKGRADGYFCWPRGAGDPSRCFNHRQGRLQAWSAPPSRELFPPVEAWRLCPAGFDTVSVWPEGAPCGWPHPRPTHGAQLAHPRQAPLARPPRPFRPLPPPPRTRPPRQQTPLYYPYHPGAPPSHRHSHHLSDNLVSDFGVVEPLAGLMLALVFRALTM
+>sp|P01178|NEU1_HUMAN Oxytocin-neurophysin 1 OS=Homo sapiens OX=9606 GN=OXT PE=1 SV=1
+MAGPSLACCLLGLLALTSACYIQNCPLGGKRAAPDLDVRKCLPCGPGGKGRCFGPNICCAEELGCFVGTAEALRCQEENYLPSPCQSGQKACGSGGRCAVLGLCCSPDGCHADPACDAEATFSQR
+>DECOY_sp|P01178|NEU1_HUMAN Oxytocin-neurophysin 1 OS=Homo sapiens OX=9606 GN=OXT PE=1 SV=1
+RQSFTAEADCAPDAHCGDPSCCLGLVACRGGSGCAKQGSQCPSPLYNEEQCRLAEATGVFCGLEEACCINPGFCRGKGGPGCPLCKRVDLDPAARKGGLPCNQIYCASTLALLGLLCCALSPGAM
+>sp|Q16236|NF2L2_HUMAN Nuclear factor erythroid 2-related factor 2 OS=Homo sapiens OX=9606 GN=NFE2L2 PE=1 SV=3
+MMDLELPPPGLPSQQDMDLIDILWRQDIDLGVSREVFDFSQRRKEYELEKQKKLEKERQEQLQKEQEKAFFAQLQLDEETGEFLPIQPAQHIQSETSGSANYSQVAHIPKSDALYFDDCMQLLAQTFPFVDDNEVSSATFQSLVPDIPGHIESPVFIATNQAQSPETSVAQVAPVDLDGMQQDIEQVWEELLSIPELQCLNIENDKLVETTMVPSPEAKLTEVDNYHFYSSIPSMEKEVGNCSPHFLNAFEDSFSSILSTEDPNQLTVNSLNSDATVNTDFGDEFYSAFIAEPSISNSMPSPATLSHSLSELLNGPIDVSDLSLCKAFNQNHPESTAEFNDSDSGISLNTSPSVASPEHSVESSSYGDTLLGLSDSEVEELDSAPGSVKQNGPKTPVHSSGDMVQPLSPSQGQSTHVHDAQCENTPEKELPVSPGHRKTPFTKDKHSSRLEAHLTRDELRAKALHIPFPVEKIINLPVVDFNEMMSKEQFNEAQLALIRDIRRRGKNKVAAQNCRKRKLENIVELEQDLDHLKDEKEKLLKEKGENDKSLHLLKKQLSTLYLEVFSMLRDEDGKPYSPSEYSLQQTRDGNVFLVPKSKKPDVKKN
+>DECOY_sp|Q16236|NF2L2_HUMAN Nuclear factor erythroid 2-related factor 2 OS=Homo sapiens OX=9606 GN=NFE2L2 PE=1 SV=3
+NKKVDPKKSKPVLFVNGDRTQQLSYESPSYPKGDEDRLMSFVELYLTSLQKKLLHLSKDNEGKEKLLKEKEDKLHDLDQELEVINELKRKRCNQAAVKNKGRRRIDRILALQAENFQEKSMMENFDVVPLNIIKEVPFPIHLAKARLEDRTLHAELRSSHKDKTFPTKRHGPSVPLEKEPTNECQADHVHTSQGQSPSLPQVMDGSSHVPTKPGNQKVSGPASDLEEVESDSLGLLTDGYSSSEVSHEPSAVSPSTNLSIGSDSDNFEATSEPHNQNFAKCLSLDSVDIPGNLLESLSHSLTAPSPMSNSISPEAIFASYFEDGFDTNVTADSNLSNVTLQNPDETSLISSFSDEFANLFHPSCNGVEKEMSPISSYFHYNDVETLKAEPSPVMTTEVLKDNEINLCQLEPISLLEEWVQEIDQQMGDLDVPAVQAVSTEPSQAQNTAIFVPSEIHGPIDPVLSQFTASSVENDDVFPFTQALLQMCDDFYLADSKPIHAVQSYNASGSTESQIHQAPQIPLFEGTEEDLQLQAFFAKEQEKQLQEQREKELKKQKELEYEKRRQSFDFVERSVGLDIDQRWLIDILDMDQQSPLGPPPLELDMM
+>sp|Q13469|NFAC2_HUMAN Nuclear factor of activated T-cells, cytoplasmic 2 OS=Homo sapiens OX=9606 GN=NFATC2 PE=1 SV=2
+MNAPERQPQPDGGDAPGHEPGGSPQDELDFSILFDYEYLNPNEEEPNAHKVASPPSGPAYPDDVLDYGLKPYSPLASLSGEPPGRFGEPDRVGPQKFLSAAKPAGASGLSPRIEITPSHELIQAVGPLRMRDAGLLVEQPPLAGVAASPRFTLPVPGFEGYREPLCLSPASSGSSASFISDTFSPYTSPCVSPNNGGPDDLCPQFQNIPAHYSPRTSPIMSPRTSLAEDSCLGRHSPVPRPASRSSSPGAKRRHSCAEALVALPPGASPQRSRSPSPQPSSHVAPQDHGSPAGYPPVAGSAVIMDALNSLATDSPCGIPPKMWKTSPDPSPVSAAPSKAGLPRHIYPAVEFLGPCEQGERRNSAPESILLVPPTWPKPLVPAIPICSIPVTASLPPLEWPLSSQSGSYELRIEVQPKPHHRAHYETEGSRGAVKAPTGGHPVVQLHGYMENKPLGLQIFIGTADERILKPHAFYQVHRITGKTVTTTSYEKIVGNTKVLEIPLEPKNNMRATIDCAGILKLRNADIELRKGETDIGRKNTRVRLVFRVHIPESSGRIVSLQTASNPIECSQRSAHELPMVERQDTDSCLVYGGQQMILTGQNFTSESKVVFTEKTTDGQQIWEMEATVDKDKSQPNMLFVEIPEYRNKHIRTPVKVNFYVINGKRKRSQPQHFTYHPVPAIKTEPTDEYDPTLICSPTHGGLGSQPYYPQHPMVAESPSCLVATMAPCQQFRTGLSSPDARYQQQNPAAVLYQRSKSLSPSLLGYQQPALMAAPLSLADAHRSVLVHAGSQGQSSALLHPSPTNQQASPVIHYSPTNQQLRCGSHQEFQHIMYCENFAPGTTRPGPPPVSQGQRLSPGSYPTVIQQQNATSQRAAKNGPPVSDQKEVLPAGVTIKQEQNLDQTYLDDVNEIIRKEFSGPPARNQT
+>DECOY_sp|Q13469|NFAC2_HUMAN Nuclear factor of activated T-cells, cytoplasmic 2 OS=Homo sapiens OX=9606 GN=NFATC2 PE=1 SV=2
+TQNRAPPGSFEKRIIENVDDLYTQDLNQEQKITVGAPLVEKQDSVPPGNKAARQSTANQQQIVTPYSGPSLRQGQSVPPPGPRTTGPAFNECYMIHQFEQHSGCRLQQNTPSYHIVPSAQQNTPSPHLLASSQGQSGAHVLVSRHADALSLPAAMLAPQQYGLLSPSLSKSRQYLVAAPNQQQYRADPSSLGTRFQQCPAMTAVLCSPSEAVMPHQPYYPQSGLGGHTPSCILTPDYEDTPETKIAPVPHYTFHQPQSRKRKGNIVYFNVKVPTRIHKNRYEPIEVFLMNPQSKDKDVTAEMEWIQQGDTTKETFVVKSESTFNQGTLIMQQGGYVLCSDTDQREVMPLEHASRQSCEIPNSATQLSVIRGSSEPIHVRFVLRVRTNKRGIDTEGKRLEIDANRLKLIGACDITARMNNKPELPIELVKTNGVIKEYSTTTVTKGTIRHVQYFAHPKLIREDATGIFIQLGLPKNEMYGHLQVVPHGGTPAKVAGRSGETEYHARHHPKPQVEIRLEYSGSQSSLPWELPPLSATVPISCIPIAPVLPKPWTPPVLLISEPASNRREGQECPGLFEVAPYIHRPLGAKSPAASVPSPDPSTKWMKPPIGCPSDTALSNLADMIVASGAVPPYGAPSGHDQPAVHSSPQPSPSRSRQPSAGPPLAVLAEACSHRRKAGPSSSRSAPRPVPSHRGLCSDEALSTRPSMIPSTRPSYHAPINQFQPCLDDPGGNNPSVCPSTYPSFTDSIFSASSGSSAPSLCLPERYGEFGPVPLTFRPSAAVGALPPQEVLLGADRMRLPGVAQILEHSPTIEIRPSLGSAGAPKAASLFKQPGVRDPEGFRGPPEGSLSALPSYPKLGYDLVDDPYAPGSPPSAVKHANPEEENPNLYEYDFLISFDLEDQPSGGPEHGPADGGDPQPQREPANM
+>sp|O94856|NFASC_HUMAN Neurofascin OS=Homo sapiens OX=9606 GN=NFASC PE=1 SV=4
+MARQPPPPWVHAAFLLCLLSLGGAIEIPMDPSIQNELTQPPTITKQSAKDHIVDPRDNILIECEAKGNPAPSFHWTRNSRFFNIAKDPRVSMRRRSGTLVIDFRSGGRPEEYEGEYQCFARNKFGTALSNRIRLQVSKSPLWPKENLDPVVVQEGAPLTLQCNPPPGLPSPVIFWMSSSMEPITQDKRVSQGHNGDLYFSNVMLQDMQTDYSCNARFHFTHTIQQKNPFTLKVLTTRGVAERTPSFMYPQGTASSQMVLRGMDLLLECIASGVPTPDIAWYKKGGDLPSDKAKFENFNKALRITNVSEEDSGEYFCLASNKMGSIRHTISVRVKAAPYWLDEPKNLILAPGEDGRLVCRANGNPKPTVQWMVNGEPLQSAPPNPNREVAGDTIIFRDTQISSRAVYQCNTSNEHGYLLANAFVSVLDVPPRMLSPRNQLIRVILYNRTRLDCPFFGSPIPTLRWFKNGQGSNLDGGNYHVYENGSLEIKMIRKEDQGIYTCVATNILGKAENQVRLEVKDPTRIYRMPEDQVARRGTTVQLECRVKHDPSLKLTVSWLKDDEPLYIGNRMKKEDDSLTIFGVAERDQGSYTCVASTELDQDLAKAYLTVLADQATPTNRLAALPKGRPDRPRDLELTDLAERSVRLTWIPGDANNSPITDYVVQFEEDQFQPGVWHDHSKYPGSVNSAVLRLSPYVNYQFRVIAINEVGSSHPSLPSERYRTSGAPPESNPGDVKGEGTRKNNMEITWTPMNATSAFGPNLRYIVKWRRRETREAWNNVTVWGSRYVVGQTPVYVPYEIRVQAENDFGKGPEPESVIGYSGEDYPRAAPTEVKVRVMNSTAISLQWNRVYSDTVQGQLREYRAYYWRESSLLKNLWVSQKRQQASFPGDRLRGVVSRLFPYSNYKLEMVVVNGRGDGPRSETKEFTTPEGVPSAPRRFRVRQPNLETINLEWDHPEHPNGIMIGYTLKYVAFNGTKVGKQIVENFSPNQTKFTVQRTDPVSRYRFTLSARTQVGSGEAVTEESPAPPNEATPTAAPPTLPPTTVGATGAVSSTDATAIAATTEATTVPIIPTVAPTTIATTTTVATTTTTTAAATTTTESPPTTTSGTKIHESAPDEQSIWNVTVLPNSKWANITWKHNFGPGTDFVVEYIDSNHTKKTVPVKAQAQPIQLTDLYPGMTYTLRVYSRDNEGISSTVITFMTSTAYTNNQADIATQGWFIGLMCAIALLVLILLIVCFIKRSRGGKYPVREKKDVPLGPEDPKEEDGSFDYSDEDNKPLQGSQTSLDGTIKQQESDDSLVDYGEGGEGQFNEDGSFIGQYTVKKDKEETEGNESSEATSPVNAIYSLA
+>DECOY_sp|O94856|NFASC_HUMAN Neurofascin OS=Homo sapiens OX=9606 GN=NFASC PE=1 SV=4
+ALSYIANVPSTAESSENGETEEKDKKVTYQGIFSGDENFQGEGGEGYDVLSDDSEQQKITGDLSTQSGQLPKNDEDSYDFSGDEEKPDEPGLPVDKKERVPYKGGRSRKIFCVILLILVLLAIACMLGIFWGQTAIDAQNNTYATSTMFTIVTSSIGENDRSYVRLTYTMGPYLDTLQIPQAQAKVPVTKKTHNSDIYEVVFDTGPGFNHKWTINAWKSNPLVTVNWISQEDPASEHIKTGSTTTPPSETTTTAAATTTTTTAVTTTTAITTPAVTPIIPVTTAETTAAIATADTSSVAGTAGVTTPPLTPPAATPTAENPPAPSEETVAEGSGVQTRASLTFRYRSVPDTRQVTFKTQNPSFNEVIQKGVKTGNFAVYKLTYGIMIGNPHEPHDWELNITELNPQRVRFRRPASPVGEPTTFEKTESRPGDGRGNVVVMELKYNSYPFLRSVVGRLRDGPFSAQQRKQSVWLNKLLSSERWYYARYERLQGQVTDSYVRNWQLSIATSNMVRVKVETPAARPYDEGSYGIVSEPEPGKGFDNEAQVRIEYPVYVPTQGVVYRSGWVTVNNWAERTERRRWKVIYRLNPGFASTANMPTWTIEMNNKRTGEGKVDGPNSEPPAGSTRYRESPLSPHSSGVENIAIVRFQYNVYPSLRLVASNVSGPYKSHDHWVGPQFQDEEFQVVYDTIPSNNADGPIWTLRVSREALDTLELDRPRDPRGKPLAALRNTPTAQDALVTLYAKALDQDLETSAVCTYSGQDREAVGFITLSDDEKKMRNGIYLPEDDKLWSVTLKLSPDHKVRCELQVTTGRRAVQDEPMRYIRTPDKVELRVQNEAKGLINTAVCTYIGQDEKRIMKIELSGNEYVHYNGGDLNSGQGNKFWRLTPIPSGFFPCDLRTRNYLIVRILQNRPSLMRPPVDLVSVFANALLYGHENSTNCQYVARSSIQTDRFIITDGAVERNPNPPASQLPEGNVMWQVTPKPNGNARCVLRGDEGPALILNKPEDLWYPAAKVRVSITHRISGMKNSALCFYEGSDEESVNTIRLAKNFNEFKAKDSPLDGGKKYWAIDPTPVGSAICELLLDMGRLVMQSSATGQPYMFSPTREAVGRTTLVKLTFPNKQQITHTFHFRANCSYDTQMDQLMVNSFYLDGNHGQSVRKDQTIPEMSSSMWFIVPSPLGPPPNCQLTLPAGEQVVVPDLNEKPWLPSKSVQLRIRNSLATGFKNRAFCQYEGEYEEPRGGSRFDIVLTGSRRRMSVRPDKAINFFRSNRTWHFSPAPNGKAECEILINDRPDVIHDKASQKTITPPQTLENQISPDMPIEIAGGLSLLCLLFAAHVWPPPPQRAM
+>sp|Q86UQ8|NFE4_HUMAN Transcription factor NF-E4 OS=Homo sapiens OX=9606 GN=NFE4 PE=1 SV=1
+MPRVVCWHTLKSLNGYKNLSSGAETREGLRSSSPVDLPLRPRKQATAAGQRKLLSLQLLLCACTSVTDLTYWGPAGHGATAPHRSLLAIHLHLVPASSAAMKATGPHNAQTQVNPQGHAPSAEDPTGTWTVSGPCKDHPHPFLSQSNPPTRISSALPLKTDSALEQTPQQLPSLHLSQG
+>DECOY_sp|Q86UQ8|NFE4_HUMAN Transcription factor NF-E4 OS=Homo sapiens OX=9606 GN=NFE4 PE=1 SV=1
+GQSLHLSPLQQPTQELASDTKLPLASSIRTPPNSQSLFPHPHDKCPGSVTWTGTPDEASPAHGQPNVQTQANHPGTAKMAASSAPVLHLHIALLSRHPATAGHGAPGWYTLDTVSTCACLLLQLSLLKRQGAATAQKRPRLPLDVPSSSRLGERTEAGSSLNKYGNLSKLTHWCVVRPM
+>sp|P12036|NFH_HUMAN Neurofilament heavy polypeptide OS=Homo sapiens OX=9606 GN=NEFH PE=1 SV=4
+MMSFGGADALLGAPFAPLHGGGSLHYALARKGGAGGTRSAAGSSSGFHSWTRTSVSSVSASPSRFRGAGAASSTDSLDTLSNGPEGCMVAVATSRSEKEQLQALNDRFAGYIDKVRQLEAHNRSLEGEAAALRQQQAGRSAMGELYEREVREMRGAVLRLGAARGQLRLEQEHLLEDIAHVRQRLDDEARQREEAEAAARALARFAQEAEAARVDLQKKAQALQEECGYLRRHHQEEVGELLGQIQGSGAAQAQMQAETRDALKCDVTSALREIRAQLEGHAVQSTLQSEEWFRVRLDRLSEAAKVNTDAMRSAQEEITEYRRQLQARTTELEALKSTKDSLERQRSELEDRHQADIASYQEAIQQLDAELRNTKWEMAAQLREYQDLLNVKMALDIEIAAYRKLLEGEECRIGFGPIPFSLPEGLPKIPSVSTHIKVKSEEKIKVVEKSEKETVIVEEQTEETQVTEEVTEEEEKEAKEEEGKEEEGGEEEEAEGGEEETKSPPAEEAASPEKEAKSPVKEEAKSPAEAKSPEKEEAKSPAEVKSPEKAKSPAKEEAKSPPEAKSPEKEEAKSPAEVKSPEKAKSPAKEEAKSPAEAKSPEKAKSPVKEEAKSPAEAKSPVKEEAKSPAEVKSPEKAKSPTKEEAKSPEKAKSPEKAKSPEKEEAKSPEKAKSPVKAEAKSPEKAKSPVKAEAKSPEKAKSPVKEEAKSPEKAKSPVKEEAKSPEKAKSPVKEEAKTPEKAKSPVKEEAKSPEKAKSPEKAKTLDVKSPEAKTPAKEEARSPADKFPEKAKSPVKEEVKSPEKAKSPLKEDAKAPEKEIPKKEEVKSPVKEEEKPQEVKVKEPPKKAEEEKAPATPKTEEKKDSKKEEAPKKEAPKPKVEEKKEPAVEKPKESKVEAKKEEAEDKKKVPTPEKEAPAKVEVKEDAKPKEKTEVAKKEPDDAKAKEPSKPAEKKEAAPEKKDTKEEKAKKPEEKPKTEAKAKEDDKTLSKEPSKPKAEKAEKSSSTDQKDSKPPEKATEDKAAKGK
+>DECOY_sp|P12036|NFH_HUMAN Neurofilament heavy polypeptide OS=Homo sapiens OX=9606 GN=NEFH PE=1 SV=4
+KGKAAKDETAKEPPKSDKQDTSSSKEAKEAKPKSPEKSLTKDDEKAKAETKPKEEPKKAKEEKTDKKEPAAEKKEAPKSPEKAKADDPEKKAVETKEKPKADEKVEVKAPAEKEPTPVKKKDEAEEKKAEVKSEKPKEVAPEKKEEVKPKPAEKKPAEEKKSDKKEETKPTAPAKEEEAKKPPEKVKVEQPKEEEKVPSKVEEKKPIEKEPAKADEKLPSKAKEPSKVEEKVPSKAKEPFKDAPSRAEEKAPTKAEPSKVDLTKAKEPSKAKEPSKAEEKVPSKAKEPTKAEEKVPSKAKEPSKAEEKVPSKAKEPSKAEEKVPSKAKEPSKAEAKVPSKAKEPSKAEAKVPSKAKEPSKAEEKEPSKAKEPSKAKEPSKAEEKTPSKAKEPSKVEAPSKAEEKVPSKAEAPSKAEEKVPSKAKEPSKAEAPSKAEEKAPSKAKEPSKVEAPSKAEEKEPSKAEPPSKAEEKAPSKAKEPSKVEAPSKAEEKEPSKAEAPSKAEEKVPSKAEKEPSAAEEAPPSKTEEEGGEAEEEEGGEEEKGEEEKAEKEEEETVEETVQTEETQEEVIVTEKESKEVVKIKEESKVKIHTSVSPIKPLGEPLSFPIPGFGIRCEEGELLKRYAAIEIDLAMKVNLLDQYERLQAAMEWKTNRLEADLQQIAEQYSAIDAQHRDELESRQRELSDKTSKLAELETTRAQLQRRYETIEEQASRMADTNVKAAESLRDLRVRFWEESQLTSQVAHGELQARIERLASTVDCKLADRTEAQMQAQAAGSGQIQGLLEGVEEQHHRRLYGCEEQLAQAKKQLDVRAAEAEQAFRALARAAAEAEERQRAEDDLRQRVHAIDELLHEQELRLQGRAAGLRLVAGRMERVEREYLEGMASRGAQQQRLAAAEGELSRNHAELQRVKDIYGAFRDNLAQLQEKESRSTAVAVMCGEPGNSLTDLSDTSSAAGAGRFRSPSASVSSVSTRTWSHFGSSSGAASRTGGAGGKRALAYHLSGGGHLPAFPAGLLADAGGFSMM
+>sp|Q12857|NFIA_HUMAN Nuclear factor 1 A-type OS=Homo sapiens OX=9606 GN=NFIA PE=1 SV=2
+MYSPLCLTQDEFHPFIEALLPHVRAFAYTWFNLQARKRKYFKKHEKRMSKEEERAVKDELLSEKPEVKQKWASRLLAKLRKDIRPEYREDFVLTVTGKKPPCCVLSNPDQKGKMRRIDCLRQADKVWRLDLVMVILFKGIPLESTDGERLVKSPQCSNPGLCVQPHHIGVSVKELDLYLAYFVHAADSSQSESPSQPSDADIKDQPENGHLGFQDSFVTSGVFSVTELVRVSQTPIAAGTGPNFSLSDLESSSYYSMSPGAMRRSLPSTSSTSSTKRLKSVEDEMDSPGEEPFYTGQGRSPGSGSQSSGWHEVEPGMPSPTTLKKSEKSGFSSPSPSQTSSLGTAFTQHHRPVITGPRASPHATPSTLHFPTSPIIQQPGPYFSHPAIRYHPQETLKEFVQLVCPDAGQQAGQVGFLNPNGSSQGKVHNPFLPTPMLPPPPPPPMARPVPLPVPDTKPPTTSTEGGAASPTSPTYSTPSTSPANRFVSVGPRDPSFVNIPQQTQSWYLG
+>DECOY_sp|Q12857|NFIA_HUMAN Nuclear factor 1 A-type OS=Homo sapiens OX=9606 GN=NFIA PE=1 SV=2
+GLYWSQTQQPINVFSPDRPGVSVFRNAPSTSPTSYTPSTPSAAGGETSTTPPKTDPVPLPVPRAMPPPPPPPLMPTPLFPNHVKGQSSGNPNLFGVQGAQQGADPCVLQVFEKLTEQPHYRIAPHSFYPGPQQIIPSTPFHLTSPTAHPSARPGTIVPRHHQTFATGLSSTQSPSPSSFGSKESKKLTTPSPMGPEVEHWGSSQSGSGPSRGQGTYFPEEGPSDMEDEVSKLRKTSSTSSTSPLSRRMAGPSMSYYSSSELDSLSFNPGTGAAIPTQSVRVLETVSFVGSTVFSDQFGLHGNEPQDKIDADSPQSPSESQSSDAAHVFYALYLDLEKVSVGIHHPQVCLGPNSCQPSKVLREGDTSELPIGKFLIVMVLDLRWVKDAQRLCDIRRMKGKQDPNSLVCCPPKKGTVTLVFDERYEPRIDKRLKALLRSAWKQKVEPKESLLEDKVAREEEKSMRKEHKKFYKRKRAQLNFWTYAFARVHPLLAEIFPHFEDQTLCLPSYM
+>sp|P08651|NFIC_HUMAN Nuclear factor 1 C-type OS=Homo sapiens OX=9606 GN=NFIC PE=1 SV=2
+MYSSPLCLTQDEFHPFIEALLPHVRAFAYTWFNLQARKRKYFKKHEKRMSKDEERAVKDELLGEKPEVKQKWASRLLAKLRKDIRPECREDFVLSITGKKAPGCVLSNPDQKGKMRRIDCLRQADKVWRLDLVMVILFKGIPLESTDGERLVKAAQCGHPVLCVQPHHIGVAVKELDLYLAYFVRERDAEQSGSPRTGMGSDQEDSKPITLDTTDFQESFVTSGVFSVTELIQVSRTPVVTGTGPNFSLGELQGHLAYDLNPASTGLRRTLPSTSSSGSKRHKSGSMEEDVDTSPGGDYYTSPSSPTSSSRNWTEDMEGGISSPVKKTEMDKSPFNSPSPQDSPRLSSFTQHHRPVIAVHSGIARSPHPSSALHFPTTSILPQTASTYFPHTAIRYPPHLNPQDPLKDLVSLACDPASQQPGPLNGSGQLKMPSHCLSAQMLAPPPPGLPRLALPPATKPATTSEGGATSPTSPSYSPPDTSPANRSFVGLGPRDPAGIYQAQSWYLG
+>DECOY_sp|P08651|NFIC_HUMAN Nuclear factor 1 C-type OS=Homo sapiens OX=9606 GN=NFIC PE=1 SV=2
+GLYWSQAQYIGAPDRPGLGVFSRNAPSTDPPSYSPSTPSTAGGESTTAPKTAPPLALRPLGPPPPALMQASLCHSPMKLQGSGNLPGPQQSAPDCALSVLDKLPDQPNLHPPYRIATHPFYTSATQPLISTTPFHLASSPHPSRAIGSHVAIVPRHHQTFSSLRPSDQPSPSNFPSKDMETKKVPSSIGGEMDETWNRSSSTPSSPSTYYDGGPSTDVDEEMSGSKHRKSGSSSTSPLTRRLGTSAPNLDYALHGQLEGLSFNPGTGTVVPTRSVQILETVSFVGSTVFSEQFDTTDLTIPKSDEQDSGMGTRPSGSQEADRERVFYALYLDLEKVAVGIHHPQVCLVPHGCQAAKVLREGDTSELPIGKFLIVMVLDLRWVKDAQRLCDIRRMKGKQDPNSLVCGPAKKGTISLVFDERCEPRIDKRLKALLRSAWKQKVEPKEGLLEDKVAREEDKSMRKEHKKFYKRKRAQLNFWTYAFARVHPLLAEIFPHFEDQTLCLPSSYM
+>sp|P07196|NFL_HUMAN Neurofilament light polypeptide OS=Homo sapiens OX=9606 GN=NEFL PE=1 SV=3
+MSSFSYEPYYSTSYKRRYVETPRVHISSVRSGYSTARSAYSSYSAPVSSSLSVRRSYSSSSGSLMPSLENLDLSQVAAISNDLKSIRTQEKAQLQDLNDRFASFIERVHELEQQNKVLEAELLVLRQKHSEPSRFRALYEQEIRDLRLAAEDATNEKQALQGEREGLEETLRNLQARYEEEVLSREDAEGRLMEARKGADEAALARAELEKRIDSLMDEISFLKKVHEEEIAELQAQIQYAQISVEMDVTKPDLSAALKDIRAQYEKLAAKNMQNAEEWFKSRFTVLTESAAKNTDAVRAAKDEVSESRRLLKAKTLEIEACRGMNEALEKQLQELEDKQNADISAMQDTINKLENELRTTKSEMARYLKEYQDLLNVKMALDIEIAAYRKLLEGEETRLSFTSVGSITSGYSQSSQVFGRSAYGGLQTSSYLMSTRSFPSYYTSHVQEEQIEVEETIEAAKAEEAKDEPPSEGEAEEEEKDKEEAEEEEAAEEEEAAKEESEEAKEEEEGGEGEEGEETKEAEEEEKKVEGAGEEQAAKKKD
+>DECOY_sp|P07196|NFL_HUMAN Neurofilament light polypeptide OS=Homo sapiens OX=9606 GN=NEFL PE=1 SV=3
+DKKKAAQEEGAGEVKKEEEEAEKTEEGEEGEGGEEEEKAEESEEKAAEEEEAAEEEEAEEKDKEEEEAEGESPPEDKAEEAKAAEITEEVEIQEEQVHSTYYSPFSRTSMLYSSTQLGGYASRGFVQSSQSYGSTISGVSTFSLRTEEGELLKRYAAIEIDLAMKVNLLDQYEKLYRAMESKTTRLENELKNITDQMASIDANQKDELEQLQKELAENMGRCAEIELTKAKLLRRSESVEDKAARVADTNKAASETLVTFRSKFWEEANQMNKAALKEYQARIDKLAASLDPKTVDMEVSIQAYQIQAQLEAIEEEHVKKLFSIEDMLSDIRKELEARALAAEDAGKRAEMLRGEADERSLVEEEYRAQLNRLTEELGEREGQLAQKENTADEAALRLDRIEQEYLARFRSPESHKQRLVLLEAELVKNQQELEHVREIFSAFRDNLDQLQAKEQTRISKLDNSIAAVQSLDLNELSPMLSGSSSSYSRRVSLSSSVPASYSSYASRATSYGSRVSSIHVRPTEVYRRKYSTSYYPEYSFSSM
+>sp|Q9Y697|NFS1_HUMAN Cysteine desulfurase, mitochondrial OS=Homo sapiens OX=9606 GN=NFS1 PE=1 SV=3
+MLLRAAWRRAAVAVTAAPGPKPAAPTRGLRLRVGDRAPQSAVPADTAAAPEVGPVLRPLYMDVQATTPLDPRVLDAMLPYLINYYGNPHSRTHAYGWESEAAMERARQQVASLIGADPREIIFTSGATESNNIAIKGVARFYRSRKKHLITTQTEHKCVLDSCRSLEAEGFQVTYLPVQKSGIIDLKELEAAIQPDTSLVSVMTVNNEIGVKQPIAEIGRICSSRKVYFHTDAAQAVGKIPLDVNDMKIDLMSISGHKIYGPKGVGAIYIRRRPRVRVEALQSGGGQERGMRSGTVPTPLVVGLGAACEVAQQEMEYDHKRISKLSERLIQNIMKSLPDVVMNGDPKHHYPGCINLSFAYVEGESLLMALKDVALSSGSACTSASLEPSYVLRAIGTDEDLAHSSIRFGIGRFTTEEEVDYTVEKCIQHVKRLREMSPLWEMVQDGIDLKSIKWTQH
+>DECOY_sp|Q9Y697|NFS1_HUMAN Cysteine desulfurase, mitochondrial OS=Homo sapiens OX=9606 GN=NFS1 PE=1 SV=3
+HQTWKISKLDIGDQVMEWLPSMERLRKVHQICKEVTYDVEEETTFRGIGFRISSHALDEDTGIARLVYSPELSASTCASGSSLAVDKLAMLLSEGEVYAFSLNICGPYHHKPDGNMVVDPLSKMINQILRESLKSIRKHDYEMEQQAVECAAGLGVVLPTPVTGSRMGREQGGGSQLAEVRVRPRRRIYIAGVGKPGYIKHGSISMLDIKMDNVDLPIKGVAQAADTHFYVKRSSCIRGIEAIPQKVGIENNVTMVSVLSTDPQIAAELEKLDIIGSKQVPLYTVQFGEAELSRCSDLVCKHETQTTILHKKRSRYFRAVGKIAINNSETAGSTFIIERPDAGILSAVQQRAREMAAESEWGYAHTRSHPNGYYNILYPLMADLVRPDLPTTAQVDMYLPRLVPGVEPAAATDAPVASQPARDGVRLRLGRTPAAPKPGPAATVAVAARRWAARLLM
+>sp|P23511|NFYA_HUMAN Nuclear transcription factor Y subunit alpha OS=Homo sapiens OX=9606 GN=NFYA PE=1 SV=2
+MEQYTANSNSSTEQIVVQAGQIQQQQQGGVTAVQLQTEAQVASASGQQVQTLQVVQGQPLMVQVSGGQLITSTGQPIMVQAVPGGQGQTIMQVPVSGTQGLQQIQLVPPGQIQIQGGQAVQVQGQQGQTQQIIIQQPQTAVTAGQTQTQQQIAVQGQQVAQTAEGQTIVYQPVNADGTILQQVTVPVSGMITIPAASLAGAQIVQTGANTNTTSSGQGTVTVTLPVAGNVVNSGGMVMMVPGAGSVPAIQRIPLPGAEMLEEEPLYVNAKQYHRILKRRQARAKLEAEGKIPKERRKYLHESRHRHAMARKRGEGGRFFSPKEKDSPHMQDPNQADEEAMTQIIRVS
+>DECOY_sp|P23511|NFYA_HUMAN Nuclear transcription factor Y subunit alpha OS=Homo sapiens OX=9606 GN=NFYA PE=1 SV=2
+SVRIIQTMAEEDAQNPDQMHPSDKEKPSFFRGGEGRKRAMAHRHRSEHLYKRREKPIKGEAELKARAQRRKLIRHYQKANVYLPEEELMEAGPLPIRQIAPVSGAGPVMMVMGGSNVVNGAVPLTVTVTGQGSSTTNTNAGTQVIQAGALSAAPITIMGSVPVTVQQLITGDANVPQYVITQGEATQAVQQGQVAIQQQTQTQGATVATQPQQIIIQQTQGQQGQVQVAQGGQIQIQGPPVLQIQQLGQTGSVPVQMITQGQGGPVAQVMIPQGTSTILQGGSVQVMLPQGQVVQLTQVQQGSASAVQAETQLQVATVGGQQQQQIQGAQVVIQETSSNSNATYQEM
+>sp|Q13952|NFYC_HUMAN Nuclear transcription factor Y subunit gamma OS=Homo sapiens OX=9606 GN=NFYC PE=1 SV=3
+MSTEGGFGGTSSSDAQQSLQSFWPRVMEEIRNLTVKDFRVQELPLARIKKIMKLDEDVKMISAEAPVLFAKAAQIFITELTLRAWIHTEDNKRRTLQRNDIAMAITKFDQFDFLIDIVPRDELKPPKRQEEVRQSVTPAEPVQYYFTLAQQPTAVQVQGQQQGQQTTSSTTTIQPGQIIIAQPQQGQTTPVTMQVGEGQQVQIVQAQPQGQAQQAQSGTGQTMQVMQQIITNTGEIQQIPVQLNAGQLQYIRLAQPVSGTQVVQGQIQTLATNAQQGQRNASQGKPRRCLKETLQITQTEVQQGQQQFSQFTDGQRNSVQQARVSELTGEAEPREVKATGNSTPCTSSLPTTHPPSHRAGASCVCCSQPQQSSTSPPPSDALQWVVVEVSGTPNQLETHRELHAPLPGMTSLSPLHPSQQLYQIQQVTMPAGQDLAQPMFIQSANQPSDGQAPQVTGD
+>DECOY_sp|Q13952|NFYC_HUMAN Nuclear transcription factor Y subunit gamma OS=Homo sapiens OX=9606 GN=NFYC PE=1 SV=3
+DGTVQPAQGDSPQNASQIFMPQALDQGAPMTVQQIQYLQQSPHLPSLSTMGPLPAHLERHTELQNPTGSVEVVVWQLADSPPPSTSSQQPQSCCVCSAGARHSPPHTTPLSSTCPTSNGTAKVERPEAEGTLESVRAQQVSNRQGDTFQSFQQQGQQVETQTIQLTEKLCRRPKGQSANRQGQQANTALTQIQGQVVQTGSVPQALRIYQLQGANLQVPIQQIEGTNTIIQQMVQMTQGTGSQAQQAQGQPQAQVIQVQQGEGVQMTVPTTQGQQPQAIIIQGPQITTTSSTTQQGQQQGQVQVATPQQALTFYYQVPEAPTVSQRVEEQRKPPKLEDRPVIDILFDFQDFKTIAMAIDNRQLTRRKNDETHIWARLTLETIFIQAAKAFLVPAEASIMKVDEDLKMIKKIRALPLEQVRFDKVTLNRIEEMVRPWFSQLSQQADSSSTGGFGGETSM
+>sp|Q8NEJ9|NGDN_HUMAN Neuroguidin OS=Homo sapiens OX=9606 GN=NGDN PE=1 SV=1
+MAALGVLESDLPSAVTLLKNLQEQVMAVTAQVKSLTQKVQAGAYPTEKGLSFLEVKDQLLLMYLMDLTHLILDKASGGSLQGHDAVLRLVEIRTVLEKLRPLDQKLKYQIDKLIKTAVTGSLSENDPLRFKPHPSNMMSKLSSEDEEEDEAEDDQSEASGKKSVKGVSKKYVPPRLVPVHYDETEAEREKKRLERAKRRALSSSVIRELKEQYSDAPEEIRDARHPHVTRQSQEDQHRINYEESMMVRLSVSKREKGRRKRANVMSSQLHSLTHFSDISALTGGTVHLDEDQNPIKKRKKIPQKGRKKKGFRRRR
+>DECOY_sp|Q8NEJ9|NGDN_HUMAN Neuroguidin OS=Homo sapiens OX=9606 GN=NGDN PE=1 SV=1
+RRRRFGKKKRGKQPIKKRKKIPNQDEDLHVTGGTLASIDSFHTLSHLQSSMVNARKRRGKERKSVSLRVMMSEEYNIRHQDEQSQRTVHPHRADRIEEPADSYQEKLERIVSSSLARRKARELRKKEREAETEDYHVPVLRPPVYKKSVGKVSKKGSAESQDDEAEDEEEDESSLKSMMNSPHPKFRLPDNESLSGTVATKILKDIQYKLKQDLPRLKELVTRIEVLRLVADHGQLSGGSAKDLILHTLDMLYMLLLQDKVELFSLGKETPYAGAQVKQTLSKVQATVAMVQEQLNKLLTVASPLDSELVGLAAM
+>sp|Q8N5V2|NGEF_HUMAN Ephexin-1 OS=Homo sapiens OX=9606 GN=NGEF PE=1 SV=2
+METRESEDLEKTRRKSASDQWNTDNEPAKVKPELLPEKEETSQADQDIQDKEPHCHIPIKRNSIFNRSIRRKSKAKARDNPERNASCLADSQDNGKSVNEPLTLNIPWSRMPPCRTAMQTDPGAQEMSESSSTPGNGATPEEWPALADSPTTLTEALRMIHPIPADSWRNLIEQIGLLYQEYRDKSTLQEIETRRQQDAEIEDNTNGSPASEDTPEEEEEEEEEEEPASPPERKTLPQICLLSNPHSRFNLWQDLPEIRSSGVLEILQPEEIKLQEAMFELVTSEASYYKSLNLLVSHFMENERIRKILHPSEAHILFSNVLDVLAVSERFLLELEHRMEENIVISDVCDIVYRYAADHFSVYITYVSNQTYQERTYKQLLQEKAAFRELIAQLELDPKCRGLPFSSFLILPFQRITRLKLLVQNILKRVEERSERECTALDAHKELEMVVKACNEGVRKMSRTEQMISIQKKMEFKIKSVPIISHSRWLLKQGELQQMSGPKTSRTLRTKKLFHEIYLFLFNDLLVICRQIPGDKYQVFDSAPRGLLRVEELEDQGQTLANVFILRLLENADDREATYMLKASSQSEMKRWMTSLAPNRRTKFVSFTSRLLDCPQVQCVHPYVAQQPDELTLELADILNILDKTDDGWIFGERLHDQERGWFPSSMTEEILNPKIRSQNLKECFRVHKMDDPQRSQNKDRRKLGSRNRQ
+>DECOY_sp|Q8N5V2|NGEF_HUMAN Ephexin-1 OS=Homo sapiens OX=9606 GN=NGEF PE=1 SV=2
+QRNRSGLKRRDKNQSRQPDDMKHVRFCEKLNQSRIKPNLIEETMSSPFWGREQDHLREGFIWGDDTKDLINLIDALELTLEDPQQAVYPHVCQVQPCDLLRSTFSVFKTRRNPALSTMWRKMESQSSAKLMYTAERDDANELLRLIFVNALTQGQDELEEVRLLGRPASDFVQYKDGPIQRCIVLLDNFLFLYIEHFLKKTRLTRSTKPGSMQQLEGQKLLWRSHSIIPVSKIKFEMKKQISIMQETRSMKRVGENCAKVVMELEKHADLATCERESREEVRKLINQVLLKLRTIRQFPLILFSSFPLGRCKPDLELQAILERFAAKEQLLQKYTREQYTQNSVYTIYVSFHDAAYRYVIDCVDSIVINEEMRHELELLFRESVALVDLVNSFLIHAESPHLIKRIRENEMFHSVLLNLSKYYSAESTVLEFMAEQLKIEEPQLIELVGSSRIEPLDQWLNFRSHPNSLLCIQPLTKREPPSAPEEEEEEEEEEEPTDESAPSGNTNDEIEADQQRRTEIEQLTSKDRYEQYLLGIQEILNRWSDAPIPHIMRLAETLTTPSDALAPWEEPTAGNGPTSSSESMEQAGPDTQMATRCPPMRSWPINLTLPENVSKGNDQSDALCSANREPNDRAKAKSKRRISRNFISNRKIPIHCHPEKDQIDQDAQSTEEKEPLLEPKVKAPENDTNWQDSASKRRTKELDESERTEM
+>sp|P01138|NGF_HUMAN Beta-nerve growth factor OS=Homo sapiens OX=9606 GN=NGF PE=1 SV=3
+MSMLFYTLITAFLIGIQAEPHSESNVPAGHTIPQAHWTKLQHSLDTALRRARSAPAAAIAARVAGQTRNITVDPRLFKKRRLRSPRVLFSTQPPREAADTQDLDFEVGGAAPFNRTHRSKRSSSHPIFHRGEFSVCDSVSVWVGDKTTATDIKGKEVMVLGEVNINNSVFKQYFFETKCRDPNPVDSGCRGIDSKHWNSYCTTTHTFVKALTMDGKQAAWRFIRIDTACVCVLSRKAVRRA
+>DECOY_sp|P01138|NGF_HUMAN Beta-nerve growth factor OS=Homo sapiens OX=9606 GN=NGF PE=1 SV=3
+ARRVAKRSLVCVCATDIRIFRWAAQKGDMTLAKVFTHTTTCYSNWHKSDIGRCGSDVPNPDRCKTEFFYQKFVSNNINVEGLVMVEKGKIDTATTKDGVWVSVSDCVSFEGRHFIPHSSSRKSRHTRNFPAAGGVEFDLDQTDAAERPPQTSFLVRPSRLRRKKFLRPDVTINRTQGAVRAAIAAAPASRARRLATDLSHQLKTWHAQPITHGAPVNSESHPEAQIGILFATILTYFLMSM
+>sp|Q6VVB1|NHLC1_HUMAN E3 ubiquitin-protein ligase NHLRC1 OS=Homo sapiens OX=9606 GN=NHLRC1 PE=1 SV=2
+MAAEASESGPALHELMREAEISLLECKVCFEKFGHRQQRRPRNLSCGHVVCLACVAALAHPRTLALECPFCRRACRGCDTSDCLPVLHLIELLGSALRQSPAAHRAAPSAPGALTCHHTFGGWGTLVNPTGLALCPKTGRVVVVHDGRRRVKIFDSGGGCAHQFGEKGDAAQDIRYPVDVTITNDCHVVVTDAGDRSIKVFDFFGQIKLVIGGQFSLPWGVETTPQNGIVVTDAEAGSLHLLDVDFAEGVLRRTERLQAHLCNPRGVAVSWLTGAIAVLEHPLALGTGVCSTRVKVFSSSMQLVGQVDTFGLSLYFPSKITASAVTFDHQGNVIVADTSGPAILCLGKPEEFPVPKPMVTHGLSHPVALTFTKENSLLVLDTASHSIKVYKVDWG
+>DECOY_sp|Q6VVB1|NHLC1_HUMAN E3 ubiquitin-protein ligase NHLRC1 OS=Homo sapiens OX=9606 GN=NHLRC1 PE=1 SV=2
+GWDVKYVKISHSATDLVLLSNEKTFTLAVPHSLGHTVMPKPVPFEEPKGLCLIAPGSTDAVIVNGQHDFTVASATIKSPFYLSLGFTDVQGVLQMSSSFVKVRTSCVGTGLALPHELVAIAGTLWSVAVGRPNCLHAQLRETRRLVGEAFDVDLLHLSGAEADTVVIGNQPTTEVGWPLSFQGGIVLKIQGFFDFVKISRDGADTVVVHCDNTITVDVPYRIDQAADGKEGFQHACGGGSDFIKVRRRGDHVVVVRGTKPCLALGTPNVLTGWGGFTHHCTLAGPASPAARHAAPSQRLASGLLEILHLVPLCDSTDCGRCARRCFPCELALTRPHALAAVCALCVVHGCSLNRPRRQQRHGFKEFCVKCELLSIEAERMLEHLAPGSESAEAAM
+>sp|Q5JS37|NHLC3_HUMAN NHL repeat-containing protein 3 OS=Homo sapiens OX=9606 GN=NHLRC3 PE=2 SV=1
+MARFWVCVAGAGFFLAFLVLHSRFCGSPVLRNFTFAVSWRTEKILYRLDVGWPKHPEYFTGTTFCVAVDSLNGLVYIGQRGDNIPKILVFTEDGYFLRAWNYTVDTPHGIFAASTLYEQSVWITDVGSGFFGHTVKKYSSFGDLVQVLGTPGKKGTSLNPLQFDNPAELYVEDTGDIYIVDGDGGLNNRLIKLSQDFMILWLHGENGTGPAKFNIPHSVTLDSAGRVWVADRGNKRIQVFDKDTGEWLGAWNNCFTEEGPSSVRFTPDGKYLIVAQLNLSRLSVVAAPPVGSIGECSVISTIQLADQVLPHLLEVDRKTGAVYVAEIGAKQVQKYVPLNSYVPSFGS
+>DECOY_sp|Q5JS37|NHLC3_HUMAN NHL repeat-containing protein 3 OS=Homo sapiens OX=9606 GN=NHLRC3 PE=2 SV=1
+SGFSPVYSNLPVYKQVQKAGIEAVYVAGTKRDVELLHPLVQDALQITSIVSCEGISGVPPAAVVSLRSLNLQAVILYKGDPTFRVSSPGEETFCNNWAGLWEGTDKDFVQIRKNGRDAVWVRGASDLTVSHPINFKAPGTGNEGHLWLIMFDQSLKILRNNLGGDGDVIYIDGTDEVYLEAPNDFQLPNLSTGKKGPTGLVQVLDGFSSYKKVTHGFFGSGVDTIWVSQEYLTSAAFIGHPTDVTYNWARLFYGDETFVLIKPINDGRQGIYVLGNLSDVAVCFTTGTFYEPHKPWGVDLRYLIKETRWSVAFTFNRLVPSGCFRSHLVLFALFFGAGAVCVWFRAM
+>sp|Q969F2|NKD2_HUMAN Protein naked cuticle homolog 2 OS=Homo sapiens OX=9606 GN=NKD2 PE=1 SV=1
+MGKLQSKHAAAARKRRESPEGDSFVASAYASGRKGAEEAERRARDKQELPNGDPKEGPFREDQCPLQVALPAEKAEGREHPGQLLSADDGERAANREGPRGPGGQRLNIDALQCDVSVEEDDRQEWTFTLYDFDNCGKVTREDMSSLMHTIYEVVDASVNHSSGSSKTLRVKLTVSPEPSSKRKEGPPAGQDREPTRCRMEGELAEEPRVADRRLSAHVRRPSTDPQPCSERGPYCVDENTERRNHYLDLAGIENYTSRFGPGSPPVQAKQEPQGRASHLQARSRSQEPDTHAVHHRRSQVLVEHVVPASEPAARALDTQPRPKGPEKQFLKSPKGSGKPPGVPASSKSGKAFSYYLPAVLPPQAPQDGHHLPQPPPPPYGHKRYRQKGREGHSPLKAPHAQPATVEHEVVRDLPPTPAGEGYAVPVIQRHEHHHHHEHHHHHHHHHFHPS
+>DECOY_sp|Q969F2|NKD2_HUMAN Protein naked cuticle homolog 2 OS=Homo sapiens OX=9606 GN=NKD2 PE=1 SV=1
+SPHFHHHHHHHHHEHHHHHEHRQIVPVAYGEGAPTPPLDRVVEHEVTAPQAHPAKLPSHGERGKQRYRKHGYPPPPPQPLHHGDQPAQPPLVAPLYYSFAKGSKSSAPVGPPKGSGKPSKLFQKEPGKPRPQTDLARAAPESAPVVHEVLVQSRRHHVAHTDPEQSRSRAQLHSARGQPEQKAQVPPSGPGFRSTYNEIGALDLYHNRRETNEDVCYPGRESCPQPDTSPRRVHASLRRDAVRPEEALEGEMRCRTPERDQGAPPGEKRKSSPEPSVTLKVRLTKSSGSSHNVSADVVEYITHMLSSMDERTVKGCNDFDYLTFTWEQRDDEEVSVDCQLADINLRQGGPGRPGERNAAREGDDASLLQGPHERGEAKEAPLAVQLPCQDERFPGEKPDGNPLEQKDRARREAEEAGKRGSAYASAVFSDGEPSERRKRAAAAHKSQLKGM
+>sp|P26717|NKG2C_HUMAN NKG2-C type II integral membrane protein OS=Homo sapiens OX=9606 GN=KLRC2 PE=1 SV=2
+MSKQRGTFSEVSLAQDPKRQQRKPKGNKSSISGTEQEIFQVELNLQNPSLNHQGIDKIYDCQGLLPPPEKLTAEVLGIICIVLMATVLKTIVLIPFLEQNNSSPNTRTQKARHCGHCPEEWITYSNSCYYIGKERRTWEESLLACTSKNSSLLSIDNEEEMKFLASILPSSWIGVFRNSSHHPWVTINGLAFKHKIKDSDNAELNCAVLQVNRLKSAQCGSSMIYHCKHKL
+>DECOY_sp|P26717|NKG2C_HUMAN NKG2-C type II integral membrane protein OS=Homo sapiens OX=9606 GN=KLRC2 PE=1 SV=2
+LKHKCHYIMSSGCQASKLRNVQLVACNLEANDSDKIKHKFALGNITVWPHHSSNRFVGIWSSPLISALFKMEEENDISLLSSNKSTCALLSEEWTRREKGIYYCSNSYTIWEEPCHGCHRAKQTRTNPSSNNQELFPILVITKLVTAMLVICIIGLVEATLKEPPPLLGQCDYIKDIGQHNLSPNQLNLEVQFIEQETGSISSKNGKPKRQQRKPDQALSVESFTGRQKSM
+>sp|P26718|NKG2D_HUMAN NKG2-D type II integral membrane protein OS=Homo sapiens OX=9606 GN=KLRK1 PE=1 SV=1
+MGWIRGRRSRHSWEMSEFHNYNLDLKKSDFSTRWQKQRCPVVKSKCRENASPFFFCCFIAVAMGIRFIIMVAIWSAVFLNSLFNQEVQIPLTESYCGPCPKNWICYKNNCYQFFDESKNWYESQASCMSQNASLLKVYSKEDQDLLKLVKSYHWMGLVHIPTNGSWQWEDGSILSPNLLTIIEMQKGDCALYASSFKGYIENCSTPNTYICMQRTV
+>DECOY_sp|P26718|NKG2D_HUMAN NKG2-D type II integral membrane protein OS=Homo sapiens OX=9606 GN=KLRK1 PE=1 SV=1
+VTRQMCIYTNPTSCNEIYGKFSSAYLACDGKQMEIITLLNPSLISGDEWQWSGNTPIHVLGMWHYSKVLKLLDQDEKSYVKLLSANQSMCSAQSEYWNKSEDFFQYCNNKYCIWNKPCPGCYSETLPIQVEQNFLSNLFVASWIAVMIIFRIGMAVAIFCCFFFPSANERCKSKVVPCRQKQWRTSFDSKKLDLNYNHFESMEWSHRSRRGRIWGM
+>sp|Q16617|NKG7_HUMAN Protein NKG7 OS=Homo sapiens OX=9606 GN=NKG7 PE=1 SV=1
+MELCRSLALLGGSLGLMFCLIALSTDFWFEAVGPTHSAHSGLWPTGHGDIISGYIHVTQTFSIMAVLWALVSVSFLVLSCFPSLFPPGHGPLVSTTAAFAAAISMVVAMAVYTSERWDQPPHPQIQTFFSWSFYLGWVSAILLLCTGALSLGAHCGGPRPGYETL
+>DECOY_sp|Q16617|NKG7_HUMAN Protein NKG7 OS=Homo sapiens OX=9606 GN=NKG7 PE=1 SV=1
+LTEYGPRPGGCHAGLSLAGTCLLLIASVWGLYFSWSFFTQIQPHPPQDWRESTYVAMAVVMSIAAAFAATTSVLPGHGPPFLSPFCSLVLFSVSVLAWLVAMISFTQTVHIYGSIIDGHGTPWLGSHASHTPGVAEFWFDTSLAILCFMLGLSGGLLALSRCLEM
+>sp|O15226|NKRF_HUMAN NF-kappa-B-repressing factor OS=Homo sapiens OX=9606 GN=NKRF PE=1 SV=2
+MEKILQMAEGIDIGEMPSYDLVLSKPSKGQKRHLSTCDGQNPPKKQAGSKFHARPRFEPVHFVASSSKDERQEDPYGPQTKEVNEQTHFASMPRDIYQDYTQDSFSIQDGNSQYCDSSGFILTKDQPVTANMYFDSGNPAPSTTSQQANSQSTPEPSPSQTFPESVVAEKQYFIEKLTATIWKNLSNPEMTSGSDKINYTYMLTRCIQACKTNPEYIYAPLKEIPPADIPKNKKLLTDGYACEVRCQNIYLTTGYAGSKNGSRDRATELAVKLLQKRIEVRVVRRKFKHTFGEDLVVCQIGMSSYEFPPALKPPEDLVVLGKDASGQPIFNASAKHWTNFVITENANDAIGILNNSASFNKMSIEYKYEMMPNRTWRCRVFLQDHCLAEGYGTKKTSKHAAADEALKILQKTQPTYPSVKSSQCHTGSSPRGSGKKKDIKDLVVYENSSNPVCTLNDTAQFNRMTVEYVYERMTGLRWKCKVILESEVIAEAVGVKKTVKYEAAGEAVKTLKKTQPTVINNLKKGAVEDVISRNEIQGRSAEEAYKQQIKEDNIGNQLLRKMGWTGGGLGKSGEGIREPISVKEQHKREGLGLDVERVNKIAKRDIEQIIRNYARSESHTDLTFSRELTNDERKQIHQIAQKYGLKSKSHGVGHDRYLVVGRKRRKEDLLDQLKQEGQVGHYELVMPQAN
+>DECOY_sp|O15226|NKRF_HUMAN NF-kappa-B-repressing factor OS=Homo sapiens OX=9606 GN=NKRF PE=1 SV=2
+NAQPMVLEYHGVQGEQKLQDLLDEKRRKRGVVLYRDHGVGHSKSKLGYKQAIQHIQKREDNTLERSFTLDTHSESRAYNRIIQEIDRKAIKNVREVDLGLGERKHQEKVSIPERIGEGSKGLGGGTWGMKRLLQNGINDEKIQQKYAEEASRGQIENRSIVDEVAGKKLNNIVTPQTKKLTKVAEGAAEYKVTKKVGVAEAIVESELIVKCKWRLGTMREYVYEVTMRNFQATDNLTCVPNSSNEYVVLDKIDKKKGSGRPSSGTHCQSSKVSPYTPQTKQLIKLAEDAAAHKSTKKTGYGEALCHDQLFVRCRWTRNPMMEYKYEISMKNFSASNNLIGIADNANETIVFNTWHKASANFIPQGSADKGLVVLDEPPKLAPPFEYSSMGIQCVVLDEGFTHKFKRRVVRVEIRKQLLKVALETARDRSGNKSGAYGTTLYINQCRVECAYGDTLLKKNKPIDAPPIEKLPAYIYEPNTKCAQICRTLMYTYNIKDSGSTMEPNSLNKWITATLKEIFYQKEAVVSEPFTQSPSPEPTSQSNAQQSTTSPAPNGSDFYMNATVPQDKTLIFGSSDCYQSNGDQISFSDQTYDQYIDRPMSAFHTQENVEKTQPGYPDEQREDKSSSAVFHVPEFRPRAHFKSGAQKKPPNQGDCTSLHRKQGKSPKSLVLDYSPMEGIDIGEAMQLIKEM
+>sp|O95096|NKX22_HUMAN Homeobox protein Nkx-2.2 OS=Homo sapiens OX=9606 GN=NKX2-2 PE=2 SV=1
+MSLTNTKTGFSVKDILDLPDTNDEEGSVAEGPEEENEGPEPAKRAGPLGQGALDAVQSLPLKNPFYDSSDNPYTRWLASTEGLQYSLHGLAAGAPPQDSSSKSPEPSADESPDNDKETPGGGGDAGKKRKRRVLFSKAQTYELERRFRQQRYLSAPEREHLASLIRLTPTQVKIWFQNHRYKMKRARAEKGMEVTPLPSPRRVAVPVLVRDGKPCHALKAQDLAAATFQAGIPFSAYSAQSLQHMQYNAQYSSASTPQYPTAHPLVQAQQWTW
+>DECOY_sp|O95096|NKX22_HUMAN Homeobox protein Nkx-2.2 OS=Homo sapiens OX=9606 GN=NKX2-2 PE=2 SV=1
+WTWQQAQVLPHATPYQPTSASSYQANYQMHQLSQASYASFPIGAQFTAAALDQAKLAHCPKGDRVLVPVAVRRPSPLPTVEMGKEARARKMKYRHNQFWIKVQTPTLRILSALHEREPASLYRQQRFRRELEYTQAKSFLVRRKRKKGADGGGGPTEKDNDPSEDASPEPSKSSSDQPPAGAALGHLSYQLGETSALWRTYPNDSSDYFPNKLPLSQVADLAGQGLPGARKAPEPGENEEEPGEAVSGEEDNTDPLDLIDKVSFGTKTNTLSM
+>sp|Q9H2Z4|NKX24_HUMAN Homeobox protein Nkx-2.4 OS=Homo sapiens OX=9606 GN=NKX2-4 PE=3 SV=3
+MSLSPKHTTPFSVSDILSPIEETYKKFSGAMDGAPPGLGAPLGAAAAYRAPPPGPSSQAATVAGMQPSHAMAGHNAAAAAAAAAAAAAAAATYHMPPGVSQFPHGAMGSYCNGGLGNMGELPAYTDGMRGGAATGWYGANPDPRYSSISRFMGPSAGVNVAGMGSLTGIADAAKSLGPLHAAAAAAAPRRKRRVLFSQAQVYELERRFKQQKYLSAPEREHLASMIHLTPTQVKIWFQNHRYKMKRQAKDKAAQQLQQEGGLGPPPPPPPSPRRVAVPVLVKDGKPCQNGASTPTPGQAGPQPPAPTPAPELEELSPSPPALHGPGGGLAALDAAAGEYSGGVLGANLLYGRTW
+>DECOY_sp|Q9H2Z4|NKX24_HUMAN Homeobox protein Nkx-2.4 OS=Homo sapiens OX=9606 GN=NKX2-4 PE=3 SV=3
+WTRGYLLNAGLVGGSYEGAAADLAALGGGPGHLAPPSPSLEELEPAPTPAPPQPGAQGPTPTSAGNQCPKGDKVLVPVAVRRPSPPPPPPPGLGGEQQLQQAAKDKAQRKMKYRHNQFWIKVQTPTLHIMSALHEREPASLYKQQKFRRELEYVQAQSFLVRRKRRPAAAAAAAHLPGLSKAADAIGTLSGMGAVNVGASPGMFRSISSYRPDPNAGYWGTAAGGRMGDTYAPLEGMNGLGGNCYSGMAGHPFQSVGPPMHYTAAAAAAAAAAAAAAAANHGAMAHSPQMGAVTAAQSSPGPPPARYAAAAGLPAGLGPPAGDMAGSFKKYTEEIPSLIDSVSFPTTHKPSLSM
+>sp|A6NCS4|NKX26_HUMAN Homeobox protein Nkx-2.6 OS=Homo sapiens OX=9606 GN=NKX2-6 PE=1 SV=1
+MLLSPVTSTPFSVKDILRLERERSCPAASPHPRVRKSPENFQYLRMDAEPRGSEVHNAGGGGGDRKLDGSEPPGGPCEAVLEMDAERMGEPQPGLNAASPLGGGTRVPERGVGNSGDSVRGGRSEQPKARQRRKPRVLFSQAQVLALERRFKQQRYLSAPEREHLASALQLTSTQVKIWFQNRRYKCKRQRQDKSLELAGHPLTPRRVAVPVLVRDGKPCLGPGPGAPAFPSPYSAAVSPYSCYGGYSGAPYGAGYGTCYAGAPSGPAPHTPLASAGFGHGGQNATPQGHLAATLQGVRAW
+>DECOY_sp|A6NCS4|NKX26_HUMAN Homeobox protein Nkx-2.6 OS=Homo sapiens OX=9606 GN=NKX2-6 PE=1 SV=1
+WARVGQLTAALHGQPTANQGGHGFGASALPTHPAPGSPAGAYCTGYGAGYPAGSYGGYCSYPSVAASYPSPFAPAGPGPGLCPKGDRVLVPVAVRRPTLPHGALELSKDQRQRKCKYRRNQFWIKVQTSTLQLASALHEREPASLYRQQKFRRELALVQAQSFLVRPKRRQRAKPQESRGGRVSDGSNGVGREPVRTGGGLPSAANLGPQPEGMREADMELVAECPGGPPESGDLKRDGGGGGANHVESGRPEADMRLYQFNEPSKRVRPHPSAAPCSRERELRLIDKVSFPTSTVPSLLM
+>sp|P78426|NKX61_HUMAN Homeobox protein Nkx-6.1 OS=Homo sapiens OX=9606 GN=NKX6-1 PE=1 SV=2
+MLAVGAMEGTRQSAFLLSSPPLAALHSMAEMKTPLYPAAYPPLPAGPPSSSSSSSSSSSPSPPLGTHNPGGLKPPATGGLSSLGSPPQQLSAATPHGINDILSRPSMPVASGAALPSASPSGSSSSSSSSASASSASAAAAAAAAAAAAASSPAGLLAGLPRFSSLSPPPPPPGLYFSPSAAAVAAVGRYPKPLAELPGRTPIFWPGVMQSPPWRDARLACTPHQGSILLDKDGKRKHTRPTFSGQQIFALEKTFEQTKYLAGPERARLAYSLGMTESQVKVWFQNRRTKWRKKHAAEMATAKKKQDSETERLKGASENEEEDDDYNKPLDPNSDDEKITQLLKKHKSSSGGGGGLLLHASEPESSS
+>DECOY_sp|P78426|NKX61_HUMAN Homeobox protein Nkx-6.1 OS=Homo sapiens OX=9606 GN=NKX6-1 PE=1 SV=2
+SSSEPESAHLLLGGGGGSSSKHKKLLQTIKEDDSNPDLPKNYDDDEEENESAGKLRETESDQKKKATAMEAAHKKRWKTRRNQFWVKVQSETMGLSYALRAREPGALYKTQEFTKELAFIQQGSFTPRTHKRKGDKDLLISGQHPTCALRADRWPPSQMVGPWFIPTRGPLEALPKPYRGVAAVAAASPSFYLGPPPPPPSLSSFRPLGALLGAPSSAAAAAAAAAAAAASASSASASSSSSSSSGSPSASPLAAGSAVPMSPRSLIDNIGHPTAASLQQPPSGLSSLGGTAPPKLGGPNHTGLPPSPSSSSSSSSSSSPPGAPLPPYAAPYLPTKMEAMSHLAALPPSSLLFASQRTGEMAGVALM
+>sp|Q9NVX2|NLE1_HUMAN Notchless protein homolog 1 OS=Homo sapiens OX=9606 GN=NLE1 PE=1 SV=4
+MAAAVPDEAVARDVQRLLVQFQDEGGQLLGSPFDVPVDITPDRLQLVCNALLAQEDPLPLAFFVHDAEIVSSLGKTLESQAVETEKVLDIIYQPQAIFRVRAVTRCTSSLEGHSEAVISVAFSPTGKYLASGSGDTTVRFWDLSTETPHFTCKGHRHWVLSISWSPDGRKLASGCKNGQILLWDPSTGKQVGRTLAGHSKWITGLSWEPLHANPECRYVASSSKDGSVRIWDTTAGRCERILTGHTQSVTCLRWGGDGLLYSASQDRTIKVWRAHDGVLCRTLQGHGHWVNTMALSTDYALRTGAFEPAEASVNPQDLQGSLQELKERALSRYNLVRGQGPERLVSGSDDFTLFLWSPAEDKKPLTRMTGHQALINQVLFSPDSRIVASASFDKSIKLWDGRTGKYLASLRGHVAAVYQIAWSADSRLLVSGSSDSTLKVWDVKAQKLAMDLPGHADEVYAVDWSPDGQRVASGGKDKCLRIWRR
+>DECOY_sp|Q9NVX2|NLE1_HUMAN Notchless protein homolog 1 OS=Homo sapiens OX=9606 GN=NLE1 PE=1 SV=4
+RRWIRLCKDKGGSAVRQGDPSWDVAYVEDAHGPLDMALKQAKVDWVKLTSDSSGSVLLRSDASWAIQYVAAVHGRLSALYKGTRGDWLKISKDFSASAVIRSDPSFLVQNILAQHGTMRTLPKKDEAPSWLFLTFDDSGSVLREPGQGRVLNYRSLAREKLEQLSGQLDQPNVSAEAPEFAGTRLAYDTSLAMTNVWHGHGQLTRCLVGDHARWVKITRDQSASYLLGDGGWRLCTVSQTHGTLIRECRGATTDWIRVSGDKSSSAVYRCEPNAHLPEWSLGTIWKSHGALTRGVQKGTSPDWLLIQGNKCGSALKRGDPSWSISLVWHRHGKCTFHPTETSLDWFRVTTDGSGSALYKGTPSFAVSIVAESHGELSSTCRTVARVRFIAQPQYIIDLVKETEVAQSELTKGLSSVIEADHVFFALPLPDEQALLANCVLQLRDPTIDVPVDFPSGLLQGGEDQFQVLLRQVDRAVAEDPVAAAM
+>sp|Q8NFZ4|NLGN2_HUMAN Neuroligin-2 OS=Homo sapiens OX=9606 GN=NLGN2 PE=1 SV=1
+MWLLALCLVGLAGAQRGGGGPGGGAPGGPGLGLGSLGEERFPVVNTAYGRVRGVRRELNNEILGPVVQFLGVPYATPPLGARRFQPPEAPASWPGVRNATTLPPACPQNLHGALPAIMLPVWFTDNLEAAATYVQNQSEDCLYLNLYVPTEDGPLTKKRDEATLNPPDTDIRDPGKKPVMLFLHGGSYMEGTGNMFDGSVLAAYGNVIVATLNYRLGVLGFLSTGDQAAKGNYGLLDQIQALRWLSENIAHFGGDPERITIFGSGAGASCVNLLILSHHSEGLFQKAIAQSGTAISSWSVNYQPLKYTRLLAAKVGCDREDSAEAVECLRRKPSRELVDQDVQPARYHIAFGPVVDGDVVPDDPEILMQQGEFLNYDMLIGVNQGEGLKFVEDSAESEDGVSASAFDFTVSNFVDNLYGYPEGKDVLRETIKFMYTDWADRDNGEMRRKTLLALFTDHQWVAPAVATAKLHADYQSPVYFYTFYHHCQAEGRPEWADAAHGDELPYVFGVPMVGATDLFPCNFSKNDVMLSAVVMTYWTNFAKTGDPNQPVPQDTKFIHTKPNRFEEVVWSKFNSKEKQYLHIGLKPRVRDNYRANKVAFWLELVPHLHNLHTELFTTTTRLPPYATRWPPRPPAGAPGTRRPPPPATLPPEPEPEPGPRAYDRFPGDSRDYSTELSVTVAVGASLLFLNILAFAALYYKRDRRQELRCRRLSPPGGSGSGVPGGGPLLPAAGRELPPEEELVSLQLKRGGGVGADPAEALRPACPPDYTLALRRAPDDVPLLAPGALTLLPSGLGPPPPPPPPSLHPFGPFPPPPPTATSHNNTLPHPHSTTRV
+>DECOY_sp|Q8NFZ4|NLGN2_HUMAN Neuroligin-2 OS=Homo sapiens OX=9606 GN=NLGN2 PE=1 SV=1
+VRTTSHPHPLTNNHSTATPPPPPFPGFPHLSPPPPPPPPGLGSPLLTLAGPALLPVDDPARRLALTYDPPCAPRLAEAPDAGVGGGRKLQLSVLEEEPPLERGAAPLLPGGGPVGSGSGGPPSLRRCRLEQRRDRKYYLAAFALINLFLLSAGVAVTVSLETSYDRSDGPFRDYARPGPEPEPEPPLTAPPPPRRTGPAGAPPRPPWRTAYPPLRTTTTFLETHLNHLHPVLELWFAVKNARYNDRVRPKLGIHLYQKEKSNFKSWVVEEFRNPKTHIFKTDQPVPQNPDGTKAFNTWYTMVVASLMVDNKSFNCPFLDTAGVMPVGFVYPLEDGHAADAWEPRGEAQCHHYFTYFYVPSQYDAHLKATAVAPAVWQHDTFLALLTKRRMEGNDRDAWDTYMFKITERLVDKGEPYGYLNDVFNSVTFDFASASVGDESEASDEVFKLGEGQNVGILMDYNLFEGQQMLIEPDDPVVDGDVVPGFAIHYRAPQVDQDVLERSPKRRLCEVAEASDERDCGVKAALLRTYKLPQYNVSWSSIATGSQAIAKQFLGESHHSLILLNVCSAGAGSGFITIREPDGGFHAINESLWRLAQIQDLLGYNGKAAQDGTSLFGLVGLRYNLTAVIVNGYAALVSGDFMNGTGEMYSGGHLFLMVPKKGPDRIDTDPPNLTAEDRKKTLPGDETPVYLNLYLCDESQNQVYTAAAELNDTFWVPLMIAPLAGHLNQPCAPPLTTANRVGPWSAPAEPPQFRRAGLPPTAYPVGLFQVVPGLIENNLERRVGRVRGYATNVVPFREEGLSGLGLGPGGPAGGGPGGGGRQAGALGVLCLALLWM
+>sp|Q7RTR2|NLRC3_HUMAN NLR family CARD domain-containing protein 3 OS=Homo sapiens OX=9606 GN=NLRC3 PE=1 SV=2
+MRKQEVRTGREAGQGHGTGSPAEQVKALMDLLAGKGSQGSQAPQALDRTPDAPLGPCSNDSRIQRHRKALLSKVGGGPELGGPWHRLASLLLVEGLTDLQLREHDFTQVEATRGGGHPARTVALDRLFLPLSRVSVPPRVSITIGVAGMGKTTLVRHFVRLWAHGQVGKDFSLVLPLTFRDLNTHEKLCADRLICSVFPHVGEPSLAVAVPARALLILDGLDECRTPLDFSNTVACTDPKKEIPVDHLITNIIRGNLFPEVSIWITSRPSASGQIPGGLVDRMTEIRGFNEEEIKVCLEQMFPEDQALLGWMLSQVQADRALYLMCTVPAFCRLTGMALGHLWRSRTGPQDAELWPPRTLCELYSWYFRMALSGEGQEKGKASPRIEQVAHGGRKMVGTLGRLAFHGLLKKKYVFYEQDMKAFGVDLALLQGAPCSCFLQREETLASSVAYCFTHLSLQEFVAAAYYYGASRRAIFDLFTESGVSWPRLGFLTHFRSAAQRAMQAEDGRLDVFLRFLSGLLSPRVNALLAGSLLAQGEHQAYRTQVAELLQGCLRPDAAVCARAINVLHCLHELQHTELARSVEEAMESGALARLTGPAHRAALAYLLQVSDACAQEANLSLSLSQGVLQSLLPQLLYCRKLRLDTNQFQDPVMELLGSVLSGKDCRIQKISLAENQISNKGAKALARSLLVNRSLTSLDLRGNSIGPQGAKALADALKINRTLTSLSLQGNTVRDDGARSMAEALASNRTLSMLHLQKNSIGPMGAQRMADALKQNRSLKELMFSSNSIGDGGAKALAEALKVNQGLESLDLQSNSISDAGVAALMGALCTNQTLLSLSLRENSISPEGAQAIAHALCANSTLKNLDLTANLLHDQGARAIAVAVRENRTLTSLHLQWNFIQAGAAQALGQALQLNRSLTSLDLQENAIGDDGACAVARALKVNTALTALYLQVASIGASGAQVLGEALAVNRTLEILDLRGNAIGVAGAKALANALKVNSSLRRLNLQENSLGMDGAICIATALSGNHRLQHINLQGNHIGDSGARMISEAIKTNAPTCTVEM
+>DECOY_sp|Q7RTR2|NLRC3_HUMAN NLR family CARD domain-containing protein 3 OS=Homo sapiens OX=9606 GN=NLRC3 PE=1 SV=2
+MEVTCTPANTKIAESIMRAGSDGIHNGQLNIHQLRHNGSLATAICIAGDMGLSNEQLNLRRLSSNVKLANALAKAGAVGIANGRLDLIELTRNVALAEGLVQAGSAGISAVQLYLATLATNVKLARAVACAGDDGIANEQLDLSTLSRNLQLAQGLAQAAGAQIFNWQLHLSTLTRNERVAVAIARAGQDHLLNATLDLNKLTSNACLAHAIAQAGEPSISNERLSLSLLTQNTCLAGMLAAVGADSISNSQLDLSELGQNVKLAEALAKAGGDGISNSSFMLEKLSRNQKLADAMRQAGMPGISNKQLHLMSLTRNSALAEAMSRAGDDRVTNGQLSLSTLTRNIKLADALAKAGQPGISNGRLDLSTLSRNVLLSRALAKAGKNSIQNEALSIKQIRCDKGSLVSGLLEMVPDQFQNTDLRLKRCYLLQPLLSQLVGQSLSLSLNAEQACADSVQLLYALAARHAPGTLRALAGSEMAEEVSRALETHQLEHLCHLVNIARACVAADPRLCGQLLEAVQTRYAQHEGQALLSGALLANVRPSLLGSLFRLFVDLRGDEAQMARQAASRFHTLFGLRPWSVGSETFLDFIARRSAGYYYAAAVFEQLSLHTFCYAVSSALTEERQLFCSCPAGQLLALDVGFAKMDQEYFVYKKKLLGHFALRGLTGVMKRGGHAVQEIRPSAKGKEQGEGSLAMRFYWSYLECLTRPPWLEADQPGTRSRWLHGLAMGTLRCFAPVTCMLYLARDAQVQSLMWGLLAQDEPFMQELCVKIEEENFGRIETMRDVLGGPIQGSASPRSTIWISVEPFLNGRIINTILHDVPIEKKPDTCAVTNSFDLPTRCEDLGDLILLARAPVAVALSPEGVHPFVSCILRDACLKEHTNLDRFTLPLVLSFDKGVQGHAWLRVFHRVLTTKGMGAVGITISVRPPVSVRSLPLFLRDLAVTRAPHGGGRTAEVQTFDHERLQLDTLGEVLLLSALRHWPGGLEPGGGVKSLLAKRHRQIRSDNSCPGLPADPTRDLAQPAQSGQSGKGALLDMLAKVQEAPSGTGHGQGAERGTRVEQKRM
+>sp|Q9C000|NLRP1_HUMAN NACHT, LRR and PYD domains-containing protein 1 OS=Homo sapiens OX=9606 GN=NLRP1 PE=1 SV=1
+MAGGAWGRLACYLEFLKKEELKEFQLLLANKAHSRSSSGETPAQPEKTSGMEVASYLVAQYGEQRAWDLALHTWEQMGLRSLCAQAQEGAGHSPSFPYSPSEPHLGSPSQPTSTAVLMPWIHELPAGCTQGSERRVLRQLPDTSGRRWREISASLLYQALPSSPDHESPSQESPNAPTSTAVLGSWGSPPQPSLAPREQEAPGTQWPLDETSGIYYTEIREREREKSEKGRPPWAAVVGTPPQAHTSLQPHHHPWEPSVRESLCSTWPWKNEDFNQKFTQLLLLQRPHPRSQDPLVKRSWPDYVEENRGHLIEIRDLFGPGLDTQEPRIVILQGAAGIGKSTLARQVKEAWGRGQLYGDRFQHVFYFSCRELAQSKVVSLAELIGKDGTATPAPIRQILSRPERLLFILDGVDEPGWVLQEPSSELCLHWSQPQPADALLGSLLGKTILPEASFLITARTTALQNLIPSLEQARWVEVLGFSESSRKEYFYRYFTDERQAIRAFRLVKSNKELWALCLVPWVSWLACTCLMQQMKRKEKLTLTSKTTTTLCLHYLAQALQAQPLGPQLRDLCSLAAEGIWQKKTLFSPDDLRKHGLDGAIISTFLKMGILQEHPIPLSYSFIHLCFQEFFAAMSYVLEDEKGRGKHSNCIIDLEKTLEAYGIHGLFGASTTRFLLGLLSDEGEREMENIFHCRLSQGRNLMQWVPSLQLLLQPHSLESLHCLYETRNKTFLTQVMAHFEEMGMCVETDMELLVCTFCIKFSRHVKKLQLIEGRQHRSTWSPTMVVLFRWVPVTDAYWQILFSVLKVTRNLKELDLSGNSLSHSAVKSLCKTLRRPRCLLETLRLAGCGLTAEDCKDLAFGLRANQTLTELDLSFNVLTDAGAKHLCQRLRQPSCKLQRLQLVSCGLTSDCCQDLASVLSASPSLKELDLQQNNLDDVGVRLLCEGLRHPACKLIRLGLDQTTLSDEMRQELRALEQEKPQLLIFSRRKPSVMTPTEGLDTGEMSNSTSSLKRQRLGSERAASHVAQANLKLLDVSKIFPIAEIAEESSPEVVPVELLCVPSPASQGDLHTKPLGTDDDFWGPTGPVATEVVDKEKNLYRVHFPVAGSYRWPNTGLCFVMREAVTVEIEFCVWDQFLGEINPQHSWMVAGPLLDIKAEPGAVEAVHLPHFVALQGGHVDTSLFQMAHFKEEGMLLEKPARVELHHIVLENPSFSPLGVLLKMIHNALRFIPVTSVVLLYHRVHPEEVTFHLYLIPSDCSIRKAIDDLEMKFQFVRIHKPPPLTPLYMGCRYTVSGSGSGMLEILPKELELCYRSPGEDQLFSEFYVGHLGSGIRLQVKDKKDETLVWEALVKPGDLMPATTLIPPARIAVPSPLDAPQLLHFVDQYREQLIARVTSVEVVLDKLHGQVLSQEQYERVLAENTRPSQMRKLFSLSQSWDRKCKDGLYQALKETHPHLIMELWEKGSKKGLLPLSS
+>DECOY_sp|Q9C000|NLRP1_HUMAN NACHT, LRR and PYD domains-containing protein 1 OS=Homo sapiens OX=9606 GN=NLRP1 PE=1 SV=1
+SSLPLLGKKSGKEWLEMILHPHTEKLAQYLGDKCKRDWSQSLSFLKRMQSPRTNEALVREYQEQSLVQGHLKDLVVEVSTVRAILQERYQDVFHLLQPADLPSPVAIRAPPILTTAPMLDGPKVLAEWVLTEDKKDKVQLRIGSGLHGVYFESFLQDEGPSRYCLELEKPLIELMGSGSGSVTYRCGMYLPTLPPPKHIRVFQFKMELDDIAKRISCDSPILYLHFTVEEPHVRHYLLVVSTVPIFRLANHIMKLLVGLPSFSPNELVIHHLEVRAPKELLMGEEKFHAMQFLSTDVHGGQLAVFHPLHVAEVAGPEAKIDLLPGAVMWSHQPNIEGLFQDWVCFEIEVTVAERMVFCLGTNPWRYSGAVPFHVRYLNKEKDVVETAVPGTPGWFDDDTGLPKTHLDGQSAPSPVCLLEVPVVEPSSEEAIEAIPFIKSVDLLKLNAQAVHSAARESGLRQRKLSSTSNSMEGTDLGETPTMVSPKRRSFILLQPKEQELARLEQRMEDSLTTQDLGLRILKCAPHRLGECLLRVGVDDLNNQQLDLEKLSPSASLVSALDQCCDSTLGCSVLQLRQLKCSPQRLRQCLHKAGADTLVNFSLDLETLTQNARLGFALDKCDEATLGCGALRLTELLCRPRRLTKCLSKVASHSLSNGSLDLEKLNRTVKLVSFLIQWYADTVPVWRFLVVMTPSWTSRHQRGEILQLKKVHRSFKICFTCVLLEMDTEVCMGMEEFHAMVQTLFTKNRTEYLCHLSELSHPQLLLQLSPVWQMLNRGQSLRCHFINEMEREGEDSLLGLLFRTTSAGFLGHIGYAELTKELDIICNSHKGRGKEDELVYSMAAFFEQFCLHIFSYSLPIPHEQLIGMKLFTSIIAGDLGHKRLDDPSFLTKKQWIGEAALSCLDRLQPGLPQAQLAQALYHLCLTTTTKSTLTLKEKRKMQQMLCTCALWSVWPVLCLAWLEKNSKVLRFARIAQREDTFYRYFYEKRSSESFGLVEVWRAQELSPILNQLATTRATILFSAEPLITKGLLSGLLADAPQPQSWHLCLESSPEQLVWGPEDVGDLIFLLREPRSLIQRIPAPTATGDKGILEALSVVKSQALERCSFYFVHQFRDGYLQGRGWAEKVQRALTSKGIGAAGQLIVIRPEQTDLGPGFLDRIEILHGRNEEVYDPWSRKVLPDQSRPHPRQLLLLQTFKQNFDENKWPWTSCLSERVSPEWPHHHPQLSTHAQPPTGVVAAWPPRGKESKERERERIETYYIGSTEDLPWQTGPAEQERPALSPQPPSGWSGLVATSTPANPSEQSPSEHDPSSPLAQYLLSASIERWRRGSTDPLQRLVRRESGQTCGAPLEHIWPMLVATSTPQSPSGLHPESPSYPFSPSHGAGEQAQACLSRLGMQEWTHLALDWARQEGYQAVLYSAVEMGSTKEPQAPTEGSSSRSHAKNALLLQFEKLEEKKLFELYCALRGWAGGAM
+>sp|P22307|NLTP_HUMAN Non-specific lipid-transfer protein OS=Homo sapiens OX=9606 GN=SCP2 PE=1 SV=2
+MSSSPWEPATLRRVFVVGVGMTKFVKPGAENSRDYPDLAEEAGKKALADAQIPYSAVDQACVGYVFGDSTCGQRAIYHSLGMTGIPIINVNNNCATGSTALFMARQLIQGGVAECVLALGFEKMSKGSLGIKFSDRTIPTDKHVDLLINKYGLSAHPVAPQMFGYAGKEHMEKYGTKIEHFAKIGWKNHKHSVNNPYSQFQDEYSLDEVMASKEVFDFLTILQCCPTSDGAAAAILASEAFVQKYGLQSKAVEILAQEMMTDLPSSFEEKSIIKMVGFDMSKEAARKCYEKSGLTPNDIDVIELHDCFSTNELLTYEALGLCPEGQGATLVDRGDNTYGGKWVINPSGGLISKGHPLGATGLAQCAELCWQLRGEAGKRQVPGAKVALQHNLGIGGAVVVTLYKMGFPEAASSFRTHQIEAVPTSSASDGFKANLVFKEIEKKLEEEGEQFVKKIGGIFAFKVKDGPGGKEATWVVDVKNGKGSVLPNSDKKADCTITMADSDFLALMTGKMNPQSAFFQGKLKITGNMGLAMKLQNLQLQPGNAKL
+>DECOY_sp|P22307|NLTP_HUMAN Non-specific lipid-transfer protein OS=Homo sapiens OX=9606 GN=SCP2 PE=1 SV=2
+LKANGPQLQLNQLKMALGMNGTIKLKGQFFASQPNMKGTMLALFDSDAMTITCDAKKDSNPLVSGKGNKVDVVWTAEKGGPGDKVKFAFIGGIKKVFQEGEEELKKEIEKFVLNAKFGDSASSTPVAEIQHTRFSSAAEPFGMKYLTVVVAGGIGLNHQLAVKAGPVQRKGAEGRLQWCLEACQALGTAGLPHGKSILGGSPNIVWKGGYTNDGRDVLTAGQGEPCLGLAEYTLLENTSFCDHLEIVDIDNPTLGSKEYCKRAAEKSMDFGVMKIISKEEFSSPLDTMMEQALIEVAKSQLGYKQVFAESALIAAAAGDSTPCCQLITLFDFVEKSAMVEDLSYEDQFQSYPNNVSHKHNKWGIKAFHEIKTGYKEMHEKGAYGFMQPAVPHASLGYKNILLDVHKDTPITRDSFKIGLSGKSMKEFGLALVCEAVGGQILQRAMFLATSGTACNNNVNIIPIGTMGLSHYIARQGCTSDGFVYGVCAQDVASYPIQADALAKKGAEEALDPYDRSNEAGPKVFKTMGVGVVFVRRLTAPEWPSSSM
+>sp|P28336|NMBR_HUMAN Neuromedin-B receptor OS=Homo sapiens OX=9606 GN=NMBR PE=1 SV=2
+MPSKSLSNLSVTTGANESGSVPEGWERDFLPASDGTTTELVIRCVIPSLYLLIITVGLLGNIMLVKIFITNSAMRSVPNIFISNLAAGDLLLLLTCVPVDASRYFFDEWMFGKVGCKLIPVIQLTSVGVSVFTLTALSADRYRAIVNPMDMQTSGALLRTCVKAMGIWVVSVLLAVPEAVFSEVARISSLDNSSFTACIPYPQTDELHPKIHSVLIFLVYFLIPLAIISIYYYHIAKTLIKSAHNLPGEYNEHTKKQMETRKRLAKIVLVFVGCFIFCWFPNHILYMYRSFNYNEIDPSLGHMIVTLVARVLSFGNSCVNPFALYLLSESFRRHFNSQLCCGRKSYQERGTSYLLSSSAVRMTSLKSNAKNMVTNSVLLNGHSMKQEMAL
+>DECOY_sp|P28336|NMBR_HUMAN Neuromedin-B receptor OS=Homo sapiens OX=9606 GN=NMBR PE=1 SV=2
+LAMEQKMSHGNLLVSNTVMNKANSKLSTMRVASSSLLYSTGREQYSKRGCCLQSNFHRRFSESLLYLAFPNVCSNGFSLVRAVLTVIMHGLSPDIENYNFSRYMYLIHNPFWCFIFCGVFVLVIKALRKRTEMQKKTHENYEGPLNHASKILTKAIHYYYISIIALPILFYVLFILVSHIKPHLEDTQPYPICATFSSNDLSSIRAVESFVAEPVALLVSVVWIGMAKVCTRLLAGSTQMDMPNVIARYRDASLATLTFVSVGVSTLQIVPILKCGVKGFMWEDFFYRSADVPVCTLLLLLDGAALNSIFINPVSRMASNTIFIKVLMINGLLGVTIILLYLSPIVCRIVLETTTGDSAPLFDREWGEPVSGSENAGTTVSLNSLSKSPM
+>sp|Q13287|NMI_HUMAN N-myc-interactor OS=Homo sapiens OX=9606 GN=NMI PE=1 SV=2
+MEADKDDTQQILKEHSPDEFIKDEQNKGLIDEITKKNIQLKKEIQKLETELQEATKEFQIKEDIPETKMKFLSVETPENDSQLSNISCSFQVSSKVPYEIQKGQALITFEKEEVAQNVVSMSKHHVQIKDVNLEVTAKPVPLNSGVRFQVYVEVSKMKINVTEIPDTLREDQMRDKLELSFSKSRNGGGEVDRVDYDRQSGSAVITFVEIGVADKILKKKEYPLYINQTCHRVTVSPYTEIHLKKYQIFSGTSKRTVLLTGMEGIQMDEEIVEDLINIHFQRAKNGGGEVDVVKCSLGQPHIAYFEE
+>DECOY_sp|Q13287|NMI_HUMAN N-myc-interactor OS=Homo sapiens OX=9606 GN=NMI PE=1 SV=2
+EEFYAIHPQGLSCKVVDVEGGGNKARQFHINILDEVIEEDMQIGEMGTLLVTRKSTGSFIQYKKLHIETYPSVTVRHCTQNIYLPYEKKKLIKDAVGIEVFTIVASGSQRDYDVRDVEGGGNRSKSFSLELKDRMQDERLTDPIETVNIKMKSVEVYVQFRVGSNLPVPKATVELNVDKIQVHHKSMSVVNQAVEEKEFTILAQGKQIEYPVKSSVQFSCSINSLQSDNEPTEVSLFKMKTEPIDEKIQFEKTAEQLETELKQIEKKLQINKKTIEDILGKNQEDKIFEDPSHEKLIQQTDDKDAEM
+>sp|Q8IW45|NNRD_HUMAN ATP-dependent (S)-NAD(P)H-hydrate dehydratase OS=Homo sapiens OX=9606 GN=NAXD PE=1 SV=1
+MVTRAGAGTAVAGAVVVALLSAALALYGPPLDAVLERAFSLRKAHSIKDMENTLQLVRNIIPPLSSTKHKGQDGRIGVVGGCQEYTGAPYFAAISALKVGADLSHVFCASAAAPVIKAYSPELIVHPVLDSPNAVHEVEKWLPRLHALVVGPGLGRDDALLRNVQGILEVSKARDIPVVIDADGLWLVAQQPALIHGYRKAVLTPNHVEFSRLYDAVLRGPMDSDDSHGSVLRLSQALGNVTVVQKGERDILSNGQQVLVCSQEGSSRRCGGQGDLLSGSLGVLVHWALLAGPQKTNGSSPLLVAAFGACSLTRQCNHQAFQKHGRSTTTSDMIAEVGAAFSKLFET
+>DECOY_sp|Q8IW45|NNRD_HUMAN ATP-dependent (S)-NAD(P)H-hydrate dehydratase OS=Homo sapiens OX=9606 GN=NAXD PE=1 SV=1
+TEFLKSFAAGVEAIMDSTTTSRGHKQFAQHNCQRTLSCAGFAAVLLPSSGNTKQPGALLAWHVLVGLSGSLLDGQGGCRRSSGEQSCVLVQQGNSLIDREGKQVVTVNGLAQSLRLVSGHSDDSDMPGRLVADYLRSFEVHNPTLVAKRYGHILAPQQAVLWLGDADIVVPIDRAKSVELIGQVNRLLADDRGLGPGVVLAHLRPLWKEVEHVANPSDLVPHVILEPSYAKIVPAAASACFVHSLDAGVKLASIAAFYPAGTYEQCGGVVGIRGDQGKHKTSSLPPIINRVLQLTNEMDKISHAKRLSFARELVADLPPGYLALAASLLAVVVAGAVATGAGARTVM
+>sp|O60393|NOBOX_HUMAN Homeobox protein NOBOX OS=Homo sapiens OX=9606 GN=NOBOX PE=1 SV=4
+MALLLTLTSPDLEGTWDTRDKDGFKAQEGPPLAVPEFPVCGLYRIYGVCGSFSSFFIIRCSLCALETLKSPQHDPLEIPEQSLKLIPLVSGKRELTRGQKAGEKPLAAGPGEEELLRGSAPHAQDTQSEELPPSCTISGEKKPPAVSGEATGADAGRLCPPPRSRAPHKDRTLARSRPQTQGEDCSLPVGEVKIGKRSYSPAPGKQKKPNAMGLAPTSSPGAPNSARATHNPVPCGSGRGPCHLANLLSTLAQSNQNRDHKQGPPEVTCQIRKKTRTLYRSDQLEELEKIFQEDHYPDSDKRREIAQTVGVTPQRIMVKGAGSLVAGWSGGGPTIETLELQSERSAVAWVWFQNRRAKWRKMEKLNGKESKDNPAAPGPASSQCSSAAEILPAVPMEPKPDPFPQESPLDTFPEPPMLLTSDQTLAPTQPSEGAQRVVTPPLFSPPPVRRADLPFPLGPVHTPQLMPLLMDVAGSDSSHKDGPCGSWGTSITLPPPCSYLEELEPQDYQQSNQPGPFQFSQAPQPPLFQSPQPKLPYLPTFPFSMPSSLTLPPPEDSLFMFPCGPSGGTSQGYCPGASSGQILMQPPAGNIGTASWSDPCLPELPFPGPFCPQALGHPPGGDGYFPDLFPTPCPQALGRQPSSALSWMPEGARPGTGPLLSKAKEEPPAASLDQPSALEEARGDDKNSHVP
+>DECOY_sp|O60393|NOBOX_HUMAN Homeobox protein NOBOX OS=Homo sapiens OX=9606 GN=NOBOX PE=1 SV=4
+PVHSNKDDGRAEELASPQDLSAAPPEEKAKSLLPGTGPRAGEPMWSLASSPQRGLAQPCPTPFLDPFYGDGGPPHGLAQPCFPGPFPLEPLCPDSWSATGINGAPPQMLIQGSSAGPCYGQSTGGSPGCPFMFLSDEPPPLTLSSPMSFPFTPLYPLKPQPSQFLPPQPAQSFQFPGPQNSQQYDQPELEELYSCPPPLTISTGWSGCPGDKHSSDSGAVDMLLPMLQPTHVPGLPFPLDARRVPPPSFLPPTVVRQAGESPQTPALTQDSTLLMPPEPFTDLPSEQPFPDPKPEMPVAPLIEAASSCQSSAPGPAAPNDKSEKGNLKEMKRWKARRNQFWVWAVASRESQLELTEITPGGGSWGAVLSGAGKVMIRQPTVGVTQAIERRKDSDPYHDEQFIKELEELQDSRYLTRTKKRIQCTVEPPGQKHDRNQNSQALTSLLNALHCPGRGSGCPVPNHTARASNPAGPSSTPALGMANPKKQKGPAPSYSRKGIKVEGVPLSCDEGQTQPRSRALTRDKHPARSRPPPCLRGADAGTAEGSVAPPKKEGSITCSPPLEESQTDQAHPASGRLLEEEGPGAALPKEGAKQGRTLERKGSVLPILKLSQEPIELPDHQPSKLTELACLSCRIIFFSSFSGCVGYIRYLGCVPFEPVALPPGEQAKFGDKDRTDWTGELDPSTLTLLLAM
+>sp|Q8WTT2|NOC3L_HUMAN Nucleolar complex protein 3 homolog OS=Homo sapiens OX=9606 GN=NOC3L PE=1 SV=1
+MKARRNKKQIPSFRKLIKTSKVKLENKLKNKQFKQQSTLKKYRKEQRKLRQAVKDAVSKKPIPLENPKEKRPGKRIEREEEEEEEALPLDMMDEDDLQLMKDLGQRVSFLTRDLSSSEPVHAKKRKHERIIDKYEKIPRTLQTAPEKELIHLLPIKDKSGIIPQTREKPVTDSNKDEEDQEEERELEEEIIEDPIQELTIEEHLIERKKKLQEKKMHIAALASAILSDPENNIKKLKELRSMLMEQDPDVAVTVRKLVIVSLMELFKDITPSYKIRPLTEAEKSTKTRKETQKLREFEEGLVSQYKFYLENLEQMVKDWKQRKLKKSNVVSLKAYKGLAEVAVKSLCELLVALPHFNFHNNIIVLIVPLMNDMSKLISEMCCEAVKKLFKQDKLGQASLGVIKVISGFVKGRNYEVRPEMLKTFLCLRIKEVEVKKDTEDINKPKKFMTFKEKRKSLSRMQRKWKKAEEKLERELREAEASESTEKKLKLHTETLNIVFVTYFRILKKAQRSPLLPAVLEGLAKFAHLINVEFFDDLLVVLHTLIESGDLSYQESLHCVQTAFHILSGQGDVLNIDPLKFYTHLYKTLFKLHAGATNEGVEIVLQCLDVMLTKRRKQVSQQRALAFIKRLCTLALHVLPNSSIGILATTRILMHTFPKTDLLLDSESQGSGVFLPELDEPEYCNAQNTALWELHALRRHYHPIVQRFAAHLIAGAPSEGSGALKPELSRRSATELFEAYSMAEMTFNPPVESSNPKIKGKFLQGDSFLNEDLNQLIKRYSSEVATESPLDFTKYLKTSLH
+>DECOY_sp|Q8WTT2|NOC3L_HUMAN Nucleolar complex protein 3 homolog OS=Homo sapiens OX=9606 GN=NOC3L PE=1 SV=1
+HLSTKLYKTFDLPSETAVESSYRKILQNLDENLFSDGQLFKGKIKPNSSEVPPNFTMEAMSYAEFLETASRRSLEPKLAGSGESPAGAILHAAFRQVIPHYHRRLAHLEWLATNQANCYEPEDLEPLFVGSGQSESDLLLDTKPFTHMLIRTTALIGISSNPLVHLALTCLRKIFALARQQSVQKRRKTLMVDLCQLVIEVGENTAGAHLKFLTKYLHTYFKLPDINLVDGQGSLIHFATQVCHLSEQYSLDGSEILTHLVVLLDDFFEVNILHAFKALGELVAPLLPSRQAKKLIRFYTVFVINLTETHLKLKKETSESAEAERLERELKEEAKKWKRQMRSLSKRKEKFTMFKKPKNIDETDKKVEVEKIRLCLFTKLMEPRVEYNRGKVFGSIVKIVGLSAQGLKDQKFLKKVAECCMESILKSMDNMLPVILVIINNHFNFHPLAVLLECLSKVAVEALGKYAKLSVVNSKKLKRQKWDKVMQELNELYFKYQSVLGEEFERLKQTEKRTKTSKEAETLPRIKYSPTIDKFLEMLSVIVLKRVTVAVDPDQEMLMSRLEKLKKINNEPDSLIASALAAIHMKKEQLKKKREILHEEITLEQIPDEIIEEELEREEEQDEEDKNSDTVPKERTQPIIGSKDKIPLLHILEKEPATQLTRPIKEYKDIIREHKRKKAHVPESSSLDRTLFSVRQGLDKMLQLDDEDMMDLPLAEEEEEEEREIRKGPRKEKPNELPIPKKSVADKVAQRLKRQEKRYKKLTSQQKFQKNKLKNELKVKSTKILKRFSPIQKKNRRAKM
+>sp|Q9HC29|NOD2_HUMAN Nucleotide-binding oligomerization domain-containing protein 2 OS=Homo sapiens OX=9606 GN=NOD2 PE=1 SV=1
+MGEEGGSASHDEEERASVLLGHSPGCEMCSQEAFQAQRSQLVELLVSGSLEGFESVLDWLLSWEVLSWEDYEGFHLLGQPLSHLARRLLDTVWNKGTWACQKLIAAAQEAQADSQSPKLHGCWDPHSLHPARDLQSHRPAIVRRLHSHVENMLDLAWERGFVSQYECDEIRLPIFTPSQRARRLLDLATVKANGLAAFLLQHVQELPVPLALPLEAATCKKYMAKLRTTVSAQSRFLSTYDGAETLCLEDIYTENVLEVWADVGMAGPPQKSPATLGLEELFSTPGHLNDDADTVLVVGEAGSGKSTLLQRLHLLWAAGQDFQEFLFVFPFSCRQLQCMAKPLSVRTLLFEHCCWPDVGQEDIFQLLLDHPDRVLLTFDGFDEFKFRFTDRERHCSPTDPTSVQTLLFNLLQGNLLKNARKVVTSRPAAVSAFLRKYIRTEFNLKGFSEQGIELYLRKRHHEPGVADRLIRLLQETSALHGLCHLPVFSWMVSKCHQELLLQEGGSPKTTTDMYLLILQHFLLHATPPDSASQGLGPSLLRGRLPTLLHLGRLALWGLGMCCYVFSAQQLQAAQVSPDDISLGFLVRAKGVVPGSTAPLEFLHITFQCFFAAFYLALSADVPPALLRHLFNCGRPGNSPMARLLPTMCIQASEGKDSSVAALLQKAEPHNLQITAAFLAGLLSREHWGLLAECQTSEKALLRRQACARWCLARSLRKHFHSIPPAAPGEAKSVHAMPGFIWLIRSLYEMQEERLARKAARGLNVGHLKLTFCSVGPTECAALAFVLQHLRRPVALQLDYNSVGDIGVEQLLPCLGVCKALYLRDNNISDRGICKLIECALHCEQLQKLALFNNKLTDGCAHSMAKLLACRQNFLALRLGNNYITAAGAQVLAEGLRGNTSLQFLGFWGNRVGDEGAQALAEALGDHQSLRWLSLVGNNIGSVGAQALALMLAKNVMLEELCLEENHLQDEGVCSLAEGLKKNSSLKILKLSNNCITYLGAEALLQALERNDTILEVWLRGNTFSLEEVDKLGCRDTRLLL
+>DECOY_sp|Q9HC29|NOD2_HUMAN Nucleotide-binding oligomerization domain-containing protein 2 OS=Homo sapiens OX=9606 GN=NOD2 PE=1 SV=1
+LLLRTDRCGLKDVEELSFTNGRLWVELITDNRELAQLLAEAGLYTICNNSLKLIKLSSNKKLGEALSCVGEDQLHNEELCLEELMVNKALMLALAQAGVSGINNGVLSLWRLSQHDGLAEALAQAGEDGVRNGWFGLFQLSTNGRLGEALVQAGAATIYNNGLRLALFNQRCALLKAMSHACGDTLKNNFLALKQLQECHLACEILKCIGRDSINNDRLYLAKCVGLCPLLQEVGIDGVSNYDLQLAVPRRLHQLVFALAACETPGVSCFTLKLHGVNLGRAAKRALREEQMEYLSRILWIFGPMAHVSKAEGPAAPPISHFHKRLSRALCWRACAQRRLLAKESTQCEALLGWHERSLLGALFAATIQLNHPEAKQLLAAVSSDKGESAQICMTPLLRAMPSNGPRGCNFLHRLLAPPVDASLALYFAAFFCQFTIHLFELPATSGPVVGKARVLFGLSIDDPSVQAAQLQQASFVYCCMGLGWLALRGLHLLTPLRGRLLSPGLGQSASDPPTAHLLFHQLILLYMDTTTKPSGGEQLLLEQHCKSVMWSFVPLHCLGHLASTEQLLRILRDAVGPEHHRKRLYLEIGQESFGKLNFETRIYKRLFASVAAPRSTVVKRANKLLNGQLLNFLLTQVSTPDTPSCHRERDTFRFKFEDFGDFTLLVRDPHDLLLQFIDEQGVDPWCCHEFLLTRVSLPKAMCQLQRCSFPFVFLFEQFDQGAAWLLHLRQLLTSKGSGAEGVVLVTDADDNLHGPTSFLEELGLTAPSKQPPGAMGVDAWVELVNETYIDELCLTEAGDYTSLFRSQASVTTRLKAMYKKCTAAELPLALPVPLEQVHQLLFAALGNAKVTALDLLRRARQSPTFIPLRIEDCEYQSVFGREWALDLMNEVHSHLRRVIAPRHSQLDRAPHLSHPDWCGHLKPSQSDAQAEQAAAILKQCAWTGKNWVTDLLRRALHSLPQGLLHFGEYDEWSLVEWSLLWDLVSEFGELSGSVLLEVLQSRQAQFAEQSCMECGPSHGLLVSAREEEDHSASGGEEGM
+>sp|Q99784|NOE1_HUMAN Noelin OS=Homo sapiens OX=9606 GN=OLFM1 PE=1 SV=4
+MSVPLLKIGVVLSTMAMITNWMSQTLPSLVGLNTTKLSAAGGGTLDRSTGVLPTNPEESWQVYSSAQDSEGRCICTVVAPQQTMCSRDARTKQLRQLLEKVQNMSQSIEVLDRRTQRDLQYVEKMENQMKGLESKFKQVEESHKQHLARQFKAIKAKMDELRPLIPVLEEYKADAKLVLQFKEEVQNLTSVLNELQEEIGAYDYDELQSRVSNLEERLRACMQKLACGKLTGISDPVTVKTSGSRFGSWMTDPLAPEGDNRVWYMDGYHNNRFVREYKSMVDFMNTDNFTSHRLPHPWSGTGQVVYNGSIYFNKFQSHIIIRFDLKTETILKTRSLDYAGYNNMYHYAWGGHSDIDLMVDESGLWAVYATNQNAGNIVVSRLDPVSLQTLQTWNTSYPKRSAGEAFIICGTLYVTNGYSGGTKVHYAYQTNASTYEYIDIPFQNKYSHISMLDYNPKDRALYAWNNGHQILYNVTLFHVIRSDEL
+>DECOY_sp|Q99784|NOE1_HUMAN Noelin OS=Homo sapiens OX=9606 GN=OLFM1 PE=1 SV=4
+LEDSRIVHFLTVNYLIQHGNNWAYLARDKPNYDLMSIHSYKNQFPIDIYEYTSANTQYAYHVKTGGSYGNTVYLTGCIIFAEGASRKPYSTNWTQLTQLSVPDLRSVVINGANQNTAYVAWLGSEDVMLDIDSHGGWAYHYMNNYGAYDLSRTKLITETKLDFRIIIHSQFKNFYISGNYVVQGTGSWPHPLRHSTFNDTNMFDVMSKYERVFRNNHYGDMYWVRNDGEPALPDTMWSGFRSGSTKVTVPDSIGTLKGCALKQMCARLREELNSVRSQLEDYDYAGIEEQLENLVSTLNQVEEKFQLVLKADAKYEELVPILPRLEDMKAKIAKFQRALHQKHSEEVQKFKSELGKMQNEMKEVYQLDRQTRRDLVEISQSMNQVKELLQRLQKTRADRSCMTQQPAVVTCICRGESDQASSYVQWSEEPNTPLVGTSRDLTGGGAASLKTTNLGVLSPLTQSMWNTIMAMTSLVVGIKLLPVSM
+>sp|Q13823|NOG2_HUMAN Nucleolar GTP-binding protein 2 OS=Homo sapiens OX=9606 GN=GNL2 PE=1 SV=1
+MVKPKYKGRSTINPSKASTNPDRVQGAGGQNMRDRATIRRLNMYRQKERRNSRGKIIKPLQYQSTVASGTVARVEPNIKWFGNTRVIKQSSLQKFQEEMDTVMKDPYKVVMKQSKLPMSLLHDRIRPHNLKVHILDTESFETTFGPKSQRKRPNLFASDMQSLIENAEMSTESYDQGKDRDLVTEDTGVRNEAQEEIYKKGQSKRIWGELYKVIDSSDVVVQVLDARDPMGTRSPHIETYLKKEKPWKHLIFVLNKCDLVPTWATKRWVAVLSQDYPTLAFHASLTNPFGKGAFIQLLRQFGKLHTDKKQISVGFIGYPNVGKSSVINTLRSKKVCNVAPIAGETKVWQYITLMRRIFLIDCPGVVYPSEDSETDIVLKGVVQVEKIKSPEDHIGAVLERAKPEYISKTYKIDSWENAEDFLEKLAFRTGKLLKGGEPDLQTVGKMVLNDWQRGRIPFFVKPPNAEPLVAPQLLPSSSLEVVPEAAQNNPGEEVTETAGEGSESIIKEETEENSHCDANTEMQQILTRVRQNFGKINVVPQFSGDDLVPVEVSDLEEELESFSDEEEEEQEQQRDDAEESSSEPEEENVGNDTKAVIKALDEKIAKYQKFLDKAKAKKFSAVRISKGLSEKIFAKPEEQRKTLEEDVDDRAPSKKGKKRKAQREEEQEHSNKAPRALTSKERRRAVRQQRPKKVGVRYYETHNVKNRNRNKKKTNDSEGQKHKRKKFRQKQ
+>DECOY_sp|Q13823|NOG2_HUMAN Nucleolar GTP-binding protein 2 OS=Homo sapiens OX=9606 GN=GNL2 PE=1 SV=1
+QKQRFKKRKHKQGESDNTKKKNRNRNKVNHTEYYRVGVKKPRQQRVARRREKSTLARPAKNSHEQEEERQAKRKKGKKSPARDDVDEELTKRQEEPKAFIKESLGKSIRVASFKKAKAKDLFKQYKAIKEDLAKIVAKTDNGVNEEEPESSSEEADDRQQEQEEEEEDSFSELEEELDSVEVPVLDDGSFQPVVNIKGFNQRVRTLIQQMETNADCHSNEETEEKIISESGEGATETVEEGPNNQAAEPVVELSSSPLLQPAVLPEANPPKVFFPIRGRQWDNLVMKGVTQLDPEGGKLLKGTRFALKELFDEANEWSDIKYTKSIYEPKARELVAGIHDEPSKIKEVQVVGKLVIDTESDESPYVVGPCDILFIRRMLTIYQWVKTEGAIPAVNCVKKSRLTNIVSSKGVNPYGIFGVSIQKKDTHLKGFQRLLQIFAGKGFPNTLSAHFALTPYDQSLVAVWRKTAWTPVLDCKNLVFILHKWPKEKKLYTEIHPSRTGMPDRADLVQVVVDSSDIVKYLEGWIRKSQGKKYIEEQAENRVGTDETVLDRDKGQDYSETSMEANEILSQMDSAFLNPRKRQSKPGFTTEFSETDLIHVKLNHPRIRDHLLSMPLKSQKMVVKYPDKMVTDMEEQFKQLSSQKIVRTNGFWKINPEVRAVTGSAVTSQYQLPKIIKGRSNRREKQRYMNLRRITARDRMNQGGAGQVRDPNTSAKSPNITSRGKYKPKVM
+>sp|Q9H6R4|NOL6_HUMAN Nucleolar protein 6 OS=Homo sapiens OX=9606 GN=NOL6 PE=1 SV=2
+MGPAPAGEQLRGATGEPEVMEPALEGTGKEGKKASSRKRTLAEPPAKGLLQPVKLSRAELYKEPTNEELNRLRETEILFHSSLLRLQVEELLKEVRLSEKKKDRIDAFLREVNQRVVRVPSVPETELTDQAWLPAGVRVPLHQVPYAVKGCFRFLPPAQVTVVGSYLLGTCIRPDINVDVALTMPREILQDKDGLNQRYFRKRALYLAHLAHHLAQDPLFGSVCFSYTNGCHLKPSLLLRPRGKDERLVTVRLHPCPPPDFFRPCRLLPTKNNVRSAWYRGQSPAGDGSPEPPTPRYNTWVLQDTVLESHLQLLSTILSSAQGLKDGVALLKVWLRQRELDKGQGGFTGFLVSMLVVFLVSTRKIHTTMSGYQVLRSVLQFLATTDLTVNGISLCLSSDPSLPALADFHQAFSVVFLDSSGHLNLCADVTASTYHQVQHEARLSMMLLDSRADDGFHLLLMTPKPMIRAFDHVLHLRPLSRLQAACHRLKLWPELQDNGGDYVSAALGPLTTLLEQGLGARLNLLAHSRPPVPEWDISQDPPKHKDSGTLTLGLLLRPEGLTSVLELGPEADQPEAAKFRQFWGSRSELRRFQDGAIREAVVWEAASMSQKRLIPHQVVTHLLALHADIPETCVHYVGGPLDALIQGLKETSSTGEEALVAAVRCYDDLSRLLWGLEGLPLTVSAVQGAHPVLRYTEVFPPTPVRPAFSFYETLRERSSLLPRLDKPCPAYVEPMTVVCHLEGSGQWPQDAEAVQRVRAAFQLRLAELLTQQHGLQCRATATHTDVLKDGFVFRIRVAYQREPQILKEVQSPEGMISLRDTAASLRLERDTRQLPLLTSALHGLQQQHPAFSGVARLAKRWVRAQLLGEGFADESLDLVAAALFLHPEPFTPPSSPQVGFLRFLFLVSTFDWKNNPLFVNLNNELTVEEQVEIRSGFLAARAQLPVMVIVTPQDRKNSVWTQDGPSAQILQQLVVLAAEALPMLEKQLMDPRGPGDIRTVFRPPLDIYDVLIRLSPRHIPRHRQAVDSPAASFCRGLLSQPGPSSLMPVLGYDPPQLYLTQLREAFGDLALFFYDQHGGEVIGVLWKPTSFQPQPFKASSTKGRMVMSRGGELVMVPNVEAILEDFAVLGEGLVQTVEARSERWTV
+>DECOY_sp|Q9H6R4|NOL6_HUMAN Nucleolar protein 6 OS=Homo sapiens OX=9606 GN=NOL6 PE=1 SV=2
+VTWRESRAEVTQVLGEGLVAFDELIAEVNPVMVLEGGRSMVMRGKTSSAKFPQPQFSTPKWLVGIVEGGHQDYFFLALDGFAERLQTLYLQPPDYGLVPMLSSPGPQSLLGRCFSAAPSDVAQRHRPIHRPSLRILVDYIDLPPRFVTRIDGPGRPDMLQKELMPLAEAALVVLQQLIQASPGDQTWVSNKRDQPTVIVMVPLQARAALFGSRIEVQEEVTLENNLNVFLPNNKWDFTSVLFLFRLFGVQPSSPPTFPEPHLFLAAAVLDLSEDAFGEGLLQARVWRKALRAVGSFAPHQQQLGHLASTLLPLQRTDRELRLSAATDRLSIMGEPSQVEKLIQPERQYAVRIRFVFGDKLVDTHTATARCQLGHQQTLLEALRLQFAARVRQVAEADQPWQGSGELHCVVTMPEVYAPCPKDLRPLLSSRERLTEYFSFAPRVPTPPFVETYRLVPHAGQVASVTLPLGELGWLLRSLDDYCRVAAVLAEEGTSSTEKLGQILADLPGGVYHVCTEPIDAHLALLHTVVQHPILRKQSMSAAEWVVAERIAGDQFRRLESRSGWFQRFKAAEPQDAEPGLELVSTLGEPRLLLGLTLTGSDKHKPPDQSIDWEPVPPRSHALLNLRAGLGQELLTTLPGLAASVYDGGNDQLEPWLKLRHCAAQLRSLPRLHLVHDFARIMPKPTMLLLHFGDDARSDLLMMSLRAEHQVQHYTSATVDACLNLHGSSDLFVVSFAQHFDALAPLSPDSSLCLSIGNVTLDTTALFQLVSRLVQYGSMTTHIKRTSVLFVVLMSVLFGTFGGQGKDLERQRLWVKLLAVGDKLGQASSLITSLLQLHSELVTDQLVWTNYRPTPPEPSGDGAPSQGRYWASRVNNKTPLLRCPRFFDPPPCPHLRVTVLREDKGRPRLLLSPKLHCGNTYSFCVSGFLPDQALHHALHALYLARKRFYRQNLGDKDQLIERPMTLAVDVNIDPRICTGLLYSGVVTVQAPPLFRFCGKVAYPVQHLPVRVGAPLWAQDTLETEPVSPVRVVRQNVERLFADIRDKKKESLRVEKLLEEVQLRLLSSHFLIETERLRNLEENTPEKYLEARSLKVPQLLGKAPPEALTRKRSSAKKGEKGTGELAPEMVEPEGTAGRLQEGAPAPGM
+>sp|Q5JPE7|NOMO2_HUMAN Nodal modulator 2 OS=Homo sapiens OX=9606 GN=NOMO2 PE=1 SV=1
+MLVGQGAGLLGPAVVTAAVVLLLSGVGPAHGSEDIVVGCGGFVKSDVEINYSLIEIKLYTKHGTLKYQTDCAPNNGYFMIPLYDKGDFILKIEPPLGWSFEPTTVELHVDGVSDICTKGGDINFVFTGFSVNGKVLSKGQPLGPAGVQVSLRNTGTEAKIQSTVTQPGGKFAFFKVLPGDYEILATHPTWALKEASTTVRVTNSNANAASPLIVAGYNVSGSVRSDGEPMKGVKFLLFSSLVTKEDVLGCNVSPVPGFQPQDESLVYLCYTVSREDGSFSFYSLPSGGYTVIPFYRGERITFDVAPSRLDFTVEHDSLKIEPVFHVMGFSVTGRVLNGPEGDGVPEAVVTLNNQIKVKTKADGSFRLENITTGTYTIHAQKEHLYFETVTIKIAPNTPQLADIVATGFSVCGRISIIRFPDTVKQMNKYKVVLSSQDKDKSLVTVETDAHGSFCFKAKPGTYKVQVMVPEAETRAGLTLKPQTFPLTVTDRPVMDVAFVQFLASVSGKVSCLDTCGDLLVTLQSLSRQGEKRSLQLSGKVNAMTFTFDNVLPGKYKISIMHEDWCWKNKSLEVEVLEDDVSAVEFRQTGYMLRCSLSHAITLEFYQDGNGRENVGIYNLSKGVNRFCLSKPGVYKVTPRSCHRFEQAFYTYDTSSPSILTLTAIRHHVLGTITTDKMMDVTVTIKSSIDSEPALVLGPLKSVQELRREQQLAEIEARRQEREKNGNEEGEERMTKPPVQEMVDELQGPFSYDFSYWARSGEKITVTPSSKELLFYPPSMEAVVSGESCPGKLIEIHGKAGLFLEGQIHPELEGVEIVISEKGASSPLITVFTDDKGAYSVGPLHSDLEYTVTSQKEGYVLTAVEGTIGDFKAYALAGVSFEIKAEDDQPLPGVLLSLSGGLFRSNLLTQDNGILTFSNLSPGQYYFKPMMKEFRFEPSSQMIEVQEGQNLKITITGYRTAYSCYGTVSSLNGEPEQGVAMEAVGQNDCSIYGEDTVTDEEGKFRLRGLLPGCVYHVQLKAEGNDHIERALPHHRVIEVGNNDIDDVNIIVFRQINQFDLSGNVITSSEYLPTLWVKLYKSENLDNPIQTVSLGQSLFFHFPPLLRDGENYVVLLDSTLPRSQYDYILPQVSFTAVGYHKHITLIFNPTRKLPEQDIAQGSYIALPLTLLVLLAGYNHDKLIPLLLQLTSRLQGVGALGQAASDNSGPEDAKRQAKKQKTRRTLRLQEEFQLMWCLVPWRGTLGIHLFSSLPFASEILLETTATCIHY
+>DECOY_sp|Q5JPE7|NOMO2_HUMAN Nodal modulator 2 OS=Homo sapiens OX=9606 GN=NOMO2 PE=1 SV=1
+YHICTATTELLIESAFPLSSFLHIGLTGRWPVLCWMLQFEEQLRLTRRTKQKKAQRKADEPGSNDSAAQGLAGVGQLRSTLQLLLPILKDHNYGALLVLLTLPLAIYSGQAIDQEPLKRTPNFILTIHKHYGVATFSVQPLIYDYQSRPLTSDLLVVYNEGDRLLPPFHFFLSQGLSVTQIPNDLNESKYLKVWLTPLYESSTIVNGSLDFQNIQRFVIINVDDIDNNGVEIVRHHPLAREIHDNGEAKLQVHYVCGPLLGRLRFKGEEDTVTDEGYISCDNQGVAEMAVGQEPEGNLSSVTGYCSYATRYGTITIKLNQGEQVEIMQSSPEFRFEKMMPKFYYQGPSLNSFTLIGNDQTLLNSRFLGGSLSLLVGPLPQDDEAKIEFSVGALAYAKFDGITGEVATLVYGEKQSTVTYELDSHLPGVSYAGKDDTFVTILPSSAGKESIVIEVGELEPHIQGELFLGAKGHIEILKGPCSEGSVVAEMSPPYFLLEKSSPTVTIKEGSRAWYSFDYSFPGQLEDVMEQVPPKTMREEGEENGNKEREQRRAEIEALQQERRLEQVSKLPGLVLAPESDISSKITVTVDMMKDTTITGLVHHRIATLTLISPSSTDYTYFAQEFRHCSRPTVKYVGPKSLCFRNVGKSLNYIGVNERGNGDQYFELTIAHSLSCRLMYGTQRFEVASVDDELVEVELSKNKWCWDEHMISIKYKGPLVNDFTFTMANVKGSLQLSRKEGQRSLSQLTVLLDGCTDLCSVKGSVSALFQVFAVDMVPRDTVTLPFTQPKLTLGARTEAEPVMVQVKYTGPKAKFCFSGHADTEVTVLSKDKDQSSLVVKYKNMQKVTDPFRIISIRGCVSFGTAVIDALQPTNPAIKITVTEFYLHEKQAHITYTGTTINELRFSGDAKTKVKIQNNLTVVAEPVGDGEPGNLVRGTVSFGMVHFVPEIKLSDHEVTFDLRSPAVDFTIREGRYFPIVTYGGSPLSYFSFSGDERSVTYCLYVLSEDQPQFGPVPSVNCGLVDEKTVLSSFLLFKVGKMPEGDSRVSGSVNYGAVILPSAANANSNTVRVTTSAEKLAWTPHTALIEYDGPLVKFFAFKGGPQTVTSQIKAETGTNRLSVQVGAPGLPQGKSLVKGNVSFGTFVFNIDGGKTCIDSVGDVHLEVTTPEFSWGLPPEIKLIFDGKDYLPIMFYGNNPACDTQYKLTGHKTYLKIEILSYNIEVDSKVFGGCGVVIDESGHAPGVGSLLLVVAATVVAPGLLGAGQGVLM
+>sp|P48745|NOV_HUMAN Protein NOV homolog OS=Homo sapiens OX=9606 GN=NOV PE=1 SV=1
+MQSVQSTSFCLRKQCLCLTFLLLHLLGQVAATQRCPPQCPGRCPATPPTCAPGVRAVLDGCSCCLVCARQRGESCSDLEPCDESSGLYCDRSADPSNQTGICTAVEGDNCVFDGVIYRSGEKFQPSCKFQCTCRDGQIGCVPRCQLDVLLPEPNCPAPRKVEVPGECCEKWICGPDEEDSLGGLTLAAYRPEATLGVEVSDSSVNCIEQTTEWTACSKSCGMGFSTRVTNRNRQCEMLKQTRLCMVRPCEQEPEQPTDKKGKKCLRTKKSLKAIHLQFKNCTSLHTYKPRFCGVCSDGRCCTPHNTKTIQAEFQCSPGQIVKKPVMVIGTCTCHTNCPKNNEAFLQELELKTTRGKM
+>DECOY_sp|P48745|NOV_HUMAN Protein NOV homolog OS=Homo sapiens OX=9606 GN=NOV PE=1 SV=1
+MKGRTTKLELEQLFAENNKPCNTHCTCTGIVMVPKKVIQGPSCQFEAQITKTNHPTCCRGDSCVGCFRPKYTHLSTCNKFQLHIAKLSKKTRLCKKGKKDTPQEPEQECPRVMCLRTQKLMECQRNRNTVRTSFGMGCSKSCATWETTQEICNVSSDSVEVGLTAEPRYAALTLGGLSDEEDPGCIWKECCEGPVEVKRPAPCNPEPLLVDLQCRPVCGIQGDRCTCQFKCSPQFKEGSRYIVGDFVCNDGEVATCIGTQNSPDASRDCYLGSSEDCPELDSCSEGRQRACVLCCSCGDLVARVGPACTPPTAPCRGPCQPPCRQTAAVQGLLHLLLFTLCLCQKRLCFSTSQVSQM
+>sp|Q9NPH5|NOX4_HUMAN NADPH oxidase 4 OS=Homo sapiens OX=9606 GN=NOX4 PE=1 SV=2
+MAVSWRSWLANEGVKHLCLFIWLSMNVLLFWKTFLLYNQGPEYHYLHQMLGLGLCLSRASASVLNLNCSLILLPMCRTLLAYLRGSQKVPSRRTRRLLDKSRTFHITCGVTICIFSGVHVAAHLVNALNFSVNYSEDFVELNAARYRDEDPRKLLFTTVPGLTGVCMVVVLFLMITASTYAIRVSNYDIFWYTHNLFFVFYMLLTLHVSGGLLKYQTNLDTHPPGCISLNRTSSQNISLPEYFSEHFHEPFPEGFSKPAEFTQHKFVKICMEEPRFQANFPQTWLWISGPLCLYCAERLYRYIRSNKPVTIISVMSHPSDVMEIRMVKENFKARPGQYITLHCPSVSALENHPFTLTMCPTETKATFGVHLKIVGDWTERFRDLLLPPSSQDSEILPFIQSRNYPKLYIDGPFGSPFEESLNYEVSLCVAGGIGVTPFASILNTLLDDWKPYKLRRLYFIWVCRDIQSFRWFADLLCMLHNKFWQENRPDYVNIQLYLSQTDGIQKIIGEKYHALNSRLFIGRPRWKLLFDEIAKYNRGKTVGVFCCGPNSLSKTLHKLSNQNNSYGTRFEYNKESFS
+>DECOY_sp|Q9NPH5|NOX4_HUMAN NADPH oxidase 4 OS=Homo sapiens OX=9606 GN=NOX4 PE=1 SV=2
+SFSEKNYEFRTGYSNNQNSLKHLTKSLSNPGCCFVGVTKGRNYKAIEDFLLKWRPRGIFLRSNLAHYKEGIIKQIGDTQSLYLQINVYDPRNEQWFKNHLMCLLDAFWRFSQIDRCVWIFYLRRLKYPKWDDLLTNLISAFPTVGIGGAVCLSVEYNLSEEFPSGFPGDIYLKPYNRSQIFPLIESDQSSPPLLLDRFRETWDGVIKLHVGFTAKTETPCMTLTFPHNELASVSPCHLTIYQGPRAKFNEKVMRIEMVDSPHSMVSIITVPKNSRIYRYLREACYLCLPGSIWLWTQPFNAQFRPEEMCIKVFKHQTFEAPKSFGEPFPEHFHESFYEPLSINQSSTRNLSICGPPHTDLNTQYKLLGGSVHLTLLMYFVFFLNHTYWFIDYNSVRIAYTSATIMLFLVVVMCVGTLGPVTTFLLKRPDEDRYRAANLEVFDESYNVSFNLANVLHAAVHVGSFICITVGCTIHFTRSKDLLRRTRRSPVKQSGRLYALLTRCMPLLILSCNLNLVSASARSLCLGLGLMQHLYHYEPGQNYLLFTKWFLLVNMSLWIFLCLHKVGENALWSRWSVAM
+>sp|Q8NFA2|NOXO1_HUMAN NADPH oxidase organizer 1 OS=Homo sapiens OX=9606 GN=NOXO1 PE=1 SV=1
+MAGPRYPVSVQGAALVQIKRLQTFAFSVRWSDGSDTFVRRSWDEFRQLKKTLKETFPVEAGLLRRSDRVLPKLLGQASLDAPLLGRVGRTSRGLARLQLLETYSRRLLATAERVARSPTITGFFAPQPLDLEPALPPGSRVILPTPEEQPLSRAAGRLSIHSLEAQSLRCLQPFCTQDTRDRPFQAQAQESLDVLLRHPSGWWLVENEDRQTAWFPAPYLEEAAPGQGREGGPSLGSSGPQFCASRAYESSRADELSVPAGARVRVLETSDRGWWLCRYGDRAGLLPAVLLRPEGLGALLSGTGFRGGDDPAGEARGFPEPSQATAPPPTVPTRPSPGAIQSRCCTVTRRALERRPRRQGRPRGCVDSVPHPTTEQ
+>DECOY_sp|Q8NFA2|NOXO1_HUMAN NADPH oxidase organizer 1 OS=Homo sapiens OX=9606 GN=NOXO1 PE=1 SV=1
+QETTPHPVSDVCGRPRGQRRPRRELARRTVTCCRSQIAGPSPRTPVTPPPATAQSPEPFGRAEGAPDDGGRFGTGSLLAGLGEPRLLVAPLLGARDGYRCLWWGRDSTELVRVRAGAPVSLEDARSSEYARSACFQPGSSGLSPGGERGQGPAAEELYPAPFWATQRDENEVLWWGSPHRLLVDLSEQAQAQFPRDRTDQTCFPQLCRLSQAELSHISLRGAARSLPQEEPTPLIVRSGPPLAPELDLPQPAFFGTITPSRAVREATALLRRSYTELLQLRALGRSTRGVRGLLPADLSAQGLLKPLVRDSRRLLGAEVPFTEKLTKKLQRFEDWSRRVFTDSGDSWRVSFAFTQLRKIQVLAAGQVSVPYRPGAM
+>sp|Q9NQX5|NPDC1_HUMAN Neural proliferation differentiation and control protein 1 OS=Homo sapiens OX=9606 GN=NPDC1 PE=1 SV=2
+MATPLPPPSPRHLRLLRLLLSGLVLGAALRGAAAGHPDVAACPGSLDCALKRRARCPPGAHACGPCLQPFQEDQQGLCVPRMRRPPGGGRPQPRLEDEIDFLAQELARKESGHSTPPLPKDRQRLPEPATLGFSARGQGLELGLPSTPGTPTPTPHTSLGSPVSSDPVHMSPLEPRGGQGDGLALVLILAFCVAGAAALSVASLCWCRLQREIRLTQKADYATAKAPGSPAAPRISPGDQRLAQSAEMYHYQHQRQQMLCLERHKEPPKELDTASSDEENEDGDFTVYECPGLAPTGEMEVRNPLFDHAALSAPLPAPSSPPALP
+>DECOY_sp|Q9NQX5|NPDC1_HUMAN Neural proliferation differentiation and control protein 1 OS=Homo sapiens OX=9606 GN=NPDC1 PE=1 SV=2
+PLAPPSSPAPLPASLAAHDFLPNRVEMEGTPALGPCEYVTFDGDENEEDSSATDLEKPPEKHRELCLMQQRQHQYHYMEASQALRQDGPSIRPAAPSGPAKATAYDAKQTLRIERQLRCWCLSAVSLAAAGAVCFALILVLALGDGQGGRPELPSMHVPDSSVPSGLSTHPTPTPTGPTSPLGLELGQGRASFGLTAPEPLRQRDKPLPPTSHGSEKRALEQALFDIEDELRPQPRGGGPPRRMRPVCLGQQDEQFPQLCPGCAHAGPPCRARRKLACDLSGPCAAVDPHGAAAGRLAAGLVLGSLLLRLLRLHRPSPPPLPTAM
+>sp|Q9Y5X5|NPFF2_HUMAN Neuropeptide FF receptor 2 OS=Homo sapiens OX=9606 GN=NPFFR2 PE=1 SV=2
+MNSFFGTPAASWCLLESDVSSAPDKEAGRERRALSVQQRGGPAWSGSLEWSRQSAGDRRRLGLSRQTAKSSWSRSRDRTCCCRRAWWILVPAADRARRERFIMNEKWDTNSSENWHPIWNVNDTKHHLYSDINITYVNYYLHQPQVAAIFIISYFLIFFLCMMGNTVVCFIVMRNKHMHTVTNLFILNLAISDLLVGIFCMPITLLDNIIAGWPFGNTMCKISGLVQGISVAASVFTLVAIAVDRFQCVVYPFKPKLTIKTAFVIIMIIWVLAITIMSPSAVMLHVQEEKYYRVRLNSQNKTSPVYWCREDWPNQEMRKIYTTVLFANIYLAPLSLIVIMYGRIGISLFRAAVPHTGRKNQEQWHVVSRKKQKIIKMLLIVALLFILSWLPLWTLMMLSDYADLSPNELQIINIYIYPFAHWLAFGNSSVNPIIYGFFNENFRRGFQEAFQLQLCQKRAKPMEAYALKAKSHVLINTSNQLVQESTFQNPHGETLLYRKSAEKPQQELVMEELKETTNSSEI
+>DECOY_sp|Q9Y5X5|NPFF2_HUMAN Neuropeptide FF receptor 2 OS=Homo sapiens OX=9606 GN=NPFFR2 PE=1 SV=2
+IESSNTTEKLEEMVLEQQPKEASKRYLLTEGHPNQFTSEQVLQNSTNILVHSKAKLAYAEMPKARKQCLQLQFAEQFGRRFNENFFGYIIPNVSSNGFALWHAFPYIYINIIQLENPSLDAYDSLMMLTWLPLWSLIFLLAVILLMKIIKQKKRSVVHWQEQNKRGTHPVAARFLSIGIRGYMIVILSLPALYINAFLVTTYIKRMEQNPWDERCWYVPSTKNQSNLRVRYYKEEQVHLMVASPSMITIALVWIIMIIVFATKITLKPKFPYVVCQFRDVAIAVLTFVSAAVSIGQVLGSIKCMTNGFPWGAIINDLLTIPMCFIGVLLDSIALNLIFLNTVTHMHKNRMVIFCVVTNGMMCLFFILFYSIIFIAAVQPQHLYYNVYTINIDSYLHHKTDNVNWIPHWNESSNTDWKENMIFRERRARDAAPVLIWWARRCCCTRDRSRSWSSKATQRSLGLRRRDGASQRSWELSGSWAPGGRQQVSLARRERGAEKDPASSVDSELLCWSAAPTGFFSNM
+>sp|O15259|NPHP1_HUMAN Nephrocystin-1 OS=Homo sapiens OX=9606 GN=NPHP1 PE=1 SV=1
+MLARRQRDPLQALRRRNQELKQQVDSLLSESQLKEALEPNKRQHIYQRCIQLKQAIDENKNALQKLSKADESAPVANYNQRKEEEHTLLDKLTQQLQGLAVTISRENITEVGAPTEEEEESESEDSEDSGGEEEDAEEEEEEKEENESHKWSTGEEYIAVGDFTAQQVGDLTFKKGEILLVIEKKPDGWWIAKDAKGNEGLVPRTYLEPYSEEEEGQESSEEGSEEDVEAVDETADGAEVKQRTDPHWSAVQKAISEAGIFCLVNHVSFCYLIVLMRNRMETVEDTNGSETGFRAWNVQSRGRIFLVSKPVLQINTVDVLTTMGAIPAGFRPSTLSQLLEEGNQFRANYFLQPELMPSQLAFRDLMWDATEGTIRSRPSRISLILTLWSCKMIPLPGMSIQVLSRHVRLCLFDGNKVLSNIHTVRATWQPKKPKTWTFSPQVTRILPCLLDGDCFIRSNSASPDLGILFELGISYIRNSTGERGELSCGWVFLKLFDASGVPIPAKTYELFLNGGTPYEKGIEVDPSISRRAHGSVFYQIMTMRRQPQLLVKLRSLNRRSRNVLSLLPETLIGNMCSIHLLIFYRQILGDVLLKDRMSLQSTDLISHPMLATFPMLLEQPDVMDALRSSWAGKESTLKRSEKRDKEFLKSTFLLVYHDCVLPLLHSTRLPPFRWAEEETETARWKVITDFLKQNQENQGALQALLSPDGVHEPFDLSEQTYDFLGEMRKNAV
+>DECOY_sp|O15259|NPHP1_HUMAN Nephrocystin-1 OS=Homo sapiens OX=9606 GN=NPHP1 PE=1 SV=1
+VANKRMEGLFDYTQESLDFPEHVGDPSLLAQLAGQNEQNQKLFDTIVKWRATETEEEAWRFPPLRTSHLLPLVCDHYVLLFTSKLFEKDRKESRKLTSEKGAWSSRLADMVDPQELLMPFTALMPHSILDTSQLSMRDKLLVDGLIQRYFILLHISCMNGILTEPLLSLVNRSRRNLSRLKVLLQPQRRMTMIQYFVSGHARRSISPDVEIGKEYPTGGNLFLEYTKAPIPVGSADFLKLFVWGCSLEGREGTSNRIYSIGLEFLIGLDPSASNSRIFCDGDLLCPLIRTVQPSFTWTKPKKPQWTARVTHINSLVKNGDFLCLRVHRSLVQISMGPLPIMKCSWLTLILSIRSPRSRITGETADWMLDRFALQSPMLEPQLFYNARFQNGEELLQSLTSPRFGAPIAGMTTLVDVTNIQLVPKSVLFIRGRSQVNWARFGTESGNTDEVTEMRNRMLVILYCFSVHNVLCFIGAESIAKQVASWHPDTRQKVEAGDATEDVAEVDEESGEESSEQGEEEESYPELYTRPVLGENGKADKAIWWGDPKKEIVLLIEGKKFTLDGVQQATFDGVAIYEEGTSWKHSENEEKEEEEEEADEEEGGSDESDESESEEEEETPAGVETINERSITVALGQLQQTLKDLLTHEEEKRQNYNAVPASEDAKSLKQLANKNEDIAQKLQICRQYIHQRKNPELAEKLQSESLLSDVQQKLEQNRRRLAQLPDRQRRALM
+>sp|O75161|NPHP4_HUMAN Nephrocystin-4 OS=Homo sapiens OX=9606 GN=NPHP4 PE=1 SV=2
+MNDWHRIFTQNVLVPPHPQRARQPWKESTAFQCVLKWLDGPVIRQGVLEVLSEVECHLRVSFFDVTYRHFFGRTWKTTVKPTKRPPSRIVFNEPLYFHTSLNHPHIVAVVEVVAEGKKRDGSLQTLSCGFGILRIFSNQPDSPISASQDKRLRLYHGTPRALLHPLLQDPAEQNRHMTLIENCSLQYTLKPHPALEPAFHLLPENLLVSGLQQIPGLLPAHGESGDALRKPRLQKPITGHLDDLFFTLYPSLEKFEEELLELHVQDHFQEGCGPLDGGALEILERRLRVGVHNGLGFVQRPQVVVLVPEMDVALTRSASFSRKVVSSSKTSSGSQALVLRSRLRLPEMVGHPAFAVIFQLEYVFSSPAGVDGNAASVTSLSNLACMHMVRWAVWNPLLEADSGRVTLPLQGGIQPNPSHCLVYKVPSASMSSEEVKQVESGTLRFQFSLGSEEHLDAPTEPVSGPKVERRPSRKPPTSPSSPPAPVPRVLAAPQNSPVGPGLSISQLAASPRSPTQHCLARPTSQLPHGSQASPAQAQEFPLEAGISHLEADLSQTSLVLETSIAEQLQELPFTPLHAPIVVGTQTRSSAGQPSRASMVLLQSSGFPEILDANKQPAEAVSATEPVTFNPQKEESDCLQSNEMVLQFLAFSRVAQDCRGTSWPKTVYFTFQFYRFPPATTPRLQLVQLDEAGQPSSGALTHILVPVSRDGTFDAGSPGFQLRYMVGPGFLKPGERRCFARYLAVQTLQIDVWDGDSLLLIGSAAVQMKHLLRQGRPAVQASHELEVVATEYEQDNMVVSGDMLGFGRVKPIGVHSVVKGRLHLTLANVGHPCEQKVRGCSTLPPSRSRVISNDGASRFSGGSLLTTGSSRRKHVVQAQKLADVDSELAAMLLTHARQGKGPQDVSRESDATRRRKLERMRSVRLQEAGGDLGRRGTSVLAQQSVRTQHLRDLQVIAAYRERTKAESIASLLSLAITTEHTLHATLGVAEFFEFVLKNPHNTQHTVTVEIDNPELSVIVDSQEWRDFKGAAGLHTPVEEDMFHLRGSLAPQLYLRPHETAHVPFKFQSFSAGQLAMVQASPGLSNEKGMDAVSPWKSSAVPTKHAKVLFRASGGKPIAVLCLTVELQPHVVDQVFRFYHPELSFLKKAIRLPPWHTFPGAPVGMLGEDPPVHVRCSDPNVICETQNVGPGEPRDIFLKVASGPSPEIKDFFVIIYSDRWLATPTQTWQVYLHSLQRVDVSCVAGQLTRLSLVLRGTQTVRKVRAFTSHPQELKTDPKGVFVLPPRGVQDLHVGVRPLRAGSRFVHLNLVDVDCHQLVASWLVCLCCRQPLISKAFEIMLAAGEGKGVNKRITYTNPYPSRRTFHLHSDHPELLRFREDSFQVGGGETYTIGLQFAPSQRVGEEEILIYINDHEDKNEEAFCVKVIYQ
+>DECOY_sp|O75161|NPHP4_HUMAN Nephrocystin-4 OS=Homo sapiens OX=9606 GN=NPHP4 PE=1 SV=2
+QYIVKVCFAEENKDEHDNIYILIEEEGVRQSPAFQLGITYTEGGGVQFSDERFRLLEPHDSHLHFTRRSPYPNTYTIRKNVGKGEGAALMIEFAKSILPQRCCLCVLWSAVLQHCDVDVLNLHVFRSGARLPRVGVHLDQVGRPPLVFVGKPDTKLEQPHSTFARVKRVTQTGRLVLSLRTLQGAVCSVDVRQLSHLYVQWTQTPTALWRDSYIIVFFDKIEPSPGSAVKLFIDRPEGPGVNQTECIVNPDSCRVHVPPDEGLMGVPAGPFTHWPPLRIAKKLFSLEPHYFRFVQDVVHPQLEVTLCLVAIPKGGSARFLVKAHKTPVASSKWPSVADMGKENSLGPSAQVMALQGASFSQFKFPVHATEHPRLYLQPALSGRLHFMDEEVPTHLGAAGKFDRWEQSDVIVSLEPNDIEVTVTHQTNHPNKLVFEFFEAVGLTAHLTHETTIALSLLSAISEAKTRERYAAIVQLDRLHQTRVSQQALVSTGRRGLDGGAEQLRVSRMRELKRRRTADSERSVDQPGKGQRAHTLLMAALESDVDALKQAQVVHKRRSSGTTLLSGGSFRSAGDNSIVRSRSPPLTSCGRVKQECPHGVNALTLHLRGKVVSHVGIPKVRGFGLMDGSVVMNDQEYETAVVELEHSAQVAPRGQRLLHKMQVAASGILLLSDGDWVDIQLTQVALYRAFCRREGPKLFGPGVMYRLQFGPSGADFTGDRSVPVLIHTLAGSSPQGAEDLQVLQLRPTTAPPFRYFQFTFYVTKPWSTGRCDQAVRSFALFQLVMENSQLCDSEEKQPNFTVPETASVAEAPQKNADLIEPFGSSQLLVMSARSPQGASSRTQTGVVIPAHLPTFPLEQLQEAISTELVLSTQSLDAELHSIGAELPFEQAQAPSAQSGHPLQSTPRALCHQTPSRPSAALQSISLGPGVPSNQPAALVRPVPAPPSSPSTPPKRSPRREVKPGSVPETPADLHEESGLSFQFRLTGSEVQKVEESSMSASPVKYVLCHSPNPQIGGQLPLTVRGSDAELLPNWVAWRVMHMCALNSLSTVSAANGDVGAPSSFVYELQFIVAFAPHGVMEPLRLRSRLVLAQSGSSTKSSSVVKRSFSASRTLAVDMEPVLVVVQPRQVFGLGNHVGVRLRRELIELAGGDLPGCGEQFHDQVHLELLEEEFKELSPYLTFFLDDLHGTIPKQLRPKRLADGSEGHAPLLGPIQQLGSVLLNEPLLHFAPELAPHPKLTYQLSCNEILTMHRNQEAPDQLLPHLLARPTGHYLRLRKDQSASIPSDPQNSFIRLIGFGCSLTQLSGDRKKGEAVVEVVAVIHPHNLSTHFYLPENFVIRSPPRKTPKVTTKWTRGFFHRYTVDFFSVRLHCEVESLVELVGQRIVPGDLWKLVCQFATSEKWPQRARQPHPPVLVNQTFIRHWDNM
+>sp|A6NJ64|NPIL2_HUMAN Putative NPIP-like protein LOC729978 OS=Homo sapiens OX=9606 PE=5 SV=4
+MFCCLGYEWLSGGCKTWHSAWVINTLADHHHRGTDFGGSPWLRIIIAFPRSYKVVLTLWTVYLWLSFLKTIFQSENGHDVSTDVQQRARRSNRRRQEGLRSICMHTKKRVSSFPGNKIGLKDVITLRRHVETKGRAKIRKMKVTTKINHHDKINGKRKTAKKQKLSVKECEHAEKERQVSEAEENGKLDMKEIHTYMKMFQRAQELRRRAEDYHKCKIPPSARKALCNWVRMAAAEHRHSSGLPYWPYLTAETLKNRMGHQPPPPTQQHSITDNSLSLKTPPECLLTPLPPSADDNLKTPPECLLTPLPPSAPPSADDNLKTPPLATQEAEAEKPPKPKRWRAAEMESPPEPKRRRAAEVESPPEPKRRRAAEVEPSSPEPKRRRLSKLRTGHCTQA
+>DECOY_sp|A6NJ64|NPIL2_HUMAN Putative NPIP-like protein LOC729978 OS=Homo sapiens OX=9606 PE=5 SV=4
+AQTCHGTRLKSLRRRKPEPSSPEVEAARRRKPEPPSEVEAARRRKPEPPSEMEAARWRKPKPPKEAEAEQTALPPTKLNDDASPPASPPLPTLLCEPPTKLNDDASPPLPTLLCEPPTKLSLSNDTISHQQTPPPPQHGMRNKLTEATLYPWYPLGSSHRHEAAAMRVWNCLAKRASPPIKCKHYDEARRRLEQARQFMKMYTHIEKMDLKGNEEAESVQREKEAHECEKVSLKQKKATKRKGNIKDHHNIKTTVKMKRIKARGKTEVHRRLTIVDKLGIKNGPFSSVRKKTHMCISRLGEQRRRNSRRARQQVDTSVDHGNESQFITKLFSLWLYVTWLTLVVKYSRPFAIIIRLWPSGGFDTGRHHHDALTNIVWASHWTKCGGSLWEYGLCCFM
+>sp|Q6UXI9|NPNT_HUMAN Nephronectin OS=Homo sapiens OX=9606 GN=NPNT PE=2 SV=3
+MDFLLALVLVSSLYLQAAAEFDGRWPRQIVSSIGLCRYGGRIDCCWGWARQSWGQCQPVCQPRCKHGECIGPNKCKCHPGYAGKTCNQDLNECGLKPRPCKHRCMNTYGSYKCYCLNGYMLMPDGSCSSALTCSMANCQYGCDVVKGQIRCQCPSPGLQLAPDGRTCVDVDECATGRASCPRFRQCVNTFGSYICKCHKGFDLMYIGGKYQCHDIDECSLGQYQCSSFARCYNIRGSYKCKCKEGYQGDGLTCVYIPKVMIEPSGPIHVPKGNGTILKGDTGNNNWIPDVGSTWWPPKTPYIPPIITNRPTSKPTTRPTPKPTPIPTPPPPPPLPTELRTPLPPTTPERPTTGLTTIAPAASTPPGGITVDNRVQTDPQKPRGDVFIPRQPSNDLFEIFEIERGVSADDEAKDDPGVLVHSCNFDHGLCGWIREKDNDLHWEPIRDPAGGQYLTVSAAKAPGGKAARLVLPLGRLMHSGDLCLSFRHKVTGLHSGTLQVFVRKHGAHGAALWGRNGGHGWRQTQITLRGADIKSVVFKGEKRRGHTGEIGLDDVSLKKGHCSEER
+>DECOY_sp|Q6UXI9|NPNT_HUMAN Nephronectin OS=Homo sapiens OX=9606 GN=NPNT PE=2 SV=3
+REESCHGKKLSVDDLGIEGTHGRRKEGKFVVSKIDAGRLTIQTQRWGHGGNRGWLAAGHAGHKRVFVQLTGSHLGTVKHRFSLCLDGSHMLRGLPLVLRAAKGGPAKAASVTLYQGGAPDRIPEWHLDNDKERIWGCLGHDFNCSHVLVGPDDKAEDDASVGREIEFIEFLDNSPQRPIFVDGRPKQPDTQVRNDVTIGGPPTSAAPAITTLGTTPREPTTPPLPTRLETPLPPPPPPTPIPTPKPTPRTTPKSTPRNTIIPPIYPTKPPWWTSGVDPIWNNNGTDGKLITGNGKPVHIPGSPEIMVKPIYVCTLGDGQYGEKCKCKYSGRINYCRAFSSCQYQGLSCEDIDHCQYKGGIYMLDFGKHCKCIYSGFTNVCQRFRPCSARGTACEDVDVCTRGDPALQLGPSPCQCRIQGKVVDCGYQCNAMSCTLASSCSGDPMLMYGNLCYCKYSGYTNMCRHKCPRPKLGCENLDQNCTKGAYGPHCKCKNPGICEGHKCRPQCVPQCQGWSQRAWGWCCDIRGGYRCLGISSVIQRPWRGDFEAAAQLYLSSVLVLALLFDM
+>sp|O00624|NPT3_HUMAN Sodium-dependent phosphate transport protein 3 OS=Homo sapiens OX=9606 GN=SLC17A2 PE=2 SV=2
+MDGKPATRKGPDFCSLRYGLALIMHFSNFTMITQRVSLSIAIIAMVNTTQQQGLSNASTEGPVADAFNNSSISIKEFDTKASVYQWSPETQGIIFSSINYGIILTLIPSGYLAGIFGAKKMLGAGLLISSLLTLFTPLAADFGVILVIMVRTVQGMAQGMAWTGQFTIWAKWAPPLERSKLTTIAGSGSAFGSFIILCVGGLISQALSWPFIFYIFGSTGCVCCLLWFTVIYDDPMHHPCISVREKEHILSSLAQQPSSPGRAVPIKAMVTCLPLWAIFLGFFSHFWLCTIILTYLPTYISTLLHVNIRDSGVLSSLPFIAAASCTILGGQLADFLLSRNLLRLITVRKLFSSLGLLLPSICAVALPFVASSYVITIILLILIPGTSNLCDSGFIINTLDIAPRYASFLMGISRGFGLIAGIISSTATGFLISQVGPVY
+>DECOY_sp|O00624|NPT3_HUMAN Sodium-dependent phosphate transport protein 3 OS=Homo sapiens OX=9606 GN=SLC17A2 PE=2 SV=2
+YVPGVQSILFGTATSSIIGAILGFGRSIGMLFSAYRPAIDLTNIIFGSDCLNSTGPILILLIITIVYSSAVFPLAVACISPLLLGLSSFLKRVTILRLLNRSLLFDALQGGLITCSAAAIFPLSSLVGSDRINVHLLTSIYTPLYTLIITCLWFHSFFGLFIAWLPLCTVMAKIPVARGPSSPQQALSSLIHEKERVSICPHHMPDDYIVTFWLLCCVCGTSGFIYFIFPWSLAQSILGGVCLIIFSGFASGSGAITTLKSRELPPAWKAWITFQGTWAMGQAMGQVTRVMIVLIVGFDAALPTFLTLLSSILLGAGLMKKAGFIGALYGSPILTLIIGYNISSFIIGQTEPSWQYVSAKTDFEKISISSNNFADAVPGETSANSLGQQQTTNVMAIIAISLSVRQTIMTFNSFHMILALGYRLSCFDPGKRTAPKGDM
+>sp|Q15818|NPTX1_HUMAN Neuronal pentraxin-1 OS=Homo sapiens OX=9606 GN=NPTX1 PE=2 SV=2
+MPAGRAARTCALLALCLLGAGAQDFGPTRFICTSVPVDADMCAASVAAGGAEELRSSVLQLRETVLQQKETILSQKETIRELTAKLGRCESQSTLDPGAGEARAGGGRKQPGSGKNTMGDLSRTPAAETLSQLGQTLQSLKTRLENLEQYSRLNSSSQTNSLKDLLQSKIDELERQVLSRVNTLEEGKGGPRNDTEERVKIETALTSLHQRISELEKGQKDNRPGDKFQLTFPLRTNYMYAKVKKSLPEMYAFTVCMWLKSSATPGVGTPFSYAVPGQANELVLIEWGNNPMEILINDKVAKLPFVINDGKWHHICVTWTTRDGVWEAYQDGTQGGSGENLAPYHPIKPQGVLVLGQEQDTLGGGFDATQAFVGELAHFNIWDRKLTPGEVYNLATCSTKALSGNVIAWAESHIEIYGGATKWTFEACRQIN
+>DECOY_sp|Q15818|NPTX1_HUMAN Neuronal pentraxin-1 OS=Homo sapiens OX=9606 GN=NPTX1 PE=2 SV=2
+NIQRCAEFTWKTAGGYIEIHSEAWAIVNGSLAKTSCTALNYVEGPTLKRDWINFHALEGVFAQTADFGGGLTDQEQGLVLVGQPKIPHYPALNEGSGGQTGDQYAEWVGDRTTWTVCIHHWKGDNIVFPLKAVKDNILIEMPNNGWEILVLENAQGPVAYSFPTGVGPTASSKLWMCVTFAYMEPLSKKVKAYMYNTRLPFTLQFKDGPRNDKQGKELESIRQHLSTLATEIKVREETDNRPGGKGEELTNVRSLVQRELEDIKSQLLDKLSNTQSSSNLRSYQELNELRTKLSQLTQGLQSLTEAAPTRSLDGMTNKGSGPQKRGGGARAEGAGPDLTSQSECRGLKATLERITEKQSLITEKQQLVTERLQLVSSRLEEAGGAAVSAACMDADVPVSTCIFRTPGFDQAGAGLLCLALLACTRAARGAPM
+>sp|Q9UN36|NDRG2_HUMAN Protein NDRG2 OS=Homo sapiens OX=9606 GN=NDRG2 PE=1 SV=2
+MAELQEVQITEEKPLLPGQTPEAAKEAELAARILLDQGQTHSVETPYGSVTFTVYGTPKPKRPAILTYHDVGLNYKSCFQPLFQFEDMQEIIQNFVRVHVDAPGMEEGAPVFPLGYQYPSLDQLADMIPCVLQYLNFSTIIGVGVGAGAYILARYALNHPDTVEGLVLINIDPNAKGWMDWAAHKLTGLTSSIPEMILGHLFSQEELSGNSELIQKYRNIITHAPNLDNIELYWNSYNNRRDLNFERGGDITLRCPVMLVVGDQAPHEDAVVECNSKLDPTQTSFLKMADSGGQPQLTQPGKLTEAFKYFLQGMGYMASSCMTRLSRSRTASLTSAASVDGNRSRSRTLSQSSESGTLSSGPPGHTMEVSC
+>DECOY_sp|Q9UN36|NDRG2_HUMAN Protein NDRG2 OS=Homo sapiens OX=9606 GN=NDRG2 PE=1 SV=2
+CSVEMTHGPPGSSLTGSESSQSLTRSRSRNGDVSAASTLSATRSRSLRTMCSSAMYGMGQLFYKFAETLKGPQTLQPQGGSDAMKLFSTQTPDLKSNCEVVADEHPAQDGVVLMVPCRLTIDGGREFNLDRRNNYSNWYLEINDLNPAHTIINRYKQILESNGSLEEQSFLHGLIMEPISSTLGTLKHAAWDMWGKANPDINILVLGEVTDPHNLAYRALIYAGAGVGVGIITSFNLYQLVCPIMDALQDLSPYQYGLPFVPAGEEMGPADVHVRVFNQIIEQMDEFQFLPQFCSKYNLGVDHYTLIAPRKPKPTGYVTFTVSGYPTEVSHTQGQDLLIRAALEAEKAAEPTQGPLLPKEETIQVEQLEAM
+>sp|O96000|NDUBA_HUMAN NADH dehydrogenase [ubiquinone] 1 beta subcomplex subunit 10 OS=Homo sapiens OX=9606 GN=NDUFB10 PE=1 SV=3
+MPDSWDKDVYPEPPRRTPVQPNPIVYMMKAFDLIVDRPVTLVREFIERQHAKNRYYYYHRQYRRVPDITECKEEDIMCMYEAEMQWKRDYKVDQEIINIMQDRLKACQQREGQNYQQNCIKEVEQFTQVAKAYQDRYQDLGAYSSARKCLAKQRQRMLQERKAAKEAAAATS
+>DECOY_sp|O96000|NDUBA_HUMAN NADH dehydrogenase [ubiquinone] 1 beta subcomplex subunit 10 OS=Homo sapiens OX=9606 GN=NDUFB10 PE=1 SV=3
+STAAAAEKAAKREQLMRQRQKALCKRASSYAGLDQYRDQYAKAVQTFQEVEKICNQQYNQGERQQCAKLRDQMINIIEQDVKYDRKWQMEAEYMCMIDEEKCETIDPVRRYQRHYYYYRNKAHQREIFERVLTVPRDVILDFAKMMYVIPNPQVPTRRPPEPYVDKDWSDPM
+>sp|Q8N183|NDUF2_HUMAN NADH dehydrogenase [ubiquinone] 1 alpha subcomplex assembly factor 2 OS=Homo sapiens OX=9606 GN=NDUFAF2 PE=1 SV=1
+MGWSQDLFRALWRSLSREVKEHVGTDQFGNKYYYIPQYKNWRGQTIREKRIVEAANKKEVDYEAGDIPTEWEAWIRRTRKTPPTMEEILKNEKHREEIKIKSQDFYEKEKLLSKETSEELLPPPVQTQIKGHASAPYFGKEEPSVAPSSTGKTFQPGSWMPRDGKSHNQ
+>DECOY_sp|Q8N183|NDUF2_HUMAN NADH dehydrogenase [ubiquinone] 1 alpha subcomplex assembly factor 2 OS=Homo sapiens OX=9606 GN=NDUFAF2 PE=1 SV=1
+QNHSKGDRPMWSGPQFTKGTSSPAVSPEEKGFYPASAHGKIQTQVPPPLLEESTEKSLLKEKEYFDQSKIKIEERHKENKLIEEMTPPTKRTRRIWAEWETPIDGAEYDVEKKNAAEVIRKERITQGRWNKYQPIYYYKNGFQDTGVHEKVERSLSRWLARFLDQSWGM
+>sp|O43181|NDUS4_HUMAN NADH dehydrogenase [ubiquinone] iron-sulfur protein 4, mitochondrial OS=Homo sapiens OX=9606 GN=NDUFS4 PE=1 SV=1
+MAAVSMSVVLRQTLWRRRAVAVAALSVSRVPTRSLRTSTWRLAQDQTQDTQLITVDEKLDITTLTGVPEEHIKTRKVRIFVPARNNMQSGVNNTKKWKMEFDTRERWENPLMGWASTADPLSNMVLTFSTKEDAVSFAEKNGWSYDIEERKVPKPKSKSYGANFSWNKRTRVSTK
+>DECOY_sp|O43181|NDUS4_HUMAN NADH dehydrogenase [ubiquinone] iron-sulfur protein 4, mitochondrial OS=Homo sapiens OX=9606 GN=NDUFS4 PE=1 SV=1
+KTSVRTRKNWSFNAGYSKSKPKPVKREEIDYSWGNKEAFSVADEKTSFTLVMNSLPDATSAWGMLPNEWRERTDFEMKWKKTNNVGSQMNNRAPVFIRVKRTKIHEEPVGTLTTIDLKEDVTILQTDQTQDQALRWTSTRLSRTPVRSVSLAAVAVARRRWLTQRLVVSMSVAAM
+>sp|P49821|NDUV1_HUMAN NADH dehydrogenase [ubiquinone] flavoprotein 1, mitochondrial OS=Homo sapiens OX=9606 GN=NDUFV1 PE=1 SV=4
+MLATRRLLGWSLPARVSVRFSGDTTAPKKTSFGSLKDEDRIFTNLYGRHDWRLKGSLSRGDWYKTKEILLKGPDWILGEIKTSGLRGRGGAGFPTGLKWSFMNKPSDGRPKYLVVNADEGEPGTCKDREILRHDPHKLLEGCLVGGRAMGARAAYIYIRGEFYNEASNLQVAIREAYEAGLIGKNACGSGYDFDVFVVRGAGAYICGEETALIESIEGKQGKPRLKPPFPADVGVFGCPTTVANVETVAVSPTICRRGGTWFAGFGRERNSGTKLFNISGHVNHPCTVEEEMSVPLKELIEKHAGGVTGGWDNLLAVIPGGSSTPLIPKSVCETVLMDFDALVQAQTGLGTAAVIVMDRSTDIVKAIARLIEFYKHESCGQCTPCREGVDWMNKVMARFVRGDARPAEIDSLWEISKQIEGHTICALGDGAAWPVQGLIRHFRPELEERMQRFAQQHQARQAAS
+>DECOY_sp|P49821|NDUV1_HUMAN NADH dehydrogenase [ubiquinone] flavoprotein 1, mitochondrial OS=Homo sapiens OX=9606 GN=NDUFV1 PE=1 SV=4
+SAAQRAQHQQAFRQMREELEPRFHRILGQVPWAAGDGLACITHGEIQKSIEWLSDIEAPRADGRVFRAMVKNMWDVGERCPTCQGCSEHKYFEILRAIAKVIDTSRDMVIVAATGLGTQAQVLADFDMLVTECVSKPILPTSSGGPIVALLNDWGGTVGGAHKEILEKLPVSMEEEVTCPHNVHGSINFLKTGSNRERGFGAFWTGGRRCITPSVAVTEVNAVTTPCGFVGVDAPFPPKLRPKGQKGEISEILATEEGCIYAGAGRVVFVDFDYGSGCANKGILGAEYAERIAVQLNSAENYFEGRIYIYAARAGMARGGVLCGELLKHPDHRLIERDKCTGPEGEDANVVLYKPRGDSPKNMFSWKLGTPFGAGGRGRLGSTKIEGLIWDPGKLLIEKTKYWDGRSLSGKLRWDHRGYLNTFIRDEDKLSGFSTKKPATTDGSFRVSVRAPLSWGLLRRTALM
+>sp|P19404|NDUV2_HUMAN NADH dehydrogenase [ubiquinone] flavoprotein 2, mitochondrial OS=Homo sapiens OX=9606 GN=NDUFV2 PE=1 SV=2
+MFFSAALRARAAGLTAHWGRHVRNLHKTVMQNGAGGALFVHRDTPENNPDTPFDFTPENYKRIEAIVKNYPEGHKAAAVLPVLDLAQRQNGWLPISAMNKVAEVLQVPPMRVYEVATFYTMYNRKPVGKYHIQVCTTTPCMLRNSDSILEAIQKKLGIKVGETTPDKLFTLIEVECLGACVNAPMVQINDNYYEDLTAKDIEEIIDELKAGKIPKPGPRSGRFSCEPAGGLTSLTEPPKGPGFGVQAGL
+>DECOY_sp|P19404|NDUV2_HUMAN NADH dehydrogenase [ubiquinone] flavoprotein 2, mitochondrial OS=Homo sapiens OX=9606 GN=NDUFV2 PE=1 SV=2
+LGAQVGFGPGKPPETLSTLGGAPECSFRGSRPGPKPIKGAKLEDIIEEIDKATLDEYYNDNIQVMPANVCAGLCEVEILTFLKDPTTEGVKIGLKKQIAELISDSNRLMCPTTTCVQIHYKGVPKRNYMTYFTAVEYVRMPPVQLVEAVKNMASIPLWGNQRQALDLVPLVAAAKHGEPYNKVIAEIRKYNEPTFDFPTDPNNEPTDRHVFLAGGAGNQMVTKHLNRVHRGWHATLGAARARLAASFFM
+>sp|Q15223|NECT1_HUMAN Nectin-1 OS=Homo sapiens OX=9606 GN=NECTIN1 PE=1 SV=3
+MARMGLAGAAGRWWGLALGLTAFFLPGVHSQVVQVNDSMYGFIGTDVVLHCSFANPLPSVKITQVTWQKSTNGSKQNVAIYNPSMGVSVLAPYRERVEFLRPSFTDGTIRLSRLELEDEGVYICEFATFPTGNRESQLNLTVMAKPTNWIEGTQAVLRAKKGQDDKVLVATCTSANGKPPSVVSWETRLKGEAEYQEIRNPNGTVTVISRYRLVPSREAHQQSLACIVNYHMDRFKESLTLNVQYEPEVTIEGFDGNWYLQRMDVKLTCKADANPPATEYHWTTLNGSLPKGVEAQNRTLFFKGPINYSLAGTYICEATNPIGTRSGQVEVNITEFPYTPSPPEHGRRAGPVPTAIIGGVAGSILLVLIVVGGIVVALRRRRHTFKGDYSTKKHVYGNGYSKAGIPQHHPPMAQNLQYPDDSDDEKKAGPLGGSSYEEEEEEEEGGGGGERKVGGPHPKYDEDAKRPYFTVDEAEARQDGYGDRTLGYQYDPEQLDLAENMVSQNDGSFISKKEWYV
+>DECOY_sp|Q15223|NECT1_HUMAN Nectin-1 OS=Homo sapiens OX=9606 GN=NECTIN1 PE=1 SV=3
+VYWEKKSIFSGDNQSVMNEALDLQEPDYQYGLTRDGYGDQRAEAEDVTFYPRKADEDYKPHPGGVKREGGGGGEEEEEEEEYSSGGLPGAKKEDDSDDPYQLNQAMPPHHQPIGAKSYGNGYVHKKTSYDGKFTHRRRRLAVVIGGVVILVLLISGAVGGIIATPVPGARRGHEPPSPTYPFETINVEVQGSRTGIPNTAECIYTGALSYNIPGKFFLTRNQAEVGKPLSGNLTTWHYETAPPNADAKCTLKVDMRQLYWNGDFGEITVEPEYQVNLTLSEKFRDMHYNVICALSQQHAERSPVLRYRSIVTVTGNPNRIEQYEAEGKLRTEWSVVSPPKGNASTCTAVLVKDDQGKKARLVAQTGEIWNTPKAMVTLNLQSERNGTPFTAFECIYVGEDELELRSLRITGDTFSPRLFEVRERYPALVSVGMSPNYIAVNQKSGNTSKQWTVQTIKVSPLPNAFSCHLVVDTGIFGYMSDNVQVVQSHVGPLFFATLGLALGWWRGAAGALGMRAM
+>sp|Q9NQS3|NECT3_HUMAN Nectin-3 OS=Homo sapiens OX=9606 GN=NECTIN3 PE=1 SV=1
+MARTLRPSPLCPGGGKAQLSSASLLGAGLLLQPPTPPPLLLLLFPLLLFSRLCGALAGPIIVEPHVTAVWGKNVSLKCLIEVNETITQISWEKIHGKSSQTVAVHHPQYGFSVQGEYQGRVLFKNYSLNDATITLHNIGFSDSGKYICKAVTFPLGNAQSSTTVTVLVEPTVSLIKGPDSLIDGGNETVAAICIAATGKPVAHIDWEGDLGEMESTTTSFPNETATIISQYKLFPTRFARGRRITCVVKHPALEKDIRYSFILDIQYAPEVSVTGYDGNWFVGRKGVNLKCNADANPPPFKSVWSRLDGQWPDGLLASDNTLHFVHPLTFNYSGVYICKVTNSLGQRSDQKVIYISDPPTTTTLQPTIQWHPSTADIEDLATEPKKLPFPLSTLATIKDDTIATIIASVVGGALFIVLVSVLAGIFCYRRRRTFRGDYFAKNYIPPSDMQKESQIDVLQQDELDSYPDSVKKENKNPVNNLIRKDYLEEPEKTQWNNVENLNRFERPMDYYEDLKMGMKFVSDEHYDENEDDLVSHVDGSVISRREWYV
+>DECOY_sp|Q9NQS3|NECT3_HUMAN Nectin-3 OS=Homo sapiens OX=9606 GN=NECTIN3 PE=1 SV=1
+VYWERRSIVSGDVHSVLDDENEDYHEDSVFKMGMKLDEYYDMPREFRNLNEVNNWQTKEPEELYDKRILNNVPNKNEKKVSDPYSDLEDQQLVDIQSEKQMDSPPIYNKAFYDGRFTRRRRYCFIGALVSVLVIFLAGGVVSAIITAITDDKITALTSLPFPLKKPETALDEIDATSPHWQITPQLTTTTPPDSIYIVKQDSRQGLSNTVKCIYVGSYNFTLPHVFHLTNDSALLGDPWQGDLRSWVSKFPPPNADANCKLNVGKRGVFWNGDYGTVSVEPAYQIDLIFSYRIDKELAPHKVVCTIRRGRAFRTPFLKYQSIITATENPFSTTTSEMEGLDGEWDIHAVPKGTAAICIAAVTENGGDILSDPGKILSVTPEVLVTVTTSSQANGLPFTVAKCIYKGSDSFGINHLTITADNLSYNKFLVRGQYEGQVSFGYQPHHVAVTQSSKGHIKEWSIQTITENVEILCKLSVNKGWVATVHPEVIIPGALAGCLRSFLLLPFLLLLLPPPTPPQLLLGAGLLSASSLQAKGGGPCLPSPRLTRAM
+>sp|Q96NY8|NECT4_HUMAN Nectin-4 OS=Homo sapiens OX=9606 GN=NECTIN4 PE=1 SV=1
+MPLSLGAEMWGPEAWLLLLLLLASFTGRCPAGELETSDVVTVVLGQDAKLPCFYRGDSGEQVGQVAWARVDAGEGAQELALLHSKYGLHVSPAYEGRVEQPPPPRNPLDGSVLLRNAVQADEGEYECRVSTFPAGSFQARLRLRVLVPPLPSLNPGPALEEGQGLTLAASCTAEGSPAPSVTWDTEVKGTTSSRSFKHSRSAAVTSEFHLVPSRSMNGQPLTCVVSHPGLLQDQRITHILHVSFLAEASVRGLEDQNLWHIGREGAMLKCLSEGQPPPSYNWTRLDGPLPSGVRVDGDTLGFPPLTTEHSGIYVCHVSNEFSSRDSQVTVDVLDPQEDSGKQVDLVSASVVVVGVIAALLFCLLVVVVVLMSRYHRRKAQQMTQKYEEELTLTRENSIRRLHSHHTDPRSQPEESVGLRAEGHPDSLKDNSSCSVMSEEPEGRSYSTLTTVREIETQTELLSPGSGRAEEEEDQDEGIKQAMNHFVQENGTLRAKPTGNGIYINGRGHLV
+>DECOY_sp|Q96NY8|NECT4_HUMAN Nectin-4 OS=Homo sapiens OX=9606 GN=NECTIN4 PE=1 SV=1
+VLHGRGNIYIGNGTPKARLTGNEQVFHNMAQKIGEDQDEEEEARGSGPSLLETQTEIERVTTLTSYSRGEPEESMVSCSSNDKLSDPHGEARLGVSEEPQSRPDTHHSHLRRISNERTLTLEEEYKQTMQQAKRRHYRSMLVVVVVLLCFLLAAIVGVVVVSASVLDVQKGSDEQPDLVDVTVQSDRSSFENSVHCVYIGSHETTLPPFGLTDGDVRVGSPLPGDLRTWNYSPPPQGESLCKLMAGERGIHWLNQDELGRVSAEALFSVHLIHTIRQDQLLGPHSVVCTLPQGNMSRSPVLHFESTVAASRSHKFSRSSTTGKVETDWTVSPAPSGEATCSAALTLGQGEELAPGPNLSPLPPVLVRLRLRAQFSGAPFTSVRCEYEGEDAQVANRLLVSGDLPNRPPPPQEVRGEYAPSVHLGYKSHLLALEQAGEGADVRAWAVQGVQEGSDGRYFCPLKADQGLVVTVVDSTELEGAPCRGTFSALLLLLLLWAEPGWMEAGLSLPM
+>sp|Q7Z3B1|NEGR1_HUMAN Neuronal growth regulator 1 OS=Homo sapiens OX=9606 GN=NEGR1 PE=1 SV=3
+MDMMLLVQGACCSNQWLAAVLLSLCCLLPSCLPAGQSVDFPWAAVDNMMVRKGDTAVLRCYLEDGASKGAWLNRSSIIFAGGDKWSVDPRVSISTLNKRDYSLQIQNVDVTDDGPYTCSVQTQHTPRTMQVHLTVQVPPKIYDISNDMTVNEGTNVTLTCLATGKPEPSISWRHISPSAKPFENGQYLDIYGITRDQAGEYECSAENDVSFPDVRKVKVVVNFAPTIQEIKSGTVTPGRSGLIRCEGAGVPPPAFEWYKGEKKLFNGQQGIIIQNFSTRSILTVTNVTQEHFGNYTCVAANKLGTTNASLPLNPPSTAQYGITGSADVLFSCWYLVLTLSSFTSIFYLKNAILQ
+>DECOY_sp|Q7Z3B1|NEGR1_HUMAN Neuronal growth regulator 1 OS=Homo sapiens OX=9606 GN=NEGR1 PE=1 SV=3
+QLIANKLYFISTFSSLTLVLYWCSFLVDASGTIGYQATSPPNLPLSANTTGLKNAAVCTYNGFHEQTVNTVTLISRTSFNQIIIGQQGNFLKKEGKYWEFAPPPVGAGECRILGSRGPTVTGSKIEQITPAFNVVVKVKRVDPFSVDNEASCEYEGAQDRTIGYIDLYQGNEFPKASPSIHRWSISPEPKGTALCTLTVNTGENVTMDNSIDYIKPPVQVTLHVQMTRPTHQTQVSCTYPGDDTVDVNQIQLSYDRKNLTSISVRPDVSWKDGGAFIISSRNLWAGKSAGDELYCRLVATDGKRVMMNDVAAWPFDVSQGAPLCSPLLCCLSLLVAALWQNSCCAGQVLLMMDM
+>sp|Q969S2|NEIL2_HUMAN Endonuclease 8-like 2 OS=Homo sapiens OX=9606 GN=NEIL2 PE=1 SV=3
+MPEGPLVRKFHHLVSPFVGQQVVKTGGSSKKLQPASLQSLWLQDTQVHGKKLFLRFDLDEEMGPPGSSPTPEPPQKEVQKEGAADPKQVGEPSGQKTLDGSSRSAELVPQGEDDSEYLERDAPAGDAGRWLRVSFGLFGSVWVNDFSRAKKANKRGDWRDPSPRLVLHFGGGGFLAFYNCQLSWSSSPVVTPTCDILSEKFHRGQALEALGQAQPVCYTLLDQRYFSGLGNIIKNEALYRAGIHPLSLGSVLSASRREVLVDHVVEFSTAWLQGKFQGRPQHTQVYQKEQCPAGHQVMKEAFGPEDGLQRLTWWCPQCQPQLSEEPEQCQFS
+>DECOY_sp|Q969S2|NEIL2_HUMAN Endonuclease 8-like 2 OS=Homo sapiens OX=9606 GN=NEIL2 PE=1 SV=3
+SFQCQEPEESLQPQCQPCWWTLRQLGDEPGFAEKMVQHGAPCQEKQYVQTHQPRGQFKGQLWATSFEVVHDVLVERRSASLVSGLSLPHIGARYLAENKIINGLGSFYRQDLLTYCVPQAQGLAELAQGRHFKESLIDCTPTVVPSSSWSLQCNYFALFGGGGFHLVLRPSPDRWDGRKNAKKARSFDNVWVSGFLGFSVRLWRGADGAPADRELYESDDEGQPVLEASRSSGDLTKQGSPEGVQKPDAAGEKQVEKQPPEPTPSSGPPGMEEDLDFRLFLKKGHVQTDQLWLSQLSAPQLKKSSGGTKVVQQGVFPSVLHHFKRVLPGEPM
+>sp|Q6ZWH5|NEK10_HUMAN Serine/threonine-protein kinase Nek10 OS=Homo sapiens OX=9606 GN=NEK10 PE=2 SV=3
+MPDQDKKVKTTEKSTDKQQEITIRDYSDLKRLRCLLNVQSSKQQLPAINFDSAQNSMTKSEPAIRAGGHRARGQWHESTEAVELENFSINYKNERNFSKHPQRKLFQEIFTALVKNRLISREWVNRAPSIHFLRVLICLRLLMRDPCYQEILHSLGGIENLAQYMEIVANEYLGYGEEQHTVDKLVNMTYIFQKLAAVKDQREWVTTSGAHKTLVNLLGARDTNVLLGSLLALASLAESQECREKISELNIVENLLMILHEYDLLSKRLTAELLRLLCAEPQVKEQVKLYEGIPVLLSLLHSDHLKLLWSIVWILVQVCEDPETSVEIRIWGGIKQLLHILQGDRNFVSDHSSIGSLSSANAAGRIQQLHLSEDLSPREIQENTFSLQAACCAALTELVLNDTNAHQVVQENGVYTIAKLILPNKQKNAAKSNLLQCYAFRALRFLFSMERNRPLFKRLFPTDLFEIFIDIGHYVRDISAYEELVSKLNLLVEDELKQIAENIESINQNKAPLKYIGNYAILDHLGSGAFGCVYKVRKHSGQNLLAMKEVNLHNPAFGKDKKDRDSSVRNIVSELTIIKEQLYHPNIVRYYKTFLENDRLYIVMELIEGAPLGEHFSSLKEKHHHFTEERLWKIFIQLCLALRYLHKEKRIVHRDLTPNNIMLGDKDKVTVTDFGLAKQKQENSKLTSVVGTILYSCPEVLKSEPYGEKADVWAVGCILYQMATLSPPFYSTNMLSLATKIVEAVYEPVPEGIYSEKVTDTISRCLTPDAEARPDIVEVSSMISDVMMKYLDNLSTSQLSLEKKLERERRRTQRYFMEANRNTVTCHHELAVLSHETFEKASLSSSSSGAASLKSELSESADLPPEGFQASYGKDEDRACDEILSDDNFNLENAEKDTYSEVDDELDISDNSSSSSSSPLKESTFNILKRSFSASGGERQSQTRDFTGGTGSRPRPALLPLDLLLKVPPHMLRAHIKEIEAELVTGWQSHSLPAVILRNLKDHGPQMGTFLWQASAGIAVSQRKVRQISDPIQQILIQLHKIIYITQLPPALHHNLKRRVIERFKKSLFSQQSNPCNLKSEIKKLSQGSPEPIEPNFFTADYHLLHRSSGGNSLSPNDPTGLPTSIELEEGITYEQMQTVIEEVLEESGYYNFTSNRYHSYPWGTKNHPTKR
+>DECOY_sp|Q6ZWH5|NEK10_HUMAN Serine/threonine-protein kinase Nek10 OS=Homo sapiens OX=9606 GN=NEK10 PE=2 SV=3
+RKTPHNKTGWPYSHYRNSTFNYYGSEELVEEIVTQMQEYTIGEELEISTPLGTPDNPSLSNGGSSRHLLHYDATFFNPEIPEPSGQSLKKIESKLNCPNSQQSFLSKKFREIVRRKLNHHLAPPLQTIYIIKHLQILIQQIPDSIQRVKRQSVAIGASAQWLFTGMQPGHDKLNRLIVAPLSHSQWGTVLEAEIEKIHARLMHPPVKLLLDLPLLAPRPRSGTGGTFDRTQSQREGGSASFSRKLINFTSEKLPSSSSSSSNDSIDLEDDVESYTDKEANELNFNDDSLIEDCARDEDKGYSAQFGEPPLDASESLESKLSAAGSSSSSLSAKEFTEHSLVALEHHCTVTNRNAEMFYRQTRRRERELKKELSLQSTSLNDLYKMMVDSIMSSVEVIDPRAEADPTLCRSITDTVKESYIGEPVPEYVAEVIKTALSLMNTSYFPPSLTAMQYLICGVAWVDAKEGYPESKLVEPCSYLITGVVSTLKSNEQKQKALGFDTVTVKDKDGLMINNPTLDRHVIRKEKHLYRLALCLQIFIKWLREETFHHHKEKLSSFHEGLPAGEILEMVIYLRDNELFTKYYRVINPHYLQEKIITLESVINRVSSDRDKKDKGFAPNHLNVEKMALLNQGSHKRVKYVCGFAGSGLHDLIAYNGIYKLPAKNQNISEINEAIQKLEDEVLLNLKSVLEEYASIDRVYHGIDIFIEFLDTPFLRKFLPRNREMSFLFRLARFAYCQLLNSKAANKQKNPLILKAITYVGNEQVVQHANTDNLVLETLAACCAAQLSFTNEQIERPSLDESLHLQQIRGAANASSLSGISSHDSVFNRDGQLIHLLQKIGGWIRIEVSTEPDECVQVLIWVISWLLKLHDSHLLSLLVPIGEYLKVQEKVQPEACLLRLLEATLRKSLLDYEHLIMLLNEVINLESIKERCEQSEALSALALLSGLLVNTDRAGLLNVLTKHAGSTTVWERQDKVAALKQFIYTMNVLKDVTHQEEGYGLYENAVIEMYQALNEIGGLSHLIEQYCPDRMLLRLCILVRLFHISPARNVWERSILRNKVLATFIEQFLKRQPHKSFNRENKYNISFNELEVAETSEHWQGRARHGGARIAPESKTMSNQASDFNIAPLQQKSSQVNLLCRLRKLDSYDRITIEQQKDTSKETTKVKKDQDPM
+>sp|Q6P3R8|NEK5_HUMAN Serine/threonine-protein kinase Nek5 OS=Homo sapiens OX=9606 GN=NEK5 PE=2 SV=1
+MDKYDVIKAIGQGAFGKAYLAKGKSDSKHCVIKEINFEKMPIQEKEASKKEVILLEKMKHPNIVAFFNSFQENGRLFIVMEYCDGGDLMKRINRQRGVLFSEDQILGWFVQISLGLKHIHDRKILHRDIKAQNIFLSKNGMVAKLGDFGIARVLNNSMELARTCIGTPYYLSPEICQNKPYNNKTDIWSLGCVLYELCTLKHPFEGNNLQQLVLKICQAHFAPISPGFSRELHSLISQLFQVSPRDRPSINSILKRPFLENLIPKYLTPEVIQEEFSHMLICRAGAPASRHAGKVVQKCKIQKVRFQGKCPPRSRISVPIKRNAILHRNEWRPPAGAQKARSIKMIERPKIAAVCGHYDYYYAQLDMLRRRAHKPSYHPIPQENTGVEDYGQETRHGPSPSQWPAEYLQRKFEAQQYKLKVEKQLGLRPSSAEPNYNQRQELRSNGEEPRFQELPFRKNEMKEQEYWKQLEEIRQQYHNDMKEIRKKMGREPEENSKISHKTYLVKKSNLPVHQDASEGEAPVQMEFRSCCPGWSAMARSWLTATSASQDIEKDLKQMRLQNTKESKNPEQKYKAKKGVKFEINLDKCISDENILQEEEAMDIPNETLTFEDGMKFKEYECVKEHGDYTDKAFEKLHCPEAGFSTQTVAAVGNRRQWDGGAPQTLLQMMAVADITSTCPTGPDSESVLSVSRQEGKTKDPYSPVLILM
+>DECOY_sp|Q6P3R8|NEK5_HUMAN Serine/threonine-protein kinase Nek5 OS=Homo sapiens OX=9606 GN=NEK5 PE=2 SV=1
+MLILVPSYPDKTKGEQRSVSLVSESDPGTPCTSTIDAVAMMQLLTQPAGGDWQRRNGVAAVTQTSFGAEPCHLKEFAKDTYDGHEKVCEYEKFKMGDEFTLTENPIDMAEEEQLINEDSICKDLNIEFKVGKKAKYKQEPNKSEKTNQLRMQKLDKEIDQSASTATLWSRAMASWGPCCSRFEMQVPAEGESADQHVPLNSKKVLYTKHSIKSNEEPERGMKKRIEKMDNHYQQRIEELQKWYEQEKMENKRFPLEQFRPEEGNSRLEQRQNYNPEASSPRLGLQKEVKLKYQQAEFKRQLYEAPWQSPSPGHRTEQGYDEVGTNEQPIPHYSPKHARRRLMDLQAYYYDYHGCVAAIKPREIMKISRAKQAGAPPRWENRHLIANRKIPVSIRSRPPCKGQFRVKQIKCKQVVKGAHRSAPAGARCILMHSFEEQIVEPTLYKPILNELFPRKLISNISPRDRPSVQFLQSILSHLERSFGPSIPAFHAQCIKLVLQQLNNGEFPHKLTCLEYLVCGLSWIDTKNNYPKNQCIEPSLYYPTGICTRALEMSNNLVRAIGFDGLKAVMGNKSLFINQAKIDRHLIKRDHIHKLGLSIQVFWGLIQDESFLVGRQRNIRKMLDGGDCYEMVIFLRGNEQFSNFFAVINPHKMKELLIVEKKSAEKEQIPMKEFNIEKIVCHKSDSKGKALYAKGFAGQGIAKIVDYKDM
+>sp|Q92686|NEUG_HUMAN Neurogranin OS=Homo sapiens OX=9606 GN=NRGN PE=1 SV=1
+MDCCTENACSKPDDDILDIPLDDPGANAAAAKIQASFRGHMARKKIKSGERGRKGPGPGGPGGAGVARGGAGGGPSGD
+>DECOY_sp|Q92686|NEUG_HUMAN Neurogranin OS=Homo sapiens OX=9606 GN=NRGN PE=1 SV=1
+DGSPGGGAGGRAVGAGGPGGPGPGKRGREGSKIKKRAMHGRFSAQIKAAAANAGPDDLPIDLIDDDPKSCANETCCDM
+>sp|O76050|NEUL1_HUMAN E3 ubiquitin-protein ligase NEURL1 OS=Homo sapiens OX=9606 GN=NEURL1 PE=2 SV=1
+MGNNFSSIPSLPRGNPSRAPRGHPQNLKDSIGGPFPVTSHRCHHKQKHCPAVLPSGGLPATPLLFHPHTKGSQILMDLSHKAVKRQASFCNAITFSNRPVLIYEQVRLKITKKQCCWSGALRLGFTSKDPSRIHPDSLPKYACPDLVSQSGFWAKALPEEFANEGNIIAFWVDKKGRVFHRINDSAVMLFFSGVRTADPLWALVDVYGLTRGVQLLDSELVLPDCLRPRSFTALRRPSLRREADDARLSVSLCDLNVPGADGDEAAPAAGCPIPQNSLNSQHSRALPAQLDGDLRFHALRAGAHVRILDEQTVARVEHGRDERALVFTSRPVRVAETIFVKVTRSGGARPGALSFGVTTCDPGTLRPADLPFSPEALVDRKEFWAVCRVPGPLHSGDILGLVVNADGELHLSHNGAAAGMQLCVDASQPLWMLFGLHGTITQIRILGSTILAERGIPSLPCSPASTPTSPSALGSRLSDPLLSTCSSGPLGSSAGGTAPNSPVSLPESPVTPGLGQWSDECTICYEHAVDTVIYTCGHMCLCYACGLRLKKALHACCPICRRPIKDIIKTYRSS
+>DECOY_sp|O76050|NEUL1_HUMAN E3 ubiquitin-protein ligase NEURL1 OS=Homo sapiens OX=9606 GN=NEURL1 PE=2 SV=1
+SSRYTKIIDKIPRRCIPCCAHLAKKLRLGCAYCLCMHGCTYIVTDVAHEYCITCEDSWQGLGPTVPSEPLSVPSNPATGGASSGLPGSSCTSLLPDSLRSGLASPSTPTSAPSCPLSPIGREALITSGLIRIQTITGHLGFLMWLPQSADVCLQMGAAAGNHSLHLEGDANVVLGLIDGSHLPGPVRCVAWFEKRDVLAEPSFPLDAPRLTGPDCTTVGFSLAGPRAGGSRTVKVFITEAVRVPRSTFVLAREDRGHEVRAVTQEDLIRVHAGARLAHFRLDGDLQAPLARSHQSNLSNQPIPCGAAPAAEDGDAGPVNLDCLSVSLRADDAERRLSPRRLATFSRPRLCDPLVLESDLLQVGRTLGYVDVLAWLPDATRVGSFFLMVASDNIRHFVRGKKDVWFAIINGENAFEEPLAKAWFGSQSVLDPCAYKPLSDPHIRSPDKSTFGLRLAGSWCCQKKTIKLRVQEYILVPRNSFTIANCFSAQRKVAKHSLDMLIQSGKTHPHFLLPTAPLGGSPLVAPCHKQKHHCRHSTVPFPGGISDKLNQPHGRPARSPNGRPLSPISSFNNGM
+>sp|Q0ZGT2|NEXN_HUMAN Nexilin OS=Homo sapiens OX=9606 GN=NEXN PE=1 SV=1
+MNDISQKAEILLSSSKPVPKTYVPKLGKGDVKDKFEAMQRAREERNQRRSRDEKQRRKEQYIREREWNRRKQEIKEMLASDDEEDVSSKVEKAYVPKLTGTVKGRFAEMEKQRQEEQRKRTEEERKRRIEQDMLEKRKIQRELAKRAEQIEDINNTGTESASEEGDDSLLITVVPVKSYKTSGKMKKNFEDLEKEREEKERIKYEEDKRIRYEEQRPSLKEAKCLSLVMDDEIESEAKKESLSPGKLKLTFEELERQRQENRKKQAEEEARKRLEEEKRAFEEARRQMVNEDEENQDTAKIFKGYRPGKLKLSFEEMERQRREDEKRKAEEEARRRIEEEKKAFAEARRNMVVDDDSPEMYKTISQEFLTPGKLEINFEELLKQKMEEEKRRTEEERKHKLEMEKQEFEQLRQEMGEEEEENETFGLSREYEELIKLKRSGSIQAKNLKSKFEKIGQLSEKEIQKKIEEERARRRAIDLEIKEREAENFHEEDDVDVRPARKSEAPFTHKVNMKARFEQMAKAREEEEQRRIEEQKLLRMQFEQREIDAALQKKREEEEEEEGSIMNGSTAEDEEQTRSGAPWFKKPLKNTSVVDSEPVRFTVKVTGEPKPEITWWFEGEILQDGEDYQYIERGETYCLYLPETFPEDGGEYMCKAVNNKGSAASTCILTIESKN
+>DECOY_sp|Q0ZGT2|NEXN_HUMAN Nexilin OS=Homo sapiens OX=9606 GN=NEXN PE=1 SV=1
+NKSEITLICTSAASGKNNVAKCMYEGGDEPFTEPLYLCYTEGREIYQYDEGDQLIEGEFWWTIEPKPEGTVKVTFRVPESDVVSTNKLPKKFWPAGSRTQEEDEATSGNMISGEEEEEEERKKQLAADIERQEFQMRLLKQEEIRRQEEEERAKAMQEFRAKMNVKHTFPAESKRAPRVDVDDEEHFNEAEREKIELDIARRRAREEEIKKQIEKESLQGIKEFKSKLNKAQISGSRKLKILEEYERSLGFTENEEEEEGMEQRLQEFEQKEMELKHKREEETRRKEEEMKQKLLEEFNIELKGPTLFEQSITKYMEPSDDDVVMNRRAEAFAKKEEEIRRRAEEEAKRKEDERRQREMEEFSLKLKGPRYGKFIKATDQNEEDENVMQRRAEEFARKEEELRKRAEEEAQKKRNEQRQRELEEFTLKLKGPSLSEKKAESEIEDDMVLSLCKAEKLSPRQEEYRIRKDEEYKIREKEEREKELDEFNKKMKGSTKYSKVPVVTILLSDDGEESASETGTNNIDEIQEARKALERQIKRKELMDQEIRRKREEETRKRQEEQRQKEMEAFRGKVTGTLKPVYAKEVKSSVDEEDDSALMEKIEQKRRNWERERIYQEKRRQKEDRSRRQNREERARQMAEFKDKVDGKGLKPVYTKPVPKSSSLLIEAKQSIDNM
+>sp|Q8NCF5|NF2IP_HUMAN NFATC2-interacting protein OS=Homo sapiens OX=9606 GN=NFATC2IP PE=1 SV=1
+MAEPVGKRGRWSGGSGAGRGGRGGWGGRGRRPRAQRSPSRGTLDVVSVDLVTDSDEEILEVATARGAADEVEVEPPEPPGPVASRDNSNSDSEGEDRRPAGPPREPVRRRRRLVLDPGEAPLVPVYSGKVKSSLRLIPDDLSLLKLYPPGDEEEAELADSSGLYHEGSPSPGSPWKTKLRTKDKEEKKKTEFLDLDNSPLSPPSPRTKSRTHTRALKKLSEVNKRLQDLRSCLSPKPPQGQEQQGQEDEVVLVEGPTLPETPRLFPLKIRCRADLVRLPLRMSEPLQSVVDHMATHLGVSPSRILLLFGETELSPTATPRTLKLGVADIIDCVVLTSSPEATETSQQLQLRVQGKEKHQTLEVSLSRDSPLKTLMSHYEEAMGLSGRKLSFFFDGTKLSGRELPADLGMESGDLIEVWG
+>DECOY_sp|Q8NCF5|NF2IP_HUMAN NFATC2-interacting protein OS=Homo sapiens OX=9606 GN=NFATC2IP PE=1 SV=1
+GWVEILDGSEMGLDAPLERGSLKTGDFFFSLKRGSLGMAEEYHSMLTKLPSDRSLSVELTQHKEKGQVRLQLQQSTETAEPSSTLVVCDIIDAVGLKLTRPTATPSLETEGFLLLIRSPSVGLHTAMHDVVSQLPESMRLPLRVLDARCRIKLPFLRPTEPLTPGEVLVVEDEQGQQEQGQPPKPSLCSRLDQLRKNVESLKKLARTHTRSKTRPSPPSLPSNDLDLFETKKKEEKDKTRLKTKWPSGPSPSGEHYLGSSDALEAEEEDGPPYLKLLSLDDPILRLSSKVKGSYVPVLPAEGPDLVLRRRRRVPERPPGAPRRDEGESDSNSNDRSAVPGPPEPPEVEVEDAAGRATAVELIEEDSDTVLDVSVVDLTGRSPSRQARPRRGRGGWGGRGGRGAGSGGSWRGRKGVPEAM
+>sp|Q16649|NFIL3_HUMAN Nuclear factor interleukin-3-regulated protein OS=Homo sapiens OX=9606 GN=NFIL3 PE=1 SV=2
+MQLRKMQTVKKEQASLDASSNVDKMMVLNSALTEVSEDSTTGEELLLSEGSVGKNKSSACRRKREFIPDEKKDAMYWEKRRKNNEAAKRSREKRRLNDLVLENKLIALGEENATLKAELLSLKLKFGLISSTAYAQEIQKLSNSTAVYFQDYQTSKSNVSSFVDEHEPSMVSSSCISVIKHSPQSSLSDVSEVSSVEHTQESSVQGSCRSPENKFQIIKQEPMELESYTREPRDDRGSYTASIYQNYMGNSFSGYSHSPPLLQVNRSSSNSPRTSETDDGVVGKSSDGEDEQQVPKGPIHSPVELKHVHATVVKVPEVNSSALPHKLRIKAKAMQIKVEAFDNEFEATQKLSSPIDMTSKRHFELEKHSAPSMVHSSLTPFSVQVTNIQDWSLKSEHWHQKELSGKTQNSFKTGVVEMKDSGYKVSDPENLYLKQGIANLSAEVVSLKRLIATQPISASDSG
+>DECOY_sp|Q16649|NFIL3_HUMAN Nuclear factor interleukin-3-regulated protein OS=Homo sapiens OX=9606 GN=NFIL3 PE=1 SV=2
+GSDSASIPQTAILRKLSVVEASLNAIGQKLYLNEPDSVKYGSDKMEVVGTKFSNQTKGSLEKQHWHESKLSWDQINTVQVSFPTLSSHVMSPASHKELEFHRKSTMDIPSSLKQTAEFENDFAEVKIQMAKAKIRLKHPLASSNVEPVKVVTAHVHKLEVPSHIPGKPVQQEDEGDSSKGVVGDDTESTRPSNSSSRNVQLLPPSHSYGSFSNGMYNQYISATYSGRDDRPERTYSELEMPEQKIIQFKNEPSRCSGQVSSEQTHEVSSVESVDSLSSQPSHKIVSICSSSVMSPEHEDVFSSVNSKSTQYDQFYVATSNSLKQIEQAYATSSILGFKLKLSLLEAKLTANEEGLAILKNELVLDNLRRKERSRKAAENNKRRKEWYMADKKEDPIFERKRRCASSKNKGVSGESLLLEEGTTSDESVETLASNLVMMKDVNSSADLSAQEKKVTQMKRLQM
+>sp|Q9BT67|NFIP1_HUMAN NEDD4 family-interacting protein 1 OS=Homo sapiens OX=9606 GN=NDFIP1 PE=1 SV=1
+MALALAALAAVEPACGSRYQQLQNEEESGEPEQAAGDAPPPYSSISAESAAYFDYKDESGFPKPPSYNVATTLPSYDEAERTKAEATIPLVPGRDEDFVGRDDFDDADQLRIGNDGIFMLTFFMAFLFNWIGFFLSFCLTTSAAGRYGAISGFGLSLIKWILIVRFSTYFPGYFDGQYWLWWVFLVLGFLLFLRGFINYAKVRKMPETFSNLPRTRVLFIY
+>DECOY_sp|Q9BT67|NFIP1_HUMAN NEDD4 family-interacting protein 1 OS=Homo sapiens OX=9606 GN=NDFIP1 PE=1 SV=1
+YIFLVRTRPLNSFTEPMKRVKAYNIFGRLFLLFGLVLFVWWLWYQGDFYGPFYTSFRVILIWKILSLGFGSIAGYRGAASTTLCFSLFFGIWNFLFAMFFTLMFIGDNGIRLQDADDFDDRGVFDEDRGPVLPITAEAKTREAEDYSPLTTAVNYSPPKPFGSEDKYDFYAASEASISSYPPPADGAAQEPEGSEEENQLQQYRSGCAPEVAALAALALAM
+>sp|Q9NV92|NFIP2_HUMAN NEDD4 family-interacting protein 2 OS=Homo sapiens OX=9606 GN=NDFIP2 PE=1 SV=2
+MARRRSQRVCASGPSMLNSARGAPELLRGTATNAEVSAAAAGATGSEELPPGDRGCRNGGGRGPAATTSSTGVAVGAEHGEDSLSRKPDPEPGRMDHHQPGTGRYQVLLNEEDNSESSAIEQPPTSNPAPQIVQAASSAPALETDSSPPPYSSITVEVPTTSDTEVYGEFYPVPPPYSVATSLPTYDEAEKAKAAAMAAAAAETSQRIQEEECPPRDDFSDADQLRVGNDGIFMLAFFMAFIFNWLGFCLSFCITNTIAGRYGAICGFGLSLIKWILIVRFSDYFTGYFNGQYWLWWIFLVLGLLLFFRGFVNYLKVRNMSESMAAAHRTRYFFLL
+>DECOY_sp|Q9NV92|NFIP2_HUMAN NEDD4 family-interacting protein 2 OS=Homo sapiens OX=9606 GN=NDFIP2 PE=1 SV=2
+LLFFYRTRHAAAMSESMNRVKLYNVFGRFFLLLGLVLFIWWLWYQGNFYGTFYDSFRVILIWKILSLGFGCIAGYRGAITNTICFSLCFGLWNFIFAMFFALMFIGDNGVRLQDADSFDDRPPCEEEQIRQSTEAAAAAMAAAKAKEAEDYTPLSTAVSYPPPVPYFEGYVETDSTTPVEVTISSYPPPSSDTELAPASSAAQVIQPAPNSTPPQEIASSESNDEENLLVQYRGTGPQHHDMRGPEPDPKRSLSDEGHEAGVAVGTSSTTAAPGRGGGNRCGRDGPPLEESGTAGAAAASVEANTATGRLLEPAGRASNLMSPGSACVRQSRRRAM
+>sp|Q00653|NFKB2_HUMAN Nuclear factor NF-kappa-B p100 subunit OS=Homo sapiens OX=9606 GN=NFKB2 PE=1 SV=4
+MESCYNPGLDGIIEYDDFKLNSSIVEPKEPAPETADGPYLVIVEQPKQRGFRFRYGCEGPSHGGLPGASSEKGRKTYPTVKICNYEGPAKIEVDLVTHSDPPRAHAHSLVGKQCSELGICAVSVGPKDMTAQFNNLGVLHVTKKNMMGTMIQKLQRQRLRSRPQGLTEAEQRELEQEAKELKKVMDLSIVRLRFSAFLRASDGSFSLPLKPVISQPIHDSKSPGASNLKISRMDKTAGSVRGGDEVYLLCDKVQKDDIEVRFYEDDENGWQAFGDFSPTDVHKQYAIVFRTPPYHKMKIERPVTVFLQLKRKRGGDVSDSKQFTYYPLVEDKEEVQRKRRKALPTFSQPFGGGSHMGGGSGGAAGGYGGAGGGGSLGFFPSSLAYSPYQSGAGPMGCYPGGGGGAQMAATVPSRDSGEEAAEPSAPSRTPQCEPQAPEMLQRAREYNARLFGLAQRSARALLDYGVTADARALLAGQRHLLTAQDENGDTPLHLAIIHGQTSVIEQIVYVIHHAQDLGVVNLTNHLHQTPLHLAVITGQTSVVSFLLRVGADPALLDRHGDSAMHLALRAGAGAPELLRALLQSGAPAVPQLLHMPDFEGLYPVHLAVRARSPECLDLLVDSGAEVEATERQGGRTALHLATEMEELGLVTHLVTKLRANVNARTFAGNTPLHLAAGLGYPTLTRLLLKAGADIHAENEEPLCPLPSPPTSDSDSDSEGPEKDTRSSFRGHTPLDLTCSTKVKTLLLNAAQNTMEPPLTPPSPAGPGLSLGDTALQNLEQLLDGPEAQGSWAELAERLGLRSLVDTYRQTTSPSGSLLRSYELAGGDLAGLLEALSDMGLEEGVRLLRGPETRDKLPSTAEVKEDSAYGSQSVEQEAEKLGPPPEPPGGLCHGHPQPQVH
+>DECOY_sp|Q00653|NFKB2_HUMAN Nuclear factor NF-kappa-B p100 subunit OS=Homo sapiens OX=9606 GN=NFKB2 PE=1 SV=4
+HVQPQPHGHCLGGPPEPPPGLKEAEQEVSQSGYASDEKVEATSPLKDRTEPGRLLRVGEELGMDSLAELLGALDGGALEYSRLLSGSPSTTQRYTDVLSRLGLREALEAWSGQAEPGDLLQELNQLATDGLSLGPGAPSPPTLPPEMTNQAANLLLTKVKTSCTLDLPTHGRFSSRTDKEPGESDSDSDSTPPSPLPCLPEENEAHIDAGAKLLLRTLTPYGLGAALHLPTNGAFTRANVNARLKTVLHTVLGLEEMETALHLATRGGQRETAEVEAGSDVLLDLCEPSRARVALHVPYLGEFDPMHLLQPVAPAGSQLLARLLEPAGAGARLALHMASDGHRDLLAPDAGVRLLFSVVSTQGTIVALHLPTQHLHNTLNVVGLDQAHHIVYVIQEIVSTQGHIIALHLPTDGNEDQATLLHRQGALLARADATVGYDLLARASRQALGFLRANYERARQLMEPAQPECQPTRSPASPEAAEEGSDRSPVTAAMQAGGGGGPYCGMPGAGSQYPSYALSSPFFGLSGGGGAGGYGGAAGGSGGGMHSGGGFPQSFTPLAKRRKRQVEEKDEVLPYYTFQKSDSVDGGRKRKLQLFVTVPREIKMKHYPPTRFVIAYQKHVDTPSFDGFAQWGNEDDEYFRVEIDDKQVKDCLLYVEDGGRVSGATKDMRSIKLNSAGPSKSDHIPQSIVPKLPLSFSGDSARLFASFRLRVISLDMVKKLEKAEQELERQEAETLGQPRSRLRQRQLKQIMTGMMNKKTVHLVGLNNFQATMDKPGVSVACIGLESCQKGVLSHAHARPPDSHTVLDVEIKAPGEYNCIKVTPYTKRGKESSAGPLGGHSPGECGYRFRFGRQKPQEVIVLYPGDATEPAPEKPEVISSNLKFDDYEIIGDLGPNYCSEM
+>sp|Q12986|NFX1_HUMAN Transcriptional repressor NF-X1 OS=Homo sapiens OX=9606 GN=NFX1 PE=1 SV=2
+MAEAPPVSGTFKFNTDAAEFIPQEKKNSGLNCGTQRRLDSNRIGRRNYSSPPPCHLSRQVPYDEISAVHQHSYHPSGSKPKSQQTSFQSSPCNKSPKSHGLQNQPWQKLRNEKHHIRVKKAQSLAEQTSDTAGLESSTRSESGTDLREHSPSESEKEVVGADPRGAKPKKATQFVYSYGRGPKVKGKLKCEWSNRTTPKPEDAGPESTKPVGVFHPDSSEASSRKGVLDGYGARRNEQRRYPQKRPPWEVEGARPRPGRNPPKQEGHRHTNAGHRNNMGPIPKDDLNERPAKSTCDSENLAVINKSSRRVDQEKCTVRRQDPQVVSPFSRGKQNHVLKNVETHTGSLIEQLTTEKYECMVCCELVRVTAPVWSCQSCYHVFHLNCIKKWARSPASQADGQSGWRCPACQNVSAHVPNTYTCFCGKVKNPEWSRNEIPHSCGEVCRKKQPGQDCPHSCNLLCHPGPCPPCPAFMTKTCECGRTRHTVRCGQAVSVHCSNPCENILNCGQHQCAELCHGGQCQPCQIILNQVCYCGSTSRDVLCGTDVGKSDGFGDFSCLKICGKDLKCGNHTCSQVCHPQPCQQCPRLPQLVRCCPCGQTPLSQLLELGSSSRKTCMDPVPSCGKVCGKPLPCGSLDFIHTCEKLCHEGDCGPCSRTSVISCRCSFRTKELPCTSLKSEDATFMCDKRCNKKRLCGRHKCNEICCVDKEHKCPLICGRKLRCGLHRCEEPCHRGNCQTCWQASFDELTCHCGASVIYPPVPCGTRPPECTQTCARVHECDHPVYHSCHSEEKCPPCTFLTQKWCMGKHEFRSNIPCHLVDISCGLPCSATLPCGMHKCQRLCHKGECLVDEPCKQPCTTPRADCGHPCMAPCHTSSPCPVTACKAKVELQCECGRRKEMVICSEASSTYQRIAAISMASKITDMQLGGSVEISKLITKKEVHQARLECDEECSALERKKRLAEAFHISEDSDPFNIRSSGSKFSDSLKEDARKDLKFVSDVEKEMETLVEAVNKGKNSKKSHSFPPMNRDHRRIIHDLAQVYGLESVSYDSEPKRNVVVTAIRGKSVCPPTTLTGVLEREMQARPPPPIPHHRHQSDKNPGSSNLQKITKEPIIDYFDVQD
+>DECOY_sp|Q12986|NFX1_HUMAN Transcriptional repressor NF-X1 OS=Homo sapiens OX=9606 GN=NFX1 PE=1 SV=2
+DQVDFYDIIPEKTIKQLNSSGPNKDSQHRHHPIPPPPRAQMERELVGTLTTPPCVSKGRIATVVVNRKPESDYSVSELGYVQALDHIIRRHDRNMPPFSHSKKSNKGKNVAEVLTEMEKEVDSVFKLDKRADEKLSDSFKSGSSRINFPDSDESIHFAEALRKKRELASCEEDCELRAQHVEKKTILKSIEVSGGLQMDTIKSAMSIAAIRQYTSSAESCIVMEKRRGCECQLEVKAKCATVPCPSSTHCPAMCPHGCDARPTTCPQKCPEDVLCEGKHCLRQCKHMGCPLTASCPLGCSIDVLHCPINSRFEHKGMCWKQTLFTCPPCKEESHCSHYVPHDCEHVRACTQTCEPPRTGCPVPPYIVSAGCHCTLEDFSAQWCTQCNGRHCPEECRHLGCRLKRGCILPCKHEKDVCCIENCKHRGCLRKKNCRKDCMFTADESKLSTCPLEKTRFSCRCSIVSTRSCPGCDGEHCLKECTHIFDLSGCPLPKGCVKGCSPVPDMCTKRSSSGLELLQSLPTQGCPCCRVLQPLRPCQQCPQPHCVQSCTHNGCKLDKGCIKLCSFDGFGDSKGVDTGCLVDRSTSGCYCVQNLIIQCPQCQGGHCLEACQHQGCNLINECPNSCHVSVAQGCRVTHRTRGCECTKTMFAPCPPCPGPHCLLNCSHPCDQGPQKKRCVEGCSHPIENRSWEPNKVKGCFCTYTNPVHASVNQCAPCRWGSQGDAQSAPSRAWKKICNLHFVHYCSQCSWVPATVRVLECCVMCEYKETTLQEILSGTHTEVNKLVHNQKGRSFPSVVQPDQRRVTCKEQDVRRSSKNIVALNESDCTSKAPRENLDDKPIPGMNNRHGANTHRHGEQKPPNRGPRPRAGEVEWPPRKQPYRRQENRRAGYGDLVGKRSSAESSDPHFVGVPKTSEPGADEPKPTTRNSWECKLKGKVKPGRGYSYVFQTAKKPKAGRPDAGVVEKESESPSHERLDTGSESRTSSELGATDSTQEALSQAKKVRIHHKENRLKQWPQNQLGHSKPSKNCPSSQFSTQQSKPKSGSPHYSHQHVASIEDYPVQRSLHCPPPSSYNRRGIRNSDLRRQTGCNLGSNKKEQPIFEAADTNFKFTGSVPPAEAM
+>sp|P55769|NH2L1_HUMAN NHP2-like protein 1 OS=Homo sapiens OX=9606 GN=SNU13 PE=1 SV=3
+MTEADVNPKAYPLADAHLTKKLLDLVQQSCNYKQLRKGANEATKTLNRGISEFIVMAADAEPLEIILHLPLLCEDKNVPYVFVRSKQALGRACGVSRPVIACSVTIKEGSQLKQQIQSIQQSIERLLV
+>DECOY_sp|P55769|NH2L1_HUMAN NHP2-like protein 1 OS=Homo sapiens OX=9606 GN=SNU13 PE=1 SV=3
+VLLREISQQISQIQQKLQSGEKITVSCAIVPRSVGCARGLAQKSRVFVYPVNKDECLLPLHLIIELPEADAAMVIFESIGRNLTKTAENAGKRLQKYNCSQQVLDLLKKTLHADALPYAKPNVDAETM
+>sp|Q9Y2I6|NINL_HUMAN Ninein-like protein OS=Homo sapiens OX=9606 GN=NINL PE=1 SV=2
+MDEEENHYVSQLREVYSSCDTTGTGFLDRQELTQLCLKLHLEQQLPVLLQTLLGNDHFARVNFEEFKEGFVAVLSSNAGVRPSDEDSSSLESAASSAIPPKYVNGSKWYGRRSRPELCDAATEARRVPEQQTQASLKSHLWRSASLESVESPKSDEEAESTKEAQNELFEAQGQLQTWDSEDFGSPQKSCSPSFDTPESQIRGVWEELGVGSSGHLSEQELAVVCQSVGLQGLEKEELEDLFNKLDQDGDGKVSLEEFQLGLFSHEPALLLESSTRVKPSKAWSHYQVPEESGCHTTTTSSLVSLCSSLRLFSSIDDGSGFAFPDQVLAMWTQEGIQNGREILQSLDFSVDEKVNLLELTWALDNELMTVDSAVQQAALACYHQELSYQQGQVEQLARERDKARQDLERAEKRNLEFVKEMDDCHSTLEQLTEKKIKHLEQGYRERLSLLRSEVEAERELFWEQAHRQRAALEWDVGRLQAEEAGLREKLTLALKENSRLQKEIVEVVEKLSDSERLALKLQKDLEFVLKDKLEPQSAELLAQEERFAAVLKEYELKCRDLQDRNDELQAELEGLWARLPKNRHSPSWSPDGRRRQLPGLGPAGISFLGNSAPVSIETELMMEQVKEHYQDLRTQLETKVNYYEREIAALKRNFEKERKDMEQARRREVSVLEGQKADLEELHEKSQEVIWGLQEQLQDTARGPEPEQMGLAPCCTQALCGLALRHHSHLQQIRREAEAELSGELSGLGALPARRDLTLELEEPPQGPLPRGSQRSEQLELERALKLQPCASEKRAQMCVSLALEEEELELARGKRVDGPSLEAEMQALPKDGLVAGSGQEGTRGLLPLRPGCGERPLAWLAPGDGRESEEAAGAGPRRRQAQDTEATQSPAPAPAPASHGPSERWSRMQPCGVDGDIVPKEPEPFGASAAGLEQPGARELPLLGTERDASQTQPRMWEPPLRPAASCRGQAERLQAIQEERARSWSRGTQEQASEQQARAEGALEPGCHKHSVEVARRGSLPSHLQLADPQGSWQEQLAAPEEGETKIALEREKDDMETKLLHLEDVVRALEKHVDLRENDRLEFHRLSEENTLLKNDLGRVRQELEAAESTHDAQRKEIEVLKKDKEKACSEMEVLNRQNQNYKDQLSQLNVRVLQLGQEASTHQAQNEEHRVTIQMLTQSLEEVVRSGQQQSDQIQKLRVELECLNQEHQSLQLPWSELTQTLEESQDQVQGAHLRLRQAQAQHLQEVRLVPQDRVAELHRLLSLQGEQARRRLDAQREEHEKQLKATEERVEEAEMILKNMEMLLQEKVDKLKEQFEKNTKSDLLLKELYVENAHLVRALQATEEKQRGAEKQSRLLEEKVRALNKLVSRIAPAALSV
+>DECOY_sp|Q9Y2I6|NINL_HUMAN Ninein-like protein OS=Homo sapiens OX=9606 GN=NINL PE=1 SV=2
+VSLAAPAIRSVLKNLARVKEELLRSQKEAGRQKEETAQLARVLHANEVYLEKLLLDSKTNKEFQEKLKDVKEQLLMEMNKLIMEAEEVREETAKLQKEHEERQADLRRRAQEGQLSLLRHLEAVRDQPVLRVEQLHQAQAQRLRLHAGQVQDQSEELTQTLESWPLQLSQHEQNLCELEVRLKQIQDSQQQGSRVVEELSQTLMQITVRHEENQAQHTSAEQGLQLVRVNLQSLQDKYNQNQRNLVEMESCAKEKDKKLVEIEKRQADHTSEAAELEQRVRGLDNKLLTNEESLRHFELRDNERLDVHKELARVVDELHLLKTEMDDKERELAIKTEGEEPAALQEQWSGQPDALQLHSPLSGRRAVEVSHKHCGPELAGEARAQQESAQEQTGRSWSRAREEQIAQLREAQGRCSAAPRLPPEWMRPQTQSADRETGLLPLERAGPQELGAASAGFPEPEKPVIDGDVGCPQMRSWRESPGHSAPAPAPAPSQTAETDQAQRRRPGAGAAEESERGDGPALWALPREGCGPRLPLLGRTGEQGSGAVLGDKPLAQMEAELSPGDVRKGRALELEEEELALSVCMQARKESACPQLKLARELELQESRQSGRPLPGQPPEELELTLDRRAPLAGLGSLEGSLEAEAERRIQQLHSHHRLALGCLAQTCCPALGMQEPEPGRATDQLQEQLGWIVEQSKEHLEELDAKQGELVSVERRRAQEMDKREKEFNRKLAAIEREYYNVKTELQTRLDQYHEKVQEMMLETEISVPASNGLFSIGAPGLGPLQRRRGDPSWSPSHRNKPLRAWLGELEAQLEDNRDQLDRCKLEYEKLVAAFREEQALLEASQPELKDKLVFELDKQLKLALRESDSLKEVVEVIEKQLRSNEKLALTLKERLGAEEAQLRGVDWELAARQRHAQEWFLEREAEVESRLLSLRERYGQELHKIKKETLQELTSHCDDMEKVFELNRKEARELDQRAKDRERALQEVQGQQYSLEQHYCALAAQQVASDVTMLENDLAWTLELLNVKEDVSFDLSQLIERGNQIGEQTWMALVQDPFAFGSGDDISSFLRLSSCLSVLSSTTTTHCGSEEPVQYHSWAKSPKVRTSSELLLAPEHSFLGLQFEELSVKGDGDQDLKNFLDELEEKELGQLGVSQCVVALEQESLHGSSGVGLEEWVGRIQSEPTDFSPSCSKQPSGFDESDWTQLQGQAEFLENQAEKTSEAEEDSKPSEVSELSASRWLHSKLSAQTQQEPVRRAETAADCLEPRSRRGYWKSGNVYKPPIASSAASELSSSDEDSPRVGANSSLVAVFGEKFEEFNVRAFHDNGLLTQLLVPLQQELHLKLCLQTLEQRDLFGTGTTDCSSYVERLQSVYHNEEEDM
+>sp|Q8N4C6|NIN_HUMAN Ninein OS=Homo sapiens OX=9606 GN=NIN PE=1 SV=4
+MDEVEQDQHEARLKELFDSFDTTGTGSLGQEELTDLCHMLSLEEVAPVLQQTLLQDNLLGRVHFDQFKEALILILSRTLSNEEHFQEPDCSLEAQPKYVRGGKRYGRRSLPEFQESVEEFPEVTVIEPLDEEARPSHIPAGDCSEHWKTQRSEEYEAEGQLRFWNPDDLNASQSGSSPPQDWIEEKLQEVCEDLGITRDGHLNRKKLVSICEQYGLQNVDGEMLEEVFHNLDPDGTMSVEDFFYGLFKNGKSLTPSASTPYRQLKRHLSMQSFDESGRRTTTSSAMTSTIGFRVFSCLDDGMGHASVERILDTWQEEGIENSQEILKALDFSLDGNINLTELTLALENELLVTKNSIHQAALASFKAEIRHLLERVDQVVREKEKLRSDLDKAEKLKSLMASEVDDHHAAIERRNEYNLRKLDEEYKERIAALKNELRKEREQILQQAGKQRLELEQEIEKAKTEENYIRDRLALSLKENSRLENELLENAEKLAEYENLTNKLQRNLENVLAEKFGDLDPSSAEFFLQEERLTQMRNEYERQCRVLQDQVDELQSELEEYRAQGRVLRLPLKNSPSEEVEANSGGIEPEHGLGSEECNPLNMSIEAELVIEQMKEQHHRDICCLRLELEDKVRHYEKQLDETVVSCKKAQENMKQRHENETHTLEKQISDLKNEIAELQGQAAVLKEAHHEATCRHEEEKKQLQVKLEEEKTHLQEKLRLQHEMELKARLTQAQASFEREREGLQSSAWTEEKVRGLTQELEQFHQEQLTSLVEKHTLEKEELRKELLEKHQRELQEGREKMETECNRRTSQIEAQFQSDCQKVTERCESALQSLEGRYRQELKDLQEQQREEKSQWEFEKDELTQECAEAQELLKETLKREKTTSLVLTQEREMLEKTYKEHLNSMVVERQQLLQDLEDLRNVSETQQSLLSDQILELKSSHKRELREREEVLCQAGASEQLASQRLERLEMEHDQERQEMMSKLLAMENIHKATCETADRERAEMSTEISRLQSKIKEMQQATSPLSMLQSGCQVIGEEEVEGDGALSLLQQGEQLLEENGDVLLSLQRAHEQAVKENVKMATEISRLQQRLQKLEPGLVMSSCLDEPATEFFGNTAEQTEQFLQQNRTKQVEGVTRRHVLSDLEDDEVRDLGSTGTSSVQRQEVKIEESEASVEGFSELENSEETRTESWELKNQISQLQEQLMMLCADCDRASEKKQDLLFDVSVLKKKLKMLERIPEASPKYKLLYEDVSRENDCLQEELRMMETRYDEALENNKELTAEVFRLQDELKKMEEVTETFLSLEKSYDEVKIENEGLNVLVLRLQGKIEKLQESVVQRCDCCLWEASLENLEIEPDGNILQLNQTLEECVPRVRSVHHVIEECKQENQYLEGNTQLLEKVKAHEIAWLHGTIQTHQERPRVQNQVILEENTTLLGFQDKHFQHQATIAELELEKTKLQELTRKLKERVTILVKQKDVLSHGEKEEELKAMMHDLQITCSEMQQKVELLRYESEKLQQENSILRNEITTLNEEDSISNLKLGTLNGSQEEMWQKTETVKQENAAVQKMVENLKKQISELKIKNQQLDLENTELSQKNSQNQEKLQELNQRLTEMLCQKEKEPGNSALEEREQEKFNLKEELERCKVQSSTLVSSLEAELSEVKIQTHIVQQENHLLKDELEKMKQLHRCPDLSDFQQKISSVLSYNEKLLKEKEALSEELNSCVDKLAKSSLLEHRIATMKQEQKSWEHQSASLKSQLVASQEKVQNLEDTVQNVNLQMSRMKSDLRVTQQEKEALKQEVMSLHKQLQNAGGKSWAPEIATHPSGLHNQQKRLSWDKLDHLMNEEQQLLWQENERLQTMVQNTKAELTHSREKVRQLESNLLPKHQKHLNPSGTMNPTEQEKLSLKRECDQFQKEQSPANRKVSQMNSLEQELETIHLENEGLKKKQVKLDEQLMEMQHLRSTATPSPSPHAWDLQLLQQQACPMVPREQFLQLQRQLLQAERINQHLQEELENRTSETNTPQGNQEQLVTVMEERMIEVEQKLKLVKRLLQEKVNQLKEQVSLPGHLCSPTSHSSFNSSFTSLYCH
+>DECOY_sp|Q8N4C6|NIN_HUMAN Ninein OS=Homo sapiens OX=9606 GN=NIN PE=1 SV=4
+HCYLSTFSSNFSSHSTPSCLHGPLSVQEKLQNVKEQLLRKVLKLKQEVEIMREEMVTVLQEQNGQPTNTESTRNELEEQLHQNIREAQLLQRQLQLFQERPVMPCAQQQLLQLDWAHPSPSPTATSRLHQMEMLQEDLKVQKKKLGENELHITELEQELSNMQSVKRNAPSQEKQFQDCERKLSLKEQETPNMTGSPNLHKQHKPLLNSELQRVKERSHTLEAKTNQVMTQLRENEQWLLQQEENMLHDLKDWSLRKQQNHLGSPHTAIEPAWSKGGANQLQKHLSMVEQKLAEKEQQTVRLDSKMRSMQLNVNQVTDELNQVKEQSAVLQSKLSASQHEWSKQEQKMTAIRHELLSSKALKDVCSNLEESLAEKEKLLKENYSLVSSIKQQFDSLDPCRHLQKMKELEDKLLHNEQQVIHTQIKVESLEAELSSVLTSSQVKCRELEEKLNFKEQEREELASNGPEKEKQCLMETLRQNLEQLKEQNQSNKQSLETNELDLQQNKIKLESIQKKLNEVMKQVAANEQKVTETKQWMEEQSGNLTGLKLNSISDEENLTTIENRLISNEQQLKESEYRLLEVKQQMESCTIQLDHMMAKLEEEKEGHSLVDKQKVLITVREKLKRTLEQLKTKELELEAITAQHQFHKDQFGLLTTNEELIVQNQVRPREQHTQITGHLWAIEHAKVKELLQTNGELYQNEQKCEEIVHHVSRVRPVCEELTQNLQLINGDPEIELNELSAEWLCCDCRQVVSEQLKEIKGQLRLVLVNLGENEIKVEDYSKELSLFTETVEEMKKLEDQLRFVEATLEKNNELAEDYRTEMMRLEEQLCDNERSVDEYLLKYKPSAEPIRELMKLKKKLVSVDFLLDQKKESARDCDACLMMLQEQLQSIQNKLEWSETRTEESNELESFGEVSAESEEIKVEQRQVSSTGTSGLDRVEDDELDSLVHRRTVGEVQKTRNQQLFQETQEATNGFFETAPEDLCSSMVLGPELKQLRQQLRSIETAMKVNEKVAQEHARQLSLLVDGNEELLQEGQQLLSLAGDGEVEEEGIVQCGSQLMSLPSTAQQMEKIKSQLRSIETSMEARERDATECTAKHINEMALLKSMMEQREQDHEMELRELRQSALQESAGAQCLVEERERLERKHSSKLELIQDSLLSQQTESVNRLDELDQLLQQREVVMSNLHEKYTKELMEREQTLVLSTTKERKLTEKLLEQAEACEQTLEDKEFEWQSKEERQQEQLDKLEQRYRGELSQLASECRETVKQCDSQFQAEIQSTRRNCETEMKERGEQLERQHKELLEKRLEEKELTHKEVLSTLQEQHFQELEQTLGRVKEETWASSQLGEREREFSAQAQTLRAKLEMEHQLRLKEQLHTKEEELKVQLQKKEEEHRCTAEHHAEKLVAAQGQLEAIENKLDSIQKELTHTENEHRQKMNEQAKKCSVVTEDLQKEYHRVKDELELRLCCIDRHHQEKMQEIVLEAEISMNLPNCEESGLGHEPEIGGSNAEVEESPSNKLPLRLVRGQARYEELESQLEDVQDQLVRCQREYENRMQTLREEQLFFEASSPDLDGFKEALVNELNRQLKNTLNEYEALKEANELLENELRSNEKLSLALRDRIYNEETKAKEIEQELELRQKGAQQLIQEREKRLENKLAAIREKYEEDLKRLNYENRREIAAHHDDVESAMLSKLKEAKDLDSRLKEKERVVQDVRELLHRIEAKFSALAAQHISNKTVLLENELALTLETLNINGDLSFDLAKLIEQSNEIGEEQWTDLIREVSAHGMGDDLCSFVRFGITSTMASSTTTRRGSEDFSQMSLHRKLQRYPTSASPTLSKGNKFLGYFFDEVSMTGDPDLNHFVEELMEGDVNQLGYQECISVLKKRNLHGDRTIGLDECVEQLKEEIWDQPPSSGSQSANLDDPNWFRLQGEAEYEESRQTKWHESCDGAPIHSPRAEEDLPEIVTVEPFEEVSEQFEPLSRRGYRKGGRVYKPQAELSCDPEQFHEENSLTRSLILILAEKFQDFHVRGLLNDQLLTQQLVPAVEELSLMHCLDTLEEQGLSGTGTTDFSDFLEKLRAEHQDQEVEDM
+>sp|Q7RTP0|NIPA1_HUMAN Magnesium transporter NIPA1 OS=Homo sapiens OX=9606 GN=NIPA1 PE=1 SV=1
+MGTAAAAAAAAAAAAAGEGARSPSPAAVSLGLGVAVVSSLVNGSTFVLQKKGIVRAKRRGTSYLTDIVWWAGTIAMAVGQIGNFLAYTAVPTVLVTPLGALGVPFGSILASYLLKEKLNILGKLGCLLSCAGSVVLIIHSPKSESVTTQAELEEKLTNPVFVGYLCIVLLMLLLLIFWIAPAHGPTNIMVYISICSLLGSFTVPSTKGIGLAAQDILHNNPSSQRALCLCLVLLAVLGCSIIVQFRYINKALECFDSSVFGAIYYVVFTTLVLLASAILFREWSNVGLVDFLGMACGFTTVSVGIVLIQVFKEFNFNLGEMNKSNMKTD
+>DECOY_sp|Q7RTP0|NIPA1_HUMAN Magnesium transporter NIPA1 OS=Homo sapiens OX=9606 GN=NIPA1 PE=1 SV=1
+DTKMNSKNMEGLNFNFEKFVQILVIGVSVTTFGCAMGLFDVLGVNSWERFLIASALLVLTTFVVYYIAGFVSSDFCELAKNIYRFQVIISCGLVALLVLCLCLARQSSPNNHLIDQAALGIGKTSPVTFSGLLSCISIYVMINTPGHAPAIWFILLLLMLLVICLYGVFVPNTLKEELEAQTTVSESKPSHIILVVSGACSLLCGLKGLINLKEKLLYSALISGFPVGLAGLPTVLVTPVATYALFNGIQGVAMAITGAWWVIDTLYSTGRRKARVIGKKQLVFTSGNVLSSVVAVGLGLSVAAPSPSRAGEGAAAAAAAAAAAAATGM
+>sp|Q8N8Q9|NIPA2_HUMAN Magnesium transporter NIPA2 OS=Homo sapiens OX=9606 GN=NIPA2 PE=2 SV=1
+MSQGRGKYDFYIGLGLAMSSSIFIGGSFILKKKGLLRLARKGSMRAGQGGHAYLKEWLWWAGLLSMGAGEVANFAAYAFAPATLVTPLGALSVLVSAILSSYFLNERLNLHGKIGCLLSILGSTVMVIHAPKEEEIETLNEMSHKLGDPGFVVFATLVVIVALILIFVVGPRHGQTNILVYITICSVIGAFSVSCVKGLGIAIKELFAGKPVLRHPLAWILLLSLIVCVSTQINYLNRALDIFNTSIVTPIYYVFFTTSVLTCSAILFKEWQDMPVDDVIGTLSGFFTIIVGIFLLHAFKDVSFSLASLPVSFRKDEKAMNGNLSNMYEVLNNNEESLTCGIEQHTGENVSRRNGNLTAF
+>DECOY_sp|Q8N8Q9|NIPA2_HUMAN Magnesium transporter NIPA2 OS=Homo sapiens OX=9606 GN=NIPA2 PE=2 SV=1
+FATLNGNRRSVNEGTHQEIGCTLSEENNNLVEYMNSLNGNMAKEDKRFSVPLSALSFSVDKFAHLLFIGVIITFFGSLTGIVDDVPMDQWEKFLIASCTLVSTTFFVYYIPTVISTNFIDLARNLYNIQTSVCVILSLLLIWALPHRLVPKGAFLEKIAIGLGKVCSVSFAGIVSCITIYVLINTQGHRPGVVFILILAVIVVLTAFVVFGPDGLKHSMENLTEIEEEKPAHIVMVTSGLISLLCGIKGHLNLRENLFYSSLIASVLVSLAGLPTVLTAPAFAYAAFNAVEGAGMSLLGAWWLWEKLYAHGGQGARMSGKRALRLLGKKKLIFSGGIFISSSMALGLGIYFDYKGRGQSM
+>sp|Q0D2K0|NIPA4_HUMAN Magnesium transporter NIPA4 OS=Homo sapiens OX=9606 GN=NIPAL4 PE=1 SV=3
+MPGDSSPGTLPLWDASLSPPLGPDPGGFSRASHAGDKSRPPAPELGSPGAVRPRVGSCAPGPMELRVSNTSCENGSLLHLYCSSQEVLCQIVNDLSPEVPSNATFHSWQERIRQNYGFYIGLGLAFLSSFLIGSSVILKKKGLLRLVATGATRAVDGGFGYLKDAMWWAGFLTMAAGEVANFGAYAFAPATVVTPLGALSVLISAILSSYFLRESLNLLGKLGCVICVAGSTVMVIHAPEEEKVTTIMEMASKMKDTGFIVFAVLLLVSCLILIFVIAPRYGQRNILIYIIICSVIGAFSVAAVKGLGITIKNFFQGLPVVRHPLPYILSLILALSLSTQVNFLNRALDIFNTSLVFPIYYVFFTTVVVTSSIILFKEWYSMSAVDIAGTLSGFVTIILGVFMLHAFKDLDISCASLPHMHKNPPPSPAPEPTVIRLEDKNVLVDNIELASTSSPEEKPKVFIIHS
+>DECOY_sp|Q0D2K0|NIPA4_HUMAN Magnesium transporter NIPA4 OS=Homo sapiens OX=9606 GN=NIPAL4 PE=1 SV=3
+SHIIFVKPKEEPSSTSALEINDVLVNKDELRIVTPEPAPSPPPNKHMHPLSACSIDLDKFAHLMFVGLIITVFGSLTGAIDVASMSYWEKFLIISSTVVVTTFFVYYIPFVLSTNFIDLARNLFNVQTSLSLALILSLIYPLPHRVVPLGQFFNKITIGLGKVAAVSFAGIVSCIIIYILINRQGYRPAIVFILILCSVLLLVAFVIFGTDKMKSAMEMITTVKEEEPAHIVMVTSGAVCIVCGLKGLLNLSERLFYSSLIASILVSLAGLPTVVTAPAFAYAGFNAVEGAAMTLFGAWWMADKLYGFGGDVARTAGTAVLRLLGKKKLIVSSGILFSSLFALGLGIYFGYNQRIREQWSHFTANSPVEPSLDNVIQCLVEQSSCYLHLLSGNECSTNSVRLEMPGPACSGVRPRVAGPSGLEPAPPRSKDGAHSARSFGGPDPGLPPSLSADWLPLTGPSSDGPM
+>sp|Q9HAS0|NJMU_HUMAN Protein Njmu-R1 OS=Homo sapiens OX=9606 GN=C17orf75 PE=1 SV=2
+MLPSLQESMDGDEKELESSEEGGSAEERRLEPPSSSHYCLYSYRGSRLAQQRGDSEDGSPSGTNAETPSGDDFSLSLADTNLPSEVEPELRSFIAKRLSRGAVFEGLGNVASVELKIPGYRVGCYYCLFQNEKLLPETVTIDSERNPSEYVVCFLGGSEKGLELFRLELDKYIQGLKNNMNCEARGLESHIKSYLSSWFEDVVCPIQRVVLLFQEKLTFLLHAALSYTPVEVKESDEKTKRDINRFLSVASLQGLIHEGTMTSLCMAMTEEQHKSVVIDCSSSQPQFCNAGSNRFCEDWMQAFLNGAKGGNPFLFRQVLENFKLKAIQDTNNLKRFIRQAEMNHYALFKCYMFLKNCGSGDILLKIVKVEHEEMPEAKNVIAVLEEFMKEALDQSF
+>DECOY_sp|Q9HAS0|NJMU_HUMAN Protein Njmu-R1 OS=Homo sapiens OX=9606 GN=C17orf75 PE=1 SV=2
+FSQDLAEKMFEELVAIVNKAEPMEEHEVKVIKLLIDGSGCNKLFMYCKFLAYHNMEAQRIFRKLNNTDQIAKLKFNELVQRFLFPNGGKAGNLFAQMWDECFRNSGANCFQPQSSSCDIVVSKHQEETMAMCLSTMTGEHILGQLSAVSLFRNIDRKTKEDSEKVEVPTYSLAAHLLFTLKEQFLLVVRQIPCVVDEFWSSLYSKIHSELGRAECNMNNKLGQIYKDLELRFLELGKESGGLFCVVYESPNRESDITVTEPLLKENQFLCYYCGVRYGPIKLEVSAVNGLGEFVAGRSLRKAIFSRLEPEVESPLNTDALSLSFDDGSPTEANTGSPSGDESDGRQQALRSGRYSYLCYHSSSPPELRREEASGGEESSELEKEDGDMSEQLSPLM
+>sp|P21452|NK2R_HUMAN Substance-K receptor OS=Homo sapiens OX=9606 GN=TACR2 PE=1 SV=3
+MGTCDIVTEANISSGPESNTTGITAFSMPSWQLALWATAYLALVLVAVTGNAIVIWIILAHRRMRTVTNYFIVNLALADLCMAAFNAAFNFVYASHNIWYFGRAFCYFQNLFPITAMFVSIYSMTAIAADRYMAIVHPFQPRLSAPSTKAVIAGIWLVALALASPQCFYSTVTMDQGATKCVVAWPEDSGGKTLLLYHLVVIALIYFLPLAVMFVAYSVIGLTLWRRAVPGHQAHGANLRHLQAMKKFVKTMVLVVLTFAICWLPYHLYFILGSFQEDIYCHKFIQQVYLALFWLAMSSTMYNPIIYCCLNHRFRSGFRLAFRCCPWVTPTKEDKLELTPTTSLSTRVNRCHTKETLFMAGDTAPSEATSGEAGRPQDGSGLWFGYGLLAPTKTHVEI
+>DECOY_sp|P21452|NK2R_HUMAN Substance-K receptor OS=Homo sapiens OX=9606 GN=TACR2 PE=1 SV=3
+IEVHTKTPALLGYGFWLGSGDQPRGAEGSTAESPATDGAMFLTEKTHCRNVRTSLSTTPTLELKDEKTPTVWPCCRFALRFGSRFRHNLCCYIIPNYMTSSMALWFLALYVQQIFKHCYIDEQFSGLIFYLHYPLWCIAFTLVVLVMTKVFKKMAQLHRLNAGHAQHGPVARRWLTLGIVSYAVFMVALPLFYILAIVVLHYLLLTKGGSDEPWAVVCKTAGQDMTVTSYFCQPSALALAVLWIGAIVAKTSPASLRPQFPHVIAMYRDAAIATMSYISVFMATIPFLNQFYCFARGFYWINHSAYVFNFAANFAAMCLDALALNVIFYNTVTRMRRHALIIWIVIANGTVAVLVLALYATAWLALQWSPMSFATIGTTNSEPGSSINAETVIDCTGM
+>sp|Q15270|NKX11_HUMAN NK1 transcription factor-related protein 1 OS=Homo sapiens OX=9606 GN=NKX1-1 PE=2 SV=2
+MDGRAELPAFPRAGAPPLAASDTVPAAPEGAGAARPAAPLRPTSFSVLDILDPNKFNSRRRRCVLLGPVAPAACAPCASAPCAPAPAASGRPPRAEELERRALAGAGGVGAAGAEPPNAGDPFKAGEAETNDTNGYSSGGGGHSPSADSGDEVPDDEDDDEDEAPETEAARGAEEARGGGGGLGARGSGCQGAAETDASPGATVDEAAAPGPRENSPVAQGPPGGAAAPGGAGTTPQGTATAAKPKRKRTGSDSKSGKPRRARTAFTYEQLVALENKFKATRYLSVCERLNLALSLSLTETQVKIWFQNRRTKWKKQNPGADTSAPTGGGGGPGPGAGPGTGLPGGLSPLSPSPPMGAPLGMHGPAGYPAHGPGGLVCAAQLPFLSSPAVLSPFVLGSQTYGAPAFYAPHL
+>DECOY_sp|Q15270|NKX11_HUMAN NK1 transcription factor-related protein 1 OS=Homo sapiens OX=9606 GN=NKX1-1 PE=2 SV=2
+LHPAYFAPAGYTQSGLVFPSLVAPSSLFPLQAACVLGGPGHAPYGAPGHMGLPAGMPPSPSLPSLGGPLGTGPGAGPGPGGGGGTPASTDAGPNQKKWKTRRNQFWIKVQTETLSLSLALNLRECVSLYRTAKFKNELAVLQEYTFATRARRPKGSKSDSGTRKRKPKAATATGQPTTGAGGPAAAGGPPGQAVPSNERPGPAAAEDVTAGPSADTEAAGQCGSGRAGLGGGGGRAEEAGRAAETEPAEDEDDDEDDPVEDGSDASPSHGGGGSSYGNTDNTEAEGAKFPDGANPPEAGAAGVGGAGALARRELEEARPPRGSAAPAPACPASACPACAAPAVPGLLVCRRRRSNFKNPDLIDLVSFSTPRLPAAPRAAGAGEPAAPVTDSAALPPAGARPFAPLEARGDM
+>sp|Q99801|NKX31_HUMAN Homeobox protein Nkx-3.1 OS=Homo sapiens OX=9606 GN=NKX3-1 PE=1 SV=2
+MLRVPEPRPGEAKAEGAAPPTPSKPLTSFLIQDILRDGAQRQGGRTSSQRQRDPEPEPEPEPEGGRSRAGAQNDQLSTGPRAAPEEAETLAETEPERHLGSYLLDSENTSGALPRLPQTPKQPQKRSRAAFSHTQVIELERKFSHQKYLSAPERAHLAKNLKLTETQVKIWFQNRRYKTKRKQLSSELGDLEKHSSLPALKEEAFSRASLVSVYNSYPYYPYLYCVGSWSPAFW
+>DECOY_sp|Q99801|NKX31_HUMAN Homeobox protein Nkx-3.1 OS=Homo sapiens OX=9606 GN=NKX3-1 PE=1 SV=2
+WFAPSWSGVCYLYPYYPYSNYVSVLSARSFAEEKLAPLSSHKELDGLESSLQKRKTKYRRNQFWIKVQTETLKLNKALHAREPASLYKQHSFKRELEIVQTHSFAARSRKQPQKPTQPLRPLAGSTNESDLLYSGLHREPETEALTEAEEPAARPGTSLQDNQAGARSRGGEPEPEPEPEPDRQRQSSTRGGQRQAGDRLIDQILFSTLPKSPTPPAAGEAKAEGPRPEPVRLM
+>sp|Q8N2Q7|NLGN1_HUMAN Neuroligin-1 OS=Homo sapiens OX=9606 GN=NLGN1 PE=1 SV=2
+MALPRCTWPNYVWRAVMACLVHRGLGAPLTLCMLGCLLQAGHVLSQKLDDVDPLVATNFGKIRGIKKELNNEILGPVIQFLGVPYAAPPTGERRFQPPEPPSPWSDIRNATQFAPVCPQNIIDGRLPEVMLPVWFTNNLDVVSSYVQDQSEDCLYLNIYVPTEDGPLTKKRDEATLNPPDTDIRDSGGPKPVMVYIHGGSYMEGTGNLYDGSVLASYGNVIVITVNYRLGVLGFLSTGDQAAKGNYGLLDLIQALRWTSENIGFFGGDPLRITVFGSGAGGSCVNLLTLSHYSEGNRWSNSTKGLFQRAIAQSGTALSSWAVSFQPAKYARMLATKVGCNVSDTVELVECLQKKPYKELVDQDIQPARYHIAFGPVIDGDVIPDDPQILMEQGEFLNYDIMLGVNQGEGLKFVENIVDSDDGISASDFDFAVSNFVDNLYGYPEGKDVLRETIKFMYTDWADRHNPETRRKTLLALFTDHQWVAPAVATADLHSNFGSPTYFYAFYHHCQTDQVPAWADAAHGDEVPYVLGIPMIGPTELFPCNFSKNDVMLSAVVMTYWTNFAKTGDPNQPVPQDTKFIHTKPNRFEEVAWTRYSQKDQLYLHIGLKPRVKEHYRANKVNLWLELVPHLHNLNDISQYTSTTTKVPSTDITFRPTRKNSVPVTSAFPTAKQDDPKQQPSPFSVDQRDYSTELSVTIAVGASLLFLNILAFAALYYKKDKRRHDVHRRCSPQRTTTNDLTHAQEEEIMSLQMKHTDLDHECESIHPHEVVLRTACPPDYTLAMRRSPDDVPLMTPNTITMIPNTIPGIQPLHTFNTFTGGQNNTLPHPHPHPHSHSTTRV
+>DECOY_sp|Q8N2Q7|NLGN1_HUMAN Neuroligin-1 OS=Homo sapiens OX=9606 GN=NLGN1 PE=1 SV=2
+VRTTSHSHPHPHPHPLTNNQGGTFTNFTHLPQIGPITNPIMTITNPTMLPVDDPSRRMALTYDPPCATRLVVEHPHISECEHDLDTHKMQLSMIEEEQAHTLDNTTTRQPSCRRHVDHRRKDKKYYLAAFALINLFLLSAGVAITVSLETSYDRQDVSFPSPQQKPDDQKATPFASTVPVSNKRTPRFTIDTSPVKTTTSTYQSIDNLNHLHPVLELWLNVKNARYHEKVRPKLGIHLYLQDKQSYRTWAVEEFRNPKTHIFKTDQPVPQNPDGTKAFNTWYTMVVASLMVDNKSFNCPFLETPGIMPIGLVYPVEDGHAADAWAPVQDTQCHHYFAYFYTPSGFNSHLDATAVAPAVWQHDTFLALLTKRRTEPNHRDAWDTYMFKITERLVDKGEPYGYLNDVFNSVAFDFDSASIGDDSDVINEVFKLGEGQNVGLMIDYNLFEGQEMLIQPDDPIVDGDIVPGFAIHYRAPQIDQDVLEKYPKKQLCEVLEVTDSVNCGVKTALMRAYKAPQFSVAWSSLATGSQAIARQFLGKTSNSWRNGESYHSLTLLNVCSGGAGSGFVTIRLPDGGFFGINESTWRLAQILDLLGYNGKAAQDGTSLFGLVGLRYNVTIVIVNGYSALVSGDYLNGTGEMYSGGHIYVMVPKPGGSDRIDTDPPNLTAEDRKKTLPGDETPVYINLYLCDESQDQVYSSVVDLNNTFWVPLMVEPLRGDIINQPCVPAFQTANRIDSWPSPPEPPQFRREGTPPAAYPVGLFQIVPGLIENNLEKKIGRIKGFNTAVLPDVDDLKQSLVHGAQLLCGLMCLTLPAGLGRHVLCAMVARWVYNPWTCRPLAM
+>sp|Q7RTR0|NLRP9_HUMAN NACHT, LRR and PYD domains-containing protein 9 OS=Homo sapiens OX=9606 GN=NLRP9 PE=1 SV=1
+MAESFFSDFGLLWYLKELRKEEFWKFKELLKQPLEKFELKPIPWAELKKASKEDVAKLLDKHYPGKQAWEVTLNLFLQINRKDLWTKAQEEMRNKLNPYRKHMKETFQLIWEKETCLHVPEHFYKETMKNEYKELNDAYTAAARRHTVVLEGPDGIGKTTLLRKVMLDWAEGNLWKDRFTFVFFLNVCEMNGIAETSLLELLSRDWPESSEKIEDIFSQPERILFIMDGFEQLKFNLQLKADLSDDWRQRQPMPIILSSLLQKKMLPESSLLIALGKLAMQKHYFMLRHPKLIKLLGFSESEKKSYFSYFFGEKSKALKVFNFVRDNGPLFILCHNPFTCWLVCTCVKQRLERGEDLEINSQNTTYLYASFLTTVFKAGSQSFPPKVNRARLKSLCALAAEGIWTYTFVFSHGDLRRNGLSESEGVMWVGMRLLQRRGDCFAFMHLCIQEFCAAMFYLLKRPKDDPNPAIGSITQLVRASVVQPQTLLTQVGIFMFGISTEEIVSMLETSFGFPLSKDLKQEITQCLESLSQCEADREAIAFQELFIGLFETQEKEFVTKVMNFFEEVFIYIGNIEHLVIASFCLKHCQHLTTLRMCVENIFPDDSGCISDYNEKLVYWRELCSMFITNKNFQILDMENTSLDDPSLAILCKALAQPVCKLRKLIFTSVYFGHDSELFKAVLHNPHLKLLSLYGTSLSQSDIRHLCETLKHPMCKIEELILGKCDISSEVCEDIASVLACNSKLKHLSLVENPLRDEGMTLLCEALKHSHCALERLMLMYCCLTSVSCDSISEVLLCSKSLSLLDLGSNALEDNGVASLCAALKHPGCSIRELWLMGCFLTSDSCKDIAAVLICNGKLKTLKLGHNEIGDTGVRQLCAALQHPHCKLECLGLQTCPITRACCDDIAAALIACKTLRSLNLDWIALDADAVVVLCEALSHPDCALQMLGLHKSGFDEETQKILMSVEEKIPHLTISHGPWIDEEYKIRGVLL
+>DECOY_sp|Q7RTR0|NLRP9_HUMAN NACHT, LRR and PYD domains-containing protein 9 OS=Homo sapiens OX=9606 GN=NLRP9 PE=1 SV=1
+LLVGRIKYEEDIWPGHSITLHPIKEEVSMLIKQTEEDFGSKHLGLMQLACDPHSLAECLVVVADADLAIWDLNLSRLTKCAILAAAIDDCCARTIPCTQLGLCELKCHPHQLAACLQRVGTDGIENHGLKLTKLKGNCILVAAIDKCSDSTLFCGMLWLERISCGPHKLAACLSAVGNDELANSGLDLLSLSKSCLLVESISDCSVSTLCCYMLMLRELACHSHKLAECLLTMGEDRLPNEVLSLHKLKSNCALVSAIDECVESSIDCKGLILEEIKCMPHKLTECLHRIDSQSLSTGYLSLLKLHPNHLVAKFLESDHGFYVSTFILKRLKCVPQALAKCLIALSPDDLSTNEMDLIQFNKNTIFMSCLERWYVLKENYDSICGSDDPFINEVCMRLTTLHQCHKLCFSAIVLHEINGIYIFVEEFFNMVKTVFEKEQTEFLGIFLEQFAIAERDAECQSLSELCQTIEQKLDKSLPFGFSTELMSVIEETSIGFMFIGVQTLLTQPQVVSARVLQTISGIAPNPDDKPRKLLYFMAACFEQICLHMFAFCDGRRQLLRMGVWMVGESESLGNRRLDGHSFVFTYTWIGEAALACLSKLRARNVKPPFSQSGAKFVTTLFSAYLYTTNQSNIELDEGRELRQKVCTCVLWCTFPNHCLIFLPGNDRVFNFVKLAKSKEGFFYSFYSKKESESFGLLKILKPHRLMFYHKQMALKGLAILLSSEPLMKKQLLSSLIIPMPQRQRWDDSLDAKLQLNFKLQEFGDMIFLIREPQSFIDEIKESSEPWDRSLLELLSTEAIGNMECVNLFFVFTFRDKWLNGEAWDLMVKRLLTTKGIGDPGELVVTHRRAAATYADNLEKYENKMTEKYFHEPVHLCTEKEWILQFTEKMHKRYPNLKNRMEEQAKTWLDKRNIQLFLNLTVEWAQKGPYHKDLLKAVDEKSAKKLEAWPIPKLEFKELPQKLLEKFKWFEEKRLEKLYWLLGFDSFFSEAM
+>sp|Q96MY1|NOL4L_HUMAN Nucleolar protein 4-like OS=Homo sapiens OX=9606 GN=NOL4L PE=1 SV=2
+MSDSTWMSADPHLASSLSPSQDERMRSPQNLHSQEDDDSSSESGSGNGSSTLNPSTSSSTQGDPAFPEMNGNGAVAPMDFTTAAEDQPINLCDKLPPATALGTASYPSDGCGADGLRSRVKYGVKTTPESPPYSSGSYDSIKTEVSGCPEDLTVGRAPTADDDDDDHDDHEDNDKMNDSEGMDPERLKAFNMFVRLFVDENLDRMVPISKQPKEKIQAIIESCSRQFPEFQERARKRIRTYLKSCRRMKKNGMEMTRPTPPHLTSAMAENILAAACESETRKAAKRMRLEIYQSSQDEPIALDKQHSRDSAAITHSTYSLPASSYSQDPVYANGGLNYSYRGYGALSSNLQPPASLQTGNHSNGPTDLSMKGGASTTSTTPTPTPSSTSTSRPVPTAQLSPTEISAVRQLIAGYRESAAFLLRSADELENLILQQN
+>DECOY_sp|Q96MY1|NOL4L_HUMAN Nucleolar protein 4-like OS=Homo sapiens OX=9606 GN=NOL4L PE=1 SV=2
+NQQLILNELEDASRLLFAASERYGAILQRVASIETPSLQATPVPRSTSTSSPTPTPTTSTTSAGGKMSLDTPGNSHNGTQLSAPPQLNSSLAGYGRYSYNLGGNAYVPDQSYSSAPLSYTSHTIAASDRSHQKDLAIPEDQSSQYIELRMRKAAKRTESECAAALINEAMASTLHPPTPRTMEMGNKKMRRCSKLYTRIRKRAREQFEPFQRSCSEIIAQIKEKPQKSIPVMRDLNEDVFLRVFMNFAKLREPDMGESDNMKDNDEHDDHDDDDDDATPARGVTLDEPCGSVETKISDYSGSSYPPSEPTTKVGYKVRSRLGDAGCGDSPYSATGLATAPPLKDCLNIPQDEAATTFDMPAVAGNGNMEPFAPDGQTSSSTSPNLTSSGNGSGSESSSDDDEQSHLNQPSRMREDQSPSLSSALHPDASMWTSDSM
+>sp|Q5SY16|NOL9_HUMAN Polynucleotide 5'-hydroxyl-kinase NOL9 OS=Homo sapiens OX=9606 GN=NOL9 PE=1 SV=1
+MADSGLLLKRGSCRSTWLRVRKARPQLILSRRPRRRLGSLRWCGRRRLRWRLLQAQASGVDWREGARQVSRAAAARRPNTATPSPIPSPTPASEPESEPELESASSCHRPLLIPPVRPVGPGRALLLLPVEQGFTFSGICRVTCLYGQVQVFGFTISQGQPAQDIFSVYTHSCLSIHALHYSQPEKSKKELKREARNLLKSHLNLDDRRWSMQNFSPQCSIVLLEHLKTATVNFITSYPGSSYIFVQESPTPQIKPEYLALRSVGIRREKKRKGLQLTESTLSALEELVNVSCEEVDGCPVILVCGSQDVGKSTFNRYLINHLLNSLPCVDYLECDLGQTEFTPPGCISLLNITEPVLGPPFTHLRTPQKMVYYGKPSCKNNYENYIDIVKYVFSAYKRESPLIVNTMGWVSDQGLLLLIDLIRLLSPSHVVQFRSDHSKYMPDLTPQYVDDMDGLYTKSKTKMRNRRFRLAAFADALEFADEEKESPVEFTGHKLIGVYTDFAFRITPRNRESHNKILRDLSILSYLSQLQPPMPKPLSPLHSLTPYQVPFNAVALRITHSDVAPTHILYAVNASWVGLCKIQDDVRGYTNGPILLAQTPICDCLGFGICRGIDMEKRLYHILTPVPPEELRTVNCLLVGAIAIPHCVLKCQRGIEGTVPYVTTDYNFKLPGASEKIGAREPEEAHKEKPYRRPKFCRKMK
+>DECOY_sp|Q5SY16|NOL9_HUMAN Polynucleotide 5'-hydroxyl-kinase NOL9 OS=Homo sapiens OX=9606 GN=NOL9 PE=1 SV=1
+KMKRCFKPRRYPKEKHAEEPERAGIKESAGPLKFNYDTTVYPVTGEIGRQCKLVCHPIAIAGVLLCNVTRLEEPPVPTLIHYLRKEMDIGRCIGFGLCDCIPTQALLIPGNTYGRVDDQIKCLGVWSANVAYLIHTPAVDSHTIRLAVANFPVQYPTLSHLPSLPKPMPPQLQSLYSLISLDRLIKNHSERNRPTIRFAFDTYVGILKHGTFEVPSEKEEDAFELADAFAALRFRRNRMKTKSKTYLGDMDDVYQPTLDPMYKSHDSRFQVVHSPSLLRILDILLLLGQDSVWGMTNVILPSERKYASFVYKVIDIYNEYNNKCSPKGYYVMKQPTRLHTFPPGLVPETINLLSICGPPTFETQGLDCELYDVCPLSNLLHNILYRNFTSKGVDQSGCVLIVPCGDVEECSVNVLEELASLTSETLQLGKRKKERRIGVSRLALYEPKIQPTPSEQVFIYSSGPYSTIFNVTATKLHELLVISCQPSFNQMSWRRDDLNLHSKLLNRAERKLEKKSKEPQSYHLAHISLCSHTYVSFIDQAPQGQSITFGFVQVQGYLCTVRCIGSFTFGQEVPLLLLARGPGVPRVPPILLPRHCSSASELEPESEPESAPTPSPIPSPTATNPRRAAAARSVQRAGERWDVGSAQAQLLRWRLRRRGCWRLSGLRRRPRRSLILQPRAKRVRLWTSRCSGRKLLLGSDAM
+>sp|Q5C9Z4|NOM1_HUMAN Nucleolar MIF4G domain-containing protein 1 OS=Homo sapiens OX=9606 GN=NOM1 PE=1 SV=1
+MAASRSAGEAGPGGSQGRVVRMKRRGGRGPRRGPAGGGEKALKRLKLAVEEFVHATSEGEAPGGCEGRGAPVSFRPGGRKSRKELRKEKRHLRKARRLQRTAGPEQGPGLGGRSGAEEASGHRQDTEERARPAPSRDPSPPRKPRPSRVKAKATAATAKTRPSAAATAAARKRALLAANEEEDREIRKLERCLGLNKRKKKDGSSSVPLSFARDGLDYILGALESGKNSGLYDSSGEEEEDAGQTLPESDLESDSQDESEEEEEGDVEKEKKAQEAEAQSEDDDEDTEEEQGEEKEKGAQEKRRGKRVRFAEDEEKSENSSEDGDITDKSLCGSGEKYIPPHVRQAEETVDFKKKEELERLKKHVKGLLNRLSEPNMASISGQLEELYMAHSRKDMNDTLTSALMGACVTASAMPSRLMMEHVLLVSILHHTVGIEVGAHFLEAVVRKFDAIYKYGSEGKECDNLFTVIAHLYNFHVVQSLLIFDILKKLIGTFTEKDIELILLMLKNVGFSLRKDDALSLKELITEAQTKASGAGSEFQDQTRIRFMLETMLALKNNDMRKIPGYDPEPVEKLRKLQRALVRNAGSGSETQLRVSWDSVLSAEQTGRWWIVGSAWSGAPMIDNSHHTHLQKQLVGTVSSKILELARKQRMNTDIRRNIFCTIMTSEDFLDAFEKLLKLGLKDQQEREIIHVLMDCCLQEKTYNPFYAFLASKFCEYERRFQMTFQFSIWDKFRDLENLPATNFSNLVHLVAHLLKTKSLSLSILKVVEFSELDKPRVRFLRKVLSILLMETEVEDLSLIFTRVSDNPKLGVLREGLKLFISHFLLKNAQAHRSADEANVLREKADLATKCLQGKASLRM
+>DECOY_sp|Q5C9Z4|NOM1_HUMAN Nucleolar MIF4G domain-containing protein 1 OS=Homo sapiens OX=9606 GN=NOM1 PE=1 SV=1
+MRLSAKGQLCKTALDAKERLVNAEDASRHAQANKLLFHSIFLKLGERLVGLKPNDSVRTFILSLDEVETEMLLISLVKRLFRVRPKDLESFEVVKLISLSLSKTKLLHAVLHVLNSFNTAPLNELDRFKDWISFQFTMQFRREYECFKSALFAYFPNYTKEQLCCDMLVHIIEREQQDKLGLKLLKEFADLFDESTMITCFINRRIDTNMRQKRALELIKSSVTGVLQKQLHTHHSNDIMPAGSWASGVIWWRGTQEASLVSDWSVRLQTESGSGANRVLARQLKRLKEVPEPDYGPIKRMDNNKLALMTELMFRIRTQDQFESGAGSAKTQAETILEKLSLADDKRLSFGVNKLMLLILEIDKETFTGILKKLIDFILLSQVVHFNYLHAIVTFLNDCEKGESGYKYIADFKRVVAELFHAGVEIGVTHHLISVLLVHEMMLRSPMASATVCAGMLASTLTDNMDKRSHAMYLEELQGSISAMNPESLRNLLGKVHKKLRELEEKKKFDVTEEAQRVHPPIYKEGSGCLSKDTIDGDESSNESKEEDEAFRVRKGRRKEQAGKEKEEGQEEETDEDDDESQAEAEQAKKEKEVDGEEEEESEDQSDSELDSEPLTQGADEEEEGSSDYLGSNKGSELAGLIYDLGDRAFSLPVSSSGDKKKRKNLGLCRELKRIERDEEENAALLARKRAAATAAASPRTKATAATAKAKVRSPRPKRPPSPDRSPAPRAREETDQRHGSAEEAGSRGGLGPGQEPGATRQLRRAKRLHRKEKRLEKRSKRGGPRFSVPAGRGECGGPAEGESTAHVFEEVALKLRKLAKEGGGAPGRRPGRGGRRKMRVVRGQSGGPGAEGASRSAAM
+>sp|Q15155|NOMO1_HUMAN Nodal modulator 1 OS=Homo sapiens OX=9606 GN=NOMO1 PE=1 SV=5
+MLVGQGAGPLGPAVVTAAVVLLLSGVGPAHGSEDIVVGCGGFVKSDVEINYSLIEIKLYTKHGTLKYQTDCAPNNGYFMIPLYDKGDFILKIEPPLGWSFEPTTVELHVDGVSDICTKGGDINFVFTGFSVNGKVLSKGQPLGPAGVQVSLRNTGTEAKIQSTVTQPGGKFAFFKVLPGDYEILATHPTWALKEASTTVRVTNSNANAASPLIVAGYNVSGSVRSDGEPMKGVKFLLFSSLVTKEDVLGCNVSPVPGFQPQDESLVYLCYTVSREDGSFSFYSLPSGGYTVIPFYRGERITFDVAPSRLDFTVEHDSLKIEPVFHVMGFSVTGRVLNGPEGDGVPEAVVTLNNQIKVKTKADGSFRLENITTGTYTIHAQKEHLYFETVTIKIAPNTPQLADIIATGFSVCGQISIIRFPDTVKQMNKYKVVLSSQDKDKSLVTVETDAHGSFCFKAKPGTYKVQVMVPEAETRAGLTLKPQTFPLTVTNRPMMDVAFVQFLASVSGKVSCLDTCGDLLVTLQSLSRQGEKRSLQLSGKVNAMTFTFDNVLPGKYKISIMHEDWCWKNKSLEVEVLEDDMSAVEFRQTGYMLRCSLSHAITLEFYQDGNGRENVGIYNLSKGVNRFCLSKPGVYKVTPRSCHRFEQAFYTYDTSSPSILTLTAIRHHVLGTITTDKMMDVTVTIKSSIDSEPALVLGPLKSVQELRREQQLAEIEARRQEREKNGNEEGEERMTKPPVQEMVDELQGPFSYDFSYWARSGEKITVTPSSKELLFYPPSMEAVVSGESCPGKLIEIHGKAGLFLEGQIHPELEGVEIVISEKGASSPLITVFTDDKGAYSVGPLHSDLEYTVTSQKEGYVLTAVEGTIGDFKAYALAGVSFEIKAEDDQPLPGVLLSLSGGLFRSNLLTQDNGILTFSNLSPGQYYFKPMMKEFRFEPSSQMIEVQEGQNLKITITGYRTAYSCYGTVSSLNGEPEQGVAMEAVGQNDCSIYGEDTVTDEEGKFRLRGLLPGCVYHVQLKAEGNDHIERALPHHRVIEVGNNDIDDVNIIVFRQINQFDLSGNVITSSEYLPTLWVKLYKSENLDNPIQTVSLGQSLFFHFPPLLRDGENYVVLLDSTLPRSQYDYILPQVSFTAVGYHKHITLIFNPTRKLPEQDIAQGSYIALPLTLLVLLAGYNHDKLIPLLLQLTSRLQGVRALGQAASDNSGPEDAKRQAKKQKTRRT
+>DECOY_sp|Q15155|NOMO1_HUMAN Nodal modulator 1 OS=Homo sapiens OX=9606 GN=NOMO1 PE=1 SV=5
+TRRTKQKKAQRKADEPGSNDSAAQGLARVGQLRSTLQLLLPILKDHNYGALLVLLTLPLAIYSGQAIDQEPLKRTPNFILTIHKHYGVATFSVQPLIYDYQSRPLTSDLLVVYNEGDRLLPPFHFFLSQGLSVTQIPNDLNESKYLKVWLTPLYESSTIVNGSLDFQNIQRFVIINVDDIDNNGVEIVRHHPLAREIHDNGEAKLQVHYVCGPLLGRLRFKGEEDTVTDEGYISCDNQGVAEMAVGQEPEGNLSSVTGYCSYATRYGTITIKLNQGEQVEIMQSSPEFRFEKMMPKFYYQGPSLNSFTLIGNDQTLLNSRFLGGSLSLLVGPLPQDDEAKIEFSVGALAYAKFDGITGEVATLVYGEKQSTVTYELDSHLPGVSYAGKDDTFVTILPSSAGKESIVIEVGELEPHIQGELFLGAKGHIEILKGPCSEGSVVAEMSPPYFLLEKSSPTVTIKEGSRAWYSFDYSFPGQLEDVMEQVPPKTMREEGEENGNKEREQRRAEIEALQQERRLEQVSKLPGLVLAPESDISSKITVTVDMMKDTTITGLVHHRIATLTLISPSSTDYTYFAQEFRHCSRPTVKYVGPKSLCFRNVGKSLNYIGVNERGNGDQYFELTIAHSLSCRLMYGTQRFEVASMDDELVEVELSKNKWCWDEHMISIKYKGPLVNDFTFTMANVKGSLQLSRKEGQRSLSQLTVLLDGCTDLCSVKGSVSALFQVFAVDMMPRNTVTLPFTQPKLTLGARTEAEPVMVQVKYTGPKAKFCFSGHADTEVTVLSKDKDQSSLVVKYKNMQKVTDPFRIISIQGCVSFGTAIIDALQPTNPAIKITVTEFYLHEKQAHITYTGTTINELRFSGDAKTKVKIQNNLTVVAEPVGDGEPGNLVRGTVSFGMVHFVPEIKLSDHEVTFDLRSPAVDFTIREGRYFPIVTYGGSPLSYFSFSGDERSVTYCLYVLSEDQPQFGPVPSVNCGLVDEKTVLSSFLLFKVGKMPEGDSRVSGSVNYGAVILPSAANANSNTVRVTTSAEKLAWTPHTALIEYDGPLVKFFAFKGGPQTVTSQIKAETGTNRLSVQVGAPGLPQGKSLVKGNVSFGTFVFNIDGGKTCIDSVGDVHLEVTTPEFSWGLPPEIKLIFDGKDYLPIMFYGNNPACDTQYKLTGHKTYLKIEILSYNIEVDSKVFGGCGVVIDESGHAPGVGSLLLVVAATVVAPGLPGAGQGVLM
+>sp|P69849|NOMO3_HUMAN Nodal modulator 3 OS=Homo sapiens OX=9606 GN=NOMO3 PE=3 SV=2
+MLVGQGAGPLGPAVVTAAVVLLLSGVGPAHGSEDIVVGCGGFVKSDVEINYSLIEIKLYTKHGTLKYQTDCAPNNGYFMIPLYDKGDFILKIEPPLGWSFEPTTVELHVDGVSDICTKGGDINFVFTGFSVNGKVLSKGQPLGPAGVQVSLRNTGTEAKIQSTVTQPGGKFAFFKVLPGDYEILATHPTWALKEASTTVRVTNSNANAASPLIVAGYNVSGSVRSDGEPMKGVKFLLFSSLVTKEDVLGCNVSPVPGFQPQDESLVYLCYTVSREDGSFSFYSLPSGGYTVIPFYRGERITFDVAPSRLDFTVEHDSLKIEPVFHVMGFSVTGRVLNGPEGDGVPEAVVTLNNQIKVKTKADGSFRLENITTGTYTIHAQKEHLYFETVTIKIAPNTPQLADIVATGFSVCGQISIIRFPDTVKQMNKYKVVLSSQDKDKSLVTVETDAHGSFCFKANPGTYKVQVMVPEAETRAGLTLKPQTFPLTVTDRPVMDVAFVQFLASVSGKVSCLDTCGDLLVTLQSLSRQGEKRSLQLSGKVNAMTFTFDNVLPGKYKISIMHEDWCWKNKSLEVEVLEDDVSAVEFRQTGYMLRCSLSHAITLEFYQDGNGRENVGIYNLSKGVNRFCLSKPGVYKVTPRSCHRFEQAFYTYDTSSPSILTLTAIRHHVLGTITTDKMMDVTVTIKSSIDSEPALVLGPLKSVQELRREQQLAEIEARRQEREKNGNEEGEERMTKPPVQEMVDELQGPFSYDFSYWARSGEKITVTPSSKELLFYPPSMEAVVSGESCPGKLIEIHGKAGLFLEGQIHPELEGVEIVISEKGASSPLITVFTDDKGAYSVGPLHSDLEYTVTSQKEGYVLTAVEGTIGDFKAYALAGVSFEIKAEDDQPLPGVLLSLSGGLFRSNLLTQDNGILTFSNLSPGQYYFKPMMKEFRFEPSSQMIEVQEGQNLKITITGYRTAYSCYGTVSSLNGEPEQGVAMEAVGQNDCSIYGEDTVTDEEGKFRLRGLLPGCVYHVQLKAEGNDHIERALPHHRVIEVGNNDIDDVNIIVFRQINQFDLSGNVITSSEYLPTLWVKLYKSENLDNPIQTVSLGQSLFFHFPPLLRDGENYVVLLDSTLPRSQYDYILPQVSFTAVGYHKHITLIFNPTRKLPEQDIAQGSYIALPLTLLVLLAGYNHDKLIPLLLQLTSRLQGVGALGQAASDNSGPEDAKRQAKKQKTRRT
+>DECOY_sp|P69849|NOMO3_HUMAN Nodal modulator 3 OS=Homo sapiens OX=9606 GN=NOMO3 PE=3 SV=2
+TRRTKQKKAQRKADEPGSNDSAAQGLAGVGQLRSTLQLLLPILKDHNYGALLVLLTLPLAIYSGQAIDQEPLKRTPNFILTIHKHYGVATFSVQPLIYDYQSRPLTSDLLVVYNEGDRLLPPFHFFLSQGLSVTQIPNDLNESKYLKVWLTPLYESSTIVNGSLDFQNIQRFVIINVDDIDNNGVEIVRHHPLAREIHDNGEAKLQVHYVCGPLLGRLRFKGEEDTVTDEGYISCDNQGVAEMAVGQEPEGNLSSVTGYCSYATRYGTITIKLNQGEQVEIMQSSPEFRFEKMMPKFYYQGPSLNSFTLIGNDQTLLNSRFLGGSLSLLVGPLPQDDEAKIEFSVGALAYAKFDGITGEVATLVYGEKQSTVTYELDSHLPGVSYAGKDDTFVTILPSSAGKESIVIEVGELEPHIQGELFLGAKGHIEILKGPCSEGSVVAEMSPPYFLLEKSSPTVTIKEGSRAWYSFDYSFPGQLEDVMEQVPPKTMREEGEENGNKEREQRRAEIEALQQERRLEQVSKLPGLVLAPESDISSKITVTVDMMKDTTITGLVHHRIATLTLISPSSTDYTYFAQEFRHCSRPTVKYVGPKSLCFRNVGKSLNYIGVNERGNGDQYFELTIAHSLSCRLMYGTQRFEVASVDDELVEVELSKNKWCWDEHMISIKYKGPLVNDFTFTMANVKGSLQLSRKEGQRSLSQLTVLLDGCTDLCSVKGSVSALFQVFAVDMVPRDTVTLPFTQPKLTLGARTEAEPVMVQVKYTGPNAKFCFSGHADTEVTVLSKDKDQSSLVVKYKNMQKVTDPFRIISIQGCVSFGTAVIDALQPTNPAIKITVTEFYLHEKQAHITYTGTTINELRFSGDAKTKVKIQNNLTVVAEPVGDGEPGNLVRGTVSFGMVHFVPEIKLSDHEVTFDLRSPAVDFTIREGRYFPIVTYGGSPLSYFSFSGDERSVTYCLYVLSEDQPQFGPVPSVNCGLVDEKTVLSSFLLFKVGKMPEGDSRVSGSVNYGAVILPSAANANSNTVRVTTSAEKLAWTPHTALIEYDGPLVKFFAFKGGPQTVTSQIKAETGTNRLSVQVGAPGLPQGKSLVKGNVSFGTFVFNIDGGKTCIDSVGDVHLEVTTPEFSWGLPPEIKLIFDGKDYLPIMFYGNNPACDTQYKLTGHKTYLKIEILSYNIEVDSKVFGGCGVVIDESGHAPGVGSLLLVVAATVVAPGLPGAGQGVLM
+>sp|P78316|NOP14_HUMAN Nucleolar protein 14 OS=Homo sapiens OX=9606 GN=NOP14 PE=1 SV=3
+MAKAKKVGARRKASGAPAGARGGPAKANSNPFEVKVNRQKFQILGRKTRHDVGLPGVSRARALRKRTQTLLKEYKERDKSNVFRDKRFGEYNSNMSPEEKMMKRFALEQQRHHEKKSIYNLNEDEELTHYGQSLADIEKHNDIVDSDSDAEDRGTLSAELTAAHFGGGGGLLHKKTQQEGEEREKPKSRKELIEELIAKSKQEKRERQAQREDALELTEKLDQDWKEIQTLLSHKTPKSENRDKKEKPKPDAYDMMVRELGFEMKAQPSNRMKTEAELAKEEQEHLRKLEAERLRRMLGKDEDENVKKPKHMSADDLNDGFVLDKDDRRLLSYKDGKMNVEEDVQEEQSKEASDPESNEEEGDSSGGEDTEESDSPDSHLDLESNVESEEENEKPAKEQRQTPGKGLISGKERAGKATRDELPYTFAAPESYEELRSLLLGRSMEEQLLVVERIQKCNHPSLAEGNKAKLEKLFGFLLEYVGDLATDDPPDLTVIDKLVVHLYHLCQMFPESASDAIKFVLRDAMHEMEEMIETKGRAALPGLDVLIYLKITGLLFPTSDFWHPVVTPALVCLSQLLTKCPILSLQDVVKGLFVCCLFLEYVALSQRFIPELINFLLGILYIATPNKASQGSTLVHPFRALGKNSELLVVSAREDVATWQQSSLSLRWASRLRAPTSTEANHIRLSCLAVGLALLKRCVLMYGSLPSFHAIMGPLQALLTDHLADCSHPQELQELCQSTLTEMESQKQLCRPLTCEKSKPVPLKLFTPRLVKVLEFGRKQGSSKEEQERKRLIHKHKREFKGAVREIRKDNQFLARMQLSEIMERDAERKRKVKQLFNSLATQEGEWKALKRKKFKK
+>DECOY_sp|P78316|NOP14_HUMAN Nucleolar protein 14 OS=Homo sapiens OX=9606 GN=NOP14 PE=1 SV=3
+KKFKKRKLAKWEGEQTALSNFLQKVKRKREADREMIESLQMRALFQNDKRIERVAGKFERKHKHILRKREQEEKSSGQKRGFELVKVLRPTFLKLPVPKSKECTLPRCLQKQSEMETLTSQCLEQLEQPHSCDALHDTLLAQLPGMIAHFSPLSGYMLVCRKLLALGVALCSLRIHNAETSTPARLRSAWRLSLSSQQWTAVDERASVVLLESNKGLARFPHVLTSGQSAKNPTAIYLIGLLFNILEPIFRQSLAVYELFLCCVFLGKVVDQLSLIPCKTLLQSLCVLAPTVVPHWFDSTPFLLGTIKLYILVDLGPLAARGKTEIMEEMEHMADRLVFKIADSASEPFMQCLHYLHVVLKDIVTLDPPDDTALDGVYELLFGFLKELKAKNGEALSPHNCKQIREVVLLQEEMSRGLLLSRLEEYSEPAAFTYPLEDRTAKGAREKGSILGKGPTQRQEKAPKENEEESEVNSELDLHSDPSDSEETDEGGSSDGEEENSEPDSAEKSQEEQVDEEVNMKGDKYSLLRRDDKDLVFGDNLDDASMHKPKKVNEDEDKGLMRRLREAELKRLHEQEEKALEAETKMRNSPQAKMEFGLERVMMDYADPKPKEKKDRNESKPTKHSLLTQIEKWDQDLKETLELADERQAQRERKEQKSKAILEEILEKRSKPKEREEGEQQTKKHLLGGGGGFHAATLEASLTGRDEADSDSDVIDNHKEIDALSQGYHTLEEDENLNYISKKEHHRQQELAFRKMMKEEPSMNSNYEGFRKDRFVNSKDREKYEKLLTQTRKRLARARSVGPLGVDHRTKRGLIQFKQRNVKVEFPNSNAKAPGGRAGAPAGSAKRRAGVKKAKAM
+>sp|Q8TB73|NDNF_HUMAN Protein NDNF OS=Homo sapiens OX=9606 GN=NDNF PE=1 SV=2
+MVLLHWCLLWLLFPLSSRTQKLPTRDEELFQMQIRDKAFFHDSSVIPDGAEISSYLFRDTPKRYFFVVEEDNTPLSVTVTPCDAPLEWKLSLQELPEDRSGEGSGDLEPLEQQKQQIINEEGTELFSYKGNDVEYFISSSSPSGLYQLDLLSTEKDTHFKVYATTTPESDQPYPELPYDPRVDVTSLGRTTVTLAWKPSPTASLLKQPIQYCVVINKEHNFKSLCAVEAKLSADDAFMMAPKPGLDFSPFDFAHFGFPSDNSGKERSFQAKPSPKLGRHVYSRPKVDIQKICIGNKNIFTVSDLKPDTQYYFDVFVVNINSNMSTAYVGTFARTKEEAKQKTVELKDGKITDVFVKRKGAKFLRFAPVSSHQKVTFFIHSCLDAVQIQVRRDGKLLLSQNVEGIQQFQLRGKPKAKYLVRLKGNKKGASMLKILATTRPTKQSFPSLPEDTRIKAFDKLRTCSSATVAWLGTQERNKFCIYKKEVDDNYNEDQKKREQNQCLGPDIRKKSEKVLCKYFHSQNLQKAVTTETIKGLQPGKSYLLDVYVIGHGGHSVKYQSKVVKTRKFC
+>DECOY_sp|Q8TB73|NDNF_HUMAN Protein NDNF OS=Homo sapiens OX=9606 GN=NDNF PE=1 SV=2
+CFKRTKVVKSQYKVSHGGHGIVYVDLLYSKGPQLGKITETTVAKQLNQSHFYKCLVKESKKRIDPGLCQNQERKKQDENYNDDVEKKYICFKNREQTGLWAVTASSCTRLKDFAKIRTDEPLSPFSQKTPRTTALIKLMSAGKKNGKLRVLYKAKPKGRLQFQQIGEVNQSLLLKGDRRVQIQVADLCSHIFFTVKQHSSVPAFRLFKAGKRKVFVDTIKGDKLEVTKQKAEEKTRAFTGVYATSMNSNINVVFVDFYYQTDPKLDSVTFINKNGICIKQIDVKPRSYVHRGLKPSPKAQFSREKGSNDSPFGFHAFDFPSFDLGPKPAMMFADDASLKAEVACLSKFNHEKNIVVCYQIPQKLLSATPSPKWALTVTTRGLSTVDVRPDYPLEPYPQDSEPTTTAYVKFHTDKETSLLDLQYLGSPSSSSIFYEVDNGKYSFLETGEENIIQQKQQELPELDGSGEGSRDEPLEQLSLKWELPADCPTVTVSLPTNDEEVVFFYRKPTDRFLYSSIEAGDPIVSSDHFFAKDRIQMQFLEEDRTPLKQTRSSLPFLLWLLCWHLLVM
+>sp|P52849|NDST2_HUMAN Bifunctional heparan sulfate N-deacetylase/N-sulfotransferase 2 OS=Homo sapiens OX=9606 GN=NDST2 PE=1 SV=1
+MLQLWKVVRPARQLELHRLILLLIAFSLGSMGFLAYYVSTSPKAKEPLPLPLGDCSSGGAAGPGPARPPVPPRPPRPPETARTEPVVLVFVESAYSQLGQEIVAILESSRFRYSTELAPGRGDMPTLTDNTHGRYVLVIYENLLKYVNLDAWSRELLDRYCVEYGVGIIGFFRAHEHSLLSAQLKGFPLFLHSNLGLRDYQVNPSAPLLHLTRPSRLEPGPLPGDDWTIFQSNHSTYEPVLLASLRPAEPAVPGPVLRRARLPTVVQDLGLHDGIQRVLFGHGLSFWLHKLIFVDAVAYLTGKRLCLDLDRYILVDIDDIFVGKEGTRMKVADVEALLTTQNKLRTLVPNFTFNLGFSGKFYHTGTEEEDAGDDMLLKHRKEFWWFPHMWSHMQPHLFHNRSVLADQMRLNKQFALEHGIPTDLGYAVAPHHSGVYPIHTQLYEAWKSVWGIQVTSTEEYPHLRPARYRRGFIHNGIMVLPRQTCGLFTHTIFYNEYPGGSRELDRSIRGGELFLTVLLNPISIFMTHLSNYGNDRLGLYTFESLVRFLQCWTRLRLQTLPPVPLAQKYFELFPQERSPLWQNPCDDKRHKDIWSKEKTCDRLPKFLIVGPQKTGTTAIHFFLSLHPAVTSSFPSPSTFEEIQFFNSPNYHKGIDWYMDFFPVPSNASTDFLFEKSATYFDSEVVPRRGAALLPRAKIITVLTNPADRAYSWYQHQRAHGDPVALNYTFYQVISASSQTPLALRSLQNRCLVPGYYSTHLQRWLTYYPSGQLLIVDGQELRTNPAASMESIQKFLGITPFLNYTRTLRFDDDKGFWCQGLEGGKTRCLGRSKGRRYPDMDTESRLFLTDFFRNHNLELSKLLSRLGQPVPSWLREELQHSSLG
+>DECOY_sp|P52849|NDST2_HUMAN Bifunctional heparan sulfate N-deacetylase/N-sulfotransferase 2 OS=Homo sapiens OX=9606 GN=NDST2 PE=1 SV=1
+GLSSHQLEERLWSPVPQGLRSLLKSLELNHNRFFDTLFLRSETDMDPYRRGKSRGLCRTKGGELGQCWFGKDDDFRLTRTYNLFPTIGLFKQISEMSAAPNTRLEQGDVILLQGSPYYTLWRQLHTSYYGPVLCRNQLSRLALPTQSSASIVQYFTYNLAVPDGHARQHQYWSYARDAPNTLVTIIKARPLLAAGRRPVVESDFYTASKEFLFDTSANSPVPFFDMYWDIGKHYNPSNFFQIEEFTSPSPFSSTVAPHLSLFFHIATTGTKQPGVILFKPLRDCTKEKSWIDKHRKDDCPNQWLPSREQPFLEFYKQALPVPPLTQLRLRTWCQLFRVLSEFTYLGLRDNGYNSLHTMFISIPNLLVTLFLEGGRISRDLERSGGPYENYFITHTFLGCTQRPLVMIGNHIFGRRYRAPRLHPYEETSTVQIGWVSKWAEYLQTHIPYVGSHHPAVAYGLDTPIGHELAFQKNLRMQDALVSRNHFLHPQMHSWMHPFWWFEKRHKLLMDDGADEEETGTHYFKGSFGLNFTFNPVLTRLKNQTTLLAEVDAVKMRTGEKGVFIDDIDVLIYRDLDLCLRKGTLYAVADVFILKHLWFSLGHGFLVRQIGDHLGLDQVVTPLRARRLVPGPVAPEAPRLSALLVPEYTSHNSQFITWDDGPLPGPELRSPRTLHLLPASPNVQYDRLGLNSHLFLPFGKLQASLLSHEHARFFGIIGVGYEVCYRDLLERSWADLNVYKLLNEYIVLVYRGHTNDTLTPMDGRGPALETSYRFRSSELIAVIEQGLQSYASEVFVLVVPETRATEPPRPPRPPVPPRAPGPGAAGGSSCDGLPLPLPEKAKPSTSVYYALFGMSGLSFAILLLILRHLELQRAPRVVKWLQLM
+>sp|O95803|NDST3_HUMAN Bifunctional heparan sulfate N-deacetylase/N-sulfotransferase 3 OS=Homo sapiens OX=9606 GN=NDST3 PE=2 SV=1
+MSFIMKLHRHFQRTVILLATFCMVSIIISAYYLYSGYKQENELSETASEVDCGDLQHLPYQLMEVKAMKLFDASRTDPTVLVFVESQYSSLGQDIIMILESSRFQYHIEIAPGKGDLPVLIDKMKGKYILIIYENILKYINMDSWNRSLLDKYCVEYGVGVIGFHKTSEKSVQSFQLKGFPFSIYGNLAVKDCCINPHSPLIRVTKSSKLEKGSLPGTDWTVFQINHSAYQPVIFAKVKTPENLSPSISKGAFYATIIHDLGLHDGIQRVLFGNNLNFWLHKLIFIDAISFLSGKRLTLSLDRYILVDIDDIFVGKEGTRMNTNDVKALLDTQNLLRAQITNFTFNLGFSGKFYHTGTEEEDEGDDCLLGSVDEFWWFPHMWSHMQPHLFHNESSLVEQMILNKKFALEHGIPTDMGYAVAPHHSGVYPVHVQLYEAWKKVWNIKITSTEEYPHLKPARYRRGFIHKNIMVLPRQTCGLFTHTIFYKEYPGGPKELDKSIQGGELFFTVVLNPISIFMTHLSNYGNDRLGLYTFVNLANFVKSWTNLRLQTLPPVQLAHKYFELFPDQKDPLWQNPCDDKRHRDIWSKEKTCDRLPKFLVIGPQKTGTTALYLFLVMHPSILSNSPSPKTFEEVQFFNRNNYHRGIDWYMDFFPVPSNVTTDFLFEKSANYFHSEEAPKRAASLVPKAKIITILIDPSDRAYSWYQHQRSHEDPAALKFSFYEVISAGPRAPSELRALQKRCLVPGWYASHIERWLVYFPPFQLLIIDGQQLRTDPATVMDEVQKFLGVLPHYNYSEALTFDSHKGFWCQLLEEGKTKCLGKSKGRKYPPMDSDSRTFLSSYYRDHNVELSKLLHKLGQPLPSWLRQELQKVR
+>DECOY_sp|O95803|NDST3_HUMAN Bifunctional heparan sulfate N-deacetylase/N-sulfotransferase 3 OS=Homo sapiens OX=9606 GN=NDST3 PE=2 SV=1
+RVKQLEQRLWSPLPQGLKHLLKSLEVNHDRYYSSLFTRSDSDMPPYKRGKSKGLCKTKGEELLQCWFGKHSDFTLAESYNYHPLVGLFKQVEDMVTAPDTRLQQGDIILLQFPPFYVLWREIHSAYWGPVLCRKQLARLESPARPGASIVEYFSFKLAAPDEHSRQHQYWSYARDSPDILITIIKAKPVLSAARKPAEESHFYNASKEFLFDTTVNSPVPFFDMYWDIGRHYNNRNFFQVEEFTKPSPSNSLISPHMVLFLYLATTGTKQPGIVLFKPLRDCTKEKSWIDRHRKDDCPNQWLPDKQDPFLEFYKHALQVPPLTQLRLNTWSKVFNALNVFTYLGLRDNGYNSLHTMFISIPNLVVTFFLEGGQISKDLEKPGGPYEKYFITHTFLGCTQRPLVMINKHIFGRRYRAPKLHPYEETSTIKINWVKKWAEYLQVHVPYVGSHHPAVAYGMDTPIGHELAFKKNLIMQEVLSSENHFLHPQMHSWMHPFWWFEDVSGLLCDDGEDEEETGTHYFKGSFGLNFTFNTIQARLLNQTDLLAKVDNTNMRTGEKGVFIDDIDVLIYRDLSLTLRKGSLFSIADIFILKHLWFNLNNGFLVRQIGDHLGLDHIITAYFAGKSISPSLNEPTKVKAFIVPQYASHNIQFVTWDTGPLSGKELKSSKTVRILPSHPNICCDKVALNGYISFPFGKLQFSQVSKESTKHFGIVGVGYEVCYKDLLSRNWSDMNIYKLINEYIILIYKGKMKDILVPLDGKGPAIEIHYQFRSSELIMIIDQGLSSYQSEVFVLVTPDTRSADFLKMAKVEMLQYPLHQLDGCDVESATESLENEQKYGSYLYYASIIISVMCFTALLIVTRQFHRHLKMIFSM
+>sp|O43678|NDUA2_HUMAN NADH dehydrogenase [ubiquinone] 1 alpha subcomplex subunit 2 OS=Homo sapiens OX=9606 GN=NDUFA2 PE=1 SV=3
+MAAAAASRGVGAKLGLREIRIHLCQRSPGSQGVRDFIEKRYVELKKANPDLPILIRECSDVQPKLWARYAFGQETNVPLNNFSADQVTRALENVLSGKA
+>DECOY_sp|O43678|NDUA2_HUMAN NADH dehydrogenase [ubiquinone] 1 alpha subcomplex subunit 2 OS=Homo sapiens OX=9606 GN=NDUFA2 PE=1 SV=3
+AKGSLVNELARTVQDASFNNLPVNTEQGFAYRAWLKPQVDSCERILIPLDPNAKKLEVYRKEIFDRVGQSGPSRQCLHIRIERLGLKAGVGRSAAAAAM
+>sp|O95167|NDUA3_HUMAN NADH dehydrogenase [ubiquinone] 1 alpha subcomplex subunit 3 OS=Homo sapiens OX=9606 GN=NDUFA3 PE=1 SV=1
+MAARVGAFLKNAWDKEPVLVVSFVVGGLAVILPPLSPYFKYSVMINKATPYNYPVPVRDDGNMPDVPSHPQDPQGPSLEWLKKL
+>DECOY_sp|O95167|NDUA3_HUMAN NADH dehydrogenase [ubiquinone] 1 alpha subcomplex subunit 3 OS=Homo sapiens OX=9606 GN=NDUFA3 PE=1 SV=1
+LKKLWELSPGQPDQPHSPVDPMNGDDRVPVPYNYPTAKNIMVSYKFYPSLPPLIVALGGVVFSVVLVPEKDWANKLFAGVRAAM
+>sp|O95182|NDUA7_HUMAN NADH dehydrogenase [ubiquinone] 1 alpha subcomplex subunit 7 OS=Homo sapiens OX=9606 GN=NDUFA7 PE=1 SV=3
+MASATRLIQRLRNWASGHDLQGKLQLRYQEISKRTQPPPKLPVGPSHKLSNNYYCTRDGRRESVPPSIIMSSQKALVSGKPAESSAVAATEKKAVTPAPPIKRWELSSDQPYL
+>DECOY_sp|O95182|NDUA7_HUMAN NADH dehydrogenase [ubiquinone] 1 alpha subcomplex subunit 7 OS=Homo sapiens OX=9606 GN=NDUFA7 PE=1 SV=3
+LYPQDSSLEWRKIPPAPTVAKKETAAVASSEAPKGSVLAKQSSMIISPPVSERRGDRTCYYNNSLKHSPGVPLKPPPQTRKSIEQYRLQLKGQLDHGSAWNRLRQILRTASAM
+>sp|Q9UI09|NDUAC_HUMAN NADH dehydrogenase [ubiquinone] 1 alpha subcomplex subunit 12 OS=Homo sapiens OX=9606 GN=NDUFA12 PE=1 SV=1
+MELVQVLKRGLQQITGHGGLRGYLRVFFRTNDAKVGTLVGEDKYGNKYYEDNKQFFGRHRWVVYTTEMNGKNTFWDVDGSMVPPEWHRWLHSMTDDPPTTKPLTARKFIWTNHKFNVTGTPEQYVPYSTTRKKIQEWIPPSTPYK
+>DECOY_sp|Q9UI09|NDUAC_HUMAN NADH dehydrogenase [ubiquinone] 1 alpha subcomplex subunit 12 OS=Homo sapiens OX=9606 GN=NDUFA12 PE=1 SV=1
+KYPTSPPIWEQIKKRTTSYPVYQEPTGTVNFKHNTWIFKRATLPKTTPPDDTMSHLWRHWEPPVMSGDVDWFTNKGNMETTYVVWRHRGFFQKNDEYYKNGYKDEGVLTGVKADNTRFFVRLYGRLGGHGTIQQLGRKLVQVLEM
+>sp|O95168|NDUB4_HUMAN NADH dehydrogenase [ubiquinone] 1 beta subcomplex subunit 4 OS=Homo sapiens OX=9606 GN=NDUFB4 PE=1 SV=3
+MSFPKYKPSSLRTLPETLDPAEYNISPETRRAQAERLAIRAQLKREYLLQYNDPNRRGLIENPALLRWAYARTINVYPNFRPTPKNSLMGALCGFGPLIFIYYIIKTERDRKEKLIQEGKLDRTFHLSY
+>DECOY_sp|O95168|NDUB4_HUMAN NADH dehydrogenase [ubiquinone] 1 beta subcomplex subunit 4 OS=Homo sapiens OX=9606 GN=NDUFB4 PE=1 SV=3
+YSLHFTRDLKGEQILKEKRDRETKIIYYIFILPGFGCLAGMLSNKPTPRFNPYVNITRAYAWRLLAPNEILGRRNPDNYQLLYERKLQARIALREAQARRTEPSINYEAPDLTEPLTRLSSPKYKPFSM
+>sp|O95139|NDUB6_HUMAN NADH dehydrogenase [ubiquinone] 1 beta subcomplex subunit 6 OS=Homo sapiens OX=9606 GN=NDUFB6 PE=1 SV=3
+MTGYTPDEKLRLQQLRELRRRWLKDQELSPREPVLPPQKMGPMEKFWNKFLENKSPWRKMVHGVYKKSIFVFTHVLVPVWIIHYYMKYHVSEKPYGIVEKKSRIFPGDTILETGEVIPPMKEFPDQHH
+>DECOY_sp|O95139|NDUB6_HUMAN NADH dehydrogenase [ubiquinone] 1 beta subcomplex subunit 6 OS=Homo sapiens OX=9606 GN=NDUFB6 PE=1 SV=3
+HHQDPFEKMPPIVEGTELITDGPFIRSKKEVIGYPKESVHYKMYYHIIWVPVLVHTFVFISKKYVGHVMKRWPSKNELFKNWFKEMPGMKQPPLVPERPSLEQDKLWRRRLERLQQLRLKEDPTYGTM
+>sp|P17568|NDUB7_HUMAN NADH dehydrogenase [ubiquinone] 1 beta subcomplex subunit 7 OS=Homo sapiens OX=9606 GN=NDUFB7 PE=1 SV=4
+MGAHLVRRYLGDASVEPDPLQMPTFPPDYGFPERKEREMVATQQEMMDAQLRLQLRDYCAHHLIRLLKCKRDSFPNFLACKQERHDWDYCEHRDYVMRMKEFERERRLLQRKKRREKKAAELAKGQGPGEVDPKVAL
+>DECOY_sp|P17568|NDUB7_HUMAN NADH dehydrogenase [ubiquinone] 1 beta subcomplex subunit 7 OS=Homo sapiens OX=9606 GN=NDUFB7 PE=1 SV=4
+LAVKPDVEGPGQGKALEAAKKERRKKRQLLRREREFEKMRMVYDRHECYDWDHREQKCALFNPFSDRKCKLLRILHHACYDRLQLRLQADMMEQQTAVMEREKREPFGYDPPFTPMQLPDPEVSADGLYRRVLHAGM
+>sp|O43677|NDUC1_HUMAN NADH dehydrogenase [ubiquinone] 1 subunit C1, mitochondrial OS=Homo sapiens OX=9606 GN=NDUFC1 PE=1 SV=1
+MAPSALLRPLSRLLAPARLPSGPSVRSKFYVREPPNAKPDWLKVGFTLGTTVFLWIYLIKQHNEDILEYKRRNGLE
+>DECOY_sp|O43677|NDUC1_HUMAN NADH dehydrogenase [ubiquinone] 1 subunit C1, mitochondrial OS=Homo sapiens OX=9606 GN=NDUFC1 PE=1 SV=1
+ELGNRRKYELIDENHQKILYIWLFVTTGLTFGVKLWDPKANPPERVYFKSRVSPGSPLRAPALLRSLPRLLASPAM
+>sp|E9PQ53|NDUCR_HUMAN NADH dehydrogenase [ubiquinone] 1 subunit C2, isoform 2 OS=Homo sapiens OX=9606 GN=NDUFC2-KCTD14 PE=1 SV=1
+MIARRNPEPLRFLPDEARSLPPPKLTDPRLLYIGFLGYCSGLIDNLIRRRPIATAGLHRQLLYITAFFFAGYYLVKREDYLYAVRDREMFGYMKLHPEDFPEEDVYCCGAERRG
+>DECOY_sp|E9PQ53|NDUCR_HUMAN NADH dehydrogenase [ubiquinone] 1 subunit C2, isoform 2 OS=Homo sapiens OX=9606 GN=NDUFC2-KCTD14 PE=1 SV=1
+GRREAGCCYVDEEPFDEPHLKMYGFMERDRVAYLYDERKVLYYGAFFFATIYLLQRHLGATAIPRRRILNDILGSCYGLFGIYLLRPDTLKPPPLSRAEDPLFRLPEPNRRAIM
+>sp|Q9BU61|NDUF3_HUMAN NADH dehydrogenase [ubiquinone] 1 alpha subcomplex assembly factor 3 OS=Homo sapiens OX=9606 GN=NDUFAF3 PE=1 SV=1
+MATALALRSLYRARPSLRCPPVELPWAPRRGHRLSPADDELYQRTRISLLQREAAQAMYIDSYNSRGFMINGNRVLGPCALLPHSVVQWNVGSHQDITEDSFSLFWLLEPRIEIVVVGTGDRTERLQSQVLQAMRQRGIAVEVQDTPNACATFNFLCHEGRVTGAALIPPPGGTSLTSLGQAAQ
+>DECOY_sp|Q9BU61|NDUF3_HUMAN NADH dehydrogenase [ubiquinone] 1 alpha subcomplex assembly factor 3 OS=Homo sapiens OX=9606 GN=NDUFAF3 PE=1 SV=1
+QAAQGLSTLSTGGPPPILAAGTVRGEHCLFNFTACANPTDQVEVAIGRQRMAQLVQSQLRETRDGTGVVVIEIRPELLWFLSFSDETIDQHSGVNWQVVSHPLLACPGLVRNGNIMFGRSNYSDIYMAQAAERQLLSIRTRQYLEDDAPSLRHGRRPAWPLEVPPCRLSPRARYLSRLALATAM
+>sp|Q9P032|NDUF4_HUMAN NADH dehydrogenase [ubiquinone] 1 alpha subcomplex assembly factor 4 OS=Homo sapiens OX=9606 GN=NDUFAF4 PE=1 SV=1
+MGALVIRGIRNFNLENRAEREISKMKPSVAPRHPSTNSLLREQISLYPEVKGEIARKDEKLLSFLKDVYVDSKDPVSSLQVKAAETCQEPKEFRLPKDHHFDMINIKSIPKGKISIVEALTLLNNHKLFPETWTAEKIMQEYQLEQKDVNSLLKYFVTFEVEIFPPEDKKAIRSK
+>DECOY_sp|Q9P032|NDUF4_HUMAN NADH dehydrogenase [ubiquinone] 1 alpha subcomplex assembly factor 4 OS=Homo sapiens OX=9606 GN=NDUFAF4 PE=1 SV=1
+KSRIAKKDEPPFIEVEFTVFYKLLSNVDKQELQYEQMIKEATWTEPFLKHNNLLTLAEVISIKGKPISKINIMDFHHDKPLRFEKPEQCTEAAKVQLSSVPDKSDVYVDKLFSLLKEDKRAIEGKVEPYLSIQERLLSNTSPHRPAVSPKMKSIEREARNELNFNRIGRIVLAGM
+>sp|O75380|NDUS6_HUMAN NADH dehydrogenase [ubiquinone] iron-sulfur protein 6, mitochondrial OS=Homo sapiens OX=9606 GN=NDUFS6 PE=1 SV=1
+MAAAMTFCRLLNRCGEAARSLPLGARCFGVRVSPTGEKVTHTGQVYDDKDYRRIRFVGRQKEVNENFAIDLIAEQPVSEVETRVIACDGGGGALGHPKVYINLDKETKTGTCGYCGLQFRQHHH
+>DECOY_sp|O75380|NDUS6_HUMAN NADH dehydrogenase [ubiquinone] iron-sulfur protein 6, mitochondrial OS=Homo sapiens OX=9606 GN=NDUFS6 PE=1 SV=1
+HHHQRFQLGCYGCTGTKTEKDLNIYVKPHGLAGGGGDCAIVRTEVESVPQEAILDIAFNENVEKQRGVFRIRRYDKDDYVQGTHTVKEGTPSVRVGFCRAGLPLSRAAEGCRNLLRCFTMAAAM
+>sp|Q9ULJ8|NEB1_HUMAN Neurabin-1 OS=Homo sapiens OX=9606 GN=PPP1R9A PE=1 SV=2
+MLKTESSGERTTLRSASPHRNAYRTEFQALKSTFDKPKSDGEQKTKEGEGSQQSRGRKYGSNVNRIKNLFMQMGMEPNENAAVIAKTRGKGGHSSPQRRMKPKEFLEKTDGSVVKLESSVSERISRFDTMYDGPSYSKFTETRKMFERSVHESGQNNRYSPKKEKAGGSEPQDEWGGSKSNRGSTDSLDSLSSRTEAVSPTVSQLSAVFENTDSPSAIISEKAENNEYSVTGHYPLNLPSVTVTNLDTFGHLKDSNSWPPSNKRGVDTEDAHKSNATPVPEVASKSTSLASIPGEEIQQSKEPEDSTSNQQTPDSIDKDGPEEPCAESKAMPKSEIPSPQSQLLEDAEANLVGREAAKQQRKELAGGDFTSPDASASSCGKEVPEDSNNFDGSHVYMHSDYNVYRVRSRYNSDWGETGTEQDEEEDSDENSYYQPDMEYSEIVGLPEEEEIPANRKIKFSSAPIKVFNTYSNEDYDRRNDEVDPVAASAEYELEKRVEKLELFPVELEKDEDGLGISIIGMGVGADAGLEKLGIFVKTVTEGGAAQRDGRIQVNDQIVEVDGISLVGVTQNFAATVLRNTKGNVRFVIGREKPGQVSEVAQLISQTLEQERRQRELLEQHYAQYDADDDETGEYATDEEEDEVGPVLPGSDMAIEVFELPENEDMFSPSELDTSKLSHKFKELQIKHAVTEAEIQKLKTKLQAAENEKVRWELEKTQLQQNIEENKERMLKLESYWIEAQTLCHTVNEHLKETQSQYQALEKKYNKAKKLIKDFQQKELDFIKRQEAERKKIEDLEKAHLVEVQGLQVRIRDLEAEVFRLLKQNGTQVNNNNNIFERRTSLGEVSKGDTMENLDGKQTSCQDGLSQDLNEAVPETERLDSKALKTRAQLSVKNRRQRPSRTRLYDSVSSTDGEDSLERKNFTFNDDFSPSSTSSADLSGLGAEPKTPGLSQSLALSSDESLDMIDDEILDDGQSPKHSQCQNRAVQEWSVQQVSHWLMSLNLEQYVSEFSAQNITGEQLLQLDGNKLKALGMTASQDRAVVKKKLKEMKMSLEKARKAQEKMEKQREKLRRKEQEQMQRKSKKTEKMTSTTAEGAGEQ
+>DECOY_sp|Q9ULJ8|NEB1_HUMAN Neurabin-1 OS=Homo sapiens OX=9606 GN=PPP1R9A PE=1 SV=2
+QEGAGEATTSTMKETKKSKRQMQEQEKRRLKERQKEMKEQAKRAKELSMKMEKLKKKVVARDQSATMGLAKLKNGDLQLLQEGTINQASFESVYQELNLSMLWHSVQQVSWEQVARNQCQSHKPSQGDDLIEDDIMDLSEDSSLALSQSLGPTKPEAGLGSLDASSTSSPSFDDNFTFNKRELSDEGDTSSVSDYLRTRSPRQRRNKVSLQARTKLAKSDLRETEPVAENLDQSLGDQCSTQKGDLNEMTDGKSVEGLSTRREFINNNNNVQTGNQKLLRFVEAELDRIRVQLGQVEVLHAKELDEIKKREAEQRKIFDLEKQQFDKILKKAKNYKKELAQYQSQTEKLHENVTHCLTQAEIWYSELKLMREKNEEINQQLQTKELEWRVKENEAAQLKTKLKQIEAETVAHKIQLEKFKHSLKSTDLESPSFMDENEPLEFVEIAMDSGPLVPGVEDEEEDTAYEGTEDDDADYQAYHQELLERQRREQELTQSILQAVESVQGPKERGIVFRVNGKTNRLVTAAFNQTVGVLSIGDVEVIQDNVQIRGDRQAAGGETVTKVFIGLKELGADAGVGMGIISIGLGDEDKELEVPFLELKEVRKELEYEASAAVPDVEDNRRDYDENSYTNFVKIPASSFKIKRNAPIEEEEPLGVIESYEMDPQYYSNEDSDEEEDQETGTEGWDSNYRSRVRYVNYDSHMYVHSGDFNNSDEPVEKGCSSASADPSTFDGGALEKRQQKAAERGVLNAEADELLQSQPSPIESKPMAKSEACPEEPGDKDISDPTQQNSTSDEPEKSQQIEEGPISALSTSKSAVEPVPTANSKHADETDVGRKNSPPWSNSDKLHGFTDLNTVTVSPLNLPYHGTVSYENNEAKESIIASPSDTNEFVASLQSVTPSVAETRSSLSDLSDTSGRNSKSGGWEDQPESGGAKEKKPSYRNNQGSEHVSREFMKRTETFKSYSPGDYMTDFRSIRESVSSELKVVSGDTKELFEKPKMRRQPSSHGGKGRTKAIVAANENPEMGMQMFLNKIRNVNSGYKRGRSQQSGEGEKTKQEGDSKPKDFTSKLAQFETRYANRHPSASRLTTREGSSETKLM
+>sp|O76041|NEBL_HUMAN Nebulette OS=Homo sapiens OX=9606 GN=NEBL PE=1 SV=1
+MRVPVFEDIKDETEEEKIGEEENEEDQVFYKPVIEDLSMELARKCTELISDIRYKEEFKKSKDKCTFVTDSPMLNHVKNIGAFISEAKYKGTIKADLSNSLYKRMPATIDSVFAGEVTQLQSEVAYKQKHDAAKGFSDYAHMKEPPEVKHAMEVNKHQSNISYRKDVQDTHTYSAELDRPDIKMATQISKIISNAEYKKGQGIMNKEPAVIGRPDFEHAVEASKLSSQIKYKEKFDNEMKDKKHHYNPLESASFRQNQLAATLASNVKYKKDIQNMHDPVSDLPNLLFLDHVLKASKMLSGREYKKLFEENKGMYHFDADAVEHLHHKGNAVLQSQVKYKEEYEKNKGKPMLEFVETPSYQASKEAQKMQSEKVYKEDFEKEIKGRSSLDLDKTPEFLHVKYITNLLREKEYKKDLENEIKGKGMELNSEVLDIQRAKRASEMASEKEYKKDLESIIKGKGMQAGTDTLEMQHAKKAAEIASEKDYKRDLETEIKGKGMQVSTDTLDVQRAKKASEMASQKQYKKDLENEIKGKGMQVSMDIPDILRAKRTSEIYSQRKYKDEAEKMLSNYSTIADTPEIQRIKTTQQNISAVFYKKEVGAGTAVKDSPEIERVKKNQQNISSVKYKEEIKHATAISDPPELKRVKENQKNISNLQYKEQNYKATPVSMTPEIERVRRNQEQLSAVKYKGELQRGTAISDPPELKRAKENQKNISNVYYRGQLGRATTLSVTPEMERVKKNQENISSVKYTQDHKQMKGRPSLILDTPAMRHVKEAQNHISMVKYHEDFEKTKGRGFTPVVDDPVTERVRKNTQVVSDAAYKGVHPHIVEMDRRPGIIVDLKVWRTDPGSIFDLDPLEDNIQSRSLHMLSEKASHYRRHWSRSHSSSTFGTGLGDDRSEISEIYPSFSCCSEVTRPSDEGAPVLPGAYQQSHSQGYGYMHQTSVSSMRSMQHSPNLRTYRAMYDYSAQDEDEVSFRDGDYIVNVQPIDDGWMYGTVQRTGRTGMLPANYIEFVN
+>DECOY_sp|O76041|NEBL_HUMAN Nebulette OS=Homo sapiens OX=9606 GN=NEBL PE=1 SV=1
+NVFEIYNAPLMGTRGTRQVTGYMWGDDIPQVNVIYDGDRFSVEDEDQASYDYMARYTRLNPSHQMSRMSSVSTQHMYGYGQSHSQQYAGPLVPAGEDSPRTVESCCSFSPYIESIESRDDGLGTGFTSSSHSRSWHRRYHSAKESLMHLSRSQINDELPDLDFISGPDTRWVKLDVIIGPRRDMEVIHPHVGKYAADSVVQTNKRVRETVPDDVVPTFGRGKTKEFDEHYKVMSIHNQAEKVHRMAPTDLILSPRGKMQKHDQTYKVSSINEQNKKVREMEPTVSLTTARGLQGRYYVNSINKQNEKARKLEPPDSIATGRQLEGKYKVASLQEQNRRVREIEPTMSVPTAKYNQEKYQLNSINKQNEKVRKLEPPDSIATAHKIEEKYKVSSINQQNKKVREIEPSDKVATGAGVEKKYFVASINQQTTKIRQIEPTDAITSYNSLMKEAEDKYKRQSYIESTRKARLIDPIDMSVQMGKGKIENELDKKYQKQSAMESAKKARQVDLTDTSVQMGKGKIETELDRKYDKESAIEAAKKAHQMELTDTGAQMGKGKIISELDKKYEKESAMESARKARQIDLVESNLEMGKGKIENELDKKYEKERLLNTIYKVHLFEPTKDLDLSSRGKIEKEFDEKYVKESQMKQAEKSAQYSPTEVFELMPKGKNKEYEEKYKVQSQLVANGKHHLHEVADADFHYMGKNEEFLKKYERGSLMKSAKLVHDLFLLNPLDSVPDHMNQIDKKYKVNSALTAALQNQRFSASELPNYHHKKDKMENDFKEKYKIQSSLKSAEVAHEFDPRGIVAPEKNMIGQGKKYEANSIIKSIQTAMKIDPRDLEASYTHTDQVDKRYSINSQHKNVEMAHKVEPPEKMHAYDSFGKAADHKQKYAVESQLQTVEGAFVSDITAPMRKYLSNSLDAKITGKYKAESIFAGINKVHNLMPSDTVFTCKDKSKKFEEKYRIDSILETCKRALEMSLDEIVPKYFVQDEENEEEGIKEEETEDKIDEFVPVRM
+>sp|P20929|NEBU_HUMAN Nebulin OS=Homo sapiens OX=9606 GN=NEB PE=1 SV=5
+MADDEDYEEVVEYYTEEVVYEEVPGETITKIYETTTTRTSDYEQSETSKPALAQPALAQPASAKPVERRKVIRKKVDPSKFMTPYIAHSQKMQDLFSPNKYKEKFEKTKGQPYASTTDTPELRRIKKVQDQLSEVKYRMDGDVAKTICHVDEKAKDIEHAKKVSQQVSKVLYKQNWEDTKDKYLLPPDAPELVQAVKNTAMFSKKLYTEDWEADKSLFYPYNDSPELRRVAQAQKALSDVAYKKGLAEQQAQFTPLADPPDIEFAKKVTNQVSKQKYKEDYENKIKGKWSETPCFEVANARMNADNISTRKYQEDFENMKDQIYFMQTETPEYKMNKKAGVAASKVKYKEDYEKNKGKADYNVLPASENPQLRQLKAAGDALSDKLYKENYEKTKAKSINYCETPKFKLDTVLQNFSSDKKYKDSYLKDILGHYVGSFEDPYHSHCMKVTAQNSDKNYKAEYEEDRGKGFFPQTITQEYEAIKKLDQCKDHTYKVHPDKTKFTQVTDSPVLLQAQVNSKQLSDLNYKAKHESEKFKCHIPPDTPAFIQHKVNAYNLSDNLYKQDWEKSKAKKFDIKVDAIPLLAAKANTKNTSDVMYKKDYEKNKGKMIGVLSINDDPKMLHSLKVAKNQSDRLYKENYEKTKAKSMNYCETPKYQLDTQLKNFSEARYKDLYVKDVLGHYVGSMEDPYHTHCMKVAAQNSDKSYKAEYEEDKGKCYFPQTITQEYEAIKKLDQCKDHTYKVHPDKTKFTAVTDSPVLLQAQLNTKQLSDLNYKAKHEGEKFKCHIPADAPQFIQHRVNAYNLSDNVYKQDWEKSKAKKFDIKVDAIPLLAAKANTKNTSDVMYKKDYEKSKGKMIGALSINDDPKMLHSLKTAKNQSDREYRKDYEKSKTIYTAPLDMLQVTQAKKSQAIASDVDYKHILHSYSYPPDSINVDLAKKAYALQSDVEYKADYNSWMKGCGWVPFGSLEMEKAKRASDILNEKKYRQHPDTLKFTSIEDAPITVQSKINQAQRSDIAYKAKGEEIIHKYNLPPDLPQFIQAKVNAYNISENMYKADLKDLSKKGYDLRTDAIPIRAAKAARQAASDVQYKKDYEKAKGKMVGFQSLQDDPKLVHYMNVAKIQSDREYKKDYEKTKSKYNTPHDMFNVVAAKKAQDVVSNVNYKHSLHHYTYLPDAMDLELSKNMMQIQSDNVYKEDYNNWMKGIGWIPIGSLDVEKVKKAGDALNEKKYRQHPDTLKFTSIVDSPVMVQAKQNTKQVSDILYKAKGEDVKHKYTMSPDLPQFLQAKCNAYNISDVCYKRDWYDLIAKGNNVLGDAIPITAAKASRNIASDYKYKEAYEKSKGKHVGFRSLQDDPKLVHYMNVAKLQSDREYKKNYENTKTSYHTPGDMVSITAAKMAQDVATNVNYKQPLHHYTYLPDAMSLEHTRNVNQIQSDNVYKDEYNSFLKGIGWIPIGSLEVEKVKKAGDALNERKYRQHPDTVKFTSVPDSMGMVLAQHNTKQLSDLNYKVEGEKLKHKYTIDPELPQFIQAKVNALNMSDAHYKADWKKTIAKGYDLRPDAIPIVAAKSSRNIASDCKYKEAYEKAKGKQVGFLSLQDDPKLVHYMNVAKIQSDREYKKGYEASKTKYHTPLDMVSVTAAKKSQEVATNANYRQSYHHYTLLPDALNVEHSRNAMQIQSDNLYKSDFTNWMKGIGWVPIESLEVEKAKKAGEILSEKKYRQHPEKLKFTYAMDTMEQALNKSNKLNMDKRLYTEKWNKDKTTIHVMPDTPDILLSRVNQITMSDKLYKAGWEEEKKKGYDLRPDAIAIKAARASRDIASDYKYKKAYEQAKGKHIGFRSLEDDPKLVHFMQVAKMQSDREYKKGYEKSKTSFHTPVDMLSVVAAKKSQEVATNANYRNVIHTYNMLPDAMSFELAKNMMQIQSDNQYKADYADFMKGIGWLPLGSLEAEKNKKAMEIISEKKYRQHPDTLKYSTLMDSMNMVLAQNNAKIMNEHLYKQAWEADKTKVHIMPDIPQIILAKANAINMSDKLYKLSLEESKKKGYDLRPDAIPIKAAKASRDIASDYKYKYNYEKGKGKMVGFRSLEDDPKLVHSMQVAKMQSDREYKKNYENTKTSYHTPADMLSVTAAKDAQANITNTNYKHLIHKYILLPDAMNIELTRNMNRIQSDNEYKQDYNEWYKGLGWSPAGSLEVEKAKKATEYASDQKYRQHPSNFQFKKLTDSMDMVLAKQNAHTMNKHLYTIDWNKDKTKIHVMPDTPDILQAKQNQTLYSQKLYKLGWEEALKKGYDLPVDAISVQLAKASRDIASDYKYKQGYRKQLGHHVGFRSLQDDPKLVLSMNVAKMQSEREYKKDFEKWKTKFSSPVDMLGVVLAKKCQELVSDVDYKNYLHQWTCLPDQNDVVQAKKVYELQSENLYKSDLEWLRGIGWSPLGSLEAEKNKRASEIISEKKYRQPPDRNKFTSIPDAMDIVLAKTNAKNRSDRLYREAWDKDKTQIHIMPDTPDIVLAKANLINTSDKLYRMGYEELKRKGYDLPVDAIPIKAAKASREIASEYKYKEGFRKQLGHHIGARNIEDDPKMMWSMHVAKIQSDREYKKDFEKWKTKFSSPVDMLGVVLAKKCQTLVSDVDYKNYLHQWTCLPDQSDVIHARQAYDLQSDNLYKSDLQWLKGIGWMTSGSLEDEKNKRATQILSDHVYRQHPDQFKFSSLMDSIPMVLAKNNAITMNHRLYTEAWDKDKTTVHIMPDTPEVLLAKQNKVNYSEKLYKLGLEEAKRKGYDMRVDAIPIKAAKASRDIASEFKYKEGYRKQLGHHIGARAIRDDPKMMWSMHVAKIQSDREYKKDFEKWKTKFSSPVDMLGVVLAKKCQTLVSDVDYKNYLHQWTCLPDQSDVIHARQAYDLQSDNMYKSDLQWMRGIGWVSIGSLDVEKCKRATEILSDKIYRQPPDRFKFTSVTDSLEQVLAKNNAITMNKRLYTEAWDKDKTQIHIMPDTPEIMLARQNKINYSETLYKLANEEAKKKGYDLRSDAIPIVAAKASRDVISDYKYKDGYRKQLGHHIGARNIEDDPKMMWSMHVAKIQSDREYKKDFEKWKTKFSSPVDMLGVVLAKKCQTLVSDVDYKNYLHEWTCLPDQNDVIHARQAYDLQSDNIYKSDLQWLRGIGWVPIGSMDVVKCKRAAEILSDNIYRQPPDKLKFTSVTDSLEQVLAKNNALNMNKRLYTEAWDKDKTQVHIMPDTPEIMLARQNKINYSESLYRQAMEEAKKEGYDLRSDAIPIVAAKASRDIASDYKYKEAYRKQLGHHIGARAVHDDPKIMWSLHIAKVQSDREYKKDFEKYKTRYSSPVDMLGIVLAKKCQTLVSDVDYKHPLHEWICLPDQNDIIHARKAYDLQSDNLYKSDLEWMKGIGWVPIDSLEVVRAKRAGELLSDTIYRQRPETLKFTSITDTPEQVLAKNNALNMNKRLYTEAWDNDKKTIHVMPDTPEIMLAKLNRINYSDKLYKLALEESKKEGYDLRLDAIPIQAAKASRDIASDYKYKEGYRKQLGHHIGARNIKDDPKMMWSIHVAKIQSDREYKKEFEKWKTKFSSPVDMLGVVLAKKCQILVSDIDYKHPLHEWTCLPDQNDVIQARKAYDLQSDAIYKSDLEWLRGIGWVPIGSVEVEKVKRAGEILSDRKYRQPADQLKFTCITDTPEIVLAKNNALTMSKHLYTEAWDADKTSIHVMPDTPDILLAKSNSANISQKLYTKGWDESKMKDYDLRADAISIKSAKASRDIASDYKYKEAYEKQKGHHIGAQSIEDDPKIMCAIHAGKIQSEREYKKEFQKWKTKFSSPVDMLSILLAKKCQTLVTDIDYRNYLHEWTCMPDQNDIIQAKKAYDLQSDALYKADLEWLRGIGWMPQGSPEVLRVKNAQNIFCDSVYRTPVVNLKYTSIVDTPEVVLAKSNAENISIPKYREVWDKDKTSIHIMPDTPEINLARANALNVSNKLYREGWDEMKAGCDVRLDAIPIQAAKASREIASDYKYKLDHEKQKGHYVGTLTARDDNKIRWALIADKLQNEREYRLDWAKWKAKIQSPVDMLSILHSKNSQALVSDMDYRNYLHQWTCMPDQNDVIQAKKAYELQSDNVYKADLEWLRGIGWMPNDSVSVNHAKHAADIFSEKKYRTKIETLNFTPVDDRVDYVTAKQSGEILDDIKYRKDWNATKSKYTLTETPLLHTAQEAARILDQYLYKEGWERQKATGYILPPDAVPFVHAHHCNDVQSELKYKAEHVKQKGHYVGVPTMRDDPKLVWFEHAGQIQNERLYKEDYHKTKAKINIPADMVSVLAAKQGQTLVSDIDYRNYLHQWMCHPDQNDVIQARKAYDLQSDNVYRADLEWLRGIGWIPLDSVDHVRVTKNQEMMSQIKYKKNALENYPNFRSVVDPPEIVLAKINSVNQSDVKYKETFNKAKGKYTFSPDTPHISHSKDMGKLYSTILYKGAWEGTKAYGYTLDERYIPIVGAKHADLVNSELKYKETYEKQKGHYLAGKVIGEFPGVVHCLDFQKMRSALNYRKHYEDTKANVHIPNDMMNHVLAKRCQYILSDLEYRHYFHQWTSLLEEPNVIRVRNAQEILSDNVYKDDLNWLKGIGCYVWDTPQILHAKKSYDLQSQLQYTAAGKENLQNYNLVTDTPLYVTAVQSGINASEVKYKENYHQIKDKYTTVLETVDYDRTRNLKNLYSSNLYKEAWDRVKATSYILPSSTLSLTHAKNQKHLASHIKYREEYEKFKALYTLPRSVDDDPNTARCLRVGKLNIDRLYRSVYEKNKMKIHIVPDMVEMVTAKDSQKKVSEIDYRLRLHEWICHPDLQVNDHVRKVTDQISDIVYKDDLNWLKGIGCYVWDTPEILHAKHAYDLRDDIKYKAHMLKTRNDYKLVTDTPVYVQAVKSGKQLSDAVYHYDYVHSVRGKVAPTTKTVDLDRALHAYKLQSSNLYKTSLRTLPTGYRLPGDTPHFKHIKDTRYMSSYFKYKEAYEHTKAYGYTLGPKDVPFVHVRRVNNVTSERLYRELYHKLKDKIHTTPDTPEIRQVKKTQEAVSELIYKSDFFKMQGHMISLPYTPQVIHCRYVGDITSDIKYKEDLQVLKGFGCFLYDTPDMVRSRHLRKLWSNYLYTDKARKMRDKYKVVLDTPEYRKVQELKTHLSELVYRAAGKKQKSIFTSVPDTPDLLRAKRGQKLQSQYLYVELATKERPHHHAGNQTTALKHAKDVKDMVSEKKYKIQYEKMKDKYTPVPDTPILIRAKRAYWNASDLRYKETFQKTKGKYHTVKDALDIVYHRKVTDDISKIKYKENYMSQLGIWRSIPDRPEHFHHRAVTDTVSDVKYKEDLTWLKGIGCYAYDTPDFTLAEKNKTLYSKYKYKEVFERTKSDFKYVADSPINRHFKYATQLMNEKKYRADYEQRKDKYHLVVDEPRHLLAKTAGDQISQIKYRKNYEKSKDKFTSIVDTPEHLRTTKVNKQISDILYKLEYNKAKPRGYTTIHDTPMLLHVRKVKDEVSDLKYKEVYQRNKSNCTIEPDAVHIKAAKDAYKVNTNLDYKKQYEANKAHWKWTPDRPDFLQAAKSSLQQSDFEYKLDREFLKGCKLSVTDDKNTVLALRNTLIESDLKYKEKHVKERGTCHAVPDTPQILLAKTVSNLVSENKYKDHVKKHLAQGSYTTLPETRDTVHVKEVTKHVSDTNYKKKFVKEKGKSNYSIMLEPPEVKHAMEVAKKQSDVAYRKDAKENLHYTTVADRPDIKKATQAAKQASEVEYRAKHRKEGSHGLSMLGRPDIEMAKKAAKLSSQVKYRENFDKEKGKTPKYNPKDSQLYKVMKDANNLASEVKYKADLKKLHKPVTDMKESLIMNHVLNTSQLASSYQYKKKYEKSKGHYHTIPDNLEQLHLKEATELQSIVKYKEKYEKERGKPMLDFETPTYITAKESQQMQSGKEYRKDYEESIKGRNLTGLEVTPALLHVKYATKIASEKEYRKDLEESIRGKGLTEMEDTPDMLRAKNATQILNEKEYKRDLELEVKGRGLNAMANETPDFMRARNATDIASQIKYKQSAEMEKANFTSVVDTPEIIHAQQVKNLSSQKKYKEDAEKSMSYYETVLDTPEIQRVRENQKNFSLLQYQCDLKNSKGKITVVQDTPEILRVKENQKNFSSVLYKEDVSPGTAIGKTPEMMRVKQTQDHISSVKYKEAIGQGTPIPDLPEVKRVKETQKHISSVMYKENLGTGIPTTVTPEIERVKRNQENFSSVLYKENLGKGIPTPITPEMERVKRNQENFSSVLYKENMGKGTPLAVTPEMERVKHNQENISSVLYKENVGKATATPVTPEMQRVKRNQENISSVLYKENLGKATPTPFTPEMERVKRNQENFSSVLYKENMRKATPTPVTPEMERAKRNQENISSVLYSDSFRKQIQGKAAYVLDTPEMRRVRETQRHISTVKYHEDFEKHKGCFTPVVTDPITERVKKNMQDFSDINYRGIQRKVVEMEQKRNDQDQETITGLRVWRTNPGSVFDYDPAEDNIQSRSLHMINVQAQRRSREQSRSASALSISGGEEKSEHSEAPDHHLSTYSDGGVFAVSTAYKHAKTTELPQQRSSSVATQQTTVSSIPSHPSTAGKIFRAMYDYMAADADEVSFKDGDAIINVQAIDEGWMYGTVQRTGRTGMLPANYVEAI
+>DECOY_sp|P20929|NEBU_HUMAN Nebulin OS=Homo sapiens OX=9606 GN=NEB PE=1 SV=5
+IAEVYNAPLMGTRGTRQVTGYMWGEDIAQVNIIADGDKFSVEDADAAMYDYMARFIKGATSPHSPISSVTTQQTAVSSSRQQPLETTKAHKYATSVAFVGGDSYTSLHHDPAESHESKEEGGSISLASASRSQERSRRQAQVNIMHLSRSQINDEAPDYDFVSGPNTRWVRLGTITEQDQDNRKQEMEVVKRQIGRYNIDSFDQMNKKVRETIPDTVVPTFCGKHKEFDEHYKVTSIHRQTERVRRMEPTDLVYAAKGQIQKRFSDSYLVSSINEQNRKAREMEPTVPTPTAKRMNEKYLVSSFNEQNRKVREMEPTFPTPTAKGLNEKYLVSSINEQNRKVRQMEPTVPTATAKGVNEKYLVSSINEQNHKVREMEPTVALPTGKGMNEKYLVSSFNEQNRKVREMEPTIPTPIGKGLNEKYLVSSFNEQNRKVREIEPTVTTPIGTGLNEKYMVSSIHKQTEKVRKVEPLDPIPTGQGIAEKYKVSSIHDQTQKVRMMEPTKGIATGPSVDEKYLVSSFNKQNEKVRLIEPTDQVVTIKGKSNKLDCQYQLLSFNKQNERVRQIEPTDLVTEYYSMSKEADEKYKKQSSLNKVQQAHIIEPTDVVSTFNAKEMEASQKYKIQSAIDTANRARMFDPTENAMANLGRGKVELELDRKYEKENLIQTANKARLMDPTDEMETLGKGRISEELDKRYEKESAIKTAYKVHLLAPTVELGTLNRGKISEEYDKRYEKGSQMQQSEKATIYTPTEFDLMPKGREKEYKEKYKVISQLETAEKLHLQELNDPITHYHGKSKEYKKKYQYSSALQSTNLVHNMILSEKMDTVPKHLKKLDAKYKVESALNNADKMVKYLQSDKPNYKPTKGKEKDFNERYKVQSSLKAAKKAMEIDPRGLMSLGHSGEKRHKARYEVESAQKAAQTAKKIDPRDAVTTYHLNEKADKRYAVDSQKKAVEMAHKVEPPELMISYNSKGKEKVFKKKYNTDSVHKTVEKVHVTDRTEPLTTYSGQALHKKVHDKYKNESVLNSVTKALLIQPTDPVAHCTGREKVHKEKYKLDSEILTNRLALVTNKDDTVSLKCGKLFERDLKYEFDSQQLSSKAAQLFDPRDPTWKWHAKNAEYQKKYDLNTNVKYADKAAKIHVADPEITCNSKNRQYVEKYKLDSVEDKVKRVHLLMPTDHITTYGRPKAKNYELKYLIDSIQKNVKTTRLHEPTDVISTFKDKSKEYNKRYKIQSIQDGATKALLHRPEDVVLHYKDKRQEYDARYKKENMLQTAYKFHRNIPSDAVYKFDSKTREFVEKYKYKSYLTKNKEALTFDPTDYAYCGIGKLWTLDEKYKVDSVTDTVARHHFHEPRDPISRWIGLQSMYNEKYKIKSIDDTVKRHYVIDLADKVTHYKGKTKQFTEKYRLDSANWYARKARILIPTDPVPTYKDKMKEYQIKYKKESVMDKVDKAHKLATTQNGAHHHPREKTALEVYLYQSQLKQGRKARLLDPTDPVSTFISKQKKGAARYVLESLHTKLEQVKRYEPTDLVVKYKDRMKRAKDTYLYNSWLKRLHRSRVMDPTDYLFCGFGKLVQLDEKYKIDSTIDGVYRCHIVQPTYPLSIMHGQMKFFDSKYILESVAEQTKKVQRIEPTDPTTHIKDKLKHYLERYLRESTVNNVRRVHVFPVDKPGLTYGYAKTHEYAEKYKFYSSMYRTDKIHKFHPTDGPLRYGTPLTRLSTKYLNSSQLKYAHLARDLDVTKTTPAVKGRVSHVYDYHYVADSLQKGSKVAQVYVPTDTVLKYDNRTKLMHAKYKIDDRLDYAHKAHLIEPTDWVYCGIGKLWNLDDKYVIDSIQDTVKRVHDNVQLDPHCIWEHLRLRYDIESVKKQSDKATVMEVMDPVIHIKMKNKEYVSRYLRDINLKGVRLCRATNPDDDVSRPLTYLAKFKEYEERYKIHSALHKQNKAHTLSLTSSPLIYSTAKVRDWAEKYLNSSYLNKLNRTRDYDVTELVTTYKDKIQHYNEKYKVESANIGSQVATVYLPTDTVLNYNQLNEKGAATYQLQSQLDYSKKAHLIQPTDWVYCGIGKLWNLDDKYVNDSLIEQANRVRIVNPEELLSTWQHFYHRYELDSLIYQCRKALVHNMMDNPIHVNAKTDEYHKRYNLASRMKQFDLCHVVGPFEGIVKGALYHGKQKEYTEKYKLESNVLDAHKAGVIPIYREDLTYGYAKTGEWAGKYLITSYLKGMDKSHSIHPTDPSFTYKGKAKNFTEKYKVDSQNVSNIKALVIEPPDVVSRFNPYNELANKKYKIQSMMEQNKTVRVHDVSDLPIWGIGRLWELDARYVNDSQLDYAKRAQIVDNQDPHCMWQHLYNRYDIDSVLTQGQKAALVSVMDAPINIKAKTKHYDEKYLRENQIQGAHEFWVLKPDDRMTPVGVYHGKQKVHEAKYKLESQVDNCHHAHVFPVADPPLIYGTAKQREWGEKYLYQDLIRAAEQATHLLPTETLTYKSKTANWDKRYKIDDLIEGSQKATVYDVRDDVPTFNLTEIKTRYKKESFIDAAHKAHNVSVSDNPMWGIGRLWELDAKYVNDSQLEYAKKAQIVDNQDPMCTWQHLYNRYDMDSVLAQSNKSHLISLMDVPSQIKAKWKAWDLRYERENQLKDAILAWRIKNDDRATLTGVYHGKQKEHDLKYKYDSAIERSAKAAQIPIADLRVDCGAKMEDWGERYLKNSVNLANARALNIEPTDPMIHISTKDKDWVERYKPISINEANSKALVVEPTDVISTYKLNVVPTRYVSDCFINQANKVRLVEPSGQPMWGIGRLWELDAKYLADSQLDYAKKAQIIDNQDPMCTWEHLYNRYDIDTVLTQCKKALLISLMDVPSSFKTKWKQFEKKYERESQIKGAHIACMIKPDDEISQAGIHHGKQKEYAEKYKYDSAIDRSAKASKISIADARLDYDKMKSEDWGKTYLKQSINASNSKALLIDPTDPMVHISTKDADWAETYLHKSMTLANNKALVIEPTDTICTFKLQDAPQRYKRDSLIEGARKVKEVEVSGIPVWGIGRLWELDSKYIADSQLDYAKRAQIVDNQDPLCTWEHLPHKYDIDSVLIQCKKALVVGLMDVPSSFKTKWKEFEKKYERDSQIKAVHISWMMKPDDKINRAGIHHGLQKRYGEKYKYDSAIDRSAKAAQIPIADLRLDYGEKKSEELALKYLKDSYNIRNLKALMIEPTDPMVHITKKDNDWAETYLRKNMNLANNKALVQEPTDTISTFKLTEPRQRYITDSLLEGARKARVVELSDIPVWGIGKMWELDSKYLNDSQLDYAKRAHIIDNQDPLCIWEHLPHKYDVDSVLTQCKKALVIGLMDVPSSYRTKYKEFDKKYERDSQVKAIHLSWMIKPDDHVARAGIHHGLQKRYAEKYKYDSAIDRSAKAAVIPIADSRLDYGEKKAEEMAQRYLSESYNIKNQRALMIEPTDPMIHVQTKDKDWAETYLRKNMNLANNKALVQELSDTVSTFKLKDPPQRYINDSLIEAARKCKVVDMSGIPVWGIGRLWQLDSKYINDSQLDYAQRAHIVDNQDPLCTWEHLYNKYDVDSVLTQCKKALVVGLMDVPSSFKTKWKEFDKKYERDSQIKAVHMSWMMKPDDEINRAGIHHGLQKRYGDKYKYDSIVDRSAKAAVIPIADSRLDYGKKKAEENALKYLTESYNIKNQRALMIEPTDPMIHIQTKDKDWAETYLRKNMTIANNKALVQELSDTVSTFKFRDPPQRYIKDSLIETARKCKEVDLSGISVWGIGRMWQLDSKYMNDSQLDYAQRAHIVDSQDPLCTWQHLYNKYDVDSVLTQCKKALVVGLMDVPSSFKTKWKEFDKKYERDSQIKAVHMSWMMKPDDRIARAGIHHGLQKRYGEKYKFESAIDRSAKAAKIPIADVRMDYGKRKAEELGLKYLKESYNVKNQKALLVEPTDPMIHVTTKDKDWAETYLRHNMTIANNKALVMPISDMLSSFKFQDPHQRYVHDSLIQTARKNKEDELSGSTMWGIGKLWQLDSKYLNDSQLDYAQRAHIVDSQDPLCTWQHLYNKYDVDSVLTQCKKALVVGLMDVPSSFKTKWKEFDKKYERDSQIKAVHMSWMMKPDDEINRAGIHHGLQKRFGEKYKYESAIERSAKAAKIPIADVPLDYGKRKLEEYGMRYLKDSTNILNAKALVIDPTDPMIHIQTKDKDWAERYLRDSRNKANTKALVIDMADPISTFKNRDPPQRYKKESIIESARKNKEAELSGLPSWGIGRLWELDSKYLNESQLEYVKKAQVVDNQDPLCTWQHLYNKYDVDSVLEQCKKALVVGLMDVPSSFKTKWKEFDKKYERESQMKAVNMSLVLKPDDQLSRFGVHHGLQKRYGQKYKYDSAIDRSAKALQVSIADVPLDYGKKLAEEWGLKYLKQSYLTQNQKAQLIDPTDPMVHIKTKDKNWDITYLHKNMTHANQKALVMDMSDTLKKFQFNSPHQRYKQDSAYETAKKAKEVELSGAPSWGLGKYWENYDQKYENDSQIRNMNRTLEINMADPLLIYKHILHKYNTNTINAQADKAATVSLMDAPTHYSTKTNEYNKKYERDSQMKAVQMSHVLKPDDELSRFGVMKGKGKEYNYKYKYDSAIDRSAKAAKIPIADPRLDYGKKKSEELSLKYLKDSMNIANAKALIIQPIDPMIHVKTKDAEWAQKYLHENMIKANNQALVMNMSDMLTSYKLTDPHQRYKKESIIEMAKKNKEAELSGLPLWGIGKMFDAYDAKYQNDSQIQMMNKALEFSMADPLMNYTHIVNRYNANTAVEQSKKAAVVSLMDVPTHFSTKSKEYGKKYERDSQMKAVQMFHVLKPDDELSRFGIHKGKAQEYAKKYKYDSAIDRSARAAKIAIADPRLDYGKKKEEEWGAKYLKDSMTIQNVRSLLIDPTDPMVHITTKDKNWKETYLRKDMNLKNSKNLAQEMTDMAYTFKLKEPHQRYKKESLIEGAKKAKEVELSEIPVWGIGKMWNTFDSKYLNDSQIQMANRSHEVNLADPLLTYHHYSQRYNANTAVEQSKKAATVSVMDLPTHYKTKSAEYGKKYERDSQIKAVNMYHVLKPDDQLSLFGVQKGKAKEYAEKYKCDSAINRSSKAAVIPIADPRLDYGKAITKKWDAKYHADSMNLANVKAQIFQPLEPDITYKHKLKEGEVKYNLDSLQKTNHQALVMGMSDPVSTFKVTDPHQRYKRENLADGAKKVKEVELSGIPIWGIGKLFSNYEDKYVNDSQIQNVNRTHELSMADPLYTYHHLPQKYNVNTAVDQAMKAATISVMDGPTHYSTKTNEYNKKYERDSQLKAVNMYHVLKPDDQLSRFGVHKGKSKEYAEKYKYDSAINRSAKAATIPIADGLVNNGKAILDYWDRKYCVDSINYANCKAQLFQPLDPSMTYKHKVDEGKAKYLIDSVQKTNQKAQVMVPSDVISTFKLTDPHQRYKKENLADGAKKVKEVDLSGIPIWGIGKMWNNYDEKYVNDSQIQMMNKSLELDMADPLYTYHHLSHKYNVNSVVDQAKKAAVVNFMDHPTNYKSKTKEYDKKYERDSQIKAVNMYHVLKPDDQLSQFGVMKGKAKEYDKKYQVDSAAQRAAKAARIPIADTRLDYGKKSLDKLDAKYMNESINYANVKAQIFQPLDPPLNYKHIIEEGKAKYAIDSRQAQNIKSQVTIPADEISTFKLTDPHQRYKKENLIDSARKAKEMELSGFPVWGCGKMWSNYDAKYEVDSQLAYAKKALDVNISDPPYSYSHLIHKYDVDSAIAQSKKAQTVQLMDLPATYITKSKEYDKRYERDSQNKATKLSHLMKPDDNISLAGIMKGKSKEYDKKYMVDSTNKTNAKAALLPIADVKIDFKKAKSKEWDQKYVNDSLNYANVRHQIFQPADAPIHCKFKEGEHKAKYNLDSLQKTNLQAQLLVPSDTVATFKTKDPHVKYTHDKCQDLKKIAEYEQTITQPFYCKGKDEEYEAKYSKDSNQAAVKMCHTHYPDEMSGVYHGLVDKVYLDKYRAESFNKLQTDLQYKPTECYNMSKAKTKEYNEKYLRDSQNKAVKLSHLMKPDDNISLVGIMKGKNKEYDKKYMVDSTNKTNAKAALLPIADVKIDFKKAKSKEWDQKYLNDSLNYANVKHQIFAPTDPPIHCKFKESEHKAKYNLDSLQKSNVQAQLLVPSDTVQTFKTKDPHVKYTHDKCQDLKKIAEYEQTITQPFFGKGRDEEYEAKYNKDSNQATVKMCHSHYPDEFSGVYHGLIDKLYSDKYKKDSSFNQLVTDLKFKPTECYNISKAKTKEYNEKYLKDSLADGAAKLQRLQPNESAPLVNYDAKGKNKEYDEKYKVKSAAVGAKKNMKYEPTETQMFYIQDKMNEFDEQYKRTSINDANMRANAVEFCPTESWKGKIKNEYDEKYKQKSVQNTVKKAFEIDPPDALPTFQAQQEALGKKYAVDSLAKQAQAVRRLEPSDNYPYFLSKDAEWDETYLKKSFMATNKVAQVLEPADPPLLYKDKTDEWNQKYLVKSVQQSVKKAHEIDKAKEDVHCITKAVDGDMRYKVESLQDQVKKIRRLEPTDTTSAYPQGKTKEFKEKYKNPSFLDQMKQSHAIYPTMFKSPDVKKRIVKRREVPKASAPQALAPQALAPKSTESQEYDSTRTTTTEYIKTITEGPVEEYVVEETYYEVVEEYDEDDAM
+>sp|P29120|NEC1_HUMAN Neuroendocrine convertase 1 OS=Homo sapiens OX=9606 GN=PCSK1 PE=1 SV=2
+MERRAWSLQCTAFVLFCAWCALNSAKAKRQFVNEWAAEIPGGPEAASAIAEELGYDLLGQIGSLENHYLFKHKNHPRRSRRSAFHITKRLSDDDRVIWAEQQYEKERSKRSALRDSALNLFNDPMWNQQWYLQDTRMTAALPKLDLHVIPVWQKGITGKGVVITVLDDGLEWNHTDIYANYDPEASYDFNDNDHDPFPRYDPTNENKHGTRCAGEIAMQANNHKCGVGVAYNSKVGGIRMLDGIVTDAIEASSIGFNPGHVDIYSASWGPNDDGKTVEGPGRLAQKAFEYGVKQGRQGKGSIFVWASGNGGRQGDNCDCDGYTDSIYTISISSASQQGLSPWYAEKCSSTLATSYSSGDYTDQRITSADLHNDCTETHTGTSASAPLAAGIFALALEANPNLTWRDMQHLVVWTSEYDPLANNPGWKKNGAGLMVNSRFGFGLLNAKALVDLADPRTWRSVPEKKECVVKDNDFEPRALKANGEVIIEIPTRACEGQENAIKSLEHVQFEATIEYSRRGDLHVTLTSAAGTSTVLLAERERDTSPNGFKNWDFMSVHTWGENPIGTWTLRITDMSGRIQNEGRIVNWKLILHGTSSQPEHMKQPRVYTSYNTVQNDRRGVEKMVDPGEEQPTQENPKENTLVSKSPSSSSVGGRRDELEEGAPSQAMLRLLQSAFSKNSPPKQSPKKSPSAKLNIPYENFYEALEKLNKPSQLKDSEDSLYNDYVDVFYNTKPYKHRDDRLLQALVDILNEEN
+>DECOY_sp|P29120|NEC1_HUMAN Neuroendocrine convertase 1 OS=Homo sapiens OX=9606 GN=PCSK1 PE=1 SV=2
+NEENLIDVLAQLLRDDRHKYPKTNYFVDVYDNYLSDESDKLQSPKNLKELAEYFNEYPINLKASPSKKPSQKPPSNKSFASQLLRLMAQSPAGEELEDRRGGVSSSSPSKSVLTNEKPNEQTPQEEGPDVMKEVGRRDNQVTNYSTYVRPQKMHEPQSSTGHLILKWNVIRGENQIRGSMDTIRLTWTGIPNEGWTHVSMFDWNKFGNPSTDREREALLVTSTGAASTLTVHLDGRRSYEITAEFQVHELSKIANEQGECARTPIEIIVEGNAKLARPEFDNDKVVCEKKEPVSRWTRPDALDVLAKANLLGFGFRSNVMLGAGNKKWGPNNALPDYESTWVVLHQMDRWTLNPNAELALAFIGAALPASASTGTHTETCDNHLDASTIRQDTYDGSSYSTALTSSCKEAYWPSLGQQSASSISITYISDTYGDCDCNDGQRGGNGSAWVFISGKGQRGQKVGYEFAKQALRGPGEVTKGDDNPGWSASYIDVHGPNFGISSAEIADTVIGDLMRIGGVKSNYAVGVGCKHNNAQMAIEGACRTGHKNENTPDYRPFPDHDNDNFDYSAEPDYNAYIDTHNWELGDDLVTIVVGKGTIGKQWVPIVHLDLKPLAATMRTDQLYWQQNWMPDNFLNLASDRLASRKSREKEYQQEAWIVRDDDSLRKTIHFASRRSRRPHNKHKFLYHNELSGIQGLLDYGLEEAIASAAEPGGPIEAAWENVFQRKAKASNLACWACFLVFATCQLSWARREM
+>sp|P16519|NEC2_HUMAN Neuroendocrine convertase 2 OS=Homo sapiens OX=9606 GN=PCSK2 PE=1 SV=2
+MKGGCVSQWKAAAGFLFCVMVFASAERPVFTNHFLVELHKGGEDKARQVAAEHGFGVRKLPFAEGLYHFYHNGLAKAKRRRSLHHKQQLERDPRVKMALQQEGFDRKKRGYRDINEIDINMNDPLFTKQWYLINTGQADGTPGLDLNVAEAWELGYTGKGVTIGIMDDGIDYLHPDLASNYNAEASYDFSSNDPYPYPRYTDDWFNSHGTRCAGEVSAAANNNICGVGVAYNSKVAGIRMLDQPFMTDIIEASSISHMPQLIDIYSASWGPTDNGKTVDGPRELTLQAMADGVNKGRGGKGSIYVWASGDGGSYDDCNCDGYASSMWTISINSAINDGRTALYDESCSSTLASTFSNGRKRNPEAGVATTDLYGNCTLRHSGTSAAAPEAAGVFALALEANLGLTWRDMQHLTVLTSKRNQLHDEVHQWRRNGVGLEFNHLFGYGVLDAGAMVKMAKDWKTVPERFHCVGGSVQDPEKIPSTGKLVLTLTTDACEGKENFVRYLEHVQAVITVNATRRGDLNINMTSPMGTKSILLSRRPRDDDSKVGFDKWPFMTTHTWGEDARGTWTLELGFVGSAPQKGVLKEWTLMLHGTQSAPYIDQVVRDYQSKLAMSKKEELEEELDEAVERSLKSILNKN
+>DECOY_sp|P16519|NEC2_HUMAN Neuroendocrine convertase 2 OS=Homo sapiens OX=9606 GN=PCSK2 PE=1 SV=2
+NKNLISKLSREVAEDLEEELEEKKSMALKSQYDRVVQDIYPASQTGHLMLTWEKLVGKQPASGVFGLELTWTGRADEGWTHTTMFPWKDFGVKSDDDRPRRSLLISKTGMPSTMNINLDGRRTANVTIVAQVHELYRVFNEKGECADTTLTLVLKGTSPIKEPDQVSGGVCHFREPVTKWDKAMKVMAGADLVGYGFLHNFELGVGNRRWQHVEDHLQNRKSTLVTLHQMDRWTLGLNAELALAFVGAAEPAAASTGSHRLTCNGYLDTTAVGAEPNRKRGNSFTSALTSSCSEDYLATRGDNIASNISITWMSSAYGDCNCDDYSGGDGSAWVYISGKGGRGKNVGDAMAQLTLERPGDVTKGNDTPGWSASYIDILQPMHSISSAEIIDTMFPQDLMRIGAVKSNYAVGVGCINNNAAASVEGACRTGHSNFWDDTYRPYPYPDNSSFDYSAEANYNSALDPHLYDIGDDMIGITVGKGTYGLEWAEAVNLDLGPTGDAQGTNILYWQKTFLPDNMNIDIENIDRYGRKKRDFGEQQLAMKVRPDRELQQKHHLSRRRKAKALGNHYFHYLGEAFPLKRVGFGHEAAVQRAKDEGGKHLEVLFHNTFVPREASAFVMVCFLFGAAAKWQSVCGGKM
+>sp|Q8N987|NECA1_HUMAN N-terminal EF-hand calcium-binding protein 1 OS=Homo sapiens OX=9606 GN=NECAB1 PE=1 SV=1
+MEDSQETSPSSNNSSEELSSALHLSKGMSIFLDILRRADKNDDGKLSFEEFKAYFADGVLSGEELHELFHTIDTHNTNNLDTEELCEYFSQHLGEYENVLAALEDLNLSILKAMGKTKKDYQEASNLEQFVTRFLLKETLNQLQSLQNSLECAMETTEEQTRQERQGPAKPEVLSIQWPGKRSSRRVQRHNSFSPNSPQFNVSGPGLLEEDNQWMTQINRLQKLIDRLEKKDLKLEPPEEEIIEGNTKSHIMLVQRQMSVIEEDLEEFQLALKHYVESASSQSGCLRISIQKLSNESRYMIYEFWENSSVWNSHLQTNYSKTFQRSNVDFLETPELTSTMLVPASWWILNN
+>DECOY_sp|Q8N987|NECA1_HUMAN N-terminal EF-hand calcium-binding protein 1 OS=Homo sapiens OX=9606 GN=NECAB1 PE=1 SV=1
+NNLIWWSAPVLMTSTLEPTELFDVNSRQFTKSYNTQLHSNWVSSNEWFEYIMYRSENSLKQISIRLCGSQSSASEVYHKLALQFEELDEEIVSMQRQVLMIHSKTNGEIIEEEPPELKLDKKELRDILKQLRNIQTMWQNDEELLGPGSVNFQPSNPSFSNHRQVRRSSRKGPWQISLVEPKAPGQREQRTQEETTEMACELSNQLSQLQNLTEKLLFRTVFQELNSAEQYDKKTKGMAKLISLNLDELAALVNEYEGLHQSFYECLEETDLNNTNHTDITHFLEHLEEGSLVGDAFYAKFEEFSLKGDDNKDARRLIDLFISMGKSLHLASSLEESSNNSSPSTEQSDEM
+>sp|Q96PU5|NED4L_HUMAN E3 ubiquitin-protein ligase NEDD4-like OS=Homo sapiens OX=9606 GN=NEDD4L PE=1 SV=2
+MATGLGEPVYGLSEDEGESRILRVKVVSGIDLAKKDIFGASDPYVKLSLYVADENRELALVQTKTIKKTLNPKWNEEFYFRVNPSNHRLLFEVFDENRLTRDDFLGQVDVPLSHLPTEDPTMERPYTFKDFLLRPRSHKSRVKGFLRLKMAYMPKNGGQDEENSDQRDDMEHGWEVVDSNDSASQHQEELPPPPLPPGWEEKVDNLGRTYYVNHNNRTTQWHRPSLMDVSSESDNNIRQINQEAAHRRFRSRRHISEDLEPEPSEGGDVPEPWETISEEVNIAGDSLGLALPPPPASPGSRTSPQELSEELSRRLQITPDSNGEQFSSLIQREPSSRLRSCSVTDAVAEQGHLPPPSAPAGRARSSTVTGGEEPTPSVAYVHTTPGLPSGWEERKDAKGRTYYVNHNNRTTTWTRPIMQLAEDGASGSATNSNNHLIEPQIRRPRSLSSPTVTLSAPLEGAKDSPVRRAVKDTLSNPQSPQPSPYNSPKPQHKVTQSFLPPGWEMRIAPNGRPFFIDHNTKTTTWEDPRLKFPVHMRSKTSLNPNDLGPLPPGWEERIHLDGRTFYIDHNSKITQWEDPRLQNPAITGPAVPYSREFKQKYDYFRKKLKKPADIPNRFEMKLHRNNIFEESYRRIMSVKRPDVLKARLWIEFESEKGLDYGGVAREWFFLLSKEMFNPYYGLFEYSATDNYTLQINPNSGLCNEDHLSYFTFIGRVAGLAVFHGKLLDGFFIRPFYKMMLGKQITLNDMESVDSEYYNSLKWILENDPTELDLMFCIDEENFGQTYQVDLKPNGSEIMVTNENKREYIDLVIQWRFVNRVQKQMNAFLEGFTELLPIDLIKIFDENELELLMCGLGDVDVNDWRQHSIYKNGYCPNHPVIQWFWKAVLLMDAEKRIRLLQFVTGTSRVPMNGFAELYGSNGPQLFTIEQWGSPEKLPRAHTCFNRLDLPPYETFEDLREKLLMAVENAQGFEGVD
+>DECOY_sp|Q96PU5|NED4L_HUMAN E3 ubiquitin-protein ligase NEDD4-like OS=Homo sapiens OX=9606 GN=NEDD4L PE=1 SV=2
+DVGEFGQANEVAMLLKERLDEFTEYPPLDLRNFCTHARPLKEPSGWQEITFLQPGNSGYLEAFGNMPVRSTGTVFQLLRIRKEADMLLVAKWFWQIVPHNPCYGNKYISHQRWDNVDVDGLGCMLLELENEDFIKILDIPLLETFGELFANMQKQVRNVFRWQIVLDIYERKNENTVMIESGNPKLDVQYTQGFNEEDICFMLDLETPDNELIWKLSNYYESDVSEMDNLTIQKGLMMKYFPRIFFGDLLKGHFVALGAVRGIFTFYSLHDENCLGSNPNIQLTYNDTASYEFLGYYPNFMEKSLLFFWERAVGGYDLGKESEFEIWLRAKLVDPRKVSMIRRYSEEFINNRHLKMEFRNPIDAPKKLKKRFYDYKQKFERSYPVAPGTIAPNQLRPDEWQTIKSNHDIYFTRGDLHIREEWGPPLPGLDNPNLSTKSRMHVPFKLRPDEWTTTKTNHDIFFPRGNPAIRMEWGPPLFSQTVKHQPKPSNYPSPQPSQPNSLTDKVARRVPSDKAGELPASLTVTPSSLSRPRRIQPEILHNNSNTASGSAGDEALQMIPRTWTTTRNNHNVYYTRGKADKREEWGSPLGPTTHVYAVSPTPEEGGTVTSSRARGAPASPPPLHGQEAVADTVSCSRLRSSPERQILSSFQEGNSDPTIQLRRSLEESLEQPSTRSGPSAPPPPLALGLSDGAINVEESITEWPEPVDGGESPEPELDESIHRRSRFRRHAAEQNIQRINNDSESSVDMLSPRHWQTTRNNHNVYYTRGLNDVKEEWGPPLPPPPLEEQHQSASDNSDVVEWGHEMDDRQDSNEEDQGGNKPMYAMKLRLFGKVRSKHSRPRLLFDKFTYPREMTPDETPLHSLPVDVQGLFDDRTLRNEDFVEFLLRHNSPNVRFYFEENWKPNLTKKITKTQVLALERNEDAVYLSLKVYPDSAGFIDKKALDIGSVVKVRLIRSEGEDESLGYVPEGLGTAM
+>sp|Q15843|NEDD8_HUMAN NEDD8 OS=Homo sapiens OX=9606 GN=NEDD8 PE=1 SV=1
+MLIKVKTLTGKEIEIDIEPTDKVERIKERVEEKEGIPPQQQRLIYSGKQMNDEKTAADYKILGGSVLHLVLALRGGGGLRQ
+>DECOY_sp|Q15843|NEDD8_HUMAN NEDD8 OS=Homo sapiens OX=9606 GN=NEDD8 PE=1 SV=1
+QRLGGGGRLALVLHLVSGGLIKYDAATKEDNMQKGSYILRQQQPPIGEKEEVREKIREVKDTPEIDIEIEKGTLTKVKILM
+>sp|Q9H3P2|NELFA_HUMAN Negative elongation factor A OS=Homo sapiens OX=9606 GN=NELFA PE=1 SV=3
+MASMRESDTGLWLHNKLGATDELWAPPSIASLLTAAVIDNIRLCFHGLSSAVKLKLLLGTLHLPRRTVDEMKGALMEIIQLASLDSDPWVLMVADILKSFPDTGSLNLELEEQNPNVQDILGELREKVGECEASAMLPLECQYLNKNALTTLAGPLTPPVKHFQLKRKPKSATLRAELLQKSTETAQQLKRSAGVPFHAKGRGLLRKMDTTTPLKGIPKQAPFRSPTAPSVFSPTGNRTPIPPSRTLLRKERGVKLLDISELDMVGAGREAKRRRKTLDAEVVEKPAKEETVVENATPDYAAGLVSTQKLGSLNNEPALPSTSYLPSTPSVVPASSYIPSSETPPAPSSREASRPPEEPSAPSPTLPAQFKQRAPMYNSGLSPATPTPAAPTSPLTPTTPPAVAPTTQTPPVAMVAPQTQAPAQQQPKKNLSLTREQMFAAQEMFKTANKVTRPEKALILGFMAGSRENPCQEQGDVIQIKLSEHTEDLPKADGQGSTTMLVDTVFEMNYATGQWTRFKKYKPMTNVS
+>DECOY_sp|Q9H3P2|NELFA_HUMAN Negative elongation factor A OS=Homo sapiens OX=9606 GN=NELFA PE=1 SV=3
+SVNTMPKYKKFRTWQGTAYNMEFVTDVLMTTSGQGDAKPLDETHESLKIQIVDGQEQCPNERSGAMFGLILAKEPRTVKNATKFMEQAAFMQERTLSLNKKPQQQAPAQTQPAVMAVPPTQTTPAVAPPTTPTLPSTPAAPTPTAPSLGSNYMPARQKFQAPLTPSPASPEEPPRSAERSSPAPPTESSPIYSSAPVVSPTSPLYSTSPLAPENNLSGLKQTSVLGAAYDPTANEVVTEEKAPKEVVEADLTKRRRKAERGAGVMDLESIDLLKVGREKRLLTRSPPIPTRNGTPSFVSPATPSRFPAQKPIGKLPTTTDMKRLLGRGKAHFPVGASRKLQQATETSKQLLEARLTASKPKRKLQFHKVPPTLPGALTTLANKNLYQCELPLMASAECEGVKERLEGLIDQVNPNQEELELNLSGTDPFSKLIDAVMLVWPDSDLSALQIIEMLAGKMEDVTRRPLHLTGLLLKLKVASSLGHFCLRINDIVAATLLSAISPPAWLEDTAGLKNHLWLGTDSERMSAM
+>sp|O60524|NEMF_HUMAN Nuclear export mediator factor NEMF OS=Homo sapiens OX=9606 GN=NEMF PE=1 SV=4
+MKSRFSTIDLRAVLAELNASLLGMRVNNVYDVDNKTYLIRLQKPDFKATLLLESGIRIHTTEFEWPKNMMPSSFAMKCRKHLKSRRLVSAKQLGVDRIVDFQFGSDEAAYHLIIELYDRGNIVLTDYEYVILNILRFRTDEADDVKFAVRERYPLDHARAAEPLLTLERLTEIVASAPKGELLKRVLNPLLPYGPALIEHCLLENGFSGNVKVDEKLETKDIEKVLVSLQKAEDYMKTTSNFSGKGYIIQKREIKPSLEADKPVEDILTYEEFHPFLFSQHSQCPYIEFESFDKAVDEFYSKIEGQKIDLKALQQEKQALKKLDNVRKDHENRLEALQQAQEIDKLKGELIEMNLQIVDRAIQVVRSALANQIDWTEIGLIVKEAQAQGDPVASAIKELKLQTNHVTMLLRNPYLLSEEEDDDVDGDVNVEKNETEPPKGKKKKQKNKQLQKPQKNKPLLVDVDLSLSAYANAKKYYDHKRYAAKKTQKTVEAAEKAFKSAEKKTKQTLKEVQTVTSIQKARKVYWFEKFLWFISSENYLIIGGRDQQQNEIIVKRYLTPGDIYVHADLHGATSCVIKNPTGEPIPPRTLTEAGTMALCYSAAWDARVITSAWWVYHHQVSKTAPTGEYLTTGSFMIRGKKNFLPPSYLMMGFSFLFKVDESCVWRHQGERKVRVQDEDMETLASCTSELISEEMEQLDGGDTSSDEDKEEHETPVEVELMTQVDQEDITLQSGRDELNEELIQEESSEDEGEYEEVRKDQDSVGEMKDEGEETLNYPDTTIDLSHLQPQRSIQKLASKEESSNSSDSKSQSRRHLSAKERREMKKKKLPSDSGDLEALEGKDKEKESTVHIETHQNTSKNVAAVQPMKRGQKSKMKKMKEKYKDQDEEDRELIMKLLGSAGSNKEEKGKKGKKGKTKDEPVKKQPQKPRGGQRVSDNIKKETPFLEVITHELQDFAVDDPHDDKEEQDLDQQGNEENLFDSLTGQPHPEDVLLFAIPICAPYTTMTNYKYKVKLTPGVQKKGKAAKTALNSFMHSKEATAREKDLFRSVKDTDLSRNIPGKVKVSAPNLLNVKRK
+>DECOY_sp|O60524|NEMF_HUMAN Nuclear export mediator factor NEMF OS=Homo sapiens OX=9606 GN=NEMF PE=1 SV=4
+KRKVNLLNPASVKVKGPINRSLDTDKVSRFLDKERATAEKSHMFSNLATKAAKGKKQVGPTLKVKYKYNTMTTYPACIPIAFLLVDEPHPQGTLSDFLNEENGQQDLDQEEKDDHPDDVAFDQLEHTIVELFPTEKKINDSVRQGGRPKQPQKKVPEDKTKGKKGKKGKEEKNSGASGLLKMILERDEEDQDKYKEKMKKMKSKQGRKMPQVAAVNKSTNQHTEIHVTSEKEKDKGELAELDGSDSPLKKKKMERREKASLHRRSQSKSDSSNSSEEKSALKQISRQPQLHSLDITTDPYNLTEEGEDKMEGVSDQDKRVEEYEGEDESSEEQILEENLEDRGSQLTIDEQDVQTMLEVEVPTEHEEKDEDSSTDGGDLQEMEESILESTCSALTEMDEDQVRVKREGQHRWVCSEDVKFLFSFGMMLYSPPLFNKKGRIMFSGTTLYEGTPATKSVQHHYVWWASTIVRADWAASYCLAMTGAETLTRPPIPEGTPNKIVCSTAGHLDAHVYIDGPTLYRKVIIENQQQDRGGIILYNESSIFWLFKEFWYVKRAKQISTVTQVEKLTQKTKKEASKFAKEAAEVTKQTKKAAYRKHDYYKKANAYASLSLDVDVLLPKNKQPKQLQKNKQKKKKGKPPETENKEVNVDGDVDDDEEESLLYPNRLLMTVHNTQLKLEKIASAVPDGQAQAEKVILGIETWDIQNALASRVVQIARDVIQLNMEILEGKLKDIEQAQQLAELRNEHDKRVNDLKKLAQKEQQLAKLDIKQGEIKSYFEDVAKDFSEFEIYPCQSHQSFLFPHFEEYTLIDEVPKDAELSPKIERKQIIYGKGSFNSTTKMYDEAKQLSVLVKEIDKTELKEDVKVNGSFGNELLCHEILAPGYPLLPNLVRKLLEGKPASAVIETLRELTLLPEAARAHDLPYRERVAFKVDDAEDTRFRLINLIVYEYDTLVINGRDYLEIILHYAAEDSGFQFDVIRDVGLQKASVLRRSKLHKRCKMAFSSPMMNKPWEFETTHIRIGSELLLTAKFDPKQLRILYTKNDVDYVNNVRMGLLSANLEALVARLDITSFRSKM
+>sp|O14524|NEMP1_HUMAN Nuclear envelope integral membrane protein 1 OS=Homo sapiens OX=9606 GN=NEMP1 PE=1 SV=2
+MAGGMKVAVSPAVGPGPWGSGVGGGGTVRLLLILSGCLVYGTAETDVNVVMLQESQVCEKRASQQFCYTNVLIPKWHDIWTRIQIRVNSSRLVRVTQVENEEKLKELEQFSIWNFFSSFLKEKLNDTYVNVGLYSTKTCLKVEIIEKDTKYSVIVIRRFDPKLFLVFLLGLMLFFCGDLLSRSQIFYYSTGMTVGIVASLLIIIFILSKFMPKKSPIYVILVGGWSFSLYLIQLVFKNLQEIWRCYWQYLLSYVLTVGFMSFAVCYKYGPLENERSINLLTWTLQLMGLCFMYSGIQIPHIALAIIIIALCTKNLEHPIQWLYITCRKVCKGAEKPVPPRLLTEEEYRIQGEVETRKALEELREFCNSPDCSAWKTVSRIQSPKRFADFVEGSSHLTPNEVSVHEQEYGLGSIIAQDEIYEEASSEEEDSYSRCPAITQNNFLT
+>DECOY_sp|O14524|NEMP1_HUMAN Nuclear envelope integral membrane protein 1 OS=Homo sapiens OX=9606 GN=NEMP1 PE=1 SV=2
+TLFNNQTIAPCRSYSDEEESSAEEYIEDQAIISGLGYEQEHVSVENPTLHSSGEVFDAFRKPSQIRSVTKWASCDPSNCFERLEELAKRTEVEGQIRYEEETLLRPPVPKEAGKCVKRCTIYLWQIPHELNKTCLAIIIIALAIHPIQIGSYMFCLGMLQLTWTLLNISRENELPGYKYCVAFSMFGVTLVYSLLYQWYCRWIEQLNKFVLQILYLSFSWGGVLIVYIPSKKPMFKSLIFIIILLSAVIGVTMGTSYYFIQSRSLLDGCFFLMLGLLFVLFLKPDFRRIVIVSYKTDKEIIEVKLCTKTSYLGVNVYTDNLKEKLFSSFFNWISFQELEKLKEENEVQTVRVLRSSNVRIQIRTWIDHWKPILVNTYCFQQSARKECVQSEQLMVVNVDTEATGYVLCGSLILLLRVTGGGGVGSGWPGPGVAPSVAVKMGGAM
+>sp|A6NFY4|NEMP2_HUMAN Nuclear envelope integral membrane protein 2 OS=Homo sapiens OX=9606 GN=NEMP2 PE=2 SV=3
+MGPRQGRWWLLLWLPPLATLPVRGEAAAAALSVRRCKALKEKDLIRTSESDCYCYNQNSQVEWKYIWSTMQVKITSPGLFRIVYIAERHNCQYPENILSFIKCVIHNFWIPKESNEITIIINPYRETVCFSVEPVKKIFNYMIHVNRNIMDFKLFLVFVAGVFLFFYARTLSQSPTFYYSSGTVLGVLMTLVFVLLLVKRFIPKYSTFWALMVGCWFASVYIVCQLMEDLKWLWYENRIYVLGYVLIVGFFSFVVCYKHGPLADDRSRSLLMWMLRLLSLVLVYAGVAVPQFAYAAIILLMSSWSLHYPLRACSYMRWKMEQWFTSKELVVKYLTEDEYREQADAETNSALEELRRACRKPDFPSWLVVSRLHTPSKFADFVLGGSHLSPEEISLHEEQYGLGGAFLEEQLFNPSTA
+>DECOY_sp|A6NFY4|NEMP2_HUMAN Nuclear envelope integral membrane protein 2 OS=Homo sapiens OX=9606 GN=NEMP2 PE=2 SV=3
+ATSPNFLQEELFAGGLGYQEEHLSIEEPSLHSGGLVFDAFKSPTHLRSVVLWSPFDPKRCARRLEELASNTEADAQERYEDETLYKVVLEKSTFWQEMKWRMYSCARLPYHLSWSSMLLIIAAYAFQPVAVGAYVLVLSLLRLMWMLLSRSRDDALPGHKYCVVFSFFGVILVYGLVYIRNEYWLWKLDEMLQCVIYVSAFWCGVMLAWFTSYKPIFRKVLLLVFVLTMLVGLVTGSSYYFTPSQSLTRAYFFLFVGAVFVLFLKFDMINRNVHIMYNFIKKVPEVSFCVTERYPNIIITIENSEKPIWFNHIVCKIFSLINEPYQCNHREAIYVIRFLGPSTIKVQMTSWIYKWEVQSNQNYCYCDSESTRILDKEKLAKCRRVSLAAAAAEGRVPLTALPPLWLLLWWRGQRPGM
+>sp|Q9UMX5|NENF_HUMAN Neudesin OS=Homo sapiens OX=9606 GN=NENF PE=1 SV=1
+MVGPAPRRRLRPLAALALVLALAPGLPTARAGQTPRPAERGPPVRLFTEEELARYGGEEEDQPIYLAVKGVVFDVTSGKEFYGRGAPYNALTGKDSTRGVAKMSLDPADLTHDTTGLTAKELEALDEVFTKVYKAKYPIVGYTARRILNEDGSPNLDFKPEDQPHFDIKDEF
+>DECOY_sp|Q9UMX5|NENF_HUMAN Neudesin OS=Homo sapiens OX=9606 GN=NENF PE=1 SV=1
+FEDKIDFHPQDEPKFDLNPSGDENLIRRATYGVIPYKAKYVKTFVEDLAELEKATLGTTDHTLDAPDLSMKAVGRTSDKGTLANYPAGRGYFEKGSTVDFVVGKVALYIPQDEEEGGYRALEEETFLRVPPGREAPRPTQGARATPLGPALALVLALAALPRLRRRPAPGVM
+>sp|Q92979|NEP1_HUMAN Ribosomal RNA small subunit methyltransferase NEP1 OS=Homo sapiens OX=9606 GN=EMG1 PE=1 SV=4
+MAAPSDGFKPRERSGGEQAQDWDALPPKRPRLGAGNKIGGRRLIVVLEGASLETVKVGKTYELLNCDKHKSILLKNGRDPGEARPDITHQSLLMLMDSPLNRAGLLQVYIHTQKNVLIEVNPQTRIPRTFDRFCGLMVQLLHKLSVRAADGPQKLLKVIKNPVSDHFPVGCMKVGTSFSIPVVSDVRELVPSSDPIVFVVGAFAHGKVSVEYTEKMVSISNYPLSAALTCAKLTTAFEEVWGVI
+>DECOY_sp|Q92979|NEP1_HUMAN Ribosomal RNA small subunit methyltransferase NEP1 OS=Homo sapiens OX=9606 GN=EMG1 PE=1 SV=4
+IVGWVEEFATTLKACTLAASLPYNSISVMKETYEVSVKGHAFAGVVFVIPDSSPVLERVDSVVPISFSTGVKMCGVPFHDSVPNKIVKLLKQPGDAARVSLKHLLQVMLGCFRDFTRPIRTQPNVEILVNKQTHIYVQLLGARNLPSDMLMLLSQHTIDPRAEGPDRGNKLLISKHKDCNLLEYTKGVKVTELSAGELVVILRRGGIKNGAGLRPRKPPLADWDQAQEGGSRERPKFGDSPAAM
+>sp|O00634|NET3_HUMAN Netrin-3 OS=Homo sapiens OX=9606 GN=NTN3 PE=1 SV=1
+MPGWPWGLLLTAGTLFAALSPGPPAPADPCHDEGGAPRGCVPGLVNAALGREVLASSTCGRPATRACDASDPRRAHSPALLTSPGGTASPLCWRSESLPRAPLNVTLTVPLGKAFELVFVSLRFCSAPPASVALLKSQDHGRSWAPLGFFSSHCDLDYGRLPAPANGPAGPGPEALCFPAPLAQPDGSGLLAFSMQDSSPPGLDLDSSPVLQDWVTATDVRVVLTRPSTAGDPRDMEAVVPYSYAATDLQVGGRCKCNGHASRCLLDTQGHLICDCRHGTEGPDCGRCKPFYCDRPWQRATARESHACLACSCNGHARRCRFNMELYRLSGRRSGGVCLNCRHNTAGRHCHYCREGFYRDPGRALSDRRACRACDCHPVGAAGKTCNQTTGQCPCKDGVTGLTCNRCAPGFQQSRSPVAPCVKTPIPGPTEDSSPVQPQDCDSHCKPARGSYRISLKKFCKKDYAVQVAVGARGEARGAWTRFPVAVLAVFRSGEERARRGSSALWVPAGDAACGCPRLLPGRRYLLLGGGPGAAAGGAGGRGPGLIAARGSLVLPWRDAWTRRLRRLQRRERRGRCSAA
+>DECOY_sp|O00634|NET3_HUMAN Netrin-3 OS=Homo sapiens OX=9606 GN=NTN3 PE=1 SV=1
+AASCRGRRERRQLRRLRRTWADRWPLVLSGRAAILGPGRGGAGGAAAGPGGGLLLYRRGPLLRPCGCAADGAPVWLASSGRRAREEGSRFVALVAVPFRTWAGRAEGRAGVAVQVAYDKKCFKKLSIRYSGRAPKCHSDCDQPQVPSSDETPGPIPTKVCPAVPSRSQQFGPACRNCTLGTVGDKCPCQGTTQNCTKGAAGVPHCDCARCARRDSLARGPDRYFGERCYHCHRGATNHRCNLCVGGSRRGSLRYLEMNFRCRRAHGNCSCALCAHSERATARQWPRDCYFPKCRGCDPGETGHRCDCILHGQTDLLCRSAHGNCKCRGGVQLDTAAYSYPVVAEMDRPDGATSPRTLVVRVDTATVWDQLVPSSDLDLGPPSSDQMSFALLGSGDPQALPAPFCLAEPGPGAPGNAPAPLRGYDLDCHSSFFGLPAWSRGHDQSKLLAVSAPPASCFRLSVFVLEFAKGLPVTLTVNLPARPLSESRWCLPSATGGPSTLLAPSHARRPDSADCARTAPRGCTSSALVERGLAANVLGPVCGRPAGGEDHCPDAPAPPGPSLAAFLTGATLLLGWPWGPM
+>sp|Q9HB63|NET4_HUMAN Netrin-4 OS=Homo sapiens OX=9606 GN=NTN4 PE=1 SV=2
+MGSCARLLLLWGCTVVAAGLSGVAGVSSRCEKACNPRMGNLALGRKLWADTTCGQNATELYCFYSENTDLTCRQPKCDKCNAAYPHLAHLPSAMADSSFRFPRTWWQSAEDVHREKIQLDLEAEFYFTHLIVMFKSPRPAAMVLDRSQDFGKTWKPYKYFATNCSATFGLEDDVVKKGAICTSKYSSPFPCTGGEVIFKALSPPYDTENPYSAKVQEQLKITNLRVQLLKRQSCPCQRNDLNEEPQHFTHYAIYDFIVKGSCFCNGHADQCIPVHGFRPVKAPGTFHMVHGKCMCKHNTAGSHCQHCAPLYNDRPWEAADGKTGAPNECRTCKCNGHADTCHFDVNVWEASGNRSGGVCDDCQHNTEGQYCQRCKPGFYRDLRRPFSAPDACKPCSCHPVGSAVLPANSVTFCDPSNGDCPCKPGVAGRRCDRCMVGYWGFGDYGCRPCDCAGSCDPITGDCISSHTDIDWYHEVPDFRPVHNKSEPAWEWEDAQGFSALLHSGKCECKEQTLGNAKAFCGMKYSYVLKIKILSAHDKGTHVEVNVKIKKVLKSTKLKIFRGKRTLYPESWTDRGCTCPILNPGLEYLVAGHEDIRTGKLIVNMKSFVQHWKPSLGRKVMDILKRECK
+>DECOY_sp|Q9HB63|NET4_HUMAN Netrin-4 OS=Homo sapiens OX=9606 GN=NTN4 PE=1 SV=2
+KCERKLIDMVKRGLSPKWHQVFSKMNVILKGTRIDEHGAVLYELGPNLIPCTCGRDTWSEPYLTRKGRFIKLKTSKLVKKIKVNVEVHTGKDHASLIKIKLVYSYKMGCFAKANGLTQEKCECKGSHLLASFGQADEWEWAPESKNHVPRFDPVEHYWDIDTHSSICDGTIPDCSGACDCPRCGYDGFGWYGVMCRDCRRGAVGPKCPCDGNSPDCFTVSNAPLVASGVPHCSCPKCADPASFPRRLDRYFGPKCRQCYQGETNHQCDDCVGGSRNGSAEWVNVDFHCTDAHGNCKCTRCENPAGTKGDAAEWPRDNYLPACHQCHSGATNHKCMCKGHVMHFTGPAKVPRFGHVPICQDAHGNCFCSGKVIFDYIAYHTFHQPEENLDNRQCPCSQRKLLQVRLNTIKLQEQVKASYPNETDYPPSLAKFIVEGGTCPFPSSYKSTCIAGKKVVDDELGFTASCNTAFYKYPKWTKGFDQSRDLVMAAPRPSKFMVILHTFYFEAELDLQIKERHVDEASQWWTRPFRFSSDAMASPLHALHPYAANCKDCKPQRCTLDTNESYFCYLETANQGCTTDAWLKRGLALNGMRPNCAKECRSSVGAVGSLGAAVVTCGWLLLLRACSGM
+>sp|Q8WTR8|NET5_HUMAN Netrin-5 OS=Homo sapiens OX=9606 GN=NTN5 PE=2 SV=2
+MPVTFALLLLLGQATADPCYDPQGRPQFCLPPVTQLAAVAASCPQACALSPGNHLGARETCNGSLTLALGGPFLLTSVSLRFCTPGPPALILSAAWASGGPWRLLWHRPAWPGALGGPERVTFHSTPGPKATVAASHLRVEFGGQAGLAAAGLRGRCQCHGHAARCAARARPPRCHCRHHTTGPGCESCRPSHRDWPWRPATPRHPHPCLPCSCNQHARRCRFNSELFRLSGGRSGGVCERCRHHTAGRHCHYCQPGFWRDPSQPIFSRRACRACQCHPIGATGGTCNQTSGQCTCKLGVTGLTCNRCGPGYQQSRSPRMPCQRIPEATTTLATTPGAYSSDPQCQNYCNMSDTRVHMSLRRYCQQDHVLRAQVLASEAAGPAWQRLAVRVLAVYKQRAQPVRRGDQDAWVPRADLTCGCLRLQPGTDYLLLGSAVGDPDPTRLILDRHGLALPWRPRWARPLKRLQQEERAGGCRGVRAPTPSPRPEH
+>DECOY_sp|Q8WTR8|NET5_HUMAN Netrin-5 OS=Homo sapiens OX=9606 GN=NTN5 PE=2 SV=2
+HEPRPSPTPARVGRCGGAREEQQLRKLPRAWRPRWPLALGHRDLILRTPDPDGVASGLLLYDTGPQLRLCGCTLDARPVWADQDGRRVPQARQKYVALVRVALRQWAPGAAESALVQARLVHDQQCYRRLSMHVRTDSMNCYNQCQPDSSYAGPTTALTTTAEPIRQCPMRPSRSQQYGPGCRNCTLGTVGLKCTCQGSTQNCTGGTAGIPHCQCARCARRSFIPQSPDRWFGPQCYHCHRGATHHRCRECVGGSRGGSLRFLESNFRCRRAHQNCSCPLCPHPHRPTAPRWPWDRHSPRCSECGPGTTHHRCHCRPPRARAACRAAHGHCQCRGRLGAAALGAQGGFEVRLHSAAVTAKPGPTSHFTVREPGGLAGPWAPRHWLLRWPGGSAWAASLILAPPGPTCFRLSVSTLLFPGGLALTLSGNCTERAGLHNGPSLACAQPCSAAVAALQTVPPLCFQPRGQPDYCPDATAQGLLLLLAFTVPM
+>sp|Q8NC67|NETO2_HUMAN Neuropilin and tolloid-like protein 2 OS=Homo sapiens OX=9606 GN=NETO2 PE=1 SV=1
+MALERLCSVLKVLLITVLVVEGIAVAQKTQDGQNIGIKHIPATQCGIWVRTSNGGHFASPNYPDSYPPNKECIYILEAAPRQRIELTFDEHYYIEPSFECRFDHLEVRDGPFGFSPLIDRYCGVKSPPLIRSTGRFMWIKFSSDEELEGLGFRAKYSFIPDPDFTYLGGILNPIPDCQFELSGADGIVRSSQVEQEEKTKPGQAVDCIWTIKATPKAKIYLRFLDYQMEHSNECKRNFVAVYDGSSSIENLKAKFCSTVANDVMLKTGIGVIRMWADEGSRLSRFRMLFTSFVEPPCTSSTFFCHSNMCINNSLVCNGVQNCAYPWDENHCKEKKKAGVFEQITKTHGTIIGITSGIVLVLLIISILVQVKQPRKKVMACKTAFNKTGFQEVFDPPHYELFSLRDKEISADLADLSEELDNYQKMRRSSTASRCIHDHHCGSQASSVKQSRTNLSSMELPFRNDFAQPQPMKTFNSTFKKSSYTFKQGHECPEQALEDRVMEEIPCEIYVRGREDSAQASISIDF
+>DECOY_sp|Q8NC67|NETO2_HUMAN Neuropilin and tolloid-like protein 2 OS=Homo sapiens OX=9606 GN=NETO2 PE=1 SV=1
+FDISISAQASDERGRVYIECPIEEMVRDELAQEPCEHGQKFTYSSKKFTSNFTKMPQPQAFDNRFPLEMSSLNTRSQKVSSAQSGCHHDHICRSATSSRRMKQYNDLEESLDALDASIEKDRLSFLEYHPPDFVEQFGTKNFATKCAMVKKRPQKVQVLISIILLVLVIGSTIGIITGHTKTIQEFVGAKKKEKCHNEDWPYACNQVGNCVLSNNICMNSHCFFTSSTCPPEVFSTFLMRFRSLRSGEDAWMRIVGIGTKLMVDNAVTSCFKAKLNEISSSGDYVAVFNRKCENSHEMQYDLFRLYIKAKPTAKITWICDVAQGPKTKEEQEVQSSRVIGDAGSLEFQCDPIPNLIGGLYTFDPDPIFSYKARFGLGELEEDSSFKIWMFRGTSRILPPSKVGCYRDILPSFGFPGDRVELHDFRCEFSPEIYYHEDFTLEIRQRPAAELIYICEKNPPYSDPYNPSAFHGGNSTRVWIGCQTAPIHKIGINQGDQTKQAVAIGEVVLVTILLVKLVSCLRELAM
+>sp|Q8WUJ1|NEUFC_HUMAN Neuferricin OS=Homo sapiens OX=9606 GN=CYB5D2 PE=2 SV=1
+MLRCGGRGLLLGLAVAAAAVMAARLMGWWGPRAGFRLFIPEELSRYRGGPGDPGLYLALLGRVYDVSSGRRHYEPGSHYSGFAGRDASRAFVTGDCSEAGLVDDVSDLSAAEMLTLHNWLSFYEKNYVCVGRVTGRFYGEDGLPTPALTQVEAAITRGLEANKLQLQEKQTFPPCNAEWSSARGSRLWCSQKSGGVSRDWIGVPRKLYKPGAKEPRCVCVRTTGPPSGQMPDNPPHRNRGDLDHPNLAEYTGCPPLAITCSFPL
+>DECOY_sp|Q8WUJ1|NEUFC_HUMAN Neuferricin OS=Homo sapiens OX=9606 GN=CYB5D2 PE=2 SV=1
+LPFSCTIALPPCGTYEALNPHDLDGRNRHPPNDPMQGSPPGTTRVCVCRPEKAGPKYLKRPVGIWDRSVGGSKQSCWLRSGRASSWEANCPPFTQKEQLQLKNAELGRTIAAEVQTLAPTPLGDEGYFRGTVRGVCVYNKEYFSLWNHLTLMEAASLDSVDDVLGAESCDGTVFARSADRGAFGSYHSGPEYHRRGSSVDYVRGLLALYLGPDGPGGRYRSLEEPIFLRFGARPGWWGMLRAAMVAAAAVALGLLLGRGGCRLM
+>sp|Q96EH8|NEUL3_HUMAN E3 ubiquitin-protein ligase NEURL3 OS=Homo sapiens OX=9606 GN=NEURL3 PE=2 SV=2
+MGAQLCFEANAKAPREALRFHAEAKGAQVRLDTRGCIAHRRTTFHDGIVFSQRPVRLGERVALRVLREESGWCGGLRVGFTRLDPACVSVPSLPPFLCPDLEEQSPTWAAVLPEGCALTGDLVRFWVDRRGCLFAKVNAGCRLLLREGVPVGAPLWAVMDVYGTTKAIELLDPTASRLPTPMPWDLSNKAVPEPKATPGEECAICFYHAANTRLVPCGHTYFCRYCAWRVFSDTAKCPVCRWQIEAVAPAQGPPALRVEEGS
+>DECOY_sp|Q96EH8|NEUL3_HUMAN E3 ubiquitin-protein ligase NEURL3 OS=Homo sapiens OX=9606 GN=NEURL3 PE=2 SV=2
+SGEEVRLAPPGQAPAVAEIQWRCVPCKATDSFVRWACYRCFYTHGCPVLRTNAAHYFCIACEEGPTAKPEPVAKNSLDWPMPTPLRSATPDLLEIAKTTGYVDMVAWLPAGVPVGERLLLRCGANVKAFLCGRRDVWFRVLDGTLACGEPLVAAWTPSQEELDPCLFPPLSPVSVCAPDLRTFGVRLGGCWGSEERLVRLAVREGLRVPRQSFVIGDHFTTRRHAICGRTDLRVQAGKAEAHFRLAERPAKANAEFCLQAGM
+>sp|P17677|NEUM_HUMAN Neuromodulin OS=Homo sapiens OX=9606 GN=GAP43 PE=1 SV=1
+MLCCMRRTKQVEKNDDDQKIEQDGIKPEDKAHKAATKIQASFRGHITRKKLKGEKKDDVQAAEAEANKKDEAPVADGVEKKGEGTTTAEAAPATGSKPDEPGKAGETPSEEKKGEGDAATEQAAPQAPASSEEKAGSAETESATKASTDNSPSSKAEDAPAKEEPKQADVPAAVTAAAATTPAAEDAAAKATAQPPTETGESSQAEENIEAVDETKPKESARQDEGKEEEPEADQEHA
+>DECOY_sp|P17677|NEUM_HUMAN Neuromodulin OS=Homo sapiens OX=9606 GN=GAP43 PE=1 SV=1
+AHEQDAEPEEEKGEDQRASEKPKTEDVAEINEEAQSSEGTETPPQATAKAAADEAAPTTAAAATVAAPVDAQKPEEKAPADEAKSSPSNDTSAKTASETEASGAKEESSAPAQPAAQETAADGEGKKEESPTEGAKGPEDPKSGTAPAAEATTTGEGKKEVGDAVPAEDKKNAEAEAAQVDDKKEGKLKKRTIHGRFSAQIKTAAKHAKDEPKIGDQEIKQDDDNKEVQKTRRMCCLM
+>sp|Q9UQ49|NEUR3_HUMAN Sialidase-3 OS=Homo sapiens OX=9606 GN=NEU3 PE=1 SV=1
+MEEVTTCSFNSPLFRQEDDRGITYRIPALLYIPPTHTFLAFAEKRSTRRDEDALHLVLRRGLRIGQLVQWGPLKPLMEATLPGHRTMNPCPVWEQKSGCVFLFFICVRGHVTERQQIVSGRNAARLCFIYSQDAGCSWSEVRDLTEEVIGSELKHWATFAVGPGHGIQLQSGRLVIPAYTYYIPSWFFCFQLPCKTRPHSLMIYSDDLGVTWHHGRLIRPMVTVECEVAEVTGRAGHPVLYCSARTPNRCRAEALSTDHGEGFQRLALSRQLCEPPHGCQGSVVSFRPLEIPHRCQDSSSKDAPTIQQSSPGSSLRLEEEAGTPSESWLLYSHPTSRKQRVDLGIYLNQTPLEAACWSRPWILHCGPCGYSDLAALEEEGLFGCLFECGTKQECEQIAFRLFTHREILSHLQGDCTSPGRNPSQFKSN
+>DECOY_sp|Q9UQ49|NEUR3_HUMAN Sialidase-3 OS=Homo sapiens OX=9606 GN=NEU3 PE=1 SV=1
+NSKFQSPNRGPSTCDGQLHSLIERHTFLRFAIQECEQKTGCEFLCGFLGEEELAALDSYGCPGCHLIWPRSWCAAELPTQNLYIGLDVRQKRSTPHSYLLWSESPTGAEEELRLSSGPSSQQITPADKSSSDQCRHPIELPRFSVVSGQCGHPPECLQRSLALRQFGEGHDTSLAEARCRNPTRASCYLVPHGARGTVEAVECEVTVMPRILRGHHWTVGLDDSYIMLSHPRTKCPLQFCFFWSPIYYTYAPIVLRGSQLQIGHGPGVAFTAWHKLESGIVEETLDRVESWSCGADQSYIFCLRAANRGSVIQQRETVHGRVCIFFLFVCGSKQEWVPCPNMTRHGPLTAEMLPKLPGWQVLQGIRLGRRLVLHLADEDRRTSRKEAFALFTHTPPIYLLAPIRYTIGRDDEQRFLPSNFSCTTVEEM
+>sp|P21359|NF1_HUMAN Neurofibromin OS=Homo sapiens OX=9606 GN=NF1 PE=1 SV=2
+MAAHRPVEWVQAVVSRFDEQLPIKTGQQNTHTKVSTEHNKECLINISKYKFSLVISGLTTILKNVNNMRIFGEAAEKNLYLSQLIILDTLEKCLAGQPKDTMRLDETMLVKQLLPEICHFLHTCREGNQHAAELRNSASGVLFSLSCNNFNAVFSRISTRLQELTVCSEDNVDVHDIELLQYINVDCAKLKRLLKETAFKFKALKKVAQLAVINSLEKAFWNWVENYPDEFTKLYQIPQTDMAECAEKLFDLVDGFAESTKRKAAVWPLQIILLILCPEIIQDISKDVVDENNMNKKLFLDSLRKALAGHGGSRQLTESAAIACVKLCKASTYINWEDNSVIFLLVQSMVVDLKNLLFNPSKPFSRGSQPADVDLMIDCLVSCFRISPHNNQHFKICLAQNSPSTFHYVLVNSLHRIITNSALDWWPKIDAVYCHSVELRNMFGETLHKAVQGCGAHPAIRMAPSLTFKEKVTSLKFKEKPTDLETRSYKYLLLSMVKLIHADPKLLLCNPRKQGPETQGSTAELITGLVQLVPQSHMPEIAQEAMEALLVLHQLDSIDLWNPDAPVETFWEISSQMLFYICKKLTSHQMLSSTEILKWLREILICRNKFLLKNKQADRSSCHFLLFYGVGCDIPSSGNTSQMSMDHEELLRTPGASLRKGKGNSSMDSAAGCSGTPPICRQAQTKLEVALYMFLWNPDTEAVLVAMSCFRHLCEEADIRCGVDEVSVHNLLPNYNTFMEFASVSNMMSTGRAALQKRVMALLRRIEHPTAGNTEAWEDTHAKWEQATKLILNYPKAKMEDGQAAESLHKTIVKRRMSHVSGGGSIDLSDTDSLQEWINMTGFLCALGGVCLQQRSNSGLATYSPPMGPVSERKGSMISVMSSEGNADTPVSKFMDRLLSLMVCNHEKVGLQIRTNVKDLVGLELSPALYPMLFNKLKNTISKFFDSQGQVLLTDTNTQFVEQTIAIMKNLLDNHTEGSSEHLGQASIETMMLNLVRYVRVLGNMVHAIQIKTKLCQLVEVMMARRDDLSFCQEMKFRNKMVEYLTDWVMGTSNQAADDDVKCLTRDLDQASMEAVVSLLAGLPLQPEEGDGVELMEAKSQLFLKYFTLFMNLLNDCSEVEDESAQTGGRKRGMSRRLASLRHCTVLAMSNLLNANVDSGLMHSIGLGYHKDLQTRATFMEVLTKILQQGTEFDTLAETVLADRFERLVELVTMMGDQGELPIAMALANVVPCSQWDELARVLVTLFDSRHLLYQLLWNMFSKEVELADSMQTLFRGNSLASKIMTFCFKVYGATYLQKLLDPLLRIVITSSDWQHVSFEVDPTRLEPSESLEENQRNLLQMTEKFFHAIISSSSEFPPQLRSVCHCLYQATCHSLLNKATVKEKKENKKSVVSQRFPQNSIGAVGSAMFLRFINPAIVSPYEAGILDKKPPPRIERGLKLMSKILQSIANHVLFTKEEHMRPFNDFVKSNFDAARRFFLDIASDCPTSDAVNHSLSFISDGNVLALHRLLWNNQEKIGQYLSSNRDHKAVGRRPFDKMATLLAYLGPPEHKPVADTHWSSLNLTSSKFEEFMTRHQVHEKEEFKALKTLSIFYQAGTSKAGNPIFYYVARRFKTGQINGDLLIYHVLLTLKPYYAKPYEIVVDLTHTGPSNRFKTDFLSKWFVVFPGFAYDNVSAVYIYNCNSWVREYTKYHERLLTGLKGSKRLVFIDCPGKLAEHIEHEQQKLPAATLALEEDLKVFHNALKLAHKDTKVSIKVGSTAVQVTSAERTKVLGQSVFLNDIYYASEIEEICLVDENQFTLTIANQGTPLTFMHQECEAIVQSIIHIRTRWELSQPDSIPQHTKIRPKDVPGTLLNIALLNLGSSDPSLRSAAYNLLCALTCTFNLKIEGQLLETSGLCIPANNTLFIVSISKTLAANEPHLTLEFLEECISGFSKSSIELKHLCLEYMTPWLSNLVRFCKHNDDAKRQRVTAILDKLITMTINEKQMYPSIQAKIWGSLGQITDLLDVVLDSFIKTSATGGLGSIKAEVMADTAVALASGNVKLVSSKVIGRMCKIIDKTCLSPTPTLEQHLMWDDIAILARYMLMLSFNNSLDVAAHLPYLFHVVTFLVATGPLSLRASTHGLVINIIHSLCTCSQLHFSEETKQVLRLSLTEFSLPKFYLLFGISKVKSAAVIAFRSSYRDRSFSPGSYERETFALTSLETVTEALLEIMEACMRDIPTCKWLDQWTELAQRFAFQYNPSLQPRALVVFGCISKRVSHGQIKQIIRILSKALESCLKGPDTYNSQVLIEATVIALTKLQPLLNKDSPLHKALFWVAVAVLQLDEVNLYSAGTALLEQNLHTLDSLRIFNDKSPEEVFMAIRNPLEWHCKQMDHFVGLNFNSNFNFALVGHLLKGYRHPSPAIVARTVRILHTLLTLVNKHRNCDKFEVNTQSVAYLAALLTVSEEVRSRCSLKHRKSLLLTDISMENVPMDTYPIHHGDPSYRTLKETQPWSSPKGSEGYLAATYPTVGQTSPRARKSMSLDMGQPSQANTKKLLGTRKSFDHLISDTKAPKRQEMESGITTPPKMRRVAETDYEMETQRISSSQQHPHLRKVSVSESNVLLDEEVLTDPKIQALLLTVLATLVKYTTDEFDQRILYEYLAEASVVFPKVFPVVHNLLDSKINTLLSLCQDPNLLNPIHGIVQSVVYHEESPPQYQTSYLQSFGFNGLWRFAGPFSKQTQIPDYAELIVKFLDALIDTYLPGIDEETSEESLLTPTSPYPPALQSQLSITANLNLSNSMTSLATSQHSPGIDKENVELSPTTGHCNSGRTRHGSASQVQKQRSAGSFKRNSIKKIV
+>DECOY_sp|P21359|NF1_HUMAN Neurofibromin OS=Homo sapiens OX=9606 GN=NF1 PE=1 SV=2
+VIKKISNRKFSGASRQKQVQSASGHRTRGSNCHGTTPSLEVNEKDIGPSHQSTALSTMSNSLNLNATISLQSQLAPPYPSTPTLLSEESTEEDIGPLYTDILADLFKVILEAYDPIQTQKSFPGAFRWLGNFGFSQLYSTQYQPPSEEHYVVSQVIGHIPNLLNPDQCLSLLTNIKSDLLNHVVPFVKPFVVSAEALYEYLIRQDFEDTTYKVLTALVTLLLAQIKPDTLVEEDLLVNSESVSVKRLHPHQQSSSIRQTEMEYDTEAVRRMKPPTTIGSEMEQRKPAKTDSILHDFSKRTGLLKKTNAQSPQGMDLSMSKRARPSTQGVTPYTAALYGESGKPSSWPQTEKLTRYSPDGHHIPYTDMPVNEMSIDTLLLSKRHKLSCRSRVEESVTLLAALYAVSQTNVEFKDCNRHKNVLTLLTHLIRVTRAVIAPSPHRYGKLLHGVLAFNFNSNFNLGVFHDMQKCHWELPNRIAMFVEEPSKDNFIRLSDLTHLNQELLATGASYLNVEDLQLVAVAVWFLAKHLPSDKNLLPQLKTLAIVTAEILVQSNYTDPGKLCSELAKSLIRIIQKIQGHSVRKSICGFVVLARPQLSPNYQFAFRQALETWQDLWKCTPIDRMCAEMIELLAETVTELSTLAFTEREYSGPSFSRDRYSSRFAIVAASKVKSIGFLLYFKPLSFETLSLRLVQKTEESFHLQSCTCLSHIINIVLGHTSARLSLPGTAVLFTVVHFLYPLHAAVDLSNNFSLMLMYRALIAIDDWMLHQELTPTPSLCTKDIIKCMRGIVKSSVLKVNGSALAVATDAMVEAKISGLGGTASTKIFSDLVVDLLDTIQGLSGWIKAQISPYMQKENITMTILKDLIATVRQRKADDNHKCFRVLNSLWPTMYELCLHKLEISSKSFGSICEELFELTLHPENAALTKSISVIFLTNNAPICLGSTELLQGEIKLNFTCTLACLLNYAASRLSPDSSGLNLLAINLLTGPVDKPRIKTHQPISDPQSLEWRTRIHIISQVIAECEQHMFTLPTGQNAITLTFQNEDVLCIEEIESAYYIDNLFVSQGLVKTREASTVQVATSGVKISVKTDKHALKLANHFVKLDEELALTAAPLKQQEHEIHEALKGPCDIFVLRKSGKLGTLLREHYKTYERVWSNCNYIYVASVNDYAFGPFVVFWKSLFDTKFRNSPGTHTLDVVIEYPKAYYPKLTLLVHYILLDGNIQGTKFRRAVYYFIPNGAKSTGAQYFISLTKLAKFEEKEHVQHRTMFEEFKSSTLNLSSWHTDAVPKHEPPGLYALLTAMKDFPRRGVAKHDRNSSLYQGIKEQNNWLLRHLALVNGDSIFSLSHNVADSTPCDSAIDLFFRRAADFNSKVFDNFPRMHEEKTFLVHNAISQLIKSMLKLGREIRPPPKKDLIGAEYPSVIAPNIFRLFMASGVAGISNQPFRQSVVSKKNEKKEKVTAKNLLSHCTAQYLCHCVSRLQPPFESSSSIIAHFFKETMQLLNRQNEELSESPELRTPDVEFSVHQWDSSTIVIRLLPDLLKQLYTAGYVKFCFTMIKSALSNGRFLTQMSDALEVEKSFMNWLLQYLLHRSDFLTVLVRALEDWQSCPVVNALAMAIPLEGQDGMMTVLEVLREFRDALVTEALTDFETGQQLIKTLVEMFTARTQLDKHYGLGISHMLGSDVNANLLNSMALVTCHRLSALRRSMGRKRGGTQASEDEVESCDNLLNMFLTFYKLFLQSKAEMLEVGDGEEPQLPLGALLSVVAEMSAQDLDRTLCKVDDDAAQNSTGMVWDTLYEVMKNRFKMEQCFSLDDRRAMMVEVLQCLKTKIQIAHVMNGLVRVYRVLNLMMTEISAQGLHESSGETHNDLLNKMIAITQEVFQTNTDTLLVQGQSDFFKSITNKLKNFLMPYLAPSLELGVLDKVNTRIQLGVKEHNCVMLSLLRDMFKSVPTDANGESSMVSIMSGKRESVPGMPPSYTALGSNSRQQLCVGGLACLFGTMNIWEQLSDTDSLDISGGGSVHSMRRKVITKHLSEAAQGDEMKAKPYNLILKTAQEWKAHTDEWAETNGATPHEIRRLLAMVRKQLAARGTSMMNSVSAFEMFTNYNPLLNHVSVEDVGCRIDAEECLHRFCSMAVLVAETDPNWLFMYLAVELKTQAQRCIPPTGSCGAASDMSSNGKGKRLSAGPTRLLEEHDMSMQSTNGSSPIDCGVGYFLLFHCSSRDAQKNKLLFKNRCILIERLWKLIETSSLMQHSTLKKCIYFLMQSSIEWFTEVPADPNWLDISDLQHLVLLAEMAEQAIEPMHSQPVLQVLGTILEATSGQTEPGQKRPNCLLLKPDAHILKVMSLLLYKYSRTELDTPKEKFKLSTVKEKFTLSPAMRIAPHAGCGQVAKHLTEGFMNRLEVSHCYVADIKPWWDLASNTIIRHLSNVLVYHFTSPSNQALCIKFHQNNHPSIRFCSVLCDIMLDVDAPQSGRSFPKSPNFLLNKLDVVMSQVLLFIVSNDEWNIYTSAKCLKVCAIAASETLQRSGGHGALAKRLSDLFLKKNMNNEDVVDKSIDQIIEPCLILLIIQLPWVAAKRKTSEAFGDVLDFLKEACEAMDTQPIQYLKTFEDPYNEVWNWFAKELSNIVALQAVKKLAKFKFATEKLLRKLKACDVNIYQLLEIDHVDVNDESCVTLEQLRTSIRSFVANFNNCSLSFLVGSASNRLEAAHQNGERCTHLFHCIEPLLQKVLMTEDLRMTDKPQGALCKELTDLIILQSLYLNKEAAEGFIRMNNVNKLITTLGSIVLSFKYKSINILCEKNHETSVKTHTNQQGTKIPLQEDFRSVVAQVWEVPRHAAM
+>sp|Q8NET5|NFAM1_HUMAN NFAT activation molecule 1 OS=Homo sapiens OX=9606 GN=NFAM1 PE=1 SV=1
+MENQPVRWRALPGLPRPPGLPAAPWLLLGVLLLPGTLRLAGGQSVTHTGLPIMASLANTAISFSCRITYPYTPQFKVFTVSYFHEDLQGQRSPKKPTNCHPGLGTENQSHTLDCQVTLVLPGASATGTYYCSVHWPHSTVRGSGTFILVRDAGYREPPQSPQKLLLFGFTGLLSVLSVVGTALLLWNKKRMRGPGKDPTRKCPDPRSASSPKQHPSESVYTALQRRETEVYACIENEDGSSPTAKQSPLSQERPHRFEDDGELNLVYENL
+>DECOY_sp|Q8NET5|NFAM1_HUMAN NFAT activation molecule 1 OS=Homo sapiens OX=9606 GN=NFAM1 PE=1 SV=1
+LNEYVLNLEGDDEFRHPREQSLPSQKATPSSGDENEICAYVETERRQLATYVSESPHQKPSSASRPDPCKRTPDKGPGRMRKKNWLLLATGVVSLVSLLGTFGFLLLKQPSQPPERYGADRVLIFTGSGRVTSHPWHVSCYYTGTASAGPLVLTVQCDLTHSQNETGLGPHCNTPKKPSRQGQLDEHFYSVTFVKFQPTYPYTIRCSFSIATNALSAMIPLGTHTVSQGGALRLTGPLLLVGLLLWPAAPLGPPRPLGPLARWRVPQNEM
+>sp|P19838|NFKB1_HUMAN Nuclear factor NF-kappa-B p105 subunit OS=Homo sapiens OX=9606 GN=NFKB1 PE=1 SV=2
+MAEDDPYLGRPEQMFHLDPSLTHTIFNPEVFQPQMALPTDGPYLQILEQPKQRGFRFRYVCEGPSHGGLPGASSEKNKKSYPQVKICNYVGPAKVIVQLVTNGKNIHLHAHSLVGKHCEDGICTVTAGPKDMVVGFANLGILHVTKKKVFETLEARMTEACIRGYNPGLLVHPDLAYLQAEGGGDRQLGDREKELIRQAALQQTKEMDLSVVRLMFTAFLPDSTGSFTRRLEPVVSDAIYDSKAPNASNLKIVRMDRTAGCVTGGEEIYLLCDKVQKDDIQIRFYEEEENGGVWEGFGDFSPTDVHRQFAIVFKTPKYKDINITKPASVFVQLRRKSDLETSEPKPFLYYPEIKDKEEVQRKRQKLMPNFSDSFGGGSGAGAGGGGMFGSGGGGGGTGSTGPGYSFPHYGFPTYGGITFHPGTTKSNAGMKHGTMDTESKKDPEGCDKSDDKNTVNLFGKVIETTEQDQEPSEATVGNGEVTLTYATGTKEESAGVQDNLFLEKAMQLAKRHANALFDYAVTGDVKMLLAVQRHLTAVQDENGDSVLHLAIIHLHSQLVRDLLEVTSGLISDDIINMRNDLYQTPLHLAVITKQEDVVEDLLRAGADLSLLDRLGNSVLHLAAKEGHDKVLSILLKHKKAALLLDHPNGDGLNAIHLAMMSNSLPCLLLLVAAGADVNAQEQKSGRTALHLAVEHDNISLAGCLLLEGDAHVDSTTYDGTTPLHIAAGRGSTRLAALLKAAGADPLVENFEPLYDLDDSWENAGEDEGVVPGTTPLDMATSWQVFDILNGKPYEPEFTSDDLLAQGDMKQLAEDVKLQLYKLLEIPDPDKNWATLAQKLGLGILNNAFRLSPAPSKTLMDNYEVSGGTVRELVEALRQMGYTEAIEVIQAASSPVKTTSQAHSLPLSPASTRQQIDELRDSDSVCDSGVETSFRKLSFTESLTSGASLLTLNKMPHDYGQEGPLEGKI
+>DECOY_sp|P19838|NFKB1_HUMAN Nuclear factor NF-kappa-B p105 subunit OS=Homo sapiens OX=9606 GN=NFKB1 PE=1 SV=2
+IKGELPGEQGYDHPMKNLTLLSAGSTLSETFSLKRFSTEVGSDCVSDSDRLEDIQQRTSAPSLPLSHAQSTTKVPSSAAQIVEIAETYGMQRLAEVLERVTGGSVEYNDMLTKSPAPSLRFANNLIGLGLKQALTAWNKDPDPIELLKYLQLKVDEALQKMDGQALLDDSTFEPEYPKGNLIDFVQWSTAMDLPTTGPVVGEDEGANEWSDDLDYLPEFNEVLPDAGAAKLLAALRTSGRGAAIHLPTTGDYTTSDVHADGELLLCGALSINDHEVALHLATRGSKQEQANVDAGAAVLLLLCPLSNSMMALHIANLGDGNPHDLLLAAKKHKLLISLVKDHGEKAALHLVSNGLRDLLSLDAGARLLDEVVDEQKTIVALHLPTQYLDNRMNIIDDSILGSTVELLDRVLQSHLHIIALHLVSDGNEDQVATLHRQVALLMKVDGTVAYDFLANAHRKALQMAKELFLNDQVGASEEKTGTAYTLTVEGNGVTAESPEQDQETTEIVKGFLNVTNKDDSKDCGEPDKKSETDMTGHKMGANSKTTGPHFTIGGYTPFGYHPFSYGPGTSGTGGGGGGSGFMGGGGAGAGSGGGFSDSFNPMLKQRKRQVEEKDKIEPYYLFPKPESTELDSKRRLQVFVSAPKTINIDKYKPTKFVIAFQRHVDTPSFDGFGEWVGGNEEEEYFRIQIDDKQVKDCLLYIEEGGTVCGATRDMRVIKLNSANPAKSDYIADSVVPELRRTFSGTSDPLFATFMLRVVSLDMEKTQQLAAQRILEKERDGLQRDGGGEAQLYALDPHVLLGPNYGRICAETMRAELTEFVKKKTVHLIGLNAFGVVMDKPGATVTCIGDECHKGVLSHAHLHINKGNTVLQVIVKAPGVYNCIKVQPYSKKNKESSAGPLGGHSPGECVYRFRFGRQKPQELIQLYPGDTPLAMQPQFVEPNFITHTLSPDLHFMQEPRGLYPDDEAM
+>sp|P07197|NFM_HUMAN Neurofilament medium polypeptide OS=Homo sapiens OX=9606 GN=NEFM PE=1 SV=3
+MSYTLDSLGNPSAYRRVTETRSSFSRVSGSPSSGFRSQSWSRGSPSTVSSSYKRSMLAPRLAYSSAMLSSAESSLDFSQSSSLLNGGSGPGGDYKLSRSNEKEQLQGLNDRFAGYIEKVHYLEQQNKEIEAEIQALRQKQASHAQLGDAYDQEIRELRATLEMVNHEKAQVQLDSDHLEEDIHRLKERFEEEARLRDDTEAAIRALRKDIEEASLVKVELDKKVQSLQDEVAFLRSNHEEEVADLLAQIQASHITVERKDYLKTDISTALKEIRSQLESHSDQNMHQAEEWFKCRYAKLTEAAEQNKEAIRSAKEEIAEYRRQLQSKSIELESVRGTKESLERQLSDIEERHNHDLSSYQDTIQQLENELRGTKWEMARHLREYQDLLNVKMALDIEIAAYRKLLEGEETRFSTFAGSITGPLYTHRPPITISSKIQKPKVEAPKLKVQHKFVEEIIEETKVEDEKSEMEEALTAITEELAVSMKEEKKEAAEEKEEEPEAEEEEVAAKKSPVKATAPEVKEEEGEKEEEEGQEEEEEEDEGAKSDQAEEGGSEKEGSSEKEEGEQEEGETEAEAEGEEAEAKEEKKVEEKSEEVATKEELVADAKVEKPEKAKSPVPKSPVEEKGKSPVPKSPVEEKGKSPVPKSPVEEKGKSPVPKSPVEEKGKSPVSKSPVEEKAKSPVPKSPVEEAKSKAEVGKGEQKEEEEKEVKEAPKEEKVEKKEEKPKDVPEKKKAESPVKEEAVAEVVTITKSVKVHLEKETKEEGKPLQQEKEKEKAGGEGGSEEEGSDKGAKGSRKEDIAVNGEVEGKEEVEQETKEKGSGREEEKGVVTNGLDLSPADEKKGGDKSEEKVVVTKTVEKITSEGGDGATKYITKSVTVTQKVEEHEETFEEKLVSTKKVEKVTSHAIVKEVTQSD
+>DECOY_sp|P07197|NFM_HUMAN Neurofilament medium polypeptide OS=Homo sapiens OX=9606 GN=NEFM PE=1 SV=3
+DSQTVEKVIAHSTVKEVKKTSVLKEEFTEEHEEVKQTVTVSKTIYKTAGDGGESTIKEVTKTVVVKEESKDGGKKEDAPSLDLGNTVVGKEEERGSGKEKTEQEVEEKGEVEGNVAIDEKRSGKAGKDSGEEESGGEGGAKEKEKEQQLPKGEEKTEKELHVKVSKTITVVEAVAEEKVPSEAKKKEPVDKPKEEKKEVKEEKPAEKVEKEEEEKQEGKGVEAKSKAEEVPSKPVPSKAKEEVPSKSVPSKGKEEVPSKPVPSKGKEEVPSKPVPSKGKEEVPSKPVPSKGKEEVPSKPVPSKAKEPKEVKADAVLEEKTAVEESKEEVKKEEKAEAEEGEAEAETEGEEQEGEEKESSGEKESGGEEAQDSKAGEDEEEEEEQGEEEEKEGEEEKVEPATAKVPSKKAAVEEEEAEPEEEKEEAAEKKEEKMSVALEETIATLAEEMESKEDEVKTEEIIEEVFKHQVKLKPAEVKPKQIKSSITIPPRHTYLPGTISGAFTSFRTEEGELLKRYAAIEIDLAMKVNLLDQYERLHRAMEWKTGRLENELQQITDQYSSLDHNHREEIDSLQRELSEKTGRVSELEISKSQLQRRYEAIEEKASRIAEKNQEAAETLKAYRCKFWEEAQHMNQDSHSELQSRIEKLATSIDTKLYDKREVTIHSAQIQALLDAVEEEHNSRLFAVEDQLSQVKKDLEVKVLSAEEIDKRLARIAAETDDRLRAEEEFREKLRHIDEELHDSDLQVQAKEHNVMELTARLERIEQDYADGLQAHSAQKQRLAQIEAEIEKNQQELYHVKEIYGAFRDNLGQLQEKENSRSLKYDGGPGSGGNLLSSSQSFDLSSEASSLMASSYALRPALMSRKYSSSVTSPSGRSWSQSRFGSSPSGSVRSFSSRTETVRRYASPNGLSDLTYSM
+>sp|Q9NX24|NHP2_HUMAN H/ACA ribonucleoprotein complex subunit 2 OS=Homo sapiens OX=9606 GN=NHP2 PE=1 SV=1
+MTKIKADPDGPEAQAEACSGERTYQELLVNQNPIAQPLASRRLTRKLYKCIKKAVKQKQIRRGVKEVQKFVNKGEKGIMVLAGDTLPIEVYCHLPVMCEDRNLPYVYIPSKTDLGAAAGSKRPTCVIMVKPHEEYQEAYDECLEEVQSLPLPL
+>DECOY_sp|Q9NX24|NHP2_HUMAN H/ACA ribonucleoprotein complex subunit 2 OS=Homo sapiens OX=9606 GN=NHP2 PE=1 SV=1
+LPLPLSQVEELCEDYAEQYEEHPKVMIVCTPRKSGAAAGLDTKSPIYVYPLNRDECMVPLHCYVEIPLTDGALVMIGKEGKNVFKQVEKVGRRIQKQKVAKKICKYLKRTLRRSALPQAIPNQNVLLEQYTREGSCAEAQAEPGDPDAKIKTM
+>sp|O14745|NHRF1_HUMAN Na(+)/H(+) exchange regulatory cofactor NHE-RF1 OS=Homo sapiens OX=9606 GN=SLC9A3R1 PE=1 SV=4
+MSADAAAGAPLPRLCCLEKGPNGYGFHLHGEKGKLGQYIRLVEPGSPAEKAGLLAGDRLVEVNGENVEKETHQQVVSRIRAALNAVRLLVVDPETDEQLQKLGVQVREELLRAQEAPGQAEPPAAAEVQGAGNENEPREADKSHPEQRELRPRLCTMKKGPSGYGFNLHSDKSKPGQFIRSVDPDSPAEASGLRAQDRIVEVNGVCMEGKQHGDVVSAIRAGGDETKLLVVDRETDEFFKKCRVIPSQEHLNGPLPVPFTNGEIQKENSREALAEAALESPRPALVRSASSDTSEELNSQDSPPKQDSTAPSSTSSSDPILDFNISLAMAKERAHQKRSSKRAPQMDWSKKNELFSNL
+>DECOY_sp|O14745|NHRF1_HUMAN Na(+)/H(+) exchange regulatory cofactor NHE-RF1 OS=Homo sapiens OX=9606 GN=SLC9A3R1 PE=1 SV=4
+LNSFLENKKSWDMQPARKSSRKQHAREKAMALSINFDLIPDSSSTSSPATSDQKPPSDQSNLEESTDSSASRVLAPRPSELAAEALAERSNEKQIEGNTFPVPLPGNLHEQSPIVRCKKFFEDTERDVVLLKTEDGGARIASVVDGHQKGEMCVGNVEVIRDQARLGSAEAPSDPDVSRIFQGPKSKDSHLNFGYGSPGKKMTCLRPRLERQEPHSKDAERPENENGAGQVEAAAPPEAQGPAEQARLLEERVQVGLKQLQEDTEPDVVLLRVANLAARIRSVVQQHTEKEVNEGNVEVLRDGALLGAKEAPSGPEVLRIYQGLKGKEGHLHFGYGNPGKELCCLRPLPAGAAADASM
+>sp|Q86UT5|NHRF4_HUMAN Na(+)/H(+) exchange regulatory cofactor NHE-RF4 OS=Homo sapiens OX=9606 GN=PDZD3 PE=1 SV=2
+MVTPSPPGNHSLSLEAPRLHTASDLLGNHSLGLPLITALVGSRDRRGRVFSPVPVPLPTNPTTQHPTRQKLPSTLSGHRVCQAHGEPVLGLCPLLPLFCCPPHPPDPWSLERPRFCLLSKEEGKSFGFHLQQELGRAGHVVCRVDPGTSAQRQGLQEGDRILAVNNDVVEHEDYAVVVRRIRASSPRVLLTVLARHAHDVARAQLGEDAHLCPTLGPGVRPRLCHIVKDEGGFGFSVTHGNQGPFWLVLSTGGAAERAGVPPGARLLEVNGVSVEKFTHNQLTRKLWQSGQQVTLLVAGPEVEEQCRQLGLPLAAPLAEGWALPTKPRCLHLEKGPQGFGFLLREEKGLDGRPGQFLWEVDPGLPAKKAGMQAGDRLVAVAGESVEGLGHEETVSRIQGQGSCVSLTVVDPEADRFFSMVRLSPLLFLENTEAPASPRGSSSASLVETEDPSLEDTSVPSVPLGSRQCFLYPGPGGSYGFRLSCVASGPRLFISQVTPGGSAARAGLQVGDVILEVNGYPVGGQNDLERLQQLPEAEPPLCLKLAARSLRGLEAWIPPGAAEDWALASDLL
+>DECOY_sp|Q86UT5|NHRF4_HUMAN Na(+)/H(+) exchange regulatory cofactor NHE-RF4 OS=Homo sapiens OX=9606 GN=PDZD3 PE=1 SV=2
+LLDSALAWDEAAGPPIWAELGRLSRAALKLCLPPEAEPLQQLRELDNQGGVPYGNVELIVDGVQLGARAASGGPTVQSIFLRPGSAVCSLRFGYSGGPGPYLFCQRSGLPVSPVSTDELSPDETEVLSASSSGRPSAPAETNELFLLPSLRVMSFFRDAEPDVVTLSVCSGQGQIRSVTEEHGLGEVSEGAVAVLRDGAQMGAKKAPLGPDVEWLFQGPRGDLGKEERLLFGFGQPGKELHLCRPKTPLAWGEALPAALPLGLQRCQEEVEPGAVLLTVQQGSQWLKRTLQNHTFKEVSVGNVELLRAGPPVGAREAAGGTSLVLWFPGQNGHTVSFGFGGEDKVIHCLRPRVGPGLTPCLHADEGLQARAVDHAHRALVTLLVRPSSARIRRVVVAYDEHEVVDNNVALIRDGEQLGQRQASTGPDVRCVVHGARGLEQQLHFGFSKGEEKSLLCFRPRELSWPDPPHPPCCFLPLLPCLGLVPEGHAQCVRHGSLTSPLKQRTPHQTTPNTPLPVPVPSFVRGRRDRSGVLATILPLGLSHNGLLDSATHLRPAELSLSHNGPPSPTVM
+>sp|P14543|NID1_HUMAN Nidogen-1 OS=Homo sapiens OX=9606 GN=NID1 PE=1 SV=3
+MLASSSRIRAAWTRALLLPLLLAGPVGCLSRQELFPFGPGQGDLELEDGDDFVSPALELSGALRFYDRSDIDAVYVTTNGIIATSEPPAKESHPGLFPPTFGAVAPFLADLDTTDGLGKVYYREDLSPSITQRAAECVHRGFPEISFQPSSAVVVTWESVAPYQGPSRDPDQKGKRNTFQAVLASSDSSSYAIFLYPEDGLQFHTTFSKKENNQVPAVVAFSQGSVGFLWKSNGAYNIFANDRESVENLAKSSNSGQQGVWVFEIGSPATTNGVVPADVILGTEDGAEYDDEDEDYDLATTRLGLEDVGTTPFSYKALRRGGADTYSVPSVLSPRRAATERPLGPPTERTRSFQLAVETFHQQHPQVIDVDEVEETGVVFSYNTDSRQTCANNRHQCSVHAECRDYATGFCCSCVAGYTGNGRQCVAEGSPQRVNGKVKGRIFVGSSQVPIVFENTDLHSYVVMNHGRSYTAISTIPETVGYSLLPLAPVGGIIGWMFAVEQDGFKNGFSITGGEFTRQAEVTFVGHPGNLVIKQRFSGIDEHGHLTIDTELEGRVPQIPFGSSVHIEPYTELYHYSTSVITSSSTREYTVTEPERDGASPSRIYTYQWRQTITFQECVHDDSRPALPSTQQLSVDSVFVLYNQEEKILRYALSNSIGPVREGSPDALQNPCYIGTHGCDTNAACRPGPRTQFTCECSIGFRGDGRTCYDIDECSEQPSVCGSHTICNNHPGTFRCECVEGYQFSDEGTCVAVVDQRPINYCETGLHNCDIPQRAQCIYTGGSSYTCSCLPGFSGDGQACQDVDECQPSRCHPDAFCYNTPGSFTCQCKPGYQGDGFRCVPGEVEKTRCQHEREHILGAAGATDPQRPIPPGLFVPECDAHGHYAPTQCHGSTGYCWCVDRDGREVEGTRTRPGMTPPCLSTVAPPIHQGPAVPTAVIPLPPGTHLLFAQTGKIERLPLEGNTMRKTEAKAFLHVPAKVIIGLAFDCVDKMVYWTDITEPSIGRASLHGGEPTTIIRQDLGSPEGIAVDHLGRNIFWTDSNLDRIEVAKLDGTQRRVLFETDLVNPRGIVTDSVRGNLYWTDWNRDNPKIETSYMDGTNRRILVQDDLGLPNGLTFDAFSSQLCWVDAGTNRAECLNPSQPSRRKALEGLQYPFAVTSYGKNLYFTDWKMNSVVALDLAISKETDAFQPHKQTRLYGITTALSQCPQGHNYCSVNNGGCTHLCLATPGSRTCRCPDNTLGVDCIEQK
+>DECOY_sp|P14543|NID1_HUMAN Nidogen-1 OS=Homo sapiens OX=9606 GN=NID1 PE=1 SV=3
+KQEICDVGLTNDPCRCTRSGPTALCLHTCGGNNVSCYNHGQPCQSLATTIGYLRTQKHPQFADTEKSIALDLAVVSNMKWDTFYLNKGYSTVAFPYQLGELAKRRSPQSPNLCEARNTGADVWCLQSSFADFTLGNPLGLDDQVLIRRNTGDMYSTEIKPNDRNWDTWYLNGRVSDTVIGRPNVLDTEFLVRRQTGDLKAVEIRDLNSDTWFINRGLHDVAIGEPSGLDQRIITTPEGGHLSARGISPETIDTWYVMKDVCDFALGIIVKAPVHLFAKAETKRMTNGELPLREIKGTQAFLLHTGPPLPIVATPVAPGQHIPPAVTSLCPPTMGPRTRTGEVERGDRDVCWCYGTSGHCQTPAYHGHADCEPVFLGPPIPRQPDTAGAAGLIHEREHQCRTKEVEGPVCRFGDGQYGPKCQCTFSGPTNYCFADPHCRSPQCEDVDQCAQGDGSFGPLCSCTYSSGGTYICQARQPIDCNHLGTECYNIPRQDVVAVCTGEDSFQYGEVCECRFTGPHNNCITHSGCVSPQESCEDIDYCTRGDGRFGISCECTFQTRPGPRCAANTDCGHTGIYCPNQLADPSGERVPGISNSLAYRLIKEEQNYLVFVSDVSLQQTSPLAPRSDDHVCEQFTITQRWQYTYIRSPSAGDREPETVTYERTSSSTIVSTSYHYLETYPEIHVSSGFPIQPVRGELETDITLHGHEDIGSFRQKIVLNGPHGVFTVEAQRTFEGGTISFGNKFGDQEVAFMWGIIGGVPALPLLSYGVTEPITSIATYSRGHNMVVYSHLDTNEFVIPVQSSGVFIRGKVKGNVRQPSGEAVCQRGNGTYGAVCSCCFGTAYDRCEAHVSCQHRNNACTQRSDTNYSFVVGTEEVEDVDIVQPHQQHFTEVALQFSRTRETPPGLPRETAARRPSLVSPVSYTDAGGRRLAKYSFPTTGVDELGLRTTALDYDEDEDDYEAGDETGLIVDAPVVGNTTAPSGIEFVWVGQQGSNSSKALNEVSERDNAFINYAGNSKWLFGVSGQSFAVVAPVQNNEKKSFTTHFQLGDEPYLFIAYSSSDSSALVAQFTNRKGKQDPDRSPGQYPAVSEWTVVVASSPQFSIEPFGRHVCEAARQTISPSLDERYYVKGLGDTTDLDALFPAVAGFTPPFLGPHSEKAPPESTAIIGNTTVYVADIDSRDYFRLAGSLELAPSVFDDGDELELDGQGPGFPFLEQRSLCGVPGALLLPLLLARTWAARIRSSSALM
+>sp|Q14112|NID2_HUMAN Nidogen-2 OS=Homo sapiens OX=9606 GN=NID2 PE=1 SV=3
+MEGDRVAGRPVLSSLPVLLLLPLLMLRAAALHPDELFPHGESWGDQLLQEGDDESSAVVKLANPLHFYEARFSNLYVGTNGIISTQDFPRETQYVDYDFPTDFPAIAPFLADIDTSHGRGRVLYREDTSPAVLGLAARYVRAGFPRSARFTPTHAFLATWEQVGAYEEVKRGALPSGELNTFQAVLASDGSDSYALFLYPANGLQFLGTRPKESYNVQLQLPARVGFCRGEADDLKSEGPYFSLTSTEQSVKNLYQLSNLGIPGVWAFHIGSTSPLDNVRPAAVGDLSAAHSSVPLGRSFSHATALESDYNEDNLDYYDVNEEEAEYLPGEPEEALNGHSSIDVSFQSKVDTKPLEESSTLDPHTKEGTSLGEVGGPDLKGQVEPWDERETRSPAPPEVDRDSLAPSWETPPPYPENGSIQPYPDGGPVPSEMDVPPAHPEEEIVLRSYPASGHTTPLSRGTYEVGLEDNIGSNTEVFTYNAANKETCEHNHRQCSRHAFCTDYATGFCCHCQSKFYGNGKHCLPEGAPHRVNGKVSGHLHVGHTPVHFTDVDLHAYIVGNDGRAYTAISHIPQPAAQALLPLTPIGGLFGWLFALEKPGSENGFSLAGAAFTHDMEVTFYPGEETVRITQTAEGLDPENYLSIKTNIQGQVPYVSANFTAHISPYKELYHYSDSTVTSTSSRDYSLTFGAINQTWSYRIHQNITYQVCRHAPRHPSFPTTQQLNVDRVFALYNDEERVLRFAVTNQIGPVKEDSDPTPGNPCYDGSHMCDTTARCHPGTGVDYTCECASGYQGDGRNCVDENECATGFHRCGPNSVCINLPGSYRCECRSGYEFADDRHTCILITPPANPCEDGSHTCAPAGQARCVHHGGSTFSCACLPGYAGDGHQCTDVDECSENRCHPAATCYNTPGSFSCRCQPGYYGDGFQCIPDSTSSLTPCEQQQRHAQAQYAYPGARFHIPQCDEQGNFLPLQCHGSTGFCWCVDPDGHEVPGTQTPPGSTPPHCGPSPEPTQRPPTICERWRENLLEHYGGTPRDDQYVPQCDDLGHFIPLQCHGKSDFCWCVDKDGREVQGTRSQPGTTPACIPTVAPPMVRPTPRPDVTPPSVGTFLLYTQGQQIGYLPLNGTRLQKDAAKTLLSLHGSIIVGIDYDCRERMVYWTDVAGRTISRAGLELGAEPETIVNSGLISPEGLAIDHIRRTMYWTDSVLDKIESALLDGSERKVLFYTDLVNPRAIAVDPIRGNLYWTDWNREAPKIETSSLDGENRRILINTDIGLPNGLTFDPFSKLLCWADAGTKKLECTLPDGTGRRVIQNNLKYPFSIVSYADHFYHTDWRRDGVVSVNKHSGQFTDEYLPEQRSHLYGITAVYPYCPTGRK
+>DECOY_sp|Q14112|NID2_HUMAN Nidogen-2 OS=Homo sapiens OX=9606 GN=NID2 PE=1 SV=3
+KRGTPCYPYVATIGYLHSRQEPLYEDTFQGSHKNVSVVGDRRWDTHYFHDAYSVISFPYKLNNQIVRRGTGDPLTCELKKTGADAWCLLKSFPDFTLGNPLGIDTNILIRRNEGDLSSTEIKPAERNWDTWYLNGRIPDVAIARPNVLDTYFLVKRESGDLLASEIKDLVSDTWYMTRRIHDIALGEPSILGSNVITEPEAGLELGARSITRGAVDTWYVMRERCDYDIGVIISGHLSLLTKAADKQLRTGNLPLYGIQQGQTYLLFTGVSPPTVDPRPTPRVMPPAVTPICAPTTGPQSRTGQVERGDKDVCWCFDSKGHCQLPIFHGLDDCQPVYQDDRPTGGYHELLNERWRECITPPRQTPEPSPGCHPPTSGPPTQTGPVEHGDPDVCWCFGTSGHCQLPLFNGQEDCQPIHFRAGPYAYQAQAHRQQQECPTLSSTSDPICQFGDGYYGPQCRCSFSGPTNYCTAAPHCRNESCEDVDTCQHGDGAYGPLCACSFTSGGHHVCRAQGAPACTHSGDECPNAPPTILICTHRDDAFEYGSRCECRYSGPLNICVSNPGCRHFGTACENEDVCNRGDGQYGSACECTYDVGTGPHCRATTDCMHSGDYCPNGPTPDSDEKVPGIQNTVAFRLVREEDNYLAFVRDVNLQQTTPFSPHRPAHRCVQYTINQHIRYSWTQNIAGFTLSYDRSSTSTVTSDSYHYLEKYPSIHATFNASVYPVQGQINTKISLYNEPDLGEATQTIRVTEEGPYFTVEMDHTFAAGALSFGNESGPKELAFLWGFLGGIPTLPLLAQAAPQPIHSIATYARGDNGVIYAHLDVDTFHVPTHGVHLHGSVKGNVRHPAGEPLCHKGNGYFKSQCHCCFGTAYDTCFAHRSCQRHNHECTEKNAANYTFVETNSGINDELGVEYTGRSLPTTHGSAPYSRLVIEEEPHAPPVDMESPVPGGDPYPQISGNEPYPPPTEWSPALSDRDVEPPAPSRTEREDWPEVQGKLDPGGVEGLSTGEKTHPDLTSSEELPKTDVKSQFSVDISSHGNLAEEPEGPLYEAEEENVDYYDLNDENYDSELATAHSFSRGLPVSSHAASLDGVAAPRVNDLPSTSGIHFAWVGPIGLNSLQYLNKVSQETSTLSFYPGESKLDDAEGRCFGVRAPLQLQVNYSEKPRTGLFQLGNAPYLFLAYSDSGDSALVAQFTNLEGSPLAGRKVEEYAGVQEWTALFAHTPTFRASRPFGARVYRAALGLVAPSTDERYLVRGRGHSTDIDALFPAIAPFDTPFDYDVYQTERPFDQTSIIGNTGVYLNSFRAEYFHLPNALKVVASSEDDGEQLLQDGWSEGHPFLEDPHLAAARLMLLPLLLLVPLSSLVPRGAVRDGEM
+>sp|Q9Y221|NIP7_HUMAN 60S ribosome subunit biogenesis protein NIP7 homolog OS=Homo sapiens OX=9606 GN=NIP7 PE=1 SV=1
+MRPLTEEETRVMFEKIAKYIGENLQLLVDRPDGTYCFRLHNDRVYYVSEKIMKLAANISGDKLVSLGTCFGKFTKTHKFRLHVTALDYLAPYAKYKVWIKPGAEQSFLYGNHVLKSGLGRITENTSQYQGVVVYSMADIPLGFGVAAKSTQDCRKVDPMAIVVFHQADIGEYVRHEETLT
+>DECOY_sp|Q9Y221|NIP7_HUMAN 60S ribosome subunit biogenesis protein NIP7 homolog OS=Homo sapiens OX=9606 GN=NIP7 PE=1 SV=1
+TLTEEHRVYEGIDAQHFVVIAMPDVKRCDQTSKAAVGFGLPIDAMSYVVVGQYQSTNETIRGLGSKLVHNGYLFSQEAGPKIWVKYKAYPALYDLATVHLRFKHTKTFKGFCTGLSVLKDGSINAALKMIKESVYYVRDNHLRFCYTGDPRDVLLQLNEGIYKAIKEFMVRTEEETLPRM
+>sp|Q6NVV3|NIPA3_HUMAN Magnesium transporter NIPA3 OS=Homo sapiens OX=9606 GN=NIPAL1 PE=2 SV=1
+MGAQVRLPPGEPCREGYVLSLVCPNSSQAWCEITNVSQLLASPVLYTDLNYSINNLSISANVENKYSLYVGLVLAVSSSIFIGSSFILKKKGLLQLASKGFTRAGQGGHSYLKEWLWWVGLLSMGAGEAANFAAYAFAPATLVTPLGALSVLISAILSSYFLNEHLNIHGKIGCILSILGSTVMVIHAPQEEEVTSLHEMEMKLRDPGFISFAVIITVISLVLILIVAPKKGQTNILVYISICSLIGAFSVSSVKGLGIAIKELIEWKPVYKHPLVFVLLAVLVLSVTTQINYLNKALDTFNTSLVTPIYYVFFTSMVVTCSAILFQEWYGMTAGDIIGTLSGFFTIIIGIFLLHAFKNTDITWSELTSTAKKEAVSLNVNENNYVLLENLECSAPGYNDDVTLFSRTDD
+>DECOY_sp|Q6NVV3|NIPA3_HUMAN Magnesium transporter NIPA3 OS=Homo sapiens OX=9606 GN=NIPAL1 PE=2 SV=1
+DDTRSFLTVDDNYGPASCELNELLVYNNENVNLSVAEKKATSTLESWTIDTNKFAHLLFIGIIITFFGSLTGIIDGATMGYWEQFLIASCTVVMSTFFVYYIPTVLSTNFTDLAKNLYNIQTTVSLVLVALLVFVLPHKYVPKWEILEKIAIGLGKVSSVSFAGILSCISIYVLINTQGKKPAVILILVLSIVTIIVAFSIFGPDRLKMEMEHLSTVEEEQPAHIVMVTSGLISLICGIKGHINLHENLFYSSLIASILVSLAGLPTVLTAPAFAYAAFNAAEGAGMSLLGVWWLWEKLYSHGGQGARTFGKSALQLLGKKKLIFSSGIFISSSVALVLGVYLSYKNEVNASISLNNISYNLDTYLVPSALLQSVNTIECWAQSSNPCVLSLVYGERCPEGPPLRVQAGM
+>sp|O75323|NIPS2_HUMAN Protein NipSnap homolog 2 OS=Homo sapiens OX=9606 GN=NIPSNAP2 PE=1 SV=1
+MAARVLRARGAAWAGGLLQRAAPCSLLPRLRTWTSSSNRSREDSWLKSLFVRKVDPRKDAHSNLLAKKETSNLYKLQFHNVKPECLEAYNKICQEVLPKIHEDKHYPCTLVGTWNTWYGEQDQAVHLWRYEGGYPALTEVMNKLRENKEFLEFRKARSDMLLSRKNQLLLEFSFWNEPVPRSGPNIYELRSYQLRPGTMIEWGNYWARAIRFRQDGNEAVGGFFSQIGQLYMVHHLWAYRDLQTREDIRNAAWHKHGWEELVYYTVPLIQEMESRIMIPLKTSPLQ
+>DECOY_sp|O75323|NIPS2_HUMAN Protein NipSnap homolog 2 OS=Homo sapiens OX=9606 GN=NIPSNAP2 PE=1 SV=1
+QLPSTKLPIMIRSEMEQILPVTYYVLEEWGHKHWAANRIDERTQLDRYAWLHHVMYLQGIQSFFGGVAENGDQRFRIARAWYNGWEIMTGPRLQYSRLEYINPGSRPVPENWFSFELLLQNKRSLLMDSRAKRFELFEKNERLKNMVETLAPYGGEYRWLHVAQDQEGYWTNWTGVLTCPYHKDEHIKPLVEQCIKNYAELCEPKVNHFQLKYLNSTEKKALLNSHADKRPDVKRVFLSKLWSDERSRNSSSTWTRLRPLLSCPAARQLLGGAWAAGRARLVRAAM
+>sp|Q8N8D7|NKAI3_HUMAN Sodium/potassium-transporting ATPase subunit beta-1-interacting protein 3 OS=Homo sapiens OX=9606 GN=NKAIN3 PE=2 SV=1
+MGCCTGRCSLICLCALQLVSALERQIFDFLGFQWAPILGNFLHIIVVILGLFGTIQYRPRYIMVYTVWTALWVTWNVFIICFYLEVGGLSKDTDLMTFNISVHRSWWREHGPGCVRRVLPPSAHGMMDDYTYVSVTGCIVDFQYLEVIHSAVQILLSLVGFVYACYVISISMEEEDTYSCDLQVCKHLFIQMLQIIE
+>DECOY_sp|Q8N8D7|NKAI3_HUMAN Sodium/potassium-transporting ATPase subunit beta-1-interacting protein 3 OS=Homo sapiens OX=9606 GN=NKAIN3 PE=2 SV=1
+EIIQLMQIFLHKCVQLDCSYTDEEEMSISIVYCAYVFGVLSLLIQVASHIVELYQFDVICGTVSVYTYDDMMGHASPPLVRRVCGPGHERWWSRHVSINFTMLDTDKSLGGVELYFCIIFVNWTVWLATWVTYVMIYRPRYQITGFLGLIVVIIHLFNGLIPAWQFGLFDFIQRELASVLQLACLCILSCRGTCCGM
+>sp|Q8IVV8|NKAI4_HUMAN Sodium/potassium-transporting ATPase subunit beta-1-interacting protein 4 OS=Homo sapiens OX=9606 GN=NKAIN4 PE=2 SV=2
+MGSCSGRCALVVLCAFQLVAALERQVFDFLGYQWAPILANFVHIIIVILGLFGTIQYRLRYVMVYTLWAAVWVTWNVFIICFYLEVGGLLKDSELLTFSLSRHRSWWRERWPGCLHEEVPAVGLGAPHGQALVSGAGCALEPSYVEALHSCLQILIALLGFVCGCQVVSVFTEEEDSFDFIGGFDPFPLYHVNEKPSSLLSKQVYLPA
+>DECOY_sp|Q8IVV8|NKAI4_HUMAN Sodium/potassium-transporting ATPase subunit beta-1-interacting protein 4 OS=Homo sapiens OX=9606 GN=NKAIN4 PE=2 SV=2
+APLYVQKSLLSSPKENVHYLPFPDFGGIFDFSDEEETFVSVVQCGCVFGLLAILIQLCSHLAEVYSPELACGAGSVLAQGHPAGLGVAPVEEHLCGPWRERWWSRHRSLSFTLLESDKLLGGVELYFCIIFVNWTVWVAAWLTYVMVYRLRYQITGFLGLIVIIIHVFNALIPAWQYGLFDFVQRELAAVLQFACLVVLACRGSCSGM
+>sp|Q5M9Q1|NKAPL_HUMAN NKAP-like protein OS=Homo sapiens OX=9606 GN=NKAPL PE=2 SV=3
+MPPVSRSSYSEDIVGSRRRRRSSSGSPPSPQSRCSSWDGCSRSHSRGREGLRPPWSELDVGALYPFSRSGSRGRLPRFRNYAFASSWSTSYSGYRYHRHCYAEERQSAEDYEKEESHRQRRLKERERIGELGAPEVWGPSPKFPQLDSDEHTPVEDEEEVTHQKSSSSDSNSEEHRKKKTSRSRNKKKRKNKSSKRKHRKYSDSDSNSESDTNSDSDDDKKRVKAKKKKKKKKHKTKKKKNKKTKKESSDSSCKDSEEDLSEATWMEQPNVADTMDLIGPEAPIIHTSQDEKPLKYGHALLPGEGAAMAEYVKAGKRIPRRGEIGLTSEEIGSFECSGYVMSGSRHRRMEAVRLRKENQIYSADEKRALASFNQEERRKRESKILASFREMVHKKTKEKDDK
+>DECOY_sp|Q5M9Q1|NKAPL_HUMAN NKAP-like protein OS=Homo sapiens OX=9606 GN=NKAPL PE=2 SV=3
+KDDKEKTKKHVMERFSALIKSERKRREEQNFSALARKEDASYIQNEKRLRVAEMRRHRSGSMVYGSCEFSGIEESTLGIEGRRPIRKGAKVYEAMAAGEGPLLAHGYKLPKEDQSTHIIPAEPGILDMTDAVNPQEMWTAESLDEESDKCSSDSSEKKTKKNKKKKTKHKKKKKKKKAKVRKKDDDSDSNTDSESNSDSDSYKRHKRKSSKNKRKKKNRSRSTKKKRHEESNSDSSSSKQHTVEEEDEVPTHEDSDLQPFKPSPGWVEPAGLEGIREREKLRRQRHSEEKEYDEASQREEAYCHRHYRYGSYSTSWSSAFAYNRFRPLRGRSGSRSFPYLAGVDLESWPPRLGERGRSHSRSCGDWSSCRSQPSPPSGSSSRRRRRSGVIDESYSSRSVPPM
+>sp|P43699|NKX21_HUMAN Homeobox protein Nkx-2.1 OS=Homo sapiens OX=9606 GN=NKX2-1 PE=1 SV=1
+MSMSPKHTTPFSVSDILSPLEESYKKVGMEGGGLGAPLAAYRQGQAAPPTAAMQQHAVGHHGAVTAAYHMTAAGVPQLSHSAVGGYCNGNLGNMSELPPYQDTMRNSASGPGWYGANPDPRFPAISRFMGPASGMNMSGMGGLGSLGDVSKNMAPLPSAPRRKRRVLFSQAQVYELERRFKQQKYLSAPEREHLASMIHLTPTQVKIWFQNHRYKMKRQAKDKAAQQQLQQDSGGGGGGGGTGCPQQQQAQQQSPRRVAVPVLVKDGKPCQAGAPAPGAASLQGHAQQQAQHQAQAAQAAAAAISVGSGGAGLGAHPGHQPGSAGQSPDLAHHAASPAALQGQVSSLSHLNSSGSDYGTMSCSTLLYGRTW
+>DECOY_sp|P43699|NKX21_HUMAN Homeobox protein Nkx-2.1 OS=Homo sapiens OX=9606 GN=NKX2-1 PE=1 SV=1
+WTRGYLLTSCSMTGYDSGSSNLHSLSSVQGQLAAPSAAHHALDPSQGASGPQHGPHAGLGAGGSGVSIAAAAAQAAQAQHQAQQQAHGQLSAAGPAPAGAQCPKGDKVLVPVAVRRPSQQQAQQQQPCGTGGGGGGGGSDQQLQQQAAKDKAQRKMKYRHNQFWIKVQTPTLHIMSALHEREPASLYKQQKFRRELEYVQAQSFLVRRKRRPASPLPAMNKSVDGLSGLGGMGSMNMGSAPGMFRSIAPFRPDPNAGYWGPGSASNRMTDQYPPLESMNGLNGNCYGGVASHSLQPVGAATMHYAATVAGHHGVAHQQMAATPPAAQGQRYAALPAGLGGGEMGVKKYSEELPSLIDSVSFPTTHKPSMSM
+>sp|O15522|NKX28_HUMAN Homeobox protein Nkx-2.8 OS=Homo sapiens OX=9606 GN=NKX2-8 PE=2 SV=2
+MATSGRLSFTVRSLLDLPEQDAQHLPRREPEPRAPQPDPCAAWLDSERGHYPSSDESSLETSPPDSSQRPSARPASPGSDAEKRKKRRVLFSKAQTLELERRFRQQRYLSAPEREQLASLLRLTPTQVKIWFQNHRYKLKRARAPGAAESPDLAASAELHAAPGLLRRVVVPVLVRDGQPCGGGGGGEVGTAAAQEKCGAPPAAACPLPGYPAFGPGSALGLFPAYQHLASPALVSWNW
+>DECOY_sp|O15522|NKX28_HUMAN Homeobox protein Nkx-2.8 OS=Homo sapiens OX=9606 GN=NKX2-8 PE=2 SV=2
+WNWSVLAPSALHQYAPFLGLASGPGFAPYGPLPCAAAPPAGCKEQAAATGVEGGGGGGCPQGDRVLVPVVVRRLLGPAAHLEASAALDPSEAAGPARARKLKYRHNQFWIKVQTPTLRLLSALQEREPASLYRQQRFRRELELTQAKSFLVRRKKRKEADSGPSAPRASPRQSSDPPSTELSSEDSSPYHGRESDLWAACPDPQPARPEPERRPLHQADQEPLDLLSRVTFSLRGSTAM
+>sp|A6NJ46|NKX63_HUMAN Homeobox protein Nkx-6.3 OS=Homo sapiens OX=9606 GN=NKX6-3 PE=2 SV=1
+MESNLQGTFLLNNTPLAQFPEMKAPVCQYSVQNSFYKLSPPGLGPQLAAGTPHGITDILSRPVAAPNNSLLSGYPHVAGFGGLSSQGVYYSPQVGNFSKAGNEYPTRTRNCWADTGQDWRGGRQCSNTPDPLSDSIHKKKHTRPTFTGHQIFALEKTFEQTKYLAGPERARLAYSLGMTESQVKVWFQNRRTKWRKKSALEPSSSTPRAPGGAGAGAGGDRAPSENEDDEYNKPLDPDSDDEKIRLLLRKHRAAFSVLSLGAHSV
+>DECOY_sp|A6NJ46|NKX63_HUMAN Homeobox protein Nkx-6.3 OS=Homo sapiens OX=9606 GN=NKX6-3 PE=2 SV=1
+VSHAGLSLVSFAARHKRLLLRIKEDDSDPDLPKNYEDDENESPARDGGAGAGAGGPARPTSSSPELASKKRWKTRRNQFWVKVQSETMGLSYALRAREPGALYKTQEFTKELAFIQHGTFTPRTHKKKHISDSLPDPTNSCQRGGRWDQGTDAWCNRTRTPYENGAKSFNGVQPSYYVGQSSLGGFGAVHPYGSLLSNNPAAVPRSLIDTIGHPTGAALQPGLGPPSLKYFSNQVSYQCVPAKMEPFQALPTNNLLFTGQLNSEM
+>sp|Q9NZ94|NLGN3_HUMAN Neuroligin-3 OS=Homo sapiens OX=9606 GN=NLGN3 PE=1 SV=2
+MWLRLGPPSLSLSPKPTVGRSLCLTLWFLSLALRASTQAPAPTVNTHFGKLRGARVPLPSEILGPVDQYLGVPYAAPPIGEKRFLPPEPPPSWSGIRNATHFPPVCPQNIHTAVPEVMLPVWFTANLDIVATYIQEPNEDCLYLNVYVPTEDVKRISKECARKPNKKICRKGGSGAKKQGEDLADNDGDEDEDIRDSGAKPVMVYIHGGSYMEGTGNMIDGSILASYGNVIVITLNYRVGVLGFLSTGDQAAKGNYGLLDQIQALRWVSENIAFFGGDPRRITVFGSGIGASCVSLLTLSHHSEGLFQRAIIQSGSALSSWAVNYQPVKYTSLLADKVGCNVLDTVDMVDCLRQKSAKELVEQDIQPARYHVAFGPVIDGDVIPDDPEILMEQGEFLNYDIMLGVNQGEGLKFVEGVVDPEDGVSGTDFDYSVSNFVDNLYGYPEGKDTLRETIKFMYTDWADRDNPETRRKTLVALFTDHQWVEPSVVTADLHARYGSPTYFYAFYHHCQSLMKPAWSDAAHGDEVPYVFGVPMVGPTDLFPCNFSKNDVMLSAVVMTYWTNFAKTGDPNKPVPQDTKFIHTKANRFEEVAWSKYNPRDQLYLHIGLKPRVRDHYRATKVAFWKHLVPHLYNLHDMFHYTSTTTKVPPPDTTHSSHITRRPNGKTWSTKRPAISPAYSNENAQGSWNGDQDAGPLLVENPRDYSTELSVTIAVGASLLFLNVLAFAALYYRKDKRRQEPLRQPSPQRGAGAPELGAAPEEELAALQLGPTHHECEAGPPHDTLRLTALPDYTLTLRRSPDDIPLMTPNTITMIPNSLVGLQTLHPYNTFAAGFNSTGLPHSHSTTRV
+>DECOY_sp|Q9NZ94|NLGN3_HUMAN Neuroligin-3 OS=Homo sapiens OX=9606 GN=NLGN3 PE=1 SV=2
+VRTTSHSHPLGTSNFGAAFTNYPHLTQLGVLSNPIMTITNPTMLPIDDPSRRLTLTYDPLATLRLTDHPPGAECEHHTPGLQLAALEEEPAAGLEPAGAGRQPSPQRLPEQRRKDKRYYLAAFALVNLFLLSAGVAITVSLETSYDRPNEVLLPGADQDGNWSGQANENSYAPSIAPRKTSWTKGNPRRTIHSSHTTDPPPVKTTTSTYHFMDHLNYLHPVLHKWFAVKTARYHDRVRPKLGIHLYLQDRPNYKSWAVEEFRNAKTHIFKTDQPVPKNPDGTKAFNTWYTMVVASLMVDNKSFNCPFLDTPGVMPVGFVYPVEDGHAADSWAPKMLSQCHHYFAYFYTPSGYRAHLDATVVSPEVWQHDTFLAVLTKRRTEPNDRDAWDTYMFKITERLTDKGEPYGYLNDVFNSVSYDFDTGSVGDEPDVVGEVFKLGEGQNVGLMIDYNLFEGQEMLIEPDDPIVDGDIVPGFAVHYRAPQIDQEVLEKASKQRLCDVMDVTDLVNCGVKDALLSTYKVPQYNVAWSSLASGSQIIARQFLGESHHSLTLLSVCSAGIGSGFVTIRRPDGGFFAINESVWRLAQIQDLLGYNGKAAQDGTSLFGLVGVRYNLTIVIVNGYSALISGDIMNGTGEMYSGGHIYVMVPKAGSDRIDEDEDGDNDALDEGQKKAGSGGKRCIKKNPKRACEKSIRKVDETPVYVNLYLCDENPEQIYTAVIDLNATFWVPLMVEPVATHINQPCVPPFHTANRIGSWSPPPEPPLFRKEGIPPAAYPVGLYQDVPGLIESPLPVRAGRLKGFHTNVTPAPAQTSARLALSLFWLTLCLSRGVTPKPSLSLSPPGLRLWM
+>sp|Q8NFZ3|NLGNY_HUMAN Neuroligin-4, Y-linked OS=Homo sapiens OX=9606 GN=NLGN4Y PE=2 SV=1
+MLRPQGLLWLPLLFTSVCVMLNSNVLLWITALAIKFTLIDSQAQYPVVNTNYGKIQGLRTPLPSEILGPVEQYLGVPYASPPTGERRFQPPESPSSWTGIRNATQFSAVCPQHLDERFLLHDMLPIWFTTSLDTLMTYVQDQNEDCLYLNIYVPMEDDIHEQNSKKPVMVYIHGGSYMEGTGNMIDGSILASYGNVIVITINYRLGILGFLSTGDQAAKGNYGLLDQIQALRWIEENVGAFGGDPKRVTIFGSGAGASCVSLLTLSHYSEGLFQKAIIQSGTALSSWAVNYQPAKYTRILADKVGCNMLDTTDMVECLKNKNYKELIQQTITPATYHIAFGPVIDGDVIPDDPQILMEQGEFLNYDIMLGVNQGEGLKFVDGIVDNEDGVTPNDFDFSVSNFVDNLYGYPEGKDTLRETIKFMYTDWADKENPETRRKTLVALFTDHQWVAPAVATADLHAQYGSPTYFYAFYHHCQSEMKPSWADSAHGDEVPYVFGIPMIGPTELFSCNFSKNDVMLSAVVMTYWTNFAKTGDPNQPVPQDTKFIHTKPNRFEEVAWSKYNPKDQLYLHIGLKPRVRDHYRATKVAFWLELVPHLHNLNEIFQYVSTTTKVPPPDMTSFPYGTRRSPAKIWPTTKRPAITPANNPKHSKDPHKTGPEDTTVLIETKRDYSTELSVTIAVGASLLFLNILAFAALYYKKDKRRHETHRHPSPQRNTTNDITHIQNEEIMSLQMKQLEHDHECESLQAHDTLRLTCPPDYTLTLRRSPDDIPFMTPNTITMIPNTLMGMQPLHTFKTFSGGQNSTNLPHGHSTTRV
+>DECOY_sp|Q8NFZ3|NLGNY_HUMAN Neuroligin-4, Y-linked OS=Homo sapiens OX=9606 GN=NLGN4Y PE=2 SV=1
+VRTTSHGHPLNTSNQGGSFTKFTHLPQMGMLTNPIMTITNPTMFPIDDPSRRLTLTYDPPCTLRLTDHAQLSECEHDHELQKMQLSMIEENQIHTIDNTTNRQPSPHRHTEHRRKDKKYYLAAFALINLFLLSAGVAITVSLETSYDRKTEILVTTDEPGTKHPDKSHKPNNAPTIAPRKTTPWIKAPSRRTGYPFSTMDPPPVKTTTSVYQFIENLNHLHPVLELWFAVKTARYHDRVRPKLGIHLYLQDKPNYKSWAVEEFRNPKTHIFKTDQPVPQNPDGTKAFNTWYTMVVASLMVDNKSFNCSFLETPGIMPIGFVYPVEDGHASDAWSPKMESQCHHYFAYFYTPSGYQAHLDATAVAPAVWQHDTFLAVLTKRRTEPNEKDAWDTYMFKITERLTDKGEPYGYLNDVFNSVSFDFDNPTVGDENDVIGDVFKLGEGQNVGLMIDYNLFEGQEMLIQPDDPIVDGDIVPGFAIHYTAPTITQQILEKYNKNKLCEVMDTTDLMNCGVKDALIRTYKAPQYNVAWSSLATGSQIIAKQFLGESYHSLTLLSVCSAGAGSGFITVRKPDGGFAGVNEEIWRLAQIQDLLGYNGKAAQDGTSLFGLIGLRYNITIVIVNGYSALISGDIMNGTGEMYSGGHIYVMVPKKSNQEHIDDEMPVYINLYLCDENQDQVYTMLTDLSTTFWIPLMDHLLFREDLHQPCVASFQTANRIGTWSSPSEPPQFRREGTPPSAYPVGLYQEVPGLIESPLPTRLGQIKGYNTNVVPYQAQSDILTFKIALATIWLLVNSNLMVCVSTFLLPLWLLGQPRLM
+>sp|Q9HBL8|NMRL1_HUMAN NmrA-like family domain-containing protein 1 OS=Homo sapiens OX=9606 GN=NMRAL1 PE=1 SV=1
+MVDKKLVVVFGGTGAQGGSVARTLLEDGTFKVRVVTRNPRKKAAKELRLQGAEVVQGDQDDQVIMELALNGAYATFIVTNYWESCSQEQEVKQGKLLADLARRLGLHYVVYSGLENIKKLTAGRLAAAHFDGKGEVEEYFRDIGVPMTSVRLPCYFENLLSHFLPQKAPDGKSYLLSLPTGDVPMDGMSVSDLGPVVLSLLKMPEKYVGQNIGLSTCRHTAEEYAALLTKHTRKVVHDAKMTPEDYEKLGFPGARDLANMFRFYALRPDRDIELTLRLNPKALTLDQWLEQHKGDFNLL
+>DECOY_sp|Q9HBL8|NMRL1_HUMAN NmrA-like family domain-containing protein 1 OS=Homo sapiens OX=9606 GN=NMRAL1 PE=1 SV=1
+LLNFDGKHQELWQDLTLAKPNLRLTLEIDRDPRLAYFRFMNALDRAGPFGLKEYDEPTMKADHVVKRTHKTLLAAYEEATHRCTSLGINQGVYKEPMKLLSLVVPGLDSVSMGDMPVDGTPLSLLYSKGDPAKQPLFHSLLNEFYCPLRVSTMPVGIDRFYEEVEGKGDFHAAALRGATLKKINELGSYVVYHLGLRRALDALLKGQKVEQEQSCSEWYNTVIFTAYAGNLALEMIVQDDQDGQVVEAGQLRLEKAAKKRPNRTVVRVKFTGDELLTRAVSGGQAGTGGFVVVLKKDVM
+>sp|Q5H8A3|NMS_HUMAN Neuromedin-S OS=Homo sapiens OX=9606 GN=NMS PE=2 SV=1
+MKHLRPQFPLILAIYCFCMLQIPSSGFPQPLADPSDGLDIVQLEQLAYCLSQWAPLSRQPKDNQDIYKRFLFHYSRTQEATHPVKTGFPPVHPLMHLAAKLANRRMKRILQRGSGTAAVDFTKKDHTATWGRPFFLFRPRNGRNIEDEAQIQW
+>DECOY_sp|Q5H8A3|NMS_HUMAN Neuromedin-S OS=Homo sapiens OX=9606 GN=NMS PE=2 SV=1
+WQIQAEDEINRGNRPRFLFFPRGWTATHDKKTFDVAATGSGRQLIRKMRRNALKAALHMLPHVPPFGTKVPHTAEQTRSYHFLFRKYIDQNDKPQRSLPAWQSLCYALQELQVIDLGDSPDALPQPFGSSPIQLMCFCYIALILPFQPRLHKM
+>sp|Q9UK39|NOCT_HUMAN Nocturnin OS=Homo sapiens OX=9606 GN=NOCT PE=2 SV=2
+MFHSPRRLCSALLQRDAPGLRRLPAPGLRRPLSPPAAVPRPASPRLLAAASAASGAARSCSRTVCSMGTGTSRLYSALAKTLNSSAASQHPEYLVSPDPEHLEPIDPKELLEECRAVLHTRPPRFQRDFVDLRTDCPSTHPPIRVMQWNILAQALGEGKDNFVQCPVEALKWEERKCLILEEILAYQPDILCLQEVDHYFDTFQPLLSRLGYQGTFFPKPWSPCLDVEHNNGPDGCALFFLQNRFKLVNSANIRLTAMTLKTNQVAIAQTLECKESGRQFCIAVTHLKARTGWERFRSAQGCDLLQNLQNITQGAKIPLIVCGDFNAEPTEEVYKHFASSSLNLNSAYKLLSADGQSEPPYTTWKIRTSGECRHTLDYIWYSKHALNVRSALDLLTEEQIGPNRLPSFNYPSDHLSLVCDFSFTEESDGLS
+>DECOY_sp|Q9UK39|NOCT_HUMAN Nocturnin OS=Homo sapiens OX=9606 GN=NOCT PE=2 SV=2
+SLGDSEETFSFDCVLSLHDSPYNFSPLRNPGIQEETLLDLASRVNLAHKSYWIYDLTHRCEGSTRIKWTTYPPESQGDASLLKYASNLNLSSSAFHKYVEETPEANFDGCVILPIKAGQTINQLNQLLDCGQASRFREWGTRAKLHTVAICFQRGSEKCELTQAIAVQNTKLTMATLRINASNVLKFRNQLFFLACGDPGNNHEVDLCPSWPKPFFTGQYGLRSLLPQFTDFYHDVEQLCLIDPQYALIEELILCKREEWKLAEVPCQVFNDKGEGLAQALINWQMVRIPPHTSPCDTRLDVFDRQFRPPRTHLVARCEELLEKPDIPELHEPDPSVLYEPHQSAASSNLTKALASYLRSTGTGMSCVTRSCSRAAGSAASAAALLRPSAPRPVAAPPSLPRRLGPAPLRRLGPADRQLLASCLRRPSHFM
+>sp|Q96S42|NODAL_HUMAN Nodal homolog OS=Homo sapiens OX=9606 GN=NODAL PE=1 SV=2
+MHAHCLPFLLHAWWALLQAGAATVATALLRTRGQPSSPSPLAYMLSLYRDPLPRADIIRSLQAEDVAVDGQNWTFAFDFSFLSQQEDLAWAELRLQLSSPVDLPTEGSLAIEIFHQPKPDTEQASDSCLERFQMDLFTVTLSQVTFSLGSMVLEVTRPLSKWLKHPGALEKQMSRVAGECWPRPPTPPATNVLLMLYSNLSQEQRQLGGSTLLWEAESSWRAQEGQLSWEWGKRHRRHHLPDRSQLCRKVKFQVDFNLIGWGSWIIYPKQYNAYRCEGECPNPVGEEFHPTNHAYIQSLLKRYQPHRVPSTCCAPVKTKPLSMLYVDNGRVLLDHHKDMIVEECGCL
+>DECOY_sp|Q96S42|NODAL_HUMAN Nodal homolog OS=Homo sapiens OX=9606 GN=NODAL PE=1 SV=2
+LCGCEEVIMDKHHDLLVRGNDVYLMSLPKTKVPACCTSPVRHPQYRKLLSQIYAHNTPHFEEGVPNPCEGECRYANYQKPYIIWSGWGILNFDVQFKVKRCLQSRDPLHHRRHRKGWEWSLQGEQARWSSEAEWLLTSGGLQRQEQSLNSYLMLLVNTAPPTPPRPWCEGAVRSMQKELAGPHKLWKSLPRTVELVMSGLSFTVQSLTVTFLDMQFRELCSDSAQETDPKPQHFIEIALSGETPLDVPSSLQLRLEAWALDEQQSLFSFDFAFTWNQGDVAVDEAQLSRIIDARPLPDRYLSLMYALPSPSSPQGRTRLLATAVTAAGAQLLAWWAHLLFPLCHAHM
+>sp|P61244|MAX_HUMAN Protein max OS=Homo sapiens OX=9606 GN=MAX PE=1 SV=1
+MSDNDDIEVESDEEQPRFQSAADKRAHHNALERKRRDHIKDSFHSLRDSVPSLQGEKASRAQILDKATEYIQYMRRKNHTHQQDIDDLKRQNALLEQQVRALEKARSSAQLQTNYPSSDNSLYTNAKGSTISAFDGGSDSSSESEPEEPQSRKKLRMEAS
+>DECOY_sp|P61244|MAX_HUMAN Protein max OS=Homo sapiens OX=9606 GN=MAX PE=1 SV=1
+SAEMRLKKRSQPEEPESESSSDSGGDFASITSGKANTYLSNDSSPYNTQLQASSRAKELARVQQELLANQRKLDDIDQQHTHNKRRMYQIYETAKDLIQARSAKEGQLSPVSDRLSHFSDKIHDRRKRELANHHARKDAASQFRPQEEDSEVEIDDNDSM
+>sp|P56270|MAZ_HUMAN Myc-associated zinc finger protein OS=Homo sapiens OX=9606 GN=MAZ PE=1 SV=1
+MFPVFPCTLLAPPFPVLGLDSRGVGGLMNSFPPPQGHAQNPLQVGAELQSRFFASQGCAQSPFQAAPAPPPTPQAPAAEPLQVDLLPVLAAAQESAAAAAAAAAAAAAVAAAPPAPAAASTVDTAALKQPPAPPPPPPPVSAPAAEAAPPASAATIAAAAATAVVAPTSTVAVAPVASALEKKTKSKGPYICALCAKEFKNGYNLRRHEAIHTGAKAGRVPSGAMKMPTMVPLSLLSVPQLSGAGGGGGEAGAGGGAAAVAAGGVVTTTASGKRIRKNHACEMCGKAFRDVYHLNRHKLSHSDEKPYQCPVCQQRFKRKDRMSYHVRSHDGAVHKPYNCSHCGKSFSRPDHLNSHVRQVHSTERPFKCEKCEAAFATKDRLRAHTVRHEEKVPCHVCGKMLSSAYISDHMKVHSQGPHHVCELCNKGTGEVCPMAAAAAAAAAAAAAAVAAPPTAVGSLSGAEGVPVSSQPLPSQPW
+>DECOY_sp|P56270|MAZ_HUMAN Myc-associated zinc finger protein OS=Homo sapiens OX=9606 GN=MAZ PE=1 SV=1
+WPQSPLPQSSVPVGEAGSLSGVATPPAAVAAAAAAAAAAAAAAMPCVEGTGKNCLECVHHPGQSHVKMHDSIYASSLMKGCVHCPVKEEHRVTHARLRDKTAFAAECKECKFPRETSHVQRVHSNLHDPRSFSKGCHSCNYPKHVAGDHSRVHYSMRDKRKFRQQCVPCQYPKEDSHSLKHRNLHYVDRFAKGCMECAHNKRIRKGSATTTVVGGAAVAAAGGGAGAEGGGGGAGSLQPVSLLSLPVMTPMKMAGSPVRGAKAGTHIAEHRRLNYGNKFEKACLACIYPGKSKTKKELASAVPAVAVTSTPAVVATAAAAAITAASAPPAAEAAPASVPPPPPPPAPPQKLAATDVTSAAAPAPPAAAVAAAAAAAAAAAAASEQAAALVPLLDVQLPEAAPAQPTPPPAPAAQFPSQACGQSAFFRSQLEAGVQLPNQAHGQPPPFSNMLGGVGRSDLGLVPFPPALLTCPFVPFM
+>sp|A6NJ08|MB3L5_HUMAN Putative methyl-CpG-binding domain protein 3-like 5 OS=Homo sapiens OX=9606 GN=MBD3L5 PE=5 SV=1
+MGEPAFTSFPSPPVLGKLKRNMMPWALQKKREIHMAKAHRRRAARSALPMRLTSCIFRRPVTRIRSHPDNQVRRRKGDEHLEKPQQLCAYRRLQALQPCSSQGEGSSPLHLESVLSILAPGTAGESLDRAGAERVRIPLEPTPGRFPAVAGGPTPGMGCQLPPPLSGQLVTPADIRRQARRVKKARERLAKALQADRLARQAEMLTGG
+>DECOY_sp|A6NJ08|MB3L5_HUMAN Putative methyl-CpG-binding domain protein 3-like 5 OS=Homo sapiens OX=9606 GN=MBD3L5 PE=5 SV=1
+GGTLMEAQRALRDAQLAKALRERAKKVRRAQRRIDAPTVLQGSLPPPLQCGMGPTPGGAVAPFRGPTPELPIRVREAGARDLSEGATGPALISLVSELHLPSSGEGQSSCPQLAQLRRYACLQQPKELHEDGKRRRVQNDPHSRIRTVPRRFICSTLRMPLASRAARRRHAKAMHIERKKQLAWPMMNRKLKGLVPPSPFSTFAPEGM
+>sp|Q9BQG0|MBB1A_HUMAN Myb-binding protein 1A OS=Homo sapiens OX=9606 GN=MYBBP1A PE=1 SV=2
+MESRDPAQPMSPGEATQSGARPADRYGLLKHSREFLDFFWDIAKPEQETRLAATEKLLEYLRGRPKGSEMKYALKRLITGLGVGRETARPCYSLALAQLLQSFEDLPLCSILQQIQEKYDLHQVKKAMLRPALFANLFGVLALFQSGRLVKDQEALMKSVKLLQALAQYQNHLQEQPRKALVDILSEVSKATLQEILPEVLKADLNIILSSPEQLELFLLAQQKVPSKLKKLVGSVNLFSDENVPRLVNVLKMAASSVKKDRKLPAIALDLLRLALKEDKFPRFWKEVVEQGLLKMQFWPASYLCFRLLGAALPLLTKEQLHLVMQGDVIRHYGEHVCTAKLPKQFKFAPEMDDYVGTFLEGCQDDPERQLAVLVAFSSVTNQGLPVTPTFWRVVRFLSPPALQGYVAWLRAMFLQPDLDSLVDFSTNNQKKAQDSSLHMPERAVFRLRKWIIFRLVSIVDSLHLEMEEALTEQVARFCLFHSFFVTKKPTSQIPETKHPFSFPLENQAREAVSSAFFSLLQTLSTQFKQAPGQTQGGQPWTYHLVQFADLLLNHSHNVTTVTPFTAQQRQAWDRMLQTLKELEAHSAEARAAAFQHLLLLVGIHLLKSPAESCDLLGDIQTCIRKSLGEKPRRSRTKTIDPQEPPWVEVLVEILLALLAQPSHLMRQVARSVFGHICSHLTPRALQLILDVLNPETSEDENDRVVVTDDSDERRLKGAEDKSEEGEDNRSSESEEESEGEESEEEERDGDVDQGFREQLMTVLQAGKALGGEDSENEEELGDEAMMALDQSLASLFAEQKLRIQARRDEKNKLQKEKALRRDFQIRVLDLVEVLVTKQPENALVLELLEPLLSIIRRSLRSSSSKQEQDLLHKTARIFTHHLCRARRYCHDLGERAGALHAQVERLVQQAGRQPDSPTALYHFNASLYLLRVLKGNTAEGCVHETQEKQKAGTDPSHMPTGPQAASCLDLNLVTRVYSTALSSFLTKRNSPLTVPMFLSLFSRHPVLCQSLLPILVQHITGPVRPRHQACLLLQKTLSMREVRSCFEDPEWKQLMGQVLAKVTENLRVLGEAQTKAQHQQALSSLELLNVLFRTCKHEKLTLDLTVLLGVLQGQQQSLQQGAHSTGSSRLHDLYWQAMKTLGVQRPKLEKKDAKEIPSATQSPISKKRKKKGFLPETKKRKKRKSEDGTPAEDGTPAATGGSQPPSMGRKKRNRTKAKVPAQANGTPTTKSPAPGAPTRSPSTPAKSPKLQKKNQKPSQVNGAPGSPTEPAGQKQHQKALPKKGVLGKSPLSALARKKARLSLVIRSPSLLQSGAKKKAQVRKAGKP
+>DECOY_sp|Q9BQG0|MBB1A_HUMAN Myb-binding protein 1A OS=Homo sapiens OX=9606 GN=MYBBP1A PE=1 SV=2
+PKGAKRVQAKKKAGSQLLSPSRIVLSLRAKKRALASLPSKGLVGKKPLAKQHQKQGAPETPSGPAGNVQSPKQNKKQLKPSKAPTSPSRTPAGPAPSKTTPTGNAQAPVKAKTRNRKKRGMSPPQSGGTAAPTGDEAPTGDESKRKKRKKTEPLFGKKKRKKSIPSQTASPIEKADKKELKPRQVGLTKMAQWYLDHLRSSGTSHAGQQLSQQQGQLVGLLVTLDLTLKEHKCTRFLVNLLELSSLAQQHQAKTQAEGLVRLNETVKALVQGMLQKWEPDEFCSRVERMSLTKQLLLCAQHRPRVPGTIHQVLIPLLSQCLVPHRSFLSLFMPVTLPSNRKTLFSSLATSYVRTVLNLDLCSAAQPGTPMHSPDTGAKQKEQTEHVCGEATNGKLVRLLYLSANFHYLATPSDPQRGAQQVLREVQAHLAGAREGLDHCYRRARCLHHTFIRATKHLLDQEQKSSSSRLSRRIISLLPELLELVLANEPQKTVLVEVLDLVRIQFDRRLAKEKQLKNKEDRRAQIRLKQEAFLSALSQDLAMMAEDGLEEENESDEGGLAKGAQLVTMLQERFGQDVDGDREEEESEEGESEEESESSRNDEGEESKDEAGKLRREDSDDTVVVRDNEDESTEPNLVDLILQLARPTLHSCIHGFVSRAVQRMLHSPQALLALLIEVLVEVWPPEQPDITKTRSRRPKEGLSKRICTQIDGLLDCSEAPSKLLHIGVLLLLHQFAAARAEASHAELEKLTQLMRDWAQRQQATFPTVTTVNHSHNLLLDAFQVLHYTWPQGGQTQGPAQKFQTSLTQLLSFFASSVAERAQNELPFSFPHKTEPIQSTPKKTVFFSHFLCFRAVQETLAEEMELHLSDVISVLRFIIWKRLRFVAREPMHLSSDQAKKQNNTSFDVLSDLDPQLFMARLWAVYGQLAPPSLFRVVRWFTPTVPLGQNTVSSFAVLVALQREPDDQCGELFTGVYDDMEPAFKFQKPLKATCVHEGYHRIVDGQMVLHLQEKTLLPLAAGLLRFCLYSAPWFQMKLLGQEVVEKWFRPFKDEKLALRLLDLAIAPLKRDKKVSSAAMKLVNVLRPVNEDSFLNVSGVLKKLKSPVKQQALLFLELQEPSSLIINLDAKLVEPLIEQLTAKSVESLIDVLAKRPQEQLHNQYQALAQLLKVSKMLAEQDKVLRGSQFLALVGFLNAFLAPRLMAKKVQHLDYKEQIQQLISCLPLDEFSQLLQALALSYCPRATERGVGLGTILRKLAYKMESGKPRGRLYELLKETAALRTEQEPKAIDWFFDLFERSHKLLGYRDAPRAGSQTAEGPSMPQAPDRSEM
+>sp|O95983|MBD3_HUMAN Methyl-CpG-binding domain protein 3 OS=Homo sapiens OX=9606 GN=MBD3 PE=1 SV=1
+MERKRWECPALPQGWEREEVPRRSGLSAGHRDVFYYSPSGKKFRSKPQLARYLGGSMDLSTFDFRTGKMLMSKMNKSRQRVRYDSSNQVKGKPDLNTALPVRQTASIFKQPVTKITNHPSNKVKSDPQKAVDQPRQLFWEKKLSGLNAFDIAEELVKTMDLPKGLQGVGPGCTDETLLSAIASALHTSTMPITGQLSAAVEKNPGVWLNTTQPLCKAFMVTDEDIRKQEELVQQVRKRLEEALMADMLAHVEELARDGEAPLDKACAEDDDEEDEEEEEEEPDPDPEMEHV
+>DECOY_sp|O95983|MBD3_HUMAN Methyl-CpG-binding domain protein 3 OS=Homo sapiens OX=9606 GN=MBD3 PE=1 SV=1
+VHEMEPDPDPEEEEEEEDEEDDDEACAKDLPAEGDRALEEVHALMDAMLAEELRKRVQQVLEEQKRIDEDTVMFAKCLPQTTNLWVGPNKEVAASLQGTIPMTSTHLASAIASLLTEDTCGPGVGQLGKPLDMTKVLEEAIDFANLGSLKKEWFLQRPQDVAKQPDSKVKNSPHNTIKTVPQKFISATQRVPLATNLDPKGKVQNSSDYRVRQRSKNMKSMLMKGTRFDFTSLDMSGGLYRALQPKSRFKKGSPSYYFVDRHGASLGSRRPVEEREWGQPLAPCEWRKREM
+>sp|Q9P267|MBD5_HUMAN Methyl-CpG-binding domain protein 5 OS=Homo sapiens OX=9606 GN=MBD5 PE=1 SV=3
+MNGGKECDGGDKEGGLPAIQVPVGWQRRVDQNGVLYVSPSGSLLSCLEQVKTYLLTDGTCKCGLECPLILPKVFNFDPGAAVKQRTAEDVKADEDVTKLCIHKRKIIAVATLHKSMEAPHPSLVLTSPGGGTNATPVVPSRAATPRSVRNKSHEGITNSVMPECKNPFKLMIGSSNAMGRLYVQELPGSQQQELHPVYPRQRLGSSEHGQKSPFRGSHGGLPSPASSGSQIYGDGSISPRTDPLGSPDVFTRSNPGFHGAPNSSPIHLNRTPLSPPSVMLHGSPVQSSCAMAGRTNIPLSPTLTTKSPVMKKPMCNFSTNMEIPRAMFHHKPPQGPPPPPPPSCALQKKPLTSEKDPLGILDPIPSKPVNQNPVIINPTSFHSNVHSQVPMMNVSMPPAVVPLPSNLPLPTVKPGHMNHGSHVQRVQHSASTSLSPSPVTSPVHMMGTGIGRIEASPQRSRSSSTSSDHGNFMMPPVGPQATSSGIKVPPRSPRSTIGSPRPSMPSSPSTKSDGHHQYKDIPNPLIAGISNVLNTPSSAAFPTASAGSSSVKSQPGLLGMPLNQILNQHNAASFPASSLLSAAAKAQLANQNKLAGNNSSSSSNSGAVAGSGNTEGHSTLNTMFPPTANMLLPTGEGQSGRAALRDKLMSQQKDALRKRKQPPTTVLSLLRQSQMDSSAVPKPGPDLLRKQGQGSFPISSMSQLLQSMSCQSSHLSSNSTPGCGASNTALPCSANQLHFTDPSMNSSVLQNIPLRGEAVHCHNANTNFVHSNSPVPNHHLAGLINQIQASGNCGMLSQSGMALGNSLHPNPPQSRISTSSTPVIPNSIVSSYNQTSSEAGGSGPSSSIAIAGTNHPAITKTTSVLQDGVIVTTAAGNPLQSQLPIGSDFPFVGQEHALHFPSNSTSNNHLPHPLNPSLLSSLPISLPVNQQHLLNQNLLNILQPSAGEGDMSSINNTLSNHQLTHLQSLLNNNQMFPPNQQQQQLLQGYQNLQAFQGQSTIPCPANNNPMACLFQNFQVRMQEDAALLNKRISTQPGLTALPENPNTTLPPFQDTPCELQPRIDPSLGQQVKDGLVVGGPGDASVDAIYKAVVDAASKGMQVVITTAVNSTTQISPIPALSAMSAFTASIGDPLNLSSAVSAVIHGRNMGGVDHDGRLRNSRGARLPKNLDHGKNVNEGDGFEYFKSASCHTSKKQWDGEQSPRGERNRWKYEEFLDHPGHIHSSPCHERPNNVSTLPFLPGEQHPILLPPRNCPGDKILEENFRYNNYKRTMMSFKERLENTVERCAHINGNRPRQSRGFGELLSTAKQDLVLEEQSPSSSNSLENSLVKDYIHYNGDFNAKSVNGCVPSPSDAKSISSEDDLRNPDSPSSNELIHYRPRTFNVGDLVWGQIKGLTSWPGKLVREDDVHNSCQQSPEEGKVEPEKLKTLTEGLEAYSRVRKRNRKSGKLNNHLEAAIHEAMSELDKMSGTVHQIPQGDRQMRPPKPKRRKISR
+>DECOY_sp|Q9P267|MBD5_HUMAN Methyl-CpG-binding domain protein 5 OS=Homo sapiens OX=9606 GN=MBD5 PE=1 SV=3
+RSIKRRKPKPPRMQRDGQPIQHVTGSMKDLESMAEHIAAELHNNLKGSKRNRKRVRSYAELGETLTKLKEPEVKGEEPSQQCSNHVDDERVLKGPWSTLGKIQGWVLDGVNFTRPRYHILENSSPSDPNRLDDESSISKADSPSPVCGNVSKANFDGNYHIYDKVLSNELSNSSSPSQEELVLDQKATSLLEGFGRSQRPRNGNIHACREVTNELREKFSMMTRKYNNYRFNEELIKDGPCNRPPLLIPHQEGPLFPLTSVNNPREHCPSSHIHGPHDLFEEYKWRNREGRPSQEGDWQKKSTHCSASKFYEFGDGENVNKGHDLNKPLRAGRSNRLRGDHDVGGMNRGHIVASVASSLNLPDGISATFASMASLAPIPSIQTTSNVATTIVVQMGKSAADVVAKYIADVSADGPGGVVLGDKVQQGLSPDIRPQLECPTDQFPPLTTNPNEPLATLGPQTSIRKNLLAADEQMRVQFNQFLCAMPNNNAPCPITSQGQFAQLNQYGQLLQQQQQNPPFMQNNNLLSQLHTLQHNSLTNNISSMDGEGASPQLINLLNQNLLHQQNVPLSIPLSSLLSPNLPHPLHNNSTSNSPFHLAHEQGVFPFDSGIPLQSQLPNGAATTVIVGDQLVSTTKTIAPHNTGAIAISSSPGSGGAESSTQNYSSVISNPIVPTSSTSIRSQPPNPHLSNGLAMGSQSLMGCNGSAQIQNILGALHHNPVPSNSHVFNTNANHCHVAEGRLPINQLVSSNMSPDTFHLQNASCPLATNSAGCGPTSNSSLHSSQCSMSQLLQSMSSIPFSGQGQKRLLDPGPKPVASSDMQSQRLLSLVTTPPQKRKRLADKQQSMLKDRLAARGSQGEGTPLLMNATPPFMTNLTSHGETNGSGAVAGSNSSSSSNNGALKNQNALQAKAAASLLSSAPFSAANHQNLIQNLPMGLLGPQSKVSSSGASATPFAASSPTNLVNSIGAILPNPIDKYQHHGDSKTSPSSPMSPRPSGITSRPSRPPVKIGSSTAQPGVPPMMFNGHDSSTSSSRSRQPSAEIRGIGTGMMHVPSTVPSPSLSTSASHQVRQVHSGHNMHGPKVTPLPLNSPLPVVAPPMSVNMMPVQSHVNSHFSTPNIIVPNQNVPKSPIPDLIGLPDKESTLPKKQLACSPPPPPPPGQPPKHHFMARPIEMNTSFNCMPKKMVPSKTTLTPSLPINTRGAMACSSQVPSGHLMVSPPSLPTRNLHIPSSNPAGHFGPNSRTFVDPSGLPDTRPSISGDGYIQSGSSAPSPLGGHSGRFPSKQGHESSGLRQRPYVPHLEQQQSGPLEQVYLRGMANSSGIMLKFPNKCEPMVSNTIGEHSKNRVSRPTAARSPVVPTANTGGGPSTLVLSPHPAEMSKHLTAVAIIKRKHICLKTVDEDAKVDEATRQKVAAGPDFNFVKPLILPCELGCKCTGDTLLYTKVQELCSLLSGSPSVYLVGNQDVRRQWGVPVQIAPLGGEKDGGDCEKGGNM
+>sp|Q14703|MBTP1_HUMAN Membrane-bound transcription factor site-1 protease OS=Homo sapiens OX=9606 GN=MBTPS1 PE=1 SV=1
+MKLVNIWLLLLVVLLCGKKHLGDRLEKKSFEKAPCPGCSHLTLKVEFSSTVVEYEYIVAFNGYFTAKARNSFISSALKSSEVDNWRIIPRNNPSSDYPSDFEVIQIKEKQKAGLLTLEDHPNIKRVTPQRKVFRSLKYAESDPTVPCNETRWSQKWQSSRPLRRASLSLGSGFWHATGRHSSRRLLRAIPRQVAQTLQADVLWQMGYTGANVRVAVFDTGLSEKHPHFKNVKERTNWTNERTLDDGLGHGTFVAGVIASMRECQGFAPDAELHIFRVFTNNQVSYTSWFLDAFNYAILKKIDVLNLSIGGPDFMDHPFVDKVWELTANNVIMVSAIGNDGPLYGTLNNPADQMDVIGVGGIDFEDNIARFSSRGMTTWELPGGYGRMKPDIVTYGAGVRGSGVKGGCRALSGTSVASPVVAGAVTLLVSTVQKRELVNPASMKQALIASARRLPGVNMFEQGHGKLDLLRAYQILNSYKPQASLSPSYIDLTECPYMWPYCSQPIYYGGMPTVVNVTILNGMGVTGRIVDKPDWQPYLPQNGDNIEVAFSYSSVLWPWSGYLAISISVTKKAASWEGIAQGHVMITVASPAETESKNGAEQTSTVKLPIKVKIIPTPPRSKRVLWDQYHNLRYPPGYFPRDNLRMKNDPLDWNGDHIHTNFRDMYQHLRSMGYFVEVLGAPFTCFDASQYGTLLMVDSEEEYFPEEIAKLRRDVDNGLSLVIFSDWYNTSVMRKVKFYDENTRQWWMPDTGGANIPALNELLSVWNMGFSDGLYEGEFTLANHDMYYASGCSIAKFPEDGVVITQTFKDQGLEVLKQETAVVENVPILGLYQIPAEGGGRIVLYGDSNCLDDSHRQKDCFWLLDALLQYTSYGVTPPSLSHSGNRQRPPSGAGSVTPERMEGNHLHRYSKVLEAHLGDPKPRPLPACPRLSWAKPQPLNETAPSNLWKHQKLLSIDLDKVVLPNFRSNRPQVRPLSPGESGAWDIPGGIMPGRYNQEVGQTIPVFAFLGAMVVLAFFVVQINKAKSRPKRRKPRVKRPQLMQQVHPPKTPSV
+>DECOY_sp|Q14703|MBTP1_HUMAN Membrane-bound transcription factor site-1 protease OS=Homo sapiens OX=9606 GN=MBTPS1 PE=1 SV=1
+VSPTKPPHVQQMLQPRKVRPKRRKPRSKAKNIQVVFFALVVMAGLFAFVPITQGVEQNYRGPMIGGPIDWAGSEGPSLPRVQPRNSRFNPLVVKDLDISLLKQHKWLNSPATENLPQPKAWSLRPCAPLPRPKPDGLHAELVKSYRHLHNGEMREPTVSGAGSPPRQRNGSHSLSPPTVGYSTYQLLADLLWFCDKQRHSDDLCNSDGYLVIRGGGEAPIQYLGLIPVNEVVATEQKLVELGQDKFTQTIVVGDEPFKAISCGSAYYMDHNALTFEGEYLGDSFGMNWVSLLENLAPINAGGTDPMWWQRTNEDYFKVKRMVSTNYWDSFIVLSLGNDVDRRLKAIEEPFYEEESDVMLLTGYQSADFCTFPAGLVEVFYGMSRLHQYMDRFNTHIHDGNWDLPDNKMRLNDRPFYGPPYRLNHYQDWLVRKSRPPTPIIKVKIPLKVTSTQEAGNKSETEAPSAVTIMVHGQAIGEWSAAKKTVSISIALYGSWPWLVSSYSFAVEINDGNQPLYPQWDPKDVIRGTVGMGNLITVNVVTPMGGYYIPQSCYPWMYPCETLDIYSPSLSAQPKYSNLIQYARLLDLKGHGQEFMNVGPLRRASAILAQKMSAPNVLERKQVTSVLLTVAGAVVPSAVSTGSLARCGGKVGSGRVGAGYTVIDPKMRGYGGPLEWTTMGRSSFRAINDEFDIGGVGIVDMQDAPNNLTGYLPGDNGIASVMIVNNATLEWVKDVFPHDMFDPGGISLNLVDIKKLIAYNFADLFWSTYSVQNNTFVRFIHLEADPAFGQCERMSAIVGAVFTGHGLGDDLTRENTWNTREKVNKFHPHKESLGTDFVAVRVNAGTYGMQWLVDAQLTQAVQRPIARLLRRSSHRGTAHWFGSGLSLSARRLPRSSQWKQSWRTENCPVTPDSEAYKLSRFVKRQPTVRKINPHDELTLLGAKQKEKIQIVEFDSPYDSSPNNRPIIRWNDVESSKLASSIFSNRAKATFYGNFAVIYEYEVVTSSFEVKLTLHSCGPCPAKEFSKKELRDGLHKKGCLLVVLLLLWINVLKM
+>sp|O43462|MBTP2_HUMAN Membrane-bound transcription factor site-2 protease OS=Homo sapiens OX=9606 GN=MBTPS2 PE=1 SV=1
+MIPVSLVVVVVGGWTVVYLTDLVLKSSVYFKHSYEDWLENNGLSISPFHIRWQTAVFNRAFYSWGRRKARMLYQWFNFGMVFGVIAMFSSFFLLGKTLMQTLAQMMADSPSSYSSSSSSSSSSSSSSSSSSSSSSSLHNEQVLQVVVPGINLPVNQLTYFFTAVLISGVVHEIGHGIAAIREQVRFNGFGIFLFIIYPGAFVDLFTTHLQLISPVQQLRIFCAGIWHNFVLALLGILALVLLPVILLPFYYTGVGVLITEVAEDSPAIGPRGLFVGDLVTHLQDCPVTNVQDWNECLDTIAYEPQIGYCISASTLQQLSFPVRAYKRLDGSTECCNNHSLTDVCFSYRNNFNKRLHTCLPARKAVEATQVCRTNKDCKKSSSSSFCIIPSLETHTRLIKVKHPPQIDMLYVGHPLHLHYTVSITSFIPRFNFLSIDLPVVVETFVKYLISLSGALAIVNAVPCFALDGQWILNSFLDATLTSVIGDNDVKDLIGFFILLGGSVLLAANVTLGLWMVTAR
+>DECOY_sp|O43462|MBTP2_HUMAN Membrane-bound transcription factor site-2 protease OS=Homo sapiens OX=9606 GN=MBTPS2 PE=1 SV=1
+RATVMWLGLTVNAALLVSGGLLIFFGILDKVDNDGIVSTLTADLFSNLIWQGDLAFCPVANVIALAGSLSILYKVFTEVVVPLDISLFNFRPIFSTISVTYHLHLPHGVYLMDIQPPHKVKILRTHTELSPIICFSSSSSKKCDKNTRCVQTAEVAKRAPLCTHLRKNFNNRYSFCVDTLSHNNCCETSGDLRKYARVPFSLQQLTSASICYGIQPEYAITDLCENWDQVNTVPCDQLHTVLDGVFLGRPGIAPSDEAVETILVGVGTYYFPLLIVPLLVLALIGLLALVFNHWIGACFIRLQQVPSILQLHTTFLDVFAGPYIIFLFIGFGNFRVQERIAAIGHGIEHVVGSILVATFFYTLQNVPLNIGPVVVQLVQENHLSSSSSSSSSSSSSSSSSSSSSSSYSSPSDAMMQALTQMLTKGLLFFSSFMAIVGFVMGFNFWQYLMRAKRRGWSYFARNFVATQWRIHFPSISLGNNELWDEYSHKFYVSSKLVLDTLYVVTWGGVVVVVLSVPIM
+>sp|Q4G0Z9|MCMD2_HUMAN Minichromosome maintenance domain-containing protein 2 OS=Homo sapiens OX=9606 GN=MCMDC2 PE=1 SV=3
+MSNLKMKEAALIYLDRSGGLQKFIDDCKYYNDSKQSYAVYRFKILINPSDVVELDAELGNHILHQPLKAAEVFQSVCFIAVKTLSLIGQLQTETQINIVLKLTHLPPLPSYGLDLCEFPLDYTSQRFYMMQGIVIAMTTITKYTQGARFLCSDEACPLSKGFQYIRVHVPGATESATIRNDFLCNLCASSLQEDRKFRVLGDKQIVEIIATKALRAFQGYSNNQPFRFQSLTIFLRDESVNKMNIGNEYKIIGIPTCVKTSQTAVCIEANSITFCNSKVPSGISDNFRCLLSLTSSSCWKFTAILANIFASQITPPGTYNLLKLCLLMSLVQTTDRNKELEDCLDILIITSDTLLIDRLLNFSINLVPRGIRHLVSTEIFPTLSRNKYGTGAVSIQAGSALLAKGGICFIGDLASHKKDKLEQLQTVLESRSITVYIPGKKFGEDIDQQMTFPVQCSFWSFVDVDSSSRRNAQKINTLIGQMDCSLIPANLVEAFGLLINCNESSPCHPFLPTVQHTLNKAINPEGLFYAASRQFTTEDFEKLLAFAKNLNVEFSLEAERMTHGYYLASRRIRTGSVCGSKLSASALKYLVFLSEAHARLNLRNKVLKEDVLIAALLFETSLTLKYGATVFCVAPNAVFPFELYNEEYLEQRDLYLTQCQQQLEQFIATYGPGTTIFSSDE
+>DECOY_sp|Q4G0Z9|MCMD2_HUMAN Minichromosome maintenance domain-containing protein 2 OS=Homo sapiens OX=9606 GN=MCMDC2 PE=1 SV=3
+EDSSFITTGPGYTAIFQELQQQCQTLYLDRQELYEENYLEFPFVANPAVCFVTAGYKLTLSTEFLLAAILVDEKLVKNRLNLRAHAESLFVLYKLASASLKSGCVSGTRIRRSALYYGHTMREAELSFEVNLNKAFALLKEFDETTFQRSAAYFLGEPNIAKNLTHQVTPLFPHCPSSENCNILLGFAEVLNAPILSCDMQGILTNIKQANRRSSSDVDVFSWFSCQVPFTMQQDIDEGFKKGPIYVTISRSELVTQLQELKDKKHSALDGIFCIGGKALLASGAQISVAGTGYKNRSLTPFIETSVLHRIGRPVLNISFNLLRDILLTDSTIILIDLCDELEKNRDTTQVLSMLLCLKLLNYTGPPTIQSAFINALIATFKWCSSSTLSLLCRFNDSIGSPVKSNCFTISNAEICVATQSTKVCTPIGIIKYENGINMKNVSEDRLFITLSQFRFPQNNSYGQFARLAKTAIIEVIQKDGLVRFKRDEQLSSACLNCLFDNRITASETAGPVHVRIYQFGKSLPCAEDSCLFRAGQTYKTITTMAIVIGQMMYFRQSTYDLPFECLDLGYSPLPPLHTLKLVINIQTETQLQGILSLTKVAIFCVSQFVEAAKLPQHLIHNGLEADLEVVDSPNILIKFRYVAYSQKSDNYYKCDDIFKQLGGSRDLYILAAEKMKLNSM
+>sp|P15529|MCP_HUMAN Membrane cofactor protein OS=Homo sapiens OX=9606 GN=CD46 PE=1 SV=3
+MEPPGRRECPFPSWRFPGLLLAAMVLLLYSFSDACEEPPTFEAMELIGKPKPYYEIGERVDYKCKKGYFYIPPLATHTICDRNHTWLPVSDDACYRETCPYIRDPLNGQAVPANGTYEFGYQMHFICNEGYYLIGEEILYCELKGSVAIWSGKPPICEKVLCTPPPKIKNGKHTFSEVEVFEYLDAVTYSCDPAPGPDPFSLIGESTIYCGDNSVWSRAAPECKVVKCRFPVVENGKQISGFGKKFYYKATVMFECDKGFYLDGSDTIVCDSNSTWDPPVPKCLKVLPPSSTKPPALSHSVSTSSTTKSPASSASGPRPTYKPPVSNYPGYPKPEEGILDSLDVWVIAVIVIAIVVGVAVICVVPYRYLQRRKKKGTYLTDETHREVKFTSL
+>DECOY_sp|P15529|MCP_HUMAN Membrane cofactor protein OS=Homo sapiens OX=9606 GN=CD46 PE=1 SV=3
+LSTFKVERHTEDTLYTGKKKRRQLYRYPVVCIVAVGVVIAIVIVAIVWVDLSDLIGEEPKPYGPYNSVPPKYTPRPGSASSAPSKTTSSTSVSHSLAPPKTSSPPLVKLCKPVPPDWTSNSDCVITDSGDLYFGKDCEFMVTAKYYFKKGFGSIQKGNEVVPFRCKVVKCEPAARSWVSNDGCYITSEGILSFPDPGPAPDCSYTVADLYEFVEVESFTHKGNKIKPPPTCLVKECIPPKGSWIAVSGKLECYLIEEGILYYGENCIFHMQYGFEYTGNAPVAQGNLPDRIYPCTERYCADDSVPLWTHNRDCITHTALPPIYFYGKKCKYDVREGIEYYPKPKGILEMAEFTPPEECADSFSYLLLVMAALLLGPFRWSPFPCERRGPPEM
+>sp|Q8NE86|MCU_HUMAN Calcium uniporter protein, mitochondrial OS=Homo sapiens OX=9606 GN=MCU PE=1 SV=1
+MAAAAGRSLLLLLSSRGGGGGGAGGCGALTAGCFPGLGVSRHRQQQHHRTVHQRIASWQNLGAVYCSTVVPSDDVTVVYQNGLPVISVRLPSRRERCQFTLKPISDSVGVFLRQLQEEDRGIDRVAIYSPDGVRVAASTGIDLLLLDDFKLVINDLTYHVRPPKRDLLSHENAATLNDVKTLVQQLYTTLCIEQHQLNKERELIERLEDLKEQLAPLEKVRIEISRKAEKRTTLVLWGGLAYMATQFGILARLTWWEYSWDIMEPVTYFITYGSAMAMYAYFVMTRQEYVYPEARDRQYLLFFHKGAKKSRFDLEKYNQLKDAIAQAEMDLKRLRDPLQVHLPLRQIGEKD
+>DECOY_sp|Q8NE86|MCU_HUMAN Calcium uniporter protein, mitochondrial OS=Homo sapiens OX=9606 GN=MCU PE=1 SV=1
+DKEGIQRLPLHVQLPDRLRKLDMEAQAIADKLQNYKELDFRSKKAGKHFFLLYQRDRAEPYVYEQRTMVFYAYMAMASGYTIFYTVPEMIDWSYEWWTLRALIGFQTAMYALGGWLVLTTRKEAKRSIEIRVKELPALQEKLDELREILEREKNLQHQEICLTTYLQQVLTKVDNLTAANEHSLLDRKPPRVHYTLDNIVLKFDDLLLLDIGTSAAVRVGDPSYIAVRDIGRDEEQLQRLFVGVSDSIPKLTFQCRERRSPLRVSIVPLGNQYVVTVDDSPVVTSCYVAGLNQWSAIRQHVTRHHQQQRHRSVGLGPFCGATLAGCGGAGGGGGGRSSLLLLLSRGAAAAM
+>sp|Q5I0G3|MDH1B_HUMAN Putative malate dehydrogenase 1B OS=Homo sapiens OX=9606 GN=MDH1B PE=2 SV=1
+MAKFVIAGRADCPYYAKTELVADYLQKNLPDFRIHKITQRPEVWEDWLKDVCEKNKWSHKNSPIIWRELLDRGGKGLLLGGYNEFLEHAQLYYDVTSSMTTELMMVIAQENLGAHIEKEQEEEALKTCINPLQVWITSASAPACYNLIPILTSGEVFGMHTEISITLFDNKQAEEHLKSLVVETQDLASPVLRSVSICTKVEEAFRQAHVIVVLDDSTNKEVFTLEDCLRSRVPLCRLYGYLIEKNAHESVRVIVGGRTFVNLKTVLLMRYAPRIAHNIIAVALGVEGEAKAILARKLKTAPSYIKDVIIWGNISGNNYVDLRKTRVYRYESAIWGPLHYSRPVLNLIFDSEWVKREFVAILKNLTTTGRQFGGILAAHSIATTLKYWYHGSPPGEIVSLGILSEGQFGIPKGIVFSMPVKFENGTWVVLTDLKDVEISEQIMTRMTSDLIQEKLVALGDKIHFQPYQSGHKDLVPDEEKNLAMSDAAEFPNQIPQTTFEKPQSLEFLNEFEGKTVES
+>DECOY_sp|Q5I0G3|MDH1B_HUMAN Putative malate dehydrogenase 1B OS=Homo sapiens OX=9606 GN=MDH1B PE=2 SV=1
+SEVTKGEFENLFELSQPKEFTTQPIQNPFEAADSMALNKEEDPVLDKHGSQYPQFHIKDGLAVLKEQILDSTMRTMIQESIEVDKLDTLVVWTGNEFKVPMSFVIGKPIGFQGESLIGLSVIEGPPSGHYWYKLTTAISHAALIGGFQRGTTTLNKLIAVFERKVWESDFILNLVPRSYHLPGWIASEYRYVRTKRLDVYNNGSINGWIIVDKIYSPATKLKRALIAKAEGEVGLAVAIINHAIRPAYRMLLVTKLNVFTRGGVIVRVSEHANKEILYGYLRCLPVRSRLCDELTFVEKNTSDDLVVIVHAQRFAEEVKTCISVSRLVPSALDQTEVVLSKLHEEAQKNDFLTISIETHMGFVEGSTLIPILNYCAPASASTIWVQLPNICTKLAEEEQEKEIHAGLNEQAIVMMLETTMSSTVDYYLQAHELFENYGGLLLGKGGRDLLERWIIPSNKHSWKNKECVDKLWDEWVEPRQTIKHIRFDPLNKQLYDAVLETKAYYPCDARGAIVFKAM
+>sp|P40925|MDHC_HUMAN Malate dehydrogenase, cytoplasmic OS=Homo sapiens OX=9606 GN=MDH1 PE=1 SV=4
+MSEPIRVLVTGAAGQIAYSLLYSIGNGSVFGKDQPIILVLLDITPMMGVLDGVLMELQDCALPLLKDVIATDKEDVAFKDLDVAILVGSMPRREGMERKDLLKANVKIFKSQGAALDKYAKKSVKVIVVGNPANTNCLTASKSAPSIPKENFSCLTRLDHNRAKAQIALKLGVTANDVKNVIIWGNHSSTQYPDVNHAKVKLQGKEVGVYEALKDDSWLKGEFVTTVQQRGAAVIKARKLSSAMSAAKAICDHVRDIWFGTPEGEFVSMGVISDGNSYGVPDDLLYSFPVVIKNKTWKFVEGLPINDFSREKMDLTAKELTEEKESAFEFLSSA
+>DECOY_sp|P40925|MDHC_HUMAN Malate dehydrogenase, cytoplasmic OS=Homo sapiens OX=9606 GN=MDH1 PE=1 SV=4
+ASSLFEFASEKEETLEKATLDMKERSFDNIPLGEVFKWTKNKIVVPFSYLLDDPVGYSNGDSIVGMSVFEGEPTGFWIDRVHDCIAKAASMASSLKRAKIVAAGRQQVTTVFEGKLWSDDKLAEYVGVEKGQLKVKAHNVDPYQTSSHNGWIIVNKVDNATVGLKLAIQAKARNHDLRTLCSFNEKPISPASKSATLCNTNAPNGVVIVKVSKKAYKDLAAGQSKFIKVNAKLLDKREMGERRPMSGVLIAVDLDKFAVDEKDTAIVDKLLPLACDQLEMLVGDLVGMMPTIDLLVLIIPQDKGFVSGNGISYLLSYAIQGAAGTVLVRIPESM
+>sp|P40926|MDHM_HUMAN Malate dehydrogenase, mitochondrial OS=Homo sapiens OX=9606 GN=MDH2 PE=1 SV=3
+MLSALARPASAALRRSFSTSAQNNAKVAVLGASGGIGQPLSLLLKNSPLVSRLTLYDIAHTPGVAADLSHIETKAAVKGYLGPEQLPDCLKGCDVVVIPAGVPRKPGMTRDDLFNTNATIVATLTAACAQHCPEAMICVIANPVNSTIPITAEVFKKHGVYNPNKIFGVTTLDIVRANTFVAELKGLDPARVNVPVIGGHAGKTIIPLISQCTPKVDFPQDQLTALTGRIQEAGTEVVKAKAGAGSATLSMAYAGARFVFSLVDAMNGKEGVVECSFVKSQETECTYFSTPLLLGKKGIEKNLGIGKVSSFEEKMISDAIPELKASIKKGEDFVKTLK
+>DECOY_sp|P40926|MDHM_HUMAN Malate dehydrogenase, mitochondrial OS=Homo sapiens OX=9606 GN=MDH2 PE=1 SV=3
+KLTKVFDEGKKISAKLEPIADSIMKEEFSSVKGIGLNKEIGKKGLLLPTSFYTCETEQSKVFSCEVVGEKGNMADVLSFVFRAGAYAMSLTASGAGAKAKVVETGAEQIRGTLATLQDQPFDVKPTCQSILPIITKGAHGGIVPVNVRAPDLGKLEAVFTNARVIDLTTVGFIKNPNYVGHKKFVEATIPITSNVPNAIVCIMAEPCHQACAATLTAVITANTNFLDDRTMGPKRPVGAPIVVVDCGKLCDPLQEPGLYGKVAAKTEIHSLDAAVGPTHAIDYLTLRSVLPSNKLLLSLPQGIGGSAGLVAVKANNQASTSFSRRLAASAPRALASLM
+>sp|A0A087WXM9|MEIKN_HUMAN Meiosis-specific kinetochore protein OS=Homo sapiens OX=9606 GN=MEIKIN PE=2 SV=2
+MWPLRVYTRKKREGQRLNLTPTPDLGSPAKAEAPPGSKRKGKVHGLSKIAEKAERSRQGGSGSGPFSPRLGVTGEKSLQENRSSEDTQDEKIASLRESVTDDLQVDSSSSNSELVSGLSLHHGMASSLLSYSVTDSYAEYKSFEESFPSPELFRKSDYLDWECPNLEEHMQWKNSTLLDTSKAVAIEKAPQFSNVSAIFSTSSEDYQKCHRKTVMTVADQNVSPKAKCASNSESDNAACEILLAEKTCPSTPEKTKKKKTNSSTPGKKNRGLLTSTPSSETAGFVIDLSSVQKASFEELFPNVSNYVNSNEIVPVSSLQENSSNEFPANASEICCIIRTSPGTRQVKNKGVIVKKKKYSLPKDTPQDIIIKMA
+>DECOY_sp|A0A087WXM9|MEIKN_HUMAN Meiosis-specific kinetochore protein OS=Homo sapiens OX=9606 GN=MEIKIN PE=2 SV=2
+AMKIIIDQPTDKPLSYKKKKVIVGKNKVQRTGPSTRIICCIESANAPFENSSNEQLSSVPVIENSNVYNSVNPFLEEFSAKQVSSLDIVFGATESSPTSTLLGRNKKGPTSSNTKKKKTKEPTSPCTKEALLIECAANDSESNSACKAKPSVNQDAVTMVTKRHCKQYDESSTSFIASVNSFQPAKEIAVAKSTDLLTSNKWQMHEELNPCEWDLYDSKRFLEPSPFSEEFSKYEAYSDTVSYSLLSSAMGHHLSLGSVLESNSSSSDVQLDDTVSERLSAIKEDQTDESSRNEQLSKEGTVGLRPSFPGSGSGGQRSREAKEAIKSLGHVKGKRKSGPPAEAKAPSGLDPTPTLNLRQGERKKRTYVRLPWM
+>sp|Q9BQA1|MEP50_HUMAN Methylosome protein 50 OS=Homo sapiens OX=9606 GN=WDR77 PE=1 SV=1
+MRKETPPPLVPPAAREWNLPPNAPACMERQLEAARYRSDGALLLGASSLSGRCWAGSLWLFKDPCAAPNEGFCSAGVQTEAGVADLTWVGERGILVASDSGAVELWELDENETLIVSKFCKYEHDDIVSTVSVLSSGTQAVSGSKDICIKVWDLAQQVVLSSYRAHAAQVTCVAASPHKDSVFLSCSEDNRILLWDTRCPKPASQIGCSAPGYLPTSLAWHPQQSEVFVFGDENGTVSLVDTKSTSCVLSSAVHSQCVTGLVFSPHSVPFLASLSEDCSLAVLDSSLSELFRSQAHRDFVRDATWSPLNHSLLTTVGWDHQVVHHVVPTEPLPAPGPASVTE
+>DECOY_sp|Q9BQA1|MEP50_HUMAN Methylosome protein 50 OS=Homo sapiens OX=9606 GN=WDR77 PE=1 SV=1
+ETVSAPGPAPLPETPVVHHVVQHDWGVTTLLSHNLPSWTADRVFDRHAQSRFLESLSSDLVALSCDESLSALFPVSHPSFVLGTVCQSHVASSLVCSTSKTDVLSVTGNEDGFVFVESQQPHWALSTPLYGPASCGIQSAPKPCRTDWLLIRNDESCSLFVSDKHPSAAVCTVQAAHARYSSLVVQQALDWVKICIDKSGSVAQTGSSLVSVTSVIDDHEYKCFKSVILTENEDLEWLEVAGSDSAVLIGREGVWTLDAVGAETQVGASCFGENPAACPDKFLWLSGAWCRGSLSSAGLLLAGDSRYRAAELQREMCAPANPPLNWERAAPPVLPPPTEKRM
+>sp|Q86W50|MET16_HUMAN U6 small nuclear RNA (adenine-(43)-N(6))-methyltransferase OS=Homo sapiens OX=9606 GN=METTL16 PE=1 SV=2
+MALSKSMHARNRYKDKPPDFAYLASKYPDFKQHVQINLNGRVSLNFKDPEAVRALTCTLLREDFGLSIDIPLERLIPTVPLRLNYIHWVEDLIGHQDSDKSTLRRGIDIGTGASCIYPLLGATLNGWYFLATEVDDMCFNYAKKNVEQNNLSDLIKVVKVPQKTLLMDALKEESEIIYDFCMCNPPFFANQLEAKGVNSRNPRRPPPSSVNTGGITEIMAEGGELEFVKRIIHDSLQLKKRLRWYSCMLGKKCSLAPLKEELRIQGVPKVTYTEFCQGRTMRWALAWSFYDDVTVPSPPSKRRKLEKPRKPITFVVLASVMKELSLKASPLRSETAEGIVVVTTWIEKILTDLKVQHKRVPCGKEEVSLFLTAIENSWIHLRRKKRERVRQLREVPRAPEDVIQALEEKKPTPKESGNSQELARGPQERTPCGPALREGEAAAVEGPCPSQESLSQEENPEPTEDERSEEKGGVEVLESCQGSSNGAQDQEASEQFGSPVAERGKRLPGVAGQYLFKCLINVKKEVDDALVEMHWVEGQNRDLMNQLCTYIRNQIFRLVAVN
+>DECOY_sp|Q86W50|MET16_HUMAN U6 small nuclear RNA (adenine-(43)-N(6))-methyltransferase OS=Homo sapiens OX=9606 GN=METTL16 PE=1 SV=2
+NVAVLRFIQNRIYTCLQNMLDRNQGEVWHMEVLADDVEKKVNILCKFLYQGAVGPLRKGREAVPSGFQESAEQDQAGNSSGQCSELVEVGGKEESREDETPEPNEEQSLSEQSPCPGEVAAAEGERLAPGCPTREQPGRALEQSNGSEKPTPKKEELAQIVDEPARPVERLQRVRERKKRRLHIWSNEIATLFLSVEEKGCPVRKHQVKLDTLIKEIWTTVVVIGEATESRLPSAKLSLEKMVSALVVFTIPKRPKELKRRKSPPSPVTVDDYFSWALAWRMTRGQCFETYTVKPVGQIRLEEKLPALSCKKGLMCSYWRLRKKLQLSDHIIRKVFELEGGEAMIETIGGTNVSSPPPRRPNRSNVGKAELQNAFFPPNCMCFDYIIESEEKLADMLLTKQPVKVVKILDSLNNQEVNKKAYNFCMDDVETALFYWGNLTAGLLPYICSAGTGIDIGRRLTSKDSDQHGILDEVWHIYNLRLPVTPILRELPIDISLGFDERLLTCTLARVAEPDKFNLSVRGNLNIQVHQKFDPYKSALYAFDPPKDKYRNRAHMSKSLAM
+>sp|Q8N6Q8|MET25_HUMAN Methyltransferase-like protein 25 OS=Homo sapiens OX=9606 GN=METTL25 PE=2 SV=2
+MAASCPLPVTPDLPTLRAKLQGLLQFLRDALSISNAHTVDFYTESVWEELVDLPPETVLAALRKSASETEALPSETRPLVEAEWEAGMTDFPKIFCETSQKLVSVEAFALAAKYYSVQNLGICTPFEQLLVALRGNQNQRIGENQKAVEFMNMKKSHEVQAMSELISSIADYYGIKQVIDLGSGKGYLSSFLSLKYGLKVYGIDSSNTNTHGAEERNRKLKKHWKLCHAQSRLDVNGLALKMAKERKVQNKVKNKADTEEVFNNSPTNQEKMPTSAILPDFSGSVISNIRNQMETLHSQPHQEENLCFENSFSLINLLPINAVEPTSSQQIPNRETSEANKERRKMTSKSSESNIYSPLTSFITADSELHDIIKDLEDCLMVGLHTCGDLAPNTLRIFTSNSEIKGVCSVGCCYHLLSEEFENQHKERTQEKWGFPMCHYLKEERWCCGRNARMSACLALERVAAGQGLPTESLFYRAVLQDIIKDCYGITKCDRHVGKIYSKCSSFLDYVRRSLKKLGLDESKLPEKIIMNYYEKYKPRMNELEAFNMLKVVLAPCIETLILLDRLCYLKEQEDIAWSALVKLFDPVKSPRCYAVIALKKQQ
+>DECOY_sp|Q8N6Q8|MET25_HUMAN Methyltransferase-like protein 25 OS=Homo sapiens OX=9606 GN=METTL25 PE=2 SV=2
+QQKKLAIVAYCRPSKVPDFLKVLASWAIDEQEKLYCLRDLLILTEICPALVVKLMNFAELENMRPKYKEYYNMIIKEPLKSEDLGLKKLSRRVYDLFSSCKSYIKGVHRDCKTIGYCDKIIDQLVARYFLSETPLGQGAAVRELALCASMRANRGCCWREEKLYHCMPFGWKEQTREKHQNEFEESLLHYCCGVSCVGKIESNSTFIRLTNPALDGCTHLGVMLCDELDKIIDHLESDATIFSTLPSYINSESSKSTMKRREKNAESTERNPIQQSSTPEVANIPLLNILSFSNEFCLNEEQHPQSHLTEMQNRINSIVSGSFDPLIASTPMKEQNTPSNNFVEETDAKNKVKNQVKREKAMKLALGNVDLRSQAHCLKWHKKLKRNREEAGHTNTNSSDIGYVKLGYKLSLFSSLYGKGSGLDIVQKIGYYDAISSILESMAQVEHSKKMNMFEVAKQNEGIRQNQNGRLAVLLQEFPTCIGLNQVSYYKAALAFAEVSVLKQSTECFIKPFDTMGAEWEAEVLPRTESPLAETESASKRLAALVTEPPLDVLEEWVSETYFDVTHANSISLADRLFQLLGQLKARLTPLDPTVPLPCSAAM
+>sp|Q99707|METH_HUMAN Methionine synthase OS=Homo sapiens OX=9606 GN=MTR PE=1 SV=2
+MSPALQDLSQPEGLKKTLRDEINAILQKRIMVLDGGMGTMIQREKLNEEHFRGQEFKDHARPLKGNNDILSITQPDVIYQIHKEYLLAGADIIETNTFSSTSIAQADYGLEHLAYRMNMCSAGVARKAAEEVTLQTGIKRFVAGALGPTNKTLSVSPSVERPDYRNITFDELVEAYQEQAKGLLDGGVDILLIETIFDTANAKAALFALQNLFEEKYAPRPIFISGTIVDKSGRTLSGQTGEGFVISVSHGEPLCIGLNCALGAAEMRPFIEIIGKCTTAYVLCYPNAGLPNTFGDYDETPSMMAKHLKDFAMDGLVNIVGGCCGSTPDHIREIAEAVKNCKPRVPPATAFEGHMLLSGLEPFRIGPYTNFVNIGERCNVAGSRKFAKLIMAGNYEEALCVAKVQVEMGAQVLDVNMDDGMLDGPSAMTRFCNLIASEPDIAKVPLCIDSSNFAVIEAGLKCCQGKCIVNSISLKEGEDDFLEKARKIKKYGAAMVVMAFDEEGQATETDTKIRVCTRAYHLLVKKLGFNPNDIIFDPNILTIGTGMEEHNLYAINFIHATKVIKETLPGARISGGLSNLSFSFRGMEAIREAMHGVFLYHAIKSGMDMGIVNAGNLPVYDDIHKELLQLCEDLIWNKDPEATEKLLRYAQTQGTGGKKVIQTDEWRNGPVEERLEYALVKGIEKHIIEDTEEARLNQKKYPRPLNIIEGPLMNGMKIVGDLFGAGKMFLPQVIKSARVMKKAVGHLIPFMEKEREETRVLNGTVEEEDPYQGTIVLATVKGDVHDIGKNIVGVVLGCNNFRVIDLGVMTPCDKILKAALDHKADIIGLSGLITPSLDEMIFVAKEMERLAIRIPLLIGGATTSKTHTAVKIAPRYSAPVIHVLDASKSVVVCSQLLDENLKDEYFEEIMEEYEDIRQDHYESLKERRYLPLSQARKSGFQMDWLSEPHPVKPTFIGTQVFEDYDLQKLVDYIDWKPFFDVWQLRGKYPNRGFPKIFNDKTVGGEARKVYDDAHNMLNTLISQKKLRARGVVGFWPAQSIQDDIHLYAEAAVPQAAEPIATFYGLRQQAEKDSASTEPYYCLSDFIAPLHSGIRDYLGLFAVACFGVEELSKAYEDDGDDYSSIMVKALGDRLAEAFAEELHERVRRELWAYCGSEQLDVADLRRLRYKGIRPAPGYPSQPDHTEKLTMWRLADIEQSTGIRLTESLAMAPASAVSGLYFSNLKSKYFAVGKISKDQVEDYALRKNISVAEVEKWLGPILGYDTD
+>DECOY_sp|Q99707|METH_HUMAN Methionine synthase OS=Homo sapiens OX=9606 GN=MTR PE=1 SV=2
+DTDYGLIPGLWKEVEAVSINKRLAYDEVQDKSIKGVAFYKSKLNSFYLGSVASAPAMALSETLRIGTSQEIDALRWMTLKETHDPQSPYGPAPRIGKYRLRRLDAVDLQESGCYAWLERRVREHLEEAFAEALRDGLAKVMISSYDDGDDEYAKSLEEVGFCAVAFLGLYDRIGSHLPAIFDSLCYYPETSASDKEAQQRLGYFTAIPEAAQPVAAEAYLHIDDQISQAPWFGVVGRARLKKQSILTNLMNHADDYVKRAEGGVTKDNFIKPFGRNPYKGRLQWVDFFPKWDIYDVLKQLDYDEFVQTGIFTPKVPHPESLWDMQFGSKRAQSLPLYRREKLSEYHDQRIDEYEEMIEEFYEDKLNEDLLQSCVVVSKSADLVHIVPASYRPAIKVATHTKSTTAGGILLPIRIALREMEKAVFIMEDLSPTILGSLGIIDAKHDLAAKLIKDCPTMVGLDIVRFNNCGLVVGVINKGIDHVDGKVTALVITGQYPDEEEVTGNLVRTEEREKEMFPILHGVAKKMVRASKIVQPLFMKGAGFLDGVIKMGNMLPGEIINLPRPYKKQNLRAEETDEIIHKEIGKVLAYELREEVPGNRWEDTQIVKKGGTGQTQAYRLLKETAEPDKNWILDECLQLLEKHIDDYVPLNGANVIGMDMGSKIAHYLFVGHMAERIAEMGRFSFSLNSLGGSIRAGPLTEKIVKTAHIFNIAYLNHEEMGTGITLINPDFIIDNPNFGLKKVLLHYARTCVRIKTDTETAQGEEDFAMVVMAAGYKKIKRAKELFDDEGEKLSISNVICKGQCCKLGAEIVAFNSSDICLPVKAIDPESAILNCFRTMASPGDLMGDDMNVDLVQAGMEVQVKAVCLAEEYNGAMILKAFKRSGAVNCREGINVFNTYPGIRFPELGSLLMHGEFATAPPVRPKCNKVAEAIERIHDPTSGCCGGVINVLGDMAFDKLHKAMMSPTEDYDGFTNPLGANPYCLVYATTCKGIIEIFPRMEAAGLACNLGICLPEGHSVSIVFGEGTQGSLTRGSKDVITGSIFIPRPAYKEEFLNQLAFLAAKANATDFITEILLIDVGGDLLGKAQEQYAEVLEDFTINRYDPREVSPSVSLTKNTPGLAGAVFRKIGTQLTVEEAAKRAVGASCMNMRYALHELGYDAQAISTSSFTNTEIIDAGALLYEKHIQYIVDPQTISLIDNNGKLPRAHDKFEQGRFHEENLKERQIMTGMGGDLVMIRKQLIANIEDRLTKKLGEPQSLDQLAPSM
+>sp|Q86YR7|MF2L2_HUMAN Probable guanine nucleotide exchange factor MCF2L2 OS=Homo sapiens OX=9606 GN=MCF2L2 PE=2 SV=3
+MLSCLKEEMPPQELTRRLATVITHVDEIMQQEVRPLMAVEIIEQLHRQFAILSGGRGEDGAPIITFPEFSGFKHIPDEDFLNVMTYLTSIPSVEAASIGFIVVIDRRRDKWSSVKASLTRIAVAFPGNLQLIFILRPSRFIQRTFTDIGIKYYRNEFKTKVPIIMVNSVSDLHGYIDKSQLTRELGGTLEYRHGQWVNHRTAIENFALTLKTTAQMLQTFGSCLATAELPRSMLSTEDLLMSHTRQRDKLQDELKLLGKQGTTLLSCIQEPATKCPNSKLNLNQLENVTTMERLLVQLDETEKAFSHFWSEHHLKLNQCLQLQHFEHDFCKAKLALDNLLEEQAEFTGIGDSVMHVEQILKEHKKLEEKSQEPLEKAQLLALVGDQLIQSHHYAADAIRPRCVELRHLCDDFINGNKKKWDILGKSLEFHRQLDKVSQWCEAGIYLLASQAVDKCQSREGVDIALNDIATFLGTVKEYPLLSPKEFYNEFELLLTLDAKAKAQKVLQRLDDVQEIFHKRQVSLMKLAAKQTRPVQPVAPHPESSPKWVSSKTSQPSTSVPLARPLRTSEEPYTETELNSRGKEDDETKFEVKSEEIFESHHERGNPELEQQARLGDLSPRRRIIRDLLETEEIYIKEIKSIIDGYITPMDFIWLKHLIPDVLQNNKDFLFGNIRELYEFHNRTFLKELEKCAENPELLAHCFLKRKEDLQIYFKYHKNLPRARAIWQECQDCAYFGVCQRQLDHNLPLFKYLKGPSQRLIKYQMLLKGLLDFESPEDMEIDPGELGGSAKDGPKRTKDSAFSTELQQALAVIEDLIKSCELAVDLAAVTECPDDIGKLGKLLLHGPFSVWTIHKDRYKMKDLIRFKPSQRQIYLFERGIVFCKIRMEPGDQGLSPHYSFKKTMKLMTLSIRQLGRGSHRKFEIASRNGLEKYILQAASKEIRDCWFSEISKLLMEQQNNIKDQGNPQFEMSTSKGSGAGSGPWIKNMERATTSKEDPASSTGGIKGCSSREFSSMDTFEDCEGAEDMEKESSALSLAGLFQSDDSHETCSSKSAFLERGESSQGEKEERDEEETATRSTEEERAGASTGRLAPAGATAGFQARALRPRTSAQES
+>DECOY_sp|Q86YR7|MF2L2_HUMAN Probable guanine nucleotide exchange factor MCF2L2 OS=Homo sapiens OX=9606 GN=MCF2L2 PE=2 SV=3
+SEQASTRPRLARAQFGATAGAPALRGTSAGAREEETSRTATEEEDREEKEGQSSEGRELFASKSSCTEHSDDSQFLGALSLASSEKEMDEAGECDEFTDMSSFERSSCGKIGGTSSAPDEKSTTAREMNKIWPGSGAGSGKSTSMEFQPNGQDKINNQQEMLLKSIESFWCDRIEKSAAQLIYKELGNRSAIEFKRHSGRGLQRISLTMLKMTKKFSYHPSLGQDGPEMRIKCFVIGREFLYIQRQSPKFRILDKMKYRDKHITWVSFPGHLLLKGLKGIDDPCETVAALDVALECSKILDEIVALAQQLETSFASDKTRKPGDKASGGLEGPDIEMDEPSEFDLLGKLLMQYKILRQSPGKLYKFLPLNHDLQRQCVGFYACDQCEQWIARARPLNKHYKFYIQLDEKRKLFCHALLEPNEACKELEKLFTRNHFEYLERINGFLFDKNNQLVDPILHKLWIFDMPTIYGDIISKIEKIYIEETELLDRIIRRRPSLDGLRAQQELEPNGREHHSEFIEESKVEFKTEDDEKGRSNLETETYPEESTRLPRALPVSTSPQSTKSSVWKPSSEPHPAVPQVPRTQKAALKMLSVQRKHFIEQVDDLRQLVKQAKAKADLTLLLEFENYFEKPSLLPYEKVTGLFTAIDNLAIDVGERSQCKDVAQSALLYIGAECWQSVKDLQRHFELSKGLIDWKKKNGNIFDDCLHRLEVCRPRIADAAYHHSQILQDGVLALLQAKELPEQSKEELKKHEKLIQEVHMVSDGIGTFEAQEELLNDLALKAKCFDHEFHQLQLCQNLKLHHESWFHSFAKETEDLQVLLREMTTVNELQNLNLKSNPCKTAPEQICSLLTTGQKGLLKLEDQLKDRQRTHSMLLDETSLMSRPLEATALCSGFTQLMQATTKLTLAFNEIATRHNVWQGHRYELTGGLERTLQSKDIYGHLDSVSNVMIIPVKTKFENRYYKIGIDTFTRQIFRSPRLIFILQLNGPFAVAIRTLSAKVSSWKDRRRDIVVIFGISAAEVSPISTLYTMVNLFDEDPIHKFGSFEPFTIIPAGDEGRGGSLIAFQRHLQEIIEVAMLPRVEQQMIEDVHTIVTALRRTLEQPPMEEKLCSLM
+>sp|Q9Y4C4|MFHA1_HUMAN Malignant fibrous histiocytoma-amplified sequence 1 OS=Homo sapiens OX=9606 GN=MFHAS1 PE=1 SV=2
+MAGMDSGNLKTARLWRDAALRARKLRSNLRQLTLTAAGACPGAGADALESPASPQLVLPANLGDIEALNLGNNGLEEVPEGLGSALGSLRVLVLRRNRFARLPPAVAELGHHLTELDVSHNRLTALGAEVVSALRELRKLNLSHNQLPALPAQLGALAHLEELDVSFNRLAHLPDSLSCLSRLRTLDVDHNQLTAFPRQLLQLVALEELDVSSNRLRGLPEDISALRALKILWLSGAELGTLPAGFCELASLESLMLDNNGLQALPAQFSCLQRLKMLNLSSNLFEEFPAALLPLAGLEELYLSRNQLTSVPSLISGLGRLLTLWLDNNRIRYLPDSIVELTGLEELVLQGNQIAVLPDHFGQLSRVGLWKIKDNPLIQPPYEVCMKGIPYIAAYQKELAHSQPAVQPRLKLLLMGHKAAGKTLLRHCLTEERVEGCPGGGDKEKCYPPSPPPVSKGIEVTSWTADASRGLRFIVYDLAGDESYEVIQPFFLSPGALYVLVVNLATYEPRHFPTTVGSFLHRVGARVPHAVVCIVGTHADLCGERELEEKCLDIHRQIALQEKHDAEGLSRLAKVVDEALARDFELRSASPHAAYYGVSDKNLRRRKAHFQYLLNHRLQILSPVLPVSCRDPRHLRRLRDKLLSVAEHREIFPNLHRVLPRSWQVLEELHFQPPQAQRLWLSWWDSARLGLQAGLTEDRLQSALSYLHESGKLLYFEDSPALKEHVFHNLTRLIDILNVFFQRDPSLLLHKLLLGTSGEGKAEGESSPPMARSTPSQELLRATQLHQYVEGFLLHGLLPAHVIRLLLKPHVQAQQDLQLLLELLEKMGLCYCLNKPKGKPLNGSTAWYKFPCYVQNEVPHAEAWINGTNLAGQSFVAEQLQIEYSFPFTFPLGLFARYSVQINSHVVHRSDGKFQIFAYRGKVPVVVSYRPARGVLQPDTLSIASHASLPNIWTAWQAITPLVEELNVLLQEWPGLHYTVHILCSKCLKRGSPNPHAFPGELLSQPRPEGVAEIICPKNGSERVNVALVYPPTPTVISPCSKKNVGEKHRNQ
+>DECOY_sp|Q9Y4C4|MFHA1_HUMAN Malignant fibrous histiocytoma-amplified sequence 1 OS=Homo sapiens OX=9606 GN=MFHAS1 PE=1 SV=2
+QNRHKEGVNKKSCPSIVTPTPPYVLAVNVRESGNKPCIIEAVGEPRPQSLLEGPFAHPNPSGRKLCKSCLIHVTYHLGPWEQLLVNLEEVLPTIAQWATWINPLSAHSAISLTDPQLVGRAPRYSVVVPVKGRYAFIQFKGDSRHVVHSNIQVSYRAFLGLPFTFPFSYEIQLQEAVFSQGALNTGNIWAEAHPVENQVYCPFKYWATSGNLPKGKPKNLCYCLGMKELLELLLQLDQQAQVHPKLLLRIVHAPLLGHLLFGEVYQHLQTARLLEQSPTSRAMPPSSEGEAKGEGSTGLLLKHLLLSPDRQFFVNLIDILRTLNHFVHEKLAPSDEFYLLKGSEHLYSLASQLRDETLGAQLGLRASDWWSLWLRQAQPPQFHLEELVQWSRPLVRHLNPFIERHEAVSLLKDRLRRLHRPDRCSVPLVPSLIQLRHNLLYQFHAKRRRLNKDSVGYYAAHPSASRLEFDRALAEDVVKALRSLGEADHKEQLAIQRHIDLCKEELEREGCLDAHTGVICVVAHPVRAGVRHLFSGVTTPFHRPEYTALNVVLVYLAGPSLFFPQIVEYSEDGALDYVIFRLGRSADATWSTVEIGKSVPPPSPPYCKEKDGGGPCGEVREETLCHRLLTKGAAKHGMLLLKLRPQVAPQSHALEKQYAAIYPIGKMCVEYPPQILPNDKIKWLGVRSLQGFHDPLVAIQNGQLVLEELGTLEVISDPLYRIRNNDLWLTLLRGLGSILSPVSTLQNRSLYLEELGALPLLAAPFEEFLNSSLNLMKLRQLCSFQAPLAQLGNNDLMLSELSALECFGAPLTGLEAGSLWLIKLARLASIDEPLGRLRNSSVDLEELAVLQLLQRPFATLQNHDVDLTRLRSLCSLSDPLHALRNFSVDLEELHALAGLQAPLAPLQNHSLNLKRLERLASVVEAGLATLRNHSVDLETLHHGLEAVAPPLRAFRNRRLVLVRLSGLASGLGEPVEELGNNGLNLAEIDGLNAPLVLQPSAPSELADAGAGPCAGAATLTLQRLNSRLKRARLAADRWLRATKLNGSDMGAM
+>sp|P00387|NB5R3_HUMAN NADH-cytochrome b5 reductase 3 OS=Homo sapiens OX=9606 GN=CYB5R3 PE=1 SV=3
+MGAQLSTLGHMVLFPVWFLYSLLMKLFQRSTPAITLESPDIKYPLRLIDREIISHDTRRFRFALPSPQHILGLPVGQHIYLSARIDGNLVVRPYTPISSDDDKGFVDLVIKVYFKDTHPKFPAGGKMSQYLESMQIGDTIEFRGPSGLLVYQGKGKFAIRPDKKSNPIIRTVKSVGMIAGGTGITPMLQVIRAIMKDPDDHTVCHLLFANQTEKDILLRPELEELRNKHSARFKLWYTLDRAPEAWDYGQGFVNEEMIRDHLPPPEEEPLVLMCGPPPMIQYACLPNLDHVGHPTERCFVF
+>DECOY_sp|P00387|NB5R3_HUMAN NADH-cytochrome b5 reductase 3 OS=Homo sapiens OX=9606 GN=CYB5R3 PE=1 SV=3
+FVFCRETPHGVHDLNPLCAYQIMPPPGCMLVLPEEEPPPLHDRIMEENVFGQGYDWAEPARDLTYWLKFRASHKNRLEELEPRLLIDKETQNAFLLHCVTHDDPDKMIARIVQLMPTIGTGGAIMGVSKVTRIIPNSKKDPRIAFKGKGQYVLLGSPGRFEITDGIQMSELYQSMKGGAPFKPHTDKFYVKIVLDVFGKDDDSSIPTYPRVVLNGDIRASLYIHQGVPLGLIHQPSPLAFRFRRTDHSIIERDILRLPYKIDPSELTIAPTSRQFLKMLLSYLFWVPFLVMHGLTSLQAGM
+>sp|A6PVI3|NCB2L_HUMAN Nuclear cap-binding protein subunit 2-like OS=Homo sapiens OX=9606 GN=NCBP2L PE=3 SV=1
+MSKDLKILCKDPALELSCYRDHQFSGRKFQQEKLLKESSTLNMGNLSFYTTEEKIHELFSRSDIRNIFMGLDKIKKTACGFCFVECHNRADAENAMRFLTGTCLDEWIICTDWDVGFREGQQYGRGKSGGQVRDEFREDFHSGRGGFGRQTQI
+>DECOY_sp|A6PVI3|NCB2L_HUMAN Nuclear cap-binding protein subunit 2-like OS=Homo sapiens OX=9606 GN=NCBP2L PE=3 SV=1
+IQTQRGFGGRGSHFDERFEDRVQGGSKGRGYQQGERFGVDWDTCIIWEDLCTGTLFRMANEADARNHCEVFCFGCATKKIKDLGMFINRIDSRSFLEHIKEETTYFSLNGMNLTSSEKLLKEQQFKRGSFQHDRYCSLELAPDKCLIKLDKSM
+>sp|Q9UBB6|NCDN_HUMAN Neurochondrin OS=Homo sapiens OX=9606 GN=NCDN PE=1 SV=1
+MSCCDLAAAGQLGKASIMASDCEPALNQAEGRNPTLERYLGALREAKNDSEQFAALLLVTKAVKAGDIDAKTRRRIFDAVGFTFPNRLLTTKEAPDGCPDHVLRALGVALLACFCSDPELAAHPQVLNKIPILSTFLTARGDPDDAARRSMIDDTYQCLTAVAGTPRGPRHLIAGGTVSALCQAYLGHGYGFDQALALLVGLLAAAETQCWKEAEPDLLAVLRGLSEDFQKAEDASKFELCQLLPLFLPPTTVPPECYRDLQAGLARILGSKLSSWQRNPALKLAARLAHACGSDWIPAGSSGSKFLALLVNLACVEVRLALEETGTEVKEDVVTACYALMELGIQECTRCEQSLLKEPQKVQLVSVMKEAIGAVIHYLLQVGSEKQKEPFVFASVRILGAWLAEETSSLRKEVCQLLPFLVRYAKTLYEEAEEANDLSQQVANLAISPTTPGPTWPGDALRLLLPGWCHLTVEDGPREILIKEGAPSLLCKYFLQQWELTSPGHDTSVLPDSVEIGLQTCCHIFLNLVVTAPGLIKRDACFTSLMNTLMTSLPALVQQQGRLLLAANVATLGLLMARLLSTSPALQGTPASRGFFAAAILFLSQSHVARATPGSDQAVLALSPEYEGIWADLQELWFLGMQAFTGCVPLLPWLAPAALRSRWPQELLQLLGSVSPNSVKPEMVAAYQGVLVELARANRLCREAMRLQAGEETASHYRMAALEQCLSEP
+>DECOY_sp|Q9UBB6|NCDN_HUMAN Neurochondrin OS=Homo sapiens OX=9606 GN=NCDN PE=1 SV=1
+PESLCQELAAMRYHSATEEGAQLRMAERCLRNARALEVLVGQYAAVMEPKVSNPSVSGLLQLLEQPWRSRLAAPALWPLLPVCGTFAQMGLFWLEQLDAWIGEYEPSLALVAQDSGPTARAVHSQSLFLIAAAFFGRSAPTGQLAPSTSLLRAMLLGLTAVNAALLLRGQQQVLAPLSTMLTNMLSTFCADRKILGPATVVLNLFIHCCTQLGIEVSDPLVSTDHGPSTLEWQQLFYKCLLSPAGEKILIERPGDEVTLHCWGPLLLRLADGPWTPGPTTPSIALNAVQQSLDNAEEAEEYLTKAYRVLFPLLQCVEKRLSSTEEALWAGLIRVSAFVFPEKQKESGVQLLYHIVAGIAEKMVSVLQVKQPEKLLSQECRTCEQIGLEMLAYCATVVDEKVETGTEELALRVEVCALNVLLALFKSGSSGAPIWDSGCAHALRAALKLAPNRQWSSLKSGLIRALGAQLDRYCEPPVTTPPLFLPLLQCLEFKSADEAKQFDESLGRLVALLDPEAEKWCQTEAAALLGVLLALAQDFGYGHGLYAQCLASVTGGAILHRPGRPTGAVATLCQYTDDIMSRRAADDPDGRATLFTSLIPIKNLVQPHAALEPDSCFCALLAVGLARLVHDPCGDPAEKTTLLRNPFTFGVADFIRRRTKADIDGAKVAKTVLLLAAFQESDNKAERLAGLYRELTPNRGEAQNLAPECDSAMISAKGLQGAAALDCCSM
+>sp|O00533|NCHL1_HUMAN Neural cell adhesion molecule L1-like protein OS=Homo sapiens OX=9606 GN=CHL1 PE=1 SV=4
+MEPLLLGRGLIVYLMFLLLKFSKAIEIPSSVQQVPTIIKQSKVQVAFPFDEYFQIECEAKGNPEPTFSWTKDGNPFYFTDHRIIPSNNSGTFRIPNEGHISHFQGKYRCFASNKLGIAMSEEIEFIVPSVPKFPKEKIDPLEVEEGDPIVLPCNPPKGLPPLHIYWMNIELEHIEQDERVYMSQKGDLYFANVEEKDSRNDYCCFAAFPRLRTIVQKMPMKLTVNSSNSIKQRKPKLLLPPTESGSESSITILKGEILLLECFAEGLPTPQVDWNKIGGDLPKGRETKENYGKTLKIENVSYQDKGNYRCTASNFLGTATHDFHVIVEEPPRWTKKPQSAVYSTGSNGILLCEAEGEPQPTIKWRVNGSPVDNHPFAGDVVFPREISFTNLQPNHTAVYQCEASNVHGTILANANIDVVDVRPLIQTKDGENYATVVGYSAFLHCEFFASPEAVVSWQKVEEVKPLEGRRYHIYENGTLQINRTTEEDAGSYSCWVENAIGKTAVTANLDIRNATKLRVSPKNPRIPKLHMLELHCESKCDSHLKHSLKLSWSKDGEAFEINGTEDGRIIIDGANLTISNVTLEDQGIYCCSAHTALDSAADITQVTVLDVPDPPENLHLSERQNRSVRLTWEAGADHNSNISEYIVEFEGNKEEPGRWEELTRVQGKKTTVILPLAPFVRYQFRVIAVNEVGRSQPSQPSDHHETPPAAPDRNPQNIRVQASQPKEMIIKWEPLKSMEQNGPGLEYRVTWKPQGAPVEWEEETVTNHTLRVMTPAVYAPYDVKVQAINQLGSGPDPQSVTLYSGEDYPDTAPVIHGVDVINSTLVKVTWSTVPKDRVHGRLKGYQINWWKTKSLLDGRTHPKEVNILRFSGQRNSGMVPSLDAFSEFHLTVLAYNSKGAGPESEPYIFQTPEGVPEQPTFLKVIKVDKDTATLSWGLPKKLNGNLTGYLLQYQIINDTYEIGELNDINITTPSKPSWHLSNLNATTKYKFYLRACTSQGCGKPITEESSTLGEGSKGIGKISGVNLTQKTHPIEVFEPGAEHIVRLMTKNWGDNDSIFQDVIETRGREYAGLYDDISTQGWFIGLMCAIALLTLLLLTVCFVKRNRGGKYSVKEKEDLHPDPEIQSVKDETFGEYSDSDEKPLKGSLRSLNRDMQPTESADSLVEYGEGDHGLFSEDGSFIGAYAGSKEKGSVESNGSSTATFPLRA
+>DECOY_sp|O00533|NCHL1_HUMAN Neural cell adhesion molecule L1-like protein OS=Homo sapiens OX=9606 GN=CHL1 PE=1 SV=4
+ARLPFTATSSGNSEVSGKEKSGAYAGIFSGDESFLGHDGEGYEVLSDASETPQMDRNLSRLSGKLPKEDSDSYEGFTEDKVSQIEPDPHLDEKEKVSYKGGRNRKVFCVTLLLLTLLAIACMLGIFWGQTSIDDYLGAYERGRTEIVDQFISDNDGWNKTMLRVIHEAGPEFVEIPHTKQTLNVGSIKGIGKSGEGLTSSEETIPKGCGQSTCARLYFKYKTTANLNSLHWSPKSPTTINIDNLEGIEYTDNIIQYQLLYGTLNGNLKKPLGWSLTATDKDVKIVKLFTPQEPVGEPTQFIYPESEPGAGKSNYALVTLHFESFADLSPVMGSNRQGSFRLINVEKPHTRGDLLSKTKWWNIQYGKLRGHVRDKPVTSWTVKVLTSNIVDVGHIVPATDPYDEGSYLTVSQPDPGSGLQNIAQVKVDYPAYVAPTMVRLTHNTVTEEEWEVPAGQPKWTVRYELGPGNQEMSKLPEWKIIMEKPQSAQVRINQPNRDPAAPPTEHHDSPQSPQSRGVENVAIVRFQYRVFPALPLIVTTKKGQVRTLEEWRGPEEKNGEFEVIYESINSNHDAGAEWTLRVSRNQRESLHLNEPPDPVDLVTVQTIDAASDLATHASCCYIGQDELTVNSITLNAGDIIIRGDETGNIEFAEGDKSWSLKLSHKLHSDCKSECHLELMHLKPIRPNKPSVRLKTANRIDLNATVATKGIANEVWCSYSGADEETTRNIQLTGNEYIHYRRGELPKVEEVKQWSVVAEPSAFFECHLFASYGVVTAYNEGDKTQILPRVDVVDINANALITGHVNSAECQYVATHNPQLNTFSIERPFVVDGAFPHNDVPSGNVRWKITPQPEGEAECLLIGNSGTSYVASQPKKTWRPPEEVIVHFDHTATGLFNSATCRYNGKDQYSVNEIKLTKGYNEKTERGKPLDGGIKNWDVQPTPLGEAFCELLLIEGKLITISSESGSETPPLLLKPKRQKISNSSNVTLKMPMKQVITRLRPFAAFCCYDNRSDKEEVNAFYLDGKQSMYVREDQEIHELEINMWYIHLPPLGKPPNCPLVIPDGEEVELPDIKEKPFKPVSPVIFEIEESMAIGLKNSAFCRYKGQFHSIHGENPIRFTGSNNSPIIRHDTFYFPNGDKTWSFTPEPNGKAECEIQFYEDFPFAVQVKSQKIITPVQQVSSPIEIAKSFKLLLFMLYVILGRGLLLPEM
+>sp|Q8NFF2|NCKX4_HUMAN Sodium/potassium/calcium exchanger 4 OS=Homo sapiens OX=9606 GN=SLC24A4 PE=1 SV=2
+MALRGTLRPLKVRRRREMLPQQVGFVCAVLALVCCASGLFGSLGHKTASASKRVLPDTWRNRKLMAPVNGTQTAKNCTDPAIHEFPTDLFSNKERQHGAVLLHILGALYMFYALAIVCDDFFVPSLEKICERLHLSEDVAGATFMAAGSSTPELFASVIGVFITHGDVGVGTIVGSAVFNILCIIGVCGLFAGQVVRLTWWAVCRDSVYYTISVIVLIVFIYDEQIVWWEGLVLIILYVFYILIMKYNVKMQAFFTVKQKSIANGNPVNSELEAGNDFYDGSYDDPSVPLLGQVKEKPQYGKNPVVMVDEIMSSSPPKFTFPEAGLRIMITNKFGPRTRLRMASRIIINERQRLINSANGVSSKPLQNGRHENIENGNVPVENPEDPQQNQEQQPPPQPPPPEPEPVEADFLSPFSVPEARGDKVKWVFTWPLIFLLCVTIPNCSKPRWEKFFMVTFITATLWIAVFSYIMVWLVTIIGYTLGIPDVIMGITFLAAGTSVPDCMASLIVARQGLGDMAVSNTIGSNVFDILVGLGVPWGLQTMVVNYGSTVKINSRGLVYSVVLLLGSVALTVLGIHLNKWRLDRKLGVYVLVLYAIFLCFSIMIEFNVFTFVNLPMCREDD
+>DECOY_sp|Q8NFF2|NCKX4_HUMAN Sodium/potassium/calcium exchanger 4 OS=Homo sapiens OX=9606 GN=SLC24A4 PE=1 SV=2
+DDERCMPLNVFTFVNFEIMISFCLFIAYLVLVYVGLKRDLRWKNLHIGLVTLAVSGLLLVVSYVLGRSNIKVTSGYNVVMTQLGWPVGLGVLIDFVNSGITNSVAMDGLGQRAVILSAMCDPVSTGAALFTIGMIVDPIGLTYGIITVLWVMIYSFVAIWLTATIFTVMFFKEWRPKSCNPITVCLLFILPWTFVWKVKDGRAEPVSFPSLFDAEVPEPEPPPPQPPPQQEQNQQPDEPNEVPVNGNEINEHRGNQLPKSSVGNASNILRQRENIIIRSAMRLRTRPGFKNTIMIRLGAEPFTFKPPSSSMIEDVMVVPNKGYQPKEKVQGLLPVSPDDYSGDYFDNGAELESNVPNGNAISKQKVTFFAQMKVNYKMILIYFVYLIILVLGEWWVIQEDYIFVILVIVSITYYVSDRCVAWWTLRVVQGAFLGCVGIICLINFVASGVITGVGVDGHTIFVGIVSAFLEPTSSGAAMFTAGAVDESLHLRECIKELSPVFFDDCVIALAYFMYLAGLIHLLVAGHQREKNSFLDTPFEHIAPDTCNKATQTGNVPAMLKRNRWTDPLVRKSASATKHGLSGFLGSACCVLALVACVFGVQQPLMERRRRVKLPRLTGRLAM
+>sp|Q71RS6|NCKX5_HUMAN Sodium/potassium/calcium exchanger 5 OS=Homo sapiens OX=9606 GN=SLC24A5 PE=1 SV=1
+MQTKGGQTWARRALLLGILWATAHLPLSGTSLPQRLPRATGNSTQCVISPSSEFPEGFFTRQERRDGGIIIYFLIIVYMFMAISIVCDEYFLPSLEIISESLGLSQDVAGTTFMAAGSSAPELVTAFLGVFITKGDIGISTILGSAIYNLLGICAACGLLSNTVSTLSCWPLFRDCAAYTISAAAVLGIIYDNQVYWYEGALLLLIYGLYVLVLCFDIKINQYIIKKCSPCCACLAKAMERSEQQPLMGWEDEGQPFIRRQSRTDSGIFYEDSGYSQLSISLHGLSQVSEDPPSVFNMPEADLKRIFWVLSLPIITLLFLTTPDCRKKFWKNYFVITFFMSAIWISAFTYILVWMVTITGETLEIPDTVMGLTLLAAGTSIPDTIASVLVARKGKGDMAMSNIVGSNVFDMLCLGIPWFIKTAFINGSAPAEVNSRGLTYITISLNISIIFLFLAVHFNGWKLDRKLGIVCLLSYLGLATLSVLYELGIIGNNKIRGCGG
+>DECOY_sp|Q71RS6|NCKX5_HUMAN Sodium/potassium/calcium exchanger 5 OS=Homo sapiens OX=9606 GN=SLC24A5 PE=1 SV=1
+GGCGRIKNNGIIGLEYLVSLTALGLYSLLCVIGLKRDLKWGNFHVALFLFIISINLSITIYTLGRSNVEAPASGNIFATKIFWPIGLCLMDFVNSGVINSMAMDGKGKRAVLVSAITDPISTGAALLTLGMVTDPIELTEGTITVMWVLIYTFASIWIASMFFTIVFYNKWFKKRCDPTTLFLLTIIPLSLVWFIRKLDAEPMNFVSPPDESVQSLGHLSISLQSYGSDEYFIGSDTRSQRRIFPQGEDEWGMLPQQESREMAKALCACCPSCKKIIYQNIKIDFCLVLVYLGYILLLLAGEYWYVQNDYIIGLVAAASITYAACDRFLPWCSLTSVTNSLLGCAACIGLLNYIASGLITSIGIDGKTIFVGLFATVLEPASSGAAMFTTGAVDQSLGLSESIIELSPLFYEDCVISIAMFMYVIILFYIIIGGDRREQRTFFGEPFESSPSIVCQTSNGTARPLRQPLSTGSLPLHATAWLIGLLLARRAWTQGGKTQM
+>sp|O76036|NCTR1_HUMAN Natural cytotoxicity triggering receptor 1 OS=Homo sapiens OX=9606 GN=NCR1 PE=1 SV=1
+MSSTLPALLCVGLCLSQRISAQQQTLPKPFIWAEPHFMVPKEKQVTICCQGNYGAVEYQLHFEGSLFAVDRPKPPERINKVKFYIPDMNSRMAGQYSCIYRVGELWSEPSNLLDLVVTEMYDTPTLSVHPGPEVISGEKVTFYCRLDTATSMFLLLKEGRSSHVQRGYGKVQAEFPLGPVTTAHRGTYRCFGSYNNHAWSFPSEPVKLLVTGDIENTSLAPEDPTFPADTWGTYLLTTETGLQKDHALWDHTAQNLLRMGLAFLVLVALVWFLVEDWLSRKRTRERASRASTWEGRRRLNTQTL
+>DECOY_sp|O76036|NCTR1_HUMAN Natural cytotoxicity triggering receptor 1 OS=Homo sapiens OX=9606 GN=NCR1 PE=1 SV=1
+LTQTNLRRRGEWTSARSARERTRKRSLWDEVLFWVLAVLVLFALGMRLLNQATHDWLAHDKQLGTETTLLYTGWTDAPFTPDEPALSTNEIDGTVLLKVPESPFSWAHNNYSGFCRYTGRHATTVPGLPFEAQVKGYGRQVHSSRGEKLLLFMSTATDLRCYFTVKEGSIVEPGPHVSLTPTDYMETVVLDLLNSPESWLEGVRYICSYQGAMRSNMDPIYFKVKNIREPPKPRDVAFLSGEFHLQYEVAGYNGQCCITVQKEKPVMFHPEAWIFPKPLTQQQASIRQSLCLGVCLLAPLTSSM
+>sp|O14931|NCTR3_HUMAN Natural cytotoxicity triggering receptor 3 OS=Homo sapiens OX=9606 GN=NCR3 PE=1 SV=1
+MAWMLLLILIMVHPGSCALWVSQPPEIRTLEGSSAFLPCSFNASQGRLAIGSVTWFRDEVVPGKEVRNGTPEFRGRLAPLASSRFLHDHQAELHIRDVRGHDASIYVCRVEVLGLGVGTGNGTRLVVEKEHPQLGAGTVLLLRAGFYAVSFLSVAVGSTVYYQGKCLTWKGPRRQLPAVVPAPLPPPCGSSAHLLPPVPGG
+>DECOY_sp|O14931|NCTR3_HUMAN Natural cytotoxicity triggering receptor 3 OS=Homo sapiens OX=9606 GN=NCR3 PE=1 SV=1
+GGPVPPLLHASSGCPPPLPAPVVAPLQRRPGKWTLCKGQYYVTSGVAVSLFSVAYFGARLLLVTGAGLQPHEKEVVLRTGNGTGVGLGLVEVRCVYISADHGRVDRIHLEAQHDHLFRSSALPALRGRFEPTGNRVEKGPVVEDRFWTVSGIALRGQSANFSCPLFASSGELTRIEPPQSVWLACSGPHVMILILLLMWAM
+>sp|Q9BTX1|NDC1_HUMAN Nucleoporin NDC1 OS=Homo sapiens OX=9606 GN=NDC1 PE=1 SV=2
+MATAVSRPCAGRSRDILWRVLGWRIVASIVWSVLFLPICTTVFIIFSRIDLFHPIQWLSDSFSDLYSSYVIFYFLLLSVVIIIISIFNVEFYAVVPSIPCSRLALIGKIIHPQQLMHSFIHAAMGMVMAWCAAVITQGQYSFLVVPCTGTNSFGSPAAQTCLNEYHLFFLLTGAFMGYSYSLLYFVNNMNYLPFPIIQQYKFLRFRRSLLLLVKHSCVESLFLVRNFCILYYFLGYIPKAWISTAMNLHIDEQVHRPLDTVSGLLNLSLLYHVWLCGVFLLTTWYVSWILFKIYATEAHVFPVQPPFAEGSDECLPKVLNSNPPPIIKYLALQDLMLLSQYSPSRRQEVFSLSQPGGHPHNWTAISRECLNLLNGMTQKLILYQEAAATNGRVSSSYPVEPKKLNSPEETAFQTPKSSQMPRPSVPPLVKTSLFSSKLSTPDVVSPFGTPFGSSVMNRMAGIFDVNTCYGSPQSPQLIRRGPRLWTSASDQQMTEFSNPSPSTSISAEGKTMRQPSVIYSWIQNKREQIKNFLSKRVLIMYFFSKHPEASIQAVFSDAQMHIWALEGLSHLVAASFTEDRFGVVQTTLPAILNTLLTLQEAVDKYFKLPHASSKPPRISGSLVDTSYKTLRFAFRASLKTAIYRITTTFGEHLNAVQASAEHQKRLQQFLEFKE
+>DECOY_sp|Q9BTX1|NDC1_HUMAN Nucleoporin NDC1 OS=Homo sapiens OX=9606 GN=NDC1 PE=1 SV=2
+EKFELFQQLRKQHEASAQVANLHEGFTTTIRYIATKLSARFAFRLTKYSTDVLSGSIRPPKSSAHPLKFYKDVAEQLTLLTNLIAPLTTQVVGFRDETFSAAVLHSLGELAWIHMQADSFVAQISAEPHKSFFYMILVRKSLFNKIQERKNQIWSYIVSPQRMTKGEASISTSPSPNSFETMQQDSASTWLRPGRRILQPSQPSGYCTNVDFIGAMRNMVSSGFPTGFPSVVDPTSLKSSFLSTKVLPPVSPRPMQSSKPTQFATEEPSNLKKPEVPYSSSVRGNTAAAEQYLILKQTMGNLLNLCERSIATWNHPHGGPQSLSFVEQRRSPSYQSLLMLDQLALYKIIPPPNSNLVKPLCEDSGEAFPPQVPFVHAETAYIKFLIWSVYWTTLLFVGCLWVHYLLSLNLLGSVTDLPRHVQEDIHLNMATSIWAKPIYGLFYYLICFNRVLFLSEVCSHKVLLLLSRRFRLFKYQQIIPFPLYNMNNVFYLLSYSYGMFAGTLLFFLHYENLCTQAAPSGFSNTGTCPVVLFSYQGQTIVAACWAMVMGMAAHIFSHMLQQPHIIKGILALRSCPISPVVAYFEVNFISIIIIVVSLLLFYFIVYSSYLDSFSDSLWQIPHFLDIRSFIIFVTTCIPLFLVSWVISAVIRWGLVRWLIDRSRGACPRSVATAM
+>sp|O14777|NDC80_HUMAN Kinetochore protein NDC80 homolog OS=Homo sapiens OX=9606 GN=NDC80 PE=1 SV=1
+MKRSSVSSGGAGRLSMQELRSQDVNKQGLYTPQTKEKPTFGKLSINKPTSERKVSLFGKRTSGHGSRNSQLGIFSSSEKIKDPRPLNDKAFIQQCIRQLCEFLTENGYAHNVSMKSLQAPSVKDFLKIFTFLYGFLCPSYELPDTKFEEEVPRIFKDLGYPFALSKSSMYTVGAPHTWPHIVAALVWLIDCIKIHTAMKESSPLFDDGQPWGEETEDGIMHNKLFLDYTIKCYESFMSGADSFDEMNAELQSKLKDLFNVDAFKLESLEAKNRALNEQIARLEQEREKEPNRLESLRKLKASLQGDVQKYQAYMSNLESHSAILDQKLNGLNEEIARVELECETIKQENTRLQNIIDNQKYSVADIERINHERNELQQTINKLTKDLEAEQQKLWNEELKYARGKEAIETQLAEYHKLARKLKLIPKGAENSKGYDFEIKFNPEAGANCLVKYRAQVYVPLKELLNETEEEINKALNKKMGLEDTLEQLNAMITESKRSVRTLKEEVQKLDDLYQQKIKEAEEEDEKCASELESLEKHKHLLESTVNQGLSEAMNELDAVQREYQLVVQTTTEERRKVGNNLQRLLEMVATHVGSVEKHLEEQIAKVDREYEECMSEDLSENIKEIRDKYEKKATLIKSSEE
+>DECOY_sp|O14777|NDC80_HUMAN Kinetochore protein NDC80 homolog OS=Homo sapiens OX=9606 GN=NDC80 PE=1 SV=1
+EESSKILTAKKEYKDRIEKINESLDESMCEEYERDVKAIQEELHKEVSGVHTAVMELLRQLNNGVKRREETTTQVVLQYERQVADLENMAESLGQNVTSELLHKHKELSELESACKEDEEEAEKIKQQYLDDLKQVEEKLTRVSRKSETIMANLQELTDELGMKKNLAKNIEEETENLLEKLPVYVQARYKVLCNAGAEPNFKIEFDYGKSNEAGKPILKLKRALKHYEALQTEIAEKGRAYKLEENWLKQQEAELDKTLKNITQQLENREHNIREIDAVSYKQNDIINQLRTNEQKITECELEVRAIEENLGNLKQDLIASHSELNSMYAQYKQVDGQLSAKLKRLSELRNPEKEREQELRAIQENLARNKAELSELKFADVNFLDKLKSQLEANMEDFSDAGSMFSEYCKITYDLFLKNHMIGDETEEGWPQGDDFLPSSEKMATHIKICDILWVLAAVIHPWTHPAGVTYMSSKSLAFPYGLDKFIRPVEEEFKTDPLEYSPCLFGYLFTFIKLFDKVSPAQLSKMSVNHAYGNETLFECLQRICQQIFAKDNLPRPDKIKESSSFIGLQSNRSGHGSTRKGFLSVKRESTPKNISLKGFTPKEKTQPTYLGQKNVDQSRLEQMSLRGAGGSSVSSRKM
+>sp|Q9NXR1|NDE1_HUMAN Nuclear distribution protein nudE homolog 1 OS=Homo sapiens OX=9606 GN=NDE1 PE=1 SV=3
+MEDSGKTFSSEEEEANYWKDLAMTYKQRAENTQEELREFQEGSREYEAELETQLQQIETRNRDLLSENNRLRMELETIKEKFEVQHSEGYRQISALEDDLAQTKAIKDQLQKYIRELEQANDDLERAKRATIMSLEDFEQRLNQAIERNAFLESELDEKENLLESVQRLKDEARDLRQELAVQQKQEKPRTPMPSSVEAERTDTAVQATGSVPSTPIAHRGPSSSLNTPGSFRRGLDDSTGGTPLTPAARISALNIVGDLLRKVGALESKLASCRNLVYDQSPNRTGGPASGRSSKNRDGGERRPSSTSVPLGDKGLDTSCRWLSKSTTRSSSSC
+>DECOY_sp|Q9NXR1|NDE1_HUMAN Nuclear distribution protein nudE homolog 1 OS=Homo sapiens OX=9606 GN=NDE1 PE=1 SV=3
+CSSSSRTTSKSLWRCSTDLGKDGLPVSTSSPRREGGDRNKSSRGSAPGGTRNPSQDYVLNRCSALKSELAGVKRLLDGVINLASIRAAPTLPTGGTSDDLGRRFSGPTNLSSSPGRHAIPTSPVSGTAQVATDTREAEVSSPMPTRPKEQKQQVALEQRLDRAEDKLRQVSELLNEKEDLESELFANREIAQNLRQEFDELSMITARKARELDDNAQELERIYKQLQDKIAKTQALDDELASIQRYGESHQVEFKEKITELEMRLRNNESLLDRNRTEIQQLQTELEAEYERSGEQFERLEEQTNEARQKYTMALDKWYNAEEEESSFTKGSDEM
+>sp|Q96NK8|NDF6_HUMAN Neurogenic differentiation factor 6 OS=Homo sapiens OX=9606 GN=NEUROD6 PE=2 SV=1
+MLTLPFDESVVMPESQMCRKFSRECEDQKQIKKPESFSKQIVLRGKSIKRAPGEETEKEEEEEDREEEDENGLPRRRGLRKKKTTKLRLERVKFRRQEANARERNRMHGLNDALDNLRKVVPCYSKTQKLSKIETLRLAKNYIWALSEILRIGKRPDLLTFVQNLCKGLSQPTTNLVAGCLQLNARSFLMGQGGEAAHHTRSPYSTFYPPYHSPELTTPPGHGTLDNSKSMKPYNYCSAYESFYESTSPECASPQFEGPLSPPPINYNGIFSLKQEETLDYGKNYNYGMHYCAVPPRGPLGQGAMFRLPTDSHFPYDLHLRSQSLTMQDELNAVFHN
+>DECOY_sp|Q96NK8|NDF6_HUMAN Neurogenic differentiation factor 6 OS=Homo sapiens OX=9606 GN=NEUROD6 PE=2 SV=1
+NHFVANLEDQMTLSQSRLHLDYPFHSDTPLRFMAGQGLPGRPPVACYHMGYNYNKGYDLTEEQKLSFIGNYNIPPPSLPGEFQPSACEPSTSEYFSEYASCYNYPKMSKSNDLTGHGPPTTLEPSHYPPYFTSYPSRTHHAAEGGQGMLFSRANLQLCGAVLNTTPQSLGKCLNQVFTLLDPRKGIRLIESLAWIYNKALRLTEIKSLKQTKSYCPVVKRLNDLADNLGHMRNRERANAEQRRFKVRELRLKTTKKKRLGRRRPLGNEDEEERDEEEEEKETEEGPARKISKGRLVIQKSFSEPKKIQKQDECERSFKRCMQSEPMVVSEDFPLTLM
+>sp|O60361|NDK8_HUMAN Putative nucleoside diphosphate kinase OS=Homo sapiens OX=9606 GN=NME2P1 PE=5 SV=1
+MQCGLVGKIIKRFEQKGFRLVAMKFLPASEEHLKQHYIDLKDRPFFPGLVKYMNSGPVVAMVWEGLNVVKTGRVMLGETNPADSKPGTIRGDFCIQVGRNIIHGSDSVKSAEKEISLRFKPEELVDYKSCAHDWVYE
+>DECOY_sp|O60361|NDK8_HUMAN Putative nucleoside diphosphate kinase OS=Homo sapiens OX=9606 GN=NME2P1 PE=5 SV=1
+EYVWDHACSKYDVLEEPKFRLSIEKEASKVSDSGHIINRGVQICFDGRITGPKSDAPNTEGLMVRGTKVVNLGEWVMAVVPGSNMYKVLGPFFPRDKLDIYHQKLHEESAPLFKMAVLRFGKQEFRKIIKGVLGCQM
+>sp|O95299|NDUAA_HUMAN NADH dehydrogenase [ubiquinone] 1 alpha subcomplex subunit 10, mitochondrial OS=Homo sapiens OX=9606 GN=NDUFA10 PE=1 SV=1
+MALRLLKLAATSASARVVAAGAQRVRGIHSSVQCKLRYGMWHFLLGDKASKRLTERSRVITVDGNICTGKGKLAKEIAEKLGFKHFPEAGIHYPDSTTGDGKPLATDYNGNCSLEKFYDDPRSNDGNSYRLQSWLYSSRLLQYSDALEHLLTTGQGVVLERSIFSDFVFLEAMYNQGFIRKQCVDHYNEVKSVTICDYLPPHLVIYIDVPVPEVQRRIQKKGDPHEMKITSAYLQDIENAYKKTFLPEMSEKCEVLQYSAREAQDSKKVVEDIEYLKFDKGPWLKQDNRTLYHLRLLVQDKFEVLNYTSIPIFLPEVTIGAHQTDRVLHQFRELPGRKYSPGYNTEVGDKWIWLK
+>DECOY_sp|O95299|NDUAA_HUMAN NADH dehydrogenase [ubiquinone] 1 alpha subcomplex subunit 10, mitochondrial OS=Homo sapiens OX=9606 GN=NDUFA10 PE=1 SV=1
+KLWIWKDGVETNYGPSYKRGPLERFQHLVRDTQHAGITVEPLFIPISTYNLVEFKDQVLLRLHYLTRNDQKLWPGKDFKLYEIDEVVKKSDQAERASYQLVECKESMEPLFTKKYANEIDQLYASTIKMEHPDGKKQIRRQVEPVPVDIYIVLHPPLYDCITVSKVENYHDVCQKRIFGQNYMAELFVFDSFISRELVVGQGTTLLHELADSYQLLRSSYLWSQLRYSNGDNSRPDDYFKELSCNGNYDTALPKGDGTTSDPYHIGAEPFHKFGLKEAIEKALKGKGTCINGDVTIVRSRETLRKSAKDGLLFHWMGYRLKCQVSSHIGRVRQAGAAVVRASASTAALKLLRLAM
+>sp|Q86Y39|NDUAB_HUMAN NADH dehydrogenase [ubiquinone] 1 alpha subcomplex subunit 11 OS=Homo sapiens OX=9606 GN=NDUFA11 PE=1 SV=3
+MAPKVFRQYWDIPDGTDCHRKAYSTTSIASVAGLTAAAYRVTLNPPGTFLEGVAKVGQYTFTAAAVGAVFGLTTCISAHVREKPDDPLNYFLGGCAGGLTLGARTHNYGIGAAACVYFGIAASLVKMGRLEGWEVFAKPKV
+>DECOY_sp|Q86Y39|NDUAB_HUMAN NADH dehydrogenase [ubiquinone] 1 alpha subcomplex subunit 11 OS=Homo sapiens OX=9606 GN=NDUFA11 PE=1 SV=3
+VKPKAFVEWGELRGMKVLSAAIGFYVCAAAGIGYNHTRAGLTLGGACGGLFYNLPDDPKERVHASICTTLGFVAGVAAATFTYQGVKAVGELFTGPPNLTVRYAAATLGAVSAISTTSYAKRHCDTGDPIDWYQRFVKPAM
+>sp|Q9UMS0|NFU1_HUMAN NFU1 iron-sulfur cluster scaffold homolog, mitochondrial OS=Homo sapiens OX=9606 GN=NFU1 PE=1 SV=2
+MAATARRGWGAAAVAAGLRRRFCHMLKNPYTIKKQPLHQFVQRPLFPLPAAFYHPVRYMFIQTQDTPNPNSLKFIPGKPVLETRTMDFPTPAAAFRSPLARQLFRIEGVKSVFFGPDFITVTKENEELDWNLLKPDIYATIMDFFASGLPLVTEETPSGEAGSEEDDEVVAMIKELLDTRIRPTVQEDGGDVIYKGFEDGIVQLKLQGSCTSCPSSIITLKNGIQNMLQFYIPEVEGVEQVMDDESDEKEANSP
+>DECOY_sp|Q9UMS0|NFU1_HUMAN NFU1 iron-sulfur cluster scaffold homolog, mitochondrial OS=Homo sapiens OX=9606 GN=NFU1 PE=1 SV=2
+PSNAEKEDSEDDMVQEVGEVEPIYFQLMNQIGNKLTIISSPCSTCSGQLKLQVIGDEFGKYIVDGGDEQVTPRIRTDLLEKIMAVVEDDEESGAEGSPTEETVLPLGSAFFDMITAYIDPKLLNWDLEENEKTVTIFDPGFFVSKVGEIRFLQRALPSRFAAAPTPFDMTRTELVPKGPIFKLSNPNPTDQTQIFMYRVPHYFAAPLPFLPRQVFQHLPQKKITYPNKLMHCFRRRLGAAVAAAGWGRRATAAM
+>sp|Q6ZNB6|NFXL1_HUMAN NF-X1-type zinc finger protein NFXL1 OS=Homo sapiens OX=9606 GN=NFXL1 PE=1 SV=2
+MEASWRQVAGGRGRSRGRATAAPSGNGVHLRGAGGGREKGSVGAVPSGTSPGGVATTAAAGSRHSPAGSQALQTTAASELMSQKKFEEIKKANQAAARKLVEEQFSSSSEEGDEDFEGKQGKILANTFITYTTQTDGDTRELERTKQYVNEAFQAGAMTCLICIASVKRNQAVWSCSGCFCIFHMPCIQKWAKDSQFLVSSVTDDDFGKKDCPWPCPKCRFEYKRSETPSRYYCYCGKVEDPPLDPWLVPHSCGQVCEREFKPPCGHKCLLLCHPGPCPPCPKMVTTTCYCKKAKPIPRRCSAKEWSCQLPCGQKLLCGQHKCENPCHAGSCQPCPRVSRQKCVCGKKVAERSCASPLWHCDQVCGKTLPCGNHTCEQVCHVGACGECPRSGKRFCPCQKSKFSLPCTEDVPTCGDSCDKVLECGIHRCSQRCHRGPCETCRQEVEKHCRCGKHTKRMPCHKPYLCETKCVKMRDCQKHQCRRKCCPGNCPPCDQNCGRTLGCRNHKCPSVCHRGSCYPCPETVDVKCNCGNTKVTVPCGRERTTRPPKCKEQCSRPPTCHHTSQEKHRCHFGSCPPCHQPCQKVLEKCGHLCPAPCHDQALIKQTGRHQPTGPWEQPSEPAFIQTALPCPPCQVPIPMECLGKHEVSPLPCHAVGPYSCKRVCGRILDCQNHTCMKECHKVTKTDGCTGKNKAGPECLHCEEGCSKSRPLGCLHPCILRCHPGECPPCVQMLRIKCHCKITSLYVECRKITTADVNEKNLLSCCKNQCPKELPCGHRCKEMCHPGECPFNCNQKVKLRCPCKRIKKELQCNKVRENQVSIECDTTCKEMKRKASEIKEAEAKAALEEEKRRQQAELEAFENRLKGRRKKNRKRDEVAVELSLWQKHKYYLISVCGVVVVVFAWYITHDVN
+>DECOY_sp|Q6ZNB6|NFXL1_HUMAN NF-X1-type zinc finger protein NFXL1 OS=Homo sapiens OX=9606 GN=NFXL1 PE=1 SV=2
+NVDHTIYWAFVVVVVGCVSILYYKHKQWLSLEVAVEDRKRNKKRRGKLRNEFAELEAQQRRKEEELAAKAEAEKIESAKRKMEKCTTDCEISVQNERVKNCQLEKKIRKCPCRLKVKQNCNFPCEGPHCMEKCRHGCPLEKPCQNKCCSLLNKENVDATTIKRCEVYLSTIKCHCKIRLMQVCPPCEGPHCRLICPHLCGLPRSKSCGEECHLCEPGAKNKGTCGDTKTVKHCEKMCTHNQCDLIRGCVRKCSYPGVAHCPLPSVEHKGLCEMPIPVQCPPCPLATQIFAPESPQEWPGTPQHRGTQKILAQDHCPAPCLHGCKELVKQCPQHCPPCSGFHCRHKEQSTHHCTPPRSCQEKCKPPRTTRERGCPVTVKTNGCNCKVDVTEPCPYCSGRHCVSPCKHNRCGLTRGCNQDCPPCNGPCCKRRCQHKQCDRMKVCKTECLYPKHCPMRKTHKGCRCHKEVEQRCTECPGRHCRQSCRHIGCELVKDCSDGCTPVDETCPLSFKSKQCPCFRKGSRPCEGCAGVHCVQECTHNGCPLTKGCVQDCHWLPSACSREAVKKGCVCKQRSVRPCPQCSGAHCPNECKHQGCLLKQGCPLQCSWEKASCRRPIPKAKKCYCTTTVMKPCPPCPGPHCLLLCKHGCPPKFERECVQGCSHPVLWPDLPPDEVKGCYCYYRSPTESRKYEFRCKPCPWPCDKKGFDDDTVSSVLFQSDKAWKQICPMHFICFCGSCSWVAQNRKVSAICILCTMAGAQFAENVYQKTRELERTDGDTQTTYTIFTNALIKGQKGEFDEDGEESSSSFQEEVLKRAAAQNAKKIEEFKKQSMLESAATTQLAQSGAPSHRSGAAATTAVGGPSTGSPVAGVSGKERGGGAGRLHVGNGSPAATARGRSRGRGGAVQRWSAEM
+>sp|P0CG21|NHLC4_HUMAN NHL-repeat-containing protein 4 OS=Homo sapiens OX=9606 GN=NHLRC4 PE=1 SV=1
+MLGLEGPCWVGPGPDGGLAVSEEFGDVRLFGSARQPLGSLGGWTGHTFGCPAGICSNSEGNVIVADEQRRQVTLFPRAGPPICLVSEGLGQPLGVACAPQGQLLVADAKDNSIKVYQGLKELA
+>DECOY_sp|P0CG21|NHLC4_HUMAN NHL-repeat-containing protein 4 OS=Homo sapiens OX=9606 GN=NHLRC4 PE=1 SV=1
+ALEKLGQYVKISNDKADAVLLQGQPACAVGLPQGLGESVLCIPPGARPFLTVQRRQEDAVIVNGESNSCIGAPCGFTHGTWGGLSGLPQRASGFLRVDGFEESVALGGDPGPGVWCPGELGLM
+>sp|Q15599|NHRF2_HUMAN Na(+)/H(+) exchange regulatory cofactor NHE-RF2 OS=Homo sapiens OX=9606 GN=SLC9A3R2 PE=1 SV=2
+MAAPEPLRPRLCRLVRGEQGYGFHLHGEKGRRGQFIRRVEPGSPAEAAALRAGDRLVEVNGVNVEGETHHQVVQRIKAVEGQTRLLVVDQETDEELRRRQLTCTEEMAQRGLPPAHDPWEPKPDWAHTGSHSSEAGKKDVSGPLRELRPRLCHLRKGPQGYGFNLHSDKSRPGQYIRSVDPGSPAARSGLRAQDRLIEVNGQNVEGLRHAEVVASIKAREDEARLLVVDPETDEHFKRLRVTPTEEHVEGPLPSPVTNGTSPAQLNGGSACSSRSDLPGSDKDTEDGSAWKQDPFQESGLHLSPTAAEAKEKARAMRVNKRAPQMDWNRKREIFSNF
+>DECOY_sp|Q15599|NHRF2_HUMAN Na(+)/H(+) exchange regulatory cofactor NHE-RF2 OS=Homo sapiens OX=9606 GN=SLC9A3R2 PE=1 SV=2
+FNSFIERKRNWDMQPARKNVRMARAKEKAEAATPSLHLGSEQFPDQKWASGDETDKDSGPLDSRSSCASGGNLQAPSTGNTVPSPLPGEVHEETPTVRLRKFHEDTEPDVVLLRAEDERAKISAVVEAHRLGEVNQGNVEILRDQARLGSRAAPSGPDVSRIYQGPRSKDSHLNFGYGQPGKRLHCLRPRLERLPGSVDKKGAESSHSGTHAWDPKPEWPDHAPPLGRQAMEETCTLQRRRLEEDTEQDVVLLRTQGEVAKIRQVVQHHTEGEVNVGNVEVLRDGARLAAAEAPSGPEVRRIFQGRRGKEGHLHFGYGQEGRVLRCLRPRLPEPAAM
+>sp|Q5T2W1|NHRF3_HUMAN Na(+)/H(+) exchange regulatory cofactor NHE-RF3 OS=Homo sapiens OX=9606 GN=PDZK1 PE=1 SV=2
+MTSTFNPRECKLSKQEGQNYGFFLRIEKDTEGHLVRVVEKCSPAEKAGLQDGDRVLRINGVFVDKEEHMQVVDLVRKSGNSVTLLVLDGDSYEKAVKTRVDLKELGQSQKEQGLSDNILSPVMNGGVQTWTQPRLCYLVKEGGSYGFSLKTVQGKKGVYMTDITPQGVAMRAGVLADDHLIEVNGENVEDASHEEVVEKVKKSGSRVMFLLVDKETDKRHVEQKIQFKRETASLKLLPHQPRIVEMKKGSNGYGFYLRAGSEQKGQIIKDIDSGSPAEEAGLKNNDLVVAVNGESVETLDHDSVVEMIRKGGDQTSLLVVDKETDNMYRLAHFSPFLYYQSQELPNGSVKEAPAPTPTSLEVSSPPDTTEEVDHKPKLCRLAKGENGYGFHLNAIRGLPGSFIKEVQKGGPADLAGLEDEDVIIEVNGVNVLDEPYEKVVDRIQSSGKNVTLLVCGKKAYDYFQAKKIPIVSSLADPLDTPPDSKEGIVVESNHDSHMAKERAHSTASHSSSNSEDTEM
+>DECOY_sp|Q5T2W1|NHRF3_HUMAN Na(+)/H(+) exchange regulatory cofactor NHE-RF3 OS=Homo sapiens OX=9606 GN=PDZK1 PE=1 SV=2
+METDESNSSSHSATSHAREKAMHSDHNSEVVIGEKSDPPTDLPDALSSVIPIKKAQFYDYAKKGCVLLTVNKGSSQIRDVVKEYPEDLVNVGNVEIIVDEDELGALDAPGGKQVEKIFSGPLGRIANLHFGYGNEGKALRCLKPKHDVEETTDPPSSVELSTPTPAPAEKVSGNPLEQSQYYLFPSFHALRYMNDTEKDVVLLSTQDGGKRIMEVVSDHDLTEVSEGNVAVVLDNNKLGAEEAPSGSDIDKIIQGKQESGARLYFGYGNSGKKMEVIRPQHPLLKLSATERKFQIKQEVHRKDTEKDVLLFMVRSGSKKVKEVVEEHSADEVNEGNVEILHDDALVGARMAVGQPTIDTMYVGKKGQVTKLSFGYSGGEKVLYCLRPQTWTQVGGNMVPSLINDSLGQEKQSQGLEKLDVRTKVAKEYSDGDLVLLTVSNGSKRVLDVVQMHEEKDVFVGNIRLVRDGDQLGAKEAPSCKEVVRVLHGETDKEIRLFFGYNQGEQKSLKCERPNFTSTM
+>sp|Q5SYE7|NHSL1_HUMAN NHS-like protein 1 OS=Homo sapiens OX=9606 GN=NHSL1 PE=1 SV=2
+MKKEGSSGSFRLQPNTGSLSRAVSWINFSSLSRQTKRLFRSDGELSVCGQQVEVDDENWIYRAQPRKAVSNLDEESRWTVHYTAPWHQQENVFLPTTRPPCVEDLHRQAKLNLKSVLRECDKLRHDGYRSSQYYSQGPTFAANASPFCDDYQDEDEETDQKCSLSSSEEERFISIRRPKTPASSDFSDLNTQTNWTKSLPLPTPEEKMRQQAQTVQADVVPINITASGTGQDDADGHSVYTPDHYSTLGRFNSCRSAGQRSETRDSSCQTEDVKVVPPSMRRIRAQKGQGIAAQMGHFSGSSGNMSVLSDSAGIVFPSRLDSDAGFHSLPRSGARANIQSLEPRLGALGPAGDMNGTFLYQRGHPQADENLGHLGGASGTGTLLRPKSQELRHFESENIMSPACVVSPHATYSTSIIPNATLSSSSEVIAIPTAQSAGQRESKSSGSSHARIKSRDHLISRHAVKGDPQSPGRHWNEGHATILSQDLDPHSPGEPALLSLCDSAVPLNAPANRENGSQAMPYNCRNNLAFPAHPQDVDGKSESSYSGGGGHSSSEPWEYKSSGNGRASPLKPHLATPGYSTPTSNMSSCSLDQTSNKEDAGSLYSEDHDGYCASVHTDSGHGSGNLCNSSDGFGNPRHSVINVFVGRAQKNQGDRSNYQDKSLSRNISLKKAKKPPLPPSRTDSLRRIPKKSSQCNGQVLNESLIATLQHSLQLSLPGKSGSSPSQSPCSDLEEPWLPRSRSQSTVSAGSSMTSATTPNVYSLCGATPSQSDTSSVKSEYTDPWGYYIDYTGMQEDPGNPAGGCSTSSGVPTGNGPVRHVQEGSRATMPQVPGGSVKPKIMSPEKSHRVISPSSGYSSQSNTPTALTPVPVFLKSVSPANGKGKPKPKVPERKSSLISSVSISSSSTSLSSSTSTEGSGTMKKLDPAVGSPPAPPPPPVPSPPFPCPADRSPFLPPPPPVTDCSQGSPLPHSPVFPPPPPEALIPFCSPPDWCLSPPRPALSPILPDSPVSLPLPPPLLPSSEPPPAPPLDPKFMKDTRPPFTNSGQPESSRGSLRPPSTKEETSRPPMPLITTEALQMVQLRPVRKNSGAEAAQLSERTAQEQRTPVAPQYHLKPSAFLKSRNSTNEMESESQPASVTSSLPTPAKSSSQGDHGSAAERGGPVSRSPGAPSAGEAEARPSPSTTPLPDSSPSRKPPPISKKPKLFLVVPPPQKDFAVEPAENVSEALRAVPSPTTGEEGSVHSREAKESSAAQAGSHATHPGTSVLEGGAAGSMSPSRVEANVPMVQPDVSPAPKQEEPAENSADTGGDGESCLSQQDGAAGVPETNAAGSSSEACDFLKEDGNDEVMTPSRPRTTEDLFAAIHRSKRKVLGRRDSDDDHSRNHSPSPPVTPTGAAPSLASPKQVGSIQRSIRKSSTSSDNFKALLLKKGSRSDTSARMSAAEMLKNTDPRFQRSRSEPSPDAPESPSSCSPSKNRRAQEEWAKNEGLMPRSLSFSGPRYGRSRTPPSAASSRYSMRNRIQSSPMTVISEGEGEAVEPVDSIARGALGAAEGCSLDGLAREEMDEGGLLCGEGPAASLQPQAPGPVDGTASAEGREPSPQCGGSLSEES
+>DECOY_sp|Q5SYE7|NHSL1_HUMAN NHS-like protein 1 OS=Homo sapiens OX=9606 GN=NHSL1 PE=1 SV=2
+SEESLSGGCQPSPERGEASATGDVPGPAQPQLSAAPGEGCLLGGEDMEERALGDLSCGEAAGLAGRAISDVPEVAEGEGESIVTMPSSQIRNRMSYRSSAASPPTRSRGYRPGSFSLSRPMLGENKAWEEQARRNKSPSCSSPSEPADPSPESRSRQFRPDTNKLMEAASMRASTDSRSGKKLLLAKFNDSSTSSKRISRQISGVQKPSALSPAAGTPTVPPSPSHNRSHDDDSDRRGLVKRKSRHIAAFLDETTRPRSPTMVEDNGDEKLFDCAESSSGAANTEPVGAAGDQQSLCSEGDGGTDASNEAPEEQKPAPSVDPQVMPVNAEVRSPSMSGAAGGELVSTGPHTAHSGAQAASSEKAERSHVSGEEGTTPSPVARLAESVNEAPEVAFDKQPPPVVLFLKPKKSIPPPKRSPSSDPLPTTSPSPRAEAEGASPAGPSRSVPGGREAASGHDGQSSSKAPTPLSSTVSAPQSESEMENTSNRSKLFASPKLHYQPAVPTRQEQATRESLQAAEAGSNKRVPRLQVMQLAETTILPMPPRSTEEKTSPPRLSGRSSEPQGSNTFPPRTDKMFKPDLPPAPPPESSPLLPPPLPLSVPSDPLIPSLAPRPPSLCWDPPSCFPILAEPPPPPFVPSHPLPSGQSCDTVPPPPPLFPSRDAPCPFPPSPVPPPPPAPPSGVAPDLKKMTGSGETSTSSSLSTSSSSISVSSILSSKREPVKPKPKGKGNAPSVSKLFVPVPTLATPTNSQSSYGSSPSIVRHSKEPSMIKPKVSGGPVQPMTARSGEQVHRVPGNGTPVGSSTSCGGAPNGPDEQMGTYDIYYGWPDTYESKVSSTDSQSPTAGCLSYVNPTTASTMSSGASVTSQSRSRPLWPEELDSCPSQSPSSGSKGPLSLQLSHQLTAILSENLVQGNCQSSKKPIRRLSDTRSPPLPPKKAKKLSINRSLSKDQYNSRDGQNKQARGVFVNIVSHRPNGFGDSSNCLNGSGHGSDTHVSACYGDHDESYLSGADEKNSTQDLSCSSMNSTPTSYGPTALHPKLPSARGNGSSKYEWPESSSHGGGGSYSSESKGDVDQPHAPFALNNRCNYPMAQSGNERNAPANLPVASDCLSLLAPEGPSHPDLDQSLITAHGENWHRGPSQPDGKVAHRSILHDRSKIRAHSSGSSKSERQGASQATPIAIVESSSSLTANPIISTSYTAHPSVVCAPSMINESEFHRLEQSKPRLLTGTGSAGGLHGLNEDAQPHGRQYLFTGNMDGAPGLAGLRPELSQINARAGSRPLSHFGADSDLRSPFVIGASDSLVSMNGSSGSFHGMQAAIGQGKQARIRRMSPPVVKVDETQCSSDRTESRQGASRCSNFRGLTSYHDPTYVSHGDADDQGTGSATINIPVVDAQVTQAQQRMKEEPTPLPLSKTWNTQTNLDSFDSSAPTKPRRISIFREEESSSLSCKQDTEEDEDQYDDCFPSANAAFTPGQSYYQSSRYGDHRLKDCERLVSKLNLKAQRHLDEVCPPRTTPLFVNEQQHWPATYHVTWRSEEDLNSVAKRPQARYIWNEDDVEVQQGCVSLEGDSRFLRKTQRSLSSFNIWSVARSLSGTNPQLRFSGSSGEKKM
+>sp|Q96TA1|NIBL1_HUMAN Niban-like protein 1 OS=Homo sapiens OX=9606 GN=FAM129B PE=1 SV=3
+MGDVLSTHLDDARRQHIAEKTGKILTEFLQFYEDQYGVALFNSMRHEIEGTGLPQAQLLWRKVPLDERIVFSGNLFQHQEDSKKWRNRFSLVPHNYGLVLYENKAAYERQVPPRAVINSAGYKILTSVDQYLELIGNSLPGTTAKSGSAPILKCPTQFPLILWHPYARHYYFCMMTEAEQDKWQAVLQDCIRHCNNGIPEDSKVEGPAFTDAIRMYRQSKELYGTWEMLCGNEVQILSNLVMEELGPELKAELGPRLKGKPQERQRQWIQISDAVYHMVYEQAKARFEEVLSKVQQVQPAMQAVIRTDMDQIITSKEHLASKIRAFILPKAEVCVRNHVQPYIPSILEALMVPTSQGFTEVRDVFFKEVTDMNLNVINEGGIDKLGEYMEKLSRLAYHPLKMQSCYEKMESLRLDGLQQRFDVSSTSVFKQRAQIHMREQMDNAVYTFETLLHQELGKGPTKEELCKSIQRVLERVLKKYDYDSSSVRKRFFREALLQISIPFLLKKLAPTCKSELPRFQELIFEDFARFILVENTYEEVVLQTVMKDILQAVKEAAVQRKHNLYRDSMVMHNSDPNLHLLAEGAPIDWGEEYSNSGGGGSPSPSTPESATLSEKRRRAKQVVSVVQDEEVGLPFEASPESPPPASPDGVTEIRGLLAQGLRPESPPPAGPLLNGAPAGESPQPKAAPEASSPPASPLQHLLPGKAVDLGPPKPSDQETGEQVSSPSSHPALHTTTEDSAGVQTEF
+>DECOY_sp|Q96TA1|NIBL1_HUMAN Niban-like protein 1 OS=Homo sapiens OX=9606 GN=FAM129B PE=1 SV=3
+FETQVGASDETTTHLAPHSSPSSVQEGTEQDSPKPPGLDVAKGPLLHQLPSAPPSSAEPAAKPQPSEGAPAGNLLPGAPPPSEPRLGQALLGRIETVGDPSAPPPSEPSAEFPLGVEEDQVVSVVQKARRRKESLTASEPTSPSPSGGGGSNSYEEGWDIPAGEALLHLNPDSNHMVMSDRYLNHKRQVAAEKVAQLIDKMVTQLVVEEYTNEVLIFRAFDEFILEQFRPLESKCTPALKKLLFPISIQLLAERFFRKRVSSSDYDYKKLVRELVRQISKCLEEKTPGKGLEQHLLTEFTYVANDMQERMHIQARQKFVSTSSVDFRQQLGDLRLSEMKEYCSQMKLPHYALRSLKEMYEGLKDIGGENIVNLNMDTVEKFFVDRVETFGQSTPVMLAELISPIYPQVHNRVCVEAKPLIFARIKSALHEKSTIIQDMDTRIVAQMAPQVQQVKSLVEEFRAKAQEYVMHYVADSIQIWQRQREQPKGKLRPGLEAKLEPGLEEMVLNSLIQVENGCLMEWTGYLEKSQRYMRIADTFAPGEVKSDEPIGNNCHRICDQLVAQWKDQEAETMMCFYYHRAYPHWLILPFQTPCKLIPASGSKATTGPLSNGILELYQDVSTLIKYGASNIVARPPVQREYAAKNEYLVLGYNHPVLSFRNRWKKSDEQHQFLNGSFVIREDLPVKRWLLQAQPLGTGEIEHRMSNFLAVGYQDEYFQLFETLIKGTKEAIHQRRADDLHTSLVDGM
+>sp|Q92542|NICA_HUMAN Nicastrin OS=Homo sapiens OX=9606 GN=NCSTN PE=1 SV=2
+MATAGGGSGADPGSRGLLRLLSFCVLLAGLCRGNSVERKIYIPLNKTAPCVRLLNATHQIGCQSSISGDTGVIHVVEKEEDLQWVLTDGPNPPYMVLLESKHFTRDLMEKLKGRTSRIAGLAVSLTKPSPASGFSPSVQCPNDGFGVYSNSYGPEFAHCREIQWNSLGNGLAYEDFSFPIFLLEDENETKVIKQCYQDHNLSQNGSAPTFPLCAMQLFSHMHAVISTATCMRRSSIQSTFSINPEIVCDPLSDYNVWSMLKPINTTGTLKPDDRVVVAATRLDSRSFFWNVAPGAESAVASFVTQLAAAEALQKAPDVTTLPRNVMFVFFQGETFDYIGSSRMVYDMEKGKFPVQLENVDSFVELGQVALRTSLELWMHTDPVSQKNESVRNQVEDLLATLEKSGAGVPAVILRRPNQSQPLPPSSLQRFLRARNISGVVLADHSGAFHNKYYQSIYDTAENINVSYPEWLSPEEDLNFVTDTAKALADVATVLGRALYELAGGTNFSDTVQADPQTVTRLLYGFLIKANNSWFQSILRQDLRSYLGDGPLQHYIAVSSPTNTTYVVQYALANLTGTVVNLTREQCQDPSKVPSENKDLYEYSWVQGPLHSNETDRLPRCVRSTARLARALSPAFELSQWSSTEYSTWTESRWKDIRARIFLIASKELELITLTVGFGILIFSLIVTYCINAKADVLFIAPREPGAVSY
+>DECOY_sp|Q92542|NICA_HUMAN Nicastrin OS=Homo sapiens OX=9606 GN=NCSTN PE=1 SV=2
+YSVAGPERPAIFLVDAKANICYTVILSFILIGFGVTLTILELEKSAILFIRARIDKWRSETWTSYETSSWQSLEFAPSLARALRATSRVCRPLRDTENSHLPGQVWSYEYLDKNESPVKSPDQCQERTLNVVTGTLNALAYQVVYTTNTPSSVAIYHQLPGDGLYSRLDQRLISQFWSNNAKILFGYLLRTVTQPDAQVTDSFNTGGALEYLARGLVTAVDALAKATDTVFNLDEEPSLWEPYSVNINEATDYISQYYKNHFAGSHDALVVGSINRARLFRQLSSPPLPQSQNPRRLIVAPVGAGSKELTALLDEVQNRVSENKQSVPDTHMWLELSTRLAVQGLEVFSDVNELQVPFKGKEMDYVMRSSGIYDFTEGQFFVFMVNRPLTTVDPAKQLAEAAALQTVFSAVASEAGPAVNWFFSRSDLRTAAVVVRDDPKLTGTTNIPKLMSWVNYDSLPDCVIEPNISFTSQISSRRMCTATSIVAHMHSFLQMACLPFTPASGNQSLNHDQYCQKIVKTENEDELLFIPFSFDEYALGNGLSNWQIERCHAFEPGYSNSYVGFGDNPCQVSPSFGSAPSPKTLSVALGAIRSTRGKLKEMLDRTFHKSELLVMYPPNPGDTLVWQLDEEKEVVHIVGTDGSISSQCGIQHTANLLRVCPATKNLPIYIKREVSNGRCLGALLVCFSLLRLLGRSGPDAGSGGGATAM
+>sp|Q9BSH3|NICN1_HUMAN Nicolin-1 OS=Homo sapiens OX=9606 GN=NICN1 PE=2 SV=1
+MSRVLVPCHVKGSVALQVGDVRTSQGRPGVLVIDVTFPSVAPFELQEITFKNYYTAFLSIRVRQYTSAHTPAKWVTCLRDYCLMPDPHSEEGAQEYVSLFKHQMLCDMARISELRLILRQPSPLWLSFTVEELQIYQQGPKSPSVTFPKWLSHPVPCEQPALLREGLPDPSRVSSEVQQMWALTEMIRASHTSARIGRFDVDGCYDLNLLSYT
+>DECOY_sp|Q9BSH3|NICN1_HUMAN Nicolin-1 OS=Homo sapiens OX=9606 GN=NICN1 PE=2 SV=1
+TYSLLNLDYCGDVDFRGIRASTHSARIMETLAWMQQVESSVRSPDPLGERLLAPQECPVPHSLWKPFTVSPSKPGQQYIQLEEVTFSLWLPSPQRLILRLESIRAMDCLMQHKFLSVYEQAGEESHPDPMLCYDRLCTVWKAPTHASTYQRVRISLFATYYNKFTIEQLEFPAVSPFTVDIVLVGPRGQSTRVDGVQLAVSGKVHCPVLVRSM
+>sp|Q8IY84|NIM1_HUMAN Serine/threonine-protein kinase NIM1 OS=Homo sapiens OX=9606 GN=NIM1K PE=1 SV=1
+MTAVYMNGGGLVNPHYARWDRRDSVESGCQTESSKEGEEGQPRQLTPFEKLTQDMSQDEKVVREITLGKRIGFYRIRGEIGSGNFSQVKLGIHSLTKEKVAIKILDKTKLDQKTQRLLSREISSMEKLHHPNIIRLYEVVETLSKLHLVMEYAGGGELFGKISTEGKLSEPESKLIFSQIVSAVKHMHENQIIHRDLKAENVFYTSNTCVKVGDFGFSTVSKKGEMLNTFCGSPPYAAPELFRDEHYIGIYVDIWALGVLLYFMVTGTMPFRAETVAKLKKSILEGTYSVPPHVSEPCHRLIRGVLQQIPTERYGIDCIMNDEWMQGVPYPTPLEPFQLDPKHLSETSTLKEEENEVKSTLEHLGITEEHIRNNQGRDARSSITGVYRIILHRVQRKKALESVPVMMLPDPKERDLKKGSRVYRGIRHTSKFCSIL
+>DECOY_sp|Q8IY84|NIM1_HUMAN Serine/threonine-protein kinase NIM1 OS=Homo sapiens OX=9606 GN=NIM1K PE=1 SV=1
+LISCFKSTHRIGRYVRSGKKLDREKPDPLMMVPVSELAKKRQVRHLIIRYVGTISSRADRGQNNRIHEETIGLHELTSKVENEEEKLTSTESLHKPDLQFPELPTPYPVGQMWEDNMICDIGYRETPIQQLVGRILRHCPESVHPPVSYTGELISKKLKAVTEARFPMTGTVMFYLLVGLAWIDVYIGIYHEDRFLEPAAYPPSGCFTNLMEGKKSVTSFGFDGVKVCTNSTYFVNEAKLDRHIIQNEHMHKVASVIQSFILKSEPESLKGETSIKGFLEGGGAYEMVLHLKSLTEVVEYLRIINPHHLKEMSSIERSLLRQTKQDLKTKDLIKIAVKEKTLSHIGLKVQSFNGSGIEGRIRYFGIRKGLTIERVVKEDQSMDQTLKEFPTLQRPQGEEGEKSSETQCGSEVSDRRDWRAYHPNVLGGGNMYVATM
+>sp|Q9NZG7|NINJ2_HUMAN Ninjurin-2 OS=Homo sapiens OX=9606 GN=NINJ2 PE=1 SV=1
+MESARENIDLQPGSSDPRSQPINLNHYATKKSVAESMLDVALFMSNAMRLKAVLEQGPSSHYYTTLVTLISLSLLLQVVIGVLLVVIARLNLNEVEKQWRLNQLNNAATILVFFTVVINVFITAFGAHKTGFLAARASRNPL
+>DECOY_sp|Q9NZG7|NINJ2_HUMAN Ninjurin-2 OS=Homo sapiens OX=9606 GN=NINJ2 PE=1 SV=1
+LPNRSARAALFGTKHAGFATIFVNIVVTFFVLITAANNLQNLRWQKEVENLNLRAIVVLLVGIVVQLLLSLSILTVLTTYYHSSPGQELVAKLRMANSMFLAVDLMSEAVSKKTAYHNLNIPQSRPDSSGPQLDINERASEM
+>sp|P78367|NKX32_HUMAN Homeobox protein Nkx-3.2 OS=Homo sapiens OX=9606 GN=NKX3-2 PE=2 SV=2
+MAVRGANTLTSFSIQAILNKKEERGGLAAPEGRPAPGGTAASVAAAPAVCCWRLFGERDAGALGGAEDSLLASPAGTRTAAGRTAESPEGWDSDSALSEENESRRRCADARGASGAGLAGGSLSLGQPVCELAASKDLEEEAAGRSDSEMSASVSGDRSPRTEDDGVGPRGAHVSALCSGAGGGGGSGPAGVAEEEEEPAAPKPRKKRSRAAFSHAQVFELERRFNHQRYLSGPERADLAASLKLTETQVKIWFQNRRYKTKRRQMAADLLASAPAAKKVAVKVLVRDDQRQYLPGEVLRPPSLLPLQPSYYYPYYCLPGWALSTCAAAAGTQ
+>DECOY_sp|P78367|NKX32_HUMAN Homeobox protein Nkx-3.2 OS=Homo sapiens OX=9606 GN=NKX3-2 PE=2 SV=2
+QTGAAAACTSLAWGPLCYYPYYYSPQLPLLSPPRLVEGPLYQRQDDRVLVKVAVKKAAPASALLDAAMQRRKTKYRRNQFWIKVQTETLKLSAALDAREPGSLYRQHNFRRELEFVQAHSFAARSRKKRPKPAAPEEEEEAVGAPGSGGGGGAGSCLASVHAGRPGVGDDETRPSRDGSVSASMESDSRGAAEEELDKSAALECVPQGLSLSGGALGAGSAGRADACRRRSENEESLASDSDWGEPSEATRGAATRTGAPSALLSDEAGGLAGADREGFLRWCCVAPAAAVSAATGGPAPRGEPAALGGREEKKNLIAQISFSTLTNAGRVAM
+>sp|Q8N0W4|NLGNX_HUMAN Neuroligin-4, X-linked OS=Homo sapiens OX=9606 GN=NLGN4X PE=1 SV=1
+MSRPQGLLWLPLLFTPVCVMLNSNVLLWLTALAIKFTLIDSQAQYPVVNTNYGKIRGLRTPLPNEILGPVEQYLGVPYASPPTGERRFQPPEPPSSWTGIRNTTQFAAVCPQHLDERSLLHDMLPIWFTANLDTLMTYVQDQNEDCLYLNIYVPTEDDIHDQNSKKPVMVYIHGGSYMEGTGNMIDGSILASYGNVIVITINYRLGILGFLSTGDQAAKGNYGLLDQIQALRWIEENVGAFGGDPKRVTIFGSGAGASCVSLLTLSHYSEGLFQKAIIQSGTALSSWAVNYQPAKYTRILADKVGCNMLDTTDMVECLRNKNYKELIQQTITPATYHIAFGPVIDGDVIPDDPQILMEQGEFLNYDIMLGVNQGEGLKFVDGIVDNEDGVTPNDFDFSVSNFVDNLYGYPEGKDTLRETIKFMYTDWADKENPETRRKTLVALFTDHQWVAPAVATADLHAQYGSPTYFYAFYHHCQSEMKPSWADSAHGDEVPYVFGIPMIGPTELFSCNFSKNDVMLSAVVMTYWTNFAKTGDPNQPVPQDTKFIHTKPNRFEEVAWSKYNPKDQLYLHIGLKPRVRDHYRATKVAFWLELVPHLHNLNEIFQYVSTTTKVPPPDMTSFPYGTRRSPAKIWPTTKRPAITPANNPKHSKDPHKTGPEDTTVLIETKRDYSTELSVTIAVGASLLFLNILAFAALYYKKDKRRHETHRRPSPQRNTTNDIAHIQNEEIMSLQMKQLEHDHECESLQAHDTLRLTCPPDYTLTLRRSPDDIPLMTPNTITMIPNTLTGMQPLHTFNTFSGGQNSTNLPHGHSTTRV
+>DECOY_sp|Q8N0W4|NLGNX_HUMAN Neuroligin-4, X-linked OS=Homo sapiens OX=9606 GN=NLGN4X PE=1 SV=1
+VRTTSHGHPLNTSNQGGSFTNFTHLPQMGTLTNPIMTITNPTMLPIDDPSRRLTLTYDPPCTLRLTDHAQLSECEHDHELQKMQLSMIEENQIHAIDNTTNRQPSPRRHTEHRRKDKKYYLAAFALINLFLLSAGVAITVSLETSYDRKTEILVTTDEPGTKHPDKSHKPNNAPTIAPRKTTPWIKAPSRRTGYPFSTMDPPPVKTTTSVYQFIENLNHLHPVLELWFAVKTARYHDRVRPKLGIHLYLQDKPNYKSWAVEEFRNPKTHIFKTDQPVPQNPDGTKAFNTWYTMVVASLMVDNKSFNCSFLETPGIMPIGFVYPVEDGHASDAWSPKMESQCHHYFAYFYTPSGYQAHLDATAVAPAVWQHDTFLAVLTKRRTEPNEKDAWDTYMFKITERLTDKGEPYGYLNDVFNSVSFDFDNPTVGDENDVIGDVFKLGEGQNVGLMIDYNLFEGQEMLIQPDDPIVDGDIVPGFAIHYTAPTITQQILEKYNKNRLCEVMDTTDLMNCGVKDALIRTYKAPQYNVAWSSLATGSQIIAKQFLGESYHSLTLLSVCSAGAGSGFITVRKPDGGFAGVNEEIWRLAQIQDLLGYNGKAAQDGTSLFGLIGLRYNITIVIVNGYSALISGDIMNGTGEMYSGGHIYVMVPKKSNQDHIDDETPVYINLYLCDENQDQVYTMLTDLNATFWIPLMDHLLSREDLHQPCVAAFQTTNRIGTWSSPPEPPQFRREGTPPSAYPVGLYQEVPGLIENPLPTRLGRIKGYNTNVVPYQAQSDILTFKIALATLWLLVNSNLMVCVPTFLLPLWLLGQPRSM
+>sp|Q86UT6|NLRX1_HUMAN NLR family member X1 OS=Homo sapiens OX=9606 GN=NLRX1 PE=1 SV=1
+MRWGHHLPRASWGSGFRRALQRPDDRIPFLIHWSWPLQGERPFGPPRAFIRHHGSSVDSAPPPGRHGRLFPSASATEAIQRHRRNLAEWFSRLPREERQFGPTFALDTVHVDPVIRESTPDELLRPPAELALEHQPPQAGLPPLALSQLFNPDACGRRVQTVVLYGTVGTGKSTLVRKMVLDWCYGRLPAFELLIPFSCEDLSSLGPAPASLCQLVAQRYTPLKEVLPLMAAAGSHLLFVLHGLEHLNLDFRLAGTGLCSDPEEPQEPAAIIVNLLRKYMLPQASILVTTRPSAIGRIPSKYVGRYGEICGFSDTNLQKLYFQLRLNQPYCGYAVGGSGVSATPAQRDHLVQMLSRNLEGHHQIAAACFLPSYCWLVCATLHFLHAPTPAGQTLTSIYTSFLRLNFSGETLDSTDPSNLSLMAYAARTMGKLAYEGVSSRKTYFSEEDVCGCLEAGIRTEEEFQLLHIFRRDALRFFLAPCVEPGRAGTFVFTVPAMQEYLAALYIVLGLRKTTLQKVGKEVAELVGRVGEDVSLVLGIMAKLLPLRALPLLFNLIKVVPRVFGRMVGKSREAVAQAMVLEMFREEDYYNDDVLDQMGASILGVEGPRRHPDEPPEDEVFELFPMFMGGLLSAHNRAVLAQLGCPIKNLDALENAQAIKKKLGKLGRQVLPPSELLDHLFFHYEFQNQRFSAEVLSSLRQLNLAGVRMTPVKCTVVAAVLGSGRHALDEVNLASCQLDPAGLRTLLPVFLRARKLGLQLNSLGPEACKDLRDLLLHDQCQITTLRLSNNPLTAAGVAVLMEGLAGNTSVTHLSLLHTGLGDEGLELLAAQLDRNRQLQELNVAYNGAGDTAALALARAAREHPSLELLHLYFNELSSEGRQVLRDLGGAAEGGARVVVSLTEGTAVSEYWSVILSEVQRNLNSWDRARVQRHLELLLRDLEDSRGATLNPWRKAQLLRVEGEVRALLEQLGSSGS
+>DECOY_sp|Q86UT6|NLRX1_HUMAN NLR family member X1 OS=Homo sapiens OX=9606 GN=NLRX1 PE=1 SV=1
+SGSSGLQELLARVEGEVRLLQAKRWPNLTAGRSDELDRLLLELHRQVRARDWSNLNRQVESLIVSWYESVATGETLSVVVRAGGEAAGGLDRLVQRGESSLENFYLHLLELSPHERAARALALAATDGAGNYAVNLEQLQRNRDLQAALLELGEDGLGTHLLSLHTVSTNGALGEMLVAVGAATLPNNSLRLTTIQCQDHLLLDRLDKCAEPGLSNLQLGLKRARLFVPLLTRLGAPDLQCSALNVEDLAHRGSGLVAAVVTCKVPTMRVGALNLQRLSSLVEASFRQNQFEYHFFLHDLLESPPLVQRGLKGLKKKIAQANELADLNKIPCGLQALVARNHASLLGGMFMPFLEFVEDEPPEDPHRRPGEVGLISAGMQDLVDDNYYDEERFMELVMAQAVAERSKGVMRGFVRPVVKILNFLLPLARLPLLKAMIGLVLSVDEGVRGVLEAVEKGVKQLTTKRLGLVIYLAALYEQMAPVTFVFTGARGPEVCPALFFRLADRRFIHLLQFEEETRIGAELCGCVDEESFYTKRSSVGEYALKGMTRAAYAMLSLNSPDTSDLTEGSFNLRLFSTYISTLTQGAPTPAHLFHLTACVLWCYSPLFCAAAIQHHGELNRSLMQVLHDRQAPTASVGSGGVAYGCYPQNLRLQFYLKQLNTDSFGCIEGYRGVYKSPIRGIASPRTTVLISAQPLMYKRLLNVIIAAPEQPEEPDSCLGTGALRFDLNLHELGHLVFLLHSGAAAMLPLVEKLPTYRQAVLQCLSAPAPGLSSLDECSFPILLEFAPLRGYCWDLVMKRVLTSKGTGVTGYLVVTQVRRGCADPNFLQSLALPPLGAQPPQHELALEAPPRLLEDPTSERIVPDVHVTDLAFTPGFQREERPLRSFWEALNRRHRQIAETASASPFLRGHRGPPPASDVSSGHHRIFARPPGFPREGQLPWSWHILFPIRDDPRQLARRFGSGWSARPLHHGWRM
+>sp|Q12879|NMDE1_HUMAN Glutamate receptor ionotropic, NMDA 2A OS=Homo sapiens OX=9606 GN=GRIN2A PE=1 SV=1
+MGRVGYWTLLVLPALLVWRGPAPSAAAEKGPPALNIAVMLGHSHDVTERELRTLWGPEQAAGLPLDVNVVALLMNRTDPKSLITHVCDLMSGARIHGLVFGDDTDQEAVAQMLDFISSHTFVPILGIHGGASMIMADKDPTSTFFQFGASIQQQATVMLKIMQDYDWHVFSLVTTIFPGYREFISFVKTTVDNSFVGWDMQNVITLDTSFEDAKTQVQLKKIHSSVILLYCSKDEAVLILSEARSLGLTGYDFFWIVPSLVSGNTELIPKEFPSGLISVSYDDWDYSLEARVRDGIGILTTAASSMLEKFSYIPEAKASCYGQMERPEVPMHTLHPFMVNVTWDGKDLSFTEEGYQVHPRLVVIVLNKDREWEKVGKWENHTLSLRHAVWPRYKSFSDCEPDDNHLSIVTLEEAPFVIVEDIDPLTETCVRNTVPCRKFVKINNSTNEGMNVKKCCKGFCIDILKKLSRTVKFTYDLYLVTNGKHGKKVNNVWNGMIGEVVYQRAVMAVGSLTINEERSEVVDFSVPFVETGISVMVSRSNGTVSPSAFLEPFSASVWVMMFVMLLIVSAIAVFVFEYFSPVGYNRNLAKGKAPHGPSFTIGKAIWLLWGLVFNNSVPVQNPKGTTSKIMVSVWAFFAVIFLASYTANLAAFMIQEEFVDQVTGLSDKKFQRPHDYSPPFRFGTVPNGSTERNIRNNYPYMHQYMTKFNQKGVEDALVSLKTGKLDAFIYDAAVLNYKAGRDEGCKLVTIGSGYIFATTGYGIALQKGSPWKRQIDLALLQFVGDGEMEELETLWLTGICHNEKNEVMSSQLDIDNMAGVFYMLAAAMALSLITFIWEHLFYWKLRFCFTGVCSDRPGLLFSISRGIYSCIHGVHIEEKKKSPDFNLTGSQSNMLKLLRSAKNISSMSNMNSSRMDSPKRAADFIQRGSLIMDMVSDKGNLMYSDNRSFQGKESIFGDNMNELQTFVANRQKDNLNNYVFQGQHPLTLNESNPNTVEVAVSTESKANSRPRQLWKKSVDSIRQDSLSQNPVSQRDEATAENRTHSLKSPRYLPEEMAHSDISETSNRATCHREPDNSKNHKTKDNFKRSVASKYPKDCSEVERTYLKTKSSSPRDKIYTIDGEKEPGFHLDPPQFVENVTLPENVDFPDPYQDPSENFRKGDSTLPMNRNPLHNEEGLSNNDQYKLYSKHFTLKDKGSPHSETSERYRQNSTHCRSCLSNMPTYSGHFTMRSPFKCDACLRMGNLYDIDEDQMLQETGNPATGEQVYQQDWAQNNALQLQKNKLRISRQHSYDNIVDKPRELDLSRPSRSISLKDRERLLEGNFYGSLFSVPSSKLSGKKSSLFPQGLEDSKRSKSLLPDHTSDNPFLHSHRDDQRLVIGRCPSDPYKHSLPSQAVNDSYLRSSLRSTASYCSRDSRGHNDVYISEHVMPYAANKNNMYSTPRVLNSCSNRRVYKKMPSIESDV
+>DECOY_sp|Q12879|NMDE1_HUMAN Glutamate receptor ionotropic, NMDA 2A OS=Homo sapiens OX=9606 GN=GRIN2A PE=1 SV=1
+VDSEISPMKKYVRRNSCSNLVRPTSYMNNKNAAYPMVHESIYVDNHGRSDRSCYSATSRLSSRLYSDNVAQSPLSHKYPDSPCRGIVLRQDDRHSHLFPNDSTHDPLLSKSRKSDELGQPFLSSKKGSLKSSPVSFLSGYFNGELLRERDKLSISRSPRSLDLERPKDVINDYSHQRSIRLKNKQLQLANNQAWDQQYVQEGTAPNGTEQLMQDEDIDYLNGMRLCADCKFPSRMTFHGSYTPMNSLCSRCHTSNQRYRESTESHPSGKDKLTFHKSYLKYQDNNSLGEENHLPNRNMPLTSDGKRFNESPDQYPDPFDVNEPLTVNEVFQPPDLHFGPEKEGDITYIKDRPSSSKTKLYTREVESCDKPYKSAVSRKFNDKTKHNKSNDPERHCTARNSTESIDSHAMEEPLYRPSKLSHTRNEATAEDRQSVPNQSLSDQRISDVSKKWLQRPRSNAKSETSVAVEVTNPNSENLTLPHQGQFVYNNLNDKQRNAVFTQLENMNDGFISEKGQFSRNDSYMLNGKDSVMDMILSGRQIFDAARKPSDMRSSNMNSMSSINKASRLLKLMNSQSGTLNFDPSKKKEEIHVGHICSYIGRSISFLLGPRDSCVGTFCFRLKWYFLHEWIFTILSLAMAAALMYFVGAMNDIDLQSSMVENKENHCIGTLWLTELEEMEGDGVFQLLALDIQRKWPSGKQLAIGYGTTAFIYGSGITVLKCGEDRGAKYNLVAADYIFADLKGTKLSVLADEVGKQNFKTMYQHMYPYNNRINRETSGNPVTGFRFPPSYDHPRQFKKDSLGTVQDVFEEQIMFAALNATYSALFIVAFFAWVSVMIKSTTGKPNQVPVSNNFVLGWLLWIAKGITFSPGHPAKGKALNRNYGVPSFYEFVFVAIASVILLMVFMMVWVSASFPELFASPSVTGNSRSVMVSIGTEVFPVSFDVVESREENITLSGVAMVARQYVVEGIMGNWVNNVKKGHKGNTVLYLDYTFKVTRSLKKLIDICFGKCCKKVNMGENTSNNIKVFKRCPVTNRVCTETLPDIDEVIVFPAEELTVISLHNDDPECDSFSKYRPWVAHRLSLTHNEWKGVKEWERDKNLVIVVLRPHVQYGEETFSLDKGDWTVNVMFPHLTHMPVEPREMQGYCSAKAEPIYSFKELMSSAATTLIGIGDRVRAELSYDWDDYSVSILGSPFEKPILETNGSVLSPVIWFFDYGTLGLSRAESLILVAEDKSCYLLIVSSHIKKLQVQTKADEFSTDLTIVNQMDWGVFSNDVTTKVFSIFERYGPFITTVLSFVHWDYDQMIKLMVTAQQQISAGFQFFTSTPDKDAMIMSAGGHIGLIPVFTHSSIFDLMQAVAEQDTDDGFVLGHIRAGSMLDCVHTILSKPDTRNMLLAVVNVDLPLGAAQEPGWLTRLERETVDHSHGLMVAINLAPPGKEAAASPAPGRWVLLAPLVLLTWYGVRGM
+>sp|Q13224|NMDE2_HUMAN Glutamate receptor ionotropic, NMDA 2B OS=Homo sapiens OX=9606 GN=GRIN2B PE=1 SV=3
+MKPRAECCSPKFWLVLAVLAVSGSRARSQKSPPSIGIAVILVGTSDEVAIKDAHEKDDFHHLSVVPRVELVAMNETDPKSIITRICDLMSDRKIQGVVFADDTDQEAIAQILDFISAQTLTPILGIHGGSSMIMADKDESSMFFQFGPSIEQQASVMLNIMEEYDWYIFSIVTTYFPGYQDFVNKIRSTIENSFVGWELEEVLLLDMSLDDGDSKIQNQLKKLQSPIILLYCTKEEATYIFEVANSVGLTGYGYTWIVPSLVAGDTDTVPAEFPTGLISVSYDEWDYGLPARVRDGIAIITTAASDMLSEHSFIPEPKSSCYNTHEKRIYQSNMLNRYLINVTFEGRNLSFSEDGYQMHPKLVIILLNKERKWERVGKWKDKSLQMKYYVWPRMCPETEEQEDDHLSIVTLEEAPFVIVESVDPLSGTCMRNTVPCQKRIVTENKTDEEPGYIKKCCKGFCIDILKKISKSVKFTYDLYLVTNGKHGKKINGTWNGMIGEVVMKRAYMAVGSLTINEERSEVVDFSVPFIETGISVMVSRSNGTVSPSAFLEPFSADVWVMMFVMLLIVSAVAVFVFEYFSPVGYNRCLADGREPGGPSFTIGKAIWLLWGLVFNNSVPVQNPKGTTSKIMVSVWAFFAVIFLASYTANLAAFMIQEEYVDQVSGLSDKKFQRPNDFSPPFRFGTVPNGSTERNIRNNYAEMHAYMGKFNQRGVDDALLSLKTGKLDAFIYDAAVLNYMAGRDEGCKLVTIGSGKVFASTGYGIAIQKDSGWKRQVDLAILQLFGDGEMEELEALWLTGICHNEKNEVMSSQLDIDNMAGVFYMLGAAMALSLITFICEHLFYWQFRHCFMGVCSGKPGMVFSISRGIYSCIHGVAIEERQSVMNSPTATMNNTHSNILRLLRTAKNMANLSGVNGSPQSALDFIRRESSVYDISEHRRSFTHSDCKSYNNPPCEENLFSDYISEVERTFGNLQLKDSNVYQDHYHHHHRPHSIGSASSIDGLYDCDNPPFTTQSRSISKKPLDIGLPSSKHSQLSDLYGKFSFKSDRYSGHDDLIRSDVSDISTHTVTYGNIEGNAAKRRKQQYKDSLKKRPASAKSRREFDEIELAYRRRPPRSPDHKRYFRDKEGLRDFYLDQFRTKENSPHWEHVDLTDIYKERSDDFKRDSVSGGGPCTNRSHIKHGTGDKHGVVSGVPAPWEKNLTNVEWEDRSGGNFCRSCPSKLHNYSTTVTGQNSGRQACIRCEACKKAGNLYDISEDNSLQELDQPAAPVAVTSNASTTKYPQSPTNSKAQKKNRNKLRRQHSYDTFVDLQKEEAALAPRSVSLKDKGRFMDGSPYAHMFEMSAGESTFANNKSSVPTAGHHHHNNPGGGYMLSKSLYPDRVTQNPFIPTFGDDQCLLHGSKSYFFRQPTVAGASKARPDFRALVTNKPVVSALHGAVPARFQKDICIGNQSNPCVPNNKNPRAFNGSSNGHVYEKLSSIESDV
+>DECOY_sp|Q13224|NMDE2_HUMAN Glutamate receptor ionotropic, NMDA 2B OS=Homo sapiens OX=9606 GN=GRIN2B PE=1 SV=3
+VDSEISSLKEYVHGNSSGNFARPNKNNPVCPNSQNGICIDKQFRAPVAGHLASVVPKNTVLARFDPRAKSAGAVTPQRFFYSKSGHLLCQDDGFTPIFPNQTVRDPYLSKSLMYGGGPNNHHHHGATPVSSKNNAFTSEGASMEFMHAYPSGDMFRGKDKLSVSRPALAAEEKQLDVFTDYSHQRRLKNRNKKQAKSNTPSQPYKTTSANSTVAVPAAPQDLEQLSNDESIDYLNGAKKCAECRICAQRGSNQGTVTTSYNHLKSPCSRCFNGGSRDEWEVNTLNKEWPAPVGSVVGHKDGTGHKIHSRNTCPGGGSVSDRKFDDSREKYIDTLDVHEWHPSNEKTRFQDLYFDRLGEKDRFYRKHDPSRPPRRRYALEIEDFERRSKASAPRKKLSDKYQQKRRKAANGEINGYTVTHTSIDSVDSRILDDHGSYRDSKFSFKGYLDSLQSHKSSPLGIDLPKKSISRSQTTFPPNDCDYLGDISSASGISHPRHHHHYHDQYVNSDKLQLNGFTREVESIYDSFLNEECPPNNYSKCDSHTFSRRHESIDYVSSERRIFDLASQPSGNVGSLNAMNKATRLLRLINSHTNNMTATPSNMVSQREEIAVGHICSYIGRSISFVMGPKGSCVGMFCHRFQWYFLHECIFTILSLAMAAGLMYFVGAMNDIDLQSSMVENKENHCIGTLWLAELEEMEGDGFLQLIALDVQRKWGSDKQIAIGYGTSAFVKGSGITVLKCGEDRGAMYNLVAADYIFADLKGTKLSLLADDVGRQNFKGMYAHMEAYNNRINRETSGNPVTGFRFPPSFDNPRQFKKDSLGSVQDVYEEQIMFAALNATYSALFIVAFFAWVSVMIKSTTGKPNQVPVSNNFVLGWLLWIAKGITFSPGGPERGDALCRNYGVPSFYEFVFVAVASVILLMVFMMVWVDASFPELFASPSVTGNSRSVMVSIGTEIFPVSFDVVESREENITLSGVAMYARKMVVEGIMGNWTGNIKKGHKGNTVLYLDYTFKVSKSIKKLIDICFGKCCKKIYGPEEDTKNETVIRKQCPVTNRMCTGSLPDVSEVIVFPAEELTVISLHDDEQEETEPCMRPWVYYKMQLSKDKWKGVREWKREKNLLIIVLKPHMQYGDESFSLNRGEFTVNILYRNLMNSQYIRKEHTNYCSSKPEPIFSHESLMDSAATTIIAIGDRVRAPLGYDWEDYSVSILGTPFEAPVTDTDGAVLSPVIWTYGYGTLGVSNAVEFIYTAEEKTCYLLIIPSQLKKLQNQIKSDGDDLSMDLLLVEELEWGVFSNEITSRIKNVFDQYGPFYTTVISFIYWDYEEMINLMVSAQQEISPGFQFFMSSEDKDAMIMSSGGHIGLIPTLTQASIFDLIQAIAEQDTDDAFVVGQIKRDSMLDCIRTIISKPDTENMAVLEVRPVVSLHHFDDKEHADKIAVEDSTGVLIVAIGISPPSKQSRARSGSVALVALVLWFKPSCCEARPKM
+>sp|Q14957|NMDE3_HUMAN Glutamate receptor ionotropic, NMDA 2C OS=Homo sapiens OX=9606 GN=GRIN2C PE=1 SV=3
+MGGALGPALLLTSLFGAWAGLGPGQGEQGMTVAVVFSSSGPPQAQFRARLTPQSFLDLPLEIQPLTVGVNTTNPSSLLTQICGLLGAAHVHGIVFEDNVDTEAVAQILDFISSQTHVPILSISGGSAVVLTPKEPGSAFLQLGVSLEQQLQVLFKVLEEYDWSAFAVITSLHPGHALFLEGVRAVADASHVSWRLLDVVTLELGPGGPRARTQRLLRQLDAPVFVAYCSREEAEVLFAEAAQAGLVGPGHVWLVPNLALGSTDAPPATFPVGLISVVTESWRLSLRQKVRDGVAILALGAHSYWRQHGTLPAPAGDCRVHPGPVSPAREAFYRHLLNVTWEGRDFSFSPGGYLVQPTMVVIALNRHRLWEMVGRWEHGVLYMKYPVWPRYSASLQPVVDSRHLTVATLEERPFVIVESPDPGTGGCVPNTVPCRRQSNHTFSSGDVAPYTKLCCKGFCIDILKKLARVVKFSYDLYLVTNGKHGKRVRGVWNGMIGEVYYKRADMAIGSLTINEERSEIVDFSVPFVETGISVMVARSNGTVSPSAFLEPYSPAVWVMMFVMCLTVVAITVFMFEYFSPVSYNQNLTRGKKSGGPAFTIGKSVWLLWALVFNNSVPIENPRGTTSKIMVLVWAFFAVIFLASYTANLAAFMIQEQYIDTVSGLSDKKFQRPQDQYPPFRFGTVPNGSTERNIRSNYRDMHTHMVKFNQRSVEDALTSLKMGKLDAFIYDAAVLNYMAGKDEGCKLVTIGSGKVFATTGYGIAMQKDSHWKRAIDLALLQFLGDGETQKLETVWLSGICQNEKNEVMSSKLDIDNMAGVFYMLLVAMGLALLVFAWEHLVYWKLRHSVPNSSQLDFLLAFSRGIYSCFSGVQSLASPPRQASPDLTASSAQASVLKMLQAARDMVTTAGVSSSLDRATRTIENWGGGRRAPPPSPCPTPRSGPSPCLPTPDPPPEPSPTGWGPPDGGRAALVRRAPQPPGRPPTPGPPLSDVSRVSRRPAWEARWPVRTGHCGRHLSASERPLSPARCHYSSFPRADRSGRPFLPLFPELEDLPLLGPEQLARREALLHAAWARGSRPRHASLPSSVAEAFARPSSLPAGCTGPACARPDGHSACRRLAQAQSMCLPIYREACQEGEQAGAPAWQHRQHVCLHAHAHLPFCWGAVCPHLPPCASHGSWLSGAWGPLGHRGRTLGLGTGYRDSGGLDEISRVARGTQGFPGPCTWRRISSLESEV
+>DECOY_sp|Q14957|NMDE3_HUMAN Glutamate receptor ionotropic, NMDA 2C OS=Homo sapiens OX=9606 GN=GRIN2C PE=1 SV=3
+VESELSSIRRWTCPGPFGQTGRAVRSIEDLGGSDRYGTGLGLTRGRHGLPGWAGSLWSGHSACPPLHPCVAGWCFPLHAHAHLCVHQRHQWAPAGAQEGEQCAERYIPLCMSQAQALRRCASHGDPRACAPGTCGAPLSSPRAFAEAVSSPLSAHRPRSGRAWAAHLLAERRALQEPGLLPLDELEPFLPLFPRGSRDARPFSSYHCRAPSLPRESASLHRGCHGTRVPWRAEWAPRRSVRSVDSLPPGPTPPRGPPQPARRVLAARGGDPPGWGTPSPEPPPDPTPLCPSPGSRPTPCPSPPPARRGGGWNEITRTARDLSSSVGATTVMDRAAQLMKLVSAQASSATLDPSAQRPPSALSQVGSFCSYIGRSFALLFDLQSSNPVSHRLKWYVLHEWAFVLLALGMAVLLMYFVGAMNDIDLKSSMVENKENQCIGSLWVTELKQTEGDGLFQLLALDIARKWHSDKQMAIGYGTTAFVKGSGITVLKCGEDKGAMYNLVAADYIFADLKGMKLSTLADEVSRQNFKVMHTHMDRYNSRINRETSGNPVTGFRFPPYQDQPRQFKKDSLGSVTDIYQEQIMFAALNATYSALFIVAFFAWVLVMIKSTTGRPNEIPVSNNFVLAWLLWVSKGITFAPGGSKKGRTLNQNYSVPSFYEFMFVTIAVVTLCMVFMMVWVAPSYPELFASPSVTGNSRAVMVSIGTEVFPVSFDVIESREENITLSGIAMDARKYYVEGIMGNWVGRVRKGHKGNTVLYLDYSFKVVRALKKLIDICFGKCCLKTYPAVDGSSFTHNSQRRCPVTNPVCGGTGPDPSEVIVFPREELTAVTLHRSDVVPQLSASYRPWVPYKMYLVGHEWRGVMEWLRHRNLAIVVMTPQVLYGGPSFSFDRGEWTVNLLHRYFAERAPSVPGPHVRCDGAPAPLTGHQRWYSHAGLALIAVGDRVKQRLSLRWSETVVSILGVPFTAPPADTSGLALNPVLWVHGPGVLGAQAAEAFLVEAEERSCYAVFVPADLQRLLRQTRARPGGPGLELTVVDLLRWSVHSADAVARVGELFLAHGPHLSTIVAFASWDYEELVKFLVQLQQELSVGLQLFASGPEKPTLVVASGGSISLIPVHTQSSIFDLIQAVAETDVNDEFVIGHVHAAGLLGCIQTLLSSPNTTNVGVTLPQIELPLDLFSQPTLRARFQAQPPGSSSFVVAVTMGQEGQGPGLGAWAGFLSTLLLAPGLAGGM
+>sp|O15399|NMDE4_HUMAN Glutamate receptor ionotropic, NMDA 2D OS=Homo sapiens OX=9606 GN=GRIN2D PE=1 SV=2
+MRGAGGPRGPRGPAKMLLLLALACASPFPEEAPGPGGAGGPGGGLGGARPLNVALVFSGPAYAAEAARLGPAVAAAVRSPGLDVRPVALVLNGSDPRSLVLQLCDLLSGLRVHGVVFEDDSRAPAVAPILDFLSAQTSLPIVAVHGGAALVLTPKEKGSTFLQLGSSTEQQLQVIFEVLEEYDWTSFVAVTTRAPGHRAFLSYIEVLTDGSLVGWEHRGALTLDPGAGEAVLSAQLRSVSAQIRLLFCAREEAEPVFRAAEEAGLTGSGYVWFMVGPQLAGGGGSGAPGEPPLLPGGAPLPAGLFAVRSAGWRDDLARRVAAGVAVVARGAQALLRDYGFLPELGHDCRAQNRTHRGESLHRYFMNITWDNRDYSFNEDGFLVNPSLVVISLTRDRTWEVVGSWEQQTLRLKYPLWSRYGRFLQPVDDTQHLTVATLEERPFVIVEPADPISGTCIRDSVPCRSQLNRTHSPPPDAPRPEKRCCKGFCIDILKRLAHTIGFSYDLYLVTNGKHGKKIDGVWNGMIGEVFYQRADMAIGSLTINEERSEIVDFSVPFVETGISVMVARSNGTVSPSAFLEPYSPAVWVMMFVMCLTVVAVTVFIFEYLSPVGYNRSLATGKRPGGSTFTIGKSIWLLWALVFNNSVPVENPRGTTSKIMVLVWAFFAVIFLASYTANLAAFMIQEEYVDTVSGLSDRKFQRPQEQYPPLKFGTVPNGSTEKNIRSNYPDMHSYMVRYNQPRVEEALTQLKAGKLDAFIYDAAVLNYMARKDEGCKLVTIGSGKVFATTGYGIALHKGSRWKRPIDLALLQFLGDDEIEMLERLWLSGICHNDKIEVMSSKLDIDNMAGVFYMLLVAMGLSLLVFAWEHLVYWRLRHCLGPTHRMDFLLAFSRGMYSCCSAEAAPPPAKPPPPPQPLPSPAYPAPRPAPGPAPFVPRERASVDRWRRTKGAGPPGGAGLADGFHRYYGPIEPQGLGLGLGEARAAPRGAAGRPLSPPAAQPPQKPPPSYFAIVRDKEPAEPPAGAFPGFPSPPAPPAAAATAVGPPLCRLAFEDESPPAPARWPRSDPESQPLLGPGAGGAGGTGGAGGGAPAAPPPCRAAPPPCPYLDLEPSPSDSEDSESLGGASLGGLEPWWFADFPYPYAERLGPPPGRYWSVDKLGGWRAGSWDYLPPRSGPAAWHCRHCASLELLPPPRHLSCSHDGLDGGWWAPPPPPWAAGPLPRRRARCGCPRSHPHRPRASHRTPAAAAPHHHRHRRAAGGWDLPPPAPTSRSLEDLSSCPRAAPARRLTGPSRHARRCPHAAHWGPPLPTASHRRHRGGDLGTRRGSAHFSSLESEV
+>DECOY_sp|O15399|NMDE4_HUMAN Glutamate receptor ionotropic, NMDA 2D OS=Homo sapiens OX=9606 GN=GRIN2D PE=1 SV=2
+VESELSSFHASGRRTGLDGGRHRRHSATPLPPGWHAAHPCRRAHRSPGTLRRAPAARPCSSLDELSRSTPAPPPLDWGGAARRHRHHHPAAAAPTRHSARPRHPHSRPCGCRARRRPLPGAAWPPPPPAWWGGDLGDHSCSLHRPPPLLELSACHRCHWAAPGSRPPLYDWSGARWGGLKDVSWYRGPPPGLREAYPYPFDAFWWPELGGLSAGGLSESDESDSPSPELDLYPCPPPAARCPPPAAPAGGGAGGTGGAGGAGPGLLPQSEPDSRPWRAPAPPSEDEFALRCLPPGVATAAAAPPAPPSPFGPFAGAPPEAPEKDRVIAFYSPPPKQPPQAAPPSLPRGAAGRPAARAEGLGLGLGQPEIPGYYRHFGDALGAGGPPGAGKTRRWRDVSARERPVFPAPGPAPRPAPYAPSPLPQPPPPPKAPPPAAEASCCSYMGRSFALLFDMRHTPGLCHRLRWYVLHEWAFVLLSLGMAVLLMYFVGAMNDIDLKSSMVEIKDNHCIGSLWLRELMEIEDDGLFQLLALDIPRKWRSGKHLAIGYGTTAFVKGSGITVLKCGEDKRAMYNLVAADYIFADLKGAKLQTLAEEVRPQNYRVMYSHMDPYNSRINKETSGNPVTGFKLPPYQEQPRQFKRDSLGSVTDVYEEQIMFAALNATYSALFIVAFFAWVLVMIKSTTGRPNEVPVSNNFVLAWLLWISKGITFTSGGPRKGTALSRNYGVPSLYEFIFVTVAVVTLCMVFMMVWVAPSYPELFASPSVTGNSRAVMVSIGTEVFPVSFDVIESREENITLSGIAMDARQYFVEGIMGNWVGDIKKGHKGNTVLYLDYSFGITHALRKLIDICFGKCCRKEPRPADPPPSHTRNLQSRCPVSDRICTGSIPDAPEVIVFPREELTAVTLHQTDDVPQLFRGYRSWLPYKLRLTQQEWSGVVEWTRDRTLSIVVLSPNVLFGDENFSYDRNDWTINMFYRHLSEGRHTRNQARCDHGLEPLFGYDRLLAQAGRAVVAVGAAVRRALDDRWGASRVAFLGAPLPAGGPLLPPEGPAGSGGGGALQPGVMFWVYGSGTLGAEEAARFVPEAEERACFLLRIQASVSRLQASLVAEGAGPDLTLAGRHEWGVLSGDTLVEIYSLFARHGPARTTVAVFSTWDYEELVEFIVQLQQETSSGLQLFTSGKEKPTLVLAAGGHVAVIPLSTQASLFDLIPAVAPARSDDEFVVGHVRLGSLLDCLQLVLSRPDSGNLVLAVPRVDLGPSRVAAAVAPGLRAAEAAYAPGSFVLAVNLPRAGGLGGGPGGAGGPGPAEEPFPSACALALLLLMKAPGRPGRPGGAGRM
+>sp|Q9BZQ4|NMNA2_HUMAN Nicotinamide/nicotinic acid mononucleotide adenylyltransferase 2 OS=Homo sapiens OX=9606 GN=NMNAT2 PE=1 SV=1
+MTETTKTHVILLACGSFNPITKGHIQMFERARDYLHKTGRFIVIGGIVSPVHDSYGKQGLVSSRHRLIMCQLAVQNSDWIRVDPWECYQDTWQTTCSVLEHHRDLMKRVTGCILSNVNTPSMTPVIGQPQNETPQPIYQNSNVATKPTAAKILGKVGESLSRICCVRPPVERFTFVDENANLGTVMRYEEIELRILLLCGSDLLESFCIPGLWNEADMEVIVGDFGIVVVPRDAADTDRIMNHSSILRKYKNNIMVVKDDINHPMSVVSSTKSRLALQHGDGHVVDYLSQPVIDYILKSQLYINASG
+>DECOY_sp|Q9BZQ4|NMNA2_HUMAN Nicotinamide/nicotinic acid mononucleotide adenylyltransferase 2 OS=Homo sapiens OX=9606 GN=NMNAT2 PE=1 SV=1
+GSANIYLQSKLIYDIVPQSLYDVVHGDGHQLALRSKTSSVVSMPHNIDDKVVMINNKYKRLISSHNMIRDTDAADRPVVVIGFDGVIVEMDAENWLGPICFSELLDSGCLLLIRLEIEEYRMVTGLNANEDVFTFREVPPRVCCIRSLSEGVKGLIKAATPKTAVNSNQYIPQPTENQPQGIVPTMSPTNVNSLICGTVRKMLDRHHELVSCTTQWTDQYCEWPDVRIWDSNQVALQCMILRHRSSVLGQKGYSDHVPSVIGGIVIFRGTKHLYDRAREFMQIHGKTIPNFSGCALLIVHTKTTETM
+>sp|Q96T66|NMNA3_HUMAN Nicotinamide/nicotinic acid mononucleotide adenylyltransferase 3 OS=Homo sapiens OX=9606 GN=NMNAT3 PE=1 SV=2
+MKSRIPVVLLACGSFNPITNMHLRMFEVARDHLHQTGMYQVIQGIISPVNDTYGKKDLAASHHRVAMARLALQTSDWIRVDPWESEQAQWMETVKVLRHHHSKLLRSPPQMEGPDHGKALFSTPAAVPELKLLCGADVLKTFQTPNLWKDAHIQEIVEKFGLVCVGRVGHDPKGYIAESPILRMHQHNIHLAKEPVQNEISATYIRRALGQGQSVKYLIPDAVITYIKDHGLYTKGSTWKGKSTQSTEGKTS
+>DECOY_sp|Q96T66|NMNA3_HUMAN Nicotinamide/nicotinic acid mononucleotide adenylyltransferase 3 OS=Homo sapiens OX=9606 GN=NMNAT3 PE=1 SV=2
+STKGETSQTSKGKWTSGKTYLGHDKIYTIVADPILYKVSQGQGLARRIYTASIENQVPEKALHINHQHMRLIPSEAIYGKPDHGVRGVCVLGFKEVIEQIHADKWLNPTQFTKLVDAGCLLKLEPVAAPTSFLAKGHDPGEMQPPSRLLKSHHHRLVKVTEMWQAQESEWPDVRIWDSTQLALRAMAVRHHSAALDKKGYTDNVPSIIGQIVQYMGTQHLHDRAVEFMRLHMNTIPNFSGCALLVVPIRSKM
+>sp|O60551|NMT2_HUMAN Glycylpeptide N-tetradecanoyltransferase 2 OS=Homo sapiens OX=9606 GN=NMT2 PE=1 SV=1
+MAEDSESAASQQSLELDDQDTCGIDGDNEEETEHAKGSPGGYLGAKKKKKKQKRKKEKPNSGGTKSDSASDSQEIKIQQPSKNPSVPMQKLQDIQRAMELLSACQGPARNIDEAAKHRYQFWDTQPVPKLDEVITSHGAIEPDKDNVRQEPYSLPQGFMWDTLDLSDAEVLKELYTLLNENYVEDDDNMFRFDYSPEFLLWALRPPGWLLQWHCGVRVSSNKKLVGFISAIPANIRIYDSVKKMVEINFLCVHKKLRSKRVAPVLIREITRRVNLEGIFQAVYTAGVVLPKPIATCRYWHRSLNPRKLVEVKFSHLSRNMTLQRTMKLYRLPDVTKTSGLRPMEPKDIKSVRELINTYLKQFHLAPVMDEEEVAHWFLPREHIIDTFVVESPNGKLTDFLSFYTLPSTVMHHPAHKSLKAAYSFYNIHTETPLLDLMSDALILAKSKGFDVFNALDLMENKTFLEKLKFGIGDGNLQYYLYNWRCPGTDSEKVGLVLQ
+>DECOY_sp|O60551|NMT2_HUMAN Glycylpeptide N-tetradecanoyltransferase 2 OS=Homo sapiens OX=9606 GN=NMT2 PE=1 SV=1
+QLVLGVKESDTGPCRWNYLYYQLNGDGIGFKLKELFTKNEMLDLANFVDFGKSKALILADSMLDLLPTETHINYFSYAAKLSKHAPHHMVTSPLTYFSLFDTLKGNPSEVVFTDIIHERPLFWHAVEEEDMVPALHFQKLYTNILERVSKIDKPEMPRLGSTKTVDPLRYLKMTRQLTMNRSLHSFKVEVLKRPNLSRHWYRCTAIPKPLVVGATYVAQFIGELNVRRTIERILVPAVRKSRLKKHVCLFNIEVMKKVSDYIRINAPIASIFGVLKKNSSVRVGCHWQLLWGPPRLAWLLFEPSYDFRFMNDDDEVYNENLLTYLEKLVEADSLDLTDWMFGQPLSYPEQRVNDKDPEIAGHSTIVEDLKPVPQTDWFQYRHKAAEDINRAPGQCASLLEMARQIDQLKQMPVSPNKSPQQIKIEQSDSASDSKTGGSNPKEKKRKQKKKKKKAGLYGGPSGKAHETEEENDGDIGCTDQDDLELSQQSAASESDEAM
+>sp|Q9BRK3|MXRA8_HUMAN Matrix remodeling-associated protein 8 OS=Homo sapiens OX=9606 GN=MXRA8 PE=1 SV=1
+MALPSRILLWKLVLLQSSAVLLHSGSSVPAAAGSSVVSESAVSWEAGARAVLRCQSPRMVWTQDRLHDRQRVLHWDLRGPGGGPARRLLDLYSAGEQRVYEARDRGRLELSASAFDDGNFSLLIRAVEETDAGLYTCNLHHHYCHLYESLAVRLEVTDGPPATPAYWDGEKEVLAVARGAPALLTCVNRGHVWTDRHVEEAQQVVHWDRQPPGVPHDRADRLLDLYASGERRAYGPLFLRDRVAVGADAFERGDFSLRIEPLEVADEGTYSCHLHHHYCGLHERRVFHLTVAEPHAEPPPRGSPGNGSSHSGAPGPDPTLARGHNVINVIVPESRAHFFQQLGYVLATLLLFILLLVTVLLAARRRRGGYEYSDQKSGKSKGKDVNLAEFAVAAGDQMLYRSEDIQLDYKNNILKERAELAHSPLPAKYIDLDKGFRKENCK
+>DECOY_sp|Q9BRK3|MXRA8_HUMAN Matrix remodeling-associated protein 8 OS=Homo sapiens OX=9606 GN=MXRA8 PE=1 SV=1
+KCNEKRFGKDLDIYKAPLPSHALEAREKLINNKYDLQIDESRYLMQDGAAVAFEALNVDKGKSKGSKQDSYEYGGRRRRAALLVTVLLLIFLLLTALVYGLQQFFHARSEPVIVNIVNHGRALTPDPGPAGSHSSGNGPSGRPPPEAHPEAVTLHFVRREHLGCYHHHLHCSYTGEDAVELPEIRLSFDGREFADAGVAVRDRLFLPGYARREGSAYLDLLRDARDHPVGPPQRDWHVVQQAEEVHRDTWVHGRNVCTLLAPAGRAVALVEKEGDWYAPTAPPGDTVELRVALSEYLHCYHHHLNCTYLGADTEEVARILLSFNGDDFASASLELRGRDRAEYVRQEGASYLDLLRRAPGGGPGRLDWHLVRQRDHLRDQTWVMRPSQCRLVARAGAEWSVASESVVSSGAAAPVSSGSHLLVASSQLLVLKWLLIRSPLAM
+>sp|P10244|MYBB_HUMAN Myb-related protein B OS=Homo sapiens OX=9606 GN=MYBL2 PE=1 SV=1
+MSRRTRCEDLDELHYQDTDSDVPEQRDSKCKVKWTHEEDEQLRALVRQFGQQDWKFLASHFPNRTDQQCQYRWLRVLNPDLVKGPWTKEEDQKVIELVKKYGTKQWTLIAKHLKGRLGKQCRERWHNHLNPEVKKSCWTEEEDRIICEAHKVLGNRWAEIAKMLPGRTDNAVKNHWNSTIKRKVDTGGFLSESKDCKPPVYLLLELEDKDGLQSAQPTEGQGSLLTNWPSVPPTIKEEENSEEELAAATTSKEQEPIGTDLDAVRTPEPLEEFPKREDQEGSPPETSLPYKWVVEAANLLIPAVGSSLSEALDLIESDPDAWCDLSKFDLPEEPSAEDSINNSLVQLQASHQQQVLPPRQPSALVPSVTEYRLDGHTISDLSRSSRGELIPISPSTEVGGSGIGTPPSVLKRQRKRRVALSPVTENSTSLSFLDSCNSLTPKSTPVKTLPFSPSQFLNFWNKQDTLELESPSLTSTPVCSQKVVVTTPLHRDKTPLHQKHAAFVTPDQKYSMDNTPHTPTPFKNALEKYGPLKPLPQTPHLEEDLKEVLRSEAGIELIIEDDIRPEKQKRKPGLRRSPIKKVRKSLALDIVDEDVKLMMSTLPKSLSLPTTAPSNSSSLTLSGIKEDNSLLNQGFLQAKPEKAAVAQKPRSHFTTPAPMSSAWKTVACGGTRDQLFMQEKARQLLGRLKPSHTSRTLILS
+>DECOY_sp|P10244|MYBB_HUMAN Myb-related protein B OS=Homo sapiens OX=9606 GN=MYBL2 PE=1 SV=1
+SLILTRSTHSPKLRGLLQRAKEQMFLQDRTGGCAVTKWASSMPAPTTFHSRPKQAVAAKEPKAQLFGQNLLSNDEKIGSLTLSSSNSPATTPLSLSKPLTSMMLKVDEDVIDLALSKRVKKIPSRRLGPKRKQKEPRIDDEIILEIGAESRLVEKLDEELHPTQPLPKLPGYKELANKFPTPTHPTNDMSYKQDPTVFAAHKQHLPTKDRHLPTTVVVKQSCVPTSTLSPSELELTDQKNWFNLFQSPSFPLTKVPTSKPTLSNCSDLFSLSTSNETVPSLAVRRKRQRKLVSPPTGIGSGGVETSPSIPILEGRSSRSLDSITHGDLRYETVSPVLASPQRPPLVQQQHSAQLQVLSNNISDEASPEEPLDFKSLDCWADPDSEILDLAESLSSGVAPILLNAAEVVWKYPLSTEPPSGEQDERKPFEELPEPTRVADLDTGIPEQEKSTTAAALEEESNEEEKITPPVSPWNTLLSGQGETPQASQLGDKDELELLLYVPPKCDKSESLFGGTDVKRKITSNWHNKVANDTRGPLMKAIEAWRNGLVKHAECIIRDEEETWCSKKVEPNLHNHWRERCQKGLRGKLHKAILTWQKTGYKKVLEIVKQDEEKTWPGKVLDPNLVRLWRYQCQQDTRNPFHSALFKWDQQGFQRVLARLQEDEEHTWKVKCKSDRQEPVDSDTDQYHLEDLDECRTRRSM
+>sp|Q13203|MYBPH_HUMAN Myosin-binding protein H OS=Homo sapiens OX=9606 GN=MYBPH PE=1 SV=4
+MMEKNTSEGPACSPEETASESAKVPTAEPPGEVAVSESTREEQVPKPQAPAPQAPTASTATKPAPPSEDVPSAPLLLTLDDVSSSSVTVSWEPPERLGRLGLQGYVLELCREGASEWVPVSARPMMVTQQTVRNLALGDKFLLRVSAVSSAGAGPPAMLDQPIHIRENIEAPKIRVPRHLRQTYIRQVGETVNLQIPFQGKPKPQATWTHNGHALDSQRVSMRTGDQDSILFIRSAQRSDSGRYELTVRVEDLEAKAVIDILVIEKPGPPSSIRLLDVWGCNAALQWTPPQDTGNTELLGYMVQKADKKTGQWFTVLERYHPTTCTISDLIIGNSYSFRVFSENLCGLSTSATVTKELAHIQKADIAAKPKGFIERDFSEAPSFTQPLADHTSTPGYSTQLFCSVRASPKPKIIWMKNKMEIQGNPKYRALSEQGVCTLEIRKPSPFDSGVYTCKAINVLGEASVDCRLEVKASAAH
+>DECOY_sp|Q13203|MYBPH_HUMAN Myosin-binding protein H OS=Homo sapiens OX=9606 GN=MYBPH PE=1 SV=4
+HAASAKVELRCDVSAEGLVNIAKCTYVGSDFPSPKRIELTCVGQESLARYKPNGQIEMKNKMWIIKPKPSARVSCFLQTSYGPTSTHDALPQTFSPAESFDREIFGKPKAAIDAKQIHALEKTVTASTSLGCLNESFVRFSYSNGIILDSITCTTPHYRELVTFWQGTKKDAKQVMYGLLETNGTDQPPTWQLAANCGWVDLLRISSPPGPKEIVLIDIVAKAELDEVRVTLEYRGSDSRQASRIFLISDQDGTRMSVRQSDLAHGNHTWTAQPKPKGQFPIQLNVTEGVQRIYTQRLHRPVRIKPAEINERIHIPQDLMAPPGAGASSVASVRLLFKDGLALNRVTQQTVMMPRASVPVWESAGERCLELVYGQLGLRGLREPPEWSVTVSSSSVDDLTLLLPASPVDESPPAPKTATSATPAQPAPAQPKPVQEERTSESVAVEGPPEATPVKASESATEEPSCAPGESTNKEMM
+>sp|O75592|MYCB2_HUMAN E3 ubiquitin-protein ligase MYCBP2 OS=Homo sapiens OX=9606 GN=MYCBP2 PE=1 SV=4
+MMMCAATASPAAASSGLGGDGFYPAATFSSSPAPGALFMPVPDGSVAAAGLGLGLPAADSRGHYQLLLSGRALADRYRRIYTAALNDRDQGGGSAGHPASRNKKILNKKKLKRKQKSKSKVKTRSKSENLENTVIIPDIKLHSNPSAFNIYCNVRHCVLEWQKKEISLAAASKNSVQSGESDSDEEEESKEPPIKLPKIIEVGLCEVFELIKETRFSHPSLCLRSLQALLNVLQGQQPEGLQSEPPEVLESLFQLLLEITVRSTGMNDSTGQSLTALSCACLFSLVASWGETGRTLQAISAILTNNGSHACQTIQVPTILNSLQRSVQAVLVGKIQIQDWFSNGIKKAALMHKWPLKEISVDEDDQCLLQNDGFFLYLLCKDGLYKIGSGYSGTVRGHIYNSTSRIRNRKEKKSWLGYAQGYLLYRDVNNHSMTAIRISPETLEQDGTVMLPDCHTEGQNILFTDGEYINQIAASRDDGFVVRIFATSTEPVLQQELQLKLARKCLHACGISLFDLEKDLHIISTGFDEESAILGAGREFALMKTANGKIYYTGKYQSLGIKQGGPSAGKWVELPITKSPKIVHFSVGHDGSHALLVAEDGSIFFTGSASKGEDGESTKSRRQSKPYKPKKIIKMEGKIVVYTACNNGSSSVISKDGELYMFGKDAIYSDSSSLVTDLKGHFVTQVAMGKAHTCVLMKNGEVWTFGVNNKGQCGRDTGAMNQGGKGFGVENMATAMDEDLEEELDEKDEKSMMCPPGMHKWKLEQCMVCTVCGDCTGYGASCVSSGRPDRVPGGICGCGSGESGCAVCGCCKACARELDGQEARQRGILDAVKEMIPLDLLLAVPVPGVNIEEHLQLRQEEKRQRVIRRHRLEEGRGPLVFAGPIFMNHREQALARLRSHPAQLKHKRDKHKDGSGERGEKDASKITTYPPGSVRFDCELRAVQVSCGFHHSVVLMENGDVYTFGYGQHGQLGHGDVNSRGCPTLVQALPGPSTQVTAGSNHTAVLLMDGQVFTFGSFSKGQLGRPILDVPYWNAKPAPMPNIGSKYGRKATWIGASGDQTFLRIDEALINSHVLATSEIFASKHIIGLVPASISEPPPFKCLLINKVDGSCKTFNDSEQEDLQGFGVCLDPVYDVIWRFRPNTRELWCYNAVVADARLPSAADMQSRCSILSPELALPTGSRALTTRSHAALHILGCLDTLAAMQDLKMGVASTEEETQAVMKVYSKEDYSVVNRFESHGGGWGYSAHSVEAIRFSADTDILLGGLGLFGGRGEYTAKIKLFELGPDGGDHETDGDLLAETDVLAYDCAAREKYAMMFDEPVLLQAGWWYVAWARVSGPSSDCGSHGQASITTDDGVVFQFKSSKKSNNGTDVNAGQIPQLLYRLPTSDGSASKGKQQTSEPVHILKRSFARTVSVECFESLLSILHWSWTTLVLGVEELRGLKGFQFTATLLDLERLRFVGTCCLRLLRVYTCEIYPVSATGKAVVEETSKLAECIGKTRTLLRKILSEGVDHCMVKLDNDPQGYLSQPLSLLEAVLQECHNTFTACFHSFYPTPALQWACLCDLLNCLDQDIQEANFKTSSSRLLAAVMSALCHTSVKLTSIFPIAYDGEVLLRSIVKQVSTENDSTLVHRFPLLVAHMEKLSQSEENISGMTSFREVLEKMLVIVVLPVRNSLRRENELFSSHLVSNTCGLLASIVSELTASALGSEVDGLNSLHSVKASANRFTKTSQGRSWNTGNGSPDAICFSVDKPGIVVVGFSVYGGGGIHEYELEVLVDDSEHAGDSTHSHRWTSLELVKGTYTTDDSPSDIAEIRLDKVVPLKENVKYAVRLRNYGSRTANGDGGMTTVQCPDGVTFTFSTCSLSSNGTNQTRGQIPQILYYRSEFDGDLQSQLLSKANEEDKNCSRALSVVSTVVRASKDLLHRALAVDADDIPELLSSSSLFSMLLPLIIAYIGPVAAAIPKVAVEVFGLVQQLLPSVAILNQKYAPPAFNPNQSTDSTTGNQPEQGLSACTTSSHYAVIESEHPYKPACVMHYKVTFPECVRWMTIEFDPQCGTAQSEDVLRLLIPVRTVQNSGYGPKLTSVHENLNSWIELKKFSGSSGWPTMVLVLPGNEALFSLETASDYVKDDKASFYGFKCFAIGYEFSPGPDEGVIQLEKELANLGGVCAAALMKKDLALPIGNELEEDLEILEEAALQVCKTHSGILGKGLALSHSPTILEALEGNLPLQIQSNEQSFLDDFIACVPGSSGGRLARWLQPDSYADPQKTSLILNKDDIRCGWPTTITVQTKDQYGDVVHVPNMKVEVKAVPVSQKKMSLQQDQAKKPQRIPGSPAVTAASSNTDMTYGGLASPKLDVSYEPMIVKEARYIAITMMKVYENYSFEELRFASPTPKRPSENMLIRVNNDGTYCANWTPGAIGLYTLHVTIDGIEIDAGLEVKVKDPPKGMIPPGTQLVKPKSEPQPNKVRKFVAKDSAGLRIRSHPSLQSEQIGIVKVNGTITFIDEIHNDDGVWLRLNDETIKKYVPNMNGYTEAWCLSFNQHLGKSLLVPVDESKTNTDDFFKDINSCCPQEATMQEQDMPFLRGGPGMYKVVKTGPSGHNIRSCPNLRGIPIGMLVLGNKVKAVGEVTNSEGTWVQLDQNSMVEFCESDEGEAWSLARDRGGNQYLRHEDEQALLDQNSQTPPPSPFSVQAFNKGASCSAQGFDYGLGNSKGDRGNISTSSKPASTSGKSELSSKHSRSLKPDGRMSRTTADQKKPRGTESLSASESLILKSDAAKLRSDSHSRSLSPNHNTLQTLKSDGRMPSSSRAESPGPGSRLSSPKPKTLPANRSSPSGASSPRSSSPHDKNLPQKSTAPVKTKLDPPRERSKSDSYTLDPDTLRKKKMPLTEPLRGRSTSPKPKSVPKDSTDSPGSENRAPSPHVVQENLHSEVVEVCTSSTLKTNSLTDSTCDDSSEFKSVDEGSNKVHFSIGKAPLKDEQEMRASPKISRKCANRHTRPKKEKSSFLFKGDGSKPLEPAKQAMSPSVAECARAVFASFLWHEGIVHDAMACSSFLKFHPELSKEHAPIRSSLNSQQPTEEKETKLKNRHSLEISSALNMFNIAPHGPDISKMGSINKNKVLSMLKEPPLHEKCEDGKTETTFEMSMHNTMKSKSPLPLTLQHLVAFWEDISLATIKAASQNMIFPSPGSCAVLKKKECEKENKKSKKEKKKKEKAEVRPRGNLFGEMAQLAVGGPEKDTICELCGESHPYPVTYHMRQAHPGCGRYAGGQGYNSIGHFCGGWAGNCGDGGIGGSTWYLVCDRCREKYLREKQAAAREKVKQSRRKPMQVKTPRALPTMEAHQVIKANALFLLSLSSAAEPSILCYHPAKPFQSQLPSVKEGISEDLPVKMPCLYLQTLARHHHENFVGYQDDNLFQDEMRYLRSTSVPAPYISVTPDASPNVFEEPESNMKSMPPSLETSPITDTDLAKRTVFQRSYSVVASEYDKQHSILPARVKAIPRRRVNSGDTEVGSSLLRHPSPELSRLISAHSSLSKGERNFQWPVLAFVIQHHDLEGLEIAMKQALRKSACRVFAMEAFNWLLCNVIQTTSLHDILWHFVASLTPAPVEPEEEEDEENKTSKENSEQEKDTRVCEHPLSDIVIAGEAAHPLPHTFHRLLQTISDLMMSLPSGSSLQQMALRCWSLKFKQSDHQFLHQSNVFHHINNILSKSDDGDSEESFSISIQSGFEAMSQELCIVMCLKDLTSIVDIKTSSRPAMIGSLTDGSTETFWESGDEDKNKTKNITINCVKGINARYVSVHVDNSRDLGNKVTSMTFLTGKAVEDLCRIKQVDLDSRHIGWVTSELPGGDNHIIKIELKGPENTLRVRQVKVLGWKDGESTKIAGQISASVAQQRNCEAETLRVFRLITSQVFGKLISGDAEPTPEQEEKALLSSPEGEEKVYNATSDADLKEHMVGIIFSRSKLTNLQKQVCAHIVQAIRMEATRVREEWEHAISSKENANSQPNDEDASSDAYCFELLSMVLALSGSNVGRQYLAQQLTLLQDLFSLLHTASPRVQRQVTSLLRRVLPEVTPSRLASIIGVKSLPPADISDIIHSTEKGDWNKLGILDMFLGCIAKALTVQLKAKGTTITGTAGTTVGKGVTTVTLPMIFNSSYLRRGESHWWMKGSTPTQISEIIIKLIKDMAAGHLSEAWSRVTKNAIAETIIALTKMEEEFRSPVRCIATTRLWLALASLCVLDQDHVDRLSSGRWMGKDGQQKQMPMCDNHDDGETAAIILCNVCGNLCTDCDRFLHLHRRTKTHQRQVFKEEEEAIKVDLHEGCGRTKLFWLMALADSKTMKAMVEFREHTGKPTTSSSEACRFCGSRSGTELSAVGSVCSDADCQEYAKIACSKTHPCGHPCGGVKNEEHCLPCLHGCDKSATSLKQDADDMCMICFTEALSAAPAIQLDCSHIFHLQCCRRVLENRWLGPRITFGFISCPICKNKINHIVLKDLLDPIKELYEDVRRKALMRLEYEGLHKSEAITTPGVRFYNDPAGYAMNRYAYYVCYKCRKAYFGGEARCDAEAGRGDDYDPRELICGACSDVSRAQMCPKHGTDFLEYKCRYCCSVAVFFCFGTTHFCNACHDDFQRMTSIPKEELPHCPAGPKGKQLEGTECPLHVVHPPTGEEFALGCGVCRNAHTF
+>DECOY_sp|O75592|MYCB2_HUMAN E3 ubiquitin-protein ligase MYCBP2 OS=Homo sapiens OX=9606 GN=MYCBP2 PE=1 SV=4
+FTHANRCVGCGLAFEEGTPPHVVHLPCETGELQKGKPGAPCHPLEEKPISTMRQFDDHCANCFHTTGFCFFVAVSCCYRCKYELFDTGHKPCMQARSVDSCAGCILERPDYDDGRGAEADCRAEGGFYAKRCKYCVYYAYRNMAYGAPDNYFRVGPTTIAESKHLGEYELRMLAKRRVDEYLEKIPDLLDKLVIHNIKNKCIPCSIFGFTIRPGLWRNELVRRCCQLHFIHSCDLQIAPAASLAETFCIMCMDDADQKLSTASKDCGHLCPLCHEENKVGGCPHGCPHTKSCAIKAYEQCDADSCVSGVASLETGSRSGCFRCAESSSTTPKGTHERFEVMAKMTKSDALAMLWFLKTRGCGEHLDVKIAEEEEKFVQRQHTKTRRHLHLFRDCDTCLNGCVNCLIIAATEGDDHNDCMPMQKQQGDKGMWRGSSLRDVHDQDLVCLSALALWLRTTAICRVPSRFEEEMKTLAIITEAIANKTVRSWAESLHGAAMDKILKIIIESIQTPTSGKMWWHSEGRRLYSSNFIMPLTVTTVGKGVTTGATGTITTGKAKLQVTLAKAICGLFMDLIGLKNWDGKETSHIIDSIDAPPLSKVGIISALRSPTVEPLVRRLLSTVQRQVRPSATHLLSFLDQLLTLQQALYQRGVNSGSLALVMSLLEFCYADSSADEDNPQSNANEKSSIAHEWEERVRTAEMRIAQVIHACVQKQLNTLKSRSFIIGVMHEKLDADSTANYVKEEGEPSSLLAKEEQEPTPEADGSILKGFVQSTILRFVRLTEAECNRQQAVSASIQGAIKTSEGDKWGLVKVQRVRLTNEPGKLEIKIIHNDGGPLESTVWGIHRSDLDVQKIRCLDEVAKGTLFTMSTVKNGLDRSNDVHVSVYRANIGKVCNITINKTKNKDEDGSEWFTETSGDTLSGIMAPRSSTKIDVISTLDKLCMVICLEQSMAEFGSQISISFSEESDGDDSKSLINNIHHFVNSQHLFQHDSQKFKLSWCRLAMQQLSSGSPLSMMLDSITQLLRHFTHPLPHAAEGAIVIDSLPHECVRTDKEQESNEKSTKNEEDEEEEPEVPAPTLSAVFHWLIDHLSTTQIVNCLLWNFAEMAFVRCASKRLAQKMAIELGELDHHQIVFALVPWQFNREGKSLSSHASILRSLEPSPHRLLSSGVETDGSNVRRRPIAKVRAPLISHQKDYESAVVSYSRQFVTRKALDTDTIPSTELSPPMSKMNSEPEEFVNPSADPTVSIYPAPVSTSRLYRMEDQFLNDDQYGVFNEHHHRALTQLYLCPMKVPLDESIGEKVSPLQSQFPKAPHYCLISPEAASSLSLLFLANAKIVQHAEMTPLARPTKVQMPKRRSQKVKERAAAQKERLYKERCRDCVLYWTSGGIGGDGCNGAWGGCFHGISNYGQGGAYRGCGPHAQRMHYTVPYPHSEGCLECITDKEPGGVALQAMEGFLNGRPRVEAKEKKKKEKKSKKNEKECEKKKLVACSGPSPFIMNQSAAKITALSIDEWFAVLHQLTLPLPSKSKMTNHMSMEFTTETKGDECKEHLPPEKLMSLVKNKNISGMKSIDPGHPAINFMNLASSIELSHRNKLKTEKEETPQQSNLSSRIPAHEKSLEPHFKLFSSCAMADHVIGEHWLFSAFVARACEAVSPSMAQKAPELPKSGDGKFLFSSKEKKPRTHRNACKRSIKPSARMEQEDKLPAKGISFHVKNSGEDVSKFESSDDCTSDTLSNTKLTSSTCVEVVESHLNEQVVHPSPARNESGPSDTSDKPVSKPKPSTSRGRLPETLPMKKKRLTDPDLTYSDSKSRERPPDLKTKVPATSKQPLNKDHPSSSRPSSAGSPSSRNAPLTKPKPSSLRSGPGPSEARSSSPMRGDSKLTQLTNHNPSLSRSHSDSRLKAADSKLILSESASLSETGRPKKQDATTRSMRGDPKLSRSHKSSLESKGSTSAPKSSTSINGRDGKSNGLGYDFGQASCSAGKNFAQVSFPSPPPTQSNQDLLAQEDEHRLYQNGGRDRALSWAEGEDSECFEVMSNQDLQVWTGESNTVEGVAKVKNGLVLMGIPIGRLNPCSRINHGSPGTKVVKYMGPGGRLFPMDQEQMTAEQPCCSNIDKFFDDTNTKSEDVPVLLSKGLHQNFSLCWAETYGNMNPVYKKITEDNLRLWVGDDNHIEDIFTITGNVKVIGIQESQLSPHSRIRLGASDKAVFKRVKNPQPESKPKVLQTGPPIMGKPPDKVKVELGADIEIGDITVHLTYLGIAGPTWNACYTGDNNVRILMNESPRKPTPSAFRLEEFSYNEYVKMMTIAIYRAEKVIMPEYSVDLKPSALGGYTMDTNSSAATVAPSGPIRQPKKAQDQQLSMKKQSVPVAKVEVKMNPVHVVDGYQDKTQVTITTPWGCRIDDKNLILSTKQPDAYSDPQLWRALRGGSSGPVCAIFDDLFSQENSQIQLPLNGELAELITPSHSLALGKGLIGSHTKCVQLAAEELIELDEELENGIPLALDKKMLAAACVGGLNALEKELQIVGEDPGPSFEYGIAFCKFGYFSAKDDKVYDSATELSFLAENGPLVLVMTPWGSSGSFKKLEIWSNLNEHVSTLKPGYGSNQVTRVPILLRLVDESQATGCQPDFEITMWRVCEPFTVKYHMVCAPKYPHESEIVAYHSSTTCASLGQEPQNGTTSDTSQNPNFAPPAYKQNLIAVSPLLQQVLGFVEVAVKPIAAAVPGIYAIILPLLMSFLSSSSLLEPIDDADVALARHLLDKSARVVTSVVSLARSCNKDEENAKSLLQSQLDGDFESRYYLIQPIQGRTQNTGNSSLSCTSFTFTVGDPCQVTTMGGDGNATRSGYNRLRVAYKVNEKLPVVKDLRIEAIDSPSDDTTYTGKVLELSTWRHSHTSDGAHESDDVLVELEYEHIGGGGYVSFGVVVIGPKDVSFCIADPSGNGTNWSRGQSTKTFRNASAKVSHLSNLGDVESGLASATLESVISALLGCTNSVLHSSFLENERRLSNRVPLVVIVLMKELVERFSTMGSINEESQSLKEMHAVLLPFRHVLTSDNETSVQKVISRLLVEGDYAIPFISTLKVSTHCLASMVAALLRSSSTKFNAEQIDQDLCNLLDCLCAWQLAPTPYFSHFCATFTNHCEQLVAELLSLPQSLYGQPDNDLKVMCHDVGESLIKRLLTRTKGICEALKSTEEVVAKGTASVPYIECTYVRLLRLCCTGVFRLRELDLLTATFQFGKLGRLEEVGLVLTTWSWHLISLLSEFCEVSVTRAFSRKLIHVPESTQQKGKSASGDSTPLRYLLQPIQGANVDTGNNSKKSSKFQFVVGDDTTISAQGHSGCDSSPGSVRAWAVYWWGAQLLVPEDFMMAYKERAACDYALVDTEALLDGDTEHDGGDPGLEFLKIKATYEGRGGFLGLGGLLIDTDASFRIAEVSHASYGWGGGHSEFRNVVSYDEKSYVKMVAQTEEETSAVGMKLDQMAALTDLCGLIHLAAHSRTTLARSGTPLALEPSLISCRSQMDAASPLRADAVVANYCWLERTNPRFRWIVDYVPDLCVGFGQLDEQESDNFTKCSGDVKNILLCKFPPPESISAPVLGIIHKSAFIESTALVHSNILAEDIRLFTQDGSAGIWTAKRGYKSGINPMPAPKANWYPVDLIPRGLQGKSFSGFTFVQGDMLLVATHNSGATVQTSPGPLAQVLTPCGRSNVDGHGLQGHQGYGFTYVDGNEMLVVSHHFGCSVQVARLECDFRVSGPPYTTIKSADKEGREGSGDKHKDRKHKLQAPHSRLRALAQERHNMFIPGAFVLPGRGEELRHRRIVRQRKEEQRLQLHEEINVGPVPVALLLDLPIMEKVADLIGRQRAEQGDLERACAKCCGCVACGSEGSGCGCIGGPVRDPRGSSVCSAGYGTCDGCVTCVMCQELKWKHMGPPCMMSKEDKEDLEEELDEDMATAMNEVGFGKGGQNMAGTDRGCQGKNNVGFTWVEGNKMLVCTHAKGMAVQTVFHGKLDTVLSSSDSYIADKGFMYLEGDKSIVSSSGNNCATYVVIKGEMKIIKKPKYPKSQRRSKTSEGDEGKSASGTFFISGDEAVLLAHSGDHGVSFHVIKPSKTIPLEVWKGASPGGQKIGLSQYKGTYYIKGNATKMLAFERGAGLIASEEDFGTSIIHLDKELDFLSIGCAHLCKRALKLQLEQQLVPETSTAFIRVVFGDDRSAAIQNIYEGDTFLINQGETHCDPLMVTGDQELTEPSIRIATMSHNNVDRYLLYGQAYGLWSKKEKRNRIRSTSNYIHGRVTGSYGSGIKYLGDKCLLYLFFGDNQLLCQDDEDVSIEKLPWKHMLAAKKIGNSFWDQIQIKGVLVAQVSRQLSNLITPVQITQCAHSGNNTLIASIAQLTRGTEGWSAVLSFLCACSLATLSQGTSDNMGTSRVTIELLLQFLSELVEPPESQLGEPQQGQLVNLLAQLSRLCLSPHSFRTEKILEFVECLGVEIIKPLKIPPEKSEEEEDSDSEGSQVSNKSAAALSIEKKQWELVCHRVNCYINFASPNSHLKIDPIIVTNELNESKSRTKVKSKSKQKRKLKKKNLIKKNRSAPHGASGGGQDRDNLAATYIRRYRDALARGSLLLQYHGRSDAAPLGLGLGAAAVSGDPVPMFLAGPAPSSSFTAAPYFGDGGLGSSAAAPSATAACMMM
+>sp|P12524|MYCL_HUMAN Protein L-Myc OS=Homo sapiens OX=9606 GN=MYCL PE=1 SV=2
+MDYDSYQHYFYDYDCGEDFYRSTAPSEDIWKKFELVPSPPTSPPWGLGPGAGDPAPGIGPPEPWPGGCTGDEAESRGHSKGWGRNYASIIRRDCMWSGFSARERLERAVSDRLAPGAPRGNPPKASAAPDCTPSLEAGNPAPAAPCPLGEPKTQACSGSESPSDSENEEIDVVTVEKRQSLGIRKPVTITVRADPLDPCMKHFHISIHQQQHNYAARFPPESCSQEEASERGPQEEVLERDAAGEKEDEEDEEIVSPPPVESEAAQSCHPKPVSSDTEDVTKRKNHNFLERKRRNDLRSRFLALRDQVPTLASCSKAPKVVILSKALEYLQALVGAEKRMATEKRQLRCRQQQLQKRIAYLTGY
+>DECOY_sp|P12524|MYCL_HUMAN Protein L-Myc OS=Homo sapiens OX=9606 GN=MYCL PE=1 SV=2
+YGTLYAIRKQLQQQRCRLQRKETAMRKEAGVLAQLYELAKSLIVVKPAKSCSALTPVQDRLALFRSRLDNRRKRELFNHNKRKTVDETDSSVPKPHCSQAAESEVPPPSVIEEDEEDEKEGAADRELVEEQPGRESAEEQSCSEPPFRAAYNHQQQHISIHFHKMCPDLPDARVTITVPKRIGLSQRKEVTVVDIEENESDSPSESGSCAQTKPEGLPCPAAPAPNGAELSPTCDPAASAKPPNGRPAGPALRDSVARELRERASFGSWMCDRRIISAYNRGWGKSHGRSEAEDGTCGGPWPEPPGIGPAPDGAGPGLGWPPSTPPSPVLEFKKWIDESPATSRYFDEGCDYDYFYHQYSDYDM
+>sp|P04198|MYCN_HUMAN N-myc proto-oncogene protein OS=Homo sapiens OX=9606 GN=MYCN PE=1 SV=2
+MPSCSTSTMPGMICKNPDLEFDSLQPCFYPDEDDFYFGGPDSTPPGEDIWKKFELLPTPPLSPSRGFAEHSSEPPSWVTEMLLENELWGSPAEEDAFGLGGLGGLTPNPVILQDCMWSGFSAREKLERAVSEKLQHGRGPPTAGSTAQSPGAGAASPAGRGHGGAAGAGRAGAALPAELAHPAAECVDPAVVFPFPVNKREPAPVPAAPASAPAAGPAVASGAGIAAPAGAPGVAPPRPGGRQTSGGDHKALSTSGEDTLSDSDDEDDEEEDEEEEIDVVTVEKRRSSSNTKAVTTFTITVRPKNAALGPGRAQSSELILKRCLPIHQQHNYAAPSPYVESEDAPPQKKIKSEASPRPLKSVIPPKAKSLSPRNSDSEDSERRRNHNILERQRRNDLRSSFLTLRDHVPELVKNEKAAKVVILKKATEYVHSLQAEEHQLLLEKEKLQARQQQLLKKIEHARTC
+>DECOY_sp|P04198|MYCN_HUMAN N-myc proto-oncogene protein OS=Homo sapiens OX=9606 GN=MYCN PE=1 SV=2
+CTRAHEIKKLLQQQRAQLKEKELLLQHEEAQLSHVYETAKKLIVVKAAKENKVLEPVHDRLTLFSSRLDNRRQRELINHNRRRESDESDSNRPSLSKAKPPIVSKLPRPSAESKIKKQPPADESEVYPSPAAYNHQQHIPLCRKLILESSQARGPGLAANKPRVTITFTTVAKTNSSSRRKEVTVVDIEEEEDEEEDDEDDSDSLTDEGSTSLAKHDGGSTQRGGPRPPAVGPAGAPAAIGAGSAVAPGAAPASAPAAPVPAPERKNVPFPFVVAPDVCEAAPHALEAPLAAGARGAGAAGGHGRGAPSAAGAGPSQATSGATPPGRGHQLKESVARELKERASFGSWMCDQLIVPNPTLGGLGGLGFADEEAPSGWLENELLMETVWSPPESSHEAFGRSPSLPPTPLLEFKKWIDEGPPTSDPGGFYFDDEDPYFCPQLSDFELDPNKCIMGPMTSTSCSPM
+>sp|Q7Z401|MYCPP_HUMAN C-myc promoter-binding protein OS=Homo sapiens OX=9606 GN=DENND4A PE=1 SV=2
+MIEDKGPRVADYFVVAGLTDVSKPLEEEIHFNDACHKVAKPKEPITDVSVIIKSLGEEVPQDYICIDVTPTGLSADLNNGSLVGPQIYLCYRRGRDKPPLTDLGVLYDWKERLKQGCEIIQSTPYGRPANISGSTSSQRIYITYRRASENMTQNTLAVTDICIIIPSKGESPPHTFCKVDKNLNNSMWGSAVYLCYKKSVAKTNTVSYKAGLICRYPQEDYESFSLPESVPLFCLPMGATIECWPSNSKYPLPVFSTFVLTGASAEKVYGAAIQFYEPYSEENLTEKQRLLLGLTSADGKSDSSKTIHTNKCICLLSHWPFFDAFRKFLTFLYRYSISGPHVLPIEKHISHFMHKVPFPSPQRPRILVQLSPHDNLILSQPVSSPLPLSGGKFSTLLQNLGPENAVTLLVFAVTEHKILIHSLRPSVLTSVTEALVSMIFPFHWPCPYVPLCPLALADVLSAPCPFIVGIDSRYFDLYDPPPDVSCVDVDTNTISQIGDKKNVAWKILPKKPCKNLMNTLNNLHQQLAKLQQRPRDDGLMDLAINDYDFNSGKRLHMIDLEIQEAFLFFMASILKGYRSYLRPITQAPSETATDAASLFALQAFLRSRDRSHQKFYNMMTKTQMFIRFIEECSFVSDKDASLAFFDDCVDKVDMDKSGEVRLIELDESFKSEHTVFVTPPEIPHLPNGEEPPLQYSYNGFPVLRNNLFERPEGFLQAKKNKLPSKSSSPNSPLPMFRRTKQEIKSAHKIAKRYSSIPQMWSRCLLRHCYGLWFICLPAYVKVCHSKVRALKTAYDVLKKMQSKKMDPPDEVCYRILMQLCGQYDQPVLAVRVLFEMQKAGIDPNAITYGYYNKAVLESTWPSRSRSGYFLWTKVRNVVLGVTQFKRALKKHAHLSQTTLSGGQSDLGYNSLSKDEVRRGDTSTEDIQEEKDKKGSDCSSLSESESTKGSADCLPKLSYQNSSSIVRLTGTSNNSAGKISGESMESTPELLLISSLEDTNETRNIQSRCFRKRHKSDNETNLQQQVVWGNRNRNLSGGVLMGFMLNRINQEATPGDIVEKLGADAKILSNVISKSTRPNTLDIGKPPLRSKRDSLEKESSDDDTPFDGSNYLADKVDSPVIFDLEDLDSETDVSKAGCVATQNPKRIQRMNSSFSVKPFEKTDVATGFDPLSLLVAETEQQQKEEEEEDEDDSKSISTPSARRDLAEEIVMYMNNMSSPLTSRTPSIDLQRACDDKLNKKSPPLVKACRRSSLPPNSPKPVRLTKSKSYTKSEEKPRDRLWSSPAFSPTCPFREESQDTLTHSSPSFNLDTLLVPKLDVLRNSMFTAGKGVAEKASKWYSRFTMYTTSSKDQSSDRTSLSSVGAQDSESTSLTDEDVCHELEGPISSQETSATSGTKRIDLSRISLESSASLEGSLSKFALPGKSEVTSSFNASNTNIFQNYAMEVLISSCSRCRTCDCLVHDEEIMAGWTADDSNLNTTCPFCGNIFLPFLNIEIRDLRRPGRYFLKSSPSTENMHFPSSISSQTRQSCISTSASGLDTSALSVQGNFDLNSKSKLQENFCTRSIQIPANRSKTAMSKCPIFPMARSISTSGPLDKEDTGRQKLISTGSLPATLQGATDSLGLEWHLPSPDPVTVPYLSPLVVWKELESLLENEGDHAITVADFVDHHPIVFWNLVWYFRRLDLPSNLPGLILSSEHCNKYSKIPRHCMSEDSKYVLIQMLWDNMKLHQDPGQPLYILWNAHTQKYPMVHLLQKSDNSFNQELLKSMVKSIKMNDVYGPMSQILETLNKCPHFKRQRSLYREILFLSLVALGRENIDIDAFDKEYKMAYDRLTPSQVKSTHNCDRPPSTGVMECRKTFGEPYL
+>DECOY_sp|Q7Z401|MYCPP_HUMAN C-myc promoter-binding protein OS=Homo sapiens OX=9606 GN=DENND4A PE=1 SV=2
+LYPEGFTKRCEMVGTSPPRDCNHTSKVQSPTLRDYAMKYEKDFADIDINERGLAVLSLFLIERYLSRQRKFHPCKNLTELIQSMPGYVDNMKISKVMSKLLEQNFSNDSKQLLHVMPYKQTHANWLIYLPQGPDQHLKMNDWLMQILVYKSDESMCHRPIKSYKNCHESSLILGPLNSPLDLRRFYWVLNWFVIPHHDVFDAVTIAHDGENELLSELEKWVVLPSLYPVTVPDPSPLHWELGLSDTAGQLTAPLSGTSILKQRGTDEKDLPGSTSISRAMPFIPCKSMATKSRNAPIQISRTCFNEQLKSKSNLDFNGQVSLASTDLGSASTSICSQRTQSSISSPFHMNETSPSSKLFYRGPRRLDRIEINLFPLFINGCFPCTTNLNSDDATWGAMIEEDHVLCDCTRCRSCSSILVEMAYNQFINTNSANFSSTVESKGPLAFKSLSGELSASSELSIRSLDIRKTGSTASTEQSSIPGELEHCVDEDTLSTSESDQAGVSSLSTRDSSQDKSSTTYMTFRSYWKSAKEAVGKGATFMSNRLVDLKPVLLTDLNFSPSSHTLTDQSEERFPCTPSFAPSSWLRDRPKEESKTYSKSKTLRVPKPSNPPLSSRRCAKVLPPSKKNLKDDCARQLDISPTRSTLPSSMNNMYMVIEEALDRRASPTSISKSDDEDEEEEEKQQQETEAVLLSLPDFGTAVDTKEFPKVSFSSNMRQIRKPNQTAVCGAKSVDTESDLDELDFIVPSDVKDALYNSGDFPTDDDSSEKELSDRKSRLPPKGIDLTNPRTSKSIVNSLIKADAGLKEVIDGPTAEQNIRNLMFGMLVGGSLNRNRNGWVVQQQLNTENDSKHRKRFCRSQINRTENTDELSSILLLEPTSEMSEGSIKGASNNSTGTLRVISSSNQYSLKPLCDASGKTSESESLSSCDSGKKDKEEQIDETSTDGRRVEDKSLSNYGLDSQGGSLTTQSLHAHKKLARKFQTVGLVVNRVKTWLFYGSRSRSPWTSELVAKNYYGYTIANPDIGAKQMEFLVRVALVPQDYQGCLQMLIRYCVEDPPDMKKSQMKKLVDYATKLARVKSHCVKVYAPLCIFWLGYCHRLLCRSWMQPISSYRKAIKHASKIEQKTRRFMPLPSNPSSSKSPLKNKKAQLFGEPREFLNNRLVPFGNYSYQLPPEEGNPLHPIEPPTVFVTHESKFSEDLEILRVEGSKDMDVKDVCDDFFALSADKDSVFSCEEIFRIFMQTKTMMNYFKQHSRDRSRLFAQLAFLSAADTATESPAQTIPRLYSRYGKLISAMFFLFAEQIELDIMHLRKGSNFDYDNIALDMLGDDRPRQQLKALQQHLNNLTNMLNKCPKKPLIKWAVNKKDGIQSITNTDVDVCSVDPPPDYLDFYRSDIGVIFPCPASLVDALALPCLPVYPCPWHFPFIMSVLAETVSTLVSPRLSHILIKHETVAFVLLTVANEPGLNQLLTSFKGGSLPLPSSVPQSLILNDHPSLQVLIRPRQPSPFPVKHMFHSIHKEIPLVHPGSISYRYLFTLFKRFADFFPWHSLLCICKNTHITKSSDSKGDASTLGLLLRQKETLNEESYPEYFQIAAGYVKEASAGTLVFTSFVPLPYKSNSPWCEITAGMPLCFLPVSEPLSFSEYDEQPYRCILGAKYSVTNTKAVSKKYCLYVASGWMSNNLNKDVKCFTHPPSEGKSPIIICIDTVALTNQTMNESARRYTIYIRQSSTSGSINAPRGYPTSQIIECGQKLREKWDYLVGLDTLPPKDRGRRYCLYIQPGVLSGNNLDASLGTPTVDICIYDQPVEEGLSKIIVSVDTIPEKPKAVKHCADNFHIEEELPKSVDTLGAVVFYDAVRPGKDEIM
+>sp|P13349|MYF5_HUMAN Myogenic factor 5 OS=Homo sapiens OX=9606 GN=MYF5 PE=2 SV=2
+MDVMDGCQFSPSEYFYDGSCIPSPEGEFGDEFVPRVAAFGAHKAELQGSDEDEHVRAPTGHHQAGHCLMWACKACKRKSTTMDRRKAATMRERRRLKKVNQAFETLKRCTTTNPNQRLPKVEILRNAIRYIESLQELLREQVENYYSLPGQSCSEPTSPTSNCSDGMPECNSPVWSRKSSTFDSIYCPDVSNVYATDKNSLSSLDCLSNIVDRITSSEQPGLPLQDLASLSPVASTDSQPATPGASSSRLIYHVL
+>DECOY_sp|P13349|MYF5_HUMAN Myogenic factor 5 OS=Homo sapiens OX=9606 GN=MYF5 PE=2 SV=2
+LVHYILRSSSAGPTAPQSDTSAVPSLSALDQLPLGPQESSTIRDVINSLCDLSSLSNKDTAYVNSVDPCYISDFTSSKRSWVPSNCEPMGDSCNSTPSTPESCSQGPLSYYNEVQERLLEQLSEIYRIANRLIEVKPLRQNPNTTTCRKLTEFAQNVKKLRRRERMTAAKRRDMTTSKRKCAKCAWMLCHGAQHHGTPARVHEDEDSGQLEAKHAGFAAVRPVFEDGFEGEPSPICSGDYFYESPSFQCGDMVDM
+>sp|Q9Y2K3|MYH15_HUMAN Myosin-15 OS=Homo sapiens OX=9606 GN=MYH15 PE=1 SV=5
+MVESCLLTFRAFFWWIALIKMDLSDLGEAAAFLRRSEAELLLLQATALDGKKKCWIPDGENAYIEAEVKGSEDDGTVIVETADGESLSIKEDKIQQMNPPEFEMIEDMAMLTHLNEASVLHTLKRRYGQWMIYTYSGLFCVTINPYKWLPVYQKEVMAAYKGKRRSEAPPHIFAVANNAFQDMLHNRENQSILFTGESGAGKTVNSKHIIQYFATIAAMIESRKKQGALEDQIMQANTILEAFGNAKTLRNDNSSRFGKFIRMHFGARGMLSSVDIDIYLLEKSRVIFQQAGERNYHIFYQILSGQKELHDLLLVSANPSDFHFCSCGAVTVESLDDAEELLATEQAMDILGFLPDEKYGCYKLTGAIMHFGNMKFKQKPREEQLEADGTENADKAAFLMGINSSELVKCLIHPRIKVGNEYVTRGQTIEQVTCAVGALSKSMYERMFKWLVARINRALDAKLSRQFFIGILDITGFEILEYNSLEQLCINFTNEKLQQFFNWHMFVLEQEEYKKESIEWVSIGFGLDLQACIDLIEKPMGILSILEEECMFPKATDLTFKTKLFDNHFGKSVHLQKPKPDKKKFEAHFELVHYAGVVPYNISGWLEKNKDLLNETVVAVFQKSSNRLLASLFENYMSTDSAIPFGEKKRKKGASFQTVASLHKENLNKLMTNLKSTAPHFVRCINPNVNKIPGILDPYLVLQQLRCNGVLEGTRICREGFPNRLQYADFKQRYCILNPRTFPKSKFVSSRKAAEELLGSLEIDHTQYRFGITKVFFKAGFLGQLEAIRDERLSKVFTLFQARAQGKLMRIKFQKILEERDALILIQWNIRAFMAVKNWPWMRLFFKIKPLVKSSEVGEEVAGLKEECAQLQKALEKSEFQREELKAKQVSLTQEKNDLILQLQAEQETLANVEEQCEWLIKSKIQLEARVKELSERVEEEEEINSELTARGRKLEDECFELKKEIDDLETMLVKSEKEKRTTEHKVKNLTEEVEFLNEDISKLNRAAKVVQEAHQQTLDDLHMEEEKLSSLSKANLKLEQQVDELEGALEQERKARMNCERELHKLEGNLKLNRESMENLESSQRHLAEELRKKELELSQMNSKVENEKGLVAQLQKTVKELQTQIKDLKEKLEAERTTRAKMERERADLTQDLADLNERLEEVGGSSLAQLEITKKQETKFQKLHRDMEEATLHFETTSASLKKRHADSLAELEGQVENLQQVKQKLEKDKSDLQLEVDDLLTRVEQMTRAKANAEKLCTLYEERLHEATAKLDKVTQLANDLAAQKTKLWSESGEFLRRLEEKEALINQLSREKSNFTRQIEDLRGQLEKETKSQSALAHALQKAQRDCDLLREQYEEEQEVKAELHRTLSKVNAEMVQWRMKYENNVIQRTEDLEDAKKELAIRLQEAAEAMGVANARNASLERARHQLQLELGDALSDLGKVRSAAARLDQKQLQSGKALADWKQKHEESQALLDASQKEVQALSTELLKLKNTYEESIVGQETLRRENKNLQEEISNLTNQVREGTKNLTEMEKVKKLIEEEKTEVQVTLEETEGALERNESKILHFQLELLEAKAELERKLSEKDEEIENFRRKQQCTIDSLQSSLDSEAKSRIEVTRLKKKMEEDLNEMELQLSCANRQVSEATKSLGQLQIQIKDLQMQLDDSTQLNSDLKEQVAVAERRNSLLQSELEDLRSLQEQTERGRRLSEEELLEATERINLFYTQNTSLLSQKKKLEADVARMQKEAEEVVQECQNAEEKAKKAAIEAANLSEELKKKQDTIAHLERTRENMEQTITDLQKRLAEAEQMALMGSRKQIQKLESRVRELEGELEGEIRRSAEAQRGARRLERCIKELTYQAEEDKKNLSRMQTQMDKLQLKVQNYKQQVEVAETQANQYLSKYKKQQHELNEVKERAEVAESQVNKLKIKAREFGKKVQEE
+>DECOY_sp|Q9Y2K3|MYH15_HUMAN Myosin-15 OS=Homo sapiens OX=9606 GN=MYH15 PE=1 SV=5
+EEQVKKGFERAKIKLKNVQSEAVEAREKVENLEHQQKKYKSLYQNAQTEAVEVQQKYNQVKLQLKDMQTQMRSLNKKDEEAQYTLEKICRELRRAGRQAEASRRIEGELEGELERVRSELKQIQKRSGMLAMQEAEALRKQLDTITQEMNERTRELHAITDQKKKLEESLNAAEIAAKKAKEEANQCEQVVEEAEKQMRAVDAELKKKQSLLSTNQTYFLNIRETAELLEEESLRRGRETQEQLSRLDELESQLLSNRREAVAVQEKLDSNLQTSDDLQMQLDKIQIQLQGLSKTAESVQRNACSLQLEMENLDEEMKKKLRTVEIRSKAESDLSSQLSDITCQQKRRFNEIEEDKESLKRELEAKAELLELQFHLIKSENRELAGETEELTVQVETKEEEILKKVKEMETLNKTGERVQNTLNSIEEQLNKNERRLTEQGVISEEYTNKLKLLETSLAQVEKQSADLLAQSEEHKQKWDALAKGSQLQKQDLRAAASRVKGLDSLADGLELQLQHRARELSANRANAVGMAEAAEQLRIALEKKADELDETRQIVNNEYKMRWQVMEANVKSLTRHLEAKVEQEEEYQERLLDCDRQAKQLAHALASQSKTEKELQGRLDEIQRTFNSKERSLQNILAEKEELRRLFEGSESWLKTKQAALDNALQTVKDLKATAEHLREEYLTCLKEANAKARTMQEVRTLLDDVELQLDSKDKELKQKVQQLNEVQGELEALSDAHRKKLSASTTEFHLTAEEMDRHLKQFKTEQKKTIELQALSSGGVEELRENLDALDQTLDAREREMKARTTREAELKEKLDKIQTQLEKVTKQLQAVLGKENEVKSNMQSLELEKKRLEEALHRQSSELNEMSERNLKLNGELKHLERECNMRAKREQELAGELEDVQQELKLNAKSLSSLKEEEMHLDDLTQQHAEQVVKAARNLKSIDENLFEVEETLNKVKHETTRKEKESKVLMTELDDIEKKLEFCEDELKRGRATLESNIEEEEEVRESLEKVRAELQIKSKILWECQEEVNALTEQEAQLQLILDNKEQTLSVQKAKLEERQFESKELAKQLQACEEKLGAVEEGVESSKVLPKIKFFLRMWPWNKVAMFARINWQILILADREELIKQFKIRMLKGQARAQFLTFVKSLREDRIAELQGLFGAKFFVKTIGFRYQTHDIELSGLLEEAAKRSSVFKSKPFTRPNLICYRQKFDAYQLRNPFGERCIRTGELVGNCRLQQLVLYPDLIGPIKNVNPNICRVFHPATSKLNTMLKNLNEKHLSAVTQFSAGKKRKKEGFPIASDTSMYNEFLSALLRNSSKQFVAVVTENLLDKNKELWGSINYPVVGAYHVLEFHAEFKKKDPKPKQLHVSKGFHNDFLKTKFTLDTAKPFMCEEELISLIGMPKEILDICAQLDLGFGISVWEISEKKYEEQELVFMHWNFFQQLKENTFNICLQELSNYELIEFGTIDLIGIFFQRSLKADLARNIRAVLWKFMREYMSKSLAGVACTVQEITQGRTVYENGVKIRPHILCKVLESSNIGMLFAAKDANETGDAELQEERPKQKFKMNGFHMIAGTLKYCGYKEDPLFGLIDMAQETALLEEADDLSEVTVAGCSCFHFDSPNASVLLLDHLEKQGSLIQYFIHYNREGAQQFIVRSKELLYIDIDVSSLMGRAGFHMRIFKGFRSSNDNRLTKANGFAELITNAQMIQDELAGQKKRSEIMAAITAFYQIIHKSNVTKGAGSEGTFLISQNERNHLMDQFANNAVAFIHPPAESRRKGKYAAMVEKQYVPLWKYPNITVCFLGSYTYIMWQGYRRKLTHLVSAENLHTLMAMDEIMEFEPPNMQQIKDEKISLSEGDATEVIVTGDDESGKVEAEIYANEGDPIWCKKKGDLATAQLLLLEAESRRLFAAAEGLDSLDMKILAIWWFFARFTLLCSEVM
+>sp|Q9UKX2|MYH2_HUMAN Myosin-2 OS=Homo sapiens OX=9606 GN=MYH2 PE=1 SV=1
+MSSDSELAVFGEAAPFLRKSERERIEAQNRPFDAKTSVFVAEPKESFVKGTIQSREGGKVTVKTEGGATLTVKDDQVFPMNPPKYDKIEDMAMMTHLHEPAVLYNLKERYAAWMIYTYSGLFCVTVNPYKWLPVYKPEVVTAYRGKKRQEAPPHIFSISDNAYQFMLTDRENQSILITGESGAGKTVNTKRVIQYFATIAVTGEKKKEEITSGKIQGTLEDQIISANPLLEAFGNAKTVRNDNSSRFGKFIRIHFGTTGKLASADIETYLLEKSRVVFQLKAERSYHIFYQITSNKKPELIEMLLITTNPYDYPFVSQGEISVASIDDQEELMATDSAIDILGFTNEEKVSIYKLTGAVMHYGNLKFKQKQREEQAEPDGTEVADKAAYLQSLNSADLLKALCYPRVKVGNEYVTKGQTVEQVSNAVGALAKAVYEKMFLWMVARINQQLDTKQPRQYFIGVLDIAGFEIFDFNSLEQLCINFTNEKLQQFFNHHMFVLEQEEYKKEGIEWTFIDFGMDLAACIELIEKPMGIFSILEEECMFPKATDTSFKNKLYDQHLGKSANFQKPKVVKGKAEAHFALIHYAGVVDYNITGWLEKNKDPLNETVVGLYQKSAMKTLAQLFSGAQTAEGEGAGGGAKKGGKKKGSSFQTVSALFRENLNKLMTNLRSTHPHFVRCIIPNETKTPGAMEHELVLHQLRCNGVLEGIRICRKGFPSRILYADFKQRYKVLNASAIPEGQFIDSKKASEKLLASIDIDHTQYKFGHTKVFFKAGLLGLLEEMRDDKLAQLITRTQARCRGFLARVEYQRMVERREAIFCIQYNIRSFMNVKHWPWMKLFFKIKPLLKSAETEKEMATMKEEFQKIKDELAKSEAKRKELEEKMVTLLKEKNDLQLQVQAEAEGLADAEERCDQLIKTKIQLEAKIKEVTERAEDEEEINAELTAKKRKLEDECSELKKDIDDLELTLAKVEKEKHATENKVKNLTEEMAGLDETIAKLTKEKKALQEAHQQTLDDLQAEEDKVNTLTKAKIKLEQQVDDLEGSLEQEKKLRMDLERAKRKLEGDLKLAQESIMDIENEKQQLDEKLKKKEFEISNLQSKIEDEQALGIQLQKKIKELQARIEELEEEIEAERASRAKAEKQRSDLSRELEEISERLEEAGGATSAQIEMNKKREAEFQKMRRDLEEATLQHEATAATLRKKHADSVAELGEQIDNLQRVKQKLEKEKSEMKMEIDDLASNVETVSKAKGNLEKMCRTLEDQLSELKSKEEEQQRLINDLTAQRGRLQTESGEFSRQLDEKEALVSQLSRGKQAFTQQIEELKRQLEEEIKAKNALAHALQSSRHDCDLLREQYEEEQESKAELQRALSKANTEVAQWRTKYETDAIQRTEELEEAKKKLAQRLQAAEEHVEAVNAKCASLEKTKQRLQNEVEDLMLDVERTNAACAALDKKQRNFDKILAEWKQKCEETHAELEASQKEARSLGTELFKIKNAYEESLDQLETLKRENKNLQQEISDLTEQIAEGGKRIHELEKIKKQVEQEKCELQAALEEAEASLEHEEGKILRIQLELNQVKSEVDRKIAEKDEEIDQLKRNHIRIVESMQSTLDAEIRSRNDAIRLKKKMEGDLNEMEIQLNHANRMAAEALRNYRNTQGILKDTQIHLDDALRSQEDLKEQLAMVERRANLLQAEIEELRATLEQTERSRKIAEQELLDASERVQLLHTQNTSLINTKKKLETDISQMQGEMEDILQEARNAEEKAKKAITDAAMMAEELKKEQDTSAHLERMKKNMEQTVKDLQLRLDEAEQLALKGGKKQIQKLEARVRELEGEVESEQKRNAEAVKGLRKHERRVKELTYQTEEDRKNILRLQDLVDKLQAKVKSYKRQAEEAEEQSNTNLAKFRKLQHELEEAEERADIAESQVNKLRVKSREVHTKVISEE
+>DECOY_sp|Q9UKX2|MYH2_HUMAN Myosin-2 OS=Homo sapiens OX=9606 GN=MYH2 PE=1 SV=1
+EESIVKTHVERSKVRLKNVQSEAIDAREEAEELEHQLKRFKALNTNSQEEAEEAQRKYSKVKAQLKDVLDQLRLINKRDEETQYTLEKVRREHKRLGKVAEANRKQESEVEGELERVRAELKQIQKKGGKLALQEAEDLRLQLDKVTQEMNKKMRELHASTDQEKKLEEAMMAADTIAKKAKEEANRAEQLIDEMEGQMQSIDTELKKKTNILSTNQTHLLQVRESADLLEQEAIKRSRETQELTARLEEIEAQLLNARREVMALQEKLDEQSRLADDLHIQTDKLIGQTNRYNRLAEAAMRNAHNLQIEMENLDGEMKKKLRIADNRSRIEADLTSQMSEVIRIHNRKLQDIEEDKEAIKRDVESKVQNLELQIRLIKGEEHELSAEAEELAAQLECKEQEVQKKIKELEHIRKGGEAIQETLDSIEQQLNKNERKLTELQDLSEEYANKIKFLETGLSRAEKQSAELEAHTEECKQKWEALIKDFNRQKKDLAACAANTREVDLMLDEVENQLRQKTKELSACKANVAEVHEEAAQLRQALKKKAEELEETRQIADTEYKTRWQAVETNAKSLARQLEAKSEQEEEYQERLLDCDHRSSQLAHALANKAKIEEELQRKLEEIQQTFAQKGRSLQSVLAEKEDLQRSFEGSETQLRGRQATLDNILRQQEEEKSKLESLQDELTRCMKELNGKAKSVTEVNSALDDIEMKMESKEKELKQKVRQLNDIQEGLEAVSDAHKKRLTAATAEHQLTAEELDRRMKQFEAERKKNMEIQASTAGGAEELRESIEELERSLDSRQKEAKARSAREAEIEEELEEIRAQLEKIKKQLQIGLAQEDEIKSQLNSIEFEKKKLKEDLQQKENEIDMISEQALKLDGELKRKARELDMRLKKEQELSGELDDVQQELKIKAKTLTNVKDEEAQLDDLTQQHAEQLAKKEKTLKAITEDLGAMEETLNKVKNETAHKEKEVKALTLELDDIDKKLESCEDELKRKKATLEANIEEEDEARETVEKIKAELQIKTKILQDCREEADALGEAEAQVQLQLDNKEKLLTVMKEELEKRKAESKALEDKIKQFEEKMTAMEKETEASKLLPKIKFFLKMWPWHKVNMFSRINYQICFIAERREVMRQYEVRALFGRCRAQTRTILQALKDDRMEELLGLLGAKFFVKTHGFKYQTHDIDISALLKESAKKSDIFQGEPIASANLVKYRQKFDAYLIRSPFGKRCIRIGELVGNCRLQHLVLEHEMAGPTKTENPIICRVFHPHTSRLNTMLKNLNERFLASVTQFSSGKKKGGKKAGGGAGEGEATQAGSFLQALTKMASKQYLGVVTENLPDKNKELWGTINYDVVGAYHILAFHAEAKGKVVKPKQFNASKGLHQDYLKNKFSTDTAKPFMCEEELISFIGMPKEILEICAALDMGFDIFTWEIGEKKYEEQELVFMHHNFFQQLKENTFNICLQELSNFDFIEFGAIDLVGIFYQRPQKTDLQQNIRAVMWLFMKEYVAKALAGVANSVQEVTQGKTVYENGVKVRPYCLAKLLDASNLSQLYAAKDAVETGDPEAQEERQKQKFKLNGYHMVAGTLKYISVKEENTFGLIDIASDTAMLEEQDDISAVSIEGQSVFPYDYPNTTILLMEILEPKKNSTIQYFIHYSREAKLQFVVRSKELLYTEIDASALKGTTGFHIRIFKGFRSSNDNRVTKANGFAELLPNASIIQDELTGQIKGSTIEEKKKEGTVAITAFYQIVRKTNVTKGAGSEGTILISQNERDTLMFQYANDSISFIHPPAEQRKKGRYATVVEPKYVPLWKYPNVTVCFLGSYTYIMWAAYREKLNYLVAPEHLHTMMAMDEIKDYKPPNMPFVQDDKVTLTAGGETKVTVKGGERSQITGKVFSEKPEAVFVSTKADFPRNQAEIRERESKRLFPAAEGFVALESDSSM
+>sp|P11055|MYH3_HUMAN Myosin-3 OS=Homo sapiens OX=9606 GN=MYH3 PE=1 SV=3
+MSSDTEMEVFGIAAPFLRKSEKERIEAQNQPFDAKTYCFVVDSKEEYAKGKIKSSQDGKVTVETEDNRTLVVKPEDVYAMNPPKFDRIEDMAMLTHLNEPAVLYNLKDRYTSWMIYTYSGLFCVTVNPYKWLPVYNPEVVEGYRGKKRQEAPPHIFSISDNAYQFMLTDRENQSILITGESGAGKTVNTKRVIQYFATIAATGDLAKKKDSKMKGTLEDQIISANPLLEAFGNAKTVRNDNSSRFGKFIRIHFGTTGKLASADIETYLLEKSRVTFQLKAERSYHIFYQILSNKKPELIELLLITTNPYDYPFISQGEILVASIDDAEELLATDSAIDILGFTPEEKSGLYKLTGAVMHYGNMKFKQKQREEQAEPDGTEVADKTAYLMGLNSSDLLKALCFPRVKVGNEYVTKGQTVDQVHHAVNALSKSVYEKLFLWMVTRINQQLDTKLPRQHFIGVLDIAGFEIFEYNSLEQLCINFTNEKLQQFFNHHMFVLEQEEYKKEGIEWTFIDFGMDLAACIELIEKPMGIFSILEEECMFPKATDTSFKNKLYDQHLGKSNNFQKPKVVKGRAEAHFSLIHYAGTVDYSVSGWLEKNKDPLNETVVGLYQKSSNRLLAHLYATFATADADSGKKKVAKKKGSSFQTVSALFRENLNKLMSNLRTTHPHFVRCIIPNETKTPGAMEHSLVLHQLRCNGVLEGIRICRKGFPNRILYGDFKQRYRVLNASAIPEGQFIDSKKACEKLLASIDIDHTQYKFGHTKVFFKAGLLGTLEEMRDDRLAKLITRTQAVCRGFLMRVEFQKMVQRRESIFCIQYNIRSFMNVKHWPWMKLFFKIKPLLKSAETEKEMATMKEEFQKTKDELAKSEAKRKELEEKLVTLVQEKNDLQLQVQAESENLLDAEERCDQLIKAKFQLEAKIKEVTERAEDEEEINAELTAKKRKLEDECSELKKDIDDLELTLAKVEKEKHATENKVKNLTEELSGLDETIAKLTREKKALQEAHQQALDDLQAEEDKVNSLNKTKSKLEQQVEDLESSLEQEKKLRVDLERNKRKLEGDLKLAQESILDLENDKQQLDERLKKKDFEYCQLQSKVEDEQTLGLQFQKKIKELQARIEELEEEIEAERATRAKTEKQRSDYARELEELSERLEEAGGVTSTQIELNKKREAEFLKLRRDLEEATLQHEAMVAALRKKHADSVAELGEQIDNLQRVKQKLEKEKSEFKLEIDDLSSSMESVSKSKANLEKICRTLEDQLSEARGKNEEIQRSLSELTTQKSRLQTEAGELSRQLEEKESIVSQLSRSKQAFTQQTEELKRQLEEENKAKNALAHALQSSRHDCDLLREQYEEEQEGKAELQRALSKANSEVAQWRTKYETDAIQRTEELEEAKKKLAQRLQDSEEQVEAVNAKCASLEKTKQRLQGEVEDLMVDVERANSLAAALDKKQRNFDKVLAEWKTKCEESQAELEASLKESRSLSTELFKLKNAYEEALDQLETVKRENKNLEQEIADLTEQIAENGKTIHELEKSRKQIELEKADIQLALEEAEAALEHEEAKILRIQLELTQVKSEIDRKIAEKDEEIEQLKRNYQRTVETMQSALDAEVRSRNEAIRLKKKMEGDLNEIEIQLSHANRQAAETLKHLRSVQGQLKDTQLHLDDALRGQEDLKEQLAIVERRANLLQAEVEELRATLEQTERARKLAEQELLDSNERVQLLHTQNTSLIHTKKKLETDLMQLQSEVEDASRDARNAEEKAKKAITDAAMMAEELKKEQDTSAHLERMKKNLEQTVKDLQHRLDEAEQLALKGGKKQIQKLETRIRELEFELEGEQKKNTESVKGLRKYERRVKELTYQSEEDRKNVLRLQDLVDKLQVKVKSYKRQAEEADEQANAHLTKFRKAQHELEEAEERADIAESQVNKLRAKTRDFTSSRMVVHESEE
+>DECOY_sp|P11055|MYH3_HUMAN Myosin-3 OS=Homo sapiens OX=9606 GN=MYH3 PE=1 SV=3
+EESEHVVMRSSTFDRTKARLKNVQSEAIDAREEAEELEHQAKRFKTLHANAQEDAEEAQRKYSKVKVQLKDVLDQLRLVNKRDEESQYTLEKVRREYKRLGKVSETNKKQEGELEFELERIRTELKQIQKKGGKLALQEAEDLRHQLDKVTQELNKKMRELHASTDQEKKLEEAMMAADTIAKKAKEEANRADRSADEVESQLQMLDTELKKKTHILSTNQTHLLQVRENSDLLEQEALKRARETQELTARLEEVEAQLLNARREVIALQEKLDEQGRLADDLHLQTDKLQGQVSRLHKLTEAAQRNAHSLQIEIENLDGEMKKKLRIAENRSRVEADLASQMTEVTRQYNRKLQEIEEDKEAIKRDIESKVQTLELQIRLIKAEEHELAAEAEELALQIDAKELEIQKRSKELEHITKGNEAIQETLDAIEQELNKNERKVTELQDLAEEYANKLKFLETSLSRSEKLSAELEAQSEECKTKWEALVKDFNRQKKDLAAALSNAREVDVMLDEVEGQLRQKTKELSACKANVAEVQEESDQLRQALKKKAEELEETRQIADTEYKTRWQAVESNAKSLARQLEAKGEQEEEYQERLLDCDHRSSQLAHALANKAKNEEELQRKLEETQQTFAQKSRSLQSVISEKEELQRSLEGAETQLRSKQTTLESLSRQIEENKGRAESLQDELTRCIKELNAKSKSVSEMSSSLDDIELKFESKEKELKQKVRQLNDIQEGLEAVSDAHKKRLAAVMAEHQLTAEELDRRLKLFEAERKKNLEIQTSTVGGAEELRESLEELERAYDSRQKETKARTAREAEIEEELEEIRAQLEKIKKQFQLGLTQEDEVKSQLQCYEFDKKKLREDLQQKDNELDLISEQALKLDGELKRKNRELDVRLKKEQELSSELDEVQQELKSKTKNLSNVKDEEAQLDDLAQQHAEQLAKKERTLKAITEDLGSLEETLNKVKNETAHKEKEVKALTLELDDIDKKLESCEDELKRKKATLEANIEEEDEARETVEKIKAELQFKAKILQDCREEADLLNESEAQVQLQLDNKEQVLTVLKEELEKRKAESKALEDKTKQFEEKMTAMEKETEASKLLPKIKFFLKMWPWHKVNMFSRINYQICFISERRQVMKQFEVRMLFGRCVAQTRTILKALRDDRMEELTGLLGAKFFVKTHGFKYQTHDIDISALLKECAKKSDIFQGEPIASANLVRYRQKFDGYLIRNPFGKRCIRIGELVGNCRLQHLVLSHEMAGPTKTENPIICRVFHPHTTRLNSMLKNLNERFLASVTQFSSGKKKAVKKKGSDADATAFTAYLHALLRNSSKQYLGVVTENLPDKNKELWGSVSYDVTGAYHILSFHAEARGKVVKPKQFNNSKGLHQDYLKNKFSTDTAKPFMCEEELISFIGMPKEILEICAALDMGFDIFTWEIGEKKYEEQELVFMHHNFFQQLKENTFNICLQELSNYEFIEFGAIDLVGIFHQRPLKTDLQQNIRTVMWLFLKEYVSKSLANVAHHVQDVTQGKTVYENGVKVRPFCLAKLLDSSNLGMLYATKDAVETGDPEAQEERQKQKFKMNGYHMVAGTLKYLGSKEEPTFGLIDIASDTALLEEADDISAVLIEGQSIFPYDYPNTTILLLEILEPKKNSLIQYFIHYSREAKLQFTVRSKELLYTEIDASALKGTTGFHIRIFKGFRSSNDNRVTKANGFAELLPNASIIQDELTGKMKSDKKKALDGTAAITAFYQIVRKTNVTKGAGSEGTILISQNERDTLMFQYANDSISFIHPPAEQRKKGRYGEVVEPNYVPLWKYPNVTVCFLGSYTYIMWSTYRDKLNYLVAPENLHTLMAMDEIRDFKPPNMAYVDEPKVVLTRNDETEVTVKGDQSSKIKGKAYEEKSDVVFCYTKADFPQNQAEIREKESKRLFPAAIGFVEMETDSSM
+>sp|Q9Y623|MYH4_HUMAN Myosin-4 OS=Homo sapiens OX=9606 GN=MYH4 PE=2 SV=2
+MSSDSEMAIFGEAAPFLRKSEKERIEAQNKPFDAKTSVFVVDPKESYVKAIVQSREGGKVTAKTEAGATVTVKEDQVFSMNPPKYDKIEDMAMMTHLHEPAVLYNLKERYAAWMIYTYSGLFCVTVNPYKWLPVYNPEVVTAYRGKKRQEAPPHIFSISDNAYQFMLTDRENQSILITGESGAGKTVNTKRVIQYFATIAVTGEKKKEEPASGKMQGTLEDQIISANPLLEAFGNAKTVRNDNSSRFGKFIRIHFGATGKLASADIETYLLEKSRVTFQLKAERSYHIFYQILSNKKPELIEMLLITTNPYDFAFVSQGEITVPSIDDQEELMATDSAVDILGFTADEKVAIYKLTGAVMHYGNMKFKQKQREEQAEPDGTEVADKAAYLTSLNSADLLKSLCYPRVKVGNEFVTKGQTVQQVYNAVGALAKAIYEKMFLWMVTRINQQLDTKQPRQYFIGVLDIAGFEIFDFNSLEQLCINFTNEKLQQFFNHHMFVLEQEEYKKEGIEWEFIDFGMDLAACIELIEKPMGIFSILEEECMFPKATDTSFKNKLYEQHLGKSNNFQKPKPAKGKPEAHFSLVHYAGTVDYNIAGWLDKNKDPLNETVVGLYQKSAMKTLAFLFSGAQTAEAEGGGGKKGGKKKGSSFQTVSALFRENLNKLMTNLRSTHPHFVRCIIPNETKTPGAMEHELVLHQLRCNGVLEGIRICRKGFPSRILYADFKQRYKVLNASAIPEGQFIDSKKASEKLLGSIEIDHTQYKFGHTKVFFKAGLLGTLEEMRDEKLAQLITRTQAICRGFLMRVEFRKMMERRESIFCIQYNIRAFMNVKHWPWMKLYFKIKPLLKSAETEKEMANMKEEFEKTKEELAKTEAKRKELEEKMVTLMQEKNDLQLQVQAEADALADAEERCDQLIKTKIQLEAKIKEVTERAEDEEEINAELTAKKRKLEDECSELKKDIDDLELTLAKVEKEKHATENKVKNLTEEMAGLDETIAKLTKEKKALQEAHQQTLDDLQMEEDKVNTLTKAKTKLEQQVDDLEGSLEQEKKLCMDLERAKRKLEGDLKLAQESTMDTENDKQQLNEKLKKKEFEMSNLQGKIEDEQALAIQLQKKIKELQARIEELEEEIEAERASRAKAEKQRSDLSRELEEISERLEEAGGATSAQIEMNKKREAEFQKMRRDLEESTLQHEATAAALRKKHADSVAELGEQIDSLQRVKQKLEKEKSELKMEINDLASNMETVSKAKANFEKMCRTLEDQLSEIKTKEEEQQRLINELSAQKARLHTESGEFSRQLDEKDAMVSQLSRGKQAFTQQIEELKRQLEEETKAKSTLAHALQSARHDCDLLREQYEEEQEAKAELQRGMSKANSEVAQWRTKYETDAIQRTEELEEAKKKLAQRLQDAEEHVEAVNSKCASLEKTKQRLQNEVEDLMIDVERSNAACIALDKKQRNFDKVLAEWKQKYEETQAELEASQKESRSLSTELFKVKNAYEESLDHLETLKRENKNLQQEISDLTEQIAEGGKHIHELEKVKKQLDHEKSELQTSLEEAEASLEHEEGKILRIQLELNQVKSEIDRKIAEKDEELDQLKRNHLRVVESMQSTLDAEIRSRNDALRIKKKMEGDLNEMEIQLNHANRQAAEALRNLRNTQGILKDTQLHLDDAIRGQDDLKEQLAMVERRANLMQAEVEELRASLERTERGRKMAEQELLDASERVQLLHTQNTSLINTKKKLETDISQIQGEMEDIVQEARNAEEKAKKAITDAAMMAEELKKEQDTSAHLERMKKNMEQTVKDLQLRLDEAEQLALKGGKKQIQKLEARVRELESEVESEQKHNVEAVKGLRKHERRVKELTYQTEEDRKNILRLQDLVDKLQTKVKAYKRQAEEAEEQSNVNLAKFRKLQHELEEAKERADIAESQVNKLRVKSREVHTKVISEE
+>DECOY_sp|Q9Y623|MYH4_HUMAN Myosin-4 OS=Homo sapiens OX=9606 GN=MYH4 PE=2 SV=2
+EESIVKTHVERSKVRLKNVQSEAIDAREKAEELEHQLKRFKALNVNSQEEAEEAQRKYAKVKTQLKDVLDQLRLINKRDEETQYTLEKVRREHKRLGKVAEVNHKQESEVESELERVRAELKQIQKKGGKLALQEAEDLRLQLDKVTQEMNKKMRELHASTDQEKKLEEAMMAADTIAKKAKEEANRAEQVIDEMEGQIQSIDTELKKKTNILSTNQTHLLQVRESADLLEQEAMKRGRETRELSARLEEVEAQMLNARREVMALQEKLDDQGRIADDLHLQTDKLIGQTNRLNRLAEAAQRNAHNLQIEMENLDGEMKKKIRLADNRSRIEADLTSQMSEVVRLHNRKLQDLEEDKEAIKRDIESKVQNLELQIRLIKGEEHELSAEAEELSTQLESKEHDLQKKVKELEHIHKGGEAIQETLDSIEQQLNKNERKLTELHDLSEEYANKVKFLETSLSRSEKQSAELEAQTEEYKQKWEALVKDFNRQKKDLAICAANSREVDIMLDEVENQLRQKTKELSACKSNVAEVHEEADQLRQALKKKAEELEETRQIADTEYKTRWQAVESNAKSMGRQLEAKAEQEEEYQERLLDCDHRASQLAHALTSKAKTEEELQRKLEEIQQTFAQKGRSLQSVMADKEDLQRSFEGSETHLRAKQASLENILRQQEEEKTKIESLQDELTRCMKEFNAKAKSVTEMNSALDNIEMKLESKEKELKQKVRQLSDIQEGLEAVSDAHKKRLAAATAEHQLTSEELDRRMKQFEAERKKNMEIQASTAGGAEELRESIEELERSLDSRQKEAKARSAREAEIEEELEEIRAQLEKIKKQLQIALAQEDEIKGQLNSMEFEKKKLKENLQQKDNETDMTSEQALKLDGELKRKARELDMCLKKEQELSGELDDVQQELKTKAKTLTNVKDEEMQLDDLTQQHAEQLAKKEKTLKAITEDLGAMEETLNKVKNETAHKEKEVKALTLELDDIDKKLESCEDELKRKKATLEANIEEEDEARETVEKIKAELQIKTKILQDCREEADALADAEAQVQLQLDNKEQMLTVMKEELEKRKAETKALEEKTKEFEEKMNAMEKETEASKLLPKIKFYLKMWPWHKVNMFARINYQICFISERREMMKRFEVRMLFGRCIAQTRTILQALKEDRMEELTGLLGAKFFVKTHGFKYQTHDIEISGLLKESAKKSDIFQGEPIASANLVKYRQKFDAYLIRSPFGKRCIRIGELVGNCRLQHLVLEHEMAGPTKTENPIICRVFHPHTSRLNTMLKNLNERFLASVTQFSSGKKKGGKKGGGGEAEATQAGSFLFALTKMASKQYLGVVTENLPDKNKDLWGAINYDVTGAYHVLSFHAEPKGKAPKPKQFNNSKGLHQEYLKNKFSTDTAKPFMCEEELISFIGMPKEILEICAALDMGFDIFEWEIGEKKYEEQELVFMHHNFFQQLKENTFNICLQELSNFDFIEFGAIDLVGIFYQRPQKTDLQQNIRTVMWLFMKEYIAKALAGVANYVQQVTQGKTVFENGVKVRPYCLSKLLDASNLSTLYAAKDAVETGDPEAQEERQKQKFKMNGYHMVAGTLKYIAVKEDATFGLIDVASDTAMLEEQDDISPVTIEGQSVFAFDYPNTTILLMEILEPKKNSLIQYFIHYSREAKLQFTVRSKELLYTEIDASALKGTAGFHIRIFKGFRSSNDNRVTKANGFAELLPNASIIQDELTGQMKGSAPEEKKKEGTVAITAFYQIVRKTNVTKGAGSEGTILISQNERDTLMFQYANDSISFIHPPAEQRKKGRYATVVEPNYVPLWKYPNVTVCFLGSYTYIMWAAYREKLNYLVAPEHLHTMMAMDEIKDYKPPNMSFVQDEKVTVTAGAETKATVKGGERSQVIAKVYSEKPDVVFVSTKADFPKNQAEIREKESKRLFPAAEGFIAMESDSSM
+>sp|P13533|MYH6_HUMAN Myosin-6 OS=Homo sapiens OX=9606 GN=MYH6 PE=1 SV=5
+MTDAQMADFGAAAQYLRKSEKERLEAQTRPFDIRTECFVPDDKEEFVKAKILSREGGKVIAETENGKTVTVKEDQVLQQNPPKFDKIEDMAMLTFLHEPAVLFNLKERYAAWMIYTYSGLFCVTVNPYKWLPVYNAEVVAAYRGKKRSEAPPHIFSISDNAYQYMLTDRENQSILITGESGAGKTVNTKRVIQYFASIAAIGDRGKKDNANANKGTLEDQIIQANPALEAFGNAKTVRNDNSSRFGKFIRIHFGATGKLASADIETYLLEKSRVIFQLKAERNYHIFYQILSNKKPELLDMLLVTNNPYDYAFVSQGEVSVASIDDSEELMATDSAFDVLGFTSEEKAGVYKLTGAIMHYGNMKFKQKQREEQAEPDGTEDADKSAYLMGLNSADLLKGLCHPRVKVGNEYVTKGQSVQQVYYSIGALAKAVYEKMFNWMVTRINATLETKQPRQYFIGVLDIAGFEIFDFNSFEQLCINFTNEKLQQFFNHHMFVLEQEEYKKEGIEWTFIDFGMDLQACIDLIEKPMGIMSILEEECMFPKATDMTFKAKLYDNHLGKSNNFQKPRNIKGKQEAHFSLIHYAGTVDYNILGWLEKNKDPLNETVVALYQKSSLKLMATLFSSYATADTGDSGKSKGGKKKGSSFQTVSALHRENLNKLMTNLRTTHPHFVRCIIPNERKAPGVMDNPLVMHQLRCNGVLEGIRICRKGFPNRILYGDFRQRYRILNPVAIPEGQFIDSRKGTEKLLSSLDIDHNQYKFGHTKVFFKAGLLGLLEEMRDERLSRIITRMQAQARGQLMRIEFKKIVERRDALLVIQWNIRAFMGVKNWPWMKLYFKIKPLLKSAETEKEMATMKEEFGRIKETLEKSEARRKELEEKMVSLLQEKNDLQLQVQAEQDNLNDAEERCDQLIKNKIQLEAKVKEMNERLEDEEEMNAELTAKKRKLEDECSELKKDIDDLELTLAKVEKEKHATENKVKNLTEEMAGLDEIIAKLTKEKKALQEAHQQALDDLQVEEDKVNSLSKSKVKLEQQVDDLEGSLEQEKKVRMDLERAKRKLEGDLKLTQESIMDLENDKLQLEEKLKKKEFDINQQNSKIEDEQVLALQLQKKLKENQARIEELEEELEAERTARAKVEKLRSDLSRELEEISERLEEAGGATSVQIEMNKKREAEFQKMRRDLEEATLQHEATAAALRKKHADSVAELGEQIDNLQRVKQKLEKEKSEFKLELDDVTSNMEQIIKAKANLEKVSRTLEDQANEYRVKLEEAQRSLNDFTTQRAKLQTENGELARQLEEKEALISQLTRGKLSYTQQMEDLKRQLEEEGKAKNALAHALQSARHDCDLLREQYEEETEAKAELQRVLSKANSEVAQWRTKYETDAIQRTEELEEAKKKLAQRLQDAEEAVEAVNAKCSSLEKTKHRLQNEIEDLMVDVERSNAAAAALDKKQRNFDKILAEWKQKYEESQSELESSQKEARSLSTELFKLKNAYEESLEHLETFKRENKNLQEEISDLTEQLGEGGKNVHELEKVRKQLEVEKLELQSALEEAEASLEHEEGKILRAQLEFNQIKAEIERKLAEKDEEMEQAKRNHQRVVDSLQTSLDAETRSRNEVLRVKKKMEGDLNEMEIQLSHANRMAAEAQKQVKSLQSLLKDTQIQLDDAVRANDDLKENIAIVERRNNLLQAELEELRAVVEQTERSRKLAEQELIETSERVQLLHSQNTSLINQKKKMESDLTQLQSEVEEAVQECRNAEEKAKKAITDAAMMAEELKKEQDTSAHLERMKKNMEQTIKDLQHRLDEAEQIALKGGKKQLQKLEARVRELEGELEAEQKRNAESVKGMRKSERRIKELTYQTEEDKKNLLRLQDLVDKLQLKVKAYKRQAEEAEEQANTNLSKFRKVQHELDEAEERADIAESQVNKLRAKSRDIGAKQKMHDEE
+>DECOY_sp|P13533|MYH6_HUMAN Myosin-6 OS=Homo sapiens OX=9606 GN=MYH6 PE=1 SV=5
+EEDHMKQKAGIDRSKARLKNVQSEAIDAREEAEDLEHQVKRFKSLNTNAQEEAEEAQRKYAKVKLQLKDVLDQLRLLNKKDEETQYTLEKIRRESKRMGKVSEANRKQEAELEGELERVRAELKQLQKKGGKLAIQEAEDLRHQLDKITQEMNKKMRELHASTDQEKKLEEAMMAADTIAKKAKEEANRCEQVAEEVESQLQTLDSEMKKKQNILSTNQSHLLQVRESTEILEQEALKRSRETQEVVARLEELEAQLLNNRREVIAINEKLDDNARVADDLQIQTDKLLSQLSKVQKQAEAAMRNAHSLQIEMENLDGEMKKKVRLVENRSRTEADLSTQLSDVVRQHNRKAQEMEEDKEALKREIEAKIQNFELQARLIKGEEHELSAEAEELASQLELKEVELQKRVKELEHVNKGGEGLQETLDSIEEQLNKNERKFTELHELSEEYANKLKFLETSLSRAEKQSSELESQSEEYKQKWEALIKDFNRQKKDLAAAAANSREVDVMLDEIENQLRHKTKELSSCKANVAEVAEEADQLRQALKKKAEELEETRQIADTEYKTRWQAVESNAKSLVRQLEAKAETEEEYQERLLDCDHRASQLAHALANKAKGEEELQRKLDEMQQTYSLKGRTLQSILAEKEELQRALEGNETQLKARQTTFDNLSRQAEELKVRYENAQDELTRSVKELNAKAKIIQEMNSTVDDLELKFESKEKELKQKVRQLNDIQEGLEAVSDAHKKRLAAATAEHQLTAEELDRRMKQFEAERKKNMEIQVSTAGGAEELRESIEELERSLDSRLKEVKARATREAELEEELEEIRAQNEKLKKQLQLALVQEDEIKSNQQNIDFEKKKLKEELQLKDNELDMISEQTLKLDGELKRKARELDMRVKKEQELSGELDDVQQELKVKSKSLSNVKDEEVQLDDLAQQHAEQLAKKEKTLKAIIEDLGAMEETLNKVKNETAHKEKEVKALTLELDDIDKKLESCEDELKRKKATLEANMEEEDELRENMEKVKAELQIKNKILQDCREEADNLNDQEAQVQLQLDNKEQLLSVMKEELEKRRAESKELTEKIRGFEEKMTAMEKETEASKLLPKIKFYLKMWPWNKVGMFARINWQIVLLADRREVIKKFEIRMLQGRAQAQMRTIIRSLREDRMEELLGLLGAKFFVKTHGFKYQNHDIDLSSLLKETGKRSDIFQGEPIAVPNLIRYRQRFDGYLIRNPFGKRCIRIGELVGNCRLQHMVLPNDMVGPAKRENPIICRVFHPHTTRLNTMLKNLNERHLASVTQFSSGKKKGGKSKGSDGTDATAYSSFLTAMLKLSSKQYLAVVTENLPDKNKELWGLINYDVTGAYHILSFHAEQKGKINRPKQFNNSKGLHNDYLKAKFTMDTAKPFMCEEELISMIGMPKEILDICAQLDMGFDIFTWEIGEKKYEEQELVFMHHNFFQQLKENTFNICLQEFSNFDFIEFGAIDLVGIFYQRPQKTELTANIRTVMWNFMKEYVAKALAGISYYVQQVSQGKTVYENGVKVRPHCLGKLLDASNLGMLYASKDADETGDPEAQEERQKQKFKMNGYHMIAGTLKYVGAKEESTFGLVDFASDTAMLEESDDISAVSVEGQSVFAYDYPNNTVLLMDLLEPKKNSLIQYFIHYNREAKLQFIVRSKELLYTEIDASALKGTAGFHIRIFKGFRSSNDNRVTKANGFAELAPNAQIIQDELTGKNANANDKKGRDGIAAISAFYQIVRKTNVTKGAGSEGTILISQNERDTLMYQYANDSISFIHPPAESRKKGRYAAVVEANYVPLWKYPNVTVCFLGSYTYIMWAAYREKLNFLVAPEHLFTLMAMDEIKDFKPPNQQLVQDEKVTVTKGNETEAIVKGGERSLIKAKVFEEKDDPVFCETRIDFPRTQAELREKESKRLYQAAAGFDAMQADTM
+>sp|P12829|MYL4_HUMAN Myosin light chain 4 OS=Homo sapiens OX=9606 GN=MYL4 PE=1 SV=3
+MAPKKPEPKKEAAKPAPAPAPAPAPAPAPAPEAPKEPAFDPKSVKIDFTADQIEEFKEAFSLFDRTPTGEMKITYGQCGDVLRALGQNPTNAEVLRVLGKPKPEEMNVKMLDFETFLPILQHISRNKEQGTYEDFVEGLRVFDKESNGTVMGAELRHVLATLGEKMTEAEVEQLLAGQEDANGCINYEAFVKHIMSG
+>DECOY_sp|P12829|MYL4_HUMAN Myosin light chain 4 OS=Homo sapiens OX=9606 GN=MYL4 PE=1 SV=3
+GSMIHKVFAEYNICGNADEQGALLQEVEAETMKEGLTALVHRLEAGMVTGNSEKDFVRLGEVFDEYTGQEKNRSIHQLIPLFTEFDLMKVNMEEPKPKGLVRLVEANTPNQGLARLVDGCQGYTIKMEGTPTRDFLSFAEKFEEIQDATFDIKVSKPDFAPEKPAEPAPAPAPAPAPAPAPAPKAAEKKPEPKKPAM
+>sp|P60660|MYL6_HUMAN Myosin light polypeptide 6 OS=Homo sapiens OX=9606 GN=MYL6 PE=1 SV=2
+MCDFTEDQTAEFKEAFQLFDRTGDGKILYSQCGDVMRALGQNPTNAEVLKVLGNPKSDEMNVKVLDFEHFLPMLQTVAKNKDQGTYEDYVEGLRVFDKEGNGTVMGAEIRHVLVTLGEKMTEEEVEMLVAGHEDSNGCINYEAFVRHILSG
+>DECOY_sp|P60660|MYL6_HUMAN Myosin light polypeptide 6 OS=Homo sapiens OX=9606 GN=MYL6 PE=1 SV=2
+GSLIHRVFAEYNICGNSDEHGAVLMEVEEETMKEGLTVLVHRIEAGMVTGNGEKDFVRLGEVYDEYTGQDKNKAVTQLMPLFHEFDLVKVNMEDSKPNGLVKLVEANTPNQGLARMVDGCQSYLIKGDGTRDFLQFAEKFEATQDETFDCM
+>sp|Q9H1R3|MYLK2_HUMAN Myosin light chain kinase 2, skeletal/cardiac muscle OS=Homo sapiens OX=9606 GN=MYLK2 PE=1 SV=3
+MATENGAVELGIQNPSTDKAPKGPTGERPLAAGKDPGPPDPKKAPDPPTLKKDAKAPASEKGDGTLAQPSTSSQGPKGEGDRGGGPAEGSAGPPAALPQQTATPETSVKKPKAEQGASGSQDPGKPRVGKKAAEGQAAARRGSPAFLHSPSCPAIISSSEKLLAKKPPSEASELTFEGVPMTHSPTDPRPAKAEEGKNILAESQKEVGEKTPGQAGQAKMQGDTSRGIEFQAVPSEKSEVGQALCLTAREEDCFQILDDCPPPPAPFPHRMVELRTGNVSSEFSMNSKEALGGGKFGAVCTCMEKATGLKLAAKVIKKQTPKDKEMVLLEIEVMNQLNHRNLIQLYAAIETPHEIVLFMEYIEGGELFERIVDEDYHLTEVDTMVFVRQICDGILFMHKMRVLHLDLKPENILCVNTTGHLVKIIDFGLARRYNPNEKLKVNFGTPEFLSPEVVNYDQISDKTDMWSMGVITYMLLSGLSPFLGDDDTETLNNVLSGNWYFDEETFEAVSDEAKDFVSNLIVKDQRARMNAAQCLAHPWLNNLAEKAKRCNRRLKSQILLKKYLMKRRWKKNFIAVSAANRFKKISSSGALMALGV
+>DECOY_sp|Q9H1R3|MYLK2_HUMAN Myosin light chain kinase 2, skeletal/cardiac muscle OS=Homo sapiens OX=9606 GN=MYLK2 PE=1 SV=3
+VGLAMLAGSSSIKKFRNAASVAIFNKKWRRKMLYKKLLIQSKLRRNCRKAKEALNNLWPHALCQAANMRARQDKVILNSVFDKAEDSVAEFTEEDFYWNGSLVNNLTETDDDGLFPSLGSLLMYTIVGMSWMDTKDSIQDYNVVEPSLFEPTGFNVKLKENPNYRRALGFDIIKVLHGTTNVCLINEPKLDLHLVRMKHMFLIGDCIQRVFVMTDVETLHYDEDVIREFLEGGEIYEMFLVIEHPTEIAAYLQILNRHNLQNMVEIELLVMEKDKPTQKKIVKAALKLGTAKEMCTCVAGFKGGGLAEKSNMSFESSVNGTRLEVMRHPFPAPPPPCDDLIQFCDEERATLCLAQGVESKESPVAQFEIGRSTDGQMKAQGAQGPTKEGVEKQSEALINKGEEAKAPRPDTPSHTMPVGEFTLESAESPPKKALLKESSSIIAPCSPSHLFAPSGRRAAAQGEAAKKGVRPKGPDQSGSAGQEAKPKKVSTEPTATQQPLAAPPGASGEAPGGGRDGEGKPGQSSTSPQALTGDGKESAPAKADKKLTPPDPAKKPDPPGPDKGAALPREGTPGKPAKDTSPNQIGLEVAGNETAM
+>sp|Q15746|MYLK_HUMAN Myosin light chain kinase, smooth muscle OS=Homo sapiens OX=9606 GN=MYLK PE=1 SV=4
+MGDVKLVASSHISKTSLSVDPSRVDSMPLTEAPAFILPPRNLCIKEGATAKFEGRVRGYPEPQVTWHRNGQPITSGGRFLLDCGIRGTFSLVIHAVHEEDRGKYTCEATNGSGARQVTVELTVEGSFAKQLGQPVVSKTLGDRFSAPAVETRPSIWGECPPKFATKLGRVVVKEGQMGRFSCKITGRPQPQVTWLKGNVPLQPSARVSVSEKNGMQVLEIHGVNQDDVGVYTCLVVNGSGKASMSAELSIQGLDSANRSFVRETKATNSDVRKEVTNVISKESKLDSLEAAAKSKNCSSPQRGGSPPWAANSQPQPPRESKLESCKDSPRTAPQTPVLQKTSSSITLQAARVQPEPRAPGLGVLSPSGEERKRPAPPRPATFPTRQPGLGSQDVVSKAANRRIPMEGQRDSAFPKFESKPQSQEVKENQTVKFRCEVSGIPKPEVAWFLEGTPVRRQEGSIEVYEDAGSHYLCLLKARTRDSGTYSCTASNAQGQLSCSWTLQVERLAVMEVAPSFSSVLKDCAVIEGQDFVLQCSVRGTPVPRITWLLNGQPIQYARSTCEAGVAELHIQDALPEDHGTYTCLAENALGQVSCSAWVTVHEKKSSRKSEYLLPVAPSKPTAPIFLQGLSDLKVMDGSQVTMTVQVSGNPPPEVIWLHNGNEIQESEDFHFEQRGTQHSLCIQEVFPEDTGTYTCEAWNSAGEVRTQAVLTVQEPHDGTQPWFISKPRSVTASLGQSVLISCAIAGDPFPTVHWLRDGKALCKDTGHFEVLQNEDVFTLVLKKVQPWHAGQYEILLKNRVGECSCQVSLMLQNSSARALPRGREPASCEDLCGGGVGADGGGSDRYGSLRPGWPARGQGWLEEEDGEDVRGVLKRRVETRQHTEEAIRQQEVEQLDFRDLLGKKVSTKTLSEDDLKEIPAEQMDFRANLQRQVKPKTVSEEERKVHSPQQVDFRSVLAKKGTSKTPVPEKVPPPKPATPDFRSVLGGKKKLPAENGSSSAETLNAKAVESSKPLSNAQPSGPLKPVGNAKPAETLKPMGNAKPAETLKPMGNAKPDENLKSASKEELKKDVKNDVNCKRGHAGTTDNEKRSESQGTAPAFKQKLQDVHVAEGKKLLLQCQVSSDPPATIIWTLNGKTLKTTKFIILSQEGSLCSVSIEKALPEDRGLYKCVAKNDAGQAECSCQVTVDDAPASENTKAPEMKSRRPKSSLPPVLGTESDATVKKKPAPKTPPKAAMPPQIIQFPEDQKVRAGESVELFGKVTGTQPITCTWMKFRKQIQESEHMKVENSENGSKLTILAARQEHCGCYTLLVENKLGSRQAQVNLTVVDKPDPPAGTPCASDIRSSSLTLSWYGSSYDGGSAVQSYSIEIWDSANKTWKELATCRSTSFNVQDLLPDHEYKFRVRAINVYGTSEPSQESELTTVGEKPEEPKDEVEVSDDDEKEPEVDYRTVTINTEQKVSDFYDIEERLGSGKFGQVFRLVEKKTRKVWAGKFFKAYSAKEKENIRQEISIMNCLHHPKLVQCVDAFEEKANIVMVLEIVSGGELFERIIDEDFELTERECIKYMRQISEGVEYIHKQGIVHLDLKPENIMCVNKTGTRIKLIDFGLARRLENAGSLKVLFGTPEFVAPEVINYEPIGYATDMWSIGVICYILVSGLSPFMGDNDNETLANVTSATWDFDDEAFDEISDDAKDFISNLLKKDMKNRLDCTQCLQHPWLMKDTKNMEAKKLSKDRMKKYMARRKWQKTGNAVRAIGRLSSMAMISGLSGRKSSTGSPTSPLNAEKLESEEDVSQAFLEAVAEEKPHVKPYFSKTIRDLEVVEGSAARFDCKIEGYPDPEVVWFKDDQSIRESRHFQIDYDEDGNCSLIISDVCGDDDAKYTCKAVNSLGEATCTAELIVETMEEGEGEGEEEEE
+>DECOY_sp|Q15746|MYLK_HUMAN Myosin light chain kinase, smooth muscle OS=Homo sapiens OX=9606 GN=MYLK PE=1 SV=4
+EEEEEGEGEGEEMTEVILEATCTAEGLSNVAKCTYKADDDGCVDSIILSCNGDEDYDIQFHRSERISQDDKFWVVEPDPYGEIKCDFRAASGEVVELDRITKSFYPKVHPKEEAVAELFAQSVDEESELKEANLPSTPSGTSSKRGSLGSIMAMSSLRGIARVANGTKQWKRRAMYKKMRDKSLKKAEMNKTDKMLWPHQLCQTCDLRNKMDKKLLNSIFDKADDSIEDFAEDDFDWTASTVNALTENDNDGMFPSLGSVLIYCIVGISWMDTAYGIPEYNIVEPAVFEPTGFLVKLSGANELRRALGFDILKIRTGTKNVCMINEPKLDLHVIGQKHIYEVGESIQRMYKICERETLEFDEDIIREFLEGGSVIELVMVINAKEEFADVCQVLKPHHLCNMISIEQRINEKEKASYAKFFKGAWVKRTKKEVLRFVQGFKGSGLREEIDYFDSVKQETNITVTRYDVEPEKEDDDSVEVEDKPEEPKEGVTTLESEQSPESTGYVNIARVRFKYEHDPLLDQVNFSTSRCTALEKWTKNASDWIEISYSQVASGGDYSSGYWSLTLSSSRIDSACPTGAPPDPKDVVTLNVQAQRSGLKNEVLLTYCGCHEQRAALITLKSGNESNEVKMHESEQIQKRFKMWTCTIPQTGTVKGFLEVSEGARVKQDEPFQIIQPPMAAKPPTKPAPKKKVTADSETGLVPPLSSKPRRSKMEPAKTNESAPADDVTVQCSCEAQGADNKAVCKYLGRDEPLAKEISVSCLSGEQSLIIFKTTKLTKGNLTWIITAPPDSSVQCQLLLKKGEAVHVDQLKQKFAPATGQSESRKENDTTGAHGRKCNVDNKVDKKLEEKSASKLNEDPKANGMPKLTEAPKANGMPKLTEAPKANGVPKLPGSPQANSLPKSSEVAKANLTEASSSGNEAPLKKKGGLVSRFDPTAPKPPPVKEPVPTKSTGKKALVSRFDVQQPSHVKREEESVTKPKVQRQLNARFDMQEAPIEKLDDESLTKTSVKKGLLDRFDLQEVEQQRIAEETHQRTEVRRKLVGRVDEGDEEELWGQGRAPWGPRLSGYRDSGGGDAGVGGGCLDECSAPERGRPLARASSNQLMLSVQCSCEGVRNKLLIEYQGAHWPQVKKLVLTFVDENQLVEFHGTDKCLAKGDRLWHVTPFPDGAIACSILVSQGLSATVSRPKSIFWPQTGDHPEQVTLVAQTRVEGASNWAECTYTGTDEPFVEQICLSHQTGRQEFHFDESEQIENGNHLWIVEPPPNGSVQVTMTVQSGDMVKLDSLGQLFIPATPKSPAVPLLYESKRSSKKEHVTVWASCSVQGLANEALCTYTGHDEPLADQIHLEAVGAECTSRAYQIPQGNLLWTIRPVPTGRVSCQLVFDQGEIVACDKLVSSFSPAVEMVALREVQLTWSCSLQGQANSATCSYTGSDRTRAKLLCLYHSGADEYVEISGEQRRVPTGELFWAVEPKPIGSVECRFKVTQNEKVEQSQPKSEFKPFASDRQGEMPIRRNAAKSVVDQSGLGPQRTPFTAPRPPAPRKREEGSPSLVGLGPARPEPQVRAAQLTISSSTKQLVPTQPATRPSDKCSELKSERPPQPQSNAAWPPSGGRQPSSCNKSKAAAELSDLKSEKSIVNTVEKRVDSNTAKTERVFSRNASDLGQISLEASMSAKGSGNVVLCTYVGVDDQNVGHIELVQMGNKESVSVRASPQLPVNGKLWTVQPQPRGTIKCSFRGMQGEKVVVRGLKTAFKPPCEGWISPRTEVAPASFRDGLTKSVVPQGLQKAFSGEVTLEVTVQRAGSGNTAECTYKGRDEEHVAHIVLSFTGRIGCDLLFRGGSTIPQGNRHWTVQPEPYGRVRGEFKATAGEKICLNRPPLIFAPAETLPMSDVRSPDVSLSTKSIHSSAVLKVDGM
+>sp|Q9NPC7|MYNN_HUMAN Myoneurin OS=Homo sapiens OX=9606 GN=MYNN PE=1 SV=1
+MQYSHHCEHLLERLNKQREAGFLCDCTIVIGEFQFKAHRNVLASFSEYFGAIYRSTSENNVFLDQSQVKADGFQKLLEFIYTGTLNLDSWNVKEIHQAADYLKVEEVVTKCKIKMEDFAFIANPSSTEISSITGNIELNQQTCLLTLRDYNNREKSEVSTDLIQANPKQGALAKKSSQTKKKKKAFNSPKTGQNKTVQYPSDILENASVELFLDANKLPTPVVEQVAQINDNSELELTSVVENTFPAQDIVHTVTVKRKRGKSQPNCALKEHSMSNIASVKSPYEAENSGEELDQRYSKAKPMCNTCGKVFSEASSLRRHMRIHKGVKPYVCHLCGKAFTQCNQLKTHVRTHTGEKPYKCELCDKGFAQKCQLVFHSRMHHGEEKPYKCDVCNLQFATSSNLKIHARKHSGEKPYVCDRCGQRFAQASTLTYHVRRHTGEKPYVCDTCGKAFAVSSSLITHSRKHTGEKPYICGICGKSFISSGELNKHFRSHTGERPFICELCGNSYTDIKNLKKHKTKVHSGADKTLDSSAEDHTLSEQDSIQKSPLSETMDVKPSDMTLPLALPLGTEDHHMLLPVTDTQSPTSDTLLRSTVNGYSEPQLIFLQQLY
+>DECOY_sp|Q9NPC7|MYNN_HUMAN Myoneurin OS=Homo sapiens OX=9606 GN=MYNN PE=1 SV=1
+YLQQLFILQPESYGNVTSRLLTDSTPSQTDTVPLLMHHDETGLPLALPLTMDSPKVDMTESLPSKQISDQESLTHDEASSDLTKDAGSHVKTKHKKLNKIDTYSNGCLECIFPREGTHSRFHKNLEGSSIFSKGCIGCIYPKEGTHKRSHTILSSSVAFAKGCTDCVYPKEGTHRRVHYTLTSAQAFRQGCRDCVYPKEGSHKRAHIKLNSSTAFQLNCVDCKYPKEEGHHMRSHFVLQCKQAFGKDCLECKYPKEGTHTRVHTKLQNCQTFAKGCLHCVYPKVGKHIRMHRRLSSAESFVKGCTNCMPKAKSYRQDLEEGSNEAEYPSKVSAINSMSHEKLACNPQSKGRKRKVTVTHVIDQAPFTNEVVSTLELESNDNIQAVQEVVPTPLKNADLFLEVSANELIDSPYQVTKNQGTKPSNFAKKKKKTQSSKKALAGQKPNAQILDTSVESKERNNYDRLTLLCTQQNLEINGTISSIETSSPNAIFAFDEMKIKCKTVVEEVKLYDAAQHIEKVNWSDLNLTGTYIFELLKQFGDAKVQSQDLFVNNESTSRYIAGFYESFSALVNRHAKFQFEGIVITCDCLFGAERQKNLRELLHECHHSYQM
+>sp|P15172|MYOD1_HUMAN Myoblast determination protein 1 OS=Homo sapiens OX=9606 GN=MYOD1 PE=1 SV=3
+MELLSPPLRDVDLTAPDGSLCSFATTDDFYDDPCFDSPDLRFFEDLDPRLMHVGALLKPEEHSHFPAAVHPAPGAREDEHVRAPSGHHQAGRCLLWACKACKRKTTNADRRKAATMRERRRLSKVNEAFETLKRCTSSNPNQRLPKVEILRNAIRYIEGLQALLRDQDAAPPGAAAAFYAPGPLPPGRGGEHYSGDSDASSPRSNCSDGMMDYSGPPSGARRRNCYEGAYYNEAPSEPRPGKSAAVSSLDCLSSIVERISTESPAAPALLLADVPSESPPRRQEAAAPSEGESSGDPTQSPDAAPQCPAGANPNPIYQVL
+>DECOY_sp|P15172|MYOD1_HUMAN Myoblast determination protein 1 OS=Homo sapiens OX=9606 GN=MYOD1 PE=1 SV=3
+LVQYIPNPNAGAPCQPAADPSQTPDGSSEGESPAAAEQRRPPSESPVDALLLAPAAPSETSIREVISSLCDLSSVAASKGPRPESPAENYYAGEYCNRRRAGSPPGSYDMMGDSCNSRPSSADSDGSYHEGGRGPPLPGPAYFAAAAGPPAADQDRLLAQLGEIYRIANRLIEVKPLRQNPNSSTCRKLTEFAENVKSLRRRERMTAAKRRDANTTKRKCAKCAWLLCRGAQHHGSPARVHEDERAGPAPHVAAPFHSHEEPKLLAGVHMLRPDLDEFFRLDPSDFCPDDYFDDTTAFSCLSGDPATLDVDRLPPSLLEM
+>sp|Q5VVJ2|MYSM1_HUMAN Histone H2A deubiquitinase MYSM1 OS=Homo sapiens OX=9606 GN=MYSM1 PE=1 SV=1
+MAAEEADVDIEGDVVAAAGAQPGSGENTASVLQKDHYLDSSWRTENGLIPWTLDNTISEENRAVIEKMLLEEEYYLSKKSQPEKVWLDQKEDDKKYMKSLQKTAKIMVHSPTKPASYSVKWTIEEKELFEQGLAKFGRRWTKISKLIGSRTVLQVKSYARQYFKNKVKCGLDKETPNQKTGHNLQVKNEDKGTKAWTPSCLRGRADPNLNAVKIEKLSDDEEVDITDEVDELSSQTPQKNSSSDLLLDFPNSKMHETNQGEFITSDSQEALFSKSSRGCLQNEKQDETLSSSEITLWTEKQSNGDKKSIELNDQKFNELIKNCNKHDGRGIIVDARQLPSPEPCEIQKNLNDNEMLFHSCQMVEESHEEEELKPPEQEIEIDRNIIQEEEKQAIPEFFEGRQAKTPERYLKIRNYILDQWEICKPKYLNKTSVRPGLKNCGDVNCIGRIHTYLELIGAINFGCEQAVYNRPQTVDKVRIRDRKDAVEAYQLAQRLQSMRTRRRRVRDPWGNWCDAKDLEGQTFEHLSAEELAKRREEEKGRPVKSLKVPRPTKSSFDPFQLIPCNFFSEEKQEPFQVKVASEALLIMDLHAHVSMAEVIGLLGGRYSEVDKVVEVCAAEPCNSLSTGLQCEMDPVSQTQASETLAVRGFSVIGWYHSHPAFDPNPSLRDIDTQAKYQSYFSRGGAKFIGMIVSPYNRNNPLPYSQITCLVISEEISPDGSYRLPYKFEVQQMLEEPQWGLVFEKTRWIIEKYRLSHSSVPMDKIFRRDSDLTCLQKLLECMRKTLSKVTNCFMAEEFLTEIENLFLSNYKSNQENGVTEENCTKELLM
+>DECOY_sp|Q5VVJ2|MYSM1_HUMAN Histone H2A deubiquitinase MYSM1 OS=Homo sapiens OX=9606 GN=MYSM1 PE=1 SV=1
+MLLEKTCNEETVGNEQNSKYNSLFLNEIETLFEEAMFCNTVKSLTKRMCELLKQLCTLDSDRRFIKDMPVSSHSLRYKEIIWRTKEFVLGWQPEELMQQVEFKYPLRYSGDPSIEESIVLCTIQSYPLPNNRNYPSVIMGIFKAGGRSFYSQYKAQTDIDRLSPNPDFAPHSHYWGIVSFGRVALTESAQTQSVPDMECQLGTSLSNCPEAACVEVVKDVESYRGGLLGIVEAMSVHAHLDMILLAESAVKVQFPEQKEESFFNCPILQFPDFSSKTPRPVKLSKVPRGKEEERRKALEEASLHEFTQGELDKADCWNGWPDRVRRRRTRMSQLRQALQYAEVADKRDRIRVKDVTQPRNYVAQECGFNIAGILELYTHIRGICNVDGCNKLGPRVSTKNLYKPKCIEWQDLIYNRIKLYREPTKAQRGEFFEPIAQKEEEQIINRDIEIEQEPPKLEEEEHSEEVMQCSHFLMENDNLNKQIECPEPSPLQRADVIIGRGDHKNCNKILENFKQDNLEISKKDGNSQKETWLTIESSSLTEDQKENQLCGRSSKSFLAEQSDSTIFEGQNTEHMKSNPFDLLLDSSSNKQPTQSSLEDVEDTIDVEEDDSLKEIKVANLNPDARGRLCSPTWAKTGKDENKVQLNHGTKQNPTEKDLGCKVKNKFYQRAYSKVQLVTRSGILKSIKTWRRGFKALGQEFLEKEEITWKVSYSAPKTPSHVMIKATKQLSKMYKKDDEKQDLWVKEPQSKKSLYYEEELLMKEIVARNEESITNDLTWPILGNETRWSSDLYHDKQLVSATNEGSGPQAGAAAVVDGEIDVDAEEAAM
+>sp|Q5TBK1|N42L1_HUMAN NEDD4-binding protein 2-like 1 OS=Homo sapiens OX=9606 GN=N4BP2L1 PE=2 SV=1
+MEDSFLQSFGRLSLQPQQQQQRQRPPRPPPRGTPPRRHSFRKHLYLLRGLPGSGKTTLARQLQHDFPRALIFSTDDFFFREDGAYEFNPDFLEEAHEWNQKRARKAMRNGISPIIIDNTNLHAWEMKPYAVMALENNYEVIFREPDTRWKFNVQELARRNIHGVSREKIHRMKERYEHDVTFHSVLHAEKPSRMNRNQDRNNALPSNNARYWNSYTEFPNRRAHGGFTNESSYHRRGGCHHGY
+>DECOY_sp|Q5TBK1|N42L1_HUMAN NEDD4-binding protein 2-like 1 OS=Homo sapiens OX=9606 GN=N4BP2L1 PE=2 SV=1
+YGHHCGGRRHYSSENTFGGHARRNPFETYSNWYRANNSPLANNRDQNRNMRSPKEAHLVSHFTVDHEYREKMRHIKERSVGHINRRALEQVNFKWRTDPERFIVEYNNELAMVAYPKMEWAHLNTNDIIIPSIGNRMAKRARKQNWEHAEELFDPNFEYAGDERFFFDDTSFILARPFDHQLQRALTTKGSGPLGRLLYLHKRFSHRRPPTGRPPPRPPRQRQQQQQPQLSLRGFSQLFSDEM
+>sp|Q92802|N42L2_HUMAN NEDD4-binding protein 2-like 2 OS=Homo sapiens OX=9606 GN=N4BP2L2 PE=1 SV=1
+MSYGEIEGKFLGPREEVTSEPRCKKLKSTTESYVFHNHSNADFHRIQEKTGNDWVPVTIIDVRGHSYLQENKIKTTDLHRPLHDEMPGNRPDVIESIDSQVLQEARPPLVSADDEIYSTSKAFIGPIYKPPEKKKRNEGRNEAHVLNGINDRGGQKEKQKFNSEKSEIDNELFQFYKEIEELEKEKDGFENSCKESEPSQEQFVPFYEGHNNGLLKPDEEKKDLSNKAMPSHCDYQQNLGNEPDKYPCNGQVIPTFCDTSFTSFRPEWQSVYPFIVPYGPPLPSLNYHLNIQRFSGPPNPPSNIFQAQDDSQIQNGYYVNNCHVNWNCMTFDQNNEYTDCSENRSSVHPSGNGCSMQDRYVSNGFCEVRERCWKDHCMDKHNGTDRFVNQQFQEEKLNKLQKLLILLRGLPGSGKTTLSRILLGQNRDGIVFSTDDYFHHQDGYRYNVNQLGDAHDWNQNRAKQAIDQGRSPVIIDNTNIQAWEMKPYVEVAIGKGYRVEFHEPETWWKFDPEELEKRNKHGVSRKKIAQMLDRYEYQMSISIVMNSVEPSHKSTQRPPPPQGRQRWGGSLGSHNRVCVTNNH
+>DECOY_sp|Q92802|N42L2_HUMAN NEDD4-binding protein 2-like 2 OS=Homo sapiens OX=9606 GN=N4BP2L2 PE=1 SV=1
+HNNTVCVRNHSGLSGGWRQRGQPPPPRQTSKHSPEVSNMVISISMQYEYRDLMQAIKKRSVGHKNRKELEEPDFKWWTEPEHFEVRYGKGIAVEVYPKMEWAQINTNDIIVPSRGQDIAQKARNQNWDHADGLQNVNYRYGDQHHFYDDTSFVIGDRNQGLLIRSLTTKGSGPLGRLLILLKQLKNLKEEQFQQNVFRDTGNHKDMCHDKWCRERVECFGNSVYRDQMSCGNGSPHVSSRNESCDTYENNQDFTMCNWNVHCNNVYYGNQIQSDDQAQFINSPPNPPGSFRQINLHYNLSPLPPGYPVIFPYVSQWEPRFSTFSTDCFTPIVQGNCPYKDPENGLNQQYDCHSPMAKNSLDKKEEDPKLLGNNHGEYFPVFQEQSPESEKCSNEFGDKEKELEEIEKYFQFLENDIESKESNFKQKEKQGGRDNIGNLVHAENRGENRKKKEPPKYIPGIFAKSTSYIEDDASVLPPRAEQLVQSDISEIVDPRNGPMEDHLPRHLDTTKIKNEQLYSHGRVDIITVPVWDNGTKEQIRHFDANSHNHFVYSETTSKLKKCRPESTVEERPGLFKGEIEGYSM
+>sp|O75113|N4BP1_HUMAN NEDD4-binding protein 1 OS=Homo sapiens OX=9606 GN=N4BP1 PE=1 SV=4
+MAARAVLDEFTAPAEKAELLEQSRGRIEGLFGVSLAVLGALGAEEPLPARIWLQLCGAQEAVHSAKEYIKGICEPELEERECYPKDMHCIFVGAESLFLKSLIQDTCADLCILDIGLLGIRGSAEAVVMARSHIQQFVKLFENKENLPSSQKESEVKREFKQFVEAHADNYTMDLLILPTSLKKELLTLTQGEENLFETGDDEVIEMRDSQQTEFTQNAATGLNISRDETVLQEEARNKAGTPVSELTKQMDTVLSSSPDVLFDPINGLTPDEEALSNERICQKRRFSDSEERHTKKQFSLENVQEGEILHDAKTLAGNVIADLSDSSADSENLSPDIKETTEEMEYNILVNFFKTMGYSQEIVEKVIKVYGPSTEPLLLLEEIEKENKRFQEDREFSAGTVYPETNKTKNKGVYSSTNELTTDSTPKKTQAHTQQNMVEKFSQLPFKVEAKPCTSNCRINTFRTVPIEQKHEVWGSNQNYICNTDPETDGLSPSVASPSPKEVNFVSRGASSHQPRVPLFPENGLHQQPEPLLPNNMKSACEKRLGCCSSPHSKPNCSTLSPPMPLPQLLPSVTDARSAGPSDHIDSSVTGVQRFRDTLKIPYKLELKNEPGRTDLKHIVIDGSNVAITHGLKKFFSCRGIAIAVEYFWKLGNRNITVFVPQWRTRRDPNVTEQHFLTQLQELGILSLTPARMVFGERIASHDDRFLLHLADKTGGIIVTNDNFREFVNESVSWREIITKRLLQYTFVGDIFMVPDDPLGRSGPRLEEFLQKEVCLRDMQPLLSALPNVGMFDPSFRVPGTQAASTSHQPPTRIQGAPSSHWLPQQPHFPLLPALPSLQQNLPMPAQRSSAETNELREALLKIFPDSEQRLKIDQILVAHPYMKDLNALSAMVLD
+>DECOY_sp|O75113|N4BP1_HUMAN NEDD4-binding protein 1 OS=Homo sapiens OX=9606 GN=N4BP1 PE=1 SV=4
+DLVMASLANLDKMYPHAVLIQDIKLRQESDPFIKLLAERLENTEASSRQAPMPLNQQLSPLAPLLPFHPQQPLWHSSPAGQIRTPPQHSTSAAQTGPVRFSPDFMGVNPLASLLPQMDRLCVEKQLFEELRPGSRGLPDDPVMFIDGVFTYQLLRKTIIERWSVSENVFERFNDNTVIIGGTKDALHLLFRDDHSAIREGFVMRAPTLSLIGLEQLQTLFHQETVNPDRRTRWQPVFVTINRNGLKWFYEVAIAIGRCSFFKKLGHTIAVNSGDIVIHKLDTRGPENKLELKYPIKLTDRFRQVGTVSSDIHDSPGASRADTVSPLLQPLPMPPSLTSCNPKSHPSSCCGLRKECASKMNNPLLPEPQQHLGNEPFLPVRPQHSSAGRSVFNVEKPSPSAVSPSLGDTEPDTNCIYNQNSGWVEHKQEIPVTRFTNIRCNSTCPKAEVKFPLQSFKEVMNQQTHAQTKKPTSDTTLENTSSYVGKNKTKNTEPYVTGASFERDEQFRKNEKEIEELLLLPETSPGYVKIVKEVIEQSYGMTKFFNVLINYEMEETTEKIDPSLNESDASSDSLDAIVNGALTKADHLIEGEQVNELSFQKKTHREESDSFRRKQCIRENSLAEEDPTLGNIPDFLVDPSSSLVTDMQKTLESVPTGAKNRAEEQLVTEDRSINLGTAANQTFETQQSDRMEIVEDDGTEFLNEEGQTLTLLEKKLSTPLILLDMTYNDAHAEVFQKFERKVESEKQSSPLNEKNEFLKVFQQIHSRAMVVAEASGRIGLLGIDLICLDACTDQILSKLFLSEAGVFICHMDKPYCEREELEPECIGKIYEKASHVAEQAGCLQLWIRAPLPEEAGLAGLVALSVGFLGEIRGRSQELLEAKEAPATFEDLVARAAM
+>sp|Q86UW6|N4BP2_HUMAN NEDD4-binding protein 2 OS=Homo sapiens OX=9606 GN=N4BP2 PE=1 SV=2
+MPRRRKNLGGNPFRKTANPKEVVVSSVASREEPTTTLPSMGETKVDQEELFTSISEIFSDLDPDVVYLMLSECDFKVENAMDCLLELSATDTKIEESSSQSFVASENQVGAAESKIMEKRPEEESEDSKMDSFLDMQLTEDLDSLIQNAFEKLNSSPDDQVYSFLPSQDVNSFNDSSEFINPDSSNMTPIFSTQNMNLNGENLENSGSTLSLNPLPSHSVLNESKCFIKDNTLALESNYPEDSLLSSSLNVASDSIAGCSSLNQKQKELLESECVEAQFSEAPVDLDASEPQACLNLPGLDLPGTGGDQKSTRVSDVFLPSEGFNFKPHKHPELPTKGKDVSYCPVLAPLPLLLPPPPPPPMWNPMIPAFDLFQGNHGFVAPVVTTAAHWRSVNYTFPPSVISHTSPTKVWRNKDGTSAYQVQETPVSQVVRKKTSYVGLVLVLLRGLPGSGKSFLARTLQEDNPSGVILSTDDYFYINGQYQFDVKYLGEAHEWNQNRAKEAFEKKISPIIIDNTNLQAWEMKPYVALSQKHKYKVLFREPDTWWKFKPKELARRNIHGVSKEKITRMLEHYQRFVSVPIIMSSSVPEKIERIELCAYSCEDRSTSPRDDEDIISEKEENILSLSLKHLEFTEEKNLDVTKETMLPENVAYLSNADLNKRRKEISDMNPSIQSALILETPHMYFSDSESKLQATDKSENEQIEMVAVKGYSKTDTDSSMERVSPSTCCSENNQEDCDLANSGPLQNEKSSPGEIVEERATVTKKAFGKQKSKSTLEKFPRHELSNFVGDWPVDKTIGQRTKRNRKTEKTSSVQSDKKYNYPQSHKLVNSVSVNTDCVQQRGSPHESVEDGRKSQCDDASEPLNSYKYDAYKNIDKNSFNIMGDWPSSDSLAQREHRSRMPKTGLSEPNLEIGTNDKMNEISLSTAHEACWGTSSQKLKTLGSSNLGSSEMLLSEMTCESQTCLSKKSHGQHTSLPLTFTNSAPTVSGVVEPQTLAECQEQMPKRDPGKEVGMCTQTEPQDFALLWKIEKNKISISDSIKVLTGRLDGFKPKVFNINTKSDVQEAIPYRVMYDKSTFVEESELTSADESENLNILCKLFGSFSLEALKDLYERCNKDIIWATSLLLDSETKLCEDTEFENFQKSCDGSQIGPFSLGLNLKEIISQRGTLENSNSPVPEFSHGIGISNADSQSTCDAERGNSEQAEMRAVTPENHESMTSIFPSAAVGLKNNNDILPNSQEELLYSSKQSFPGILKATTPKDMSETEKNLVVTETGDNIHSPSHFSDIFNFVSSTSNLELNEEIYFTDSLEIKRNENFPKDYVKFSDEEEFMNEDEKEMKEILMAGSSLSAGVSGEDKTEILNPTPAMAKSLTIDCLELALPPELAFQLNELFGPVGIDSGSLTVEDCVVHIDLNLAKVIHEKWKESVMERQRQEEVSCGKFMQDPSLVGHTGLDNPEQKSSQRTGKKLLKTLTASEMLPLLDHWNTQTKKVSLREIMSEEIALQEKHNLKRETLMFEKDCATKLKEKQLFKIFPAINQNFLVDIFKDHNYSLEHTVQFLNCVLEGDPVKTVVAQEFVHQNENVTSHTGQKSKEKKPKKLKETEETPSELSFQDFEYPDYDDYRAEAFLHQQKRMECYSKAKEAYRIGKKNVATFYAQQGTLHEQKMKEANHLAAIEIFEKVNASLLPQNVLDLHGLHVDEALEHLMRVLEKKTEEFKQNGGKPYLSVITGRGNHSQGGVARIKPAVIKYLISHSFRFSEIKPGCLKVMLK
+>DECOY_sp|Q86UW6|N4BP2_HUMAN NEDD4-binding protein 2 OS=Homo sapiens OX=9606 GN=N4BP2 PE=1 SV=2
+KLMVKLCGPKIESFRFSHSILYKIVAPKIRAVGGQSHNGRGTIVSLYPKGGNQKFEETKKELVRMLHELAEDVHLGHLDLVNQPLLSANVKEFIEIAALHNAEKMKQEHLTGQQAYFTAVNKKGIRYAEKAKSYCEMRKQQHLFAEARYDDYDPYEFDQFSLESPTEETEKLKKPKKEKSKQGTHSTVNENQHVFEQAVVTKVPDGELVCNLFQVTHELSYNHDKFIDVLFNQNIAPFIKFLQKEKLKTACDKEFMLTERKLNHKEQLAIEESMIERLSVKKTQTNWHDLLPLMESATLTKLLKKGTRQSSKQEPNDLGTHGVLSPDQMFKGCSVEEQRQREMVSEKWKEHIVKALNLDIHVVCDEVTLSGSDIGVPGFLENLQFALEPPLALELCDITLSKAMAPTPNLIETKDEGSVGASLSSGAMLIEKMEKEDENMFEEEDSFKVYDKPFNENRKIELSDTFYIEENLELNSTSSVFNFIDSFHSPSHINDGTETVVLNKETESMDKPTTAKLIGPFSQKSSYLLEEQSNPLIDNNNKLGVAASPFISTMSEHNEPTVARMEAQESNGREADCTSQSDANSIGIGHSFEPVPSNSNELTGRQSIIEKLNLGLSFPGIQSGDCSKQFNEFETDECLKTESDLLLSTAWIIDKNCREYLDKLAELSFSGFLKCLINLNESEDASTLESEEVFTSKDYMVRYPIAEQVDSKTNINFVKPKFGDLRGTLVKISDSISIKNKEIKWLLAFDQPETQTCMGVEKGPDRKPMQEQCEALTQPEVVGSVTPASNTFTLPLSTHQGHSKKSLCTQSECTMESLLMESSGLNSSGLTKLKQSSTGWCAEHATSLSIENMKDNTGIELNPESLGTKPMRSRHERQALSDSSPWDGMINFSNKDINKYADYKYSNLPESADDCQSKRGDEVSEHPSGRQQVCDTNVSVSNVLKHSQPYNYKKDSQVSSTKETKRNRKTRQGITKDVPWDGVFNSLEHRPFKELTSKSKQKGFAKKTVTAREEVIEGPSSKENQLPGSNALDCDEQNNESCCTSPSVREMSSDTDTKSYGKVAVMEIQENESKDTAQLKSESDSFYMHPTELILASQISPNMDSIEKRRKNLDANSLYAVNEPLMTEKTVDLNKEETFELHKLSLSLINEEKESIIDEDDRPSTSRDECSYACLEIREIKEPVSSSMIIPVSVFRQYHELMRTIKEKSVGHINRRALEKPKFKWWTDPERFLVKYKHKQSLAVYPKMEWAQLNTNDIIIPSIKKEFAEKARNQNWEHAEGLYKVDFQYQGNIYFYDDTSLIVGSPNDEQLTRALFSKGSGPLGRLLVLVLGVYSTKKRVVQSVPTEQVQYASTGDKNRWVKTPSTHSIVSPPFTYNVSRWHAATTVVPAVFGHNGQFLDFAPIMPNWMPPPPPPPLLLPLPALVPCYSVDKGKTPLEPHKHPKFNFGESPLFVDSVRTSKQDGGTGPLDLGPLNLCAQPESADLDVPAESFQAEVCESELLEKQKQNLSSCGAISDSAVNLSSSLLSDEPYNSELALTNDKIFCKSENLVSHSPLPNLSLTSGSNELNEGNLNMNQTSFIPTMNSSDPNIFESSDNFSNVDQSPLFSYVQDDPSSNLKEFANQILSDLDETLQMDLFSDMKSDESEEEPRKEMIKSEAAGVQNESAVFSQSSSEEIKTDTASLELLCDMANEVKFDCESLMLYVVDPDLDSFIESISTFLEEQDVKTEGMSPLTTTPEERSAVSSVVVEKPNATKRFPNGGLNKRRRPM
+>sp|Q6N069|NAA16_HUMAN N-alpha-acetyltransferase 16, NatA auxiliary subunit OS=Homo sapiens OX=9606 GN=NAA16 PE=1 SV=2
+MPNVLLPPKESNLFKRILKCYEQKQYKNGLKFCKMILSNPKFAEHGETLAMKGLTLNCLGKKEEAYEFVRKGLRNDVKSHVCWHVYGLLQRSDKKYDEAIKCYRNALKLDKDNLQILRDLSLLQIQMRDLEGYRETRYQLLQLRPTQRASWIGYAIAYHLLKDYDMALKLLEEFRQTQQVPPNKIDYEYSELILYQNQVMREADLLQESLEHIEMYEKQICDKLLVEEIKGEILLKLGRLKEASEVFKNLIDRNAENWCYYEGLEKALQISTLEERLQIYEEISKQHPKAITPRRLPLTLVPGERFRELMDKFLRVNFSKGCPPLFTTLKSLYYNTEKVSIIQELVTNYEASLKTCDFFSPYENGEKEPPTTLLWVQYFLAQHFDKLGQYSLALDYINAAIASTPTLIELFYMKAKIYKHIGNLKEAAKWMDEAQSLDTADRFINSKCAKYMLRANMIKEAEEMCSKFTREGTSAMENLNEMQCMWFQTECISAYQRLGRYGDALKKCHEVERHFFEITDDQFDFHTYCMRKMTLRAYVDLLRLEDILRRHAFYFKAARSAIEIYLKLYDNPLTNESKQQEINSENLSAKELKKMLSKQRRAQKKAKLEEERKHAERERQQKNQKKKRDEEEEEASGLKEELIPEKLERVENPLEEAVKFLIPLKNLVADNIDTHLLAFEIYFRKGKFLLMLQSVKRAFAINSNNPWLHECLIRFSKSVSNHSNLPDIVSKVLSQEMQKIFVKKDLESFNEDFLKRNATSLQHLLSGAKMMYFLDKSRQEKAIAIATRLDETIKDKDVKTLIKVSEALLDGSFGNCSSQYEEYRMACHNLLPFTSAFLPAVNEVDNPNVALNHTANYDVLANEI
+>DECOY_sp|Q6N069|NAA16_HUMAN N-alpha-acetyltransferase 16, NatA auxiliary subunit OS=Homo sapiens OX=9606 GN=NAA16 PE=1 SV=2
+IENALVDYNATHNLAVNPNDVENVAPLFASTFPLLNHCAMRYEEYQSSCNGFSGDLLAESVKILTKVDKDKITEDLRTAIAIAKEQRSKDLFYMMKAGSLLHQLSTANRKLFDENFSELDKKVFIKQMEQSLVKSVIDPLNSHNSVSKSFRILCEHLWPNNSNIAFARKVSQLMLLFKGKRFYIEFALLHTDINDAVLNKLPILFKVAEELPNEVRELKEPILEEKLGSAEEEEEDRKKKQNKQQREREAHKREEELKAKKQARRQKSLMKKLEKASLNESNIEQQKSENTLPNDYLKLYIEIASRAAKFYFAHRRLIDELRLLDVYARLTMKRMCYTHFDFQDDTIEFFHREVEHCKKLADGYRGLRQYASICETQFWMCQMENLNEMASTGERTFKSCMEEAEKIMNARLMYKACKSNIFRDATDLSQAEDMWKAAEKLNGIHKYIKAKMYFLEILTPTSAIAANIYDLALSYQGLKDFHQALFYQVWLLTTPPEKEGNEYPSFFDCTKLSAEYNTVLEQIISVKETNYYLSKLTTFLPPCGKSFNVRLFKDMLERFREGPVLTLPLRRPTIAKPHQKSIEEYIQLREELTSIQLAKELGEYYCWNEANRDILNKFVESAEKLRGLKLLIEGKIEEVLLKDCIQKEYMEIHELSEQLLDAERMVQNQYLILESYEYDIKNPPVQQTQRFEELLKLAMDYDKLLHYAIAYGIWSARQTPRLQLLQYRTERYGELDRMQIQLLSLDRLIQLNDKDLKLANRYCKIAEDYKKDSRQLLGYVHWCVHSKVDNRLGKRVFEYAEEKKGLCNLTLGKMALTEGHEAFKPNSLIMKCFKLGNKYQKQEYCKLIRKFLNSEKPPLLVNPM
+>sp|Q96RE7|NACC1_HUMAN Nucleus accumbens-associated protein 1 OS=Homo sapiens OX=9606 GN=NACC1 PE=1 SV=1
+MAQTLQMEIPNFGNSILECLNEQRLQGLYCDVSVVVKGHAFKAHRAVLAASSSYFRDLFNNSRSAVVELPAAVQPQSFQQILSFCYTGRLSMNVGDQFLLMYTAGFLQIQEIMEKGTEFFLKVSSPSCDSQGLHAEEAPSSEPQSPVAQTSGWPACSTPLPLVSRVKTEQQESDSVQCMPVAKRLWDSGQKEAGGGGNGSRKMAKFSTPDLAANRPHQPPPPQQAPVVAAAQPAVAAGAGQPAGGVAAAGGVVSGPSTSERTSPGTSSAYTSDSPGSYHNEEDEEEDGGEEGMDEQYRQICNMYTMYSMMNVGQTAEKVEALPEQVAPESRNRIRVRQDLASLPAELINQIGNRCHPKLYDEGDPSEKLELVTGTNVYITRAQLMNCHVSAGTRHKVLLRRLLASFFDRNTLANSCGTGIRSSTNDPRRKPLDSRVLHAVKYYCQNFAPNFKESEMNAIAADMCTNARRVVRKSWMPKVKVLKAEDDAYTTFISETGKIEPDMMGVEHGFETASHEGEAGPSAEALQ
+>DECOY_sp|Q96RE7|NACC1_HUMAN Nucleus accumbens-associated protein 1 OS=Homo sapiens OX=9606 GN=NACC1 PE=1 SV=1
+QLAEASPGAEGEHSATEFGHEVGMMDPEIKGTESIFTTYADDEAKLVKVKPMWSKRVVRRANTCMDAAIANMESEKFNPAFNQCYYKVAHLVRSDLPKRRPDNTSSRIGTGCSNALTNRDFFSALLRRLLVKHRTGASVHCNMLQARTIYVNTGTVLELKESPDGEDYLKPHCRNGIQNILEAPLSALDQRVRIRNRSEPAVQEPLAEVKEATQGVNMMSYMTYMNCIQRYQEDMGEEGGDEEEDEENHYSGPSDSTYASSTGPSTRESTSPGSVVGGAAAVGGAPQGAGAAVAPQAAAVVPAQQPPPPQHPRNAALDPTSFKAMKRSGNGGGGAEKQGSDWLRKAVPMCQVSDSEQQETKVRSVLPLPTSCAPWGSTQAVPSQPESSPAEEAHLGQSDCSPSSVKLFFETGKEMIEQIQLFGATYMLLFQDGVNMSLRGTYCFSLIQQFSQPQVAAPLEVVASRSNNFLDRFYSSSAALVARHAKFAHGKVVVSVDCYLGQLRQENLCELISNGFNPIEMQLTQAM
+>sp|Q96HR8|NAF1_HUMAN H/ACA ribonucleoprotein complex non-core subunit NAF1 OS=Homo sapiens OX=9606 GN=NAF1 PE=1 SV=2
+MEVVEAAAAQLETLKFNGTDFGVGEGPAAPSPGSAPVPGTQPPLQSFEGSPDAGQTVEVKPAGEQPLQPVLNAVAAGTPAPQPQPPAESPACGDCVTSPGAAEPARAPDSLETSDSDSDSDSETDSDSSSSSSSSSSSSSSSSSSCISLPPVLSDGDDDLQIEKENKNFPLKTKDELLLNELPSVEELTIILPEDIELKPLGMVSSIIEQLVIIESMTNLPPVNEETVIFKSDRQAAGKIFEIFGPVAHPFYVLRFNSSDHIESKGIKIKETMYFAPSMKDFTQYIFTEKLKQDKGSDASWKNDQEPPPEALDFSDDEKEKEAKQRKKSQIQGRKKLKSEFNEPGEDFTEVHQNWNAHSSASEHAKGYRNREFTRGFSRARYPRSCHGRPPPQHFYNSEHMVSQETSGFPSQRQNNPIMPQYPFPLPVFDMHNFPLRPPPPPPPPPVNMGWATPNMAAHPLLNLPYSLPPPPPPPPLPPPPSSGDSNSHFGPYY
+>DECOY_sp|Q96HR8|NAF1_HUMAN H/ACA ribonucleoprotein complex non-core subunit NAF1 OS=Homo sapiens OX=9606 GN=NAF1 PE=1 SV=2
+YYPGFHSNSDGSSPPPPLPPPPPPPPLSYPLNLLPHAAMNPTAWGMNVPPPPPPPPPRLPFNHMDFVPLPFPYQPMIPNNQRQSPFGSTEQSVMHESNYFHQPPPRGHCSRPYRARSFGRTFERNRYGKAHESASSHANWNQHVETFDEGPENFESKLKKRGQIQSKKRQKAEKEKEDDSFDLAEPPPEQDNKWSADSGKDQKLKETFIYQTFDKMSPAFYMTEKIKIGKSEIHDSSNFRLVYFPHAVPGFIEFIKGAAQRDSKFIVTEENVPPLNTMSEIIVLQEIISSVMGLPKLEIDEPLIITLEEVSPLENLLLEDKTKLPFNKNEKEIQLDDDGDSLVPPLSICSSSSSSSSSSSSSSSSSSDSDTESDSDSDSDSTELSDPARAPEAAGPSTVCDGCAPSEAPPQPQPAPTGAAVANLVPQLPQEGAPKVEVTQGADPSGEFSQLPPQTGPVPASGPSPAAPGEGVGFDTGNFKLTELQAAAAEVVEM
+>sp|P17050|NAGAB_HUMAN Alpha-N-acetylgalactosaminidase OS=Homo sapiens OX=9606 GN=NAGA PE=1 SV=2
+MLLKTVLLLGHVAQVLMLDNGLLQTPPMGWLAWERFRCNINCDEDPKNCISEQLFMEMADRMAQDGWRDMGYTYLNIDDCWIGGRDASGRLMPDPKRFPHGIPFLADYVHSLGLKLGIYADMGNFTCMGYPGTTLDKVVQDAQTFAEWKVDMLKLDGCFSTPEERAQGYPKMAAALNATGRPIAFSCSWPAYEGGLPPRVNYSLLADICNLWRNYDDIQDSWWSVLSILNWFVEHQDILQPVAGPGHWNDPDMLLIGNFGLSLEQSRAQMALWTVLAAPLLMSTDLRTISAQNMDILQNPLMIKINQDPLGIQGRRIHKEKSLIEVYMRPLSNKASALVFFSCRTDMPYRYHSSLGQLNFTGSVIYEAQDVYSGDIISGLRDETNFTVIINPSGVVMWYLYPIKNLEMSQQ
+>DECOY_sp|P17050|NAGAB_HUMAN Alpha-N-acetylgalactosaminidase OS=Homo sapiens OX=9606 GN=NAGA PE=1 SV=2
+QQSMELNKIPYLYWMVVGSPNIIVTFNTEDRLGSIIDGSYVDQAEYIVSGTFNLQGLSSHYRYPMDTRCSFFVLASAKNSLPRMYVEILSKEKHIRRGQIGLPDQNIKIMLPNQLIDMNQASITRLDTSMLLPAALVTWLAMQARSQELSLGFNGILLMDPDNWHGPGAVPQLIDQHEVFWNLISLVSWWSDQIDDYNRWLNCIDALLSYNVRPPLGGEYAPWSCSFAIPRGTANLAAAMKPYGQAREEPTSFCGDLKLMDVKWEAFTQADQVVKDLTTGPYGMCTFNGMDAYIGLKLGLSHVYDALFPIGHPFRKPDPMLRGSADRGGIWCDDINLYTYGMDRWGDQAMRDAMEMFLQESICNKPDEDCNINCRFREWALWGMPPTQLLGNDLMLVQAVHGLLLVTKLLM
+>sp|Q9Y303|NAGA_HUMAN N-acetylglucosamine-6-phosphate deacetylase OS=Homo sapiens OX=9606 GN=AMDHD2 PE=1 SV=2
+MRGEQGAAGARVLQFTNCRILRGGKLLREDLWVRGGRILDPEKLFFEERRVADERRDCGGRILAPGFIDVQINGGFGVDFSQATEDVGSGVALVARRILSHGVTSFCPTLVTSPPEVYHKVVPQIPVKSGGPHGAGVLGLHLEGPFISREKRGAHPEAHLRSFEADAFQDLLATYGPLDNVRIVTLAPELGRSHEVIRALTARGICVSLGHSVADLRAAEDAVWSGATFITHLFNAMLPFHHRDPGIVGLLTSDRLPAGRCIFYGMIADGTHTNPAALRIAHRAHPQGLVLVTDAIPALGLGNGRHTLGQQEVEVDGLTAYVAGTKTLSGSIAPMDVCVRHFLQATGCSMESALEAASLHPAQLLGLEKSKGTLDFGADADFVVLDDSLHVQATYISGELVWQADAARQ
+>DECOY_sp|Q9Y303|NAGA_HUMAN N-acetylglucosamine-6-phosphate deacetylase OS=Homo sapiens OX=9606 GN=AMDHD2 PE=1 SV=2
+QRAADAQWVLEGSIYTAQVHLSDDLVVFDADAGFDLTGKSKELGLLQAPHLSAAELASEMSCGTAQLFHRVCVDMPAISGSLTKTGAVYATLGDVEVEQQGLTHRGNGLGLAPIADTVLVLGQPHARHAIRLAAPNTHTGDAIMGYFICRGAPLRDSTLLGVIGPDRHHFPLMANFLHTIFTAGSWVADEAARLDAVSHGLSVCIGRATLARIVEHSRGLEPALTVIRVNDLPGYTALLDQFADAEFSRLHAEPHAGRKERSIFPGELHLGLVGAGHPGGSKVPIQPVVKHYVEPPSTVLTPCFSTVGHSLIRRAVLAVGSGVDETAQSFDVGFGGNIQVDIFGPALIRGGCDRREDAVRREEFFLKEPDLIRGGRVWLDERLLKGGRLIRCNTFQLVRAGAAGQEGRM
+>sp|Q8TBE9|NANP_HUMAN N-acylneuraminate-9-phosphatase OS=Homo sapiens OX=9606 GN=NANP PE=1 SV=1
+MGLSRVRAVFFDLDNTLIDTAGASRRGMLEVIKLLQSKYHYKEEAEIICDKVQVKLSKECFHPYNTCITDLRTSHWEEAIQETKGGAANRKLAEECYFLWKSTRLQHMTLAEDVKAMLTELRKEVRLLLLTNGDRQTQREKIEACACQSYFDAVVVGGEQREEKPAPSIFYYCCNLLGVQPGDCVMVGDTLETDIQGGLNAGLKATVWINKNGIVPLKSSPVPHYMVSSVLELPALLQSIDCKVSMST
+>DECOY_sp|Q8TBE9|NANP_HUMAN N-acylneuraminate-9-phosphatase OS=Homo sapiens OX=9606 GN=NANP PE=1 SV=1
+TSMSVKCDISQLLAPLELVSSVMYHPVPSSKLPVIGNKNIWVTAKLGANLGGQIDTELTDGVMVCDGPQVGLLNCCYYFISPAPKEERQEGGVVVADFYSQCACAEIKERQTQRDGNTLLLLRVEKRLETLMAKVDEALTMHQLRTSKWLFYCEEALKRNAAGGKTEQIAEEWHSTRLDTICTNYPHFCEKSLKVQVKDCIIEAEEKYHYKSQLLKIVELMGRRSAGATDILTNDLDFFVARVRSLGM
+>sp|Q13508|NAR3_HUMAN Ecto-ADP-ribosyltransferase 3 OS=Homo sapiens OX=9606 GN=ART3 PE=1 SV=2
+MKTGHFEIVTMLLATMILVDIFQVKAEVLDMADNAFDDEYLKCTDRMEIKYVPQLLKEEKASHQQLDTVWENAKAKWAARKTQIFLPMNFKDNHGIALMAYISEAQEQTPFYHLFSEAVKMAGQSREDYIYGFQFKAFHFYLTRALQLLRKPCEASSKTVVYRTSQGTSFTFGGLNQARFGHFTLAYSAKPQAANDQLTVLSIYTCLGVDIENFLDKESERITLIPLNEVFQVSQEGAGNNLILQSINKTCSHYECAFLGGLKTENCIENLEYFQPIYVYNPGEKNQKLEDHSEKNWKLEDHGEKNQKLEDHGVKILEPTQIPGMKIPEPFPLPEDKSQGNINNPTPGPVPVPGPKSHPSASSGKLLLPQFGMVIILISVSAINLFVAL
+>DECOY_sp|Q13508|NAR3_HUMAN Ecto-ADP-ribosyltransferase 3 OS=Homo sapiens OX=9606 GN=ART3 PE=1 SV=2
+LAVFLNIASVSILIIVMGFQPLLLKGSSASPHSKPGPVPVPGPTPNNINGQSKDEPLPFPEPIKMGPIQTPELIKVGHDELKQNKEGHDELKWNKESHDELKQNKEGPNYVYIPQFYELNEICNETKLGGLFACEYHSCTKNISQLILNNGAGEQSVQFVENLPILTIRESEKDLFNEIDVGLCTYISLVTLQDNAAQPKASYALTFHGFRAQNLGGFTFSTGQSTRYVVTKSSAECPKRLLQLARTLYFHFAKFQFGYIYDERSQGAMKVAESFLHYFPTQEQAESIYAMLAIGHNDKFNMPLFIQTKRAAWKAKANEWVTDLQQHSAKEEKLLQPVYKIEMRDTCKLYEDDFANDAMDLVEAKVQFIDVLIMTALLMTVIEFHGTKM
+>sp|Q96L15|NAR5_HUMAN Ecto-ADP-ribosyltransferase 5 OS=Homo sapiens OX=9606 GN=ART5 PE=2 SV=4
+MALAALMIALGSLGLHTWQAQAVPILPLGLAPDTFDDTYVGCAEEMEEKAAPLLKEEMAHHALLRESWEAAQETWEDKRRGLTLPPGFKAQNGIAIMVYTNSSNTLYWELNQAVRTGGGSRELYMRHFPFKALHFYLIRALQLLRGSGGCSRGPGEVVFRGVGSLRFEPKRLGDSVRLGQFASSSLDKAVAHRFGNATLFSLTTCFGAPIQAFSVFPKEREVLIPPHEVFLVTRFSQDGAQSLVTLWSYNQTCSHFNCAYLGGEKRRGCVSAPGALGTGDLHMTKRHLQQP
+>DECOY_sp|Q96L15|NAR5_HUMAN Ecto-ADP-ribosyltransferase 5 OS=Homo sapiens OX=9606 GN=ART5 PE=2 SV=4
+PQQLHRKTMHLDGTGLAGPASVCGRRKEGGLYACNFHSCTQNYSWLTVLSQAGDQSFRTVLFVEHPPILVEREKPFVSFAQIPAGFCTTLSFLTANGFRHAVAKDLSSSAFQGLRVSDGLRKPEFRLSGVGRFVVEGPGRSCGGSGRLLQLARILYFHLAKFPFHRMYLERSGGGTRVAQNLEWYLTNSSNTYVMIAIGNQAKFGPPLTLGRRKDEWTEQAAEWSERLLAHHAMEEKLLPAAKEEMEEACGVYTDDFTDPALGLPLIPVAQAQWTHLGLSGLAIMLAALAM
+>sp|Q8IVL1|NAV2_HUMAN Neuron navigator 2 OS=Homo sapiens OX=9606 GN=NAV2 PE=1 SV=3
+MPAILVASKMKSGLPKPVHSAAPILHVPPARAGPQPCYLKLGSKVEVSKTTYPSQIPLKSQVLQGLQEPAGEGLPLRKSGSVENGFDTQIYTDWANHYLAKSGHKRLIRDLQQDVTDGVLLAQIIQVVANEKIEDINGCPKNRSQMIENIDACLNFLAAKGINIQGLSAEEIRNGNLKAILGLFFSLSRYKQQQQQPQKQHLSSPLPPAVSQVAGAPSQCQAGTPQQQVPVTPQAPCQPHQPAPHQQSKAQAEMQSSASSKDSSQSKIIRFTLGQKKISRLPGPTARVSAAGSEAKTRGGSTTANNRRSQSFNNYDKSKPVTSPPPPPSSHEKEPLASSASSHPGMSDNAPASLESGSSSTPTNCSTSSAIPQPGAATKPWRSKSLSVKHSATVSMLSVKPPGPEAPRPTPEAMKPAPNNQKSMLEKLKLFNSKGGSKAGEGPGSRDTSCERLETLPSFEESEELEAASRMLTTVGPASSSPKIALKGIAQRTFSRALTNKKSSLKGNEKEKEKQQREKDKEKSKDLAKRASVTERLDLKEEPKEDPSGAAVPEMPKKSSKIASFIPKGGKLNSAKKEPMAPSHSGIPKPGMKSMPGKSPSAPAPSKEGERSRSGKLSSGLPQQKPQLDGRHSSSSSSLASSEGKGPGGTTLNHSISSQTVSGSVGTTQTTGSNTVSVQLPQPQQQYNHPNTATVAPFLYRSQTDTEGNVTAESSSTGVSVEPSHFTKTGQPALEELTGEDPEARRLRTVKNIADLRQNLEETMSSLRGTQVTHSTLETTFDTNVTTEMSGRSILSLTGRPTPLSWRLGQSSPRLQAGDAPSMGNGYPPRANASRFINTESGRYVYSAPLRRQLASRGSSVCHVDVSDKAGDEMDLEGISMDAPGYMSDGDVLSKNIRTDDITSGYMTDGGLGLYTRRLNRLPDGMAVVRETLQRNTSLGLGDADSWDDSSSVSSGISDTIDNLSTDDINTSSSISSYANTPASSRKNLDVQTDAEKHSQVERNSLWSGDDVKKSDGGSDSGIKMEPGSKWRRNPSDVSDESDKSTSGKKNPVISQTGSWRRGMTAQVGITMPRTKPSAPAGALKTPGTGKTDDAKVSEKGRLSPKASQVKRSPSDAGRSSGDESKKPLPSSSRTPTANANSFGFKKQSGSAAGLAMITASGVTVTSRSATLGKIPKSSALVSRSAGRKSSMDGAQNQDDGYLALSSRTNLQYRSLPRPSKSNSRNGAGNRSSTSSIDSNISSKSAGLPVPKLREPSKTALGSSLPGLVNQTDKEKGISSDNESVASCNSVKVNPAAQPVSSPAQTSLQPGAKYPDVASPTLRRLFGGKPTKQVPIATAENMKNSVVISNPHATMTQQGNLDSPSGSGVLSSGSSSPLYSKNVDLNQSPLASSPSSAHSAPSNSLTWGTNASSSSAVSKDGLGFQSVSSLHTSCESIDISLSSGGVPSHNSSTGLIASSKDDSLTPFVRTNSVKTTLSESPLSSPAASPKFCRSTLPRKQDSDPHLDRNTLPKKGLRYTPTSQLRTQEDAKEWLRSHSAGGLQDTAANSPFSSGSSVTSPSGTRFNFSQLASPTTVTQMSLSNPTMLRTHSLSNADGQYDPYTDSRFRNSSMSLDEKSRTMSRSGSFRDGFEEESWEKSSVDNFVSRLHSSLHFSLPLFHHARYELVHGSSLSLVSSTSSVYSTPEEKCQSEIRKLRRELDASQEKVSALTTQLTANAHLVAAFEQSLGNMTIRLQSLTMTAEQKDSELNELRKTIELLKKQNAAAQAAINGVINTPELNCKGNGTAQSADLRIRRQHSSDSVSSINSATSHSSVGSNIESDSKKKKRKNWVNELRSSFKQAFGKKKSPKSASSHSDIEEMTDSSLPSSPKLPHNGSTGSTPLLRNSHSNSLISECMDSEAETVMQLRNELRDKEMKLTDIRLEALSSAHQLDQLREAMNRMQSEIEKLKAENDRLKSESQGSGCSRAPSQVSISASPRQSMGLSQHSLNLTESTSLDMLLDDTGECSARKEGGRHVKIVVSFQEEMKWKEDSRPHLFLIGCIGVSGKTKWDVLDGVVRRLFKEYIIHVDPVSQLGLNSDSVLGYSIGEIKRSNTSETPELLPCGYLVGENTTISVTVKGLAENSLDSLVFESLIPKPILQRYVSLLIEHRRIILSGPSGTGKTYLANRLSEYIVLREGRELTDGVIATFNVDHKSSKELRQYLSNLADQCNSENNAVDMPLVIILDNLHHVSSLGEIFNGLLNCKYHKCPYIIGTMNQATSSTPNLQLHHNFRWVLCANHTEPVKGFLGRFLRRKLMETEISGRVRNMELVKIIDWIPKVWHHLNRFLEAHSSSDVTIGPRLFLSCPIDVDGSRVWFTDLWNYSIIPYLLEAVREGLQLYGRRAPWEDPAKWVMDTYPWAASPQQHEWPPLLQLRPEDVGFDGYSMPREGSTSKQMPPSDAEGDPLMNMLMRLQEAANYSSPQSYDSDSNSNSHHDDILDSSLESTL
+>DECOY_sp|Q8IVL1|NAV2_HUMAN Neuron navigator 2 OS=Homo sapiens OX=9606 GN=NAV2 PE=1 SV=3
+LTSELSSDLIDDHHSNSNSDSDYSQPSSYNAAEQLRMLMNMLPDGEADSPPMQKSTSGERPMSYGDFGVDEPRLQLLPPWEHQQPSAAWPYTDMVWKAPDEWPARRGYLQLGERVAELLYPIISYNWLDTFWVRSGDVDIPCSLFLRPGITVDSSSHAELFRNLHHWVKPIWDIIKVLEMNRVRGSIETEMLKRRLFRGLFGKVPETHNACLVWRFNHHLQLNPTSSTAQNMTGIIYPCKHYKCNLLGNFIEGLSSVHHLNDLIIVLPMDVANNESNCQDALNSLYQRLEKSSKHDVNFTAIVGDTLERGERLVIYESLRNALYTKGTGSPGSLIIRRHEILLSVYRQLIPKPILSEFVLSDLSNEALGKVTVSITTNEGVLYGCPLLEPTESTNSRKIEGISYGLVSDSNLGLQSVPDVHIIYEKFLRRVVGDLVDWKTKGSVGICGILFLHPRSDEKWKMEEQFSVVIKVHRGGEKRASCEGTDDLLMDLSTSETLNLSHQSLGMSQRPSASISVQSPARSCGSGQSESKLRDNEAKLKEIESQMRNMAERLQDLQHASSLAELRIDTLKMEKDRLENRLQMVTEAESDMCESILSNSHSNRLLPTSGTSGNHPLKPSSPLSSDTMEEIDSHSSASKPSKKKGFAQKFSSRLENVWNKRKKKKSDSEINSGVSSHSTASNISSVSDSSHQRRIRLDASQATGNGKCNLEPTNIVGNIAAQAAANQKKLLEITKRLENLESDKQEATMTLSQLRITMNGLSQEFAAVLHANATLQTTLASVKEQSADLERRLKRIESQCKEEPTSYVSSTSSVLSLSSGHVLEYRAHHFLPLSFHLSSHLRSVFNDVSSKEWSEEEFGDRFSGSRSMTRSKEDLSMSSNRFRSDTYPDYQGDANSLSHTRLMTPNSLSMQTVTTPSALQSFNFRTGSPSTVSSGSSFPSNAATDQLGGASHSRLWEKADEQTRLQSTPTYRLGKKPLTNRDLHPDSDQKRPLTSRCFKPSAAPSSLPSESLTTKVSNTRVFPTLSDDKSSAILGTSSNHSPVGGSSLSIDISECSTHLSSVSQFGLGDKSVASSSSANTGWTLSNSPASHASSPSSALPSQNLDVNKSYLPSSSGSSLVGSGSPSDLNGQQTMTAHPNSIVVSNKMNEATAIPVQKTPKGGFLRRLTPSAVDPYKAGPQLSTQAPSSVPQAAPNVKVSNCSAVSENDSSIGKEKDTQNVLGPLSSGLATKSPERLKPVPLGASKSSINSDISSTSSRNGAGNRSNSKSPRPLSRYQLNTRSSLALYGDDQNQAGDMSSKRGASRSVLASSKPIKGLTASRSTVTVGSATIMALGAASGSQKKFGFSNANATPTRSSSPLPKKSEDGSSRGADSPSRKVQSAKPSLRGKESVKADDTKGTGPTKLAGAPASPKTRPMTIGVQATMGRRWSGTQSIVPNKKGSTSKDSEDSVDSPNRRWKSGPEMKIGSDSGGDSKKVDDGSWLSNREVQSHKEADTQVDLNKRSSAPTNAYSSISSSTNIDDTSLNDITDSIGSSVSSSDDWSDADGLGLSTNRQLTERVVAMGDPLRNLRRTYLGLGGDTMYGSTIDDTRINKSLVDGDSMYGPADMSIGELDMEDGAKDSVDVHCVSSGRSALQRRLPASYVYRGSETNIFRSANARPPYGNGMSPADGAQLRPSSQGLRWSLPTPRGTLSLISRGSMETTVNTDFTTELTSHTVQTGRLSSMTEELNQRLDAINKVTRLRRAEPDEGTLEELAPQGTKTFHSPEVSVGTSSSEATVNGETDTQSRYLFPAVTATNPHNYQQQPQPLQVSVTNSGTTQTTGVSGSVTQSSISHNLTTGGPGKGESSALSSSSSSHRGDLQPKQQPLGSSLKGSRSREGEKSPAPASPSKGPMSKMGPKPIGSHSPAMPEKKASNLKGGKPIFSAIKSSKKPMEPVAAGSPDEKPEEKLDLRETVSARKALDKSKEKDKERQQKEKEKENGKLSSKKNTLARSFTRQAIGKLAIKPSSSAPGVTTLMRSAAELEESEEFSPLTELRECSTDRSGPGEGAKSGGKSNFLKLKELMSKQNNPAPKMAEPTPRPAEPGPPKVSLMSVTASHKVSLSKSRWPKTAAGPQPIASSTSCNTPTSSSGSELSAPANDSMGPHSSASSALPEKEHSSPPPPPSTVPKSKDYNNFSQSRRNNATTSGGRTKAESGAASVRATPGPLRSIKKQGLTFRIIKSQSSDKSSASSQMEAQAKSQQHPAPQHPQCPAQPTVPVQQQPTGAQCQSPAGAVQSVAPPLPSSLHQKQPQQQQQKYRSLSFFLGLIAKLNGNRIEEASLGQINIGKAALFNLCADINEIMQSRNKPCGNIDEIKENAVVQIIQALLVGDTVDQQLDRILRKHGSKALYHNAWDTYIQTDFGNEVSGSKRLPLGEGAPEQLGQLVQSKLPIQSPYTTKSVEVKSGLKLYCPQPGARAPPVHLIPAASHVPKPLGSKMKSAVLIAPM
+>sp|Q6BCY4|NB5R2_HUMAN NADH-cytochrome b5 reductase 2 OS=Homo sapiens OX=9606 GN=CYB5R2 PE=1 SV=1
+MNSRRREPITLQDPEAKYPLPLIEKEKISHNTRRFRFGLPSPDHVLGLPVGNYVQLLAKIDNELVVRAYTPVSSDDDRGFVDLIIKIYFKNVHPQYPEGGKMTQYLENMKIGETIFFRGPRGRLFYHGPGNLGIRPDQTSEPKKTLADHLGMIAGGTGITPMLQLIRHITKDPSDRTRMSLIFANQTEEDILVRKELEEIARTHPDQFNLWYTLDRPPIGWKYSSGFVTADMIKEHLPPPAKSTLILVCGPPPLIQTAAHPNLEKLGYTQDMIFTY
+>DECOY_sp|Q6BCY4|NB5R2_HUMAN NADH-cytochrome b5 reductase 2 OS=Homo sapiens OX=9606 GN=CYB5R2 PE=1 SV=1
+YTFIMDQTYGLKELNPHAATQILPPPGCVLILTSKAPPPLHEKIMDATVFGSSYKWGIPPRDLTYWLNFQDPHTRAIEELEKRVLIDEETQNAFILSMRTRDSPDKTIHRILQLMPTIGTGGAIMGLHDALTKKPESTQDPRIGLNGPGHYFLRGRPGRFFITEGIKMNELYQTMKGGEPYQPHVNKFYIKIILDVFGRDDDSSVPTYARVVLENDIKALLQVYNGVPLGLVHDPSPLGFRFRRTNHSIKEKEILPLPYKAEPDQLTIPERRRSNM
+>sp|A0A0U1RRE5|NBDY_HUMAN Negative regulator of P-body association OS=Homo sapiens OX=9606 GN=NBDY PE=1 SV=1
+MGDQPCASGRSTLPPGNAREAKPPKKRCLLAPRWDYPEGTPNGGSTTLPSAPPPASAGLKSHPPPPEK
+>DECOY_sp|A0A0U1RRE5|NBDY_HUMAN Negative regulator of P-body association OS=Homo sapiens OX=9606 GN=NBDY PE=1 SV=1
+KEPPPPHSKLGASAPPPASPLTTSGGNPTGEPYDWRPALLCRKKPPKAERANGPPLTSRGSACPQDGM
+>sp|O15453|NBR2_HUMAN Next to BRCA1 gene 2 protein OS=Homo sapiens OX=9606 GN=NBR2 PE=2 SV=1
+MWKGGRSHPFLPCSSRRAGSGGQLDSILPHQSPAWGPWGCKDLSSGVPSFLTSSILWKSAVFAEDNGLKIHLCSYKRDDLVLFYDCTSFVLTFGPSPWFLTQGFLNPLEFSA
+>DECOY_sp|O15453|NBR2_HUMAN Next to BRCA1 gene 2 protein OS=Homo sapiens OX=9606 GN=NBR2 PE=2 SV=1
+ASFELPNLFGQTLFWPSPGFTLVFSTCDYFLVLDDRKYSCLHIKLGNDEAFVASKWLISSTLFSPVGSSLDKCGWPGWAPSQHPLISDLQGGSGARRSSCPLFPHSRGGKWM
+>sp|Q8NCQ3|NC301_HUMAN Putative uncharacterized protein encoded by LINC00301 OS=Homo sapiens OX=9606 GN=LINC00301 PE=5 SV=1
+MPSSHIVLKEETRMLGLMYAIWMNLNSFGLAIIGILLIACEIILFLTKDETIQWPHVPSNRGSKANLILKELQLLVRSTWWFHRETAQRTCLYLA
+>DECOY_sp|Q8NCQ3|NC301_HUMAN Putative uncharacterized protein encoded by LINC00301 OS=Homo sapiens OX=9606 GN=LINC00301 PE=5 SV=1
+ALYLCTRQATERHFWWTSRVLLQLEKLILNAKSGRNSPVHPWQITEDKTLFLIIECAILLIGIIALGFSNLNMWIAYMLGLMRTEEKLVIHSSPM
+>sp|Q9HD90|NDF4_HUMAN Neurogenic differentiation factor 4 OS=Homo sapiens OX=9606 GN=NEUROD4 PE=1 SV=2
+MSKTFVKSKEMGELVNTPSWMDKGLGSQNEVKEEESRPGTYGMLSSLTEEHDSIEEEEEEEEDGEKPKRRGPKKKKMTKARLERFRARRVKANARERTRMHGLNDALDNLRRVMPCYSKTQKLSKIETLRLARNYIWALSEVLETGQTPEGKGFVEMLCKGLSQPTSNLVAGCLQLGPQSVLLEKHEDKSPICDSAISVHNFNYQSPGLPSPPYGHMETHLLHLKPQVFKSLGESSFGSHLPDCSTPPYEGPLTPPLSISGNFSLKQDGSPDLEKSYSFMPHYPSSSLSSGHVHSTPFQAGTPRYDVPIDMSYDSYPHHGIGTQLNTVFTE
+>DECOY_sp|Q9HD90|NDF4_HUMAN Neurogenic differentiation factor 4 OS=Homo sapiens OX=9606 GN=NEUROD4 PE=1 SV=2
+ETFVTNLQTGIGHHPYSDYSMDIPVDYRPTGAQFPTSHVHGSSLSSSPYHPMFSYSKELDPSGDQKLSFNGSISLPPTLPGEYPPTSCDPLHSGFSSEGLSKFVQPKLHLLHTEMHGYPPSPLGPSQYNFNHVSIASDCIPSKDEHKELLVSQPGLQLCGAVLNSTPQSLGKCLMEVFGKGEPTQGTELVESLAWIYNRALRLTEIKSLKQTKSYCPMVRRLNDLADNLGHMRTRERANAKVRRARFRELRAKTMKKKKPGRRKPKEGDEEEEEEEEISDHEETLSSLMGYTGPRSEEEKVENQSGLGKDMWSPTNVLEGMEKSKVFTKSM
+>sp|Q9Y5B8|NDK7_HUMAN Nucleoside diphosphate kinase 7 OS=Homo sapiens OX=9606 GN=NME7 PE=1 SV=1
+MNHSERFVFIAEWYDPNASLLRRYELLFYPGDGSVEMHDVKNHRTFLKRTKYDNLHLEDLFIGNKVNVFSRQLVLIDYGDQYTARQLGSRKEKTLALIKPDAISKAGEIIEIINKAGFTITKLKMMMLSRKEALDFHVDHQSRPFFNELIQFITTGPIIAMEILRDDAICEWKRLLGPANSGVARTDASESIRALFGTDGIRNAAHGPDSFASAAREMELFFPSSGGCGPANTAKFTNCTCCIVKPHAVSEGLLGKILMAIRDAGFEISAMQMFNMDRVNVEEFYEVYKGVVTEYHDMVTEMYSGPCVAMEIQQNNATKTFREFCGPADPEIARHLRPGTLRAIFGKTKIQNAVHCTDLPEDGLLEVQYFFKILDN
+>DECOY_sp|Q9Y5B8|NDK7_HUMAN Nucleoside diphosphate kinase 7 OS=Homo sapiens OX=9606 GN=NME7 PE=1 SV=1
+NDLIKFFYQVELLGDEPLDTCHVANQIKTKGFIARLTGPRLHRAIEPDAPGCFERFTKTANNQQIEMAVCPGSYMETVMDHYETVVGKYVEYFEEVNVRDMNFMQMASIEFGADRIAMLIKGLLGESVAHPKVICCTCNTFKATNAPGCGGSSPFFLEMERAASAFSDPGHAANRIGDTGFLARISESADTRAVGSNAPGLLRKWECIADDRLIEMAIIPGTTIFQILENFFPRSQHDVHFDLAEKRSLMMMKLKTITFGAKNIIEIIEGAKSIADPKILALTKEKRSGLQRATYQDGYDILVLQRSFVNVKNGIFLDELHLNDYKTRKLFTRHNKVDHMEVSGDGPYFLLEYRRLLSANPDYWEAIFVFRESHNM
+>sp|Q15784|NDF2_HUMAN Neurogenic differentiation factor 2 OS=Homo sapiens OX=9606 GN=NEUROD2 PE=2 SV=2
+MLTRLFSEPGLLSDVPKFASWGDGEDDEPRSDKGDAPPPPPPAPGPGAPGPARAAKPVPLRGEEGTEATLAEVKEEGELGGEEEEEEEEEEGLDEAEGERPKKRGPKKRKMTKARLERSKLRRQKANARERNRMHDLNAALDNLRKVVPCYSKTQKLSKIETLRLAKNYIWALSEILRSGKRPDLVSYVQTLCKGLSQPTTNLVAGCLQLNSRNFLTEQGADGAGRFHGSGGPFAMHPYPYPCSRLAGAQCQAAGGLGGGAAHALRTHGYCAAYETLYAAAGGGGASPDYNSSEYEGPLSPPLCLNGNFSLKQDSSPDHEKSYHYSMHYSALPGSRPTGHGLVFGSSAVRGGVHSENLLSYDMHLHHDRGPMYEELNAFFHN
+>DECOY_sp|Q15784|NDF2_HUMAN Neurogenic differentiation factor 2 OS=Homo sapiens OX=9606 GN=NEUROD2 PE=2 SV=2
+NHFFANLEEYMPGRDHHLHMDYSLLNESHVGGRVASSGFVLGHGTPRSGPLASYHMSYHYSKEHDPSSDQKLSFNGNLCLPPSLPGEYESSNYDPSAGGGGAAAYLTEYAACYGHTRLAHAAGGGLGGAAQCQAGALRSCPYPYPHMAFPGGSGHFRGAGDAGQETLFNRSNLQLCGAVLNTTPQSLGKCLTQVYSVLDPRKGSRLIESLAWIYNKALRLTEIKSLKQTKSYCPVVKRLNDLAANLDHMRNRERANAKQRRLKSRELRAKTMKRKKPGRKKPREGEAEDLGEEEEEEEEEEGGLEGEEKVEALTAETGEEGRLPVPKAARAPGPAGPGPAPPPPPPADGKDSRPEDDEGDGWSAFKPVDSLLGPESFLRTLM
+>sp|Q9UHB4|NDOR1_HUMAN NADPH-dependent diflavin oxidoreductase 1 OS=Homo sapiens OX=9606 GN=NDOR1 PE=1 SV=1
+MPSPQLLVLFGSQTGTAQDVSERLGREARRRRLGCRVQALDSYPVVNLINEPLVIFVCATTGQGDPPDNMKNFWRFIFRKNLPSTALCQMDFAVLGLGDSSYAKFNFVAKKLHRRLLQLGGSALLPVCLGDDQHELGPDAAVDPWLRDLWDRVLGLYPPPPGLTEIPPGVPLPSKFTLLFLQEAPSTGSEGQRVAHPGSQEPPSESKPFLAPMISNQRVTGPSHFQDVRLIEFDILGSGISFAAGDVVLIQPSNSAAHVQRFCQVLGLDPDQLFMLQPREPDVSSPTRLPQPCSMRHLVSHYLDIASVPRRSFFELLACLSLHELEREKLLEFSSAQGQEELFEYCNRPRRTILEVLCDFPHTAAAIPPDYLLDLIPVIRPRAFSIASSLLTHPSRLQILVAVVQFQTRLKEPRRGLCSSWLASLDPGQGPVRVPLWVRPGSLAFPETPDTPVIMVGPGTGVAPFRAAIQERVAQGQTGNFLFFGCRWRDQDFYWEAEWQELEKRDCLTLIPAFSREQEQKVYVQHRLRELGSLVWELLDRQGAYFYLAGNAKSMPADVSEALMSIFQEEGGLCSPDAAAYLARLQQTRRFQTETWA
+>DECOY_sp|Q9UHB4|NDOR1_HUMAN NADPH-dependent diflavin oxidoreductase 1 OS=Homo sapiens OX=9606 GN=NDOR1 PE=1 SV=1
+AWTETQFRRTQQLRALYAAADPSCLGGEEQFISMLAESVDAPMSKANGALYFYAGQRDLLEWVLSGLERLRHQVYVKQEQERSFAPILTLCDRKELEQWEAEWYFDQDRWRCGFFLFNGTQGQAVREQIAARFPAVGTGPGVMIVPTDPTEPFALSGPRVWLPVRVPGQGPDLSALWSSCLGRRPEKLRTQFQVVAVLIQLRSPHTLLSSAISFARPRIVPILDLLYDPPIAAATHPFDCLVELITRRPRNCYEFLEEQGQASSFELLKERELEHLSLCALLEFFSRRPVSAIDLYHSVLHRMSCPQPLRTPSSVDPERPQLMFLQDPDLGLVQCFRQVHAASNSPQILVVDGAAFSIGSGLIDFEILRVDQFHSPGTVRQNSIMPALFPKSESPPEQSGPHAVRQGESGTSPAEQLFLLTFKSPLPVGPPIETLGPPPPYLGLVRDWLDRLWPDVAADPGLEHQDDGLCVPLLASGGLQLLRRHLKKAVFNFKAYSSDGLGLVAFDMQCLATSPLNKRFIFRWFNKMNDPPDGQGTTACVFIVLPENILNVVPYSDLAQVRCGLRRRRAERGLRESVDQATGTQSGFLVLLQPSPM
+>sp|Q00604|NDP_HUMAN Norrin OS=Homo sapiens OX=9606 GN=NDP PE=1 SV=1
+MRKHVLAASFSMLSLLVIMGDTDSKTDSSFIMDSDPRRCMRHHYVDSISHPLYKCSSKMVLLARCEGHCSQASRSEPLVSFSTVLKQPFRSSCHCCRPQTSKLKALRLRCSGGMRLTATYRYILSCHCEECNS
+>DECOY_sp|Q00604|NDP_HUMAN Norrin OS=Homo sapiens OX=9606 GN=NDP PE=1 SV=1
+SNCEECHCSLIYRYTATLRMGGSCRLRLAKLKSTQPRCCHCSSRFPQKLVTSFSVLPESRSAQSCHGECRALLVMKSSCKYLPHSISDVYHHRMCRRPDSDMIFSSDTKSDTDGMIVLLSLMSFSAALVHKRM
+>sp|Q9H3R1|NDST4_HUMAN Bifunctional heparan sulfate N-deacetylase/N-sulfotransferase 4 OS=Homo sapiens OX=9606 GN=NDST4 PE=2 SV=1
+MNLIVKLRRSFRTLIVLLATFCLVSIVISAYFLYSGYKQEMTLIETTAEAECTDIKILPYRSMELKTVKPIDTSKTDPTVLLFVESQYSQLGQDIIAILESSRFQYHMVIAPGKGDIPPLTDNGKGKYTLVIYENILKYVSMDSWNRELLEKYCVEYSVSIIGFHKANENSLPSTQLKGFPLNLFNNLALKDCFVNPQSPLLHITKAPKVEKGPLPGEDWTIFQYNHSTYQPVLLTELQTEKSLSSLSSKTLFATVIQDLGLHDGIQRVLFGNNLNFWLHKLIFIDAISFLSGKRLTLSLDRYILVDIDDIFVGKEGTRMNVKDVKALLETQNLLRTQVANFTFNLGFSGKFYHTGTEEEDEGDDLLLRSVDEFWWFPHMWSHMQPHLFHNESSLVEQMILNKEFALEHGIPINMGYAVAPHHSGVYPVHIQLYAAWKKVWGIQVTSTEEYPHLKPARYRKGFIHNSIMVLPRQTCGLFTHTIFYKEYPGGPQELDKSIRGGELFLTILLNPISIFMTHLSNYGNDRLGLYTFVNLVNFVQSWTNLKLQTLPPVQLAHQYFELFPEQKDPLWQNPCDDKRHKDIWSREKTCDHLPKFLVIGPQKTGTTALYLFLLMHPSIISNLPSPKTFEEVQFFNGNNYHKGIDWYMDFFPTPSNTTSDFLFEKSANYFHSEEAPRRAASLVPKAKIITILIDPSDRAYSWYQHQRSHEDPAALRFNFYEVISTGHWAPSDLKTLQRRCLVPGWYAVHIERWLTYFATSQLLIIDGQQLRSDPATVMDEVQKFLGVTPRYNYSEALTFDPQKGFWCQLLEGGKTKCLGKSKGRKYPPMDPESRTFLSNYYRDHNVELSKLLHRLGQPLPSWLRQELQKVR
+>DECOY_sp|Q9H3R1|NDST4_HUMAN Bifunctional heparan sulfate N-deacetylase/N-sulfotransferase 4 OS=Homo sapiens OX=9606 GN=NDST4 PE=2 SV=1
+RVKQLEQRLWSPLPQGLRHLLKSLEVNHDRYYNSLFTRSEPDMPPYKRGKSKGLCKTKGGELLQCWFGKQPDFTLAESYNYRPTVGLFKQVEDMVTAPDSRLQQGDIILLQSTAFYTLWREIHVAYWGPVLCRRQLTKLDSPAWHGTSIVEYFNFRLAAPDEHSRQHQYWSYARDSPDILITIIKAKPVLSAARRPAEESHFYNASKEFLFDSTTNSPTPFFDMYWDIGKHYNNGNFFQVEEFTKPSPLNSIISPHMLLFLYLATTGTKQPGIVLFKPLHDCTKERSWIDKHRKDDCPNQWLPDKQEPFLEFYQHALQVPPLTQLKLNTWSQVFNVLNVFTYLGLRDNGYNSLHTMFISIPNLLITLFLEGGRISKDLEQPGGPYEKYFITHTFLGCTQRPLVMISNHIFGKRYRAPKLHPYEETSTVQIGWVKKWAAYLQIHVPYVGSHHPAVAYGMNIPIGHELAFEKNLIMQEVLSSENHFLHPQMHSWMHPFWWFEDVSRLLLDDGEDEEETGTHYFKGSFGLNFTFNAVQTRLLNQTELLAKVDKVNMRTGEKGVFIDDIDVLIYRDLSLTLRKGSLFSIADIFILKHLWFNLNNGFLVRQIGDHLGLDQIVTAFLTKSSLSSLSKETQLETLLVPQYTSHNYQFITWDEGPLPGKEVKPAKTIHLLPSQPNVFCDKLALNNFLNLPFGKLQTSPLSNENAKHFGIISVSYEVCYKELLERNWSDMSVYKLINEYIVLTYKGKGNDTLPPIDGKGPAIVMHYQFRSSELIAIIDQGLQSYQSEVFLLVTPDTKSTDIPKVTKLEMSRYPLIKIDTCEAEATTEILTMEQKYGSYLFYASIVISVLCFTALLVILTRFSRRLKVILNM
+>sp|P51970|NDUA8_HUMAN NADH dehydrogenase [ubiquinone] 1 alpha subcomplex subunit 8 OS=Homo sapiens OX=9606 GN=NDUFA8 PE=1 SV=3
+MPGIVELPTLEELKVDEVKISSAVLKAAAHHYGAQCDKPNKEFMLCRWEEKDPRRCLEEGKLVNKCALDFFRQIKRHCAEPFTEYWTCIDYTGQQLFRHCRKQQAKFDECVLDKLGWVRPDLGELSKVTKVKTDRPLPENPYHSRPRPDPSPEIEGDLQPATHGSRFYFWTK
+>DECOY_sp|P51970|NDUA8_HUMAN NADH dehydrogenase [ubiquinone] 1 alpha subcomplex subunit 8 OS=Homo sapiens OX=9606 GN=NDUFA8 PE=1 SV=3
+KTWFYFRSGHTAPQLDGEIEPSPDPRPRSHYPNEPLPRDTKVKTVKSLEGLDPRVWGLKDLVCEDFKAQQKRCHRFLQQGTYDICTWYETFPEACHRKIQRFFDLACKNVLKGEELCRRPDKEEWRCLMFEKNPKDCQAGYHHAAAKLVASSIKVEDVKLEELTPLEVIGPM
+>sp|O75438|NDUB1_HUMAN NADH dehydrogenase [ubiquinone] 1 beta subcomplex subunit 1 OS=Homo sapiens OX=9606 GN=NDUFB1 PE=1 SV=1
+MVNLLQIVRDHWVHVLVPMGFVIGCYLDRKSDERLTAFRNKSMLFKRELQPSEEVTWK
+>DECOY_sp|O75438|NDUB1_HUMAN NADH dehydrogenase [ubiquinone] 1 beta subcomplex subunit 1 OS=Homo sapiens OX=9606 GN=NDUFB1 PE=1 SV=1
+KWTVEESPQLERKFLMSKNRFATLREDSKRDLYCGIVFGMPVLVHVWHDRVIQLLNVM
+>sp|O43674|NDUB5_HUMAN NADH dehydrogenase [ubiquinone] 1 beta subcomplex subunit 5, mitochondrial OS=Homo sapiens OX=9606 GN=NDUFB5 PE=1 SV=1
+MAAMSLLRRVSVTAVAALSGRPLGTRLGFGGFLTRGFPKAAAPVRHSGDHGKRLFVIRPSRFYDRRFLKLLRFYIALTGIPVAIFITLVNVFIGQAELAEIPEGYVPEHWEYYKHPISRWIARNFYDSPEKIYERTMAVLQIEAEKAELRVKELEVRKLMHVRGDGPWYYYETIDKELIDHSPKATPDN
+>DECOY_sp|O43674|NDUB5_HUMAN NADH dehydrogenase [ubiquinone] 1 beta subcomplex subunit 5, mitochondrial OS=Homo sapiens OX=9606 GN=NDUFB5 PE=1 SV=1
+NDPTAKPSHDILEKDITEYYYWPGDGRVHMLKRVELEKVRLEAKEAEIQLVAMTREYIKEPSDYFNRAIWRSIPHKYYEWHEPVYGEPIEALEAQGIFVNVLTIFIAVPIGTLAIYFRLLKLFRRDYFRSPRIVFLRKGHDGSHRVPAAAKPFGRTLFGGFGLRTGLPRGSLAAVATVSVRRLLSMAAM
+>sp|Q9Y6M9|NDUB9_HUMAN NADH dehydrogenase [ubiquinone] 1 beta subcomplex subunit 9 OS=Homo sapiens OX=9606 GN=NDUFB9 PE=1 SV=3
+MAFLASGPYLTHQQKVLRLYKRALRHLESWCVQRDKYRYFACLMRARFEEHKNEKDMAKATQLLKEAEEEFWYRQHPQPYIFPDSPGGTSYERYDCYKVPEWCLDDWHPSEKAMYPDYFAKREQWKKLRRESWEREVKQLQEETPPGGPLTEALPPARKEGDLPPLWWYIVTRPRERPM
+>DECOY_sp|Q9Y6M9|NDUB9_HUMAN NADH dehydrogenase [ubiquinone] 1 beta subcomplex subunit 9 OS=Homo sapiens OX=9606 GN=NDUFB9 PE=1 SV=3
+MPRERPRTVIYWWLPPLDGEKRAPPLAETLPGGPPTEEQLQKVEREWSERRLKKWQERKAFYDPYMAKESPHWDDLCWEPVKYCDYREYSTGGPSDPFIYPQPHQRYWFEEEAEKLLQTAKAMDKENKHEEFRARMLCAFYRYKDRQVCWSELHRLARKYLRLVKQQHTLYPGSALFAM
+>sp|O95298|NDUC2_HUMAN NADH dehydrogenase [ubiquinone] 1 subunit C2 OS=Homo sapiens OX=9606 GN=NDUFC2 PE=1 SV=1
+MIARRNPEPLRFLPDEARSLPPPKLTDPRLLYIGFLGYCSGLIDNLIRRRPIATAGLHRQLLYITAFFFAGYYLVKREDYLYAVRDREMFGYMKLHPEDFPEEDKKTYGEIFEKFHPIR
+>DECOY_sp|O95298|NDUC2_HUMAN NADH dehydrogenase [ubiquinone] 1 subunit C2 OS=Homo sapiens OX=9606 GN=NDUFC2 PE=1 SV=1
+RIPHFKEFIEGYTKKDEEPFDEPHLKMYGFMERDRVAYLYDERKVLYYGAFFFATIYLLQRHLGATAIPRRRILNDILGSCYGLFGIYLLRPDTLKPPPLSRAEDPLFRLPEPNRRAIM
+>sp|Q5TEU4|NDUF5_HUMAN Arginine-hydroxylase NDUFAF5, mitochondrial OS=Homo sapiens OX=9606 GN=NDUFAF5 PE=1 SV=1
+MLRPAGLWRLCRRPWAARVPAENLGRREVTSGVSPRGSTSPRTLNIFDRDLKRKQKNWAARQPEPTKFDYLKEEVGSRIADRVYDIPRNFPLALDLGCGRGYIAQYLNKETIGKFFQADIAENALKNSSETEIPTVSVLADEEFLPFKENTFDLVVSSLSLHWVNDLPRALEQIHYILKPDGVFIGAMFGGDTLYELRCSLQLAETEREGGFSPHISPFTAVNDLGHLLGRAGFNTLTVDTDEIQVNYPGMFELMEDLQGMGESNCAWNRKALLHRDTMLAAAAVYREMYRNEDGSVPATYQIYYMIGWKYHESQARPAERGSATVSFGELGKINNLMPPGKKSQ
+>DECOY_sp|Q5TEU4|NDUF5_HUMAN Arginine-hydroxylase NDUFAF5, mitochondrial OS=Homo sapiens OX=9606 GN=NDUFAF5 PE=1 SV=1
+QSKKGPPMLNNIKGLEGFSVTASGREAPRAQSEHYKWGIMYYIQYTAPVSGDENRYMERYVAAAALMTDRHLLAKRNWACNSEGMGQLDEMLEFMGPYNVQIEDTDVTLTNFGARGLLHGLDNVATFPSIHPSFGGERETEALQLSCRLEYLTDGGFMAGIFVGDPKLIYHIQELARPLDNVWHLSLSSVVLDFTNEKFPLFEEDALVSVTPIETESSNKLANEAIDAQFFKGITEKNLYQAIYGRGCGLDLALPFNRPIDYVRDAIRSGVEEKLYDFKTPEPQRAAWNKQKRKLDRDFINLTRPSTSGRPSVGSTVERRGLNEAPVRAAWPRRCLRWLGAPRLM
+>sp|Q7L592|NDUF7_HUMAN Protein arginine methyltransferase NDUFAF7, mitochondrial OS=Homo sapiens OX=9606 GN=NDUFAF7 PE=1 SV=1
+MSVLLRSGLGPLCAVARAAIPFIWRGKYFSSGNEPAENPVTPMLRHLMYKIKSTGPITVAEYMKEVLTNPAKGYYVYRDMLGEKGDFITSPEISQIFGELLGIWFISEWMATGKSTAFQLVELGPGRGTLVGDILRVFTQLGSVLKNCDISVHLVEVSQKLSEIQALTLTKEKVPLERNAGSPVYMKGVTKSGIPISWYRDLHDVPKGYSFYLAHEFFDVLPVHKFQKTPQGWREVFVDIDPQVSDKLRFVLAPSATPAEAFIQHDETRDHVEVCPDAGVIIEELSQRIALTGGAALVADYGHDGTKTDTFRGFCDHKLHDVLIAPGTADLTADVDFSYLRRMAQGKVASLGPIKQHTFLKNMGIDVRLKVLLDKSNEPSVRQQLLQGYDMLMNPKKMGERFNFFALLPHQRLQGGRYQRNARQSKPFASVVAGFSELAWQ
+>DECOY_sp|Q7L592|NDUF7_HUMAN Protein arginine methyltransferase NDUFAF7, mitochondrial OS=Homo sapiens OX=9606 GN=NDUFAF7 PE=1 SV=1
+QWALESFGAVVSAFPKSQRANRQYRGGQLRQHPLLAFFNFREGMKKPNMLMDYGQLLQQRVSPENSKDLLVKLRVDIGMNKLFTHQKIPGLSAVKGQAMRRLYSFDVDATLDATGPAILVDHLKHDCFGRFTDTKTGDHGYDAVLAAGGTLAIRQSLEEIIVGADPCVEVHDRTEDHQIFAEAPTASPALVFRLKDSVQPDIDVFVERWGQPTKQFKHVPLVDFFEHALYFSYGKPVDHLDRYWSIPIGSKTVGKMYVPSGANRELPVKEKTLTLAQIESLKQSVEVLHVSIDCNKLVSGLQTFVRLIDGVLTGRGPGLEVLQFATSKGTAMWESIFWIGLLEGFIQSIEPSTIFDGKEGLMDRYVYYGKAPNTLVEKMYEAVTIPGTSKIKYMLHRLMPTVPNEAPENGSSFYKGRWIFPIAARAVACLPGLGSRLLVSM
+>sp|Q8TAT5|NEIL3_HUMAN Endonuclease 8-like 3 OS=Homo sapiens OX=9606 GN=NEIL3 PE=1 SV=3
+MVEGPGCTLNGEKIRARVLPGQAVTGVRGSALRSLQGRALRLAASTVVVSPQAAALNNDSSQNVLSLFNGYVYSGVETLGKELFMYFGPKALRIHFGMKGFIMINPLEYKYKNGASPVLEVQLTKDLICFFDSSVELRNSMESQQRIRMMKELDVCSPEFSFLRAESEVKKQKGRMLGDVLMDQNVLPGVGNIIKNEALFDSGLHPAVKVCQLTDEQIHHLMKMIRDFSILFYRCRKAGLALSKHYKVYKRPNCGQCHCRITVCRFGDNNRMTYFCPHCQKENPQHVDICKLPTRNTIISWTSSRVDHVMDSVARKSEEHWTCVVCTLINKPSSKACDACLTSRPIDSVLKSEENSTVFSHLMKYPCNTFGKPHTEVKINRKTAFGTTTLVLTDFSNKSSTLERKTKQNQILDEEFQNSPPASVCLNDIQHPSKKTTNDITQPSSKVNISPTISSESKLFSPAHKKPKTAQYSSPELKSCNPGYSNSELQINMTDGPRTLNPDSPRCSKHNRLCILRVVGKDGENKGRQFYACPLPREAQCGFFEWADLSFPFCNHGKRSTMKTVLKIGPNNGKNFFVCPLGKEKQCNFFQWAENGPGIKIIPGC
+>DECOY_sp|Q8TAT5|NEIL3_HUMAN Endonuclease 8-like 3 OS=Homo sapiens OX=9606 GN=NEIL3 PE=1 SV=3
+CGPIIKIGPGNEAWQFFNCQKEKGLPCVFFNKGNNPGIKLVTKMTSRKGHNCFPFSLDAWEFFGCQAERPLPCAYFQRGKNEGDKGVVRLICLRNHKSCRPSDPNLTRPGDTMNIQLESNSYGPNCSKLEPSSYQATKPKKHAPSFLKSESSITPSINVKSSPQTIDNTTKKSPHQIDNLCVSAPPSNQFEEDLIQNQKTKRELTSSKNSFDTLVLTTTGFATKRNIKVETHPKGFTNCPYKMLHSFVTSNEESKLVSDIPRSTLCADCAKSSPKNILTCVVCTWHEESKRAVSDMVHDVRSSTWSIITNRTPLKCIDVHQPNEKQCHPCFYTMRNNDGFRCVTIRCHCQGCNPRKYVKYHKSLALGAKRCRYFLISFDRIMKMLHHIQEDTLQCVKVAPHLGSDFLAENKIINGVGPLVNQDMLVDGLMRGKQKKVESEARLFSFEPSCVDLEKMMRIRQQSEMSNRLEVSSDFFCILDKTLQVELVPSAGNKYKYELPNIMIFGKMGFHIRLAKPGFYMFLEKGLTEVGSYVYGNFLSLVNQSSDNNLAAAQPSVVVTSAALRLARGQLSRLASGRVGTVAQGPLVRARIKEGNLTCGPGEVM
+>sp|Q9HC98|NEK6_HUMAN Serine/threonine-protein kinase Nek6 OS=Homo sapiens OX=9606 GN=NEK6 PE=1 SV=2
+MAGQPGHMPHGGSSNNLCHTLGPVHPPDPQRHPNTLSFRCSLADFQIEKKIGRGQFSEVYKATCLLDRKTVALKKVQIFEMMDAKARQDCVKEIGLLKQLNHPNIIKYLDSFIEDNELNIVLELADAGDLSQMIKYFKKQKRLIPERTVWKYFVQLCSAVEHMHSRRVMHRDIKPANVFITATGVVKLGDLGLGRFFSSETTAAHSLVGTPYYMSPERIHENGYNFKSDIWSLGCLLYEMAALQSPFYGDKMNLFSLCQKIEQCDYPPLPGEHYSEKLRELVSMCICPDPHQRPDIGYVHQVAKQMHIWMSST
+>DECOY_sp|Q9HC98|NEK6_HUMAN Serine/threonine-protein kinase Nek6 OS=Homo sapiens OX=9606 GN=NEK6 PE=1 SV=2
+TSSMWIHMQKAVQHVYGIDPRQHPDPCICMSVLERLKESYHEGPLPPYDCQEIKQCLSFLNMKDGYFPSQLAAMEYLLCGLSWIDSKFNYGNEHIREPSMYYPTGVLSHAATTESSFFRGLGLDGLKVVGTATIFVNAPKIDRHMVRRSHMHEVASCLQVFYKWVTREPILRKQKKFYKIMQSLDGADALELVINLENDEIFSDLYKIINPHNLQKLLGIEKVCDQRAKADMMEFIQVKKLAVTKRDLLCTAKYVESFQGRGIKKEIQFDALSCRFSLTNPHRQPDPPHVPGLTHCLNNSSGGHPMHGPQGAM
+>sp|Q92832|NELL1_HUMAN Protein kinase C-binding protein NELL1 OS=Homo sapiens OX=9606 GN=NELL1 PE=1 SV=4
+MPMDLILVVWFCVCTARTVVGFGMDPDLQMDIVTELDLVNTTLGVAQVSGMHNASKAFLFQDIEREIHAAPHVSEKLIQLFRNKSEFTILATVQQKPSTSGVILSIRELEHSYFELESSGLRDEIRYHYIHNGKPRTEALPYRMADGQWHKVALSVSASHLLLHVDCNRIYERVIDPPDTNLPPGINLWLGQRNQKHGLFKGIIQDGKIIFMPNGYITQCPNLNHTCPTCSDFLSLVQGIMDLQELLAKMTAKLNYAETRLSQLENCHCEKTCQVSGLLYRDQDSWVDGDHCRNCTCKSGAVECRRMSCPPLNCSPDSLPVHIAGQCCKVCRPKCIYGGKVLAEGQRILTKSCRECRGGVLVKITEMCPPLNCSEKDHILPENQCCRVCRGHNFCAEGPKCGENSECKNWNTKATCECKSGYISVQGDSAYCEDIDECAAKMHYCHANTVCVNLPGLYRCDCVPGYIRVDDFSCTEHDECGSGQHNCDENAICTNTVQGHSCTCKPGYVGNGTICRAFCEEGCRYGGTCVAPNKCVCPSGFTGSHCEKDIDECSEGIIECHNHSRCVNLPGWYHCECRSGFHDDGTYSLSGESCIDIDECALRTHTCWNDSACINLAGGFDCLCPSGPSCSGDCPHEGGLKHNGQVWTLKEDRCSVCSCKDGKIFCRRTACDCQNPSADLFCCPECDTRVTSQCLDQNGHKLYRSGDNWTHSCQQCRCLEGEVDCWPLTCPNLSCEYTAILEGECCPRCVSDPCLADNITYDIRKTCLDSYGVSRLSGSVWTMAGSPCTTCKCKNGRVCCSVDFECLQNN
+>DECOY_sp|Q92832|NELL1_HUMAN Protein kinase C-binding protein NELL1 OS=Homo sapiens OX=9606 GN=NELL1 PE=1 SV=4
+NNQLCEFDVSCCVRGNKCKCTTCPSGAMTWVSGSLRSVGYSDLCTKRIDYTINDALCPDSVCRPCCEGELIATYECSLNPCTLPWCDVEGELCRCQQCSHTWNDGSRYLKHGNQDLCQSTVRTDCEPCCFLDASPNQCDCATRRCFIKGDKCSCVSCRDEKLTWVQGNHKLGGEHPCDGSCSPGSPCLCDFGGALNICASDNWCTHTRLACEDIDICSEGSLSYTGDDHFGSRCECHYWGPLNVCRSHNHCEIIGESCEDIDKECHSGTFGSPCVCKNPAVCTGGYRCGEECFARCITGNGVYGPKCTCSHGQVTNTCIANEDCNHQGSGCEDHETCSFDDVRIYGPVCDCRYLGPLNVCVTNAHCYHMKAACEDIDECYASDGQVSIYGSKCECTAKTNWNKCESNEGCKPGEACFNHGRCVRCCQNEPLIHDKESCNLPPCMETIKVLVGGRCERCSKTLIRQGEALVKGGYICKPRCVKCCQGAIHVPLSDPSCNLPPCSMRRCEVAGSKCTCNRCHDGDVWSDQDRYLLGSVQCTKECHCNELQSLRTEAYNLKATMKALLEQLDMIGQVLSLFDSCTPCTHNLNPCQTIYGNPMFIIKGDQIIGKFLGHKQNRQGLWLNIGPPLNTDPPDIVREYIRNCDVHLLLHSASVSLAVKHWQGDAMRYPLAETRPKGNHIYHYRIEDRLGSSELEFYSHELERISLIVGSTSPKQQVTALITFESKNRFLQILKESVHPAAHIEREIDQFLFAKSANHMGSVQAVGLTTNVLDLETVIDMQLDPDMGFGVVTRATCVCFWVVLILDMPM
+>sp|Q6NW34|NEPRO_HUMAN Nucleolus and neural progenitor protein OS=Homo sapiens OX=9606 GN=NEPRO PE=1 SV=3
+MMAAVPPGLEPWNRVRIPKAGNRSAVTVQNPGAALDLCIAAVIKECHLVILSLKSQTLDAETDVLCAVLYSNHNRMGRHKPHLALKQVEQCLKRLKNMNLEGSIQDLFELFSSNENQPLTTKVCVVPSQPVVELVLMKVLGACKLLLRLLDCCCKTFLLTVKHLGLQEFIILNLVMVGLVSRLWVLYKGVLKRLILLYEPLFGLLQEVARIQPMPYFKDFTFPSDITEFLGQPYFEAFKKKMPIAFAAKGINKLLNKLFLINEQSPRASEETLLGISKKAKQMKINVQNNVDLGQPVKNKRVFKEESSEFDVRAFCNQLKHKATQETSFDFKCSQSRLKTTKYSSQKVIGTPHAKSFVQRFREAESFTQLSEEIQMAVVWCRSKKLKAQAIFLGNKLLKSNRLKHLEAQGTSLPKKLECIKTSICNHLLRGSGIKTSKHHLRQRRSQNKFLRRQRKPQRKLQSTLLREIQQFSQGTRKSATDTSAKWRLSHCTVHRTDLYPNSKQLLNSGVSMPVIQTKEKMIHENLRGIHENETDSWTVMQINKNSTSGTIKETDDIDDIFALMGV
+>DECOY_sp|Q6NW34|NEPRO_HUMAN Nucleolus and neural progenitor protein OS=Homo sapiens OX=9606 GN=NEPRO PE=1 SV=3
+VGMLAFIDDIDDTEKITGSTSNKNIQMVTWSDTENEHIGRLNEHIMKEKTQIVPMSVGSNLLQKSNPYLDTRHVTCHSLRWKASTDTASKRTGQSFQQIERLLTSQLKRQPKRQRRLFKNQSRRQRLHHKSTKIGSGRLLHNCISTKICELKKPLSTGQAELHKLRNSKLLKNGLFIAQAKLKKSRCWVVAMQIEESLQTFSEAERFRQVFSKAHPTGIVKQSSYKTTKLRSQSCKFDFSTEQTAKHKLQNCFARVDFESSEEKFVRKNKVPQGLDVNNQVNIKMQKAKKSIGLLTEESARPSQENILFLKNLLKNIGKAAFAIPMKKKFAEFYPQGLFETIDSPFTFDKFYPMPQIRAVEQLLGFLPEYLLILRKLVGKYLVWLRSVLGVMVLNLIIFEQLGLHKVTLLFTKCCCDLLRLLLKCAGLVKMLVLEVVPQSPVVCVKTTLPQNENSSFLEFLDQISGELNMNKLRKLCQEVQKLALHPKHRGMRNHNSYLVACLVDTEADLTQSKLSLIVLHCEKIVAAICLDLAAGPNQVTVASRNGAKPIRVRNWPELGPPVAAMM
+>sp|P08473|NEP_HUMAN Neprilysin OS=Homo sapiens OX=9606 GN=MME PE=1 SV=2
+MGKSESQMDITDINTPKPKKKQRWTPLEISLSVLVLLLTIIAVTMIALYATYDDGICKSSDCIKSAARLIQNMDATTEPCTDFFKYACGGWLKRNVIPETSSRYGNFDILRDELEVVLKDVLQEPKTEDIVAVQKAKALYRSCINESAIDSRGGEPLLKLLPDIYGWPVATENWEQKYGASWTAEKAIAQLNSKYGKKVLINLFVGTDDKNSVNHVIHIDQPRLGLPSRDYYECTGIYKEACTAYVDFMISVARLIRQEERLPIDENQLALEMNKVMELEKEIANATAKPEDRNDPMLLYNKMTLAQIQNNFSLEINGKPFSWLNFTNEIMSTVNISITNEEDVVVYAPEYLTKLKPILTKYSARDLQNLMSWRFIMDLVSSLSRTYKESRNAFRKALYGTTSETATWRRCANYVNGNMENAVGRLYVEAAFAGESKHVVEDLIAQIREVFIQTLDDLTWMDAETKKRAEEKALAIKERIGYPDDIVSNDNKLNNEYLELNYKEDEYFENIIQNLKFSQSKQLKKLREKVDKDEWISGAAVVNAFYSSGRNQIVFPAGILQPPFFSAQQSNSLNYGGIGMVIGHEITHGFDDNGRNFNKDGDLVDWWTQQSASNFKEQSQCMVYQYGNFSWDLAGGQHLNGINTLGENIADNGGLGQAYRAYQNYIKKNGEEKLLPGLDLNHKQLFFLNFAQVWCGTYRPEYAVNSIKTDVHSPGNFRIIGTLQNSAEFSEAFHCRKNSYMNPEKKCRVW
+>DECOY_sp|P08473|NEP_HUMAN Neprilysin OS=Homo sapiens OX=9606 GN=MME PE=1 SV=2
+WVRCKKEPNMYSNKRCHFAESFEASNQLTGIIRFNGPSHVDTKISNVAYEPRYTGCWVQAFNLFFLQKHNLDLGPLLKEEGNKKIYNQYARYAQGLGGNDAINEGLTNIGNLHQGGALDWSFNGYQYVMCQSQEKFNSASQQTWWDVLDGDKNFNRGNDDFGHTIEHGIVMGIGGYNLSNSQQASFFPPQLIGAPFVIQNRGSSYFANVVAAGSIWEDKDVKERLKKLQKSQSFKLNQIINEFYEDEKYNLELYENNLKNDNSVIDDPYGIREKIALAKEEARKKTEADMWTLDDLTQIFVERIQAILDEVVHKSEGAFAAEVYLRGVANEMNGNVYNACRRWTATESTTGYLAKRFANRSEKYTRSLSSVLDMIFRWSMLNQLDRASYKTLIPKLKTLYEPAYVVVDEENTISINVTSMIENTFNLWSFPKGNIELSFNNQIQALTMKNYLLMPDNRDEPKATANAIEKELEMVKNMELALQNEDIPLREEQRILRAVSIMFDVYATCAEKYIGTCEYYDRSPLGLRPQDIHIVHNVSNKDDTGVFLNILVKKGYKSNLQAIAKEATWSAGYKQEWNETAVPWGYIDPLLKLLPEGGRSDIASENICSRYLAKAKQVAVIDETKPEQLVDKLVVELEDRLIDFNGYRSSTEPIVNRKLWGGCAYKFFDTCPETTADMNQILRAASKICDSSKCIGDDYTAYLAIMTVAIITLLLVLVSLSIELPTWRQKKKPKPTNIDTIDMQSESKGM
+>sp|P48681|NEST_HUMAN Nestin OS=Homo sapiens OX=9606 GN=NES PE=1 SV=2
+MEGCMGEESFQMWELNRRLEAYLARVKALEEQNELLSAELGGLRAQSADTSWRAHADDELAALRALVDQRWREKHAAEVARDNLAEELEGVAGRCQQLRLARERTTEEVARNRRAVEAEKCARAWLSSQVAELERELEALRVAHEEERVGLNAQAACAPRCPAPPRGPPAPAPEVEELARRLGEAWRGAVRGYQERVAHMETSLGQARERLGRAVQGAREGRLELQQLQAERGGLLERRAALEQRLEGRWQERLRATEKFQLAVEALEQEKQGLQSQIAQVLEGRQQLAHLKMSLSLEVATYRTLLEAENSRLQTPGGGSKTSLSFQDPKLELQFPRTPEGRRLGSLLPVLSPTSLPSPLPATLETPVPAFLKNQEFLQARTPTLASTPIPPTPQAPSPAVDAEIRAQDAPLSLLQTQGGRKQAPEPLRAEARVAIPASVLPGPEEPGGQRQEASTGQSPEDHASLAPPLSPDHSSLEAKDGESGGSRVFSICRGEGEGQIWGLVEKETAIEGKVVSSLQQEIWEEEDLNRKEIQDSQVPLEKETLKSLGEEIQESLKTLENQSHETLERENQECPRSLEEDLETLKSLEKENKELLKDVEVVRPLEKEAVGQLKPTGKEDTQTLQSLQKENQELMKSLEGNLETFLFPGTENQELVSSLQENLESLTALEKENQEPLRSPEVGDEEALRPLTKENQEPLRSLEDENKEAFRSLEKENQEPLKTLEEEDQSIVRPLETENHKSLRSLEEQDQETLRTLEKETQQRRRSLGEQDQMTLRPPEKVDLEPLKSLDQEIARPLENENQEFLKSLKEESVEAVKSLETEILESLKSAGQENLETLKSPETQAPLWTPEEINQGAMNPLEKEIQEPLESVEVNQETFRLLEEENQESLRSLGAWNLENLRSPEEVDKESQRNLEEEENLGKGEYQESLRSLEEEGQELPQSADVQRWEDTVEKDQELAQESPPGMAGVENEDEAELNLREQDGFTGKEEVVEQGELNATEEVWIPGEGHPESPEPKEQRGLVEGASVKGGAEGLQDPEGQSQQVGAPGLQAPQGLPEAIEPLVEDDVAPGGDQASPEVMLGSEPAMGESAAGAEPGPGQGVGGLGDPGHLTREEVMEPPLEEESLEAKRVQGLEGPRKDLEEAGGLGTEFSELPGKSRDPWEPPREGREESEAEAPRGAEEAFPAETLGHTGSDAPSPWPLGSEEAEEDVPPVLVSPSPTYTPILEDAPGPQPQAEGSQEASWGVQGRAEALGKVESEQEELGSGEIPEGPQEEGEESREESEEDELGETLPDSTPLGFYLRSPTSPRWDPTGEQRPPPQGETGKEGWDPAVLASEGLEAPPSEKEEGEEGEEECGRDSDLSEEFEDLGTEAPFLPGVPGEVAEPLGQVPQLLLDPAAWDRDGESDGFADEEESGEEGEEDQEEGREPGAGRWGPGSSVGSLQALSSSQRGEFLESDSVSVSVPWDDSLRGAVAGAPKTALETESQDSAEPSGSEEESDPVSLEREDKVPGPLEIPSGMEDAGPGADIIGVNGQGPNLEGKSQHVNGGVMNGLEQSEEVGQGMPLVSEGDRGSPFQEEEGSALKTSWAGAPVHLGQGQFLKFTQREGDRESWSSGED
+>DECOY_sp|P48681|NEST_HUMAN Nestin OS=Homo sapiens OX=9606 GN=NES PE=1 SV=2
+DEGSSWSERDGERQTFKLFQGQGLHVPAGAWSTKLASGEEEQFPSGRDGESVLPMGQGVEESQELGNMVGGNVHQSKGELNPGQGNVGIIDAGPGADEMGSPIELPGPVKDERELSVPDSEEESGSPEASDQSETELATKPAGAVAGRLSDDWPVSVSVSDSELFEGRQSSSLAQLSGVSSGPGWRGAGPERGEEQDEEGEEGSEEEDAFGDSEGDRDWAAPDLLLQPVQGLPEAVEGPVGPLFPAETGLDEFEESLDSDRGCEEEGEEGEEKESPPAELGESALVAPDWGEKGTEGQPPPRQEGTPDWRPSTPSRLYFGLPTSDPLTEGLEDEESEERSEEGEEQPGEPIEGSGLEEQESEVKGLAEARGQVGWSAEQSGEAQPQPGPADELIPTYTPSPSVLVPPVDEEAEESGLPWPSPADSGTHGLTEAPFAEEAGRPAEAESEERGERPPEWPDRSKGPLESFETGLGGAEELDKRPGELGQVRKAELSEEELPPEMVEERTLHGPDGLGGVGQGPGPEAGAASEGMAPESGLMVEPSAQDGGPAVDDEVLPEIAEPLGQPAQLGPAGVQQSQGEPDQLGEAGGKVSAGEVLGRQEKPEPSEPHGEGPIWVEETANLEGQEVVEEKGTFGDQERLNLEAEDENEVGAMGPPSEQALEQDKEVTDEWRQVDASQPLEQGEEELSRLSEQYEGKGLNEEEELNRQSEKDVEEPSRLNELNWAGLSRLSEQNEEELLRFTEQNVEVSELPEQIEKELPNMAGQNIEEPTWLPAQTEPSKLTELNEQGASKLSELIETELSKVAEVSEEKLSKLFEQNENELPRAIEQDLSKLPELDVKEPPRLTMQDQEGLSRRRQQTEKELTRLTEQDQEELSRLSKHNETELPRVISQDEEELTKLPEQNEKELSRFAEKNEDELSRLPEQNEKTLPRLAEEDGVEPSRLPEQNEKELATLSELNEQLSSVLEQNETGPFLFTELNGELSKMLEQNEKQLSQLTQTDEKGTPKLQGVAEKELPRVVEVDKLLEKNEKELSKLTELDEELSRPCEQNERELTEHSQNELTKLSEQIEEGLSKLTEKELPVQSDQIEKRNLDEEEWIEQQLSSVVKGEIATEKEVLGWIQGEGEGRCISFVRSGGSEGDKAELSSHDPSLPPALSAHDEPSQGTSAEQRQGGPEEPGPLVSAPIAVRAEARLPEPAQKRGGQTQLLSLPADQARIEADVAPSPAQPTPPIPTSALTPTRAQLFEQNKLFAPVPTELTAPLPSPLSTPSLVPLLSGLRRGEPTRPFQLELKPDQFSLSTKSGGGPTQLRSNEAELLTRYTAVELSLSMKLHALQQRGELVQAIQSQLGQKEQELAEVALQFKETARLREQWRGELRQELAARRELLGGREAQLQQLELRGERAGQVARGLRERAQGLSTEMHAVREQYGRVAGRWAEGLRRALEEVEPAPAPPGRPPAPCRPACAAQANLGVREEEHAVRLAELERELEAVQSSLWARACKEAEVARRNRAVEETTRERALRLQQCRGAVGELEEALNDRAVEAAHKERWRQDVLARLAALEDDAHARWSTDASQARLGGLEASLLENQEELAKVRALYAELRRNLEWMQFSEEGMCGEM
+>sp|O95631|NET1_HUMAN Netrin-1 OS=Homo sapiens OX=9606 GN=NTN1 PE=1 SV=2
+MMRAVWEALAALAAVACLVGAVRGGPGLSMFAGQAAQPDPCSDENGHPRRCIPDFVNAAFGKDVRVSSTCGRPPARYCVVSERGEERLRSCHLCNASDPKKAHPPAFLTDLNNPHNLTCWQSENYLQFPHNVTLTLSLGKKFEVTYVSLQFCSPRPESMAIYKSMDYGRTWVPFQFYSTQCRKMYNRPHRAPITKQNEQEAVCTDSHTDMRPLSGGLIAFSTLDGRPSAHDFDNSPVLQDWVTATDIRVAFSRLHTFGDENEDDSELARDSYFYAVSDLQVGGRCKCNGHAARCVRDRDDSLVCDCRHNTAGPECDRCKPFHYDRPWQRATAREANECVACNCNLHARRCRFNMELYKLSGRKSGGVCLNCRHNTAGRHCHYCKEGYYRDMGKPITHRKACKACDCHPVGAAGKTCNQTTGQCPCKDGVTGITCNRCAKGYQQSRSPIAPCIKIPVAPPTTAASSVEEPEDCDSYCKASKGKLKINMKKYCKKDYAVQIHILKADKAGDWWKFTVNIISVYKQGTSRIRRGDQSLWIRSRDIACKCPKIKPLKKYLLLGNAEDSPDQSGIVADKSSLVIQWRDTWARRLRKFQQREKKGKCKKA
+>DECOY_sp|O95631|NET1_HUMAN Netrin-1 OS=Homo sapiens OX=9606 GN=NTN1 PE=1 SV=2
+AKKCKGKKERQQFKRLRRAWTDRWQIVLSSKDAVIGSQDPSDEANGLLLYKKLPKIKPCKCAIDRSRIWLSQDGRRIRSTGQKYVSIINVTFKWWDGAKDAKLIHIQVAYDKKCYKKMNIKLKGKSAKCYSDCDEPEEVSSAATTPPAVPIKICPAIPSRSQQYGKACRNCTIGTVGDKCPCQGTTQNCTKGAAGVPHCDCAKCAKRHTIPKGMDRYYGEKCYHCHRGATNHRCNLCVGGSKRGSLKYLEMNFRCRRAHLNCNCAVCENAERATARQWPRDYHFPKCRDCEPGATNHRCDCVLSDDRDRVCRAAHGNCKCRGGVQLDSVAYFYSDRALESDDENEDGFTHLRSFAVRIDTATVWDQLVPSNDFDHASPRGDLTSFAILGGSLPRMDTHSDTCVAEQENQKTIPARHPRNYMKRCQTSYFQFPVWTRGYDMSKYIAMSEPRPSCFQLSVYTVEFKKGLSLTLTVNHPFQLYNESQWCTLNHPNNLDTLFAPPHAKKPDSANCLHCSRLREEGRESVVCYRAPPRGCTSSVRVDKGFAANVFDPICRRPHGNEDSCPDPQAAQGAFMSLGPGGRVAGVLCAVAALAALAEWVARMM
+>sp|Q12968|NFAC3_HUMAN Nuclear factor of activated T-cells, cytoplasmic 3 OS=Homo sapiens OX=9606 GN=NFATC3 PE=1 SV=1
+MTTANCGAHDELDFKLVFGEDGAPAPPPPGSRPADLEPDDCASIYIFNVDPPPSTLTTPLCLPHHGLPSHSSVLSPSFQLQSHKNYEGTCEIPESKYSPLGGPKPFECPSIQITSISPNCHQELDAHEDDLQINDPEREFLERPSRDHLYLPLEPSYRESSLSPSPASSISSRSWFSDASSCESLSHIYDDVDSELNEAAARFTLGSPLTSPGGSPGGCPGEETWHQQYGLGHSLSPRQSPCHSPRSSVTDENWLSPRPASGPSSRPTSPCGKRRHSSAEVCYAGSLSPHHSPVPSPGHSPRGSVTEDTWLNASVHGGSGLGPAVFPFQYCVETDIPLKTRKTSEDQAAILPGKLELCSDDQGSLSPARETSIDDGLGSQYPLKKDSCGDQFLSVPSPFTWSKPKPGHTPIFRTSSLPPLDWPLPAHFGQCELKIEVQPKTHHRAHYETEGSRGAVKASTGGHPVVKLLGYNEKPINLQMFIGTADDRYLRPHAFYQVHRITGKTVATASQEIIIASTKVLEIPLLPENNMSASIDCAGILKLRNSDIELRKGETDIGRKNTRVRLVFRVHIPQPSGKVLSLQIASIPVECSQRSAQELPHIEKYSINSCSVNGGHEMVVTGSNFLPESKIIFLEKGQDGRPQWEVEGKIIREKCQGAHIVLEVPPYHNPAVTAAVQVHFYLCNGKRKKSQSQRFTYTPVLMKQEHREEIDLSSVPSLPVPHPAQTQRPSSDSGCSHDSVLSGQRSLICSIPQTYASMVTSSHLPQLQCRDESVSKEQHMIPSPIVHQPFQVTPTPPVGSSYQPMQTNVVYNGPTCLPINAASSQEFDSVLFQQDATLSGLVNLGCQPLSSIPFHSSNSGSTGHLLAHTPHSVHTLPHLQSMGYHCSNTGQRSLSSPVADQITGQPSSQLQPITYGPSHSGSATTASPAASHPLASSPLSGPPSPQLQPMPYQSPSSGTASSPSPATRMHSGQHSTQAQSTGQGGLSAPSSLICHSLCDPASFPPDGATVSIKPEPEDREPNFATIGLQDITLDDVNEIIGRDMSQISVSQGAGVSRQAPLPSPESLDLGRSDGL
+>DECOY_sp|Q12968|NFAC3_HUMAN Nuclear factor of activated T-cells, cytoplasmic 3 OS=Homo sapiens OX=9606 GN=NFATC3 PE=1 SV=1
+LGDSRGLDLSEPSPLPAQRSVGAGQSVSIQSMDRGIIENVDDLTIDQLGITAFNPERDEPEPKISVTAGDPPFSAPDCLSHCILSSPASLGGQGTSQAQTSHQGSHMRTAPSPSSATGSSPSQYPMPQLQPSPPGSLPSSALPHSAAPSATTASGSHSPGYTIPQLQSSPQGTIQDAVPSSLSRQGTNSCHYGMSQLHPLTHVSHPTHALLHGTSGSNSSHFPISSLPQCGLNVLGSLTADQQFLVSDFEQSSAANIPLCTPGNYVVNTQMPQYSSGVPPTPTVQFPQHVIPSPIMHQEKSVSEDRCQLQPLHSSTVMSAYTQPISCILSRQGSLVSDHSCGSDSSPRQTQAPHPVPLSPVSSLDIEERHEQKMLVPTYTFRQSQSKKRKGNCLYFHVQVAATVAPNHYPPVELVIHAGQCKERIIKGEVEWQPRGDQGKELFIIKSEPLFNSGTVVMEHGGNVSCSNISYKEIHPLEQASRQSCEVPISAIQLSLVKGSPQPIHVRFVLRVRTNKRGIDTEGKRLEIDSNRLKLIGACDISASMNNEPLLPIELVKTSAIIIEQSATAVTKGTIRHVQYFAHPRLYRDDATGIFMQLNIPKENYGLLKVVPHGGTSAKVAGRSGETEYHARHHTKPQVEIKLECQGFHAPLPWDLPPLSSTRFIPTHGPKPKSWTFPSPVSLFQDGCSDKKLPYQSGLGDDISTERAPSLSGQDDSCLELKGPLIAAQDESTKRTKLPIDTEVCYQFPFVAPGLGSGGHVSANLWTDETVSGRPSHGPSPVPSHHPSLSGAYCVEASSHRRKGCPSTPRSSPGSAPRPSLWNEDTVSSRPSHCPSQRPSLSHGLGYQQHWTEEGPCGGPSGGPSTLPSGLTFRAAAENLESDVDDYIHSLSECSSADSFWSRSSISSAPSPSLSSERYSPELPLYLHDRSPRELFEREPDNIQLDDEHADLEQHCNPSISTIQISPCEFPKPGGLPSYKSEPIECTGEYNKHSQLQFSPSLVSSHSPLGHHPLCLPTTLTSPPPDVNFIYISACDDPELDAPRSGPPPPAPAGDEGFVLKFDLEDHAGCNATTM
+>sp|Q6P4R8|NFRKB_HUMAN Nuclear factor related to kappa-B-binding protein OS=Homo sapiens OX=9606 GN=NFRKB PE=1 SV=2
+MDSLDHMLTDPLELGPCGDGHGTRIMEDCLLGGTRVSLPEDLLEDPEIFFDVVSLSTWQEVLSDSQREHLQQFLPQFPEDSAEQQNELILALFSGENFRFGNPLHIAQKLFRDGHFNPEVVKYRQLCFKSQYKRYLNSQQQYFHRLLKQILASRSDLLEMARRSGPALPFRQKRPSPSRTPEEREWRTQQRYLKVLREVKEECGDTALSSDEEDLSSWLPSSPARSPSPAVPLRVVPTLSTTDMKTADKVELGDSDLKIMLKKHHEKRKHQPDHPDLLTGDLTLNDIMTRVNAGRKGSLAALYDLAVLKKKVKEKEEKKKKKIKTIKSEAEDLAEPLSSTEGVAPLSQAPSPLAIPAIKEEPLEDLKPCLGINEISSSFFSLLLEILLLESQASLPMLEERVLDWQSSPASSLNSWFSAAPNWAELVLPALQYLAGESRAVPSSFSPFVEFKEKTQQWKLLGQSQDNEKELAALFQLWLETKDQAFCKQENEDSSDATTPVPRVRTDYVVRPSTGEEKRVFQEQERYRYSQPHKAFTFRMHGFESVVGPVKGVFDKETSLNKAREHSLLRSDRPAYVTILSLVRDAAARLPNGEGTRAEICELLKDSQFLAPDVTSTQVNTVVSGALDRLHYEKDPCVKYDIGRKLWIYLHRDRSEEEFERIHQAQAAAAKARKALQQKPKPPSKVKSSSKESSIKVLSSGPSEQSQMSLSDSSMPPTPVTPVTPTTPALPAIPISPPPVSAVNKSGPSTVSEPAKSSSGVLLVSSPTMPHLGTMLSPASSQTAPSSQAAARVVSHSGSAGLSQVRVVAQPSLPAVPQQSGGPAQTLPQMPAGPQIRVPATATQTKVVPQTVMATVPVKAQTTAATVQRPGPGQTGLTVTSLPATASPVSKPATSSPGTSAPSASTAAVIQNVTGQNIIKQVAITGQLGVKPQTGNSIPLTATNFRIQGKDVLRLPPSSITTDAKGQTVLRITPDMMATLAKSQVTTVKLTQDLFGTGGNTTGKGISATLHVTSNPVHAADSPAKASSASAPSSTPTGTTVVKVTPDLKPTEASSSAFRLMPALGVSVADQKGKSTVASSEAKPAATIRIVQGLGVMPPKAGQTITVATHAKQGASVASGSGTVHTSAVSLPSMNAAVSKTVAVASGAASTPISISTGAPTVRQVPVSTTVVSTSQAGKLPTRITVPLSVISQPMKGKSVVTAPIIKGNLGANLSGLGRNIILTTMPAGTKLIAGNKPVSFLTAQQLQQLQQQGQATQVRIQTVPASHLQQGTASGSSKAVSTVVVTTAPSPKQAPEQQ
+>DECOY_sp|Q6P4R8|NFRKB_HUMAN Nuclear factor related to kappa-B-binding protein OS=Homo sapiens OX=9606 GN=NFRKB PE=1 SV=2
+QQEPAQKPSPATTVVVTSVAKSSGSATGQQLHSAPVTQIRVQTAQGQQQLQQLQQATLFSVPKNGAILKTGAPMTTLIINRGLGSLNAGLNGKIIPATVVSKGKMPQSIVSLPVTIRTPLKGAQSTSVVTTSVPVQRVTPAGTSISIPTSAAGSAVAVTKSVAANMSPLSVASTHVTGSGSAVSAGQKAHTAVTITQGAKPPMVGLGQVIRITAAPKAESSAVTSKGKQDAVSVGLAPMLRFASSSAETPKLDPTVKVVTTGTPTSSPASASSAKAPSDAAHVPNSTVHLTASIGKGTTNGGTGFLDQTLKVTTVQSKALTAMMDPTIRLVTQGKADTTISSPPLRLVDKGQIRFNTATLPISNGTQPKVGLQGTIAVQKIINQGTVNQIVAATSASPASTGPSSTAPKSVPSATAPLSTVTLGTQGPGPRQVTAATTQAKVPVTAMVTQPVVKTQTATAPVRIQPGAPMQPLTQAPGGSQQPVAPLSPQAVVRVQSLGASGSHSVVRAAAQSSPATQSSAPSLMTGLHPMTPSSVLLVGSSSKAPESVTSPGSKNVASVPPPSIPIAPLAPTTPTVPTVPTPPMSSDSLSMQSQESPGSSLVKISSEKSSSKVKSPPKPKQQLAKRAKAAAAQAQHIREFEEESRDRHLYIWLKRGIDYKVCPDKEYHLRDLAGSVVTNVQTSTVDPALFQSDKLLECIEARTGEGNPLRAAADRVLSLITVYAPRDSRLLSHERAKNLSTEKDFVGKVPGVVSEFGHMRFTFAKHPQSYRYREQEQFVRKEEGTSPRVVYDTRVRPVPTTADSSDENEQKCFAQDKTELWLQFLAALEKENDQSQGLLKWQQTKEKFEVFPSFSSPVARSEGALYQLAPLVLEAWNPAASFWSNLSSAPSSQWDLVREELMPLSAQSELLLIELLLSFFSSSIENIGLCPKLDELPEEKIAPIALPSPAQSLPAVGETSSLPEALDEAESKITKIKKKKKEEKEKVKKKLVALDYLAALSGKRGANVRTMIDNLTLDGTLLDPHDPQHKRKEHHKKLMIKLDSDGLEVKDATKMDTTSLTPVVRLPVAPSPSRAPSSPLWSSLDEEDSSLATDGCEEKVERLVKLYRQQTRWEREEPTRSPSPRKQRFPLAPGSRRAMELLDSRSALIQKLLRHFYQQQSNLYRKYQSKFCLQRYKVVEPNFHGDRFLKQAIHLPNGFRFNEGSFLALILENQQEASDEPFQPLFQQLHERQSDSLVEQWTSLSVVDFFIEPDELLDEPLSVRTGGLLCDEMIRTGHGDGCPGLELPDTLMHDLSDM
+>sp|P25208|NFYB_HUMAN Nuclear transcription factor Y subunit beta OS=Homo sapiens OX=9606 GN=NFYB PE=1 SV=2
+MTMDGDSSTTDASQLGISADYIGGSHYVIQPHDDTEDSMNDHEDTNGSKESFREQDIYLPIANVARIMKNAIPQTGKIAKDAKECVQECVSEFISFITSEASERCHQEKRKTINGEDILFAMSTLGFDSYVEPLKLYLQKFREAMKGEKGIGGAVTATDGLSEELTEEAFTNQLPAGLITTDGQQQNVMVYTTSYQQISGVQQIQFS
+>DECOY_sp|P25208|NFYB_HUMAN Nuclear transcription factor Y subunit beta OS=Homo sapiens OX=9606 GN=NFYB PE=1 SV=2
+SFQIQQVGSIQQYSTTYVMVNQQQGDTTILGAPLQNTFAEETLEESLGDTATVAGGIGKEGKMAERFKQLYLKLPEVYSDFGLTSMAFLIDEGNITKRKEQHCRESAESTIFSIFESVCEQVCEKADKAIKGTQPIANKMIRAVNAIPLYIDQERFSEKSGNTDEHDNMSDETDDHPQIVYHSGGIYDASIGLQSADTTSSDGDMTM
+>sp|Q9UJF2|NGAP_HUMAN Ras GTPase-activating protein nGAP OS=Homo sapiens OX=9606 GN=RASAL2 PE=1 SV=2
+MQTPEVPAERSPRRRSISGTSTSEKPNSMDTANTSPFKVPGFFSKRLKGSIKRTKSQSKLDRNTSFRLPSLRSTDDRSRGLPKLKESRSHESLLSPCSTVECLDLGRGEPVSVKPLHSSILGQDFCFEVTYLSGSKCFSCNSASERDKWMENLRRTVQPNKDNCRRAENVLRLWIIEAKDLAPKKKYFCELCLDDTLFARTTSKTKADNIFWGEHFEFFSLPPLHSITVHIYKDVEKKKKKDKNNYVGLVNIPTASVTGRQFVEKWYPVSTPTPNKGKTGGPSIRIKSRFQTITILPMEQYKEFAEFVTSNYTMLCSVLEPVISVRNKEELACALVHILQSTGRAKDFLTDLVMSEVDRCGEHDVLIFRENTIATKSIEEYLKLVGQQYLHDALGEFIKALYESDENCEVDPSKCSSSELIDHQSNLKMCCELAFCKIINSYCVFPRELKEVFASWKQQCLNRGKQDISERLISASLFLRFLCPAIMSPSLFNLMQEYPDDRTSRTLTLIAKVIQNLANFAKFGNKEEYMAFMNDFLEHEWGGMKRFLLEISNPDTISNTPGFDGYIDLGRELSVLHSLLWEVVSQLDKGENSFLQATVAKLGPLPRVLADITKSLTNPTPIQQQLRRFTEHNSSPNVSGSLSSGLQKIFEDPTDSDLHKLKSPSQDNTDSYFRGKTLLLVQQASSQSMTYSEKDERESSLPNGRSVSLMDLQDTHAAQVEHASVMLDVPIRLTGSQLSITQVASIKQLRETQSTPQSAPQVRRPLHPALNQPGGLQPLSFQNPVYHLNNPIPAMPKASIDSSLENLSTASSRSQSNSEDFKLSGPSNSSMEDFTKRSTQSEDFSRRHTVPDRHIPLALPRQNSTGQAQIRKVDQGGLGARAKAPPSLPHSASLRSTGSMSVVSAALVAEPVQNGSRSRQQSSSSRESPVPKVRAIQRQQTQQVQSPVDSATMSPVERTAAWVLNNGQYEEDVEETEQNLDEAKHAEKYEQEITKLKERLRVSSRRLEEYERRLLVQEQQMQKLLLEYKARLEDSEERLRRQQEEKDSQMKSIISRLMAVEEELKKDHAEMQAVIDAKQKIIDAQEKRIVSLDSANTRLMSALTQVKERYSMQVRNGISPTNPTKLSITENGEFKNSSC
+>DECOY_sp|Q9UJF2|NGAP_HUMAN Ras GTPase-activating protein nGAP OS=Homo sapiens OX=9606 GN=RASAL2 PE=1 SV=2
+CSSNKFEGNETISLKTPNTPSIGNRVQMSYREKVQTLASMLRTNASDLSVIRKEQADIIKQKADIVAQMEAHDKKLEEEVAMLRSIISKMQSDKEEQQRRLREESDELRAKYELLLKQMQQEQVLLRREYEELRRSSVRLREKLKTIEQEYKEAHKAEDLNQETEEVDEEYQGNNLVWAATREVPSMTASDVPSQVQQTQQRQIARVKPVPSERSSSSQQRSRSGNQVPEAVLAASVVSMSGTSRLSASHPLSPPAKARAGLGGQDVKRIQAQGTSNQRPLALPIHRDPVTHRRSFDESQTSRKTFDEMSSNSPGSLKFDESNSQSRSSATSLNELSSDISAKPMAPIPNNLHYVPNQFSLPQLGGPQNLAPHLPRRVQPASQPTSQTERLQKISAVQTISLQSGTLRIPVDLMVSAHEVQAAHTDQLDMLSVSRGNPLSSEREDKESYTMSQSSAQQVLLLTKGRFYSDTNDQSPSKLKHLDSDTPDEFIKQLGSSLSGSVNPSSNHETFRRLQQQIPTPNTLSKTIDALVRPLPGLKAVTAQLFSNEGKDLQSVVEWLLSHLVSLERGLDIYGDFGPTNSITDPNSIELLFRKMGGWEHELFDNMFAMYEEKNGFKAFNALNQIVKAILTLTRSTRDDPYEQMLNFLSPSMIAPCLFRLFLSASILRESIDQKGRNLCQQKWSAFVEKLERPFVCYSNIIKCFALECCMKLNSQHDILESSSCKSPDVECNEDSEYLAKIFEGLADHLYQQGVLKLYEEISKTAITNERFILVDHEGCRDVESMVLDTLFDKARGTSQLIHVLACALEEKNRVSIVPELVSCLMTYNSTVFEAFEKYQEMPLITITQFRSKIRISPGGTKGKNPTPTSVPYWKEVFQRGTVSATPINVLGVYNNKDKKKKKEVDKYIHVTISHLPPLSFFEFHEGWFINDAKTKSTTRAFLTDDLCLECFYKKKPALDKAEIIWLRLVNEARRCNDKNPQVTRRLNEMWKDRESASNCSFCKSGSLYTVEFCFDQGLISSHLPKVSVPEGRGLDLCEVTSCPSLLSEHSRSEKLKPLGRSRDDTSRLSPLRFSTNRDLKSQSKTRKISGKLRKSFFGPVKFPSTNATDMSNPKESTSTGSISRRRPSREAPVEPTQM
+>sp|Q96E22|NGBR_HUMAN Dehydrodolichyl diphosphate synthase complex subunit NUS1 OS=Homo sapiens OX=9606 GN=NUS1 PE=1 SV=1
+MTGLYELVWRVLHALLCLHRTLTSWLRVRFGTWNWIWRRCCRAASAAVLAPLGFTLRKPPAVGRNRRHHRHPRGGSCLAAAHHRMRWRADGRSLEKLPVHMGLVITEVEQEPSFSDIASLVVWCMAVGISYISVYDHQGIFKRNNSRLMDEILKQQQELLGLDCSKYSPEFANSNDKDDQVLNCHLAVKVLSPEDGKADIVRAAQDFCQLVAQKQKRPTDLDVDTLASLLSSNGCPDPDLVLKFGPVDSTLGFLPWHIRLTEIVSLPSHLNISYEDFFSALRQYAACEQRLGK
+>DECOY_sp|Q96E22|NGBR_HUMAN Dehydrodolichyl diphosphate synthase complex subunit NUS1 OS=Homo sapiens OX=9606 GN=NUS1 PE=1 SV=1
+KGLRQECAAYQRLASFFDEYSINLHSPLSVIETLRIHWPLFGLTSDVPGFKLVLDPDPCGNSSLLSALTDVDLDTPRKQKQAVLQCFDQAARVIDAKGDEPSLVKVALHCNLVQDDKDNSNAFEPSYKSCDLGLLEQQQKLIEDMLRSNNRKFIGQHDYVSIYSIGVAMCWVVLSAIDSFSPEQEVETIVLGMHVPLKELSRGDARWRMRHHAAALCSGGRPHRHHRRNRGVAPPKRLTFGLPALVAASAARCCRRWIWNWTGFRVRLWSTLTRHLCLLAHLVRWVLEYLGTM
+>sp|Q86XR2|NIBL2_HUMAN Niban-like protein 2 OS=Homo sapiens OX=9606 GN=FAM129C PE=1 SV=2
+MGPDRKEVPLSRGTQAVVVGKGRGAPGDDSSMGGRPSSPLDKQQRQHLRGQVDTLLRNFLPCYRGQLAASVLRQISRELGPQEPTGSQLLRSKKLPRVREHRGPLTQLRGHPPRWQPIFCVLRGDGRLEWFSHKEEYENGGHCLGSTALTGYTLLTSQREYLRLLDALCPESLGDHTQEEPDSLLEVPVSFPLFLQHPFRRHLCFSAATREAQHAWRLALQGGIRLQGIVLQRSQAPAARAFLDAVRLYRQHQGHFGDDDVTLGSDAEVLTAVLMREQLPALRAQTLPGLRGAGRARAWAWTELLDAVHAAVLAGASAGLCAFQPEKDELLASLEKTIRPDVDQLLRQRARVAGRLRTDIRGPLESCLRREVDPQLPRVVQTLLRTVEASLEAVRTLLAQGMDRLSHRLRQSPSGTRLRREVYSFGEMPWDLALMQTCYREAERSRGRLGQLAAPFGFLGMQSLVFGAQDLAQQLMADAVATFLQLADQCLTTALNCDQAAQRLERVRGRVLKKFKSDSGLAQRRFIRGWGLCIFLPFVLSQLEPGCKKELPEFEGDVLAVGSQALTTEGIYEDVIRGCLLQRIDQELKKTLGANDVSCTLDGCLEVPWEQEGAAPNLNLVSSFLAGRQAFTDFLCLPAKSSANWILAASLLSCSCFRSGFHRDSRVFLVQLAEGLSHSLETVSSHSVWSFRPTPRQ
+>DECOY_sp|Q86XR2|NIBL2_HUMAN Niban-like protein 2 OS=Homo sapiens OX=9606 GN=FAM129C PE=1 SV=2
+QRPTPRFSWVSHSSVTELSHSLGEALQVLFVRSDRHFGSRFCSCSLLSAALIWNASSKAPLCLFDTFAQRGALFSSVLNLNPAAGEQEWPVELCGDLTCSVDNAGLTKKLEQDIRQLLCGRIVDEYIGETTLAQSGVALVDGEFEPLEKKCGPELQSLVFPLFICLGWGRIFRRQALGSDSKFKKLVRGRVRELRQAAQDCNLATTLCQDALQLFTAVADAMLQQALDQAGFVLSQMGLFGFPAALQGLRGRSREAERYCTQMLALDWPMEGFSYVERRLRTGSPSQRLRHSLRDMGQALLTRVAELSAEVTRLLTQVVRPLQPDVERRLCSELPGRIDTRLRGAVRARQRLLQDVDPRITKELSALLEDKEPQFACLGASAGALVAAHVADLLETWAWARARGAGRLGPLTQARLAPLQERMLVATLVEADSGLTVDDDGFHGQHQRYLRVADLFARAAPAQSRQLVIGQLRIGGQLALRWAHQAERTAASFCLHRRFPHQLFLPFSVPVELLSDPEEQTHDGLSEPCLADLLRLYERQSTLLTYGTLATSGLCHGGNEYEEKHSFWELRGDGRLVCFIPQWRPPHGRLQTLPGRHERVRPLKKSRLLQSGTPEQPGLERSIQRLVSAALQGRYCPLFNRLLTDVQGRLHQRQQKDLPSSPRGGMSSDDGPAGRGKGVVVAQTGRSLPVEKRDPGM
+>sp|Q9GZT8|NIF3L_HUMAN NIF3-like protein 1 OS=Homo sapiens OX=9606 GN=NIF3L1 PE=1 SV=2
+MLSSCVRPVPTTVRFVDSLICNSSRSFMDLKALLSSLNDFASLSFAESWDNVGLLVEPSPPHTVNTLFLTNDLTEEVMEEVLQKKADLILSYHPPIFRPMKRITWNTWKERLVIRALENRVGIYSPHTAYDAAPQGVNNWLAKGLGACTSRPIHPSKAPNYPTEGNHRVEFNVNYTQDLDKVMSAVKGIDGVSVTSFSARTGNEEQTRINLNCTQKALMQVVDFLSRNKQLYQKTEILSLEKPLLLHTGMGRLCTLDESVSLATMIDRIKRHLKLSHIRLALGVGRTLESQVKVVALCAGSGSSVLQGVEADLYLTGEMSHHDTLDAASQGINVILCEHSNTERGFLSDLRDMLDSHLENKINIILSETDRDPLQVV
+>DECOY_sp|Q9GZT8|NIF3L_HUMAN NIF3-like protein 1 OS=Homo sapiens OX=9606 GN=NIF3L1 PE=1 SV=2
+VVQLPDRDTESLIINIKNELHSDLMDRLDSLFGRETNSHECLIVNIGQSAADLTDHHSMEGTLYLDAEVGQLVSSGSGACLAVVKVQSELTRGVGLALRIHSLKLHRKIRDIMTALSVSEDLTCLRGMGTHLLLPKELSLIETKQYLQKNRSLFDVVQMLAKQTCNLNIRTQEENGTRASFSTVSVGDIGKVASMVKDLDQTYNVNFEVRHNGETPYNPAKSPHIPRSTCAGLGKALWNNVGQPAADYATHPSYIGVRNELARIVLREKWTNWTIRKMPRFIPPHYSLILDAKKQLVEEMVEETLDNTLFLTNVTHPPSPEVLLGVNDWSEAFSLSAFDNLSSLLAKLDMFSRSSNCILSDVFRVTTPVPRVCSSLM
+>sp|Q9Y2I1|NISCH_HUMAN Nischarin OS=Homo sapiens OX=9606 GN=NISCH PE=1 SV=3
+MATARTFGPEREAEPAKEARVVGSELVDTYTVYIIQVTDGSHEWTVKHRYSDFHDLHEKLVAERKIDKNLLPPKKIIGKNSRSLVEKREKDLEVYLQKLLAAFPGVTPRVLAHFLHFHFYEINGITAALAEELFEKGEQLLGAGEVFAIGPLQLYAVTEQLQQGKPTCASGDAKTDLGHILDFTCRLKYLKVSGTEGPFGTSNIQEQLLPFDLSIFKSLHQVEISHCDAKHIRGLVASKPTLATLSVRFSATSMKEVLVPEASEFDEWEPEGTTLEGPVTAVIPTWQALTTLDLSHNSVSEIDESVKLIPKIEFLDLSHNGLLVVDNLQHLYNLVHLDLSYNKLSSLEGLHTKLGNIKTLNLAGNLLESLSGLHKLYSLVNLDLRDNRIEQMEEVRSIGSLPCLEHVSLLNNPLSIIPDYRTKVLAQFGERASEVCLDDTVTTEKELDTVEVLKAIQKAKEVKSKLSNPEKKGGEDSRLSAAPCIRPSSSPPTVAPASASLPQPILSNQGIMFVQEEALASSLSSTDSLTPEHQPIAQGCSDSLESIPAGQAASDDLRDVPGAVGGASPEHAEPEVQVVPGSGQIIFLPFTCIGYTATNQDFIQRLSTLIRQAIERQLPAWIEAANQREEGQGEQGEEEDEEEEEEEDVAENRYFEMGPPDVEEEEGGGQGEEEEEEEEDEEAEEERLALEWALGADEDFLLEHIRILKVLWCFLIHVQGSIRQFAACLVLTDFGIAVFEIPHQESRGSSQHILSSLRFVFCFPHGDLTEFGFLMPELCLVLKVRHSENTLFIISDAANLHEFHADLRSCFAPQHMAMLCSPILYGSHTSLQEFLRQLLTFYKVAGGCQERSQGCFPVYLVYSDKRMVQTAAGDYSGNIEWASCTLCSAVRRSCCAPSEAVKSAAIPYWLLLTPQHLNVIKADFNPMPNRGTHNCRNRNSFKLSRVPLSTVLLDPTRSCTQPRGAFADGHVLELLVGYRFVTAIFVLPHEKFHFLRVYNQLRASLQDLKTVVIAKTPGTGGSPQGSFADGQPAERRASNDQRPQEVPAEALAPAPAEVPAPAPAAASASGPAKTPAPAEASTSALVPEETPVEAPAPPPAEAPAQYPSEHLIQATSEENQIPSHLPACPSLRHVASLRGSAIIELFHSSIAEVENEELRHLMWSSVVFYQTPGLEVTACVLLSTKAVYFVLHDGLRRYFSEPLQDFWHQKNTDYNNSPFHISQCFVLKLSDLQSVNVGLFDQHFRLTGSTPMQVVTCLTRDSYLTHCFLQHLMVVLSSLERTPSPEPVDKDFYSEFGNKTTGKMENYELIHSSRVKFTYPSEEEIGDLTFTVAQKMAEPEKAPALSILLYVQAFQVGMPPPGCCRGPLRPKTLLLTSSEIFLLDEDCVHYPLPEFAKEPPQRDRYRLDDGRRVRDLDRVLMGYQTYPQALTLVFDDVQGHDLMGSVTLDHFGEVPGGPARASQGREVQWQVFVPSAESREKLISLLARQWEALCGRELPVELTG
+>DECOY_sp|Q9Y2I1|NISCH_HUMAN Nischarin OS=Homo sapiens OX=9606 GN=NISCH PE=1 SV=3
+GTLEVPLERGCLAEWQRALLSILKERSEASPVFVQWQVERGQSARAPGGPVEGFHDLTVSGMLDHGQVDDFVLTLAQPYTQYGMLVRDLDRVRRGDDLRYRDRQPPEKAFEPLPYHVCDEDLLFIESSTLLLTKPRLPGRCCGPPPMGVQFAQVYLLISLAPAKEPEAMKQAVTFTLDGIEEESPYTFKVRSSHILEYNEMKGTTKNGFESYFDKDVPEPSPTRELSSLVVMLHQLFCHTLYSDRTLCTVVQMPTSGTLRFHQDFLGVNVSQLDSLKLVFCQSIHFPSNNYDTNKQHWFDQLPESFYRRLGDHLVFYVAKTSLLVCATVELGPTQYFVVSSWMLHRLEENEVEAISSHFLEIIASGRLSAVHRLSPCAPLHSPIQNEESTAQILHESPYQAPAEAPPPAPAEVPTEEPVLASTSAEAPAPTKAPGSASAAAPAPAPVEAPAPALAEAPVEQPRQDNSARREAPQGDAFSGQPSGGTGPTKAIVVTKLDQLSARLQNYVRLFHFKEHPLVFIATVFRYGVLLELVHGDAFAGRPQTCSRTPDLLVTSLPVRSLKFSNRNRCNHTGRNPMPNFDAKIVNLHQPTLLLWYPIAASKVAESPACCSRRVASCLTCSAWEINGSYDGAATQVMRKDSYVLYVPFCGQSREQCGGAVKYFTLLQRLFEQLSTHSGYLIPSCLMAMHQPAFCSRLDAHFEHLNAADSIIFLTNESHRVKLVLCLEPMLFGFETLDGHPFCFVFRLSSLIHQSSGRSEQHPIEFVAIGFDTLVLCAAFQRISGQVHILFCWLVKLIRIHELLFDEDAGLAWELALREEEAEEDEEEEEEEEGQGGGEEEEVDPPGMEFYRNEAVDEEEEEEEDEEEGQEGQGEERQNAAEIWAPLQREIAQRILTSLRQIFDQNTATYGICTFPLFIIQGSGPVVQVEPEAHEPSAGGVAGPVDRLDDSAAQGAPISELSDSCGQAIPQHEPTLSDTSSLSSALAEEQVFMIGQNSLIPQPLSASAPAVTPPSSSPRICPAASLRSDEGGKKEPNSLKSKVEKAKQIAKLVEVTDLEKETTVTDDLCVESAREGFQALVKTRYDPIISLPNNLLSVHELCPLSGISRVEEMQEIRNDRLDLNVLSYLKHLGSLSELLNGALNLTKINGLKTHLGELSSLKNYSLDLHVLNYLHQLNDVVLLGNHSLDLFEIKPILKVSEDIESVSNHSLDLTTLAQWTPIVATVPGELTTGEPEWEDFESAEPVLVEKMSTASFRVSLTALTPKSAVLGRIHKADCHSIEVQHLSKFISLDFPLLQEQINSTGFPGETGSVKLYKLRCTFDLIHGLDTKADGSACTPKGQQLQETVAYLQLPGIAFVEGAGLLQEGKEFLEEALAATIGNIEYFHFHLFHALVRPTVGPFAALLKQLYVELDKERKEVLSRSNKGIIKKPPLLNKDIKREAVLKEHLDHFDSYRHKVTWEHSGDTVQIIYVTYTDVLESGVVRAEKAPEAEREPGFTRATAM
+>sp|O75344|FKBP6_HUMAN Inactive peptidyl-prolyl cis-trans isomerase FKBP6 OS=Homo sapiens OX=9606 GN=FKBP6 PE=1 SV=1
+MGGSALNQGVLEGDDAPGQSLYERLSQRMLDISGDRGVLKDVIREGAGDLVAPDASVLVKYSGYLEHMDRPFDSNYFRKTPRLMKLGEDITLWGMELGLLSMRRGELARFLFKPNYAYGTLGCPPLIPPNTTVLFEIELLDFLDCAESDKFCALSAEQQDQFPLQKVLKVAATEREFGNYLFRQNRFYDAKVRYKRALLLLRRRSAPPEEQHLVEAAKLPVLLNLSFTYLKLDRPTIALCYGEQALIIDQKNAKALFRCGQACLLLTEYQKARDFLVRAQKEQPFNHDINNELKKLASCYRDYVDKEKEMWHRMFAPCGDGSTAGES
+>DECOY_sp|O75344|FKBP6_HUMAN Inactive peptidyl-prolyl cis-trans isomerase FKBP6 OS=Homo sapiens OX=9606 GN=FKBP6 PE=1 SV=1
+SEGATSGDGCPAFMRHWMEKEKDVYDRYCSALKKLENNIDHNFPQEKQARVLFDRAKQYETLLLCAQGCRFLAKANKQDIILAQEGYCLAITPRDLKLYTFSLNLLVPLKAAEVLHQEEPPASRRRLLLLARKYRVKADYFRNQRFLYNGFERETAAVKLVKQLPFQDQQEASLACFKDSEACDLFDLLEIEFLVTTNPPILPPCGLTGYAYNPKFLFRALEGRRMSLLGLEMGWLTIDEGLKMLRPTKRFYNSDFPRDMHELYGSYKVLVSADPAVLDGAGERIVDKLVGRDGSIDLMRQSLREYLSQGPADDGELVGQNLASGGM
+>sp|O60774|FMO6_HUMAN Putative dimethylaniline monooxygenase [N-oxide-forming] 6 OS=Homo sapiens OX=9606 GN=FMO6P PE=5 SV=1
+MSKRVGIIGAGVSGLAAIWCCLEEGLEPTCFERSDDVGGLWKFSDHTEEGRASIYQSVFTNSSKEMMCFPDFPYPDDYPNYIHHSKLQEYIKTYAQKKDLLRYIQFETLVSGIKKCPSFLVTGQWVVVTEKDGKQESTIFDAVMICSGHHVYPNLPTDSFPGLDQFRGNYLHSRDYKNPEAFKGKRVLVIGLGNSGSDIAVELSRLATQVIISTRSASWVMSRVWDDGYPWDMMYVTRFASFLRNVLPSFISDWLYVQKMNTWFKHENYGLMPLNGSLRKEPVFNDELPSRILCGTLSIKPSVKEFTETSAVFEDGTMFEAIDSVIFATGYDYSYPFLDETIMKSRNNEVTLFKGIFPPLMEKPTLAVIGLVQSLGAAIPTADLQAWWAAKVFANSCTLPTTNEMMDDTDEKMGKKLKCMFSSFFMFGQSQTLQTDYITYVDELGSFIGAKPNIPWLFLTDPRLALEVYFGPCSPYQFRLMGPGKWDGARNAILTQWNRTVKPTRTRVVSEVQRPHPFYNLLKMLSFPLLLLAVTLTFY
+>DECOY_sp|O60774|FMO6_HUMAN Putative dimethylaniline monooxygenase [N-oxide-forming] 6 OS=Homo sapiens OX=9606 GN=FMO6P PE=5 SV=1
+YFTLTVALLLLPFSLMKLLNYFPHPRQVESVVRTRTPKVTRNWQTLIANRAGDWKGPGMLRFQYPSCPGFYVELALRPDTLFLWPINPKAGIFSGLEDVYTIYDTQLTQSQGFMFFSSFMCKLKKGMKEDTDDMMENTTPLTCSNAFVKAAWWAQLDATPIAAGLSQVLGIVALTPKEMLPPFIGKFLTVENNRSKMITEDLFPYSYDYGTAFIVSDIAEFMTGDEFVASTETFEKVSPKISLTGCLIRSPLEDNFVPEKRLSGNLPMLGYNEHKFWTNMKQVYLWDSIFSPLVNRLFSAFRTVYMMDWPYGDDWVRSMVWSASRTSIIVQTALRSLEVAIDSGSNGLGIVLVRKGKFAEPNKYDRSHLYNGRFQDLGPFSDTPLNPYVHHGSCIMVADFITSEQKGDKETVVVWQGTVLFSPCKKIGSVLTEFQIYRLLDKKQAYTKIYEQLKSHHIYNPYDDPYPFDPFCMMEKSSNTFVSQYISARGEETHDSFKWLGGVDDSREFCTPELGEELCCWIAALGSVGAGIIGVRKSM
+>sp|Q9Y2H6|FND3A_HUMAN Fibronectin type-III domain-containing protein 3A OS=Homo sapiens OX=9606 GN=FNDC3A PE=1 SV=4
+MAEHPPLLDTTQILSSDISLLSAPIVSADGTQQVILVQVNPGEAFTIRREDGQFQCITGPAQVPMMSPNGSVPPIYVPPGYAPQVIEDNGVRRVVVVPQAPEFHPGSHTVLHRSPHPPLPGFIPVPTMMPPPPRHMYSPVTGAGDMTTQYMPQYQSSQVYGDVDAHSTHGRSNFRDERSSKTYERLQKKLKDRQGTQKDKMSSPPSSPQKCPSPINEHNGLIKGQIAGGINTGSAKIKSGKGKGGTQVDTEIEEKDEETKAFEALLSNIVKPVASDIQARTVVLTWSPPSSLINGETDESSVPELYGYEVLISSTGKDGKYKSVYVGEETNITLNDLKPAMDYHAKVQAEYNSIKGTPSEAEIFTTLSCEPDIPNPPRIANRTKNSLTLQWKAPSDNGSKIQNFVLEWDEGKGNGEFCQCYMGSQKQFKITKLSPAMGCKFRLSARNDYGTSGFSEEVLYYTSGCAPSMPASPVLTKAGITWLSLQWSKPSGTPSDEGISYILEMEEETSGYGFKPKYDGEDLAYTVKNLRRSTKYKFKVIAYNSEGKSNPSEVVEFTTCPDKPGIPVKPSVKGKIHSHSFKITWDPPKDNGGATINKYVVEMAEGSNGNKWEMIYSGATREHLCDRLNPGCFYRLRVYCISDGGQSAVSESLLVQTPAVPPGPCLPPRLQGRPKAKEIQLRWGPPLVDGGSPISCYSVEMSPIEKDEPREVYQGSEVECTVSSLLPGKTYSFRLRAANKMGFGPFSEKCDITTAPGPPDQCKPPQVTCRSATCAQVNWEVPLSNGTDVTEYRLEWGGVEGSMQICYCGPGLSYEIKGLSPATTYYCRVQALSVVGAGPFSEVVACVTPPSVPGIVTCLQEISDDEIENPHYSPSTCLAISWEKPCDHGSEILAYSIDFGDKQSLTVGKVTSYIINNLQPDTTYRIRIQALNSLGAGPFSHMIKLKTKPLPPDPPRLECVAFSHQNLKLKWGEGTPKTLSTDSIQYHLQMEDKNGRFVSLYRGPCHTYKVQRLNESTSYKFCIQACNEAGEGPLSQEYIFTTPKSVPAALKAPKIEKVNDHICEITWECLQPMKGDPVIYSLQVMLGKDSEFKQIYKGPDSSFRYSSLQLNCEYRFRVCAIRQCQDSLGHQDLVGPYSTTVLFISQRTEPPASTNRDTVESTRTRRALSDEQCAAVILVLFAFFSILIAFIIQYFVIK
+>DECOY_sp|Q9Y2H6|FND3A_HUMAN Fibronectin type-III domain-containing protein 3A OS=Homo sapiens OX=9606 GN=FNDC3A PE=1 SV=4
+KIVFYQIIFAILISFFAFLVLIVAACQEDSLARRTRTSEVTDRNTSAPPETRQSIFLVTTSYPGVLDQHGLSDQCQRIACVRFRYECNLQLSSYRFSSDPGKYIQKFESDKGLMVQLSYIVPDGKMPQLCEWTIECIHDNVKEIKPAKLAAPVSKPTTFIYEQSLPGEGAENCAQICFKYSTSENLRQVKYTHCPGRYLSVFRGNKDEMQLHYQISDTSLTKPTGEGWKLKLNQHSFAVCELRPPDPPLPKTKLKIMHSFPGAGLSNLAQIRIRYTTDPQLNNIIYSTVKGVTLSQKDGFDISYALIESGHDCPKEWSIALCTSPSYHPNEIEDDSIEQLCTVIGPVSPPTVCAVVESFPGAGVVSLAQVRCYYTTAPSLGKIEYSLGPGCYCIQMSGEVGGWELRYETVDTGNSLPVEWNVQACTASRCTVQPPKCQDPPGPATTIDCKESFPGFGMKNAARLRFSYTKGPLLSSVTCEVESGQYVERPEDKEIPSMEVSYCSIPSGGDVLPPGWRLQIEKAKPRGQLRPPLCPGPPVAPTQVLLSESVASQGGDSICYVRLRYFCGPNLRDCLHERTAGSYIMEWKNGNSGEAMEVVYKNITAGGNDKPPDWTIKFSHSHIKGKVSPKVPIGPKDPCTTFEVVESPNSKGESNYAIVKFKYKTSRRLNKVTYALDEGDYKPKFGYGSTEEEMELIYSIGEDSPTGSPKSWQLSLWTIGAKTLVPSAPMSPACGSTYYLVEESFGSTGYDNRASLRFKCGMAPSLKTIKFQKQSGMYCQCFEGNGKGEDWELVFNQIKSGNDSPAKWQLTLSNKTRNAIRPPNPIDPECSLTTFIEAESPTGKISNYEAQVKAHYDMAPKLDNLTINTEEGVYVSKYKGDKGTSSILVEYGYLEPVSSEDTEGNILSSPPSWTLVVTRAQIDSAVPKVINSLLAEFAKTEEDKEEIETDVQTGGKGKGSKIKASGTNIGGAIQGKILGNHENIPSPCKQPSSPPSSMKDKQTGQRDKLKKQLREYTKSSREDRFNSRGHTSHADVDGYVQSSQYQPMYQTTMDGAGTVPSYMHRPPPPMMTPVPIFGPLPPHPSRHLVTHSGPHFEPAQPVVVVRRVGNDEIVQPAYGPPVYIPPVSGNPSMMPVQAPGTICQFQGDERRITFAEGPNVQVLIVQQTGDASVIPASLLSIDSSLIQTTDLLPPHEAM
+>sp|Q9H6D8|FNDC4_HUMAN Fibronectin type III domain-containing protein 4 OS=Homo sapiens OX=9606 GN=FNDC4 PE=2 SV=1
+MPSGCHSSPPSGLRGDMASLVPLSPYLSPTVLLLVSCDLGFVRADRPPSPVNVTVTHLRANSATVSWDVPEGNIVIGYSISQQRQNGPGQRVIREVNTTTRACALWGLAEDSDYTVQVRSIGLRGESPPGPRVHFRTLKGSDRLPSNSSSPGDITVEGLDGERPLQTGEVVIIVVVLLMWAAVIGLFCRQYDIIKDNDSNNNPKEKGKGPEQSPQGRPVGTRQKKSPSINTIDV
+>DECOY_sp|Q9H6D8|FNDC4_HUMAN Fibronectin type III domain-containing protein 4 OS=Homo sapiens OX=9606 GN=FNDC4 PE=2 SV=1
+VDITNISPSKKQRTGVPRGQPSQEPGKGKEKPNNNSDNDKIIDYQRCFLGIVAAWMLLVVVIIVVEGTQLPREGDLGEVTIDGPSSSNSPLRDSGKLTRFHVRPGPPSEGRLGISRVQVTYDSDEALGWLACARTTTNVERIVRQGPGNQRQQSISYGIVINGEPVDWSVTASNARLHTVTVNVPSPPRDARVFGLDCSVLLLVTPSLYPSLPVLSAMDGRLGSPPSSHCGSPM
+>sp|Q8NAU1|FNDC5_HUMAN Fibronectin type III domain-containing protein 5 OS=Homo sapiens OX=9606 GN=FNDC5 PE=1 SV=3
+MHPGSPSAWPPRARAALRLWLGCVCFALVQADSPSAPVNVTVRHLKANSAVVSWDVLEDEVVIGFAISQQKKDVRMLRFIQEVNTTTRSCALWDLEEDTEYIVHVQAISIQGQSPASEPVLFKTPREAEKMASKNKDEVTMKEMGRNQQLRTGEVLIIVVVLFMWAGVIALFCRQYDIIKDNEPNNNKEKTKSASETSTPEHQGGGLLRSKI
+>DECOY_sp|Q8NAU1|FNDC5_HUMAN Fibronectin type III domain-containing protein 5 OS=Homo sapiens OX=9606 GN=FNDC5 PE=1 SV=3
+IKSRLLGGGQHEPTSTESASKTKEKNNNPENDKIIDYQRCFLAIVGAWMFLVVVIILVEGTRLQQNRGMEKMTVEDKNKSAMKEAERPTKFLVPESAPSQGQISIAQVHVIYETDEELDWLACSRTTTNVEQIFRLMRVDKKQQSIAFGIVVEDELVDWSVVASNAKLHRVTVNVPASPSDAQVLAFCVCGLWLRLAARARPPWASPSGPHM
+>sp|Q5VTL7|FNDC7_HUMAN Fibronectin type III domain-containing protein 7 OS=Homo sapiens OX=9606 GN=FNDC7 PE=2 SV=3
+MAGGRETCLPLIGFILICLKMVASAKSAPEIPTIDQAYSKLSNSITVEWATVPGATSYLLTAEDGDTVIETTVANSPGTVTGLKAATWYEITIRSISAAGRSQASPPKQAKTVLAAPILEVSSPSSDSILVQWEAVYMAIAFSVSIMRANGLGSIWKENTTNTSLTFTSLEAGTLYTIKAYAWNANRIPGDDSTCNQRTSPRAPANIQVSFDSGALKASFSWARAEGAFNYTVMALSDSSELTCSTTFSSCTISSLQCGTEYLISVLASNDAGSSKSSSAMTLKTVACAPGRVTIQEDPPGHLSVAWSSVDLGDYYVVFVKSDDGLEVHCNTSLTQCNFLSECGFTYFISVFVYNKAGQSPLGDIFNYTTAPCCPSDINPVLVSSDRVEIVWSPVRGAELYETKAVDGYNMVECNDTTPACTLSALECDTKYNITVYSFNEVRGSNMSCTPQFITTAPCSPEIKNVSRDAFSMINVHWRSTNDDATYTVTAQGEKGLYQCSSTGESCTMRGLPCGSVFSVTAVAETQAGRSLPSYSVPLETVPCCPTGLTVTQITQSVINVSWTIGRVAQTHVAVLESHTGQSKCHTHQNHCLLGCITCGINYTVTLKAISATGLTADCSYQSYFSGACCPLGVKLYRLGPNGIRIYWQASRGSANYSTDLYGSKGIFTCTPSAGLSFCDVTEIPCGDVYTVMVSPVAKTGLKLTFCPKKIYSVTCSGSTLGMVIYRGKRNEE
+>DECOY_sp|Q5VTL7|FNDC7_HUMAN Fibronectin type III domain-containing protein 7 OS=Homo sapiens OX=9606 GN=FNDC7 PE=2 SV=3
+EENRKGRYIVMGLTSGSCTVSYIKKPCFTLKLGTKAVPSVMVTYVDGCPIETVDCFSLGASPTCTFIGKSGYLDTSYNASGRSAQWYIRIGNPGLRYLKVGLPCCAGSFYSQYSCDATLGTASIAKLTVTYNIGCTICGLLCHNQHTHCKSQGTHSELVAVHTQAVRGITWSVNIVSQTIQTVTLGTPCCPVTELPVSYSPLSRGAQTEAVATVSFVSGCPLGRMTCSEGTSSCQYLGKEGQATVTYTADDNTSRWHVNIMSFADRSVNKIEPSCPATTIFQPTCSMNSGRVENFSYVTINYKTDCELASLTCAPTTDNCEVMNYGDVAKTEYLEAGRVPSWVIEVRDSSVLVPNIDSPCCPATTYNFIDGLPSQGAKNYVFVSIFYTFGCESLFNCQTLSTNCHVELGDDSKVFVVYYDGLDVSSWAVSLHGPPDEQITVRGPACAVTKLTMASSSKSSGADNSALVSILYETGCQLSSITCSSFTTSCTLESSDSLAMVTYNFAGEARAWSFSAKLAGSDFSVQINAPARPSTRQNCTSDDGPIRNANWAYAKITYLTGAELSTFTLSTNTTNEKWISGLGNARMISVSFAIAMYVAEWQVLISDSSPSSVELIPAALVTKAQKPPSAQSRGAASISRITIEYWTAAKLGTVTGPSNAVTTEIVTDGDEATLLYSTAGPVTAWEVTISNSLKSYAQDITPIEPASKASAVMKLCILIFGILPLCTERGGAM
+>sp|Q9P278|FNIP2_HUMAN Folliculin-interacting protein 2 OS=Homo sapiens OX=9606 GN=FNIP2 PE=1 SV=2
+MAPTLLQKLFNKRGSSGSSAAASAQGRAPKEGPAFSWSCSEFDLNEIRLIVYQDCDRRGRQVLFDSKAVQKIEEVTAQKTEDVPIKISAKCCQGSSSVSSSSSSSISSHSSSGGSSHHAKEQLPKYQYTRPASDVNMLGEMMFGSVAMSYKGSTLKIHYIRSPPQLMISKVFSARMGSFCGSTNNLQDSFEYINQDPNLGKLNTNQNSLGPCRTGSNLAHSTPVDMPSRGQNEDRDSGIARSASLSSLLITPFPSPSSSTSSSSSYQRRWLRSQTTSLENGIIPRRSTDETFSLAEETCSSNPAMVRRKKIAISIIFSLCEKEEAQRNFQDFFFSHFPLFESHMNRLKSAIEKAMISCRKIAESSLRVQFYVSRLMEALGEFRGTIWNLYSVPRIAEPVWLTMMSGTLEKNQLCQRFLKEFTLLIEQINKNQFFAALLTAVLTYHLAWVPTVMPVDHPPIKAFSEKRTSQSVNMLAKTHPYNPLWAQLGDLYGAIGSPVRLTRTVVVGKQKDLVQRILYVLTYFLRCSELQENQLTWSGNHGEGDQVLNGSKIITALEKGEVEESEYVVITVRNEPALVPPILPPTAAERHNPWPTGFPECPEGTDSRDLGLKPDKEANRRPEQGSEACSAGCLGPASDASWKPQNAFCGDEKNKEAPQDGSSRLPSCEVLGAGMKMDQQAVCELLKVEMPTRLPDRSVAWPCPDRHLREKPSLEKVTFQIGSFASPESDFESRMKKMEERVKACGPSLEASEAADVAQDPQVSRSPFKPGFQENVCCPQNRLSEGDEGESDKGFAEDRGSRNDMAADIAGQLSHAADLGTASHGAGGTGGRRLEATRGLYVKAAEGPVLEPVAPRCVQRGPGLVAGANIPCGDDNKKANFRTEGDIPRNESSDSALGDSDDEACASAMLDLGHGGDRTGGSLEVELPLPRSQSISTQNVRNFGRSLLAGYCPTYMPDLVLHGTGSDEKLKQCLVADLVHTVHHPVLDEPIAEAVCIIADTDKWSVQVATSQRKVTDNMKLGQDVLVSSQVSSLLQSILQLYKLHLPADFCIMHLEDRLQEMYLKSKMLSEYLRGHTRVHVKELGVVLGIESNDLPLLTAIASTHSPYVAQILL
+>DECOY_sp|Q9P278|FNIP2_HUMAN Folliculin-interacting protein 2 OS=Homo sapiens OX=9606 GN=FNIP2 PE=1 SV=2
+LLIQAVYPSHTSAIATLLPLDNSEIGLVVGLEKVHVRTHGRLYESLMKSKLYMEQLRDELHMICFDAPLHLKYLQLISQLLSSVQSSVLVDQGLKMNDTVKRQSTAVQVSWKDTDAIICVAEAIPEDLVPHHVTHVLDAVLCQKLKEDSGTGHLVLDPMYTPCYGALLSRGFNRVNQTSISQSRPLPLEVELSGGTRDGGHGLDLMASACAEDDSDGLASDSSENRPIDGETRFNAKKNDDGCPINAGAVLGPGRQVCRPAVPELVPGEAAKVYLGRTAELRRGGTGGAGHSATGLDAAHSLQGAIDAAMDNRSGRDEAFGKDSEGEDGESLRNQPCCVNEQFGPKFPSRSVQPDQAVDAAESAELSPGCAKVREEMKKMRSEFDSEPSAFSGIQFTVKELSPKERLHRDPCPWAVSRDPLRTPMEVKLLECVAQQDMKMGAGLVECSPLRSSGDQPAEKNKEDGCFANQPKWSADSAPGLCGASCAESGQEPRRNAEKDPKLGLDRSDTGEPCEPFGTPWPNHREAATPPLIPPVLAPENRVTIVVYESEEVEGKELATIIKSGNLVQDGEGHNGSWTLQNEQLESCRLFYTLVYLIRQVLDKQKGVVVTRTLRVPSGIAGYLDGLQAWLPNYPHTKALMNVSQSTRKESFAKIPPHDVPMVTPVWALHYTLVATLLAAFFQNKNIQEILLTFEKLFRQCLQNKELTGSMMTLWVPEAIRPVSYLNWITGRFEGLAEMLRSVYFQVRLSSEAIKRCSIMAKEIASKLRNMHSEFLPFHSFFFDQFNRQAEEKECLSFIISIAIKKRRVMAPNSSCTEEALSFTEDTSRRPIIGNELSTTQSRLWRRQYSSSSSTSSSPSPFPTILLSSLSASRAIGSDRDENQGRSPMDVPTSHALNSGTRCPGLSNQNTNLKGLNPDQNIYEFSDQLNNTSGCFSGMRASFVKSIMLQPPSRIYHIKLTSGKYSMAVSGFMMEGLMNVDSAPRTYQYKPLQEKAHHSSGGSSSHSSISSSSSSSVSSSGQCCKASIKIPVDETKQATVEEIKQVAKSDFLVQRGRRDCDQYVILRIENLDFESCSWSFAPGEKPARGQASAAASSGSSGRKNFLKQLLTPAM
+>sp|Q9HBA9|FOH1B_HUMAN Putative N-acetylated-alpha-linked acidic dipeptidase OS=Homo sapiens OX=9606 GN=FOLH1B PE=2 SV=1
+MGGSAPPDSSWRGSLKVSYNVGPGFTGNFSTQKVKMHIHSTNEVTRIYNVIGTLRGAVEPDRYVILGGHRDSWVFGGIDPQSGAAVVHETVRSFGTLKKEGWRPRRTILFASWDAEEFGLLGSTEWAEDNSRLLQERGVAYINADSSIEGNYTLRVDCTPLMYSLVYNLTKELKSPDEGFEGKSLYESWTKKSPSPEFSGMPRISKLGSGNDFEVFFQRLGIASGRARYTKNWETNKFSGYPLYHSVYETYELVEKFYDPMFKYHLTVAQVRGGMVFELANSIVLPFDCRDYAVVLRKYADKIYNISMKHPQEMKTYSLSFDSLFSAVKNFTEIASKFSERLQDFDKSNPILLRMMNDQLMFLERAFIDPLGLPDRPFYRHVIYAPSSHNKYAGESFPGIYDALFDIESKVDPSKAWGDVKRQISVAAFTVQAAAETLSEVA
+>DECOY_sp|Q9HBA9|FOH1B_HUMAN Putative N-acetylated-alpha-linked acidic dipeptidase OS=Homo sapiens OX=9606 GN=FOLH1B PE=2 SV=1
+AVESLTEAAAQVTFAAVSIQRKVDGWAKSPDVKSEIDFLADYIGPFSEGAYKNHSSPAYIVHRYFPRDPLGLPDIFARELFMLQDNMMRLLIPNSKDFDQLRESFKSAIETFNKVASFLSDFSLSYTKMEQPHKMSINYIKDAYKRLVVAYDRCDFPLVISNALEFVMGGRVQAVTLHYKFMPDYFKEVLEYTEYVSHYLPYGSFKNTEWNKTYRARGSAIGLRQFFVEFDNGSGLKSIRPMGSFEPSPSKKTWSEYLSKGEFGEDPSKLEKTLNYVLSYMLPTCDVRLTYNGEISSDANIYAVGREQLLRSNDEAWETSGLLGFEEADWSAFLITRRPRWGEKKLTGFSRVTEHVVAAGSQPDIGGFVWSDRHGGLIVYRDPEVAGRLTGIVNYIRTVENTSHIHMKVKQTSFNGTFGPGVNYSVKLSGRWSSDPPASGGM
+>sp|Q05932|FOLC_HUMAN Folylpolyglutamate synthase, mitochondrial OS=Homo sapiens OX=9606 GN=FPGS PE=1 SV=3
+MSRARSHLRAALFLAAASARGITTQVAARRGLSAWPVPQEPSMEYQDAVRMLNTLQTNAGYLEQVKRQRGDPQTQLEAMELYLARSGLQVEDLDRLNIIHVTGTKGKGSTCAFTECILRSYGLKTGFFSSPHLVQVRERIRINGQPISPELFTKYFWRLYHRLEETKDGSCVSMPPYFRFLTLMAFHVFLQEKVDLAVVEVGIGGAYDCTNIIRKPVVCGVSSLGIDHTSLLGDTVEKIAWQKGGIFKQGVPAFTVLQPEGPLAVLRDRAQQISCPLYLCPMLEALEEGGPPLTLGLEGEHQRSNAALALQLAHCWLQRQDRHGAGEPKASRPGLLWQLPLAPVFQPTSHMRLGLRNTEWPGRTQVLRRGPLTWYLDGAHTASSAQACVRWFRQALQGRERPSGGPEVRVLLFNATGDRDPAALLKLLQPCQFDYAVFCPNLTEVSSTGNADQQNFTVTLDQVLLRCLEHQQHWNHLDEEQASPDLWSAPSPEPGGSASLLLAPHPPHTCSASSLVFSCISHALQWISQGRDPIFQPPSPPKGLLTHPVAHSGASILREAAAIHVLVTGSLHLVGGVLKLLEPALSQ
+>DECOY_sp|Q05932|FOLC_HUMAN Folylpolyglutamate synthase, mitochondrial OS=Homo sapiens OX=9606 GN=FPGS PE=1 SV=3
+QSLAPELLKLVGGVLHLSGTVLVHIAAAERLISAGSHAVPHTLLGKPPSPPQFIPDRGQSIWQLAHSICSFVLSSASCTHPPHPALLLSASGGPEPSPASWLDPSAQEEDLHNWHQQHELCRLLVQDLTVTFNQQDANGTSSVETLNPCFVAYDFQCPQLLKLLAAPDRDGTANFLLVRVEPGGSPRERGQLAQRFWRVCAQASSATHAGDLYWTLPGRRLVQTRGPWETNRLGLRMHSTPQFVPALPLQWLLGPRSAKPEGAGHRDQRQLWCHALQLALAANSRQHEGELGLTLPPGGEELAELMPCLYLPCSIQQARDRLVALPGEPQLVTFAPVGQKFIGGKQWAIKEVTDGLLSTHDIGLSSVGCVVPKRIINTCDYAGGIGVEVVALDVKEQLFVHFAMLTLFRFYPPMSVCSGDKTEELRHYLRWFYKTFLEPSIPQGNIRIRERVQVLHPSSFFGTKLGYSRLICETFACTSGKGKTGTVHIINLRDLDEVQLGSRALYLEMAELQTQPDGRQRKVQELYGANTQLTNLMRVADQYEMSPEQPVPWASLGRRAAVQTTIGRASAAALFLAARLHSRARSM
+>sp|P14207|FOLR2_HUMAN Folate receptor beta OS=Homo sapiens OX=9606 GN=FOLR2 PE=1 SV=4
+MVWKWMPLLLLLVCVATMCSAQDRTDLLNVCMDAKHHKTKPGPEDKLHDQCSPWKKNACCTASTSQELHKDTSRLYNFNWDHCGKMEPACKRHFIQDTCLYECSPNLGPWIQQVNQSWRKERFLDVPLCKEDCQRWWEDCHTSHTCKSNWHRGWDWTSGVNKCPAGALCRTFESYFPTPAALCEGLWSHSYKVSNYSRGSGRCIQMWFDSAQGNPNEEVARFYAAAMHVNAGEMLHGTGGLLLSLALMLQLWLLG
+>DECOY_sp|P14207|FOLR2_HUMAN Folate receptor beta OS=Homo sapiens OX=9606 GN=FOLR2 PE=1 SV=4
+GLLWLQLMLALSLLLGGTGHLMEGANVHMAAAYFRAVEENPNGQASDFWMQICRGSGRSYNSVKYSHSWLGECLAAPTPFYSEFTRCLAGAPCKNVGSTWDWGRHWNSKCTHSTHCDEWWRQCDEKCLPVDLFREKRWSQNVQQIWPGLNPSCEYLCTDQIFHRKCAPEMKGCHDWNFNYLRSTDKHLEQSTSATCCANKKWPSCQDHLKDEPGPKTKHHKADMCVNLLDTRDQASCMTAVCVLLLLLPMWKWVM
+>sp|P55317|FOXA1_HUMAN Hepatocyte nuclear factor 3-alpha OS=Homo sapiens OX=9606 GN=FOXA1 PE=1 SV=2
+MLGTVKMEGHETSDWNSYYADTQEAYSSVPVSNMNSGLGSMNSMNTYMTMNTMTTSGNMTPASFNMSYANPGLGAGLSPGAVAGMPGGSAGAMNSMTAAGVTAMGTALSPSGMGAMGAQQAASMNGLGPYAAAMNPCMSPMAYAPSNLGRSRAGGGGDAKTFKRSYPHAKPPYSYISLITMAIQQAPSKMLTLSEIYQWIMDLFPYYRQNQQRWQNSIRHSLSFNDCFVKVARSPDKPGKGSYWTLHPDSGNMFENGCYLRRQKRFKCEKQPGAGGGGGSGSGGSGAKGGPESRKDPSGASNPSADSPLHRGVHGKTGQLEGAPAPGPAASPQTLDHSGATATGGASELKTPASSTAPPISSGPGALASVPASHPAHGLAPHESQLHLKGDPHYSFNHPFSINNLMSSSEQQHKLDFKAYEQALQYSPYGSTLPASLPLGSASVTTRSPIEPSALEPAYYQGVYSRPVLNTS
+>DECOY_sp|P55317|FOXA1_HUMAN Hepatocyte nuclear factor 3-alpha OS=Homo sapiens OX=9606 GN=FOXA1 PE=1 SV=2
+STNLVPRSYVGQYYAPELASPEIPSRTTVSASGLPLSAPLTSGYPSYQLAQEYAKFDLKHQQESSSMLNNISFPHNFSYHPDGKLHLQSEHPALGHAPHSAPVSALAGPGSSIPPATSSAPTKLESAGGTATAGSHDLTQPSAAPGPAPAGELQGTKGHVGRHLPSDASPNSAGSPDKRSEPGGKAGSGGSGSGGGGGAGPQKECKFRKQRRLYCGNEFMNGSDPHLTWYSGKGPKDPSRAVKVFCDNFSLSHRISNQWRQQNQRYYPFLDMIWQYIESLTLMKSPAQQIAMTILSIYSYPPKAHPYSRKFTKADGGGGARSRGLNSPAYAMPSMCPNMAAAYPGLGNMSAAQQAGMAGMGSPSLATGMATVGAATMSNMAGASGGPMGAVAGPSLGAGLGPNAYSMNFSAPTMNGSTTMTNMTMYTNMSNMSGLGSNMNSVPVSSYAEQTDAYYSNWDSTEHGEMKVTGLM
+>sp|Q16676|FOXD1_HUMAN Forkhead box protein D1 OS=Homo sapiens OX=9606 GN=FOXD1 PE=1 SV=1
+MTLSTEMSDASGLAEETDIDVVGEGEDEEDEEEEDDDEGGGGGPRLAVPAQRRRRRRSYAGEDELEDLEEEEDDDDILLAPPAGGSPAPPGPAPAAGAGAGGGGGGGGAGGGGSAGSGAKNPLVKPPYSYIALITMAILQSPKKRLTLSEICEFISGRFPYYREKFPAWQNSIRHNLSLNDCFVKIPREPGNPGKGNYWTLDPESADMFDNGSFLRRRKRFKRQPLLPPNAAAAESLLLRGAGAAGGAGDPAAAAALFPPAPPPPPHAYGYGPYGCGYGLQLPPYAPPSALFAAAAAAAAAAAFHPHSPPPPPPPHGAAAELARTAFGYRPHPLGAALPGPLPASAAKAGGPGASALARSPFSIESIIGGSLGPAAAAAAAAQAAAAAQASPSPSPVAAPPAPGSSGGGCAAQAAVGPAAALTRSLVAAAAAAASSVSSSAALGTLHQGTALSSVENFTARISNC
+>DECOY_sp|Q16676|FOXD1_HUMAN Forkhead box protein D1 OS=Homo sapiens OX=9606 GN=FOXD1 PE=1 SV=1
+CNSIRATFNEVSSLATGQHLTGLAASSSVSSAAAAAAAVLSRTLAAAPGVAAQAACGGGSSGPAPPAAVPSPSPSAQAAAAAQAAAAAAAAPGLSGGIISEISFPSRALASAGPGGAKAASAPLPGPLAAGLPHPRYGFATRALEAAAGHPPPPPPPSHPHFAAAAAAAAAAAFLASPPAYPPLQLGYGCGYPGYGYAHPPPPPAPPFLAAAAAPDGAGGAAGAGRLLLSEAAAANPPLLPQRKFRKRRRLFSGNDFMDASEPDLTWYNGKGPNGPERPIKVFCDNLSLNHRISNQWAPFKERYYPFRGSIFECIESLTLRKKPSQLIAMTILAIYSYPPKVLPNKAGSGASGGGGAGGGGGGGGAGAGAAPAPGPPAPSGGAPPALLIDDDDEEEELDELEDEGAYSRRRRRRQAPVALRPGGGGGEDDDEEEEDEEDEGEGVVDIDTEEALGSADSMETSLTM
+>sp|O60548|FOXD2_HUMAN Forkhead box protein D2 OS=Homo sapiens OX=9606 GN=FOXD2 PE=1 SV=2
+MTLGSCCCEIMSSESSPAALSEADADIDVVGGGSGGGELPARSGPRAPRDVLPHGHEPPAEEAEADLAEDEEESGGCSDGEPRALASRGAAAAAGSPGPGAAAARGAAGPGPGPPSGGAATRSPLVKPPYSYIALITMAILQSPKKRLTLSEICEFISGRFPYYREKFPAWQNSIRHNLSLNDCFVKIPREPGNPGKGNYWTLDPESADMFDNGSFLRRRKRFKRQPLPPPHPHPHPHPELLLRGGAAAAGDPGAFLPGFAAYGAYGYGYGLALPAYGAPPPGPAPHPHPHPHAFAFAAAAAAAPCQLSVPPGRAAAPPPGPPTASVFAGAGSAPAPAPASGSGPGPGPAGLPAFLGAELGCAKAFYAASLSPPAAGTAAGLPTALLRQGLKTDAGGGAGGGGAGAGQRPSFSIDHIMGHGGGGAAPPGAGEGSPGPPFAAAAGPGGQAQVLAMLTAPALAPVAGHIRLSHPGDALLSSGSRFASKVAGLSGCHF
+>DECOY_sp|O60548|FOXD2_HUMAN Forkhead box protein D2 OS=Homo sapiens OX=9606 GN=FOXD2 PE=1 SV=2
+FHCGSLGAVKSAFRSGSSLLADGPHSLRIHGAVPALAPATLMALVQAQGGPGAAAAFPPGPSGEGAGPPAAGGGGHGMIHDISFSPRQGAGAGGGGAGGGADTKLGQRLLATPLGAATGAAPPSLSAAYFAKACGLEAGLFAPLGAPGPGPGSGSAPAPAPASGAGAFVSATPPGPPPAAARGPPVSLQCPAAAAAAAFAFAHPHPHPHPAPGPPPAGYAPLALGYGYGYAGYAAFGPLFAGPDGAAAAGGRLLLEPHPHPHPHPPPLPQRKFRKRRRLFSGNDFMDASEPDLTWYNGKGPNGPERPIKVFCDNLSLNHRISNQWAPFKERYYPFRGSIFECIESLTLRKKPSQLIAMTILAIYSYPPKVLPSRTAAGGSPPGPGPGAAGRAAAAGPGPSGAAAAAGRSALARPEGDSCGGSEEEDEALDAEAEEAPPEHGHPLVDRPARPGSRAPLEGGGSGGGVVDIDADAESLAAPSSESSMIECCCSGLTM
+>sp|Q12950|FOXD4_HUMAN Forkhead box protein D4 OS=Homo sapiens OX=9606 GN=FOXD4 PE=2 SV=4
+MNLPRAERLRSTPQRSLRDSDGEDGKIDVLGEEEDEDEEEAASQQFLEQSLQPGLQVARWGGVALPREHIEGGGGPSDPSEFGTEFRAPPRSAAASEDARQPAKPPSSYIALITMAILQSPHKRLTLSGICAFISDRFPYYRRKFPAWQNSIRHNLSLNDCFVKIPREPGRPGKGNYWSLDPASQDMFDNGSFLRRRKRFQRHQPTPGAHLPHPFPLPAAHAALHNPRPGPLLGAPAPPQPVPGAYPNTGPGRRPYALLHPHPPRYLLLSAPAYAGAPKKAEGADLATPAPFPCCSPHLVLSLGRRARVWRRHREADASLSALRVSCKGSGERVQGLRRVCPRPRGATAPCSSDRQACRTILQQQQRHQEEDCANGCAPTKGAVLGGHLSAASALLRYQAVAEGSGLTSLAAPLGGEGTSPVFLVSPTPSSLAESAGPS
+>DECOY_sp|Q12950|FOXD4_HUMAN Forkhead box protein D4 OS=Homo sapiens OX=9606 GN=FOXD4 PE=2 SV=4
+SPGASEALSSPTPSVLFVPSTGEGGLPAALSTLGSGEAVAQYRLLASAASLHGGLVAGKTPACGNACDEEQHRQQQQLITRCAQRDSSCPATAGRPRPCVRRLGQVREGSGKCSVRLASLSADAERHRRWVRARRGLSLVLHPSCCPFPAPTALDAGEAKKPAGAYAPASLLLYRPPHPHLLAYPRRGPGTNPYAGPVPQPPAPAGLLPGPRPNHLAAHAAPLPFPHPLHAGPTPQHRQFRKRRRLFSGNDFMDQSAPDLSWYNGKGPRGPERPIKVFCDNLSLNHRISNQWAPFKRRYYPFRDSIFACIGSLTLRKHPSQLIAMTILAIYSSPPKAPQRADESAAASRPPARFETGFESPDSPGGGGEIHERPLAVGGWRAVQLGPQLSQELFQQSAAEEEDEDEEEGLVDIKGDEGDSDRLSRQPTSRLREARPLNM
+>sp|A8MTJ6|FOXI3_HUMAN Forkhead box protein I3 OS=Homo sapiens OX=9606 GN=FOXI3 PE=2 SV=3
+MALYCGDNFGVYSQPGLPPPAATAAAPGAPPAARAPYGLADYAAPPAAAANPYLWLNGPGVGGPPSAAAAAAAAYLGAPPPPPPPGAAAGPFLQPPPAAGTFGCSQRPFAQPAPAAPASPAAPAGPGELGWLSMASREDLMKMVRPPYSYSALIAMAIQSAPERKLTLSHIYQFVADSFPFYQRSKAGWQNSIRHNLSLNDCFKKVPRDEDDPGKGNYWTLDPNCEKMFDNGNFRRKRKRRSEASNGSTVAAGTSKSEEGLSSGLGSGVGGKPEEESPSTLLRPSHSPEPPEGTKSTASSPGGPMLTSTPCLNTFFSSLSSLSVSSSVSTQRALPGSRHLGIQGAQLPSSGVFSPTSISEASADTLQLSNSTSNSTGQRSSYYSPFPASTSGGQSSPFSSPFHNFSMVNSLIYPREGSEV
+>DECOY_sp|A8MTJ6|FOXI3_HUMAN Forkhead box protein I3 OS=Homo sapiens OX=9606 GN=FOXI3 PE=2 SV=3
+VESGERPYILSNVMSFNHFPSSFPSSQGGSTSAPFPSYYSSRQGTSNSTSNSLQLTDASAESISTPSFVGSSPLQAGQIGLHRSGPLARQTSVSSSVSLSSLSSFFTNLCPTSTLMPGGPSSATSKTGEPPEPSHSPRLLTSPSEEEPKGGVGSGLGSSLGEESKSTGAAVTSGNSAESRRKRKRRFNGNDFMKECNPDLTWYNGKGPDDEDRPVKKFCDNLSLNHRISNQWGAKSRQYFPFSDAVFQYIHSLTLKREPASQIAMAILASYSYPPRVMKMLDERSAMSLWGLEGPGAPAAPSAPAAPAPQAFPRQSCGFTGAAPPPQLFPGAAAGPPPPPPPAGLYAAAAAAAASPPGGVGPGNLWLYPNAAAAPPAAYDALGYPARAAPPAGPAAATAAPPPLGPQSYVGFNDGCYLAM
+>sp|Q92949|FOXJ1_HUMAN Forkhead box protein J1 OS=Homo sapiens OX=9606 GN=FOXJ1 PE=1 SV=3
+MAESWLRLSGAGPAEEAGPEGGLEEPDALDDSLTSLQWLQEFSILNAKAPALPPGGTDPHGYHQVPGSAAPGSPLAADPACLGQPHTPGKPTSSCTSRSAPPGLQAPPPDDVDYATNPHVKPPYSYATLICMAMQASKATKITLSAIYKWITDNFCYFRHADPTWQNSIRHNLSLNKCFIKVPREKDEPGKGGFWRIDPQYAERLLSGAFKKRRLPPVHIHPAFARQAAQEPSAVPRAGPLTVNTEAQQLLREFEEATGEAGWGAGEGRLGHKRKQPLPKRVAKVPRPPSTLLPTPEEQGELEPLKGNFDWEAIFDAGTLGGELGALEALELSPPLSPASHVDVDLTIHGRHIDCPATWGPSVEQAADSLDFDETFLATSFLQHPWDESGSGCLPPEPLFEAGDATLASDLQDWASVGAFL
+>DECOY_sp|Q92949|FOXJ1_HUMAN Forkhead box protein J1 OS=Homo sapiens OX=9606 GN=FOXJ1 PE=1 SV=3
+LFAGVSAWDQLDSALTADGAEFLPEPPLCGSGSEDWPHQLFSTALFTEDFDLSDAAQEVSPGWTAPCDIHRGHITLDVDVHSAPSLPPSLELAELAGLEGGLTGADFIAEWDFNGKLPELEGQEEPTPLLTSPPRPVKAVRKPLPQKRKHGLRGEGAGWGAEGTAEEFERLLQQAETNVTLPGARPVASPEQAAQRAFAPHIHVPPLRRKKFAGSLLREAYQPDIRWFGGKGPEDKERPVKIFCKNLSLNHRISNQWTPDAHRFYCFNDTIWKYIASLTIKTAKSAQMAMCILTAYSYPPKVHPNTAYDVDDPPPAQLGPPASRSTCSSTPKGPTHPQGLCAPDAALPSGPAASGPVQHYGHPDTGGPPLAPAKANLISFEQLWQLSTLSDDLADPEELGGEPGAEEAPGAGSLRLWSEAM
+>sp|O43524|FOXO3_HUMAN Forkhead box protein O3 OS=Homo sapiens OX=9606 GN=FOXO3 PE=1 SV=1
+MAEAPASPAPLSPLEVELDPEFEPQSRPRSCTWPLQRPELQASPAKPSGETAADSMIPEEEDDEDDEDGGGRAGSAMAIGGGGGSGTLGSGLLLEDSARVLAPGGQDPGSGPATAAGGLSGGTQALLQPQQPLPPPQPGAAGGSGQPRKCSSRRNAWGNLSYADLITRAIESSPDKRLTLSQIYEWMVRCVPYFKDKGDSNSSAGWKNSIRHNLSLHSRFMRVQNEGTGKSSWWIINPDGGKSGKAPRRRAVSMDNSNKYTKSRGRAAKKKAALQTAPESADDSPSQLSKWPGSPTSRSSDELDAWTDFRSRTNSNASTVSGRLSPIMASTELDEVQDDDAPLSPMLYSSSASLSPSVSKPCTVELPRLTDMAGTMNLNDGLTENLMDDLLDNITLPPSQPSPTGGLMQRSSSFPYTTKGSGLGSPTSSFNSTVFGPSSLNSLRQSPMQTIQENKPATFSSMSHYGNQTLQDLLTSDSLSHSDVMMTQSDPLMSQASTAVSAQNSRRNVMLRNDPMMSFAAQPNQGSLVNQNLLHHQHQTQGALGGSRALSNSVSNMGLSESSSLGSAKHQQQSPVSQSMQTLSDSLSGSSLYSTSANLPVMGHEKFPSDLDLDMFNGSLECDMESIIRSELMDADGLDFNFDSLISTQNVVGLNVGNFTGAKQASSQSWVPG
+>DECOY_sp|O43524|FOXO3_HUMAN Forkhead box protein O3 OS=Homo sapiens OX=9606 GN=FOXO3 PE=1 SV=1
+GPVWSQSSAQKAGTFNGVNLGVVNQTSILSDFNFDLGDADMLESRIISEMDCELSGNFMDLDLDSPFKEHGMVPLNASTSYLSSGSLSDSLTQMSQSVPSQQQHKASGLSSSESLGMNSVSNSLARSGGLAGQTQHQHHLLNQNVLSGQNPQAAFSMMPDNRLMVNRRSNQASVATSAQSMLPDSQTMMVDSHSLSDSTLLDQLTQNGYHSMSSFTAPKNEQITQMPSQRLSNLSSPGFVTSNFSSTPSGLGSGKTTYPFSSSRQMLGGTPSPQSPPLTINDLLDDMLNETLGDNLNMTGAMDTLRPLEVTCPKSVSPSLSASSSYLMPSLPADDDQVEDLETSAMIPSLRGSVTSANSNTRSRFDTWADLEDSSRSTPSGPWKSLQSPSDDASEPATQLAAKKKAARGRSKTYKNSNDMSVARRRPAKGSKGGDPNIIWWSSKGTGENQVRMFRSHLSLNHRISNKWGASSNSDGKDKFYPVCRVMWEYIQSLTLRKDPSSEIARTILDAYSLNGWANRRSSCKRPQGSGGAAGPQPPPLPQQPQLLAQTGGSLGGAATAPGSGPDQGGPALVRASDELLLGSGLTGSGGGGGIAMASGARGGGDEDDEDDEEEPIMSDAATEGSPKAPSAQLEPRQLPWTCSRPRSQPEFEPDLEVELPSLPAPSAPAEAM
+>sp|Q9C009|FOXQ1_HUMAN Forkhead box protein Q1 OS=Homo sapiens OX=9606 GN=FOXQ1 PE=2 SV=2
+MKLEVFVPRAAHGDKQGSDLEGAGGSDAPSPLSAAGDDSLGSDGDCAANSPAAGGGARDTQGDGEQSAGGGPGAEEAIPAAAAAAVVAEGAEAGAAGPGAGGAGSGEGARSKPYTRRPKPPYSYIALIAMAIRDSAGGRLTLAEINEYLMGKFPFFRGSYTGWRNSVRHNLSLNDCFVKVLRDPSRPWGKDNYWMLNPNSEYTFADGVFRRRRKRLSHRAPVPAPGLRPEEAPGLPAAPPPAPAAPASPRMRSPARQEERASPAGKFSSSFAIDSILRKPFRSRRLRDTAPGTTLQWGAAPCPPLPAFPALLPAAPCRALLPLCAYGAGEPARLGAREAEVPPTAPPLLLAPLPAAAPAKPLRGPAAGGAHLYCPLRLPAALQAASVRRPGPHLPYPVETLLA
+>DECOY_sp|Q9C009|FOXQ1_HUMAN Forkhead box protein Q1 OS=Homo sapiens OX=9606 GN=FOXQ1 PE=2 SV=2
+ALLTEVPYPLHPGPRRVSAAQLAAPLRLPCYLHAGGAAPGRLPKAPAAAPLPALLLPPATPPVEAERAGLRAPEGAGYACLPLLARCPAAPLLAPFAPLPPCPAAGWQLTTGPATDRLRRSRFPKRLISDIAFSSSFKGAPSAREEQRAPSRMRPSAPAAPAPPPAAPLGPAEEPRLGPAPVPARHSLRKRRRRFVGDAFTYESNPNLMWYNDKGWPRSPDRLVKVFCDNLSLNHRVSNRWGTYSGRFFPFKGMLYENIEALTLRGGASDRIAMAILAIYSYPPKPRRTYPKSRAGEGSGAGGAGPGAAGAEAGEAVVAAAAAAPIAEEAGPGGGASQEGDGQTDRAGGGAAPSNAACDGDSGLSDDGAASLPSPADSGGAGELDSGQKDGHAARPVFVELKM
+>sp|O43638|FOXS1_HUMAN Forkhead box protein S1 OS=Homo sapiens OX=9606 GN=FOXS1 PE=2 SV=2
+MQQQPLPGPGAPTTEPTKPPYSYIALIAMAIQSSPGQRATLSGIYRYIMGRFAFYRHNRPGWQNSIRHNLSLNECFVKVPRDDRKPGKGSYWTLDPDCHDMFEHGSFLRRRRRFTRQTGAEGTRGPAKARRGPLRATSQDPGVPNATTGRQCSFPPELPDPKGLSFGGLVGAMPASMCPATTDGRPRPPMEPKEISTPKPACPGELPVATSSSSCPAFGFPAGFSEAESFNKAPTPVLSPESGIGSSYQCRLQALNFCMGADPGLEHLLASAAPSPAPPTPPGSLRAPLPLPTDHKEPWVAGGFPVQGGSGYPLGLTPCLYRTPGMFFFE
+>DECOY_sp|O43638|FOXS1_HUMAN Forkhead box protein S1 OS=Homo sapiens OX=9606 GN=FOXS1 PE=2 SV=2
+EFFFMGPTRYLCPTLGLPYGSGGQVPFGGAVWPEKHDTPLPLPARLSGPPTPPAPSPAASALLHELGPDAGMCFNLAQLRCQYSSGIGSEPSLVPTPAKNFSEAESFGAPFGFAPCSSSSTAVPLEGPCAPKPTSIEKPEMPPRPRGDTTAPCMSAPMAGVLGGFSLGKPDPLEPPFSCQRGTTANPVGPDQSTARLPGRRAKAPGRTGEAGTQRTFRRRRRLFSGHEFMDHCDPDLTWYSGKGPKRDDRPVKVFCENLSLNHRISNQWGPRNHRYFAFRGMIYRYIGSLTARQGPSSQIAMAILAIYSYPPKTPETTPAGPGPLPQQQM
+>sp|O14772|FPGT_HUMAN Fucose-1-phosphate guanylyltransferase OS=Homo sapiens OX=9606 GN=FPGT PE=1 SV=3
+MRAVRRGLREGGAMAAARDPPEVSLREATQRKLRRFSELRGKLVARGEFWDIVAITAADEKQELAYNQQLSEKLKRKELPLGVQYHVFVDPAGAKIGNGGSTLCALQCLEKLYGDKWNSFTILLIHSGGYSQRLPNASALGKIFTALPLGNPIYQMLELKLAMYIDFPLNMNPGILVTCADDIELYSIGEFEFIRFDKPGFTALAHPSSLTIGTTHGVFVLDPFDDLKHRDLEYRSCHRFLHKPSIEKMYQFNAVCRPGNFCQQDFAGGDIADLKLDSDYVYTDSLFYMDHKSAKMLLAFYEKIGTLSCEIDAYGDFLQALGPGATVEYTRNTSNVIKEESELVEMRQRIFHLLKGTSLNVVVLNNSKFYHIGTTEEYLFYFTSDNSLKSELGLQSITFSIFPDIPECSGKTSCIIQSILDSRCSVAPGSVVEYSRLGPDVSVGENCIISGSYILTKAALPAHSFVCSLSLKMNRCLKYATMAFGVQDNLKKSVKTLSDIKLLQFFGVCFLSCLDVWNLKVTEELFSGNKTCLSLWTARIFPVCSSLSDSVITSLKMLNAVKNKSAFSLNSYKLLSIEEMLIYKDVEDMITYREQIFLEISLKSSLM
+>DECOY_sp|O14772|FPGT_HUMAN Fucose-1-phosphate guanylyltransferase OS=Homo sapiens OX=9606 GN=FPGT PE=1 SV=3
+MLSSKLSIELFIQERYTIMDEVDKYILMEEISLLKYSNLSFASKNKVANLMKLSTIVSDSLSSCVPFIRATWLSLCTKNGSFLEETVKLNWVDLCSLFCVGFFQLLKIDSLTKVSKKLNDQVGFAMTAYKLCRNMKLSLSCVFSHAPLAAKTLIYSGSIICNEGVSVDPGLRSYEVVSGPAVSCRSDLISQIICSTKGSCEPIDPFISFTISQLGLESKLSNDSTFYFLYEETTGIHYFKSNNLVVVNLSTGKLLHFIRQRMEVLESEEKIVNSTNRTYEVTAGPGLAQLFDGYADIECSLTGIKEYFALLMKASKHDMYFLSDTYVYDSDLKLDAIDGGAFDQQCFNGPRCVANFQYMKEISPKHLFRHCSRYELDRHKLDDFPDLVFVGHTTGITLSSPHALATFGPKDFRIFEFEGISYLEIDDACTVLIGPNMNLPFDIYMALKLELMQYIPNGLPLATFIKGLASANPLRQSYGGSHILLITFSNWKDGYLKELCQLACLTSGGNGIKAGAPDVFVHYQVGLPLEKRKLKESLQQNYALEQKEDAATIAVIDWFEGRAVLKGRLESFRRLKRQTAERLSVEPPDRAAAMAGGERLGRRVARM
+>sp|A2A2Y4|FRMD3_HUMAN FERM domain-containing protein 3 OS=Homo sapiens OX=9606 GN=FRMD3 PE=2 SV=1
+MFASCHCVPRGRRTMKMIHFRSSSVKSLSQEMRCTIRLLDDSEISCHIQRETKGQFLIDHICNYYSLLEKDYFGIRYVDPEKQRHWLEPNKSIFKQMKTHPPYTMCFRVKFYPHEPLKIKEELTRYLLYLQIKRDIFHGRLLCSFSDAAYLGACIVQAELGDYDPDEHPENYISEFEIFPKQSQKLERKIVEIHKNELRGQSPPVAEFNLLLKAHTLETYGVDPHPCKDSTGTTTFLGFTAAGFVVFQGNKRIHLIKWPDVCKLKFEGKTFYVIGTQKEKKAMLAFHTSTPAACKHLWKCGVENQAFYKYAKSSQIKTVSSSKIFFKGSRFRYSGKVAKEVVEASSKIQREPPEVHRANITQSRSSHSLNKQLIINMEPLQPLLPSPSEQEEELPLGEGVPLPKEENISAPLISSSPVKAAREYEDPPSEEEDKIKEEPLTISELVYNPSASLLPTPVDDDEIDMLFDCPSRLELEREDTDSFEDLEADENAFLIAEEEELKEARRALSWSYDILTGHIRVNPLVKSFSRLLVVGLGLLLFVFPLLLLLLESGIDLSFLCEIRQTPEFEQFHYEYYCPLKEWVAGKVHLILYMLGCS
+>DECOY_sp|A2A2Y4|FRMD3_HUMAN FERM domain-containing protein 3 OS=Homo sapiens OX=9606 GN=FRMD3 PE=2 SV=1
+SCGLMYLILHVKGAVWEKLPCYYEYHFQEFEPTQRIECLFSLDIGSELLLLLLPFVFLLLGLGVVLLRSFSKVLPNVRIHGTLIDYSWSLARRAEKLEEEEAILFANEDAELDEFSDTDERELELRSPCDFLMDIEDDDVPTPLLSASPNYVLESITLPEEKIKDEEESPPDEYERAAKVPSSSILPASINEEKPLPVGEGLPLEEEQESPSPLLPQLPEMNIILQKNLSHSSRSQTINARHVEPPERQIKSSAEVVEKAVKGSYRFRSGKFFIKSSSVTKIQSSKAYKYFAQNEVGCKWLHKCAAPTSTHFALMAKKEKQTGIVYFTKGEFKLKCVDPWKILHIRKNGQFVVFGAATFGLFTTTGTSDKCPHPDVGYTELTHAKLLLNFEAVPPSQGRLENKHIEVIKRELKQSQKPFIEFESIYNEPHEDPDYDGLEAQVICAGLYAADSFSCLLRGHFIDRKIQLYLLYRTLEEKIKLPEHPYFKVRFCMTYPPHTKMQKFISKNPELWHRQKEPDVYRIGFYDKELLSYYNCIHDILFQGKTERQIHCSIESDDLLRITCRMEQSLSKVSSSRFHIMKMTRRGRPVCHCSAFM
+>sp|Q96NE9|FRMD6_HUMAN FERM domain-containing protein 6 OS=Homo sapiens OX=9606 GN=FRMD6 PE=1 SV=1
+MNKLNFHNNRVMQDRRSVCIFLPNDESLNIIINVKILCHQLLVQVCDLLRLKDCHLFGLSVIQNNEHVYMELSQKLYKYCPKEWKKEASKVRQYEVTWGIDQFGPPMIIHFRVQYYVENGRLISDRAARYYYYWHLRKQVLHSQCVLREEAYFLLAAFALQADLGNFKRNKHYGKYFEPEAYFPSWVVSKRGKDYILKHIPNMHKDQFALTASEAHLKYIKEAVRLDDVAVHYYRLYKDKREIEASLTLGLTMRGIQIFQNLDEEKQLLYDFPWTNVGKLVFVGKKFEILPDGLPSARKLIYYTGCPMRSRHLLQLLSNSHRLYMNLQPVLRHIRKLEENEEKKQYRESYISDNLDLDMDQLEKRSRASGSSAGSMKHKRLSRHSTASHSSSHTSGIEADTKPRDTGPEDSYSSSAIHRKLKTCSSMTSHGSSHTSGVESGGKDRLEEDLQDDEIEMLVDDPRDLEQMNEESLEVSPDMCIYITEDMLMSRKLNGHSGLIVKEIGSSTSSSSETVVKLRGQSTDSLPQTICRKPKTSTDRHSLSLDDIRLYQKDFLRIAGLCQDTAQSYTFGCGHELDEEGLYCNSCLAQQCINIQDAFPVKRTSKYFSLDLTHDEVPEFVV
+>DECOY_sp|Q96NE9|FRMD6_HUMAN FERM domain-containing protein 6 OS=Homo sapiens OX=9606 GN=FRMD6 PE=1 SV=1
+VVFEPVEDHTLDLSFYKSTRKVPFADQINICQQALCSNCYLGEEDLEHGCGFTYSQATDQCLGAIRLFDKQYLRIDDLSLSHRDTSTKPKRCITQPLSDTSQGRLKVVTESSSSTSSGIEKVILGSHGNLKRSMLMDETIYICMDPSVELSEENMQELDRPDDVLMEIEDDQLDEELRDKGGSEVGSTHSSGHSTMSSCTKLKRHIASSSYSDEPGTDRPKTDAEIGSTHSSSHSATSHRSLRKHKMSGASSGSARSRKELQDMDLDLNDSIYSERYQKKEENEELKRIHRLVPQLNMYLRHSNSLLQLLHRSRMPCGTYYILKRASPLGDPLIEFKKGVFVLKGVNTWPFDYLLQKEEDLNQFIQIGRMTLGLTLSAEIERKDKYLRYYHVAVDDLRVAEKIYKLHAESATLAFQDKHMNPIHKLIYDKGRKSVVWSPFYAEPEFYKGYHKNRKFNGLDAQLAFAALLFYAEERLVCQSHLVQKRLHWYYYYRAARDSILRGNEVYYQVRFHIIMPPGFQDIGWTVEYQRVKSAEKKWEKPCYKYLKQSLEMYVHENNQIVSLGFLHCDKLRLLDCVQVLLQHCLIKVNIIINLSEDNPLFICVSRRDQMVRNNHFNLKNM
+>sp|P23945|FSHR_HUMAN Follicle-stimulating hormone receptor OS=Homo sapiens OX=9606 GN=FSHR PE=1 SV=3
+MALLLVSLLAFLSLGSGCHHRICHCSNRVFLCQESKVTEIPSDLPRNAIELRFVLTKLRVIQKGAFSGFGDLEKIEISQNDVLEVIEADVFSNLPKLHEIRIEKANNLLYINPEAFQNLPNLQYLLISNTGIKHLPDVHKIHSLQKVLLDIQDNINIHTIERNSFVGLSFESVILWLNKNGIQEIHNCAFNGTQLDELNLSDNNNLEELPNDVFHGASGPVILDISRTRIHSLPSYGLENLKKLRARSTYNLKKLPTLEKLVALMEASLTYPSHCCAFANWRRQISELHPICNKSILRQEVDYMTQARGQRSSLAEDNESSYSRGFDMTYTEFDYDLCNEVVDVTCSPKPDAFNPCEDIMGYNILRVLIWFISILAITGNIIVLVILTTSQYKLTVPRFLMCNLAFADLCIGIYLLLIASVDIHTKSQYHNYAIDWQTGAGCDAAGFFTVFASELSVYTLTAITLERWHTITHAMQLDCKVQLRHAASVMVMGWIFAFAAALFPIFGISSYMKVSICLPMDIDSPLSQLYVMSLLVLNVLAFVVICGCYIHIYLTVRNPNIVSSSSDTRIAKRMAMLIFTDFLCMAPISFFAISASLKVPLITVSKAKILLVLFHPINSCANPFLYAIFTKNFRRDFFILLSKCGCYEMQAQIYRTETSSTVHNTHPRNGHCSSAPRVTNGSTYILVPLSHLAQN
+>DECOY_sp|P23945|FSHR_HUMAN Follicle-stimulating hormone receptor OS=Homo sapiens OX=9606 GN=FSHR PE=1 SV=3
+NQALHSLPVLIYTSGNTVRPASSCHGNRPHTNHVTSSTETRYIQAQMEYCGCKSLLIFFDRRFNKTFIAYLFPNACSNIPHFLVLLIKAKSVTILPVKLSASIAFFSIPAMCLFDTFILMAMRKAIRTDSSSSVINPNRVTLYIHIYCGCIVVFALVNLVLLSMVYLQSLPSDIDMPLCISVKMYSSIGFIPFLAAAFAFIWGMVMVSAAHRLQVKCDLQMAHTITHWRELTIATLTYVSLESAFVTFFGAADCGAGTQWDIAYNHYQSKTHIDVSAILLLYIGICLDAFALNCMLFRPVTLKYQSTTLIVLVIINGTIALISIFWILVRLINYGMIDECPNFADPKPSCTVDVVENCLDYDFETYTMDFGRSYSSENDEALSSRQGRAQTMYDVEQRLISKNCIPHLESIQRRWNAFACCHSPYTLSAEMLAVLKELTPLKKLNYTSRARLKKLNELGYSPLSHIRTRSIDLIVPGSAGHFVDNPLEELNNNDSLNLEDLQTGNFACNHIEQIGNKNLWLIVSEFSLGVFSNREITHININDQIDLLVKQLSHIKHVDPLHKIGTNSILLYQLNPLNQFAEPNIYLLNNAKEIRIEHLKPLNSFVDAEIVELVDNQSIEIKELDGFGSFAGKQIVRLKTLVFRLEIANRPLDSPIETVKSEQCLFVRNSCHCIRHHCGSGLSLFALLSVLLLAM
+>sp|O95954|FTCD_HUMAN Formimidoyltransferase-cyclodeaminase OS=Homo sapiens OX=9606 GN=FTCD PE=1 SV=2
+MSQLVECVPNFSEGKNQEVIDAISGAITQTPGCVLLDVDAGPSTNRTVYTFVGPPECVVEGALNAARVASRLIDMSRHQGEHPRMGALDVCPFIPVRGVSVDECVLCAQAFGQRLAEELDVPVYLYGEAARMDSRRTLPAIRAGEYEALPKKLQQADWAPDFGPSSFVPSWGATATGARKFLIAFNINLLGTKEQAHRIALNLREQGRGKDQPGRLKKVQGIGWYLDEKNLAQVSTNLLDFEVTALHTVYEETCREAQELSLPVVGSQLVGLVPLKALLDAAAFYCEKENLFILEEEQRIRLVVSRLGLDSLCPFSPKERIIEYLVPERGPERGLGSKSLRAFVGEVGARSAAPGGGSVAAAAAAMGAALGSMVGLMTYGRRQFQSLDTTMRRLIPPFREASAKLTTLVDADAEAFTAYLEAMRLPKNTPEEKDRRTAALQEGLRRAVSVPLTLAETVASLWPALQELARCGNLACRSDLQVAAKALEMGVFGAYFNVLINLRDITDEAFKDQIHHRVSSLLQEAKTQAALVLDCLETRQE
+>DECOY_sp|O95954|FTCD_HUMAN Formimidoyltransferase-cyclodeaminase OS=Homo sapiens OX=9606 GN=FTCD PE=1 SV=2
+EQRTELCDLVLAAQTKAEQLLSSVRHHIQDKFAEDTIDRLNILVNFYAGFVGMELAKAAVQLDSRCALNGCRALEQLAPWLSAVTEALTLPVSVARRLGEQLAATRRDKEEPTNKPLRMAELYATFAEADADVLTTLKASAERFPPILRRMTTDLSQFQRRGYTMLGVMSGLAAGMAAAAAAVSGGGPAASRAGVEGVFARLSKSGLGREPGREPVLYEIIREKPSFPCLSDLGLRSVVLRIRQEEELIFLNEKECYFAAADLLAKLPVLGVLQSGVVPLSLEQAERCTEEYVTHLATVEFDLLNTSVQALNKEDLYWGIGQVKKLRGPQDKGRGQERLNLAIRHAQEKTGLLNINFAILFKRAGTATAGWSPVFSSPGFDPAWDAQQLKKPLAEYEGARIAPLTRRSDMRAAEGYLYVPVDLEEALRQGFAQACLVCEDVSVGRVPIFPCVDLAGMRPHEGQHRSMDILRSAVRAANLAGEVVCEPPGVFTYVTRNTSPGADVDLLVCGPTQTIAGSIADIVEQNKGESFNPVCEVLQSM
+>sp|Q10981|FUT2_HUMAN Galactoside 2-alpha-L-fucosyltransferase 2 OS=Homo sapiens OX=9606 GN=FUT2 PE=1 SV=1
+MLVVQMPFSFPMAHFILFVFTVSTIFHVQQRLAKIQAMWELPVQIPVLASTSKALGPSQLRGMWTINAIGRLGNQMGEYATLYALAKMNGRPAFIPAQMHSTLAPIFRITLPVLHSATASRIPWQNYHLNDWMEEEYRHIPGEYVRFTGYPCSWTFYHHLRQEILQEFTLHDHVREEAQKFLRGLQVNGSRPGTFVGVHVRRGDYVHVMPKVWKGVVADRRYLQQALDWFRARYSSLIFVVTSNGMAWCRENIDTSHGDVVFAGDGIEGSPAKDFALLTQCNHTIMTIGTFGIWAAYLTGGDTIYLANYTLPDSPFLKIFKPEAAFLPEWTGIAADLSPLLKH
+>DECOY_sp|Q10981|FUT2_HUMAN Galactoside 2-alpha-L-fucosyltransferase 2 OS=Homo sapiens OX=9606 GN=FUT2 PE=1 SV=1
+HKLLPSLDAAIGTWEPLFAAEPKFIKLFPSDPLTYNALYITDGGTLYAAWIGFTGITMITHNCQTLLAFDKAPSGEIGDGAFVVDGHSTDINERCWAMGNSTVVFILSSYRARFWDLAQQLYRRDAVVGKWVKPMVHVYDGRRVHVGVFTGPRSGNVQLGRLFKQAEERVHDHLTFEQLIEQRLHHYFTWSCPYGTFRVYEGPIHRYEEEMWDNLHYNQWPIRSATASHLVPLTIRFIPALTSHMQAPIFAPRGNMKALAYLTAYEGMQNGLRGIANITWMGRLQSPGLAKSTSALVPIQVPLEWMAQIKALRQQVHFITSVTFVFLIFHAMPFSFPMQVVLM
+>sp|P22083|FUT4_HUMAN Alpha-(1,3)-fucosyltransferase 4 OS=Homo sapiens OX=9606 GN=FUT4 PE=2 SV=3
+MRRLWGAARKPSGAGWEKEWAEAPQEAPGAWSGRLGPGRSGRKGRAVPGWASWPAHLALAARPARHLGGAGQGPRPLHSGTAPFHSRASGERQRRLEPQLQHESRCRSSTPADAWRAEAALPVRAMGAPWGSPTAAAGGRRGWRRGRGLPWTVCVLAAAGLTCTALITYACWGQLPPLPWASPTPSRPVGVLLWWEPFGGRDSAPRPPPDCRLRFNISGCRLLTDRASYGEAQAVLFHHRDLVKGPPDWPPPWGIQAHTAEEVDLRVLDYEEAAAAAEALATSSPRPPGQRWVWMNFESPSHSPGLRSLASNLFNWTLSYRADSDVFVPYGYLYPRSHPGDPPSGLAPPLSRKQGLVAWVVSHWDERQARVRYYHQLSQHVTVDVFGRGGPGQPVPEIGLLHTVARYKFYLAFENSQHLDYITEKLWRNALLAGAVPVVLGPDRANYERFVPRGAFIHVDDFPSASSLASYLLFLDRNPAVYRRYFHWRRSYAVHITSFWDEPWCRVCQAVQRAGDRPKSIRNLASWFER
+>DECOY_sp|P22083|FUT4_HUMAN Alpha-(1,3)-fucosyltransferase 4 OS=Homo sapiens OX=9606 GN=FUT4 PE=2 SV=3
+REFWSALNRISKPRDGARQVAQCVRCWPEDWFSTIHVAYSRRWHFYRRYVAPNRDLFLLYSALSSASPFDDVHIFAGRPVFREYNARDPGLVVPVAGALLANRWLKETIYDLHQSNEFALYFKYRAVTHLLGIEPVPQGPGGRGFVDVTVHQSLQHYYRVRAQREDWHSVVWAVLGQKRSLPPALGSPPDGPHSRPYLYGYPVFVDSDARYSLTWNFLNSALSRLGPSHSPSEFNMWVWRQGPPRPSSTALAEAAAAAEEYDLVRLDVEEATHAQIGWPPPWDPPGKVLDRHHFLVAQAEGYSARDTLLRCGSINFRLRCDPPPRPASDRGGFPEWWLLVGVPRSPTPSAWPLPPLQGWCAYTILATCTLGAAALVCVTWPLGRGRRWGRRGGAAATPSGWPAGMARVPLAAEARWADAPTSSRCRSEHQLQPELRRQREGSARSHFPATGSHLPRPGQGAGGLHRAPRAALALHAPWSAWGPVARGKRGSRGPGLRGSWAGPAEQPAEAWEKEWGAGSPKRAAGWLRRM
+>sp|P51993|FUT6_HUMAN Alpha-(1,3)-fucosyltransferase 6 OS=Homo sapiens OX=9606 GN=FUT6 PE=1 SV=1
+MDPLGPAKPQWSWRCCLTTLLFQLLMAVCFFSYLRVSQDDPTVYPNGSRFPDSTGTPAHSIPLILLWTWPFNKPIALPRCSEMVPGTADCNITADRKVYPQADAVIVHHREVMYNPSAQLPRSPRRQGQRWIWFSMESPSHCWQLKAMDGYFNLTMSYRSDSDIFTPYGWLEPWSGQPAHPPLNLSAKTELVAWAVSNWGPNSARVRYYQSLQAHLKVDVYGRSHKPLPQGTMMETLSRYKFYLAFENSLHPDYITEKLWRNALEAWAVPVVLGPSRSNYERFLPPDAFIHVDDFQSPKDLARYLQELDKDHARYLSYFRWRETLRPRSFSWALAFCKACWKLQEESRYQTRGIAAWFT
+>DECOY_sp|P51993|FUT6_HUMAN Alpha-(1,3)-fucosyltransferase 6 OS=Homo sapiens OX=9606 GN=FUT6 PE=1 SV=1
+TFWAAIGRTQYRSEEQLKWCAKCFALAWSFSRPRLTERWRFYSLYRAHDKDLEQLYRALDKPSQFDDVHIFADPPLFREYNSRSPGLVVPVAWAELANRWLKETIYDPHLSNEFALYFKYRSLTEMMTGQPLPKHSRGYVDVKLHAQLSQYYRVRASNPGWNSVAWAVLETKASLNLPPHAPQGSWPELWGYPTFIDSDSRYSMTLNFYGDMAKLQWCHSPSEMSFWIWRQGQRRPSRPLQASPNYMVERHHVIVADAQPYVKRDATINCDATGPVMESCRPLAIPKNFPWTWLLILPISHAPTGTSDPFRSGNPYVTPDDQSVRLYSFFCVAMLLQFLLTTLCCRWSWQPKAPGLPDM
+>sp|Q11130|FUT7_HUMAN Alpha-(1,3)-fucosyltransferase 7 OS=Homo sapiens OX=9606 GN=FUT7 PE=1 SV=1
+MNNAGHGPTRRLRGLGVLAGVALLAALWLLWLLGSAPRGTPAPQPTITILVWHWPFTDQPPELPSDTCTRYGIARCHLSANRSLLASADAVVFHHRELQTRRSHLPLAQRPRGQPWVWASMESPSHTHGLSHLRGIFNWVLSYRRDSDIFVPYGRLEPHWGPSPPLPAKSRVAAWVVSNFQERQLRARLYRQLAPHLRVDVFGRANGRPLCASCLVPTVAQYRFYLSFENSQHRDYITEKFWRNALVAGTVPVVLGPPRATYEAFVPADAFVHVDDFGSARELAAFLTGMNESRYQRFFAWRDRLRVRLFTDWRERFCAICDRYPHLPRSQVYEDLEGWFQA
+>DECOY_sp|Q11130|FUT7_HUMAN Alpha-(1,3)-fucosyltransferase 7 OS=Homo sapiens OX=9606 GN=FUT7 PE=1 SV=1
+AQFWGELDEYVQSRPLHPYRDCIACFRERWDTFLRVRLRDRWAFFRQYRSENMGTLFAALERASGFDDVHVFADAPVFAEYTARPPGLVVPVTGAVLANRWFKETIYDRHQSNEFSLYFRYQAVTPVLCSACLPRGNARGFVDVRLHPALQRYLRARLQREQFNSVVWAAVRSKAPLPPSPGWHPELRGYPVFIDSDRRYSLVWNFIGRLHSLGHTHSPSEMSAWVWPQGRPRQALPLHSRRTQLERHHFVVADASALLSRNASLHCRAIGYRTCTDSPLEPPQDTFPWHWVLITITPQPAPTGRPASGLLWLLWLAALLAVGALVGLGRLRRTPGHGANNM
+>sp|Q6VB84|FX4L3_HUMAN Forkhead box protein D4-like 3 OS=Homo sapiens OX=9606 GN=FOXD4L3 PE=1 SV=2
+MNLPRAERLRSTPQRSLRDSDGEDGKIDVLGEEEDEDEVEDEEEAASQQFLEQSLQPGLQVARWGGVALPREHIEGGGGPSDPSEFGTKFRAPPRSAAASEDARQPAKPPYSYIALITMAILQNPHKRLTLSGICAFISGRFPYYRRKFPAWQNSIRHNLSLNDCFVKIPREPGHPGKGNYWSLDPASQDMFDNGSFLRRRKRFKRHQLTPGAHLPHPFPLPAAHAALHNPRPGPLLGAPAPPQPVPGAYPNTAPGRRPYALLHPHPLRYLLLSAPVYAGAPKKAEGAALATPAPFPCCSPHLVLSLGRRARVWRRHREADASLSALRVLCKGSGERVQGLRRICPRPRGATATCSSDHQACCIPRPLPLCCKCPPPPLLGQFCSNSSSIRRRTAPTAALPPRARCWAGTCRPRRPC
+>DECOY_sp|Q6VB84|FX4L3_HUMAN Forkhead box protein D4-like 3 OS=Homo sapiens OX=9606 GN=FOXD4L3 PE=1 SV=2
+CPRRPRCTGAWCRARPPLAATPATRRRISSSNSCFQGLLPPPPCKCCLPLPRPICCAQHDSSCTATAGRPRPCIRRLGQVREGSGKCLVRLASLSADAERHRRWVRARRGLSLVLHPSCCPFPAPTALAAGEAKKPAGAYVPASLLLYRLPHPHLLAYPRRGPATNPYAGPVPQPPAPAGLLPGPRPNHLAAHAAPLPFPHPLHAGPTLQHRKFRKRRRLFSGNDFMDQSAPDLSWYNGKGPHGPERPIKVFCDNLSLNHRISNQWAPFKRRYYPFRGSIFACIGSLTLRKHPNQLIAMTILAIYSYPPKAPQRADESAAASRPPARFKTGFESPDSPGGGGEIHERPLAVGGWRAVQLGPQLSQELFQQSAAEEEDEVEDEDEEEGLVDIKGDEGDSDRLSRQPTSRLREARPLNM
+>sp|Q96IV6|FXDC2_HUMAN Fatty acid hydroxylase domain-containing protein 2 OS=Homo sapiens OX=9606 GN=FAXDC2 PE=2 SV=1
+MKGEAGHMLHNEKSKQEGHIWGSMRRTAFILGSGLLSFVAFWNSVTWHLQRFWGASGYFWQAQWERLLTTFEGKEWILFFIGAIQVPCLFFWSFNGLLLVVDTTGKPNFISRYRIQVGKNEPVDPVKLRQSIRTVLFNQCMISFPMVVFLYPFLKWWRDPCRRELPTFHWFLLELAIFTLIEEVLFYYSHRLLHHPTFYKKIHKKHHEWTAPIGVISLYAHPIEHAVSNMLPVIVGPLVMGSHLSSITMWFSLALIITTISHCGYHLPFLPSPEFHDYHHLKFNQCYGVLGVLDHLHGTDTMFKQTKAYERHVLLLGFTPLSESIPDSPKRME
+>DECOY_sp|Q96IV6|FXDC2_HUMAN Fatty acid hydroxylase domain-containing protein 2 OS=Homo sapiens OX=9606 GN=FAXDC2 PE=2 SV=1
+EMRKPSDPISESLPTFGLLLVHREYAKTQKFMTDTGHLHDLVGLVGYCQNFKLHHYDHFEPSPLFPLHYGCHSITTIILALSFWMTISSLHSGMVLPGVIVPLMNSVAHEIPHAYLSIVGIPATWEHHKKHIKKYFTPHHLLRHSYYFLVEEILTFIALELLFWHFTPLERRCPDRWWKLFPYLFVVMPFSIMCQNFLVTRISQRLKVPDVPENKGVQIRYRSIFNPKGTTDVVLLLGNFSWFFLCPVQIAGIFFLIWEKGEFTTLLREWQAQWFYGSAGWFRQLHWTVSNWFAVFSLLGSGLIFATRRMSGWIHGEQKSKENHLMHGAEGKM
+>sp|Q9H469|FXL15_HUMAN F-box/LRR-repeat protein 15 OS=Homo sapiens OX=9606 GN=FBXL15 PE=1 SV=2
+MEPPMEPSGGEQEPGAVRFLDLPWEDVLLPHVLNRVPLRQLLRLQRVSRAFRSLVQLHLAGLRRFDAAQVGPQIPRAALARLLRDAEGLQELALAPCHEWLSDEDLVPVLARNPQLRSVALGGCGQLSRRALGALAEGCPRLQRLSLAHCDWVDGLALRGLADRCPALEELDLTACRQLKDEAIVYLAQRRGAGLRSLSLAVNANVGDAAVQELARNCPELHHLDLTGCLRVGSDGVRTLAEYCPVLRSLRVRHCHHVAESSLSRLRKRGVDIDVEPPLHQALVLLQDMAGFAPFVNLQV
+>DECOY_sp|Q9H469|FXL15_HUMAN F-box/LRR-repeat protein 15 OS=Homo sapiens OX=9606 GN=FBXL15 PE=1 SV=2
+VQLNVFPAFGAMDQLLVLAQHLPPEVDIDVGRKRLRSLSSEAVHHCHRVRLSRLVPCYEALTRVGDSGVRLCGTLDLHHLEPCNRALEQVAADGVNANVALSLSRLGAGRRQALYVIAEDKLQRCATLDLEELAPCRDALGRLALGDVWDCHALSLRQLRPCGEALAGLARRSLQGCGGLAVSRLQPNRALVPVLDEDSLWEHCPALALEQLGEADRLLRALAARPIQPGVQAADFRRLGALHLQVLSRFARSVRQLRLLQRLPVRNLVHPLLVDEWPLDLFRVAGPEQEGGSPEMPPEM
+>sp|P51114|FXR1_HUMAN Fragile X mental retardation syndrome-related protein 1 OS=Homo sapiens OX=9606 GN=FXR1 PE=1 SV=3
+MAELTVEVRGSNGAFYKGFIKDVHEDSLTVVFENNWQPERQVPFNEVRLPPPPDIKKEISEGDEVEVYSRANDQEPCGWWLAKVRMMKGEFYVIEYAACDATYNEIVTFERLRPVNQNKTVKKNTFFKCTVDVPEDLREACANENAHKDFKKAVGACRIFYHPETTQLMILSASEATVKRVNILSDMHLRSIRTKLMLMSRNEEATKHLECTKQLAAAFHEEFVVREDLMGLAIGTHGSNIQQARKVPGVTAIELDEDTGTFRIYGESADAVKKARGFLEFVEDFIQVPRNLVGKVIGKNGKVIQEIVDKSGVVRVRIEGDNENKLPREDGMVPFVFVGTKESIGNVQVLLEYHIAYLKEVEQLRMERLQIDEQLRQIGSRSYSGRGRGRRGPNYTSGYGTNSELSNPSETESERKDELSDWSLAGEDDRDSRHQRDSRRRPGGRGRSVSGGRGRGGPRGGKSSISSVLKDPDSNPYSLLDNTESDQTADTDASESHHSTNRRRRSRRRRTDEDAVLMDGMTESDTASVNENGLVTVADYISRAESQSRQRNLPRETLAKNKKEMAKDVIEEHGPSEKAINGPTSASGDDISKLQRTPGEEKINTLKEENTQEAAVLNGVS
+>DECOY_sp|P51114|FXR1_HUMAN Fragile X mental retardation syndrome-related protein 1 OS=Homo sapiens OX=9606 GN=FXR1 PE=1 SV=3
+SVGNLVAAEQTNEEKLTNIKEEGPTRQLKSIDDGSASTPGNIAKESPGHEEIVDKAMEKKNKALTERPLNRQRSQSEARSIYDAVTVLGNENVSATDSETMGDMLVADEDTRRRRSRRRRNTSHHSESADTDATQDSETNDLLSYPNSDPDKLVSSISSKGGRPGGRGRGGSVSRGRGGPRRRSDRQHRSDRDDEGALSWDSLEDKRESETESPNSLESNTGYGSTYNPGRRGRGRGSYSRSGIQRLQEDIQLREMRLQEVEKLYAIHYELLVQVNGISEKTGVFVFPVMGDERPLKNENDGEIRVRVVGSKDVIEQIVKGNKGIVKGVLNRPVQIFDEVFELFGRAKKVADASEGYIRFTGTDEDLEIATVGPVKRAQQINSGHTGIALGMLDERVVFEEHFAAALQKTCELHKTAEENRSMLMLKTRISRLHMDSLINVRKVTAESASLIMLQTTEPHYFIRCAGVAKKFDKHANENACAERLDEPVDVTCKFFTNKKVTKNQNVPRLREFTVIENYTADCAAYEIVYFEGKMMRVKALWWGCPEQDNARSYVEVEDGESIEKKIDPPPPLRVENFPVQREPQWNNEFVVTLSDEHVDKIFGKYFAGNSGRVEVTLEAM
+>sp|P59646|FXYD4_HUMAN FXYD domain-containing ion transport regulator 4 OS=Homo sapiens OX=9606 GN=FXYD4 PE=3 SV=2
+MERVTLALLLLAGLTALEANDPFANKDDPFYYDWKNLQLSGLICGGLLAIAGIAAVLSGKCKCKSSQKQHSPVPEKAIPLITPGSATTC
+>DECOY_sp|P59646|FXYD4_HUMAN FXYD domain-containing ion transport regulator 4 OS=Homo sapiens OX=9606 GN=FXYD4 PE=3 SV=2
+CTTASGPTILPIAKEPVPSHQKQSSKCKCKGSLVAAIGAIALLGGCILGSLQLNKWDYYFPDDKNAFPDNAELATLGALLLLALTVREM
+>sp|Q96DB9|FXYD5_HUMAN FXYD domain-containing ion transport regulator 5 OS=Homo sapiens OX=9606 GN=FXYD5 PE=1 SV=2
+MSPSGRLCLLTIVGLILPTRGQTLKDTTSSSSADSTIMDIQVPTRAPDAVYTELQPTSPTPTWPADETPQPQTQTQQLEGTDGPLVTDPETHKSTKAAHPTDDTTTLSERPSPSTDVQTDPQTLKPSGFHEDDPFFYDEHTLRKRGLLVAAVLFITGIIILTSGKCRQLSRLCRNRCR
+>DECOY_sp|Q96DB9|FXYD5_HUMAN FXYD domain-containing ion transport regulator 5 OS=Homo sapiens OX=9606 GN=FXYD5 PE=1 SV=2
+RCRNRCLRSLQRCKGSTLIIIGTIFLVAAVLLGRKRLTHEDYFFPDDEHFGSPKLTQPDTQVDTSPSPRESLTTTDDTPHAAKTSKHTEPDTVLPGDTGELQQTQTQPQPTEDAPWTPTPSTPQLETYVADPARTPVQIDMITSDASSSSTTDKLTQGRTPLILGVITLLCLRGSPSM
+>sp|P58550|FXYD8_HUMAN Putative FXYD domain-containing ion transport regulator 8 OS=Homo sapiens OX=9606 GN=FXYD6P3 PE=5 SV=2
+MEVVLIFVYSLLVPVVLASAAKEKEIDPFHYNYQTLRIGGLVFDVVLFLVPSCHLLSHRCKCSFNQKPQDPGDKEAQVENFITANAKEPQKAKN
+>DECOY_sp|P58550|FXYD8_HUMAN Putative FXYD domain-containing ion transport regulator 8 OS=Homo sapiens OX=9606 GN=FXYD6P3 PE=5 SV=2
+NKAKQPEKANATIFNEVQAEKDGPDQPKQNFSCKCRHSLLHCSPVLFLVVDFVLGGIRLTQYNYHFPDIEKEKAASALVVPVLLSYVFILVVEM
+>sp|O15117|FYB1_HUMAN FYN-binding protein 1 OS=Homo sapiens OX=9606 GN=FYB1 PE=1 SV=2
+MAKYNTGGNPTEDVSVNSRPFRVTGPNSSSGIQARKNLFNNQGNASPPAGPSNVPKFGSPKPPVAVKPSSEEKPDKEPKPPFLKPTGAGQRFGTPASLTTRDPEAKVGFLKPVGPKPINLPKEDSKPTFPWPPGNKPSLHSVNQDHDLKPLGPKSGPTPPTSENEQKQAFPKLTGVKGKFMSASQDLEPKPLFPKPAFGQKPPLSTENSHEDESPMKNVSSSKGSPAPLGVRSKSGPLKPAREDSENKDHAGEISSLPFPGVVLKPAASRGGPGLSKNGEEKKEDRKIDAAKNTFQSKINQEELASGTPPARFPKAPSKLTVGGPWGQSQEKEKGDKNSATPKQKPLPPLFTLGPPPPKPNRPPNVDLTKFHKTSSGNSTSKGQTSYSTTSLPPPPPSHPASQPPLPASHPSQPPVPSLPPRNIKPPFDLKSPVNEDNQDGVTHSDGAGNLDEEQDSEGETYEDIEASKEREKKREKEEKKRLELEKKEQKEKEKKEQEIKKKFKLTGPIQVIHLAKACCDVKGGKNELSFKQGEQIEIIRITDNPEGKWLGRTARGSYGYIKTTAVEIDYDSLKLKKDSLGAPSRPIEDDQEVYDDVAEQDDISSHSQSGSGGIFPPPPDDDIYDGIEEEDADDGFPAPPKQLDMGDEVYDDVDTSDFPVSSAEMSQGTNVGKAKTEEKDLKKLKKQEKEEKDFRKKFKYDGEIRVLYSTKVTTSITSKKWGTRDLQVKPGESLEVIQTTDDTKVLCRNEEGKYGYVLRSYLADNDGEIYDDIADGCIYDND
+>DECOY_sp|O15117|FYB1_HUMAN FYN-binding protein 1 OS=Homo sapiens OX=9606 GN=FYB1 PE=1 SV=2
+DNDYICGDAIDDYIEGDNDALYSRLVYGYKGEENRCLVKTDDTTQIVELSEGPKVQLDRTGWKKSTISTTVKTSYLVRIEGDYKFKKRFDKEEKEQKKLKKLDKEETKAKGVNTGQSMEASSVPFDSTDVDDYVEDGMDLQKPPAPFGDDADEEEIGDYIDDDPPPPFIGGSGSQSHSSIDDQEAVDDYVEQDDEIPRSPAGLSDKKLKLSDYDIEVATTKIYGYSGRATRGLWKGEPNDTIRIIEIQEGQKFSLENKGGKVDCCAKALHIVQIPGTLKFKKKIEQEKKEKEKQEKKELELRKKEEKERKKEREKSAEIDEYTEGESDQEEDLNGAGDSHTVGDQNDENVPSKLDFPPKINRPPLSPVPPQSPHSAPLPPQSAPHSPPPPPLSTTSYSTQGKSTSNGSSTKHFKTLDVNPPRNPKPPPPGLTFLPPLPKQKPTASNKDGKEKEQSQGWPGGVTLKSPAKPFRAPPTGSALEEQNIKSQFTNKAADIKRDEKKEEGNKSLGPGGRSAAPKLVVGPFPLSSIEGAHDKNESDERAPKLPGSKSRVGLPAPSGKSSSVNKMPSEDEHSNETSLPPKQGFAPKPFLPKPELDQSASMFKGKVGTLKPFAQKQENESTPPTPGSKPGLPKLDHDQNVSHLSPKNGPPWPFTPKSDEKPLNIPKPGVPKLFGVKAEPDRTTLSAPTGFRQGAGTPKLFPPKPEKDPKEESSPKVAVPPKPSGFKPVNSPGAPPSANGQNNFLNKRAQIGSSSNPGTVRFPRSNVSVDETPNGGTNYKAM
+>sp|P06241|FYN_HUMAN Tyrosine-protein kinase Fyn OS=Homo sapiens OX=9606 GN=FYN PE=1 SV=3
+MGCVQCKDKEATKLTEERDGSLNQSSGYRYGTDPTPQHYPSFGVTSIPNYNNFHAAGGQGLTVFGGVNSSSHTGTLRTRGGTGVTLFVALYDYEARTEDDLSFHKGEKFQILNSSEGDWWEARSLTTGETGYIPSNYVAPVDSIQAEEWYFGKLGRKDAERQLLSFGNPRGTFLIRESETTKGAYSLSIRDWDDMKGDHVKHYKIRKLDNGGYYITTRAQFETLQQLVQHYSERAAGLCCRLVVPCHKGMPRLTDLSVKTKDVWEIPRESLQLIKRLGNGQFGEVWMGTWNGNTKVAIKTLKPGTMSPESFLEEAQIMKKLKHDKLVQLYAVVSEEPIYIVTEYMNKGSLLDFLKDGEGRALKLPNLVDMAAQVAAGMAYIERMNYIHRDLRSANILVGNGLICKIADFGLARLIEDNEYTARQGAKFPIKWTAPEAALYGRFTIKSDVWSFGILLTELVTKGRVPYPGMNNREVLEQVERGYRMPCPQDCPISLHELMIHCWKKDPEERPTFEYLQSFLEDYFTATEPQYQPGENL
+>DECOY_sp|P06241|FYN_HUMAN Tyrosine-protein kinase Fyn OS=Homo sapiens OX=9606 GN=FYN PE=1 SV=3
+LNEGPQYQPETATFYDELFSQLYEFTPREEPDKKWCHIMLEHLSIPCDQPCPMRYGREVQELVERNNMGPYPVRGKTVLETLLIGFSWVDSKITFRGYLAAEPATWKIPFKAGQRATYENDEILRALGFDAIKCILGNGVLINASRLDRHIYNMREIYAMGAAVQAAMDVLNPLKLARGEGDKLFDLLSGKNMYETVIYIPEESVVAYLQVLKDHKLKKMIQAEELFSEPSMTGPKLTKIAVKTNGNWTGMWVEGFQGNGLRKILQLSERPIEWVDKTKVSLDTLRPMGKHCPVVLRCCLGAARESYHQVLQQLTEFQARTTIYYGGNDLKRIKYHKVHDGKMDDWDRISLSYAGKTTESERILFTGRPNGFSLLQREADKRGLKGFYWEEAQISDVPAVYNSPIYGTEGTTLSRAEWWDGESSNLIQFKEGKHFSLDDETRAEYDYLAVFLTVGTGGRTRLTGTHSSSNVGGFVTLGQGGAAHFNNYNPISTVGFSPYHQPTPDTGYRYGSSQNLSGDREETLKTAEKDKCQVCGM
+>sp|Q14332|FZD2_HUMAN Frizzled-2 OS=Homo sapiens OX=9606 GN=FZD2 PE=1 SV=1
+MRPRSALPRLLLPLLLLPAAGPAQFHGEKGISIPDHGFCQPISIPLCTDIAYNQTIMPNLLGHTNQEDAGLEVHQFYPLVKVQCSPELRFFLCSMYAPVCTVLEQAIPPCRSICERARQGCEALMNKFGFQWPERLRCEHFPRHGAEQICVGQNHSEDGAPALLTTAPPPGLQPGAGGTPGGPGGGGAPPRYATLEHPFHCPRVLKVPSYLSYKFLGERDCAAPCEPARPDGSMFFSQEETRFARLWILTWSVLCCASTFFTVTTYLVDMQRFRYPERPIIFLSGCYTMVSVAYIAGFVLQERVVCNERFSEDGYRTVVQGTKKEGCTILFMMLYFFSMASSIWWVILSLTWFLAAGMKWGHEAIEANSQYFHLAAWAVPAVKTITILAMGQIDGDLLSGVCFVGLNSLDPLRGFVLAPLFVYLFIGTSFLLAGFVSLFRIRTIMKHDGTKTEKLERLMVRIGVFSVLYTVPATIVIACYFYEQAFREHWERSWVSQHCKSLAIPCPAHYTPRMSPDFTVYMIKYLMTLIVGITSGFWIWSGKTLHSWRKFYTRLTNSRHGETTV
+>DECOY_sp|Q14332|FZD2_HUMAN Frizzled-2 OS=Homo sapiens OX=9606 GN=FZD2 PE=1 SV=1
+VTTEGHRSNTLRTYFKRWSHLTKGSWIWFGSTIGVILTMLYKIMYVTFDPSMRPTYHAPCPIALSKCHQSVWSREWHERFAQEYFYCAIVITAPVTYLVSFVGIRVMLRELKETKTGDHKMITRIRFLSVFGALLFSTGIFLYVFLPALVFGRLPDLSNLGVFCVGSLLDGDIQGMALITITKVAPVAWAALHFYQSNAEIAEHGWKMGAALFWTLSLIVWWISSAMSFFYLMMFLITCGEKKTGQVVTRYGDESFRENCVVREQLVFGAIYAVSVMTYCGSLFIIPREPYRFRQMDVLYTTVTFFTSACCLVSWTLIWLRAFRTEEQSFFMSGDPRAPECPAACDREGLFKYSLYSPVKLVRPCHFPHELTAYRPPAGGGGPGGPTGGAGPQLGPPPATTLLAPAGDESHNQGVCIQEAGHRPFHECRLREPWQFGFKNMLAECGQRARECISRCPPIAQELVTCVPAYMSCLFFRLEPSCQVKVLPYFQHVELGADEQNTHGLLNPMITQNYAIDTCLPISIPQCFGHDPISIGKEGHFQAPGAAPLLLLPLLLRPLASRPRM
+>sp|O00144|FZD9_HUMAN Frizzled-9 OS=Homo sapiens OX=9606 GN=FZD9 PE=2 SV=1
+MAVAPLRGALLLWQLLAAGGAALEIGRFDPERGRGAAPCQAVEIPMCRGIGYNLTRMPNLLGHTSQGEAAAELAEFAPLVQYGCHSHLRFFLCSLYAPMCTDQVSTPIPACRPMCEQARLRCAPIMEQFNFGWPDSLDCARLPTRNDPHALCMEAPENATAGPAEPHKGLGMLPVAPRPARPPGDLGPGAGGSGTCENPEKFQYVEKSRSCAPRCGPGVEVFWSRRDKDFALVWMAVWSALCFFSTAFTVLTFLLEPHRFQYPERPIIFLSMCYNVYSLAFLIRAVAGAQSVACDQEAGALYVIQEGLENTGCTLVFLLLYYFGMASSLWWVVLTLTWFLAAGKKWGHEAIEAHGSYFHMAAWGLPALKTIVILTLRKVAGDELTGLCYVASTDAAALTGFVLVPLSGYLVLGSSFLLTGFVALFHIRKIMKTGGTNTEKLEKLMVKIGVFSILYTVPATCVIVCYVYERLNMDFWRLRATEQPCAAAAGPGGRRDCSLPGGSVPTVAVFMLKIFMSLVVGITSGVWVWSSKTFQTWQSLCYRKIAAGRARAKACRAPGSYGRGTHCHYKAPTVVLHMTKTDPSLENPTHL
+>DECOY_sp|O00144|FZD9_HUMAN Frizzled-9 OS=Homo sapiens OX=9606 GN=FZD9 PE=2 SV=1
+LHTPNELSPDTKTMHLVVTPAKYHCHTGRGYSGPARCAKARARGAAIKRYCLSQWTQFTKSSWVWVGSTIGVVLSMFIKLMFVAVTPVSGGPLSCDRRGGPGAAAACPQETARLRWFDMNLREYVYCVIVCTAPVTYLISFVGIKVMLKELKETNTGGTKMIKRIHFLAVFGTLLFSSGLVLYGSLPVLVFGTLAAADTSAVYCLGTLEDGAVKRLTLIVITKLAPLGWAAMHFYSGHAEIAEHGWKKGAALFWTLTLVVWWLSSAMGFYYLLLFVLTCGTNELGEQIVYLAGAEQDCAVSQAGAVARILFALSYVNYCMSLFIIPREPYQFRHPELLFTLVTFATSFFCLASWVAMWVLAFDKDRRSWFVEVGPGCRPACSRSKEVYQFKEPNECTGSGGAGPGLDGPPRAPRPAVPLMGLGKHPEAPGATANEPAEMCLAHPDNRTPLRACDLSDPWGFNFQEMIPACRLRAQECMPRCAPIPTSVQDTCMPAYLSCLFFRLHSHCGYQVLPAFEALEAAAEGQSTHGLLNPMRTLNYGIGRCMPIEVAQCPAAGRGREPDFRGIELAAGGAALLQWLLLAGRLPAVAM
+>sp|P04406|G3P_HUMAN Glyceraldehyde-3-phosphate dehydrogenase OS=Homo sapiens OX=9606 GN=GAPDH PE=1 SV=3
+MGKVKVGVNGFGRIGRLVTRAAFNSGKVDIVAINDPFIDLNYMVYMFQYDSTHGKFHGTVKAENGKLVINGNPITIFQERDPSKIKWGDAGAEYVVESTGVFTTMEKAGAHLQGGAKRVIISAPSADAPMFVMGVNHEKYDNSLKIISNASCTTNCLAPLAKVIHDNFGIVEGLMTTVHAITATQKTVDGPSGKLWRDGRGALQNIIPASTGAAKAVGKVIPELNGKLTGMAFRVPTANVSVVDLTCRLEKPAKYDDIKKVVKQASEGPLKGILGYTEHQVVSSDFNSDTHSSTFDAGAGIALNDHFVKLISWYDNEFGYSNRVVDLMAHMASKE
+>DECOY_sp|P04406|G3P_HUMAN Glyceraldehyde-3-phosphate dehydrogenase OS=Homo sapiens OX=9606 GN=GAPDH PE=1 SV=3
+EKSAMHAMLDVVRNSYGFENDYWSILKVFHDNLAIGAGADFTSSHTDSNFDSSVVQHETYGLIGKLPGESAQKVVKKIDDYKAPKELRCTLDVVSVNATPVRFAMGTLKGNLEPIVKGVAKAAGTSAPIINQLAGRGDRWLKGSPGDVTKQTATIAHVTTMLGEVIGFNDHIVKALPALCNTTCSANSIIKLSNDYKEHNVGMVFMPADASPASIIVRKAGGQLHAGAKEMTTFVGTSEVVYEAGADGWKIKSPDREQFITIPNGNIVLKGNEAKVTGHFKGHTSDYQFMYVMYNLDIFPDNIAVIDVKGSNFAARTVLRGIRGFGNVGVKVKGM
+>sp|Q99999|G3ST1_HUMAN Galactosylceramide sulfotransferase OS=Homo sapiens OX=9606 GN=GAL3ST1 PE=1 SV=1
+MLPPQKKPWESMAKGLVLGALFTSFLLLVYSYAVPPLHAGLASTTPEAAASCSPPALEPEAVIRANGSAGECQPRRNIVFLKTHKTASSTLLNILFRFGQKHRLKFAFPNGRNDFDYPTFFARSLVQDYRPGACFNIICNHMRFHYDEVRGLVPTNAIFITVLRDPARLFESSFHYFGPVVPLTWKLSAGDKLTEFLQDPDRYYDPNGFNAHYLRNLLFFDLGYDNSLDPSSPQVQEHILEVERRFHLVLLQEYFDESLVLLKDLLCWELEDVLYFKLNARRDSPVPRLSGELYGRATAWNMLDSHLYRHFNASFWRKVEAFGRERMAREVAALRHANERMRTICIDGGHAVDAAAIQDEAMQPWQPLGTKSILGYNLKKSIGQRHAQLCRRMLTPEIQYLMDLGANLWVTKLWKFIRDFLRW
+>DECOY_sp|Q99999|G3ST1_HUMAN Galactosylceramide sulfotransferase OS=Homo sapiens OX=9606 GN=GAL3ST1 PE=1 SV=1
+WRLFDRIFKWLKTVWLNAGLDMLYQIEPTLMRRCLQAHRQGISKKLNYGLISKTGLPQWPQMAEDQIAAADVAHGGDICITRMRENAHRLAAVERAMRERGFAEVKRWFSANFHRYLHSDLMNWATARGYLEGSLRPVPSDRRANLKFYLVDELEWCLLDKLLVLSEDFYEQLLVLHFRREVELIHEQVQPSSPDLSNDYGLDFFLLNRLYHANFGNPDYYRDPDQLFETLKDGASLKWTLPVVPGFYHFSSEFLRAPDRLVTIFIANTPVLGRVEDYHFRMHNCIINFCAGPRYDQVLSRAFFTPYDFDNRGNPFAFKLRHKQGFRFLINLLTSSATKHTKLFVINRRPQCEGASGNARIVAEPELAPPSCSAAAEPTTSALGAHLPPVAYSYVLLLFSTFLAGLVLGKAMSEWPKKQPPLM
+>sp|Q96MY7|F161B_HUMAN Protein FAM161B OS=Homo sapiens OX=9606 GN=FAM161B PE=1 SV=2
+MTVGRPEGAPGGAEGSRQIFPPESFADTEAGEELSGDGLVLPRASKLDEFLSPEEEIDSTSDSTGSIYQNLQELKQKGRWCLLESLFQSDPESDENLSEDEEDLESFFQDKDRGMVQVQCPQALRCGSTRRCSSLNNLPSNIPRPQTQPPSGSRPPSQHRSVSSWASSITVPRPFRMTLREARKKAEWLGSPASFEQERQRAQRQGEEEAECHRQFRAQPVPAHVYLPLYQEIMERSEARRQAGIQKRKELLLSSLKPFSFLEKEEQLKEAARQRDLAATAEAKISKQKATRRIPKSILEPALGDKLQEAELFRKIRIQMRALDMLQMASSPIASSSNRANPQPRTATRTQQEKLGFLHTNFRFQPRVNPVVPDYEGLYKAFQRRAAKRRETQEATRNKPFLLRTANLRHPQRPCDAATTGRRQDSPQPPATPLPRSRSLSGLASLSANTLPVHITDATRKRESAVRSALEKKNKADESIQWLEIHKKKSQAMSKSVTLRAKAMDPHKSLEEVFKAKLKENRNNDRKRAKEYKKELEEMKQRIQTRPYLFEQVAKDLAKKEAEQWYLDTLKQAGLEEDFVRNKGQGTRAVQEKETKIKDFPRFQETTKLSIRDPEQGLEGSLEQPASPRKVLEELSHQSPENLVSLA
+>DECOY_sp|Q96MY7|F161B_HUMAN Protein FAM161B OS=Homo sapiens OX=9606 GN=FAM161B PE=1 SV=2
+ALSVLNEPSQHSLEELVKRPSAPQELSGELGQEPDRISLKTTEQFRPFDKIKTEKEQVARTGQGKNRVFDEELGAQKLTDLYWQEAEKKALDKAVQEFLYPRTQIRQKMEELEKKYEKARKRDNNRNEKLKAKFVEELSKHPDMAKARLTVSKSMAQSKKKHIELWQISEDAKNKKELASRVASERKRTADTIHVPLTNASLSALGSLSRSRPLPTAPPQPSDQRRGTTAADCPRQPHRLNATRLLFPKNRTAEQTERRKAARRQFAKYLGEYDPVVPNVRPQFRFNTHLFGLKEQQTRTATRPQPNARNSSSAIPSSAMQLMDLARMQIRIKRFLEAEQLKDGLAPELISKPIRRTAKQKSIKAEATAALDRQRAAEKLQEEKELFSFPKLSSLLLEKRKQIGAQRRAESREMIEQYLPLYVHAPVPQARFQRHCEAEEEGQRQARQREQEFSAPSGLWEAKKRAERLTMRFPRPVTISSAWSSVSRHQSPPRSGSPPQTQPRPINSPLNNLSSCRRTSGCRLAQPCQVQVMGRDKDQFFSELDEEDESLNEDSEPDSQFLSELLCWRGKQKLEQLNQYISGTSDSTSDIEEEPSLFEDLKSARPLVLGDGSLEEGAETDAFSEPPFIQRSGEAGGPAGEPRGVTM
+>sp|Q9UFP1|F198A_HUMAN Protein FAM198A OS=Homo sapiens OX=9606 GN=FAM198A PE=1 SV=3
+MASWLRRKLRGKRRPVIAFCLLMILSAMAVTRFPPQRPSAGPDPGPMEPQGVTGAPATHIRQALSSSRRQRARNMGFWRSRALPRNSILVCAEEQGHRARVDRSRESPGGDLRHPGRVRRDITLSGHPRLSTQHVVLLREDEVGDPGTKDLGHPQHGSPIQETQSEVVTLVSPLPGSDMAALPAWRATSGLTLWPHTAEGRDLLGAENRALTGGQQAEDPTLASGAHQWPGSVEKLQGSVWCDAETLLSSSRTGGQAPPWLTDHDVQMLRLLAQGEVVDKARVPAHGQVLQVGFSTEAALQDLSSPRLSQLCSQGLCGLIKRPGDLPEVLSFHVDRVLGLRRSLPAVARRFHSPLLPYRYTDGGARPVIWWAPDVQHLSDPDEDQNSLALGWLQYQALLAHSCNWPGQAPCPGIHHTEWARLALFDFLLQVHDRLDRYCCGFEPEPSDPCVEERLREKCQNPAELRLVHILVRSSDPSHLVYIDNAGNLQHPEDKLNFRLLEGIDGFPESAVKVLASGCLQNMLLKSLQMDPVFWESQGGAQGLKQVLQTLEQRGQVLLGHIQKHNLTLFRDEDP
+>DECOY_sp|Q9UFP1|F198A_HUMAN Protein FAM198A OS=Homo sapiens OX=9606 GN=FAM198A PE=1 SV=3
+PDEDRFLTLNHKQIHGLLVQGRQELTQLVQKLGQAGGQSEWFVPDMQLSKLLMNQLCGSALVKVASEPFGDIGELLRFNLKDEPHQLNGANDIYVLHSPDSSRVLIHVLRLEAPNQCKERLREEVCPDSPEPEFGCCYRDLRDHVQLLFDFLALRAWETHHIGPCPAQGPWNCSHALLAQYQLWGLALSNQDEDPDSLHQVDPAWWIVPRAGGDTYRYPLLPSHFRRAVAPLSRRLGLVRDVHFSLVEPLDGPRKILGCLGQSCLQSLRPSSLDQLAAETSFGVQLVQGHAPVRAKDVVEGQALLRLMQVDHDTLWPPAQGGTRSSSLLTEADCWVSGQLKEVSGPWQHAGSALTPDEAQQGGTLARNEAGLLDRGEATHPWLTLGSTARWAPLAAMDSGPLPSVLTVVESQTEQIPSGHQPHGLDKTGPDGVEDERLLVVHQTSLRPHGSLTIDRRVRGPHRLDGGPSERSRDVRARHGQEEACVLISNRPLARSRWFGMNRARQRRSSSLAQRIHTAPAGTVGQPEMPGPDPGASPRQPPFRTVAMASLIMLLCFAIVPRRKGRLKRRLWSAM
+>sp|Q6PEV8|F199X_HUMAN Protein FAM199X OS=Homo sapiens OX=9606 GN=FAM199X PE=1 SV=1
+MSDEASAITSYEKFLTPEEPFPLLGPPRGVGTCPSEEPGCLDISDFGCQLSSCHRTDPLHRFHTNRWNLTSCGTSVASSEGSEELFSSVSVGDQDDCYSLLDDQDFTSFDLFPEGSVCSDVSSSISTYWDWSDSEFEWQLPGSDIASGSDVLSDVIPSIPSSPCLLPKKKNKHRNLDELPWSAMTNDEQVEYIEYLSRKVSTEMGLREQLDIIKIIDPSAQISPTDSEFIIELNCLTDEKLKQVRNYIKEHSPRQRPAREAWKRSNFSCASTSGVSGASASASSSSASMVSSASSSGSSVGNSASNSSANMSRAHSDSNLSASAAERIRDSKKRSKQRKLQQKAFRKRQLKEQRQARKERLSGLFLNEEVLSLKVTEEDHEADVDVLM
+>DECOY_sp|Q6PEV8|F199X_HUMAN Protein FAM199X OS=Homo sapiens OX=9606 GN=FAM199X PE=1 SV=1
+MLVDVDAEHDEETVKLSLVEENLFLGSLREKRAQRQEKLQRKRFAKQQLKRQKSRKKSDRIREAASASLNSDSHARSMNASSNSASNGVSSGSSSASSVMSASSSSASASAGSVGSTSACSFNSRKWAERAPRQRPSHEKIYNRVQKLKEDTLCNLEIIFESDTPSIQASPDIIKIIDLQERLGMETSVKRSLYEIYEVQEDNTMASWPLEDLNRHKNKKKPLLCPSSPISPIVDSLVDSGSAIDSGPLQWEFESDSWDWYTSISSSVDSCVSGEPFLDFSTFDQDDLLSYCDDQDGVSVSSFLEESGESSAVSTGCSTLNWRNTHFRHLPDTRHCSSLQCGFDSIDLCGPEESPCTGVGRPPGLLPFPEEPTLFKEYSTIASAEDSM
+>sp|Q63HN1|F205B_HUMAN Putative protein FAM205B OS=Homo sapiens OX=9606 GN=FAM205BP PE=5 SV=1
+MHPMALHMVLPAHLPFLSPEVLRLLEVHVKKWMHFQRWGLPRRVEESLRQLMPNPPLYYQPGNDQPVSFNLNNTSQVSLHRSETISLQTWCSCVAGQPIQTFWVSEWSTMNPEQRHHCQQTPNPMALALPSPALKALSGPHPQSGGQDNDSGSDLQQKYSQLFCGLPSLHSESLVATFMGSQGLPKIENVPKPPLKDPFLFNDLSFPQLLPKTSPQSAPPSSPLSPNWVSPSDHQRAQINVPFLTLAEYEALEWHLLQRQLQLQWGWPAALQRSQHTQCLMQHEPCGKAQSPETTTASQTGKSISVLTRELLFFPEHARKLLEFHIQKQSIRHRWGLPQKIQQSIQLLLTSTDQQTVSSSSTALANVSIPQPVALEANGACDVLSPIAAPVSIPRPHLLTQVKAILQSHIDSKCGQIHQGKIPACVHRSWDCRISGVLAVAPFPCIPESQFLVLQTASDPDLHHKVMPWMPTALDQQQQALPGTVTEHPKLLRVLSVEAIEKLETTLRHKHLAFLSGLPALYYVALPRALAPAVTSQSVITEMEPSPVEIPAEPLI
+>DECOY_sp|Q63HN1|F205B_HUMAN Putative protein FAM205B OS=Homo sapiens OX=9606 GN=FAM205BP PE=5 SV=1
+ILPEAPIEVPSPEMETIVSQSTVAPALARPLAVYYLAPLGSLFALHKHRLTTELKEIAEVSLVRLLKPHETVTGPLAQQQQDLATPMWPMVKHHLDPDSATQLVLFQSEPICPFPAVALVGSIRCDWSRHVCAPIKGQHIQGCKSDIHSQLIAKVQTLLHPRPISVPAAIPSLVDCAGNAELAVPQPISVNALATSSSSVTQQDTSTLLLQISQQIKQPLGWRHRISQKQIHFELLKRAHEPFFLLERTLVSISKGTQSATTTEPSQAKGCPEHQMLCQTHQSRQLAAPWGWQLQLQRQLLHWELAEYEALTLFPVNIQARQHDSPSVWNPSLPSSPPASQPSTKPLLQPFSLDNFLFPDKLPPKPVNEIKPLGQSGMFTAVLSESHLSPLGCFLQSYKQQLDSGSDNDQGGSQPHPGSLAKLAPSPLALAMPNPTQQCHHRQEPNMTSWESVWFTQIPQGAVCSCWTQLSITESRHLSVQSTNNLNFSVPQDNGPQYYLPPNPMLQRLSEEVRRPLGWRQFHMWKKVHVELLRLVEPSLFPLHAPLVMHLAMPHM
+>sp|Q5JX71|F209A_HUMAN Protein FAM209A OS=Homo sapiens OX=9606 GN=FAM209A PE=2 SV=1
+MWTLKSSLVLLLCLTCSYAFMFSSLRQKTSEPQGKVQYGEHFRIRQNLPEHTQGWLGSKWLWLLFVVVPFVILQCQRDSEKNKEQSPPGLRGGQLHSPLKKKRNASPNKDCAFNTLMELEVELMKFVSKVRNLKRAMATGSGSNLRLRKSEMPADPYHVTICEIWGEESSS
+>DECOY_sp|Q5JX71|F209A_HUMAN Protein FAM209A OS=Homo sapiens OX=9606 GN=FAM209A PE=2 SV=1
+SSSEEGWIECITVHYPDAPMESKRLRLNSGSGTAMARKLNRVKSVFKMLEVELEMLTNFACDKNPSANRKKKLPSHLQGGRLGPPSQEKNKESDRQCQLIVFPVVVFLLWLWKSGLWGQTHEPLNQRIRFHEGYQVKGQPESTKQRLSSFMFAYSCTLCLLLVLSSKLTWM
+>sp|Q96KR6|F210B_HUMAN Protein FAM210B, mitochondrial OS=Homo sapiens OX=9606 GN=FAM210B PE=1 SV=2
+MAGLLALLGPAGRVGARVRPRATWLLGATAPCAPPPLALALLPPRLDARLLRTARGDCRGHQDPSQATGTTGSSVSCTEEKKQSKSQQLKKIFQEYGTVGVSLHIGISLISLGIFYMVVSSGVDMPAILLKLGFKESLVQSKMAAGTSTFVVAYAIHKLFAPVRISITLVSVPLIVRYFRKVGFFKPPAAKP
+>DECOY_sp|Q96KR6|F210B_HUMAN Protein FAM210B, mitochondrial OS=Homo sapiens OX=9606 GN=FAM210B PE=1 SV=2
+PKAAPPKFFGVKRFYRVILPVSVLTISIRVPAFLKHIAYAVVFTSTGAAMKSQVLSEKFGLKLLIAPMDVGSSVVMYFIGLSILSIGIHLSVGVTGYEQFIKKLQQSKSQKKEETCSVSSGTTGTAQSPDQHGRCDGRATRLLRADLRPPLLALALPPPACPATAGLLWTARPRVRAGVRGAPGLLALLGAM
+>sp|Q9BRX8|F213A_HUMAN Redox-regulatory protein FAM213A OS=Homo sapiens OX=9606 GN=FAM213A PE=1 SV=3
+MSFLQDPSFFTMGMWSIGAGALGAAALALLLANTDVFLSKPQKAALEYLEDIDLKTLEKEPRTFKAKELWEKNGAVIMAVRRPGCFLCREEAADLSSLKSMLDQLGVPLYAVVKEHIRTEVKDFQPYFKGEIFLDEKKKFYGPQRRKMMFMGFIRLGVWYNFFRAWNGGFSGNLEGEGFILGGVFVVGSGKQGILLEHREKEFGDKVNLLSVLEAAKMIKPQTLASEKK
+>DECOY_sp|Q9BRX8|F213A_HUMAN Redox-regulatory protein FAM213A OS=Homo sapiens OX=9606 GN=FAM213A PE=1 SV=3
+KKESALTQPKIMKAAELVSLLNVKDGFEKERHELLIGQKGSGVVFVGGLIFGEGELNGSFGGNWARFFNYWVGLRIFGMFMMKRRQPGYFKKKEDLFIEGKFYPQFDKVETRIHEKVVAYLPVGLQDLMSKLSSLDAAEERCLFCGPRRVAMIVAGNKEWLEKAKFTRPEKELTKLDIDELYELAAKQPKSLFVDTNALLLALAAAGLAGAGISWMGMTFFSPDQLFSM
+>sp|Q7L5A3|F214B_HUMAN Protein FAM214B OS=Homo sapiens OX=9606 GN=FAM214B PE=1 SV=1
+MRHVQAEPSPSSEPEAGPSQPPVRQGALQGGLLMGYSPAGGATSPGVYQVSIFSPPAGTSEPHRALKRQAPSTEGPRELKRGPGLGAREGLPPEEPSTVGLLGPEGPGLGLGVASQHFSHRGLCVVEQRSSVTSSWTSGAWSPPCPPSNASCNTLHTRDWASPDPGGQGSLGESPGPAPPGQLHTLDTDLHSLAQIGGKSPVAGVGNGGSLWPRESPGTANGHSPEHTPPGPGPPGPCPTKRRLLPAGEAPDVSSEEEGPAPRRRRGSLGHPTAANSSDAKATPFWSHLLPGPKEPVLDPTDCGPMGRRLKGARRLKLSPLRSLRKGPGLLSPPSASPVPTPAVSRTLLGNFEESLLRGRFAPSGHIEGFTAEIGASGSYCPQHVTLPVTVTFFDVSEQNAPAPFLGIVDLNPLGRKGYSVPKVGTVQVTLFNPNQTVVKMFLVTFDFSDMPAAHMTFLRHRLFLVPVGEEGNANPTHRLLCYLLHLRFRSSRSGRLSLHGDIRLLFSRRSLELDTGLPYELQAVTEAPHNPRYSPLP
+>DECOY_sp|Q7L5A3|F214B_HUMAN Protein FAM214B OS=Homo sapiens OX=9606 GN=FAM214B PE=1 SV=1
+PLPSYRPNHPAETVAQLEYPLGTDLELSRRSFLLRIDGHLSLRGSRSSRFRLHLLYCLLRHTPNANGEEGVPVLFLRHRLFTMHAAPMDSFDFTVLFMKVVTQNPNFLTVQVTGVKPVSYGKRGLPNLDVIGLFPAPANQESVDFFTVTVPLTVHQPCYSGSAGIEATFGEIHGSPAFRGRLLSEEFNGLLTRSVAPTPVPSASPPSLLGPGKRLSRLPSLKLRRAGKLRRGMPGCDTPDLVPEKPGPLLHSWFPTAKADSSNAATPHGLSGRRRRPAPGEEESSVDPAEGAPLLRRKTPCPGPPGPGPPTHEPSHGNATGPSERPWLSGGNGVGAVPSKGGIQALSHLDTDLTHLQGPPAPGPSEGLSGQGGPDPSAWDRTHLTNCSANSPPCPPSWAGSTWSSTVSSRQEVVCLGRHSFHQSAVGLGLGPGEPGLLGVTSPEEPPLGERAGLGPGRKLERPGETSPAQRKLARHPESTGAPPSFISVQYVGPSTAGGAPSYGMLLGGQLAGQRVPPQSPGAEPESSPSPEAQVHRM
+>sp|Q8N7L0|F216B_HUMAN Protein FAM216B OS=Homo sapiens OX=9606 GN=FAM216B PE=2 SV=1
+MGQNWKRQQKLWNVPQLPFIRVPPSIYDTSLLKALNQGQQRYFYSIMRIYNSRPQWEALQTRYIHSLQHQQLLGYITQREALSYALVLRDSTKRASAKVAPQRTIPRKTSAMTRRCPSVLPVSVVLPRAQSKRRQVLRN
+>DECOY_sp|Q8N7L0|F216B_HUMAN Protein FAM216B OS=Homo sapiens OX=9606 GN=FAM216B PE=2 SV=1
+NRLVQRRKSQARPLVVSVPLVSPCRRTMASTKRPITRQPAVKASARKTSDRLVLAYSLAERQTIYGLLQQHQLSHIYRTQLAEWQPRSNYIRMISYFYRQQGQNLAKLLSTDYISPPVRIFPLQPVNWLKQQRKWNQGM
+>sp|A4D161|F221A_HUMAN Protein FAM221A OS=Homo sapiens OX=9606 GN=FAM221A PE=1 SV=1
+MERLTLPLGGAAAVDEYLEYRRIVGEDDGGKLFTPEEYEEYKRKVLPLRLQNRLFVSWRSPTGMDCKLVGPETLCFCTHRYKQHKTDLEAIPQQCPIDLPCQVTGCQCRAYLYVPLNGSQPIRCRCKHFADQHSAAPGFTCNTCSKCSGFHSCFTCACGQPAYAHDTVVETKQERLAQEKPVGQDIPYAAMGGLTGFSSLAEGYMRLDDSGIGVPSVEFLESPITAVDSPFLKAFQASSSSSPETLTDVGTSSQVSSLRRPEEDDMAFFERRYQERMKMEKAAKWKGKAPLPSATKPS
+>DECOY_sp|A4D161|F221A_HUMAN Protein FAM221A OS=Homo sapiens OX=9606 GN=FAM221A PE=1 SV=1
+SPKTASPLPAKGKWKAAKEMKMREQYRREFFAMDDEEPRRLSSVQSSTGVDTLTEPSSSSSAQFAKLFPSDVATIPSELFEVSPVGIGSDDLRMYGEALSSFGTLGGMAAYPIDQGVPKEQALREQKTEVVTDHAYAPQGCACTFCSHFGSCKSCTNCTFGPAASHQDAFHKCRCRIPQSGNLPVYLYARCQCGTVQCPLDIPCQQPIAELDTKHQKYRHTCFCLTEPGVLKCDMGTPSRWSVFLRNQLRLPLVKRKYEEYEEPTFLKGGDDEGVIRRYELYEDVAAAGGLPLTLREM
+>sp|Q8WU58|F222B_HUMAN Protein FAM222B OS=Homo sapiens OX=9606 GN=FAM222B PE=1 SV=1
+MLACLPGPGDLSFQLLSHTQMNTGLQKWDTTQKMRTAHYPTPAELDAYAKKVANNPLTIKIFPNSVKVPQRKHVRRTVNGLDTSAQRYSPYPTQAATKAGLLAIVKVPAKSILKDFDGTRARLLPEAIMNPPVAPYATVAPSTLAHPQAQALARQQALQHAQTLAHAPPQTLQHPQGIPPPQALSHPQSLQQPQGLGHPQPMAQTQGLVHPQALAHQGLQHPHNPLLHGGRKMPDSDAPPNVTVSTSTIPLSMAATLQHSQPPDLSSIVHQINQFCQTRAGISTTSVCEGQIANPSPISRSLLINASTRVSTHSVPTPMPSCVVNPMEHTHAATAALPAAGPVNLPTGISRVPTGYPSDLKPVTWNQHQLAHLQQMCSEASGTPAPGLTGKHAAGRELAGPGFVGKAPAYPQELCLAQSFHLKPPLEKPTPSPPVNGMAAPLAYPNGHYFQPLWNNILPTPNSDSSGSQDLAMPFHGGQPTGAPLDCAAAPGAHYRAGTGGGPVASQNSLMQTVDYLSGDFQQACFREQSLAMLSKAHRAPGNRAPDPTESRSLHIQHPGYR
+>DECOY_sp|Q8WU58|F222B_HUMAN Protein FAM222B OS=Homo sapiens OX=9606 GN=FAM222B PE=1 SV=1
+RYGPHQIHLSRSETPDPARNGPARHAKSLMALSQERFCAQQFDGSLYDVTQMLSNQSAVPGGGTGARYHAGPAAACDLPAGTPQGGHFPMALDQSGSSDSNPTPLINNWLPQFYHGNPYALPAAMGNVPPSPTPKELPPKLHFSQALCLEQPYAPAKGVFGPGALERGAAHKGTLGPAPTGSAESCMQQLHALQHQNWTVPKLDSPYGTPVRSIGTPLNVPGAAPLAATAAHTHEMPNVVCSPMPTPVSHTSVRTSANILLSRSIPSPNAIQGECVSTTSIGARTQCFQNIQHVISSLDPPQSHQLTAAMSLPITSTSVTVNPPADSDPMKRGGHLLPNHPHQLGQHALAQPHVLGQTQAMPQPHGLGQPQQLSQPHSLAQPPPIGQPHQLTQPPAHALTQAHQLAQQRALAQAQPHALTSPAVTAYPAVPPNMIAEPLLRARTGDFDKLISKAPVKVIALLGAKTAAQTPYPSYRQASTDLGNVTRRVHKRQPVKVSNPFIKITLPNNAVKKAYADLEAPTPYHATRMKQTTDWKQLGTNMQTHSLLQFSLDGPGPLCALM
+>sp|A6NKX1|F223B_HUMAN Protein FAM223B OS=Homo sapiens OX=9606 GN=FAM223B PE=3 SV=1
+MYVQEHRLWGLMDKPHSAPCLMSLSLSFLICNKGRNAIRVQQSTDERMDAMLLRQCPTQGTRKNHESNSSLHHVPNWIFHSTIIPPNKGSKRCLRKVDWLLPRAGGVGGKRGVTADGDRVSF
+>DECOY_sp|A6NKX1|F223B_HUMAN Protein FAM223B OS=Homo sapiens OX=9606 GN=FAM223B PE=3 SV=1
+FSVRDGDATVGRKGGVGGARPLLWDVKRLCRKSGKNPPIITSHFIWNPVHHLSSNSEHNKRTGQTPCQRLLMADMREDTSQQVRIANRGKNCILFSLSLSMLCPASHPKDMLGWLRHEQVYM
+>sp|A0A1B0GVK7|F240A_HUMAN Protein FAM240A OS=Homo sapiens OX=9606 GN=FAM240A PE=4 SV=2
+MNNQYTRREVFCRNTCHDLKHFWEREIGKQTYYRESEERRLGRSALRKLREEWKQRLETKLRLRNNPEDTEKRTNVG
+>DECOY_sp|A0A1B0GVK7|F240A_HUMAN Protein FAM240A OS=Homo sapiens OX=9606 GN=FAM240A PE=4 SV=2
+GVNTRKETDEPNNRLRLKTELRQKWEERLKRLASRGLRREESERYYTQKGIEREWFHKLDHCTNRCFVERRTYQNNM
+>sp|Q16877|F264_HUMAN 6-phosphofructo-2-kinase/fructose-2,6-bisphosphatase 4 OS=Homo sapiens OX=9606 GN=PFKFB4 PE=2 SV=6
+MASPRELTQNPLKKIWMPYSNGRPALHACQRGVCMTNCPTLIVMVGLPARGKTYISKKLTRYLNWIGVPTREFNVGQYRRDVVKTYKSFEFFLPDNEEGLKIRKQCALAALRDVRRFLSEEGGHVAVFDATNTTRERRATIFNFGEQNGYKTFFVESICVDPEVIAANIVQVKLGSPDYVNRDSDEATEDFMRRIECYENSYESLDEDLDRDLSYIKIMDVGQSYVVNRVADHIQSRIVYYLMNIHVTPRSIYLCRHGESELNLKGRIGGDPGLSPRGREFAKSLAQFISDQNIKDLKVWTSQMKRTIQTAEALGVPYEQWKVLNEIDAGVCEEMTYEEIQDNYPLEFALRDQDKYRYRYPKGESYEDLVQRLEPVIMELERQENVLVICHQAVMRCLLAYFLDKAAEQLPYLKCPLHTVLKLTPVAYGCKVESIFLNVAAVNTHRDRPQNVDISRPPEEALVTVPAHQ
+>DECOY_sp|Q16877|F264_HUMAN 6-phosphofructo-2-kinase/fructose-2,6-bisphosphatase 4 OS=Homo sapiens OX=9606 GN=PFKFB4 PE=2 SV=6
+QHAPVTVLAEEPPRSIDVNQPRDRHTNVAAVNLFISEVKCGYAVPTLKLVTHLPCKLYPLQEAAKDLFYALLCRMVAQHCIVLVNEQRELEMIVPELRQVLDEYSEGKPYRYRYKDQDRLAFELPYNDQIEEYTMEECVGADIENLVKWQEYPVGLAEATQITRKMQSTWVKLDKINQDSIFQALSKAFERGRPSLGPDGGIRGKLNLESEGHRCLYISRPTVHINMLYYVIRSQIHDAVRNVVYSQGVDMIKIYSLDRDLDEDLSEYSNEYCEIRRMFDETAEDSDRNVYDPSGLKVQVINAAIVEPDVCISEVFFTKYGNQEGFNFITARRERTTNTADFVAVHGGEESLFRRVDRLAALACQKRIKLGEENDPLFFEFSKYTKVVDRRYQGVNFERTPVGIWNLYRTLKKSIYTKGRAPLGVMVILTPCNTMCVGRQCAHLAPRGNSYPMWIKKLPNQTLERPSAM
+>sp|P0C7W9|F90AE_HUMAN Putative protein FAM90A14P OS=Homo sapiens OX=9606 GN=FAM90A14P PE=5 SV=1
+MMARRDPTSWAKRLVRAQTLQKQRRAPVGPRAPPPDEEDPRLKCKNCGAFGHTARSTRCPMKCWKAALVPATLGKKEGKENLKPWKPRVEANPGPLNKDKGEKEERPRQQDPQRKALLHMFSGKPPEKPLPNGKGSTEPSDYLRVASGPMPVHTTSKRPRLDPVLADRSATEMSGRGSVLASLSPLRKASLSSSSSLGPKERQTGAAADMPQPAVRHQGREPLLVVKPTHSRPEGDCREVPQAASKTHGLLQAARPQAQDKRPAVTSQPCPPAATHSLGLGSNLSFGPGAKRPAQAPIQACLNFPKKPRLGPFQIPESAIQGGELGAPENLQPPPAATELGPSTSPQMGRRTPAQVPSVDRQPPHSRPCLPTAQACTMSHHPAASHDGAQPLRVLFRRLENGRWSSSLLAAPSFHSPEKPGAFLAQSPHVSEKSEAPCVRVPPSVLYEDLQVSSSSEDSDSDLE
+>DECOY_sp|P0C7W9|F90AE_HUMAN Putative protein FAM90A14P OS=Homo sapiens OX=9606 GN=FAM90A14P PE=5 SV=1
+ELDSDSDESSSSVQLDEYLVSPPVRVCPAESKESVHPSQALFAGPKEPSHFSPAALLSSSWRGNELRRFLVRLPQAGDHSAAPHHSMTCAQATPLCPRSHPPQRDVSPVQAPTRRGMQPSTSPGLETAAPPPQLNEPAGLEGGQIASEPIQFPGLRPKKPFNLCAQIPAQAPRKAGPGFSLNSGLGLSHTAAPPCPQSTVAPRKDQAQPRAAQLLGHTKSAAQPVERCDGEPRSHTPKVVLLPERGQHRVAPQPMDAAAGTQREKPGLSSSSSLSAKRLPSLSALVSGRGSMETASRDALVPDLRPRKSTTHVPMPGSAVRLYDSPETSGKGNPLPKEPPKGSFMHLLAKRQPDQQRPREEKEGKDKNLPGPNAEVRPKWPKLNEKGEKKGLTAPVLAAKWCKMPCRTSRATHGFAGCNKCKLRPDEEDPPPARPGVPARRQKQLTQARVLRKAWSTPDRRAMM
+>sp|P0C7V4|F90AJ_HUMAN Putative protein FAM90A15P OS=Homo sapiens OX=9606 GN=FAM90A15P PE=5 SV=1
+MMARRDPTSWAKRLVRAQTLQKQRRAPVGPRAPPPDEEDPRLKCKNCGAFGHTARSTRCPMKCWKAALVPATLGKKEGKENLKPWKPRVEANPGPLNKDKGEKEERPRQQDPQRKALLHMFSGKPPEKPLPNGKGSTEPSDYLRVASGPMPVHTTSKRPRLDPVLADRSATEMSGRGSVLASLSPLRKASLSSSSSLGPKERQTGAAADMPQPAVRHQGREPLLVVKPTHSRPEGGCREVPQAASKTHGLLQAARPQAQDKRPAVTSQPCPPAATHSLGLGSNLSFGPGAKRPAQAPIQACLNFPKKPRLGPFQIPESAIQGGELGAPENLQPPPAATELGPSTSPQMGRRTPAQVPSVDRQPPHSRPCLPTAQACTMSHHPAASHDGAQPLRVLFRRLENGRWSSSLLAAPSFHSPEKPGAFLAQSPHVSEKSEAPCVRVPPSVLYEDLQVSSSSEDSDSDLE
+>DECOY_sp|P0C7V4|F90AJ_HUMAN Putative protein FAM90A15P OS=Homo sapiens OX=9606 GN=FAM90A15P PE=5 SV=1
+ELDSDSDESSSSVQLDEYLVSPPVRVCPAESKESVHPSQALFAGPKEPSHFSPAALLSSSWRGNELRRFLVRLPQAGDHSAAPHHSMTCAQATPLCPRSHPPQRDVSPVQAPTRRGMQPSTSPGLETAAPPPQLNEPAGLEGGQIASEPIQFPGLRPKKPFNLCAQIPAQAPRKAGPGFSLNSGLGLSHTAAPPCPQSTVAPRKDQAQPRAAQLLGHTKSAAQPVERCGGEPRSHTPKVVLLPERGQHRVAPQPMDAAAGTQREKPGLSSSSSLSAKRLPSLSALVSGRGSMETASRDALVPDLRPRKSTTHVPMPGSAVRLYDSPETSGKGNPLPKEPPKGSFMHLLAKRQPDQQRPREEKEGKDKNLPGPNAEVRPKWPKLNEKGEKKGLTAPVLAAKWCKMPCRTSRATHGFAGCNKCKLRPDEEDPPPARPGVPARRQKQLTQARVLRKAWSTPDRRAMM
+>sp|P0C7X0|F90AO_HUMAN Putative protein FAM90A24P OS=Homo sapiens OX=9606 GN=FAM90A24P PE=5 SV=1
+MMARRDPTSWAKRLVRAQTLQKQRRAPVGPRAPPPDEEDPRLKCKNCGAFGHTARSTRCPMKCWKAALVPATLGKKEGKENLKPWKPRGEANPGPLNKDKGEKEERPRQQDPQRKALLHMFSGKPPEKPLPNGKGSTESSDYLRVASGPMPVHTTSKRPRLDPVLADRSATEMSGRGSVLASLSPLRKASLSSSSSLGPKERQTGAAADMPQPAVRHQGREPLLVVKPTHSRPEGGCREVPQAASKTHGLLQASRPQAQDKRPAVTPQPCPPAATHSLGLGSNLSFGPGAKRPAQAPIQACLNFPKKPRLGPFQIPESAIQGGELGAPENLQPPPAATELGPSTSPQMGRRTPAQVPSVDRQPPHSRPCLPTAQACTMSHHSAAGHDGAQPLRVLFRRLENGRWSSSLLAAPSFHSPEKPGAFLAQSPHVSEKSEAPCVRVPPSVLYEDLQVSSSSEDSDSDLE
+>DECOY_sp|P0C7X0|F90AO_HUMAN Putative protein FAM90A24P OS=Homo sapiens OX=9606 GN=FAM90A24P PE=5 SV=1
+ELDSDSDESSSSVQLDEYLVSPPVRVCPAESKESVHPSQALFAGPKEPSHFSPAALLSSSWRGNELRRFLVRLPQAGDHGAASHHSMTCAQATPLCPRSHPPQRDVSPVQAPTRRGMQPSTSPGLETAAPPPQLNEPAGLEGGQIASEPIQFPGLRPKKPFNLCAQIPAQAPRKAGPGFSLNSGLGLSHTAAPPCPQPTVAPRKDQAQPRSAQLLGHTKSAAQPVERCGGEPRSHTPKVVLLPERGQHRVAPQPMDAAAGTQREKPGLSSSSSLSAKRLPSLSALVSGRGSMETASRDALVPDLRPRKSTTHVPMPGSAVRLYDSSETSGKGNPLPKEPPKGSFMHLLAKRQPDQQRPREEKEGKDKNLPGPNAEGRPKWPKLNEKGEKKGLTAPVLAAKWCKMPCRTSRATHGFAGCNKCKLRPDEEDPPPARPGVPARRQKQLTQARVLRKAWSTPDRRAMM
+>sp|Q658Y4|F91A1_HUMAN Protein FAM91A1 OS=Homo sapiens OX=9606 GN=FAM91A1 PE=1 SV=3
+MNIDVEFHIRHNYPWNKLPANVRQSLGNSQREYEKQVVLYSIRNQLRYRNNLVKHVKKDERRYYEELLKYSRDHLMLYPYHLSDIMVKGLRITPFSYYTGIMEDIMNSEKSYDSLPNFTAADCLRLLGIGRNQYIDLMNQCRSSKKFFRRKTARDLLPIKPVEIAIEAWWVVQAGYITEDDIKICTLPEKCAVDKIIDSGPQLSGSLDYNVVHSLYNKGFIYLDVPISDDSCIAVPPLEGFVMNRVQGDYFETLLYKIFVSIDEHTNVAELANVLEIDLSLVKNAVSMYCRLGFAHKKGQVINLDQLHSSWKNVPSVNRLKSTLDPQKMLLSWDGGESRSPVQEASSATDTDTNSQEDPADTASVSSLSLSTGHTKRIAFLFDSTLTAFLMMGNLSPNLKSHAVTMFEVGKLSDESLDSFLIELEKVQSTGEGEAQRYFDHALTLRNTILFLRHNKDLVAQTAQPDQPNYGFPLDLLRCESLLGLDPATCSRVLNKNYTLLVSMAPLTNEIRPVSSCTPQHIGPAIPEVSSVWFKLYIYHVTGQGPPSLLLSKGTRLRKLPDIFQSYDRLLITSWGHDPGVVPTSNVLTMLNDALTHSAVLIQGHGLHGIGETVHVPFPFDETELQGEFTRVNMGVHKALQILRNRVDLQHLCGYVTMLNASSQLADRKLSDASDERGEPDLASGSDVNGSTESFEMVIEEATIDSATKQTSGATTEADWVPLELCFGIPLFSSELNRKVCRKIAAHGLCRKESLQNLLHSSRKLSLQVLNFVHSFQEGASILDIHTEPSFSSLLSQSSCADMGVPLPAKNLIFKDGVLSEWSGRSPSSLLIANLHLQ
+>DECOY_sp|Q658Y4|F91A1_HUMAN Protein FAM91A1 OS=Homo sapiens OX=9606 GN=FAM91A1 PE=1 SV=3
+QLHLNAILLSSPSRGSWESLVGDKFILNKAPLPVGMDACSSQSLLSSFSPETHIDLISAGEQFSHVFNLVQLSLKRSSHLLNQLSEKRCLGHAAIKRCVKRNLESSFLPIGFCLELPVWDAETTAGSTQKTASDITAEEIVMEFSETSGNVDSGSALDPEGREDSADSLKRDALQSSANLMTVYGCLHQLDVRNRLIQLAKHVGMNVRTFEGQLETEDFPFPVHVTEGIGHLGHGQILVASHTLADNLMTLVNSTPVVGPDHGWSTILLRDYSQFIDPLKRLRTGKSLLLSPPGQGTVHYIYLKFWVSSVEPIAPGIHQPTCSSVPRIENTLPAMSVLLTYNKNLVRSCTAPDLGLLSECRLLDLPFGYNPQDPQATQAVLDKNHRLFLITNRLTLAHDFYRQAEGEGTSQVKELEILFSDLSEDSLKGVEFMTVAHSKLNPSLNGMMLFATLTSDFLFAIRKTHGTSLSLSSVSATDAPDEQSNTDTDTASSAEQVPSRSEGGDWSLLMKQPDLTSKLRNVSPVNKWSSHLQDLNIVQGKKHAFGLRCYMSVANKVLSLDIELVNALEAVNTHEDISVFIKYLLTEFYDGQVRNMVFGELPPVAICSDDSIPVDLYIFGKNYLSHVVNYDLSGSLQPGSDIIKDVACKEPLTCIKIDDETIYGAQVVWWAEIAIEVPKIPLLDRATKRRFFKKSSRCQNMLDIYQNRGIGLLRLCDAATFNPLSDYSKESNMIDEMIGTYYSFPTIRLGKVMIDSLHYPYLMLHDRSYKLLEEYYRREDKKVHKVLNNRYRLQNRISYLVVQKEYERQSNGLSQRVNAPLKNWPYNHRIHFEVDINM
+>sp|Q9NYF5|FA13B_HUMAN Protein FAM13B OS=Homo sapiens OX=9606 GN=FAM13B PE=1 SV=2
+MRKSSSPSLSNCNSVLANKIFGIPLDELQQGGHPDNEVPFIVRHVVDYIEEHGGLEQQGLFQVNGNAETVEWLRQRYDSGEEVDLVKEADVPSAISLLRFFLQELPEPVIPGSLHIHLMQLSQDYNNEDEFGRKLRFLLQQLPPVNYSLLKFLCRFLANVASHHEEIWSANSLAAVFGPDVFHIYTDVEDMKEQEIVSRIMAGLLENYYEFFENEEEDFSSNDLSSITEQVNELSEEEEEDEKLEHIEELPEEGAEKSNDMPEVVQLRMTENILESNSVTATSTHISPISILPASTDILERTIRAAVEQHLFDLQSSIDHDLKNLQQQSVVCNNEAESIHCDGEGSNNQIDIADDIINASESNRDCSKPVASTNLDNEAMQQDCVFENEENTQSVGILLEPCSDRGDSEDGCLEREEYLLFDSDKLSHLILDSSSKICDLNANTESEVPGGQSVGVQGEAACVSIPHLDLKNVSDGDKWEEPFPAFKSWQEDSESGEAQLSPQAGRMNHHPLEEDCPPVLSHRSLDFGQSQRFLHDPEKLDSSSKALSFTRIRRSSFSSKDEKREDRTPYQLVKKLQKKIRQFEEQFERERNSKPSYSDIAANPKVLKWMTELTKLRKQIKDAKHKNSDGEFVPQTRPRSNTLPKSFGSSLDHEDEENEDEPKVIQKEKKPSKEATLELILKRLKEKRIERCLPEDIKKMTKDHLVEEKASLQKSLLYYESQHGRPVTKEERHIVKPLYDRYRLVKQMLTRASITPVLGSPSTKRRGQMLQPIIEGETAHFFEEIKEEEEDGVNLSSELGDMLKTAVQVQSSLENSESDVEENQEKLALDLRLSSSRAASMPELLEQLWKARAEKKKLRKTLREFEEAFYQQNGRNAQKEDRVPVLEEYREYKKIKAKLRLLEVLISKQDSSKSI
+>DECOY_sp|Q9NYF5|FA13B_HUMAN Protein FAM13B OS=Homo sapiens OX=9606 GN=FAM13B PE=1 SV=2
+ISKSSDQKSILVELLRLKAKIKKYERYEELVPVRDEKQANRGNQQYFAEEFERLTKRLKKKEARAKWLQELLEPMSAARSSSLRLDLALKEQNEEVDSESNELSSQVQVATKLMDGLESSLNVGDEEEEKIEEFFHATEGEIIPQLMQGRRKTSPSGLVPTISARTLMQKVLRYRDYLPKVIHREEKTVPRGHQSEYYLLSKQLSAKEEVLHDKTMKKIDEPLCREIRKEKLRKLILELTAEKSPKKEKQIVKPEDENEEDEHDLSSGFSKPLTNSRPRTQPVFEGDSNKHKADKIQKRLKTLETMWKLVKPNAAIDSYSPKSNREREFQEEFQRIKKQLKKVLQYPTRDERKEDKSSFSSRRIRTFSLAKSSSDLKEPDHLFRQSQGFDLSRHSLVPPCDEELPHHNMRGAQPSLQAEGSESDEQWSKFAPFPEEWKDGDSVNKLDLHPISVCAAEGQVGVSQGGPVESETNANLDCIKSSSDLILHSLKDSDFLLYEERELCGDESDGRDSCPELLIGVSQTNEENEFVCDQQMAENDLNTSAVPKSCDRNSESANIIDDAIDIQNNSGEGDCHISEAENNCVVSQQQLNKLDHDISSQLDFLHQEVAARITRELIDTSAPLISIPSIHTSTATVSNSELINETMRLQVVEPMDNSKEAGEEPLEEIHELKEDEEEEESLENVQETISSLDNSSFDEEENEFFEYYNELLGAMIRSVIEQEKMDEVDTYIHFVDPGFVAALSNASWIEEHHSAVNALFRCLFKLLSYNVPPLQQLLFRLKRGFEDENNYDQSLQMLHIHLSGPIVPEPLEQLFFRLLSIASPVDAEKVLDVEEGSDYRQRLWEVTEANGNVQFLGQQELGGHEEIYDVVHRVIFPVENDPHGGQQLEDLPIGFIKNALVSNCNSLSPSSSKRM
+>sp|Q5HY64|FA47C_HUMAN Putative protein FAM47C OS=Homo sapiens OX=9606 GN=FAM47C PE=2 SV=1
+MGDQRPQDRPSSPGMDSTPWYCDKPPSKYFAKRKHRRLRFPPVDTQNWVFVTEGMDDFRYGCQSPEDTLVCRRDEFLLPKISLRGPQADPKSRKKKLLKKAALFSKLSPAQPARKAFVEEVEAQLMTKHPLAMYPNLGEDMPPDLLLQVLKPLDPERKLEDAGSCEGQEKTTDEPTEPGKYPCGEFSPRPPETRVSCLPPEPPKTPVSSLRPEPPETGVSHLRPQPPKTQVSSLHLEPPETGVSHLRPEPPKTQVSSLHLEPPETGVSHLYLEPPGTGVSHLCPEPPKTRVSHLHREPPETGVPDLCLEPPKSRVSHLRPEPSETGVSHLHPEPPKTLVSSLHPEPPETGVSHLCPEPPETRVSPLRQLPPEAGVSHLCPEPPKTRVPPLRPETPKNGVSPLFPEPPKTRISNLRSEPPKIGVSHLCLEPPKTRGSHLRPEPPETGVSHLRPEPPKTRVSSLHLEPPETGVSHLCPEPPEKDVSHLRPEPPDTGVSHLCPEPPKTRVSHLRPEPSETGVSHLRPEPPKILVSSLHQAPPESSVSHLRPEPPETGVSHLRPEPPKTRMYSLRPEPPDTGVSHLCPEPPKTRVSSLPPEPPETGVSHLCPEPPETRVSHLRPEPPETGVSHLRPEPPKTRMYSLRPEPPNTGVSHLCPEPPKTRVSSLPPEPPETGVSHLCPEPPETRVSHLRPEPPETGVSRLHPEPPKTRVSSLHAEPPESRVSHLCPEPPETGVSHLRPEPPKPRVSSLRPEPLETRVSHLRPEPPETGVSHLHPELPKPRVSSLHLEPPKTRRVSSLRLEPPKTGRVSSLCPEPTKTGASHLKELFQEGTSSTMECVSDSLQRRHTSRKLRDFKWAGDLGVNEESISSLFDFTPECRATYQDQKNKKANECSSGLKYSMELDEMDEVKFFSQEKDLDGKIQNAPNSHSAQHVKMGYGAWYLKPKLGKKLRSDEPLIDPKLVLEKPDEPDILDGLYGPIAFKDFILSKGYEMPGIIQRLFARRGWTYDSVKTPIQRAMQVYKYKEDVTDASEED
+>DECOY_sp|Q5HY64|FA47C_HUMAN Putative protein FAM47C OS=Homo sapiens OX=9606 GN=FAM47C PE=2 SV=1
+DEESADTVDEKYKYVQMARQIPTKVSDYTWGRRAFLRQIIGPMEYGKSLIFDKFAIPGYLGDLIDPEDPKELVLKPDILPEDSRLKKGLKPKLYWAGYGMKVHQASHSNPANQIKGDLDKEQSFFKVEDMEDLEMSYKLGSSCENAKKNKQDQYTARCEPTFDFLSSISEENVGLDGAWKFDRLKRSTHRRQLSDSVCEMTSSTGEQFLEKLHSAGTKTPEPCLSSVRGTKPPELRLSSVRRTKPPELHLSSVRPKPLEPHLHSVGTEPPEPRLHSVRTELPEPRLSSVRPKPPEPRLHSVGTEPPEPCLHSVRSEPPEAHLSSVRTKPPEPHLRSVGTEPPEPRLHSVRTEPPEPCLHSVGTEPPEPPLSSVRTKPPEPCLHSVGTNPPEPRLSYMRTKPPEPRLHSVGTEPPEPRLHSVRTEPPEPCLHSVGTEPPEPPLSSVRTKPPEPCLHSVGTDPPEPRLSYMRTKPPEPRLHSVGTEPPEPRLHSVSSEPPAQHLSSVLIKPPEPRLHSVGTESPEPRLHSVRTKPPEPCLHSVGTDPPEPRLHSVDKEPPEPCLHSVGTEPPELHLSSVRTKPPEPRLHSVGTEPPEPRLHSGRTKPPELCLHSVGIKPPESRLNSIRTKPPEPFLPSVGNKPTEPRLPPVRTKPPEPCLHSVGAEPPLQRLPSVRTEPPEPCLHSVGTEPPEPHLSSVLTKPPEPHLHSVGTESPEPRLHSVRSKPPELCLDPVGTEPPERHLHSVRTKPPEPCLHSVGTGPPELYLHSVGTEPPELHLSSVQTKPPEPRLHSVGTEPPELHLSSVQTKPPQPRLHSVGTEPPEPRLSSVPTKPPEPPLCSVRTEPPRPSFEGCPYKGPETPEDTTKEQGECSGADELKREPDLPKLVQLLLDPPMDEGLNPYMALPHKTMLQAEVEEVFAKRAPQAPSLKSFLAAKKLLKKKRSKPDAQPGRLSIKPLLFEDRRCVLTDEPSQCGYRFDDMGETVFVWNQTDVPPFRLRRHKRKAFYKSPPKDCYWPTSDMGPSSPRDQPRQDGM
+>sp|Q8TAV0|FA76A_HUMAN Protein FAM76A OS=Homo sapiens OX=9606 GN=FAM76A PE=2 SV=1
+MAALYACTKCHQRFPFEALSQGQQLCKECRIAHPVVKCTYCRTEYQQESKTNTICKKCAQNVQLYGTPKPCQYCNIIAAFIGNKCQRCTNSEKKYGPPYSCEQCKQQCAFDRKDDRKKVDGKLLCWLCTLSYKRVLQKTKEQRKHLSSSSRAGHQEKEQYSRLSGGGHYNSQKTLSTSSIQNEIPKKKSKFESITTNGDSFSPDLALDSPGTDHFVIIAQLKEEVATLKKMLHQKDQMILEKEKKITELKADFQYQESQMRAKMNQMEKTHKEVTEQLQAKNRELLKQAAALSKSKKSEKSGAITSP
+>DECOY_sp|Q8TAV0|FA76A_HUMAN Protein FAM76A OS=Homo sapiens OX=9606 GN=FAM76A PE=2 SV=1
+PSTIAGSKESKKSKSLAAAQKLLERNKAQLQETVEKHTKEMQNMKARMQSEQYQFDAKLETIKKEKELIMQDKQHLMKKLTAVEEKLQAIIVFHDTGPSDLALDPSFSDGNTTISEFKSKKKPIENQISSTSLTKQSNYHGGGSLRSYQEKEQHGARSSSSLHKRQEKTKQLVRKYSLTCLWCLLKGDVKKRDDKRDFACQQKCQECSYPPGYKKESNTCRQCKNGIFAAIINCYQCPKPTGYLQVNQACKKCITNTKSEQQYETRCYTCKVVPHAIRCEKCLQQGQSLAEFPFRQHCKTCAYLAAM
+>sp|Q5VT40|FA78B_HUMAN Protein FAM78B OS=Homo sapiens OX=9606 GN=FAM78B PE=2 SV=1
+MGCIQSITCKARIRRENIVVYDVCATIDQCPTRIEETSPIVLRYKTPYFKASARVVMPPIPRHETWVVGWIQACNQMEFFNTYSDLGMSSWELPDLREGRVKAISDSDGVSYPWYGNTTETVTLVGPTNKISRFSVSMNDNFYPSVTWAVPVSDSNVPLLTRIKRDQSFTTWLVAMNTTTKEKIILQTIKWRMRVDIEVDPLQLLGQRARLVGRTQQEQPRILSRMEPIPPNALVKPNANDAQVLMWRPKRGPPLVVIPPK
+>DECOY_sp|Q5VT40|FA78B_HUMAN Protein FAM78B OS=Homo sapiens OX=9606 GN=FAM78B PE=2 SV=1
+KPPIVVLPPGRKPRWMLVQADNANPKVLANPPIPEMRSLIRPQEQQTRGVLRARQGLLQLPDVEIDVRMRWKITQLIIKEKTTTNMAVLWTTFSQDRKIRTLLPVNSDSVPVAWTVSPYFNDNMSVSFRSIKNTPGVLTVTETTNGYWPYSVGDSDSIAKVRGERLDPLEWSSMGLDSYTNFFEMQNCAQIWGVVWTEHRPIPPMVVRASAKFYPTKYRLVIPSTEEIRTPCQDITACVDYVVINERRIRAKCTISQICGM
+>sp|Q86UY5|FA83A_HUMAN Protein FAM83A OS=Homo sapiens OX=9606 GN=FAM83A PE=1 SV=1
+MSRSRHLGKIRKRLEDVKSQWVRPARADFSDNESARLATDALLDGGSEAYWRVLSQEGEVDFLSSVEAQYIQAQAREPPCPPDTLGGAEAGPKGLDSSSLQSGTYFPVASEGSEPALLHSWASAEKPYLKEKSSATVYFQTVKHNNIRDLVRRCITRTSQVLVILMDVFTDVEIFCDILEAANKRGVFVCVLLDQGGVKLFQEMCDKVQISDSHLKNISIRSVEGEIYCAKSGRKFAGQIREKFIISDWRFVLSGSYSFTWLCGHVHRNILSKFTGQAVELFDEEFRHLYASSKPVMGLKSPRLVAPVPPGAAPANGRLSSSSGSASDRTSSNPFSGRSAGSHPGTRSVSASSGPCSPAAPHPPPPPRFQPHQGPWGAPSPQAHLSPRPHDGPPAAVYSNLGAYRPTRLQLEQLGLVPRLTPTWRPFLQASPHF
+>DECOY_sp|Q86UY5|FA83A_HUMAN Protein FAM83A OS=Homo sapiens OX=9606 GN=FAM83A PE=1 SV=1
+FHPSAQLFPRWTPTLRPVLGLQELQLRTPRYAGLNSYVAAPPGDHPRPSLHAQPSPAGWPGQHPQFRPPPPPHPAAPSCPGSSASVSRTGPHSGASRGSFPNSSTRDSASGSSSSLRGNAPAAGPPVPAVLRPSKLGMVPKSSAYLHRFEEDFLEVAQGTFKSLINRHVHGCLWTFSYSGSLVFRWDSIIFKERIQGAFKRGSKACYIEGEVSRISINKLHSDSIQVKDCMEQFLKVGGQDLLVCVFVGRKNAAELIDCFIEVDTFVDMLIVLVQSTRTICRRVLDRINNHKVTQFYVTASSKEKLYPKEASAWSHLLAPESGESAVPFYTGSQLSSSDLGKPGAEAGGLTDPPCPPERAQAQIYQAEVSSLFDVEGEQSLVRWYAESGGDLLADTALRASENDSFDARAPRVWQSKVDELRKRIKGLHRSRSM
+>sp|P15090|FABP4_HUMAN Fatty acid-binding protein, adipocyte OS=Homo sapiens OX=9606 GN=FABP4 PE=1 SV=3
+MCDAFVGTWKLVSSENFDDYMKEVGVGFATRKVAGMAKPNMIISVNGDVITIKSESTFKNTEISFILGQEFDEVTADDRKVKSTITLDGGVLVHVQKWDGKSTTIKRKREDDKLVVECVMKGVTSTRVYERA
+>DECOY_sp|P15090|FABP4_HUMAN Fatty acid-binding protein, adipocyte OS=Homo sapiens OX=9606 GN=FABP4 PE=1 SV=3
+AREYVRTSTVGKMVCEVVLKDDERKRKITTSKGDWKQVHVLVGGDLTITSKVKRDDATVEDFEQGLIFSIETNKFTSESKITIVDGNVSIIMNPKAMGAVKRTAFGVGVEKMYDDFNESSVLKWTGVFADCM
+>sp|O15540|FABP7_HUMAN Fatty acid-binding protein, brain OS=Homo sapiens OX=9606 GN=FABP7 PE=1 SV=3
+MVEAFCATWKLTNSQNFDEYMKALGVGFATRQVGNVTKPTVIISQEGDKVVIRTLSTFKNTEISFQLGEEFDETTADDRNCKSVVSLDGDKLVHIQKWDGKETNFVREIKDGKMVMTLTFGDVVAVRHYEKA
+>DECOY_sp|O15540|FABP7_HUMAN Fatty acid-binding protein, brain OS=Homo sapiens OX=9606 GN=FABP7 PE=1 SV=3
+AKEYHRVAVVDGFTLTMVMKGDKIERVFNTEKGDWKQIHVLKDGDLSVVSKCNRDDATTEDFEEGLQFSIETNKFTSLTRIVVKDGEQSIIVTPKTVNGVQRTAFGVGLAKMYEDFNQSNTLKWTACFAEVM
+>sp|Q9Y256|FACE2_HUMAN CAAX prenyl protease 2 OS=Homo sapiens OX=9606 GN=RCE1 PE=1 SV=1
+MAALGGDGLRLLSVSRPERPPESAALGGLGPGLCCWVSVFSCLSLACSYVGSLYVWKSELPRDHPAVIKRRFTSVLVVSSLSPLCVLLWRELTGIQPGTSLLTLMGFRLEGIFPAALLPLLLTMILFLGPLMQLSMDCPCDLADGLKVVLAPRSWARCLTDMRWLRNQVIAPLTEELVFRACMLPMLAPCMGLGPAVFTCPLFFGVAHFHHIIEQLRFRQSSVGNIFLSAAFQFSYTAVFGAYTAFLFIRTGHLIGPVLCHSFCNYMGFPAVCAALEHPQRRPLLAGYALGVGLFLLLLQPLTDPKLYGSLPLCVLLERAGDSEAPLCS
+>DECOY_sp|Q9Y256|FACE2_HUMAN CAAX prenyl protease 2 OS=Homo sapiens OX=9606 GN=RCE1 PE=1 SV=1
+SCLPAESDGARELLVCLPLSGYLKPDTLPQLLLLFLGVGLAYGALLPRRQPHELAACVAPFGMYNCFSHCLVPGILHGTRIFLFATYAGFVATYSFQFAASLFINGVSSQRFRLQEIIHHFHAVGFFLPCTFVAPGLGMCPALMPLMCARFVLEETLPAIVQNRLWRMDTLCRAWSRPALVVKLGDALDCPCDMSLQMLPGLFLIMTLLLPLLAAPFIGELRFGMLTLLSTGPQIGTLERWLLVCLPSLSSVVLVSTFRRKIVAPHDRPLESKWVYLSGVYSCALSLCSFVSVWCCLGPGLGGLAASEPPREPRSVSLLRLGDGGLAAM
+>sp|Q6P2I3|FAH2B_HUMAN Fumarylacetoacetate hydrolase domain-containing protein 2B OS=Homo sapiens OX=9606 GN=FAHD2B PE=1 SV=1
+MLVSGRRRLLTALLQAQKWPFQPSRDMRLVQFRAPHLVGPHLGLETGNGGGVINLNAFDPTLPKTMTQFLEQGEATLSVARRALAAQLPVLPWSEVTFLAPVTWPDKVVCVGMNYVDHCKEQNVPVPKEPIIFSKFASSIVGPYDEVVLPPQSQEVDWEVELAVVIGKKGKHIKATDAMAHVAGFTVAHDVSARDWLTRRNGKQWLLGKTFDTFCPLGPALVTKDSVADPHNLKICCRVNGEVVQSSNTNQMVFKTEDLIAWVSQFVTFYPGDVILTGTPPGVGVFRKPPVFLKKGDEVQCEIEELGVIINKVV
+>DECOY_sp|Q6P2I3|FAH2B_HUMAN Fumarylacetoacetate hydrolase domain-containing protein 2B OS=Homo sapiens OX=9606 GN=FAHD2B PE=1 SV=1
+VVKNIIVGLEEIECQVEDGKKLFVPPKRFVGVGPPTGTLIVDGPYFTVFQSVWAILDETKFVMQNTNSSQVVEGNVRCCIKLNHPDAVSDKTVLAPGLPCFTDFTKGLLWQKGNRRTLWDRASVDHAVTFGAVHAMADTAKIHKGKKGIVVALEVEWDVEQSQPPLVVEDYPGVISSAFKSFIIPEKPVPVNQEKCHDVYNMGVCVVKDPWTVPALFTVESWPLVPLQAALARRAVSLTAEGQELFQTMTKPLTPDFANLNIVGGGNGTELGLHPGVLHPARFQVLRMDRSPQFPWKQAQLLATLLRRRGSVLM
+>sp|Q92520|FAM3C_HUMAN Protein FAM3C OS=Homo sapiens OX=9606 GN=FAM3C PE=1 SV=1
+MRVAGAAKLVVAVAVFLLTFYVISQVFEIKMDASLGNLFARSALDTAARSTKPPRYKCGISKACPEKHFAFKMASGAANVVGPKICLEDNVLMSGVKNNVGRGINVALANGKTGEVLDTKYFDMWGGDVAPFIEFLKAIQDGTIVLMGTYDDGATKLNDEARRLIADLGSTSITNLGFRDNWVFCGGKGIKTKSPFEQHIKNNKDTNKYEGWPEVVEMEGCIPQKQD
+>DECOY_sp|Q92520|FAM3C_HUMAN Protein FAM3C OS=Homo sapiens OX=9606 GN=FAM3C PE=1 SV=1
+DQKQPICGEMEVVEPWGEYKNTDKNNKIHQEFPSKTKIGKGGCFVWNDRFGLNTISTSGLDAILRRAEDNLKTAGDDYTGMLVITGDQIAKLFEIFPAVDGGWMDFYKTDLVEGTKGNALAVNIGRGVNNKVGSMLVNDELCIKPGVVNAAGSAMKFAFHKEPCAKSIGCKYRPPKTSRAATDLASRAFLNGLSADMKIEFVQSIVYFTLLFVAVAVVLKAAGAVRM
+>sp|Q494R0|FBAS1_HUMAN Putative uncharacterized protein FBXL19-AS1 OS=Homo sapiens OX=9606 GN=FBXL19-AS1 PE=5 SV=1
+MAEPGGRGDYRKDGRLPSLSRSPLSTTLGTSPACGLEIPPTSGARPDGSCSLPAPVYHLKSRQWKGMGRGYRQRWRLQGRGDCDMGCVVQASGLFPAEMRERTTKKMATSPLDLCAGACWEM
+>DECOY_sp|Q494R0|FBAS1_HUMAN Putative uncharacterized protein FBXL19-AS1 OS=Homo sapiens OX=9606 GN=FBXL19-AS1 PE=5 SV=1
+MEWCAGACLDLPSTAMKKTTRERMEAPFLGSAQVVCGMDCDGRGQLRWRQRYGRGMGKWQRSKLHYVPAPLSCSGDPRAGSTPPIELGCAPSTGLTTSLPSRSLSPLRGDKRYDGRGGPEAM
+>sp|Q8N539|FBCD1_HUMAN Fibrinogen C domain-containing protein 1 OS=Homo sapiens OX=9606 GN=FIBCD1 PE=1 SV=2
+MVNDRWKTMGGAAQLEDRPRDKPQRPSCGYVLCTVLLALAVLLAVAVTGAVLFLNHAHAPGTAPPPVVSTGAASANSALVTVERADSSHLSILIDPRCPDLTDSFARLESAQASVLQALTEHQAQPRLVGDQEQELLDTLADQLPRLLARASELQTECMGLRKGHGTLGQGLSALQSEQGRLIQLLSESQGHMAHLVNSVSDILDALQRDRGLGRPRNKADLQRAPARGTRPRGCATGSRPRDCLDVLLSGQQDDGVYSVFPTHYPAGFQVYCDMRTDGGGWTVFQRREDGSVNFFRGWDAYRDGFGRLTGEHWLGLKRIHALTTQAAYELHVDLEDFENGTAYARYGSFGVGLFSVDPEEDGYPLTVADYSGTAGDSLLKHSGMRFTTKDRDSDHSENNCAAFYRGAWWYRNCHTSNLNGQYLRGAHASYADGVEWSSWTGWQYSLKFSEMKIRPVREDR
+>DECOY_sp|Q8N539|FBCD1_HUMAN Fibrinogen C domain-containing protein 1 OS=Homo sapiens OX=9606 GN=FIBCD1 PE=1 SV=2
+RDERVPRIKMESFKLSYQWGTWSSWEVGDAYSAHAGRLYQGNLNSTHCNRYWWAGRYFAACNNESHDSDRDKTTFRMGSHKLLSDGATGSYDAVTLPYGDEEPDVSFLGVGFSGYRAYATGNEFDELDVHLEYAAQTTLAHIRKLGLWHEGTLRGFGDRYADWGRFFNVSGDERRQFVTWGGGDTRMDCYVQFGAPYHTPFVSYVGDDQQGSLLVDLCDRPRSGTACGRPRTGRAPARQLDAKNRPRGLGRDRQLADLIDSVSNVLHAMHGQSESLLQILRGQESQLASLGQGLTGHGKRLGMCETQLESARALLRPLQDALTDLLEQEQDGVLRPQAQHETLAQLVSAQASELRAFSDTLDPCRPDILISLHSSDAREVTVLASNASAAGTSVVPPPATGPAHAHNLFLVAGTVAVALLVALALLVTCLVYGCSPRQPKDRPRDELQAAGGMTKWRDNVM
+>sp|Q8TES7|FBF1_HUMAN Fas-binding factor 1 OS=Homo sapiens OX=9606 GN=FBF1 PE=1 SV=2
+MAPKTKKGCKVTLPEKPVKLASHTRDTTGVSQMFPSSKARTKSLLGDDVFSTMAGLEEADAEVSGISEADPQALLQAMKDLDGMDADILGLKKSNSAPSKKAAKDPGKGELPNHPKPAGGAIPTKKSLPSPSSSGHQNRRFSSEDLEDPLRGLLSYDEGGITKQPPVTQSKTASDKSPSTVRDQGPSIPLTPGDTPIRKKEELLFDDGDDIMATLGFGDSPKAEKRQIGDQEGPRPARSTLDELLGRGMATKLLARPGTGEHREFKLDKKYQRPQDSEDMWGDEDFTFGAYQPTVVSSEGRQSRRQSVSRFFADSGADPKGEPGSKQSPPMASSPIQPRKGGADWLGLKDEDLDLFPASPTREAHRESSVPVTPSVPPPASQHSTPAGLPPSRAKPPTEGAGSPAKASQASKLRASKEEKEDWLSHALSRKKSQGLAREQHAGTSEGLHLAGTAGHPPSGSQPLTSTQGLEHAAAGGSSGTTARERPCVRPGVSGSPVTQNHAASALPTGSPKRGTAPGDLSATEPATCFPSTQKPTEPSVPVQPLLPESLARSLLPSTEYQKQLLAAQVQLQCSPAELQAELLHSQARLAELEAQVRKLELERAQHELLLGSLQQQHQADLELIESAHRSRIKVLETSYQQREERLRRENEELSARYLSQCQEAEQARAELTAQHQRRLAAIAQEKDQEMERLRELQRASILDMRRDHEEQLQRLKLLKDREVDAATSATSHTRSLNSIIHQMEKFSSSLHELSSRVEASHLTTSQERELGIRQRDEQLRALQERLGQQQRDMEEERSRQQEVIGKMEARLNEQSRLLEQERWRVTAEQSKAESMQRALEEQRKVTAQQMAMERAELERAKSALLEEQKSVMLKCGEERRRLAAEWAEFSAQQKLSKERAEREAERALQVDTQREGTLISLAKQAELKIRASELRAEEKQLAAERAALEQERQELRLEKERINATALRVKLRAEEVESMSKVASEKYEEGERALREAQQVQAEQQARLQAVQQQQERLRKQEQHMHQEHLSLAQQRLQLDRARQDLPSSLVGLFPRAQGPAASSQSALMPPAPTTRWCSQPPTGLDPSPLHLHARLALLRHMAEQDRDFLENEQFFLETLKKGSYNLTSHSA
+>DECOY_sp|Q8TES7|FBF1_HUMAN Fas-binding factor 1 OS=Homo sapiens OX=9606 GN=FBF1 PE=1 SV=2
+ASHSTLNYSGKKLTELFFQENELFDRDQEAMHRLLALRAHLHLPSPDLGTPPQSCWRTTPAPPMLASQSSAAPGQARPFLGVLSSPLDQRARDLQLRQQALSLHEQHMHQEQKRLREQQQQVAQLRAQQEAQVQQAERLAREGEEYKESAVKSMSEVEEARLKVRLATANIREKELRLEQREQELAAREAALQKEEARLESARIKLEAQKALSILTGERQTDVQLAREAEREAREKSLKQQASFEAWEAALRRREEGCKLMVSKQEELLASKARELEAREMAMQQATVKRQEELARQMSEAKSQEATVRWREQELLRSQENLRAEMKGIVEQQRSREEEMDRQQQGLREQLARLQEDRQRIGLEREQSTTLHSAEVRSSLEHLSSSFKEMQHIISNLSRTHSTASTAADVERDKLLKLRQLQEEHDRRMDLISARQLERLREMEQDKEQAIAALRRQHQATLEARAQEAEQCQSLYRASLEENERRLREERQQYSTELVKIRSRHASEILELDAQHQQQLSGLLLEHQARELELKRVQAELEALRAQSHLLEAQLEAPSCQLQVQAALLQKQYETSPLLSRALSEPLLPQVPVSPETPKQTSPFCTAPETASLDGPATGRKPSGTPLASAAHNQTVPSGSVGPRVCPRERATTGSSGGAAAHELGQTSTLPQSGSPPHGATGALHLGESTGAHQERALGQSKKRSLAHSLWDEKEEKSARLKSAQSAKAPSGAGETPPKARSPPLGAPTSHQSAPPPVSPTVPVSSERHAERTPSAPFLDLDEDKLGLWDAGGKRPQIPSSAMPPSQKSGPEGKPDAGSDAFFRSVSQRRSQRGESSVVTPQYAGFTFDEDGWMDESDQPRQYKKDLKFERHEGTGPRALLKTAMGRGLLEDLTSRAPRPGEQDGIQRKEAKPSDGFGLTAMIDDGDDFLLEEKKRIPTDGPTLPISPGQDRVTSPSKDSATKSQTVPPQKTIGGEDYSLLGRLPDELDESSFRRNQHGSSSPSPLSKKTPIAGGAPKPHNPLEGKGPDKAAKKSPASNSKKLGLIDADMGDLDKMAQLLAQPDAESIGSVEADAEELGAMTSFVDDGLLSKTRAKSSPFMQSVGTTDRTHSALKVPKEPLTVKCGKKTKPAM
+>sp|Q12805|FBLN3_HUMAN EGF-containing fibulin-like extracellular matrix protein 1 OS=Homo sapiens OX=9606 GN=EFEMP1 PE=1 SV=2
+MLKALFLTMLTLALVKSQDTEETITYTQCTDGYEWDPVRQQCKDIDECDIVPDACKGGMKCVNHYGGYLCLPKTAQIIVNNEQPQQETQPAEGTSGATTGVVAASSMATSGVLPGGGFVASAAAVAGPEMQTGRNNFVIRRNPADPQRIPSNPSHRIQCAAGYEQSEHNVCQDIDECTAGTHNCRADQVCINLRGSFACQCPPGYQKRGEQCVDIDECTIPPYCHQRCVNTPGSFYCQCSPGFQLAANNYTCVDINECDASNQCAQQCYNILGSFICQCNQGYELSSDRLNCEDIDECRTSSYLCQYQCVNEPGKFSCMCPQGYQVVRSRTCQDINECETTNECREDEMCWNYHGGFRCYPRNPCQDPYILTPENRCVCPVSNAMCRELPQSIVYKYMSIRSDRSVPSDIFQIQATTIYANTINTFRIKSGNENGEFYLRQTSPVSAMLVLVKSLSGPREHIVDLEMLTVSSIGTFRTSSVLRLTIIVGPFSF
+>DECOY_sp|Q12805|FBLN3_HUMAN EGF-containing fibulin-like extracellular matrix protein 1 OS=Homo sapiens OX=9606 GN=EFEMP1 PE=1 SV=2
+FSFPGVIITLRLVSSTRFTGISSVTLMELDVIHERPGSLSKVLVLMASVPSTQRLYFEGNENGSKIRFTNITNAYITTAQIQFIDSPVSRDSRISMYKYVISQPLERCMANSVPCVCRNEPTLIYPDQCPNRPYCRFGGHYNWCMEDERCENTTECENIDQCTRSRVVQYGQPCMCSFKGPENVCQYQCLYSSTRCEDIDECNLRDSSLEYGQNCQCIFSGLINYCQQACQNSADCENIDVCTYNNAALQFGPSCQCYFSGPTNVCRQHCYPPITCEDIDVCQEGRKQYGPPCQCAFSGRLNICVQDARCNHTGATCEDIDQCVNHESQEYGAACQIRHSPNSPIRQPDAPNRRIVFNNRGTQMEPGAVAAASAVFGGGPLVGSTAMSSAAVVGTTAGSTGEAPQTEQQPQENNVIIQATKPLCLYGGYHNVCKMGGKCADPVIDCEDIDKCQQRVPDWEYGDTCQTYTITEETDQSKVLALTLMTLFLAKLM
+>sp|Q53RD9|FBLN7_HUMAN Fibulin-7 OS=Homo sapiens OX=9606 GN=FBLN7 PE=2 SV=1
+MVPSSPRALFLLLLILACPEPRASQNCLSKQQLLSAIRQLQQLLKGQETRFAEGIRHMKSRLAALQNSVGRVGPDALPVSCPALNTPADGRKFGSKYLVDHEVHFTCNPGFRLVGPSSVVCLPNGTWTGEQPHCRGISECSSQPCQNGGTCVEGVNQYRCICPPGRTGNRCQHQAQTAAPEGSVAGDSAFSRAPRCAQVERAQHCSCEAGFHLSGAAGDSVCQDVNECELYGQEGRPRLCMHACVNTPGSYRCTCPGGYRTLADGKSCEDVDECVGLQPVCPQGTTCINTGGSFQCVSPECPEGSGNVSYVKTSPFQCERNPCPMDSRPCRHLPKTISFHYLSLPSNLKTPITLFRMATASAPGRAGPNSLRFGIVGGNSRGHFVMQRSDRQTGDLILVQNLEGPQTLEVDVDMSEYLDRSFQANHVSKVTIFVSPYDF
+>DECOY_sp|Q53RD9|FBLN7_HUMAN Fibulin-7 OS=Homo sapiens OX=9606 GN=FBLN7 PE=2 SV=1
+FDYPSVFITVKSVHNAQFSRDLYESMDVDVELTQPGELNQVLILDGTQRDSRQMVFHGRSNGGVIGFRLSNPGARGPASATAMRFLTIPTKLNSPLSLYHFSITKPLHRCPRSDMPCPNRECQFPSTKVYSVNGSGEPCEPSVCQFSGGTNICTTGQPCVPQLGVCEDVDECSKGDALTRYGGPCTCRYSGPTNVCAHMCLRPRGEQGYLECENVDQCVSDGAAGSLHFGAECSCHQAREVQACRPARSFASDGAVSGEPAATQAQHQCRNGTRGPPCICRYQNVGEVCTGGNQCPQSSCESIGRCHPQEGTWTGNPLCVVSSPGVLRFGPNCTFHVEHDVLYKSGFKRGDAPTNLAPCSVPLADPGVRGVSNQLAALRSKMHRIGEAFRTEQGKLLQQLQRIASLLQQKSLCNQSARPEPCALILLLLFLARPSSPVM
+>sp|P35556|FBN2_HUMAN Fibrillin-2 OS=Homo sapiens OX=9606 GN=FBN2 PE=1 SV=3
+MGRRRRLCLQLYFLWLGCVVLWAQGTAGQPQPPPPKPPRPQPPPQQVRSATAGSEGGFLAPEYREEGAAVASRVRRRGQQDVLRGPNVCGSRFHSYCCPGWKTLPGGNQCIVPICRNSCGDGFCSRPNMCTCSSGQISSTCGSKSIQQCSVRCMNGGTCADDHCQCQKGYIGTYCGQPVCENGCQNGGRCIGPNRCACVYGFTGPQCERDYRTGPCFTQVNNQMCQGQLTGIVCTKTLCCATIGRAWGHPCEMCPAQPQPCRRGFIPNIRTGACQDVDECQAIPGICQGGNCINTVGSFECRCPAGHKQSETTQKCEDIDECSIIPGICETGECSNTVGSYFCVCPRGYVTSTDGSRCIDQRTGMCFSGLVNGRCAQELPGRMTKMQCCCEPGRCWGIGTIPEACPVRGSEEYRRLCMDGLPMGGIPGSAGSRPGGTGGNGFAPSGNGNGYGPGGTGFIPIPGGNGFSPGVGGAGVGAGGQGPIITGLTILNQTIDICKHHANLCLNGRCIPTVSSYRCECNMGYKQDANGDCIDVDECTSNPCTNGDCVNTPGSYYCKCHAGFQRTPTKQACIDIDECIQNGVLCKNGRCVNTDGSFQCICNAGFELTTDGKNCVDHDECTTTNMCLNGMCINEDGSFKCICKPGFVLAPNGRYCTDVDECQTPGICMNGHCINSEGSFRCDCPPGLAVGMDGRVCVDTHMRSTCYGGIKKGVCVRPFPGAVTKSECCCANPDYGFGEPCQPCPAKNSAEFHGLCSSGVGITVDGRDINECALDPDICANGICENLRGSYRCNCNSGYEPDASGRNCIDIDECLVNRLLCDNGLCRNTPGSYSCTCPPGYVFRTETETCEDINECESNPCVNGACRNNLGSFNCECSPGSKLSSTGLICIDSLKGTCWLNIQDSRCEVNINGATLKSECCATLGAAWGSPCERCELDTACPRGLARIKGVTCEDVNECEVFPGVCPNGRCVNSKGSFHCECPEGLTLDGTGRVCLDIRMEQCYLKWDEDECIHPVPGKFRMDACCCAVGAAWGTECEECPKPGTKEYETLCPRGAGFANRGDVLTGRPFYKDINECKAFPGMCTYGKCRNTIGSFKCRCNSGFALDMEERNCTDIDECRISPDLCGSGICVNTPGSFECECFEGYESGFMMMKNCMDIDECERNPLLCRGGTCVNTEGSFQCDCPLGHELSPSREDCVDINECSLSDNLCRNGKCVNMIGTYQCSCNPGYQATPDRQGCTDIDECMIMNGGCDTQCTNSEGSYECSCSEGYALMPDGRSCADIDECENNPDICDGGQCTNIPGEYRCLCYDGFMASMDMKTCIDVNECDLNSNICMFGECENTKGSFICHCQLGYSVKKGTTGCTDVDECEIGAHNCDMHASCLNIPGSFKCSCREGWIGNGIKCIDLDECSNGTHQCSINAQCVNTPGSYRCACSEGFTGDGFTCSDVDECAENINLCENGQCLNVPGAYRCECEMGFTPASDSRSCQDIDECSFQNICVFGTCNNLPGMFHCICDDGYELDRTGGNCTDIDECADPINCVNGLCVNTPGRYECNCPPDFQLNPTGVGCVDNRVGNCYLKFGPRGDGSLSCNTEIGVGVSRSSCCCSLGKAWGNPCETCPPVNSTEYYTLCPGGEGFRPNPITIILEDIDECQELPGLCQGGNCINTFGSFQCECPQGYYLSEDTRICEDIDECFAHPGVCGPGTCYNTLGNYTCICPPEYMQVNGGHNCMDMRKSFCYRSYNGTTCENELPFNVTKRMCCCTYNVGKAWNKPCEPCPTPGTADFKTICGNIPGFTFDIHTGKAVDIDECKEIPGICANGVCINQIGSFRCECPTGFSYNDLLLVCEDIDECSNGDNLCQRNADCINSPGSYRCECAAGFKLSPNGACVDRNECLEIPNVCSHGLCVDLQGSYQCICHNGFKASQDQTMCMDVDECERHPCGNGTCKNTVGSYNCLCYPGFELTHNNDCLDIDECSSFFGQVCRNGRCFNEIGSFKCLCNEGYELTPDGKNCIDTNECVALPGSCSPGTCQNLEGSFRCICPPGYEVKSENCIDINECDEDPNICLFGSCTNTPGGFQCLCPPGFVLSDNGRRCFDTRQSFCFTNFENGKCSVPKAFNTTKAKCCCSKMPGEGWGDPCELCPKDDEVAFQDLCPYGHGTVPSLHDTREDVNECLESPGICSNGQCINTDGSFRCECPMGYNLDYTGVRCVDTDECSIGNPCGNGTCTNVIGSFECNCNEGFEPGPMMNCEDINECAQNPLLCAFRCMNTFGSYECTCPIGYALREDQKMCKDLDECAEGLHDCESRGMMCKNLIGTFMCICPPGMARRPDGEGCVDENECRTKPGICENGRCVNIIGSYRCECNEGFQSSSSGTECLDNRQGLCFAEVLQTICQMASSSRNLVTKSECCCDGGRGWGHQCELCPLPGTAQYKKICPHGPGYTTDGRDIDECKVMPNLCTNGQCINTMGSFRCFCKVGYTTDISGTSCIDLDECSQSPKPCNYICKNTEGSYQCSCPRGYVLQEDGKTCKDLDECQTKQHNCQFLCVNTLGGFTCKCPPGFTQHHTACIDNNECGSQPSLCGAKGICQNTPGSFSCECQRGFSLDATGLNCEDVDECDGNHRCQHGCQNILGGYRCGCPQGYIQHYQWNQCVDENECSNPNACGSASCYNTLGSYKCACPSGFSFDQFSSACHDVNECSSSKNPCNYGCSNTEGGYLCGCPPGYYRVGQGHCVSGMGFNKGQYLSLDTEVDEENALSPEACYECKINGYSKKDSRQKRSIHEPDPTAVEQISLESVDMDSPVNMKFNLSHLGSKEHILELRPAIQPLNNHIRYVISQGNDDSVFRIHQRNGLSYLHTAKKKLMPGTYTLEITSIPLYKKKELKKLEESNEDDYLLGELGEALRMRLQIQLY
+>DECOY_sp|P35556|FBN2_HUMAN Fibrillin-2 OS=Homo sapiens OX=9606 GN=FBN2 PE=1 SV=3
+YLQIQLRMRLAEGLEGLLYDDENSEELKKLEKKKYLPISTIELTYTGPMLKKKATHLYSLGNRQHIRFVSDDNGQSIVYRIHNNLPQIAPRLELIHEKSGLHSLNFKMNVPSDMDVSELSIQEVATPDPEHISRKQRSDKKSYGNIKCEYCAEPSLANEEDVETDLSLYQGKNFGMGSVCHGQGVRYYGPPCGCLYGGETNSCGYNCPNKSSSCENVDHCASSFQDFSFGSPCACKYSGLTNYCSASGCANPNSCENEDVCQNWQYHQIYGQPCGCRYGGLINQCGHQCRHNGDCEDVDECNLGTADLSFGRQCECSFSGPTNQCIGKAGCLSPQSGCENNDICATHHQTFGPPCKCTFGGLTNVCLFQCNHQKTQCEDLDKCTKGDEQLVYGRPCSCQYSGETNKCIYNCPKPSQSCEDLDICSTGSIDTTYGVKCFCRFSGMTNICQGNTCLNPMVKCEDIDRGDTTYGPGHPCIKKYQATGPLPCLECQHGWGRGGDCCCESKTVLNRSSSAMQCITQLVEAFCLGQRNDLCETGSSSSQFGENCECRYSGIINVCRGNECIGPKTRCENEDVCGEGDPRRAMGPPCICMFTGILNKCMMGRSECDHLGEACEDLDKCMKQDERLAYGIPCTCEYSGFTNMCRFACLLPNQACENIDECNMMPGPEFGENCNCEFSGIVNTCTGNGCPNGISCEDTDVCRVGTYDLNYGMPCECRFSGDTNICQGNSCIGPSELCENVDERTDHLSPVTGHGYPCLDQFAVEDDKPCLECPDGWGEGPMKSCCCKAKTTNFAKPVSCKGNEFNTFCFSQRTDFCRRGNDSLVFGPPCLCQFGGPTNTCSGFLCINPDEDCENIDICNESKVEYGPPCICRFSGELNQCTGPSCSGPLAVCENTDICNKGDPTLEYGENCLCKFSGIENFCRGNRCVQGFFSSCEDIDLCDNNHTLEFGPYCLCNYSGVTNKCTGNGCPHRECEDVDMCMTQDQSAKFGNHCICQYSGQLDVCLGHSCVNPIELCENRDVCAGNPSLKFGAACECRYSGPSNICDANRQCLNDGNSCEDIDECVLLLDNYSFGTPCECRFSGIQNICVGNACIGPIEKCEDIDVAKGTHIDFTFGPINGCITKFDATGPTPCPECPKNWAKGVNYTCCCMRKTVNFPLENECTTGNYSRYCFSKRMDMCNHGGNVQMYEPPCICTYNGLTNYCTGPGCVGPHAFCEDIDECIRTDESLYYGQPCECQFSGFTNICNGGQCLGPLEQCEDIDELIITIPNPRFGEGGPCLTYYETSNVPPCTECPNGWAKGLSCCCSSRSVGVGIETNCSLSGDGRPGFKLYCNGVRNDVCGVGTPNLQFDPPCNCEYRGPTNVCLGNVCNIPDACEDIDTCNGGTRDLEYGDDCICHFMGPLNNCTGFVCINQFSCEDIDQCSRSDSAPTFGMECECRYAGPVNLCQGNECLNINEACEDVDSCTFGDGTFGESCACRYSGPTNVCQANISCQHTGNSCEDLDICKIGNGIWGERCSCKFSGPINLCSAHMDCNHAGIECEDVDTCGTTGKKVSYGLQCHCIFSGKTNECEGFMCINSNLDCENVDICTKMDMSAMFGDYCLCRYEGPINTCQGGDCIDPNNECEDIDACSRGDPMLAYGESCSCEYSGESNTCQTDCGGNMIMCEDIDTCGQRDPTAQYGPNCSCQYTGIMNVCKGNRCLNDSLSCENIDVCDERSPSLEHGLPCDCQFSGETNVCTGGRCLLPNRECEDIDMCNKMMMFGSEYGEFCECEFSGPTNVCIGSGCLDPSIRCEDIDTCNREEMDLAFGSNCRCKFSGITNRCKGYTCMGPFAKCENIDKYFPRGTLVDGRNAFGAGRPCLTEYEKTGPKPCEECETGWAAGVACCCADMRFKGPVPHICEDEDWKLYCQEMRIDLCVRGTGDLTLGEPCECHFSGKSNVCRGNPCVGPFVECENVDECTVGKIRALGRPCATDLECRECPSGWAAGLTACCESKLTAGNINVECRSDQINLWCTGKLSDICILGTSSLKSGPSCECNFSGLNNRCAGNVCPNSECENIDECTETETRFVYGPPCTCSYSGPTNRCLGNDCLLRNVLCEDIDICNRGSADPEYGSNCNCRYSGRLNECIGNACIDPDLACENIDRGDVTIGVGSSCLGHFEASNKAPCPQCPEGFGYDPNACCCESKTVAGPFPRVCVGKKIGGYCTSRMHTDVCVRGDMGVALGPPCDCRFSGESNICHGNMCIGPTQCEDVDTCYRGNPALVFGPKCICKFSGDENICMGNLCMNTTTCEDHDVCNKGDTTLEFGANCICQFSGDTNVCRGNKCLVGNQICEDIDICAQKTPTRQFGAHCKCYYSGPTNVCDGNTCPNSTCEDVDICDGNADQKYGMNCECRYSSVTPICRGNLCLNAHHKCIDITQNLITLGTIIPGQGGAGVGAGGVGPSFGNGGPIPIFGTGGPGYGNGNGSPAFGNGGTGGPRSGASGPIGGMPLGDMCLRRYEESGRVPCAEPITGIGWCRGPECCCQMKTMRGPLEQACRGNVLGSFCMGTRQDICRSGDTSTVYGRPCVCFYSGVTNSCEGTECIGPIISCEDIDECKQTTESQKHGAPCRCEFSGVTNICNGGQCIGPIAQCEDVDQCAGTRINPIFGRRCPQPQAPCMECPHGWARGITACCLTKTCVIGTLQGQCMQNNVQTFCPGTRYDRECQPGTFGYVCACRNPGICRGGNQCGNECVPQGCYTGIYGKQCQCHDDACTGGNMCRVSCQQISKSGCTSSIQGSSCTCMNPRSCFGDGCSNRCIPVICQNGGPLTKWGPCCYSHFRSGCVNPGRLVDQQGRRRVRSAVAAGEERYEPALFGGESGATASRVQQPPPQPRPPKPPPPQPQGATGQAWLVVCGLWLFYLQLCLRRRRGM
+>sp|Q75N90|FBN3_HUMAN Fibrillin-3 OS=Homo sapiens OX=9606 GN=FBN3 PE=2 SV=3
+MTLEGLYLARGPLARLLLAWSALLCMAGGQGRWDGALEAAGPGRVRRRGSPGILQGPNVCGSRFHAYCCPGWRTFPGRSQCVVPICRRACGEGFCSQPNLCTCADGTLAPSCGVSRGSGCSVSCMNGGTCRGASCLCQKGYTGTVCGQPICDRGCHNGGRCIGPNRCACVYGFMGPQCERDYRTGPCFGQVGPEGCQHQLTGLVCTKALCCATVGRAWGLPCELCPAQPHPCRRGFIPNIHTGACQDVDECQAVPGLCQGGSCVNMVGSFHCRCPVGHRLSDSSAACEDYRAGACFSVLFGGRCAGDLAGHYTRRQCCCDRGRCWAAGPVPELCPPRGSNEFQQLCAQRLPLLPGHPGLFPGLLGFGSNGMGPPLGPARLNPHGSDARGIPSLGPGNSNIGTATLNQTIDICRHFTNLCLNGRCLPTPSSYRCECNVGYTQDVRGECIDVDECTSSPCHHGDCVNIPGTYHCRCYPGFQATPTRQACVDVDECIVSGGLCHLGRCVNTEGSFQCVCNAGFELSPDGKNCVDHNECATSTMCVNGVCLNEDGSFSCLCKPGFLLAPGGHYCMDIDECQTPGICVNGHCTNTEGSFRCQCLGGLAVGTDGRVCVDTHVRSTCYGAIEKGSCARPFPGTVTKSECCCANPDHGFGEPCQLCPAKDSAEFQALCSSGLGITTDGRDINECALDPEVCANGVCENLRGSYRCVCNLGYEAGASGKDCTDVDECALNSLLCDNGWCQNSPGSYSCSCPPGFHFWQDTEICKDVDECLSSPCVSGVCRNLAGSYTCKCGPGSRLDPSGTFCLDSTKGTCWLKIQESRCEVNLQGASLRSECCATLGAAWGSPCERCEIDPACARGFARMTGVTCDDVNECESFPGVCPNGRCVNTAGSFRCECPEGLMLDASGRLCVDVRLEPCFLRWDEDECGVTLPGKYRMDVCCCSIGAVWGVECEACPDPESLEFASLCPRGLGFASRDFLSGRPFYKDVNECKVFPGLCTHGTCRNTVGSFHCACAGGFALDAQERNCTDIDECRISPDLCGQGTCVNTPGSFECECFPGYESGFMLMKNCMDVDECARDPLLCRGGTCTNTDGSYKCQCPPGHELTAKGTACEDIDECSLSDGLCPHGQCVNVIGAFQCSCHAGFQSTPDRQGCVDINECRVQNGGCDVHCINTEGSYRCSCGQGYSLMPDGRACADVDECEENPRVCDQGHCTNMPGGHRCLCYDGFMATPDMRTCVDVDECDLNPHICLHGDCENTKGSFVCHCQLGYMVRKGATGCSDVDECEVGGHNCDSHASCLNIPGSFSCRCLPGWVGDGFECHDLDECVSQEHRCSPRGDCLNVPGSYRCTCRQGFAGDGFFCEDRDECAENVDLCDNGQCLNAPGGYRCECEMGFDPTEDHRACQDVDECAQGNLCAFGSCENLPGMFRCICNGGYELDRGGGNCTDINECADPVNCINGVCINTPGSYLCSCPQDFELNPSGVGCVDTRAGNCFLETHDRGDSGISCSAEIGVGVTRASCCCSLGRAWGNPCELCPMANTTEYRTLCPGGEGFQPNRITVILEDIDECQELPGLCQGGDCVNTFGSFQCECPPGYHLSEHTRICEDIDECSTHSGICGPGTCYNTLGNYTCVCPAEYLQVNGGNNCMDMRKSVCFRHYNGTCQNELAFNVTRKMCCCSYNIGQAWNRPCEACPTPISPDYQILCGNQAPGFLTDIHTGKPLDIDECGEIPAICANGICINQIGSFRCECPAGFNYNSILLACEDVDECGSRESPCQQNADCINIPGSYRCKCTRGYKLSPGGACVGRNECREIPNVCSHGDCMDTEGSYMCLCHRGFQASADQTLCMDIDECDRQPCGNGTCKNIIGSYNCLCFPGFVVTHNGDCVDFDECTTLVGQVCRFGHCLNTAGSFHCLCQDGFELTADGKNCVDTNECLSLAGTCLPGTCQNLEGSFRCICPPGFQVQSDHCIDIDECSEEPNLCLFGTCTNSPGSFQCLCPPGFVLSDNGHRCFDTRQSFCFTRFEAGKCSVPKAFNTTKTRCCCSKRPGEGWGDPCELCPQEGSAAFQELCPFGHGAVPGPDDSREDVNECAENPGVCTNGVCVNTDGSFRCECPFGYSLDFTGINCVDTDECSVGHPCGQGTCTNVIGGFECACADGFEPGLMMTCEDIDECSLNPLLCAFRCHNTEGSYLCTCPAGYTLREDGAMCRDVDECADGQQDCHARGMECKNLIGTFACVCPPGMRPLPGSGEGCTDDNECHAQPDLCVNGRCVNTAGSFRCDCDEGFQPSPTLTECHDIRQGPCFAEVLQTMCRSLSSSSEAVTRAECCCGGGRGWGPRCELCPLPGTSAYRKLCPHGSGYTAEGRDVDECRMLAHLCAHGECINSLGSFRCHCQAGYTPDATATTCLDMDECSQVPKPCTFLCKNTKGSFLCSCPRGYLLEEDGRTCKDLDECTSRQHNCQFLCVNTVGAFTCRCPPGFTQHHQACFDNDECSAQPGPCGAHGHCHNTPGSFRCECHQGFTLVSSGHGCEDVNECDGPHRCQHGCQNQLGGYRCSCPQGFTQHSQWAQCVDENECALSPPTCGSASCRNTLGGFRCVCPSGFDFDQALGGCQEVDECAGRRGPCSYSCANTPGGFLCGCPQGYFRAGQGHCVSGLGFSPGPQDTPDKEELLSSEACYECKINGLSPRDRPRRSAHRDHQVNLATLDSEALLTLGLNLSHLGRAERILELRPALEGLEGRIRYVIVRGNEQGFFRMHHLRGVSSLQLGRRRPGPGTYRLEVVSHMAGPWGVQPEGQPGPWGQALRLKVQLQLL
+>DECOY_sp|Q75N90|FBN3_HUMAN Fibrillin-3 OS=Homo sapiens OX=9606 GN=FBN3 PE=2 SV=3
+LLQLQVKLRLAQGWPGPQGEPQVGWPGAMHSVVELRYTGPGPRRRGLQLSSVGRLHHMRFFGQENGRVIVYRIRGELGELAPRLELIREARGLHSLNLGLTLLAESDLTALNVQHDRHASRRPRDRPSLGNIKCEYCAESSLLEEKDPTDQPGPSFGLGSVCHGQGARFYGQPCGCLFGGPTNACSYSCPGRRGACEDVEQCGGLAQDFDFGSPCVCRFGGLTNRCSASGCTPPSLACENEDVCQAWQSHQTFGQPCSCRYGGLQNQCGHQCRHPGDCENVDECGHGSSVLTFGQHCECRFSGPTNHCHGHAGCPGPQASCEDNDFCAQHHQTFGPPCRCTFAGVTNVCLFQCNHQRSTCEDLDKCTRGDEELLYGRPCSCLFSGKTNKCLFTCPKPVQSCEDMDLCTTATADPTYGAQCHCRFSGLSNICEGHACLHALMRCEDVDRGEATYGSGHPCLKRYASTGPLPCLECRPGWGRGGGCCCEARTVAESSSSLSRCMTQLVEAFCPGQRIDHCETLTPSPQFGEDCDCRFSGATNVCRGNVCLDPQAHCENDDTCGEGSGPLPRMGPPCVCAFTGILNKCEMGRAHCDQQGDACEDVDRCMAGDERLTYGAPCTCLYSGETNHCRFACLLPNLSCEDIDECTMMLGPEFGDACACEFGGIVNTCTGQGCPHGVSCEDTDVCNIGTFDLSYGFPCECRFSGDTNVCVGNTCVGPNEACENVDERSDDPGPVAGHGFPCLEQFAASGEQPCLECPDGWGEGPRKSCCCRTKTTNFAKPVSCKGAEFRTFCFSQRTDFCRHGNDSLVFGPPCLCQFSGPSNTCTGFLCLNPEESCEDIDICHDSQVQFGPPCICRFSGELNQCTGPLCTGALSLCENTDVCNKGDATLEFGDQCLCHFSGATNLCHGFRCVQGVLTTCEDFDVCDGNHTVVFGPFCLCNYSGIINKCTGNGCPQRDCEDIDMCLTQDASAQFGRHCLCMYSGETDMCDGHSCVNPIERCENRGVCAGGPSLKYGRTCKCRYSGPINICDANQQCPSERSGCEDVDECALLISNYNFGAPCECRFSGIQNICIGNACIAPIEGCEDIDLPKGTHIDTLFGPAQNGCLIQYDPSIPTPCAECPRNWAQGINYSCCCMKRTVNFALENQCTGNYHRFCVSKRMDMCNNGGNVQLYEAPCVCTYNGLTNYCTGPGCIGSHTSCEDIDECIRTHESLHYGPPCECQFSGFTNVCDGGQCLGPLEQCEDIDELIVTIRNPQFGEGGPCLTRYETTNAMPCLECPNGWARGLSCCCSARTVGVGIEASCSIGSDGRDHTELFCNGARTDVCGVGSPNLEFDQPCSCLYSGPTNICVGNICNVPDACENIDTCNGGGRDLEYGGNCICRFMGPLNECSGFACLNGQACEDVDQCARHDETPDFGMECECRYGGPANLCQGNDCLDVNEACEDRDECFFGDGAFGQRCTCRYSGPVNLCDGRPSCRHEQSVCEDLDHCEFGDGVWGPLCRCSFSGPINLCSAHSDCNHGGVECEDVDSCGTAGKRVMYGLQCHCVFSGKTNECDGHLCIHPNLDCEDVDVCTRMDPTAMFGDYCLCRHGGPMNTCHGQDCVRPNEECEDVDACARGDPMLSYGQGCSCRYSGETNICHVDCGGNQVRCENIDVCGQRDPTSQFGAHCSCQFAGIVNVCQGHPCLGDSLSCEDIDECATGKATLEHGPPCQCKYSGDTNTCTGGRCLLPDRACEDVDMCNKMLMFGSEYGPFCECEFSGPTNVCTGQGCLDPSIRCEDIDTCNREQADLAFGGACACHFSGVTNRCTGHTCLGPFVKCENVDKYFPRGSLFDRSAFGLGRPCLSAFELSEPDPCAECEVGWVAGISCCCVDMRYKGPLTVGCEDEDWRLFCPELRVDVCLRGSADLMLGEPCECRFSGATNVCRGNPCVGPFSECENVDDCTVGTMRAFGRACAPDIECRECPSGWAAGLTACCESRLSAGQLNVECRSEQIKLWCTGKTSDLCFTGSPDLRSGPGCKCTYSGALNRCVGSVCPSSLCEDVDKCIETDQWFHFGPPCSCSYSGPSNQCWGNDCLLSNLACEDVDTCDKGSAGAEYGLNCVCRYSGRLNECVGNACVEPDLACENIDRGDTTIGLGSSCLAQFEASDKAPCLQCPEGFGHDPNACCCESKTVTGPFPRACSGKEIAGYCTSRVHTDVCVRGDTGVALGGLCQCRFSGETNTCHGNVCIGPTQCEDIDMCYHGGPALLFGPKCLCSFSGDENLCVGNVCMTSTACENHDVCNKGDPSLEFGANCVCQFSGETNVCRGLHCLGGSVICEDVDVCAQRTPTAQFGPYCRCHYTGPINVCDGHHCPSSTCEDVDICEGRVDQTYGVNCECRYSSPTPLCRGNLCLNTFHRCIDITQNLTATGINSNGPGLSPIGRADSGHPNLRAPGLPPGMGNSGFGLLGPFLGPHGPLLPLRQACLQQFENSGRPPCLEPVPGAAWCRGRDCCCQRRTYHGALDGACRGGFLVSFCAGARYDECAASSDSLRHGVPCRCHFSGVMNVCSGGQCLGPVAQCEDVDQCAGTHINPIFGRRCPHPQAPCLECPLGWARGVTACCLAKTCVLGTLQHQCGEPGVQGFCPGTRYDRECQPGMFGYVCACRNPGICRGGNHCGRDCIPQGCVTGTYGKQCLCSAGRCTGGNMCSVSCGSGRSVGCSPALTGDACTCLNPQSCFGEGCARRCIPVVCQSRGPFTRWGPCCYAHFRSGCVNPGQLIGPSGRRRVRGPGAAELAGDWRGQGGAMCLLASWALLLRALPGRALYLGELTM
+>sp|P16591|FER_HUMAN Tyrosine-protein kinase Fer OS=Homo sapiens OX=9606 GN=FER PE=1 SV=2
+MGFGSDLKNSHEAVLKLQDWELRLLETVKKFMALRIKSDKEYASTLQNLCNQVDKESTVQMNYVSNVSKSWLLMIQQTEQLSRIMKTHAEDLNSGPLHRLTMMIKDKQQVKKSYIGVHQQIEAEMIKVTKTELEKLKCSYRQLIKEMNSAKEKYKEALAKGKETEKAKERYDKATMKLHMLHNQYVLALKGAQLHQNQYYDITLPLLLDSLQKMQEEMIKALKGIFDEYSQITSLVTEEIVNVHKEIQMSVEQIDPSTEYNNFIDVHRTTAAKEQEIEFDTSLLEENENLQANEIMWNNLTAESLQVMLKTLAEELMQTQQMLLNKEEAVLELEKRIEESSETCEKKSDIVLLLSQKQALEELKQSVQQLRCTEAKFSAQKELLEQKVQENDGKEPPPVVNYEEDARSVTSMERKERLSKFESIRHSIAGIIRSPKSALGSSALSDMISISEKPLAEQDWYHGAIPRIEAQELLKKQGDFLVRESHGKPGEYVLSVYSDGQRRHFIIQYVDNMYRFEGTGFSNIPQLIDHHYTTKQVITKKSGVVLLNPIPKDKKWILSHEDVILGELLGKGNFGEVYKGTLKDKTSVAVKTCKEDLPQELKIKFLQEAKILKQYDHPNIVKLIGVCTQRQPVYIIMELVSGGDFLTFLRRKKDELKLKQLVKFSLDAAAGMLYLESKNCIHRDLAARNCLVGENNVLKISDFGMSRQEDGGVYSSSGLKQIPIKWTAPEALNYGRYSSESDVWSFGILLWETFSLGVCPYPGMTNQQAREQVERGYRMSAPQHCPEDISKIMMKCWDYKPENRPKFSELQKELTIIKRKLT
+>DECOY_sp|P16591|FER_HUMAN Tyrosine-protein kinase Fer OS=Homo sapiens OX=9606 GN=FER PE=1 SV=2
+TLKRKIITLEKQLESFKPRNEPKYDWCKMMIKSIDEPCHQPASMRYGREVQERAQQNTMGPYPCVGLSFTEWLLIGFSWVDSESSYRGYNLAEPATWKIPIQKLGSSSYVGGDEQRSMGFDSIKLVNNEGVLCNRAALDRHICNKSELYLMGAAADLSFKVLQKLKLEDKKRRLFTLFDGGSVLEMIIYVPQRQTCVGILKVINPHDYQKLIKAEQLFKIKLEQPLDEKCTKVAVSTKDKLTGKYVEGFNGKGLLEGLIVDEHSLIWKKDKPIPNLLVVGSKKTIVQKTTYHHDILQPINSFGTGEFRYMNDVYQIIFHRRQGDSYVSLVYEGPKGHSERVLFDGQKKLLEQAEIRPIAGHYWDQEALPKESISIMDSLASSGLASKPSRIIGAISHRISEFKSLREKREMSTVSRADEEYNVVPPPEKGDNEQVKQELLEKQASFKAETCRLQQVSQKLEELAQKQSLLLVIDSKKECTESSEEIRKELELVAEEKNLLMQQTQMLEEALTKLMVQLSEATLNNWMIENAQLNENEELLSTDFEIEQEKAATTRHVDIFNNYETSPDIQEVSMQIEKHVNVIEETVLSTIQSYEDFIGKLAKIMEEQMKQLSDLLLPLTIDYYQNQHLQAGKLALVYQNHLMHLKMTAKDYREKAKETEKGKALAEKYKEKASNMEKILQRYSCKLKELETKTVKIMEAEIQQHVGIYSKKVQQKDKIMMTLRHLPGSNLDEAHTKMIRSLQETQQIMLLWSKSVNSVYNMQVTSEKDVQNCLNQLTSAYEKDSKIRLAMFKKVTELLRLEWDQLKLVAEHSNKLDSGFGM
+>sp|P07332|FES_HUMAN Tyrosine-protein kinase Fes/Fps OS=Homo sapiens OX=9606 GN=FES PE=1 SV=3
+MGFSSELCSPQGHGVLQQMQEAELRLLEGMRKWMAQRVKSDREYAGLLHHMSLQDSGGQSRAISPDSPISQSWAEITSQTEGLSRLLRQHAEDLNSGPLSKLSLLIRERQQLRKTYSEQWQQLQQELTKTHSQDIEKLKSQYRALARDSAQAKRKYQEASKDKDRDKAKDKYVRSLWKLFAHHNRYVLGVRAAQLHHQHHHQLLLPGLLRSLQDLHEEMACILKEILQEYLEISSLVQDEVVAIHREMAAAAARIQPEAEYQGFLRQYGSAPDVPPCVTFDESLLEEGEPLEPGELQLNELTVESVQHTLTSVTDELAVATEMVFRRQEMVTQLQQELRNEEENTHPRERVQLLGKRQVLQEALQGLQVALCSQAKLQAQQELLQTKLEHLGPGEPPPVLLLQDDRHSTSSSEQEREGGRTPTLEILKSHISGIFRPKFSLPPPLQLIPEVQKPLHEQLWYHGAIPRAEVAELLVHSGDFLVRESQGKQEYVLSVLWDGLPRHFIIQSLDNLYRLEGEGFPSIPLLIDHLLSTQQPLTKKSGVVLHRAVPKDKWVLNHEDLVLGEQIGRGNFGEVFSGRLRADNTLVAVKSCRETLPPDLKAKFLQEARILKQYSHPNIVRLIGVCTQKQPIYIVMELVQGGDFLTFLRTEGARLRVKTLLQMVGDAAAGMEYLESKCCIHRDLAARNCLVTEKNVLKISDFGMSREEADGVYAASGGLRQVPVKWTAPEALNYGRYSSESDVWSFGILLWETFSLGASPYPNLSNQQTREFVEKGGRLPCPELCPDAVFRLMEQCWAYEPGQRPSFSTIYQELQSIRKRHR
+>DECOY_sp|P07332|FES_HUMAN Tyrosine-protein kinase Fes/Fps OS=Homo sapiens OX=9606 GN=FES PE=1 SV=3
+RHRKRISQLEQYITSFSPRQGPEYAWCQEMLRFVADPCLEPCPLRGGKEVFERTQQNSLNPYPSAGLSFTEWLLIGFSWVDSESSYRGYNLAEPATWKVPVQRLGGSAAYVGDAEERSMGFDSIKLVNKETVLCNRAALDRHICCKSELYEMGAAADGVMQLLTKVRLRAGETRLFTLFDGGQVLEMVIYIPQKQTCVGILRVINPHSYQKLIRAEQLFKAKLDPPLTERCSKVAVLTNDARLRGSFVEGFNGRGIQEGLVLDEHNLVWKDKPVARHLVVGSKKTLPQQTSLLHDILLPISPFGEGELRYLNDLSQIIFHRPLGDWLVSLVYEQKGQSERVLFDGSHVLLEAVEARPIAGHYWLQEHLPKQVEPILQLPPPLSFKPRFIGSIHSKLIELTPTRGGEREQESSSTSHRDDQLLLVPPPEGPGLHELKTQLLEQQAQLKAQSCLAVQLGQLAEQLVQRKGLLQVRERPHTNEEENRLEQQLQTVMEQRRFVMETAVALEDTVSTLTHQVSEVTLENLQLEGPELPEGEELLSEDFTVCPPVDPASGYQRLFGQYEAEPQIRAAAAAMERHIAVVEDQVLSSIELYEQLIEKLICAMEEHLDQLSRLLGPLLLQHHHQHHLQAARVGLVYRNHHAFLKWLSRVYKDKAKDRDKDKSAEQYKRKAQASDRALARYQSKLKEIDQSHTKTLEQQLQQWQESYTKRLQQRERILLSLKSLPGSNLDEAHQRLLRSLGETQSTIEAWSQSIPSDPSIARSQGGSDQLSMHHLLGAYERDSKVRQAMWKRMGELLRLEAEQMQQLVGHGQPSCLESSFGM
+>sp|P02765|FETUA_HUMAN Alpha-2-HS-glycoprotein OS=Homo sapiens OX=9606 GN=AHSG PE=1 SV=1
+MKSLVLLLCLAQLWGCHSAPHGPGLIYRQPNCDDPETEEAALVAIDYINQNLPWGYKHTLNQIDEVKVWPQQPSGELFEIEIDTLETTCHVLDPTPVARCSVRQLKEHAVEGDCDFQLLKLDGKFSVVYAKCDSSPDSAEDVRKVCQDCPLLAPLNDTRVVHAAKAALAAFNAQNNGSNFQLEEISRAQLVPLPPSTYVEFTVSGTDCVAKEATEAAKCNLLAEKQYGFCKATLSEKLGGAEVAVTCTVFQTQPVTSQPQPEGANEAVPTPVVDPDAPPSPPLGAPGLPPAGSPPDSHVLLAAPPGHQLHRAHYDLRHTFMGVVSLGSPSGEVSHPRKTRTVVQPSVGAAAGPVVPPCPGRIRHFKV
+>DECOY_sp|P02765|FETUA_HUMAN Alpha-2-HS-glycoprotein OS=Homo sapiens OX=9606 GN=AHSG PE=1 SV=1
+VKFHRIRGPCPPVVPGAAAGVSPQVVTRTKRPHSVEGSPSGLSVVGMFTHRLDYHARHLQHGPPAALLVHSDPPSGAPPLGPAGLPPSPPADPDVVPTPVAENAGEPQPQSTVPQTQFVTCTVAVEAGGLKESLTAKCFGYQKEALLNCKAAETAEKAVCDTGSVTFEVYTSPPLPVLQARSIEELQFNSGNNQANFAALAAKAAHVVRTDNLPALLPCDQCVKRVDEASDPSSDCKAYVVSFKGDLKLLQFDCDGEVAHEKLQRVSCRAVPTPDLVHCTTELTDIEIEFLEGSPQQPWVKVEDIQNLTHKYGWPLNQNIYDIAVLAAEETEPDDCNPQRYILGPGHPASHCGWLQALCLLLVLSKM
+>sp|Q9UGM5|FETUB_HUMAN Fetuin-B OS=Homo sapiens OX=9606 GN=FETUB PE=1 SV=2
+MGLLLPLALCILVLCCGAMSPPQLALNPSALLSRGCNDSDVLAVAGFALRDINKDRKDGYVLRLNRVNDAQEYRRGGLGSLFYLTLDVLETDCHVLRKKAWQDCGMRIFFESVYGQCKAIFYMNNPSRVLYLAAYNCTLRPVSKKKIYMTCPDCPSSIPTDSSNHQVLEAATESLAKYNNENTSKQYSLFKVTRASSQWVVGPSYFVEYLIKESPCTKSQASSCSLQSSDSVPVGLCKGSLTRTHWEKFVSVTCDFFESQAPATGSENSAVNQKPTNLPKVEESQQKNTPPTDSPSKAGPRGSVQYLPDLDDKNSQEKGPQEAFPVHLDLTTNPQGETLDISFLFLEPMEEKLVVLPFPKEKARTAECPGPAQNASPLVLPP
+>DECOY_sp|Q9UGM5|FETUB_HUMAN Fetuin-B OS=Homo sapiens OX=9606 GN=FETUB PE=1 SV=2
+PPLVLPSANQAPGPCEATRAKEKPFPLVVLKEEMPELFLFSIDLTEGQPNTTLDLHVPFAEQPGKEQSNKDDLDPLYQVSGRPGAKSPSDTPPTNKQQSEEVKPLNTPKQNVASNESGTAPAQSEFFDCTVSVFKEWHTRTLSGKCLGVPVSDSSQLSCSSAQSKTCPSEKILYEVFYSPGVVWQSSARTVKFLSYQKSTNENNYKALSETAAELVQHNSSDTPISSPCDPCTMYIKKKSVPRLTCNYAALYLVRSPNNMYFIAKCQGYVSEFFIRMGCDQWAKKRLVHCDTELVDLTLYFLSGLGGRRYEQADNVRNLRLVYGDKRDKNIDRLAFGAVALVDSDNCGRSLLASPNLALQPPSMAGCCLVLICLALPLLLGM
+>sp|Q99581|FEV_HUMAN Protein FEV OS=Homo sapiens OX=9606 GN=FEV PE=1 SV=1
+MRQSGASQPLLINMYLPDPVGDGLFKDGKNPSWGPLSPAVQKGSGQIQLWQFLLELLADRANAGCIAWEGGHGEFKLTDPDEVARRWGERKSKPNMNYDKLSRALRYYYDKNIMSKVHGKRYAYRFDFQGLAQACQPPPAHAHAAAAAAAAAAAAQDGALYKLPAGLAPLPFPGLSKLNLMAASAGVAPAGFSYWPGPGPAATAAAATAALYPSPSLQPPPGPFGAVAAASHLGGHYH
+>DECOY_sp|Q99581|FEV_HUMAN Protein FEV OS=Homo sapiens OX=9606 GN=FEV PE=1 SV=1
+HYHGGLHSAAAVAGFPGPPPQLSPSPYLAATAAAATAAPGPGPWYSFGAPAVGASAAMLNLKSLGPFPLPALGAPLKYLAGDQAAAAAAAAAAAAHAHAPPPQCAQALGQFDFRYAYRKGHVKSMINKDYYYRLARSLKDYNMNPKSKREGWRRAVEDPDTLKFEGHGGEWAICGANARDALLELLFQWLQIQGSGKQVAPSLPGWSPNKGDKFLGDGVPDPLYMNILLPQSAGSQRM
+>sp|O14842|FFAR1_HUMAN Free fatty acid receptor 1 OS=Homo sapiens OX=9606 GN=FFAR1 PE=1 SV=1
+MDLPPQLSFGLYVAAFALGFPLNVLAIRGATAHARLRLTPSLVYALNLGCSDLLLTVSLPLKAVEALASGAWPLPASLCPVFAVAHFFPLYAGGGFLAALSAGRYLGAAFPLGYQAFRRPCYSWGVCAAIWALVLCHLGLVFGLEAPGGWLDHSNTSLGINTPVNGSPVCLEAWDPASAGPARFSLSLLLFFLPLAITAFCYVGCLRALARSGLTHRRKLRAAWVAGGALLTLLLCVGPYNASNVASFLYPNLGGSWRKLGLITGAWSVVLNPLVTGYLGRGPGLKTVCAARTQGGKSQK
+>DECOY_sp|O14842|FFAR1_HUMAN Free fatty acid receptor 1 OS=Homo sapiens OX=9606 GN=FFAR1 PE=1 SV=1
+KQSKGGQTRAACVTKLGPGRGLYGTVLPNLVVSWAGTILGLKRWSGGLNPYLFSAVNSANYPGVCLLLTLLAGGAVWAARLKRRHTLGSRALARLCGVYCFATIALPLFFLLLSLSFRAPGASAPDWAELCVPSGNVPTNIGLSTNSHDLWGGPAELGFVLGLHCLVLAWIAACVGWSYCPRRFAQYGLPFAAGLYRGASLAALFGGGAYLPFFHAVAFVPCLSAPLPWAGSALAEVAKLPLSVTLLLDSCGLNLAYVLSPTLRLRAHATAGRIALVNLPFGLAFAAVYLGFSLQPPLDM
+>sp|O14843|FFAR3_HUMAN Free fatty acid receptor 3 OS=Homo sapiens OX=9606 GN=FFAR3 PE=1 SV=1
+MDTGPDQSYFSGNHWFVFSVYLLTFLVGLPLNLLALVVFVGKLQRRPVAVDVLLLNLTASDLLLLLFLPFRMVEAANGMHWPLPFILCPLSGFIFFTTIYLTALFLAAVSIERFLSVAHPLWYKTRPRLGQAGLVSVACWLLASAHCSVVYVIEFSGDISHSQGTNGTCYLEFRKDQLAILLPVRLEMAVVLFVVPLIITSYCYSRLVWILGRGGSHRRQRRVAGLLAATLLNFLVCFGPYNVSHVVGYICGESPAWRIYVTLLSTLNSCVDPFVYYFSSSGFQADFHELLRRLCGLWGQWQQESSMELKEQKGGEEQRADRPAERKTSEHSQGCGTGGQVACAES
+>DECOY_sp|O14843|FFAR3_HUMAN Free fatty acid receptor 3 OS=Homo sapiens OX=9606 GN=FFAR3 PE=1 SV=1
+SEACAVQGGTGCGQSHESTKREAPRDARQEEGGKQEKLEMSSEQQWQGWLGCLRRLLEHFDAQFGSSSFYYVFPDVCSNLTSLLTVYIRWAPSEGCIYGVVHSVNYPGFCVLFNLLTAALLGAVRRQRRHSGGRGLIWVLRSYCYSTIILPVVFLVVAMELRVPLLIALQDKRFELYCTGNTGQSHSIDGSFEIVYVVSCHASALLWCAVSVLGAQGLRPRTKYWLPHAVSLFREISVAALFLATLYITTFFIFGSLPCLIFPLPWHMGNAAEVMRFPLFLLLLLDSATLNLLLVDVAVPRRQLKGVFVVLALLNLPLGVLFTLLYVSFVFWHNGSFYSQDPGTDM
+>sp|Q5NUL3|FFAR4_HUMAN Free fatty acid receptor 4 OS=Homo sapiens OX=9606 GN=FFAR4 PE=1 SV=2
+MSPECARAAGDAPLRSLEQANRTRFPFFSDVKGDHRLVLAAVETTVLVLIFAVSLLGNVCALVLVARRRRRGATACLVLNLFCADLLFISAIPLVLAVRWTEAWLLGPVACHLLFYVMTLSGSVTILTLAAVSLERMVCIVHLQRGVRGPGRRARAVLLALIWGYSAVAALPLCVFFRVVPQRLPGADQEISICTLIWPTIPGEISWDVSFVTLNFLVPGLVIVISYSKILQTSEHLLDARAVVTHSEITKASRKRLTVSLAYSESHQIRVSQQDFRLFRTLFLLMVSFFIMWSPIIITILLILIQNFKQDLVIWPSLFFWVVAFTFANSALNPILYNMTLCRNEWKKIFCCFWFPEKGAILTDTSVKRNDLSIISG
+>DECOY_sp|Q5NUL3|FFAR4_HUMAN Free fatty acid receptor 4 OS=Homo sapiens OX=9606 GN=FFAR4 PE=1 SV=2
+GSIISLDNRKVSTDTLIAGKEPFWFCCFIKKWENRCLTMNYLIPNLASNAFTFAVVWFFLSPWIVLDQKFNQILILLITIIIPSWMIFFSVMLLFLTRFLRFDQQSVRIQHSESYALSVTLRKRSAKTIESHTVVARADLLHESTQLIKSYSIVIVLGPVLFNLTVFSVDWSIEGPITPWILTCISIEQDAGPLRQPVVRFFVCLPLAAVASYGWILALLVARARRGPGRVGRQLHVICVMRELSVAALTLITVSGSLTMVYFLLHCAVPGLLWAETWRVALVLPIASIFLLDACFLNLVLCATAGRRRRRAVLVLACVNGLLSVAFILVLVTTEVAALVLRHDGKVDSFFPFRTRNAQELSRLPADGAARACEPSM
+>sp|P98174|FGD1_HUMAN FYVE, RhoGEF and PH domain-containing protein 1 OS=Homo sapiens OX=9606 GN=FGD1 PE=1 SV=2
+MHGHRAPGGAGPSEPEHPATNPPGAAPPACADSDPGASEPGLLARRGSGSALGGPLDPQFVGPSDTSLGAAPGHRVLPCGPSPQHHRALRFSYHLEGSQPRPGLHQGNRILVKSLSLDPGQSLEPHPEGPQRLRSDPGPPTETPSQRPSPLKRAPGPKPQVPPKPSYLQMPRMPPPLEPIPPPPSRPLPADPRVAKGLAPRAEASPSSAAVSSLIEKFEREPVIVASDRPVPGPSPGPPEPVMLPQPTSQPPVPQLPEGEASRCLFLLAPGPRDGEKVPNRDSGIDSISSPSNSEETCFVSDDGPPSHSLCPGPPALASVPVALADPHRPGSQEVDSDLEEEDDEEEEEEKDREIPVPLMERQESVELTVQQKVFHIANELLQTEKAYVSRLHLLDQVFCARLLEEARNRSSFPADVVHGIFSNICSIYCFHQQFLLPELEKRMEEWDRYPRIGDILQKLAPFLKMYGEYVKNFDRAVELVNTWTERSTQFKVIIHEVQKEEACGNLTLQHHMLEPVQRIPRYELLLKDYLLKLPHGSPDSKDAQKSLELIATAAEHSNAAIRKMERMHKLLKVYELLGGEEDIVSPTKELIKEGHILKLSAKNGTTQDRYLILFNDRLLYCVPRLRLLGQKFSVRARIDVDGMELKESSNLNLPRTFLVSGKQRSLELQARTEEEKKDWVQAINSTLLKHEQTLETFKLLNSTNREDEDTPPNSPNVDLGKRAPTPIREKEVTMCMRCQEPFNSITKRRHHCKACGHVVCGKCSEFRARLVYDNNRSNRVCTDCYVALHGVPGSSPACSQHTPQRRRSILEKQASVAAENSVICSFLHYMEKGGKGWHKAWFVVPENEPLVLYIYGAPQDVKAQRSLPLIGFEVGPPEAGERPDRRHVFKITQSHLSWYFSPETEELQRRWMAVLGRAGRGDTFCPGPTLSEDREMEEAPVAALGATAEPPESPQTRDKT
+>DECOY_sp|P98174|FGD1_HUMAN FYVE, RhoGEF and PH domain-containing protein 1 OS=Homo sapiens OX=9606 GN=FGD1 PE=1 SV=2
+TKDRTQPSEPPEATAGLAAVPAEEMERDESLTPGPCFTDGRGARGLVAMWRRQLEETEPSFYWSLHSQTIKFVHRRDPREGAEPPGVEFGILPLSRQAKVDQPAGYIYLVLPENEPVVFWAKHWGKGGKEMYHLFSCIVSNEAAVSAQKELISRRRQPTHQSCAPSSGPVGHLAVYCDTCVRNSRNNDYVLRARFESCKGCVVHGCAKCHHRRKTISNFPEQCRMCMTVEKERIPTPARKGLDVNPSNPPTDEDERNTSNLLKFTELTQEHKLLTSNIAQVWDKKEEETRAQLELSRQKGSVLFTRPLNLNSSEKLEMGDVDIRARVSFKQGLLRLRPVCYLLRDNFLILYRDQTTGNKASLKLIHGEKILEKTPSVIDEEGGLLEYVKLLKHMREMKRIAANSHEAATAILELSKQADKSDPSGHPLKLLYDKLLLEYRPIRQVPELMHHQLTLNGCAEEKQVEHIIVKFQTSRETWTNVLEVARDFNKVYEGYMKLFPALKQLIDGIRPYRDWEEMRKELEPLLFQQHFCYISCINSFIGHVVDAPFSSRNRAEELLRACFVQDLLHLRSVYAKETQLLENAIHFVKQQVTLEVSEQREMLPVPIERDKEEEEEEDDEEELDSDVEQSGPRHPDALAVPVSALAPPGPCLSHSPPGDDSVFCTEESNSPSSISDIGSDRNPVKEGDRPGPALLFLCRSAEGEPLQPVPPQSTPQPLMVPEPPGPSPGPVPRDSAVIVPEREFKEILSSVAASSPSAEARPALGKAVRPDAPLPRSPPPPIPELPPPMRPMQLYSPKPPVQPKPGPARKLPSPRQSPTETPPGPDSRLRQPGEPHPELSQGPDLSLSKVLIRNGQHLGPRPQSGELHYSFRLARHHQPSPGCPLVRHGPAAGLSTDSPGVFQPDLPGGLASGSGRRALLGPESAGPDSDACAPPAAGPPNTAPHEPESPGAGGPARHGHM
+>sp|Q7Z6J4|FGD2_HUMAN FYVE, RhoGEF and PH domain-containing protein 2 OS=Homo sapiens OX=9606 GN=FGD2 PE=1 SV=1
+MKGASEEKLASVSNLVTVFENSRTPEAAPRGQRLEDVHHRPECRPPESPGPREKTNVGEAVGSEPRTVSRRYLNSLKNKLSSEAWRKSCQPVTLSGSGTQEPEKKIVQELLETEQAYVARLHLLDQVFFQELLKTARSSKAFPEDVVRVIFSNISSIYQFHSQFFLPELQRRLDDWTANPRIGDVIQKLAPFLKMYSEYVKNFERAAELLATWTDKSPLFQEVLTRIQSSEASGSLTLQHHMLEPVQRIPRYELLLKEYIQKLPAQAPDQADAQKALDMIFSAAQHSNAAITEMERLQDLWEVYQRLGLEDDIVDPSNTLLREGPVLKISFRRNDPMERYLFLFNNMLLYCVPRVIQVGAQFQVRTRIDVAGMKVRELMDAEFPHSFLVSGKQRTLELQARSQEEMISWMQAFQAAIDQIEKRNETFKAAAQGPEGDIQEQELQSEELGLRAPQWVRDKMVTMCMRCQEPFNALTRRRHHCRACGYVVCARCSDYRAELKYDDNRPNRVCLHCYAFLTGNVLPEAKEDKRRGILEKGSSATPDQSLMCSFLQLIGDKWGKSGPRGWCVIPRDDPLVLYVYAAPQDMRAHTSIPLLGYQVTVGPQGDPRVFQLQQSGQLYTFKAETEELKGRWVKAMERAASGWSPSWPNDGDLSD
+>DECOY_sp|Q7Z6J4|FGD2_HUMAN FYVE, RhoGEF and PH domain-containing protein 2 OS=Homo sapiens OX=9606 GN=FGD2 PE=1 SV=1
+DSLDGDNPWSPSWGSAAREMAKVWRGKLEETEAKFTYLQGSQQLQFVRPDGQPGVTVQYGLLPISTHARMDQPAAYVYLVLPDDRPIVCWGRPGSKGWKDGILQLFSCMLSQDPTASSGKELIGRRKDEKAEPLVNGTLFAYCHLCVRNPRNDDYKLEARYDSCRACVVYGCARCHHRRRTLANFPEQCRMCMTVMKDRVWQPARLGLEESQLEQEQIDGEPGQAAAKFTENRKEIQDIAAQFAQMWSIMEEQSRAQLELTRQKGSVLFSHPFEADMLERVKMGAVDIRTRVQFQAGVQIVRPVCYLLMNNFLFLYREMPDNRRFSIKLVPGERLLTNSPDVIDDELGLRQYVEWLDQLREMETIAANSHQAASFIMDLAKQADAQDPAQAPLKQIYEKLLLEYRPIRQVPELMHHQLTLSGSAESSQIRTLVEQFLPSKDTWTALLEAAREFNKVYESYMKLFPALKQIVDGIRPNATWDDLRRQLEPLFFQSHFQYISSINSFIVRVVDEPFAKSSRATKLLEQFFVQDLLHLRAVYAQETELLEQVIKKEPEQTGSGSLTVPQCSKRWAESSLKNKLSNLYRRSVTRPESGVAEGVNTKERPGPSEPPRCEPRHHVDELRQGRPAAEPTRSNEFVTVLNSVSALKEESAGKM
+>sp|Q6ZV73|FGD6_HUMAN FYVE, RhoGEF and PH domain-containing protein 6 OS=Homo sapiens OX=9606 GN=FGD6 PE=1 SV=2
+MTSAAEIKKPPVAPKPKFVVANNKPAPPPIAPKPDIVISSVPQSTKKMKPAIAPKPKVLKTSPVREIGQSPSRKIMLNLEGHKQELAESTDNFNCKYEGNQSNDYISPMCSCSSECIHKLGHRENLCVKQLVLEPLEMNENLENSKIDETLTIKTRSKCDLYGEKAKNQGGVVLKASVLEEELKDALIHQMPPFISAQKHRPTDSPEMNGGCNSNGQFRIEFADLSPSPSSFEKVPDHHSCHLQLPSDECEHFETCQDDSEKSNNCFQSSELEALENGKRSTLISSDGVSKKSEVKDLGPLEIHLVPYTPKFPTPKPRKTRTARLLRQKCVDTPSESTEEPGNSDSSSSCLTENSLKINKISVLHQNVLCKQEQVDKMKLGNKSELNMESNSDAQDLVNSQKAMCNETTSFEKMAPSFDKDSNLSSDSTTVDGSSMSLAVDEGTGFIRCTVSMSLPKQLKLTCNEHLQSGRNLGVSAPQMQKESVIKEENSLRIVPKKPQRHSLPATGVLKKAASEELLEKSSYPSSEEKSSEKSLERNHLQHLCAQNRGVSSSFDMPKRASEKPVWKLPHPILPFSGNPEFLKSVTVSSNSEPSTALTKPRAKSLSAMDVEKCTKPCKDSTKKNSFKKLLSMKLSICFMKSDFQKFWSKSSQLGDTTTGHLSSGEQKGIESDWQGLLVGEEKRSKPIKAYSTENYSLESQKKRKKSRGQTSAANGLRAESLDDQMLSRESSSQAPYKSVTSLCAPEYENIRHYEEIPEYENLPFIMAIRKTQELEWQNSSSMEDADANVYEVEEPYEAPDGQLQLGPRHQHSSSGASQEEQNDLGLGDLPSDEEEIINSSDEDDVSSESSKGEPDPLEDKQDEDNGMKSKVHHIAKEIMSSEKVFVDVLKLLHIDFRDAVAHASRQLGKPVIEDRILNQILYYLPQLYELNRDLLKELEERMLHWTEQQRIADIFVKKGPYLKMYSTYIKEFDKNIALLDEQCKKNPGFAAVVREFEMSPRCANLALKHYLLKPVQRIPQYRLLLTDYLKNLIEDAGDYRDTQDALAVVIEVANHANDTMKQGDNFQKLMQIQYSLNGHHEIVQPGRVFLKEGILMKLSRKVMQPRMFFLFNDALLYTTPVQSGMYKLNNMLSLAGMKVRKPTQEAYQNELKIESVERSFILSASSATERDEWLEAISRAIEEYAKKRITFCPSRSLDEADSENKEEVSPLGSKAPIWIPDTRATMCMICTSEFTLTWRRHHCRACGKIVCQACSSNKYGLDYLKNQPARVCEHCFQELQKLDHQHSPRIGSPGNHKSPSSALSSVLHSIPSGRKQKKIPAALKEVSANTEDSSMSGYLYRSKGNKKPWKHFWFVIKNKVLYTYAASEDVAALESQPLLGFTVIQVKDENSESKVFQLLHKNMLFYVFKAEDAHSAQKWIEAFQEGTIL
+>DECOY_sp|Q6ZV73|FGD6_HUMAN FYVE, RhoGEF and PH domain-containing protein 6 OS=Homo sapiens OX=9606 GN=FGD6 PE=1 SV=2
+LITGEQFAEIWKQASHADEAKFVYFLMNKHLLQFVKSESNEDKVQIVTFGLLPQSELAAVDESAAYTYLVKNKIVFWFHKWPKKNGKSRYLYGSMSSDETNASVEKLAAPIKKQKRGSPISHLVSSLASSPSKHNGPSGIRPSHQHDLKQLEQFCHECVRAPQNKLYDLGYKNSSCAQCVIKGCARCHHRRWTLTFESTCIMCMTARTDPIWIPAKSGLPSVEEKNESDAEDLSRSPCFTIRKKAYEEIARSIAELWEDRETASSASLIFSREVSEIKLENQYAEQTPKRVKMGALSLMNNLKYMGSQVPTTYLLADNFLFFMRPQMVKRSLKMLIGEKLFVRGPQVIEHHGNLSYQIQMLKQFNDGQKMTDNAHNAVEIVVALADQTDRYDGADEILNKLYDTLLLRYQPIRQVPKLLYHKLALNACRPSMEFERVVAAFGPNKKCQEDLLAINKDFEKIYTSYMKLYPGKKVFIDAIRQQETWHLMREELEKLLDRNLEYLQPLYYLIQNLIRDEIVPKGLQRSAHAVADRFDIHLLKLVDVFVKESSMIEKAIHHVKSKMGNDEDQKDELPDPEGKSSESSVDDEDSSNIIEEEDSPLDGLGLDNQEEQSAGSSSHQHRPGLQLQGDPAEYPEEVEYVNADADEMSSSNQWELEQTKRIAMIFPLNEYEPIEEYHRINEYEPACLSTVSKYPAQSSSERSLMQDDLSEARLGNAASTQGRSKKRKKQSELSYNETSYAKIPKSRKEEGVLLGQWDSEIGKQEGSSLHGTTTDGLQSSKSWFKQFDSKMFCISLKMSLLKKFSNKKTSDKCPKTCKEVDMASLSKARPKTLATSPESNSSVTVSKLFEPNGSFPLIPHPLKWVPKESARKPMDFSSSVGRNQACLHQLHNRELSKESSKEESSPYSSKELLEESAAKKLVGTAPLSHRQPKKPVIRLSNEEKIVSEKQMQPASVGLNRGSQLHENCTLKLQKPLSMSVTCRIFGTGEDVALSMSSGDVTTSDSSLNSDKDFSPAMKEFSTTENCMAKQSNVLDQADSNSEMNLESKNGLKMKDVQEQKCLVNQHLVSIKNIKLSNETLCSSSSDSNGPEETSESPTDVCKQRLLRATRTKRPKPTPFKPTYPVLHIELPGLDKVESKKSVGDSSILTSRKGNELAELESSQFCNNSKESDDQCTEFHECEDSPLQLHCSHHDPVKEFSSPSPSLDAFEIRFQGNSNCGGNMEPSDTPRHKQASIFPPMQHILADKLEEELVSAKLVVGGQNKAKEGYLDCKSRTKITLTEDIKSNELNENMELPELVLQKVCLNERHGLKHICESSCSCMPSIYDNSQNGEYKCNFNDTSEALEQKHGELNLMIKRSPSQGIERVPSTKLVKPKPAIAPKMKKTSQPVSSIVIDPKPAIPPPAPKNNAVVFKPKPAVPPKKIEAASTM
+>sp|O15520|FGF10_HUMAN Fibroblast growth factor 10 OS=Homo sapiens OX=9606 GN=FGF10 PE=1 SV=1
+MWKWILTHCASAFPHLPGCCCCCFLLLFLVSSVPVTCQALGQDMVSPEATNSSSSSFSSPSSAGRHVRSYNHLQGDVRWRKLFSFTKYFLKIEKNGKVSGTKKENCPYSILEITSVEIGVVAVKAINSNYYLAMNKKGKLYGSKEFNNDCKLKERIEENGYNTYASFNWQHNGRQMYVALNGKGAPRRGQKTRRKNTSAHFLPMVVHS
+>DECOY_sp|O15520|FGF10_HUMAN Fibroblast growth factor 10 OS=Homo sapiens OX=9606 GN=FGF10 PE=1 SV=1
+SHVVMPLFHASTNKRRTKQGRRPAGKGNLAVYMQRGNHQWNFSAYTNYGNEEIREKLKCDNNFEKSGYLKGKKNMALYYNSNIAKVAVVGIEVSTIELISYPCNEKKTGSVKGNKEIKLFYKTFSFLKRWRVDGQLHNYSRVHRGASSPSSFSSSSSNTAEPSVMDQGLAQCTVPVSSVLFLLLFCCCCCGPLHPFASACHTLIWKWM
+>sp|Q92915|FGF14_HUMAN Fibroblast growth factor 14 OS=Homo sapiens OX=9606 GN=FGF14 PE=1 SV=1
+MAAAIASGLIRQKRQAREQHWDRPSASRRRSSPSKNRGLCNGNLVDIFSKVRIFGLKKRRLRRQDPQLKGIVTRLYCRQGYYLQMHPDGALDGTKDDSTNSTLFNLIPVGLRVVAIQGVKTGLYIAMNGEGYLYPSELFTPECKFKESVFENYYVIYSSMLYRQQESGRAWFLGLNKEGQAMKGNRVKKTKPAAHFLPKPLEVAMYREPSLHDVGETVPKPGVTPSKSTSASAIMNGGKPVNKSKTT
+>DECOY_sp|Q92915|FGF14_HUMAN Fibroblast growth factor 14 OS=Homo sapiens OX=9606 GN=FGF14 PE=1 SV=1
+TTKSKNVPKGGNMIASASTSKSPTVGPKPVTEGVDHLSPERYMAVELPKPLFHAAPKTKKVRNGKMAQGEKNLGLFWARGSEQQRYLMSSYIVYYNEFVSEKFKCEPTFLESPYLYGEGNMAIYLGTKVGQIAVVRLGVPILNFLTSNTSDDKTGDLAGDPHMQLYYGQRCYLRTVIGKLQPDQRRLRRKKLGFIRVKSFIDVLNGNCLGRNKSPSSRRRSASPRDWHQERAQRKQRILGSAIAAAM
+>sp|O43320|FGF16_HUMAN Fibroblast growth factor 16 OS=Homo sapiens OX=9606 GN=FGF16 PE=1 SV=1
+MAEVGGVFASLDWDLHGFSSSLGNVPLADSPGFLNERLGQIEGKLQRGSPTDFAHLKGILRRRQLYCRTGFHLEIFPNGTVHGTRHDHSRFGILEFISLAVGLISIRGVDSGLYLGMNERGELYGSKKLTRECVFREQFEENWYNTYASTLYKHSDSERQYYVALNKDGSPREGYRTKRHQKFTHFLPRPVDPSKLPSMSRDLFHYR
+>DECOY_sp|O43320|FGF16_HUMAN Fibroblast growth factor 16 OS=Homo sapiens OX=9606 GN=FGF16 PE=1 SV=1
+RYHFLDRSMSPLKSPDVPRPLFHTFKQHRKTRYGERPSGDKNLAVYYQRESDSHKYLTSAYTNYWNEEFQERFVCERTLKKSGYLEGRENMGLYLGSDVGRISILGVALSIFELIGFRSHDHRTGHVTGNPFIELHFGTRCYLQRRRLIGKLHAFDTPSGRQLKGEIQGLRENLFGPSDALPVNGLSSSFGHLDWDLSAFVGGVEAM
+>sp|P05230|FGF1_HUMAN Fibroblast growth factor 1 OS=Homo sapiens OX=9606 GN=FGF1 PE=1 SV=1
+MAEGEITTFTALTEKFNLPPGNYKKPKLLYCSNGGHFLRILPDGTVDGTRDRSDQHIQLQLSAESVGEVYIKSTETGQYLAMDTDGLLYGSQTPNEECLFLERLEENHYNTYISKKHAEKNWFVGLKKNGSCKRGPRTHYGQKAILFLPLPVSSD
+>DECOY_sp|P05230|FGF1_HUMAN Fibroblast growth factor 1 OS=Homo sapiens OX=9606 GN=FGF1 PE=1 SV=1
+DSSVPLPLFLIAKQGYHTRPGRKCSGNKKLGVFWNKEAHKKSIYTNYHNEELRELFLCEENPTQSGYLLGDTDMALYQGTETSKIYVEGVSEASLQLQIHQDSRDRTGDVTGDPLIRLFHGGNSCYLLKPKKYNGPPLNFKETLATFTTIEGEAM
+>sp|Q9HCT0|FGF22_HUMAN Fibroblast growth factor 22 OS=Homo sapiens OX=9606 GN=FGF22 PE=1 SV=1
+MRRRLWLGLAWLLLARAPDAAGTPSASRGPRSYPHLEGDVRWRRLFSSTHFFLRVDPGGRVQGTRWRHGQDSILEIRSVHVGVVVIKAVSSGFYVAMNRRGRLYGSRLYTVDCRFRERIEENGHNTYASQRWRRRGQPMFLALDRRGGPRPGGRTRRYHLSAHFLPVLVS
+>DECOY_sp|Q9HCT0|FGF22_HUMAN Fibroblast growth factor 22 OS=Homo sapiens OX=9606 GN=FGF22 PE=1 SV=1
+SVLVPLFHASLHYRRTRGGPRPGGRRDLALFMPQGRRRWRQSAYTNHGNEEIRERFRCDVTYLRSGYLRGRRNMAVYFGSSVAKIVVVGVHVSRIELISDQGHRWRTGQVRGGPDVRLFFHTSSFLRRWRVDGELHPYSRPGRSASPTGAADPARALLLWALGLWLRRRM
+>sp|P11487|FGF3_HUMAN Fibroblast growth factor 3 OS=Homo sapiens OX=9606 GN=FGF3 PE=1 SV=1
+MGLIWLLLLSLLEPGWPAAGPGARLRRDAGGRGGVYEHLGGAPRRRKLYCATKYHLQLHPSGRVNGSLENSAYSILEITAVEVGIVAIRGLFSGRYLAMNKRGRLYASEHYSAECEFVERIHELGYNTYASRLYRTVSSTPGARRQPSAERLWYVSVNGKGRPRRGFKTRRTQKSSLFLPRVLDHRDHEMVRQLQSGLPRPPGKGVQPRRRRQKQSPDNLEPSHVQASRLGSQLEASAH
+>DECOY_sp|P11487|FGF3_HUMAN Fibroblast growth factor 3 OS=Homo sapiens OX=9606 GN=FGF3 PE=1 SV=1
+HASAELQSGLRSAQVHSPELNDPSQKQRRRRPQVGKGPPRPLGSQLQRVMEHDRHDLVRPLFLSSKQTRRTKFGRRPRGKGNVSVYWLREASPQRRAGPTSSVTRYLRSAYTNYGLEHIREVFECEASYHESAYLRGRKNMALYRGSFLGRIAVIGVEVATIELISYASNELSGNVRGSPHLQLHYKTACYLKRRRPAGGLHEYVGGRGGADRRLRAGPGAAPWGPELLSLLLLWILGM
+>sp|P08620|FGF4_HUMAN Fibroblast growth factor 4 OS=Homo sapiens OX=9606 GN=FGF4 PE=1 SV=1
+MSGPGTAAVALLPAVLLALLAPWAGRGGAAAPTAPNGTLEAELERRWESLVALSLARLPVAAQPKEAAVQSGAGDYLLGIKRLRRLYCNVGIGFHLQALPDGRIGGAHADTRDSLLELSPVERGVVSIFGVASRFFVAMSSKGKLYGSPFFTDECTFKEILLPNNYNAYESYKYPGMFIALSKNGKTKKGNRVSPTMKVTHFLPRL
+>DECOY_sp|P08620|FGF4_HUMAN Fibroblast growth factor 4 OS=Homo sapiens OX=9606 GN=FGF4 PE=1 SV=1
+LRPLFHTVKMTPSVRNGKKTKGNKSLAIFMGPYKYSEYANYNNPLLIEKFTCEDTFFPSGYLKGKSSMAVFFRSAVGFISVVGREVPSLELLSDRTDAHAGGIRGDPLAQLHFGIGVNCYLRRLRKIGLLYDGAGSQVAAEKPQAAVPLRALSLAVLSEWRRELEAELTGNPATPAAAGGRGAWPALLALLVAPLLAVAATGPGSM
+>sp|P12034|FGF5_HUMAN Fibroblast growth factor 5 OS=Homo sapiens OX=9606 GN=FGF5 PE=1 SV=4
+MSLSFLLLLFFSHLILSAWAHGEKRLAPKGQPGPAATDRNPRGSSSRQSSSSAMSSSSASSSPAASLGSQGSGLEQSSFQWSPSGRRTGSLYCRVGIGFHLQIYPDGKVNGSHEANMLSVLEIFAVSQGIVGIRGVFSNKFLAMSKKGKLHASAKFTDDCKFRERFQENSYNTYASAIHRTEKTGREWYVALNKRGKAKRGCSPRVKPQHISTHFLPRFKQSEQPELSFTVTVPEKKKPPSPIKPKIPLSAPRKNTNSVKYRLKFRFG
+>DECOY_sp|P12034|FGF5_HUMAN Fibroblast growth factor 5 OS=Homo sapiens OX=9606 GN=FGF5 PE=1 SV=4
+GFRFKLRYKVSNTNKRPASLPIKPKIPSPPKKKEPVTVTFSLEPQESQKFRPLFHTSIHQPKVRPSCGRKAKGRKNLAVYWERGTKETRHIASAYTNYSNEQFRERFKCDDTFKASAHLKGKKSMALFKNSFVGRIGVIGQSVAFIELVSLMNAEHSGNVKGDPYIQLHFGIGVRCYLSGTRRGSPSWQFSSQELGSGQSGLSAAPSSSASSSSMASSSSQRSSSGRPNRDTAAPGPQGKPALRKEGHAWASLILHSFFLLLLFSLSM
+>sp|Q14512|FGFP1_HUMAN Fibroblast growth factor-binding protein 1 OS=Homo sapiens OX=9606 GN=FGFBP1 PE=1 SV=1
+MKICSLTLLSFLLLAAQVLLVEGKKKVKNGLHSKVVSEQKDTLGNTQIKQKSRPGNKGKFVTKDQANCRWAATEQEEGISLKVECTQLDHEFSCVFAGNPTSCLKLKDERVYWKQVARNLRSQKDICRYSKTAVKTRVCRKDFPESSLKLVSSTLFGNTKPRKEKTEMSPREHIKGKETTPSSLAVTQTMATKAPECVEDPDMANQRKTALEFCGETWSSLCTFFLSIVQDTSC
+>DECOY_sp|Q14512|FGFP1_HUMAN Fibroblast growth factor-binding protein 1 OS=Homo sapiens OX=9606 GN=FGFBP1 PE=1 SV=1
+CSTDQVISLFFTCLSSWTEGCFELATKRQNAMDPDEVCEPAKTAMTQTVALSSPTTEKGKIHERPSMETKEKRPKTNGFLTSSVLKLSSEPFDKRCVRTKVATKSYRCIDKQSRLNRAVQKWYVREDKLKLCSTPNGAFVCSFEHDLQTCEVKLSIGEEQETAAWRCNAQDKTVFKGKNGPRSKQKIQTNGLTDKQESVVKSHLGNKVKKKGEVLLVQAALLLFSLLTLSCIKM
+>sp|Q9BYJ0|FGFP2_HUMAN Fibroblast growth factor-binding protein 2 OS=Homo sapiens OX=9606 GN=FGFBP2 PE=1 SV=1
+MKFVPCLLLVTLSCLGTLGQAPRQKQGSTGEEFHFQTGGRDSCTMRPSSLGQGAGEVWLRVDCRNTDQTYWCEYRGQPSMCQAFAADPKPYWNQALQELRRLHHACQGAPVLRPSVCREAGPQAHMQQVTSSLKGSPEPNQQPEAGTPSLRPKATVKLTEATQLGKDSMEELGKAKPTTRPTAKPTQPGPRPGGNEEAKKKAWEHCWKPFQALCAFLISFFRG
+>DECOY_sp|Q9BYJ0|FGFP2_HUMAN Fibroblast growth factor-binding protein 2 OS=Homo sapiens OX=9606 GN=FGFBP2 PE=1 SV=1
+GRFFSILFACLAQFPKWCHEWAKKKAEENGGPRPGPQTPKATPRTTPKAKGLEEMSDKGLQTAETLKVTAKPRLSPTGAEPQQNPEPSGKLSSTVQQMHAQPGAERCVSPRLVPAGQCAHHLRRLEQLAQNWYPKPDAAFAQCMSPQGRYECWYTQDTNRCDVRLWVEGAGQGLSSPRMTCSDRGGTQFHFEEGTSGQKQRPAQGLTGLCSLTVLLLCPVFKM
+>sp|P09769|FGR_HUMAN Tyrosine-protein kinase Fgr OS=Homo sapiens OX=9606 GN=FGR PE=1 SV=2
+MGCVFCKKLEPVATAKEDAGLEGDFRSYGAADHYGPDPTKARPASSFAHIPNYSNFSSQAINPGFLDSGTIRGVSGIGVTLFIALYDYEARTEDDLTFTKGEKFHILNNTEGDWWEARSLSSGKTGCIPSNYVAPVDSIQAEEWYFGKIGRKDAERQLLSPGNPQGAFLIRESETTKGAYSLSIRDWDQTRGDHVKHYKIRKLDMGGYYITTRVQFNSVQELVQHYMEVNDGLCNLLIAPCTIMKPQTLGLAKDAWEISRSSITLERRLGTGCFGDVWLGTWNGSTKVAVKTLKPGTMSPKAFLEEAQVMKLLRHDKLVQLYAVVSEEPIYIVTEFMCHGSLLDFLKNPEGQDLRLPQLVDMAAQVAEGMAYMERMNYIHRDLRAANILVGERLACKIADFGLARLIKDDEYNPCQGSKFPIKWTAPEAALFGRFTIKSDVWSFGILLTELITKGRIPYPGMNKREVLEQVEQGYHMPCPPGCPASLYEAMEQTWRLDPEERPTFEYLQSFLEDYFTSAEPQYQPGDQT
+>DECOY_sp|P09769|FGR_HUMAN Tyrosine-protein kinase Fgr OS=Homo sapiens OX=9606 GN=FGR PE=1 SV=2
+TQDGPQYQPEASTFYDELFSQLYEFTPREEPDLRWTQEMAEYLSAPCGPPCPMHYGQEVQELVERKNMGPYPIRGKTILETLLIGFSWVDSKITFRGFLAAEPATWKIPFKSGQCPNYEDDKILRALGFDAIKCALREGVLINAARLDRHIYNMREMYAMGEAVQAAMDVLQPLRLDQGEPNKLFDLLSGHCMFETVIYIPEESVVAYLQVLKDHRLLKMVQAEELFAKPSMTGPKLTKVAVKTSGNWTGLWVDGFCGTGLRRELTISSRSIEWADKALGLTQPKMITCPAILLNCLGDNVEMYHQVLEQVSNFQVRTTIYYGGMDLKRIKYHKVHDGRTQDWDRISLSYAGKTTESERILFAGQPNGPSLLQREADKRGIKGFYWEEAQISDVPAVYNSPICGTKGSSLSRAEWWDGETNNLIHFKEGKTFTLDDETRAEYDYLAIFLTVGIGSVGRITGSDLFGPNIAQSSFNSYNPIHAFSSAPRAKTPDPGYHDAAGYSRFDGELGADEKATAVPELKKCFVCGM
+>sp|Q13642|FHL1_HUMAN Four and a half LIM domains protein 1 OS=Homo sapiens OX=9606 GN=FHL1 PE=1 SV=4
+MAEKFDCHYCRDPLQGKKYVQKDGHHCCLKCFDKFCANTCVECRKPIGADSKEVHYKNRFWHDTCFRCAKCLHPLANETFVAKDNKILCNKCTTREDSPKCKGCFKAIVAGDQNVEYKGTVWHKDCFTCSNCKQVIGTGSFFPKGEDFYCVTCHETKFAKHCVKCNKAITSGGITYQDQPWHADCFVCVTCSKKLAGQRFTAVEDQYYCVDCYKNFVAKKCAGCKNPITGKRTVSRVSHPVSKARKPPVCHGKRLPLTLFPSANLRGRHPGGERTCPSWVVVLYRKNRSLAAPRGPGLVKAPVWWPMKDNPGTTTASTAKNAP
+>DECOY_sp|Q13642|FHL1_HUMAN Four and a half LIM domains protein 1 OS=Homo sapiens OX=9606 GN=FHL1 PE=1 SV=4
+PANKATSATTTGPNDKMPWWVPAKVLGPGRPAALSRNKRYLVVVWSPCTREGGPHRGRLNASPFLTLPLRKGHCVPPKRAKSVPHSVRSVTRKGTIPNKCGACKKAVFNKYCDVCYYQDEVATFRQGALKKSCTVCVFCDAHWPQDQYTIGGSTIAKNCKVCHKAFKTEHCTVCYFDEGKPFFSGTGIVQKCNSCTFCDKHWVTGKYEVNQDGAVIAKFCGKCKPSDERTTCKNCLIKNDKAVFTENALPHLCKACRFCTDHWFRNKYHVEKSDAGIPKRCEVCTNACFKDFCKLCCHHGDKQVYKKGQLPDRCYHCDFKEAM
+>sp|Q14254|FLOT2_HUMAN Flotillin-2 OS=Homo sapiens OX=9606 GN=FLOT2 PE=1 SV=2
+MGNCHTVGPNEALVVSGGCCGSDYKQYVFGGWAWAWWCISDTQRISLEIMTLQPRCEDVETAEGVALTVTGVAQVKIMTEKELLAVACEQFLGKNVQDIKNVVLQTLEGHLRSILGTLTVEQIYQDRDQFAKLVREVAAPDVGRMGIEILSFTIKDVYDKVDYLSSLGKTQTAVVQRDADIGVAEAERDAGIREAECKKEMLDVKFMADTKIADSKRAFELQKSAFSEEVNIKTAEAQLAYELQGAREQQKIRQEEIEIEVVQRKKQIAVEAQEILRTDKELIATVRRPAEAEAHRIQQIAEGEKVKQVLLAQAEAEKIRKIGEAEAAVIEAMGKAEAERMKLKAEAYQKYGDAAKMALVLEALPQIAAKIAAPLTKVDEIVVLSGDNSKVTSEVNRLLAELPASVHALTGVDLSKIPLIKKATGVQV
+>DECOY_sp|Q14254|FLOT2_HUMAN Flotillin-2 OS=Homo sapiens OX=9606 GN=FLOT2 PE=1 SV=2
+VQVGTAKKILPIKSLDVGTLAHVSAPLEALLRNVESTVKSNDGSLVVIEDVKTLPAAIKAAIQPLAELVLAMKAADGYKQYAEAKLKMREAEAKGMAEIVAAEAEGIKRIKEAEAQALLVQKVKEGEAIQQIRHAEAEAPRRVTAILEKDTRLIEQAEVAIQKKRQVVEIEIEEQRIKQQERAGQLEYALQAEATKINVEESFASKQLEFARKSDAIKTDAMFKVDLMEKKCEAERIGADREAEAVGIDADRQVVATQTKGLSSLYDVKDYVDKITFSLIEIGMRGVDPAAVERVLKAFQDRDQYIQEVTLTGLISRLHGELTQLVVNKIDQVNKGLFQECAVALLEKETMIKVQAVGTVTLAVGEATEVDECRPQLTMIELSIRQTDSICWWAWAWGGFVYQKYDSGCCGGSVVLAENPGVTHCNGM
+>sp|Q9NZU1|FLRT1_HUMAN Leucine-rich repeat transmembrane protein FLRT1 OS=Homo sapiens OX=9606 GN=FLRT1 PE=1 SV=3
+MDLRDWLFLCYGLIAFLTEVIDSTTCPSVCRCDNGFIYCNDRGLTSIPADIPDDATTLYLQNNQINNAGIPQDLKTKVNVQVIYLYENDLDEFPINLPRSLRELHLQDNNVRTIARDSLARIPLLEKLHLDDNSVSTVSIEEDAFADSKQLKLLFLSRNHLSSIPSGLPHTLEELRLDDNRISTIPLHAFKGLNSLRRLVLDGNLLANQRIADDTFSRLQNLTELSLVRNSLAAPPLNLPSAHLQKLYLQDNAISHIPYNTLAKMRELERLDLSNNNLTTLPRGLFDDLGNLAQLLLRNNPWFCGCNLMWLRDWVKARAAVVNVRGLMCQGPEKVRGMAIKDITSEMDECFETGPQGGVANAAAKTTASNHASATTPQGSLFTLKAKRPGLRLPDSNIDYPMATGDGAKTLAIHVKALTADSIRITWKATLPASSFRLSWLRLGHSPAVGSITETLVQGDKTEYLLTALEPKSTYIICMVTMETSNAYVADETPVCAKAETADSYGPTTTLNQEQNAGPMASLPLAGIIGGAVALVFLFLVLGAICWYVHQAGELLTRERAYNRGSRKKDDYMESGTKKDNSILEIRGPGLQMLPINPYRAKEEYVVHTIFPSNGSSLCKATHTIGYGTTRGYRDGGIPDIDYSYT
+>DECOY_sp|Q9NZU1|FLRT1_HUMAN Leucine-rich repeat transmembrane protein FLRT1 OS=Homo sapiens OX=9606 GN=FLRT1 PE=1 SV=3
+TYSYDIDPIGGDRYGRTTGYGITHTAKCLSSGNSPFITHVVYEEKARYPNIPLMQLGPGRIELISNDKKTGSEMYDDKKRSGRNYARERTLLEGAQHVYWCIAGLVLFLFVLAVAGGIIGALPLSAMPGANQEQNLTTTPGYSDATEAKACVPTEDAVYANSTEMTVMCIIYTSKPELATLLYETKDGQVLTETISGVAPSHGLRLWSLRFSSAPLTAKWTIRISDATLAKVHIALTKAGDGTAMPYDINSDPLRLGPRKAKLTFLSGQPTTASAHNSATTKAAANAVGGQPGTEFCEDMESTIDKIAMGRVKEPGQCMLGRVNVVAARAKVWDRLWMLNCGCFWPNNRLLLQALNGLDDFLGRPLTTLNNNSLDLRELERMKALTNYPIHSIANDQLYLKQLHASPLNLPPAALSNRVLSLETLNQLRSFTDDAIRQNALLNGDLVLRRLSNLGKFAHLPITSIRNDDLRLEELTHPLGSPISSLHNRSLFLLKLQKSDAFADEEISVTSVSNDDLHLKELLPIRALSDRAITRVNNDQLHLERLSRPLNIPFEDLDNEYLYIVQVNVKTKLDQPIGANNIQNNQLYLTTADDPIDAPISTLGRDNCYIFGNDCRCVSPCTTSDIVETLFAILGYCLFLWDRLDM
+>sp|O43155|FLRT2_HUMAN Leucine-rich repeat transmembrane protein FLRT2 OS=Homo sapiens OX=9606 GN=FLRT2 PE=1 SV=1
+MGLQTTKWPSHGAFFLKSWLIISLGLYSQVSKLLACPSVCRCDRNFVYCNERSLTSVPLGIPEGVTVLYLHNNQINNAGFPAELHNVQSVHTVYLYGNQLDEFPMNLPKNVRVLHLQENNIQTISRAALAQLLKLEELHLDDNSISTVGVEDGAFREAISLKLLFLSKNHLSSVPVGLPVDLQELRVDENRIAVISDMAFQNLTSLERLIVDGNLLTNKGIAEGTFSHLTKLKEFSIVRNSLSHPPPDLPGTHLIRLYLQDNQINHIPLTAFSNLRKLERLDISNNQLRMLTQGVFDNLSNLKQLTARNNPWFCDCSIKWVTEWLKYIPSSLNVRGFMCQGPEQVRGMAVRELNMNLLSCPTTTPGLPLFTPAPSTASPTTQPPTLSIPNPSRSYTPPTPTTSKLPTIPDWDGRERVTPPISERIQLSIHFVNDTSIQVSWLSLFTVMAYKLTWVKMGHSLVGGIVQERIVSGEKQHLSLVNLEPRSTYRICLVPLDAFNYRAVEDTICSEATTHASYLNNGSNTASSHEQTTSHSMGSPFLLAGLIGGAVIFVLVVLLSVFCWHMHKKGRYTSQKWKYNRGRRKDDYCEAGTKKDNSILEMTETSFQIVSLNNDQLLKGDFRLQPIYTPNGGINYTDCHIPNNMRYCNSSVPDLEHCHT
+>DECOY_sp|O43155|FLRT2_HUMAN Leucine-rich repeat transmembrane protein FLRT2 OS=Homo sapiens OX=9606 GN=FLRT2 PE=1 SV=1
+THCHELDPVSSNCYRMNNPIHCDTYNIGGNPTYIPQLRFDGKLLQDNNLSVIQFSTETMELISNDKKTGAECYDDKRRGRNYKWKQSTYRGKKHMHWCFVSLLVVLVFIVAGGILGALLFPSGMSHSTTQEHSSATNSGNNLYSAHTTAESCITDEVARYNFADLPVLCIRYTSRPELNVLSLHQKEGSVIREQVIGGVLSHGMKVWTLKYAMVTFLSLWSVQISTDNVFHISLQIRESIPPTVRERGDWDPITPLKSTTPTPPTYSRSPNPISLTPPQTTPSATSPAPTFLPLGPTTTPCSLLNMNLERVAMGRVQEPGQCMFGRVNLSSPIYKLWETVWKISCDCFWPNNRATLQKLNSLNDFVGQTLMRLQNNSIDLRELKRLNSFATLPIHNIQNDQLYLRILHTGPLDPPPHSLSNRVISFEKLKTLHSFTGEAIGKNTLLNGDVILRELSTLNQFAMDSIVAIRNEDVRLEQLDVPLGVPVSSLHNKSLFLLKLSIAERFAGDEVGVTSISNDDLHLEELKLLQALAARSITQINNEQLHLVRVNKPLNMPFEDLQNGYLYVTHVSQVNHLEAPFGANNIQNNHLYLVTVGEPIGLPVSTLSRENCYVFNRDCRCVSPCALLKSVQSYLGLSIILWSKLFFAGHSPWKTTQLGM
+>sp|O00716|E2F3_HUMAN Transcription factor E2F3 OS=Homo sapiens OX=9606 GN=E2F3 PE=1 SV=1
+MRKGIQPALEQYLVTAGGGEGAAVVAAAAAASMDKRALLASPGFAAAAAAAAAPGAYIQILTTNTSTTSCSSSLQSGAVAAGPLLPSAPGAEQTAGSLLYTTPHGPSSRAGLLQQPPALGRGGSGGGGGPPAKRRLELGESGHQYLSDGLKTPKGKGRAALRSPDSPKTPKSPSEKTRYDTSLGLLTKKFIQLLSQSPDGVLDLNKAAEVLKVQKRRIYDITNVLEGIHLIKKKSKNNVQWMGCSLSEDGGMLAQCQGLSKEVTELSQEEKKLDELIQSCTLDLKLLTEDSENQRLAYVTYQDIRKISGLKDQTVIVVKAPPETRLEVPDSIESLQIHLASTQGPIEVYLCPEETETHSPMKTNNQDHNGNIPKPASKDLASTNSGHSDCSVSMGNLSPLASPANLLQQTEDQIPSNLEGPFVNLLPPLLQEDYLLSLGEEEGISDLFDAYDLEKLPLVEDFMCS
+>DECOY_sp|O00716|E2F3_HUMAN Transcription factor E2F3 OS=Homo sapiens OX=9606 GN=E2F3 PE=1 SV=1
+SCMFDEVLPLKELDYADFLDSIGEEEGLSLLYDEQLLPPLLNVFPGELNSPIQDETQQLLNAPSALPSLNGMSVSCDSHGSNTSALDKSAPKPINGNHDQNNTKMPSHTETEEPCLYVEIPGQTSALHIQLSEISDPVELRTEPPAKVVIVTQDKLGSIKRIDQYTVYALRQNESDETLLKLDLTCSQILEDLKKEEQSLETVEKSLGQCQALMGGDESLSCGMWQVNNKSKKKILHIGELVNTIDYIRRKQVKLVEAAKNLDLVGDPSQSLLQIFKKTLLGLSTDYRTKESPSKPTKPSDPSRLAARGKGKPTKLGDSLYQHGSEGLELRRKAPPGGGGGSGGRGLAPPQQLLGARSSPGHPTTYLLSGATQEAGPASPLLPGAAVAGSQLSSSCSTTSTNTTLIQIYAGPAAAAAAAAAFGPSALLARKDMSAAAAAAVVAAGEGGGATVLYQELAPQIGKRM
+>sp|O75461|E2F6_HUMAN Transcription factor E2F6 OS=Homo sapiens OX=9606 GN=E2F6 PE=1 SV=1
+MSQQRPARKLPSLLLDPTEETVRRRCRDPINVEGLLPSKIRINLEDNVQYVSMRKALKVKRPRFDVSLVYLTRKFMDLVRSAPGGILDLNKVATKLGVRKRRVYDITNVLDGIDLVEKKSKNHIRWIGSDLSNFGAVPQQKKLQEELSDLSAMEDALDELIKDCAQQLFELTDDKENERLAYVTYQDIHSIQAFHEQIVIAVKAPAETRLDVPAPREDSITVHIRSTNGPIDVYLCEVEQGQTSNKRSEGVGTSSSESTHPEGPEEEENPQQSEELLEVSN
+>DECOY_sp|O75461|E2F6_HUMAN Transcription factor E2F6 OS=Homo sapiens OX=9606 GN=E2F6 PE=1 SV=1
+NSVELLEESQQPNEEEEPGEPHTSESSSTGVGESRKNSTQGQEVECLYVDIPGNTSRIHVTISDERPAPVDLRTEAPAKVAIVIQEHFAQISHIDQYTVYALRENEKDDTLEFLQQACDKILEDLADEMASLDSLEEQLKKQQPVAGFNSLDSGIWRIHNKSKKEVLDIGDLVNTIDYVRRKRVGLKTAVKNLDLIGGPASRVLDMFKRTLYVLSVDFRPRKVKLAKRMSVYQVNDELNIRIKSPLLGEVNIPDRCRRRVTEETPDLLLSPLKRAPRQQSM
+>sp|Q15125|EBP_HUMAN 3-beta-hydroxysteroid-Delta(8),Delta(7)-isomerase OS=Homo sapiens OX=9606 GN=EBP PE=1 SV=3
+MTTNAGPLHPYWPQHLRLDNFVPNDRPTWHILAGLFSVTGVLVVTTWLLSGRAAVVPLGTWRRLSLCWFAVCGFIHLVIEGWFVLYYEDLLGDQAFLSQLWKEYAKGDSRYILGDNFTVCMETITACLWGPLSLWVVIAFLRQHPLRFILQLVVSVGQIYGDVLYFLTEHRDGFQHGELGHPLYFWFYFVFMNALWLVLPGVLVLDAVKHLTHAQSTLDAKATKAKSKKN
+>DECOY_sp|Q15125|EBP_HUMAN 3-beta-hydroxysteroid-Delta(8),Delta(7)-isomerase OS=Homo sapiens OX=9606 GN=EBP PE=1 SV=3
+NKKSKAKTAKADLTSQAHTLHKVADLVLVGPLVLWLANMFVFYFWFYLPHGLEGHQFGDRHETLFYLVDGYIQGVSVVLQLIFRLPHQRLFAIVVWLSLPGWLCATITEMCVTFNDGLIYRSDGKAYEKWLQSLFAQDGLLDEYYLVFWGEIVLHIFGCVAFWCLSLRRWTGLPVVAARGSLLWTTVVLVGTVSFLGALIHWTPRDNPVFNDLRLHQPWYPHLPGANTTM
+>sp|O95905|ECD_HUMAN Protein ecdysoneless homolog OS=Homo sapiens OX=9606 GN=ECD PE=1 SV=1
+MEETMKLATMEDTVEYCLFLIPDESRDSDKHKEILQKYIERIITRFAPMLVPYIWQNQPFNLKYKPGKGGVPAHMFGVTKFGDNIEDEWFIVYVIKQITKEFPELVARIEDNDGEFLLIEAADFLPKWLDPENSTNRVFFCHGELCIIPAPRKSGAESWLPTTPPTIPQALNIITAHSEKILASESIRAAVNRRIRGYPEKIQASLHRAHCFLPAGIVAVLKQRPRLVAAAVQAFYLRDPIDLRACRVFKTFLPETRIMTSVTFTKCLYAQLVQQRFVPDRRSGYRLPPPSDPQYRAHELGMKLAHGFEILCSKCSPHFSDCKKSLVTASPLWASFLESLKKNDYFKGLIEGSAQYRERLEMAENYFQLSVDWPESSLAMSPGEEILTLLQTIPFDIEDLKKEAANLPPEDDDQWLDLSPDQLDQLLQEAVGKKESESVSKEEKEQNYDLTEVSESMKAFISKVSTHKGAELPREPSEAPITFDADSFLNYFDKILGPRPNESDSDDLDDEDFECLDSDDDLDFETHEPGEEASLKGTLDNLKSYMAQMDQELAHTCISKSFTTRNQVEPVSQTTDNNSDEEDSGTGESVMAPVDVDLNLVSNILESYSSQAGLAGPASNLLQSMGVQLPDNTDHRPTSKPTKN
+>DECOY_sp|O95905|ECD_HUMAN Protein ecdysoneless homolog OS=Homo sapiens OX=9606 GN=ECD PE=1 SV=1
+NKTPKSTPRHDTNDPLQVGMSQLLNSAPGALGAQSSYSELINSVLNLDVDVPAMVSEGTGSDEEDSNNDTTQSVPEVQNRTTFSKSICTHALEQDMQAMYSKLNDLTGKLSAEEGPEHTEFDLDDDSDLCEFDEDDLDDSDSENPRPGLIKDFYNLFSDADFTIPAESPERPLEAGKHTSVKSIFAKMSESVETLDYNQEKEEKSVSESEKKGVAEQLLQDLQDPSLDLWQDDDEPPLNAAEKKLDEIDFPITQLLTLIEEGPSMALSSEPWDVSLQFYNEAMELRERYQASGEILGKFYDNKKLSELFSAWLPSATVLSKKCDSFHPSCKSCLIEFGHALKMGLEHARYQPDSPPPLRYGSRRDPVFRQQVLQAYLCKTFTVSTMIRTEPLFTKFVRCARLDIPDRLYFAQVAAAVLRPRQKLVAVIGAPLFCHARHLSAQIKEPYGRIRRNVAARISESALIKESHATIINLAQPITPPTTPLWSEAGSKRPAPIICLEGHCFFVRNTSNEPDLWKPLFDAAEILLFEGDNDEIRAVLEPFEKTIQKIVYVIFWEDEINDGFKTVGFMHAPVGGKGPKYKLNFPQNQWIYPVLMPAFRTIIREIYKQLIEKHKDSDRSEDPILFLCYEVTDEMTALKMTEEM
+>sp|P0DPD6|ECE2_HUMAN Endothelin-converting enzyme 2 OS=Homo sapiens OX=9606 GN=ECE2 PE=1 SV=1
+MNVALQELGAGSNMVEYKRATLRDEDAPETPVEGGASPDAMEVGKGASPFSPGPSPGMTPGTPRSSGLFWRVTCPHLRSISGLCSRTMVGFQKGTRQLLGSRTQLELVLAGASLLLAALLLGCLVALGVQYHRDPSHSTCLTEACIRVAGKILESLDRGVSPCEDFYQFSCGGWIRRNPLPDGRSRWNTFNSLWDQNQAILKHLLENTTFNSSSEAEQKTQRFYLSCLQVERIEELGAQPLRDLIEKIGGWNITGPWDQDNFMEVLKAVAGTYRATPFFTVYISADSKSSNSNVIQVDQSGLFLPSRDYYLNRTANEKVLTAYLDYMEELGMLLGGRPTSTREQMQQVLELEIQLANITVPQDQRRDEEKIYHKMSISELQALAPSMDWLEFLSFLLSPLELSDSEPVVVYGMDYLQQVSELINRTEPSILNNYLIWNLVQKTTSSLDRRFESAQEKLLETLYGTKKSCVPRWQTCISNTDDALGFALGSLFVKATFDRQSKEIAEGMISEIRTAFEEALGQLVWMDEKTRQAAKEKADAIYDMIGFPDFILEPKELDDVYDGYEISEDSFFQNMLNLYNFSAKVMADQLRKPPSRDQWSMTPQTVNAYYLPTKNEIVFPAGILQAPFYARNHPKALNFGGIGVVMGHELTHAFDDQGREYDKEGNLRPWWQNESLAAFRNHTACMEEQYNQYQVNGERLNGRQTLGENIADNGGLKAAYNAYKAWLRKHGEEQQLPAVGLTNHQLFFVGFAQVWCSVRTPESSHEGLVTDPHSPARFRVLGTLSNSRDFLRHFGCPVGSPMNPGQLCEVW
+>DECOY_sp|P0DPD6|ECE2_HUMAN Endothelin-converting enzyme 2 OS=Homo sapiens OX=9606 GN=ECE2 PE=1 SV=1
+WVECLQGPNMPSGVPCGFHRLFDRSNSLTGLVRFRAPSHPDTVLGEHSSEPTRVSCWVQAFGVFFLQHNTLGVAPLQQEEGHKRLWAKYANYAAKLGGNDAINEGLTQRGNLREGNVQYQNYQEEMCATHNRFAALSENQWWPRLNGEKDYERGQDDFAHTLEHGMVVGIGGFNLAKPHNRAYFPAQLIGAPFVIENKTPLYYANVTQPTMSWQDRSPPKRLQDAMVKASFNYLNLMNQFFSDESIEYGDYVDDLEKPELIFDPFGIMDYIADAKEKAAQRTKEDMWVLQGLAEEFATRIESIMGEAIEKSQRDFTAKVFLSGLAFGLADDTNSICTQWRPVCSKKTGYLTELLKEQASEFRRDLSSTTKQVLNWILYNNLISPETRNILESVQQLYDMGYVVVPESDSLELPSLLFSLFELWDMSPALAQLESISMKHYIKEEDRRQDQPVTINALQIELELVQQMQERTSTPRGGLLMGLEEMYDLYATLVKENATRNLYYDRSPLFLGSQDVQIVNSNSSKSDASIYVTFFPTARYTGAVAKLVEMFNDQDWPGTINWGGIKEILDRLPQAGLEEIREVQLCSLYFRQTKQEAESSSNFTTNELLHKLIAQNQDWLSNFTNWRSRGDPLPNRRIWGGCSFQYFDECPSVGRDLSELIKGAVRICAETLCTSHSPDRHYQVGLAVLCGLLLAALLLSAGALVLELQTRSGLLQRTGKQFGVMTRSCLGSISRLHPCTVRWFLGSSRPTGPTMGPSPGPSFPSAGKGVEMADPSAGGEVPTEPADEDRLTARKYEVMNSGAGLEQLAVNM
+>sp|Q13011|ECH1_HUMAN Delta(3,5)-Delta(2,4)-dienoyl-CoA isomerase, mitochondrial OS=Homo sapiens OX=9606 GN=ECH1 PE=1 SV=2
+MAAGIVASRRLRDLLTRRLTGSNYPGLSISLRLTGSSAQEEASGVALGEAPDHSYESLRVTSAQKHVLHVQLNRPNKRNAMNKVFWREMVECFNKISRDADCRAVVISGAGKMFTAGIDLMDMASDILQPKGDDVARISWYLRDIITRYQETFNVIERCPKPVIAAVHGGCIGGGVDLVTACDIRYCAQDAFFQVKEVDVGLAADVGTLQRLPKVIGNQSLVNELAFTARKMMADEALGSGLVSRVFPDKEVMLDAALALAAEISSKSPVAVQSTKVNLLYSRDHSVAESLNYVASWNMSMLQTQDLVKSVQATTENKELKTVTFSKL
+>DECOY_sp|Q13011|ECH1_HUMAN Delta(3,5)-Delta(2,4)-dienoyl-CoA isomerase, mitochondrial OS=Homo sapiens OX=9606 GN=ECH1 PE=1 SV=2
+LKSFTVTKLEKNETTAQVSKVLDQTQLMSMNWSAVYNLSEAVSHDRSYLLNVKTSQVAVPSKSSIEAALALAADLMVEKDPFVRSVLGSGLAEDAMMKRATFALENVLSQNGIVKPLRQLTGVDAALGVDVEKVQFFADQACYRIDCATVLDVGGGICGGHVAAIVPKPCREIVNFTEQYRTIIDRLYWSIRAVDDGKPQLIDSAMDMLDIGATFMKGAGSIVVARCDADRSIKNFCEVMERWFVKNMANRKNPRNLQVHLVHKQASTVRLSEYSHDPAEGLAVGSAEEQASSGTLRLSISLGPYNSGTLRRTLLDRLRRSAVIGAAM
+>sp|Q8N4Y2|EFC4A_HUMAN EF-hand calcium-binding domain-containing protein 4A OS=Homo sapiens OX=9606 GN=CRACR2B PE=1 SV=3
+MASPGKPGADEAQEEEGELEGGSAGPRAAILEQAEELFLLCDKEAKGFITKHDLQGLQSDLPLTPEQLEAVFESLDRAHTGFLTAREFCLGLGMFVGVASAQGANPCRTPEETFESGGLDVQGTAGSLDEEEEEEERFHTVLEQLGVAPVLGKQRAVRTLWARLQRERPELLGSFEDVLIRASACLEEAARERDGLEQALRRRESEHEREVRALYEETEQLREQSRRPPSQNFARGERRSRLELELQSREQDLERAGLRQRELEQQLHAQAAEHLEAQAQNSQLWRAHEALRTQLEGAQEQIRRLESEARGRQEQTQRDVVAVSRNMQKEKVSLLRQLELLRELNTRLRDDRDACEARRAGSSCRKALTTARLPGPTCCCCCCWARPPRRGSGHLPSAR
+>DECOY_sp|Q8N4Y2|EFC4A_HUMAN EF-hand calcium-binding domain-containing protein 4A OS=Homo sapiens OX=9606 GN=CRACR2B PE=1 SV=3
+RASPLHGSGRRPPRAWCCCCCCTPGPLRATTLAKRCSSGARRAECADRDDRLRTNLERLLELQRLLSVKEKQMNRSVAVVDRQTQEQRGRAESELRRIQEQAGELQTRLAEHARWLQSNQAQAELHEAAQAHLQQELERQRLGARELDQERSQLELELRSRREGRAFNQSPPRRSQERLQETEEYLARVEREHESERRRLAQELGDRERAAEELCASARILVDEFSGLLEPRERQLRAWLTRVARQKGLVPAVGLQELVTHFREEEEEEEDLSGATGQVDLGGSEFTEEPTRCPNAGQASAVGVFMGLGLCFERATLFGTHARDLSEFVAELQEPTLPLDSQLGQLDHKTIFGKAEKDCLLFLEEAQELIAARPGASGGELEGEEEQAEDAGPKGPSAM
+>sp|Q8N7B9|EFCB3_HUMAN EF-hand calcium-binding domain-containing protein 3 OS=Homo sapiens OX=9606 GN=EFCAB3 PE=2 SV=1
+MAVSEIKPKLKLNPLTKVPISHNKRDRDLPGSLQCQLQHKEKKLSASQMAAFQDAYNFFYKDKTGCIDFHGLMCTVAKLGMNLTKHDVYNELKCADIDRDGKVNFSDFIKVLTDKNLFLKAVVPEKETCLDLAGNPGILLFEILSRLLETSALPRKSIIEIVSYFQRKFQHTGPGMLWSPYTMGYGKRTLKPDICTPPSSSMAAFANAARIAIMKEKDLFKFLEELKRCNSGSDSPYSKIPIFPLFPNVDGVVMGKPFKDMQKLEMLRIKEPLHFFEDYFFHKRDWKTQAANIKSMDPASGYSNNIFTIDQMLKKKQTCTVADATAIKQHVKRATDTYNLGIALEHRKEMLNLWQKIRGDLIGMDSRNESFYDTFSTYTWSWNVCQELLSPKDLRLYDAYVNRNSSHNSRSSSSSDTSECYTDSGRKRKRKGLKGFQQ
+>DECOY_sp|Q8N7B9|EFCB3_HUMAN EF-hand calcium-binding domain-containing protein 3 OS=Homo sapiens OX=9606 GN=EFCAB3 PE=2 SV=1
+QQFGKLGKRKRKRGSDTYCESTDSSSSSRSNHSSNRNVYADYLRLDKPSLLEQCVNWSWTYTSFTDYFSENRSDMGILDGRIKQWLNLMEKRHELAIGLNYTDTARKVHQKIATADAVTCTQKKKLMQDITFINNSYGSAPDMSKINAAQTKWDRKHFFYDEFFHLPEKIRLMELKQMDKFPKGMVVGDVNPFLPFIPIKSYPSDSGSNCRKLEELFKFLDKEKMIAIRAANAFAAMSSSPPTCIDPKLTRKGYGMTYPSWLMGPGTHQFKRQFYSVIEIISKRPLASTELLRSLIEFLLIGPNGALDLCTEKEPVVAKLFLNKDTLVKIFDSFNVKGDRDIDACKLENYVDHKTLNMGLKAVTCMLGHFDICGTKDKYFFNYADQFAAMQSASLKKEKHQLQCQLSGPLDRDRKNHSIPVKTLPNLKLKPKIESVAM
+>sp|A8MZ26|EFCB9_HUMAN EF-hand calcium-binding domain-containing protein 9 OS=Homo sapiens OX=9606 GN=EFCAB9 PE=4 SV=2
+MRLKQGSFLWYLYLDKIYCLLSVRNVKALAEYFHILDVHGKNTLNDVLFYHFLHHVTDLKKAQINIVFDMLDWNAVGEIDFEKFYMLVCMLLAHQNHLEGQFMYRHSRPVFDLLDLKGDLRIGAKNFEMYRFLFNIQKQELKDLFRDFDITGDNRLNYQEFKLYTIIYTDKLQKRQKTEEKEKGERKRSLYSKCHIK
+>DECOY_sp|A8MZ26|EFCB9_HUMAN EF-hand calcium-binding domain-containing protein 9 OS=Homo sapiens OX=9606 GN=EFCAB9 PE=4 SV=2
+KIHCKSYLSRKREGKEKEETKQRKQLKDTYIITYLKFEQYNLRNDGTIDFDRFLDKLEQKQINFLFRYMEFNKAGIRLDGKLDLLDFVPRSHRYMFQGELHNQHALLMCVLMYFKEFDIEGVANWDLMDFVINIQAKKLDTVHHLFHYFLVDNLTNKGHVDLIHFYEALAKVNRVSLLCYIKDLYLYWLFSGQKLRM
+>sp|P0DPD8|EFCE2_HUMAN EEF1AKMT4-ECE2 readthrough transcript protein OS=Homo sapiens OX=9606 GN=EEF1AKMT4-ECE2 PE=1 SV=1
+MASPGAGRAPPELPERNCGYREVEYWDQRYQGAADSAPYDWFGDFSSFRALLEPELRPEDRILVLGCGNSALSYELFLGGFPNVTSVDYSSVVVAAMQARHAHVPQLRWETMDVRKLDFPSASFDVVLEKGTLDALLAGERDPWTVSSEGVHTVDQVLSEVGFQKGTRQLLGSRTQLELVLAGASLLLAALLLGCLVALGVQYHRDPSHSTCLTEACIRVAGKILESLDRGVSPCEDFYQFSCGGWIRRNPLPDGRSRWNTFNSLWDQNQAILKHLLENTTFNSSSEAEQKTQRFYLSCLQVERIEELGAQPLRDLIEKIGGWNITGPWDQDNFMEVLKAVAGTYRATPFFTVYISADSKSSNSNVIQVDQSGLFLPSRDYYLNRTANEKVLTAYLDYMEELGMLLGGRPTSTREQMQQVLELEIQLANITVPQDQRRDEEKIYHKMSISELQALAPSMDWLEFLSFLLSPLELSDSEPVVVYGMDYLQQVSELINRTEPSILNNYLIWNLVQKTTSSLDRRFESAQEKLLETLYGTKKSCVPRWQTCISNTDDALGFALGSLFVKATFDRQSKEIAEGMISEIRTAFEEALGQLVWMDEKTRQAAKEKADAIYDMIGFPDFILEPKELDDVYDGYEISEDSFFQNMLNLYNFSAKVMADQLRKPPSRDQWSMTPQTVNAYYLPTKNEIVFPAGILQAPFYARNHPKALNFGGIGVVMGHELTHAFDDQGREYDKEGNLRPWWQNESLAAFRNHTACMEEQYNQYQVNGERLNGRQTLGENIADNGGLKAAYNAYKAWLRKHGEEQQLPAVGLTNHQLFFVGFAQVWCSVRTPESSHEGLVTDPHSPARFRVLGTLSNSRDFLRHFGCPVGSPMNPGQLCEVW
+>DECOY_sp|P0DPD8|EFCE2_HUMAN EEF1AKMT4-ECE2 readthrough transcript protein OS=Homo sapiens OX=9606 GN=EEF1AKMT4-ECE2 PE=1 SV=1
+WVECLQGPNMPSGVPCGFHRLFDRSNSLTGLVRFRAPSHPDTVLGEHSSEPTRVSCWVQAFGVFFLQHNTLGVAPLQQEEGHKRLWAKYANYAAKLGGNDAINEGLTQRGNLREGNVQYQNYQEEMCATHNRFAALSENQWWPRLNGEKDYERGQDDFAHTLEHGMVVGIGGFNLAKPHNRAYFPAQLIGAPFVIENKTPLYYANVTQPTMSWQDRSPPKRLQDAMVKASFNYLNLMNQFFSDESIEYGDYVDDLEKPELIFDPFGIMDYIADAKEKAAQRTKEDMWVLQGLAEEFATRIESIMGEAIEKSQRDFTAKVFLSGLAFGLADDTNSICTQWRPVCSKKTGYLTELLKEQASEFRRDLSSTTKQVLNWILYNNLISPETRNILESVQQLYDMGYVVVPESDSLELPSLLFSLFELWDMSPALAQLESISMKHYIKEEDRRQDQPVTINALQIELELVQQMQERTSTPRGGLLMGLEEMYDLYATLVKENATRNLYYDRSPLFLGSQDVQIVNSNSSKSDASIYVTFFPTARYTGAVAKLVEMFNDQDWPGTINWGGIKEILDRLPQAGLEEIREVQLCSLYFRQTKQEAESSSNFTTNELLHKLIAQNQDWLSNFTNWRSRGDPLPNRRIWGGCSFQYFDECPSVGRDLSELIKGAVRICAETLCTSHSPDRHYQVGLAVLCGLLLAALLLSAGALVLELQTRSGLLQRTGKQFGVESLVQDVTHVGESSVTWPDREGALLADLTGKELVVDFSASPFDLKRVDMTEWRLQPVHAHRAQMAAVVVSSYDVSTVNPFGGLFLEYSLASNGCGLVLIRDEPRLEPELLARFSSFDGFWDYPASDAAGQYRQDWYEVERYGCNREPLEPPARGAGPSAM
+>sp|Q8N7U6|EFHB_HUMAN EF-hand domain-containing family member B OS=Homo sapiens OX=9606 GN=EFHB PE=2 SV=4
+MNMEIGHPHEGKDDLGDKRVIMGTKFPMELGIRVGLGKEDSRCGESPVVSNKCEGRMAPPETKFPLSKGLEMGLERQNISRTVMQRGSLGVDSVSASQGTKPSLLPGRMGLENESLLAGYTHERIIQPPLGRVCGSSQAAGSRRAPLASGPEGVEELVGKPAFVMEPRQEMEKESTCVLMKPNTEIKLPVEVDIGLTQAEGPDETKNTEPQMGLVIEPPQCQFAQQHEQRKEAGNIESGVEPPDRIRPIYSGKFFDRTPCWPSAGKVIPVGYRVATCLTEKLPRLITPPEAKKYFNFRYPPAGVERVFYGRANDPQIAPYLTHGIRSKISVLANTLINPQPITTFQQKIKDKKESIYLSNRRAPLGKSHDQAPGLPKGMDTTNTTFGTAVIKEYSAKDVVNPPKSYEEVFKEGNEGHDLYVVSHNDYYAGEAKNRKYNPSSFHRCSVYGVPTPHFNDGRAMAKSLYWLHELQMKRGAKFVSKRADDFKEKFQHKLGRVLDPIAETMNVPPDCTFGACLRPEEYGVGDLIHNRLPDEYLRGKDRQRALIAAVRHHLKKVNYQKFDTLLAAFRHYDKKGDGMIDKDELQEACDQANLSLDDKLLDQLFDYCDVDNDGFINYLEFANFLNWKDKMLLKEYEERVIIKGRKPDCVNPTEANVEEPEQTLLIKPEDIVLKEAGSTEKTLRTLLRPSDKVSNYYKTTSSEINAIVGAIPSTCYPICGVPTIRSDIPAPRIRRISDRTNYGEEGSAYSLLYPTIFARKGVFERDFFKTRSKEEIAEILCNIGVKLSDEEFENVWNLASKKHHRGEVCVENIRNVLDELRHADRIKCKTLM
+>DECOY_sp|Q8N7U6|EFHB_HUMAN EF-hand domain-containing family member B OS=Homo sapiens OX=9606 GN=EFHB PE=2 SV=4
+MLTKCKIRDAHRLEDLVNRINEVCVEGRHHKKSALNWVNEFEEDSLKVGINCLIEAIEEKSRTKFFDREFVGKRAFITPYLLSYASGEEGYNTRDSIRRIRPAPIDSRITPVGCIPYCTSPIAGVIANIESSTTKYYNSVKDSPRLLTRLTKETSGAEKLVIDEPKILLTQEPEEVNAETPNVCDPKRGKIIVREEYEKLLMKDKWNLFNAFELYNIFGDNDVDCYDFLQDLLKDDLSLNAQDCAEQLEDKDIMGDGKKDYHRFAALLTDFKQYNVKKLHHRVAAILARQRDKGRLYEDPLRNHILDGVGYEEPRLCAGFTCDPPVNMTEAIPDLVRGLKHQFKEKFDDARKSVFKAGRKMQLEHLWYLSKAMARGDNFHPTPVGYVSCRHFSSPNYKRNKAEGAYYDNHSVVYLDHGENGEKFVEEYSKPPNVVDKASYEKIVATGFTTNTTDMGKPLGPAQDHSKGLPARRNSLYISEKKDKIKQQFTTIPQPNILTNALVSIKSRIGHTLYPAIQPDNARGYFVREVGAPPYRFNFYKKAEPPTILRPLKETLCTAVRYGVPIVKGASPWCPTRDFFKGSYIPRIRDPPEVGSEINGAEKRQEHQQAFQCQPPEIVLGMQPETNKTEDPGEAQTLGIDVEVPLKIETNPKMLVCTSEKEMEQRPEMVFAPKGVLEEVGEPGSALPARRSGAAQSSGCVRGLPPQIIREHTYGALLSENELGMRGPLLSPKTGQSASVSDVGLSGRQMVTRSINQRELGMELGKSLPFKTEPPAMRGECKNSVVPSEGCRSDEKGLGVRIGLEMPFKTGMIVRKDGLDDKGEHPHGIEMNM
+>sp|Q5JST6|EFHC2_HUMAN EF-hand domain-containing family member C2 OS=Homo sapiens OX=9606 GN=EFHC2 PE=1 SV=2
+MALPLLPGNSFNRNVGKEKFHKSQHWGFCNNVMMLVSDEKPGIGGEPLLGQKIKPKCSIYPKGDGSDVPSWVAFDKQVLSFDAYLEEEVLDKSQTNYRIRYYKIYFYPEDDTIQVNEPEVKNSGLLQGTSIRRHRITLPPPDEDQFYTVYHFNVGTEVVFYGRTFKIYDCDAFTRNFLRKIGVKVNPPVQCPEDPYMKIRREVVEHVEPLRPYESLDTLKQFLQYHGKILCFFCLWDDSVSMFGDRRELILHYFLCDDTIEIKELLPHSSGRDALKMFLRRSKLPKNCPPRVYQPGQITDRAVLNSYGDFIKNQADGYLFDRYKLGKVDQEFYKDSDLSLGVTINVWGRKVLLYDCDEFTKSYYKSKYGIENFTSVSCKPPSPPPKIERKFPPYNGFGSEEDSLRNCIDLKPTPHRRNFKKFMEKDSYGSKSNILRFFAKLVTDKCVDLDRMFVISYYLGDDTISVFEPIERNSGIAGGMFLKRSRVKKPGQEVFKSELSEYIKAEELYIGVTVNVNGYLFRLLNADEYTLNYMEQNTDKYPFSNLKLALQKLKQEEGKSRELKQVFKAADSKHTNMVDYNTFRDILMSLTVGNLAEQEFVTIARHYRVPEGTCSDMDFLIALAHEKFKKNMFENFDTFIYSCVYEDREKKNVLPTKDIKRLCKSSRLPLSDDLLESLLSRFEDSEKQIDYKSFFSALNWRKNPVPELQPASYLKERCEDVWLGMPSPIPAKYIDYWTFLKDAFGLEEE
+>DECOY_sp|Q5JST6|EFHC2_HUMAN EF-hand domain-containing family member C2 OS=Homo sapiens OX=9606 GN=EFHC2 PE=1 SV=2
+EEELGFADKLFTWYDIYKAPIPSPMGLWVDECREKLYSAPQLEPVPNKRWNLASFFSKYDIQKESDEFRSLLSELLDDSLPLRSSKCLRKIDKTPLVNKKERDEYVCSYIFTDFNEFMNKKFKEHALAILFDMDSCTGEPVRYHRAITVFEQEALNGVTLSMLIDRFTNYDVMNTHKSDAAKFVQKLERSKGEEQKLKQLALKLNSFPYKDTNQEMYNLTYEDANLLRFLYGNVNVTVGIYLEEAKIYESLESKFVEQGPKKVRSRKLFMGGAIGSNREIPEFVSITDDGLYYSIVFMRDLDVCKDTVLKAFFRLINSKSGYSDKEMFKKFNRRHPTPKLDICNRLSDEESGFGNYPPFKREIKPPPSPPKCSVSTFNEIGYKSKYYSKTFEDCDYLLVKRGWVNITVGLSLDSDKYFEQDVKGLKYRDFLYGDAQNKIFDGYSNLVARDTIQGPQYVRPPCNKPLKSRRLFMKLADRGSSHPLLEKIEITDDCLFYHLILERRDGFMSVSDDWLCFFCLIKGHYQLFQKLTDLSEYPRLPEVHEVVERRIKMYPDEPCQVPPNVKVGIKRLFNRTFADCDYIKFTRGYFVVETGVNFHYVTYFQDEDPPPLTIRHRRISTGQLLGSNKVEPENVQITDDEPYFYIKYYRIRYNTQSKDLVEEELYADFSLVQKDFAVWSPVDSGDGKPYISCKPKIKQGLLPEGGIGPKEDSVLMMVNNCFGWHQSKHFKEKGVNRNFSNGPLLPLAM
+>sp|Q96C19|EFHD2_HUMAN EF-hand domain-containing protein D2 OS=Homo sapiens OX=9606 GN=EFHD2 PE=1 SV=1
+MATDELATKLSRRLQMEGEGGGETPEQPGLNGAAAAAAGAPDEAAEALGSADCELSAKLLRRADLNQGIGEPQSPSRRVFNPYTEFKEFSRKQIKDMEKMFKQYDAGRDGFIDLMELKLMMEKLGAPQTHLGLKNMIKEVDEDFDSKLSFREFLLIFRKAAAGELQEDSGLCVLARLSEIDVSSEGVKGAKSFFEAKVQAINVSSRFEEEIKAEQEERKKQAEEMKQRKAAFKELQSTFK
+>DECOY_sp|Q96C19|EFHD2_HUMAN EF-hand domain-containing protein D2 OS=Homo sapiens OX=9606 GN=EFHD2 PE=1 SV=1
+KFTSQLEKFAAKRQKMEEAQKKREEQEAKIEEEFRSSVNIAQVKAEFFSKAGKVGESSVDIESLRALVCLGSDEQLEGAAAKRFILLFERFSLKSDFDEDVEKIMNKLGLHTQPAGLKEMMLKLEMLDIFGDRGADYQKFMKEMDKIQKRSFEKFETYPNFVRRSPSQPEGIGQNLDARRLLKASLECDASGLAEAAEDPAGAAAAAAGNLGPQEPTEGGGEGEMQLRRSLKTALEDTAM
+>sp|Q8WVE0|EFMT1_HUMAN EEF1A lysine methyltransferase 1 OS=Homo sapiens OX=9606 GN=EEF1AKMT1 PE=1 SV=1
+MSDLEDDETPQLSAHALAALQEFYAEQKQQIEPGEDDKYNIGIIEENWQLSQFWYSQETALQLAQEAIAAVGEGGRIACVSAPSVYQKLRELCRENFSIYIFEYDKRFAMYGEEFIFYDYNNPLDLPERIAAHSFDIVIADPPYLSEECLRKTSETVKYLTRGKILLCTGAIMEEQAAELLGVKMCTFVPRHTRNLANEFRCYVNYDSGLDCGI
+>DECOY_sp|Q8WVE0|EFMT1_HUMAN EEF1A lysine methyltransferase 1 OS=Homo sapiens OX=9606 GN=EEF1AKMT1 PE=1 SV=1
+IGCDLGSDYNVYCRFENALNRTHRPVFTCMKVGLLEAAQEEMIAGTCLLIKGRTLYKVTESTKRLCEESLYPPDAIVIDFSHAAIREPLDLPNNYDYFIFEEGYMAFRKDYEFIYISFNERCLERLKQYVSPASVCAIRGGEGVAAIAEQALQLATEQSYWFQSLQWNEEIIGINYKDDEGPEIQQKQEAYFEQLAALAHASLQPTEDDELDSM
+>sp|Q15768|EFNB3_HUMAN Ephrin-B3 OS=Homo sapiens OX=9606 GN=EFNB3 PE=1 SV=1
+MGPPHSGPGGVRVGALLLLGVLGLVSGLSLEPVYWNSANKRFQAEGGYVLYPQIGDRLDLLCPRARPPGPHSSPNYEFYKLYLVGGAQGRRCEAPPAPNLLLTCDRPDLDLRFTIKFQEYSPNLWGHEFRSHHDYYIIATSDGTREGLESLQGGVCLTRGMKVLLRVGQSPRGGAVPRKPVSEMPMERDRGAAHSLEPGKENLPGDPTSNATSRGAEGPLPPPSMPAVAGAAGGLALLLLGVAGAGGAMCWRRRRAKPSESRHPGPGSFGRGGSLGLGGGGGMGPREAEPGELGIALRGGGAADPPFCPHYEKVSGDYGHPVYIVQDGPPQSPPNIYYKV
+>DECOY_sp|Q15768|EFNB3_HUMAN Ephrin-B3 OS=Homo sapiens OX=9606 GN=EFNB3 PE=1 SV=1
+VKYYINPPSQPPGDQVIYVPHGYDGSVKEYHPCFPPDAAGGGRLAIGLEGPEAERPGMGGGGGLGLSGGRGFSGPGPHRSESPKARRRRWCMAGGAGAVGLLLLALGGAAGAVAPMSPPPLPGEAGRSTANSTPDGPLNEKGPELSHAAGRDREMPMESVPKRPVAGGRPSQGVRLLVKMGRTLCVGGQLSELGERTGDSTAIIYYDHHSRFEHGWLNPSYEQFKITFRLDLDPRDCTLLLNPAPPAECRRGQAGGVLYLKYFEYNPSSHPGPPRARPCLLDLRDGIQPYLVYGGEAQFRKNASNWYVPELSLGSVLGLVGLLLLAGVRVGGPGSHPPGM
+>sp|Q9Y2G0|EFR3B_HUMAN Protein EFR3 homolog B OS=Homo sapiens OX=9606 GN=EFR3B PE=1 SV=2
+MYGVCGCCGALRPRYKRLVDNIFPEDPEDGLVKTNMEKLTFYALSAPEKLDRIGAYLSERLIRDVGRHRYGYVCIAMEALDQLLMACHCQSINLFVESFLKMVAKLLESEKPNLQILGTNSFVKFANIEEDTPSYHRSYDFFVSRFSEMCHSSHDDLEIKTKIRMSGIKGLQGVVRKTVNDELQANIWDPQHMDKIVPSLLFNLQHVEEAESRSPSPLQAPEKEKESPAELAERCLRELLGRAAFGNIKNAIKPVLIHLDNHSLWEPKVFAIRCFKIIMYSIQPQHSHLVIQQLLGHLDANSRSAATVRAGIVEVLSEAAVIAATGSVGPTVLEMFNTLLRQLRLSIDYALTGSYDGAVSLGTKIIKEHEERMFQEAVIKTVGSFASTLPTYQRSEVILFIMSKVPRPSLHQAVDTGRTGENRNRLTQIMLLKSLLQVSTGFQCNNMMSALPSNFLDRLLSTALMEDAEIRLFVLEILISFIDRHGNRHKFSTISTLSDISVLKLKVDKCSRQDTVFMKKHSQQLYRHIYLSCKEETNVQKHYEALYGLLALISIELANEEVVVDLIRLVLAVQDVAQVNEENLPVYNRCALYALGAAYLNLISQLTTVPAFCQHIHEVIETRKKEAPYMLPEDVFVERPRLSQNLDGVVIELLFRQSKISEVLGGSGYNSDRLCLPYIPQLTDEDRLSKRRSIGETISLQVEVESRNSPEKEERVPAEEITYETLKKAIVDSVAVEEQERERRRQVVEKFQKAPFEEIAAHCGARASLLQSKLNQIFEITIRPPPSPSGTITAAYGQPQNHSIPVYEMKFPDLCVY
+>DECOY_sp|Q9Y2G0|EFR3B_HUMAN Protein EFR3 homolog B OS=Homo sapiens OX=9606 GN=EFR3B PE=1 SV=2
+YVCLDPFKMEYVPISHNQPQGYAATITGSPSPPPRITIEFIQNLKSQLLSARAGCHAAIEEFPAKQFKEVVQRRREREQEEVAVSDVIAKKLTEYTIEEAPVREEKEPSNRSEVEVQLSITEGISRRKSLRDEDTLQPIYPLCLRDSNYGSGGLVESIKSQRFLLEIVVGDLNQSLRPREVFVDEPLMYPAEKKRTEIVEHIHQCFAPVTTLQSILNLYAAGLAYLACRNYVPLNEENVQAVDQVALVLRILDVVVEENALEISILALLGYLAEYHKQVNTEEKCSLYIHRYLQQSHKKMFVTDQRSCKDVKLKLVSIDSLTSITSFKHRNGHRDIFSILIELVFLRIEADEMLATSLLRDLFNSPLASMMNNCQFGTSVQLLSKLLMIQTLRNRNEGTRGTDVAQHLSPRPVKSMIFLIVESRQYTPLTSAFSGVTKIVAEQFMREEHEKIIKTGLSVAGDYSGTLAYDISLRLQRLLTNFMELVTPGVSGTAAIVAAESLVEVIGARVTAASRSNADLHGLLQQIVLHSHQPQISYMIIKFCRIAFVKPEWLSHNDLHILVPKIANKINGFAARGLLERLCREALEAPSEKEKEPAQLPSPSRSEAEEVHQLNFLLSPVIKDMHQPDWINAQLEDNVTKRVVGQLGKIGSMRIKTKIELDDHSSHCMESFRSVFFDYSRHYSPTDEEINAFKVFSNTGLIQLNPKESELLKAVMKLFSEVFLNISQCHCAMLLQDLAEMAICVYGYRHRGVDRILRESLYAGIRDLKEPASLAYFTLKEMNTKVLGDEPDEPFINDVLRKYRPRLAGCCGCVGYM
+>sp|P11161|EGR2_HUMAN E3 SUMO-protein ligase EGR2 OS=Homo sapiens OX=9606 GN=EGR2 PE=1 SV=3
+MMTAKAVDKIPVTLSGFVHQLSDNIYPVEDLAATSVTIFPNAELGGPFDQMNGVAGDGMINIDMTGEKRSLDLPYPSSFAPVSAPRNQTFTYMGKFSIDPQYPGASCYPEGIINIVSAGILQGVTSPASTTASSSVTSASPNPLATGPLGVCTMSQTQPDLDHLYSPPPPPPPYSGCAGDLYQDPSAFLSAATTSTSSSLAYPPPPSYPSPKPATDPGLFPMIPDYPGFFPSQCQRDLHGTAGPDRKPFPCPLDTLRVPPPLTPLSTIRNFTLGGPSAGVTGPGASGGSEGPRLPGSSSAAAAAAAAAAYNPHHLPLRPILRPRKYPNRPSKTPVHERPYPCPAEGCDRRFSRSDELTRHIRIHTGHKPFQCRICMRNFSRSDHLTTHIRTHTGEKPFACDYCGRKFARSDERKRHTKIHLRQKERKSSAPSASVPAPSTASCSGGVQPGGTLCSSNSSSLGGGPLAPCSSRTRTP
+>DECOY_sp|P11161|EGR2_HUMAN E3 SUMO-protein ligase EGR2 OS=Homo sapiens OX=9606 GN=EGR2 PE=1 SV=3
+PTRTRSSCPALPGGGLSSSNSSCLTGGPQVGGSCSATSPAPVSASPASSKREKQRLHIKTHRKREDSRAFKRGCYDCAFPKEGTHTRIHTTLHDSRSFNRMCIRCQFPKHGTHIRIHRTLEDSRSFRRDCGEAPCPYPREHVPTKSPRNPYKRPRLIPRLPLHHPNYAAAAAAAAAASSSGPLRPGESGGSAGPGTVGASPGGLTFNRITSLPTLPPPVRLTDLPCPFPKRDPGATGHLDRQCQSPFFGPYDPIMPFLGPDTAPKPSPYSPPPPYALSSSTSTTAASLFASPDQYLDGACGSYPPPPPPPSYLHDLDPQTQSMTCVGLPGTALPNPSASTVSSSATTSAPSTVGQLIGASVINIIGEPYCSAGPYQPDISFKGMYTFTQNRPASVPAFSSPYPLDLSRKEGTMDINIMGDGAVGNMQDFPGGLEANPFITVSTAALDEVPYINDSLQHVFGSLTVPIKDVAKATMM
+>sp|Q05215|EGR4_HUMAN Early growth response protein 4 OS=Homo sapiens OX=9606 GN=EGR4 PE=2 SV=3
+MAVARGVGSPEPAPPQLYKWGGCGLGEPGSALERRGAAARGRCGRARAPRLPDSFPRGECPKPGARAPRSVRCGEPLPPASPPPARPQAQRARPRAPHSRRRAMLHLSEFSEPDALLVKSTEGCCAEPSAELPRLPARDAPAATGYPGAGDFLSWALNSCGASGDLADSCFLEGPAPTPPPGLSYSGSFFIQAVPEHPHDPEALFNLMSGILGLAPFPGPEAAASRSPLDAPFPAGSDALLPGPPDLYSPDLGAAPFPEAFWEASPCAGAPSQCLYEPQLSPPDVKPGLRAPPASPALDAVSAFKGPYAPWELLSVGAPGNCGSQGDYQAAPEARFPVIGTKIEDLLSISCPAELPAVPANRLYPSGAYDAFPLAPGDLGEGAEGLPGLLTPPSGEGGSSGDGGEFLASTQPQLSPLGLRSAAAADFPKPLVADIPGSSGVAAPPVPPPPPTPFPQAKARRKGRRGGKCSTRCFCPRPHAKAFACPVESCVRSFARSDELNRHLRIHTGHKPFQCRICLRNFSRSDHLTTHVRTHTGEKPFACDVCGRRFARSDEKKRHSKVHLKQKARAEERLKGLGFYSLGLSFASL
+>DECOY_sp|Q05215|EGR4_HUMAN Early growth response protein 4 OS=Homo sapiens OX=9606 GN=EGR4 PE=2 SV=3
+LSAFSLGLSYFGLGKLREEARAKQKLHVKSHRKKEDSRAFRRGCVDCAFPKEGTHTRVHTTLHDSRSFNRLCIRCQFPKHGTHIRLHRNLEDSRAFSRVCSEVPCAFAKAHPRPCFCRTSCKGGRRGKRRAKAQPFPTPPPPPVPPAAVGSSGPIDAVLPKPFDAAAASRLGLPSLQPQTSALFEGGDGSSGGEGSPPTLLGPLGEAGEGLDGPALPFADYAGSPYLRNAPVAPLEAPCSISLLDEIKTGIVPFRAEPAAQYDGQSGCNGPAGVSLLEWPAYPGKFASVADLAPSAPPARLGPKVDPPSLQPEYLCQSPAGACPSAEWFAEPFPAAGLDPSYLDPPGPLLADSGAPFPADLPSRSAAAEPGPFPALGLIGSMLNFLAEPDHPHEPVAQIFFSGSYSLGPPPTPAPGELFCSDALDGSAGCSNLAWSLFDGAGPYGTAAPADRAPLRPLEASPEACCGETSKVLLADPESFESLHLMARRRSHPARPRARQAQPRAPPPSAPPLPEGCRVSRPARAGPKPCEGRPFSDPLRPARARGCRGRAAAGRRELASGPEGLGCGGWKYLQPPAPEPSGVGRAVAM
+>sp|Q9NZN4|EHD2_HUMAN EH domain-containing protein 2 OS=Homo sapiens OX=9606 GN=EHD2 PE=1 SV=2
+MFSWLKRGGARGQQPEAIRTVTSALKELYRTKLLPLEEHYRFGAFHSPALEDADFDGKPMVLVAGQYSTGKTSFIQYLLEQEVPGSRVGPEPTTDCFVAVMHGDTEGTVPGNALVVDPDKPFRKLNPFGNTFLNRFMCAQLPNQVLESISIIDTPGILSGAKQRVSRGYDFPAVLRWFAERVDLIILLFDAHKLEISDEFSEAIGALRGHEDKIRVVLNKADMVETQQLMRVYGALMWALGKVVGTPEVLRVYIGSFWSQPLLVPDNRRLFELEEQDLFRDIQGLPRHAALRKLNDLVKRARLVRVHAYIISYLKKEMPSVFGKENKKKQLILKLPVIFAKIQLEHHISPGDFPDCQKMQELLMAHDFTKFHSLKPKLLEALDEMLTHDIAKLMPLLRQEELESTEVGVQGGAFEGTHMGPFVERGPDEAMEDGEEGSDDEAEWVVTKDKSKYDEIFYNLAPADGKLSGSKAKTWMVGTKLPNSVLGRIWKLSDVDRDGMLDDEEFALASHLIEAKLEGHGLPANLPRRLVPPSKRRHKGSAE
+>DECOY_sp|Q9NZN4|EHD2_HUMAN EH domain-containing protein 2 OS=Homo sapiens OX=9606 GN=EHD2 PE=1 SV=2
+EASGKHRRKSPPVLRRPLNAPLGHGELKAEILHSALAFEEDDLMGDRDVDSLKWIRGLVSNPLKTGVMWTKAKSGSLKGDAPALNYFIEDYKSKDKTVVWEAEDDSGEEGDEMAEDPGREVFPGMHTGEFAGGQVGVETSELEEQRLLPMLKAIDHTLMEDLAELLKPKLSHFKTFDHAMLLEQMKQCDPFDGPSIHHELQIKAFIVPLKLILQKKKNEKGFVSPMEKKLYSIIYAHVRVLRARKVLDNLKRLAAHRPLGQIDRFLDQEELEFLRRNDPVLLPQSWFSGIYVRLVEPTGVVKGLAWMLAGYVRMLQQTEVMDAKNLVVRIKDEHGRLAGIAESFEDSIELKHADFLLIILDVREAFWRLVAPFDYGRSVRQKAGSLIGPTDIISISELVQNPLQACMFRNLFTNGFPNLKRFPKDPDVVLANGPVTGETDGHMVAVFCDTTPEPGVRSGPVEQELLYQIFSTKGTSYQGAVLVMPKGDFDADELAPSHFAGFRYHEELPLLKTRYLEKLASTVTRIAEPQQGRAGGRKLWSFM
+>sp|P49770|EI2BB_HUMAN Translation initiation factor eIF-2B subunit beta OS=Homo sapiens OX=9606 GN=EIF2B2 PE=1 SV=3
+MPGSAAKGSELSERIESFVETLKRGGGPRSSEEMARETLGLLRQIITDHRWSNAGELMELIRREGRRMTAAQPSETTVGNMVRRVLKIIREEYGRLHGRSDESDQQESLHKLLTSGGLNEDFSFHYAQLQSNIIEAINELLVELEGTMENIAAQALEHIHSNEVIMTIGFSRTVEAFLKEAARKRKFHVIVAECAPFCQGHEMAVNLSKAGIETTVMTDAAIFAVMSRVNKVIIGTKTILANGALRAVTGTHTLALAAKHHSTPLIVCAPMFKLSPQFPNEEDSFHKFVAPEEVLPFTEGDILEKVSVHCPVFDYVPPELITLFISNIGGNAPSYIYRLMSELYHPDDHVL
+>DECOY_sp|P49770|EI2BB_HUMAN Translation initiation factor eIF-2B subunit beta OS=Homo sapiens OX=9606 GN=EIF2B2 PE=1 SV=3
+LVHDDPHYLESMLRYIYSPANGGINSIFLTILEPPVYDFVPCHVSVKELIDGETFPLVEEPAVFKHFSDEENPFQPSLKFMPACVILPTSHHKAALALTHTGTVARLAGNALITKTGIIVKNVRSMVAFIAADTMVTTEIGAKSLNVAMEHGQCFPACEAVIVHFKRKRAAEKLFAEVTRSFGITMIVENSHIHELAQAAINEMTGELEVLLENIAEIINSQLQAYHFSFDENLGGSTLLKHLSEQQDSEDSRGHLRGYEERIIKLVRRVMNGVTTESPQAATMRRGERRILEMLEGANSWRHDTIIQRLLGLTERAMEESSRPGGGRKLTEVFSEIRESLESGKAASGPM
+>sp|Q9UBQ5|EIF3K_HUMAN Eukaryotic translation initiation factor 3 subunit K OS=Homo sapiens OX=9606 GN=EIF3K PE=1 SV=1
+MAMFEQMRANVGKLLKGIDRYNPENLATLERYVETQAKENAYDLEANLAVLKLYQFNPAFFQTTVTAQILLKALTNLPHTDFTLCKCMIDQAHQEERPIRQILYLGDLLETCHFQAFWQALDENMDLLEGITGFEDSVRKFICHVVGITYQHIDRWLLAEMLGDLSDSQLKVWMSKYGWSADESGQIFICSQEESIKPKNIVEKIDFDSVSSIMASSQ
+>DECOY_sp|Q9UBQ5|EIF3K_HUMAN Eukaryotic translation initiation factor 3 subunit K OS=Homo sapiens OX=9606 GN=EIF3K PE=1 SV=1
+QSSAMISSVSDFDIKEVINKPKISEEQSCIFIQGSEDASWGYKSMWVKLQSDSLDGLMEALLWRDIHQYTIGVVHCIFKRVSDEFGTIGELLDMNEDLAQWFAQFHCTELLDGLYLIQRIPREEQHAQDIMCKCLTFDTHPLNTLAKLLIQATVTTQFFAPNFQYLKLVALNAELDYANEKAQTEVYRELTALNEPNYRDIGKLLKGVNARMQEFMAM
+>sp|P19419|ELK1_HUMAN ETS domain-containing protein Elk-1 OS=Homo sapiens OX=9606 GN=ELK1 PE=1 SV=2
+MDPSVTLWQFLLQLLREQGNGHIISWTSRDGGEFKLVDAEEVARLWGLRKNKTNMNYDKLSRALRYYYDKNIIRKVSGQKFVYKFVSYPEVAGCSTEDCPPQPEVSVTSTMPNVAPAAIHAAPGDTVSGKPGTPKGAGMAGPGGLARSSRNEYMRSGLYSTFTIQSLQPQPPPHPRPAVVLPSAAPAGAAAPPSGSRSTSPSPLEACLEAEEAGLPLQVILTPPEAPNLKSEELNVEPGLGRALPPEVKVEGPKEELEVAGERGFVPETTKAEPEVPPQEGVPARLPAVVMDTAGQAGGHAASSPEISQPQKGRKPRDLELPLSPSLLGGPGPERTPGSGSGSGLQAPGPALTPSLLPTHTLTPVLLTPSSLPPSIHFWSTLSPIAPRSPAKLSFQFPSSGSAQVHIPSISVDGLSTPVVLSPGPQKP
+>DECOY_sp|P19419|ELK1_HUMAN ETS domain-containing protein Elk-1 OS=Homo sapiens OX=9606 GN=ELK1 PE=1 SV=2
+PKQPGPSLVVPTSLGDVSISPIHVQASGSSPFQFSLKAPSRPAIPSLTSWFHISPPLSSPTLLVPTLTHTPLLSPTLAPGPAQLGSGSGSGPTREPGPGGLLSPSLPLELDRPKRGKQPQSIEPSSAAHGGAQGATDMVVAPLRAPVGEQPPVEPEAKTTEPVFGREGAVELEEKPGEVKVEPPLARGLGPEVNLEESKLNPAEPPTLIVQLPLGAEEAELCAELPSPSTSRSGSPPAAAGAPAASPLVVAPRPHPPPQPQLSQITFTSYLGSRMYENRSSRALGGPGAMGAGKPTGPKGSVTDGPAAHIAAPAVNPMTSTVSVEPQPPCDETSCGAVEPYSVFKYVFKQGSVKRIINKDYYYRLARSLKDYNMNTKNKRLGWLRAVEEADVLKFEGGDRSTWSIIHGNGQERLLQLLFQWLTVSPDM
+>sp|Q9BW60|ELOV1_HUMAN Elongation of very long chain fatty acids protein 1 OS=Homo sapiens OX=9606 GN=ELOVL1 PE=1 SV=1
+MEAVVNLYQEVMKHADPRIQGYPLMGSPLLMTSILLTYVYFVLSLGPRIMANRKPFQLRGFMIVYNFSLVALSLYIVYEFLMSGWLSTYTWRCDPVDYSNSPEALRMVRVAWLFLFSKFIELMDTVIFILRKKDGQVTFLHVFHHSVLPWSWWWGVKIAPGGMGSFHAMINSSVHVIMYLYYGLSAFGPVAQPYLWWKKHMTAIQLIQFVLVSLHISQYYFMSSCNYQYPVIIHLIWMYGTIFFMLFSNFWYHSYTKGKRLPRALQQNGAPGIAKVKAN
+>DECOY_sp|Q9BW60|ELOV1_HUMAN Elongation of very long chain fatty acids protein 1 OS=Homo sapiens OX=9606 GN=ELOVL1 PE=1 SV=1
+NAKVKAIGPAGNQQLARPLRKGKTYSHYWFNSFLMFFITGYMWILHIIVPYQYNCSSMFYYQSIHLSVLVFQILQIATMHKKWWLYPQAVPGFASLGYYLYMIVHVSSNIMAHFSGMGGPAIKVGWWWSWPLVSHHFVHLFTVQGDKKRLIFIVTDMLEIFKSFLFLWAVRVMRLAEPSNSYDVPDCRWTYTSLWGSMLFEYVIYLSLAVLSFNYVIMFGRLQFPKRNAMIRPGLSLVFYVYTLLISTMLLPSGMLPYGQIRPDAHKMVEQYLNVVAEM
+>sp|Q9H5J4|ELOV6_HUMAN Elongation of very long chain fatty acids protein 6 OS=Homo sapiens OX=9606 GN=ELOVL6 PE=1 SV=1
+MNMSVLTLQEYEFEKQFNENEAIQWMQENWKKSFLFSALYAAFIFGGRHLMNKRAKFELRKPLVLWSLTLAVFSIFGALRTGAYMVYILMTKGLKQSVCDQGFYNGPVSKFWAYAFVLSKAPELGDTIFIILRKQKLIFLHWYHHITVLLYSWYSYKDMVAGGGWFMTMNYGVHAVMYSYYALRAAGFRVSRKFAMFITLSQITQMLMGCVVNYLVFCWMQHDQCHSHFQNIFWSSLMYLSYLVLFCHFFFEAYIGKMRKTTKAE
+>DECOY_sp|Q9H5J4|ELOV6_HUMAN Elongation of very long chain fatty acids protein 6 OS=Homo sapiens OX=9606 GN=ELOVL6 PE=1 SV=1
+EAKTTKRMKGIYAEFFFHCFLVLYSLYMLSSWFINQFHSHCQDHQMWCFVLYNVVCGMLMQTIQSLTIFMAFKRSVRFGAARLAYYSYMVAHVGYNMTMFWGGGAVMDKYSYWSYLLVTIHHYWHLFILKQKRLIIFITDGLEPAKSLVFAYAWFKSVPGNYFGQDCVSQKLGKTMLIYVMYAGTRLAGFISFVALTLSWLVLPKRLEFKARKNMLHRGGFIFAAYLASFLFSKKWNEQMWQIAENENFQKEFEYEQLTLVSMNM
+>sp|Q6IA86|ELP2_HUMAN Elongator complex protein 2 OS=Homo sapiens OX=9606 GN=ELP2 PE=1 SV=2
+MVAPVLETSHVFCCPNRVRGVLNWSSGPRGLLAFGTSCSVVLYDPLKRVVVTNLNGHTARVNCIQWICKQDGSPSTELVSGGSDNQVIHWEIEDNQLLKAVHLQGHEGPVYAVHAVYQRRTSDPALCTLIVSAAADSAVRLWSKKGPEVMCLQTLNFGNGFALALCLSFLPNTDVPILACGNDDCRIHIFAQQNDQFQKVLSLCGHEDWIRGVEWAAFGRDLFLASCSQDCLIRIWKLYIKSTSLETQDDDNIRLKENTFTIENESVKIAFAVTLETVLAGHENWVNAVHWQPVFYKDGVLQQPVRLLSASMDKTMILWAPDEESGVWLEQVRVGEVGGNTLGFYDCQFNEDGSMIIAHAFHGALHLWKQNTVNPREWTPEIVISGHFDGVQDLVWDPEGEFIITVGTDQTTRLFAPWKRKDQSQVTWHEIARPQIHGYDLKCLAMINRFQFVSGADEKVLRVFSAPRNFVENFCAITGQSLNHVLCNQDSDLPEGATVPALGLSNKAVFQGDIASQPSDEEELLTSTGFEYQQVAFQPSILTEPPTEDHLLQNTLWPEVQKLYGHGYEIFCVTCNSSKTLLASACKAAKKEHAAIILWNTTSWKQVQNLVFHSLTVTQMAFSPNEKFLLAVSRDRTWSLWKKQDTISPEFEPVFSLFAFTNKITSVHSRIIWSCDWSPDSKYFFTGSRDKKVVVWGECDSTDDCIEHNIGPCSSVLDVGGAVTAVSVCPVLHPSQRYVVAVGLECGKICLYTWKKTDQVPEINDWTHCVETSQSQSHTLAIRKLCWKNCSGKTEQKEAEGAEWLHFASCGEDHTVKIHRVNKCAL
+>DECOY_sp|Q6IA86|ELP2_HUMAN Elongator complex protein 2 OS=Homo sapiens OX=9606 GN=ELP2 PE=1 SV=2
+LACKNVRHIKVTHDEGCSAFHLWEAGEAEKQETKGSCNKWCLKRIALTHSQSQSTEVCHTWDNIEPVQDTKKWTYLCIKGCELGVAVVYRQSPHLVPCVSVATVAGGVDLVSSCPGINHEICDDTSDCEGWVVVKKDRSGTFFYKSDPSWDCSWIIRSHVSTIKNTFAFLSFVPEFEPSITDQKKWLSWTRDRSVALLFKENPSFAMQTVTLSHFVLNQVQKWSTTNWLIIAAHEKKAAKCASALLTKSSNCTVCFIEYGHGYLKQVEPWLTNQLLHDETPPETLISPQFAVQQYEFGTSTLLEEEDSPQSAIDGQFVAKNSLGLAPVTAGEPLDSDQNCLVHNLSQGTIACFNEVFNRPASFVRLVKEDAGSVFQFRNIMALCKLDYGHIQPRAIEHWTVQSQDKRKWPAFLRTTQDTGVTIIFEGEPDWVLDQVGDFHGSIVIEPTWERPNVTNQKWLHLAGHFAHAIIMSGDENFQCDYFGLTNGGVEGVRVQELWVGSEEDPAWLIMTKDMSASLLRVPQQLVGDKYFVPQWHVANVWNEHGALVTELTVAFAIKVSENEITFTNEKLRINDDDQTELSTSKIYLKWIRILCDQSCSALFLDRGFAAWEVGRIWDEHGCLSLVKQFQDNQQAFIHIRCDDNGCALIPVDTNPLFSLCLALAFGNGFNLTQLCMVEPGKKSWLRVASDAAASVILTCLAPDSTRRQYVAHVAYVPGEHGQLHVAKLLQNDEIEWHIVQNDSGGSVLETSPSGDQKCIWQICNVRATHGNLNTVVVRKLPDYLVVSCSTGFALLGRPGSSWNLVGRVRNPCCFVHSTELVPAVM
+>sp|Q0PNE2|ELP6_HUMAN Elongator complex protein 6 OS=Homo sapiens OX=9606 GN=ELP6 PE=1 SV=1
+MFVELNNLLNTTPDRAEQGKLTLLCDAKTDGSFLVHHFLSFYLKANCKVCFVALIQSFSHYSIVGQKLGVSLTMARERGQLVFLEGLKSAVDVVFQAQKEPHPLQFLREANAGNLKPLFEFVREALKPVDSGEARWTYPVLLVDDLSVLLSLGMGAVAVLDFIHYCRATVCWELKGNMVVLVHDSGDAEDEENDILLNGLSHQSHLILRAEGLATGFCRDVHGQLRILWRRPSQPAVHRDQSFTYQYKIQDKSVSFFAKGMSPAVL
+>DECOY_sp|Q0PNE2|ELP6_HUMAN Elongator complex protein 6 OS=Homo sapiens OX=9606 GN=ELP6 PE=1 SV=1
+LVAPSMGKAFFSVSKDQIKYQYTFSQDRHVAPQSPRRWLIRLQGHVDRCFGTALGEARLILHSQHSLGNLLIDNEEDEADGSDHVLVVMNGKLEWCVTARCYHIFDLVAVAGMGLSLLVSLDDVLLVPYTWRAEGSDVPKLAERVFEFLPKLNGANAERLFQLPHPEKQAQFVVDVASKLGELFVLQGRERAMTLSVGLKQGVISYHSFSQILAVFCVKCNAKLYFSLFHHVLFSGDTKADCLLTLKGQEARDPTTNLLNNLEVFM
+>sp|Q00013|EM55_HUMAN 55 kDa erythrocyte membrane protein OS=Homo sapiens OX=9606 GN=MPP1 PE=1 SV=2
+MTLKASEGESGGSMHTALSDLYLEHLLQKRSRPEAVSHPLNTVTEDMYTNGSPAPGSPAQVKGQEVRKVRLIQFEKVTEEPMGITLKLNEKQSCTVARILHGGMIHRQGSLHVGDEILEINGTNVTNHSVDQLQKAMKETKGMISLKVIPNQQSRLPALQMFMRAQFDYDPKKDNLIPCKEAGLKFATGDIIQIINKDDSNWWQGRVEGSSKESAGLIPSPELQEWRVASMAQSAPSEAPSCSPFGKKKKYKDKYLAKHSSIFDQLDVVSYEEVVRLPAFKRKTLVLIGASGVGRSHIKNALLSQNPEKFVYPVPYTTRPPRKSEEDGKEYHFISTEEMTRNISANEFLEFGSYQGNMFGTKFETVHQIHKQNKIAILDIEPQTLKIVRTAELSPFIVFIAPTDQGTQTEALQQLQKDSEAIRSQYAHYFDLSLVNNGVDETLKKLQEAFDQACSSPQWVPVSWVY
+>DECOY_sp|Q00013|EM55_HUMAN 55 kDa erythrocyte membrane protein OS=Homo sapiens OX=9606 GN=MPP1 PE=1 SV=2
+YVWSVPVWQPSSCAQDFAEQLKKLTEDVGNNVLSLDFYHAYQSRIAESDKQLQQLAETQTGQDTPAIFVIFPSLEATRVIKLTQPEIDLIAIKNQKHIQHVTEFKTGFMNGQYSGFELFENASINRTMEETSIFHYEKGDEESKRPPRTTYPVPYVFKEPNQSLLANKIHSRGVGSAGILVLTKRKFAPLRVVEEYSVVDLQDFISSHKALYKDKYKKKKGFPSCSPAESPASQAMSAVRWEQLEPSPILGASEKSSGEVRGQWWNSDDKNIIQIIDGTAFKLGAEKCPILNDKKPDYDFQARMFMQLAPLRSQQNPIVKLSIMGKTEKMAKQLQDVSHNTVNTGNIELIEDGVHLSGQRHIMGGHLIRAVTCSQKENLKLTIGMPEETVKEFQILRVKRVEQGKVQAPSGPAPSGNTYMDETVTNLPHSVAEPRSRKQLLHELYLDSLATHMSGGSEGESAKLTM
+>sp|Q05BV3|EMAL5_HUMAN Echinoderm microtubule-associated protein-like 5 OS=Homo sapiens OX=9606 GN=EML5 PE=2 SV=3
+MAARSAPSCHLRLEWVYGYRGHQCRNNLYYTAAKEIVYFVAGVGVVYSPREHRQKFYRGHSDDIISLALHPERVLVATGQVGKEPYICIWDSYTVQTISVLKDVHTHGIACLAFDLDGQRLVSVGLDSKNAVCVWDWKRGKMLSMAPGHTDRIFDISWDLYQPNKLVSCGVKHIKFWSLCGNALTPKRGVFGKTGDLQTILCLACARDELTYSGALNGDIYVWKGINLIRTIQGAHAAGIFSMNACEEGFATGGRDGCIRLWDLTFKPITVIDLRETDQGYKGLSVRSVCWRGDHILVGTQDSEIFEIVVQERNKPFLIMQGHCEGELWALAVHPTKPLAVTGSDDRSVRIWSLVDHALIARCNMEEPIRCAAVNADGIHLALGMKDGSFTVLRVRDMTEVVHIKDRKEAIHELKYSPDGTYLAVGCNDSSVDIYGVAQRYKKVGECLGSLSFITHLDWSSDSRYLQTNDGNGKRLFYRMPGGKEVTSTEEIKGVHWASWTCVSGLEVNGIWPKYSDINDINSVDGNYIGQVLVTADDYGIIKLFRYPCLRKGAKFRKYIGHSAHVTNVRWSHDYQWVISIGGADHSVFQWKFIPERKLKDAVHIAPQESLADSHSDESDSDLSDVPELDSEIEQETQLTYRRQVYKEDLPQLKEQCKEKQKSATSKRRERAPGNSIRLHFVHGYRGYDCRSNLFYTQIGEIVYHVAAVGVIYNRQQNTQRFYLGHDDDILCLTIHPLKDYVATGQVGRDPSIHIWDTETIKPLSILKGHHQYGVSAVDFSADGKRLASVGIDDSHTVVLWDWKKGEKLSIARGSKDKIFVVKMNPYVPDKLITAGIKHMKFWRKAGGGLIGRKGYIGTLGKNDTMMCAVYGWTEEMAFSGTSTGDVCIWRDIFLVKTVKAHDGPVFSMHALEKGFVTGGKDGIVALWDDSFERCLKTYAIKRAALAPGSKGLLLEDNPSIRAISLGHGHILVGTKNGEILEVDKSGPITLLVQGHMEGEVWGLATHPYLPICATVSDDKTLRIWDLSPSHCMLAVRKLKKGGRCCCFSPDGKALAVGLNDGSFLMANADTLEDLVSFHHRKDMISDIRFSPGSGKYLAVASHDSFIDIYNVMSSKRVGICKGATSYITHIDWDIRGKLLQVNTGAKEQLFFEAPRGKKQTIPSVEVEKIAWASWTSVLGLCCEGIWPVIGEVTDVTASCLTSDKMVLATGDDLGFVKLFRYPTKGKFGKFKRYVAHSTHVTNVRWTYDDSMLVTLGGTDMSLMVWTNEMEGYREKRPCDSEESDIDSEEDGGYDSDVTRENEISYTIRALSTNIRPMLGIKPHLQQKEPSIDERPPVSRAPPQPEKLQTNNVGKKKRPIEDLVLELIFGYRGRDCRNNVHYLNDGDDIIYHTASVGILHNVATGSQSFYQEHNDDILCLTVNQHPKFINIVATGQVGDSADMSATAPSIHIWDAMNKQTLSILRCYHSKGVCSVSFSATGKLLLSVGLDPEHTITIWRWQEGAKIASRAGHNQRIFVAEFRPDSDTQFVSVGVKHVKFWTLAGRALLSKKGLLSTLEDARMQTMLAIAFGANNLTFTGTISGDVCVWKDHILCRIVARAHNGPVFAMYTTLRDGLIVTGGKERPSKEGGAVKLWDQELRRCRAFRLETGQATDCVRSVCRGKGKILVGTRNAEIIEVGEKNAACNILVNGHVDGPIWGLATHPSRDFFLSAAEDGTVRLWDIADKKMLNKVNLGHAARTVCYSPEGDMVAIGMKNGEFIILLVSSLKIWGKKRDRRCAIHDIRFSPDSRYLAVGSSENSVDFYDLTLGPTLNRISYCKDIPSFVIQMDFSADSSYLQVSSGCYKRHVYEVPSGKHLMDHAAIDRITWATWTSILGDEVLGIWSRHAEKADVNCACVSHSGISLVTGDDFGMVKLFDFPCPEKFAKHKRFLGHSPHVTNIRFTSGDRHVVSAGGDDCSLFVWKCVHTPH
+>DECOY_sp|Q05BV3|EMAL5_HUMAN Echinoderm microtubule-associated protein-like 5 OS=Homo sapiens OX=9606 GN=EML5 PE=2 SV=3
+HPTHVCKWVFLSCDDGGASVVHRDGSTFRINTVHPSHGLFRKHKAFKEPCPFDFLKVMGFDDGTVLSIGSHSVCACNVDAKEAHRSWIGLVEDGLISTWTAWTIRDIAAHDMLHKGSPVEYVHRKYCGSSVQLYSSDASFDMQIVFSPIDKCYSIRNLTPGLTLDYFDVSNESSGVALYRSDPSFRIDHIACRRDRKKGWIKLSSVLLIIFEGNKMGIAVMDGEPSYCVTRAAHGLNVKNLMKKDAIDWLRVTGDEAASLFFDRSPHTALGWIPGDVHGNVLINCAANKEGVEIIEANRTGVLIKGKGRCVSRVCDTAQGTELRFARCRRLEQDWLKVAGGEKSPREKGGTVILGDRLTTYMAFVPGNHARAVIRCLIHDKWVCVDGSITGTFTLNNAGFAIALMTQMRADELTSLLGKKSLLARGALTWFKVHKVGVSVFQTDSDPRFEAVFIRQNHGARSAIKAGEQWRWITITHEPDLGVSLLLKGTASFSVSCVGKSHYCRLISLTQKNMADWIHISPATASMDASDGVQGTAVINIFKPHQNVTLCLIDDNHEQYFSQSGTAVNHLIGVSATHYIIDDGDNLYHVNNRCDRGRYGFILELVLDEIPRKKKGVNNTQLKEPQPPARSVPPREDISPEKQQLHPKIGLMPRINTSLARITYSIENERTVDSDYGGDEESDIDSEESDCPRKERYGEMENTWVMLSMDTGGLTVLMSDDYTWRVNTVHTSHAVYRKFKGFKGKTPYRFLKVFGLDDGTALVMKDSTLCSATVDTVEGIVPWIGECCLGLVSTWSAWAIKEVEVSPITQKKGRPAEFFLQEKAGTNVQLLKGRIDWDIHTIYSTAGKCIGVRKSSMVNYIDIFSDHSAVALYKGSGPSFRIDSIMDKRHHFSVLDELTDANAMLFSGDNLGVALAKGDPSFCCCRGGKKLKRVALMCHSPSLDWIRLTKDDSVTACIPLYPHTALGWVEGEMHGQVLLTIPGSKDVELIEGNKTGVLIHGHGLSIARISPNDELLLGKSGPALAARKIAYTKLCREFSDDWLAVIGDKGGTVFGKELAHMSFVPGDHAKVTKVLFIDRWICVDGTSTGSFAMEETWGYVACMMTDNKGLTGIYGKRGILGGGAKRWFKMHKIGATILKDPVYPNMKVVFIKDKSGRAISLKEGKKWDWLVVTHSDDIGVSALRKGDASFDVASVGYQHHGKLISLPKITETDWIHISPDRGVQGTAVYDKLPHITLCLIDDDHGLYFRQTNQQRNYIVGVAAVHYVIEGIQTYFLNSRCDYGRYGHVFHLRISNGPARERRKSTASKQKEKCQEKLQPLDEKYVQRRYTLQTEQEIESDLEPVDSLDSDSEDSHSDALSEQPAIHVADKLKREPIFKWQFVSHDAGGISIVWQYDHSWRVNTVHASHGIYKRFKAGKRLCPYRFLKIIGYDDATVLVQGIYNGDVSNIDNIDSYKPWIGNVELGSVCTWSAWHVGKIEETSTVEKGGPMRYFLRKGNGDNTQLYRSDSSWDLHTIFSLSGLCEGVKKYRQAVGYIDVSSDNCGVALYTGDPSYKLEHIAEKRDKIHVVETMDRVRLVTFSGDKMGLALHIGDANVAACRIPEEMNCRAILAHDVLSWIRVSRDDSGTVALPKTPHVALAWLEGECHGQMILFPKNREQVVIEFIESDQTGVLIHDGRWCVSRVSLGKYGQDTERLDIVTIPKFTLDWLRICGDRGGTAFGEECANMSFIGAAHAGQITRILNIGKWVYIDGNLAGSYTLEDRACALCLITQLDGTKGFVGRKPTLANGCLSWFKIHKVGCSVLKNPQYLDWSIDFIRDTHGPAMSLMKGRKWDWVCVANKSDLGVSVLRQGDLDFALCAIGHTHVDKLVSITQVTYSDWICIYPEKGVQGTAVLVREPHLALSIIDDSHGRYFKQRHERPSYVVGVGAVFYVIEKAATYYLNNRCQHGRYGYVWELRLHCSPASRAAM
+>sp|Q6PCB8|EMB_HUMAN Embigin OS=Homo sapiens OX=9606 GN=EMB PE=1 SV=1
+MRALPGLLEARARTPRLLLLQCLLAAARPSSADGSAPDSPFTSPPLREEIMANNFSLESHNISLTEHSSMPVEKNITLERPSNVNLTCQFTTSGDLNAVNVTWKKDGEQLENNYLVSATGSTLYTQYRFTIINSKQMGSYSCFFREEKEQRGTFNFKVPELHGKNKPLISYVGDSTVLTCKCQNCFPLNWTWYSSNGSVKVPVGVQMNKYVINGTYANETKLKITQLLEEDGESYWCRALFQLGESEEHIELVVLSYLVPLKPFLVIVAEVILLVATILLCEKYTQKKKKHSDEGKEFEQIEQLKSDDSNGIENNVPRHRKNESLGQ
+>DECOY_sp|Q6PCB8|EMB_HUMAN Embigin OS=Homo sapiens OX=9606 GN=EMB PE=1 SV=1
+QGLSENKRHRPVNNEIGNSDDSKLQEIQEFEKGEDSHKKKKQTYKECLLITAVLLIVEAVIVLFPKLPVLYSLVVLEIHEESEGLQFLARCWYSEGDEELLQTIKLKTENAYTGNIVYKNMQVGVPVKVSGNSSYWTWNLPFCNQCKCTLVTSDGVYSILPKNKGHLEPVKFNFTGRQEKEERFFCSYSGMQKSNIITFRYQTYLTSGTASVLYNNELQEGDKKWTVNVANLDGSTTFQCTLNVNSPRELTINKEVPMSSHETLSINHSELSFNNAMIEERLPPSTFPSDPASGDASSPRAAALLCQLLLLRPTRARAELLGPLARM
+>sp|Q15006|EMC2_HUMAN ER membrane protein complex subunit 2 OS=Homo sapiens OX=9606 GN=EMC2 PE=1 SV=1
+MAKVSELYDVTWEEMRDKMRKWREENSRNSEQIVEVGEELINEYASKLGDDIWIIYEQVMIAALDYGRDDLALFCLQELRRQFPGSHRVKRLTGMRFEAMERYDDAIQLYDRILQEDPTNTAARKRKIAIRKAQGKNVEAIRELNEYLEQFVGDQEAWHELAELYINEHDYAKAAFCLEELMMTNPHNHLYCQQYAEVKYTQGGLENLELSRKYFAQALKLNNRNMRALFGLYMSASHIASNPKASAKTKKDNMKYASWAASQINRAYQFAGRSKKETKYSLKAVEDMLETLQITQS
+>DECOY_sp|Q15006|EMC2_HUMAN ER membrane protein complex subunit 2 OS=Homo sapiens OX=9606 GN=EMC2 PE=1 SV=1
+SQTIQLTELMDEVAKLSYKTEKKSRGAFQYARNIQSAAWSAYKMNDKKTKASAKPNSAIHSASMYLGFLARMNRNNLKLAQAFYKRSLELNELGGQTYKVEAYQQCYLHNHPNTMMLEELCFAAKAYDHENIYLEALEHWAEQDGVFQELYENLERIAEVNKGQAKRIAIKRKRAATNTPDEQLIRDYLQIADDYREMAEFRMGTLRKVRHSGPFQRRLEQLCFLALDDRGYDLAAIMVQEYIIWIDDGLKSAYENILEEGVEVIQESNRSNEERWKRMKDRMEEWTVDYLESVKAM
+>sp|Q9BV81|EMC6_HUMAN ER membrane protein complex subunit 6 OS=Homo sapiens OX=9606 GN=EMC6 PE=1 SV=1
+MAAVVAKREGPPFISEAAVRGNAAVLDYCRTSVSALSGATAGILGLTGLYGFIFYLLASVLLSLLLILKAGRRWNKYFKSRRPLFTGGLIGGLFTYVLFWTFLYGMVHVY
+>DECOY_sp|Q9BV81|EMC6_HUMAN ER membrane protein complex subunit 6 OS=Homo sapiens OX=9606 GN=EMC6 PE=1 SV=1
+YVHVMGYLFTWFLVYTFLGGILGGTFLPRRSKFYKNWRRGAKLILLLSLLVSALLYFIFGYLGTLGLIGATAGSLASVSTRCYDLVAANGRVAAESIFPPGERKAVVAAM
+>sp|Q9NPA0|EMC7_HUMAN ER membrane protein complex subunit 7 OS=Homo sapiens OX=9606 GN=EMC7 PE=1 SV=1
+MAAALWGFFPVLLLLLLSGDVQSSEVPGAAAEGSGGSGVGIGDRFKIEGRAVVPGVKPQDWISAARVLVDGEEHVGFLKTDGSFVVHDIPSGSYVVEVVSPAYRFDPVRVDITSKGKMRARYVNYIKTSEVVRLPYPLQMKSSGPPSYFIKRESWGWTDFLMNPMVMMMVLPLLIFVLLPKVVNTSDPDMRREMEQSMNMLNSNHELPDVSEFMTRLFSSKSSGKSSSGSSKTGKSGAGKRR
+>DECOY_sp|Q9NPA0|EMC7_HUMAN ER membrane protein complex subunit 7 OS=Homo sapiens OX=9606 GN=EMC7 PE=1 SV=1
+RRKGAGSKGTKSSGSSSKGSSKSSFLRTMFESVDPLEHNSNLMNMSQEMERRMDPDSTNVVKPLLVFILLPLVMMMVMPNMLFDTWGWSERKIFYSPPGSSKMQLPYPLRVVESTKIYNVYRARMKGKSTIDVRVPDFRYAPSVVEVVYSGSPIDHVVFSGDTKLFGVHEEGDVLVRAASIWDQPKVGPVVARGEIKFRDGIGVGSGGSGEAAAGPVESSQVDGSLLLLLLVPFFGWLAAAM
+>sp|Q8NFI3|ENASE_HUMAN Cytosolic endo-beta-N-acetylglucosaminidase OS=Homo sapiens OX=9606 GN=ENGASE PE=1 SV=1
+MEAAAVTVTRSATRRRRRQLQGLAAPEAGTQEEQEDQEPRPRRRRPGRSIKDEEEETVFREVVSFSPDPLPVRYYDKDTTKPISFYLSSLEELLAWKPRLEDGFNVALEPLACRQPPLSSQRPRTLLCHDMMGGYLDDRFIQGSVVQTPYAFYHWQCIDVFVYFSHHTVTIPPVGWTNTAHRHGVCVLGTFITEWNEGGRLCEAFLAGDERSYQAVADRLVQITQFFRFDGWLINIENSLSLAAVGNMPPFLRYLTTQLHRQVPGGLVLWYDSVVQSGQLKWQDELNQHNRVFFDSCDGFFTNYNWREEHLERMLGQAGERRADVYVGVDVFARGNVVGGRFDTDKSLELIRKHGFSVALFAPGWVYECLEKKDFFQNQDKFWGRLERYLPTHSICSLPFVTSFCLGMGARRVCYGQEEAVGPWYHLSAQEIQPLFGEHRLGGDGRGWVRTHCCLEDAWHGGSSLLVRGVIPPEVGNVAVRLFSLQAPVPPKIYLSMVYKLEGPTDVTVALELTTGDAGSCHIGGISVLNAETSSRHSLRPLRVPPTKLARWVGRCGRQLSGGWVQHCYEVSLRGCLLLDLLVCFSRPPGSREEESFTCRLGEIQVVDAASLLAPLPQVQAVTISHIRWQPSASEREGPPALLQLSCTLHWSFLLSQVRCFRIHCWGGMSDDSPGRELPRPEMPMFLGLAFATQYRIVDLLVEAAGPGQDRRMEFLVEPVPKEGFRVPQAEWGRAVLLYSAPA
+>DECOY_sp|Q8NFI3|ENASE_HUMAN Cytosolic endo-beta-N-acetylglucosaminidase OS=Homo sapiens OX=9606 GN=ENGASE PE=1 SV=1
+APASYLLVARGWEAQPVRFGEKPVPEVLFEMRRDQGPGAAEVLLDVIRYQTAFALGLFMPMEPRPLERGPSDDSMGGWCHIRFCRVQSLLFSWHLTCSLQLLAPPGERESASPQWRIHSITVAQVQPLPALLSAADVVQIEGLRCTFSEEERSGPPRSFCVLLDLLLCGRLSVEYCHQVWGGSLQRGCRGVWRALKTPPVRLPRLSHRSSTEANLVSIGGIHCSGADGTTLELAVTVDTPGELKYVMSLYIKPPVPAQLSFLRVAVNGVEPPIVGRVLLSSGGHWADELCCHTRVWGRGDGGLRHEGFLPQIEQASLHYWPGVAEEQGYCVRRAGMGLCFSTVFPLSCISHTPLYRELRGWFKDQNQFFDKKELCEYVWGPAFLAVSFGHKRILELSKDTDFRGGVVNGRAFVDVGVYVDARREGAQGLMRELHEERWNYNTFFGDCSDFFVRNHQNLEDQWKLQGSQVVSDYWLVLGGPVQRHLQTTLYRLFPPMNGVAALSLSNEINILWGDFRFFQTIQVLRDAVAQYSREDGALFAECLRGGENWETIFTGLVCVGHRHATNTWGVPPITVTHHSFYVFVDICQWHYFAYPTQVVSGQIFRDDLYGGMMDHCLLTRPRQSSLPPQRCALPELAVNFGDELRPKWALLEELSSLYFSIPKTTDKDYYRVPLPDPSFSVVERFVTEEEEDKISRGPRRRRPRPEQDEQEEQTGAEPAALGQLQRRRRRTASRTVTVAAAEM
+>sp|Q8N8Q3|ENDOV_HUMAN Endonuclease V OS=Homo sapiens OX=9606 GN=ENDOV PE=1 SV=1
+MALEAAGGPPEETLSLWKREQARLKAHVVDRDTEAWQRDPAFSGLQRVGGVDVSFVKGDSVRACASLVVLSFPELEVVYEESRMVSLTAPYVSGFLAFREVPFLLELVQQLREKEPGLMPQVLLVDGNGVLHHRGFGVACHLGVLTDLPCVGVAKKLLQVDGLENNALHKEKIRLLQTRGDSFPLLGDSGTVLGMALRSHDRSTRPLYISVGHRMSLEAAVRLTCCCCRFRIPEPVRQADICSREHIRKSLGLPGPPTPRSPKAQRPVACPKGDSGESSALC
+>DECOY_sp|Q8N8Q3|ENDOV_HUMAN Endonuclease V OS=Homo sapiens OX=9606 GN=ENDOV PE=1 SV=1
+CLASSEGSDGKPCAVPRQAKPSRPTPPGPLGLSKRIHERSCIDAQRVPEPIRFRCCCCTLRVAAELSMRHGVSIYLPRTSRDHSRLAMGLVTGSDGLLPFSDGRTQLLRIKEKHLANNELGDVQLLKKAVGVCPLDTLVGLHCAVGFGRHHLVGNGDVLLVQPMLGPEKERLQQVLELLFPVERFALFGSVYPATLSVMRSEEYVVELEPFSLVVLSACARVSDGKVFSVDVGGVRQLGSFAPDRQWAETDRDVVHAKLRAQERKWLSLTEEPPGGAAELAM
+>sp|O94868|FCSD2_HUMAN F-BAR and double SH3 domains protein 2 OS=Homo sapiens OX=9606 GN=FCHSD2 PE=1 SV=3
+MQPPPRKVKVTQELKNIQVEQMTKLQAKHQAECDLLEDMRTFSQKKAAIEREYAQGMQKLASQYLKRDWPGVKADDRNDYRSMYPVWKSFLEGTMQVAQSRMNICENYKNFISEPARTVRSLKEQQLKRCVDQLTKIQTELQETVKDLAKGKKKYFETEQMAHAVREKADIEAKSKLSLFQSRISLQKASVKLKARRSECNSKATHARNDYLLTLAAANAHQDRYYQTDLVNIMKALDGNVYDHLKDYLIAFSRTELETCQAVQNTFQFLLENSSKVVRDYNLQLFLQENAVFHKPQPFQFQPCDSDTSRQLESETGTTEEHSLNKEARKWATRVAREHKNIVHQQRVLNDLECHGAAVSEQSRAELEQKIDEARENIRKAEIIKLKAEARLDLLKQIGVSVDTWLKSAMNQVMEELENERWARPPAVTSNGTLHSLNADTEREEGEEFEDNMDVFDDSSSSPSGTLRNYPLTCKVVYSYKASQPDELTIEEHEVLEVIEDGDMEDWVKARNKVGQVGYVPEKYLQFPTSNSLLSMLQSLAALDSRSHTSSNSTEAELVSGSLNGDASVCFVKALYDYEGQTDDELSFPEGAIIRILNKENQDDDGFWEGEFNGRIGVFPSVLVEELSASENGDTPWMREIQISPSPKPHASLPPLPLYDQPPSSPYPSPDKRSSLYFPRSPSANEKSLHAESPGFSQASRHTPETSYGKLRPVRAAPPPPTQNHRRPAEKIEDVEITLV
+>DECOY_sp|O94868|FCSD2_HUMAN F-BAR and double SH3 domains protein 2 OS=Homo sapiens OX=9606 GN=FCHSD2 PE=1 SV=3
+VLTIEVDEIKEAPRRHNQTPPPPAARVPRLKGYSTEPTHRSAQSFGPSEAHLSKENASPSRPFYLSSRKDPSPYPSSPPQDYLPLPPLSAHPKPSPSIQIERMWPTDGNESASLEEVLVSPFVGIRGNFEGEWFGDDDQNEKNLIRIIAGEPFSLEDDTQGEYDYLAKVFCVSADGNLSGSVLEAETSNSSTHSRSDLAALSQLMSLLSNSTPFQLYKEPVYGVQGVKNRAKVWDEMDGDEIVELVEHEEITLEDPQSAKYSYVVKCTLPYNRLTGSPSSSSDDFVDMNDEFEEGEERETDANLSHLTGNSTVAPPRAWRENELEEMVQNMASKLWTDVSVGIQKLLDLRAEAKLKIIEAKRINERAEDIKQELEARSQESVAAGHCELDNLVRQQHVINKHERAVRTAWKRAEKNLSHEETTGTESELQRSTDSDCPQFQFPQPKHFVANEQLFLQLNYDRVVKSSNELLFQFTNQVAQCTELETRSFAILYDKLHDYVNGDLAKMINVLDTQYYRDQHANAAALTLLYDNRAHTAKSNCESRRAKLKVSAKQLSIRSQFLSLKSKAEIDAKERVAHAMQETEFYKKKGKALDKVTEQLETQIKTLQDVCRKLQQEKLSRVTRAPESIFNKYNECINMRSQAVQMTGELFSKWVPYMSRYDNRDDAKVGPWDRKLYQSALKQMGQAYEREIAAKKQSFTRMDELLDCEAQHKAQLKTMQEVQINKLEQTVKVKRPPPQM
+>sp|Q8NFU4|FDSCP_HUMAN Follicular dendritic cell secreted peptide OS=Homo sapiens OX=9606 GN=FDCSP PE=1 SV=1
+MKKVLLLITAILAVAVGFPVSQDQEREKRSISDSDELASGFFVFPYPYPFRPLPPIPFPRFPWFRRNFPIPIPESAPTTPLPSEK
+>DECOY_sp|Q8NFU4|FDSCP_HUMAN Follicular dendritic cell secreted peptide OS=Homo sapiens OX=9606 GN=FDCSP PE=1 SV=1
+KESPLPTTPASEPIPIPFNRRFWPFRPFPIPPLPRFPYPYPFVFFGSALEDSDSISRKEREQDQSVPFGVAVALIATILLLVKKM
+>sp|Q96AC1|FERM2_HUMAN Fermitin family homolog 2 OS=Homo sapiens OX=9606 GN=FERMT2 PE=1 SV=1
+MALDGIRMPDGCYADGTWELSVHVTDLNRDVTLRVTGEVHIGGVMLKLVEKLDVKKDWSDHALWWEKKRTWLLKTHWTLDKYGIQADAKLQFTPQHKLLRLQLPNMKYVKVKVNFSDRVFKAVSDICKTFNIRHPEELSLLKKPRDPTKKKKKKLDDQSEDEALELEGPLITPGSGSIYSSPGLYSKTMTPTYDAHDGSPLSPTSAWFGDSALSEGNPGILAVSQPITSPEILAKMFKPQALLDKAKINQGWLDSSRSLMEQDVKENEALLLRFKYYSFFDLNPKYDAIRINQLYEQAKWAILLEEIECTEEEMMMFAALQYHINKLSIMTSENHLNNSDKEVDEVDAALSDLEITLEGGKTSTILGDITSIPELADYIKVFKPKKLTLKGYKQYWCTFKDTSISCYKSKEESSGTPAHQMNLRGCEVTPDVNISGQKFNIKLLIPVAEGMNEIWLRCDNEKQYAHWMAACRLASKGKTMADSSYNLEVQNILSFLKMQHLNPDPQLIPEQITTDITPECLVSPRYLKKYKNKQITARILEAHQNVAQMSLIEAKMRFIQAWQSLPEFGITHFIARFQGGKKEELIGIAYNRLIRMDASTGDAIKTWRFSNMKQWNVNWEIKMVTVEFADEVRLSFICTEVDCKVVHEFIGGYIFLSTRAKDQNESLDEEMFYKLTSGWV
+>DECOY_sp|Q96AC1|FERM2_HUMAN Fermitin family homolog 2 OS=Homo sapiens OX=9606 GN=FERMT2 PE=1 SV=1
+VWGSTLKYFMEEDLSENQDKARTSLFIYGGIFEHVVKCDVETCIFSLRVEDAFEVTVMKIEWNVNWQKMNSFRWTKIADGTSADMRILRNYAIGILEEKKGGQFRAIFHTIGFEPLSQWAQIFRMKAEILSMQAVNQHAELIRATIQKNKYKKLYRPSVLCEPTIDTTIQEPILQPDPNLHQMKLFSLINQVELNYSSDAMTKGKSALRCAAMWHAYQKENDCRLWIENMGEAVPILLKINFKQGSINVDPTVECGRLNMQHAPTGSSEEKSKYCSISTDKFTCWYQKYGKLTLKKPKFVKIYDALEPISTIDGLITSTKGGELTIELDSLAADVEDVEKDSNNLHNESTMISLKNIHYQLAAFMMMEEETCEIEELLIAWKAQEYLQNIRIADYKPNLDFFSYYKFRLLLAENEKVDQEMLSRSSDLWGQNIKAKDLLAQPKFMKALIEPSTIPQSVALIGPNGESLASDGFWASTPSLPSGDHADYTPTMTKSYLGPSSYISGSGPTILPGELELAEDESQDDLKKKKKKTPDRPKKLLSLEEPHRINFTKCIDSVAKFVRDSFNVKVKVYKMNPLQLRLLKHQPTFQLKADAQIGYKDLTWHTKLLWTRKKEWWLAHDSWDKKVDLKEVLKLMVGGIHVEGTVRLTVDRNLDTVHVSLEWTGDAYCGDPMRIGDLAM
+>sp|P55075|FGF8_HUMAN Fibroblast growth factor 8 OS=Homo sapiens OX=9606 GN=FGF8 PE=1 SV=1
+MGSPRSALSCLLLHLLVLCLQAQEGPGRGPALGRELASLFRAGREPQGVSQQHVREQSLVTDQLSRRLIRTYQLYSRTSGKHVQVLANKRINAMAEDGDPFAKLIVETDTFGSRVRVRGAETGLYICMNKKGKLIAKSNGKGKDCVFTEIVLENNYTALQNAKYEGWYMAFTRKGRPRKGSKTRQHQREVHFMKRLPRGHHTTEQSLRFEFLNYPPFTRSLRGSQRTWAPEPR
+>DECOY_sp|P55075|FGF8_HUMAN Fibroblast growth factor 8 OS=Homo sapiens OX=9606 GN=FGF8 PE=1 SV=1
+RPEPAWTRQSGRLSRTFPPYNLFEFRLSQETTHHGRPLRKMFHVERQHQRTKSGKRPRGKRTFAMYWGEYKANQLATYNNELVIETFVCDKGKGNSKAILKGKKNMCIYLGTEAGRVRVRSGFTDTEVILKAFPDGDEAMANIRKNALVQVHKGSTRSYLQYTRILRRSLQDTVLSQERVHQQSVGQPERGARFLSALERGLAPGRGPGEQAQLCLVLLHLLLCSLASRPSGM
+>sp|P22607|FGFR3_HUMAN Fibroblast growth factor receptor 3 OS=Homo sapiens OX=9606 GN=FGFR3 PE=1 SV=1
+MGAPACALALCVAVAIVAGASSESLGTEQRVVGRAAEVPGPEPGQQEQLVFGSGDAVELSCPPPGGGPMGPTVWVKDGTGLVPSERVLVGPQRLQVLNASHEDSGAYSCRQRLTQRVLCHFSVRVTDAPSSGDDEDGEDEAEDTGVDTGAPYWTRPERMDKKLLAVPAANTVRFRCPAAGNPTPSISWLKNGREFRGEHRIGGIKLRHQQWSLVMESVVPSDRGNYTCVVENKFGSIRQTYTLDVLERSPHRPILQAGLPANQTAVLGSDVEFHCKVYSDAQPHIQWLKHVEVNGSKVGPDGTPYVTVLKTAGANTTDKELEVLSLHNVTFEDAGEYTCLAGNSIGFSHHSAWLVVLPAEEELVEADEAGSVYAGILSYGVGFFLFILVVAAVTLCRLRSPPKKGLGSPTVHKISRFPLKRQVSLESNASMSSNTPLVRIARLSSGEGPTLANVSELELPADPKWELSRARLTLGKPLGEGCFGQVVMAEAIGIDKDRAAKPVTVAVKMLKDDATDKDLSDLVSEMEMMKMIGKHKNIINLLGACTQGGPLYVLVEYAAKGNLREFLRARRPPGLDYSFDTCKPPEEQLTFKDLVSCAYQVARGMEYLASQKCIHRDLAARNVLVTEDNVMKIADFGLARDVHNLDYYKKTTNGRLPVKWMAPEALFDRVYTHQSDVWSFGVLLWEIFTLGGSPYPGIPVEELFKLLKEGHRMDKPANCTHDLYMIMRECWHAAPSQRPTFKQLVEDLDRVLTVTSTDEYLDLSAPFEQYSPGGQDTPSSSSSGDDSVFAHDLLPPAPPSSGGSRT
+>DECOY_sp|P22607|FGFR3_HUMAN Fibroblast growth factor receptor 3 OS=Homo sapiens OX=9606 GN=FGFR3 PE=1 SV=1
+TRSGGSSPPAPPLLDHAFVSDDGSSSSSPTDQGGPSYQEFPASLDLYEDTSTVTLVRDLDEVLQKFTPRQSPAAHWCERMIMYLDHTCNAPKDMRHGEKLLKFLEEVPIGPYPSGGLTFIEWLLVGFSWVDSQHTYVRDFLAEPAMWKVPLRGNTTKKYYDLNHVDRALGFDAIKMVNDETVLVNRAALDRHICKQSALYEMGRAVQYACSVLDKFTLQEEPPKCTDFSYDLGPPRRARLFERLNGKAAYEVLVYLPGGQTCAGLLNIINKHKGIMKMMEMESVLDSLDKDTADDKLMKVAVTVPKAARDKDIGIAEAMVVQGFCGEGLPKGLTLRARSLEWKPDAPLELESVNALTPGEGSSLRAIRVLPTNSSMSANSELSVQRKLPFRSIKHVTPSGLGKKPPSRLRCLTVAAVVLIFLFFGVGYSLIGAYVSGAEDAEVLEEEAPLVVLWASHHSFGISNGALCTYEGADEFTVNHLSLVELEKDTTNAGATKLVTVYPTGDPGVKSGNVEVHKLWQIHPQADSYVKCHFEVDSGLVATQNAPLGAQLIPRHPSRELVDLTYTQRISGFKNEVVCTYNGRDSPVVSEMVLSWQQHRLKIGGIRHEGRFERGNKLWSISPTPNGAAPCRFRVTNAAPVALLKKDMREPRTWYPAGTDVGTDEAEDEGDEDDGSSPADTVRVSFHCLVRQTLRQRCSYAGSDEHSANLVQLRQPGVLVRESPVLGTGDKVWVTPGMPGGGPPPCSLEVADGSGFVLQEQQGPEPGPVEAARGVVRQETGLSESSAGAVIAVAVCLALACAPAGM
+>sp|Q92562|FIG4_HUMAN Polyphosphoinositide phosphatase OS=Homo sapiens OX=9606 GN=FIG4 PE=1 SV=1
+MPTAAAPIISSVQKLVLYETRARYFLVGSNNAETKYRVLKIDRTEPKDLVIIDDRHVYTQQEVRELLGRLDLGNRTKMGQKGSSGLFRAVSAFGVVGFVRFLEGYYIVLITKRRKMADIGGHAIYKVEDTNMIYIPNDSVRVTHPDEARYLRIFQNVDLSSNFYFSYSYDLSHSLQYNLTVLRMPLEMLKSEMTQNRQESFDIFEDEGLITQGGSGVFGICSEPYMKYVWNGELLDIIKSTVHRDWLLYIIHGFCGQSKLLIYGRPVYVTLIARRSSKFAGTRFLKRGANCEGDVANEVETEQILCDASVMSFTAGSYSSYVQVRGSVPLYWSQDISTMMPKPPITLDQADPFAHVAALHFDQMFQRFGSPIIILNLVKEREKRKHERILSEELVAAVTYLNQFLPPEHTIVYIPWDMAKYTKSKLCNVLDRLNVIAESVVKKTGFFVNRPDSYCSILRPDEKWNELGGCVIPTGRLQTGILRTNCVDCLDRTNTAQFMVGKCALAYQLYSLGLIDKPNLQFDTDAVRLFEELYEDHGDTLSLQYGGSQLVHRVKTYRKIAPWTQHSKDIMQTLSRYYSNAFSDADRQDSINLFLGVFHPTEGKPHLWELPTDFYLHHKNTMRLLPTRRSYTYWWTPEVIKHLPLPYDEVICAVNLKKLIVKKFHKYEEEIDIHNEFFRPYELSSFDDTFCLAMTSSARDFMPKTVGIDPSPFTVRKPDETGKSVLGNKSNREEAVLQRKTAASAPPPPSEEAVSSSSEDDSGTDREEEGSVSQRSTPVKMTDAGDSAKVTENVVQPMKELYGINLSDGLSEEDFSIYSRFVQLGQSQHKQDKNSQQPCSRCSDGVIKLTPISAFSQDNIYEVQPPRVDRKSTEIFQAHIQASQGIMQPLGKEDSSMYREYIRNRYL
+>DECOY_sp|Q92562|FIG4_HUMAN Polyphosphoinositide phosphatase OS=Homo sapiens OX=9606 GN=FIG4 PE=1 SV=1
+LYRNRIYERYMSSDEKGLPQMIGQSAQIHAQFIETSKRDVRPPQVEYINDQSFASIPTLKIVGDSCRSCPQQSNKDQKHQSQGLQVFRSYISFDEESLGDSLNIGYLEKMPQVVNETVKASDGADTMKVPTSRQSVSGEEERDTGSDDESSSSVAEESPPPPASAATKRQLVAEERNSKNGLVSKGTEDPKRVTFPSPDIGVTKPMFDRASSTMALCFTDDFSSLEYPRFFENHIDIEEEYKHFKKVILKKLNVACIVEDYPLPLHKIVEPTWWYTYSRRTPLLRMTNKHHLYFDTPLEWLHPKGETPHFVGLFLNISDQRDADSFANSYYRSLTQMIDKSHQTWPAIKRYTKVRHVLQSGGYQLSLTDGHDEYLEEFLRVADTDFQLNPKDILGLSYLQYALACKGVMFQATNTRDLCDVCNTRLIGTQLRGTPIVCGGLENWKEDPRLISCYSDPRNVFFGTKKVVSEAIVNLRDLVNCLKSKTYKAMDWPIYVITHEPPLFQNLYTVAAVLEESLIREHKRKEREKVLNLIIIPSGFRQFMQDFHLAAVHAFPDAQDLTIPPKPMMTSIDQSWYLPVSGRVQVYSSYSGATFSMVSADCLIQETEVENAVDGECNAGRKLFRTGAFKSSRRAILTVYVPRGYILLKSQGCFGHIIYLLWDRHVTSKIIDLLEGNWVYKMYPESCIGFVGSGGQTILGEDEFIDFSEQRNQTMESKLMELPMRLVTLNYQLSHSLDYSYSFYFNSSLDVNQFIRLYRAEDPHTVRVSDNPIYIMNTDEVKYIAHGGIDAMKRRKTILVIYYGELFRVFGVVGFASVARFLGSSGKQGMKTRNGLDLRGLLERVEQQTYVHRDDIIVLDKPETRDIKLVRYKTEANNSGVLFYRARTEYLVLKQVSSIIPAAATPM
+>sp|Q96SL8|FIZ1_HUMAN Flt3-interacting zinc finger protein 1 OS=Homo sapiens OX=9606 GN=FIZ1 PE=1 SV=2
+MDDVPAPTPAPAPPAAAAPRVPFHCSECGKSFRYRSDLRRHFARHTALKPHACPRCGKGFKHSFNLANHLRSHTGERPYRCSACPKGFRDSTGLLHHQVVHTGEKPYCCLVCELRFSSRSSLGRHLKRQHRGVLPSPLQPGPGLPALSAPCSVCCNVGPCSVCGGSGAGGGEGPEGAGAGLGSWGLAEAAAAAAASLPPFACGACARRFDHGRELAAHWAAHTDVKPFKCPRCERDFNAPALLERHKLTHDLQGPGAPPAQAWAAGPGAGPETAGEGTAAEAGDAPLASDRRLLLGPAGGGVPKLGGLLPEGGGEAPAPAAAAEPSEDTLYQCDCGTFFASAAALASHLEAHSGPATYGCGHCGALYAALAALEEHRRVSHGEGGGEEAATAAREREPASGEPPSGSGRGKKIFGCSECEKLFRSPRDLERHVLVHTGEKPFPCLECGKFFRHECYLKRHRLLHGTERPFPCHICGKGFITLSNLSRHLKLHRGMD
+>DECOY_sp|Q96SL8|FIZ1_HUMAN Flt3-interacting zinc finger protein 1 OS=Homo sapiens OX=9606 GN=FIZ1 PE=1 SV=2
+DMGRHLKLHRSLNSLTIFGKGCIHCPFPRETGHLLRHRKLYCEHRFFKGCELCPFPKEGTHVLVHRELDRPSRFLKECESCGFIKKGRGSGSPPEGSAPERERAATAAEEGGGEGHSVRRHEELAALAAYLAGCHGCGYTAPGSHAELHSALAAASAFFTGCDCQYLTDESPEAAAAPAPAEGGGEPLLGGLKPVGGGAPGLLLRRDSALPADGAEAATGEGATEPGAGPGAAWAQAPPAGPGQLDHTLKHRELLAPANFDRECRPCKFPKVDTHAAWHAALERGHDFRRACAGCAFPPLSAAAAAAAEALGWSGLGAGAGEPGEGGGAGSGGCVSCPGVNCCVSCPASLAPLGPGPQLPSPLVGRHQRKLHRGLSSRSSFRLECVLCCYPKEGTHVVQHHLLGTSDRFGKPCASCRYPREGTHSRLHNALNFSHKFGKGCRPCAHPKLATHRAFHRRLDSRYRFSKGCESCHFPVRPAAAAPPAPAPTPAPVDDM
+>sp|Q9NYL4|FKB11_HUMAN Peptidyl-prolyl cis-trans isomerase FKBP11 OS=Homo sapiens OX=9606 GN=FKBP11 PE=1 SV=1
+MTLRPSLLPLHLLLLLLLSAAVCRAEAGLETESPVRTLQVETLVEPPEPCAEPAAFGDTLHIHYTGSLVDGRIIDTSLTRDPLVIELGQKQVIPGLEQSLLDMCVGEKRRAIIPSHLAYGKRGFPPSVPADAVVQYDVELIALIRANYWLKLVKGILPLVGMAMVPALLGLIGYHLYRKANRPKVSKKKLKEEKRNKSKKK
+>DECOY_sp|Q9NYL4|FKB11_HUMAN Peptidyl-prolyl cis-trans isomerase FKBP11 OS=Homo sapiens OX=9606 GN=FKBP11 PE=1 SV=1
+KKKSKNRKEEKLKKKSVKPRNAKRYLHYGILGLLAPVMAMGVLPLIGKVLKLWYNARILAILEVDYQVVADAPVSPPFGRKGYALHSPIIARRKEGVCMDLLSQELGPIVQKQGLEIVLPDRTLSTDIIRGDVLSGTYHIHLTDGFAAPEACPEPPEVLTEVQLTRVPSETELGAEARCVAASLLLLLLLHLPLLSPRLTM
+>sp|Q75LS8|FKB9L_HUMAN Putative FK506-binding protein 9-like protein OS=Homo sapiens OX=9606 GN=FKBP9P1 PE=5 SV=1
+MDMGLREMCVGEKRTVIIPPHLGYGEAGVDGEVPGSAVLVFDIELLELVAGLPEGYMFIWNGEVSPNLFEEIDKDGNGEVLLEEFSEYIHAQVASGKGKLAPGFDAELIVKNMFTNQDRNGDGKVTAEEFKLKDQEAKQDEL
+>DECOY_sp|Q75LS8|FKB9L_HUMAN Putative FK506-binding protein 9-like protein OS=Homo sapiens OX=9606 GN=FKBP9P1 PE=5 SV=1
+LEDQKAEQDKLKFEEATVKGDGNRDQNTFMNKVILEADFGPALKGKGSAVQAHIYESFEELLVEGNGDKDIEEFLNPSVEGNWIFMYGEPLGAVLELLEIDFVLVASGPVEGDVGAEGYGLHPPIIVTRKEGVCMERLGMDM
+>sp|Q00688|FKBP3_HUMAN Peptidyl-prolyl cis-trans isomerase FKBP3 OS=Homo sapiens OX=9606 GN=FKBP3 PE=1 SV=1
+MAAAVPQRAWTVEQLRSEQLPKKDIIKFLQEHGSDSFLAEHKLLGNIKNVAKTANKDHLVTAYNHLFETKRFKGTESISKVSEQVKNVKLNEDKPKETKSEETLDEGPPKYTKSVLKKGDKTNFPKKGDVVHCWYTGTLQDGTVFDTNIQTSAKKKKNAKPLSFKVGVGKVIRGWDEALLTMSKGEKARLEIEPEWAYGKKGQPDAKIPPNAKLTFEVELVDID
+>DECOY_sp|Q00688|FKBP3_HUMAN Peptidyl-prolyl cis-trans isomerase FKBP3 OS=Homo sapiens OX=9606 GN=FKBP3 PE=1 SV=1
+DIDVLEVEFTLKANPPIKADPQGKKGYAWEPEIELRAKEGKSMTLLAEDWGRIVKGVGVKFSLPKANKKKKASTQINTDFVTGDQLTGTYWCHVVDGKKPFNTKDGKKLVSKTYKPPGEDLTEESKTEKPKDENLKVNKVQESVKSISETGKFRKTEFLHNYATVLHDKNATKAVNKINGLLKHEALFSDSGHEQLFKIIDKKPLQESRLQEVTWARQPVAAAM
+>sp|Q13451|FKBP5_HUMAN Peptidyl-prolyl cis-trans isomerase FKBP5 OS=Homo sapiens OX=9606 GN=FKBP5 PE=1 SV=2
+MTTDEGAKNNEESPTATVAEQGEDITSKKDRGVLKIVKRVGNGEETPMIGDKVYVHYKGKLSNGKKFDSSHDRNEPFVFSLGKGQVIKAWDIGVATMKKGEICHLLCKPEYAYGSAGSLPKIPSNATLFFEIELLDFKGEDLFEDGGIIRRTKRKGEGYSNPNEGATVEIHLEGRCGGRMFDCRDVAFTVGEGEDHDIPIGIDKALEKMQREEQCILYLGPRYGFGEAGKPKFGIEPNAELIYEVTLKSFEKAKESWEMDTKEKLEQAAIVKEKGTVYFKGGKYMQAVIQYGKIVSWLEMEYGLSEKESKASESFLLAAFLNLAMCYLKLREYTKAVECCDKALGLDSANEKGLYRRGEAQLLMNEFESAKGDFEKVLEVNPQNKAARLQISMCQKKAKEHNERDRRIYANMFKKFAEQDAKEEANKAMGKKTSEGVTNEKGTDSQAMEEEKPEGHV
+>DECOY_sp|Q13451|FKBP5_HUMAN Peptidyl-prolyl cis-trans isomerase FKBP5 OS=Homo sapiens OX=9606 GN=FKBP5 PE=1 SV=2
+VHGEPKEEEMAQSDTGKENTVGESTKKGMAKNAEEKADQEAFKKFMNAYIRRDRENHEKAKKQCMSIQLRAAKNQPNVELVKEFDGKASEFENMLLQAEGRRYLGKENASDLGLAKDCCEVAKTYERLKLYCMALNLFAALLFSESAKSEKESLGYEMELWSVIKGYQIVAQMYKGGKFYVTGKEKVIAAQELKEKTDMEWSEKAKEFSKLTVEYILEANPEIGFKPKGAEGFGYRPGLYLICQEERQMKELAKDIGIPIDHDEGEGVTFAVDRCDFMRGGCRGELHIEVTAGENPNSYGEGKRKTRRIIGGDEFLDEGKFDLLEIEFFLTANSPIKPLSGASGYAYEPKCLLHCIEGKKMTAVGIDWAKIVQGKGLSFVFPENRDHSSDFKKGNSLKGKYHVYVKDGIMPTEEGNGVRKVIKLVGRDKKSTIDEGQEAVTATPSEENNKAGEDTTM
+>sp|Q9H9S5|FKRP_HUMAN Fukutin-related protein OS=Homo sapiens OX=9606 GN=FKRP PE=1 SV=1
+MRLTRCQAALAAAITLNLLVLFYVSWLQHQPRNSRARGPRRASAAGPRVTVLVREFEAFDNAVPELVDSFLQQDPAQPVVVAADTLPYPPLALPRIPNVRLALLQPALDRPAAASRPETYVATEFVALVPDGARAEAPGLLERMVEALRAGSARLVAAPVATANPARCLALNVSLREWTARYGAAPAAPRCDALDGDAVVLLRARDLFNLSAPLARPVGTSLFLQTALRGWAVQLLDLTFAAARQPPLATAHARWKAEREGRARRAALLRALGIRLVSWEGGRLEWFGCNKETTRCFGTVVGDTPAYLYEERWTPPCCLRALRETARYVVGVLEAAGVRYWLEGGSLLGAARHGDIIPWDYDVDLGIYLEDVGNCEQLRGAEAGSVVDERGFVWEKAVEGDFFRVQYSESNHLHVDLWPFYPRNGVMTKDTWLDHRQDVEFPEHFLQPLVPLPFAGFVAQAPNNYRRFLELKFGPGVIENPQYPNPALLSLTGSG
+>DECOY_sp|Q9H9S5|FKRP_HUMAN Fukutin-related protein OS=Homo sapiens OX=9606 GN=FKRP PE=1 SV=1
+GSGTLSLLAPNPYQPNEIVGPGFKLELFRRYNNPAQAVFGAFPLPVLPQLFHEPFEVDQRHDLWTDKTMVGNRPYFPWLDVHLHNSESYQVRFFDGEVAKEWVFGREDVVSGAEAGRLQECNGVDELYIGLDVDYDWPIIDGHRAAGLLSGGELWYRVGAAELVGVVYRATERLARLCCPPTWREEYLYAPTDGVVTGFCRTTEKNCGFWELRGGEWSVLRIGLARLLAARRARGEREAKWRAHATALPPQRAAAFTLDLLQVAWGRLATQLFLSTGVPRALPASLNFLDRARLLVVADGDLADCRPAAPAAGYRATWERLSVNLALCRAPNATAVPAAVLRASGARLAEVMRELLGPAEARAGDPVLAVFETAVYTEPRSAAAPRDLAPQLLALRVNPIRPLALPPYPLTDAAVVVPQAPDQQLFSDVLEPVANDFAEFERVLVTVRPGAASARRPGRARSNRPQHQLWSVYFLVLLNLTIAAALAAQCRTLRM
+>sp|Q15007|FL2D_HUMAN Pre-mRNA-splicing regulator WTAP OS=Homo sapiens OX=9606 GN=WTAP PE=1 SV=2
+MTNEEPLPKKVRLSETDFKVMARDELILRWKQYEAYVQALEGKYTDLNSNDVTGLRESEEKLKQQQQESARRENILVMRLATKEQEMQECTTQIQYLKQVQQPSVAQLRSTMVDPAINLFFLKMKGELEQTKDKLEQAQNELSAWKFTPDSQTGKKLMAKCRMLIQENQELGRQLSQGRIAQLEAELALQKKYSEELKSSQDELNDFIIQLDEEVEGMQSTILVLQQQLKETRQQLAQYQQQQSQASAPSTSRTTASEPVEQSEATSKDCSRLTNGPSNGSSSRQRTSGSGFHREGNTTEDDFPSSPGNGNKSSNSSEERTGRGGSGYVNQLSAGYESVDSPTGSENSLTHQSNDTDSSHDPQEEKAVSGKGNRTVGSRHVQNGLDSSVNVQGSVL
+>DECOY_sp|Q15007|FL2D_HUMAN Pre-mRNA-splicing regulator WTAP OS=Homo sapiens OX=9606 GN=WTAP PE=1 SV=2
+LVSGQVNVSSDLGNQVHRSGVTRNGKGSVAKEEQPDHSSDTDNSQHTLSNESGTPSDVSEYGASLQNVYGSGGRGTREESSNSSKNGNGPSSPFDDETTNGERHFGSGSTRQRSSSGNSPGNTLRSCDKSTAESQEVPESATTRSTSPASAQSQQQQYQALQQRTEKLQQQLVLITSQMGEVEEDLQIIFDNLEDQSSKLEESYKKQLALEAELQAIRGQSLQRGLEQNEQILMRCKAMLKKGTQSDPTFKWASLENQAQELKDKTQELEGKMKLFFLNIAPDVMTSRLQAVSPQQVQKLYQIQTTCEQMEQEKTALRMVLINERRASEQQQQKLKEESERLGTVDNSNLDTYKGELAQVYAEYQKWRLILEDRAMVKFDTESLRVKKPLPEENTM
+>sp|Q8NFG4|FLCN_HUMAN Folliculin OS=Homo sapiens OX=9606 GN=FLCN PE=1 SV=1
+MNAIVALCHFCELHGPRTLFCTEVLHAPLPQGDGNEDSPGQGEQAEEEEGGIQMNSRMRAHSPAEGASVESSSPGPKKSDMCEGCRSLAAGHPGYISHDKETSIKYVSHQHPSHPQLFSIVRQACVRSLSCEVCPGREGPIFFGDEQHGFVFSHTFFIKDSLARGFQRWYSIITIMMDRIYLINSWPFLLGKVRGIIDELQGKALKVFEAEQFGCPQRAQRMNTAFTPFLHQRNGNAARSLTSLTSDDNLWACLHTSFAWLLKACGSRLTEKLLEGAPTEDTLVQMEKLADLEEESESWDNSEAEEEEKAPVLPESTEGRELTQGPAESSSLSGCGSWQPRKLPVFKSLRHMRQVLGAPSFRMLAWHVLMGNQVIWKSRDVDLVQSAFEVLRTMLPVGCVRIIPYSSQYEEAYRCNFLGLSPHVQIPPHVLSSEFAVIVEVHAAARSTLHPVGCEDDQSLSKYEFVVTSGSPVAADRVGPTILNKIEAALTNQNLSVDVVDQCLVCLKEEWMNKVKVLFKFTKVDSRPKEDTQKLLSILGASEEDNVKLLKFWMTGLSKTYKSHLMSTVRSPTASESRN
+>DECOY_sp|Q8NFG4|FLCN_HUMAN Folliculin OS=Homo sapiens OX=9606 GN=FLCN PE=1 SV=1
+NRSESATPSRVTSMLHSKYTKSLGTMWFKLLKVNDEESAGLISLLKQTDEKPRSDVKTFKFLVKVKNMWEEKLCVLCQDVVDVSLNQNTLAAEIKNLITPGVRDAAVPSGSTVVFEYKSLSQDDECGVPHLTSRAAAHVEVIVAFESSLVHPPIQVHPSLGLFNCRYAEEYQSSYPIIRVCGVPLMTRLVEFASQVLDVDRSKWIVQNGMLVHWALMRFSPAGLVQRMHRLSKFVPLKRPQWSGCGSLSSSEAPGQTLERGETSEPLVPAKEEEEAESNDWSESEEELDALKEMQVLTDETPAGELLKETLRSGCAKLLWAFSTHLCAWLNDDSTLSTLSRAANGNRQHLFPTFATNMRQARQPCGFQEAEFVKLAKGQLEDIIGRVKGLLFPWSNILYIRDMMITIISYWRQFGRALSDKIFFTHSFVFGHQEDGFFIPGERGPCVECSLSRVCAQRVISFLQPHSPHQHSVYKISTEKDHSIYGPHGAALSRCGECMDSKKPGPSSSEVSAGEAPSHARMRSNMQIGGEEEEAQEGQGPSDENGDGQPLPAHLVETCFLTRPGHLECFHCLAVIANM
+>sp|O75369|FLNB_HUMAN Filamin-B OS=Homo sapiens OX=9606 GN=FLNB PE=1 SV=2
+MPVTEKDLAEDAPWKKIQQNTFTRWCNEHLKCVNKRIGNLQTDLSDGLRLIALLEVLSQKRMYRKYHQRPTFRQMQLENVSVALEFLDRESIKLVSIDSKAIVDGNLKLILGLVWTLILHYSISMPVWEDEGDDDAKKQTPKQRLLGWIQNKIPYLPITNFNQNWQDGKALGALVDSCAPGLCPDWESWDPQKPVDNAREAMQQADDWLGVPQVITPEEIIHPDVDEHSVMTYLSQFPKAKLKPGAPLKPKLNPKKARAYGRGIEPTGNMVKQPAKFTVDTISAGQGDVMVFVEDPEGNKEEAQVTPDSDKNKTYSVEYLPKVTGLHKVTVLFAGQHISKSPFEVSVDKAQGDASKVTAKGPGLEAVGNIANKPTYFDIYTAGAGVGDIGVEVEDPQGKNTVELLVEDKGNQVYRCVYKPMQPGPHVVKIFFAGDTIPKSPFVVQVGEACNPNACRASGRGLQPKGVRIRETTDFKVDTKAAGSGELGVTMKGPKGLEELVKQKDFLDGVYAFEYYPSTPGRYSIAITWGGHHIPKSPFEVQVGPEAGMQKVRAWGPGLHGGIVGRSADFVVESIGSEVGSLGFAIEGPSQAKIEYNDQNDGSCDVKYWPKEPGEYAVHIMCDDEDIKDSPYMAFIHPATGGYNPDLVRAYGPGLEKSGCIVNNLAEFTVDPKDAGKAPLKIFAQDGEGQRIDIQMKNRMDGTYACSYTPVKAIKHTIAVVWGGVNIPHSPYRVNIGQGSHPQKVKVFGPGVERSGLKANEPTHFTVDCTEAGEGDVSVGIKCDARVLSEDEEDVDFDIIHNANDTFTVKYVPPAAGRYTIKVLFASQEIPASPFRVKVDPSHDASKVKAEGPGLSKAGVENGKPTHFTVYTKGAGKAPLNVQFNSPLPGDAVKDLDIIDNYDYSHTVKYTPTQQGNMQVLVTYGGDPIPKSPFTVGVAAPLDLSKIKLNGLENRVEVGKDQEFTVDTRGAGGQGKLDVTILSPSRKVVPCLVTPVTGRENSTAKFIPREEGLYAVDVTYDGHPVPGSPYTVEASLPPDPSKVKAHGPGLEGGLVGKPAEFTIDTKGAGTGGLGLTVEGPCEAKIECSDNGDGTCSVSYLPTKPGEYFVNILFEEVHIPGSPFKADIEMPFDPSKVVASGPGLEHGKVGEAGLLSVDCSEAGPGALGLEAVSDSGTKAEVSIQNNKDGTYAVTYVPLTAGMYTLTMKYGGELVPHFPARVKVEPAVDTSRIKVFGPGIEGKDVFREATTDFTVDSRPLTQVGGDHIKAHIANPSGASTECFVTDNADGTYQVEYTPFEKGLHVVEVTYDDVPIPNSPFKVAVTEGCQPSRVQAQGPGLKEAFTNKPNVFTVVTRGAGIGGLGITVEGPSESKINCRDNKDGSCSAEYIPFAPGDYDVNITYGGAHIPGSPFRVPVKDVVDPSKVKIAGPGLGSGVRARVLQSFTVDSSKAGLAPLEVRVLGPRGLVEPVNVVDNGDGTHTVTYTPSQEGPYMVSVKYADEEIPRSPFKVKVLPTYDASKVTASGPGLSSYGVPASLPVDFAIDARDAGEGLLAVQITDQEGKPKRAIVHDNKDGTYAVTYIPDKTGRYMIGVTYGGDDIPLSPYRIRATQTGDASKCLATGPGIASTVKTGEEVGFVVDAKTAGKGKVTCTVLTPDGTEAEADVIENEDGTYDIFYTAAKPGTYVIYVRFGGVDIPNSPFTVMATDGEVTAVEEAPVNACPPGFRPWVTEEAYVPVSDMNGLGFKPFDLVIPFAVRKGEITGEVHMPSGKTATPEIVDNKDGTVTVRYAPTEVGLHEMHIKYMGSHIPESPLQFYVNYPNSGSVSAYGPGLVYGVANKTATFTIVTEDAGEGGLDLAIEGPSKAEISCIDNKDGTCTVTYLPTLPGDYSILVKYNDKHIPGSPFTAKITDDSRRCSQVKLGSAADFLLDISETDLSSLTASIKAPSGRDEPCLLKRLPNNHIGISFIPREVGEHLVSIKKNGNHVANSPVSIMVVQSEIGDARRAKVYGRGLSEGRTFEMSDFIVDTRDAGYGGISLAVEGPSKVDIQTEDLEDGTCKVSYFPTVPGVYIVSTKFADEHVPGSPFTVKISGEGRVKESITRTSRAPSVATVGSICDLNLKIPEINSSDMSAHVTSPSGRVTEAEIVPMGKNSHCVRFVPQEMGVHTVSVKYRGQHVTGSPFQFTVGPLGEGGAHKVRAGGPGLERGEAGVPAEFSIWTREAGAGGLSIAVEGPSKAEITFDDHKNGSCGVSYIAQEPGNYEVSIKFNDEHIPESPYLVPVIAPSDDARRLTVMSLQESGLKVNQPASFAIRLNGAKGKIDAKVHSPSGAVEECHVSELEPDKYAVRFIPHENGVHTIDVKFNGSHVVGSPFKVRVGEPGQAGNPALVSAYGTGLEGGTTGIQSEFFINTTRAGPGTLSVTIEGPSKVKMDCQETPEGYKVMYTPMAPGNYLISVKYGGPNHIVGSPFKAKVTGQRLVSPGSANETSSILVESVTRSSTETCYSAIPKASSDASKVTSKGAGLSKAFVGQKSSFLVDCSKAGSNMLLIGVHGPTTPCEEVSMKHVGNQQYNVTYVVKERGDYVLAVKWGEEHIPGSPFHVTVP
+>DECOY_sp|O75369|FLNB_HUMAN Filamin-B OS=Homo sapiens OX=9606 GN=FLNB PE=1 SV=2
+PVTVHFPSGPIHEEGWKVALVYDGREKVVYTVNYQQNGVHKMSVEECPTTPGHVGILLMNSGAKSCDVLFSSKQGVFAKSLGAGKSTVKSADSSAKPIASYCTETSSRTVSEVLISSTENASGPSVLRQGTVKAKFPSGVIHNPGGYKVSILYNGPAMPTYMVKYGEPTEQCDMKVKSPGEITVSLTGPGARTTNIFFESQIGTTGGELGTGYASVLAPNGAQGPEGVRVKFPSGVVHSGNFKVDITHVGNEHPIFRVAYKDPELESVHCEEVAGSPSHVKADIKGKAGNLRIAFSAPQNVKLGSEQLSMVTLRRADDSPAIVPVLYPSEPIHEDNFKISVEYNGPEQAIYSVGCSGNKHDDFTIEAKSPGEVAISLGGAGAERTWISFEAPVGAEGRELGPGGARVKHAGGEGLPGVTFQFPSGTVHQGRYKVSVTHVGMEQPVFRVCHSNKGMPVIEAETVRGSPSTVHASMDSSNIEPIKLNLDCISGVTAVSPARSTRTISEKVRGEGSIKVTFPSGPVHEDAFKTSVIYVGPVTPFYSVKCTGDELDETQIDVKSPGEVALSIGGYGADRTDVIFDSMEFTRGESLGRGYVKARRADGIESQVVMISVPSNAVHNGNKKISVLHEGVERPIFSIGIHNNPLRKLLCPEDRGSPAKISATLSSLDTESIDLLFDAASGLKVQSCRRSDDTIKATFPSGPIHKDNYKVLISYDGPLTPLYTVTCTGDKNDICSIEAKSPGEIALDLGGEGADETVITFTATKNAVGYVLGPGYASVSGSNPYNVYFQLPSEPIHSGMYKIHMEHLGVETPAYRVTVTGDKNDVIEPTATKGSPMHVEGTIEGKRVAFPIVLDFPKFGLGNMDSVPVYAEETVWPRFGPPCANVPAEEVATVEGDTAMVTFPSNPIDVGGFRVYIVYTGPKAATYFIDYTGDENEIVDAEAETGDPTLVTCTVKGKGATKADVVFGVEEGTKVTSAIGPGTALCKSADGTQTARIRYPSLPIDDGGYTVGIMYRGTKDPIYTVAYTGDKNDHVIARKPKGEQDTIQVALLGEGADRADIAFDVPLSAPVGYSSLGPGSATVKSADYTPLVKVKFPSRPIEEDAYKVSVMYPGEQSPTYTVTHTGDGNDVVNVPEVLGRPGLVRVELPALGAKSSDVTFSQLVRARVGSGLGPGAIKVKSPDVVDKVPVRFPSGPIHAGGYTINVDYDGPAFPIYEASCSGDKNDRCNIKSESPGEVTIGLGGIGAGRTVVTFVNPKNTFAEKLGPGQAQVRSPQCGETVAVKFPSNPIPVDDYTVEVVHLGKEFPTYEVQYTGDANDTVFCETSAGSPNAIHAKIHDGGVQTLPRSDVTFDTTAERFVDKGEIGPGFVKIRSTDVAPEVKVRAPFHPVLEGGYKMTLTYMGATLPVYTVAYTGDKNNQISVEAKTGSDSVAELGLAGPGAESCDVSLLGAEGVKGHELGPGSAVVKSPDFPMEIDAKFPSGPIHVEEFLINVFYEGPKTPLYSVSCTGDGNDSCEIKAECPGEVTLGLGGTGAGKTDITFEAPKGVLGGELGPGHAKVKSPDPPLSAEVTYPSGPVPHGDYTVDVAYLGEERPIFKATSNERGTVPTVLCPVVKRSPSLITVDLKGQGGAGRTDVTFEQDKGVEVRNELGNLKIKSLDLPAAVGVTFPSKPIPDGGYTVLVQMNGQQTPTYKVTHSYDYNDIIDLDKVADGPLPSNFQVNLPAKGAGKTYVTFHTPKGNEVGAKSLGPGEAKVKSADHSPDVKVRFPSAPIEQSAFLVKITYRGAAPPVYKVTFTDNANHIIDFDVDEEDESLVRADCKIGVSVDGEGAETCDVTFHTPENAKLGSREVGPGFVKVKQPHSGQGINVRYPSHPINVGGWVVAITHKIAKVPTYSCAYTGDMRNKMQIDIRQGEGDQAFIKLPAKGADKPDVTFEALNNVICGSKELGPGYARVLDPNYGGTAPHIFAMYPSDKIDEDDCMIHVAYEGPEKPWYKVDCSGDNQDNYEIKAQSPGEIAFGLSGVESGISEVVFDASRGVIGGHLGPGWARVKQMGAEPGVQVEFPSKPIHHGGWTIAISYRGPTSPYYEFAYVGDLFDKQKVLEELGKPGKMTVGLEGSGAAKTDVKFDTTERIRVGKPQLGRGSARCANPNCAEGVQVVFPSKPITDGAFFIKVVHPGPQMPKYVCRYVQNGKDEVLLEVTNKGQPDEVEVGIDGVGAGATYIDFYTPKNAINGVAELGPGKATVKSADGQAKDVSVEFPSKSIHQGAFLVTVKHLGTVKPLYEVSYTKNKDSDPTVQAEEKNGEPDEVFVMVDGQGASITDVTFKAPQKVMNGTPEIGRGYARAKKPNLKPKLPAGPKLKAKPFQSLYTMVSHEDVDPHIIEEPTIVQPVGLWDDAQQMAERANDVPKQPDWSEWDPCLGPACSDVLAGLAKGDQWNQNFNTIPLYPIKNQIWGLLRQKPTQKKADDDGEDEWVPMSISYHLILTWVLGLILKLNGDVIAKSDISVLKISERDLFELAVSVNELQMQRFTPRQHYKRYMRKQSLVELLAILRLGDSLDTQLNGIRKNVCKLHENCWRTFTNQQIKKWPADEALDKETVPM
+>sp|P0C7T7|FMAS1_HUMAN Putative uncharacterized protein FRMD6-AS1 OS=Homo sapiens OX=9606 GN=FRMD6-AS1 PE=5 SV=1
+MQLGRSGRRSQKQKHEVDEVYFTLTRVDRSCPYLTVPGPPGAESGAPVRLGLRQRAVSSSRNPNSAGRTPNSYLTQAPVWRRSAPAQPPAPQTRHFPGRGADPALGSLPAAGLSGLCARTTGGTAQPPGARDSGASPGAQPQRHRPGCRGPPGSPVIRGPPRCREPGTAHGAQTPPPTRPNWPRRQPSRGSCALSSRQYGERPPAPPWTQPSVPRRGAATWGQEKAEYATPLGTQEARRASGGRGGVDHGDQGLSPCCGDGGARGRRRLPTFWPSGLLRLRLDSLGALPPEPSRAGRGRLFGLSVVLLKRNAGGEATPREGGPRRRWLRESCSPLPARHSRRRASWVSRWMEGAPPRMPSLPL
+>DECOY_sp|P0C7T7|FMAS1_HUMAN Putative uncharacterized protein FRMD6-AS1 OS=Homo sapiens OX=9606 GN=FRMD6-AS1 PE=5 SV=1
+LPLSPMRPPAGEMWRSVWSARRRSHRAPLPSCSERLWRRRPGGERPTAEGGANRKLLVVSLGFLRGRGARSPEPPLAGLSDLRLRLLGSPWFTPLRRRGRAGGDGCCPSLGQDGHDVGGRGGSARRAEQTGLPTAYEAKEQGWTAAGRRPVSPQTWPPAPPREGYQRSSLACSGRSPQRRPWNPRTPPPTQAGHATGPERCRPPGRIVPSGPPGRCGPRHRQPQAGPSAGSDRAGPPQATGGTTRACLGSLGAAPLSGLAPDAGRGPFHRTQPAPPQAPASRRWVPAQTLYSNPTRGASNPNRSSSVARQRLGLRVPAGSEAGPPGPVTLYPCSRDVRTLTFYVEDVEHKQKQSRRGSRGLQM
+>sp|Q96HJ9|FMC1_HUMAN Protein FMC1 homolog OS=Homo sapiens OX=9606 GN=FMC1 PE=1 SV=2
+MAALGSPSHTFRGLLRELRYLSAATGRPYRDTAAYRYLVKAFRAHRVTSEKLCRAQHELHFQAATYLCLLRSIRKHVALHQEFHGKGERSVEESAGLVGLKLPHQPGGKGWEP
+>DECOY_sp|Q96HJ9|FMC1_HUMAN Protein FMC1 homolog OS=Homo sapiens OX=9606 GN=FMC1 PE=1 SV=2
+PEWGKGGPQHPLKLGVLGASEEVSREGKGHFEQHLAVHKRISRLLCLYTAAQFHLEHQARCLKESTVRHARFAKVLYRYAATDRYPRGTAASLYRLERLLGRFTHSPSGLAAM
+>sp|Q9H479|FN3K_HUMAN Fructosamine-3-kinase OS=Homo sapiens OX=9606 GN=FN3K PE=1 SV=1
+MEQLLRAELRTATLRAFGGPGAGCISEGRAYDTDAGPVFVKVNRRTQARQMFEGEVASLEALRSTGLVRVPRPMKVIDLPGGGAAFVMEHLKMKSLSSQASKLGEQMADLHLYNQKLREKLKEEENTVGRRGEGAEPQYVDKFGFHTVTCCGFIPQVNEWQDDWPTFFARHRLQAQLDLIEKDYADREARELWSRLQVKIPDLFCGLEIVPALLHGDLWSGNVAEDDVGPIIYDPASFYGHSEFELAIALMFGGFPRSFFTAYHRKIPKAPGFDQRLLLYQLFNYLNHWNHFGREYRSPSLGTMRRLLK
+>DECOY_sp|Q9H479|FN3K_HUMAN Fructosamine-3-kinase OS=Homo sapiens OX=9606 GN=FN3K PE=1 SV=1
+KLLRRMTGLSPSRYERGFHNWHNLYNFLQYLLLRQDFGPAKPIKRHYATFFSRPFGGFMLAIALEFESHGYFSAPDYIIPGVDDEAVNGSWLDGHLLAPVIELGCFLDPIKVQLRSWLERAERDAYDKEILDLQAQLRHRAFFTPWDDQWENVQPIFGCCTVTHFGFKDVYQPEAGEGRRGVTNEEEKLKERLKQNYLHLDAMQEGLKSAQSSLSKMKLHEMVFAAGGGPLDIVKMPRPVRVLGTSRLAELSAVEGEFMQRAQTRRNVKVFVPGADTDYARGESICGAGPGGFARLTATRLEARLLQEM
+>sp|F2Z333|FND10_HUMAN Fibronectin type III domain-containing protein 10 OS=Homo sapiens OX=9606 GN=FNDC10 PE=3 SV=1
+MRAPPLLLLLAACAPPPCAAAAPTPPGWEPTPDAPWCPYKVLPEGPEAGGGRLCFRSPARGFRCQAPGCVLHAPAGRSLRASVLRNRSVLLQWRLAPAAARRVRAFALNCSWRGAYTRFPCERVLLGASCRDYLLPDVHDSVLYRLCLQPLPLRAGPAAAAPETPEPAECVEFTAEPAGMQDIVVAMTAVGGSICVMLVVICLLVAYITENLMRPALARPGLRRHP
+>DECOY_sp|F2Z333|FND10_HUMAN Fibronectin type III domain-containing protein 10 OS=Homo sapiens OX=9606 GN=FNDC10 PE=3 SV=1
+PHRRLGPRALAPRMLNETIYAVLLCIVVLMVCISGGVATMAVVIDQMGAPEATFEVCEAPEPTEPAAAAPGARLPLPQLCLRYLVSDHVDPLLYDRCSAGLLVRECPFRTYAGRWSCNLAFARVRRAAAPALRWQLLVSRNRLVSARLSRGAPAHLVCGPAQCRFGRAPSRFCLRGGGAEPGEPLVKYPCWPADPTPEWGPPTPAAAACPPPACAALLLLLPPARM
+>sp|Q9BVV2|FND11_HUMAN Fibronectin type III domain-containing protein 11 OS=Homo sapiens OX=9606 GN=FNDC11 PE=1 SV=1
+MSTHVAGLGLDKMKLGNPQSFLDQEEADDQQLLEPEAWKTYTERRNALREFLTSDLSPHLLKRHHARMQLLRKCSYYIEVLPKHLALGDQNPLVLPSALFQLIDPWKFQRMKKVGTAQTKIQLLLLGDLLEQLDHGRAELDALLRSPDPRPFLADWALVERRLADVSAVMDSFLTMMVPGRLHVKHRLVSDVSATKIPHIWLMLSTKMPVVFDRKASAAHQDWARLRWFVTIQPATSEQYELRFRLLDPRTQQECAQCGVIPVAACTFDVRNLLPNRSYKFTIKRAETSTLVYEPWRDSLTLHTKPEPLEGPALSHSV
+>DECOY_sp|Q9BVV2|FND11_HUMAN Fibronectin type III domain-containing protein 11 OS=Homo sapiens OX=9606 GN=FNDC11 PE=1 SV=1
+VSHSLAPGELPEPKTHLTLSDRWPEYVLTSTEARKITFKYSRNPLLNRVDFTCAAVPIVGCQACEQQTRPDLLRFRLEYQESTAPQITVFWRLRAWDQHAASAKRDFVVPMKTSLMLWIHPIKTASVDSVLRHKVHLRGPVMMTLFSDMVASVDALRREVLAWDALFPRPDPSRLLADLEARGHDLQELLDGLLLLQIKTQATGVKKMRQFKWPDILQFLASPLVLPNQDGLALHKPLVEIYYSCKRLLQMRAHHRKLLHPSLDSTLFERLANRRETYTKWAEPELLQQDDAEEQDLFSQPNGLKMKDLGLGAVHTSM
+>sp|Q8TC99|FNDC8_HUMAN Fibronectin type III domain-containing protein 8 OS=Homo sapiens OX=9606 GN=FNDC8 PE=2 SV=2
+MASEALHQVGDGEEAVLKKENFNMMNALDQLPKPFSNPKSMNRTVTTKGLPLASKGNLVNFLEDDTINLLKPLPVEDSDCSSDETSISAFSSTLLNPIKLAVTQPNSSFFAGMLEGELNKLSFSPMAKNAENEDLALGPCPCPSKSQMATRGLLDLDNPELETETSSTHSESSVVVDLPDTPFIFEHTVNNSTAVISWTYALGKQPVSFYQLLLQEVAKTQENELPEAKNRPWIFNKILGTTVKLMELKPNTCYCLSVRAANTAGVGKWCKPYKFATLATDFSSFPENYPIQITVRRKEPRQKIVSIGPEEMRRLEDLEYLFPC
+>DECOY_sp|Q8TC99|FNDC8_HUMAN Fibronectin type III domain-containing protein 8 OS=Homo sapiens OX=9606 GN=FNDC8 PE=2 SV=2
+CPFLYELDELRRMEEPGISVIKQRPEKRRVTIQIPYNEPFSSFDTALTAFKYPKCWKGVGATNAARVSLCYCTNPKLEMLKVTTGLIKNFIWPRNKAEPLENEQTKAVEQLLLQYFSVPQKGLAYTWSIVATSNNVTHEFIFPTDPLDVVVSSESHTSSTETELEPNDLDLLGRTAMQSKSPCPCPGLALDENEANKAMPSFSLKNLEGELMGAFFSSNPQTVALKIPNLLTSSFASISTEDSSCDSDEVPLPKLLNITDDELFNVLNGKSALPLGKTTVTRNMSKPNSFPKPLQDLANMMNFNEKKLVAEEGDGVQHLAESAM
+>sp|Q8TF40|FNIP1_HUMAN Folliculin-interacting protein 1 OS=Homo sapiens OX=9606 GN=FNIP1 PE=1 SV=3
+MAPTLFQKLFSKRTGLGAPGRDARDPDCGFSWPLPEFDPSQIRLIVYQDCERRGRNVLFDSSVKRRNEDISVSKLGSDAQVKVFGKCCQLKPGGDSSSSLDSSVTSSSDIKDQCLKYQGSRCSSDANMLGEMMFGSVAMSYKGSTLKIHQIRSPPQLMLSKVFTARTGSSICGSLNTLQDSLEFINQDNNTLKADNNTVINGLLGNIGLSQFCSPRRAFSEQGPLRLIRSASFFAVHSNPMDMPGRELNEDRDSGIARSASLSSLLITPFPSPNSSLTRSCASSYQRRWRRSQTTSLENGVFPRWSIEESFNLSDESCGPNPGIVRKKKIAIGVIFSLSKDEDENNKFNEFFFSHFPLFESHMNKLKSAIEQAMKMSRRSADASQRSLAYNRIVDALNEFRTTICNLYTMPRIGEPVWLTMMSGTPEKNHLCYRFMKEFTFLMENASKNQFLPALITAVLTNHLAWVPTVMPNGQPPIKIFLEKHSSQSVDMLAKTHPYNPLWAQLGDLYGAIGSPVRLARTVVVGKRQDMVQRLLYFLTYFIRCSELQETHLLENGEDEAIVMPGTVITTTLEKGEIEESEYVLVTMHRNKSSLLFKESEEIRTPNCNCKYCSHPLLGQNVENISQQEREDIQNSSKELLGISDECQMISPSDCQEENAVDVKQYRDKLRTCFDAKLETVVCTGSVPVDKCALSESGLESTEETWQSEKLLDSDSHTGKAMRSTGMVVEKKPPDKIVPASFSCEAAQTKVTFLIGDSMSPDSDTELRSQAVVDQITRHHTKPLKEERGAIDQHQETKQTTKDQSGESDTQNMVSEEPCELPCWNHSDPESMSLFDEYFNDDSIETRTIDDVPFKTSTDSKDHCCMLEFSKILCTKNNKQNNEFCKCIETVPQDSCKTCFPQQDQRDTLSILVPHGDKESSDKKIAVGTEWDIPRNESSDSALGDSESEDTGHDMTRQVSSYYGGEQEDWAEEDEIPFPGSKLIEVSAVQPNIANFGRSLLGGYCSSYVPDFVLQGIGSDERFRQCLMSDLSHAVQHPVLDEPIAEAVCIIADMDKWTVQVASSQRRVTDNKLGKEVLVSSLVSNLLHSTLQLYKHNLSPNFCVMHLEDRLQELYFKSKMLSEYLRGQMRVHVKELGVVLGIESSDLPLLAAVASTHSPYVAQILL
+>DECOY_sp|Q8TF40|FNIP1_HUMAN Folliculin-interacting protein 1 OS=Homo sapiens OX=9606 GN=FNIP1 PE=1 SV=3
+LLIQAVYPSHTSAVAALLPLDSSEIGLVVGLEKVHVRMQGRLYESLMKSKFYLEQLRDELHMVCFNPSLNHKYLQLTSHLLNSVLSSVLVEKGLKNDTVRRQSSAVQVTWKDMDAIICVAEAIPEDLVPHQVAHSLDSMLCQRFREDSGIGQLVFDPVYSSCYGGLLSRGFNAINPQVASVEILKSGPFPIEDEEAWDEQEGGYYSSVQRTMDHGTDESESDGLASDSSENRPIDWETGVAIKKDSSEKDGHPVLISLTDRQDQQPFCTKCSDQPVTEICKCFENNQKNNKTCLIKSFELMCCHDKSDTSTKFPVDDITRTEISDDNFYEDFLSMSEPDSHNWCPLECPEESVMNQTDSEGSQDKTTQKTEQHQDIAGREEKLPKTHHRTIQDVVAQSRLETDSDPSMSDGILFTVKTQAAECSFSAPVIKDPPKKEVVMGTSRMAKGTHSDSDLLKESQWTEETSELGSESLACKDVPVSGTCVVTELKADFCTRLKDRYQKVDVANEEQCDSPSIMQCEDSIGLLEKSSNQIDEREQQSINEVNQGLLPHSCYKCNCNPTRIEESEKFLLSSKNRHMTVLVYESEEIEGKELTTTIVTGPMVIAEDEGNELLHTEQLESCRIFYTLFYLLRQVMDQRKGVVVTRALRVPSGIAGYLDGLQAWLPNYPHTKALMDVSQSSHKELFIKIPPQGNPMVTPVWALHNTLVATILAPLFQNKSANEMLFTFEKMFRYCLHNKEPTGSMMTLWVPEGIRPMTYLNCITTRFENLADVIRNYALSRQSADASRRSMKMAQEIASKLKNMHSEFLPFHSFFFENFKNNEDEDKSLSFIVGIAIKKKRVIGPNPGCSEDSLNFSEEISWRPFVGNELSTTQSRRWRRQYSSACSRTLSSNPSPFPTILLSSLSASRAIGSDRDENLERGPMDMPNSHVAFFSASRILRLPGQESFARRPSCFQSLGINGLLGNIVTNNDAKLTNNDQNIFELSDQLTNLSGCISSGTRATFVKSLMLQPPSRIQHIKLTSGKYSMAVSGFMMEGLMNADSSCRSGQYKLCQDKIDSSSTVSSDLSSSSDGGPKLQCCKGFVKVQADSGLKSVSIDENRRKVSSDFLVNRGRRECDQYVILRIQSPDFEPLPWSFGCDPDRADRGPAGLGTRKSFLKQFLTPAM
+>sp|P49354|FNTA_HUMAN Protein farnesyltransferase/geranylgeranyltransferase type-1 subunit alpha OS=Homo sapiens OX=9606 GN=FNTA PE=1 SV=1
+MAATEGVGEAAQGGEPGQPAQPPPQPHPPPPQQQHKEEMAAEAGEAVASPMDDGFVSLDSPSYVLYRDRAEWADIDPVPQNDGPNPVVQIIYSDKFRDVYDYFRAVLQRDERSERAFKLTRDAIELNAANYTVWHFRRVLLKSLQKDLHEEMNYITAIIEEQPKNYQVWHHRRVLVEWLRDPSQELEFIADILNQDAKNYHAWQHRQWVIQEFKLWDNELQYVDQLLKEDVRNNSVWNQRYFVISNTTGYNDRAVLEREVQYTLEMIKLVPHNESAWNYLKGILQDRGLSKYPNLLNQLLDLQPSHSSPYLIAFLVDIYEDMLENQCDNKEDILNKALELCEILAKEKDTIRKEYWRYIGRSLQSKHSTENDSPTNVQQ
+>DECOY_sp|P49354|FNTA_HUMAN Protein farnesyltransferase/geranylgeranyltransferase type-1 subunit alpha OS=Homo sapiens OX=9606 GN=FNTA PE=1 SV=1
+QQVNTPSDNETSHKSQLSRGIYRWYEKRITDKEKALIECLELAKNLIDEKNDCQNELMDEYIDVLFAILYPSSHSPQLDLLQNLLNPYKSLGRDQLIGKLYNWASENHPVLKIMELTYQVERELVARDNYGTTNSIVFYRQNWVSNNRVDEKLLQDVYQLENDWLKFEQIVWQRHQWAHYNKADQNLIDAIFELEQSPDRLWEVLVRRHHWVQYNKPQEEIIATIYNMEEHLDKQLSKLLVRRFHWVTYNAANLEIADRTLKFARESREDRQLVARFYDYVDRFKDSYIIQVVPNPGDNQPVPDIDAWEARDRYLVYSPSDLSVFGDDMPSAVAEGAEAAMEEKHQQQPPPPHPQPPPQAPQGPEGGQAAEGVGETAAM
+>sp|Q8IX07|FOG1_HUMAN Zinc finger protein ZFPM1 OS=Homo sapiens OX=9606 GN=ZFPM1 PE=1 SV=2
+MSRRKQSNPRQIKRSLGDMEAREEVQLVGASHMEQKATAPEAPSPPSADVNSPPPLPPPTSPGGPKELEGQEPEPRPTEEEPGSPWSGPDELEPVVQDGQRRIRARLSLATGLSWGPFHGSVQTRASSPRQAEPSPALTLLLVDEACWLRTLPQALTEAEANTEIHRKDDALWCRVTKPVPAGGLLSVLLTAEPHSTPGHPVKKEPAEPTCPAPAHDLQLLPQQAGMASILATAVINKDVFPCKDCGIWYRSERNLQAHLLYYCASRQGTGSPAAAATDEKPKETYPNERVCPFPQCRKSCPSASSLEIHMRSHSGERPFVCLICLSAFTTKANCERHLKVHTDTLSGVCHSCGFISTTRDILYSHLVTNHMVCQPGSKGEIYSPGAGHPATKLPPDSLGSFQQQHTALQGPLASADLGLAPTPSPGLDRKALAEATNGEARAEPLAQNGGSSEPPAAPRSIKVEAVEEPEAAPILGPGEPGPQAPSRTPSPRSPAPARVKAELSSPTPGSSPVPGELGLAGALFLPQYVFGPDAAPPASEILAKMSELVHSRLQQGAGAGAGGAQTGLFPGAPKGATCFECEITFSNVNNYYVHKRLYCSGRRAPEDAPAARRPKAPPGPARAPPGQPAEPDAPRSSPGPGAREEGAGGAATPEDGAGGRGSEGSQSPGSSVDDAEDDPSRTLCEACNIRFSRHETYTVHKRYYCASRHDPPPRRPAAPPGPPGPAAPPAPSPAAPVRTRRRRKLYELHAAGAPPPPPPGHAPAPESPRPGSGSGSGPGLAPARSPGPAADGPIDLSKKPRRPLPGAPAPALADYHECTACRVSFHSLEAYLAHKKYSCPAAPPPGALGLPAAACPYCPPNGPVRGDLLEHFRLAHGLLLGAPLAGPGVEARTPADRGPSPAPAPAASPQPGSRGPRDGLGPEPQEPPPGPPPSPAAAPEAVPPPPAPPSYSDKGVQTPSKGTPAPLPNGNHRYCRLCNIKFSSLSTFIAHKKYYCSSHAAEHVK
+>DECOY_sp|Q8IX07|FOG1_HUMAN Zinc finger protein ZFPM1 OS=Homo sapiens OX=9606 GN=ZFPM1 PE=1 SV=2
+KVHEAAHSSCYYKKHAIFTSLSSFKINCLRCYRHNGNPLPAPTGKSPTQVGKDSYSPPAPPPPVAEPAAAPSPPPGPPPEQPEPGLGDRPGRSGPQPSAAPAPAPSPGRDAPTRAEVGPGALPAGLLLGHALRFHELLDGRVPGNPPCYPCAAAPLGLAGPPPAAPCSYKKHALYAELSHFSVRCATCEHYDALAPAPAGPLPRRPKKSLDIPGDAAPGPSRAPALGPGSGSGSGPRPSEPAPAHGPPPPPPAGAAHLEYLKRRRRTRVPAAPSPAPPAAPGPPGPPAAPRRPPPDHRSACYYRKHVTYTEHRSFRINCAECLTRSPDDEADDVSSGPSQSGESGRGGAGDEPTAAGGAGEERAGPGPSSRPADPEAPQGPPARAPGPPAKPRRAAPADEPARRGSCYLRKHVYYNNVNSFTIECEFCTAGKPAGPFLGTQAGGAGAGAGQQLRSHVLESMKALIESAPPAADPGFVYQPLFLAGALGLEGPVPSSGPTPSSLEAKVRAPAPSRPSPTRSPAQPGPEGPGLIPAAEPEEVAEVKISRPAAPPESSGGNQALPEARAEGNTAEALAKRDLGPSPTPALGLDASALPGQLATHQQQFSGLSDPPLKTAPHGAGPSYIEGKSGPQCVMHNTVLHSYLIDRTTSIFGCSHCVGSLTDTHVKLHRECNAKTTFASLCILCVFPREGSHSRMHIELSSASPCSKRCQPFPCVRENPYTEKPKEDTAAAAPSGTGQRSACYYLLHAQLNRESRYWIGCDKCPFVDKNIVATALISAMGAQQPLLQLDHAPAPCTPEAPEKKVPHGPTSHPEATLLVSLLGGAPVPKTVRCWLADDKRHIETNAEAETLAQPLTRLWCAEDVLLLTLAPSPEAQRPSSARTQVSGHFPGWSLGTALSLRARIRRQGDQVVPELEDPGSWPSGPEEETPRPEPEQGELEKPGGPSTPPPLPPPSNVDASPPSPAEPATAKQEMHSAGVLQVEERAEMDGLSRKIQRPNSQKRRSM
+>sp|P15407|FOSL1_HUMAN Fos-related antigen 1 OS=Homo sapiens OX=9606 GN=FOSL1 PE=1 SV=1
+MFRDFGEPGPSSGNGGGYGGPAQPPAAAQAAQQKFHLVPSINTMSGSQELQWMVQPHFLGPSSYPRPLTYPQYSPPQPRPGVIRALGPPPGVRRRPCEQISPEEEERRRVRRERNKLAAAKCRNRRKELTDFLQAETDKLEDEKSGLQREIEELQKQKERLELVLEAHRPICKIPEGAKEGDTGSTSGTSSPPAPCRPVPCISLSPGPVLEPEALHTPTLMTTPSLTPFTPSLVFTYPSTPEPCASAHRKSSSSSGDPSSDPLGSPTLLAL
+>DECOY_sp|P15407|FOSL1_HUMAN Fos-related antigen 1 OS=Homo sapiens OX=9606 GN=FOSL1 PE=1 SV=1
+LALLTPSGLPDSSPDGSSSSSKRHASACPEPTSPYTFVLSPTFPTLSPTTMLTPTHLAEPELVPGPSLSICPVPRCPAPPSSTGSTSGTDGEKAGEPIKCIPRHAELVLELREKQKQLEEIERQLGSKEDELKDTEAQLFDTLEKRRNRCKAAALKNRERRVRRREEEEPSIQECPRRRVGPPPGLARIVGPRPQPPSYQPYTLPRPYSSPGLFHPQVMWQLEQSGSMTNISPVLHFKQQAAQAAAPPQAPGGYGGGNGSSPGPEGFDRFM
+>sp|P15408|FOSL2_HUMAN Fos-related antigen 2 OS=Homo sapiens OX=9606 GN=FOSL2 PE=1 SV=1
+MYQDYPGNFDTSSRGSSGSPAHAESYSSGGGGQQKFRVDMPGSGSAFIPTINAITTSQDLQWMVQPTVITSMSNPYPRSHPYSPLPGLASVPGHMALPRPGVIKTIGTTVGRRRRDEQLSPEEEEKRRIRRERNKLAAAKCRNRRRELTEKLQAETEELEEEKSGLQKEIAELQKEKEKLEFMLVAHGPVCKISPEERRSPPAPGLQPMRSGGGSVGAVVVKQEPLEEDSPSSSSAGLDKAQRSVIKPISIAGGFYGEEPLHTPIVVTSTPAVTPGTSNLVFTYPSVLEQESPASPSESCSKAHRRSSSSGDQSSDSLNSPTLLAL
+>DECOY_sp|P15408|FOSL2_HUMAN Fos-related antigen 2 OS=Homo sapiens OX=9606 GN=FOSL2 PE=1 SV=1
+LALLTPSNLSDSSQDGSSSSRRHAKSCSESPSAPSEQELVSPYTFVLNSTGPTVAPTSTVVIPTHLPEEGYFGGAISIPKIVSRQAKDLGASSSSPSDEELPEQKVVVAGVSGGGSRMPQLGPAPPSRREEPSIKCVPGHAVLMFELKEKEKQLEAIEKQLGSKEEELEETEAQLKETLERRRNRCKAAALKNRERRIRRKEEEEPSLQEDRRRRGVTTGITKIVGPRPLAMHGPVSALGPLPSYPHSRPYPNSMSTIVTPQVMWQLDQSTTIANITPIFASGSGPMDVRFKQQGGGGSSYSEAHAPSGSSGRSSTDFNGPYDQYM
+>sp|P01100|FOS_HUMAN Proto-oncogene c-Fos OS=Homo sapiens OX=9606 GN=FOS PE=1 SV=1
+MMFSGFNADYEASSSRCSSASPAGDSLSYYHSPADSFSSMGSPVNAQDFCTDLAVSSANFIPTVTAISTSPDLQWLVQPALVSSVAPSQTRAPHPFGVPAPSAGAYSRAGVVKTMTGGRAQSIGRRGKVEQLSPEEEEKRRIRRERNKMAAAKCRNRRRELTDTLQAETDQLEDEKSALQTEIANLLKEKEKLEFILAAHRPACKIPDDLGFPEEMSVASLDLTGGLPEVATPESEEAFTLPLLNDPEPKPSVEPVKSISSMELKTEPFDDFLFPASSRPSGSETARSVPDMDLSGSFYAADWEPLHSGSLGMGPMATELEPLCTPVVTCTPSCTAYTSSFVFTYPEADSFPSCAAAHRKGSSSNEPSSDSLSSPTLLAL
+>DECOY_sp|P01100|FOS_HUMAN Proto-oncogene c-Fos OS=Homo sapiens OX=9606 GN=FOS PE=1 SV=1
+LALLTPSSLSDSSPENSSSGKRHAAACSPFSDAEPYTFVFSSTYATCSPTCTVVPTCLPELETAMPGMGLSGSHLPEWDAAYFSGSLDMDPVSRATESGSPRSSAPFLFDDFPETKLEMSSISKVPEVSPKPEPDNLLPLTFAEESEPTAVEPLGGTLDLSAVSMEEPFGLDDPIKCAPRHAALIFELKEKEKLLNAIETQLASKEDELQDTEAQLTDTLERRRNRCKAAAMKNRERRIRRKEEEEPSLQEVKGRRGISQARGGTMTKVVGARSYAGASPAPVGFPHPARTQSPAVSSVLAPQVLWQLDPSTSIATVTPIFNASSVALDTCFDQANVPSGMSSFSDAPSHYYSLSDGAPSASSCRSSSAEYDANFGSFMM
+>sp|P55318|FOXA3_HUMAN Hepatocyte nuclear factor 3-gamma OS=Homo sapiens OX=9606 GN=FOXA3 PE=1 SV=2
+MLGSVKMEAHDLAEWSYYPEAGEVYSPVTPVPTMAPLNSYMTLNPLSSPYPPGGLPASPLPSGPLAPPAPAAPLGPTFPGLGVSGGSSSSGYGAPGPGLVHGKEMPKGYRRPLAHAKPPYSYISLITMAIQQAPGKMLTLSEIYQWIMDLFPYYRENQQRWQNSIRHSLSFNDCFVKVARSPDKPGKGSYWALHPSSGNMFENGCYLRRQKRFKLEEKVKKGGSGAATTTRNGTGSAASTTTPAATVTSPPQPPPPAPEPEAQGGEDVGALDCGSPASSTPYFTGLELPGELKLDAPYNFNHPFSINNLMSEQTPAPPKLDVGFGGYGAEGGEPGVYYQGLYSRSLLNAS
+>DECOY_sp|P55318|FOXA3_HUMAN Hepatocyte nuclear factor 3-gamma OS=Homo sapiens OX=9606 GN=FOXA3 PE=1 SV=2
+SANLLSRSYLGQYYVGPEGGEAGYGGFGVDLKPPAPTQESMLNNISFPHNFNYPADLKLEGPLELGTFYPTSSAPSGCDLAGVDEGGQAEPEPAPPPPQPPSTVTAAPTTTSAASGTGNRTTTAAGSGGKKVKEELKFRKQRRLYCGNEFMNGSSPHLAWYSGKGPKDPSRAVKVFCDNFSLSHRISNQWRQQNERYYPFLDMIWQYIESLTLMKGPAQQIAMTILSIYSYPPKAHALPRRYGKPMEKGHVLGPGPAGYGSSSSGGSVGLGPFTPGLPAAPAPPALPGSPLPSAPLGGPPYPSSLPNLTMYSNLPAMTPVPTVPSYVEGAEPYYSWEALDHAEMKVSGLM
+>sp|Q5VYV0|FOXB2_HUMAN Forkhead box protein B2 OS=Homo sapiens OX=9606 GN=FOXB2 PE=4 SV=1
+MPRPGKSSYSDQKPPYSYISLTAMAIQHSAEKMLPLSDIYKFIMERFPYYREHTQRWQNSLRHNLSFNDCFIKIPRRPDQPGKGSFWALHPDCGDMFENGSFLRRRKRFKVLRADHTHLHAGSTKSAPGAGPGGHLHPHHHHHPHHHHHHHAAAHHHHHHHPPQPPPPPPPPPPHMVHYFHQQPPTAPQPPPHLPSQPPQQPPQQSQPQQPSHPGKMQEAAAVAAAAAAAAAAAVGSVGRLSQFPPYGLGSAAAAAAAAAASTSGFKHPFAIENIIGRDYKGVLQAGGLPLASVMHHLGYPVPGQLGNVVSSVWPHVGVMDSVAAAAAAAAAAGVPVGPEYGAFGVPVKSLCHSASQSLPAMPVPIKPTPALPPVSALQPGLTVPAASQQPPAPSTVCSAAAASPVASLLEPTAPTSAESKGGSLHSVLVHS
+>DECOY_sp|Q5VYV0|FOXB2_HUMAN Forkhead box protein B2 OS=Homo sapiens OX=9606 GN=FOXB2 PE=4 SV=1
+SHVLVSHLSGGKSEASTPATPELLSAVPSAAAASCVTSPAPPQQSAAPVTLGPQLASVPPLAPTPKIPVPMAPLSQSASHCLSKVPVGFAGYEPGVPVGAAAAAAAAAAVSDMVGVHPWVSSVVNGLQGPVPYGLHHMVSALPLGGAQLVGKYDRGIINEIAFPHKFGSTSAAAAAAAAAASGLGYPPFQSLRGVSGVAAAAAAAAAAAVAAAEQMKGPHSPQQPQSQQPPQQPPQSPLHPPPQPATPPQQHFYHVMHPPPPPPPPPPQPPHHHHHHHAAAHHHHHHHPHHHHHPHLHGGPGAGPASKTSGAHLHTHDARLVKFRKRRRLFSGNEFMDGCDPHLAWFSGKGPQDPRRPIKIFCDNFSLNHRLSNQWRQTHERYYPFREMIFKYIDSLPLMKEASHQIAMATLSIYSYPPKQDSYSSKGPRPM
+>sp|O95967|FBLN4_HUMAN EGF-containing fibulin-like extracellular matrix protein 2 OS=Homo sapiens OX=9606 GN=EFEMP2 PE=1 SV=3
+MLPCASCLPGSLLLWALLLLLLGSASPQDSEEPDSYTECTDGYEWDPDSQHCRDVNECLTIPEACKGEMKCINHYGGYLCLPRSAAVINDLHGEGPPPPVPPAQHPNPCPPGYEPDDQDSCVDVDECAQALHDCRPSQDCHNLPGSYQCTCPDGYRKIGPECVDIDECRYRYCQHRCVNLPGSFRCQCEPGFQLGPNNRSCVDVNECDMGAPCEQRCFNSYGTFLCRCHQGYELHRDGFSCSDIDECSYSSYLCQYRCINEPGRFSCHCPQGYQLLATRLCQDIDECESGAHQCSEAQTCVNFHGGYRCVDTNRCVEPYIQVSENRCLCPASNPLCREQPSSIVHRYMTITSERSVPADVFQIQATSVYPGAYNAFQIRAGNSQGDFYIRQINNVSAMLVLARPVTGPREYVLDLEMVTMNSLMSYRASSVLRLTVFVGAYTF
+>DECOY_sp|O95967|FBLN4_HUMAN EGF-containing fibulin-like extracellular matrix protein 2 OS=Homo sapiens OX=9606 GN=EFEMP2 PE=1 SV=3
+FTYAGVFVTLRLVSSARYSMLSNMTVMELDLVYERPGTVPRALVLMASVNNIQRIYFDGQSNGARIQFANYAGPYVSTAQIQFVDAPVSRESTITMYRHVISSPQERCLPNSAPCLCRNESVQIYPEVCRNTDVCRYGGHFNVCTQAESCQHAGSECEDIDQCLRTALLQYGQPCHCSFRGPENICRYQCLYSSYSCEDIDSCSFGDRHLEYGQHCRCLFTGYSNFCRQECPAGMDCENVDVCSRNNPGLQFGPECQCRFSGPLNVCRHQCYRYRCEDIDVCEPGIKRYGDPCTCQYSGPLNHCDQSPRCDHLAQACEDVDVCSDQDDPEYGPPCPNPHQAPPVPPPPGEGHLDNIVAASRPLCLYGGYHNICKMEGKCAEPITLCENVDRCHQSDPDWEYGDTCETYSDPEESDQPSASGLLLLLLAWLLLSGPLCSACPLM
+>sp|Q9HAH7|FBRS_HUMAN Probable fibrosin-1 OS=Homo sapiens OX=9606 GN=FBRS PE=1 SV=3
+MFEKYPGKMEGLFRHNPYTAFPPAVPGLPPGLPPAVSFGSLQGAFQPKSTNPELPPRLGPVPSGLSQKGTQIPDHFRPPLRKPGKWCAMHVRVAYMILRHQEKMKGDSHKLDFRNDLLPCLPGPYGALPPGQELSHPASLFTATGAVHAAANPFTAAPGAHGPFLSPSTHIDPFGRPTSFASLAALSNGAFGGLGSPTFNSGAVFAQKESPGAPPAFASPPDPWGRLHRSPLTFPAWVRPPEAARTPGSDKERPVERREPSITKEEKDRDLPFSRPQLRVSPATPKARAGEEGPRPTKESVRVKEERKEEAAAAAAAAAAAAAAAAAAATGPQGLHLLFERPRPPPFLGPSPPDRCAGFLEPTWLAAPPRLARPPRFYEAGEELTGPGAVAAARLYGLEPAHPLLYSRLAPPPPPAAAPGTPHLLSKTPPGALLGAPPPLVPAPRPSSPPRGPGPARADR
+>DECOY_sp|Q9HAH7|FBRS_HUMAN Probable fibrosin-1 OS=Homo sapiens OX=9606 GN=FBRS PE=1 SV=3
+RDARAPGPGRPPSSPRPAPVLPPPAGLLAGPPTKSLLHPTGPAAAPPPPPALRSYLLPHAPELGYLRAAAVAGPGTLEEGAEYFRPPRALRPPAALWTPELFGACRDPPSPGLFPPPRPREFLLHLGQPGTAAAAAAAAAAAAAAAAAAAEEKREEKVRVSEKTPRPGEEGARAKPTAPSVRLQPRSFPLDRDKEEKTISPERREVPREKDSGPTRAAEPPRVWAPFTLPSRHLRGWPDPPSAFAPPAGPSEKQAFVAGSNFTPSGLGGFAGNSLAALSAFSTPRGFPDIHTSPSLFPGHAGPAATFPNAAAHVAGTATFLSAPHSLEQGPPLAGYPGPLCPLLDNRFDLKHSDGKMKEQHRLIMYAVRVHMACWKGPKRLPPRFHDPIQTGKQSLGSPVPGLRPPLEPNTSKPQFAGQLSGFSVAPPLGPPLGPVAPPFATYPNHRFLGEMKGPYKEFM
+>sp|Q9Y297|FBW1A_HUMAN F-box/WD repeat-containing protein 1A OS=Homo sapiens OX=9606 GN=BTRC PE=1 SV=1
+MDPAEAVLQEKALKFMCSMPRSLWLGCSSLADSMPSLRCLYNPGTGALTAFQNSSEREDCNNGEPPRKIIPEKNSLRQTYNSCARLCLNQETVCLASTAMKTENCVAKTKLANGTSSMIVPKQRKLSASYEKEKELCVKYFEQWSESDQVEFVEHLISQMCHYQHGHINSYLKPMLQRDFITALPARGLDHIAENILSYLDAKSLCAAELVCKEWYRVTSDGMLWKKLIERMVRTDSLWRGLAERRGWGQYLFKNKPPDGNAPPNSFYRALYPKIIQDIETIESNWRCGRHSLQRIHCRSETSKGVYCLQYDDQKIVSGLRDNTIKIWDKNTLECKRILTGHTGSVLCLQYDERVIITGSSDSTVRVWDVNTGEMLNTLIHHCEAVLHLRFNNGMMVTCSKDRSIAVWDMASPTDITLRRVLVGHRAAVNVVDFDDKYIVSASGDRTIKVWNTSTCEFVRTLNGHKRGIACLQYRDRLVVSGSSDNTIRLWDIECGACLRVLEGHEELVRCIRFDNKRIVSGAYDGKIKVWDLVAALDPRAPAGTLCLRTLVEHSGRVFRLQFDEFQIVSSSHDDTILIWDFLNDPAAQAEPPRSPSRTYTYISR
+>DECOY_sp|Q9Y297|FBW1A_HUMAN F-box/WD repeat-containing protein 1A OS=Homo sapiens OX=9606 GN=BTRC PE=1 SV=1
+RSIYTYTRSPSRPPEAQAAPDNLFDWILITDDHSSSVIQFEDFQLRFVRGSHEVLTRLCLTGAPARPDLAAVLDWVKIKGDYAGSVIRKNDFRICRVLEEHGELVRLCAGCEIDWLRITNDSSGSVVLRDRYQLCAIGRKHGNLTRVFECTSTNWVKITRDGSASVIYKDDFDVVNVAARHGVLVRRLTIDTPSAMDWVAISRDKSCTVMMGNNFRLHLVAECHHILTNLMEGTNVDWVRVTSDSSGTIIVREDYQLCLVSGTHGTLIRKCELTNKDWIKITNDRLGSVIKQDDYQLCYVGKSTESRCHIRQLSHRGCRWNSEITEIDQIIKPYLARYFSNPPANGDPPKNKFLYQGWGRREALGRWLSDTRVMREILKKWLMGDSTVRYWEKCVLEAACLSKADLYSLINEAIHDLGRAPLATIFDRQLMPKLYSNIHGHQYHCMQSILHEVFEVQDSESWQEFYKVCLEKEKEYSASLKRQKPVIMSSTGNALKTKAVCNETKMATSALCVTEQNLCLRACSNYTQRLSNKEPIIKRPPEGNNCDERESSNQFATLAGTGPNYLCRLSPMSDALSSCGLWLSRPMSCMFKLAKEQLVAEAPDM
+>sp|Q8IX29|FBX16_HUMAN F-box only protein 16 OS=Homo sapiens OX=9606 GN=FBXO16 PE=2 SV=1
+MMAFAPPKNTDGPKMQTKMSTWTPLNHQLLNDRVFEERRALLGKWFDKWTDSQRRRILTGLLERCSLSQQKFCCRKLQEKIPAEALDFTTKLPRVLSLYIFSFLDPRSLCRCAQVCWHWKNLAELDQLWMLKCLRFNWYINFSPTPFEQGIWKKHYIQMVKELHITKPKTPPKDGFVIADVQLVTSNSPEEKQSPLSAFRSSSSLRKKNNSGEKALPPWRSSDKHPTDIIRFNYLDNRDPMETVQQGRRKRNQMTPDFSRQSHDKKNKLQDRTRLRKAQSMMSRRNPFPLCP
+>DECOY_sp|Q8IX29|FBX16_HUMAN F-box only protein 16 OS=Homo sapiens OX=9606 GN=FBXO16 PE=2 SV=1
+PCLPFPNRRSMMSQAKRLRTRDQLKNKKDHSQRSFDPTMQNRKRRGQQVTEMPDRNDLYNFRIIDTPHKDSSRWPPLAKEGSNNKKRLSSSSRFASLPSQKEEPSNSTVLQVDAIVFGDKPPTKPKTIHLEKVMQIYHKKWIGQEFPTPSFNIYWNFRLCKLMWLQDLEALNKWHWCVQACRCLSRPDLFSFIYLSLVRPLKTTFDLAEAPIKEQLKRCCFKQQSLSCRELLGTLIRRRQSDTWKDFWKGLLARREEFVRDNLLQHNLPTWTSMKTQMKPGDTNKPPAFAMM
+>sp|O75426|FBX24_HUMAN F-box only protein 24 OS=Homo sapiens OX=9606 GN=FBXO24 PE=1 SV=2
+MGEKAVPLLRRRRVKRSCPSCGSELGVEEKRGKGNPISIQLFPPELVEHIISFLPVRDLVALGQTCRYFHEVCDGEGVWRRICRRLSPRLQDQGSGVRPWKRAAILNYTKGLYFQAFGGRRRCLSKSVAPLLAHGYRRFLPTKDHVFILDYVGTLFFLKNALVSTLGQMQWKRACRYVVLCRGAKDFASDPRCDTVYRKYLYVLATREPQEVVGTTSSRACDCVEVYLQSSGQRVFKMTFHHSMTFKQIVLVGQETQRALLLLTEEGKIYSLVVNETQLDQPRSYTVQLALRKVSHYLPHLRVACMTSNQSSTLYVTDQGGVYFEVHTPGVYRDLFGTLQAFDPLDQQMPLALSLPAKILFCALGYNHLGLVDEFGRIFMQGNNRYGQLGTGDKMDRGEPTQVCYLQRPITLWCGLNHSLVLSQSSEFSKELLGCGCGAGGRLPGWPKGSASFVKLQVKVPLCACALCATRECLYILSSHDIEQHAPYRHLPASRVVGTPEPSLGARAPQDPGGMAQACEEYLSQIHSCQTLQDRTEKMKEIVGWMPLMAAQKDFFWEALDMLQRAEGGGGGVGPPAPET
+>DECOY_sp|O75426|FBX24_HUMAN F-box only protein 24 OS=Homo sapiens OX=9606 GN=FBXO24 PE=1 SV=2
+TEPAPPGVGGGGGEARQLMDLAEWFFDKQAAMLPMWGVIEKMKETRDQLTQCSHIQSLYEECAQAMGGPDQPARAGLSPEPTGVVRSAPLHRYPAHQEIDHSSLIYLCERTACLACACLPVKVQLKVFSASGKPWGPLRGGAGCGCGLLEKSFESSQSLVLSHNLGCWLTIPRQLYCVQTPEGRDMKDGTGLQGYRNNGQMFIRGFEDVLGLHNYGLACFLIKAPLSLALPMQQDLPDFAQLTGFLDRYVGPTHVEFYVGGQDTVYLTSSQNSTMCAVRLHPLYHSVKRLALQVTYSRPQDLQTENVVLSYIKGEETLLLLARQTEQGVLVIQKFTMSHHFTMKFVRQGSSQLYVEVCDCARSSTTGVVEQPERTALVYLYKRYVTDCRPDSAFDKAGRCLVVYRCARKWQMQGLTSVLANKLFFLTGVYDLIFVHDKTPLFRRYGHALLPAVSKSLCRRRGGFAQFYLGKTYNLIAARKWPRVGSGQDQLRPSLRRCIRRWVGEGDCVEHFYRCTQGLAVLDRVPLFSIIHEVLEPPFLQISIPNGKGRKEEVGLESGCSPCSRKVRRRRLLPVAKEGM
+>sp|Q8TB52|FBX30_HUMAN F-box only protein 30 OS=Homo sapiens OX=9606 GN=FBXO30 PE=1 SV=3
+MEEELQHSHCVNCVSRRCMTRPEPGISCDLIGCPLVCGAVFHSCKADEHRLLCPFERVPCLNSDFGCPFTMARNKVAEHLEMCPASVVCCTMEWNRWPVSYADRKSYENLSRDVDEVAQLDMALALQDQRMLLESLKVATMMSKATDKVSKPREQISVKSSVPEIPHANGLVSVDEESYGALYQATVETTRSLAAALDILNTATRDIGMLNTSVPNDMDEQQNARESLEDQNLKDQDHLYEEEIGAVGGIDYNDTNQNAQSEQNGSSDLLCDLNTSSYDTSALCNGFPLENICTQVIDQNQNLHGDSKQSNLTNGDCVASSDGTSKPSSSLAVAAQLREIIPSSALPNGTVQHILMPDDEGEGELCWKKVDLGDVKNVDVLSFSHAPSFNFLSNSCWSKPKEDKAVDTSDLEVAEDPMGLQGIDLITAALLFCLGDSPGGRGISDSRMADIYHIDVGTQTFSLPSAILATSTMVGEIASASACDHANPQLSNPSPFQTLGLDLVLECVARYQPKQRSMFTFVCGQLFRRKEFSSHFKNVHGDIHAGLNGWMEQRCPLAYYGCTYSQRRFCPSIQGAKIIHDRHLRSFGVQPCVSTVLVEPARNCVLGLHNDHLSSLPFEVLQHIAGFLDGFSLCQLSCVSKLMRDVCGSLLQSRGMVILQWGKRKYPEGNSSWQIKEKVWRFSTAFCSVNEWKFADILSMADHLKKCSYNVVEKREEAIPLPCMCVTRELTKEGRSLRSVLKPVL
+>DECOY_sp|Q8TB52|FBX30_HUMAN F-box only protein 30 OS=Homo sapiens OX=9606 GN=FBXO30 PE=1 SV=3
+LVPKLVSRLSRGEKTLERTVCMCPLPIAEERKEVVNYSCKKLHDAMSLIDAFKWENVSCFATSFRWVKEKIQWSSNGEPYKRKGWQLIVMGRSQLLSGCVDRMLKSVCSLQCLSFGDLFGAIHQLVEFPLSSLHDNHLGLVCNRAPEVLVTSVCPQVGFSRLHRDHIIKAGQISPCFRRQSYTCGYYALPCRQEMWGNLGAHIDGHVNKFHSSFEKRRFLQGCVFTFMSRQKPQYRAVCELVLDLGLTQFPSPNSLQPNAHDCASASAIEGVMTSTALIASPLSFTQTGVDIHYIDAMRSDSIGRGGPSDGLCFLLAATILDIGQLGMPDEAVELDSTDVAKDEKPKSWCSNSLFNFSPAHSFSLVDVNKVDGLDVKKWCLEGEGEDDPMLIHQVTGNPLASSPIIERLQAAVALSSSPKSTGDSSAVCDGNTLNSQKSDGHLNQNQDIVQTCINELPFGNCLASTDYSSTNLDCLLDSSGNQESQANQNTDNYDIGGVAGIEEEYLHDQDKLNQDELSERANQQEDMDNPVSTNLMGIDRTATNLIDLAAALSRTTEVTAQYLAGYSEEDVSVLGNAHPIEPVSSKVSIQERPKSVKDTAKSMMTAVKLSELLMRQDQLALAMDLQAVEDVDRSLNEYSKRDAYSVPWRNWEMTCCVVSAPCMELHEAVKNRAMTFPCGFDSNLCPVREFPCLLRHEDAKCSHFVAGCVLPCGILDCSIGPEPRTMCRRSVCNVCHSHQLEEEM
+>sp|Q969P5|FBX32_HUMAN F-box only protein 32 OS=Homo sapiens OX=9606 GN=FBXO32 PE=1 SV=1
+MPFLGQDWRSPGQNWVKTADGWKRFLDEKSGSFVSDLSSYCNKEVYNKENLFNSLNYDVAAKKRKKDMLNSKTKTQYFHQEKWIYVHKGSTKERHGYCTLGEAFNRLDFSTAILDSRRFNYVVRLLELIAKSQLTSLSGIAQKNFMNILEKVVLKVLEDQQNIRLIRELLQTLYTSLCTLVQRVGKSVLVGNINMWVYRMETILHWQQQLNNIQITRPAFKGLTFTDLPLCLQLNIMQRLSDGRDLVSLGQAAPDLHVLSEDRLLWKKLCQYHFSERQIRKRLILSDKGQLDWKKMYFKLVRCYPRKEQYGDTLQLCKHCHILSWKGTDHPCTANNPESCSVSLSPQDFINLFKF
+>DECOY_sp|Q969P5|FBX32_HUMAN F-box only protein 32 OS=Homo sapiens OX=9606 GN=FBXO32 PE=1 SV=1
+FKFLNIFDQPSLSVSCSEPNNATCPHDTGKWSLIHCHKCLQLTDGYQEKRPYCRVLKFYMKKWDLQGKDSLILRKRIQRESFHYQCLKKWLLRDESLVHLDPAAQGLSVLDRGDSLRQMINLQLCLPLDTFTLGKFAPRTIQINNLQQQWHLITEMRYVWMNINGVLVSKGVRQVLTCLSTYLTQLLERILRINQQDELVKLVVKELINMFNKQAIGSLSTLQSKAILELLRVVYNFRRSDLIATSFDLRNFAEGLTCYGHREKTSGKHVYIWKEQHFYQTKTKSNLMDKKRKKAAVDYNLSNFLNEKNYVEKNCYSSLDSVFSGSKEDLFRKWGDATKVWNQGPSRWDQGLFPM
+>sp|Q8N4B4|FBX39_HUMAN F-box only protein 39 OS=Homo sapiens OX=9606 GN=FBXO39 PE=2 SV=1
+MDEESELIQPQDQSCWAFLPDLCLCRVFWWLGDRDRSRAALVCRKWNQMMYSAELWRYRTITFSGRPSRVHASEVESAVWYVKKFGRYLEHLEVKFMNPYNAVLTKKFQVTMRGLLSCLSKSNNRLKSLSIQYLELDRLVWRNSIRSSFISSLSFFLKKMGKRLDYLNLKGARLTVEQGCQILDSLSYMRNENVISELNIEDYFSHHLAVYNSPQFKKTMSTFHNLVSLNLNYNCISDELLENLCENASTLRTINIKCHVHDPHGQVIWGMSWAKLARQATNLKVNFFFERIMKYERLARILLQEIPIRSISLRSCYFSDPDCSMRPTLIDLLPTFRHTLQKLTCEFNNNHESLDEELHLLIISCRKLFYFKIWAFLDVSFVERILKSQKERQCALRVFKARIYTNRYETNEEDKTLQEIYRKYRKLIESELSYFVIVYSVM
+>DECOY_sp|Q8N4B4|FBX39_HUMAN F-box only protein 39 OS=Homo sapiens OX=9606 GN=FBXO39 PE=2 SV=1
+MVSYVIVFYSLESEILKRYKRYIEQLTKDEENTEYRNTYIRAKFVRLACQREKQSKLIREVFSVDLFAWIKFYFLKRCSIILLHLEEDLSEHNNNFECTLKQLTHRFTPLLDILTPRMSCDPDSFYCSRLSISRIPIEQLLIRALREYKMIREFFFNVKLNTAQRALKAWSMGWIVQGHPDHVHCKINITRLTSANECLNELLEDSICNYNLNLSVLNHFTSMTKKFQPSNYVALHHSFYDEINLESIVNENRMYSLSDLIQCGQEVTLRAGKLNLYDLRKGMKKLFFSLSSIFSSRISNRWVLRDLELYQISLSKLRNNSKSLCSLLGRMTVQFKKTLVANYPNMFKVELHELYRGFKKVYWVASEVESAHVRSPRGSFTITRYRWLEASYMMQNWKRCVLAARSRDRDGLWWFVRCLCLDPLFAWCSQDQPQILESEEDM
+>sp|Q9UH90|FBX40_HUMAN F-box only protein 40 OS=Homo sapiens OX=9606 GN=FBXO40 PE=2 SV=2
+MGKARRSPPGHHRHCEGCFNRHCHIPVEPNTSCLVISCHLLCGATFHMCKEAEHQLLCPLEQVPCLNSEYGCPLSMSRHKLAKHLQVCPASVVCCSMEWNRWPNVDSETTLHENIMKETPSEECLDTALALQDQKVLFRSLKMVELFPETREATEEEPTMNGETSVEEMGGAVGGVDIGLVPHGLSATNGEMAELSQEEREVLAKTKEGMDLVKFGQWENIFSKEHAASALTNSSASCESKNKNDSEKEQISSGHNMVEGEGAPKKKEPQENQKQQDVRTAMETTGLAPWQDGVLERLKTAVDAKDYNMYLVHNGRMLIHFGQMPACTPKERDFVYGKLEAQEVKTVYTFKVPVSYCGKRARLGDAMLSCKPSEHKAVDTSDLGITVEDLPKSDLIKTTLQCALERELKGHVISESRSIDGLFMDFATQTYNFEPEQFSSGTVLADLTAATPGGLHVELHSECVTRRHNKSSSAFTFTCNKFFRRDEFPLHFKNVHTDIQSCLNGWFQHRCPLAYLGCTFVQNHFRPPGQKAKVIYSQELKTFAIKPEVAPELSEGRKNNHLLGHGGKSQNSLTSLPLEILKYIAGFLDSVSLAQLSQVSVLMRNICATLLQERGMVLLQWKKKRYSHGGTSWRVHREIWQFSSLFSKIKSWEFNEVTSMSEHLKSCPFNIVEHKTDPILLTSMCQPREQARESLVSTFRIRPRGRYVS
+>DECOY_sp|Q9UH90|FBX40_HUMAN F-box only protein 40 OS=Homo sapiens OX=9606 GN=FBXO40 PE=2 SV=2
+SVYRGRPRIRFTSVLSERAQERPQCMSTLLIPDTKHEVINFPCSKLHESMSTVENFEWSKIKSFLSSFQWIERHVRWSTGGHSYRKKKWQLLVMGREQLLTACINRMLVSVQSLQALSVSDLFGAIYKLIELPLSTLSNQSKGGHGLLHNNKRGESLEPAVEPKIAFTKLEQSYIVKAKQGPPRFHNQVFTCGLYALPCRHQFWGNLCSQIDTHVNKFHLPFEDRRFFKNCTFTFASSSKNHRRTVCESHLEVHLGGPTAATLDALVTGSSFQEPEFNYTQTAFDMFLGDISRSESIVHGKLERELACQLTTKILDSKPLDEVTIGLDSTDVAKHESPKCSLMADGLRARKGCYSVPVKFTYVTKVEQAELKGYVFDREKPTCAPMQGFHILMRGNHVLYMNYDKADVATKLRELVGDQWPALGTTEMATRVDQQKQNEQPEKKKPAGEGEVMNHGSSIQEKESDNKNKSECSASSNTLASAAHEKSFINEWQGFKVLDMGEKTKALVEREEQSLEAMEGNTASLGHPVLGIDVGGVAGGMEEVSTEGNMTPEEETAERTEPFLEVMKLSRFLVKQDQLALATDLCEESPTEKMINEHLTTESDVNPWRNWEMSCCVVSAPCVQLHKALKHRSMSLPCGYESNLCPVQELPCLLQHEAEKCMHFTAGCLLHCSIVLCSTNPEVPIHCHRNFCGECHRHHGPPSRRAKGM
+>sp|Q9Y3I1|FBX7_HUMAN F-box only protein 7 OS=Homo sapiens OX=9606 GN=FBXO7 PE=1 SV=1
+MRLRVRLLKRTWPLEVPETEPTLGHLRSHLRQSLLCTWGYSSNTRFTITLNYKDPLTGDEETLASYGIVSGDLICLILQDDIPAPNIPSSTDSEHSSLQNNEQPSLATSSNQTSMQDEQPSDSFQGQAAQSGVWNDDSMLGPSQNFEAESIQDNAHMAEGTGFYPSEPMLCSESVEGQVPHSLETLYQSADCSDANDALIVLIHLLMLESGYIPQGTEAKALSMPEKWKLSGVYKLQYMHPLCEGSSATLTCVPLGNLIVVNATLKINNEIRSVKRLQLLPESFICKEKLGENVANIYKDLQKLSRLFKDQLVYPLLAFTRQALNLPDVFGLVVLPLELKLRIFRLLDVRSVLSLSAVCRDLFTASNDPLLWRFLYLRDFRDNTVRVQDTDWKELYRKRHIQRKESPKGRFVMLLPSSTHTIPFYPNPLHPRPFPSSRLPPGIIGGEYDQRPTLPYVGDPISSLIPGPGETPSQFPPLRPRFDPVGPLPGPNPILPGRGGPNDRFPFRPSRGRPTDGRLSFM
+>DECOY_sp|Q9Y3I1|FBX7_HUMAN F-box only protein 7 OS=Homo sapiens OX=9606 GN=FBXO7 PE=1 SV=1
+MFSLRGDTPRGRSPRFPFRDNPGGRGPLIPNPGPLPGVPDFRPRLPPFQSPTEGPGPILSSIPDGVYPLTPRQDYEGGIIGPPLRSSPFPRPHLPNPYFPITHTSSPLLMVFRGKPSEKRQIHRKRYLEKWDTDQVRVTNDRFDRLYLFRWLLPDNSATFLDRCVASLSLVSRVDLLRFIRLKLELPLVVLGFVDPLNLAQRTFALLPYVLQDKFLRSLKQLDKYINAVNEGLKEKCIFSEPLLQLRKVSRIENNIKLTANVVILNGLPVCTLTASSGECLPHMYQLKYVGSLKWKEPMSLAKAETGQPIYGSELMLLHILVILADNADSCDASQYLTELSHPVQGEVSESCLMPESPYFGTGEAMHANDQISEAEFNQSPGLMSDDNWVGSQAAQGQFSDSPQEDQMSTQNSSTALSPQENNQLSSHESDTSSPINPAPIDDQLILCILDGSVIGYSALTEEDGTLPDKYNLTITFRTNSSYGWTCLLSQRLHSRLHGLTPETEPVELPWTRKLLRVRLRM
+>sp|Q9UK97|FBX9_HUMAN F-box only protein 9 OS=Homo sapiens OX=9606 GN=FBXO9 PE=1 SV=1
+MPDIIWVFPPQAEAEEDCHSDTVRADDDEENESPAETDLQAQLQMFRAQWMFELAPGVSSSNLENRPCRAARGSLQKTSADTKGKQEQAKEEKARELFLKAVEEEQNGALYEAIKFYRRAMQLVPDIEFKITYTRSPDGDGVGNSYIEDNDDDSKMADLLSYFQQQLTFQESVLKLCQPELESSQIHISVLPMEVLMYIFRWVVSSDLDLRSLEQLSLVCRGFYICARDPEIWRLACLKVWGRSCIKLVPYTSWREMFLERPRVRFDGVYISKTTYIRQGEQSLDGFYRAWHQVEYYRYIRFFPDGHVMMLTTPEEPQSIVPRLRTRNTRTDAILLGHYRLSQDTDNQTKVFAVITKKKEEKPLDYKYRYFRRVPVQEADQSFHVGLQLCSSGHQRFNKLIWIHHSCHITYKSTGETAVSAFEIDKMYTPLFFARVRSYTAFSERPL
+>DECOY_sp|Q9UK97|FBX9_HUMAN F-box only protein 9 OS=Homo sapiens OX=9606 GN=FBXO9 PE=1 SV=1
+LPRESFATYSRVRAFFLPTYMKDIEFASVATEGTSKYTIHCSHHIWILKNFRQHGSSCLQLGVHFSQDAEQVPVRRFYRYKYDLPKEEKKKTIVAFVKTQNDTDQSLRYHGLLIADTRTNRTRLRPVISQPEEPTTLMMVHGDPFFRIYRYYEVQHWARYFGDLSQEGQRIYTTKSIYVGDFRVRPRELFMERWSTYPVLKICSRGWVKLCALRWIEPDRACIYFGRCVLSLQELSRLDLDSSVVWRFIYMLVEMPLVSIHIQSSELEPQCLKLVSEQFTLQQQFYSLLDAMKSDDDNDEIYSNGVGDGDPSRTYTIKFEIDPVLQMARRYFKIAEYLAGNQEEEVAKLFLERAKEEKAQEQKGKTDASTKQLSGRAARCPRNELNSSSVGPALEFMWQARFMQLQAQLDTEAPSENEEDDDARVTDSHCDEEAEAQPPFVWIIDPM
+>sp|Q9UKT7|FBXL3_HUMAN F-box/LRR-repeat protein 3 OS=Homo sapiens OX=9606 GN=FBXL3 PE=1 SV=1
+MKRGGRDSDRNSSEEGTAEKSKKLRTTNEHSQTCDWGNLLQDIILQVFKYLPLLDRAHASQVCRNWNQVFHMPDLWRCFEFELNQPATSYLKATHPELIKQIIKRHSNHLQYVSFKVDSSKESAEAACDILSQLVNCSLKTLGLISTARPSFMDLPKSHFISALTVVFVNSKSLSSLKIDDTPVDDPSLKVLVANNSDTLKLLKMSSCPHVSPAGILCVADQCHGLRELALNYHLLSDELLLALSSEKHVRLEHLRIDVVSENPGQTHFHTIQKSSWDAFIRHSPKVNLVMYFFLYEEEFDPFFRYEIPATHLYFGRSVSKDVLGRVGMTCPRLVELVVCANGLRPLDEELIRIAERCKNLSAIGLGECEVSCSAFVEFVKMCGGRLSQLSIMEEVLIPDQKYSLEQIHWEVSKHLGRVWFPDMMPTW
+>DECOY_sp|Q9UKT7|FBXL3_HUMAN F-box/LRR-repeat protein 3 OS=Homo sapiens OX=9606 GN=FBXL3 PE=1 SV=1
+WTPMMDPFWVRGLHKSVEWHIQELSYKQDPILVEEMISLQSLRGGCMKVFEVFASCSVECEGLGIASLNKCREAIRILEEDLPRLGNACVVLEVLRPCTMGVRGLVDKSVSRGFYLHTAPIEYRFFPDFEEEYLFFYMVLNVKPSHRIFADWSSKQITHFHTQGPNESVVDIRLHELRVHKESSLALLLEDSLLHYNLALERLGHCQDAVCLIGAPSVHPCSSMKLLKLTDSNNAVLVKLSPDDVPTDDIKLSSLSKSNVFVVTLASIFHSKPLDMFSPRATSILGLTKLSCNVLQSLIDCAAEASEKSSDVKFSVYQLHNSHRKIIQKILEPHTAKLYSTAPQNLEFEFCRWLDPMHFVQNWNRCVQSAHARDLLPLYKFVQLIIDQLLNGWDCTQSHENTTRLKKSKEATGEESSNRDSDRGGRKM
+>sp|Q8WWV6|FCAMR_HUMAN High affinity immunoglobulin alpha and immunoglobulin mu Fc receptor OS=Homo sapiens OX=9606 GN=FCAMR PE=1 SV=1
+MPLFLILCLLQGSSFALPQKRPHPRWLWEGSLPSRTHLRAMGTLRPSSPLCWREESSFAAPNSLKGSRLVSGEPGGAVTIQCHYAPSSVNRHQRKYWCRLGPPRWICQTIVSTNQYTHHRYRDRVALTDFPQRGLFVVRLSQLSPDDIGCYLCGIGSENNMLFLSMNLTISAGPASTLPTATPAAGELTMRSYGTASPVANRWTPGTTQTLGQGTAWDTVASTPGTSKTTASAEGRRTPGATRPAAPGTGSWAEGSVKAPAPIPESPPSKSRSMSNTTEGVWEGTRSSVTNRARASKDRREMTTTKADRPREDIEGVRIALDAAKKVLGTIGPPALVSETLAWEILPQATPVSKQQSQGSIGETTPAAGMWTLGTPAADVWILGTPAADVWTSMEAASGEGSAAGDLDAATGDRGPQATLSQTPAVGPWGPPGKESSVKRTFPEDESSSRTLAPVSTMLALFMLMALVLLQRKLWRRRTSQEAERVTLIQMTHFLEVNPQADQLPHVERKMLQDDSLPAGASLTAPERNPGP
+>DECOY_sp|Q8WWV6|FCAMR_HUMAN High affinity immunoglobulin alpha and immunoglobulin mu Fc receptor OS=Homo sapiens OX=9606 GN=FCAMR PE=1 SV=1
+PGPNREPATLSAGAPLSDDQLMKREVHPLQDAQPNVELFHTMQILTVREAEQSTRRRWLKRQLLVLAMLMFLALMTSVPALTRSSSEDEPFTRKVSSEKGPPGWPGVAPTQSLTAQPGRDGTAADLDGAASGEGSAAEMSTWVDAAPTGLIWVDAAPTGLTWMGAAPTTEGISGQSQQKSVPTAQPLIEWALTESVLAPPGITGLVKKAADLAIRVGEIDERPRDAKTTTMERRDKSARARNTVSSRTGEWVGETTNSMSRSKSPPSEPIPAPAKVSGEAWSGTGPAAPRTAGPTRRGEASATTKSTGPTSAVTDWATGQGLTQTTGPTWRNAVPSATGYSRMTLEGAAPTATPLTSAPGASITLNMSLFLMNNESGIGCLYCGIDDPSLQSLRVVFLGRQPFDTLAVRDRYRHHTYQNTSVITQCIWRPPGLRCWYKRQHRNVSSPAYHCQITVAGGPEGSVLRSGKLSNPAAFSSEERWCLPSSPRLTGMARLHTRSPLSGEWLWRPHPRKQPLAFSSGQLLCLILFLPM
+>sp|P30273|FCERG_HUMAN High affinity immunoglobulin epsilon receptor subunit gamma OS=Homo sapiens OX=9606 GN=FCER1G PE=1 SV=1
+MIPAVVLLLLLLVEQAAALGEPQLCYILDAILFLYGIVLTLLYCRLKIQVRKAAITSYEKSDGVYTGLSTRNQETYETLKHEKPPQ
+>DECOY_sp|P30273|FCERG_HUMAN High affinity immunoglobulin epsilon receptor subunit gamma OS=Homo sapiens OX=9606 GN=FCER1G PE=1 SV=1
+QPPKEHKLTEYTEQNRTSLGTYVGDSKEYSTIAAKRVQIKLRCYLLTLVIGYLFLIADLIYCLQPEGLAAAQEVLLLLLLVVAPIM
+>sp|Q96M78|FEAS2_HUMAN Putative uncharacterized protein encoded by FER1L6-AS2 OS=Homo sapiens OX=9606 GN=FER1L6-AS2 PE=2 SV=2
+MSQVGRVRSSHHFESVCLDAEVRVVLVALDHAGLHTLSSALNESLRPIHREELHLLHFPNSPEENLRKRPAEPSPQIHGGAPHLPWLCVEKLDLLPENHAVFLQERTAQLFEGSFFFSRSPAHSISPLLQFRWGHCP
+>DECOY_sp|Q96M78|FEAS2_HUMAN Putative uncharacterized protein encoded by FER1L6-AS2 OS=Homo sapiens OX=9606 GN=FER1L6-AS2 PE=2 SV=2
+PCHGWRFQLLPSISHAPSRSFFFSGEFLQATREQLFVAHNEPLLDLKEVCLWPLHPAGGHIQPSPEAPRKRLNEEPSNPFHLLHLEERHIPRLSENLASSLTHLGAHDLAVLVVRVEADLCVSEFHHSSRVRGVQSM
+>sp|Q96JP0|FEM1C_HUMAN Protein fem-1 homolog C OS=Homo sapiens OX=9606 GN=FEM1C PE=1 SV=1
+MDLKTAVFNAARDGKLRLLTKLLASKSKEEVSSLISEKTNGATPLLMAARYGHLDMVEFLLEQCSASIEVGGSVNFDGETIEGAPPLWAASAAGHLKVVQSLLNHGASVNNTTLTNSTPLRAACFDGHLEIVKYLVEHKADLEVSNRHGHTCLMISCYKGHKEIAQYLLEKGADVNRKSVKGNTALHDCAESGSLDIMKMLLMYCAKMEKDGYGMTPLLSASVTGHTNIVDFLTHHAQTSKTERINALELLGATFVDKKRDLLGALKYWKKAMNMRYSDRTNIISKPVPQTLIMAYDYAKEVNSAEELEGLIADPDEMRMQALLIRERILGPSHPDTSYYIRYRGAVYADSGNFKRCINLWKYALDMQQSNLDPLSPMTASSLLSFAELFSFMLQDRAKGLLGTTVTFDDLMGILCKSVLEIERAIKQTQCPADPLQLNKALSIILHLICLLEKVPCTLEQDHFKKQTIYRFLKLHPRGKNNFSPLHLAVDKNTTCVGRYPVCKFPSLQVTAILIECGADVNVRDSDDNSPLHIAALNNHPDIMNLLIKSGAHFDATNLHKQTASDLLDEKEIAKNLIQPINHTTLQCLAARVIVNHRIYYKGHIPEKLETFVSLHR
+>DECOY_sp|Q96JP0|FEM1C_HUMAN Protein fem-1 homolog C OS=Homo sapiens OX=9606 GN=FEM1C PE=1 SV=1
+RHLSVFTELKEPIHGKYYIRHNVIVRAALCQLTTHNIPQILNKAIEKEDLLDSATQKHLNTADFHAGSKILLNMIDPHNNLAAIHLPSNDDSDRVNVDAGCEILIATVQLSPFKCVPYRGVCTTNKDVALHLPSFNNKGRPHLKLFRYITQKKFHDQELTCPVKELLCILHLIISLAKNLQLPDAPCQTQKIAREIELVSKCLIGMLDDFTVTTGLLGKARDQLMFSFLEAFSLLSSATMPSLPDLNSQQMDLAYKWLNICRKFNGSDAYVAGRYRIYYSTDPHSPGLIRERILLAQMRMEDPDAILGELEEASNVEKAYDYAMILTQPVPKSIINTRDSYRMNMAKKWYKLAGLLDRKKDVFTAGLLELANIRETKSTQAHHTLFDVINTHGTVSASLLPTMGYGDKEMKACYMLLMKMIDLSGSEACDHLATNGKVSKRNVDAGKELLYQAIEKHGKYCSIMLCTHGHRNSVELDAKHEVLYKVIELHGDFCAARLPTSNTLTTNNVSAGHNLLSQVVKLHGAASAAWLPPAGEITEGDFNVSGGVEISASCQELLFEVMDLHGYRAAMLLPTAGNTKESILSSVEEKSKSALLKTLLRLKGDRAANFVATKLDM
+>sp|Q9NP95|FGF20_HUMAN Fibroblast growth factor 20 OS=Homo sapiens OX=9606 GN=FGF20 PE=1 SV=1
+MAPLAEVGGFLGGLEGLGQQVGSHFLLPPAGERPPLLGERRSAAERSARGGPGAAQLAHLHGILRRRQLYCRTGFHLQILPDGSVQGTRQDHSLFGILEFISVAVGLVSIRGVDSGLYLGMNDKGELYGSEKLTSECIFREQFEENWYNTYSSNIYKHGDTGRRYFVALNKDGTPRDGARSKRHQKFTHFLPRPVDPERVPELYKDLLMYT
+>DECOY_sp|Q9NP95|FGF20_HUMAN Fibroblast growth factor 20 OS=Homo sapiens OX=9606 GN=FGF20 PE=1 SV=1
+TYMLLDKYLEPVREPDVPRPLFHTFKQHRKSRAGDRPTGDKNLAVFYRRGTDGHKYINSSYTNYWNEEFQERFICESTLKESGYLEGKDNMGLYLGSDVGRISVLGVAVSIFELIGFLSHDQRTGQVSGDPLIQLHFGTRCYLQRRRLIGHLHALQAAGPGGRASREAASRREGLLPPREGAPPLLFHSGVQQGLGELGGLFGGVEALPAM
+>sp|Q9GZV9|FGF23_HUMAN Fibroblast growth factor 23 OS=Homo sapiens OX=9606 GN=FGF23 PE=1 SV=1
+MLGARLRLWVCALCSVCSMSVLRAYPNASPLLGSSWGGLIHLYTATARNSYHLQIHKNGHVDGAPHQTIYSALMIRSEDAGFVVITGVMSRRYLCMDFRGNIFGSHYFDPENCRFQHQTLENGYDVYHSPQYHFLVSLGRAKRAFLPGMNPPPYSQFLSRRNEIPLIHFNTPIPRRHTRSAEDDSERDPLNVLKPRARMTPAPASCSQELPSAEDNSPMASDPLGVVRGGRVNTHAGGTGPEGCRPFAKFI
+>DECOY_sp|Q9GZV9|FGF23_HUMAN Fibroblast growth factor 23 OS=Homo sapiens OX=9606 GN=FGF23 PE=1 SV=1
+IFKAFPRCGEPGTGGAHTNVRGGRVVGLPDSAMPSNDEASPLEQSCSAPAPTMRARPKLVNLPDRESDDEASRTHRRPIPTNFHILPIENRRSLFQSYPPPNMGPLFARKARGLSVLFHYQPSHYVDYGNELTQHQFRCNEPDFYHSGFINGRFDMCLYRRSMVGTIVVFGADESRIMLASYITQHPAGDVHGNKHIQLHYSNRATATYLHILGGWSSGLLPSANPYARLVSMSCVSCLACVWLRLRAGLM
+>sp|Q14314|FGL2_HUMAN Fibroleukin OS=Homo sapiens OX=9606 GN=FGL2 PE=1 SV=1
+MKLANWYWLSSAVLATYGFLVVANNETEEIKDERAKDVCPVRLESRGKCEEAGECPYQVSLPPLTIQLPKQFSRIEEVFKEVQNLKEIVNSLKKSCQDCKLQADDNGDPGRNGLLLPSTGAPGEVGDNRVRELESEVNKLSSELKNAKEEINVLHGRLEKLNLVNMNNIENYVDSKVANLTFVVNSLDGKCSKCPSQEQIQSRPVQHLIYKDCSDYYAIGKRSSETYRVTPDPKNSSFEVYCDMETMGGGWTVLQARLDGSTNFTRTWQDYKAGFGNLRREFWLGNDKIHLLTKSKEMILRIDLEDFNGVELYALYDQFYVANEFLKYRLHVGNYNGTAGDALRFNKHYNHDLKFFTTPDKDNDRYPSGNCGLYYSSGWWFDACLSANLNGKYYHQKYRGVRNGIFWGTWPGVSEAHPGGYKSSFKEAKMMIRPKHFKP
+>DECOY_sp|Q14314|FGL2_HUMAN Fibroleukin OS=Homo sapiens OX=9606 GN=FGL2 PE=1 SV=1
+PKFHKPRIMMKAEKFSSKYGGPHAESVGPWTGWFIGNRVGRYKQHYYKGNLNASLCADFWWGSSYYLGCNGSPYRDNDKDPTTFFKLDHNYHKNFRLADGATGNYNGVHLRYKLFENAVYFQDYLAYLEVGNFDELDIRLIMEKSKTLLHIKDNGLWFERRLNGFGAKYDQWTRTFNTSGDLRAQLVTWGGGMTEMDCYVEFSSNKPDPTVRYTESSRKGIAYYDSCDKYILHQVPRSQIQEQSPCKSCKGDLSNVVFTLNAVKSDVYNEINNMNVLNLKELRGHLVNIEEKANKLESSLKNVESELERVRNDGVEGPAGTSPLLLGNRGPDGNDDAQLKCDQCSKKLSNVIEKLNQVEKFVEEIRSFQKPLQITLPPLSVQYPCEGAEECKGRSELRVPCVDKAREDKIEETENNAVVLFGYTALVASSLWYWNALKM
+>sp|Q9C0D6|FHDC1_HUMAN FH2 domain-containing protein 1 OS=Homo sapiens OX=9606 GN=FHDC1 PE=1 SV=2
+MHVMNCVSLVSDKENGNIATAPGFMIGQTPPPAPPPPPPPPPPSPPCSCSREECPSSPPPPPPPPLPGEPPIPPPPPGLPPTTHMNGYSHLGKKKRMRSFFWKTIPEEQVRGKTNIWTLAARQEHHYQIDTKTIEELFGQQEDTTKSSLPRRGRTLNSSFREAREEITILDAKRSMNIGIFLKQFKKSPRSIVEDIHQGKSEHYGSETLREFLKFLPESEEVKKLKAFSGDVSKLSLADSFLYGLIQVPNYSLRIEAMVLKKEFLPSCSSLYTDITVLRTAIKELMSCEELHSILHLVLQAGNIMNAGGYAGNAVGFKLSSLLKLADTKANKPGMNLLHFVAQEAQKKDTILLNFSEKLHHVQKTARLSLENTEAELHLLFVRTKSLKENIQRDGELCQQMEDFLQFAIEKLRELECWKQELQDEAYTLIDFFCEDKKTMKLDECFQIFRDFCTKFNKAVKDNHDREAQELRQLQRLKEQEQKQRSWATGELGAFGRSSSENDVELLTKKGAEGLLPFLHPRPISPSSPSYRPPNTRRSRLSLGPSADRELLTFLESSTGSPEEPNKFHSLPRSSPRQARPTIACLEPAEVRHQDSSFAHKPQASGGQEEAPNPPSAQAHQLAAAQPENHASAFPRARRQGVSVLRKRYSEPVSLGSAQSPPLSPLALGIKEHELVTGLAQFNLQGSQGMEETSQLTLSDFSPMELESVGHRGPQSLSASSSSLTPMGRDALGSLSPALEDGKAAPDEPGSAALGSVGSSDPENKDPRPLFCISDTTDCSLTLDCSEGTDSRPRGGDPEEGGEGDGSMSSGVGEMGDSQVSSNPTSSPPGEAPAPVSVDSEPSCKGGLPRDKPTKRKDVVAPKRGSLKEASPGASKPGSARRSQGAVAKSVRTLTASENESMRKVMPITKSSRGAGWRRPELSSRGPSQNPPSSTDTVWSRQNSVRRASTGAEEQRLPRGSSGSSSTRPGRDVPLQPRGSFKKPSAKPLRNLPRQKPEENKTCRAHSEGPESPKEEPKTPSVPSVPHELPRVPSFARNTVASSSRSMRTDLPPVAKAPGITRTVSQRQLRVKGDPEDAAPKDSSTLRRASSARAPKKRPESAEGPSANTEAPLKARGAGERASLRRKDSSRTTLGRILNPLRK
+>DECOY_sp|Q9C0D6|FHDC1_HUMAN FH2 domain-containing protein 1 OS=Homo sapiens OX=9606 GN=FHDC1 PE=1 SV=2
+KRLPNLIRGLTTRSSDKRRLSAREGAGRAKLPAETNASPGEASEPRKKPARASSARRLTSSDKPAADEPDGKVRLQRQSVTRTIGPAKAVPPLDTRMSRSSSAVTNRAFSPVRPLEHPVSPVSPTKPEEKPSEPGESHARCTKNEEPKQRPLNRLPKASPKKFSGRPQLPVDRGPRTSSSGSSGRPLRQEEAGTSARRVSNQRSWVTDTSSPPNQSPGRSSLEPRRWGAGRSSKTIPMVKRMSENESATLTRVSKAVAGQSRRASGPKSAGPSAEKLSGRKPAVVDKRKTPKDRPLGGKCSPESDVSVPAPAEGPPSSTPNSSVQSDGMEGVGSSMSGDGEGGEEPDGGRPRSDTGESCDLTLSCDTTDSICFLPRPDKNEPDSSGVSGLAASGPEDPAAKGDELAPSLSGLADRGMPTLSSSSASLSQPGRHGVSELEMPSFDSLTLQSTEEMGQSGQLNFQALGTVLEHEKIGLALPSLPPSQASGLSVPESYRKRLVSVGQRRARPFASAHNEPQAAALQHAQASPPNPAEEQGGSAQPKHAFSSDQHRVEAPELCAITPRAQRPSSRPLSHFKNPEEPSGTSSELFTLLERDASPGLSLRSRRTNPPRYSPSSPSIPRPHLFPLLGEAGKKTLLEVDNESSSRGFAGLEGTAWSRQKQEQEKLRQLQRLEQAERDHNDKVAKNFKTCFDRFIQFCEDLKMTKKDECFFDILTYAEDQLEQKWCELERLKEIAFQLFDEMQQCLEGDRQINEKLSKTRVFLLHLEAETNELSLRATKQVHHLKESFNLLITDKKQAEQAVFHLLNMGPKNAKTDALKLLSSLKFGVANGAYGGANMINGAQLVLHLISHLEECSMLEKIATRLVTIDTYLSSCSPLFEKKLVMAEIRLSYNPVQILGYLFSDALSLKSVDGSFAKLKKVEESEPLFKLFERLTESGYHESKGQHIDEVISRPSKKFQKLFIGINMSRKADLITIEERAERFSSNLTRGRRPLSSKTTDEQQGFLEEITKTDIQYHHEQRAALTWINTKGRVQEEPITKWFFSRMRKKKGLHSYGNMHTTPPLGPPPPPIPPEGPLPPPPPPPPSSPCEERSCSCPPSPPPPPPPPPPAPPPTQGIMFGPATAINGNEKDSVLSVCNMVHM
+>sp|Q2V2M9|FHOD3_HUMAN FH1/FH2 domain-containing protein 3 OS=Homo sapiens OX=9606 GN=FHOD3 PE=1 SV=2
+MATLACRVQFLDDTDPFNSTNFPEPSRPPLFTFREDLALGTQLAGVHRLLQAPHKLDDCTLQLSHNGAYLDLEATLAEQRDELEGFQDDAGRGKKHSIILRTQLSVRVHACIEKLYNSSGRDLRRALFSLKQIFQDDKDLVHEFVVAEGLTCLIKVGAEADQNYQNYILRALGQIMLYVDGMNGVINRNETIQWLYTLIGSKFRLVVKTALKLLLVFVEYSESNAPLLIQAVTAVDTKRGVKPWSNIMEILEEKDGVDTELLVYAMTLVNKTLSGLPDQDTFYDVVDCLEELGIAAVSQRHLNKKGTDLDLVEQLNIYEVALRHEDGDETTEPPPSGCRDRRRASVCSSGGGEHRGLDRRRSRRHSVQSIKSTLSAPTSPCSQSAPSFKPNQVRDLREKYSNFGNNSYHSSRPSSGSSVPTTPTSSVSPPQEARLERSSPSGLLTSSFRQHQESLAAERERRRQEREERLQRIEREERNKFRYKYLEQLAAEEHEKELRSRSVSRGRADLSLDLTSPAAPACLAPLSHSPSSSDSQEALTVSASSPGTPHHPQASAGDPEPESEAEPEAEAGAGQVADEAGQDIASAHEGAETEVEQALEQEPEERASLSEKERQNEGVNERDNCSASSVSSSSSTLEREEKEDKLSRDRTTGLWPAGVQDAGVNGQCGDILTNKRFMLDMLYAHNRKSPDDEEKGDGEAGRTQQEAEAVASLATRISTLQANSQTQDESVRRVDVGCLDNRGSVKAFAEKFNSGDLGRGSISPDAEPNDKVPETAPVQPKTESDYIWDQLMANPRELRIQDMDFTDLGEEDDIDVLDVDLGHREAPGPPPPPPPTFLGLPPPPPPPLLDSIPPPPVPGNLLVPPPPVFNAPQGLGWSQVPRGQPTFTKKKKTIRLFWNEVRPFDWPCKNNRRCREFLWSKLEPIKVDTSRLEHLFESKSKELSVSKKTAADGKRQEIIVLDSKRSNAINIGLTVLPPPRTIKIAILNFDEYALNKEGIEKILTMIPTDEEKQKIQEAQLANPEIPLGSAEQFLLTLSSISELSARLHLWAFKMDYETTEKEVAEPLLDLKEGIDQLENNKTLGFILSTLLAIGNFLNGTNAKAFELSYLEKVPEVKDTVHKQSLLHHVCTMVVENFPDSSDLYSEIGAITRSAKVDFDQLQDNLCQMERRCKASWDHLKAIAKHEMKPVLKQRMSEFLKDCAERIIILKIVHRRIINRFHSFLLFMGHPPYAIREVNINKFCRIISEFALEYRTTRERVLQQKQKRANHRERNKTRGKMITDSGKFSGSSPAPPSQPQGLSYAEDAAEHENMKAVLKTSSPSVEDATPALGVRTRSRASRGSTSSWTMGTDDSPNVTDDAADEIMDRIVKSATQVPSQRVVPRERKRSRANRKSLRRTLKSGLTPEEARALGLVGTSELQL
+>DECOY_sp|Q2V2M9|FHOD3_HUMAN FH1/FH2 domain-containing protein 3 OS=Homo sapiens OX=9606 GN=FHOD3 PE=1 SV=2
+LQLESTGVLGLARAEEPTLGSKLTRRLSKRNARSRKRERPVVRQSPVQTASKVIRDMIEDAADDTVNPSDDTGMTWSSTSGRSARSRTRVGLAPTADEVSPSSTKLVAKMNEHEAADEAYSLGQPQSPPAPSSGSFKGSDTIMKGRTKNRERHNARKQKQQLVRERTTRYELAFESIIRCFKNINVERIAYPPHGMFLLFSHFRNIIRRHVIKLIIIREACDKLFESMRQKLVPKMEHKAIAKLHDWSAKCRREMQCLNDQLQDFDVKASRTIAGIESYLDSSDPFNEVVMTCVHHLLSQKHVTDKVEPVKELYSLEFAKANTGNLFNGIALLTSLIFGLTKNNELQDIGEKLDLLPEAVEKETTEYDMKFAWLHLRASLESISSLTLLFQEASGLPIEPNALQAEQIKQKEEDTPIMTLIKEIGEKNLAYEDFNLIAIKITRPPPLVTLGINIANSRKSDLVIIEQRKGDAATKKSVSLEKSKSEFLHELRSTDVKIPELKSWLFERCRRNNKCPWDFPRVENWFLRITKKKKTFTPQGRPVQSWGLGQPANFVPPPPVLLNGPVPPPPISDLLPPPPPPPLGLFTPPPPPPPGPAERHGLDVDLVDIDDEEGLDTFDMDQIRLERPNAMLQDWIYDSETKPQVPATEPVKDNPEADPSISGRGLDGSNFKEAFAKVSGRNDLCGVDVRRVSEDQTQSNAQLTSIRTALSAVAEAEQQTRGAEGDGKEEDDPSKRNHAYLMDLMFRKNTLIDGCQGNVGADQVGAPWLGTTRDRSLKDEKEERELTSSSSSVSSASCNDRENVGENQREKESLSAREEPEQELAQEVETEAGEHASAIDQGAEDAVQGAGAEAEPEAESEPEPDGASAQPHHPTGPSSASVTLAEQSDSSSPSHSLPALCAPAAPSTLDLSLDARGRSVSRSRLEKEHEEAALQELYKYRFKNREEREIRQLREEREQRRREREAALSEQHQRFSSTLLGSPSSRELRAEQPPSVSSTPTTPVSSGSSPRSSHYSNNGFNSYKERLDRVQNPKFSPASQSCPSTPASLTSKISQVSHRRSRRRDLGRHEGGGSSCVSARRRDRCGSPPPETTEDGDEHRLAVEYINLQEVLDLDTGKKNLHRQSVAAIGLEELCDVVDYFTDQDPLGSLTKNVLTMAYVLLETDVGDKEELIEMINSWPKVGRKTDVATVAQILLPANSESYEVFVLLLKLATKVVLRFKSGILTYLWQITENRNIVGNMGDVYLMIQGLARLIYNQYNQDAEAGVKILCTLGEAVVFEHVLDKDDQFIQKLSFLARRLDRGSSNYLKEICAHVRVSLQTRLIISHKKGRGADDQFGELEDRQEALTAELDLYAGNHSLQLTCDDLKHPAQLLRHVGALQTGLALDERFTFLPPRSPEPFNTSNFPDTDDLFQVRCALTAM
+>sp|Q03591|FHR1_HUMAN Complement factor H-related protein 1 OS=Homo sapiens OX=9606 GN=CFHR1 PE=1 SV=2
+MWLLVSVILISRISSVGGEATFCDFPKINHGILYDEEKYKPFSQVPTGEVFYYSCEYNFVSPSKSFWTRITCTEEGWSPTPKCLRLCFFPFVENGHSESSGQTHLEGDTVQIICNTGYRLQNNENNISCVERGWSTPPKCRSTDTSCVNPPTVQNAHILSRQMSKYPSGERVRYECRSPYEMFGDEEVMCLNGNWTEPPQCKDSTGKCGPPPPIDNGDITSFPLSVYAPASSVEYQCQNLYQLEGNKRITCRNGQWSEPPKCLHPCVISREIMENYNIALRWTAKQKLYLRTGESAEFVCKRGYRLSSRSHTLRTTCWDGKLEYPTCAKR
+>DECOY_sp|Q03591|FHR1_HUMAN Complement factor H-related protein 1 OS=Homo sapiens OX=9606 GN=CFHR1 PE=1 SV=2
+RKACTPYELKGDWCTTRLTHSRSSLRYGRKCVFEASEGTRLYLKQKATWRLAINYNEMIERSIVCPHLCKPPESWQGNRCTIRKNGELQYLNQCQYEVSSAPAYVSLPFSTIDGNDIPPPPGCKGTSDKCQPPETWNGNLCMVEEDGFMEYPSRCEYRVREGSPYKSMQRSLIHANQVTPPNVCSTDTSRCKPPTSWGREVCSINNENNQLRYGTNCIIQVTDGELHTQGSSESHGNEVFPFFCLRLCKPTPSWGEETCTIRTWFSKSPSVFNYECSYYFVEGTPVQSFPKYKEEDYLIGHNIKPFDCFTAEGGVSSIRSILIVSVLLWM
+>sp|Q9BXR6|FHR5_HUMAN Complement factor H-related protein 5 OS=Homo sapiens OX=9606 GN=CFHR5 PE=1 SV=1
+MLLLFSVILISWVSTVGGEGTLCDFPKIHHGFLYDEEDYNPFSQVPTGEVFYYSCEYNFVSPSKSFWTRITCTEEGWSPTPKCLRMCSFPFVKNGHSESSGLIHLEGDTVQIICNTGYSLQNNEKNISCVERGWSTPPICSFTKGECHVPILEANVDAQPKKESYKVGDVLKFSCRKNLIRVGSDSVQCYQFGWSPNFPTCKGQVRSCGPPPQLSNGEVKEIRKEEYGHNEVVEYDCNPNFIINGPKKIQCVDGEWTTLPTCVEQVKTCGYIPELEYGYVQPSVPPYQHGVSVEVNCRNEYAMIGNNMITCINGIWTELPMCVATHQLKRCKIAGVNIKTLLKLSGKEFNHNSRIRYRCSDIFRYRHSVCINGKWNPEVDCTEKREQFCPPPPQIPNAQNMTTTVNYQDGEKVAVLCKENYLLPEAKEIVCKDGRWQSLPRCVESTAYCGPPPSINNGDTTSFPLSVYPPGSTVTYRCQSFYKLQGSVTVTCRNKQWSEPPRCLDPCVVSEENMNKNNIQLKWRNDGKLYAKTGDAVEFQCKFPHKAMISSPPFRAICQEGKFEYPICE
+>DECOY_sp|Q9BXR6|FHR5_HUMAN Complement factor H-related protein 5 OS=Homo sapiens OX=9606 GN=CFHR5 PE=1 SV=1
+ECIPYEFKGEQCIARFPPSSIMAKHPFKCQFEVADGTKAYLKGDNRWKLQINNKNMNEESVVCPDLCRPPESWQKNRCTVTVSGQLKYFSQCRYTVTSGPPYVSLPFSTTDGNNISPPPGCYATSEVCRPLSQWRGDKCVIEKAEPLLYNEKCLVAVKEGDQYNVTTTMNQANPIQPPPPCFQERKETCDVEPNWKGNICVSHRYRFIDSCRYRIRSNHNFEKGSLKLLTKINVGAIKCRKLQHTAVCMPLETWIGNICTIMNNGIMAYENRCNVEVSVGHQYPPVSPQVYGYELEPIYGCTKVQEVCTPLTTWEGDVCQIKKPGNIIFNPNCDYEVVENHGYEEKRIEKVEGNSLQPPPGCSRVQGKCTPFNPSWGFQYCQVSDSGVRILNKRCSFKLVDGVKYSEKKPQADVNAELIPVHCEGKTFSCIPPTSWGREVCSINKENNQLSYGTNCIIQVTDGELHILGSSESHGNKVFPFSCMRLCKPTPSWGEETCTIRTWFSKSPSVFNYECSYYFVEGTPVQSFPNYDEEDYLFGHHIKPFDCLTGEGGVTSVWSILIVSFLLLM
+>sp|Q6PIW4|FIGL1_HUMAN Fidgetin-like protein 1 OS=Homo sapiens OX=9606 GN=FIGNL1 PE=1 SV=2
+MQTSSSRSVHLSEWQKNYFAITSGICTGPKADAYRAQILRIQYAWANSEISQVCATKLFKKYAEKYSAIIDSDNVESGLNNYAENILTLAGSQQTDSDKWQSGLSINNVFKMSSVQKMMQAGKKFKDSLLEPALASVVIHKEATVFDLPKFSVCGSSQESDSLPNSAHDRDRTQDFPESNRLKLLQNAQPPMVTNTARTCPTFSAPVGESATAKFHVTPLFGNVKKENHSSAKENIGLNVFLSNQSCFPAACENPQRKSFYGSGTIDALSNPILNKACSKTEDNGPKEDSSLPTFKTAKEQLWVDQQKKYHQPQRASGSSYGGVKKSLGASRSRGILGKFVPPIPKQDGGEQNGGMQCKPYGAGPTEPAHPVDERLKNLEPKMIELIMNEIMDHGPPVNWEDIAGVEFAKATIKEIVVWPMLRPDIFTGLRGPPKGILLFGPPGTGKTLIGKCIASQSGATFFSISASSLTSKWVGEGEKMVRALFAVARCQQPAVIFIDEIDSLLSQRGDGEHESSRRIKTEFLVQLDGATTSSEDRILVVGATNRPQEIDEAARRRLVKRLYIPLPEASARKQIVINLMSKEQCCLSEEEIEQIVQQSDAFSGADMTQLCREASLGPIRSLQTADIATITPDQVRPIAYIDFENAFRTVRPSVSPKDLELYENWNKTFGCGK
+>DECOY_sp|Q6PIW4|FIGL1_HUMAN Fidgetin-like protein 1 OS=Homo sapiens OX=9606 GN=FIGNL1 PE=1 SV=2
+KGCGFTKNWNEYLELDKPSVSPRVTRFANEFDIYAIPRVQDPTITAIDATQLSRIPGLSAERCLQTMDAGSFADSQQVIQEIEEESLCCQEKSMLNIVIQKRASAEPLPIYLRKVLRRRAAEDIEQPRNTAGVVLIRDESSTTAGDLQVLFETKIRRSSEHEGDGRQSLLSDIEDIFIVAPQQCRAVAFLARVMKEGEGVWKSTLSSASISFFTAGSQSAICKGILTKGTGPPGFLLIGKPPGRLGTFIDPRLMPWVVIEKITAKAFEVGAIDEWNVPPGHDMIENMILEIMKPELNKLREDVPHAPETPGAGYPKCQMGGNQEGGDQKPIPPVFKGLIGRSRSAGLSKKVGGYSSGSARQPQHYKKQQDVWLQEKATKFTPLSSDEKPGNDETKSCAKNLIPNSLADITGSGYFSKRQPNECAAPFCSQNSLFVNLGINEKASSHNEKKVNGFLPTVHFKATASEGVPASFTPCTRATNTVMPPQANQLLKLRNSEPFDQTRDRDHASNPLSDSEQSSGCVSFKPLDFVTAEKHIVVSALAPELLSDKFKKGAQMMKQVSSMKFVNNISLGSQWKDSDTQQSGALTLINEAYNNLGSEVNDSDIIASYKEAYKKFLKTACVQSIESNAWAYQIRLIQARYADAKPGTCIGSTIAFYNKQWESLHVSRSSSTQM
+>sp|O95302|FKBP9_HUMAN Peptidyl-prolyl cis-trans isomerase FKBP9 OS=Homo sapiens OX=9606 GN=FKBP9 PE=1 SV=2
+MAFRGWRPPPPPLLLLLLWVTGQAAPVAGLGSDAELQIERRFVPDECPRTVRSGDFVRYHYVGTFPDGQKFDSSYDRDSTFNVFVGKGQLITGMDQALVGMCVNERRFVKIPPKLAYGNEGVSGVIPPNSVLHFDVLLMDIWNSEDQVQIHTYFKPPSCPRTIQVSDFVRYHYNGTFLDGTLFDSSHNRMKTYDTYVGIGWLIPGMDKGLLGMCVGEKRIITIPPFLAYGEDGDGKDIPGQASLVFDVALLDLHNPKDSISIENKVVPENCERISQSGDFLRYHYNGTLLDGTLFDSSYSRNRTFDTYIGQGYVIPGMDEGLLGVCIGEKRRIVVPPHLGYGEEGRGNIPGSAVLVFDIHVIDFHNPSDSISITSHYKPPDCSVLSKKGDYLKYHYNASLLDGTLLDSTWNLGKTYNIVLGSGQVVLGMDMGLREMCVGEKRTVIIPPHLGYGEAGVDGEVPGSAVLVFDIELLELVAGLPEGYMFIWNGEVSPNLFEEIDKDGNGEVLLEEFSEYIHAQVASGKGKLAPGFDAELIVKNMFTNQDRNGDGKVTAEEFKLKDQEAKHDEL
+>DECOY_sp|O95302|FKBP9_HUMAN Peptidyl-prolyl cis-trans isomerase FKBP9 OS=Homo sapiens OX=9606 GN=FKBP9 PE=1 SV=2
+LEDHKAEQDKLKFEEATVKGDGNRDQNTFMNKVILEADFGPALKGKGSAVQAHIYESFEELLVEGNGDKDIEEFLNPSVEGNWIFMYGEPLGAVLELLEIDFVLVASGPVEGDVGAEGYGLHPPIIVTRKEGVCMERLGMDMGLVVQGSGLVINYTKGLNWTSDLLTGDLLSANYHYKLYDGKKSLVSCDPPKYHSTISISDSPNHFDIVHIDFVLVASGPINGRGEEGYGLHPPVVIRRKEGICVGLLGEDMGPIVYGQGIYTDFTRNRSYSSDFLTGDLLTGNYHYRLFDGSQSIRECNEPVVKNEISISDKPNHLDLLAVDFVLSAQGPIDKGDGDEGYALFPPITIIRKEGVCMGLLGKDMGPILWGIGVYTDYTKMRNHSSDFLTGDLFTGNYHYRVFDSVQITRPCSPPKFYTHIQVQDESNWIDMLLVDFHLVSNPPIVGSVGENGYALKPPIKVFRRENVCMGVLAQDMGTILQGKGVFVNFTSDRDYSSDFKQGDPFTGVYHYRVFDGSRVTRPCEDPVFRREIQLEADSGLGAVPAAQGTVWLLLLLLPPPPPRWGRFAM
+>sp|Q13045|FLII_HUMAN Protein flightless-1 homolog OS=Homo sapiens OX=9606 GN=FLII PE=1 SV=2
+MEATGVLPFVRGVDLSGNDFKGGYFPENVKAMTSLRWLKLNRTGLCYLPEELAALQKLEHLSVSHNNLTTLHGELSSLPSLRAIVARANSLKNSGVPDDIFKLDDLSVLDLSHNQLTECPRELENAKNMLVLNLSHNSIDTIPNQLFINLTDLLYLDLSENRLESLPPQMRRLVHLQTLVLNGNPLLHAQLRQLPAMTALQTLHLRSTQRTQSNLPTSLEGLSNLADVDLSCNDLTRVPECLYTLPSLRRLNLSSNQITELSLCIDQWVHVETLNLSRNQLTSLPSAICKLSKLKKLYLNSNKLDFDGLPSGIGKLTNLEEFMAANNNLELVPESLCRCPKLRKLVLNKNHLVTLPEAIHFLTEIEVLDVRENPNLVMPPKPADRAAEWYNIDFSLQNQLRLAGASPATVAAAAAAGSGPKDPMARKMRLRRRKDSAQDDQAKQVLKGMSDVAQEKNKKQEESADARAPSGKVRRWDQGLEKPRLDYSEFFTEDVGQLPGLTIWQIENFVPVLVEEAFHGKFYEADCYIVLKTFLDDSGSLNWEIYYWIGGEATLDKKACSAIHAVNLRNYLGAECRTVREEMGDESEEFLQVFDNDISYIEGGTASGFYTVEDTHYVTRMYRVYGKKNIKLEPVPLKGTSLDPRFVFLLDRGLDIYVWRGAQATLSSTTKARLFAEKINKNERKGKAEITLLVQGQELPEFWEALGGEPSEIKKHVPEDFWPPQPKLYKVGLGLGYLELPQINYKLSVEHKQRPKVELMPRMRLLQSLLDTRCVYILDCWSDVFIWLGRKSPRLVRAAALKLGQELCGMLHRPRHATVSRSLEGTEAQVFKAKFKNWDDVLTVDYTRNAEAVLQSPGLSGKVKRDAEKKDQMKADLTALFLPRQPPMSLAEAEQLMEEWNEDLDGMEGFVLEGKKFARLPEEEFGHFYTQDCYVFLCRYWVPVEYEEEEKKEDKEEKAEGKEGEEATAEAEEKQPEEDFQCIVYFWQGREASNMGWLTFTFSLQKKFESLFPGKLEVVRMTQQQENPKFLSHFKRKFIIHRGKRKAVQGAQQPSLYQIRTNGSALCTRCIQINTDSSLLNSEFCFILKVPFESEDNQGIVYAWVGRASDPDEAKLAEDILNTMFDTSYSKQVINEGEEPENFFWVGIGAQKPYDDDAEYMKHTRLFRCSNEKGYFAVTEKCSDFCQDDLADDDIMLLDNGQEVYMWVGTQTSQVEIKLSLKACQVYIQHMRSKEHERPRRLRLVRKGNEQHAFTRCFHAWSAFCKALA
+>DECOY_sp|Q13045|FLII_HUMAN Protein flightless-1 homolog OS=Homo sapiens OX=9606 GN=FLII PE=1 SV=2
+ALAKCFASWAHFCRTFAHQENGKRVLRLRRPREHEKSRMHQIYVQCAKLSLKIEVQSTQTGVWMYVEQGNDLLMIDDDALDDQCFDSCKETVAFYGKENSCRFLRTHKMYEADDDYPKQAGIGVWFFNEPEEGENIVQKSYSTDFMTNLIDEALKAEDPDSARGVWAYVIGQNDESEFPVKLIFCFESNLLSSDTNIQICRTCLASGNTRIQYLSPQQAGQVAKRKGRHIIFKRKFHSLFKPNEQQQTMRVVELKGPFLSEFKKQLSFTFTLWGMNSAERGQWFYVICQFDEEPQKEEAEATAEEGEKGEAKEEKDEKKEEEEYEVPVWYRCLFVYCDQTYFHGFEEEPLRAFKKGELVFGEMGDLDENWEEMLQEAEALSMPPQRPLFLATLDAKMQDKKEADRKVKGSLGPSQLVAEANRTYDVTLVDDWNKFKAKFVQAETGELSRSVTAHRPRHLMGCLEQGLKLAAARVLRPSKRGLWIFVDSWCDLIYVCRTDLLSQLLRMRPMLEVKPRQKHEVSLKYNIQPLELYGLGLGVKYLKPQPPWFDEPVHKKIESPEGGLAEWFEPLEQGQVLLTIEAKGKRENKNIKEAFLRAKTTSSLTAQAGRWVYIDLGRDLLFVFRPDLSTGKLPVPELKINKKGYVRYMRTVYHTDEVTYFGSATGGEIYSIDNDFVQLFEESEDGMEERVTRCEAGLYNRLNVAHIASCAKKDLTAEGGIWYYIEWNLSGSDDLFTKLVIYCDAEYFKGHFAEEVLVPVFNEIQWITLGPLQGVDETFFESYDLRPKELGQDWRRVKGSPARADASEEQKKNKEQAVDSMGKLVQKAQDDQASDKRRRLRMKRAMPDKPGSGAAAAAAVTAPSAGALRLQNQLSFDINYWEAARDAPKPPMVLNPNERVDLVEIETLFHIAEPLTVLHNKNLVLKRLKPCRCLSEPVLELNNNAAMFEELNTLKGIGSPLGDFDLKNSNLYLKKLKSLKCIASPLSTLQNRSLNLTEVHVWQDICLSLETIQNSSLNLRRLSPLTYLCEPVRTLDNCSLDVDALNSLGELSTPLNSQTRQTSRLHLTQLATMAPLQRLQAHLLPNGNLVLTQLHVLRRMQPPLSELRNESLDLYLLDTLNIFLQNPITDISNHSLNLVLMNKANELERPCETLQNHSLDLVSLDDLKFIDDPVGSNKLSNARAVIARLSPLSSLEGHLTTLNNHSVSLHELKQLAALEEPLYCLGTRNLKLWRLSTMAKVNEPFYGGKFDNGSLDVGRVFPLVGTAEM
+>sp|P36888|FLT3_HUMAN Receptor-type tyrosine-protein kinase FLT3 OS=Homo sapiens OX=9606 GN=FLT3 PE=1 SV=2
+MPALARDGGQLPLLVVFSAMIFGTITNQDLPVIKCVLINHKNNDSSVGKSSSYPMVSESPEDLGCALRPQSSGTVYEAAAVEVDVSASITLQVLVDAPGNISCLWVFKHSSLNCQPHFDLQNRGVVSMVILKMTETQAGEYLLFIQSEATNYTILFTVSIRNTLLYTLRRPYFRKMENQDALVCISESVPEPIVEWVLCDSQGESCKEESPAVVKKEEKVLHELFGTDIRCCARNELGRECTRLFTIDLNQTPQTTLPQLFLKVGEPLWIRCKAVHVNHGFGLTWELENKALEEGNYFEMSTYSTNRTMIRILFAFVSSVARNDTGYYTCSSSKHPSQSALVTIVEKGFINATNSSEDYEIDQYEEFCFSVRFKAYPQIRCTWTFSRKSFPCEQKGLDNGYSISKFCNHKHQPGEYIFHAENDDAQFTKMFTLNIRRKPQVLAEASASQASCFSDGYPLPSWTWKKCSDKSPNCTEEITEGVWNRKANRKVFGQWVSSSTLNMSEAIKGFLVKCCAYNSLGTSCETILLNSPGPFPFIQDNISFYATIGVCLLFIVVLTLLICHKYKKQFRYESQLQMVQVTGSSDNEYFYVDFREYEYDLKWEFPRENLEFGKVLGSGAFGKVMNATAYGISKTGVSIQVAVKMLKEKADSSEREALMSELKMMTQLGSHENIVNLLGACTLSGPIYLIFEYCCYGDLLNYLRSKREKFHRTWTEIFKEHNFSFYPTFQSHPNSSMPGSREVQIHPDSDQISGLHGNSFHSEDEIEYENQKRLEEEEDLNVLTFEDLLCFAYQVAKGMEFLEFKSCVHRDLAARNVLVTHGKVVKICDFGLARDIMSDSNYVVRGNARLPVKWMAPESLFEGIYTIKSDVWSYGILLWEIFSLGVNPYPGIPVDANFYKLIQNGFKMDQPFYATEEIYIIMQSCWAFDSRKRPSFPNLTSFLGCQLADAEEAMYQNVDGRVSECPHTYQNRRPFSREMDLGLLSPQAQVEDS
+>DECOY_sp|P36888|FLT3_HUMAN Receptor-type tyrosine-protein kinase FLT3 OS=Homo sapiens OX=9606 GN=FLT3 PE=1 SV=2
+SDEVQAQPSLLGLDMERSFPRRNQYTHPCESVRGDVNQYMAEEADALQCGLFSTLNPFSPRKRSDFAWCSQMIIYIEETAYFPQDMKFGNQILKYFNADVPIGPYPNVGLSFIEWLLIGYSWVDSKITYIGEFLSEPAMWKVPLRANGRVVYNSDSMIDRALGFDCIKVVKGHTVLVNRAALDRHVCSKFELFEMGKAVQYAFCLLDEFTLVNLDEEEELRKQNEYEIEDESHFSNGHLGSIQDSDPHIQVERSGPMSSNPHSQFTPYFSFNHEKFIETWTRHFKERKSRLYNLLDGYCCYEFILYIPGSLTCAGLLNVINEHSGLQTMMKLESMLAERESSDAKEKLMKVAVQISVGTKSIGYATANMVKGFAGSGLVKGFELNERPFEWKLDYEYERFDVYFYENDSSGTVQVMQLQSEYRFQKKYKHCILLTLVVIFLLCVGITAYFSINDQIFPFPGPSNLLITECSTGLSNYACCKVLFGKIAESMNLTSSSVWQGFVKRNAKRNWVGETIEETCNPSKDSCKKWTWSPLPYGDSFCSAQSASAEALVQPKRRINLTFMKTFQADDNEAHFIYEGPQHKHNCFKSISYGNDLGKQECPFSKRSFTWTCRIQPYAKFRVSFCFEEYQDIEYDESSNTANIFGKEVITVLASQSPHKSSSCTYYGTDNRAVSSVFAFLIRIMTRNTSYTSMEFYNGEELAKNELEWTLGFGHNVHVAKCRIWLPEGVKLFLQPLTTQPTQNLDITFLRTCERGLENRACCRIDTGFLEHLVKEEKKVVAPSEEKCSEGQSDCLVWEVIPEPVSESICVLADQNEMKRFYPRRLTYLLTNRISVTFLITYNTAESQIFLLYEGAQTETMKLIVMSVVGRNQLDFHPQCNLSSHKFVWLCSINGPADVLVQLTISASVDVEVAAAEYVTGSSQPRLACGLDEPSESVMPYSSSKGVSSDNNKHNILVCKIVPLDQNTITGFIMASFVVLLPLQGGDRALAPM
+>sp|Q99518|FMO2_HUMAN Dimethylaniline monooxygenase [N-oxide-forming] 2 OS=Homo sapiens OX=9606 GN=FMO2 PE=1 SV=4
+MAKKVAVIGAGVSGLISLKCCVDEGLEPTCFERTEDIGGVWRFKENVEDGRASIYQSVVTNTSKEMSCFSDFPMPEDFPNFLHNSKLLEYFRIFAKKFDLLKYIQFQTTVLSVRKCPDFSSSGQWKVVTQSNGKEQSAVFDAVMVCSGHHILPHIPLKSFPGMERFKGQYFHSRQYKHPDGFEGKRILVIGMGNSGSDIAVELSKNAAQVFISTRHGTWVMSRISEDGYPWDSVFHTRFRSMLRNVLPRTAVKWMIEQQMNRWFNHENYGLEPQNKYIMKEPVLNDDVPSRLLCGAIKVKSTVKELTETSAIFEDGTVEENIDVIIFATGYSFSFPFLEDSLVKVENNMVSLYKYIFPAHLDKSTLACIGLIQPLGSIFPTAELQARWVTRVFKGLCSLPSERTMMMDIIKRNEKRIDLFGESQSQTLQTNYVDYLDELALEIGAKPDFCSLLFKDPKLAVRLYFGPCNSY
+>DECOY_sp|Q99518|FMO2_HUMAN Dimethylaniline monooxygenase [N-oxide-forming] 2 OS=Homo sapiens OX=9606 GN=FMO2 PE=1 SV=4
+YSNCPGFYLRVALKPDKFLLSCFDPKAGIELALEDLYDVYNTQLTQSQSEGFLDIRKENRKIIDMMMTRESPLSCLGKFVRTVWRAQLEATPFISGLPQILGICALTSKDLHAPFIYKYLSVMNNEVKVLSDELFPFSFSYGTAFIIVDINEEVTGDEFIASTETLEKVTSKVKIAGCLLRSPVDDNLVPEKMIYKNQPELGYNEHNFWRNMQQEIMWKVATRPLVNRLMSRFRTHFVSDWPYGDESIRSMVWTGHRTSIFVQAANKSLEVAIDSGSNGMGIVLIRKGEFGDPHKYQRSHFYQGKFREMGPFSKLPIHPLIHHGSCVMVADFVASQEKGNSQTVVKWQGSSSFDPCKRVSLVTTQFQIYKLLDFKKAFIRFYELLKSNHLFNPFDEPMPFDSFCSMEKSTNTVVSQYISARGDEVNEKFRWVGGIDETREFCTPELGEDVCCKLSILGSVGAGIVAVKKAM
+>sp|Q06828|FMOD_HUMAN Fibromodulin OS=Homo sapiens OX=9606 GN=FMOD PE=1 SV=2
+MQWTSLLLLAGLFSLSQAQYEDDPHWWFHYLRSQQSTYYDPYDPYPYETYEPYPYGVDEGPAYTYGSPSPPDPRDCPQECDCPPNFPTAMYCDNRNLKYLPFVPSRMKYVYFQNNQITSIQEGVFDNATGLLWIALHGNQITSDKVGRKVFSKLRHLERLYLDHNNLTRMPGPLPRSLRELHLDHNQISRVPNNALEGLENLTALYLQHNEIQEVGSSMRGLRSLILLDLSYNHLRKVPDGLPSALEQLYMEHNNVYTVPDSYFRGAPKLLYVRLSHNSLTNNGLASNTFNSSSLLELDLSYNQLQKIPPVNTNLENLYLQGNRINEFSISSFCTVVDVVNFSKLQVLRLDGNEIKRSAMPADAPLCLRLASLIEI
+>DECOY_sp|Q06828|FMOD_HUMAN Fibromodulin OS=Homo sapiens OX=9606 GN=FMOD PE=1 SV=2
+IEILSALRLCLPADAPMASRKIENGDLRLVQLKSFNVVDVVTCFSSISFENIRNGQLYLNELNTNVPPIKQLQNYSLDLELLSSSNFTNSALGNNTLSNHSLRVYLLKPAGRFYSDPVTYVNNHEMYLQELASPLGDPVKRLHNYSLDLLILSRLGRMSSGVEQIENHQLYLATLNELGELANNPVRSIQNHDLHLERLSRPLPGPMRTLNNHDLYLRELHRLKSFVKRGVKDSTIQNGHLAIWLLGTANDFVGEQISTIQNNQFYVYKMRSPVFPLYKLNRNDCYMATPFNPPCDCEQPCDRPDPPSPSGYTYAPGEDVGYPYPEYTEYPYPDYPDYYTSQQSRLYHFWWHPDDEYQAQSLSFLGALLLLSTWQM
+>sp|Q96DP5|FMT_HUMAN Methionyl-tRNA formyltransferase, mitochondrial OS=Homo sapiens OX=9606 GN=MTFMT PE=1 SV=2
+MRVLVRRCWGPPLAHGARRGRPSPQWRALARLGWEDCRDSRVREKPPWRVLFFGTDQFAREALRALHAARENKEEELIDKLEVVTMPSPSPKGLPVKQYAVQSQLPVYEWPDVGSGEYDVGVVASFGRLLNEALILKFPYGILNVHPSCLPRWRGPAPVIHTVLHGDTVTGVTIMQIRPKRFDVGPILKQETVPVPPKSTAKELEAVLSRLGANMLISVLKNLPESLSNGRQQPMEGATYAPKISAGTSCIKWEEQTSEQIFRLYRAIGNIIPLQTLWMANTIKLLDLVEVNSSVLADPKLTGQALIPGSVIYHKQSQILLVYCKDGWIGVRSVMLKKSLTATDFYNGYLHPWYQKNSQAQPSQCRFQTLRLPTKKKQKKTVAMQQCIE
+>DECOY_sp|Q96DP5|FMT_HUMAN Methionyl-tRNA formyltransferase, mitochondrial OS=Homo sapiens OX=9606 GN=MTFMT PE=1 SV=2
+EICQQMAVTKKQKKKTPLRLTQFRCQSPQAQSNKQYWPHLYGNYFDTATLSKKLMVSRVGIWGDKCYVLLIQSQKHYIVSGPILAQGTLKPDALVSSNVEVLDLLKITNAMWLTQLPIINGIARYLRFIQESTQEEWKICSTGASIKPAYTAGEMPQQRGNSLSEPLNKLVSILMNAGLRSLVAELEKATSKPPVPVTEQKLIPGVDFRKPRIQMITVGTVTDGHLVTHIVPAPGRWRPLCSPHVNLIGYPFKLILAENLLRGFSAVVGVDYEGSGVDPWEYVPLQSQVAYQKVPLGKPSPSPMTVVELKDILEEEKNERAAHLARLAERAFQDTGFFLVRWPPKERVRSDRCDEWGLRALARWQPSPRGRRAGHALPPGWCRRVLVRM
+>sp|Q8N3X1|FNBP4_HUMAN Formin-binding protein 4 OS=Homo sapiens OX=9606 GN=FNBP4 PE=1 SV=3
+MGKKSRAVPGRRPILQLSPPGPRGSTPGRDPEPEPDTEPDSTAAVPSQPAPSAATTTTTAVTAAAASDDSPSEDEQEAVQEVPRVVQNPPKPVMTTRPTAVKATGGLCLLGAYADSDDDDNDVSEKLAQSKETNGNQSTDIDSTLANFLAEIDAITAPQPAAPVGASAPPPTPPRPEPKEAATSTLSSSTSNGTDSTQTSGWQYDTQCSLAGVGIEMGDWQEVWDENTGCYYYWNTQTNEVTWELPQYLATQVQGLQHYQPSSVPGAETSFVVNTDIYSKEKTISVSSSKSGPVIAKREVKKEVNEGIQALSNSEEEKKGVAASLLAPLLPEGIKEEEERWRRKVICKEEPVSEVKETSTTVEEATTIVKPQEIMLDNIEDPSQEDLCSVVQSGESEEEEEQDTLELELVLERKKAELRALEEGDGSVSGSSPRSDISQPASQDGMRRLMSKRGKWKMFVRATSPESTSRSSSKTGRDTPENGETAIGAENSEKIDENSDKEMEVEESPEKIKVQTTPKVEEEQDLKFQIGELANTLTSKFEFLGINRQSISNFHVLLLQTETRIADWREGALNGNYLKRKLQDAAEQLKQYEINATPKGWSCHWDRDHRRYFYVNEQSGESQWEFPDGEEEEEESQAQENRDETLAKQTLKDKTGTDSNSTESSETSTGSLCKESFSGQVSSSSLMPLTPFWTLLQSNVPVLQPPLPLEMPPPPPPPPESPPPPPPPPPPAEDGEIQEVEMEDEGSEEPPAPGTEEDTPLKPSAQTTVVTSQSSVDSTISSSSSTKGIKRKATEISTAVVQRSATIGSSPVLYSQSAIATGHQAAGIGNQATGIGHQTIPVSLPAAGMGHQARGMSLQSNYLGLAAAPAIMSYAECSVPIGVTAPSLQPVQARGAVPTATIIEPPPPPPPPPPPPPPAPKMPPPEKTKKGRKDKAKKSKTKMPSLVKKWQSIQRELDEEDNSSSSEEDRESTAQKRIEEWKQQQLVSGMAERNANFEALPEDWRARLKRRKMAPNT
+>DECOY_sp|Q8N3X1|FNBP4_HUMAN Formin-binding protein 4 OS=Homo sapiens OX=9606 GN=FNBP4 PE=1 SV=3
+TNPAMKRRKLRARWDEPLAEFNANREAMGSVLQQQKWEEIRKQATSERDEESSSSNDEEDLERQISQWKKVLSPMKTKSKKAKDKRGKKTKEPPPMKPAPPPPPPPPPPPPPPEIITATPVAGRAQVPQLSPATVGIPVSCEAYSMIAPAAALGLYNSQLSMGRAQHGMGAAPLSVPITQHGIGTAQNGIGAAQHGTAIASQSYLVPSSGITASRQVVATSIETAKRKIGKTSSSSSITSDVSSQSTVVTTQASPKLPTDEETGPAPPEESGEDEMEVEQIEGDEAPPPPPPPPPPSEPPPPPPPPMELPLPPQLVPVNSQLLTWFPTLPMLSSSSVQGSFSEKCLSGTSTESSETSNSDTGTKDKLTQKALTEDRNEQAQSEEEEEEGDPFEWQSEGSQENVYFYRRHDRDWHCSWGKPTANIEYQKLQEAADQLKRKLYNGNLAGERWDAIRTETQLLLVHFNSISQRNIGLFEFKSTLTNALEGIQFKLDQEEEVKPTTQVKIKEPSEEVEMEKDSNEDIKESNEAGIATEGNEPTDRGTKSSSRSTSEPSTARVFMKWKGRKSMLRRMGDQSAPQSIDSRPSSGSVSGDGEELARLEAKKRELVLELELTDQEEEEESEGSQVVSCLDEQSPDEINDLMIEQPKVITTAEEVTTSTEKVESVPEEKCIVKRRWREEEEKIGEPLLPALLSAAVGKKEEESNSLAQIGENVEKKVERKAIVPGSKSSSVSITKEKSYIDTNVVFSTEAGPVSSPQYHQLGQVQTALYQPLEWTVENTQTNWYYYCGTNEDWVEQWDGMEIGVGALSCQTDYQWGSTQTSDTGNSTSSSLTSTAAEKPEPRPPTPPPASAGVPAAPQPATIADIEALFNALTSDIDTSQNGNTEKSQALKESVDNDDDDSDAYAGLLCLGGTAKVATPRTTMVPKPPNQVVRPVEQVAEQEDESPSDDSAAAATVATTTTTAASPAPQSPVAATSDPETDPEPEPDRGPTSGRPGPPSLQLIPRRGPVARSKKGM
+>sp|Q12947|FOXF2_HUMAN Forkhead box protein F2 OS=Homo sapiens OX=9606 GN=FOXF2 PE=1 SV=2
+MTTEGGPPPAPLRRACSPVPGALQAALMSPPPAAAAAAAAAPETTSSSSSSSSASCASSSSSSNSASAPSAACKSAGGGGAGAGSGGAKKASSGLRRPEKPPYSYIALIVMAIQSSPSKRLTLSEIYQFLQARFPFFRGAYQGWKNSVRHNLSLNECFIKLPKGLGRPGKGHYWTIDPASEFMFEEGSFRRRPRGFRRKCQALKPMYHRVVSGLGFGASLLPQGFDFQAPPSAPLGCHSQGGYGGLDMMPAGYDAGAGAPSHAHPHHHHHHHVPHMSPNPGSTYMASCPVPAGPGGVGAAGGGGGGDYGPDSSSSPVPSSPAMASAIECHSPYTSPAAHWSSPGASPYLKQPPALTPSSNPAASAGLHSSMSSYSLEQSYLHQNAREDLSVGLPRYQHHSTPVCDRKDFVLNFNGISSFHPSASGSYYHHHHQSVCQDIKPCVM
+>DECOY_sp|Q12947|FOXF2_HUMAN Forkhead box protein F2 OS=Homo sapiens OX=9606 GN=FOXF2 PE=1 SV=2
+MVCPKIDQCVSQHHHHYYSGSASPHFSSIGNFNLVFDKRDCVPTSHHQYRPLGVSLDERANQHLYSQELSYSSMSSHLGASAAPNSSPTLAPPQKLYPSAGPSSWHAAPSTYPSHCEIASAMAPSSPVPSSSSDPGYDGGGGGGAAGVGGPGAPVPCSAMYTSGPNPSMHPVHHHHHHHPHAHSPAGAGADYGAPMMDLGGYGGQSHCGLPASPPAQFDFGQPLLSAGFGLGSVVRHYMPKLAQCKRRFGRPRRRFSGEEFMFESAPDITWYHGKGPRGLGKPLKIFCENLSLNHRVSNKWGQYAGRFFPFRAQLFQYIESLTLRKSPSSQIAMVILAIYSYPPKEPRRLGSSAKKAGGSGAGAGGGGASKCAASPASASNSSSSSSACSASSSSSSSSTTEPAAAAAAAAAPPPSMLAAQLAGPVPSCARRLPAPPPGGETTM
+>sp|Q9UPW0|FOXJ3_HUMAN Forkhead box protein J3 OS=Homo sapiens OX=9606 GN=FOXJ3 PE=1 SV=2
+MGLYGQACPSVTSLRMTSELESSLTSMDWLPQLTMRAAIQKSDATQNAHGTGISKKNALLDPNTTLDQEEVQQHKDGKPPYSYASLITFAINSSPKKKMTLSEIYQWICDNFPYYREAGSGWKNSIRHNLSLNKCFLKVPRSKDDPGKGSYWAIDTNPKEDVLPTRPKKRARSVERASTPYSIDSDSLGMECIISGSASPTLAINTVTNKVTLYNTDQDGSDSPRSSLNNSLSDQSLASVNLNSVGSVHSYTPVTSHPESVSQSLTPQQQPQYNLPERDKQLLFSEYNFEDLSASFRSLYKSVFEQSLSQQGLMNIPSESSQQSHTSCTYQHSPSSTVSTHPHSNQSSLSNSHGSGLNTTGSNSVAQVSLSHPQMHTQPSPHPPHRPHGLPQHPQRSPHPAPHPQQHSQLQSPHPQHPSPHQHIQHHPNHQHQTLTHQAPPPPQQVSCNSGVSNDWYATLDMLKESCRIASSVNWSDVDLSQFQGLMESMRQADLKNWSLDQVQFADLCSSLNQFFTQTGLIHSQSNVQQNVCHGAMHPTKPSQHIGTGNLYIDSRQNLPPSVMPPPGYPHIPQALSTPGTTMAGHHRAMNQQHMMPSQAFQMRRSLPPDDIQDDFDWDSIV
+>DECOY_sp|Q9UPW0|FOXJ3_HUMAN Forkhead box protein J3 OS=Homo sapiens OX=9606 GN=FOXJ3 PE=1 SV=2
+VISDWDFDDQIDDPPLSRRMQFAQSPMMHQQNMARHHGAMTTGPTSLAQPIHPYGPPPMVSPPLNQRSDIYLNGTGIHQSPKTPHMAGHCVNQQVNSQSHILGTQTFFQNLSSCLDAFQVQDLSWNKLDAQRMSEMLGQFQSLDVDSWNVSSAIRCSEKLMDLTAYWDNSVGSNCSVQQPPPPAQHTLTQHQHNPHHQIHQHPSPHQPHPSQLQSHQQPHPAPHPSRQPHQPLGHPRHPPHPSPQTHMQPHSLSVQAVSNSGTTNLGSGHSNSLSSQNSHPHTSVTSSPSHQYTCSTHSQQSSESPINMLGQQSLSQEFVSKYLSRFSASLDEFNYESFLLQKDREPLNYQPQQQPTLSQSVSEPHSTVPTYSHVSGVSNLNVSALSQDSLSNNLSSRPSDSGDQDTNYLTVKNTVTNIALTPSASGSIICEMGLSDSDISYPTSAREVSRARKKPRTPLVDEKPNTDIAWYSGKGPDDKSRPVKLFCKNLSLNHRISNKWGSGAERYYPFNDCIWQYIESLTMKKKPSSNIAFTILSAYSYPPKGDKHQQVEEQDLTTNPDLLANKKSIGTGHANQTADSKQIAARMTLQPLWDMSTLSSELESTMRLSTVSPCAQGYLGM
+>sp|P98177|FOXO4_HUMAN Forkhead box protein O4 OS=Homo sapiens OX=9606 GN=FOXO4 PE=1 SV=5
+MDPGNENSATEAAAIIDLDPDFEPQSRPRSCTWPLPRPEIANQPSEPPEVEPDLGEKVHTEGRSEPILLPSRLPEPAGGPQPGILGAVTGPRKGGSRRNAWGNQSYAELISQAIESAPEKRLTLAQIYEWMVRTVPYFKDKGDSNSSAGWKNSIRHNLSLHSKFIKVHNEATGKSSWWMLNPEGGKSGKAPRRRAASMDSSSKLLRGRSKAPKKKPSVLPAPPEGATPTSPVGHFAKWSGSPCSRNREEADMWTTFRPRSSSNASSVSTRLSPLRPESEVLAEEIPASVSSYAGGVPPTLNEGLELLDGLNLTSSHSLLSRSGLSGFSLQHPGVTGPLHTYSSSLFSPAEGPLSAGEGCFSSSQALEALLTSDTPPPPADVLMTQVDPILSQAPTLLLLGGLPSSSKLATGVGLCPKPLEAPGPSSLVPTLSMIAPPPVMASAPIPKALGTPVLTPPTEAASQDRMPQDLDLDMYMENLECDMDNIISDLMDEGEGLDFNFEPDP
+>DECOY_sp|P98177|FOXO4_HUMAN Forkhead box protein O4 OS=Homo sapiens OX=9606 GN=FOXO4 PE=1 SV=5
+PDPEFNFDLGEGEDMLDSIINDMDCELNEMYMDLDLDQPMRDQSAAETPPTLVPTGLAKPIPASAMVPPPAIMSLTPVLSSPGPAELPKPCLGVGTALKSSSPLGGLLLLTPAQSLIPDVQTMLVDAPPPPTDSTLLAELAQSSSFCGEGASLPGEAPSFLSSSYTHLPGTVGPHQLSFGSLGSRSLLSHSSTLNLGDLLELGENLTPPVGGAYSSVSAPIEEALVESEPRLPSLRTSVSSANSSSRPRFTTWMDAEERNRSCPSGSWKAFHGVPSTPTAGEPPAPLVSPKKKPAKSRGRLLKSSSDMSAARRRPAKGSKGGEPNLMWWSSKGTAENHVKIFKSHLSLNHRISNKWGASSNSDGKDKFYPVTRVMWEYIQALTLRKEPASEIAQSILEAYSQNGWANRRSGGKRPGTVAGLIGPQPGGAPEPLRSPLLIPESRGETHVKEGLDPEVEPPESPQNAIEPRPLPWTCSRPRSQPEFDPDLDIIAAAETASNENGPDM
+>sp|P25090|FPR2_HUMAN N-formyl peptide receptor 2 OS=Homo sapiens OX=9606 GN=FPR2 PE=2 SV=2
+METNFSTPLNEYEEVSYESAGYTVLRILPLVVLGVTFVLGVLGNGLVIWVAGFRMTRTVTTICYLNLALADFSFTATLPFLIVSMAMGEKWPFGWFLCKLIHIVVDINLFGSVFLIGFIALDRCICVLHPVWAQNHRTVSLAMKVIVGPWILALVLTLPVFLFLTTVTIPNGDTYCTFNFASWGGTPEERLKVAITMLTARGIIRFVIGFSLPMSIVAICYGLIAAKIHKKGMIKSSRPLRVLTAVVASFFICWFPFQLVALLGTVWLKEMLFYGKYKIIDILVNPTSSLAFFNSCLNPMLYVFVGQDFRERLIHSLPTSLERALSEDSAPTNDTAANSASPPAETELQAM
+>DECOY_sp|P25090|FPR2_HUMAN N-formyl peptide receptor 2 OS=Homo sapiens OX=9606 GN=FPR2 PE=2 SV=2
+MAQLETEAPPSASNAATDNTPASDESLARELSTPLSHILRERFDQGVFVYLMPNLCSNFFALSSTPNVLIDIIKYKGYFLMEKLWVTGLLAVLQFPFWCIFFSAVVATLVRLPRSSKIMGKKHIKAAILGYCIAVISMPLSFGIVFRIIGRATLMTIAVKLREEPTGGWSAFNFTCYTDGNPITVTTLFLFVPLTLVLALIWPGVIVKMALSVTRHNQAWVPHLVCICRDLAIFGILFVSGFLNIDVVIHILKCLFWGFPWKEGMAMSVILFPLTATFSFDALALNLYCITTVTRTMRFGAVWIVLGNGLVGLVFTVGLVVLPLIRLVTYGASEYSVEEYENLPTSFNTEM
+>sp|Q92837|FRAT1_HUMAN Proto-oncogene FRAT1 OS=Homo sapiens OX=9606 GN=FRAT1 PE=1 SV=3
+MPCRREEEEEAGEEAEGEEEEEDSFLLLQQSVALGSSGEVDRLVAQIGETLQLDAAQHSPASPCGPPGAPLRAPGPLAAAVPADKARSPAVPLLLPPALAETVGPAPPGVLRCALGDRGRVRGRAAPYCVAELATGPSALSPLPPQADLDGPPGAGKQGIPQPLSGPCRRGWLRGAAASRRLQQRRGSQPETRTGDDDPHRLLQQLVLSGNLIKEAVRRLHSRRLQLRAKLPQRPLLGPLSAPVHEPPSPRSPRAACSDPGASGRAQLRTGDGVLVPGS
+>DECOY_sp|Q92837|FRAT1_HUMAN Proto-oncogene FRAT1 OS=Homo sapiens OX=9606 GN=FRAT1 PE=1 SV=3
+SGPVLVGDGTRLQARGSAGPDSCAARPSRPSPPEHVPASLPGLLPRQPLKARLQLRRSHLRRVAEKILNGSLVLQQLLRHPDDDGTRTEPQSGRRQQLRRSAAAGRLWGRRCPGSLPQPIGQKGAGPPGDLDAQPPLPSLASPGTALEAVCYPAARGRVRGRDGLACRLVGPPAPGVTEALAPPLLLPVAPSRAKDAPVAAALPGPARLPAGPPGCPSAPSHQAADLQLTEGIQAVLRDVEGSSGLAVSQQLLLFSDEEEEEGEAEEGAEEEEERRCPM
+>sp|Q9BXU8|FHL17_HUMAN Ferritin heavy polypeptide-like 17 OS=Homo sapiens OX=9606 GN=FTHL17 PE=2 SV=1
+MATAQPSQVRQKYDTNCDAAINSHITLELYTSYLYLSMAFYFNRDDVALENFFRYFLRLSDDKMEHAQKLMRLQNLRGGHICLHDIRKPECQGWESGLVAMESAFHLEKNVNQSLLDLYQLAVEKGDPQLCHFLESHYLHEQVKTIKELGGYVSNLRKICSPEAGLAEYLFDKLTLGGRVKET
+>DECOY_sp|Q9BXU8|FHL17_HUMAN Ferritin heavy polypeptide-like 17 OS=Homo sapiens OX=9606 GN=FTHL17 PE=2 SV=1
+TEKVRGGLTLKDFLYEALGAEPSCIKRLNSVYGGLEKITKVQEHLYHSELFHCLQPDGKEVALQYLDLLSQNVNKELHFASEMAVLGSEWGQCEPKRIDHLCIHGGRLNQLRMLKQAHEMKDDSLRLFYRFFNELAVDDRNFYFAMSLYLYSTYLELTIHSNIAADCNTDYKQRVQSPQATAM
+>sp|P02675|FIBB_HUMAN Fibrinogen beta chain OS=Homo sapiens OX=9606 GN=FGB PE=1 SV=2
+MKRMVSWSFHKLKTMKHLLLLLLCVFLVKSQGVNDNEEGFFSARGHRPLDKKREEAPSLRPAPPPISGGGYRARPAKAAATQKKVERKAPDAGGCLHADPDLGVLCPTGCQLQEALLQQERPIRNSVDELNNNVEAVSQTSSSSFQYMYLLKDLWQKRQKQVKDNENVVNEYSSELEKHQLYIDETVNSNIPTNLRVLRSILENLRSKIQKLESDVSAQMEYCRTPCTVSCNIPVVSGKECEEIIRKGGETSEMYLIQPDSSVKPYRVYCDMNTENGGWTVIQNRQDGSVDFGRKWDPYKQGFGNVATNTDGKNYCGLPGEYWLGNDKISQLTRMGPTELLIEMEDWKGDKVKAHYGGFTVQNEANKYQISVNKYRGTAGNALMDGASQLMGENRTMTIHNGMFFSTYDRDNDGWLTSDPRKQCSKEDGGGWWYNRCHAANPNGRYYWGGQYTWDMAKHGTDDGVVWMNWKGSWYSMRKMSMKIRPFFPQQ
+>DECOY_sp|P02675|FIBB_HUMAN Fibrinogen beta chain OS=Homo sapiens OX=9606 GN=FGB PE=1 SV=2
+QQPFFPRIKMSMKRMSYWSGKWNMWVVGDDTGHKAMDWTYQGGWYYRGNPNAAHCRNYWWGGGDEKSCQKRPDSTLWGDNDRDYTSFFMGNHITMTRNEGMLQSAGDMLANGATGRYKNVSIQYKNAENQVTFGGYHAKVKDGKWDEMEILLETPGMRTLQSIKDNGLWYEGPLGCYNKGDTNTAVNGFGQKYPDWKRGFDVSGDQRNQIVTWGGNETNMDCYVRYPKVSSDPQILYMESTEGGKRIIEECEKGSVVPINCSVTCPTRCYEMQASVDSELKQIKSRLNELISRLVRLNTPINSNVTEDIYLQHKELESSYENVVNENDKVQKQRKQWLDKLLYMYQFSSSSTQSVAEVNNNLEDVSNRIPREQQLLAEQLQCGTPCLVGLDPDAHLCGGADPAKREVKKQTAAAKAPRARYGGGSIPPPAPRLSPAEERKKDLPRHGRASFFGEENDNVGQSKVLFVCLLLLLLHKMTKLKHFSWSVMRKM
+>sp|Q5VTH2|FLTOP_HUMAN Protein Flattop OS=Homo sapiens OX=9606 GN=CFAP126 PE=2 SV=1
+MATNYSANQYEKAFSSKYLQNWSPTKPTKESISSHEGYTQIIANDRGHLLPSVPRSKANPWGSFMGTWQMPLKIPPARVTLTSRTTAGAASLTKWIQKNPDLLKASNGLCPEILGKPHDPDSQKKLRKKSITKTVQQARSPTIIPSSPAANLNSPDELQSSHPSAGHTPGPQRPAKS
+>DECOY_sp|Q5VTH2|FLTOP_HUMAN Protein Flattop OS=Homo sapiens OX=9606 GN=CFAP126 PE=2 SV=1
+SKAPRQPGPTHGASPHSSQLEDPSNLNAAPSSPIITPSRAQQVTKTISKKRLKKQSDPDHPKGLIEPCLGNSAKLLDPNKQIWKTLSAAGATTRSTLTVRAPPIKLPMQWTGMFSGWPNAKSRPVSPLLHGRDNAIIQTYGEHSSISEKTPKTPSWNQLYKSSFAKEYQNASYNTAM
+>sp|Q9UPI3|FLVC2_HUMAN Feline leukemia virus subgroup C receptor-related protein 2 OS=Homo sapiens OX=9606 GN=FLVCR2 PE=1 SV=1
+MVNEGPNQEESDDTPVPESALQADPSVSVHPSVSVHPSVSINPSVSVHPSSSAHPSALAQPSGLAHPSSSGPEDLSVIKVSRRRWAVVLVFSCYSMCNSFQWIQYGSINNIFMHFYGVSAFAIDWLSMCYMLTYIPLLLPVAWLLEKFGLRTIALTGSALNCLGAWVKLGSLKPHLFPVTVVGQLICSVAQVFILGMPSRIASVWFGANEVSTACSVAVFGNQLGIAIGFLVPPVLVPNIEDRDELAYHISIMFYIIGGVATLLLILVIIVFKEKPKYPPSRAQSLSYALTSPDASYLGSIARLFKNLNFVLLVITYGLNAGAFYALSTLLNRMVIWHYPGEEVNAGRIGLTIVIAGMLGAVISGIWLDRSKTYKETTLVVYIMTLVGMVVYTFTLNLGHLWVVFITAGTMGFFMTGYLPLGFEFAVELTYPESEGISSGLLNISAQVFGIIFTISQGQIIDNYGTKPGNIFLCVFLTLGAALTAFIKADLRRQKANKETLENKLQEEEEESNTSKVPTAVSEDHL
+>DECOY_sp|Q9UPI3|FLVC2_HUMAN Feline leukemia virus subgroup C receptor-related protein 2 OS=Homo sapiens OX=9606 GN=FLVCR2 PE=1 SV=1
+LHDESVATPVKSTNSEEEEEQLKNELTEKNAKQRRLDAKIFATLAAGLTLFVCLFINGPKTGYNDIIQGQSITFIIGFVQASINLLGSSIGESEPYTLEVAFEFGLPLYGTMFFGMTGATIFVVWLHGLNLTFTYVVMGVLTMIYVVLTTEKYTKSRDLWIGSIVAGLMGAIVITLGIRGANVEEGPYHWIVMRNLLTSLAYFAGANLGYTIVLLVFNLNKFLRAISGLYSADPSTLAYSLSQARSPPYKPKEKFVIIVLILLLTAVGGIIYFMISIHYALEDRDEINPVLVPPVLFGIAIGLQNGFVAVSCATSVENAGFWVSAIRSPMGLIFVQAVSCILQGVVTVPFLHPKLSGLKVWAGLCNLASGTLAITRLGFKELLWAVPLLLPIYTLMYCMSLWDIAFASVGYFHMFINNISGYQIWQFSNCMSYCSFVLVVAWRRRSVKIVSLDEPGSSSPHALGSPQALASPHASSSPHVSVSPNISVSPHVSVSPHVSVSPDAQLASEPVPTDDSEEQNPGENVM
+>sp|O95466|FMNL1_HUMAN Formin-like protein 1 OS=Homo sapiens OX=9606 GN=FMNL1 PE=1 SV=3
+MGNAAGSAEQPAGPAAPPPKQPAPPKQPMPAAGELEERFNRALNCMNLPPDKVQLLSQYDNEKKWELICDQERFQVKNPPAAYIQKLKSYVDTGGVSRKVAADWMSNLGFKRRVQESTQVLRELETSLRTNHIGWVQEFLNEENRGLDVLLEYLAFAQCSVTYDMESTDNGASNSEKNKPLEQSVEDLSKGPPSSVPKSRHLTIKLTPAHSRKALRNSRIVSQKDDVHVCIMCLRAIMNYQSGFSLVMNHPACVNEIALSLNNKNPRTKALVLELLAAVCLVRGGHDIILAAFDNFKEVCGEQHRFEKLMEYFRNEDSNIDFMVACMQFINIVVHSVENMNFRVFLQYEFTHLGLDLYLERLRLTESDKLQVQIQAYLDNIFDVGALLEDTETKNAVLEHMEELQEQVALLTERLRDAENESMAKIAELEKQLSQARKELETLRERFSESTAMGPSRRPPEPEKAPPAAPTRPSALELKVEELEEKGLIRILRGPGDAVSIEILPVAVATPSGGDAPTPGVPTGSPSPDLAPAAEPAPGAAPPPPPPLPGLPSPQEAPPSAPPQAPPLPGSPEPPPAPPLPGDLPPPPPPPPPPPGTDGPVPPPPPPPPPPPGGPPDALGRRDSELGPGVKAKKPIQTKFRMPLLNWVALKPSQITGTVFTELNDEKVLQELDMSDFEEQFKTKSQGPSLDLSALKSKAAQKAPSKATLIEANRAKNLAITLRKGNLGAERICQAIEAYDLQALGLDFLELLMRFLPTEYERSLITRFEREQRPMEELSEEDRFMLCFSRIPRLPERMTTLTFLGNFPDTAQLLMPQLNAIIAASMSIKSSDKLRQILEIVLAFGNYMNSSKRGAAYGFRLQSLDALLEMKSTDRKQTLLHYLVKVIAEKYPQLTGFHSDLHFLDKAGSVSLDSVLADVRSLQRGLELTQREFVRQDDCMVLKEFLRANSPTMDKLLADSKTAQEAFESVVEYFGENPKTTSPGLFFSLFSRFIKAYKKAEQEVEQWKKEAAAQEAGADTPGKGEPPAPKSPPKARRPQMDLISELKRRQQKEPLIYESDRDGAIEDIITVIKTVPFTARTGKRTSRLLCEASLGEEMPL
+>DECOY_sp|O95466|FMNL1_HUMAN Formin-like protein 1 OS=Homo sapiens OX=9606 GN=FMNL1 PE=1 SV=3
+LPMEEGLSAECLLRSTRKGTRATFPVTKIVTIIDEIAGDRDSEYILPEKQQRRKLESILDMQPRRAKPPSKPAPPEGKGPTDAGAEQAAAEKKWQEVEQEAKKYAKIFRSFLSFFLGPSTTKPNEGFYEVVSEFAEQATKSDALLKDMTPSNARLFEKLVMCDDQRVFERQTLELGRQLSRVDALVSDLSVSGAKDLFHLDSHFGTLQPYKEAIVKVLYHLLTQKRDTSKMELLADLSQLRFGYAAGRKSSNMYNGFALVIELIQRLKDSSKISMSAAIIANLQPMLLQATDPFNGLFTLTTMREPLRPIRSFCLMFRDEESLEEMPRQEREFRTILSREYETPLFRMLLELFDLGLAQLDYAEIAQCIREAGLNGKRLTIALNKARNAEILTAKSPAKQAAKSKLASLDLSPGQSKTKFQEEFDSMDLEQLVKEDNLETFVTGTIQSPKLAVWNLLPMRFKTQIPKKAKVGPGLESDRRGLADPPGGPPPPPPPPPPPVPGDTGPPPPPPPPPPPLDGPLPPAPPPEPSGPLPPAQPPASPPAEQPSPLGPLPPPPPPAAGPAPEAAPALDPSPSGTPVGPTPADGGSPTAVAVPLIEISVADGPGRLIRILGKEELEEVKLELASPRTPAAPPAKEPEPPRRSPGMATSESFRERLTELEKRAQSLQKELEAIKAMSENEADRLRETLLAVQEQLEEMHELVANKTETDELLAGVDFINDLYAQIQVQLKDSETLRLRELYLDLGLHTFEYQLFVRFNMNEVSHVVINIFQMCAVMFDINSDENRFYEMLKEFRHQEGCVEKFNDFAALIIDHGGRVLCVAALLELVLAKTRPNKNNLSLAIENVCAPHNMVLSFGSQYNMIARLCMICVHVDDKQSVIRSNRLAKRSHAPTLKITLHRSKPVSSPPGKSLDEVSQELPKNKESNSAGNDTSEMDYTVSCQAFALYELLVDLGRNEENLFEQVWGIHNTRLSTELERLVQTSEQVRRKFGLNSMWDAAVKRSVGGTDVYSKLKQIYAAPPNKVQFREQDCILEWKKENDYQSLLQVKDPPLNMCNLARNFREELEGAAPMPQKPPAPQKPPPAAPGAPQEASGAANGM
+>sp|Q8N0W7|FMR1N_HUMAN Fragile X mental retardation 1 neighbor protein OS=Homo sapiens OX=9606 GN=FMR1NB PE=2 SV=1
+MSSHRRKAKGRNRRSHRAMRVAHLELATYELAATESNPESSHPGYEAAMADRPQPGWRESLKMRVSKPFGMLMLSIWILLFVCYYLSYYLCSGSSYFVLANGHILPNSENAHGQSLEEDSALEALLNFFFPTTCNLRENQVAKPCNELQDLSESECLRHKCCFSSSGTTSFKCFAPFRDVPKQMMQMFGLGAISLILVCLPIYCRSLFWRSEPADDLQRQDNRVVTGLKKQRRKRKRKSEMLQKAARGREEHGDE
+>DECOY_sp|Q8N0W7|FMR1N_HUMAN Fragile X mental retardation 1 neighbor protein OS=Homo sapiens OX=9606 GN=FMR1NB PE=2 SV=1
+EDGHEERGRAAKQLMESKRKRKRRQKKLGTVVRNDQRQLDDAPESRWFLSRCYIPLCVLILSIAGLGFMQMMQKPVDRFPAFCKFSTTGSSSFCCKHRLCESESLDQLENCPKAVQNERLNCTTPFFFNLLAELASDEELSQGHANESNPLIHGNALVFYSSGSCLYYSLYYCVFLLIWISLMLMGFPKSVRMKLSERWGPQPRDAMAAEYGPHSSEPNSETAALEYTALELHAVRMARHSRRNRGKAKRRHSSM
+>sp|P49356|FNTB_HUMAN Protein farnesyltransferase subunit beta OS=Homo sapiens OX=9606 GN=FNTB PE=1 SV=1
+MASPSSFTYYCPPSSSPVWSEPLYSLRPEHARERLQDDSVETVTSIEQAKVEEKIQEVFSSYKFNHLVPRLVLQREKHFHYLKRGLRQLTDAYECLDASRPWLCYWILHSLELLDEPIPQIVATDVCQFLELCQSPEGGFGGGPGQYPHLAPTYAAVNALCIIGTEEAYDIINREKLLQYLYSLKQPDGSFLMHVGGEVDVRSAYCAASVASLTNIITPDLFEGTAEWIARCQNWEGGIGGVPGMEAHGGYTFCGLAALVILKRERSLNLKSLLQWVTSRQMRFEGGFQGRCNKLVDGCYSFWQAGLLPLLHRALHAQGDPALSMSHWMFHQQALQEYILMCCQCPAGGLLDKPGKSRDFYHTCYCLSGLSIAQHFGSGAMLHDVVLGVPENALQPTHPVYNIGPDKVIQATTYFLQKPVPGFEELKDETSAEPATD
+>DECOY_sp|P49356|FNTB_HUMAN Protein farnesyltransferase subunit beta OS=Homo sapiens OX=9606 GN=FNTB PE=1 SV=1
+DTAPEASTEDKLEEFGPVPKQLFYTTAQIVKDPGINYVPHTPQLANEPVGLVVDHLMAGSGFHQAISLGSLCYCTHYFDRSKGPKDLLGGAPCQCCMLIYEQLAQQHFMWHSMSLAPDGQAHLARHLLPLLGAQWFSYCGDVLKNCRGQFGGEFRMQRSTVWQLLSKLNLSRERKLIVLAALGCFTYGGHAEMGPVGGIGGEWNQCRAIWEATGEFLDPTIINTLSAVSAACYASRVDVEGGVHMLFSGDPQKLSYLYQLLKERNIIDYAEETGIICLANVAAYTPALHPYQGPGGGFGGEPSQCLELFQCVDTAVIQPIPEDLLELSHLIWYCLWPRSADLCEYADTLQRLGRKLYHFHKERQLVLRPVLHNFKYSSFVEQIKEEVKAQEISTVTEVSDDQLRERAHEPRLSYLPESWVPSSSPPCYYTFSSPSAM
+>sp|Q5VW36|FOCAD_HUMAN Focadhesin OS=Homo sapiens OX=9606 GN=FOCAD PE=1 SV=1
+MSDDIRKRFEFPNSLIQSQAVGHLIAAVLKENGFSEKIHQSTNQTPALNLLWEKCCSDNVVVRTACCEGLVALVAQDHAEFSYVLNGILNLIPSTRNTHGLIKAIMHLLQMQALKEGQGGEKNIQSIYTIRNHPHPLITVLEHRPDCWPVFLQQLTAFFQQCPERLEVSCIQIMAPFLWYLYCEPSQLQEYAKLRLALLKVLLQPQVLCDKDQPSILEQQILQLCCDIVPCLQVKDLIQTTEAMMFIEEVCLSLLRHPVFWKIQLTQMSLQLLCVSEVSLKITGECSSSIHLLEHSVELLKEDFPVELVIIGIALLLLQTPASQQKPILNLALKLLSVTEDQKIPKSSLLLVMPILQILSSTALEDCISVDEEGPSRQQLALNLLEMIQQECYRDDHQKLSYKLVCPVTSMYGTIFTAWRILEVMTDSSAASDWLASVESLLPITAVIPAPAFLLLAHLLVEDKGQNLHQILKVTTELAQADSSQVPNLIPVLMFKLGRPLEPILYNDILYTLPKLGVHKVCIGQILRIIQLLGTTPRLRAVTLRLLTSLWEKQDRVYPELQRFMAVSDVPSLSVGKEVQWEKLIAKAASIRDICKQRPYQHGADMLAAISQVLNECTKPDQATPAALVLQGLHALCQAEVVCIRSTWNALSPKLSCDTRPLILKTLSELFSLVPSLTVNTTEYENFKVQVLSFLWTHTQNKDPIVANAAYRSLANFTAGEHTILHLPEKIRPEIPIPEELDDDEDVEDVDLSVPGSCYLKLLSLTPPLVLPALEEFFTSLVKQEMVNMPRGIYHSALKGGARSDQGKTVAGIPNFILKMYETNKQPGLKPGLAGGMLFCYDVSMYQSKDGKPLNRLMASRGRSFKQTSLALVHEVHIQLSEWHRAIFLPQAWLAYMNRAYHAILQGRLGELELQLKHGKEEPEEVQYKKSTAWLWVRDMLTDEITKAAAKESPVVKGNALLALSSLAVVVSRHEASLSSDSDGLLEVQPNFLSMKEWVSMVLDTLLVIVDSHYQPRGQLLSWFYYKSYSGENTASAIARSAAATALSLLVPVFIISCKEKVEEILNMLTARLPGKPSADESQAVQIHMGLALGMFLSRLCEEKLSDISGQEMNLLLMKSLDALENCCFDTSLEYNTGCILGVGLVLSLMSHSSQMQSRVHVAALLRKLSAHVDDSGSQSRTFQEVLAYTLSCVCTSAFSAGIIEATEAEDVMNKLRLLVENSQQTSGFALALGNIVHGLSVCGHGKAEDLGSKLLPAWIRIVLTEGTPTMLCLAALHGMVALVGSEGDVMQLKSEAIQTSHFQGRLNEVIRTLTQVISVSGVIGLQSNAVWLLGHLHLSTLSSSQSRASVPTDYSYLPESSFIGAAIGFFITGGKKGPESVPPSLLKVVMKPIATVGESYQYPPVNWAALLSPLMRLNFGEEIQQLCLEIMVTQAQSSQNAAALLGLWVTPPLIHSLSLNTKRYLLISAPLWIKHISDEQILGFVENLMVAVFKAASPLGSPELCPSALHGLSQAMKLPSPAHHLWSLLSEATGKIFDLLPNKIRRKDLELYISIAKCLLEMTDDDANRIAQVTKSNIEKAAFVKLYLVSQGRFPLVNLTDMLSVAVQHREKEVLAWMILHSLYQARIVSHANTGVLKRMEWLLELMGYIRNVAYQSTSFHNTALDKALDFFLLIFATAVVAWADHTAPLLLGLSASWLPWHQENGPAGPVPSFLGRSPMHRVTLQEVLTLLPNSMALLLQKEPWKEQTQKFIDWLFSIMESPKEALSAQSRDLLKATLLSLRVLPEFKKKAVWTRAYGW
+>DECOY_sp|Q5VW36|FOCAD_HUMAN Focadhesin OS=Homo sapiens OX=9606 GN=FOCAD PE=1 SV=1
+WGYARTWVAKKKFEPLVRLSLLTAKLLDRSQASLAEKPSEMISFLWDIFKQTQEKWPEKQLLLAMSNPLLTLVEQLTVRHMPSRGLFSPVPGAPGNEQHWPLWSASLGLLLPATHDAWAVVATAFILLFFDLAKDLATNHFSTSQYAVNRIYGMLELLWEMRKLVGTNAHSVIRAQYLSHLIMWALVEKERHQVAVSLMDTLNVLPFRGQSVLYLKVFAAKEINSKTVQAIRNADDDTMELLCKAISIYLELDKRRIKNPLLDFIKGTAESLLSWLHHAPSPLKMAQSLGHLASPCLEPSGLPSAAKFVAVMLNEVFGLIQEDSIHKIWLPASILLYRKTNLSLSHILPPTVWLGLLAAANQSSQAQTVMIELCLQQIEEGFNLRMLPSLLAAWNVPPYQYSEGVTAIPKMVVKLLSPPVSEPGKKGGTIFFGIAAGIFSSEPLYSYDTPVSARSQSSSLTSLHLHGLLWVANSQLGIVGSVSIVQTLTRIVENLRGQFHSTQIAESKLQMVDGESGVLAVMGHLAALCLMTPTGETLVIRIWAPLLKSGLDEAKGHGCVSLGHVINGLALAFGSTQQSNEVLLRLKNMVDEAETAEIIGASFASTCVCSLTYALVEQFTRSQSGSDDVHASLKRLLAAVHVRSQMQSSHSMLSLVLGVGLICGTNYELSTDFCCNELADLSKMLLLNMEQGSIDSLKEECLRSLFMGLALGMHIQVAQSEDASPKGPLRATLMNLIEEVKEKCSIIFVPVLLSLATAAASRAIASATNEGSYSKYYFWSLLQGRPQYHSDVIVLLTDLVMSVWEKMSLFNPQVELLGDSDSSLSAEHRSVVVALSSLALLANGKVVPSEKAAAKTIEDTLMDRVWLWATSKKYQVEEPEEKGHKLQLELEGLRGQLIAHYARNMYALWAQPLFIARHWESLQIHVEHVLALSTQKFSRGRSAMLRNLPKGDKSQYMSVDYCFLMGGALGPKLGPQKNTEYMKLIFNPIGAVTKGQDSRAGGKLASHYIGRPMNVMEQKVLSTFFEELAPLVLPPTLSLLKLYCSGPVSLDVDEVDEDDDLEEPIPIEPRIKEPLHLITHEGATFNALSRYAANAVIPDKNQTHTWLFSLVQVKFNEYETTNVTLSPVLSFLESLTKLILPRTDCSLKPSLANWTSRICVVEAQCLAHLGQLVLAAPTAQDPKTCENLVQSIAALMDAGHQYPRQKCIDRISAAKAILKEWQVEKGVSLSPVDSVAMFRQLEPYVRDQKEWLSTLLRLTVARLRPTTGLLQIIRLIQGICVKHVGLKPLTYLIDNYLIPELPRGLKFMLVPILNPVQSSDAQALETTVKLIQHLNQGKDEVLLHALLLFAPAPIVATIPLLSEVSALWDSAASSDTMVELIRWATFITGYMSTVPCVLKYSLKQHDDRYCEQQIMELLNLALQQRSPGEEDVSICDELATSSLIQLIPMVLLLSSKPIKQDETVSLLKLALNLIPKQQSAPTQLLLLAIGIIVLEVPFDEKLLEVSHELLHISSSCEGTIKLSVESVCLLQLSMQTLQIKWFVPHRLLSLCVEEIFMMAETTQILDKVQLCPVIDCCLQLIQQELISPQDKDCLVQPQLLVKLLALRLKAYEQLQSPECYLYWLFPAMIQICSVELREPCQQFFATLQQLFVPWCDPRHELVTILPHPHNRITYISQINKEGGQGEKLAQMQLLHMIAKILGHTNRTSPILNLIGNLVYSFEAHDQAVLAVLGECCATRVVVNDSCCKEWLLNLAPTQNTSQHIKESFGNEKLVAAILHGVAQSQILSNPFEFRKRIDDSM
+>sp|Q12946|FOXF1_HUMAN Forkhead box protein F1 OS=Homo sapiens OX=9606 GN=FOXF1 PE=1 SV=2
+MSSAPEKQQPPHGGGGGGGGGGGAAMDPASSGPSKAKKTNAGIRRPEKPPYSYIALIVMAIQSSPTKRLTLSEIYQFLQSRFPFFRGSYQGWKNSVRHNLSLNECFIKLPKGLGRPGKGHYWTIDPASEFMFEEGSFRRRPRGFRRKCQALKPMYSMMNGLGFNHLPDTYGFQGSAGGLSCPPNSLALEGGLGMMNGHLPGNVDGMALPSHSVPHLPSNGGHSYMGGCGGAAAGEYPHHDSSVPASPLLPTGAGGVMEPHAVYSGSAAAWPPSASAALNSGASYIKQQPLSPCNPAANPLSGSLSTHSLEQPYLHQNSHNAPAELQGIPRYHSQSPSMCDRKEFVFSFNAMASSSMHSAGGGSYYHQQVTYQDIKPCVM
+>DECOY_sp|Q12946|FOXF1_HUMAN Forkhead box protein F1 OS=Homo sapiens OX=9606 GN=FOXF1 PE=1 SV=2
+MVCPKIDQYTVQQHYYSGGGASHMSSSAMANFSFVFEKRDCMSPSQSHYRPIGQLEAPANHSNQHLYPQELSHTSLSGSLPNAAPNCPSLPQQKIYSAGSNLAASASPPWAAASGSYVAHPEMVGGAGTPLLPSAPVSSDHHPYEGAAAGGCGGMYSHGGNSPLHPVSHSPLAMGDVNGPLHGNMMGLGGELALSNPPCSLGGASGQFGYTDPLHNFGLGNMMSYMPKLAQCKRRFGRPRRRFSGEEFMFESAPDITWYHGKGPRGLGKPLKIFCENLSLNHRVSNKWGQYSGRFFPFRSQLFQYIESLTLRKTPSSQIAMVILAIYSYPPKEPRRIGANTKKAKSPGSSAPDMAAGGGGGGGGGGGHPPQQKEPASSM
+>sp|Q6PJQ5|FOXR2_HUMAN Forkhead box protein R2 OS=Homo sapiens OX=9606 GN=FOXR2 PE=1 SV=1
+MDLKLKDCEFWYSLHGQVPGLLDWDMRNELFLPCTTDQCSLAEQILAKYRVGVMKPPEMPQKRRPSPDGDGPPCEPNLWMWVDPNILCPLGSQEAPKPSGKEDLTNISPFPQPPQKDEGSNCSEDKVVESLPSSSSEQSPLQKQGIHSPSDFELTEEEAEEPDDNSLQSPEMKCYQSQKLWQINNQEKSWQRPPLNCSHLIALALRNNPHCGLSVQEIYNFTRQHFPFFWTAPDGWKSTIHYNLCFLDSFEKVPDSLKDEDNARPRSCLWKLTKEGHRRFWEETRVLAFAQRERIQECMSQPELLTSLFDL
+>DECOY_sp|Q6PJQ5|FOXR2_HUMAN Forkhead box protein R2 OS=Homo sapiens OX=9606 GN=FOXR2 PE=1 SV=1
+LDFLSTLLEPQSMCEQIRERQAFALVRTEEWFRRHGEKTLKWLCSRPRANDEDKLSDPVKEFSDLFCLNYHITSKWGDPATWFFPFHQRTFNYIEQVSLGCHPNNRLALAILHSCNLPPRQWSKEQNNIQWLKQSQYCKMEPSQLSNDDPEEAEEETLEFDSPSHIGQKQLPSQESSSSPLSEVVKDESCNSGEDKQPPQPFPSINTLDEKGSPKPAEQSGLPCLINPDVWMWLNPECPPGDGDPSPRRKQPMEPPKMVGVRYKALIQEALSCQDTTCPLFLENRMDWDLLGPVQGHLSYWFECDKLKLDM
+>sp|Q0VG06|FP100_HUMAN Fanconi anemia core complex-associated protein 100 OS=Homo sapiens OX=9606 GN=FAAP100 PE=1 SV=3
+MAGAAPRVRYLAGFCCPLGGLAAGKPRVLCHEAEVFLSTGSELVYVYDQEGGLLTAAFRFPDQVWHLELLAPRRLLYALCARRGLYCLSLDHPGRSRSTSQDDRDSEDGDQPSPVIPVDPDACILPDAALCAFTLLDSVLVTLVQGPARWKMQLFEQPCPGEDPRPGGQIGEVELSSYTPPAGVPGKPAAPHFLPVLCSVSPSGSRVPHDLLGGSGGFTLEDALFGLLFGADATLLQSPVVLCGLPDGQLCCVILKALVTSRSAPGDPNALVKILHHLEEPVIFIGALKTEPQAAEAAENFLPDEDVHCDCLVAFGHHGRMLAIKASWDESGKLVPELREYCLPGPVLCAACGGGGRVYHSTPSDLCVVDLSRGSTPLGPEQPEEGPGGLPPMLCPASLNICSVVSLSASPRTHEGGTKLLALSAKGRLMTCSLDLDSEMPGPARMTTESAGQKIKELLSGIGNISERVSFLKKAVDQRNKALTSLNEAMNVSCALLSSGTGPRPISCTTSTTWSRLQTQDVLMATCVLENSSSFSLDQGWTLCIQVLTSSCALDLDSACSAITYTIPVDQLGPGARREVTLPLGPGENGGLDLPVTVSCTLFYSLREVVGGALAPSDSEDPFLDECPSDVLPEQEGVCLPLSRHTVDMLQCLRFPGLAPPHTRAPSPLGPTRDPVATFLETCREPGSQPAGPASLRAEYLPPSVASIKVSAELLRAALKDGHSGVPLCCATLQWLLAENAAVDVVRARALSSIQGVAPDGANVHLIVREVAMTDLCPAGPIQAVEIQVESSSLADICRAHHAVVGRMQTMVTEQATQGSSAPDLRVQYLRQIHANHETLLREVQTLRDRLCTEDEASSCATAQRLLQVYRQLRHPSLILL
+>DECOY_sp|Q0VG06|FP100_HUMAN Fanconi anemia core complex-associated protein 100 OS=Homo sapiens OX=9606 GN=FAAP100 PE=1 SV=3
+LLILSPHRLQRYVQLLRQATACSSAEDETCLRDRLTQVERLLTEHNAHIQRLYQVRLDPASSGQTAQETVMTQMRGVVAHHARCIDALSSSEVQIEVAQIPGAPCLDTMAVERVILHVNAGDPAVGQISSLARARVVDVAANEALLWQLTACCLPVGSHGDKLAARLLEASVKISAVSPPLYEARLSAPGAPQSGPERCTELFTAVPDRTPGLPSPARTHPPALGPFRLCQLMDVTHRSLPLCVGEQEPLVDSPCEDLFPDESDSPALAGGVVERLSYFLTCSVTVPLDLGGNEGPGLPLTVERRAGPGLQDVPITYTIASCASDLDLACSSTLVQICLTWGQDLSFSSSNELVCTAMLVDQTQLRSWTTSTTCSIPRPGTGSSLLACSVNMAENLSTLAKNRQDVAKKLFSVRESINGIGSLLEKIKQGASETTMRAPGPMESDLDLSCTMLRGKASLALLKTGGEHTRPSASLSVVSCINLSAPCLMPPLGGPGEEPQEPGLPTSGRSLDVVCLDSPTSHYVRGGGGCAACLVPGPLCYERLEPVLKGSEDWSAKIALMRGHHGFAVLCDCHVDEDPLFNEAAEAAQPETKLAGIFIVPEELHHLIKVLANPDGPASRSTVLAKLIVCCLQGDPLGCLVVPSQLLTADAGFLLGFLADELTFGGSGGLLDHPVRSGSPSVSCLVPLFHPAAPKGPVGAPPTYSSLEVEGIQGGPRPDEGPCPQEFLQMKWRAPGQVLTVLVSDLLTFACLAADPLICADPDVPIVPSPQDGDESDRDDQSTSRSRGPHDLSLCYLGRRACLAYLLRRPALLELHWVQDPFRFAATLLGGEQDYVYVLESGTSLFVEAEHCLVRPKGAALGGLPCCFGALYRVRPAAGAM
+>sp|P14324|FPPS_HUMAN Farnesyl pyrophosphate synthase OS=Homo sapiens OX=9606 GN=FDPS PE=1 SV=4
+MPLSRWLRSVGVFLLPAPYWAPRERWLGSLRRPSLVHGYPVLAWHSARCWCQAWTEEPRALCSSLRMNGDQNSDVYAQEKQDFVQHFSQIVRVLTEDEMGHPEIGDAIARLKEVLEYNAIGGKYNRGLTVVVAFRELVEPRKQDADSLQRAWTVGWCVELLQAFFLVADDIMDSSLTRRGQICWYQKPGVGLDAINDANLLEACIYRLLKLYCREQPYYLNLIELFLQSSYQTEIGQTLDLLTAPQGNVDLVRFTEKRYKSIVKYKTAFYSFYLPIAAAMYMAGIDGEKEHANAKKILLEMGEFFQIQDDYLDLFGDPSVTGKIGTDIQDNKCSWLVVQCLQRATPEQYQILKENYGQKEAEKVARVKALYEELDLPAVFLQYEEDSYSHIMALIEQYAAPLPPAVFLGLARKIYKRRK
+>DECOY_sp|P14324|FPPS_HUMAN Farnesyl pyrophosphate synthase OS=Homo sapiens OX=9606 GN=FDPS PE=1 SV=4
+KRRKYIKRALGLFVAPPLPAAYQEILAMIHSYSDEEYQLFVAPLDLEEYLAKVRAVKEAEKQGYNEKLIQYQEPTARQLCQVVLWSCKNDQIDTGIKGTVSPDGFLDLYDDQIQFFEGMELLIKKANAHEKEGDIGAMYMAAAIPLYFSYFATKYKVISKYRKETFRVLDVNGQPATLLDLTQGIETQYSSQLFLEILNLYYPQERCYLKLLRYICAELLNADNIADLGVGPKQYWCIQGRRTLSSDMIDDAVLFFAQLLEVCWGVTWARQLSDADQKRPEVLERFAVVVTLGRNYKGGIANYELVEKLRAIADGIEPHGMEDETLVRVIQSFHQVFDQKEQAYVDSNQDGNMRLSSCLARPEETWAQCWCRASHWALVPYGHVLSPRRLSGLWRERPAWYPAPLLFVGVSRLWRSLPM
+>sp|Q2WGJ9|FR1L6_HUMAN Fer-1-like protein 6 OS=Homo sapiens OX=9606 GN=FER1L6 PE=2 SV=2
+MFGLKVKKKRNKAEKGLILANKAAKDSQGDTEALQEEPSHQEGPRGDLVHDDASIFPVPSASPKRRSKLLTKIHDGEVRSQNYQIAITITEARQLVGENIDPVVTIEIGDEKKQSTVKEGTNSPFYNEYFVFDFIGPQVHLFDKIIKISVFHHKLIGSVLIGSFKVDLGTVYNQPGHQFCNKWALLTDPGDIRTGTKGYLKCDISVMGKGDVLKTSPKTSDTEEPIEKNLLIPNGFPLERPWARFYVRLYKAEGLPKMNSSIMANVTKAFVGDSKDLVDPFVEVSFAGQMGRTTVQKNCADPVWHEQVIFKEMFPPLCRRVKIQVWDEGSMNDVALATHFIDLKKISNEQDGDKGFLPTFGPAWINLYGSPRNHSLMDDYQEMNEGFGEGVSFRGRILVEIAVEILSGRAQESKFSKALKELKLPSKDKDSKSSKGKDKADKTEDGKSQQASNKTNSTEVEVESFDVPPEIVPEKNEEFLLFGAFFEATMIDRKIGDKPISFEVSIGNFGNLIDGGSHHGSKKSAESAEEDLLPLLHEGQGDVAHDVPIPMASTTHPEKPLVTEGNRNYNYLPFEAKKPCVYFISSWGDQTFRLHWSNMLEKMADFLEESIEEVRELIKISQEAPEEKMKTVLSDFISRSSAFISEAEKKPKMLNQTTLDKKRLTLCWQELEAMCKEAKGIIQQQKKKLSVDEMIHEAQNFVEKIRFLVDEPQHTIPDVFIWMLSNNRRVAYARIASKDLLYSPVAGQMGKHCGKIKTHFLKPPGKRPAGWSVQAKVDVYLWLGSIKHASAILDNLPVGYEAEMSSKGAGTNHPPSNLLYQEQHVFQLRAHMYQARGLIAADSNGLSDPFAKVTFLSHCQTTKIISQTLSPTWNQMLLFNDLVLHGDVKELAESPPLVVVELYDSDAVGKPEYLGATVAAPVVKLADQDYEPPRLCYHPIFCGNLSGGDLLAVFELLQVPPSGLQGLPPVEPPDITQIYPVPANIRPVLSKYRVEVLFWGVREMKKVQLLSVDRPQALIECGGQGVKSCVIQSYKNNPNFSIQADAFEVELPENELLHPPLSICVVDWRAFGRSTLVGTYTINYLKQFLCKLREPLAPITQVDGTQPGHDISDSLTATESSGAHSSSQDPPADHIYVDVEPPPTVVPDSAQAQPAILVDVPDSSPMLEPEHTPVAQEPPKDGKPKDPRKPSRRSTKRRKRTIADESAENVIDWWSKYYASLKKAQKAKERNPKGKKGNTEAKPDEVVVDIEDGPKKKKDKMLKKKPKDDGIPNLAILQIYDGDLESEFNNFEDWVKTFELFRGKSTEDDHGLDGDRVIGKFKGSFCIYKSPQDSSSEDSGQLRIQQGIPPNHPVTVLIRVYIVAAFNLSPADPDGKSDPYIVIKLGKTEIKDRDKYIPKQLNPVFGRSFEIQATFPKESLLSILIYDHDMIGTDDLIGETKIDLENRFYSKHRAICGLQSQYEIEGYNAWRDTSKPTEILTKLCKDNKLDGPYFHPGKIQIGNQVFSGKTIFTEEDTDETVESYEHLALKVLHSWEDIPEVGCRLVPEHIETRPLYHKDKPGMEQGRLQMWVDMFPKDMPQPGPPVDISPRRPKGYELRVTIWNTEDVILEDENIFTGQKSSDIYVKGWLKGLEDDKQETDVHYNSLTGEGNFNWRFLFPFQYLPAEKQMVITKRENIFSLEKMECKTPAVLVLQVWDFERLSSDDFLGTLEMNLNSFPRAAKSAKACDLAKFENASEETKISIFQQKRVRGWWPFSKSKELTGKVEAEFHLVTAEEAEKNPVGKARKEPEPLAKPNRPDTSFSWFMSPFKCLYYLIWKNYKKYIIIAFILIILIIFLVLFIYTLPGAISRRIVVGS
+>DECOY_sp|Q2WGJ9|FR1L6_HUMAN Fer-1-like protein 6 OS=Homo sapiens OX=9606 GN=FER1L6 PE=2 SV=2
+SGVVIRRSIAGPLTYIFLVLFIILIILIFAIIIYKKYNKWILYYLCKFPSMFWSFSTDPRNPKALPEPEKRAKGVPNKEAEEATVLHFEAEVKGTLEKSKSFPWWGRVRKQQFISIKTEESANEFKALDCAKASKAARPFSNLNMELTGLFDDSSLREFDWVQLVLVAPTKCEMKELSFINERKTIVMQKEAPLYQFPFLFRWNFNGEGTLSNYHVDTEQKDDELGKLWGKVYIDSSKQGTFINEDELIVDETNWITVRLEYGKPRRPSIDVPPGPQPMDKPFMDVWMQLRGQEMGPKDKHYLPRTEIHEPVLRCGVEPIDEWSHLVKLALHEYSEVTEDTDEETFITKGSFVQNGIQIKGPHFYPGDLKNDKCLKTLIETPKSTDRWANYGEIEYQSQLGCIARHKSYFRNELDIKTEGILDDTGIMDHDYILISLLSEKPFTAQIEFSRGFVPNLQKPIYKDRDKIETKGLKIVIYPDSKGDPDAPSLNFAAVIYVRILVTVPHNPPIGQQIRLQGSDESSSDQPSKYICFSGKFKGIVRDGDLGHDDETSKGRFLEFTKVWDEFNNFESELDGDYIQLIALNPIGDDKPKKKLMKDKKKKPGDEIDVVVEDPKAETNGKKGKPNREKAKQAKKLSAYYKSWWDIVNEASEDAITRKRRKTSRRSPKRPDKPKGDKPPEQAVPTHEPELMPSSDPVDVLIAPQAQASDPVVTPPPEVDVYIHDAPPDQSSSHAGSSETATLSDSIDHGPQTGDVQTIPALPERLKCLFQKLYNITYTGVLTSRGFARWDVVCISLPPHLLENEPLEVEFADAQISFNPNNKYSQIVCSKVGQGGCEILAQPRDVSLLQVKKMERVGWFLVEVRYKSLVPRINAPVPYIQTIDPPEVPPLGQLGSPPVQLLEFVALLDGGSLNGCFIPHYCLRPPEYDQDALKVVPAAVTAGLYEPKGVADSDYLEVVVLPPSEALEKVDGHLVLDNFLLMQNWTPSLTQSIIKTTQCHSLFTVKAFPDSLGNSDAAILGRAQYMHARLQFVHQEQYLLNSPPHNTGAGKSSMEAEYGVPLNDLIASAHKISGLWLYVDVKAQVSWGAPRKGPPKLFHTKIKGCHKGMQGAVPSYLLDKSAIRAYAVRRNNSLMWIFVDPITHQPEDVLFRIKEVFNQAEHIMEDVSLKKKQQQIIGKAEKCMAELEQWCLTLRKKDLTTQNLMKPKKEAESIFASSRSIFDSLVTKMKEEPAEQSIKILERVEEISEELFDAMKELMNSWHLRFTQDGWSSIFYVCPKKAEFPLYNYNRNGETVLPKEPHTTSAMPIPVDHAVDGQGEHLLPLLDEEASEASKKSGHHSGGDILNGFNGISVEFSIPKDGIKRDIMTAEFFAGFLLFEENKEPVIEPPVDFSEVEVETSNTKNSAQQSKGDETKDAKDKGKSSKSDKDKSPLKLEKLAKSFKSEQARGSLIEVAIEVLIRGRFSVGEGFGENMEQYDDMLSHNRPSGYLNIWAPGFTPLFGKDGDQENSIKKLDIFHTALAVDNMSGEDWVQIKVRRCLPPFMEKFIVQEHWVPDACNKQVTTRGMQGAFSVEVFPDVLDKSDGVFAKTVNAMISSNMKPLGEAKYLRVYFRAWPRELPFGNPILLNKEIPEETDSTKPSTKLVDGKGMVSIDCKLYGKTGTRIDGPDTLLAWKNCFQHGPQNYVTGLDVKFSGILVSGILKHHFVSIKIIKDFLHVQPGIFDFVFYENYFPSNTGEKVTSQKKEDGIEITVVPDINEGVLQRAETITIAIQYNQSRVEGDHIKTLLKSRRKPSASPVPFISADDHVLDGRPGEQHSPEEQLAETDGQSDKAAKNALILGKEAKNRKKKVKLGFM
+>sp|Q9BZ68|FR8P1_HUMAN Putative FERM domain-containing protein FRMD8P1 OS=Homo sapiens OX=9606 GN=FRMD8P1 PE=5 SV=2
+MDGTEGSVGQPGPTERSHRSSVSSVGARAADVLVYLADDTVVPLAVENLPSLRAHELHCAVREVLKLPDIALDVFALWLVSPLLEVQLKPKNQPYKLGRQWPELLLRFTSAPDDDVAMDEPFLQFRRNVFFPKGRELQIPDEEVLRLLYEEAKGNVLAARYLCDVEDCEALGALVCRVQLVTYQPDWPAACDLREKLDSFLPAHLCKRGQGLFAALRGRGARAGPGEQSLLNAYRKVQEVSSDGGCEAALGTHYRAYLLKCHKLPFYGCAFFHGEVDKPAQGFLHRGGRKSVSVAISLEGVHVVDSREKHVLLGLCFQELSWDHTSPEEEEPILWLEFDRDSGVTPVNKLLKIYSKQAELMSSLIEYCI
+>DECOY_sp|Q9BZ68|FR8P1_HUMAN Putative FERM domain-containing protein FRMD8P1 OS=Homo sapiens OX=9606 GN=FRMD8P1 PE=5 SV=2
+ICYEILSSMLEAQKSYIKLLKNVPTVGSDRDFELWLIPEEEEPSTHDWSLEQFCLGLLVHKERSDVVHVGELSIAVSVSKRGGRHLFGQAPKDVEGHFFACGYFPLKHCKLLYARYHTGLAAECGGDSSVEQVKRYANLLSQEGPGARAGRGRLAAFLGQGRKCLHAPLFSDLKERLDCAAPWDPQYTVLQVRCVLAGLAECDEVDCLYRAALVNGKAEEYLLRLVEEDPIQLERGKPFFVNRRFQLFPEDMAVDDDPASTFRLLLEPWQRGLKYPQNKPKLQVELLPSVLWLAFVDLAIDPLKLVERVACHLEHARLSPLNEVALPVVTDDALYVLVDAARAGVSSVSSRHSRETPGPQGVSGETGDM
+>sp|Q86XX4|FRAS1_HUMAN Extracellular matrix protein FRAS1 OS=Homo sapiens OX=9606 GN=FRAS1 PE=1 SV=2
+MGVLKVWLGLALALAEFAVLPHHSEGACVYQDSLLADATIWKPDSCQSCRCHGDIVICKPAVCRNPQCAFEKGEVLQIAANQCCPECVLRTPGSCHHEKKIHEHGTEWASSPCSVCSCNHGEVRCTPQPCPPLSCGHQELAFIPEGSCCPVCVGLGKPCSYEGHVFQDGEDWRLSRCAKCLCRNGVAQCFTAQCQPLFCNQDETVVRVPGKCCPQCSARSCSAAGQVYEHGEQWSENACTTCICDRGEVRCHKQACLPLRCGKGQSRARRHGQCCEECVSPAGSCSYDGVVRYQDEMWKGSACEFCMCDHGQVTCQTGECAKVECARDEELIHLDGKCCPECISRNGYCVYEETGEFMSSNASEVKRIPEGEKWEDGPCKVCECRGAQVTCYEPSCPPCPVGTLALEVKGQCCPDCTSVHCHPDCLTCSQSPDHCDLCQDPTKLLQNGWCVHSCGLGFYQAGSLCLACQPQCSTCTSGLECSSCQPPLLMRHGQCVPTCGDGFYQDRHSCAVCHESCAGCWGPTEKHCLACRDPLHVLRDGGCESSCGKGFYNRQGTCSACDQSCDSCGPSSPRCLTCTEKTVLHDGKCMSECPGGYYADATGRCKVCHNSCASCSGPTPSHCTACSPPKALRQGHCLPRCGEGFYSDHGVCKACHSSCLACMGPAPSHCTGCKKPEEGLQVEQLSDVGIPSGECLAQCRAHFYLESTGICEACHQSCFRCAGKSPHNCTDCGPSHVLLDGQCLSQCPDGYFHQEGSCTECHPTCRQCHGPLESDCISCYPHISLTNGNCRTSCREEQFLNLVGYCADCHHLCQHCAADLHNTGSICLRCQNAHYLLLGDHCVPDCPSGYYAERGACKKCHSSCRTCQGRGPFSCSSCDTNLVLSHTGTCSTTCFPGHYLDDNHVCQPCNTHCGSCDSQASCTSCRDPNKVLLFGECQYESCAPQYYLDFSTNTCKECDWSCSACSGPLKTDCLQCMDGYVLQDGACVEQCLSSFYQDSGLCKNCDSYCLQCQGPHECTRCKGPFLLLEAQCVQECGKGYFADHAKHKCTACPQGCLQCSHRDRCHLCDHGFFLKSGLCVYNCVPGFSVHTSNETCSGKIHTPSLHVNGSLILPIGSIKPLDFSLLNVQDQEGRVEDLLFHVVSTPTNGQLVLSRNGKEVQLDKAGRFSWKDVNEKKVRFVHSKEKLRKGYLFLKISDQQFFSEPQLINIQAFSTQAPYVLRNEVLHISRGERATITTQMLDIRDDDNPQDVVIEIIDPPLHGQLLQTLQSPATPIYQFQLDELSRGLLHYAHDGSDSTSDVAVLQANDGHSFHNILFQVKTVPQNDRGLQLVANSMVWVPEGGMLQITNRILQAEAPGASAEEIIYKITQDYPQFGEVVLLVNMPADSPADEGQHLPDGRTATPTSTFTQQDINEGIVWYRHSGAPAQSDSFRFEVSSASNAQTRLESHMFNIAILPQTPEAPKVSLEASLHMTAREDGLTVIQPHSLSFINSEKPSGKIVYNITLPLHPNQGIIEHRDHPHSPIRYFTQEDINQGKVMYRPPPAAPHLQELMAFSFAGLPESVKFHFTVSDGEHTSPEMVLTIHLLPSDQQLPVFQVTAPRLAVSPGGSTSVGLQVVVRDAETAPKELFFELRRPPQHGVLLKHTAEFRRPMATGDTFTYEDVEKNALQYIHDGSSTREDSMEISVTDGLTVTMLEVRVEVSLSEDRGPRLAAGSSLSITVASKSTAIITRSHLAYVDDSSPDPEIWIQLNYLPSYGTLLRISGSEVEELSEVSNFTMEDINNKKIRYSAVFETDGHLVTDSFYFSVSDMDHNHLDNQIFTIMITPAENPPPVIAFADLITVDEGGRAPLSFHHFFATDDDDNLQRDAIIKLSALPKYGCIENTGTGDRFGPETASDLEASFPIQDVLENYIYYFQSVHESIEPTHDIFSFYVSDGTSRSEIHSINITIERKNDEPPRMTLQPLRVQLSSGVVISNSSLSLQDLDTPDNELIFVLTKKPDHGHVLWRQTASEPLENGRVLVQGSTFTYQDILAGLVGYVPSVPGMVVDEFQFSLTDGLHVDTGRMKIYTELPASDTPHLAINQGLQLSAGSVARITEQHLKVTDIDSDDHQVMYIMKEDPGAGRLQMMKHGNLEQISIKGPIRSFTQADISQGQPEYSHGTGEPGGSFAFKFDVVDGEGNRLIDKSFSISISEDKSPPVITTNKGLVLDENSVKKITTLQLSATDQDSGPTELIYRITRQPQLGHLEHAASPGIQISSFTQADLTSRNVQYVHSSEAEKHSDAFSFTLSDGVSEVTQTFHITLHPVDDSLPVVQNLGMRVQEGMRKTITEFELKAVDADTEAESVTFTIVQPPRHGTIERTSNGQHFHLTSTFTMKDIYQNRVSYSHDGSNSLKDRFTFTVSDGTNPFFIIEEGGKEIMTAAPQPFRVDILPVDDGTPRIVTNLGLQWLEYMDGKATNLITKKELLTMDPDTEDAQLVYEITTGPKHGFVENKLQPGRAAATFTQEDVNLGLIRYVLHKEKIREMMDSFQFLVKDSKPNVVSDNVFHIQWSLISFKYTSYNVSEKAGSVSVTVQRTGNLNQYAIVLCRTEQGTASSSSQPGQQDYVEYAGQVQFDEREDTKSCTIVINDDDVFENVESFTVELSMPAYALLGEFTQAKVIINDTEDEPTLEFDKKIYWVNESAGFLFAPIERKGDASSIVSAICYTVPKSAMGSLFYALESGSDFKSRGMSAASRVIFGPGVTMSTCDVMLIDDSEYEEEEEFEIALADASDNARIGRVATAKVLISGPNDASTVSLGNTAFTVSEDAGTVKIPVIRHGTDLSTFASVWCATRPSDPASATPGVDYVPSSRKVEFGPGVIEQYCTLTILDDTQYPVIEGLETFVVFLSSAQGAELTKPFQAVIAINDTFQDVPSMQFAKDLLLVKEKEGVLHVPITRSGDLSYESSVRCYTQSHSAQVMEDFEERQNADSSRITFLKGDKVKNCTVYIHDDSMFEPEEQFRVYLGLPLGNHWSGARIGKNNMATITISNDEDAPTIEFEEAAYQVREPAGPDAIAILNIKVIRRGDQNRTSKVRCSTRDGSAQSGVDYYPKSRVLKFSPGVDHIFFKVEILSNEDREWHESFSLVLGPDDPVEAVLGDVTTATVTILDQEAAGSLILPAPPIVVTLADYDHVEEVTKEGVKKSPSPGYPLVCVTPCDPHFPRYAVMKERCSEAGINQTSVQFSWEVAAPTDGNGARSPFETITDNTPFTSVNHMVLDSIYFSRRFHVRCVAKAVDKVGHVGTPLRSNIVTIGTDSAICHTPVVAGTSRGFQAQSFIATLKYLDVKHKEHPNRIHISVQIPHQDGMLPLISTMPLHNLHFLLSESIYRHQHVCSNLVTTYDLRGLAEAGFLDDVVYDSTALGPGYDRPFQFDPSVREPKTIQLYKHLNLKSCVWTFDAYYDMTELIDVCGGSVTADFQVRDSAQSFLTVHVPLYVSYIYVTAPRGWASLEHHTEMEFSFFYDTVLWRTGIQTDSVLSARLQIIRIYIREDGRLVIEFKTHAKFRGQFVMEHHTLPEVKSFVLTPDHLGGIEFDLQLLWSAQTFDSPHQLWRATSSYNRKDYSGEYTIYLIPCTVQPTQPWVDPGEKPLACTAHAPERFLIPIAFQQTNRPVPVVYSLNTEFQLCNNEKVFLMDPNTSDMSLAEMDYKGAFSKGQILYGRVLWNPEQNLNSAYKLQLEKVYLCTGKDGYVPFFDPTGTIYNEGPQYGCIQPNKHLKHRFLLLDRNQPEVTDKYFHDVPFEAHFASELPDFHVVSNMPGVDGFTLKVDALYKVEAGHQWYLQVIYIIGPDTISGPRVQRSLTAPLRRNRRDLVEPDGQLILDDSLIYDNEGDQVKNGTNMKSLNLEMQELAVAASLSQTGASIGSALAAIMLLLLVFLVACFINRKCQKQRKKKPAEDILEEYPLNTKVEVPKRHPDRVEKNVNRHYCTVRNVNILSEPEAAYTFKGAKVKRLNLEVRVHNNLQDGTEV
+>DECOY_sp|Q86XX4|FRAS1_HUMAN Extracellular matrix protein FRAS1 OS=Homo sapiens OX=9606 GN=FRAS1 PE=1 SV=2
+VETGDQLNNHVRVELNLRKVKAGKFTYAAEPESLINVNRVTCYHRNVNKEVRDPHRKPVEVKTNLPYEELIDEAPKKKRQKQCKRNIFCAVLFVLLLLMIAALASGISAGTQSLSAAVALEQMELNLSKMNTGNKVQDGENDYILSDDLILQGDPEVLDRRNRRLPATLSRQVRPGSITDPGIIYIVQLYWQHGAEVKYLADVKLTFGDVGPMNSVVHFDPLESAFHAEFPVDHFYKDTVEPQNRDLLLFRHKLHKNPQICGYQPGENYITGTPDFFPVYGDKGTCLYVKELQLKYASNLNQEPNWLVRGYLIQGKSFAGKYDMEALSMDSTNPDMLFVKENNCLQFETNLSYVVPVPRNTQQFAIPILFREPAHATCALPKEGPDVWPQTPQVTCPILYITYEGSYDKRNYSSTARWLQHPSDFTQASWLLQLDFEIGGLHDPTLVFSKVEPLTHHEMVFQGRFKAHTKFEIVLRGDERIYIRIIQLRASLVSDTQIGTRWLVTDYFFSFEMETHHELSAWGRPATVYIYSVYLPVHVTLFSQASDRVQFDATVSGGCVDILETMDYYADFTWVCSKLNLHKYLQITKPERVSPDFQFPRDYGPGLATSDYVVDDLFGAEALGRLDYTTVLNSCVHQHRYISESLLFHLNHLPMTSILPLMGDQHPIQVSIHIRNPHEKHKVDLYKLTAIFSQAQFGRSTGAVVPTHCIASDTGITVINSRLPTGVHGVKDVAKAVCRVHFRRSFYISDLVMHNVSTFPTNDTITEFPSRAGNGDTPAAVEWSFQVSTQNIGAESCREKMVAYRPFHPDCPTVCVLPYGPSPSKKVGEKTVEEVHDYDALTVVIPPAPLILSGAAEQDLITVTATTVDGLVAEVPDDPGLVLSFSEHWERDENSLIEVKFFIHDVGPSFKLVRSKPYYDVGSQASGDRTSCRVKSTRNQDGRRIVKINLIAIADPGAPERVQYAAEEFEITPADEDNSITITAMNNKGIRAGSWHNGLPLGLYVRFQEEPEFMSDDHIYVTCNKVKDGKLFTIRSSDANQREEFDEMVQASHSQTYCRVSSEYSLDGSRTIPVHLVGEKEKVLLLDKAFQMSPVDQFTDNIAIVAQFPKTLEAGQASSLFVVFTELGEIVPYQTDDLITLTCYQEIVGPGFEVKRSSPVYDVGPTASAPDSPRTACWVSAFTSLDTGHRIVPIKVTGADESVTFATNGLSVTSADNPGSILVKATAVRGIRANDSADALAIEFEEEEEYESDDILMVDCTSMTVGPGFIVRSAASMGRSKFDSGSELAYFLSGMASKPVTYCIASVISSADGKREIPAFLFGASENVWYIKKDFELTPEDETDNIIVKAQTFEGLLAYAPMSLEVTFSEVNEFVDDDNIVITCSKTDEREDFQVQGAYEVYDQQGPQSSSSATGQETRCLVIAYQNLNGTRQVTVSVSGAKESVNYSTYKFSILSWQIHFVNDSVVNPKSDKVLFQFSDMMERIKEKHLVYRILGLNVDEQTFTAAARGPQLKNEVFGHKPGTTIEYVLQADETDPDMTLLEKKTILNTAKGDMYELWQLGLNTVIRPTGDDVPLIDVRFPQPAATMIEKGGEEIIFFPNTGDSVTFTFRDKLSNSGDHSYSVRNQYIDKMTFTSTLHFHQGNSTREITGHRPPQVITFTVSEAETDADVAKLEFETITKRMGEQVRMGLNQVVPLSDDVPHLTIHFTQTVESVGDSLTFSFADSHKEAESSHVYQVNRSTLDAQTFSSIQIGPSAAHELHGLQPQRTIRYILETPGSDQDTASLQLTTIKKVSNEDLVLGKNTTIVPPSKDESISISFSKDILRNGEGDVVDFKFAFSGGPEGTGHSYEPQGQSIDAQTFSRIPGKISIQELNGHKMMQLRGAGPDEKMIYMVQHDDSDIDTVKLHQETIRAVSGASLQLGQNIALHPTDSAPLETYIKMRGTDVHLGDTLSFQFEDVVMGPVSPVYGVLGALIDQYTFTSGQVLVRGNELPESATQRWLVHGHDPKKTLVFILENDPTDLDQLSLSSNSIVVGSSLQVRLPQLTMRPPEDNKREITINISHIESRSTGDSVYFSFIDHTPEISEHVSQFYYIYNELVDQIPFSAELDSATEPGFRDGTGTNEICGYKPLASLKIIADRQLNDDDDTAFFHHFSLPARGGEDVTILDAFAIVPPPNEAPTIMITFIQNDLHNHDMDSVSFYFSDTVLHGDTEFVASYRIKKNNIDEMTFNSVESLEEVESGSIRLLTGYSPLYNLQIWIEPDPSSDDVYALHSRTIIATSKSAVTISLSSGAALRPGRDESLSVEVRVELMTVTLGDTVSIEMSDERTSSGDHIYQLANKEVDEYTFTDGTAMPRRFEATHKLLVGHQPPRRLEFFLEKPATEADRVVVQLGVSTSGGPSVALRPATVQFVPLQQDSPLLHITLVMEPSTHEGDSVTFHFKVSEPLGAFSFAMLEQLHPAAPPPRYMVKGQNIDEQTFYRIPSHPHDRHEIIGQNPHLPLTINYVIKGSPKESNIFSLSHPQIVTLGDERATMHLSAELSVKPAEPTQPLIAINFMHSELRTQANSASSVEFRFSDSQAPAGSHRYWVIGENIDQQTFTSTPTATRGDPLHQGEDAPSDAPMNVLLVVEGFQPYDQTIKYIIEEASAGPAEAQLIRNTIQLMGGEPVWVMSNAVLQLGRDNQPVTKVQFLINHFSHGDNAQLVAVDSTSDSGDHAYHLLGRSLEDLQFQYIPTAPSQLTQLLQGHLPPDIIEIVVDQPNDDDRIDLMQTTITAREGRSIHLVENRLVYPAQTSFAQINILQPESFFQQDSIKLFLYGKRLKEKSHVFRVKKENVDKWSFRGAKDLQVEKGNRSLVLQGNTPTSVVHFLLDEVRGEQDQVNLLSFDLPKISGIPLILSGNVHLSPTHIKGSCTENSTHVSFGPVCNYVCLGSKLFFGHDCLHCRDRHSCQLCGQPCATCKHKAHDAFYGKGCEQVCQAELLLFPGKCRTCEHPGQCQLCYSDCNKCLGSDQYFSSLCQEVCAGDQLVYGDMCQLCDTKLPGSCASCSWDCEKCTNTSFDLYYQPACSEYQCEGFLLVKNPDRCSTCSAQSDCSGCHTNCPQCVHNDDLYHGPFCTTSCTGTHSLVLNTDCSSCSFPGRGQCTRCSSHCKKCAGREAYYGSPCDPVCHDGLLLYHANQCRLCISGTNHLDAACHQCLHHCDACYGVLNLFQEERCSTRCNGNTLSIHPYCSICDSELPGHCQRCTPHCETCSGEQHFYGDPCQSLCQGDLLVHSPGCDTCNHPSKGACRFCSQHCAECIGTSELYFHARCQALCEGSPIGVDSLQEVQLGEEPKKCGTCHSPAPGMCALCSSHCAKCVGHDSYFGEGCRPLCHGQRLAKPPSCATCHSPTPGSCSACSNHCVKCRGTADAYYGGPCESMCKGDHLVTKETCTLCRPSSPGCSDCSQDCASCTGQRNYFGKGCSSECGGDRLVHLPDRCALCHKETPGWCGACSEHCVACSHRDQYFGDGCTPVCQGHRMLLPPQCSSCELGSTCTSCQPQCALCLSGAQYFGLGCSHVCWGNQLLKTPDQCLDCHDPSQSCTLCDPHCHVSTCDPCCQGKVELALTGVPCPPCSPEYCTVQAGRCECVKCPGDEWKEGEPIRKVESANSSMFEGTEEYVCYGNRSICEPCCKGDLHILEEDRACEVKACEGTQCTVQGHDCMCFECASGKWMEDQYRVVGDYSCSGAPSVCEECCQGHRRARSQGKGCRLPLCAQKHCRVEGRDCICTTCANESWQEGHEYVQGAASCSRASCQPCCKGPVRVVTEDQNCFLPQCQATFCQAVGNRCLCKACRSLRWDEGDQFVHGEYSCPKGLGVCVPCCSGEPIFALEQHGCSLPPCPQPTCRVEGHNCSCVSCPSSAWETGHEHIKKEHHCSGPTRLVCEPCCQNAAIQLVEGKEFACQPNRCVAPKCIVIDGHCRCSQCSDPKWITADALLSDQYVCAGESHHPLVAFEALALALGLWVKLVGM
+>sp|O75474|FRAT2_HUMAN GSK-3-binding protein FRAT2 OS=Homo sapiens OX=9606 GN=FRAT2 PE=2 SV=3
+MPCRREEEEEAGEEAEGEEEEDDSFLLLQQSVTLGSSGEVDRLVAQIGETLQLDAAQDSPASPCAPPGVPLRAPGPLAAAVPADKARPPAVPLLLPPASAETVGPAPSGALRCALGDRGRVRGRAAPYCVAEVAAGPSALPGPCRRGWLRDAVTSRRLQQRRWTQAGARAGDDDPHRLLQQLVLSGNLIKEAVRRLQRAVAAVAATGPASAPGPGGGRSGPDRIALQPSGSLL
+>DECOY_sp|O75474|FRAT2_HUMAN GSK-3-binding protein FRAT2 OS=Homo sapiens OX=9606 GN=FRAT2 PE=2 SV=3
+LLSGSPQLAIRDPGSRGGGPGPASAPGTAAVAAVARQLRRVAEKILNGSLVLQQLLRHPDDDGARAGAQTWRRQQLRRSTVADRLWGRRCPGPLASPGAAVEAVCYPAARGRVRGRDGLACRLAGSPAPGVTEASAPPLLLPVAPPRAKDAPVAAALPGPARLPVGPPACPSAPSDQAADLQLTEGIQAVLRDVEGSSGLTVSQQLLLFSDDEEEEGEAEEGAEEEEERRCPM
+>sp|Q16595|FRDA_HUMAN Frataxin, mitochondrial OS=Homo sapiens OX=9606 GN=FXN PE=1 SV=2
+MWTLGRRAVAGLLASPSPAQAQTLTRVPRPAELAPLCGRRGLRTDIDATCTPRRASSNQRGLNQIWNVKKQSVYLMNLRKSGTLGHPGSLDETTYERLAEETLDSLAEFFEDLADKPYTFEDYDVSFGSGVLTVKLGGDLGTYVINKQTPNKQIWLSSPSSGPKRYDWTGKNWVYSHDGVSLHELLAAELTKALKTKLDLSSLAYSGKDA
+>DECOY_sp|Q16595|FRDA_HUMAN Frataxin, mitochondrial OS=Homo sapiens OX=9606 GN=FXN PE=1 SV=2
+ADKGSYALSSLDLKTKLAKTLEAALLEHLSVGDHSYVWNKGTWDYRKPGSSPSSLWIQKNPTQKNIVYTGLDGGLKVTLVGSGFSVDYDEFTYPKDALDEFFEALSDLTEEALREYTTEDLSGPHGLTGSKRLNMLYVSQKKVNWIQNLGRQNSSARRPTCTADIDTRLGRRGCLPALEAPRPVRTLTQAQAPSPSALLGAVARRGLTWM
+>sp|P02794|FRIH_HUMAN Ferritin heavy chain OS=Homo sapiens OX=9606 GN=FTH1 PE=1 SV=2
+MTTASTSQVRQNYHQDSEAAINRQINLELYASYVYLSMSYYFDRDDVALKNFAKYFLHQSHEEREHAEKLMKLQNQRGGRIFLQDIKKPDCDDWESGLNAMECALHLEKNVNQSLLELHKLATDKNDPHLCDFIETHYLNEQVKAIKELGDHVTNLRKMGAPESGLAEYLFDKHTLGDSDNES
+>DECOY_sp|P02794|FRIH_HUMAN Ferritin heavy chain OS=Homo sapiens OX=9606 GN=FTH1 PE=1 SV=2
+SENDSDGLTHKDFLYEALGSEPAGMKRLNTVHDGLEKIAKVQENLYHTEIFDCLHPDNKDTALKHLELLSQNVNKELHLACEMANLGSEWDDCDPKKIDQLFIRGGRQNQLKMLKEAHEREEHSQHLFYKAFNKLAVDDRDFYYSMSLYVYSAYLELNIQRNIAAESDQHYNQRVQSTSATTM
+>sp|Q8N878|FRMD1_HUMAN FERM domain-containing protein 1 OS=Homo sapiens OX=9606 GN=FRMD1 PE=2 SV=2
+MAVPPRGRGIDPARTNPDTFPPSGARCMEPSPERPACSQQEPTLGMDAMASEHRDVLVLLPSREQLRLAVGVKATGRELFQQVCNVASIRDAQFFGLCVVRNNEYIFMDLEQKLSKYFSKDWKKERNEGNEKPRAPFVAFLRVQHYVENGRVISDHRARHLYYCHLKERVLRSQCAHREEAYFLLAACALQADLGEHRESAHAGRYFEPHSYFPQWIITKRGIDYILRHMPTLHRERQGLSPKEAMLCFIQEACRLEDVPVHFFRLHKDKKEGRPTVILGLALRGVHIYQGKKLEIQLDGLPAAQKLVYYTGCTWRSRHLLHLLRASHQLHLRVRPTLQQLRQREEAEEKQHYRESYISDELELDLASRSFPGSGVSSQHCPHCLSRHSADSHGSSYTSGIKANSWLRESREMSVDVPLEVHGLHEKEPSSSPRTSRSHPSTRGDSQATRQEPCTQVRTRGQSAEAVHQIQEMTAGVSEEQHSHGLDDMQLHQLALHPAPTSLSHTFHRALDCRLAGPCETRATLPSKRSSNCLALDLFGEAPPQEFVV
+>DECOY_sp|Q8N878|FRMD1_HUMAN FERM domain-containing protein 1 OS=Homo sapiens OX=9606 GN=FRMD1 PE=2 SV=2
+VVFEQPPAEGFLDLALCNSSRKSPLTARTECPGALRCDLARHFTHSLSTPAPHLALQHLQMDDLGHSHQEESVGATMEQIQHVAEASQGRTRVQTCPEQRTAQSDGRTSPHSRSTRPSSSPEKEHLGHVELPVDVSMERSERLWSNAKIGSTYSSGHSDASHRSLCHPCHQSSVGSGPFSRSALDLELEDSIYSERYHQKEEAEERQRLQQLTPRVRLHLQHSARLLHLLHRSRWTCGTYYVLKQAAPLGDLQIELKKGQYIHVGRLALGLIVTPRGEKKDKHLRFFHVPVDELRCAEQIFCLMAEKPSLGQRERHLTPMHRLIYDIGRKTIIWQPFYSHPEFYRGAHASERHEGLDAQLACAALLFYAEERHACQSRLVREKLHCYYLHRARHDSIVRGNEVYHQVRLFAVFPARPKENGENREKKWDKSFYKSLKQELDMFIYENNRVVCLGFFQADRISAVNCVQQFLERGTAKVGVALRLQERSPLLVLVDRHESAMADMGLTPEQQSCAPREPSPEMCRAGSPPFTDPNTRAPDIGRGRPPVAM
+>sp|Q9BZ67|FRMD8_HUMAN FERM domain-containing protein 8 OS=Homo sapiens OX=9606 GN=FRMD8 PE=1 SV=1
+MDGTEGSAGQPGPAERSHRSSVSSVGARAADVLVYLADDTVVPLAVENLPSLSAHELHRAVREVLQLPDIALDVFALWLVSPLLEVQLKPKHQPYKLGRQWPELLLRFTSAPDDDVAMDEPFLQFRRNVFFPKRRELQIHDEEVLRLLYEEAKGNVLAARYPCDVEDCEALGALVCRVQLGPYQPGRPAACDLREKLDSFLPAHLCKRGQSLFAALRGRGARAGPGEQGLLNAYRQVQEVSSDGGCEAALGTHYRAYLLKCHELPFYGCAFFHGEVDKPAQGFLHRGGRKPVSVAISLEGVHVIDSREKHVLLGLRFQELSWDHTSPEEEEPILWLEFDGDSEGTPVNKLLKIYSKQAELMSSLIEYCIELSQAAEPAGPQDSATGSPSDPSSSLAPVQRPKLRRQGSVVSSRIQHLSTIDYVEDGKGIRRVKPKRTTSFFSRQLSLGQGSYTVVQPGDSLEQG
+>DECOY_sp|Q9BZ67|FRMD8_HUMAN FERM domain-containing protein 8 OS=Homo sapiens OX=9606 GN=FRMD8 PE=1 SV=1
+GQELSDGPQVVTYSGQGLSLQRSFFSTTRKPKVRRIGKGDEVYDITSLHQIRSSVVSGQRRLKPRQVPALSSSPDSPSGTASDQPGAPEAAQSLEICYEILSSMLEAQKSYIKLLKNVPTGESDGDFELWLIPEEEEPSTHDWSLEQFRLGLLVHKERSDIVHVGELSIAVSVPKRGGRHLFGQAPKDVEGHFFACGYFPLEHCKLLYARYHTGLAAECGGDSSVEQVQRYANLLGQEGPGARAGRGRLAAFLSQGRKCLHAPLFSDLKERLDCAAPRGPQYPGLQVRCVLAGLAECDEVDCPYRAALVNGKAEEYLLRLVEEDHIQLERRKPFFVNRRFQLFPEDMAVDDDPASTFRLLLEPWQRGLKYPQHKPKLQVELLPSVLWLAFVDLAIDPLQLVERVARHLEHASLSPLNEVALPVVTDDALYVLVDAARAGVSSVSSRHSREAPGPQGASGETGDM
+>sp|Q16658|FSCN1_HUMAN Fascin OS=Homo sapiens OX=9606 GN=FSCN1 PE=1 SV=3
+MTANGTAEAVQIQFGLINCGNKYLTAEAFGFKVNASASSLKKKQIWTLEQPPDEAGSAAVCLRSHLGRYLAADKDGNVTCEREVPGPDCRFLIVAHDDGRWSLQSEAHRRYFGGTEDRLSCFAQTVSPAEKWSVHIAMHPQVNIYSVTRKRYAHLSARPADEIAVDRDVPWGVDSLITLAFQDQRYSVQTADHRFLRHDGRLVARPEPATGYTLEFRSGKVAFRDCEGRYLAPSGPSGTLKAGKATKVGKDELFALEQSCAQVVLQAANERNVSTRQGMDLSANQDEETDQETFQLEIDRDTKKCAFRTHTGKYWTLTATGGVQSTASSKNASCYFDIEWRDRRITLRASNGKFVTSKKNGQLAASVETAGDSELFLMKLINRPIIVFRGEHGFIGCRKVTGTLDANRSSYDVFQLEFNDGAYNIKDSTGKYWTVGSDSAVTSSGDTPVDFFFEFCDYNKVAIKVGGRYLKGDHAGVLKASAETVDPASLWEY
+>DECOY_sp|Q16658|FSCN1_HUMAN Fascin OS=Homo sapiens OX=9606 GN=FSCN1 PE=1 SV=3
+YEWLSAPDVTEASAKLVGAHDGKLYRGGVKIAVKNYDCFEFFFDVPTDGSSTVASDSGVTWYKGTSDKINYAGDNFELQFVDYSSRNADLTGTVKRCGIFGHEGRFVIIPRNILKMLFLESDGATEVSAALQGNKKSTVFKGNSARLTIRRDRWEIDFYCSANKSSATSQVGGTATLTWYKGTHTRFACKKTDRDIELQFTEQDTEEDQNASLDMGQRTSVNRENAAQLVVQACSQELAFLEDKGVKTAKGAKLTGSPGSPALYRGECDRFAVKGSRFELTYGTAPEPRAVLRGDHRLFRHDATQVSYRQDQFALTILSDVGWPVDRDVAIEDAPRASLHAYRKRTVSYINVQPHMAIHVSWKEAPSVTQAFCSLRDETGGFYRRHAESQLSWRGDDHAVILFRCDPGPVERECTVNGDKDAALYRGLHSRLCVAASGAEDPPQELTWIQKKKLSSASANVKFGFAEATLYKNGCNILGFQIQVAEATGNATM
+>sp|Q6MZW2|FSTL4_HUMAN Follistatin-related protein 4 OS=Homo sapiens OX=9606 GN=FSTL4 PE=2 SV=3
+MKPGGFWLHLTLLGASLPAALGWMDPGTSRGPDVGVGESQAEEPRSFEVTRREGLSSHNELLASCGKKFCSRGSRCVLSRKTGEPECQCLEACRPSYVPVCGSDGRFYENHCKLHRAACLLGKRITVIHSKDCFLKGDTCTMAGYARLKNVLLALQTRLQPLQEGDSRQDPASQKRLLVESLFRDLDADGNGHLSSSELAQHVLKKQDLDEDLLGCSPGDLLRFDDYNSDSSLTLREFYMAFQVVQLSLAPEDRVSVTTVTVGLSTVLTCAVHGDLRPPIIWKRNGLTLNFLDLEDINDFGEDDSLYITKVTTIHMGNYTCHASGHEQLFQTHVLQVNVPPVIRVYPESQAQEPGVAASLRCHAEGIPMPRITWLKNGVDVSTQMSKQLSLLANGSELHISSVRYEDTGAYTCIAKNEVGVDEDISSLFIEDSARKTLANILWREEGLSVGNMFYVFSDDGIIVIHPVDCEIQRHLKPTEKIFMSYEEICPQREKNATQPCQWVSAVNVRNRYIYVAQPALSRVLVVDIQAQKVLQSIGVDPLPAKLSYDKSHDQVWVLSWGDVHKSRPSLQVITEASTGQSQHLIRTPFAGVDDFFIPPTNLIINHIRFGFIFNKSDPAVHKVDLETMMPLKTIGLHHHGCVPQAMAHTHLGGYFFIQCRQDSPASAARQLLVDSVTDSVLGPNGDVTGTPHTSPDGRFIVSAAADSPWLHVQEITVRGEIQTLYDLQINSGISDLAFQRSFTESNQYNIYAALHTEPDLLFLELSTGKVGMLKNLKEPPAGPAQPWGGTHRIMRDSGLFGQYLLTPARESLFLINGRQNTLRCEVSGIKGGTTVVWVGEV
+>DECOY_sp|Q6MZW2|FSTL4_HUMAN Follistatin-related protein 4 OS=Homo sapiens OX=9606 GN=FSTL4 PE=2 SV=3
+VEGVWVVTTGGKIGSVECRLTNQRGNILFLSERAPTLLYQGFLGSDRMIRHTGGWPQAPGAPPEKLNKLMGVKGTSLELFLLDPETHLAAYINYQNSETFSRQFALDSIGSNIQLDYLTQIEGRVTIEQVHLWPSDAAASVIFRGDPSTHPTGTVDGNPGLVSDTVSDVLLQRAASAPSDQRCQIFFYGGLHTHAMAQPVCGHHHLGITKLPMMTELDVKHVAPDSKNFIFGFRIHNIILNTPPIFFDDVGAFPTRILHQSQGTSAETIVQLSPRSKHVDGWSLVWVQDHSKDYSLKAPLPDVGISQLVKQAQIDVVLVRSLAPQAVYIYRNRVNVASVWQCPQTANKERQPCIEEYSMFIKETPKLHRQIECDVPHIVIIGDDSFVYFMNGVSLGEERWLINALTKRASDEIFLSSIDEDVGVENKAICTYAGTDEYRVSSIHLESGNALLSLQKSMQTSVDVGNKLWTIRPMPIGEAHCRLSAAVGPEQAQSEPYVRIVPPVNVQLVHTQFLQEHGSAHCTYNGMHITTVKTIYLSDDEGFDNIDELDLFNLTLGNRKWIIPPRLDGHVACTLVTSLGVTVTTVSVRDEPALSLQVVQFAMYFERLTLSSDSNYDDFRLLDGPSCGLLDEDLDQKKLVHQALESSSLHGNGDADLDRFLSEVLLRKQSAPDQRSDGEQLPQLRTQLALLVNKLRAYGAMTCTDGKLFCDKSHIVTIRKGLLCAARHLKCHNEYFRGDSGCVPVYSPRCAELCQCEPEGTKRSLVCRSGRSCFKKGCSALLENHSSLGERRTVEFSRPEEAQSEGVGVDPGRSTGPDMWGLAAPLSAGLLTLHLWFGGPKM
+>sp|P19883|FST_HUMAN Follistatin OS=Homo sapiens OX=9606 GN=FST PE=1 SV=2
+MVRARHQPGGLCLLLLLLCQFMEDRSAQAGNCWLRQAKNGRCQVLYKTELSKEECCSTGRLSTSWTEEDVNDNTLFKWMIFNGGAPNCIPCKETCENVDCGPGKKCRMNKKNKPRCVCAPDCSNITWKGPVCGLDGKTYRNECALLKARCKEQPELEVQYQGRCKKTCRDVFCPGSSTCVVDQTNNAYCVTCNRICPEPASSEQYLCGNDGVTYSSACHLRKATCLLGRSIGLAYEGKCIKAKSCEDIQCTGGKKCLWDFKVGRGRCSLCDELCPDSKSDEPVCASDNATYASECAMKEAACSSGVLLEVKHSGSCNSISEDTEEEEEDEDQDYSFPISSILEW
+>DECOY_sp|P19883|FST_HUMAN Follistatin OS=Homo sapiens OX=9606 GN=FST PE=1 SV=2
+WELISSIPFSYDQDEDEEEEETDESISNCSGSHKVELLVGSSCAAEKMACESAYTANDSACVPEDSKSDPCLEDCLSCRGRGVKFDWLCKKGGTCQIDECSKAKICKGEYALGISRGLLCTAKRLHCASSYTVGDNGCLYQESSAPEPCIRNCTVCYANNTQDVVCTSSGPCFVDRCTKKCRGQYQVELEPQEKCRAKLLACENRYTKGDLGCVPGKWTINSCDPACVCRPKNKKNMRCKKGPGCDVNECTEKCPICNPAGGNFIMWKFLTNDNVDEETWSTSLRGTSCCEEKSLETKYLVQCRGNKAQRLWCNGAQASRDEMFQCLLLLLLCLGGPQHRARVM
+>sp|Q96I24|FUBP3_HUMAN Far upstream element-binding protein 3 OS=Homo sapiens OX=9606 GN=FUBP3 PE=1 SV=2
+MAELVQGQSAPVGMKAEGFVDALHRVRQIAAKIDSIPHLNNSTPLVDPSVYGYGVQKRPLDDGVGNQLGALVHQRTVITEEFKVPDKMVGFIIGRGGEQISRIQAESGCKIQIASESSGIPERPCVLTGTPESIEQAKRLLGQIVDRCRNGPGFHNDIDSNSTIQEILIPASKVGLVIGRGGETIKQLQERTGVKMVMIQDGPLPTGADKPLRITGDAFKVQQAREMVLEIIREKDQADFRGVRGDFNSRMGGGSIEVSVPRFAVGIVIGRNGEMIKKIQNDAGVRIQFKPDDGISPERAAQVMGPPDRCQHAAHIISELILTAQERDGFGGLAAARGRGRGRGDWSVGAPGGVQEITYTVPADKCGLVIGKGGENIKSINQQSGAHVELQRNPPPNSDPNLRRFTIRGVPQQIEVARQLIDEKVGGTNLGAPGAFGQSPFSQPPAPPHQNTFPPRSSGCFPNMAAKVNGNPHSTPVSGPPAFLTQGWGSTYQAWQQPTQQVPSQQSQPQSSQPNYSKAWEDYYKKQSHAASAAPQASSPPDYTMAWAEYYRQQVAFYGQTLGQAQAHSQEQ
+>DECOY_sp|Q96I24|FUBP3_HUMAN Far upstream element-binding protein 3 OS=Homo sapiens OX=9606 GN=FUBP3 PE=1 SV=2
+QEQSHAQAQGLTQGYFAVQQRYYEAWAMTYDPPSSAQPAASAAHSQKKYYDEWAKSYNPQSSQPQSQQSPVQQTPQQWAQYTSGWGQTLFAPPGSVPTSHPNGNVKAAMNPFCGSSRPPFTNQHPPAPPQSFPSQGFAGPAGLNTGGVKEDILQRAVEIQQPVGRITFRRLNPDSNPPPNRQLEVHAGSQQNISKINEGGKGIVLGCKDAPVTYTIEQVGGPAGVSWDGRGRGRGRAAALGGFGDREQATLILESIIHAAHQCRDPPGMVQAAREPSIGDDPKFQIRVGADNQIKKIMEGNRGIVIGVAFRPVSVEISGGGMRSNFDGRVGRFDAQDKERIIELVMERAQQVKFADGTIRLPKDAGTPLPGDQIMVMKVGTREQLQKITEGGRGIVLGVKSAPILIEQITSNSDIDNHFGPGNRCRDVIQGLLRKAQEISEPTGTLVCPREPIGSSESAIQIKCGSEAQIRSIQEGGRGIIFGVMKDPVKFEETIVTRQHVLAGLQNGVGDDLPRKQVGYGYVSPDVLPTSNNLHPISDIKAAIQRVRHLADVFGEAKMGVPASQGQVLEAM
+>sp|A2VDF0|FUCM_HUMAN Fucose mutarotase OS=Homo sapiens OX=9606 GN=FUOM PE=1 SV=2
+MVALKGVPALLSPELLYALARMGHGDEIVLADLNFPASSICQCGPMEIRADGLGIPQLLEAVLKLLPLDTYVESPAAVMELVPSDKERGLQTPVWTEYESILRRAGCVRALAKIERFEFYERAKKAFAVVATGETALYGNLILRKGVLALNPLL
+>DECOY_sp|A2VDF0|FUCM_HUMAN Fucose mutarotase OS=Homo sapiens OX=9606 GN=FUOM PE=1 SV=2
+LLPNLALVGKRLILNGYLATEGTAVVAFAKKAREYFEFREIKALARVCGARRLISEYETWVPTQLGREKDSPVLEMVAAPSEVYTDLPLLKLVAELLQPIGLGDARIEMPGCQCISSAPFNLDALVIEDGHGMRALAYLLEPSLLAPVGKLAVM
+>sp|P07954|FUMH_HUMAN Fumarate hydratase, mitochondrial OS=Homo sapiens OX=9606 GN=FH PE=1 SV=3
+MYRALRLLARSRPLVRAPAAALASAPGLGGAAVPSFWPPNAARMASQNSFRIEYDTFGELKVPNDKYYGAQTVRSTMNFKIGGVTERMPTPVIKAFGILKRAAAEVNQDYGLDPKIANAIMKAADEVAEGKLNDHFPLVVWQTGSGTQTNMNVNEVISNRAIEMLGGELGSKIPVHPNDHVNKSQSSNDTFPTAMHIAAAIEVHEVLLPGLQKLHDALDAKSKEFAQIIKIGRTHTQDAVPLTLGQEFSGYVQQVKYAMTRIKAAMPRIYELAAGGTAVGTGLNTRIGFAEKVAAKVAALTGLPFVTAPNKFEALAAHDALVELSGAMNTTACSLMKIANDIRFLGSGPRSGLGELILPENEPGSSIMPGKVNPTQCEAMTMVAAQVMGNHVAVTVGGSNGHFELNVFKPMMIKNVLHSARLLGDASVSFTENCVVGIQANTERINKLMNESLMLVTALNPHIGYDKAAKIAKTAHKNGSTLKETAIELGYLTAEQFDEWVKPKDMLGPK
+>DECOY_sp|P07954|FUMH_HUMAN Fumarate hydratase, mitochondrial OS=Homo sapiens OX=9606 GN=FH PE=1 SV=3
+KPGLMDKPKVWEDFQEATLYGLEIATEKLTSGNKHATKAIKAAKDYGIHPNLATVLMLSENMLKNIRETNAQIGVVCNETFSVSADGLLRASHLVNKIMMPKFVNLEFHGNSGGVTVAVHNGMVQAAVMTMAECQTPNVKGPMISSGPENEPLILEGLGSRPGSGLFRIDNAIKMLSCATTNMAGSLEVLADHAALAEFKNPATVFPLGTLAAVKAAVKEAFGIRTNLGTGVATGGAALEYIRPMAAKIRTMAYKVQQVYGSFEQGLTLPVADQTHTRGIKIIQAFEKSKADLADHLKQLGPLLVEHVEIAAAIHMATPFTDNSSQSKNVHDNPHVPIKSGLEGGLMEIARNSIVENVNMNTQTGSGTQWVVLPFHDNLKGEAVEDAAKMIANAIKPDLGYDQNVEAAARKLIGFAKIVPTPMRETVGGIKFNMTSRVTQAGYYKDNPVKLEGFTDYEIRFSNQSAMRAANPPWFSPVAAGGLGPASALAAAPARVLPRSRALLRLARYM
+>sp|Q6P4F1|FUT10_HUMAN Alpha-(1,3)-fucosyltransferase 10 OS=Homo sapiens OX=9606 GN=FUT10 PE=2 SV=2
+MVRIQRRKLLASCLCVTATVFLLVTLQVMVELGKFERKEFKSSSLQDGHTKMEEAPTHLNSFLKKEGLTFNRKRKWELDSYPIMLWWSPLTGETGRLGQCGADACFFTINRTYLHHHMTKAFLFYGTDFNIDSLPLPRKAHHDWAVFHEESPKNNYKLFHKPVITLFNYTATFSRHSHLPLTTQYLESIEVLKSLRYLVPLQSKNKLRKRLAPLVYVQSDCDPPSDRDSYVRELMTYIEVDSYGECLRNKDLPQQLKNPASMDADGFYRIIAQYKFILAFENAVCDDYITEKFWRPLKLGVVPVYYGSPSITDWLPSNKSAILVSEFSHPRELASYIRRLDSDDRLYEAYVEWKLKGEISNQRLLTALRERKWGVQDVNQDNYIDAFECMVCTKVWANIRLQEKGLPPKRWEAEDTHLSCPEPTVFAFSPLRTPPLSSLREMWISSFEQSKKEAQALRWLVDRNQNFSSQEFWGLVFKD
+>DECOY_sp|Q6P4F1|FUT10_HUMAN Alpha-(1,3)-fucosyltransferase 10 OS=Homo sapiens OX=9606 GN=FUT10 PE=2 SV=2
+DKFVLGWFEQSSFNQNRDVLWRLAQAEKKSQEFSSIWMERLSSLPPTRLPSFAFVTPEPCSLHTDEAEWRKPPLGKEQLRINAWVKTCVMCEFADIYNDQNVDQVGWKRERLATLLRQNSIEGKLKWEVYAEYLRDDSDLRRIYSALERPHSFESVLIASKNSPLWDTISPSGYYVPVVGLKLPRWFKETIYDDCVANEFALIFKYQAIIRYFGDADMSAPNKLQQPLDKNRLCEGYSDVEIYTMLERVYSDRDSPPDCDSQVYVLPALRKRLKNKSQLPVLYRLSKLVEISELYQTTLPLHSHRSFTATYNFLTIVPKHFLKYNNKPSEEHFVAWDHHAKRPLPLSDINFDTGYFLFAKTMHHHLYTRNITFFCADAGCQGLRGTEGTLPSWWLMIPYSDLEWKRKRNFTLGEKKLFSNLHTPAEEMKTHGDQLSSSKFEKREFKGLEVMVQLTVLLFVTATVCLCSALLKRRQIRVM
+>sp|Q11128|FUT5_HUMAN Alpha-(1,3)-fucosyltransferase 5 OS=Homo sapiens OX=9606 GN=FUT5 PE=2 SV=1
+MDPLGPAKPQWLWRRCLAGLLFQLLVAVCFFSYLRVSRDDATGSPRPGLMAVEPVTGAPNGSRCQDSMATPAHPTLLILLWTWPFNTPVALPRCSEMVPGAADCNITADSSVYPQADAVIVHHWDIMYNPSANLPPPTRPQGQRWIWFSMESPSNCRHLEALDGYFNLTMSYRSDSDIFTPYGWLEPWSGQPAHPPLNLSAKTELVAWAVSNWKPDSARVRYYQSLQAHLKVDVYGRSHKPLPKGTMMETLSRYKFYLAFENSLHPDYITEKLWRNALEAWAVPVVLGPSRSNYERFLPPDAFIHVDDFQSPKDLARYLQELDKDHARYLSYFRWRETLRPRSFSWALAFCKACWKLQQESRYQTVRSIAAWFT
+>DECOY_sp|Q11128|FUT5_HUMAN Alpha-(1,3)-fucosyltransferase 5 OS=Homo sapiens OX=9606 GN=FUT5 PE=2 SV=1
+TFWAAISRVTQYRSEQQLKWCAKCFALAWSFSRPRLTERWRFYSLYRAHDKDLEQLYRALDKPSQFDDVHIFADPPLFREYNSRSPGLVVPVAWAELANRWLKETIYDPHLSNEFALYFKYRSLTEMMTGKPLPKHSRGYVDVKLHAQLSQYYRVRASDPKWNSVAWAVLETKASLNLPPHAPQGSWPELWGYPTFIDSDSRYSMTLNFYGDLAELHRCNSPSEMSFWIWRQGQPRTPPPLNASPNYMIDWHHVIVADAQPYVSSDATINCDAAGPVMESCRPLAVPTNFPWTWLLILLTPHAPTAMSDQCRSGNPAGTVPEVAMLGPRPSGTADDRSVRLYSFFCVAVLLQFLLGALCRRWLWQPKAPGLPDM
+>sp|Q9UL01|DSE_HUMAN Dermatan-sulfate epimerase OS=Homo sapiens OX=9606 GN=DSE PE=1 SV=1
+MRTHTRGAPSVFFIYLLCFVSAYITDENPEVMIPFTNANYDSHPMLYFSRAEVAELQLRAASSHEHIAARLTEAVHTMLSSPLEYLPPWDPKDYSARWNEIFGNNLGALAMFCVLYPENIEARDMAKDYMERMAAQPSWLVKDAPWDEVPLAHSLVGFATAYDFLYNYLSKTQQEKFLEVIANASGYMYETSYRRGWGFQYLHNHQPTNCMALLTGSLVLMNQGYLQEAYLWTKQVLTIMEKSLVLLREVTDGSLYEGVAYGSYTTRSLFQYMFLVQRHFNINHFGHPWLKQHFAFMYRTILPGFQRTVAIADSNYNWFYGPESQLVFLDKFVMRNGSGNWLADQIRRNRVVEGPGTPSKGQRWCTLHTEFLWYDGSLKSVPPPDFGTPTLHYFEDWGVVTYGSALPAEINRSFLSFKSGKLGGRAIYDIVHRNKYKDWIKGWRNFNAGHEHPDQNSFTFAPNGVPFITEALYGPKYTFFNNVLMFSPAVSKSCFSPWVGQVTEDCSSKWSKYKHDLAASCQGRVVAAEEKNGVVFIRGEGVGAYNPQLNLKNVQRNLILLHPQLLLLVDQIHLGEESPLETAASFFHNVDVPFEETVVDGVHGAFIRQRDGLYKMYWMDDTGYSEKATFASVTYPRGYPYNGTNYVNVTMHLRSPITRAAYLFIGPSIDVQSFTVHGDSQQLDVFIATSKHAYATYLWTGEATGQSAFAQVIADRHKILFDRNSAIKSSIVPEVKDYAAIVEQNLQHFKPVFQLLEKQILSRVRNTASFRKTAERLLRFSDKRQTEEAIDRIFAISQQQQQQSKSKKNRRAGKRYKFVDAVPDIFAQIEVNEKKIRQKAQILAQKELPIDEDEEMKDLLDFADVTYEKHKNGGLIKGRFGQARMVTTTHSRAPSLSASYTRLFLILNIAIFFVMLAMQLTYFQRAQSLHGQRCLYAVLLIDSCILLWLYSSCSQSQC
+>DECOY_sp|Q9UL01|DSE_HUMAN Dermatan-sulfate epimerase OS=Homo sapiens OX=9606 GN=DSE PE=1 SV=1
+CQSQSCSSYLWLLICSDILLVAYLCRQGHLSQARQFYTLQMALMVFFIAINLILFLRTYSASLSPARSHTTTVMRAQGFRGKILGGNKHKEYTVDAFDLLDKMEEDEDIPLEKQALIQAKQRIKKENVEIQAFIDPVADVFKYRKGARRNKKSKSQQQQQQSIAFIRDIAEETQRKDSFRLLREATKRFSATNRVRSLIQKELLQFVPKFHQLNQEVIAAYDKVEPVISSKIASNRDFLIKHRDAIVQAFASQGTAEGTWLYTAYAHKSTAIFVDLQQSDGHVTFSQVDISPGIFLYAARTIPSRLHMTVNVYNTGNYPYGRPYTVSAFTAKESYGTDDMWYMKYLGDRQRIFAGHVGDVVTEEFPVDVNHFFSAATELPSEEGLHIQDVLLLLQPHLLILNRQVNKLNLQPNYAGVGEGRIFVVGNKEEAAVVRGQCSAALDHKYKSWKSSCDETVQGVWPSFCSKSVAPSFMLVNNFFTYKPGYLAETIFPVGNPAFTFSNQDPHEHGANFNRWGKIWDKYKNRHVIDYIARGGLKGSKFSLFSRNIEAPLASGYTVVGWDEFYHLTPTGFDPPPVSKLSGDYWLFETHLTCWRQGKSPTGPGEVVRNRRIQDALWNGSGNRMVFKDLFVLQSEPGYFWNYNSDAIAVTRQFGPLITRYMFAFHQKLWPHGFHNINFHRQVLFMYQFLSRTTYSGYAVGEYLSGDTVERLLVLSKEMITLVQKTWLYAEQLYGQNMLVLSGTLLAMCNTPQHNHLYQFGWGRRYSTEYMYGSANAIVELFKEQQTKSLYNYLFDYATAFGVLSHALPVEDWPADKVLWSPQAAMREMYDKAMDRAEINEPYLVCFMALAGLNNGFIENWRASYDKPDWPPLYELPSSLMTHVAETLRAAIHEHSSAARLQLEAVEARSFYLMPHSDYNANTFPIMVEPNEDTIYASVFCLLYIFFVSPAGRTHTRM
+>sp|Q9NZW4|DSPP_HUMAN Dentin sialophosphoprotein OS=Homo sapiens OX=9606 GN=DSPP PE=1 SV=2
+MKIITYFCIWAVAWAIPVPQSKPLERHVEKSMNLHLLARSNVSVQDELNASGTIKESGVLVHEGDRGRQENTQDGHKGEGNGSKWAEVGGKSFSTYSTLANEEGNIEGWNGDTGKAETYGHDGIHGKEENITANGIQGQVSIIDNAGATNRSNTNGNTDKNTQNGDVGDAGHNEDVAVVQEDGPQVAGSNNSTDNEDEIIENSCRNEGNTSEITPQINSKRNGTKEAEVTPGTGEDAGLDNSDGSPSGNGADEDEDEGSGDDEDEEAGNGKDSSNNSKGQEGQDHGKEDDHDSSIGQNSDSKEYYDPEGKEDPHNEVDGDKTSKSEENSAGIPEDNGSQRIEDTQKLNHRESKRVENRITKESETHAVGKSQDKGIEIKGPSSGNRNITKEVGKGNEGKEDKGQHGMILGKGNVKTQGEVVNIEGPGQKSEPGNKVGHSNTGSDSNSDGYDSYDFDDKSMQGDDPNSSDESNGNDDANSESDNNSSSRGDASYNSDESKDNGNGSDSKGAEDDDSDSTSDTNNSDSNGNGNNGNDDNDKSDSGKGKSDSSDSDSSDSSNSSDSSDSSDSDSSDSNSSSDSDSSDSDSSDSSDSDSSDSSNSSDSSDSSDSSDSSDSSDSSDSKSDSSKSESDSSDSDSKSDSSDSNSSDSSDNSDSSDSSNSSNSSDSSDSSDSSDSSSSSDSSNSSDSSDSSDSSNSSESSDSSDSSDSDSSDSSDSSNSNSSDSDSSNSSDSSDSSNSSDSSDSSDSSNSSDSSDSSDSSNSSDSSDSSDSSDSSDSSNSSDSNDSSNSSDSSDSSNSSDSSNSSDSSDSSDSSDSDSSNSSDSSNSSDSSDSSNSSDSSDSSDSSDGSDSDSSNRSDSSNSSDSSDSSDSSNSSDSSDSSDSNESSNSSDSSDSSNSSDSDSSDSSNSSDSSDSSNSSDSSESSNSSDNSNSSDSSNSSDSSDSSDSSNSSDSSNSSDSSNSSDSSDSNSSDSSDSSNSSDSSDSSDSSDSSDSSDSSNSSDSSDSSDSSDSSNSSDSSNSSDSSNSSDSSDSSDSSDSSDSSDSSDSSDSSNSSDSSDSSDSSDSSDSSDSSDSSDSSESSDSSDSSNSSDSSDSSDSSDSSDSSDSSDSSDSSDSSNSSDSSDSSDSSDSSDSSNSSDSSDSSESSDSSDSSDSSDSSDSSDSSDSSDSSDSSNSSDSSDSSDSSDSSDSSDSSDSSDSSDSSDSSDSSDSSDSSDSSDSSDSSDSNESSDSSDSSDSSDSSNSSDSSDSSDSSDSTSDSNDESDSQSKSGNGNNNGSDSDSDSEGSDSNHSTSDD
+>DECOY_sp|Q9NZW4|DSPP_HUMAN Dentin sialophosphoprotein OS=Homo sapiens OX=9606 GN=DSPP PE=1 SV=2
+DDSTSHNSDSGESDSDSDSGNNNGNGSKSQSDSEDNSDSTSDSSDSSDSSDSSNSSDSSDSSDSSDSSENSDSSDSSDSSDSSDSSDSSDSSDSSDSSDSSDSSDSSDSSDSSDSSDSSDSSNSSDSSDSSDSSDSSDSSDSSDSSDSSDSSESSDSSDSSNSSDSSDSSDSSDSSDSSNSSDSSDSSDSSDSSDSSDSSDSSDSSDSSNSSDSSDSSESSDSSDSSDSSDSSDSSDSSDSSDSSNSSDSSDSSDSSDSSDSSDSSDSSDSSNSSDSSNSSDSSNSSDSSDSSDSSDSSNSSDSSDSSDSSDSSDSSDSSNSSDSSDSSNSDSSDSSNSSDSSNSSDSSNSSDSSDSSDSSNSSDSSNSNDSSNSSESSDSSNSSDSSDSSNSSDSSDSDSSNSSDSSDSSNSSENSDSSDSSDSSNSSDSSDSSDSSNSSDSRNSSDSDSGDSSDSSDSSDSSNSSDSSDSSNSSDSSNSSDSDSSDSSDSSDSSNSSDSSNSSDSSDSSNSSDNSDSSNSSDSSDSSDSSDSSDSSNSSDSSDSSDSSNSSDSSDSSDSSNSSDSSDSSNSSDSDSSNSNSSDSSDSSDSDSSDSSDSSESSNSSDSSDSSDSSNSSDSSSSSDSSDSSDSSDSSNSSNSSDSSDSNDSSDSSNSDSSDSKSDSDSSDSESKSSDSKSDSSDSSDSSDSSDSSDSSDSSNSSDSSDSDSSDSSDSDSSDSDSSSNSDSSDSDSSDSSDSSNSSDSSDSDSSDSKGKGSDSKDNDDNGNNGNGNSDSNNTDSTSDSDDDEAGKSDSGNGNDKSEDSNYSADGRSSSNNDSESNADDNGNSEDSSNPDDGQMSKDDFDYSDYGDSNSDSGTNSHGVKNGPESKQGPGEINVVEGQTKVNGKGLIMGHQGKDEKGENGKGVEKTINRNGSSPGKIEIGKDQSKGVAHTESEKTIRNEVRKSERHNLKQTDEIRQSGNDEPIGASNEESKSTKDGDVENHPDEKGEPDYYEKSDSNQGISSDHDDEKGHDQGEQGKSNNSSDKGNGAEEDEDDGSGEDEDEDAGNGSPSGDSNDLGADEGTGPTVEAEKTGNRKSNIQPTIESTNGENRCSNEIIEDENDTSNNSGAVQPGDEQVVAVDENHGADGVDGNQTNKDTNGNTNSRNTAGANDIISVQGQIGNATINEEKGHIGDHGYTEAKGTDGNWGEINGEENALTSYTSFSKGGVEAWKSGNGEGKHGDQTNEQRGRDGEHVLVGSEKITGSANLEDQVSVNSRALLHLNMSKEVHRELPKSQPVPIAWAVAWICFYTIIKM
+>sp|Q9NRD8|DUOX2_HUMAN Dual oxidase 2 OS=Homo sapiens OX=9606 GN=DUOX2 PE=1 SV=2
+MLRARPEALMLLGALLTGSLGPSGNQDALSLPWEVQRYDGWFNNLRHHERGAVGCRLQRRVPANYADGVYQALEEPQLPNPRRLSNAATRGIAGLPSLHNRTVLGVFFGYHVLSDVVSVETPGCPAEFLNIRIPPGDPVFDPDQRGDVVLPFQRSRWDPETGRSPSNPRDLANQVTGWLDGSAIYGSSHSWSDALRSFSGGQLASGPDPAFPRDSQNPLLMWAAPDPATGQNGPRGLYAFGAERGNREPFLQALGLLWFRYHNLWAQRLARQHPDWEDEELFQHARKRVIATYQNIAVYEWLPSFLQKTLPEYTGYRPFLDPSISPEFVVASEQFFSTMVPPGVYMRNASCHFRKVLNKGFQSSQALRVCNNYWIRENPNLNSTQEVNELLLGMASQISELEDNIVVEDLRDYWPGPGKFSRTDYVASSIQRGRDMGLPSYSQALLAFGLDIPRNWSDLNPNVDPQVLEATAALYNQDLSQLELLLGGLLESHGDPGPLFSAIVLDQFVRLRDGDRYWFENTRNGLFSKKEIEDIRNTTLRDVLVAVINIDPSALQPNVFVWHKGAPCPQPKQLTTDGLPQCAPLTVLDFFEGSSPGFAITIIALCCLPLVSLLLSGVVAYFRGREHKKLQKKLKESVKKEAAKDGVPAMEWPGPKERSSPIIIQLLSDRCLQVLNRHLTVLRVVQLQPLQQVNLILSNNRGCRTLLLKIPKEYDLVLLFSSEEERGAFVQQLWDFCVRWALGLHVAEMSEKELFRKAVTKQQRERILEIFFRHLFAQVLDINQADAGTLPLDSSQKVREALTCELSRAEFAESLGLKPQDMFVESMFSLADKDGNGYLSFREFLDILVVFMKGSPEDKSRLMFTMYDLDENGFLSKDEFFTMMRSFIEISNNCLSKAQLAEVVESMFRESGFQDKEELTWEDFHFMLRDHDSELRFTQLCVKGGGGGGNGIRDIFKQNISCRVSFITRTPGERSHPQGLGPPAPEAPELGGPGLKKRFGKKAAVPTPRLYTEALQEKMQRGFLAQKLQQYKRFVENYRRHIVCVAIFSAICVGVFADRAYYYGFASPPSDIAQTTLVGIILSRGTAASVSFMFSYILLTMCRNLITFLRETFLNRYVPFDAAVDFHRWIAMAAVVLAILHSAGHAVNVYIFSVSPLSLLACIFPNVFVNDGSKLPQKFYWWFFQTVPGMTGVLLLLVLAIMYVFASHHFRRRSFRGFWLTHHLYILLYALLIIHGSYALIQLPTFHIYFLVPAIIYGGDKLVSLSRKKVEISVVKAELLPSGVTYLQFQRPQGFEYKSGQWVRIACLALGTTEYHPFTLTSAPHEDTLSLHIRAVGPWTTRLREIYSSPKGNGCAGYPKLYLDGPFGEGHQEWHKFEVSVLVGGGIGVTPFASILKDLVFKSSLGSQMLCKKIYFIWVTRTQRQFEWLADIIQEVEENDHQDLVSVHIYVTQLAEKFDLRTTMLYICERHFQKVLNRSLFTGLRSITHFGRPPFEPFFNSLQEVHPQVRKIGVFSCGPPGMTKNVEKACQLVNRQDRAHFMHHYENF
+>DECOY_sp|Q9NRD8|DUOX2_HUMAN Dual oxidase 2 OS=Homo sapiens OX=9606 GN=DUOX2 PE=1 SV=2
+FNEYHHMFHARDQRNVLQCAKEVNKTMGPPGCSFVGIKRVQPHVEQLSNFFPEFPPRGFHTISRLGTFLSRNLVKQFHRECIYLMTTRLDFKEALQTVYIHVSVLDQHDNEEVEQIIDALWEFQRQTRTVWIFYIKKCLMQSGLSSKFVLDKLISAFPTVGIGGGVLVSVEFKHWEQHGEGFPGDLYLKPYGACGNGKPSSYIERLRTTWPGVARIHLSLTDEHPASTLTFPHYETTGLALCAIRVWQGSKYEFGQPRQFQLYTVGSPLLEAKVVSIEVKKRSLSVLKDGGYIIAPVLFYIHFTPLQILAYSGHIILLAYLLIYLHHTLWFGRFSRRRFHHSAFVYMIALVLLLLVGTMGPVTQFFWWYFKQPLKSGDNVFVNPFICALLSLPSVSFIYVNVAHGASHLIALVVAAMAIWRHFDVAADFPVYRNLFTERLFTILNRCMTLLIYSFMFSVSAATGRSLIIGVLTTQAIDSPPSAFGYYYARDAFVGVCIASFIAVCVIHRRYNEVFRKYQQLKQALFGRQMKEQLAETYLRPTPVAAKKGFRKKLGPGGLEPAEPAPPGLGQPHSREGPTRTIFSVRCSINQKFIDRIGNGGGGGGKVCLQTFRLESDHDRLMFHFDEWTLEEKDQFGSERFMSEVVEALQAKSLCNNSIEIFSRMMTFFEDKSLFGNEDLDYMTFMLRSKDEPSGKMFVVLIDLFERFSLYGNGDKDALSFMSEVFMDQPKLGLSEAFEARSLECTLAERVKQSSDLPLTGADAQNIDLVQAFLHRFFIELIRERQQKTVAKRFLEKESMEAVHLGLAWRVCFDWLQQVFAGREEESSFLLVLDYEKPIKLLLTRCGRNNSLILNVQQLPQLQVVRLVTLHRNLVQLCRDSLLQIIIPSSREKPGPWEMAPVGDKAAEKKVSEKLKKQLKKHERGRFYAVVGSLLLSVLPLCCLAIITIAFGPSSGEFFDLVTLPACQPLGDTTLQKPQPCPAGKHWVFVNPQLASPDINIVAVLVDRLTTNRIDEIEKKSFLGNRTNEFWYRDGDRLRVFQDLVIASFLPGPDGHSELLGGLLLELQSLDQNYLAATAELVQPDVNPNLDSWNRPIDLGFALLAQSYSPLGMDRGRQISSAVYDTRSFKGPGPWYDRLDEVVINDELESIQSAMGLLLENVEQTSNLNPNERIWYNNCVRLAQSSQFGKNLVKRFHCSANRMYVGPPVMTSFFQESAVVFEPSISPDLFPRYGTYEPLTKQLFSPLWEYVAINQYTAIVRKRAHQFLEEDEWDPHQRALRQAWLNHYRFWLLGLAQLFPERNGREAGFAYLGRPGNQGTAPDPAAWMLLPNQSDRPFAPDPGSALQGGSFSRLADSWSHSSGYIASGDLWGTVQNALDRPNSPSRGTEPDWRSRQFPLVVDGRQDPDFVPDGPPIRINLFEAPCGPTEVSVVDSLVHYGFFVGLVTRNHLSPLGAIGRTAANSLRRPNPLQPEELAQYVGDAYNAPVRRQLRCGVAGREHHRLNNFWGDYRQVEWPLSLADQNGSPGLSGTLLAGLLMLAEPRARLM
+>sp|Q68J44|DUPD1_HUMAN Dual specificity phosphatase DUPD1 OS=Homo sapiens OX=9606 GN=DUPD1 PE=1 SV=1
+MTSGEVKTSLKNAYSSAKRLSPKMEEEGEEEDYCTPGAFELERLFWKGSPQYTHVNEVWPKLYIGDEATALDRYRLQKAGFTHVLNAAHGRWNVDTGPDYYRDMDIQYHGVEADDLPTFDLSVFFYPAAAFIDRALSDDHSKILVHCVMGRSRSATLVLAYLMIHKDMTLVDAIQQVAKNRCVLPNRGFLKQLRELDKQLVQQRRRSQRQDGEEEDGREL
+>DECOY_sp|Q68J44|DUPD1_HUMAN Dual specificity phosphatase DUPD1 OS=Homo sapiens OX=9606 GN=DUPD1 PE=1 SV=1
+LERGDEEEGDQRQSRRRQQVLQKDLERLQKLFGRNPLVCRNKAVQQIADVLTMDKHIMLYALVLTASRSRGMVCHVLIKSHDDSLARDIFAAAPYFFVSLDFTPLDDAEVGHYQIDMDRYYDPGTDVNWRGHAANLVHTFGAKQLRYRDLATAEDGIYLKPWVENVHTYQPSGKWFLRELEFAGPTCYDEEEGEEEMKPSLRKASSYANKLSTKVEGSTM
+>sp|Q9NX74|DUS2L_HUMAN tRNA-dihydrouridine(20) synthase [NAD(P)+]-like OS=Homo sapiens OX=9606 GN=DUS2 PE=1 SV=1
+MILNSLSLCYHNKLILAPMVRVGTLPMRLLALDYGADIVYCEELIDLKMIQCKRVVNEVLSTVDFVAPDDRVVFRTCEREQNRVVFQMGTSDAERALAVARLVENDVAGIDVNMGCPKQYSTKGGMGAALLSDPDKIEKILSTLVKGTRRPVTCKIRILPSLEDTLSLVKRIERTGIAAIAVHGRKREERPQHPVSCEVIKAIADTLSIPVIANGGSHDHIQQYSDIEDFRQATAASSVMVARAAMWNPSIFLKEGLRPLEEVMQKYIRYAVQYDNHYTNTKYCLCQMLREQLESPQGRLLHAAQSSREICEAFGLGAFYEETTQELDAQQARLSAKTSEQTGEPAEDTSGVIKMAVKFDRRAYPAQITPKMCLLEWCRREKLAQPVYETVQRPLDRLFSSIVTVAEQKYQSTLWDKSKKLAEQAAAIVCLRSQGLPEGRLGEESPSLHKRKREAPDQDPGGPRAQELAQPGDLCKKPFVALGSGEESPLEGW
+>DECOY_sp|Q9NX74|DUS2L_HUMAN tRNA-dihydrouridine(20) synthase [NAD(P)+]-like OS=Homo sapiens OX=9606 GN=DUS2 PE=1 SV=1
+WGELPSEEGSGLAVFPKKCLDGPQALEQARPGGPDQDPAERKRKHLSPSEEGLRGEPLGQSRLCVIAAAQEALKKSKDWLTSQYKQEAVTVISSFLRDLPRQVTEYVPQALKERRCWELLCMKPTIQAPYARRDFKVAMKIVGSTDEAPEGTQESTKASLRAQQADLEQTTEEYFAGLGFAECIERSSQAAHLLRGQPSELQERLMQCLCYKTNTYHNDYQVAYRIYKQMVEELPRLGEKLFISPNWMAARAVMVSSAATAQRFDEIDSYQQIHDHSGGNAIVPISLTDAIAKIVECSVPHQPREERKRGHVAIAAIGTREIRKVLSLTDELSPLIRIKCTVPRRTGKVLTSLIKEIKDPDSLLAAGMGGKTSYQKPCGMNVDIGAVDNEVLRAVALAREADSTGMQFVVRNQERECTRFVVRDDPAVFDVTSLVENVVRKCQIMKLDILEECYVIDAGYDLALLRMPLTGVRVMPALILKNHYCLSLSNLIM
+>sp|Q96G46|DUS3L_HUMAN tRNA-dihydrouridine(47) synthase [NAD(P)(+)]-like OS=Homo sapiens OX=9606 GN=DUS3L PE=1 SV=2
+MAEGTAEAPLENGGGGDSGAGALERGVAPIKRQYLTTKEQFHQFLEAKGQEKTCRETEVGDPAGNELAEPEAKRIRLEDGQTADGQTEEAAEPGEQLQTQKRARGQNKGRPHVKPTNYDKNRLCPSLIQESAAKCFFGDRCRFLHDVGRYLETKPADLGPRCVLFETFGRCPYGVTCRFAGAHLRPEGQNLVQEELAARGTQPPSIRNGLDKALQQQLRKREVRFERAEQALRRFSQGPTPAAAVPEGTAAEGAPRQENCGAQQVPAGPGTSTPPSSPVRTCGPLTDEDVVRLRPCEKKRLDIRGKLYLAPLTTCGNLPFRRICKRFGADVTCGEMAVCTNLLQGQMSEWALLKRHQCEDIFGVQLEGAFPDTMTKCAELLSRTVEVDFVDINVGCPIDLVYKKGGGCALMNRSTKFQQIVRGMNQVLDVPLTVKIRTGVQERVNLAHRLLPELRDWGVALVTLHGRSREQRYTKLADWQYIEECVQAASPMPLFGNGDILSFEDANRAMQTGVTGIMIARGALLKPWLFTEIKEQRHWDISSSERLDILRDFTNYGLEHWGSDTQGVEKTRRFLLEWLSFLCRYVPVGLLERLPQRINERPPYYLGRDYLETLMASQKAADWIRISEMLLGPVPPSFAFLPKHKANAYK
+>DECOY_sp|Q96G46|DUS3L_HUMAN tRNA-dihydrouridine(47) synthase [NAD(P)(+)]-like OS=Homo sapiens OX=9606 GN=DUS3L PE=1 SV=2
+KYANAKHKPLFAFSPPVPGLLMESIRIWDAAKQSAMLTELYDRGLYYPPRENIRQPLRELLGVPVYRCLFSLWELLFRRTKEVGQTDSGWHELGYNTFDRLIDLRESSSIDWHRQEKIETFLWPKLLAGRAIMIGTVGTQMARNADEFSLIDGNGFLPMPSAAQVCEEIYQWDALKTYRQERSRGHLTVLAVGWDRLEPLLRHALNVREQVGTRIKVTLPVDLVQNMGRVIQQFKTSRNMLACGGGKKYVLDIPCGVNIDVFDVEVTRSLLEACKTMTDPFAGELQVGFIDECQHRKLLAWESMQGQLLNTCVAMEGCTVDAGFRKCIRRFPLNGCTTLPALYLKGRIDLRKKECPRLRVVDEDTLPGCTRVPSSPPTSTGPGAPVQQAGCNEQRPAGEAATGEPVAAAPTPGQSFRRLAQEAREFRVERKRLQQQLAKDLGNRISPPQTGRAALEEQVLNQGEPRLHAGAFRCTVGYPCRGFTEFLVCRPGLDAPKTELYRGVDHLFRCRDGFFCKAASEQILSPCLRNKDYNTPKVHPRGKNQGRARKQTQLQEGPEAAEETQGDATQGDELRIRKAEPEALENGAPDGVETERCTKEQGKAELFQHFQEKTTLYQRKIPAVGRELAGAGSDGGGGNELPAEATGEAM
+>sp|P51452|DUS3_HUMAN Dual specificity protein phosphatase 3 OS=Homo sapiens OX=9606 GN=DUSP3 PE=1 SV=1
+MSGSFELSVQDLNDLLSDGSGCYSLPSQPCNEVTPRIYVGNASVAQDIPKLQKLGITHVLNAAEGRSFMHVNTNANFYKDSGITYLGIKANDTQEFNLSAYFERAADFIDQALAQKNGRVLVHCREGYSRSPTLVIAYLMMRQKMDVKSALSIVRQNREIGPNDGFLAQLCQLNDRLAKEGKLKP
+>DECOY_sp|P51452|DUS3_HUMAN Dual specificity protein phosphatase 3 OS=Homo sapiens OX=9606 GN=DUSP3 PE=1 SV=1
+PKLKGEKALRDNLQCLQALFGDNPGIERNQRVISLASKVDMKQRMMLYAIVLTPSRSYGERCHVLVRGNKQALAQDIFDAAREFYASLNFEQTDNAKIGLYTIGSDKYFNANTNVHMFSRGEAANLVHTIGLKQLKPIDQAVSANGVYIRPTVENCPQSPLSYCGSGDSLLDNLDQVSLEFSGSM
+>sp|Q6XUX3|DUSTY_HUMAN Dual serine/threonine and tyrosine protein kinase OS=Homo sapiens OX=9606 GN=DSTYK PE=1 SV=2
+MEGDGVPWGSEPVSGPGPGGGGMIRELCRGFGRYRRYLGRLRQNLRETQKFFRDIKCSHNHTCLSSLTGGGGAERGPAGDVAETGLQAGQLSCISFPPKEEKYLQQIVDCLPCILILGQDCNVKCQLLNLLLGVQVLPTTKLGSEESCKLRRLRFTYGTQTRVSLALPGQYELVHTLVAHQGNWETIPEEDLEVQENNEDAAHVLAELEVTMHHALLQEVDVVVAPCQGLRPTVDVLGDLVNDFLPVITYALHKDELSERDEQELQEIRKYFSFPVFFFKVPKLGSEIIDSSTRRMESERSPLYRQLIDLGYLSSSHWNCGAPGQDTKAQSMLVEQSEKLRHLSTFSHQVLQTRLVDAAKALNLVHCHCLDIFINQAFDMQRDLQITPKRLEYTRKKENELYESLMNIANRKQEEMKDMIVETLNTMKEELLDDATNMEFKDVIVPENGEPVGTREIKCCIRQIQELIISRLNQAVANKLISSVDYLRESFVGTLERCLQSLEKSQDVSVHITSNYLKQILNAAYHVEVTFHSGSSVTRMLWEQIKQIIQRITWVSPPAITLEWKRKVAQEAIESLSASKLAKSICSQFRTRLNSSHEAFAASLRQLEAGHSGRLEKTEDLWLRVRKDHAPRLARLSLESCSLQDVLLHRKPKLGQELGRGQYGVVYLCDNWGGHFPCALKSVVPPDEKHWNDLALEFHYMRSLPKHERLVDLHGSVIDYNYGGGSSIAVLLIMERLHRDLYTGLKAGLTLETRLQIALDVVEGIRFLHSQGLVHRDIKLKNVLLDKQNRAKITDLGFCKPEAMMSGSIVGTPIHMAPELFTGKYDNSVDVYAFGILFWYICSGSVKLPEAFERCASKDHLWNNVRRGARPERLPVFDEECWQLMEACWDGDPLKRPLLGIVQPMLQGIMNRLCKSNSEQPNRGLDDST
+>DECOY_sp|Q6XUX3|DUSTY_HUMAN Dual serine/threonine and tyrosine protein kinase OS=Homo sapiens OX=9606 GN=DSTYK PE=1 SV=2
+TSDDLGRNPQESNSKCLRNMIGQLMPQVIGLLPRKLPDGDWCAEMLQWCEEDFVPLREPRAGRRVNNWLHDKSACREFAEPLKVSGSCIYWFLIGFAYVDVSNDYKGTFLEPAMHIPTGVISGSMMAEPKCFGLDTIKARNQKDLLVNKLKIDRHVLGQSHLFRIGEVVDLAIQLRTELTLGAKLGTYLDRHLREMILLVAISSGGGYNYDIVSGHLDVLREHKPLSRMYHFELALDNWHKEDPPVVSKLACPFHGGWNDCLYVVGYQGRGLEQGLKPKRHLLVDQLSCSELSLRALRPAHDKRVRLWLDETKELRGSHGAELQRLSAAFAEHSSNLRTRFQSCISKALKSASLSEIAEQAVKRKWELTIAPPSVWTIRQIIQKIQEWLMRTVSSGSHFTVEVHYAANLIQKLYNSTIHVSVDQSKELSQLCRELTGVFSERLYDVSSILKNAVAQNLRSIILEQIQRICCKIERTGVPEGNEPVIVDKFEMNTADDLLEEKMTNLTEVIMDKMEEQKRNAINMLSEYLENEKKRTYELRKPTIQLDRQMDFAQNIFIDLCHCHVLNLAKAADVLRTQLVQHSFTSLHRLKESQEVLMSQAKTDQGPAGCNWHSSSLYGLDILQRYLPSRESEMRRTSSDIIESGLKPVKFFFVPFSFYKRIEQLEQEDRESLEDKHLAYTIVPLFDNVLDGLVDVTPRLGQCPAVVVDVEQLLAHHMTVELEALVHAADENNEQVELDEEPITEWNGQHAVLTHVLEYQGPLALSVRTQTGYTFRLRRLKCSEESGLKTTPLVQVGLLLNLLQCKVNCDQGLILICPLCDVIQQLYKEEKPPFSICSLQGAQLGTEAVDGAPGREAGGGGTLSSLCTHNHSCKIDRFFKQTERLNQRLRGLYRRYRGFGRCLERIMGGGGPGPGSVPESGWPVGDGEM
+>sp|Q8IVF4|DYH10_HUMAN Dynein heavy chain 10, axonemal OS=Homo sapiens OX=9606 GN=DNAH10 PE=1 SV=4
+MVPEEVEVEIDEIPVLSEEGEEEEETYSQKVESVDKVRAKRVSLRTESLGQPLNREDEEMDKEISEKLPSKRTAKHIMEKMHLHMLCTPLPEEFLDQNVVFFLRNTKEAISEATDMKEAMEIMPETLEYGIINANVLHFLKNIICQVFLPALSFNQHRTSTTVGVTSGEVSNSSEHESDLPPMPGEAVEYHSIQLIRDEFLMNVQKFASNIQRTMQQLEGEIKLEMPIISVEGEVSDLAADPETVDILEQCVINWLNQISTAVEAQLKKTPQGKGPLAEIEFWRERNATLSALHEQTKLPIVRKVLDVIKESDSMLVANLQPVFTELFKFHTEASDNVRFLSTVERYFKNITHGSGFHVVLDTIPAMMSALRMVWIISRHYNKDERMIPLMERIAWEIAERVCRVVNLRTLFKENRASAQSKTLEARNTLRLWKKAYFDTRAKIEASGREDRWEFDRKRLFERTDYMATICQDLSDVLQILEEFYNIFGPELKAVTGDPKRIDDVLCRVDGLVTPMENLTFDPFSIKSSQFWKYVMDEFKIEVLIDIINKIFVQNLENPPLYKNHPPVAGAIYWERSLFFRIKHTILRFQEVQEILDSDRGQEVKQKYLEVGRTMKEYEDRKYEQWMEVTEQVLPALMKKSLLTKSSIATEEPSTLERGAVFAINFSPALREIINETKYLEQLGFTVPELARNVALQEDKFLRYTAGIQRMLDHYHMLIGTLNDAESVLLKDHSQELLRVFRSGYKRLNWNSLGIGDYITGCKQAIGKFESLVHQIHKNADDISSRLTLIEAINLFKYPAAKSEEELPGVKEFFEHIERERASDVDHMVRWYLAIGPLLTKVEGLVVHTNTGKAPKLASYYKYWEKKIYEVLTKLILKNLQSFNSLILGNVPLFHTETILTAPEIILHPNTNEIDKMCFHCVRNCVEITKHFVRWMNGSCIECPPQKGEEEEVVIINFYNDISLNPQIIEQAVMIPQNVHRILINLMKYLQKWKRYRPLWKLDKAIVMEKFAAKKPPCVAYDEKLQFYSKIAYEVMRHPLIKDEHCIRLQLRHLANTVQENAKSWVISLGKLLNESAKEELYNLHEEMEHLAKNLRKIPNTLEDLKFVLATIAEIRSKSLVMELRYRDVQERYRTMAMYNLFPPDAEKELVDKIESIWSNLFNDSVNVEHALGDIKRTFTELTRGEIMNYRVQIEEFAKRFYSEGPGSVGDDLDKGVELLGVYERELARHEKSRQELANAEKLFDLPITMYPELLKVQKEMSGLRMIYELYEGLKVAKEEWSQTLWINLNVQILQEGIEGFLRALRKLPRPVRGLSVTYYLEAKMKAFKDSIPLLLDLKNEALRDRHWKELMEKTSVFFEMTETFTLENMFAMELHKHTDVLNEIVTAAIKEVAIEKAVKEILDTWENMKFTVVKYCKGTQERGYILGSVDEIIQSLDDNTFNLQSISGSRFVGPFLQTVHKWEKTLSLIGEVIEIWMLVQRKWMYLESIFIGGDIRSQLPEEAKKFDNIDKVFKRIMGETLKDPVIKRCCEAPNRLSDLQNVSEGLEKCQKSLNDYLDSKRNAFPRFFFISDDELLSILGSSDPLCVQEHMIKMYDNIASLRFNDGDSGEKLVSAMISAEGEVMEFRKILRAEGRVEDWMTAVLNEMRRTNRLITKEAIFRYCEDRSRVDWMLLYQGMVVLAASQVWWTWEVEDVFHKAQKGEKQAMKNYGRKMHRQIDELVTRITMPLSKNDRKKYNTVLIIDVHARDIVDSFIRGSILEAREFDWESQLRFYWDREPDELNIRQCTGTFGYGYEYMGLNGRLVITPLTDRIYLTLTQALSMYLGGAPAGPAGTGKTETTKDLAKALGLLCVVTNCGEGMDYRAVGKIFSGLAQCGAWGCFDEFNRIDASVLSVISSQIQTIRNALIHQLTTFQFEGQEISLDSRMGIFITMNPGYAGRTELPESVKALFRPVVVIVPDLQQICEIMLFSEGFLEAKTLAKKMTVLYKLAREQLSKQYHYDFGLRALKSVLVMAGELKRGSSDLREDVVLMRALRDMNLPKFVFEDVPLFLGLISDLFPGLDCPRVRYPDFNDAVEQVLEENGYAVLPIQVDKVVQMFETMLTRHTTMVVGPTRGGKSVVINTLCQAQTKLGLTTKLYILNPKAVSVIELYGILDPTTRDWTDGVLSNIFREINKPTDKKERKYILFDGDVDALWVENMNSVMDDNRLLTLANGERIRLQAHCALLFEVGDLQYASPATVSRCGMVYVDPKNLKYRPYWKKWVNQIPNKVEQYNLNSLFEKYVPYLMDVIVEGIVDGRQAEKLKTIVPQTDLNMVTQLAKMLDALLEGEIEDLDLLECYFLEALYCSLGASLLEDGRMKFDEYIKRLASLSTVDTEGVWANPGELPGQLPTLYDFHFDNKRNQWVPWSKLVPEYIHAPERKFINILVHTVDTTRTTWILEQMVKIKQPVIFVGESGTSKTATTQNFLKNLSEETNIVLMVNFSSRTTSMDIQRNLEANVEKRTKDTYGPPMGKRLLVFMDDMNMPRVDEYGTQQPIALLKLLLEKGYLYDRGKELNCKSIRDLGFIAAMGKAGGGRNEVDPRFISLFSVFNVPFPSEESLHLIYSSILKGHTSTFHESIVAVSGKLTFCTLALYKNIVQDLPPTPSKFHYIFNLRDLSRVFNGLVLTNPERFQTVAQMVRVWRNECLRVFHDRLISETDKQLVQQHIGSLVVEHFKDDVEVVMRDPILFGDFQMALHEGEPRIYEDIQDYEAAKALFQEILEEYNESNTKMNLVLFDDALEHLTRVHRIIRMDRGHALLVGVGGSGKQSLSRLAAFTASCEVFEILLSRGYSENSFREDLKSLYLKLGIENKAMIFLFTDAHVAEEGFLELINNMLTSGIVPALFSEEEKESILSQIGQEALKQGMGPAKESVWQYFVNKSANNLHIVLGMSPVGDTLRTWCRNFPGMVNNTGIDWFMPWPPQALHAVAKSFLGYNPMIPAENIENVVKHVVLVHQSVDHYSQQFLQKLRRSNYVTPKNYLDFINTYSKLLDEKTQCNIAQCKRLDGGLDKLKEATIQLDELNQKLAEQKIVLAEKSAACEALLEEIAVNTAVAEEKKKLAEEKAMEIEEQNKVIAMEKAEAETTLAEVMPILEAAKLELQKLDKSDVTEIRSFAKPPKQVQTVCECILIMKGYKELNWKTAKGVMSDPNFLRSLMEIDFDSITQSQVKNIKGLLKTLNTTTEEMEAVSKAGLGMLKFVEAVMGYCDVFREIKPKREKVARLERNFYLTKRELERIQNELAAIQKELETLGAKYEAAILEKQKLQEEAEIMERRLIAADKLISGLGSENIRWLNDLDELMHRRVKLLGDCLLCAAFLSYEGAFTWEFRDEMVNRIWQNDILEREIPLSQPFRLESLLTDDVEISRWGSQGLPPDELSVQNGILTTRASRFPLCIDPQQQALNWIKRKEEKNNLRVASFNDPDFLKQLEMSIKYGTPFLFRDVDEYIDPVIDNVLEKNIKVSQGRQFIILGDKEVDYDSNFRLYLNTKLANPRYSPSVFGKAMVINYTVTLKGLEDQLLSVLVAYERRELEEQREHLIQETSENKNLLKDLEDSLLRELATSTGNMLDNVDLVHTLEETKSKATEVSEKLKLAEKTALDIDRLRDGYRPAARRGAILFFVLSEMALVNSMYQYSLIAFLEVFRLSLKKSLPDSILMKRLRNIMDTLTFSIYNHGCTGLFERHKLLFSFNMTIKIEQAEGRVPQEELDFFLKGNISLEKSKRKKPCAWLSDQGWEDIILLSEMFSDNFGQLPDDVENNQTVWQEWYDLDSLEQFPVPLGYDNNITPFQKLLILRCFRVDRVYRAVTDYVTVTMGEKYVQPPMISFEAIFEQSTPHSPIVFILSPGSDPATDLMKLAERSGFGGNRLKFLAMGQGQEKVALQLLETAVARGQWLMLQNCHLLVKWLKDLEKSLERITKPHPDFRLWLTTDPTKGFPIGILQKSLKVVTEPPNGLKLNMRATYFKISHEMLDQCPHPAFKPLVYVLAFFHAVVQERRKFGKIGWNVYYDFNESDFQVCMEILNTYLTKAFQQRDPRIPWGSLKYLIGEVMYGGRAIDSFDRRILTIYMDEYLGDFIFDTFQPFHFFRNKEVDYKIPVGDEKEKFVEAIEALPLANTPEVFGLHPNAEIGYYTQAARDMWAHLLELQPQTGESSSGISRDDYIGQVAKEIENKMPKVFDLDQVRKRLGTGLSPTSVVLLQELERFNKLVVRMTKSLAELQRALAGEVGMSNELDDVARSLFIGHIPNIWRRLAPDTLKSLGNWMVYFLRRFSQYMLWVTESEPSVMWLSGLHIPESYLTALVQATCRKNGWPLDRSTLFTQVTKFQDADEVNERAGQGCFVSGLYLEGADWDIEKGCLIKSKPKVLVVDLPILKIIPIEAHRLKLQNTFRTPVYTTSMRRNAMGVGLVFEADLFTTRHISHWVLQGVCLTLNSD
+>DECOY_sp|Q8IVF4|DYH10_HUMAN Dynein heavy chain 10, axonemal OS=Homo sapiens OX=9606 GN=DNAH10 PE=1 SV=4
+DSNLTLCVGQLVWHSIHRTTFLDAEFVLGVGMANRRMSTTYVPTRFTNQLKLRHAEIPIIKLIPLDVVLVKPKSKILCGKEIDWDAGELYLGSVFCGQGARENVEDADQFKTVQTFLTSRDLPWGNKRCTAQVLATLYSEPIHLGSLWMVSPESETVWLMYQSFRRLFYVMWNGLSKLTDPALRRWINPIHGIFLSRAVDDLENSMGVEGALARQLEALSKTMRVVLKNFRELEQLLVVSTPSLGTGLRKRVQDLDFVKPMKNEIEKAVQGIYDDRSIGSSSEGTQPQLELLHAWMDRAAQTYYGIEANPHLGFVEPTNALPLAEIAEVFKEKEDGVPIKYDVEKNRFFHFPQFTDFIFDGLYEDMYITLIRRDFSDIARGGYMVEGILYKLSGWPIRPDRQQFAKTLYTNLIEMCVQFDSENFDYYVNWGIKGFKRREQVVAHFFALVYVLPKFAPHPCQDLMEHSIKFYTARMNLKLGNPPETVVKLSKQLIGIPFGKTPDTTLWLRFDPHPKTIRELSKELDKLWKVLLHCNQLMLWQGRAVATELLQLAVKEQGQGMALFKLRNGGFGSREALKMLDTAPDSGPSLIFVIPSHPTSQEFIAEFSIMPPQVYKEGMTVTVYDTVARYVRDVRFCRLILLKQFPTINNDYGLPVPFQELSDLDYWEQWVTQNNEVDDPLQGFNDSFMESLLIIDEWGQDSLWACPKKRKSKELSINGKLFFDLEEQPVRGEAQEIKITMNFSFLLKHREFLGTCGHNYISFTLTDMINRLRKMLISDPLSKKLSLRFVELFAILSYQYMSNVLAMESLVFFLIAGRRAAPRYGDRLRDIDLATKEALKLKESVETAKSKTEELTHVLDVNDLMNGTSTALERLLSDELDKLLNKNESTEQILHERQEELERREYAVLVSLLQDELGKLTVTYNIVMAKGFVSPSYRPNALKTNLYLRFNSDYDVEKDGLIIFQRGQSVKINKELVNDIVPDIYEDVDRFLFPTGYKISMELQKLFDPDNFSAVRLNNKEEKRKIWNLAQQQPDICLPFRSARTTLIGNQVSLEDPPLGQSGWRSIEVDDTLLSELRFPQSLPIERELIDNQWIRNVMEDRFEWTFAGEYSLFAACLLCDGLLKVRRHMLEDLDNLWRINESGLGSILKDAAILRREMIEAEEQLKQKELIAAEYKAGLTELEKQIAALENQIRELERKTLYFNRELRAVKERKPKIERFVDCYGMVAEVFKLMGLGAKSVAEMEETTTNLTKLLGKINKVQSQTISDFDIEMLSRLFNPDSMVGKATKWNLEKYGKMILICECVTQVQKPPKAFSRIETVDSKDLKQLELKAAELIPMVEALTTEAEAKEMAIVKNQEEIEMAKEEALKKKEEAVATNVAIEELLAECAASKEALVIKQEALKQNLEDLQITAEKLKDLGGDLRKCQAINCQTKEDLLKSYTNIFDLYNKPTVYNSRRLKQLFQQSYHDVSQHVLVVHKVVNEINEAPIMPNYGLFSKAVAHLAQPPWPMFWDIGTNNVMGPFNRCWTRLTDGVPSMGLVIHLNNASKNVFYQWVSEKAPGMGQKLAEQGIQSLISEKEEESFLAPVIGSTLMNNILELFGEEAVHADTFLFIMAKNEIGLKLYLSKLDERFSNESYGRSLLIEFVECSATFAALRSLSQKGSGGVGVLLAHGRDMRIIRHVRTLHELADDFLVLNMKTNSENYEELIEQFLAKAAEYDQIDEYIRPEGEHLAMQFDGFLIPDRMVVEVDDKFHEVVLSGIHQQVLQKDTESILRDHFVRLCENRWVRVMQAVTQFREPNTLVLGNFVRSLDRLNFIYHFKSPTPPLDQVINKYLALTCFTLKGSVAVISEHFTSTHGKLISSYILHLSEESPFPVNFVSFLSIFRPDVENRGGGAKGMAAIFGLDRISKCNLEKGRDYLYGKELLLKLLAIPQQTGYEDVRPMNMDDMFVLLRKGMPPGYTDKTRKEVNAELNRQIDMSTTRSSFNVMLVINTEESLNKLFNQTTATKSTGSEGVFIVPQKIKVMQELIWTTRTTDVTHVLINIFKREPAHIYEPVLKSWPVWQNRKNDFHFDYLTPLQGPLEGPNAWVGETDVTSLSALRKIYEDFKMRGDELLSAGLSCYLAELFYCELLDLDEIEGELLADLMKALQTVMNLDTQPVITKLKEAQRGDVIGEVIVDMLYPVYKEFLSNLNYQEVKNPIQNVWKKWYPRYKLNKPDVYVMGCRSVTAPSAYQLDGVEFLLACHAQLRIREGNALTLLRNDDMVSNMNEVWLADVDGDFLIYKREKKDTPKNIERFINSLVGDTWDRTTPDLIGYLEIVSVAKPNLIYLKTTLGLKTQAQCLTNIVVSKGGRTPGVVMTTHRTLMTEFMQVVKDVQIPLVAYGNEELVQEVADNFDPYRVRPCDLGPFLDSILGLFLPVDEFVFKPLNMDRLARMLVVDERLDSSGRKLEGAMVLVSKLARLGFDYHYQKSLQERALKYLVTMKKALTKAELFGESFLMIECIQQLDPVIVVVPRFLAKVSEPLETRGAYGPNMTIFIGMRSDLSIEQGEFQFTTLQHILANRITQIQSSIVSLVSADIRNFEDFCGWAGCQALGSFIKGVARYDMGEGCNTVVCLLGLAKALDKTTETKGTGAPGAPAGGLYMSLAQTLTLYIRDTLPTIVLRGNLGMYEYGYGFTGTCQRINLEDPERDWYFRLQSEWDFERAELISGRIFSDVIDRAHVDIILVTNYKKRDNKSLPMTIRTVLEDIQRHMKRGYNKMAQKEGKQAKHFVDEVEWTWWVQSAALVVMGQYLLMWDVRSRDECYRFIAEKTILRNTRRMENLVATMWDEVRGEARLIKRFEMVEGEASIMASVLKEGSDGDNFRLSAINDYMKIMHEQVCLPDSSGLISLLEDDSIFFFRPFANRKSDLYDNLSKQCKELGESVNQLDSLRNPAECCRKIVPDKLTEGMIRKFVKDINDFKKAEEPLQSRIDGGIFISELYMWKRQVLMWIEIVEGILSLTKEWKHVTQLFPGVFRSGSISQLNFTNDDLSQIIEDVSGLIYGREQTGKCYKVVTFKMNEWTDLIEKVAKEIAVEKIAATVIENLVDTHKHLEMAFMNELTFTETMEFFVSTKEMLEKWHRDRLAENKLDLLLPISDKFAKMKAELYYTVSLGRVPRPLKRLARLFGEIGEQLIQVNLNIWLTQSWEEKAVKLGEYLEYIMRLGSMEKQVKLLEPYMTIPLDFLKEANALEQRSKEHRALEREYVGLLEVGKDLDDGVSGPGESYFRKAFEEIQVRYNMIEGRTLETFTRKIDGLAHEVNVSDNFLNSWISEIKDVLEKEADPPFLNYMAMTRYREQVDRYRLEMVLSKSRIEAITALVFKLDELTNPIKRLNKALHEMEEHLNYLEEKASENLLKGLSIVWSKANEQVTNALHRLQLRICHEDKILPHRMVEYAIKSYFQLKEDYAVCPPKKAAFKEMVIAKDLKWLPRYRKWKQLYKMLNILIRHVNQPIMVAQEIIQPNLSIDNYFNIIVVEEEEGKQPPCEICSGNMWRVFHKTIEVCNRVCHFCMKDIENTNPHLIIEPATLITETHFLPVNGLILSNFSQLNKLILKTLVEYIKKEWYKYYSALKPAKGTNTHVVLGEVKTLLPGIALYWRVMHDVDSAREREIHEFFEKVGPLEEESKAAPYKFLNIAEILTLRSSIDDANKHIQHVLSEFKGIAQKCGTIYDGIGLSNWNLRKYGSRFVRLLEQSHDKLLVSEADNLTGILMHYHDLMRQIGATYRLFKDEQLAVNRALEPVTFGLQELYKTENIIERLAPSFNIAFVAGRELTSPEETAISSKTLLSKKMLAPLVQETVEMWQEYKRDEYEKMTRGVELYKQKVEQGRDSDLIEQVEQFRLITHKIRFFLSREWYIAGAVPPHNKYLPPNELNQVFIKNIIDILVEIKFEDMVYKWFQSSKISFPDFTLNEMPTVLGDVRCLVDDIRKPDGTVAKLEPGFINYFEELIQLVDSLDQCITAMYDTREFLRKRDFEWRDERGSAEIKARTDFYAKKWLRLTNRAELTKSQASARNEKFLTRLNVVRCVREAIEWAIREMLPIMREDKNYHRSIIWVMRLASMMAPITDLVVHFGSGHTINKFYREVTSLFRVNDSAETHFKFLETFVPQLNAVLMSDSEKIVDLVKRVIPLKTQEHLASLTANRERWFEIEALPGKGQPTKKLQAEVATSIQNLWNIVCQELIDVTEPDAALDSVEGEVSIIPMELKIEGELQQMTRQINSAFKQVNMLFEDRILQISHYEVAEGPMPPLDSEHESSNSVEGSTVGVTTSTRHQNFSLAPLFVQCIINKLFHLVNANIIGYELTEPMIEMAEKMDTAESIAEKTNRLFFVVNQDLFEEPLPTCLMHLHMKEMIHKATRKSPLKESIEKDMEEDERNLPQGLSETRLSVRKARVKDVSEVKQSYTEEEEEGEESLVPIEDIEVEVEEPVM
+>sp|Q9P225|DYH2_HUMAN Dynein heavy chain 2, axonemal OS=Homo sapiens OX=9606 GN=DNAH2 PE=2 SV=3
+MSSKAEKKQRLSGRGSSQASWSGRATRAAVATQEQGNAPAVSEPELQAELPKEEPEPRLEGPQAQSEESVEPEADVKPLFLSRAALTGLADAVWTQEHDAILEHFAQDPTESILTIFIDPCFGLKLELGMPVQTQNQLVYFIRQAPVPITWENFEATVQFGTVRGPYIPALLRLLGGVFAPQIFANTGWPESIRNHFASHLHKFLACLTDTRYKLEGHTVLYIPAEAMNMKPEMVIKDKELVQRLETSMIHWTRQIKEMLSAQETVETGENLGPLEEIEFWRNRCMDLSGISKQLVKKGVKHVESILHLAKSSYLAPFMKLAQQIQDGSRQAQSNLTFLSILKEPYQELAFMKPKDISSKLPKLISLIRIIWVNSPHYNTRERLTSLFRKVCDCQYHFARWEDGKQGPLPCFFGAQGPQITRNLLEIEDIFHKNLHTLRAVRGGILDVKNTCWHEDYNKFRAGIKDLEVMTQNLITSAFELVRDVPHGVLLLDTFHRLASREAIKRTYDKKAVDLYMLFNSELALVNRERNKKWPDLEPYVAQYSGKARWVHILRRRIDRVMTCLAGAHFLPRIGTGKESVHTYQQMVQAIDELVRKTFQEWTSSLDKDCIRRLDTPLLRISQEKAGMLDVNFDKSLLILFAEIDYWERLLFETPHYVVNVAERAEDLRILRENLLLVARDYNRIIAMLSPDEQALFKERIRLLDKKIHPGLKKLHWALKGASAFFITECRIHASKVQMIVNEFKASTLTIGWRAQEMSEKLLVRISGKRVYRDLEFEEDQREHRAAVQQKLMNLHQDVVTIMTNSYEVFKNDGPEIQQQWMLYMIRLDRMMEDALRLNVKWSLLELSKAINGDGKTSPNPLFQVLVILKNDLQGSVAQVEFSPTLQTLAGVVNDIGNHLFSTISVFCHLPDILTKRKLHREPIQTVVEQDEDIKKIQTQISSGMTNNASLLQNYLKTWDMYREIWEINKDSFIHRYQRLNPPVSSFVADIARYTEVANNVQKEETVTNIQFVLLDCSHLKFSLVQHCNEWQNKFATLLREMAAGRLLELHTYLKENAEKISRPPQTLEELGVSLQLVDALKHDLANVETQIPPIHEQFAILEKYEVPVEDSVLEMLDSLNGEWVVFQQTLLDSKQMLKKHKEKFKTGLIHSADDFKKKAHTLLEDFEFKGHFTSNVGYMSALDQITQVRAMLMAMREEENSLRANLGIFKIEQPPSKDLQNLEKELDALQQIWEIARDWEENWNEWKTGRFLILQTETMETTAHGLFRRLTKLAKEYKDRNWEIIETTRSKIEQFKRTMPLISDLRNPALRERHWDQVRDEIQREFDQESESFTLEQIVELGMDQHVEKIGEISASATKELAIEVALQNIAKTWDVTQLDIVPYKDKGHHRLRGTEEVFQALEDNQVALSTMKASRFVKAFEKDVDHWERCLSLILEVIEMILTVQRQWMYLENIFLGEDIRKQLPNESTLFDQVNSNWKAIMDRMNKDNNALRSTHHPGLLDTLIEMNTILEDIQKSLDMYLETKRHIFPRFYFLSNDDLLEILGQSRNPEAVQPHLKKCFDNIKLLRIQKVGGPSSKWEAVGMFSGDGEYIDFLHSVFLEGPVESWLGDVEQTMRVTLRDLLRNCHLALRKFLNKRDKWVKEWAGQVVITASQIQWTADVTKCLLTAKERADKKILKVMKKNQVSILNKYSEAIRGNLTKIMRLKIVALVTIEIHARDVLEKLYKSGLMDVNSFDWLSQLRFYWEKDLDDCVIRQTNTQFQYNYEYLGNSGRLVITPLTDRCYMTLTTALHLHRGGSPKGPAGTGKTETVKDLGKALGIYVIVVNCSEGLDYKSMGRMYSGLAQTGAWGCFDEFNRINIEVLSVVAHQILCILSALAAGLTHFHFDGFEINLVWSCGIFITMNPGYAGRTELPENLKSMFRPIAMVVPDSTLIAEIILFGEGFGNCKILAKKVYTLYSLAVQQLSRQDHYDFGLRALTSLLRYAGKKRRLQPDLTDEEVLLLSMRDMNIAKLTSVDAPLFNAIVQDLFPNIELPVIDYGKLRETVEQEIRDMGLQSTPFTLTKVFQLYETKNSRHSTMIVGCTGSGKTASWRILQASLSSLCRAGDPNFNIVREFPLNPKALSLGELYGEYDLSTNEWTDGILSSVMRTACADEKPDEKWILFDGPVDTLWIENMNSVMDDNKVLTLINGERIAMPEQVSLLFEVEDLAMASPATVSRCGMVYTDYADLGWKPYVQSWLEKRPKAEVEPLQRMFEKLINKMLAFKKDNCKELVPLPEYSGITSLCKLYSALATPENGVNPADGENYVTMVEMTFVFSMIWSVCASVDEEGRKRIDSYLREIEGSFPNKDTVYEYFVDPKIRSWTSFEDKLPKSWRYPPNAPFYKIMVPTVDTVRYNYLVSSLVANQNPILLVGPVGTGKTSIAQSVLQSLPSSQWSVLVVNMSAQTTSNNVQSIIESRVEKRTKGVYVPFGGKSMITFMDDLNMPAKDMFGSQPPLELIRLWIDYGFWYDRTKQTIKYIREMFLMAAMGPPGGGRTVISPRLRSRFNIINMTFPTKSQIIRIFGTMINQKLQDFEEEVKPIGNVVTEATLDMYNTVVQRFLPTPTKMHYLFNLRDISKVFQGMLRANKDFHDTKSSITRLWIHECFRVFSDRLVDAADTEAFMGIISDKLGSFFDLTFHHLCPSKRPPIFGDFLKEPKVYEDLTDLTVLKTVMETALNEYNLSPSVVPMQLVLFREAIEHITRIVRVIGQPRGNMLLVGIGGSGRQSLARLASSICDYTTFQIEVTKHYRKQEFRDDIKRLYRQAGVELKTTSFIFVDTQIADESFLEDINNILSSGEVPNLYKPDEFEEIQSHIIDQARVEQVPESSDSLFAYLIERVQNNLHIVLCLSPMGDPFRNWIRQYPALVNCTTINWFSEWPQEALLEVAEKCLIGVDLGTQENIHRKVAQIFVTMHWSVAQYSQKMLLELRRHNYVTPTKYLELLSGYKKLLGEKRQELLAQANKLRTGLFKIDETREKVQVMSLELEDAKKKVAEFQKQCEEYLVIIVQQKREADEQQKAVTANSEKIAVEEIKCQALADNAQKDLEEALPALEEAMRALESLNKKDIGEIKSYGRPPAQVEIVMQAVMILRGNEPTWAEAKRQLGEQNFIKSLINFDKDNISDKVLKKIGAYCAQPDFQPDIIGRVSLAAKSLCMWVRAMELYGRLYRVVEPKRIRMNAALAQLREKQAALAEAQEKLREVAEKLEMLKKQYDEKLAQKEELRKKSEEMELKLERAGMLVSGLAGEKARWEETVQGLEEDLGYLVGDCLLAAAFLSYMGPFLTNYRDEIVNQIWIGKIWELQVPCSPSFAIDNFLCNPTKVRDWNIQGLPSDAFSTENGIIVTRGNRWALMIDPQAQALKWIKNMEGGQGLKIIDLQMSDYLRILEHAIHFGYPVLLQNVQEYLDPTLNPMLNKSVARIGGRLLMRIGDKEVEYNTNFRFYITTKLSNPHYSPETSAKTTIVNFAVKEQGLEAQLLGIVVRKERPELEEQKDSLVINIAAGKRKLKELEDEILRLLNEATGSLLDDVQLVNTLHTSKITATEVTEQLETSETTEINTDLAREAYRPCAQRASILFFVLNDMGCIDPMYQFSLDAYISLFILSIDKSHRSNKLEDRIDYLNDYHTYAVYRYTCRTLFERHKLLFSFHMCAKILETSGKLNMDEYNFFLRGGVVLDREGQMDNPCSSWLADAYWDNITELDKLTNFHGLMNSFEQYPRDWHLWYTNAAPEKAMLPGEWENACNEMQRMLIVRSLRQDRVAFCVTSFIITNLGSRFIEPPVLNMKSVLEDSTPRSPLVFILSPGVDPTSALLQLAEHMGMAQRFHALSLGQGQAPIAARLLREGVTQGHWVFLANCHLSLSWMPNLDKLVEQLQVEDPHPSFRLWLSSIPHPDFPISILQVSIKMTTEPPKGLKANMTRLYQLMSEPQFSRCSKPAKYKKLLFSLCFFHSVLLERKKFLQLGWNIIYGFNDSDFEVSENLLSLYLDEYEETPWDALKYLIAGINYGGHVTDDWDRRLLTTYINDYFCDQSLSTPFHRLSALETYFIPKDGSLASYKEYISLLPGMDPPEAFGQHPNADVASQITEAQTLFDTLLSLQPQITPTRAGGQTREEKVLELAADVKQKIPEMIDYEGTQKLLALDPSPLNVVLLQEIQRYNTLMQTILFSLTDLEKGIQGLIVMSTSLEEIFNCIFDAHVPPLWGKAYPSQKPLAAWTRDLAMRVEQFELWASRARPPVIFWLSGFTFPTGFLTAVLQSSARQNNVSVDSLSWEFIVSTVDDSNLVYPPKDGVWVRGLYLEGAGWDRKNSCLVEAEPMQLVCLMPTIHFRPAESRKKSAKGMYSCPCYYYPNRAGSSDRASFVIGIDLRSGAMTPDHWIKRGTALLMSLDS
+>DECOY_sp|Q9P225|DYH2_HUMAN Dynein heavy chain 2, axonemal OS=Homo sapiens OX=9606 GN=DNAH2 PE=2 SV=3
+SDLSMLLATGRKIWHDPTMAGSRLDIGIVFSARDSSGARNPYYYCPCSYMGKASKKRSEAPRFHITPMLCVLQMPEAEVLCSNKRDWGAGELYLGRVWVGDKPPYVLNSDDVTSVIFEWSLSDVSVNNQRASSQLVATLFGTPFTFGSLWFIVPPRARSAWLEFQEVRMALDRTWAALPKQSPYAKGWLPPVHADFICNFIEELSTSMVILGQIGKELDTLSFLITQMLTNYRQIEQLLVVNLPSPDLALLKQTGEYDIMEPIKQKVDAALELVKEERTQGGARTPTIQPQLSLLTDFLTQAETIQSAVDANPHQGFAEPPDMGPLLSIYEKYSALSGDKPIFYTELASLRHFPTSLSQDCFYDNIYTTLLRRDWDDTVHGGYNIGAILYKLADWPTEEYEDLYLSLLNESVEFDSDNFGYIINWGLQLFKKRELLVSHFFCLSFLLKKYKAPKSCRSFQPESMLQYLRTMNAKLGKPPETTMKISVQLISIPFDPHPISSLWLRFSPHPDEVQLQEVLKDLNPMWSLSLHCNALFVWHGQTVGERLLRAAIPAQGQGLSLAHFRQAMGMHEALQLLASTPDVGPSLIFVLPSRPTSDELVSKMNLVPPEIFRSGLNTIIFSTVCFAVRDQRLSRVILMRQMENCANEWEGPLMAKEPAANTYWLHWDRPYQEFSNMLGHFNTLKDLETINDWYADALWSSCPNDMQGERDLVVGGRLFFNYEDMNLKGSTELIKACMHFSFLLKHREFLTRCTYRYVAYTHYDNLYDIRDELKNSRHSKDISLIFLSIYADLSFQYMPDICGMDNLVFFLISARQACPRYAERALDTNIETTESTELQETVETATIKSTHLTNVLQVDDLLSGTAENLLRLIEDELEKLKRKGAAINIVLSDKQEELEPREKRVVIGLLQAELGQEKVAFNVITTKASTEPSYHPNSLKTTIYFRFNTNYEVEKDGIRMLLRGGIRAVSKNLMPNLTPDLYEQVNQLLVPYGFHIAHELIRLYDSMQLDIIKLGQGGEMNKIWKLAQAQPDIMLAWRNGRTVIIGNETSFADSPLGQINWDRVKTPNCLFNDIAFSPSCPVQLEWIKGIWIQNVIEDRYNTLFPGMYSLFAAALLCDGVLYGLDEELGQVTEEWRAKEGALGSVLMGARELKLEMEESKKRLEEKQALKEDYQKKLMELKEAVERLKEQAEALAAQKERLQALAANMRIRKPEVVRYLRGYLEMARVWMCLSKAALSVRGIIDPQFDPQACYAGIKKLVKDSINDKDFNILSKIFNQEGLQRKAEAWTPENGRLIMVAQMVIEVQAPPRGYSKIEGIDKKNLSELARMAEELAPLAEELDKQANDALAQCKIEEVAIKESNATVAKQQEDAERKQQVIIVLYEECQKQFEAVKKKADELELSMVQVKERTEDIKFLGTRLKNAQALLEQRKEGLLKKYGSLLELYKTPTVYNHRRLELLMKQSYQAVSWHMTVFIQAVKRHINEQTGLDVGILCKEAVELLAEQPWESFWNITTCNVLAPYQRIWNRFPDGMPSLCLVIHLNNQVREILYAFLSDSSEPVQEVRAQDIIHSQIEEFEDPKYLNPVEGSSLINNIDELFSEDAIQTDVFIFSTTKLEVGAQRYLRKIDDRFEQKRYHKTVEIQFTTYDCISSALRALSQRGSGGIGVLLMNGRPQGIVRVIRTIHEIAERFLVLQMPVVSPSLNYENLATEMVTKLVTLDTLDEYVKPEKLFDGFIPPRKSPCLHHFTLDFFSGLKDSIIGMFAETDAADVLRDSFVRFCEHIWLRTISSKTDHFDKNARLMGQFVKSIDRLNFLYHMKTPTPLFRQVVTNYMDLTAETVVNGIPKVEEEFDQLKQNIMTGFIRIIQSKTPFTMNIINFRSRLRPSIVTRGGGPPGMAAMLFMERIYKITQKTRDYWFGYDIWLRILELPPQSGFMDKAPMNLDDMFTIMSKGGFPVYVGKTRKEVRSEIISQVNNSTTQASMNVVLVSWQSSPLSQLVSQAISTKGTGVPGVLLIPNQNAVLSSVLYNYRVTDVTPVMIKYFPANPPYRWSKPLKDEFSTWSRIKPDVFYEYVTDKNPFSGEIERLYSDIRKRGEEDVSACVSWIMSFVFTMEVMTVYNEGDAPNVGNEPTALASYLKCLSTIGSYEPLPVLEKCNDKKFALMKNILKEFMRQLPEVEAKPRKELWSQVYPKWGLDAYDTYVMGCRSVTAPSAMALDEVEFLLSVQEPMAIREGNILTLVKNDDMVSNMNEIWLTDVPGDFLIWKEDPKEDACATRMVSSLIGDTWENTSLDYEGYLEGLSLAKPNLPFERVINFNPDGARCLSSLSAQLIRWSATKGSGTCGVIMTSHRSNKTEYLQFVKTLTFPTSQLGMDRIEQEVTERLKGYDIVPLEINPFLDQVIANFLPADVSTLKAINMDRMSLLLVEEDTLDPQLRRKKGAYRLLSTLARLGFDYHDQRSLQQVALSYLTYVKKALIKCNGFGEGFLIIEAILTSDPVVMAIPRFMSKLNEPLETRGAYGPNMTIFIGCSWVLNIEFGDFHFHTLGAALASLICLIQHAVVSLVEINIRNFEDFCGWAGTQALGSYMRGMSKYDLGESCNVVIVYIGLAKGLDKVTETKGTGAPGKPSGGRHLHLATTLTMYCRDTLPTIVLRGSNGLYEYNYQFQTNTQRIVCDDLDKEWYFRLQSLWDFSNVDMLGSKYLKELVDRAHIEITVLAVIKLRMIKTLNGRIAESYKNLISVQNKKMVKLIKKDAREKATLLCKTVDATWQIQSATIVVQGAWEKVWKDRKNLFKRLALHCNRLLDRLTVRMTQEVDGLWSEVPGELFVSHLFDIYEGDGSFMGVAEWKSSPGGVKQIRLLKINDFCKKLHPQVAEPNRSQGLIELLDDNSLFYFRPFIHRKTELYMDLSKQIDELITNMEILTDLLGPHHTSRLANNDKNMRDMIAKWNSNVQDFLTSENPLQKRIDEGLFINELYMWQRQVTLIMEIVELILSLCREWHDVDKEFAKVFRSAKMTSLAVQNDELAQFVEETGRLRHHGKDKYPVIDLQTVDWTKAINQLAVEIALEKTASASIEGIKEVHQDMGLEVIQELTFSESEQDFERQIEDRVQDWHRERLAPNRLDSILPMTRKFQEIKSRTTEIIEWNRDKYEKALKTLRRFLGHATTEMTETQLILFRGTKWENWNEEWDRAIEWIQQLADLEKELNQLDKSPPQEIKFIGLNARLSNEEERMAMLMARVQTIQDLASMYGVNSTFHGKFEFDELLTHAKKKFDDASHILGTKFKEKHKKLMQKSDLLTQQFVVWEGNLSDLMELVSDEVPVEYKELIAFQEHIPPIQTEVNALDHKLADVLQLSVGLEELTQPPRSIKEANEKLYTHLELLRGAAMERLLTAFKNQWENCHQVLSFKLHSCDLLVFQINTVTEEKQVNNAVETYRAIDAVFSSVPPNLRQYRHIFSDKNIEWIERYMDWTKLYNQLLSANNTMGSSIQTQIKKIDEDQEVVTQIPERHLKRKTLIDPLHCFVSITSFLHNGIDNVVGALTQLTPSFEVQAVSGQLDNKLIVLVQFLPNPSTKGDGNIAKSLELLSWKVNLRLADEMMRDLRIMYLMWQQQIEPGDNKFVEYSNTMITVVDQHLNMLKQQVAARHERQDEEFELDRYVRKGSIRVLLKESMEQARWGITLTSAKFENVIMQVKSAHIRCETIFFASAGKLAWHLKKLGPHIKKDLLRIREKFLAQEDPSLMAIIRNYDRAVLLLNERLIRLDEAREAVNVVYHPTEFLLREWYDIEAFLILLSKDFNVDLMGAKEQSIRLLPTDLRRICDKDLSSTWEQFTKRVLEDIAQVMQQYTHVSEKGTGIRPLFHAGALCTMVRDIRRRLIHVWRAKGSYQAVYPELDPWKKNRERNVLALESNFLMYLDVAKKDYTRKIAERSALRHFTDLLLVGHPVDRVLEFASTILNQTMVELDKIGARFKNYDEHWCTNKVDLIGGRVARLTHLNKHFIDEIELLNRTIQPGQAGFFCPLPGQKGDEWRAFHYQCDCVKRFLSTLRERTNYHPSNVWIIRILSILKPLKSSIDKPKMFALEQYPEKLISLFTLNSQAQRSGDQIQQALKMFPALYSSKALHLISEVHKVGKKVLQKSIGSLDMCRNRWFEIEELPGLNEGTEVTEQASLMEKIQRTWHIMSTELRQVLEKDKIVMEPKMNMAEAPIYLVTHGELKYRTDTLCALFKHLHSAFHNRISEPWGTNAFIQPAFVGGLLRLLAPIYPGRVTGFQVTAEFNEWTIPVPAQRIFYVLQNQTQVPMGLELKLGFCPDIFITLISETPDQAFHELIADHEQTWVADALGTLAARSLFLPKVDAEPEVSEESQAQPGELRPEPEEKPLEAQLEPESVAPANGQEQTAVAARTARGSWSAQSSGRGSLRQKKEAKSSM
+>sp|Q8TE73|DYH5_HUMAN Dynein heavy chain 5, axonemal OS=Homo sapiens OX=9606 GN=DNAH5 PE=1 SV=3
+MFRIGRRQLWKHSVTRVLTQRLKGEKEAKRALLDARHNYLFAIVASCLDLNKTEVEDAILEGNQIERIDQLFAVGGLRHLMFYYQDVEEAETGQLGSLGGVNLVSGKIKKPKVFVTEGNDVALTGVCVFFIRTDPSKAITPDNIHQEVSFNMLDAADGGLLNSVRRLLSDIFIPALRATSHGWGELEGLQDAANIRQEFLSSLEGFVNVLSGAQESLKEKVNLRKCDILELKTLKEPTDYLTLANNPETLGKIEDCMKVWIKQTEQVLAENNQLLKEADDVGPRAELEHWKKRLSKFNYLLEQLKSPDVKAVLAVLAAAKSKLLKTWREMDIRITDATNEAKDNVKYLYTLEKCCDPLYSSDPLSMMDAIPTLINAIKMIYSISHYYNTSEKITSLFVKVTNQIISACKAYITNNGTASIWNQPQDVVEEKILSAIKLKQEYQLCFHKTKQKLKQNPNAKQFDFSEMYIFGKFETFHRRLAKIIDIFTTLKTYSVLQDSTIEGLEDMATKYQGIVATIKKKEYNFLDQRKMDFDQDYEEFCKQTNDLHNELRKFMDVTFAKIQNTNQALRMLKKFERLNIPNLGIDDKYQLILENYGADIDMISKLYTKQKYDPPLARNQPPIAGKILWARQLFHRIQQPMQLFQQHPAVLSTAEAKPIIRSYNRMAKVLLEFEVLFHRAWLRQIEEIHVGLEASLLVKAPGTGELFVNFDPQILILFRETECMAQMGLEVSPLATSLFQKRDRYKRNFSNMKMMLAEYQRVKSKIPAAIEQLIVPHLAKVDEALQPGLAALTWTSLNIEAYLENTFAKIKDLELLLDRVNDLIEFRIDAILEEMSSTPLCQLPQEEPLTCEEFLQMTKDLCVNGAQILHFKSSLVEEAVNELVNMLLDVEVLSEEESEKISNENSVNYKNESSAKREEGNFDTLTSSINARANALLLTTVTRKKKETEMLGEEARELLSHFNHQNMDALLKVTRNTLEAIRKRIHSSHTINFRDSNSASNMKQNSLPIFRASVTLAIPNIVMAPALEDVQQTLNKAVECIISVPKGVRQWSSELLSKKKIQERKMAALQSNEDSDSDVEMGENELQDTLEIASVNLPIPVQTKNYYKNVSENKEIVKLVSVLSTIINSTKKEVITSMDCFKRYNHIWQKGKEEAIKTFITQSPLLSEFESQILYFQNLEQEINAEPEYVCVGSIALYTADLKFALTAETKAWMVVIGRHCNKKYRSEMENIFMLIEEFNKKLNRPIKDLDDIRIAMAALKEIREEQISIDFQVGPIEESYALLNRYGLLIAREEIDKVDTLHYAWEKLLARAGEVQNKLVSLQPSFKKELISAVEVFLQDCHQFYLDYDLNGPMASGLKPQEASDRLIMFQNQFDNIYRKYITYTGGEELFGLPATQYPQLLEIKKQLNLLQKIYTLYNSVIETVNSYYDILWSEVNIEKINNELLEFQNRCRKLPRALKDWQAFLDLKKIIDDFSECCPLLEYMASKAMMERHWERITTLTGHSLDVGNESFKLRNIMEAPLLKYKEEIEDICISAVKERDIEQKLKQVINEWDNKTFTFGSFKTRGELLLRGDSTSEIIANMEDSLMLLGSLLSNRYNMPFKAQIQKWVQYLSNSTDIIESWMTVQNLWIYLEAVFVGGDIAKQLPKEAKRFSNIDKSWVKIMTRAHEVPSVVQCCVGDETLGQLLPHLLDQLEICQKSLTGYLEKKRLCFPRFFFVSDPALLEILGQASDSHTIQAHLLNVFDNIKSVKFHEKIYDRILSISSQEGETIELDKPVMAEGNVEVWLNSLLEESQSSLHLVIRQAAANIQETGFQLTEFLSSFPAQVGLLGIQMIWTRDSEEALRNAKFDKKIMQKTNQAFLELLNTLIDVTTRDLSSTERVKYETLITIHVHQRDIFDDLCHMHIKSPMDFEWLKQCRFYFNEDSDKMMIHITDVAFIYQNEFLGCTDRLVITPLTDRCYITLAQALGMSMGGAPAGPAGTGKTETTKDMGRCLGKYVVVFNCSDQMDFRGLGRIFKGLAQSGSWGCFDEFNRIDLPVLSVAAQQISIILTCKKEHKKSFIFTDGDNVTMNPEFGLFLTMNPGYAGRQELPENLKINFRSVAMMVPDRQIIIRVKLASCGFIDNVVLARKFFTLYKLCEEQLSKQVHYDFGLRNILSVLRTLGAAKRANPMDTESTIVMRVLRDMNLSKLIDEDEPLFLSLIEDLFPNILLDKAGYPELEAAISRQVEEAGLINHPPWKLKVIQLFETQRVRHGMMTLGPSGAGKTTCIHTLMRAMTDCGKPHREMRMNPKAITAPQMFGRLDVATNDWTDGIFSTLWRKTLRAKKGEHIWIILDGPVDAIWIENLNSVLDDNKTLTLANGDRIPMAPNCKIIFEPHNIDNASPATVSRNGMVFMSSSILDWSPILEGFLKKRSPQEAEILRQLYTESFPDLYRFCIQNLEYKMEVLEAFVITQSINMLQGLIPLKEQGGEVSQAHLGRLFVFALLWSAGAALELDGRRRLELWLRSRPTGTLELPPPAGPGDTAFDYYVAPDGTWTHWNTRTQEYLYPSDTTPEYGSILVPNVDNVRTDFLIQTIAKQGKAVLLIGEQGTAKTVIIKGFMSKYDPECHMIKSLNFSSATTPLMFQRTIESYVDKRMGTTYGPPAGKKMTVFIDDVNMPIINEWGDQVTNEIVRQLMEQNGFYNLEKPGEFTSIVDIQFLAAMIHPGGGRNDIPQRLKRQFSIFNCTLPSEASVDKIFGVIGVGHYCTQRGFSEEVRDSVTKLVPLTRRLWQMTKIKMLPTPAKFHYVFNLRDLSRVWQGMLNTTSEVIKEPNDLLKLWKHECKRVIADRFTVSSDVTWFDKALVSLVEEEFGEEKKLLVDCGIDTYFVDFLRDAPEAAGETSEEADAETPKIYEPIESFSHLKERLNMFLQLYNESIRGAGMDMVFFADAMVHLVKISRVIRTPQGNALLVGVGGSGKQSLTRLASFIAGYVSFQITLTRSYNTSNLMEDLKVLYRTAGQQGKGITFIFTDNEIKDESFLEYMNNVLSSGEVSNLFARDEIDEINSDLASVMKKEFPRCLPTNENLHDYFMSRVRQNLHIVLCFSPVGEKFRNRALKFPALISGCTIDWFSRWPKDALVAVSEHFLTSYDIDCSLEIKKEVVQCMGSFQDGVAEKCVDYFQRFRRSTHVTPKSYLSFIQGYKFIYGEKHVEVRTLANRMNTGLEKLKEASESVAALSKELEAKEKELQVANDKADMVLKEVTMKAQAAEKVKAEVQKVKDRAQAIVDSISKDKAIAEEKLEAAKPALEEAEAALQTIRPSDIATVRTLGRPPHLIMRIMDCVLLLFQRKVSAVKIDLEKSCTMPSWQESLKLMTAGNFLQNLQQFPKDTINEEVIEFLSPYFEMPDYNIETAKRVCGNVAGLCSWTKAMASFFSINKEVLPLKANLVVQENRHLLAMQDLQKAQAELDDKQAELDVVQAEYEQAMTEKQTLLEDAERCRHKMQTASTLISGLAGEKERWTEQSQEFAAQTKRLVGDVLLATAFLSYSGPFNQEFRDLLLNDWRKEMKARKIPFGKNLNLSEMLIDAPTISEWNLQGLPNDDLSIQNGIIVTKASRYPLLIDPQTQGKIWIKNKESRNELQITSLNHKYFRNHLEDSLSLGRPLLIEDVGEELDPALDNVLERNFIKTGSTFKVKVGDKEVDVLDGFRLYITTKLPNPAYTPEISARTSIIDFTVTMKGLEDQLLGRVILTEKQELEKERTHLMEDVTANKRRMKELEDNLLYRLTSTQGSLVEDESLIVVLSNTKRTAEEVTQKLEISAETEVQINSAREEYRPVATRGSILYFLITEMRLVNEMYQTSLRQFLGLFDLSLARSVKSPITSKRIANIIEHMTYEVYKYAARGLYEEHKFLFTLLLTLKIDIQRNRVKHEEFLTLIKGGASLDLKACPPKPSKWILDITWLNLVELSKLRQFSDVLDQISRNEKMWKIWFDKENPEEEPLPNAYDKSLDCFRRLLLIRSWCPDRTIAQARKYIVDSMGEKYAEGVILDLEKTWEESDPRTPLICLLSMGSDPTDSIIALGKRLKIETRYVSMGQGQEVHARKLLQQTMANGGWALLQNCHLGLDFMDELMDIIIETELVHDAFRLWMTTEAHKQFPITLLQMSIKFANDPPQGLRAGLKRTYSGVSQDLLDVSSGSQWKPMLYAVAFLHSTVQERRKFGALGWNIPYEFNQADFNATVQFIQNHLDDMDVKKGVSWTTIRYMIGEIQYGGRVTDDYDKRLLNTFAKVWFSENMFGPDFSFYQGYNIPKCSTVDNYLQYIQSLPAYDSPEVFGLHPNADITYQSKLAKDVLDTILGIQPKDTSGGGDETREAVVARLADDMLEKLPPDYVPFEVKERLQKMGPFQPMNIFLRQEIDRMQRVLSLVRSTLTELKLAIDGTIIMSENLRDALDCMFDARIPAWWKKASWISSTLGFWFTELIERNSQFTSWVFNGRPHCFWMTGFFNPQGFLTAMRQEITRANKGWALDNMVLCNEVTKWMKDDISAPPTEGVYVYGLYLEGAGWDKRNMKLIESKPKVLFELMPVIRIYAENNTLRDPRFYSCPIYKKPVRTDLNYIAAVDLRTAQTPEHWVLRGVALLCDVK
+>DECOY_sp|Q8TE73|DYH5_HUMAN Dynein heavy chain 5, axonemal OS=Homo sapiens OX=9606 GN=DNAH5 PE=1 SV=3
+KVDCLLAVGRLVWHEPTQATRLDVAAIYNLDTRVPKKYIPCSYFRPDRLTNNEAYIRIVPMLEFLVKPKSEILKMNRKDWGAGELYLGYVYVGETPPASIDDKMWKTVENCLVMNDLAWGKNARTIEQRMATLFGQPNFFGTMWFCHPRGNFVWSTFQSNREILETFWFGLTSSIWSAKKWWAPIRADFMCDLADRLNESMIITGDIALKLETLTSRVLSLVRQMRDIEQRLFINMPQFPGMKQLREKVEFPVYDPPLKELMDDALRAVVAERTEDGGGSTDKPQIGLITDLVDKALKSQYTIDANPHLGFVEPSDYAPLSQIYQLYNDVTSCKPINYGQYFSFDPGFMNESFWVKAFTNLLRKDYDDTVRGGYQIEGIMYRITTWSVGKKVDMDDLHNQIFQVTANFDAQNFEYPINWGLAGFKRREQVTSHLFAVAYLMPKWQSGSSVDLLDQSVGSYTRKLGARLGQPPDNAFKISMQLLTIPFQKHAETTMWLRFADHVLETEIIIDMLEDMFDLGLHCNQLLAWGGNAMTQQLLKRAHVEQGQGMSVYRTEIKLRKGLAIISDTPDSGMSLLCILPTRPDSEEWTKELDLIVGEAYKEGMSDVIYKRAQAITRDPCWSRILLLRRFCDLSKDYANPLPEEEPNEKDFWIKWMKENRSIQDLVDSFQRLKSLEVLNLWTIDLIWKSPKPPCAKLDLSAGGKILTLFEEHKVRNRQIDIKLTLLLTFLFKHEEYLGRAAYKYVEYTMHEIINAIRKSTIPSKVSRALSLDFLGLFQRLSTQYMENVLRMETILFYLISGRTAVPRYEERASNIQVETEASIELKQTVEEATRKTNSLVVILSEDEVLSGQTSTLRYLLNDELEKMRRKNATVDEMLHTREKELEQKETLIVRGLLQDELGKMTVTFDIISTRASIEPTYAPNPLKTTIYLRFGDLVDVEKDGVKVKFTSGTKIFNRELVNDLAPDLEEGVDEILLPRGLSLSDELHNRFYKHNLSTIQLENRSEKNKIWIKGQTQPDILLPYRSAKTVIIGNQISLDDNPLGQLNWESITPADILMESLNLNKGFPIKRAKMEKRWDNLLLDRFEQNFPGSYSLFATALLVDGVLRKTQAAFEQSQETWREKEGALGSILTSATQMKHRCREADELLTQKETMAQEYEAQVVDLEAQKDDLEAQAKQLDQMALLHRNEQVVLNAKLPLVEKNISFFSAMAKTWSCLGAVNGCVRKATEINYDPMEFYPSLFEIVEENITDKPFQQLNQLFNGATMLKLSEQWSPMTCSKELDIKVASVKRQFLLLVCDMIRMILHPPRGLTRVTAIDSPRITQLAAEAEELAPKAAELKEEAIAKDKSISDVIAQARDKVKQVEAKVKEAAQAKMTVEKLVMDAKDNAVQLEKEKAELEKSLAAVSESAEKLKELGTNMRNALTRVEVHKEGYIFKYGQIFSLYSKPTVHTSRRFRQFYDVCKEAVGDQFSGMCQVVEKKIELSCDIDYSTLFHESVAVLADKPWRSFWDITCGSILAPFKLARNRFKEGVPSFCLVIHLNQRVRSMFYDHLNENTPLCRPFEKKMVSALDSNIEDIEDRAFLNSVEGSSLVNNMYELFSEDKIENDTFIFTIGKGQQGATRYLVKLDEMLNSTNYSRTLTIQFSVYGAIFSALRTLSQKGSGGVGVLLANGQPTRIVRSIKVLHVMADAFFVMDMGAGRISENYLQLFMNLREKLHSFSEIPEYIKPTEADAEESTEGAAEPADRLFDVFYTDIGCDVLLKKEEGFEEEVLSVLAKDFWTVDSSVTFRDAIVRKCEHKWLKLLDNPEKIVESTTNLMGQWVRSLDRLNFVYHFKAPTPLMKIKTMQWLRRTLPVLKTVSDRVEESFGRQTCYHGVGIVGFIKDVSAESPLTCNFISFQRKLRQPIDNRGGGPHIMAALFQIDVISTFEGPKELNYFGNQEMLQRVIENTVQDGWENIIPMNVDDIFVTMKKGAPPGYTTGMRKDVYSEITRQFMLPTTASSFNLSKIMHCEPDYKSMFGKIIVTKATGQEGILLVAKGQKAITQILFDTRVNDVNPVLISGYEPTTDSPYLYEQTRTNWHTWTGDPAVYYDFATDGPGAPPPLELTGTPRSRLWLELRRRGDLELAAGASWLLAFVFLRGLHAQSVEGGQEKLPILGQLMNISQTIVFAELVEMKYELNQICFRYLDPFSETYLQRLIEAEQPSRKKLFGELIPSWDLISSSMFVMGNRSVTAPSANDINHPEFIIKCNPAMPIRDGNALTLTKNDDLVSNLNEIWIADVPGDLIIWIHEGKKARLTKRWLTSFIGDTWDNTAVDLRGFMQPATIAKPNMRMERHPKGCDTMARMLTHICTTKGAGSPGLTMMGHRVRQTEFLQIVKLKWPPHNILGAEEVQRSIAAELEPYGAKDLLINPFLDEILSLFLPEDEDILKSLNMDRLVRMVITSETDMPNARKAAGLTRLVSLINRLGFDYHVQKSLQEECLKYLTFFKRALVVNDIFGCSALKVRIIIQRDPVMMAVSRFNIKLNEPLEQRGAYGPNMTLFLGFEPNMTVNDGDTFIFSKKHEKKCTLIISIQQAAVSLVPLDIRNFEDFCGWSGSQALGKFIRGLGRFDMQDSCNFVVVYKGLCRGMDKTTETKGTGAPGAPAGGMSMGLAQALTIYCRDTLPTIVLRDTCGLFENQYIFAVDTIHIMMKDSDENFYFRCQKLWEFDMPSKIHMHCLDDFIDRQHVHITILTEYKVRETSSLDRTTVDILTNLLELFAQNTKQMIKKDFKANRLAEESDRTWIMQIGLLGVQAPFSSLFETLQFGTEQINAAAQRIVLHLSSQSEELLSNLWVEVNGEAMVPKDLEITEGEQSSISLIRDYIKEHFKVSKINDFVNLLHAQITHSDSAQGLIELLAPDSVFFFRPFCLRKKELYGTLSKQCIELQDLLHPLLQGLTEDGVCCQVVSPVEHARTMIKVWSKDINSFRKAEKPLQKAIDGGVFVAELYIWLNQVTMWSEIIDTSNSLYQVWKQIQAKFPMNYRNSLLSGLLMLSDEMNAIIESTSDGRLLLEGRTKFSGFTFTKNDWENIVQKLKQEIDREKVASICIDEIEEKYKLLPAEMINRLKFSENGVDLSHGTLTTIREWHREMMAKSAMYELLPCCESFDDIIKKLDLFAQWDKLARPLKRCRNQFELLENNIKEINVESWLIDYYSNVTEIVSNYLTYIKQLLNLQKKIELLQPYQTAPLGFLEEGGTYTIYKRYINDFQNQFMILRDSAEQPKLGSAMPGNLDYDLYFQHCDQLFVEVASILEKKFSPQLSVLKNQVEGARALLKEWAYHLTDVKDIEERAILLGYRNLLAYSEEIPGVQFDISIQEERIEKLAAMAIRIDDLDKIPRNLKKNFEEILMFINEMESRYKKNCHRGIVVMWAKTEATLAFKLDATYLAISGVCVYEPEANIEQELNQFYLIQSEFESLLPSQTIFTKIAEEKGKQWIHNYRKFCDMSTIVEKKTSNIITSLVSVLKVIEKNESVNKYYNKTQVPIPLNVSAIELTDQLENEGMEVDSDSDENSQLAAMKREQIKKKSLLESSWQRVGKPVSIICEVAKNLTQQVDELAPAMVINPIALTVSARFIPLSNQKMNSASNSDRFNITHSSHIRKRIAELTNRTVKLLADMNQHNFHSLLERAEEGLMETEKKKRTVTTLLLANARANISSTLTDFNGEERKASSENKYNVSNENSIKESEEESLVEVDLLMNVLENVAEEVLSSKFHLIQAGNVCLDKTMQLFEECTLPEEQPLQCLPTSSMEELIADIRFEILDNVRDLLLELDKIKAFTNELYAEINLSTWTLAALGPQLAEDVKALHPVILQEIAAPIKSKVRQYEALMMKMNSFNRKYRDRKQFLSTALPSVELGMQAMCETERFLILIQPDFNVFLEGTGPAKVLLSAELGVHIEEIQRLWARHFLVEFELLVKAMRNYSRIIPKAEATSLVAPHQQFLQMPQQIRHFLQRAWLIKGAIPPQNRALPPDYKQKTYLKSIMDIDAGYNELILQYKDDIGLNPINLREFKKLMRLAQNTNQIKAFTVDMFKRLENHLDNTQKCFEEYDQDFDMKRQDLFNYEKKKITAVIGQYKTAMDELGEITSDQLVSYTKLTTFIDIIKALRRHFTEFKGFIYMESFDFQKANPNQKLKQKTKHFCLQYEQKLKIASLIKEEVVDQPQNWISATGNNTIYAKCASIIQNTVKVFLSTIKESTNYYHSISYIMKIANILTPIADMMSLPDSSYLPDCCKELTYLYKVNDKAENTADTIRIDMERWTKLLKSKAAALVALVAKVDPSKLQELLYNFKSLRKKWHELEARPGVDDAEKLLQNNEALVQETQKIWVKMCDEIKGLTEPNNALTLYDTPEKLTKLELIDCKRLNVKEKLSEQAGSLVNVFGELSSLFEQRINAADQLGELEGWGHSTARLAPIFIDSLLRRVSNLLGGDAADLMNFSVEQHINDPTIAKSPDTRIFFVCVGTLAVDNGETVFVKPKKIKGSVLNVGGLSGLQGTEAEEVDQYYFMLHRLGGVAFLQDIREIQNGELIADEVETKNLDLCSAVIAFLYNHRADLLARKAEKEGKLRQTLVRTVSHKWLQRRGIRFM
+>sp|Q8N1N2|DYNAP_HUMAN Dynactin-associated protein OS=Homo sapiens OX=9606 GN=DYNAP PE=1 SV=1
+MVADIKGNEQIEKYSWREACDTGSSRMDRKHGKYILNVEHSENQPPITHPNDQEAHSSICWCLPSNDITSDVSPNLTGVCVNPGILAHSRCLQSESCNTQVKEYCRNDWSMWKVFLACLLACVIMTAIGVLIICLVNNKGSANSSIVIQLSTNDGECVTVKPGTPSPACPPTMTTTSTVPASTATESTTSTATAATTSTEPITVAPTDHL
+>DECOY_sp|Q8N1N2|DYNAP_HUMAN Dynactin-associated protein OS=Homo sapiens OX=9606 GN=DYNAP PE=1 SV=1
+LHDTPAVTIPETSTTAATATSTTSETATSAPVTSTTTMTPPCAPSPTGPKVTVCEGDNTSLQIVISSNASGKNNVLCIILVGIATMIVCALLCALFVKWMSWDNRCYEKVQTNCSESQLCRSHALIGPNVCVGTLNPSVDSTIDNSPLCWCISSHAEQDNPHTIPPQNESHEVNLIYKGHKRDMRSSGTDCAERWSYKEIQENGKIDAVM
+>sp|Q86XF0|DYR2_HUMAN Dihydrofolate reductase 2, mitochondrial OS=Homo sapiens OX=9606 GN=DHFR2 PE=1 SV=1
+MFLLLNCIVAVSQNMGIGKNGDLPRPPLRNEFRYFQRMTTTSSVEGKQNLVIMGRKTWFSIPEKNRPLKDRINLVLSRELKEPPQGAHFLARSLDDALKLTERPELANKVDMIWIVGGSSVYKEAMNHLGHLKLFVTRIMQDFESDTFFSEIDLEKYKLLPEYPGVLSDVQEGKHIKYKFEVCEKDD
+>DECOY_sp|Q86XF0|DYR2_HUMAN Dihydrofolate reductase 2, mitochondrial OS=Homo sapiens OX=9606 GN=DHFR2 PE=1 SV=1
+DDKECVEFKYKIHKGEQVDSLVGPYEPLLKYKELDIESFFTDSEFDQMIRTVFLKLHGLHNMAEKYVSSGGVIWIMDVKNALEPRETLKLADDLSRALFHAGQPPEKLERSLVLNIRDKLPRNKEPISFWTKRGMIVLNQKGEVSSTTTMRQFYRFENRLPPRPLDGNKGIGMNQSVAVICNLLLFM
+>sp|A2CJ06|DYTN_HUMAN Dystrotelin OS=Homo sapiens OX=9606 GN=DYTN PE=2 SV=1
+MDPDKQDALNSIENSIYRTAFKLQSVQTLCQLDLIDSSLIQQVLLRPSFWEARKHSLSVQQLSQALQELFQKAREENPGQVHPRAPELTLSLLTTMYNSKGTGFLQLMPAAAALITLSGDSPLSKYRALFQLYAENSRGGYDSGPRMTRRVLRKLLTDLQQIPTFVGESRALCPVESATRSCFQGVLSPAIKEEKFLSWVQSEPPILLWLPTCHRLSAAERVTHPARCTLCRTFPITGLRYRCLKCLNFDICQMCFLSGLHSKSHQKSHPVIEHCIQMSAMQNTKLLFRTLRNNLLQGRCRKKEAARRQQLLDQVNPKGVPHHAQARLLKKQLNQYKDKLQAIYTSQEERICRFETRIHKLKTNQDSLWTKLQQIRRDLQARLQPPGPSSSSFQNVGNKVDHSSTEKVPKGGDYLQIKNATEDASTGEPLPKLDEVDRSHRSHTNAEHALRNPESPETTLHSTRAQSQTQKMPQKVISALPSYQEGLKQDIPKMVPAEMSSPALAAVEKKEAGNIKERKDELEEEELQELLSKLMDAFNLETPSGPESSVNMDLYSGAQRVCRAFSALVDQIALPNLK
+>DECOY_sp|A2CJ06|DYTN_HUMAN Dystrotelin OS=Homo sapiens OX=9606 GN=DYTN PE=2 SV=1
+KLNPLAIQDVLASFARCVRQAGSYLDMNVSSEPGSPTELNFADMLKSLLEQLEEEELEDKREKINGAEKKEVAALAPSSMEAPVMKPIDQKLGEQYSPLASIVKQPMKQTQSQARTSHLTTEPSEPNRLAHEANTHSRHSRDVEDLKPLPEGTSADETANKIQLYDGGKPVKETSSHDVKNGVNQFSSSSPGPPQLRAQLDRRIQQLKTWLSDQNTKLKHIRTEFRCIREEQSTYIAQLKDKYQNLQKKLLRAQAHHPVGKPNVQDLLQQRRAAEKKRCRGQLLNNRLTRFLLKTNQMASMQICHEIVPHSKQHSKSHLGSLFCMQCIDFNLCKLCRYRLGTIPFTRCLTCRAPHTVREAASLRHCTPLWLLIPPESQVWSLFKEEKIAPSLVGQFCSRTASEVPCLARSEGVFTPIQQLDTLLKRLVRRTMRPGSDYGGRSNEAYLQFLARYKSLPSDGSLTILAAAAPMLQLFGTGKSNYMTTLLSLTLEPARPHVQGPNEERAKQFLEQLAQSLQQVSLSHKRAEWFSPRLLVQQILSSDILDLQCLTQVSQLKFATRYISNEISNLADQKDPDM
+>sp|Q8IYY4|DZI1L_HUMAN Zinc finger protein DZIP1L OS=Homo sapiens OX=9606 GN=DZIP1L PE=1 SV=2
+MQSPAATAEGLSGPLFGAYTFPTFKFQPRHDSMDWRRISTLDVDRVARELDVATLQENIAGITFCNLDREVCSRCGQPVDPALLKVLRLAQLIIEYLLHCQDCLSASVAQLEARLQTSLGQQQRGQQELGRQADELKGVREESRRRRKMISTLQQLLMQTGTHSYHTCHLCDKTFMNATFLRGHIQRRHAGVAEGGKQKKQEQPVEEVLEELRAKLKWTQGELEAQREAERQRQLQEAELIHQREIEAKKEFDKWKEQEWTKLYGEIDKLKKLFWDEFKNVAKQNSTLEEKLRALQSHSVMESKLGSLRDEESEEWLRQARELQALREKTEIQKTEWKRKVKELHEEHMAEKKELQEENQRLQASLSQDQKKAAAQSQCQISTLRAQLQEQARIIASQEEMIQSLSLRKVEGIHKVPKAVDTEEDSPEEEMEDSQDEQHKVLAALRRNPTLLKHFRPILEDTLEEKLESMGIRKDAKGISIQTLRHLESLLRVQREQKARKFSEFLSLRGKLVKEVTSRAKERQENGAVVSQPDGQPSVKSQQSTLVTREAQPKTRTLQVALPSTPAEPPPPTRQSHGSHGSSLTQVSAPAPRPGLHGPSSTPPSSGPGMSTPPFSSEEDSEGDRVQRVSLQPPKVPSRMVPRPKDDWDWSDTETSEENAQPPGQGSGTLVQSMVKNLEKQLEAPAKKPAGGVSLFFMPNAGPQRAATPGRKPQLSEDESDLEISSLEDLPLDLDQREKPKPLSRSKLPEKFGTGPQSSGQPRVPAW
+>DECOY_sp|Q8IYY4|DZI1L_HUMAN Zinc finger protein DZIP1L OS=Homo sapiens OX=9606 GN=DZIP1L PE=1 SV=2
+WAPVRPQGSSQPGTGFKEPLKSRSLPKPKERQDLDLPLDELSSIELDSEDESLQPKRGPTAARQPGANPMFFLSVGGAPKKAPAELQKELNKVMSQVLTGSGQGPPQANEESTETDSWDWDDKPRPVMRSPVKPPQLSVRQVRDGESDEESSFPPTSMGPGSSPPTSSPGHLGPRPAPASVQTLSSGHSGHSQRTPPPPEAPTSPLAVQLTRTKPQAERTVLTSQQSKVSPQGDPQSVVAGNEQREKARSTVEKVLKGRLSLFESFKRAKQERQVRLLSELHRLTQISIGKADKRIGMSELKEELTDELIPRFHKLLTPNRRLAALVKHQEDQSDEMEEEPSDEETDVAKPVKHIGEVKRLSLSQIMEEQSAIIRAQEQLQARLTSIQCQSQAAAKKQDQSLSAQLRQNEEQLEKKEAMHEEHLEKVKRKWETKQIETKERLAQLERAQRLWEESEEDRLSGLKSEMVSHSQLARLKEELTSNQKAVNKFEDWFLKKLKDIEGYLKTWEQEKWKDFEKKAEIERQHILEAEQLQRQREAERQAELEGQTWKLKARLEELVEEVPQEQKKQKGGEAVGAHRRQIHGRLFTANMFTKDCLHCTHYSHTGTQMLLQQLTSIMKRRRRSEERVGKLEDAQRGLEQQGRQQQGLSTQLRAELQAVSASLCDQCHLLYEIILQALRLVKLLAPDVPQGCRSCVERDLNCFTIGAINEQLTAVDLERAVRDVDLTSIRRWDMSDHRPQFKFTPFTYAGFLPGSLGEATAAPSQM
+>sp|Q86Y13|DZIP3_HUMAN E3 ubiquitin-protein ligase DZIP3 OS=Homo sapiens OX=9606 GN=DZIP3 PE=1 SV=2
+MDSLPDEFFVRHPAVEDQRKEETENKLEKSSGQLNKQENDIPTDLVPVNLLLEVKKLLNAINTLPKGVVPHIKKFLQEDFSFQTMQREVAANSQNGEEIVPALTLRFLITQLEAALRNIQAGNYTAHQINIGYYLTLLFLYGVALTERGKKEDYTEAENKFLVMKMMIQENEICENFMSLVYFGRGLLRCAQKRYNGGLLEFHKSLQEIGDKNDHWFDIDPTEDEDLPTTFKDLLNNFIKTTESNIMKQTICSYLDCERSCEADILKNTSYKGFFQLMCSKSCCVYFHKICWKKFKNLKYPGENDQSFSGKKCLKEGCTGDMVRMLQCDVPGIVKILFEVVRKDEYITIENLGASYRKLISLKITDTDIRPKISLKFNTKDEMPIFKLDYNYFYHLLHIIIISGTDIVRQIFDEAMPPPLLKKELLIHKNVLESYYNHLWTNHPLGGSWHLLYPPNKELPQSKQFDLCLLLALIKHLNVFPAPKKGWNMEPPSSDISKSADILRLCKYRDILLSEILMNGLTESQFNSIWKKVSDILLRLGMMQEDIDKVKENPIENISLDYHQLSVYLGIPVPEIIQRMLSCYQQGIALQSITGSQRIEIEELQNEEEELSPPLMEYNINVKSHPEIQFAEINKDGTSIPSESSTESLKDLQEVKSKQRKKKKTKNKKNKDSKEDQVPYVVEKEEQLRKEQANPHSVSRLIKDDASDVQEDSAMEDKFYSLDELHILDMIEQGSAGKVTTDYGETEKERLARQRQLYKLHYQCEDFKRQLRTVTFRWQENQMQIKKKDKIIASLNQQVAFGINKVSKLQRQIHAKDNEIKNLKEQLSMKRSQWEMEKHNLESTMKTYVSKLNAETSRALTAEVYFLQCRRDFGLLHLEQTEKECLNQLARVTHMAASNLESLQLKAAVDSWNAIVADVRNKIAFLRTQYNEQINKVKQGFALSTLPPVQLPPPPPSPEILMQQFLGRPLVKESFFRPILTVPQMPAVCPGVVSATGQPRAPLMTGIAWALPAPVGDAVPPSAGLRSDPSIMNWERITDRLKTAFPQQTRKELTDFLRKLKDAYGKSLSELTFDEIVCKISQFIDPKKSQSQGKSVSNVNCVSPSHSPSQPDAAQPPKPAWRPLTSQGPATWEGASNPDEEEEEEEPCVICHENLSPENLSVLPCAHKFHAQCIRPWLMQQGTCPTCRLHVLLPEEFPGHPSRQLPKI
+>DECOY_sp|Q86Y13|DZIP3_HUMAN E3 ubiquitin-protein ligase DZIP3 OS=Homo sapiens OX=9606 GN=DZIP3 PE=1 SV=2
+IKPLQRSPHGPFEEPLLVHLRCTPCTGQQMLWPRICQAHFKHACPLVSLNEPSLNEHCIVCPEEEEEEEDPNSAGEWTAPGQSTLPRWAPKPPQAADPQSPSHSPSVCNVNSVSKGQSQSKKPDIFQSIKCVIEDFTLESLSKGYADKLKRLFDTLEKRTQQPFATKLRDTIREWNMISPDSRLGASPPVADGVPAPLAWAIGTMLPARPQGTASVVGPCVAPMQPVTLIPRFFSEKVLPRGLFQQMLIEPSPPPPPLQVPPLTSLAFGQKVKNIQENYQTRLFAIKNRVDAVIANWSDVAAKLQLSELNSAAMHTVRALQNLCEKETQELHLLGFDRRCQLFYVEATLARSTEANLKSVYTKMTSELNHKEMEWQSRKMSLQEKLNKIENDKAHIQRQLKSVKNIGFAVQQNLSAIIKDKKKIQMQNEQWRFTVTRLQRKFDECQYHLKYLQRQRALREKETEGYDTTVKGASGQEIMDLIHLEDLSYFKDEMASDEQVDSADDKILRSVSHPNAQEKRLQEEKEVVYPVQDEKSDKNKKNKTKKKKRQKSKVEQLDKLSETSSESPISTGDKNIEAFQIEPHSKVNINYEMLPPSLEEEENQLEEIEIRQSGTISQLAIGQQYCSLMRQIIEPVPIGLYVSLQHYDLSINEIPNEKVKDIDEQMMGLRLLIDSVKKWISNFQSETLGNMLIESLLIDRYKCLRLIDASKSIDSSPPEMNWGKKPAPFVNLHKILALLLCLDFQKSQPLEKNPPYLLHWSGGLPHNTWLHNYYSELVNKHILLEKKLLPPPMAEDFIQRVIDTGSIIIIHLLHYFYNYDLKFIPMEDKTNFKLSIKPRIDTDTIKLSILKRYSAGLNEITIYEDKRVVEFLIKVIGPVDCQLMRVMDGTCGEKLCKKGSFSQDNEGPYKLNKFKKWCIKHFYVCCSKSCMLQFFGKYSTNKLIDAECSRECDLYSCITQKMINSETTKIFNNLLDKFTTPLDEDETPDIDFWHDNKDGIEQLSKHFELLGGNYRKQACRLLGRGFYVLSMFNECIENEQIMMKMVLFKNEAETYDEKKGRETLAVGYLFLLTLYYGINIQHATYNGAQINRLAAELQTILFRLTLAPVIEEGNQSNAAVERQMTQFSFDEQLFKKIHPVVGKPLTNIANLLKKVELLLNVPVLDTPIDNEQKNLQGSSKELKNETEEKRQDEVAPHRVFFEDPLSDM
+>sp|Q66K89|E4F1_HUMAN Transcription factor E4F1 OS=Homo sapiens OX=9606 GN=E4F1 PE=1 SV=2
+MEGAMAVRVTAAHTAEAQAEAGREAGEGAVAAVAAALAPSGFLGLPAPFSEEDEDDVHRCGRCQAEFTALEDFVQHKIQKACQRAPPEALPATPATTALLGQEVVPAAPGPEEPITVAHIVVEAASLAADISHASDLVGGGHIKEVIVAAEAELGDGEMAEAPGSPRQQGLGLAGEGEQAQVKLLVNKDGRYVCALCHKTFKTGSILKAHMVTHSSRKDHECKLCGASFRTKGSLIRHHRRHTDERPYKCSKCGKSFRESGALTRHLKSLTPCTEKIRFSVSKDVVVSKEDARAGSGAGAAGLGTATSSVTGEPIETSPVIHLVTDAKGTVIHEVHVQMQELSLGMKALAPEPPVSQELPCSSEGSRENLLHQAMQNSGIVLERAAGEEGALEPAPAAGSSPQPLAVAAPQLPVLEVQPLETQVASEASAVPRTHPCPQCSETFPTAATLEAHKRGHTGPRPFACAQCGKAFPKAYLLKKHQEVHVRERRFRCGDCGKLYKTIAHVRGHRRVHSDERPYPCPKCGKRYKTKNAQQVHFRTHLEEKPHVCQFCSRGFREKGSLVRHVRHHTGEKPFKCYKCGRGFAEHGTLNRHLRTKGGCLLEVEELLVSEDSPAAATTVLTEDPHTVLVEFSSVVADTQEYIIEATADDAETSEATEIIEGTQTEVDSHIMKVVQQIVHQASAGHQIIVQNVTMDEETALGPEAAAADTITIATPESLTEQVAMTLASAISEGTVLAARAGTSGTEQATVTMVSSEDIEILEHAGELVIASPEGQLEVQTVIV
+>DECOY_sp|Q66K89|E4F1_HUMAN Transcription factor E4F1 OS=Homo sapiens OX=9606 GN=E4F1 PE=1 SV=2
+VIVTQVELQGEPSAIVLEGAHELIEIDESSVMTVTAQETGSTGARAALVTGESIASALTMAVQETLSEPTAITITDAAAAEPGLATEEDMTVNQVIIQHGASAQHVIQQVVKMIHSDVETQTGEIIETAESTEADDATAEIIYEQTDAVVSSFEVLVTHPDETLVTTAAAPSDESVLLEEVELLCGGKTRLHRNLTGHEAFGRGCKYCKFPKEGTHHRVHRVLSGKERFGRSCFQCVHPKEELHTRFHVQQANKTKYRKGCKPCPYPREDSHVRRHGRVHAITKYLKGCDGCRFRRERVHVEQHKKLLYAKPFAKGCQACAFPRPGTHGRKHAELTAATPFTESCQPCPHTRPVASAESAVQTELPQVELVPLQPAAVALPQPSSGAAPAPELAGEEGAARELVIGSNQMAQHLLNERSGESSCPLEQSVPPEPALAKMGLSLEQMQVHVEHIVTGKADTVLHIVPSTEIPEGTVSSTATGLGAAGAGSGARADEKSVVVDKSVSFRIKETCPTLSKLHRTLAGSERFSKGCKSCKYPREDTHRRHHRILSGKTRFSAGCLKCEHDKRSSHTVMHAKLISGTKFTKHCLACVYRGDKNVLLKVQAQEGEGALGLGQQRPSGPAEAMEGDGLEAEAAVIVEKIHGGGVLDSAHSIDAALSAAEVVIHAVTIPEEPGPAAPVVEQGLLATTAPTAPLAEPPARQCAKQIKHQVFDELATFEAQCRGCRHVDDEDEESFPAPLGLFGSPALAAAVAAVAGEGAERGAEAQAEATHAATVRVAMAGEM
+>sp|Q9H8V3|ECT2_HUMAN Protein ECT2 OS=Homo sapiens OX=9606 GN=ECT2 PE=1 SV=4
+MAENSVLTSTTGRTSLADSSIFDSKVTEISKENLLIGSTSYVEEEMPQIETRVILVQEAGKQEELIKALKTIKIMEVPVIKIKESCPGKSDEKLIKSVINMDIKVGFVKMESVEEFEGLDSPEFENVFVVTDFQDSVFNDLYKADCRVIGPPVVLNCSQKGEPLPFSCRPLYCTSMMNLVLCFTGFRKKEELVRLVTLVHHMGGVIRKDFNSKVTHLVANCTQGEKFRVAVSLGTPIMKPEWIYKAWERRNEQDFYAAVDDFRNEFKVPPFQDCILSFLGFSDEEKTNMEEMTEMQGGKYLPLGDERCTHLVVEENIVKDLPFEPSKKLYVVKQEWFWGSIQMDARAGETMYLYEKANTPELKKSVSMLSLNTPNSNRKRRRLKETLAQLSRETDVSPFPPRKRPSAEHSLSIGSLLDISNTPESSINYGDTPKSCTKSSKSSTPVPSKQSARWQVAKELYQTESNYVNILATIIQLFQVPLEEEGQRGGPILAPEEIKTIFGSIPDIFDVHTKIKDDLEDLIVNWDESKSIGDIFLKYSKDLVKTYPPFVNFFEMSKETIIKCEKQKPRFHAFLKINQAKPECGRQSLVELLIRPVQRLPSVALLLNDLKKHTADENPDKSTLEKAIGSLKEVMTHINEDKRKTEAQKQIFDVVYEVDGCPANLLSSHRSLVQRVETISLGEHPCDRGEQVTLFLFNDCLEIARKRHKVIGTFRSPHGQTRPPASLKHIHLMPLSQIKKVLDIRETEDCHNAFALLVRPPTEQANVLLSFQMTSDELPKENWLKMLCRHVANTICKADAENLIYTADPESFEVNTKDMDSTLSRASRAIKKTSKKVTRAFSFSKTPKRALRRALMTSHGSVEGRSPSSNDKHVMSRLSSTSSLAGIPSPSLVSLPSFFERRSHTLSRSTTHLI
+>DECOY_sp|Q9H8V3|ECT2_HUMAN Protein ECT2 OS=Homo sapiens OX=9606 GN=ECT2 PE=1 SV=4
+ILHTTSRSLTHSRREFFSPLSVLSPSPIGALSSTSSLRSMVHKDNSSPSRGEVSGHSTMLARRLARKPTKSFSFARTVKKSTKKIARSARSLTSDMDKTNVEFSEPDATYILNEADAKCITNAVHRCLMKLWNEKPLEDSTMQFSLLVNAQETPPRVLLAFANHCDETERIDLVKKIQSLPMLHIHKLSAPPRTQGHPSRFTGIVKHRKRAIELCDNFLFLTVQEGRDCPHEGLSITEVRQVLSRHSSLLNAPCGDVEYVVDFIQKQAETKRKDENIHTMVEKLSGIAKELTSKDPNEDATHKKLDNLLLAVSPLRQVPRILLEVLSQRGCEPKAQNIKLFAHFRPKQKECKIITEKSMEFFNVFPPYTKVLDKSYKLFIDGISKSEDWNVILDELDDKIKTHVDFIDPISGFITKIEEPALIPGGRQGEEELPVQFLQIITALINVYNSETQYLEKAVQWRASQKSPVPTSSKSSKTCSKPTDGYNISSEPTNSIDLLSGISLSHEASPRKRPPFPSVDTERSLQALTEKLRRRKRNSNPTNLSLMSVSKKLEPTNAKEYLYMTEGARADMQISGWFWEQKVVYLKKSPEFPLDKVINEEVVLHTCREDGLPLYKGGQMETMEEMNTKEEDSFGLFSLICDQFPPVKFENRFDDVAAYFDQENRREWAKYIWEPKMIPTGLSVAVRFKEGQTCNAVLHTVKSNFDKRIVGGMHHVLTVLRVLEEKKRFGTFCLVLNMMSTCYLPRCSFPLPEGKQSCNLVVPPGIVRCDAKYLDNFVSDQFDTVVFVNEFEPSDLGEFEEVSEMKVFGVKIDMNIVSKILKEDSKGPCSEKIKIVPVEMIKITKLAKILEEQKGAEQVLIVRTEIQPMEEEVYSTSGILLNEKSIETVKSDFISSDALSTRGTTSTLVSNEAM
+>sp|O60869|EDF1_HUMAN Endothelial differentiation-related factor 1 OS=Homo sapiens OX=9606 GN=EDF1 PE=1 SV=1
+MAESDWDTVTVLRKKGPTAAQAKSKQAILAAQRRGEDVETSKKWAAGQNKQHSITKNTAKLDRETEELHHDRVTLEVGKVIQQGRQSKGLTQKDLATKINEKPQVIADYESGRAIPNNQVLGKIERAIGLKLRGKDIGKPIEKGPRAK
+>DECOY_sp|O60869|EDF1_HUMAN Endothelial differentiation-related factor 1 OS=Homo sapiens OX=9606 GN=EDF1 PE=1 SV=1
+KARPGKEIPKGIDKGRLKLGIAREIKGLVQNNPIARGSEYDAIVQPKENIKTALDKQTLGKSQRGQQIVKGVELTVRDHHLEETERDLKATNKTISHQKNQGAAWKKSTEVDEGRRQAALIAQKSKAQAATPGKKRLVTVTDWDSEAM
+>sp|P20800|EDN2_HUMAN Endothelin-2 OS=Homo sapiens OX=9606 GN=EDN2 PE=1 SV=2
+MVSVPTTWCSVALALLVALHEGKGQAAATLEQPASSSHAQGTHLRLRRCSCSSWLDKECVYFCHLDIIWVNTPEQTAPYGLGNPPRRRRRSLPRRCQCSSARDPACATFCLRRPWTEAGAVPSRKSPADVFQTGKTGATTGELLQRLRDISTVKSLFAKRQQEAMREPRSTHSRWRKR
+>DECOY_sp|P20800|EDN2_HUMAN Endothelin-2 OS=Homo sapiens OX=9606 GN=EDN2 PE=1 SV=2
+RKRWRSHTSRPERMAEQQRKAFLSKVTSIDRLRQLLEGTTAGTKGTQFVDAPSKRSPVAGAETWPRRLCFTACAPDRASSCQCRRPLSRRRRRPPNGLGYPATQEPTNVWIIDLHCFYVCEKDLWSSCSCRRLRLHTGQAHSSSAPQELTAAAQGKGEHLAVLLALAVSCWTTPVSVM
+>sp|Q5JVL4|EFHC1_HUMAN EF-hand domain-containing protein 1 OS=Homo sapiens OX=9606 GN=EFHC1 PE=1 SV=1
+MVSNPVHGLPFLPGTSFKDSTKTAFHRSQTLSYRNGYAIVRRPTVGIGGDRLQFNQLSQAELDELASKAPVLTYGQPKQAPPADFIPAHVAFDKKVLKFDAYFQEDVPMSTEEQYRIRQVNIYYYLEDDSMSVIEPVVENSGILQGKLIKRQRLAKNDRGDHYHWKDLNRGINITIYGKTFRVVDCDQFTQVFLESQGIELNPPEKMALDPYTELRKQPLRKYVTPSDFDQLKQFLTFDKQVLRFYAIWDDTDSMYGECRTYIIHYYLMDDTVEIREVHERNDGRDPFPLLMNRQRVPKVLVENAKNFPQCVLEISDQEVLEWYTAKDFIVGKSLTILGRTFFIYDCDPFTRRYYKEKFGITDLPRIDVSKREPPPVKQELPPYNGFGLVEDSAQNCFALIPKAPKKDVIKMLVNDNKVLRYLAVLESPIPEDKDRRFVFSYFLATDMISIFEPPVRNSGIIGGKYLGRTKVVKPYSTVDNPVYYGPSDFFIGAVIEVFGHRFIILDTDEYVLKYMESNAAQYSPEALASIQNHVRKREAPAPEAESKQTEKDPGVQELEALIDTIQKQLKDHSCKDNIREAFQIYDKEASGYVDRDMFFKICESLNVPVDDSLVKELIRMCSHGEGKINYYNFVRAFSN
+>DECOY_sp|Q5JVL4|EFHC1_HUMAN EF-hand domain-containing protein 1 OS=Homo sapiens OX=9606 GN=EFHC1 PE=1 SV=1
+NSFARVFNYYNIKGEGHSCMRILEKVLSDDVPVNLSECIKFFMDRDVYGSAEKDYIQFAERINDKCSHDKLQKQITDILAELEQVGPDKETQKSEAEPAPAERKRVHNQISALAEPSYQAANSEMYKLVYEDTDLIIFRHGFVEIVAGIFFDSPGYYVPNDVTSYPKVVKTRGLYKGGIIGSNRVPPEFISIMDTALFYSFVFRRDKDEPIPSELVALYRLVKNDNVLMKIVDKKPAKPILAFCNQASDEVLGFGNYPPLEQKVPPPERKSVDIRPLDTIGFKEKYYRRTFPDCDYIFFTRGLITLSKGVIFDKATYWELVEQDSIELVCQPFNKANEVLVKPVRQRNMLLPFPDRGDNREHVERIEVTDDMLYYHIIYTRCEGYMSDTDDWIAYFRLVQKDFTLFQKLQDFDSPTVYKRLPQKRLETYPDLAMKEPPNLEIGQSELFVQTFQDCDVVRFTKGYITINIGRNLDKWHYHDGRDNKALRQRKILKGQLIGSNEVVPEIVSMSDDELYYYINVQRIRYQEETSMPVDEQFYADFKLVKKDFAVHAPIFDAPPAQKPQGYTLVPAKSALEDLEAQSLQNFQLRDGGIGVTPRRVIAYGNRYSLTQSRHFATKTSDKFSTGPLFPLGHVPNSVM
+>sp|Q96AZ1|EFMT3_HUMAN EEF1A lysine methyltransferase 3 OS=Homo sapiens OX=9606 GN=EEF1AKMT3 PE=1 SV=1
+MADPGPDPESESESVFPREVGLFADSYSEKSQFCFCGHVLTITQNFGSRLGVAARVWDAALSLCNYFESQNVDFRGKKVIELGAGTGIVGILAALQGGDVTITDLPLALEQIQGNVQANVPAGGQAQVRALSWGIDHHVFPANYDLVLGADIVYLEPTFPLLLGTLQHLCRPHGTIYLASKMRKEHGTESFFQHLLPQHFQLELAQRDEDENVNIYRARHREPRPA
+>DECOY_sp|Q96AZ1|EFMT3_HUMAN EEF1A lysine methyltransferase 3 OS=Homo sapiens OX=9606 GN=EEF1AKMT3 PE=1 SV=1
+APRPERHRARYINVNEDEDRQALELQFHQPLLHQFFSETGHEKRMKSALYITGHPRCLHQLTGLLLPFTPELYVIDAGLVLDYNAPFVHHDIGWSLARVQAQGGAPVNAQVNGQIQELALPLDTITVDGGQLAALIGVIGTGAGLEIVKKGRFDVNQSEFYNCLSLAADWVRAAVGLRSGFNQTITLVHGCFCFQSKESYSDAFLGVERPFVSESESEPDPGPDAM
+>sp|P0DPD7|EFMT4_HUMAN EEF1A lysine methyltransferase 4 OS=Homo sapiens OX=9606 GN=EEF1AKMT4 PE=1 SV=1
+MASPGAGRAPPELPERNCGYREVEYWDQRYQGAADSAPYDWFGDFSSFRALLEPELRPEDRILVLGCGNSALSYELFLGGFPNVTSVDYSSVVVAAMQARHAHVPQLRWETMDVRKLDFPSASFDVVLEKGTLDALLAGERDPWTVSSEGVHTVDQVLSEVSRVLVPGGRFISMTSAAPHFRTRHYAQAYYGWSLRHATYGSGFHFHLYLMHKGGKLSVAQLALGAQILSPPRPPTSPCFLQDSDHEDFLSAIQL
+>DECOY_sp|P0DPD7|EFMT4_HUMAN EEF1A lysine methyltransferase 4 OS=Homo sapiens OX=9606 GN=EEF1AKMT4 PE=1 SV=1
+LQIASLFDEHDSDQLFCPSTPPRPPSLIQAGLALQAVSLKGGKHMLYLHFHFGSGYTAHRLSWGYYAQAYHRTRFHPAASTMSIFRGGPVLVRSVESLVQDVTHVGESSVTWPDREGALLADLTGKELVVDFSASPFDLKRVDMTEWRLQPVHAHRAQMAAVVVSSYDVSTVNPFGGLFLEYSLASNGCGLVLIRDEPRLEPELLARFSSFDGFWDYPASDAAGQYRQDWYEVERYGCNREPLEPPARGAGPSAM
+>sp|P20827|EFNA1_HUMAN Ephrin-A1 OS=Homo sapiens OX=9606 GN=EFNA1 PE=1 SV=2
+MEFLWAPLLGLCCSLAAADRHTVFWNSSNPKFRNEDYTIHVQLNDYVDIICPHYEDHSVADAAMEQYILYLVEHEEYQLCQPQSKDQVRWQCNRPSAKHGPEKLSEKFQRFTPFTLGKEFKEGHSYYYISKPIHQHEDRCLRLKVTVSGKITHSPQAHDNPQEKRLAADDPEVRVLHSIGHSAAPRLFPLAWTVLLLPLLLLQTP
+>DECOY_sp|P20827|EFNA1_HUMAN Ephrin-A1 OS=Homo sapiens OX=9606 GN=EFNA1 PE=1 SV=2
+PTQLLLLPLLLVTWALPFLRPAASHGISHLVRVEPDDAALRKEQPNDHAQPSHTIKGSVTVKLRLCRDEHQHIPKSIYYYSHGEKFEKGLTFPTFRQFKESLKEPGHKASPRNCQWRVQDKSQPQCLQYEEHEVLYLIYQEMAADAVSHDEYHPCIIDVYDNLQVHITYDENRFKPNSSNWFVTHRDAAALSCCLGLLPAWLFEM
+>sp|Q14209|E2F2_HUMAN Transcription factor E2F2 OS=Homo sapiens OX=9606 GN=E2F2 PE=1 SV=1
+MLQGPRALASAAGQTPKVVPAMSPTELWPSGLSSPQLCPATATYYTPLYPQTAPPAAAPGTCLDATPHGPEGQVVRCLPAGRLPAKRKLDLEGIGRPVVPEFPTPKGKCIRVDGLPSPKTPKSPGEKTRYDTSLGLLTKKFIYLLSESEDGVLDLNWAAEVLDVQKRRIYDITNVLEGIQLIRKKAKNNIQWVGRGMFEDPTRPGKQQQLGQELKELMNTEQALDQLIQSCSLSFKHLTEDKANKRLAYVTYQDIRAVGNFKEQTVIAVKAPPQTRLEVPDRTEDNLQIYLKSTQGPIEVYLCPEEVQEPDSPSEEPLPSTSTLCPSPDSAQPSSSTDPSIMEPTASSVPAPAPTPQQAPPPPSLVPLEATDSLLELPHPLLQQTEDQFLSPTLACSSPLISFSPSLDQDDYLWGLEAGEGISDLFDSYDLGDLLIN
+>DECOY_sp|Q14209|E2F2_HUMAN Transcription factor E2F2 OS=Homo sapiens OX=9606 GN=E2F2 PE=1 SV=1
+NILLDGLDYSDFLDSIGEGAELGWLYDDQDLSPSFSILPSSCALTPSLFQDETQQLLPHPLELLSDTAELPVLSPPPPAQQPTPAPAPVSSATPEMISPDTSSSPQASDPSPCLTSTSPLPEESPSDPEQVEEPCLYVEIPGQTSKLYIQLNDETRDPVELRTQPPAKVAIVTQEKFNGVARIDQYTVYALRKNAKDETLHKFSLSCSQILQDLAQETNMLEKLEQGLQQQKGPRTPDEFMGRGVWQINNKAKKRILQIGELVNTIDYIRRKQVDLVEAAWNLDLVGDESESLLYIFKKTLLGLSTDYRTKEGPSKPTKPSPLGDVRICKGKPTPFEPVVPRGIGELDLKRKAPLRGAPLCRVVQGEPGHPTADLCTGPAAAPPATQPYLPTYYTATAPCLQPSSLGSPWLETPSMAPVVKPTQGAASALARPGQLM
+>sp|Q6ZTU2|E400N_HUMAN Putative EP400-like protein OS=Homo sapiens OX=9606 GN=EP400P1 PE=5 SV=2
+MQHVSSSQSSQRHVQWPGACPGAGEEQPACSQPSLPLTLPSPSHQLQQLMVRGGPAGGQNMNVDLQGVGPGLQGSPQVTLAPLPLPSPTSPGFQFSAQPRRFEHGSPSYIQVTSPLSQQVQTQSPTQPSPGPGQALQNVRAGAPGPGLGLCSSSPTGDFVDASVLVRQISLSPSSGGHFVFQDGSGLTQIAQGAQVQLQHPGTPITVRERRPSQPHTQSGGTIHHLGPQSPAAAGGAGLQPLASPSHITTANLPPQISSIIQGQLVQQQQVLQGPPLPRPLGFERTPGVLLPGAGGAAGFGMTSPPPPTSPSRTAVPPGLSSLPLTSVGNTGMKKVPKKLEEIPPASPEMAQMRKQCLDYHHQEMQALKEVFKEYLIELFFLQHFQGNMMDFLAFKERLYGPLQAYLRQNDLDIEEEEEEHFEVINDEVKVVARKHGQPGTPVAIATQLPPRTSAAFPAQQQPLQQIHMGTPVPGDVNSIKMEASKRQ
+>DECOY_sp|Q6ZTU2|E400N_HUMAN Putative EP400-like protein OS=Homo sapiens OX=9606 GN=EP400P1 PE=5 SV=2
+QRKSAEMKISNVDGPVPTGMHIQQLPQQQAPFAASTRPPLQTAIAVPTGPQGHKRAVVKVEDNIVEFHEEEEEEIDLDNQRLYAQLPGYLREKFALFDMMNGQFHQLFFLEILYEKFVEKLAQMEQHHYDLCQKRMQAMEPSAPPIEELKKPVKKMGTNGVSTLPLSSLGPPVATRSPSTPPPPSTMGFGAAGGAGPLLVGPTREFGLPRPLPPGQLVQQQQVLQGQIISSIQPPLNATTIHSPSALPQLGAGGAAAPSQPGLHHITGGSQTHPQSPRRERVTIPTGPHQLQVQAGQAIQTLGSGDQFVFHGGSSPSLSIQRVLVSADVFDGTPSSSCLGLGPGPAGARVNQLAQGPGPSPQTPSQTQVQQSLPSTVQIYSPSGHEFRRPQASFQFGPSTPSPLPLPALTVQPSGQLGPGVGQLDVNMNQGGAPGGRVMLQQLQHSPSPLTLPLSPQSCAPQEEGAGPCAGPWQVHRQSSQSSSVHQM
+>sp|Q9HCM4|E41L5_HUMAN Band 4.1-like protein 5 OS=Homo sapiens OX=9606 GN=EPB41L5 PE=1 SV=3
+MLSFFRRTLGRRSMRKHAEKERLREAQRAATHIPAAGDSKSIITCRVSLLDGTDVSVDLPKKAKGQELFDQIMYHLDLIESDYFGLRFMDSAQVAHWLDGTKSIKKQVKIGSPYCLHLRVKFYSSEPNNLREELTRYLFVLQLKQDILSGKLDCPFDTAVQLAAYNLQAELGDYDLAEHSPELVSEFRFVPIQTEEMELAIFEKWKEYRGQTPAQAETNYLNKAKWLEMYGVDMHVVKARDGNDYSLGLTPTGVLVFEGDTKIGLFFWPKITRLDFKKNKLTLVVVEDDDQGKEQEHTFVFRLDHPKACKHLWKCAVEHHAFFRLRGPVQKSSHRSGFIRLGSRFRYSGKTEYQTTKTNKARRSTSFERRPSKRYSRRTLQMKACATKPEELSVHNNVSTQSNGSQQAWGMRSALPVSPSISSAPVPVEIENLPQSPGTDQHDRKCIPLNIDLLNSPDLLEATIGDVIGASDTMETSQALNDVNVATRLPGLGEPEVEYETLKDTSEKLKQLEMENSPLLSPRSNIDVNINSQEEVVKLTEKCLNNVIESPGLNVMRVPPDFKSNILKAQVEAVHKVTKEDSLLSHKNANVQDAATNSAVLNENNVPLPKESLETLMLITPADSGSVLKEATDELDALLASLTENLIDHTVAPQVSSTSMITPRWIVPQSGAMSNGLAGCEMLLTGKEGHGNKDGISLISPPAPFLVDAVTSSGPILAEEAVLKQKCLLTTEL
+>DECOY_sp|Q9HCM4|E41L5_HUMAN Band 4.1-like protein 5 OS=Homo sapiens OX=9606 GN=EPB41L5 PE=1 SV=3
+LETTLLCKQKLVAEEALIPGSSTVADVLFPAPPSILSIGDKNGHGEKGTLLMECGALGNSMAGSQPVIWRPTIMSTSSVQPAVTHDILNETLSALLADLEDTAEKLVSGSDAPTILMLTELSEKPLPVNNENLVASNTAADQVNANKHSLLSDEKTVKHVAEVQAKLINSKFDPPVRMVNLGPSEIVNNLCKETLKVVEEQSNINVDINSRPSLLPSNEMELQKLKESTDKLTEYEVEPEGLGPLRTAVNVDNLAQSTEMTDSAGIVDGITAELLDPSNLLDINLPICKRDHQDTGPSQPLNEIEVPVPASSISPSVPLASRMGWAQQSGNSQTSVNNHVSLEEPKTACAKMQLTRRSYRKSPRREFSTSRRAKNTKTTQYETKGSYRFRSGLRIFGSRHSSKQVPGRLRFFAHHEVACKWLHKCAKPHDLRFVFTHEQEKGQDDDEVVVLTLKNKKFDLRTIKPWFFLGIKTDGEFVLVGTPTLGLSYDNGDRAKVVHMDVGYMELWKAKNLYNTEAQAPTQGRYEKWKEFIALEMEETQIPVFRFESVLEPSHEALDYDGLEAQLNYAALQVATDFPCDLKGSLIDQKLQLVFLYRTLEERLNNPESSYFKVRLHLCYPSGIKVQKKISKTGDLWHAVQASDMFRLGFYDSEILDLHYMIQDFLEQGKAKKPLDVSVDTGDLLSVRCTIISKSDGAAPIHTAARQAERLREKEAHKRMSRRGLTRRFFSLM
+>sp|Q9HCS5|E41LA_HUMAN Band 4.1-like protein 4A OS=Homo sapiens OX=9606 GN=EPB41L4A PE=1 SV=2
+MGCFCAVPEEFYCEVLLLDESKLTLTTQQQGIKKSTKGSVVLDHVFHHVNLVEIDYFGLRYCDRSHQTYWLDPAKTLAEHKELINTGPPYTLYFGIKFYAEDPCKLKEEITRYQFFLQVKQDVLQGRLPCPVNTAAQLGAYAIQSELGDYDPYKHTAGYVSEYRFVPDQKEELEEAIERIHKTLMGQIPSEAELNYLRTAKSLEMYGVDLHPVYGENKSEYFLGLTPVGVVVYKNKKQVGKYFWPRITKVHFKETQFELRVLGKDCNETSFFFEARSKTACKHLWKCSVEHHTFFRMPENESNSLSRKLSKFGSIRYKHRYSGRTALQMSRDLSIQLPRPDQNVTRSRSKTYPKRIAQTQPAESNSISRITANMENGENEGTIKIIAPSPVKSFKKAKNENSPDTQRSKSHAPWEENGPQSGLYNSPSDRTKSPKFPYTRRRNPSCGSDNDSVQPVRRRKAHNSGEDSDLKQRRRSRSRCNTSSGSESENSNREYRKKRNRIRQENDMVDSAPQWEAVLRRQKEKNQADPNNRRSRHRSRSRSPDIQAKEELWKHIQKELVDPSGLSEEQLKEIPYTKIETQGDPIRIRHSHSPRSYRQYRRSQCSDGERSVLSEVNSKTDLVPPLPVTRSSDAQGSGDATVHQRRNGSKDSLMEEKPQTSTNNLAGKHTAKTIKTIQASRLKTET
+>DECOY_sp|Q9HCS5|E41LA_HUMAN Band 4.1-like protein 4A OS=Homo sapiens OX=9606 GN=EPB41L4A PE=1 SV=2
+TETKLRSAQITKITKATHKGALNNTSTQPKEEMLSDKSGNRRQHVTADGSGQADSSRTVPLPPVLDTKSNVESLVSREGDSCQSRRYQRYSRPSHSHRIRIPDGQTEIKTYPIEKLQEESLGSPDVLEKQIHKWLEEKAQIDPSRSRSRHRSRRNNPDAQNKEKQRRLVAEWQPASDVMDNEQRIRNRKKRYERNSNESESGSSTNCRSRSRRRQKLDSDEGSNHAKRRRVPQVSDNDSGCSPNRRRTYPFKPSKTRDSPSNYLGSQPGNEEWPAHSKSRQTDPSNENKAKKFSKVPSPAIIKITGENEGNEMNATIRSISNSEAPQTQAIRKPYTKSRSRTVNQDPRPLQISLDRSMQLATRGSYRHKYRISGFKSLKRSLSNSENEPMRFFTHHEVSCKWLHKCATKSRAEFFFSTENCDKGLVRLEFQTEKFHVKTIRPWFYKGVQKKNKYVVVGVPTLGLFYESKNEGYVPHLDVGYMELSKATRLYNLEAESPIQGMLTKHIREIAEELEEKQDPVFRYESVYGATHKYPDYDGLESQIAYAGLQAATNVPCPLRGQLVDQKVQLFFQYRTIEEKLKCPDEAYFKIGFYLTYPPGTNILEKHEALTKAPDLWYTQHSRDCYRLGFYDIEVLNVHHFVHDLVVSGKTSKKIGQQQTTLTLKSEDLLLVECYFEEPVACFCGM
+>sp|O00341|EAA5_HUMAN Excitatory amino acid transporter 5 OS=Homo sapiens OX=9606 GN=SLC1A7 PE=2 SV=2
+MVPHAILARGRDVCRRNGLLILSVLSVIVGCLLGFFLRTRRLSPQEISYFQFPGELLMRMLKMMILPLVVSSLMSGLASLDAKTSSRLGVLTVAYYLWTTFMAVIVGIFMVSIIHPGSAAQKETTEQSGKPIMSSADALLDLIRNMFPANLVEATFKQYRTKTTPVVKSPKVAPEEAPPRRILIYGVQEENGSHVQNFALDLTPPPEVVYKSEPGTSDGMNVLGIVFFSATMGIMLGRMGDSGAPLVSFCQCLNESVMKIVAVAVWYFPFGIVFLIAGKILEMDDPRAVGKKLGFYSVTVVCGLVLHGLFILPLLYFFITKKNPIVFIRGILQALLIALATSSSSATLPITFKCLLENNHIDRRIARFVLPVGATINMDGTALYEAVAAIFIAQVNNYELDFGQIITISITATAASIGAAGIPQAGLVTMVIVLTSVGLPTDDITLIIAVDWALDRFRTMINVLGDALAAGIMAHICRKDFARDTGTEKLLPCETKPVSLQEIVAAQQNGCVKSVAEASELTLGPTCPHHVPVQVEQDEELPAASLNHCTIQISELETNV
+>DECOY_sp|O00341|EAA5_HUMAN Excitatory amino acid transporter 5 OS=Homo sapiens OX=9606 GN=SLC1A7 PE=2 SV=2
+VNTELESIQITCHNLSAAPLEEDQEVQVPVHHPCTPGLTLESAEAVSKVCGNQQAAVIEQLSVPKTECPLLKETGTDRAFDKRCIHAMIGAALADGLVNIMTRFRDLAWDVAIILTIDDTPLGVSTLVIVMTVLGAQPIGAAGISAATATISITIIQGFDLEYNNVQAIFIAAVAEYLATGDMNITAGVPLVFRAIRRDIHNNELLCKFTIPLTASSSSTALAILLAQLIGRIFVIPNKKTIFFYLLPLIFLGHLVLGCVVTVSYFGLKKGVARPDDMELIKGAILFVIGFPFYWVAVAVIKMVSENLCQCFSVLPAGSDGMRGLMIGMTASFFVIGLVNMGDSTGPESKYVVEPPPTLDLAFNQVHSGNEEQVGYILIRRPPAEEPAVKPSKVVPTTKTRYQKFTAEVLNAPFMNRILDLLADASSMIPKGSQETTEKQAASGPHIISVMFIGVIVAMFTTWLYYAVTLVGLRSSTKADLSALGSMLSSVVLPLIMMKLMRMLLEGPFQFYSIEQPSLRRTRLFFGLLCGVIVSLVSLILLGNRRCVDRGRALIAHPVM
+>sp|Q9NTX5|ECHD1_HUMAN Ethylmalonyl-CoA decarboxylase OS=Homo sapiens OX=9606 GN=ECHDC1 PE=1 SV=2
+MALKQEMAKSLLKTASLSGRTKLLHQTGLSLYSTSHGFYEEEVKKTLQQFPGGSIDLQKEDNGIGILTLNNPSRMNAFSGVMMLQLLEKVIELENWTEGKGLIVRGAKNTFSSGSDLNAVKSLGTPEDGMAVCMFMQNTLTRFMRLPLISVALVQGWALGGGAEFTTACDFRLMTPESKIRFVHKEMGIIPSWGGTTRLVEIIGSRQALKVLSGALKLDSKNALNIGMVEEVLQSSDETKSLEEAQEWLKQFIQGPPEVIRALKKSVCSGRELYLEEALQNERDLLGTVWGGPANLEAIAKKGKFNK
+>DECOY_sp|Q9NTX5|ECHD1_HUMAN Ethylmalonyl-CoA decarboxylase OS=Homo sapiens OX=9606 GN=ECHDC1 PE=1 SV=2
+KNFKGKKAIAELNAPGGWVTGLLDRENQLAEELYLERGSCVSKKLARIVEPPGQIFQKLWEQAEELSKTEDSSQLVEEVMGINLANKSDLKLAGSLVKLAQRSGIIEVLRTTGGWSPIIGMEKHVFRIKSEPTMLRFDCATTFEAGGGLAWGQVLAVSILPLRMFRTLTNQMFMCVAMGDEPTGLSKVANLDSGSSFTNKAGRVILGKGETWNELEIVKELLQLMMVGSFANMRSPNNLTLIGIGNDEKQLDISGGPFQQLTKKVEEEYFGHSTSYLSLGTQHLLKTRGSLSATKLLSKAMEQKLAM
+>sp|P42126|ECI1_HUMAN Enoyl-CoA delta isomerase 1, mitochondrial OS=Homo sapiens OX=9606 GN=ECI1 PE=1 SV=1
+MALVASVRVPARVLLRAGARLPGAALGRTERAAGGGDGARRFGSQRVLVEPDAGAGVAVMKFKNPPVNSLSLEFLTELVISLEKLENDKSFRGVILTSDRPGVFSAGLDLTEMCGRSPAHYAGYWKAVQELWLRLYQSNLVLVSAINGACPAGGCLVALTCDYRILADNPRYCIGLNETQLGIIAPFWLKDTLENTIGHRAAERALQLGLLFPPAEALQVGIVDQVVPEEQVQSTALSAIAQWMAIPDHARQLTKAMMRKATASRLVTQRDADVQNFVSFISKDSIQKSLQMYLERLKEEKG
+>DECOY_sp|P42126|ECI1_HUMAN Enoyl-CoA delta isomerase 1, mitochondrial OS=Homo sapiens OX=9606 GN=ECI1 PE=1 SV=1
+GKEEKLRELYMQLSKQISDKSIFSVFNQVDADRQTVLRSATAKRMMAKTLQRAHDPIAMWQAIASLATSQVQEEPVVQDVIGVQLAEAPPFLLGLQLAREAARHGITNELTDKLWFPAIIGLQTENLGICYRPNDALIRYDCTLAVLCGGAPCAGNIASVLVLNSQYLRLWLEQVAKWYGAYHAPSRGCMETLDLGASFVGPRDSTLIVGRFSKDNELKELSIVLETLFELSLSNVPPNKFKMVAVGAGADPEVLVRQSGFRRAGDGGGAARETRGLAAGPLRAGARLLVRAPVRVSAVLAM
+>sp|P13639|EF2_HUMAN Elongation factor 2 OS=Homo sapiens OX=9606 GN=EEF2 PE=1 SV=4
+MVNFTVDQIRAIMDKKANIRNMSVIAHVDHGKSTLTDSLVCKAGIIASARAGETRFTDTRKDEQERCITIKSTAISLFYELSENDLNFIKQSKDGAGFLINLIDSPGHVDFSSEVTAALRVTDGALVVVDCVSGVCVQTETVLRQAIAERIKPVLMMNKMDRALLELQLEPEELYQTFQRIVENVNVIISTYGEGESGPMGNIMIDPVLGTVGFGSGLHGWAFTLKQFAEMYVAKFAAKGEGQLGPAERAKKVEDMMKKLWGDRYFDPANGKFSKSATSPEGKKLPRTFCQLILDPIFKVFDAIMNFKKEETAKLIEKLDIKLDSEDKDKEGKPLLKAVMRRWLPAGDALLQMITIHLPSPVTAQKYRCELLYEGPPDDEAAMGIKSCDPKGPLMMYISKMVPTSDKGRFYAFGRVFSGLVSTGLKVRIMGPNYTPGKKEDLYLKPIQRTILMMGRYVEPIEDVPCGNIVGLVGVDQFLVKTGTITTFEHAHNMRVMKFSVSPVVRVAVEAKNPADLPKLVEGLKRLAKSDPMVQCIIEESGEHIIAGAGELHLEICLKDLEEDHACIPIKKSDPVVSYRETVSEESNVLCLSKSPNKHNRLYMKARPFPDGLAEDIDKGEVSARQELKQRARYLAEKYEWDVAEARKIWCFGPDGTGPNILTDITKGVQYLNEIKDSVVAGFQWATKEGALCEENMRGVRFDVHDVTLHADAIHRGGGQIIPTARRCLYASVLTAQPRLMEPIYLVEIQCPEQVVGGIYGVLNRKRGHVFEESQVAGTPMFVVKAYLPVNESFGFTADLRSNTGGQAFPQCVFDHWQILPGDPFDNSSRPSQVVAETRKRKGLKEGIPALDNFLDKL
+>DECOY_sp|P13639|EF2_HUMAN Elongation factor 2 OS=Homo sapiens OX=9606 GN=EEF2 PE=1 SV=4
+LKDLFNDLAPIGEKLGKRKRTEAVVQSPRSSNDFPDGPLIQWHDFVCQPFAQGGTNSRLDATFGFSENVPLYAKVVFMPTGAVQSEEFVHGRKRNLVGYIGGVVQEPCQIEVLYIPEMLRPQATLVSAYLCRRATPIIQGGGRHIADAHLTVDHVDFRVGRMNEECLAGEKTAWQFGAVVSDKIENLYQVGKTIDTLINPGTGDPGFCWIKRAEAVDWEYKEALYRARQKLEQRASVEGKDIDEALGDPFPRAKMYLRNHKNPSKSLCLVNSEESVTERYSVVPDSKKIPICAHDEELDKLCIELHLEGAGAIIHEGSEEIICQVMPDSKALRKLGEVLKPLDAPNKAEVAVRVVPSVSFKMVRMNHAHEFTTITGTKVLFQDVGVLGVINGCPVDEIPEVYRGMMLITRQIPKLYLDEKKGPTYNPGMIRVKLGTSVLGSFVRGFAYFRGKDSTPVMKSIYMMLPGKPDCSKIGMAAEDDPPGEYLLECRYKQATVPSPLHITIMQLLADGAPLWRRMVAKLLPKGEKDKDESDLKIDLKEILKATEEKKFNMIADFVKFIPDLILQCFTRPLKKGEPSTASKSFKGNAPDFYRDGWLKKMMDEVKKAREAPGLQGEGKAAFKAVYMEAFQKLTFAWGHLGSGFGVTGLVPDIMINGMPGSEGEGYTSIIVNVNEVIRQFTQYLEEPELQLELLARDMKNMMLVPKIREAIAQRLVTETQVCVGSVCDVVVLAGDTVRLAATVESSFDVHGPSDILNILFGAGDKSQKIFNLDNESLEYFLSIATSKITICREQEDKRTDTFRTEGARASAIIGAKCVLSDTLTSKGHDVHAIVSMNRINAKKDMIARIQDVTFNVM
+>sp|A6NFE3|EFC10_HUMAN EF-hand calcium-binding domain-containing protein 10 OS=Homo sapiens OX=9606 GN=EFCAB10 PE=2 SV=2
+METSSRELQAAEYLEKHQIKEVVSYLTSALLFFRPEKPKEYLISLLERLRIAKVTGVAFPFFMDNSNIVAMFEMMDSSGRGTISFVQYKEALKTLGLCTEDEDLQDDGHKITLDKFKEEVNKRMKEI
+>DECOY_sp|A6NFE3|EFC10_HUMAN EF-hand calcium-binding domain-containing protein 10 OS=Homo sapiens OX=9606 GN=EFCAB10 PE=2 SV=2
+IEKMRKNVEEKFKDLTIKHGDDQLDEDETCLGLTKLAEKYQVFSITGRGSSDMMEFMAVINSNDMFFPFAVGTVKAIRLRELLSILYEKPKEPRFFLLASTLYSVVEKIQHKELYEAAQLERSSTEM
+>sp|Q6NXP0|EFC12_HUMAN EF-hand calcium-binding domain-containing protein 12 OS=Homo sapiens OX=9606 GN=EFCAB12 PE=1 SV=1
+MDDDYEAYHSLFLSLLGLCPSKTPINENAPVFDPEPVIAHCFKQFQQKDFRLPQTRRRIIMVPRKEDQTPLNPASQPQAPPKPIPSFKVLEARDIQEQPEDRKTWLSQRSKLRQELESFGDVKRWLENKPSITPSEAKVLHMIHEEQSAQPNASQATTRTTRKKAPRLSRLSRQMVPQLQLPEPPALSVMYSYLHSRKIKILEIFHKVGQGENQRITREEFIAAVKAVGVPLKNQEVEDIVIYLSSLGKHNTITMDILANTYKQWSMAQQRSSLATAREHYILAKHRDSLKGPLKKQEVDSAPQLPKVDLLTVPAVDTQMETRPMTLEEMEEVGKRYRERQRQHKLTIPSIQYTEQCHLVRCGNRHFDEHCLPSTIHGDMRELIDSARRHNFLVYLQCWKLCKSYGLPLTEDILMKALLYPGDKIIFQMDKVCPIRQPGGYYSDWKVFSPNLALLRSQGPGKSKRTDKKTPKKSKKMRFKEFEEFTRKLKVKRSSGLQQTHPNSFWPGHLLDKLQLYLPTVATDRSLALFSCVQHQPHVYPATYHPDHWWPLRNKNYMTHAHYDAAKVYYIN
+>DECOY_sp|Q6NXP0|EFC12_HUMAN EF-hand calcium-binding domain-containing protein 12 OS=Homo sapiens OX=9606 GN=EFCAB12 PE=1 SV=1
+NIYYVKAADYHAHTMYNKNRLPWWHDPHYTAPYVHPQHQVCSFLALSRDTAVTPLYLQLKDLLHGPWFSNPHTQQLGSSRKVKLKRTFEEFEKFRMKKSKKPTKKDTRKSKGPGQSRLLALNPSFVKWDSYYGGPQRIPCVKDMQFIIKDGPYLLAKMLIDETLPLGYSKCLKWCQLYVLFNHRRASDILERMDGHITSPLCHEDFHRNGCRVLHCQETYQISPITLKHQRQRERYRKGVEEMEELTMPRTEMQTDVAPVTLLDVKPLQPASDVEQKKLPGKLSDRHKALIYHERATALSSRQQAMSWQKYTNALIDMTITNHKGLSSLYIVIDEVEQNKLPVGVAKVAAIFEERTIRQNEGQGVKHFIELIKIKRSHLYSYMVSLAPPEPLQLQPVMQRSLRSLRPAKKRTTRTTAQSANPQASQEEHIMHLVKAESPTISPKNELWRKVDGFSELEQRLKSRQSLWTKRDEPQEQIDRAELVKFSPIPKPPAQPQSAPNLPTQDEKRPVMIIRRRTQPLRFDKQQFQKFCHAIVPEPDFVPANENIPTKSPCLGLLSLFLSHYAEYDDDM
+>sp|O75071|EFC14_HUMAN EF-hand calcium-binding domain-containing protein 14 OS=Homo sapiens OX=9606 GN=EFCAB14 PE=1 SV=1
+MKKRKELNALIGLAGDSRRKKPKKGPSSHRLLRTEPPDSDSESSSEEEEEFGVVGNRSRFAKGDYLRCCKICYPLCGFVILAACVVACVGLVWMQVALKEDLDALKEKFRTMESNQKSSFQEIPKLNEELLSKQKQLEKIESGEMGLNKVWINITEMNKQISLLTSAVNHLKANVKSAADLISLPTTVEGLQKSVASIGNTLNSVHLAVEALQKTVDEHKKTMELLQSDMNQHFLKETPGSNQIIPSPSATSELDNKTHSENLKQDILYLHNSLEEVNSALVGYQRQNDLKLEGMNETVSNLTQRVNLIESDVVAMSKVEKKANLSFSMMGDRSATLKRQSLDQVTNRTDTVKIQSIKKEDSSNSQVSKLREKLQLISALTNKPESNRPPETADEEQVESFTSKPSALPKFSQFLGDPVEKAAQLRPISLPGVSSTEDLQDLFRKTGQDVDGKLTYQEIWTSLGSAMPEPESLRAFDSDGDGRYSFLELRVALGI
+>DECOY_sp|O75071|EFC14_HUMAN EF-hand calcium-binding domain-containing protein 14 OS=Homo sapiens OX=9606 GN=EFCAB14 PE=1 SV=1
+IGLAVRLELFSYRGDGDSDFARLSEPEPMASGLSTWIEQYTLKGDVDQGTKRFLDQLDETSSVGPLSIPRLQAAKEVPDGLFQSFKPLASPKSTFSEVQEEDATEPPRNSEPKNTLASILQLKERLKSVQSNSSDEKKISQIKVTDTRNTVQDLSQRKLTASRDGMMSFSLNAKKEVKSMAVVDSEILNVRQTLNSVTENMGELKLDNQRQYGVLASNVEELSNHLYLIDQKLNESHTKNDLESTASPSPIIQNSGPTEKLFHQNMDSQLLEMTKKHEDVTKQLAEVALHVSNLTNGISAVSKQLGEVTTPLSILDAASKVNAKLHNVASTLLSIQKNMETINIWVKNLGMEGSEIKELQKQKSLLEENLKPIEQFSSKQNSEMTRFKEKLADLDEKLAVQMWVLGVCAVVCAALIVFGCLPYCIKCCRLYDGKAFRSRNGVVGFEEEEESSSESDSDPPETRLLRHSSPGKKPKKRRSDGALGILANLEKRKKM
+>sp|Q8IUX8|EGFL6_HUMAN Epidermal growth factor-like protein 6 OS=Homo sapiens OX=9606 GN=EGFL6 PE=2 SV=1
+MPLPWSLALPLLLSWVAGGFGNAASARHHGLLASARQPGVCHYGTKLACCYGWRRNSKGVCEATCEPGCKFGECVGPNKCRCFPGYTGKTCSQDVNECGMKPRPCQHRCVNTHGSYKCFCLSGHMLMPDATCVNSRTCAMINCQYSCEDTEEGPQCLCPSSGLRLAPNGRDCLDIDECASGKVICPYNRRCVNTFGSYYCKCHIGFELQYISGRYDCIDINECTMDSHTCSHHANCFNTQGSFKCKCKQGYKGNGLRCSAIPENSVKEVLRAPGTIKDRIKKLLAHKNSMKKKAKIKNVTPEPTRTPTPKVNLQPFNYEEIVSRGGNSHGGKKGNEEKMKEGLEDEKREEKALKNDIEERSLRGDVFFPKVNEAGEFGLILVQRKALTSKLEHKDLNISVDCSFNHGICDWKQDREDDFDWNPADRDNAIGFYMAVPALAGHKKDIGRLKLLLPDLQPQSNFCLLFDYRLAGDKVGKLRVFVKNSNNALAWEKTTSEDEKWKTGKIQLYQGTDATKSIIFEAERGKGKTGEIAVDGVLLVSGLCPDSLLSVDD
+>DECOY_sp|Q8IUX8|EGFL6_HUMAN Epidermal growth factor-like protein 6 OS=Homo sapiens OX=9606 GN=EGFL6 PE=2 SV=1
+DDVSLLSDPCLGSVLLVGDVAIEGTKGKGREAEFIISKTADTGQYLQIKGTKWKEDESTTKEWALANNSNKVFVRLKGVKDGALRYDFLLCFNSQPQLDPLLLKLRGIDKKHGALAPVAMYFGIANDRDAPNWDFDDERDQKWDCIGHNFSCDVSINLDKHELKSTLAKRQVLILGFEGAENVKPFFVDGRLSREEIDNKLAKEERKEDELGEKMKEENGKKGGHSNGGRSVIEEYNFPQLNVKPTPTRTPEPTVNKIKAKKKMSNKHALLKKIRDKITGPARLVEKVSNEPIASCRLGNGKYGQKCKCKFSGQTNFCNAHHSCTHSDMTCENIDICDYRGSIYQLEFGIHCKCYYSGFTNVCRRNYPCIVKGSACEDIDLCDRGNPALRLGSSPCLCQPGEETDECSYQCNIMACTRSNVCTADPMLMHGSLCFCKYSGHTNVCRHQCPRPKMGCENVDQSCTKGTYGPFCRCKNPGVCEGFKCGPECTAECVGKSNRRWGYCCALKTGYHCVGPQRASALLGHHRASAANGFGGAVWSLLLPLALSWPLPM
+>sp|Q63HQ2|EGFLA_HUMAN Pikachurin OS=Homo sapiens OX=9606 GN=EGFLAM PE=1 SV=2
+MDLIRGVLLRLLLLASSLGPGAVSLRAAIRKPGKVGPPLDIKLGALNCTAFSIQWKMPRHPGSPILGYTVFYSEVGADKSLQEQLHSVPLSRDIPTTEEVIGDLKPGTEYRVSIAAYSQAGKGRLSSPRHVTTLSQDSCLPPAAPQQPHVIVVSDSEVALSWKPGASEGSAPIQYYSVEFIRPDFDKKWTSIHERIQMDSMVIKGLDPDTNYQFAVRAMNSHGPSPRSWPSDIIRTLCPEEAGSGRYGPRYITDMGAGEDDEGFEDDLDLDISFEEVKPLPATKGGNKKFLVESKKMSISNPKTISRLIPPTSASLPVTTVAPQPIPIQRKGKNGVAIMSRLFDMPCDETLCSADSFCVNDYTWGGSRCQCTLGKGGESCSEDIVIQYPQFFGHSYVTFEPLKNSYQAFQITLEFRAEAEDGLLLYCGENEHGRGDFMSLAIIRRSLQFRFNCGTGVAIIVSETKIKLGGWHTVMLYRDGLNGLLQLNNGTPVTGQSQGQYSKITFRTPLYLGGAPSAYWLVRATGTNRGFQGCVQSLAVNGRRIDMRPWPLGKALSGADVGECSSGICDEASCIHGGTCTAIKADSYICLCPLGFKGRHCEDAFTLTIPQFRESLRSYAATPWPLEPQHYLSFMEFEITFRPDSGDGVLLYSYDTGSKDFLSINLAGGHVEFRFDCGSGTGVLRSEDPLTLGNWHELRVSRTAKNGILQVDKQKIVEGMAEGGFTQIKCNTDIFIGGVPNYDDVKKNSGVLKPFSGSIQKIILNDRTIHVKHDFTSGVNVENAAHPCVRAPCAHGGSCRPRKEGYDCDCPLGFEGLHCQKECGNYCLNTIIEAIEIPQFIGRSYLTYDNPDILKRVSGSRSNVFMRFKTTAKDGLLLWRGDSPMRPNSDFISLGLRDGALVFSYNLGSGVASIMVNGSFNDGRWHRVKAVRDGQSGKITVDDYGARTGKSPGMMRQLNINGALYVGGMKEIALHTNRQYMRGLVGCISHFTLSTDYHISLVEDAVDGKNINTCGAK
+>DECOY_sp|Q63HQ2|EGFLA_HUMAN Pikachurin OS=Homo sapiens OX=9606 GN=EGFLAM PE=1 SV=2
+KAGCTNINKGDVADEVLSIHYDTSLTFHSICGVLGRMYQRNTHLAIEKMGGVYLAGNINLQRMMGPSKGTRAGYDDVTIKGSQGDRVAKVRHWRGDNFSGNVMISAVGSGLNYSFVLAGDRLGLSIFDSNPRMPSDGRWLLLGDKATTKFRMFVNSRSGSVRKLIDPNDYTLYSRGIFQPIEIAEIITNLCYNGCEKQCHLGEFGLPCDCDYGEKRPRCSGGHACPARVCPHAANEVNVGSTFDHKVHITRDNLIIKQISGSFPKLVGSNKKVDDYNPVGGIFIDTNCKIQTFGGEAMGEVIKQKDVQLIGNKATRSVRLEHWNGLTLPDESRLVGTGSGCDFRFEVHGGALNISLFDKSGTDYSYLLVGDGSDPRFTIEFEMFSLYHQPELPWPTAAYSRLSERFQPITLTFADECHRGKFGLPCLCIYSDAKIATCTGGHICSAEDCIGSSCEGVDAGSLAKGLPWPRMDIRRGNVALSQVCGQFGRNTGTARVLWYASPAGGLYLPTRFTIKSYQGQSQGTVPTGNNLQLLGNLGDRYLMVTHWGGLKIKTESVIIAVGTGCNFRFQLSRRIIALSMFDGRGHENEGCYLLLGDEAEARFELTIQFAQYSNKLPEFTVYSHGFFQPYQIVIDESCSEGGKGLTCQCRSGGWTYDNVCFSDASCLTEDCPMDFLRSMIAVGNKGKRQIPIPQPAVTTVPLSASTPPILRSITKPNSISMKKSEVLFKKNGGKTAPLPKVEEFSIDLDLDDEFGEDDEGAGMDTIYRPGYRGSGAEEPCLTRIIDSPWSRPSPGHSNMARVAFQYNTDPDLGKIVMSDMQIREHISTWKKDFDPRIFEVSYYQIPASGESAGPKWSLAVESDSVVIVHPQQPAAPPLCSDQSLTTVHRPSSLRGKGAQSYAAISVRYETGPKLDGIVEETTPIDRSLPVSHLQEQLSKDAGVESYFVTYGLIPSGPHRPMKWQISFATCNLAGLKIDLPPGVKGPKRIAARLSVAGPGLSSALLLLRLLVGRILDM
+>sp|P01133|EGF_HUMAN Pro-epidermal growth factor OS=Homo sapiens OX=9606 GN=EGF PE=1 SV=2
+MLLTLIILLPVVSKFSFVSLSAPQHWSCPEGTLAGNGNSTCVGPAPFLIFSHGNSIFRIDTEGTNYEQLVVDAGVSVIMDFHYNEKRIYWVDLERQLLQRVFLNGSRQERVCNIEKNVSGMAINWINEEVIWSNQQEGIITVTDMKGNNSHILLSALKYPANVAVDPVERFIFWSSEVAGSLYRADLDGVGVKALLETSEKITAVSLDVLDKRLFWIQYNREGSNSLICSCDYDGGSVHISKHPTQHNLFAMSLFGDRIFYSTWKMKTIWIANKHTGKDMVRINLHSSFVPLGELKVVHPLAQPKAEDDTWEPEQKLCKLRKGNCSSTVCGQDLQSHLCMCAEGYALSRDRKYCEDVNECAFWNHGCTLGCKNTPGSYYCTCPVGFVLLPDGKRCHQLVSCPRNVSECSHDCVLTSEGPLCFCPEGSVLERDGKTCSGCSSPDNGGCSQLCVPLSPVSWECDCFPGYDLQLDEKSCAASGPQPFLLFANSQDIRHMHFDGTDYGTLLSQQMGMVYALDHDPVENKIYFAHTALKWIERANMDGSQRERLIEEGVDVPEGLAVDWIGRRFYWTDRGKSLIGRSDLNGKRSKIITKENISQPRGIAVHPMAKRLFWTDTGINPRIESSSLQGLGRLVIASSDLIWPSGITIDFLTDKLYWCDAKQSVIEMANLDGSKRRRLTQNDVGHPFAVAVFEDYVWFSDWAMPSVMRVNKRTGKDRVRLQGSMLKPSSLVVVHPLAKPGADPCLYQNGGCEHICKKRLGTAWCSCREGFMKASDGKTCLALDGHQLLAGGEVDLKNQVTPLDILSKTRVSEDNITESQHMLVAEIMVSDQDDCAPVGCSMYARCISEGEDATCQCLKGFAGDGKLCSDIDECEMGVPVCPPASSKCINTEGGYVCRCSEGYQGDGIHCLDIDECQLGEHSCGENASCTNTEGGYTCMCAGRLSEPGLICPDSTPPPHLREDDHHYSVRNSDSECPLSHDGYCLHDGVCMYIEALDKYACNCVVGYIGERCQYRDLKWWELRHAGHGQQQKVIVVAVCVVVLVMLLLLSLWGAHYYRTQKLLSKNPKNPYEESSRDVRSRRPADTEDGMSSCPQPWFVVIKEHQDLKNGGQPVAGEDGQAADGSMQPTSWRQEPQLCGMGTEQGCWIPVSSDKGSCPQVMERSFHMPSYGTQTLEGGVEKPHSLLSANPLWQQRALDPPHQMELTQ
+>DECOY_sp|P01133|EGF_HUMAN Pro-epidermal growth factor OS=Homo sapiens OX=9606 GN=EGF PE=1 SV=2
+QTLEMQHPPDLARQQWLPNASLLSHPKEVGGELTQTGYSPMHFSREMVQPCSGKDSSVPIWCGQETGMGCLQPEQRWSTPQMSGDAAQGDEGAVPQGGNKLDQHEKIVVFWPQPCSSMGDETDAPRRSRVDRSSEEYPNKPNKSLLKQTRYYHAGWLSLLLLMVLVVVCVAVVIVKQQQGHGAHRLEWWKLDRYQCREGIYGVVCNCAYKDLAEIYMCVGDHLCYGDHSLPCESDSNRVSYHHDDERLHPPPTSDPCILGPESLRGACMCTYGGETNTCSANEGCSHEGLQCEDIDLCHIGDGQYGESCRCVYGGETNICKSSAPPCVPVGMECEDIDSCLKGDGAFGKLCQCTADEGESICRAYMSCGVPACDDQDSVMIEAVLMHQSETINDESVRTKSLIDLPTVQNKLDVEGGALLQHGDLALCTKGDSAKMFGERCSCWATGLRKKCIHECGGNQYLCPDAGPKALPHVVVLSSPKLMSGQLRVRDKGTRKNVRMVSPMAWDSFWVYDEFVAVAFPHGVDNQTLRRRKSGDLNAMEIVSQKADCWYLKDTLFDITIGSPWILDSSAIVLRGLGQLSSSEIRPNIGTDTWFLRKAMPHVAIGRPQSINEKTIIKSRKGNLDSRGILSKGRDTWYFRRGIWDVALGEPVDVGEEILRERQSGDMNAREIWKLATHAFYIKNEVPDHDLAYVMGMQQSLLTGYDTGDFHMHRIDQSNAFLLFPQPGSAACSKEDLQLDYGPFCDCEWSVPSLPVCLQSCGGNDPSSCGSCTKGDRELVSGEPCFCLPGESTLVCDHSCESVNRPCSVLQHCRKGDPLLVFGVPCTCYYSGPTNKCGLTCGHNWFACENVDECYKRDRSLAYGEACMCLHSQLDQGCVTSSCNGKRLKCLKQEPEWTDDEAKPQALPHVVKLEGLPVFSSHLNIRVMDKGTHKNAIWITKMKWTSYFIRDGFLSMAFLNHQTPHKSIHVSGGDYDCSCILSNSGERNYQIWFLRKDLVDLSVATIKESTELLAKVGVGDLDARYLSGAVESSWFIFREVPDVAVNAPYKLASLLIHSNNGKMDTVTIIGEQQNSWIVEENIWNIAMGSVNKEINCVREQRSGNLFVRQLLQRELDVWYIRKENYHFDMIVSVGADVVLQEYNTGETDIRFISNGHSFILFPAPGVCTSNGNGALTGEPCSWHQPASLSVFSFKSVVPLLIILTLLM
+>sp|Q9H6Z9|EGLN3_HUMAN Egl nine homolog 3 OS=Homo sapiens OX=9606 GN=EGLN3 PE=1 SV=1
+MPLGHIMRLDLEKIALEYIVPCLHEVGFCYLDNFLGEVVGDCVLERVKQLHCTGALRDGQLAGPRAGVSKRHLRGDQITWIGGNEEGCEAISFLLSLIDRLVLYCGSRLGKYYVKERSKAMVACYPGNGTGYVRHVDNPNGDGRCITCIYYLNKNWDAKLHGGILRIFPEGKSFIADVEPIFDRLLFFWSDRRNPHEVQPSYATRYAMTVWYFDAEERAEAKKKFRNLTRKTESALTED
+>DECOY_sp|Q9H6Z9|EGLN3_HUMAN Egl nine homolog 3 OS=Homo sapiens OX=9606 GN=EGLN3 PE=1 SV=1
+DETLASETKRTLNRFKKKAEAREEADFYWVTMAYRTAYSPQVEHPNRRDSWFFLLRDFIPEVDAIFSKGEPFIRLIGGHLKADWNKNLYYICTICRGDGNPNDVHRVYGTGNGPYCAVMAKSREKVYYKGLRSGCYLVLRDILSLLFSIAECGEENGGIWTIQDGRLHRKSVGARPGALQGDRLAGTCHLQKVRELVCDGVVEGLFNDLYCFGVEHLCPVIYELAIKELDLRMIHGLPM
+>sp|P17813|EGLN_HUMAN Endoglin OS=Homo sapiens OX=9606 GN=ENG PE=1 SV=2
+MDRGTLPLAVALLLASCSLSPTSLAETVHCDLQPVGPERGEVTYTTSQVSKGCVAQAPNAILEVHVLFLEFPTGPSQLELTLQASKQNGTWPREVLLVLSVNSSVFLHLQALGIPLHLAYNSSLVTFQEPPGVNTTELPSFPKTQILEWAAERGPITSAAELNDPQSILLRLGQAQGSLSFCMLEASQDMGRTLEWRPRTPALVRGCHLEGVAGHKEAHILRVLPGHSAGPRTVTVKVELSCAPGDLDAVLILQGPPYVSWLIDANHNMQIWTTGEYSFKIFPEKNIRGFKLPDTPQGLLGEARMLNASIVASFVELPLASIVSLHASSCGGRLQTSPAPIQTTPPKDTCSPELLMSLIQTKCADDAMTLVLKKELVAHLKCTITGLTFWDPSCEAEDRGDKFVLRSAYSSCGMQVSASMISNEAVVNILSSSSPQRKKVHCLNMDSLSFQLGLYLSPHFLQASNTIEPGQQSFVQVRVSPSVSEFLLQLDSCHLDLGPEGGTVELIQGRAAKGNCVSLLSPSPEGDPRFSFLLHFYTVPIPKTGTLSCTVALRPKTGSQDQEVHRTVFMRLNIISPDLSGCTSKGLVLPAVLGITFGAFLIGALLTAALWYIYSHTRSPSKREPVVAVAAPASSESSSTNHSIGSTQSTPCSTSSMA
+>DECOY_sp|P17813|EGLN_HUMAN Endoglin OS=Homo sapiens OX=9606 GN=ENG PE=1 SV=2
+AMSSTSCPTSQTSGISHNTSSSESSAPAAVAVVPERKSPSRTHSYIYWLAATLLAGILFAGFTIGLVAPLVLGKSTCGSLDPSIINLRMFVTRHVEQDQSGTKPRLAVTCSLTGTKPIPVTYFHLLFSFRPDGEPSPSLLSVCNGKAARGQILEVTGGEPGLDLHCSDLQLLFESVSPSVRVQVFSQQGPEITNSAQLFHPSLYLGLQFSLSDMNLCHVKKRQPSSSSLINVVAENSIMSASVQMGCSSYASRLVFKDGRDEAECSPDWFTLGTITCKLHAVLEKKLVLTMADDACKTQILSMLLEPSCTDKPPTTQIPAPSTQLRGGCSSAHLSVISALPLEVFSAVISANLMRAEGLLGQPTDPLKFGRINKEPFIKFSYEGTTWIQMNHNADILWSVYPPGQLILVADLDGPACSLEVKVTVTRPGASHGPLVRLIHAEKHGAVGELHCGRVLAPTRPRWELTRGMDQSAELMCFSLSGQAQGLRLLISQPDNLEAASTIPGREAAWELIQTKPFSPLETTNVGPPEQFTVLSSNYALHLPIGLAQLHLFVSSNVSLVLLVERPWTGNQKSAQLTLELQSPGTPFELFLVHVELIANPAQAVCGKSVQSTTYTVEGREPGVPQLDCHVTEALSTPSLSCSALLLAVALPLTGRDM
+>sp|Q9Y6B2|EID1_HUMAN EP300-interacting inhibitor of differentiation 1 OS=Homo sapiens OX=9606 GN=EID1 PE=1 SV=1
+MSEMAELSELYEESSDLQMDVMPGEGDLPQMEVGSGSRELSLRPSRSGAQQLEEEGPMEEEEAQPMAAPEGKRSLANGPNAGEQPGQVAGADFESEDEGEEFDDWEDDYDYPEEEQLSGAGYRVSAALEEADKMFLRTREPALDGGFQMHYEKTPFDQLAFIEELFSLMVVNRLTEELGCDEIIDRE
+>DECOY_sp|Q9Y6B2|EID1_HUMAN EP300-interacting inhibitor of differentiation 1 OS=Homo sapiens OX=9606 GN=EID1 PE=1 SV=1
+ERDIIEDCGLEETLRNVVMLSFLEEIFALQDFPTKEYHMQFGGDLAPERTRLFMKDAEELAASVRYGAGSLQEEEPYDYDDEWDDFEEGEDESEFDAGAVQGPQEGANPGNALSRKGEPAAMPQAEEEEMPGEEELQQAGSRSPRLSLERSGSGVEMQPLDGEGPMVDMQLDSSEEYLESLEAMESM
+>sp|O60739|EIF1B_HUMAN Eukaryotic translation initiation factor 1b OS=Homo sapiens OX=9606 GN=EIF1B PE=1 SV=2
+MSTIQNLQSFDPFADATKGDDLLPAGTEDYIHIRIQQRNGRKTLTTVQGIADDYDKKKLVKAFKKKFACNGTVIEHPEYGEVIQLQGDQRKNICQFLLEVGIVKEEQLKVHGF
+>DECOY_sp|O60739|EIF1B_HUMAN Eukaryotic translation initiation factor 1b OS=Homo sapiens OX=9606 GN=EIF1B PE=1 SV=2
+FGHVKLQEEKVIGVELLFQCINKRQDGQLQIVEGYEPHEIVTGNCAFKKKFAKVLKKKDYDDAIGQVTTLTKRGNRQQIRIHIYDETGAPLLDDGKTADAFPDFSQLNQITSM
+>sp|P41567|EIF1_HUMAN Eukaryotic translation initiation factor 1 OS=Homo sapiens OX=9606 GN=EIF1 PE=1 SV=1
+MSAIQNLHSFDPFADASKGDDLLPAGTEDYIHIRIQQRNGRKTLTTVQGIADDYDKKKLVKAFKKKFACNGTVIEHPEYGEVIQLQGDQRKNICQFLVEIGLAKDDQLKVHGF
+>DECOY_sp|P41567|EIF1_HUMAN Eukaryotic translation initiation factor 1 OS=Homo sapiens OX=9606 GN=EIF1 PE=1 SV=1
+FGHVKLQDDKALGIEVLFQCINKRQDGQLQIVEGYEPHEIVTGNCAFKKKFAKVLKKKDYDDAIGQVTTLTKRGNRQQIRIHIYDETGAPLLDDGKSADAFPDFSHLNQIASM
+>sp|O15372|EIF3H_HUMAN Eukaryotic translation initiation factor 3 subunit H OS=Homo sapiens OX=9606 GN=EIF3H PE=1 SV=1
+MASRKEGTGSTATSSSSTAGAAGKGKGKGGSGDSAVKQVQIDGLVVLKIIKHYQEEGQGTEVVQGVLLGLVVEDRLEITNCFPFPQHTEDDADFDEVQYQMEMMRSLRHVNIDHLHVGWYQSTYYGSFVTRALLDSQFSYQHAIEESVVLIYDPIKTAQGSLSLKAYRLTPKLMEVCKEKDFSPEALKKANITFEYMFEEVPIVIKNSHLINVLMWELEKKSAVADKHELLSLASSNHLGKNLQLLMDRVDEMSQDIVKYNTYMRNTSKQQQQKHQYQQRRQQENMQRQSRGEPPLPEEDLSKLFKPPQPPARMDSLLIAGQINTYCQNIKEFTAQNLGKLFMAQALQEYNN
+>DECOY_sp|O15372|EIF3H_HUMAN Eukaryotic translation initiation factor 3 subunit H OS=Homo sapiens OX=9606 GN=EIF3H PE=1 SV=1
+NNYEQLAQAMFLKGLNQATFEKINQCYTNIQGAILLSDMRAPPQPPKFLKSLDEEPLPPEGRSQRQMNEQQRRQQYQHKQQQQKSTNRMYTNYKVIDQSMEDVRDMLLQLNKGLHNSSALSLLEHKDAVASKKELEWMLVNILHSNKIVIPVEEFMYEFTINAKKLAEPSFDKEKCVEMLKPTLRYAKLSLSGQATKIPDYILVVSEEIAHQYSFQSDLLARTVFSGYYTSQYWGVHLHDINVHRLSRMMEMQYQVEDFDADDETHQPFPFCNTIELRDEVVLGLLVGQVVETGQGEEQYHKIIKLVVLGDIQVQKVASDGSGGKGKGKGAAGATSSSSTATSGTGEKRSAM
+>sp|B5ME19|EIFCL_HUMAN Eukaryotic translation initiation factor 3 subunit C-like protein OS=Homo sapiens OX=9606 GN=EIF3CL PE=3 SV=1
+MSRFFTTGSDSESESSLSGEELVTKPVGGNYGKQPLLLSEDEEDTKRVVRSAKDKRFEELTNLIRTIRNAMKIRDVTKCLEEFELLGKAYGKAKSIVDKEGVPRFYIRILADLEDYLNELWEDKEGKKKMNKNNAKALSTLRQKIRKYNRDFESHITSYKQNPEQSADEDAEKNEEDSEGSSDEDEDEDGVSAATFLKKKSEAPSGESRKFLKKMDDEDEDSEDSEDDEDWDTGSTSSDSDSEEEEGKQTALASRFLKKAPTTDEDKKAAEKKREDKAKKKHDRKSKRLDEEEEEDNEGGEWERVRGGVPLVKEKPKMFAKGTEITHAVVIKKLNEILQARGKKGTDRAAQIELLQLLVQIAAENNLGEGVIVKIKFNIIASLYDYNPNLATYMKPEMWGKCLDCINELMDILFANPNIFVGENILEESENLHNADQPLRVRGCILTLVERMDEEFTKIMQNTDPHSQEYVEHLKDEAQVCAIIERVQRYLEEKGTTEEVCRIYLLRILHTYYKFDYKAHQRQLTPPEGSSKSEQDQAENEGEDSAVLMERLCKYIYAKDRTDRIRTCAILCHIYHHALHSRWYQARDLMLMSHLQDNIQHADPPVQILYNRTMVQLGICAFRQGLTKDAHNALLDIQSSGRAKELLGQGLLLRSLQERNQEQEKVERRRQVPFHLHINLELLECVYLVSAMLLEIPYMAAHESDARRRMISKQFHHQLRVGERQPLLGPPESMREHVVAASKAMKMGDWKTCHSFIINEKMNGKVWDLFPEADKVRTMLVRKIQEESLRTYLFTYSSVYDSISMETLSDMFELDLPTVHSIISKMIINEELMASLDQPTQTVVMHRTEPTAQQNLALQLAEKLGSLVENNERVFDHKQGTYGGYFRDQKDGYRKNEGYMRRGGYRQQQSQTAY
+>DECOY_sp|B5ME19|EIFCL_HUMAN Eukaryotic translation initiation factor 3 subunit C-like protein OS=Homo sapiens OX=9606 GN=EIF3CL PE=3 SV=1
+YATQSQQQRYGGRRMYGENKRYGDKQDRFYGGYTGQKHDFVRENNEVLSGLKEALQLALNQQATPETRHMVVTQTPQDLSAMLEENIIMKSIISHVTPLDLEFMDSLTEMSISDYVSSYTFLYTRLSEEQIKRVLMTRVKDAEPFLDWVKGNMKENIIFSHCTKWDGMKMAKSAAVVHERMSEPPGLLPQREGVRLQHHFQKSIMRRRADSEHAAMYPIELLMASVLYVCELLELNIHLHFPVQRRREVKEQEQNREQLSRLLLGQGLLEKARGSSQIDLLANHADKTLGQRFACIGLQVMTRNYLIQVPPDAHQINDQLHSMLMLDRAQYWRSHLAHHYIHCLIACTRIRDTRDKAYIYKCLREMLVASDEGENEAQDQESKSSGEPPTLQRQHAKYDFKYYTHLIRLLYIRCVEETTGKEELYRQVREIIACVQAEDKLHEVYEQSHPDTNQMIKTFEEDMREVLTLICGRVRLPQDANHLNESEELINEGVFINPNAFLIDMLENICDLCKGWMEPKMYTALNPNYDYLSAIINFKIKVIVGEGLNNEAAIQVLLQLLEIQAARDTGKKGRAQLIENLKKIVVAHTIETGKAFMKPKEKVLPVGGRVREWEGGENDEEEEEDLRKSKRDHKKKAKDERKKEAAKKDEDTTPAKKLFRSALATQKGEEEESDSDSSTSGTDWDEDDESDESDEDEDDMKKLFKRSEGSPAESKKKLFTAASVGDEDEDEDSSGESDEENKEADEDASQEPNQKYSTIHSEFDRNYKRIKQRLTSLAKANNKNMKKKGEKDEWLENLYDELDALIRIYFRPVGEKDVISKAKGYAKGLLEFEELCKTVDRIKMANRITRILNTLEEFRKDKASRVVRKTDEEDESLLLPQKGYNGGVPKTVLEEGSLSSESESDSGTTFFRSM
+>sp|Q15717|ELAV1_HUMAN ELAV-like protein 1 OS=Homo sapiens OX=9606 GN=ELAVL1 PE=1 SV=2
+MSNGYEDHMAEDCRGDIGRTNLIVNYLPQNMTQDELRSLFSSIGEVESAKLIRDKVAGHSLGYGFVNYVTAKDAERAINTLNGLRLQSKTIKVSYARPSSEVIKDANLYISGLPRTMTQKDVEDMFSRFGRIINSRVLVDQTTGLSRGVAFIRFDKRSEAEEAITSFNGHKPPGSSEPITVKFAANPNQNKNVALLSQLYHSPARRFGGPVHHQAQRFRFSPMGVDHMSGLSGVNVPGNASSGWCIFIYNLGQDADEGILWQMFGPFGAVTNVKVIRDFNTNKCKGFGFVTMTNYEEAAMAIASLNGYRLGDKILQVSFKTNKSHK
+>DECOY_sp|Q15717|ELAV1_HUMAN ELAV-like protein 1 OS=Homo sapiens OX=9606 GN=ELAVL1 PE=1 SV=2
+KHSKNTKFSVQLIKDGLRYGNLSAIAMAAEEYNTMTVFGFGKCKNTNFDRIVKVNTVAGFPGFMQWLIGEDADQGLNYIFICWGSSANGPVNVGSLGSMHDVGMPSFRFRQAQHHVPGGFRRAPSHYLQSLLAVNKNQNPNAAFKVTIPESSGPPKHGNFSTIAEEAESRKDFRIFAVGRSLGTTQDVLVRSNIIRGFRSFMDEVDKQTMTRPLGSIYLNADKIVESSPRAYSVKITKSQLRLGNLTNIAREADKATVYNVFGYGLSHGAVKDRILKASEVEGISSFLSRLEDQTMNQPLYNVILNTRGIDGRCDEAMHDEYGNSM
+>sp|P78545|ELF3_HUMAN ETS-related transcription factor Elf-3 OS=Homo sapiens OX=9606 GN=ELF3 PE=1 SV=1
+MAATCEISNIFSNYFSAMYSSEDSTLASVPPAATFGADDLVLTLSNPQMSLEGTEKASWLGEQPQFWSKTQVLDWISYQVEKNKYDASAIDFSRCDMDGATLCNCALEELRLVFGPLGDQLHAQLRDLTSSSSDELSWIIELLEKDGMAFQEALDPGPFDQGSPFAQELLDDGQQASPYHPGSCGAGAPSPGSSDVSTAGTGASRSSHSSDSGGSDVDLDPTDGKLFPSDGFRDCKKGDPKHGKRKRGRPRKLSKEYWDCLEGKKSKHAPRGTHLWEFIRDILIHPELNEGLMKWENRHEGVFKFLRSEAVAQLWGQKKKNSNMTYEKLSRAMRYYYKREILERVDGRRLVYKFGKNSSGWKEEEVLQSRN
+>DECOY_sp|P78545|ELF3_HUMAN ETS-related transcription factor Elf-3 OS=Homo sapiens OX=9606 GN=ELF3 PE=1 SV=1
+NRSQLVEEEKWGSSNKGFKYVLRRGDVRELIERKYYYRMARSLKEYTMNSNKKKQGWLQAVAESRLFKFVGEHRNEWKMLGENLEPHILIDRIFEWLHTGRPAHKSKKGELCDWYEKSLKRPRGRKRKGHKPDGKKCDRFGDSPFLKGDTPDLDVDSGGSDSSHSSRSAGTGATSVDSSGPSPAGAGCSGPHYPSAQQGDDLLEQAFPSGQDFPGPDLAEQFAMGDKELLEIIWSLEDSSSSTLDRLQAHLQDGLPGFVLRLEELACNCLTAGDMDCRSFDIASADYKNKEVQYSIWDLVQTKSWFQPQEGLWSAKETGELSMQPNSLTLVLDDAGFTAAPPVSALTSDESSYMASFYNSFINSIECTAAM
+>sp|P41970|ELK3_HUMAN ETS domain-containing protein Elk-3 OS=Homo sapiens OX=9606 GN=ELK3 PE=1 SV=2
+MESAITLWQFLLQLLLDQKHEHLICWTSNDGEFKLLKAEEVAKLWGLRKNKTNMNYDKLSRALRYYYDKNIIKKVIGQKFVYKFVSFPEILKMDPHAVEISRESLLLQDSDCKASPEGREAHKHGLAALRSTSRNEYIHSGLYSSFTINSLQNPPDAFKAIKTEKLEEPPEDSPPVEEVRTVIRFVTNKTDKHVTRPVVSLPSTSEAAAASAFLASSVSAKISSLMLPNAASISSASPFSSRSPSLSPNSPLPSEHRSLFLEAACHDSDSLEPLNLSSGSKTKSPSLPPKAKKPKGLEISAPPLVLSGTDIGSIALNSPALPSGSLTPAFFTAQTPNGLLLTPSPLLSSIHFWSSLSPVAPLSPARLQGPSTLFQFPTLLNGHMPVPIPSLDRAASPVLLSSNSQKS
+>DECOY_sp|P41970|ELK3_HUMAN ETS domain-containing protein Elk-3 OS=Homo sapiens OX=9606 GN=ELK3 PE=1 SV=2
+SKQSNSSLLVPSAARDLSPIPVPMHGNLLTPFQFLTSPGQLRAPSLPAVPSLSSWFHISSLLPSPTLLLGNPTQATFFAPTLSGSPLAPSNLAISGIDTGSLVLPPASIELGKPKKAKPPLSPSKTKSGSSLNLPELSDSDHCAAELFLSRHESPLPSNPSLSPSRSSFPSASSISAANPLMLSSIKASVSSALFASAAAAESTSPLSVVPRTVHKDTKNTVFRIVTRVEEVPPSDEPPEELKETKIAKFADPPNQLSNITFSSYLGSHIYENRSTSRLAALGHKHAERGEPSAKCDSDQLLLSERSIEVAHPDMKLIEPFSVFKYVFKQGIVKKIINKDYYYRLARSLKDYNMNTKNKRLGWLKAVEEAKLLKFEGDNSTWCILHEHKQDLLLQLLFQWLTIASEM
+>sp|Q96JJ3|ELMO2_HUMAN Engulfment and cell motility protein 2 OS=Homo sapiens OX=9606 GN=ELMO2 PE=1 SV=2
+MPPPSDIVKVAIEWPGANAQLLEIDQKRPLASIIKEVCDGWSLPNPEYYTLRYADGPQLYITEQTRSDIKNGTILQLAISPSRAARQLMERTQSSNMETRLDAMKELAKLSADVTFATEFINMDGIIVLTRLVESGTKLLSHYSEMLAFTLTAFLELMDHGIVSWDMVSITFIKQIAGYVSQPMVDVSILQRSLAILESMVLNSQSLYQKIAEEITVGQLISHLQVSNQEIQTYAIALINALFLKAPEDKRQDMANAFAQKHLRSIILNHVIRGNRPIKTEMAHQLYVLQVLTFNLLEERMMTKMDPNDQAQRDIIFELRRIAFDAESDPSNAPGSGTEKRKAMYTKDYKMLGFTNHINPAMDFTQTPPGMLALDNMLYLAKVHQDTYIRIVLENSSREDKHECPFGRSAIELTKMLCEILQVGELPNEGRNDYHPMFFTHDRAFEELFGICIQLLNKTWKEMRATAEDFNKVMQVVREQITRALPSKPNSLDQFKSKLRSLSYSEILRLRQSERMSQDDFQSPPIVELREKIQPEILELIKQQRLNRLCEGSSFRKIGNRRRQERFWYCRLALNHKVLHYGDLDDNPQGEVTFESLQEKIPVADIKAIVTGKDCPHMKEKSALKQNKEVLELAFSILYDPDETLNFIAPNKYEYCIWIDGLSALLGKDMSSELTKSDLDTLLSMEMKLRLLDLENIQIPEAPPPIPKEPSSYDFVYHYG
+>DECOY_sp|Q96JJ3|ELMO2_HUMAN Engulfment and cell motility protein 2 OS=Homo sapiens OX=9606 GN=ELMO2 PE=1 SV=2
+GYHYVFDYSSPEKPIPPPAEPIQINELDLLRLKMEMSLLTDLDSKTLESSMDKGLLASLGDIWICYEYKNPAIFNLTEDPDYLISFALELVEKNQKLASKEKMHPCDKGTVIAKIDAVPIKEQLSEFTVEGQPNDDLDGYHLVKHNLALRCYWFREQRRRNGIKRFSSGECLRNLRQQKILELIEPQIKERLEVIPPSQFDDQSMRESQRLRLIESYSLSRLKSKFQDLSNPKSPLARTIQERVVQMVKNFDEATARMEKWTKNLLQICIGFLEEFARDHTFFMPHYDNRGENPLEGVQLIECLMKTLEIASRGFPCEHKDERSSNELVIRIYTDQHVKALYLMNDLALMGPPTQTFDMAPNIHNTFGLMKYDKTYMAKRKETGSGPANSPDSEADFAIRRLEFIIDRQAQDNPDMKTMMREELLNFTLVQLVYLQHAMETKIPRNGRIVHNLIISRLHKQAFANAMDQRKDEPAKLFLANILAIAYTQIEQNSVQLHSILQGVTIEEAIKQYLSQSNLVMSELIALSRQLISVDVMPQSVYGAIQKIFTISVMDWSVIGHDMLELFATLTFALMESYHSLLKTGSEVLRTLVIIGDMNIFETAFTVDASLKALEKMADLRTEMNSSQTREMLQRAARSPSIALQLITGNKIDSRTQETIYLQPGDAYRLTYYEPNPLSWGDCVEKIISALPRKQDIELLQANAGPWEIAVKVIDSPPPM
+>sp|Q9Y3B6|EMC9_HUMAN ER membrane protein complex subunit 9 OS=Homo sapiens OX=9606 GN=EMC9 PE=1 SV=3
+MGEVEISALAYVKMCLHAARYPHAAVNGLFLAPAPRSGECLCLTDCVPLFHSHLALSVMLEVALNQVDVWGAQAGLVVAGYYHANAAVNDQSPGPLALKIAGRIAEFFPDAVLIMLDNQKLVPQPRVPPVIVLENQGLRWVPKDKNLVMWRDWEESRQMVGALLEDRAHQHLVDFDCHLDDIRQDWTNQRLNTQITQWVGPTNGNGNA
+>DECOY_sp|Q9Y3B6|EMC9_HUMAN ER membrane protein complex subunit 9 OS=Homo sapiens OX=9606 GN=EMC9 PE=1 SV=3
+ANGNGNTPGVWQTIQTNLRQNTWDQRIDDLHCDFDVLHQHARDELLAGVMQRSEEWDRWMVLNKDKPVWRLGQNELVIVPPVRPQPVLKQNDLMILVADPFFEAIRGAIKLALPGPSQDNVAANAHYYGAVVLGAQAGWVDVQNLAVELMVSLALHSHFLPVCDTLCLCEGSRPAPALFLGNVAAHPYRAAHLCMKVYALASIEVEGM
+>sp|P50402|EMD_HUMAN Emerin OS=Homo sapiens OX=9606 GN=EMD PE=1 SV=1
+MDNYADLSDTELTTLLRRYNIPHGPVVGSTRRLYEKKIFEYETQRRRLSPPSSSAASSYSFSDLNSTRGDADMYDLPKKEDALLYQSKGYNDDYYEESYFTTRTYGEPESAGPSRAVRQSVTSFPDADAFHHQVHDDDLLSSSEEECKDRERPMYGRDSAYQSITHYRPVSASRSSLDLSYYPTSSSTSFMSSSSSSSSWLTRRAIRPENRAPGAGLGQDRQVPLWGQLLLFLVFVIVLFFIYHFMQAEEGNPF
+>DECOY_sp|P50402|EMD_HUMAN Emerin OS=Homo sapiens OX=9606 GN=EMD PE=1 SV=1
+FPNGEEAQMFHYIFFLVIVFVLFLLLQGWLPVQRDQGLGAGPARNEPRIARRTLWSSSSSSSSMFSTSSSTPYYSLDLSSRSASVPRYHTISQYASDRGYMPRERDKCEEESSSLLDDDHVQHHFADADPFSTVSQRVARSPGASEPEGYTRTTFYSEEYYDDNYGKSQYLLADEKKPLDYMDADGRTSNLDSFSYSSAASSSPPSLRRRQTEYEFIKKEYLRRTSGVVPGHPINYRRLLTTLETDSLDAYNDM
+>sp|P54851|EMP2_HUMAN Epithelial membrane protein 2 OS=Homo sapiens OX=9606 GN=EMP2 PE=1 SV=1
+MLVLLAFIIAFHITSAALLFIATVDNAWWVGDEFFADVWRICTNNTNCTVINDSFQEYSTLQAVQATMILSTILCCIAFFIFVLQLFRLKQGERFVLTSIIQLMSCLCVMIAASIYTDRREDIHDKNAKFYPVTREGSYGYSYILAWVAFACTFISGMMYLILRKRK
+>DECOY_sp|P54851|EMP2_HUMAN Epithelial membrane protein 2 OS=Homo sapiens OX=9606 GN=EMP2 PE=1 SV=1
+KRKRLILYMMGSIFTCAFAVWALIYSYGYSGERTVPYFKANKDHIDERRDTYISAAIMVCLCSMLQIISTLVFREGQKLRFLQLVFIFFAICCLITSLIMTAQVAQLTSYEQFSDNIVTCNTNNTCIRWVDAFFEDGVWWANDVTAIFLLAASTIHFAIIFALLVLM
+>sp|Q96FN9|DTD2_HUMAN D-aminoacyl-tRNA deacylase 2 OS=Homo sapiens OX=9606 GN=DTD2 PE=1 SV=1
+MAEGSRIPQARALLQQCLHARLQIRPADGDVAAQWVEVQRGLVIYVCFFKGADKELLPKMVNTLLNVKLSETENGKHVSILDLPGNILIIPQATLGGRLKGRNMQYHSNSGKEEGFELYSQFVTLCEKEVAANSKCAEARVVVEHGTYGNRQVLKLDTNGPFTHLIEF
+>DECOY_sp|Q96FN9|DTD2_HUMAN D-aminoacyl-tRNA deacylase 2 OS=Homo sapiens OX=9606 GN=DTD2 PE=1 SV=1
+FEILHTFPGNTDLKLVQRNGYTGHEVVVRAEACKSNAAVEKECLTVFQSYLEFGEEKGSNSHYQMNRGKLRGGLTAQPIILINGPLDLISVHKGNETESLKVNLLTNVMKPLLEKDAGKFFCVYIVLGRQVEVWQAAVDGDAPRIQLRAHLCQQLLARAQPIRSGEAM
+>sp|Q9BY84|DUS16_HUMAN Dual specificity protein phosphatase 16 OS=Homo sapiens OX=9606 GN=DUSP16 PE=1 SV=1
+MAHEMIGTQIVTERLVALLESGTEKVLLIDSRPFVEYNTSHILEAININCSKLMKRRLQQDKVLITELIQHSAKHKVDIDCSQKVVVYDQSSQDVASLSSDCFLTVLLGKLEKSFNSVHLLAGGFAEFSRCFPGLCEGKSTLVPTCISQPCLPVANIGPTRILPNLYLGCQRDVLNKELMQQNGIGYVLNASNTCPKPDFIPESHFLRVPVNDSFCEKILPWLDKSVDFIEKAKASNGCVLVHCLAGISRSATIAIAYIMKRMDMSLDEAYRFVKEKRPTISPNFNFLGQLLDYEKKIKNQTGASGPKSKLKLLHLEKPNEPVPAVSEGGQKSETPLSPPCADSATSEAAGQRPVHPASVPSVPSVQPSLLEDSPLVQALSGLHLSADRLEDSNKLKRSFSLDIKSVSYSASMAASLHGFSSSEDALEYYKPSTTLDGTNKLCQFSPVQELSEQTPETSPDKEEASIPKKLQTARPSDSQSKRLHSVRTSSSGTAQRSLLSPLHRSGSVEDNYHTSFLFGLSTSQQHLTKSAGLGLKGWHSDILAPQTSTPSLTSSWYFATESSHFYSASAIYGGSASYSAYSCSQLPTCGDQVYSVRRRQKPSDRADSRRSWHEESPFEKQFKRRSCQMEFGESIMSENRSREELGKVGSQSSFSGSMEIIEVS
+>DECOY_sp|Q9BY84|DUS16_HUMAN Dual specificity protein phosphatase 16 OS=Homo sapiens OX=9606 GN=DUSP16 PE=1 SV=1
+SVEIIEMSGSFSSQSGVKGLEERSRNESMISEGFEMQCSRRKFQKEFPSEEHWSRRSDARDSPKQRRRVSYVQDGCTPLQSCSYASYSASGGYIASASYFHSSETAFYWSSTLSPTSTQPALIDSHWGKLGLGASKTLHQQSTSLGFLFSTHYNDEVSGSRHLPSLLSRQATGSSSTRVSHLRKSQSDSPRATQLKKPISAEEKDPSTEPTQESLEQVPSFQCLKNTGDLTTSPKYYELADESSSFGHLSAAMSASYSVSKIDLSFSRKLKNSDELRDASLHLGSLAQVLPSDELLSPQVSPVSPVSAPHVPRQGAAESTASDACPPSLPTESKQGGESVAPVPENPKELHLLKLKSKPGSAGTQNKIKKEYDLLQGLFNFNPSITPRKEKVFRYAEDLSMDMRKMIYAIAITASRSIGALCHVLVCGNSAKAKEIFDVSKDLWPLIKECFSDNVPVRLFHSEPIFDPKPCTNSANLVYGIGNQQMLEKNLVDRQCGLYLNPLIRTPGINAVPLCPQSICTPVLTSKGECLGPFCRSFEAFGGALLHVSNFSKELKGLLVTLFCDSSLSAVDQSSQDYVVVKQSCDIDVKHKASHQILETILVKDQQLRRKMLKSCNINIAELIHSTNYEVFPRSDILLVKETGSELLAVLRETVIQTGIMEHAM
+>sp|Q9BVJ7|DUS23_HUMAN Dual specificity protein phosphatase 23 OS=Homo sapiens OX=9606 GN=DUSP23 PE=1 SV=1
+MGVQPPNFSWVLPGRLAGLALPRLPAHYQFLLDLGVRHLVSLTERGPPHSDSCPGLTLHRLRIPDFCPPAPDQIDRFVQIVDEANARGEAVGVHCALGFGRTGTMLACYLVKERGLAAGDAIAEIRRLRPGSIETYEQEKAVFQFYQRTK
+>DECOY_sp|Q9BVJ7|DUS23_HUMAN Dual specificity protein phosphatase 23 OS=Homo sapiens OX=9606 GN=DUSP23 PE=1 SV=1
+KTRQYFQFVAKEQEYTEISGPRLRRIEAIADGAALGREKVLYCALMTGTRGFGLACHVGVAEGRANAEDVIQVFRDIQDPAPPCFDPIRLRHLTLGPCSDSHPPGRETLSVLHRVGLDLLFQYHAPLRPLALGALRGPLVWSFNPPQVGM
+>sp|Q9BV47|DUS26_HUMAN Dual specificity protein phosphatase 26 OS=Homo sapiens OX=9606 GN=DUSP26 PE=1 SV=1
+MCPGNWLWASMTFMARFSRSSSRSPVRTRGTLEEMPTVQHPFLNVFELERLLYTGKTACNHADEVWPGLYLGDQDMANNRRELRRLGITHVLNASHSRWRGTPEAYEGLGIRYLGVEAHDSPAFDMSIHFQTAADFIHRALSQPGGKILVHCAVGVSRSATLVLAYLMLYHHLTLVEAIKKVKDHRGIIPNRGFLRQLLALDRRLRQGLEA
+>DECOY_sp|Q9BV47|DUS26_HUMAN Dual specificity protein phosphatase 26 OS=Homo sapiens OX=9606 GN=DUSP26 PE=1 SV=1
+AELGQRLRRDLALLQRLFGRNPIIGRHDKVKKIAEVLTLHHYLMLYALVLTASRSVGVACHVLIKGGPQSLARHIFDAATQFHISMDFAPSDHAEVGLYRIGLGEYAEPTGRWRSHSANLVHTIGLRRLERRNNAMDQDGLYLGPWVEDAHNCATKGTYLLRELEFVNLFPHQVTPMEELTGRTRVPSRSSSRSFRAMFTMSAWLWNGPCM
+>sp|Q16690|DUS5_HUMAN Dual specificity protein phosphatase 5 OS=Homo sapiens OX=9606 GN=DUSP5 PE=1 SV=2
+MKVTSLDGRQLRKMLRKEAAARCVVLDCRPYLAFAASNVRGSLNVNLNSVVLRRARGGAVSARYVLPDEAARARLLQEGGGGVAAVVVLDQGSRHWQKLREESAARVVLTSLLACLPAGPRVYFLKGGYETFYSEYPECCVDVKPISQEKIESERALISQCGKPVVNVSYRPAYDQGGPVEILPFLYLGSAYHASKCEFLANLHITALLNVSRRTSEACATHLHYKWIPVEDSHTADISSHFQEAIDFIDCVREKGGKVLVHCEAGISRSPTICMAYLMKTKQFRLKEAFDYIKQRRSMVSPNFGFMGQLLQYESEILPSTPNPQPPSCQGEAAGSSLIGHLQTLSPDMQGAYCTFPASVLAPVPTHSTVSELSRSPVATATSC
+>DECOY_sp|Q16690|DUS5_HUMAN Dual specificity protein phosphatase 5 OS=Homo sapiens OX=9606 GN=DUSP5 PE=1 SV=2
+CSTATAVPSRSLESVTSHTPVPALVSAPFTCYAGQMDPSLTQLHGILSSGAAEGQCSPPQPNPTSPLIESEYQLLQGMFGFNPSVMSRRQKIYDFAEKLRFQKTKMLYAMCITPSRSIGAECHVLVKGGKERVCDIFDIAEQFHSSIDATHSDEVPIWKYHLHTACAESTRRSVNLLATIHLNALFECKSAHYASGLYLFPLIEVPGGQDYAPRYSVNVVPKGCQSILARESEIKEQSIPKVDVCCEPYESYFTEYGGKLFYVRPGAPLCALLSTLVVRAASEERLKQWHRSGQDLVVVAAVGGGGEQLLRARAAEDPLVYRASVAGGRARRLVVSNLNVNLSGRVNSAAFALYPRCDLVVCRAAAEKRLMKRLQRGDLSTVKM
+>sp|Q16829|DUS7_HUMAN Dual specificity protein phosphatase 7 OS=Homo sapiens OX=9606 GN=DUSP7 PE=1 SV=4
+MKNQLRGPPARAHMSTSGAAAAGGTRAGSEPGAGSGSGAGTGAGAATGAGAMPCKSAEWLQEELEARGGASLLLLDCRPHELFESSHIETAINLAIPGLMLRRLRKGNLPIRSIIPNHADKERFATRCKAATVLLYDEATAEWQPEPGAPASVLGLLLQKLRDDGCQAYYLQGGFNKFQTEYSEHCETNVDSSSSPSSSPPTSVLGLGGLRISSDCSDGESDRELPSSATESDGSPVPSSQPAFPVQILPYLYLGCAKDSTNLDVLGKYGIKYILNVTPNLPNAFEHGGEFTYKQIPISDHWSQNLSQFFPEAISFIDEARSKKCGVLVHCLAGISRSVTVTVAYLMQKMNLSLNDAYDFVKRKKSNISPNFNFMGQLLDFERTLGLSSPCDNHASSEQLYFSTPTNHNLFPLNTLEST
+>DECOY_sp|Q16829|DUS7_HUMAN Dual specificity protein phosphatase 7 OS=Homo sapiens OX=9606 GN=DUSP7 PE=1 SV=4
+TSELTNLPFLNHNTPTSFYLQESSAHNDCPSSLGLTREFDLLQGMFNFNPSINSKKRKVFDYADNLSLNMKQMLYAVTVTVSRSIGALCHVLVGCKKSRAEDIFSIAEPFFQSLNQSWHDSIPIQKYTFEGGHEFANPLNPTVNLIYKIGYKGLVDLNTSDKACGLYLYPLIQVPFAPQSSPVPSGDSETASSPLERDSEGDSCDSSIRLGGLGLVSTPPSSSPSSSSDVNTECHESYETQFKNFGGQLYYAQCGDDRLKQLLLGLVSAPAGPEPQWEATAEDYLLVTAAKCRTAFREKDAHNPIISRIPLNGKRLRRLMLGPIALNIATEIHSSEFLEHPRCDLLLLSAGGRAELEEQLWEASKCPMAGAGTAAGAGTGAGSGSGAGPESGARTGGAAAAGSTSMHARAPPGRLQNKM
+>sp|A6NLW8|DUXA_HUMAN Double homeobox protein A OS=Homo sapiens OX=9606 GN=DUXA PE=3 SV=1
+MAEDTYSHKMVKTNHRRCRTKFTEEQLKILINTFNQKPYPGYATKQKLALEINTEESRIQIWFQNRRARHGFQKRPEAETLESSQSQGQDQPGVEFQSREARRCRTTYSASQLHTLIKAFMKNPYPGIDSREELAKEIGVPESRVQIWFQNRRSRLLLQRKREPVASLEQEEQGKIPEGLQGAEDTQNGTNFTSDSHFSGARTW
+>DECOY_sp|A6NLW8|DUXA_HUMAN Double homeobox protein A OS=Homo sapiens OX=9606 GN=DUXA PE=3 SV=1
+WTRAGSFHSDSTFNTGNQTDEAGQLGEPIKGQEEQELSAVPERKRQLLLRSRRNQFWIQVRSEPVGIEKALEERSDIGPYPNKMFAKILTHLQSASYTTRCRRAERSQFEVGPQDQGQSQSSELTEAEPRKQFGHRARRNQFWIQIRSEETNIELALKQKTAYGPYPKQNFTNILIKLQEETFKTRCRRHNTKVMKHSYTDEAM
+>sp|O14640|DVL1_HUMAN Segment polarity protein dishevelled homolog DVL-1 OS=Homo sapiens OX=9606 GN=DVL1 PE=1 SV=2
+MAETKIIYHMDEEETPYLVKLPVAPERVTLADFKNVLSNRPVHAYKFFFKSMDQDFGVVKEEIFDDNAKLPCFNGRVVSWLVLAEGAHSDAGSQGTDSHTDLPPPLERTGGIGDSRPPSFHPNVASSRDGMDNETGTESMVSHRRERARRRNREEAARTNGHPRGDRRRDVGLPPDSASTALSSELESSSFVDSDEDGSTSRLSSSTEQSTSSRLIRKHKRRRRKQRLRQADRASSFSSITDSTMSLNIVTVTLNMERHHFLGISIVGQSNDRGDGGIYIGSIMKGGAVAADGRIEPGDMLLQVNDVNFENMSNDDAVRVLREIVSQTGPISLTVAKCWDPTPRSYFTVPRADPVRPIDPAAWLSHTAALTGALPRYGTSPCSSAVTRTSSSSLTSSVPGAPQLEEAPLTVKSDMSAVVRVMQLPDSGLEIRDRMWLKITIANAVIGADVVDWLYTHVEGFKERREARKYASSLLKHGFLRHTVNKITFSEQCYYVFGDLCSNLATLNLNSGSSGTSDQDTLAPLPHPAAPWPLGQGYPYQYPGPPPCFPPAYQDPGFSYGSGSTGSQQSEGSKSSGSTRSSRRAPGREKERRAAGAGGSGSESDHTAPSGVGSSWRERPAGQLSRGSSPRSQASATAPGLPPPHPTTKAYTVVGGPPGGPPVRELAAVPPELTGSRQSFQKAMGNPCEFFVDIM
+>DECOY_sp|O14640|DVL1_HUMAN Segment polarity protein dishevelled homolog DVL-1 OS=Homo sapiens OX=9606 GN=DVL1 PE=1 SV=2
+MIDVFFECPNGMAKQFSQRSGTLEPPVAALERVPPGGPPGGVVTYAKTTPHPPPLGPATASAQSRPSSGRSLQGAPRERWSSGVGSPATHDSESGSGGAGAARREKERGPARRSSRTSGSSKSGESQQSGTSGSGYSFGPDQYAPPFCPPPGPYQYPYGQGLPWPAAPHPLPALTDQDSTGSSGSNLNLTALNSCLDGFVYYCQESFTIKNVTHRLFGHKLLSSAYKRAERREKFGEVHTYLWDVVDAGIVANAITIKLWMRDRIELGSDPLQMVRVVASMDSKVTLPAEELQPAGPVSSTLSSSSTRTVASSCPSTGYRPLAGTLAATHSLWAAPDIPRVPDARPVTFYSRPTPDWCKAVTLSIPGTQSVIERLVRVADDNSMNEFNVDNVQLLMDGPEIRGDAAVAGGKMISGIYIGGDGRDNSQGVISIGLFHHREMNLTVTVINLSMTSDTISSFSSARDAQRLRQKRRRRKHKRILRSSTSQETSSSLRSTSGDEDSDVFSSSELESSLATSASDPPLGVDRRRDGRPHGNTRAAEERNRRRARERRHSVMSETGTENDMGDRSSAVNPHFSPPRSDGIGGTRELPPPLDTHSDTGQSGADSHAGEALVLWSVVRGNFCPLKANDDFIEEKVVGFDQDMSKFFFKYAHVPRNSLVNKFDALTVREPAVPLKVLYPTEEEDMHYIIKTEAM
+>sp|P54792|DVLP1_HUMAN Putative segment polarity protein dishevelled homolog DVL1P1 OS=Homo sapiens OX=9606 GN=DVL1P1 PE=5 SV=1
+MAETKIIYHMDEEETPYLVKLPVAPERVTLADFKNVLSNRPVHAYKFFFKSMDQDFGVVKEEIFDDNAKLPCFNGRVVSWLVLVEGAHSDAGSQGTDSHTDLPPPLERTGGIGDSRSPSFQPDVASSRDGMDNETGTESMVSHRRDRARRRNREEAARTNGHPRGDRRRDVGLPPDSASTALSSELESSSFVDSDEDDSTSRLSSSTEQSTSSRLIRKHKRRRRKQRLRQADRASSFSSMTDSTMSLNIITVTLNMERHHFLGICIVGQSNDRGDGGIYIGSIMKGGAVAADGRIEPGDMLLQVNDVNFENMSNDDAVRVLREIVSQTGPISLTVAKCWDPTPRSYFTVPRPDPVRPIDPAAWLSHTAALTGALPRPQLEEAPLTVESDMNTVVRVMQLPDSGLEIRDRMWLKITIANAVIGADVVDWLYTHVEGFKERREARKYASSLLKHGFLRHTVNKITFSEQCYYVFGDLCSNLATLNLNSGSSGTSDQDTLAPLPHPAAPWPLGQGYPYQYPGPPPCFPPAYQDPGFSYGSGSTGSQQSEGSKSSGSTRNTLRPPACEKERRAAGSGDSDSESDHTAPSGVGSSWRERPADQLSRGSSPRSQASSYAPGLPPPHPTTKAYTVVGGPPGGPPVRELAAVPPELTGSRQSFQKAMGNPCEFFVDIM
+>DECOY_sp|P54792|DVLP1_HUMAN Putative segment polarity protein dishevelled homolog DVL1P1 OS=Homo sapiens OX=9606 GN=DVL1P1 PE=5 SV=1
+MIDVFFECPNGMAKQFSQRSGTLEPPVAALERVPPGGPPGGVVTYAKTTPHPPPLGPAYSSAQSRPSSGRSLQDAPRERWSSGVGSPATHDSESDSDGSGAARREKECAPPRLTNRTSGSSKSGESQQSGTSGSGYSFGPDQYAPPFCPPPGPYQYPYGQGLPWPAAPHPLPALTDQDSTGSSGSNLNLTALNSCLDGFVYYCQESFTIKNVTHRLFGHKLLSSAYKRAERREKFGEVHTYLWDVVDAGIVANAITIKLWMRDRIELGSDPLQMVRVVTNMDSEVTLPAEELQPRPLAGTLAATHSLWAAPDIPRVPDPRPVTFYSRPTPDWCKAVTLSIPGTQSVIERLVRVADDNSMNEFNVDNVQLLMDGPEIRGDAAVAGGKMISGIYIGGDGRDNSQGVICIGLFHHREMNLTVTIINLSMTSDTMSSFSSARDAQRLRQKRRRRKHKRILRSSTSQETSSSLRSTSDDEDSDVFSSSELESSLATSASDPPLGVDRRRDGRPHGNTRAAEERNRRRARDRRHSVMSETGTENDMGDRSSAVDPQFSPSRSDGIGGTRELPPPLDTHSDTGQSGADSHAGEVLVLWSVVRGNFCPLKANDDFIEEKVVGFDQDMSKFFFKYAHVPRNSLVNKFDALTVREPAVPLKVLYPTEEEDMHYIIKTEAM
+>sp|P0DN84|DWORF_HUMAN Sarcoplasmic/endoplasmic reticulum calcium ATPase regulator DWORF OS=Homo sapiens OX=9606 PE=3 SV=1
+MAEKGSTFSHLLVPILLLIGWIVGCIIMIYVVFS
+>DECOY_sp|P0DN84|DWORF_HUMAN Sarcoplasmic/endoplasmic reticulum calcium ATPase regulator DWORF OS=Homo sapiens OX=9606 PE=3 SV=1
+SFVVYIMIICGVIWGILLLIPVLLHSFTSGKEAM
+>sp|Q13838|DX39B_HUMAN Spliceosome RNA helicase DDX39B OS=Homo sapiens OX=9606 GN=DDX39B PE=1 SV=1
+MAENDVDNELLDYEDDEVETAAGGDGAEAPAKKDVKGSYVSIHSSGFRDFLLKPELLRAIVDCGFEHPSEVQHECIPQAILGMDVLCQAKSGMGKTAVFVLATLQQLEPVTGQVSVLVMCHTRELAFQISKEYERFSKYMPNVKVAVFFGGLSIKKDEEVLKKNCPHIVVGTPGRILALARNKSLNLKHIKHFILDECDKMLEQLDMRRDVQEIFRMTPHEKQVMMFSATLSKEIRPVCRKFMQDPMEIFVDDETKLTLHGLQQYYVKLKDNEKNRKLFDLLDVLEFNQVVIFVKSVQRCIALAQLLVEQNFPAIAIHRGMPQEERLSRYQQFKDFQRRILVATNLFGRGMDIERVNIAFNYDMPEDSDTYLHRVARAGRFGTKGLAITFVSDENDAKILNDVQDRFEVNISELPDEIDISSYIEQTR
+>DECOY_sp|Q13838|DX39B_HUMAN Spliceosome RNA helicase DDX39B OS=Homo sapiens OX=9606 GN=DDX39B PE=1 SV=1
+RTQEIYSSIDIEDPLESINVEFRDQVDNLIKADNEDSVFTIALGKTGFRGARAVRHLYTDSDEPMDYNFAINVREIDMGRGFLNTAVLIRRQFDKFQQYRSLREEQPMGRHIAIAPFNQEVLLQALAICRQVSKVFIVVQNFELVDLLDFLKRNKENDKLKVYYQQLGHLTLKTEDDVFIEMPDQMFKRCVPRIEKSLTASFMMVQKEHPTMRFIEQVDRRMDLQELMKDCEDLIFHKIHKLNLSKNRALALIRGPTGVVIHPCNKKLVEEDKKISLGGFFVAVKVNPMYKSFREYEKSIQFALERTHCMVLVSVQGTVPELQQLTALVFVATKGMGSKAQCLVDMGLIAQPICEHQVESPHEFGCDVIARLLEPKLLFDRFGSSHISVYSGKVDKKAPAEAGDGGAATEVEDDEYDLLENDVDNEAM
+>sp|O77932|DXO_HUMAN Decapping and exoribonuclease protein OS=Homo sapiens OX=9606 GN=DXO PE=2 SV=2
+MDPRGTKRGAEKTEVAEPRNKLPRPAPSLPTDPALYSGPFPFYRRPSELGCFSLDAQRQYHGDARALRYYSPPPTNGPGPNFDLRDGYPDRYQPRDEEVQERLDHLLCWLLEHRGRLEGGPGWLAEAIVTWRGHLTKLLTTPYERQEGWQLAASRFQGTLYLSEVETPNARAQRLARPPLLRELMYMGYKFEQYMCADKPGSSPDPSGEVNTNVAFCSVLRSRLGSHPLLFSGEVDCTDPQAPSTQPPTCYVELKTSKEMHSPGQWRSFYRHKLLKWWAQSFLPGVPNVVAGFRNPDGFVSSLKTFPTMKMFEYVRNDRDGWNPSVCMNFCAAFLSFAQSTVVQDDPRLVHLFSWEPGGPVTVSVHQDAPYAFLPIWYVEAMTQDLPSPPKTPSPK
+>DECOY_sp|O77932|DXO_HUMAN Decapping and exoribonuclease protein OS=Homo sapiens OX=9606 GN=DXO PE=2 SV=2
+KPSPTKPPSPLDQTMAEVYWIPLFAYPADQHVSVTVPGGPEWSFLHVLRPDDQVVTSQAFSLFAACFNMCVSPNWGDRDNRVYEFMKMTPFTKLSSVFGDPNRFGAVVNPVGPLFSQAWWKLLKHRYFSRWQGPSHMEKSTKLEVYCTPPQTSPAQPDTCDVEGSFLLPHSGLRSRLVSCFAVNTNVEGSPDPSSGPKDACMYQEFKYGMYMLERLLPPRALRQARANPTEVESLYLTGQFRSAALQWGEQREYPTTLLKTLHGRWTVIAEALWGPGGELRGRHELLWCLLHDLREQVEEDRPQYRDPYGDRLDFNPGPGNTPPPSYYRLARADGHYQRQADLSFCGLESPRRYFPFPGSYLAPDTPLSPAPRPLKNRPEAVETKEAGRKTGRPDM
+>sp|Q96IM9|DYDC2_HUMAN DPY30 domain-containing protein 2 OS=Homo sapiens OX=9606 GN=DYDC2 PE=2 SV=1
+METNYLKRCFGNCLAQALAEVAKVRPSDPIEYLAHWLYHYRKTAKAKEENREKKIHLQEEYDSSLKEMEMTEMLKQEEYQIQQNCEKCHKELTSETVSTKKTIFMQEDTNPLEKEALKQEFLPGTSSLIPGMPQQVPPSESAGQIDQNFKMPQEINYKEAFQHEVAHEMPPGSKSPF
+>DECOY_sp|Q96IM9|DYDC2_HUMAN DPY30 domain-containing protein 2 OS=Homo sapiens OX=9606 GN=DYDC2 PE=2 SV=1
+FPSKSGPPMEHAVEHQFAEKYNIEQPMKFNQDIQGASESPPVQQPMGPILSSTGPLFEQKLAEKELPNTDEQMFITKKTSVTESTLEKHCKECNQQIQYEEQKLMETMEMEKLSSDYEEQLHIKKERNEEKAKATKRYHYLWHALYEIPDSPRVKAVEALAQALCNGFCRKLYNTEM
+>sp|Q8WXX0|DYH7_HUMAN Dynein heavy chain 7, axonemal OS=Homo sapiens OX=9606 GN=DNAH7 PE=1 SV=2
+MSSEQDKSASKEKSKKPVRFLPQLSMEKLASKEKFKAPARALPQLSMVSTKPHWQQAAPSFHLSVKQDDESPEPFSVKNEQSHAEYMERFGKKGKLPHQVDDSYVGPSTSKSKGKSPHKERENFRSTLVNVIMQQDADLDSAVPDGSTIPKPTASAIEKDILRYYYYIHHGIDTDHVAPMEDSWLEHVLDLVPQHLKVFTDSIVTLSDEMREDYLLSVRKSIVDFVLKDPREKGDDKKTDELPAHRAEMEILPKPWRKSFLAASSYIRDHLNAMNPTMLAVLDLWHTNFKKLRLVDIKEFHNCQDALELSSFQNIIMRHMDSAKETLLKMWFPEVQNIYYQGNKKKQLPTGDSSAKLESFFNCAAALMTLQLQDLTLVSMQDFTDLIAQPPDSVRAFEHPGFIMRLILDNDTIKFEPELSDYIDIFLNVYDVMIKAVSFVPRVETKLYSKWESKSKPTTLKPIILNEIVDAHKEKIKEVIMKESVAPTEHLRLYDKYDFLITRKAERDVDNFLAENHSYEKIIDEICKYQKLIEEIQYTSIKTIRLGMFEMHCEELIRALVKRADIICGKLLAKMFRDHQEVNTRLCDEFERIAEKALSTPPNTAELMEMKAYIQKVEVTDMIELEQRLVDSKNCLAFLIEYVNFSPADMRLNNSVFQWYGRMGEIFEEHRKIIKEKIEQYQEGLKLRCERFVEELESYAKQSEEFYSFGDLQDVQRYLKKAQILNGKLDLAADKIEQFNAEEEAFGWLPSVYPQRKKIQDGLNPYLRLYETAVEFSSNYRAWTEGPYHKVNPDQVEADIGNYWRGLYKLEKTFHDSPYALAMTKKVRSKVEDFKQHIPLIQVICNPGLRPRHWEAMSAIVGYPLQPSDDSTVSSFLDMNLEPYIDRFEGISEAASKEYSLEKAMEKMITEWDAVEFVIHSYRETGTFILASVDEIQMLLDDHIIKTQTMRGSPFIKPYEKQMREWEGKLLLLQEILDEWLKVQATWLYLEPIFSSPDIMSQMPEEGRRFTAVDKTWRDIMRSVMQDKHVLTVVTIDRMLERLKKSNELLELILKGLNEYLEKKRLFFPRFFFLSNDELLEILSETKDPTRVQPHLKKCFEGIAKVEFTETLDITHMKSSEGEVVELIEIISTAKARGQVEKWLVELERVMINSIHKVTGDATFAYTKYERINWVRDWPGQTVLCVSQIFWTKEVQTAIPMGIKALEQYLKTCNRQIDDIVTLVRGKLSMQNRVTLGALVVLDVHARDVLSSLVKKNISDDSDFEWLSQLRYYWQENHLETKMINAGLRYGYEYLGNSPRLVITPLTDRCYRTLFGALHLHLGGAPEGPAGTGKTETTKDLAKAVAKQCVVFNCSDGLDYLALGKFFKGLLSCGAWACFDEFNRIDLEVLSVVAQQILTIQRGINAGADILMFEGTELKLDPTCAVFITMNPGYAGRSELPDNLKALFRTVAMMVPDYAMIAEIVLYSCGFVTARPLSVKIVATYRLCSEQLSSQHHYDYGMRAVKSVLTAAGNLKLKYPNENEEILLLRSIIDVNLPKFLSHDLPLFEGITSDLFPGVKLPKPDYNDLLAAIKDNCASMNLQMTAFFSEKILQVYEMMIVRHGFMIVGEPFGGKTSAYRVLAGALNDICEKGLMEENKVQITVLNPKSVTMGQLYGQFDSVSHEWSDGVLAVSFRAFASSVTPDRKWLIFDGPVDAVWIENMNTVLDDNKKLCLMSGEIIQMSPQMNLIFEPMDLEVASPATVSRCGMIYMEPHMLGWRPLMLSWVNLLPASVSVIQKEFIMGLFDRMVPVSVEFIRKHTKELSPTSDTNLVRSLMNLIDCFMDDFADEVKLKERNDRETYSLLEGIFLFSLIWSVGASCTDDDRLKFNKILRELMESPISDRTRNTFKLQSGTEQTSSKALTVPFPEKGTIYDYQFVTEGIGKWEPWIKKLKEAPPIPKDVMFNEIIVPTLDTIRYSALMELLTTHQKPSIFVGPTGTGKSVYITNFLLNQLNKEIYKPLLINFSAQTTAAQTQNIVMSKLDKRRKGVFGPPLGKRMVVFVDDVNMPAREVYGAQPPIELLRQWLDHWNWYDLKDCSMIKLVDIQIMCAMGPPGGGRNPVTPRYMRHFNIITINEFSDKSMYTIFSRILTWHLEICYKFPDEFLDLTTQIVNGTMTLYKEAMKNLLPTPAKSHYLFNLRDFSRVIQGVCLSRPETTETTEVIKRLWVHEVLRVYYDRLLDNTDRSWLINYIQEILRNYMYEDFHELFQRLDFDNDGMVEADDLRSLMFCDFHDPKREDTNYREIADVDNLRMIVEIHLEEYNNISKKPMNLVLFRFAIEHISRISRILKQPRSHALLVGVGGSGRQSVTRLAAHMADYSVFQVEISKGYDTTEWHEDLKVILRKCAEGEMQGVFLFTDTQIKEESFLEDVSNLLNAGEIPNLFALDEKQEICDKMRQLDRQRDKTKQTDGSPIALFNMFIDHCRSQLHVVLAMSPIGDAFRNRLRKFPALVNCCTIDWFQSWPEDALQAVASRFLEEIEMSEEIRDGCIDMCKSFHTSTIDLSKSFFVELQRYNYVTPTSYLELISTFKLLLEKKRSEVMKMKKRYEVGLEKLDSASSQVATMQMELEALHPQLKVASKEVDEMMIMIEKESVEVAKTEKIVKADETIANEQAMASKAIKDECDADLAGALPILESALAALDTLTAQDITVVKSMKSPPAGVKLVMEAICILKGIKADKIPDPTGSGKKIEDFWGPAKRLLGDMRFLQSLHEYDKDNIPPAYMNIIRKNYIPNPDFVPEKIRNASTAAEGLCKWVIAMDSYDKVAKIVAPKKIKLAAAEGELKIAMDGLRKKQAALKEVQDKLARLQDTLELNKQKKADLENQVDLCSKKLERAEQLIGGLGGEKTRWSHTALELGQLYINLTGDILISSGVVAYLGAFTSTYRQNQTKEWTTLCKGRDIPCSDDCSLMGTLGEAVTIRTWNIAGLPSDSFSIDNGIIIMNARRWPLMIDPQSQANKWIKNMEKANSLYVIKLSEPDYVRTLENCIQFGTPVLLENVGEELDPILEPLLLKQTFKQGGSTCIRLGDSTIEYAPDFRFYITTKLRNPHYLPETSVKVTLLNFMITPEGMQDQLLGIVVAQERPDLEEEKQALILQGAENKRQLKEIEDKILEVLSSSEGNILEDETAIKILSSSKALANEISQKQEVAEETEKKIDTTRMGYRPIAIHSSILFFSLADLANIEPMYQYSLTWFINLFILSIENSEKSEILAKRLQILKDHFTYSLYVNVCRSLFEKDKLLFSFCLTINLLLHERAINKAEWRFLLTGGIGLDNPYANLCTWLPQKSWDEICRLDDLPAFKTIRREFMRLKDGWKKVYDSLEPHHEVFPEEWEDKANEFQRMLIIRCLRPDKVIPMLQEFIINRLGRAFIEPPPFDLAKAFGDSNCCAPLIFVLSPGADPMAALLKFADDQGYGGSKLSSLSLGQGQGPIAMKMLEKAVKEGTWVVLQNCHLATSWMPTLEKVCEELSPESTHPDFRMWLTSYPSPNFPVSVLQNGVKMTNEAPKGLRANIIRSYLMDPISDPEFFGSCKKPEEFKKLLYGLCFFHALVQERRKFGPLGWNIPYEFNETDLRISVQQLHMFLNQYEELPYEALRYMTGECNYGGRVTDDWDRRTLRSILNKFFNPELVENSDYKFDSSGIYFVPPSGDHKSYIEYTKTLPLTPAPEIFGMNANADITKDQSETQLLFDNILLTQSRSAGAGAKSSDEVVNEVASDILGKLPNNFDIEAAMRRYPTTYTQSMNTVLVQEMGRFNKLLKTIRDSCVNIQKAIKGLAVMSTDLEEVVSSILNVKIPEMWMGKSYPSLKPLGSYVNDFLARLKFLQQWYEVGPPPVFWLSGFFFTQAFLTGAQQNYARKYTIPIDLLGFDYEVMEDKEYKHPPEDGVFIHGLFLDGASWNRKIKKLAESHPKILYDTVPVMWLKPCKRADIPKRPSYVAPLYKTSERRGVLSTTGHSTNFVIAMTLPSDQPKEHWIGRGVALLCQLNS
+>DECOY_sp|Q8WXX0|DYH7_HUMAN Dynein heavy chain 7, axonemal OS=Homo sapiens OX=9606 GN=DNAH7 PE=1 SV=2
+SNLQCLLAVGRGIWHEKPQDSPLTMAIVFNTSHGTTSLVGRRESTKYLPAVYSPRKPIDARKCPKLWMVPVTDYLIKPHSEALKKIKRNWSAGDLFLGHIFVGDEPPHKYEKDEMVEYDFGLLDIPITYKRAYNQQAGTLFAQTFFFGSLWFVPPPGVEYWQQLFKLRALFDNVYSGLPKLSPYSKGMWMEPIKVNLISSVVEELDTSMVALGKIAKQINVCSDRITKLLKNFRGMEQVLVTNMSQTYTTPYRRMAAEIDFNNPLKGLIDSAVENVVEDSSKAGAGASRSQTLLINDFLLQTESQDKTIDANANMGFIEPAPTLPLTKTYEIYSKHDGSPPVFYIGSSDFKYDSNEVLEPNFFKNLISRLTRRDWDDTVRGGYNCEGTMYRLAEYPLEEYQNLFMHLQQVSIRLDTENFEYPINWGLPGFKRREQVLAHFFCLGYLLKKFEEPKKCSGFFEPDSIPDMLYSRIINARLGKPAENTMKVGNQLVSVPFNPSPYSTLWMRFDPHTSEPSLEECVKELTPMWSTALHCNQLVVWTGEKVAKELMKMAIPGQGQGLSLSSLKSGGYGQDDAFKLLAAMPDAGPSLVFILPACCNSDGFAKALDFPPPEIFARGLRNIIFEQLMPIVKDPRLCRIILMRQFENAKDEWEEPFVEHHPELSDYVKKWGDKLRMFERRITKFAPLDDLRCIEDWSKQPLWTCLNAYPNDLGIGGTLLFRWEAKNIAREHLLLNITLCFSFLLKDKEFLSRCVNVYLSYTFHDKLIQLRKALIESKESNEISLIFLNIFWTLSYQYMPEINALDALSFFLISSHIAIPRYGMRTTDIKKETEEAVEQKQSIENALAKSSSLIKIATEDELINGESSSLVELIKDEIEKLQRKNEAGQLILAQKEEELDPREQAVVIGLLQDQMGEPTIMFNLLTVKVSTEPLYHPNRLKTTIYFRFDPAYEITSDGLRICTSGGQKFTQKLLLPELIPDLEEGVNELLVPTGFQICNELTRVYDPESLKIVYLSNAKEMNKIWKNAQSQPDIMLPWRRANMIIIGNDISFSDSPLGAINWTRITVAEGLTGMLSCDDSCPIDRGKCLTTWEKTQNQRYTSTFAGLYAVVGSSILIDGTLNIYLQGLELATHSWRTKEGGLGGILQEARELKKSCLDVQNELDAKKQKNLELTDQLRALKDQVEKLAAQKKRLGDMAIKLEGEAAALKIKKPAVIKAVKDYSDMAIVWKCLGEAATSANRIKEPVFDPNPIYNKRIINMYAPPINDKDYEHLSQLFRMDGLLRKAPGWFDEIKKGSGTPDPIKDAKIGKLICIAEMVLKVGAPPSKMSKVVTIDQATLTDLAALASELIPLAGALDADCEDKIAKSAMAQENAITEDAKVIKETKAVEVSEKEIMIMMEDVEKSAVKLQPHLAELEMQMTAVQSSASDLKELGVEYRKKMKMVESRKKELLLKFTSILELYSTPTVYNYRQLEVFFSKSLDITSTHFSKCMDICGDRIEESMEIEELFRSAVAQLADEPWSQFWDITCCNVLAPFKRLRNRFADGIPSMALVVHLQSRCHDIFMNFLAIPSGDTQKTKDRQRDLQRMKDCIEQKEDLAFLNPIEGANLLNSVDELFSEEKIQTDTFLFVGQMEGEACKRLIVKLDEHWETTDYGKSIEVQFVSYDAMHAALRTVSQRGSGGVGVLLAHSRPQKLIRSIRSIHEIAFRFLVLNMPKKSINNYEELHIEVIMRLNDVDAIERYNTDERKPDHFDCFMLSRLDDAEVMGDNDFDLRQFLEHFDEYMYNRLIEQIYNILWSRDTNDLLRDYYVRLVEHVWLRKIVETTETTEPRSLCVGQIVRSFDRLNFLYHSKAPTPLLNKMAEKYLTMTGNVIQTTLDLFEDPFKYCIELHWTLIRSFITYMSKDSFENITIINFHRMYRPTVPNRGGGPPGMACMIQIDVLKIMSCDKLDYWNWHDLWQRLLEIPPQAGYVERAPMNVDDVFVVMRKGLPPGFVGKRRKDLKSMVINQTQAATTQASFNILLPKYIEKNLQNLLFNTIYVSKGTGTPGVFISPKQHTTLLEMLASYRITDLTPVIIENFMVDKPIPPAEKLKKIWPEWKGIGETVFQYDYITGKEPFPVTLAKSSTQETGSQLKFTNRTRDSIPSEMLERLIKNFKLRDDDTCSAGVSWILSFLFIGELLSYTERDNREKLKVEDAFDDMFCDILNMLSRVLNTDSTPSLEKTHKRIFEVSVPVMRDFLGMIFEKQIVSVSAPLLNVWSLMLPRWGLMHPEMYIMGCRSVTAPSAVELDMPEFILNMQPSMQIIEGSMLCLKKNDDLVTNMNEIWVADVPGDFILWKRDPTVSSAFARFSVALVGDSWEHSVSDFQGYLQGMTVSKPNLVTIQVKNEEMLGKECIDNLAGALVRYASTKGGFPEGVIMFGHRVIMMEYVQLIKESFFATMQLNMSACNDKIAALLDNYDPKPLKVGPFLDSTIGEFLPLDHSLFKPLNVDIISRLLLIEENENPYKLKLNGAATLVSKVARMGYDYHHQSSLQESCLRYTAVIKVSLPRATVFGCSYLVIEAIMAYDPVMMAVTRFLAKLNDPLESRGAYGPNMTIFVACTPDLKLETGEFMLIDAGANIGRQITLIQQAVVSLVELDIRNFEDFCAWAGCSLLGKFFKGLALYDLGDSCNFVVCQKAVAKALDKTTETKGTGAPGEPAGGLHLHLAGFLTRYCRDTLPTIVLRPSNGLYEYGYRLGANIMKTELHNEQWYYRLQSLWEFDSDDSINKKVLSSLVDRAHVDLVVLAGLTVRNQMSLKGRVLTVIDDIQRNCTKLYQELAKIGMPIATQVEKTWFIQSVCLVTQGPWDRVWNIREYKTYAFTADGTVKHISNIMVRELEVLWKEVQGRAKATSIIEILEVVEGESSKMHTIDLTETFEVKAIGEFCKKLHPQVRTPDKTESLIELLEDNSLFFFRPFFLRKKELYENLGKLILELLENSKKLRELMRDITVVTLVHKDQMVSRMIDRWTKDVATFRRGEEPMQSMIDPSSFIPELYLWTAQVKLWEDLIEQLLLLKGEWERMQKEYPKIFPSGRMTQTKIIHDDLLMQIEDVSALIFTGTERYSHIVFEVADWETIMKEMAKELSYEKSAAESIGEFRDIYPELNMDLFSSVTSDDSPQLPYGVIASMAEWHRPRLGPNCIVQILPIHQKFDEVKSRVKKTMALAYPSDHFTKELKYLGRWYNGIDAEVQDPNVKHYPGETWARYNSSFEVATEYLRLYPNLGDQIKKRQPYVSPLWGFAEEEANFQEIKDAALDLKGNLIQAKKLYRQVDQLDGFSYFEESQKAYSELEEVFRECRLKLGEQYQEIKEKIIKRHEEFIEGMRGYWQFVSNNLRMDAPSFNVYEILFALCNKSDVLRQELEIMDTVEVKQIYAKMEMLEATNPPTSLAKEAIREFEDCLRTNVEQHDRFMKALLKGCIIDARKVLARILEECHMEFMGLRITKISTYQIEEILKQYKCIEDIIKEYSHNEALFNDVDREAKRTILFDYKDYLRLHETPAVSEKMIVEKIKEKHADVIENLIIPKLTTPKSKSEWKSYLKTEVRPVFSVAKIMVDYVNLFIDIYDSLEPEFKITDNDLILRMIFGPHEFARVSDPPQAILDTFDQMSVLTLDQLQLTMLAAACNFFSELKASSDGTPLQKKKNGQYYINQVEPFWMKLLTEKASDMHRMIINQFSSLELADQCNHFEKIDVLRLKKFNTHWLDLVALMTPNMANLHDRIYSSAALFSKRWPKPLIEMEARHAPLEDTKKDDGKERPDKLVFDVISKRVSLLYDERMEDSLTVISDTFVKLHQPVLDLVHELWSDEMPAVHDTDIGHHIYYYYRLIDKEIASATPKPITSGDPVASDLDADQQMIVNVLTSRFNEREKHPSKGKSKSTSPGVYSDDVQHPLKGKKGFREMYEAHSQENKVSFPEPSEDDQKVSLHFSPAAQQWHPKTSVMSLQPLARAPAKFKEKSALKEMSLQPLFRVPKKSKEKSASKDQESSM
+>sp|Q96FJ2|DYL2_HUMAN Dynein light chain 2, cytoplasmic OS=Homo sapiens OX=9606 GN=DYNLL2 PE=1 SV=1
+MSDRKAVIKNADMSEDMQQDAVDCATQAMEKYNIEKDIAAYIKKEFDKKYNPTWHCIVGRNFGSYVTHETKHFIYFYLGQVAILLFKSG
+>DECOY_sp|Q96FJ2|DYL2_HUMAN Dynein light chain 2, cytoplasmic OS=Homo sapiens OX=9606 GN=DYNLL2 PE=1 SV=1
+GSKFLLIAVQGLYFYIFHKTEHTVYSGFNRGVICHWTPNYKKDFEKKIYAAIDKEINYKEMAQTACDVADQQMDESMDANKIVAKRDSM
+>sp|P63172|DYLT1_HUMAN Dynein light chain Tctex-type 1 OS=Homo sapiens OX=9606 GN=DYNLT1 PE=1 SV=1
+MEDYQAAEETAFVVDEVSNIVKEAIESAIGGNAYQHSKVNQWTTNVVEQTLSQLTKLGKPFKYIVTCVIMQKNGAGLHTASSCFWDSSTDGSCTVRWENKTMYCIVSAFGLSI
+>DECOY_sp|P63172|DYLT1_HUMAN Dynein light chain Tctex-type 1 OS=Homo sapiens OX=9606 GN=DYNLT1 PE=1 SV=1
+ISLGFASVICYMTKNEWRVTCSGDTSSDWFCSSATHLGAGNKQMIVCTVIYKFPKGLKTLQSLTQEVVNTTWQNVKSHQYANGGIASEIAEKVINSVEDVVFATEEAAQYDEM
+>sp|P43003|EAA1_HUMAN Excitatory amino acid transporter 1 OS=Homo sapiens OX=9606 GN=SLC1A3 PE=1 SV=1
+MTKSNGEEPKMGGRMERFQQGVRKRTLLAKKKVQNITKEDVKSYLFRNAFVLLTVTAVIVGTILGFTLRPYRMSYREVKYFSFPGELLMRMLQMLVLPLIISSLVTGMAALDSKASGKMGMRAVVYYMTTTIIAVVIGIIIVIIIHPGKGTKENMHREGKIVRVTAADAFLDLIRNMFPPNLVEACFKQFKTNYEKRSFKVPIQANETLVGAVINNVSEAMETLTRITEELVPVPGSVNGVNALGLVVFSMCFGFVIGNMKEQGQALREFFDSLNEAIMRLVAVIMWYAPVGILFLIAGKIVEMEDMGVIGGQLAMYTVTVIVGLLIHAVIVLPLLYFLVTRKNPWVFIGGLLQALITALGTSSSSATLPITFKCLEENNGVDKRVTRFVLPVGATINMDGTALYEALAAIFIAQVNNFELNFGQIITISITATAASIGAAGIPQAGLVTMVIVLTSVGLPTDDITLIIAVDWFLDRLRTTTNVLGDSLGAGIVEHLSRHELKNRDVEMGNSVIEENEMKKPYQLIAQDNETEKPIDSETKM
+>DECOY_sp|P43003|EAA1_HUMAN Excitatory amino acid transporter 1 OS=Homo sapiens OX=9606 GN=SLC1A3 PE=1 SV=1
+MKTESDIPKETENDQAILQYPKKMENEEIVSNGMEVDRNKLEHRSLHEVIGAGLSDGLVNTTTRLRDLFWDVAIILTIDDTPLGVSTLVIVMTVLGAQPIGAAGISAATATISITIIQGFNLEFNNVQAIFIAALAEYLATGDMNITAGVPLVFRTVRKDVGNNEELCKFTIPLTASSSSTGLATILAQLLGGIFVWPNKRTVLFYLLPLVIVAHILLGVIVTVTYMALQGGIVGMDEMEVIKGAILFLIGVPAYWMIVAVLRMIAENLSDFFERLAQGQEKMNGIVFGFCMSFVVLGLANVGNVSGPVPVLEETIRTLTEMAESVNNIVAGVLTENAQIPVKFSRKEYNTKFQKFCAEVLNPPFMNRILDLFADAATVRVIKGERHMNEKTGKGPHIIIVIIIGIVVAIITTTMYYVVARMGMKGSAKSDLAAMGTVLSSIILPLVLMQLMRMLLEGPFSFYKVERYSMRYPRLTFGLITGVIVATVTLLVFANRFLYSKVDEKTINQVKKKALLTRKRVGQQFREMRGGMKPEEGNSKTM
+>sp|Q96CJ1|EAF2_HUMAN ELL-associated factor 2 OS=Homo sapiens OX=9606 GN=EAF2 PE=1 SV=1
+MNSAAGFSHLDRRERVLKLGESFEKQPRCAFHTVRYDFKPASIDTSSEGYLEVGEGEQVTITLPNIEGSTPPVTVFKGSKKPYLKECILIINHDTGECRLEKLSSNITVKKTRVEGSSKIQYRKEQQQQQMWNSARTPNLVKHSPSEDKMSPASPIDDIERELKAEASLMDQMSSCDSSSDSKSSSSSSSEDSSSDSEDEDCKSSTSDTGNCVSGHPTMTQYRIPDIDASHNRFRDNSGLLMNTLRNDLQLSESGSDSDD
+>DECOY_sp|Q96CJ1|EAF2_HUMAN ELL-associated factor 2 OS=Homo sapiens OX=9606 GN=EAF2 PE=1 SV=1
+DDSDSGSESLQLDNRLTNMLLGSNDRFRNHSADIDPIRYQTMTPHGSVCNGTDSTSSKCDEDESDSSSDESSSSSSSKSDSSSDCSSMQDMLSAEAKLEREIDDIPSAPSMKDESPSHKVLNPTRASNWMQQQQQEKRYQIKSSGEVRTKKVTINSSLKELRCEGTDHNIILICEKLYPKKSGKFVTVPPTSGEINPLTITVQEGEGVELYGESSTDISAPKFDYRVTHFACRPQKEFSEGLKLVRERRDLHSFGAASNM
+>sp|Q6P2I7|EBLN2_HUMAN Endogenous Bornavirus-like nucleoprotein 2 OS=Homo sapiens OX=9606 GN=EBLN2 PE=2 SV=1
+MGYFLKLYAYVNSHSLFVWVCDRSYKRSFRPMILNKIKELSRNQFSTMSHLRKDSQPSSPGDDAMDRSGLPDLQGRFELSGKNRQYPLDALEPQPSIGDIKDIKKAAKSMLDPAHKSHFHPVTPSLVFLCFIFDGLHQALLSVGVSKRSNTVVGNENEERGTPYASRFKDMPNFIALEKSSVLRHCCDLLIGIAAGSSDKICTSSLQVQRRFKAMMASIGRLSHGESADLLISCNAESAIGWISSRPWVGELMFTLLFGDFESPLHKLRKSS
+>DECOY_sp|Q6P2I7|EBLN2_HUMAN Endogenous Bornavirus-like nucleoprotein 2 OS=Homo sapiens OX=9606 GN=EBLN2 PE=2 SV=1
+SSKRLKHLPSEFDGFLLTFMLEGVWPRSSIWGIASEANCSILLDASEGHSLRGISAMMAKFRRQVQLSSTCIKDSSGAAIGILLDCCHRLVSSKELAIFNPMDKFRSAYPTGREENENGVVTNSRKSVGVSLLAQHLGDFIFCLFVLSPTVPHFHSKHAPDLMSKAAKKIDKIDGISPQPELADLPYQRNKGSLEFRGQLDPLGSRDMADDGPSSPQSDKRLHSMTSFQNRSLEKIKNLIMPRFSRKYSRDCVWVFLSHSNVYAYLKLFYGM
+>sp|P40939|ECHA_HUMAN Trifunctional enzyme subunit alpha, mitochondrial OS=Homo sapiens OX=9606 GN=HADHA PE=1 SV=2
+MVACRAIGILSRFSAFRILRSRGYICRNFTGSSALLTRTHINYGVKGDVAVVRINSPNSKVNTLSKELHSEFSEVMNEIWASDQIRSAVLISSKPGCFIAGADINMLAACKTLQEVTQLSQEAQRIVEKLEKSTKPIVAAINGSCLGGGLEVAISCQYRIATKDRKTVLGTPEVLLGALPGAGGTQRLPKMVGVPAALDMMLTGRSIRADRAKKMGLVDQLVEPLGPGLKPPEERTIEYLEEVAITFAKGLADKKISPKRDKGLVEKLTAYAMTIPFVRQQVYKKVEEKVRKQTKGLYPAPLKIIDVVKTGIEQGSDAGYLCESQKFGELVMTKESKALMGLYHGQVLCKKNKFGAPQKDVKHLAILGAGLMGAGIAQVSVDKGLKTILKDATLTALDRGQQQVFKGLNDKVKKKALTSFERDSIFSNLTGQLDYQGFEKADMVIEAVFEDLSLKHRVLKEVEAVIPDHCIFASNTSALPISEIAAVSKRPEKVIGMHYFSPVDKMQLLEIITTEKTSKDTSASAVAVGLKQGKVIIVVKDGPGFYTTRCLAPMMSEVIRILQEGVDPKKLDSLTTSFGFPVGAATLVDEVGVDVAKHVAEDLGKVFGERFGGGNPELLTQMVSKGFLGRKSGKGFYIYQEGVKRKDLNSDMDSILASLKLPPKSEVSSDEDIQFRLVTRFVNEAVMCLQEGILATPAEGDIGAVFGLGFPPCLGGPFRFVDLYGAQKIVDRLKKYEAAYGKQFTPCQLLADHANSPNKKFYQ
+>DECOY_sp|P40939|ECHA_HUMAN Trifunctional enzyme subunit alpha, mitochondrial OS=Homo sapiens OX=9606 GN=HADHA PE=1 SV=2
+QYFKKNPSNAHDALLQCPTFQKGYAAEYKKLRDVIKQAGYLDVFRFPGGLCPPFGLGFVAGIDGEAPTALIGEQLCMVAENVFRTVLRFQIDEDSSVESKPPLKLSALISDMDSNLDKRKVGEQYIYFGKGSKRGLFGKSVMQTLLEPNGGGFREGFVKGLDEAVHKAVDVGVEDVLTAAGVPFGFSTTLSDLKKPDVGEQLIRIVESMMPALCRTTYFGPGDKVVIIVKGQKLGVAVASASTDKSTKETTIIELLQMKDVPSFYHMGIVKEPRKSVAAIESIPLASTNSAFICHDPIVAEVEKLVRHKLSLDEFVAEIVMDAKEFGQYDLQGTLNSFISDREFSTLAKKKVKDNLGKFVQQQGRDLATLTADKLITKLGKDVSVQAIGAGMLGAGLIALHKVDKQPAGFKNKKCLVQGHYLGMLAKSEKTMVLEGFKQSECLYGADSGQEIGTKVVDIIKLPAPYLGKTQKRVKEEVKKYVQQRVFPITMAYATLKEVLGKDRKPSIKKDALGKAFTIAVEELYEITREEPPKLGPGLPEVLQDVLGMKKARDARISRGTLMMDLAAPVGVMKPLRQTGGAGPLAGLLVEPTGLVTKRDKTAIRYQCSIAVELGGGLCSGNIAAVIPKTSKELKEVIRQAEQSLQTVEQLTKCAALMNIDAGAIFCGPKSSILVASRIQDSAWIENMVESFESHLEKSLTNVKSNPSNIRVVAVDGKVGYNIHTRTLLASSGTFNRCIYGRSRLIRFASFRSLIGIARCAVM
+>sp|Q86YB7|ECHD2_HUMAN Enoyl-CoA hydratase domain-containing protein 2, mitochondrial OS=Homo sapiens OX=9606 GN=ECHDC2 PE=1 SV=2
+MLRVLCLLRPWRPLRARGCASDGAAGGSEIQVRALAGPDQGITEILMNRPSARNALGNVFVSELLETLAQLREDRQVRVLLFRSGVKGVFCAGADLKEREQMSEAEVGVFVQRLRGLMNDIAAFPAPTIAAMDGFALGGGLELALACDLRVAASSAVMGLIETTRGLLPGAGGTQRLPRCLGVALAKELIFTGRRLSGTEAHVLGLVNHAVAQNEEGDAAYQRARALAQEILPQAPIAVRLGKVAIDRGTEVDIASGMAIEGMCYAQNIPTRDRLEGMAAFREKRTPKFVGK
+>DECOY_sp|Q86YB7|ECHD2_HUMAN Enoyl-CoA hydratase domain-containing protein 2, mitochondrial OS=Homo sapiens OX=9606 GN=ECHDC2 PE=1 SV=2
+KGVFKPTRKERFAAMGELRDRTPINQAYCMGEIAMGSAIDVETGRDIAVKGLRVAIPAQPLIEQALARARQYAADGEENQAVAHNVLGLVHAETGSLRRGTFILEKALAVGLCRPLRQTGGAGPLLGRTTEILGMVASSAAVRLDCALALELGGGLAFGDMAAITPAPFAAIDNMLGRLRQVFVGVEAESMQEREKLDAGACFVGKVGSRFLLVRVQRDERLQALTELLESVFVNGLANRASPRNMLIETIGQDPGALARVQIESGGAAGDSACGRARLPRWPRLLCLVRLM
+>sp|Q96DC8|ECHD3_HUMAN Enoyl-CoA hydratase domain-containing protein 3, mitochondrial OS=Homo sapiens OX=9606 GN=ECHDC3 PE=1 SV=2
+MAAVAVLRAFGASGPMCLRRGPWAQLPARFCSRDPAGAGRRESEPRPTSARQLDGIRNIVLSNPKKRNALSLAMLKSLQSDILHDADSNDLKVIIISAEGPVFSSGHDLKELTEEQGRDYHAEVFQTCSKVMMHIRNHPVPVIAMVNGLAAAAGCQLVASCDIAVASDKSSFATPGVNVGLFCSTPGVALARAVPRKVALEMLFTGEPISAQEALLHGLLSKVVPEAELQEETMRIARKIASLSRPVVSLGKATFYKQLPQDLGTAYYLTSQAMVDNLALRDGQEGITAFLQKRKPVWSHEPV
+>DECOY_sp|Q96DC8|ECHD3_HUMAN Enoyl-CoA hydratase domain-containing protein 3, mitochondrial OS=Homo sapiens OX=9606 GN=ECHDC3 PE=1 SV=2
+VPEHSWVPKRKQLFATIGEQGDRLALNDVMAQSTLYYATGLDQPLQKYFTAKGLSVVPRSLSAIKRAIRMTEEQLEAEPVVKSLLGHLLAEQASIPEGTFLMELAVKRPVARALAVGPTSCFLGVNVGPTAFSSKDSAVAIDCSAVLQCGAAAALGNVMAIVPVPHNRIHMMVKSCTQFVEAHYDRGQEETLEKLDHGSSFVPGEASIIIVKLDNSDADHLIDSQLSKLMALSLANRKKPNSLVINRIGDLQRASTPRPESERRGAGAPDRSCFRAPLQAWPGRRLCMPGSAGFARLVAVAAM
+>sp|P30084|ECHM_HUMAN Enoyl-CoA hydratase, mitochondrial OS=Homo sapiens OX=9606 GN=ECHS1 PE=1 SV=4
+MAALRVLLSCVRGPLRPPVRCPAWRPFASGANFEYIIAEKRGKNNTVGLIQLNRPKALNALCDGLIDELNQALKTFEEDPAVGAIVLTGGDKAFAAGADIKEMQNLSFQDCYSSKFLKHWDHLTQVKKPVIAAVNGYAFGGGCELAMMCDIIYAGEKAQFAQPEILIGTIPGAGGTQRLTRAVGKSLAMEMVLTGDRISAQDAKQAGLVSKICPVETLVEEAIQCAEKIASNSKIVVAMAKESVNAAFEMTLTEGSKLEKKLFYSTFATDDRKEGMTAFVEKRKANFKDQ
+>DECOY_sp|P30084|ECHM_HUMAN Enoyl-CoA hydratase, mitochondrial OS=Homo sapiens OX=9606 GN=ECHS1 PE=1 SV=4
+QDKFNAKRKEVFATMGEKRDDTAFTSYFLKKELKSGETLTMEFAANVSEKAMAVVIKSNSAIKEACQIAEEVLTEVPCIKSVLGAQKADQASIRDGTLVMEMALSKGVARTLRQTGGAGPITGILIEPQAFQAKEGAYIIDCMMALECGGGFAYGNVAAIVPKKVQTLHDWHKLFKSSYCDQFSLNQMEKIDAGAAFAKDGGTLVIAGVAPDEEFTKLAQNLEDILGDCLANLAKPRNLQILGVTNNKGRKEAIIYEFNAGSAFPRWAPCRVPPRLPGRVCSLLVRLAAM
+>sp|O75521|ECI2_HUMAN Enoyl-CoA delta isomerase 2, mitochondrial OS=Homo sapiens OX=9606 GN=ECI2 PE=1 SV=4
+MAMAYLAWRLARRSCPSSLQVTSFPVVQLHMNRTAMRASQKDFENSMNQVKLLKKDPGNEVKLKLYALYKQATEGPCNMPKPGVFDLINKAKWDAWNALGSLPKEAARQNYVDLVSSLSPSLESSSQVEPGTDRKSTGFETLVVTSEDGITKIMFNRPKKKNAINTEMYHEIMRALKAASKDDSIITVLTGNGDYYSSGNDLTNFTDIPPGGVEEKAKNNAVLLREFVGCFIDFPKPLIAVVNGPAVGISVTLLGLFDAVYASDRATFHTPFSHLGQSPEGCSSYTFPKIMSPAKATEMLIFGKKLTAGEACAQGLVTEVFPDSTFQKEVWTRLKAFAKLPPNALRISKEVIRKREREKLHAVNAEECNVLQGRWLSDECTNAVVNFLSRKSKL
+>DECOY_sp|O75521|ECI2_HUMAN Enoyl-CoA delta isomerase 2, mitochondrial OS=Homo sapiens OX=9606 GN=ECI2 PE=1 SV=4
+LKSKRSLFNVVANTCEDSLWRGQLVNCEEANVAHLKERERKRIVEKSIRLANPPLKAFAKLRTWVEKQFTSDPFVETVLGQACAEGATLKKGFILMETAKAPSMIKPFTYSSCGEPSQGLHSFPTHFTARDSAYVADFLGLLTVSIGVAPGNVVAILPKPFDIFCGVFERLLVANNKAKEEVGGPPIDTFNTLDNGSSYYDGNGTLVTIISDDKSAAKLARMIEHYMETNIANKKKPRNFMIKTIGDESTVVLTEFGTSKRDTGPEVQSSSELSPSLSSVLDVYNQRAAEKPLSGLANWADWKAKNILDFVGPKPMNCPGETAQKYLAYLKLKVENGPDKKLLKVQNMSNEFDKQSARMATRNMHLQVVPFSTVQLSSPCSRRALRWALYAMAM
+>sp|Q19T08|ECSCR_HUMAN Endothelial cell-specific chemotaxis regulator OS=Homo sapiens OX=9606 GN=ECSCR PE=1 SV=1
+MGTAGAMQLCWVILGFLLFRGHNSQPTMTQTSSSQGGLGGLSLTTEPVSSNPGYIPSSEANRPSHLSSTGTPGAGVPSSGRDGGTSRDTFQTVPPNSTTMSLSMREDATILPSPTSETVLTVAAFGVISFIVILVVVVIILVGVVSLRFKCRKSKESEDPQKPGSSGLSESCSTANGEKDSITLISMKNINMNNGKQSLSAEKVL
+>DECOY_sp|Q19T08|ECSCR_HUMAN Endothelial cell-specific chemotaxis regulator OS=Homo sapiens OX=9606 GN=ECSCR PE=1 SV=1
+LVKEASLSQKGNNMNINKMSILTISDKEGNATSCSESLGSSGPKQPDESEKSKRCKFRLSVVGVLIIVVVVLIVIFSIVGFAAVTLVTESTPSPLITADERMSLSMTTSNPPVTQFTDRSTGGDRGSSPVGAGPTGTSSLHSPRNAESSPIYGPNSSVPETTLSLGGLGGQSSSTQTMTPQSNHGRFLLFGLIVWCLQMAGATGM
+>sp|A0A1B0GTR0|EDD13_HUMAN Epididymal protein 13 OS=Homo sapiens OX=9606 GN=EDDM13 PE=3 SV=1
+MHRSEPFLKMSLLILLFLGLAEACTPREVATKEKINLLKGIIGLMSRLSPDGLRHNITSLKMPPLVSPQDRTEEEIKKILGLLSLQVLHEETSGCKEEVKPFSGTTPSRKPLPKRKNTWNFLKCAYMVMTYLFVSYNKGDWCYCHYCNLELDIRDDPCCSF
+>DECOY_sp|A0A1B0GTR0|EDD13_HUMAN Epididymal protein 13 OS=Homo sapiens OX=9606 GN=EDDM13 PE=3 SV=1
+FSCCPDDRIDLELNCYHCYCWDGKNYSVFLYTMVMYACKLFNWTNKRKPLPKRSPTTGSFPKVEEKCGSTEEHLVQLSLLGLIKKIEEETRDQPSVLPPMKLSTINHRLGDPSLRSMLGIIGKLLNIKEKTAVERPTCAEALGLFLLILLSMKLFPESRHM
+>sp|Q92611|EDEM1_HUMAN ER degradation-enhancing alpha-mannosidase-like protein 1 OS=Homo sapiens OX=9606 GN=EDEM1 PE=1 SV=1
+MQWRALVLGLVLLRLGLHGVLWLVFGLGPSMGFYQRFPLSFGFQRLRSPDGPASPTSGPVGRPGGVSGPSWLQPPGTGAAQSPRKAPRRPGPGMCGPANWGYVLGGRGRGPDEYEKRYSGAFPPQLRAQMRDLARGMFVFGYDNYMAHAFPQDELNPIHCRGRGPDRGDPSNLNINDVLGNYSLTLVDALDTLAIMGNSSEFQKAVKLVINTVSFDKDSTVQVFEATIRVLGSLLSAHRIITDSKQPFGDMTIKDYDNELLYMAHDLAVRLLPAFENTKTGIPYPRVNLKTGVPPDTNNETCTAGAGSLLVEFGILSRLLGDSTFEWVARRAVKALWNLRSNDTGLLGNVVNIQTGHWVGKQSGLGAGLDSFYEYLLKSYILFGEKEDLEMFNAAYQSIQNYLRRGREACNEGEGDPPLYVNVNMFSGQLMNTWIDSLQAFFPGLQVLIGDVEDAICLHAFYYAIWKRYGALPERYNWQLQAPDVLFYPLRPELVESTYLLYQATKNPFYLHVGMDILQSLEKYTKVKCGYATLHHVIDKSTEDRMESFFLSETCKYLYLLFDEDNPVHKSGTRYMFTTEGHIVSVDEHLRELPWKEFFSEEGGQDQGGKSVHRPKPHELKVINSSSNCNRVPDERRYSLPLKSIYMRQIDQMVGLI
+>DECOY_sp|Q92611|EDEM1_HUMAN ER degradation-enhancing alpha-mannosidase-like protein 1 OS=Homo sapiens OX=9606 GN=EDEM1 PE=1 SV=1
+ILGVMQDIQRMYISKLPLSYRREDPVRNCNSSSNIVKLEHPKPRHVSKGGQDQGGEESFFEKWPLERLHEDVSVIHGETTFMYRTGSKHVPNDEDFLLYLYKCTESLFFSEMRDETSKDIVHHLTAYGCKVKTYKELSQLIDMGVHLYFPNKTAQYLLYTSEVLEPRLPYFLVDPAQLQWNYREPLAGYRKWIAYYFAHLCIADEVDGILVQLGPFFAQLSDIWTNMLQGSFMNVNVYLPPDGEGENCAERGRRLYNQISQYAANFMELDEKEGFLIYSKLLYEYFSDLGAGLGSQKGVWHGTQINVVNGLLGTDNSRLNWLAKVARRAVWEFTSDGLLRSLIGFEVLLSGAGATCTENNTDPPVGTKLNVRPYPIGTKTNEFAPLLRVALDHAMYLLENDYDKITMDGFPQKSDTIIRHASLLSGLVRITAEFVQVTSDKDFSVTNIVLKVAKQFESSNGMIALTDLADVLTLSYNGLVDNINLNSPDGRDPGRGRCHIPNLEDQPFAHAMYNDYGFVFMGRALDRMQARLQPPFAGSYRKEYEDPGRGRGGLVYGWNAPGCMGPGPRRPAKRPSQAAGTGPPQLWSPGSVGGPRGVPGSTPSAPGDPSRLRQFGFSLPFRQYFGMSPGLGFVLWLVGHLGLRLLVLGLVLARWQM
+>sp|Q3B7T1|EDRF1_HUMAN Erythroid differentiation-related factor 1 OS=Homo sapiens OX=9606 GN=EDRF1 PE=1 SV=1
+MGDAKEAGAEGPPAGAAARGGLSLLSQGESEESSAQGSALFLGGNEVKSRAVVKYSSAPPRTAFARLEEKTDLKLPPANWLRESAKLGPAGTTILGNSKKSKPFSSFGMAYDFIDSVGNDVDVVSDSENIKKLLKIPYSKSHVSMAVHRIGRTLLLDELDIQELFMRSSQTGDWTWLKEFYQRLIDQKWQRKKKSKEHWYQKAILSKFLYYSINGDGAAQPVSSTAEQQESSSSDQTNDSEGASWPAPFEMPSSVSEDPSASSQGSEPLEPSYIVGHVASAPKEQNLITLFNDGEHSQGLKNDFVRNILWTFEDIHMLVGSNMPIFGGGRYPAVSLRLRDNNKPINVLTGIDYWLDNLICNVPELVMCFHVNGIVQKYEMIKTEEIPNLENSNFSTKVIKDIAQNILSFLKSNCTKEGHTYWLFKASGSDIVKLYDLTTLCEETEDKYQNPFTMPVAILLYKVACNMMMKKNQNKKHYGTIRTLLLNCLKLLDKSRHPQIIASANYMLSELFQLDEPKKEENSESPLNENSDESYSEEEEEMPDSDENGSYSTSSDPSDDSKAVAIIKSVGELSVPEKYKSIHQIRPSCAFPVCHDTEERCRLVLSYVLEGLKSVDSSIKKESDLPAADPSTPIPLKYEDESSRGGPEGLEKQMALFLDKMGSLQKGNYSSQSGMIPGSWQHKMKLQLILKSSKAYYVLSDAAMSLQKYGRALRYIKLALQSHDTYCCLCTNMLSEVLLFLSQYLTLCGDIQLMLAQNANNRAAHLEEFHYQTKEDQEILHSLHRESSCQGFAWATDLSTDLESQLSVSCKCYEAANEILQFSDLKSQNPEHYVQVLKRMGNIRNEIGVFYMNQAAALQSERLVSKSVSAAEQQLWKKSFSCFEKGIHNFESIEDATNAALLLCNTGRLMRICAQAHCGAGDELKREFSPEEGLYYNKAIDYYLKALRSLGTRDIHPAVWDSVNWELSTTYFTMATLQQDYAPLSRKAQEQIEKEVSEAMMKSLKYCDVDSVSARQPLCQYRAATIHHRLASMYHSCLRNQVGDEHLRKQHRVLADLHYSKAAKLFQLLKDAPCELLRVQLERVAFAEFQMTSQNSNVGKLKTLSGALDIMVRTEHAFQLIQKELIEEFGQPKSGDAAAAADASPSLNREEVMKLLSIFESRLSFLLLQSIKLLSSTKKKTSNNIEDDTILKTNKHIYSQLLRATANKTATLLERINVIVHLLGQLAAGSAASSNAVQ
+>DECOY_sp|Q3B7T1|EDRF1_HUMAN Erythroid differentiation-related factor 1 OS=Homo sapiens OX=9606 GN=EDRF1 PE=1 SV=1
+QVANSSAASGAALQGLLHVIVNIRELLTATKNATARLLQSYIHKNTKLITDDEINNSTKKKTSSLLKISQLLLFSLRSEFISLLKMVEERNLSPSADAAAAADGSKPQGFEEILEKQILQFAHETRVMIDLAGSLTKLKGVNSNQSTMQFEAFAVRELQVRLLECPADKLLQFLKAAKSYHLDALVRHQKRLHEDGVQNRLCSHYMSALRHHITAARYQCLPQRASVSDVDCYKLSKMMAESVEKEIQEQAKRSLPAYDQQLTAMTFYTTSLEWNVSDWVAPHIDRTGLSRLAKLYYDIAKNYYLGEEPSFERKLEDGAGCHAQACIRMLRGTNCLLLAANTADEISEFNHIGKEFCSFSKKWLQQEAASVSKSVLRESQLAAAQNMYFVGIENRINGMRKLVQVYHEPNQSKLDSFQLIENAAEYCKCSVSLQSELDTSLDTAWAFGQCSSERHLSHLIEQDEKTQYHFEELHAARNNANQALMLQIDGCLTLYQSLFLLVESLMNTCLCCYTDHSQLALKIYRLARGYKQLSMAADSLVYYAKSSKLILQLKMKHQWSGPIMGSQSSYNGKQLSGMKDLFLAMQKELGEPGGRSSEDEYKLPIPTSPDAAPLDSEKKISSDVSKLGELVYSLVLRCREETDHCVPFACSPRIQHISKYKEPVSLEGVSKIIAVAKSDDSPDSSTSYSGNEDSDPMEEEEESYSEDSNENLPSESNEEKKPEDLQFLESLMYNASAIIQPHRSKDLLKLCNLLLTRITGYHKKNQNKKMMMNCAVKYLLIAVPMTFPNQYKDETEECLTTLDYLKVIDSGSAKFLWYTHGEKTCNSKLFSLINQAIDKIVKTSFNSNELNPIEETKIMEYKQVIGNVHFCMVLEPVNCILNDLWYDIGTLVNIPKNNDRLRLSVAPYRGGGFIPMNSGVLMHIDEFTWLINRVFDNKLGQSHEGDNFLTILNQEKPASAVHGVIYSPELPESGQSSASPDESVSSPMEFPAPWSAGESDNTQDSSSSEQQEATSSVPQAAGDGNISYYLFKSLIAKQYWHEKSKKKRQWKQDILRQYFEKLWTWDGTQSSRMFLEQIDLEDLLLTRGIRHVAMSVHSKSYPIKLLKKINESDSVVDVDNGVSDIFDYAMGFSSFPKSKKSNGLITTGAPGLKASERLWNAPPLKLDTKEELRAFATRPPASSYKVVARSKVENGGLFLASGQASSEESEGQSLLSLGGRAAAGAPPGEAGAEKADGM
+>sp|Q7L9B9|EEPD1_HUMAN Endonuclease/exonuclease/phosphatase family domain-containing protein 1 OS=Homo sapiens OX=9606 GN=EEPD1 PE=1 SV=2
+MGSTLGCHRSIPRDPSDLSHSRKFSAACNFSNILVNQERLNINTATEEELMTLPGVTRAVARSIVEYREYIGGFKKVEDLALVSGVGATKLEQVKFEICVSSKGSSAQHSPSSLRRDLLAEQQPHHLATAVPLTPRVNINTATPAQLMSVRGLSEKMALSIVDFRREHGPFRSVEDLVRMDGINAAFLDRIRHQVFAERSRPPSTHTNGGLTFTAKPHPSPTSLSLQSEDLDLPPGGPTQIISTRPSVEAFGGTRDGRPVLRLATWNLQGCSVEKANNPGVREVVCMTLLENSIKLLAVQELLDREALEKFCTELNQPTLPNIRKWKGPRGCWKAVVAEKPSSQLQKGAGYAGFLWDAAAGMELRDAGSQESSPSNGHGKLAGPSPYLGRFKVGSHDLTLVNLHLAALTLLGSENPSKNHSDGHRLASFAQTLQETLKGEKDVIILGDFGQGPDSNDYDILRKEKFHHLIPAHTFTNISTKNPQGSKSLDNIWISKSLKKVFTGHWAVVREGLTNPWIPDNWSWGGVASEHCPVLAEFYTEKDWSKKDAPRNGSGVALERSEANIKHER
+>DECOY_sp|Q7L9B9|EEPD1_HUMAN Endonuclease/exonuclease/phosphatase family domain-containing protein 1 OS=Homo sapiens OX=9606 GN=EEPD1 PE=1 SV=2
+REHKINAESRELAVGSGNRPADKKSWDKETYFEALVPCHESAVGGWSWNDPIWPNTLGERVVAWHGTFVKKLSKSIWINDLSKSGQPNKTSINTFTHAPILHHFKEKRLIDYDNSDPGQGFDGLIIVDKEGKLTEQLTQAFSALRHGDSHNKSPNESGLLTLAALHLNVLTLDHSGVKFRGLYPSPGALKGHGNSPSSEQSGADRLEMGAAADWLFGAYGAGKQLQSSPKEAVVAKWCGRPGKWKRINPLTPQNLETCFKELAERDLLEQVALLKISNELLTMCVVERVGPNNAKEVSCGQLNWTALRLVPRGDRTGGFAEVSPRTSIIQTPGGPPLDLDESQLSLSTPSPHPKATFTLGGNTHTSPPRSREAFVQHRIRDLFAANIGDMRVLDEVSRFPGHERRFDVISLAMKESLGRVSMLQAPTATNINVRPTLPVATALHHPQQEALLDRRLSSPSHQASSGKSSVCIEFKVQELKTAGVGSVLALDEVKKFGGIYERYEVISRAVARTVGPLTMLEEETATNINLREQNVLINSFNCAASFKRSHSLDSPDRPISRHCGLTSGM
+>sp|Q96G04|EF2KT_HUMAN Protein-lysine N-methyltransferase EEF2KMT OS=Homo sapiens OX=9606 GN=EEF2KMT PE=1 SV=2
+MAPEENAGTELLLQSFERRFLAARTLRSFPWQSLEAKLRDSSDSELLRDILHKTVKHPVCVKHPPSVKYARCFLSELIKKHEAVHTEPLDELYEALAETLMAKESTQGHRSYLLPSGGSVTLSESTAIISYGTTGLVTWDAALYLAEWAIENPAVFTNRTVLELGSGAGLTGLAICKMCRPRAYIFSDCHSRVLEQLRGNVLLNGLSLEADITAKLDSPRVTVAQLDWDVATVHQLSAFQPDVVIAADVLYCPEAIMSLVGVLRRLAACREHQRAPEVYVAFTVRNPETCQLFTTELGRAGIRWEVEPRHEQKLFPYEEHLEMAMLNLTL
+>DECOY_sp|Q96G04|EF2KT_HUMAN Protein-lysine N-methyltransferase EEF2KMT OS=Homo sapiens OX=9606 GN=EEF2KMT PE=1 SV=2
+LTLNLMAMELHEEYPFLKQEHRPEVEWRIGARGLETTFLQCTEPNRVTFAVYVEPARQHERCAALRRLVGVLSMIAEPCYLVDAAIVVDPQFASLQHVTAVDWDLQAVTVRPSDLKATIDAELSLGNLLVNGRLQELVRSHCDSFIYARPRCMKCIALGTLGAGSGLELVTRNTFVAPNEIAWEALYLAADWTVLGTTGYSIIATSESLTVSGGSPLLYSRHGQTSEKAMLTEALAEYLEDLPETHVAEHKKILESLFCRAYKVSPPHKVCVPHKVTKHLIDRLLESDSSDRLKAELSQWPFSRLTRAALFRREFSQLLLETGANEEPAM
+>sp|O00418|EF2K_HUMAN Eukaryotic elongation factor 2 kinase OS=Homo sapiens OX=9606 GN=EEF2K PE=1 SV=2
+MADEDLIFRLEGVDGGQSPRAGHDGDSDGDSDDEEGYFICPITDDPSSNQNVNSKVNKYYSNLTKSERYSSSGSPANSFHFKEAWKHAIQKAKHMPDPWAEFHLEDIATERATRHRYNAVTGEWLDDEVLIKMASQPFGRGAMRECFRTKKLSNFLHAQQWKGASNYVAKRYIEPVDRDVYFEDVRLQMEAKLWGEEYNRHKPPKQVDIMQMCIIELKDRPGKPLFHLEHYIEGKYIKYNSNSGFVRDDNIRLTPQAFSHFTFERSGHQLIVVDIQGVGDLYTDPQIHTETGTDFGDGNLGVRGMALFFYSHACNRICESMGLAPFDLSPRERDAVNQNTKLLQSAKTILRGTEEKCGSPQVRTLSGSRPPLLRPLSENSGDENMSDVTFDSLPSSPSSATPHSQKLDHLHWPVFSDLDNMASRDHDHLDNHRESENSGDSGYPSEKRGELDDPEPREHGHSYSNRKYESDEDSLGSSGRVCVEKWNLLNSSRLHLPRASAVALEVQRLNALDLEKKIGKSILGKVHLAMVRYHEGGRFCEKGEEWDQESAVFHLEHAANLGELEAIVGLGLMYSQLPHHILADVSLKETEENKTKGFDYLLKAAEAGDRQSMILVARAFDSGQNLSPDRCQDWLEALHWYNTALEMTDCDEGGEYDGMQDEPRYMMLAREAEMLFTGGYGLEKDPQRSGDLYTQAAEAAMEAMKGRLANQYYQKAEEAWAQMEE
+>DECOY_sp|O00418|EF2K_HUMAN Eukaryotic elongation factor 2 kinase OS=Homo sapiens OX=9606 GN=EEF2K PE=1 SV=2
+EEMQAWAEEAKQYYQNALRGKMAEMAAEAAQTYLDGSRQPDKELGYGGTFLMEAERALMMYRPEDQMGDYEGGEDCDTMELATNYWHLAELWDQCRDPSLNQGSDFARAVLIMSQRDGAEAAKLLYDFGKTKNEETEKLSVDALIHHPLQSYMLGLGVIAELEGLNAAHELHFVASEQDWEEGKECFRGGEHYRVMALHVKGLISKGIKKELDLANLRQVELAVASARPLHLRSSNLLNWKEVCVRGSSGLSDEDSEYKRNSYSHGHERPEPDDLEGRKESPYGSDGSNESERHNDLHDHDRSAMNDLDSFVPWHLHDLKQSHPTASSPSSPLSDFTVDSMNEDGSNESLPRLLPPRSGSLTRVQPSGCKEETGRLITKASQLLKTNQNVADRERPSLDFPALGMSECIRNCAHSYFFLAMGRVGLNGDGFDTGTETHIQPDTYLDGVGQIDVVILQHGSREFTFHSFAQPTLRINDDRVFGSNSNYKIYKGEIYHELHFLPKGPRDKLEIICMQMIDVQKPPKHRNYEEGWLKAEMQLRVDEFYVDRDVPEIYRKAVYNSAGKWQQAHLFNSLKKTRFCERMAGRGFPQSAMKILVEDDLWEGTVANYRHRTARETAIDELHFEAWPDPMHKAKQIAHKWAEKFHFSNAPSGSSSYRESKTLNSYYKNVKSNVNQNSSPDDTIPCIFYGEEDDSDGDSDGDHGARPSQGGDVGELRFILDEDAM
+>sp|A4FU69|EFCB5_HUMAN EF-hand calcium-binding domain-containing protein 5 OS=Homo sapiens OX=9606 GN=EFCAB5 PE=1 SV=3
+MNESASQEELRPAQENRKEDKERKWNLTEVKELHETLQSVPDVPVKEDTNSVVEKAMDEIKSQELNLEGQRKISPGSIKDSKTEASGNIAIRKSAKVIFALDETELKSKPEHTWKKNLFERMEARAQAMQQKIIDKENLKKELEKKAEKKLPRDNLAKEWFNTDSMTLNNTAYLLDKLLPTLVPGVENMLTQVEKKKVLTEADTPSKFDPINYLGEYLIRNNPNYIKDPGMSGYQRLMKEVTEDLKIYVPDTICNRVSKMKENVKQNRKQRESIDKIIVKVANTRKQALQEQFDEWILDPKGMIPKSVIQNVLQEFFQNPDFKLGSHCKQLDITDSTEPRLNKMEFTEYISSHIKDLKSEMFEELLKHLCHSADEFREVIKADMRRQMFAELFLHCDHGKVGFLDRQRTLALLELFYDHSSQMLRSLLRNPRQWPFIEFEEINLTELWGDMDNQKHIYEGFDKVLLEMNTLLSANHASKTQSKLLESPDQPKLNEQRTSTPSPNPPEQQRGVTAEQGPQRISIEEQQQGKKPTAEQELYIESVIEPGTHTESTLEQGSSRRLLTEQETHRESTTEQGQHKGSIEGQGPRRVSVSEQGSSRESVAEQGSRRESIAEQDRHKGSVAEQGSRRMSAAEQGSLRESVIEEPYQKSEQGPYGEIISEEQEDIGSTSQSRKDSILKSTKYGEPITSEYIEVPLQEKRSWEQTYEEEIFLSSELQEEVPTLSRKDHFPETTKKEVQKDKPCEPKSQKIEGKSWSGEFFTCNWKMKYVTFEDEEQANLIYGNSRFTDLHSIIRNIQSCKEVKGRTAFNGVSFNLLQFVQLLETFVGEDAPLSVSETLTSFFKEGYVETEQEKMNALEQFSQNAFQVRQRLLLEAIFQKWDSDGSGFLDLKEVDELLYTYKEGMEKESMKKAKLHIQFPKPHPGHEVRLSSKQFQNYIELVVSELRGNEDQVLESVVEFLMNALERSHIESLRNSARRKWLHQIQCAAETSGVSLEPVYSETFKALMQDAEAHGNKKISAHISLLEENLLLPEKGNVLLRNVACTLDDAQFVLNRVLYRDMKGISFTVVDEGKPIHVPQVQYHGNIFFWNQSRNKHDYNGSFLALPLQDAYMRIFGVLAVDTLRDPHEINIFLPHEIRFYQGVANVFSTAYHYVHSREHILHIVITGIGWLYDVTSSITSITTYFVEPSPAQDSDYVLRNMMVTGQLGLTEIHKNPPTIHRKSCIFRDFLFKCTDSSEVVLASACGETHIVVPLRERTGEALGVLDFNIGQNRMLLCQEYKDLQKMMKVVQVACYEILGEFSGEIKKKYILEIENVREVQRAGILFFRIMLLELQESIQLLNSMEFVSLLLYDHTLVTEPNSPQDSKSMELEANVKLVRDILKAVILFFHPELEFSSDFGSWDKCKFYVNKYLVNNICAFDPTAKHVEVNVQLIDEYIRDHSRTEVWKFGNVVIEHLYHWIHICSALMKITKQLNSGITPPLPSKTDNYMYAKMPGEGLQEK
+>DECOY_sp|A4FU69|EFCB5_HUMAN EF-hand calcium-binding domain-containing protein 5 OS=Homo sapiens OX=9606 GN=EFCAB5 PE=1 SV=3
+KEQLGEGPMKAYMYNDTKSPLPPTIGSNLQKTIKMLASCIHIWHYLHEIVVNGFKWVETRSHDRIYEDILQVNVEVHKATPDFACINNVLYKNVYFKCKDWSGFDSSFELEPHFFLIVAKLIDRVLKVNAELEMSKSDQPSNPETVLTHDYLLLSVFEMSNLLQISEQLELLMIRFFLIGARQVERVNEIELIYKKKIEGSFEGLIEYCAVQVVKMMKQLDKYEQCLLMRNQGINFDLVGLAEGTRERLPVVIHTEGCASALVVESSDTCKFLFDRFICSKRHITPPNKHIETLGLQGTVMMNRLVYDSDQAPSPEVFYTTISTISSTVDYLWGIGTIVIHLIHERSHVYHYATSFVNAVGQYFRIEHPLFINIEHPDRLTDVALVGFIRMYADQLPLALFSGNYDHKNRSQNWFFINGHYQVQPVHIPKGEDVVTFSIGKMDRYLVRNLVFQADDLTCAVNRLLVNGKEPLLLNEELLSIHASIKKNGHAEADQMLAKFTESYVPELSVGSTEAACQIQHLWKRRASNRLSEIHSRELANMLFEVVSELVQDENGRLESVVLEIYNQFQKSSLRVEHGPHPKPFQIHLKAKKMSEKEMGEKYTYLLEDVEKLDLFGSGDSDWKQFIAELLLRQRVQFANQSFQELANMKEQETEVYGEKFFSTLTESVSLPADEGVFTELLQVFQLLNFSVGNFATRGKVEKCSQINRIISHLDTFRSNGYILNAQEEDEFTVYKMKWNCTFFEGSWSKGEIKQSKPECPKDKQVEKKTTEPFHDKRSLTPVEEQLESSLFIEEEYTQEWSRKEQLPVEIYESTIPEGYKTSKLISDKRSQSTSGIDEQEESIIEGYPGQESKQYPEEIVSERLSGQEAASMRRSGQEAVSGKHRDQEAISERRSGQEAVSERSSGQESVSVRRPGQGEISGKHQGQETTSERHTEQETLLRRSSGQELTSETHTGPEIVSEIYLEQEATPKKGQQQEEISIRQPGQEATVGRQQEPPNPSPTSTRQENLKPQDPSELLKSQTKSAHNASLLTNMELLVKDFGEYIHKQNDMDGWLETLNIEEFEIFPWQRPNRLLSRLMQSSHDYFLELLALTRQRDLFGVKGHDCHLFLEAFMQRRMDAKIVERFEDASHCLHKLLEEFMESKLDKIHSSIYETFEMKNLRPETSDTIDLQKCHSGLKFDPNQFFEQLVNQIVSKPIMGKPDLIWEDFQEQLAQKRTNAVKVIIKDISERQKRNQKVNEKMKSVRNCITDPVYIKLDETVEKMLRQYGSMGPDKIYNPNNRILYEGLYNIPDFKSPTDAETLVKKKEVQTLMNEVGPVLTPLLKDLLYATNNLTMSDTNFWEKALNDRPLKKEAKKELEKKLNEKDIIKQQMAQARAEMREFLNKKWTHEPKSKLETEDLAFIVKASKRIAINGSAETKSDKISGPSIKRQGELNLEQSKIEDMAKEVVSNTDEKVPVDPVSQLTEHLEKVETLNWKREKDEKRNEQAPRLEEQSASENM
+>sp|A8K855|EFCB7_HUMAN EF-hand calcium-binding domain-containing protein 7 OS=Homo sapiens OX=9606 GN=EFCAB7 PE=1 SV=1
+MAISPRSDATFSSQKSTPSESPRTKKFPLTEEEIFYMNCRAAYLTVFKSSLENIISKDQLYLALQHAGRNPSQKTINKYWTPQTAKLNFDDFCIILRKEKPTSKAELLKSFKQLDVNDDGCILHTDLYKFLTKRGEKMTREEVNAIINLADVNADGKFDYIKFCKLYMTTNEQCLKTTLEKLEVDSKLMRHQFGNHIEGSPERDPSPVPKPSPKITRKTDPETFLNKGDTRSSLLSATRKFKTSVSFTVTMGANGNRNSKLMEPNLIKDWQHMQSKGCFFLEEDGEIISHQYRMQIAQRSMVYLTIKPLNLSQVEGKPSPWLSVDTALYILKENESQANLQLVCFTELRNREVFGWTGELGPGIYWLIPSTTGCRLRKKIKPVTDEAQLVYRDETGELFLTKEFKSTLSDIFEVIDLDGNGLLSLEEYNFFELRTSGEKCDEDAWAVCRENFDTKRNELTRQGFMDLNLMEANDREGDPCDLWVTLHSMGYNKALELTEACPFVIDIYAEKCKPKIKAVHMEACSGQLEKAICKSVLSNGDAKVMDGYENIIVHTYSCDTWITSVIENKSDEKVIIHISNELSKNCINNRGLNIFAVEVGPKSTMVCQHVMPLNERQEWIYYCIYSLIS
+>DECOY_sp|A8K855|EFCB7_HUMAN EF-hand calcium-binding domain-containing protein 7 OS=Homo sapiens OX=9606 GN=EFCAB7 PE=1 SV=1
+SILSYICYYIWEQRENLPMVHQCVMTSKPGVEVAFINLGRNNICNKSLENSIHIIVKEDSKNEIVSTIWTDCSYTHVIINEYGDMVKADGNSLVSKCIAKELQGSCAEMHVAKIKPKCKEAYIDIVFPCAETLELAKNYGMSHLTVWLDCPDGERDNAEMLNLDMFGQRTLENRKTDFNERCVAWADEDCKEGSTRLEFFNYEELSLLGNGDLDIVEFIDSLTSKFEKTLFLEGTEDRYVLQAEDTVPKIKKRLRCGTTSPILWYIGPGLEGTWGFVERNRLETFCVLQLNAQSENEKLIYLATDVSLWPSPKGEVQSLNLPKITLYVMSRQAIQMRYQHSIIEGDEELFFCGKSQMHQWDKILNPEMLKSNRNGNAGMTVTFSVSTKFKRTASLLSSRTDGKNLFTEPDTKRTIKPSPKPVPSPDREPSGEIHNGFQHRMLKSDVELKELTTKLCQENTTMYLKCFKIYDFKGDANVDALNIIANVEERTMKEGRKTLFKYLDTHLICGDDNVDLQKFSKLLEAKSTPKEKRLIICFDDFNLKATQPTWYKNITKQSPNRGAHQLALYLQDKSIINELSSKFVTLYAARCNMYFIEEETLPFKKTRPSESPTSKQSSFTADSRPSIAM
+>sp|Q96RP9|EFGM_HUMAN Elongation factor G, mitochondrial OS=Homo sapiens OX=9606 GN=GFM1 PE=1 SV=2
+MRLLGAAAVAALGRGRAPASLGWQRKQVNWKACRWSSSGVIPNEKIRNIGISAHIDSGKTTLTERVLYYTGRIAKMHEVKGKDGVGAVMDSMELERQRGITIQSAATYTMWKDVNINIIDTPGHVDFTIEVERALRVLDGAVLVLCAVGGVQCQTMTVNRQMKRYNVPFLTFINKLDRMGSNPARALQQMRSKLNHNAAFMQIPMGLEGNFKGIVDLIEERAIYFDGDFGQIVRYGEIPAELRAAATDHRQELIECVANSDEQLGEMFLEEKIPSISDLKLAIRRATLKRSFTPVFLGSALKNKGVQPLLDAVLEYLPNPSEVQNYAILNKEDDSKEKTKILMNSSRDNSHPFVGLAFKLEVGRFGQLTYVRSYQGELKKGDTIYNTRTRKKVRLQRLARMHADMMEDVEEVYAGDICALFGIDCASGDTFTDKANSGLSMESIHVPDPVISIAMKPSNKNDLEKFSKGIGRFTREDPTFKVYFDTENKETVISGMGELHLEIYAQRLEREYGCPCITGKPKVAFRETITAPVPFDFTHKKQSGGAGQYGKVIGVLEPLDPEDYTKLEFSDETFGSNIPKQFVPAVEKGFLDACEKGPLSGHKLSGLRFVLQDGAHHMVDSNEISFIRAGEGALKQALANATLCILEPIMAVEVVAPNEFQGQVIAGINRRHGVITGQDGVEDYFTLYADVPLNDMFGYSTELRSCTEGKGEYTMEYSRYQPCLPSTQEDVINKYLEATGQLPVKKGKAKN
+>DECOY_sp|Q96RP9|EFGM_HUMAN Elongation factor G, mitochondrial OS=Homo sapiens OX=9606 GN=GFM1 PE=1 SV=2
+NKAKGKKVPLQGTAELYKNIVDEQTSPLCPQYRSYEMTYEGKGETCSRLETSYGFMDNLPVDAYLTFYDEVGDQGTIVGHRRNIGAIVQGQFENPAVVEVAMIPELICLTANALAQKLAGEGARIFSIENSDVMHHAGDQLVFRLGSLKHGSLPGKECADLFGKEVAPVFQKPINSGFTEDSFELKTYDEPDLPELVGIVKGYQGAGGSQKKHTFDFPVPATITERFAVKPKGTICPCGYERELRQAYIELHLEGMGSIVTEKNETDFYVKFTPDERTFRGIGKSFKELDNKNSPKMAISIVPDPVHISEMSLGSNAKDTFTDGSACDIGFLACIDGAYVEEVDEMMDAHMRALRQLRVKKRTRTNYITDGKKLEGQYSRVYTLQGFRGVELKFALGVFPHSNDRSSNMLIKTKEKSDDEKNLIAYNQVESPNPLYELVADLLPQVGKNKLASGLFVPTFSRKLTARRIALKLDSISPIKEELFMEGLQEDSNAVCEILEQRHDTAAARLEAPIEGYRVIQGFDGDFYIAREEILDVIGKFNGELGMPIQMFAANHNLKSRMQQLARAPNSGMRDLKNIFTLFPVNYRKMQRNVTMTQCQVGGVACLVLVAGDLVRLAREVEITFDVHGPTDIININVDKWMTYTAASQITIGRQRELEMSDMVAGVGDKGKVEHMKAIRGTYYLVRETLTTKGSDIHASIGINRIKENPIVGSSSWRCAKWNVQKRQWGLSAPARGRGLAAVAAAGLLRM
+>sp|Q9BUP0|EFHD1_HUMAN EF-hand domain-containing protein D1 OS=Homo sapiens OX=9606 GN=EFHD1 PE=1 SV=1
+MASEELACKLERRLRREEAEESGPQLAPLGAPAPEPKPEPEPPARAPTASADAELSAQLSRRLDINEGAARPRRCRVFNPYTEFPEFSRRLIKDLESMFKLYDAGRDGFIDLMELKLMMEKLGAPQTHLGLKSMIKEVDEDFDGKLSFREFLLIFHKAAAGELQEDSGLMALAKLSEIDVALEGVKGAKNFFEAKVQALSSASKFEAELKAEQDERKREEEERRLRQAAFQKLKANFNT
+>DECOY_sp|Q9BUP0|EFHD1_HUMAN EF-hand domain-containing protein D1 OS=Homo sapiens OX=9606 GN=EFHD1 PE=1 SV=1
+TNFNAKLKQFAAQRLRREEEERKREDQEAKLEAEFKSASSLAQVKAEFFNKAGKVGELAVDIESLKALAMLGSDEQLEGAAAKHFILLFERFSLKGDFDEDVEKIMSKLGLHTQPAGLKEMMLKLEMLDIFGDRGADYLKFMSELDKILRRSFEPFETYPNFVRCRRPRAAGENIDLRRSLQASLEADASATPARAPPEPEPKPEPAPAGLPALQPGSEEAEERRLRRELKCALEESAM
+>sp|Q05193|DYN1_HUMAN Dynamin-1 OS=Homo sapiens OX=9606 GN=DNM1 PE=1 SV=2
+MGNRGMEDLIPLVNRLQDAFSAIGQNADLDLPQIAVVGGQSAGKSSVLENFVGRDFLPRGSGIVTRRPLVLQLVNATTEYAEFLHCKGKKFTDFEEVRLEIEAETDRVTGTNKGISPVPINLRVYSPHVLNLTLVDLPGMTKVPVGDQPPDIEFQIRDMLMQFVTKENCLILAVSPANSDLANSDALKVAKEVDPQGQRTIGVITKLDLMDEGTDARDVLENKLLPLRRGYIGVVNRSQKDIDGKKDITAALAAERKFFLSHPSYRHLADRMGTPYLQKVLNQQLTNHIRDTLPGLRNKLQSQLLSIEKEVEEYKNFRPDDPARKTKALLQMVQQFAVDFEKRIEGSGDQIDTYELSGGARINRIFHERFPFELVKMEFDEKELRREISYAIKNIHGIRTGLFTPDMAFETIVKKQVKKIREPCLKCVDMVISELISTVRQCTKKLQQYPRLREEMERIVTTHIREREGRTKEQVMLLIDIELAYMNTNHEDFIGFANAQQRSNQMNKKKTSGNQDEILVIRKGWLTINNIGIMKGGSKEYWFVLTAENLSWYKDDEEKEKKYMLSVDNLKLRDVEKGFMSSKHIFALFNTEQRNVYKDYRQLELACETQEEVDSWKASFLRAGVYPERVGDKEKASETEENGSDSFMHSMDPQLERQVETIRNLVDSYMAIVNKTVRDLMPKTIMHLMINNTKEFIFSELLANLYSCGDQNTLMEESAEQAQRRDEMLRMYHALKEALSIIGDINTTTVSTPMPPPVDDSWLQVQSVPAGRRSPTSSPTPQRRAPAVPPARPGSRGPAPGPPPAGSALGGAPPVPSRPGASPDPFGPPPQVPSRPNRAPPGVPSRSGQASPSRPESPRPPFDL
+>DECOY_sp|Q05193|DYN1_HUMAN Dynamin-1 OS=Homo sapiens OX=9606 GN=DNM1 PE=1 SV=2
+LDFPPRPSEPRSPSAQGSRSPVGPPARNPRSPVQPPPGFPDPSAGPRSPVPPAGGLASGAPPPGPAPGRSGPRAPPVAPARRQPTPSSTPSRRGAPVSQVQLWSDDVPPPMPTSVTTTNIDGIISLAEKLAHYMRLMEDRRQAQEASEEMLTNQDGCSYLNALLESFIFEKTNNIMLHMITKPMLDRVTKNVIAMYSDVLNRITEVQRELQPDMSHMFSDSGNEETESAKEKDGVREPYVGARLFSAKWSDVEEQTECALELQRYDKYVNRQETNFLAFIHKSSMFGKEVDRLKLNDVSLMYKKEKEEDDKYWSLNEATLVFWYEKSGGKMIGINNITLWGKRIVLIEDQNGSTKKKNMQNSRQQANAFGIFDEHNTNMYALEIDILLMVQEKTRGERERIHTTVIREMEERLRPYQQLKKTCQRVTSILESIVMDVCKLCPERIKKVQKKVITEFAMDPTFLGTRIGHINKIAYSIERRLEKEDFEMKVLEFPFREHFIRNIRAGGSLEYTDIQDGSGEIRKEFDVAFQQVMQLLAKTKRAPDDPRFNKYEEVEKEISLLQSQLKNRLGPLTDRIHNTLQQNLVKQLYPTGMRDALHRYSPHSLFFKREAALAATIDKKGDIDKQSRNVVGIYGRRLPLLKNELVDRADTGEDMLDLKTIVGITRQGQPDVEKAVKLADSNALDSNAPSVALILCNEKTVFQMLMDRIQFEIDPPQDGVPVKTMGPLDVLTLNLVHPSYVRLNIPVPSIGKNTGTVRDTEAEIELRVEEFDTFKKGKCHLFEAYETTANVLQLVLPRRTVIGSGRPLFDRGVFNELVSSKGASQGGVVAIQPLDLDANQGIASFADQLRNVLPILDEMGRNGM
+>sp|Q13627|DYR1A_HUMAN Dual specificity tyrosine-phosphorylation-regulated kinase 1A OS=Homo sapiens OX=9606 GN=DYRK1A PE=1 SV=2
+MHTGGETSACKPSSVRLAPSFSFHAAGLQMAGQMPHSHQYSDRRQPNISDQQVSALSYSDQIQQPLTNQVMPDIVMLQRRMPQTFRDPATAPLRKLSVDLIKTYKHINEVYYAKKKRRHQQGQGDDSSHKKERKVYNDGYDDDNYDYIVKNGEKWMDRYEIDSLIGKGSFGQVVKAYDRVEQEWVAIKIIKNKKAFLNQAQIEVRLLELMNKHDTEMKYYIVHLKRHFMFRNHLCLVFEMLSYNLYDLLRNTNFRGVSLNLTRKFAQQMCTALLFLATPELSIIHCDLKPENILLCNPKRSAIKIVDFGSSCQLGQRIYQYIQSRFYRSPEVLLGMPYDLAIDMWSLGCILVEMHTGEPLFSGANEVDQMNKIVEVLGIPPAHILDQAPKARKFFEKLPDGTWNLKKTKDGKREYKPPGTRKLHNILGVETGGPGGRRAGESGHTVADYLKFKDLILRMLDYDPKTRIQPYYALQHSFFKKTADEGTNTSNSVSTSPAMEQSQSSGTTSSTSSSSGGSSGTSNSGRARSDPTHQHRHSGGHFTAAVQAMDCETHSPQVRQQFPAPLGWSGTEAPTQVTVETHPVQETTFHVAPQQNALHHHHGNSSHHHHHHHHHHHHHGQQALGNRTRPRVYNSPTNSSSTQDSMEVGHSHHSMTSLSSSTTSSSTSSSSTGNQGNQAYQNRPVAANTLDFGQNGAMDVNLTVYSNPRQETGIAGHPTYQFSANTGPAHYMTEGHLTMRQGADREESPMTGVCVQQSPVASS
+>DECOY_sp|Q13627|DYR1A_HUMAN Dual specificity tyrosine-phosphorylation-regulated kinase 1A OS=Homo sapiens OX=9606 GN=DYRK1A PE=1 SV=2
+SSAVPSQQVCVGTMPSEERDAGQRMTLHGETMYHAPGTNASFQYTPHGAIGTEQRPNSYVTLNVDMAGNQGFDLTNAAVPRNQYAQNGQNGTSSSSTSSSTTSSSLSTMSHHSHGVEMSDQTSSSNTPSNYVRPRTRNGLAQQGHHHHHHHHHHHHHSSNGHHHHLANQQPAVHFTTEQVPHTEVTVQTPAETGSWGLPAPFQQRVQPSHTECDMAQVAATFHGGSHRHQHTPDSRARGSNSTGSSGGSSSSTSSTTGSSQSQEMAPSTSVSNSTNTGEDATKKFFSHQLAYYPQIRTKPDYDLMRLILDKFKLYDAVTHGSEGARRGGPGGTEVGLINHLKRTGPPKYERKGDKTKKLNWTGDPLKEFFKRAKPAQDLIHAPPIGLVEVIKNMQDVENAGSFLPEGTHMEVLICGLSWMDIALDYPMGLLVEPSRYFRSQIYQYIRQGLQCSSGFDVIKIASRKPNCLLINEPKLDCHIISLEPTALFLLATCMQQAFKRTLNLSVGRFNTNRLLDYLNYSLMEFVLCLHNRFMFHRKLHVIYYKMETDHKNMLELLRVEIQAQNLFAKKNKIIKIAVWEQEVRDYAKVVQGFSGKGILSDIEYRDMWKEGNKVIYDYNDDDYGDNYVKREKKHSSDDGQGQQHRRKKKAYYVENIHKYTKILDVSLKRLPATAPDRFTQPMRRQLMVIDPMVQNTLPQQIQDSYSLASVQQDSINPQRRDSYQHSHPMQGAMQLGAAHFSFSPALRVSSPKCASTEGGTHM
+>sp|Q9Y463|DYR1B_HUMAN Dual specificity tyrosine-phosphorylation-regulated kinase 1B OS=Homo sapiens OX=9606 GN=DYRK1B PE=1 SV=1
+MAVPPGHGPFSGFPGPQEHTQVLPDVRLLPRRLPLAFRDATSAPLRKLSVDLIKTYKHINEVYYAKKKRRAQQAPPQDSSNKKEKKVLNHGYDDDNHDYIVRSGERWLERYEIDSLIGKGSFGQVVKAYDHQTQELVAIKIIKNKKAFLNQAQIELRLLELMNQHDTEMKYYIVHLKRHFMFRNHLCLVFELLSYNLYDLLRNTHFRGVSLNLTRKLAQQLCTALLFLATPELSIIHCDLKPENILLCNPKRSAIKIVDFGSSCQLGQRIYQYIQSRFYRSPEVLLGTPYDLAIDMWSLGCILVEMHTGEPLFSGSNEVDQMNRIVEVLGIPPAAMLDQAPKARKYFERLPGGGWTLRRTKELRKDYQGPGTRRLQEVLGVQTGGPGGRRAGEPGHSPADYLRFQDLVLRMLEYEPAARISPLGALQHGFFRRTADEATNTGPAGSSASTSPAPLDTCPSSSTASSISSSGGSSGSSSDNRTYRYSNRYCGGPGPPITDCEMNSPQVPPSQPLRPWAGGDVPHKTHQAPASASSLPGTGAQLPPQPRYLGRPPSPTSPPPPELMDVSLVGGPADCSPPHPAPAPQHPAASALRTRMTGGRPPLPPPDDPATLGPHLGLRGVPQSTAASS
+>DECOY_sp|Q9Y463|DYR1B_HUMAN Dual specificity tyrosine-phosphorylation-regulated kinase 1B OS=Homo sapiens OX=9606 GN=DYRK1B PE=1 SV=1
+SSAATSQPVGRLGLHPGLTAPDDPPPLPPRGGTMRTRLASAAPHQPAPAPHPPSCDAPGGVLSVDMLEPPPPSTPSPPRGLYRPQPPLQAGTGPLSSASAPAQHTKHPVDGGAWPRLPQSPPVQPSNMECDTIPPGPGGCYRNSYRYTRNDSSSGSSGGSSSISSATSSSPCTDLPAPSTSASSGAPGTNTAEDATRRFFGHQLAGLPSIRAAPEYELMRLVLDQFRLYDAPSHGPEGARRGGPGGTQVGLVEQLRRTGPGQYDKRLEKTRRLTWGGGPLREFYKRAKPAQDLMAAPPIGLVEVIRNMQDVENSGSFLPEGTHMEVLICGLSWMDIALDYPTGLLVEPSRYFRSQIYQYIRQGLQCSSGFDVIKIASRKPNCLLINEPKLDCHIISLEPTALFLLATCLQQALKRTLNLSVGRFHTNRLLDYLNYSLLEFVLCLHNRFMFHRKLHVIYYKMETDHQNMLELLRLEIQAQNLFAKKNKIIKIAVLEQTQHDYAKVVQGFSGKGILSDIEYRELWREGSRVIYDHNDDDYGHNLVKKEKKNSSDQPPAQQARRKKKAYYVENIHKYTKILDVSLKRLPASTADRFALPLRRPLLRVDPLVQTHEQPGPFGSFPGHGPPVAM
+>sp|P00374|DYR_HUMAN Dihydrofolate reductase OS=Homo sapiens OX=9606 GN=DHFR PE=1 SV=2
+MVGSLNCIVAVSQNMGIGKNGDLPWPPLRNEFRYFQRMTTTSSVEGKQNLVIMGKKTWFSIPEKNRPLKGRINLVLSRELKEPPQGAHFLSRSLDDALKLTEQPELANKVDMVWIVGGSSVYKEAMNHPGHLKLFVTRIMQDFESDTFFPEIDLEKYKLLPEYPGVLSDVQEEKGIKYKFEVYEKND
+>DECOY_sp|P00374|DYR_HUMAN Dihydrofolate reductase OS=Homo sapiens OX=9606 GN=DHFR PE=1 SV=2
+DNKEYVEFKYKIGKEEQVDSLVGPYEPLLKYKELDIEPFFTDSEFDQMIRTVFLKLHGPHNMAEKYVSSGGVIWVMDVKNALEPQETLKLADDLSRSLFHAGQPPEKLERSLVLNIRGKLPRNKEPISFWTKKGMIVLNQKGEVSSTTTMRQFYRFENRLPPWPLDGNKGIGMNQSVAVICNLSGVM
+>sp|O75923|DYSF_HUMAN Dysferlin OS=Homo sapiens OX=9606 GN=DYSF PE=1 SV=1
+MLRVFILYAENVHTPDTDISDAYCSAVFAGVKKRTKVIKNSVNPVWNEGFEWDLKGIPLDQGSELHVVVKDHETMGRNRFLGEAKVPLREVLATPSLSASFNAPLLDTKKQPTGASLVLQVSYTPLPGAVPLFPPPTPLEPSPTLPDLDVVADTGGEEDTEDQGLTGDEAEPFLDQSGGPGAPTTPRKLPSRPPPHYPGIKRKRSAPTSRKLLSDKPQDFQIRVQVIEGRQLPGVNIKPVVKVTAAGQTKRTRIHKGNSPLFNETLFFNLFDSPGELFDEPIFITVVDSRSLRTDALLGEFRMDVGTIYREPRHAYLRKWLLLSDPDDFSAGARGYLKTSLCVLGPGDEAPLERKDPSEDKEDIESNLLRPTGVALRGAHFCLKVFRAEDLPQMDDAVMDNVKQIFGFESNKKNLVDPFVEVSFAGKMLCSKILEKTANPQWNQNITLPAMFPSMCEKMRIRIIDWDRLTHNDIVATTYLSMSKISAPGGEIEEEPAGAVKPSKASDLDDYLGFLPTFGPCYINLYGSPREFTGFPDPYTELNTGKGEGVAYRGRLLLSLETKLVEHSEQKVEDLPADDILRVEKYLRRRKYSLFAAFYSATMLQDVDDAIQFEVSIGNYGNKFDMTCLPLASTTQYSRAVFDGCHYYYLPWGNVKPVVVLSSYWEDISHRIETQNQLLGIADRLEAGLEQVHLALKAQCSTEDVDSLVAQLTDELIAGCSQPLGDIHETPSATHLDQYLYQLRTHHLSQITEAALALKLGHSELPAALEQAEDWLLRLRALAEEPQNSLPDIVIWMLQGDKRVAYQRVPAHQVLFSRRGANYCGKNCGKLQTIFLKYPMEKVPGARMPVQIRVKLWFGLSVDEKEFNQFAEGKLSVFAETYENETKLALVGNWGTTGLTYPKFSDVTGKIKLPKDSFRPSAGWTWAGDWFVCPEKTLLHDMDAGHLSFVEEVFENQTRLPGGQWIYMSDNYTDVNGEKVLPKDDIECPLGWKWEDEEWSTDLNRAVDEQGWEYSITIPPERKPKHWVPAEKMYYTHRRRRWVRLRRRDLSQMEALKRHRQAEAEGEGWEYASLFGWKFHLEYRKTDAFRRRRWRRRMEPLEKTGPAAVFALEGALGGVMDDKSEDSMSVSTLSFGVNRPTISCIFDYGNRYHLRCYMYQARDLAAMDKDSFSDPYAIVSFLHQSQKTVVVKNTLNPTWDQTLIFYEIEIFGEPATVAEQPPSIVVELYDHDTYGADEFMGRCICQPSLERMPRLAWFPLTRGSQPSGELLASFELIQREKPAIHHIPGFEVQETSRILDESEDTDLPYPPPQREANIYMVPQNIKPALQRTAIEILAWGLRNMKSYQLANISSPSLVVECGGQTVQSCVIRNLRKNPNFDICTLFMEVMLPREELYCPPITVKVIDNRQFGRRPVVGQCTIRSLESFLCDPYSAESPSPQGGPDDVSLLSPGEDVLIDIDDKEPLIPIQEEEFIDWWSKFFASIGEREKCGSYLEKDFDTLKVYDTQLENVEAFEGLSDFCNTFKLYRGKTQEETEDPSVIGEFKGLFKIYPLPEDPAIPMPPRQFHQLAAQGPQECLVRIYIVRAFGLQPKDPNGKCDPYIKISIGKKSVSDQDNYIPCTLEPVFGKMFELTCTLPLEKDLKITLYDYDLLSKDEKIGETVVDLENRLLSKFGARCGLPQTYCVSGPNQWRDQLRPSQLLHLFCQQHRVKAPVYRTDRVMFQDKEYSIEEIEAGRIPNPHLGPVEERLALHVLQQQGLVPEHVESRPLYSPLQPDIEQGKLQMWVDLFPKALGRPGPPFNITPRRARRFFLRCIIWNTRDVILDDLSLTGEKMSDIYVKGWMIGFEEHKQKTDVHYRSLGGEGNFNWRFIFPFDYLPAEQVCTIAKKDAFWRLDKTESKIPARVVFQIWDNDKFSFDDFLGSLQLDLNRMPKPAKTAKKCSLDQLDDAFHPEWFVSLFEQKTVKGWWPCVAEEGEKKILAGKLEMTLEIVAESEHEERPAGQGRDEPNMNPKLEDPRRPDTSFLWFTSPYKTMKFILWRRFRWAIILFIILFILLLFLAIFIYAFPNYAAMKLVKPFS
+>DECOY_sp|O75923|DYSF_HUMAN Dysferlin OS=Homo sapiens OX=9606 GN=DYSF PE=1 SV=1
+SFPKVLKMAAYNPFAYIFIALFLLLIFLIIFLIIAWRFRRWLIFKMTKYPSTFWLFSTDPRRPDELKPNMNPEDRGQGAPREEHESEAVIELTMELKGALIKKEGEEAVCPWWGKVTKQEFLSVFWEPHFADDLQDLSCKKATKAPKPMRNLDLQLSGLFDDFSFKDNDWIQFVVRAPIKSETKDLRWFADKKAITCVQEAPLYDFPFIFRWNFNGEGGLSRYHVDTKQKHEEFGIMWGKVYIDSMKEGTLSLDDLIVDRTNWIICRLFFRRARRPTINFPPGPRGLAKPFLDVWMQLKGQEIDPQLPSYLPRSEVHEPVLGQQQLVHLALREEVPGLHPNPIRGAEIEEISYEKDQFMVRDTRYVPAKVRHQQCFLHLLQSPRLQDRWQNPGSVCYTQPLGCRAGFKSLLRNELDVVTEGIKEDKSLLDYDYLTIKLDKELPLTCTLEFMKGFVPELTCPIYNDQDSVSKKGISIKIYPDCKGNPDKPQLGFARVIYIRVLCEQPGQAALQHFQRPPMPIAPDEPLPYIKFLGKFEGIVSPDETEEQTKGRYLKFTNCFDSLGEFAEVNELQTDYVKLTDFDKELYSGCKEREGISAFFKSWWDIFEEEQIPILPEKDDIDILVDEGPSLLSVDDPGGQPSPSEASYPDCLFSELSRITCQGVVPRRGFQRNDIVKVTIPPCYLEERPLMVEMFLTCIDFNPNKRLNRIVCSQVTQGGCEVVLSPSSINALQYSKMNRLGWALIEIATRQLAPKINQPVMYINAERQPPPYPLDTDESEDLIRSTEQVEFGPIHHIAPKERQILEFSALLEGSPQSGRTLPFWALRPMRELSPQCICRGMFEDAGYTDHDYLEVVISPPQEAVTAPEGFIEIEYFILTQDWTPNLTNKVVVTKQSQHLFSVIAYPDSFSDKDMAALDRAQYMYCRLHYRNGYDFICSITPRNVGFSLTSVSMSDESKDDMVGGLAGELAFVAAPGTKELPEMRRRWRRRRFADTKRYELHFKWGFLSAYEWGEGEAEAQRHRKLAEMQSLDRRRLRVWRRRRHTYYMKEAPVWHKPKREPPITISYEWGQEDVARNLDTSWEEDEWKWGLPCEIDDKPLVKEGNVDTYNDSMYIWQGGPLRTQNEFVEEVFSLHGADMDHLLTKEPCVFWDGAWTWGASPRFSDKPLKIKGTVDSFKPYTLGTTGWNGVLALKTENEYTEAFVSLKGEAFQNFEKEDVSLGFWLKVRIQVPMRAGPVKEMPYKLFITQLKGCNKGCYNAGRRSFLVQHAPVRQYAVRKDGQLMWIVIDPLSNQPEEALARLRLLWDEAQELAAPLESHGLKLALAAETIQSLHHTRLQYLYQDLHTASPTEHIDGLPQSCGAILEDTLQAVLSDVDETSCQAKLALHVQELGAELRDAIGLLQNQTEIRHSIDEWYSSLVVVPKVNGWPLYYYHCGDFVARSYQTTSALPLCTMDFKNGYNGISVEFQIADDVDQLMTASYFAAFLSYKRRRLYKEVRLIDDAPLDEVKQESHEVLKTELSLLLRGRYAVGEGKGTNLETYPDPFGTFERPSGYLNIYCPGFTPLFGLYDDLDSAKSPKVAGAPEEEIEGGPASIKSMSLYTTAVIDNHTLRDWDIIRIRMKECMSPFMAPLTINQNWQPNATKELIKSCLMKGAFSVEVFPDVLNKKNSEFGFIQKVNDMVADDMQPLDEARFVKLCFHAGRLAVGTPRLLNSEIDEKDESPDKRELPAEDGPGLVCLSTKLYGRAGASFDDPDSLLLWKRLYAHRPERYITGVDMRFEGLLADTRLSRSDVVTIFIPEDFLEGPSDFLNFFLTENFLPSNGKHIRTRKTQGAATVKVVPKINVGPLQRGEIVQVRIQFDQPKDSLLKRSTPASRKRKIGPYHPPPRSPLKRPTTPAGPGGSQDLFPEAEDGTLGQDETDEEGGTDAVVDLDPLTPSPELPTPPPFLPVAGPLPTYSVQLVLSAGTPQKKTDLLPANFSASLSPTALVERLPVKAEGLFRNRGMTEHDKVVVHLESGQDLPIGKLDWEFGENWVPNVSNKIVKTRKKVGAFVASCYADSIDTDPTHVNEAYLIFVRLM
+>sp|P19525|E2AK2_HUMAN Interferon-induced, double-stranded RNA-activated protein kinase OS=Homo sapiens OX=9606 GN=EIF2AK2 PE=1 SV=2
+MAGDLSAGFFMEELNTYRQKQGVVLKYQELPNSGPPHDRRFTFQVIIDGREFPEGEGRSKKEAKNAAAKLAVEILNKEKKAVSPLLLTTTNSSEGLSMGNYIGLINRIAQKKRLTVNYEQCASGVHGPEGFHYKCKMGQKEYSIGTGSTKQEAKQLAAKLAYLQILSEETSVKSDYLSSGSFATTCESQSNSLVTSTLASESSSEGDFSADTSEINSNSDSLNSSSLLMNGLRNNQRKAKRSLAPRFDLPDMKETKYTVDKRFGMDFKEIELIGSGGFGQVFKAKHRIDGKTYVIKRVKYNNEKAEREVKALAKLDHVNIVHYNGCWDGFDYDPETSDDSLESSDYDPENSKNSSRSKTKCLFIQMEFCDKGTLEQWIEKRRGEKLDKVLALELFEQITKGVDYIHSKKLIHRDLKPSNIFLVDTKQVKIGDFGLVTSLKNDGKRTRSKGTLRYMSPEQISSQDYGKEVDLYALGLILAELLHVCDTAFETSKFFTDLRDGIISDIFDKKEKTLLQKLLSKKPEDRPNTSEILRTLTVWKKSPEKNERHTC
+>DECOY_sp|P19525|E2AK2_HUMAN Interferon-induced, double-stranded RNA-activated protein kinase OS=Homo sapiens OX=9606 GN=EIF2AK2 PE=1 SV=2
+CTHRENKEPSKKWVTLTRLIESTNPRDEPKKSLLKQLLTKEKKDFIDSIIGDRLDTFFKSTEFATDCVHLLEALILGLAYLDVEKGYDQSSIQEPSMYRLTGKSRTRKGDNKLSTVLGFDGIKVQKTDVLFINSPKLDRHILKKSHIYDVGKTIQEFLELALVKDLKEGRRKEIWQELTGKDCFEMQIFLCKTKSRSSNKSNEPDYDSSELSDDSTEPDYDFGDWCGNYHVINVHDLKALAKVEREAKENNYKVRKIVYTKGDIRHKAKFVQGFGGSGILEIEKFDMGFRKDVTYKTEKMDPLDFRPALSRKAKRQNNRLGNMLLSSSNLSDSNSNIESTDASFDGESSSESALTSTVLSNSQSECTTAFSGSSLYDSKVSTEESLIQLYALKAALQKAEQKTSGTGISYEKQGMKCKYHFGEPGHVGSACQEYNVTLRKKQAIRNILGIYNGMSLGESSNTTTLLLPSVAKKEKNLIEVALKAAANKAEKKSRGEGEPFERGDIIVQFTFRRDHPPGSNPLEQYKLVVGQKQRYTNLEEMFFGASLDGAM
+>sp|Q15329|E2F5_HUMAN Transcription factor E2F5 OS=Homo sapiens OX=9606 GN=E2F5 PE=1 SV=1
+MAAAEPASSGQQAPAGQGQGQRPPPQPPQAQAPQPPPPPQLGGAGGGSSRHEKSLGLLTTKFVSLLQEAKDGVLDLKAAADTLAVRQKRRIYDITNVLEGIDLIEKKSKNSIQWKGVGAGCNTKEVIDRLRYLKAEIEDLELKERELDQQKLWLQQSIKNVMDDSINNRFSYVTHEDICNCFNGDTLLAIQAPSGTQLEVPIPEMGQNGQKKYQINLKSHSGPIHVLLINKESSSSKPVVFPVPPPDDLTQPSSQSLTPVTPQKSSMATQNLPEQHVSERSQALQQTSATDISSAGSISGDIIDELMSSDVFPLLRLSPTPADDYNFNLDDNEGVCDLFDVQILNY
+>DECOY_sp|Q15329|E2F5_HUMAN Transcription factor E2F5 OS=Homo sapiens OX=9606 GN=E2F5 PE=1 SV=1
+YNLIQVDFLDCVGENDDLNFNYDDAPTPSLRLLPFVDSSMLEDIIDGSISGASSIDTASTQQLAQSRESVHQEPLNQTAMSSKQPTVPTLSQSSPQTLDDPPPVPFVVPKSSSSEKNILLVHIPGSHSKLNIQYKKQGNQGMEPIPVELQTGSPAQIALLTDGNFCNCIDEHTVYSFRNNISDDMVNKISQQLWLKQQDLEREKLELDEIEAKLYRLRDIVEKTNCGAGVGKWQISNKSKKEILDIGELVNTIDYIRRKQRVALTDAAAKLDLVGDKAEQLLSVFKTTLLGLSKEHRSSGGGAGGLQPPPPPQPAQAQPPQPPPRQGQGQGAPAQQGSSAPEAAAM
+>sp|Q96AV8|E2F7_HUMAN Transcription factor E2F7 OS=Homo sapiens OX=9606 GN=E2F7 PE=1 SV=3
+MEVNCLTLKDLISPRQPRLDFAVEDGENAQKENIFVDRSRMAPKTPIKNEPIDLSKQKKFTPERNPITPVKFVDRQQAEPWTPTANLKMLISAASPDIRDREKKKGLFRPIENKDDAFTDSLQLDVVGDSAVDEFEKQRPSRKQKSLGLLCQKFLARYPSYPLSTEKTTISLDEVAVSLGVERRRIYDIVNVLESLHLVSRVAKNQYGWHGRHSLPKTLRNLQRLGEEQKYEEQMAYLQQKELDLIDYKFGERKKDGDPDSQEQQLLDFSEPDCPSSSANSRKDKSLRIMSQKFVMLFLVSKTKIVTLDVAAKILIEESQDAPDHSKFKTKVRRLYDIANVLTSLALIKKVHVTEERGRKPAFKWIGPVDFSSSDEELVDVSASVLPELKRETYGQIQVCAKQKLARHGSFNTVQASERIQRKVNSEPSSPYREEQGSGGYSLEIGSLAAVYRQKIEDNSQGKAFASKRVVPPSSSLDPVAPFPVLSVDPEYCVNPLAHPVFSVAQTDLQAFSMQNGLNGQVDVSLASAASAVESLKPALLAGQPLVYVPSASLFMLYGSLQEGPASGSGSERDDRSSEAPATVELSSAPSAQKRLCEERKPQEEDEPATKRQSREYEDGPLSLVMPKKPSDSTDLASPKTMGNRASIPLKDIHVNGQLPAAEEISGKATANSLVSSEWGNPSRNTDVEKPSKENESTKEPSLLQYLCVQSPAGLNGFNVLLSGSQTPPTVGPSSGQLPSFSVPCMVLPSPPLGPFPVLYSPAMPGPVSSTLGALPNTGPVNFSLPGLGSIAQLLVGPTAVVNPKSSTLPSADPQLQSQPSLNLSPVMSRSHSVVQQPESPVYVGHPVSVVKLHQSPVPVTPKSIQRTHRETFFKTPGSLGDPVLKRRERNQSRNTSSAQRRLEIPSGGAD
+>DECOY_sp|Q96AV8|E2F7_HUMAN Transcription factor E2F7 OS=Homo sapiens OX=9606 GN=E2F7 PE=1 SV=3
+DAGGSPIELRRQASSTNRSQNRERRKLVPDGLSGPTKFFTERHTRQISKPTVPVPSQHLKVVSVPHGVYVPSEPQQVVSHSRSMVPSLNLSPQSQLQPDASPLTSSKPNVVATPGVLLQAISGLGPLSFNVPGTNPLAGLTSSVPGPMAPSYLVPFPGLPPSPLVMCPVSFSPLQGSSPGVTPPTQSGSLLVNFGNLGAPSQVCLYQLLSPEKTSENEKSPKEVDTNRSPNGWESSVLSNATAKGSIEEAAPLQGNVHIDKLPISARNGMTKPSALDTSDSPKKPMVLSLPGDEYERSQRKTAPEDEEQPKREECLRKQASPASSLEVTAPAESSRDDRESGSGSAPGEQLSGYLMFLSASPVYVLPQGALLAPKLSEVASAASALSVDVQGNLGNQMSFAQLDTQAVSFVPHALPNVCYEPDVSLVPFPAVPDLSSSPPVVRKSAFAKGQSNDEIKQRYVAALSGIELSYGGSGQEERYPSSPESNVKRQIRESAQVTNFSGHRALKQKACVQIQGYTERKLEPLVSASVDVLEEDSSSFDVPGIWKFAPKRGREETVHVKKILALSTLVNAIDYLRRVKTKFKSHDPADQSEEILIKAAVDLTVIKTKSVLFLMVFKQSMIRLSKDKRSNASSSPCDPESFDLLQQEQSDPDGDKKREGFKYDILDLEKQQLYAMQEEYKQEEGLRQLNRLTKPLSHRGHWGYQNKAVRSVLHLSELVNVIDYIRRREVGLSVAVEDLSITTKETSLPYSPYRALFKQCLLGLSKQKRSPRQKEFEDVASDGVVDLQLSDTFADDKNEIPRFLGKKKERDRIDPSAASILMKLNATPTWPEAQQRDVFKVPTIPNREPTFKKQKSLDIPENKIPTKPAMRSRDVFINEKQANEGDEVAFDLRPQRPSILDKLTLCNVEM
+>sp|Q9Y2J2|E41L3_HUMAN Band 4.1-like protein 3 OS=Homo sapiens OX=9606 GN=EPB41L3 PE=1 SV=2
+MTTESGSDSESKPDQEAEPQEAAGAQGRAGAPVPEPPKEEQQQALEQFAAAAAHSTPVRREVTDKEQEFAARAAKQLEYQQLEDDKLSQKSSSSKLSRSPLKIVKKPKSMQCKVILLDGSEYTCDVEKRSRGQVLFDKVCEHLNLLEKDYFGLTYRDAENQKNWLDPAKEIKKQVRSGAWHFSFNVKFYPPDPAQLSEDITRYYLCLQLRDDIVSGRLPCSFVTLALLGSYTVQSELGDYDPDECGSDYISEFRFAPNHTKELEDKVIELHKSHRGMTPAEAEMHFLENAKKLSMYGVDLHHAKDSEGVEIMLGVCASGLLIYRDRLRINRFAWPKVLKISYKRNNFYIKIRPGEFEQFESTIGFKLPNHRAAKRLWKVCVEHHTFFRLLLPEAPPKKFLTLGSKFRYSGRTQAQTRRASALIDRPAPYFERSSSKRYTMSRSLDGEVGTGQYATTKGISQTNLITTVTPEKKAEEERDEEEDKRRKGEEVTPISAIRHEGKSPGLGTDSCPLSPPSTHCAPTSPTELRRRCKENDCKLPGYEPSRAEHLPGEPALDSDGPGRPYLGDQDVAFSYRQQTGKGTTLFSFSLQLPESFPSLLDDDGYLSFPNLSETNLLPQSLQHYLPIRSPSLVPCFLFIFFFLLSASFSVPYALTLSFPLALCLCYLEPKAASLSASLDNDPSDSSEEETDSERTDTAADGETTATESDQEEDAELKAQELEKTQDDLMKHQTNISELKRTFLETSTDTAVTNEWEKRLSTSPVRLAARQEDAPMIEPLVPEETKQSSGEKLMDGSEIFSLLESARKPTEFIGGVTSTSQSWVQKMETKTESSGIETEPTVHHLPLSTEKVVQETVLVEERRVVHASGDASYSAGDSGDAAAQPAFTGIKGKEGSALTEGAKEEGGEEVAKAVLEQEETAAASRERQEEQSAAIHISETLEQKPHFESSTVKTETISFGSVSPGGVKLEISTKEVPVVHTETKTITYESSQVDPGTDLEPGVLMSAQTITSETTSTTTTTHITKTVKGGISETRIEKRIVITGDADIDHDQALAQAIKEAKEQHPDMSVTKVVVHKETEITPEDGED
+>DECOY_sp|Q9Y2J2|E41L3_HUMAN Band 4.1-like protein 3 OS=Homo sapiens OX=9606 GN=EPB41L3 PE=1 SV=2
+DEGDEPTIETEKHVVVKTVSMDPHQEKAEKIAQALAQDHDIDADGTIVIRKEIRTESIGGKVTKTIHTTTTTSTTESTITQASMLVGPELDTGPDVQSSEYTITKTETHVVPVEKTSIELKVGGPSVSGFSITETKVTSSEFHPKQELTESIHIAASQEEQRERSAAATEEQELVAKAVEEGGEEKAGETLASGEKGKIGTFAPQAAADGSDGASYSADGSAHVVRREEVLVTEQVVKETSLPLHHVTPETEIGSSETKTEMKQVWSQSTSTVGGIFETPKRASELLSFIESGDMLKEGSSQKTEEPVLPEIMPADEQRAALRVPSTSLRKEWENTVATDTSTELFTRKLESINTQHKMLDDQTKELEQAKLEADEEQDSETATTEGDAATDTRESDTEEESSDSPDNDLSASLSAAKPELYCLCLALPFSLTLAYPVSFSASLLFFFIFLFCPVLSPSRIPLYHQLSQPLLNTESLNPFSLYGDDDLLSPFSEPLQLSFSFLTTGKGTQQRYSFAVDQDGLYPRGPGDSDLAPEGPLHEARSPEYGPLKCDNEKCRRRLETPSTPACHTSPPSLPCSDTGLGPSKGEHRIASIPTVEEGKRRKDEEEDREEEAKKEPTVTTILNTQSIGKTTAYQGTGVEGDLSRSMTYRKSSSREFYPAPRDILASARRTQAQTRGSYRFKSGLTLFKKPPAEPLLLRFFTHHEVCVKWLRKAARHNPLKFGITSEFQEFEGPRIKIYFNNRKYSIKLVKPWAFRNIRLRDRYILLGSACVGLMIEVGESDKAHHLDVGYMSLKKANELFHMEAEAPTMGRHSKHLEIVKDELEKTHNPAFRFESIYDSGCEDPDYDGLESQVTYSGLLALTVFSCPLRGSVIDDRLQLCLYYRTIDESLQAPDPPYFKVNFSFHWAGSRVQKKIEKAPDLWNKQNEADRYTLGFYDKELLNLHECVKDFLVQGRSRKEVDCTYESGDLLIVKCQMSKPKKVIKLPSRSLKSSSSKQSLKDDELQQYELQKAARAAFEQEKDTVERRVPTSHAAAAAFQELAQQQEEKPPEPVPAGARGQAGAAEQPEAEQDPKSESDSGSETTM
+>sp|Q9HAF1|EAF6_HUMAN Chromatin modification-related protein MEAF6 OS=Homo sapiens OX=9606 GN=MEAF6 PE=1 SV=1
+MAMHNKAAPPQIPDTRRELAELVKRKQELAETLANLERQIYAFEGSYLEDTQMYGNIIRGWDRYLTNQKNSNSKNDRRNRKFKEAERLFSKSSVTSAAAVSALAGVQDQLIEKREPGSGTESDTSPDFHNQENEPSQEDPEDLDGSVQGVKPQKAASSTSSGSHHSSHKKRKNKNRHRIDLKLNKKPRADY
+>DECOY_sp|Q9HAF1|EAF6_HUMAN Chromatin modification-related protein MEAF6 OS=Homo sapiens OX=9606 GN=MEAF6 PE=1 SV=1
+YDARPKKNLKLDIRHRNKNKRKKHSSHHSGSSTSSAAKQPKVGQVSGDLDEPDEQSPENEQNHFDPSTDSETGSGPERKEILQDQVGALASVAAASTVSSKSFLREAEKFKRNRRDNKSNSNKQNTLYRDWGRIINGYMQTDELYSGEFAYIQRELNALTEALEQKRKVLEALERRTDPIQPPAAKNHMAM
+>sp|Q99848|EBP2_HUMAN Probable rRNA-processing protein EBP2 OS=Homo sapiens OX=9606 GN=EBNA1BP2 PE=1 SV=2
+MDTPPLSDSESESDESLVTDRELQDAFSRGLLKPGLNVVLEGPKKAVNDVNGLKQCLAEFKRDLEWVERLDVTLGPVPEIGGSEAPAPQNKDQKAVDPEDDFQREMSFYRQAQAAVLAVLPRLHQLKVPTKRPTDYFAEMAKSDLQMQKIRQKLQTKQAAMERSEKAKQLRALRKYGKKVQTEVLQKRQQEKAHMMNAIKKYQKGFSDKLDFLEGDQKPLAQRKKAGAKGQQMRKGPSAKRRYKNQKFGFGGKKKGSKWNTRESYDDVSSFRAKTAHGRGLKRPGKKGSNKRPGKRTREKMKNRTH
+>DECOY_sp|Q99848|EBP2_HUMAN Probable rRNA-processing protein EBP2 OS=Homo sapiens OX=9606 GN=EBNA1BP2 PE=1 SV=2
+HTRNKMKERTRKGPRKNSGKKGPRKLGRGHATKARFSSVDDYSERTNWKSGKKKGGFGFKQNKYRRKASPGKRMQQGKAGAKKRQALPKQDGELFDLKDSFGKQYKKIANMMHAKEQQRKQLVETQVKKGYKRLARLQKAKESREMAAQKTQLKQRIKQMQLDSKAMEAFYDTPRKTPVKLQHLRPLVALVAAQAQRYFSMERQFDDEPDVAKQDKNQPAPAESGGIEPVPGLTVDLREVWELDRKFEALCQKLGNVDNVAKKPGELVVNLGPKLLGRSFADQLERDTVLSEDSESESDSLPPTDM
+>sp|Q9BY08|EBPL_HUMAN Emopamil-binding protein-like OS=Homo sapiens OX=9606 GN=EBPL PE=1 SV=1
+MGAEWELGAEAGGSLLLCAALLAAGCALGLRLGRGQGAADRGALIWLCYDALVHFALEGPFVYLSLVGNVANSDGLIASLWKEYGKADARWVYFDPTIVSVEILTVALDGSLALFLIYAIVKEKYYRHFLQITLCVCELYGCWMTFLPEWLTRSPNLNTSNWLYCWLYLFFFNGVWVLIPGLLLWQSWLELKKMHQKETSSVKKFQ
+>DECOY_sp|Q9BY08|EBPL_HUMAN Emopamil-binding protein-like OS=Homo sapiens OX=9606 GN=EBPL PE=1 SV=1
+QFKKVSSTEKQHMKKLELWSQWLLLGPILVWVGNFFFLYLWCYLWNSTNLNPSRTLWEPLFTMWCGYLECVCLTIQLFHRYYKEKVIAYILFLALSGDLAVTLIEVSVITPDFYVWRADAKGYEKWLSAILGDSNAVNGVLSLYVFPGELAFHVLADYCLWILAGRDAAGQGRGLRLGLACGAALLAACLLLSGGAEAGLEWEAGM
+>sp|O95672|ECEL1_HUMAN Endothelin-converting enzyme-like 1 OS=Homo sapiens OX=9606 GN=ECEL1 PE=1 SV=3
+MEPPYSLTAHYDEFQEVKYVSRCGAGGARGASLPPGFPLGAARSATGARSGLPRWNRREVCLLSGLVFAAGLCAILAAMLALKYLGPVAAGGGACPEGCPERKAFARAARFLAANLDASIDPCQDFYSFACGGWLRRHAIPDDKLTYGTIAAIGEQNEERLRRLLARPGGGPGGAAQRKVRAFFRSCLDMREIERLGPRPMLEVIEDCGGWDLGGAEERPGVAARWDLNRLLYKAQGVYSAAALFSLTVSLDDRNSSRYVIRIDQDGLTLPERTLYLAQDEDSEKILAAYRVFMERVLSLLGADAVEQKAQEILQVEQQLANITVSEHDDLRRDVSSMYNKVTLGQLQKITPHLRWKWLLDQIFQEDFSEEEEVVLLATDYMQQVSQLIRSTPHRVLHNYLVWRVVVVLSEHLSPPFREALHELAQEMEGSDKPQELARVCLGQANRHFGMALGALFVHEHFSAASKAKVQQLVEDIKYILGQRLEELDWMDAETRAAARAKLQYMMVMVGYPDFLLKPDAVDKEYEFEVHEKTYFKNILNSIRFSIQLSVKKIRQEVDKSTWLLPPQALNAYYLPNKNQMVFPAGILQPTLYDPDFPQSLNYGGIGTIIGHELTHGYDDWGGQYDRSGNLLHWWTEASYSRFLRKAECIVRLYDNFTVYNQRVNGKHTLGENIADMGGLKLAYHAYQKWVREHGPEHPLPRLKYTHDQLFFIAFAQNWCIKRRSQSIYLQVLTDKHAPEHYRVLGSVSQFEEFGRAFHCPKDSPMNPAHKCSVW
+>DECOY_sp|O95672|ECEL1_HUMAN Endothelin-converting enzyme-like 1 OS=Homo sapiens OX=9606 GN=ECEL1 PE=1 SV=3
+WVSCKHAPNMPSDKPCHFARGFEEFQSVSGLVRYHEPAHKDTLVQLYISQSRRKICWNQAFAIFFLQDHTYKLRPLPHEPGHERVWKQYAHYALKLGGMDAINEGLTHKGNVRQNYVTFNDYLRVICEAKRLFRSYSAETWWHLLNGSRDYQGGWDDYGHTLEHGIITGIGGYNLSQPFDPDYLTPQLIGAPFVMQNKNPLYYANLAQPPLLWTSKDVEQRIKKVSLQISFRISNLINKFYTKEHVEFEYEKDVADPKLLFDPYGVMVMMYQLKARAAARTEADMWDLEELRQGLIYKIDEVLQQVKAKSAASFHEHVFLAGLAMGFHRNAQGLCVRALEQPKDSGEMEQALEHLAERFPPSLHESLVVVVRWVLYNHLVRHPTSRILQSVQQMYDTALLVVEEEESFDEQFIQDLLWKWRLHPTIKQLQGLTVKNYMSSVDRRLDDHESVTINALQQEVQLIEQAKQEVADAGLLSLVREMFVRYAALIKESDEDQALYLTREPLTLGDQDIRIVYRSSNRDDLSVTLSFLAAASYVGQAKYLLRNLDWRAAVGPREEAGGLDWGGCDEIVELMPRPGLREIERMDLCSRFFARVKRQAAGGPGGGPRALLRRLREENQEGIAAITGYTLKDDPIAHRRLWGGCAFSYFDQCPDISADLNAALFRAARAFAKREPCGEPCAGGGAAVPGLYKLALMAALIACLGAAFVLGSLLCVERRNWRPLGSRAGTASRAAGLPFGPPLSAGRAGGAGCRSVYKVEQFEDYHATLSYPPEM
+>sp|Q9BQ95|ECSIT_HUMAN Evolutionarily conserved signaling intermediate in Toll pathway, mitochondrial OS=Homo sapiens OX=9606 GN=ECSIT PE=1 SV=1
+MSWVQATLLARGLCRAWGGTCGAALTGTSISQVPRRLPRGLHCSAAAHSSEQSLVPSPPEPRQRPTKALVPFEDLFGQAPGGERDKASFLQTVQKFAEHSVRKRGHIDFIYLALRKMREYGVERDLAVYNQLLNIFPKEVFRPRNIIQRIFVHYPRQQECGIAVLEQMENHGVMPNKETEFLLIQIFGRKSYPMLKLVRLKLWFPRFMNVNPFPVPRDLPQDPVELAMFGLRHMEPDLSARVTIYQVPLPKDSTGAADPPQPHIVGIQSPDQQAALARHNPARPVFVEGPFSLWLRNKCVYYHILRADLLPPEEREVEETPEEWNLYYPMQLDLEYVRSGWDNYEFDINEVEEGPVFAMCMAGAHDQATMAKWIQGLQETNPTLAQIPVVFRLAGSTRELQTSSAGLEEPPLPEDHQEEDDNLQRQQQGQS
+>DECOY_sp|Q9BQ95|ECSIT_HUMAN Evolutionarily conserved signaling intermediate in Toll pathway, mitochondrial OS=Homo sapiens OX=9606 GN=ECSIT PE=1 SV=1
+SQGQQQRQLNDDEEQHDEPLPPEELGASSTQLERTSGALRFVVPIQALTPNTEQLGQIWKAMTAQDHAGAMCMAFVPGEEVENIDFEYNDWGSRVYELDLQMPYYLNWEEPTEEVEREEPPLLDARLIHYYVCKNRLWLSFPGEVFVPRAPNHRALAAQQDPSQIGVIHPQPPDAAGTSDKPLPVQYITVRASLDPEMHRLGFMALEVPDQPLDRPVPFPNVNMFRPFWLKLRVLKLMPYSKRGFIQILLFETEKNPMVGHNEMQELVAIGCEQQRPYHVFIRQIINRPRFVEKPFINLLQNYVALDREVGYERMKRLALYIFDIHGRKRVSHEAFKQVTQLFSAKDREGGPAQGFLDEFPVLAKTPRQRPEPPSPVLSQESSHAAASCHLGRPLRRPVQSISTGTLAAGCTGGWARCLGRALLTAQVWSM
+>sp|Q8WWZ3|EDAD_HUMAN Ectodysplasin-A receptor-associated adapter protein OS=Homo sapiens OX=9606 GN=EDARADD PE=1 SV=3
+MGLRTTKQMGRGTKAPGHQEDHMVKEPVEDTDPSTLSFNMSDKYPIQDTELPKAEECDTITLNCPRNSDMKNQGEENGFPDSTGDPLPEISKDNSCKENCTCSSCLLRAPTISDLLNDQDLLDVIRIKLDPCHPTVKNWRNFASKWGMSYDELCFLEQRPQSPTLEFLLRNSQRTVGQLMELCRLYHRADVEKVLRRWVDEEWPKRERGDPSRHF
+>DECOY_sp|Q8WWZ3|EDAD_HUMAN Ectodysplasin-A receptor-associated adapter protein OS=Homo sapiens OX=9606 GN=EDARADD PE=1 SV=3
+FHRSPDGRERKPWEEDVWRRLVKEVDARHYLRCLEMLQGVTRQSNRLLFELTPSQPRQELFCLEDYSMGWKSAFNRWNKVTPHCPDLKIRIVDLLDQDNLLDSITPARLLCSSCTCNEKCSNDKSIEPLPDGTSDPFGNEEGQNKMDSNRPCNLTITDCEEAKPLETDQIPYKDSMNFSLTSPDTDEVPEKVMHDEQHGPAKTGRGMQKTTRLGM
+>sp|P05305|EDN1_HUMAN Endothelin-1 OS=Homo sapiens OX=9606 GN=EDN1 PE=1 SV=1
+MDYLLMIFSLLFVACQGAPETAVLGAELSAVGENGGEKPTPSPPWRLRRSKRCSCSSLMDKECVYFCHLDIIWVNTPEHVVPYGLGSPRSKRALENLLPTKATDRENRCQCASQKDKKCWNFCQAGKELRAEDIMEKDWNNHKKGKDCSKLGKKCIYQQLVRGRKIRRSSEEHLRQTRSETMRNSVKSSFHDPKLKGKPSRERYVTHNRAHW
+>DECOY_sp|P05305|EDN1_HUMAN Endothelin-1 OS=Homo sapiens OX=9606 GN=EDN1 PE=1 SV=1
+WHARNHTVYRERSPKGKLKPDHFSSKVSNRMTESRTQRLHEESSRRIKRGRVLQQYICKKGLKSCDKGKKHNNWDKEMIDEARLEKGAQCFNWCKKDKQSACQCRNERDTAKTPLLNELARKSRPSGLGYPVVHEPTNVWIIDLHCFYVCEKDMLSSCSCRKSRRLRWPPSPTPKEGGNEGVASLEAGLVATEPAGQCAVFLLSFIMLLYDM
+>sp|P14138|EDN3_HUMAN Endothelin-3 OS=Homo sapiens OX=9606 GN=EDN3 PE=1 SV=1
+MEPGLWLLFGLTVTSAAGFVPCSQSGDAGRRGVSQAPTAARSEGDCEETVAGPGEETVAGPGEGTVAPTALQGPSPGSPGQEQAAEGAPEHHRSRRCTCFTYKDKECVYYCHLDIIWINTPEQTVPYGLSNYRGSFRGKRSAGPLPGNLQLSHRPHLRCACVGRYDKACLHFCTQTLDVSSNSRTAEKTDKEEEGKVEVKDQQSKQALDLHHPKLMPGSGLALAPSTCPRCLFQEGAP
+>DECOY_sp|P14138|EDN3_HUMAN Endothelin-3 OS=Homo sapiens OX=9606 GN=EDN3 PE=1 SV=1
+PAGEQFLCRPCTSPALALGSGPMLKPHHLDLAQKSQQDKVEVKGEEEKDTKEATRSNSSVDLTQTCFHLCAKDYRGVCACRLHPRHSLQLNGPLPGASRKGRFSGRYNSLGYPVTQEPTNIWIIDLHCYYVCEKDKYTFCTCRRSRHHEPAGEAAQEQGPSGPSPGQLATPAVTGEGPGAVTEEGPGAVTEECDGESRAATPAQSVGRRGADGSQSCPVFGAASTVTLGFLLWLGPEM
+>sp|O75530|EED_HUMAN Polycomb protein EED OS=Homo sapiens OX=9606 GN=EED PE=1 SV=2
+MSEREVSTAPAGTDMPAAKKQKLSSDENSNPDLSGDENDDAVSIESGTNTERPDTPTNTPNAPGRKSWGKGKWKSKKCKYSFKCVNSLKEDHNQPLFGVQFNWHSKEGDPLVFATVGSNRVTLYECHSQGEIRLLQSYVDADADENFYTCAWTYDSNTSHPLLAVAGSRGIIRIINPITMQCIKHYVGHGNAINELKFHPRDPNLLLSVSKDHALRLWNIQTDTLVAIFGGVEGHRDEVLSADYDLLGEKIMSCGMDHSLKLWRINSKRMMNAIKESYDYNPNKTNRPFISQKIHFPDFSTRDIHRNYVDCVRWLGDLILSKSCENAIVCWKPGKMEDDIDKIKPSESNVTILGRFDYSQCDIWYMRFSMDFWQKMLALGNQVGKLYVWDLEVEDPHKAKCTTLTHHKCGAAIRQTSFSRDSSILIAVCDDASIWRWDRLR
+>DECOY_sp|O75530|EED_HUMAN Polycomb protein EED OS=Homo sapiens OX=9606 GN=EED PE=1 SV=2
+RLRDWRWISADDCVAILISSDRSFSTQRIAAGCKHHTLTTCKAKHPDEVELDWVYLKGVQNGLALMKQWFDMSFRMYWIDCQSYDFRGLITVNSESPKIKDIDDEMKGPKWCVIANECSKSLILDGLWRVCDVYNRHIDRTSFDPFHIKQSIFPRNTKNPNYDYSEKIANMMRKSNIRWLKLSHDMGCSMIKEGLLDYDASLVEDRHGEVGGFIAVLTDTQINWLRLAHDKSVSLLLNPDRPHFKLENIANGHGVYHKICQMTIPNIIRIIGRSGAVALLPHSTNSDYTWACTYFNEDADADVYSQLLRIEGQSHCEYLTVRNSGVTAFVLPDGEKSHWNFQVGFLPQNHDEKLSNVCKFSYKCKKSKWKGKGWSKRGPANPTNTPTDPRETNTGSEISVADDNEDGSLDPNSNEDSSLKQKKAAPMDTGAPATSVERESM
+>sp|P68104|EF1A1_HUMAN Elongation factor 1-alpha 1 OS=Homo sapiens OX=9606 GN=EEF1A1 PE=1 SV=1
+MGKEKTHINIVVIGHVDSGKSTTTGHLIYKCGGIDKRTIEKFEKEAAEMGKGSFKYAWVLDKLKAERERGITIDISLWKFETSKYYVTIIDAPGHRDFIKNMITGTSQADCAVLIVAAGVGEFEAGISKNGQTREHALLAYTLGVKQLIVGVNKMDSTEPPYSQKRYEEIVKEVSTYIKKIGYNPDTVAFVPISGWNGDNMLEPSANMPWFKGWKVTRKDGNASGTTLLEALDCILPPTRPTDKPLRLPLQDVYKIGGIGTVPVGRVETGVLKPGMVVTFAPVNVTTEVKSVEMHHEALSEALPGDNVGFNVKNVSVKDVRRGNVAGDSKNDPPMEAAGFTAQVIILNHPGQISAGYAPVLDCHTAHIACKFAELKEKIDRRSGKKLEDGPKFLKSGDAAIVDMVPGKPMCVESFSDYPPLGRFAVRDMRQTVAVGVIKAVDKKAAGAGKVTKSAQKAQKAK
+>DECOY_sp|P68104|EF1A1_HUMAN Elongation factor 1-alpha 1 OS=Homo sapiens OX=9606 GN=EEF1A1 PE=1 SV=1
+KAKQAKQASKTVKGAGAAKKDVAKIVGVAVTQRMDRVAFRGLPPYDSFSEVCMPKGPVMDVIAADGSKLFKPGDELKKGSRRDIKEKLEAFKCAIHATHCDLVPAYGASIQGPHNLIIVQATFGAAEMPPDNKSDGAVNGRRVDKVSVNKVNFGVNDGPLAESLAEHHMEVSKVETTVNVPAFTVVMGPKLVGTEVRGVPVTGIGGIKYVDQLPLRLPKDTPRTPPLICDLAELLTTGSANGDKRTVKWGKFWPMNASPELMNDGNWGSIPVFAVTDPNYGIKKIYTSVEKVIEEYRKQSYPPETSDMKNVGVILQKVGLTYALLAHERTQGNKSIGAEFEGVGAAVILVACDAQSTGTIMNKIFDRHGPADIITVYYKSTEFKWLSIDITIGREREAKLKDLVWAYKFSGKGMEAAEKEFKEITRKDIGGCKYILHGTTTSKGSDVHGIVVINIHTKEKGM
+>sp|Q05639|EF1A2_HUMAN Elongation factor 1-alpha 2 OS=Homo sapiens OX=9606 GN=EEF1A2 PE=1 SV=1
+MGKEKTHINIVVIGHVDSGKSTTTGHLIYKCGGIDKRTIEKFEKEAAEMGKGSFKYAWVLDKLKAERERGITIDISLWKFETTKYYITIIDAPGHRDFIKNMITGTSQADCAVLIVAAGVGEFEAGISKNGQTREHALLAYTLGVKQLIVGVNKMDSTEPAYSEKRYDEIVKEVSAYIKKIGYNPATVPFVPISGWHGDNMLEPSPNMPWFKGWKVERKEGNASGVSLLEALDTILPPTRPTDKPLRLPLQDVYKIGGIGTVPVGRVETGILRPGMVVTFAPVNITTEVKSVEMHHEALSEALPGDNVGFNVKNVSVKDIRRGNVCGDSKSDPPQEAAQFTSQVIILNHPGQISAGYSPVIDCHTAHIACKFAELKEKIDRRSGKKLEDNPKSLKSGDAAIVEMVPGKPMCVESFSQYPPLGRFAVRDMRQTVAVGVIKNVEKKSGGAGKVTKSAQKAQKAGK
+>DECOY_sp|Q05639|EF1A2_HUMAN Elongation factor 1-alpha 2 OS=Homo sapiens OX=9606 GN=EEF1A2 PE=1 SV=1
+KGAKQAKQASKTVKGAGGSKKEVNKIVGVAVTQRMDRVAFRGLPPYQSFSEVCMPKGPVMEVIAADGSKLSKPNDELKKGSRRDIKEKLEAFKCAIHATHCDIVPSYGASIQGPHNLIIVQSTFQAAEQPPDSKSDGCVNGRRIDKVSVNKVNFGVNDGPLAESLAEHHMEVSKVETTINVPAFTVVMGPRLIGTEVRGVPVTGIGGIKYVDQLPLRLPKDTPRTPPLITDLAELLSVGSANGEKREVKWGKFWPMNPSPELMNDGHWGSIPVFPVTAPNYGIKKIYASVEKVIEDYRKESYAPETSDMKNVGVILQKVGLTYALLAHERTQGNKSIGAEFEGVGAAVILVACDAQSTGTIMNKIFDRHGPADIITIYYKTTEFKWLSIDITIGREREAKLKDLVWAYKFSGKGMEAAEKEFKEITRKDIGGCKYILHGTTTSKGSDVHGIVVINIHTKEKGM
+>sp|Q5VTE0|EF1A3_HUMAN Putative elongation factor 1-alpha-like 3 OS=Homo sapiens OX=9606 GN=EEF1A1P5 PE=5 SV=1
+MGKEKTHINIVVIGHVDSGKSTTTGHLIYKCGGIDKRTIEKFEKEAAEMGKGSFKYAWVLDKLKAERERGITIDISLWKFETSKYYVTIIDAPGHRDFIKNMITGTSQADCAVLIVAAGVGEFEAGISKNGQTREHALLAYTLGVKQLIVGVNKMDSTEPPYSQKRYEEIVKEVSTYIKKIGYNPDTVAFVPISGWNGDNMLEPSANMPWFKGWKVTRKDGNASGTTLLEALDCILPPTRPTDKPLRLPLQDVYKIGGIGTVPVGRVETGVLKPGMVVTFAPVNVTTEVKSVEMHHEALSEALPGDNVGFKVKNVSVKDVRRGNVAGDSKNDPPMEAAGFTAQVIILNHPGQISAGYAPVLDCHMAHIACKFAELKEKIDRRSGKKLEDGPKFLKSGDAAIVDMVPGKPMCVESFSDYPPLGRFAVRDMRQTVAVGVIKAVDKKAAGAGKVTKSAQKAQKAK
+>DECOY_sp|Q5VTE0|EF1A3_HUMAN Putative elongation factor 1-alpha-like 3 OS=Homo sapiens OX=9606 GN=EEF1A1P5 PE=5 SV=1
+KAKQAKQASKTVKGAGAAKKDVAKIVGVAVTQRMDRVAFRGLPPYDSFSEVCMPKGPVMDVIAADGSKLFKPGDELKKGSRRDIKEKLEAFKCAIHAMHCDLVPAYGASIQGPHNLIIVQATFGAAEMPPDNKSDGAVNGRRVDKVSVNKVKFGVNDGPLAESLAEHHMEVSKVETTVNVPAFTVVMGPKLVGTEVRGVPVTGIGGIKYVDQLPLRLPKDTPRTPPLICDLAELLTTGSANGDKRTVKWGKFWPMNASPELMNDGNWGSIPVFAVTDPNYGIKKIYTSVEKVIEEYRKQSYPPETSDMKNVGVILQKVGLTYALLAHERTQGNKSIGAEFEGVGAAVILVACDAQSTGTIMNKIFDRHGPADIITVYYKSTEFKWLSIDITIGREREAKLKDLVWAYKFSGKGMEAAEKEFKEITRKDIGGCKYILHGTTTSKGSDVHGIVVINIHTKEKGM
+>sp|Q658K8|EF1DL_HUMAN Putative elongation factor 1-delta-like protein OS=Homo sapiens OX=9606 GN=EEF1DP3 PE=5 SV=1
+MATNFLVGEKIWFHKFKYGDAERRFYEQMNGPVAGASLQEASMILHDIARARENIPKSLAGSLGPGASSGPSGDHSELVVRIASLEVDNQRDLAERAGEELARPLGHSPADPAHVSHAPSGAPGQEASHTSRG
+>DECOY_sp|Q658K8|EF1DL_HUMAN Putative elongation factor 1-delta-like protein OS=Homo sapiens OX=9606 GN=EEF1DP3 PE=5 SV=1
+GRSTHSAEQGPAGSPAHSVHAPDAPSHGLPRALEEGAREALDRQNDVELSAIRVVLESHDGSPGSSAGPGLSGALSKPINERARAIDHLIMSAEQLSAGAVPGNMQEYFRREADGYKFKHFWIKEGVLFNTAM
+>sp|P26641|EF1G_HUMAN Elongation factor 1-gamma OS=Homo sapiens OX=9606 GN=EEF1G PE=1 SV=3
+MAAGTLYTYPENWRAFKALIAAQYSGAQVRVLSAPPHFHFGQTNRTPEFLRKFPAGKVPAFEGDDGFCVFESNAIAYYVSNEELRGSTPEAAAQVVQWVSFADSDIVPPASTWVFPTLGIMHHNKQATENAKEEVRRILGLLDAYLKTRTFLVGERVTLADITVVCTLLWLYKQVLEPSFRQAFPNTNRWFLTCINQPQFRAVLGEVKLCEKMAQFDAKKFAETQPKKDTPRKEKGSREEKQKPQAERKEEKKAAAPAPEEEMDECEQALAAEPKAKDPFAHLPKSTFVLDEFKRKYSNEDTLSVALPYFWEHFDKDGWSLWYSEYRFPEELTQTFMSCNLITGMFQRLDKLRKNAFASVILFGTNNSSSISGVWVFRGQELAFPLSPDWQVDYESYTWRKLDPGSEETQTLVREYFSWEGAFQHVGKAFNQGKIFK
+>DECOY_sp|P26641|EF1G_HUMAN Elongation factor 1-gamma OS=Homo sapiens OX=9606 GN=EEF1G PE=1 SV=3
+KFIKGQNFAKGVHQFAGEWSFYERVLTQTEESGPDLKRWTYSEYDVQWDPSLPFALEQGRFVWVGSISSSNNTGFLIVSAFANKRLKDLRQFMGTILNCSMFTQTLEEPFRYESYWLSWGDKDFHEWFYPLAVSLTDENSYKRKFEDLVFTSKPLHAFPDKAKPEAALAQECEDMEEEPAPAAAKKEEKREAQPKQKEERSGKEKRPTDKKPQTEAFKKADFQAMKECLKVEGLVARFQPQNICTLFWRNTNPFAQRFSPELVQKYLWLLTCVVTIDALTVREGVLFTRTKLYADLLGLIRRVEEKANETAQKNHHMIGLTPFVWTSAPPVIDSDAFSVWQVVQAAAEPTSGRLEENSVYYAIANSEFVCFGDDGEFAPVKGAPFKRLFEPTRNTQGFHFHPPASLVRVQAGSYQAAILAKFARWNEPYTYLTGAAM
+>sp|Q9BUY7|EFC11_HUMAN EF-hand calcium-binding domain-containing protein 11 OS=Homo sapiens OX=9606 GN=EFCAB11 PE=2 SV=1
+MFFSEARARSRTWEASPSEHRKWVEVFKACDEDHKGYLSREDFKTAVVMLFGYKPSKIEVDSVMSSINPNTSGILLEGFLNIVRKKKEAQRYRNEVRHIFTAFDTYYRGFLTLEDFKKAFRQVAPKLPERTVLEVFREVDRDSDGHVSFRDFEYALNYGQKEA
+>DECOY_sp|Q9BUY7|EFC11_HUMAN EF-hand calcium-binding domain-containing protein 11 OS=Homo sapiens OX=9606 GN=EFCAB11 PE=2 SV=1
+AEKQGYNLAYEFDRFSVHGDSDRDVERFVELVTREPLKPAVQRFAKKFDELTLFGRYYTDFATFIHRVENRYRQAEKKKRVINLFGELLIGSTNPNISSMVSDVEIKSPKYGFLMVVATKFDERSLYGKHDEDCAKFVEVWKRHESPSAEWTRSRARAESFFM
+>sp|Q8IY85|EFC13_HUMAN EF-hand calcium-binding domain-containing protein 13 OS=Homo sapiens OX=9606 GN=EFCAB13 PE=2 SV=2
+METKVHLFCQAEENIDLLDDGSNSFATDLSSGTINHKKYIKFSKTIEKEISPEIRSLSPEYKKIFETSIIFCGEEKSSDFSGEKKVGRKSLQVQQHSKRTEIIPPFLKLSKEKVTRKENSLCKLPNQYSVHKTSSPLCTSSAITREKEMLSNLYMTLYDEVTHGYLHSKELSALHKACKIFSKIRSGKIYVNDLPVILCILRISISDLEMRQALKTVDIDAFQDALKIFCRIKGGRVSTDDVFAVLDSMGIPINREILEEVTKHTYIDSNHMVDIGDIIFTLNELQEQYEDVSITEGSPLNEITSDRKLSSVAGCYLKYKKKNSLSSKLPEPSISKKLNKKSNQYYSKIMENDDLESKRPKNTWQIRKFLGGVGSSNVGVQEPYSKNGINFKKHSEKGEIHDSKSKPQSLKSSTSLSKSLDKSDISSIPKLQKPAVRKHSSLQKQVSSTEKTAISTLENFCEAISKLQENYIAAEELQSILPSTGINLLDEEFQKIVTDTSRNENGMVELDDFVNALAKERSFPECNALPGVIKAIDKIKDKNVDYEDLNTCLQNFGIYLSKPEFKKITELTEAGETKKVNFKEFIDTMMSNTECFSEKLVLPDAIETLDDLRKETMSVSDLWNTLSSLNSNLKKDEFLAALELVTVDEGDKVQFEEFAKVVRNMRDAARLEELQEVVLAADLLEGDMIAGKNLEDFLRNVGIKSPKEEVEKILQSDFVSEDNMVNIKDCMRALRDTQKFSNYIDFRKEASNLKLPKVNEIKEAANILSHVDNGKIGIPDLEHALKCLNVNLTEEDFNEALNCCNVSDNMEVDLKDFLMKMKESPHFQKSKATQILLATTQILQNDLVDVSDLKTLLMDKDLHTANAILTVMLRHVPEHESGKVSIQEFMTKLSDILTIPKAAGKFYLICTYCPDLERQAVVYMLKTIQDSIVKAQVSKKQYNMNIKQHKISLHNFCLNSKANIAKLNPNSKF
+>DECOY_sp|Q8IY85|EFC13_HUMAN EF-hand calcium-binding domain-containing protein 13 OS=Homo sapiens OX=9606 GN=EFCAB13 PE=2 SV=2
+FKSNPNLKAINAKSNLCFNHLSIKHQKINMNYQKKSVQAKVISDQITKLMYVVAQRELDPCYTCILYFKGAAKPITLIDSLKTMFEQISVKGSEHEPVHRLMVTLIANATHLDKDMLLTKLDSVDVLDNQLIQTTALLIQTAKSKQFHPSEKMKMLFDKLDVEMNDSVNCCNLAENFDEETLNVNLCKLAHELDPIGIKGNDVHSLINAAEKIENVKPLKLNSAEKRFDIYNSFKQTDRLARMCDKINVMNDESVFDSQLIKEVEEKPSKIGVNRLFDELNKGAIMDGELLDAALVVEQLEELRAADRMNRVVKAFEEFQVKDGEDVTVLELAALFEDKKLNSNLSSLTNWLDSVSMTEKRLDDLTEIADPLVLKESFCETNSMMTDIFEKFNVKKTEGAETLETIKKFEPKSLYIGFNQLCTNLDEYDVNKDKIKDIAKIVGPLANCEPFSREKALANVFDDLEVMGNENRSTDTVIKQFEEDLLNIGTSPLISQLEEAAIYNEQLKSIAECFNELTSIATKETSSVQKQLSSHKRVAPKQLKPISSIDSKDLSKSLSTSSKLSQPKSKSDHIEGKESHKKFNIGNKSYPEQVGVNSSGVGGLFKRIQWTNKPRKSELDDNEMIKSYYQNSKKNLKKSISPEPLKSSLSNKKKYKLYCGAVSSLKRDSTIENLPSGETISVDEYQEQLENLTFIIDGIDVMHNSDIYTHKTVEELIERNIPIGMSDLVAFVDDTSVRGGKIRCFIKLADQFADIDVTKLAQRMELDSISIRLICLIVPLDNVYIKGSRIKSFIKCAKHLASLEKSHLYGHTVEDYLTMYLNSLMEKERTIASSTCLPSSTKHVSYQNPLKCLSNEKRTVKEKSLKLFPPIIETRKSHQQVQLSKRGVKKEGSFDSSKEEGCFIISTEFIKKYEPSLSRIEPSIEKEITKSFKIYKKHNITGSSLDTAFSNSGDDLLDINEEAQCFLHVKTEM
+>sp|P60507|EFC1_HUMAN Endogenous retrovirus group FC1 Env polyprotein OS=Homo sapiens OX=9606 GN=ERVFC1 PE=1 SV=1
+MARPSPLCLLLLLTLLTPIVPSNSLLTEPPFRWRFYLHETWTQGNRLSTVTLATVDCQPHGCQAQVTFNFTSFKSVLRGWSNPTICFVYDQTHSNCRDYWVDTNGGCPYAYCRMHVTQLHTAKKLQHTYRLTSDGRTTYFLTIPDPWDSRWVSGVTGRLYRWPTDSYPVGKLRIFLTYIRVIPQVLSNLKDQADNIKHQEEVINTLVQSHPKADMVTYDDKAEAGPFSWITLVRHGARLVNMAGLVNLSHCFLCTALSQPPLVAVPLPQAFNTSGNHTAHPSGVFSEQVPLFRDPLQPQFPFCYTTPNSSWCNQTYSGSLSNLSAPAGGYFWCNFTLTKHLNISSNNTLSRNLCLPISLVPRLTLYSEAELSSLVNPPMRQKRAVFPPLVIGVSLTSSLVASGLGTGAIVHFISSSQDLSIKLQMAIEASAESLASLQRQITSVAKVAMQNRRALDLLTADKGGTCMFLGEECCYYINESGLVETSLLTLDKIRDGLHRPSSTPNYGGGWWQSPLTTWIIPFISPILIICLLLLIAPCVLKFIKNRISEVSRVTVNQMLLHPYSRLPTSEDHYDDALTQQEAAR
+>DECOY_sp|P60507|EFC1_HUMAN Endogenous retrovirus group FC1 Env polyprotein OS=Homo sapiens OX=9606 GN=ERVFC1 PE=1 SV=1
+RAAEQQTLADDYHDESTPLRSYPHLLMQNVTVRSVESIRNKIFKLVCPAILLLLCIILIPSIFPIIWTTLPSQWWGGGYNPTSSPRHLGDRIKDLTLLSTEVLGSENIYYCCEEGLFMCTGGKDATLLDLARRNQMAVKAVSTIQRQLSALSEASAEIAMQLKISLDQSSSIFHVIAGTGLGSAVLSSTLSVGIVLPPFVARKQRMPPNVLSSLEAESYLTLRPVLSIPLCLNRSLTNNSSINLHKTLTFNCWFYGGAPASLNSLSGSYTQNCWSSNPTTYCFPFQPQLPDRFLPVQESFVGSPHATHNGSTNFAQPLPVAVLPPQSLATCLFCHSLNVLGAMNVLRAGHRVLTIWSFPGAEAKDDYTVMDAKPHSQVLTNIVEEQHKINDAQDKLNSLVQPIVRIYTLFIRLKGVPYSDTPWRYLRGTVGSVWRSDWPDPITLFYTTRGDSTLRYTHQLKKATHLQTVHMRCYAYPCGGNTDVWYDRCNSHTQDYVFCITPNSWGRLVSKFSTFNFTVQAQCGHPQCDVTALTVTSLRNGQTWTEHLYFRWRFPPETLLSNSPVIPTLLTLLLLLCLPSPRAM
+>sp|Q9HAE3|EFCB1_HUMAN EF-hand calcium-binding domain-containing protein 1 OS=Homo sapiens OX=9606 GN=EFCAB1 PE=2 SV=1
+MNRKKLQKLTDTLTKNCKHFNKFEVNCLIKLFYDLVGGVERQGLVVGLDRNAFRNILHVTFGMTDDMIMDRVFRGFDKDNDGCVNVLEWIHGLSLFLRGSLEEKMKYCFEVFDLNGDGFISKEEMFHMLKNSLLKQPSEEDPDEGIKDLVEITLKKMDHDHDGKLSFADYELAVREETLLLEAFGPCLPDPKSQMEFEAQVFKDPNEFNDM
+>DECOY_sp|Q9HAE3|EFCB1_HUMAN EF-hand calcium-binding domain-containing protein 1 OS=Homo sapiens OX=9606 GN=EFCAB1 PE=2 SV=1
+MDNFENPDKFVQAEFEMQSKPDPLCPGFAELLLTEERVALEYDAFSLKGDHDHDMKKLTIEVLDKIGEDPDEESPQKLLSNKLMHFMEEKSIFGDGNLDFVEFCYKMKEELSGRLFLSLGHIWELVNVCGDNDKDFGRFVRDMIMDDTMGFTVHLINRFANRDLGVVLGQREVGGVLDYFLKILCNVEFKNFHKCNKTLTDTLKQLKKRNM
+>sp|A8MWE9|EFCB8_HUMAN EF-hand calcium-binding domain-containing protein 8 OS=Homo sapiens OX=9606 GN=EFCAB8 PE=4 SV=2
+MSSEDLAEIPQLQKLSIPHGFQNKEAASSPTPSITLSQVPDLQPGSQLFTEIHLAKIEKMFEEDINSTGALGMDAFIKAMKKVLSSVSDEMLKELFLKVDSDCEGFVTWQKYVDYMMREFQGKEDMRKSQYRLHFYLPMTVVPL
+>DECOY_sp|A8MWE9|EFCB8_HUMAN EF-hand calcium-binding domain-containing protein 8 OS=Homo sapiens OX=9606 GN=EFCAB8 PE=4 SV=2
+LPVVTMPLYFHLRYQSKRMDEKGQFERMMYDVYKQWTVFGECDSDVKLFLEKLMEDSVSSLVKKMAKIFADMGLAGTSNIDEEFMKEIKALHIETFLQSGPQLDPVQSLTISPTPSSAAEKNQFGHPISLKQLQPIEALDESSM
+>sp|P52797|EFNA3_HUMAN Ephrin-A3 OS=Homo sapiens OX=9606 GN=EFNA3 PE=1 SV=1
+MAAAPLLLLLLLVPVPLLPLLAQGPGGALGNRHAVYWNSSNQHLRREGYTVQVNVNDYLDIYCPHYNSSGVGPGAGPGPGGGAEQYVLYMVSRNGYRTCNASQGFKRWECNRPHAPHSPIKFSEKFQRYSAFSLGYEFHAGHEYYYISTPTHNLHWKCLRMKVFVCCASTSHSGEKPVPTLPQFTMGPNVKINVLEDFEGENPQVPKLEKSISGTSPKREHLPLAVGIAFFLMTFLAS
+>DECOY_sp|P52797|EFNA3_HUMAN Ephrin-A3 OS=Homo sapiens OX=9606 GN=EFNA3 PE=1 SV=1
+SALFTMLFFAIGVALPLHERKPSTGSISKELKPVQPNEGEFDELVNIKVNPGMTFQPLTPVPKEGSHSTSACCVFVKMRLCKWHLNHTPTSIYYYEHGAHFEYGLSFASYRQFKESFKIPSHPAHPRNCEWRKFGQSANCTRYGNRSVMYLVYQEAGGGPGPGAGPGVGSSNYHPCYIDLYDNVNVQVTYGERRLHQNSSNWYVAHRNGLAGGPGQALLPLLPVPVLLLLLLLPAAAM
+>sp|P98172|EFNB1_HUMAN Ephrin-B1 OS=Homo sapiens OX=9606 GN=EFNB1 PE=1 SV=1
+MARPGQRWLGKWLVAMVVWALCRLATPLAKNLEPVSWSSLNPKFLSGKGLVIYPKIGDKLDIICPRAEAGRPYEYYKLYLVRPEQAAACSTVLDPNVLVTCNRPEQEIRFTIKFQEFSPNYMGLEFKKHHDYYITSTSNGSLEGLENREGGVCRTRTMKIIMKVGQDPNAVTPEQLTTSRPSKEADNTVKMATQAPGSRGSLGDSDGKHETVNQEEKSGPGASGGSSGDPDGFFNSKVALFAAVGAGCVIFLLIIIFLTVLLLKLRKRHRKHTQQRAAALSLSTLASPKGGSGTAGTEPSDIIIPLRTTENNYCPHYEKVSGDYGHPVYIVQEMPPQSPANIYYKV
+>DECOY_sp|P98172|EFNB1_HUMAN Ephrin-B1 OS=Homo sapiens OX=9606 GN=EFNB1 PE=1 SV=1
+VKYYINAPSQPPMEQVIYVPHGYDGSVKEYHPCYNNETTRLPIIIDSPETGATGSGGKPSALTSLSLAAARQQTHKRHRKRLKLLLVTLFIIILLFIVCGAGVAAFLAVKSNFFGDPDGSSGGSAGPGSKEEQNVTEHKGDSDGLSGRSGPAQTAMKVTNDAEKSPRSTTLQEPTVANPDQGVKMIIKMTRTRCVGGERNELGELSGNSTSTIYYDHHKKFELGMYNPSFEQFKITFRIEQEPRNCTVLVNPDLVTSCAAAQEPRVLYLKYYEYPRGAEARPCIIDLKDGIKPYIVLGKGSLFKPNLSSWSVPELNKALPTALRCLAWVVMAVLWKGLWRQGPRAM
+>sp|Q14156|EFR3A_HUMAN Protein EFR3 homolog A OS=Homo sapiens OX=9606 GN=EFR3A PE=1 SV=2
+MPTRVCCCCSALRPRYKRLVDNIFPEDPKDGLVKTDMEKLTFYAVSAPEKLDRIGSYLAERLSRDVVRHRSGYVLIAMEALDQLLMACHSQSIKPFVESFLHMVAKLLESGEPKLQVLGTNSFVKFANIEEDTPSYHRRYDFFVSRFSAMCHSCHSDPEIRTEIRIAGIRGIQGVVRKTVNDELRATIWEPQHMDKIVPSLLFNMQKIEEVDSRIGPPSSPSATDKEENPAVLAENCFRELLGRATFGNMNNAVRPVFAHLDHHKLWDPNEFAVHCFKIIMYSIQAQYSHHVIQEILGHLDARKKDAPRVRAGIIQVLLEAVAIAAKGSIGPTVLEVFNTLLKHLRLSVEFEANDLQGGSVGSVNLNTSSKDNDEKIVQNAIIQTIGFFGSNLPDYQRSEIMMFIMGKVPVFGTSTHTLDISQLGDLGTRRIQIMLLRSLLMVTSGYKAKTIVTALPGSFLDPLLSPSLMEDYELRQLVLEVMHNLMDRHDNRAKLRGIRIIPDVADLKIKREKICRQDTSFMKKNGQQLYRHIYLGCKEEDNVQKNYELLYTSLALITIELANEEVVIDLIRLAIALQDSAIINEDNLPMFHRCGIMALVAAYLNFVSQMIAVPAFCQHVSKVIEIRTMEAPYFLPEHIFRDKCMLPKSLEKHEKDLYFLTNKIAESLGGSGYSVERLSVPYVPQVTDEDRLSRRKSIVDTVSIQVDILSNNVPSDDVVSNTEEITFEALKKAIDTSGMEEQEKEKRRLVIEKFQKAPFEEIAAQCESKANLLHDRLAQILELTIRPPPSPSGTLTITSGHAQYQSVPVYEMKFPDLCVY
+>DECOY_sp|Q14156|EFR3A_HUMAN Protein EFR3 homolog A OS=Homo sapiens OX=9606 GN=EFR3A PE=1 SV=2
+YVCLDPFKMEYVPVSQYQAHGSTITLTGSPSPPPRITLELIQALRDHLLNAKSECQAAIEEFPAKQFKEIVLRRKEKEQEEMGSTDIAKKLAEFTIEETNSVVDDSPVNNSLIDVQISVTDVISKRRSLRDEDTVQPVYPVSLREVSYGSGGLSEAIKNTLFYLDKEHKELSKPLMCKDRFIHEPLFYPAEMTRIEIVKSVHQCFAPVAIMQSVFNLYAAVLAMIGCRHFMPLNDENIIASDQLAIALRILDIVVEENALEITILALSTYLLEYNKQVNDEEKCGLYIHRYLQQGNKKMFSTDQRCIKERKIKLDAVDPIIRIGRLKARNDHRDMLNHMVELVLQRLEYDEMLSPSLLPDLFSGPLATVITKAKYGSTVMLLSRLLMIQIRRTGLDGLQSIDLTHTSTGFVPVKGMIFMMIESRQYDPLNSGFFGITQIIANQVIKEDNDKSSTNLNVSGVSGGQLDNAEFEVSLRLHKLLTNFVELVTPGISGKAAIAVAELLVQIIGARVRPADKKRADLHGLIEQIVHHSYQAQISYMIIKFCHVAFENPDWLKHHDLHAFVPRVANNMNGFTARGLLERFCNEALVAPNEEKDTASPSSPPGIRSDVEEIKQMNFLLSPVIKDMHQPEWITARLEDNVTKRVVGQIGRIGAIRIETRIEPDSHCSHCMASFRSVFFDYRRHYSPTDEEINAFKVFSNTGLVQLKPEGSELLKAVMHLFSEVFPKISQSHCAMLLQDLAEMAILVYGSRHRVVDRSLREALYSGIRDLKEPASVAYFTLKEMDTKVLGDKPDEPFINDVLRKYRPRLASCCCCVRTPM
+>sp|P43897|EFTS_HUMAN Elongation factor Ts, mitochondrial OS=Homo sapiens OX=9606 GN=TSFM PE=1 SV=2
+MSLLRSLRVFLVARTGSYPAGSLLRQSPQPRHTFYAGPRLSASASSKELLMKLRRKTGYSFVNCKKALETCGGDLKQAEIWLHKEAQKEGWSKAAKLQGRKTKEGLIGLLQEGNTTVLVEVNCETDFVSRNLKFQLLVQQVALGTMMHCQTLKDQPSAYSKGFLNSSELSGLPAGPDREGSLKDQLALAIGKLGENMILKRAAWVKVPSGFYVGSYVHGAMQSPSLHKLVLGKYGALVICETSEQKTNLEDVGRRLGQHVVGMAPLSVGSLDDEPGGEAETKMLSQPYLLDPSITLGQYVQPQGVSVVDFVRFECGEGEEAAETE
+>DECOY_sp|P43897|EFTS_HUMAN Elongation factor Ts, mitochondrial OS=Homo sapiens OX=9606 GN=TSFM PE=1 SV=2
+ETEAAEEGEGCEFRVFDVVSVGQPQVYQGLTISPDLLYPQSLMKTEAEGGPEDDLSGVSLPAMGVVHQGLRRGVDELNTKQESTECIVLAGYKGLVLKHLSPSQMAGHVYSGVYFGSPVKVWAARKLIMNEGLKGIALALQDKLSGERDPGAPLGSLESSNLFGKSYASPQDKLTQCHMMTGLAVQQVLLQFKLNRSVFDTECNVEVLVTTNGEQLLGILGEKTKRGQLKAAKSWGEKQAEKHLWIEAQKLDGGCTELAKKCNVFSYGTKRRLKMLLEKSSASASLRPGAYFTHRPQPSQRLLSGAPYSGTRAVLFVRLSRLLSM
+>sp|Q96KS0|EGLN2_HUMAN Egl nine homolog 2 OS=Homo sapiens OX=9606 GN=EGLN2 PE=1 SV=1
+MDSPCQPQPLSQALPQLPGSSSEPLEPEPGRARMGVESYLPCPLLPSYHCPGVPSEASAGSGTPRATATSTTASPLRDGFGGQDGGELRPLQSEGAAALVTKGCQRLAAQGARPEAPKRKWAEDGGDAPSPSKRPWARQENQEAEREGGMSCSCSSGSGEASAGLMEEALPSAPERLALDYIVPCMRYYGICVKDSFLGAALGGRVLAEVEALKRGGRLRDGQLVSQRAIPPRSIRGDQIAWVEGHEPGCRSIGALMAHVDAVIRHCAGRLGSYVINGRTKAMVACYPGNGLGYVRHVDNPHGDGRCITCIYYLNQNWDVKVHGGLLQIFPEGRPVVANIEPLFDRLLIFWSDRRNPHEVKPAYATRYAITVWYFDAKERAAAKDKYQLASGQKGVQVPVSQPPTPT
+>DECOY_sp|Q96KS0|EGLN2_HUMAN Egl nine homolog 2 OS=Homo sapiens OX=9606 GN=EGLN2 PE=1 SV=1
+TPTPPQSVPVQVGKQGSALQYKDKAAAREKADFYWVTIAYRTAYAPKVEHPNRRDSWFILLRDFLPEINAVVPRGEPFIQLLGGHVKVDWNQNLYYICTICRGDGHPNDVHRVYGLGNGPYCAVMAKTRGNIVYSGLRGACHRIVADVHAMLAGISRCGPEHGEVWAIQDGRISRPPIARQSVLQGDRLRGGRKLAEVEALVRGGLAAGLFSDKVCIGYYRMCPVIYDLALREPASPLAEEMLGASAEGSGSSCSCSMGGEREAEQNEQRAWPRKSPSPADGGDEAWKRKPAEPRAGQAALRQCGKTVLAAAGESQLPRLEGGDQGGFGDRLPSATTSTATARPTGSGASAESPVGPCHYSPLLPCPLYSEVGMRARGPEPELPESSSGPLQPLAQSLPQPQCPSDM
+>sp|Q06889|EGR3_HUMAN Early growth response protein 3 OS=Homo sapiens OX=9606 GN=EGR3 PE=2 SV=1
+MTGKLAEKLPVTMSSLLNQLPDNLYPEEIPSALNLFSGSSDSVVHYNQMATENVMDIGLTNEKPNPELSYSGSFQPAPGNKTVTYLGKFAFDSPSNWCQDNIISLMSAGILGVPPASGALSTQTSTASMVQPPQGDVEAMYPALPPYSNCGDLYSEPVSFHDPQGNPGLAYSPQDYQSAKPALDSNLFPMIPDYNLYHHPNDMGSIPEHKPFQGMDPIRVNPPPITPLETIKAFKDKQIHPGFGSLPQPPLTLKPIRPRKYPNRPSKTPLHERPHACPAEGCDRRFSRSDELTRHLRIHTGHKPFQCRICMRSFSRSDHLTTHIRTHTGEKPFACEFCGRKFARSDERKRHAKIHLKQKEKKAEKGGAPSASSAPPVSLAPVVTTCA
+>DECOY_sp|Q06889|EGR3_HUMAN Early growth response protein 3 OS=Homo sapiens OX=9606 GN=EGR3 PE=2 SV=1
+ACTTVVPALSVPPASSASPAGGKEAKKEKQKLHIKAHRKREDSRAFKRGCFECAFPKEGTHTRIHTTLHDSRSFSRMCIRCQFPKHGTHIRLHRTLEDSRSFRRDCGEAPCAHPREHLPTKSPRNPYKRPRIPKLTLPPQPLSGFGPHIQKDKFAKITELPTIPPPNVRIPDMGQFPKHEPISGMDNPHHYLNYDPIMPFLNSDLAPKASQYDQPSYALGPNGQPDHFSVPESYLDGCNSYPPLAPYMAEVDGQPPQVMSATSTQTSLAGSAPPVGLIGASMLSIINDQCWNSPSDFAFKGLYTVTKNGPAPQFSGSYSLEPNPKENTLGIDMVNETAMQNYHVVSDSSGSFLNLASPIEEPYLNDPLQNLLSSMTVPLKEALKGTM
+>sp|Q9NZN3|EHD3_HUMAN EH domain-containing protein 3 OS=Homo sapiens OX=9606 GN=EHD3 PE=1 SV=2
+MFSWLGTDDRRRKDPEVFQTVSEGLKKLYKSKLLPLEEHYRFHEFHSPALEDADFDNKPMVLLVGQYSTGKTTFIRYLLEQDFPGMRIGPEPTTDSFIAVMQGDMEGIIPGNALVVDPKKPFRKLNAFGNAFLNRFVCAQLPNPVLESISVIDTPGILSGEKQRISRGYDFAAVLEWFAERVDRIILLFDAHKLDISDEFSEVIKALKNHEDKMRVVLNKADQIETQQLMRVYGALMWSLGKIVNTPEVIRVYIGSFWSHPLLIPDNRKLFEAEEQDLFRDIQSLPRNAALRKLNDLIKRARLAKVHAYIISSLKKEMPSVFGKDNKKKELVNNLAEIYGRIEREHQISPGDFPNLKRMQDQLQAQDFSKFQPLKSKLLEVVDDMLAHDIAQLMVLVRQEESQRPIQMVKGGAFEGTLHGPFGHGYGEGAGEGIDDAEWVVARDKPMYDEIFYTLSPVDGKITGANAKKEMVRSKLPNSVLGKIWKLADIDKDGMLDDDEFALANHLIKVKLEGHELPNELPAHLLPPSKRKVAE
+>DECOY_sp|Q9NZN3|EHD3_HUMAN EH domain-containing protein 3 OS=Homo sapiens OX=9606 GN=EHD3 PE=1 SV=2
+EAVKRKSPPLLHAPLENPLEHGELKVKILHNALAFEDDDLMGDKDIDALKWIKGLVSNPLKSRVMEKKANAGTIKGDVPSLTYFIEDYMPKDRAVVWEADDIGEGAGEGYGHGFPGHLTGEFAGGKVMQIPRQSEEQRVLVMLQAIDHALMDDVVELLKSKLPQFKSFDQAQLQDQMRKLNPFDGPSIQHEREIRGYIEALNNVLEKKKNDKGFVSPMEKKLSSIIYAHVKALRARKILDNLKRLAANRPLSQIDRFLDQEEAEFLKRNDPILLPHSWFSGIYVRIVEPTNVIKGLSWMLAGYVRMLQQTEIQDAKNLVVRMKDEHNKLAKIVESFEDSIDLKHADFLLIIRDVREAFWELVAAFDYGRSIRQKEGSLIGPTDIVSISELVPNPLQACVFRNLFANGFANLKRFPKKPDVVLANGPIIGEMDGQMVAIFSDTTPEPGIRMGPFDQELLYRIFTTKGTSYQGVLLVMPKNDFDADELAPSHFEHFRYHEELPLLKSKYLKKLGESVTQFVEPDKRRRDDTGLWSFM
+>sp|Q9H223|EHD4_HUMAN EH domain-containing protein 4 OS=Homo sapiens OX=9606 GN=EHD4 PE=1 SV=1
+MFSWMGRQAGGRERAGGADAVQTVTGGLRSLYLRKVLPLEEAYRFHEFHSPALEDADFENKPMILLVGQYSTGKTTFIRYLLEQDFPGMRIGPEPTTDSFIAVMYGETEGSTPGNALVVDPKKPFRKLSRFGNAFLNRFMCSQLPNQVLKSISVIDSPGILSGEKQRISRGYDFCQVLQWFAERVDRIILLFDAHKLDISDEFSEAIKAFRGQDDKIRVVLNKADQVDTQQLMRVYGALMWSLGKVINTPEVLRVYIGSFWAQPLQNTDNRRLFEAEAQDLFRDIQSLPQKAAVRKLNDLIKRARLAKVHAYIISYLKKEMPSVFGKENKKRELISRLPEIYIQLQREYQISAGDFPEVKAMQEQLENYDFTKFHSLKPKLIEAVDNMLSNKISPLMNLISQEETSTPTQLVQGGAFDGTTEGPFNQGYGEGAKEGADEEEWVVAKDKPVYDELFYTLSPINGKISGVNAKKEMVTSKLPNSVLGKIWKLADCDCDGMLDEEEFALAKHLIKIKLDGYELPSSLPPHLVPPSHRKSLPKAD
+>DECOY_sp|Q9H223|EHD4_HUMAN EH domain-containing protein 4 OS=Homo sapiens OX=9606 GN=EHD4 PE=1 SV=1
+DAKPLSKRHSPPVLHPPLSSPLEYGDLKIKILHKALAFEEEDLMGDCDCDALKWIKGLVSNPLKSTVMEKKANVGSIKGNIPSLTYFLEDYVPKDKAVVWEEEDAGEKAGEGYGQNFPGETTGDFAGGQVLQTPTSTEEQSILNMLPSIKNSLMNDVAEILKPKLSHFKTFDYNELQEQMAKVEPFDGASIQYERQLQIYIEPLRSILERKKNEKGFVSPMEKKLYSIIYAHVKALRARKILDNLKRVAAKQPLSQIDRFLDQAEAEFLRRNDTNQLPQAWFSGIYVRLVEPTNIVKGLSWMLAGYVRMLQQTDVQDAKNLVVRIKDDQGRFAKIAESFEDSIDLKHADFLLIIRDVREAFWQLVQCFDYGRSIRQKEGSLIGPSDIVSISKLVQNPLQSCMFRNLFANGFRSLKRFPKKPDVVLANGPTSGETEGYMVAIFSDTTPEPGIRMGPFDQELLYRIFTTKGTSYQGVLLIMPKNEFDADELAPSHFEHFRYAEELPLVKRLYLSRLGGTVTQVADAGGARERGGAQRGMWSFM
+>sp|Q8N9N8|EIF1A_HUMAN Probable RNA-binding protein EIF1AD OS=Homo sapiens OX=9606 GN=EIF1AD PE=1 SV=1
+MSQATKRKHVVKEVLGEHIVPSDQQQIVRVLRTPGNNLHEVETAQGQRFLVSMPSKYRKNIWIKRGDFLIVDPIEEGEKVKAEISFVLCKDHVRSLQKEGFWPEAFSEVAEKHNNRNRQTQPELPAEPQLSGEESSSEDDSDLFVNTNRRQYHESEEESEEEEAA
+>DECOY_sp|Q8N9N8|EIF1A_HUMAN Probable RNA-binding protein EIF1AD OS=Homo sapiens OX=9606 GN=EIF1AD PE=1 SV=1
+AAEEEESEEESEHYQRRNTNVFLDSDDESSSEEGSLQPEAPLEPQTQRNRNNHKEAVESFAEPWFGEKQLSRVHDKCLVFSIEAKVKEGEEIPDVILFDGRKIWINKRYKSPMSVLFRQGQATEVEHLNNGPTRLVRVIQQQDSPVIHEGLVEKVVHKRKTAQSM
+>sp|Q9BY44|EIF2A_HUMAN Eukaryotic translation initiation factor 2A OS=Homo sapiens OX=9606 GN=EIF2A PE=1 SV=3
+MAPSTPLLTVRGSEGLYMVNGPPHFTESTVFPRESGKNCKVCIFSKDGTLFAWGNGEKVNIISVTNKGLLHSFDLLKAVCLEFSPKNTVLATWQPYTTSKDGTAGIPNLQLYDVKTGTCLKSFIQKKMQNWCPSWSEDETLCARNVNNEVHFFENNNFNTIANKLHLQKINDFVLSPGPQPYKVAVYVPGSKGAPSFVRLYQYPNFAGPHAALANKSFFKADKVTMLWNKKATAVLVIASTDVDKTGASYYGEQTLHYIATNGESAVVQLPKNGPIYDVVWNSSSTEFCAVYGFMPAKATIFNLKCDPVFDFGTGPRNAAYYSPHGHILVLAGFGNLRGQMEVWDVKNYKLISKPVASDSTYFAWCPDGEHILTATCAPRLRVNNGYKIWHYTGSILHKYDVPSNAELWQVSWQPFLDGIFPAKTITYQAVPSEVPNEEPKVATAYRPPALRNKPITNSKLHEEEPPQNMKPQSGNDKPLSKTALKNQRKHEAKKAAKQEARSDKSPDLAPTPAPQSTPRNTVSQSISGDPEIDKKIKNLKKKLKAIEQLKEQAATGKQLEKNQLEKIQKETALLQELEDLELGI
+>DECOY_sp|Q9BY44|EIF2A_HUMAN Eukaryotic translation initiation factor 2A OS=Homo sapiens OX=9606 GN=EIF2A PE=1 SV=3
+IGLELDELEQLLATEKQIKELQNKELQKGTAAQEKLQEIAKLKKKLNKIKKDIEPDGSISQSVTNRPTSQPAPTPALDPSKDSRAEQKAAKKAEHKRQNKLATKSLPKDNGSQPKMNQPPEEEHLKSNTIPKNRLAPPRYATAVKPEENPVESPVAQYTITKAPFIGDLFPQWSVQWLEANSPVDYKHLISGTYHWIKYGNNVRLRPACTATLIHEGDPCWAFYTSDSAVPKSILKYNKVDWVEMQGRLNGFGALVLIHGHPSYYAANRPGTGFDFVPDCKLNFITAKAPMFGYVACFETSSSNWVVDYIPGNKPLQVVASEGNTAIYHLTQEGYYSAGTKDVDTSAIVLVATAKKNWLMTVKDAKFFSKNALAAHPGAFNPYQYLRVFSPAGKSGPVYVAVKYPQPGPSLVFDNIKQLHLKNAITNFNNNEFFHVENNVNRACLTEDESWSPCWNQMKKQIFSKLCTGTKVDYLQLNPIGATGDKSTTYPQWTALVTNKPSFELCVAKLLDFSHLLGKNTVSIINVKEGNGWAFLTGDKSFICVKCNKGSERPFVTSETFHPPGNVMYLGESGRVTLLPTSPAM
+>sp|Q99613|EIF3C_HUMAN Eukaryotic translation initiation factor 3 subunit C OS=Homo sapiens OX=9606 GN=EIF3C PE=1 SV=1
+MSRFFTTGSDSESESSLSGEELVTKPVGGNYGKQPLLLSEDEEDTKRVVRSAKDKRFEELTNLIRTIRNAMKIRDVTKCLEEFELLGKAYGKAKSIVDKEGVPRFYIRILADLEDYLNELWEDKEGKKKMNKNNAKALSTLRQKIRKYNRDFESHITSYKQNPEQSADEDAEKNEEDSEGSSDEDEDEDGVSAATFLKKKSEAPSGESRKFLKKMDDEDEDSEDSEDDEDWDTGSTSSDSDSEEEEGKQTALASRFLKKAPTTDEDKKAAEKKREDKAKKKHDRKSKRLDEEEEDNEGGEWERVRGGVPLVKEKPKMFAKGTEITHAVVIKKLNEILQARGKKGTDRAAQIELLQLLVQIAAENNLGEGVIVKIKFNIIASLYDYNPNLATYMKPEMWGKCLDCINELMDILFANPNIFVGENILEESENLHNADQPLRVRGCILTLVERMDEEFTKIMQNTDPHSQEYVEHLKDEAQVCAIIERVQRYLEEKGTTEEVCRIYLLRILHTYYKFDYKAHQRQLTPPEGSSKSEQDQAENEGEDSAVLMERLCKYIYAKDRTDRIRTCAILCHIYHHALHSRWYQARDLMLMSHLQDNIQHADPPVQILYNRTMVQLGICAFRQGLTKDAHNALLDIQSSGRAKELLGQGLLLRSLQERNQEQEKVERRRQVPFHLHINLELLECVYLVSAMLLEIPYMAAHESDARRRMISKQFHHQLRVGERQPLLGPPESMREHVVAASKAMKMGDWKTCHSFIINEKMNGKVWDLFPEADKVRTMLVRKIQEESLRTYLFTYSSVYDSISMETLSDMFELDLPTVHSIISKMIINEELMASLDQPTQTVVMHRTEPTAQQNLALQLAEKLGSLVENNERVFDHKQGTYGGYFRDQKDGYRKNEGYMRRGGYRQQQSQTAY
+>DECOY_sp|Q99613|EIF3C_HUMAN Eukaryotic translation initiation factor 3 subunit C OS=Homo sapiens OX=9606 GN=EIF3C PE=1 SV=1
+YATQSQQQRYGGRRMYGENKRYGDKQDRFYGGYTGQKHDFVRENNEVLSGLKEALQLALNQQATPETRHMVVTQTPQDLSAMLEENIIMKSIISHVTPLDLEFMDSLTEMSISDYVSSYTFLYTRLSEEQIKRVLMTRVKDAEPFLDWVKGNMKENIIFSHCTKWDGMKMAKSAAVVHERMSEPPGLLPQREGVRLQHHFQKSIMRRRADSEHAAMYPIELLMASVLYVCELLELNIHLHFPVQRRREVKEQEQNREQLSRLLLGQGLLEKARGSSQIDLLANHADKTLGQRFACIGLQVMTRNYLIQVPPDAHQINDQLHSMLMLDRAQYWRSHLAHHYIHCLIACTRIRDTRDKAYIYKCLREMLVASDEGENEAQDQESKSSGEPPTLQRQHAKYDFKYYTHLIRLLYIRCVEETTGKEELYRQVREIIACVQAEDKLHEVYEQSHPDTNQMIKTFEEDMREVLTLICGRVRLPQDANHLNESEELINEGVFINPNAFLIDMLENICDLCKGWMEPKMYTALNPNYDYLSAIINFKIKVIVGEGLNNEAAIQVLLQLLEIQAARDTGKKGRAQLIENLKKIVVAHTIETGKAFMKPKEKVLPVGGRVREWEGGENDEEEEDLRKSKRDHKKKAKDERKKEAAKKDEDTTPAKKLFRSALATQKGEEEESDSDSSTSGTDWDEDDESDESDEDEDDMKKLFKRSEGSPAESKKKLFTAASVGDEDEDEDSSGESDEENKEADEDASQEPNQKYSTIHSEFDRNYKRIKQRLTSLAKANNKNMKKKGEKDEWLENLYDELDALIRIYFRPVGEKDVISKAKGYAKGLLEFEELCKTVDRIKMANRITRILNTLEEFRKDKASRVVRKTDEEDESLLLPQKGYNGGVPKTVLEEGSLSSESESDSGTTFFRSM
+>sp|P54886|P5CS_HUMAN Delta-1-pyrroline-5-carboxylate synthase OS=Homo sapiens OX=9606 GN=ALDH18A1 PE=1 SV=2
+MLSQVYRCGFQPFNQHLLPWVKCTTVFRSHCIQPSVIRHVRSWSNIPFITVPLSRTHGKSFAHRSELKHAKRIVVKLGSAVVTRGDECGLALGRLASIVEQVSVLQNQGREMMLVTSGAVAFGKQRLRHEILLSQSVRQALHSGQNQLKEMAIPVLEARACAAAGQSGLMALYEAMFTQYSICAAQILVTNLDFHDEQKRRNLNGTLHELLRMNIVPIVNTNDAVVPPAEPNSDLQGVNVISVKDNDSLAARLAVEMKTDLLIVLSDVEGLFDSPPGSDDAKLIDIFYPGDQQSVTFGTKSRVGMGGMEAKVKAALWALQGGTSVVIANGTHPKVSGHVITDIVEGKKVGTFFSEVKPAGPTVEQQGEMARSGGRMLATLEPEQRAEIIHHLADLLTDQRDEILLANKKDLEEAEGRLAAPLLKRLSLSTSKLNSLAIGLRQIAASSQDSVGRVLRRTRIAKNLELEQVTVPIGVLLVIFESRPDCLPQVAALAIASGNGLLLKGGKEAAHSNRILHLLTQEALSIHGVKEAVQLVNTREEVEDLCRLDKMIDLIIPRGSSQLVRDIQKAAKGIPVMGHSEGICHMYVDSEASVDKVTRLVRDSKCEYPAACNALETLLIHRDLLRTPLFDQIIDMLRVEQVKIHAGPKFASYLTFSPSEVKSLRTEYGDLELCIEVVDNVQDAIDHIHKYGSSHTDVIVTEDENTAEFFLQHVDSACVFWNASTRFSDGYRFGLGAEVGISTSRIHARGPVGLEGLLTTKWLLRGKDHVVSDFSEHGSLKYLHENLPIPQRNTN
+>DECOY_sp|P54886|P5CS_HUMAN Delta-1-pyrroline-5-carboxylate synthase OS=Homo sapiens OX=9606 GN=ALDH18A1 PE=1 SV=2
+NTNRQPIPLNEHLYKLSGHESFDSVVHDKGRLLWKTTLLGELGVPGRAHIRSTSIGVEAGLGFRYGDSFRTSANWFVCASDVHQLFFEATNEDETVIVDTHSSGYKHIHDIADQVNDVVEICLELDGYETRLSKVESPSFTLYSAFKPGAHIKVQEVRLMDIIQDFLPTRLLDRHILLTELANCAAPYECKSDRVLRTVKDVSAESDVYMHCIGESHGMVPIGKAAKQIDRVLQSSGRPIILDIMKDLRCLDEVEERTNVLQVAEKVGHISLAEQTLLHLIRNSHAAEKGGKLLLGNGSAIALAAVQPLCDPRSEFIVLLVGIPVTVQELELNKAIRTRRLVRGVSDQSSAAIQRLGIALSNLKSTSLSLRKLLPAALRGEAEELDKKNALLIEDRQDTLLDALHHIIEARQEPELTALMRGGSRAMEGQQEVTPGAPKVESFFTGVKKGEVIDTIVHGSVKPHTGNAIVVSTGGQLAWLAAKVKAEMGGMGVRSKTGFTVSQQDGPYFIDILKADDSGPPSDFLGEVDSLVILLDTKMEVALRAALSDNDKVSIVNVGQLDSNPEAPPVVADNTNVIPVINMRLLEHLTGNLNRRKQEDHFDLNTVLIQAACISYQTFMAEYLAMLGSQGAAACARAELVPIAMEKLQNQGSHLAQRVSQSLLIEHRLRQKGFAVAGSTVLMMERGQNQLVSVQEVISALRGLALGCEDGRTVVASGLKVVIRKAHKLESRHAFSKGHTRSLPVTIFPINSWSRVHRIVSPQICHSRFVTTCKVWPLLHQNFPQFGCRYVQSLM
+>sp|Q8NBR0|P5I13_HUMAN Tumor protein p53-inducible protein 13 OS=Homo sapiens OX=9606 GN=TP53I13 PE=2 SV=1
+MAPPPPSPQLLLLAALARLLGPSEVMAGPAEEAGAHCPESLWPLPPQVSPRVTYTRVSPGQAEDVTFLYHPCAHPWLKLQLALLAYACMANPSLTPDFSLTQDRPLVLTAWGLALEMAWVEPAWAAHWLMRRRRRKQRKKKAWIYCESLSGPAPSEPTPGRGRLCRRGCVQALALAFALRSWRPPGTEVTSQGPRQPSSSGAKRRRLRAALGPQPTRSALRFPSASPGSLKAKQSMAGIPGRESNAPSVPTVSLLPGAPGGNASSRTEAQVPNGQGSPGGCVCSSQASPAPRAAAPPRAARGPTPRTEEAAWAAMALTFLLVLLTLATLCTRLHRNFRRGESIYWGPTADSQDTVAAVLKRRLLQPSRRVKRSRRRPLLPPTPDSGPEGESSE
+>DECOY_sp|Q8NBR0|P5I13_HUMAN Tumor protein p53-inducible protein 13 OS=Homo sapiens OX=9606 GN=TP53I13 PE=2 SV=1
+ESSEGEPGSDPTPPLLPRRRSRKVRRSPQLLRRKLVAAVTDQSDATPGWYISEGRRFNRHLRTCLTALTLLVLLFTLAMAAWAAEETRPTPGRAARPPAAARPAPSAQSSCVCGGPSGQGNPVQAETRSSANGGPAGPLLSVTPVSPANSERGPIGAMSQKAKLSGPSASPFRLASRTPQPGLAARLRRRKAGSSSPQRPGQSTVETGPPRWSRLAFALALAQVCGRRCLRGRGPTPESPAPGSLSECYIWAKKKRQKRRRRRMLWHAAWAPEVWAMELALGWATLVLPRDQTLSFDPTLSPNAMCAYALLALQLKLWPHACPHYLFTVDEAQGPSVRTYTVRPSVQPPLPWLSEPCHAGAEEAPGAMVESPGLLRALAALLLLQPSPPPPAM
+>sp|Q8WXI9|P66B_HUMAN Transcriptional repressor p66-beta OS=Homo sapiens OX=9606 GN=GATAD2B PE=1 SV=1
+MDRMTEDALRLNLLKRSLDPADERDDVLAKRLKMEGHEAMERLKMLALLKRKDLANLEVPHELPTKQDGSGVKGYEEKLNGNLRPHGDNRTAGRPGKENINDEPVDMSARRSEPERGRLTPSPDIIVLSDNEASSPRSSSRMEERLKAANLEMFKGKGIEERQQLIKQLRDELRLEEARLVLLKKLRQSQLQKENVVQKTPVVQNAASIVQPSPAHVGQQGLSKLPSRPGAQGVEPQNLRTLQGHSVIRSATNTTLPHMLMSQRVIAPNPAQLQGQRGPPKPGLVRTTTPNMNPAINYQPQSSSSVPCQRTTSSAIYMNLASHIQPGTVNRVSSPLPSPSAMTDAANSQAAAKLALRKQLEKTLLEIPPPKPPAPLLHFLPSAANSEFIYMVGLEEVVQSVIDSQGKSCASLLRVEPFVCAQCRTDFTPHWKQEKNGKILCEQCMTSNQKKALKAEHTNRLKNAFVKALQQEQEIEQRLQQQAALSPTTAPAVSSVSKQETIMRHHTLRQAPQPQSSLQRGIPTSARSMLSNFAQAPQLSVPGGLLGMPGVNIAYLNTGIGGHKGPSLADRQREYLLDMIPPRSISQSISGQK
+>DECOY_sp|Q8WXI9|P66B_HUMAN Transcriptional repressor p66-beta OS=Homo sapiens OX=9606 GN=GATAD2B PE=1 SV=1
+KQGSISQSISRPPIMDLLYERQRDALSPGKHGGIGTNLYAINVGPMGLLGGPVSLQPAQAFNSLMSRASTPIGRQLSSQPQPAQRLTHHRMITEQKSVSSVAPATTPSLAAQQQLRQEIEQEQQLAKVFANKLRNTHEAKLAKKQNSTMCQECLIKGNKEQKWHPTFDTRCQACVFPEVRLLSACSKGQSDIVSQVVEELGVMYIFESNAASPLFHLLPAPPKPPPIELLTKELQKRLALKAAAQSNAADTMASPSPLPSSVRNVTGPQIHSALNMYIASSTTRQCPVSSSSQPQYNIAPNMNPTTTRVLGPKPPGRQGQLQAPNPAIVRQSMLMHPLTTNTASRIVSHGQLTRLNQPEVGQAGPRSPLKSLGQQGVHAPSPQVISAANQVVPTKQVVNEKQLQSQRLKKLLVLRAEELRLEDRLQKILQQREEIGKGKFMELNAAKLREEMRSSSRPSSAENDSLVIIDPSPTLRGREPESRRASMDVPEDNINEKGPRGATRNDGHPRLNGNLKEEYGKVGSGDQKTPLEHPVELNALDKRKLLALMKLREMAEHGEMKLRKALVDDREDAPDLSRKLLNLRLADETMRDM
+>sp|P0C869|PA24B_HUMAN Cytosolic phospholipase A2 beta OS=Homo sapiens OX=9606 GN=PLA2G4B PE=1 SV=2
+MAVAEVSRTCLLTVRVLQAHRLPSKDLVTPSDCYVTLWLPTACSHRLQTRTVKNSSSPVWNQSFHFRIHRQLKNVMELKVFDQDLVTGDDPVLSVLFDAGTLRAGEFRRESFSLSPQGEGRLEVEFRLQSLADRGEWLVSNGVLVARELSCLHVQLEETGDQKSSEHRVQLVVPGSCEGPQEASVGTGTFRFHCPACWEQELSIRLQDAPEEQLKAPLSALPSGQVVRLVFPTSQEPLMRVELKKEAGLRELAVRLGFGPCAEEQAFLSRRKQVVAAALRQALQLDGDLQEDEIPVVAIMATGGGIRAMTSLYGQLAGLKELGLLDCVSYITGASGSTWALANLYEDPEWSQKDLAGPTELLKTQVTKNKLGVLAPSQLQRYRQELAERARLGYPSCFTNLWALINEALLHDEPHDHKLSDQREALSHGQNPLPIYCALNTKGQSLTTFEFGEWCEFSPYEVGFPKYGAFIPSELFGSEFFMGQLMKRLPESRICFLEGIWSNLYAANLQDSLYWASEPSQFWDRWVRNQANLDKEQVPLLKIEEPPSTAGRIAEFFTDLLTWRPLAQATHNFLRGLHFHKDYFQHPHFSTWKATTLDGLPNQLTPSEPHLCLLDVGYLINTSCLPLLQPTRDVDLILSLDYNLHGAFQQLQLLGRFCQEQGIPFPPISPSPEEQLQPRECHTFSDPTCPGAPAVLHFPLVSDSFREYSAPGVRRTPEEAAAGEVNLSSSDSPYHYTKVTYSQEDVDKLLHLTHYNVCNNQEQLLEALRQAVQRRRQRRPH
+>DECOY_sp|P0C869|PA24B_HUMAN Cytosolic phospholipase A2 beta OS=Homo sapiens OX=9606 GN=PLA2G4B PE=1 SV=2
+HPRRQRRRQVAQRLAELLQEQNNCVNYHTLHLLKDVDEQSYTVKTYHYPSDSSSLNVEGAAAEEPTRRVGPASYERFSDSVLPFHLVAPAGPCTPDSFTHCERPQLQEEPSPSIPPFPIGQEQCFRGLLQLQQFAGHLNYDLSLILDVDRTPQLLPLCSTNILYGVDLLCLHPESPTLQNPLGDLTTAKWTSFHPHQFYDKHFHLGRLFNHTAQALPRWTLLDTFFEAIRGATSPPEEIKLLPVQEKDLNAQNRVWRDWFQSPESAWYLSDQLNAAYLNSWIGELFCIRSEPLRKMLQGMFFESGFLESPIFAGYKPFGVEYPSFECWEGFEFTTLSQGKTNLACYIPLPNQGHSLAERQDSLKHDHPEDHLLAENILAWLNTFCSPYGLRAREALEQRYRQLQSPALVGLKNKTVQTKLLETPGALDKQSWEPDEYLNALAWTSGSAGTIYSVCDLLGLEKLGALQGYLSTMARIGGGTAMIAVVPIEDEQLDGDLQLAQRLAAAVVQKRRSLFAQEEACPGFGLRVALERLGAEKKLEVRMLPEQSTPFVLRVVQGSPLASLPAKLQEEPADQLRISLEQEWCAPCHFRFTGTGVSAEQPGECSGPVVLQVRHESSKQDGTEELQVHLCSLERAVLVGNSVLWEGRDALSQLRFEVELRGEGQPSLSFSERRFEGARLTGADFLVSLVPDDGTVLDQDFVKLEMVNKLQRHIRFHFSQNWVPSSSNKVTRTQLRHSCATPLWLTVYCDSPTVLDKSPLRHAQLVRVTLLCTRSVEAVAM
+>sp|Q3MJ16|PA24E_HUMAN Cytosolic phospholipase A2 epsilon OS=Homo sapiens OX=9606 GN=PLA2G4E PE=2 SV=4
+MSLQASEGCPGLGTNVFVPQSPQTDEEGSRSGRSFSEFEDTQDLDTPGLPPFCPMAPWGSEEGLSPCHLLTVRVIRMKNVRQADMLSQTDCFVSLWLPTASQKKLRTRTISNCPNPEWNESFNFQIQSRVKNVLELSVCDEDTVTPDDHLLTVLYDLTKLCFRKKTHVKFPLNPQGMEELEVEFLLEESPSPPETLVTNGVLVSRQVSCLEVHAQSRRRRKREKMKDLLVMVNESFENTQRVRPCLEPCCPTSACFQTAACFHYPKYFQSQVHVEVPKSHWSCGLCCRSRKKGPISQPLDCLSDGQVMTLPVGESYELHMKSTPCPETLDVRLGFSLCPAELEFLQKRKVVVAKALKQVLQLEEDLQEDEVPLIAIMATGGGTRSMTSMYGHLLGLQKLNLLDCASYITGLSGATWTMATLYRDPDWSSKNLEPAIFEARRHVVKDKLPSLFPDQLRKFQEELRQRSQEGYRVTFTDFWGLLIETCLGDERNECKLSDQRAALSCGQNPLPIYLTINVKDDVSNQDFREWFEFSPYEVGLQKYGAFIPSELFGSEFFMGRLVKRIPESRICYMLGLWSSIFSLNLLDAWNLSHTSEEFFHRWTREKVQDIEDEPILPEIPKCDANILETTVVIPGSWLSNSFREILTHRSFVSEFHNFLSGLQLHTNYLQNGQFSRWKDTVLDGFPNQLTESANHLCLLDTAFFVNSSYPPLLRPERKADLIIHLNYCAGSQTKPLKQTCEYCTVQNIPFPKYELPDENENLKECYLMENPQEPDAPIVTFFPLINDTFRKYKAPGVERSPEELEQGQVDIYGPKTPYATKELTYTEATFDKLVKLSEYNILNNKDTLLQALRLAVEKKKRLKGQCPS
+>DECOY_sp|Q3MJ16|PA24E_HUMAN Cytosolic phospholipase A2 epsilon OS=Homo sapiens OX=9606 GN=PLA2G4E PE=2 SV=4
+SPCQGKLRKKKEVALRLAQLLTDKNNLINYESLKVLKDFTAETYTLEKTAYPTKPGYIDVQGQELEEPSREVGPAKYKRFTDNILPFFTVIPADPEQPNEMLYCEKLNENEDPLEYKPFPINQVTCYECTQKLPKTQSGACYNLHIILDAKREPRLLPPYSSNVFFATDLLCLHNASETLQNPFGDLVTDKWRSFQGNQLYNTHLQLGSLFNHFESVFSRHTLIERFSNSLWSGPIVVTTELINADCKPIEPLIPEDEIDQVKERTWRHFFEESTHSLNWADLLNLSFISSWLGLMYCIRSEPIRKVLRGMFFESGFLESPIFAGYKQLGVEYPSFEFWERFDQNSVDDKVNITLYIPLPNQGCSLAARQDSLKCENREDGLCTEILLGWFDTFTVRYGEQSRQRLEEQFKRLQDPFLSPLKDKVVHRRAEFIAPELNKSSWDPDRYLTAMTWTAGSLGTIYSACDLLNLKQLGLLHGYMSTMSRTGGGTAMIAILPVEDEQLDEELQLVQKLAKAVVVKRKQLFELEAPCLSFGLRVDLTEPCPTSKMHLEYSEGVPLTMVQGDSLCDLPQSIPGKKRSRCCLGCSWHSKPVEVHVQSQFYKPYHFCAATQFCASTPCCPELCPRVRQTNEFSENVMVLLDKMKERKRRRRSQAHVELCSVQRSVLVGNTVLTEPPSPSEELLFEVELEEMGQPNLPFKVHTKKRFCLKTLDYLVTLLHDDPTVTDEDCVSLELVNKVRSQIQFNFSENWEPNPCNSITRTRLKKQSATPLWLSVFCDTQSLMDAQRVNKMRIVRVTLLHCPSLGEESGWPAMPCFPPLGPTDLDQTDEFESFSRGSRSGEEDTQPSQPVFVNTGLGPCGESAQLSM
+>sp|Q9H361|PABP3_HUMAN Polyadenylate-binding protein 3 OS=Homo sapiens OX=9606 GN=PABPC3 PE=1 SV=2
+MNPSTPSYPTASLYVGDLHPDVTEAMLYEKFSPAGPILSIRICRDLITSGSSNYAYVNFQHTKDAEHALDTMNFDVIKGKPVRIMWSQRDPSLRKSGVGNIFVKNLDKSINNKALYDTVSAFGNILSCNVVCDENGSKGYGFVHFETHEAAERAIKKMNGMLLNGRKVFVGQFKSRKEREAELGARAKEFPNVYIKNFGEDMDDERLKDLFGKFGPALSVKVMTDESGKSKGFGFVSFERHEDAQKAVDEMNGKELNGKQIYVGRAQKKVERQTELKRTFEQMKQDRITRYQVVNLYVKNLDDGIDDERLRKAFSPFGTITSAKVMMEGGRSKGFGFVCFSSPEEATKAVTEMNGRIVATKPLYVALAQRKEERQAYLTNEYMQRMASVRAVPNQRAPPSGYFMTAVPQTQNHAAYYPPSQIARLRPSPRWTAQGARPHPFQNKPSAIRPGAPRVPFSTMRPASSQVPRVMSTQRVANTSTQTVGPRPAAAAAAAATPAVRTVPRYKYAAGVRNPQQHRNAQPQVTMQQLAVHVQGQETLTASRLASAPPQKQKQMLGERLFPLIQAMHPTLAGKITGMLLEIDNSELLYMLESPESLRSKVDEAVAVLQAHQAKEATQKAVNSATGVPTV
+>DECOY_sp|Q9H361|PABP3_HUMAN Polyadenylate-binding protein 3 OS=Homo sapiens OX=9606 GN=PABPC3 PE=1 SV=2
+VTPVGTASNVAKQTAEKAQHAQLVAVAEDVKSRLSEPSELMYLLESNDIELLMGTIKGALTPHMAQILPFLREGLMQKQKQPPASALRSATLTEQGQVHVALQQMTVQPQANRHQQPNRVGAAYKYRPVTRVAPTAAAAAAAAPRPGVTQTSTNAVRQTSMVRPVQSSAPRMTSFPVRPAGPRIASPKNQFPHPRAGQATWRPSPRLRAIQSPPYYAAHNQTQPVATMFYGSPPARQNPVARVSAMRQMYENTLYAQREEKRQALAVYLPKTAVIRGNMETVAKTAEEPSSFCVFGFGKSRGGEMMVKASTITGFPSFAKRLREDDIGDDLNKVYLNVVQYRTIRDQKMQEFTRKLETQREVKKQARGVYIQKGNLEKGNMEDVAKQADEHREFSVFGFGKSKGSEDTMVKVSLAPGFKGFLDKLREDDMDEGFNKIYVNPFEKARAGLEAEREKRSKFQGVFVKRGNLLMGNMKKIAREAAEHTEFHVFGYGKSGNEDCVVNCSLINGFASVTDYLAKNNISKDLNKVFINGVGSKRLSPDRQSWMIRVPKGKIVDFNMTDLAHEADKTHQFNVYAYNSSGSTILDRCIRISLIPGAPSFKEYLMAETVDPHLDGVYLSATPYSPTSPNM
+>sp|Q8IZE3|PACE1_HUMAN Protein-associating with the carboxyl-terminal domain of ezrin OS=Homo sapiens OX=9606 GN=SCYL3 PE=1 SV=3
+MGSENSALKSYTLREPPFTLPSGLAVYPAVLQDGKFASVFVYKRENEDKVNKAAKHLKTLRHPCLLRFLSCTVEADGIHLVTERVQPLEVALETLSSAEVCAGIYDILLALIFLHDRGHLTHNNVCLSSVFVSEDGHWKLGGMETVCKVSQATPEFLRSIQSIRDPASIPPEEMSPEFTTLPECHGHARDAFSFGTLVESLLTILNEQVSADVLSSFQQTLHSTLLNPIPKCRPALCTLLSHDFFRNDFLEVVNFLKSLTLKSEEEKTEFFKFLLDRVSCLSEELIASRLVPLLLNQLVFAEPVAVKSFLPYLLGPKKDHAQGETPCLLSPALFQSRVIPVLLQLFEVHEEHVRMVLLSHIEAYVEHFTQEQLKKVILPQVLLGLRDTSDSIVAITLHSLAVLVSLLGPEVVVGGERTKIFKRTAPSFTKNTDLSLEDSPMCVVCSHHSQISPILENPFSSIFPKCFFSGSTPINSKKHIQRDYYNTLLQTGDPFSQPIKFPINGLSDVKNTSEDSENFPSSSKKSEEWPDWSEPEEPENQTVNIQIWPREPCDDVKSQCTTLDVEESSWDDCEPSSLDTKVNPGGGITATKPVTSGEQKPIPALLSLTEESMPWKSSLPQKISLVQRGDDADQIEPPKVSSQERPLKVPSELGLGEEFTIQVKKKPVKDPEMDWFADMIPEIKPSAAFLILPELRTEMVPKKDDVSPVMQFSSKFAAAEITEGEAEGWEEEGELNWEDNNW
+>DECOY_sp|Q8IZE3|PACE1_HUMAN Protein-associating with the carboxyl-terminal domain of ezrin OS=Homo sapiens OX=9606 GN=SCYL3 PE=1 SV=3
+WNNDEWNLEGEEEWGEAEGETIEAAAFKSSFQMVPSVDDKKPVMETRLEPLILFAASPKIEPIMDAFWDMEPDKVPKKKVQITFEEGLGLESPVKLPREQSSVKPPEIQDADDGRQVLSIKQPLSSKWPMSEETLSLLAPIPKQEGSTVPKTATIGGGPNVKTDLSSPECDDWSSEEVDLTTCQSKVDDCPERPWIQINVTQNEPEEPESWDPWEESKKSSSPFNESDESTNKVDSLGNIPFKIPQSFPDGTQLLTNYYDRQIHKKSNIPTSGSFFCKPFISSFPNELIPSIQSHHSCVVCMPSDELSLDTNKTFSPATRKFIKTREGGVVVEPGLLSVLVALSHLTIAVISDSTDRLGLLVQPLIVKKLQEQTFHEVYAEIHSLLVMRVHEEHVEFLQLLVPIVRSQFLAPSLLCPTEGQAHDKKPGLLYPLFSKVAVPEAFVLQNLLLPVLRSAILEESLCSVRDLLFKFFETKEEESKLTLSKLFNVVELFDNRFFDHSLLTCLAPRCKPIPNLLTSHLTQQFSSLVDASVQENLITLLSEVLTGFSFADRAHGHCEPLTTFEPSMEEPPISAPDRISQISRLFEPTAQSVKCVTEMGGLKWHGDESVFVSSLCVNNHTLHGRDHLFILALLIDYIGACVEASSLTELAVELPQVRETVLHIGDAEVTCSLFRLLCPHRLTKLHKAAKNVKDENERKYVFVSAFKGDQLVAPYVALGSPLTFPPERLTYSKLASNESGM
+>sp|Q96M98|PACRG_HUMAN Parkin coregulated gene protein OS=Homo sapiens OX=9606 GN=PACRG PE=1 SV=2
+MVAEKETLSLNKCPDKMPKRTKLLAQQPLPVHQPHSLVSEGFTVKAMMKNSVVRGPPAAGAFKERPTKPTAFRKFYERGDFPIALEHDSKGNKIAWKVEIEKLDYHHYLPLFFDGLCEMTFPYEFFARQGIHDMLEHGGNKILPVLPQLIIPIKNALNLRNRQVICVTLKVLQHLVVSAEMVGKALVPYYRQILPVLNIFKNMNGSYSLPRLECSGAIMARCNLDHLGSSDPPTSASQVAEIIVNSGDGIDYSQQKRENIGDLIQETLEAFERYGGENAFINIKYVVPTYESCLLN
+>DECOY_sp|Q96M98|PACRG_HUMAN Parkin coregulated gene protein OS=Homo sapiens OX=9606 GN=PACRG PE=1 SV=2
+NLLCSEYTPVVYKINIFANEGGYREFAELTEQILDGINERKQQSYDIGDGSNVIIEAVQSASTPPDSSGLHDLNCRAMIAGSCELRPLSYSGNMNKFINLVPLIQRYYPVLAKGVMEASVVLHQLVKLTVCIVQRNRLNLANKIPIILQPLVPLIKNGGHELMDHIGQRAFFEYPFTMECLGDFFLPLYHHYDLKEIEVKWAIKNGKSDHELAIPFDGREYFKRFATPKTPREKFAGAAPPGRVVSNKMMAKVTFGESVLSHPQHVPLPQQALLKTRKPMKDPCKNLSLTEKEAVM
+>sp|P41586|PACR_HUMAN Pituitary adenylate cyclase-activating polypeptide type I receptor OS=Homo sapiens OX=9606 GN=ADCYAP1R1 PE=1 SV=1
+MAGVVHVSLAALLLLPMAPAMHSDCIFKKEQAMCLEKIQRANELMGFNDSSPGCPGMWDNITCWKPAHVGEMVLVSCPELFRIFNPDQVWETETIGESDFGDSNSLDLSDMGVVSRNCTEDGWSEPFPHYFDACGFDEYESETGDQDYYYLSVKALYTVGYSTSLVTLTTAMVILCRFRKLHCTRNFIHMNLFVSFMLRAISVFIKDWILYAEQDSNHCFISTVECKAVMVFFHYCVVSNYFWLFIEGLYLFTLLVETFFPERRYFYWYTIIGWGTPTVCVTVWATLRLYFDDTGCWDMNDSTALWWVIKGPVVGSIMVNFVLFIGIIVILVQKLQSPDMGGNESSIYLRLARSTLLLIPLFGIHYTVFAFSPENVSKRERLVFELGLGSFQGFVVAVLYCFLNGEVQAEIKRKWRSWKVNRYFAVDFKHRHPSLASSGVNGGTQLSILSKSSSQIRMSGLPADNLAT
+>DECOY_sp|P41586|PACR_HUMAN Pituitary adenylate cyclase-activating polypeptide type I receptor OS=Homo sapiens OX=9606 GN=ADCYAP1R1 PE=1 SV=1
+TALNDAPLGSMRIQSSSKSLISLQTGGNVGSSALSPHRHKFDVAFYRNVKWSRWKRKIEAQVEGNLFCYLVAVVFGQFSGLGLEFVLRERKSVNEPSFAFVTYHIGFLPILLLTSRALRLYISSENGGMDPSQLKQVLIVIIGIFLVFNVMISGVVPGKIVWWLATSDNMDWCGTDDFYLRLTAWVTVCVTPTGWGIITYWYFYRREPFFTEVLLTFLYLGEIFLWFYNSVVCYHFFVMVAKCEVTSIFCHNSDQEAYLIWDKIFVSIARLMFSVFLNMHIFNRTCHLKRFRCLIVMATTLTVLSTSYGVTYLAKVSLYYYDQDGTESEYEDFGCADFYHPFPESWGDETCNRSVVGMDSLDLSNSDGFDSEGITETEWVQDPNFIRFLEPCSVLVMEGVHAPKWCTINDWMGPCGPSSDNFGMLENARQIKELCMAQEKKFICDSHMAPAMPLLLLAALSVHVVGAM
+>sp|Q9ULW8|PADI3_HUMAN Protein-arginine deiminase type-3 OS=Homo sapiens OX=9606 GN=PADI3 PE=1 SV=2
+MSLQRIVRVSLEHPTSAVCVAGVETLVDIYGSVPEGTEMFEVYGTPGVDIYISPNMERGRERADTRRWRFDATLEIIVVMNSPSNDLNDSHVQISYHSSHEPLPLAYAVLYLTCVDISLDCDLNCEGRQDRNFVDKRQWVWGPSGYGGILLVNCDRDDPSCDVQDNCDQHVHCLQDLEDMSVMVLRTQGPAALFDDHKLVLHTSSYDAKRAQVFHICGPEDVCEAYRHVLGQDKVSYEVPRLHGDEERFFVEGLSFPDAGFTGLISFHVTLLDDSNEDFSASPIFTDTVVFRVAPWIMTPSTLPPLEVYVCRVRNNTCFVDAVAELARKAGCKLTICPQAENRNDRWIQDEMELGYVQAPHKTLPVVFDSPRNGELQDFPYKRILGPDFGYVTREPRDRSVSGLDSFGNLEVSPPVVANGKEYPLGRILIGGNLPGSSGRRVTQVVRDFLHAQKVQPPVELFVDWLAVGHVDEFLSFVPAPDGKGFRMLLASPGACFKLFQEKQKCGHGRALLFQGVVDDEQVKTISINQVLSNKDLINYNKFVQSCIDWNREVLKRELGLAECDIIDIPQLFKTERKKATAFFPDLVNMLVLGKHLGIPKPFGPIINGCCCLEEKVRSLLEPLGLHCTFIDDFTPYHMLHGEVHCGTNVCRKPFSFKWWNMVP
+>DECOY_sp|Q9ULW8|PADI3_HUMAN Protein-arginine deiminase type-3 OS=Homo sapiens OX=9606 GN=PADI3 PE=1 SV=2
+PVMNWWKFSFPKRCVNTGCHVEGHLMHYPTFDDIFTCHLGLPELLSRVKEELCCCGNIIPGFPKPIGLHKGLVLMNVLDPFFATAKKRETKFLQPIDIIDCEALGLERKLVERNWDICSQVFKNYNILDKNSLVQNISITKVQEDDVVGQFLLARGHGCKQKEQFLKFCAGPSALLMRFGKGDPAPVFSLFEDVHGVALWDVFLEVPPQVKQAHLFDRVVQTVRRGSSGPLNGGILIRGLPYEKGNAVVPPSVELNGFSDLGSVSRDRPERTVYGFDPGLIRKYPFDQLEGNRPSDFVVPLTKHPAQVYGLEMEDQIWRDNRNEAQPCITLKCGAKRALEAVADVFCTNNRVRCVYVELPPLTSPTMIWPAVRFVVTDTFIPSASFDENSDDLLTVHFSILGTFGADPFSLGEVFFREEDGHLRPVEYSVKDQGLVHRYAECVDEPGCIHFVQARKADYSSTHLVLKHDDFLAAPGQTRLVMVSMDELDQLCHVHQDCNDQVDCSPDDRDCNVLLIGGYGSPGWVWQRKDVFNRDQRGECNLDCDLSIDVCTLYLVAYALPLPEHSSHYSIQVHSDNLDNSPSNMVVIIELTADFRWRRTDARERGREMNPSIYIDVGPTGYVEFMETGEPVSGYIDVLTEVGAVCVASTPHELSVRVIRQLSM
+>sp|Q15004|PAF15_HUMAN PCNA-associated factor OS=Homo sapiens OX=9606 GN=PCLAF PE=1 SV=1
+MVRTKADSVPGTYRKVVAARAPRKVLGSSTSATNSTSVSSRKAENKYAGGNPVCVRPTPKWQKGIGEFFRLSPKDSEKENQIPEEAGSSGLGKAKRKACPLQPDHTNDEKE
+>DECOY_sp|Q15004|PAF15_HUMAN PCNA-associated factor OS=Homo sapiens OX=9606 GN=PCLAF PE=1 SV=1
+EKEDNTHDPQLPCAKRKAKGLGSSGAEEPIQNEKESDKPSLRFFEGIGKQWKPTPRVCVPNGGAYKNEAKRSSVSTSNTASTSSGLVKRPARAAVVKRYTGPVSDAKTRVM
+>sp|Q8N7H5|PAF1_HUMAN RNA polymerase II-associated factor 1 homolog OS=Homo sapiens OX=9606 GN=PAF1 PE=1 SV=2
+MAPTIQTQAQREDGHRPNSHRTLPERSGVVCRVKYCNSLPDIPFDPKFITYPFDQNRFVQYKATSLEKQHKHDLLTEPDLGVTIDLINPDTYRIDPNVLLDPADEKLLEEEIQAPTSSKRSQQHAKVVPWMRKTEYISTEFNRYGISNEKPEVKIGVSVKQQFTEEEIYKDRDSQITAIEKTFEDAQKSISQHYSKPRVTPVEVMPVFPDFKMWINPCAQVIFDSDPAPKDTSGAAALEMMSQAMIRGMMDEEGNQFVAYFLPVEETLKKRKRDQEEEMDYAPDDVYDYKIAREYNWNVKNKASKGYEENYFFIFREGDGVYYNELETRVRLSKRRAKAGVQSGTNALLVVKHRDMNEKELEAQEARKAQLENHEPEEEEEEEMETEEKEAGGSDEEQEKGSSSEKEGSEDEHSGSESEREEGDRDEASDKSGSGEDESSEDEARAARDKEEIFGSDADSEDDADSDDEDRGQAQGGSDNDSDSGSNGGGQRSRSHSRSASPFPSGSEHSAQEDGSEAAASDSSEADSDSD
+>DECOY_sp|Q8N7H5|PAF1_HUMAN RNA polymerase II-associated factor 1 homolog OS=Homo sapiens OX=9606 GN=PAF1 PE=1 SV=2
+DSDSDAESSDSAAAESGDEQASHESGSPFPSASRSHSRSRQGGGNSGSDSDNDSGGQAQGRDEDDSDADDESDADSGFIEEKDRAARAEDESSEDEGSGSKDSAEDRDGEERESESGSHEDESGEKESSSGKEQEEDSGGAEKEETEMEEEEEEEPEHNELQAKRAEQAELEKENMDRHKVVLLANTGSQVGAKARRKSLRVRTELENYYVGDGERFIFFYNEEYGKSAKNKVNWNYERAIKYDYVDDPAYDMEEEQDRKRKKLTEEVPLFYAVFQNGEEDMMGRIMAQSMMELAAAGSTDKPAPDSDFIVQACPNIWMKFDPFVPMVEVPTVRPKSYHQSISKQADEFTKEIATIQSDRDKYIEEETFQQKVSVGIKVEPKENSIGYRNFETSIYETKRMWPVVKAHQQSRKSSTPAQIEEELLKEDAPDLLVNPDIRYTDPNILDITVGLDPETLLDHKHQKELSTAKYQVFRNQDFPYTIFKPDFPIDPLSNCYKVRCVVGSREPLTRHSNPRHGDERQAQTQITPAM
+>sp|Q13153|PAK1_HUMAN Serine/threonine-protein kinase PAK 1 OS=Homo sapiens OX=9606 GN=PAK1 PE=1 SV=2
+MSNNGLDIQDKPPAPPMRNTSTMIGAGSKDAGTLNHGSKPLPPNPEEKKKKDRFYRSILPGDKTNKKKEKERPEISLPSDFEHTIHVGFDAVTGEFTGMPEQWARLLQTSNITKSEQKKNPQAVLDVLEFYNSKKTSNSQKYMSFTDKSAEDYNSSNALNVKAVSETPAVPPVSEDEDDDDDDATPPPVIAPRPEHTKSVYTRSVIEPLPVTPTRDVATSPISPTENNTTPPDALTRNTEKQKKKPKMSDEEILEKLRSIVSVGDPKKKYTRFEKIGQGASGTVYTAMDVATGQEVAIKQMNLQQQPKKELIINEILVMRENKNPNIVNYLDSYLVGDELWVVMEYLAGGSLTDVVTETCMDEGQIAAVCRECLQALEFLHSNQVIHRDIKSDNILLGMDGSVKLTDFGFCAQITPEQSKRSTMVGTPYWMAPEVVTRKAYGPKVDIWSLGIMAIEMIEGEPPYLNENPLRALYLIATNGTPELQNPEKLSAIFRDFLNRCLEMDVEKRGSAKELLQHQFLKIAKPLSSLTPLIAAAKEATKNNH
+>DECOY_sp|Q13153|PAK1_HUMAN Serine/threonine-protein kinase PAK 1 OS=Homo sapiens OX=9606 GN=PAK1 PE=1 SV=2
+HNNKTAEKAAAILPTLSSLPKAIKLFQHQLLEKASGRKEVDMELCRNLFDRFIASLKEPNQLEPTGNTAILYLARLPNENLYPPEGEIMEIAMIGLSWIDVKPGYAKRTVVEPAMWYPTGVMTSRKSQEPTIQACFGFDTLKVSGDMGLLINDSKIDRHIVQNSHLFELAQLCERCVAAIQGEDMCTETVVDTLSGGALYEMVVWLEDGVLYSDLYNVINPNKNERMVLIENIILEKKPQQQLNMQKIAVEQGTAVDMATYVTGSAGQGIKEFRTYKKKPDGVSVISRLKELIEEDSMKPKKKQKETNRTLADPPTTNNETPSIPSTAVDRTPTVPLPEIVSRTYVSKTHEPRPAIVPPPTADDDDDDEDESVPPVAPTESVAKVNLANSSNYDEASKDTFSMYKQSNSTKKSNYFELVDLVAQPNKKQESKTINSTQLLRAWQEPMGTFEGTVADFGVHITHEFDSPLSIEPREKEKKKNTKDGPLISRYFRDKKKKEEPNPPLPKSGHNLTGADKSGAGIMTSTNRMPPAPPKDQIDLGNNSM
+>sp|A0A075B759|PAL4E_HUMAN Peptidyl-prolyl cis-trans isomerase A-like 4E OS=Homo sapiens OX=9606 GN=PPIAL4E PE=3 SV=1
+MVNSVVFFEITRDGKPLGRISIKLFADKIPKTAENFRALSTGEKGFRYKGSCFHRIIPGFMCQGGDFTRPNGTGDKSIYGEKFDDENLIRKHTGSGILSMANAGPNTNGSQFFICAAKTEWLDGKHVAFGKVKERVNIVEAMEHFGYRNSKTSKKITIADCGQF
+>DECOY_sp|A0A075B759|PAL4E_HUMAN Peptidyl-prolyl cis-trans isomerase A-like 4E OS=Homo sapiens OX=9606 GN=PPIAL4E PE=3 SV=1
+FQGCDAITIKKSTKSNRYGFHEMAEVINVREKVKGFAVHKGDLWETKAACIFFQSGNTNPGANAMSLIGSGTHKRILNEDDFKEGYISKDGTGNPRTFDGGQCMFGPIIRHFCSGKYRFGKEGTSLARFNEATKPIKDAFLKISIRGLPKGDRTIEFFVVSNVM
+>sp|A6NDB9|PALM3_HUMAN Paralemmin-3 OS=Homo sapiens OX=9606 GN=PALM3 PE=1 SV=2
+MAESSLYRQRLEVIAEKRRLQEEIRAARREVEEEKLRVERLKRKSLRERWLMDGAAAVPEPSEDPTSKDPQSPEGQAQARIRNLEDSLFTLQSQLQLLQSASTGAQHKPSGRPSWRRQGHRPLSQSIVEAGSVGQTDLNKRASLPAGLVGTPPESPSEPREDVLGFLPGPRQVPGAAGDSSEANGPCPSPIPTPEQGLSQRAVPSEGRVGEAKGGGVVSVVWEGLRATEDCATGATGPELEAKVEEVVLEAIGDRKGAGSLELPAWVKEDRGIVEVVWEGVGGSDAEAMGEIGRVPEVVQTSSPRLQERLEAAASIEGEDVPQGSPEGDGQGGSGGEEGSFIWVERVTLSEEWEELLVEGLEGPEVAGRERGDESPLGAEGAKTGGGEETWEAEKRKAEESMGIGSEEKPGTGRDEAEMSPVVERKGGEKKLELESRGSAEKLGTEREGGEEPLGIERKVEGHLRAEKEGDEEKRGAEEEEVEEPLGVEKKGGEEEPEATKEPLEAERKGGEETLEAEKRGGEESLETEKTQGTEGDLNLEQGSREGSESQAEEMNEAGPPLEANTETRPEKEGPQPQEKPVGALEEEGVKPQTAAEGQGPLGDATPLLAETPAPEQPAECQPLLQGEGPSANPSAHPVPTYAPARQPEPSAPTEGEEASGPKQKTCQCCAVM
+>DECOY_sp|A6NDB9|PALM3_HUMAN Paralemmin-3 OS=Homo sapiens OX=9606 GN=PALM3 PE=1 SV=2
+MVACCQCTKQKPGSAEEGETPASPEPQRAPAYTPVPHASPNASPGEGQLLPQCEAPQEPAPTEALLPTADGLPGQGEAATQPKVGEEELAGVPKEQPQPGEKEPRTETNAELPPGAENMEEAQSESGERSGQELNLDGETGQTKETELSEEGGRKEAELTEEGGKREAELPEKTAEPEEEGGKKEVGLPEEVEEEEAGRKEEDGEKEARLHGEVKREIGLPEEGGERETGLKEASGRSELELKKEGGKREVVPSMEAEDRGTGPKEESGIGMSEEAKRKEAEWTEEGGGTKAGEAGLPSEDGRERGAVEPGELGEVLLEEWEESLTVREVWIFSGEEGGSGGQGDGEPSGQPVDEGEISAAAELREQLRPSSTQVVEPVRGIEGMAEADSGGVGEWVVEVIGRDEKVWAPLELSGAGKRDGIAELVVEEVKAELEPGTAGTACDETARLGEWVVSVVGGGKAEGVRGESPVARQSLGQEPTPIPSPCPGNAESSDGAAGPVQRPGPLFGLVDERPESPSEPPTGVLGAPLSARKNLDTQGVSGAEVISQSLPRHGQRRWSPRGSPKHQAGTSASQLLQLQSQLTFLSDELNRIRAQAQGEPSQPDKSTPDESPEPVAAAGDMLWRERLSKRKLREVRLKEEEVERRAARIEEQLRRKEAIVELRQRYLSSEAM
+>sp|Q9NVE7|PANK4_HUMAN Pantothenate kinase 4 OS=Homo sapiens OX=9606 GN=PANK4 PE=1 SV=1
+MAECGASGSGSSGDSLDKSITLPPDEIFRNLENAKRFAIDIGGSLTKLAYYSTVQHKVAKVRSFDHSGKDTEREHEPPYEISVQEEITARLHFIKFENTYIEACLDFIKDHLVNTETKVIQATGGGAYKFKDLIEEKLRLKVDKEDVMTCLIKGCNFVLKNIPHEAFVYQKDSDPEFRFQTNHPHIFPYLLVNIGSGVSIVKVETEDRFEWVGGSSIGGGTFWGLGALLTKTKKFDELLHLASRGQHSNVDMLVRDVYGGAHQTLGLSGNLIASSFGKSATADQEFSKEDMAKSLLHMISNDIGQLACLHARLHSLDRVYFGGFFIRGHPVTMRTITYSINFFSKGEVQALFLRHEGYLGAIGAFLKGAEQDNPNQYSWGENYAGSSGLMSASPELGPAQRARSGTFDLLEMDRLERPLVDLPLLLDPPSYVPDTVDLTDDALARKYWLTCFEEALDGVVKRAVASQPDSVDAAERAEKFRQKYWNKLQTLRQQPFAYGTLTVRSLLDTREHCLNEFNFPDPYSKVKQRENGVALRCFPGVVRSLDALGWEERQLALVKGLLAGNVFDWGAKAVSAVLESDPYFGFEEAKRKLQERPWLVDSYSEWLQRLKGPPHKCALIFADNSGIDIILGVFPFVRELLLRGTEVILACNSGPALNDVTHSESLIVAERIAGMDPVVHSALQEERLLLVQTGSSSPCLDLSRLDKGLAALVRERGADLVVIEGMGRAVHTNYHAALRCESLKLAVIKNAWLAERLGGRLFSVIFKYEVPAE
+>DECOY_sp|Q9NVE7|PANK4_HUMAN Pantothenate kinase 4 OS=Homo sapiens OX=9606 GN=PANK4 PE=1 SV=1
+EAPVEYKFIVSFLRGGLREALWANKIVALKLSECRLAAHYNTHVARGMGEIVVLDAGRERVLAALGKDLRSLDLCPSSSGTQVLLLREEQLASHVVPDMGAIREAVILSESHTVDNLAPGSNCALIVETGRLLLERVFPFVGLIIDIGSNDAFILACKHPPGKLRQLWESYSDVLWPREQLKRKAEEFGFYPDSELVASVAKAGWDFVNGALLGKVLALQREEWGLADLSRVVGPFCRLAVGNERQKVKSYPDPFNFENLCHERTDLLSRVTLTGYAFPQQRLTQLKNWYKQRFKEAREAADVSDPQSAVARKVVGDLAEEFCTLWYKRALADDTLDVTDPVYSPPDLLLPLDVLPRELRDMELLDFTGSRARQAPGLEPSASMLGSSGAYNEGWSYQNPNDQEAGKLFAGIAGLYGEHRLFLAQVEGKSFFNISYTITRMTVPHGRIFFGGFYVRDLSHLRAHLCALQGIDNSIMHLLSKAMDEKSFEQDATASKGFSSAILNGSLGLTQHAGGYVDRVLMDVNSHQGRSALHLLEDFKKTKTLLAGLGWFTGGGISSGGVWEFRDETEVKVISVGSGINVLLYPFIHPHNTQFRFEPDSDKQYVFAEHPINKLVFNCGKILCTMVDEKDVKLRLKEEILDKFKYAGGGTAQIVKTETNVLHDKIFDLCAEIYTNEFKIFHLRATIEEQVSIEYPPEHERETDKGSHDFSRVKAVKHQVTSYYALKTLSGGIDIAFRKANELNRFIEDPPLTISKDLSDGSSGSGSAGCEAM
+>sp|Q13219|PAPP1_HUMAN Pappalysin-1 OS=Homo sapiens OX=9606 GN=PAPPA PE=1 SV=3
+MRLWSWVLHLGLLSAALGCGLAERPRRARRDPRAGRPPRPAAGPATCATRAARGRRASPPPPPPPGGAWEAVRVPRRRQQREARGATEEPSPPSRALYFSGRGEQLRLRADLELPRDAFTLQVWLRAEGGQRSPAVITGLYDKCSYISRDRGWVVGIHTISDQDNKDPRYFFSLKTDRARQVTTINAHRSYLPGQWVYLAATYDGQFMKLYVNGAQVATSGEQVGGIFSPLTQKCKVLMLGGSALNHNYRGYIEHFSLWKVARTQREILSDMETHGAHTALPQLLLQENWDNVKHAWSPMKDGSSPKVEFSNAHGFLLDTSLEPPLCGQTLCDNTEVIASYNQLSSFRQPKVVRYRVVNLYEDDHKNPTVTREQVDFQHHQLAEAFKQYNISWELDVLEVSNSSLRRRLILANCDISKIGDENCDPECNHTLTGHDGGDCRHLRHPAFVKKQHNGVCDMDCNYERFNFDGGECCDPEITNVTQTCFDPDSPHRAYLDVNELKNILKLDGSTHLNIFFAKSSEEELAGVATWPWDKEALMHLGGIVLNPSFYGMPGHTHTMIHEIGHSLGLYHVFRGISEIQSCSDPCMETEPSFETGDLCNDTNPAPKHKSCGDPGPGNDTCGFHSFFNTPYNNFMSYADDDCTDSFTPNQVARMHCYLDLVYQGWQPSRKPAPVALAPQVLGHTTDSVTLEWFPPIDGHFFERELGSACHLCLEGRILVQYASNASSPMPCSPSGHWSPREAEGHPDVEQPCKSSVRTWSPNSAVNPHTVPPACPEPQGCYLELEFLYPLVPESLTIWVTFVSTDWDSSGAVNDIKLLAVSGKNISLGPQNVFCDVPLTIRLWDVGEEVYGIQIYTLDEHLEIDAAMLTSTADTPLCLQCKPLKYKVVRDPPLQMDVASILHLNRKFVDMDLNLGSVYQYWVITISGTEESEPSPAVTYIHGSGYCGDGIIQKDQGEQCDDMNKINGDGCSLFCRQEVSFNCIDEPSRCYFHDGDGVCEEFEQKTSIKDCGVYTPQGFLDQWASNASVSHQDQQCPGWVIIGQPAASQVCRTKVIDLSEGISQHAWYPCTISYPYSQLAQTTFWLRAYFSQPMVAAAVIVHLVTDGTYYGDQKQETISVQLLDTKDQSHDLGLHVLSCRNNPLIIPVVHDLSQPFYHSQAVRVSFSSPLVAISGVALRSFDNFDPVTLSSCQRGETYSPAEQSCVHFACEKTDCPELAVENASLNCSSSDRYHGAQCTVSCRTGYVLQIRRDDELIKSQTGPSVTVTCTEGKWNKQVACEPVDCSIPDHHQVYAASFSCPEGTTFGSQCSFQCRHPAQLKGNNSLLTCMEDGLWSFPEALCELMCLAPPPVPNADLQTARCRENKHKVGSFCKYKCKPGYHVPGSSRKSKKRAFKTQCTQDGSWQEGACVPVTCDPPPPKFHGLYQCTNGFQFNSECRIKCEDSDASQGLGSNVIHCRKDGTWNGSFHVCQEMQGQCSVPNELNSNLKLQCPDGYAIGSECATSCLDHNSESIILPMNVTVRDIPHWLNPTRVERVVCTAGLKWYPHPALIHCVKGCEPFMGDNYCDAINNRAFCNYDGGDCCTSTVKTKKVTPFPMSCDLQGDCACRDPQAQEHSRKDLRGYSHG
+>DECOY_sp|Q13219|PAPP1_HUMAN Pappalysin-1 OS=Homo sapiens OX=9606 GN=PAPPA PE=1 SV=3
+GHSYGRLDKRSHEQAQPDRCACDGQLDCSMPFPTVKKTKVTSTCCDGGDYNCFARNNIADCYNDGMFPECGKVCHILAPHPYWKLGATCVVREVRTPNLWHPIDRVTVNMPLIISESNHDLCSTACESGIAYGDPCQLKLNSNLENPVSCQGQMEQCVHFSGNWTGDKRCHIVNSGLGQSADSDECKIRCESNFQFGNTCQYLGHFKPPPPDCTVPVCAGEQWSGDQTCQTKFARKKSKRSSGPVHYGPKCKYKCFSGVKHKNERCRATQLDANPVPPPALCMLECLAEPFSWLGDEMCTLLSNNGKLQAPHRCQFSCQSGFTTGEPCSFSAAYVQHHDPISCDVPECAVQKNWKGETCTVTVSPGTQSKILEDDRRIQLVYGTRCSVTCQAGHYRDSSSCNLSANEVALEPCDTKECAFHVCSQEAPSYTEGRQCSSLTVPDFNDFSRLAVGSIAVLPSSFSVRVAQSHYFPQSLDHVVPIILPNNRCSLVHLGLDHSQDKTDLLQVSITEQKQDGYYTGDTVLHVIVAAAVMPQSFYARLWFTTQALQSYPYSITCPYWAHQSIGESLDIVKTRCVQSAAPQGIIVWGPCQQDQHSVSANSAWQDLFGQPTYVGCDKISTKQEFEECVGDGDHFYCRSPEDICNFSVEQRCFLSCGDGNIKNMDDCQEGQDKQIIGDGCYGSGHIYTVAPSPESEETGSITIVWYQYVSGLNLDMDVFKRNLHLISAVDMQLPPDRVVKYKLPKCQLCLPTDATSTLMAADIELHEDLTYIQIGYVEEGVDWLRITLPVDCFVNQPGLSINKGSVALLKIDNVAGSSDWDTSVFTVWITLSEPVLPYLFELELYCGQPEPCAPPVTHPNVASNPSWTRVSSKCPQEVDPHGEAERPSWHGSPSCPMPSSANSAYQVLIRGELCLHCASGLEREFFHGDIPPFWELTVSDTTHGLVQPALAVPAPKRSPQWGQYVLDLYCHMRAVQNPTFSDTCDDDAYSMFNNYPTNFFSHFGCTDNGPGPDGCSKHKPAPNTDNCLDGTEFSPETEMCPDSCSQIESIGRFVHYLGLSHGIEHIMTHTHGPMGYFSPNLVIGGLHMLAEKDWPWTAVGALEEESSKAFFINLHTSGDLKLINKLENVDLYARHPSDPDFCTQTVNTIEPDCCEGGDFNFREYNCDMDCVGNHQKKVFAPHRLHRCDGGDHGTLTHNCEPDCNEDGIKSIDCNALILRRRLSSNSVELVDLEWSINYQKFAEALQHHQFDVQERTVTPNKHDDEYLNVVRYRVVKPQRFSSLQNYSAIVETNDCLTQGCLPPELSTDLLFGHANSFEVKPSSGDKMPSWAHKVNDWNEQLLLQPLATHAGHTEMDSLIERQTRAVKWLSFHEIYGRYNHNLASGGLMLVKCKQTLPSFIGGVQEGSTAVQAGNVYLKMFQGDYTAALYVWQGPLYSRHANITTVQRARDTKLSFFYRPDKNDQDSITHIGVVWGRDRSIYSCKDYLGTIVAPSRQGGEARLWVQLTFADRPLELDARLRLQEGRGSFYLARSPPSPEETAGRAERQQRRRPVRVAEWAGGPPPPPPPSARRGRAARTACTAPGAAPRPPRGARPDRRARRPREALGCGLAASLLGLHLVWSWLRM
+>sp|O43252|PAPS1_HUMAN Bifunctional 3'-phosphoadenosine 5'-phosphosulfate synthase 1 OS=Homo sapiens OX=9606 GN=PAPSS1 PE=1 SV=2
+MEIPGSLCKKVKLSNNAQNWGMQRATNVTYQAHHVSRNKRGQVVGTRGGFRGCTVWLTGLSGAGKTTVSMALEEYLVCHGIPCYTLDGDNIRQGLNKNLGFSPEDREENVRRIAEVAKLFADAGLVCITSFISPYTQDRNNARQIHEGASLPFFEVFVDAPLHVCEQRDVKGLYKKARAGEIKGFTGIDSEYEKPEAPELVLKTDSCDVNDCVQQVVELLQERDIVPVDASYEVKELYVPENKLHLAKTDAETLPALKINKVDMQWVQVLAEGWATPLNGFMREREYLQCLHFDCLLDGGVINLSVPIVLTATHEDKERLDGCTAFALMYEGRRVAILRNPEFFEHRKEERCARQWGTTCKNHPYIKMVMEQGDWLIGGDLQVLDRVYWNDGLDQYRLTPTELKQKFKDMNADAVFAFQLRNPVHNGHALLMQDTHKQLLERGYRRPVLLLHPLGGWTKDDDVPLMWRMKQHAAVLEEGVLNPETTVVAIFPSPMMYAGPTEVQWHCRARMVAGANFYIVGRDPAGMPHPETGKDLYEPSHGAKVLTMAPGLITLEIVPFRVAAYNKKKKRMDYYDSEHHEDFEFISGTRMRKLAREGQKPPEGFMAPKAWTVLTEYYKSLEKA
+>DECOY_sp|O43252|PAPS1_HUMAN Bifunctional 3'-phosphoadenosine 5'-phosphosulfate synthase 1 OS=Homo sapiens OX=9606 GN=PAPSS1 PE=1 SV=2
+AKELSKYYETLVTWAKPAMFGEPPKQGERALKRMRTGSIFEFDEHHESDYYDMRKKKKNYAAVRFPVIELTILGPAMTLVKAGHSPEYLDKGTEPHPMGAPDRGVIYFNAGAVMRARCHWQVETPGAYMMPSPFIAVVTTEPNLVGEELVAAHQKMRWMLPVDDDKTWGGLPHLLLVPRRYGRELLQKHTDQMLLAHGNHVPNRLQFAFVADANMDKFKQKLETPTLRYQDLGDNWYVRDLVQLDGGILWDGQEMVMKIYPHNKCTTGWQRACREEKRHEFFEPNRLIAVRRGEYMLAFATCGDLREKDEHTATLVIPVSLNIVGGDLLCDFHLCQLYERERMFGNLPTAWGEALVQVWQMDVKNIKLAPLTEADTKALHLKNEPVYLEKVEYSADVPVIDREQLLEVVQQVCDNVDCSDTKLVLEPAEPKEYESDIGTFGKIEGARAKKYLGKVDRQECVHLPADVFVEFFPLSAGEHIQRANNRDQTYPSIFSTICVLGADAFLKAVEAIRRVNEERDEPSFGLNKNLGQRINDGDLTYCPIGHCVLYEELAMSVTTKGAGSLGTLWVTCGRFGGRTGVVQGRKNRSVHHAQYTVNTARQMGWNQANNSLKVKKCLSGPIEM
+>sp|Q86V24|PAQR2_HUMAN Adiponectin receptor protein 2 OS=Homo sapiens OX=9606 GN=ADIPOR2 PE=1 SV=1
+MNEPTENRLGCSRTPEPDIRLRKGHQLDGTRRGDNDSHQGDLEPILEASVLSSHHKKSSEEHEYSDEAPQEDEGFMGMSPLLQAHHAMEKMEEFVCKVWEGRWRVIPHDVLPDWLKDNDFLLHGHRPPMPSFRACFKSIFRIHTETGNIWTHLLGCVFFLCLGIFYMFRPNISFVAPLQEKVVFGLFFLGAILCLSFSWLFHTVYCHSEGVSRLFSKLDYSGIALLIMGSFVPWLYYSFYCNPQPCFIYLIVICVLGIAAIIVSQWDMFATPQYRGVRAGVFLGLGLSGIIPTLHYVISEGFLKAATIGQIGWLMLMASLYITGAALYAARIPERFFPGKCDIWFHSHQLFHIFVVAGAFVHFHGVSNLQEFRFMIGGGCSEEDAL
+>DECOY_sp|Q86V24|PAQR2_HUMAN Adiponectin receptor protein 2 OS=Homo sapiens OX=9606 GN=ADIPOR2 PE=1 SV=1
+LADEESCGGGIMFRFEQLNSVGHFHVFAGAVVFIHFLQHSHFWIDCKGPFFREPIRAAYLAAGTIYLSAMLMLWGIQGITAAKLFGESIVYHLTPIIGSLGLGLFVGARVGRYQPTAFMDWQSVIIAAIGLVCIVILYIFCPQPNCYFSYYLWPVFSGMILLAIGSYDLKSFLRSVGESHCYVTHFLWSFSLCLIAGLFFLGFVVKEQLPAVFSINPRFMYFIGLCLFFVCGLLHTWINGTETHIRFISKFCARFSPMPPRHGHLLFDNDKLWDPLVDHPIVRWRGEWVKCVFEEMKEMAHHAQLLPSMGMFGEDEQPAEDSYEHEESSKKHHSSLVSAELIPELDGQHSDNDGRRTGDLQHGKRLRIDPEPTRSCGLRNETPENM
+>sp|Q6TCH7|PAQR3_HUMAN Progestin and adipoQ receptor family member 3 OS=Homo sapiens OX=9606 GN=PAQR3 PE=1 SV=2
+MHQKLLKSAHYIELGSYQYWPVLVPRGIRLYTYEQIPGSLKDNPYITDGYRAYLPSRLCIKSLFILSNETVNIWSHLLGFFLFFTLGIYDMTSVLPSASASREDFVICSICLFCFQVCMLCSVGYHLFSCHRSEKTCRRWMALDYAGISIGILGCYVSGVFYAFYCNNYWRQVYLITVLAMILAVFFAQIHPNYLTQQWQRLRSIIFCSVSGYGVIPTLHWVWLNGGIGAPIVQDFAPRVIVMYMIALLAFLFYISKVPERYFPGQLNYLGSSHQIWHILAVVMLYWWHQSTVYVMQYRHSKPCPDYVSHL
+>DECOY_sp|Q6TCH7|PAQR3_HUMAN Progestin and adipoQ receptor family member 3 OS=Homo sapiens OX=9606 GN=PAQR3 PE=1 SV=2
+LHSVYDPCPKSHRYQMVYVTSQHWWYLMVVALIHWIQHSSGLYNLQGPFYREPVKSIYFLFALLAIMYMVIVRPAFDQVIPAGIGGNLWVWHLTPIVGYGSVSCFIISRLRQWQQTLYNPHIQAFFVALIMALVTILYVQRWYNNCYFAYFVGSVYCGLIGISIGAYDLAMWRRCTKESRHCSFLHYGVSCLMCVQFCFLCISCIVFDERSASASPLVSTMDYIGLTFFLFFGLLHSWINVTENSLIFLSKICLRSPLYARYGDTIYPNDKLSGPIQEYTYLRIGRPVLVPWYQYSGLEIYHASKLLKQHM
+>sp|Q460N3|PAR15_HUMAN Poly [ADP-ribose] polymerase 15 OS=Homo sapiens OX=9606 GN=PARP15 PE=1 SV=2
+MAAPGPLPAAALSPGAPTPRELMHGVAGVTSRAGRDREAGSVLPAGNRGARKASRRSSSRSMSRDNKFSKKDCLSIRNVVASIQTKEGLNLKLISGDVLYIWADVIVNSVPMNLQLGGGPLSRAFLQKAGPMLQKELDDRRRETEEKVGNIFMTSGCNLDCKAVLHAVAPYWNNGAETSWQIMANIIKKCLTTVEVLSFSSITFPMIGTGSLQFPKAVFAKLILSEVFEYSSSTRPITSPLQEVHFLVYTNDDEGCQAFLDEFTNWSRINPNKARIPMAGDTQGVVGTVSKPCFTAYEMKIGAITFQVATGDIATEQVDVIVNSTARTFNRKSGVSRAILEGAGQAVESECAVLAAQPHRDFIITPGGCLKCKIIIHVPGGKDVRKTVTSVLEECEQRKYTSVSLPAIGTGNAGKNPITVADNIIDAIVDFSSQHSTPSLKTVKVVIFQPELLNIFYDSMKKRDLSASLNFQSTFSMTTCNLPEHWTDMNHQLFCMVQLEPGQSEYNTIKDKFTRTCSSYAIEKIERIQNAFLWQSYQVKKRQMDIKNDHKNNERLLFHGTDADSVPYVNQHGFNRSCAGKNAVSYGKGTYFAVDASYSAKDTYSKPDSNGRKHMYVVRVLTGVFTKGRAGLVTPPPKNPHNPTDLFDSVTNNTRSPKLFVVFFDNQAYPEYLITFTA
+>DECOY_sp|Q460N3|PAR15_HUMAN Poly [ADP-ribose] polymerase 15 OS=Homo sapiens OX=9606 GN=PARP15 PE=1 SV=2
+ATFTILYEPYAQNDFFVVFLKPSRTNNTVSDFLDTPNHPNKPPPTVLGARGKTFVGTLVRVVYMHKRGNSDPKSYTDKASYSADVAFYTGKGYSVANKGACSRNFGHQNVYPVSDADTGHFLLRENNKHDNKIDMQRKKVQYSQWLFANQIREIKEIAYSSCTRTFKDKITNYESQGPELQVMCFLQHNMDTWHEPLNCTTMSFTSQFNLSASLDRKKMSDYFINLLEPQFIVVKVTKLSPTSHQSSFDVIADIINDAVTIPNKGANGTGIAPLSVSTYKRQECEELVSTVTKRVDKGGPVHIIIKCKLCGGPTIIFDRHPQAALVACESEVAQGAGELIARSVGSKRNFTRATSNVIVDVQETAIDGTAVQFTIAGIKMEYATFCPKSVTGVVGQTDGAMPIRAKNPNIRSWNTFEDLFAQCGEDDNTYVLFHVEQLPSTIPRTSSSYEFVESLILKAFVAKPFQLSGTGIMPFTISSFSLVEVTTLCKKIINAMIQWSTEAGNNWYPAVAHLVAKCDLNCGSTMFINGVKEETERRRDDLEKQLMPGAKQLFARSLPGGGLQLNMPVSNVIVDAWIYLVDGSILKLNLGEKTQISAVVNRISLCDKKSFKNDRSMSRSSSRRSAKRAGRNGAPLVSGAERDRGARSTVGAVGHMLERPTPAGPSLAAAPLPGPAAM
+>sp|Q6UWI2|PARM1_HUMAN Prostate androgen-regulated mucin-like protein 1 OS=Homo sapiens OX=9606 GN=PARM1 PE=1 SV=1
+MVYKTLFALCILTAGWRVQSLPTSAPLSVSLPTNIVPPTTIWTSSPQNTDADTASPSNGTHNNSVLPVTASAPTSLLPKNISIESREEEITSPGSNWEGTNTDPSPSGFSSTSGGVHLTTTLEEHSSGTPEAGVAATLSQSAAEPPTLISPQAPASSPSSLSTSPPEVFSASVTTNHSSTVTSTQPTGAPTAPESPTEESSSDHTPTSHATAEPVPQEKTPPTTVSGKVMCELIDMETTTTFPRVIMQEVEHALSSGSIAAITVTVIAVVLLVFGVAAYLKIRHSSYGRLLDDHDYGSWGNYNNPLYDDS
+>DECOY_sp|Q6UWI2|PARM1_HUMAN Prostate androgen-regulated mucin-like protein 1 OS=Homo sapiens OX=9606 GN=PARM1 PE=1 SV=1
+SDDYLPNNYNGWSGYDHDDLLRGYSSHRIKLYAAVGFVLLVVAIVTVTIAAISGSSLAHEVEQMIVRPFTTTTEMDILECMVKGSVTTPPTKEQPVPEATAHSTPTHDSSSEETPSEPATPAGTPQTSTVTSSHNTTVSASFVEPPSTSLSSPSSAPAQPSILTPPEAASQSLTAAVGAEPTGSSHEELTTTLHVGGSTSSFGSPSPDTNTGEWNSGPSTIEEERSEISINKPLLSTPASATVPLVSNNHTGNSPSATDADTNQPSSTWITTPPVINTPLSVSLPASTPLSQVRWGATLICLAFLTKYVM
+>sp|Q2NL67|PARP6_HUMAN Poly [ADP-ribose] polymerase 6 OS=Homo sapiens OX=9606 GN=PARP6 PE=2 SV=1
+MDIKGQFWNDDDSEGDNESEEFLYGVQGSCAADLYRHPQLDADIEAVKEIYSENSVSIREYGTIDDVDIDLHINISFLDEEVSTAWKVLRTEPIVLRLRFSLSQYLDGPEPSIEVFQPSNKEGFGLGLQLKKILGMFTSQQWKHLSNDFLKTQQEKRHSWFKASGTIKKFRAGLSIFSPIPKSPSFPIIQDSMLKGKLGVPELRVGRLMNRSISCTMKNPKVEVFGYPPSPQAGLLCPQHVGLPPPARTSPLVSGHCKNIPTLEYGFLVQIMKYAEQRIPTLNEYCVVCDEQHVFQNGSMLKPAVCTRELCVFSFYTLGVMSGAAEEVATGAEVVDLLVAMCRAALESPRKSIIFEPYPSVVDPTDPKTLAFNPKKKNYERLQKALDSVMSIREMTQGSYLEIKKQMDKLDPLAHPLLQWIISSNRSHIVKLPLSRLKFMHTSHQFLLLSSPPAKEARFRTAKKLYGSTFAFHGSHIENWHSILRNGLVNASYTKLQLHGAAYGKGIYLSPISSISFGYSGMGKGQHRMPSKDELVQRYNRMNTIPQTRSIQSRFLQSRNLNCIALCEVITSKDLQKHGNIWVCPVSDHVCTRFFFVYEDGQVGDANINTQDPKIQKEIMRVIGTQVYTN
+>DECOY_sp|Q2NL67|PARP6_HUMAN Poly [ADP-ribose] polymerase 6 OS=Homo sapiens OX=9606 GN=PARP6 PE=2 SV=1
+NTYVQTGIVRMIEKQIKPDQTNINADGVQGDEYVFFFRTCVHDSVPCVWINGHKQLDKSTIVECLAICNLNRSQLFRSQISRTQPITNMRNYRQVLEDKSPMRHQGKGMGSYGFSISSIPSLYIGKGYAAGHLQLKTYSANVLGNRLISHWNEIHSGHFAFTSGYLKKATRFRAEKAPPSSLLLFQHSTHMFKLRSLPLKVIHSRNSSIIWQLLPHALPDLKDMQKKIELYSGQTMERISMVSDLAKQLREYNKKKPNFALTKPDTPDVVSPYPEFIISKRPSELAARCMAVLLDVVEAGTAVEEAAGSMVGLTYFSFVCLERTCVAPKLMSGNQFVHQEDCVVCYENLTPIRQEAYKMIQVLFGYELTPINKCHGSVLPSTRAPPPLGVHQPCLLGAQPSPPYGFVEVKPNKMTCSISRNMLRGVRLEPVGLKGKLMSDQIIPFSPSKPIPSFISLGARFKKITGSAKFWSHRKEQQTKLFDNSLHKWQQSTFMGLIKKLQLGLGFGEKNSPQFVEISPEPGDLYQSLSFRLRLVIPETRLVKWATSVEEDLFSINIHLDIDVDDITGYERISVSNESYIEKVAEIDADLQPHRYLDAACSGQVGYLFEESENDGESDDDNWFQGKIDM
+>sp|Q9HBI1|PARVB_HUMAN Beta-parvin OS=Homo sapiens OX=9606 GN=PARVB PE=1 SV=1
+MSSAPRSPTPRPRRMKKDESFLGKLGGTLARKRRAREVSDLQEEGKNAINSPMSPALVDVHPEDTQLEENEERTMIDPTSKEDPKFKELVKVLLDWINDVLVEERIIVKQLEEDLYDGQVLQKLLEKLAGCKLNVAEVTQSEIGQKQKLQTVLEAVHDLLRPRGWALRWSVDSIHGKNLVAILHLLVSLAMHFRAPIRLPEHVTVQVVVVRKREGLLHSSHISEELTTTTEMMMGRFERDAFDTLFDHAPDKLSVVKKSLITFVNKHLNKLNLEVTELETQFADGVYLVLLMGLLEDYFVPLHHFYLTPESFDQKVHNVSFAFELMLDGGLKKPKARPEDVVNLDLKSTLRVLYNLFTKYKNVE
+>DECOY_sp|Q9HBI1|PARVB_HUMAN Beta-parvin OS=Homo sapiens OX=9606 GN=PARVB PE=1 SV=1
+EVNKYKTFLNYLVRLTSKLDLNVVDEPRAKPKKLGGDLMLEFAFSVNHVKQDFSEPTLYFHHLPVFYDELLGMLLVLYVGDAFQTELETVELNLKNLHKNVFTILSKKVVSLKDPAHDFLTDFADREFRGMMMETTTTLEESIHSSHLLGERKRVVVVQVTVHEPLRIPARFHMALSVLLHLIAVLNKGHISDVSWRLAWGRPRLLDHVAELVTQLKQKQGIESQTVEAVNLKCGALKELLKQLVQGDYLDEELQKVIIREEVLVDNIWDLLVKVLEKFKPDEKSTPDIMTREENEELQTDEPHVDVLAPSMPSNIANKGEEQLDSVERARRKRALTGGLKGLFSEDKKMRRPRPTPSRPASSM
+>sp|Q6UY27|PATE2_HUMAN Prostate and testis expressed protein 2 OS=Homo sapiens OX=9606 GN=PATE2 PE=2 SV=1
+MLVLFLLGTVFLLCPYWGELHDPIKATEIMCYECKKYHLGLCYGVMTSCSLKHKQSCAVENFYILTRKGQSMYHYSKLSCMTSCEDINFLGFTKRVELICCDHSNYCNLPEGV
+>DECOY_sp|Q6UY27|PATE2_HUMAN Prostate and testis expressed protein 2 OS=Homo sapiens OX=9606 GN=PATE2 PE=2 SV=1
+VGEPLNCYNSHDCCILEVRKTFGLFNIDECSTMCSLKSYHYMSQGKRTLIYFNEVACSQKHKLSCSTMVGYCLGLHYKKCEYCMIETAKIPDHLEGWYPCLLFVTGLLFLVLM
+>sp|Q86TB9|PATL1_HUMAN Protein PAT1 homolog 1 OS=Homo sapiens OX=9606 GN=PATL1 PE=1 SV=2
+MFRYESLEDCPLDEDEDAFQGLGEEDEEIDQFNDDTFGSGAVDDDWQEAHERLAELEEKLPVAVNEQTGNGERDEMDLLGDHEENLAERLSKMVIENELEDPAIMRAVQTRPVLQPQPGSLNSSIWDGSEVLRRIRGPLLAQEMPTVSVLEYALPQRPPQGPEDDRDLSERALPRRSTSPIIGSPPVRAVPIGTPPKQMAVPSFTQQILCPKPVHVRPPMPPRYPAPYGERMSPNQLCSVPNSSLLGHPFPPSVPPVLSPLQRAQLLGGAQLQPGRMSPSQFARVPGFVGSPLAAMNPKLLQGRVGQMLPPAPGFRAFFSAPPSATPPPQQHPPGPGPHLQNLRSQAPMFRPDTTHLHPQHRRLLHQRQQQNRSQHRNLNGAGDRGSHRSSHQDHLRKDPYANLMLQREKDWVSKIQMMQLQSTDPYLDDFYYQNYFEKLEKLSAAEEIQGDGPKKERTKLITPQVAKLEHAYKPVQFEGSLGKLTVSSVNNPRKMIDAVVTSRSEDDETKEKQVRDKRRKTLVIIEKTYSLLLDVEDYERRYLLSLEEERPALMDDRKHKICSMYDNLRGKLPGQERPSDDHFVQIMCIRKGKRMVARILPFLSTEQAADILMTTARNLPFLIKKDAQDEVLPCLLSPFSLLLYHLPSVSITSLLRQLMNLPQSAATPALSNPHLTAVLQNKFGLSLLLILLSRGEDLQSSDPATESTQNNQWTEVMFMATRELLRIPQAALAKPISIPTNLVSLFSRYVDRQKLNLLETKLQLVQGIR
+>DECOY_sp|Q86TB9|PATL1_HUMAN Protein PAT1 homolog 1 OS=Homo sapiens OX=9606 GN=PATL1 PE=1 SV=2
+RIGQVLQLKTELLNLKQRDVYRSFLSVLNTPISIPKALAAQPIRLLERTAMFMVETWQNNQTSETAPDSSQLDEGRSLLILLLSLGFKNQLVATLHPNSLAPTAASQPLNMLQRLLSTISVSPLHYLLLSFPSLLCPLVEDQADKKILFPLNRATTMLIDAAQETSLFPLIRAVMRKGKRICMIQVFHDDSPREQGPLKGRLNDYMSCIKHKRDDMLAPREEELSLLYRREYDEVDLLLSYTKEIIVLTKRRKDRVQKEKTEDDESRSTVVADIMKRPNNVSSVTLKGLSGEFQVPKYAHELKAVQPTILKTREKKPGDGQIEEAASLKELKEFYNQYYFDDLYPDTSQLQMMQIKSVWDKERQLMLNAYPDKRLHDQHSSRHSGRDGAGNLNRHQSRNQQQRQHLLRRHQPHLHTTDPRFMPAQSRLNQLHPGPGPPHQQPPPTASPPASFFARFGPAPPLMQGVRGQLLKPNMAALPSGVFGPVRAFQSPSMRGPQLQAGGLLQARQLPSLVPPVSPPFPHGLLSSNPVSCLQNPSMREGYPAPYRPPMPPRVHVPKPCLIQQTFSPVAMQKPPTGIPVARVPPSGIIPSTSRRPLARESLDRDDEPGQPPRQPLAYELVSVTPMEQALLPGRIRRLVESGDWISSNLSGPQPQLVPRTQVARMIAPDELENEIVMKSLREALNEEHDGLLDMEDREGNGTQENVAVPLKEELEALREHAEQWDDDVAGSGFTDDNFQDIEEDEEGLGQFADEDEDLPCDELSEYRFM
+>sp|Q9BYU1|PBX4_HUMAN Pre-B-cell leukemia transcription factor 4 OS=Homo sapiens OX=9606 GN=PBX4 PE=1 SV=2
+MAAPPRPAPSPPAPRRLDTSDVLQQIMAITDQSLDEAQARKHALNCHRMKPALFSVLCEIKEKTVVSIRGIQDEDPPDAQLLRLDNMLLAEGVCRPEKRGRGGAVARAGTATPGGCPNDNSIEHSDYRAKLSQIRQIYHSELEKYEQACREFTTHVTNLLQEQSRMRPVSPKEIERMVGAIHGKFSAIQMQLKQSTCEAVMTLRSRLLDARRKRRNFSKQATEVLNEYFYSHLNNPYPSEEAKEELARKGGLTISQVSNWFGNKRIRYKKNMGKFQEEATIYTGKTAVDTTEVGVPGNHASCLSTPSSGSSGPFPLPSAGDAFLTLRTLASLQPPPGGGCLQSQAQGSWQGATPQPATASPAGDPGSINSSTSN
+>DECOY_sp|Q9BYU1|PBX4_HUMAN Pre-B-cell leukemia transcription factor 4 OS=Homo sapiens OX=9606 GN=PBX4 PE=1 SV=2
+NSTSSNISGPDGAPSATAPQPTAGQWSGQAQSQLCGGGPPPQLSALTRLTLFADGASPLPFPGSSGSSPTSLCSAHNGPVGVETTDVATKGTYITAEEQFKGMNKKYRIRKNGFWNSVQSITLGGKRALEEKAEESPYPNNLHSYFYENLVETAQKSFNRRKRRADLLRSRLTMVAECTSQKLQMQIASFKGHIAGVMREIEKPSVPRMRSQEQLLNTVHTTFERCAQEYKELESHYIQRIQSLKARYDSHEISNDNPCGGPTATGARAVAGGRGRKEPRCVGEALLMNDLRLLQADPPDEDQIGRISVVTKEKIECLVSFLAPKMRHCNLAHKRAQAEDLSQDTIAMIQQLVDSTDLRRPAPPSPAPRPPAAM
+>sp|Q9BZA7|PC11X_HUMAN Protocadherin-11 X-linked OS=Homo sapiens OX=9606 GN=PCDH11X PE=2 SV=1
+MDLLSGTYIFAVLLACVVFHSGAQEKNYTIREEMPENVLIGDLLKDLNLSLIPNKSLTTAMQFKLVYKTGDVPLIRIEEDTGEIFTTGARIDREKLCAGIPRDEHCFYEVEVAILPDEIFRLVKIRFLIEDINDNAPLFPATVINISIPENSAINSKYTLPAAVDPDVGINGVQNYELIKSQNIFGLDVIETPEGDKMPQLIVQKELDREEKDTYVMKVKVEDGGFPQRSSTAILQVSVTDTNDNHPVFKETEIEVSIPENAPVGTSVTQLHATDADIGENAKIHFSFSNLVSNIARRLFHLNATTGLITIKEPLDREETPNHKLLVLASDGGLMPARAMVLVNVTDVNDNVPSIDIRYIVNPVNDTVVLSENIPLNTKIALITVTDKDADHNGRVTCFTDHEIPFRLRPVFSNQFLLETAAYLDYESTKEYAIKLLAADAGKPPLNQSAMLFIKVKDENDNAPVFTQSFVTVSIPENNSPGIQLTKVSAMDADSGPNAKINYLLGPDAPPEFSLDCRTGMLTVVKKLDREKEDKYLFTILAKDNGVPPLTSNVTVFVSIIDQNDNSPVFTHNEYNFYVPENLPRHGTVGLITVTDPDYGDNSAVTLSILDENDDFTIDSQTGVIRPNISFDREKQESYTFYVKAEDGGRVSRSSSAKVTINVVDVNDNKPVFIVPPSNCSYELVLPSTNPGTVVFQVIAVDNDTGMNAEVRYSIVGGNTRDLFAIDQETGNITLMEKCDVTDLGLHRVLVKANDLGQPDSLFSVVIVNLFVNESVTNATLINELVRKSTEAPVTPNTEIADVSSPTSDYVKILVAAVAGTITVVVVIFITAVVRCRQAPHLKAAQKNKQNSEWATPNPENRQMIMMKKKKKKKKHSPKNLLLNFVTIEETKADDVDSDGNRVTLDLPIDLEEQTMGKYNWVTTPTTFKPDSPDLARHYKSASPQPAFQIQPETPLNSKHHIIQELPLDNTFVACDSISKCSSSSSDPYSVSDCGYPVTTFEVPVSVHTRPPMKEVVRSCTPMKESTTMEIWIHPQPQRKSEGKVAGKSQRRVTFHLPEGSQESSSDGGLGDHDAGSLTSTSHGLPLGYPQEEYFDRATPSNRTEGDGNSDPESTFIPGLKKAAEITVQPTVEEASDNCTQECLIYGHSDACWMPASLDHSSSSQAQASALCHSPPLSQASTQHHSPRVTQTIALCHSPPVTQTIALCHSPPPIQVSALHHSPPLVQATALHHSPPSAQASALCYSPPLAQAAAISHSSPLPQVIALHRSQAQSSVSLQQGWVQGADGLCSVDQGVQGSATSQFYTMSERLHPSDDSIKVIPLTTFTPRQQARPSRGDSPIMEEHPL
+>DECOY_sp|Q9BZA7|PC11X_HUMAN Protocadherin-11 X-linked OS=Homo sapiens OX=9606 GN=PCDH11X PE=2 SV=1
+LPHEEMIPSDGRSPRAQQRPTFTTLPIVKISDDSPHLRESMTYFQSTASGQVGQDVSCLGDAGQVWGQQLSVSSQAQSRHLAIVQPLPSSHSIAAAQALPPSYCLASAQASPPSHHLATAQVLPPSHHLASVQIPPPSHCLAITQTVPPSHCLAITQTVRPSHHQTSAQSLPPSHCLASAQAQSSSSHDLSAPMWCADSHGYILCEQTCNDSAEEVTPQVTIEAAKKLGPIFTSEPDSNGDGETRNSPTARDFYEEQPYGLPLGHSTSTLSGADHDGLGGDSSSEQSGEPLHFTVRRQSKGAVKGESKRQPQPHIWIEMTTSEKMPTCSRVVEKMPPRTHVSVPVEFTTVPYGCDSVSYPDSSSSSCKSISDCAVFTNDLPLEQIIHHKSNLPTEPQIQFAPQPSASKYHRALDPSDPKFTTPTTVWNYKGMTQEELDIPLDLTVRNGDSDVDDAKTEEITVFNLLLNKPSHKKKKKKKKMMIMQRNEPNPTAWESNQKNKQAAKLHPAQRCRVVATIFIVVVVTITGAVAAVLIKVYDSTPSSVDAIETNPTVPAETSKRVLENILTANTVSENVFLNVIVVSFLSDPQGLDNAKVLVRHLGLDTVDCKEMLTINGTEQDIAFLDRTNGGVISYRVEANMGTDNDVAIVQFVVTGPNTSPLVLEYSCNSPPVIFVPKNDNVDVVNITVKASSSRSVRGGDEAKVYFTYSEQKERDFSINPRIVGTQSDITFDDNEDLISLTVASNDGYDPDTVTILGVTGHRPLNEPVYFNYENHTFVPSNDNQDIISVFVTVNSTLPPVGNDKALITFLYKDEKERDLKKVVTLMGTRCDLSFEPPADPGLLYNIKANPGSDADMASVKTLQIGPSNNEPISVTVFSQTFVPANDNEDKVKIFLMASQNLPPKGADAALLKIAYEKTSEYDLYAATELLFQNSFVPRLRFPIEHDTFCTVRGNHDADKDTVTILAIKTNLPINESLVVTDNVPNVIYRIDISPVNDNVDTVNVLVMARAPMLGGDSALVLLKHNPTEERDLPEKITILGTTANLHFLRRAINSVLNSFSFHIKANEGIDADTAHLQTVSTGVPANEPISVEIETEKFVPHNDNTDTVSVQLIATSSRQPFGGDEVKVKMVYTDKEERDLEKQVILQPMKDGEPTEIVDLGFINQSKILEYNQVGNIGVDPDVAAPLTYKSNIASNEPISINIVTAPFLPANDNIDEILFRIKVLRFIEDPLIAVEVEYFCHEDRPIGACLKERDIRAGTTFIEGTDEEIRILPVDGTKYVLKFQMATTLSKNPILSLNLDKLLDGILVNEPMEERITYNKEQAGSHFVVCALLVAFIYTGSLLDM
+>sp|Q15366|PCBP2_HUMAN Poly(rC)-binding protein 2 OS=Homo sapiens OX=9606 GN=PCBP2 PE=1 SV=1
+MDTGVIEGGLNVTLTIRLLMHGKEVGSIIGKKGESVKKMREESGARINISEGNCPERIITLAGPTNAIFKAFAMIIDKLEEDISSSMTNSTAASRPPVTLRLVVPASQCGSLIGKGGCKIKEIRESTGAQVQVAGDMLPNSTERAITIAGIPQSIIECVKQICVVMLETLSQSPPKGVTIPYRPKPSSSPVIFAGGQDRYSTGSDSASFPHTTPSMCLNPDLEGPPLEAYTIQGQYAIPQPDLTKLHQLAMQQSHFPMTHGNTGFSGIESSSPEVKGYWGLDASAQTTSHELTIPNDLIGCIIGRQGAKINEIRQMSGAQIKIANPVEGSTDRQVTITGSAASISLAQYLINVRLSSETGGMGSS
+>DECOY_sp|Q15366|PCBP2_HUMAN Poly(rC)-binding protein 2 OS=Homo sapiens OX=9606 GN=PCBP2 PE=1 SV=1
+SSGMGGTESSLRVNILYQALSISAASGTITVQRDTSGEVPNAIKIQAGSMQRIENIKAGQRGIICGILDNPITLEHSTTQASADLGWYGKVEPSSSEIGSFGTNGHTMPFHSQQMALQHLKTLDPQPIAYQGQITYAELPPGELDPNLCMSPTTHPFSASDSGTSYRDQGGAFIVPSSSPKPRYPITVGKPPSQSLTELMVVCIQKVCEIISQPIGAITIARETSNPLMDGAVQVQAGTSERIEKIKCGGKGILSGCQSAPVVLRLTVPPRSAATSNTMSSSIDEELKDIIMAFAKFIANTPGALTIIREPCNGESINIRAGSEERMKKVSEGKKGIISGVEKGHMLLRITLTVNLGGEIVGTDM
+>sp|P05166|PCCB_HUMAN Propionyl-CoA carboxylase beta chain, mitochondrial OS=Homo sapiens OX=9606 GN=PCCB PE=1 SV=3
+MAAALRVAAVGARLSVLASGLRAAVRSLCSQATSVNERIENKRRTALLGGGQRRIDAQHKRGKLTARERISLLLDPGSFVESDMFVEHRCADFGMAADKNKFPGDSVVTGRGRINGRLVYVFSQDFTVFGGSLSGAHAQKICKIMDQAITVGAPVIGLNDSGGARIQEGVESLAGYADIFLRNVTASGVIPQISLIMGPCAGGAVYSPALTDFTFMVKDTSYLFITGPDVVKSVTNEDVTQEELGGAKTHTTMSGVAHRAFENDVDALCNLRDFFNYLPLSSQDPAPVRECHDPSDRLVPELDTIVPLESTKAYNMVDIIHSVVDEREFFEIMPNYAKNIIVGFARMNGRTVGIVGNQPKVASGCLDINSSVKGARFVRFCDAFNIPLITFVDVPGFLPGTAQEYGGIIRHGAKLLYAFAEATVPKVTVITRKAYGGAYDVMSSKHLCGDTNYAWPTAEIAVMGAKGAVEIIFKGHENVEAAQAEYIEKFANPFPAAVRGFVDDIIQPSSTRARICCDLDVLASKKVQRPWRKHANIPL
+>DECOY_sp|P05166|PCCB_HUMAN Propionyl-CoA carboxylase beta chain, mitochondrial OS=Homo sapiens OX=9606 GN=PCCB PE=1 SV=3
+LPINAHKRWPRQVKKSALVDLDCCIRARTSSPQIIDDVFGRVAAPFPNAFKEIYEAQAAEVNEHGKFIIEVAGKAGMVAIEATPWAYNTDGCLHKSSMVDYAGGYAKRTIVTVKPVTAEAFAYLLKAGHRIIGGYEQATGPLFGPVDVFTILPINFADCFRVFRAGKVSSNIDLCGSAVKPQNGVIGVTRGNMRAFGVIINKAYNPMIEFFEREDVVSHIIDVMNYAKTSELPVITDLEPVLRDSPDHCERVPAPDQSSLPLYNFFDRLNCLADVDNEFARHAVGSMTTHTKAGGLEEQTVDENTVSKVVDPGTIFLYSTDKVMFTFDTLAPSYVAGGACPGMILSIQPIVGSATVNRLFIDAYGALSEVGEQIRAGGSDNLGIVPAGVTIAQDMIKCIKQAHAGSLSGGFVTFDQSFVYVLRGNIRGRGTVVSDGPFKNKDAAMGFDACRHEVFMDSEVFSGPDLLLSIRERATLKGRKHQADIRRQGGGLLATRRKNEIRENVSTAQSCLSRVAARLGSALVSLRAGVAAVRLAAAM
+>sp|Q9NPG4|PCD12_HUMAN Protocadherin-12 OS=Homo sapiens OX=9606 GN=PCDH12 PE=1 SV=1
+MMQLLQLLLGLLGPGGYLFLLGDCQEVTTLTVKYQVSEEVPSGTVIGKLSQELGREERRRQAGAAFQVLQLPQALPIQVDSEEGLLSTGRRLDREQLCRQWDPCLVSFDVLATGDLALIHVEIQVLDINDHQPRFPKGEQELEISESASLRTRIPLDRALDPDTGPNTLHTYTLSPSEHFALDVIVGPDETKHAELIVVKELDREIHSFFDLVLTAYDNGNPPKSGTSLVKVNVLDSNDNSPAFAESSLALEIQEDAAPGTLLIKLTATDPDQGPNGEVEFFLSKHMPPEVLDTFSIDAKTGQVILRRPLDYEKNPAYEVDVQARDLGPNPIPAHCKVLIKVLDVNDNIPSIHVTWASQPSLVSEALPKDSFIALVMADDLDSGHNGLVHCWLSQELGHFRLKRTNGNTYMLLTNATLDREQWPKYTLTLLAQDQGLQPLSAKKQLSIQISDINDNAPVFEKSRYEVSTRENNLPSLHLITIKAHDADLGINGKVSYRIQDSPVAHLVAIDSNTGEVTAQRSLNYEEMAGFEFQVIAEDSGQPMLASSVSVWVSLLDANDNAPEVVQPVLSDGKASLSVLVNASTGHLLVPIETPNGLGPAGTDTPPLATHSSRPFLLTTIVARDADSGANGEPLYSIRSGNEAHLFILNPHTGQLFVNVTNASSLIGSEWELEIVVEDQGSPPLQTRALLRVMFVTSVDHLRDSARKPGALSMSMLTVICLAVLLGIFGLILALFMSICRTEKKDNRAYNCREAESTYRQQPKRPQKHIQKADIHLVPVLRGQAGEPCEVGQSHKDVDKEAMMEAGWDPCLQAPFHLTPTLYRTLRNQGNQGAPAESREVLQDTVNLLFNHPRQRNASRENLNLPEPQPATGQPRSRPLKVAGSPTGRLAGDQGSEEAPQRPPASSATLRRQRHLNGKVSPEKESGPRQILRSLVRLSVAAFAERNPVEELTVDSPPVQQISQLLSLLHQGQFQPKPNHRGNKYLAKPGGSRSAIPDTDGPSARAGGQTDPEQEEGPLDPEEDLSVKQLLEEELSSLLDPSTGLALDRLSAPDPAWMARLSLPLTTNYRDNVISPDAAATEEPRTFQTFGKAEAPELSPTGTRLASTFVSEMSSLLEMLLEQRSSMPVEAASEALRRLSVCGRTLSLDLATSAASGMKVQGDPGGKTGTEGKSRGSSSSSRCL
+>DECOY_sp|Q9NPG4|PCD12_HUMAN Protocadherin-12 OS=Homo sapiens OX=9606 GN=PCDH12 PE=1 SV=1
+LCRSSSSSGRSKGETGTKGGPDGQVKMGSAASTALDLSLTRGCVSLRRLAESAAEVPMSSRQELLMELLSSMESVFTSALRTGTPSLEPAEAKGFTQFTRPEETAAADPSIVNDRYNTTLPLSLRAMWAPDPASLRDLALGTSPDLLSSLEEELLQKVSLDEEPDLPGEEQEPDTQGGARASPGDTDPIASRSGGPKALYKNGRHNPKPQFQGQHLLSLLQSIQQVPPSDVTLEEVPNREAFAAVSLRVLSRLIQRPGSEKEPSVKGNLHRQRRLTASSAPPRQPAEESGQDGALRGTPSGAVKLPRSRPQGTAPQPEPLNLNERSANRQRPHNFLLNVTDQLVERSEAPAGQNGQNRLTRYLTPTLHFPAQLCPDWGAEMMAEKDVDKHSQGVECPEGAQGRLVPVLHIDAKQIHKQPRKPQQRYTSEAERCNYARNDKKETRCISMFLALILGFIGLLVALCIVTLMSMSLAGPKRASDRLHDVSTVFMVRLLARTQLPPSGQDEVVIELEWESGILSSANTVNVFLQGTHPNLIFLHAENGSRISYLPEGNAGSDADRAVITTLLFPRSSHTALPPTDTGAPGLGNPTEIPVLLHGTSANVLVSLSAKGDSLVPQVVEPANDNADLLSVWVSVSSALMPQGSDEAIVQFEFGAMEEYNLSRQATVEGTNSDIAVLHAVPSDQIRYSVKGNIGLDADHAKITILHLSPLNNERTSVEYRSKEFVPANDNIDSIQISLQKKASLPQLGQDQALLTLTYKPWQERDLTANTLLMYTNGNTRKLRFHGLEQSLWCHVLGNHGSDLDDAMVLAIFSDKPLAESVLSPQSAWTVHISPINDNVDLVKILVKCHAPIPNPGLDRAQVDVEYAPNKEYDLPRRLIVQGTKADISFTDLVEPPMHKSLFFEVEGNPGQDPDTATLKILLTGPAADEQIELALSSEAFAPSNDNSDLVNVKVLSTGSKPPNGNDYATLVLDFFSHIERDLEKVVILEAHKTEDPGVIVDLAFHESPSLTYTHLTNPGTDPDLARDLPIRTRLSASESIELEQEGKPFRPQHDNIDLVQIEVHILALDGTALVDFSVLCPDWQRCLQERDLRRGTSLLGEESDVQIPLAQPLQLVQFAAGAQRRREERGLEQSLKGIVTGSPVEESVQYKVTLTTVEQCDGLLFLYGGPGLLGLLLQLLQMM
+>sp|Q96QU1|PCD15_HUMAN Protocadherin-15 OS=Homo sapiens OX=9606 GN=PCDH15 PE=1 SV=2
+MFRQFYLWTCLASGIILGSLFEICLGQYDDDCKLARGGPPATIVAIDEESRNGTILVDNMLIKGTAGGPDPTIELSLKDNVDYWVLMDPVKQMLFLNSTGRVLDRDPPMNIHSIVVQVQCINKKVGTIIYHEVRIVVRDRNDNSPTFKHESYYATVNELTPVGTTIFTGFSGDNGATDIDDGPNGQIEYVIQYNPDDPTSNDTFEIPLMLTGNIVLRKRLNYEDKTRYFVIIQANDRAQNLNERRTTTTTLTVDVLDGDDLGPMFLPCVLVPNTRDCRPLTYQAAIPELRTPEELNPIIVTPPIQAIDQDRNIQPPSDRPGILYSILVGTPEDYPRFFHMHPRTAELSLLEPVNRDFHQKFDLVIKAEQDNGHPLPAFAGLHIEILDENNQSPYFTMPSYQGYILESAPVGATISDSLNLTSPLRIVALDKDIEDTKDPELHLFLNDYTSVFTVTQTGITRYLTLLQPVDREEQQTYTFSITAFDGVQESEPVIVNIQVMDANDNTPTFPEISYDVYVYTDMRPGDSVIQLTAVDADEGSNGEITYEILVGAQGDFIINKTTGLITIAPGVEMIVGRTYALTVQAADNAPPAERRNSICTVYIEVLPPNNQSPPRFPQLMYSLEISEAMRVGAVLLNLQATDREGDSITYAIENGDPQRVFNLSETTGILTLGKALDRESTDRYILIITASDGRPDGTSTATVNIVVTDVNDNAPVFDPYLPRNLSVVEEEANAFVGQVKATDPDAGINGQVHYSLGNFNNLFRITSNGSIYTAVKLNREVRDYYELVVVATDGAVHPRHSTLTLAIKVLDIDDNSPVFTNSTYTVLVEENLPAGTTILQIEAKDVDLGANVSYRIRSPEVKHFFALHPFTGELSLLRSLDYEAFPDQEASITFLVEAFDIYGTMPPGIATVTVIVKDMNDYPPVFSKRIYKGMVAPDAVKGTPITTVYAEDADPPGLPASRVRYRVDDVQFPYPASIFEVEEDSGRVITRVNLNEEPTTIFKLVVVAFDDGEPVMSSSATVKILVLHPGEIPRFTQEEYRPPPVSELATKGTMVGVISAAAINQSIVYSIVSGNEEDTFGINNITGVIYVNGPLDYETRTSYVLRVQADSLEVVLANLRVPSKSNTAKVYIEIQDENNHPPVFQKKFYIGGVSEDARMFTSVLRVKATDKDTGNYSVMAYRLIIPPIKEGKEGFVVETYTGLIKTAMLFHNMRRSYFKFQVIATDDYGKGLSGKADVLVSVVNQLDMQVIVSNVPPTLVEKKIEDLTEILDRYVQEQIPGAKVVVESIGARRHGDAFSLEDYTKCDLTVYAIDPQTNRAIDRNELFKFLDGKLLDINKDFQPYYGEGGRILEIRTPEAVTSIKKRGESLGYTEGALLALAFIIILCCIPAILVVLVSYRQFKVRQAECTKTARIQAALPAAKPAVPAPAPVAAPPPPPPPPPGAHLYEELGDSSILFLLYHFQQSRGNNSVSEDRKHQQVVMPFSSNTIEAHKSAHVDGSLKSNKLKSARKFTFLSDEDDLSAHNPLYKENISQVSTNSDISQRTDFVDPFSPKIQAKSKSLRGPREKIQRLWSQSVSLPRRLMRKVPNRPEIIDLQQWQGTRQKAENENTGICTNKRGSSNPLLTTEEANLTEKEEIRQGETLMIEGTEQLKSLSSDSSFCFPRPHFSFSTLPTVSRTVELKSEPNVISSPAECSLELSPSRPCVLHSSLSRRETPICMLPIETERNIFENFAHPPNISPSACPLPPPPPISPPSPPPAPAPLAPPPDISPFSLFCPPPSPPSIPLPLPPPTFFPLSVSTSGPPTPPLLPPFPTPLPPPPPSIPCPPPPSASFLSTECVCITGVKCTTNLMPAEKIKSSMTQLSTTTVCKTDPQREPKGILRHVKNLAELEKSVANMYSQIEKNYLRTNVSELQTMCPSEVTNMEITSEQNKGSLNNIVEGTEKQSHSQSTSL
+>DECOY_sp|Q96QU1|PCD15_HUMAN Protocadherin-15 OS=Homo sapiens OX=9606 GN=PCDH15 PE=1 SV=2
+LSTSQSHSQKETGEVINNLSGKNQESTIEMNTVESPCMTQLESVNTRLYNKEIQSYMNAVSKELEALNKVHRLIGKPERQPDTKCVTTTSLQTMSSKIKEAPMLNTTCKVGTICVCETSLFSASPPPPCPISPPPPPLPTPFPPLLPPTPPGSTSVSLPFFTPPPLPLPISPPSPPPCFLSFPSIDPPPALPAPAPPPSPPSIPPPPPLPCASPSINPPHAFNEFINRETEIPLMCIPTERRSLSSHLVCPRSPSLELSCEAPSSIVNPESKLEVTRSVTPLTSFSFHPRPFCFSSDSSLSKLQETGEIMLTEGQRIEEKETLNAEETTLLPNSSGRKNTCIGTNENEAKQRTGQWQQLDIIEPRNPVKRMLRRPLSVSQSWLRQIKERPGRLSKSKAQIKPSFPDVFDTRQSIDSNTSVQSINEKYLPNHASLDDEDSLFTFKRASKLKNSKLSGDVHASKHAEITNSSFPMVVQQHKRDESVSNNGRSQQFHYLLFLISSDGLEEYLHAGPPPPPPPPPAAVPAPAPVAPKAAPLAAQIRATKTCEAQRVKFQRYSVLVVLIAPICCLIIIFALALLAGETYGLSEGRKKISTVAEPTRIELIRGGEGYYPQFDKNIDLLKGDLFKFLENRDIARNTQPDIAYVTLDCKTYDELSFADGHRRAGISEVVVKAGPIQEQVYRDLIETLDEIKKEVLTPPVNSVIVQMDLQNVVSVLVDAKGSLGKGYDDTAIVQFKFYSRRMNHFLMATKILGTYTEVVFGEKGEKIPPIILRYAMVSYNGTDKDTAKVRLVSTFMRADESVGGIYFKKQFVPPHNNEDQIEIYVKATNSKSPVRLNALVVELSDAQVRLVYSTRTEYDLPGNVYIVGTINNIGFTDEENGSVISYVISQNIAAASIVGVMTGKTALESVPPPRYEEQTFRPIEGPHLVLIKVTASSSMVPEGDDFAVVVLKFITTPEENLNVRTIVRGSDEEVEFISAPYPFQVDDVRYRVRSAPLGPPDADEAYVTTIPTGKVADPAVMGKYIRKSFVPPYDNMDKVIVTVTAIGPPMTGYIDFAEVLFTISAEQDPFAEYDLSRLLSLEGTFPHLAFFHKVEPSRIRYSVNAGLDVDKAEIQLITTGAPLNEEVLVTYTSNTFVPSNDDIDLVKIALTLTSHRPHVAGDTAVVVLEYYDRVERNLKVATYISGNSTIRFLNNFNGLSYHVQGNIGADPDTAKVQGVFANAEEEVVSLNRPLYPDFVPANDNVDTVVINVTATSTGDPRGDSATIILIYRDTSERDLAKGLTLIGTTESLNFVRQPDGNEIAYTISDGERDTAQLNLLVAGVRMAESIELSYMLQPFRPPSQNNPPLVEIYVTCISNRREAPPANDAAQVTLAYTRGVIMEVGPAITILGTTKNIIFDGQAGVLIEYTIEGNSGEDADVATLQIVSDGPRMDTYVYVDYSIEPFTPTNDNADMVQINVIVPESEQVGDFATISFTYTQQEERDVPQLLTLYRTIGTQTVTFVSTYDNLFLHLEPDKTDEIDKDLAVIRLPSTLNLSDSITAGVPASELIYGQYSPMTFYPSQNNEDLIEIHLGAFAPLPHGNDQEAKIVLDFKQHFDRNVPELLSLEATRPHMHFFRPYDEPTGVLISYLIGPRDSPPQINRDQDIAQIPPTVIIPNLEEPTRLEPIAAQYTLPRCDRTNPVLVCPLFMPGLDDGDLVDVTLTTTTTRRENLNQARDNAQIIVFYRTKDEYNLRKRLVINGTLMLPIEFTDNSTPDDPNYQIVYEIQGNPGDDIDTAGNDGSFGTFITTGVPTLENVTAYYSEHKFTPSNDNRDRVVIRVEHYIITGVKKNICQVQVVISHINMPPDRDLVRGTSNLFLMQKVPDMLVWYDVNDKLSLEITPDPGGATGKILMNDVLITGNRSEEDIAVITAPPGGRALKCDDDYQGLCIEFLSGLIIGSALCTWLYFQRFM
+>sp|Q9UN72|PCDA7_HUMAN Protocadherin alpha-7 OS=Homo sapiens OX=9606 GN=PCDHA7 PE=2 SV=1
+MVCPNGYDPGGRHLLLFIIILAAWEAGRGQLHYSVPEEAKHGNFVGRIAQDLGLELAELVPRLFRAVCKFRGDLLEVNLQNGILFVNSRIDREELCGRSAECSIHLEVIVERPLQVFHVDVEVKDINDNPPVFPATQRNLFIAESRPLDSRFPLEGASDADIGENALLTYRLSPNEYFFLDVPTSNQQVKPLGLVLRKLLDREETPELHLLLTATDGGKPELTGTVQLLITVLDNNDNAPVFDRTLYTVKLPENVSIGTLVIHPNASDLDEGLNGDIIYSFSSDVSPDIKSKFHMDPLSGAITVIGHMDFEESRAHKIPVEAVDKGFPPLAGHCTVLVEVVDVNDNAPQLTLTSLSLPIPEDAQPGTVITLISVFDRDFGVNGQVTCSLTPRVPFKLVSTFKNYYSLVLDSALDRESVSAYELVVTARDGGSPSLWATASVSVEVADVNDNAPAFAQPEYTVFVKENNPPGCHIFTVSAGDADAQKNALVSYSLVELRVGERALSSYVSVHAESGKVYALQPLDHEELELLQFQVSARDAGVPPLGSNVTLQVFVLDENDNAPALLAPRVGGTGGAVRELVPRSVGAGHVVAKVRAVDADSGYNAWLSYELQPVAAGASIPFRVGLYTGEISTTRALDETDAPRHRLLVLVKDHGEPSLTATATVLVSLVESGQAPKASSRASLGIAGPETELVDVNVYLIIAICAVSSLLVLTLLLYTALRCSAPSSEGACSLVKPTLVCSSAVGSWSFSQQRRQRVCSGEGPPKTDLMAFSPSLPQGPSSTDNPRQPNPDWRYSASLRAGMHSSVHLEEAGILRAGPGGPDQQWPTVSSATPEPEAGEVSPPVGAGVNSNSWTFKYGPGNPKQSGPGELPDKFIIPGSPAIISIRQEPTNSQIDKSDFITFGKKEETKKKKKKKKGNKTQEKKEKGNSTTDNSDQ
+>DECOY_sp|Q9UN72|PCDA7_HUMAN Protocadherin alpha-7 OS=Homo sapiens OX=9606 GN=PCDHA7 PE=2 SV=1
+QDSNDTTSNGKEKKEQTKNGKKKKKKKKTEEKKGFTIFDSKDIQSNTPEQRISIIAPSGPIIFKDPLEGPGSQKPNGPGYKFTWSNSNVGAGVPPSVEGAEPEPTASSVTPWQQDPGGPGARLIGAEELHVSSHMGARLSASYRWDPNPQRPNDTSSPGQPLSPSFAMLDTKPPGEGSCVRQRRQQSFSWSGVASSCVLTPKVLSCAGESSPASCRLATYLLLTLVLLSSVACIAIILYVNVDVLETEPGAIGLSARSSAKPAQGSEVLSVLVTATATLSPEGHDKVLVLLRHRPADTEDLARTTSIEGTYLGVRFPISAGAAVPQLEYSLWANYGSDADVARVKAVVHGAGVSRPVLERVAGGTGGVRPALLAPANDNEDLVFVQLTVNSGLPPVGADRASVQFQLLELEEHDLPQLAYVKGSEAHVSVYSSLAREGVRLEVLSYSVLANKQADADGASVTFIHCGPPNNEKVFVTYEPQAFAPANDNVDAVEVSVSATAWLSPSGGDRATVVLEYASVSERDLASDLVLSYYNKFTSVLKFPVRPTLSCTVQGNVGFDRDFVSILTIVTGPQADEPIPLSLSTLTLQPANDNVDVVEVLVTCHGALPPFGKDVAEVPIKHARSEEFDMHGIVTIAGSLPDMHFKSKIDPSVDSSFSYIIDGNLGEDLDSANPHIVLTGISVNEPLKVTYLTRDFVPANDNNDLVTILLQVTGTLEPKGGDTATLLLHLEPTEERDLLKRLVLGLPKVQQNSTPVDLFFYENPSLRYTLLANEGIDADSAGELPFRSDLPRSEAIFLNRQTAPFVPPNDNIDKVEVDVHFVQLPREVIVELHISCEASRGCLEERDIRSNVFLIGNQLNVELLDGRFKCVARFLRPVLEALELGLDQAIRGVFNGHKAEEPVSYHLQGRGAEWAALIIIFLLLHRGGPDYGNPCVM
+>sp|Q9Y5I2|PCDAA_HUMAN Protocadherin alpha-10 OS=Homo sapiens OX=9606 GN=PCDHA10 PE=2 SV=1
+MVSRCSCLGVQCLLLSLLLLAAWEVGSGQLHYSVYEEARHGTFVGRIAQDLGLELAELVQRLFRVASKRHGDLLEVNLQNGILFVNSRIDREELCGRSVECSIHLEVIVDRPLQVFHVDVEVKDINDNPPRFSVTEQKLSIPESRLLDSRFPLEGASDADVGENALLTYKLSPNEYFVLDIINKKDKDKFPVLVLRKLLDREENPQLKLLLTATDGGKPEFTGSVSLLILVLDANDNAPIFDRPVYEVKMYENQVNQTLVIRLNASDSDEGINKEMMYSFSSLVPPTIRRKFWINERTGEIKVNDAIDFEDSNTYEIHVDVTDKGNPPMVGHCTVLVELLDENDNSPEVIVTSLSLPVKEDAQVGTVIALISVSDHDSGANGQVTCSLTPHVPFKLVSTYKNYYSLVLDSALDRERVSAYELVVTARDGGSPPLWATASVSVEVADVNDNAPAFAQSEYTVFVKENNPPGCHIFTVSAWDADAQENALVSYSLVERRLGERSLSSYVSVHAESGKVYALQPLDHEELELLQFQVSARDGGVPPLGSNLTLQVFVLDENDNAPALLASPAGSAGGAVSELVLRSVVAGHVVAKVRAVDADSGYNAWLSYELQSAAVGARIPFRVGLYTGEISTTRALDETDSPRQRLLVLVKDHGEPSLTATATVLVSLVEGSQAPKASSRASVGVAPEVALVDVNVYLIIAICAVSSLLVLTLLLYTALRCSAAPTEGACGPVKPTLVCSSAVGSWSYSQQRRQRVCSGEGLPKADLMAFSPSLPPCPMVDVDGEDQSIGGDHSRKPRQPNPDWRYSASLRAGMHSSVHLEEAGILRAGPGGPDQQWPTVSSATPEPEAGEVSPPVGAGVNSNSWTFKYGPGNPKQSGPGELPDKFIIPGSPAIISIRQEPTNSQIDKSDFITFGKKEETKKKKKKKKGNKTQEKKEKGNSTTDNSDQ
+>DECOY_sp|Q9Y5I2|PCDAA_HUMAN Protocadherin alpha-10 OS=Homo sapiens OX=9606 GN=PCDHA10 PE=2 SV=1
+QDSNDTTSNGKEKKEQTKNGKKKKKKKKTEEKKGFTIFDSKDIQSNTPEQRISIIAPSGPIIFKDPLEGPGSQKPNGPGYKFTWSNSNVGAGVPPSVEGAEPEPTASSVTPWQQDPGGPGARLIGAEELHVSSHMGARLSASYRWDPNPQRPKRSHDGGISQDEGDVDVMPCPPLSPSFAMLDAKPLGEGSCVRQRRQQSYSWSGVASSCVLTPKVPGCAGETPAASCRLATYLLLTLVLLSSVACIAIILYVNVDVLAVEPAVGVSARSSAKPAQSGEVLSVLVTATATLSPEGHDKVLVLLRQRPSDTEDLARTTSIEGTYLGVRFPIRAGVAASQLEYSLWANYGSDADVARVKAVVHGAVVSRLVLESVAGGASGAPSALLAPANDNEDLVFVQLTLNSGLPPVGGDRASVQFQLLELEEHDLPQLAYVKGSEAHVSVYSSLSREGLRREVLSYSVLANEQADADWASVTFIHCGPPNNEKVFVTYESQAFAPANDNVDAVEVSVSATAWLPPSGGDRATVVLEYASVRERDLASDLVLSYYNKYTSVLKFPVHPTLSCTVQGNAGSDHDSVSILAIVTGVQADEKVPLSLSTVIVEPSNDNEDLLEVLVTCHGVMPPNGKDTVDVHIEYTNSDEFDIADNVKIEGTRENIWFKRRITPPVLSSFSYMMEKNIGEDSDSANLRIVLTQNVQNEYMKVEYVPRDFIPANDNADLVLILLSVSGTFEPKGGDTATLLLKLQPNEERDLLKRLVLVPFKDKDKKNIIDLVFYENPSLKYTLLANEGVDADSAGELPFRSDLLRSEPISLKQETVSFRPPNDNIDKVEVDVHFVQLPRDVIVELHISCEVSRGCLEERDIRSNVFLIGNQLNVELLDGHRKSAVRFLRQVLEALELGLDQAIRGVFTGHRAEEYVSYHLQGSGVEWAALLLLSLLLCQVGLCSCRSVM
+>sp|Q9UN75|PCDAC_HUMAN Protocadherin alpha-12 OS=Homo sapiens OX=9606 GN=PCDHA12 PE=2 SV=1
+MVIIGPRGPGSQRLLLSLLLLAAWEVGSGQLHYSVYEEAKHGTFVGRIAQDLGLELAELVPRLFRVASKRHGDLLEVNLQNGILFVNSRIDREKLCGRSAECSIHLEVIVDRPLQVFHVDVEVKDINDNPPVFREREQKVPVSESAPLDSHFPLEGASDADIGVNSLLTYALSLNENFELKIKTKKDKSILPELVLRKLLDREQTPKLNLLLMVIDGGKPELTGSVQIQITVLDVNDNGPAFDKPSYKVVLSENVQNDTRVIQLNASDPDEGLNGEISYGIKMILPVSEKCMFSINPDTGEIRIYGELDFEENNAYEIQVNAIDKGIPSMAGHSMVLVEVLDVNDNVPEVMVTSLSLPVQEDAQVGTVIALISVSDRDSGANGQVICSLTPHVPFKLVSTYKNYYSLVLDSALDRESVSAYELVVTARDGGSPSLWATARVSVEVADVNDNAPAFAQPEYTVFVKENNPPGCHIFTVSAWDADAQKNALVSYSLVERRVGEHALSSYVSVHAESGKVYALQPLDHEELELLQFQVSARDAGVPPLGSNVTLQVFVLDENDNAPALLATPAGSAGGAVSELVPRSVGAGHVVAKVRAVDADSGYNAWLSYELQPAAVGAHIPFHVGLYTGEISTTRILDEADAPRHRLLVLVKDHGEPALTSTATVLVSLVENGQAPKTSSRASVGAVDPEAALVDINVYLIIAICAVSSLLVLTLLLYTALRCSAPPTVSRCAPGKPTLVCSSAVGSWSYSQQRRQRVCSAESPPKTDLMAFSPSLQLSREDCLNPPSEPRQPNPDWRYSASLRAGMHSSVHLEEAGILRAGPGGPDQQWPTVSSATPEPEAGEVSPPVGAGVNSNSWTFKYGPGNPKQSGPGELPDKFIIPGSPAIISIRQEPTNSQIDKSDFITFGKKEETKKKKKKKKGNKTQEKKEKGNSTTDNSDQ
+>DECOY_sp|Q9UN75|PCDAC_HUMAN Protocadherin alpha-12 OS=Homo sapiens OX=9606 GN=PCDHA12 PE=2 SV=1
+QDSNDTTSNGKEKKEQTKNGKKKKKKKKTEEKKGFTIFDSKDIQSNTPEQRISIIAPSGPIIFKDPLEGPGSQKPNGPGYKFTWSNSNVGAGVPPSVEGAEPEPTASSVTPWQQDPGGPGARLIGAEELHVSSHMGARLSASYRWDPNPQRPESPPNLCDERSLQLSPSFAMLDTKPPSEASCVRQRRQQSYSWSGVASSCVLTPKGPACRSVTPPASCRLATYLLLTLVLLSSVACIAIILYVNIDVLAAEPDVAGVSARSSTKPAQGNEVLSVLVTATSTLAPEGHDKVLVLLRHRPADAEDLIRTTSIEGTYLGVHFPIHAGVAAPQLEYSLWANYGSDADVARVKAVVHGAGVSRPVLESVAGGASGAPTALLAPANDNEDLVFVQLTVNSGLPPVGADRASVQFQLLELEEHDLPQLAYVKGSEAHVSVYSSLAHEGVRREVLSYSVLANKQADADWASVTFIHCGPPNNEKVFVTYEPQAFAPANDNVDAVEVSVRATAWLSPSGGDRATVVLEYASVSERDLASDLVLSYYNKYTSVLKFPVHPTLSCIVQGNAGSDRDSVSILAIVTGVQADEQVPLSLSTVMVEPVNDNVDLVEVLVMSHGAMSPIGKDIANVQIEYANNEEFDLEGYIRIEGTDPNISFMCKESVPLIMKIGYSIEGNLGEDPDSANLQIVRTDNQVNESLVVKYSPKDFAPGNDNVDLVTIQIQVSGTLEPKGGDIVMLLLNLKPTQERDLLKRLVLEPLISKDKKTKIKLEFNENLSLAYTLLSNVGIDADSAGELPFHSDLPASESVPVKQERERFVPPNDNIDKVEVDVHFVQLPRDVIVELHISCEASRGCLKERDIRSNVFLIGNQLNVELLDGHRKSAVRFLRPVLEALELGLDQAIRGVFTGHKAEEYVSYHLQGSGVEWAALLLLSLLLRQSGPGRPGIIVM
+>sp|Q9Y5F3|PCDB1_HUMAN Protocadherin beta-1 OS=Homo sapiens OX=9606 GN=PCDHB1 PE=2 SV=2
+MAGTRRKSLQNRQVGSLLIFLCISVGDATTIRYSVAEEMESGSFVANVAKDLGLEVGKLAARGARLVSEGNKMHFRLHRKTGDLFVKEKLDRESLCGKADPCVLHFEVVLVEPLQSFRAEVRVFDINDNAPVFLNKEPLLKIPESTPLGSRFPLQSAQDLDVGLNGLQNYTLSANGYFHLHTRFCSHGPKYAELVLNKPLDREEQPEVNLTITAVDGGSPPKSGTAHIHVVVLDVNDHVPQFSRLVYRAQVSENSPNGSLVATVTAVDLDEGTNKAITYSLAQNPEAILKTFQIDPQNGEVRLRGPLDFEAIETYDIDIQATDGGGLSAHSKVLVEVVDVNDNPPEVMVSSVSSPLPEDSPPQTVVALFTIRDRDIRVGGKVTCFLREDLPFVIKPTFGNSYSLVTDRSLDREEVSGYNITIVAMDTGPPSLSAETMIEVLISDVNDNPPIFREDSYILTVRENNSPAVFIGKVHAEDLDLGENAQITYSLLPPKNGDLSVFAYISINSGNGKLYALRTMDYEAIQDFQFVVKATDGGFLSLSSQVTVRVVVLDDNDNRPMILYPLQNGTLPCNDLVPRSAEAGYLVTKVVAVDGDSGQNSWLSYHLLKATDLGLFSVQRQNGEIHTLRQISERDPMMQKLIILVQDHGQPALSTTVSLNILLVDGFSEPYLQFQDPTKHSRKVNPSTKYLVISLVILSFLFLLSVIVIFIIHVYQKIKYREKFTIQEHFYDDCNFSNNLVQGQGNGSLSRPCPYEMCSATGTGNSEFRFLKRFMPNFPFPHATGEIKMEAGSSLPPNSDRNKSQRLEGHDQVSDDYM
+>DECOY_sp|Q9Y5F3|PCDB1_HUMAN Protocadherin beta-1 OS=Homo sapiens OX=9606 GN=PCDHB1 PE=2 SV=2
+MYDDSVQDHGELRQSKNRDSNPPLSSGAEMKIEGTAHPFPFNPMFRKLFRFESNGTGTASCMEYPCPRSLSGNGQGQVLNNSFNCDDYFHEQITFKERYKIKQYVHIIFIVIVSLLFLFSLIVLSIVLYKTSPNVKRSHKTPDQFQLYPESFGDVLLINLSVTTSLAPQGHDQVLIILKQMMPDRESIQRLTHIEGNQRQVSFLGLDTAKLLHYSLWSNQGSDGDVAVVKTVLYGAEASRPVLDNCPLTGNQLPYLIMPRNDNDDLVVVRVTVQSSLSLFGGDTAKVVFQFDQIAEYDMTRLAYLKGNGSNISIYAFVSLDGNKPPLLSYTIQANEGLDLDEAHVKGIFVAPSNNERVTLIYSDERFIPPNDNVDSILVEIMTEASLSPPGTDMAVITINYGSVEERDLSRDTVLSYSNGFTPKIVFPLDERLFCTVKGGVRIDRDRITFLAVVTQPPSDEPLPSSVSSVMVEPPNDNVDVVEVLVKSHASLGGGDTAQIDIDYTEIAEFDLPGRLRVEGNQPDIQFTKLIAEPNQALSYTIAKNTGEDLDVATVTAVLSGNPSNESVQARYVLRSFQPVHDNVDLVVVHIHATGSKPPSGGDVATITLNVEPQEERDLPKNLVLEAYKPGHSCFRTHLHFYGNASLTYNQLGNLGVDLDQASQLPFRSGLPTSEPIKLLPEKNLFVPANDNIDFVRVEARFSQLPEVLVVEFHLVCPDAKGCLSERDLKEKVFLDGTKRHLRFHMKNGESVLRAGRAALKGVELGLDKAVNAVFSGSEMEEAVSYRITTADGVSICLFILLSGVQRNQLSKRRTGAM
+>sp|Q9Y5E6|PCDB3_HUMAN Protocadherin beta-3 OS=Homo sapiens OX=9606 GN=PCDHB3 PE=2 SV=1
+MEAGGERFLRQRQVLLLFVFLGGSLAGSESRRYSVAEEKEKGFLIANLAKDLGLRVEELAARGAQVVSKGNKQHFQLSHQTGDLLLNEKLDREELCGPTEPCILHFQILLQNPLQFVTNELRIIDVNDHSPVFFENEMHLKILESTLPGTVIPLGNAEDLDVGRNSLQNYTITPNSHFHVLTRSRRDGRKYPELVLDKALDREEQPELSLTLTALDGGSPPRSGTAQINIQVLDINDNAPEFAQPLYEVAVLENTPVNSVIVTVSASDLDTGSFGTISYAFFHASEEIRKTFQLNPITGDMQLVKYLNFEAINSYEVDIEAKDGGGLSGKSTVIVQVVDVNDNPPELTLSSVNSPIPENSGETVLAVFSVSDLDSGDNGRVMCSIENNLPFFLKPSVENFYTLVSEGALDRETRSEYNITITITDLGTPRLKTKYNITVLVSDVNDNAPAFTQISYTLFVRENNSPALHIGSVSATDRDSGTNAQVTYSLLPPQDPHLPLSSLVSINADNGHLFALRSLDYEALQAFEFRVGATDRGSPALSSEALVRVLVLDANDNSPFVLYPLQNGSAPCTELVPRAAEPGYLVTKVVAVDGDSGQNAWLSYQLLKATEPGLFGVWAHNGEVRTARLLSERDAAKHRLVVLVKDNGEPPRSATATLHVLLVDGFSQPYLPLPEAAPAQAQADLLTVYLVVALASVSSLFLFSVLLFVAVRLCRRSRAASVGRCSVPEGPFPGQMVDVSGTGTLSQSYQYEVCLTGGSGTNEFKFLKPIIPNFVAQGAERVSEANPSFRKSFEFS
+>DECOY_sp|Q9Y5E6|PCDB3_HUMAN Protocadherin beta-3 OS=Homo sapiens OX=9606 GN=PCDHB3 PE=2 SV=1
+SFEFSKRFSPNAESVREAGQAVFNPIIPKLFKFENTGSGGTLCVEYQYSQSLTGTGSVDVMQGPFPGEPVSCRGVSAARSRRCLRVAVFLLVSFLFLSSVSALAVVLYVTLLDAQAQAPAAEPLPLYPQSFGDVLLVHLTATASRPPEGNDKVLVVLRHKAADRESLLRATRVEGNHAWVGFLGPETAKLLQYSLWANQGSDGDVAVVKTVLYGPEAARPVLETCPASGNQLPYLVFPSNDNADLVLVRVLAESSLAPSGRDTAGVRFEFAQLAEYDLSRLAFLHGNDANISVLSSLPLHPDQPPLLSYTVQANTGSDRDTASVSGIHLAPSNNERVFLTYSIQTFAPANDNVDSVLVTINYKTKLRPTGLDTITITINYESRTERDLAGESVLTYFNEVSPKLFFPLNNEISCMVRGNDGSDLDSVSFVALVTEGSNEPIPSNVSSLTLEPPNDNVDVVQVIVTSKGSLGGGDKAEIDVEYSNIAEFNLYKVLQMDGTIPNLQFTKRIEESAHFFAYSITGFSGTDLDSASVTVIVSNVPTNELVAVEYLPQAFEPANDNIDLVQINIQATGSRPPSGGDLATLTLSLEPQEERDLAKDLVLEPYKRGDRRSRTLVHFHSNPTITYNQLSNRGVDLDEANGLPIVTGPLTSELIKLHMENEFFVPSHDNVDIIRLENTVFQLPNQLLIQFHLICPETPGCLEERDLKENLLLDGTQHSLQFHQKNGKSVVQAGRAALEEVRLGLDKALNAILFGKEKEEAVSYRRSESGALSGGLFVFLLLVQRQRLFREGGAEM
+>sp|Q9UN66|PCDB8_HUMAN Protocadherin beta-8 OS=Homo sapiens OX=9606 GN=PCDHB8 PE=2 SV=3
+MEASGKLICRQRQVLFSFLLLGLSLAGAAEPRSYSVVEETEGSSFVTNLAKDLGLEQREFSRRGVRVVSRGNKLHLQLNQETADLLLNEKLDREDLCGHTEPCVLRFQVLLESPFEFFQAELQVIDINDHSPVFLDKQMLVKVSESSPPGTAFPLKNAEDLDIGQNNIENYIISPNSYFRVLTRKRSDGRKYPELVLDKALDREEEAELRLTLTALDGGSPPRSGTAQVYIEVVDVNDNAPEFEQPFYRVQISEDSPISFLVVKVSATDVDTGVNGEISYSLFQASDEISKTFKVDFLTGEIRLKKQLDFEKFQSYEVNIEARDAGGFSGKCTVLIQVIDVNDHAPEVTMSAFTSPIPENAPETVVALFSVSDLDSGENGKISCSIQEDLPFLLKSSVGNFYTLLTETPLDRESRAEYNVTITVTDLGTPRLTTHLNMTVLVSDVNDNAPAFTQTSYTLFVRENNSPALHIGSVSATDRDSGTNAQVTYSLLPPQDPHLPLASLVSINTDNGHLFALRSLDYEALQAFEFRVGASDRGSPALSSEALVRVLVLDANDNSPFVLYPLQNGSAPCTELVPRAAEPGYLVTKVVAVDGDSGQNAWLSYQLLKATEPGLFGVWAHNGEVRTARLLSERDAAKQRLVVLVKDNGEPPCSATATLHLLLVDGFSQPYLPLPEAAPAQGQADSLTVYLVVALASVSSLFLFSVLLFVAVLLCRRSRAASVGRCSVPEGPFPGHLVDVRGTGSLSQNYQYEVCLAGGSGTNEFQFLKPVLPNIQGHSFGPEMEQNSNFRNGFGFSLQLK
+>DECOY_sp|Q9UN66|PCDB8_HUMAN Protocadherin beta-8 OS=Homo sapiens OX=9606 GN=PCDHB8 PE=2 SV=3
+KLQLSFGFGNRFNSNQEMEPGFSHGQINPLVPKLFQFENTGSGGALCVEYQYNQSLSGTGRVDVLHGPFPGEPVSCRGVSAARSRRCLLVAVFLLVSFLFLSSVSALAVVLYVTLSDAQGQAPAAEPLPLYPQSFGDVLLLHLTATASCPPEGNDKVLVVLRQKAADRESLLRATRVEGNHAWVGFLGPETAKLLQYSLWANQGSDGDVAVVKTVLYGPEAARPVLETCPASGNQLPYLVFPSNDNADLVLVRVLAESSLAPSGRDSAGVRFEFAQLAEYDLSRLAFLHGNDTNISVLSALPLHPDQPPLLSYTVQANTGSDRDTASVSGIHLAPSNNERVFLTYSTQTFAPANDNVDSVLVTMNLHTTLRPTGLDTVTITVNYEARSERDLPTETLLTYFNGVSSKLLFPLDEQISCSIKGNEGSDLDSVSFLAVVTEPANEPIPSTFASMTVEPAHDNVDIVQILVTCKGSFGGADRAEINVEYSQFKEFDLQKKLRIEGTLFDVKFTKSIEDSAQFLSYSIEGNVGTDVDTASVKVVLFSIPSDESIQVRYFPQEFEPANDNVDVVEIYVQATGSRPPSGGDLATLTLRLEAEEERDLAKDLVLEPYKRGDSRKRTLVRFYSNPSIIYNEINNQGIDLDEANKLPFATGPPSSESVKVLMQKDLFVPSHDNIDIVQLEAQFFEFPSELLVQFRLVCPETHGCLDERDLKENLLLDATEQNLQLHLKNGRSVVRVGRRSFERQELGLDKALNTVFSSGETEEVVSYSRPEAAGALSLGLLLFSFLVQRQRCILKGSAEM
+>sp|Q9Y5F2|PCDBB_HUMAN Protocadherin beta-11 OS=Homo sapiens OX=9606 GN=PCDHB11 PE=2 SV=1
+MENQGTRTQQIRQVLLLFVLLGMSQAGSETWSFSVAEEMQSGSFVGNLAKDLGLKVRELSSRGARVVSNDKKQRLQLDINTGDLLLSETLDREELCGSIEPCVLHLQVLMQNPTQFLQIELQVRDINDHSPIFSEKQMLLEIPENSPVGAVFLLESAKDLDVGINAVKSYTISPNSHFHIKMRVIPDNRKYPELVLDKALDYEELPELSFILSALDGGSPPRSGTALVRVVVVDINDNSPEFEQAFYEVKIRENSILGSLILIVSAWDLDSGTNGEICYTFSHASEDIRKTFEINQKSGEITLRAPLDFETIESYSIIIQATDGGGLFGKSTVIIHVIDVNDNAPEITVSSITSPIPENTPETVVMVFSIQDIDSGDNGRIVCSIPEDLPFVLKSSVENYYTLETERPLDRESTAEYNITITVTDLGIPRLKTEHNTTVLVSDVNDNAPTFTQTSYTLFVRENNSPALHIGSVSATDRDSGTNAQVNYSLLPPQDLHLPLASLVSINTDNGHLFALRSLDYEALQAFDFRVGATDRGSPALSSEALVRVLVLDANDNSPFVLYPLQNGSAPCTELVPRAAEPGYLVTKVVAVDGDSGQNAWLSYQLLKATEPGLFGVWAHNGEVRTARLLSERDAAKHRLVVLVKDNGEPPRSATATLQVLLVDGFSQPYLPLPEAAPAQAQADSLTVYLVVALASVSSLFLFSVLLFVAVRLCRRSRAASVGSCSVPKGPFPGHLVDVSGTGTLSQSYQYEVCLTGGSETNEFKFLKPVIPNIQAKGLGKNSEENSTFRNSFGFNF
+>DECOY_sp|Q9Y5F2|PCDBB_HUMAN Protocadherin beta-11 OS=Homo sapiens OX=9606 GN=PCDHB11 PE=2 SV=1
+FNFGFSNRFTSNEESNKGLGKAQINPIVPKLFKFENTESGGTLCVEYQYSQSLTGTGSVDVLHGPFPGKPVSCSGVSAARSRRCLRVAVFLLVSFLFLSSVSALAVVLYVTLSDAQAQAPAAEPLPLYPQSFGDVLLVQLTATASRPPEGNDKVLVVLRHKAADRESLLRATRVEGNHAWVGFLGPETAKLLQYSLWANQGSDGDVAVVKTVLYGPEAARPVLETCPASGNQLPYLVFPSNDNADLVLVRVLAESSLAPSGRDTAGVRFDFAQLAEYDLSRLAFLHGNDTNISVLSALPLHLDQPPLLSYNVQANTGSDRDTASVSGIHLAPSNNERVFLTYSTQTFTPANDNVDSVLVTTNHETKLRPIGLDTVTITINYEATSERDLPRETELTYYNEVSSKLVFPLDEPISCVIRGNDGSDIDQISFVMVVTEPTNEPIPSTISSVTIEPANDNVDIVHIIVTSKGFLGGGDTAQIIISYSEITEFDLPARLTIEGSKQNIEFTKRIDESAHSFTYCIEGNTGSDLDWASVILILSGLISNERIKVEYFAQEFEPSNDNIDVVVVRVLATGSRPPSGGDLASLIFSLEPLEEYDLAKDLVLEPYKRNDPIVRMKIHFHSNPSITYSKVANIGVDLDKASELLFVAGVPSNEPIELLMQKESFIPSHDNIDRVQLEIQLFQTPNQMLVQLHLVCPEISGCLEERDLTESLLLDGTNIDLQLRQKKDNSVVRAGRSSLERVKLGLDKALNGVFSGSQMEEAVSFSWTESGAQSMGLLVFLLLVQRIQQTRTGQNEM
+>sp|Q9Y5E9|PCDBE_HUMAN Protocadherin beta-14 OS=Homo sapiens OX=9606 GN=PCDHB14 PE=1 SV=1
+MEIRGALDLRKRQVLIFLVLLGLSRAGTESAHYSVAEETEIGSFVANLARDLGLGVEELSSREARVVSDDNKKYLHLDLLTGNLLLNEKLDRDELCGSTEPCVLHFQVVLENPLQFFRFELCVKDINDHSPTFLDKEILIKISEGTTVGATFLMESAQDLDVGSNSLQNYTISPNSHFYIKIPDSSDRKIYPELVLDRALDYEQEAELRLTLTAVDGGSPPKSGTTLVLIKVLDINDNAPEFPQSLYEVQVPEDRPLGSWIATISAKDLDAGNYGKISYTFFHASEDIRKTFEINPISGEVNLRSPLDFEVIQSYTINIQATDGGGLSGKCTLLVKVMDINDNPPEVTISSITKRIPENASETLVALFSILDQDSGDNGRMICSIQDNLPFFLKPTFKNFFTLVSEKALDRESQAEYNITITVTDLGTPRLKTEYNITVLLSDVNDNAPTFTQTSYTLFVRENNSPALHIGSVSATDRDSGTNAQVNYSLLPPQDRHLPLASLVSINADNGHLFALRSLDYEALQEFEFRVGATDRGSPALSSEALVRVLVLDANDNSPFVLYPLQNGSAPCTELVPRAAEPGYLVTKVVAVDGDSGQNAWLSYQLLKATEPGLFGVWAHNGEVRTARLLSERDAAKHRLVVLVKDNGEPPRSATATLHVLLVDGFSQPYLPLPEAAPAQAQADSLTVYLVVALASVSSLFLFSVLLFVAVRLCRRSRAASVGRCSVPEGPFPGHLVDVSGTGTLSQSYQYEVCLTGGSGTNEFKFLKPIIPNFQVHDTGRNMGEIENFRNSFGLNIQ
+>DECOY_sp|Q9Y5E9|PCDBE_HUMAN Protocadherin beta-14 OS=Homo sapiens OX=9606 GN=PCDHB14 PE=1 SV=1
+QINLGFSNRFNEIEGMNRGTDHVQFNPIIPKLFKFENTGSGGTLCVEYQYSQSLTGTGSVDVLHGPFPGEPVSCRGVSAARSRRCLRVAVFLLVSFLFLSSVSALAVVLYVTLSDAQAQAPAAEPLPLYPQSFGDVLLVHLTATASRPPEGNDKVLVVLRHKAADRESLLRATRVEGNHAWVGFLGPETAKLLQYSLWANQGSDGDVAVVKTVLYGPEAARPVLETCPASGNQLPYLVFPSNDNADLVLVRVLAESSLAPSGRDTAGVRFEFEQLAEYDLSRLAFLHGNDANISVLSALPLHRDQPPLLSYNVQANTGSDRDTASVSGIHLAPSNNERVFLTYSTQTFTPANDNVDSLLVTINYETKLRPTGLDTVTITINYEAQSERDLAKESVLTFFNKFTPKLFFPLNDQISCIMRGNDGSDQDLISFLAVLTESANEPIRKTISSITVEPPNDNIDMVKVLLTCKGSLGGGDTAQINITYSQIVEFDLPSRLNVEGSIPNIEFTKRIDESAHFFTYSIKGYNGADLDKASITAIWSGLPRDEPVQVEYLSQPFEPANDNIDLVKILVLTTGSKPPSGGDVATLTLRLEAEQEYDLARDLVLEPYIKRDSSDPIKIYFHSNPSITYNQLSNSGVDLDQASEMLFTAGVTTGESIKILIEKDLFTPSHDNIDKVCLEFRFFQLPNELVVQFHLVCPETSGCLEDRDLKENLLLNGTLLDLHLYKKNDDSVVRAERSSLEEVGLGLDRALNAVFSGIETEEAVSYHASETGARSLGLLVLFILVQRKRLDLAGRIEM
+>sp|Q96TA0|PCDBI_HUMAN Putative protocadherin beta-18 OS=Homo sapiens OX=9606 GN=PCDHB18P PE=5 SV=2
+MWKTWLQGGARVIFDDYKPYLRLDPQNGDLLLNEQLDREALCDLTEPCILHFQVLFENPLQFFRAELLVKDINDHTPTFLNNHMLLKISEGATLGTLFQIDSAQDLDVGKNGVQNYTISPNPHFHLKLRDSDEGRKYPELVLDQSLDREKVSEFSLTLTAVDGGSPPRSGTTLINVVVLDISDNAPEFEKPVYEVLVPESSPLDSLIIKASATDLDAGINGELSYSFSHVSRDVRKTFEIHPISGEVYLKAPLDFEIIQSYIINIQAIEGGSLSGKSSILVRVVDVNDNPPEIAMTSLTSPIPENSSPEMVVAVFSIRDQDAGDNGRTVCSIQDNLPFVLKPTFKNFYALVTEHPLDREVRNEYNITITVTDLGTPRLKTEHNITVLVSDVNDNAPIFTQTSYTLFVRENNSPALHIGSVSATDRDSGTNAQVTYSLLPPQDPHLPLTSLVSINADNGHLFALRSLDYEALQEFGFRVGAADHGSPALSSEVLVRVLVLDANDNSPFVLYPLQNGSAPCTELVPRAAEPGYLVTKVVAVDGDSGQNAWLSYQLLKATEPGLFGVWAHNGEGRTARLLSERDAAKHRLVVLVKDNGEPPRSATATLHVLLVEGFSQPYLPLTEAAPSQAQADSLTVYLVVALASVSSLFLFSVFLFVAVRLCRRSRAASMGRCSVPECPFPGHLVDVSGTGTLSQSYQYEVCLTGGSGANEFKFLKPVIPNLLSRDSEMEKAPPF
+>DECOY_sp|Q96TA0|PCDBI_HUMAN Putative protocadherin beta-18 OS=Homo sapiens OX=9606 GN=PCDHB18P PE=5 SV=2
+FPPAKEMESDRSLLNPIVPKLFKFENAGSGGTLCVEYQYSQSLTGTGSVDVLHGPFPCEPVSCRGMSAARSRRCLRVAVFLFVSFLFLSSVSALAVVLYVTLSDAQAQSPAAETLPLYPQSFGEVLLVHLTATASRPPEGNDKVLVVLRHKAADRESLLRATRGEGNHAWVGFLGPETAKLLQYSLWANQGSDGDVAVVKTVLYGPEAARPVLETCPASGNQLPYLVFPSNDNADLVLVRVLVESSLAPSGHDAAGVRFGFEQLAEYDLSRLAFLHGNDANISVLSTLPLHPDQPPLLSYTVQANTGSDRDTASVSGIHLAPSNNERVFLTYSTQTFIPANDNVDSVLVTINHETKLRPTGLDTVTITINYENRVERDLPHETVLAYFNKFTPKLVFPLNDQISCVTRGNDGADQDRISFVAVVMEPSSNEPIPSTLSTMAIEPPNDNVDVVRVLISSKGSLSGGEIAQINIIYSQIIEFDLPAKLYVEGSIPHIEFTKRVDRSVHSFSYSLEGNIGADLDTASAKIILSDLPSSEPVLVEYVPKEFEPANDSIDLVVVNILTTGSRPPSGGDVATLTLSFESVKERDLSQDLVLEPYKRGEDSDRLKLHFHPNPSITYNQVGNKGVDLDQASDIQFLTGLTAGESIKLLMHNNLFTPTHDNIDKVLLEARFFQLPNEFLVQFHLICPETLDCLAERDLQENLLLDGNQPDLRLYPKYDDFIVRAGGQLWTKWM
+>sp|Q9Y5G8|PCDG5_HUMAN Protocadherin gamma-A5 OS=Homo sapiens OX=9606 GN=PCDHGA5 PE=2 SV=1
+MASPPRGWGCGELLLPFMLLGTLCEPGSGQIRYSMPEELDKGSFVGNIAKDLGLEPQELAERGVRIVSRGRTQLFALNPRSGSLVTAGRIDREELCAQSPLCVVNFNILVENKMKIYGVEVEIIDINDNFPRFRDEELKVKVNENAAAGTRLVLPFARDADVGVNSLRSYQLSSNLHFSLDVVSGTDGQKYPELVLEQPLDREKETVHDLLLTALDGGDPVLSGTTHIRVTVLDANDNAPLFTPSEYSVSVPENIPVGTRLLMLTATDPDEGINGKLTYSFRNEEEKISETFQLDSNLGEISTLQSLDYEESRFYLMEVVAQDGGALVASAKVVVTVQDVNDNAPEVILTSLTSSISEDCLPGTVIALFSVHDGDSGENGEIACSIPRNLPFKLEKSVDNYYHLLTTRDLDREETSDYNITLTVMDHGTPPLSTESHIPLKVADVNDNPPNFPQASYSTSVTENNPRGVSIFSVTAHDPDSGDNARVTYSLAEDTFQGAPLSSYVSINSDTGVLYALRSFDYEQLRDLQLWVTASDSGNPPLSSNVSLSLFVLDQNDNTPEILYPALPTDGSTGVELAPRSAEPGYLVTKVVAVDKDSGQNAWLSYRLLKASEPGLFAVGLHTGEVRTARALLDRDALKQSLVVAVEDHGQPPLSATFTVTVAVADRIPDILADLGSIKTPIDPEDLDLTLYLVVAVAAVSCVFLAFVIVLLVLRLRRWHKSRLLQAEGSRLAGVPASHFVGVDGVRAFLQTYSHEVSLTADSRKSHLIFPQPNYADTLLSEESCEKSEPLLMSDKVDANKEERRVQQAPPNTDWRFSQAQRPGTSGSQNGDDTGTWPNNQFDTEMLQAMILASASEAADGSSTLGGGAGTMGLSARYGPQFTLQHVPDYRQNVYIPGSNATLTNAAGKRDGKAPAGGNGNKKKSGKKEKK
+>DECOY_sp|Q9Y5G8|PCDG5_HUMAN Protocadherin gamma-A5 OS=Homo sapiens OX=9606 GN=PCDHGA5 PE=2 SV=1
+KKEKKGSKKKNGNGGAPAKGDRKGAANTLTANSGPIYVNQRYDPVHQLTFQPGYRASLGMTGAGGGLTSSGDAAESASALIMAQLMETDFQNNPWTGTDDGNQSGSTGPRQAQSFRWDTNPPAQQVRREEKNADVKDSMLLPESKECSEESLLTDAYNPQPFILHSKRSDATLSVEHSYTQLFARVGDVGVFHSAPVGALRSGEAQLLRSKHWRRLRLVLLVIVFALFVCSVAAVAVVLYLTLDLDEPDIPTKISGLDALIDPIRDAVAVTVTFTASLPPQGHDEVAVVLSQKLADRDLLARATRVEGTHLGVAFLGPESAKLLRYSLWANQGSDKDVAVVKTVLYGPEASRPALEVGTSGDTPLAPYLIEPTNDNQDLVFLSLSVNSSLPPNGSDSATVWLQLDRLQEYDFSRLAYLVGTDSNISVYSSLPAGQFTDEALSYTVRANDGSDPDHATVSFISVGRPNNETVSTSYSAQPFNPPNDNVDAVKLPIHSETSLPPTGHDMVTLTINYDSTEERDLDRTTLLHYYNDVSKELKFPLNRPISCAIEGNEGSDGDHVSFLAIVTGPLCDESISSTLSTLIVEPANDNVDQVTVVVKASAVLAGGDQAVVEMLYFRSEEYDLSQLTSIEGLNSDLQFTESIKEEENRFSYTLKGNIGEDPDTATLMLLRTGVPINEPVSVSYESPTFLPANDNADLVTVRIHTTGSLVPDGGDLATLLLDHVTEKERDLPQELVLEPYKQGDTGSVVDLSFHLNSSLQYSRLSNVGVDADRAFPLVLRTGAAANENVKVKLEEDRFRPFNDNIDIIEVEVGYIKMKNEVLINFNVVCLPSQACLEERDIRGATVLSGSRPNLAFLQTRGRSVIRVGREALEQPELGLDKAINGVFSGKDLEEPMSYRIQGSGPECLTGLLMFPLLLEGCGWGRPPSAM
+>sp|Q9Y5G6|PCDG7_HUMAN Protocadherin gamma-A7 OS=Homo sapiens OX=9606 GN=PCDHGA7 PE=2 SV=1
+MAAQPRGGDYRGFFLLSILLGTPWEAWAGRILYSVSEETDKGSFVGDIAKDLGLEPRELAERGVRIISRGRTQLFALNQRSGSLVTAGRIDREEICAQSARCLVNFNILMEDKMNLYPIDVEIIDINDNVPRFLTEEINVKIMENTAPGVRFPLSEAGDPDVGTNSLQSYQLSPNRHFSLAVQSGDDETKYPELVLERVLDREEERVHHLVLTASDGGDPPRSSTAHIQVTVVDVNDHTPVFSLPQYQVTVPENVPVGTRLLTVHAIDLDEGVNGEVTYSFRKITPKLPKMFHLNSLTGEISTLEGLDYEETAFYEMEVQAQDGPGSLTKAKVLITVLDVNDNAPEVTMTSLSSSIPEDTPLGTVIALFYLQDRDSGKNGEVTCTIPENLPFKLEKSIDNYYRLVTTKNLDRETLSLYNITLKATDGGTPPLSRETHIFMQVADTNDNPPTFPHSSYSVYIAENNPRGASIFLVTAQDHDSEDNAQITYSLAEDTIQGAPVSSYVSINSDTGVLYALQSFDYEQLRELQLRVTAHDSGDPPLSSNMSLSLFVLDQNDNPPEILYPALPTDGSTGMELAPRSAEPGYLVTKVVAVDKDSGQNAWLSYLLLKASEPGLFAVGLYTGEVRTARALLDRDALKQSLVVAVQDHGQPPLSATVTLTVAVADSIPEVLADLGSLEPSDGPYNYDLTLYLVVAVATVSCVFLAFVLVLLALRLRRWHKSRLLQASEGGLANVPTSHFVGMDGVQAFLQTYSHEVSLTADSRKSHLIFPQPNYVDMLISQESCEKNDSLLTSVDFQECKENLPSIQQAPPNTDWRFSQAQRPGTSGSQNGDDTGTWPNNQFDTEMLQAMILASASEAADGSSTLGGGAGTMGLSARYGPQFTLQHVPDYRQNVYIPGSNATLTNAAGKRDGKAPAGGNGNKKKSGKKEKK
+>DECOY_sp|Q9Y5G6|PCDG7_HUMAN Protocadherin gamma-A7 OS=Homo sapiens OX=9606 GN=PCDHGA7 PE=2 SV=1
+KKEKKGSKKKNGNGGAPAKGDRKGAANTLTANSGPIYVNQRYDPVHQLTFQPGYRASLGMTGAGGGLTSSGDAAESASALIMAQLMETDFQNNPWTGTDDGNQSGSTGPRQAQSFRWDTNPPAQQISPLNEKCEQFDVSTLLSDNKECSEQSILMDVYNPQPFILHSKRSDATLSVEHSYTQLFAQVGDMGVFHSTPVNALGGESAQLLRSKHWRRLRLALLVLVFALFVCSVTAVAVVLYLTLDYNYPGDSPELSGLDALVEPISDAVAVTLTVTASLPPQGHDQVAVVLSQKLADRDLLARATRVEGTYLGVAFLGPESAKLLLYSLWANQGSDKDVAVVKTVLYGPEASRPALEMGTSGDTPLAPYLIEPPNDNQDLVFLSLSMNSSLPPDGSDHATVRLQLERLQEYDFSQLAYLVGTDSNISVYSSVPAGQITDEALSYTIQANDESDHDQATVLFISAGRPNNEAIYVSYSSHPFTPPNDNTDAVQMFIHTERSLPPTGGDTAKLTINYLSLTERDLNKTTVLRYYNDISKELKFPLNEPITCTVEGNKGSDRDQLYFLAIVTGLPTDEPISSSLSTMTVEPANDNVDLVTILVKAKTLSGPGDQAQVEMEYFATEEYDLGELTSIEGTLSNLHFMKPLKPTIKRFSYTVEGNVGEDLDIAHVTLLRTGVPVNEPVTVQYQPLSFVPTHDNVDVVTVQIHATSSRPPDGGDSATLVLHHVREEERDLVRELVLEPYKTEDDGSQVALSFHRNPSLQYSQLSNTGVDPDGAESLPFRVGPATNEMIKVNIEETLFRPVNDNIDIIEVDIPYLNMKDEMLINFNVLCRASQACIEERDIRGATVLSGSRQNLAFLQTRGRSIIRVGREALERPELGLDKAIDGVFSGKDTEESVSYLIRGAWAEWPTGLLISLLFFGRYDGGRPQAAM
+>sp|Q9Y5G4|PCDG9_HUMAN Protocadherin gamma-A9 OS=Homo sapiens OX=9606 GN=PCDHGA9 PE=2 SV=1
+MAAPTKCQLRGRLVLLCSLLGMLWEARASQIRYSVPEETEKGYIVGNISKDLALEPRELAERRVRIVSRGRTQLFSLNPRSGTLVTAGRIDREELCAQSPRCLVNFKVLVEDRVKLYGIEIEVTDINDSAPKFQAESLEVKINEIAVPGARYPLPEAIDPDVGVNSLQSYQLSPNHHFSLNVQTGDNGAINPELVLERALDREEATAHHLVLTASDGGEPRRSSTVRIHVTVLDTNDNAPVFAQRIYRVKVLENVPPGTWLLTATASDLDEGINGKVAYKFWKINEKQSLLFQLNENTGEISTAKSLDYEECSFYEMEIQAEDGGGLKGWTKVLISVEDVNDNRPEVTITSLFSPVREDAPQGTVILLFNAHDRDSGKNGQVVCSIQENLSFTLENSEEDYYRLLTAQILDREKASEYNITVTATDRGTPPLSTEIHITLQVTDINDNPPAFSQASYSVYLPENNARGTSIFSVIAYDPDSNENSRVIYSLAEDTIQGSPLSTYVSINSDTGVLYALCSFDYEQFRDLQMQVTASDSGSPPLSSNVSLRLFVLDQNDNAPEILYPALPTDGSTGVELAPRSAEPGYLVTKVVAVDRDSGQNAWLSYRLFKASEPGLFSVGLHTGEVRTARALLDRDALKQSLVVAVQDHGQPPLSATVTLTVAIADSIPDILADLGSLQIPADLEASDLTLYLVVAVAVVSCVFLTFVITLLALRLRHWHSSHLLRATSDGLAGVPTSHFVGVDGVRAFLQTYSQEFSLTADSRKSHLIFPQPNYADTLISQQSCEKNEPLCVSVDSKFPIEDTPLVPQAPPNTDWRFSQAQRPGTSGSQNGDDTGTWPNNQFDTEMLQAMILASASEAADGSSTLGGGAGTMGLSARYGPQFTLQHVPDYRQNVYIPGSNATLTNAAGKRDGKAPAGGNGNKKKSGKKEKK
+>DECOY_sp|Q9Y5G4|PCDG9_HUMAN Protocadherin gamma-A9 OS=Homo sapiens OX=9606 GN=PCDHGA9 PE=2 SV=1
+KKEKKGSKKKNGNGGAPAKGDRKGAANTLTANSGPIYVNQRYDPVHQLTFQPGYRASLGMTGAGGGLTSSGDAAESASALIMAQLMETDFQNNPWTGTDDGNQSGSTGPRQAQSFRWDTNPPAQPVLPTDEIPFKSDVSVCLPENKECSQQSILTDAYNPQPFILHSKRSDATLSFEQSYTQLFARVGDVGVFHSTPVGALGDSTARLLHSSHWHRLRLALLTIVFTLFVCSVVAVAVVLYLTLDSAELDAPIQLSGLDALIDPISDAIAVTLTVTASLPPQGHDQVAVVLSQKLADRDLLARATRVEGTHLGVSFLGPESAKFLRYSLWANQGSDRDVAVVKTVLYGPEASRPALEVGTSGDTPLAPYLIEPANDNQDLVFLRLSVNSSLPPSGSDSATVQMQLDRFQEYDFSCLAYLVGTDSNISVYTSLPSGQITDEALSYIVRSNENSDPDYAIVSFISTGRANNEPLYVSYSAQSFAPPNDNIDTVQLTIHIETSLPPTGRDTATVTINYESAKERDLIQATLLRYYDEESNELTFSLNEQISCVVQGNKGSDRDHANFLLIVTGQPADERVPSFLSTITVEPRNDNVDEVSILVKTWGKLGGGDEAQIEMEYFSCEEYDLSKATSIEGTNENLQFLLSQKENIKWFKYAVKGNIGEDLDSATATLLWTGPPVNELVKVRYIRQAFVPANDNTDLVTVHIRVTSSRRPEGGDSATLVLHHATAEERDLARELVLEPNIAGNDGTQVNLSFHHNPSLQYSQLSNVGVDPDIAEPLPYRAGPVAIENIKVELSEAQFKPASDNIDTVEIEIGYLKVRDEVLVKFNVLCRPSQACLEERDIRGATVLTGSRPNLSFLQTRGRSVIRVRREALERPELALDKSINGVIYGKETEEPVSYRIQSARAEWLMGLLSCLLVLRGRLQCKTPAAM
+>sp|Q9Y5G3|PCDGD_HUMAN Protocadherin gamma-B1 OS=Homo sapiens OX=9606 GN=PCDHGB1 PE=2 SV=1
+MQRAREAEMMKSQVLFPFLLSLFCGAISQQIRYTIPEELANGSRVGKLAKDLGLSVRELPTRKLRVSAEDYFNVSLESGDLLVNGRIDREKICGRKLECALEFETVAENPMNVFHVVVVIQDINDNAPRFVAKGIDLEICESALPGVKFSLDSAQDADVEGNSLKLYTINPNQYFSLSTKESPDGSKYPVLLLEKPLDREHQSSHRLILTAMDGGDPPLSGTTHIWIRVTDANDNAPVFSQEVYRVSLQENVPWGTSVLRVMATDQDEGINAEITYAFLNSPISTSLFNLNPNTGDITTNGTLDFEETSRYVLSVEAKDGGVHTAHCNVQIEIVDENDNAPEVTFMSFSNQIPEDSDLGTVIALIKVRDKDSGQNGMVTCYTQEEVPFKLESTSKNYYKLVIAGALNREQTADYNVTIIATDKGKPALSSRTSITLHISDINDNAPVFHQASYVVHVSENNPPGASIAQVSASDPDLGPNGRVSYSILASDLEPRELLSYVSVSPQSGVVFAQRAFDHEQLRAFELTLQARDQGSPALSANVSLRVLVGDLNDNAPRVLYPALGPDGSALFDMVPRAAEPGYLVTKVVAVDADSGHNAWLSYHVLQASEPGLFSLGLRTGEVRTARALGDRDAARQRLLVAVRDGGQPPLSATATLHLIFADSLQEVLPDLSDRPEPSDPQTELQFYLVVALALISVLFLLAVILAIALRLRRSSSLDTEGCFQTGLCSKSGPGVPPNHSEGTLPYSYNLCIASHSAKTEFNSLNLTPEMAPPQDLLCDDPSMVVCASNEDHKIAYDPSLSSHQAPPNTDWRFSQAQRPGTSGSQNGDDTGTWPNNQFDTEMLQAMILASASEAADGSSTLGGGAGTMGLSARYGPQFTLQHVPDYRQNVYIPGSNATLTNAAGKRDGKAPAGGNGNKKKSGKKEKK
+>DECOY_sp|Q9Y5G3|PCDGD_HUMAN Protocadherin gamma-B1 OS=Homo sapiens OX=9606 GN=PCDHGB1 PE=2 SV=1
+KKEKKGSKKKNGNGGAPAKGDRKGAANTLTANSGPIYVNQRYDPVHQLTFQPGYRASLGMTGAGGGLTSSGDAAESASALIMAQLMETDFQNNPWTGTDDGNQSGSTGPRQAQSFRWDTNPPAQHSSLSPDYAIKHDENSACVVMSPDDCLLDQPPAMEPTLNLSNFETKASHSAICLNYSYPLTGESHNPPVGPGSKSCLGTQFCGETDLSSSRRLRLAIALIVALLFLVSILALAVVLYFQLETQPDSPEPRDSLDPLVEQLSDAFILHLTATASLPPQGGDRVAVLLRQRAADRDGLARATRVEGTRLGLSFLGPESAQLVHYSLWANHGSDADVAVVKTVLYGPEAARPVMDFLASGDPGLAPYLVRPANDNLDGVLVRLSVNASLAPSGQDRAQLTLEFARLQEHDFARQAFVVGSQPSVSVYSLLERPELDSALISYSVRGNPGLDPDSASVQAISAGPPNNESVHVVYSAQHFVPANDNIDSIHLTISTRSSLAPKGKDTAIITVNYDATQERNLAGAIVLKYYNKSTSELKFPVEEQTYCTVMGNQGSDKDRVKILAIVTGLDSDEPIQNSFSMFTVEPANDNEDVIEIQVNCHATHVGGDKAEVSLVYRSTEEFDLTGNTTIDGTNPNLNFLSTSIPSNLFAYTIEANIGEDQDTAMVRLVSTGWPVNEQLSVRYVEQSFVPANDNADTVRIWIHTTGSLPPDGGDMATLILRHSSQHERDLPKELLLVPYKSGDPSEKTSLSFYQNPNITYLKLSNGEVDADQASDLSFKVGPLASECIELDIGKAVFRPANDNIDQIVVVVHFVNMPNEAVTEFELACELKRGCIKERDIRGNVLLDGSELSVNFYDEASVRLKRTPLERVSLGLDKALKGVRSGNALEEPITYRIQQSIAGCFLSLLFPFLVQSKMMEAERARQM
+>sp|Q9Y5G2|PCDGE_HUMAN Protocadherin gamma-B2 OS=Homo sapiens OX=9606 GN=PCDHGB2 PE=2 SV=1
+MKASSGRCGLVRWLQVLLPFLLSLFPGALPVQIRYSIPEELAKNSVVGNLAKDLGLSVRDLPARKLRVSAEKEYFTVNPESGDLLVSDRIDREQICGKQPLCVLDFDTVAENPLNIFYIAVIVQDINDNTPLFKQTKINLKIGESTKPGTTFPLDPALDSDVGPNSLQRYHLNDNEYFDLAEKQTPDGRKYPELILKHSLDREEHSLHQLVLTAVDGGDPPQSGTTQIRIKVTDANDNPPVFSQDVYRVTLREDVPPGFFVLQVTATDRDEGINAEITYSFHNVDEQVKHFFNLNEKTGEITTKDDLDFEIASSYTLSIEAKDPGDLAAHCSIQVEILDDNDCAPEVIVTSVSTPLPEDSPPGTVIALIKTRDRDSGENGEVYCQVLGNAKFILKSSSKNYYKLVTDGALDREEIPEYNLTITATDGGKPPLSSSIIVTLHISDVNDNAPVFQQTSYMVHVAENNPPGASIAQISASDPDLGPSGQVSYSIVASDLKPREILSYVSVSAQSGVVFAQRAFDHEQLRAFELTLQARDQGSPALSANVSLRVLVGDLNDNAPRVLYPALGPDGSALFDMVPRAAEPGYLVTKVVAVDADSGHNAWLSYHVLQASEPGLFSLGLRTGEVRTARALGDRDAARQRLLVAVRDGGQPPLSATATLHLIFADSLQEVLPDLSDRREPSDPQAKLQFYLVVALALISVLFFLAVILAISLRLRLSSRSDAWDCFQPGLSSKPGPGVLPNYSEGTLPYSYNLCVASQSAKTEFNFLNITPELVPAQDLVCDNASWEQNTNHGAAGVPFASDTILKQAPPNTDWRFSQAQRPGTSGSQNGDDTGTWPNNQFDTEMLQAMILASASEAADGSSTLGGGAGTMGLSARYGPQFTLQHVPDYRQNVYIPGSNATLTNAAGKRDGKAPAGGNGNKKKSGKKEKK
+>DECOY_sp|Q9Y5G2|PCDGE_HUMAN Protocadherin gamma-B2 OS=Homo sapiens OX=9606 GN=PCDHGB2 PE=2 SV=1
+KKEKKGSKKKNGNGGAPAKGDRKGAANTLTANSGPIYVNQRYDPVHQLTFQPGYRASLGMTGAGGGLTSSGDAAESASALIMAQLMETDFQNNPWTGTDDGNQSGSTGPRQAQSFRWDTNPPAQKLITDSAFPVGAAGHNTNQEWSANDCVLDQAPVLEPTINLFNFETKASQSAVCLNYSYPLTGESYNPLVGPGPKSSLGPQFCDWADSRSSLRLRLSIALIVALFFLVSILALAVVLYFQLKAQPDSPERRDSLDPLVEQLSDAFILHLTATASLPPQGGDRVAVLLRQRAADRDGLARATRVEGTRLGLSFLGPESAQLVHYSLWANHGSDADVAVVKTVLYGPEAARPVMDFLASGDPGLAPYLVRPANDNLDGVLVRLSVNASLAPSGQDRAQLTLEFARLQEHDFARQAFVVGSQASVSVYSLIERPKLDSAVISYSVQGSPGLDPDSASIQAISAGPPNNEAVHVMYSTQQFVPANDNVDSIHLTVIISSSLPPKGGDTATITLNYEPIEERDLAGDTVLKYYNKSSSKLIFKANGLVQCYVEGNEGSDRDRTKILAIVTGPPSDEPLPTSVSTVIVEPACDNDDLIEVQISCHAALDGPDKAEISLTYSSAIEFDLDDKTTIEGTKENLNFFHKVQEDVNHFSYTIEANIGEDRDTATVQLVFFGPPVDERLTVRYVDQSFVPPNDNADTVKIRIQTTGSQPPDGGDVATLVLQHLSHEERDLSHKLILEPYKRGDPTQKEALDFYENDNLHYRQLSNPGVDSDLAPDLPFTTGPKTSEGIKLNIKTQKFLPTNDNIDQVIVAIYFINLPNEAVTDFDLVCLPQKGCIQERDIRDSVLLDGSEPNVTFYEKEASVRLKRAPLDRVSLGLDKALNGVVSNKALEEPISYRIQVPLAGPFLSLLFPLLVQLWRVLGCRGSSAKM
+>sp|Q9Y5G0|PCDGH_HUMAN Protocadherin gamma-B5 OS=Homo sapiens OX=9606 GN=PCDHGB5 PE=2 SV=1
+MGSGAGELGRAERLPVLFLFLLSLFCPALCEQIRYRIPEEMPKGSVVGNLATDLGFSVQELPTRKLRVSSEKPYFTVSAESGELLVSSRLDREEICGKKPACALEFEAVAENPLNFYHVNVEIEDINDHTPKFTQNSFELQISESAQPGTRFILEVAEDADIGLNSLQKYKLSLNPSFSLIIKEKQDGSKYPELALEKTLDREQQSYHRLVLTALDGGHPPLSGTTELRIQVTDANDNPPVFNRDVYRVSLRENVPPGTTVLQVSATDQDEGINSEITYSFYRTGQIFSLNSKSGEITTQKKLDFEETKEYSMVVEGRDGGGLVAQCTVEINIQDENDNSPEVTFHSLLEMILENAVPGTLIALIKIHDQDSGENGEVNCQLQGEVPFKIISSSKNSYKLVTDGTLDREQTPEYNVTITATDRGKPPLSSSISVILHIRDVNDNAPVFHQASYLVSVPENNPPGASIAQVCASDLDLGLNGQVSYSIMASDLEPLALASYVSMSAQSGVVFAQRAFDYEQLRTFELTLQARDQGSPALSANVSLRVLVGDRNDNAPRVLYPALGPDGSALFDMVPRAAEPGYLVTKVVAVDADSGHNAWLSYHVLQASEPGLFSLGLRTGEVRTARALGDRDAARQRLLVAVRDGGQPPLSATATLHLVFADSLQEVLPDITDRPVPSDPQAELQFYLVVALALISVLFLLAVILAVALRLRRSSSPAAWSCFQPGLCVKSGPVVPPNYSQGTLPYSYNLCVAHTGKTEFNFLKCSEQLSSGQDILCGDSSGALFPLCNSSESTSHPELQAPPNTDWRFSQAQRPGTSGSQNGDDTGTWPNNQFDTEMLQAMILASASEAADGSSTLGGGAGTMGLSARYGPQFTLQHVPDYRQNVYIPGSNATLTNAAGKRDGKAPAGGNGNKKKSGKKEKK
+>DECOY_sp|Q9Y5G0|PCDGH_HUMAN Protocadherin gamma-B5 OS=Homo sapiens OX=9606 GN=PCDHGB5 PE=2 SV=1
+KKEKKGSKKKNGNGGAPAKGDRKGAANTLTANSGPIYVNQRYDPVHQLTFQPGYRASLGMTGAGGGLTSSGDAAESASALIMAQLMETDFQNNPWTGTDDGNQSGSTGPRQAQSFRWDTNPPAQLEPHSTSESSNCLPFLAGSSDGCLIDQGSSLQESCKLFNFETKGTHAVCLNYSYPLTGQSYNPPVVPGSKVCLGPQFCSWAAPSSSRRLRLAVALIVALLFLVSILALAVVLYFQLEAQPDSPVPRDTIDPLVEQLSDAFVLHLTATASLPPQGGDRVAVLLRQRAADRDGLARATRVEGTRLGLSFLGPESAQLVHYSLWANHGSDADVAVVKTVLYGPEAARPVMDFLASGDPGLAPYLVRPANDNRDGVLVRLSVNASLAPSGQDRAQLTLEFTRLQEYDFARQAFVVGSQASMSVYSALALPELDSAMISYSVQGNLGLDLDSACVQAISAGPPNNEPVSVLYSAQHFVPANDNVDRIHLIVSISSSLPPKGRDTATITVNYEPTQERDLTGDTVLKYSNKSSSIIKFPVEGQLQCNVEGNEGSDQDHIKILAILTGPVANELIMELLSHFTVEPSNDNEDQINIEVTCQAVLGGGDRGEVVMSYEKTEEFDLKKQTTIEGSKSNLSFIQGTRYFSYTIESNIGEDQDTASVQLVTTGPPVNERLSVRYVDRNFVPPNDNADTVQIRLETTGSLPPHGGDLATLVLRHYSQQERDLTKELALEPYKSGDQKEKIILSFSPNLSLKYKQLSNLGIDADEAVELIFRTGPQASESIQLEFSNQTFKPTHDNIDEIEVNVHYFNLPNEAVAEFELACAPKKGCIEERDLRSSVLLEGSEASVTFYPKESSVRLKRTPLEQVSFGLDTALNGVVSGKPMEEPIRYRIQECLAPCFLSLLFLFLVPLREARGLEGAGSGM
+>sp|Q9Y5F9|PCDGI_HUMAN Protocadherin gamma-B6 OS=Homo sapiens OX=9606 GN=PCDHGB6 PE=2 SV=1
+MGGSCAQRRRAGPRQVLFPLLLPLFYPTLSEPIRYSIPEELAKGSVVGNLAKDLGLSVLDVSARKLRVSAEKLHFSVDAESGDLLVKNRIDREQICKERRRCELQLEAVVENPLNIFHVIVVIEDVNDHAPQFDKKEIHLEIFESASAGTRLSLDPATDPDININSIKDYKINSNPYFSLMVRVNSDGGKYPELSLEKLLDREEQRSHSLILTALDGGDPPRSATAHIEISVKDTNDNPPVFSRDEYRISLSENLPPGSPVLQVTATDQDEGVNAEINYYFRSTAQSTKHMFSLDEKTGMIKNNQSFDFEDVERYTMEVEAKDGGGLSTQCKVIIEILDENDNSPEIIITSLSDQILENSPPGMVVALFKTRDLDFGGNGEVRCNIETDIPFKIYSSSNNYYKLVTDGALDREQTPEYNVTIVATDRGKPPLSSSRSITLYVADINDNAPVFDQTSYVVHVAENNPPGASIAQVSASDPDLGLNGHISYSIVASDLEPLAVSSYVSVSAQSGVVFAQRAFDHEQLRAFALTLQARDHGSPTLSANVSLRVLVGDRNDNAPRVLYPALGPDGSAFFDMVPRSAEPGYLVTKVVAVDADSGHNAWLSYHVLQASEPGLFSLGLRTGEVRTARALGDRDAARQRLLVAVRDGGQPPLSATATLHLVFADNLQEILPDLSDRPVLSDPQAELQFYLVVALALISVLFLLAVILAIALRLRRSLSPATWDCFHPGLCVKSGPVVPPNYSEGTLPYSYNLCIAHTGTKEFNFLKCSVPLHSNEDMVCSVSPGALIPPHGGEDLTSHPETLTSQAPPNTDWRFSQAQRPGTSGSQNGDDTGTWPNNQFDTEMLQAMILASASEAADGSSTLGGGAGTMGLSARYGPQFTLQHVPDYRQNVYIPGSNATLTNAAGKRDGKAPAGGNGNKKKSGKKEKK
+>DECOY_sp|Q9Y5F9|PCDGI_HUMAN Protocadherin gamma-B6 OS=Homo sapiens OX=9606 GN=PCDHGB6 PE=2 SV=1
+KKEKKGSKKKNGNGGAPAKGDRKGAANTLTANSGPIYVNQRYDPVHQLTFQPGYRASLGMTGAGGGLTSSGDAAESASALIMAQLMETDFQNNPWTGTDDGNQSGSTGPRQAQSFRWDTNPPAQSTLTEPHSTLDEGGHPPILAGPSVSCVMDENSHLPVSCKLFNFEKTGTHAICLNYSYPLTGESYNPPVVPGSKVCLGPHFCDWTAPSLSRRLRLAIALIVALLFLVSILALAVVLYFQLEAQPDSLVPRDSLDPLIEQLNDAFVLHLTATASLPPQGGDRVAVLLRQRAADRDGLARATRVEGTRLGLSFLGPESAQLVHYSLWANHGSDADVAVVKTVLYGPEASRPVMDFFASGDPGLAPYLVRPANDNRDGVLVRLSVNASLTPSGHDRAQLTLAFARLQEHDFARQAFVVGSQASVSVYSSVALPELDSAVISYSIHGNLGLDPDSASVQAISAGPPNNEAVHVVYSTQDFVPANDNIDAVYLTISRSSSLPPKGRDTAVITVNYEPTQERDLAGDTVLKYYNNSSSYIKFPIDTEINCRVEGNGGFDLDRTKFLAVVMGPPSNELIQDSLSTIIIEPSNDNEDLIEIIVKCQTSLGGGDKAEVEMTYREVDEFDFSQNNKIMGTKEDLSFMHKTSQATSRFYYNIEANVGEDQDTATVQLVPSGPPLNESLSIRYEDRSFVPPNDNTDKVSIEIHATASRPPDGGDLATLILSHSRQEERDLLKELSLEPYKGGDSNVRVMLSFYPNSNIKYDKISNINIDPDTAPDLSLRTGASASEFIELHIEKKDFQPAHDNVDEIVVIVHFINLPNEVVAELQLECRRREKCIQERDIRNKVLLDGSEADVSFHLKEASVRLKRASVDLVSLGLDKALNGVVSGKALEEPISYRIPESLTPYFLPLLLPFLVQRPGARRRQACSGGM
+>sp|O60245|PCDH7_HUMAN Protocadherin-7 OS=Homo sapiens OX=9606 GN=PCDH7 PE=1 SV=2
+MLRMRTAGWARGWCLGCCLLLPLSLSLAAAKQLLRYRLAEEGPADVRIGNVASDLGIVTGSGEVTFSLESGSEYLKIDNLTGELSTSERRIDREKLPQCQMIFDENECFLDFEVSVIGPSQSWVDLFEGQVIVLDINDNTPTFPSPVLTLTVEENRPVGTLYLLPTATDRDFGRNGIERYELLQEPGGGGSGGESRRAGAADSAPYPGGGGNGASGGGSGGSKRRLDASEGGGGTNPGGRSSVFELQVADTPDGEKQPQLIVKGALDREQRDSYELTLRVRDGGDPPRSSQAILRVLITDVNDNSPRFEKSVYEADLAENSAPGTPILQLRAADLDVGVNGQIEYVFGAATESVRRLLRLDETSGWLSVLHRIDREEVNQLRFTVMARDRGQPPKTDKATVVLNIKDENDNVPSIEIRKIGRIPLKDGVANVAEDVLVDTPIALVQVSDRDQGENGVVTCTVVGDVPFQLKPASDTEGDQNKKKYFLHTSTPLDYEATREFNVVIVAVDSGSPSLSSNNSLIVKVGDTNDNPPMFGQSVVEVYFPENNIPGERVATVLATDADSGKNAEIAYSLDSSVMGIFAIDPDSGDILVNTVLDREQTDRYEFKVNAKDKGIPVLQGSTTVIVQVADKNDNDPKFMQDVFTFYVKENLQPNSPVGMVTVMDADKGRNAEMSLYIEENNNIFSIENDTGTIYSTMSFDREHQTTYTFRVKAVDGGDPPRSATATVSLFVMDENDNAPTVTLPKNISYTLLPPSSNVRTVVATVLATDSDDGINADLNYSIVGGNPFKLFEIDPTSGVVSLVGKLTQKHYGLHRLVVQVNDSGQPSQSTTTLVHVFVNESVSNATAIDSQIARSLHIPLTQDIAGDPSYEISKQRLSIVIGVVAGIMTVILIILIVVMARYCRSKNKNGYEAGKKDHEDFFTPQQHDKSKKPKKDKKNKKSKQPLYSSIVTVEASKPNGQRYDSVNEKLSDSPSMGRYRSVNGGPGSPDLARHYKSSSPLPTVQLHPQSPTAGKKHQAVQDLPPANTFVGAGDNISIGSDHCSEYSCQTNNKYSKQMRLHPYITVFG
+>DECOY_sp|O60245|PCDH7_HUMAN Protocadherin-7 OS=Homo sapiens OX=9606 GN=PCDH7 PE=1 SV=2
+GFVTIYPHLRMQKSYKNNTQCSYESCHDSGISINDGAGVFTNAPPLDQVAQHKKGATPSQPHLQVTPLPSSSKYHRALDPSGPGGNVSRYRGMSPSDSLKENVSDYRQGNPKSAEVTVISSYLPQKSKKNKKDKKPKKSKDHQQPTFFDEHDKKGAEYGNKNKSRCYRAMVVILIILIVTMIGAVVGIVISLRQKSIEYSPDGAIDQTLPIHLSRAIQSDIATANSVSENVFVHVLTTTSQSPQGSDNVQVVLRHLGYHKQTLKGVLSVVGSTPDIEFLKFPNGGVISYNLDANIGDDSDTALVTAVVTRVNSSPPLLTYSINKPLTVTPANDNEDMVFLSVTATASRPPDGGDVAKVRFTYTTQHERDFSMTSYITGTDNEISFINNNEEIYLSMEANRGKDADMVTVMGVPSNPQLNEKVYFTFVDQMFKPDNDNKDAVQVIVTTSGQLVPIGKDKANVKFEYRDTQERDLVTNVLIDGSDPDIAFIGMVSSDLSYAIEANKGSDADTALVTAVREGPINNEPFYVEVVSQGFMPPNDNTDGVKVILSNNSSLSPSGSDVAVIVVNFERTAEYDLPTSTHLFYKKKNQDGETDSAPKLQFPVDGVVTCTVVGNEGQDRDSVQVLAIPTDVLVDEAVNAVGDKLPIRGIKRIEISPVNDNEDKINLVVTAKDTKPPQGRDRAMVTFRLQNVEERDIRHLVSLWGSTEDLRLLRRVSETAAGFVYEIQGNVGVDLDAARLQLIPTGPASNEALDAEYVSKEFRPSNDNVDTILVRLIAQSSRPPDGGDRVRLTLEYSDRQERDLAGKVILQPQKEGDPTDAVQLEFVSSRGGPNTGGGGESADLRRKSGGSGGGSAGNGGGGPYPASDAAGARRSEGGSGGGGPEQLLEYREIGNRGFDRDTATPLLYLTGVPRNEEVTLTLVPSPFTPTNDNIDLVIVQGEFLDVWSQSPGIVSVEFDLFCENEDFIMQCQPLKERDIRRESTSLEGTLNDIKLYESGSELSFTVEGSGTVIGLDSAVNGIRVDAPGEEALRYRLLQKAAALSLSLPLLLCCGLCWGRAWGATRMRLM
+>sp|Q9HC56|PCDH9_HUMAN Protocadherin-9 OS=Homo sapiens OX=9606 GN=PCDH9 PE=1 SV=2
+MDLRDFYLLAALIACLRLDSAIAQELIYTIREELPENVPIGNIPKDLNISHINAATGTSASLVYRLVSKAGDAPLVKVSSSTGEIFTTSNRIDREKLCAGASYAEENECFFELEVVILPNDFFRLIKIKIIVKDTNDNAPMFPSPVINISIPENTLINSRFPIPSATDPDTGFNGVQHYELLNGQSVFGLDIVETPEGEKWPQLIVQQNLDREQKDTYVMKIKVEDGGTPQKSSTAILQVTVSDVNDNRPVFKEGQVEVHIPENAPVGTSVIQLHATDADIGSNAEIRYIFGAQVAPATKRLFALNNTTGLITVQRSLDREETAIHKVTVLASDGSSTPARATVTINVTDVNDNPPNIDLRYIISPINGTVYLSEKDPVNTKIALITVSDKDTDVNGKVICFIEREVPFHLKAVYDNQYLLETSSLLDYEGTKEFSFKIVASDSGKPSLNQTALVRVKLEDENDNPPIFNQPVIELSVSENNRRGLYLTTISATDEDSGKNADIVYQLGPNASFFDLDRKTGVLTASRVFDREEQERFIFTVTARDNGTPPLQSQAAVIVTVLDENDNSPKFTHNHFQFFVSENLPKYSTVGVITVTDADAGENKAVTLSILNDNDNFVLDPYSGVIKSNVSFDREQQSSYTFDVKATDGGQPPRSSTAKVTINVMDVNDNSPVVISPPSNTSFKLVPLSAIPGSVVAEVFAVDVDTGMNAELKYTIVSGNNKGLFRIDPVTGNITLEEKPAPTDVGLHRLVVNISDLGYPKSLHTLVLVFLYVNDTAGNASYIYDLIRRTMETPLDRNIGDSSQPYQNEDYLTIMIAIIAGAMVVIVVIFVTVLVRCRHASRFKAAQRSKQGAEWMSPNQENKQNKKKKRKKRKSPKSSLLNFVTIEESKPDDAVHEPINGTISLPAELEEQSIGRFDWGPAPPTTFKPNSPDLAKHYKSASPQPAFHLKPDTPVSVKKHHVIQELPLDNTFVGGCDTLSKRSSTSSDHFSASECSSQGGFKTKGPLHTRQCNSHSKSDNIPVTPQKCPSSTGFHIQENEESHYESQRRVTFHLPDGSQESCSDSGLGDHEPVGSGTLISHPLPLVQPQDEFYDQASPDKRTEADGNSDPNSDGPLGPRGLAEATEMCTQECLVLGHSDNCWMPPGLGPYQHPKSPLSTFAPQKEWVKKDKLVNGHTLTRAWKEDSNRNQFNDRKQYGSNEGHFNNGSHMTDIPLANLKSYKQAGGATESPKEHQL
+>DECOY_sp|Q9HC56|PCDH9_HUMAN Protocadherin-9 OS=Homo sapiens OX=9606 GN=PCDH9 PE=1 SV=2
+LQHEKPSETAGGAQKYSKLNALPIDTMHSGNNFHGENSGYQKRDNFQNRNSDEKWARTLTHGNVLKDKKVWEKQPAFTSLPSKPHQYPGLGPPMWCNDSHGLVLCEQTCMETAEALGRPGLPGDSNPDSNGDAETRKDPSAQDYFEDQPQVLPLPHSILTGSGVPEHDGLGSDSCSEQSGDPLHFTVRRQSEYHSEENEQIHFGTSSPCKQPTVPINDSKSHSNCQRTHLPGKTKFGGQSSCESASFHDSSTSSRKSLTDCGGVFTNDLPLEQIVHHKKVSVPTDPKLHFAPQPSASKYHKALDPSNPKFTTPPAPGWDFRGISQEELEAPLSITGNIPEHVADDPKSEEITVFNLLSSKPSKRKKRKKKKNQKNEQNPSMWEAGQKSRQAAKFRSAHRCRVLVTVFIVVIVVMAGAIIAIMITLYDENQYPQSSDGINRDLPTEMTRRILDYIYSANGATDNVYLFVLVLTHLSKPYGLDSINVVLRHLGVDTPAPKEELTINGTVPDIRFLGKNNGSVITYKLEANMGTDVDVAFVEAVVSGPIASLPVLKFSTNSPPSIVVPSNDNVDMVNITVKATSSRPPQGGDTAKVDFTYSSQQERDFSVNSKIVGSYPDLVFNDNDNLISLTVAKNEGADADTVTIVGVTSYKPLNESVFFQFHNHTFKPSNDNEDLVTVIVAAQSQLPPTGNDRATVTFIFREQEERDFVRSATLVGTKRDLDFFSANPGLQYVIDANKGSDEDTASITTLYLGRRNNESVSLEIVPQNFIPPNDNEDELKVRVLATQNLSPKGSDSAVIKFSFEKTGEYDLLSSTELLYQNDYVAKLHFPVEREIFCIVKGNVDTDKDSVTILAIKTNVPDKESLYVTGNIPSIIYRLDINPPNDNVDTVNITVTARAPTSSGDSALVTVKHIATEERDLSRQVTILGTTNNLAFLRKTAPAVQAGFIYRIEANSGIDADTAHLQIVSTGVPANEPIHVEVQGEKFVPRNDNVDSVTVQLIATSSKQPTGGDEVKIKMVYTDKQERDLNQQVILQPWKEGEPTEVIDLGFVSQGNLLEYHQVGNFGTDPDTASPIPFRSNILTNEPISINIVPSPFMPANDNTDKVIIKIKILRFFDNPLIVVELEFFCENEEAYSAGACLKERDIRNSTTFIEGTSSSVKVLPADGAKSVLRYVLSASTGTAANIHSINLDKPINGIPVNEPLEERITYILEQAIASDLRLCAILAALLYFDRLDM
+>sp|Q5JVF3|PCID2_HUMAN PCI domain-containing protein 2 OS=Homo sapiens OX=9606 GN=PCID2 PE=1 SV=2
+MAHITINQYLQQVYEAIDSRDGASCAELVSFKHPHVANPRLQMASPEEKCQQVLEPPYDEMFAAHLRCTYAVGNHDFIEAYKCQTVIVQSFLRAFQAHKEENWALPVMYAVALDLRVFANNADQQLVKKGKSKVGDMLEKAAELLMSCFRVCASDTRAGIEDSKKWGMLFLVNQLFKIYFKINKLHLCKPLIRAIDSSNLKDDYSTAQRVTYKYYVGRKAMFDSDFKQAEEYLSFAFEHCHRSSQKNKRMILIYLLPVKMLLGHMPTVELLKKYHLMQFAEVTRAVSEGNLLLLHEALAKHEAFFIRCGIFLILEKLKIITYRNLFKKVYLLLKTHQLSLDAFLVALKFMQVEDVDIDEVQCILANLIYMGHVKGYISHQHQKLVVSKQNPFPPLSTVC
+>DECOY_sp|Q5JVF3|PCID2_HUMAN PCI domain-containing protein 2 OS=Homo sapiens OX=9606 GN=PCID2 PE=1 SV=2
+CVTSLPPFPNQKSVVLKQHQHSIYGKVHGMYILNALICQVEDIDVDEVQMFKLAVLFADLSLQHTKLLLYVKKFLNRYTIIKLKELILFIGCRIFFAEHKALAEHLLLLNGESVARTVEAFQMLHYKKLLEVTPMHGLLMKVPLLYILIMRKNKQSSRHCHEFAFSLYEEAQKFDSDFMAKRGVYYKYTVRQATSYDDKLNSSDIARILPKCLHLKNIKFYIKFLQNVLFLMGWKKSDEIGARTDSACVRFCSMLLEAAKELMDGVKSKGKKVLQQDANNAFVRLDLAVAYMVPLAWNEEKHAQFARLFSQVIVTQCKYAEIFDHNGVAYTCRLHAAFMEDYPPELVQQCKEEPSAMQLRPNAVHPHKFSVLEACSAGDRSDIAEYVQQLYQNITIHAM
+>sp|P35558|PCKGC_HUMAN Phosphoenolpyruvate carboxykinase, cytosolic [GTP] OS=Homo sapiens OX=9606 GN=PCK1 PE=1 SV=3
+MPPQLQNGLNLSAKVVQGSLDSLPQAVREFLENNAELCQPDHIHICDGSEEENGRLLGQMEEEGILRRLKKYDNCWLALTDPRDVARIESKTVIVTQEQRDTVPIPKTGLSQLGRWMSEEDFEKAFNARFPGCMKGRTMYVIPFSMGPLGSPLSKIGIELTDSPYVVASMRIMTRMGTPVLEAVGDGEFVKCLHSVGCPLPLQKPLVNNWPCNPELTLIAHLPDRREIISFGSGYGGNSLLGKKCFALRMASRLAKEEGWLAEHMLILGITNPEGEKKYLAAAFPSACGKTNLAMMNPSLPGWKVECVGDDIAWMKFDAQGHLRAINPENGFFGVAPGTSVKTNPNAIKTIQKNTIFTNVAETSDGGVYWEGIDEPLASGVTITSWKNKEWSSEDGEPCAHPNSRFCTPASQCPIIDAAWESPEGVPIEGIIFGGRRPAGVPLVYEALSWQHGVFVGAAMRSEATAAAEHKGKIIMHDPFAMRPFFGYNFGKYLAHWLSMAQHPAAKLPKIFHVNWFRKDKEGKFLWPGFGENSRVLEWMFNRIDGKASTKLTPIGYIPKEDALNLKGLGHINMMELFSISKEFWEKEVEDIEKYLEDQVNADLPCEIEREILALKQRISQM
+>DECOY_sp|P35558|PCKGC_HUMAN Phosphoenolpyruvate carboxykinase, cytosolic [GTP] OS=Homo sapiens OX=9606 GN=PCK1 PE=1 SV=3
+MQSIRQKLALIEREIECPLDANVQDELYKEIDEVEKEWFEKSISFLEMMNIHGLGKLNLADEKPIYGIPTLKTSAKGDIRNFMWELVRSNEGFGPWLFKGEKDKRFWNVHFIKPLKAAPHQAMSLWHALYKGFNYGFFPRMAFPDHMIIKGKHEAAATAESRMAAGVFVGHQWSLAEYVLPVGAPRRGGFIIGEIPVGEPSEWAADIIPCQSAPTCFRSNPHACPEGDESSWEKNKWSTITVGSALPEDIGEWYVGGDSTEAVNTFITNKQITKIANPNTKVSTGPAVGFFGNEPNIARLHGQADFKMWAIDDGVCEVKWGPLSPNMMALNTKGCASPFAAALYKKEGEPNTIGLILMHEALWGEEKALRSAMRLAFCKKGLLSNGGYGSGFSIIERRDPLHAILTLEPNCPWNNVLPKQLPLPCGVSHLCKVFEGDGVAELVPTGMRTMIRMSAVVYPSDTLEIGIKSLPSGLPGMSFPIVYMTRGKMCGPFRANFAKEFDEESMWRGLQSLGTKPIPVTDRQEQTVIVTKSEIRAVDRPDTLALWCNDYKKLRRLIGEEEMQGLLRGNEEESGDCIHIHDPQCLEANNELFERVAQPLSDLSGQVVKASLNLGNQLQPPM
+>sp|Q16822|PCKGM_HUMAN Phosphoenolpyruvate carboxykinase [GTP], mitochondrial OS=Homo sapiens OX=9606 GN=PCK2 PE=1 SV=4
+MAALYRPGLRLNWHGLSPLGWPSCRSIQTLRVLSGDLGQLPTGIRDFVEHSARLCQPEGIHICDGTEAENTATLTLLEQQGLIRKLPKYNNCWLARTDPKDVARVESKTVIVTPSQRDTVPLPPGGARGQLGNWMSPADFQRAVDERFPGCMQGRTMYVLPFSMGPVGSPLSRIGVQLTDSAYVVASMRIMTRLGTPVLQALGDGDFVKCLHSVGQPLTGQGEPVSQWPCNPEKTLIGHVPDQREIISFGSGYGGNSLLGKKCFALRIASRLARDEGWLAEHMLILGITSPAGKKRYVAAAFPSACGKTNLAMMRPALPGWKVECVGDDIAWMRFDSEGRLRAINPENGFFGVAPGTSATTNPNAMATIQSNTIFTNVAETSDGGVYWEGIDQPLPPGVTVTSWLGKPWKPGDKEPCAHPNSRFCAPARQCPIMDPAWEAPEGVPIDAIIFGGRRPKGVPLVYEAFNWRHGVFVGSAMRSESTAAAEHKGKIIMHDPFAMRPFFGYNFGHYLEHWLSMEGRKGAQLPRIFHVNWFRRDEAGHFLWPGFGENARVLDWICRRLEGEDSARETPIGLVPKEGALDLSGLRAIDTTQLFSLPKDFWEQEVRDIRSYLTEQVNQDLPKEVLAELEALERRVHKM
+>DECOY_sp|Q16822|PCKGM_HUMAN Phosphoenolpyruvate carboxykinase [GTP], mitochondrial OS=Homo sapiens OX=9606 GN=PCK2 PE=1 SV=4
+MKHVRRELAELEALVEKPLDQNVQETLYSRIDRVEQEWFDKPLSFLQTTDIARLGSLDLAGEKPVLGIPTERASDEGELRRCIWDLVRANEGFGPWLFHGAEDRRFWNVHFIRPLQAGKRGEMSLWHELYHGFNYGFFPRMAFPDHMIIKGKHEAAATSESRMASGVFVGHRWNFAEYVLPVGKPRRGGFIIADIPVGEPAEWAPDMIPCQRAPACFRSNPHACPEKDGPKWPKGLWSTVTVGPPLPQDIGEWYVGGDSTEAVNTFITNSQITAMANPNTTASTGPAVGFFGNEPNIARLRGESDFRMWAIDDGVCEVKWGPLAPRMMALNTKGCASPFAAAVYRKKGAPSTIGLILMHEALWGEDRALRSAIRLAFCKKGLLSNGGYGSGFSIIERQDPVHGILTKEPNCPWQSVPEGQGTLPQGVSHLCKVFDGDGLAQLVPTGLRTMIRMSAVVYASDTLQVGIRSLPSGVPGMSFPLVYMTRGQMCGPFREDVARQFDAPSMWNGLQGRAGGPPLPVTDRQSPTVIVTKSEVRAVDKPDTRALWCNNYKPLKRILGQQELLTLTATNEAETGDCIHIGEPQCLRASHEVFDRIGTPLQGLDGSLVRLTQISRCSPWGLPSLGHWNLRLGPRYLAAM
+>sp|Q9NV79|PCMD2_HUMAN Protein-L-isoaspartate O-methyltransferase domain-containing protein 2 OS=Homo sapiens OX=9606 GN=PCMTD2 PE=2 SV=2
+MGGAVSAGEDNDELIDNLKEAQYIRTELVEQAFRAIDRADYYLEEFKENAYKDLAWKHGNIHLSAPCIYSEVMEALDLQPGLSFLNLGSGTGYLSSMVGLILGPFGVNHGVELHSDVIEYAKQKLDFFIRTSDSFDKFDFCEPSFVTGNCLEISPDCSQYDRVYCGAGVQKEHEEYMKNLLKVGGILVMPLEEKLTKITRTGPSAWETKKILAVSFAPLIQPCHSESGKSRLVQLPPVAVRSLQDLARIAIRGTIKKIIHQETVSKNGNGLKNTPRFKRRRVRRRRMETIVFLDKEVFASRISNPSDDNSCEDLEEERREEEEKTPPETKPDPPVNFLRQKVLSLPLPDPLKYYLLYYREK
+>DECOY_sp|Q9NV79|PCMD2_HUMAN Protein-L-isoaspartate O-methyltransferase domain-containing protein 2 OS=Homo sapiens OX=9606 GN=PCMTD2 PE=2 SV=2
+KERYYLLYYKLPDPLPLSLVKQRLFNVPPDPKTEPPTKEEEERREEELDECSNDDSPNSIRSAFVEKDLFVITEMRRRRVRRRKFRPTNKLGNGNKSVTEQHIIKKITGRIAIRALDQLSRVAVPPLQVLRSKGSESHCPQILPAFSVALIKKTEWASPGTRTIKTLKEELPMVLIGGVKLLNKMYEEHEKQVGAGCYVRDYQSCDPSIELCNGTVFSPECFDFKDFSDSTRIFFDLKQKAYEIVDSHLEVGHNVGFPGLILGVMSSLYGTGSGLNLFSLGPQLDLAEMVESYICPASLHINGHKWALDKYANEKFEELYYDARDIARFAQEVLETRIYQAEKLNDILEDNDEGASVAGGM
+>sp|Q13438|OS9_HUMAN Protein OS-9 OS=Homo sapiens OX=9606 GN=OS9 PE=1 SV=1
+MAAETLLSSLLGLLLLGLLLPASLTGGVGSLNLEELSEMRYGIEILPLPVMGGQSQSSDVVIVSSKYKQRYECRLPAGAIHFQREREEETPAYQGPGIPELLSPMRDAPCLLKTKDWWTYEFCYGRHIQQYHMEDSEIKGEVLYLGYYQSAFDWDDETAKASKQHRLKRYHSQTYGNGSKCDLNGRPREAEVRFLCDEGAGISGDYIDRVDEPLSCSYVLTIRTPRLCPHPLLRPPPSAAPQAILCHPSLQPEEYMAYVQRQADSKQYGDKIIEELQDLGPQVWSETKSGVAPQKMAGASPTKDDSKDSDFWKMLNEPEDQAPGGEEVPAEEQDPSPEAADSASGAPNDFQNNVQVKVIRSPADLIRFIEELKGGTKKGKPNIGQEQPVDDAAEVPQREPEKERGDPERQREMEEEEDEDEDEDEDEDERQLLGEFEKELEGILLPSDRDRLRSEVKAGMERELENIIQETEKELDPDGLKKESERDRAMLALTSTLNKLIKRLEEKQSPELVKKHKKKRVVPKKPPPSPQPTEEDPEHRVRVRVTKLRLGGPNQDLTVLEMKRENPQLKQIEGLVKELLEREGLTAAGKIEIKIVRPWAEGTEEGARWLTDEDTRNLKEIFFNILVPGAEEAQKERQRQKELESNYRRVWGSPGGEGTGDLDEFDF
+>DECOY_sp|Q13438|OS9_HUMAN Protein OS-9 OS=Homo sapiens OX=9606 GN=OS9 PE=1 SV=1
+FDFEDLDGTGEGGPSGWVRRYNSELEKQRQREKQAEEAGPVLINFFIEKLNRTDEDTLWRAGEETGEAWPRVIKIEIKGAATLGERELLEKVLGEIQKLQPNERKMELVTLDQNPGGLRLKTVRVRVRHEPDEETPQPSPPPKKPVVRKKKHKKVLEPSQKEELRKILKNLTSTLALMARDRESEKKLGDPDLEKETEQIINELEREMGAKVESRLRDRDSPLLIGELEKEFEGLLQREDEDEDEDEDEDEEEEMERQREPDGREKEPERQPVEAADDVPQEQGINPKGKKTGGKLEEIFRILDAPSRIVKVQVNNQFDNPAGSASDAAEPSPDQEEAPVEEGGPAQDEPENLMKWFDSDKSDDKTPSAGAMKQPAVGSKTESWVQPGLDQLEEIIKDGYQKSDAQRQVYAMYEEPQLSPHCLIAQPAASPPPRLLPHPCLRPTRITLVYSCSLPEDVRDIYDGSIGAGEDCLFRVEAERPRGNLDCKSGNGYTQSHYRKLRHQKSAKATEDDWDFASQYYGLYLVEGKIESDEMHYQQIHRGYCFEYTWWDKTKLLCPADRMPSLLEPIGPGQYAPTEEERERQFHIAGAPLRCEYRQKYKSSVIVVDSSQSQGGMVPLPLIEIGYRMESLEELNLSGVGGTLSAPLLLGLLLLGLLSSLLTEAAM
+>sp|Q92882|OSTF1_HUMAN Osteoclast-stimulating factor 1 OS=Homo sapiens OX=9606 GN=OSTF1 PE=1 SV=2
+MSKPPPKPVKPGQVKVFRALYTFEPRTPDELYFEEGDIIYITDMSDTNWWKGTSKGRTGLIPSNYVAEQAESIDNPLHEAAKRGNLSWLRECLDNRVGVNGLDKAGSTALYWACHGGHKDIVEMLFTQPNIELNQQNKLGDTALHAAAWKGYADIVQLLLAKGARTDLRNIEKKLAFDMATNAACASLLKKKQGTDAVRTLSNAEDYLDDEDSD
+>DECOY_sp|Q92882|OSTF1_HUMAN Osteoclast-stimulating factor 1 OS=Homo sapiens OX=9606 GN=OSTF1 PE=1 SV=2
+DSDEDDLYDEANSLTRVADTGQKKKLLSACAANTAMDFALKKEINRLDTRAGKALLLQVIDAYGKWAAAHLATDGLKNQQNLEINPQTFLMEVIDKHGGHCAWYLATSGAKDLGNVGVRNDLCERLWSLNGRKAAEHLPNDISEAQEAVYNSPILGTRGKSTGKWWNTDSMDTIYIIDGEEFYLEDPTRPEFTYLARFVKVQGPKVPKPPPKSM
+>sp|P10451|OSTP_HUMAN Osteopontin OS=Homo sapiens OX=9606 GN=SPP1 PE=1 SV=1
+MRIAVICFCLLGITCAIPVKQADSGSSEEKQLYNKYPDAVATWLNPDPSQKQNLLAPQNAVSSEETNDFKQETLPSKSNESHDHMDDMDDEDDDDHVDSQDSIDSNDSDDVDDTDDSHQSDESHHSDESDELVTDFPTDLPATEVFTPVVPTVDTYDGRGDSVVYGLRSKSKKFRRPDIQYPDATDEDITSHMESEELNGAYKAIPVAQDLNAPSDWDSRGKDSYETSQLDDQSAETHSHKQSRLYKRKANDESNEHSDVIDSQELSKVSREFHSHEFHSHEDMLVVDPKSKEEDKHLKFRISHELDSASSEVN
+>DECOY_sp|P10451|OSTP_HUMAN Osteopontin OS=Homo sapiens OX=9606 GN=SPP1 PE=1 SV=1
+NVESSASDLEHSIRFKLHKDEEKSKPDVVLMDEHSHFEHSHFERSVKSLEQSDIVDSHENSEDNAKRKYLRSQKHSHTEASQDDLQSTEYSDKGRSDWDSPANLDQAVPIAKYAGNLEESEMHSTIDEDTADPYQIDPRRFKKSKSRLGYVVSDGRGDYTDVTPVVPTFVETAPLDTPFDTVLEDSEDSHHSEDSQHSDDTDDVDDSDNSDISDQSDVHDDDDEDDMDDMHDHSENSKSPLTEQKFDNTEESSVANQPALLNQKQSPDPNLWTAVADPYKNYLQKEESSGSDAQKVPIACTIGLLCFCIVAIRM
+>sp|P00480|OTC_HUMAN Ornithine carbamoyltransferase, mitochondrial OS=Homo sapiens OX=9606 GN=OTC PE=1 SV=3
+MLFNLRILLNNAAFRNGHNFMVRNFRCGQPLQNKVQLKGRDLLTLKNFTGEEIKYMLWLSADLKFRIKQKGEYLPLLQGKSLGMIFEKRSTRTRLSTETGFALLGGHPCFLTTQDIHLGVNESLTDTARVLSSMADAVLARVYKQSDLDTLAKEASIPIINGLSDLYHPIQILADYLTLQEHYSSLKGLTLSWIGDGNNILHSIMMSAAKFGMHLQAATPKGYEPDASVTKLAEQYAKENGTKLLLTNDPLEAAHGGNVLITDTWISMGQEEEKKKRLQAFQGYQVTMKTAKVAASDWTFLHCLPRKPEEVDDEVFYSPRSLVFPEAENRKWTIMAVMVSLLTDYSPQLQKPKF
+>DECOY_sp|P00480|OTC_HUMAN Ornithine carbamoyltransferase, mitochondrial OS=Homo sapiens OX=9606 GN=OTC PE=1 SV=3
+FKPKQLQPSYDTLLSVMVAMITWKRNEAEPFVLSRPSYFVEDDVEEPKRPLCHLFTWDSAAVKATKMTVQYGQFAQLRKKKEEEQGMSIWTDTILVNGGHAAELPDNTLLLKTGNEKAYQEALKTVSADPEYGKPTAAQLHMGFKAASMMISHLINNGDGIWSLTLGKLSSYHEQLTLYDALIQIPHYLDSLGNIIPISAEKALTDLDSQKYVRALVADAMSSLVRATDTLSENVGLHIDQTTLFCPHGGLLAFGTETSLRTRTSRKEFIMGLSKGQLLPLYEGKQKIRFKLDASLWLMYKIEEGTFNKLTLLDRGKLQVKNQLPQGCRFNRVMFNHGNRFAANNLLIRLNFLM
+>sp|A6NHN0|OTOL1_HUMAN Otolin-1 OS=Homo sapiens OX=9606 GN=OTOL1 PE=3 SV=1
+MWMFSWLCAILIILAIAGMNTIAKTTPHTKFTKKSEEREMPKGLKPSSGPPPEEEETLFTEMAEMAEPITKPSALDSVFGTATLSPFENFTLDPADFFLNCCDCCSPVPGQKGEPGETGQPGPKGEAGNLGIPGPPGVVGPQGPRGYKGEKGLKGERGDQGVPGYPGKPGAQGEPGPKGDKGNIGLGGVKGQKGSKGDTCGNCTKGEKGDQGAMGSPGLHGGPGAKGEKGEMGEKGEMGDKGCCGDSGERGGKGQKGEGGMKGEKGSKGDSGMEGKSGRNGLPGAKGDPGIKGEKGELGPPGLLGPTGPKGDIGNKGVRGPTGKKGSRGFKGSKGELARVPRSAFSAGLSKPFPPPNIPIKFEKILYNDQGNYSPVTGKFNCSIPGTYVFSYHITVRGRPARISLVAQNKKQFKSRETLYGQEIDQASLLVILKLSAGDQVWLEVSKDWNGVYVSAEDDSIFTGFLLYPEETSGISP
+>DECOY_sp|A6NHN0|OTOL1_HUMAN Otolin-1 OS=Homo sapiens OX=9606 GN=OTOL1 PE=3 SV=1
+PSIGSTEEPYLLFGTFISDDEASVYVGNWDKSVELWVQDGASLKLIVLLSAQDIEQGYLTERSKFQKKNQAVLSIRAPRGRVTIHYSFVYTGPISCNFKGTVPSYNGQDNYLIKEFKIPINPPPFPKSLGASFASRPVRALEGKSGKFGRSGKKGTPGRVGKNGIDGKPGTPGLLGPPGLEGKEGKIGPDGKAGPLGNRGSKGEMGSDGKSGKEGKMGGEGKQGKGGREGSDGCCGKDGMEGKEGMEGKEGKAGPGGHLGPSGMAGQDGKEGKTCNGCTDGKSGKQGKVGGLGINGKDGKPGPEGQAGPKGPYGPVGQDGREGKLGKEGKYGRPGQPGVVGPPGPIGLNGAEGKPGPQGTEGPEGKQGPVPSCCDCCNLFFDAPDLTFNEFPSLTATGFVSDLASPKTIPEAMEAMETFLTEEEEPPPGSSPKLGKPMEREESKKTFKTHPTTKAITNMGAIALIILIACLWSFMWM
+>sp|Q7RTM1|OTOP1_HUMAN Proton channel OTOP1 OS=Homo sapiens OX=9606 GN=OTOP1 PE=2 SV=1
+MLEGLGSPASPRAAASASVAGSSGPAACSPPSSSAPRSPESPAPRRGGVRASVPQKLAEMLSSQYGLIVFVAGLLLLLAWAVHAAGVSKSDLLCFLTALMLLQLLWMLWYVGRSSAHRRLFRLKDTHAGAGWLRGSITLFAVITVILGCLKIGYFIGFSECLSATEGVFPVTHSVHTLLQVYFLWGHAKDIIQSFKTLERFGVIHSVFTNLLLWANGVLNESKHQLNEHKERLITLGFGNITTVLDDHTPQCNCTPPTLCTAISHGIYYLYPFNIEYQILASTMLYVLWKNIGRKVDSHQHQKMQFKSDGVMVGAVLGLTVLAATIAVVVVYLIHIGRSKTKSESALIMFYLYAITLLMLMGAAGLAGIRIYRIDEKSLDESKNPARKLDSDLLVGTASGSWLISWGSILAILCAEGHPRYTWYNLPYSILAIVEKYIQNLFIFESIHREPEKLSEDIQTLRVVTVCNGNTMPLASSCPKSGGVARDVAPQGKDMPPAANGNVCMRESHDKEEEKQEESSWGGSPSPVRLPRFLQGNAKRKVLRNIAAFLFLCNISLWIPPAFGCRPEYDNGLEEIVFGFEPWIIVVNLAMPFSIFYRMHAAASLFEVYCKI
+>DECOY_sp|Q7RTM1|OTOP1_HUMAN Proton channel OTOP1 OS=Homo sapiens OX=9606 GN=OTOP1 PE=2 SV=1
+IKCYVEFLSAAAHMRYFISFPMALNVVIIWPEFGFVIEELGNDYEPRCGFAPPIWLSINCLFLFAAINRLVKRKANGQLFRPLRVPSPSGGWSSEEQKEEEKDHSERMCVNGNAAPPMDKGQPAVDRAVGGSKPCSSALPMTNGNCVTVVRLTQIDESLKEPERHISEFIFLNQIYKEVIALISYPLNYWTYRPHGEACLIALISGWSILWSGSATGVLLDSDLKRAPNKSEDLSKEDIRYIRIGALGAAGMLMLLTIAYLYFMILASESKTKSRGIHILYVVVVAITAALVTLGLVAGVMVGDSKFQMKQHQHSDVKRGINKWLVYLMTSALIQYEINFPYLYYIGHSIATCLTPPTCNCQPTHDDLVTTINGFGLTILREKHENLQHKSENLVGNAWLLLNTFVSHIVGFRELTKFSQIIDKAHGWLFYVQLLTHVSHTVPFVGETASLCESFGIFYGIKLCGLIVTIVAFLTISGRLWGAGAHTDKLRFLRRHASSRGVYWLMWLLQLLMLATLFCLLDSKSVGAAHVAWALLLLLGAVFVILGYQSSLMEALKQPVSARVGGRRPAPSEPSRPASSSPPSCAAPGSSGAVSASAAARPSAPSGLGELM
+>sp|Q5VVQ6|OTU1_HUMAN Ubiquitin thioesterase OTU1 OS=Homo sapiens OX=9606 GN=YOD1 PE=1 SV=1
+MFGPAKGRHFGVHPAPGFPGGVSQQAAGTKAGPAGAWPVGSRTDTMWRLRCKAKDGTHVLQGLSSRTRVRELQGQIAAITGIAPGGQRILVGYPPECLDLSNGDTILEDLPIQSGDMLIIEEDQTRPRSSPAFTKRGASSYVRETLPVLTRTVVPADNSCLFTSVYYVVEGGVLNPACAPEMRRLIAQIVASDPDFYSEAILGKTNQEYCDWIKRDDTWGGAIEISILSKFYQCEICVVDTQTVRIDRFGEDAGYTKRVLLIYDGIHYDPLQRNFPDPDTPPLTIFSSNDDIVLVQALELADEARRRRQFTDVNRFTLRCMVCQKGLTGQAEAREHAKETGHTNFGEV
+>DECOY_sp|Q5VVQ6|OTU1_HUMAN Ubiquitin thioesterase OTU1 OS=Homo sapiens OX=9606 GN=YOD1 PE=1 SV=1
+VEGFNTHGTEKAHERAEAQGTLGKQCVMCRLTFRNVDTFQRRRRAEDALELAQVLVIDDNSSFITLPPTDPDPFNRQLPDYHIGDYILLVRKTYGADEGFRDIRVTQTDVVCIECQYFKSLISIEIAGGWTDDRKIWDCYEQNTKGLIAESYFDPDSAVIQAILRRMEPACAPNLVGGEVVYYVSTFLCSNDAPVVTRTLVPLTERVYSSAGRKTFAPSSRPRTQDEEIILMDGSQIPLDELITDGNSLDLCEPPYGVLIRQGGPAIGTIAAIQGQLERVRTRSSLGQLVHTGDKAKCRLRWMTDTRSGVPWAGAPGAKTGAAQQSVGGPFGPAPHVGFHRGKAPGFM
+>sp|Q96G74|OTUD5_HUMAN OTU domain-containing protein 5 OS=Homo sapiens OX=9606 GN=OTUD5 PE=1 SV=1
+MTILPKKKPPPPDADPANEPPPPGPMPPAPRRGGGVGVGGGGTGVGGGDRDRDSGVVGARPRASPPPQGPLPGPPGALHRWALAVPPGAVAGPRPQQASPPPCGGPGGPGGGPGDALGAAAAGVGAAGVVVGVGGAVGVGGCCSGPGHSKRRRQAPGVGAVGGGSPEREEVGAGYNSEDEYEAAAARIEAMDPATVEQQEHWFEKALRDKKGFIIKQMKEDGACLFRAVADQVYGDQDMHEVVRKHCMDYLMKNADYFSNYVTEDFTTYINRKRKNNCHGNHIEMQAMAEMYNRPVEVYQYSTGTSAVEPINTFHGIHQNEDEPIRVSYHRNIHYNSVVNPNKATIGVGLGLPSFKPGFAEQSLMKNAIKTSEESWIEQQMLEDKKRATDWEATNEAIEEQVARESYLQWLRDQEKQARQVRGPSQPRKASATCSSATAAASSGLEEWTSRSPRQRSSASSPEHPELHAELGMKPPSPGTVLALAKPPSPCAPGTSSQFSAGADRATSPLVSLYPALECRALIQQMSPSAFGLNDWDDDEILASVLAVSQQEYLDSMKKNKVHRDPPPDKS
+>DECOY_sp|Q96G74|OTUD5_HUMAN OTU domain-containing protein 5 OS=Homo sapiens OX=9606 GN=OTUD5 PE=1 SV=1
+SKDPPPDRHVKNKKMSDLYEQQSVALVSALIEDDDWDNLGFASPSMQQILARCELAPYLSVLPSTARDAGASFQSSTGPACPSPPKALALVTGPSPPKMGLEAHLEPHEPSSASSRQRPSRSTWEELGSSAAATASSCTASAKRPQSPGRVQRAQKEQDRLWQLYSERAVQEEIAENTAEWDTARKKDELMQQEIWSEESTKIANKMLSQEAFGPKFSPLGLGVGITAKNPNVVSNYHINRHYSVRIPEDENQHIGHFTNIPEVASTGTSYQYVEVPRNYMEAMAQMEIHNGHCNNKRKRNIYTTFDETVYNSFYDANKMLYDMCHKRVVEHMDQDGYVQDAVARFLCAGDEKMQKIIFGKKDRLAKEFWHEQQEVTAPDMAEIRAAAAEYEDESNYGAGVEEREPSGGGVAGVGPAQRRRKSHGPGSCCGGVGVAGGVGVVVGAAGVGAAAAGLADGPGGGPGGPGGCPPPSAQQPRPGAVAGPPVALAWRHLAGPPGPLPGQPPPSARPRAGVVGSDRDRDGGGVGTGGGGVGVGGGRRPAPPMPGPPPPENAPDADPPPPKKKPLITM
+>sp|Q96BN8|OTUL_HUMAN Ubiquitin thioesterase otulin OS=Homo sapiens OX=9606 GN=OTULIN PE=1 SV=3
+MSRGTMPQPEAWPGASCAETPAREAAATARDGGKAAASGQPRPEMQCPAEHEEDMYRAADEIEKEKELLIHERGASEPRLSVAPEMDIMDYCKKEWRGNTQKATCMKMGYEEVSQKFTSIRRVRGDNYCALRATLFQAMSQAVGLPPWLQDPELMLLPEKLISKYNWIKQWKLGLKFDGKNEDLVDKIKESLTLLRKKWAGLAEMRTAEARQIACDELFTNEAEEYSLYEAVKFLMLNRAIELYNDKEKGKEVPFFSVLLFARDTSNDPGQLLRNHLNQVGHTGGLEQVEMFLLAYAVRHTIQVYRLSKYNTEEFITVYPTDPPKDWPVVTLIAEDDRHYNIPVRVCEETSL
+>DECOY_sp|Q96BN8|OTUL_HUMAN Ubiquitin thioesterase otulin OS=Homo sapiens OX=9606 GN=OTULIN PE=1 SV=3
+LSTEECVRVPINYHRDDEAILTVVPWDKPPDTPYVTIFEETNYKSLRYVQITHRVAYALLFMEVQELGGTHGVQNLHNRLLQGPDNSTDRAFLLVSFFPVEKGKEKDNYLEIARNLMLFKVAEYLSYEEAENTFLEDCAIQRAEATRMEALGAWKKRLLTLSEKIKDVLDENKGDFKLGLKWQKIWNYKSILKEPLLMLEPDQLWPPLGVAQSMAQFLTARLACYNDGRVRRISTFKQSVEEYGMKMCTAKQTNGRWEKKCYDMIDMEPAVSLRPESAGREHILLEKEKEIEDAARYMDEEHEAPCQMEPRPQGSAAAKGGDRATAAAERAPTEACSAGPWAEPQPMTGRSM
+>sp|P41217|OX2G_HUMAN OX-2 membrane glycoprotein OS=Homo sapiens OX=9606 GN=CD200 PE=1 SV=4
+MERLVIRMPFSHLSTYSLVWVMAAVVLCTAQVQVVTQDEREQLYTPASLKCSLQNAQEALIVTWQKKKAVSPENMVTFSENHGVVIQPAYKDKINITQLGLQNSTITFWNITLEDEGCYMCLFNTFGFGKISGTACLTVYVQPIVSLHYKFSEDHLNITCSATARPAPMVFWKVPRSGIENSTVTLSHPNGTTSVTSILHIKDPKNQVGKEVICQVLHLGTVTDFKQTVNKGYWFSVPLLLSIVSLVILLVLISILLYWKRHRNQDRGELSQGVQKMT
+>DECOY_sp|P41217|OX2G_HUMAN OX-2 membrane glycoprotein OS=Homo sapiens OX=9606 GN=CD200 PE=1 SV=4
+TMKQVGQSLEGRDQNRHRKWYLLISILVLLIVLSVISLLLPVSFWYGKNVTQKFDTVTGLHLVQCIVEKGVQNKPDKIHLISTVSTTGNPHSLTVTSNEIGSRPVKWFVMPAPRATASCTINLHDESFKYHLSVIPQVYVTLCATGSIKGFGFTNFLCMYCGEDELTINWFTITSNQLGLQTINIKDKYAPQIVVGHNESFTVMNEPSVAKKKQWTVILAEQANQLSCKLSAPTYLQEREDQTVVQVQATCLVVAAMVWVLSYTSLHSFPMRIVLREM
+>sp|Q99489|OXDD_HUMAN D-aspartate oxidase OS=Homo sapiens OX=9606 GN=DDO PE=2 SV=1
+MDTARIAVVGAGVVGLSTAVCISKLVPRCSVTIISDKFTPDTTSDVAAGMLIPHTYPDTPIHTQKQWFRETFNHLFAIANSAEAGDAGVHLVSGWQIFQSTPTEEVPFWADVVLGFRKMTEAELKKFPQYVFGQAFTTLKCECPAYLPWLEKRIKGSGGWTLTRRIEDLWELHPSFDIVVNCSGLGSRQLAGDSKIFPVRGQVLQVQAPWVEHFIRDGSGLTYIYPGTSHVTLGGTRQKGDWNLSPDAENSREILSRCCALEPSLHGACNIREKVGLRPYRPGVRLQTELLARDGQRLPVVHHYGHGSGGISVHWGTALEAARLVSECVHALRTPIPKSNL
+>DECOY_sp|Q99489|OXDD_HUMAN D-aspartate oxidase OS=Homo sapiens OX=9606 GN=DDO PE=2 SV=1
+LNSKPIPTRLAHVCESVLRAAELATGWHVSIGGSGHGYHHVVPLRQGDRALLETQLRVGPRYPRLGVKERINCAGHLSPELACCRSLIERSNEADPSLNWDGKQRTGGLTVHSTGPYIYTLGSGDRIFHEVWPAQVQLVQGRVPFIKSDGALQRSGLGSCNVVIDFSPHLEWLDEIRRTLTWGGSGKIRKELWPLYAPCECKLTTFAQGFVYQPFKKLEAETMKRFGLVVDAWFPVEETPTSQFIQWGSVLHVGADGAEASNAIAFLHNFTERFWQKQTHIPTDPYTHPILMGAAVDSTTDPTFKDSIITVSCRPVLKSICVATSLGVVGAGVVAIRATDM
+>sp|Q5BKU9|OXLD1_HUMAN Oxidoreductase-like domain-containing protein 1 OS=Homo sapiens OX=9606 GN=OXLD1 PE=2 SV=1
+MLLRRVVEGGRAVAAAVRGSGARRFSSPDCCQRLPGGGSFLQRHHPGAQAPDGRRKFGTDHVEVGSQAGADGTRPPKASLPPELQPPTNCCMSGCPNCVWVEYADRLLQHFQDGGERALAALEEHVADENLKAFLRMEIRLHTRCGG
+>DECOY_sp|Q5BKU9|OXLD1_HUMAN Oxidoreductase-like domain-containing protein 1 OS=Homo sapiens OX=9606 GN=OXLD1 PE=2 SV=1
+GGCRTHLRIEMRLFAKLNEDAVHEELAALAREGGDQFHQLLRDAYEVWVCNPCGSMCCNTPPQLEPPLSAKPPRTGDAGAQSGVEVHDTGFKRRGDPAQAGPHHRQLFSGGGPLRQCCDPSSFRRAGSGRVAAAVARGGEVVRRLLM
+>sp|Q96HA1|P121A_HUMAN Nuclear envelope pore membrane protein POM 121 OS=Homo sapiens OX=9606 GN=POM121 PE=1 SV=2
+MSPAAAAAGAGERRRPIASVRDGRGRGCGGPARAVLLGLSLVGLLLYLVPAAAALAWLTVGATAAWWGLSREPRGSRPLSSFVRKARHRRPLSSFVRKARHRRTLFASPLAKSTANGNLLEPRTLLEGPDPAELLLMGSYLGKPGPPQPAAAPEGQDLRDRPGRRPPARPAPRSPPPRSPPPRSPPPSPPTHRAHHVYPSLPTPLLRPSRRPSPRDCGTLPNRFVITPRRRYPIHQAQYSCLGVLPTVCWNGYHKKAVLSPRNSRMVCSPVTVRIAPPDRRFSRSAIPEQIISSTLSSPSSNAPDPCAKETVLSALKEKEKKRTVEEEDQIFLDGQENKRRRHDSSGSGHSAFEPLVANGVPASFVPKPGSLKRGLNSQSSDDHLNKRSRSSSMSSLTGAYASGIPSSSRNAITSSYSSTRGISQLWKRNGPSSSPFSSPASSRSQTPERPAKKIREEELCHHSSSSTPLAADRESQGEKAADTTPRKKQNSNSQSTPGSSGQRKRKVQLLPSRRGEQLTLPPPPQLGYSITAEDLDLEKKASLQWFNQALEDKSDAASNSVTETPPITQPSFTFTLPAAAPASPPTSLLAPSTNPLLESLKKMQTPPSLPPCPESAGAATTEALSPPKTPSLLPPLGLSQSGPPGLLPSPSFDSKPPTTLLGLIPAPSMVPATDTKAPPTLQAETATKPQATSAPSPAPKQSFLFGTQNTSPSSPAAPAASSAPPMFKPIFTAPPKSEKEGPTPPGPSVTATAPSSSSLPTTTSTTAPTFQPVFSSMGPPASVPLPAPFFKQTTTPATAPTTTAPLFTGLASATSAVAPITSASPSTDSASKPAFGFGINSVSSSSVSTTTSTATAASQPFLFGAPQASAASFTPAMGSIFQFGKPPALPTTTTVTTFSQSLHTAVPTATSSSAADFSGFGSTLATSAPATSSQPTLTFSNTSTPTFNIPFGSSAKSPLPSYPGANPQPAFGAAEGQPPGAAKPALAPSFGSSFTFGNSAAPAAAPTPAPPSMIKVVPAYVPTPIHPIFGGATHSAFGLKATASAFGAPASSQPAFGGSTAVFFGAATSSGFGATTQTASSGSSSSVFGSTTPSPFTFGGSAAPAGSGSFGINVATPGSSTTTGAFSFGAGQSGSTATSTPFAGGLGQNALGTTGQSTPFAFNVSSTTESKPVFGGTATPTFGLNTPAPGVGTSGSSLSFGASSAPAQGFVGVAPFGSAALSFSIGAGSKTPGARQRLQARRQHTRKK
+>DECOY_sp|Q96HA1|P121A_HUMAN Nuclear envelope pore membrane protein POM 121 OS=Homo sapiens OX=9606 GN=POM121 PE=1 SV=2
+KKRTHQRRAQLRQRAGPTKSGAGISFSLAASGFPAVGVFGQAPASSAGFSLSSGSTGVGPAPTNLGFTPTATGGFVPKSETTSSVNFAFPTSQGTTGLANQGLGGAFPTSTATSGSQGAGFSFAGTTTSSGPTAVNIGFSGSGAPAASGGFTFPSPTTSGFVSSSSGSSATQTTAGFGSSTAAGFFVATSGGFAPQSSAPAGFASATAKLGFASHTAGGFIPHIPTPVYAPVVKIMSPPAPTPAAAPAASNGFTFSSGFSPALAPKAAGPPQGEAAGFAPQPNAGPYSPLPSKASSGFPINFTPTSTNSFTLTPQSSTAPASTALTSGFGSFDAASSSTATPVATHLSQSFTTVTTTTPLAPPKGFQFISGMAPTFSAASAQPAGFLFPQSAATATSTTTSVSSSSVSNIGFGFAPKSASDTSPSASTIPAVASTASALGTFLPATTTPATAPTTTQKFFPAPLPVSAPPGMSSFVPQFTPATTSTTTPLSSSSPATATVSPGPPTPGEKESKPPATFIPKFMPPASSAAPAAPSSPSTNQTGFLFSQKPAPSPASTAQPKTATEAQLTPPAKTDTAPVMSPAPILGLLTTPPKSDFSPSPLLGPPGSQSLGLPPLLSPTKPPSLAETTAAGASEPCPPLSPPTQMKKLSELLPNTSPALLSTPPSAPAAAPLTFTFSPQTIPPTETVSNSAADSKDELAQNFWQLSAKKELDLDEATISYGLQPPPPLTLQEGRRSPLLQVKRKRQGSSGPTSQSNSNQKKRPTTDAAKEGQSERDAALPTSSSSHHCLEEERIKKAPREPTQSRSSAPSSFPSSSPGNRKWLQSIGRTSSYSSTIANRSSSPIGSAYAGTLSSMSSSRSRKNLHDDSSQSNLGRKLSGPKPVFSAPVGNAVLPEFASHGSGSSDHRRRKNEQGDLFIQDEEEVTRKKEKEKLASLVTEKACPDPANSSPSSLTSSIIQEPIASRSFRRDPPAIRVTVPSCVMRSNRPSLVAKKHYGNWCVTPLVGLCSYQAQHIPYRRRPTIVFRNPLTGCDRPSPRRSPRLLPTPLSPYVHHARHTPPSPPPSRPPPSRPPPSRPAPRAPPRRGPRDRLDQGEPAAAPQPPGPKGLYSGMLLLEAPDPGELLTRPELLNGNATSKALPSAFLTRRHRAKRVFSSLPRRHRAKRVFSSLPRSGRPERSLGWWAATAGVTLWALAAAAPVLYLLLGVLSLGLLVARAPGGCGRGRGDRVSAIPRRREGAGAAAAAPSM
+>sp|Q9Y5P8|P2R3B_HUMAN Serine/threonine-protein phosphatase 2A regulatory subunit B'' subunit beta OS=Homo sapiens OX=9606 GN=PPP2R3B PE=1 SV=2
+MPPGKVLQPVLKMKVDELFLYWLSEASTQRMLQDCLRRIKAPGRDQPTPGDGEQPGAWPTAPLAAPRPSGLEPPGTPGPGPALPLGAASSPRNAPHVRGTRRSAGTRVVQTRKEEPLPPATSQSIPTFYFPRGRPQDSVNVDAVISKIESTFARFPHERATMDDMGLVAKACGCPLYWKGPLFYGAGGERTGSVSVHKFVAMWRKILQNCHDDAAKFVHLLMSPGCNYLVQEDFVPFLQDVVNTHPGLSFLKEASEFHSRYITTVIQRIFYAVNRSWSGRITCAELRRSSFLQNVALLEEEADINQLTEFFSYEHFYVIYCKFWELDTDHDLLIDADDLARHNDHALSTKMIDRIFSGAVTRGRKVQKEGKISYADFVWFLISEEDKKTPTSIEYWFRCMDLDGDGALSMFELEYFYEEQCRRLDSMAIEALPFQDCLCQMLDLVKPRTEGKITLQDLKRCKLANVFFDTFFNIEKYLDHEQKEQISLLRDGDSGGPELSDWEKYAAEEYDILVAEETAGEPWEDGFEAELSPVEQKLSALRSPLAQRPFFEAPSPLGAVDLYEYACGDEDLEPL
+>DECOY_sp|Q9Y5P8|P2R3B_HUMAN Serine/threonine-protein phosphatase 2A regulatory subunit B'' subunit beta OS=Homo sapiens OX=9606 GN=PPP2R3B PE=1 SV=2
+LPELDEDGCAYEYLDVAGLPSPAEFFPRQALPSRLASLKQEVPSLEAEFGDEWPEGATEEAVLIDYEEAAYKEWDSLEPGGSDGDRLLSIQEKQEHDLYKEINFFTDFFVNALKCRKLDQLTIKGETRPKVLDLMQCLCDQFPLAEIAMSDLRRCQEEYFYELEFMSLAGDGDLDMCRFWYEISTPTKKDEESILFWVFDAYSIKGEKQVKRGRTVAGSFIRDIMKTSLAHDNHRALDDADILLDHDTDLEWFKCYIVYFHEYSFFETLQNIDAEEELLAVNQLFSSRRLEACTIRGSWSRNVAYFIRQIVTTIYRSHFESAEKLFSLGPHTNVVDQLFPVFDEQVLYNCGPSMLLHVFKAADDHCNQLIKRWMAVFKHVSVSGTREGGAGYFLPGKWYLPCGCAKAVLGMDDMTAREHPFRAFTSEIKSIVADVNVSDQPRGRPFYFTPISQSTAPPLPEEKRTQVVRTGASRRTGRVHPANRPSSAAGLPLAPGPGPTGPPELGSPRPAALPATPWAGPQEGDGPTPQDRGPAKIRRLCDQLMRQTSAESLWYLFLEDVKMKLVPQLVKGPPM
+>sp|Q9UBL9|P2RX2_HUMAN P2X purinoceptor 2 OS=Homo sapiens OX=9606 GN=P2RX2 PE=1 SV=1
+MAAAQPKYPAGATARRLARGCWSALWDYETPKVIVVRNRRLGVLYRAVQLLILLYFVWYVFIVQKSYQESETGPESSIITKVKGITTSEHKVWDVEEYVKPPEGGSVFSIITRVEATHSQTQGTCPESIRVHNATCLSDADCVAGELDMLGNGLRTGRCVPYYQGPSKTCEVFGWCPVEDGASVSQFLGTMAPNFTILIKNSIHYPKFHFSKGNIADRTDGYLKRCTFHEASDLYCPIFKLGFIVEKAGESFTELAHKGGVIGVIINWDCDLDLPASECNPKYSFRRLDPKHVPASSGYNFRFAKYYKINGTTTRTLIKAYGIRIDVIVHGQAGKFSLIPTIINLATALTSVGVGSFLCDWILLTFMNKNKVYSHKKFDKVCTPSHPSGSWPVTLARVLGQAPPEPGHRSEDQHPSPPSGQEGQQGAECGPAFPPLRPCPISAPSEQMVDTPASEPAQASTPTDPKGLAQL
+>DECOY_sp|Q9UBL9|P2RX2_HUMAN P2X purinoceptor 2 OS=Homo sapiens OX=9606 GN=P2RX2 PE=1 SV=1
+LQALGKPDTPTSAQAPESAPTDVMQESPASIPCPRLPPFAPGCEAGQQGEQGSPPSPHQDESRHGPEPPAQGLVRALTVPWSGSPHSPTCVKDFKKHSYVKNKNMFTLLIWDCLFSGVGVSTLATALNIITPILSFKGAQGHVIVDIRIGYAKILTRTTTGNIKYYKAFRFNYGSSAPVHKPDLRRFSYKPNCESAPLDLDCDWNIIVGIVGGKHALETFSEGAKEVIFGLKFIPCYLDSAEHFTCRKLYGDTRDAINGKSFHFKPYHISNKILITFNPAMTGLFQSVSAGDEVPCWGFVECTKSPGQYYPVCRGTRLGNGLMDLEGAVCDADSLCTANHVRISEPCTGQTQSHTAEVRTIISFVSGGEPPKVYEEVDWVKHESTTIGKVKTIISSEPGTESEQYSKQVIFVYWVFYLLILLQVARYLVGLRRNRVVIVKPTEYDWLASWCGRALRRATAGAPYKPQAAAM
+>sp|Q99571|P2RX4_HUMAN P2X purinoceptor 4 OS=Homo sapiens OX=9606 GN=P2RX4 PE=1 SV=2
+MAGCCAALAAFLFEYDTPRIVLIRSRKVGLMNRAVQLLILAYVIGWVFVWEKGYQETDSVVSSVTTKVKGVAVTNTSKLGFRIWDVADYVIPAQEENSLFVMTNVILTMNQTQGLCPEIPDATTVCKSDASCTAGSAGTHSNGVSTGRCVAFNGSVKTCEVAAWCPVEDDTHVPQPAFLKAAENFTLLVKNNIWYPKFNFSKRNILPNITTTYLKSCIYDAKTDPFCPIFRLGKIVENAGHSFQDMAVEGGIMGIQVNWDCNLDRAASLCLPRYSFRRLDTRDVEHNVSPGYNFRFAKYYRDLAGNEQRTLIKAYGIRFDIIVFGKAGKFDIIPTMINIGSGLALLGMATVLCDIIVLYCMKKRLYYREKKYKYVEDYEQGLASELDQ
+>DECOY_sp|Q99571|P2RX4_HUMAN P2X purinoceptor 4 OS=Homo sapiens OX=9606 GN=P2RX4 PE=1 SV=2
+QDLESALGQEYDEVYKYKKERYYLRKKMCYLVIIDCLVTAMGLLALGSGINIMTPIIDFKGAKGFVIIDFRIGYAKILTRQENGALDRYYKAFRFNYGPSVNHEVDRTDLRRFSYRPLCLSAARDLNCDWNVQIGMIGGEVAMDQFSHGANEVIKGLRFIPCFPDTKADYICSKLYTTTINPLINRKSFNFKPYWINNKVLLTFNEAAKLFAPQPVHTDDEVPCWAAVECTKVSGNFAVCRGTSVGNSHTGASGATCSADSKCVTTADPIEPCLGQTQNMTLIVNTMVFLSNEEQAPIVYDAVDWIRFGLKSTNTVAVGKVKTTVSSVVSDTEQYGKEWVFVWGIVYALILLQVARNMLGVKRSRILVIRPTDYEFLFAALAACCGAM
+>sp|Q93086|P2RX5_HUMAN P2X purinoceptor 5 OS=Homo sapiens OX=9606 GN=P2RX5 PE=2 SV=4
+MGQAGCKGLCLSLFDYKTEKYVIAKNKKVGLLYRLLQASILAYLVVWVFLIKKGYQDVDTSLQSAVITKVKGVAFTNTSDLGQRIWDVADYVIPAQGENVFFVVTNLIVTPNQRQNVCAENEGIPDGACSKDSDCHAGEAVTAGNGVKTGRCLRRENLARGTCEIFAWCPLETSSRPEEPFLKEAEDFTIFIKNHIRFPKFNFSKSNVMDVKDRSFLKSCHFGPKNHYCPIFRLGSVIRWAGSDFQDIALEGGVIGINIEWNCDLDKAASECHPHYSFSRLDNKLSKSVSSGYNFRFARYYRDAAGVEFRTLMKAYGIRFDVMVNGKGAFFCDLVLIYLIKKREFYRDKKYEEVRGLEDSSQEAEDEASGLGLSEQLTSGPGLLGMPEQQELQEPPEAKRGSSSQKGNGSVCPQLLEPHRST
+>DECOY_sp|Q93086|P2RX5_HUMAN P2X purinoceptor 5 OS=Homo sapiens OX=9606 GN=P2RX5 PE=2 SV=4
+TSRHPELLQPCVSGNGKQSSSGRKAEPPEQLEQQEPMGLLGPGSTLQESLGLGSAEDEAEQSSDELGRVEEYKKDRYFERKKILYILVLDCFFAGKGNVMVDFRIGYAKMLTRFEVGAADRYYRAFRFNYGSSVSKSLKNDLRSFSYHPHCESAAKDLDCNWEINIGIVGGELAIDQFDSGAWRIVSGLRFIPCYHNKPGFHCSKLFSRDKVDMVNSKSFNFKPFRIHNKIFITFDEAEKLFPEEPRSSTELPCWAFIECTGRALNERRLCRGTKVGNGATVAEGAHCDSDKSCAGDPIGENEACVNQRQNPTVILNTVVFFVNEGQAPIVYDAVDWIRQGLDSTNTFAVGKVKTIVASQLSTDVDQYGKKILFVWVVLYALISAQLLRYLLGVKKNKAIVYKETKYDFLSLCLGKCGAQGM
+>sp|O00443|P3C2A_HUMAN Phosphatidylinositol 4-phosphate 3-kinase C2 domain-containing subunit alpha OS=Homo sapiens OX=9606 GN=PIK3C2A PE=1 SV=2
+MAQISSNSGFKECPSSHPEPTRAKDVDKEEALQMEAEALAKLQKDRQVTDNQRGFELSSSTRKKAQVYNKQDYDLMVFPESDSQKRALDIDVEKLTQAELEKLLLDDSFETKKTPVLPVTPILSPSFSAQLYFRPTIQRGQWPPGLPGPSTYALPSIYPSTYSKQAAFQNGFNPRMPTFPSTEPIYLSLPGQSPYFSYPLTPATPFHPQGSLPIYRPVVSTDMAKLFDKIASTSEFLKNGKARTDLEITDSKVSNLQVSPKSEDISKFDWLDLDPLSKPKVDNVEVLDHEEEKNVSSLLAKDPWDAVLLEERSTANCHLERKVNGKSLSVATVTRSQSLNIRTTQLAKAQGHISQKDPNGTSSLPTGSSLLQEVEVQNEEMAAFCRSITKLKTKFPYTNHRTNPGYLLSPVTAQRNICGENASVKVSIDIEGFQLPVTFTCDVSSTVEIIIMQALCWVHDDLNQVDVGSYVLKVCGQEEVLQNNHCLGSHEHIQNCRKWDTEIRLQLLTFSAMCQNLARTAEDDETPVDLNKHLYQIEKPCKEAMTRHPVEELLDSYHNQVELALQIENQHRAVDQVIKAVRKICSALDGVETLAITESVKKLKRAVNLPRSKTADVTSLFGGEDTSRSSTRGSLNPENPVQVSINQLTAAIYDLLRLHANSGRSPTDCAQSSKSVKEAWTTTEQLQFTIFAAHGISSNWVSNYEKYYLICSLSHNGKDLFKPIQSKKVGTYKNFFYLIKWDELIIFPIQISQLPLESVLHLTLFGILNQSSGSSPDSNKQRKGPEALGKVSLPLFDFKRFLTCGTKLLYLWTSSHTNSVPGTVTKKGYVMERIVLQVDFPSPAFDIIYTTPQVDRSIIQQHNLETLENDIKGKLLDILHKDSSLGLSKEDKAFLWEKRYYCFKHPNCLPKILASAPNWKWVNLAKTYSLLHQWPALYPLIALELLDSKFADQEVRSLAVTWIEAISDDELTDLLPQFVQALKYEIYLNSSLVQFLLSRALGNIQIAHNLYWLLKDALHDVQFSTRYEHVLGALLSVGGKRLREELLKQTKLVQLLGGVAEKVRQASGSARQVVLQRSMERVQSFFQKNKCRLPLKPSLVAKELNIKSCSFFSSNAVPLKVTMVNADPMGEEINVMFKVGEDLRQDMLALQMIKIMDKIWLKEGLDLRMVIFKCLSTGRDRGMVELVPASDTLRKIQVEYGVTGSFKDKPLAEWLRKYNPSEEEYEKASENFIYSCAGCCVATYVLGICDRHNDNIMLRSTGHMFHIDFGKFLGHAQMFGSFKRDRAPFVLTSDMAYVINGGEKPTIRFQLFVDLCCQAYNLIRKQTNLFLNLLSLMIPSGLPELTSIQDLKYVRDALQPQTTDAEATIFFTRLIESSLGSIATKFNFFIHNLAQLRFSGLPSNDEPILSFSPKTYSFRQDGRIKEVSVFTYHKKYNPDKHYIYVVRILREGQIEPSFVFRTFDEFQELHNKLSIIFPLWKLPGFPNRMVLGRTHIKDVAAKRKIELNSYLQSLMNASTDVAECDLVCTFFHPLLRDEKAEGIARSADAGSFSPTPGQIGGAVKLSISYRNGTLFIMVMHIKDLVTEDGADPNPYVKTYLLPDNHKTSKRKTKISRKTRNPTFNEMLVYSGYSKETLRQRELQLSVLSAESLRENFFLGGVTLPLKDFNLSKETVKWYQLTAATYL
+>DECOY_sp|O00443|P3C2A_HUMAN Phosphatidylinositol 4-phosphate 3-kinase C2 domain-containing subunit alpha OS=Homo sapiens OX=9606 GN=PIK3C2A PE=1 SV=2
+LYTAATLQYWKVTEKSLNFDKLPLTVGGLFFNERLSEASLVSLQLERQRLTEKSYGSYVLMENFTPNRTKRSIKTKRKSTKHNDPLLYTKVYPNPDAGDETVLDKIHMVMIFLTGNRYSISLKVAGGIQGPTPSFSGADASRAIGEAKEDRLLPHFFTCVLDCEAVDTSANMLSQLYSNLEIKRKAAVDKIHTRGLVMRNPFGPLKWLPFIISLKNHLEQFEDFTRFVFSPEIQGERLIRVVYIYHKDPNYKKHYTFVSVEKIRGDQRFSYTKPSFSLIPEDNSPLGSFRLQALNHIFFNFKTAISGLSSEILRTFFITAEADTTQPQLADRVYKLDQISTLEPLGSPIMLSLLNLFLNTQKRILNYAQCCLDVFLQFRITPKEGGNIVYAMDSTLVFPARDRKFSGFMQAHGLFKGFDIHFMHGTSRLMINDNHRDCIGLVYTAVCCGACSYIFNESAKEYEEESPNYKRLWEALPKDKFSGTVGYEVQIKRLTDSAPVLEVMGRDRGTSLCKFIVMRLDLGEKLWIKDMIKIMQLALMDQRLDEGVKFMVNIEEGMPDANVMTVKLPVANSSFFSCSKINLEKAVLSPKLPLRCKNKQFFSQVREMSRQLVVQRASGSAQRVKEAVGGLLQVLKTQKLLEERLRKGGVSLLAGLVHEYRTSFQVDHLADKLLWYLNHAIQINGLARSLLFQVLSSNLYIEYKLAQVFQPLLDTLEDDSIAEIWTVALSRVEQDAFKSDLLELAILPYLAPWQHLLSYTKALNVWKWNPASALIKPLCNPHKFCYYRKEWLFAKDEKSLGLSSDKHLIDLLKGKIDNELTELNHQQIISRDVQPTTYIIDFAPSPFDVQLVIREMVYGKKTVTGPVSNTHSSTWLYLLKTGCTLFRKFDFLPLSVKGLAEPGKRQKNSDPSSGSSQNLIGFLTLHLVSELPLQSIQIPFIILEDWKILYFFNKYTGVKKSQIPKFLDKGNHSLSCILYYKEYNSVWNSSIGHAAFITFQLQETTTWAEKVSKSSQACDTPSRGSNAHLRLLDYIAATLQNISVQVPNEPNLSGRTSSRSTDEGGFLSTVDATKSRPLNVARKLKKVSETIALTEVGDLASCIKRVAKIVQDVARHQNEIQLALEVQNHYSDLLEEVPHRTMAEKCPKEIQYLHKNLDVPTEDDEATRALNQCMASFTLLQLRIETDWKRCNQIHEHSGLCHNNQLVEEQGCVKLVYSGVDVQNLDDHVWCLAQMIIIEVTSSVDCTFTVPLQFGEIDISVKVSANEGCINRQATVPSLLYGPNTRHNTYPFKTKLKTISRCFAAMEENQVEVEQLLSSGTPLSSTGNPDKQSIHGQAKALQTTRINLSQSRTVTAVSLSKGNVKRELHCNATSREELLVADWPDKALLSSVNKEEEHDLVEVNDVKPKSLPDLDLWDFKSIDESKPSVQLNSVKSDTIELDTRAKGNKLFESTSAIKDFLKAMDTSVVPRYIPLSGQPHFPTAPTLPYSFYPSQGPLSLYIPETSPFTPMRPNFGNQFAAQKSYTSPYISPLAYTSPGPLGPPWQGRQITPRFYLQASFSPSLIPTVPLVPTKKTEFSDDLLLKELEAQTLKEVDIDLARKQSDSEPFVMLDYDQKNYVQAKKRTSSSLEFGRQNDTVQRDKQLKALAEAEMQLAEEKDVDKARTPEPHSSPCEKFGSNSSIQAM
+>sp|Q9NXG6|P4HTM_HUMAN Transmembrane prolyl 4-hydroxylase OS=Homo sapiens OX=9606 GN=P4HTM PE=1 SV=2
+MAAAAVTGQRPETAAAEEASRPQWAPPDHCQAQAAAGLGDGEDAPVRPLCKPRGICSRAYFLVLMVFVHLYLGNVLALLLFVHYSNGDESSDPGPQHRAQGPGPEPTLGPLTRLEGIKVGHERKVQLVTDRDHFIRTLSLKPLLFEIPGFLTDEECRLIIHLAQMKGLQRSQILPTEEYEEAMSTMQVSQLDLFRLLDQNRDGHLQLREVLAQTRLGNGWWMTPESIQEMYAAIKADPDGDGVLSLQEFSNMDLRDFHKYMRSHKAESSELVRNSHHTWLYQGEGAHHIMRAIRQRVLRLTRLSPEIVELSEPLQVVRYGEGGHYHAHVDSGPVYPETICSHTKLVANESVPFETSCRYMTVLFYLNNVTGGGETVFPVADNRTYDEMSLIQDDVDLRDTRRHCDKGNLRVKPQQGTAVFWYNYLPDGQGWVGDVDDYSLHGGCLVTRGTKWIANNWINVDPSRARQALFQQEMARLAREGGTDSQPEWALDRAYRDARVEL
+>DECOY_sp|Q9NXG6|P4HTM_HUMAN Transmembrane prolyl 4-hydroxylase OS=Homo sapiens OX=9606 GN=P4HTM PE=1 SV=2
+LEVRADRYARDLAWEPQSDTGGERALRAMEQQFLAQRARSPDVNIWNNAIWKTGRTVLCGGHLSYDDVDGVWGQGDPLYNYWFVATGQQPKVRLNGKDCHRRTDRLDVDDQILSMEDYTRNDAVPFVTEGGGTVNNLYFLVTMYRCSTEFPVSENAVLKTHSCITEPYVPGSDVHAHYHGGEGYRVVQLPESLEVIEPSLRTLRLVRQRIARMIHHAGEGQYLWTHHSNRVLESSEAKHSRMYKHFDRLDMNSFEQLSLVGDGDPDAKIAAYMEQISEPTMWWGNGLRTQALVERLQLHGDRNQDLLRFLDLQSVQMTSMAEEYEETPLIQSRQLGKMQALHIILRCEEDTLFGPIEFLLPKLSLTRIFHDRDTVLQVKREHGVKIGELRTLPGLTPEPGPGQARHQPGPDSSEDGNSYHVFLLLALVNGLYLHVFVMLVLFYARSCIGRPKCLPRVPADEGDGLGAAAQAQCHDPPAWQPRSAEEAAATEPRQGTVAAAAM
+>sp|Q9UQ80|PA2G4_HUMAN Proliferation-associated protein 2G4 OS=Homo sapiens OX=9606 GN=PA2G4 PE=1 SV=3
+MSGEDEQQEQTIAEDLVVTKYKMGGDIANRVLRSLVEASSSGVSVLSLCEKGDAMIMEETGKIFKKEKEMKKGIAFPTSISVNNCVCHFSPLKSDQDYILKEGDLVKIDLGVHVDGFIANVAHTFVVDVAQGTQVTGRKADVIKAAHLCAEAALRLVKPGNQNTQVTEAWNKVAHSFNCTPIEGMLSHQLKQHVIDGEKTIIQNPTDQQKKDHEKAEFEVHEVYAVDVLVSSGEGKAKDAGQRTTIYKRDPSKQYGLKMKTSRAFFSEVERRFDAMPFTLRAFEDEKKARMGVVECAKHELLQPFNVLYEKEGEFVAQFKFTVLLMPNGPMRITSGPFEPDLYKSEMEVQDAELKALLQSSASRKTQKKKKKKASKTAENATSGETLEENEAGD
+>DECOY_sp|Q9UQ80|PA2G4_HUMAN Proliferation-associated protein 2G4 OS=Homo sapiens OX=9606 GN=PA2G4 PE=1 SV=3
+DGAENEELTEGSTANEATKSAKKKKKKQTKRSASSQLLAKLEADQVEMESKYLDPEFPGSTIRMPGNPMLLVTFKFQAVFEGEKEYLVNFPQLLEHKACEVVGMRAKKEDEFARLTFPMADFRREVESFFARSTKMKLGYQKSPDRKYITTRQGADKAKGEGSSVLVDVAYVEHVEFEAKEHDKKQQDTPNQIITKEGDIVHQKLQHSLMGEIPTCNFSHAVKNWAETVQTNQNGPKVLRLAAEACLHAAKIVDAKRGTVQTGQAVDVVFTHAVNAIFGDVHVGLDIKVLDGEKLIYDQDSKLPSFHCVCNNVSISTPFAIGKKMEKEKKFIKGTEEMIMADGKECLSLVSVGSSSAEVLSRLVRNAIDGGMKYKTVVLDEAITQEQQEDEGSM
+>sp|Q5R387|PA2GC_HUMAN Putative inactive group IIC secretory phospholipase A2 OS=Homo sapiens OX=9606 GN=PLA2G2C PE=3 SV=3
+MKVIAILTLLLFCSPTHSSFWQFQRRVKHITGRSAFFSYYGYGCYCGLGDKGIPVDDTDRHSPSSPSPYEKLKEFSCQPVLNSYQFHIVNGAVVCGCTLGPGASCHCRLKACECDKQSVHCFKESLPTYEKNFKQFSSQPRCGRHKPWC
+>DECOY_sp|Q5R387|PA2GC_HUMAN Putative inactive group IIC secretory phospholipase A2 OS=Homo sapiens OX=9606 GN=PLA2G2C PE=3 SV=3
+CWPKHRGCRPQSSFQKFNKEYTPLSEKFCHVSQKDCECAKLRCHCSAGPGLTCGCVVAGNVIHFQYSNLVPQCSFEKLKEYPSPSSPSHRDTDDVPIGKDGLGCYCGYGYYSFFASRGTIHKVRRQFQWFSSHTPSCFLLLTLIAIVKM
+>sp|P0CB38|PAB4L_HUMAN Polyadenylate-binding protein 4-like OS=Homo sapiens OX=9606 GN=PABPC4L PE=2 SV=1
+MNVAAKYRMASLYVGDLHADVTEDLLFRKFSTVGPVLSIRICRDQVTRRSLGYAYVNFLQLADAQKALDTMNFDIIKGKSIRLMWSQRDAYLRRSGIGNVFIKNLDKSIDNKTLYEHFSAFGKILSSKVMSDDQGSKGYAFVHFQNQSAADRAIEEMNGKLLKGCKVFVGRFKNRKDREAELRSKASEFTNVYIKNFGGDMDDERLKDVFSKYGKTLSVKVMTDSSGKSKGFGFVSFDSHEAAKKAVEEMNGRDINGQLIFVGRAQKKVERQAELKQMFEQLKRERIRGCQGVKLYIKNLDDTIDDEKLRNEFSSFGSISRVKVMQEEGQSKGFGLICFSSPEDATKAMTEMNGRILGSKPLSIALAQRH
+>DECOY_sp|P0CB38|PAB4L_HUMAN Polyadenylate-binding protein 4-like OS=Homo sapiens OX=9606 GN=PABPC4L PE=2 SV=1
+HRQALAISLPKSGLIRGNMETMAKTADEPSSFCILGFGKSQGEEQMVKVRSISGFSSFENRLKEDDITDDLNKIYLKVGQCGRIRERKLQEFMQKLEAQREVKKQARGVFILQGNIDRGNMEEVAKKAAEHSDFSVFGFGKSKGSSDTMVKVSLTKGYKSFVDKLREDDMDGGFNKIYVNTFESAKSRLEAERDKRNKFRGVFVKCGKLLKGNMEEIARDAASQNQFHVFAYGKSGQDDSMVKSSLIKGFASFHEYLTKNDISKDLNKIFVNGIGSRRLYADRQSWMLRISKGKIIDFNMTDLAKQADALQLFNVYAYGLSRRTVQDRCIRISLVPGVTSFKRFLLDETVDAHLDGVYLSAMRYKAAVNM
+>sp|Q86U42|PABP2_HUMAN Polyadenylate-binding protein 2 OS=Homo sapiens OX=9606 GN=PABPN1 PE=1 SV=3
+MAAAAAAAAAAGAAGGRGSGPGRRRHLVPGAGGEAGEGAPGGAGDYGNGLESEELEPEELLLEPEPEPEPEEEPPRPRAPPGAPGPGPGSGAPGSQEEEEEPGLVEGDPGDGAIEDPELEAIKARVREMEEEAEKLKELQNEVEKQMNMSPPPGNAGPVIMSIEEKMEADARSIYVGNVDYGATAEELEAHFHGCGSVNRVTILCDKFSGHPKGFAYIEFSDKESVRTSLALDESLFRGRQIKVIPKRTNRPGISTTDRGFPRARYRARTTNYNSSRSRFYSGFNSRPRGRVYRGRARATSWYSPY
+>DECOY_sp|Q86U42|PABP2_HUMAN Polyadenylate-binding protein 2 OS=Homo sapiens OX=9606 GN=PABPN1 PE=1 SV=3
+YPSYWSTARARGRYVRGRPRSNFGSYFRSRSSNYNTTRARYRARPFGRDTTSIGPRNTRKPIVKIQRGRFLSEDLALSTRVSEKDSFEIYAFGKPHGSFKDCLITVRNVSGCGHFHAELEEATAGYDVNGVYISRADAEMKEEISMIVPGANGPPPSMNMQKEVENQLEKLKEAEEEMERVRAKIAELEPDEIAGDGPDGEVLGPEEEEEQSGPAGSGPGPGPAGPPARPRPPEEEPEPEPEPELLLEEPELEESELGNGYDGAGGPAGEGAEGGAGPVLHRRRGPGSGRGGAAGAAAAAAAAAAM
+>sp|Q96DU9|PABP5_HUMAN Polyadenylate-binding protein 5 OS=Homo sapiens OX=9606 GN=PABPC5 PE=2 SV=1
+MGSGEPNPAGKKKKYLKAALYVGDLDPDVTEDMLYKKFRPAGPLRFTRICRDPVTRSPLGYGYVNFRFPADAEWALNTMNFDLINGKPFRLMWSQPDDRLRKSGVGNIFIKNLDKSIDNRALFYLFSAFGNILSCKVVCDDNGSKGYAYVHFDSLAAANRAIWHMNGVRLNNRQVYVGRFKFPEERAAEVRTRDRATFTNVFVKNIGDDIDDEKLKELFCEYGPTESVKVIRDASGKSKGFGFVRYETHEAAQKAVLDLHGKSIDGKVLYVGRAQKKIERLAELRRRFERLRLKEKSRPPGVPIYIKNLDETINDEKLKEEFSSFGSISRAKVMMEVGQGKGFGVVCFSSFEEATKAVDEMNGRIVGSKPLHVTLGQARRRC
+>DECOY_sp|Q96DU9|PABP5_HUMAN Polyadenylate-binding protein 5 OS=Homo sapiens OX=9606 GN=PABPC5 PE=2 SV=1
+CRRRAQGLTVHLPKSGVIRGNMEDVAKTAEEFSSFCVVGFGKGQGVEMMVKARSISGFSSFEEKLKEDNITEDLNKIYIPVGPPRSKEKLRLREFRRRLEALREIKKQARGVYLVKGDISKGHLDLVAKQAAEHTEYRVFGFGKSKGSADRIVKVSETPGYECFLEKLKEDDIDDGINKVFVNTFTARDRTRVEAAREEPFKFRGVYVQRNNLRVGNMHWIARNAAALSDFHVYAYGKSGNDDCVVKCSLINGFASFLYFLARNDISKDLNKIFINGVGSKRLRDDPQSWMLRFPKGNILDFNMTNLAWEADAPFRFNVYGYGLPSRTVPDRCIRTFRLPGAPRFKKYLMDETVDPDLDGVYLAAKLYKKKKGAPNPEGSGM
+>sp|Q9UKS6|PACN3_HUMAN Protein kinase C and casein kinase substrate in neurons protein 3 OS=Homo sapiens OX=9606 GN=PACSIN3 PE=1 SV=2
+MAPEEDAGGEALGGSFWEAGNYRRTVQRVEDGHRLCGDLVSCFQERARIEKAYAQQLADWARKWRGTVEKGPQYGTLEKAWHAFFTAAERLSALHLEVREKLQGQDSERVRAWQRGAFHRPVLGGFRESRAAEDGFRKAQKPWLKRLKEVEASKKSYHAARKDEKTAQTRESHAKADSAVSQEQLRKLQERVERCAKEAEKTKAQYEQTLAELHRYTPRYMEDMEQAFETCQAAERQRLLFFKDMLLTLHQHLDLSSSEKFHELHRDLHQGIEAASDEEDLRWWRSTHGPGMAMNWPQFEEWSLDTQRTISRKEKGGRSPDEVTLTSIVPTRDGTAPPPQSPGSPGTGQDEEWSDEESPRKAATGVRVRALYDYAGQEADELSFRAGEELLKMSEEDEQGWCQGQLQSGRIGLYPANYVECVGA
+>DECOY_sp|Q9UKS6|PACN3_HUMAN Protein kinase C and casein kinase substrate in neurons protein 3 OS=Homo sapiens OX=9606 GN=PACSIN3 PE=1 SV=2
+AGVCEVYNAPYLGIRGSQLQGQCWGQEDEESMKLLEEGARFSLEDAEQGAYDYLARVRVGTAAKRPSEEDSWEEDQGTGPSGPSQPPPATGDRTPVISTLTVEDPSRGGKEKRSITRQTDLSWEEFQPWNMAMGPGHTSRWWRLDEEDSAAEIGQHLDRHLEHFKESSSLDLHQHLTLLMDKFFLLRQREAAQCTEFAQEMDEMYRPTYRHLEALTQEYQAKTKEAEKACREVREQLKRLQEQSVASDAKAHSERTQATKEDKRAAHYSKKSAEVEKLRKLWPKQAKRFGDEAARSERFGGLVPRHFAGRQWARVRESDQGQLKERVELHLASLREAATFFAHWAKELTGYQPGKEVTGRWKRAWDALQQAYAKEIRAREQFCSVLDGCLRHGDEVRQVTRRYNGAEWFSGGLAEGGADEEPAM
+>sp|O14832|PAHX_HUMAN Phytanoyl-CoA dioxygenase, peroxisomal OS=Homo sapiens OX=9606 GN=PHYH PE=1 SV=1
+MEQLRAAARLQIVLGHLGRPSAGAVVAHPTSGTISSASFHPQQFQYTLDNNVLTLEQRKFYEENGFLVIKNLVPDADIQRFRNEFEKICRKEVKPLGLTVMRDVTISKSEYAPSEKMITKVQDFQEDKELFRYCTLPEILKYVECFTGPNIMAMHTMLINKPPDSGKKTSRHPLHQDLHYFPFRPSDLIVCAWTAMEHISRNNGCLVVLPGTHKGSLKPHDYPKWEGGVNKMFHGIQDYEENKARVHLVMEKGDTVFFHPLLIHGSGQNKTQGFRKAISCHFASADCHYIDVKGTSQENIEKEVVGIAHKFFGAENSVNLKDIWMFRARLVKGERTNL
+>DECOY_sp|O14832|PAHX_HUMAN Phytanoyl-CoA dioxygenase, peroxisomal OS=Homo sapiens OX=9606 GN=PHYH PE=1 SV=1
+LNTREGKVLRARFMWIDKLNVSNEAGFFKHAIGVVEKEINEQSTGKVDIYHCDASAFHCSIAKRFGQTKNQGSGHILLPHFFVTDGKEMVLHVRAKNEEYDQIGHFMKNVGGEWKPYDHPKLSGKHTGPLVVLCGNNRSIHEMATWACVILDSPRFPFYHLDQHLPHRSTKKGSDPPKNILMTHMAMINPGTFCEVYKLIEPLTCYRFLEKDEQFDQVKTIMKESPAYESKSITVDRMVTLGLPKVEKRCIKEFENRFRQIDADPVLNKIVLFGNEEYFKRQELTLVNNDLTYQFQQPHFSASSITGSTPHAVVAGASPRGLHGLVIQLRAAARLQEM
+>sp|P05120|PAI2_HUMAN Plasminogen activator inhibitor 2 OS=Homo sapiens OX=9606 GN=SERPINB2 PE=1 SV=2
+MEDLCVANTLFALNLFKHLAKASPTQNLFLSPWSISSTMAMVYMGSRGSTEDQMAKVLQFNEVGANAVTPMTPENFTSCGFMQQIQKGSYPDAILQAQAADKIHSSFRSLSSAINASTGNYLLESVNKLFGEKSASFREEYIRLCQKYYSSEPQAVDFLECAEEARKKINSWVKTQTKGKIPNLLPEGSVDGDTRMVLVNAVYFKGKWKTPFEKKLNGLYPFRVNSAQRTPVQMMYLREKLNIGYIEDLKAQILELPYAGDVSMFLLLPDEIADVSTGLELLESEITYDKLNKWTSKDKMAEDEVEVYIPQFKLEEHYELRSILRSMGMEDAFNKGRANFSGMSERNDLFLSEVFHQAMVDVNEEGTEAAAGTGGVMTGRTGHGGPQFVADHPFLFLIMHKITNCILFFGRFSSP
+>DECOY_sp|P05120|PAI2_HUMAN Plasminogen activator inhibitor 2 OS=Homo sapiens OX=9606 GN=SERPINB2 PE=1 SV=2
+PSSFRGFFLICNTIKHMILFLFPHDAVFQPGGHGTRGTMVGGTGAAAETGEENVDVMAQHFVESLFLDNRESMGSFNARGKNFADEMGMSRLISRLEYHEELKFQPIYVEVEDEAMKDKSTWKNLKDYTIESELLELGTSVDAIEDPLLLFMSVDGAYPLELIQAKLDEIYGINLKERLYMMQVPTRQASNVRFPYLGNLKKEFPTKWKGKFYVANVLVMRTDGDVSGEPLLNPIKGKTQTKVWSNIKKRAEEACELFDVAQPESSYYKQCLRIYEERFSASKEGFLKNVSELLYNGTSANIASSLSRFSSHIKDAAQAQLIADPYSGKQIQQMFGCSTFNEPTMPTVANAGVENFQLVKAMQDETSGRSGMYVMAMTSSISWPSLFLNQTPSAKALHKFLNLAFLTNAVCLDEM
+>sp|Q9BPZ3|PAIP2_HUMAN Polyadenylate-binding protein-interacting protein 2 OS=Homo sapiens OX=9606 GN=PAIP2 PE=1 SV=1
+MKDPSRSSTSPSIINEDVIINGHSHEDDNPFAEYMWMENEEEFNRQIEEELWEEEFIERCFQEMLEEEEEHEWFIPARDLPQTMDQIQDQFNDLVISDGSSLEDLVVKSNLNPNAKEFVPGVKYGNI
+>DECOY_sp|Q9BPZ3|PAIP2_HUMAN Polyadenylate-binding protein-interacting protein 2 OS=Homo sapiens OX=9606 GN=PAIP2 PE=1 SV=1
+INGYKVGPVFEKANPNLNSKVVLDELSSGDSIVLDNFQDQIQDMTQPLDRAPIFWEHEEEEELMEQFCREIFEEEWLEEEIQRNFEEENEMWMYEAFPNDDEHSHGNIIVDENIISPSTSSRSPDKM
+>sp|Q86YC2|PALB2_HUMAN Partner and localizer of BRCA2 OS=Homo sapiens OX=9606 GN=PALB2 PE=1 SV=1
+MDEPPGKPLSCEEKEKLKEKLAFLKREYSKTLARLQRAQRAEKIKHSIKKTVEEQDCLSQQDLSPQLKHSEPKNKICVYDKLHIKTHLDEETGEKTSITLDVGPESFNPGDGPGGLPIQRTDDTQEHFPHRVSDPSGEQKQKLPSRRKKQQKRTFISQERDCVFGTDSLRLSGKRLKEQEEISSKNPARSPVTEIRTHLLSLKSELPDSPEPVTEINEDSVLIPPTAQPEKGVDTFLRRPNFTRATTVPLQTLSDSGSSQHLEHIPPKGSSELTTHDLKNIRFTSPVSLEAQGKKMTVSTDNLLVNKAISKSGQLPTSSNLEANISCSLNELTYNNLPANENQNLKEQNQTEKSLKSPSDTLDGRNENLQESEILSQPKSLSLEATSPLSAEKHSCTVPEGLLFPAEYYVRTTRSMSNCQRKVAVEAVIQSHLDVKKKGFKNKNKDASKNLNLSNEETDQSEIRMSGTCTGQPSSRTSQKLLSLTKVSSPAGPTEDNDLSRKAVAQAPGRRYTGKRKSACTPASDHCEPLLPTSSLSIVNRSKEEVTSHKYQHEKLFIQVKGKKSRHQKEDSLSWSNSAYLSLDDDAFTAPFHRDGMLSLKQLLSFLSITDFQLPDEDFGPLKLEKVKSCSEKPVEPFESKMFGERHLKEGSCIFPEELSPKRMDTEMEDLEEDLIVLPGKSHPKRPNSQSQHTKTGLSSSILLYTPLNTVAPDDNDRPTTDMCSPAFPILGTTPAFGPQGSYEKASTEVAGRTCCTPQLAHLKDSVCLASDTKQFDSSGSPAKPHTTLQVSGRQGQPTCDCDSVPPGTPPPIESFTFKENQLCRNTCQELHKHSVEQTETAELPASDSINPGNLQLVSELKNPSGSCSVDVSAMFWERAGCKEPCIITACEDVVSLWKALDAWQWEKLYTWHFAEVPVLQIVPVPDVYNLVCVALGNLEIREIRALFCSSDDESEKQVLLKSGNIKAVLGLTKRRLVSSSGTLSDQQVEVMTFAEDGGGKENQFLMPPEETILTFAEVQGMQEALLGTTIMNNIVIWNLKTGQLLKKMHIDDSYQASVCHKAYSEMGLLFIVLSHPCAKESESLRSPVFQLIVINPKTTLSVGVMLYCLPPGQAGRFLEGDVKDHCAAAILTSGTIAIWDLLLGQCTALLPPVSDQHWSFVKWSGTDSHLLAGQKDGNIFVYHYS
+>DECOY_sp|Q86YC2|PALB2_HUMAN Partner and localizer of BRCA2 OS=Homo sapiens OX=9606 GN=PALB2 PE=1 SV=1
+SYHYVFINGDKQGALLHSDTGSWKVFSWHQDSVPPLLATCQGLLLDWIAITGSTLIAAACHDKVDGELFRGAQGPPLCYLMVGVSLTTKPNIVILQFVPSRLSESEKACPHSLVIFLLGMESYAKHCVSAQYSDDIHMKKLLQGTKLNWIVINNMITTGLLAEQMGQVEAFTLITEEPPMLFQNEKGGGDEAFTMVEVQQDSLTGSSSVLRRKTLGLVAKINGSKLLVQKESEDDSSCFLARIERIELNGLAVCVLNYVDPVPVIQLVPVEAFHWTYLKEWQWADLAKWLSVVDECATIICPEKCGAREWFMASVDVSCSGSPNKLESVLQLNGPNISDSAPLEATETQEVSHKHLEQCTNRCLQNEKFTFSEIPPPTGPPVSDCDCTPQGQRGSVQLTTHPKAPSGSSDFQKTDSALCVSDKLHALQPTCCTRGAVETSAKEYSGQPGFAPTTGLIPFAPSCMDTTPRDNDDPAVTNLPTYLLISSSLGTKTHQSQSNPRKPHSKGPLVILDEELDEMETDMRKPSLEEPFICSGEKLHREGFMKSEFPEVPKESCSKVKELKLPGFDEDPLQFDTISLFSLLQKLSLMGDRHFPATFADDDLSLYASNSWSLSDEKQHRSKKGKVQIFLKEHQYKHSTVEEKSRNVISLSSTPLLPECHDSAPTCASKRKGTYRRGPAQAVAKRSLDNDETPGAPSSVKTLSLLKQSTRSSPQGTCTGSMRIESQDTEENSLNLNKSADKNKNKFGKKKVDLHSQIVAEVAVKRQCNSMSRTTRVYYEAPFLLGEPVTCSHKEASLPSTAELSLSKPQSLIESEQLNENRGDLTDSPSKLSKETQNQEKLNQNENAPLNNYTLENLSCSINAELNSSTPLQGSKSIAKNVLLNDTSVTMKKGQAELSVPSTFRINKLDHTTLESSGKPPIHELHQSSGSDSLTQLPVTTARTFNPRRLFTDVGKEPQATPPILVSDENIETVPEPSDPLESKLSLLHTRIETVPSRAPNKSSIEEQEKLRKGSLRLSDTGFVCDREQSIFTRKQQKKRRSPLKQKQEGSPDSVRHPFHEQTDDTRQIPLGGPGDGPNFSEPGVDLTISTKEGTEEDLHTKIHLKDYVCIKNKPESHKLQPSLDQQSLCDQEEVTKKISHKIKEARQARQLRALTKSYERKLFALKEKLKEKEECSLPKGPPEDM
+>sp|Q8IXS6|PALM2_HUMAN Paralemmin-2 OS=Homo sapiens OX=9606 GN=PALM2 PE=1 SV=3
+MEMAEAELHKERLQAIAEKRKRQTEIEGKRQQLDEQILLLQHSKSKVLREKWLLQGIPAGTAEEEEARRRQSEEDEFRVKQLEDNIQRLEQEIQTLESEESQISAKEQIILEKLKETEKSFKDFQKGFSSTDGAVYAMEINVEKDKQTGETKILSTSTIGPEGVHQKGVKVYDDGTKVVYEVRSGGTVVENGVHKLSTKDVEELIQKAGQSSLGGGHVSERTVIADGSLSHPKEHMLCKEAKLEMVHKSRKDHSSGNPGQQAQAPSAAGPEANLDQPVTMIFMGYQNIEDEEETKKVLGYDETIKAELVLIDEDDEKSLREKTVTDVSTIDGNAAELVSGRPVSDTTEPSSPEGKEESLATEPAPGTQKKKRCQCCVVM
+>DECOY_sp|Q8IXS6|PALM2_HUMAN Paralemmin-2 OS=Homo sapiens OX=9606 GN=PALM2 PE=1 SV=3
+MVVCCQCRKKKQTGPAPETALSEEKGEPSSPETTDSVPRGSVLEAANGDITSVDTVTKERLSKEDDEDILVLEAKITEDYGLVKKTEEEDEINQYGMFIMTVPQDLNAEPGAASPAQAQQGPNGSSHDKRSKHVMELKAEKCLMHEKPHSLSGDAIVTRESVHGGGLSSQGAKQILEEVDKTSLKHVGNEVVTGGSRVEYVVKTGDDYVKVGKQHVGEPGITSTSLIKTEGTQKDKEVNIEMAYVAGDTSSFGKQFDKFSKETEKLKELIIQEKASIQSEESELTQIEQELRQINDELQKVRFEDEESQRRRAEEEEATGAPIGQLLWKERLVKSKSHQLLLIQEDLQQRKGEIETQRKRKEAIAQLREKHLEAEAMEM
+>sp|Q504Q3|PAN2_HUMAN PAN2-PAN3 deadenylation complex catalytic subunit PAN2 OS=Homo sapiens OX=9606 GN=PAN2 PE=1 SV=3
+MNFEGLDPGLAEYAPAMHSALDPVLDAHLNPSLLQNVELDPEGVALEALPVQESVHIMEGVYSELHSVVAEVGVPVSVSHFDLHEEMLWVGSHGGHATSFFGPALERYSSFQVNGSDDIRQIQSLENGILFLTKNNLKYMARGGLIIFDYLLDENEDMHSLLLTDSSTLLVGGLQNHIIEIDLNTVQETQKYAVETPGVTIMRQTNRFFFCGHTSGKVSLRDLRTFKVEHEFDAFSGSLSDFDVHGNLLAACGFSSRLTGLACDRFLKVYDLRMMRAITPLQVHVDPAFLRFIPTYTSRLAIISQSGQCQFCEPTGLANPADIFHVNPVGPLLMTFDVSASKQALAFGDSEGCVHLWTDSPEPSFNPYSRETEFALPCLVDSLPPLDWSQDLLPLSLIPVPLTTDTLLSDWPAANSAPAPRRAPPVDAEILRTMKKVGFIGYAPNPRTRLRNQIPYRLKESDSEFDSFSQVTESPVGREEEPHLHMVSKKYRKVTIKYSKLGLEDFDFKHYNKTLFAGLEPHIPNAYCNCMIQVLYFLEPVRCLIQNHLCQKEFCLACELGFLFHMLDLSRGDPCQGNNFLRAFRTIPEASALGLILADSDEASGKGNLARLIQRWNRFILTQLHQDMQELEIPQAYRGAGGSSFCSSGDSVIGQLFSCEMENCSLCRCGSETVRASSTLLFTLSYPDGSKSDKTGKNYDFAQVLKRSICLDQNTQAWCDTCEKYQPTIQTRNIRHLPDILVINCEVNSSKEADFWRMQAEVAFKMAVKKHGGEISKNKEFALADWKELGSPEGVLVCPSIEELKNVWLPFSIRMKMTKNKGLDVCNWTDGDEMQWGPARAEEEHGVYVYDLMATVVHILDSRTGGSLVAHIKVGETYHQRKEGVTHQQWYLFNDFLIEPIDKHEAVQFDMNWKVPAILYYVKRNLNSRYNLNIKNPIEASVLLAEASLARKQRKTHTTFIPLMLNEMPQIGDLVGLDAEFVTLNEEEAELRSDGTKSTIKPSQMSVARITCVRGQGPNEGIPFIDDYISTQEQVVDYLTQYSGIKPGDLDAKISSKHLTTLKSTYLKLRFLIDIGVKFVGHGLQKDFRVINLMVPKDQVLDTVYLFHMPRKRMISLRFLAWYFLDLKIQGETHDSIEDARTALQLYRKYLELSKNGTEPESFHKVLKGLYEKGRKMDWKVPEPEGQTSPKNAAVFSSVLAL
+>DECOY_sp|Q504Q3|PAN2_HUMAN PAN2-PAN3 deadenylation complex catalytic subunit PAN2 OS=Homo sapiens OX=9606 GN=PAN2 PE=1 SV=3
+LALVSSFVAANKPSTQGEPEPVKWDMKRGKEYLGKLVKHFSEPETGNKSLELYKRYLQLATRADEISDHTEGQIKLDLFYWALFRLSIMRKRPMHFLYVTDLVQDKPVMLNIVRFDKQLGHGVFKVGIDILFRLKLYTSKLTTLHKSSIKADLDGPKIGSYQTLYDVVQEQTSIYDDIFPIGENPGQGRVCTIRAVSMQSPKITSKTGDSRLEAEEENLTVFEADLGVLDGIQPMENLMLPIFTTHTKRQKRALSAEALLVSAEIPNKINLNYRSNLNRKVYYLIAPVKWNMDFQVAEHKDIPEILFDNFLYWQQHTVGEKRQHYTEGVKIHAVLSGGTRSDLIHVVTAMLDYVYVGHEEEARAPGWQMEDGDTWNCVDLGKNKTMKMRISFPLWVNKLEEISPCVLVGEPSGLEKWDALAFEKNKSIEGGHKKVAMKFAVEAQMRWFDAEKSSNVECNIVLIDPLHRINRTQITPQYKECTDCWAQTNQDLCISRKLVQAFDYNKGTKDSKSGDPYSLTFLLTSSARVTESGCRCLSCNEMECSFLQGIVSDGSSCFSSGGAGRYAQPIELEQMDQHLQTLIFRNWRQILRALNGKGSAEDSDALILGLASAEPITRFARLFNNGQCPDGRSLDLMHFLFGLECALCFEKQCLHNQILCRVPELFYLVQIMCNCYANPIHPELGAFLTKNYHKFDFDELGLKSYKITVKRYKKSVMHLHPEEERGVPSETVQSFSDFESDSEKLRYPIQNRLRTRPNPAYGIFGVKKMTRLIEADVPPARRPAPASNAAPWDSLLTDTTLPVPILSLPLLDQSWDLPPLSDVLCPLAFETERSYPNFSPEPSDTWLHVCGESDGFALAQKSASVDFTMLLPGVPNVHFIDAPNALGTPECFQCQGSQSIIALRSTYTPIFRLFAPDVHVQLPTIARMMRLDYVKLFRDCALGTLRSSFGCAALLNGHVDFDSLSGSFADFEHEVKFTRLDRLSVKGSTHGCFFFRNTQRMITVGPTEVAYKQTEQVTNLDIEIIHNQLGGVLLTSSDTLLLSHMDENEDLLYDFIILGGRAMYKLNNKTLFLIGNELSQIQRIDDSGNVQFSSYRELAPGFFSTAHGGHSGVWLMEEHLDFHSVSVPVGVEAVVSHLESYVGEMIHVSEQVPLAELAVGEPDLEVNQLLSPNLHADLVPDLASHMAPAYEALGPDLGEFNM
+>sp|Q9H999|PANK3_HUMAN Pantothenate kinase 3 OS=Homo sapiens OX=9606 GN=PANK3 PE=1 SV=1
+MKIKDAKKPSFPWFGMDIGGTLVKLSYFEPIDITAEEEQEEVESLKSIRKYLTSNVAYGSTGIRDVHLELKDLTLFGRRGNLHFIRFPTQDLPTFIQMGRDKNFSTLQTVLCATGGGAYKFEKDFRTIGNLHLHKLDELDCLVKGLLYIDSVSFNGQAECYYFANASEPERCQKMPFNLDDPYPLLVVNIGSGVSILAVHSKDNYKRVTGTSLGGGTFLGLCSLLTGCESFEEALEMASKGDSTQADKLVRDIYGGDYERFGLPGWAVASSFGNMIYKEKRESVSKEDLARATLVTITNNIGSVARMCAVNEKINRVVFVGNFLRVNTLSMKLLAYALDYWSKGQLKALFLEHEGYFGAVGALLGLPNFS
+>DECOY_sp|Q9H999|PANK3_HUMAN Pantothenate kinase 3 OS=Homo sapiens OX=9606 GN=PANK3 PE=1 SV=1
+SFNPLGLLAGVAGFYGEHELFLAKLQGKSWYDLAYALLKMSLTNVRLFNGVFVVRNIKENVACMRAVSGINNTITVLTARALDEKSVSERKEKYIMNGFSSAVAWGPLGFREYDGGYIDRVLKDAQTSDGKSAMELAEEFSECGTLLSCLGLFTGGGLSTGTVRKYNDKSHVALISVGSGINVVLLPYPDDLNFPMKQCREPESANAFYYCEAQGNFSVSDIYLLGKVLCDLEDLKHLHLNGITRFDKEFKYAGGGTACLVTQLTSFNKDRGMQIFTPLDQTPFRIFHLNGRRGFLTLDKLELHVDRIGTSGYAVNSTLYKRISKLSEVEEQEEEATIDIPEFYSLKVLTGGIDMGFWPFSPKKADKIKM
+>sp|Q96RD7|PANX1_HUMAN Pannexin-1 OS=Homo sapiens OX=9606 GN=PANX1 PE=1 SV=4
+MAIAQLATEYVFSDFLLKEPTEPKFKGLRLELAVDKMVTCIAVGLPLLLISLAFAQEISIGTQISCFSPSSFSWRQAAFVDSYCWAAVQQKNSLQSESGNLPLWLHKFFPYILLLFAILLYLPPLFWRFAAAPHICSDLKFIMEELDKVYNRAIKAAKSARDLDMRDGACSVPGVTENLGQSLWEVSESHFKYPIVEQYLKTKKNSNNLIIKYISCRLLTLIIILLACIYLGYYFSLSSLSDEFVCSIKSGILRNDSTVPDQFQCKLIAVGIFQLLSVINLVVYVLLAPVVVYTLFVPFRQKTDVLKVYEILPTFDVLHFKSEGYNDLSLYNLFLEENISEVKSYKCLKVLENIKSSGQGIDPMLLLTNLGMIKMDVVDGKTPMSAEMREEQGNQTAELQGMNIDSETKANNGEKNARQRLLDSSC
+>DECOY_sp|Q96RD7|PANX1_HUMAN Pannexin-1 OS=Homo sapiens OX=9606 GN=PANX1 PE=1 SV=4
+CSSDLLRQRANKEGNNAKTESDINMGQLEATQNGQEERMEASMPTKGDVVDMKIMGLNTLLLMPDIGQGSSKINELVKLCKYSKVESINEELFLNYLSLDNYGESKFHLVDFTPLIEYVKLVDTKQRFPVFLTYVVVPALLVYVVLNIVSLLQFIGVAILKCQFQDPVTSDNRLIGSKISCVFEDSLSSLSFYYGLYICALLIIILTLLRCSIYKIILNNSNKKTKLYQEVIPYKFHSESVEWLSQGLNETVGPVSCAGDRMDLDRASKAAKIARNYVKDLEEMIFKLDSCIHPAAAFRWFLPPLYLLIAFLLLIYPFFKHLWLPLNGSESQLSNKQQVAAWCYSDVFAAQRWSFSSPSFCSIQTGISIEQAFALSILLLPLGVAICTVMKDVALELRLGKFKPETPEKLLFDSFVYETALQAIAM
+>sp|Q8N4S7|PAQR4_HUMAN Progestin and adipoQ receptor family member 4 OS=Homo sapiens OX=9606 GN=PAQR4 PE=2 SV=3
+MAFLAGPRLLDWASSPPHLQFNKFVLTGYRPASSGSGCLRSLFYLHNELGNIYTHGLALLGFLVLVPMTMPWGQLGKDGWLGGTHCVACLAPPAGSVLYHLFMCHQGGSAVYARLLALDMCGVCLVNTLGALPIIHCTLACRPWLRPAALVGYTVLSGVAGWRALTAPSTSARLRAFGWQAAARLLVFGARGVGLGSGAPGSLPCYLRMDALALLGGLVNVARLPERWGPGRFDYWGNSHQIMHLLSVGSILQLHAGVVPDLLWAAHHACPRD
+>DECOY_sp|Q8N4S7|PAQR4_HUMAN Progestin and adipoQ receptor family member 4 OS=Homo sapiens OX=9606 GN=PAQR4 PE=2 SV=3
+DRPCAHHAAWLLDPVVGAHLQLISGVSLLHMIQHSNGWYDFRGPGWREPLRAVNVLGGLLALADMRLYCPLSGPAGSGLGVGRAGFVLLRAAAQWGFARLRASTSPATLARWGAVGSLVTYGVLAAPRLWPRCALTCHIIPLAGLTNVLCVGCMDLALLRAYVASGGQHCMFLHYLVSGAPPALCAVCHTGGLWGDKGLQGWPMTMPVLVLFGLLALGHTYINGLENHLYFLSRLCGSGSSAPRYGTLVFKNFQLHPPSSAWDLLRPGALFAM
+>sp|Q86WK9|PAQR7_HUMAN Membrane progestin receptor alpha OS=Homo sapiens OX=9606 GN=PAQR7 PE=2 SV=1
+MAMAQKLSHLLPSLRQVIQEPQLSLQPEPVFTVDRAEVPPLFWKPYIYAGYRPLHQTWRFYFRTLFQQHNEAVNVWTHLLAALVLLLRLALFVETVDFWGDPHALPLFIIVLASFTYLSFSALAHLLQAKSEFWHYSFFFLDYVGVAVYQFGSALAHFYYAIEPAWHAQVQAVFLPMAAFLAWLSCIGSCYNKYIQKPGLLGRTCQEVPSVLAYALDISPVVHRIFVSSDPTTDDPALLYHKCQVVFFLLAAAFFSTFMPERWFPGSCHVFGQGHQLFHIFLVLCTLAQLEAVALDYEARRPIYEPLHTHWPHNFSGLFLLTVGSSILTAFLLSQLVQRKLDQKTK
+>DECOY_sp|Q86WK9|PAQR7_HUMAN Membrane progestin receptor alpha OS=Homo sapiens OX=9606 GN=PAQR7 PE=2 SV=1
+KTKQDLKRQVLQSLLFATLISSGVTLLFLGSFNHPWHTHLPEYIPRRAEYDLAVAELQALTCLVLFIHFLQHGQGFVHCSGPFWREPMFTSFFAAALLFFVVQCKHYLLAPDDTTPDSSVFIRHVVPSIDLAYALVSPVEQCTRGLLGPKQIYKNYCSGICSLWALFAAMPLFVAQVQAHWAPEIAYYFHALASGFQYVAVGVYDLFFFSYHWFESKAQLLHALASFSLYTFSALVIIFLPLAHPDGWFDVTEVFLALRLLLVLAALLHTWVNVAENHQQFLTRFYFRWTQHLPRYGAYIYPKWFLPPVEARDVTFVPEPQLSLQPEQIVQRLSPLLHSLKQAMAM
+>sp|Q8IY49|PAQRA_HUMAN Monocyte to macrophage differentiation factor 2 OS=Homo sapiens OX=9606 GN=MMD2 PE=1 SV=2
+MFAPRLLDFQKTKYARFMNHRVPAHKRYQPTEYEHAANCATHAFWIIPSILGSSNLYFLSDDDWETISAWIYGLGLCGLFVVSTVFHTISWKKSHLRMVEHCLHMFDRMVIYFFIAASYAPWLNLRELGPWASHMRWLVWIMASVGTIYVFFFHERTGSCVQFLRGEACPKAGTACLPARYKLVELLCYVVMGFFPALVILSMPNTEGIWELVTGGVFYCLGMVFFKSDGRIPFAHAIWHLFVAFGAGTHYYAIWRYLYLPSTLQTKVSK
+>DECOY_sp|Q8IY49|PAQRA_HUMAN Monocyte to macrophage differentiation factor 2 OS=Homo sapiens OX=9606 GN=MMD2 PE=1 SV=2
+KSVKTQLTSPLYLYRWIAYYHTGAGFAVFLHWIAHAFPIRGDSKFFVMGLCYFVGGTVLEWIGETNPMSLIVLAPFFGMVVYCLLEVLKYRAPLCATGAKPCAEGRLFQVCSGTREHFFFVYITGVSAMIWVLWRMHSAWPGLERLNLWPAYSAAIFFYIVMRDFMHLCHEVMRLHSKKWSITHFVTSVVFLGCLGLGYIWASITEWDDDSLFYLNSSGLISPIIWFAHTACNAAHEYETPQYRKHAPVRHNMFRAYKTKQFDLLRPAFM
+>sp|Q8N5Y8|PAR16_HUMAN Mono [ADP-ribose] polymerase PARP16 OS=Homo sapiens OX=9606 GN=PARP16 PE=1 SV=2
+MQPSGWAAAREAAGRDMLAADLRCSLFASALQSYKRDSVLRPFPASYARGDCKDFEALLADASKLPNLKELLQSSGDNHKRAWDLVSWILSSKVLTIHSAGKAEFEKIQKLTGAPHTPVPAPDFLFEIEYFDPANAKFYETKGERDLIYAFHGSRLENFHSIIHNGLHCHLNKTSLFGEGTYLTSDLSLALIYSPHGHGWQHSLLGPILSCVAVCEVIDHPDVKCQTKKKDSKEIDRRRARIKHSEGGDIPPKYFVVTNNQLLRVKYLLVYSQKPPKRASSQLSWFSSHWFTVMISLYLLLLLIVSVINSSAFQHFWNRAKR
+>DECOY_sp|Q8N5Y8|PAR16_HUMAN Mono [ADP-ribose] polymerase PARP16 OS=Homo sapiens OX=9606 GN=PARP16 PE=1 SV=2
+RKARNWFHQFASSNIVSVILLLLLYLSIMVTFWHSSFWSLQSSARKPPKQSYVLLYKVRLLQNNTVVFYKPPIDGGESHKIRARRRDIEKSDKKKTQCKVDPHDIVECVAVCSLIPGLLSHQWGHGHPSYILALSLDSTLYTGEGFLSTKNLHCHLGNHIISHFNELRSGHFAYILDREGKTEYFKANAPDFYEIEFLFDPAPVPTHPAGTLKQIKEFEAKGASHITLVKSSLIWSVLDWARKHNDGSSQLLEKLNPLKSADALLAEFDKCDGRAYSAPFPRLVSDRKYSQLASAFLSCRLDAALMDRGAAERAAAWGSPQM
+>sp|Q6ZW49|PAXI1_HUMAN PAX-interacting protein 1 OS=Homo sapiens OX=9606 GN=PAXIP1 PE=1 SV=2
+MSDQAPKVPEEMFREVKYYAVGDIDPQVIQLLKAGKAKEVSYNALASHIISEDGDNPEVGEAREVFDLPVVKPSWVILSVQCGTLLPVNGFSPESCQIFFGITACLSQVSSEDRSALWALVTFYGGDCQLTLNKKCTHLIVPEPKGEKYECALKRASIKIVTPDWVLDCVSEKTKKDEAFYHPRLIIYEEEEEEEEEEEEVENEEQDSQNEGSTDEKSSPASSQEGSPSGDQQFSPKSNTEKSKGELMFDDSSDSSPEKQERNLNWTPAEVPQLAAAKRRLPQGKEPGLINLCANVPPVPGNILPPEVRGNLMAAGQNLQSSERSEMIATWSPAVRTLRNITNNADIQQMNRPSNVAHILQTLSAPTKNLEQQVNHSQQGHTNANAVLFSQVKVTPETHMLQQQQQAQQQQQQHPVLHLQPQQIMQLQQQQQQQISQQPYPQQPPHPFSQQQQQQQQAHPHQFSQQQLQFPQQQLHPPQQLHRPQQQLQPFQQQHALQQQFHQLQQHQLQQQQLAQLQQQHSLLQQQQQQQIQQQQLQRMHQQQQQQQMQSQTAPHLSQTSQALQHQVPPQQPPQQQQQQQPPPSPQQHQLFGHDPAVEIPEEGFLLGCVFAIADYPEQMSDKQLLATWKRIIQAHGGTVDPTFTSRCTHLLCESQVSSAYAQAIRERKRCVTAHWLNTVLKKKKMVPPHRALHFPVAFPPGGKPCSQHIISVTGFVDSDRDDLKLMAYLAGAKYTGYLCRSNTVLICKEPTGLKYEKAKEWRIPCVNAQWLGDILLGNFEALRQIQYSRYTAFSLQDPFAPTQHLVLNLLDAWRVPLKVSAELLMSIRLPPKLKQNEVANVQPSSKRARIEDVPPPTKKLTPELTPFVLFTGFEPVQVQQYIKKLYILGGEVAESAQKCTHLIASKVTRTVKFLTAISVVKHIVTPEWLEECFRCQKFIDEQNYILRDAEAEVLFSFSLEESLKRAHVSPLFKAKYFYITPGICPSLSTMKAIVECAGGKVLSKQPSFRKLMEHKQNSSLSEIILISCENDLHLCREYFARGIDVHNAEFVLTGVLTQTLDYESYKFN
+>DECOY_sp|Q6ZW49|PAXI1_HUMAN PAX-interacting protein 1 OS=Homo sapiens OX=9606 GN=PAXIP1 PE=1 SV=2
+NFKYSEYDLTQTLVGTLVFEANHVDIGRAFYERCLHLDNECSILIIESLSSNQKHEMLKRFSPQKSLVKGGACEVIAKMTSLSPCIGPTIYFYKAKFLPSVHARKLSEELSFSFLVEAEADRLIYNQEDIFKQCRFCEELWEPTVIHKVVSIATLFKVTRTVKSAILHTCKQASEAVEGGLIYLKKIYQQVQVPEFGTFLVFPTLEPTLKKTPPPVDEIRARKSSPQVNAVENQKLKPPLRISMLLEASVKLPVRWADLLNLVLHQTPAFPDQLSFATYRSYQIQRLAEFNGLLIDGLWQANVCPIRWEKAKEYKLGTPEKCILVTNSRCLYGTYKAGALYAMLKLDDRDSDVFGTVSIIHQSCPKGGPPFAVPFHLARHPPVMKKKKLVTNLWHATVCRKRERIAQAYASSVQSECLLHTCRSTFTPDVTGGHAQIIRKWTALLQKDSMQEPYDAIAFVCGLLFGEEPIEVAPDHGFLQHQQPSPPPQQQQQQQPPQQPPVQHQLAQSTQSLHPATQSQMQQQQQQQHMRQLQQQQIQQQQQQQLLSHQQQLQALQQQQLQHQQLQHFQQQLAHQQQFPQLQQQPRHLQQPPHLQQQPFQLQQQSFQHPHAQQQQQQQQSFPHPPQQPYPQQSIQQQQQQQLQMIQQPQLHLVPHQQQQQQAQQQQQLMHTEPTVKVQSFLVANANTHGQQSHNVQQELNKTPASLTQLIHAVNSPRNMQQIDANNTINRLTRVAPSWTAIMESRESSQLNQGAAMLNGRVEPPLINGPVPPVNACLNILGPEKGQPLRRKAAALQPVEAPTWNLNREQKEPSSDSSDDFMLEGKSKETNSKPSFQQDGSPSGEQSSAPSSKEDTSGENQSDQEENEVEEEEEEEEEEEEYIILRPHYFAEDKKTKESVCDLVWDPTVIKISARKLACEYKEGKPEPVILHTCKKNLTLQCDGGYFTVLAWLASRDESSVQSLCATIGFFIQCSEPSFGNVPLLTGCQVSLIVWSPKVVPLDFVERAEGVEPNDGDESIIHSALANYSVEKAKGAKLLQIVQPDIDGVAYYKVERFMEEPVKPAQDSM
+>sp|P30039|PBLD_HUMAN Phenazine biosynthesis-like domain-containing protein OS=Homo sapiens OX=9606 GN=PBLD PE=1 SV=2
+MKLPIFIADAFTARAFRGNPAAVCLLENELDEDMHQKIAREMNLSETAFIRKLHPTDNFAQSSCFGLRWFTPASEVPLCGHATLASAAVLFHKIKNMNSTLTFVTLSGELRARRAEDGIVLDLPLYPAHPQDFHEVEDLIKTAIGNTLVQDICYSPDTQKLLVRLSDVYNRSFLENLKVNTENLLQVENTGKVKGLILTLKGEPGGQTQAFDFYSRYFAPWVGVAEDPVTGSAHAVLSSYWSQHLGKKEMHAFQCSHRGGELGISLRPDGRVDIRGGAAVVLEGTLTA
+>DECOY_sp|P30039|PBLD_HUMAN Phenazine biosynthesis-like domain-containing protein OS=Homo sapiens OX=9606 GN=PBLD PE=1 SV=2
+ATLTGELVVAAGGRIDVRGDPRLSIGLEGGRHSCQFAHMEKKGLHQSWYSSLVAHASGTVPDEAVGVWPAFYRSYFDFAQTQGGPEGKLTLILGKVKGTNEVQLLNETNVKLNELFSRNYVDSLRVLLKQTDPSYCIDQVLTNGIATKILDEVEHFDQPHAPYLPLDLVIGDEARRARLEGSLTVFTLTSNMNKIKHFLVAASALTAHGCLPVESAPTFWRLGFCSSQAFNDTPHLKRIFATESLNMERAIKQHMDEDLENELLCVAAPNGRFARATFADAIFIPLKM
+>sp|Q9GZY1|PBOV1_HUMAN Prostate and breast cancer overexpressed gene 1 protein OS=Homo sapiens OX=9606 GN=PBOV1 PE=2 SV=1
+MRAFLRNQKYEDMHNIIHILQIRKLRHRLSNFPRLPGILAPETVLLPFCYKVFRKKEKVKRSQKATEFIDYSIEQSHHAILTPLQTHLTMKGSSMKCSSLSSEAILFTLTLQLTQTLGLECCLLYLSKTIHPQII
+>DECOY_sp|Q9GZY1|PBOV1_HUMAN Prostate and breast cancer overexpressed gene 1 protein OS=Homo sapiens OX=9606 GN=PBOV1 PE=2 SV=1
+IIQPHITKSLYLLCCELGLTQTLQLTLTFLIAESSLSSCKMSSGKMTLHTQLPTLIAHHSQEISYDIFETAKQSRKVKEKKRFVKYCFPLLVTEPALIGPLRPFNSLRHRLKRIQLIHIINHMDEYKQNRLFARM
+>sp|A6NKN8|PC4L1_HUMAN Purkinje cell protein 4-like protein 1 OS=Homo sapiens OX=9606 GN=PCP4L1 PE=3 SV=3
+MSELNTKTSPATNQAAGQEEKGKAGNVKKAEEEEEIDIDLTAPETEKAALAIQGKFRRFQKRKKDPSS
+>DECOY_sp|A6NKN8|PC4L1_HUMAN Purkinje cell protein 4-like protein 1 OS=Homo sapiens OX=9606 GN=PCP4L1 PE=3 SV=3
+SSPDKKRKQFRRFKGQIALAAKETEPATLDIDIEEEEEAKKVNGAKGKEEQGAAQNTAPSTKTNLESM
+>sp|O60502|OGA_HUMAN Protein O-GlcNAcase OS=Homo sapiens OX=9606 GN=MGEA5 PE=1 SV=2
+MVQKESQATLEERESELSSNPAASAGASLEPPAAPAPGEDNPAGAGGAAVAGAAGGARRFLCGVVEGFYGRPWVMEQRKELFRRLQKWELNTYLYAPKDDYKHRMFWREMYSVEEAEQLMTLISAAREYEIEFIYAISPGLDITFSNPKEVSTLKRKLDQVSQFGCRSFALLFDDIDHNMCAADKEVFSSFAHAQVSITNEIYQYLGEPETFLFCPTEYCGTFCYPNVSQSPYLRTVGEKLLPGIEVLWTGPKVVSKEIPVESIEEVSKIIKRAPVIWDNIHANDYDQKRLFLGPYKGRSTELIPRLKGVLTNPNCEFEANYVAIHTLATWYKSNMNGVRKDVVMTDSEDSTVSIQIKLENEGSDEDIETDVLYSPQMALKLALTEWLQEFGVPHQYSSRQVAHSGAKASVVDGTPLVAAPSLNATTVVTTVYQEPIMSQGAALSGEPTTLTKEEEKKQPDEEPMDMVVEKQEETDHKNDNQILSEIVEAKMAEELKPMDTDKESIAESKSPEMSMQEDCISDIAPMQTDEQTNKEQFVPGPNEKPLYTAEPVTLEDLQLLADLFYLPYEHGPKGAQMLREFQWLRANSSVVSVNCKGKDSEKIEEWRSRAAKFEEMCGLVMGMFTRLSNCANRTILYDMYSYVWDIKSIMSMVKSFVQWLGCRSHSSAQFLIGDQEPWAFRGGLAGEFQRLLPIDGANDLFFQPPPLTPTSKVYTIRPYFPKDEASVYKICREMYDDGVGLPFQSQPDLIGDKLVGGLLSLSLDYCFVLEDEDGICGYALGTVDVTPFIKKCKISWIPFMQEKYTKPNGDKELSEAEKIMLSFHEEQEVLPETFLANFPSLIKMDIHKKVTDPSVAKSMMACLLSSLKANGSRGAFCEVRPDDKRILEFYSKLGCFEIAKMEGFPKDVVILGRSL
+>DECOY_sp|O60502|OGA_HUMAN Protein O-GlcNAcase OS=Homo sapiens OX=9606 GN=MGEA5 PE=1 SV=2
+LSRGLIVVDKPFGEMKAIEFCGLKSYFELIRKDDPRVECFAGRSGNAKLSSLLCAMMSKAVSPDTVKKHIDMKILSPFNALFTEPLVEQEEHFSLMIKEAESLEKDGNPKTYKEQMFPIWSIKCKKIFPTVDVTGLAYGCIGDEDELVFCYDLSLSLLGGVLKDGILDPQSQFPLGVGDDYMERCIKYVSAEDKPFYPRITYVKSTPTLPPPQFFLDNAGDIPLLRQFEGALGGRFAWPEQDGILFQASSHSRCGLWQVFSKVMSMISKIDWVYSYMDYLITRNACNSLRTFMGMVLGCMEEFKAARSRWEEIKESDKGKCNVSVVSSNARLWQFERLMQAGKPGHEYPLYFLDALLQLDELTVPEATYLPKENPGPVFQEKNTQEDTQMPAIDSICDEQMSMEPSKSEAISEKDTDMPKLEEAMKAEVIESLIQNDNKHDTEEQKEVVMDMPEEDPQKKEEEKTLTTPEGSLAAGQSMIPEQYVTTVVTTANLSPAAVLPTGDVVSAKAGSHAVQRSSYQHPVGFEQLWETLALKLAMQPSYLVDTEIDEDSGENELKIQISVTSDESDTMVVDKRVGNMNSKYWTALTHIAVYNAEFECNPNTLVGKLRPILETSRGKYPGLFLRKQDYDNAHINDWIVPARKIIKSVEEISEVPIEKSVVKPGTWLVEIGPLLKEGVTRLYPSQSVNPYCFTGCYETPCFLFTEPEGLYQYIENTISVQAHAFSSFVEKDAACMNHDIDDFLLAFSRCGFQSVQDLKRKLTSVEKPNSFTIDLGPSIAYIFEIEYERAASILTMLQEAEEVSYMERWFMRHKYDDKPAYLYTNLEWKQLRRFLEKRQEMVWPRGYFGEVVGCLFRRAGGAAGAVAAGGAGAPNDEGPAPAAPPELSAGASAAPNSSLESEREELTAQSEKQVM
+>sp|P23515|OMGP_HUMAN Oligodendrocyte-myelin glycoprotein OS=Homo sapiens OX=9606 GN=OMG PE=1 SV=2
+MEYQILKMSLCLFILLFLTPGILCICPLQCICTERHRHVDCSGRNLSTLPSGLQENIIHLNLSYNHFTDLHNQLTQYTNLRTLDISNNRLESLPAHLPRSLWNMSAANNNIKLLDKSDTAYQWNLKYLDVSKNMLEKVVLIKNTLRSLEVLNLSSNKLWTVPTNMPSKLHIVDLSNNSLTQILPGTLINLTNLTHLYLHNNKFTFIPDQSFDQLFQLQEITLYNNRWSCDHKQNITYLLKWMMETKAHVIGTPCSTQISSLKEHNMYPTPSGFTSSLFTVSGMQTVDTINSLSVVTQPKVTKIPKQYRTKETTFGATLSKDTTFTSTDKAFVPYPEDTSTETINSHEAAAATLTIHLQDGMVTNTSLTSSTKSSPTPMTLSITSGMPNNFSEMPQQSTTLNLWREETTTNVKTPLPSVANAWKVNASFLLLLNVVVMLAV
+>DECOY_sp|P23515|OMGP_HUMAN Oligodendrocyte-myelin glycoprotein OS=Homo sapiens OX=9606 GN=OMG PE=1 SV=2
+VALMVVVNLLLLFSANVKWANAVSPLPTKVNTTTEERWLNLTTSQQPMESFNNPMGSTISLTMPTPSSKTSSTLSTNTVMGDQLHITLTAAAAEHSNITETSTDEPYPVFAKDTSTFTTDKSLTAGFTTEKTRYQKPIKTVKPQTVVSLSNITDVTQMGSVTFLSSTFGSPTPYMNHEKLSSIQTSCPTGIVHAKTEMMWKLLYTINQKHDCSWRNNYLTIEQLQFLQDFSQDPIFTFKNNHLYLHTLNTLNILTGPLIQTLSNNSLDVIHLKSPMNTPVTWLKNSSLNLVELSRLTNKILVVKELMNKSVDLYKLNWQYATDSKDLLKINNNAASMNWLSRPLHAPLSELRNNSIDLTRLNTYQTLQNHLDTFHNYSLNLHIINEQLGSPLTSLNRGSCDVHRHRETCICQLPCICLIGPTLFLLIFLCLSMKLIQYEM
+>sp|A8MZH6|OOSP1_HUMAN Putative oocyte-secreted protein 1 homolog OS=Homo sapiens OX=9606 GN=OOSP1 PE=5 SV=1
+MKTILGFKGLFYLHSLIWTCAGDWSAIQVHCTQFWFFARIKPTIFYNLYVNPDEVFLGDGCHVTHVLPNVYYEFFYHPHDCGIVTQPLQEVLLLKTKIRYISRDSTVRSEMPLSCVVHKQKCQ
+>DECOY_sp|A8MZH6|OOSP1_HUMAN Putative oocyte-secreted protein 1 homolog OS=Homo sapiens OX=9606 GN=OOSP1 PE=5 SV=1
+QCKQKHVVCSLPMESRVTSDRSIYRIKTKLLLVEQLPQTVIGCDHPHYFFEYYVNPLVHTVHCGDGLFVEDPNVYLNYFITPKIRAFFWFQTCHVQIASWDGACTWILSHLYFLGKFGLITKM
+>sp|P08100|OPSD_HUMAN Rhodopsin OS=Homo sapiens OX=9606 GN=RHO PE=1 SV=1
+MNGTEGPNFYVPFSNATGVVRSPFEYPQYYLAEPWQFSMLAAYMFLLIVLGFPINFLTLYVTVQHKKLRTPLNYILLNLAVADLFMVLGGFTSTLYTSLHGYFVFGPTGCNLEGFFATLGGEIALWSLVVLAIERYVVVCKPMSNFRFGENHAIMGVAFTWVMALACAAPPLAGWSRYIPEGLQCSCGIDYYTLKPEVNNESFVIYMFVVHFTIPMIIIFFCYGQLVFTVKEAAAQQQESATTQKAEKEVTRMVIIMVIAFLICWVPYASVAFYIFTHQGSNFGPIFMTIPAFFAKSAAIYNPVIYIMMNKQFRNCMLTTICCGKNPLGDDEASATVSKTETSQVAPA
+>DECOY_sp|P08100|OPSD_HUMAN Rhodopsin OS=Homo sapiens OX=9606 GN=RHO PE=1 SV=1
+APAVQSTETKSVTASAEDDGLPNKGCCITTLMCNRFQKNMMIYIVPNYIAASKAFFAPITMFIPGFNSGQHTFIYFAVSAYPVWCILFAIVMIIVMRTVEKEAKQTTASEQQQAAAEKVTFVLQGYCFFIIIMPITFHVVFMYIVFSENNVEPKLTYYDIGCSCQLGEPIYRSWGALPPAACALAMVWTFAVGMIAHNEGFRFNSMPKCVVVYREIALVVLSWLAIEGGLTAFFGELNCGTPGFVFYGHLSTYLTSTFGGLVMFLDAVALNLLIYNLPTRLKKHQVTVYLTLFNIPFGLVILLFMYAALMSFQWPEALYYQPYEFPSRVVGTANSFPVYFNPGETGNM
+>sp|P0DN78|OPSG3_HUMAN Medium-wave-sensitive opsin 3 OS=Homo sapiens OX=9606 GN=OPN1MW3 PE=3 SV=1
+MAQQWSLQRLAGRHPQDSYEDSTQSSIFTYTNSNSTRGPFEGPNYHIAPRWVYHLTSVWMIFVVIASVFTNGLVLAATMKFKKLRHPLNWILVNLAVADLAETVIASTISVVNQVYGYFVLGHPMCVLEGYTVSLCGITGLWSLAIISWERWMVVCKPFGNVRFDAKLAIVGIAFSWIWAAVWTAPPIFGWSRYWPHGLKTSCGPDVFSGSSYPGVQSYMIVLMVTCCITPLSIIVLCYLQVWLAIRAVAKQQKESESTQKAEKEVTRMVVVMVLAFCFCWGPYAFFACFAAANPGYPFHPLMAALPAFFAKSATIYNPVIYVFMNRQFRNCILQLFGKKVDDGSELSSASKTEVSSVSSVSPA
+>DECOY_sp|P0DN78|OPSG3_HUMAN Medium-wave-sensitive opsin 3 OS=Homo sapiens OX=9606 GN=OPN1MW3 PE=3 SV=1
+APSVSSVSSVETKSASSLESGDDVKKGFLQLICNRFQRNMFVYIVPNYITASKAFFAPLAAMLPHFPYGPNAAAFCAFFAYPGWCFCFALVMVVVMRTVEKEAKQTSESEKQQKAVARIALWVQLYCLVIISLPTICCTVMLVIMYSQVGPYSSGSFVDPGCSTKLGHPWYRSWGFIPPATWVAAWIWSFAIGVIALKADFRVNGFPKCVVMWREWSIIALSWLGTIGCLSVTYGELVCMPHGLVFYGYVQNVVSITSAIVTEALDAVALNVLIWNLPHRLKKFKMTAALVLGNTFVSAIVVFIMWVSTLHYVWRPAIHYNPGEFPGRTSNSNTYTFISSQTSDEYSDQPHRGALRQLSWQQAM
+>sp|Q96R84|OR1F2_HUMAN Putative olfactory receptor 1F2 OS=Homo sapiens OX=9606 GN=OR1F2P PE=5 SV=2
+MERDKPVSVSEFLLLGLSRQPQQQHLLFVFFLSMYLATVLGNLLIILAISIDSRLHTPMYFFLSNMSFVDNCFSTTVPKMLANHILRTQTISFSGCLMQMYFISELADMDNFLLAVMAYDRFVAVCRPLHYTAKMIHQLCALLVTGSWVVANSNALLHTLLMARLSFCADNTIPHIFCDVTPLLKLSCSDTHLSEVMILTEAALVTITPFLCLLASYMHITCVVLRVPSTKGRWKAFSTCGSHLAVVLLFYGTIMSPYFRTSSSHSAQRDIAAAVRFTVVTPVMNPLIYSLRNKDIKGALVKVVAVKFFSVQ
+>DECOY_sp|Q96R84|OR1F2_HUMAN Putative olfactory receptor 1F2 OS=Homo sapiens OX=9606 GN=OR1F2P PE=5 SV=2
+QVSFFKVAVVKVLAGKIDKNRLSYILPNMVPTVVTFRVAAAIDRQASHSSSTRFYPSMITGYFLLVVALHSGCTSFAKWRGKTSPVRLVVCTIHMYSALLCLFPTITVLAAETLIMVESLHTDSCSLKLLPTVDCFIHPITNDACFSLRAMLLTHLLANSNAVVWSGTVLLACLQHIMKATYHLPRCVAVFRDYAMVALLFNDMDALESIFYMQMLCGSFSITQTRLIHNALMKPVTTSFCNDVFSMNSLFFYMPTHLRSDISIALIILLNGLVTALYMSLFFVFLLHQQQPQRSLGLLLFESVSVPKDREM
+>sp|Q8NHA8|OR1FC_HUMAN Olfactory receptor 1F12 OS=Homo sapiens OX=9606 GN=OR1F12 PE=3 SV=1
+MEGKNQTNISEFLLLGFSSWQQQQVLLFALFLCLYLTGLFGNLLILLAIGSDHCLHTPMYFFLANLSLVDLCLPSATVPKMLLNIQTQTQTISYPGCLAQMYFCMMFANMDNFLLTVMAYDRYVAICHPLHYSTIMALRLCASLVAAPWVIAILNPLLHTLMMAHLHFCSDNVIHHFFCDINSLLPLSCSDTSLNQLSVLATVGLIFVVPSVCILVSYILIVSAVMKVPSAQGKLKAFSTCGSHLALVILFYGAITGVYMSPLSNHSTEKDSAASVIFMVVAPVLNPFIYSLRNNELKGTLKKTLSRPGAVAHACNPSTLGGRGGWIMRSGDRDHPG
+>DECOY_sp|Q8NHA8|OR1FC_HUMAN Olfactory receptor 1F12 OS=Homo sapiens OX=9606 GN=OR1F12 PE=3 SV=1
+GPHDRDGSRMIWGGRGGLTSPNCAHAVAGPRSLTKKLTGKLENNRLSYIFPNLVPAVVMFIVSAASDKETSHNSLPSMYVGTIAGYFLIVLALHSGCTSFAKLKGQASPVKMVASVILIYSVLICVSPVVFILGVTALVSLQNLSTDSCSLPLLSNIDCFFHHIVNDSCFHLHAMMLTHLLPNLIAIVWPAAVLSACLRLAMITSYHLPHCIAVYRDYAMVTLLFNDMNAFMMCFYMQALCGPYSITQTQTQINLLMKPVTASPLCLDVLSLNALFFYMPTHLCHDSGIALLILLNGFLGTLYLCLFLAFLLVQQQQWSSFGLLLFESINTQNKGEM
+>sp|O60431|OR1I1_HUMAN Olfactory receptor 1I1 OS=Homo sapiens OX=9606 GN=OR1I1 PE=2 SV=1
+MEPEKQTEISEFFLQGLSEKPEHQTLLFTMFLSTYLVTIIGNALIILAIITDSHLHTPMYFFLFNLSLVDTLLSSTTVPKMLANIQAQSRAIPFVGCLTQMYAFHLFGTMDSFLLAVMAIDRFVAIVHPQRYLVLMCSPVCGLLLGASWMITNLQSLIHTCLMAQLTFCAGSEISHFFCDLMPLLKLSGSDTHTNELVIFAFGIVVGTSPFSCILLSYIRIFWTVFKIPSTRGKWKAFSTCGLHLTVVSLSYGTIFAVYLQPTSPSSSQKDKAAALMCGVFIPMLNPFIYSIRNKDMKAALGKLIGKVAVPCPRPEQLLDVYHVPGSLLAARDTEMHPIPYPGGVQSLAGNRDME
+>DECOY_sp|O60431|OR1I1_HUMAN Olfactory receptor 1I1 OS=Homo sapiens OX=9606 GN=OR1I1 PE=2 SV=1
+EMDRNGALSQVGGPYPIPHMETDRAALLSGPVHYVDLLQEPRPCPVAVKGILKGLAAKMDKNRISYIFPNLMPIFVGCMLAAAKDKQSSSPSTPQLYVAFITGYSLSVVTLHLGCTSFAKWKGRTSPIKFVTWFIRIYSLLICSFPSTGVVIGFAFIVLENTHTDSGSLKLLPMLDCFFHSIESGACFTLQAMLCTHILSQLNTIMWSAGLLLGCVPSCMLVLYRQPHVIAVFRDIAMVALLFSDMTGFLHFAYMQTLCGVFPIARSQAQINALMKPVTTSSLLTDVLSLNFLFFYMPTHLHSDTIIALIILANGIITVLYTSLFMTFLLTQHEPKESLGQLFFESIETQKEPEM
+>sp|Q8NGS2|OR1J2_HUMAN Olfactory receptor 1J2 OS=Homo sapiens OX=9606 GN=OR1J2 PE=2 SV=1
+MSPENQSSVSEFLLLGLPIRPEQQAVFFTLFLGMYLTTVLGNLLIMLLIQLDSHLHTPMYFFLSHLALTDISFSSVTVPKMLMDMRTKYKSILYEECISQMYFFIFFTDLDSFLITSMAYDRYVAICHPLHYTVIMREELCVFLVAVSWILSCASSLSHTLLLTRLSFCAANTIPHVFCDLAALLKLSCSDIFLNELVMFTVGVVVITLPFMCILVSYGYIGATILRVPSTKGIHKALSTCGSHLSVVSLYYGSIFGQYLFPTVSSSIDKDVIVALMYTVVTPMLNPFIYSLRNRDMKEALGKLFSRATFFSW
+>DECOY_sp|Q8NGS2|OR1J2_HUMAN Olfactory receptor 1J2 OS=Homo sapiens OX=9606 GN=OR1J2 PE=2 SV=1
+WSFFTARSFLKGLAEKMDRNRLSYIFPNLMPTVVTYMLAVIVDKDISSSVTPFLYQGFISGYYLSVVSLHSGCTSLAKHIGKTSPVRLITAGIYGYSVLICMFPLTIVVVGVTFMVLENLFIDSCSLKLLAALDCFVHPITNAACFSLRTLLLTHSLSSACSLIWSVAVLFVCLEERMIVTYHLPHCIAVYRDYAMSTILFSDLDTFFIFFYMQSICEEYLISKYKTRMDMLMKPVTVSSFSIDTLALHSLFFYMPTHLHSDLQILLMILLNGLVTTLYMGLFLTFFVAQQEPRIPLGLLLFESVSSQNEPSM
+>sp|Q15612|OR1Q1_HUMAN Olfactory receptor 1Q1 OS=Homo sapiens OX=9606 GN=OR1Q1 PE=2 SV=3
+MDNSNWTSVSHFVLLGISTHPEEQIPLFLVFSLMYAINISGNLAIITLILSAPRLHIPMYIFLSNLALTDICFTSTTVPKMLQIIFSPTKVISYTGCLAQTYFFICFAVMENFILAVMAYDRYIAICHPFHYTMILTRMLCVKMVVMCHALSHLHAMLHTFLIGQLIFCADNRIPHFFCDLYALMKISCTSTYLNTLMIHTEGAVVISGALAFITASYACIILVVLRIPSAKGRWKTFSTCGSHLTVVAIFYGTLSWVYFRPLSSYSVTKGRIITVVYTVVTPMLNPFIYSLRNGDVKGGFMKWMSRMQTFFFR
+>DECOY_sp|Q15612|OR1Q1_HUMAN Olfactory receptor 1Q1 OS=Homo sapiens OX=9606 GN=OR1Q1 PE=2 SV=3
+RFFFTQMRSMWKMFGGKVDGNRLSYIFPNLMPTVVTYVVTIIRGKTVSYSSLPRFYVWSLTGYFIAVVTLHSGCTSFTKWRGKASPIRLVVLIICAYSATIFALAGSIVVAGETHIMLTNLYTSTCSIKMLAYLDCFFHPIRNDACFILQGILFTHLMAHLHSLAHCMVVMKVCLMRTLIMTYHFPHCIAIYRDYAMVALIFNEMVAFCIFFYTQALCGTYSIVKTPSFIIQLMKPVTTSTFCIDTLALNSLFIYMPIHLRPASLILTIIALNGSINIAYMLSFVLFLPIQEEPHTSIGLLVFHSVSTWNSNDM
+>sp|Q9GZK3|OR2B2_HUMAN Olfactory receptor 2B2 OS=Homo sapiens OX=9606 GN=OR2B2 PE=2 SV=1
+MNWVNKSVPQEFILLVFSDQPWLEIPPFVMFLFSYILTIFGNLTIILVSHVDFKLHTPMYFFLSNLSLLDLCYTTSTVPQMLVNICNTRKVISYGGCVAQLFIFLALGSTECLLLAVMCFDRFVAICRPLHYSIIMHQRLCFQLAAASWISGFSNSVLQSTWTLKMPLCGHKEVDHFFCEVPALLKLSCVDTTANEAELFFISVLFLLIPVTLILISYAFIVQAVLRIQSAEGQRKAFGTCGSHLIVVSLFYGTAISMYLQPPSPSSKDRGKMVSLFCGIIAPMLNPLIYTLRNKEVKEAFKRLVAKSLLNQEIRNMQMISFAKDTVLTYLTNFSASCPIFVITIENYCNLPQRKFP
+>DECOY_sp|Q9GZK3|OR2B2_HUMAN Olfactory receptor 2B2 OS=Homo sapiens OX=9606 GN=OR2B2 PE=2 SV=1
+PFKRQPLNCYNEITIVFIPCSASFNTLYTLVTDKAFSIMQMNRIEQNLLSKAVLRKFAEKVEKNRLTYILPNLMPAIIGCFLSVMKGRDKSSPSPPQLYMSIATGYFLSVVILHSGCTGFAKRQGEASQIRLVAQVIFAYSILILTVPILLFLVSIFFLEAENATTDVCSLKLLAPVECFFHDVEKHGCLPMKLTWTSQLVSNSFGSIWSAAALQFCLRQHMIISYHLPRCIAVFRDFCMVALLLCETSGLALFIFLQAVCGGYSIVKRTNCINVLMQPVTSTTYCLDLLSLNSLFFYMPTHLKFDVHSVLIITLNGFITLIYSFLFMVFPPIELWPQDSFVLLIFEQPVSKNVWNM
+>sp|Q5JQS5|OR2BB_HUMAN Olfactory receptor 2B11 OS=Homo sapiens OX=9606 GN=OR2B11 PE=2 SV=1
+MKSDNHSFLGDSPKAFILLGVSDRPWLELPLFVVLLLSYVLAMLGNVAIILASRVDPQLHSPMYIFLSHLSFLDLCYTTTTVPQMLVNMGSSQKTISYGGCTVQYAVFHWLGCTECIVLAAMALDRYVAICKPLHYAVLMHRALCQQLVALAWLSGFGNSFVQVVLTVQLPFCGRQVLNNFFCEVPAVIKLSCADTAVNDTILAVLVAFFVLVPLALILLSYGFIARAVLRIQSSKGRHKAFGTCSSHLMIVSLFYLPAIYMYLQPPSSYSQEQGKFISLFYSIITPTLNPFTYTLRNKDMKGALRRLLARIWRLCG
+>DECOY_sp|Q5JQS5|OR2BB_HUMAN Olfactory receptor 2B11 OS=Homo sapiens OX=9606 GN=OR2B11 PE=2 SV=1
+GCLRWIRALLRRLAGKMDKNRLTYTFPNLTPTIISYFLSIFKGQEQSYSSPPQLYMYIAPLYFLSVIMLHSSCTGFAKHRGKSSQIRLVARAIFGYSLLILALPVLVFFAVLVALITDNVATDACSLKIVAPVECFFNNLVQRGCFPLQVTLVVQVFSNGFGSLWALAVLQQCLARHMLVAYHLPKCIAVYRDLAMAALVICETCGLWHFVAYQVTCGGYSITKQSSGMNVLMQPVTTTTYCLDLFSLHSLFIYMPSHLQPDVRSALIIAVNGLMALVYSLLLVVFLPLELWPRDSVGLLIFAKPSDGLFSHNDSKM
+>sp|Q8NGH3|OR2D3_HUMAN Olfactory receptor 2D3 OS=Homo sapiens OX=9606 GN=OR2D3 PE=2 SV=2
+MCSFFLCQTGKQAKISMGEENQTFVSKFIFLGLSQDLQTQILLFILFLIIYLLTVLGNQLIIILIFLDSRLHTPMYFFLRNLSFADLCFSTSIVPQVLVHFLVKRKTISFYGCMTQIIVFLLVGCTECALLAVMSYDRYVAVCKPLYYSTIMTQRVCLWLSFRSWASGALVSLVDTSFTFHLPYWGQNIINHYFCEPPALLKLASIDTYSTEMAIFSMGVVILLAPVSLILGSYWNIISTVIQMQSGEGRLKAFSTCGSHLIVVVLFYGSGIFTYMRPNSKTTKELDKMISVFYTAVTPMLNPIIYSLRNKDVKGALRKLVGRKCFSHRQ
+>DECOY_sp|Q8NGH3|OR2D3_HUMAN Olfactory receptor 2D3 OS=Homo sapiens OX=9606 GN=OR2D3 PE=2 SV=2
+QRHSFCKRGVLKRLAGKVDKNRLSYIIPNLMPTVATYFVSIMKDLEKTTKSNPRMYTFIGSGYFLVVVILHSGCTSFAKLRGEGSQMQIVTSIINWYSGLILSVPALLIVVGMSFIAMETSYTDISALKLLAPPECFYHNIINQGWYPLHFTFSTDVLSVLAGSAWSRFSLWLCVRQTMITSYYLPKCVAVYRDYSMVALLACETCGVLLFVIIQTMCGYFSITKRKVLFHVLVQPVISTSFCLDAFSLNRLFFYMPTHLRSDLFILIIILQNGLVTLLYIILFLIFLLIQTQLDQSLGLFIFKSVFTQNEEGMSIKAQKGTQCLFFSCM
+>sp|Q8NGZ5|OR2G2_HUMAN Olfactory receptor 2G2 OS=Homo sapiens OX=9606 GN=OR2G2 PE=3 SV=1
+MGMVRHTNESNLAGFILLGFSDYPQLQKVLFVLILILYLLTILGNTTIILVSRLEPKLHMPMYFFLSHLSFLYRCFTSSVIPQLLVNLWEPMKTIAYGGCLVHLYNSHALGSTECVLPAVMSCDRYVAVCRPLHYTVLMHIHLCMALASMAWLSGIATTLVQSTLTLQLPFCGHRQVDHFICEVPVLIKLACVGTTFNEAELFVASILFLIVPVSFILVSSGYIAHAVLRIKSATRRQKAFGTCFSHLTVVTIFYGTIIFMYLQPAKSRSRDQGKFVSLFYTVVTRMLNPLIYTLRIKEVKGALKKVLAKALGVNIL
+>DECOY_sp|Q8NGZ5|OR2G2_HUMAN Olfactory receptor 2G2 OS=Homo sapiens OX=9606 GN=OR2G2 PE=3 SV=1
+LINVGLAKALVKKLAGKVEKIRLTYILPNLMRTVVTYFLSVFKGQDRSRSKAPQLYMFIITGYFITVVTLHSFCTGFAKQRRTASKIRLVAHAIYGSSVLIFSVPVILFLISAVFLEAENFTTGVCALKILVPVECIFHDVQRHGCFPLQLTLTSQVLTTAIGSLWAMSALAMCLHIHMLVTYHLPRCVAVYRDCSMVAPLVCETSGLAHSNYLHVLCGGYAITKMPEWLNVLLQPIVSSTFCRYLFSLHSLFFYMPMHLKPELRSVLIITTNGLITLLYLILILVFLVKQLQPYDSFGLLIFGALNSENTHRVMGM
+>sp|Q9GZK4|OR2H1_HUMAN Olfactory receptor 2H1 OS=Homo sapiens OX=9606 GN=OR2H1 PE=2 SV=1
+MVNQSSPMGFLLLGFSEHPALERTLFVVVFTSYLLTLVGNTLIILLSVLYPRLHSPMYFFLSDLSFLDLCFTTSCVPQMLVNLWGPKKTISFLGCSVQLFIFLSLGTTECILLTVMAFDRYVAVCQPLHYATIIHPRLCWQLASVAWVMSLVQSIVQTPSTLHLPFCPHQQIDDFLCEVPSLIRLSCGDTSYNEIQLAVSSVIFVVVPLSLILASYGATAQAVLRINSATAWRKAFGTCSSHLTVVTLFYSSVIAVYLQPKNPYAQGRGKFFGLFYAVGTPSLNPLVYTLRNKEIKRALRRLLGKERDSRESWRAA
+>DECOY_sp|Q9GZK4|OR2H1_HUMAN Olfactory receptor 2H1 OS=Homo sapiens OX=9606 GN=OR2H1 PE=2 SV=1
+AARWSERSDREKGLLRRLARKIEKNRLTYVLPNLSPTGVAYFLGFFKGRGQAYPNKPQLYVAIVSSYFLTVVTLHSSCTGFAKRWATASNIRLVAQATAGYSALILSLPVVVFIVSSVALQIENYSTDGCSLRILSPVECLFDDIQQHPCFPLHLTSPTQVISQVLSMVWAVSALQWCLRPHIITAYHLPQCVAVYRDFAMVTLLICETTGLSLFIFLQVSCGLFSITKKPGWLNVLMQPVCSTTFCLDLFSLDSLFFYMPSHLRPYLVSLLIILTNGVLTLLYSTFVVVFLTRELAPHESFGLLLFGMPSSQNVM
+>sp|O76002|OR2J2_HUMAN Olfactory receptor 2J2 OS=Homo sapiens OX=9606 GN=OR2J2 PE=2 SV=1
+MMIKKNASSEDFFILLGFSNWPQLEVVLFVVILIFYLMTLTGNLFIIILSYVDSHLHTPMYFFLSNLSFLDLCHTTSSIPQLLVNLRGPEKTISYAGCMVQLYFVLALGIAECVLLVVMSYDRYVAVCRPLHYTVLMHPRFCHLLAAASWVIGFTISALHSSFTFWVPLCGHRLVDHFFCEVPALLRLSCVDTHANELTLMVMSSIFVLIPLILILTAYGAIARAVLSMQSTTGLQKVFRTCGAHLMVVSLFFIPVMCMYLQPPSENSPDQGKFIALFYTVVTPSLNPLIYTLRNKHVKGAAKRLLGWEWGK
+>DECOY_sp|O76002|OR2J2_HUMAN Olfactory receptor 2J2 OS=Homo sapiens OX=9606 GN=OR2J2 PE=2 SV=1
+KGWEWGLLRKAAGKVHKNRLTYILPNLSPTVVTYFLAIFKGQDPSNESPPQLYMCMVPIFFLSVVMLHAGCTRFVKQLGTTSQMSLVARAIAGYATLILILPILVFISSMVMLTLENAHTDVCSLRLLAPVECFFHDVLRHGCLPVWFTFSSHLASITFGIVWSAAALLHCFRPHMLVTYHLPRCVAVYRDYSMVVLLVCEAIGLALVFYLQVMCGAYSITKEPGRLNVLLQPISSTTHCLDLFSLNSLFFYMPTHLHSDVYSLIIIFLNGTLTMLYFILIVVFLVVELQPWNSFGLLIFFDESSANKKIMM
+>sp|Q8NG85|OR2L3_HUMAN Olfactory receptor 2L3 OS=Homo sapiens OX=9606 GN=OR2L3 PE=2 SV=1
+MENYNQTSTDFILLGFFPPSRIGLFLFILIVFIFLMALIGNLSMILLIFLDTHLHTPMYFLLSQLSLIDLNYISTIVPKMASDFLSGNKSISFTGCGIQSFFFSALGGAEALLLASMAYDRYIAICFPLHYPIRMSKRMCVLMITGSWIIGSINACAHTVYVLHIPYCQSRAINHFFCDVPAMVTLACMDTWVYEGTVFLSTTIFLVFPFIAISCSYGRVLLAVYHMKSAEGRKKAYLTCSTHLTVVTFYYAPFVYTYLRPRSLRSPTEDKVLAVFYTTLTPMLNPIIYSLRNKEVMGALTRVSQRICSGKM
+>DECOY_sp|Q8NG85|OR2L3_HUMAN Olfactory receptor 2L3 OS=Homo sapiens OX=9606 GN=OR2L3 PE=2 SV=1
+MKGSCIRQSVRTLAGMVEKNRLSYIIPNLMPTLTTYFVALVKDETPSRLSRPRLYTYVFPAYYFTVVTLHTSCTLYAKKRGEASKMHYVALLVRGYSCSIAIFPFVLFITTSLFVTGEYVWTDMCALTVMAPVDCFFHNIARSQCYPIHLVYVTHACANISGIIWSGTIMLVCMRKSMRIPYHLPFCIAIYRDYAMSALLLAEAGGLASFFFSQIGCGTFSISKNGSLFDSAMKPVITSIYNLDILSLQSLLFYMPTHLHTDLFILLIMSLNGILAMLFIFVILIFLFLGIRSPPFFGLLIFDTSTQNYNEM
+>sp|Q8NGY9|OR2L8_HUMAN Olfactory receptor 2L8 OS=Homo sapiens OX=9606 GN=OR2L8 PE=2 SV=1
+MENYNQTSTDFILLGLFPPSRIDLFFFILIVFIFLMALIGNLSMILLIFLDTHLHTPMYFLLSQLSLIDLNYISTIVPKMASDFLHGNKSISFTGCGIQSFFFLALGGAEALLLASMAYDRYIAICFPLHYLIRMSKRVCVLMITGSWIIGSINACAHTVYVLHIPYCRSRAINHFFCDVPAMVTLACMDTWVYEGTVFLSATIFLVFPFIGISCSYGQVLFAVYHMKSAEGRKKAYLTCSTHLTVVTFYYAPFVYTYLRPRSLRSPTEDKVLAVFYTILTPMLNPIIYSLRNKEVMGALTRVSQRICSVKM
+>DECOY_sp|Q8NGY9|OR2L8_HUMAN Olfactory receptor 2L8 OS=Homo sapiens OX=9606 GN=OR2L8 PE=2 SV=1
+MKVSCIRQSVRTLAGMVEKNRLSYIIPNLMPTLITYFVALVKDETPSRLSRPRLYTYVFPAYYFTVVTLHTSCTLYAKKRGEASKMHYVAFLVQGYSCSIGIFPFVLFITASLFVTGEYVWTDMCALTVMAPVDCFFHNIARSRCYPIHLVYVTHACANISGIIWSGTIMLVCVRKSMRILYHLPFCIAIYRDYAMSALLLAEAGGLALFFFSQIGCGTFSISKNGHLFDSAMKPVITSIYNLDILSLQSLLFYMPTHLHTDLFILLIMSLNGILAMLFIFVILIFFFLDIRSPPFLGLLIFDTSTQNYNEM
+>sp|Q96R28|OR2M2_HUMAN Olfactory receptor 2M2 OS=Homo sapiens OX=9606 GN=OR2M2 PE=3 SV=2
+MAWENQTFNSDFILLGIFNHSPPHTFLFFLVLGIFLVAFMGNSVMVLLIYLDTQLHTPMYFLLSQLSLMDLMLICTTVPKMAFNYLSGSKSISMAGCVTQIFFYISLSGSECFLLAVMAYDRYIAICHPLRYTNLMNPKICGLMATFSWILGSTDGIIDAVATFSFSFCGSREIAHFFCEFPSLLILSCNDTSIFEEVIFICCIVMLVFPVAIIIASYARVILAVIHMGSGEGRCKAFTTCSSHLMVVGMYYGAALFMYIRPTSDHSPTQDKMVSVFYTILTPMLNPLIYSLRNKEVTRAFMKILGKGKSESELPHKLYVLLFAKFFFLISIFFYDVKILALIMYIA
+>DECOY_sp|Q96R28|OR2M2_HUMAN Olfactory receptor 2M2 OS=Homo sapiens OX=9606 GN=OR2M2 PE=3 SV=2
+AIYMILALIKVDYFFISILFFFKAFLLVYLKHPLESESKGKGLIKMFARTVEKNRLSYILPNLMPTLITYFVSVMKDQTPSHDSTPRIYMFLAAGYYMGVVMLHSSCTTFAKCRGEGSGMHIVALIVRAYSAIIIAVPFVLMVICCIFIVEEFISTDNCSLILLSPFECFFHAIERSGCFSFSFTAVADIIGDTSGLIWSFTAMLGCIKPNMLNTYRLPHCIAIYRDYAMVALLFCESGSLSIYFFIQTVCGAMSISKSGSLYNFAMKPVTTCILMLDMLSLQSLLFYMPTHLQTDLYILLVMVSNGMFAVLFIGLVLFFLFTHPPSHNFIGLLIFDSNFTQNEWAM
+>sp|A3KFT3|OR2M5_HUMAN Olfactory receptor 2M5 OS=Homo sapiens OX=9606 GN=OR2M5 PE=3 SV=1
+MAWENQTFNSDFILLGIFNHSPTHTFLFFLVLAIFSVAFMGNSVMVLLIYLDTQLHTPMYFLLSQLFLMDLMLICSTVPKMAFNYLSGSKSISMAGCATQIFFYVSLLGSECFLLAVMSYDRYIAICHPLRYTNLMRPKICGLMTAFSWILGSMDAIIDAVATFSFSYCGSREIAHFFCDFPSLLILSCNDTSIFEKVLFICCIVMIVFPVAIIIASYARVILAVIHMGSGEGRRKAFTTCSSHLMVVGMYYGAGLFMYIRPTSDRSPMQDKLVSVFYTILTPMLNPLIYSLRNKEVTRALRKVLGKGKCGE
+>DECOY_sp|A3KFT3|OR2M5_HUMAN Olfactory receptor 2M5 OS=Homo sapiens OX=9606 GN=OR2M5 PE=3 SV=1
+EGCKGKGLVKRLARTVEKNRLSYILPNLMPTLITYFVSVLKDQMPSRDSTPRIYMFLGAGYYMGVVMLHSSCTTFAKRRGEGSGMHIVALIVRAYSAIIIAVPFVIMVICCIFLVKEFISTDNCSLILLSPFDCFFHAIERSGCYSFSFTAVADIIADMSGLIWSFATMLGCIKPRMLNTYRLPHCIAIYRDYSMVALLFCESGLLSVYFFIQTACGAMSISKSGSLYNFAMKPVTSCILMLDMLFLQSLLFYMPTHLQTDLYILLVMVSNGMFAVSFIALVLFFLFTHTPSHNFIGLLIFDSNFTQNEWAM
+>sp|Q8NH00|OR2T4_HUMAN Olfactory receptor 2T4 OS=Homo sapiens OX=9606 GN=OR2T4 PE=3 SV=2
+MDNITWMASHTGWSDFILMGLFRQSKHPMANITWMANHTGWSDFILLGLFRQSKHPALLCVVIFVVFLMALSGNAVLILLIHCDAHLHTPMYFFISQLSLMDMAYISVTVPKMLLDQVMGVNKISAPECGMQMFFYVTLAGSEFFLLATMAYDRYVAICHPLRYPVLMNHRVCLFLSSGCWFLGSVDGFTFTPITMTFPFRGSREIHHFFCEVPAVLNLSCSDTSLYEIFMYLCCVLMLLIPVVIISSSYLLILLTIHGMNSAEGRKKAFATCSSHLTVVILFYGAAIYTYMLPSSYHTPEKDMMVSVFYTILTPVVNPLIYSLRNKDVMGALKKMLTVEPAFQKAME
+>DECOY_sp|Q8NH00|OR2T4_HUMAN Olfactory receptor 2T4 OS=Homo sapiens OX=9606 GN=OR2T4 PE=3 SV=2
+EMAKQFAPEVTLMKKLAGMVDKNRLSYILPNVVPTLITYFVSVMMDKEPTHYSSPLMYTYIAAGYFLIVVTLHSSCTAFAKKRGEASNMGHITLLILLYSSSIIVVPILLMLVCCLYMFIEYLSTDSCSLNLVAPVECFFHHIERSGRFPFTMTIPTFTFGDVSGLFWCGSSLFLCVRHNMLVPYRLPHCIAVYRDYAMTALLFFESGALTVYFFMQMGCEPASIKNVGMVQDLLMKPVTVSIYAMDMLSLQSIFFYMPTHLHADCHILLILVANGSLAMLFVVFIVVCLLAPHKSQRFLGLLIFDSWGTHNAMWTINAMPHKSQRFLGMLIFDSWGTHSAMWTINDM
+>sp|A6NH00|OR2T8_HUMAN Olfactory receptor 2T8 OS=Homo sapiens OX=9606 GN=OR2T8 PE=3 SV=1
+MENGSYTSYFILLGLFNHTRAHQVLFMMVLSIVLTSLFGNSLMILLIHWDHRLHTPMYFLLSQLSLMDVMLVSTTVPKMAADYLTGSKAISRAGCGAQIFFLPTLGGGECFLLAAMAYDRYAAVCHPLRYPTLMSWQLCLRMNLSCWLLGAADGLLQAVATLSFPYCGAHEIDHFFCETPVLVRLACADTSVFENAMYICCVLMLLVPFSLILSSYGLILAAVLHMRSTEARKKAFATCSSHVAVVGLFYGAAIFTYMRPKSHRSTNHDKVVSAFYTMFTPLLNPLIYSVKNSEVKGALTRCMGRCVALSRE
+>DECOY_sp|A6NH00|OR2T8_HUMAN Olfactory receptor 2T8 OS=Homo sapiens OX=9606 GN=OR2T8 PE=3 SV=1
+ERSLAVCRGMCRTLAGKVESNKVSYILPNLLPTFMTYFASVVKDHNTSRHSKPRMYTFIAAGYFLGVVAVHSSCTAFAKKRAETSRMHLVAALILGYSSLILSFPVLLMLVCCIYMANEFVSTDACALRVLVPTECFFHDIEHAGCYPFSLTAVAQLLGDAAGLLWCSLNMRLCLQWSMLTPYRLPHCVAAYRDYAMAALLFCEGGGLTPLFFIQAGCGARSIAKSGTLYDAAMKPVTTSVLMVDMLSLQSLLFYMPTHLRHDWHILLIMLSNGFLSTLVISLVMMFLVQHARTHNFLGLLIFYSTYSGNEM
+>sp|Q8NHA6|OR2W6_HUMAN Putative olfactory receptor 2W6 OS=Homo sapiens OX=9606 GN=OR2W6P PE=5 SV=1
+MGFYHVGQAAFELLTSSFILVGFSDRPHLELIVFVVVLIFYLLTLLGNMTIVLLSALDSRLHTPMYFFLANLSFLDMCFTTGSIPQMLYNLWGPDKTISYVGCAIQLYFVLALGGVECVLLAVMAYDRYAAVCKPLHYTIIMHPRLCGQLASVAWLSGFGNSLIMAPQTLMLPRCGHRRVDHFLCEMPALIGMACVDTMMLEALAFALAIFIILAPLILILISYGYVGGTVLRIKSAAGRKKAFNTCSSHLIVVSLFYGTIIYMYLQPANTYSQDQGKFLTLFYTIVTPSVNPLIYTLRNKDVKEAMKKVLGKGSAEI
+>DECOY_sp|Q8NHA6|OR2W6_HUMAN Putative olfactory receptor 2W6 OS=Homo sapiens OX=9606 GN=OR2W6P PE=5 SV=1
+IEASGKGLVKKMAEKVDKNRLTYILPNVSPTVITYFLTLFKGQDQSYTNAPQLYMYIITGYFLSVVILHSSCTNFAKKRGAASKIRLVTGGVYGYSILILILPALIIFIALAFALAELMMTDVCAMGILAPMECLFHDVRRHGCRPLMLTQPAMILSNGFGSLWAVSALQGCLRPHMIITYHLPKCVAAYRDYAMVALLVCEVGGLALVFYLQIACGVYSITKDPGWLNYLMQPISGTTFCMDLFSLNALFFYMPTHLRSDLASLLVITMNGLLTLLYFILVVVFVILELHPRDSFGVLIFSSTLLEFAAQGVHYFGM
+>sp|Q8NG97|OR2Z1_HUMAN Olfactory receptor 2Z1 OS=Homo sapiens OX=9606 GN=OR2Z1 PE=2 SV=1
+MGDVNQSVASDFILVGLFSHSGSRQLLFSLVAVMFVIGLLGNTVLLFLIRVDSRLHTPMYFLLSQLSLFDIGCPMVTIPKMASDFLRGEGATSYGGGAAQIFFLTLMGVAEGVLLVLMSYDRYVAVCQPLQYPVLMRRQVCLLMMGSSWVVGVLNASIQTSITLHFPYCASRIVDHFFCEVPALLKLSCADTCAYEMALSTSGVLILMLPLSLIATSYGHVLQAVLSMRSEEARHKAVTTCSSHITVVGLFYGAAVFMYMVPCAYHSPQQDNVVSLFYSLVTPTLNPLIYSLRNPEVWMALVKVLSRAGLRQMC
+>DECOY_sp|Q8NG97|OR2Z1_HUMAN Olfactory receptor 2Z1 OS=Homo sapiens OX=9606 GN=OR2Z1 PE=2 SV=1
+CMQRLGARSLVKVLAMWVEPNRLSYILPNLTPTVLSYFLSVVNDQQPSHYACPVMYMFVAAGYFLGVVTIHSSCTTVAKHRAEESRMSLVAQLVHGYSTAILSLPLMLILVGSTSLAMEYACTDACSLKLLAPVECFFHDVIRSACYPFHLTISTQISANLVGVVWSSGMMLLCVQRRMLVPYQLPQCVAVYRDYSMLVLLVGEAVGMLTLFFIQAAGGGYSTAGEGRLFDSAMKPITVMPCGIDFLSLQSLLFYMPTHLRSDVRILFLLVTNGLLGIVFMVAVLSFLLQRSGSHSFLGVLIFDSAVSQNVDGM
+>sp|P47888|OR3A3_HUMAN Olfactory receptor 3A3 OS=Homo sapiens OX=9606 GN=OR3A3 PE=2 SV=3
+MSLQKLMEPEAGTNRTAVAEFILLGLVQTEEMQPVVFVLLLFAYLVTTGGNLSILAAVLVEPKLHAPMYFFLGNLSVLDVGCITVTVPAMLGRLLSHKSTISYDACLSQLFFFHLLAGMDCFLLTAMAYDRLLAICQPLTYSTRMSQTVQRMLVAASWACAFTNALTHTVAMSTLNFCGPNEVNHFYCDLPQLFQLSCSSTQLNELLLFVAAAFMAVAPLVFISVSYAHVVAAVLQIRSAEGRKKAFSTCGSHLTVVGIFYGTGVFSYMRLGSVESSDKDKGVGVFMTVINPMLNPLIYSLRNTDVQGALCQLLVGKRSLT
+>DECOY_sp|P47888|OR3A3_HUMAN Olfactory receptor 3A3 OS=Homo sapiens OX=9606 GN=OR3A3 PE=2 SV=3
+TLSRKGVLLQCLAGQVDTNRLSYILPNLMPNIVTMFVGVGKDKDSSEVSGLRMYSFVGTGYFIGVVTLHSGCTSFAKKRGEASRIQLVAAVVHAYSVSIFVLPAVAMFAAAVFLLLENLQTSSCSLQFLQPLDCYFHNVENPGCFNLTSMAVTHTLANTFACAWSAAVLMRQVTQSMRTSYTLPQCIALLRDYAMATLLFCDMGALLHFFFLQSLCADYSITSKHSLLRGLMAPVTVTICGVDLVSLNGLFFYMPAHLKPEVLVAALISLNGGTTVLYAFLLLVFVVPQMEETQVLGLLIFEAVATRNTGAEPEMLKQLSM
+>sp|Q6IEV9|OR4CB_HUMAN Olfactory receptor 4C11 OS=Homo sapiens OX=9606 GN=OR4C11 PE=2 SV=1
+MQQNNSVPEFILLGLTQDPLRQKIVFVIFLIFYMGTVVGNMLIIVTIKSSRTLGSPMYFFLFYLSFADSCFSTSTAPRLIVDALSEKKIITYNECMTQVFALHLFGCMEIFVLILMAVDRYVAICKPLRYPTIMSQQVCIILIVLAWIGSLIHSTAQIILALRLPFCGPYLIDHYCCDLQPLLKLACMDTYMINLLLVSNSGAICSSSFMILIISYIVILHSLRNHSAKGKKKALSACTSHIIVVILFFGPCIFIYTRPPTTFPMDKMVAVFYTIGTPFLNPLIYTLRNAEVKNAMRKLWHGKIISENKG
+>DECOY_sp|Q6IEV9|OR4CB_HUMAN Olfactory receptor 4C11 OS=Homo sapiens OX=9606 GN=OR4C11 PE=2 SV=1
+GKNESIIKGHWLKRMANKVEANRLTYILPNLFPTGITYFVAVMKDMPFTTPPRTYIFICPGFFLIVVIIHSTCASLAKKKGKASHNRLSHLIVIYSIILIMFSSSCIAGSNSVLLLNIMYTDMCALKLLPQLDCCYHDILYPGCFPLRLALIIQATSHILSGIWALVILIICVQQSMITPYRLPKCIAVYRDVAMLILVFIEMCGFLHLAFVQTMCENYTIIKKESLADVILRPATSTSFCSDAFSLYFLFFYMPSGLTRSSKITVIILMNGVVTGMYFILFIVFVIKQRLPDQTLGLLIFEPVSNNQQM
+>sp|Q8NGP0|OR4CD_HUMAN Olfactory receptor 4C13 OS=Homo sapiens OX=9606 GN=OR4C13 PE=2 SV=2
+MANRNNVTEFILLGLTENPKMQKIIFVVFSVIYINAMIGNVLIVVTITASPSLRSPMYFFLAYLSFIDACYSSVNTPKLITDSLYENKTILFNGCMTQVFGEHFFRGVEVILLTVMAYDHYVAICKPLHYTTVMKQHVCSLLVGVSWVGGFLHATIQILFICQLPFCGPNVIDHFMCDLYTLINLACTNTHTLGLFIAANSGFICLLNCLLLLVSCVVILYSLKTHSLEARHEALSTCVSHITVVILSFIPCIFVYMRPPATLPIDKAVAVFYTMITSMLNPLIYTLRNAQMKNAIRKLCSRKAISSVK
+>DECOY_sp|Q8NGP0|OR4CD_HUMAN Olfactory receptor 4C13 OS=Homo sapiens OX=9606 GN=OR4C13 PE=2 SV=2
+KVSSIAKRSCLKRIANKMQANRLTYILPNLMSTIMTYFVAVAKDIPLTAPPRMYVFICPIFSLIVVTIHSVCTSLAEHRAELSHTKLSYLIVVCSVLLLLCNLLCIFGSNAAIFLGLTHTNTCALNILTYLDCMFHDIVNPGCFPLQCIFLIQITAHLFGGVWSVGVLLSCVHQKMVTTYHLPKCIAVYHDYAMVTLLIVEVGRFFHEGFVQTMCGNFLITKNEYLSDTILKPTNVSSYCADIFSLYALFFYMPSRLSPSATITVVILVNGIMANIYIVSFVVFIIKQMKPNETLGLLIFETVNNRNAM
+>sp|Q15615|OR4D1_HUMAN Olfactory receptor 4D1 OS=Homo sapiens OX=9606 GN=OR4D1 PE=2 SV=3
+MEPQNTTQVSMFVLLGFSQTQELQKFLFLLFLLVYVTTIVGNLLIMVTVTFDCRLHTPMYFLLRNLALIDLCYSTVTSPKMLVDFLHETKTISYQGCMAQIFFFHLLGGGTVFFLSVMAYDRYIAISQPLRYVTIMNTQLCVGLVVAAWVGGFVHSIVQLALILPLPFCGPNILDNFYCDVPQVLRLACTDTSLLEFLMISNSGLLVIIWFLLLLISYTVILVMLRSHSGKARRKAASTCTTHIIVVSMIFIPCIYIYTWPFTPFLMDKAVSISYTVMTPMLNPMIYTLRNQDMKAAMRRLGKCLVICRE
+>DECOY_sp|Q15615|OR4D1_HUMAN Olfactory receptor 4D1 OS=Homo sapiens OX=9606 GN=OR4D1 PE=2 SV=3
+ERCIVLCKGLRRMAAKMDQNRLTYIMPNLMPTMVTYSISVAKDMLFPTFPWTYIYICPIFIMSVVIIHTTCTSAAKRRAKGSHSRLMVLIVTYSILLLLFWIIVLLGSNSIMLFELLSTDTCALRLVQPVDCYFNDLINPGCFPLPLILALQVISHVFGGVWAAVVLGVCLQTNMITVYRLPQSIAIYRDYAMVSLFFVTGGGLLHFFFIQAMCGQYSITKTEHLFDVLMKPSTVTSYCLDILALNRLLFYMPTHLRCDFTVTVMILLNGVITTVYVLLFLLFLFKQLEQTQSFGLLVFMSVQTTNQPEM
+>sp|Q8NGJ1|OR4D6_HUMAN Olfactory receptor 4D6 OS=Homo sapiens OX=9606 GN=OR4D6 PE=2 SV=1
+MDQINHTNVKEFFFLELTRSRELEFFLFVVFFAVYVATVLGNALIVVTITCESRLHTPMYFLLRNKSVLDIVFSSITVPKFLVDLLSDRKTISYNDCMAQIFFFHFAGGADIFFLSVMAYDRYLAIAKPLHYVTMMRKEVWVALVVASWVSGGLHSIIQVILMLPFPFCGPNTLDAFYCYVLQVVKLACTDTFALELFMISNNGLVTLLWFLLLLGSYTVILVMLRSHSGEGRNKALSTCTSHMLVVTLHFVPCVYIYCRPFMTLPMDTTISINNTVITPMLNPIIYSLRNQEMKSAMQRLQRRLGPSESRKWG
+>DECOY_sp|Q8NGJ1|OR4D6_HUMAN Olfactory receptor 4D6 OS=Homo sapiens OX=9606 GN=OR4D6 PE=2 SV=1
+GWKRSESPGLRRQLRQMASKMEQNRLSYIIPNLMPTIVTNNISITTDMPLTMFPRCYIYVCPVFHLTVVLMHSTCTSLAKNRGEGSHSRLMVLIVTYSGLLLLFWLLTVLGNNSIMFLELAFTDTCALKVVQLVYCYFADLTNPGCFPFPLMLIVQIISHLGGSVWSAVVLAVWVEKRMMTVYHLPKAIALYRDYAMVSLFFIDAGGAFHFFFIQAMCDNYSITKRDSLLDVLFKPVTISSFVIDLVSKNRLLFYMPTHLRSECTITVVILANGLVTAVYVAFFVVFLFFELERSRTLELFFFEKVNTHNIQDM
+>sp|Q8NGI4|OR4DB_HUMAN Olfactory receptor 4D11 OS=Homo sapiens OX=9606 GN=OR4D11 PE=3 SV=1
+MELGNVTRVKEFIFLGLTQSQDQSLVLFLFLCLVYMTTLLGNLLIMVTVTCESRLHTPMYFLLRNLAILDICFSSTTAPKVLLDLLSKKKTISYTSCMTQIFLFHLLGGADIFSLSVMAFDCYMAISKPLHYVTIMSRGQCTALISASWMGGFVHSIVQISLLLPLPFCGPNVLDTFYCDVPQVLKLTCTDTFALEFLMISNNGLVTTLWFIFLLVSYTVILMTLRSQAGGGRRKAISTCTSHITVVTLHFVPCIYVYARPFTALPTEKAISVTFTVISPLLNPLIYTLRNQEMKSAMRRLKRRLVPSERE
+>DECOY_sp|Q8NGI4|OR4DB_HUMAN Olfactory receptor 4D11 OS=Homo sapiens OX=9606 GN=OR4D11 PE=3 SV=1
+ERESPVLRRKLRRMASKMEQNRLTYILPNLLPSIVTFTVSIAKETPLATFPRAYVYICPVFHLTVVTIHSTCTSIAKRRGGGAQSRLTMLIVTYSVLLFIFWLTTVLGNNSIMLFELAFTDTCTLKLVQPVDCYFTDLVNPGCFPLPLLLSIQVISHVFGGMWSASILATCQGRSMITVYHLPKSIAMYCDFAMVSLSFIDAGGLLHFLFIQTMCSTYSITKKKSLLDLLVKPATTSSFCIDLIALNRLLFYMPTHLRSECTVTVMILLNGLLTTMYVLCLFLFLVLSQDQSQTLGLFIFEKVRTVNGLEM
+>sp|Q8NGC2|OR4E2_HUMAN Olfactory receptor 4E2 OS=Homo sapiens OX=9606 GN=OR4E2 PE=3 SV=1
+MDSLNQTRVTEFVFLGLTDNRVLEMLFFMAFSAIYMLTLSGNILIIIATVFTPSLHTPMYFFLSNLSFIDICHSSVTVPKMLEGLLLERKTISFDNCITQLFFLHLFACAEIFLLIIVAYDRYVAICTPLHYPNVMNMRVCIQLVFALWLGGTVHSLGQTFLTIRLPYCGPNIIDSYFCDVPLVIKLACTDTYLTGILIVTNSGTISLSCFLAVVTSYMVILVSLRKHSAEGRQKALSTCSAHFMVVALFFGPCIFIYTRPDTSFSIDKVVSVFYTVVTPLLNPFIYTLRNEEVKSAMKQLRQRQVFFTKSYT
+>DECOY_sp|Q8NGC2|OR4E2_HUMAN Olfactory receptor 4E2 OS=Homo sapiens OX=9606 GN=OR4E2 PE=3 SV=1
+TYSKTFFVQRQRLQKMASKVEENRLTYIFPNLLPTVVTYFVSVVKDISFSTDPRTYIFICPGFFLAVVMFHASCTSLAKQRGEASHKRLSVLIVMYSTVVALFCSLSITGSNTVILIGTLYTDTCALKIVLPVDCFYSDIINPGCYPLRITLFTQGLSHVTGGLWLAFVLQICVRMNMVNPYHLPTCIAVYRDYAVIILLFIEACAFLHLFFLQTICNDFSITKRELLLGELMKPVTVSSHCIDIFSLNSLFFYMPTHLSPTFVTAIIILINGSLTLMYIASFAMFFLMELVRNDTLGLFVFETVRTQNLSDM
+>sp|Q96R69|OR4F4_HUMAN Olfactory receptor 4F4 OS=Homo sapiens OX=9606 GN=OR4F4 PE=2 SV=2
+MVTEFIFLGLSDSQELQTFLFMLFFVFYGGIVFGNLLIVITVVSDSHLHSPMYFLLANLSLIDLSLSSVTAPKMITDFFSQRKVISFKGCLVQIFLLHFFGGSEMVILIAMGFDRYIAICKPLHYTTIMCGNACVGIMAVAWGIGFLHSVSQLAFAVHLPFCGPNEVDSFYCDLPRVIKLACTDTYRLDIMVIANSGVLTVCSFVLLIISYTIILMTIQHCPLDKSSKALSTLTAHITVVLLFFGPCVFIYAWPFPIKSLDKFLAVFYSVITPLLNPIIYTLRNKDMKTAIRRLRKWDAHSSVKF
+>DECOY_sp|Q96R69|OR4F4_HUMAN Olfactory receptor 4F4 OS=Homo sapiens OX=9606 GN=OR4F4 PE=2 SV=2
+FKVSSHADWKRLRRIATKMDKNRLTYIIPNLLPTIVSYFVALFKDLSKIPFPWAYIFVCPGFFLLVVTIHATLTSLAKSSKDLPCHQITMLIITYSIILLVFSCVTLVGSNAIVMIDLRYTDTCALKIVRPLDCYFSDVENPGCFPLHVAFALQSVSHLFGIGWAVAMIGVCANGCMITTYHLPKCIAIYRDFGMAILIVMESGGFFHLLFIQVLCGKFSIVKRQSFFDTIMKPATVSSLSLDILSLNALLFYMPSHLHSDSVVTIVILLNGFVIGGYFVFFLMFLFTQLEQSDSLGLFIFETVM
+>sp|Q8NGB9|OR4F6_HUMAN Olfactory receptor 4F6 OS=Homo sapiens OX=9606 GN=OR4F6 PE=2 SV=1
+MDEANHSVVSEFVFLGLSDSRKIQLLLFLFFSVFYVSSLMGNLLIVLTVTSDPRLQSPMYFLLANLSIINLVFCSSTAPKMIYDLFRKHKTISFGGCVVQIFFIHAVGGTEMVLLIAMAFDRYVAICKPLHYLTIMNPQRCILFLVISWIIGIIHSVIQLAFVVDLLFCGPNELDSFFCDLPRFIKLACIETYTLGFMVTANSGFISLASFLILIISYIFILVTVQKKSSGGIFKAFSMLSAHVIVVVLVFGPLIFFYIFPFPTSHLDKFLAIFDAVITPVLNPVIYTFRNKEMMVAMRRRCSQFVNYSKIF
+>DECOY_sp|Q8NGB9|OR4F6_HUMAN Olfactory receptor 4F6 OS=Homo sapiens OX=9606 GN=OR4F6 PE=2 SV=1
+FIKSYNVFQSCRRRMAVMMEKNRFTYIVPNLVPTIVADFIALFKDLHSTPFPFIYFFILPGFVLVVVIVHASLMSFAKFIGGSSKKQVTVLIFIYSIILILFSALSIFGSNATVMFGLTYTEICALKIFRPLDCFFSDLENPGCFLLDVVFALQIVSHIIGIIWSIVLFLICRQPNMITLYHLPKCIAVYRDFAMAILLVMETGGVAHIFFIQVVCGGFSITKHKRFLDYIMKPATSSCFVLNIISLNALLFYMPSQLRPDSTVTLVILLNGMLSSVYFVSFFLFLLLQIKRSDSLGLFVFESVVSHNAEDM
+>sp|Q96R72|OR4K3_HUMAN Olfactory receptor 4K3 OS=Homo sapiens OX=9606 GN=OR4K3 PE=3 SV=3
+MAWSNQSAVTEFILRGLSSSLELQIFYFLFFSIVYAATVLGNLLIVVTIASEPHLHSPMYFLLGNLSFIDMSLASFATPKMIADFLREHKAISFEGCMTQMFFLHLLGGAEIVLLISMSFDRYVAICKPLHYLTIMSRRMCVGLVILSWIVGIFHALSQLAFTVNLPFCGPNEVDSFFCDLPLVIKLACVDTYILGVFMISTSGMIALVCFILLVISYTIILVTVRQRSSGGSSKALSTCSAHFTVVTLFFGPCTFIYVWPFTNFPIDKVLSVFYTIYTPLLNPVIYTVRNKDVKYSMRKLSSHIFKSRKTDHTP
+>DECOY_sp|Q96R72|OR4K3_HUMAN Olfactory receptor 4K3 OS=Homo sapiens OX=9606 GN=OR4K3 PE=3 SV=3
+PTHDTKRSKFIHSSLKRMSYKVDKNRVTYIVPNLLPTYITYFVSLVKDIPFNTFPWVYIFTCPGFFLTVVTFHASCTSLAKSSGGSSRQRVTVLIITYSIVLLIFCVLAIMGSTSIMFVGLIYTDVCALKIVLPLDCFFSDVENPGCFPLNVTFALQSLAHFIGVIWSLIVLGVCMRRSMITLYHLPKCIAVYRDFSMSILLVIEAGGLLHLFFMQTMCGEFSIAKHERLFDAIMKPTAFSALSMDIFSLNGLLFYMPSHLHPESAITVVILLNGLVTAAYVISFFLFYFIQLELSSSLGRLIFETVASQNSWAM
+>sp|Q8NGD5|OR4KE_HUMAN Olfactory receptor 4K14 OS=Homo sapiens OX=9606 GN=OR4K14 PE=3 SV=1
+MDPQNYSLVSEFVLHGLCTSRHLQNFFFIFFFGVYVAIMLGNLLILVTVISDPCLHSSPMYFLLGNLAFLDMWLASFATPKMIRDFLSDQKLISFGGCMAQIFFLHFTGGAEMVLLVSMAYDRYVAICKPLHYMTLMSWQTCIRLVLASWVVGFVHSISQVAFTVNLPYCGPNEVDSFFCDLPLVIKLACMDTYVLGIIMISDSGLLSLSCFLLLLISYTVILLAIRQRAAGSTSKALSTCSAHIMVVTLFFGPCIFVYVRPFSRFSVDKLLSVFYTIFTPLLNPIIYTLRNEEMKAAMKKLQNRRVTFQ
+>DECOY_sp|Q8NGD5|OR4KE_HUMAN Olfactory receptor 4K14 OS=Homo sapiens OX=9606 GN=OR4K14 PE=3 SV=1
+QFTVRRNQLKKMAAKMEENRLTYIIPNLLPTFITYFVSLLKDVSFRSFPRVYVFICPGFFLTVVMIHASCTSLAKSTSGAARQRIALLIVTYSILLLLFCSLSLLGSDSIMIIGLVYTDMCALKIVLPLDCFFSDVENPGCYPLNVTFAVQSISHVFGVVWSALVLRICTQWSMLTMYHLPKCIAVYRDYAMSVLLVMEAGGTFHLFFIQAMCGGFSILKQDSLFDRIMKPTAFSALWMDLFALNGLLFYMPSSHLCPDSIVTVLILLNGLMIAVYVGFFFIFFFNQLHRSTCLGHLVFESVLSYNQPDM
+>sp|Q8NGD0|OR4M1_HUMAN Olfactory receptor 4M1 OS=Homo sapiens OX=9606 GN=OR4M1 PE=2 SV=1
+METANYTKVTEFVLTGLSQTREVQLVLFVIFLSFYLFILPGNILIICTIRLDPHLTSPMYFLLANLALLDIWYSSITAPKMLIDFFVERKIISFGGCIAQLFFLHFVGASEMFLLTVMAYDRYAAICRPLHYATIMNRRLCCILVALSWMGGFIHSIIQVALIVRLPFCGPNELDSYFCDITQVVRIACANTFPEELVMICSSGLISVVCFIALLMSYAFLLALLKKHSGSGENTNRAMSTCYSHITIVVLMFGPSIYIYARPFDSFSLDKVVSVFHTVIFPLLNPIIYTLRNKEVKAAMRKVVTKYILCEEK
+>DECOY_sp|Q8NGD0|OR4M1_HUMAN Olfactory receptor 4M1 OS=Homo sapiens OX=9606 GN=OR4M1 PE=2 SV=1
+KEECLIYKTVVKRMAAKVEKNRLTYIIPNLLPFIVTHFVSVVKDLSFSDFPRAYIYISPGFMLVVITIHSYCTSMARNTNEGSGSHKKLLALLFAYSMLLAIFCVVSILGSSCIMVLEEPFTNACAIRVVQTIDCFYSDLENPGCFPLRVILAVQIISHIFGGMWSLAVLICCLRRNMITAYHLPRCIAAYRDYAMVTLLFMESAGVFHLFFLQAICGGFSIIKREVFFDILMKPATISSYWIDLLALNALLFYMPSTLHPDLRITCIILINGPLIFLYFSLFIVFLVLQVERTQSLGTLVFETVKTYNATEM
+>sp|Q8NH05|OR4Q3_HUMAN Olfactory receptor 4Q3 OS=Homo sapiens OX=9606 GN=OR4Q3 PE=3 SV=1
+MKKEQDSNVTEFVLLGLSSSWELQLFLFLLFLFFYIAIVLGNLLIVVTVQAHAHLLQSPMYYFLGHLSFIDLCLSCVTVPKMLGDFLQQGKSISFSGCLAQIYFLHFLGASEMFLLTVMAYDRYVAICNPLRYLTVMNPQLCLWLVLACWCGGFIHSIMQVILVIQLPFCGPNELDNFYCDVPQVIKLACMDTYVVEVLVIANSGLLSLVCFLVLLFSYAIILITLRTHFCQGQNKVFSTCASHLTVVSLIFVPCVFIYLRPFCSFSVDKIFSLFYTVITPMLNPLIYTLRNTDMKTAMKKLRIKPCGIPLPC
+>DECOY_sp|Q8NH05|OR4Q3_HUMAN Olfactory receptor 4Q3 OS=Homo sapiens OX=9606 GN=OR4Q3 PE=3 SV=1
+CPLPIGCPKIRLKKMATKMDTNRLTYILPNLMPTIVTYFLSFIKDVSFSCFPRLYIFVCPVFILSVVTLHSACTSFVKNQGQCFHTRLTILIIAYSFLLVLFCVLSLLGSNAIVLVEVVYTDMCALKIVQPVDCYFNDLENPGCFPLQIVLIVQMISHIFGGCWCALVLWLCLQPNMVTLYRLPNCIAVYRDYAMVTLLFMESAGLFHLFYIQALCGSFSISKGQQLFDGLMKPVTVCSLCLDIFSLHGLFYYMPSQLLHAHAQVTVVILLNGLVIAIYFFLFLLFLFLQLEWSSSLGLLVFETVNSDQEKKM
+>sp|Q8NH73|OR4S2_HUMAN Olfactory receptor 4S2 OS=Homo sapiens OX=9606 GN=OR4S2 PE=3 SV=2
+MEKINNVTEFIFWGLSQSPEIEKVCFVVFSFFYIIILLGNLLIMLTVCLSNLFKSPMYFFLSFLSFVDICYSSVTAPKMIVDLLAKDKTISYVGCMLQLFGVHFFGCTEIFILTVMAYDRYVAICKPLHYMTIMNRETCNKMLLGTWVGGFLHSIIQVALVVQLPFCGPNEIDHYFCDVHPVLKLACTETYIVGVVVTANSGTIALGSFVILLISYSIILVSLRKQSAEGRRKALSTCGSHIAMVVIFFGPCTFMYMRPDTTFSEDKMVAVFYTIITPMLNPLIYTLRNAEVKNAMKKLWGRNVFLEAKGK
+>DECOY_sp|Q8NH73|OR4S2_HUMAN Olfactory receptor 4S2 OS=Homo sapiens OX=9606 GN=OR4S2 PE=3 SV=2
+KGKAELFVNRGWLKKMANKVEANRLTYILPNLMPTIITYFVAVMKDESFTTDPRMYMFTCPGFFIVVMAIHSGCTSLAKRRGEASQKRLSVLIISYSILLIVFSGLAITGSNATVVVGVIYTETCALKLVPHVDCFYHDIENPGCFPLQVVLAVQIISHLFGGVWTGLLMKNCTERNMITMYHLPKCIAVYRDYAMVTLIFIETCGFFHVGFLQLMCGVYSITKDKALLDVIMKPATVSSYCIDVFSLFSLFFYMPSKFLNSLCVTLMILLNGLLIIIYFFSFVVFCVKEIEPSQSLGWFIFETVNNIKEM
+>sp|Q8NGJ0|OR5A1_HUMAN Olfactory receptor 5A1 OS=Homo sapiens OX=9606 GN=OR5A1 PE=2 SV=1
+MSITKAWNSSSVTMFILLGFTDHPELQALLFVTFLGIYLTTLAWNLALIFLIRGDTHLHTPMYFFLSNLSFIDICYSSAVAPNMLTDFFWEQKTISFVGCAAQFFFFVGMGLSECLLLTAMAYDRYAAISSPLLYPTIMTQGLCTRMVVGAYVGGFLSSLIQASSIFRLHFCGPNIINHFFCDLPPVLALSCSDTFLSQVVNFLVVVTVGGTSFLQLLISYGYIVSAVLKIPSAEGRWKACNTCASHLMVVTLLFGTALFVYLRPSSSYLLGRDKVVSVFYSLVIPMLNPLIYSLRNKEIKDALWKVLERKKVFS
+>DECOY_sp|Q8NGJ0|OR5A1_HUMAN Olfactory receptor 5A1 OS=Homo sapiens OX=9606 GN=OR5A1 PE=2 SV=1
+SFVKKRELVKWLADKIEKNRLSYILPNLMPIVLSYFVSVVKDRGLLYSSSPRLYVFLATGFLLTVVMLHSACTNCAKWRGEASPIKLVASVIYGYSILLQLFSTGGVTVVVLFNVVQSLFTDSCSLALVPPLDCFFHNIINPGCFHLRFISSAQILSSLFGGVYAGVVMRTCLGQTMITPYLLPSSIAAYRDYAMATLLLCESLGMGVFFFFQAACGVFSITKQEWFFDTLMNPAVASSYCIDIFSLNSLFFYMPTHLHTDGRILFILALNWALTTLYIGLFTVFLLAQLEPHDTFGLLIFMTVSSSNWAKTISM
+>sp|P0DN80|OR5H8_HUMAN Olfactory receptor 5H8 OS=Homo sapiens OX=9606 GN=OR5H8 PE=3 SV=1
+MDDENATLLTEFVLTGLTYQSEWKIPLFLAFLVIYLITIMANLGLIAVIWKDSHLHIPMYLFLGSLAFVDAWLSSSVTPKMLISFLAKSMIISVSECKIQFFSFGISGTTECFLLATMAYDRYVAICKPLLYPVIMTNGLCIWLLVLSFIGGFLHALIHEGILFRLTFCNSNIIHHFYCDIIPLLKISCTDPSINFLMLFILSGSIQVFTILTVLVSYTFVLFTILKKKAKDIRKAFSTCGAHLLSVSLYYGPLLFMYVHPASPQADDQDMVESLFYTVIIPFLNPIIYSLRNKQVIDSLTKTLKGNV
+>DECOY_sp|P0DN80|OR5H8_HUMAN Olfactory receptor 5H8 OS=Homo sapiens OX=9606 GN=OR5H8 PE=3 SV=1
+VNGKLTKTLSDIVQKNRLSYIIPNLFPIIVTYFLSEVMDQDDAQPSAPHVYMFLLPGYYLSVSLLHAGCTSFAKRIDKAKKKLITFLVFTYSVLVTLITFVQISGSLIFLMLFNISPDTCSIKLLPIIDCYFHHIINSNCFTLRFLIGEHILAHLFGGIFSLVLLWICLGNTMIVPYLLPKCIAVYRDYAMTALLFCETTGSIGFSFFQIKCESVSIIMSKALFSILMKPTVSSSLWADVFALSGLFLYMPIHLHSDKWIVAILGLNAMITILYIVLFALFLPIKWESQYTLGTLVFETLLTANEDDM
+>sp|Q96RD1|OR6C1_HUMAN Olfactory receptor 6C1 OS=Homo sapiens OX=9606 GN=OR6C1 PE=2 SV=2
+MRNHTEITEFILLGLTDDPNFQVVIFVFLLITYMLSITGNLTLITITLLDSHLQTPMYFFLRNFSILEISFTTVSIPKFLGNIISGDKTISFNNCIVQLFFFILLGVTEFYLLAAMSYDRYVAICKPLHCLSIMNRRVCTLLVFTSWLVSFLIIFPALMLLLKLHYCRSNIIDHFTCDYFPLLQLACSDTKFLEVMGFSCAAFTLMFTLALIFLSYIYIIRTILRIPSTSQRTKAFSTCSSHMVVVSISYGSCIFMYIKPSAKDRVSLSKGVAILNTSVAPMMNPFIYSLRNQQVKQAFINMARKTVFFTST
+>DECOY_sp|Q96RD1|OR6C1_HUMAN Olfactory receptor 6C1 OS=Homo sapiens OX=9606 GN=OR6C1 PE=2 SV=2
+TSTFFVTKRAMNIFAQKVQQNRLSYIFPNMMPAVSTNLIAVGKSLSVRDKASPKIYMFICSGYSISVVVMHSSCTSFAKTRQSTSPIRLITRIIYIYSLFILALTFMLTFAACSFGMVELFKTDSCALQLLPFYDCTFHDIINSRCYHLKLLLMLAPFIILFSVLWSTFVLLTCVRRNMISLCHLPKCIAVYRDYSMAALLYFETVGLLIFFFLQVICNNFSITKDGSIINGLFKPISVTTFSIELISFNRLFFYMPTQLHSDLLTITILTLNGTISLMYTILLFVFIVVQFNPDDTLGLLIFETIETHNRM
+>sp|A6NF89|OR6C6_HUMAN Olfactory receptor 6C6 OS=Homo sapiens OX=9606 GN=OR6C6 PE=3 SV=1
+MKNKSMEIEFILLGLTDDPQLQIVIFLFLFLNYTLSLMGNLIIIILTLLDPRLKTPMYFFLRNFSFLEVIFTTVCIPRFLITIVTRDKTISYNNCATQLFFILLPGVTEFYLLAAMSYDRYVAICKPLHYPIIMSSKVCYQLVLSSWVTGFLIIFPPLVMGLKLDFCASKTIDHFMCETSPILQISCTDTHVLELMSFTLAVVTLVVTLVLVILSYTCIIKTILKFSSAQQRNKAFSTCTSHMIVVSMTYGSCIFMYIKPSAKERVTVSKGVALLYTSIAPLLNPFIYTLRNQQVKEVFWDVLQKNLCFSKRPF
+>DECOY_sp|A6NF89|OR6C6_HUMAN Olfactory receptor 6C6 OS=Homo sapiens OX=9606 GN=OR6C6 PE=3 SV=1
+FPRKSFCLNKQLVDWFVEKVQQNRLTYIFPNLLPAISTYLLAVGKSVTVREKASPKIYMFICSGYTMSVVIMHSTCTSFAKNRQQASSFKLITKIICTYSLIVLVLTVVLTVVALTFSMLELVHTDTCSIQLIPSTECMFHDITKSACFDLKLGMVLPPFIILFGTVWSSLVLQYCVKSSMIIPYHLPKCIAVYRDYSMAALLYFETVGPLLIFFLQTACNNYSITKDRTVITILFRPICVTTFIVELFSFNRLFFYMPTKLRPDLLTLIIIILNGMLSLTYNLFLFLFIVIQLQPDDTLGLLIFEIEMSKNKM
+>sp|Q8NGW6|OR6K6_HUMAN Olfactory receptor 6K6 OS=Homo sapiens OX=9606 GN=OR6K6 PE=2 SV=2
+MKQYSVGNQHSNYRSLLFPFLCSQMTQLTASGNQTMVTEFLFSMFPHAHRGGLLFFIPLLLIYGFILTGNLIMFIVIQVGMALHTPLYFFISVLSFLEICYTTTTIPKMLSCLISEQKSISVAGCLLQMYFFHSLGITESCVLTAMAIDRYIAICNPLRYPTIMIPKLCIQLTVGSCFCGFLLVLPEIAWISTLPFCGSNQIHQIFCDFTPVLSLACTDTFLVVIVDAIHAAEIVASFLVIALSYIRIIIVILGMHSAEGHHKAFSTCAAHLAVFLLFFGSVAVMYLRFSATYSVFWDTAIAVTFVILAPFFNPIIYSLKNKDMKEAIGRLFHYQKRAGWAGK
+>DECOY_sp|Q8NGW6|OR6K6_HUMAN Olfactory receptor 6K6 OS=Homo sapiens OX=9606 GN=OR6K6 PE=2 SV=2
+KGAWGARKQYHFLRGIAEKMDKNKLSYIIPNFFPALIVFTVAIATDWFVSYTASFRLYMVAVSGFFLLFVALHAACTSFAKHHGEASHMGLIVIIIRIYSLAIVLFSAVIEAAHIADVIVVLFTDTCALSLVPTFDCFIQHIQNSGCFPLTSIWAIEPLVLLFGCFCSGVTLQICLKPIMITPYRLPNCIAIYRDIAMATLVCSETIGLSHFFYMQLLCGAVSISKQESILCSLMKPITTTTYCIELFSLVSIFFYLPTHLAMGVQIVIFMILNGTLIFGYILLLPIFFLLGGRHAHPFMSFLFETVMTQNGSATLQTMQSCLFPFLLSRYNSHQNGVSYQKM
+>sp|Q8NGQ2|OR6Q1_HUMAN Olfactory receptor 6Q1 OS=Homo sapiens OX=9606 GN=OR6Q1 PE=2 SV=2
+MQPYTKNWTQVTEFVMMGFAGIHEAHLLFFILFLTMYLFTLVENLAIILVVGLDHRLRRPMYFFLTHLSCLEIWYTSVTVPKMLAGFIGVDGGKNISYADCLSQLFIFTFLGATECFLLAAMAYDRYVAICMPLHYGAFVSWGTCIRLAAACWLVGFLTPILPIYLLSQLTFYGPNVIDHFSCDASPLLALSCSDVTWKETVDFLVSLAVLLASSMVIAVSYGNIVWTLLHIRSAAERWKAFSTCAAHLTVVSLFYGTLFFMYVQTKVTSSINFNKVVSVFYSVVTPMLNPLIYSLRNKEVKGALGRVFSLNFWKGQ
+>DECOY_sp|Q8NGQ2|OR6Q1_HUMAN Olfactory receptor 6Q1 OS=Homo sapiens OX=9606 GN=OR6Q1 PE=2 SV=2
+QGKWFNLSFVRGLAGKVEKNRLSYILPNLMPTVVSYFVSVVKNFNISSTVKTQVYMFFLTGYFLSVVTLHAACTSFAKWREAASRIHLLTWVINGYSVAIVMSSALLVALSVLFDVTEKWTVDSCSLALLPSADCSFHDIVNPGYFTLQSLLYIPLIPTLFGVLWCAAALRICTGWSVFAGYHLPMCIAVYRDYAMAALLFCETAGLFTFIFLQSLCDAYSINKGGDVGIFGALMKPVTVSTYWIELCSLHTLFFYMPRRLRHDLGVVLIIALNEVLTFLYMTLFLIFFLLHAEHIGAFGMMVFETVQTWNKTYPQM
+>sp|Q8N148|OR6V1_HUMAN Olfactory receptor 6V1 OS=Homo sapiens OX=9606 GN=OR6V1 PE=2 SV=1
+MANLSQPSEFVLLGFSSFGELQALLYGPFLMLYLLAFMGNTIIIVMVIADTHLHTPMYFFLGNFSLLEILVTMTAVPRMLSDLLVPHKVITFTGCMVQFYFHFSLGSTSFLILTDMALDRFVAICHPLRYGTLMSRAMCVQLAGAAWAAPFLAMVPTVLSRAHLDYCHGDVINHFFCDNEPLLQLSCSDTRLLEFWDFLMALTFVLSSFLVTLISYGYIVTTVLRIPSASSCQKAFSTCGSHLTLVFIGYSSTIFLYVRPGKAHSVQVRKVVALVTSVLTPFLNPFILTFCNQTVKTVLQGQMQRLKGLCKAQ
+>DECOY_sp|Q8N148|OR6V1_HUMAN Olfactory receptor 6V1 OS=Homo sapiens OX=9606 GN=OR6V1 PE=2 SV=1
+QAKCLGKLRQMQGQLVTKVTQNCFTLIFPNLFPTLVSTVLAVVKRVQVSHAKGPRVYLFITSSYGIFVLTLHSGCTSFAKQCSSASPIRLVTTVIYGYSILTVLFSSLVFTLAMLFDWFELLRTDSCSLQLLPENDCFFHNIVDGHCYDLHARSLVTPVMALFPAAWAAGALQVCMARSMLTGYRLPHCIAVFRDLAMDTLILFSTSGLSFHFYFQVMCGTFTIVKHPVLLDSLMRPVATMTVLIELLSFNGLFFYMPTHLHTDAIVMVIIITNGMFALLYLMLFPGYLLAQLEGFSSFGLLVFESPQSLNAM
+>sp|O14581|OR7AH_HUMAN Olfactory receptor 7A17 OS=Homo sapiens OX=9606 GN=OR7A17 PE=2 SV=1
+MEPENDTGISEFVLLGLSEEPELQPFLFGLFLSMYLVTVLGNLLIILATISDSHLHTPMYFFLSNLSFADICFISTTIPKMLINIQTQSRVITYAGCITQMCFFVLFGGLDSLLLAVMAYDRFVAICHPLHYTVIMNPRLCGLLVLASWMIAALNSLSQSLMVLWLSFCTDLEIPHFFCELNQVIHLACSDTFLNDMGMYFAAGLLAGGPLVGILCSYSKIVSSIRAISSAQGKYKAFSTCASHLSVVSLFCCTGLGVYLTSAATHNSHTSATASVMYTVATPMLNPFIYSLRNKDIKRALKMSFRGKQ
+>DECOY_sp|O14581|OR7AH_HUMAN Olfactory receptor 7A17 OS=Homo sapiens OX=9606 GN=OR7A17 PE=2 SV=1
+QKGRFSMKLARKIDKNRLSYIFPNLMPTAVTYMVSATASTHSNHTAASTLYVGLGTCCFLSVVSLHSACTSFAKYKGQASSIARISSVIKSYSCLIGVLPGGALLGAAFYMGMDNLFTDSCALHIVQNLECFFHPIELDTCFSLWLVMLSQSLSNLAAIMWSALVLLGCLRPNMIVTYHLPHCIAVFRDYAMVALLLSDLGGFLVFFCMQTICGAYTIVRSQTQINILMKPITTSIFCIDAFSLNSLFFYMPTHLHSDSITALIILLNGLVTVLYMSLFLGFLFPQLEPEESLGLLVFESIGTDNEPEM
+>sp|Q96RA2|OR7D2_HUMAN Olfactory receptor 7D2 OS=Homo sapiens OX=9606 GN=OR7D2 PE=2 SV=2
+MEAGNQTGFLEFILLGLSEDPELQPFIFGLFLSMYLVTVLGNLLIILAISSDSHLHTPMYFFLSNLSWVDICFSTCIVPKMLVNIQTENKAISYMDCLTQVYFSMFFPILDTLLLTVMAYDRFVAVCHPLHYMIIMNPHLCGLLVFVTWLIGVMTSLLHISLMMHLIFCKDFEIPHFFCELTYILQLACSDTFLNSTLIYFMTGVLGVFPLLGIIFSYSRIASSIRKMSSSGGKQKALSTCGSHLSVVSLFYGTGIGVHFTSAVTHSSQKISVASVMYTVVTPMLNPFIYSLRNKDVKGALGSLLSRAASCL
+>DECOY_sp|Q96RA2|OR7D2_HUMAN Olfactory receptor 7D2 OS=Homo sapiens OX=9606 GN=OR7D2 PE=2 SV=2
+LCSAARSLLSGLAGKVDKNRLSYIFPNLMPTVVTYMVSAVSIKQSSHTVASTFHVGIGTGYFLSVVSLHSGCTSLAKQKGGSSSMKRISSAIRSYSFIIGLLPFVGLVGTMFYILTSNLFTDSCALQLIYTLECFFHPIEFDKCFILHMMLSIHLLSTMVGILWTVFVLLGCLHPNMIIMYHLPHCVAVFRDYAMVTLLLTDLIPFFMSFYVQTLCDMYSIAKNETQINVLMKPVICTSFCIDVWSLNSLFFYMPTHLHSDSSIALIILLNGLVTVLYMSLFLGFIFPQLEPDESLGLLIFELFGTQNGAEM
+>sp|Q8NG99|OR7G2_HUMAN Olfactory receptor 7G2 OS=Homo sapiens OX=9606 GN=OR7G2 PE=3 SV=1
+MEARNQTAISKFLLLGLIEDPELQPVLFSLFLSMYLVTILGNLLILLAVISDSHLHTPMYFFLSNLSFLDICLSTTTIPKMLVNIQAQNRSITYSGCLTQICFVLFFAGLENCLLAAMAYDRYVAICHPLRYTVIMNPRLCGLLILLSLLTSVVNALLLSLMVLRLSFCTDLEIPLFFCELAQVIQLTCSDTLINNILIYFAACIFGGVPLSGIILSYTQITSCVLRMPSASGKHKAVSTCGSHLSIVLLFYGAGLGVYISSVVTDSPRKTAVASVMYSVFPQMVNPFIYSLRNKDMKGTLRKFIGRIPSLLWCAICFGFRFLE
+>DECOY_sp|Q8NG99|OR7G2_HUMAN Olfactory receptor 7G2 OS=Homo sapiens OX=9606 GN=OR7G2 PE=3 SV=1
+ELFRFGFCIACWLLSPIRGIFKRLTGKMDKNRLSYIFPNVMQPFVSYMVSAVATKRPSDTVVSSIYVGLGAGYFLLVISLHSGCTSVAKHKGSASPMRLVCSTIQTYSLIIGSLPVGGFICAAFYILINNILTDSCTLQIVQALECFFLPIELDTCFSLRLVMLSLLLANVVSTLLSLLILLGCLRPNMIVTYRLPHCIAVYRDYAMAALLCNELGAFFLVFCIQTLCGSYTISRNQAQINVLMKPITTTSLCIDLFSLNSLFFYMPTHLHSDSIVALLILLNGLITVLYMSLFLSFLVPQLEPDEILGLLLFKSIATQNRAEM
+>sp|P0DMU2|OR83P_HUMAN Putative olfactory receptor 8G3 pseudogene OS=Homo sapiens OX=9606 GN=OR8G3P PE=5 SV=1
+MDPGNHSSVTESILAGLSEQPELQLRLFLLFLGICVVTVVGNLGMITLIGLSSHLHTPMYYFLSSLSFIDFCHSTVITPKMLVNFATEKNIISYPECMAQLYLFSIFAIAECHMLAAMAYDCYVAICSPLLYNVIMSYHHCFWLTVGVYILGILGSTIHTSFMLRLFLCKTNVINHYFCDLFPLLGLSCSSTYINELLVLVLSAFNILMPALTILASYIFIIASILRIHSTEGRSKAFSTCSSHILAVAVFFGSAAFMYLQPSSVSSMDQRKVSSVFYTTIVPMLNPLIYSLRNKDVKLAVKKILHQTAC
+>DECOY_sp|P0DMU2|OR83P_HUMAN Putative olfactory receptor 8G3 pseudogene OS=Homo sapiens OX=9606 GN=OR8G3P PE=5 SV=1
+CATQHLIKKVALKVDKNRLSYILPNLMPVITTYFVSSVKRQDMSSVSSPQLYMFAASGFFVAVALIHSSCTSFAKSRGETSHIRLISAIIFIYSALITLAPMLINFASLVLVLLENIYTSSCSLGLLPFLDCFYHNIVNTKCLFLRLMFSTHITSGLIGLIYVGVTLWFCHHYSMIVNYLLPSCIAVYCDYAMAALMHCEAIAFISFLYLQAMCEPYSIINKETAFNVLMKPTIVTSHCFDIFSLSSLFYYMPTHLHSSLGILTIMGLNGVVTVVCIGLFLLFLRLQLEPQESLGALISETVSSHNGPDM
+>sp|Q8NGG0|OR8J3_HUMAN Olfactory receptor 8J3 OS=Homo sapiens OX=9606 GN=OR8J3 PE=3 SV=1
+MAPENFTRVTEFILTGVSSCPELQIPLFLVFLVLYVLTMAGNLGIITLTSVDSRLQNPMYFFLRHLAIINLGNSTVIAPKMLMNFLVKKKTTSFYECATQLGGFLFFIVSEVMMLAVMAYDRYVAICNPLLYMVVVSRRLCLLLVSLTYLYGFSTAIVVSPCIFSVSYCSSNIINHFYCDIAPLLALSCSDTYIPETIVFISAATNLVFSMITVLVSYFNIVLSILRIRSPEGRKKAFSTCASHMIAVTVFYGTMLFMYLQPQTNHSLDTDKMASVFYTLVIPMLNPLIYSLRNNDVNVALKKFMENPCYSFKSM
+>DECOY_sp|Q8NGG0|OR8J3_HUMAN Olfactory receptor 8J3 OS=Homo sapiens OX=9606 GN=OR8J3 PE=3 SV=1
+MSKFSYCPNEMFKKLAVNVDNNRLSYILPNLMPIVLTYFVSAMKDTDLSHNTQPQLYMFLMTGYFVTVAIMHSACTSFAKKRGEPSRIRLISLVINFYSVLVTIMSFVLNTAASIFVITEPIYTDSCSLALLPAIDCYFHNIINSSCYSVSFICPSVVIATSFGYLYTLSVLLLCLRRSVVVMYLLPNCIAVYRDYAMVALMMVESVIFFLFGGLQTACEYFSTTKKKVLFNMLMKPAIVTSNGLNIIALHRLFFYMPNQLRSDVSTLTIIGLNGAMTLVYLVLFVLFLPIQLEPCSSVGTLIFETVRTFNEPAM
+>sp|Q8NH51|OR8K3_HUMAN Olfactory receptor 8K3 OS=Homo sapiens OX=9606 GN=OR8K3 PE=3 SV=1
+MEQHNLTTVNEFILTGITDIAELQAPLFALFLMIYVISVMGNLGMIVLTKLDSRLQTPMYFFLRHLAFMDLGYSTTVGPKMLVNFVVDKNIISYYFCATQLAFFLVFIGSELFILSAMSYDLYVAICNPLLYTVIMSRRVCQVLVAIPYLYCTFISLLVTIKIFTLSFCGYNVISHFYCDSLPLLPLLCSNTHEIELIILIFAAIDLISSLLIVLLSYLLILVAILRMNSAGRQKAFSTCGAHLTVVIVFYGTLLFMYVQPKSSHSFDTDKVASIFYTLVIPMLNPLIYSLRNKDVKYALRRTWNNLCNIFV
+>DECOY_sp|Q8NH51|OR8K3_HUMAN Olfactory receptor 8K3 OS=Homo sapiens OX=9606 GN=OR8K3 PE=3 SV=1
+VFINCLNNWTRRLAYKVDKNRLSYILPNLMPIVLTYFISAVKDTDFSHSSKPQVYMFLLTGYFVIVVTLHAGCTSFAKQRGASNMRLIAVLILLYSLLVILLSSILDIAAFILIILEIEHTNSCLLPLLPLSDCYFHSIVNYGCFSLTFIKITVLLSIFTCYLYPIAVLVQCVRRSMIVTYLLPNCIAVYLDYSMASLIFLESGIFVLFFALQTACFYYSIINKDVVFNVLMKPGVTTSYGLDMFALHRLFFYMPTQLRSDLKTLVIMGLNGMVSIVYIMLFLAFLPAQLEAIDTIGTLIFENVTTLNHQEM
+>sp|Q8NH09|OR8S1_HUMAN Olfactory receptor 8S1 OS=Homo sapiens OX=9606 GN=OR8S1 PE=3 SV=2
+MALGNHSTITEFLLLGLSADPNIRALLFVLFLGIYLLTIMENLMLLLMIRADSCLHKPMYFFLSHLSFVDLCFSSVIVPKMLENLLSQRKTISVEGCLAQVFFVFVTAGTEACLLSGMAYDRHAAICRPLLYGQIMGKQLYMHLVWGSWGLGFLDALINVLLAVNMVFCEAKIIHHYSYEMPSLLPLSCSDISRSLIALLCSTLLHGLGNFLLVFLSYTRIISTILSISSTSGRSKAFSTCSAHLTAVTLYYGSGLLRHLMPNSGSPIELIFSVQYTVVTPMLNSLIYSLKNKEVKGERSLRDSSHLPQLHKGQARWKRPAFTEGRREPGHPELSIPVTPQPQGACACSALRAAPTALP
+>DECOY_sp|Q8NH09|OR8S1_HUMAN Olfactory receptor 8S1 OS=Homo sapiens OX=9606 GN=OR8S1 PE=3 SV=2
+PLATPAARLASCACAGQPQPTVPISLEPHGPERRGETFAPRKWRAQGKHLQPLHSSDRLSREGKVEKNKLSYILSNLMPTVVTYQVSFILEIPSGSNPMLHRLLGSGYYLTVATLHASCTSFAKSRGSTSSISLITSIIRTYSLFVLLFNGLGHLLTSCLLAILSRSIDSCSLPLLSPMEYSYHHIIKAECFVMNVALLVNILADLFGLGWSGWVLHMYLQKGMIQGYLLPRCIAAHRDYAMGSLLCAETGATVFVFFVQALCGEVSITKRQSLLNELMKPVIVSSFCLDVFSLHSLFFYMPKHLCSDARIMLLLMLNEMITLLYIGLFLVFLLARINPDASLGLLLFETITSHNGLAM
+>sp|P0C7N1|OR8U8_HUMAN Olfactory receptor 8U8 OS=Homo sapiens OX=9606 GN=OR8U8 PE=3 SV=1
+MAHINCTQATEFILVGLTDHQELKMPLFVLFLSIYLFTVVGNLGLILLIRADTSLNTPMYFFLSNLAFVDFCYSSVITPKMLGNFLYKQNVISFDACATQLGCFLTFMVSESLLLASMAYDRYVAICNPLLYMVVMTPGICIQLVAVPYSYSFLMALFHTILTFRLSYCHSNIVNHFYCDDMPLLRLTCSDTRFKQLWILACAGITFICSVLIVFVSYMFIIFAILRMSSAEGRRKAFSTCSSHMLAVTIFYGTLIFMYLQPSSSHSLDADKMASVFYTVIIPMLNPLIYSLRNKDVKDALKKVIINRNHAFIFLKLRK
+>DECOY_sp|P0C7N1|OR8U8_HUMAN Olfactory receptor 8U8 OS=Homo sapiens OX=9606 GN=OR8U8 PE=3 SV=1
+KRLKLFIFAHNRNIIVKKLADKVDKNRLSYILPNLMPIIVTYFVSAMKDADLSHSSSPQLYMFILTGYFITVALMHSSCTSFAKRRGEASSMRLIAFIIFMYSVFVILVSCIFTIGACALIWLQKFRTDSCTLRLLPMDDCYFHNVINSHCYSLRFTLITHFLAMLFSYSYPVAVLQICIGPTMVVMYLLPNCIAVYRDYAMSALLLSESVMFTLFCGLQTACADFSIVNQKYLFNGLMKPTIVSSYCFDVFALNSLFFYMPTNLSTDARILLILGLNGVVTFLYISLFLVFLPMKLEQHDTLGVLIFETAQTCNIHAM
+>sp|Q8NGU1|OR9A1_HUMAN Putative olfactory receptor 9A1 OS=Homo sapiens OX=9606 GN=OR9A1P PE=5 SV=2
+MLGNYSSATEFFLLGFPGSQEVCRILFATFFLLYAVTVMGNVVIIITVCVDKCLQSPIYFFLGHLCVLEILITSTAVPFMLWGLLLPSTQIMSLTACAAQLYLYLSLGTLELALMGVMAVDRYVAVCNPLRYNIIMNSSTFIWVIIVSWVLGFLSEIWPVYATFQLTFCKSSVLDHFYCDRGQLLKVSCEDTLFREFILFLMAVFIIIGSLIPTIVSYTYIISTNLKIPSASGWRKSFSTCASHFTYVVIGYGSCLFLYVKPK
+>DECOY_sp|Q8NGU1|OR9A1_HUMAN Putative olfactory receptor 9A1 OS=Homo sapiens OX=9606 GN=OR9A1P PE=5 SV=2
+KPKVYLFLCSGYGIVVYTFHSACTSFSKRWGSASPIKLNTSIIYTYSVITPILSGIIIFVAMLFLIFERFLTDECSVKLLQGRDCYFHDLVSSKCFTLQFTAYVPWIESLFGLVWSVIIVWIFTSSNMIINYRLPNCVAVYRDVAMVGMLALELTGLSLYLYLQAACATLSMIQTSPLLLGWLMFPVATSTILIELVCLHGLFFYIPSQLCKDVCVTIIIVVNGMVTVAYLLFFTAFLIRCVEQSGPFGLLFFETASSYNGLM
+>sp|Q8NGQ6|OR9I1_HUMAN Olfactory receptor 9I1 OS=Homo sapiens OX=9606 GN=OR9I1 PE=3 SV=1
+MAKNNLTRVTEFILMGFMDHPKLEIPLFLVFLSFYLVTLLGNVGMIMLIQVDVKLYTPMYFFLSHLSLLDACYTSVITPQILATLATGKTVISYGHCAAQFFLFTICAGTECFLLAVMAYDRYAAIRNPLLYTVAMNPRLCWSLVVGAYVCGVSGAILRTTCTFTLSFCKDNQINFFFCDLPPLLKLACSDTANIEIVIIFFGNFVILANASVILISYLLIIKTILKVKSSGGRAKTFSTCASHITAVALFFGALIFMYLQSGSGKSLEEDKVVSVFYTVVIPMLNPLIYSLRNKDVKDAFRKVARRLQVSLSM
+>DECOY_sp|Q8NGQ6|OR9I1_HUMAN Olfactory receptor 9I1 OS=Homo sapiens OX=9606 GN=OR9I1 PE=3 SV=1
+MSLSVQLRRAVKRFADKVDKNRLSYILPNLMPIVVTYFVSVVKDEELSKGSGSQLYMFILAGFFLAVATIHSACTSFTKARGGSSKVKLITKIILLYSILIVSANALIVFNGFFIIVIEINATDSCALKLLPPLDCFFFNIQNDKCFSLTFTCTTRLIAGSVGCVYAGVVLSWCLRPNMAVTYLLPNRIAAYRDYAMVALLFCETGACITFLFFQAACHGYSIVTKGTALTALIQPTIVSTYCADLLSLHSLFFYMPTYLKVDVQILMIMGVNGLLTVLYFSLFVLFLPIELKPHDMFGMLIFETVRTLNNKAM
+>sp|Q8NGQ5|OR9Q1_HUMAN Olfactory receptor 9Q1 OS=Homo sapiens OX=9606 GN=OR9Q1 PE=2 SV=1
+MAEMNLTLVTEFLLIAFTEYPEWALPLFLLFLFMYLITVLGNLEMIILILMDHQLHAPMYFLLSHLAFMDVCYSSITVPQMLAVLLEHGAALSYTRCAAQFFLFTFFGSIDCYLLALMAYDRYLAVCQPLLYVTILTQQARLSLVAGAYVAGLISALVRTVSAFTLSFCGTSEIDFIFCDLPPLLKLTCGESYTQEVLIIMFAIFVIPASMVVILVSYLFIIVAIMGIPAGSQAKTFSTCTSHLTAVSLFFGTLIFMYLRGNSDQSSEKNRVVSVLYTEVIPMLNPLIYSLRNKEVKEALRKILNRAKLS
+>DECOY_sp|Q8NGQ5|OR9Q1_HUMAN Olfactory receptor 9Q1 OS=Homo sapiens OX=9606 GN=OR9Q1 PE=2 SV=1
+SLKARNLIKRLAEKVEKNRLSYILPNLMPIVETYLVSVVRNKESSQDSNGRLYMFILTGFFLSVATLHSTCTSFTKAQSGAPIGMIAVIIFLYSVLIVVMSAPIVFIAFMIILVEQTYSEGCTLKLLPPLDCFIFDIESTGCFSLTFASVTRVLASILGAVYAGAVLSLRAQQTLITVYLLPQCVALYRDYAMLALLYCDISGFFTFLFFQAACRTYSLAAGHELLVALMQPVTISSYCVDMFALHSLLFYMPAHLQHDMLILIIMELNGLVTILYMFLFLLFLPLAWEPYETFAILLFETVLTLNMEAM
+>sp|Q96SN7|ORAI2_HUMAN Protein orai-2 OS=Homo sapiens OX=9606 GN=ORAI2 PE=1 SV=1
+MSAELNVPIDPSAPACPEPGHKGMDYRDWVRRSYLELVTSNHHSVQALSWRKLYLSRAKLKASSRTSALLSGFAMVAMVEVQLETQYQYPRPLLIAFSACTTVLVAVHLFALLISTCILPNVEAVSNIHNLNSISESPHERMHPYIELAWGFSTVLGILLFLAEVVLLCWIKFLPVDARRQPGPPPGPGSHTGWQAALVSTIIMVPVGLIFVVFTIHFYRSLVRHKTERHNREIEELHKLKVQLDGHERSLQVL
+>DECOY_sp|Q96SN7|ORAI2_HUMAN Protein orai-2 OS=Homo sapiens OX=9606 GN=ORAI2 PE=1 SV=1
+LVQLSREHGDLQVKLKHLEEIERNHRETKHRVLSRYFHITFVVFILGVPVMIITSVLAAQWGTHSGPGPPPGPQRRADVPLFKIWCLLVVEALFLLIGLVTSFGWALEIYPHMREHPSESISNLNHINSVAEVNPLICTSILLAFLHVAVLVTTCASFAILLPRPYQYQTELQVEVMAVMAFGSLLASTRSSAKLKARSLYLKRWSLAQVSHHNSTVLELYSRRVWDRYDMGKHGPEPCAPASPDIPVNLEASM
+>sp|Q13416|ORC2_HUMAN Origin recognition complex subunit 2 OS=Homo sapiens OX=9606 GN=ORC2 PE=1 SV=2
+MSKPELKEDKMLEVHFVGDDDVLNHILDREGGAKLKKERAQLLVNPKKIIKKPEYDLEEDDQEVLKDQNYVEIMGRDVQESLKNGSATGGGNKVYSFQNRKHSEKMAKLASELAKTPQKSVSFSLKNDPEITINVPQSSKGHSASDKVQPKNNDKSEFLSTAPRSLRKRLIVPRSHSDSESEYSASNSEDDEGVAQEHEEDTNAVIFSQKIQAQNRVVSAPVGKETPSKRMKRDKTSDLVEEYFEAHSSSKVLTSDRTLQKLKRAKLDQQTLRNLLSKVSPSFSAELKQLNQQYEKLFHKWMLQLHLGFNIVLYGLGSKRDLLERFRTTMLQDSIHVVINGFFPGISVKSVLNSITEEVLDHMGTFRSILDQLDWIVNKFKEDSSLELFLLIHNLDSQMLRGEKSQQIIGQLSSLHNIYLIASIDHLNAPLMWDHAKQSLFNWLWYETTTYSPYTEETSYENSLLVKQSGSLPLSSLTHVLRSLTPNARGIFRLLIKYQLDNQDNPSYIGLSFQDFYQQCREAFLVNSDLTLRAQLTEFRDHKLIRTKKGTDGVEYLLIPVDNGTLTDFLEKEEEEA
+>DECOY_sp|Q13416|ORC2_HUMAN Origin recognition complex subunit 2 OS=Homo sapiens OX=9606 GN=ORC2 PE=1 SV=2
+AEEEEKELFDTLTGNDVPILLYEVGDTGKKTRILKHDRFETLQARLTLDSNVLFAERCQQYFDQFSLGIYSPNDQNDLQYKILLRFIGRANPTLSRLVHTLSSLPLSGSQKVLLSNEYSTEETYPSYTTTEYWLWNFLSQKAHDWMLPANLHDISAILYINHLSSLQGIIQQSKEGRLMQSDLNHILLFLELSSDEKFKNVIWDLQDLISRFTGMHDLVEETISNLVSKVSIGPFFGNIVVHISDQLMTTRFRELLDRKSGLGYLVINFGLHLQLMWKHFLKEYQQNLQKLEASFSPSVKSLLNRLTQQDLKARKLKQLTRDSTLVKSSSHAEFYEEVLDSTKDRKMRKSPTEKGVPASVVRNQAQIKQSFIVANTDEEHEQAVGEDDESNSASYESESDSHSRPVILRKRLSRPATSLFESKDNNKPQVKDSASHGKSSQPVNITIEPDNKLSFSVSKQPTKALESALKAMKESHKRNQFSYVKNGGGTASGNKLSEQVDRGMIEVYNQDKLVEQDDEELDYEPKKIIKKPNVLLQAREKKLKAGGERDLIHNLVDDDGVFHVELMKDEKLEPKSM
+>sp|Q96RQ9|OXLA_HUMAN L-amino-acid oxidase OS=Homo sapiens OX=9606 GN=IL4I1 PE=1 SV=1
+MAPLALHLLVLVPILLSLVASQDWKAERSQDPFEKCMQDPDYEQLLKVVTWGLNRTLKPQRVIVVGAGVAGLVAAKVLSDAGHKVTILEADNRIGGRIFTYRDQNTGWIGELGAMRMPSSHRILHKLCQGLGLNLTKFTQYDKNTWTEVHEVKLRNYVVEKVPEKLGYALRPQEKGHSPEDIYQMALNQALKDLKALGCRKAMKKFERHTLLEYLLGEGNLSRPAVQLLGDVMSEDGFFYLSFAEALRAHSCLSDRLQYSRIVGGWDLLPRALLSSLSGLVLLNAPVVAMTQGPHDVHVQIETSPPARNLKVLKADVVLLTASGPAVKRITFSPPLPRHMQEALRRLHYVPATKVFLSFRRPFWREEHIEGGHSNTDRPSRMIFYPPPREGALLLASYTWSDAAAAFAGLSREEALRLALDDVAALHGPVVRQLWDGTGVVKRWAEDQHSQGGFVVQPPALWQTEKDDWTVPYGRIYFAGEHTAYPHGWVETAVKSALRAAIKINSRKGPASDTASPEGHASDMEGQGHVHGVASSPSHDLAKEEGSHPPVQGQLSLQNTTHTRTSH
+>DECOY_sp|Q96RQ9|OXLA_HUMAN L-amino-acid oxidase OS=Homo sapiens OX=9606 GN=IL4I1 PE=1 SV=1
+HSTRTHTTNQLSLQGQVPPHSGEEKALDHSPSSAVGHVHGQGEMDSAHGEPSATDSAPGKRSNIKIAARLASKVATEVWGHPYATHEGAFYIRGYPVTWDDKETQWLAPPQVVFGGQSHQDEAWRKVVGTGDWLQRVVPGHLAAVDDLALRLAEERSLGAFAAAADSWTYSALLLAGERPPPYFIMRSPRDTNSHGGEIHEERWFPRRFSLFVKTAPVYHLRRLAEQMHRPLPPSFTIRKVAPGSATLLVVDAKLVKLNRAPPSTEIQVHVDHPGQTMAVVPANLLVLGSLSSLLARPLLDWGGVIRSYQLRDSLCSHARLAEAFSLYFFGDESMVDGLLQVAPRSLNGEGLLYELLTHREFKKMAKRCGLAKLDKLAQNLAMQYIDEPSHGKEQPRLAYGLKEPVKEVVYNRLKVEHVETWTNKDYQTFKTLNLGLGQCLKHLIRHSSPMRMAGLEGIWGTNQDRYTFIRGGIRNDAELITVKHGADSLVKAAVLGAVGAGVVIVRQPKLTRNLGWTVVKLLQEYDPDQMCKEFPDQSREAKWDQSAVLSLLIPVLVLLHLALPAM
+>sp|A6NF01|P121B_HUMAN Putative nuclear envelope pore membrane protein POM 121B OS=Homo sapiens OX=9606 GN=POM121B PE=5 SV=2
+MSRRVRTSELWKRNGPSSSPFSSPASSRSQTPERPAKKIREEEMCHHSSSSTPLAADKESQGEKAADTTPRKKQNSNSQSTPGSSGQRKRKVQLLPSRRGEQLTLPPPPQLGYSITAEDLDLEKKASLQWFNQALEDKSDAASNSVTETPPITQPSFTFTLPAAAPASPPTSLLAPSTNPLLESLKKMQTPPSLPPCPESAGAATTEALSPPKTPNLLPPLGLSQSGPPGLLPSPSFDSNPPTTLLGLIPAPSMVPATDTKAPPTLQAETTTKPQATSAPSPAPKQSFLFGTQNTSPSSPAAPAASSASPMFKPIFTAPPKSEKEGPTPPGPSVSATAPSSSSLPTTTSTTAPTFQPVFSSMGPPASVPLPAPFFKQTTTPATAPTTTAPLFTGLASATSAVAPITSASPSTDSASKPAFGFGINSVSSSSVSTTTSTATAASQPFLFGAPQASAASFTPAMGSIFQFGKPPALPTTTTVTTFSQSLPTAVPTATSSSAADFSGFGSTLATSAPATSSQPTLTFSNTSTPTFNIPFGSSAKSPLPSYPGANPQPAFGAAEGQPPGAAKPALTPSFGSSFTFGNSAAPAAAPTPAPPSMIKIVPAHVPTPIQPTFGGATHSAFGLKATASAFGAPASSQPAFGGSTAVFSFGAATSSGFGATTQTASSGSSSSVFGSTTPSPFTFGGSAAPAGSGSFGINVATPGSSATTGAFSFGAGQSGSTATSTPFAGGLGQNALGTTGQSTPFAFNVGSTTESKPVFGGTATPTFGLNTPAPGVGTSGSSLSFGASSAPAQGFVGVAPFGSAAPSFSIGAGSKTLGARQRLQARRQHTRKK
+>DECOY_sp|A6NF01|P121B_HUMAN Putative nuclear envelope pore membrane protein POM 121B OS=Homo sapiens OX=9606 GN=POM121B PE=5 SV=2
+KKRTHQRRAQLRQRAGLTKSGAGISFSPAASGFPAVGVFGQAPASSAGFSLSSGSTGVGPAPTNLGFTPTATGGFVPKSETTSGVNFAFPTSQGTTGLANQGLGGAFPTSTATSGSQGAGFSFAGTTASSGPTAVNIGFSGSGAPAASGGFTFPSPTTSGFVSSSSGSSATQTTAGFGSSTAAGFSFVATSGGFAPQSSAPAGFASATAKLGFASHTAGGFTPQIPTPVHAPVIKIMSPPAPTPAAAPAASNGFTFSSGFSPTLAPKAAGPPQGEAAGFAPQPNAGPYSPLPSKASSGFPINFTPTSTNSFTLTPQSSTAPASTALTSGFGSFDAASSSTATPVATPLSQSFTTVTTTTPLAPPKGFQFISGMAPTFSAASAQPAGFLFPQSAATATSTTTSVSSSSVSNIGFGFAPKSASDTSPSASTIPAVASTASALGTFLPATTTPATAPTTTQKFFPAPLPVSAPPGMSSFVPQFTPATTSTTTPLSSSSPATASVSPGPPTPGEKESKPPATFIPKFMPSASSAAPAAPSSPSTNQTGFLFSQKPAPSPASTAQPKTTTEAQLTPPAKTDTAPVMSPAPILGLLTTPPNSDFSPSPLLGPPGSQSLGLPPLLNPTKPPSLAETTAAGASEPCPPLSPPTQMKKLSELLPNTSPALLSTPPSAPAAAPLTFTFSPQTIPPTETVSNSAADSKDELAQNFWQLSAKKELDLDEATISYGLQPPPPLTLQEGRRSPLLQVKRKRQGSSGPTSQSNSNQKKRPTTDAAKEGQSEKDAALPTSSSSHHCMEEERIKKAPREPTQSRSSAPSSFPSSSPGNRKWLESTRVRRSM
+>sp|A8CG34|P121C_HUMAN Nuclear envelope pore membrane protein POM 121C OS=Homo sapiens OX=9606 GN=POM121C PE=1 SV=3
+MSPAAAAAGAGERRRPIASVRDGRGRGCGGPAGAALLGLSLVGLLLYLVPAAAALAWLAVGTTAAWWGLSREPRGSRPLSSFVQKARHRRTLFASPPAKSTANGNLLEPRTLLEGPDPAELLLMGSYLGKPGPPQPAPAPEGQDLRNRPGRRPPARPAPRSTPPSQPTHRVHHFYPSLPTPLLRPSGRPSPRDRGTLPDRFVITPRRRYPIHQTQYSCPGVLPTVCWNGYHKKAVLSPRNSRMVCSPVTVRIAPPDRRFSRSAIPEQIISSTLSSPSSNAPDPCAKETVLSALKEKKKKRTVEEEDQIFLDGQENKRRRHDSSGSGHSAFEPLVASGVPASFVPKPGSLKRGLNSQSSDDHLNKRSRSSSMSSLTGAYTSGIPSSSRNAITSSYSSTRGISQLWKRNGPSSSPFSSPASSRSQTPERPAKKIREEELCHHSSSSTPLAADKESQGEKAADTTPRKKQNSNSQSTPGSSGQRKRKVQLLPSRRGEQLTLPPPPQLGYSITAEDLDLEKKASLQWFNQALEDKSDAASNSVTETPPTTQPSFTFTLPAAATASPPTSLLAPSTNPLLESLKKMQTPPSLPPCPESAGAATTEALSPPKTPSLLPPLGLSQSGPPGLLPSPSFDSKPPTTLLGLIPAPSMVPATDTKAPPTLQAETATKPQATSAPSPAPKQSFLFGTQNTSPSSPAAPAASSASPMFKPIFTAPPKSEKEGLTPPGPSVSATAPSSSSLPTTTSTTAPTFQPVFSSMGPPASVPLPAPFFKQTTTPATAPTTTAPLFTGLASATSAVAPITSASPSTDSASKPAFGFGINSVSSSSVSTTTSTATAASQPFLFGAPQASAASFTPAMGSIFQFGKPPALPTTTTVTTFSQSLPTAVPTATSSSAADFSGFGSTLATSAPATSSQPTLTFSNTSTPTFNIPFGSSAKSPLPSYPGANPQPAFGAAEGQPPGAAKPALTPSFGSSFTFGNSAAPAPATAPTPAPASTIKIVPAHVPTPIQPTFGGATHSAFGLKATASAFGAPASSQPAFGGSTAVFSFGAATSSGFGATTQTASSGSSSSVFGSTTPSPFTFGGSAAPAGSGSFGINVATPGSSATTGAFSFGAGQSGSTATSTPFTGGLGQNALGTTGQSTPFAFNVGSTTESKPVFGGTATPTFGQNTPAPGVGTSGSSLSFGASSAPAQGFVGVGPFGSAAPSFSIGAGSKTPGARQRLQARRQHTRKK
+>DECOY_sp|A8CG34|P121C_HUMAN Nuclear envelope pore membrane protein POM 121C OS=Homo sapiens OX=9606 GN=POM121C PE=1 SV=3
+KKRTHQRRAQLRQRAGPTKSGAGISFSPAASGFPGVGVFGQAPASSAGFSLSSGSTGVGPAPTNQGFTPTATGGFVPKSETTSGVNFAFPTSQGTTGLANQGLGGTFPTSTATSGSQGAGFSFAGTTASSGPTAVNIGFSGSGAPAASGGFTFPSPTTSGFVSSSSGSSATQTTAGFGSSTAAGFSFVATSGGFAPQSSAPAGFASATAKLGFASHTAGGFTPQIPTPVHAPVIKITSAPAPTPATAPAPAASNGFTFSSGFSPTLAPKAAGPPQGEAAGFAPQPNAGPYSPLPSKASSGFPINFTPTSTNSFTLTPQSSTAPASTALTSGFGSFDAASSSTATPVATPLSQSFTTVTTTTPLAPPKGFQFISGMAPTFSAASAQPAGFLFPQSAATATSTTTSVSSSSVSNIGFGFAPKSASDTSPSASTIPAVASTASALGTFLPATTTPATAPTTTQKFFPAPLPVSAPPGMSSFVPQFTPATTSTTTPLSSSSPATASVSPGPPTLGEKESKPPATFIPKFMPSASSAAPAAPSSPSTNQTGFLFSQKPAPSPASTAQPKTATEAQLTPPAKTDTAPVMSPAPILGLLTTPPKSDFSPSPLLGPPGSQSLGLPPLLSPTKPPSLAETTAAGASEPCPPLSPPTQMKKLSELLPNTSPALLSTPPSATAAAPLTFTFSPQTTPPTETVSNSAADSKDELAQNFWQLSAKKELDLDEATISYGLQPPPPLTLQEGRRSPLLQVKRKRQGSSGPTSQSNSNQKKRPTTDAAKEGQSEKDAALPTSSSSHHCLEEERIKKAPREPTQSRSSAPSSFPSSSPGNRKWLQSIGRTSSYSSTIANRSSSPIGSTYAGTLSSMSSSRSRKNLHDDSSQSNLGRKLSGPKPVFSAPVGSAVLPEFASHGSGSSDHRRRKNEQGDLFIQDEEEVTRKKKKEKLASLVTEKACPDPANSSPSSLTSSIIQEPIASRSFRRDPPAIRVTVPSCVMRSNRPSLVAKKHYGNWCVTPLVGPCSYQTQHIPYRRRPTIVFRDPLTGRDRPSPRGSPRLLPTPLSPYFHHVRHTPQSPPTSRPAPRAPPRRGPRNRLDQGEPAPAPQPPGPKGLYSGMLLLEAPDPGELLTRPELLNGNATSKAPPSAFLTRRHRAKQVFSSLPRSGRPERSLGWWAATTGVALWALAAAAPVLYLLLGVLSLGLLAAGAPGGCGRGRGDRVSAIPRRREGAGAAAAAPSM
+>sp|Q8N7R1|P1L12_HUMAN POM121-like protein 12 OS=Homo sapiens OX=9606 GN=POM121L12 PE=2 SV=3
+MGAAAPAESADLGNFWKAGEPLLQGPDALAAPMSRSPSTPQTTPSPQGRQSPWPLRSLTQSHIQYFQWGRPVPSTHLIEVRPTQDPAKPQRVVSEGWRRPALPGETALGRDLSCAWEGCMKGGLCRAWNPGRTWSPVTIGIAPPERQESPWRSPGQRARPAGRPAAQELLDPCTRETLLGALSQCPKGSARFDGPLWFEVSDSKGGRRNLQPRPSAFKPLSKNGAVASFVPRPGPLKPSLGPWSLSFCDDAWPSVLVQPAPSAIWDFWEATTPSCGSCSRVSFALEVTQSAGPFGS
+>DECOY_sp|Q8N7R1|P1L12_HUMAN POM121-like protein 12 OS=Homo sapiens OX=9606 GN=POM121L12 PE=2 SV=3
+SGFPGASQTVELAFSVRSCSGCSPTTAEWFDWIASPAPQVLVSPWADDCFSLSWPGLSPKLPGPRPVFSAVAGNKSLPKFASPRPQLNRRGGKSDSVEFWLPGDFRASGKPCQSLAGLLTERTCPDLLEQAAPRGAPRARQGPSRWPSEQREPPAIGITVPSWTRGPNWARCLGGKMCGEWACSLDRGLATEGPLAPRRWGESVVRQPKAPDQTPRVEILHTSPVPRGWQFYQIHSQTLSRLPWPSQRGQPSPTTQPTSPSRSMPAALADPGQLLPEGAKWFNGLDASEAPAAAGM
+>sp|Q969Q6|P2R3C_HUMAN Serine/threonine-protein phosphatase 2A regulatory subunit B'' subunit gamma OS=Homo sapiens OX=9606 GN=PPP2R3C PE=1 SV=1
+MDWKEVLRRRLATPNTCPNKKKSEQELKDEEMDLFTKYYSEWKGGRKNTNEFYKTIPRFYYRLPAEDEVLLQKLREESRAVFLQRKSRELLDNEELQNLWFLLDKHQTPPMIGEEAMINYENFLKVGEKAGAKCKQFFTAKVFAKLLHTDSYGRISIMQFFNYVMRKVWLHQTRIGLSLYDVAGQGYLRESDLENYILELIPTLPQLDGLEKSFYSFYVCTAVRKFFFFLDPLRTGKIKIQDILACSFLDDLLELRDEELSKESQETNWFSAPSALRVYGQYLNLDKDHNGMLSKEELSRYGTATMTNVFLDRVFQECLTYDGEMDYKTYLDFVLALENRKEPAALQYIFKLLDIENKGYLNVFSLNYFFRAIQELMKIHGQDPVSFQDVKDEIFDMVKPKDPLKISLQDLINSNQGDTVTTILIDLNGFWTYENREALVANDSENSADLDDT
+>DECOY_sp|Q969Q6|P2R3C_HUMAN Serine/threonine-protein phosphatase 2A regulatory subunit B'' subunit gamma OS=Homo sapiens OX=9606 GN=PPP2R3C PE=1 SV=1
+TDDLDASNESDNAVLAERNEYTWFGNLDILITTVTDGQNSNILDQLSIKLPDKPKVMDFIEDKVDQFSVPDQGHIKMLEQIARFFYNLSFVNLYGKNEIDLLKFIYQLAAPEKRNELALVFDLYTKYDMEGDYTLCEQFVRDLFVNTMTATGYRSLEEKSLMGNHDKDLNLYQGYVRLASPASFWNTEQSEKSLEEDRLELLDDLFSCALIDQIKIKGTRLPDLFFFFKRVATCVYFSYFSKELGDLQPLTPILELIYNELDSERLYGQGAVDYLSLGIRTQHLWVKRMVYNFFQMISIRGYSDTHLLKAFVKATFFQKCKAGAKEGVKLFNEYNIMAEEGIMPPTQHKDLLFWLNQLEENDLLERSKRQLFVARSEERLKQLLVEDEAPLRYYFRPITKYFENTNKRGGKWESYYKTFLDMEEDKLEQESKKKNPCTNPTALRRRLVEKWDM
+>sp|P56373|P2RX3_HUMAN P2X purinoceptor 3 OS=Homo sapiens OX=9606 GN=P2RX3 PE=1 SV=2
+MNCISDFFTYETTKSVVVKSWTIGIINRVVQLLIISYFVGWVFLHEKAYQVRDTAIESSVVTKVKGSGLYANRVMDVSDYVTPPQGTSVFVIITKMIVTENQMQGFCPESEEKYRCVSDSQCGPERLPGGGILTGRCVNYSSVLRTCEIQGWCPTEVDTVETPIMMEAENFTIFIKNSIRFPLFNFEKGNLLPNLTARDMKTCRFHPDKDPFCPILRVGDVVKFAGQDFAKLARTGGVLGIKIGWVCDLDKAWDQCIPKYSFTRLDSVSEKSSVSPGYNFRFAKYYKMENGSEYRTLLKAFGIRFDVLVYGNAGKFNIIPTIISSVAAFTSVGVGTVLCDIILLNFLKGADQYKAKKFEEVNETTLKIAALTNPVYPSDQTTAEKQSTDSGAFSIGH
+>DECOY_sp|P56373|P2RX3_HUMAN P2X purinoceptor 3 OS=Homo sapiens OX=9606 GN=P2RX3 PE=1 SV=2
+HGISFAGSDTSQKEATTQDSPYVPNTLAAIKLTTENVEEFKKAKYQDAGKLFNLLIIDCLVTGVGVSTFAAVSSIITPIINFKGANGYVLVDFRIGFAKLLTRYESGNEMKYYKAFRFNYGPSVSSKESVSDLRTFSYKPICQDWAKDLDCVWGIKIGLVGGTRALKAFDQGAFKVVDGVRLIPCFPDKDPHFRCTKMDRATLNPLLNGKEFNFLPFRISNKIFITFNEAEMMIPTEVTDVETPCWGQIECTRLVSSYNVCRGTLIGGGPLREPGCQSDSVCRYKEESEPCFGQMQNETVIMKTIIVFVSTGQPPTVYDSVDMVRNAYLGSGKVKTVVSSEIATDRVQYAKEHLFVWGVFYSIILLQVVRNIIGITWSKVVVSKTTEYTFFDSICNM
+>sp|P41231|P2RY2_HUMAN P2Y purinoceptor 2 OS=Homo sapiens OX=9606 GN=P2RY2 PE=2 SV=4
+MAADLGPWNDTINGTWDGDELGYRCRFNEDFKYVLLPVSYGVVCVPGLCLNAVALYIFLCRLKTWNASTTYMFHLAVSDALYAASLPLLVYYYARGDHWPFSTVLCKLVRFLFYTNLYCSILFLTCISVHRCLGVLRPLRSLRWGRARYARRVAGAVWVLVLACQAPVLYFVTTSARGGRVTCHDTSAPELFSRFVAYSSVMLGLLFAVPFAVILVCYVLMARRLLKPAYGTSGGLPRAKRKSVRTIAVVLAVFALCFLPFHVTRTLYYSFRSLDLSCHTLNAINMAYKVTRPLASANSCLDPVLYFLAGQRLVRFARDAKPPTGPSPATPARRRLGLRRSDRTDMQRIEDVLGSSEDSRRTESTPAGSENTKDIRL
+>DECOY_sp|P41231|P2RY2_HUMAN P2Y purinoceptor 2 OS=Homo sapiens OX=9606 GN=P2RY2 PE=2 SV=4
+LRIDKTNESGAPTSETRRSDESSGLVDEIRQMDTRDSRRLGLRRRAPTAPSPGTPPKADRAFRVLRQGALFYLVPDLCSNASALPRTVKYAMNIANLTHCSLDLSRFSYYLTRTVHFPLFCLAFVALVVAITRVSKRKARPLGGSTGYAPKLLRRAMLVYCVLIVAFPVAFLLGLMVSSYAVFRSFLEPASTDHCTVRGGRASTTVFYLVPAQCALVLVWVAGAVRRAYRARGWRLSRLPRLVGLCRHVSICTLFLISCYLNTYFLFRVLKCLVTSFPWHDGRAYYYVLLPLSAAYLADSVALHFMYTTSANWTKLRCLFIYLAVANLCLGPVCVVGYSVPLLVYKFDENFRCRYGLEDGDWTGNITDNWPGLDAAM
+>sp|P09131|P3_HUMAN P3 protein OS=Homo sapiens OX=9606 GN=SLC10A3 PE=2 SV=1
+MVLMQDKGSSQQWPGLGGEGGGTGPLSMLRAALLLISLPWGAQGTASTSLSTAGGHTVPPTGGRYLSIGDGSVMEFEFPEDSEGIIVISSQYPGQANRTAPGPMLRVTSLDTEVLTIKNVSAITWGGGGGFVVSIHSGLAGLAPLHIQLVDAHEAPPTLIEERRDFCIKVSPAEDTPATLSADLAHFSENPILYLLLPLIFVNKCSFGCKVELEVLKGLMQSPQPMLLGLLGQFLVMPLYAFLMAKVFMLPKALALGLIITCSSPGGGGSYLFSLLLGGDVTLAISMTFLSTVAATGFLPLSSAIYSRLLSIHETLHVPISKILGTLLFIAIPIAVGVLIKSKLPKFSQLLLQVVKPFSFVLLLGGLFLAYRMGVFILAGIRLPIVLVGITVPLVGLLVGYCLATCLKLPVAQRRTVSIEVGVQNSLLALAMLQLSLRRLQADYASQAPFIVALSGTSEMLALVIGHFIYSSLFPVP
+>DECOY_sp|P09131|P3_HUMAN P3 protein OS=Homo sapiens OX=9606 GN=SLC10A3 PE=2 SV=1
+PVPFLSSYIFHGIVLALMESTGSLAVIFPAQSAYDAQLRRLSLQLMALALLSNQVGVEISVTRRQAVPLKLCTALCYGVLLGVLPVTIGVLVIPLRIGALIFVGMRYALFLGGLLLVFSFPKVVQLLLQSFKPLKSKILVGVAIPIAIFLLTGLIKSIPVHLTEHISLLRSYIASSLPLFGTAAVTSLFTMSIALTVDGGLLLSFLYSGGGGPSSCTIILGLALAKPLMFVKAMLFAYLPMVLFQGLLGLLMPQPSQMLGKLVELEVKCGFSCKNVFILPLLLYLIPNESFHALDASLTAPTDEAPSVKICFDRREEILTPPAEHADVLQIHLPALGALGSHISVVFGGGGGWTIASVNKITLVETDLSTVRLMPGPATRNAQGPYQSSIVIIGESDEPFEFEMVSGDGISLYRGGTPPVTHGGATSLSTSATGQAGWPLSILLLAARLMSLPGTGGGEGGLGPWQQSSGKDQMLVM
+>sp|P13674|P4HA1_HUMAN Prolyl 4-hydroxylase subunit alpha-1 OS=Homo sapiens OX=9606 GN=P4HA1 PE=1 SV=2
+MIWYILIIGILLPQSLAHPGFFTSIGQMTDLIHTEKDLVTSLKDYIKAEEDKLEQIKKWAEKLDRLTSTATKDPEGFVGHPVNAFKLMKRLNTEWSELENLVLKDMSDGFISNLTIQRQYFPNDEDQVGAAKALLRLQDTYNLDTDTISKGNLPGVKHKSFLTAEDCFELGKVAYTEADYYHTELWMEQALRQLDEGEISTIDKVSVLDYLSYAVYQQGDLDKALLLTKKLLELDPEHQRANGNLKYFEYIMAKEKDVNKSASDDQSDQKTTPKKKGVAVDYLPERQKYEMLCRGEGIKMTPRRQKKLFCRYHDGNRNPKFILAPAKQEDEWDKPRIIRFHDIISDAEIEIVKDLAKPRLRRATISNPITGDLETVHYRISKSAWLSGYENPVVSRINMRIQDLTGLDVSTAEELQVANYGVGGQYEPHFDFARKDEPDAFKELGTGNRIATWLFYMSDVSAGGATVFPEVGASVWPKKGTAVFWYNLFASGEGDYSTRHAACPVLVGNKWVSNKWLHERGQEFRRPCTLSELE
+>DECOY_sp|P13674|P4HA1_HUMAN Prolyl 4-hydroxylase subunit alpha-1 OS=Homo sapiens OX=9606 GN=P4HA1 PE=1 SV=2
+ELESLTCPRRFEQGREHLWKNSVWKNGVLVPCAAHRTSYDGEGSAFLNYWFVATGKKPWVSAGVEPFVTAGGASVDSMYFLWTAIRNGTGLEKFADPEDKRAFDFHPEYQGGVGYNAVQLEEATSVDLGTLDQIRMNIRSVVPNEYGSLWASKSIRYHVTELDGTIPNSITARRLRPKALDKVIEIEADSIIDHFRIIRPKDWEDEQKAPALIFKPNRNGDHYRCFLKKQRRPTMKIGEGRCLMEYKQREPLYDVAVGKKKPTTKQDSQDDSASKNVDKEKAMIYEFYKLNGNARQHEPDLELLKKTLLLAKDLDGQQYVAYSLYDLVSVKDITSIEGEDLQRLAQEMWLETHYYDAETYAVKGLEFCDEATLFSKHKVGPLNGKSITDTDLNYTDQLRLLAKAAGVQDEDNPFYQRQITLNSIFGDSMDKLVLNELESWETNLRKMLKFANVPHGVFGEPDKTATSTLRDLKEAWKKIQELKDEEAKIYDKLSTVLDKETHILDTMQGISTFFGPHALSQPLLIGIILIYWIM
+>sp|Q7Z4N8|P4HA3_HUMAN Prolyl 4-hydroxylase subunit alpha-3 OS=Homo sapiens OX=9606 GN=P4HA3 PE=1 SV=1
+MGPGARLAALLAVLALGTGDPERAAARGDTFSALTSVARALAPERRLLGLLRRYLRGEEARLRDLTRFYDKVLSLHEDSTTPVANPLLAFTLIKRLQSDWRNVVHSLEASENIRALKDGYEKVEQDLPAFEDLEGAARALMRLQDVYMLNVKGLARGVFQRVTGSAITDLYSPKRLFSLTGDDCFQVGKVAYDMGDYYHAIPWLEEAVSLFRGSYGEWKTEDEASLEDALDHLAFAYFRAGNVSCALSLSREFLLYSPDNKRMARNVLKYERLLAESPNHVVAEAVIQRPNIPHLQTRDTYEGLCQTLGSQPTLYQIPSLYCSYETNSNAYLLLQPIRKEVIHLEPYIALYHDFVSDSEAQKIRELAEPWLQRSVVASGEKQLQVEYRISKSAWLKDTVDPKLVTLNHRIAALTGLDVRPPYAEYLQVVNYGIGGHYEPHFDHATSPSSPLYRMKSGNRVATFMIYLSSVEAGGATAFIYANLSVPVVRNAALFWWNLHRSGEGDSDTLHAGCPVLVGDKWVANKWIHEYGQEFRRPCSSSPED
+>DECOY_sp|Q7Z4N8|P4HA3_HUMAN Prolyl 4-hydroxylase subunit alpha-3 OS=Homo sapiens OX=9606 GN=P4HA3 PE=1 SV=1
+DEPSSSCPRRFEQGYEHIWKNAVWKDGVLVPCGAHLTDSDGEGSRHLNWWFLAANRVVPVSLNAYIFATAGGAEVSSLYIMFTAVRNGSKMRYLPSSPSTAHDFHPEYHGGIGYNVVQLYEAYPPRVDLGTLAAIRHNLTVLKPDVTDKLWASKSIRYEVQLQKEGSAVVSRQLWPEALERIKQAESDSVFDHYLAIYPELHIVEKRIPQLLLYANSNTEYSCYLSPIQYLTPQSGLTQCLGEYTDRTQLHPINPRQIVAEAVVHNPSEALLREYKLVNRAMRKNDPSYLLFERSLSLACSVNGARFYAFALHDLADELSAEDETKWEGYSGRFLSVAEELWPIAHYYDGMDYAVKGVQFCDDGTLSFLRKPSYLDTIASGTVRQFVGRALGKVNLMYVDQLRMLARAAGELDEFAPLDQEVKEYGDKLARINESAELSHVVNRWDSQLRKILTFALLPNAVPTTSDEHLSLVKDYFRTLDRLRAEEGRLYRRLLGLLRREPALARAVSTLASFTDGRAAAREPDGTGLALVALLAALRAGPGM
+>sp|Q9BTU6|P4K2A_HUMAN Phosphatidylinositol 4-kinase type 2-alpha OS=Homo sapiens OX=9606 GN=PI4K2A PE=1 SV=1
+MDETSPLVSPERAQPPDYTFPSGSGAHFPQVPGGAVRVAAAAGSGPSPPGSPGHDRERQPLLDRARGAAAQGQTQTVAAQAQALAAQAAAAAHAAQAHRERNEFPEDPEFEAVVRQAELAIERCIFPERIYQGSSGSYFVKDPQGRIIAVFKPKNEEPYGHLNPKWTKWLQKLCCPCCFGRDCLVLNQGYLSEAGASLVDQKLELNIVPRTKVVYLASETFNYSAIDRVKSRGKRLALEKVPKVGQRFNRIGLPPKVGSFQLFVEGYKDADYWLRRFEAEPLPENTNRQLLLQFERLVVLDYIIRNTDRGNDNWLIKYDCPMDSSSSRDTDWVVVKEPVIKVAAIDNGLAFPLKHPDSWRAYPFYWAWLPQAKVPFSQEIKDLILPKISDPNFVKDLEEDLYELFKKDPGFDRGQFHKQIAVMRGQILNLTQALKDNKSPLHLVQMPPVIVETARSHQRSSSESYTQSFQSRKPFFSWW
+>DECOY_sp|Q9BTU6|P4K2A_HUMAN Phosphatidylinositol 4-kinase type 2-alpha OS=Homo sapiens OX=9606 GN=PI4K2A PE=1 SV=1
+WWSFFPKRSQFSQTYSESSSRQHSRATEVIVPPMQVLHLPSKNDKLAQTLNLIQGRMVAIQKHFQGRDFGPDKKFLEYLDEELDKVFNPDSIKPLILDKIEQSFPVKAQPLWAWYFPYARWSDPHKLPFALGNDIAAVKIVPEKVVVWDTDRSSSSDMPCDYKILWNDNGRDTNRIIYDLVVLREFQLLLQRNTNEPLPEAEFRRLWYDADKYGEVFLQFSGVKPPLGIRNFRQGVKPVKELALRKGRSKVRDIASYNFTESALYVVKTRPVINLELKQDVLSAGAESLYGQNLVLCDRGFCCPCCLKQLWKTWKPNLHGYPEENKPKFVAIIRGQPDKVFYSGSSGQYIREPFICREIALEAQRVVAEFEPDEPFENRERHAQAAHAAAAAQAALAQAQAAVTQTQGQAAAGRARDLLPQRERDHGPSGPPSPGSGAAAAVRVAGGPVQPFHAGSGSPFTYDPPQAREPSVLPSTEDM
+>sp|Q5MIZ7|P4R3B_HUMAN Serine/threonine-protein phosphatase 4 regulatory subunit 3B OS=Homo sapiens OX=9606 GN=PPP4R3B PE=1 SV=2
+MSDTRRRVKVYTLNEDRQWDDRGTGHVSSTYVEELKGMSLLVRAESDGSLLLESKINPNTAYQKQQDTLIVWSEAENYDLALSFQEKAGCDEIWEKICQVQGKDPSVEVTQDLIDESEEERFEEMPETSHLIDLPTCELNKLEEIADLVTSVLSSPIRREKLALALENEGYIKKLLQLFQACENLENTEGLHHLYEIIRGILFLNKATLFEVMFSDECIMDVVGCLEYDPALAQPKRHREFLTKTAKFKEVIPITDSELRQKIHQTYRVQYIQDIILPTPSVFEENFLSTLTSFIFFNKVEIVSMLQEDEKFLSEVFAQLTDEATDDDKRRELVNFFKEFCAFSQTLQPQNRDAFFKTLAKLGILPALEIVMGMDDLQVRSAATDIFSYLVEFSPSMVREFVMQEAQQSDDDILLINVVIEQMICDTDPELGGAVQLMGLLRTLIDPENMLATTNKTEKSEFLNFFYNHCMHVLTAPLLTNTSEDKCEKDFFLKHYRYSWSFICTPSHSHSHSTPSSSISQDNIVGSNKNNTICPDNYQTAQLLALILELLTFCVEHHTYHIKNYIMNKDLLRRVLVLMNSKHTFLALCALRFMRRIIGLKDEFYNRYITKGNLFEPVINALLDNGTRYNLLNSAVIELFEFIRVEDIKSLTAHIVENFYKALESIEYVQTFKGLKTKYEQEKDRQNQKLNSVPSILRSNRFRRDAKALEEDEEMWFNEDEEEEGKAVVAPVEKPKPEDDFPDNYEKFMETKKAKESEDKENLPKRTSPGGFKFTFSHSASAANGTNSKSVVAQIPPATSNGSSSKTTNLPTSVTATKGSLVGLVDYPDDEEEDEEEESSPRKRPRLGS
+>DECOY_sp|Q5MIZ7|P4R3B_HUMAN Serine/threonine-protein phosphatase 4 regulatory subunit 3B OS=Homo sapiens OX=9606 GN=PPP4R3B PE=1 SV=2
+SGLRPRKRPSSEEEEDEEEDDPYDVLGVLSGKTATVSTPLNTTKSSSGNSTAPPIQAVVSKSNTGNAASASHSFTFKFGGPSTRKPLNEKDESEKAKKTEMFKEYNDPFDDEPKPKEVPAVVAKGEEEEDENFWMEEDEELAKADRRFRNSRLISPVSNLKQNQRDKEQEYKTKLGKFTQVYEISELAKYFNEVIHATLSKIDEVRIFEFLEIVASNLLNYRTGNDLLANIVPEFLNGKTIYRNYFEDKLGIIRRMFRLACLALFTHKSNMLVLVRRLLDKNMIYNKIHYTHHEVCFTLLELILALLQATQYNDPCITNNKNSGVINDQSISSSPTSHSHSHSPTCIFSWSYRYHKLFFDKECKDESTNTLLPATLVHMCHNYFFNLFESKETKNTTALMNEPDILTRLLGMLQVAGGLEPDTDCIMQEIVVNILLIDDDSQQAEQMVFERVMSPSFEVLYSFIDTAASRVQLDDMGMVIELAPLIGLKALTKFFADRNQPQLTQSFACFEKFFNVLERRKDDDTAEDTLQAFVESLFKEDEQLMSVIEVKNFFIFSTLTSLFNEEFVSPTPLIIDQIYQVRYTQHIKQRLESDTIPIVEKFKATKTLFERHRKPQALAPDYELCGVVDMICEDSFMVEFLTAKNLFLIGRIIEYLHHLGETNELNECAQFLQLLKKIYGENELALALKERRIPSSLVSTVLDAIEELKNLECTPLDILHSTEPMEEFREEESEDILDQTVEVSPDKGQVQCIKEWIEDCGAKEQFSLALDYNEAESWVILTDQQKQYATNPNIKSELLLSGDSEARVLLSMGKLEEVYTSSVHGTGRDDWQRDENLTYVKVRRRTDSM
+>sp|O14683|P5I11_HUMAN Tumor protein p53-inducible protein 11 OS=Homo sapiens OX=9606 GN=TP53I11 PE=1 SV=2
+MAAKQPPPLMKKHSQTDLVSRLKTRKILGVGGEDDDGEVHRSKISQVLGNEIKFTIREPLGLRVWQFVSAVLFSGIAIMALAFPDQLYDAVFDGAQVTSKTPIRLYGGALLSISLIMWNALYTAEKVIIRWTLLTEACYFGVQFLVVTATLAETGLMSLGILLLLVSRLLFVVISIYYYYQVGRRPKKA
+>DECOY_sp|O14683|P5I11_HUMAN Tumor protein p53-inducible protein 11 OS=Homo sapiens OX=9606 GN=TP53I11 PE=1 SV=2
+AKKPRRGVQYYYYISIVVFLLRSVLLLLIGLSMLGTEALTATVVLFQVGFYCAETLLTWRIIVKEATYLANWMILSISLLAGGYLRIPTKSTVQAGDFVADYLQDPFALAMIAIGSFLVASVFQWVRLGLPERITFKIENGLVQSIKSRHVEGDDDEGGVGLIKRTKLRSVLDTQSHKKMLPPPQKAAM
+>sp|P04054|PA21B_HUMAN Phospholipase A2 OS=Homo sapiens OX=9606 GN=PLA2G1B PE=1 SV=3
+MKLLVLAVLLTVAAADSGISPRAVWQFRKMIKCVIPGSDPFLEYNNYGCYCGLGGSGTPVDELDKCCQTHDNCYDQAKKLDSCKFLLDNPYTHTYSYSCSGSAITCSSKNKECEAFICNCDRNAAICFSKAPYNKAHKNLDTKKYCQS
+>DECOY_sp|P04054|PA21B_HUMAN Phospholipase A2 OS=Homo sapiens OX=9606 GN=PLA2G1B PE=1 SV=3
+SQCYKKTDLNKHAKNYPAKSFCIAANRDCNCIFAECEKNKSSCTIASGSCSYSYTHTYPNDLLFKCSDLKKAQDYCNDHTQCCKDLEDVPTGSGGLGCYCGYNNYELFPDSGPIVCKIMKRFQWVARPSIGSDAAAVTLLVALVLLKM
+>sp|Q9BZ23|PANK2_HUMAN Pantothenate kinase 2, mitochondrial OS=Homo sapiens OX=9606 GN=PANK2 PE=1 SV=3
+MRRLGPFHPRVHWAAPPSLSSGLHRLLFLRGTRIPSSTTLSPPRHDSLSLDGGTVNPPRVREPTGREAFGPSPASSDWLPARWRNGRGGRPRARLCSGWTAAEEARRNPTLGGLLGRQRLLLRMGGGRLGAPMERHGRASATSVSSAGEQAAGDPEGRRQEPLRRRASSASVPAVGASAEGTRRDRLGSYSGPTSVSRQRVESLRKKRPLFPWFGLDIGGTLVKLVYFEPKDITAEEEEEEVESLKSIRKYLTSNVAYGSTGIRDVHLELKDLTLCGRKGNLHFIRFPTHDMPAFIQMGRDKNFSSLHTVFCATGGGAYKFEQDFLTIGDLQLCKLDELDCLIKGILYIDSVGFNGRSQCYYFENPADSEKCQKLPFDLKNPYPLLLVNIGSGVSILAVYSKDNYKRVTGTSLGGGTFFGLCCLLTGCTTFEEALEMASRGDSTKVDKLVRDIYGGDYERFGLPGWAVASSFGNMMSKEKREAVSKEDLARATLITITNNIGSIARMCALNENINQVVFVGNFLRINTIAMRLLAYALDYWSKGQLKALFSEHEGYFGAVGALLELLKIP
+>DECOY_sp|Q9BZ23|PANK2_HUMAN Pantothenate kinase 2, mitochondrial OS=Homo sapiens OX=9606 GN=PANK2 PE=1 SV=3
+PIKLLELLAGVAGFYGEHESFLAKLQGKSWYDLAYALLRMAITNIRLFNGVFVVQNINENLACMRAISGINNTITILTARALDEKSVAERKEKSMMNGFSSAVAWGPLGFREYDGGYIDRVLKDVKTSDGRSAMELAEEFTTCGTLLCCLGFFTGGGLSTGTVRKYNDKSYVALISVGSGINVLLLPYPNKLDFPLKQCKESDAPNEFYYCQSRGNFGVSDIYLIGKILCDLEDLKCLQLDGITLFDQEFKYAGGGTACFVTHLSSFNKDRGMQIFAPMDHTPFRIFHLNGKRGCLTLDKLELHVDRIGTSGYAVNSTLYKRISKLSEVEEEEEEATIDKPEFYVLKVLTGGIDLGFWPFLPRKKRLSEVRQRSVSTPGSYSGLRDRRTGEASAGVAPVSASSARRRLPEQRRGEPDGAAQEGASSVSTASARGHREMPAGLRGGGMRLLLRQRGLLGGLTPNRRAEEAATWGSCLRARPRGGRGNRWRAPLWDSSAPSPGFAERGTPERVRPPNVTGGDLSLSDHRPPSLTTSSPIRTGRLFLLRHLGSSLSPPAAWHVRPHFPGLRRM
+>sp|Q6QHF9|PAOX_HUMAN Peroxisomal N(1)-acetyl-spermine/spermidine oxidase OS=Homo sapiens OX=9606 GN=PAOX PE=1 SV=3
+MESTGSVGEAPGGPRVLVVGGGIAGLGAAQRLCGHSAFPHLRVLEATARAGGRIRSERCFGGVVEVGAHWIHGPSRGNPVFQLAAEYGLLGEKELSQENQLVETGGHVGLPSVSYASSGTSVSLQLVAEMATLFYGLIDQTREFLHAAETPVPSVGEYLKKEIGQHVARLCGHSAFPHLRVLEATARAGGRIRSERCFGGVVEVGAHWIHGPSRGNPVFQLAAEYGLLGEKELSQENQLVETGGHVGLPSVSYASSGASVSLQLVAEMATLFYGLIDQTREFLHAAETPVPSVGEYLKKEIGQHVAGWTEDEETRKLKLAVLNSFFNLECCVSGTHSMDLVALAPFGEYTVLPGLDCTFSKGYQGLTNCMMAALPEDTVVFEKPVKTIHWNGSFQEAAFPGETFPVSVECEDGDRFPAHHVIVTVPLGFLREHLDTFFDPPLPAEKAEAIRKIGFGTNNKIFLEFEEPFWEPDCQLIQLVWEDTSPLEDAAPELQDAWFRKLIGFVVLPAFASVHVLCGFIAGLESEFMETLSDEEVLLCLTQVLRRVTGNPRLPAPKSVLRSRWHSAPYTRGSYSYVAVGSTGGDLDLLAQPLPADGAGAQLQILFAGEATHRTFYSTTHGALLSGWREADRLLSLWAPQVQQPRPRL
+>DECOY_sp|Q6QHF9|PAOX_HUMAN Peroxisomal N(1)-acetyl-spermine/spermidine oxidase OS=Homo sapiens OX=9606 GN=PAOX PE=1 SV=3
+LRPRPQQVQPAWLSLLRDAERWGSLLAGHTTSYFTRHTAEGAFLIQLQAGAGDAPLPQALLDLDGGTSGVAVYSYSGRTYPASHWRSRLVSKPAPLRPNGTVRRLVQTLCLLVEEDSLTEMFESELGAIFGCLVHVSAFAPLVVFGILKRFWADQLEPAADELPSTDEWVLQILQCDPEWFPEEFELFIKNNTGFGIKRIAEAKEAPLPPDFFTDLHERLFGLPVTVIVHHAPFRDGDECEVSVPFTEGPFAAEQFSGNWHITKVPKEFVVTDEPLAAMMCNTLGQYGKSFTCDLGPLVTYEGFPALAVLDMSHTGSVCCELNFFSNLVALKLKRTEEDETWGAVHQGIEKKLYEGVSPVPTEAAHLFERTQDILGYFLTAMEAVLQLSVSAGSSAYSVSPLGVHGGTEVLQNEQSLEKEGLLGYEAALQFVPNGRSPGHIWHAGVEVVGGFCRESRIRGGARATAELVRLHPFASHGCLRAVHQGIEKKLYEGVSPVPTEAAHLFERTQDILGYFLTAMEAVLQLSVSTGSSAYSVSPLGVHGGTEVLQNEQSLEKEGLLGYEAALQFVPNGRSPGHIWHAGVEVVGGFCRESRIRGGARATAELVRLHPFASHGCLRQAAGLGAIGGGVVLVRPGGPAEGVSGTSEM
+>sp|Q5XG87|PAPD7_HUMAN Non-canonical poly(A) RNA polymerase PAPD7 OS=Homo sapiens OX=9606 GN=PAPD7 PE=1 SV=3
+MQIWETSQGVGRGGSGFASYFCLNSPALDTAAAAGAAGRGSGGLGPALPAASPPPPGPTAPAALPPALLTALGPAAEGARRLHKSPSLSSSSSSSSSNAESGTESPGCSSSSSSSASLGRPGGGRGGAFFNFADGAPSAPGTANGHPGPRGPAPAGSPSQHQFHPGRRKRENKASTYGLNYLLSGSRAAALSGGGGPGAQAPRPGTPWKSRAYSPGIQGLHEEIIDFYNFMSPCPEEAAMRREVVKRIETVVKDLWPTADVQIFGSFSTGLYLPTSDIDLVVFGKWERPPLQLLEQALRKHNVAEPCSIKVLDKATVPIIKLTDQETEVKVDISFNMETGVRAAEFIKNYMKKYSLLPYLILVLKQFLLQRDLNEVFTGGISSYSLILMAISFLQLHPRIDARRADENLGMLLVEFFELYGRNFNYLKTGIRIKEGGAYIAKEEIMKAMTSGYRPSMLCIEDPLLPGNDVGRSSYGAMQVKQVFDYAYIVLSHAVSPLARSYPNRDAESTLGRIIKVTQEVIDYRRWIKEKWGSKAHPSPGMDSRIKIKERIATCNGEQTQNREPESPYGQRLTLSLSSPQLLSSGSSASSVSSLSGSDVDSDTPPCTTPSVYQFSLQAPAPLMAGLPTALPMPSGKPQPTTSRTLIMTTNNQTRFTIPPPTLGVAPVPCRQAGVEGTASLKAVHHMSSPAIPSASPNPLSSPHLYHKQHNGMKLSMKGSHGHTQGGGYSSVGSGGVRPPVGNRGHHQYNRTGWRRKKHTHTRDSLPVSLSR
+>DECOY_sp|Q5XG87|PAPD7_HUMAN Non-canonical poly(A) RNA polymerase PAPD7 OS=Homo sapiens OX=9606 GN=PAPD7 PE=1 SV=3
+RSLSVPLSDRTHTHKKRRWGTRNYQHHGRNGVPPRVGGSGVSSYGGGQTHGHSGKMSLKMGNHQKHYLHPSSLPNPSASPIAPSSMHHVAKLSATGEVGAQRCPVPAVGLTPPPITFRTQNNTTMILTRSTTPQPKGSPMPLATPLGAMLPAPAQLSFQYVSPTTCPPTDSDVDSGSLSSVSSASSGSSLLQPSSLSLTLRQGYPSEPERNQTQEGNCTAIREKIKIRSDMGPSPHAKSGWKEKIWRRYDIVEQTVKIIRGLTSEADRNPYSRALPSVAHSLVIYAYDFVQKVQMAGYSSRGVDNGPLLPDEICLMSPRYGSTMAKMIEEKAIYAGGEKIRIGTKLYNFNRGYLEFFEVLLMGLNEDARRADIRPHLQLFSIAMLILSYSSIGGTFVENLDRQLLFQKLVLILYPLLSYKKMYNKIFEAARVGTEMNFSIDVKVETEQDTLKIIPVTAKDLVKISCPEAVNHKRLAQELLQLPPREWKGFVVLDIDSTPLYLGTSFSGFIQVDATPWLDKVVTEIRKVVERRMAAEEPCPSMFNYFDIIEEHLGQIGPSYARSKWPTGPRPAQAGPGGGGSLAAARSGSLLYNLGYTSAKNERKRRGPHFQHQSPSGAPAPGRPGPHGNATGPASPAGDAFNFFAGGRGGGPRGLSASSSSSSSCGPSETGSEANSSSSSSSSSLSPSKHLRRAGEAAPGLATLLAPPLAAPATPGPPPPSAAPLAPGLGGSGRGAAGAAAATDLAPSNLCFYSAFGSGGRGVGQSTEWIQM
+>sp|Q9NRJ5|PAPOB_HUMAN Poly(A) polymerase beta OS=Homo sapiens OX=9606 GN=PAPOLB PE=2 SV=1
+MPFPVTTQGPPQPAPPPNRYGVSSPISLAVPKETDCLLTQRLIETLRPFGVFEEEEELQRRILVLEKLNNLVKEWIREISESKSLPQSVIENVGGKIFTFGSYRLGVHTKGADIDALCVAPSHVDRSDFFTSFYAKLKLQEEVKDLRAVEEAFVPVIKLCFDGIEIDILFARLALQTIPEDLDLRDDSLLKNLDIRCIRSLNGCRVTDEILHLVPNIDNFRLTLRAIKLWAKCHNIYSNILGFLGGVSWAMLVARTCQLYPNAVASTLVRKFFLVFSEWEWPNPVLLKEPEERNLNLPVWDPRVNPSDRYHLMPIITPAYPQQNSTYNVSISTRMVMIEEFKQGLAITHEILLSKAEWSKLFEAPSFFQKYKHYIVLLASASTEKQHLEWVGLVESKIRILVGSLEKNEFITLAHVNPQSFPAPKENPDMEEFRTMWVIGLGLKKPDNSEILSIDLTYDIQSFTDTVYRQAVNSKMFEMGMKITAMHLRRKELHQLLPHHVLQDKKAHSTEGRRLTDLNDSSFDLSAGCENSMSVPSSTSTMKTGPLISSSQGRNSPALAVMTASVANIQATEFSLQQVNTNESSGVALNESIPHAVSQPAISPSPKAMVARVVSSTCLISHPDLQETQQQTYLIL
+>DECOY_sp|Q9NRJ5|PAPOB_HUMAN Poly(A) polymerase beta OS=Homo sapiens OX=9606 GN=PAPOLB PE=2 SV=1
+LILYTQQQTEQLDPHSILCTSSVVRAVMAKPSPSIAPQSVAHPISENLAVGSSENTNVQQLSFETAQINAVSATMVALAPSNRGQSSSILPGTKMTSTSSPVSMSNECGASLDFSSDNLDTLRRGETSHAKKDQLVHHPLLQHLEKRRLHMATIKMGMEFMKSNVAQRYVTDTFSQIDYTLDISLIESNDPKKLGLGIVWMTRFEEMDPNEKPAPFSQPNVHALTIFENKELSGVLIRIKSEVLGVWELHQKETSASALLVIYHKYKQFFSPAEFLKSWEAKSLLIEHTIALGQKFEEIMVMRTSISVNYTSNQQPYAPTIIPMLHYRDSPNVRPDWVPLNLNREEPEKLLVPNPWEWESFVLFFKRVLTSAVANPYLQCTRAVLMAWSVGGLFGLINSYINHCKAWLKIARLTLRFNDINPVLHLIEDTVRCGNLSRICRIDLNKLLSDDRLDLDEPITQLALRAFLIDIEIGDFCLKIVPVFAEEVARLDKVEEQLKLKAYFSTFFDSRDVHSPAVCLADIDAGKTHVGLRYSGFTFIKGGVNEIVSQPLSKSESIERIWEKVLNNLKELVLIRRQLEEEEEFVGFPRLTEILRQTLLCDTEKPVALSIPSSVGYRNPPPAPQPPGQTTVPFPM
+>sp|O95340|PAPS2_HUMAN Bifunctional 3'-phosphoadenosine 5'-phosphosulfate synthase 2 OS=Homo sapiens OX=9606 GN=PAPSS2 PE=1 SV=2
+MSGIKKQKTENQQKSTNVVYQAHHVSRNKRGQVVGTRGGFRGCTVWLTGLSGAGKTTISFALEEYLVSHAIPCYSLDGDNVRHGLNRNLGFSPGDREENIRRIAEVAKLFADAGLVCITSFISPFAKDRENARKIHESAGLPFFEIFVDAPLNICESRDVKGLYKRARAGEIKGFTGIDSDYEKPETPERVLKTNLSTVSDCVHQVVELLQEQNIVPYTIIKDIHELFVPENKLDHVRAEAETLPSLSITKLDLQWVQVLSEGWATPLKGFMREKEYLQVMHFDTLLDDGVINMSIPIVLPVSAEDKTRLEGCSKFVLAHGGRRVAILRDAEFYEHRKEERCSRVWGTTCTKHPHIKMVMESGDWLVGGDLQVLEKIRWNDGLDQYRLTPLELKQKCKEMNADAVFAFQLRNPVHNGHALLMQDTRRRLLERGYKHPVLLLHPLGGWTKDDDVPLDWRMKQHAAVLEEGVLDPKSTIVAIFPSPMLYAGPTEVQWHCRSRMIAGANFYIVGRDPAGMPHPETKKDLYEPTHGGKVLSMAPGLTSVEIIPFRVAAYNKAKKAMDFYDPARHNEFDFISGTRMRKLAREGENPPDGFMAPKAWKVLTDYYRSLEKN
+>DECOY_sp|O95340|PAPS2_HUMAN Bifunctional 3'-phosphoadenosine 5'-phosphosulfate synthase 2 OS=Homo sapiens OX=9606 GN=PAPSS2 PE=1 SV=2
+NKELSRYYDTLVKWAKPAMFGDPPNEGERALKRMRTGSIFDFENHRAPDYFDMAKKAKNYAAVRFPIIEVSTLGPAMSLVKGGHTPEYLDKKTEPHPMGAPDRGVIYFNAGAIMRSRCHWQVETPGAYLMPSPFIAVITSKPDLVGEELVAAHQKMRWDLPVDDDKTWGGLPHLLLVPHKYGRELLRRRTDQMLLAHGNHVPNRLQFAFVADANMEKCKQKLELPTLRYQDLGDNWRIKELVQLDGGVLWDGSEMVMKIHPHKTCTTGWVRSCREEKRHEYFEADRLIAVRRGGHALVFKSCGELRTKDEASVPLVIPISMNIVGDDLLTDFHMVQLYEKERMFGKLPTAWGESLVQVWQLDLKTISLSPLTEAEARVHDLKNEPVFLEHIDKIITYPVINQEQLLEVVQHVCDSVTSLNTKLVREPTEPKEYDSDIGTFGKIEGARARKYLGKVDRSECINLPADVFIEFFPLGASEHIKRANERDKAFPSIFSTICVLGADAFLKAVEAIRRINEERDGPSFGLNRNLGHRVNDGDLSYCPIAHSVLYEELAFSITTKGAGSLGTLWVTCGRFGGRTGVVQGRKNRSVHHAQYVVNTSKQQNETKQKKIGSM
+>sp|Q96A54|PAQR1_HUMAN Adiponectin receptor protein 1 OS=Homo sapiens OX=9606 GN=ADIPOR1 PE=1 SV=1
+MSSHKGSVVAQGNGAPASNREADTVELAELGPLLEEKGKRVIANPPKAEEEQTCPVPQEEEEEVRVLTLPLQAHHAMEKMEEFVYKVWEGRWRVIPYDVLPDWLKDNDYLLHGHRPPMPSFRACFKSIFRIHTETGNIWTHLLGFVLFLFLGILTMLRPNMYFMAPLQEKVVFGMFFLGAVLCLSFSWLFHTVYCHSEKVSRTFSKLDYSGIALLIMGSFVPWLYYSFYCSPQPRLIYLSIVCVLGISAIIVAQWDRFATPKHRQTRAGVFLGLGLSGVVPTMHFTIAEGFVKATTVGQMGWFFLMAVMYITGAGLYAARIPERFFPGKFDIWFQSHQIFHVLVVAAAFVHFYGVSNLQEFRYGLEGGCTDDTLL
+>DECOY_sp|Q96A54|PAQR1_HUMAN Adiponectin receptor protein 1 OS=Homo sapiens OX=9606 GN=ADIPOR1 PE=1 SV=1
+LLTDDTCGGELGYRFEQLNSVGYFHVFAAAVVLVHFIQHSQFWIDFKGPFFREPIRAAYLGAGTIYMVAMLFFWGMQGVTTAKVFGEAITFHMTPVVGSLGLGLFVGARTQRHKPTAFRDWQAVIIASIGLVCVISLYILRPQPSCYFSYYLWPVFSGMILLAIGSYDLKSFTRSVKESHCYVTHFLWSFSLCLVAGLFFMGFVVKEQLPAMFYMNPRLMTLIGLFLFLVFGLLHTWINGTETHIRFISKFCARFSPMPPRHGHLLYDNDKLWDPLVDYPIVRWRGEWVKYVFEEMKEMAHHAQLPLTLVRVEEEEEQPVPCTQEEEAKPPNAIVRKGKEELLPGLEALEVTDAERNSAPAGNGQAVVSGKHSSM
+>sp|Q6TCH4|PAQR6_HUMAN Membrane progestin receptor delta OS=Homo sapiens OX=9606 GN=PAQR6 PE=1 SV=2
+MLSLKLPQLLQVHQVPRVFWEDGIMSGYRRPTSSALDCVLSSFQMTNETVNIWTHFLPTWYFLWRLLALAGGPGFRAEPYHWPLLVFLLPACLYPFASCCAHTFSSMSPRMRHICYFLDYGALSLYSLGCAFPYAAYSMPASWLHGHLHQFFVPAAALNSFLCTGLSCYSRFLELESPGLSKVLRTGAFAYPFLFDNLPLFYRLGLCWGRGHGCGQEALSTSHGYHLFCALLTGFLFASHLPERLAPGRFDYIGHSHQLFHICAVLGTHFQLEAVLADMGSRRAWLATQEPALGLAGTVATLVLAAAGNLLIIAAFTATLLRAPSTCPLLQGGPLEGGTQAKQQ
+>DECOY_sp|Q6TCH4|PAQR6_HUMAN Membrane progestin receptor delta OS=Homo sapiens OX=9606 GN=PAQR6 PE=1 SV=2
+QQKAQTGGELPGGQLLPCTSPARLLTATFAAIILLNGAAALVLTAVTGALGLAPEQTALWARRSGMDALVAELQFHTGLVACIHFLQHSHGIYDFRGPALREPLHSAFLFGTLLACFLHYGHSTSLAEQGCGHGRGWCLGLRYFLPLNDFLFPYAFAGTRLVKSLGPSELELFRSYCSLGTCLFSNLAAAPVFFQHLHGHLWSAPMSYAAYPFACGLSYLSLAGYDLFYCIHRMRPSMSSFTHACCSAFPYLCAPLLFVLLPWHYPEARFGPGGALALLRWLFYWTPLFHTWINVTENTMQFSSLVCDLASSTPRRYGSMIGDEWFVRPVQHVQLLQPLKLSLM
+>sp|Q8TEZ7|PAQR8_HUMAN Membrane progestin receptor beta OS=Homo sapiens OX=9606 GN=PAQR8 PE=2 SV=1
+MTTAILERLSTLSVSGQQLRRLPKILEDGLPKMPCTVPETDVPQLFREPYIRTGYRPTGHEWRYYFFSLFQKHNEVVNVWTHLLAALAVLLRFWAFAEAEALPWASTHSLPLLLFILSSITYLTCSLLAHLLQSKSELSHYTFYFVDYVGVSVYQYGSALAHFFYSSDQAWYDRFWLFFLPAAAFCGWLSCAGCCYAKYRYRRPYPVMRKICQVVPAGLAFILDISPVAHRVALCHLAGCQEQAAWYHTLQILFFLVSAYFFSCPVPEKYFPGSCDIVGHGHQIFHAFLSICTLSQLEAILLDYQGRQEIFLQRHGPLSVHMACLSFFFLAACSAATAALLRHKVKARLTKKDS
+>DECOY_sp|Q8TEZ7|PAQR8_HUMAN Membrane progestin receptor beta OS=Homo sapiens OX=9606 GN=PAQR8 PE=2 SV=1
+SDKKTLRAKVKHRLLAATAASCAALFFFSLCAMHVSLPGHRQLFIEQRGQYDLLIAELQSLTCISLFAHFIQHGHGVIDCSGPFYKEPVPCSFFYASVLFFLIQLTHYWAAQEQCGALHCLAVRHAVPSIDLIFALGAPVVQCIKRMVPYPRRYRYKAYCCGACSLWGCFAAAPLFFLWFRDYWAQDSSYFFHALASGYQYVSVGVYDVFYFTYHSLESKSQLLHALLSCTLYTISSLIFLLLPLSHTSAWPLAEAEAFAWFRLLVALAALLHTWVNVVENHKQFLSFFYYRWEHGTPRYGTRIYPERFLQPVDTEPVTCPMKPLGDELIKPLRRLQQGSVSLTSLRELIATTM
+>sp|Q15546|PAQRB_HUMAN Monocyte to macrophage differentiation factor OS=Homo sapiens OX=9606 GN=MMD PE=2 SV=2
+MRFKNRFQRFMNHRAPANGRYKPTCYEHAANCYTHAFLIVPAIVGSALLHRLSDDCWEKITAWIYGMGLCALFIVSTVFHIVSWKKSHLRTVEHCFHMCDRMVIYFFIAASYAPWLNLRELGPLASHMRWFIWLMAAGGTIYVFLYHEKYKVVELFFYLTMGFSPALVVTSMNNTDGLQELACGGLIYCLGVVFFKSDGIIPFAHAIWHLFVATAAAVHYYAIWKYLYRSPTDFMRHL
+>DECOY_sp|Q15546|PAQRB_HUMAN Monocyte to macrophage differentiation factor OS=Homo sapiens OX=9606 GN=MMD PE=2 SV=2
+LHRMFDTPSRYLYKWIAYYHVAAATAVFLHWIAHAFPIIGDSKFFVVGLCYILGGCALEQLGDTNNMSTVVLAPSFGMTLYFFLEVVKYKEHYLFVYITGGAAMLWIFWRMHSALPGLERLNLWPAYSAAIFFYIVMRDCMHFCHEVTRLHSKKWSVIHFVTSVIFLACLGMGYIWATIKEWCDDSLRHLLASGVIAPVILFAHTYCNAAHEYCTPKYRGNAPARHNMFRQFRNKFRM
+>sp|Q53GL7|PAR10_HUMAN Poly [ADP-ribose] polymerase 10 OS=Homo sapiens OX=9606 GN=PARP10 PE=1 SV=2
+MVAMAEAEAGVAVEVRGLPPAVPDELLTLYFENRRRSGGGPVLSWQRLGCGGVLTFREPADAERVLAQADHELHGAQLSLRPAPPRAPARLLLQGLPPGTTPQRLEQHVQALLRASGLPVQPCCALASPRPDRALVQLPKPLSEADVRVLEEQAQNLGLEGTLVSLARVPQARAVRVVGDGASVDLLLLELYLENERRSGGGPLEDLQRLPGPLGTVASFQQWQVAERVLQQEHRLQGSELSLVPHYDILEPEELAENTSGGDHPSTQGPRATKHALLRTGGLVTALQGAGTVTMGSGEEPGQSGASLRTGPMVQGRGIMTTGSGQEPGQSGTSLRTGPMGSLGQAEQVSSMPMGSLEHEGLVSLRPVGLQEQEGPMSLGPVGSAGPVETSKGLLGQEGLVEIAMDSPEQEGLVGPMEITMGSLEKAGPVSPGCVKLAGQEGLVEMVLLMEPGAMRFLQLYHEDLLAGLGDVALLPLEGPDMTGFRLCGAQASCQAAEEFLRSLLGSISCHVLCLEHPGSARFLLGPEGQHLLQGLEAQFQCVFGTERLATATLDTGLEEVDPTEALPVLPGNAHTLWTPDSTGGDQEDVSLEEVRELLATLEGLDLDGEDWLPRELEEEGPQEQPEEEVTPGHEEEEPVAPSTVAPRWLEEEAALQLALHRSLEPQGQVAEQEEAAALRQALTLSLLEQPPLEAEEPPDGGTDGKAQLVVHSAFEQDVEELDRALRAALEVHVQEETVGPWRRTLPAELRARLERCHGVSVALRGDCTILRGFGAHPARAARHLVALLAGPWDQSLAFPLAASGPTLAGQTLKGPWNNLERLAENTGEFQEVVRAFYDTLDAARSSIRVVRVERVSHPLLQQQYELYRERLLQRCERRPVEQVLYHGTTAPAVPDICAHGFNRSFCGRNATVYGKGVYFARRASLSVQDRYSPPNADGHKAVFVARVLTGDYGQGRRGLRAPPLRGPGHVLLRYDSAVDCICQPSIFVIFHDTQALPTHLITCEHVPRASPDDPSGLPGRSPDT
+>DECOY_sp|Q53GL7|PAR10_HUMAN Poly [ADP-ribose] polymerase 10 OS=Homo sapiens OX=9606 GN=PARP10 PE=1 SV=2
+TDPSRGPLGSPDDPSARPVHECTILHTPLAQTDHFIVFISPQCICDVASDYRLLVHGPGRLPPARLGRRGQGYDGTLVRAVFVAKHGDANPPSYRDQVSLSARRAFYVGKGYVTANRGCFSRNFGHACIDPVAPATTGHYLVQEVPRRECRQLLRERYLEYQQQLLPHSVREVRVVRISSRAADLTDYFARVVEQFEGTNEALRELNNWPGKLTQGALTPGSAALPFALSQDWPGALLAVLHRAARAPHAGFGRLITCDGRLAVSVGHCRELRARLEAPLTRRWPGVTEEQVHVELAARLARDLEEVDQEFASHVVLQAKGDTGGDPPEEAELPPQELLSLTLAQRLAAAEEQEAVQGQPELSRHLALQLAAEEELWRPAVTSPAVPEEEEHGPTVEEEPQEQPGEEELERPLWDEGDLDLGELTALLERVEELSVDEQDGGTSDPTWLTHANGPLVPLAETPDVEELGTDLTATALRETGFVCQFQAELGQLLHQGEPGLLFRASGPHELCLVHCSISGLLSRLFEEAAQCSAQAGCLRFGTMDPGELPLLAVDGLGALLDEHYLQLFRMAGPEMLLVMEVLGEQGALKVCGPSVPGAKELSGMTIEMPGVLGEQEPSDMAIEVLGEQGLLGKSTEVPGASGVPGLSMPGEQEQLGVPRLSVLGEHELSGMPMSSVQEAQGLSGMPGTRLSTGSQGPEQGSGTTMIGRGQVMPGTRLSAGSQGPEEGSGMTVTGAGQLATVLGGTRLLAHKTARPGQTSPHDGGSTNEALEEPELIDYHPVLSLESGQLRHEQQLVREAVQWQQFSAVTGLPGPLRQLDELPGGGSRRENELYLELLLLDVSAGDGVVRVARAQPVRALSVLTGELGLNQAQEELVRVDAESLPKPLQVLARDPRPSALACCPQVPLGSARLLAQVHQELRQPTTGPPLGQLLLRAPARPPAPRLSLQAGHLEHDAQALVREADAPERFTLVGGCGLRQWSLVPGGGSRRRNEFYLTLLEDPVAPPLGRVEVAVGAEAEAMAVM
+>sp|Q9H0J9|PAR12_HUMAN Poly [ADP-ribose] polymerase 12 OS=Homo sapiens OX=9606 GN=PARP12 PE=1 SV=1
+MAQAGVVGEVTQVLCAAGGALELPELRRRLRMGLSADALERLLRQRGRFVVAVRAGGAAAAPERVVLAASPLRLCRAHQGSKPGCVGLCAQLHLCRFMVYGACKFLRAGKNCRNSHSLTTEHNLSVLRTHGVDHLSYNELCQLLFQNDPWLLPEICQHYNKGDGPHGSCAFQKQCIKLHICQYFLQGECKFGTSCKRSHDFSNSENLEKLEKLGMSSDLVSRLPTIYRNAHDIKNKSSAPSRVPPLFVPQGTSERKDSSGSVSPNTLSQEEGDQICLYHIRKSCSFQDKCHRVHFHLPYRWQFLDRGKWEDLDNMELIEEAYCNPKIERILCSESASTFHSHCLNFNAMTYGATQARRLSTASSVTKPPHFILTTDWIWYWSDEFGSWQEYGRQGTVHPVTTVSSSDVEKAYLAYCTPGSDGQAATLKFQAGKHNYELDFKAFVQKNLVYGTTKKVCRRPKYVSPQDVTTMQTCNTKFPGPKSIPDYWDSSALPDPGFQKITLSSSSEEYQKVWNLFNRTLPFYFVQKIERVQNLALWEVYQWQKGQMQKQNGGKAVDERQLFHGTSAIFVDAICQQNFDWRVCGVHGTSYGKGSYFARDAAYSHHYSKSDTQTHTMFLARVLVGEFVRGNASFVRPPAKEGWSNAFYDSCVNSVSDPSIFVIFEKHQVYPEYVIQYTTSSKPSVTPSILLALGSLFSSRQ
+>DECOY_sp|Q9H0J9|PAR12_HUMAN Poly [ADP-ribose] polymerase 12 OS=Homo sapiens OX=9606 GN=PARP12 PE=1 SV=1
+QRSSFLSGLALLISPTVSPKSSTTYQIVYEPYVQHKEFIVFISPDSVSNVCSDYFANSWGEKAPPRVFSANGRVFEGVLVRALFMTHTQTDSKSYHHSYAADRAFYSGKGYSTGHVGCVRWDFNQQCIADVFIASTGHFLQREDVAKGGNQKQMQGKQWQYVEWLALNQVREIKQVFYFPLTRNFLNWVKQYEESSSSLTIKQFGPDPLASSDWYDPISKPGPFKTNCTQMTTVDQPSVYKPRRCVKKTTGYVLNKQVFAKFDLEYNHKGAQFKLTAAQGDSGPTCYALYAKEVDSSSVTTVPHVTGQRGYEQWSGFEDSWYWIWDTTLIFHPPKTVSSATSLRRAQTAGYTMANFNLCHSHFTSASESCLIREIKPNCYAEEILEMNDLDEWKGRDLFQWRYPLHFHVRHCKDQFSCSKRIHYLCIQDGEEQSLTNPSVSGSSDKRESTGQPVFLPPVRSPASSKNKIDHANRYITPLRSVLDSSMGLKELKELNESNSFDHSRKCSTGFKCEGQLFYQCIHLKICQKQFACSGHPGDGKNYHQCIEPLLWPDNQFLLQCLENYSLHDVGHTRLVSLNHETTLSHSNRCNKGARLFKCAGYVMFRCLHLQACLGVCGPKSGQHARCLRLPSAALVVREPAAAAGGARVAVVFRGRQRLLRELADASLGMRLRRRLEPLELAGGAACLVQTVEGVVGAQAM
+>sp|Q9UGN5|PARP2_HUMAN Poly [ADP-ribose] polymerase 2 OS=Homo sapiens OX=9606 GN=PARP2 PE=1 SV=2
+MAARRRRSTGGGRARALNESKRVNNGNTAPEDSSPAKKTRRCQRQESKKMPVAGGKANKDRTEDKQDGMPGRSWASKRVSESVKALLLKGKAPVDPECTAKVGKAHVYCEGNDVYDVMLNQTNLQFNNNKYYLIQLLEDDAQRNFSVWMRWGRVGKMGQHSLVACSGNLNKAKEIFQKKFLDKTKNNWEDREKFEKVPGKYDMLQMDYATNTQDEEETKKEESLKSPLKPESQLDLRVQELIKLICNVQAMEEMMMEMKYNTKKAPLGKLTVAQIKAGYQSLKKIEDCIRAGQHGRALMEACNEFYTRIPHDFGLRTPPLIRTQKELSEKIQLLEALGDIEIAIKLVKTELQSPEHPLDQHYRNLHCALRPLDHESYEFKVISQYLQSTHAPTHSDYTMTLLDLFEVEKDGEKEAFREDLHNRMLLWHGSRMSNWVGILSHGLRIAPPEAPITGYMFGKGIYFADMSSKSANYCFASRLKNTGLLLLSEVALGQCNELLEANPKAEGLLQGKHSTKGLGKMAPSSAHFVTLNGSTVPLGPASDTGILNPDGYTLNYNEYIVYNPNQVRMRYLLKVQFNFLQLW
+>DECOY_sp|Q9UGN5|PARP2_HUMAN Poly [ADP-ribose] polymerase 2 OS=Homo sapiens OX=9606 GN=PARP2 PE=1 SV=2
+WLQLFNFQVKLLYRMRVQNPNYVIYENYNLTYGDPNLIGTDSAPGLPVTSGNLTVFHASSPAMKGLGKTSHKGQLLGEAKPNAELLENCQGLAVESLLLLGTNKLRSAFCYNASKSSMDAFYIGKGFMYGTIPAEPPAIRLGHSLIGVWNSMRSGHWLLMRNHLDERFAEKEGDKEVEFLDLLTMTYDSHTPAHTSQLYQSIVKFEYSEHDLPRLACHLNRYHQDLPHEPSQLETKVLKIAIEIDGLAELLQIKESLEKQTRILPPTRLGFDHPIRTYFENCAEMLARGHQGARICDEIKKLSQYGAKIQAVTLKGLPAKKTNYKMEMMMEEMAQVNCILKILEQVRLDLQSEPKLPSKLSEEKKTEEEDQTNTAYDMQLMDYKGPVKEFKERDEWNNKTKDLFKKQFIEKAKNLNGSCAVLSHQGMKGVRGWRMWVSFNRQADDELLQILYYKNNNFQLNTQNLMVDYVDNGECYVHAKGVKATCEPDVPAKGKLLLAKVSESVRKSAWSRGPMGDQKDETRDKNAKGGAVPMKKSEQRQCRRTKKAPSSDEPATNGNNVRKSENLARARGGGTSRRRRAAM
+>sp|Q7Z3E1|PARPT_HUMAN TCDD-inducible poly [ADP-ribose] polymerase OS=Homo sapiens OX=9606 GN=TIPARP PE=2 SV=1
+MEMETTEPEPDCVVQPPSPPDDFSCQMRLSEKITPLKTCFKKKDQKRLGTGTLRSLRPILNTLLESGSLDGVFRSRNQSTDENSLHEPMMKKAMEINSSCPPAENNMSVLIPDRTNVGDQIPEAHPSTEAPERVVPIQDHSFPSETLSGTVADSTPAHFQTDLLHPVSSDVPTSPDCLDKVIDYVPGIFQENSFTIQYILDTSDKLSTELFQDKSEEASLDLVFELVNQLQYHTHQENGIEICMDFLQGTCIYGRDCLKHHTVLPYHWQIKRTTTQKWQSVFNDSQEHLERFYCNPENDRMRMKYGGQEFWADLNAMNVYETTEFDQLRRLSTPPSSNVNSIYHTVWKFFCRDHFGWREYPESVIRLIEEANSRGLKEVRFMMWNNHYILHNSFFRREIKRRPLFRSCFILLPYLQTLGGVPTQAPPPLEATSSSQIICPDGVTSANFYPETWVYMHPSQDFIQVPVSAEDKSYRIIYNLFHKTVPEFKYRILQILRVQNQFLWEKYKRKKEYMNRKMFGRDRIINERHLFHGTSQDVVDGICKHNFDPRVCGKHATMFGQGSYFAKKASYSHNFSKKSSKGVHFMFLAKVLTGRYTMGSHGMRRPPPVNPGSVTSDLYDSCVDNFFEPQIFVIFNDDQSYPYFVIQYEEVSNTVSI
+>DECOY_sp|Q7Z3E1|PARPT_HUMAN TCDD-inducible poly [ADP-ribose] polymerase OS=Homo sapiens OX=9606 GN=TIPARP PE=2 SV=1
+ISVTNSVEEYQIVFYPYSQDDNFIVFIQPEFFNDVCSDYLDSTVSGPNVPPPRRMGHSGMTYRGTLVKALFMFHVGKSSKKSFNHSYSAKKAFYSGQGFMTAHKGCVRPDFNHKCIGDVVDQSTGHFLHRENIIRDRGFMKRNMYEKKRKYKEWLFQNQVRLIQLIRYKFEPVTKHFLNYIIRYSKDEASVPVQIFDQSPHMYVWTEPYFNASTVGDPCIIQSSSTAELPPPAQTPVGGLTQLYPLLIFCSRFLPRRKIERRFFSNHLIYHNNWMMFRVEKLGRSNAEEILRIVSEPYERWGFHDRCFFKWVTHYISNVNSSPPTSLRRLQDFETTEYVNMANLDAWFEQGGYKMRMRDNEPNCYFRELHEQSDNFVSQWKQTTTRKIQWHYPLVTHHKLCDRGYICTGQLFDMCIEIGNEQHTHYQLQNVLEFVLDLSAEESKDQFLETSLKDSTDLIYQITFSNEQFIGPVYDIVKDLCDPSTPVDSSVPHLLDTQFHAPTSDAVTGSLTESPFSHDQIPVVREPAETSPHAEPIQDGVNTRDPILVSMNNEAPPCSSNIEMAKKMMPEHLSNEDTSQNRSRFVGDLSGSELLTNLIPRLSRLTGTGLRKQDKKKFCTKLPTIKESLRMQCSFDDPPSPPQVVCDPEPETTEMEM
+>sp|P15863|PAX1_HUMAN Paired box protein Pax-1 OS=Homo sapiens OX=9606 GN=PAX1 PE=1 SV=4
+MKFTLGLGSRAWRVSWEGAAAAAAGPGAGGSALRCRAQRVSSPRLGRRGSRLSGALPLCLSRGGGGAQALPDCAGPSPGHPGHPGARQLAGPLAMEQTYGEVNQLGGVFVNGRPLPNAIRLRIVELAQLGIRPCDISRQLRVSHGCVSKILARYNETGSILPGAIGGSKPRVTTPNVVKHIRDYKQGDPGIFAWEIRDRLLADGVCDKYNVPSVSSISRILRNKIGSLAQPGPYEASKQPPSQPTLPYNHIYQYPYPSPVSPTGAKMGSHPGVPGTAGHVSIPRSWPSAHSVSNILGIRTFMEQTGALAGSEGTAYSPKMEDWAGVNRTAFPATPAVNGLEKPALEADIKYTQSASTLSAVGGFLPACAYPASNQHGVYSAPGGGYLAPGPPWPPAQGPPLAPPGAGVAVHGGELAAAMTFKHPSREGSLPAPAARPRTPSVAYTDCPSRPRPPRGSSPRTRARRERQADPGAQVCAAAPAIGTGRIGGLAEEEASAGPRGARPASPQAQPCLWPDPPHFLYWSGFLGFSELGF
+>DECOY_sp|P15863|PAX1_HUMAN Paired box protein Pax-1 OS=Homo sapiens OX=9606 GN=PAX1 PE=1 SV=4
+FGLESFGLFGSWYLFHPPDPWLCPQAQPSAPRAGRPGASAEEEALGGIRGTGIAPAAACVQAGPDAQRERRARTRPSSGRPPRPRSPCDTYAVSPTRPRAAPAPLSGERSPHKFTMAAALEGGHVAVGAGPPALPPGQAPPWPPGPALYGGGPASYVGHQNSAPYACAPLFGGVASLTSASQTYKIDAELAPKELGNVAPTAPFATRNVGAWDEMKPSYATGESGALAGTQEMFTRIGLINSVSHASPWSRPISVHGATGPVGPHSGMKAGTPSVPSPYPYQYIHNYPLTPQSPPQKSAEYPGPQALSGIKNRLIRSISSVSPVNYKDCVGDALLRDRIEWAFIGPDGQKYDRIHKVVNPTTVRPKSGGIAGPLISGTENYRALIKSVCGHSVRLQRSIDCPRIGLQALEVIRLRIANPLPRGNVFVGGLQNVEGYTQEMALPGALQRAGPHGPHGPSPGACDPLAQAGGGGRSLCLPLAGSLRSGRRGLRPSSVRQARCRLASGGAGPGAAAAAAGEWSVRWARSGLGLTFKM
+>sp|O43316|PAX4_HUMAN Paired box protein Pax-4 OS=Homo sapiens OX=9606 GN=PAX4 PE=1 SV=1
+MHQDGISSMNQLGGLFVNGRPLPLDTRQQIVRLAVSGMRPCDISRILKVSNGCVSKILGRYYRTGVLEPKGIGGSKPRLATPPVVARIAQLKGECPALFAWEIQRQLCAEGLCTQDKTPSVSSINRVLRALQEDQGLPCTRLRSPAVLAPAVLTPHSGSETPRGTHPGTGHRNRTIFSPSQAEALEKEFQRGQYPDSVARGKLATATSLPEDTVRVWFSNRRAKWRRQEKLKWEMQLPGASQGLTVPRVAPGIISAQQSPGSVPTAALPALEPLGPSCYQLCWATAPERCLSDTPPKACLKPCWDCGSFLLPVIAPSCVDVAWPCLDASLAHHLIGGAGKATPTHFSHWP
+>DECOY_sp|O43316|PAX4_HUMAN Paired box protein Pax-4 OS=Homo sapiens OX=9606 GN=PAX4 PE=1 SV=1
+PWHSFHTPTAKGAGGILHHALSADLCPWAVDVCSPAIVPLLFSGCDWCPKLCAKPPTDSLCREPATAWCLQYCSPGLPELAPLAATPVSGPSQQASIIGPAVRPVTLGQSAGPLQMEWKLKEQRRWKARRNSFWVRVTDEPLSTATALKGRAVSDPYQGRQFEKELAEAQSPSFITRNRHGTGPHTGRPTESGSHPTLVAPALVAPSRLRTCPLGQDEQLARLVRNISSVSPTKDQTCLGEACLQRQIEWAFLAPCEGKLQAIRAVVPPTALRPKSGGIGKPELVGTRYYRGLIKSVCGNSVKLIRSIDCPRMGSVALRVIQQRTDLPLPRGNVFLGGLQNMSSIGDQHM
+>sp|Q02548|PAX5_HUMAN Paired box protein Pax-5 OS=Homo sapiens OX=9606 GN=PAX5 PE=1 SV=1
+MDLEKNYPTPRTSRTGHGGVNQLGGVFVNGRPLPDVVRQRIVELAHQGVRPCDISRQLRVSHGCVSKILGRYYETGSIKPGVIGGSKPKVATPKVVEKIAEYKRQNPTMFAWEIRDRLLAERVCDNDTVPSVSSINRIIRTKVQQPPNQPVPASSHSIVSTGSVTQVSSVSTDSAGSSYSISGILGITSPSADTNKRKRDEGIQESPVPNGHSLPGRDFLRKQMRGDLFTQQQLEVLDRVFERQHYSDIFTTTEPIKPEQTTEYSAMASLAGGLDDMKANLASPTPADIGSSVPGPQSYPIVTGRDLASTTLPGYPPHVPPAGQGSYSAPTLTGMVPGSEFSGSPYSHPQYSSYNDSWRFPNPGLLGSPYYYSAAARGAAPPAAATAYDRH
+>DECOY_sp|Q02548|PAX5_HUMAN Paired box protein Pax-5 OS=Homo sapiens OX=9606 GN=PAX5 PE=1 SV=1
+HRDYATAAAPPAAGRAAASYYYPSGLLGPNPFRWSDNYSSYQPHSYPSGSFESGPVMGTLTPASYSGQGAPPVHPPYGPLTTSALDRGTVIPYSQPGPVSSGIDAPTPSALNAKMDDLGGALSAMASYETTQEPKIPETTTFIDSYHQREFVRDLVELQQQTFLDGRMQKRLFDRGPLSHGNPVPSEQIGEDRKRKNTDASPSTIGLIGSISYSSGASDTSVSSVQTVSGTSVISHSSAPVPQNPPQQVKTRIIRNISSVSPVTDNDCVREALLRDRIEWAFMTPNQRKYEAIKEVVKPTAVKPKSGGIVGPKISGTEYYRGLIKSVCGHSVRLQRSIDCPRVGQHALEVIRQRVVDPLPRGNVFVGGLQNVGGHGTRSTRPTPYNKELDM
+>sp|P23759|PAX7_HUMAN Paired box protein Pax-7 OS=Homo sapiens OX=9606 GN=PAX7 PE=1 SV=4
+MAALPGTVPRMMRPAPGQNYPRTGFPLEVSTPLGQGRVNQLGGVFINGRPLPNHIRHKIVEMAHHGIRPCVISRQLRVSHGCVSKILCRYQETGSIRPGAIGGSKPRQVATPDVEKKIEEYKRENPGMFSWEIRDRLLKDGHCDRSTVPSGLVSSISRVLRIKFGKKEEEDEADKKEDDGEKKAKHSIDGILGDKGNRLDEGSDVESEPDLPLKRKQRRSRTTFTAEQLEELEKAFERTHYPDIYTREELAQRTKLTEARVQVWFSNRRARWRKQAGANQLAAFNHLLPGGFPPTGMPTLPPYQLPDSTYPTTTISQDGGSTVHRPQPLPPSTMHQGGLAAAAAAADTSSAYGARHSFSSYSDSFMNPAAPSNHMNPVSNGLSPQVMSILGNPSAVPPQPQADFSISPLHGGLDSATSISASCSQRADSIKPGDSLPTSQAYCPPTYSTTGYSVDPVAGYQYGQYGQTAVDYLAKNVSLSTQRRMKLGEHSAVLGLLPVETGQAY
+>DECOY_sp|P23759|PAX7_HUMAN Paired box protein Pax-7 OS=Homo sapiens OX=9606 GN=PAX7 PE=1 SV=4
+YAQGTEVPLLGLVASHEGLKMRRQTSLSVNKALYDVATQGYQGYQYGAVPDVSYGTTSYTPPCYAQSTPLSDGPKISDARQSCSASISTASDLGGHLPSISFDAQPQPPVASPNGLISMVQPSLGNSVPNMHNSPAAPNMFSDSYSSFSHRAGYASSTDAAAAAAALGGQHMTSPPLPQPRHVTSGGDQSITTTPYTSDPLQYPPLTPMGTPPFGGPLLHNFAALQNAGAQKRWRARRNSFWVQVRAETLKTRQALEERTYIDPYHTREFAKELEELQEATFTTRSRRQKRKLPLDPESEVDSGEDLRNGKDGLIGDISHKAKKEGDDEKKDAEDEEEKKGFKIRLVRSISSVLGSPVTSRDCHGDKLLRDRIEWSFMGPNERKYEEIKKEVDPTAVQRPKSGGIAGPRISGTEQYRCLIKSVCGHSVRLQRSIVCPRIGHHAMEVIKHRIHNPLPRGNIFVGGLQNVRGQGLPTSVELPFGTRPYNQGPAPRMMRPVTGPLAAM
+>sp|Q15365|PCBP1_HUMAN Poly(rC)-binding protein 1 OS=Homo sapiens OX=9606 GN=PCBP1 PE=1 SV=2
+MDAGVTESGLNVTLTIRLLMHGKEVGSIIGKKGESVKRIREESGARINISEGNCPERIITLTGPTNAIFKAFAMIIDKLEEDINSSMTNSTAASRPPVTLRLVVPATQCGSLIGKGGCKIKEIRESTGAQVQVAGDMLPNSTERAITIAGVPQSVTECVKQICLVMLETLSQSPQGRVMTIPYQPMPASSPVICAGGQDRCSDAAGYPHATHDLEGPPLDAYSIQGQHTISPLDLAKLNQVARQQSHFAMMHGGTGFAGIDSSSPEVKGYWASLDASTQTTHELTIPNNLIGCIIGRQGANINEIRQMSGAQIKIANPVEGSSGRQVTITGSAASISLAQYLINARLSSEKGMGCS
+>DECOY_sp|Q15365|PCBP1_HUMAN Poly(rC)-binding protein 1 OS=Homo sapiens OX=9606 GN=PCBP1 PE=1 SV=2
+SCGMGKESSLRANILYQALSISAASGTITVQRGSSGEVPNAIKIQAGSMQRIENINAGQRGIICGILNNPITLEHTTQTSADLSAWYGKVEPSSSDIGAFGTGGHMMAFHSQQRAVQNLKALDLPSITHQGQISYADLPPGELDHTAHPYGAADSCRDQGGACIVPSSAPMPQYPITMVRGQPSQSLTELMVLCIQKVCETVSQPVGAITIARETSNPLMDGAVQVQAGTSERIEKIKCGGKGILSGCQTAPVVLRLTVPPRSAATSNTMSSNIDEELKDIIMAFAKFIANTPGTLTIIREPCNGESINIRAGSEERIRKVSEGKKGIISGVEKGHMLLRITLTVNLGSETVGADM
+>sp|P35227|PCGF2_HUMAN Polycomb group RING finger protein 2 OS=Homo sapiens OX=9606 GN=PCGF2 PE=1 SV=1
+MHRTTRIKITELNPHLMCALCGGYFIDATTIVECLHSFCKTCIVRYLETNKYCPMCDVQVHKTRPLLSIRSDKTLQDIVYKLVPGLFKDEMKRRRDFYAAYPLTEVPNGSNEDRGEVLEQEKGALSDDEIVSLSIEFYEGARDRDEKKGPLENGDGDKEKTGVRFLRCPAAMTVMHLAKFLRNKMDVPSKYKVEVLYEDEPLKEYYTLMDIAYIYPWRRNGPLPLKYRVQPACKRLTLATVPTPSEGTNTSGASECESVSDKAPSPATLPATSSSLPSPATPSHGSPSSHGPPATHPTSPTPPSTASGATTAANGGSLNCLQTPSSTSRGRKMTVNGAPVPPLT
+>DECOY_sp|P35227|PCGF2_HUMAN Polycomb group RING finger protein 2 OS=Homo sapiens OX=9606 GN=PCGF2 PE=1 SV=1
+TLPPVPAGNVTMKRGRSTSSPTQLCNLSGGNAATTAGSATSPPTPSTPHTAPPGHSSPSGHSPTAPSPLSSSTAPLTAPSPAKDSVSECESAGSTNTGESPTPVTALTLRKCAPQVRYKLPLPGNRRWPYIYAIDMLTYYEKLPEDEYLVEVKYKSPVDMKNRLFKALHMVTMAAPCRLFRVGTKEKDGDGNELPGKKEDRDRAGEYFEISLSVIEDDSLAGKEQELVEGRDENSGNPVETLPYAAYFDRRRKMEDKFLGPVLKYVIDQLTKDSRISLLPRTKHVQVDCMPCYKNTELYRVICTKCFSHLCEVITTADIFYGGCLACMLHPNLETIKIRTTRHM
+>sp|Q9H4Z3|PCIF1_HUMAN Phosphorylated CTD-interacting factor 1 OS=Homo sapiens OX=9606 GN=PCIF1 PE=1 SV=1
+MANENHGSPREEASLLSHSPGTSNQSQPCSPKPIRLVQDLPEELVHAGWEKCWSRRENRPYYFNRFTNQSLWEMPVLGQHDVISDPLGLNATPLPQDSSLVETPPAENKPRKRQLSEEQPSGNGVKKPKIEIPVTPTGQSVPSSPSIPGTPTLKMWGTSPEDKQQAALLRPTEVYWDLDIQTNAVIKHRGPSEVLPPHPEVELLRSQLILKLRQHYRELCQQREGIEPPRESFNRWMLERKVVDKGSDPLLPSNCEPVVSPSMFREIMNDIPIRLSRIKFREEAKRLLFKYAEAARRLIESRSASPDSRKVVKWNVEDTFSWLRKDHSASKEDYMDRLEHLRRQCGPHVSAAAKDSVEGICSKIYHISLEYVKRIREKHLAILKENNISEEVEAPEVEPRLVYCYPVRLAVSAPPMPSVEMHMENNVVCIRYKGEMVKVSRNYFSKLWLLYRYSCIDDSAFERFLPRVWCLLRRYQMMFGVGLYEGTGLQGSLPVHVFEALHRLFGVSFECFASPLNCYFRQYCSAFPDTDGYFGSRGPCLDFAPLSGSFEANPPFCEELMDAMVSHFERLLESSPEPLSFIVFIPEWREPPTPALTRMEQSRFKRHQLILPAFEHEYRSGSQHICKKEEMHYKAVHNTAVLFLQNDPGFAKWAPTPERLQELSAAYRQSGRSHSSGSSSSSSSEAKDRDSGREQGPSREPHPT
+>DECOY_sp|Q9H4Z3|PCIF1_HUMAN Phosphorylated CTD-interacting factor 1 OS=Homo sapiens OX=9606 GN=PCIF1 PE=1 SV=1
+TPHPERSPGQERGSDRDKAESSSSSSSGSSHSRGSQRYAASLEQLREPTPAWKAFGPDNQLFLVATNHVAKYHMEEKKCIHQSGSRYEHEFAPLILQHRKFRSQEMRTLAPTPPERWEPIFVIFSLPEPSSELLREFHSVMADMLEECFPPNAEFSGSLPAFDLCPGRSGFYGDTDPFASCYQRFYCNLPSAFCEFSVGFLRHLAEFVHVPLSGQLGTGEYLGVGFMMQYRRLLCWVRPLFREFASDDICSYRYLLWLKSFYNRSVKVMEGKYRICVVNNEMHMEVSPMPPASVALRVPYCYVLRPEVEPAEVEESINNEKLIALHKERIRKVYELSIHYIKSCIGEVSDKAAASVHPGCQRRLHELRDMYDEKSASHDKRLWSFTDEVNWKVVKRSDPSASRSEILRRAAEAYKFLLRKAEERFKIRSLRIPIDNMIERFMSPSVVPECNSPLLPDSGKDVVKRELMWRNFSERPPEIGERQQCLERYHQRLKLILQSRLLEVEPHPPLVESPGRHKIVANTQIDLDWYVETPRLLAAQQKDEPSTGWMKLTPTGPISPSSPVSQGTPTVPIEIKPKKVGNGSPQEESLQRKRPKNEAPPTEVLSSDQPLPTANLGLPDSIVDHQGLVPMEWLSQNTFRNFYYPRNERRSWCKEWGAHVLEEPLDQVLRIPKPSCPQSQNSTGPSHSLLSAEERPSGHNENAM
+>sp|Q9P2S2|NRX2A_HUMAN Neurexin-2 OS=Homo sapiens OX=9606 GN=NRXN2 PE=2 SV=1
+MASGSRWRPTPPPLLLLLLLALAARADGLEFGGGPGQWARYARWAGAASSGELSFSLRTNATRALLLYLDDGGDCDFLELLLVDGRLRLRFTLSCAEPATLQLDTPVADDRWHMVLLTRDARRTALAVDGEARAAEVRSKRREMQVASDLFVGGIPPDVRLSALTLSTVKYEPPFRGLLANLKLGERPPALLGSQGLRGATADPLCAPARNPCANGGLCTVLAPGEVGCDCSHTGFGGKFCSEEEHPMEGPAHLTLNSEVGSLLFSEGGAGRGGAGDVHQPTKGKEEFVATFKGNEFFCYDLSHNPIQSSTDEITLAFRTLQRNGLMLHTGKSADYVNLSLKSGAVWLVINLGSGAFEALVEPVNGKFNDNAWHDVRVTRNLRQHAGIGHAMVNKLHYLVTISVDGILTTTGYTQEDYTMLGSDDFFYIGGSPNTADLPGSPVSNNFMGCLKDVVYKNNDFKLELSRLAKEGDPKMKLQGDLSFRCEDVAALDPVTFESPEAFVALPRWSAKRTGSISLDFRTTEPNGLLLFSQGRRAGGGAGSHSSAQRADYFAMELLDGHLYLLLDMGSGGIKLRASSRKVNDGEWCHVDFQRDGRKGSISVNSRSTPFLATGDSEILDLESELYLGGLPEGGRVDLPLPPEVWTAALRAGYVGCVRDLFIDGRSRDLRGLAEAQGAVGVAPFCSRETLKQCASAPCRNGGVCREGWNRFICDCIGTGFLGRVCEREATVLSYDGSMYMKIMLPNAMHTEAEDVSLRFMSQRAYGLMMATTSRESADTLRLELDGGQMKLTVNLDCLRVGCAPSKGPETLFAGHKLNDNEWHTVRVVRRGKSLQLSVDNVTVEGQMAGAHMRLEFHNIETGIMTERRFISVVPSNFIGHLSGLVFNGQPYMDQCKDGDITYCELNARFGLRAIVADPVTFKSRSSYLALATLQAYASMHLFFQFKTTAPDGLLLFNSGNGNDFIVIELVKGYIHYVFDLGNGPSLMKGNSDKPVNDNQWHNVVVSRDPGNVHTLKIDSRTVTQHSNGARNLDLKGELYIGGLSKNMFSNLPKLVASRDGFQGCLASVDLNGRLPDLIADALHRIGQVERGCDGPSTTCTEESCANQGVCLQQWDGFTCDCTMTSYGGPVCNDPGTTYIFGKGGALITYTWPPNDRPSTRMDRLAVGFSTHQRSAVLVRVDSASGLGDYLQLHIDQGTVGVIFNVGTDDITIDEPNAIVSDGKYHVVRFTRSGGNATLQVDSWPVNERYPAGNFDNERLAIARQRIPYRLGRVVDEWLLDKGRQLTIFNSQAAIKIGGRDQGRPFQGQVSGLYYNGLKVLALAAESDPNVRTEGHLRLVGEGPSVLLSAETTATTLLADMATTIMETTTTMATTTTRRGRSPTLRDSTTQNTDDLLVASAECPSDDEDLEECEPSTGGELILPIITEDSLDPPPVATRSPFVPPPPTFYPFLTGVGATQDTLPPPAARRPPSGGPCQAERDDSDCEEPIEASGFASGEVFDSSLPPTDDEDFYTTFPLVTDRTTLLSPRKPAPRPNLRTDGATGAPGVLFAPSAPAPNLPAGKMNHRDPLQPLLENPPLGPGAPTSFEPRRPPPLRPGVTSAPGFPHLPTANPTGPGERGPPGAVEVIRESSSTTGMVVGIVAAAALCILILLYAMYKYRNRDEGSYQVDQSRNYISNSAQSNGAVVKEKAPAAPKTPSKAKKNKDKEYYV
+>DECOY_sp|Q9P2S2|NRX2A_HUMAN Neurexin-2 OS=Homo sapiens OX=9606 GN=NRXN2 PE=2 SV=1
+VYYEKDKNKKAKSPTKPAAPAKEKVVAGNSQASNSIYNRSQDVQYSGEDRNRYKYMAYLLILICLAAAAVIGVVMGTTSSSERIVEVAGPPGREGPGTPNATPLHPFGPASTVGPRLPPPRRPEFSTPAGPGLPPNELLPQLPDRHNMKGAPLNPAPASPAFLVGPAGTAGDTRLNPRPAPKRPSLLTTRDTVLPFTTYFDEDDTPPLSSDFVEGSAFGSAEIPEECDSDDREAQCPGGSPPRRAAPPPLTDQTAGVGTLFPYFTPPPPVFPSRTAVPPPDLSDETIIPLILEGGTSPECEELDEDDSPCEASAVLLDDTNQTTSDRLTPSRGRRTTTTAMTTTTEMITTAMDALLTTATTEASLLVSPGEGVLRLHGETRVNPDSEAALALVKLGNYYLGSVQGQFPRGQDRGGIKIAAQSNFITLQRGKDLLWEDVVRGLRYPIRQRAIALRENDFNGAPYRENVPWSDVQLTANGGSRTFRVVHYKGDSVIANPEDITIDDTGVNFIVGVTGQDIHLQLYDGLGSASDVRVLVASRQHTSFGVALRDMRTSPRDNPPWTYTILAGGKGFIYTTGPDNCVPGGYSTMTCDCTFGDWQQLCVGQNACSEETCTTSPGDCGREVQGIRHLADAILDPLRGNLDVSALCGQFGDRSAVLKPLNSFMNKSLGGIYLEGKLDLNRAGNSHQTVTRSDIKLTHVNGPDRSVVVNHWQNDNVPKDSNGKMLSPGNGLDFVYHIYGKVLEIVIFDNGNGSNFLLLGDPATTKFQFFLHMSAYAQLTALALYSSRSKFTVPDAVIARLGFRANLECYTIDGDKCQDMYPQGNFVLGSLHGIFNSPVVSIFRRETMIGTEINHFELRMHAGAMQGEVTVNDVSLQLSKGRRVVRVTHWENDNLKHGAFLTEPGKSPACGVRLCDLNVTLKMQGGDLELRLTDASERSTTAMMLGYARQSMFRLSVDEAETHMANPLMIKMYMSGDYSLVTAERECVRGLFGTGICDCIFRNWGERCVGGNRCPASACQKLTERSCFPAVGVAGQAEALGRLDRSRGDIFLDRVCGVYGARLAATWVEPPLPLDVRGGEPLGGLYLESELDLIESDGTALFPTSRSNVSISGKRGDRQFDVHCWEGDNVKRSSARLKIGGSGMDLLLYLHGDLLEMAFYDARQASSHSGAGGGARRGQSFLLLGNPETTRFDLSISGTRKASWRPLAVFAEPSEFTVPDLAAVDECRFSLDGQLKMKPDGEKALRSLELKFDNNKYVVDKLCGMFNNSVPSGPLDATNPSGGIYFFDDSGLMTYDEQTYGTTTLIGDVSITVLYHLKNVMAHGIGAHQRLNRTVRVDHWANDNFKGNVPEVLAEFAGSGLNIVLWVAGSKLSLNVYDASKGTHLMLGNRQLTRFALTIEDTSSQIPNHSLDYCFFENGKFTAVFEEKGKTPQHVDGAGGRGAGGESFLLSGVESNLTLHAPGEMPHEEESCFKGGFGTHSCDCGVEGPALVTCLGGNACPNRAPACLPDATAGRLGQSGLLAPPREGLKLNALLGRFPPEYKVTSLTLASLRVDPPIGGVFLDSAVQMERRKSRVEAARAEGDVALATRRADRTLLVMHWRDDAVPTDLQLTAPEACSLTFRLRLRGDVLLLELFDCDGGDDLYLLLARTANTRLSFSLEGSSAAGAWRAYRAWQGPGGGFELGDARAALALLLLLLLPPPTPRWRSGSAM
+>sp|P03905|NU4M_HUMAN NADH-ubiquinone oxidoreductase chain 4 OS=Homo sapiens OX=9606 GN=MT-ND4 PE=1 SV=1
+MLKLIVPTIMLLPLTWLSKKHMIWINTTTHSLIISIIPLLFFNQINNNLFSCSPTFSSDPLTTPLLMLTTWLLPLTIMASQRHLSSEPLSRKKLYLSMLISLQISLIMTFTATELIMFYIFFETTLIPTLAIITRWGNQPERLNAGTYFLFYTLVGSLPLLIALIYTHNTLGSLNILLLTLTAQELSNSWANNLMWLAYTMAFMVKMPLYGLHLWLPKAHVEAPIAGSMVLAAVLLKLGGYGMMRLTLILNPLTKHMAYPFLVLSLWGMIMTSSICLRQTDLKSLIAYSSISHMALVVTAILIQTPWSFTGAVILMIAHGLTSSLLFCLANSNYERTHSRIMILSQGLQTLLPLMAFWWLLASLANLALPPTINLLGELSVLVTTFSWSNITLLLTGLNMLVTALYSLYMFTTTQWGSLTHHINNMKPSFTRENTLMFMHLSPILLLSLNPDIITGFSS
+>DECOY_sp|P03905|NU4M_HUMAN NADH-ubiquinone oxidoreductase chain 4 OS=Homo sapiens OX=9606 GN=MT-ND4 PE=1 SV=1
+SSFGTIIDPNLSLLLIPSLHMFMLTNERTFSPKMNNIHHTLSGWQTTTFMYLSYLATVLMNLGTLLLTINSWSFTTVLVSLEGLLNITPPLALNALSALLWWFAMLPLLTQLGQSLIMIRSHTREYNSNALCFLLSSTLGHAIMLIVAGTFSWPTQILIATVVLAMHSISSYAILSKLDTQRLCISSTMIMGWLSLVLFPYAMHKTLPNLILTLRMMGYGGLKLLVAALVMSGAIPAEVHAKPLWLHLGYLPMKVMFAMTYALWMLNNAWSNSLEQATLTLLLINLSGLTNHTYILAILLPLSGVLTYFLFYTGANLREPQNGWRTIIALTPILTTEFFIYFMILETATFTMILSIQLSILMSLYLKKRSLPESSLHRQSAMITLPLLWTTLMLLPTTLPDSSFTPSCSFLNNNIQNFFLLPIISIILSHTTTNIWIMHKKSLWTLPLLMITPVILKLM
+>sp|Q9NRX3|NUA4L_HUMAN NADH dehydrogenase [ubiquinone] 1 alpha subcomplex subunit 4-like 2 OS=Homo sapiens OX=9606 GN=NDUFA4L2 PE=3 SV=1
+MAGASLGARFYRQIKRHPGIIPMIGLICLGMGSAALYLLRLALRSPDVCWDRKNNPEPWNRLSPNDQYKFLAVSTDYKKLKKDRPDF
+>DECOY_sp|Q9NRX3|NUA4L_HUMAN NADH dehydrogenase [ubiquinone] 1 alpha subcomplex subunit 4-like 2 OS=Homo sapiens OX=9606 GN=NDUFA4L2 PE=3 SV=1
+FDPRDKKLKKYDTSVALFKYQDNPSLRNWPEPNNKRDWCVDPSRLALRLLYLAASGMGLCILGIMPIIGPHRKIQRYFRAGLSAGAM
+>sp|O60285|NUAK1_HUMAN NUAK family SNF1-like kinase 1 OS=Homo sapiens OX=9606 GN=NUAK1 PE=1 SV=1
+MEGAAAPVAGDRPDLGLGAPGSPREAVAGATAALEPRKPHGVKRHHHKHNLKHRYELQETLGKGTYGKVKRATERFSGRVVAIKSIRKDKIKDEQDMVHIRREIEIMSSLNHPHIISIYEVFENKDKIVIIMEYASKGELYDYISERRRLSERETRHFFRQIVSAVHYCHKNGVVHRDLKLENILLDDNCNIKIADFGLSNLYQKDKFLQTFCGSPLYASPEIVNGRPYRGPEVDSWALGVLLYTLVYGTMPFDGFDHKNLIRQISSGEYREPTQPSDARGLIRWMLMVNPDRRATIEDIANHWWVNWGYKSSVCDCDALHDSESPLLARIIDWHHRSTGLQADTEAKMKGLAKPTTSEVMLERQRSLKKSKKENDFAQSGQDAVPESPSKLSSKRPKGILKKRSNSEHRSHSTGFIEGVVGPALPSTFKMEQDLCRTGVLLPSSPEAEVPGKLSPKQSATMPKKGILKKTQQRESGYYSSPERSESSELLDSNDVMGSSIPSPSPPDPARVTSHSLSCRRKGILKHSSKYSAGTMDPALVSPEMPTLESLSEPGVPAEGLSRSYSRPSSVISDDSVLSSDSFDLLDLQENRPARQRIRSCVSAENFLQIQDFEGLQNRPRPQYLKRYRNRLADSSFSLLTDMDDVTQVYKQALEICSKLN
+>DECOY_sp|O60285|NUAK1_HUMAN NUAK family SNF1-like kinase 1 OS=Homo sapiens OX=9606 GN=NUAK1 PE=1 SV=1
+NLKSCIELAQKYVQTVDDMDTLLSFSSDALRNRYRKLYQPRPRNQLGEFDQIQLFNEASVCSRIRQRAPRNEQLDLLDFSDSSLVSDDSIVSSPRSYSRSLGEAPVGPESLSELTPMEPSVLAPDMTGASYKSSHKLIGKRRCSLSHSTVRAPDPPSPSPISSGMVDNSDLLESSESREPSSYYGSERQQTKKLIGKKPMTASQKPSLKGPVEAEPSSPLLVGTRCLDQEMKFTSPLAPGVVGEIFGTSHSRHESNSRKKLIGKPRKSSLKSPSEPVADQGSQAFDNEKKSKKLSRQRELMVESTTPKALGKMKAETDAQLGTSRHHWDIIRALLPSESDHLADCDCVSSKYGWNVWWHNAIDEITARRDPNVMLMWRILGRADSPQTPERYEGSSIQRILNKHDFGDFPMTGYVLTYLLVGLAWSDVEPGRYPRGNVIEPSAYLPSGCFTQLFKDKQYLNSLGFDAIKINCNDDLLINELKLDRHVVGNKHCYHVASVIQRFFHRTERESLRRRESIYDYLEGKSAYEMIIVIKDKNEFVEYISIIHPHNLSSMIEIERRIHVMDQEDKIKDKRISKIAVVRGSFRETARKVKGYTGKGLTEQLEYRHKLNHKHHHRKVGHPKRPELAATAGAVAERPSGPAGLGLDPRDGAVPAAAGEM
+>sp|Q14249|NUCG_HUMAN Endonuclease G, mitochondrial OS=Homo sapiens OX=9606 GN=ENDOG PE=1 SV=4
+MRALRAGLTLASGAGLGAVVEGWRRRREDARAAPGLLGRLPVLPVAAAAELPPVPGGPRGPGELAKYGLPGLAQLKSRESYVLCYDPRTRGALWVVEQLRPERLRGDGDRRECDFREDDSVHAYHRATNADYRGSGFDRGHLAAAANHRWSQKAMDDTFYLSNVAPQVPHLNQNAWNNLEKYSRSLTRSYQNVYVCTGPLFLPRTEADGKSYVKYQVIGKNHVAVPTHFFKVLILEAAGGQIELRTYVMPNAPVDEAIPLERFLVPIESIERASGLLFVPNILARAGSLKAITAGSK
+>DECOY_sp|Q14249|NUCG_HUMAN Endonuclease G, mitochondrial OS=Homo sapiens OX=9606 GN=ENDOG PE=1 SV=4
+KSGATIAKLSGARALINPVFLLGSAREISEIPVLFRELPIAEDVPANPMVYTRLEIQGGAAELILVKFFHTPVAVHNKGIVQYKVYSKGDAETRPLFLPGTCVYVNQYSRTLSRSYKELNNWANQNLHPVQPAVNSLYFTDDMAKQSWRHNAAAALHGRDFGSGRYDANTARHYAHVSDDERFDCERRDGDGRLREPRLQEVVWLAGRTRPDYCLVYSERSKLQALGPLGYKALEGPGRPGGPVPPLEAAAAVPLVPLRGLLGPAARADERRRRWGEVVAGLGAGSALTLGARLARM
+>sp|P0C025|NUD17_HUMAN Nucleoside diphosphate-linked moiety X motif 17 OS=Homo sapiens OX=9606 GN=NUDT17 PE=1 SV=2
+MAEVRVQLLLSRRPESVSFARSVCGLLGAGPGLGTWPIHCSLKRGRLVLSSRPFPGASARLPLQRPPFCPFAALEERPRVPGAELPTDRGVDLGVAVILQSSDKTVLLTRRARTLSVSPNLWVPPGGHVELEEELLDGGLRELWEESGLHLPQGQFSWVPLGLWESAYPPRLSWGLPKYHHIVLYLLVISQESQQQLQARIQPNPNEVSALMWLTPDVAAAVAAAEDGTETPGLLPQDLPPSVLAVELEEDGRARPLVLHMSTLLRMIPTMAEDKERVSTGTKFALKLWLQHLGRTPPPCKSAAYLDPGPAKEEWNMDPLPPNQGSGK
+>DECOY_sp|P0C025|NUD17_HUMAN Nucleoside diphosphate-linked moiety X motif 17 OS=Homo sapiens OX=9606 GN=NUDT17 PE=1 SV=2
+KGSGQNPPLPDMNWEEKAPGPDLYAASKCPPPTRGLHQLWLKLAFKTGTSVREKDEAMTPIMRLLTSMHLVLPRARGDEELEVALVSPPLDQPLLGPTETGDEAAAVAAAVDPTLWMLASVENPNPQIRAQLQQQSEQSIVLLYLVIHHYKPLGWSLRPPYASEWLGLPVWSFQGQPLHLGSEEWLERLGGDLLEEELEVHGGPPVWLNPSVSLTRARRTLLVTKDSSQLIVAVGLDVGRDTPLEAGPVRPREELAAFPCFPPRQLPLRASAGPFPRSSLVLRGRKLSCHIPWTGLGPGAGLLGCVSRAFSVSEPRRSLLLQVRVEAM
+>sp|Q6ZVK8|NUD18_HUMAN 8-oxo-dGDP phosphatase NUDT18 OS=Homo sapiens OX=9606 GN=NUDT18 PE=1 SV=3
+MASEGLAGALASVLAGQGSSVHSCDSAPAGEPPAPVRLRKNVCYVVLAVFLSEQDEVLLIQEAKRECRGSWYLPAGRMEPGETIVEALQREVKEEAGLHCEPETLLSVEERGPSWVRFVFLARPTGGILKTSKEADAESLQAAWYPRTSLPTPLRAHDILHLVELAAQYRQQARHPLILPQELPCDLVCQRLVATFTSAQTVWVLVGTVGMPHLPVTACGLDPMEQRGGMKMAVLRLLQECLTLHHLVVEIKGLLGLQHLGRDHSDGICLNVLVTVAFRSPGIQDEPPKVRGENFSWWKVMEEDLQSQLLQRLQGSSVVPVNR
+>DECOY_sp|Q6ZVK8|NUD18_HUMAN 8-oxo-dGDP phosphatase NUDT18 OS=Homo sapiens OX=9606 GN=NUDT18 PE=1 SV=3
+RNVPVVSSGQLRQLLQSQLDEEMVKWWSFNEGRVKPPEDQIGPSRFAVTVLVNLCIGDSHDRGLHQLGLLGKIEVVLHHLTLCEQLLRLVAMKMGGRQEMPDLGCATVPLHPMGVTGVLVWVTQASTFTAVLRQCVLDCPLEQPLILPHRAQQRYQAALEVLHLIDHARLPTPLSTRPYWAAQLSEADAEKSTKLIGGTPRALFVFRVWSPGREEVSLLTEPECHLGAEEKVERQLAEVITEGPEMRGAPLYWSGRCERKAEQILLVEDQESLFVALVVYCVNKRLRVPAPPEGAPASDCSHVSSGQGALVSALAGALGESAM
+>sp|Q96RS6|NUDC1_HUMAN NudC domain-containing protein 1 OS=Homo sapiens OX=9606 GN=NUDCD1 PE=1 SV=2
+MEVAANCSLRVKRPLLDPRFEGYKLSLEPLPCYQLELDAAVAEVKLRDDQYTLEHMHAFGMYNYLHCDSWYQDSVYYIDTLGRIMNLTVMLDTALGKPREVFRLPTDLTACDNRLCASIHFSSSTWVTLSDGTGRLYVIGTGERGNSASEKWEIMFNEELGDPFIIIHSISLLNAEEHSIATLLLRIEKEELDMKGSGFYVSLEWVTISKKNQDNKKYEIIKRDILRGKSVPHYAAIEPDGNGLMIVSYKSLTFVQAGQDLEENMDEDISEKIKEPLYYWQQTEDDLTVTIRLPEDSTKEDIQIQFLPDHINIVLKDHQFLEGKLYSSIDHESSTWIIKESNSLEISLIKKNEGLTWPELVIGDKQGELIRDSAQCAAIAERLMHLTSEELNPNPDKEKPPCNAQELEECDIFFEESSSLCRFDGNTLKTTHVVNLGSNQYLFSVIVDPKEMPCFCLRHDVDALLWQPHSSKQDDMWEHIATFNALGYVQASKRDKKFFACAPNYSYAALCECLRRVFIYRQPAPMSTVLYNRKEGRQVGQVAKQQVASLETNDPILGFQATNERLFVLTTKNLFLIKVNTEN
+>DECOY_sp|Q96RS6|NUDC1_HUMAN NudC domain-containing protein 1 OS=Homo sapiens OX=9606 GN=NUDCD1 PE=1 SV=2
+NETNVKILFLNKTTLVFLRENTAQFGLIPDNTELSAVQQKAVQGVQRGEKRNYLVTSMPAPQRYIFVRRLCECLAAYSYNPACAFFKKDRKSAQVYGLANFTAIHEWMDDQKSSHPQWLLADVDHRLCFCPMEKPDVIVSFLYQNSGLNVVHTTKLTNGDFRCLSSSEEFFIDCEELEQANCPPKEKDPNPNLEESTLHMLREAIAACQASDRILEGQKDGIVLEPWTLGENKKILSIELSNSEKIIWTSSEHDISSYLKGELFQHDKLVINIHDPLFQIQIDEKTSDEPLRITVTLDDETQQWYYLPEKIKESIDEDMNEELDQGAQVFTLSKYSVIMLGNGDPEIAAYHPVSKGRLIDRKIIEYKKNDQNKKSITVWELSVYFGSGKMDLEEKEIRLLLTAISHEEANLLSISHIIIFPDGLEENFMIEWKESASNGREGTGIVYLRGTGDSLTVWTSSSFHISACLRNDCATLDTPLRFVERPKGLATDLMVTLNMIRGLTDIYYVSDQYWSDCHLYNYMGFAHMHELTYQDDRLKVEAVAADLELQYCPLPELSLKYGEFRPDLLPRKVRLSCNAAVEM
+>sp|Q9Y266|NUDC_HUMAN Nuclear migration protein nudC OS=Homo sapiens OX=9606 GN=NUDC PE=1 SV=1
+MGGEQEEERFDGMLLAMAQQHEGGVQELVNTFFSFLRRKTDFFIGGEEGMAEKLITQTFSHHNQLAQKTRREKRARQEAERREKAERAARLAKEAKSETSGPQIKELTDEEAERLQLEIDQKKDAENHEAQLKNGSLDSPGKQDTEEDEEEDEKDKGKLKPNLGNGADLPNYRWTQTLSELDLAVPFCVNFRLKGKDMVVDIQRRHLRVGLKGQPAIIDGELYNEVKVEESSWLIEDGKVVTVHLEKINKMEWWSRLVSSDPEINTKKINPENSKLSDLDSETRSMVEKMMYDQRQKSMGLPTSDEQKKQEILKKFMDQHPEMDFSKAKFN
+>DECOY_sp|Q9Y266|NUDC_HUMAN Nuclear migration protein nudC OS=Homo sapiens OX=9606 GN=NUDC PE=1 SV=1
+NFKAKSFDMEPHQDMFKKLIEQKKQEDSTPLGMSKQRQDYMMKEVMSRTESDLDSLKSNEPNIKKTNIEPDSSVLRSWWEMKNIKELHVTVVKGDEILWSSEEVKVENYLEGDIIAPQGKLGVRLHRRQIDVVMDKGKLRFNVCFPVALDLESLTQTWRYNPLDAGNGLNPKLKGKDKEDEEEDEETDQKGPSDLSGNKLQAEHNEADKKQDIELQLREAEEDTLEKIQPGSTESKAEKALRAAREAKERREAEQRARKERRTKQALQNHHSFTQTILKEAMGEEGGIFFDTKRRLFSFFTNVLEQVGGEHQQAMALLMGDFREEEQEGGM
+>sp|Q9Y6R0|NUMBL_HUMAN Numb-like protein OS=Homo sapiens OX=9606 GN=NUMBL PE=1 SV=1
+MSRSAAASGGPRRPERHLPPAPCGAPGPPETCRTEPDGAGTMNKLRQSLRRRKPAYVPEASRPHQWQADEDAVRKGTCSFPVRYLGHVEVEESRGMHVCEDAVKKLKAMGRKSVKSVLWVSADGLRVVDDKTKDLLVDQTIEKVSFCAPDRNLDKAFSYICRDGTTRRWICHCFLALKDSGERLSHAVGCAFAACLERKQRREKECGVTAAFDASRTSFAREGSFRLSGGGRPAEREAPDKKKAEAAAAPTVAPGPAQPGHVSPTPATTSPGEKGEAGTPVAAGTTAAAIPRRHAPLEQLVRQGSFRGFPALSQKNSPFKRQLSLRLNELPSTLQRRTDFQVKGTVPEMEPPGAGDSDSINALCTQISSSFASAGAPAPGPPPATTGTSAWGEPSVPPAAAFQPGHKRTPSEAERWLEEVSQVAKAQQQQQQQQQQQQQQQQQQQQAASVAPVPTMPPALQPFPAPVGPFDAAPAQVAVFLPPPHMQPPFVPAYPGLGYPPMPRVPVVGITPSQMVANAFCSAAQLQPQPATLLGKAGAFPPPAIPSAPGSQARPRPNGAPWPPEPAPAPAPELDPFEAQWAALEGKATVEKPSNPFSGDLQKTFEIEL
+>DECOY_sp|Q9Y6R0|NUMBL_HUMAN Numb-like protein OS=Homo sapiens OX=9606 GN=NUMBL PE=1 SV=1
+LEIEFTKQLDGSFPNSPKEVTAKGELAAWQAEFPDLEPAPAPAPEPPWPAGNPRPRAQSGPASPIAPPPFAGAKGLLTAPQPQLQAASCFANAVMQSPTIGVVPVRPMPPYGLGPYAPVFPPQMHPPPLFVAVQAPAADFPGVPAPFPQLAPPMTPVPAVSAAQQQQQQQQQQQQQQQQQQQQAKAVQSVEELWREAESPTRKHGPQFAAAPPVSPEGWASTGTTAPPPGPAPAGASAFSSSIQTCLANISDSDGAGPPEMEPVTGKVQFDTRRQLTSPLENLRLSLQRKFPSNKQSLAPFGRFSGQRVLQELPAHRRPIAAATTGAAVPTGAEGKEGPSTTAPTPSVHGPQAPGPAVTPAAAAEAKKKDPAEREAPRGGGSLRFSGERAFSTRSADFAATVGCEKERRQKRELCAAFACGVAHSLREGSDKLALFCHCIWRRTTGDRCIYSFAKDLNRDPACFSVKEITQDVLLDKTKDDVVRLGDASVWLVSKVSKRGMAKLKKVADECVHMGRSEEVEVHGLYRVPFSCTGKRVADEDAQWQHPRSAEPVYAPKRRRLSQRLKNMTGAGDPETRCTEPPGPAGCPAPPLHREPRRPGGSAAASRSM
+>sp|P49757|NUMB_HUMAN Protein numb homolog OS=Homo sapiens OX=9606 GN=NUMB PE=1 SV=2
+MNKLRQSFRRKKDVYVPEASRPHQWQTDEEGVRTGKCSFPVKYLGHVEVDESRGMHICEDAVKRLKAERKFFKGFFGKTGKKAVKAVLWVSADGLRVVDEKTKDLIVDQTIEKVSFCAPDRNFDRAFSYICRDGTTRRWICHCFMAVKDTGERLSHAVGCAFAACLERKQKREKECGVTATFDASRTTFTREGSFRVTTATEQAEREEIMKQMQDAKKAETDKIVVGSSVAPGNTAPSPSSPTSPTSDATTSLEMNNPHAIPRRHAPIEQLARQGSFRGFPALSQKMSPFKRQLSLRINELPSTMQRKTDFPIKNAVPEVEGEAESISSLCSQITNAFSTPEDPFSSAPMTKPVTVVAPQSPTFQANGTDSAFHVLAKPAHTALAPVAMPVRETNPWAHAPDAANKEIAATCSGTEWGQSSGAASPGLFQAGHRRTPSEADRWLEEVSKSVRAQQPQASAAPLQPVLQPPPPTAISQPASPFQGNAFLTSQPVPVGVVPALQPAFVPAQSYPVANGMPYPAPNVPVVGITPSQMVANVFGTAGHPQAAHPHQSPSLVRQQTFPHYEASSATTSPFFKPPAQHLNGSAAFNGVDDGRLASADRHTEVPTGTCPVDPFEAQWAALENKSKQRTNPSPTNPFSSDLQKTFEIEL
+>DECOY_sp|P49757|NUMB_HUMAN Protein numb homolog OS=Homo sapiens OX=9606 GN=NUMB PE=1 SV=2
+LEIEFTKQLDSSFPNTPSPNTRQKSKNELAAWQAEFPDVPCTGTPVETHRDASALRGDDVGNFAASGNLHQAPPKFFPSTTASSAEYHPFTQQRVLSPSQHPHAAQPHGATGFVNAVMQSPTIGVVPVNPAPYPMGNAVPYSQAPVFAPQLAPVVGVPVPQSTLFANGQFPSAPQSIATPPPPQLVPQLPAASAQPQQARVSKSVEELWRDAESPTRRHGAQFLGPSAAGSSQGWETGSCTAAIEKNAADPAHAWPNTERVPMAVPALATHAPKALVHFASDTGNAQFTPSQPAVVTVPKTMPASSFPDEPTSFANTIQSCLSSISEAEGEVEPVANKIPFDTKRQMTSPLENIRLSLQRKFPSMKQSLAPFGRFSGQRALQEIPAHRRPIAHPNNMELSTTADSTPSTPSSPSPATNGPAVSSGVVIKDTEAKKADQMQKMIEEREAQETATTVRFSGERTFTTRSADFTATVGCEKERKQKRELCAAFACGVAHSLREGTDKVAMFCHCIWRRTTGDRCIYSFARDFNRDPACFSVKEITQDVILDKTKEDVVRLGDASVWLVAKVAKKGTKGFFGKFFKREAKLRKVADECIHMGRSEDVEVHGLYKVPFSCKGTRVGEEDTQWQHPRSAEPVYVDKKRRFSQRLKNM
+>sp|P52948|NUP98_HUMAN Nuclear pore complex protein Nup98-Nup96 OS=Homo sapiens OX=9606 GN=NUP98 PE=1 SV=4
+MFNKSFGTPFGGGTGGFGTTSTFGQNTGFGTTSGGAFGTSAFGSSNNTGGLFGNSQTKPGGLFGTSSFSQPATSTSTGFGFGTSTGTANTLFGTASTGTSLFSSQNNAFAQNKPTGFGNFGTSTSSGGLFGTTNTTSNPFGSTSGSLFGPSSFTAAPTGTTIKFNPPTGTDTMVKAGVSTNISTKHQCITAMKEYESKSLEELRLEDYQANRKGPQNQVGAGTTTGLFGSSPATSSATGLFSSSTTNSGFAYGQNKTAFGTSTTGFGTNPGGLFGQQNQQTTSLFSKPFGQATTTQNTGFSFGNTSTIGQPSTNTMGLFGVTQASQPGGLFGTATNTSTGTAFGTGTGLFGQTNTGFGAVGSTLFGNNKLTTFGSSTTSAPSFGTTSGGLFGNKPTLTLGTNTNTSNFGFGTNTSGNSIFGSKPAPGTLGTGLGAGFGTALGAGQASLFGNNQPKIGGPLGTGAFGAPGFNTTTATLGFGAPQAPVALTDPNASAAQQAVLQQHINSLTYSPFGDSPLFRNPMSDPKKKEERLKPTNPAAQKALTTPTHYKLTPRPATRVRPKALQTTGTAKSHLFDGLDDDEPSLANGAFMPKKSIKKLVLKNLNNSNLFSPVNRDSENLASPSEYPENGERFSFLSKPVDENHQQDGDEDSLVSHFYTNPIAKPIPQTPESAGNKHSNSNSVDDTIVALNMRAALRNGLEGSSEETSFHDESLQDDREEIENNSYHMHPAGIILTKVGYYTIPSMDDLAKITNEKGECIVSDFTIGRKGYGSIYFEGDVNLTNLNLDDIVHIRRKEVVVYLDDNQKPPVGEGLNRKAEVTLDGVWPTDKTSRCLIKSPDRLADINYEGRLEAVSRKQGAQFKEYRPETGSWVFKVSHFSKYGLQDSDEEEEEHPSKTSTKKLKTAPLPPASQTTPLQMALNGKPAPPPQSQSPEVEQLGRVVELDSDMVDITQEPVLDTMLEESMPEDQEPVSASTHIASSLGINPHVLQIMKASLLTDEEDVDMALDQRFSRLPSKADTSQEICSPRLPISASHSSKTRSLVGGLLQSKFTSGAFLSPSVSVQECRTPRAASLMNIPSTSSWSVPPPLTSVFTMPSPAPEVPLKTVGTRRQLGLVPREKSVTYGKGKLLMDMALFMGRSFRVGWGPNWTLANSGEQLNGSHELENHQIADSMEFGFLPNPVAVKPLTESPFKVHLEKLSLRQRKPDEDMKLYQTPLELKLKHSTVHVDELCPLIVPNLGVAVIHDYADWVKEASGDLPEAQIVKHWSLTWTLCEALWGHLKELDSQLNEPREYIQILERRRAFSRWLSCTATPQIEEEVSLTQKNSPVEAVFSYLTGKRISEACSLAQQSGDHRLALLLSQFVGSQSVRELLTMQLVDWHQLQADSFIQDERLRIFALLAGKPVWQLSEKKQINVCSQLDWKRSLAIHLWYLLPPTASISRALSMYEEAFQNTSDSDRYACSPLPSYLEGSGCVIAEEQNSQTPLRDVCFHLLKLYSDRHYDLNQLLEPRSITADPLDYRLSWHLWEVLRALNYTHLSAQCEGVLQASYAGQLESEGLWEWAIFVLLHIDNSGIREKAVRELLTRHCQLLETPESWAKETFLTQKLRVPAKWIHEAKAVRAHMESDKHLEALCLFKAEHWNRCHKLIIRHLASDAIINENYDYLKGFLEDLAPPERSSLIQDWETSGLVYLDYIRVIEMLRHIQQVDCSGNDLEQLHIKVTSLCSRIEQIQCYSAKDRLAQSDMAKRVANLLRVVLSLHHPPDRTSDSTPDPQRVPLRLLAPHIGRLPMPEDYAMDELRSLTQSYLRELAVGSL
+>DECOY_sp|P52948|NUP98_HUMAN Nuclear pore complex protein Nup98-Nup96 OS=Homo sapiens OX=9606 GN=NUP98 PE=1 SV=4
+LSGVALERLYSQTLSRLEDMAYDEPMPLRGIHPALLRLPVRQPDPTSDSTRDPPHHLSLVVRLLNAVRKAMDSQALRDKASYCQIQEIRSCLSTVKIHLQELDNGSCDVQQIHRLMEIVRIYDLYVLGSTEWDQILSSREPPALDELFGKLYDYNENIIADSALHRIILKHCRNWHEAKFLCLAELHKDSEMHARVAKAEHIWKAPVRLKQTLFTEKAWSEPTELLQCHRTLLERVAKERIGSNDIHLLVFIAWEWLGESELQGAYSAQLVGECQASLHTYNLARLVEWLHWSLRYDLPDATISRPELLQNLDYHRDSYLKLLHFCVDRLPTQSNQEEAIVCGSGELYSPLPSCAYRDSDSTNQFAEEYMSLARSISATPPLLYWLHIALSRKWDLQSCVNIQKKESLQWVPKGALLAFIRLREDQIFSDAQLQHWDVLQMTLLERVSQSGVFQSLLLALRHDGSQQALSCAESIRKGTLYSFVAEVPSNKQTLSVEEEIQPTATCSLWRSFARRRELIQIYERPENLQSDLEKLHGWLAECLTWTLSWHKVIQAEPLDGSAEKVWDAYDHIVAVGLNPVILPCLEDVHVTSHKLKLELPTQYLKMDEDPKRQRLSLKELHVKFPSETLPKVAVPNPLFGFEMSDAIQHNELEHSGNLQEGSNALTWNPGWGVRFSRGMFLAMDMLLKGKGYTVSKERPVLGLQRRTGVTKLPVEPAPSPMTFVSTLPPPVSWSSTSPINMLSAARPTRCEQVSVSPSLFAGSTFKSQLLGGVLSRTKSSHSASIPLRPSCIEQSTDAKSPLRSFRQDLAMDVDEEDTLLSAKMIQLVHPNIGLSSAIHTSASVPEQDEPMSEELMTDLVPEQTIDVMDSDLEVVRGLQEVEPSQSQPPPAPKGNLAMQLPTTQSAPPLPATKLKKTSTKSPHEEEEEDSDQLGYKSFHSVKFVWSGTEPRYEKFQAGQKRSVAELRGEYNIDALRDPSKILCRSTKDTPWVGDLTVEAKRNLGEGVPPKQNDDLYVVVEKRRIHVIDDLNLNTLNVDGEFYISGYGKRGITFDSVICEGKENTIKALDDMSPITYYGVKTLIIGAPHMHYSNNEIEERDDQLSEDHFSTEESSGELGNRLAARMNLAVITDDVSNSNSHKNGASEPTQPIPKAIPNTYFHSVLSDEDGDQQHNEDVPKSLFSFREGNEPYESPSALNESDRNVPSFLNSNNLNKLVLKKISKKPMFAGNALSPEDDDLGDFLHSKATGTTQLAKPRVRTAPRPTLKYHTPTTLAKQAAPNTPKLREEKKKPDSMPNRFLPSDGFPSYTLSNIHQQLVAQQAASANPDTLAVPAQPAGFGLTATTTNFGPAGFAGTGLPGGIKPQNNGFLSAQGAGLATGFGAGLGTGLTGPAPKSGFISNGSTNTGFGFNSTNTNTGLTLTPKNGFLGGSTTGFSPASTTSSGFTTLKNNGFLTSGVAGFGTNTQGFLGTGTGFATGTSTNTATGFLGGPQSAQTVGFLGMTNTSPQGITSTNGFSFGTNQTTTAQGFPKSFLSTTQQNQQGFLGGPNTGFGTTSTGFATKNQGYAFGSNTTSSSFLGTASSTAPSSGFLGTTTGAGVQNQPGKRNAQYDELRLEELSKSEYEKMATICQHKTSINTSVGAKVMTDTGTPPNFKITTGTPAATFSSPGFLSGSTSGFPNSTTNTTGFLGGSSTSTGFNGFGTPKNQAFANNQSSFLSTGTSATGFLTNATGTSTGFGFGTSTSTAPQSFSSTGFLGGPKTQSNGFLGGTNNSSGFASTGFAGGSTTGFGTNQGFTSTTGFGGTGGGFPTGFSKNFM
+>sp|O15504|NUPL2_HUMAN Nucleoporin-like protein 2 OS=Homo sapiens OX=9606 GN=NUPL2 PE=1 SV=1
+MAICQFFLQGRCRFGDRCWNEHPGARGAGGGRQQPQQQPSGNNRRGWNTTSQRYSNVIQPSSFSKSTPWGGSRDQEKPYFSSFDSGASTNRKEGFGLSENPFASLSPDEQKDEKKLLEGIVKDMEVWESSGQWMFSVYSPVKKKPNISGFTDISPEELRLEYHNFLTSNNLQSYLNSVQRLINQWRNRVNELKSLNISTKVALLSDVKDGVNQAAPAFGFGSSQAATFMSPGFPVNNSSSDNAQNFSFKTNSGFAAASSGSPAGFGSSPAFGAAASTSSGISTSAPAFGFGKPEVTSAASFSFKSPAASSFGSPGFSGLPASLATGPVRAPVAPAFGGGSSVAGFGSPGSHSHTAFSKPSSDTFGNSSISTSLSASSSIIATDNVLFTPRDKLTVEELEQFQSKKFTLGKIPLKPPPLELLNV
+>DECOY_sp|O15504|NUPL2_HUMAN Nucleoporin-like protein 2 OS=Homo sapiens OX=9606 GN=NUPL2 PE=1 SV=1
+VNLLELPPPKLPIKGLTFKKSQFQELEEVTLKDRPTFLVNDTAIISSSASLSTSISSNGFTDSSPKSFATHSHSGPSGFGAVSSGGGFAPAVPARVPGTALSAPLGSFGPSGFSSAAPSKFSFSAASTVEPKGFGFAPASTSIGSSTSAAAGFAPSSGFGAPSGSSAAAFGSNTKFSFNQANDSSSNNVPFGPSMFTAAQSSGFGFAPAAQNVGDKVDSLLAVKTSINLSKLENVRNRWQNILRQVSNLYSQLNNSTLFNHYELRLEEPSIDTFGSINPKKKVPSYVSFMWQGSSEWVEMDKVIGELLKKEDKQEDPSLSAFPNESLGFGEKRNTSAGSDFSSFYPKEQDRSGGWPTSKSFSSPQIVNSYRQSTTNWGRRNNGSPQQQPQQRGGGAGRAGPHENWCRDGFRCRGQLFFQCIAM
+>sp|O60356|NUPR1_HUMAN Nuclear protein 1 OS=Homo sapiens OX=9606 GN=NUPR1 PE=1 SV=1
+MATFPPATSAPQQPPGPEDEDSSLDESDLYSLAHSYLGGGGRKGRTKREAAANTNRPSPGGHERKLVTKLQNSERKKRGARR
+>DECOY_sp|O60356|NUPR1_HUMAN Nuclear protein 1 OS=Homo sapiens OX=9606 GN=NUPR1 PE=1 SV=1
+RRAGRKKRESNQLKTVLKREHGGPSPRNTNAAAERKTRGKRGGGGLYSHALSYLDSEDLSSDEDEPGPPQQPASTAPPFTAM
+>sp|Q16633|OBF1_HUMAN POU domain class 2-associating factor 1 OS=Homo sapiens OX=9606 GN=POU2AF1 PE=1 SV=1
+MLWQKPTAPEQAPAPARPYQGVRVKEPVKELLRRKRGHASSGAAPAPTAVVLPHQPLATYTTVGPSCLDMEGSVSAVTEEAALCAGWLSQPTPATLQPLAPWTPYTEYVPHEAVSCPYSADMYVQPVCPSYTVVGPSSVLTYASPPLITNVTTRSSATPAVGPPLEGPEHQAPLTYFPWPQPLSTLPTSTLQYQPPAPALPGPQFVQLPISIPEPVLQDMEDPRRAASSLTIDKLLLEEEDSDAYALNHTLSVEGF
+>DECOY_sp|Q16633|OBF1_HUMAN POU domain class 2-associating factor 1 OS=Homo sapiens OX=9606 GN=POU2AF1 PE=1 SV=1
+FGEVSLTHNLAYADSDEEELLLKDITLSSAARRPDEMDQLVPEPISIPLQVFQPGPLAPAPPQYQLTSTPLTSLPQPWPFYTLPAQHEPGELPPGVAPTASSRTTVNTILPPSAYTLVSSPGVVTYSPCVPQVYMDASYPCSVAEHPVYETYPTWPALPQLTAPTPQSLWGACLAAEETVASVSGEMDLCSPGVTTYTALPQHPLVVATPAPAAGSSAHGRKRRLLEKVPEKVRVGQYPRAPAPAQEPATPKQWLM
+>sp|Q9NPH6|OBP2B_HUMAN Odorant-binding protein 2b OS=Homo sapiens OX=9606 GN=OBP2B PE=2 SV=1
+MKTLFLGVTLGLAAALSFTLEEEDITGTWYVKAMVVDKDFPEDRRPRKVSPVKVTALGGGKLEATFTFMREDRCIQKKILMRKTEEPGKYSAYGGRKLMYLQELPRRDHYIFYCKDQHHGGLLHMGKLVGRNSDTNREALEEFKKLVQRKGLSEEDIFTPLQTGSCVPEH
+>DECOY_sp|Q9NPH6|OBP2B_HUMAN Odorant-binding protein 2b OS=Homo sapiens OX=9606 GN=OBP2B PE=2 SV=1
+HEPVCSGTQLPTFIDEESLGKRQVLKKFEELAERNTDSNRGVLKGMHLLGGHHQDKCYFIYHDRRPLEQLYMLKRGGYASYKGPEETKRMLIKKQICRDERMFTFTAELKGGGLATVKVPSVKRPRRDEPFDKDVVMAKVYWTGTIDEEELTFSLAAALGLTVGLFLTKM
+>sp|Q9NX40|OCAD1_HUMAN OCIA domain-containing protein 1 OS=Homo sapiens OX=9606 GN=OCIAD1 PE=1 SV=1
+MNGRADFREPNAEVPRPIPHIGPDYIPTEEERRVFAECNDESFWFRSVPLAATSMLITQGLISKGILSSHPKYGSIPKLILACIMGYFAGKLSYVKTCQEKFKKLENSPLGEALRSGQARRSSPPGHYYQKSKYDSSVSGQSSFVTSPAADNIEMLPHYEPIPFSSSMNESAPTGITDHIVQGPDPNLEESPKRKNITYEELRNKNRESYEVSLTQKTDPSVRPMHERVPKKEVKVNKYGDTWDE
+>DECOY_sp|Q9NX40|OCAD1_HUMAN OCIA domain-containing protein 1 OS=Homo sapiens OX=9606 GN=OCIAD1 PE=1 SV=1
+EDWTDGYKNVKVEKKPVREHMPRVSPDTKQTLSVEYSERNKNRLEEYTINKRKPSEELNPDPGQVIHDTIGTPASENMSSSFPIPEYHPLMEINDAAPSTVFSSQGSVSSDYKSKQYYHGPPSSRRAQGSRLAEGLPSNELKKFKEQCTKVYSLKGAFYGMICALILKPISGYKPHSSLIGKSILGQTILMSTAALPVSRFWFSEDNCEAFVRREEETPIYDPGIHPIPRPVEANPERFDARGNM
+>sp|Q16625|OCLN_HUMAN Occludin OS=Homo sapiens OX=9606 GN=OCLN PE=1 SV=1
+MSSRPLESPPPYRPDEFKPNHYAPSNDIYGGEMHVRPMLSQPAYSFYPEDEILHFYKWTSPPGVIRILSMLIIVMCIAIFACVASTLAWDRGYGTSLLGGSVGYPYGGSGFGSYGSGYGYGYGYGYGYGGYTDPRAAKGFMLAMAAFCFIAALVIFVTSVIRSEMSRTRRYYLSVIIVSAILGIMVFIATIVYIMGVNPTAQSSGSLYGSQIYALCNQFYTPAATGLYVDQYLYHYCVVDPQEAIAIVLGFMIIVAFALIIFFAVKTRRKMDRYDKSNILWDKEHIYDEQPPNVEEWVKNVSAGTQDVPSPPSDYVERVDSPMAYSSNGKVNDKRFYPESSYKSTPVPEVVQELPLTSPVDDFRQPRYSSGGNFETPSKRAPAKGRAGRSKRTEQDHYETDYTTGGESCDELEEDWIREYPPITSDQQRQLYKRNFDTGLQEYKSLQSELDEINKELSRLDKELDDYREESEEYMAAADEYNRLKQVKGSADYKSKKNHCKQLKSKLSHIKKMVGDYDRQKT
+>DECOY_sp|Q16625|OCLN_HUMAN Occludin OS=Homo sapiens OX=9606 GN=OCLN PE=1 SV=1
+TKQRDYDGVMKKIHSLKSKLQKCHNKKSKYDASGKVQKLRNYEDAAAMYEESEERYDDLEKDLRSLEKNIEDLESQLSKYEQLGTDFNRKYLQRQQDSTIPPYERIWDEELEDCSEGGTTYDTEYHDQETRKSRGARGKAPARKSPTEFNGGSSYRPQRFDDVPSTLPLEQVVEPVPTSKYSSEPYFRKDNVKGNSSYAMPSDVREVYDSPPSPVDQTGASVNKVWEEVNPPQEDYIHEKDWLINSKDYRDMKRRTKVAFFIILAFAVIIMFGLVIAIAEQPDVVCYHYLYQDVYLGTAAPTYFQNCLAYIQSGYLSGSSQATPNVGMIYVITAIFVMIGLIASVIIVSLYYRRTRSMESRIVSTVFIVLAAIFCFAAMALMFGKAARPDTYGGYGYGYGYGYGYGSGYSGFGSGGYPYGVSGGLLSTGYGRDWALTSAVCAFIAICMVIILMSLIRIVGPPSTWKYFHLIEDEPYFSYAPQSLMPRVHMEGGYIDNSPAYHNPKFEDPRYPPPSELPRSSM
+>sp|P0CE71|OCM2_HUMAN Putative oncomodulin-2 OS=Homo sapiens OX=9606 GN=OCM2 PE=5 SV=1
+MSITDVLSADDIAAALQECQDPDTFEPQKFFQTSGLSKMSASQVKDVFRFIDNDQSGYLDEEELKFFLQKFESGARELTESETKSLMAAADNDGDGKIGAEEFQEMVHS
+>DECOY_sp|P0CE71|OCM2_HUMAN Putative oncomodulin-2 OS=Homo sapiens OX=9606 GN=OCM2 PE=5 SV=1
+SHVMEQFEEAGIKGDGDNDAAAMLSKTESETLERAGSEFKQLFFKLEEEDLYGSQDNDIFRFVDKVQSASMKSLGSTQFFKQPEFTDPDQCEQLAAAIDDASLVDTISM
+>sp|A1E959|ODAM_HUMAN Odontogenic ameloblast-associated protein OS=Homo sapiens OX=9606 GN=ODAM PE=1 SV=1
+MKIIILLGFLGATLSAPLIPQRLMSASNSNELLLNLNNGQLLPLQLQGPLNSWIPPFSGILQQQQQAQIPGLSQFSLSALDQFAGLLPNQIPLTGEASFAQGAQAGQVDPLQLQTPPQTQPGPSHVMPYVFSFKMPQEQGQMFQYYPVYMVLPWEQPQQTVPRSPQQTRQQQYEEQIPFYAQFGYIPQLAEPAISGGQQQLAFDPQLGTAPEIAVMSTGEEIPYLQKEAINFRHDSAGVFMPSTSPKPSTTNVFTSAVDQTITPELPEEKDKTDSLREP
+>DECOY_sp|A1E959|ODAM_HUMAN Odontogenic ameloblast-associated protein OS=Homo sapiens OX=9606 GN=ODAM PE=1 SV=1
+PERLSDTKDKEEPLEPTITQDVASTFVNTTSPKPSTSPMFVGASDHRFNIAEKQLYPIEEGTSMVAIEPATGLQPDFALQQQGGSIAPEALQPIYGFQAYFPIQEEYQQQRTQQPSRPVTQQPQEWPLVMYVPYYQFMQGQEQPMKFSFVYPMVHSPGPQTQPPTQLQLPDVQGAQAGQAFSAEGTLPIQNPLLGAFQDLASLSFQSLGPIQAQQQQQLIGSFPPIWSNLPGQLQLPLLQGNNLNLLLENSNSASMLRQPILPASLTAGLFGLLIIIKM
+>sp|Q9ULD0|OGDHL_HUMAN 2-oxoglutarate dehydrogenase-like, mitochondrial OS=Homo sapiens OX=9606 GN=OGDHL PE=1 SV=3
+MSQLRLLPSRLGVQAARLLAAHDVPVFGWRSRSSGPPATFPSSKGGGGSSYMEEMYFAWLENPQSVHKSWDSFFREASEEAFSGSAQPRPPSVVHESRSAVSSRTKTSKLVEDHLAVQSLIRAYQIRGHHVAQLDPLGILDADLDSFVPSDLITTIDKLAFYDLQEADLDKEFQLPTTTFIGGSENTLSLREIIRRLENTYCQHIGLEFMFINDVEQCQWIRQKFETPGVMQFSSEEKRTLLARLVRSMRFEDFLARKWSSEKRFGLEGCEVMIPALKTIIDKSSEMGIENVILGMPHRGRLNVLANVIRKDLEQIFCQFDPKLEAADEGSGDVKYHLGMYHERINRVTNRNITLSLVANPSHLEAVDPVVQGKTKAEQFYRGDAQGKKVMSILVHGDAAFAGQGVVYETFHLSDLPSYTTNGTVHVVVNNQIGFTTDPRMARSSPYPTDVARVVNAPIFHVNADDPEAVIYVCSVAAEWRNTFNKDVVVDLVCYRRRGHNEMDEPMFTQPLMYKQIHRQVPVLKKYADKLIAEGTVTLQEFEEEIAKYDRICEEAYGRSKDKKILHIKHWLDSPWPGFFNVDGEPKSMTCPATGIPEDMLTHIGSVASSVPLEDFKIHTGLSRILRGRADMTKNRTVDWALAEYMAFGSLLKEGIHVRLSGQDVERGTFSHRHHVLHDQEVDRRTCVPMNHLWPDQAPYTVCNSSLSEYGVLGFELGYAMASPNALVLWEAQFGDFHNTAQCIIDQFISTGQAKWVRHNGIVLLLPHGMEGMGPEHSSARPERFLQMSNDDSDAYPAFTKDFEVSQLYDCNWIVVNCSTPANYFHVLRRQILLPFRKPLIIFTPKSLLRHPEAKSSFDQMVSGTSFQRVIPEDGAAARAPEQVQRLIFCTGKVYYDLVKERSSQDLEEKVAITRLEQISPFPFDLIKQEAEKYPGAELAWCQEEHKNMGYYDYISPRFMTILRRARPIWYVGRDPAAAPATGNRNTHLVSLKKFLDTAFNLQAFEGKTF
+>DECOY_sp|Q9ULD0|OGDHL_HUMAN 2-oxoglutarate dehydrogenase-like, mitochondrial OS=Homo sapiens OX=9606 GN=OGDHL PE=1 SV=3
+FTKGEFAQLNFATDLFKKLSVLHTNRNGTAPAAAPDRGVYWIPRARRLITMFRPSIYDYYGMNKHEEQCWALEAGPYKEAEQKILDFPFPSIQELRTIAVKEELDQSSREKVLDYYVKGTCFILRQVQEPARAAAGDEPIVRQFSTGSVMQDFSSKAEPHRLLSKPTFIILPKRFPLLIQRRLVHFYNAPTSCNVVIWNCDYLQSVEFDKTFAPYADSDDNSMQLFREPRASSHEPGMGEMGHPLLLVIGNHRVWKAQGTSIFQDIICQATNHFDGFQAEWLVLANPSAMAYGLEFGLVGYESLSSNCVTYPAQDPWLHNMPVCTRRDVEQDHLVHHRHSFTGREVDQGSLRVHIGEKLLSGFAMYEALAWDVTRNKTMDARGRLIRSLGTHIKFDELPVSSAVSGIHTLMDEPIGTAPCTMSKPEGDVNFFGPWPSDLWHKIHLIKKDKSRGYAEECIRDYKAIEEEFEQLTVTGEAILKDAYKKLVPVQRHIQKYMLPQTFMPEDMENHGRRRYCVLDVVVDKNFTNRWEAAVSCVYIVAEPDDANVHFIPANVVRAVDTPYPSSRAMRPDTTFGIQNNVVVHVTGNTTYSPLDSLHFTEYVVGQGAFAADGHVLISMVKKGQADGRYFQEAKTKGQVVPDVAELHSPNAVLSLTINRNTVRNIREHYMGLHYKVDGSGEDAAELKPDFQCFIQELDKRIVNALVNLRGRHPMGLIVNEIGMESSKDIITKLAPIMVECGELGFRKESSWKRALFDEFRMSRVLRALLTRKEESSFQMVGPTEFKQRIWQCQEVDNIFMFELGIHQCYTNELRRIIERLSLTNESGGIFTTTPLQFEKDLDAEQLDYFALKDITTILDSPVFSDLDADLIGLPDLQAVHHGRIQYARILSQVALHDEVLKSTKTRSSVASRSEHVVSPPRPQASGSFAEESAERFFSDWSKHVSQPNELWAFYMEEMYSSGGGGKSSPFTAPPGSSRSRWGFVPVDHAALLRAAQVGLRSPLLRLQSM
+>sp|O15527|OGG1_HUMAN N-glycosylase/DNA lyase OS=Homo sapiens OX=9606 GN=OGG1 PE=1 SV=2
+MPARALLPRRMGHRTLASTPALWASIPCPRSELRLDLVLPSGQSFRWREQSPAHWSGVLADQVWTLTQTEEQLHCTVYRGDKSQASRPTPDELEAVRKYFQLDVTLAQLYHHWGSVDSHFQEVAQKFQGVRLLRQDPIECLFSFICSSNNNIARITGMVERLCQAFGPRLIQLDDVTYHGFPSLQALAGPEVEAHLRKLGLGYRARYVSASARAILEEQGGLAWLQQLRESSYEEAHKALCILPGVGTKVADCICLMALDKPQAVPVDVHMWHIAQRDYSWHPTTSQAKGPSPQTNKELGNFFRSLWGPYAGWAQAVLFSADLRQSRHAQEPPAKRRKGSKGPEG
+>DECOY_sp|O15527|OGG1_HUMAN N-glycosylase/DNA lyase OS=Homo sapiens OX=9606 GN=OGG1 PE=1 SV=2
+GEPGKSGKRRKAPPEQAHRSQRLDASFLVAQAWGAYPGWLSRFFNGLEKNTQPSPGKAQSTTPHWSYDRQAIHWMHVDVPVAQPKDLAMLCICDAVKTGVGPLICLAKHAEEYSSERLQQLWALGGQEELIARASASVYRARYGLGLKRLHAEVEPGALAQLSPFGHYTVDDLQILRPGFAQCLREVMGTIRAINNNSSCIFSFLCEIPDQRLLRVGQFKQAVEQFHSDVSGWHHYLQALTVDLQFYKRVAELEDPTPRSAQSKDGRYVTCHLQEETQTLTWVQDALVGSWHAPSQERWRFSQGSPLVLDLRLESRPCPISAWLAPTSALTRHGMRRPLLARAPM
+>sp|Q13516|OLIG2_HUMAN Oligodendrocyte transcription factor 2 OS=Homo sapiens OX=9606 GN=OLIG2 PE=2 SV=2
+MDSDASLVSSRPSSPEPDDLFLPARSKGSSGSAFTGGTVSSSTPSDCPPELSAELRGAMGSAGAHPGDKLGGSGFKSSSSSTSSSTSSAAASSTKKDKKQMTEPELQQLRLKINSRERKRMHDLNIAMDGLREVMPYAHGPSVRKLSKIATLLLARNYILMLTNSLEEMKRLVSEIYGGHHAGFHPSACGGLAHSAPLPAATAHPAAAAHAAHHPAVHHPILPPAAAAAAAAAAAAAVSSASLPGSGLPSVGSIRPPHGLLKSPSAAAAAPLGGGGGGSGASGGFQHWGGMPCPCSMCQVPPPHHHVSAMGAGSLPRLTSDAK
+>DECOY_sp|Q13516|OLIG2_HUMAN Oligodendrocyte transcription factor 2 OS=Homo sapiens OX=9606 GN=OLIG2 PE=2 SV=2
+KADSTLRPLSGAGMASVHHHPPPVQCMSCPCPMGGWHQFGGSAGSGGGGGGLPAAAAASPSKLLGHPPRISGVSPLGSGPLSASSVAAAAAAAAAAAAAPPLIPHHVAPHHAAHAAAAPHATAAPLPASHALGGCASPHFGAHHGGYIESVLRKMEELSNTLMLIYNRALLLTAIKSLKRVSPGHAYPMVERLGDMAINLDHMRKRERSNIKLRLQQLEPETMQKKDKKTSSAAASSTSSSTSSSSSKFGSGGLKDGPHAGASGMAGRLEASLEPPCDSPTSSSVTGGTFASGSSGKSRAPLFLDDPEPSSPRSSVLSADSDM
+>sp|P35372|OPRM_HUMAN Mu-type opioid receptor OS=Homo sapiens OX=9606 GN=OPRM1 PE=1 SV=2
+MDSSAAPTNASNCTDALAYSSCSPAPSPGSWVNLSHLDGNLSDPCGPNRTDLGGRDSLCPPTGSPSMITAITIMALYSIVCVVGLFGNFLVMYVIVRYTKMKTATNIYIFNLALADALATSTLPFQSVNYLMGTWPFGTILCKIVISIDYYNMFTSIFTLCTMSVDRYIAVCHPVKALDFRTPRNAKIINVCNWILSSAIGLPVMFMATTKYRQGSIDCTLTFSHPTWYWENLLKICVFIFAFIMPVLIITVCYGLMILRLKSVRMLSGSKEKDRNLRRITRMVLVVVAVFIVCWTPIHIYVIIKALVTIPETTFQTVSWHFCIALGYTNSCLNPVLYAFLDENFKRCFREFCIPTSSNIEQQNSTRIRQNTRDHPSTANTVDRTNHQLENLEAETAPLP
+>DECOY_sp|P35372|OPRM_HUMAN Mu-type opioid receptor OS=Homo sapiens OX=9606 GN=OPRM1 PE=1 SV=2
+PLPATEAELNELQHNTRDVTNATSPHDRTNQRIRTSNQQEINSSTPICFERFCRKFNEDLFAYLVPNLCSNTYGLAICFHWSVTQFTTEPITVLAKIIVYIHIPTWCVIFVAVVVLVMRTIRRLNRDKEKSGSLMRVSKLRLIMLGYCVTIILVPMIFAFIFVCIKLLNEWYWTPHSFTLTCDISGQRYKTTAMFMVPLGIASSLIWNCVNIIKANRPTRFDLAKVPHCVAIYRDVSMTCLTFISTFMNYYDISIVIKCLITGFPWTGMLYNVSQFPLTSTALADALALNFIYINTATKMKTYRVIVYMVLFNGFLGVVCVISYLAMITIATIMSPSGTPPCLSDRGGLDTRNPGCPDSLNGDLHSLNVWSGPSPAPSCSSYALADTCNSANTPAASSDM
+>sp|O43612|OREX_HUMAN Orexin OS=Homo sapiens OX=9606 GN=HCRT PE=1 SV=1
+MNLPSTKVSWAAVTLLLLLLLLPPALLSSGAAAQPLPDCCRQKTCSCRLYELLHGAGNHAAGILTLGKRRSGPPGLQGRLQRLLQASGNHAAGILTMGRRAGAEPAPRPCLGRRCSAPAAASVAPGGQSGI
+>DECOY_sp|O43612|OREX_HUMAN Orexin OS=Homo sapiens OX=9606 GN=HCRT PE=1 SV=1
+IGSQGGPAVSAAAPASCRRGLCPRPAPEAGARRGMTLIGAAHNGSAQLLRQLRGQLGPPGSRRKGLTLIGAAHNGAGHLLEYLRCSCTKQRCCDPLPQAAAGSSLLAPPLLLLLLLLTVAAWSVKTSPLNM
+>sp|Q9HBY0|NOX3_HUMAN NADPH oxidase 3 OS=Homo sapiens OX=9606 GN=NOX3 PE=1 SV=1
+MMGCWILNEGLSTILVLSWLGINFYLFIDTFYWYEEEESFHYTRVILGSTLAWARASALCLNFNCMLILIPVSRNLISFIRGTSICCRGPWRRQLDKNLRFHKLVAYGIAVNATIHIVAHFFNLERYHWSQSEEAQGLLAALSKLGNTPNESYLNPVRTFPTNTTTELLRTIAGVTGLVISLALVLIMTSSTEFIRQASYELFWYTHHVFIVFFLSLAIHGTGRIVRGQTQDSLSLHNITFCRDRYAEWQTVAQCPVPQFSGKEPSAWKWILGPVVLYACERIIRFWRFQQEVVITKVVSHPSGVLELHMKKRGFKMAPGQYILVQCPAISSLEWHPFTLTSAPQEDFFSVHIRAAGDWTAALLEAFGAEGQALQEPWSLPRLAVDGPFGTALTDVFHYPVCVCVAAGIGVTPFAALLKSIWYKCSEAQTPLKLSKVYFYWICRDARAFEWFADLLLSLETRMSEQGKTHFLSYHIFLTGWDENQALHIALHWDENTDVITGLKQKTFYGRPNWNNEFKQIAYNHPSSSIGVFFCGPKALSRTLQKMCHLYSSADPRGVHFYYNKESF
+>DECOY_sp|Q9HBY0|NOX3_HUMAN NADPH oxidase 3 OS=Homo sapiens OX=9606 GN=NOX3 PE=1 SV=1
+FSEKNYYFHVGRPDASSYLHCMKQLTRSLAKPGCFFVGISSSPHNYAIQKFENNWNPRGYFTKQKLGTIVDTNEDWHLAIHLAQNEDWGTLFIHYSLFHTKGQESMRTELSLLLDAFWEFARADRCIWYFYVKSLKLPTQAESCKYWISKLLAAFPTVGIGAAVCVCVPYHFVDTLATGFPGDVALRPLSWPEQLAQGEAGFAELLAATWDGAARIHVSFFDEQPASTLTFPHWELSSIAPCQVLIYQGPAMKFGRKKMHLELVGSPHSVVKTIVVEQQFRWFRIIRECAYLVVPGLIWKWASPEKGSFQPVPCQAVTQWEAYRDRCFTINHLSLSDQTQGRVIRGTGHIALSLFFVIFVHHTYWFLEYSAQRIFETSSTMILVLALSIVLGTVGAITRLLETTTNTPFTRVPNLYSENPTNGLKSLAALLGQAEESQSWHYRELNFFHAVIHITANVAIGYAVLKHFRLNKDLQRRWPGRCCISTGRIFSILNRSVPILILMCNFNLCLASARAWALTSGLIVRTYHFSEEEEYWYFTDIFLYFNIGLWSLVLITSLGENLIWCGMM
+>sp|Q86UR1|NOXA1_HUMAN NADPH oxidase activator 1 OS=Homo sapiens OX=9606 GN=NOXA1 PE=1 SV=1
+MASLGDLVRAWHLGAQAVDRGDWARALHLFSGVPAPPARLCFNAGCVHLLAGDPEAALRAFDQAVTKDTCMAVGFFQRGVANFQLARFQEALSDFWLALEQLRGHAAIDYTQLGLRFKLQAWEVLHNVASAQCQLGLWTEAASSLREAMSKWPEGSLNGLDSALDQVQRRGSLPPRQVPRGEVFRPHRWHLKHLEPVDFLGKAKVVASAIPDDQGWGVRPQQPQGPGANHDARSLIMDSPRAGTHQGPLDAETEVGADRCTSTAYQEQRPQVEQVGKQAPLSPGLPAMGGPGPGPCEDPAGAGGAGAGGSEPLVTVTVQCAFTVALRARRGADLSSLRALLGQALPHQAQLGQLSYLAPGEDGHWVPIPEEESLQRAWQDAAACPRGLQLQCRGAGGRPVLYQVVAQHSYSAQGPEDLGFRQGDTVDVLCEVDQAWLEGHCDGRIGIFPKCFVVPAGPRMSGAPGRLPRSQQGDQP
+>DECOY_sp|Q86UR1|NOXA1_HUMAN NADPH oxidase activator 1 OS=Homo sapiens OX=9606 GN=NOXA1 PE=1 SV=1
+PQDGQQSRPLRGPAGSMRPGAPVVFCKPFIGIRGDCHGELWAQDVECLVDVTDGQRFGLDEPGQASYSHQAVVQYLVPRGGAGRCQLQLGRPCAAADQWARQLSEEEPIPVWHGDEGPALYSLQGLQAQHPLAQGLLARLSSLDAGRRARLAVTFACQVTVTVLPESGGAGAGGAGAPDECPGPGPGGMAPLGPSLPAQKGVQEVQPRQEQYATSTCRDAGVETEADLPGQHTGARPSDMILSRADHNAGPGQPQQPRVGWGQDDPIASAVVKAKGLFDVPELHKLHWRHPRFVEGRPVQRPPLSGRRQVQDLASDLGNLSGEPWKSMAERLSSAAETWLGLQCQASAVNHLVEWAQLKFRLGLQTYDIAAHGRLQELALWFDSLAEQFRALQFNAVGRQFFGVAMCTDKTVAQDFARLAAEPDGALLHVCGANFCLRAPPAPVGSFLHLARAWDGRDVAQAGLHWARVLDGLSAM
+>sp|Q99733|NP1L4_HUMAN Nucleosome assembly protein 1-like 4 OS=Homo sapiens OX=9606 GN=NAP1L4 PE=1 SV=1
+MADHSFSDGVPSDSVEAAKNASNTEKLTDQVMQNPRVLAALQERLDNVPHTPSSYIETLPKAVKRRINALKQLQVRCAHIEAKFYEEVHDLERKYAALYQPLFDKRREFITGDVEPTDAESEWHSENEEEEKLAGDMKSKVVVTEKAAATAEEPDPKGIPEFWFTIFRNVDMLSELVQEYDEPILKHLQDIKVKFSDPGQPMSFVLEFHFEPNDYFTNSVLTKTYKMKSEPDKADPFSFEGPEIVDCDGCTIDWKKGKNVTVKTIKKKQKHKGRGTVRTITKQVPNESFFNFFNPLKASGDGESLDEDSEFTLASDFEIGHFFRERIVPRAVLYFTGEAIEDDDNFEEGEEGEEEELEGDEEGEDEDDAEINPKV
+>DECOY_sp|Q99733|NP1L4_HUMAN Nucleosome assembly protein 1-like 4 OS=Homo sapiens OX=9606 GN=NAP1L4 PE=1 SV=1
+VKPNIEADDEDEGEEDGELEEEEGEEGEEFNDDDEIAEGTFYLVARPVIRERFFHGIEFDSALTFESDEDLSEGDGSAKLPNFFNFFSENPVQKTITRVTGRGKHKQKKKITKVTVNKGKKWDITCGDCDVIEPGEFSFPDAKDPESKMKYTKTLVSNTFYDNPEFHFELVFSMPQGPDSFKVKIDQLHKLIPEDYEQVLESLMDVNRFITFWFEPIGKPDPEEATAAAKETVVVKSKMDGALKEEEENESHWESEADTPEVDGTIFERRKDFLPQYLAAYKRELDHVEEYFKAEIHACRVQLQKLANIRRKVAKPLTEIYSSPTHPVNDLREQLAALVRPNQMVQDTLKETNSANKAAEVSDSPVGDSFSHDAM
+>sp|O60287|NPA1P_HUMAN Nucleolar pre-ribosomal-associated protein 1 OS=Homo sapiens OX=9606 GN=URB1 PE=1 SV=4
+MGVPKRKASGGQDGAASSAGAAKRARKEELTGVRFKAQLKDPQGPGPGLEAFVSAAKKLPREDVYDVVEGYIKISVECVEIFQLLSGEKRPESETMLIFQVFEAILLRTASDLSHFHVVGTNIVKKLMNNHMKLICESLYASGYRLARACLSLMTAMVTQGPEAARDVCSHFDLNKKTLYTLVTKRDSKGVYDVRQAYVQFALSFLIAGDDSTIVQVLEVKEFIPCIFSSGIKEDRISTINILLSTLKTKVVHNKNITKTQKVRFFTGQLLNHIASLYNWNGITDVNPENVKVSAEEAGKTMVRELVHNFLMDLCCSLKHGINFYDASLGTFGRGGNLTLLHFLLGLKTAADDDLVADLVVNILKVCPDLLNKYFKEVTFSFIPRAKSTWLNNIKLLNKIYEAQPEISRAFQTREFIPLPRLLAMVMVTTVPLVCNKSMFTQALNLDSTSVRHTALSLISVILKRALKTVDHCLNKEVWQESGVYTAVMMEEFVQLFREALSKILPDLNTVVWVWQSLKKQETKQDDKKGQKRSDGPPAACDAHQCDDAETILLKAVLLQVICLYQKVVPHVVMQYNFDFSKLLKGVISEQGLREEVPPILQHHMLKVALELPASKFLWLKAQEGPDAEIIGGERSVFYLLMKMFVTSSHLQLKSLTKLLIMKILRDTGVFEHTWKELELWLEHLENTMEEDKETVIQFLERILLTLVANPYSYTDKASDFVQEASMLQATMTKQEADDMSIPISHIDDVLDMVDVLVEGSEGLDEEIGFTLSEDMILLTFPFSAVVPAALEARNKLLLGTGNEAAENVVTYLTAVLTDLLHTQRDPLALCLLLQAYDKLEPPCLVPCCQQLSRFNRYYSLWIPEQAREAWLLQAQGSPSPPALPLASSFTALLQAAYESQALRDEHIQVQLQATMPHLSMQQVLLAAKQVLLYLRSTVENFGQLGRSVGPPLLQLFLDLLRRLVVHCEQLDAQNQQRCEAARAEADLFLDMESVASLELANDQTLEEVLVAILRHPTLEGWFLALEQQALPPHTLSPVLVKLLATHFSAGVLQLLAASAPILQNIGQLGLLARYSEAITQSVLKELQNRRAGPATSPPKTPPQLEALQELHPYMEGAQLREVTLALLSLPETHLVTQQPTKSPGKERHLNALGKTLVQLLTCSPQDQLQSGELLWSSEYVRGLGALLPTLAVDELDTVLLHTLQRDPVLAPAVGADLLDYCLARRTQAALSIAALLLQESCTHLLWFEQWCLQAGPGLGLQGDLDDFLPLIHVYLQCRTRSHFTRPAGVSSAVIPVLRKTLWRQLQSRLLSTDSPPASGLYQEILAQLVPFARAKDLSVLMDRLPSLLHTPSSHKRWIVADSISAALEGSAEELCAWRRTLLESCVKWLIVSFSGGQQDDDNTQNQEKEMLLRLNALLHALNEVDPGDWQKFVKKGLKFRYQDHTFLKMLLTAVQLLYSPESSVRTKLIQLPVVYVMLMQHSLFLPTLLTSDGEESPDSQVKEALVDLMLTVVEMCPSVCESSHFAVLLGAYGATLSVLDQKILLLLRAYEQNKLSLINFRVLLWGPAAVEHHKTCRSLGRSLWQQPSVGDILRLLDRDRMMQTILHFPQNRRLLPPEDTQELIFKDKSRVDLDGLYDPCFLLQLFSELTRPEFVVDCRKFLDSNALGLTVTALSSYDPQMRAIAYHVLAAYYSHLEGARFQEQSQLLYLLDVVRNGIRTQDMRLTFTLALFIAKAALQILKPEEHMYLKVSNFLLSHEYLNMDKVPGFYQFFYSSDFEQKTEQKWVFGVLRQGIRDKQCYELCARRGIFHIILSFFHSPLCDEAAQNWILEILQNAAQVARSAYEIIRDYSLLTWILHILESKFLETPLLSNVISLLHTLWVTNLGDKAVEWESQRLCQPSSQEPAKRLALHLVNEFLYVLIVLMKHLRPTLAPVQLTNFFGTLDSVLRYRATVIQAFRDMNRFTVNETVLSTKDVLVLLHKWSLIERDLKLQEDLRAAIEKAQARELMKMLKDKNKPVMPARAKGPRGRKRRPGEAEEMADPELMASTLETCKGLLRSILTYWRPVIPGPDPTQEPVDSASPESDAPGPVYAAASLAVSWVLRSVAEHPLSRAEAAGLIGWLKSHILPHPVVVADLLKDSAVRSSIFRLYSRLCGAEGLAGPVQEVACLFNTVMLQLVAAQGRAGSPFHPAMEALSLSSLSEKDEATQASAAFLVSLYIKDIWLGAQRPDTLLTHVRMVCEAADDAPSSEEEAIVVLCKDAASAASDA
+>DECOY_sp|O60287|NPA1P_HUMAN Nucleolar pre-ribosomal-associated protein 1 OS=Homo sapiens OX=9606 GN=URB1 PE=1 SV=4
+ADSAASAADKCLVVIAEEESSPADDAAECVMRVHTLLTDPRQAGLWIDKIYLSVLFAASAQTAEDKESLSSLSLAEMAPHFPSGARGQAAVLQLMVTNFLCAVEQVPGALGEAGCLRSYLRFISSRVASDKLLDAVVVPHPLIHSKLWGILGAAEARSLPHEAVSRLVWSVALSAAAYVPGPADSEPSASDVPEQTPDPGPIVPRWYTLISRLLGKCTELTSAMLEPDAMEEAEGPRRKRGRPGKARAPMVPKNKDKLMKMLERAQAKEIAARLDEQLKLDREILSWKHLLVLVDKTSLVTENVTFRNMDRFAQIVTARYRLVSDLTGFFNTLQVPALTPRLHKMLVILVYLFENVLHLALRKAPEQSSPQCLRQSEWEVAKDGLNTVWLTHLLSIVNSLLPTELFKSELIHLIWTLLSYDRIIEYASRAVQAANQLIELIWNQAAEDCLPSHFFSLIIHFIGRRACLEYCQKDRIGQRLVGFVWKQETKQEFDSSYFFQYFGPVKDMNLYEHSLLFNSVKLYMHEEPKLIQLAAKAIFLALTFTLRMDQTRIGNRVVDLLYLLQSQEQFRAGELHSYYAALVHYAIARMQPDYSSLATVTLGLANSDLFKRCDVVFEPRTLESFLQLLFCPDYLGDLDVRSKDKFILEQTDEPPLLRRNQPFHLITQMMRDRDLLRLIDGVSPQQWLSRGLSRCTKHHEVAAPGWLLVRFNILSLKNQEYARLLLLIKQDLVSLTAGYAGLLVAFHSSECVSPCMEVVTLMLDVLAEKVQSDPSEEGDSTLLTPLFLSHQMLMVYVVPLQILKTRVSSEPSYLLQVATLLMKLFTHDQYRFKLGKKVFKQWDGPDVENLAHLLANLRLLMEKEQNQTNDDDQQGGSFSVILWKVCSELLTRRWACLEEASGELAASISDAVIWRKHSSPTHLLSPLRDMLVSLDKARAFPVLQALIEQYLGSAPPSDTSLLRSQLQRWLTKRLVPIVASSVGAPRTFHSRTRCQLYVHILPLFDDLDGQLGLGPGAQLCWQEFWLLHTCSEQLLLAAISLAAQTRRALCYDLLDAGVAPALVPDRQLTHLLVTDLEDVALTPLLAGLGRVYESSWLLEGSQLQDQPSCTLLQVLTKGLANLHREKGPSKTPQQTVLHTEPLSLLALTVERLQAGEMYPHLEQLAELQPPTKPPSTAPGARRNQLEKLVSQTIAESYRALLGLQGINQLIPASAALLQLVGASFHTALLKVLVPSLTHPPLAQQELALFWGELTPHRLIAVLVEELTQDNALELSAVSEMDLFLDAEARAAECRQQNQADLQECHVVLRRLLDLFLQLLPPGVSRGLQGFNEVTSRLYLLVQKAALLVQQMSLHPMTAQLQVQIHEDRLAQSEYAAQLLATFSSALPLAPPSPSGQAQLLWAERAQEPIWLSYYRNFRSLQQCCPVLCPPELKDYAQLLLCLALPDRQTHLLDTLVATLYTVVNEAAENGTGLLLKNRAELAAPVVASFPFTLLIMDESLTFGIEEDLGESGEVLVDVMDLVDDIHSIPISMDDAEQKTMTAQLMSAEQVFDSAKDTYSYPNAVLTLLIRELFQIVTEKDEEMTNELHELWLELEKWTHEFVGTDRLIKMILLKTLSKLQLHSSTVFMKMLLYFVSREGGIIEADPGEQAKLWLFKSAPLELAVKLMHHQLIPPVEERLGQESIVGKLLKSFDFNYQMVVHPVVKQYLCIVQLLVAKLLITEADDCQHADCAAPPGDSRKQGKKDDQKTEQKKLSQWVWVVTNLDPLIKSLAERFLQVFEEMMVATYVGSEQWVEKNLCHDVTKLARKLIVSILSLATHRVSTSDLNLAQTFMSKNCVLPVTTVMVMALLRPLPIFERTQFARSIEPQAEYIKNLLKINNLWTSKARPIFSFTVEKFYKNLLDPCVKLINVVLDAVLDDDAATKLGLLFHLLTLNGGRGFTGLSADYFNIGHKLSCCLDMLFNHVLERVMTKGAEEASVKVNEPNVDTIGNWNYLSAIHNLLQGTFFRVKQTKTINKNHVVKTKLTSLLINITSIRDEKIGSSFICPIFEKVELVQVITSDDGAILFSLAFQVYAQRVDYVGKSDRKTVLTYLTKKNLDFHSCVDRAAEPGQTVMATMLSLCARALRYGSAYLSECILKMHNNMLKKVINTGVVHFHSLDSATRLLIAEFVQFILMTESEPRKEGSLLQFIEVCEVSIKIYGEVVDYVDERPLKKAASVFAELGPGPGQPDKLQAKFRVGTLEEKRARKAAGASSAAGDQGGSAKRKPVGM
+>sp|Q6P499|NPAL3_HUMAN NIPA-like protein 3 OS=Homo sapiens OX=9606 GN=NIPAL3 PE=1 SV=1
+MDGSHSAALKLQQLPPTSSSSAVSEASFSYKENLIGALLAIFGHLVVSIALNLQKYCHIRLAGSKDPRAYFKTKTWWLGLFLMLLGELGVFASYAFAPLSLIVPLSAVSVIASAIIGIIFIKEKWKPKDFLRRYVLSFVGCGLAVVGTYLLVTFAPNSHEKMTGENVTRHLVSWPFLLYMLVEIILFCLLLYFYKEKNANNIVVILLLVALLGSMTVVTVKAVAGMLVLSIQGNLQLDYPIFYVMFVCMVATAVYQAAFLSQASQMYDSSLIASVGYILSTTIAITAGAIFYLDFIGEDVLHICMFALGCLIAFLGVFLITRNRKKPIPFEPYISMDAMPGMQNMHDKGMTVQPELKASFSYGALENNDNISEIYAPATLPVMQEEHGSRSASGVPYRVLEHTKKE
+>DECOY_sp|Q6P499|NPAL3_HUMAN NIPA-like protein 3 OS=Homo sapiens OX=9606 GN=NIPAL3 PE=1 SV=1
+EKKTHELVRYPVGSASRSGHEEQMVPLTAPAYIESINDNNELAGYSFSAKLEPQVTMGKDHMNQMGPMADMSIYPEFPIPKKRNRTILFVGLFAILCGLAFMCIHLVDEGIFDLYFIAGATIAITTSLIYGVSAILSSDYMQSAQSLFAAQYVATAVMCVFMVYFIPYDLQLNGQISLVLMGAVAKVTVVTMSGLLAVLLLIVVINNANKEKYFYLLLCFLIIEVLMYLLFPWSVLHRTVNEGTMKEHSNPAFTVLLYTGVVALGCGVFSLVYRRLFDKPKWKEKIFIIGIIASAIVSVASLPVILSLPAFAYSAFVGLEGLLMLFLGLWWTKTKFYARPDKSGALRIHCYKQLNLAISVVLHGFIALLAGILNEKYSFSAESVASSSSTPPLQQLKLAASHSGDM
+>sp|Q8IXF0|NPAS3_HUMAN Neuronal PAS domain-containing protein 3 OS=Homo sapiens OX=9606 GN=NPAS3 PE=2 SV=1
+MAPTKPSFQQDPSRRERITAQHPLPNQSECRKIYRYDGIYCESTYQNLQALRKEKSRDAARSRRGKENFEFYELAKLLPLPAAITSQLDKASIIRLTISYLKMRDFANQGDPPWNLRMEGPPPNTSVKVIGAQRRRSPSALAIEVFEAHLGSHILQSLDGFVFALNQEGKFLYISETVSIYLGLSQVELTGSSVFDYVHPGDHVEMAEQLGMKLPPGRGLLSQGTAEDGASSASSSSQSETPEPVESTSPSLLTTDNTLERSFFIRMKSTLTKRGVHIKSSGYKVIHITGRLRLRVSLSHGRTVPSQIMGLVVVAHALPPPTINEVRIDCHMFVTRVNMDLNIIYCENRISDYMDLTPVDIVGKRCYHFIHAEDVEGIRHSHLDLLNKGQCVTKYYRWMQKNGGYIWIQSSATIAINAKNANEKNIIWVNYLLSNPEYKDTPMDIAQLPHLPEKTSESSETSDSESDSKDTSGITEDNENSKSDEKGNQSENSEDPEPDRKKSGNACDNDMNCNDDGHSSSNPDSRDSDDSFEHSDFENPKAGEDGFGALGAMQIKVERYVESESDLRLQNCESLTSDSAKDSDSAGEAGAQASSKHQKRKKRRKRQKGGSASRRRLSSASSPGGLDAGLVEPPRLLSSPNSASVLKIKTEISEPINFDNDSSIWNYPPNREISRNESPYSMTKPPSSEHFPSPQGGGGGGGGGGGLHVAIPDSVLTPPGADGAAARKTQFGASATAALAPVASDPLSPPLSASPRDKHPGNGGGGGGGGGGAGGGGPSASNSLLYTGDLEALQRLQAGNVVLPLVHRVTGTLAATSTAAQRVYTTGTIRYAPAEVTLAMQSNLLPNAHAVNFVDVNSPGFGLDPKTPMEMLYHHVHRLNMSGPFGGAVSAASLTQMPAGNVFTTAEGLFSTLPFPVYSNGIHAAQTLERKED
+>DECOY_sp|Q8IXF0|NPAS3_HUMAN Neuronal PAS domain-containing protein 3 OS=Homo sapiens OX=9606 GN=NPAS3 PE=2 SV=1
+DEKRELTQAAHIGNSYVPFPLTSFLGEATTFVNGAPMQTLSAASVAGGFPGSMNLRHVHHYLMEMPTKPDLGFGPSNVDVFNVAHANPLLNSQMALTVEAPAYRITGTTYVRQAATSTAALTGTVRHVLPLVVNGAQLRQLAELDGTYLLSNSASPGGGGAGGGGGGGGGGNGPHKDRPSASLPPSLPDSAVPALAATASAGFQTKRAAAGDAGPPTLVSDPIAVHLGGGGGGGGGGGQPSPFHESSPPKTMSYPSENRSIERNPPYNWISSDNDFNIPESIETKIKLVSASNPSSLLRPPEVLGADLGGPSSASSLRRRSASGGKQRKRRKKRKQHKSSAQAGAEGASDSDKASDSTLSECNQLRLDSESEVYREVKIQMAGLAGFGDEGAKPNEFDSHEFSDDSDRSDPNSSSHGDDNCNMDNDCANGSKKRDPEPDESNESQNGKEDSKSNENDETIGSTDKSDSESDSTESSESTKEPLHPLQAIDMPTDKYEPNSLLYNVWIINKENANKANIAITASSQIWIYGGNKQMWRYYKTVCQGKNLLDLHSHRIGEVDEAHIFHYCRKGVIDVPTLDMYDSIRNECYIINLDMNVRTVFMHCDIRVENITPPPLAHAVVVLGMIQSPVTRGHSLSVRLRLRGTIHIVKYGSSKIHVGRKTLTSKMRIFFSRELTNDTTLLSPSTSEVPEPTESQSSSSASSAGDEATGQSLLGRGPPLKMGLQEAMEVHDGPHVYDFVSSGTLEVQSLGLYISVTESIYLFKGEQNLAFVFGDLSQLIHSGLHAEFVEIALASPSRRRQAGIVKVSTNPPPGEMRLNWPPDGQNAFDRMKLYSITLRIISAKDLQSTIAAPLPLLKALEYFEFNEKGRRSRAADRSKEKRLAQLNQYTSECYIGDYRYIKRCESQNPLPHQATIRERRSPDQQFSPKTPAM
+>sp|Q8IUM7|NPAS4_HUMAN Neuronal PAS domain-containing protein 4 OS=Homo sapiens OX=9606 GN=NPAS4 PE=1 SV=1
+MYRSTKGASKARRDQINAEIRNLKELLPLAEADKVRLSYLHIMSLACIYTRKGVFFAGGTPLAGPTGLLSAQELEDIVAALPGFLLVFTAEGKLLYLSESVSEHLGHSMVDLVAQGDSIYDIIDPADHLTVRQQLTLPSALDTDRLFRCRFNTSKSLRRQSAGNKLVLIRGRFHAHPPGAYWAGNPVFTAFCAPLEPRPRPGPGPGPGPASLFLAMFQSRHAKDLALLDISESVLIYLGFERSELLCKSWYGLLHPEDLAHASAQHYRLLAESGDIQAEMVVRLQAKTGGWAWIYCLLYSEGPEGPITANNYPISDMEAWSLRQQLNSEDTQAAYVLGTPTMLPSFPENILSQEECSSTNPLFTAALGAPRSTSFPSAPELSVVSASEELPRPSKELDFSYLTFPSGPEPSLQAELSKDLVCTPPYTPHQPGGCAFLFSLHEPFQTHLPTPSSTLQEQLTPSTATFSDQLTPSSATFPDPLTSPLQGQLTETSVRSYEDQLTPCTSTFPDQLLPSTATFPEPLGSPAHEQLTPPSTAFQAHLDSPSQTFPEQLSPNPTKTYFAQEGCSFLYEKLPPSPSSPGNGDCTLLALAQLRGPLSVDVPLVPEGLLTPEASPVKQSFFHYSEKEQNEIDRLIQQISQLAQGMDRPFSAEAGTGGLEPLGGLEPLDSNLSLSGAGPPVLSLDLKPWKCQELDFLADPDNMFLEETPVEDIFMDLSTPDPSEEWGSGDPEAEGPGGAPSPCNNLSPEDHSFLEDLATYETAFETGVSAFPYDGFTDELHQLQSQVQDSFHEDGSGGEPTF
+>DECOY_sp|Q8IUM7|NPAS4_HUMAN Neuronal PAS domain-containing protein 4 OS=Homo sapiens OX=9606 GN=NPAS4 PE=1 SV=1
+FTPEGGSGDEHFSDQVQSQLQHLEDTFGDYPFASVGTEFATEYTALDELFSHDEPSLNNCPSPAGGPGEAEPDGSGWEESPDPTSLDMFIDEVPTEELFMNDPDALFDLEQCKWPKLDLSLVPPGAGSLSLNSDLPELGGLPELGGTGAEASFPRDMGQALQSIQQILRDIENQEKESYHFFSQKVPSAEPTLLGEPVLPVDVSLPGRLQALALLTCDGNGPSSPSPPLKEYLFSCGEQAFYTKTPNPSLQEPFTQSPSDLHAQFATSPPTLQEHAPSGLPEPFTATSPLLQDPFTSTCPTLQDEYSRVSTETLQGQLPSTLPDPFTASSPTLQDSFTATSPTLQEQLTSSPTPLHTQFPEHLSFLFACGGPQHPTYPPTCVLDKSLEAQLSPEPGSPFTLYSFDLEKSPRPLEESASVVSLEPASPFSTSRPAGLAATFLPNTSSCEEQSLINEPFSPLMTPTGLVYAAQTDESNLQQRLSWAEMDSIPYNNATIPGEPGESYLLCYIWAWGGTKAQLRVVMEAQIDGSEALLRYHQASAHALDEPHLLGYWSKCLLESREFGLYILVSESIDLLALDKAHRSQFMALFLSAPGPGPGPGPRPRPELPACFATFVPNGAWYAGPPHAHFRGRILVLKNGASQRRLSKSTNFRCRFLRDTDLASPLTLQQRVTLHDAPDIIDYISDGQAVLDVMSHGLHESVSESLYLLKGEATFVLLFGPLAAVIDELEQASLLGTPGALPTGGAFFVGKRTYICALSMIHLYSLRVKDAEALPLLEKLNRIEANIQDRRAKSAGKTSRYM
+>sp|A6NJU9|NPB13_HUMAN Nuclear pore complex-interacting protein family member B13 OS=Homo sapiens OX=9606 GN=NPIPB13 PE=3 SV=4
+MVKLSIVLTPQFLSHDQGQLTKELQQHVKSVTCPCEYLRKVINTLADHHHRGTDFGGSPWLHVIIAFPTSYKVVITLWIVYLWVSLLKTIFWSRNGHDGSTDVQQRAWRSNRRRQEGLRSICMHTKKRVSSFRGNKIGLKDVITLRRHVETKVRAKIRKRKVTTKINHHDKINGKRKTARKQKMFQRAQELRRRAEDYHKCKIPPSARKALCNWVRMAAAEHRHSSGLPYWPYLTAETLKNRMGHQPPPPTQQHSITDNSLSLKTPPECVLTPLPPSADDNLKTPPECVLTPLPPSADDNLKTPPECLLTPLPPSADDNLKTPPECLLTPLPPSADDNLKTPPECLLTPLPPSAPPSAPPSADDNLKTRAECLLHPLPPSADDNLKTPSERQLTPLPPSAPPSADDNIKTPAERLRGPLPPSADDNLKTPSERQLTPLPPSAPPSADDNIKTPAERLRGPLPPSADDNLKTPSERQLTPLPPSAPPSADDNIKTPAERLRGPLPPSADDNLKTPSERQLTPLPPSAPPSADDNIKTPAERLRGPLPPSADDNLKTPSERQLTPLPPSAPPSADDNIKTPAFHPQRMIISRHLPSVSSLPFHPQLHSQQMIISRYLLSVCGFRFHHQPMIISRHLPSVSSLPFHPQLHPQQMIISRHLPSVCGGRFHPQRMIISRHLPSVSSLPFHPQLHPQQMIISRHLPSVCGGRFHPQRMIISRHLPSVSSLPFHPQLHPQQMIISRHLPSVCGERLRGPLPPSADDNLKTPSERQLTPLPPSAPPSADDNIKTPAERLRRPLPPSADDNLKTPSERQLTPLPPSAPPSADDNIKTPAERLRGPLPPSADDNLKTPSERQLTPLPPSAPPSADDNIKTPAERLRGPLPPSADDNLKTPSERQLTPLPPSAPPSADDNIKTPAERLRGPLPPSADDNLKTPSERQLTPLPPSAPPSADDNIKTPAERLRGPLPPSADDNLKTPSERQLTPLPPSAPPSADDNIKTPAERLRGPLPPSADDNLKTPPLATQEAEAEKPRKPKRQRAAEMEPPPEPKRRRVGDVEPSRKPKRRRAADVEPSSPKPKRRRVGDVEPSRKPKRRRAADVEPSSPEPKRRRVGDVEPSRKPKRRRAADVEPSSPEPKRRRLS
+>DECOY_sp|A6NJU9|NPB13_HUMAN Nuclear pore complex-interacting protein family member B13 OS=Homo sapiens OX=9606 GN=NPIPB13 PE=3 SV=4
+SLRRRKPEPSSPEVDAARRRKPKRSPEVDGVRRRKPEPSSPEVDAARRRKPKRSPEVDGVRRRKPKPSSPEVDAARRRKPKRSPEVDGVRRRKPEPPPEMEAARQRKPKRPKEAEAEQTALPPTKLNDDASPPLPGRLREAPTKINDDASPPASPPLPTLQRESPTKLNDDASPPLPGRLREAPTKINDDASPPASPPLPTLQRESPTKLNDDASPPLPGRLREAPTKINDDASPPASPPLPTLQRESPTKLNDDASPPLPGRLREAPTKINDDASPPASPPLPTLQRESPTKLNDDASPPLPGRLREAPTKINDDASPPASPPLPTLQRESPTKLNDDASPPLPRRLREAPTKINDDASPPASPPLPTLQRESPTKLNDDASPPLPGRLREGCVSPLHRSIIMQQPHLQPHFPLSSVSPLHRSIIMRQPHFRGGCVSPLHRSIIMQQPHLQPHFPLSSVSPLHRSIIMRQPHFRGGCVSPLHRSIIMQQPHLQPHFPLSSVSPLHRSIIMPQHHFRFGCVSLLYRSIIMQQSHLQPHFPLSSVSPLHRSIIMRQPHFAPTKINDDASPPASPPLPTLQRESPTKLNDDASPPLPGRLREAPTKINDDASPPASPPLPTLQRESPTKLNDDASPPLPGRLREAPTKINDDASPPASPPLPTLQRESPTKLNDDASPPLPGRLREAPTKINDDASPPASPPLPTLQRESPTKLNDDASPPLPGRLREAPTKINDDASPPASPPLPTLQRESPTKLNDDASPPLPHLLCEARTKLNDDASPPASPPASPPLPTLLCEPPTKLNDDASPPLPTLLCEPPTKLNDDASPPLPTLLCEPPTKLNDDASPPLPTLVCEPPTKLNDDASPPLPTLVCEPPTKLSLSNDTISHQQTPPPPQHGMRNKLTEATLYPWYPLGSSHRHEAAAMRVWNCLAKRASPPIKCKHYDEARRRLEQARQFMKQKRATKRKGNIKDHHNIKTTVKRKRIKARVKTEVHRRLTIVDKLGIKNGRFSSVRKKTHMCISRLGEQRRRNSRWARQQVDTSGDHGNRSWFITKLLSVWLYVIWLTIVVKYSTPFAIIVHLWPSGGFDTGRHHHDALTNIVKRLYECPCTVSKVHQQLEKTLQGQDHSLFQPTLVISLKVM
+>sp|P48145|NPBW1_HUMAN Neuropeptides B/W receptor type 1 OS=Homo sapiens OX=9606 GN=NPBWR1 PE=1 SV=2
+MDNASFSEPWPANASGPDPALSCSNASTLAPLPAPLAVAVPVVYAVICAVGLAGNSAVLYVLLRAPRMKTVTNLFILNLAIADELFTLVLPINIADFLLRQWPFGELMCKLIVAIDQYNTFSSLYFLTVMSADRYLVVLATAESRRVAGRTYSAARAVSLAVWGIVTLVVLPFAVFARLDDEQGRRQCVLVFPQPEAFWWRASRLYTLVLGFAIPVSTICVLYTTLLCRLHAMRLDSHAKALERAKKRVTFLVVAILAVCLLCWTPYHLSTVVALTTDLPQTPLVIAISYFITSLSYANSCLNPFLYAFLDASFRRNLRQLITCRAAA
+>DECOY_sp|P48145|NPBW1_HUMAN Neuropeptides B/W receptor type 1 OS=Homo sapiens OX=9606 GN=NPBWR1 PE=1 SV=2
+AAARCTILQRLNRRFSADLFAYLFPNLCSNAYSLSTIFYSIAIVLPTQPLDTTLAVVTSLHYPTWCLLCVALIAVVLFTVRKKARELAKAHSDLRMAHLRCLLTTYLVCITSVPIAFGLVLTYLRSARWWFAEPQPFVLVCQRRGQEDDLRAFVAFPLVVLTVIGWVALSVARAASYTRGAVRRSEATALVVLYRDASMVTLFYLSSFTNYQDIAVILKCMLEGFPWQRLLFDAINIPLVLTFLEDAIALNLIFLNTVTKMRPARLLVYLVASNGALGVACIVAYVVPVAVALPAPLPALTSANSCSLAPDPGSANAPWPESFSANDM
+>sp|P61916|NPC2_HUMAN NPC intracellular cholesterol transporter 2 OS=Homo sapiens OX=9606 GN=NPC2 PE=1 SV=1
+MRFLAATFLLLALSTAAQAEPVQFKDCGSVDGVIKEVNVSPCPTQPCQLSKGQSYSVNVTFTSNIQSKSSKAVVHGILMGVPVPFPIPEPDGCKSGINCPIQKDKTYSYLNKLPVKSEYPSIKLVVEWQLQDDKNQSLFCWEIPVQIVSHL
+>DECOY_sp|P61916|NPC2_HUMAN NPC intracellular cholesterol transporter 2 OS=Homo sapiens OX=9606 GN=NPC2 PE=1 SV=1
+LHSVIQVPIEWCFLSQNKDDQLQWEVVLKISPYESKVPLKNLYSYTKDKQIPCNIGSKCGDPEPIPFPVPVGMLIGHVVAKSSKSQINSTFTVNVSYSQGKSLQCPQTPCPSVNVEKIVGDVSGCDKFQVPEAQAATSLALLLFTAALFRM
+>sp|O15130|NPFF_HUMAN Pro-FMRFamide-related neuropeptide FF OS=Homo sapiens OX=9606 GN=NPFF PE=3 SV=1
+MDSRQAAALLVLLLLIDGGCAEGPGGQQEDQLSAEEDSEPLPPQDAQTSGSLLHYLLQAMERPGRSQAFLFQPQRFGRNTQGSWRNEWLSPRAGEGLNSQFWSLAAPQRFGKK
+>DECOY_sp|O15130|NPFF_HUMAN Pro-FMRFamide-related neuropeptide FF OS=Homo sapiens OX=9606 GN=NPFF PE=3 SV=1
+KKGFRQPAALSWFQSNLGEGARPSLWENRWSGQTNRGFRQPQFLFAQSRGPREMAQLLYHLLSGSTQADQPPLPESDEEASLQDEQQGGPGEACGGDILLLLVLLAAAQRSDM
+>sp|A8MRT5|NPIB5_HUMAN Nuclear pore complex-interacting protein family member B5 OS=Homo sapiens OX=9606 GN=NPIPB5 PE=2 SV=3
+MVKLSIVLTPQFLSHDQGQLTKELQQHVKSVTCPCEYLRKVINTLADHHHRGTDFGGSPWLHVIIAFPTSYKVVITLWIVYLWVSLLKTIFWSRNGHDGSTDVQQRAWRSNRRRQEGLRSICMHTKKRVSSFRGNKIGLKDVITLRRHVETKVRAKIRKRKVTTKINHHDKINGKRKTARKQKMFQRAQELRRRAEDYHKCKIPPSARKALCNWVRMAAAEHRHSSGLPYWPYLTAETLKNRMGHQPPPPTQQHSITDNSLSLKTPPECLLTPLPPSADDNLKTPPECVLTPLPPSADDNLKTPPECVLTPLPPSADDNLKTPPECLLTPLPPSADDNLKTPPECLLTPLPPSALPSAPPSADDNLKTRAECLLHPLPPSADDNLKTPSERQLTPLPPSAPPSADDNIKTPAERLRGPLPPSADDNLKTPSERQLTPLPPSAPPSADDNIKTPAERLRGPLPPSADDNLKTPSERQLTPLPPSAPPSADDNIKTPAERLRGPLPPSADDNLKTPSERQLTALPPSADDNIKTPAERLRGPLPPSADDNLKTPSERQLTPLPPSAPPSADDNIKTPAFHPQRMISRHLPSVSSLPFHPQLHPQQMIISRYLLSVCGFRFHHQPMIISRHLPSVSSLPFHPQLHPQQMIISRHLPSVCGGRFHPERMIISRHLPSVSSLPFHPQLHPQQMIISRHLPSVCGGRFHPQQMIISRHLPSVSSLPFHPQLHPQQMIISRHLPSVCGGRFHPQRMIISRHLPSVSSLPFHPQLHPQQMIISRHLPSVCGGRFHPQQMIISRHLPSVSSLPFHPQLHPQQMIISRHLPSVCGGRFHPQRMIISRHLPSVSSLPFHPQLHPQQMIISRHLPSVCGERLRGPLPPSADDNLKTPSERQLTPLPPSAPPSADDNIKTPAERLRGPLPPSADDNLKTPSERQLTPLPPSAPPSADDNIKTPAERLRGPLPPSADDNLKTPSERQLTPLPPSAPPSADDNIKTPAERLRGPLPPSADDNLKTPPLATQEAEAEKPRKPKRQRAAEMEPPPEPKRRRVGDVEPSRKPKRRRAADVEPSSPEPKRRRVGDVEPSRKPKRRRAADVEPSSPEPKRRRVGDVEPSRKPKRRRAADVEPSLPEPKRRRLS
+>DECOY_sp|A8MRT5|NPIB5_HUMAN Nuclear pore complex-interacting protein family member B5 OS=Homo sapiens OX=9606 GN=NPIPB5 PE=2 SV=3
+SLRRRKPEPLSPEVDAARRRKPKRSPEVDGVRRRKPEPSSPEVDAARRRKPKRSPEVDGVRRRKPEPSSPEVDAARRRKPKRSPEVDGVRRRKPEPPPEMEAARQRKPKRPKEAEAEQTALPPTKLNDDASPPLPGRLREAPTKINDDASPPASPPLPTLQRESPTKLNDDASPPLPGRLREAPTKINDDASPPASPPLPTLQRESPTKLNDDASPPLPGRLREAPTKINDDASPPASPPLPTLQRESPTKLNDDASPPLPGRLREGCVSPLHRSIIMQQPHLQPHFPLSSVSPLHRSIIMRQPHFRGGCVSPLHRSIIMQQPHLQPHFPLSSVSPLHRSIIMQQPHFRGGCVSPLHRSIIMQQPHLQPHFPLSSVSPLHRSIIMRQPHFRGGCVSPLHRSIIMQQPHLQPHFPLSSVSPLHRSIIMQQPHFRGGCVSPLHRSIIMQQPHLQPHFPLSSVSPLHRSIIMREPHFRGGCVSPLHRSIIMQQPHLQPHFPLSSVSPLHRSIIMPQHHFRFGCVSLLYRSIIMQQPHLQPHFPLSSVSPLHRSIMRQPHFAPTKINDDASPPASPPLPTLQRESPTKLNDDASPPLPGRLREAPTKINDDASPPLATLQRESPTKLNDDASPPLPGRLREAPTKINDDASPPASPPLPTLQRESPTKLNDDASPPLPGRLREAPTKINDDASPPASPPLPTLQRESPTKLNDDASPPLPGRLREAPTKINDDASPPASPPLPTLQRESPTKLNDDASPPLPHLLCEARTKLNDDASPPASPLASPPLPTLLCEPPTKLNDDASPPLPTLLCEPPTKLNDDASPPLPTLVCEPPTKLNDDASPPLPTLVCEPPTKLNDDASPPLPTLLCEPPTKLSLSNDTISHQQTPPPPQHGMRNKLTEATLYPWYPLGSSHRHEAAAMRVWNCLAKRASPPIKCKHYDEARRRLEQARQFMKQKRATKRKGNIKDHHNIKTTVKRKRIKARVKTEVHRRLTIVDKLGIKNGRFSSVRKKTHMCISRLGEQRRRNSRWARQQVDTSGDHGNRSWFITKLLSVWLYVIWLTIVVKYSTPFAIIVHLWPSGGFDTGRHHHDALTNIVKRLYECPCTVSKVHQQLEKTLQGQDHSLFQPTLVISLKVM
+>sp|Q8TAT6|NPL4_HUMAN Nuclear protein localization protein 4 homolog OS=Homo sapiens OX=9606 GN=NPLOC4 PE=1 SV=3
+MAESIIIRVQSPDGVKRITATKRETAATFLKKVAKEFGFQNNGFSVYINRNKTGEITASSNKSLNLLKIKHGDLLFLFPSSLAGPSSEMETSVPPGFKVFGAPNVVEDEIDQYLSKQDGKIYRSRDPQLCRHGPLGKCVHCVPLEPFDEDYLNHLEPPVKHMSFHAYIRKLTGGADKGKFVALENISCKIKSGCEGHLPWPNGICTKCQPSAITLNRQKYRHVDNIMFENHTVADRFLDFWRKTGNQHFGYLYGRYTEHKDIPLGIRAEVAAIYEPPQIGTQNSLELLEDPKAEVVDEIAAKLGLRKVGWIFTDLVSEDTRKGTVRYSRNKDTYFLSSEECITAGDFQNKHPNMCRLSPDGHFGSKFVTAVATGGPDNQVHFEGYQVSNQCMALVRDECLLPCKDAPELGYAKESSSEQYVPDVFYKDVDKFGNEITQLARPLPVEYLIIDITTTFPKDPVYTFSISQNPFPIENRDVLGETQDFHSLATYLSQNTSSVFLDTISDFHLLLFLVTNEVMPLQDSISLLLEAVRTRNEELAQTWKRSEQWATIEQLCSTVGGQLPGLHEYGAVGGSTHTATAAMWACQHCTFMNQPGTGHCEMCSLPRT
+>DECOY_sp|Q8TAT6|NPL4_HUMAN Nuclear protein localization protein 4 homolog OS=Homo sapiens OX=9606 GN=NPLOC4 PE=1 SV=3
+TRPLSCMECHGTGPQNMFTCHQCAWMAATATHTSGGVAGYEHLGPLQGGVTSCLQEITAWQESRKWTQALEENRTRVAELLLSISDQLPMVENTVLFLLLHFDSITDLFVSSTNQSLYTALSHFDQTEGLVDRNEIPFPNQSISFTYVPDKPFTTTIDIILYEVPLPRALQTIENGFKDVDKYFVDPVYQESSSEKAYGLEPADKCPLLCEDRVLAMCQNSVQYGEFHVQNDPGGTAVATVFKSGFHGDPSLRCMNPHKNQFDGATICEESSLFYTDKNRSYRVTGKRTDESVLDTFIWGVKRLGLKAAIEDVVEAKPDELLELSNQTGIQPPEYIAAVEARIGLPIDKHETYRGYLYGFHQNGTKRWFDLFRDAVTHNEFMINDVHRYKQRNLTIASPQCKTCIGNPWPLHGECGSKIKCSINELAVFKGKDAGGTLKRIYAHFSMHKVPPELHNLYDEDFPELPVCHVCKGLPGHRCLQPDRSRYIKGDQKSLYQDIEDEVVNPAGFVKFGPPVSTEMESSPGALSSPFLFLLDGHKIKLLNLSKNSSATIEGTKNRNIYVSFGNNQFGFEKAVKKLFTAATERKTATIRKVGDPSQVRIIISEAM
+>sp|Q9BS92|NPS3B_HUMAN Protein NipSnap homolog 3B OS=Homo sapiens OX=9606 GN=NIPSNAP3B PE=2 SV=1
+MLVLRSGLTKALASRTLAPQVCSSFATGPRQYDGTFYEFRTYYLKPSNMNAFMENLKKNIHLRTSYSELVGFWSVEFGGRTNKVFHIWKYDNFAHRAEVRKALANCKEWQEQSIIPNLARIDKQETEITYLIPWSKLEKPPKEGVYELAVFQMKPGGPALWGDAFERAINAHVNLGYTKVVGVFHTEYGELNRVHVLWWNESADSRAAGRHKSHEDPRVVAAVRESVNYLVSQQNMLLIPASFSPLK
+>DECOY_sp|Q9BS92|NPS3B_HUMAN Protein NipSnap homolog 3B OS=Homo sapiens OX=9606 GN=NIPSNAP3B PE=2 SV=1
+KLPSFSAPILLMNQQSVLYNVSERVAAVVRPDEHSKHRGAARSDASENWWLVHVRNLEGYETHFVGVVKTYGLNVHANIAREFADGWLAPGGPKMQFVALEYVGEKPPKELKSWPILYTIETEQKDIRALNPIISQEQWEKCNALAKRVEARHAFNDYKWIHFVKNTRGGFEVSWFGVLESYSTRLHINKKLNEMFANMNSPKLYYTRFEYFTGDYQRPGTAFSSCVQPALTRSALAKTLGSRLVLM
+>sp|Q6W5P4|NPSR1_HUMAN Neuropeptide S receptor OS=Homo sapiens OX=9606 GN=NPSR1 PE=1 SV=1
+MPANFTEGSFDSSGTGQTLDSSPVACTETVTFTEVVEGKEWGSFYYSFKTEQLITLWVLFVFTIVGNSVVLFSTWRRKKKSRMTFFVTQLAITDSFTGLVNILTDINWRFTGDFTAPDLVCRVVRYLQVVLLYASTYVLVSLSIDRYHAIVYPMKFLQGEKQARVLIVIAWSLSFLFSIPTLIIFGKRTLSNGEVQCWALWPDDSYWTPYMTIVAFLVYFIPLTIISIMYGIVIRTIWIKSKTYETVISNCSDGKLCSSYNRGLISKAKIKAIKYSIIIILAFICCWSPYFLFDILDNFNLLPDTQERFYASVIIQNLPALNSAINPLIYCVFSSSISFPCREQRSQDSRMTFRERTERHEMQILSKPEFI
+>DECOY_sp|Q6W5P4|NPSR1_HUMAN Neuropeptide S receptor OS=Homo sapiens OX=9606 GN=NPSR1 PE=1 SV=1
+IFEPKSLIQMEHRETRERFTMRSDQSRQERCPFSISSSFVCYILPNIASNLAPLNQIIVSAYFREQTDPLLNFNDLIDFLFYPSWCCIFALIIIISYKIAKIKAKSILGRNYSSCLKGDSCNSIVTEYTKSKIWITRIVIGYMISIITLPIFYVLFAVITMYPTWYSDDPWLAWCQVEGNSLTRKGFIILTPISFLFSLSWAIVILVRAQKEGQLFKMPYVIAHYRDISLSVLVYTSAYLLVVQLYRVVRCVLDPATFDGTFRWNIDTLINVLGTFSDTIALQTVFFTMRSKKKRRWTSFLVVSNGVITFVFLVWLTILQETKFSYYFSGWEKGEVVETFTVTETCAVPSSDLTQGTGSSDFSGETFNAPM
+>sp|Q14916|NPT1_HUMAN Sodium-dependent phosphate transport protein 1 OS=Homo sapiens OX=9606 GN=SLC17A1 PE=1 SV=2
+MQMDNRLPPKKVPGFCSFRYGLSFLVHCCNVIITAQRACLNLTMVVMVNSTDPHGLPNTSTKKLLDNIKNPMYNWSPDIQGIILSSTSYGVIIIQVPVGYFSGIYSTKKMIGFALCLSSVLSLLIPPAAGIGVAWVVVCRAVQGAAQGIVATAQFEIYVKWAPPLERGRLTSMSTSGFLLGPFIVLLVTGVICESLGWPMVFYIFGACGCAVCLLWFVLFYDDPKDHPCISISEKEYITSSLVQQVSSSRQSLPIKAILKSLPVWAISTGSFTFFWSHNIMTLYTPMFINSMLHVNIKENGFLSSLPYLFAWICGNLAGQLSDFFLTRNILSVIAVRKLFTAAGFLLPAIFGVCLPYLSSTFYSIVIFLILAGATGSFCLGGVFINGLDIAPRYFGFIKACSTLTGMIGGLIASTLTGLILKQDPESAWFKTFILMAAINVTGLIFYLIVATAEIQDWAKEKQHTRL
+>DECOY_sp|Q14916|NPT1_HUMAN Sodium-dependent phosphate transport protein 1 OS=Homo sapiens OX=9606 GN=SLC17A1 PE=1 SV=2
+LRTHQKEKAWDQIEATAVILYFILGTVNIAAMLIFTKFWASEPDQKLILGTLTSAILGGIMGTLTSCAKIFGFYRPAIDLGNIFVGGLCFSGTAGALILFIVISYFTSSLYPLCVGFIAPLLFGAATFLKRVAIVSLINRTLFFDSLQGALNGCIWAFLYPLSSLFGNEKINVHLMSNIFMPTYLTMINHSWFFTFSGTSIAWVPLSKLIAKIPLSQRSSSVQQVLSSTIYEKESISICPHDKPDDYFLVFWLLCVACGCAGFIYFVMPWGLSECIVGTVLLVIFPGLLFGSTSMSTLRGRELPPAWKVYIEFQATAVIGQAAGQVARCVVVWAVGIGAAPPILLSLVSSLCLAFGIMKKTSYIGSFYGVPVQIIIVGYSTSSLIIGQIDPSWNYMPNKINDLLKKTSTNPLGHPDTSNVMVVMTLNLCARQATIIVNCCHVLFSLGYRFSCFGPVKKPPLRNDMQM
+>sp|Q06495|NPT2A_HUMAN Sodium-dependent phosphate transport protein 2A OS=Homo sapiens OX=9606 GN=SLC34A1 PE=1 SV=1
+MLSYGERLGSPAVSPLPVRGGHVMRGTAFAYVPSPQVLHRIPGTSAYAFPSLGPVALAEHTCPCGEVLERHEPLPAKLALEEEQKPESRLVPKLRQAGAMLLKVPLMLTFLYLFVCSLDMLSSAFQLAGGKVAGDIFKDNAILSNPVAGLVVGILVTVLVQSSSTSTSIIVSMVSSGLLEVSSAIPIIMGSNIGTSVTNTIVALMQAGDRTDFRRAFAGATVHDCFNWLSVLVLLPLEAATGYLHHITRLVVASFNIHGGRDAPDLLKIITEPFTKLIIQLDESVITSIATGDESLRNHSLIQIWCHPDSLQAPTSMSRAEANSSQTLGNATMEKCNHIFVDTGLPDLAVGLILLAGSLVLLCTCLILLVKMLNSLLKGQVAKVIQKVINTDFPAPFTWVTGYFAMVVGASMTFVVQSSSVFTSAITPLIGLGVISIERAYPLTLGSNIGTTTTAILAALASPREKLSSAFQIALCHFFFNISGILLWYPVPCTRLPIRMAKALGKRTAKYRWFAVLYLLVCFLLLPSLVFGISMAGWQVMVGVGTPFGALLAFVVLINVLQSRSPGHLPKWLQTWDFLPRWMHSLKPLDHLITRATLCCARPEPRSPPLPPRVFLEELPPATPSPRLALPAHHNATRL
+>DECOY_sp|Q06495|NPT2A_HUMAN Sodium-dependent phosphate transport protein 2A OS=Homo sapiens OX=9606 GN=SLC34A1 PE=1 SV=1
+LRTANHHAPLALRPSPTAPPLEELFVRPPLPPSRPEPRACCLTARTILHDLPKLSHMWRPLFDWTQLWKPLHGPSRSQLVNILVVFALLAGFPTGVGVMVQWGAMSIGFVLSPLLLFCVLLYLVAFWRYKATRKGLAKAMRIPLRTCPVPYWLLIGSINFFFHCLAIQFASSLKERPSALAALIATTTTGINSGLTLPYAREISIVGLGILPTIASTFVSSSQVVFTMSAGVVMAFYGTVWTFPAPFDTNIVKQIVKAVQGKLLSNLMKVLLILCTCLLVLSGALLILGVALDPLGTDVFIHNCKEMTANGLTQSSNAEARSMSTPAQLSDPHCWIQILSHNRLSEDGTAISTIVSEDLQIILKTFPETIIKLLDPADRGGHINFSAVVLRTIHHLYGTAAELPLLVLVSLWNFCDHVTAGAFARRFDTRDGAQMLAVITNTVSTGINSGMIIPIASSVELLGSSVMSVIISTSTSSSQVLVTVLIGVVLGAVPNSLIANDKFIDGAVKGGALQFASSLMDLSCVFLYLFTLMLPVKLLMAGAQRLKPVLRSEPKQEEELALKAPLPEHRELVEGCPCTHEALAVPGLSPFAYASTGPIRHLVQPSPVYAFATGRMVHGGRVPLPSVAPSGLREGYSLM
+>sp|Q9HCQ7|NPVF_HUMAN Pro-FMRFamide-related neuropeptide VF OS=Homo sapiens OX=9606 GN=NPVF PE=1 SV=2
+MEIISSKLFILLTLATSSLLTSNIFCADELVISNLHSKENYDKYSEPRGYPKGERSLNFEELKDWGPKNVIKMSTPAVNKMPHSFANLPLRFGRNVQEERSAGATANLPLRSGRNMEVSLVRRVPNLPQRFGRTTTAKSVCRMLSDLCQGSMHSPCANDLFYSMTCQHQEIQNPDQKQSRRLLFKKIDDAELKQEK
+>DECOY_sp|Q9HCQ7|NPVF_HUMAN Pro-FMRFamide-related neuropeptide VF OS=Homo sapiens OX=9606 GN=NPVF PE=1 SV=2
+KEQKLEADDIKKFLLRRSQKQDPNQIEQHQCTMSYFLDNACPSHMSGQCLDSLMRCVSKATTTRGFRQPLNPVRRVLSVEMNRGSRLPLNATAGASREEQVNRGFRLPLNAFSHPMKNVAPTSMKIVNKPGWDKLEEFNLSREGKPYGRPESYKDYNEKSHLNSIVLEDACFINSTLLSSTALTLLIFLKSSIIEM
+>sp|Q8N729|NPW_HUMAN Neuropeptide W OS=Homo sapiens OX=9606 GN=NPW PE=1 SV=2
+MAWRPGERGAPASRPRLALLLLLLLLPLPSGAWYKHVASPRYHTVGRAAGLLMGLRRSPYLWRRALRAAAGPLARDTLSPEPAAREAPLLLPSWVQELWETRRRSSQAGIPVRAPRSPRAPEPALEPESLDFSGAGQRLRRDVSRPAVDPAANRLGLPCLAPGPF
+>DECOY_sp|Q8N729|NPW_HUMAN Neuropeptide W OS=Homo sapiens OX=9606 GN=NPW PE=1 SV=2
+FPGPALCPLGLRNAAPDVAPRSVDRRLRQGAGSFDLSEPELAPEPARPSRPARVPIGAQSSRRRTEWLEQVWSPLLLPAERAAPEPSLTDRALPGAAARLARRWLYPSRRLGMLLGAARGVTHYRPSAVHKYWAGSPLPLLLLLLLLALRPRSAPAGREGPRWAM
+>sp|P01303|NPY_HUMAN Pro-neuropeptide Y OS=Homo sapiens OX=9606 GN=NPY PE=1 SV=1
+MLGNKRLGLSGLTLALSLLVCLGALAEAYPSKPDNPGEDAPAEDMARYYSALRHYINLITRQRYGKRSSPETLISDLLMRESTENVPRTRLEDPAMW
+>DECOY_sp|P01303|NPY_HUMAN Pro-neuropeptide Y OS=Homo sapiens OX=9606 GN=NPY PE=1 SV=1
+WMAPDELRTRPVNETSERMLLDSILTEPSSRKGYRQRTILNIYHRLASYYRAMDEAPADEGPNDPKSPYAEALAGLCVLLSLALTLGSLGLRKNGLM
+>sp|Q15466|NR0B2_HUMAN Nuclear receptor subfamily 0 group B member 2 OS=Homo sapiens OX=9606 GN=NR0B2 PE=1 SV=2
+MSTSQPGACPCQGAASRPAILYALLSSSLKAVPRPRSRCLCRQHRPVQLCAPHRTCREALDVLAKTVAFLRNLPSFWQLPPQDQRRLLQGCWGPLFLLGLAQDAVTFEVAEAPVPSILKKILLEEPSSSGGSGQLPDRPQPSLAAVQWLQCCLESFWSLELSPKEYACLKGTILFNPDVPGLQAASHIGHLQQEAHWVLCEVLEPWCPAAQGRLTRVLLTASTLKSIPTSLLGDLFFRPIIGDVDIAGLLGDMLLLR
+>DECOY_sp|Q15466|NR0B2_HUMAN Nuclear receptor subfamily 0 group B member 2 OS=Homo sapiens OX=9606 GN=NR0B2 PE=1 SV=2
+RLLLMDGLLGAIDVDGIIPRFFLDGLLSTPISKLTSATLLVRTLRGQAAPCWPELVECLVWHAEQQLHGIHSAAQLGPVDPNFLITGKLCAYEKPSLELSWFSELCCQLWQVAALSPQPRDPLQGSGGSSSPEELLIKKLISPVPAEAVEFTVADQALGLLFLPGWCGQLLRRQDQPPLQWFSPLNRLFAVTKALVDLAERCTRHPACLQVPRHQRCLCRSRPRPVAKLSSSLLAYLIAPRSAAGQCPCAGPQSTSM
+>sp|Q14994|NR1I3_HUMAN Nuclear receptor subfamily 1 group I member 3 OS=Homo sapiens OX=9606 GN=NR1I3 PE=1 SV=2
+MASREDELRNCVVCGDQATGYHFNALTCEGCKGFFRRTVSKSIGPTCPFAGSCEVSKTQRRHCPACRLQKCLDAGMRKDMILSAEALALRRAKQAQRRAQQTPVQLSKEQEELIRTLLGAHTRHMGTMFEQFVQFRPPAHLFIHHQPLPTLAPVLPLVTHFADINTFMVLQVIKFTKDLPVFRSLPIEDQISLLKGAAVEICHIVLNTTFCLQTQNFLCGPLRYTIEDGARVSPTVGFQVEFLELLFHFHGTLRKLQLQEPEYVLLAAMALFSPDRPGVTQRDEIDQLQEEMALTLQSYIKGQQRRPRDRFLYAKLLGLLAELRSINEAYGYQIQHIQGLSAMMPLLQEICS
+>DECOY_sp|Q14994|NR1I3_HUMAN Nuclear receptor subfamily 1 group I member 3 OS=Homo sapiens OX=9606 GN=NR1I3 PE=1 SV=2
+SCIEQLLPMMASLGQIHQIQYGYAENISRLEALLGLLKAYLFRDRPRRQQGKIYSQLTLAMEEQLQDIEDRQTVGPRDPSFLAMAALLVYEPEQLQLKRLTGHFHFLLELFEVQFGVTPSVRAGDEITYRLPGCLFNQTQLCFTTNLVIHCIEVAAGKLLSIQDEIPLSRFVPLDKTFKIVQLVMFTNIDAFHTVLPLVPALTPLPQHHIFLHAPPRFQVFQEFMTGMHRTHAGLLTRILEEQEKSLQVPTQQARRQAQKARRLALAEASLIMDKRMGADLCKQLRCAPCHRRQTKSVECSGAFPCTPGISKSVTRRFFGKCGECTLANFHYGTAQDGCVVCNRLEDERSAM
+>sp|Q86WQ0|NR2CA_HUMAN Nuclear receptor 2C2-associated protein OS=Homo sapiens OX=9606 GN=NR2C2AP PE=1 SV=1
+MTHSLVCPETVSRVSSVLNRNTRQFGKKHLFDQDEETCWNSDQGPSQWVTLEFPQLIRVSQLQIQFQGGFSSRRGCLEGSQGTQALHKIVDFYPEDNNSLQTFPIPAAEVDRLKVTFEDATDFFGRVVIYHLRVLGEKV
+>DECOY_sp|Q86WQ0|NR2CA_HUMAN Nuclear receptor 2C2-associated protein OS=Homo sapiens OX=9606 GN=NR2C2AP PE=1 SV=1
+VKEGLVRLHYIVVRGFFDTADEFTVKLRDVEAAPIPFTQLSNNDEPYFDVIKHLAQTGQSGELCGRRSSFGGQFQIQLQSVRILQPFELTVWQSPGQDSNWCTEEDQDFLHKKGFQRTNRNLVSSVRSVTEPCVLSHTM
+>sp|P10588|NR2F6_HUMAN Nuclear receptor subfamily 2 group F member 6 OS=Homo sapiens OX=9606 GN=NR2F6 PE=1 SV=2
+MAMVTGGWGGPGGDTNGVDKAGGYPRAAEDDSASPPGAASDAEPGDEERPGLQVDCVVCGDKSSGKHYGVFTCEGCKSFFKRSIRRNLSYTCRSNRDCQIDQHHRNQCQYCRLKKCFRVGMRKEAVQRGRIPHSLPGAVAASSGSPPGSALAAVASGGDLFPGQPVSELIAQLLRAEPYPAAAGRFGAGGGAAGAVLGIDNVCELAARLLFSTVEWARHAPFFPELPVADQVALLRLSWSELFVLNAAQAALPLHTAPLLAAAGLHAAPMAAERAVAFMDQVRAFQEQVDKLGRLQVDSAEYGCLKAIALFTPDACGLSDPAHVESLQEKAQVALTEYVRAQYPSQPQRFGRLLLRLPALRAVPASLISQLFFMRLVGKTPIETLIRDMLLSGSTFNWPYGSGQ
+>DECOY_sp|P10588|NR2F6_HUMAN Nuclear receptor subfamily 2 group F member 6 OS=Homo sapiens OX=9606 GN=NR2F6 PE=1 SV=2
+QGSGYPWNFTSGSLLMDRILTEIPTKGVLRMFFLQSILSAPVARLAPLRLLLRGFRQPQSPYQARVYETLAVQAKEQLSEVHAPDSLGCADPTFLAIAKLCGYEASDVQLRGLKDVQEQFARVQDMFAVAREAAMPAAHLGAAALLPATHLPLAAQAANLVFLESWSLRLLAVQDAVPLEPFFPAHRAWEVTSFLLRAALECVNDIGLVAGAAGGGAGFRGAAAPYPEARLLQAILESVPQGPFLDGGSAVAALASGPPSGSSAAVAGPLSHPIRGRQVAEKRMGVRFCKKLRCYQCQNRHHQDIQCDRNSRCTYSLNRRISRKFFSKCGECTFVGYHKGSSKDGCVVCDVQLGPREEDGPEADSAAGPPSASDDEAARPYGGAKDVGNTDGGPGGWGGTVMAM
+>sp|O00482|NR5A2_HUMAN Nuclear receptor subfamily 5 group A member 2 OS=Homo sapiens OX=9606 GN=NR5A2 PE=1 SV=2
+MSSNSDTGDLQESLKHGLTPIGAGLPDRHGSPIPARGRLVMLPKVETEALGLARSHGEQGQMPENMQVSQFKMVNYSYDEDLEELCPVCGDKVSGYHYGLLTCESCKGFFKRTVQNNKRYTCIENQNCQIDKTQRKRCPYCRFQKCLSVGMKLEAVRADRMRGGRNKFGPMYKRDRALKQQKKALIRANGLKLEAMSQVIQAMPSDLTISSAIQNIHSASKGLPLNHAALPPTDYDRSPFVTSPISMTMPPHGSLQGYQTYGHFPSRAIKSEYPDPYTSSPESIMGYSYMDSYQTSSPASIPHLILELLKCEPDEPQVQAKIMAYLQQEQANRSKHEKLSTFGLMCKMADQTLFSIVEWARSSIFFRELKVDDQMKLLQNCWSELLILDHIYRQVVHGKEGSIFLVTGQQVDYSIIASQAGATLNNLMSHAQELVAKLRSLQFDQREFVCLKFLVLFSLDVKNLENFQLVEGVQEQVNAALLDYTMCNYPQQTEKFGQLLLRLPEIRAISMQAEEYLYYKHLNGDVPYNNLLIEMLHAKRA
+>DECOY_sp|O00482|NR5A2_HUMAN Nuclear receptor subfamily 5 group A member 2 OS=Homo sapiens OX=9606 GN=NR5A2 PE=1 SV=2
+ARKAHLMEILLNNYPVDGNLHKYYLYEEAQMSIARIEPLRLLLQGFKETQQPYNCMTYDLLAANVQEQVGEVLQFNELNKVDLSFLVLFKLCVFERQDFQLSRLKAVLEQAHSMLNNLTAGAQSAIISYDVQQGTVLFISGEKGHVVQRYIHDLILLESWCNQLLKMQDDVKLERFFISSRAWEVISFLTQDAMKCMLGFTSLKEHKSRNAQEQQLYAMIKAQVQPEDPECKLLELILHPISAPSSTQYSDMYSYGMISEPSSTYPDPYESKIARSPFHGYTQYGQLSGHPPMTMSIPSTVFPSRDYDTPPLAAHNLPLGKSASHINQIASSITLDSPMAQIVQSMAELKLGNARILAKKQQKLARDRKYMPGFKNRGGRMRDARVAELKMGVSLCKQFRCYPCRKRQTKDIQCNQNEICTYRKNNQVTRKFFGKCSECTLLGYHYGSVKDGCVPCLEELDEDYSYNVMKFQSVQMNEPMQGQEGHSRALGLAETEVKPLMVLRGRAPIPSGHRDPLGAGIPTLGHKLSEQLDGTDSNSSM
+>sp|Q16612|NREP_HUMAN Neuronal regeneration-related protein OS=Homo sapiens OX=9606 GN=NREP PE=1 SV=1
+MVYYPELFVWVSQEPFPNKDMEGRLPKGRLPVPKEVNRKKNDETNAASLTPLGSSELRSPRISYLHFF
+>DECOY_sp|Q16612|NREP_HUMAN Neuronal regeneration-related protein OS=Homo sapiens OX=9606 GN=NREP PE=1 SV=1
+FFHLYSIRPSRLESSGLPTLSAANTEDNKKRNVEKPVPLRGKPLRGEMDKNPFPEQSVWVFLEPYYVM
+>sp|Q16656|NRF1_HUMAN Nuclear respiratory factor 1 OS=Homo sapiens OX=9606 GN=NRF1 PE=1 SV=1
+MEEHGVTQTEHMATIEAHAVAQQVQQVHVATYTEHSMLSADEDSPSSPEDTSYDDSDILNSTAADEVTAHLAAAGPVGMAAAAAVATGKKRKRPHVFESNPSIRKRQQTRLLRKLRATLDEYTTRVGQQAIVLCISPSKPNPVFKVFGAAPLENVVRKYKSMILEDLESALAEHAPAPQEVNSELPPLTIDGIPVSVDKMTQAQLRAFIPEMLKYSTGRGKPGWGKESCKPIWWPEDIPWANVRSDVRTEEQKQRVSWTQALRTIVKNCYKQHGREDLLYAFEDQQTQTQATATHSIAHLVPSQTVVQTFSNPDGTVSLIQVGTGATVATLADASELPTTVTVAQVNYSAVADGEVEQNWATLQGGEMTIQTTQASEATQAVASLAEAAVAASQEMQQGATVTMALNSEAAAHAVATLAEATLQGGGQIVLSGETAAAVGALTGVQDANGLVQIPVSMYQTVVTSLAQGNGPVQVAMAPVTTRISDSAVTMDGQAVEVVTLEQ
+>DECOY_sp|Q16656|NRF1_HUMAN Nuclear respiratory factor 1 OS=Homo sapiens OX=9606 GN=NRF1 PE=1 SV=1
+QELTVVEVAQGDMTVASDSIRTTVPAMAVQVPGNGQALSTVVTQYMSVPIQVLGNADQVGTLAGVAAATEGSLVIQGGGQLTAEALTAVAHAAAESNLAMTVTAGQQMEQSAAVAAEALSAVAQTAESAQTTQITMEGGQLTAWNQEVEGDAVASYNVQAVTVTTPLESADALTAVTAGTGVQILSVTGDPNSFTQVVTQSPVLHAISHTATAQTQTQQDEFAYLLDERGHQKYCNKVITRLAQTWSVRQKQEETRVDSRVNAWPIDEPWWIPKCSEKGWGPKGRGTSYKLMEPIFARLQAQTMKDVSVPIGDITLPPLESNVEQPAPAHEALASELDELIMSKYKRVVNELPAAGFVKFVPNPKSPSICLVIAQQGVRTTYEDLTARLKRLLRTQQRKRISPNSEFVHPRKRKKGTAVAAAAAMGVPGAAALHATVEDAATSNLIDSDDYSTDEPSSPSDEDASLMSHETYTAVHVQQVQQAVAHAEITAMHETQTVGHEEM
+>sp|Q8WWG1|NRG4_HUMAN Pro-neuregulin-4, membrane-bound isoform OS=Homo sapiens OX=9606 GN=NRG4 PE=1 SV=1
+MPTDHEEPCGPSHKSFCLNGGLCYVIPTIPSPFCRCVENYTGARCEEVFLPGSSIQTKSNLFEAFVALAVLVTLIIGAFYFLCRKGHFQRASSVQYDINLVETSSTSAHHSHEQH
+>DECOY_sp|Q8WWG1|NRG4_HUMAN Pro-neuregulin-4, membrane-bound isoform OS=Homo sapiens OX=9606 GN=NRG4 PE=1 SV=1
+HQEHSHHASTSSTEVLNIDYQVSSARQFHGKRCLFYFAGIILTVLVALAVFAEFLNSKTQISSGPLFVEECRAGTYNEVCRCFPSPITPIVYCLGGNLCFSKHSPGCPEEHDTPM
+>sp|Q96IY1|NSL1_HUMAN Kinetochore-associated protein NSL1 homolog OS=Homo sapiens OX=9606 GN=NSL1 PE=1 SV=3
+MAGSPELVVLDPPWDKELAAGTESQALVSATPREDFRVRCTSKRAVTEMLQLCGRFVQKLGDALPEEIREPALRDAQWTFESAVQENISINGQAWQEASDNCFMDSDIKVLEDQFDEIIVDIATKRKQYPRKILECVIKTIKAKQEILKQYHPVVHPLDLKYDPDPAPHMENLKCRGETVAKEISEAMKSLPALIEQGEGFSQVLRMQPVIHLQRIHQEVFSSCHRKPDAKPENFITQIETTPTETASRKTSDMVLKRKQTKDCPQRKWYPLRPKKINLDT
+>DECOY_sp|Q96IY1|NSL1_HUMAN Kinetochore-associated protein NSL1 homolog OS=Homo sapiens OX=9606 GN=NSL1 PE=1 SV=3
+TDLNIKKPRLPYWKRQPCDKTQKRKLVMDSTKRSATETPTTEIQTIFNEPKADPKRHCSSFVEQHIRQLHIVPQMRLVQSFGEGQEILAPLSKMAESIEKAVTEGRCKLNEMHPAPDPDYKLDLPHVVPHYQKLIEQKAKITKIVCELIKRPYQKRKTAIDVIIEDFQDELVKIDSDMFCNDSAEQWAQGNISINEQVASEFTWQADRLAPERIEEPLADGLKQVFRGCLQLMETVARKSTCRVRFDERPTASVLAQSETGAALEKDWPPDLVVLEPSGAM
+>sp|Q9NXE4|NSMA3_HUMAN Sphingomyelin phosphodiesterase 4 OS=Homo sapiens OX=9606 GN=SMPD4 PE=1 SV=3
+MTTFGAVAEWRLPSLRRATLWIPQWFAKKAIFNSPLEAAMAFPHLQQPSFLLASLKADSINKPFAQQCQDLVKVIEDFPAKELHTIFPWLVESIFGSLDGVLVGWNLRCLQGRVNPVEYSIVMEFLDPGGPMMKLVYKLQAEDYKFDFPVSYLPGPVKASIQECILPDSPLYHNKVQFTPTGGLGLNLALNPFEYYIFFFALSLITQKPLPVSLHVRTSDCAYFILVDRYLSWFLPTEGSVPPPLSSSPGGTSPSPPPRTPAIPFASYGLHHTSLLKRHISHQTSVNADPASHEIWRSETLLQVFVEMWLHHYSLEMYQKMQSPHAKLEVLHYRLSVSSALYSPAQPSLQALHAYQESFTPTEEHVLVVRLLLKHLHAFANSLKPEQASPSAHSHATSPLEEFKRAAVPRFVQQKLYLFLQHCFGHWPLDASFRAVLEMWLSYLQPWRYAPDKQAPGSDSQPRCVSEKWAPFVQENLLMYTKLFVGFLNRALRTDLVSPKHALMVFRVAKVFAQPNLAEMIQKGEQLFLEPELVIPHRQHRLFTAPTFTGSFLSPWPPAVTDASFKVKSHVYSLEGQDCKYTPMFGPEARTLVLRLAQLITQAKHTAKSISDQCAESPAGHSFLSWLGFSSMDTNGSYTANDLDEMGQDSVRKTDEYLEKALEYLRQIFRLSEAQLRQFTLALGTTQDENGKKQLPDCIVGEDGLILTPLGRYQIINGLRRFEIEYQGDPELQPIRSYEIASLVRTLFRLSSAINHRFAGQMAALCSRDDFLGSFCRYHLTEPGLASRHLLSPVGRRQVAGHTRGPRLSLRFLGSYRTLVSLLLAFFVASLFCVGPLPCTLLLTLGYVLYASAMTLLTERGKLHQP
+>DECOY_sp|Q9NXE4|NSMA3_HUMAN Sphingomyelin phosphodiesterase 4 OS=Homo sapiens OX=9606 GN=SMPD4 PE=1 SV=3
+PQHLKGRETLLTMASAYLVYGLTLLLTCPLPGVCFLSAVFFALLLSVLTRYSGLFRLSLRPGRTHGAVQRRGVPSLLHRSALGPETLHYRCFSGLFDDRSCLAAMQGAFRHNIASSLRFLTRVLSAIEYSRIPQLEPDGQYEIEFRRLGNIIQYRGLPTLILGDEGVICDPLQKKGNEDQTTGLALTFQRLQAESLRFIQRLYELAKELYEDTKRVSDQGMEDLDNATYSGNTDMSSFGLWSLFSHGAPSEACQDSISKATHKAQTILQALRLVLTRAEPGFMPTYKCDQGELSYVHSKVKFSADTVAPPWPSLFSGTFTPATFLRHQRHPIVLEPELFLQEGKQIMEALNPQAFVKAVRFVMLAHKPSVLDTRLARNLFGVFLKTYMLLNEQVFPAWKESVCRPQSDSGPAQKDPAYRWPQLYSLWMELVARFSADLPWHGFCHQLFLYLKQQVFRPVAARKFEELPSTAHSHASPSAQEPKLSNAFAHLHKLLLRVVLVHEETPTFSEQYAHLAQLSPQAPSYLASSVSLRYHLVELKAHPSQMKQYMELSYHHLWMEVFVQLLTESRWIEHSAPDANVSTQHSIHRKLLSTHHLGYSAFPIAPTRPPPSPSTGGPSSSLPPPVSGETPLFWSLYRDVLIFYACDSTRVHLSVPLPKQTILSLAFFFIYYEFPNLALNLGLGGTPTFQVKNHYLPSDPLICEQISAKVPGPLYSVPFDFKYDEAQLKYVLKMMPGGPDLFEMVISYEVPNVRGQLCRLNWGVLVGDLSGFISEVLWPFITHLEKAPFDEIVKVLDQCQQAFPKNISDAKLSALLFSPQQLHPFAMAAELPSNFIAKKAFWQPIWLTARRLSPLRWEAVAGFTTM
+>sp|Q8TCD5|NT5C_HUMAN 5'(3')-deoxyribonucleotidase, cytosolic type OS=Homo sapiens OX=9606 GN=NT5C PE=1 SV=2
+MARSVRVLVDMDGVLADFEAGLLRGFRRRFPEEPHVPLEQRRGFLAREQYRALRPDLADKVASVYEAPGFFLDLEPIPGALDAVREMNDLPDTQVFICTSPLLKYHHCVGEKYRWVEQHLGPQFVERIILTRDKTVVLGDLLIDDKDTVRGQEETPSWEHILFTCCHNRHLVLPPTRRRLLSWSDNWREILDSKRGAAQRE
+>DECOY_sp|Q8TCD5|NT5C_HUMAN 5'(3')-deoxyribonucleotidase, cytosolic type OS=Homo sapiens OX=9606 GN=NT5C PE=1 SV=2
+ERQAAGRKSDLIERWNDSWSLLRRRTPPLVLHRNHCCTFLIHEWSPTEEQGRVTDKDDILLDGLVVTKDRTLIIREVFQPGLHQEVWRYKEGVCHHYKLLPSTCIFVQTDPLDNMERVADLAGPIPELDLFFGPAEYVSAVKDALDPRLARYQERALFGRRQELPVHPEEPFRRRFGRLLGAEFDALVGDMDVLVRVSRAM
+>sp|Q9H857|NT5D2_HUMAN 5'-nucleotidase domain-containing protein 2 OS=Homo sapiens OX=9606 GN=NT5DC2 PE=1 SV=1
+MRVESGSAQERGILLESLSTLLEKTTASHEGRAPGNRELTDLLPPEVCSLLNPAAIYANNEISLRDVEVYGFDYDYTLAQYADALHPEIFSTARDILIEHYKYPEGIRKYDYNPSFAIRGLHYDIQKSLLMKIDAFHYVQLGTAYRGLQPVPDEEVIELYGGTQHIPLYQMSGFYGKGPSIKQFMDIFSLPEMALLSCVVDYFLGHSLEFDQAHLYKDVTDAIRDVHVKGLMYQWIEQDMEKYILRGDETFAVLSRLVAHGKQLFLITNSPFSFVDKGMRHMVGPDWRQLFDVVIVQADKPSFFTDRRKPFRKLDEKGSLQWDRITRLEKGKIYRQGNLFDFLRLTEWRGPRVLYFGDHLYSDLADLMLRHGWRTGAIIPELEREIRIINTEQYMHSLTWQQALTGLLERMQTYQDAESRQVLAAWMKERQELRCITKALFNAQFGSIFRTFHNPTYFSRRLVRFSDLYMASLSCLLNYRVDFTFYPRRTPLQHEAPLWMDQLCTGCMKTPFLGDMAHIR
+>DECOY_sp|Q9H857|NT5D2_HUMAN 5'-nucleotidase domain-containing protein 2 OS=Homo sapiens OX=9606 GN=NT5DC2 PE=1 SV=1
+RIHAMDGLFPTKMCGTCLQDMWLPAEHQLPTRRPYFTFDVRYNLLCSLSAMYLDSFRVLRRSFYTPNHFTRFISGFQANFLAKTICRLEQREKMWAALVQRSEADQYTQMRELLGTLAQQWTLSHMYQETNIIRIERELEPIIAGTRWGHRLMLDALDSYLHDGFYLVRPGRWETLRLFDFLNGQRYIKGKELRTIRDWQLSGKEDLKRFPKRRDTFFSPKDAQVIVVDFLQRWDPGVMHRMGKDVFSFPSNTILFLQKGHAVLRSLVAFTEDGRLIYKEMDQEIWQYMLGKVHVDRIADTVDKYLHAQDFELSHGLFYDVVCSLLAMEPLSFIDMFQKISPGKGYFGSMQYLPIHQTGGYLEIVEEDPVPQLGRYATGLQVYHFADIKMLLSKQIDYHLGRIAFSPNYDYKRIGEPYKYHEILIDRATSFIEPHLADAYQALTYDYDFGYVEVDRLSIENNAYIAAPNLLSCVEPPLLDTLERNGPARGEHSATTKELLTSLSELLIGREQASGSEVRM
+>sp|Q9NPB1|NT5M_HUMAN 5'(3')-deoxyribonucleotidase, mitochondrial OS=Homo sapiens OX=9606 GN=NT5M PE=1 SV=1
+MIRLGGWCARRLCSAAVPAGRRGAAGGLGLAGGRALRVLVDMDGVLADFEGGFLRKFRARFPDQPFIALEDRRGFWVSEQYGRLRPGLSEKAISIWESKNFFFELEPLPGAVEAVKEMASLQNTDVFICTSPIKMFKYCPYEKYAWVEKYFGPDFLEQIVLTRDKTVVSADLLIDDRPDITGAEPTPSWEHVLFTACHNQHLQLQPPRRRLHSWADDWKAILDSKRPC
+>DECOY_sp|Q9NPB1|NT5M_HUMAN 5'(3')-deoxyribonucleotidase, mitochondrial OS=Homo sapiens OX=9606 GN=NT5M PE=1 SV=1
+CPRKSDLIAKWDDAWSHLRRRPPQLQLHQNHCATFLVHEWSPTPEAGTIDPRDDILLDASVVTKDRTLVIQELFDPGFYKEVWAYKEYPCYKFMKIPSTCIFVDTNQLSAMEKVAEVAGPLPELEFFFNKSEWISIAKESLGPRLRGYQESVWFGRRDELAIFPQDPFRARFKRLFGGEFDALVGDMDVLVRLARGGALGLGGAAGRRGAPVAASCLRRACWGGLRIM
+>sp|Q96EP9|NTCP4_HUMAN Sodium/bile acid cotransporter 4 OS=Homo sapiens OX=9606 GN=SLC10A4 PE=1 SV=2
+MDGNDNVTLLFAPLLRDNYTLAPNASSLGPGTDLALAPASSAGPGPGLSLGPGPSFGFSPGPTPTPEPTTSGLAGGAASHGPSPFPRPWAPHALPFWDTPLNHGLNVFVGAALCITMLGLGCTVDVNHFGAHVRRPVGALLAALCQFGLLPLLAFLLALAFKLDEVAAVAVLLCGCCPGGNLSNLMSLLVDGDMNLSIIMTISSTLLALVLMPLCLWIYSWAWINTPIVQLLPLGTVTLTLCSTLIPIGLGVFIRYKYSRVADYIVKVSLWSLLVTLVVLFIMTGTMLGPELLASIPAAVYVIAIFMPLAGYASGYGLATLFHLPPNCKRTVCLETGSQNVQLCTAILKLAFPPQFIGSMYMFPLLYALFQSAEAGIFVLIYKMYGSEMLHKRDPLDEDEDTDISYKKLKEEEMADTSYGTVKAENIIMMETAQTSL
+>DECOY_sp|Q96EP9|NTCP4_HUMAN Sodium/bile acid cotransporter 4 OS=Homo sapiens OX=9606 GN=SLC10A4 PE=1 SV=2
+LSTQATEMMIINEAKVTGYSTDAMEEEKLKKYSIDTDEDEDLPDRKHLMESGYMKYILVFIGAEASQFLAYLLPFMYMSGIFQPPFALKLIATCLQVNQSGTELCVTRKCNPPLHFLTALGYGSAYGALPMFIAIVYVAAPISALLEPGLMTGTMIFLVVLTVLLSWLSVKVIYDAVRSYKYRIFVGLGIPILTSCLTLTVTGLPLLQVIPTNIWAWSYIWLCLPMLVLALLTSSITMIISLNMDGDVLLSMLNSLNGGPCCGCLLVAVAAVEDLKFALALLFALLPLLGFQCLAALLAGVPRRVHAGFHNVDVTCGLGLMTICLAAGVFVNLGHNLPTDWFPLAHPAWPRPFPSPGHSAAGGALGSTTPEPTPTPGPSFGFSPGPGLSLGPGPGASSAPALALDTGPGLSSANPALTYNDRLLPAFLLTVNDNGDM
+>sp|Q14973|NTCP_HUMAN Sodium/bile acid cotransporter OS=Homo sapiens OX=9606 GN=SLC10A1 PE=1 SV=1
+MEAHNASAPFNFTLPPNFGKRPTDLALSVILVFMLFFIMLSLGCTMEFSKIKAHLWKPKGLAIALVAQYGIMPLTAFVLGKVFRLKNIEALAILVCGCSPGGNLSNVFSLAMKGDMNLSIVMTTCSTFCALGMMPLLLYIYSRGIYDGDLKDKVPYKGIVISLVLVLIPCTIGIVLKSKRPQYMRYVIKGGMIIILLCSVAVTVLSAINVGKSIMFAMTPLLIATSSLMPFIGFLLGYVLSALFCLNGRCRRTVSMETGCQNVQLCSTILNVAFPPEVIGPLFFFPLLYMIFQLGEGLLLIAIFWCYEKFKTPKDKTKMIYTAATTEETIPGALGNGTYKGEDCSPCTA
+>DECOY_sp|Q14973|NTCP_HUMAN Sodium/bile acid cotransporter OS=Homo sapiens OX=9606 GN=SLC10A1 PE=1 SV=1
+ATCPSCDEGKYTGNGLAGPITEETTAATYIMKTKDKPTKFKEYCWFIAILLLGEGLQFIMYLLPFFFLPGIVEPPFAVNLITSCLQVNQCGTEMSVTRRCRGNLCFLASLVYGLLFGIFPMLSSTAILLPTMAFMISKGVNIASLVTVAVSCLLIIIMGGKIVYRMYQPRKSKLVIGITCPILVLVLSIVIGKYPVKDKLDGDYIGRSYIYLLLPMMGLACFTSCTTMVISLNMDGKMALSFVNSLNGGPSCGCVLIALAEINKLRFVKGLVFATLPMIGYQAVLAIALGKPKWLHAKIKSFEMTCGLSLMIFFLMFVLIVSLALDTPRKGFNPPLTFNFPASANHAEM
+>sp|P61970|NTF2_HUMAN Nuclear transport factor 2 OS=Homo sapiens OX=9606 GN=NUTF2 PE=1 SV=1
+MGDKPIWEQIGSSFIQHYYQLFDNDRTQLGAIYIDASCLTWEGQQFQGKAAIVEKLSSLPFQKIQHSITAQDHQPTPDSCIISMVVGQLKADEDPIMGFHQMFLLKNINDAWVCTNDMFRLALHNFG
+>DECOY_sp|P61970|NTF2_HUMAN Nuclear transport factor 2 OS=Homo sapiens OX=9606 GN=NUTF2 PE=1 SV=1
+GFNHLALRFMDNTCVWADNINKLLFMQHFGMIPDEDAKLQGVVMSIICSDPTPQHDQATISHQIKQFPLSSLKEVIAAKGQFQQGEWTLCSADIYIAGLQTRDNDFLQYYHQIFSSGIQEWIPKDGM
+>sp|P20783|NTF3_HUMAN Neurotrophin-3 OS=Homo sapiens OX=9606 GN=NTF3 PE=1 SV=1
+MSILFYVIFLAYLRGIQGNNMDQRSLPEDSLNSLIIKLIQADILKNKLSKQMVDVKENYQSTLPKAEAPREPERGGPAKSAFQPVIAMDTELLRQQRRYNSPRVLLSDSTPLEPPPLYLMEDYVGSPVVANRTSRRKRYAEHKSHRGEYSVCDSESLWVTDKSSAIDIRGHQVTVLGEIKTGNSPVKQYFYETRCKEARPVKNGCRGIDDKHWNSQCKTSQTYVRALTSENNKLVGWRWIRIDTSCVCALSRKIGRT
+>DECOY_sp|P20783|NTF3_HUMAN Neurotrophin-3 OS=Homo sapiens OX=9606 GN=NTF3 PE=1 SV=1
+TRGIKRSLACVCSTDIRIWRWGVLKNNESTLARVYTQSTKCQSNWHKDDIGRCGNKVPRAEKCRTEYFYQKVPSNGTKIEGLVTVQHGRIDIASSKDTVWLSESDCVSYEGRHSKHEAYRKRRSTRNAVVPSGVYDEMLYLPPPELPTSDSLLVRPSNYRRQQRLLETDMAIVPQFASKAPGGREPERPAEAKPLTSQYNEKVDVMQKSLKNKLIDAQILKIILSNLSDEPLSRQDMNNGQIGRLYALFIVYFLISM
+>sp|Q9BV86|NTM1A_HUMAN N-terminal Xaa-Pro-Lys N-methyltransferase 1 OS=Homo sapiens OX=9606 GN=NTMT1 PE=1 SV=3
+MTSEVIEDEKQFYSKAKTYWKQIPPTVDGMLGGYGHISSIDINSSRKFLQRFLREGPNKTGTSCALDCGAGIGRITKRLLLPLFREVDMVDITEDFLVQAKTYLGEEGKRVRNYFCCGLQDFTPEPDSYDVIWIQWVIGHLTDQHLAEFLRRCKGSLRPNGIIVIKDNMAQEGVILDDVDSSVCRDLDVVRRIICSAGLSLLAEERQENLPDEIYHVYSFALR
+>DECOY_sp|Q9BV86|NTM1A_HUMAN N-terminal Xaa-Pro-Lys N-methyltransferase 1 OS=Homo sapiens OX=9606 GN=NTMT1 PE=1 SV=3
+RLAFSYVHYIEDPLNEQREEALLSLGASCIIRRVVDLDRCVSSDVDDLIVGEQAMNDKIVIIGNPRLSGKCRRLFEALHQDTLHGIVWQIWIVDYSDPEPTFDQLGCCFYNRVRKGEEGLYTKAQVLFDETIDVMDVERFLPLLLRKTIRGIGAGCDLACSTGTKNPGERLFRQLFKRSSNIDISSIHGYGGLMGDVTPPIQKWYTKAKSYFQKEDEIVESTM
+>sp|O75694|NU155_HUMAN Nuclear pore complex protein Nup155 OS=Homo sapiens OX=9606 GN=NUP155 PE=1 SV=1
+MPSSLLGAAMPASTSAAALQEALENAGRLIDRQLQEDRMYPDLSELLMVSAPNNPTVSGMSDMDYPLQGPGLLSVPNLPEISSIRRVPLPPELVEQFGHMQCNCMMGVFPPISRAWLTIDSDIFMWNYEDGGDLAYFDGLSETILAVGLVKPKAGIFQPHVRHLLVLATPVDIVILGLSYANLQTGSGVLNDSLSGGMQLLPDPLYSLPTDNTYLLTITSTDNGRIFLAGKDGCLYEVAYQAEAGWFSQRCRKINHSKSSLSFLVPSLLQFTFSEDDPILQIAIDNSRNILYTRSEKGVIQVYDLGQDGQGMSRVASVSQNAIVSAAGNIARTIDRSVFKPIVQIAVIENSESLDCQLLAVTHAGVRLYFSTCPFRQPLARPNTLTLVHVRLPPGFSASSTVEKPSKVHRALYSKGILLMAASENEDNDILWCVNHDTFPFQKPMMETQMTAGVDGHSWALSAIDELKVDKIITPLNKDHIPITDSPVVVQQHMLPPKKFVLLSAQGSLMFHKLRPVDQLRHLLVSNVGGDGEEIERFFKLHQEDQACATCLILACSTAACDREVSAWATRAFFRYGGEAQMRFPTTLPPPSNVGPILGSPVYSSSPVPSGSPYPNPSFLGTPSHGIQPPAMSTPVCALGNPATQATNMSCVTGPEIVYSGKHNGICIYFSRIMGNIWDASLVVERIFKSGNREITAIESSVPCQLLESVLQELKGLQEFLDRNSQFAGGPLGNPNTTAKVQQRLIGFMRPENGNPQQMQQELQRKFHEAQLSEKISLQAIQQLVRKSYQALALWKLLCEHQFTIIVAELQKELQEQLKITTFKDLVIRDKELTGALIASLINCYIRDNAAVDGISLHLQDICPLLYSTDDAICSKANELLQRSRQVQNKTEKERMLRESLKEYQKISNQVDLSNVCAQYRQVRFYEGVVELSLTAAEKKDPQGLGLHFYKHGEPEEDIVGLQAFQERLNSYKCITDTLQELVNQSKAAPQSPSVPKKPGPPVLSSDPNMLSNEEAGHHFEQMLKLSQRSKDELFSIALYNWLIQVDLADKLLQVASPFLEPHLVRMAKVDQNRVRYMDLLWRYYEKNRSFSNAARVLSRLADMHSTEISLQQRLEYIARAILSAKSSTAISSIAADGEFLHELEEKMEVARIQLQIQETLQRQYSHHSSVQDAVSQLDSELMDITKLYGEFADPFKLAECKLAIIHCAGYSDPILVQTLWQDIIEKELSDSVTLSSSDRMHALSLKIVLLGKIYAGTPRFFPLDFIVQFLEQQVCTLNWDVGFVIQTMNEIGVPLPRLLEVYDQLFKSRDPFWNRMKKPLHLLDCIHVLLIRYVENPSQVLNCERRRFTNLCLDAVCGYLVELQSMSSSVAVQAITGNFKSLQAKLERLH
+>DECOY_sp|O75694|NU155_HUMAN Nuclear pore complex protein Nup155 OS=Homo sapiens OX=9606 GN=NUP155 PE=1 SV=1
+HLRELKAQLSKFNGTIAQVAVSSSMSQLEVLYGCVADLCLNTFRRRECNLVQSPNEVYRILLVHICDLLHLPKKMRNWFPDRSKFLQDYVELLRPLPVGIENMTQIVFGVDWNLTCVQQELFQVIFDLPFFRPTGAYIKGLLVIKLSLAHMRDSSSLTVSDSLEKEIIDQWLTQVLIPDSYGACHIIALKCEALKFPDAFEGYLKTIDMLESDLQSVADQVSSHHSYQRQLTEQIQLQIRAVEMKEELEHLFEGDAAISSIATSSKASLIARAIYELRQQLSIETSHMDALRSLVRAANSFSRNKEYYRWLLDMYRVRNQDVKAMRVLHPELFPSAVQLLKDALDVQILWNYLAISFLEDKSRQSLKLMQEFHHGAEENSLMNPDSSLVPPGPKKPVSPSQPAAKSQNVLEQLTDTICKYSNLREQFAQLGVIDEEPEGHKYFHLGLGQPDKKEAATLSLEVVGEYFRVQRYQACVNSLDVQNSIKQYEKLSERLMREKETKNQVQRSRQLLENAKSCIADDTSYLLPCIDQLHLSIGDVAANDRIYCNILSAILAGTLEKDRIVLDKFTTIKLQEQLEKQLEAVIITFQHECLLKWLALAQYSKRVLQQIAQLSIKESLQAEHFKRQLEQQMQQPNGNEPRMFGILRQQVKATTNPNGLPGGAFQSNRDLFEQLGKLEQLVSELLQCPVSSEIATIERNGSKFIREVVLSADWINGMIRSFYICIGNHKGSYVIEPGTVCSMNTAQTAPNGLACVPTSMAPPQIGHSPTGLFSPNPYPSGSPVPSSSYVPSGLIPGVNSPPPLTTPFRMQAEGGYRFFARTAWASVERDCAATSCALILCTACAQDEQHLKFFREIEEGDGGVNSVLLHRLQDVPRLKHFMLSGQASLLVFKKPPLMHQQVVVPSDTIPIHDKNLPTIIKDVKLEDIASLAWSHGDVGATMQTEMMPKQFPFTDHNVCWLIDNDENESAAMLLIGKSYLARHVKSPKEVTSSASFGPPLRVHVLTLTNPRALPQRFPCTSFYLRVGAHTVALLQCDLSESNEIVAIQVIPKFVSRDITRAINGAASVIANQSVSAVRSMGQGDQGLDYVQIVGKESRTYLINRSNDIAIQLIPDDESFTFQLLSPVLFSLSSKSHNIKRCRQSFWGAEAQYAVEYLCGDKGALFIRGNDTSTITLLYTNDTPLSYLPDPLLQMGGSLSDNLVGSGTQLNAYSLGLIVIDVPTALVLLHRVHPQFIGAKPKVLGVALITESLGDFYALDGGDEYNWMFIDSDITLWARSIPPFVGMMCNCQMHGFQEVLEPPLPVRRISSIEPLNPVSLLGPGQLPYDMDSMGSVTPNNPASVMLLESLDPYMRDEQLQRDILRGANELAEQLAAASTSAPMAAGLLSSPM
+>sp|Q12769|NU160_HUMAN Nuclear pore complex protein Nup160 OS=Homo sapiens OX=9606 GN=NUP160 PE=1 SV=3
+MLHLSAAPPAPPPEVTATARPCLCSVGRRGDGGKMAAAGALERSFVELSGAERERPRHFREFTVCSIGTANAVAGAVKYSESAGGFYYVESGKLFSVTRNRFIHWKTSGDTLELMEESLDINLLNNAIRLKFQNCSVLPGGVYVSETQNRVIILMLTNQTVHRLLLPHPSRMYRSELVVDSQMQSIFTDIGKVDFTDPCNYQLIPAVPGISPNSTASTAWLSSDGEALFALPCASGGIFVLKLPPYDIPGMVSVVELKQSSVMQRLLTGWMPTAIRGDQSPSDRPLSLAVHCVEHDAFIFALCQDHKLRMWSYKEQMCLMVADMLEYVPVKKDLRLTAGTGHKLRLAYSPTMGLYLGIYMHAPKRGQFCIFQLVSTESNRYSLDHISSLFTSQETLIDFALTSTDIWALWHDAENQTVVKYINFEHNVAGQWNPVFMQPLPEEEIVIRDDQDPREMYLQSLFTPGQFTNEALCKALQIFCRGTERNLDLSWSELKKEVTLAVENELQGSVTEYEFSQEEFRNLQQEFWCKFYACCLQYQEALSHPLALHLNPHTNMVCLLKKGYLSFLIPSSLVDHLYLLPYENLLTEDETTISDDVDIARDVICLIKCLRLIEESVTVDMSVIMEMSCYNLQSPEKAAEQILEDMITIDVENVMEDICSKLQEIRNPIHAIGLLIREMDYETEVEMEKGFNPAQPLNIRMNLTQLYGSNTAGYIVCRGVHKIASTRFLICRDLLILQQLLMRLGDAVIWGTGQLFQAQQDLLHRTAPLLLSYYLIKWGSECLATDVPLDTLESNLQHLSVLELTDSGALMANRFVSSPQTIVELFFQEVARKHIISHLFSQPKAPLSQTGLNWPEMITAITSYLLQLLWPSNPGCLFLECLMGNCQYVQLQDYIQLLHPWCQVNVGSCRFMLGRCYLVTGEGQKALECFCQAASEVGKEEFLDRLIRSEDGEIVSTPRLQYYDKVLRLLDVIGLPELVIQLATSAITEAGDDWKSQATLRTCIFKHHLDLGHNSQAYEALTQIPDSSRQLDCLRQLVVVLCERSQLQDLVEFPYVNLHNEVVGIIESRARAVDLMTHNYYELLYAFHIYRHNYRKAGTVMFEYGMRLGREVRTLRGLEKQGNCYLAALNCLRLIRPEYAWIVQPVSGAVYDRPGASPKRNHDGECTAAPTNRQIEILELEDLEKECSLARIRLTLAQHDPSAVAVAGSSSAEEMVTLLVQAGLFDTAISLCQTFKLPLTPVFEGLAFKCIKLQFGGEAAQAEAWAWLAANQLSSVITTKESSATDEAWRLLSTYLERYKVQNNLYHHCVINKLLSHGVPLPNWLINSYKKVDAAELLRLYLNYDLLEEAVDLVSEYVDAVLGKGHQYFGIEFPLSATAPMVWLPYSSIDQLLQALGENSANSHNIALSQKILDKLEDYQQKVDKATRDLLYRRTL
+>DECOY_sp|Q12769|NU160_HUMAN Nuclear pore complex protein Nup160 OS=Homo sapiens OX=9606 GN=NUP160 PE=1 SV=3
+LTRRYLLDRTAKDVKQQYDELKDLIKQSLAINHSNASNEGLAQLLQDISSYPLWVMPATASLPFEIGFYQHGKGLVADVYESVLDVAEELLDYNLYLRLLEAADVKKYSNILWNPLPVGHSLLKNIVCHHYLNNQVKYRELYTSLLRWAEDTASSEKTTIVSSLQNAALWAWAEAQAAEGGFQLKICKFALGEFVPTLPLKFTQCLSIATDFLGAQVLLTVMEEASSSGAVAVASPDHQALTLRIRALSCEKELDELELIEIQRNTPAATCEGDHNRKPSAGPRDYVAGSVPQVIWAYEPRILRLCNLAALYCNGQKELGRLTRVERGLRMGYEFMVTGAKRYNHRYIHFAYLLEYYNHTMLDVARARSEIIGVVENHLNVYPFEVLDQLQSRECLVVVLQRLCDLQRSSDPIQTLAEYAQSNHGLDLHHKFICTRLTAQSKWDDGAETIASTALQIVLEPLGIVDLLRLVKDYYQLRPTSVIEGDESRILRDLFEEKGVESAAQCFCELAKQGEGTVLYCRGLMFRCSGVNVQCWPHLLQIYDQLQVYQCNGMLCELFLCGPNSPWLLQLLYSTIATIMEPWNLGTQSLPAKPQSFLHSIIHKRAVEQFFLEVITQPSSVFRNAMLAGSDTLELVSLHQLNSELTDLPVDTALCESGWKILYYSLLLPATRHLLDQQAQFLQGTGWIVADGLRMLLQQLILLDRCILFRTSAIKHVGRCVIYGATNSGYLQTLNMRINLPQAPNFGKEMEVETEYDMERILLGIAHIPNRIEQLKSCIDEMVNEVDITIMDELIQEAAKEPSQLNYCSMEMIVSMDVTVSEEILRLCKILCIVDRAIDVDDSITTEDETLLNEYPLLYLHDVLSSPILFSLYGKKLLCVMNTHPNLHLALPHSLAEQYQLCCAYFKCWFEQQLNRFEEQSFEYETVSGQLENEVALTVEKKLESWSLDLNRETGRCFIQLAKCLAENTFQGPTFLSQLYMERPDQDDRIVIEEEPLPQMFVPNWQGAVNHEFNIYKVVTQNEADHWLAWIDTSTLAFDILTEQSTFLSSIHDLSYRNSETSVLQFICFQGRKPAHMYIGLYLGMTPSYALRLKHGTGATLRLDKKVPVYELMDAVMLCMQEKYSWMRLKHDQCLAFIFADHEVCHVALSLPRDSPSQDGRIATPMWGTLLRQMVSSQKLEVVSVMGPIDYPPLKLVFIGGSACPLAFLAEGDSSLWATSATSNPSIGPVAPILQYNCPDTFDVKGIDTFISQMQSDVVLESRYMRSPHPLLLRHVTQNTLMLIIVRNQTESVYVGGPLVSCNQFKLRIANNLLNIDLSEEMLELTDGSTKWHIFRNRTVSFLKGSEVYYFGGASESYKVAGAVANATGISCVTFERFHRPREREAGSLEVFSRELAGAAAMKGGDGRRGVSCLCPRATATVEPPPAPPAASLHLM
+>sp|Q5SRE5|NU188_HUMAN Nucleoporin NUP188 homolog OS=Homo sapiens OX=9606 GN=NUP188 PE=1 SV=1
+MAAAAGGPCVRSSRELWTILLGRSALRELSQIEAELNKHWRRLLEGLSYYKPPSPSSAEKVKANKDVASPLKELGLRISKFLGLDEEQSVQLLQCYLQEDYRGTRDSVKTVLQDERQSQALILKIADYYYEERTCILRCVLHLLTYFQDERHPYRVEYADCVDKLEKELVSKYRQQFEELYKTEAPTWETHGNLMTERQVSRWFVQCLREQSMLLEIIFLYYAYFEMAPSDLLVLTKMFKEQGFGSRQTNRHLVDETMDPFVDRIGYFSALILVEGMDIESLHKCALDDRRELHQFAQDGLICQDMDCLMLTFGDIPHHAPVLLAWALLRHTLNPEETSSVVRKIGGTAIQLNVFQYLTRLLQSLASGGNDCTTSTACMCVYGLLSFVLTSLELHTLGNQQDIIDTACEVLADPSLPELFWGTEPTSGLGIILDSVCGMFPHLLSPLLQLLRALVSGKSTAKKVYSFLDKMSFYNELYKHKPHDVISHEDGTLWRRQTPKLLYPLGGQTNLRIPQGTVGQVMLDDRAYLVRWEYSYSSWTLFTCEIEMLLHVVSTADVIQHCQRVKPIIDLVHKVISTDLSIADCLLPITSRIYMLLQRLTTVISPPVDVIASCVNCLTVLAARNPAKVWTDLRHTGFLPFVAHPVSSLSQMISAEGMNAGGYGNLLMNSEQPQGEYGVTIAFLRLITTLVKGQLGSTQSQGLVPCVMFVLKEMLPSYHKWRYNSHGVREQIGCLILELIHAILNLCHETDLHSSHTPSLQFLCICSLAYTEAGQTVINIMGIGVDTIDMVMAAQPRSDGAEGQGQGQLLIKTVKLAFSVTNNVIRLKPPSNVVSPLEQALSQHGAHGNNLIAVLAKYIYHKHDPALPRLAIQLLKRLATVAPMSVYACLGNDAAAIRDAFLTRLQSKIEDMRIKVMILEFLTVAVETQPGLIELFLNLEVKDGSDGSKEFSLGMWSCLHAVLELIDSQQQDRYWCPPLLHRAAIAFLHALWQDRRDSAMLVLRTKPKFWENLTSPLFGTLSPPSETSEPSILETCALIMKIICLEIYYVVKGSLDQSLKDTLKKFSIEKRFAYWSGYVKSLAVHVAETEGSSCTSLLEYQMLVSAWRMLLIIATTHADIMHLTDSVVRRQLFLDVLDGTKALLLVPASVNCLRLGSMKCTLLLILLRQWKRELGSVDEILGPLTEILEGVLQADQQLMEKTKAKVFSAFITVLQMKEMKVSDIPQYSQLVLNVCETLQEEVIALFDQTRHSLALGSATEDKDSMETDDCSRSRHRDQRDGVCVLGLHLAKELCEVDEDGDSWLQVTRRLPILPTLLTTLEVSLRMKQNLHFTEATLHLLLTLARTQQGATAVAGAGITQSICLPLLSVYQLSTNGTAQTPSASRKSLDAPSWPGVYRLSMSLMEQLLKTLRYNFLPEALDFVGVHQERTLQCLNAVRTVQSLACLEEADHTVGFILQLSNFMKEWHFHLPQLMRDIQVNLGYLCQACTSLLHSRKMLQHYLQNKNGDGLPSAVAQRVQRPPSAASAAPSSSKQPAADTEASEQQALHTVQYGLLKILSKTLAALRHFTPDVCQILLDQSLDLAEYNFLFALSFTTPTFDSEVAPSFGTLLATVNVALNMLGELDKKKEPLTQAVGLSTQAEGTRTLKSLLMFTMENCFYLLISQAMRYLRDPAVHPRDKQRMKQELSSELSTLLSSLSRYFRRGAPSSPATGVLPSPQGKSTSLSKASPESQEPLIQLVQAFVRHMQR
+>DECOY_sp|Q5SRE5|NU188_HUMAN Nucleoporin NUP188 homolog OS=Homo sapiens OX=9606 GN=NUP188 PE=1 SV=1
+RQMHRVFAQVLQILPEQSEPSAKSLSTSKGQPSPLVGTAPSSPAGRRFYRSLSSLLTSLESSLEQKMRQKDRPHVAPDRLYRMAQSILLYFCNEMTFMLLSKLTRTGEAQTSLGVAQTLPEKKKDLEGLMNLAVNVTALLTGFSPAVESDFTPTTFSLAFLFNYEALDLSQDLLIQCVDPTFHRLAALTKSLIKLLGYQVTHLAQQESAETDAAPQKSSSPAASAASPPRQVRQAVASPLGDGNKNQLYHQLMKRSHLLSTCAQCLYGLNVQIDRMLQPLHFHWEKMFNSLQLIFGVTHDAEELCALSQVTRVANLCQLTREQHVGVFDLAEPLFNYRLTKLLQEMLSMSLRYVGPWSPADLSKRSASPTQATGNTSLQYVSLLPLCISQTIGAGAVATAGQQTRALTLLLHLTAETFHLNQKMRLSVELTTLLTPLIPLRRTVQLWSDGDEDVECLEKALHLGLVCVGDRQDRHRSRSCDDTEMSDKDETASGLALSHRTQDFLAIVEEQLTECVNLVLQSYQPIDSVKMEKMQLVTIFASFVKAKTKEMLQQDAQLVGELIETLPGLIEDVSGLERKWQRLLILLLTCKMSGLRLCNVSAPVLLLAKTGDLVDLFLQRRVVSDTLHMIDAHTTAIILLMRWASVLMQYELLSTCSSGETEAVHVALSKVYGSWYAFRKEISFKKLTDKLSQDLSGKVVYYIELCIIKMILACTELISPESTESPPSLTGFLPSTLNEWFKPKTRLVLMASDRRDQWLAHLFAIAARHLLPPCWYRDQQQSDILELVAHLCSWMGLSFEKSGDSGDKVELNLFLEILGPQTEVAVTLFELIMVKIRMDEIKSQLRTLFADRIAAADNGLCAYVSMPAVTALRKLLQIALRPLAPDHKHYIYKALVAILNNGHAGHQSLAQELPSVVNSPPKLRIVNNTVSFALKVTKILLQGQGQGEAGDSRPQAAMVMDITDVGIGMINIVTQGAETYALSCICLFQLSPTHSSHLDTEHCLNLIAHILELILCGIQERVGHSNYRWKHYSPLMEKLVFMVCPVLGQSQTSGLQGKVLTTILRLFAITVGYEGQPQESNMLLNGYGGANMGEASIMQSLSSVPHAVFPLFGTHRLDTWVKAPNRAALVTLCNVCSAIVDVPPSIVTTLRQLLMYIRSTIPLLCDAISLDTSIVKHVLDIIPKVRQCHQIVDATSVVHLLMEIECTFLTWSSYSYEWRVLYARDDLMVQGVTGQPIRLNTQGGLPYLLKPTQRRWLTGDEHSIVDHPKHKYLENYFSMKDLFSYVKKATSKGSVLARLLQLLPSLLHPFMGCVSDLIIGLGSTPETGWFLEPLSPDALVECATDIIDQQNGLTHLELSTLVFSLLGYVCMCATSTTCDNGGSALSQLLRTLYQFVNLQIATGGIKRVVSSTEEPNLTHRLLAWALLVPAHHPIDGFTLMLCDMDQCILGDQAFQHLERRDDLACKHLSEIDMGEVLILASFYGIRDVFPDMTEDVLHRNTQRSGFGQEKFMKTLVLLDSPAMEFYAYYLFIIELLMSQERLCQVFWRSVQRETMLNGHTEWTPAETKYLEEFQQRYKSVLEKELKDVCDAYEVRYPHREDQFYTLLHLVCRLICTREEYYYDAIKLILAQSQREDQLVTKVSDRTGRYDEQLYCQLLQVSQEEDLGLFKSIRLGLEKLPSAVDKNAKVKEASSPSPPKYYSLGELLRRWHKNLEAEIQSLERLASRGLLITWLERSSRVCPGGAAAAM
+>sp|P03915|NU5M_HUMAN NADH-ubiquinone oxidoreductase chain 5 OS=Homo sapiens OX=9606 GN=MT-ND5 PE=1 SV=2
+MTMHTTMTTLTLTSLIPPILTTLVNPNKKNSYPHYVKSIVASTFIISLFPTTMFMCLDQEVIISNWHWATTQTTQLSLSFKLDYFSMMFIPVALFVTWSIMEFSLWYMNSDPNINQFFKYLLIFLITMLILVTANNLFQLFIGWEGVGIMSFLLISWWYARADANTAAIQAILYNRIGDIGFILALAWFILHSNSWDPQQMALLNANPSLTPLLGLLLAAAGKSAQLGLHPWLPSAMEGPTPVSALLHSSTMVVAGIFLLIRFHPLAENSPLIQTLTLCLGAITTLFAAVCALTQNDIKKIVAFSTSSQLGLMMVTIGINQPHLAFLHICTHAFFKAMLFMCSGSIIHNLNNEQDIRKMGGLLKTMPLTSTSLTIGSLALAGMPFLTGFYSKDHIIETANMSYTNAWALSITLIATSLTSAYSTRMILLTLTGQPRFPTLTNINENNPTLLNPIKRLAAGSLFAGFLITNNISPASPFQTTIPLYLKLTALAVTFLGLLTALDLNYLTNKLKMKSPLCTFYFSNMLGFYPSITHRTIPYLGLLTSQNLPLLLLDLTWLEKLLPKTISQHQISTSIITSTQKGMIKLYFLSFFFPLILTLLLIT
+>DECOY_sp|P03915|NU5M_HUMAN NADH-ubiquinone oxidoreductase chain 5 OS=Homo sapiens OX=9606 GN=MT-ND5 PE=1 SV=2
+TILLLTLILPFFFSLFYLKIMGKQTSTIISTSIQHQSITKPLLKELWTLDLLLLPLNQSTLLGLYPITRHTISPYFGLMNSFYFTCLPSKMKLKNTLYNLDLATLLGLFTVALATLKLYLPITTQFPSAPSINNTILFGAFLSGAALRKIPNLLTPNNENINTLTPFRPQGTLTLLIMRTSYASTLSTAILTISLAWANTYSMNATEIIHDKSYFGTLFPMGALALSGITLSTSTLPMTKLLGGMKRIDQENNLNHIISGSCMFLMAKFFAHTCIHLFALHPQNIGITVMMLGLQSSTSFAVIKKIDNQTLACVAAFLTTIAGLCLTLTQILPSNEALPHFRILLFIGAVVMTSSHLLASVPTPGEMASPLWPHLGLQASKGAAALLLGLLPTLSPNANLLAMQQPDWSNSHLIFWALALIFGIDGIRNYLIAQIAATNADARAYWWSILLFSMIGVGEWGIFLQFLNNATVLILMTILFILLYKFFQNINPDSNMYWLSFEMISWTVFLAVPIFMMSFYDLKFSLSLQTTQTTAWHWNSIIVEQDLCMFMTTPFLSIIFTSAVISKVYHPYSNKKNPNVLTTLIPPILSTLTLTTMTTHMTM
+>sp|Q9Y5A7|NUB1_HUMAN NEDD8 ultimate buster 1 OS=Homo sapiens OX=9606 GN=NUB1 PE=1 SV=2
+MAQKKYLQAKLTQFLREDRIQLWKPPYTDENKKVGLALKDLAKQYSDRLECCENEVEKVIEEIRCKAIERGTGNDNYRTTGIATIEVFLPPRLKKDRKNLLETRLHITGRELRSKIAETFGLQENYIKIVINKKQLQLGKTLEEQGVAHNVKAMVLELKQSEEDARKNFQLEEEEQNEAKLKEKQIQRTKRGLEILAKRAAETVVDPEMTPYLDIANQTGRSIRIPPSERKALMLAMGYHEKGRAFLKRKEYGIALPCLLDADKYFCECCRELLDTVDNYAVLQLDIVWCYFRLEQLECLDDAEKKLNLAQKCFKNCYGENHQRLVHIKGNCGKEKVLFLRLYLLQGIRNYHSGNDVEAYEYLNKARQLFKELYIDPSKVDNLLQLGFTAQEARLGLRACDGNVDHAATHITNRREELAQIRKEEKEKKRRRLENIRFLKGMGYSTHAAQQVLHAASGNLDEALKILLSNPQMWWLNDSNPETDNRQESPSQENIDRLVYMGFDALVAEAALRVFRGNVQLAAQTLAHNGGSLPPELPLSPEDSLSPPATSPSDSAGTSSASTDEDMETEAVNEILEDIPEHEEDYLDSTLEDEEIIIAEYLSYVENRKSATKKN
+>DECOY_sp|Q9Y5A7|NUB1_HUMAN NEDD8 ultimate buster 1 OS=Homo sapiens OX=9606 GN=NUB1 PE=1 SV=2
+NKKTASKRNEVYSLYEAIIIEEDELTSDLYDEEHEPIDELIENVAETEMDEDTSASSTGASDSPSTAPPSLSDEPSLPLEPPLSGGNHALTQAALQVNGRFVRLAAEAVLADFGMYVLRDINEQSPSEQRNDTEPNSDNLWWMQPNSLLIKLAEDLNGSAAHLVQQAAHTSYGMGKLFRINELRRRKKEKEEKRIQALEERRNTIHTAAHDVNGDCARLGLRAEQATFGLQLLNDVKSPDIYLEKFLQRAKNLYEYAEVDNGSHYNRIGQLLYLRLFLVKEKGCNGKIHVLRQHNEGYCNKFCKQALNLKKEADDLCELQELRFYCWVIDLQLVAYNDVTDLLERCCECFYKDADLLCPLAIGYEKRKLFARGKEHYGMALMLAKRESPPIRISRGTQNAIDLYPTMEPDVVTEAARKALIELGRKTRQIQKEKLKAENQEEEELQFNKRADEESQKLELVMAKVNHAVGQEELTKGLQLQKKNIVIKIYNEQLGFTEAIKSRLERGTIHLRTELLNKRDKKLRPPLFVEITAIGTTRYNDNGTGREIAKCRIEEIVKEVENECCELRDSYQKALDKLALGVKKNEDTYPPKWLQIRDERLFQTLKAQLYKKQAM
+>sp|Q8WV74|NUDT8_HUMAN Nucleoside diphosphate-linked moiety X motif 8 OS=Homo sapiens OX=9606 GN=NUDT8 PE=1 SV=2
+MLPDCLSAEGELRCRRLLAGATARLRARPASAAVLVPLCSVRGVPALLYTLRSSRLTGRHKGDVSFPGGKCDPADQDVVHTALRETREELGLAVPEEHVWGLLRPVYDPQKATVVPVLAGVGPLDPQSLRPNSEEVDEVFALPLAHLLQTQNQGYTHFCRGGHFRYTLPVFLHGPHRVWGLTAVITEFALQLLAPGTYQPRLAGLTCSGAEGLARPKQPLASPCQASSTPGLNKGL
+>DECOY_sp|Q8WV74|NUDT8_HUMAN Nucleoside diphosphate-linked moiety X motif 8 OS=Homo sapiens OX=9606 GN=NUDT8 PE=1 SV=2
+LGKNLGPTSSAQCPSALPQKPRALGEAGSCTLGALRPQYTGPALLQLAFETIVATLGWVRHPGHLFVPLTYRFHGGRCFHTYGQNQTQLLHALPLAFVEDVEESNPRLSQPDLPGVGALVPVVTAKQPDYVPRLLGWVHEEPVALGLEERTERLATHVVDQDAPDCKGGPFSVDGKHRGTLRSSRLTYLLAPVGRVSCLPVLVAASAPRARLRATAGALLRRCRLEGEASLCDPLM
+>sp|Q9BW27|NUP85_HUMAN Nuclear pore complex protein Nup85 OS=Homo sapiens OX=9606 GN=NUP85 PE=1 SV=1
+MEELDGEPTVTLIPGVNSKKNQMYFDWGPGEMLVCETSFNKKEKSEMVPSCPFIYIIRKDVDVYSQILRKLFNESHGIFLGLQRIDEELTGKSRKSQLVRVSKNYRSVIRACMEEMHQVAIAAKDPANGRQFSSQVSILSAMELIWNLCEILFIEVAPAGPLLLHLLDWVRLHVCEVDSLSADVLGSENPSKHDSFWNLVTILVLQGRLDEARQMLSKEADASPASAGICRIMGDLMRTMPILSPGNTQTLTELELKWQHWHEECERYLQDSTFATSPHLESLLKIMLGDEAALLEQKELLSNWYHFLVTRLLYSNPTVKPIDLHYYAQSSLDLFLGGESSPEPLDNILLAAFEFDIHQVIKECSIALSNWWFVAHLTDLLDHCKLLQSHNLYFGSNMREFLLLEYASGLFAHPSLWQLGVDYFDYCPELGRVSLELHIERIPLNTEQKALKVLRICEQRQMTEQVRSICKILAMKAVRNNRLGSALSWSIRAKDAAFATLVSDRFLRDYCERGCFSDLDLIDNLGPAMMLSDRLTFLGKYREFHRMYGEKRFADAASLLLSLMTSRIAPRSFWMTLLTDALPLLEQKQVIFSAEQTYELMRCLEDLTSRRPVHGESDTEQLQDDDIETTKVEMLRLSLARNLARAIIREGSLEGS
+>DECOY_sp|Q9BW27|NUP85_HUMAN Nuclear pore complex protein Nup85 OS=Homo sapiens OX=9606 GN=NUP85 PE=1 SV=1
+SGELSGERIIARALNRALSLRLMEVKTTEIDDDQLQETDSEGHVPRRSTLDELCRMLEYTQEASFIVQKQELLPLADTLLTMWFSRPAIRSTMLSLLLSAADAFRKEGYMRHFERYKGLFTLRDSLMMAPGLNDILDLDSFCGRECYDRLFRDSVLTAFAADKARISWSLASGLRNNRVAKMALIKCISRVQETMQRQECIRLVKLAKQETNLPIREIHLELSVRGLEPCYDFYDVGLQWLSPHAFLGSAYELLLFERMNSGFYLNHSQLLKCHDLLDTLHAVFWWNSLAISCEKIVQHIDFEFAALLINDLPEPSSEGGLFLDLSSQAYYHLDIPKVTPNSYLLRTVLFHYWNSLLEKQELLAAEDGLMIKLLSELHPSTAFTSDQLYRECEEHWHQWKLELETLTQTNGPSLIPMTRMLDGMIRCIGASAPSADAEKSLMQRAEDLRGQLVLITVLNWFSDHKSPNESGLVDASLSDVECVHLRVWDLLHLLLPGAPAVEIFLIECLNWILEMASLISVQSSFQRGNAPDKAAIAVQHMEEMCARIVSRYNKSVRVLQSKRSKGTLEEDIRQLGLFIGHSENFLKRLIQSYVDVDKRIIYIFPCSPVMESKEKKNFSTECVLMEGPGWDFYMQNKKSNVGPILTVTPEGDLEEM
+>sp|Q9ULI1|NWD2_HUMAN NACHT and WD repeat domain-containing protein 2 OS=Homo sapiens OX=9606 GN=NWD2 PE=2 SV=3
+MWPAGAGTKLPCPRDSALRRAAFSGNLTALPSHLVPAGRSVRVFISANPEDTGAERQALRENVYPKLREFCRENYGLEFQVIDLYWGVEEDEWDSPELQKTRMKLLENCLKTSAGPCFVGLLGEKYGNIRIPGEVEASEFEMILDAAIEAKLETKLLEEWYCRDENSVPAAYYLRPKSEMLRSNRNAMQPSTNAENEKTWQEISDEIKKIFKAAVKLLHEKGKMKHSQAKRYLFSAIEDEFDFALGKQTPAFLKKCVCYIRKIANIERFVKIPEMGKYMDITGTEPRIIRDPEAQEKLIKLRDEFIPTIVASSNLRVYTSVTHCDMKLGYSQEIENHYIEGLGKQFYEDMIDIIQATIQQNFDTETDTLYDEILQHSSLCKTYASFYEYKCESLNIVHNYILPSKAGHINPLIIYGGPCTGKTLLLAEVAKKAYGWLHEDTGPESDPVVIVRFLGTTDMSSDLRTLLLSVCEQLAVNYRCLVQSYPKKIHDLCDLFINLLNESSLQRPLVIIFDALEQLSENDDARKLWWLPAHLPRFVRIVLSTLPNKHGILQKLRCLIHEEDNYIELIPRDRKMCSQVLKHQLLRVKRKVTSGQQIYVNNALSKCTLPMFVNLTFREVRHWRSHKDVDESSLSVTVHESIEQLFWSLEKKCGQKLVSRALGYITMAKMGLSEMELEDVLALDNSVMSELKENTRPSNPLRVPYLYIARLKEGLSGYLIERHVKNVTLLVWANRHLQLIAQKLYLQDDNDLREMHTILADYFLGVWSGGRRKAFCLEDPYLNGCLDLENRSLLEEEKHFMEQASFDRQAPDQPWVFQCNPLEPDIFFVNHRKMSELLYHLTRCGKTDDLLYGIIMNFSWLYTMIKIGQFDKVLSDIELAYNYSQEKELKFLANTLRSIKNKVTAFPGSLSAELQQRLLPVVSSLPKLRHLLLECDKDGPKYCSIVPLHSSMDVTYSPERLPLSSSHLHVTEILPTCNPSTVLTALENGSISTWDVETRQLLRQITTAQSVILGMKLTSDEKYLVVATTNNTLLIYDNVNSCLLSEVEIKGTKHGSSATYINGFTLSANHALAWLEASKDVTVIDLLYGWPLYQFHCWYEVTCVQCSLDGLYAFCGQYLNTTTIFHLGSGEKLCTVTSEFSGGFVKFLLILDTAQEMVMVDSEGSLSVWNTEDISSPQLTDDFDCRREDSEVVSIELSEDQSAVLICKALSIELLDTGLWKVAEKFRAKHNERFISAVLSKNGDCIIATMENTSAVFFWRRDTGQCMASLQEISGSIVKLVKSSHHNMLLSLSTSGVLSIWDIDIITAMSNIDKTGKPIQSLLLPARGEIIYSLDGSDCVHKWNFSSGFIEAVFKHEGIVEHCVLTSTGDIMVTSDDKSSQYVWHTSSGENLFRINGQRISQLLITHNDQFVVSLCEENASRVWRLATGHRVCNILTTLQNAFITSANTFVVGMTKSKVLAVSLWTGSITKKFCCEDGTTIVNFKLIPDCPDIIVFITSAETVNIWSLTDEVICRRVQLPNNFLKNLEDFEISPNGKLGIIARGDENINVLDLYSGKLRVVHASGIIWRQRLSRDGRYLVYICFRNGEEEDENGAIFSLIVMRLADGKNIGACSLYKTPTFLALSQRHLNIIVGFDDGSIGIYTVVDRVDAALKIKIATSNSRQIFNNATHTSRPKCNSYCFKISVDCLWRESTEVFARDSPITVSDSTESNEATPSKKHNSCYERVCSALEARGHSYAPDN
+>DECOY_sp|Q9ULI1|NWD2_HUMAN NACHT and WD repeat domain-containing protein 2 OS=Homo sapiens OX=9606 GN=NWD2 PE=2 SV=3
+NDPAYSHGRAELASCVREYCSNHKKSPTAENSETSDSVTIPSDRAFVETSERWLCDVSIKFCYSNCKPRSTHTANNFIQRSNSTAIKIKLAADVRDVVTYIGISGDDFGVIINLHRQSLALFTPTKYLSCAGINKGDALRMVILSFIAGNEDEEEGNRFCIYVLYRGDRSLRQRWIIGSAHVVRLKGSYLDLVNINEDGRAIIGLKGNPSIEFDELNKLFNNPLQVRRCIVEDTLSWINVTEASTIFVIIDPCDPILKFNVITTGDECCFKKTISGTWLSVALVKSKTMGVVFTNASTIFANQLTTLINCVRHGTALRWVRSANEECLSVVFQDNHTILLQSIRQGNIRFLNEGSSTHWVYQSSKDDSTVMIDGTSTLVCHEVIGEHKFVAEIFGSSFNWKHVCDSGDLSYIIEGRAPLLLSQIPKGTKDINSMATIIDIDWISLVGSTSLSLLMNHHSSKVLKVISGSIEQLSAMCQGTDRRWFFVASTNEMTAIICDGNKSLVASIFRENHKARFKEAVKWLGTDLLEISLAKCILVASQDESLEISVVESDERRCDFDDTLQPSSIDETNWVSLSGESDVMVMEQATDLILLFKVFGGSFESTVTCLKEGSGLHFITTTNLYQGCFAYLGDLSCQVCTVEYWCHFQYLPWGYLLDIVTVDKSAELWALAHNASLTFGNIYTASSGHKTGKIEVESLLCSNVNDYILLTNNTTAVVLYKEDSTLKMGLIVSQATTIQRLLQRTEVDWTSISGNELATLVTSPNCTPLIETVHLHSSSLPLREPSYTVDMSSHLPVISCYKPGDKDCELLLHRLKPLSSVVPLLRQQLEASLSGPFATVKNKISRLTNALFKLEKEQSYNYALEIDSLVKDFQGIKIMTYLWSFNMIIGYLLDDTKGCRTLHYLLESMKRHNVFFIDPELPNCQFVWPQDPAQRDFSAQEMFHKEEELLSRNELDLCGNLYPDELCFAKRRGGSWVGLFYDALITHMERLDNDDQLYLKQAILQLHRNAWVLLTVNKVHREILYGSLGEKLRAIYLYPVRLPNSPRTNEKLESMVSNDLALVDELEMESLGMKAMTIYGLARSVLKQGCKKELSWFLQEISEHVTVSLSSEDVDKHSRWHRVERFTLNVFMPLTCKSLANNVYIQQGSTVKRKVRLLQHKLVQSCMKRDRPILEIYNDEEHILCRLKQLIGHKNPLTSLVIRVFRPLHAPLWWLKRADDNESLQELADFIIVLPRQLSSENLLNIFLDCLDHIKKPYSQVLCRYNVALQECVSLLLTRLDSSMDTTGLFRVIVVPDSEPGTDEHLWGYAKKAVEALLLTKGTCPGGYIILPNIHGAKSPLIYNHVINLSECKYEYFSAYTKCLSSHQLIEDYLTDTETDFNQQITAQIIDIMDEYFQKGLGEIYHNEIEQSYGLKMDCHTVSTYVRLNSSAVITPIFEDRLKILKEQAEPDRIIRPETGTIDMYKGMEPIKVFREINAIKRIYCVCKKLFAPTQKGLAFDFEDEIASFLYRKAQSHKMKGKEHLLKVAAKFIKKIEDSIEQWTKENEANTSPQMANRNSRLMESKPRLYYAAPVSNEDRCYWEELLKTELKAEIAADLIMEFESAEVEGPIRINGYKEGLLGVFCPGASTKLCNELLKMRTKQLEPSDWEDEEVGWYLDIVQFELGYNERCFERLKPYVNERLAQREAGTDEPNASIFVRVSRGAPVLHSPLATLNGSFAARRLASDRPCPLKTGAGAPWM
+>sp|Q9UBU9|NXF1_HUMAN Nuclear RNA export factor 1 OS=Homo sapiens OX=9606 GN=NXF1 PE=1 SV=1
+MADEGKSYSEHDDERVNFPQRKKKGRGPFRWKYGEGNRRSGRGGSGIRSSRLEEDDGDVAMSDAQDGPRVRYNPYTTRPNRRGDTWHDRDRIHVTVRRDRAPPERGGAGTSQDGTSKNWFKITIPYGRKYDKAWLLSMIQSKCSVPFTPIEFHYENTRAQFFVEDASTASALKAVNYKILDRENRRISIIINSSAPPHTILNELKPEQVEQLKLIMSKRYDGSQQALDLKGLRSDPDLVAQNIDVVLNRRSCMAATLRIIEENIPELLSLNLSNNRLYRLDDMSSIVQKAPNLKILNLSGNELKSERELDKIKGLKLEELWLDGNSLCDTFRDQSTYISAIRERFPKLLRLDGHELPPPIAFDVEAPTTLPPCKGSYFGTENLKSLVLHFLQQYYAIYDSGDRQGLLDAYHDGACCSLSIPFIPQNPARSSLAEYFKDSRNVKKLKDPTLRFRLLKHTRLNVVAFLNELPKTQHDVNSFVVDISAQTSTLLCFSVNGVFKEVDGKSRDSLRAFTRTFIAVPASNSGLCIVNDELFVRNASSEEIQRAFAMPAPTPSSSPVPTLSPEQQEMLQAFSTQSGMNLEWSQKCLQDNNWDYTRSAQAFTHLKAKGEIPEVAFMK
+>DECOY_sp|Q9UBU9|NXF1_HUMAN Nuclear RNA export factor 1 OS=Homo sapiens OX=9606 GN=NXF1 PE=1 SV=1
+KMFAVEPIEGKAKLHTFAQASRTYDWNNDQLCKQSWELNMGSQTSFAQLMEQQEPSLTPVPSSSPTPAPMAFARQIEESSANRVFLEDNVICLGSNSAPVAIFTRTFARLSDRSKGDVEKFVGNVSFCLLTSTQASIDVVFSNVDHQTKPLENLFAVVNLRTHKLLRFRLTPDKLKKVNRSDKFYEALSSRAPNQPIFPISLSCCAGDHYADLLGQRDGSDYIAYYQQLFHLVLSKLNETGFYSGKCPPLTTPAEVDFAIPPPLEHGDLRLLKPFRERIASIYTSQDRFTDCLSNGDLWLEELKLGKIKDLERESKLENGSLNLIKLNPAKQVISSMDDLRYLRNNSLNLSLLEPINEEIIRLTAAMCSRRNLVVDINQAVLDPDSRLGKLDLAQQSGDYRKSMILKLQEVQEPKLENLITHPPASSNIIISIRRNERDLIKYNVAKLASATSADEVFFQARTNEYHFEIPTFPVSCKSQIMSLLWAKDYKRGYPITIKFWNKSTGDQSTGAGGREPPARDRRVTVHIRDRDHWTDGRRNPRTTYPNYRVRPGDQADSMAVDGDDEELRSSRIGSGGRGSRRNGEGYKWRFPGRGKKKRQPFNVREDDHESYSKGEDAM
+>sp|Q96R47|O2A14_HUMAN Olfactory receptor 2A14 OS=Homo sapiens OX=9606 GN=OR2A14 PE=2 SV=4
+MEGNKTWITDITLPRFQVGPALEILLCGLFSAFYTLTLLGNGVIFGIICLDCKLHTPMYFFLSHLAIVDISYASNYVPKMLTNLMNQESTISFFPCIMQTFLYLAFAHVECLILVVMSYDRYADICHPLRYNSLMSWRVCTVLAVASWVFSFLLALVPLVLILSLPFCGPHEINHFFCEILSVLKLACADTWLNQVVIFAACVFILVGPLCLVLVSYLRILAAILRIQSGEGRRKAFSTCSSHLCVVGLFFGSAIVTYMAPKSRHPEEQQKVLSLFYSLFNPMLNPLIYSLRNAEVKGALRRALRKERLT
+>DECOY_sp|Q96R47|O2A14_HUMAN Olfactory receptor 2A14 OS=Homo sapiens OX=9606 GN=OR2A14 PE=2 SV=4
+TLREKRLARRLAGKVEANRLSYILPNLMPNFLSYFLSLVKQQEEPHRSKPAMYTVIASGFFLGVVCLHSSCTSFAKRRGEGSQIRLIAALIRLYSVLVLCLPGVLIFVCAAFIVVQNLWTDACALKLVSLIECFFHNIEHPGCFPLSLILVLPVLALLFSFVWSAVALVTCVRWSMLSNYRLPHCIDAYRDYSMVVLILCEVHAFALYLFTQMICPFFSITSEQNMLNTLMKPVYNSAYSIDVIALHSLFFYMPTHLKCDLCIIGFIVGNGLLTLTYFASFLGCLLIELAPGVQFRPLTIDTIWTKNGEM
+>sp|Q8NGZ9|O2T10_HUMAN Olfactory receptor 2T10 OS=Homo sapiens OX=9606 GN=OR2T10 PE=2 SV=1
+MRLANQTLGGDFFLLGIFSQISHPGRLCLLIFSIFLMAVSWNITLILLIHIDSSLHTPMYFFINQLSLIDLTYISVTVPKMLVNQLAKDKTISVLGCGTQMYFYLQLGGAECCLLAAMAYDRYVAICHPLRYSVLMSHRVCLLLASGCWFVGSVDGFMLTPIAMSFPFCRSHEIQHFFCEVPAVLKLSCSDTSLYKIFMYLCCVIMLLIPVTVISVSYYYIILTIHKMNSVEGRKKAFTTCSSHITVVSLFYGAAIYNYMLPSSYQTPEKDMMSSFFYTILTPVLNPIIYSFRNKDVTRALKKMLSVQKPPY
+>DECOY_sp|Q8NGZ9|O2T10_HUMAN Olfactory receptor 2T10 OS=Homo sapiens OX=9606 GN=OR2T10 PE=2 SV=1
+YPPKQVSLMKKLARTVDKNRFSYIIPNLVPTLITYFFSSMMDKEPTQYSSPLMYNYIAAGYFLSVVTIHSSCTTFAKKRGEVSNMKHITLIIYYYSVSIVTVPILLMIVCCLYMFIKYLSTDSCSLKLVAPVECFFHQIEHSRCFPFSMAIPTLMFGDVSGVFWCGSALLLCVRHSMLVSYRLPHCIAVYRDYAMAALLCCEAGGLQLYFYMQTGCGLVSITKDKALQNVLMKPVTVSIYTLDILSLQNIFFYMPTHLSSDIHILLILTINWSVAMLFISFILLCLRGPHSIQSFIGLLFFDGGLTQNALRM
+>sp|Q8NG77|O2T12_HUMAN Olfactory receptor 2T12 OS=Homo sapiens OX=9606 GN=OR2T12 PE=3 SV=1
+MEMRNTTPDFILLGLFNHTRAHQVLFMMLLATVLTSLFSNALMILLIHWDHRLHRPMYFLLSQLSLMDMMLVSTTVPKMAADYLTGNKAISRAGCGVQIFFLPTLGGGECFLLAAMAYDRYAAVCHPLRYPTLMSWQLCLRMTMSSWLLGAADGLLQAVATLSFPYCGAHEIDHFFCEAPVLVRLACADTSVFENAMYICCVLMLLVPFSLILSSYGLILAAVLLMRSTEARKKAFATCSSHVAVVGLFYGAGIFTYMRPKSHRSTNHDKVVSAFYTMFTPLLNPLIYSVRNSEVKEALKRWLGTCVNLKHQQNEAHRSR
+>DECOY_sp|Q8NG77|O2T12_HUMAN Olfactory receptor 2T12 OS=Homo sapiens OX=9606 GN=OR2T12 PE=3 SV=1
+RSRHAENQQHKLNVCTGLWRKLAEKVESNRVSYILPNLLPTFMTYFASVVKDHNTSRHSKPRMYTFIGAGYFLGVVAVHSSCTAFAKKRAETSRMLLVAALILGYSSLILSFPVLLMLVCCIYMANEFVSTDACALRVLVPAECFFHDIEHAGCYPFSLTAVAQLLGDAAGLLWSSMTMRLCLQWSMLTPYRLPHCVAAYRDYAMAALLFCEGGGLTPLFFIQVGCGARSIAKNGTLYDAAMKPVTTSVLMMDMLSLQSLLFYMPRHLRHDWHILLIMLANSFLSTLVTALLMMFLVQHARTHNFLGLLIFDPTTNRMEM
+>sp|Q8NGX1|O2T34_HUMAN Olfactory receptor 2T34 OS=Homo sapiens OX=9606 GN=OR2T34 PE=2 SV=1
+MCSGNQTSQNQTASTDFTLTGLFAESKHAALLYTVTFLLFLMALTGNALLILLIHSEPRLHTPMYFFISQLALMDLMYLCVTVPKMLVGQVTGDDTISPSGCGIQMFFHLTLAGAEVFLLAAMAYDRYAAVCRPLHYPLLMNQRVCQLLVSACWVLGMVDGLLLTPITMSFPFCQSRKILSFFCETPALLKLSCSDVSLYKMLTYLCCILMLLTPIMVISSSYTLILHLIHRMNSAAGRRKALATCSSHMIIVLLLFGASFYTYMLRSSYHTAEQDMMVSAFYTIFTPVLNPLIYSLRNKDVTRALRSMMQSRMNQEK
+>DECOY_sp|Q8NGX1|O2T34_HUMAN Olfactory receptor 2T34 OS=Homo sapiens OX=9606 GN=OR2T34 PE=2 SV=1
+KEQNMRSQMMSRLARTVDKNRLSYILPNLVPTFITYFASVMMDQEATHYSSRLMYTYFSAGFLLLVIIMHSSCTALAKRRGAASNMRHILHLILTYSSSIVMIPTLLMLICCLYTLMKYLSVDSCSLKLLAPTECFFSLIKRSQCFPFSMTIPTLLLGDVMGLVWCASVLLQCVRQNMLLPYHLPRCVAAYRDYAMAALLFVEAGALTLHFFMQIGCGSPSITDDGTVQGVLMKPVTVCLYMLDMLALQSIFFYMPTHLRPESHILLILLANGTLAMLFLLFTVTYLLAAHKSEAFLGTLTFDTSATQNQSTQNGSCM
+>sp|Q8NH70|O4A16_HUMAN Olfactory receptor 4A16 OS=Homo sapiens OX=9606 GN=OR4A16 PE=3 SV=1
+MRPSSNVTEFVLLGLTQDPDVKKTLFVMFLLIYIVTMVGNLLIWVTTIGSPSLGSLMYFFLAYLSLMDAIYSTAMSPKLMIDLLCDKIAISLSACMGQLFIEHLLGGAEVFLLVVMAYDRYVAISKPLHYLNIMNRLVCILLLVVAMIGGFVHSVVQIVFLYSLPICGPNVIDHSVCDMYPLLELLCLDTYFIGLTVVANGGIICMVIFTFLLISCGVILNFLKTYSQEERHKALPTCISHIIVVALVFVPCIFMYVRPVSNFPFDKLMTVFYSIITLMLNPLIYSLRQSEMKNAMKNLWCEKLSIVRKRVSPTLNIFIPSSKATNRR
+>DECOY_sp|Q8NH70|O4A16_HUMAN Olfactory receptor 4A16 OS=Homo sapiens OX=9606 GN=OR4A16 PE=3 SV=1
+RRNTAKSSPIFINLTPSVRKRVISLKECWLNKMANKMESQRLSYILPNLMLTIISYFVTMLKDFPFNSVPRVYMFICPVFVLAVVIIHSICTPLAKHREEQSYTKLFNLIVGCSILLFTFIVMCIIGGNAVVTLGIFYTDLCLLELLPYMDCVSHDIVNPGCIPLSYLFVIQVVSHVFGGIMAVVLLLICVLRNMINLYHLPKSIAVYRDYAMVVLLFVEAGGLLHEIFLQGMCASLSIAIKDCLLDIMLKPSMATSYIADMLSLYALFFYMLSGLSPSGITTVWILLNGVMTVIYILLFMVFLTKKVDPDQTLGLLVFETVNSSPRM
+>sp|A6NMZ5|O4C45_HUMAN Olfactory receptor 4C45 OS=Homo sapiens OX=9606 GN=OR4C45 PE=3 SV=1
+MNNVIEFILLGLTHNPELQKFLFVMFLITYLITLAGNLFISVIIFISPALGSPMYSFPSYLFIIDIFCSSSIAPKMNFDLISEKNTISFNGCMTQLFTEHFFYYYYYYTLTEIILLSVMAYDHYVAIRKPLHYATIMSQPMCGFLMVVAGILGFVHGGIQTLFIAQLPFCGPNVINHFMCDLVPLLELACTDTHTLGPLIAANSGSLCFLIFSMLVASYVIILCFLRTHSSEGRRKALSSCASHIFIVILFFVPFSYLYLRPTSFPTDKAVTVFCTLFTPMLNPLIYTLKNKEVKNVIKKLWKQIMTTDDK
+>DECOY_sp|A6NMZ5|O4C45_HUMAN Olfactory receptor 4C45 OS=Homo sapiens OX=9606 GN=OR4C45 PE=3 SV=1
+KDDTTMIQKWLKKIVNKVEKNKLTYILPNLMPTFLTCFVTVAKDTPFSTPRLYLYSFPVFFLIVIFIHSACSSLAKRRGESSHTRLFCLIIVYSAVLMSFILFCLSGSNAAILPGLTHTDTCALELLPVLDCMFHNIVNPGCFPLQAIFLTQIGGHVFGLIGAVVMLFGCMPQSMITAYHLPKRIAVYHDYAMVSLLIIETLTYYYYYYFFHETFLQTMCGNFSITNKESILDFNMKPAISSSCFIDIIFLYSPFSYMPSGLAPSIFIIVSIFLNGALTILYTILFMVFLFKQLEPNHTLGLLIFEIVNNM
+>sp|Q8NGB8|O4F15_HUMAN Olfactory receptor 4F15 OS=Homo sapiens OX=9606 GN=OR4F15 PE=2 SV=1
+MNGMNHSVVSEFVFMGLTNSREIQLLLFVFSLLFYFASMMGNLVIVFTVTMDAHLHSPMYFLLANLSIIDMAFCSITAPKMICDIFKKHKAISFRGCITQIFFSHALGGTEMVLLIAMAFDRYMAICKPLHYLTIMSPRMCLYFLATSSIIGLIHSLVQLVFVVDLPFCGPNIFDSFYCDLPRLLRLACTNTQELEFMVTVNSGLISVGSFVLLVISYIFILFTVWKHSSGGLAKALSTLSAHVTVVILFFGPLMFFYTWPSPTSHLDKYLAIFDAFITPFLNPVIYTFRNKDMKVAMRRLCSRLAHFTKIL
+>DECOY_sp|Q8NGB8|O4F15_HUMAN Olfactory receptor 4F15 OS=Homo sapiens OX=9606 GN=OR4F15 PE=2 SV=1
+LIKTFHALRSCLRRMAVKMDKNRFTYIVPNLFPTIFADFIALYKDLHSTPSPWTYFFMLPGFFLIVVTVHASLTSLAKALGGSSHKWVTFLIFIYSIVLLVFSGVSILGSNVTVMFELEQTNTCALRLLRPLDCYFSDFINPGCFPLDVVFVLQVLSHILGIISSTALFYLCMRPSMITLYHLPKCIAMYRDFAMAILLVMETGGLAHSFFIQTICGRFSIAKHKKFIDCIMKPATISCFAMDIISLNALLFYMPSHLHADMTVTFVIVLNGMMSAFYFLLSFVFLLLQIERSNTLGMFVFESVVSHNMGNM
+>sp|Q8NGJ6|O51A4_HUMAN Olfactory receptor 51A4 OS=Homo sapiens OX=9606 GN=OR51A4 PE=2 SV=1
+MSIINTSYVEITTFFLVGMPGLEYAHIWISIPICSMYLIAILGNGTILFIIKTEPSLHEPMYYFLSMLAMSDLGLSLSSLPTVLSIFLFNAPEISSNACFAQEFFIHGFSVLESSVLLIMSFDRFLAIHNPLRYTSILTTVRVAQIGIVFSFKSMLLVLPFPFTLRNLRYCKKNQLSHSYCLHQDVMKLACSDNRIDVIYGFFGALCLMVDFILIAVSYTLILKTVLGIASKKEQLKALNTCVSHICAVIIFYLPIINLAVVHRFARHVSPLINVLMANVLLLVPPLTNPIVYCVKTKQIRVRVVAKLCQRKI
+>DECOY_sp|Q8NGJ6|O51A4_HUMAN Olfactory receptor 51A4 OS=Homo sapiens OX=9606 GN=OR51A4 PE=2 SV=1
+IKRQCLKAVVRVRIQKTKVCYVIPNTLPPVLLLVNAMLVNILPSVHRAFRHVVALNIIPLYFIIVACIHSVCTNLAKLQEKKSAIGLVTKLILTYSVAILIFDVMLCLAGFFGYIVDIRNDSCALKMVDQHLCYSHSLQNKKCYRLNRLTFPFPLVLLMSKFSFVIGIQAVRVTTLISTYRLPNHIALFRDFSMILLVSSELVSFGHIFFEQAFCANSSIEPANFLFISLVTPLSSLSLGLDSMALMSLFYYMPEHLSPETKIIFLITGNGLIAILYMSCIPISIWIHAYELGPMGVLFFTTIEVYSTNIISM
+>sp|Q9Y5P0|O51B4_HUMAN Olfactory receptor 51B4 OS=Homo sapiens OX=9606 GN=OR51B4 PE=2 SV=3
+MWYNNSAGPFLLTGFLGSEAVHYRISMSFFVIYFSVLFGNGTLLVLIWNDHSLHEPMYYFLAMLADTDLGMTFTTMPTVLGVLLLDQREIAHAACFTQSFIHSLAIVESGILLVLAYDCFIAIRTPLRYNCILTNSRVMNIGLGVLMRGFMSILPIILSLYCYPYCGSRALLHTFCLHQDVIKLACADITFNHIYPIIQTSLTVFLDALIIIFSYILILKTVMGIASGQEEAKSLNTCVSHISCVLVFHITVMGLSFIHRFGKHAPHVVPITMSYVHFLFPPFVNPIIYSIKTKQIQRSIIRLFSGQSRA
+>DECOY_sp|Q9Y5P0|O51B4_HUMAN Olfactory receptor 51B4 OS=Homo sapiens OX=9606 GN=OR51B4 PE=2 SV=3
+ARSQGSFLRIISRQIQKTKISYIIPNVFPPFLFHVYSMTIPVVHPAHKGFRHIFSLGMVTIHFVLVCSIHSVCTNLSKAEEQGSAIGMVTKLILIYSFIIILADLFVTLSTQIIPYIHNFTIDACALKIVDQHLCFTHLLARSGCYPYCYLSLIIPLISMFGRMLVGLGINMVRSNTLICNYRLPTRIAIFCDYALVLLIGSEVIALSHIFSQTFCAAHAIERQDLLLVGLVTPMTTFTMGLDTDALMALFYYMPEHLSHDNWILVLLTGNGFLVSFYIVFFSMSIRYHVAESGLFGTLLFPGASNNYWM
+>sp|Q9H340|O51B6_HUMAN Olfactory receptor 51B6 OS=Homo sapiens OX=9606 GN=OR51B6 PE=3 SV=2
+MGLNKSASTFQLTGFPGMEKAHHWIFIPLLAAYISILLGNGTLLFLIRNDHNLHEPMYYFLAMLAATDLGVTLTTMPTVLGVLWLDHREIGHGACFSQAYFIHTLSVMESGVLLAMAYDCFITIRSPLRYTSILTNTQVMKIGVRVLTRAGLSIMPIVVRLHWFPYCRSHVLSHAFCLHQDVIKLACADITFNRLYPVVVLFAMVLLDFLIIFFSYILILKTVMGIGSGGERAKALNTCVSHICCILVFYVTVVCLTFIHRFGKHVPHVVHITMSYIHFLFPPFMNPFIYSIKTKQIQSGILRLFSLPHSRA
+>DECOY_sp|Q9H340|O51B6_HUMAN Olfactory receptor 51B6 OS=Homo sapiens OX=9606 GN=OR51B6 PE=3 SV=2
+ARSHPLSFLRLIGSQIQKTKISYIFPNMFPPFLFHIYSMTIHVVHPVHKGFRHIFTLCVVTVYFVLICCIHSVCTNLAKAREGGSGIGMVTKLILIYSFFIILFDLLVMAFLVVVPYLRNFTIDACALKIVDQHLCFAHSLVHSRCYPFWHLRVVIPMISLGARTLVRVGIKMVQTNTLISTYRLPSRITIFCDYAMALLVGSEMVSLTHIFYAQSFCAGHGIERHDLWLVGLVTPMTTLTVGLDTAALMALFYYMPEHLNHDNRILFLLTGNGLLISIYAALLPIFIWHHAKEMGPFGTLQFTSASKNLGM
+>sp|Q9H343|O51I1_HUMAN Olfactory receptor 51I1 OS=Homo sapiens OX=9606 GN=OR51I1 PE=2 SV=1
+MLGLNGTPFQPATLQLTGIPGIQTGLTWVALIFCILYMISIVGNLSILTLVFWEPALHQPMYYFLSMLALNDLGVSFSTLPTVISTFCFNYNHVAFNACLVQMFFIHTFSFMESGILLAMSLDRFVAICYPLRYVTVLTHNRILAMGLGILTKSFTTLFPFPFVVKRLPFCKGNVLHHSYCLHPDLMKVACGDIHVNNIYGLLVIIFTYGMDSTFILLSYALILRAMLVIISQEQRLKALNTCMSHICAVLAFYVPIIAVSMIHRFWKSAPPVVHVMMSNVYLFVPPMLNPIIYSVKTKEIRKGILKFFHKSQA
+>DECOY_sp|Q9H343|O51I1_HUMAN Olfactory receptor 51I1 OS=Homo sapiens OX=9606 GN=OR51I1 PE=2 SV=1
+AQSKHFFKLIGKRIEKTKVSYIIPNLMPPVFLYVNSMMVHVVPPASKWFRHIMSVAIIPVYFALVACIHSMCTNLAKLRQEQSIIVLMARLILAYSLLIFTSDMGYTFIIVLLGYINNVHIDGCAVKMLDPHLCYSHHLVNGKCFPLRKVVFPFPFLTTFSKTLIGLGMALIRNHTLVTVYRLPYCIAVFRDLSMALLIGSEMFSFTHIFFMQVLCANFAVHNYNFCFTSIVTPLTSFSVGLDNLALMSLFYYMPQHLAPEWFVLTLISLNGVISIMYLICFILAVWTLGTQIGPIGTLQLTAPQFPTGNLGLM
+>sp|Q8NGJ5|O51L1_HUMAN Olfactory receptor 51L1 OS=Homo sapiens OX=9606 GN=OR51L1 PE=3 SV=1
+MGDWNNSDAVEPIFILRGFPGLEYVHSWLSILFCLAYLVAFMGNVTILSVIWIESSLHQPMYYFISILAVNDLGMSLSTLPTMLAVLWLDAPEIQASACYAQLFFIHTFTFLESSVLLAMAFDRFVAICHPLHYPTILTNSVIGKIGLACLLRSLGVVLPTPLLLRHYHYCHGNALSHAFCLHQDVLRLSCTDARTNSIYGLCVVIATLGVDSIFILLSYVLILNTVLDIASREEQLKALNTCVSHICVVLIFFVPVIGVSMVHRFGKHLSPIVHILMADIYLLLPPVLNPIVYSVRTKQIRLGILHKFVLRRRF
+>DECOY_sp|Q8NGJ5|O51L1_HUMAN Olfactory receptor 51L1 OS=Homo sapiens OX=9606 GN=OR51L1 PE=3 SV=1
+FRRRLVFKHLIGLRIQKTRVSYVIPNLVPPLLLYIDAMLIHVIPSLHKGFRHVMSVGIVPVFFILVVCIHSVCTNLAKLQEERSAIDLVTNLILVYSLLIFISDVGLTAIVVCLGYISNTRADTCSLRLVDQHLCFAHSLANGHCYHYHRLLLPTPLVVGLSRLLCALGIKGIVSNTLITPYHLPHCIAVFRDFAMALLVSSELFTFTHIFFLQAYCASAQIEPADLWLVALMTPLTSLSMGLDNVALISIFYYMPQHLSSEIWIVSLITVNGMFAVLYALCFLISLWSHVYELGPFGRLIFIPEVADSNNWDGM
+>sp|Q8NH59|O51Q1_HUMAN Olfactory receptor 51Q1 OS=Homo sapiens OX=9606 GN=OR51Q1 PE=2 SV=2
+MSQVTNTTQEGIYFILTDIPGFEASHIWISIPVCCLYTISIMGNTTILTVIRTEPSVHQRMYLFLSMLALTDLGLTLTTLPTVMQLLWFNVRRISSEACFAQFFFLHGFSFMESSVLLAMSVDCYVAICCPLHYASILTNEVIGRTGLAIICCCVLAVLPSLFLLKRLPFCHSHLLSRSYCLHQDMIRLVCADIRLNSWYGFALALLIIIVDPLLIVISYTLILKNILGTATWAERLRALNNCLSHILAVLVLYIPMVGVSMTHRFAKHASPLVHVIMANIYLLAPPVMNPIIYSVKNKQIQWGMLNFLSLKNMHSR
+>DECOY_sp|Q8NH59|O51Q1_HUMAN Olfactory receptor 51Q1 OS=Homo sapiens OX=9606 GN=OR51Q1 PE=2 SV=2
+RSHMNKLSLFNLMGWQIQKNKVSYIIPNMVPPALLYINAMIVHVLPSAHKAFRHTMSVGVMPIYLVLVALIHSLCNNLARLREAWTATGLINKLILTYSIVILLPDVIIILLALAFGYWSNLRIDACVLRIMDQHLCYSRSLLHSHCFPLRKLLFLSPLVALVCCCIIALGTRGIVENTLISAYHLPCCIAVYCDVSMALLVSSEMFSFGHLFFFQAFCAESSIRRVNFWLLQMVTPLTTLTLGLDTLALMSLFLYMRQHVSPETRIVTLITTNGMISITYLCCVPISIWIHSAEFGPIDTLIFYIGEQTTNTVQSM
+>sp|A6NMU1|O52A4_HUMAN Olfactory receptor 52A4 OS=Homo sapiens OX=9606 GN=OR52A4P PE=2 SV=1
+MALPITNGTLFMPFVLTFIGIPGFESVQCWIGIPFCATYVIALIGNSLLLIIIKSEPSLHEPMYIFLATLGATDISLSTSIVPKMLDIFWFHLPEIYFDACLFQMWLIHTFQGIESGVLLAMALDRCVAICYPLRRAIVFTRQLVTYIVVGVTLRPAILVIPCLLLIKCHLKLYRTKLIYHTYCERVALVKLATEDVYINKVYGILGAFIVGGLDFIFITLSYIQIFITVFHLPLKEARLKVFNTCIPHIYVFFQFYLLAFFFIFYSQIWILYPIICTYHLVQSLPTGPTIPQPLYLWVKDQTH
+>DECOY_sp|A6NMU1|O52A4_HUMAN Olfactory receptor 52A4 OS=Homo sapiens OX=9606 GN=OR52A4P PE=2 SV=1
+HTQDKVWLYLPQPITPGTPLSQVLHYTCIIPYLIWIQSYFIFFFALLYFQFFVYIHPICTNFVKLRAEKLPLHFVTIFIQIYSLTIFIFDLGGVIFAGLIGYVKNIYVDETALKVLAVRECYTHYILKTRYLKLHCKILLLCPIVLIAPRLTVGVVIYTVLQRTFVIARRLPYCIAVCRDLAMALLVGSEIGQFTHILWMQFLCADFYIEPLHFWFIDLMKPVISTSLSIDTAGLTALFIYMPEHLSPESKIIILLLSNGILAIVYTACFPIGIWCQVSEFGPIGIFTLVFPMFLTGNTIPLAM
+>sp|Q96RD2|O52B2_HUMAN Olfactory receptor 52B2 OS=Homo sapiens OX=9606 GN=OR52B2 PE=2 SV=3
+MSHTNVTIFHPAVFVLPGIPGLEAYHIWLSIPLCLIYITAVLGNSILIVVIVMERNLHVPMYFFLSMLAVMDILLSTTTVPKALAIFWLQAHNIAFDACVTQGFFVHMMFVGESAILLAMAFDRFVAICAPLRYTTVLTWPVVGRIALAVITRSFCIIFPVIFLLKRLPFCLTNIVPHSYCEHIGVARLACADITVNIWYGFSVPIVMVILDVILIAVSYSLILRAVFRLPSQDARHKALSTCGSHLCVILMFYVPSFFTLLTHHFGRNIPQHVHILLANLYVAVPPMLNPIVYGVKTKQIREGVAHRFFDIKTWCCTSPLGS
+>DECOY_sp|Q96RD2|O52B2_HUMAN Olfactory receptor 52B2 OS=Homo sapiens OX=9606 GN=OR52B2 PE=2 SV=3
+SGLPSTCCWTKIDFFRHAVGERIQKTKVGYVIPNLMPPVAVYLNALLIHVHQPINRGFHHTLLTFFSPVYFMLIVCLHSGCTSLAKHRADQSPLRFVARLILSYSVAILIVDLIVMVIPVSFGYWINVTIDACALRAVGIHECYSHPVINTLCFPLRKLLFIVPFIICFSRTIVALAIRGVVPWTLVTTYRLPACIAVFRDFAMALLIASEGVFMMHVFFGQTVCADFAINHAQLWFIALAKPVTTTSLLIDMVALMSLFFYMPVHLNREMVIVVILISNGLVATIYILCLPISLWIHYAELGPIGPLVFVAPHFITVNTHSM
+>sp|Q8NGF0|O52B6_HUMAN Olfactory receptor 52B6 OS=Homo sapiens OX=9606 GN=OR52B6 PE=3 SV=3
+MAQVRALHKIMALFSANSIGAMNNSDTRIAGCFLTGIPGLEQLHIWLSIPFCIMYITALEGNGILICVILSQAILHEPMYIFLSMLASADVLLSTTTMPKALANLWLGYSLISFDGCLTQMFFIHFLFIHSAVLLAMAFDRYVAICSPLRYVTILTSKVIGKIVTAALSHSFIIMFPSIFLLEHLHYCQINIIAHTFCEHMGIAHLSCSDISINVWYGLAAALLSTGLDIMLITVSYIHILQAVFRLLSQDARSKALSTCGSHICVILLFYVPALFSVFAYRFGGRSVPCYVHILLASLYVVIPPMLNPVIYGVRTKPILEGAKQMFSNLAKGSK
+>DECOY_sp|Q8NGF0|O52B6_HUMAN Olfactory receptor 52B6 OS=Homo sapiens OX=9606 GN=OR52B6 PE=3 SV=3
+KSGKALNSFMQKAGELIPKTRVGYIVPNLMPPIVVYLSALLIHVYCPVSRGGFRYAFVSFLAPVYFLLIVCIHSGCTSLAKSRADQSLLRFVAQLIHIYSVTILMIDLGTSLLAAALGYWVNISIDSCSLHAIGMHECFTHAIINIQCYHLHELLFISPFMIIFSHSLAATVIKGIVKSTLITVYRLPSCIAVYRDFAMALLVASHIFLFHIFFMQTLCGDFSILSYGLWLNALAKPMTTTSLLVDASALMSLFIYMPEHLIAQSLIVCILIGNGELATIYMICFPISLWIHLQELGPIGTLFCGAIRTDSNNMAGISNASFLAMIKHLARVQAM
+>sp|Q8NGJ4|O52E2_HUMAN Olfactory receptor 52E2 OS=Homo sapiens OX=9606 GN=OR52E2 PE=3 SV=2
+MFLPNDTQFHPSSFLLLGIPGLETLHIWIGFPFCAVYMIALIGNFTILLVIKTDSSLHQPMFYFLAMLATTDVGLSTATIPKMLGIFWINLRGIIFEACLTQMFFIHNFTLMESAVLVAMAYDSYVAICNPLQYSAILTNKVVSVIGLGVFVRALIFVIPSILLILRLPFCGNHVIPHTYCEHMGLAHLSCASIKINIIYGLCAICNLVFDITVIALSYVHILCAVFRLPTHEARLKSLSTCGSHVCVILAFYTPALFSFMTHRFGRNVPRYIHILLANLYVVVPPMLNPVIYGVRTKQIYKCVKKILLQEQGMEKEEYLIHTRF
+>DECOY_sp|Q8NGJ4|O52E2_HUMAN Olfactory receptor 52E2 OS=Homo sapiens OX=9606 GN=OR52E2 PE=3 SV=2
+FRTHILYEEKEMGQEQLLIKKVCKYIQKTRVGYIVPNLMPPVVVYLNALLIHIYRPVNRGFRHTMFSFLAPTYFALIVCVHSGCTSLSKLRAEHTPLRFVACLIHVYSLAIVTIDFVLNCIACLGYIINIKISACSLHALGMHECYTHPIVHNGCFPLRLILLISPIVFILARVFVGLGIVSVVKNTLIASYQLPNCIAVYSDYAMAVLVASEMLTFNHIFFMQTLCAEFIIGRLNIWFIGLMKPITATSLGVDTTALMALFYFMPQHLSSDTKIVLLITFNGILAIMYVACFPFGIWIHLTELGPIGLLLFSSPHFQTDNPLFM
+>sp|Q6IFG1|O52E8_HUMAN Olfactory receptor 52E8 OS=Homo sapiens OX=9606 GN=OR52E8 PE=2 SV=3
+MAGRMSTSNHTQFHPSSFLLLGIPGLEDVHIWIGVPFFFVYLVALLGNTALLFVIQTEQSLHEPMYYFLAMLDSIDLGLSTATIPKMLGIFWFNTKEISFGGCLSHMFFIHFFTAMESIVLVAMAFDRYIAICKPLRYTMILTSKIISLIAGIAVLRSLYMVVPLVFLLLRLPFCGHRIIPHTYCEHMGIARLACASIKVNIRFGLGNISLLLLDVILIILSYVRILYAVFCLPSWEARLKALNTCGSHIGVILAFFTPAFFSFLTHRFGHNIPQYIHIILANLYVVVPPALNPVIYGVRTKQIRERVLRIFLKTNH
+>DECOY_sp|Q6IFG1|O52E8_HUMAN Olfactory receptor 52E8 OS=Homo sapiens OX=9606 GN=OR52E8 PE=2 SV=3
+HNTKLFIRLVRERIQKTRVGYIVPNLAPPVVVYLNALIIHIYQPINHGFRHTLFSFFAPTFFALIVGIHSGCTNLAKLRAEWSPLCFVAYLIRVYSLIILIVDLLLLSINGLGFRINVKISACALRAIGMHECYTHPIIRHGCFPLRLLLFVLPVVMYLSRLVAIGAILSIIKSTLIMTYRLPKCIAIYRDFAMAVLVISEMATFFHIFFMHSLCGGFSIEKTNFWFIGLMKPITATSLGLDISDLMALFYYMPEHLSQETQIVFLLATNGLLAVLYVFFFPVGIWIHVDELGPIGLLLFSSPHFQTHNSTSMRGAM
+>sp|Q8NGK6|O52I1_HUMAN Olfactory receptor 52I1 OS=Homo sapiens OX=9606 GN=OR52I1 PE=3 SV=2
+MLGPAYNHTMETPASFLLVGIPGLQSSHLWLAISLSAMYITALLGNTLIVTAIWMDSTRHEPMYCFLCVLAAVDIVMASSVVPKMVSIFCSGDSSISFSACFTQMFFVHLATAVETGLLLTMAFDRYVAICKPLHYKRILTPQVMLGMSMAVTIRAVTFMTPLSWMMNHLPFCGSNVVVHSYCKHIALARLACADPVPSSLYSLIGSSLMVGSDVAFIAASYILILRAVFDLSSKTAQLKALSTCGSHVGVMALYYLPGMASIYAAWLGQDIVPLHTQVLLADLYVIIPATLNPIIYGMRTKQLLEGIWSYLMHFLFDHSNLGS
+>DECOY_sp|Q8NGK6|O52I1_HUMAN Olfactory receptor 52I1 OS=Homo sapiens OX=9606 GN=OR52I1 PE=3 SV=2
+SGLNSHDFLFHMLYSWIGELLQKTRMGYIIPNLTAPIIVYLDALLVQTHLPVIDQGLWAAYISAMGPLYYLAMVGVHSGCTSLAKLQATKSSLDFVARLILIYSAAIFAVDSGVMLSSGILSYLSSPVPDACALRALAIHKCYSHVVVNSGCFPLHNMMWSLPTMFTVARITVAMSMGLMVQPTLIRKYHLPKCIAVYRDFAMTLLLGTEVATALHVFFMQTFCASFSISSDGSCFISVMKPVVSSAMVIDVAALVCLFCYMPEHRTSDMWIATVILTNGLLATIYMASLSIALWLHSSQLGPIGVLLFSAPTEMTHNYAPGLM
+>sp|Q8NGK4|O52K1_HUMAN Olfactory receptor 52K1 OS=Homo sapiens OX=9606 GN=OR52K1 PE=2 SV=2
+MLPSNITSTHPAVFLLVGIPGLEHLHAWISIPFCFAYTLALLGNCTLLFIIQADAALHEPMYLFLAMLATIDLVLSSTTLPKMLAIFWFRDQEINFFACLVQMFFLHSFSIMESAVLLAMAFDRYVAICKPLHYTTVLTGSLITKIGMAAVARAVTLMTPLPFLLRRFHYCRGPVIAHCYCEHMAVVRLACGDTSFNNIYGIAVAMFIVVLDLLFVILSYVFILQAVLQLASQEARYKAFGTCVSHIGAILSTYTPVVISSVMHRVARHAAPRVHILLAIFYLLFPPMVNPIIYGVKTKQIREYVLSLFQRKNM
+>DECOY_sp|Q8NGK4|O52K1_HUMAN Olfactory receptor 52K1 OS=Homo sapiens OX=9606 GN=OR52K1 PE=2 SV=2
+MNKRQFLSLVYERIQKTKVGYIIPNVMPPFLLYFIALLIHVRPAAHRAVRHMVSSIVVPTYTSLIAGIHSVCTGFAKYRAEQSALQLVAQLIFVYSLIVFLLDLVVIFMAVAIGYINNFSTDGCALRVVAMHECYCHAIVPGRCYHFRRLLFPLPTMLTVARAVAAMGIKTILSGTLVTTYHLPKCIAVYRDFAMALLVASEMISFSHLFFMQVLCAFFNIEQDRFWFIALMKPLTTSSLVLDITALMALFLYMPEHLAADAQIIFLLTCNGLLALTYAFCFPISIWAHLHELGPIGVLLFVAPHTSTINSPLM
+>sp|Q8NGK5|O52M1_HUMAN Olfactory receptor 52M1 OS=Homo sapiens OX=9606 GN=OR52M1 PE=3 SV=1
+MLTFHNVCSVPSSFWLTGIPGLESLHVWLSIPFGSMYLVAVVGNVTILAVVKIERSLHQPMYFFLCMLAAIDLVLSTSTIPKLLGIFWFGACDIGLDACLGQMFLIHCFATVESGIFLAMAFDRYVAICNPLRHSMVLTYTVVGRLGLVSLLRGVLYIGPLPLMIRLRLPLYKTHVISHSYCEHMAVVALTCGDSRVNNVYGLSIGFLVLILDSVAIAASYVMIFRAVMGLATPEARLKTLGTCASHLCAILIFYVPIAVSSLIHRFGQCVPPPVHTLLANFYLLIPPILNPIVYAVRTKQIRESLLQIPRIEMKIR
+>DECOY_sp|Q8NGK5|O52M1_HUMAN Olfactory receptor 52M1 OS=Homo sapiens OX=9606 GN=OR52M1 PE=3 SV=1
+RIKMEIRPIQLLSERIQKTRVAYVIPNLIPPILLYFNALLTHVPPPVCQGFRHILSSVAIPVYFILIACLHSACTGLTKLRAEPTALGMVARFIMVYSAAIAVSDLILVLFGISLGYVNNVRSDGCTLAVVAMHECYSHSIVHTKYLPLRLRIMLPLPGIYLVGRLLSVLGLRGVVTYTLVMSHRLPNCIAVYRDFAMALFIGSEVTAFCHILFMQGLCADLGIDCAGFWFIGLLKPITSTSLVLDIAALMCLFFYMPQHLSREIKVVALITVNGVVAVLYMSGFPISLWVHLSELGPIGTLWFSSPVSCVNHFTLM
+>sp|Q8NGI0|O52N2_HUMAN Olfactory receptor 52N2 OS=Homo sapiens OX=9606 GN=OR52N2 PE=2 SV=1
+MSGDNSSSLTPGFFILNGVPGLEATHIWISLPFCFMYIIAVVGNCGLICLISHEEALHRPMYYFLALLSFTDVTLCTTMVPNMLCIFWFNLKEIDFNACLAQMFFVHMLTGMESGVLMLMALDRYVAICYPLRYATILTNPVIAKAGLATFLRNVMLIIPFTLLTKRLPYCRGNFIPHTYCDHMSVAKVSCGNFKVNAIYGLMVALLIGVFDICCISVSYTMILQAVMSLSSADARHKAFSTCTSHMCSIVITYVAAFFTFFTHRFVGHNIPNHIHIIVANLYLLLPPTMNPIVYGVKTKQIQEGVIKFLLGDKVSFTYDK
+>DECOY_sp|Q8NGI0|O52N2_HUMAN Olfactory receptor 52N2 OS=Homo sapiens OX=9606 GN=OR52N2 PE=2 SV=1
+KDYTFSVKDGLLFKIVGEQIQKTKVGYVIPNMTPPLLLYLNAVIIHIHNPINHGVFRHTFFTFFAAVYTIVISCMHSTCTSFAKHRADASSLSMVAQLIMTYSVSICCIDFVGILLAVMLGYIANVKFNGCSVKAVSMHDCYTHPIFNGRCYPLRKTLLTFPIILMVNRLFTALGAKAIVPNTLITAYRLPYCIAVYRDLAMLMLVGSEMGTLMHVFFMQALCANFDIEKLNFWFICLMNPVMTTCLTVDTFSLLALFYYMPRHLAEEHSILCILGCNGVVAIIYMFCFPLSIWIHTAELGPVGNLIFFGPTLSSSNDGSM
+>sp|Q8NH57|O52P1_HUMAN Putative olfactory receptor 52P1 OS=Homo sapiens OX=9606 GN=OR52P1P PE=5 SV=2
+MESPNHTDVDPSVFFLLGIPGLEQFHLWLSLPVCGLGTATIVGNITILVVVATEPVLHKPVYLFLCMLSTIDLAASVSTVPKLLAIFWCGAGHISASACLAQMFFIHAFCMMESTVLLAMAFDRYVAICHPLRYATILTDTIIAHIGVAAVVRGSLLMLPCPFLIGRLNFCQSHVILHTYCEHMAVVKLACGDTRPNRVYGLTAALLVIGVDLFCIGLSYALSAQAVLRLSSHEARSKALGTCGSHVCVILISYTPALFSFFTHRFGHHVPVHIHILLANVYLLLPPALNPVVYGVKTKQIRKRVVRVFQSGQGMGIKASE
+>DECOY_sp|Q8NH57|O52P1_HUMAN Putative olfactory receptor 52P1 OS=Homo sapiens OX=9606 GN=OR52P1P PE=5 SV=2
+ESAKIGMGQGSQFVRVVRKRIQKTKVGYVVPNLAPPLLLYVNALLIHIHVPVHHGFRHTFFSFLAPTYSILIVCVHSGCTGLAKSRAEHSSLRLVAQASLAYSLGICFLDVGIVLLAATLGYVRNPRTDGCALKVVAMHECYTHLIVHSQCFNLRGILFPCPLMLLSGRVVAAVGIHAIITDTLITAYRLPHCIAVYRDFAMALLVTSEMMCFAHIFFMQALCASASIHGAGCWFIALLKPVTSVSAALDITSLMCLFLYVPKHLVPETAVVVLITINGVITATGLGCVPLSLWLHFQELGPIGLLFFVSPDVDTHNPSEM
+>sp|Q6IF63|O52W1_HUMAN Olfactory receptor 52W1 OS=Homo sapiens OX=9606 GN=OR52W1 PE=2 SV=2
+MAETLQLNSTFLHPNFFILTGFPGLGSAQTWLTLVFGPIYLLALLGNGALPAVVWIDSTLHQPMFLLLAILAATDLGLATSIAPGLLAVLWLGPRSVPYAVCLVQMFFVHALTAMESGVLLAMACDRAAAIGRPLHYPVLVTKACVGYAALALALKAVAIVVPFPLLVAKFEHFQAKTIGHTYCAHMAVVELVVGNTQATNLYGLALSLAISGMDILGITGSYGLIAHAVLQLPTREAHAKAFGTCSSHICVILAFYIPGLFSYLTHRFGHHTVPKPVHILLSNIYLLLPPALNPLIYGARTKQIRDRLLETFTFRKSPL
+>DECOY_sp|Q6IF63|O52W1_HUMAN Olfactory receptor 52W1 OS=Homo sapiens OX=9606 GN=OR52W1 PE=2 SV=2
+LPSKRFTFTELLRDRIQKTRAGYILPNLAPPLLLYINSLLIHVPKPVTHHGFRHTLYSFLGPIYFALIVCIHSSCTGFAKAHAERTPLQLVAHAILGYSGTIGLIDMGSIALSLALGYLNTAQTNGVVLEVVAMHACYTHGITKAQFHEFKAVLLPFPVVIAVAKLALALAAYGVCAKTVLVPYHLPRGIAAARDCAMALLVGSEMATLAHVFFMQVLCVAYPVSRPGLWLVALLGPAISTALGLDTAALIALLLFMPQHLTSDIWVVAPLAGNGLLALLYIPGFVLTLWTQASGLGPFGTLIFFNPHLFTSNLQLTEAM
+>sp|Q8NGH5|O56A1_HUMAN Olfactory receptor 56A1 OS=Homo sapiens OX=9606 GN=OR56A1 PE=2 SV=3
+MIQPMASPSNSSTVPVSEFLLICFPNFQSWQHWLSLPLSLLFLLAMGANTTLLITIQLEASLHQPLYYLLSLLSLLDIVLCLTVIPKVLAIFWYDLRSISFPACFLQMFIMNSFLPMESCTFMVMAYDRYVAICHPLRYPSIITNQFVAKASVFIVVRNALLTAPIPILTSLLHYCGENVIENCICANLSVSRLSCDNFTLNRIYQFVAGWTLLGSDLFLIFLSYTFILRAVLRFKAEGAAVKALSTCGSHFILILFFSTILLVVVLTNVARKKVPMDILILLNVLHHLIPPALNPIVYGVRTKEIKQGIQKLLQRGR
+>DECOY_sp|Q8NGH5|O56A1_HUMAN Olfactory receptor 56A1 OS=Homo sapiens OX=9606 GN=OR56A1 PE=2 SV=3
+RGRQLLKQIGQKIEKTRVGYVIPNLAPPILHHLVNLLILIDMPVKKRAVNTLVVVLLITSFFLILIFHSGCTSLAKVAAGEAKFRLVARLIFTYSLFILFLDSGLLTWGAVFQYIRNLTFNDCSLRSVSLNACICNEIVNEGCYHLLSTLIPIPATLLANRVVIFVSAKAVFQNTIISPYRLPHCIAVYRDYAMVMFTCSEMPLFSNMIFMQLFCAPFSISRLDYWFIALVKPIVTLCLVIDLLSLLSLLYYLPQHLSAELQITILLTTNAGMALLFLLSLPLSLWHQWSQFNPFCILLFESVPVTSSNSPSAMPQIM
+>sp|P0C7T3|O56A5_HUMAN Olfactory receptor 56A5 OS=Homo sapiens OX=9606 GN=OR56A5 PE=3 SV=1
+MTLPSNNSTSPVFEFFLICFPSFQSWQHWLSLPLSLLFLLAMGANATLLITIYLEASLHQPLYYLLSLLSLLDIVLCLTVIPKVLAIFWFDLRSISFPACFLQVFIMNSFLTMESCTFMIMAYDRYVAICKPLQYSSIITDQFVARAAIFVVARNGLLTMPIPILSSRLRYCAGHIIKNCICTNVSVSKLSCDDITLNQSYQFVIGWTLLGSDLILIVLSYFFILKTVLRIKGEGDMAKALGTCGSHFILILFFTTVLLVLVITNLARKRIPPDVPILLNILHHLIPPALNPIVYGVRTKEIKQGIQNLLRRL
+>DECOY_sp|P0C7T3|O56A5_HUMAN Olfactory receptor 56A5 OS=Homo sapiens OX=9606 GN=OR56A5 PE=3 SV=1
+LRRLLNQIGQKIEKTRVGYVIPNLAPPILHHLINLLIPVDPPIRKRALNTIVLVLLVTTFFLILIFHSGCTGLAKAMDGEGKIRLVTKLIFFYSLVILILDSGLLTWGIVFQYSQNLTIDDCSLKSVSVNTCICNKIIHGACYRLRSSLIPIPMTLLGNRAVVFIAARAVFQDTIISSYQLPKCIAVYRDYAMIMFTCSEMTLFSNMIFVQLFCAPFSISRLDFWFIALVKPIVTLCLVIDLLSLLSLLYYLPQHLSAELYITILLTANAGMALLFLLSLPLSLWHQWSQFSPFCILFFEFVPSTSNNSPLTM
+>sp|P0C617|O5AL1_HUMAN Olfactory receptor 5AL1 OS=Homo sapiens OX=9606 GN=OR5AL1 PE=3 SV=1
+MCALKGFLEENFYTYSVAKGNHSTVYEFILLGLTDNAELQVTLFGIFLVVYLASFMGNFGLIMLIQISPQLHTPMYFFLSHLAFVDFSFTSSVAPNTLVNFLCEVKSITFYACAIQVCCFITFVVCELYLLSIMAYDRYVAICNPLLYVILIPRKCIKLIASTYVYGFTVGLVQTVATSYLSFCDSNVINHFYHDDVPLVALACSDTHVKELMLLIIAGFNTLCSLVIVLISYGFIFFAILRIHSAEGRQKAFSTSASHLTSITIFYGTIIFMYPQPKSSHSLNMDKVASVFNVVVIPTLNPLIYSLRNQEVKNALKRIIEKLCLAVK
+>DECOY_sp|P0C617|O5AL1_HUMAN Olfactory receptor 5AL1 OS=Homo sapiens OX=9606 GN=OR5AL1 PE=3 SV=1
+KVALCLKEIIRKLANKVEQNRLSYILPNLTPIVVVNFVSAVKDMNLSHSSKPQPYMFIITGYFITISTLHSASTSFAKQRGEASHIRLIAFFIFGYSILVIVLSCLTNFGAIILLMLEKVHTDSCALAVLPVDDHYFHNIVNSDCFSLYSTAVTQVLGVTFGYVYTSAILKICKRPILIVYLLPNCIAVYRDYAMISLLYLECVVFTIFCCVQIACAYFTISKVECLFNVLTNPAVSSTFSFDVFALHSLFFYMPTHLQPSIQILMILGFNGMFSALYVVLFIGFLTVQLEANDTLGLLIFEYVTSHNGKAVSYTYFNEELFGKLACM
+>sp|Q8NGF4|O5AP2_HUMAN Olfactory receptor 5AP2 OS=Homo sapiens OX=9606 GN=OR5AP2 PE=2 SV=1
+MRLMKEVRGRNQTEVTEFLLLGLSDNPDLQGVLFALFLLIYMANMVGNLGMIVLIKIDLCLHTPMYFFLSSLSFVDASYSSSVTPKMLVNLMAENKAISFHGCAAQFYFFGSFLGTECFLLAMMAYDRYAAIWNPLLYPVLVSGRICFLLIATSFLAGCGNAAIHTGMTFRLSFCGSNRINHFYCDTPPLLKLSCSDTHFNGIVIMAFSSFIVISCVMIVLISYLCIFIAVLKMPSLEGRHKAFSTCASYLMAVTIFFGTILFMYLRPTSSYSMEQDKVVSVFYTVIIPVLNPLIYSLKNKDVKKALKKILWKHIL
+>DECOY_sp|Q8NGF4|O5AP2_HUMAN Olfactory receptor 5AP2 OS=Homo sapiens OX=9606 GN=OR5AP2 PE=2 SV=1
+LIHKWLIKKLAKKVDKNKLSYILPNLVPIIVTYFVSVVKDQEMSYSSTPRLYMFLITGFFITVAMLYSACTSFAKHRGELSPMKLVAIFICLYSILVIMVCSIVIFSSFAMIVIGNFHTDSCSLKLLPPTDCYFHNIRNSGCFSLRFTMGTHIAANGCGALFSTAILLFCIRGSVLVPYLLPNWIAAYRDYAMMALLFCETGLFSGFFYFQAACGHFSIAKNEAMLNVLMKPTVSSSYSADVFSLSSLFFYMPTHLCLDIKILVIMGLNGVMNAMYILLFLAFLVGQLDPNDSLGLLLFETVETQNRGRVEKMLRM
+>sp|A6NHG9|O5H14_HUMAN Olfactory receptor 5H14 OS=Homo sapiens OX=9606 GN=OR5H14 PE=2 SV=1
+MEEENATLLTEFVLTGFLYQPQWKIPLFLAFLVIYLITIMGNLGLIAVIWKDPHLHIPMYLLLGNLAFVDALLSSSVTLKMLINFLAKSKMISLSECKIQLFSFAISVTTECFLLATMAYDRYVAICKPLLYPAIMTNGLCIRLLILSYVGGLLHALIHEGFLFRLTFCNSNIIQHFYCDIIPLLKISYTDSSINFLMVFIFAGSIQVFTIGTVLISYIFVLYTILKKKSVKGMRKAFSTCGAHLLSVSLYYGPLAFMYMGSASPQADDQDMMESLFYTVIVPLLNPMIYSLRNKQVIASFTKMFKRNDV
+>DECOY_sp|A6NHG9|O5H14_HUMAN Olfactory receptor 5H14 OS=Homo sapiens OX=9606 GN=OR5H14 PE=2 SV=1
+VDNRKFMKTFSAIVQKNRLSYIMPNLLPVIVTYFLSEMMDQDDAQPSASGMYMFALPGYYLSVSLLHAGCTSFAKRMGKVSKKKLITYLVFIYSILVTGITFVQISGAFIFVMLFNISSDTYSIKLLPIIDCYFHQIINSNCFTLRFLFGEHILAHLLGGVYSLILLRICLGNTMIAPYLLPKCIAVYRDYAMTALLFCETTVSIAFSFLQIKCESLSIMKSKALFNILMKLTVSSSLLADVFALNGLLLYMPIHLHPDKWIVAILGLNGMITILYIVLFALFLPIKWQPQYLFGTLVFETLLTANEEEM
+>sp|A6NCV1|O6C74_HUMAN Olfactory receptor 6C74 OS=Homo sapiens OX=9606 GN=OR6C74 PE=2 SV=1
+MRNHTTVANFILLGLTDDPQLQVIIFLLLFFTYMLSITGNLTIITLTLLDLHLKTPMYFFLRNFSFLEVSFTTVYIPKFLVSMATGDKTISYNDCAAQLFFTILLGATEFFLLAAMSYERYVAICKPLHYTTIMSSRVCSLLVFASWMAGFLIIFPPLLMGLQLDFCAANTVDHFFCDVSPILQLSCTDTDIIELMMLLSAILTLLVTLVLVILSYTNIIRTILKIPSSQQRKKAFSTCSSHMVVVSISYGSCIFMYVKPSAKERVSLNKGIALLSTSVAPMLNPFIYTLRNKQVKDVFKHTVKKIELFSMK
+>DECOY_sp|A6NCV1|O6C74_HUMAN Olfactory receptor 6C74 OS=Homo sapiens OX=9606 GN=OR6C74 PE=2 SV=1
+KMSFLEIKKVTHKFVDKVQKNRLTYIFPNLMPAVSTSLLAIGKNLSVREKASPKVYMFICSGYSISVVVMHSSCTSFAKKRQQSSPIKLITRIINTYSLIVLVLTVLLTLIASLLMMLEIIDTDTCSLQLIPSVDCFFHDVTNAACFDLQLGMLLPPFIILFGAMWSAFVLLSCVRSSMITTYHLPKCIAVYREYSMAALLFFETAGLLITFFLQAACDNYSITKDGTAMSVLFKPIYVTTFSVELFSFNRLFFYMPTKLHLDLLTLTIITLNGTISLMYTFFLLLFIIVQLQPDDTLGLLIFNAVTTHNRM
+>sp|Q6IFN5|O7E24_HUMAN Olfactory receptor 7E24 OS=Homo sapiens OX=9606 GN=OR7E24 PE=2 SV=1
+MSYFPILFFFFLKRCPSYTEPQNLTGVSEFLLLGLSEDPELQPVLAGLFLSMYLVTVLGNLLIILAVSSDSHLHTPMYFFLSNLSLADIGFTSTTVPKMIVDMQTHSRVISYEGCLTQMSFFVLFACMDDMLLSVMAYDRFVAICHPLHYRIIMNPRLCGFLILLSFFISLLDSQLHNLIMLQLTCFKDVDISNFFCDPSQLLHLRCSDTFINEMVIYFMGAIFGCLPISGILFSYYKIVSPILRVPTSDGKYKAFSTCGSHLAVVCLFYGTGLVGYLSSAVLPSPRKSMVASVMYTVVTPMLNPFIYSLRNKDIQSALCRLHGRIIKSHHLHPFCYMG
+>DECOY_sp|Q6IFN5|O7E24_HUMAN Olfactory receptor 7E24 OS=Homo sapiens OX=9606 GN=OR7E24 PE=2 SV=1
+GMYCFPHLHHSKIIRGHLRCLASQIDKNRLSYIFPNLMPTVVTYMVSAVMSKRPSPLVASSLYGVLGTGYFLCVVALHSGCTSFAKYKGDSTPVRLIPSVIKYYSFLIGSIPLCGFIAGMFYIVMENIFTDSCRLHLLQSPDCFFNSIDVDKFCTLQLMILNHLQSDLLSIFFSLLILFGCLRPNMIIRYHLPHCIAVFRDYAMVSLLMDDMCAFLVFFSMQTLCGEYSIVRSHTQMDVIMKPVTTSTFGIDALSLNSLFFYMPTHLHSDSSVALIILLNGLVTVLYMSLFLGALVPQLEPDESLGLLLFESVGTLNQPETYSPCRKLFFFFLIPFYSM
+>sp|Q86UD1|OAF_HUMAN Out at first protein homolog OS=Homo sapiens OX=9606 GN=OAF PE=2 SV=1
+MRLPGVPLARPALLLLLPLLAPLLGTGAPAELRVRVRLPDGQVTEESLQADSDADSISLELRKPDGTLVSFTADFKKDVKVFRALILGELEKGQSQFQALCFVTQLQHNEIIPSEAMAKLRQKNPRAVRQAEEVRGLEHLHMDVAVNFSQGALLSPHLHNVCAEAVDAIYTRQEDVRFWLEQGVDSSVFEALPKASEQAELPRCRQVGDHGKPCVCRYGLSLAWYPCMLKYCHSRDRPTPYKCGIRSCQKSYSFDFYVPQRQLCLWDEDPYPG
+>DECOY_sp|Q86UD1|OAF_HUMAN Out at first protein homolog OS=Homo sapiens OX=9606 GN=OAF PE=2 SV=1
+GPYPDEDWLCLQRQPVYFDFSYSKQCSRIGCKYPTPRDRSHCYKLMCPYWALSLGYRCVCPKGHDGVQRCRPLEAQESAKPLAEFVSSDVGQELWFRVDEQRTYIADVAEACVNHLHPSLLAGQSFNVAVDMHLHELGRVEEAQRVARPNKQRLKAMAESPIIENHQLQTVFCLAQFQSQGKELEGLILARFVKVDKKFDATFSVLTGDPKRLELSISDADSDAQLSEETVQGDPLRVRVRLEAPAGTGLLPALLPLLLLLAPRALPVGPLRM
+>sp|Q9Y530|OARD1_HUMAN O-acetyl-ADP-ribose deacetylase 1 OS=Homo sapiens OX=9606 GN=OARD1 PE=1 SV=2
+MASSLNEDPEGSRITYVKGDLFACPKTDSLAHCISEDCRMGAGIAVLFKKKFGGVQELLNQQKKSGEVAVLKRDGRYIYYLITKKRASHKPTYENLQKSLEAMKSHCLKNGVTDLSMPRIGCGLDRLQWENVSAMIEEVFEATDIKITVYTL
+>DECOY_sp|Q9Y530|OARD1_HUMAN O-acetyl-ADP-ribose deacetylase 1 OS=Homo sapiens OX=9606 GN=OARD1 PE=1 SV=2
+LTYVTIKIDTAEFVEEIMASVNEWQLRDLGCGIRPMSLDTVGNKLCHSKMAELSKQLNEYTPKHSARKKTILYYIYRGDRKLVAVEGSKKQQNLLEQVGGFKKKFLVAIGAGMRCDESICHALSDTKPCAFLDGKVYTIRSGEPDENLSSAM
+>sp|Q15646|OASL_HUMAN 2'-5'-oligoadenylate synthase-like protein OS=Homo sapiens OX=9606 GN=OASL PE=1 SV=2
+MALMQELYSTPASRLDSFVAQWLQPHREWKEEVLDAVRTVEEFLRQEHFQGKRGLDQDVRVLKVVKVGSFGNGTVLRSTREVELVAFLSCFHSFQEAAKHHKDVLRLIWKTMWQSQDLLDLGLEDLRMEQRVPDALVFTIQTRGTAEPITVTIVPAYRALGPSLPNSQPPPEVYVSLIKACGGPGNFCPSFSELQRNFVKHRPTKLKSLLRLVKHWYQQYVKARSPRANLPPLYALELLTIYAWEMGTEEDENFMLDEGFTTVMDLLLEYEVICIYWTKYYTLHNAIIEDCVRKQLKKERPIILDPADPTLNVAEGYRWDIVAQRASQCLKQDCCYDNRENPISSWNVKRARDIHLTVEQRGYPDFNLIVNPYEPIRKVKEKIRRTRGYSGLQRLSFQVPGSERQLLSSRCSLAKYGIFSHTHIYLLETIPSEIQVFVKNPDGGSYAYAINPNSFILGLKQQIEDQQGLPKKQQQLEFQGQVLQDWLGLGIYGIQDSDTLILSKKKGEALFPAS
+>DECOY_sp|Q15646|OASL_HUMAN 2'-5'-oligoadenylate synthase-like protein OS=Homo sapiens OX=9606 GN=OASL PE=1 SV=2
+SAPFLAEGKKKSLILTDSDQIGYIGLGLWDQLVQGQFELQQQKKPLGQQDEIQQKLGLIFSNPNIAYAYSGGDPNKVFVQIESPITELLYIHTHSFIGYKALSCRSSLLQRESGPVQFSLRQLGSYGRTRRIKEKVKRIPEYPNVILNFDPYGRQEVTLHIDRARKVNWSSIPNERNDYCCDQKLCQSARQAVIDWRYGEAVNLTPDAPDLIIPREKKLQKRVCDEIIANHLTYYKTWYICIVEYELLLDMVTTFGEDLMFNEDEETGMEWAYITLLELAYLPPLNARPSRAKVYQQYWHKVLRLLSKLKTPRHKVFNRQLESFSPCFNGPGGCAKILSVYVEPPPQSNPLSPGLARYAPVITVTIPEATGRTQITFVLADPVRQEMRLDELGLDLLDQSQWMTKWILRLVDKHHKAAEQFSHFCSLFAVLEVERTSRLVTGNGFSGVKVVKLVRVDQDLGRKGQFHEQRLFEEVTRVADLVEEKWERHPQLWQAVFSDLRSAPTSYLEQMLAM
+>sp|Q9UMX2|OAZ3_HUMAN Ornithine decarboxylase antizyme 3 OS=Homo sapiens OX=9606 GN=OAZ3 PE=1 SV=2
+MPCKRCRPSVYSLSYIKRGKTRNYLYPIWSPYAYYLYCYKYRITLREKMLPRCYKSITYKEEEDLTLQPRSCLQCSESLVGLQEGKSTEQGNHDQLKELYSAGNLTVLATDPLLHQDPVQLDFHFRLTSQTSAHWHGLLCDRRLFLDIPYQALDQGNRESLTATLEYVEEKTNVDSVFVNFQNDRNDRGALLRAFSYMGFEVVRPDHPALPPLDNVIFMVYPLERDVGHLPSEPP
+>DECOY_sp|Q9UMX2|OAZ3_HUMAN Ornithine decarboxylase antizyme 3 OS=Homo sapiens OX=9606 GN=OAZ3 PE=1 SV=2
+PPESPLHGVDRELPYVMFIVNDLPPLAPHDPRVVEFGMYSFARLLAGRDNRDNQFNVFVSDVNTKEEVYELTATLSERNGQDLAQYPIDLFLRRDCLLGHWHASTQSTLRFHFDLQVPDQHLLPDTALVTLNGASYLEKLQDHNGQETSKGEQLGVLSESCQLCSRPQLTLDEEEKYTISKYCRPLMKERLTIRYKYCYLYYAYPSWIPYLYNRTKGRKIYSLSYVSPRCRKCPM
+>sp|P08559|ODPA_HUMAN Pyruvate dehydrogenase E1 component subunit alpha, somatic form, mitochondrial OS=Homo sapiens OX=9606 GN=PDHA1 PE=1 SV=3
+MRKMLAAVSRVLSGASQKPASRVLVASRNFANDATFEIKKCDLHRLEEGPPVTTVLTREDGLKYYRMMQTVRRMELKADQLYKQKIIRGFCHLCDGQEACCVGLEAGINPTDHLITAYRAHGFTFTRGLSVREILAELTGRKGGCAKGKGGSMHMYAKNFYGGNGIVGAQVPLGAGIALACKYNGKDEVCLTLYGDGAANQGQIFEAYNMAALWKLPCIFICENNRYGMGTSVERAAASTDYYKRGDFIPGLRVDGMDILCVREATRFAAAYCRSGKGPILMELQTYRYHGHSMSDPGVSYRTREEIQEVRSKSDPIMLLKDRMVNSNLASVEELKEIDVEVRKEIEDAAQFATADPEPPLEELGYHIYSSDPPFEVRGANQWIKFKSVS
+>DECOY_sp|P08559|ODPA_HUMAN Pyruvate dehydrogenase E1 component subunit alpha, somatic form, mitochondrial OS=Homo sapiens OX=9606 GN=PDHA1 PE=1 SV=3
+SVSKFKIWQNAGRVEFPPDSSYIHYGLEELPPEPDATAFQAADEIEKRVEVDIEKLEEVSALNSNVMRDKLLMIPDSKSRVEQIEERTRYSVGPDSMSHGHYRYTQLEMLIPGKGSRCYAAAFRTAERVCLIDMGDVRLGPIFDGRKYYDTSAAAREVSTGMGYRNNECIFICPLKWLAAMNYAEFIQGQNAAGDGYLTLCVEDKGNYKCALAIGAGLPVQAGVIGNGGYFNKAYMHMSGGKGKACGGKRGTLEALIERVSLGRTFTFGHARYATILHDTPNIGAELGVCCAEQGDCLHCFGRIIKQKYLQDAKLEMRRVTQMMRYYKLGDERTLVTTVPPGEELRHLDCKKIEFTADNAFNRSAVLVRSAPKQSAGSLVRSVAALMKRM
+>sp|Q15743|OGR1_HUMAN Ovarian cancer G-protein coupled receptor 1 OS=Homo sapiens OX=9606 GN=GPR68 PE=1 SV=1
+MGNITADNSSMSCTIDHTIHQTLAPVVYVTVLVVGFPANCLSLYFGYLQIKARNELGVYLCNLTVADLFYICSLPFWLQYVLQHDNWSHGDLSCQVCGILLYENIYISVGFLCCISVDRYLAVAHPFRFHQFRTLKAAVGVSVVIWAKELLTSIYFLMHEEVIEDENQHRVCFEHYPIQAWQRAINYYRFLVGFLFPICLLLASYQGILRAVRRSHGTQKSRKDQIQRLVLSTVVIFLACFLPYHVLLLVRSVWEASCDFAKGVFNAYHFSLLLTSFNCVADPVLYCFVSETTHRDLARLRGACLAFLTCSRTGRAREAYPLGAPEASGKSGAQGEEPELLTKLHPAFQTPNSPGSGGFPTGRLA
+>DECOY_sp|Q15743|OGR1_HUMAN Ovarian cancer G-protein coupled receptor 1 OS=Homo sapiens OX=9606 GN=GPR68 PE=1 SV=1
+ALRGTPFGGSGPSNPTQFAPHLKTLLEPEEGQAGSKGSAEPAGLPYAERARGTRSCTLFALCAGRLRALDRHTTESVFCYLVPDAVCNFSTLLLSFHYANFVGKAFDCSAEWVSRVLLLVHYPLFCALFIVVTSLVLRQIQDKRSKQTGHSRRVARLIGQYSALLLCIPFLFGVLFRYYNIARQWAQIPYHEFCVRHQNEDEIVEEHMLFYISTLLEKAWIVVSVGVAAKLTRFQHFRFPHAVALYRDVSICCLFGVSIYINEYLLIGCVQCSLDGHSWNDHQLVYQLWFPLSCIYFLDAVTLNCLYVGLENRAKIQLYGFYLSLCNAPFGVVLVTVYVVPALTQHITHDITCSMSSNDATINGM
+>sp|Q68BL8|OLM2B_HUMAN Olfactomedin-like protein 2B OS=Homo sapiens OX=9606 GN=OLFML2B PE=2 SV=2
+MAKPRLLVLYFALIVVPAWVSSIVLTGTSEPPDAQTVAPAEDETLQNEADNQENVLSQLLGDYDKVKAMSEGSDCQCKCVVRPLGRDACQRINAGASRKEDFYTVETITSGSSCKCACVAPPSALNPCEGDFRLQKLREADSQDLKLSTIIDMLEGAFYGLDLLKLHSVTTKLVGRVDKLEEEVSKNLTKENEQIKEDMEEIRTEMNKRGKENCSENILDSMPDIRSALQRDAAAAYAHPEYEERFLQEETVSQQINSIELLQTRPLALPEVVKSQRPLQRQVHLRGRPASQPTVIRGITYYKAKVSEEENDIEEQQDEFFSGDNGVDLLIEDQLLRHNGLMTSVTRRPAATRQGHSTAVTSDLNARTAPWSSALPQPSTSDPSIANHASVGPTLQTTSVSPDPTRESVLQPSPQVPATTVAHTATQQPAAPAPPAVSPREALMEAMHTVPVPPTTVRTDSLGKDAPAGWGTTPASPTLSPEEEDDIRNVIGRCKDTLSTITGPTTQNTYGRNEGAWMKDPLAKDERIYVTNYYYGNTLVEFRNLENFKQGRWSNSYKLPYSWIGTGHVVYNGAFYYNRAFTRNIIKYDLKQRYVAAWAMLHDVAYEEATPWRWQGHSDVDFAVDENGLWLIYPALDDEGFSQEVIVLSKLNAADLSTQKETTWRTGLRRNFYGNCFVICGVLYAVDSYNQRNANISYAFDTHTNTQIVPRLLFENEYSYTTQIDYNPKDRLLYAWDNGHQVTYHVIFAY
+>DECOY_sp|Q68BL8|OLM2B_HUMAN Olfactomedin-like protein 2B OS=Homo sapiens OX=9606 GN=OLFML2B PE=2 SV=2
+YAFIVHYTVQHGNDWAYLLRDKPNYDIQTTYSYENEFLLRPVIQTNTHTDFAYSINANRQNYSDVAYLVGCIVFCNGYFNRRLGTRWTTEKQTSLDAANLKSLVIVEQSFGEDDLAPYILWLGNEDVAFDVDSHGQWRWPTAEEYAVDHLMAWAAVYRQKLDYKIINRTFARNYYFAGNYVVHGTGIWSYPLKYSNSWRGQKFNELNRFEVLTNGYYYNTVYIREDKALPDKMWAGENRGYTNQTTPGTITSLTDKCRGIVNRIDDEEEPSLTPSAPTTGWGAPADKGLSDTRVTTPPVPVTHMAEMLAERPSVAPPAPAAPQQTATHAVTTAPVQPSPQLVSERTPDPSVSTTQLTPGVSAHNAISPDSTSPQPLASSWPATRANLDSTVATSHGQRTAAPRRTVSTMLGNHRLLQDEILLDVGNDGSFFEDQQEEIDNEEESVKAKYYTIGRIVTPQSAPRGRLHVQRQLPRQSKVVEPLALPRTQLLEISNIQQSVTEEQLFREEYEPHAYAAAADRQLASRIDPMSDLINESCNEKGRKNMETRIEEMDEKIQENEKTLNKSVEEELKDVRGVLKTTVSHLKLLDLGYFAGELMDIITSLKLDQSDAERLKQLRFDGECPNLASPPAVCACKCSSGSTITEVTYFDEKRSAGANIRQCADRGLPRVVCKCQCDSGESMAKVKDYDGLLQSLVNEQNDAENQLTEDEAPAVTQADPPESTGTLVISSVWAPVVILAFYLVLLRPKAM
+>sp|P78380|OLR1_HUMAN Oxidized low-density lipoprotein receptor 1 OS=Homo sapiens OX=9606 GN=OLR1 PE=1 SV=1
+MTFDDLKIQTVKDQPDEKSNGKKAKGLQFLYSPWWCLAAATLGVLCLGLVVTIMVLGMQLSQVSDLLTQEQANLTHQKKKLEGQISARQQAEEASQESENELKEMIETLARKLNEKSKEQMELHHQNLNLQETLKRVANCSAPCPQDWIWHGENCYLFSSGSFNWEKSQEKCLSLDAKLLKINSTADLDFIQQAISYSSFPFWMGLSRRNPSYPWLWEDGSPLMPHLFRVRGAVSQTYPSGTCAYIQRGAVYAENCILAAFSICQKKANLRAQ
+>DECOY_sp|P78380|OLR1_HUMAN Oxidized low-density lipoprotein receptor 1 OS=Homo sapiens OX=9606 GN=OLR1 PE=1 SV=1
+QARLNAKKQCISFAALICNEAYVAGRQIYACTGSPYTQSVAGRVRFLHPMLPSGDEWLWPYSPNRRSLGMWFPFSSYSIAQQIFDLDATSNIKLLKADLSLCKEQSKEWNFSGSSFLYCNEGHWIWDQPCPASCNAVRKLTEQLNLNQHHLEMQEKSKENLKRALTEIMEKLENESEQSAEEAQQRASIQGELKKKQHTLNAQEQTLLDSVQSLQMGLVMITVVLGLCLVGLTAAALCWWPSYLFQLGKAKKGNSKEDPQDKVTQIKLDDFTM
+>sp|Q14982|OPCM_HUMAN Opioid-binding protein/cell adhesion molecule OS=Homo sapiens OX=9606 GN=OPCML PE=1 SV=1
+MGVCGYLFLPWKCLVVVSLRLLFLVPTGVPVRSGDATFPKAMDNVTVRQGESATLRCTIDDRVTRVAWLNRSTILYAGNDKWSIDPRVIILVNTPTQYSIMIQNVDVYDEGPYTCSVQTDNHPKTSRVHLIVQVPPQIMNISSDITVNEGSSVTLLCLAIGRPEPTVTWRHLSVKEGQGFVSEDEYLEISDIKRDQSGEYECSALNDVAAPDVRKVKITVNYPPYISKAKNTGVSVGQKGILSCEASAVPMAEFQWFKEETRLATGLDGMRIENKGRMSTLTFFNVSEKDYGNYTCVATNKLGNTNASITLYGPGAVIDGVNSASRALACLWLSGTLLAHFFIKF
+>DECOY_sp|Q14982|OPCM_HUMAN Opioid-binding protein/cell adhesion molecule OS=Homo sapiens OX=9606 GN=OPCML PE=1 SV=1
+FKIFFHALLTGSLWLCALARSASNVGDIVAGPGYLTISANTNGLKNTAVCTYNGYDKESVNFFTLTSMRGKNEIRMGDLGTALRTEEKFWQFEAMPVASAECSLIGKQGVSVGTNKAKSIYPPYNVTIKVKRVDPAAVDNLASCEYEGSQDRKIDSIELYEDESVFGQGEKVSLHRWTVTPEPRGIALCLLTVSSGENVTIDSSINMIQPPVQVILHVRSTKPHNDTQVSCTYPGEDYVDVNQIMISYQTPTNVLIIVRPDISWKDNGAYLITSRNLWAVRTVRDDITCRLTASEGQRVTVNDMAKPFTADGSRVPVGTPVLFLLRLSVVVLCKWPLFLYGCVGM
+>sp|P03999|OPSB_HUMAN Short-wave-sensitive opsin 1 OS=Homo sapiens OX=9606 GN=OPN1SW PE=1 SV=1
+MRKMSEEEFYLFKNISSVGPWDGPQYHIAPVWAFYLQAAFMGTVFLIGFPLNAMVLVATLRYKKLRQPLNYILVNVSFGGFLLCIFSVFPVFVASCNGYFVFGRHVCALEGFLGTVAGLVTGWSLAFLAFERYIVICKPFGNFRFSSKHALTVVLATWTIGIGVSIPPFFGWSRFIPEGLQCSCGPDWYTVGTKYRSESYTWFLFIFCFIVPLSLICFSYTQLLRALKAVAAQQQESATTQKAEREVSRMVVVMVGSFCVCYVPYAAFAMYMVNNRNHGLDLRLVTIPSFFSKSACIYNPIIYCFMNKQFQACIMKMVCGKAMTDESDTCSSQKTEVSTVSSTQVGPN
+>DECOY_sp|P03999|OPSB_HUMAN Short-wave-sensitive opsin 1 OS=Homo sapiens OX=9606 GN=OPN1SW PE=1 SV=1
+NPGVQTSSVTSVETKQSSCTDSEDTMAKGCVMKMICAQFQKNMFCYIIPNYICASKSFFSPITVLRLDLGHNRNNVMYMAFAAYPVYCVCFSGVMVVVMRSVEREAKQTTASEQQQAAVAKLARLLQTYSFCILSLPVIFCFIFLFWTYSESRYKTGVTYWDPGCSCQLGEPIFRSWGFFPPISVGIGITWTALVVTLAHKSSFRFNGFPKCIVIYREFALFALSWGTVLGAVTGLFGELACVHRGFVFYGNCSAVFVPFVSFICLLFGGFSVNVLIYNLPQRLKKYRLTAVLVMANLPFGILFVTGMFAAQLYFAWVPAIHYQPGDWPGVSSINKFLYFEEESMKRM
+>sp|O14718|OPSX_HUMAN Visual pigment-like receptor peropsin OS=Homo sapiens OX=9606 GN=RRH PE=1 SV=1
+MLRNNLGNSSDSKNEDGSVFSQTEHNIVATYLIMAGMISIISNIIVLGIFIKYKELRTPTNAIIINLAVTDIGVSSIGYPMSAASDLYGSWKFGYAGCQVYAGLNIFFGMASIGLLTVVAVDRYLTICLPDVGRRMTTNTYIGLILGAWINGLFWALMPIIGWASYAPDPTGATCTINWRKNDRSFVSYTMTVIAINFIVPLTVMFYCYYHVTLSIKHHTTSDCTESLNRDWSDQIDVTKMSVIMICMFLVAWSPYSIVCLWASFGDPKKIPPPMAIIAPLFAKSSTFYNPCIYVVANKKFRRAMLAMFKCQTHQTMPVTSILPMDVSQNPLASGRI
+>DECOY_sp|O14718|OPSX_HUMAN Visual pigment-like receptor peropsin OS=Homo sapiens OX=9606 GN=RRH PE=1 SV=1
+IRGSALPNQSVDMPLISTVPMTQHTQCKFMALMARRFKKNAVVYICPNYFTSSKAFLPAIIAMPPPIKKPDGFSAWLCVISYPSWAVLFMCIMIVSMKTVDIQDSWDRNLSETCDSTTHHKISLTVHYYCYFMVTLPVIFNIAIVTMTYSVFSRDNKRWNITCTAGTPDPAYSAWGIIPMLAWFLGNIWAGLILGIYTNTTMRRGVDPLCITLYRDVAVVTLLGISAMGFFINLGAYVQCGAYGFKWSGYLDSAASMPYGISSVGIDTVALNIIIANTPTRLEKYKIFIGLVIINSIISIMGAMILYTAVINHETQSFVSGDENKSDSSNGLNNRLM
+>sp|P47884|OR1D4_HUMAN Olfactory receptor 1D4 OS=Homo sapiens OX=9606 GN=OR1D4 PE=1 SV=3
+MDGDNQSENSQFLLLGISESPEQQQILFWMFLSMYLVTVLGNVLIILAISSDSHLHTPMYFFLANLSFTDLFFVTNTIPKMLVNFQSQNKAISYAGCLTQLYFLVSLVTLDNLILAVMAYDRYVAICCPLHYVTAMSPGLCVLLLSLCWGLSVLYGLLLTFLLTRVTFCGPREIHYLFCDMYILLWLACSNTHIIHTALIATGCFIFLTLLGFMTTSYVRIVRTILQMPSASKKYKTFSTCASHLGVVSLFYGTLAMVYLQPLHTYSMKDSVATVMYAVLTPMMNPFIYSLRNKDMHGAPGRVLWRPFQRP
+>DECOY_sp|P47884|OR1D4_HUMAN Olfactory receptor 1D4 OS=Homo sapiens OX=9606 GN=OR1D4 PE=1 SV=3
+PRQFPRWLVRGPAGHMDKNRLSYIFPNMMPTLVAYMVTAVSDKMSYTHLPQLYVMALTGYFLSVVGLHSACTSFTKYKKSASPMQLITRVIRVYSTTMFGLLTLFIFCGTAILATHIIHTNSCALWLLIYMDCFLYHIERPGCFTVRTLLFTLLLGYLVSLGWCLSLLLVCLGPSMATVYHLPCCIAVYRDYAMVALILNDLTVLSVLFYLQTLCGAYSIAKNQSQFNVLMKPITNTVFFLDTFSLNALFFYMPTHLHSDSSIALIILVNGLVTVLYMSLFMWFLIQQQEPSESIGLLLFQSNESQNDGDM
+>sp|P47887|OR1E2_HUMAN Olfactory receptor 1E2 OS=Homo sapiens OX=9606 GN=OR1E2 PE=2 SV=2
+MMGQNQTSISDFLLLGLPIQPEQQNLCYALFLAMYLTTLLGNLLIIVLIRLDSHLHTPVYLFLSNLSFSDLCFSSVTMPKLLQNMQNQDPSIPYADCLTQMYFFLYFSDLESFLLVAMAYDRYVAICFPMHYTAICFLLHYTAIMSPMLCLSVVALSWVLTTFHAMLHTLLMARLCFCADNVIPHFFCDMSALLKLACSDTRVNEWVIFIMGGLILVIPFLLILGSYARIVSSILKVPSSKGICKAFSTCGSHLSVVSLFYGTVIGLYLCPSANSSTLKDTVMAMMYTVVTPMLTPFIYSLRNRDMKGALERVICKRKNPFLL
+>DECOY_sp|P47887|OR1E2_HUMAN Olfactory receptor 1E2 OS=Homo sapiens OX=9606 GN=OR1E2 PE=2 SV=2
+LLFPNKRKCIVRELAGKMDRNRLSYIFPTLMPTVVTYMMAMVTDKLTSSNASPCLYLGIVTGYFLSVVSLHSGCTSFAKCIGKSSPVKLISSVIRAYSGLILLFPIVLILGGMIFIVWENVRTDSCALKLLASMDCFFHPIVNDACFCLRAMLLTHLMAHFTTLVWSLAVVSLCLMPSMIATYHLLFCIATYHMPFCIAVYRDYAMAVLLFSELDSFYLFFYMQTLCDAYPISPDQNQMNQLLKPMTVSSFCLDSFSLNSLFLYVPTHLHSDLRILVIILLNGLLTTLYMALFLAYCLNQQEPQIPLGLLLFDSISTQNQGMM
+>sp|P47890|OR1G1_HUMAN Olfactory receptor 1G1 OS=Homo sapiens OX=9606 GN=OR1G1 PE=2 SV=2
+MEGKNLTSISECFLLGFSEQLEEQKPLFGSFLFMYLVTVAGNLLIILVIITDTQLHTPMYFFLANLSLADACFVSTTVPKMLANIQIQSQAISYSGCLLQLYFFMLFVMLEAFLLAVMAYDCYVAICHPLHYILIMSPGLCIFLVSASWIMNALHSLLHTLLMNSLSFCANHEIPHFFCDINPLLSLSCTDPFTNELVIFITGGLTGLICVLCLIISYTNVFSTILKIPSAQGKRKAFSTCSSHLSVVSLFFGTSFCVDFSSPSTHSAQKDTVASVMYTVVTPMLNPFIYSLRNQEIKSSLRKLIWVRKIHSP
+>DECOY_sp|P47890|OR1G1_HUMAN Olfactory receptor 1G1 OS=Homo sapiens OX=9606 GN=OR1G1 PE=2 SV=2
+PSHIKRVWILKRLSSKIEQNRLSYIFPNLMPTVVTYMVSAVTDKQASHTSPSSFDVCFSTGFFLSVVSLHSSCTSFAKRKGQASPIKLITSFVNTYSIILCLVCILGTLGGTIFIVLENTFPDTCSLSLLPNIDCFFHPIEHNACFSLSNMLLTHLLSHLANMIWSASVLFICLGPSMILIYHLPHCIAVYCDYAMVALLFAELMVFLMFFYLQLLCGSYSIAQSQIQINALMKPVTTSVFCADALSLNALFFYMPTHLQTDTIIVLIILLNGAVTVLYMFLFSGFLPKQEELQESFGLLFCESISTLNKGEM
+>sp|Q8NGS3|OR1J1_HUMAN Olfactory receptor 1J1 OS=Homo sapiens OX=9606 GN=OR1J1 PE=2 SV=1
+MSPENQSSVSEFLLLGLPIRPEQQAVFFALFLGMYLTTVLGNLLIMLLIQLDSHLHTPMYFFLSHLALTDISFSSVTVPKMLMNMQTQHLAVFYKGCISQTYFFIFFADLDSFLITSMAYDRYVAICHPLHYATIMTQSQCVMLVAGSWVIACACALLHTLLLAQLSFCADHIIPHYFCDLGALLKLSCSDTSLNQLAIFTAALTAIMLPFLCILVSYGHIGVTILQIPSTKGICKALSTCGSHLSVVTIYYRTIIGLYFLPPSSNTNDKNIIASVIYTAVTPMLNPFIYSLRNKDIKGALRKLLSRSGAVAHACNLNTLGG
+>DECOY_sp|Q8NGS3|OR1J1_HUMAN Olfactory receptor 1J1 OS=Homo sapiens OX=9606 GN=OR1J1 PE=2 SV=1
+GGLTNLNCAHAVAGSRSLLKRLAGKIDKNRLSYIFPNLMPTVATYIVSAIINKDNTNSSPPLFYLGIITRYYITVVSLHSGCTSLAKCIGKTSPIQLITVGIHGYSVLICLFPLMIATLAATFIALQNLSTDSCSLKLLAGLDCFYHPIIHDACFSLQALLLTHLLACACAIVWSGAVLMVCQSQTMITAYHLPHCIAVYRDYAMSTILFSDLDAFFIFFYTQSICGKYFVALHQTQMNMLMKPVTVSSFSIDTLALHSLFFYMPTHLHSDLQILLMILLNGLVTTLYMGLFLAFFVAQQEPRIPLGLLLFESVSSQNEPSM
+>sp|Q8NGS1|OR1J4_HUMAN Olfactory receptor 1J4 OS=Homo sapiens OX=9606 GN=OR1J4 PE=2 SV=1
+MKRENQSSVSEFLLLDLPIWPEQQAVFFTLFLGMYLITVLGNLLIILLIRLDSHLHTPMFFFLSHLALTDISLSSVTVPKMLLSMQTQDQSILYAGCVTQMYFFIFFTDLDNFLLTSMAYDRYVAICHPLRYTTIMKEGLCNLLVTVSWILSCTNALSHTLLLAQLSFCADNTIPHFFCDLVALLKLSCSDISLNELVIFTVGQAVITLPLICILISYGHIGVTILKAPSTKGIFKALSTCGSHLSVVSLYYGTIIGLYFLPSSSASSDKDVIASVMYTVITPLLNPFIYSLRNRDIKGALERLFNRATVLSQ
+>DECOY_sp|Q8NGS1|OR1J4_HUMAN Olfactory receptor 1J4 OS=Homo sapiens OX=9606 GN=OR1J4 PE=2 SV=1
+QSLVTARNFLRELAGKIDRNRLSYIFPNLLPTIVTYMVSAIVDKDSSASSSPLFYLGIITGYYLSVVSLHSGCTSLAKFIGKTSPAKLITVGIHGYSILICILPLTIVAQGVTFIVLENLSIDSCSLKLLAVLDCFFHPITNDACFSLQALLLTHSLANTCSLIWSVTVLLNCLGEKMITTYRLPHCIAVYRDYAMSTLLFNDLDTFFIFFYMQTVCGAYLISQDQTQMSLLMKPVTVSSLSIDTLALHSLFFFMPTHLHSDLRILLIILLNGLVTILYMGLFLTFFVAQQEPWIPLDLLLFESVSSQNERKM
+>sp|Q8NH93|OR1L3_HUMAN Olfactory receptor 1L3 OS=Homo sapiens OX=9606 GN=OR1L3 PE=2 SV=1
+MGMSNLTRLSEFILLGLSSRSEDQRPLFALFLIIYLVTLMGNLLIILAIHSDPRLQNPMYFFLSILSFADICYTTVIVPKMLVNFLSEKKTISYAECLAQMYFFLVFGNIDSYLLAAMAINRCVAICNPFHYVTVMNRRCCVLLLAFPITFSYFHSLLHVLLVNRLTFCTSNVIHHFFCDVNPVLKLSCSSTFVNEIVAMTEGLASVMAPFVCIIISYLRILIAVLKIPSAAGKHKAFSTCSSHLTVVILFYGSISYVYLQPLSSYTVKDRIATINYTVLTSVLNPFIYSLRNKDMKRGLQKLINKIKSQMSRFSTKTNKICGP
+>DECOY_sp|Q8NH93|OR1L3_HUMAN Olfactory receptor 1L3 OS=Homo sapiens OX=9606 GN=OR1L3 PE=2 SV=1
+PGCIKNTKTSFRSMQSKIKNILKQLGRKMDKNRLSYIFPNLVSTLVTYNITAIRDKVTYSSLPQLYVYSISGYFLIVVTLHSSCTSFAKHKGAASPIKLVAILIRLYSIIICVFPAMVSALGETMAVIENVFTSSCSLKLVPNVDCFFHHIVNSTCFTLRNVLLVHLLSHFYSFTIPFALLLVCCRRNMVTVYHFPNCIAVCRNIAMAALLYSDINGFVLFFYMQALCEAYSITKKESLFNVLMKPVIVTTYCIDAFSLISLFFYMPNQLRPDSHIALIILLNGMLTVLYIILFLAFLPRQDESRSSLGLLIFESLRTLNSMGM
+>sp|Q8NGA1|OR1M1_HUMAN Olfactory receptor 1M1 OS=Homo sapiens OX=9606 GN=OR1M1 PE=2 SV=1
+MEPRNQTSASQFILLGLSEKPEQETLLFSLFFCMYLVMVVGNLLIILAISIDSHLHTPMYFFLANLSLVDFCLATNTIPKMLVSLQTGSKAISYPCCLIQMYFFHFFGIVDSVIIAMMAYDRFVAICHPLHYAKIMSLRLCRLLVGALWAFSCFISLTHILLMARLVFCGSHEVPHYFCDLTPILRLSCTDTSVNRIFILIVAGMVIATPFVCILASYARILVAIMKVPSAGGRKKAFSTCSSHLSVVALFYGTTIGVYLCPSSVLTTVKEKASAVMYTAVTPMLNPFIYSLRNRDLKGALRKLVNRKITSSS
+>DECOY_sp|Q8NGA1|OR1M1_HUMAN Olfactory receptor 1M1 OS=Homo sapiens OX=9606 GN=OR1M1 PE=2 SV=1
+SSSTIKRNVLKRLAGKLDRNRLSYIFPNLMPTVATYMVASAKEKVTTLVSSPCLYVGITTGYFLAVVSLHSSCTSFAKKRGGASPVKMIAVLIRAYSALICVFPTAIVMGAVILIFIRNVSTDTCSLRLIPTLDCFYHPVEHSGCFVLRAMLLIHTLSIFCSFAWLAGVLLRCLRLSMIKAYHLPHCIAVFRDYAMMAIIVSDVIGFFHFFYMQILCCPYSIAKSGTQLSVLMKPITNTALCFDVLSLNALFFYMPTHLHSDISIALIILLNGVVMVLYMCFFLSFLLTEQEPKESLGLLIFQSASTQNRPEM
+>sp|Q8NH06|OR1P1_HUMAN Olfactory receptor 1P1 OS=Homo sapiens OX=9606 GN=OR1P1 PE=2 SV=2
+MGLTQDFFPPTSELLEGGNQTSTFEFLLWGLSDQPQQQHIFFLLFLWMYVVTVAGNLLIVLAIGTDTHLHTPMYFFLASLSCADIFSTSTTVPKALVNIQTQSRSISYAGCLAQLYFFLTFGDMDIFLPATMAYDRYVAICHLLHYMMIMSLHRCAFLVTACWTLTSLLAMTRTFLIFRLSLCSAILPGFFCDLGPLMKVSCSDAQVNELVLLFLGGAVILIPFMLILVSYIRIVSAILRAPSAQGRRKAFSTCDSHLVVVALFFGTVIRAYLCPSSSSSNSVKEDTAAAVMYTVVTPLLNPFIYSMRNKDMKAAVVRLLKGRVSFSQGQ
+>DECOY_sp|Q8NH06|OR1P1_HUMAN Olfactory receptor 1P1 OS=Homo sapiens OX=9606 GN=OR1P1 PE=2 SV=2
+QGQSFSVRGKLLRVVAAKMDKNRMSYIFPNLLPTVVTYMVAAATDEKVSNSSSSSPCLYARIVTGFFLAVVVLHSDCTSFAKRRGQASPARLIASVIRIYSVLILMFPILIVAGGLFLLVLENVQADSCSVKMLPGLDCFFGPLIASCLSLRFILFTRTMALLSTLTWCATVLFACRHLSMIMMYHLLHCIAVYRDYAMTAPLFIDMDGFTLFFYLQALCGAYSISRSQTQINVLAKPVTTSTSFIDACSLSALFFYMPTHLHTDTGIALVILLNGAVTVVYMWLFLLFFIHQQQPQDSLGWLLFEFTSTQNGGELLESTPPFFDQTLGM
+>sp|O95006|OR2F2_HUMAN Olfactory receptor 2F2 OS=Homo sapiens OX=9606 GN=OR2F2 PE=2 SV=1
+MEIDNQTWVREFILLGLSSDWCTQISLFSLFLVTYLMTVLGNCLIVLLIRLDSRLHTPMYFFLTNLSLVDVSYATSVVPQLLAHFLAEHKAIPFQSCAAQLFFSLALGGIEFVLLAVMAYDRHVAVSDRLRYSAIMHGGLCARLAITSWVSGSINSLVQTAITFQLPMCTNKFIDHISCELLAVVRLACVDTSSNEAAIMVSSIVLLMTPFCLVLLSYIRIISTILKIQSREGRKKAFHTCASHLTVVALCYGTTIFTYIQPHSGPSVLQEKLISVFYAIVMPLLNPVIYSLRNKEVKGAWHKLLEKFSGLTSKLGT
+>DECOY_sp|O95006|OR2F2_HUMAN Olfactory receptor 2F2 OS=Homo sapiens OX=9606 GN=OR2F2 PE=2 SV=1
+TGLKSTLGSFKELLKHWAGKVEKNRLSYIVPNLLPMVIAYFVSILKEQLVSPGSHPQIYTFITTGYCLAVVTLHSACTHFAKKRGERSQIKLITSIIRIYSLLVLCFPTMLLVISSVMIAAENSSTDVCALRVVALLECSIHDIFKNTCMPLQFTIATQVLSNISGSVWSTIALRACLGGHMIASYRLRDSVAVHRDYAMVALLVFEIGGLALSFFLQAACSQFPIAKHEALFHALLQPVVSTAYSVDVLSLNTLFFYMPTHLRSDLRILLVILCNGLVTMLYTVLFLSFLSIQTCWDSSLGLLIFERVWTQNDIEM
+>sp|Q8NGZ4|OR2G3_HUMAN Olfactory receptor 2G3 OS=Homo sapiens OX=9606 GN=OR2G3 PE=2 SV=1
+MGLGNESSLMDFILLGFSDHPRLEAVLFVFVLFFYLLTLVGNFTIIIISYLDPPLHTPMYFFLSNLSLLDICFTTSLAPQTLVNLQRPKKTITYGGCVAQLYISLALGSTECILLADMALDRYIAVCKPLHYVVIMNPRLCQQLASISWLSGLASSLIHATFTLQLPLCGNHRLDHFICEVPALLKLACVDTTVNELVLFVVSVLFVVIPPALISISYGFITQAVLRIKSVEARHKAFSTCSSHLTVVIIFYGTIIYVYLQPSDSYAQDQGKFISLFYTMVTPTLNPIIYTLRNKDMKEALRKLLSGKL
+>DECOY_sp|Q8NGZ4|OR2G3_HUMAN Olfactory receptor 2G3 OS=Homo sapiens OX=9606 GN=OR2G3 PE=2 SV=1
+LKGSLLKRLAEKMDKNRLTYIIPNLTPTVMTYFLSIFKGQDQAYSDSPQLYVYIITGYFIIVVTLHSSCTSFAKHRAEVSKIRLVAQTIFGYSISILAPPIVVFLVSVVFLVLENVTTDVCALKLLAPVECIFHDLRHNGCLPLQLTFTAHILSSALGSLWSISALQQCLRPNMIVVYHLPKCVAIYRDLAMDALLICETSGLALSIYLQAVCGGYTITKKPRQLNVLTQPALSTTFCIDLLSLNSLFFYMPTHLPPDLYSIIIITFNGVLTLLYFFLVFVFLVAELRPHDSFGLLIFDMLSSENGLGM
+>sp|Q8NGU4|OR2I1_HUMAN Putative olfactory receptor 2I1 OS=Homo sapiens OX=9606 GN=OR2I1P PE=5 SV=1
+MLANQASAEERFLLLGFSDWPSLQPVLFALVLLCYLLTLTGNSALVLLAVRDPRLHTPMYYFLCHLALVDAGFTTSVVPPLLANLRGPALWLPRSHCTAQLCASLALGSAECVLLAVMALDRAAAVCRPLRYAGLVSPRLCRTLASASWLSGLTNSVAQTALLAERPLCAPRLLDHFICELPALLKLACGGDGDTTENQMFAARVVILLLPFAVILASYGAVARAVCCMRFSGGRRRAVGTCGSHLTAVCLFYGSAIYTYLQPAQRYNQARGKFVSLFYTVVTPALNPLIYTLRNKKVKGAARRLLRSLGRGQAGQ
+>DECOY_sp|Q8NGU4|OR2I1_HUMAN Putative olfactory receptor 2I1 OS=Homo sapiens OX=9606 GN=OR2I1P PE=5 SV=1
+QGAQGRGLSRLLRRAAGKVKKNRLTYILPNLAPTVVTYFLSVFKGRAQNYRQAPQLYTYIASGYFLCVATLHSGCTGVARRRGGSFRMCCVARAVAGYSALIVAFPLLLIVVRAAFMQNETTDGDGGCALKLLAPLECIFHDLLRPACLPREALLATQAVSNTLGSLWSASALTRCLRPSVLGAYRLPRCVAAARDLAMVALLVCEASGLALSACLQATCHSRPLWLAPGRLNALLPPVVSTTFGADVLALHCLFYYMPTHLRPDRVALLVLASNGTLTLLYCLLVLAFLVPQLSPWDSFGLLLFREEASAQNALM
+>sp|Q8NGT1|OR2K2_HUMAN Olfactory receptor 2K2 OS=Homo sapiens OX=9606 GN=OR2K2 PE=2 SV=2
+MNITLYSFLYVLRLNEGNSTGRNIDERKKMQGENFTIWSIFFLEGFSQYPGLEVVLFVFSLVMYLTTLLGNSTLILITILDSRLKTPMYLFLGNLSFMDICYTSASVPTLLVNLLSSQKTIIFSGCAVQMYLSLAMGSTECVLLAVMAYDRYVAICNPLRYSIIMNRCVCARMATVSWVTGCLTALLETSFALQIPLCGNLIDHFTCEILAVLKLACTSSLLMNTIMLVVSILLLPIPMLLVCISYIFILSTILRITSAEGRNKAFSTCGAHLTVVILYYGAALSMYLKPSSSNAQKIDKIISLLYGVLTPMLNPIIYSLRNKEVKDAMKKLLGKITLHQTHEHL
+>DECOY_sp|Q8NGT1|OR2K2_HUMAN Olfactory receptor 2K2 OS=Homo sapiens OX=9606 GN=OR2K2 PE=2 SV=2
+LHEHTQHLTIKGLLKKMADKVEKNRLSYIIPNLMPTLVGYLLSIIKDIKQANSSSPKLYMSLAAGYYLIVVTLHAGCTSFAKNRGEASTIRLITSLIFIYSICVLLMPIPLLLISVVLMITNMLLSSTCALKLVALIECTFHDILNGCLPIQLAFSTELLATLCGTVWSVTAMRACVCRNMIISYRLPNCIAVYRDYAMVALLVCETSGMALSLYMQVACGSFIITKQSSLLNVLLTPVSASTYCIDMFSLNGLFLYMPTKLRSDLITILILTSNGLLTTLYMVLSFVFLVVELGPYQSFGELFFISWITFNEGQMKKREDINRGTSNGENLRLVYLFSYLTINM
+>sp|Q8NG80|OR2L5_HUMAN Olfactory receptor 2L5 OS=Homo sapiens OX=9606 GN=OR2L5 PE=3 SV=1
+MENYNQTSTDFILLGLFPPSKIGLFLFILFVLIFLMALIGNLSMILLIFLDTHLHTPMYFLLSQLSLIDLNYISTIVPKMASDFLYGNKSISFIGCGIQSFFFMTFAGAEALLLTSMAYDRYVAICFPLHYPIRMSKRMYVLMITGSWMIGSINSCAHTVYAFRIPYCKSRAINHFFCDVPAMLTLACTDTWVYEYTVFLSSTIFLVFPFTGIACSYGWVLLAVYRMHSAEGRKKAYSTCSTHLTVVTFYYAPFAYTYLCPRSLRSLTEDKVLAVFYTILTPMLNPIIYSLRNKEVMGALTRVIQNIFSVKM
+>DECOY_sp|Q8NG80|OR2L5_HUMAN Olfactory receptor 2L5 OS=Homo sapiens OX=9606 GN=OR2L5 PE=3 SV=1
+MKVSFINQIVRTLAGMVEKNRLSYIIPNLMPTLITYFVALVKDETLSRLSRPCLYTYAFPAYYFTVVTLHTSCTSYAKKRGEASHMRYVALLVWGYSCAIGTFPFVLFITSSLFVTYEYVWTDTCALTLMAPVDCFFHNIARSKCYPIRFAYVTHACSNISGIMWSGTIMLVYMRKSMRIPYHLPFCIAVYRDYAMSTLLLAEAGAFTMFFFSQIGCGIFSISKNGYLFDSAMKPVITSIYNLDILSLQSLLFYMPTHLHTDLFILLIMSLNGILAMLFILVFLIFLFLGIKSPPFLGLLIFDTSTQNYNEM
+>sp|Q8NG83|OR2M3_HUMAN Olfactory receptor 2M3 OS=Homo sapiens OX=9606 GN=OR2M3 PE=2 SV=1
+MARENSTFNSDFILLGIFNHSPTHTFLFFLVLAIFSVAFMGNSVMVLLIYLDTQLHTPMYLLLSQLSLMDLMLICTTVPKMAFNYLSGSKSISMAGCATQIFFYTSLLGSECFLLAVMAYDRYTAICHPLRYTNLMSPKICGLMTAFSWILGSTDGIIDVVATFSFSYCGSREIAHFFCDFPSLLILSCSDTSIFEKILFICCIVMIVFPVAIIIASYARVILAVIHMGSGEGRRKAFTTCSSHLLVVGMYYGAALFMYIRPTSDRSPTQDKMVSVFYTILTPMLNPLIYSLRNKEVTRAFMKILGKGKSGE
+>DECOY_sp|Q8NG83|OR2M3_HUMAN Olfactory receptor 2M3 OS=Homo sapiens OX=9606 GN=OR2M3 PE=2 SV=1
+EGSKGKGLIKMFARTVEKNRLSYILPNLMPTLITYFVSVMKDQTPSRDSTPRIYMFLAAGYYMGVVLLHSSCTTFAKRRGEGSGMHIVALIVRAYSAIIIAVPFVIMVICCIFLIKEFISTDSCSLILLSPFDCFFHAIERSGCYSFSFTAVVDIIGDTSGLIWSFATMLGCIKPSMLNTYRLPHCIATYRDYAMVALLFCESGLLSTYFFIQTACGAMSISKSGSLYNFAMKPVTTCILMLDMLSLQSLLLYMPTHLQTDLYILLVMVSNGMFAVSFIALVLFFLFTHTPSHNFIGLLIFDSNFTSNERAM
+>sp|Q9NQN1|OR2S1_HUMAN Olfactory receptor 2S2 OS=Homo sapiens OX=9606 GN=OR2S2 PE=2 SV=2
+MEKANETSPVMGFVLLRLSAHPELEKTFFVLILLMYLVILLGNGVLILVTILDSRLHTPMYFFLGNLSFLDICFTTSSVPLVLDSFLTPQETISFSACAVQMALSFAMAGTECLLLSMMAFDRYVAICNPLRYSVIMSKAAYMPMAASSWAIGGAASVVHTSLAIQLPFCGDNVINHFTCEILAVLKLACADISINVISMEVTNVIFLGVPVLFISFSYVFIITTILRIPSAEGRKKVFSTCSAHLTVVIVFYGTLFFMYGKPKSKDSMGADKEDLSDKLIPLFYGVVTPMLNPIIYSLRNKDVKAAVRRLLRPKGFTQ
+>DECOY_sp|Q9NQN1|OR2S1_HUMAN Olfactory receptor 2S2 OS=Homo sapiens OX=9606 GN=OR2S2 PE=2 SV=2
+QTFGKPRLLRRVAAKVDKNRLSYIIPNLMPTVVGYFLPILKDSLDEKDAGMSDKSKPKGYMFFLTGYFVIVVTLHASCTSFVKKRGEASPIRLITTIIFVYSFSIFLVPVGLFIVNTVEMSIVNISIDACALKLVALIECTFHNIVNDGCFPLQIALSTHVVSAAGGIAWSSAAMPMYAAKSMIVSYRLPNCIAVYRDFAMMSLLLCETGAMAFSLAMQVACASFSITEQPTLFSDLVLPVSSTTFCIDLFSLNGLFFYMPTHLRSDLITVLILVGNGLLIVLYMLLILVFFTKELEPHASLRLLVFGMVPSTENAKEM
+>sp|Q8NH03|OR2T3_HUMAN Olfactory receptor 2T3 OS=Homo sapiens OX=9606 GN=OR2T3 PE=2 SV=2
+MCSGNQTSQNQTASTDFTLTGLFAESKHAALLYTVTFLLFLMALTGNALLILLIHSEPRLHTPMYFFISQLALMDLMYLCVTVPKMLVGQVTGDDTISPSGCGIQMFFYLTLAGAEVFLLAAMAYDRYAAVCRPLHYPLLMNQRVCQLLVSACWVLGMVDGLLLTPITMSFPFCQSRKILSFFCETPALLKLSCSDVSLYKTLMYLCCILMLLAPIMVISSSYTLILHLIHRMNSAAGHRKALATCSSHMIIVLLLFGASFYTYMLPSSYHTAEQDMMVSAFYTIFTPVLNPLIYSLRNKDVTRALRSMMQSRMNQEK
+>DECOY_sp|Q8NH03|OR2T3_HUMAN Olfactory receptor 2T3 OS=Homo sapiens OX=9606 GN=OR2T3 PE=2 SV=2
+KEQNMRSQMMSRLARTVDKNRLSYILPNLVPTFITYFASVMMDQEATHYSSPLMYTYFSAGFLLLVIIMHSSCTALAKRHGAASNMRHILHLILTYSSSIVMIPALLMLICCLYMLTKYLSVDSCSLKLLAPTECFFSLIKRSQCFPFSMTIPTLLLGDVMGLVWCASVLLQCVRQNMLLPYHLPRCVAAYRDYAMAALLFVEAGALTLYFFMQIGCGSPSITDDGTVQGVLMKPVTVCLYMLDMLALQSIFFYMPTHLRPESHILLILLANGTLAMLFLLFTVTYLLAAHKSEAFLGTLTFDTSATQNQSTQNGSCM
+>sp|Q8NHC8|OR2T6_HUMAN Olfactory receptor 2T6 OS=Homo sapiens OX=9606 GN=OR2T6 PE=3 SV=2
+MNENNETLTRGFTLMGLFTHNKCSGFFFGVICAVFFMAMIANGVMIFLINIDPHLHTPMYFLLSHLSVIDTLYISTIVPKMLVDYLMGEGTISFIACTAQCFLYMGFMGAEFFLLGLMAYDRYVAICNPLRYPVLISWRVCWMILASSWFGGALDSFLLTPITMSLPFCASHQINHFFCEAPTMLRLACGDKTTYETVMYVCCVAMLLIPFSVVTASYTRILITVHQMTSAEGRKKAFATCSSHMMVVTLFYGAALYTYTLPQSYHTPIKDKVFSAFYTILTPLLNPLIYSLRNRDVMGALKRVVARC
+>DECOY_sp|Q8NHC8|OR2T6_HUMAN Olfactory receptor 2T6 OS=Homo sapiens OX=9606 GN=OR2T6 PE=3 SV=2
+CRAVVRKLAGMVDRNRLSYILPNLLPTLITYFASFVKDKIPTHYSQPLTYTYLAAGYFLTVVMMHSSCTAFAKKRGEASTMQHVTILIRTYSATVVSFPILLMAVCCVYMVTEYTTKDGCALRLMTPAECFFHNIQHSACFPLSMTIPTLLFSDLAGGFWSSALIMWCVRWSILVPYRLPNCIAVYRDYAMLGLLFFEAGMFGMYLFCQATCAIFSITGEGMLYDVLMKPVITSIYLTDIVSLHSLLFYMPTHLHPDINILFIMVGNAIMAMFFVACIVGFFFGSCKNHTFLGMLTFGRTLTENNENM
+>sp|Q96R30|OR2V2_HUMAN Olfactory receptor 2V2 OS=Homo sapiens OX=9606 GN=OR2V2 PE=3 SV=3
+METWVNQSYTDGFFLLGIFSHSTADLVLFSVVMAVFTVALCGNVLLIFLIYMDPHLHTPMYFFLSQLSLMDLMLVCTNVPKMAANFLSGRKSISFVGCGIQIGLFVCLVGSEGLLLGLMAYDRYVAISHPLHYPILMNQRVCLQITGSSWAFGIIDGLIQMVVVMNFPYCGLRKVNHFFCEMLSLLKLACVDTSLFEKVIFACCVFMLLFPFSIIVASYAHILGTVLQMHSAQAWKKALATCSSHLTAVTLFYGAAMFIYLRPRHYRAPSHDKVASIFYTVLTPMLNPLIYSLRNREVMGALRKGLDRCRIGSQH
+>DECOY_sp|Q96R30|OR2V2_HUMAN Olfactory receptor 2V2 OS=Homo sapiens OX=9606 GN=OR2V2 PE=3 SV=3
+HQSGIRCRDLGKRLAGMVERNRLSYILPNLMPTLVTYFISAVKDHSPARYHRPRLYIFMAAGYFLTVATLHSSCTALAKKWAQASHMQLVTGLIHAYSAVIISFPFLLMFVCCAFIVKEFLSTDVCALKLLSLMECFFHNVKRLGCYPFNMVVVMQILGDIIGFAWSSGTIQLCVRQNMLIPYHLPHSIAVYRDYAMLGLLLGESGVLCVFLGIQIGCGVFSISKRGSLFNAAMKPVNTCVLMLDMLSLQSLFFYMPTHLHPDMYILFILLVNGCLAVTFVAMVVSFLVLDATSHSFIGLLFFGDTYSQNVWTEM
+>sp|A6NFC9|OR2W5_HUMAN Putative olfactory receptor 2W5 OS=Homo sapiens OX=9606 GN=OR2W5 PE=5 SV=1
+MGKDNASYLQAFILVGSSDRPGLEKILFAVILIFCILTLVGNTAIILLLVMDVRLHTPMYFFLGNLSFLDLCFTASIAPQLLWNLGGPEKTITYHGCVAQLYIYMMLGSTECVLLVVMSHDRYVAVCRSLHYMAVMRPHLCLQLVTVAWCCGFLNSFIMCPQTMQLSRCGRRRVDHFLCEMPALIAMSCEETMLVEAIHLCPGGGSPPGAALPHPHLLWRDCSRGAEDEVSSRAKESLPHLLFSPHSGLSLLRNHHLRVPEAGQQLLPRSGEVPDSLLHHRHSQHQPPHLHFEEQGCEGDHEETSGVGERGWGASTRGTL
+>DECOY_sp|A6NFC9|OR2W5_HUMAN Putative olfactory receptor 2W5 OS=Homo sapiens OX=9606 GN=OR2W5 PE=5 SV=1
+LTGRTSAGWGREGVGSTEEHDGECGQEEFHLHPPQHQSHRHHLLSDPVEGSRPLLQQGAEPVRLHHNRLLSLGSHPSFLLHPLSEKARSSVEDEAGRSCDRWLLHPHPLAAGPPSGGGPCLHIAEVLMTEECSMAILAPMECLFHDVRRRGCRSLQMTQPCMIFSNLFGCCWAVTVLQLCLHPRMVAMYHLSRCVAVYRDHSMVVLLVCETSGLMMYIYLQAVCGHYTITKEPGGLNWLLQPAISATFCLDLFSLNGLFFYMPTHLRVDMVLLLIIATNGVLTLICFILIVAFLIKELGPRDSSGVLIFAQLYSANDKGM
+>sp|Q8NHB7|OR5K1_HUMAN Olfactory receptor 5K1 OS=Homo sapiens OX=9606 GN=OR5K1 PE=2 SV=2
+MAEENHTMKNEFILTGFTDHPELKTLLFVVFFAIYLITVVGNISLVALIFTHRRLHTPMYIFLGNLALVDSCCACAITPKMLENFFSENKRISLYECAVQFYFLCTVETADCFLLAAMAYDRYVAICNPLQYHIMMSKKLCIQMTTGAFIAGNLHSMIHVGLVFRLVFCGSNHINHFYCDILPLYRLSCVDPYINELVLFIFSGSVQVFTIGSVLISYLYILLTIFKMKSKEGRAKAFSTCASHFLSVSLFYGSLFFMYVRPNLLEEGDKDIPAAILFTIVVPLLNPFIYSLRNREVISVLRKILMKK
+>DECOY_sp|Q8NHB7|OR5K1_HUMAN Olfactory receptor 5K1 OS=Homo sapiens OX=9606 GN=OR5K1 PE=2 SV=2
+KKMLIKRLVSIVERNRLSYIFPNLLPVVITFLIAAPIDKDGEELLNPRVYMFFLSGYFLSVSLFHSACTSFAKARGEKSKMKFITLLIYLYSILVSGITFVQVSGSFIFLVLENIYPDVCSLRYLPLIDCYFHNIHNSGCFVLRFVLGVHIMSHLNGAIFAGTTMQICLKKSMMIHYQLPNCIAVYRDYAMAALLFCDATEVTCLFYFQVACEYLSIRKNESFFNELMKPTIACACCSDVLALNGLFIYMPTHLRRHTFILAVLSINGVVTILYIAFFVVFLLTKLEPHDTFGTLIFENKMTHNEEAM
+>sp|A6NMS3|OR5K4_HUMAN Olfactory receptor 5K4 OS=Homo sapiens OX=9606 GN=OR5K4 PE=3 SV=1
+MARENHSLAAEFILIGFTNYPELKTLLFVVFSAIYLVTMVGNLGLVALIYVERRLLTPMYIFLGNLALMDSCCSCAVTPKMLENFFSEDRIISLYECMAQFYFLCLAETTDCFLLATMAYDRYVAICHPLQYHTMMSKTLCIRMTTGAFKAGNLHSMIHVGLLLRLTFCRSNKIHHFFCDILPLYRLSCTDPSINELMIYIFSIPIQIFTIATVLISYLCILLTVFKMKSKEGRGKAFSTCASHFLSVSIFYICLLMYIGPSEEGDKDTPVAIFYAIVIPLLNPFIYSLRNKEVINVLKKIMRNYNILKQTCSIANLFLIY
+>DECOY_sp|A6NMS3|OR5K4_HUMAN Olfactory receptor 5K4 OS=Homo sapiens OX=9606 GN=OR5K4 PE=3 SV=1
+YILFLNAISCTQKLINYNRMIKKLVNIVEKNRLSYIFPNLLPIVIAYFIAVPTDKDGEESPGIYMLLCIYFISVSLFHSACTSFAKGRGEKSKMKFVTLLICLYSILVTAITFIQIPISFIYIMLENISPDTCSLRYLPLIDCFFHHIKNSRCFTLRLLLGVHIMSHLNGAKFAGTTMRICLTKSMMTHYQLPHCIAVYRDYAMTALLFCDTTEALCLFYFQAMCEYLSIIRDESFFNELMKPTVACSCCSDMLALNGLFIYMPTLLRREVYILAVLGLNGVMTVLYIASFVVFLLTKLEPYNTFGILIFEAALSHNERAM
+>sp|Q96RB7|OR5MB_HUMAN Olfactory receptor 5M11 OS=Homo sapiens OX=9606 GN=OR5M11 PE=2 SV=2
+MSNTNGSAITEFILLGLTDCPELQSLLFVLFLVVYLVTLLGNLGMIMLMRLDSRLHTPMYFFLTNLAFVDLCYTSNATPQMSTNIVSEKTISFAGCFTQCYIFIALLLTEFYMLAAMAYDRYVAIYDPLRYSVKTSRRVCICLATFPYVYGFSDGLFQAILTFRLTFCRSSVINHFYCADPPLIKLSCSDTYVKEHAMFISAGFNLSSSLTIVLVSYAFILAAILRIKSAEGRHKAFSTCGSHMMAVTLFYGTLFCMYIRPPTDKTVEESKIIAVFYTFVSPVLNPLIYSLRNKDVKQALKNVLR
+>DECOY_sp|Q96RB7|OR5MB_HUMAN Olfactory receptor 5M11 OS=Homo sapiens OX=9606 GN=OR5M11 PE=2 SV=2
+RLVNKLAQKVDKNRLSYILPNLVPSVFTYFVAIIKSEEVTKDTPPRIYMCFLTGYFLTVAMMHSGCTSFAKHRGEASKIRLIAALIFAYSVLVITLSSSLNFGASIFMAHEKVYTDSCSLKILPPDACYFHNIVSSRCFTLRFTLIAQFLGDSFGYVYPFTALCICVRRSTKVSYRLPDYIAVYRDYAMAALMYFETLLLAIFIYCQTFCGAFSITKESVINTSMQPTANSTYCLDVFALNTLFFYMPTHLRSDLRMLMIMGLNGLLTVLYVVLFLVFLLSQLEPCDTLGLLIFETIASGNTNSM
+>sp|Q8NH85|OR5R1_HUMAN Olfactory receptor 5R1 OS=Homo sapiens OX=9606 GN=OR5R1 PE=3 SV=1
+MAEVNIIYVTVFILKGITNRPELQAPCFGVFLVIYLVTVLGNLGLITLIKIDTRLHTPMYYFLSHLAFVDLCYSSAITPKMMVNFVVERNTIPFHACATQLGCFLTFMITECFLLASMAYDCYVAICSPLHYSTLMSRRVCIQLVAVPYIYSFLVALFHTVITFRLTYCGPNLINHFYCDDLPFLALSCSDTHMKEILIFAFAGFDMISSSSIVLTSYIFIIAAILRIRSTQGQHKAISTCGSHMVTVTIFYGTLIFMYLQPKSNHSLDTDKMASVFYTVVIPMLNPLIYSLRNKEVKDASKKALDKGCENLQILTFLKIRKLY
+>DECOY_sp|Q8NH85|OR5R1_HUMAN Olfactory receptor 5R1 OS=Homo sapiens OX=9606 GN=OR5R1 PE=3 SV=1
+YLKRIKLFTLIQLNECGKDLAKKSADKVEKNRLSYILPNLMPIVVTYFVSAMKDTDLSHNSKPQLYMFILTGYFITVTVMHSGCTSIAKHQGQTSRIRLIAAIIFIYSTLVISSSSIMDFGAFAFILIEKMHTDSCSLALFPLDDCYFHNILNPGCYTLRFTIVTHFLAVLFSYIYPVAVLQICVRRSMLTSYHLPSCIAVYCDYAMSALLFCETIMFTLFCGLQTACAHFPITNREVVFNVMMKPTIASSYCLDVFALHSLFYYMPTHLRTDIKILTILGLNGLVTVLYIVLFVGFCPAQLEPRNTIGKLIFVTVYIINVEAM
+>sp|Q8NGG3|OR5T3_HUMAN Olfactory receptor 5T3 OS=Homo sapiens OX=9606 GN=OR5T3 PE=3 SV=3
+MDSTFTGYNLYNLQVKTEMDKLSSGLDIYRNPLKNKTEVTMFILTGFTDDFELQVFLFLLFFAIYLFTLIGNLGLVVLVIEDSWLHNPMYYFLSVLSFLDACYSTVVTPKMLVNFLAKNKSISFIGCATQMLLFVTFGTTECFLLAAMAYDHYVAIYNPLLYSVSMSPRVYVPLITASYVAGILHATIHIVATFSLSFCGSNEIRHVFCDMPPLLAISCSDTHTNQLLLFYFVGSIEIVTILIVLISCDFILLSILKMHSAKGRQKAFSTCGSHLTGVTIYHGTILVSYMRPSSSYASDHDIIVSIFYTIVIPKLNPIIYSLRNKEVKKAVKKMLKLVYK
+>DECOY_sp|Q8NGG3|OR5T3_HUMAN Olfactory receptor 5T3 OS=Homo sapiens OX=9606 GN=OR5T3 PE=3 SV=3
+KYVLKLMKKVAKKVEKNRLSYIIPNLKPIVITYFISVIIDHDSAYSSSPRMYSVLITGHYITVGTLHSGCTSFAKQRGKASHMKLISLLIFDCSILVILITVIEISGVFYFLLLQNTHTDSCSIALLPPMDCFVHRIENSGCFSLSFTAVIHITAHLIGAVYSATILPVYVRPSMSVSYLLPNYIAVYHDYAMAALLFCETTGFTVFLLMQTACGIFSISKNKALFNVLMKPTVVTSYCADLFSLVSLFYYMPNHLWSDEIVLVVLGLNGILTFLYIAFFLLFLFVQLEFDDTFGTLIFMTVETKNKLPNRYIDLGSSLKDMETKVQLNYLNYGTFTSDM
+>sp|O95222|OR6A2_HUMAN Olfactory receptor 6A2 OS=Homo sapiens OX=9606 GN=OR6A2 PE=2 SV=2
+MEWRNHSGRVSEFVLLGFPAPAPLQVLLFALLLLAYVLVLTENTLIIMAIRNHSTLHKPMYFFLANMSFLEIWYVTVTIPKMLAGFVGSKQDHGQLISFEGCMTQLYFFLGLGCTECVLLAVMAYDRYMAICYPLHYPVIVSGRLCVQMAAGSWAGGFGISMVKVFLISGLSYCGPNIINHFFCDVSPLLNLSCTDMSTAELTDFILAIFILLGPLSVTGASYVAITGAVMHIPSAAGRYKAFSTCASHLTVVIIFYAASIFIYARPKALSAFDTNKLVSVLYAVIVPLLNPIIYCLRNQEVKRALCCTLHLYQHQDPDPKKASRNV
+>DECOY_sp|O95222|OR6A2_HUMAN Olfactory receptor 6A2 OS=Homo sapiens OX=9606 GN=OR6A2 PE=2 SV=2
+VNRSAKKPDPDQHQYLHLTCCLARKVEQNRLCYIIPNLLPVIVAYLVSVLKNTDFASLAKPRAYIFISAAYFIIVVTLHSACTSFAKYRGAASPIHMVAGTIAVYSAGTVSLPGLLIFIALIFDTLEATSMDTCSLNLLPSVDCFFHNIINPGCYSLGSILFVKVMSIGFGGAWSGAAMQVCLRGSVIVPYHLPYCIAMYRDYAMVALLVCETCGLGLFFYLQTMCGEFSILQGHDQKSGVFGALMKPITVTVYWIELFSMNALFFYMPKHLTSHNRIAMIILTNETLVLVYALLLLAFLLVQLPAPAPFGLLVFESVRGSHNRWEM
+>sp|Q8NGC5|OR6J1_HUMAN Olfactory receptor 6J1 OS=Homo sapiens OX=9606 GN=OR6J1 PE=3 SV=1
+MGNWTAAVTEFVLLGFSLSREVELLLLVLLLPTFLLTLLGNLLIISTVLSCSRLHTPMYFFLCNLSILDILFTSVISPKVLANLGSRDKTISFAGCITQCYFYFFLGTVEFLLLTVMSYDRYATICCPLRYTTIMRPSVCIGTVVFSWVGGFLSVLFPTILISQLPFCGSNIINHFFCDSGPLLALACADTTAIELMDFMLSSMVILCCIVLVAYSYTYIILTIVRIPSASGRKKAFNTCASHLTIVIIPSGITVFIYVTPSQKEYLEINKIPLVLSSVVTPFLNPFIYTLRNDTVQGVLRDVWVRVRGVFEKRMRAVLRSRLSSNKDHQGRACSSPPCVYSVKLQC
+>DECOY_sp|Q8NGC5|OR6J1_HUMAN Olfactory receptor 6J1 OS=Homo sapiens OX=9606 GN=OR6J1 PE=3 SV=1
+CQLKVSYVCPPSSCARGQHDKNSSLRSRLVARMRKEFVGRVRVWVDRLVGQVTDNRLTYIFPNLFPTVVSSLVLPIKNIELYEKQSPTVYIFVTIGSPIIVITLHSACTNFAKKRGSASPIRVITLIIYTYSYAVLVICCLIVMSSLMFDMLEIATTDACALALLPGSDCFFHNIINSGCFPLQSILITPFLVSLFGGVWSFVVTGICVSPRMITTYRLPCCITAYRDYSMVTLLLFEVTGLFFYFYCQTICGAFSITKDRSGLNALVKPSIVSTFLIDLISLNCLFFYMPTHLRSCSLVTSIILLNGLLTLLFTPLLLVLLLLEVERSLSFGLLVFETVAATWNGM
+>sp|Q8NGY2|OR6K2_HUMAN Olfactory receptor 6K2 OS=Homo sapiens OX=9606 GN=OR6K2 PE=2 SV=1
+MESPNRTTIQEFIFSAFPYSWVKSVVCFVPLLFIYAFIVVGNLVIITVVQLNTHLHTPMYTFISALSFLEIWYTTATIPKMLSSLLSERSISFNGCLLQMYFFHSTGICEVCLLTVMAFDHYLAICSPLHYPSIMTPKLCTQLTLSCCVCGFITPLPEIAWISTLPFCGSNHLEHIFCDFLPVLRLACTDTRAIVMIQVVDVIHAVEIITAVMLIFMSYDGIVAVILRIHSAGGRRTAFSTCVSHFIVFSLFFGSVTLMYLRFSATYSLFWDIAIALAFAVLSPFFNPIIYSLRNKEIKEAIKKHIGQAKIFFSVRPGTSSKIF
+>DECOY_sp|Q8NGY2|OR6K2_HUMAN Olfactory receptor 6K2 OS=Homo sapiens OX=9606 GN=OR6K2 PE=2 SV=1
+FIKSSTGPRVSFFIKAQGIHKKIAEKIEKNRLSYIIPNFFPSLVAFALAIAIDWFLSYTASFRLYMLTVSGFFLSFVIFHSVCTSFATRRGGASHIRLIVAVIGDYSMFILMVATIIEVAHIVDVVQIMVIARTDTCALRLVPLFDCFIHELHNSGCFPLTSIWAIEPLPTIFGCVCCSLTLQTCLKPTMISPYHLPSCIALYHDFAMVTLLCVECIGTSHFFYMQLLCGNFSISRESLLSSLMKPITATTYWIELFSLASIFTYMPTHLHTNLQVVTIIVLNGVVIFAYIFLLPVFCVVSKVWSYPFASFIFEQITTRNPSEM
+>sp|Q8NGY6|OR6N2_HUMAN Olfactory receptor 6N2 OS=Homo sapiens OX=9606 GN=OR6N2 PE=3 SV=1
+MDQYNHSSLAEFVFLGFASVGYVRGWLFVLLLLAYLFTICGNMLIFSVIRLDAALHTPMYHFVSVLSFLELWYTATTIPKMLSNILSEKKTISFAGCLLQTYFFHSLGASECYLLTAMAYDRYLAICRPLHYPIIMTTTLCAKMAAACWTCGFLCPISEVILASQLPFCAYNEIQHIFCDFPPLLSLACKDTSANILVDFAINAFIILITFFFIMISYARIIGAVLKIKTASGRKKAFSTCASHLAVVLIFFGSIIFMYVRLKKSYSLTLDRTLAIVYSVLTPMVNPIIYSLRNKEIIKAIKRTIFQKGDKASLAHL
+>DECOY_sp|Q8NGY6|OR6N2_HUMAN Olfactory receptor 6N2 OS=Homo sapiens OX=9606 GN=OR6N2 PE=3 SV=1
+LHALSAKDGKQFITRKIAKIIEKNRLSYIIPNVMPTLVSYVIALTRDLTLSYSKKLRVYMFIISGFFILVVALHSACTSFAKKRGSATKIKLVAGIIRAYSIMIFFFTILIIFANIAFDVLINASTDKCALSLLPPFDCFIHQIENYACFPLQSALIVESIPCLFGCTWCAAAMKACLTTTMIIPYHLPRCIALYRDYAMATLLYCESAGLSHFFYTQLLCGAFSITKKESLINSLMKPITTATYWLELFSLVSVFHYMPTHLAADLRIVSFILMNGCITFLYALLLLVFLWGRVYGVSAFGLFVFEALSSHNYQDM
+>sp|Q8NH40|OR6S1_HUMAN Olfactory receptor 6S1 OS=Homo sapiens OX=9606 GN=OR6S1 PE=3 SV=2
+MSPDGNHSSDPTEFVLAGLPNLNSARVELFSVFLLVYLLNLTGNVLIVGVVRADTRLQTPMYFFLGNLSCLEILLTSVIIPKMLSNFLSRQHTISFAACITQFYFYFFLGASEFLLLAVMSADRYLAICHPLRYPLLMSGAVCFRVALACWVGGLVPVLGPTVAVALLPFCKQGAVVQHFFCDSGPLLRLACTNTKKLEETDFVLASLVIVSSLLITAVSYGLIVLAVLSIPSASGRQKAFSTCTSHLIVVTLFYGSAIFLYVRPSQSGSVDTNWAVTVITTFVTPLLNPFIYALRNEQVKEALKDMFRKVVAGVLGNLLLDKCLSEKAVK
+>DECOY_sp|Q8NH40|OR6S1_HUMAN Olfactory receptor 6S1 OS=Homo sapiens OX=9606 GN=OR6S1 PE=3 SV=2
+KVAKESLCKDLLLNGLVGAVVKRFMDKLAEKVQENRLAYIFPNLLPTVFTTIVTVAWNTDVSGSQSPRVYLFIASGYFLTVVILHSTCTSFAKQRGSASPISLVALVILGYSVATILLSSVIVLSALVFDTEELKKTNTCALRLLPGSDCFFHQVVAGQKCFPLLAVAVTPGLVPVLGGVWCALAVRFCVAGSMLLPYRLPHCIALYRDASMVALLLFESAGLFFYFYFQTICAAFSITHQRSLFNSLMKPIIVSTLLIELCSLNGLFFYMPTQLRTDARVVGVILVNGTLNLLYVLLFVSFLEVRASNLNPLGALVFETPDSSHNGDPSM
+>sp|Q8NH79|OR6X1_HUMAN Olfactory receptor 6X1 OS=Homo sapiens OX=9606 GN=OR6X1 PE=2 SV=1
+MRNGTVITEFILLGFPVIQGLQTPLFIAIFLTYILTLAGNGLIIATVWAEPRLQIPMYFFLCNLSFLEIWYTTTVIPKLLGTFVVARTVICMSCCLLQAFFHFFVGTTEFLILTIMSFDRYLTICNPLHHPTIMTSKLCLQLALSSWVVGFTIVFCQTMLLIQLPFCGNNVISHFYCDVGPSLKAACIDTSILELLGVIATILVIPGSLLFNMISYIYILSAILRIPSATGHQKTFSTCASHLTVVSLLYGAVLFMYLRPTAHSSFKINKVVSVLNTILTPLLNPFIYTIRNKEVKGALRKAMTCPKTGHAK
+>DECOY_sp|Q8NH79|OR6X1_HUMAN Olfactory receptor 6X1 OS=Homo sapiens OX=9606 GN=OR6X1 PE=2 SV=1
+KAHGTKPCTMAKRLAGKVEKNRITYIFPNLLPTLITNLVSVVKNIKFSSHATPRLYMFLVAGYLLSVVTLHSACTSFTKQHGTASPIRLIASLIYIYSIMNFLLSGPIVLITAIVGLLELISTDICAAKLSPGVDCYFHSIVNNGCFPLQILLMTQCFVITFGVVWSSLALQLCLKSTMITPHHLPNCITLYRDFSMITLILFETTGVFFHFFAQLLCCSMCIVTRAVVFTGLLKPIVTTTYWIELFSLNCLFFYMPIQLRPEAWVTAIILGNGALTLIYTLFIAIFLPTQLGQIVPFGLLIFETIVTGNRM
+>sp|Q8NGA2|OR7A2_HUMAN Putative olfactory receptor 7A2 OS=Homo sapiens OX=9606 GN=OR7A2P PE=5 SV=1
+MVKAGNETQISEFLLLGFSEKQELQPFLFGLFLSMYLVTVLGNLLIILAAISDSCLHTPMYFFLSNLSFVDICFASTMVPKMLVNIQTQSKVITYAGCITQMCFFVLFIVLDSLLLTVMAYDQFVAICHPLHYTVIMSPQLCGLLVLVSWIMSVLNSMLQSLVTLQLSFCTDLEIPHFFCELNEMIHLACSDTFVNNMVMHFAAVLLDGGPLVGILYSYCRIVSSIRAISSTQGKYKALSTCASHLSVVSIFYGTGLGVYLSSTMTQNLHSTAVASVMYTVVTPMLNPFIYSLRNKDIKGALTQFFRGKQ
+>DECOY_sp|Q8NGA2|OR7A2_HUMAN Putative olfactory receptor 7A2 OS=Homo sapiens OX=9606 GN=OR7A2P PE=5 SV=1
+QKGRFFQTLAGKIDKNRLSYIFPNLMPTVVTYMVSAVATSHLNQTMTSSLYVGLGTGYFISVVSLHSACTSLAKYKGQTSSIARISSVIRCYSYLIGVLPGGDLLVAAFHMVMNNVFTDSCALHIMENLECFFHPIELDTCFSLQLTVLSQLMSNLVSMIWSVLVLLGCLQPSMIVTYHLPHCIAVFQDYAMVTLLLSDLVIFLVFFCMQTICGAYTIVKSQTQINVLMKPVMTSAFCIDVFSLNSLFFYMPTHLCSDSIAALIILLNGLVTVLYMSLFLGFLFPQLEQKESFGLLLFESIQTENGAKVM
+>sp|O76100|OR7AA_HUMAN Olfactory receptor 7A10 OS=Homo sapiens OX=9606 GN=OR7A10 PE=3 SV=1
+MKSWNNTIILEFLLLGISEEPELQAFLFGLFLSMYLVTVLGNLLIILATISDSHLHTPMYFFLSNLSFVDICFVSTTVPKMLVNIQTHNKVITYAGCITQMCFFLLFVGLDNFLLTVMAYDRFVAICHPLHYMVIMNPQLCGLLVLASWIMSVLNSMLQSLMVLPLPFCTHMEIPHFFCEINQVVHLACSDTFLNDIVMYFAVALLGGGPLTGILYSYSKIVSSIRAISSAQGKYKAFSTCASHLSVVSLFYGTCLGVYLSSAATHNSHTGAAASVMYTVVTPMLNPFIYSLRNKHIKGAMKTFFRGKQ
+>DECOY_sp|O76100|OR7AA_HUMAN Olfactory receptor 7A10 OS=Homo sapiens OX=9606 GN=OR7A10 PE=3 SV=1
+QKGRFFTKMAGKIHKNRLSYIFPNLMPTVVTYMVSAAAGTHSNHTAASSLYVGLCTGYFLSVVSLHSACTSFAKYKGQASSIARISSVIKSYSYLIGTLPGGGLLAVAFYMVIDNLFTDSCALHVVQNIECFFHPIEMHTCFPLPLVMLSQLMSNLVSMIWSALVLLGCLQPNMIVMYHLPHCIAVFRDYAMVTLLFNDLGVFLLFFCMQTICGAYTIVKNHTQINVLMKPVTTSVFCIDVFSLNSLFFYMPTHLHSDSITALIILLNGLVTVLYMSLFLGFLFAQLEPEESIGLLLFELIITNNWSKM
+>sp|O76099|OR7C1_HUMAN Olfactory receptor 7C1 OS=Homo sapiens OX=9606 GN=OR7C1 PE=2 SV=1
+METGNQTHAQEFLLLGFSATSEIQFILFGLFLSMYLVTFTGNLLIILAICSDSHLHTPMYFFLSNLSFADLCFTSTTVPKMLLNILTQNKFITYAGCLSQIFFFTSFGCLDNLLLTVMAYDRFVAVCHPLHYTVIMNPQLCGLLVLGSWCISVMGSLLETLTVLRLSFCTEMEIPHFFCDLLEVLKLACSDTFINNVVIYFATGVLGVISFTGIFFSYYKIVFSILRISSAGRKHKAFSTCGSHLSVVTLFYGTGFGVYLSSAATPSSRTSLVASVMYTMVTPMLNPFIYSLRNTDMKRALGRLLSRATFFNGDITAGLS
+>DECOY_sp|O76099|OR7C1_HUMAN Olfactory receptor 7C1 OS=Homo sapiens OX=9606 GN=OR7C1 PE=2 SV=1
+SLGATIDGNFFTARSLLRGLARKMDTNRLSYIFPNLMPTVMTYMVSAVLSTRSSPTAASSLYVGFGTGYFLTVVSLHSGCTSFAKHKRGASSIRLISFVIKYYSFFIGTFSIVGLVGTAFYIVVNNIFTDSCALKLVELLDCFFHPIEMETCFSLRLVTLTELLSGMVSICWSGLVLLGCLQPNMIVTYHLPHCVAVFRDYAMVTLLLNDLCGFSTFFFIQSLCGAYTIFKNQTLINLLMKPVTTSTFCLDAFSLNSLFFYMPTHLHSDSCIALIILLNGTFTVLYMSLFLGFLIFQIESTASFGLLLFEQAHTQNGTEM
+>sp|Q8NG98|OR7D4_HUMAN Olfactory receptor 7D4 OS=Homo sapiens OX=9606 GN=OR7D4 PE=2 SV=1
+MEAENLTELSKFLLLGLSDDPELQPVLFGLFLSMYLVTVLGNLLIILAVSSDSHLHTPMYFFLSNLSFVDICFISTTVPKMLVSIQARSKDISYMGCLTQVYFLMMFAGMDTFLLAVMAYDRFVAICHPLHYTVIMNPCLCGLLVLASWFIIFWFSLVHILLMKRLTFSTGTEIPHFFCEPAQVLKVACSNTLLNNIVLYVATALLGVFPVAGILFSYSQIVSSLMGMSSTKGKYKAFSTCGSHLCVVSLFYGTGLGVYLSSAVTHSSQSSSTASVMYAMVTPMLNPFIYSLRNKDVKGALERLLSRADSCP
+>DECOY_sp|Q8NG98|OR7D4_HUMAN Olfactory receptor 7D4 OS=Homo sapiens OX=9606 GN=OR7D4 PE=2 SV=1
+PCSDARSLLRELAGKVDKNRLSYIFPNLMPTVMAYMVSATSSSQSSHTVASSLYVGLGTGYFLSVVCLHSGCTSFAKYKGKTSSMGMLSSVIQSYSFLIGAVPFVGLLATAVYLVINNLLTNSCAVKLVQAPECFFHPIETGTSFTLRKMLLIHVLSFWFIIFWSALVLLGCLCPNMIVTYHLPHCIAVFRDYAMVALLFTDMGAFMMLFYVQTLCGMYSIDKSRAQISVLMKPVTTSIFCIDVFSLNSLFFYMPTHLHSDSSVALIILLNGLVTVLYMSLFLGFLVPQLEPDDSLGLLLFKSLETLNEAEM
+>sp|Q8NGU2|OR9A4_HUMAN Olfactory receptor 9A4 OS=Homo sapiens OX=9606 GN=OR9A4 PE=2 SV=1
+MLMNYSSATEFYLLGFPGSEELHHILFAIFFFFYLVTLMGNTVIIMIVCVDKRLQSPMYFFLGHLSALEILVTTIIVPVMLWGLLLPGMQTIYLSACVVQLFLYLAVGTTEFALLGAMAVDRYVAVCNPLRYNIIMNRHTCNFVVLVSWVFGFLFQIWPVYVMFQLTYCKSNVVNNFFCDRGQLLKLSCNNTLFTEFILFLMAVFVLFGSLIPTIVSNAYIISTILKIPSSSGRRKSFSTCASHFTCVVIGYGSCLFLYVKPKQTQAADYNWVVSLMVSVVTPFLNPFIFTLRNDKVIEALRDGVKRCCQLFRN
+>DECOY_sp|Q8NGU2|OR9A4_HUMAN Olfactory receptor 9A4 OS=Homo sapiens OX=9606 GN=OR9A4 PE=2 SV=1
+NRFLQCCRKVGDRLAEIVKDNRLTFIFPNLFPTVVSVMLSVVWNYDAAQTQKPKVYLFLCSGYGIVVCTFHSACTSFSKRRGSSSPIKLITSIIYANSVITPILSGFLVFVAMLFLIFETFLTNNCSLKLLQGRDCFFNNVVNSKCYTLQFMVYVPWIQFLFGFVWSVLVVFNCTHRNMIINYRLPNCVAVYRDVAMAGLLAFETTGVALYLFLQVVCASLYITQMGPLLLGWLMVPVIITTVLIELASLHGLFFYMPSQLRKDVCVIMIIVTNGMLTVLYFFFFIAFLIHHLEESGPFGLLYFETASSYNMLM
+>sp|Q8NH87|OR9G1_HUMAN Olfactory receptor 9G1 OS=Homo sapiens OX=9606 GN=OR9G1 PE=3 SV=1
+MQRSNHTVTEFILLGFTTDPGMQLGLFVVFLGVYSLTVVGNSTLIVLICNDSCLHTPMYFFTGNLSFLDLWYSSVYTPKILVTCISEDKSISFAGCLCQFFFSAGLAYSECYLLAAVAYDRYVAISKPLLYAQAMSIKLCALLVAVSYCGGFINSSIITKKTFSFNFCRENIIDDFFCDLLPLVELACGEKGGYKIMMYFLLASNVICPAVLILASYLFIITSVLRISSSKGYLKAFSTCSSHLTSVTLYYGSILYIYALPRSSYSFDMDKIVSTFYTVVFPMLNLMIYSLRNKDVKEALKKLLP
+>DECOY_sp|Q8NH87|OR9G1_HUMAN Olfactory receptor 9G1 OS=Homo sapiens OX=9606 GN=OR9G1 PE=3 SV=1
+PLLKKLAEKVDKNRLSYIMLNLMPFVVTYFTSVIKDMDFSYSSRPLAYIYLISGYYLTVSTLHSSCTSFAKLYGKSSSIRLVSTIIFLYSALILVAPCIVNSALLFYMMIKYGGKEGCALEVLPLLDCFFDDIINERCFNFSFTKKTIISSNIFGGCYSVAVLLACLKISMAQAYLLPKSIAVYRDYAVAALLYCESYALGASFFFQCLCGAFSISKDESICTVLIKPTYVSSYWLDLFSLNGTFFYMPTHLCSDNCILVILTSNGVVTLSYVGLFVVFLGLQMGPDTTFGLLIFETVTHNSRQM
+>sp|P0C7N8|OR9G9_HUMAN Olfactory receptor 9G9 OS=Homo sapiens OX=9606 GN=OR9G9 PE=3 SV=1
+MQRSNHTVTEFILLGFTTDPGMQLGLFVVFLGVYSLTVVGNSTLIVLICNDSHLHTPMYFVVGNLSFLDLWYSSVYTPKILVICISEDKSISFAGCLCQFFFSAGLAYSECCLLAAMAYDRYVAISKPLLYAQAMSIKLCALLVAVSYCGGFINSSIITKKTFSFNFCCENIIDDFFCDLLPLVKLACGEKGCYKFLMYFLLASNVICPAVLILASYLFIITSVLRISSSQGRLKAFSTCSSHLTSVTLYYGSILYIYALPRSSYSFDMDKIVSTFYTEVLPMLNPMIYSLRNKDVKEALKKLLP
+>DECOY_sp|P0C7N8|OR9G9_HUMAN Olfactory receptor 9G9 OS=Homo sapiens OX=9606 GN=OR9G9 PE=3 SV=1
+PLLKKLAEKVDKNRLSYIMPNLMPLVETYFTSVIKDMDFSYSSRPLAYIYLISGYYLTVSTLHSSCTSFAKLRGQSSSIRLVSTIIFLYSALILVAPCIVNSALLFYMLFKYCGKEGCALKVLPLLDCFFDDIINECCFNFSFTKKTIISSNIFGGCYSVAVLLACLKISMAQAYLLPKSIAVYRDYAMAALLCCESYALGASFFFQCLCGAFSISKDESICIVLIKPTYVSSYWLDLFSLNGVVFYMPTHLHSDNCILVILTSNGVVTLSYVGLFVVFLGLQMGPDTTFGLLIFETVTHNSRQM
+>sp|Q8NGE7|OR9K2_HUMAN Olfactory receptor 9K2 OS=Homo sapiens OX=9606 GN=OR9K2 PE=2 SV=2
+MLGSKPRVHLYILPCASQQVSTMGDRGTSNHSEMTDFILAGFRVRPELHILLFLLFLFVYAMILLGNVGMMTIIMTDPRLNTPMYFFLGNLSFIDLFYSSVIEPKAMINFWSENKSISFAGCVAQLFLFALLIVTEGFLLAAMAYDRFIAICNPLLYSVQMSTRLCTQLVAGSYFCGCISSVIQTSMTFTLSFCASRAVDHFYCDSRPLQRLSCSDLFIHRMISFSLSCIIILPTIIVIIVSYMYIVSTVLKIHSTEGHKKAFSTCSSHLGVVSVLYGAVFFMYLTPDRFPELSKVASLCYSLVTPMLNPLIYSLRNKDVQEALKKFLEKKNIIL
+>DECOY_sp|Q8NGE7|OR9K2_HUMAN Olfactory receptor 9K2 OS=Homo sapiens OX=9606 GN=OR9K2 PE=2 SV=2
+LIINKKELFKKLAEQVDKNRLSYILPNLMPTVLSYCLSAVKSLEPFRDPTLYMFFVAGYLVSVVGLHSSCTSFAKKHGETSHIKLVTSVIYMYSVIIVIITPLIIICSLSFSIMRHIFLDSCSLRQLPRSDCYFHDVARSACFSLTFTMSTQIVSSICGCFYSGAVLQTCLRTSMQVSYLLPNCIAIFRDYAMAALLFGETVILLAFLFLQAVCGAFSISKNESWFNIMAKPEIVSSYFLDIFSLNGLFFYMPTNLRPDTMIITMMGVNGLLIMAYVFLFLLFLLIHLEPRVRFGALIFDTMESHNSTGRDGMTSVQQSACPLIYLHVRPKSGLM
+>sp|Q9P0S3|ORML1_HUMAN ORM1-like protein 1 OS=Homo sapiens OX=9606 GN=ORMDL1 PE=1 SV=1
+MNVGVAHSEVNPNTRVMNSRGMWLTYALGVGLLHIVLLSIPFFSVPVAWTLTNIIHNLGMYVFLHAVKGTPFETPDQGKARLLTHWEQLDYGVQFTSSRKFFTISPIILYFLASFYTKYDPTHFILNTASLLSVLIPKMPQLHGVRIFGINKY
+>DECOY_sp|Q9P0S3|ORML1_HUMAN ORM1-like protein 1 OS=Homo sapiens OX=9606 GN=ORMDL1 PE=1 SV=1
+YKNIGFIRVGHLQPMKPILVSLLSATNLIFHTPDYKTYFSALFYLIIPSITFFKRSSTFQVGYDLQEWHTLLRAKGQDPTEFPTGKVAHLFVYMGLNHIINTLTWAVPVSFFPISLLVIHLLGVGLAYTLWMGRSNMVRTNPNVESHAVGVNM
+>sp|Q8N138|ORML3_HUMAN ORM1-like protein 3 OS=Homo sapiens OX=9606 GN=ORMDL3 PE=1 SV=1
+MNVGTAHSEVNPNTRVMNSRGIWLSYVLAIGLLHIVLLSIPFVSVPVVWTLTNLIHNMGMYIFLHTVKGTPFETPDQGKARLLTHWEQMDYGVQFTASRKFLTITPIVLYFLTSFYTKYDQIHFVLNTVSLMSVLIPKLPQLHGVRIFGINKY
+>DECOY_sp|Q8N138|ORML3_HUMAN ORM1-like protein 3 OS=Homo sapiens OX=9606 GN=ORMDL3 PE=1 SV=1
+YKNIGFIRVGHLQPLKPILVSMLSVTNLVFHIQDYKTYFSTLFYLVIPTITLFKRSATFQVGYDMQEWHTLLRAKGQDPTEFPTGKVTHLFIYMGMNHILNTLTWVVPVSVFPISLLVIHLLGIALVYSLWIGRSNMVRTNPNVESHATGVNM
+>sp|Q9Y619|ORNT1_HUMAN Mitochondrial ornithine transporter 1 OS=Homo sapiens OX=9606 GN=SLC25A15 PE=1 SV=1
+MKSNPAIQAAIDLTAGAAGGTACVLTGQPFDTMKVKMQTFPDLYRGLTDCCLKTYSQVGFRGFYKGTSPALIANIAENSVLFMCYGFCQQVVRKVAGLDKQAKLSDLQNAAAGSFASAFAALVLCPTELVKCRLQTMYEMETSGKIAKSQNTVWSVIKSILRKDGPLGFYHGLSSTLLREVPGYFFFFGGYELSRSFFASGRSKDELGPVPLMLSGGVGGICLWLAVYPVDCIKSRIQVLSMSGKQAGFIRTFINVVKNEGITALYSGLKPTMIRAFPANGALFLAYEYSRKLMMNQLEAY
+>DECOY_sp|Q9Y619|ORNT1_HUMAN Mitochondrial ornithine transporter 1 OS=Homo sapiens OX=9606 GN=SLC25A15 PE=1 SV=1
+YAELQNMMLKRSYEYALFLAGNAPFARIMTPKLGSYLATIGENKVVNIFTRIFGAQKGSMSLVQIRSKICDVPYVALWLCIGGVGGSLMLPVPGLEDKSRGSAFFSRSLEYGGFFFFYGPVERLLTSSLGHYFGLPGDKRLISKIVSWVTNQSKAIKGSTEMEYMTQLRCKVLETPCLVLAAFASAFSGAAANQLDSLKAQKDLGAVKRVVQQCFGYCMFLVSNEAINAILAPSTGKYFGRFGVQSYTKLCCDTLGRYLDPFTQMKVKMTDFPQGTLVCATGGAAGATLDIAAQIAPNSKM
+>sp|Q9BZF2|OSBL7_HUMAN Oxysterol-binding protein-related protein 7 OS=Homo sapiens OX=9606 GN=OSBPL7 PE=1 SV=1
+MDFQERDPPFLPESAQSSKPSSAQQASELWEVVEEPRVRLGTEGVMPERQEGHLLKKRKWPLKGWHKRYFVLEDGILHYATTRQDITKGKLHGSIDVRLSVMSINKKAQRIDLDTEDNIYHLKIKSQDLFQSWVAQLRAHRLAHRLDMPRGSLPSTAHRKVPGAQLPTAATASALPGLGPREKVSSWLRDSDGLDRCSHELSECQGKLQELHRLLQSLESLHRIPSAPVIPTHQASVTTERPKKGKRTSRMWCTQSFAKDDTIGRVGRLHGSVPNLSRYLESRDSSGTRGLPPTDYAHLQRSFWALAQKVHSSLSSVLAALTMERDQLRDMHQGSELSRMGVSEASTGQRRLHSLSTSSDTTADSFSSLNPEEQEALYMKGRELTPQLSQTSILSLADSHTEFFDACEVLLSASSSENEGSEEEESCTSEITTSLSEEMLDLRGAERCQKGGCVPGRPMGPPRRRCLPAASGPGADVSLWNILRNNIGKDLSKVSMPVQLNEPLNTLQRLCEELEYSSLLDQASRIADPCERMVYIAAFAVSAYSSTYHRAGCKPFNPVLGETYECERPDRGFRFISEQVSHHPPISACHAESENFAFWQDMKWKNKFWGKSLEIVPVGTVNVSLPRFGDHFEWNKVTSCIHNVLSGQRWIEHYGEVLIRNTQDSSCHCKITFCKAKYWSSNVHEVQGAVLSRSGRVLHRLFGKWHEGLYRGPTPGGQCIWKPNSMPPDHERNFGFTQFALELNELTAELKRSLPSTDTRLRPDQRYLEEGNIQAAEAQKRRIEQLQRDRRKVMEENNIVHQARFFRRQTDSSGKEWWVTNNTYWRLRAEPGYGNMDGAVLW
+>DECOY_sp|Q9BZF2|OSBL7_HUMAN Oxysterol-binding protein-related protein 7 OS=Homo sapiens OX=9606 GN=OSBPL7 PE=1 SV=1
+WLVAGDMNGYGPEARLRWYTNNTVWWEKGSSDTQRRFFRAQHVINNEEMVKRRDRQLQEIRRKQAEAAQINGEELYRQDPRLRTDTSPLSRKLEATLENLELAFQTFGFNREHDPPMSNPKWICQGGPTPGRYLGEHWKGFLRHLVRGSRSLVAGQVEHVNSSWYKAKCFTIKCHCSSDQTNRILVEGYHEIWRQGSLVNHICSTVKNWEFHDGFRPLSVNVTGVPVIELSKGWFKNKWKMDQWFAFNESEAHCASIPPHHSVQESIFRFGRDPRECEYTEGLVPNFPKCGARHYTSSYASVAFAAIYVMRECPDAIRSAQDLLSSYELEECLRQLTNLPENLQVPMSVKSLDKGINNRLINWLSVDAGPGSAAPLCRRRPPGMPRGPVCGGKQCREAGRLDLMEESLSTTIESTCSEEEESGENESSSASLLVECADFFETHSDALSLISTQSLQPTLERGKMYLAEQEEPNLSSFSDATTDSSTSLSHLRRQGTSAESVGMRSLESGQHMDRLQDREMTLAALVSSLSSHVKQALAWFSRQLHAYDTPPLGRTGSSDRSELYRSLNPVSGHLRGVRGITDDKAFSQTCWMRSTRKGKKPRETTVSAQHTPIVPASPIRHLSELSQLLRHLEQLKGQCESLEHSCRDLGDSDRLWSSVKERPGLGPLASATAATPLQAGPVKRHATSPLSGRPMDLRHALRHARLQAVWSQFLDQSKIKLHYINDETDLDIRQAKKNISMVSLRVDISGHLKGKTIDQRTTAYHLIGDELVFYRKHWGKLPWKRKKLLHGEQREPMVGETGLRVRPEEVVEWLESAQQASSPKSSQASEPLFPPDREQFDM
+>sp|Q9NX31|OSER1_HUMAN Oxidative stress-responsive serine-rich protein 1 OS=Homo sapiens OX=9606 GN=OSER1 PE=1 SV=2
+MKSEAKDGEEESLQTAFKKLRVDASGSVASLSVGEGTGVRAPVRTATDDTKPKTTCASKDSWHGSTRKSSRGAVRTQRRRRSKSPVLHPPKFIHCSTIASSSSSQLKHKSQTDSPDGSSGLGISSPKEFSAGESSTSLDANHTGAVVEPLRTSVPRLPSESKKEDSSDATQVPQASLKASDLSDFQSVSKLNQGKPCTCIGKECQCKRWHDMEVYSFSGLQSVPPLAPERRSTLEDYSQSLHARTLSGSPRSCSEQARVFVDDVTIEDLSGYMEYYLYIPKKMSHMAEMMYT
+>DECOY_sp|Q9NX31|OSER1_HUMAN Oxidative stress-responsive serine-rich protein 1 OS=Homo sapiens OX=9606 GN=OSER1 PE=1 SV=2
+TYMMEAMHSMKKPIYLYYEMYGSLDEITVDDVFVRAQESCSRPSGSLTRAHLSQSYDELTSRREPALPPVSQLGSFSYVEMDHWRKCQCEKGICTCPKGQNLKSVSQFDSLDSAKLSAQPVQTADSSDEKKSESPLRPVSTRLPEVVAGTHNADLSTSSEGASFEKPSSIGLGSSGDPSDTQSKHKLQSSSSSAITSCHIFKPPHLVPSKSRRRRQTRVAGRSSKRTSGHWSDKSACTTKPKTDDTATRVPARVGTGEGVSLSAVSGSADVRLKKFATQLSEEEGDKAESKM
+>sp|A6NNC1|P12LL_HUMAN Putative POM121-like protein 1-like OS=Homo sapiens OX=9606 PE=5 SV=3
+MPEQDKDPRVQENPDDQRTVPEVTGDARSAFWPLRDNGGPSPFVPRPGPLQTDLHAQSSEIRYNHTSQTSWTSSSTKRNAISSSYSSTGGLPGLKQRRGPASSRCQLTLSYSKTVSEDRPQAVSSGHTRCEKGADTAPGQTIAPTGGSPRSQDSRPRRRKIPLLPRRRGEPLMLPPPLELGYRVTAEDLHLEKETAFQRINSALHVEDKAIPDCRPSRPSHTLSSLATGASGGPPVSKAPTMDAQQDRPKSQDSLGLLAPLASAAEVPSTAPVSGKKHRPPGPLFSSSDPLPATSYHSRDTAQVTSLIPATFTAASRDAGMRRTRSAPAAATAAPPPSTLNNTSGSLLNAVDGGPSHFLASATAAARAQRSEVRYNQRSQTSRTRSCLKRNASSSSSSHSSTEGLQELKRRRGPASSHCQLAHSSSNTVSEDGPQAVSSGHRCENKAGTAPGQTLAPRGGSPRSQASRPHINSALYVEDKAISDCRPSRPSHTLSSLATGASGGPPVSKAPTMDAQQDRPKSQDCLGLVAPLASAAEVPSTAPVSGKKHRPPGPLFSSSDPLPATSSHSRDSAQVTSLIPATFTAASRDAGMRRTRPGTSAPAAAAAALPPSTLNPTSGSLLNAVDGGPSHFLASATAAARAQRSEVRYNQRSQTSRTRSCLKRNASSSSHSSTEGLQELKRRRGPASSHCQLAHSSSNTVSEDGPQAVSSGHRCENKAGTAPGQTLAPRGGYPRSQASRPRINSALHVEDKAISDCRPSRPSHTLSSLATGASGGPPVSKAPTMDAQQDRPKSQDCLGLLAPLASAAEVSSTAPVSGKKHRPPGPLFSSSDPLPATSSHSGDSAQDTSLIPAPFTPASRDAGIRRMFRVRNCLRGLGLFLLVFSFFFLLTWASFSF
+>DECOY_sp|A6NNC1|P12LL_HUMAN Putative POM121-like protein 1-like OS=Homo sapiens OX=9606 PE=5 SV=3
+FSFSAWTLLFFFSFVLLFLGLGRLCNRVRFMRRIGADRSAPTFPAPILSTDQASDGSHSSTAPLPDSSSFLPGPPRHKKGSVPATSSVEAASALPALLGLCDQSKPRDQQADMTPAKSVPPGGSAGTALSSLTHSPRSPRCDSIAKDEVHLASNIRPRSAQSRPYGGRPALTQGPATGAKNECRHGSSVAQPGDESVTNSSSHALQCHSSAPGRRRKLEQLGETSSHSSSSANRKLCSRTRSTQSRQNYRVESRQARAAATASALFHSPGGDVANLLSGSTPNLTSPPLAAAAAAPASTGPRTRRMGADRSAATFTAPILSTVQASDRSHSSTAPLPDSSSFLPGPPRHKKGSVPATSPVEAASALPAVLGLCDQSKPRDQQADMTPAKSVPPGGSAGTALSSLTHSPRSPRCDSIAKDEVYLASNIHPRSAQSRPSGGRPALTQGPATGAKNECRHGSSVAQPGDESVTNSSSHALQCHSSAPGRRRKLEQLGETSSHSSSSSSANRKLCSRTRSTQSRQNYRVESRQARAAATASALFHSPGGDVANLLSGSTNNLTSPPPAATAAAPASRTRRMGADRSAATFTAPILSTVQATDRSHYSTAPLPDSSSFLPGPPRHKKGSVPATSPVEAASALPALLGLSDQSKPRDQQADMTPAKSVPPGGSAGTALSSLTHSPRSPRCDPIAKDEVHLASNIRQFATEKELHLDEATVRYGLELPPPLMLPEGRRRPLLPIKRRRPRSDQSRPSGGTPAITQGPATDAGKECRTHGSSVAQPRDESVTKSYSLTLQCRSSAPGRRQKLGPLGGTSSYSSSIANRKTSSSTWSTQSTHNYRIESSQAHLDTQLPGPRPVFPSPGGNDRLPWFASRADGTVEPVTRQDDPNEQVRPDKDQEPM
+>sp|A8MW92|P20L1_HUMAN PHD finger protein 20-like protein 1 OS=Homo sapiens OX=9606 GN=PHF20L1 PE=1 SV=2
+MSKKPPNRPGITFEIGARLEALDYLQKWYPSRIEKIDYEEGKMLVHFERWSHRYDEWIYWDSNRLRPLERPALRKEGLKDEEDFFDFKAGEEVLARWTDCRYYPAKIEAINKEGTFTVQFYDGVIRCLKRMHIKAMPEDAKGQVKSQHPLSWCCPIDPAGSCNQSMGSEDWIALVKAAAAAAAKNKTGSKPRTSANSNKDKDKDERKWFKVPSKKEETSTCIATPDVEKKEDLPTSSETFGLHVENVPKMVFPQPESTLSNKRKNNQGNSFQAKRARLNKITGLLASKAVGVDGAEKKEDYNETAPMLEQAISPKPQSQKKNEADISSSANTQKPALLSSTLSSGKARSKKCKHESGDSSGCIKPPKSPLSPELIQVEDLTLVSQLSSSVINKTSPPQPVNPPRPFKHSERRRRSQRLATLPMPDDSVEKVSSPSPATDGKVFSISSQNQQESSVPEVPDVAHLPLEKLGPCLPLDLSRGSEVTAPVASDSSYRNECPRAEKEDTQMLPNPSSKAIADGRGAPAAAGISKTEKKVKLEDKSSTAFGKRKEKDKERREKRDKDHYRPKQKKKKKKKKKSKQHDYSDYEDSSLEFLERCSSPLTRSSGSSLASRSMFTEKTTTYQYPRAILSVDLSGENLSDVDFLDDSSTESLLLSGDEYNQDFDSTNFEESQDEDDALNEIVRCICEMDEENGFMIQCEECLCWQHSVCMGLLEESIPEQYICYICRDPPGQRWSAKYRYDKEWLNNGRMCGLSFFKENYSHLNAKKIVSTHHLLADVYGVTEVLHGLQLKIGILKNKHHPDLHLWACSGKRKDQDQIIAGVEKKIAQDTVNREEKKYVQNHKEPPRLPLKMEGTYITSEHSYQKPQSFGQDCKSLADPGSSDDDDVSSLEEEQEFHMRSKNSLQYSAKEHGMPEKNPAEGNTVFVYNDKKGTEDPGDSHLQWQLNLLTHIENVQNEVTSRMDLIEKEVDVLESWLDFTGELEPPDPLARLPQLKRHIKQLLIDMGKVQQIATLCSV
+>DECOY_sp|A8MW92|P20L1_HUMAN PHD finger protein 20-like protein 1 OS=Homo sapiens OX=9606 GN=PHF20L1 PE=1 SV=2
+VSCLTAIQQVKGMDILLQKIHRKLQPLRALPDPPELEGTFDLWSELVDVEKEILDMRSTVENQVNEIHTLLNLQWQLHSDGPDETGKKDNYVFVTNGEAPNKEPMGHEKASYQLSNKSRMHFEQEEELSSVDDDDSSGPDALSKCDQGFSQPKQYSHESTIYTGEMKLPLRPPEKHNQVYKKEERNVTDQAIKKEVGAIIQDQDKRKGSCAWLHLDPHHKNKLIGIKLQLGHLVETVGYVDALLHHTSVIKKANLHSYNEKFFSLGCMRGNNLWEKDYRYKASWRQGPPDRCIYCIYQEPISEELLGMCVSHQWCLCEECQIMFGNEEDMECICRVIENLADDEDQSEEFNTSDFDQNYEDGSLLLSETSSDDLFDVDSLNEGSLDVSLIARPYQYTTTKETFMSRSALSSGSSRTLPSSCRELFELSSDEYDSYDHQKSKKKKKKKKKQKPRYHDKDRKERREKDKEKRKGFATSSKDELKVKKETKSIGAAAPAGRGDAIAKSSPNPLMQTDEKEARPCENRYSSDSAVPATVESGRSLDLPLCPGLKELPLHAVDPVEPVSSEQQNQSSISFVKGDTAPSPSSVKEVSDDPMPLTALRQSRRRRESHKFPRPPNVPQPPSTKNIVSSSLQSVLTLDEVQILEPSLPSKPPKICGSSDGSEHKCKKSRAKGSSLTSSLLAPKQTNASSSIDAENKKQSQPKPSIAQELMPATENYDEKKEAGDVGVAKSALLGTIKNLRARKAQFSNGQNNKRKNSLTSEPQPFVMKPVNEVHLGFTESSTPLDEKKEVDPTAICTSTEEKKSPVKFWKREDKDKDKNSNASTRPKSGTKNKAAAAAAAKVLAIWDESGMSQNCSGAPDIPCCWSLPHQSKVQGKADEPMAKIHMRKLCRIVGDYFQVTFTGEKNIAEIKAPYYRCDTWRALVEEGAKFDFFDEEDKLGEKRLAPRELPRLRNSDWYIWEDYRHSWREFHVLMKGEEYDIKEIRSPYWKQLYDLAELRAGIEFTIGPRNPPKKSM
+>sp|O00398|P2Y10_HUMAN Putative P2Y purinoceptor 10 OS=Homo sapiens OX=9606 GN=P2RY10 PE=2 SV=1
+MANLDKYTETFKMGSNSTSTAEIYCNVTNVKFQYSLYATTYILIFIPGLLANSAALWVLCRFISKKNKAIIFMINLSVADLAHVLSLPLRIYYYISHHWPFQRALCLLCFYLKYLNMYASICFLTCISLQRCFFLLKPFRARDWKRRYDVGISAAIWIVVGTACLPFPILRSTDLNNNKSCFADLGYKQMNAVALVGMITVAELAGFVIPVIIIAWCTWKTTISLRQPPMAFQGISERQKALRMVFMCAAVFFICFTPYHINFIFYTMVKETIISSCPVVRIALYFHPFCLCLASLCCLLDPILYYFMASEFRDQLSRHGSSVTRSRLMSKESGSSMIG
+>DECOY_sp|O00398|P2Y10_HUMAN Putative P2Y purinoceptor 10 OS=Homo sapiens OX=9606 GN=P2RY10 PE=2 SV=1
+GIMSSGSEKSMLRSRTVSSGHRSLQDRFESAMFYYLIPDLLCCLSALCLCFPHFYLAIRVVPCSSIITEKVMTYFIFNIHYPTFCIFFVAACMFVMRLAKQRESIGQFAMPPQRLSITTKWTCWAIIIVPIVFGALEAVTIMGVLAVANMQKYGLDAFCSKNNNLDTSRLIPFPLCATGVVIWIAASIGVDYRRKWDRARFPKLLFFCRQLSICTLFCISAYMNLYKLYFCLLCLARQFPWHHSIYYYIRLPLSLVHALDAVSLNIMFIIAKNKKSIFRCLVWLAASNALLGPIFILIYTTAYLSYQFKVNTVNCYIEATSTSNSGMKFTETYKDLNAM
+>sp|Q15391|P2Y14_HUMAN P2Y purinoceptor 14 OS=Homo sapiens OX=9606 GN=P2RY14 PE=2 SV=1
+MINSTSTQPPDESCSQNLLITQQIIPVLYCMVFIAGILLNGVSGWIFFYVPSSKSFIIYLKNIVIADFVMSLTFPFKILGDSGLGPWQLNVFVCRVSAVLFYVNMYVSIVFFGLISFDRYYKIVKPLWTSFIQSVSYSKLLSVIVWMLMLLLAVPNIILTNQSVREVTQIKCIELKSELGRKWHKASNYIFVAIFWIVFLLLIVFYTAITKKIFKSHLKSSRNSTSVKKKSSRNIFSIVFVFFVCFVPYHIARIPYTKSQTEAHYSCQSKEILRYMKEFTLLLSAANVCLDPIIYFFLCQPFREILCKKLHIPLKAQNDLDISRIKRGNTTLESTDTL
+>DECOY_sp|Q15391|P2Y14_HUMAN P2Y purinoceptor 14 OS=Homo sapiens OX=9606 GN=P2RY14 PE=2 SV=1
+LTDTSELTTNGRKIRSIDLDNQAKLPIHLKKCLIERFPQCLFFYIIPDLCVNAASLLLTFEKMYRLIEKSQCSYHAETQSKTYPIRAIHYPVFCVFFVFVISFINRSSKKKVSTSNRSSKLHSKFIKKTIATYFVILLLFVIWFIAVFIYNSAKHWKRGLESKLEICKIQTVERVSQNTLIINPVALLLMLMWVIVSLLKSYSVSQIFSTWLPKVIKYYRDFSILGFFVISVYMNVYFLVASVRCVFVNLQWPGLGSDGLIKFPFTLSMVFDAIVINKLYIIFSKSSPVYFFIWGSVGNLLIGAIFVMCYLVPIIQQTILLNQSCSEDPPQTSTSNIM
+>sp|Q96A73|P33MX_HUMAN Putative monooxygenase p33MONOX OS=Homo sapiens OX=9606 GN=KIAA1191 PE=1 SV=1
+MASRQPEVPALEASAPLGKMSLPIGIYRRAVSYDDTLEDPAPMTPPPSDMGSVPWKPVIPERKYQHLAKVEEGEASLPSPAMTLSSAIDSVDKVPVVKAKATHVIMNSLITKQTQESIQHFERQAGLRDAGYTPHKGLTTEETKYLRVAEALHKLKLQSGEVTKEERQPASAQSTPSTTPHSSPKQRPRGWFTSGSSTALPGPNPSTMDSGSGDKDRNLSDKWSLFGPRSLQKYDSGSFATQAYRGAQKPSPLELIRAQANRMAEDPAALKPPKMDIPVMEGKKQPPRAHNLKPRDLNVLTPTGF
+>DECOY_sp|Q96A73|P33MX_HUMAN Putative monooxygenase p33MONOX OS=Homo sapiens OX=9606 GN=KIAA1191 PE=1 SV=1
+FGTPTLVNLDRPKLNHARPPQKKGEMVPIDMKPPKLAAPDEAMRNAQARILELPSPKQAGRYAQTAFSGSDYKQLSRPGFLSWKDSLNRDKDGSGSDMTSPNPGPLATSSGSTFWGRPRQKPSSHPTTSPTSQASAPQREEKTVEGSQLKLKHLAEAVRLYKTEETTLGKHPTYGADRLGAQREFHQISEQTQKTILSNMIVHTAKAKVVPVKDVSDIASSLTMAPSPLSAEGEEVKALHQYKREPIVPKWPVSGMDSPPPTMPAPDELTDDYSVARRYIGIPLSMKGLPASAELAPVEPQRSAM
+>sp|O00330|ODPX_HUMAN Pyruvate dehydrogenase protein X component, mitochondrial OS=Homo sapiens OX=9606 GN=PDHX PE=1 SV=3
+MAASWRLGCDPRLLRYLVGFPGRRSVGLVKGALGWSVSRGANWRWFHSTQWLRGDPIKILMPSLSPTMEEGNIVKWLKKEGEAVSAGDALCEIETDKAVVTLDASDDGILAKIVVEEGSKNIRLGSLIGLIVEEGEDWKHVEIPKDVGPPPPVSKPSEPRPSPEPQISIPVKKEHIPGTLRFRLSPAARNILEKHSLDASQGTATGPRGIFTKEDALKLVQLKQTGKITESRPTPAPTATPTAPSPLQATAGPSYPRPVIPPVSTPGQPNAVGTFTEIPASNIRRVIAKRLTESKSTVPHAYATADCDLGAVLKVRQDLVKDDIKVSVNDFIIKAAAVTLKQMPDVNVSWDGEGPKQLPFIDISVAVATDKGLLTPIIKDAAAKGIQEIADSVKALSKKARDGKLLPEEYQGGSFSISNLGMFGIDEFTAVINPPQACILAVGRFRPVLKLTEDEEGNAKLQQRQLITVTMSSDSRVVDDELATRFLKSFKANLENPIRLA
+>DECOY_sp|O00330|ODPX_HUMAN Pyruvate dehydrogenase protein X component, mitochondrial OS=Homo sapiens OX=9606 GN=PDHX PE=1 SV=3
+ALRIPNELNAKFSKLFRTALEDDVVRSDSSMTVTILQRQQLKANGEEDETLKLVPRFRGVALICAQPPNIVATFEDIGFMGLNSISFSGGQYEEPLLKGDRAKKSLAKVSDAIEQIGKAAADKIIPTLLGKDTAVAVSIDIFPLQKPGEGDWSVNVDPMQKLTVAAAKIIFDNVSVKIDDKVLDQRVKLVAGLDCDATAYAHPVTSKSETLRKAIVRRINSAPIETFTGVANPQGPTSVPPIVPRPYSPGATAQLPSPATPTATPAPTPRSETIKGTQKLQVLKLADEKTFIGRPGTATGQSADLSHKELINRAAPSLRFRLTGPIHEKKVPISIQPEPSPRPESPKSVPPPPGVDKPIEVHKWDEGEEVILGILSGLRINKSGEEVVIKALIGDDSADLTVVAKDTEIECLADGASVAEGEKKLWKVINGEEMTPSLSPMLIKIPDGRLWQTSHFWRWNAGRSVSWGLAGKVLGVSRRGPFGVLYRLLRPDCGLRWSAAM
+>sp|Q5SWX8|ODR4_HUMAN Protein odr-4 homolog OS=Homo sapiens OX=9606 GN=ODR4 PE=1 SV=1
+MGRTYIVEETVGQYLSNINLQGKAFVSGLLIGQCSSQKDYVILATRTPPKEEQSENLKHPKAKLDNLDEEWATEHACQVSRMLPGGLLVLGVFIITTLELANDFQNALRRLMFAVEKSINRKRLWNFTEEEVSERVTLHICASTKKIFCRTYDIHDPKSSARPADWKYQSGLSSSWLSLECTVHINIHIPLSATSVSYTLEKNTKNGLTRWAKEIENGVYLINGQVKDEDCDLLEGQKKSSRGNTQATSHSFDVRVLTQLLLNSDHRSTATVQICSGSVNLKGAVKCRAYIHSSKPKVKDAVQAVKRDILNTVADRCEMLFEDLLLNEIPEKKDSEKEFHVLPYRVFVPLPGSTVMLCDYKFDDESAEEIRDHFMEMLDHTIQIEDLEIAEETNTACMSSSMNSQASLDNTDDEQPKQPIKTTMLLKIQQNIGVIAAFTVAVLAAGISFHYFSD
+>DECOY_sp|Q5SWX8|ODR4_HUMAN Protein odr-4 homolog OS=Homo sapiens OX=9606 GN=ODR4 PE=1 SV=1
+DSFYHFSIGAALVAVTFAAIVGINQQIKLLMTTKIPQKPQEDDTNDLSAQSNMSSSMCATNTEEAIELDEIQITHDLMEMFHDRIEEASEDDFKYDCLMVTSGPLPVFVRYPLVHFEKESDKKEPIENLLLDEFLMECRDAVTNLIDRKVAQVADKVKPKSSHIYARCKVAGKLNVSGSCIQVTATSRHDSNLLLQTLVRVDFSHSTAQTNGRSSKKQGELLDCDEDKVQGNILYVGNEIEKAWRTLGNKTNKELTYSVSTASLPIHINIHVTCELSLWSSSLGSQYKWDAPRASSKPDHIDYTRCFIKKTSACIHLTVRESVEEETFNWLRKRNISKEVAFMLRRLANQFDNALELTTIIFVGLVLLGGPLMRSVQCAHETAWEEDLNDLKAKPHKLNESQEEKPPTRTALIVYDKQSSCQGILLGSVFAKGQLNINSLYQGVTEEVIYTRGM
+>sp|Q9H488|OFUT1_HUMAN GDP-fucose protein O-fucosyltransferase 1 OS=Homo sapiens OX=9606 GN=POFUT1 PE=1 SV=1
+MGAAAWARPLSVSFLLLLLPLPGMPAGSWDPAGYLLYCPCMGRFGNQADHFLGSLAFAKLLNRTLAVPPWIEYQHHKPPFTNLHVSYQKYFKLEPLQAYHRVISLEDFMEKLAPTHWPPEKRVAYCFEVAAQRSPDKKTCPMKEGNPFGPFWDQFHVSFNKSELFTGISFSASYREQWSQRFSPKEHPVLALPGAPAQFPVLEEHRPLQKYMVWSDEMVKTGEAQIHAHLVRPYVGIHLRIGSDWKNACAMLKDGTAGSHFMASPQCVGYSRSTAAPLTMTMCLPDLKEIQRAVKLWVRSLDAQSVYVATDSESYVPELQQLFKGKVKVVSLKPEVAQVDLYILGQADHFIGNCVSSFTAFVKRERDLQGRPSSFFGMDRPPKLRDEF
+>DECOY_sp|Q9H488|OFUT1_HUMAN GDP-fucose protein O-fucosyltransferase 1 OS=Homo sapiens OX=9606 GN=POFUT1 PE=1 SV=1
+FEDRLKPPRDMGFFSSPRGQLDRERKVFATFSSVCNGIFHDAQGLIYLDVQAVEPKLSVVKVKGKFLQQLEPVYSESDTAVYVSQADLSRVWLKVARQIEKLDPLCMTMTLPAATSRSYGVCQPSAMFHSGATGDKLMACANKWDSGIRLHIGVYPRVLHAHIQAEGTKVMEDSWVMYKQLPRHEELVPFQAPAGPLALVPHEKPSFRQSWQERYSASFSIGTFLESKNFSVHFQDWFPGFPNGEKMPCTKKDPSRQAAVEFCYAVRKEPPWHTPALKEMFDELSIVRHYAQLPELKFYKQYSVHLNTFPPKHHQYEIWPPVALTRNLLKAFALSGLFHDAQNGFRGMCPCYLLYGAPDWSGAPMGPLPLLLLLFSVSLPRAWAAAGM
+>sp|Q5TC84|OGRL1_HUMAN Opioid growth factor receptor-like protein 1 OS=Homo sapiens OX=9606 GN=OGFRL1 PE=2 SV=1
+MGNLLGGVSFREPTTVEDCDSTWQTDSEPEPEEPGPGGGSEGPGQESEQPAQPPEQAGGRPGASPAPDEDAEAAGAEQGGDSTEATAKPKRSFYAARDLYKYRHQYPNFKDIRYQNDLSNLRFYKNKIPFKPDGVYIEEVLSKWKGDYEKLEHNHTYIQWLFPLREQGLNFYAKELTTYEIEEFKKTKEAIRRFLLAYKMMLEFFGIKLTDKTGNVARAVNWQERFQHLNESQHNYLRITRILKSLGELGYESFKSPLVKFILHEALVENTIPNIKQSALEYFVYTIRDRRERRKLLRFAQKHYTPSENFIWGPPRKEQSEGSKAQKMSSPLASSHNSQTSMHKKAKDSKNSSSAVHLNSKTAEDKKVAPKEPVEETDRPSPEPSNEAAKPRNTEKDSNAENMNSQPEKTVTTPTEKKESVSPENNEEGGNDNQDNENPGNTNCHDVVLVQ
+>DECOY_sp|Q5TC84|OGRL1_HUMAN Opioid growth factor receptor-like protein 1 OS=Homo sapiens OX=9606 GN=OGFRL1 PE=2 SV=1
+QVLVVDHCNTNGPNENDQNDNGGEENNEPSVSEKKETPTTVTKEPQSNMNEANSDKETNRPKAAENSPEPSPRDTEEVPEKPAVKKDEATKSNLHVASSSNKSDKAKKHMSTQSNHSSALPSSMKQAKSGESQEKRPPGWIFNESPTYHKQAFRLLKRRERRDRITYVFYELASQKINPITNEVLAEHLIFKVLPSKFSEYGLEGLSKLIRTIRLYNHQSENLHQFREQWNVARAVNGTKDTLKIGFFELMMKYALLFRRIAEKTKKFEEIEYTTLEKAYFNLGQERLPFLWQIYTHNHELKEYDGKWKSLVEEIYVGDPKFPIKNKYFRLNSLDNQYRIDKFNPYQHRYKYLDRAAYFSRKPKATAETSDGGQEAGAAEADEDPAPSAGPRGGAQEPPQAPQESEQGPGESGGGPGPEEPEPESDTQWTSDCDEVTTPERFSVGGLLNGM
+>sp|Q8NGA0|OR7G1_HUMAN Olfactory receptor 7G1 OS=Homo sapiens OX=9606 GN=OR7G1 PE=3 SV=2
+MGPRNQTAVSEFLLMKVTEDPELKLIPFSLFLSMYLVTILGNLLILLAVISDSHLHTPMYFLLFNLSFTDICLTTTTVPKILVNIQAQNQSITYTGCLTQICLVLVFAGLESCFLAVMAYDRYVAICHPLRYTVLMNVHFWGLLILLSMFMSTMDALVQSLMVLQLSFCKNVEIPLFFCEVVQVIKLACSDTLINNILIYFASSVFGAIPLSGIIFSYSQIVTSVLRMPSARGKYKAFSTCGCHLSVFSLFYGTAFGVYISSAVAESSRITAVASVMYTVVPQMMNPFIYSLRNKEMKKALRKLIGRLFPF
+>DECOY_sp|Q8NGA0|OR7G1_HUMAN Olfactory receptor 7G1 OS=Homo sapiens OX=9606 GN=OR7G1 PE=3 SV=2
+FPFLRGILKRLAKKMEKNRLSYIFPNMMQPVVTYMVSAVATIRSSEAVASSIYVGFATGYFLSFVSLHCGCTSFAKYKGRASPMRLVSTVIQSYSFIIGSLPIAGFVSSAFYILINNILTDSCALKIVQVVECFFLPIEVNKCFSLQLVMLSQVLADMTSMFMSLLILLGWFHVNMLVTYRLPHCIAVYRDYAMVALFCSELGAFVLVLCIQTLCGTYTISQNQAQINVLIKPVTTTTLCIDTFSLNFLLFYMPTHLHSDSIVALLILLNGLITVLYMSLFLSFPILKLEPDETVKMLLFESVATQNRPGM
+>sp|Q96RD0|OR8B2_HUMAN Olfactory receptor 8B2 OS=Homo sapiens OX=9606 GN=OR8B2 PE=3 SV=3
+MLARNNSLVTEFILAGLTDHPEFRQPLFFLFLVIYIVTMVGNLGLITLFGLNSHLHTPMYYFLFNLSFIDLCYSSVFTPKMLMNFVSKKNIISNVGCMTRLFFFLFFVISECYMLTSMAYDRYVAICNPLLYKVTMSHQVCSMLTFAAYIMGLAGATAHTGCMLRLTFCSANIINHYLCDILPLLQLSCTSTYVNEVVVLIVVGTNITVPSCTILISYVFIVTSILHIKSTQGRSKAFSTCSSHVIALSLFFGSAAFMYIKYSSGSMEQGKVSSVFYTNVVPMLNPLIYSLRNKDVKVALRKALIKIQRRNIF
+>DECOY_sp|Q96RD0|OR8B2_HUMAN Olfactory receptor 8B2 OS=Homo sapiens OX=9606 GN=OR8B2 PE=3 SV=3
+FINRRQIKILAKRLAVKVDKNRLSYILPNLMPVVNTYFVSSVKGQEMSGSSYKIYMFAASGFFLSLAIVHSSCTSFAKSRGQTSKIHLISTVIFVYSILITCSPVTINTGVVILVVVENVYTSTCSLQLLPLIDCLYHNIINASCFTLRLMCGTHATAGALGMIYAAFTLMSCVQHSMTVKYLLPNCIAVYRDYAMSTLMYCESIVFFLFFFLRTMCGVNSIINKKSVFNMLMKPTFVSSYCLDIFSLNFLFYYMPTHLHSNLGFLTILGLNGVMTVIYIVLFLFFLPQRFEPHDTLGALIFETVLSNNRALM
+>sp|Q96RC9|OR8B4_HUMAN Olfactory receptor 8B4 OS=Homo sapiens OX=9606 GN=OR8B4 PE=2 SV=2
+MTLRNSSSVTEFILVGLSEQPELQLPLFLLFLGIYVFTVVGNLGLITLIGINPSLHTPMYFFLFNLSFIDLCYSCVFTPKMLNDFVSESIISYVGCMTQLFFFCFFVNSECYVLVSMAYDRYVAICNPLLYMVTMSPRVCFLLMFGSYVVGFAGAMAHTGSMLRLTFCDSNVIDHYLCDVLPLLQLSCTSTHVSELVFFIVVGVITMLSSISIVISYALILSNILCIPSAEGRSKAFSTWGSHIIAVALFFGSGTFTYLTTSFPGSMNHGRFASVFYTNVVPMLNPSIYSLRNKDDKLALGKTLKRVLF
+>DECOY_sp|Q96RC9|OR8B4_HUMAN Olfactory receptor 8B4 OS=Homo sapiens OX=9606 GN=OR8B4 PE=2 SV=2
+FLVRKLTKGLALKDDKNRLSYISPNLMPVVNTYFVSAFRGHNMSGPFSTTLYTFTGSGFFLAVAIIHSGWTSFAKSRGEASPICLINSLILAYSIVISISSLMTIVGVVIFFVLESVHTSTCSLQLLPLVDCLYHDIVNSDCFTLRLMSGTHAMAGAFGVVYSGFMLLFCVRPSMTVMYLLPNCIAVYRDYAMSVLVYCESNVFFCFFFLQTMCGVYSIISESVFDNLMKPTFVCSYCLDIFSLNFLFFYMPTHLSPNIGILTILGLNGVVTFVYIGLFLLFLPLQLEPQESLGVLIFETVSSSNRLTM
+>sp|Q8WZ84|OR8D1_HUMAN Olfactory receptor 8D1 OS=Homo sapiens OX=9606 GN=OR8D1 PE=2 SV=1
+MTMENYSMAAQFVLDGLTQQAELQLPLFLLFLGIYVVTVVGNLGMILLIAVSPLLHTPMYYFLSSLSFVDFCYSSVITPKMLVNFLGKKNTILYSECMVQLFFFVVFVVAEGYLLTAMAYDRYVAICSPLLYNAIMSSWVCSLLVLAAFFLGFLSALTHTSAMMKLSFCKSHIINHYFCDVLPLLNLSCSNTHLNELLLFIIAGFNTLVPTLAVAVSYAFILYSILHIRSSEGRSKAFGTCSSHLMAVVIFFGSITFMYFKPPSSNSLDQEKVSSVFYTTVIPMLNPLIYSLRNKDVKKALRKVLVGK
+>DECOY_sp|Q8WZ84|OR8D1_HUMAN Olfactory receptor 8D1 OS=Homo sapiens OX=9606 GN=OR8D1 PE=2 SV=1
+KGVLVKRLAKKVDKNRLSYILPNLMPIVTTYFVSSVKEQDLSNSSPPKFYMFTISGFFIVVAMLHSSCTGFAKSRGESSRIHLISYLIFAYSVAVALTPVLTNFGAIIFLLLENLHTNSCSLNLLPLVDCFYHNIIHSKCFSLKMMASTHTLASLFGLFFAALVLLSCVWSSMIANYLLPSCIAVYRDYAMATLLYGEAVVFVVFFFLQVMCESYLITNKKGLFNVLMKPTIVSSYCFDVFSLSSLFYYMPTHLLPSVAILLIMGLNGVVTVVYIGLFLLFLPLQLEAQQTLGDLVFQAAMSYNEMTM
+>sp|Q15617|OR8G1_HUMAN Olfactory receptor 8G1 OS=Homo sapiens OX=9606 GN=OR8G1 PE=2 SV=2
+MSGENNSSVTEFILAGLSEQPELQLPLFLLFLGIYVVTVVGNLGMTTLIWLSSHLHTPMYYFLSSLSFIDFCHSTVITPKMLVNFVTEKNIISYPECMTQLYFFLVFAIAECHMLAAMAYDRYMAICSPLLYSVIISNKACFSLILGVYIIGLVCASVHTGCMFRVQFCKFDLINHYFCDLLPLLKLSCSSIYVNKLLILCVGAFNILVPSLTILCSYIFIIASILHIRSTEGRSKAFSTCSSHMLAVVIFFGSAAFMYLQPSSISSMDQGKVSSVFYTIIVPMLNPLIYSLRNKDVHVSLKKMLQRRTLL
+>DECOY_sp|Q15617|OR8G1_HUMAN Olfactory receptor 8G1 OS=Homo sapiens OX=9606 GN=OR8G1 PE=2 SV=2
+LLTRRQLMKKLSVHVDKNRLSYILPNLMPVIITYFVSSVKGQDMSSISSPQLYMFAASGFFIVVALMHSSCTSFAKSRGETSRIHLISAIIFIYSCLITLSPVLINFAGVCLILLKNVYISSCSLKLLPLLDCFYHNILDFKCFQVRFMCGTHVSACVLGIIYVGLILSFCAKNSIIVSYLLPSCIAMYRDYAMAALMHCEAIAFVLFFYLQTMCEPYSIINKETVFNVLMKPTIVTSHCFDIFSLSSLFYYMPTHLHSSLWILTTMGLNGVVTVVYIGLFLLFLPLQLEPQESLGALIFETVSSNNEGSM
+>sp|Q8N162|OR8H2_HUMAN Olfactory receptor 8H2 OS=Homo sapiens OX=9606 GN=OR8H2 PE=3 SV=1
+MMGRRNNTNVADFILMGLTLSEEIQMALFMLFLLIYLITMLGNVGMILIIRLDLQLHTPMYFFLTHLSFIDLSYSTVVTPKTLANLLTSNYISFTGCFAQMFFFAFLGTAECYLLSSMAHDRYAAICSPLHYTVIMSKRLCLALITGPYVIGFIDSFVNVVSMSRLHFYDSNVIHHFFCDTSPILALSCTDTYNTEILIFIIVGSTLMVSLFTISASYVFILFTILKINSTSGKQKAFSTCVSHLLGVTIFYSTLIFTYLKPRKSYSLGRDQVASVFYTIVIPVLNPLIYSLRNKEVKNAVIRVMQRRQDSR
+>DECOY_sp|Q8N162|OR8H2_HUMAN Olfactory receptor 8H2 OS=Homo sapiens OX=9606 GN=OR8H2 PE=3 SV=1
+RSDQRRQMVRIVANKVEKNRLSYILPNLVPIVITYFVSAVQDRGLSYSKRPKLYTFILTSYFITVGLLHSVCTSFAKQKGSTSNIKLITFLIFVYSASITFLSVMLTSGVIIFILIETNYTDTCSLALIPSTDCFFHHIVNSDYFHLRSMSVVNVFSDIFGIVYPGTILALCLRKSMIVTYHLPSCIAAYRDHAMSSLLYCEATGLFAFFFMQAFCGTFSIYNSTLLNALTKPTVVTSYSLDIFSLHTLFFYMPTHLQLDLRIILIMGVNGLMTILYILLFLMFLAMQIEESLTLGMLIFDAVNTNNRRGMM
+>sp|Q8N0Y5|OR8I2_HUMAN Olfactory receptor 8I2 OS=Homo sapiens OX=9606 GN=OR8I2 PE=2 SV=1
+MAGNNFTEVTVFILSGFANHPELQVSLFLMFLFIYLFTVLGNLGLITLIRMDSQLHTPMYFFLSNLAFIDIFYSSTVTPKALVNFQSNRRSISFVGCFVQMYFFVGLVCCECFLLGSMAYNRYIAICNPLLYSVVMSQKVSNWLGVMPYVIGFTSSLISVWVISSLAFCDSSINHFFCDTTALLALSCVDTFGTEMVSFVLAGFTLLSSLLIITVTYIIIISAILRIQSAAGRQKAFSTCASHLMAVTIFYGSLIFTYLQPDNTSSLTQAQVASVFYTIVIPMLNPLIYSLRNKDVKNALLRVIHRKLFP
+>DECOY_sp|Q8N0Y5|OR8I2_HUMAN Olfactory receptor 8I2 OS=Homo sapiens OX=9606 GN=OR8I2 PE=2 SV=1
+PFLKRHIVRLLANKVDKNRLSYILPNLMPIVITYFVSAVQAQTLSSTNDPQLYTFILSGYFITVAMLHSACTSFAKQRGAASQIRLIASIIIIYTVTIILLSSLLTFGALVFSVMETGFTDVCSLALLATTDCFFHNISSDCFALSSIVWVSILSSTFGIVYPMVGLWNSVKQSMVVSYLLPNCIAIYRNYAMSGLLFCECCVLGVFFYMQVFCGVFSISRRNSQFNVLAKPTVTSSYFIDIFALNSLFFYMPTHLQSDMRILTILGLNGLVTFLYIFLFMLFLSVQLEPHNAFGSLIFVTVETFNNGAM
+>sp|Q8NH50|OR8K5_HUMAN Olfactory receptor 8K5 OS=Homo sapiens OX=9606 GN=OR8K5 PE=3 SV=1
+MGQHNLTVLTEFILMELTRRPELQIPLFGVFLVIYLITVVGNLTMIILTKLDSHLHTPMYFSIRHLAFVDLGNSTVICPKVLANFVVDRNTISYYACAAQLAFFLMFIISEFFILSAMAYDRYVAICNPLLYYVIMSQRLCHVLVGIQYLYSTFQALMFTIKIFTLTFCGSNVISHFYCDDVPLLPMLCSNAQEIELLSILFSVFNLISSFLIVLVSYMLILLAICQMHSAEGRKKAFSTCGSHLTVVVVFYGSLLFMYMQPNSTHFFDTDKMASVFYTLVIPMLNPLIYSLRNEEVKNAFYKLFEN
+>DECOY_sp|Q8NH50|OR8K5_HUMAN Olfactory receptor 8K5 OS=Homo sapiens OX=9606 GN=OR8K5 PE=3 SV=1
+NEFLKYFANKVEENRLSYILPNLMPIVLTYFVSAMKDTDFFHTSNPQMYMFLLSGYFVVVVTLHSGCTSFAKKRGEASHMQCIALLILMYSVLVILFSSILNFVSFLISLLEIEQANSCLMPLLPVDDCYFHSIVNSGCFTLTFIKITFMLAQFTSYLYQIGVLVHCLRQSMIVYYLLPNCIAVYRDYAMASLIFFESIIFMLFFALQAACAYYSITNRDVVFNALVKPCIVTSNGLDVFALHRISFYMPTHLHSDLKTLIIMTLNGVVTILYIVLFVGFLPIQLEPRRTLEMLIFETLVTLNHQGM
+>sp|Q8NH10|OR8U1_HUMAN Olfactory receptor 8U1 OS=Homo sapiens OX=9606 GN=OR8U1 PE=3 SV=1
+MAHINCTQATEFILVGLTDHQELKMPLFVLFLSIYLFTVVGNLGLILLIRADTSLNTPMYFFLSNLAFVDFCYSSVITPKMLGNFLYKQNVISFDACATQLGCFLTFMISESLLLASMAYDRYVAICNPLLYMVVMTPGICIQLVAVPYSYSFLMALFHTILTFRLSYCHSNIVNHFYCDDMPLLRLTCSDTRFKQLWIFACAGIMFISSLLIVFVSYMFIISAILRMHSAEGRQKAFSTCGSHMLAVTIFYGTLIFMYLQPSSSHALDTDKMASVFYTVIIPMLNPLIYSLQNKEVKEALKKIIINKN
+>DECOY_sp|Q8NH10|OR8U1_HUMAN Olfactory receptor 8U1 OS=Homo sapiens OX=9606 GN=OR8U1 PE=3 SV=1
+NKNIIIKKLAEKVEKNQLSYILPNLMPIIVTYFVSAMKDTDLAHSSSPQLYMFILTGYFITVALMHSGCTSFAKQRGEASHMRLIASIIFMYSVFVILLSSIFMIGACAFIWLQKFRTDSCTLRLLPMDDCYFHNVINSHCYSLRFTLITHFLAMLFSYSYPVAVLQICIGPTMVVMYLLPNCIAVYRDYAMSALLLSESIMFTLFCGLQTACADFSIVNQKYLFNGLMKPTIVSSYCFDVFALNSLFFYMPTNLSTDARILLILGLNGVVTFLYISLFLVFLPMKLEQHDTLGVLIFETAQTCNIHAM
+>sp|P0C7N5|OR8U9_HUMAN Olfactory receptor 8U9 OS=Homo sapiens OX=9606 GN=OR8U9 PE=3 SV=1
+MTQINCTQVTEFILVGLTDRQELKMPLFVLFLSIYLFTVVGNLGLILLIRTDEKLNTPMYFFLSNLAFVDFCYSSVITPKMLGNFLYKQNSISFNACAAQLGCFLAFMTAECLLLASMAYDRYVAICNPLMYMVVMSPGICIQLVAAPHSYSILVALFHTILTFRLSYCHSNIVNHFYCDDMPLLRLTCSDTRFKQLWIFACAGIMFISSLLIVFVSYMFIISAILRMHSAEGRQKAFSTCGSHMLAVTIFYGTLIFMYLQPSSSHALDTDKMASVFYTVIIPMLNPLIYSLQNKEVKEALKKIIINKN
+>DECOY_sp|P0C7N5|OR8U9_HUMAN Olfactory receptor 8U9 OS=Homo sapiens OX=9606 GN=OR8U9 PE=3 SV=1
+NKNIIIKKLAEKVEKNQLSYILPNLMPIIVTYFVSAMKDTDLAHSSSPQLYMFILTGYFITVALMHSGCTSFAKQRGEASHMRLIASIIFMYSVFVILLSSIFMIGACAFIWLQKFRTDSCTLRLLPMDDCYFHNVINSHCYSLRFTLITHFLAVLISYSHPAAVLQICIGPSMVVMYMLPNCIAVYRDYAMSALLLCEATMFALFCGLQAACANFSISNQKYLFNGLMKPTIVSSYCFDVFALNSLFFYMPTNLKEDTRILLILGLNGVVTFLYISLFLVFLPMKLEQRDTLGVLIFETVQTCNIQTM
+>sp|Q9UBD5|ORC3_HUMAN Origin recognition complex subunit 3 OS=Homo sapiens OX=9606 GN=ORC3 PE=1 SV=1
+MATSSMSKGCFVFKPNSKKRKISLPIEDYFNKGKNEPEDSKLRFETYQLIWQQMKSENERLQEELNKNLFDNLIEFLQKSHSGFQKNSRDLGGQIKLREIPTAALVLGVNVTDHDLTFGSLTEALQNNVTPYVVSLQAKDCPDMKHFLQKLISQLMDCCVDIKSKEEESVHVTQRKTHYSMDSLSSWYMTVTQKTDPKMLSKKRTTSSQWQSPPVVVILKDMESFATKVLQDFIIISSQHLHEFPLILIFGIATSPIIIHRLLPHAVSSLLCIELFQSLSCKEHLTTVLDKLLLTTQFPFKINEKVLQVLTNIFLYHDFSVQNFIKGLQLSLLEHFYSQPLSVLCCNLPEAKRRINFLSNNQCENIRRLPSFRRYVEKQASEKQVALLTNERYLKEETQLLLENLHVYHMNYFLVLRCLHKFTSSLPKYPLGRQIRELYCTCLEKNIWDSEEYASVLQLLRMLAKDELMTILEKCFKVFKSYCENHLGSTAKRIEEFLAQFQSLDETKEEEDASGSQPKGLQKTDLYHLQKSLLEMKELRRSKKQTKFEVLRENVVNFIDCLVREYLLPPETQPLHEVVYFSAAHALREHLNAAPRIALHTALNNPYYYLKNEALKSEEGCIPNIAPDICIAYKLHLECSRLINLVDWSEAFATVVTAAEKMDANSATSEEMNEIIHARFIRAVSELELLGFIKPTKQKTDHVARLTWGGC
+>DECOY_sp|Q9UBD5|ORC3_HUMAN Origin recognition complex subunit 3 OS=Homo sapiens OX=9606 GN=ORC3 PE=1 SV=1
+CGGWTLRAVHDTKQKTPKIFGLLELESVARIFRAHIIENMEESTASNADMKEAATVVTAFAESWDVLNILRSCELHLKYAICIDPAINPICGEESKLAENKLYYYPNNLATHLAIRPAANLHERLAHAASFYVVEHLPQTEPPLLYERVLCDIFNVVNERLVEFKTQKKSRRLEKMELLSKQLHYLDTKQLGKPQSGSADEEEKTEDLSQFQALFEEIRKATSGLHNECYSKFVKFCKELITMLEDKALMRLLQLVSAYEESDWINKELCTCYLERIQRGLPYKPLSSTFKHLCRLVLFYNMHYVHLNELLLQTEEKLYRENTLLAVQKESAQKEVYRRFSPLRRINECQNNSLFNIRRKAEPLNCCLVSLPQSYFHELLSLQLGKIFNQVSFDHYLFINTLVQLVKENIKFPFQTTLLLKDLVTTLHEKCSLSQFLEICLLSSVAHPLLRHIIIPSTAIGFILILPFEHLHQSSIIIFDQLVKTAFSEMDKLIVVVPPSQWQSSTTRKKSLMKPDTKQTVTMYWSSLSDMSYHTKRQTVHVSEEEKSKIDVCCDMLQSILKQLFHKMDPCDKAQLSVVYPTVNNQLAETLSGFTLDHDTVNVGLVLAATPIERLKIQGGLDRSNKQFGSHSKQLFEILNDFLNKNLEEQLRENESKMQQWILQYTEFRLKSDEPENKGKNFYDEIPLSIKRKKSNPKFVFCGKSMSSTAM
+>sp|Q9BXB4|OSB11_HUMAN Oxysterol-binding protein-related protein 11 OS=Homo sapiens OX=9606 GN=OSBPL11 PE=1 SV=2
+MQGGEPVSTMKVSESEGKLEGQATAVTPNKNSSCGGGISSSSSSRGGSAKGWQYSDHMENVYGYLMKYTNLVTGWQYRFFVLNNEAGLLEYFVNEQSRNQKPRGTLQLAGAVISPSDEDSHTFTVNAASGEQYKLRATDAKERQHWVSRLQICTQHHTEAIGKNNPPLKSRSFSLASSSNSPISQRRPSQNAISFFNVGHSKLQSLSKRTNLPPDHLVEVREMMSHAEGQQRDLIRRIECLPTSGHLSSLDQDLLMLKATSMATMNCLNDCFHILQLQHASHQKGSLPSGTTIEWLEPKISLSNHYKNGADQPFATDQSKPVAVPEEQPVAESGLLAREPEEINADDEIEDTCDHKEDDLGAVEEQRSVILHLLSQLKLGMDLTRVVLPTFILEKRSLLEMYADFMSHPDLFIAITNGATAEDRMIRFVEYYLTSFHEGRKGAIAKKPYNPIIGETFHCSWKMPKSEVASSVFSSSSTQGVTNHAPLSGESLTQVGSDCYTVRFVAEQVSHHPPVSGFYAECTERKMCVNAHVWTKSKFLGMSIGVTMVGEGILSLLEHGEEYTFSLPCAYARSILTVPWVELGGKVSVNCAKTGYSASITFHTKPFYGGKLHRVTAEVKHNITNTVVCRVQGEWNSVLEFTYSNGETKYVDLTKLAVTKKRVRPLEKQDPFESRRLWKNVTDSLRESEIDKATEHKHTLEERQRTEERHRTETGTPWKTKYFIKEGDGWVYHKPLWKIIPTTQPAE
+>DECOY_sp|Q9BXB4|OSB11_HUMAN Oxysterol-binding protein-related protein 11 OS=Homo sapiens OX=9606 GN=OSBPL11 PE=1 SV=2
+EAPQTTPIIKWLPKHYVWGDGEKIFYKTKWPTGTETRHREETRQREELTHKHETAKDIESERLSDTVNKWLRRSEFPDQKELPRVRKKTVALKTLDVYKTEGNSYTFELVSNWEGQVRCVVTNTINHKVEATVRHLKGGYFPKTHFTISASYGTKACNVSVKGGLEVWPVTLISRAYACPLSFTYEEGHELLSLIGEGVMTVGISMGLFKSKTWVHANVCMKRETCEAYFGSVPPHHSVQEAVFRVTYCDSGVQTLSEGSLPAHNTVGQTSSSSFVSSAVESKPMKWSCHFTEGIIPNYPKKAIAGKRGEHFSTLYYEVFRIMRDEATAGNTIAIFLDPHSMFDAYMELLSRKELIFTPLVVRTLDMGLKLQSLLHLIVSRQEEVAGLDDEKHDCTDEIEDDANIEEPERALLGSEAVPQEEPVAVPKSQDTAFPQDAGNKYHNSLSIKPELWEITTGSPLSGKQHSAHQLQLIHFCDNLCNMTAMSTAKLMLLDQDLSSLHGSTPLCEIRRILDRQQGEAHSMMERVEVLHDPPLNTRKSLSQLKSHGVNFFSIANQSPRRQSIPSNSSSALSFSRSKLPPNNKGIAETHHQTCIQLRSVWHQREKADTARLKYQEGSAANVTFTHSDEDSPSIVAGALQLTGRPKQNRSQENVFYELLGAENNLVFFRYQWGTVLNTYKMLYGYVNEMHDSYQWGKASGGRSSSSSSIGGGCSSNKNPTVATAQGELKGESESVKMTSVPEGGQM
+>sp|Q9H0X9|OSBL5_HUMAN Oxysterol-binding protein-related protein 5 OS=Homo sapiens OX=9606 GN=OSBPL5 PE=1 SV=1
+MKEEAFLRRRFSLCPPSSTPQKVDPRKLTRNLLLSGDNELYPLSPGKDMEPNGPSLPRDEGPPTPSSATKVPPAEYRLCNGSDKECVSPTARVTKKETLKAQKENYRQEKKRATRQLLSALTDPSVVIMADSLKIRGTLKSWTKLWCVLKPGVLLIYKTPKVGQWVGTVLLHCCELIERPSKKDGFCFKLFHPLDQSVWAVKGPKGESVGSITQPLPSSYLIFRAASESDGRCWLDALELALRCSSLLRLGTCKPGRDGEPGTSPDASPSSLCGLPASATVHPDQDLFPLNGSSLENDAFSDKSERENPEESDTETQDHSRKTESGSDQSETPGAPVRRGTTYVEQVQEELGELGEASQVETVSEENKSLMWTLLKQLRPGMDLSRVVLPTFVLEPRSFLNKLSDYYYHADLLSRAAVEEDAYSRMKLVLRWYLSGFYKKPKGIKKPYNPILGETFRCCWFHPQTDSRTFYIAEQVSHHPPVSAFHVSNRKDGFCISGSITAKSRFYGNSLSALLDGKATLTFLNRAEDYTLTMPYAHCKGILYGTMTLELGGKVTIECAKNNFQAQLEFKLKPFFGGSTSINQISGKITSGEEVLASLSGHWDRDVFIKEEGSGSSALFWTPSGEVRRQRLRQHTVPLEEQTELESERLWQHVTRAISKGDQHRATQEKFALEEAQRQRARERQESLMPWKPQLFHLDPITQEWHYRYEDHSPWDPLKDIAQFEQDGILRTLQQEAVARQTTFLGSPGPRHERSGPDQRLRKASDQPSGHSQATESSGSTPESCPELSDEEQDGDFVPGGESPCPRCRKEARRLQALHEAILSIREAQQELHRHLSAMLSSTARAAQAPTPGLLQSPRSWFLLCVFLACQLFINHILK
+>DECOY_sp|Q9H0X9|OSBL5_HUMAN Oxysterol-binding protein-related protein 5 OS=Homo sapiens OX=9606 GN=OSBPL5 PE=1 SV=1
+KLIHNIFLQCALFVCLLFWSRPSQLLGPTPAQAARATSSLMASLHRHLEQQAERISLIAEHLAQLRRAEKRCRPCPSEGGPVFDGDQEEDSLEPCSEPTSGSSETAQSHGSPQDSAKRLRQDPGSREHRPGPSGLFTTQRAVAEQQLTRLIGDQEFQAIDKLPDWPSHDEYRYHWEQTIPDLHFLQPKWPMLSEQRERARQRQAEELAFKEQTARHQDGKSIARTVHQWLRESELETQEELPVTHQRLRQRRVEGSPTWFLASSGSGEEKIFVDRDWHGSLSALVEEGSTIKGSIQNISTSGGFFPKLKFELQAQFNNKACEITVKGGLELTMTGYLIGKCHAYPMTLTYDEARNLFTLTAKGDLLASLSNGYFRSKATISGSICFGDKRNSVHFASVPPHHSVQEAIYFTRSDTQPHFWCCRFTEGLIPNYPKKIGKPKKYFGSLYWRLVLKMRSYADEEVAARSLLDAHYYYDSLKNLFSRPELVFTPLVVRSLDMGPRLQKLLTWMLSKNEESVTEVQSAEGLEGLEEQVQEVYTTGRRVPAGPTESQDSGSETKRSHDQTETDSEEPNERESKDSFADNELSSGNLPFLDQDPHVTASAPLGCLSSPSADPSTGPEGDRGPKCTGLRLLSSCRLALELADLWCRGDSESAARFILYSSPLPQTISGVSEGKPGKVAWVSQDLPHFLKFCFGDKKSPREILECCHLLVTGVWQGVKPTKYILLVGPKLVCWLKTWSKLTGRIKLSDAMIVVSPDTLASLLQRTARKKEQRYNEKQAKLTEKKTVRATPSVCEKDSGNCLRYEAPPVKTASSPTPPGEDRPLSPGNPEMDKGPSLPYLENDGSLLLNRTLKRPDVKQPTSSPPCLSFRRRLFAEEKM
+>sp|Q9BZF1|OSBL8_HUMAN Oxysterol-binding protein-related protein 8 OS=Homo sapiens OX=9606 GN=OSBPL8 PE=1 SV=3
+MEGGLADGEPDRTSLLGDSKDVLGPSTVVANSDESQLLTPGKMSQRQGKEAYPTPTKDLHQPSLSPASPHSQGFERGKEDISQNKDESSLSMSKSKSESKLYNGSEKDSSTSSKLTKKESLKVQKKNYREEKKRATKELLSTITDPSVIVMADWLKIRGTLKSWTKLWCVLKPGVLLIYKTQKNGQWVGTVLLNACEIIERPSKKDGFCFKLFHPLEQSIWAVKGPKGEAVGSITQPLPSSYLIIRATSESDGRCWMDALELALKCSSLLKRTMIREGKEHDLSVSSDSTHVTFYGLLRANNLHSGDNFQLNDSEIERQHFKDQDMYSDKSDKENDQEHDESDNEVMGKSEESDTDTSERQDDSYIEPEPVEPLKETTYTEQSHEELGEAGEASQTETVSEENKSLIWTLLKQVRPGMDLSKVVLPTFILEPRSFLDKLSDYYYHADFLSEAALEENPYFRLKKVVKWYLSGFYKKPKGLKKPYNPILGETFRCLWIHPRTNSKTFYIAEQVSHHPPISAFYVSNRKDGFCLSGSILAKSKFYGNSLSAILEGEARLTFLNRGEDYVMTMPYAHCKGILYGTMTLELGGTVNITCQKTGYSAILEFKLKPFLGSSDCVNQISGKLKLGKEVLATLEGHWDSEVFITDKKTDNSEVFWNPTPDIKQWRLIRHTVKFEEQGDFESEKLWQRVTRAINAKDQTEATQEKYVLEEAQRQAARDRKTKNEEWSCKLFELDPLTGEWHYKFADTRPWDPLNDMIQFEKDGVIQTKVKHRTPMVSVPKMKHKPTRQQKKVAKGYSSPEPDIQDSSGSEAQSVKPSTRRKKGIELGDIQSSIESIKQTQEEIKRNIMALRNHLVSSTPATDYFLQQKDYFIIFLLILLQVIINFMFK
+>DECOY_sp|Q9BZF1|OSBL8_HUMAN Oxysterol-binding protein-related protein 8 OS=Homo sapiens OX=9606 GN=OSBPL8 PE=1 SV=3
+KFMFNIIVQLLILLFIIFYDKQQLFYDTAPTSSVLHNRLAMINRKIEEQTQKISEISSQIDGLEIGKKRRTSPKVSQAESGSSDQIDPEPSSYGKAVKKQQRTPKHKMKPVSVMPTRHKVKTQIVGDKEFQIMDNLPDWPRTDAFKYHWEGTLPDLEFLKCSWEENKTKRDRAAQRQAEELVYKEQTAETQDKANIARTVRQWLKESEFDGQEEFKVTHRILRWQKIDPTPNWFVESNDTKKDTIFVESDWHGELTALVEKGLKLKGSIQNVCDSSGLFPKLKFELIASYGTKQCTINVTGGLELTMTGYLIGKCHAYPMTMVYDEGRNLFTLRAEGELIASLSNGYFKSKALISGSLCFGDKRNSVYFASIPPHHSVQEAIYFTKSNTRPHIWLCRFTEGLIPNYPKKLGKPKKYFGSLYWKVVKKLRFYPNEELAAESLFDAHYYYDSLKDLFSRPELIFTPLVVKSLDMGPRVQKLLTWILSKNEESVTETQSAEGAEGLEEHSQETYTTEKLPEVPEPEIYSDDQRESTDTDSEESKGMVENDSEDHEQDNEKDSKDSYMDQDKFHQREIESDNLQFNDGSHLNNARLLGYFTVHTSDSSVSLDHEKGERIMTRKLLSSCKLALELADMWCRGDSESTARIILYSSPLPQTISGVAEGKPGKVAWISQELPHFLKFCFGDKKSPREIIECANLLVTGVWQGNKQTKYILLVGPKLVCWLKTWSKLTGRIKLWDAMVIVSPDTITSLLEKTARKKEERYNKKQVKLSEKKTLKSSTSSDKESGNYLKSESKSKSMSLSSEDKNQSIDEKGREFGQSHPSAPSLSPQHLDKTPTPYAEKGQRQSMKGPTLLQSEDSNAVVTSPGLVDKSDGLLSTRDPEGDALGGEM
+>sp|Q8IYS5|OSCAR_HUMAN Osteoclast-associated immunoglobulin-like receptor OS=Homo sapiens OX=9606 GN=OSCAR PE=1 SV=3
+MALVLILQLLTLWPLCHTDITPSVPPASYHPKPWLGAQPATVVTPGVNVTLRCRAPQPAWRFGLFKPGEIAPLLFRDVSSELAEFFLEEVTPAQGGIYRCCYRRPDWGPGVWSQPSDVLELLVTEELPRPSLVALPGPVVGPGANVSLRCAGRLRNMSFVLYREGVAAPLQYRHSAQPWADFTLLGARAPGTYSCYYHTPSAPYVLSQRSEVLVISWEGEGPEARPASSAPGMQAPGPPPSDPGAQAPSLSSFRPRGLVLQPLLPQTQDSWDPAPPPSDPGV
+>DECOY_sp|Q8IYS5|OSCAR_HUMAN Osteoclast-associated immunoglobulin-like receptor OS=Homo sapiens OX=9606 GN=OSCAR PE=1 SV=3
+VGPDSPPPAPDWSDQTQPLLPQLVLGRPRFSSLSPAQAGPDSPPPGPAQMGPASSAPRAEPGEGEWSIVLVESRQSLVYPASPTHYYCSYTGPARAGLLTFDAWPQASHRYQLPAAVGERYLVFSMNRLRGACRLSVNAGPGVVPGPLAVLSPRPLEETVLLELVDSPQSWVGPGWDPRRYCCRYIGGQAPTVEELFFEALESSVDRFLLPAIEGPKFLGFRWAPQPARCRLTVNVGPTVVTAPQAGLWPKPHYSAPPVSPTIDTHCLPWLTLLQLILVLAM
+>sp|Q6ZRI0|OTOG_HUMAN Otogelin OS=Homo sapiens OX=9606 GN=OTOG PE=1 SV=3
+MGVLASALCWLLCVWLPWGEQAAESLRVQRLGERVVDSGRSGARGMRNVKGMRNGPAQTRVSSSSSHQEATLAMGDKATVVGGQQAEAPDSVAMSSWERRLHRAKCAPSYLFSCFNGGECVHPAFCDCRRFNATGPRCQMVYNAGPERDSICRAWGQHHVETFDGLYYYLSGKGSYTLVGRHEPEGQSFSIQVHNDPQCGSSPYTCSRAVSLFFVGEQEIHLAKEVTHGGMRVQLPHVMGSARLQQLAGYVIVRHQSAFTLAWDGASAVYIKMSPELLGWTHGLCGNNNADPKDDLVTSSGKLTDDVVEFVHSWQEQAPNQPPGPTTSSLPRPPCLQQNPGTMQGVYEQCEALLRPPFDACHAYVSPLPFTASCTSDLCQSMGDVATWCRALAEYARACAQAGRPLQGWRTQLRQCTVHCKEKAFTYNECIACCPASCHPRASCVDSEIACVDGCYCPNGLIFEDGGCVAPAECPCEFHGTLYPPGSVVKEDCNTCTCTSGKWECSTAVCPAECSVTGDIHFTTFDGRRYTFPATCQYILAKSRSSGTFTVTLQNAPCGLNQDGACVQSVSVILHQDPRRQVTLTQAGDVLLFDQYKIIPPYTDDAFEIRRLSSVFLRVRTNVGVRVLYDREGLRLYLQVDQRWVEDTVGLCGTFNGNTQDDFLSPVGVPESTPQLFGNSWKTLSACSPLVSGSPLDPCDVHLQAASYSVQACSVLTGEMFAPCSAFLSPVPYFEQCRRDACRCGQPCLCATLAHYAHLCRRHGLPVDFRARLPACALSCEASKEYSPCVAPCGRTCQDLASPEACGVDGGDDLSRDECVEGCACPPDTYLDTQADLCVPRNQCSCHFQGVDYPPGDSDIPSLGHCHCKDGVMSCDSRAPAAACPAGQVFVNCSDLHTDLELSRERTCEQQLLNLSVSARGPCLSGCACPQGLLRHGDACFLPEECPCTWKGKEYFPGDQVMSPCHTCVCQRGSFQCTLHPCASTCTAYGDRHYRTFDGLPFDFVGACKVHLVKSTSDVSFSVIVENVNCYSSGMICRKFISINVGNSLIVFDDDSGNPSPESFLDDKQEVHTWRVGFFTLVHFPQEHITLLWDQRTTVHVQAGPQWQGQLAGLCGNFDLKTINEMRTPENLELTNPQEFGSSWAAVECPDTLDPRDMCVLNPLREPFAKKECSILLSEVFEICHPVVDVTWFYSNCLTDTCGCSQGGDCECFCASVSAYAHQCCQHGVAVDWRTPRLCPYDCDFFNKVLGKGPYQLSSLAAGGALVGMKAVGDDIVLVRTEDVAPADIVSFLLTAALYKAKAHDPDVVSLEAADRPNFFLHVTANGSLELAKWQGRDTFQQHASFLLHRGTRQAGLVALESLAKPSSFLYVSGAVLALRLYEHTEVFRRGTLFRLLDAKPSGAAYPICEWRYDACASPCFQTCRDPRAASCRDVPRVEGCVPVCPTPQVLDEVTQRCVYLEDCVEPAVWVPTEALGNETLPPSQGLPTPSDEEPQLSQESPRTPTHRPALTPAAPLTTALNPPVTATEEPVVSPGPTQTTLQQPLELTASQLPAGPTESPASKGVTASLLAIPHTPESSSLPVALQTPTPGMVSGAMETTRVTVIFAGSPNITVSSRSPPAPRFPLMTKAVTVRGHGSLPVRTTPPQPSLTASPSSRPVASPGAISRSPTSSGSHKAVLTPAVTKVISRTGVPQPTQAQSASSPSTPLTVAGTAAEQVPVSPLATRSLEIVLSTEKGEAGHSQPMGSPASPQPHPLPSAPPRPAQHTTMATRSPALPPETPAAASLSTATDGLAATPFMSLESTRPSQLLSGLPPDTSLPLAKVGTSAPVATPGPKASVITTPLQPQATTLPAQTLSPVLPFTPAAMTQAHPPTHIAPPAAGTAPGLLLGATLPTSGVLPVAEGTASMVSVVPRKSTTGKVAILSKQVSLPTSMYGSAEGGPTELTPATSHPLTPLVAEPEGAQAGTALPVPTSYALSRVSARTAPQDSMLVLLPQLAEAHGTSAGPHLAAEPVDEATTEPSGRSAPALSIVEGLAEALATTTEANTSTTCVPIAEQDCVRHICLEGQLIRVNQSQHCPQGAAPPRCGILGLAVRVGGDRCCPLWECACRCSIFPDLSFVTFDGSHVALFKEAIYILSQSPDEMLTVHVLDCKSANLGHLNWPPFCLVMLNMTHLAHQVTIDRFNRKVTVDLQPVWPPVSRYGFRIEDTGHMYMILTPSDIQIQWLHSSGLMIVEASKTSKAQGHGLCGICDGDAANDLTLKDGSVVGGAEDPAPFLDSWQVPSSLTSVGQTRFRPDSCATTDCSPCLRMVSNRTFSACHRFVPPESFCELWIRDTKYVQQPCVALTVYVAMCHKFHVCIEWRRSDYCPFLCSSDSTYQACVTACEPPKTCQDGILGPLDPEHCQVLGEGCVCSEGTILHRRHSALCIPEAKCACTDSMGVPRALGETWNSSLSGCCQHQCQAPDTIVPVDLGCPSPRPESCLRFGEVALLLPTKDPCCLGTVCVCNQTLCEGLAPTCRPGHRLLTHFQEDSCCPSYSCECDPDLCEAELVPSCRQDQILITGRLGDSCCTSYFCACGDCPDSIPECQEGEALTVHRNTTELCCPLYQCVCENFRCPQVQCGLGTALVEVWSPDRCCPYKSCECDCDTIPVPRCHLWEKSQLDEEFMHSVENVCGCAKYECVKAPVCLSRELGVMQPGQTVVELSADGVCHTSRCTTVLDPLTNFYQINTTSVLCDIHCEANQEYEHPRDLAACCGSCRNVSCLFTFPNGTTSLFLPGASWIADCARHHCSSTPLGAVLVRSPISCPPLNETECAKVGGSVVPSLEGCCRTCKEDGRSCKKVTIRMTIRKNECRSSTPVNLVSCDGRCPSASIYNYNINTYARFCKCCREVGLQRRSVQLFCATNATWVPYTVQEPTDCACQWS
+>DECOY_sp|Q6ZRI0|OTOG_HUMAN Otogelin OS=Homo sapiens OX=9606 GN=OTOG PE=1 SV=3
+SWQCACDTPEQVTYPVWTANTACFLQVSRRQLGVERCCKCFRAYTNINYNYISASPCRGDCSVLNVPTSSRCENKRITMRITVKKCSRGDEKCTRCCGELSPVVSGGVKACETENLPPCSIPSRVLVAGLPTSSCHHRACDAIWSAGPLFLSTTGNPFTFLCSVNRCSGCCAALDRPHEYEQNAECHIDCLVSTTNIQYFNTLPDLVTTCRSTHCVGDASLEVVTQGPQMVGLERSLCVPAKVCEYKACGCVNEVSHMFEEDLQSKEWLHCRPVPITDCDCECSKYPCCRDPSWVEVLATGLGCQVQPCRFNECVCQYLPCCLETTNRHVTLAEGEQCEPISDPCDGCACFYSTCCSDGLRGTILIQDQRCSPVLEAECLDPDCECSYSPCCSDEQFHTLLRHGPRCTPALGECLTQNCVCVTGLCCPDKTPLLLAVEGFRLCSEPRPSPCGLDVPVITDPAQCQHQCCGSLSSNWTEGLARPVGMSDTCACKAEPICLASHRRHLITGESCVCGEGLVQCHEPDLPGLIGDQCTKPPECATVCAQYTSDSSCLFPCYDSRRWEICVHFKHCMAVYVTLAVCPQQVYKTDRIWLECFSEPPVFRHCASFTRNSVMRLCPSCDTTACSDPRFRTQGVSTLSSPVQWSDLFPAPDEAGGVVSGDKLTLDNAADGDCIGCLGHGQAKSTKSAEVIMLGSSHLWQIQIDSPTLIMYMHGTDEIRFGYRSVPPWVPQLDVTVKRNFRDITVQHALHTMNLMVLCFPPWNLHGLNASKCDLVHVTLMEDPSQSLIYIAEKFLAVHSGDFTVFSLDPFISCRCACEWLPCCRDGGVRVALGLIGCRPPAAGQPCHQSQNVRILQGELCIHRVCDQEAIPVCTTSTNAETTTALAEALGEVISLAPASRGSPETTAEDVPEAALHPGASTGHAEALQPLLVLMSDQPATRASVRSLAYSTPVPLATGAQAGEPEAVLPTLPHSTAPTLETPGGEASGYMSTPLSVQKSLIAVKGTTSKRPVVSVMSATGEAVPLVGSTPLTAGLLLGPATGAAPPAIHTPPHAQTMAAPTFPLVPSLTQAPLTTAQPQLPTTIVSAKPGPTAVPASTGVKALPLSTDPPLGSLLQSPRTSELSMFPTAALGDTATSLSAAAPTEPPLAPSRTAMTTHQAPRPPASPLPHPQPSAPSGMPQSHGAEGKETSLVIELSRTALPSVPVQEAATGAVTLPTSPSSASQAQTPQPVGTRSIVKTVAPTLVAKHSGSSTPSRSIAGPSAVPRSSPSATLSPQPPTTRVPLSGHGRVTVAKTMLPFRPAPPSRSSVTINPSGAFIVTVRTTEMAGSVMGPTPTQLAVPLSSSEPTHPIALLSATVGKSAPSETPGAPLQSATLELPQQLTTQTPGPSVVPEETATVPPNLATTLPAAPTLAPRHTPTRPSEQSLQPEEDSPTPLGQSPPLTENGLAETPVWVAPEVCDELYVCRQTVEDLVQPTPCVPVCGEVRPVDRCSAARPDRCTQFCPSACADYRWECIPYAAGSPKADLLRFLTGRRFVETHEYLRLALVAGSVYLFSSPKALSELAVLGAQRTGRHLLFSAHQQFTDRGQWKALELSGNATVHLFFNPRDAAELSVVDPDHAKAKYLAATLLFSVIDAPAVDETRVLVIDDGVAKMGVLAGGAALSSLQYPGKGLVKNFFDCDYPCLRPTRWDVAVGHQCCQHAYASVSACFCECDGGQSCGCTDTLCNSYFWTVDVVPHCIEFVESLLISCEKKAFPERLPNLVCMDRPDLTDPCEVAAWSSGFEQPNTLELNEPTRMENITKLDFNGCLGALQGQWQPGAQVHVTTRQDWLLTIHEQPFHVLTFFGVRWTHVEQKDDLFSEPSPNGSDDDFVILSNGVNISIFKRCIMGSSYCNVNEVIVSFSVDSTSKVLHVKCAGVFDFPLGDFTRYHRDGYATCTSACPHLTCQFSGRQCVCTHCPSMVQDGPFYEKGKWTCPCEEPLFCADGHRLLGQPCACGSLCPGRASVSLNLLQQECTRERSLELDTHLDSCNVFVQGAPCAAAPARSDCSMVGDKCHCHGLSPIDSDGPPYDVGQFHCSCQNRPVCLDAQTDLYTDPPCACGEVCEDRSLDDGGDVGCAEPSALDQCTRGCPAVCPSYEKSAECSLACAPLRARFDVPLGHRRCLHAYHALTACLCPQGCRCADRRCQEFYPVPSLFASCPAFMEGTLVSCAQVSYSAAQLHVDCPDLPSGSVLPSCASLTKWSNGFLQPTSEPVGVPSLFDDQTNGNFTGCLGVTDEVWRQDVQLYLRLGERDYLVRVGVNTRVRLFVSSLRRIEFADDTYPPIIKYQDFLLVDGAQTLTVQRRPDQHLIVSVSQVCAGDQNLGCPANQLTVTFTGSSRSKALIYQCTAPFTYRRGDFTTFHIDGTVSCEAPCVATSCEWKGSTCTCTNCDEKVVSGPPYLTGHFECPCEAPAVCGGDEFILGNPCYCGDVCAIESDVCSARPHCSAPCCAICENYTFAKEKCHVTCQRLQTRWGQLPRGAQACARAYEALARCWTAVDGMSQCLDSTCSATFPLPSVYAHCADFPPRLLAECQEYVGQMTGPNQQLCPPRPLSSTTPGPPQNPAQEQWSHVFEVVDDTLKGSSTVLDDKPDANNNGCLGHTWGLLEPSMKIYVASAGDWALTFASQHRVIVYGALQQLRASGMVHPLQVRMGGHTVEKALHIEQEGVFFLSVARSCTYPSSGCQPDNHVQISFSQGEPEHRGVLTYSGKGSLYYYLGDFTEVHHQGWARCISDREPGANYVMQCRPGTANFRRCDCFAPHVCEGGNFCSFLYSPACKARHLRREWSSMAVSDPAEAQQGGVVTAKDGMALTAEQHSSSSSVRTQAPGNRMGKVNRMGRAGSRGSDVVREGLRQVRLSEAAQEGWPLWVCLLWCLASALVGM
+>sp|Q7RTS6|OTOP2_HUMAN Proton channel OTOP2 OS=Homo sapiens OX=9606 GN=OTOP2 PE=2 SV=3
+MSEELAQGPKESPPAPRAGPREVWKKGGRLLSVLLAVNVLLLACTLISGGAFNKVAVYDTDVFALLTAMMLLATLWILFYLLRTVRCPCAVPYRDAHAGPIWLRGGLVLFGICTLIMDVFKTGYYSSFFECQSAIKILHPLIQAVFVIIQTYFLWVSAKDCVHVHLDLTWCGLMFTLTTNLAIWMAAVVDESVHQSHSYSSSHSNASHARLISDQHADNPVGGDSCLCSTAVCQIFQQGYFYLYPFNIEYSLFASTMLYVMWKNVGRFLASTPGHSHTPTPVSLFRETFFAGPVLGLLLFVVGLAVFIIYEVQVSGDGSRTRQALVIYYSFNIVCLGLTTLVSLSGSIIYRFDRRAMDHHKNPTRTLDVALLMGAALGQYAISYYSIVAVVAGTPQDLLAGLNLTHALLMIAQHTFQNMFIIESLHRGPPGAEPHSTHPKEPCQDLTFTNLDALHTLSACPPNPGLVSPSPSDQREAVAIVSTPRSQWRRQCLKDISLFLLLCNVILWIMPAFGARPHFSNTVEVDFYGYSLWAVIVNICLPFGIFYRMHAVSSLLEVYVLS
+>DECOY_sp|Q7RTS6|OTOP2_HUMAN Proton channel OTOP2 OS=Homo sapiens OX=9606 GN=OTOP2 PE=2 SV=3
+SLVYVELLSSVAHMRYFIGFPLCINVIVAWLSYGYFDVEVTNSFHPRAGFAPMIWLIVNCLLLFLSIDKLCQRRWQSRPTSVIAVAERQDSPSPSVLGPNPPCASLTHLADLNTFTLDQCPEKPHTSHPEAGPPGRHLSEIIFMNQFTHQAIMLLAHTLNLGALLDQPTGAVVAVISYYSIAYQGLAAGMLLAVDLTRTPNKHHDMARRDFRYIISGSLSVLTTLGLCVINFSYYIVLAQRTRSGDGSVQVEYIIFVALGVVFLLLGLVPGAFFTERFLSVPTPTHSHGPTSALFRGVNKWMVYLMTSAFLSYEINFPYLYFYGQQFIQCVATSCLCSDGGVPNDAHQDSILRAHSANSHSSSYSHSQHVSEDVVAAMWIALNTTLTFMLGCWTLDLHVHVCDKASVWLFYTQIIVFVAQILPHLIKIASQCEFFSSYYGTKFVDMILTCIGFLVLGGRLWIPGAHADRYPVACPCRVTRLLYFLIWLTALLMMATLLAFVDTDYVAVKNFAGGSILTCALLLVNVALLVSLLRGGKKWVERPGARPAPPSEKPGQALEESM
+>sp|Q9NRC9|OTOR_HUMAN Otoraplin OS=Homo sapiens OX=9606 GN=OTOR PE=1 SV=1
+MARILLLFLPGLVAVCAVHGIFMDRLASKKLCADDECVYTISLASAQEDYNAPDCRFINVKKGQQIYVYSKLVKENGAGEFWAGSVYGDGQDEMGVVGYFPRNLVKEQRVYQEATKEVPTTDIDFFCE
+>DECOY_sp|Q9NRC9|OTOR_HUMAN Otoraplin OS=Homo sapiens OX=9606 GN=OTOR PE=1 SV=1
+ECFFDIDTTPVEKTAEQYVRQEKVLNRPFYGVVGMEDQGDGYVSGAWFEGAGNEKVLKSYVYIQQGKKVNIFRCDPANYDEQASALSITYVCEDDACLKKSALRDMFIGHVACVAVLGPLFLLLIRAM
+>sp|Q5XKR4|OTP_HUMAN Homeobox protein orthopedia OS=Homo sapiens OX=9606 GN=OTP PE=1 SV=1
+MLSHADLLDARLGMKDAAELLGHREAVKCRLGVGGSDPGGHPGDLAPNSDPVEGATLLPGEDITTVGSTPASLAVSAKDPDKQPGPQGGPNPSQAGQQQGQQKQKRHRTRFTPAQLNELERSFAKTHYPDIFMREELALRIGLTESRVQVWFQNRRAKWKKRKKTTNVFRAPGTLLPTPGLPQFPSAAAAAAAAMGDSLCSFHANDTRWAAAAMPGVSQLPLPPALGRQQAMAQSLSQCSLAAGPPPNSMGLSNSLAGSNGAGLQSHLYQPAFPGMVPASLPGPSNVSGSPQLCSSPDSSDVWRGTSIASLRRKALEHTVSMSFT
+>DECOY_sp|Q5XKR4|OTP_HUMAN Homeobox protein orthopedia OS=Homo sapiens OX=9606 GN=OTP PE=1 SV=1
+TFSMSVTHELAKRRLSAISTGRWVDSSDPSSCLQPSGSVNSPGPLSAPVMGPFAPQYLHSQLGAGNSGALSNSLGMSNPPPGAALSCQSLSQAMAQQRGLAPPLPLQSVGPMAAAAWRTDNAHFSCLSDGMAAAAAAAASPFQPLGPTPLLTGPARFVNTTKKRKKWKARRNQFWVQVRSETLGIRLALEERMFIDPYHTKAFSRELENLQAPTFRTRHRKQKQQGQQQGAQSPNPGGQPGPQKDPDKASVALSAPTSGVTTIDEGPLLTAGEVPDSNPALDGPHGGPDSGGVGLRCKVAERHGLLEAADKMGLRADLLDAHSLM
+>sp|P30559|OXYR_HUMAN Oxytocin receptor OS=Homo sapiens OX=9606 GN=OXTR PE=2 SV=2
+MEGALAANWSAEAANASAAPPGAEGNRTAGPPRRNEALARVEVAVLCLILLLALSGNACVLLALRTTRQKHSRLFFFMKHLSIADLVVAVFQVLPQLLWDITFRFYGPDLLCRLVKYLQVVGMFASTYLLLLMSLDRCLAICQPLRSLRRRTDRLAVLATWLGCLVASAPQVHIFSLREVADGVFDCWAVFIQPWGPKAYITWITLAVYIVPVIVLAACYGLISFKIWQNLRLKTAAAAAAEAPEGAAAGDGGRVALARVSSVKLISKAKIRTVKMTFIIVLAFIVCWTPFFFVQMWSVWDANAPKEASAFIIVMLLASLNSCCNPWIYMLFTGHLFHELVQRFLCCSASYLKGRRLGETSASKKSNSSSFVLSHRSSSQRSCSQPSTA
+>DECOY_sp|P30559|OXYR_HUMAN Oxytocin receptor OS=Homo sapiens OX=9606 GN=OXTR PE=2 SV=2
+ATSPQSCSRQSSSRHSLVFSSSNSKKSASTEGLRRGKLYSASCCLFRQVLEHFLHGTFLMYIWPNCCSNLSALLMVIIFASAEKPANADWVSWMQVFFFPTWCVIFALVIIFTMKVTRIKAKSILKVSSVRALAVRGGDGAAAGEPAEAAAAAATKLRLNQWIKFSILGYCAALVIVPVIYVALTIWTIYAKPGWPQIFVAWCDFVGDAVERLSFIHVQPASAVLCGLWTALVALRDTRRRLSRLPQCIALCRDLSMLLLLYTSAFMGVVQLYKVLRCLLDPGYFRFTIDWLLQPLVQFVAVVLDAISLHKMFFFLRSHKQRTTRLALLVCANGSLALLLILCLVAVEVRALAENRRPPGATRNGEAGPPAASANAAEASWNAALAGEM
+>sp|Q96KW2|P12L2_HUMAN POM121-like protein 2 OS=Homo sapiens OX=9606 GN=POM121L2 PE=3 SV=2
+MGSFLSKLELSPSSPAQVRTDLPERPTKRRPPQPLHQVHRVQFVHRAHPAPRYRPVRRRPNLDPANPTTWLANEAWRRFPMKKSQNSPLGPLPSDWWESYLKRTIWSLRHPRPIWSPVTIRITPPDQRVPPSTSPEDVIALAGLPPSEELADPCSKETVLRALRECRKGKGRLEEPLFPESLDSKRRSPETRPSAFKPLMKNGTLTSFVPRPGPLKRSLHSWGSDHSLTKRPNCSSMSSLASIYRGGTLSSKRNAIGSSYSSCRNFSDPWKRSVPSVSFETPEWPIKKEKSCHRPSSPVPLVSDFESLGGSESSGQQNQKIPQLPSSPENLVSEIPPPQLGYAVSDENLTLGKKAELQVSNNAGEDTTEVNTDPFPETWLAIQPSLSLALPSSETDLTQGANPQLENLRKMQKSLGPLASPQSTGEATSVAHSPLKTPSLPTPPGCSQSELLPGTSPDSKPTATFILLTPTSPTLPVTDTTWPPSTSQADRSPMPPDPPAPPTIQSTLLGMVSSPTSHLSASAPPDATSAHLMLKPILGPLHNSEIGSSSYSRISVTAAASSISSLSTIQGTLTPTFKPIFGSIDPLKTTPMIAPFSSKQTPPPFTHASTHHFHGLVKATSVVMSTTLASTSKDSVFKPPLDFGVVNVTSAVGNTYSVPSTCDTFLLGTAQAFRADFTPATGFIFPPHHHPTIPTVHTVTMFTQVLSSVVQISPRSSTANFRGMGSPLPASALVSTNWLASTPSISNLTPAITSPLGSSSRPPFPLSQGANPQPAFGATNGQKQGPSQPALMPSVSSSFLFGSSAVALPTPMPTPAQPAFISTTQSALGCLTPSASTSQTPASTWSGIGGIPAGFPISQASTTGFRIVIQTHQSGAFGSVFGSRAPQPFTFGGFVTPMDCDESGIIMTGPDMSPTSGAFSIGALPSGTTNTMIPFGKGWSQNTEGLPSHRTAFSLGRGSISARKTMAPIAQNTPVPGQAKAGSSVGFGMPFPPAQGSVGRGPFRSSASSFSIGAKSKTPKNREKGHSRRHHAYKK
+>DECOY_sp|Q96KW2|P12L2_HUMAN POM121-like protein 2 OS=Homo sapiens OX=9606 GN=POM121L2 PE=3 SV=2
+KKYAHHRRSHGKERNKPTKSKAGISFSSASSRFPGRGVSGQAPPFPMGFGVSSGAKAQGPVPTNQAIPAMTKRASISGRGLSFATRHSPLGETNQSWGKGFPIMTNTTGSPLAGISFAGSTPSMDPGTMIIGSEDCDMPTVFGGFTFPQPARSGFVSGFAGSQHTQIVIRFGTTSAQSIPFGAPIGGIGSWTSAPTQSTSASPTLCGLASQTTSIFAPQAPTPMPTPLAVASSGFLFSSSVSPMLAPQSPGQKQGNTAGFAPQPNAGQSLPFPPRSSSGLPSTIAPTLNSISPTSALWNTSVLASAPLPSGMGRFNATSSRPSIQVVSSLVQTFMTVTHVTPITPHHHPPFIFGTAPTFDARFAQATGLLFTDCTSPVSYTNGVASTVNVVGFDLPPKFVSDKSTSALTTSMVVSTAKVLGHFHHTSAHTFPPPTQKSSFPAIMPTTKLPDISGFIPKFTPTLTGQITSLSSISSAAATVSIRSYSSSGIESNHLPGLIPKLMLHASTADPPASASLHSTPSSVMGLLTSQITPPAPPDPPMPSRDAQSTSPPWTTDTVPLTPSTPTLLIFTATPKSDPSTGPLLESQSCGPPTPLSPTKLPSHAVSTAEGTSQPSALPGLSKQMKRLNELQPNAGQTLDTESSPLALSLSPQIALWTEPFPDTNVETTDEGANNSVQLEAKKGLTLNEDSVAYGLQPPPIESVLNEPSSPLQPIKQNQQGSSESGGLSEFDSVLPVPSSPRHCSKEKKIPWEPTEFSVSPVSRKWPDSFNRCSSYSSGIANRKSSLTGGRYISALSSMSSCNPRKTLSHDSGWSHLSRKLPGPRPVFSTLTGNKMLPKFASPRTEPSRRKSDLSEPFLPEELRGKGKRCERLARLVTEKSCPDALEESPPLGALAIVDEPSTSPPVRQDPPTIRITVPSWIPRPHRLSWITRKLYSEWWDSPLPGLPSNQSKKMPFRRWAENALWTTPNAPDLNPRRRVPRYRPAPHARHVFQVRHVQHLPQPPRRKTPREPLDTRVQAPSSPSLELKSLFSGM
+>sp|O15460|P4HA2_HUMAN Prolyl 4-hydroxylase subunit alpha-2 OS=Homo sapiens OX=9606 GN=P4HA2 PE=1 SV=1
+MKLWVSALLMAWFGVLSCVQAEFFTSIGHMTDLIYAEKELVQSLKEYILVEEAKLSKIKSWANKMEALTSKSAADAEGYLAHPVNAYKLVKRLNTDWPALEDLVLQDSAAGFIANLSVQRQFFPTDEDEIGAAKALMRLQDTYRLDPGTISRGELPGTKYQAMLSVDDCFGMGRSAYNEGDYYHTVLWMEQVLKQLDAGEEATTTKSQVLDYLSYAVFQLGDLHRALELTRRLLSLDPSHERAGGNLRYFEQLLEEEREKTLTNQTEAELATPEGIYERPVDYLPERDVYESLCRGEGVKLTPRRQKRLFCRYHHGNRAPQLLIAPFKEEDEWDSPHIVRYYDVMSDEEIERIKEIAKPKLARATVRDPKTGVLTVASYRVSKSSWLEEDDDPVVARVNRRMQHITGLTVKTAELLQVANYGVGGQYEPHFDFSRNDERDTFKHLGTGNRVATFLNYMSDVEAGGATVFPDLGAAIWPKKGTAVFWYNLLRSGEGDYRTRHAACPVLVGCKWVSNKWFHERGQEFLRPCGSTEVD
+>DECOY_sp|O15460|P4HA2_HUMAN Prolyl 4-hydroxylase subunit alpha-2 OS=Homo sapiens OX=9606 GN=P4HA2 PE=1 SV=1
+DVETSGCPRLFEQGREHFWKNSVWKCGVLVPCAAHRTRYDGEGSRLLNYWFVATGKKPWIAAGLDPFVTAGGAEVDSMYNLFTAVRNGTGLHKFTDREDNRSFDFHPEYQGGVGYNAVQLLEATKVTLGTIHQMRRNVRAVVPDDDEELWSSKSVRYSAVTLVGTKPDRVTARALKPKAIEKIREIEEDSMVDYYRVIHPSDWEDEEKFPAILLQPARNGHHYRCFLRKQRRPTLKVGEGRCLSEYVDREPLYDVPREYIGEPTALEAETQNTLTKEREEELLQEFYRLNGGAREHSPDLSLLRRTLELARHLDGLQFVAYSLYDLVQSKTTTAEEGADLQKLVQEMWLVTHYYDGENYASRGMGFCDDVSLMAQYKTGPLEGRSITGPDLRYTDQLRMLAKAAGIEDEDTPFFQRQVSLNAIFGAASDQLVLDELAPWDTNLRKVLKYANVPHALYGEADAASKSTLAEMKNAWSKIKSLKAEEVLIYEKLSQVLEKEAYILDTMHGISTFFEAQVCSLVGFWAMLLASVWLKM
+>sp|O43422|P52K_HUMAN 52 kDa repressor of the inhibitor of the protein kinase OS=Homo sapiens OX=9606 GN=THAP12 PE=1 SV=2
+MPNFCAAPNCTRKSTQSDLAFFRFPRDPARCQKWVENCRRADLEDKTPDQLNKHYRLCAKHFETSMICRTSPYRTVLRDNAIPTIFDLTSHLNNPHSRHRKRIKELSEDEIRTLKQKKIDETSEQEQKHKETNNSNAQNPSEEEGEGQDEDILPLTLEEKENKEYLKSLFEILILMGKQNIPLDGHEADEIPEGLFTPDNFQALLECRINSGEEVLRKRFETTAVNTLFCSKTQQRQMLEICESCIREETLREVRDSHFFSIITDDVVDIAGEEHLPVLVRFVDESHNLREEFIGFLPYEADAEILAVKFHTMITEKWGLNMEYCRGQAYIVSSGFSSKMKVVASRLLEKYPQAIYTLCSSCALNMWLAKSVPVMGVSVALGTIEEVCSFFHRSPQLLLELDNVISVLFQNSKERGKELKEICHSQWTGRHDAFEILVELLQALVLCLDGINSDTNIRWNNYIAGRAFVLCSAVSDFDFIVTIVVLKNVLSFTRAFGKNLQGQTSDVFFAAGSLTAVLHSLNEVMENIEVYHEFWFEEATNLATKLDIQMKLPGKFRRAHQGNLESQLTSESYYKETLSVPTVEHIIQELKDIFSEQHLKALKCLSLVPSVMGQLKFNTSEEHHADMYRSDLPNPDTLSAELHCWRIKWKHRGKDIELPSTIYEALHLPDIKFFPNVYALLKVLCILPVMKVENERYENGRKRLKAYLRNTLTDQRSSNLALLNINFDIKHDLDLMVDTYIKLYTSKSELPTDNSETVENT
+>DECOY_sp|O43422|P52K_HUMAN 52 kDa repressor of the inhibitor of the protein kinase OS=Homo sapiens OX=9606 GN=THAP12 PE=1 SV=2
+TNEVTESNDTPLESKSTYLKIYTDVMLDLDHKIDFNINLLALNSSRQDTLTNRLYAKLRKRGNEYRENEVKMVPLICLVKLLAYVNPFFKIDPLHLAEYITSPLEIDKGRHKWKIRWCHLEASLTDPNPLDSRYMDAHHEESTNFKLQGMVSPVLSLCKLAKLHQESFIDKLEQIIHEVTPVSLTEKYYSESTLQSELNGQHARRFKGPLKMQIDLKTALNTAEEFWFEHYVEINEMVENLSHLVATLSGAAFFVDSTQGQLNKGFARTFSLVNKLVVITVIFDFDSVASCLVFARGAIYNNWRINTDSNIGDLCLVLAQLLEVLIEFADHRGTWQSHCIEKLEKGREKSNQFLVSIVNDLELLLQPSRHFFSCVEEITGLAVSVGMVPVSKALWMNLACSSCLTYIAQPYKELLRSAVVKMKSSFGSSVIYAQGRCYEMNLGWKETIMTHFKVALIEADAEYPLFGIFEERLNHSEDVFRVLVPLHEEGAIDVVDDTIISFFHSDRVERLTEERICSECIELMQRQQTKSCFLTNVATTEFRKRLVEEGSNIRCELLAQFNDPTFLGEPIEDAEHGDLPINQKGMLILIEFLSKLYEKNEKEELTLPLIDEDQGEGEEESPNQANSNNTEKHKQEQESTEDIKKQKLTRIEDESLEKIRKRHRSHPNNLHSTLDFITPIANDRLVTRYPSTRCIMSTEFHKACLRYHKNLQDPTKDELDARRCNEVWKQCRAPDRPFRFFALDSQTSKRTCNPAACFNPM
+>sp|Q53H96|P5CR3_HUMAN Pyrroline-5-carboxylate reductase 3 OS=Homo sapiens OX=9606 GN=PYCR3 PE=1 SV=3
+MAAAEPSPRRVGFVGAGRMAGAIAQGLIRAGKVEAQHILASAPTDRNLCHFQALGCRTTHSNQEVLQSCLLVIFATKPHVLPAVLAEVAPVVTTEHILVSVAAGVSLSTLEELLPPNTRVLRVLPNLPCVVQEGAIVMARGRHVGSSETKLLQHLLEACGRCEEVPEAYVDIHTGLSGSGVAFVCAFSEALAEGAVKMGMPSSLAHRIAAQTLLGTAKMLLHEGQHPAQLRSDVCTPGGTTIYGLHALEQGGLRAATMSAVEAATCRAKELSRK
+>DECOY_sp|Q53H96|P5CR3_HUMAN Pyrroline-5-carboxylate reductase 3 OS=Homo sapiens OX=9606 GN=PYCR3 PE=1 SV=3
+KRSLEKARCTAAEVASMTAARLGGQELAHLGYITTGGPTCVDSRLQAPHQGEHLLMKATGLLTQAAIRHALSSPMGMKVAGEALAESFACVFAVGSGSLGTHIDVYAEPVEECRGCAELLHQLLKTESSGVHRGRAMVIAGEQVVCPLNPLVRLVRTNPPLLEELTSLSVGAAVSVLIHETTVVPAVEALVAPLVHPKTAFIVLLCSQLVEQNSHTTRCGLAQFHCLNRDTPASALIHQAEVKGARILGQAIAGAMRGAGVFGVRRPSPEAAAM
+>sp|P18509|PACA_HUMAN Pituitary adenylate cyclase-activating polypeptide OS=Homo sapiens OX=9606 GN=ADCYAP1 PE=1 SV=3
+MTMCSGARLALLVYGIIMHSSVYSSPAAAGLRFPGIRPEEEAYGEDGNPLPDFDGSEPPGAGSPASAPRAAAAWYRPAGRRDVAHGILNEAYRKVLDQLSAGKHLQSLVARGVGGSLGGGAGDDAEPLSKRHSDGIFTDSYSRYRKQMAVKKYLAAVLGKRYKQRVKNKGRRIAYL
+>DECOY_sp|P18509|PACA_HUMAN Pituitary adenylate cyclase-activating polypeptide OS=Homo sapiens OX=9606 GN=ADCYAP1 PE=1 SV=3
+LYAIRRGKNKVRQKYRKGLVAALYKKVAMQKRYRSYSDTFIGDSHRKSLPEADDGAGGGLSGGVGRAVLSQLHKGASLQDLVKRYAENLIGHAVDRRGAPRYWAAAARPASAPSGAGPPESGDFDPLPNGDEGYAEEEPRIGPFRLGAAAPSSYVSSHMIIGYVLLALRAGSCMTM
+>sp|Q8N7B6|PACRL_HUMAN PACRG-like protein OS=Homo sapiens OX=9606 GN=PACRGL PE=1 SV=2
+MQKSEGSGGTQLKNRATGNYDQRTSSSTQLKHRNAVQGSKSSLSTSSPESARKLHPRPSDKLNPKTINPFGEQSRVPSAFAAIYSKGGIPCRLVHGSVKHRLQWECPPESLSFDPLLITLAEGLRETKHPYTFVSKEGFRELLLVKGAPEKAIPLLPRLIPVLKAALVHSDDEVFERGLNALVQLSVVVGPSLNDHLKHLLTSLSKRLMDKKFKEPITSALQKLEQHGGSGSLSIIKSKIPTYCSICC
+>DECOY_sp|Q8N7B6|PACRL_HUMAN PACRG-like protein OS=Homo sapiens OX=9606 GN=PACRGL PE=1 SV=2
+CCISCYTPIKSKIISLSGSGGHQELKQLASTIPEKFKKDMLRKSLSTLLHKLHDNLSPGVVVSLQVLANLGREFVEDDSHVLAAKLVPILRPLLPIAKEPAGKVLLLERFGEKSVFTYPHKTERLGEALTILLPDFSLSEPPCEWQLRHKVSGHVLRCPIGGKSYIAAFASPVRSQEGFPNITKPNLKDSPRPHLKRASEPSSTSLSSKSGQVANRHKLQTSSSTRQDYNGTARNKLQTGGSGESKQM
+>sp|Q9BSG0|PADC1_HUMAN Protease-associated domain-containing protein 1 OS=Homo sapiens OX=9606 GN=PRADC1 PE=1 SV=1
+MVPGAAGWCCLVLWLPACVAAHGFRIHDYLYFQVLSPGDIRYIFTATPAKDFGGIFHTRYEQIHLVPAEPPEACGELSNGFFIQDQIALVERGGCSFLSKTRVVQEHGGRAVIISDNAVDNDSFYVEMIQDSTQRTADIPALFLLGRDGYMIRRSLEQHGLPWAIISIPVNVTSIPTFELLQPPWTFW
+>DECOY_sp|Q9BSG0|PADC1_HUMAN Protease-associated domain-containing protein 1 OS=Homo sapiens OX=9606 GN=PRADC1 PE=1 SV=1
+WFTWPPQLLEFTPISTVNVPISIIAWPLGHQELSRRIMYGDRGLLFLAPIDATRQTSDQIMEVYFSDNDVANDSIIVARGGHEQVVRTKSLFSCGGREVLAIQDQIFFGNSLEGCAEPPEAPVLHIQEYRTHFIGGFDKAPTATFIYRIDGPSLVQFYLYDHIRFGHAAVCAPLWLVLCCWGAAGPVM
+>sp|Q13093|PAFA_HUMAN Platelet-activating factor acetylhydrolase OS=Homo sapiens OX=9606 GN=PLA2G7 PE=1 SV=1
+MVPPKLHVLFCLCGCLAVVYPFDWQYINPVAHMKSSAWVNKIQVLMAAASFGQTKIPRGNGPYSVGCTDLMFDHTNKGTFLRLYYPSQDNDRLDTLWIPNKEYFWGLSKFLGTHWLMGNILRLLFGSMTTPANWNSPLRPGEKYPLVVFSHGLGAFRTLYSAIGIDLASHGFIVAAVEHRDRSASATYYFKDQSAAEIGDKSWLYLRTLKQEEETHIRNEQVRQRAKECSQALSLILDIDHGKPVKNALDLKFDMEQLKDSIDREKIAVIGHSFGGATVIQTLSEDQRFRCGIALDAWMFPLGDEVYSRIPQPLFFINSEYFQYPANIIKMKKCYSPDKERKMITIRGSVHQNFADFTFATGKIIGHMLKLKGDIDSNVAIDLSNKASLAFLQKHLGLHKDFDQWDCLIEGDDENLIPGTNINTTNQHIMLQNSSGIEKYN
+>DECOY_sp|Q13093|PAFA_HUMAN Platelet-activating factor acetylhydrolase OS=Homo sapiens OX=9606 GN=PLA2G7 PE=1 SV=1
+NYKEIGSSNQLMIHQNTTNINTGPILNEDDGEILCDWQDFDKHLGLHKQLFALSAKNSLDIAVNSDIDGKLKLMHGIIKGTAFTFDAFNQHVSGRITIMKREKDPSYCKKMKIINAPYQFYESNIFFLPQPIRSYVEDGLPFMWADLAIGCRFRQDESLTQIVTAGGFSHGIVAIKERDISDKLQEMDFKLDLANKVPKGHDIDLILSLAQSCEKARQRVQENRIHTEEEQKLTRLYLWSKDGIEAASQDKFYYTASASRDRHEVAAVIFGHSALDIGIASYLTRFAGLGHSFVVLPYKEGPRLPSNWNAPTTMSGFLLRLINGMLWHTGLFKSLGWFYEKNPIWLTDLRDNDQSPYYLRLFTGKNTHDFMLDTCGVSYPGNGRPIKTQGFSAAAMLVQIKNVWASSKMHAVPNIYQWDFPYVVALCGCLCFLVHLKPPVM
+>sp|Q5JUK9|PAGE3_HUMAN P antigen family member 3 OS=Homo sapiens OX=9606 GN=PAGE3 PE=1 SV=1
+MSGHQRTRSRSRERRDDQDSNHPVGAVVAQELPSNDQLQQEEPPIESQDYTPGQERDEGALDFQVLGLAAYLWELTRSKTGGERGDGPNVKGEFLPNLEPVKIPEAGEGQPSV
+>DECOY_sp|Q5JUK9|PAGE3_HUMAN P antigen family member 3 OS=Homo sapiens OX=9606 GN=PAGE3 PE=1 SV=1
+VSPQGEGAEPIKVPELNPLFEGKVNPGDGREGGTKSRTLEWLYAALGLVQFDLAGEDREQGPTYDQSEIPPEEQQLQDNSPLEQAVVAGVPHNSDQDDRRERSRSRTRQHGSM
+>sp|P05121|PAI1_HUMAN Plasminogen activator inhibitor 1 OS=Homo sapiens OX=9606 GN=SERPINE1 PE=1 SV=1
+MQMSPALTCLVLGLALVFGEGSAVHHPPSYVAHLASDFGVRVFQQVAQASKDRNVVFSPYGVASVLAMLQLTTGGETQQQIQAAMGFKIDDKGMAPALRHLYKELMGPWNKDEISTTDAIFVQRDLKLVQGFMPHFFRLFRSTVKQVDFSEVERARFIINDWVKTHTKGMISNLLGKGAVDQLTRLVLVNALYFNGQWKTPFPDSSTHRRLFHKSDGSTVSVPMMAQTNKFNYTEFTTPDGHYYDILELPYHGDTLSMFIAAPYEKEVPLSALTNILSAQLISHWKGNMTRLPRLLVLPKFSLETEVDLRKPLENLGMTDMFRQFQADFTSLSDQEPLHVAQALQKVKIEVNESGTVASSSTAVIVSARMAPEEIIMDRPFLFVVRHNPTGTVLFMGQVMEP
+>DECOY_sp|P05121|PAI1_HUMAN Plasminogen activator inhibitor 1 OS=Homo sapiens OX=9606 GN=SERPINE1 PE=1 SV=1
+PEMVQGMFLVTGTPNHRVVFLFPRDMIIEEPAMRASVIVATSSSAVTGSENVEIKVKQLAQAVHLPEQDSLSTFDAQFQRFMDTMGLNELPKRLDVETELSFKPLVLLRPLRTMNGKWHSILQASLINTLASLPVEKEYPAAIFMSLTDGHYPLELIDYYHGDPTTFETYNFKNTQAMMPVSVTSGDSKHFLRRHTSSDPFPTKWQGNFYLANVLVLRTLQDVAGKGLLNSIMGKTHTKVWDNIIFRAREVESFDVQKVTSRFLRFFHPMFGQVLKLDRQVFIADTTSIEDKNWPGMLEKYLHRLAPAMGKDDIKFGMAAQIQQQTEGGTTLQLMALVSAVGYPSFVVNRDKSAQAVQQFVRVGFDSALHAVYSPPHHVASGEGFVLALGLVLCTLAPSMQM
+>sp|Q9H074|PAIP1_HUMAN Polyadenylate-binding protein-interacting protein 1 OS=Homo sapiens OX=9606 GN=PAIP1 PE=1 SV=1
+MSDGFDRAPGAGRGRSRGLGRGGGGPEGGGFPNGAGPAERARHQPPQPKAPGFLQPPPLRQPRTTPPPGAQCEVPASPQRPSRPGALPEQTRPLRAPPSSQDKIPQQNSESAMAKPQVVVAPVLMSKLSVNAPEFYPSGYSSSYTESYEDGCEDYPTLSEYVQDFLNHLTEQPGSFETEIEQFAETLNGCVTTDDALQELVELIYQQATSIPNFSYMGARLCNYLSHHLTISPQSGNFRQLLLQRCRTEYEVKDQAAKGDEVTRKRFHAFVLFLGELYLNLEIKGTNGQVTRADILQVGLRELLNALFSNPMDDNLICAVKLLKLTGSVLEDAWKEKGKMDMEEIIQRIENVVLDANCSRDVKQMLLKLVELRSSNWGRVHATSTYREATPENDPNYFMNEPTFYTSDGVPFTAADPDYQEKYQELLEREDFFPDYEENGTDLSGAGDPYLDDIDDEMDPEIEEAYEKFCLESERKRKQ
+>DECOY_sp|Q9H074|PAIP1_HUMAN Polyadenylate-binding protein-interacting protein 1 OS=Homo sapiens OX=9606 GN=PAIP1 PE=1 SV=1
+QKRKRESELCFKEYAEEIEPDMEDDIDDLYPDGAGSLDTGNEEYDPFFDERELLEQYKEQYDPDAATFPVGDSTYFTPENMFYNPDNEPTAERYTSTAHVRGWNSSRLEVLKLLMQKVDRSCNADLVVNEIRQIIEEMDMKGKEKWADELVSGTLKLLKVACILNDDMPNSFLANLLERLGVQLIDARTVQGNTGKIELNLYLEGLFLVFAHFRKRTVEDGKAAQDKVEYETRCRQLLLQRFNGSQPSITLHHSLYNCLRAGMYSFNPISTAQQYILEVLEQLADDTTVCGNLTEAFQEIETEFSGPQETLHNLFDQVYESLTPYDECGDEYSETYSSSYGSPYFEPANVSLKSMLVPAVVVQPKAMASESNQQPIKDQSSPPARLPRTQEPLAGPRSPRQPSAPVECQAGPPPTTRPQRLPPPQLFGPAKPQPPQHRAREAPGAGNPFGGGEPGGGGRGLGRSRGRGAGPARDFGDSM
+>sp|O96013|PAK4_HUMAN Serine/threonine-protein kinase PAK 4 OS=Homo sapiens OX=9606 GN=PAK4 PE=1 SV=1
+MFGKRKKRVEISAPSNFEHRVHTGFDQHEQKFTGLPRQWQSLIEESARRPKPLVDPACITSIQPGAPKTIVRGSKGAKDGALTLLLDEFENMSVTRSNSLRRDSPPPPARARQENGMPEEPATTARGGPGKAGSRGRFAGHSEAGGGSGDRRRAGPEKRPKSSREGSGGPQESSRDKRPLSGPDVGTPQPAGLASGAKLAAGRPFNTYPRADTDHPSRGAQGEPHDVAPNGPSAGGLAIPQSSSSSSRPPTRARGAPSPGVLGPHASEPQLAPPACTPAAPAVPGPPGPRSPQREPQRVSHEQFRAALQLVVDPGDPRSYLDNFIKIGEGSTGIVCIATVRSSGKLVAVKKMDLRKQQRRELLFNEVVIMRDYQHENVVEMYNSYLVGDELWVVMEFLEGGALTDIVTHTRMNEEQIAAVCLAVLQALSVLHAQGVIHRDIKSDSILLTHDGRVKLSDFGFCAQVSKEVPRRKSLVGTPYWMAPELISRLPYGPEVDIWSLGIMVIEMVDGEPPYFNEPPLKAMKMIRDNLPPRLKNLHKVSPSLKGFLDRLLVRDPAQRATAAELLKHPFLAKAGPPASIVPLMRQNRTR
+>DECOY_sp|O96013|PAK4_HUMAN Serine/threonine-protein kinase PAK 4 OS=Homo sapiens OX=9606 GN=PAK4 PE=1 SV=1
+RTRNQRMLPVISAPPGAKALFPHKLLEAATARQAPDRVLLRDLFGKLSPSVKHLNKLRPPLNDRIMKMAKLPPENFYPPEGDVMEIVMIGLSWIDVEPGYPLRSILEPAMWYPTGVLSKRRPVEKSVQACFGFDSLKVRGDHTLLISDSKIDRHIVGQAHLVSLAQLVALCVAAIQEENMRTHTVIDTLAGGELFEMVVWLEDGVLYSNYMEVVNEHQYDRMIVVENFLLERRQQKRLDMKKVAVLKGSSRVTAICVIGTSGEGIKIFNDLYSRPDGPDVVLQLAARFQEHSVRQPERQPSRPGPPGPVAPAAPTCAPPALQPESAHPGLVGPSPAGRARTPPRSSSSSSQPIALGGASPGNPAVDHPEGQAGRSPHDTDARPYTNFPRGAALKAGSALGAPQPTGVDPGSLPRKDRSSEQPGGSGERSSKPRKEPGARRRDGSGGGAESHGAFRGRSGAKGPGGRATTAPEEPMGNEQRARAPPPPSDRRLSNSRTVSMNEFEDLLLTLAGDKAGKSGRVITKPAGPQISTICAPDVLPKPRRASEEILSQWQRPLGTFKQEHQDFGTHVRHEFNSPASIEVRKKRKGFM
+>sp|P0DN37|PAL4G_HUMAN Peptidyl-prolyl cis-trans isomerase A-like 4G OS=Homo sapiens OX=9606 GN=PPIAL4G PE=3 SV=1
+MVNSVIFFDITVDGKPLGRISIKQFADKIPKTAENFRALSTGEKGFRYKGSCFHRIIPGFMCQGGDFTHPNGTGDKSIYGEKFDDENLIRKHTGSGILSMANAGPNTNGSQFFICTAKTEWLDGKHVAFGKVKERVNIVEAMEHFGYRNSKTSKKITIADCGQF
+>DECOY_sp|P0DN37|PAL4G_HUMAN Peptidyl-prolyl cis-trans isomerase A-like 4G OS=Homo sapiens OX=9606 GN=PPIAL4G PE=3 SV=1
+FQGCDAITIKKSTKSNRYGFHEMAEVINVREKVKGFAVHKGDLWETKATCIFFQSGNTNPGANAMSLIGSGTHKRILNEDDFKEGYISKDGTGNPHTFDGGQCMFGPIIRHFCSGKYRFGKEGTSLARFNEATKPIKDAFQKISIRGLPKGDVTIDFFIVSNVM
+>sp|Q7Z3H0|PANKY_HUMAN Photoreceptor ankyrin repeat protein OS=Homo sapiens OX=9606 GN=ANKRD33 PE=2 SV=4
+MKVQPSVTCVASWGGIVHLEAFGDPVIVLRGAWAVPRVDCLIDTLRTPNASCMRKGTHLLVPCLEEEELALHRRRLDMSEALPCPGKETPTPGCRLGALYWACVHNDPTQLQAILDGGVSPEEATQVDSNGRTGLMVACYHGFQSVVALLSHCPFLDVNQQDKGGDTALMLAAQAGHVPLVSLLLNYYVGLDLERRDQRGLTALMKAAMRNRCADLTAVDPVRGKTALEWAVLTDSFDTVWRIRQLLRRPQVEQLSQHYKPEWPALSGLVAQAQAQAQVAPSLLERLQATLSLPFAPSPQEGGVLDHLVTATTSLASPFVTTACHTLCPDHPPSLGTRSKSVPELLGTAPPPPLVPQSPPGSPQRSPWVFVPYQSPQGILSKCLQWLQPRDSTSPRPQVPKILLSKASSSSHQCQPKPSPSGHQSLALPLWRYQELRIEKRKQEEEARMAQK
+>DECOY_sp|Q7Z3H0|PANKY_HUMAN Photoreceptor ankyrin repeat protein OS=Homo sapiens OX=9606 GN=ANKRD33 PE=2 SV=4
+KQAMRAEEEQKRKEIRLEQYRWLPLALSQHGSPSPKPQCQHSSSSAKSLLIKPVQPRPSTSDRPQLWQLCKSLIGQPSQYPVFVWPSRQPSGPPSQPVLPPPPATGLLEPVSKSRTGLSPPHDPCLTHCATTVFPSALSTTATVLHDLVGGEQPSPAFPLSLTAQLRELLSPAVQAQAQAQAVLGSLAPWEPKYHQSLQEVQPRRLLQRIRWVTDFSDTLVAWELATKGRVPDVATLDACRNRMAAKMLATLGRQDRRELDLGVYYNLLLSVLPVHGAQAALMLATDGGKDQQNVDLFPCHSLLAVVSQFGHYCAVMLGTRGNSDVQTAEEPSVGGDLIAQLQTPDNHVCAWYLAGLRCGPTPTEKGPCPLAESMDLRRRHLALEEEELCPVLLHTGKRMCSANPTRLTDILCDVRPVAWAGRLVIVPDGFAELHVIGGWSAVCTVSPQVKM
+>sp|Q96RD6|PANX2_HUMAN Pannexin-2 OS=Homo sapiens OX=9606 GN=PANX2 PE=2 SV=2
+MHHLLEQSADMATALLAGEKLRELILPGAQDDKAGALAALLLQLKLELPFDRVVTIGTVLVPILLVTLVFTKNFAEEPIYCYTPHNFTRDQALYARGYCWTELRDALPGVDASLWPSLFEHKFLPYALLAFAAIMYVPALGWEFLASTRLTSELNFLLQEIDNCYHRAAEGRAPKIEKQIQSKGPGITEREKREIIENAEKEKSPEQNLFEKYLERRGRSNFLAKLYLARHVLILLLSAVPISYLCTYYATQKQNEFTCALGASPDGAAGAGPAVRVSCKLPSVQLQRIIAGVDIVLLCVMNLIILVNLIHLFIFRKSNFIFDKLHKVGIKTRRQWRRSQFCDINILAMFCNENRDHIKSLNRLDFITNESDLMYDNVVRQLLAALAQSNHDATPTVRDSGVQTVDPSANPAEPDGAAEPPVVKRPRKKMKWIPTSNPLPQPFKEPLAIMRVENSKAEKPKPARRKTATDTLIAPLLDRSAHHYKGGGGDPGPGPAPAPAPPPAPDKKHARHFSLDVHPYILGTKKAKAEAVPAALPASRSQEGGFLSQAEDCGLGLAPAPIKDAPLPEKEIPYPTEPARAGLPSGGPFHVRSPPAAPAVAPLTPASLGKAEPLTILSRNATHPLLHINTLYEAREEEDGGPRLPQDVGDLIAIPAPQQILIATFDEPRTVVSTVEF
+>DECOY_sp|Q96RD6|PANX2_HUMAN Pannexin-2 OS=Homo sapiens OX=9606 GN=PANX2 PE=2 SV=2
+FEVTSVVTRPEDFTAILIQQPAPIAILDGVDQPLRPGGDEEERAEYLTNIHLLPHTANRSLITLPEAKGLSAPTLPAVAPAAPPSRVHFPGGSPLGARAPETPYPIEKEPLPADKIPAPALGLGCDEAQSLFGGEQSRSAPLAAPVAEAKAKKTGLIYPHVDLSFHRAHKKDPAPPPAPAPAPGPGPDGGGGKYHHASRDLLPAILTDTATKRRAPKPKEAKSNEVRMIALPEKFPQPLPNSTPIWKMKKRPRKVVPPEAAGDPEAPNASPDVTQVGSDRVTPTADHNSQALAALLQRVVNDYMLDSENTIFDLRNLSKIHDRNENCFMALINIDCFQSRRWQRRTKIGVKHLKDFIFNSKRFIFLHILNVLIILNMVCLLVIDVGAIIRQLQVSPLKCSVRVAPGAGAAGDPSAGLACTFENQKQTAYYTCLYSIPVASLLLILVHRALYLKALFNSRGRRELYKEFLNQEPSKEKEANEIIERKERETIGPGKSQIQKEIKPARGEAARHYCNDIEQLLFNLESTLRTSALFEWGLAPVYMIAAFALLAYPLFKHEFLSPWLSADVGPLADRLETWCYGRAYLAQDRTFNHPTYCYIPEEAFNKTFVLTVLLIPVLVTGITVVRDFPLELKLQLLLAALAGAKDDQAGPLILERLKEGALLATAMDASQELLHHM
+>sp|Q4VXU2|PAP1L_HUMAN Polyadenylate-binding protein 1-like OS=Homo sapiens OX=9606 GN=PABPC1L PE=2 SV=1
+MNASGSGYPLASLYVGDLHPDVTEAMLYEKFSPAGPILSIRVCRDVATRRSLGYAYINFQQPADAERALDTMNFEMLKGQPIRIMWSQRDPGLRKSGVGNIFIKNLEDSIDNKALYDTFSTFGNILSCKVACDEHGSRGFGFVHFETHEAAQQAINTMNGMLLNDRKVFVGHFKSRREREAELGARALEFTNIYVKNLPVDVDEQGLQDLFSQFGKMLSVKVMRDNSGHSRCFGFVNFEKHEEAQKAVVHMNGKEVSGRLLYAGRAQKRVERQNELKRRFEQMKQDRLRRYQGVNLYVKNLDDSIDDDKLRKEFSPYGVITSAKVMTEGGHSKGFGFVCFSSPEEATKAVTEMNGRIVGTKPLYVALAQRKEERKAILTNQYMQRLSTMRTLSNPLLGSFQQPSSYFLPAMPQPPAQAAYYGCGPVTPTQPAPRWTSQPPRPSCASMVRPPVVPRRPPAHISSVRQASTQVPRTVPHTQRVANIGTQTTGPSGVGCCTPGRPLLPCKCSSAAHSTYRVQEPAVHIPGQEPLTASMLAAAPLHEQKQMIGERLYPLIHDVHTQLAGKITGMLLEIDNSELLLMLESPESLHAKIDEAVAVLQAHQAMEQPKAYMH
+>DECOY_sp|Q4VXU2|PAP1L_HUMAN Polyadenylate-binding protein 1-like OS=Homo sapiens OX=9606 GN=PABPC1L PE=2 SV=1
+HMYAKPQEMAQHAQLVAVAEDIKAHLSEPSELMLLLESNDIELLMGTIKGALQTHVDHILPYLREGIMQKQEHLPAAALMSATLPEQGPIHVAPEQVRYTSHAASSCKCPLLPRGPTCCGVGSPGTTQTGINAVRQTHPVTRPVQTSAQRVSSIHAPPRRPVVPPRVMSACSPRPPQSTWRPAPQTPTVPGCGYYAAQAPPQPMAPLFYSSPQQFSGLLPNSLTRMTSLRQMYQNTLIAKREEKRQALAVYLPKTGVIRGNMETVAKTAEEPSSFCVFGFGKSHGGETMVKASTIVGYPSFEKRLKDDDISDDLNKVYLNVGQYRRLRDQKMQEFRRKLENQREVRKQARGAYLLRGSVEKGNMHVVAKQAEEHKEFNVFGFCRSHGSNDRMVKVSLMKGFQSFLDQLGQEDVDVPLNKVYINTFELARAGLEAERERRSKFHGVFVKRDNLLMGNMTNIAQQAAEHTEFHVFGFGRSGHEDCAVKCSLINGFTSFTDYLAKNDISDELNKIFINGVGSKRLGPDRQSWMIRIPQGKLMEFNMTDLAREADAPQQFNIYAYGLSRRTAVDRCVRISLIPGAPSFKEYLMAETVDPHLDGVYLSALPYGSGSANM
+>sp|Q9BXB5|OSB10_HUMAN Oxysterol-binding protein-related protein 10 OS=Homo sapiens OX=9606 GN=OSBPL10 PE=1 SV=2
+MERAVQGTDGGGGSNSSSRSSSRATSAGSSPSCSLAGRGVSSRSAAAGLGGGGSRSSPGSVAASPSGGGGRRREPALEGVLSKYTNLLQGWQNRYFVLDFEAGILQYFVNEQSKHQKPRGVLSLSGAIVSLSDEAPHMLVVYSANGEMFKLRAADAKEKQFWVTQLRACAKYHMEMNSKSAPSSRSRSLTLLPHGTPNSASPCSQRHLSVGAPGVVTITHHKSPAAARRAKSQYSGQLHEVREMMNQVEGQQKNLVHAIESLPGSGPLTALDQDLLLLKATSAATLSCLGECLNLLQQSVHQAGQPSQKPGASENILGWHGSKSHSTEQLKNGTLGSLPSASANITWAILPNSAEDEQTSQPEPEPNSGSELVLSEDEKSDNEDKEETELGVMEDQRSIILHLISQLKLGMDLTKVVLPTFILEKRSLLEMYADFMAHPDLLLAITAGATPEERVICFVEYYLTAFHEGRKGALAKKPYNPIIGETFHCSWEVPKDRVKPKRTASRSPASCHEHPMADDPSKSYKLRFVAEQVSHHPPISCFYCECEEKRLCVNTHVWTKSKFMGMSVGVSMIGEGVLRLLEHGEEYVFTLPSAYARSILTIPWVELGGKVSINCAKTGYSATVIFHTKPFYGGKVHRVTAEVKHNPTNTIVCKAHGEWNGTLEFTYNNGETKVIDTTTLPVYPKKIRPLEKQGPMESRNLWREVTRYLRLGDIDAATEQKRHLEEKQRVEERKRENLRTPWKPKYFIQEGDGWVYFNPLWKAH
+>DECOY_sp|Q9BXB5|OSB10_HUMAN Oxysterol-binding protein-related protein 10 OS=Homo sapiens OX=9606 GN=OSBPL10 PE=1 SV=2
+HAKWLPNFYVWGDGEQIFYKPKWPTRLNERKREEVRQKEELHRKQETAADIDGLRLYRTVERWLNRSEMPGQKELPRIKKPYVPLTTTDIVKTEGNNYTFELTGNWEGHAKCVITNTPNHKVEATVRHVKGGYFPKTHFIVTASYGTKACNISVKGGLEVWPITLISRAYASPLTFVYEEGHELLRLVGEGIMSVGVSMGMFKSKTWVHTNVCLRKEECECYFCSIPPHHSVQEAVFRLKYSKSPDDAMPHEHCSAPSRSATRKPKVRDKPVEWSCHFTEGIIPNYPKKALAGKRGEHFATLYYEVFCIVREEPTAGATIALLLDPHAMFDAYMELLSRKELIFTPLVVKTLDMGLKLQSILHLIISRQDEMVGLETEEKDENDSKEDESLVLESGSNPEPEPQSTQEDEASNPLIAWTINASASPLSGLTGNKLQETSHSKSGHWGLINESAGPKQSPQGAQHVSQQLLNLCEGLCSLTAASTAKLLLLDQDLATLPGSGPLSEIAHVLNKQQGEVQNMMERVEHLQGSYQSKARRAAAPSKHHTITVVGPAGVSLHRQSCPSASNPTGHPLLTLSRSRSSPASKSNMEMHYKACARLQTVWFQKEKADAARLKFMEGNASYVVLMHPAEDSLSVIAGSLSLVGRPKQHKSQENVFYQLIGAEFDLVFYRNQWGQLLNTYKSLVGELAPERRRGGGGSPSAAVSGPSSRSGGGGLGAAASRSSVGRGALSCSPSSGASTARSSSRSSSNSGGGGDTGQVAREM
+>sp|Q9H4L5|OSBL3_HUMAN Oxysterol-binding protein-related protein 3 OS=Homo sapiens OX=9606 GN=OSBPL3 PE=1 SV=1
+MMSDEKNLGVSQKLVSPSRSTSSCSSKQGSRQDSWEVVEGLRGEMNYTQEPPVQKGFLLKKRKWPLKGWHKRFFYLDKGILKYAKSQTDIEREKLHGCIDVGLSVMSVKKSSKCIDLDTEEHIYHLKVKSEEVFDEWVSKLRHHRMYRQNEIAMFPHEVNHFFSGSTITDSSSGVFDSISSRKRSSISKQNLFQTGSNVSFSCGGETRVPLWLQSSEDMEKCSKDLAHCHAYLVEMSQLLQSMDVLHRTYSAPAINAIQGGSFESPKKEKRSHRRWRSRAIGKDAKGTLQVPKPFSGPVRLHSSNPNLSTLDFGEEKNYSDGSETSSEFSKMQEDLCHIAHKVYFTLRSAFNIMSAEREKLKQLMEQDASSSPSAQVIGLKNALSSALAQNTDLKERLRRIHAESLLLDSPAVAKSGDNLAEENSRDENRALVHQLSNESRLSITDSLSEFFDAQEVLLSPSSSENEISDDDSYVSDISDNLSLDNLSNDLDNERQTLGPVLDSGREAKSRRRTCLPAPCPSSSNISLWNILRNNIGKDLSKVAMPVELNEPLNTLQRLCEELEYSELLDKAAQIPSPLERMVYVAAFAISAYASSYYRAGSKPFNPVLGETYECIREDKGFQFFSEQVSHHPPISACHAESRNFVFWQDVRWKNKFWGKSMEIVPIGTTHVTLPVFGDHFEWNKVTSCIHNILSGQRWIEHYGEIVIKNLHDDSCYCKVNFIKAKYWSTNAHEIEGTVFDRSGKAVHRLFGKWHESIYCGGGSSSACVWRANPMPKGYEQYYSFTQFALELNEMDPSSKSLLPPTDTRFRPDQRFLEEGNLEEAEIQKQRIEQLQRERRRVLEENHVEHQPRFFRKSDDDSWVSNGTYLELRKDLGFSKLDHPVLW
+>DECOY_sp|Q9H4L5|OSBL3_HUMAN Oxysterol-binding protein-related protein 3 OS=Homo sapiens OX=9606 GN=OSBPL3 PE=1 SV=1
+WLVPHDLKSFGLDKRLELYTGNSVWSDDDSKRFFRPQHEVHNEELVRRRERQLQEIRQKQIEAEELNGEELFRQDPRFRTDTPPLLSKSSPDMENLELAFQTFSYYQEYGKPMPNARWVCASSSGGGCYISEHWKGFLRHVAKGSRDFVTGEIEHANTSWYKAKIFNVKCYCSDDHLNKIVIEGYHEIWRQGSLINHICSTVKNWEFHDGFVPLTVHTTGIPVIEMSKGWFKNKWRVDQWFVFNRSEAHCASIPPHHSVQESFFQFGKDERICEYTEGLVPNFPKSGARYYSSAYASIAFAAVYVMRELPSPIQAAKDLLESYELEECLRQLTNLPENLEVPMAVKSLDKGINNRLINWLSINSSSPCPAPLCTRRRSKAERGSDLVPGLTQRENDLDNSLNDLSLNDSIDSVYSDDDSIENESSSPSLLVEQADFFESLSDTISLRSENSLQHVLARNEDRSNEEALNDGSKAVAPSDLLLSEAHIRRLREKLDTNQALASSLANKLGIVQASPSSSADQEMLQKLKEREASMINFASRLTFYVKHAIHCLDEQMKSFESSTESGDSYNKEEGFDLTSLNPNSSHLRVPGSFPKPVQLTGKADKGIARSRWRRHSRKEKKPSEFSGGQIANIAPASYTRHLVDMSQLLQSMEVLYAHCHALDKSCKEMDESSQLWLPVRTEGGCSFSVNSGTQFLNQKSISSRKRSSISDFVGSSSDTITSGSFFHNVEHPFMAIENQRYMRHHRLKSVWEDFVEESKVKLHYIHEETDLDICKSSKKVSMVSLGVDICGHLKEREIDTQSKAYKLIGKDLYFFRKHWGKLPWKRKKLLFGKQVPPEQTYNMEGRLGEVVEWSDQRSGQKSSCSSTSRSPSVLKQSVGLNKEDSMM
+>sp|Q9Y236|OSGI2_HUMAN Oxidative stress-induced growth inhibitor 2 OS=Homo sapiens OX=9606 GN=OSGIN2 PE=2 SV=1
+MPLVEETSLLEDSSVTFPVVIIGNGPSGICLSYMLSGYRPYLSSEAIHPNTILNSKLEEARHLSIVDQDLEYLSEGLEGRSSNPVAVLFDTLLHPDADFGYDYPSVLHWKLEQHHYIPHVVLGKGPPGGAWHNMEGSMLTISFGSWMELPGLKFKDWVSSKRRSLKGDRVMPEEIARYYKHYVKVMGLQKNFRENTYITSVSRLYRDQDDDDIQDRDISTKHLQIEKSNFIKRNWEIRGYQRIADGSHVPFCLFAENVALATGTLDSPAHLEIEGEDFPFVFHSMPEFGAAINKGKLRGKVDPVLIVGSGLTAADAVLCAYNSNIPVIHVFRRRVTDPSLIFKQLPKKLYPEYHKVYHMMCTQSYSVDSNLLSDYTSFPEHRVLSFKSDMKCVLQSVSGLKKIFKLSAAVVLIGSHPNLSFLKDQGCYLGHKSSQPITCKGNPVEIDTYTYECIKEANLFALGPLVGDNFVRFLKGGALGVTRCLATRQKKKHLFVERGGGDGIA
+>DECOY_sp|Q9Y236|OSGI2_HUMAN Oxidative stress-induced growth inhibitor 2 OS=Homo sapiens OX=9606 GN=OSGIN2 PE=2 SV=1
+AIGDGGGREVFLHKKKQRTALCRTVGLAGGKLFRVFNDGVLPGLAFLNAEKICEYTYTDIEVPNGKCTIPQSSKHGLYCGQDKLFSLNPHSGILVVAASLKFIKKLGSVSQLVCKMDSKFSLVRHEPFSTYDSLLNSDVSYSQTCMMHYVKHYEPYLKKPLQKFILSPDTVRRRFVHIVPINSNYACLVADAATLGSGVILVPDVKGRLKGKNIAAGFEPMSHFVFPFDEGEIELHAPSDLTGTALAVNEAFLCFPVHSGDAIRQYGRIEWNRKIFNSKEIQLHKTSIDRDQIDDDDQDRYLRSVSTIYTNERFNKQLGMVKVYHKYYRAIEEPMVRDGKLSRRKSSVWDKFKLGPLEMWSGFSITLMSGEMNHWAGGPPGKGLVVHPIYHHQELKWHLVSPYDYGFDADPHLLTDFLVAVPNSSRGELGESLYELDQDVISLHRAEELKSNLITNPHIAESSLYPRYGSLMYSLCIGSPGNGIIVVPFTVSSDELLSTEEVLPM
+>sp|Q99650|OSMR_HUMAN Oncostatin-M-specific receptor subunit beta OS=Homo sapiens OX=9606 GN=OSMR PE=1 SV=1
+MALFAVFQTTFFLTLLSLRTYQSEVLAERLPLTPVSLKVSTNSTRQSLHLQWTVHNLPYHQELKMVFQIQISRIETSNVIWVGNYSTTVKWNQVLHWSWESELPLECATHFVRIKSLVDDAKFPEPNFWSNWSSWEEVSVQDSTGQDILFVFPKDKLVEEGTNVTICYVSRNIQNNVSCYLEGKQIHGEQLDPHVTAFNLNSVPFIRNKGTNIYCEASQGNVSEGMKGIVLFVSKVLEEPKDFSCETEDFKTLHCTWDPGTDTALGWSKQPSQSYTLFESFSGEKKLCTHKNWCNWQITQDSQETYNFTLIAENYLRKRSVNILFNLTHRVYLMNPFSVNFENVNATNAIMTWKVHSIRNNFTYLCQIELHGEGKMMQYNVSIKVNGEYFLSELEPATEYMARVRCADASHFWKWSEWSGQNFTTLEAAPSEAPDVWRIVSLEPGNHTVTLFWKPLSKLHANGKILFYNVVVENLDKPSSSELHSIPAPANSTKLILDRCSYQICVIANNSVGASPASVIVISADPENKEVEEERIAGTEGGFSLSWKPQPGDVIGYVVDWCDHTQDVLGDFQWKNVGPNTTSTVISTDAFRPGVRYDFRIYGLSTKRIACLLEKKTGYSQELAPSDNPHVLVDTLTSHSFTLSWKDYSTESQPGFIQGYHVYLKSKARQCHPRFEKAVLSDGSECCKYKIDNPEEKALIVDNLKPESFYEFFITPFTSAGEGPSATFTKVTTPDEHSSMLIHILLPMVFCVLLIMVMCYLKSQWIKETCYPDIPDPYKSSILSLIKFKENPHLIIMNVSDCIPDAIEVVSKPEGTKIQFLGTRKSLTETELTKPNYLYLLPTEKNHSGPGPCICFENLTYNQAASDSGSCGHVPVSPKAPSMLGLMTSPENVLKALEKNYMNSLGEIPAGETSLNYVSQLASPMFGDKDSLPTNPVEAPHCSEYKMQMAVSLRLALPPPTENSSLSSITLLDPGEHYC
+>DECOY_sp|Q99650|OSMR_HUMAN Oncostatin-M-specific receptor subunit beta OS=Homo sapiens OX=9606 GN=OSMR PE=1 SV=1
+CYHEGPDLLTISSLSSNETPPPLALRLSVAMQMKYESCHPAEVPNTPLSDKDGFMPSALQSVYNLSTEGAPIEGLSNMYNKELAKLVNEPSTMLGLMSPAKPSVPVHGCSGSDSAAQNYTLNEFCICPGPGSHNKETPLLYLYNPKTLETETLSKRTGLFQIKTGEPKSVVEIADPICDSVNMIILHPNEKFKILSLISSKYPDPIDPYCTEKIWQSKLYCMVMILLVCFVMPLLIHILMSSHEDPTTVKTFTASPGEGASTFPTIFFEYFSEPKLNDVILAKEEPNDIKYKCCESGDSLVAKEFRPHCQRAKSKLYVHYGQIFGPQSETSYDKWSLTFSHSTLTDVLVHPNDSPALEQSYGTKKELLCAIRKTSLGYIRFDYRVGPRFADTSIVTSTTNPGVNKWQFDGLVDQTHDCWDVVYGIVDGPQPKWSLSFGGETGAIREEEVEKNEPDASIVIVSAPSAGVSNNAIVCIQYSCRDLILKTSNAPAPISHLESSSPKDLNEVVVNYFLIKGNAHLKSLPKWFLTVTHNGPELSVIRWVDPAESPAAELTTFNQGSWESWKWFHSADACRVRAMYETAPELESLFYEGNVKISVNYQMMKGEGHLEIQCLYTFNNRISHVKWTMIANTANVNEFNVSFPNMLYVRHTLNFLINVSRKRLYNEAILTFNYTEQSDQTIQWNCWNKHTCLKKEGSFSEFLTYSQSPQKSWGLATDTGPDWTCHLTKFDETECSFDKPEELVKSVFLVIGKMGESVNGQSAECYINTGKNRIFPVSNLNFATVHPDLQEGHIQKGELYCSVNNQINRSVYCITVNTGEEVLKDKPFVFLIDQGTSDQVSVEEWSSWNSWFNPEPFKADDVLSKIRVFHTACELPLESEWSWHLVQNWKVTTSYNGVWIVNSTEIRSIQIQFVMKLEQHYPLNHVTWQLHLSQRTSNTSVKLSVPTLPLREALVESQYTRLSLLTLFFTTQFVAFLAM
+>sp|Q6GQQ9|OTU7B_HUMAN OTU domain-containing protein 7B OS=Homo sapiens OX=9606 GN=OTUD7B PE=1 SV=1
+MTLDMDAVLSDFVRSTGAEPGLARDLLEGKNWDVNAALSDFEQLRQVHAGNLPPSFSEGSGGSRTPEKGFSDREPTRPPRPILQRQDDIVQEKRLSRGISHASSSIVSLARSHVSSNGGGGGSNEHPLEMPICAFQLPDLTVYNEDFRSFIERDLIEQSMLVALEQAGRLNWWVSVDPTSQRLLPLATTGDGNCLLHAASLGMWGFHDRDLMLRKALYALMEKGVEKEALKRRWRWQQTQQNKESGLVYTEDEWQKEWNELIKLASSEPRMHLGTNGANCGGVESSEEPVYESLEEFHVFVLAHVLRRPIVVVADTMLRDSGGEAFAPIPFGGIYLPLEVPASQCHRSPLVLAYDQAHFSALVSMEQKENTKEQAVIPLTDSEYKLLPLHFAVDPGKGWEWGKDDSDNVRLASVILSLEVKLHLLHSYMNVKWIPLSSDAQAPLAQPESPTASAGDEPRSTPESGDSDKESVGSSSTSNEGGRRKEKSKRDREKDKKRADSVANKLGSFGKTLGSKLKKNMGGLMHSKGSKPGGVGTGLGGSSGTETLEKKKKNSLKSWKGGKEEAAGDGPVSEKPPAESVGNGGSKYSQEVMQSLSILRTAMQGEGKFIFVGTLKMGHRHQYQEEMIQRYLSDAEERFLAEQKQKEAERKIMNGGIGGGPPPAKKPEPDAREEQPTGPPAESRAMAFSTGYPGDFTIPRPSGGGVHCQEPRRQLAGGPCVGGLPPYATFPRQCPPGRPYPHQDSIPSLEPGSHSKDGLHRGALLPPPYRVADSYSNGYREPPEPDGWAGGLRGLPPTQTKCKQPNCSFYGHPETNNFCSCCYREELRRREREPDGELLVHRF
+>DECOY_sp|Q6GQQ9|OTU7B_HUMAN OTU domain-containing protein 7B OS=Homo sapiens OX=9606 GN=OTUD7B PE=1 SV=1
+FRHVLLEGDPERERRRLEERYCCSCFNNTEPHGYFSCNPQKCKTQTPPLGRLGGAWGDPEPPERYGNSYSDAVRYPPPLLAGRHLGDKSHSGPELSPISDQHPYPRGPPCQRPFTAYPPLGGVCPGGALQRRPEQCHVGGGSPRPITFDGPYGTSFAMARSEAPPGTPQEERADPEPKKAPPPGGGIGGNMIKREAEKQKQEALFREEADSLYRQIMEEQYQHRHGMKLTGVFIFKGEGQMATRLISLSQMVEQSYKSGGNGVSEAPPKESVPGDGAAEEKGGKWSKLSNKKKKELTETGSSGGLGTGVGGPKSGKSHMLGGMNKKLKSGLTKGFSGLKNAVSDARKKDKERDRKSKEKRRGGENSTSSSGVSEKDSDGSEPTSRPEDGASATPSEPQALPAQADSSLPIWKVNMYSHLLHLKVELSLIVSALRVNDSDDKGWEWGKGPDVAFHLPLLKYESDTLPIVAQEKTNEKQEMSVLASFHAQDYALVLPSRHCQSAPVELPLYIGGFPIPAFAEGGSDRLMTDAVVVIPRRLVHALVFVHFEELSEYVPEESSEVGGCNAGNTGLHMRPESSALKILENWEKQWEDETYVLGSEKNQQTQQWRWRRKLAEKEVGKEMLAYLAKRLMLDRDHFGWMGLSAAHLLCNGDGTTALPLLRQSTPDVSVWWNLRGAQELAVLMSQEILDREIFSRFDENYVTLDPLQFACIPMELPHENSGGGGGNSSVHSRALSVISSSAHSIGRSLRKEQVIDDQRQLIPRPPRTPERDSFGKEPTRSGGSGESFSPPLNGAHVQRLQEFDSLAANVDWNKGELLDRALGPEAGTSRVFDSLVADMDLTM
+>sp|Q96DC9|OTUB2_HUMAN Ubiquitin thioesterase OTUB2 OS=Homo sapiens OX=9606 GN=OTUB2 PE=1 SV=2
+MSETSFNLISEKCDILSILRDHPENRIYRRKIEELSKRFTAIRKTKGDGNCFYRALGYSYLESLLGKSREIFKFKERVLQTPNDLLAAGFEEHKFRNFFNAFYSVVELVEKDGSVSSLLKVFNDQSASDHIVQFLRLLTSAFIRNRADFFRHFIDEEMDIKDFCTHEVEPMATECDHIQITALSQALSIALQVEYVDEMDTALNHHVFPEAATPSVYLLYKTSHYNILYAADKH
+>DECOY_sp|Q96DC9|OTUB2_HUMAN Ubiquitin thioesterase OTUB2 OS=Homo sapiens OX=9606 GN=OTUB2 PE=1 SV=2
+HKDAAYLINYHSTKYLLYVSPTAAEPFVHHNLATDMEDVYEVQLAISLAQSLATIQIHDCETAMPEVEHTCFDKIDMEEDIFHRFFDARNRIFASTLLRLFQVIHDSASQDNFVKLLSSVSGDKEVLEVVSYFANFFNRFKHEEFGAALLDNPTQLVREKFKFIERSKGLLSELYSYGLARYFCNGDGKTKRIATFRKSLEEIKRRYIRNEPHDRLISLIDCKESILNFSTESM
+>sp|P32242|OTX1_HUMAN Homeobox protein OTX1 OS=Homo sapiens OX=9606 GN=OTX1 PE=1 SV=1
+MMSYLKQPPYGMNGLGLAGPAMDLLHPSVGYPATPRKQRRERTTFTRSQLDVLEALFAKTRYPDIFMREEVALKINLPESRVQVWFKNRRAKCRQQQQSGSGTKSRPAKKKSSPVRESSGSESSGQFTPPAVSSSASSSSSASSSSANPAAAAAAGLGGNPVAAASSLSTPAASSIWSPASISPGSAPASVSVPEPLAAPSNTSCMQRSVAAGAATAAASYPMSYGQGGSYGQGYPTPSSSYFGGVDCSSYLAPMHSHHHPHQLSPMAPSSMAGHHHHHPHAHHPLSQSSGHHHHHHHHHHQGYGGSGLAFNSADCLDYKEPGAAAASSAWKLNFNSPDCLDYKDQASWRFQVL
+>DECOY_sp|P32242|OTX1_HUMAN Homeobox protein OTX1 OS=Homo sapiens OX=9606 GN=OTX1 PE=1 SV=1
+LVQFRWSAQDKYDLCDPSNFNLKWASSAAAAGPEKYDLCDASNFALGSGGYGQHHHHHHHHHHGSSQSLPHHAHPHHHHHGAMSSPAMPSLQHPHHHSHMPALYSSCDVGGFYSSSPTPYGQGYSGGQGYSMPYSAAATAAGAAVSRQMCSTNSPAALPEPVSVSAPASGPSISAPSWISSAAPTSLSSAAAVPNGGLGAAAAAAPNASSSSASSSSSASSSVAPPTFQGSSESGSSERVPSSKKKAPRSKTGSGSQQQQRCKARRNKFWVQVRSEPLNIKLAVEERMFIDPYRTKAFLAELVDLQSRTFTTRERRQKRPTAPYGVSPHLLDMAPGALGLGNMGYPPQKLYSMM
+>sp|O00110|OVOL3_HUMAN Putative transcription factor ovo-like protein 3 OS=Homo sapiens OX=9606 GN=OVOL3 PE=3 SV=3
+MPRAFLVRSRRPQPPNWGHLPDQLRGDAYIPGGPLTVPGGKGQERRSVTIWLFSSDCSSLGGPPAQQSSSVRDPWTAQPTQGNLTSAPRGPGTLGCPLCPKAFPLQRMLTRHLKCHSPVRRHLCRCCGKGFHDAFDLKRHMRTHTGIRPFRCSACGKAFTQRCSLEAHLAKVHGQPASYAYRERREKLHVCEDCGFTSSRPDTYAQHRALHRAA
+>DECOY_sp|O00110|OVOL3_HUMAN Putative transcription factor ovo-like protein 3 OS=Homo sapiens OX=9606 GN=OVOL3 PE=3 SV=3
+AARHLARHQAYTDPRSSTFGCDECVHLKERRERYAYSAPQGHVKALHAELSCRQTFAKGCASCRFPRIGTHTRMHRKLDFADHFGKGCCRCLHRRVPSHCKLHRTLMRQLPFAKPCLPCGLTGPGRPASTLNGQTPQATWPDRVSSSQQAPPGGLSSCDSSFLWITVSRREQGKGGPVTLPGGPIYADGRLQDPLHGWNPPQPRRSRVLFARPM
+>sp|Q6IE36|OVOS2_HUMAN Ovostatin homolog 2 OS=Homo sapiens OX=9606 GN=OVOS2 PE=2 SV=2
+MTGSHLSHDSWQYVLLIPSVLQEGSLDKACAQLFNLTESVVLTVSLNYGEVQTKIFEENVTGENFFKCISFEVPQARSDPLAFITFSAKGATLNLEERRSVAIRSRENVVFVQTDKPTYKPGQKDVNGIAQFFLDTYTFTYPNITLKDPQNNRIFQWQNVTSFRNITQLSFQLISEPMFGDYWIVVKRNSRKTVTHQFAVKRYVLPKFEVTVNAPQTVTISDDEFQVDVCAKYTFGQPVQGKTQIRVCREYFSSSNCEKNDNEICEQFIAQLENGCVSQIVNTKVFQLYRSGLFMTFHVAVIVTEFGTGNYCMQISEKTSVFITQLLGTVNFENMDTFYRRGISYFGTLKFSDPNNVPMVNKLLQLELNDEFIGNYTTDENGEAQFSIDTSDIFDPEFNLKATYVRPKSCYLPSWLTPQYLDAHFLVSRFYSRTNSFLKIVPEPKQLECNHQKVVTVHYSLNSEAYEDDSNVKFFYLVSLSHDSGNFQHRISSSPIYTAWNGNFSFPLSISADLAPAAVLFVYTLHPSGEIVADSVRFQVDKCFKHKVNIKFSNEQGLPGSNASLYLQAAPVLFCALGAVDGNVLLLKSEQQLSAESVYNMVPSIEPYGYFYHGLNLDDGKEDPCIPQRDMFYNGLYYTPVSNYGDGDIYNIVRNMGLKVFTNLHYRKPEVCVMERRLPLPKPLYLETENYGPMHSVPSRIACRGENADYVEQAIIQTVRTNFPETWMWDLVSVDSSGSANLSFLIPDTITQWEASGFCVNGDVGFGISSTTTLEVSQPFFIEIASPFSVVQNEQFDLIVNAFSYLNTCVEISVQVEESQNYEANINTWKINGSEVIQAGGRKTNIWTIIPKKLGKVNITVVAESKQSSACPNEGMEQQKLNWKDTVVKSFLVEPEGIEKERTQSFLICTEGAKASKQGVLDLPNDVVEGSARGFFTVVGDILGLAMQNLVVLQMPYGGGEQNAALLASDTYVLDYLKSTEQLTEEVQSKAFFLLSNGYQRQLSFKNSDGSYSVFWQQNQKGSIWLSALTFKTLERMKKFVFIDENVQKQTLIWLSSQQKTSGCFKNDGQLFNHAWEGGDEEDISLTAYVVGMFFEAGLNSTFPALRNALFCLEAALDSGVTNGYNHAILAYAFALAGKEKQVESLLQTLDQSATKLNNVIYWERERKPKTEEFPSFIPWAPSAQTEKSCYVLLAVISRKIPDLTYASKIVQWLAQQMNSHGGFSSNQVINVGLILIAICGEEGLFSKNQNTVTFSSEGSSEIQFNGHNRLLVQRSEVTQAPGQYTVDVEGRGCTFIQATLKYNVLLPKKASGFSLSLEIVKNYSLTVFDLTVNLKYTGIRNKSSMVVIDVKMLSGFTPTMSSIEELENKGQVMKTEVKNDHVLFYLENVFGRADSFTFSVEQSNLVFNIQPAPGMVYDYYEKDGEAFLLTN
+>DECOY_sp|Q6IE36|OVOS2_HUMAN Ovostatin homolog 2 OS=Homo sapiens OX=9606 GN=OVOS2 PE=2 SV=2
+NTLLFAEGDKEYYDYVMGPAPQINFVLNSQEVSFTFSDARGFVNELYFLVHDNKVETKMVQGKNELEEISSMTPTFGSLMKVDIVVMSSKNRIGTYKLNVTLDFVTLSYNKVIELSLSFGSAKKPLLVNYKLTAQIFTCGRGEVDVTYQGPAQTVESRQVLLRNHGNFQIESSGESSFTVTNQNKSFLGEEGCIAILILGVNIVQNSSFGGHSNMQQALWQVIKSAYTLDPIKRSIVALLVYCSKETQASPAWPIFSPFEETKPKREREWYIVNNLKTASQDLTQLLSEVQKEKGALAFAYALIAHNYGNTVGSDLAAELCFLANRLAPFTSNLGAEFFMGVVYATLSIDEEDGGEWAHNFLQGDNKFCGSTKQQSSLWILTQKQVNEDIFVFKKMRELTKFTLASLWISGKQNQQWFVSYSGDSNKFSLQRQYGNSLLFFAKSQVEETLQETSKLYDLVYTDSALLAANQEGGGYPMQLVVLNQMALGLIDGVVTFFGRASGEVVDNPLDLVGQKSAKAGETCILFSQTREKEIGEPEVLFSKVVTDKWNLKQQEMGENPCASSQKSEAVVTINVKGLKKPIITWINTKRGGAQIVESGNIKWTNINAEYNQSEEVQVSIEVCTNLYSFANVILDFQENQVVSFPSAIEIFFPQSVELTTTSSIGFGVDGNVCFGSAEWQTITDPILFSLNASGSSDVSVLDWMWTEPFNTRVTQIIAQEVYDANEGRCAIRSPVSHMPGYNETELYLPKPLPLRREMVCVEPKRYHLNTFVKLGMNRVINYIDGDGYNSVPTYYLGNYFMDRQPICPDEKGDDLNLGHYFYGYPEISPVMNYVSEASLQQESKLLLVNGDVAGLACFLVPAAQLYLSANSGPLGQENSFKINVKHKFCKDVQFRVSDAVIEGSPHLTYVFLVAAPALDASISLPFSFNGNWATYIPSSSIRHQFNGSDHSLSVLYFFKVNSDDEYAESNLSYHVTVVKQHNCELQKPEPVIKLFSNTRSYFRSVLFHADLYQPTLWSPLYCSKPRVYTAKLNFEPDFIDSTDISFQAEGNEDTTYNGIFEDNLELQLLKNVMPVNNPDSFKLTGFYSIGRRYFTDMNEFNVTGLLQTIFVSTKESIQMCYNGTGFETVIVAVHFTMFLGSRYLQFVKTNVIQSVCGNELQAIFQECIENDNKECNSSSFYERCVRIQTKGQVPQGFTYKACVDVQFEDDSITVTQPANVTVEFKPLVYRKVAFQHTVTKRSNRKVVIWYDGFMPESILQFSLQTINRFSTVNQWQFIRNNQPDKLTINPYTFTYTDLFFQAIGNVDKQGPKYTPKDTQVFVVNERSRIAVSRREELNLTAGKASFTIFALPDSRAQPVEFSICKFFNEGTVNEEFIKTQVEGYNLSVTLVVSETLNFLQACAKDLSGEQLVSPILLVYQWSDHSLHSGTM
+>sp|Q15070|OXA1L_HUMAN Mitochondrial inner membrane protein OXA1L OS=Homo sapiens OX=9606 GN=OXA1L PE=1 SV=3
+MAMGLMCGRRELLRLLQSGRRVHSVAGPSQWLGKPLTTRLLFPVAPCCCRPHYLFLAASGPRSLSTSAISFAEVQVQAPPVVAATPSPTAVPEVASGETADVVQTAAEQSFAELGLGSYTPVGLIQNLLEFMHVDLGLPWWGAIAACTVFARCLIFPLIVTGQREAARIHNHLPEIQKFSSRIREAKLAGDHIEYYKASSEMALYQKKHGIKLYKPLILPVTQAPIFISFFIALREMANLPVPSLQTGGLWWFQDLTVSDPIYILPLAVTATMWAVLELGAETGVQSSDLQWMRNVIRMMPLITLPITMHFPTAVFMYWLSSNLFSLVQVSCLRIPAVRTVLKIPQRVVHDLDKLPPREGFLESFKKGWKNAEMTRQLREREQRMRNQLELAARGPLRQTFTHNPLLQPGKDNPPNIPSSSSKPKSKYPWHDTLG
+>DECOY_sp|Q15070|OXA1L_HUMAN Mitochondrial inner membrane protein OXA1L OS=Homo sapiens OX=9606 GN=OXA1L PE=1 SV=3
+GLTDHWPYKSKPKSSSSPINPPNDKGPQLLPNHTFTQRLPGRAALELQNRMRQERERLQRTMEANKWGKKFSELFGERPPLKDLDHVVRQPIKLVTRVAPIRLCSVQVLSFLNSSLWYMFVATPFHMTIPLTILPMMRIVNRMWQLDSSQVGTEAGLELVAWMTATVALPLIYIPDSVTLDQFWWLGGTQLSPVPLNAMERLAIFFSIFIPAQTVPLILPKYLKIGHKKQYLAMESSAKYYEIHDGALKAERIRSSFKQIEPLHNHIRAAERQGTVILPFILCRAFVTCAAIAGWWPLGLDVHMFELLNQILGVPTYSGLGLEAFSQEAATQVVDATEGSAVEPVATPSPTAAVVPPAQVQVEAFSIASTSLSRPGSAALFLYHPRCCCPAVPFLLRTTLPKGLWQSPGAVSHVRRGSQLLRLLERRGCMLGMAM
+>sp|P14920|OXDA_HUMAN D-amino-acid oxidase OS=Homo sapiens OX=9606 GN=DAO PE=1 SV=3
+MRVVVIGAGVIGLSTALCIHERYHSVLQPLDIKVYADRFTPLTTTDVAAGLWQPYLSDPNNPQEADWSQQTFDYLLSHVHSPNAENLGLFLISGYNLFHEAIPDPSWKDTVLGFRKLTPRELDMFPDYGYGWFHTSLILEGKNYLQWLTERLTERGVKFFQRKVESFEEVAREGADVIVNCTGVWAGALQRDPLLQPGRGQIMKVDAPWMKHFILTHDPERGIYNSPYIIPGTQTVTLGGIFQLGNWSELNNIQDHNTIWEGCCRLEPTLKNARIIGERTGFRPVRPQIRLEREQLRTGPSNTEVIHNYGHGGYGLTIHWGCALEAAKLFGRILEEKKLSRMPPSHL
+>DECOY_sp|P14920|OXDA_HUMAN D-amino-acid oxidase OS=Homo sapiens OX=9606 GN=DAO PE=1 SV=3
+LHSPPMRSLKKEELIRGFLKAAELACGWHITLGYGGHGYNHIVETNSPGTRLQERELRIQPRVPRFGTREGIIRANKLTPELRCCGEWITNHDQINNLESWNGLQFIGGLTVTQTGPIIYPSNYIGREPDHTLIFHKMWPADVKMIQGRGPQLLPDRQLAGAWVGTCNVIVDAGERAVEEFSEVKRQFFKVGRETLRETLWQLYNKGELILSTHFWGYGYDPFMDLERPTLKRFGLVTDKWSPDPIAEHFLNYGSILFLGLNEANPSHVHSLLYDFTQQSWDAEQPNNPDSLYPQWLGAAVDTTTLPTFRDAYVKIDLPQLVSHYREHICLATSLGIVGAGIVVVRM
+>sp|Q8TDS5|OXER1_HUMAN Oxoeicosanoid receptor 1 OS=Homo sapiens OX=9606 GN=OXER1 PE=1 SV=1
+MLCHRGGQLIVPIIPLCPEHSCRGRRLQNLLSGPWPKQPMELHNLSSPSPSLSSSVLPPSFSPSPSSAPSAFTTVGGSSGGPCHPTSSSLVSAFLAPILALEFVLGLVGNSLALFIFCIHTRPWTSNTVFLVSLVAADFLLISNLPLRVDYYLLHETWRFGAAACKVNLFMLSTNRTASVVFLTAIALNRYLKVVQPHHVLSRASVGAAARVAGGLWVGILLLNGHLLLSTFSGPSCLSYRVGTKPSASLRWHQALYLLEFFLPLALILFAIVSIGLTIRNRGLGGQAGPQRAMRVLAMVVAVYTICFLPSIIFGMASMVAFWLSACRSLDLCTQLFHGSLAFTYLNSVLDPVLYCFSSPNFLHQSRALLGLTRGRQGPVSDESSYQPSRQWRYREASRKAEAIGKLKVQGEVSLEKEGSSQG
+>DECOY_sp|Q8TDS5|OXER1_HUMAN Oxoeicosanoid receptor 1 OS=Homo sapiens OX=9606 GN=OXER1 PE=1 SV=1
+GQSSGEKELSVEGQVKLKGIAEAKRSAERYRWQRSPQYSSEDSVPGQRGRTLGLLARSQHLFNPSSFCYLVPDLVSNLYTFALSGHFLQTCLDLSRCASLWFAVMSAMGFIISPLFCITYVAVVMALVRMARQPGAQGGLGRNRITLGISVIAFLILALPLFFELLYLAQHWRLSASPKTGVRYSLCSPGSFTSLLLHGNLLLIGVWLGGAVRAAAGVSARSLVHHPQVVKLYRNLAIATLFVVSATRNTSLMFLNVKCAAAGFRWTEHLLYYDVRLPLNSILLFDAAVLSVLFVTNSTWPRTHICFIFLALSNGVLGLVFELALIPALFASVLSSSTPHCPGGSSGGVTTFASPASSPSPSFSPPLVSSSLSPSPSSLNHLEMPQKPWPGSLLNQLRRGRCSHEPCLPIIPVILQGGRHCLM
+>sp|Q96HP4|OXND1_HUMAN Oxidoreductase NAD-binding domain-containing protein 1 OS=Homo sapiens OX=9606 GN=OXNAD1 PE=1 SV=1
+MACAAVMIPGLLRCSVGAIRIEAASLRLTLSTLRHLTLTSIMKSKRKTDHMERTASVLRREIVSAAKVCGAASESPSVKSLRLLVADQDFSFKAGQWVDFFIPGVSVVGGFSICSSPRLLEQERVIELAVKYTNHPPALWVHNTCTLDCEVAVRVGGEFFFDPQPADASRNLVLIAGGVGINPLLSILRHAADLLREQANKRNGYEIGTIKLFYSAKNTSELLFKKNILDLVNEFPEKIACSLHVTKQTTQINAELKPYITEGRITEKEIRDHISKETLFYICGPPPMTDFFSKQLENNHVPKEHICFEKWW
+>DECOY_sp|Q96HP4|OXND1_HUMAN Oxidoreductase NAD-binding domain-containing protein 1 OS=Homo sapiens OX=9606 GN=OXNAD1 PE=1 SV=1
+WWKEFCIHEKPVHNNELQKSFFDTMPPPGCIYFLTEKSIHDRIEKETIRGETIYPKLEANIQTTQKTVHLSCAIKEPFENVLDLINKKFLLESTNKASYFLKITGIEYGNRKNAQERLLDAAHRLISLLPNIGVGGAILVLNRSADAPQPDFFFEGGVRVAVECDLTCTNHVWLAPPHNTYKVALEIVREQELLRPSSCISFGGVVSVGPIFFDVWQGAKFSFDQDAVLLRLSKVSPSESAAGCVKAASVIERRLVSATREMHDTKRKSKMISTLTLHRLTSLTLRLSAAEIRIAGVSCRLLGPIMVAACAM
+>sp|Q8N573|OXR1_HUMAN Oxidation resistance protein 1 OS=Homo sapiens OX=9606 GN=OXR1 PE=1 SV=2
+MTKDKNSPGLKKKSQSVDINAPGFNPLAGAGKQTPQASKPPAPKTPIIEEEQNNAANTQKHPSRRSELKRFYTIDTGQKKTLDKKDGRRMSFQKPKGTIEYTVESRDSLNSIALKFDTTPNELVQLNKLFSRAVVTGQVLYVPDPEYVSSVESSPSLSPVSPLSPTSSEAEFDKTTNPDVHPTEATPSSTFTGIRPARVVSSTSEEEEAFTEKFLKINCKYITSGKGTVSGVLLVTPNNIMFDPHKNDPLVQENGCEEYGIMCPMEEVMSAAMYKEILDSKIKESLPIDIDQLSGRDFCHSKKMTGSNTEEIDSRIRDAGNDSASTAPRSTEESLSEDVFTESELSPIREELVSSDELRQDKSSGASSESVQTVNQAEVESLTVKSESTGTPGHLRSDTEHSTNEVGTLCHKTDLNNLEMAIKEDQIADNFQGISGPKEDSTSIKGNSDQDSFLHENSLHQEESQKENMPCGETAEFKQKQSVNKGKQGKEQNQDSQTEAEELRKLWKTHTMQQTKQQRENIQQVSQKEAKHKITSADGHIESSALLKEKQRHRLHKFLCLRVGKPMRKTFVSQASATMQQYAQRDKKHEYWFAVPQERTDHLYAFFIQWSPEIYAEDTGEYTREPGFIVVKKIEESETIEDSSNQAAAREWEVVSVAEYHRRIDALNTEELRTLCRRLQITTREDINSKQVATVKADLESESFRPNLSDPSELLLPDQIEKLTKHLPPRTIGYPWTLVYGTGKHGTSLKTLYRTMTGLDTPVLMVIKDSDGQVFGALASEPLKVSDGFYGTGETFVFTFCPEFEVFKWTGDNMFFIKGDMDSLAFGGGGGEFALWLDGDLYHGRSHSCKTFGNRTLSKKEDFFIQDIEIWAFE
+>DECOY_sp|Q8N573|OXR1_HUMAN Oxidation resistance protein 1 OS=Homo sapiens OX=9606 GN=OXR1 PE=1 SV=2
+EFAWIEIDQIFFDEKKSLTRNGFTKCSHSRGHYLDGDLWLAFEGGGGGFALSDMDGKIFFMNDGTWKFVEFEPCFTFVFTEGTGYFGDSVKLPESALAGFVQGDSDKIVMLVPTDLGTMTRYLTKLSTGHKGTGYVLTWPYGITRPPLHKTLKEIQDPLLLESPDSLNPRFSESELDAKVTAVQKSNIDERTTIQLRRCLTRLEETNLADIRRHYEAVSVVEWERAAAQNSSDEITESEEIKKVVIFGPERTYEGTDEAYIEPSWQIFFAYLHDTREQPVAFWYEHKKDRQAYQQMTASAQSVFTKRMPKGVRLCLFKHLRHRQKEKLLASSEIHGDASTIKHKAEKQSVQQINERQQKTQQMTHTKWLKRLEEAETQSDQNQEKGQKGKNVSQKQKFEATEGCPMNEKQSEEQHLSNEHLFSDQDSNGKISTSDEKPGSIGQFNDAIQDEKIAMELNNLDTKHCLTGVENTSHETDSRLHGPTGTSESKVTLSEVEAQNVTQVSESSAGSSKDQRLEDSSVLEERIPSLESETFVDESLSEETSRPATSASDNGADRIRSDIEETNSGTMKKSHCFDRGSLQDIDIPLSEKIKSDLIEKYMAASMVEEMPCMIGYEECGNEQVLPDNKHPDFMINNPTVLLVGSVTGKGSTIYKCNIKLFKETFAEEEESTSSVVRAPRIGTFTSSPTAETPHVDPNTTKDFEAESSTPSLPSVPSLSPSSEVSSVYEPDPVYLVQGTVVARSFLKNLQVLENPTTDFKLAISNLSDRSEVTYEITGKPKQFSMRRGDKKDLTKKQGTDITYFRKLESRRSPHKQTNAANNQEEEIIPTKPAPPKSAQPTQKGAGALPNFGPANIDVSQSKKKLGPSNKDKTM
+>sp|Q9NWU1|OXSM_HUMAN 3-oxoacyl-[acyl-carrier-protein] synthase, mitochondrial OS=Homo sapiens OX=9606 GN=OXSM PE=1 SV=1
+MSNCLQNFLKITSTRLLCSRLCQQLRSKRKFFGTVPISRLHRRVVITGIGLVTPLGVGTHLVWDRLIGGESGIVSLVGEEYKSIPCSVAAYVPRGSDEGQFNEQNFVSKSDIKSMSSPTIMAIGAAELAMKDSGWHPQSEADQVATGVAIGMGMIPLEVVSETALNFQTKGYNKVSPFFVPKILVNMAAGQVSIRYKLKGPNHAVSTACTTGAHAVGDSFRFIAHGDADVMVAGGTDSCISPLSLAGFSRARALSTNSDPKLACRPFHPKRDGFVMGEGAAVLVLEEYEHAVQRRARIYAEVLGYGLSGDAGHITAPDPEGEGALRCMAAALKDAGVQPEEISYINAHATSTPLGDAAENKAIKHLFKDHAYALAVSSTKGATGHLLGAAGAVEAAFTTLACYYQKLPPTLNLDCSEPEFDLNYVPLKAQEWKTEKRFIGLTNSFGFGGTNATLCIAGL
+>DECOY_sp|Q9NWU1|OXSM_HUMAN 3-oxoacyl-[acyl-carrier-protein] synthase, mitochondrial OS=Homo sapiens OX=9606 GN=OXSM PE=1 SV=1
+LGAICLTANTGGFGFSNTLGIFRKETKWEQAKLPVYNLDFEPESCDLNLTPPLKQYYCALTTFAAEVAGAAGLLHGTAGKTSSVALAYAHDKFLHKIAKNEAADGLPTSTAHANIYSIEEPQVGADKLAAAMCRLAGEGEPDPATIHGADGSLGYGLVEAYIRARRQVAHEYEELVLVAAGEGMVFGDRKPHFPRCALKPDSNTSLARARSFGALSLPSICSDTGGAVMVDADGHAIFRFSDGVAHAGTTCATSVAHNPGKLKYRISVQGAAMNVLIKPVFFPSVKNYGKTQFNLATESVVELPIMGMGIAVGTAVQDAESQPHWGSDKMALEAAGIAMITPSSMSKIDSKSVFNQENFQGEDSGRPVYAAVSCPISKYEEGVLSVIGSEGGILRDWVLHTGVGLPTVLGIGTIVVRRHLRSIPVTGFFKRKSRLQQCLRSCLLRTSTIKLFNQLCNSM
+>sp|O95747|OXSR1_HUMAN Serine/threonine-protein kinase OSR1 OS=Homo sapiens OX=9606 GN=OXSR1 PE=1 SV=1
+MSEDSSALPWSINRDDYELQEVIGSGATAVVQAAYCAPKKEKVAIKRINLEKCQTSMDELLKEIQAMSQCHHPNIVSYYTSFVVKDELWLVMKLLSGGSVLDIIKHIVAKGEHKSGVLDESTIATILREVLEGLEYLHKNGQIHRDVKAGNILLGEDGSVQIADFGVSAFLATGGDITRNKVRKTFVGTPCWMAPEVMEQVRGYDFKADIWSFGITAIELATGAAPYHKYPPMKVLMLTLQNDPPSLETGVQDKEMLKKYGKSFRKMISLCLQKDPEKRPTAAELLRHKFFQKAKNKEFLQEKTLQRAPTISERAKKVRRVPGSSGRLHKTEDGGWEWSDDEFDEESEEGKAAISQLRSPRVKESISNSELFPTTDPVGTLLQVPEQISAHLPQPAGQIATQPTQVSLPPTAEPAKTAQALSSGSGSQETKIPISLVLRLRNSKKELNDIRFEFTPGRDTAEGVSQELISAGLVDGRDLVIVAANLQKIVEEPQSNRSVTFKLASGVEGSDIPDDGKLIGFAQLSIS
+>DECOY_sp|O95747|OXSR1_HUMAN Serine/threonine-protein kinase OSR1 OS=Homo sapiens OX=9606 GN=OXSR1 PE=1 SV=1
+SISLQAFGILKGDDPIDSGEVGSALKFTVSRNSQPEEVIKQLNAAVIVLDRGDVLGASILEQSVGEATDRGPTFEFRIDNLEKKSNRLRLVLSIPIKTEQSGSGSSLAQATKAPEATPPLSVQTPQTAIQGAPQPLHASIQEPVQLLTGVPDTTPFLESNSISEKVRPSRLQSIAAKGEESEEDFEDDSWEWGGDETKHLRGSSGPVRRVKKARESITPARQLTKEQLFEKNKAKQFFKHRLLEAATPRKEPDKQLCLSIMKRFSKGYKKLMEKDQVGTELSPPDNQLTLMLVKMPPYKHYPAAGTALEIATIGFSWIDAKFDYGRVQEMVEPAMWCPTGVFTKRVKNRTIDGGTALFASVGFDAIQVSGDEGLLINGAKVDRHIQGNKHLYELGELVERLITAITSEDLVGSKHEGKAVIHKIIDLVSGGSLLKMVLWLEDKVVFSTYYSVINPHHCQSMAQIEKLLEDMSTQCKELNIRKIAVKEKKPACYAAQVVATAGSGIVEQLEYDDRNISWPLASSDESM
+>sp|Q6GTS8|P20D1_HUMAN N-fatty-acyl-amino acid synthase/hydrolase PM20D1 OS=Homo sapiens OX=9606 GN=PM20D1 PE=2 SV=3
+MAQRCVCVLALVAMLLLVFPTVSRSMGPRSGEHQRASRIPSQFSKEERVAMKEALKGAIQIPTVTFSSEKSNTTALAEFGKYIHKVFPTVVSTSFIQHEVVEEYSHLFTIQGSDPSLQPYLLMAHFDVVPAPEEGWEVPPFSGLERDGIIYGRGTLDDKNSVMALLQALELLLIRKYIPRRSFFISLGHDEESSGTGAQRISALLQSRGVQLAFIVDEGGFILDDFIPNFKKPIALIAVSEKGSMNLMLQVNMTSGHSSAPPKETSIGILAAAVSRLEQTPMPIIFGSGTVVTVLQQLANEFPFPVNIILSNPWLFEPLISRFMERNPLTNAIIRTTTALTIFKAGVKFNVIPPVAQATVNFRIHPGQTVQEVLELTKNIVADNRVQFHVLSAFDPLPVSPSDDKALGYQLLRQTVQSVFPEVNITAPVTSIGNTDSRFFTNLTTGIYRFYPIYIQPEDFKRIHGVNEKISVQAYETQVKFIFELIQNADTDQEPVSHLHKL
+>DECOY_sp|Q6GTS8|P20D1_HUMAN N-fatty-acyl-amino acid synthase/hydrolase PM20D1 OS=Homo sapiens OX=9606 GN=PM20D1 PE=2 SV=3
+LKHLHSVPEQDTDANQILEFIFKVQTEYAQVSIKENVGHIRKFDEPQIYIPYFRYIGTTLNTFFRSDTNGISTVPATINVEPFVSQVTQRLLQYGLAKDDSPSVPLPDFASLVHFQVRNDAVINKTLELVEQVTQGPHIRFNVTAQAVPPIVNFKVGAKFITLATTTRIIANTLPNREMFRSILPEFLWPNSLIINVPFPFENALQQLVTVVTGSGFIIPMPTQELRSVAAALIGISTEKPPASSHGSTMNVQLMLNMSGKESVAILAIPKKFNPIFDDLIFGGEDVIFALQVGRSQLLASIRQAGTGSSEEDHGLSIFFSRRPIYKRILLLELAQLLAMVSNKDDLTGRGYIIGDRELGSFPPVEWGEEPAPVVDFHAMLLYPQLSPDSGQITFLHSYEEVVEHQIFSTSVVTPFVKHIYKGFEALATTNSKESSFTVTPIQIAGKLAEKMAVREEKSFQSPIRSARQHEGSRPGMSRSVTPFVLLLMAVLALVCVCRQAM
+>sp|Q8IYS1|P20D2_HUMAN Peptidase M20 domain-containing protein 2 OS=Homo sapiens OX=9606 GN=PM20D2 PE=1 SV=2
+MRPGGERPVEGGACNGRSELELLKLRSAECIDEAAERLGALSRAIWSQPELAYEEHHAHRVLTHFFEREPPAASWAVQPHYQLPTAFRAEWEPPEARAPSATPRPLHLGFLCEYDALPGIGHACGHNLIAEVGAAAALGVRGALEGLPRPPPPVKVVVLGTPAEEDGGGKIDLIEAGAFTNLDVVFMAHPSQENAAYLPDMAEHDVTVKYYGKASHSASYPWEGLNALDAAVLAYNNLSVFRQQMKPTWRVHGIIKNGGVKPNIIPSYSELIYYFRAPSMKELQVLTKKAEDCFRAAALASGCTVEIKGGAHDYYNVLPNKSLWKAYMENGRKLGIEFISEDTMLNGPSGSTDFGNVSFVVPGIHPYFHIGSNALNHTEQYTEAAGSQEAQFYTLRTAKALAMTALDVIFKPELLEGIREDFKLKLQEEQFVNAVE
+>DECOY_sp|Q8IYS1|P20D2_HUMAN Peptidase M20 domain-containing protein 2 OS=Homo sapiens OX=9606 GN=PM20D2 PE=1 SV=2
+EVANVFQEEQLKLKFDERIGELLEPKFIVDLATMALAKATRLTYFQAEQSGAAETYQETHNLANSGIHFYPHIGPVVFSVNGFDTSGSPGNLMTDESIFEIGLKRGNEMYAKWLSKNPLVNYYDHAGGKIEVTCGSALAAARFCDEAKKTLVQLEKMSPARFYYILESYSPIINPKVGGNKIIGHVRWTPKMQQRFVSLNNYALVAADLANLGEWPYSASHSAKGYYKVTVDHEAMDPLYAANEQSPHAMFVVDLNTFAGAEILDIKGGGDEEAPTGLVVVKVPPPPRPLGELAGRVGLAAAAGVEAILNHGCAHGIGPLADYECLFGLHLPRPTASPARAEPPEWEARFATPLQYHPQVAWSAAPPEREFFHTLVRHAHHEEYALEPQSWIARSLAGLREAAEDICEASRLKLLELESRGNCAGGEVPREGGPRM
+>sp|Q06190|P2R3A_HUMAN Serine/threonine-protein phosphatase 2A regulatory subunit B'' subunit alpha OS=Homo sapiens OX=9606 GN=PPP2R3A PE=1 SV=1
+MAATYRLVVSTVNHYSSVVIDRRFEQAIHYCTGTCHTFTHGIDCIVVHHSVCADLLHIPVSQFKDADLNSMFLPHENGLSSAEGDYPQQAFTGIPRVKRGSTFQNTYNLKDIAGEAISFASGKIKEFSFEKLKNSNHAAYRKGRKVKSDSFNRRSVDLDLLCGHYNNDGNAPSFGLLRSSSVEEKPLSHRNSLDTNLTSMFLQNFSEEDLVTQILEKHKIDNFSSGTDIKMCLDILLKCSEDLKKCTDIIKQCIKKKSGSSISEGSGNDTISSSETVYMNVMTRLASYLKKLPFEFMQSGNNEALDLTELISNMPSLQLTPFSPVFGTEQPPKYEDVVQLSASDSGRFQTIELQNDKPNSRKMDTVQSIPNNSTNSLYNLEVNDPRTLKAVQVQSQSLTMNPLENVSSDDLMETLYIEEESDGKKALDKGQKTENGPSHELLKVNEHRAEFPEHATHLKKCPTPMQNEIGKIFEKSFVNLPKEDCKSKVSKFEEGDQRDFTNSSSQEEIDKLLMDLESFSQKMETSLREPLAKGKNSNFLNSHSQLTGQTLVDLEPKSKVSSPIEKVSPSCLTRIIETNGHKIEEEDRALLLRILESIEDFAQELVECKSSRGSLSQEKEMMQILQETLTTSSQANLSVCRSPVGDKAKDTTSAVLIQQTPEVIKIQNKPEKKPGTPLPPPATSPSSPRPLSPVPHVNNVVNAPLSINIPRFYFPEGLPDTCSNHEQTLSRIETAFMDIEEQKADIYEMGKIAKVCGCPLYWKAPMFRAAGGEKTGFVTAQSFIAMWRKLLNNHHDDASKFICLLAKPNCSSLEQEDFIPLLQDVVDTHPGLTFLKDAPEFHSRYITTVIQRIFYTVNRSWSGKITSTEIRKSNFLQTLALLEEEEDINQITDYFSYEHFYVIYCKFWELDTDHDLYISQADLSRYNDQASSSRIIERIFSGAVTRGKTIQKEGRMSYADFVWFLISEEDKRNPTSIEYWFRCMDVDGDGVLSMYELEYFYEEQCERMEAMGIEPLPFHDLLCQMLDLVKPAVDGKITLRDLKRCRMAHIFYDTFFNLEKYLDHEQRDPFAVQKDVENDGPEPSDWDRFAAEEYETLVAEESAQAQFQEGFEDYETDEPASPSEFGNKSNKILSASLPEKCGKLQSVDEE
+>DECOY_sp|Q06190|P2R3A_HUMAN Serine/threonine-protein phosphatase 2A regulatory subunit B'' subunit alpha OS=Homo sapiens OX=9606 GN=PPP2R3A PE=1 SV=1
+EEDVSQLKGCKEPLSASLIKNSKNGFESPSAPEDTEYDEFGEQFQAQASEEAVLTEYEEAAFRDWDSPEPGDNEVDKQVAFPDRQEHDLYKELNFFTDYFIHAMRCRKLDRLTIKGDVAPKVLDLMQCLLDHFPLPEIGMAEMRECQEEYFYELEYMSLVGDGDVDMCRFWYEISTPNRKDEESILFWVFDAYSMRGEKQITKGRTVAGSFIREIIRSSSAQDNYRSLDAQSIYLDHDTDLEWFKCYIVYFHEYSFYDTIQNIDEEEELLALTQLFNSKRIETSTIKGSWSRNVTYFIRQIVTTIYRSHFEPADKLFTLGPHTDVVDQLLPIFDEQELSSCNPKALLCIFKSADDHHNNLLKRWMAIFSQATVFGTKEGGAARFMPAKWYLPCGCVKAIKGMEYIDAKQEEIDMFATEIRSLTQEHNSCTDPLGEPFYFRPINISLPANVVNNVHPVPSLPRPSSPSTAPPPLPTGPKKEPKNQIKIVEPTQQILVASTTDKAKDGVPSRCVSLNAQSSTTLTEQLIQMMEKEQSLSGRSSKCEVLEQAFDEISELIRLLLARDEEEIKHGNTEIIRTLCSPSVKEIPSSVKSKPELDVLTQGTLQSHSNLFNSNKGKALPERLSTEMKQSFSELDMLLKDIEEQSSSNTFDRQDGEEFKSVKSKCDEKPLNVFSKEFIKGIENQMPTPCKKLHTAHEPFEARHENVKLLEHSPGNETKQGKDLAKKGDSEEEIYLTEMLDDSSVNELPNMTLSQSQVQVAKLTRPDNVELNYLSNTSNNPISQVTDMKRSNPKDNQLEITQFRGSDSASLQVVDEYKPPQETGFVPSFPTLQLSPMNSILETLDLAENNGSQMFEFPLKKLYSALRTMVNMYVTESSSITDNGSGESISSGSKKKICQKIIDTCKKLDESCKLLIDLCMKIDTGSSFNDIKHKELIQTVLDEESFNQLFMSTLNTDLSNRHSLPKEEVSSSRLLGFSPANGDNNYHGCLLDLDVSRRNFSDSKVKRGKRYAAHNSNKLKEFSFEKIKGSAFSIAEGAIDKLNYTNQFTSGRKVRPIGTFAQQPYDGEASSLGNEHPLFMSNLDADKFQSVPIHLLDACVSHHVVICDIGHTFTHCTGTCYHIAQEFRRDIVVSSYHNVTSVVLRYTAAM
+>sp|P51575|P2RX1_HUMAN P2X purinoceptor 1 OS=Homo sapiens OX=9606 GN=P2RX1 PE=1 SV=1
+MARRFQEELAAFLFEYDTPRMVLVRNKKVGVIFRLIQLVVLVYVIGWVFLYEKGYQTSSGLISSVSVKLKGLAVTQLPGLGPQVWDVADYVFPAQGDNSFVVMTNFIVTPKQTQGYCAEHPEGGICKEDSGCTPGKAKRKAQGIRTGKCVAFNDTVKTCEIFGWCPVEVDDDIPRPALLREAENFTLFIKNSISFPRFKVNRRNLVEEVNAAHMKTCLFHKTLHPLCPVFQLGYVVQESGQNFSTLAEKGGVVGITIDWHCDLDWHVRHCRPIYEFHGLYEEKNLSPGFNFRFARHFVENGTNYRHLFKVFGIRFDILVDGKAGKFDIIPTMTTIGSGIGIFGVATVLCDLLLLHILPKRHYYKQKKFKYAEDMGPGAAERDLAATSSTLGLQENMRTS
+>DECOY_sp|P51575|P2RX1_HUMAN P2X purinoceptor 1 OS=Homo sapiens OX=9606 GN=P2RX1 PE=1 SV=1
+STRMNEQLGLTSSTAALDREAAGPGMDEAYKFKKQKYYHRKPLIHLLLLDCLVTAVGFIGIGSGITTMTPIIDFKGAKGDVLIDFRIGFVKFLHRYNTGNEVFHRAFRFNFGPSLNKEEYLGHFEYIPRCHRVHWDLDCHWDITIGVVGGKEALTSFNQGSEQVVYGLQFVPCLPHLTKHFLCTKMHAANVEEVLNRRNVKFRPFSISNKIFLTFNEAERLLAPRPIDDDVEVPCWGFIECTKVTDNFAVCKGTRIGQAKRKAKGPTCGSDEKCIGGEPHEACYGQTQKPTVIFNTMVVFSNDGQAPFVYDAVDWVQPGLGPLQTVALGKLKVSVSSILGSSTQYGKEYLFVWGIVYVLVVLQILRFIVGVKKNRVLVMRPTDYEFLFAALEEQFRRAM
+>sp|O15547|P2RX6_HUMAN P2X purinoceptor 6 OS=Homo sapiens OX=9606 GN=P2RX6 PE=1 SV=2
+MCPQLAGAGSMGSPGATTGWGLLDYKTEKYVMTRNWRVGALQRLLQFGIVVYVVGWALLAKKGYQERDLEPQFSIITKLKGVSVTQIKELGNRLWDVADFVKPPQGENVFFLVTNFLVTPAQVQGRCPEHPSVPLANCWVDEDCPEGEGGTHSHGVKTGQCVVFNGTHRTCEIWSWCPVESGVVPSRPLLAQAQNFTLFIKNTVTFSKFNFSKSNALETWDPTYFKHCRYEPQFSPYCPVFRIGDLVAKAGGTFEDLALLGGSVGIRVHWDCDLDTGDSGCWPHYSFQLQEKSYNFRTATHWWEQPGVEARTLLKLYGIRFDILVTGQAGKFGLIPTAVTLGTGAAWLGVVTFFCDLLLLYVDREAHFYWRTKYEEAKAPKATANSVWRELALASQARLAECLRRSSAPAPTATAAGSQTQTPGWPCPSSDTHLPTHSGSL
+>DECOY_sp|O15547|P2RX6_HUMAN P2X purinoceptor 6 OS=Homo sapiens OX=9606 GN=P2RX6 PE=1 SV=2
+LSGSHTPLHTDSSPCPWGPTQTQSGAATATPAPASSRRLCEALRAQSALALERWVSNATAKPAKAEEYKTRWYFHAERDVYLLLLDCFFTVVGLWAAGTGLTVATPILGFKGAQGTVLIDFRIGYLKLLTRAEVGPQEWWHTATRFNYSKEQLQFSYHPWCGSDGTDLDCDWHVRIGVSGGLLALDEFTGGAKAVLDGIRFVPCYPSFQPEYRCHKFYTPDWTELANSKSFNFKSFTVTNKIFLTFNQAQALLPRSPVVGSEVPCWSWIECTRHTGNFVVCQGTKVGHSHTGGEGEPCDEDVWCNALPVSPHEPCRGQVQAPTVLFNTVLFFVNEGQPPKVFDAVDWLRNGLEKIQTVSVGKLKTIISFQPELDREQYGKKALLAWGVVYVVIGFQLLRQLAGVRWNRTMVYKETKYDLLGWGTTAGPSGMSGAGALQPCM
+>sp|Q15077|P2RY6_HUMAN P2Y purinoceptor 6 OS=Homo sapiens OX=9606 GN=P2RY6 PE=1 SV=1
+MEWDNGTGQALGLPPTTCVYRENFKQLLLPPVYSAVLAAGLPLNICVITQICTSRRALTRTAVYTLNLALADLLYACSLPLLIYNYAQGDHWPFGDFACRLVRFLFYANLHGSILFLTCISFQRYLGICHPLAPWHKRGGRRAAWLVCVAVWLAVTTQCLPTAIFAATGIQRNRTVCYDLSPPALATHYMPYGMALTVIGFLLPFAALLACYCLLACRLCRQDGPAEPVAQERRGKAARMAVVVAAAFAISFLPFHITKTAYLAVRSTPGVPCTVLEAFAAAYKGTRPFASANSVLDPILFYFTQKKFRRRPHELLQKLTAKWQRQGR
+>DECOY_sp|Q15077|P2RY6_HUMAN P2Y purinoceptor 6 OS=Homo sapiens OX=9606 GN=P2RY6 PE=1 SV=1
+RGQRQWKATLKQLLEHPRRRFKKQTFYFLIPDLVSNASAFPRTGKYAAAFAELVTCPVGPTSRVALYATKTIHFPLFSIAFAAAVVVAMRAAKGRREQAVPEAPGDQRCLRCALLCYCALLAAFPLLFGIVTLAMGYPMYHTALAPPSLDYCVTRNRQIGTAAFIATPLCQTTVALWVAVCVLWAARRGGRKHWPALPHCIGLYRQFSICTLFLISGHLNAYFLFRVLRCAFDGFPWHDGQAYNYILLPLSCAYLLDALALNLTYVATRTLARRSTCIQTIVCINLPLGAALVASYVPPLLLQKFNERYVCTTPPLGLAQGTGNDWEM
+>sp|Q86VZ1|P2RY8_HUMAN P2Y purinoceptor 8 OS=Homo sapiens OX=9606 GN=P2RY8 PE=1 SV=1
+MQVPNSTGPDNATLQMLRNPAIAVALPVVYSLVAAVSIPGNLFSLWVLCRRMGPRSPSVIFMINLSVTDLMLASVLPFQIYYHCNRHHWVFGVLLCNVVTVAFYANMYSSILTMTCISVERFLGVLYPLSSKRWRRRRYAVAACAGTWLLLLTALSPLARTDLTYPVHALGIITCFDVLKWTMLPSVAMWAVFLFTIFILLFLIPFVITVACYTATILKLLRTEEAHGREQRRRAVGLAAVVLLAFVTCFAPNNFVLLAHIVSRLFYGKSYYHVYKLTLCLSCLNNCLDPFVYYFASREFQLRLREYLGCRRVPRDTLDTRRESLFSARTTSVRSEAGAHPEGMEGATRPGLQRQESVF
+>DECOY_sp|Q86VZ1|P2RY8_HUMAN P2Y purinoceptor 8 OS=Homo sapiens OX=9606 GN=P2RY8 PE=1 SV=1
+FVSEQRQLGPRTAGEMGEPHAGAESRVSTTRASFLSERRTDLTDRPVRRCGLYERLRLQFERSAFYYVFPDLCNNLCSLCLTLKYVHYYSKGYFLRSVIHALLVFNNPAFCTVFALLVVAALGVARRRQERGHAEETRLLKLITATYCAVTIVFPILFLLIFITFLFVAWMAVSPLMTWKLVDFCTIIGLAHVPYTLDTRALPSLATLLLLWTGACAAVAYRRRRWRKSSLPYLVGLFREVSICTMTLISSYMNAYFAVTVVNCLLVGFVWHHRNCHYYIQFPLVSALMLDTVSLNIMFIVSPSRPGMRRCLVWLSFLNGPISVAAVLSYVVPLAVAIAPNRLMQLTANDPGTSNPVQM
+>sp|Q96FE7|P3IP1_HUMAN Phosphoinositide-3-kinase-interacting protein 1 OS=Homo sapiens OX=9606 GN=PIK3IP1 PE=1 SV=2
+MLLAWVQAFLVSNMLLAEAYGSGGCFWDNGHLYREDQTSPAPGLRCLNWLDAQSGLASAPVSGAGNHSYCRNPDEDPRGPWCYVSGEAGVPEKRPCEDLRCPETTSQALPAFTTEIQEASEGPGADEVQVFAPANALPARSEAAAVQPVIGISQRVRMNSKEKKDLGTLGYVLGITMMVIIIAIGAGIILGYSYKRGKDLKEQHDQKVCEREMQRITLPLSAFTNPTCEIVDEKTVVVHTSQTPVDPQEGTTPLMGQAGTPGA
+>DECOY_sp|Q96FE7|P3IP1_HUMAN Phosphoinositide-3-kinase-interacting protein 1 OS=Homo sapiens OX=9606 GN=PIK3IP1 PE=1 SV=2
+AGPTGAQGMLPTTGEQPDVPTQSTHVVVTKEDVIECTPNTFASLPLTIRQMERECVKQDHQEKLDKGRKYSYGLIIGAGIAIIIVMMTIGLVYGLTGLDKKEKSNMRVRQSIGIVPQVAAAESRAPLANAPAFVQVEDAGPGESAEQIETTFAPLAQSTTEPCRLDECPRKEPVGAEGSVYCWPGRPDEDPNRCYSHNGAGSVPASALGSQADLWNLCRLGPAPSTQDERYLHGNDWFCGGSGYAEALLMNSVLFAQVWALLM
+>sp|Q8TCG2|P4K2B_HUMAN Phosphatidylinositol 4-kinase type 2-beta OS=Homo sapiens OX=9606 GN=PI4K2B PE=1 SV=1
+MEDPSEPDRLASADGGSPEEEEDGEREPLLPRIAWAHPRRGAPGSAVRLLDAAGEEGEAGDEELPLPPGDVGVSRSSSAELDRSRPAVSVTIGTSEMNAFLDDPEFADIMLRAEQAIEVGIFPERISQGSSGSYFVKDPKRKIIGVFKPKSEEPYGQLNPKWTKYVHKVCCPCCFGRGCLIPNQGYLSEAGAYLVDNKLHLSIVPKTKVVWLVSETFNYNAIDRAKSRGKKYALEKVPKVGRKFHRIGLPPKIGSFQLFVEGYKEAEYWLRKFEADPLPENIRKQFQSQFERLVILDYIIRNTDRGNDNWLVRYEKQKCEKEIDHKESKWIDDEEFLIKIAAIDNGLAFPFKHPDEWRAYPFHWAWLPQAKVPFSEEIRNLILPYISDMNFVQDLCEDLYELFKTDKGFDKATFESQMSVMRGQILNLTQALRDGKSPFQLVQIPCVIVERSQGGSQGRIVHLSNSFTQTVNCRKPFFSSW
+>DECOY_sp|Q8TCG2|P4K2B_HUMAN Phosphatidylinositol 4-kinase type 2-beta OS=Homo sapiens OX=9606 GN=PI4K2B PE=1 SV=1
+WSSFFPKRCNVTQTFSNSLHVIRGQSGGQSREVIVCPIQVLQFPSKGDRLAQTLNLIQGRMVSMQSEFTAKDFGKDTKFLEYLDECLDQVFNMDSIYPLILNRIEESFPVKAQPLWAWHFPYARWEDPHKFPFALGNDIAAIKILFEEDDIWKSEKHDIEKECKQKEYRVLWNDNGRDTNRIIYDLIVLREFQSQFQKRINEPLPDAEFKRLWYEAEKYGEVFLQFSGIKPPLGIRHFKRGVKPVKELAYKKGRSKARDIANYNFTESVLWVVKTKPVISLHLKNDVLYAGAESLYGQNPILCGRGFCCPCCVKHVYKTWKPNLQGYPEESKPKFVGIIKRKPDKVFYSGSSGQSIREPFIGVEIAQEARLMIDAFEPDDLFANMESTGITVSVAPRSRDLEASSSRSVGVDGPPLPLEEDGAEGEEGAADLLRVASGPAGRRPHAWAIRPLLPEREGDEEEEPSGGDASALRDPESPDEM
+>sp|P04637|P53_HUMAN Cellular tumor antigen p53 OS=Homo sapiens OX=9606 GN=TP53 PE=1 SV=4
+MEEPQSDPSVEPPLSQETFSDLWKLLPENNVLSPLPSQAMDDLMLSPDDIEQWFTEDPGPDEAPRMPEAAPPVAPAPAAPTPAAPAPAPSWPLSSSVPSQKTYQGSYGFRLGFLHSGTAKSVTCTYSPALNKMFCQLAKTCPVQLWVDSTPPPGTRVRAMAIYKQSQHMTEVVRRCPHHERCSDSDGLAPPQHLIRVEGNLRVEYLDDRNTFRHSVVVPYEPPEVGSDCTTIHYNYMCNSSCMGGMNRRPILTIITLEDSSGNLLGRNSFEVRVCACPGRDRRTEEENLRKKGEPHHELPPGSTKRALPNNTSSSPQPKKKPLDGEYFTLQIRGRERFEMFRELNEALELKDAQAGKEPGGSRAHSSHLKSKKGQSTSRHKKLMFKTEGPDSD
+>DECOY_sp|P04637|P53_HUMAN Cellular tumor antigen p53 OS=Homo sapiens OX=9606 GN=TP53 PE=1 SV=4
+DSDPGETKFMLKKHRSTSQGKKSKLHSSHARSGGPEKGAQADKLELAENLERFMEFRERGRIQLTFYEGDLPKKKPQPSSSTNNPLARKTSGPPLEHHPEGKKRLNEEETRRDRGPCACVRVEFSNRGLLNGSSDELTIITLIPRRNMGGMCSSNCMYNYHITTCDSGVEPPEYPVVVSHRFTNRDDLYEVRLNGEVRILHQPPALGDSDSCREHHPCRRVVETMHQSQKYIAMARVRTGPPPTSDVWLQVPCTKALQCFMKNLAPSYTCTVSKATGSHLFGLRFGYSGQYTKQSPVSSSLPWSPAPAPAAPTPAAPAPAVPPAAEPMRPAEDPGPDETFWQEIDDPSLMLDDMAQSPLPSLVNNEPLLKWLDSFTEQSLPPEVSPDSQPEEM
+>sp|P27986|P85A_HUMAN Phosphatidylinositol 3-kinase regulatory subunit alpha OS=Homo sapiens OX=9606 GN=PIK3R1 PE=1 SV=2
+MSAEGYQYRALYDYKKEREEDIDLHLGDILTVNKGSLVALGFSDGQEARPEEIGWLNGYNETTGERGDFPGTYVEYIGRKKISPPTPKPRPPRPLPVAPGSSKTEADVEQQALTLPDLAEQFAPPDIAPPLLIKLVEAIEKKGLECSTLYRTQSSSNLAELRQLLDCDTPSVDLEMIDVHVLADAFKRYLLDLPNPVIPAAVYSEMISLAPEVQSSEEYIQLLKKLIRSPSIPHQYWLTLQYLLKHFFKLSQTSSKNLLNARVLSEIFSPMLFRFSAASSDNTENLIKVIEILISTEWNERQPAPALPPKPPKPTTVANNGMNNNMSLQDAEWYWGDISREEVNEKLRDTADGTFLVRDASTKMHGDYTLTLRKGGNNKLIKIFHRDGKYGFSDPLTFSSVVELINHYRNESLAQYNPKLDVKLLYPVSKYQQDQVVKEDNIEAVGKKLHEYNTQFQEKSREYDRLYEEYTRTSQEIQMKRTAIEAFNETIKIFEEQCQTQERYSKEYIEKFKREGNEKEIQRIMHNYDKLKSRISEIIDSRRRLEEDLKKQAAEYREIDKRMNSIKPDLIQLRKTRDQYLMWLTQKGVRQKKLNEWLGNENTEDQYSLVEDDEDLPHHDEKTWNVGSSNRNKAENLLRGKRDGTFLVRESSKQGCYACSVVVDGEVKHCVINKTATGYGFAEPYNLYSSLKELVLHYQHTSLVQHNDSLNVTLAYPVYAQQRR
+>DECOY_sp|P27986|P85A_HUMAN Phosphatidylinositol 3-kinase regulatory subunit alpha OS=Homo sapiens OX=9606 GN=PIK3R1 PE=1 SV=2
+RRQQAYVPYALTVNLSDNHQVLSTHQYHLVLEKLSSYLNYPEAFGYGTATKNIVCHKVEGDVVVSCAYCGQKSSERVLFTGDRKGRLLNEAKNRNSSGVNWTKEDHHPLDEDDEVLSYQDETNENGLWENLKKQRVGKQTLWMLYQDRTKRLQILDPKISNMRKDIERYEAAQKKLDEELRRRSDIIESIRSKLKDYNHMIRQIEKENGERKFKEIYEKSYREQTQCQEEFIKITENFAEIATRKMQIEQSTRTYEEYLRDYERSKEQFQTNYEHLKKGVAEINDEKVVQDQQYKSVPYLLKVDLKPNYQALSENRYHNILEVVSSFTLPDSFGYKGDRHFIKILKNNGGKRLTLTYDGHMKTSADRVLFTGDATDRLKENVEERSIDGWYWEADQLSMNNNMGNNAVTTPKPPKPPLAPAPQRENWETSILIEIVKILNETNDSSAASFRFLMPSFIESLVRANLLNKSSTQSLKFFHKLLYQLTLWYQHPISPSRILKKLLQIYEESSQVEPALSIMESYVAAPIVPNPLDLLYRKFADALVHVDIMELDVSPTDCDLLQRLEALNSSSQTRYLTSCELGKKEIAEVLKILLPPAIDPPAFQEALDPLTLAQQEVDAETKSSGPAVPLPRPPRPKPTPPSIKKRGIYEVYTGPFDGREGTTENYGNLWGIEEPRAEQGDSFGLAVLSGKNVTLIDGLHLDIDEEREKKYDYLARYQYGEASM
+>sp|Q6TGC4|PADI6_HUMAN Protein-arginine deiminase type-6 OS=Homo sapiens OX=9606 GN=PADI6 PE=1 SV=3
+MVSVEGRAMSFQSIIHLSLDSPVHAVCVLGTEICLDLSGCAPQKCQCFTIHGSGRVLIDVANTVISEKEDATIWWPLSDPTYATVKMTSPSPSVDADKVSVTYYGPNEDAPVGTAVLYLTGIEVSLEVDIYRNGQVEMSSDKQAKKKWIWGPSGWGAILLVNCNPADVGQQLEDKKTKKVIFSEEITNLSQMTLNVQGPSCILKKYRLVLHTSKEESKKARVYWPQKDNSSTFELVLGPDQHAYTLALLGNHLKETFYVEAIAFPSAEFSGLISYSVSLVEESQDPSIPETVLYKDTVVFRVAPCVFIPCTQVPLEVYLCRELQLQGFVDTVTKLSEKSNSQVASVYEDPNRLGRWLQDEMAFCYTQAPHKTTSLILDTPQAADLDEFPMKYSLSPGIGYMIQDTEDHKVASMDSIGNLMVSPPVKVQGKEYPLGRVLIGSSFYPSAEGRAMSKTLRDFLYAQQVQAPVELYSDWLMTGHVDEFMCFIPTDDKNEGKKGFLLLLASPSACYKLFREKQKEGYGDALLFDELRADQLLSNGREAKTIDQLLADESLKKQNEYVEKCIHLNRDILKTELGLVEQDIIEIPQLFCLEKLTNIPSDQQPKRSFARPYFPDLLRMIVMGKNLGIPKPFGPQIKGTCCLEEKICCLLEPLGFKCTFINDFDCYLTEVGDICACANIRRVPFAFKWWKMVP
+>DECOY_sp|Q6TGC4|PADI6_HUMAN Protein-arginine deiminase type-6 OS=Homo sapiens OX=9606 GN=PADI6 PE=1 SV=3
+PVMKWWKFAFPVRRINACACIDGVETLYCDFDNIFTCKFGLPELLCCIKEELCCTGKIQPGFPKPIGLNKGMVIMRLLDPFYPRAFSRKPQQDSPINTLKELCFLQPIEIIDQEVLGLETKLIDRNLHICKEVYENQKKLSEDALLQDITKAERGNSLLQDARLEDFLLADGYGEKQKERFLKYCASPSALLLLFGKKGENKDDTPIFCMFEDVHGTMLWDSYLEVPAQVQQAYLFDRLTKSMARGEASPYFSSGILVRGLPYEKGQVKVPPSVMLNGISDMSAVKHDETDQIMYGIGPSLSYKMPFEDLDAAQPTDLILSTTKHPAQTYCFAMEDQLWRGLRNPDEYVSAVQSNSKESLKTVTDVFGQLQLERCLYVELPVQTCPIFVCPAVRFVVTDKYLVTEPISPDQSEEVLSVSYSILGSFEASPFAIAEVYFTEKLHNGLLALTYAHQDPGLVLEFTSSNDKQPWYVRAKKSEEKSTHLVLRYKKLICSPGQVNLTMQSLNTIEESFIVKKTKKDELQQGVDAPNCNVLLIAGWGSPGWIWKKKAQKDSSMEVQGNRYIDVELSVEIGTLYLVATGVPADENPGYYTVSVKDADVSPSPSTMKVTAYTPDSLPWWITADEKESIVTNAVDILVRGSGHITFCQCKQPACGSLDLCIETGLVCVAHVPSDLSLHIISQFSMARGEVSVM
+>sp|O75459|PAGE1_HUMAN P antigen family member 1 OS=Homo sapiens OX=9606 GN=PAGE1 PE=1 SV=2
+MGFLRRLIYRRRPMIYVESSEESSDEQPDEVESPTQSQDSTPAEEREDEGASAAQGQEPEADSQELVQPKTGCELGDGPDTKRVCLRNEEQMKLPAEGPEPEADSQEQVHPKTGCERGDGPDVQELGLPNPEEVKTPEEDEGQSQP
+>DECOY_sp|O75459|PAGE1_HUMAN P antigen family member 1 OS=Homo sapiens OX=9606 GN=PAGE1 PE=1 SV=2
+PQSQGEDEEPTKVEEPNPLGLEQVDPGDGRECGTKPHVQEQSDAEPEPGEAPLKMQEENRLCVRKTDPGDGLECGTKPQVLEQSDAEPEQGQAASAGEDEREEAPTSDQSQTPSEVEDPQEDSSEESSEVYIMPRRRYILRRLFGM
+>sp|Q96GU1|PAGE5_HUMAN P antigen family member 5 OS=Homo sapiens OX=9606 GN=PAGE5 PE=1 SV=2
+MQAPWAGNRGWAGTREEVRDMSEHVTRSQSSERGNDQESSQPVGPVIVQQPTEEKRQEEEPPTDNQGIAPSGEIKNEGAPAVQGTDVEAFQQELALLKIEDAPGDGPDVREGTLPTFDPTKVLEAGEGQL
+>DECOY_sp|Q96GU1|PAGE5_HUMAN P antigen family member 5 OS=Homo sapiens OX=9606 GN=PAGE5 PE=1 SV=2
+LQGEGAELVKTPDFTPLTGERVDPGDGPADEIKLLALEQQFAEVDTGQVAPAGENKIEGSPAIGQNDTPPEEEQRKEETPQQVIVPGVPQSSEQDNGRESSQSRTVHESMDRVEERTGAWGRNGAWPAQM
+>sp|Q9BTK6|PAGR1_HUMAN PAXIP1-associated glutamate-rich protein 1 OS=Homo sapiens OX=9606 GN=PAGR1 PE=1 SV=1
+MSLARGHGDTAASTAAPLSEEGEVTSGLQALAVEDTGGPSASAGKAEDEGEGGREETEREGSGGEEAQGEVPSAGGEEPAEEDSEDWCVPCSDEEVELPADGQPWMPPPSEIQRLYELLAAHGTLELQAEILPRRPPTPEAQSEEERSDEEPEAKEEEEEKPHMPTEFDFDDEPVTPKDSLIDRRRTPGSSARSQKREARLDKVLSDMKRHKKLEEQILRTGRDLFSLDSEDPSPASPPLRSSGSSLFPRQRKY
+>DECOY_sp|Q9BTK6|PAGR1_HUMAN PAXIP1-associated glutamate-rich protein 1 OS=Homo sapiens OX=9606 GN=PAGR1 PE=1 SV=1
+YKRQRPFLSSGSSRLPPSAPSPDESDLSFLDRGTRLIQEELKKHRKMDSLVKDLRAERKQSRASSGPTRRRDILSDKPTVPEDDFDFETPMHPKEEEEEKAEPEEDSREEESQAEPTPPRRPLIEAQLELTGHAALLEYLRQIESPPPMWPQGDAPLEVEEDSCPVCWDESDEEAPEEGGASPVEGQAEEGGSGERETEERGGEGEDEAKGASASPGGTDEVALAQLGSTVEGEESLPAATSAATDGHGRALSM
+>sp|P01298|PAHO_HUMAN Pancreatic prohormone OS=Homo sapiens OX=9606 GN=PPY PE=1 SV=1
+MAAARLCLSLLLLSTCVALLLQPLLGAQGAPLEPVYPGDNATPEQMAQYAADLRRYINMLTRPRYGKRHKEDTLAFSEWGSPHAAVPRELSPLDL
+>DECOY_sp|P01298|PAHO_HUMAN Pancreatic prohormone OS=Homo sapiens OX=9606 GN=PPY PE=1 SV=1
+LDLPSLERPVAAHPSGWESFALTDEKHRKGYRPRTLMNIYRRLDAAYQAMQEPTANDGPYVPELPAGQAGLLPQLLLAVCTSLLLLSLCLRAAAM
+>sp|Q9Y536|PAL4A_HUMAN Peptidyl-prolyl cis-trans isomerase A-like 4A OS=Homo sapiens OX=9606 GN=PPIAL4A PE=2 SV=1
+MVNSVVFFDITVDGKPLGRISIKLFADKILKTAENFRALSTGEKGFRYKGSCFHRIIPGFMCQGGDFTRHNGTGDKSIYGEKFDDENLIRKHTGSGILSMANAGPNTNGSQFFICAAKTEWLDGKHVAFGKVKERVNIVEAMEHFGYRNSKTSKKITIADCGQF
+>DECOY_sp|Q9Y536|PAL4A_HUMAN Peptidyl-prolyl cis-trans isomerase A-like 4A OS=Homo sapiens OX=9606 GN=PPIAL4A PE=2 SV=1
+FQGCDAITIKKSTKSNRYGFHEMAEVINVREKVKGFAVHKGDLWETKAACIFFQSGNTNPGANAMSLIGSGTHKRILNEDDFKEGYISKDGTGNHRTFDGGQCMFGPIIRHFCSGKYRFGKEGTSLARFNEATKLIKDAFLKISIRGLPKGDVTIDFFVVSNVM
+>sp|Q8WX93|PALLD_HUMAN Palladin OS=Homo sapiens OX=9606 GN=PALLD PE=1 SV=3
+MSGTSSHESFYDSLSDMQEESKNTDFFPGLSAFLSQEEINKSLDLARRAIADSETEDFDSEKEISQIFSTSPASLCEHPSHKETKLGEHASRRPQDNRSTPVQPLAEKQTKSISSPVSKRKPAMSPLLTRPSYIRSLRKAEKRGAKTPSTNVKPKTPHQRKGGPQSQLCDKAANLIEELTSIFKAAKPRNRSPNGESSSPDSGYLSPKNQPSALLSASASQSPMEDQGEMEREVKSPGARHCYQDNQDLAVPHNRKSHPQPHSALHFPAAPRFIQKLRSQEVAEGSRVYLECRVTGNPTPRVRWFCEGKELHNTPDIQIHCEGGDLHTLIIAEAFEDDTGRYTCLATNPSGSDTTSAEVFIEGASSTDSDSESLAFKSRAGAMPQAQKKTTSVSLTIGSSSPKTGVTTAVIQPLSVPVQQVHSPTSYLCRPDGTTTAYFPPVFTKELQNTAVAEGQVVVLECRVRGAPPLQVQWFRQGSEIQDSPDFRILQKKPRSTAEPEEICTLVIAETFPEDAGIFTCSARNDYGSATSTAQLVVTSANTENCSYESMGESNNDHFQHFPPPPPILETSSLELASKKPSEIQQVNNPELGLSRAALQMQFNAAERETNGVHPSRGVNGLINGKANSNKSLPTPAVLLSPTKEPPPLLAKPKLDPLKLQQLQNQIRLEQEAGARQPPPAPRSAPPSPPFPPPPAFPELAACTPPASPEPMSALASRSAPAMQSSGSFNYARPKQFIAAQNLGPASGHGTPASSPSSSSLPSPMSPTPRQFGRAPVPPFAQPFGAEPEAPWGSSSPSPPPPPPPVFSPTAAFPVPDVFPLPPPPPPLPSPGQASHCSSPATRFGHSQTPAAFLSALLPSQPPPAAVNALGLPKGVTPAGFPKKASRTARIASDEEIQGTKDAVIQDLERKLRFKEDLLNNGQPRLTYEERMARRLLGADSATVFNIQEPEEETANQEYKVSSCEQRLISEIEYRLERSPVDESGDEVQYGDVPVENGMAPFFEMKLKHYKIFEGMPVTFTCRVAGNPKPKIYWFKDGKQISPKSDHYTIQRDLDGTCSLHTTASTLDDDGNYTIMAANPQGRISCTGRLMVQAVNQRGRSPRSPSGHPHVRRPRSRSRDSGDENEPIQERFFRPHFLQAPGDLTVQEGKLCRMDCKVSGLPTPDLSWQLDGKPVRPDSAHKMLVRENGVHSLIIEPVTSRDAGIYTCIATNRAGQNSFSLELVVAAKEAHKPPVFIEKLQNTGVADGYPVRLECRVLGVPPPQIFWKKENESLTHSTDRVSMHQDNHGYICLLIQGATKEDAGWYTVSAKNEAGIVSCTARLDVYTQWHQQSQSTKPKKVRPSASRYAALSDQGLDIKAAFQPEANPSHLTLNTALVESEDL
+>DECOY_sp|Q8WX93|PALLD_HUMAN Palladin OS=Homo sapiens OX=9606 GN=PALLD PE=1 SV=3
+LDESEVLATNLTLHSPNAEPQFAAKIDLGQDSLAAYRSASPRVKKPKTSQSQQHWQTYVDLRATCSVIGAENKASVTYWGADEKTAGQILLCIYGHNDQHMSVRDTSHTLSENEKKWFIQPPPVGLVRCELRVPYGDAVGTNQLKEIFVPPKHAEKAAVVLELSFSNQGARNTAICTYIGADRSTVPEIILSHVGNERVLMKHASDPRVPKGDLQWSLDPTPLGSVKCDMRCLKGEQVTLDGPAQLFHPRFFREQIPENEDGSDRSRSRPRRVHPHGSPSRPSRGRQNVAQVMLRGTCSIRGQPNAAMITYNGDDDLTSATTHLSCTGDLDRQITYHDSKPSIQKGDKFWYIKPKPNGAVRCTFTVPMGEFIKYHKLKMEFFPAMGNEVPVDGYQVEDGSEDVPSRELRYEIESILRQECSSVKYEQNATEEEPEQINFVTASDAGLLRRAMREEYTLRPQGNNLLDEKFRLKRELDQIVADKTGQIEEDSAIRATRSAKKPFGAPTVGKPLGLANVAAPPPQSPLLASLFAAPTQSHGFRTAPSSCHSAQGPSPLPPPPPPLPFVDPVPFAATPSFVPPPPPPPSPSSSGWPAEPEAGFPQAFPPVPARGFQRPTPSMPSPLSSSSPSSAPTGHGSAPGLNQAAIFQKPRAYNFSGSSQMAPASRSALASMPEPSAPPTCAALEPFAPPPPFPPSPPASRPAPPPQRAGAEQELRIQNQLQQLKLPDLKPKALLPPPEKTPSLLVAPTPLSKNSNAKGNILGNVGRSPHVGNTEREAANFQMQLAARSLGLEPNNVQQIESPKKSALELSSTELIPPPPPFHQFHDNNSEGMSEYSCNETNASTVVLQATSTASGYDNRASCTFIGADEPFTEAIVLTCIEEPEATSRPKKQLIRFDPSDQIESGQRFWQVQLPPAGRVRCELVVVQGEAVATNQLEKTFVPPFYATTTGDPRCLYSTPSHVQQVPVSLPQIVATTVGTKPSSSGITLSVSTTKKQAQPMAGARSKFALSESDSDTSSAGEIFVEASTTDSGSPNTALCTYRGTDDEFAEAIILTHLDGGECHIQIDPTNHLEKGECFWRVRPTPNGTVRCELYVRSGEAVEQSRLKQIFRPAAPFHLASHPQPHSKRNHPVALDQNDQYCHRAGPSKVEREMEGQDEMPSQSASASLLASPQNKPSLYGSDPSSSEGNPSRNRPKAAKFISTLEEILNAAKDCLQSQPGGKRQHPTKPKVNTSPTKAGRKEAKRLSRIYSPRTLLPSMAPKRKSVPSSISKTQKEALPQVPTSRNDQPRRSAHEGLKTEKHSPHECLSAPSTSFIQSIEKESDFDETESDAIARRALDLSKNIEEQSLFASLGPFFDTNKSEEQMDSLSDYFSEHSSTGSM
+>sp|Q9NP74|PALMD_HUMAN Palmdelphin OS=Homo sapiens OX=9606 GN=PALMD PE=1 SV=1
+MEEAELVKGRLQAITDKRKIQEEISQKRLKIEEDKLKHQHLKKKALREKWLLDGISSGKEQEEMKKQNQQDQHQIQVLEQSILRLEKEIQDLEKAELQISTKEEAILKKLKSIERTTEDIIRSVKVEREERAEESIEDIYANIPDLPKSYIPSRLRKEINEEKEDDEQNRKALYAMEIKVEKDLKTGESTVLSSIPLPSDDFKGTGIKVYDDGQKSVYAVSSNHSAAYNGTDGLAPVEVEELLRQASERNSKSPTEYHEPVYANPFYRPTTPQRETVTPGPNFQERIKIKTNGLGIGVNESIHNMGNGLSEERGNNFNHISPIPPVPHPRSVIQQAEEKLHTPQKRLMTPWEESNVMQDKDAPSPKPRLSPRETIFGKSEHQNSSPTCQEDEEDVRYNIVHSLPPDINDTEPVTMIFMGYQQAEDSEEDKKFLTGYDGIIHAELVVIDDEEEEDEGEAEKPSYHPIAPHSQVYQPAKPTPLPRKRSEASPHENTNHKSPHKNSISLKEQEESLGSPVHHSPFDAQTTGDGTEDPSLTALRMRMAKLGKKVI
+>DECOY_sp|Q9NP74|PALMD_HUMAN Palmdelphin OS=Homo sapiens OX=9606 GN=PALMD PE=1 SV=1
+IVKKGLKAMRMRLATLSPDETGDGTTQADFPSHHVPSGLSEEQEKLSISNKHPSKHNTNEHPSAESRKRPLPTPKAPQYVQSHPAIPHYSPKEAEGEDEEEEDDIVVLEAHIIGDYGTLFKKDEESDEAQQYGMFIMTVPETDNIDPPLSHVINYRVDEEDEQCTPSSNQHESKGFITERPSLRPKPSPADKDQMVNSEEWPTMLRKQPTHLKEEAQQIVSRPHPVPPIPSIHNFNNGREESLGNGMNHISENVGIGLGNTKIKIREQFNPGPTVTERQPTTPRYFPNAYVPEHYETPSKSNRESAQRLLEEVEVPALGDTGNYAASHNSSVAYVSKQGDDYVKIGTGKFDDSPLPISSLVTSEGTKLDKEVKIEMAYLAKRNQEDDEKEENIEKRLRSPIYSKPLDPINAYIDEISEEAREEREVKVSRIIDETTREISKLKKLIAEEKTSIQLEAKELDQIEKELRLISQELVQIQHQDQQNQKKMEEQEKGSSIGDLLWKERLAKKKLHQHKLKDEEIKLRKQSIEEQIKRKDTIAQLRGKVLEAEEM
+>sp|Q58A45|PAN3_HUMAN PAN2-PAN3 deadenylation complex subunit PAN3 OS=Homo sapiens OX=9606 GN=PAN3 PE=1 SV=3
+MNSGGGLPPPSAAASPSSSSLAAAVAVVAPPGVGGVPGGAAVGVKLKYCRYYAKDKTCFYGEECQFLHEDPAAGAAPGLGLHSNSVPLALAGAPVAGFPPGAVAGGGAGPPPGPKKPDLGDPGTGAAAGGGGSSGGLDGPRLAIPGMDGGALTDTSLTDSYFSTSFIGVNGFGSPVETKYPLMQRMTNSSSSPSLLNDSAKPYSAHDPLTSPASSLFNDFGALNISQRRKPRKYRLGMLEERLVPMGSKARKAKNPIGCLADRCKSGVPINMVWWNRVTENNLQTPNPTASEFIPKGGSTSRLSNVSQSNMSAFSQVFSHPSMGSPATAGLAPGMSLSAGSSPLHSPKITPHTSPAPRRRSHTPNPASYMVPSSASTSVNNPVSQTPSSGQVIQKETVGGTTYFYTDTTPAPLTGMVFPNYHIYPPTAPHVAYMQPKANAPSFFMADELRQELINRHLITMAQIDQADMPAVPTEVDSYHSLFPLEPLPPPNRIQKSSNFGYITSCYKAVNSKDDLPYCLRRIHGFRLVNTKCMVLVDMWKKIQHSNIVTLREVFTTKAFAEPSLVFAYDFHAGGETMMSRHFNDPNADAYFTKRKWGQHEGPLPRQHAGLLPESLIWAYIVQLSSALRTIHTAGLACRVMDPTKILITGKTRLRVNCVGVFDVLTFDNSQNNNPLALMAQYQQADLISLGKVVLALACNSLAGIQRENLQKAMELVTINYSSDLKNLILYLLTDQNRMRSVNDIMPMIGARFYTQLDAAQMRNDVIEEDLAKEVQNGRLFRLLAKLGTINERPEFQKDPTWSETGDRYLLKLFRDHLFHQVTEAGAPWIDLSHIISCLNKLDAGVPEKISLISRDEKSVLVVTYSDLKRCFENTFQELIAAANGQL
+>DECOY_sp|Q58A45|PAN3_HUMAN PAN2-PAN3 deadenylation complex subunit PAN3 OS=Homo sapiens OX=9606 GN=PAN3 PE=1 SV=3
+LQGNAAAILEQFTNEFCRKLDSYTVVLVSKEDRSILSIKEPVGADLKNLCSIIHSLDIWPAGAETVQHFLHDRFLKLLYRDGTESWTPDKQFEPRENITGLKALLRFLRGNQVEKALDEEIVDNRMQAADLQTYFRAGIMPMIDNVSRMRNQDTLLYLILNKLDSSYNITVLEMAKQLNERQIGALSNCALALVVKGLSILDAQQYQAMLALPNNNQSNDFTLVDFVGVCNVRLRTKGTILIKTPDMVRCALGATHITRLASSLQVIYAWILSEPLLGAHQRPLPGEHQGWKRKTFYADANPDNFHRSMMTEGGAHFDYAFVLSPEAFAKTTFVERLTVINSHQIKKWMDVLVMCKTNVLRFGHIRRLCYPLDDKSNVAKYCSTIYGFNSSKQIRNPPPLPELPFLSHYSDVETPVAPMDAQDIQAMTILHRNILEQRLEDAMFFSPANAKPQMYAVHPATPPYIHYNPFVMGTLPAPTTDTYFYTTGGVTEKQIVQGSSPTQSVPNNVSTSASSPVMYSAPNPTHSRRRPAPSTHPTIKPSHLPSSGASLSMGPALGATAPSGMSPHSFVQSFASMNSQSVNSLRSTSGGKPIFESATPNPTQLNNETVRNWWVMNIPVGSKCRDALCGIPNKAKRAKSGMPVLREELMGLRYKRPKRRQSINLAGFDNFLSSAPSTLPDHASYPKASDNLLSPSSSSNTMRQMLPYKTEVPSGFGNVGIFSTSFYSDTLSTDTLAGGDMGPIALRPGDLGGSSGGGGAAAGTGPDGLDPKKPGPPPGAGGGAVAGPPFGAVPAGALALPVSNSHLGLGPAAGAAPDEHLFQCEEGYFCTKDKAYYRCYKLKVGVAAGGPVGGVGPPAVVAVAAALSSSSPSAAASPPPLGGGSNM
+>sp|Q8TE04|PANK1_HUMAN Pantothenate kinase 1 OS=Homo sapiens OX=9606 GN=PANK1 PE=1 SV=2
+MLKLVGGGGGQDWACSVAGTSLGGEEAAFEVARPGDQGKAGGGSPGWGCAGIPDSAPGAGVLQAGAVGPARGGQGAEEVGESAGGGEERRVRHPQAPALRLLNRKPQGGSGEIKTPENDLQRGRLSRGPRTAPPAPGMGDRSGQQERSVPHSPGAPVGTSAAAVNGLLHNGFHPPPVQPPHVCSRGPVGGSDAAPQRLPLLPELQPQPLLPQHDSPAKKCRLRRRMDSGRKNRPPFPWFGMDIGGTLVKLVYFEPKDITAEEEQEEVENLKSIRKYLTSNTAYGKTGIRDVHLELKNLTMCGRKGNLHFIRFPSCAMHRFIQMGSEKNFSSLHTTLCATGGGAFKFEEDFRMIADLQLHKLDELDCLIQGLLYVDSVGFNGKPECYYFENPTNPELCQKKPYCLDNPYPMLLVNMGSGVSILAVYSKDNYKRVTGTSLGGGTFLGLCCLLTGCETFEEALEMAAKGDSTNVDKLVKDIYGGDYERFGLQGSAVASSFGNMMSKEKRDSISKEDLARATLVTITNNIGSIARMCALNENIDRVVFVGNFLRINMVSMKLLAYAMDFWSKGQLKALFLEHEGYFGAVGALLELFKMTDDK
+>DECOY_sp|Q8TE04|PANK1_HUMAN Pantothenate kinase 1 OS=Homo sapiens OX=9606 GN=PANK1 PE=1 SV=2
+KDDTMKFLELLAGVAGFYGEHELFLAKLQGKSWFDMAYALLKMSVMNIRLFNGVFVVRDINENLACMRAISGINNTITVLTARALDEKSISDRKEKSMMNGFSSAVASGQLGFREYDGGYIDKVLKDVNTSDGKAAMELAEEFTECGTLLCCLGLFTGGGLSTGTVRKYNDKSYVALISVGSGMNVLLMPYPNDLCYPKKQCLEPNTPNEFYYCEPKGNFGVSDVYLLGQILCDLEDLKHLQLDAIMRFDEEFKFAGGGTACLTTHLSSFNKESGMQIFRHMACSPFRIFHLNGKRGCMTLNKLELHVDRIGTKGYATNSTLYKRISKLNEVEEQEEEATIDKPEFYVLKVLTGGIDMGFWPFPPRNKRGSDMRRRLRCKKAPSDHQPLLPQPQLEPLLPLRQPAADSGGVPGRSCVHPPQVPPPHFGNHLLGNVAAASTGVPAGPSHPVSREQQGSRDGMGPAPPATRPGRSLRGRQLDNEPTKIEGSGGQPKRNLLRLAPAQPHRVRREEGGGASEGVEEAGQGGRAPGVAGAQLVGAGPASDPIGACGWGPSGGGAKGQDGPRAVEFAAEEGGLSTGAVSCAWDQGGGGGVLKLM
+>sp|Q9NVV4|PAPD1_HUMAN Poly(A) RNA polymerase, mitochondrial OS=Homo sapiens OX=9606 GN=MTPAP PE=1 SV=1
+MAVPGVGLLTRLNLCARRRTRVQRPIVRLLSCPGTVAKDLRRDEQPSGSVETGFEDKIPKRRFSEMQNERREQAQRTVLIHCPEKISENKFLKYLSQFGPINNHFFYESFGLYAVVEFCQKESIGSLQNGTHTPSTAMETAIPFRSRFFNLKLKNQTSERSRVRSSNQLPRSNKQLFELLCYAESIDDQLNTLLKEFQLTEENTKLRYLTCSLIEDMAAAYFPDCIVRPFGSSVNTFGKLGCDLDMFLDLDETRNLSAHKISGNFLMEFQVKNVPSERIATQKILSVLGECLDHFGPGCVGVQKILNARCPLVRFSHQASGFQCDLTTNNRIALTSSELLYIYGALDSRVRALVFSVRCWARAHSLTSSIPGAWITNFSLTMMVIFFLQRRSPPILPTLDSLKTLADAEDKCVIEGNNCTFVRDLSRIKPSQNTETLELLLKEFFEYFGNFAFDKNSINIRQGREQNKPDSSPLYIQNPFETSLNISKNVSQSQLQKFVDLARESAWILQQEDTDRPSISSNRPWGLVSLLLPSAPNRKSFTKKKSNKFAIETVKNLLESLKGNRTENFTKTSGKRTISTQT
+>DECOY_sp|Q9NVV4|PAPD1_HUMAN Poly(A) RNA polymerase, mitochondrial OS=Homo sapiens OX=9606 GN=MTPAP PE=1 SV=1
+TQTSITRKGSTKTFNETRNGKLSELLNKVTEIAFKNSKKKTFSKRNPASPLLLSVLGWPRNSSISPRDTDEQQLIWASERALDVFKQLQSQSVNKSINLSTEFPNQIYLPSSDPKNQERGQRINISNKDFAFNGFYEFFEKLLLELTETNQSPKIRSLDRVFTCNNGEIVCKDEADALTKLSDLTPLIPPSRRQLFFIVMMTLSFNTIWAGPISSTLSHARAWCRVSFVLARVRSDLAGYIYLLESSTLAIRNNTTLDCQFGSAQHSFRVLPCRANLIKQVGVCGPGFHDLCEGLVSLIKQTAIRESPVNKVQFEMLFNGSIKHASLNRTEDLDLFMDLDCGLKGFTNVSSGFPRVICDPFYAAAMDEILSCTLYRLKTNEETLQFEKLLTNLQDDISEAYCLLEFLQKNSRPLQNSSRVRSRESTQNKLKLNFFRSRFPIATEMATSPTHTGNQLSGISEKQCFEVVAYLGFSEYFFHNNIPGFQSLYKLFKNESIKEPCHILVTRQAQERRENQMESFRRKPIKDEFGTEVSGSPQEDRRLDKAVTGPCSLLRVIPRQVRTRRRACLNLRTLLGVGPVAM
+>sp|P51003|PAPOA_HUMAN Poly(A) polymerase alpha OS=Homo sapiens OX=9606 GN=PAPOLA PE=1 SV=4
+MPFPVTTQGSQQTQPPQKHYGITSPISLAAPKETDCVLTQKLIETLKPFGVFEEEEELQRRILILGKLNNLVKEWIREISESKNLPQSVIENVGGKIFTFGSYRLGVHTKGADIDALCVAPRHVDRSDFFTSFYDKLKLQEEVKDLRAVEEAFVPVIKLCFDGIEIDILFARLALQTIPEDLDLRDDSLLKNLDIRCIRSLNGCRVTDEILHLVPNIDNFRLTLRAIKLWAKRHNIYSNILGFLGGVSWAMLVARTCQLYPNAIASTLVHKFFLVFSKWEWPNPVLLKQPEECNLNLPVWDPRVNPSDRYHLMPIITPAYPQQNSTYNVSVSTRMVMVEEFKQGLAITDEILLSKAEWSKLFEAPNFFQKYKHYIVLLASAPTEKQRLEWVGLVESKIRILVGSLEKNEFITLAHVNPQSFPAPKENPDKEEFRTMWVIGLVFKKTENSENLSVDLTYDIQSFTDTVYRQAINSKMFEVDMKIAAMHVKRKQLHQLLPNHVLQKKKKHSTEGVKLTALNDSSLDLSMDSDNSMSVPSPTSATKTSPLNSSGSSQGRNSPAPAVTAASVTNIQATEVSVPQVNSSESSGGTSSESIPQTATQPAISPPPKPTVSRVVSSTRLVNPPPRSSGNAATSGNAATKIPTPIVGVKRTSSPHKEESPKKTKTEEDETSEDANCLALSGHDKTEAKEQLDTETSTTQSETIQTAASLLASQKTSSTDLSDIPALPANPIPVIKNSIKLRLNR
+>DECOY_sp|P51003|PAPOA_HUMAN Poly(A) polymerase alpha OS=Homo sapiens OX=9606 GN=PAPOLA PE=1 SV=4
+RNLRLKISNKIVPIPNAPLAPIDSLDTSSTKQSALLSAATQITESQTTSTETDLQEKAETKDHGSLALCNADESTEDEETKTKKPSEEKHPSSTRKVGVIPTPIKTAANGSTAANGSSRPPPNVLRTSSVVRSVTPKPPPSIAPQTATQPISESSTGGSSESSNVQPVSVETAQINTVSAATVAPAPSNRGQSSGSSNLPSTKTASTPSPVSMSNDSDMSLDLSSDNLATLKVGETSHKKKKQLVHNPLLQHLQKRKVHMAAIKMDVEFMKSNIAQRYVTDTFSQIDYTLDVSLNESNETKKFVLGIVWMTRFEEKDPNEKPAPFSQPNVHALTIFENKELSGVLIRIKSEVLGVWELRQKETPASALLVIYHKYKQFFNPAEFLKSWEAKSLLIEDTIALGQKFEEVMVMRTSVSVNYTSNQQPYAPTIIPMLHYRDSPNVRPDWVPLNLNCEEPQKLLVPNPWEWKSFVLFFKHVLTSAIANPYLQCTRAVLMAWSVGGLFGLINSYINHRKAWLKIARLTLRFNDINPVLHLIEDTVRCGNLSRICRIDLNKLLSDDRLDLDEPITQLALRAFLIDIEIGDFCLKIVPVFAEEVARLDKVEEQLKLKDYFSTFFDSRDVHRPAVCLADIDAGKTHVGLRYSGFTFIKGGVNEIVSQPLNKSESIERIWEKVLNNLKGLILIRRQLEEEEEFVGFPKLTEILKQTLVCDTEKPAALSIPSTIGYHKQPPQTQQSGQTTVPFPM
+>sp|Q9NVD7|PARVA_HUMAN Alpha-parvin OS=Homo sapiens OX=9606 GN=PARVA PE=1 SV=1
+MATSPQKSPSVPKSPTPKSPPSRKKDDSFLGKLGGTLARRKKAKEVSELQEEGMNAINLPLSPIPFELDPEDTMLEENEVRTMVDPNSRSDPKLQELMKVLIDWINDVLVGERIIVKDLAEDLYDGQVLQKLFEKLESEKLNVAEVTQSEIAQKQKLQTVLEKINETLKLPPRSIKWNVDSVHAKSLVAILHLLVALSQYFRAPIRLPDHVSIQVVVVQKREGILQSRQIQEEITGNTEALSGRHERDAFDTLFDHAPDKLNVVKKTLITFVNKHLNKLNLEVTELETQFADGVYLVLLMGLLEGYFVPLHSFFLTPDSFEQKVLNVSFAFELMQDGGLEKPKPRPEDIVNCDLKSTLRVLYNLFTKYRNVE
+>DECOY_sp|Q9NVD7|PARVA_HUMAN Alpha-parvin OS=Homo sapiens OX=9606 GN=PARVA PE=1 SV=1
+EVNRYKTFLNYLVRLTSKLDCNVIDEPRPKPKELGGDQMLEFAFSVNLVKQEFSDPTLFFSHLPVFYGELLGMLLVLYVGDAFQTELETVELNLKNLHKNVFTILTKKVVNLKDPAHDFLTDFADREHRGSLAETNGTIEEQIQRSQLIGERKQVVVVQISVHDPLRIPARFYQSLAVLLHLIAVLSKAHVSDVNWKISRPPLKLTENIKELVTQLKQKQAIESQTVEAVNLKESELKEFLKQLVQGDYLDEALDKVIIREGVLVDNIWDILVKMLEQLKPDSRSNPDVMTRVENEELMTDEPDLEFPIPSLPLNIANMGEEQLESVEKAKKRRALTGGLKGLFSDDKKRSPPSKPTPSKPVSPSKQPSTAM
+>sp|Q9HBI0|PARVG_HUMAN Gamma-parvin OS=Homo sapiens OX=9606 GN=PARVG PE=1 SV=1
+MEPEFLYDLLQLPKGVEPPAEEELSKGGKKKYLPPTSRKDPKFEELQKVLMEWINATLLPEHIVVRSLEEDMFDGLILHHLFQRLAALKLEAEDIALTATSQKHKLTVVLEAVNRSLQLEEWQAKWSVESIFNKDLLSTLHLLVALAKRFQPDLSLPTNVQVEVITIESTKSGLKSEKLVEQLTEYSTDKDEPPKDVFDELFKLAPEKVNAVKEAIVNFVNQKLDRLGLSVQNLDTQFADGVILLLLIGQLEGFFLHLKEFYLTPNSPAEMLHNVTLALELLKDEGLLSCPVSPEDIVNKDAKSTLRVLYGLFCKHTQKAHRDRTPHGAPN
+>DECOY_sp|Q9HBI0|PARVG_HUMAN Gamma-parvin OS=Homo sapiens OX=9606 GN=PARVG PE=1 SV=1
+NPAGHPTRDRHAKQTHKCFLGYLVRLTSKADKNVIDEPSVPCSLLGEDKLLELALTVNHLMEAPSNPTLYFEKLHLFFGELQGILLLLIVGDAFQTDLNQVSLGLRDLKQNVFNVIAEKVANVKEPALKFLEDFVDKPPEDKDTSYETLQEVLKESKLGSKTSEITIVEVQVNTPLSLDPQFRKALAVLLHLTSLLDKNFISEVSWKAQWEELQLSRNVAELVVTLKHKQSTATLAIDEAELKLAALRQFLHHLILGDFMDEELSRVVIHEPLLTANIWEMLVKQLEEFKPDKRSTPPLYKKKGGKSLEEEAPPEVGKPLQLLDYLFEPEM
+>sp|Q8IV76|PASD1_HUMAN Circadian clock protein PASD1 OS=Homo sapiens OX=9606 GN=PASD1 PE=1 SV=1
+MKMRGEKRRDKVNPKSSQRKLNWIPSFPTYDYFNQVTLQLLDGFMITLSTDGVIICVAENISSLLGHLPAEIVGKKLLSLLPDEEKDEVYQKIILKFPLLNSETHIEFCCHLKRGNVEHGDSSAYENVKFIVNVRDICNEFPVVFSGLFSSHLCADFAACVPQEDRLYLVGNVCILRTQLLQQLYTSKAVSDEAVLTQDSDEEPFVGELSSSQGQRGHTSMKAVYVEPAAAAAAAAISDDQIDIAEVEQYGPQENVHMFVDSDSTYCSSTVFLDTMPESPALSLQDFRGEPEVNPLYRADPVDLEFSVDQVDSVDQEGPMDQQDPENPVAPLDQAGLMDPVDPEDSVDLGAAGASAQPLQPSSPVAYDIISQELELMKKLKEQLEERTWLLHDAIQNQQNALELMMDHLQKQPNTLRHVVIPDLQSSEAVPKKQQKQHAGQVKRPLPHPKDVKCFCGLSLSNSLKNTGELQEPCVAFNQQQLVQQEQHLKEQQRQLREQLQQLREQRKVQKQKKMQEKKKLQEQKMQEKKKLQEQRRQKKKKLQERKKWQGQMLQKEPEEEQQKQQLQEQPLKHNVIVGNERVQICLQNPRDVSVPLCNHPVRFLQAQPIVPVQRAAEQQPSGFYQDENCGQQEDESQSFYPEAYQGPPVNQLPLIDTSNSEAISSSSIPQFPITSDSTISTLETPQDYIRLWQELSDSLGPVVQVNTWSCDEQGTLHGQPTYHQVQVSEVGVEGPPDPQAFQGPAAYQPDQMRSAEQTRLMPAEQRDSNKPC
+>DECOY_sp|Q8IV76|PASD1_HUMAN Circadian clock protein PASD1 OS=Homo sapiens OX=9606 GN=PASD1 PE=1 SV=1
+CPKNSDRQEAPMLRTQEASRMQDPQYAAPGQFAQPDPPGEVGVESVQVQHYTPQGHLTGQEDCSWTNVQVVPGLSDSLEQWLRIYDQPTELTSITSDSTIPFQPISSSSIAESNSTDILPLQNVPPGQYAEPYFSQSEDEQQGCNEDQYFGSPQQEAARQVPVIPQAQLFRVPHNCLPVSVDRPNQLCIQVRENGVIVNHKLPQEQLQQKQQEEEPEKQLMQGQWKKREQLKKKKQRRQEQLKKKEQMKQEQLKKKEQMKKQKQVKRQERLQQLQERLQRQQEKLHQEQQVLQQQNFAVCPEQLEGTNKLSNSLSLGCFCKVDKPHPLPRKVQGAHQKQQKKPVAESSQLDPIVVHRLTNPQKQLHDMMLELANQQNQIADHLLWTREELQEKLKKMLELEQSIIDYAVPSSPQLPQASAGAAGLDVSDEPDVPDMLGAQDLPAVPNEPDQQDMPGEQDVSDVQDVSFELDVPDARYLPNVEPEGRFDQLSLAPSEPMTDLFVTSSCYTSDSDVFMHVNEQPGYQEVEAIDIQDDSIAAAAAAAAPEVYVAKMSTHGRQGQSSSLEGVFPEEDSDQTLVAEDSVAKSTYLQQLLQTRLICVNGVLYLRDEQPVCAAFDACLHSSFLGSFVVPFENCIDRVNVIFKVNEYASSDGHEVNGRKLHCCFEIHTESNLLPFKLIIKQYVEDKEEDPLLSLLKKGVIEAPLHGLLSSINEAVCIIVGDTSLTIMFGDLLQLTVQNFYDYTPFSPIWNLKRQSSKPNVKDRRKEGRMKM
+>sp|Q96RG2|PASK_HUMAN PAS domain-containing serine/threonine-protein kinase OS=Homo sapiens OX=9606 GN=PASK PE=1 SV=3
+MEDGGLTAFEEDQRCLSQSLPLPVSAEGPAAQTTAEPSRSFSSAHRHLSRRNGLSRLCQSRTALSEDRWSSYCLSSLAAQNICTSKLHCPAAPEHTDPSEPRGSVSCCSLLRGLSSGWSSPLLPAPVCNPNKAIFTVDAKTTEILVANDKACGLLGYSSQDLIGQKLTQFFLRSDSDVVEALSEEHMEADGHAAVVFGTVVDIISRSGEKIPVSVWMKRMRQERRLCCVVVLEPVERVSTWVAFQSDGTVTSCDSLFAHLHGYVSGEDVAGQHITDLIPSVQLPPSGQHIPKNLKIQRSVGRARDGTTFPLSLKLKSQPSSEEATTGEAAPVSGYRASVWVFCTISGLITLLPDGTIHGINHSFALTLFGYGKTELLGKNITFLIPGFYSYMDLAYNSSLQLPDLASCLDVGNESGCGERTLDPWQGQDPAEGGQDPRINVVLAGGHVVPRDEIRKLMESQDIFTGTQTELIAGGQLLSCLSPQPAPGVDNVPEGSLPVHGEQALPKDQQITALGREEPVAIESPGQDLLGESRSEPVDVKPFASCEDSEAPVPAEDGGSDAGMCGLCQKAQLERMGVSGPSGSDLWAGAAVAKPQAKGQLAGGSLLMHCPCYGSEWGLWWRSQDLAPSPSGMAGLSFGTPTLDEPWLGVENDREELQTCLIKEQLSQLSLAGALDVPHAELVPTECQAVTAPVSSCDLGGRDLCGGCTGSSSACYALATDLPGGLEAVEAQEVDVNSFSWNLKELFFSDQTDQTSSNCSCATSELRETPSSLAVGSDPDVGSLQEQGSCVLDDRELLLLTGTCVDLGQGRRFRESCVGHDPTEPLEVCLVSSEHYAASDRESPGHVPSTLDAGPEDTCPSAEEPRLNVQVTSTPVIVMRGAAGLQREIQEGAYSGSCYHRDGLRLSIQFEVRRVELQGPTPLFCCWLVKDLLHSQRDSAARTRLFLASLPGSTHSTAAELTGPSLVEVLRARPWFEEPPKAVELEGLAACEGEYSQKYSTMSPLGSGAFGFVWTAVDKEKNKEVVVKFIKKEKVLEDCWIEDPKLGKVTLEIAILSRVEHANIIKVLDIFENQGFFQLVMEKHGSGLDLFAFIDRHPRLDEPLASYIFRQLVSAVGYLRLKDIIHRDIKDENIVIAEDFTIKLIDFGSAAYLERGKLFYTFCGTIEYCAPEVLMGNPYRGPELEMWSLGVTLYTLVFEENPFCELEETVEAAIHPPYLVSKELMSLVSGLLQPVPERRTTLEKLVTDPWVTQPVNLADYTWEEVFRVNKPESGVLSAASLEMGNRSLSDVAQAQELCGGPVPGEAPNGQGCLHPGDPRLLTS
+>DECOY_sp|Q96RG2|PASK_HUMAN PAS domain-containing serine/threonine-protein kinase OS=Homo sapiens OX=9606 GN=PASK PE=1 SV=3
+STLLRPDGPHLCGQGNPAEGPVPGGCLEQAQAVDSLSRNGMELSAASLVGSEPKNVRFVEEWTYDALNVPQTVWPDTVLKELTTRREPVPQLLGSVLSMLEKSVLYPPHIAAEVTEELECFPNEEFVLTYLTVGLSWMELEPGRYPNGMLVEPACYEITGCFTYFLKGRELYAASGFDILKITFDEAIVINEDKIDRHIIDKLRLYGVASVLQRFIYSALPEDLRPHRDIFAFLDLGSGHKEMVLQFFGQNEFIDLVKIINAHEVRSLIAIELTVKGLKPDEIWCDELVKEKKIFKVVVEKNKEKDVATWVFGFAGSGLPSMTSYKQSYEGECAALGELEVAKPPEEFWPRARLVEVLSPGTLEAATSHTSGPLSALFLRTRAASDRQSHLLDKVLWCCFLPTPGQLEVRRVEFQISLRLGDRHYCSGSYAGEQIERQLGAAGRMVIVPTSTVQVNLRPEEASPCTDEPGADLTSPVHGPSERDSAAYHESSVLCVELPETPDHGVCSERFRRGQGLDVCTGTLLLLERDDLVCSGQEQLSGVDPDSGVALSSPTERLESTACSCNSSTQDTQDSFFLEKLNWSFSNVDVEQAEVAELGGPLDTALAYCASSSGTCGGCLDRGGLDCSSVPATVAQCETPVLEAHPVDLAGALSLQSLQEKILCTQLEERDNEVGLWPEDLTPTGFSLGAMGSPSPALDQSRWWLGWESGYCPCHMLLSGGALQGKAQPKAVAAGAWLDSGSPGSVGMRELQAKQCLGCMGADSGGDEAPVPAESDECSAFPKVDVPESRSEGLLDQGPSEIAVPEERGLATIQQDKPLAQEGHVPLSGEPVNDVGPAPQPSLCSLLQGGAILETQTGTFIDQSEMLKRIEDRPVVHGGALVVNIRPDQGGEAPDQGQWPDLTREGCGSENGVDLCSALDPLQLSSNYALDMYSYFGPILFTINKGLLETKGYGFLTLAFSHNIGHITGDPLLTILGSITCFVWVSARYGSVPAAEGTTAEESSPQSKLKLSLPFTTGDRARGVSRQIKLNKPIHQGSPPLQVSPILDTIHQGAVDEGSVYGHLHAFLSDCSTVTGDSQFAVWTSVREVPELVVVCCLRREQRMRKMWVSVPIKEGSRSIIDVVTGFVVAAHGDAEMHEESLAEVVDSDSRLFFQTLKQGILDQSSYGLLGCAKDNAVLIETTKADVTFIAKNPNCVPAPLLPSSWGSSLGRLLSCCSVSGRPESPDTHEPAAPCHLKSTCINQAALSSLCYSSWRDESLATRSQCLRSLGNRRSLHRHASSFSRSPEATTQAAPGEASVPLPLSQSLCRQDEEFATLGGDEM
+>sp|Q8WXA2|PATE1_HUMAN Prostate and testis expressed protein 1 OS=Homo sapiens OX=9606 GN=PATE1 PE=1 SV=1
+MDKSLLLELPILLCCFRALSGSLSMRNDAVNEIVAVKNNFPVIEIVQCRMCHLQFPGEKCSRGRGICTATTEEACMVGRMFKRDGNPWLTFMGCLKNCADVKGIRWSVYLVNFRCCRSHDLCNEDL
+>DECOY_sp|Q8WXA2|PATE1_HUMAN Prostate and testis expressed protein 1 OS=Homo sapiens OX=9606 GN=PATE1 PE=1 SV=1
+LDENCLDHSRCCRFNVLYVSWRIGKVDACNKLCGMFTLWPNGDRKFMRGVMCAEETTATCIGRGRSCKEGPFQLHCMRCQVIEIVPFNNKVAVIENVADNRMSLSGSLARFCCLLIPLELLLSKDM
+>sp|B3GLJ2|PATE3_HUMAN Prostate and testis expressed protein 3 OS=Homo sapiens OX=9606 GN=PATE3 PE=2 SV=1
+MNKHFLFLFLLYCLIVAVTSLQCITCHLRTRTDRCRRGFGVCTAQKGEACMLLRIYQRNTLQISYMVCQKFCRDMTFDLRNRTYVHTCCNYNYCNFKL
+>DECOY_sp|B3GLJ2|PATE3_HUMAN Prostate and testis expressed protein 3 OS=Homo sapiens OX=9606 GN=PATE3 PE=2 SV=1
+LKFNCYNYNCCTHVYTRNRLDFTMDRCFKQCVMYSIQLTNRQYIRLLMCAEGKQATCVGFGRRCRDTRTRLHCTICQLSTVAVILCYLLFLFLFHKNM
+>sp|Q5VZ03|NXNL2_HUMAN Nucleoredoxin-like protein 2 OS=Homo sapiens OX=9606 GN=NXNL2 PE=2 SV=1
+MVDILGERHLVTCKGATVEAEAALQNKVVALYFAAARCAPSRDFTPLLCDFYTALVAEARRPAPFEVVFVSADGSSQEMLDFMRELHGAWLALPFHDPYRHELRKRYNVTAIPKLVIVKQNGEVITNKGRKQIRERGLACFQDWVEAADIFQNFSV
+>DECOY_sp|Q5VZ03|NXNL2_HUMAN Nucleoredoxin-like protein 2 OS=Homo sapiens OX=9606 GN=NXNL2 PE=2 SV=1
+VSFNQFIDAAEVWDQFCALGRERIQKRGKNTIVEGNQKVIVLKPIATVNYRKRLEHRYPDHFPLALWAGHLERMFDLMEQSSGDASVFVVEFPAPRRAEAVLATYFDCLLPTFDRSPACRAAAFYLAVVKNQLAAEAEVTAGKCTVLHREGLIDVM
+>sp|Q8N323|NXPE1_HUMAN NXPE family member 1 OS=Homo sapiens OX=9606 GN=NXPE1 PE=2 SV=2
+MSSNTMLQKTLLILISFSVVTWMIFIISQNFTKLWSALNLSISVHYWNNSAKSLFPKTSLIPLKPLTETELRIKEIIEKLDQQIPPRPFTHVNTTTSATHSTATILNPRDTYCRGDQLDILLEVRDHLGQRKQYGGDFLRARMSSPALTAGASGKVMDFNNGTYLVSFTLFWEGQVSLSLLLIHPSEGASALWRARNQGYDKIIFKGKFVNGTSHVFTECGLTLNSNAELCEYLDDRDQEAFYCMKPQHMPCEALTYMTTRNREVSYLTDKENSLFHRSKVGVEMMKDRKHIDVTNCNKREKIEETCQVGMKPPVPGGYTLQGKWITTFCNQVQLDTIKINGCLKGKLIYLLGDSTLRQWIYYFPKVVKTLKFFDLHETGIFKKHLLLDAERHTQIQWKKHSYPFVTFQLYSLIDHDYIPREIDRLSGDKNTAIVITFGQHFRPFPIDIFIRRAIGVQKAIERLFLRSPATKVIIKTENIREMHIETERFGDFHGYIHYLIMKDIFKDLNVGIIDAWDMTIAYGTDTIHPPDHVIGNQINMFLNYIC
+>DECOY_sp|Q8N323|NXPE1_HUMAN NXPE family member 1 OS=Homo sapiens OX=9606 GN=NXPE1 PE=2 SV=2
+CIYNLFMNIQNGIVHDPPHITDTGYAITMDWADIIGVNLDKFIDKMILYHIYGHFDGFRETEIHMERINETKIIVKTAPSRLFLREIAKQVGIARRIFIDIPFPRFHQGFTIVIATNKDGSLRDIERPIYDHDILSYLQFTVFPYSHKKWQIQTHREADLLLHKKFIGTEHLDFFKLTKVVKPFYYIWQRLTSDGLLYILKGKLCGNIKITDLQVQNCFTTIWKGQLTYGGPVPPKMGVQCTEEIKERKNCNTVDIHKRDKMMEVGVKSRHFLSNEKDTLYSVERNRTTMYTLAECPMHQPKMCYFAEQDRDDLYECLEANSNLTLGCETFVHSTGNVFKGKFIIKDYGQNRARWLASAGESPHILLLSLSVQGEWFLTFSVLYTGNNFDMVKGSAGATLAPSSMRARLFDGGYQKRQGLHDRVELLIDLQDGRCYTDRPNLITATSHTASTTTNVHTFPRPPIQQDLKEIIEKIRLETETLPKLPILSTKPFLSKASNNWYHVSISLNLASWLKTFNQSIIFIMWTVVSFSILILLTKQLMTNSSM
+>sp|O95158|NXPH4_HUMAN Neurexophilin-4 OS=Homo sapiens OX=9606 GN=NXPH4 PE=2 SV=3
+MRLLPEWFLLLFGPWLLRKAVSAQIPESGRPQYLGLRPAAAGAGAPGQQLPEPRSSDGLGVGRAWSWAWPTNHTGALARAGAAGALPAQRTKRKPSIKAARAKKIFGWGDFYFRVHTLKFSLLVTGKIVDHVNGTFSVYFRHNSSSLGNLSVSIVPPSKRVEFGGVWLPGPVPHPLQSTLALEGVLPGLGPPLGMAAAAAGPGLGGSLGGALAGPLGGALGVPGAKESRAFNCHVEYEKTNRARKHRPCLYDPSQVCFTEHTQSQAAWLCAKPFKVICIFVSFLSFDYKLVQKVCPDYNFQSEHPYFG
+>DECOY_sp|O95158|NXPH4_HUMAN Neurexophilin-4 OS=Homo sapiens OX=9606 GN=NXPH4 PE=2 SV=3
+GFYPHESQFNYDPCVKQVLKYDFSLFSVFICIVKFPKACLWAAQSQTHETFCVQSPDYLCPRHKRARNTKEYEVHCNFARSEKAGPVGLAGGLPGALAGGLSGGLGPGAAAAAMGLPPGLGPLVGELALTSQLPHPVPGPLWVGGFEVRKSPPVISVSLNGLSSSNHRFYVSFTGNVHDVIKGTVLLSFKLTHVRFYFDGWGFIKKARAAKISPKRKTRQAPLAGAAGARALAGTHNTPWAWSWARGVGLGDSSRPEPLQQGPAGAGAAAPRLGLYQPRGSEPIQASVAKRLLWPGFLLLFWEPLLRM
+>sp|Q6NXP6|NXRD1_HUMAN NADP-dependent oxidoreductase domain-containing protein 1 OS=Homo sapiens OX=9606 GN=NOXRED1 PE=2 SV=2
+MDMLQDLESLQFEYGVPEEDRIWLYLQGRSRGLMIEACAHATFFCKLLYNLRASLNKNQSSRHLSIGSLNSATPEEFKVGIIGGGHLGKQLAGTLLQLGPIPAESLRISTRRPETLGELQKLGIKCFYHNADLVSWADVIFLCCLPSQLPNICVEIYTSLEKASIVYSFVAAIPLPRLKLLLNHTNILRPQYQYDEDSVSVWGANKGVIAALQDPTILQATCPYSPAGGIILNIKWLEGVFYAALNICTARNMAHSQVLQLLSELFLSVHFEDCGKDTASCPKLQLTDFVSKAYGKNLSQERPFPWFDLTAVQLKETPFSQHLSSSPVLQDHLTHLYCASFGISLTKEQPVISTGFPSQ
+>DECOY_sp|Q6NXP6|NXRD1_HUMAN NADP-dependent oxidoreductase domain-containing protein 1 OS=Homo sapiens OX=9606 GN=NOXRED1 PE=2 SV=2
+QSPFGTSIVPQEKTLSIGFSACYLHTLHDQLVPSSSLHQSFPTEKLQVATLDFWPFPREQSLNKGYAKSVFDTLQLKPCSATDKGCDEFHVSLFLESLLQLVQSHAMNRATCINLAAYFVGELWKINLIIGGAPSYPCTAQLITPDQLAAIVGKNAGWVSVSDEDYQYQPRLINTHNLLLKLRPLPIAAVFSYVISAKELSTYIEVCINPLQSPLCCLFIVDAWSVLDANHYFCKIGLKQLEGLTEPRRTSIRLSEAPIPGLQLLTGALQKGLHGGGIIGVKFEEPTASNLSGISLHRSSQNKNLSARLNYLLKCFFTAHACAEIMLGRSRGQLYLWIRDEEPVGYEFQLSELDQLMDM
+>sp|Q9UKK6|NXT1_HUMAN NTF2-related export protein 1 OS=Homo sapiens OX=9606 GN=NXT1 PE=1 SV=1
+MASVDFKTYVDQACRAAEEFVNVYYTTMDKRRRLLSRLYMGTATLVWNGNAVSGQESLSEFFEMLPSSEFQISVVDCQPVHDEATPSQTTVLVVICGSVKFEGNKQRDFNQNFILTAQASPSNTVWKIASDCFRFQDWAS
+>DECOY_sp|Q9UKK6|NXT1_HUMAN NTF2-related export protein 1 OS=Homo sapiens OX=9606 GN=NXT1 PE=1 SV=1
+SAWDQFRFCDSAIKWVTNSPSAQATLIFNQNFDRQKNGEFKVSGCIVVLVTTQSPTAEDHVPQCDVVSIQFESSPLMEFFESLSEQGSVANGNWVLTATGMYLRSLLRRRKDMTTYYVNVFEEAARCAQDVYTKFDVSAM
+>sp|Q9NPJ8|NXT2_HUMAN NTF2-related export protein 2 OS=Homo sapiens OX=9606 GN=NXT2 PE=1 SV=1
+MATSLDFKTYVDQACRAAEEFVNIYYETMDKRRRALTRLYLDKATLIWNGNAVSGLDALNNFFDTLPSSEFQVNMLDCQPVHEQATQSQTTVLVVTSGTVKFDGNKQHFFNQNFLLTAQSTPNNTVWKIASDCFRFQDWSSS
+>DECOY_sp|Q9NPJ8|NXT2_HUMAN NTF2-related export protein 2 OS=Homo sapiens OX=9606 GN=NXT2 PE=1 SV=1
+SSSWDQFRFCDSAIKWVTNNPTSQATLLFNQNFFHQKNGDFKVTGSTVVLVTTQSQTAQEHVPQCDLMNVQFESSPLTDFFNNLADLGSVANGNWILTAKDLYLRTLARRRKDMTEYYINVFEEAARCAQDVYTKFDLSTAM
+>sp|Q9P242|NYAP2_HUMAN Neuronal tyrosine-phosphorylated phosphoinositide-3-kinase adapter 2 OS=Homo sapiens OX=9606 GN=NYAP2 PE=1 SV=3
+MISSKMMSSNPEEDPLDTFLQYIEDMGMKAYDGLVIQNASDIARENDRLRNETNLAYLKEKNEKRRRQEEAIKRIGGEVGRGHEGSYVGKHFRMGFMTMPAPQDRLPHPCSSGFSVRSQSLHSVGGTDDDSSCGSRRQPPPKPKRDPSTKLSTSSETVSSTAASKSGKTPERTEASAKPRPHSDEYSKKIPPPKPKRNPNTQLSTSFDETYIKKHGPRRTSLPRDSSLSQMGSPAGDPEEEEPVYIEMVGNILRDFRKEDDDQSEAVYEEMKYPIFDDLGQDAKCDFDHHSCSSQCATPTVPDLDFAKASVPCPPKGLLCDIPPPFPNLLSHRPPLLVFPPAPVHCSPNSDESPLTPLEVTKLPVLENVSYMKQPAGASPSTLPSHVPGHAKLEKEQAAALGPASATPALSSSPPPPSTLYRTQSPHGYPKSHSTSPSPVSMGRSLTPLSLKRPPPYDAVHSGSLSRSSPSVPHSTPRPVSQDGAKMVNAAVNTYGAAPGGSRSRTPTSPLEELTSLFSSGRSLLRKSSSGRRSKEPAEKSTEELKVRSHSTEPLPKLDNKERGHHGASSSREPVKAQEWDGTPGTPVVTSRLGRCSVSPTLLAGNHSSEPKVSCKLGRSASTSGVPPPSVTPLRQSSDLQQSQVPSSLANRD
+>DECOY_sp|Q9P242|NYAP2_HUMAN Neuronal tyrosine-phosphorylated phosphoinositide-3-kinase adapter 2 OS=Homo sapiens OX=9606 GN=NYAP2 PE=1 SV=3
+DRNALSSPVQSQQLDSSQRLPTVSPPPVGSTSASRGLKCSVKPESSHNGALLTPSVSCRGLRSTVVPTGPTGDWEQAKVPERSSSAGHHGREKNDLKPLPETSHSRVKLEETSKEAPEKSRRGSSSKRLLSRGSSFLSTLEELPSTPTRSRSGGPAAGYTNVAANVMKAGDQSVPRPTSHPVSPSSRSLSGSHVADYPPPRKLSLPTLSRGMSVPSPSTSHSKPYGHPSQTRYLTSPPPPSSSLAPTASAPGLAAAQEKELKAHGPVHSPLTSPSAGAPQKMYSVNELVPLKTVELPTLPSEDSNPSCHVPAPPFVLLPPRHSLLNPFPPPIDCLLGKPPCPVSAKAFDLDPVTPTACQSSCSHHDFDCKADQGLDDFIPYKMEEYVAESQDDDEKRFDRLINGVMEIYVPEEEEPDGAPSGMQSLSSDRPLSTRRPGHKKIYTEDFSTSLQTNPNRKPKPPPIKKSYEDSHPRPKASAETREPTKGSKSAATSSVTESSTSLKTSPDRKPKPPPQRRSGCSSDDDTGGVSHLSQSRVSFGSSCPHPLRDQPAPMTMFGMRFHKGVYSGEHGRGVEGGIRKIAEEQRRRKENKEKLYALNTENRLRDNERAIDSANQIVLGDYAKMGMDEIYQLFTDLPDEEPNSSMMKSSIM
+>sp|Q9H209|O10A4_HUMAN Olfactory receptor 10A4 OS=Homo sapiens OX=9606 GN=OR10A4 PE=2 SV=2
+MMWENWTIVSEFVLVSFSALSTELQALLFLLFLTIYLVTLMGNVLIILVTIADSALQSPMYFFLRNLSFLEIGFNLVIVPKMLGTLIIQDTTISFLGCATQMYFFFFFGAAECCLLATMAYDRYVAICDPLHYPVIMGHISCAQLAAASWFSGFSVATVQTTWIFSFPFCGPNRVNHFFCDSPPVIALVCADTSVFELEALTATVLFILFPFLLILGSYVRILSTIFRMPSAEGKHQAFSTCSAHLLVVSLFYSTAILTYFRPQSSASSESKKLLSLSSTVVTPMLNPIIYSSRNKEVKAALKRLIHRTLGSQKL
+>DECOY_sp|Q9H209|O10A4_HUMAN Olfactory receptor 10A4 OS=Homo sapiens OX=9606 GN=OR10A4 PE=2 SV=2
+LKQSGLTRHILRKLAAKVEKNRSSYIIPNLMPTVVTSSLSLLKKSESSASSQPRFYTLIATSYFLSVVLLHASCTSFAQHKGEASPMRFITSLIRVYSGLILLFPFLIFLVTATLAELEFVSTDACVLAIVPPSDCFFHNVRNPGCFPFSFIWTTQVTAVSFGSFWSAAALQACSIHGMIVPYHLPDCIAVYRDYAMTALLCCEAAGFFFFFYMQTACGLFSITTDQIILTGLMKPVIVLNFGIELFSLNRLFFYMPSQLASDAITVLIILVNGMLTVLYITLFLLFLLAQLETSLASFSVLVFESVITWNEWMM
+>sp|Q8NH74|O10A6_HUMAN Olfactory receptor 10A6 OS=Homo sapiens OX=9606 GN=OR10A6 PE=3 SV=1
+MERQNQSCVVEFILLGFSNYPELQGQLFVAFLVIYLVTLIGNAIIIVIVSLDQSLHVPMYLFLLNLSVVDLSFSAVIMPEMLVVLSTEKTTISFGGCFAQMYFILLFGGAECFLLGAMAYDRFAAICHPLNYQMIMNKGVFMKLIIFSWALGFMLGTVQTSWVSSFPFCGLNEINHISCETPAVLELACADTFLFEIYAFTGTFLIILVPFLLILLSYIRVLFAILKMPSTTGRQKAFSTCAAHLTSVTLFYGTASMTYLQPKSGYSPETKKVMSLSYSLLTPLLNLLIYSLRNSEMKRALMKLWRRRVVLHTI
+>DECOY_sp|Q8NH74|O10A6_HUMAN Olfactory receptor 10A6 OS=Homo sapiens OX=9606 GN=OR10A6 PE=3 SV=1
+ITHLVVRRRWLKMLARKMESNRLSYILLNLLPTLLSYSLSMVKKTEPSYGSKPQLYTMSATGYFLTVSTLHAACTSFAKQRGTTSPMKLIAFLVRIYSLLILLFPVLIILFTGTFAYIEFLFTDACALELVAPTECSIHNIENLGCFPFSSVWSTQVTGLMFGLAWSFIILKMFVGKNMIMQYNLPHCIAAFRDYAMAGLLFCEAGGFLLIFYMQAFCGGFSITTKETSLVVLMEPMIVASFSLDVVSLNLLFLYMPVHLSQDLSVIVIIIANGILTVLYIVLFAVFLQGQLEPYNSFGLLIFEVVCSQNQREM
+>sp|Q8NH08|O10AC_HUMAN Olfactory receptor 10AC1 OS=Homo sapiens OX=9606 GN=OR10AC1 PE=3 SV=2
+MDSPSNATVPCGFLLQGFSEFPHLRPVLFLLLLGVHLATLGGNLLILVAVASMPSRQPMLLFLCQLSAIELCYTLVVVPRSLVDLSTPGHRRGSPISFLSCAFQMQMFVALGGAECFLLAAMAYDRYVAICHPLRYAAVVTPGLCARLALACCLRGLAVSVGLTVAIFHLPFCGSRLLLHFFCDITALLHLACTRSYADELPLLGACLVLLLLPSVLILASYGAIAAALRRLRCPKGRGKAASTCALHLAVTFLHYGCATFMYVRPRASYSPRLDRTLALVYTNVTPLLCPLIYSLRNREITAALSRVLGRRRPGQAPGGDLREL
+>DECOY_sp|Q8NH08|O10AC_HUMAN Olfactory receptor 10AC1 OS=Homo sapiens OX=9606 GN=OR10AC1 PE=3 SV=2
+LERLDGGPAQGPRRRGLVRSLAATIERNRLSYILPCLLPTVNTYVLALTRDLRPSYSARPRVYMFTACGYHLFTVALHLACTSAAKGRGKPCRLRRLAAAIAGYSALILVSPLLLLVLCAGLLPLEDAYSRTCALHLLATIDCFFHLLLRSGCFPLHFIAVTLGVSVALGRLCCALALRACLGPTVVAAYRLPHCIAVYRDYAMAALLFCEAGGLAVFMQMQFACSLFSIPSGRRHGPTSLDVLSRPVVVLTYCLEIASLQCLFLLMPQRSPMSAVAVLILLNGGLTALHVGLLLLFLVPRLHPFESFGQLLFGCPVTANSPSDM
+>sp|Q8NH19|O10AG_HUMAN Olfactory receptor 10AG1 OS=Homo sapiens OX=9606 GN=OR10AG1 PE=2 SV=1
+MEFVLLGFSDIPNLHWMLFSIFLLMYLMILMCNGIIILLIKIHPALQTPMYFFLSNFSLLEICYVTIIIPRMLMDIWTQKGNISLFACATQMCFFLMLGGTECLLLTVMAYDRYVAICKPLQYPLVMNHKVCIQLIIASWTITIPVVIGETCQIFLLPFCGTNTINHFFCDIPPILKLACGNIFVNEITVHVVAVVFITVPFLLIVVSYGKIISNILKLSSARGKAKAFSTCSSHLIVVILFFGAGTITYLQPKPHQFQRMGKLISLFYTILIPTLNPIIYTLRNKDIMVALRKLLAKLLT
+>DECOY_sp|Q8NH19|O10AG_HUMAN Olfactory receptor 10AG1 OS=Homo sapiens OX=9606 GN=OR10AG1 PE=2 SV=1
+TLLKALLKRLAVMIDKNRLTYIIPNLTPILITYFLSILKGMRQFQHPKPQLYTITGAGFFLIVVILHSSCTSFAKAKGRASSLKLINSIIKGYSVVILLFPVTIFVVAVVHVTIENVFINGCALKLIPPIDCFFHNITNTGCFPLLFIQCTEGIVVPITITWSAIILQICVKHNMVLPYQLPKCIAVYRDYAMVTLLLCETGGLMLFFCMQTACAFLSINGKQTWIDMLMRPIIITVYCIELLSFNSLFFYMPTQLAPHIKILLIIIGNCMLIMLYMLLFISFLMWHLNPIDSFGLLVFEM
+>sp|Q8NH80|O10D3_HUMAN Putative olfactory receptor 10D3 OS=Homo sapiens OX=9606 GN=OR10D3 PE=5 SV=1
+MEVKNCCMVTEFILLGIPHTEGLEMTLFVLFLPFYACTLLGNVSILVAVMSSARLHTPMYFFLGNLSVFDMGFSSVTCPKMLLYLMGLSRLISYKDCVCQLFFFHFLGSIECFLFTVMAYDRFTAICYPLRYTVIMNPRICVALAVGTWLLGCIHSSILTSLTFTLPYCGPNEVDHFFCDIPALLPLACADTSLAQRVSFTNVGLISLVCFLLILLSYTRITISILSIRTTEGRRRAFSTCSAHLIAILCAYGPIITVYLQPTPNPMLGTVVQILMNLVGPMLNPLIYTLRNKEVKTALKTILHRTGHVPES
+>DECOY_sp|Q8NH80|O10D3_HUMAN Putative olfactory receptor 10D3 OS=Homo sapiens OX=9606 GN=OR10D3 PE=5 SV=1
+SEPVHGTRHLITKLATKVEKNRLTYILPNLMPGVLNMLIQVVTGLMPNPTPQLYVTIIPGYACLIAILHASCTSFARRRGETTRISLISITIRTYSLLILLFCVLSILGVNTFSVRQALSTDACALPLLAPIDCFFHDVENPGCYPLTFTLSTLISSHICGLLWTGVALAVCIRPNMIVTYRLPYCIATFRDYAMVTFLFCEISGLFHFFFLQCVCDKYSILRSLGMLYLLMKPCTVSSFGMDFVSLNGLFFYMPTHLRASSMVAVLISVNGLLTCAYFPLFLVFLTMELGETHPIGLLIFETVMCCNKVEM
+>sp|Q8NGC3|O10G2_HUMAN Olfactory receptor 10G2 OS=Homo sapiens OX=9606 GN=OR10G2 PE=2 SV=1
+MGKTKNTSLDAVVTDFILLGLSHPPNLRSLLFLVFFIIYILTQLGNLLILLTMWADPKLCARPMYILLGVLSFLDMWLSSVTVPRLILDFTPSIKAIPFGGCVAQLYFFHFLGSTQCFLYTLMAYDRYLAICQPLHYPVLMNGRLCTVLVAGAWVAGSMHGSIQATLTFRLPYCGPNQVDYFICDIRAVLRLACADTTVNELVTFVDVRVVAASCFMLILLSYANIVHAILKIRTADGRRRAFSTCGSHLIVVTVYYVPCIFIYLRAGSKDPLDGAAAVFYTVVTPLLNPLIYTLRNQEVKSALKRITAG
+>DECOY_sp|Q8NGC3|O10G2_HUMAN Olfactory receptor 10G2 OS=Homo sapiens OX=9606 GN=OR10G2 PE=2 SV=1
+GATIRKLASKVEQNRLTYILPNLLPTVVTYFVAAAGDLPDKSGARLYIFICPVYYVTVVILHSGCTSFARRRGDATRIKLIAHVINAYSLLILMFCSAAVVRVDVFTVLENVTTDACALRLVARIDCIFYDVQNPGCYPLRFTLTAQISGHMSGAVWAGAVLVTCLRGNMLVPYHLPQCIALYRDYAMLTYLFCQTSGLFHFFYLQAVCGGFPIAKISPTFDLILRPVTVSSLWMDLFSLVGLLIYMPRACLKPDAWMTLLILLNGLQTLIYIIFFVLFLLSRLNPPHSLGLLIFDTVVADLSTNKTKGM
+>sp|Q8NH81|O10G6_HUMAN Olfactory receptor 10G6 OS=Homo sapiens OX=9606 GN=OR10G6 PE=3 SV=1
+MLEGVEHLLLLLLLTDVNSKELQSGNQTSVSHFILVGLHHPPQLGAPLFLAFLVIYLLTVSGNGLIILTVLVDIRLHRPMCLFLCHLSFLDMTISCAIVPKMLAGFLLGSRIISFGGCVIQLFSFHFLGCTECFLYTLMAYDRFLAICKPLHYATIMTHRVCNSLALGTWLGGTIHSLFQTSFVFRLPFCGPNRVDYIFCDIPAMLRLACADTAINELVTFADIGFLALTCFMLILTSYGYIVAAILRIPSADGRRNAFSTCAAHLTVVIVYYVPCTFIYLRPCSQEPLDGVVAVFYTVITPLLNSIIYTLCNKEMKAALQRLGGHKEVQPH
+>DECOY_sp|Q8NH81|O10G6_HUMAN Olfactory receptor 10G6 OS=Homo sapiens OX=9606 GN=OR10G6 PE=3 SV=1
+HPQVEKHGGLRQLAAKMEKNCLTYIISNLLPTIVTYFVAVVGDLPEQSCPRLYIFTCPVYYVIVVTLHAACTSFANRRGDASPIRLIAAVIYGYSTLILMFCTLALFGIDAFTVLENIATDACALRLMAPIDCFIYDVRNPGCFPLRFVFSTQFLSHITGGLWTGLALSNCVRHTMITAYHLPKCIALFRDYAMLTYLFCETCGLFHFSFLQIVCGGFSIIRSGLLFGALMKPVIACSITMDLFSLHCLFLCMPRHLRIDVLVTLIILGNGSVTLLYIVLFALFLPAGLQPPHHLGVLIFHSVSTQNGSQLEKSNVDTLLLLLLLHEVGELM
+>sp|Q8NGN5|O10G8_HUMAN Olfactory receptor 10G8 OS=Homo sapiens OX=9606 GN=OR10G8 PE=2 SV=1
+MSNASLLTAFILMGLPHAPALDAPLFGVFLVVYVLTVLGNLLILLVIRVDSHLHTTMYYFLTNLSFIDMWFSTVTVPKLLMTLVFPSGRAISFHSCMAQLYFFHFLGGTECFLYRVMSCDRYLAISYPLRYTSMMTGRSCTLLATSTWLSGSLHSAVQAILTFHLPYCGPNWIQHYLCDAPPILKLACADTSAIETVIFVTVGIVASGCFVLIVLSYVSIVCSILRIRTSEGKHRAFQTCASHCIVVLCFFGPGLFIYLRPGSRKAVDGVVAVFYTVLTPLLNPVVYTLRNKEVKKALLKLKDKVAHSQSK
+>DECOY_sp|Q8NGN5|O10G8_HUMAN Olfactory receptor 10G8 OS=Homo sapiens OX=9606 GN=OR10G8 PE=2 SV=1
+KSQSHAVKDKLKLLAKKVEKNRLTYVVPNLLPTLVTYFVAVVGDVAKRSGPRLYIFLGPGFFCLVVICHSACTQFARHKGESTRIRLISCVISVYSLVILVFCGSAVIGVTVFIVTEIASTDACALKLIPPADCLYHQIWNPGCYPLHFTLIAQVASHLSGSLWTSTALLTCSRGTMMSTYRLPYSIALYRDCSMVRYLFCETGGLFHFFYLQAMCSHFSIARGSPFVLTMLLKPVTVTSFWMDIFSLNTLFYYMTTHLHSDVRIVLLILLNGLVTLVYVVLFVGFLPADLAPAHPLGMLIFATLLSANSM
+>sp|O60403|O10H2_HUMAN Olfactory receptor 10H2 OS=Homo sapiens OX=9606 GN=OR10H2 PE=2 SV=1
+MLGLNHTSMSEFILVGFSAFPHLQLMLFLLFLLMYLFTLLGNLLIMATVWSERSLHTPMYLFLCVLSVSEILYTVAIIPRMLADLLSTQRSIAFLACASQMFFSFSFGFTHSFLLTVMGYDRYVAICHPLRYNVLMSPRGCACLVGCSWAGGSVMGMVVTSAIFQLTFCGSHEIQHFLCHVPPLLKLACGNNVPAVALGVGLVCIMALLGCFLLILLSYAFIVADILKIPSAEGRNKAFSTCASHLIVVIVHYGFASVIYLKPKGPHSQEGDTLMATTYAVLTPFLSPIIFSLRNKELKVAMKRTFLSTLYSSGT
+>DECOY_sp|O60403|O10H2_HUMAN Olfactory receptor 10H2 OS=Homo sapiens OX=9606 GN=OR10H2 PE=2 SV=1
+TGSSYLTSLFTRKMAVKLEKNRLSFIIPSLFPTLVAYTTAMLTDGEQSHPGKPKLYIVSAFGYHVIVVILHSACTSFAKNRGEASPIKLIDAVIFAYSLLILLFCGLLAMICVLGVGLAVAPVNNGCALKLLPPVHCLFHQIEHSGCFTLQFIASTVVMGMVSGGAWSCGVLCACGRPSMLVNYRLPHCIAVYRDYGMVTLLFSHTFGFSFSFFMQSACALFAISRQTSLLDALMRPIIAVTYLIESVSLVCLFLYMPTHLSRESWVTAMILLNGLLTFLYMLLFLLFLMLQLHPFASFGVLIFESMSTHNLGLM
+>sp|Q8NGA5|O10H4_HUMAN Olfactory receptor 10H4 OS=Homo sapiens OX=9606 GN=OR10H4 PE=3 SV=1
+MPSQNYSIISEFNLFGFSAFPQHLLPILFLLYLLMFLFTLLGNLLIMATIWIEHRLHTPMYLFLCTLSVSEILFTVAITPRMLADLLSTHHSITFVACANQMFFSFMFGFTHSFLLLVMGYDRYVAICHPLRYNVLMSPRDCAHLVACTWAGGSVMGMMVTTIVFHLTFCGSNVIHHFFCHVLSLLKLACENKTSSVIMGVMLVCVTALIGCLFLIILSYVFIVAAILRIPSAEGRHKTFSTCVSHLTVVVTHYSFASFIYLKPKGLHSMYSDALMATTYTVFTPFLSPIIFSLRNKELKNAINKNFYRKFCPPSS
+>DECOY_sp|Q8NGA5|O10H4_HUMAN Olfactory receptor 10H4 OS=Homo sapiens OX=9606 GN=OR10H4 PE=3 SV=1
+SSPPCFKRYFNKNIANKLEKNRLSFIIPSLFPTFVTYTTAMLADSYMSHLGKPKLYIFSAFSYHTVVVTLHSVCTSFTKHRGEASPIRLIAAVIFVYSLIILFLCGILATVCVLMVGMIVSSTKNECALKLLSLVHCFFHHIVNSGCFTLHFVITTVMMGMVSGGAWTCAVLHACDRPSMLVNYRLPHCIAVYRDYGMVLLLFSHTFGFMFSFFMQNACAVFTISHHTSLLDALMRPTIAVTFLIESVSLTCLFLYMPTHLRHEIWITAMILLNGLLTFLFMLLYLLFLIPLLHQPFASFGFLNFESIISYNQSPM
+>sp|P30954|O10J1_HUMAN Olfactory receptor 10J1 OS=Homo sapiens OX=9606 GN=OR10J1 PE=2 SV=2
+MLLCFRFGNQSMKRENFTLITDFVFQGFSSFHEQQITLFGVFLALYILTLAGNIIIVTIIRMDLHLHTPMYFFLSMLSTSETVYTLVILPRMLSSLVGMSQPISLAGCATQMFFFVTFGITNCFLLTAMGYDRYVAICNPLRYMVIMNKRLRIQLVLGACSIGLIVAITQVTSVFRLPFCARKVPHFFCDIRPVMKLSCIDTTVNEILTLIISVLVLVVPMGLVFISYVLIISTILKIASVEGRKKAFATCASHLTVVIVHYSCASIAYLKPKSENTREHDQLISVTYTVITPLLNPVVYTLRNKEVKDALCRAVGGKFS
+>DECOY_sp|P30954|O10J1_HUMAN Olfactory receptor 10J1 OS=Homo sapiens OX=9606 GN=OR10J1 PE=2 SV=2
+SFKGGVARCLADKVEKNRLTYVVPNLLPTIVTYTVSILQDHERTNESKPKLYAISACSYHVIVVTLHSACTAFAKKRGEVSAIKLITSIILVYSIFVLGMPVVLVLVSIILTLIENVTTDICSLKMVPRIDCFFHPVKRACFPLRFVSTVQTIAVILGISCAGLVLQIRLRKNMIVMYRLPNCIAVYRDYGMATLLFCNTIGFTVFFFMQTACGALSIPQSMGVLSSLMRPLIVLTYVTESTSLMSLFFYMPTHLHLDMRIITVIIINGALTLIYLALFVGFLTIQQEHFSSFGQFVFDTILTFNERKMSQNGFRFCLLM
+>sp|Q8NGX5|O10K1_HUMAN Olfactory receptor 10K1 OS=Homo sapiens OX=9606 GN=OR10K1 PE=2 SV=1
+MEQVNKTVVREFVVLGFSSLARLQQLLFVIFLLLYLFTLGTNAIIISTIVLDRALHTPMYFFLAILSCSEICYTFVIVPKMLVDLLSQKKTISFLGCAIQMFSFLFFGSSHSFLLAAMGYDRYMAICNPLRYSVLMGHGVCMGLMAAACACGFTVSLVTTSLVFHLPFHSSNQLHHFFCDISPVLKLASQHSGFSQLVIFMLGVFALVIPLLLILVSYIRIISAILKIPSSVGRYKTFSTCASHLIVVTVHYSCASFIYLRPKTNYTSSQDTLISVSYTILTPLFNPMIYSLRNKEFKSALRRTIGQTFYPLS
+>DECOY_sp|Q8NGX5|O10K1_HUMAN Olfactory receptor 10K1 OS=Homo sapiens OX=9606 GN=OR10K1 PE=2 SV=1
+SLPYFTQGITRRLASKFEKNRLSYIMPNFLPTLITYSVSILTDQSSTYNTKPRLYIFSACSYHVTVVILHSACTSFTKYRGVSSPIKLIASIIRIYSVLILLLPIVLAFVGLMFIVLQSFGSHQSALKLVPSIDCFFHHLQNSSHFPLHFVLSTTVLSVTFGCACAAAMLGMCVGHGMLVSYRLPNCIAMYRDYGMAALLFSHSSGFFLFSFMQIACGLFSITKKQSLLDVLMKPVIVFTYCIESCSLIALFFYMPTHLARDLVITSIIIANTGLTFLYLLLFIVFLLQQLRALSSFGLVVFERVVTKNVQEM
+>sp|Q8NGX6|O10R2_HUMAN Olfactory receptor 10R2 OS=Homo sapiens OX=9606 GN=OR10R2 PE=3 SV=3
+MPQILIFTYLNMFYFFPPLQILAENLTMVTEFLLLGFSSLGEIQLALFVVFLFLYLVILSGNVTIISVIHLDKSLHTPMYFFLGILSTSETFYTFVILPKMLINLLSVARTISFNCCALQMFFFLGFAITNCLLLGVMGYDRYAAICHPLHYPTLMSWQVCGKLAAACAIGGFLASLTVVNLVFSLPFCSANKVNHYFCDISAVILLACTNTDVNEFVIFICGVLVLVVPFLFICVSYLCILRTILKIPSAEGRRKAFSTCASHLSVVIVHYGCASFIYLRPTANYVSNKDRLVTVTYTIVTPLLNPMVYSLRNKDVQLAIRKVLGKKGSLKLYN
+>DECOY_sp|Q8NGX6|O10R2_HUMAN Olfactory receptor 10R2 OS=Homo sapiens OX=9606 GN=OR10R2 PE=3 SV=3
+NYLKLSGKKGLVKRIALQVDKNRLSYVMPNLLPTVITYTVTVLRDKNSVYNATPRLYIFSACGYHVIVVSLHSACTSFAKRRGEASPIKLITRLICLYSVCIFLFPVVLVLVGCIFIVFENVDTNTCALLIVASIDCFYHNVKNASCFPLSFVLNVVTLSALFGGIACAAALKGCVQWSMLTPYHLPHCIAAYRDYGMVGLLLCNTIAFGLFFFMQLACCNFSITRAVSLLNILMKPLIVFTYFTESTSLIGLFFYMPTHLSKDLHIVSIITVNGSLIVLYLFLFVVFLALQIEGLSSFGLLLFETVMTLNEALIQLPPFFYFMNLYTFILIQPM
+>sp|Q8NGI7|O10V1_HUMAN Olfactory receptor 10V1 OS=Homo sapiens OX=9606 GN=OR10V1 PE=3 SV=3
+MEGINKTAKMQFFFRPFSPDPEVQMLIFVVFLMMYLTSLGGNATIAVIVQINHSLHTPMYFFLANLAVLEIFYTSSITPLALANLLSMGKTPVSITGCGTQMFFFVFLGGADCVLLVVMAYDQFIAICHPLRYRLIMSWSLCVELLVGSLVLGFLLSLPLTILIFHLPFCHNDEIYHFYCDMPAVMRLACADTRVHKTALYIISFIVLSIPLSLISISYVFIVVAILRIRSAEGRQQAYSTCSSHILVVLLQYGCTSFIYLSPSSSYSPEMGRVVSVAYTFITPILNPLIYSLRNKELKDALRKALRKF
+>DECOY_sp|Q8NGI7|O10V1_HUMAN Olfactory receptor 10V1 OS=Homo sapiens OX=9606 GN=OR10V1 PE=3 SV=3
+FKRLAKRLADKLEKNRLSYILPNLIPTIFTYAVSVVRGMEPSYSSSPSLYIFSTCGYQLLVVLIHSSCTSYAQQRGEASRIRLIAVVIFVYSISILSLPISLVIFSIIYLATKHVRTDACALRMVAPMDCYFHYIEDNHCFPLHFILITLPLSLLFGLVLSGVLLEVCLSWSMILRYRLPHCIAIFQDYAMVVLLVCDAGGLFVFFFMQTGCGTISVPTKGMSLLNALALPTISSTYFIELVALNALFFYMPTHLSHNIQVIVAITANGGLSTLYMMLFVVFILMQVEPDPSFPRFFFQMKATKNIGEM
+>sp|Q8NH07|O11H2_HUMAN Olfactory receptor 11H2 OS=Homo sapiens OX=9606 GN=OR11H2 PE=3 SV=1
+MCPLTLHVTGLMNVSEPNSSFAFVNEFILQGFSCEWTIQIFLFSLFTTIYALTITGNGAIAFVLWCDRRLHTPMYMFLGNFSFLEIWYVSSTVPKMLVNFLSEKKNISFAGCFLQFYFFFSLGTSECLLLTVMAFDQYLAICRPLLYPNIMTGHLYAKLVILCWVCGFLWFLIPIVLISQKPFCGPNIIDHVVCDPGPLFALDCVSAPRIQLFCYTLSSLVIFGNFLFIIGSYTLVLKAVLGMPSSTGRHKAFSTCGSHLAVVSLCYSPLMVMYVSPGLGHSTGMQKIETLFYAMVTPLFNPLIYSLQNKEIKAALRKVLGSSNII
+>DECOY_sp|Q8NH07|O11H2_HUMAN Olfactory receptor 11H2 OS=Homo sapiens OX=9606 GN=OR11H2 PE=3 SV=1
+IINSSGLVKRLAAKIEKNQLSYILPNFLPTVMAYFLTEIKQMGTSHGLGPSVYMVMLPSYCLSVVALHSGCTSFAKHRGTSSPMGLVAKLVLTYSGIIFLFNGFIVLSSLTYCFLQIRPASVCDLAFLPGPDCVVHDIINPGCFPKQSILVIPILFWLFGCVWCLIVLKAYLHGTMINPYLLPRCIALYQDFAMVTLLLCESTGLSFFFYFQLFCGAFSINKKESLFNVLMKPVTSSVYWIELFSFNGLFMYMPTHLRRDCWLVFAIAGNGTITLAYITTFLSFLFIQITWECSFGQLIFENVFAFSSNPESVNMLGTVHLTLPCM
+>sp|Q8NGC8|O11H7_HUMAN Olfactory receptor 11H7 OS=Homo sapiens OX=9606 GN=OR11H7 PE=3 SV=2
+MNNSQISTVTQFVLLGFPGPWKIQIIFFSMILLVYIFTLTGNMAIICAVRWDHRLHTPMYVLLANFSFLEIWYVTCTVPNMLVNFFSKTKTISFSGCFTQFHFFFSLGTTECFFLCVMAYDRYLAICHPLHYPSIMTGQLCGILVSLCWLIGFLGHSISIFFIFQLPFCGPNIIDHFLCDVDPLMALSSAPTHIIGHVFHSVSSLFINLTMVYILGSYTLVLRTVLQVPSSAGWQKAISTCGSHLVVVSLFYGAIMLMYVSPTPGNSVAMHKLITLIYSVVTPVLNPLIYSLRNKDMKYALHHVFCGMRIIQRS
+>DECOY_sp|Q8NGC8|O11H7_HUMAN Olfactory receptor 11H7 OS=Homo sapiens OX=9606 GN=OR11H7 PE=3 SV=2
+SRQIIRMGCFVHHLAYKMDKNRLSYILPNLVPTVVSYILTILKHMAVSNGPTPSVYMLMIAGYFLSVVVLHSGCTSIAKQWGASSPVQLVTRLVLTYSGLIYVMTLNIFLSSVSHFVHGIIHTPASSLAMLPDVDCLFHDIINPGCFPLQFIFFISISHGLFGILWCLSVLIGCLQGTMISPYHLPHCIALYRDYAMVCLFFCETTGLSFFFHFQTFCGSFSITKTKSFFNVLMNPVTCTVYWIELFSFNALLVYMPTHLRHDWRVACIIAMNGTLTFIYVLLIMSFFIIQIKWPGPFGLLVFQTVTSIQSNNM
+>sp|P0DN82|O12D1_HUMAN Olfactory receptor 12D1 OS=Homo sapiens OX=9606 GN=OR12D1 PE=3 SV=1
+MLNTTSVTEFLLLGVTDIQELQPFLFVVFLTIYFISVAGNGAILMIVISDPRLHSPMYFFLGNLSCLDICYSSVTLPKMLQNFLSAHKAISFLGCISQLHFFHFLGSTEAMLLAVMAFDRFVAICKPLRYTVIMNPQLCTQMAITIWMIGFFHALLHSLMTSRLNFCGSNRIYHFFCDVKPLLKLSNQWLLSTVTGTIAMGPFFLTLLSYFYIITHLFFKTHSFSMLRKALSTCASHFMVVILLYAPVLFTYIHHASGTSMDQDRITAIMYTVVTPVLNPLIYTLRNKEVKGAFNRAMKRWLWPKEILK
+>DECOY_sp|P0DN82|O12D1_HUMAN Olfactory receptor 12D1 OS=Homo sapiens OX=9606 GN=OR12D1 PE=3 SV=1
+KLIEKPWLWRKMARNFAGKVEKNRLTYILPNLVPTVVTYMIATIRDQDMSTGSAHHIYTFLVPAYLLIVVMFHSACTSLAKRLMSFSHTKFFLHTIIYFYSLLTLFFPGMAITGTVTSLLWQNSLKLLPKVDCFFHYIRNSGCFNLRSTMLSHLLAHFFGIMWITIAMQTCLQPNMIVTYRLPKCIAVFRDFAMVALLMAETSGLFHFFHLQSICGLFSIAKHASLFNQLMKPLTVSSYCIDLCSLNGLFFYMPSHLRPDSIVIMLIAGNGAVSIFYITLFVVFLFPQLEQIDTVGLLLFETVSTTNLM
+>sp|Q9UGF7|O12D3_HUMAN Olfactory receptor 12D3 OS=Homo sapiens OX=9606 GN=OR12D3 PE=2 SV=1
+MENVTTMNEFLLLGLTGVQELQPFFFGIFLIIYLINLIGNGSILVMVVLEPQLHSPMYFFLGNLSCLDISYSSVTLPKLLVNLVCSRRAISFLGCITQLHFFHFLGSTEAILLAIMAFDRFVAICNPLRYTVIMNPQVCILLAAAAWLISFFYALMHSVMTAHLSFCGSQKLNHFFYDVKPLLELACSDTLLNQWLLSIVTGSISMGAFFLTLLSCFYVIGFLLFKNRSCRILHKALSTCASHFMVVCLFYGPVGFTYIRPASATSMIQDRIMAIMYSAVTPVLNPLIYTLRNKEVMMALKKIFGRKLFKDWQQHH
+>DECOY_sp|Q9UGF7|O12D3_HUMAN Olfactory receptor 12D3 OS=Homo sapiens OX=9606 GN=OR12D3 PE=2 SV=1
+HHQQWDKFLKRGFIKKLAMMVEKNRLTYILPNLVPTVASYMIAMIRDQIMSTASAPRIYTFGVPGYFLCVVMFHSACTSLAKHLIRCSRNKFLLFGIVYFCSLLTLFFAGMSISGTVISLLWQNLLTDSCALELLPKVDYFFHNLKQSGCFSLHATMVSHMLAYFFSILWAAAALLICVQPNMIVTYRLPNCIAVFRDFAMIALLIAETSGLFHFFHLQTICGLFSIARRSCVLNVLLKPLTVSSYSIDLCSLNGLFFYMPSHLQPELVVMVLISGNGILNILYIILFIGFFFPQLEQVGTLGLLLFENMTTVNEM
+>sp|Q8NGR1|O13A1_HUMAN Olfactory receptor 13A1 OS=Homo sapiens OX=9606 GN=OR13A1 PE=2 SV=2
+MKLWMESHLIVPETRPSPRMMSNQTLVTEFILQGFSEHPEYRVFLFSCFLFLYSGALTGNVLITLAITFNPGLHAPMYFFLLNLATMDIICTSSIMPKALASLVSEESSISYGGCMAQLYFLTWAASSELLLLTVMAYDRYAAICHPLHYSSMMSKVFCSGLATAVWLLCAVNTAIHTGLMLRLDFCGPNVIIHFFCEVPPLLLLSCSSTYVNGVMIVLADAFYGIVNFLMTIASYGFIVSSILKVKTAWGRQKAFSTCSSHLTVVCMYYTAVFYAYISPVSGYSAGKSKLAGLLYTVLSPTLNPLIYTLRNKEVKAALRKLFPFFRN
+>DECOY_sp|Q8NGR1|O13A1_HUMAN Olfactory receptor 13A1 OS=Homo sapiens OX=9606 GN=OR13A1 PE=2 SV=2
+NRFFPFLKRLAAKVEKNRLTYILPNLTPSLVTYLLGALKSKGASYGSVPSIYAYFVATYYMCVVTLHSSCTSFAKQRGWATKVKLISSVIFGYSAITMLFNVIGYFADALVIMVGNVYTSSCSLLLLPPVECFFHIIVNPGCFDLRLMLGTHIATNVACLLWVATALGSCFVKSMMSSYHLPHCIAAYRDYAMVTLLLLESSAAWTLFYLQAMCGGYSISSEESVLSALAKPMISSTCIIDMTALNLLFFYMPAHLGPNFTIALTILVNGTLAGSYLFLFCSFLFVRYEPHESFGQLIFETVLTQNSMMRPSPRTEPVILHSEMWLKM
+>sp|Q8NGS8|O13C5_HUMAN Olfactory receptor 13C5 OS=Homo sapiens OX=9606 GN=OR13C5 PE=2 SV=1
+MEWENHTILVEFFLKGLSGHPRLELLFFVLIFIMYVVILLGNGTLILISILDPHLHTPMYFFLGNLSFLDICYTTTSIPSTLVSFLSERKTISLSGCAVQMFLSLAMGTTECVLLGVMAFDRYVAICNPLRYPIIMSKDAYVPMAAGSWIIGAVNSAVQTVFVVQLPFCRNNIINHFTCEILAVMKLACADISGNEFILLVTTTLFLLTPLLLIIVSYTLIILSIFKISSSEGRSKPSSTCSARLTVVITFCGTIFLMYMKPKSQETLNSDDLDATDKLIFIFYRVMTPMMNPLIYSLRNKDVKEAVKHLLRRKNFNK
+>DECOY_sp|Q8NGS8|O13C5_HUMAN Olfactory receptor 13C5 OS=Homo sapiens OX=9606 GN=OR13C5 PE=2 SV=1
+KNFNKRRLLHKVAEKVDKNRLSYILPNMMPTMVRYFIFILKDTADLDDSNLTEQSKPKMYMLFITGCFTIVVTLRASCTSSPKSRGESSSIKFISLIILTYSVIILLLPTLLFLTTTVLLIFENGSIDACALKMVALIECTFHNIINNRCFPLQVVFVTQVASNVAGIIWSGAAMPVYADKSMIIPYRLPNCIAVYRDFAMVGLLVCETTGMALSLFMQVACGSLSITKRESLFSVLTSPISTTTYCIDLFSLNGLFFYMPTHLHPDLISILILTGNGLLIVVYMIFILVFFLLELRPHGSLGKLFFEVLITHNEWEM
+>sp|Q8NGS7|O13C8_HUMAN Olfactory receptor 13C8 OS=Homo sapiens OX=9606 GN=OR13C8 PE=3 SV=1
+MERTNDSTSTEFFLVGLSAHPKLQTVFFVLILWMYLMILLGNGVLISVIIFDSHLHTPMYFFLCNLSFLDVCYTSSSVPLILASFLAVKKKVSFSGCMVQMFISFAMGATECMILGTMALDRYVAICYPLRYPVIMSKGAYVAMAAGSWVTGLVDSVVQTAFAMQLPFCANNVIKHFVCEILAILKLACADISINVISMTGSNLIVLVIPLLVISISYIFIVATILRIPSTEGKHKAFSTCSAHLTVVIIFYGTIFFMYAKPESKASVDSGNEDIIEALISLFYGVMTPMLNPLIYSLRNKDVKAAVKNILCRKNFSDGK
+>DECOY_sp|Q8NGS7|O13C8_HUMAN Olfactory receptor 13C8 OS=Homo sapiens OX=9606 GN=OR13C8 PE=3 SV=1
+KGDSFNKRCLINKVAAKVDKNRLSYILPNLMPTMVGYFLSILAEIIDENGSDVSAKSEPKAYMFFITGYFIIVVTLHASCTSFAKHKGETSPIRLITAVIFIYSISIVLLPIVLVILNSGTMSIVNISIDACALKLIALIECVFHKIVNNACFPLQMAFATQVVSDVLGTVWSGAAMAVYAGKSMIVPYRLPYCIAVYRDLAMTGLIMCETAGMAFSIFMQVMCGSFSVKKKVALFSALILPVSSSTYCVDLFSLNCLFFYMPTHLHSDFIIVSILVGNGLLIMLYMWLILVFFVTQLKPHASLGVLFFETSTSDNTREM
+>sp|Q8NGS4|O13F1_HUMAN Olfactory receptor 13F1 OS=Homo sapiens OX=9606 GN=OR13F1 PE=2 SV=1
+MFPANWTSVKVFFFLGFFHYPKVQVIIFAVCLLMYLITLLGNIFLISITILDSHLHTPMYLFLSNLSFLDIWYSSSALSPMLANFVSGRNTISFSGCATQMYLSLAMGSTECVLLPMMAYDRYVAICNPLRYPVIMNRRTCVQIAAGSWMTGCLTAMVEMMSVLPLSLCGNSIINHFTCEILAILKLVCVDTSLVQLIMLVISVLLLPMPMLLICISYAFILASILRISSVEGRSKAFSTCTAHLMVVVLFYGTALSMHLKPSAVDSQEIDKFMALVYAGQTPMLNPIIYSLRNKEVKVALKKLLIRNHFNTAFISILK
+>DECOY_sp|Q8NGS4|O13F1_HUMAN Olfactory receptor 13F1 OS=Homo sapiens OX=9606 GN=OR13F1 PE=2 SV=1
+KLISIFATNFHNRILLKKLAVKVEKNRLSYIIPNLMPTQGAYVLAMFKDIEQSDVASPKLHMSLATGYFLVVVMLHATCTSFAKSRGEVSSIRLISALIFAYSICILLMPMPLLLVSIVLMILQVLSTDVCVLKLIALIECTFHNIISNGCLSLPLVSMMEVMATLCGTMWSGAAIQVCTRRNMIVPYRLPNCIAVYRDYAMMPLLVCETSGMALSLYMQTACGSFSITNRGSVFNALMPSLASSSYWIDLFSLNSLFLYMPTHLHSDLITISILFINGLLTILYMLLCVAFIIVQVKPYHFFGLFFFVKVSTWNAPFM
+>sp|Q9UGF5|O14J1_HUMAN Olfactory receptor 14J1 OS=Homo sapiens OX=9606 GN=OR14J1 PE=2 SV=1
+MVNLTSMSGFLLMGFSDERKLQILHALVFLVTYLLALTGNLLIITIITVDRRLHSPMYYFLKHLSLLDLCFISVTVPQSIANSLMGNGYISLVQCILQVFFFIALASSEVAILTVMSYDRYAAICQPLHYETIMDPRACRHAVIAVWIAGGLSGLMHAAINFSIPLCGKRVIHQFFCDVPQMLKLACSYEFINEIALAAFTTSAAFICLISIVLSYIRIFSTVLRIPSAEGRTKVFSTCLPHLFVATFFLSAAGFEFLRLPSDSSSTVDLVFSVFYTVIPPTLNPVIYSLRNDSMKAALRKMLSKEELPQRKMCLKAMFKL
+>DECOY_sp|Q9UGF5|O14J1_HUMAN Olfactory receptor 14J1 OS=Homo sapiens OX=9606 GN=OR14J1 PE=2 SV=1
+LKFMAKLCMKRQPLEEKSLMKRLAAKMSDNRLSYIVPNLTPPIVTYFVSFVLDVTSSSDSPLRLFEFGAASLFFTAVFLHPLCTSFVKTRGEASPIRLVTSFIRIYSLVISILCIFAASTTFAALAIENIFEYSCALKLMQPVDCFFQHIVRKGCLPISFNIAAHMLGSLGGAIWVAIVAHRCARPDMITEYHLPQCIAAYRDYSMVTLIAVESSALAIFFFVQLICQVLSIYGNGMLSNAISQPVTVSIFCLDLLSLHKLFYYMPSHLRRDVTIITIILLNGTLALLYTVLFVLAHLIQLKREDSFGMLLFGSMSTLNVM
+>sp|Q56VL3|OCAD2_HUMAN OCIA domain-containing protein 2 OS=Homo sapiens OX=9606 GN=OCIAD2 PE=1 SV=1
+MASASARGNQDKDAHFPPPSKQSLLFCPKSKLHIHRAEISKIMRECQEESFWKRALPFSLVSMLVTQGLVYQGYLAANSRFGSLPKVALAGLLGFGLGKVSYIGVCQSKFHFFEDQLRGAGFGPQHNRHCLLTCEECKIKHGLSEKGDSQPSAS
+>DECOY_sp|Q56VL3|OCAD2_HUMAN OCIA domain-containing protein 2 OS=Homo sapiens OX=9606 GN=OCIAD2 PE=1 SV=1
+SASPQSDGKESLGHKIKCEECTLLCHRNHQPGFGAGRLQDEFFHFKSQCVGIYSVKGLGFGLLGALAVKPLSGFRSNAALYGQYVLGQTVLMSVLSFPLARKWFSEEQCERMIKSIEARHIHLKSKPCFLLSQKSPPPFHADKDQNGRASASAM
+>sp|Q9H607|OCEL1_HUMAN Occludin/ELL domain-containing protein 1 OS=Homo sapiens OX=9606 GN=OCEL1 PE=2 SV=1
+MHNPDGSASPTADPGSELQTLGQAARRPPPPRAGHDAPRRTRPSARKPLSCFSRRPMPTREPPKTRGSRGHLHTHPPGPGPPLQGLAPRGLKTSAPRPPCQPQPGPHKAKTKKIVFEDELLSQALLGAKKPIGAIPKGHKPRPHPVPDYELKYPPVSSERERSRYVAVFQDQYGEFLELQHEVGCAQAKLRQLEALLSSLPPPQSQKEAQVAARVWREFEMKRMDPGFLDKQARCHYLKGKLRHLKTQIQKFDDQGDSEGSVYF
+>DECOY_sp|Q9H607|OCEL1_HUMAN Occludin/ELL domain-containing protein 1 OS=Homo sapiens OX=9606 GN=OCEL1 PE=2 SV=1
+FYVSGESDGQDDFKQIQTKLHRLKGKLYHCRAQKDLFGPDMRKMEFERWVRAAVQAEKQSQPPPLSSLLAELQRLKAQACGVEHQLELFEGYQDQFVAVYRSRERESSVPPYKLEYDPVPHPRPKHGKPIAGIPKKAGLLAQSLLEDEFVIKKTKAKHPGPQPQCPPRPASTKLGRPALGQLPPGPGPPHTHLHGRSGRTKPPERTPMPRRSFCSLPKRASPRTRRPADHGARPPPPRRAAQGLTQLESGPDATPSASGDPNHM
+>sp|Q01968|OCRL_HUMAN Inositol polyphosphate 5-phosphatase OCRL-1 OS=Homo sapiens OX=9606 GN=OCRL PE=1 SV=3
+MEPPLPVGAQPLATVEGMEMKGPLREPCALTLAQRNGQYELIIQLHEKEQHVQDIIPINSHFRCVQEAEETLLIDIASNSGCKIRVQGDWIRERRFEIPDEEHCLKFLSAVLAAQKAQSQLLVPEQKDSSSWYQKLDTKDKPSVFSGLLGFEDNFSSMNLDKKINSQNQPTGIHREPPPPPFSVNKMLPREKEASNKEQPKVTNTMRKLFVPNTQSGQREGLIKHILAKREKEYVNIQTFRFFVGTWNVNGQSPDSGLEPWLNCDPNPPDIYCIGFQELDLSTEAFFYFESVKEQEWSMAVERGLHSKAKYKKVQLVRLVGMMLLIFARKDQCRYIRDIATETVGTGIMGKMGNKGGVAVRFVFHNTTFCIVNSHLAAHVEDFERRNQDYKDICARMSFVVPNQTLPQLNIMKHEVVIWLGDLNYRLCMPDANEVKSLINKKDLQRLLKFDQLNIQRTQKKAFVDFNEGEIKFIPTYKYDSKTDRWDSSGKCRVPAWCDRILWRGTNVNQLNYRSHMELKTSDHKPVSALFHIGVKVVDERRYRKVFEDSVRIMDRMENDFLPSLELSRREFVFENVKFRQLQKEKFQISNNGQVPCHFSFIPKLNDSQYCKPWLRAEPFEGYLEPNETVDISLDVYVSKDSVTILNSGEDKIEDILVLHLDRGKDYFLTISGNYLPSCFGTSLEALCRMKRPIREVPVTKLIDLEEDSFLEKEKSLLQMVPLDEGASERPLQVPKEIWLLVDHLFKYACHQEDLFQTPGMQEELQQIIDCLDTSIPETIPGSNHSVAEALLIFLEALPEPVICYELYQRCLDSAYDPRICRQVISQLPRCHRNVFRYLMAFLRELLKFSEYNSVNANMIATLFTSLLLRPPPNLMARQTPSDRQRAIQFLLGFLLGSEED
+>DECOY_sp|Q01968|OCRL_HUMAN Inositol polyphosphate 5-phosphatase OCRL-1 OS=Homo sapiens OX=9606 GN=OCRL PE=1 SV=3
+DEESGLLFGLLFQIARQRDSPTQRAMLNPPPRLLLSTFLTAIMNANVSNYESFKLLERLFAMLYRFVNRHCRPLQSIVQRCIRPDYASDLCRQYLEYCIVPEPLAELFILLAEAVSHNSGPITEPISTDLCDIIQQLEEQMGPTQFLDEQHCAYKFLHDVLLWIEKPVQLPRESAGEDLPVMQLLSKEKELFSDEELDILKTVPVERIPRKMRCLAELSTGFCSPLYNGSITLFYDKGRDLHLVLIDEIKDEGSNLITVSDKSVYVDLSIDVTENPELYGEFPEARLWPKCYQSDNLKPIFSFHCPVQGNNSIQFKEKQLQRFKVNEFVFERRSLELSPLFDNEMRDMIRVSDEFVKRYRREDVVKVGIHFLASVPKHDSTKLEMHSRYNLQNVNTGRWLIRDCWAPVRCKGSSDWRDTKSDYKYTPIFKIEGENFDVFAKKQTRQINLQDFKLLRQLDKKNILSKVENADPMCLRYNLDGLWIVVEHKMINLQPLTQNPVVFSMRACIDKYDQNRREFDEVHAALHSNVICFTTNHFVFRVAVGGKNGMKGMIGTGVTETAIDRIYRCQDKRAFILLMMGVLRVLQVKKYKAKSHLGREVAMSWEQEKVSEFYFFAETSLDLEQFGICYIDPPNPDCNLWPELGSDPSQGNVNWTGVFFRFTQINVYEKERKALIHKILGERQGSQTNPVFLKRMTNTVKPQEKNSAEKERPLMKNVSFPPPPPERHIGTPQNQSNIKKDLNMSSFNDEFGLLGSFVSPKDKTDLKQYWSSSDKQEPVLLQSQAKQAALVASLFKLCHEEDPIEFRRERIWDGQVRIKCGSNSAIDILLTEEAEQVCRFHSNIPIIDQVHQEKEHLQIILEYQGNRQALTLACPERLPGKMEMGEVTALPQAGVPLPPEM
+>sp|P12694|ODBA_HUMAN 2-oxoisovalerate dehydrogenase subunit alpha, mitochondrial OS=Homo sapiens OX=9606 GN=BCKDHA PE=1 SV=2
+MAVAIAAARVWRLNRGLSQAALLLLRQPGARGLARSHPPRQQQQFSSLDDKPQFPGASAEFIDKLEFIQPNVISGIPIYRVMDRQGQIINPSEDPHLPKEKVLKLYKSMTLLNTMDRILYESQRQGRISFYMTNYGEEGTHVGSAAALDNTDLVFGQYREAGVLMYRDYPLELFMAQCYGNISDLGKGRQMPVHYGCKERHFVTISSPLATQIPQAVGAAYAAKRANANRVVICYFGEGAASEGDAHAGFNFAATLECPIIFFCRNNGYAISTPTSEQYRGDGIAARGPGYGIMSIRVDGNDVFAVYNATKEARRRAVAENQPFLIEAMTYRIGHHSTSDDSSAYRSVDEVNYWDKQDHPISRLRHYLLSQGWWDEEQEKAWRKQSRRKVMEAFEQAERKPKPNPNLLFSDVYQEMPAQLRKQQESLARHLQTYGEHYPLDHFDK
+>DECOY_sp|P12694|ODBA_HUMAN 2-oxoisovalerate dehydrogenase subunit alpha, mitochondrial OS=Homo sapiens OX=9606 GN=BCKDHA PE=1 SV=2
+KDFHDLPYHEGYTQLHRALSEQQKRLQAPMEQYVDSFLLNPNPKPKREAQEFAEMVKRRSQKRWAKEQEEDWWGQSLLYHRLRSIPHDQKDWYNVEDVSRYASSDDSTSHHGIRYTMAEILFPQNEAVARRRAEKTANYVAFVDNGDVRISMIGYGPGRAAIGDGRYQESTPTSIAYGNNRCFFIIPCELTAAFNFGAHADGESAAGEGFYCIVVRNANARKAAYAAGVAQPIQTALPSSITVFHREKCGYHVPMQRGKGLDSINGYCQAMFLELPYDRYMLVGAERYQGFVLDTNDLAAASGVHTGEEGYNTMYFSIRGQRQSEYLIRDMTNLLTMSKYLKLVKEKPLHPDESPNIIQGQRDMVRYIPIGSIVNPQIFELKDIFEASAGPFQPKDDLSSFQQQQRPPHSRALGRAGPQRLLLLAAQSLGRNLRWVRAAAIAVAM
+>sp|Q9BQT8|ODC_HUMAN Mitochondrial 2-oxodicarboxylate carrier OS=Homo sapiens OX=9606 GN=SLC25A21 PE=1 SV=1
+MSAKPEVSLVREASRQIVAGGSAGLVEICLMHPLDVVKTRFQIQRCATDPNSYKSLVDSFRMIFQMEGLFGFYKGILPPILAETPKRAVKFFTFEQYKKLLGYVSLSPALTFAIAGLGSGLTEAIVVNPFEVVKVGLQANRNTFAEQPSTVGYARQIIKKEGWGLQGLNKGLTATLGRHGVFNMVYFGFYYNVKNMIPVNKDPILEFWRKFGIGLLSGTIASVINIPFDVAKSRIQGPQPVPGEIKYRTCFKTMATVYQEEGILALYKGLLPKIMRLGPGGAVMLLVYEYTYSWLQENW
+>DECOY_sp|Q9BQT8|ODC_HUMAN Mitochondrial 2-oxodicarboxylate carrier OS=Homo sapiens OX=9606 GN=SLC25A21 PE=1 SV=1
+WNEQLWSYTYEYVLLMVAGGPGLRMIKPLLGKYLALIGEEQYVTAMTKFCTRYKIEGPVPQPGQIRSKAVDFPINIVSAITGSLLGIGFKRWFELIPDKNVPIMNKVNYYFGFYVMNFVGHRGLTATLGKNLGQLGWGEKKIIQRAYGVTSPQEAFTNRNAQLGVKVVEFPNVVIAETLGSGLGAIAFTLAPSLSVYGLLKKYQEFTFFKVARKPTEALIPPLIGKYFGFLGEMQFIMRFSDVLSKYSNPDTACRQIQFRTKVVDLPHMLCIEVLGASGGAVIQRSAERVLSVEPKASM
+>sp|Q9ULJ1|ODF2L_HUMAN Outer dense fiber protein 2-like OS=Homo sapiens OX=9606 GN=ODF2L PE=2 SV=2
+MEKAVNDGSHSEELFCHLKTISEKEDLPRCTSESHLSCLKQDILNEKTELEATLKEAELVTHSVELLLPLFKDTIEKINFENANLSALNLKISEQKEILIKELDTFKSVKLALEHLLRKRDYKQTGDNLSSMLLENLTDNESENTNLKKKVFEKEAHIQELSCLFQSEKANTLKANRFSQSVKVVHERLQIQIHKREAENDKLKEYVKSLETKIAKWNLQSRMNKNEAIVMKEASRQKTVALKKASKVYKQRLDHFTGAIEKLTSQIRDQEAKLSETISASNAWKSHYEKIVIEKTELEVQIETMKKQIINLLEDLKKMEDHGKNSCEEILRKVHSIEYENETLNLENTKLKLRFPCRITESKNMNILIVLDMLCYISSEKTTLAALKDEVVSVENELSELQEVEKKQKTLIEMYKTQVQKLQEAAEIVKSRCENLLHKNNQITKTKNKNVEKMRGQMESHLKELERVCDSLTAAERRLHECQESLQCCKGKCADQEHTIRELQGQVDGNHNLLTKLSLEEENCLIQLKCENLQQKLEQMDAENKELEKKLANQEECLKHSNLKFKEKSAEYTALARQLEAALEEGRQKVAEEIEKMSSRESALQIKILDLETELRKKNEEQNQLVCKMNSDPETP
+>DECOY_sp|Q9ULJ1|ODF2L_HUMAN Outer dense fiber protein 2-like OS=Homo sapiens OX=9606 GN=ODF2L PE=2 SV=2
+PTEPDSNMKCVLQNQEENKKRLETELDLIKIQLASERSSMKEIEEAVKQRGEELAAELQRALATYEASKEKFKLNSHKLCEEQNALKKELEKNEADMQELKQQLNECKLQILCNEEELSLKTLLNHNGDVQGQLERITHEQDACKGKCCQLSEQCEHLRREAATLSDCVRELEKLHSEMQGRMKEVNKNKTKTIQNNKHLLNECRSKVIEAAEQLKQVQTKYMEILTKQKKEVEQLESLENEVSVVEDKLAALTTKESSIYCLMDLVILINMNKSETIRCPFRLKLKTNELNLTENEYEISHVKRLIEECSNKGHDEMKKLDELLNIIQKKMTEIQVELETKEIVIKEYHSKWANSASITESLKAEQDRIQSTLKEIAGTFHDLRQKYVKSAKKLAVTKQRSAEKMVIAENKNMRSQLNWKAIKTELSKVYEKLKDNEAERKHIQIQLREHVVKVSQSFRNAKLTNAKESQFLCSLEQIHAEKEFVKKKLNTNESENDTLNELLMSSLNDGTQKYDRKRLLHELALKVSKFTDLEKILIEKQESIKLNLASLNANEFNIKEITDKFLPLLLEVSHTVLEAEKLTAELETKENLIDQKLCSLHSESTCRPLDEKESITKLHCFLEESHSGDNVAKEM
+>sp|Q02218|ODO1_HUMAN 2-oxoglutarate dehydrogenase, mitochondrial OS=Homo sapiens OX=9606 GN=OGDH PE=1 SV=3
+MFHLRTCAAKLRPLTASQTVKTFSQNRPAAARTFQQIRCYSAPVAAEPFLSGTSSNYVEEMYCAWLENPKSVHKSWDIFFRNTNAGAPPGTAYQSPLPLSRGSLAAVAHAQSLVEAQPNVDKLVEDHLAVQSLIRAYQIRGHHVAQLDPLGILDADLDSSVPADIISSTDKLGFYGLDESDLDKVFHLPTTTFIGGQESALPLREIIRRLEMAYCQHIGVEFMFINDLEQCQWIRQKFETPGIMQFTNEEKRTLLARLVRSTRFEEFLQRKWSSEKRFGLEGCEVLIPALKTIIDKSSENGVDYVIMGMPHRGRLNVLANVIRKELEQIFCQFDSKLEAADEGSGDVKYHLGMYHRRINRVTDRNITLSLVANPSHLEAADPVVMGKTKAEQFYCGDTEGKKVMSILLHGDAAFAGQGIVYETFHLSDLPSYTTHGTVHVVVNNQIGFTTDPRMARSSPYPTDVARVVNAPIFHVNSDDPEAVMYVCKVAAEWRSTFHKDVVVDLVCYRRNGHNEMDEPMFTQPLMYKQIRKQKPVLQKYAELLVSQGVVNQPEYEEEISKYDKICEEAFARSKDEKILHIKHWLDSPWPGFFTLDGQPRSMSCPSTGLTEDILTHIGNVASSVPVENFTIHGGLSRILKTRGEMVKNRTVDWALAEYMAFGSLLKEGIHIRLSGQDVERGTFSHRHHVLHDQNVDKRTCIPMNHLWPNQAPYTVCNSSLSEYGVLGFELGFAMASPNALVLWEAQFGDFHNTAQCIIDQFICPGQAKWVRQNGIVLLLPHGMEGMGPEHSSARPERFLQMCNDDPDVLPDLKEANFDINQLYDCNWVVVNCSTPGNFFHVLRRQILLPFRKPLIIFTPKSLLRHPEARSSFDEMLPGTHFQRVIPEDGPAAQNPENVKRLLFCTGKVYYDLTRERKARDMVGQVAITRIEQLSPFPFDLLLKEVQKYPNAELAWCQEEHKNQGYYDYVKPRLRTTISRAKPVWYAGRDPAAAPATGNKKTHLTELQRLLDTAFDLDVFKNFS
+>DECOY_sp|Q02218|ODO1_HUMAN 2-oxoglutarate dehydrogenase, mitochondrial OS=Homo sapiens OX=9606 GN=OGDH PE=1 SV=3
+SFNKFVDLDFATDLLRQLETLHTKKNGTAPAAAPDRGAYWVPKARSITTRLRPKVYDYYGQNKHEEQCWALEANPYKQVEKLLLDFPFPSLQEIRTIAVQGVMDRAKRERTLDYYVKGTCFLLRKVNEPNQAAPGDEPIVRQFHTGPLMEDFSSRAEPHRLLSKPTFIILPKRFPLLIQRRLVHFFNGPTSCNVVVWNCDYLQNIDFNAEKLDPLVDPDDNCMQLFREPRASSHEPGMGEMGHPLLLVIGNQRVWKAQGPCIFQDIICQATNHFDGFQAEWLVLANPSAMAFGLEFGLVGYESLSSNCVTYPAQNPWLHNMPICTRKDVNQDHLVHHRHSFTGREVDQGSLRIHIGEKLLSGFAMYEALAWDVTRNKVMEGRTKLIRSLGGHITFNEVPVSSAVNGIHTLIDETLGTSPCSMSRPQGDLTFFGPWPSDLWHKIHLIKEDKSRAFAEECIKDYKSIEEEYEPQNVVGQSVLLEAYKQLVPKQKRIQKYMLPQTFMPEDMENHGNRRYCVLDVVVDKHFTSRWEAAVKCVYMVAEPDDSNVHFIPANVVRAVDTPYPSSRAMRPDTTFGIQNNVVVHVTGHTTYSPLDSLHFTEYVIGQGAFAADGHLLISMVKKGETDGCYFQEAKTKGMVVPDAAELHSPNAVLSLTINRDTVRNIRRHYMGLHYKVDGSGEDAAELKSDFQCFIQELEKRIVNALVNLRGRHPMGMIVYDVGNESSKDIITKLAPILVECGELGFRKESSWKRQLFEEFRTSRVLRALLTRKEENTFQMIGPTEFKQRIWQCQELDNIFMFEVGIHQCYAMELRRIIERLPLASEQGGIFTTTPLHFVKDLDSEDLGYFGLKDTSSIIDAPVSSDLDADLIGLPDLQAVHHGRIQYARILSQVALHDEVLKDVNPQAEVLSQAHAVAALSGRSLPLPSQYATGPPAGANTNRFFIDWSKHVSKPNELWACYMEEVYNSSTGSLFPEAAVPASYCRIQQFTRAAAPRNQSFTKVTQSATLPRLKAACTRLHFM
+>sp|P11177|ODPB_HUMAN Pyruvate dehydrogenase E1 component subunit beta, mitochondrial OS=Homo sapiens OX=9606 GN=PDHB PE=1 SV=3
+MAAVSGLVRRPLREVSGLLKRRFHWTAPAALQVTVRDAINQGMDEELERDEKVFLLGEEVAQYDGAYKVSRGLWKKYGDKRIIDTPISEMGFAGIAVGAAMAGLRPICEFMTFNFSMQAIDQVINSAAKTYYMSGGLQPVPIVFRGPNGASAGVAAQHSQCFAAWYGHCPGLKVVSPWNSEDAKGLIKSAIRDNNPVVVLENELMYGVPFEFPPEAQSKDFLIPIGKAKIERQGTHITVVSHSRPVGHCLEAAAVLSKEGVECEVINMRTIRPMDMETIEASVMKTNHLVTVEGGWPQFGVGAEICARIMEGPAFNFLDAPAVRVTGADVPMPYAKILEDNSIPQVKDIIFAIKKTLNI
+>DECOY_sp|P11177|ODPB_HUMAN Pyruvate dehydrogenase E1 component subunit beta, mitochondrial OS=Homo sapiens OX=9606 GN=PDHB PE=1 SV=3
+INLTKKIAFIIDKVQPISNDELIKAYPMPVDAGTVRVAPADLFNFAPGEMIRACIEAGVGFQPWGGEVTVLHNTKMVSAEITEMDMPRITRMNIVECEVGEKSLVAAAELCHGVPRSHSVVTIHTGQREIKAKGIPILFDKSQAEPPFEFPVGYMLENELVVVPNNDRIASKILGKADESNWPSVVKLGPCHGYWAAFCQSHQAAVGASAGNPGRFVIPVPQLGGSMYYTKAASNIVQDIAQMSFNFTMFECIPRLGAMAAGVAIGAFGMESIPTDIIRKDGYKKWLGRSVKYAGDYQAVEEGLLFVKEDRELEEDMGQNIADRVTVQLAAPATWHFRRKLLGSVERLPRRVLGSVAAM
+>sp|Q8IZS5|OFCC1_HUMAN Orofacial cleft 1 candidate gene 1 protein OS=Homo sapiens OX=9606 GN=OFCC1 PE=1 SV=1
+MEREKFQQKALKQTKQKKSKSAEFLMVKEDREATEGTGNPAFNMSSPDLSACQTAEKKVIRHDMPDRTLAAHQQKFRLPASAEPKGNEYGRNYFDPLMDEEINPRQCATEVSREDDDRIFYNRLTKLFDESRQGEPQDESGREETLNSEAPGSSNKSHEIHKEASEATTAHLEEFQRSQKTIILLGSSPLEQEIRSTSLHCMEDEMSHPWILLLKVTAVIRSRRYYREQRF
+>DECOY_sp|Q8IZS5|OFCC1_HUMAN Orofacial cleft 1 candidate gene 1 protein OS=Homo sapiens OX=9606 GN=OFCC1 PE=1 SV=1
+FRQERYYRRSRIVATVKLLLIWPHSMEDEMCHLSTSRIEQELPSSGLLIITKQSRQFEELHATTAESAEKHIEHSKNSSGPAESNLTEERGSEDQPEGQRSEDFLKTLRNYFIRDDDERSVETACQRPNIEEDMLPDFYNRGYENGKPEASAPLRFKQQHAALTRDPMDHRIVKKEATQCASLDPSSMNFAPNGTGETAERDEKVMLFEASKSKKQKTQKLAKQQFKEREM
+>sp|Q8N543|OGFD1_HUMAN Prolyl 3-hydroxylase OGFOD1 OS=Homo sapiens OX=9606 GN=OGFOD1 PE=1 SV=1
+MNGKRPAEPGPARVGKKGKKEVMAEFSDAVTEETLKKQVAEAWSRRTPFSHEVIVMDMDPFLHCVIPNFIQSQDFLEGLQKELMNLDFHEKYNDLYKFQQSDDLKKRREPHISTLRKILFEDFRSWLSDISKIDLESTIDMSCAKYEFTDALLCHDDELEGRRIAFILYLVPPWDRSMGGTLDLYSIDEHFQPKQIVKSLIPSWNKLVFFEVSPVSFHQVSEVLSEEKSRLSISGWFHGPSLTRPPNYFEPPIPRSPHIPQDHEILYDWINPTYLDMDYQVQIQEEFEESSEILLKEFLKPEKFTKVCEALEHGHVEWSSRGPPNKRFYEKAEESKLPEILKECMKLFRSEALFLLLSNFTGLKLHFLAPSEEDEMNDKKEAETTDITEEGTSHSPPEPENNQMAISNNSQQSNEQTDPEPEENETKKESSVPMCQGELRHWKTGHYTLIHDHSKAEFALDLILYCGCEGWEPEYGGFTSYIAKGEDEELLTVNPESNSLALVYRDRETLKFVKHINHRSLEQKKTFPNRTGFWDFSFIYYE
+>DECOY_sp|Q8N543|OGFD1_HUMAN Prolyl 3-hydroxylase OGFOD1 OS=Homo sapiens OX=9606 GN=OGFOD1 PE=1 SV=1
+EYYIFSFDWFGTRNPFTKKQELSRHNIHKVFKLTERDRYVLALSNSEPNVTLLEEDEGKAIYSTFGGYEPEWGECGCYLILDLAFEAKSHDHILTYHGTKWHRLEGQCMPVSSEKKTENEEPEPDTQENSQQSNNSIAMQNNEPEPPSHSTGEETIDTTEAEKKDNMEDEESPALFHLKLGTFNSLLLFLAESRFLKMCEKLIEPLKSEEAKEYFRKNPPGRSSWEVHGHELAECVKTFKEPKLFEKLLIESSEEFEEQIQVQYDMDLYTPNIWDYLIEHDQPIHPSRPIPPEFYNPPRTLSPGHFWGSISLRSKEESLVESVQHFSVPSVEFFVLKNWSPILSKVIQKPQFHEDISYLDLTGGMSRDWPPVLYLIFAIRRGELEDDHCLLADTFEYKACSMDITSELDIKSIDSLWSRFDEFLIKRLTSIHPERRKKLDDSQQFKYLDNYKEHFDLNMLEKQLGELFDQSQIFNPIVCHLFPDMDMVIVEHSFPTRRSWAEAVQKKLTEETVADSFEAMVEKKGKKGVRAPGPEAPRKGNM
+>sp|Q6PK18|OGFD3_HUMAN 2-oxoglutarate and iron-dependent oxygenase domain-containing protein 3 OS=Homo sapiens OX=9606 GN=OGFOD3 PE=1 SV=2
+MAPQRRAATKAPEGNGAAERRNRSSTKKDRAPREVQRLWQRPWLRTAGLGAGFVLTALLLWSSLGADDGVAEVLARRGEVVAGRFIEVPCSEDYDSHRRFEGCTPRKCGRGVTDVVITREEAERIRSVAEKGLSLGGSDGGASILDLHSGALSVGKHFVNLYRYFGDKIQNIFSEEDFRLYREVRQKVQLTIAEAFGISASSLHLTKPTFFSRINSTEARTAHDEYWHAHVDKVTYGSFDYTSLLYLSNYLEDFGGGRFMFMEEGANKTVEPRAGRVSFFTSGSENLHRVEKVHWGTRYAITIAFSCNPDHGIEDPAFP
+>DECOY_sp|Q6PK18|OGFD3_HUMAN 2-oxoglutarate and iron-dependent oxygenase domain-containing protein 3 OS=Homo sapiens OX=9606 GN=OGFOD3 PE=1 SV=2
+PFAPDEIGHDPNCSFAITIAYRTGWHVKEVRHLNESGSTFFSVRGARPEVTKNAGEEMFMFRGGGFDELYNSLYLLSTYDFSGYTVKDVHAHWYEDHATRAETSNIRSFFTPKTLHLSSASIGFAEAITLQVKQRVERYLRFDEESFINQIKDGFYRYLNVFHKGVSLAGSHLDLISAGGDSGGLSLGKEAVSRIREAEERTIVVDTVGRGCKRPTCGEFRRHSDYDESCPVEIFRGAVVEGRRALVEAVGDDAGLSSWLLLATLVFGAGLGATRLWPRQWLRQVERPARDKKTSSRNRREAAGNGEPAKTAARRQPAM
+>sp|Q9NZT2|OGFR_HUMAN Opioid growth factor receptor OS=Homo sapiens OX=9606 GN=OGFR PE=1 SV=3
+MDDPDCDSTWEEDEEDAEDAEDEDCEDGEAAGARDADAGDEDEESEEPRAARPSSFQSRMTGSRNWRATRDMCRYRHNYPDLVERDCNGDTPNLSFYRNEIRFLPNGCFIEDILQNWTDNYDLLEDNHSYIQWLFPLREPGVNWHAKPLTLREVEVFKSSQEIQERLVRAYELMLGFYGIRLEDRGTGTVGRAQNYQKRFQNLNWRSHNNLRITRILKSLGELGLEHFQAPLVRFFLEETLVRRELPGVRQSALDYFMFAVRCRHQRRQLVHFAWEHFRPRCKFVWGPQDKLRRFKPSSLPHPLEGSRKVEEEGSPGDPDHEASTQGRTCGPEHSKGGGRVDEGPQPRSVEPQDAGPLERSQGDEAGGHGEDRPEPLSPKESKKRKLELSRREQPPTEPGPQSASEVEKIALNLEGCALSQGSLRTGTQEVGGQDPGEAVQPCRQPLGARVADKVRKRRKVDEGAGDSAAVASGGAQTLALAGSPAPSGHPKAGHSENGVEEDTEGRTGPKEGTPGSPSETPGPSPAGPAGDEPAESPSETPGPRPAGPAGDEPAESPSETPGPRPAGPAGDEPAESPSETPGPSPAGPTRDEPAESPSETPGPRPAGPAGDEPAESPSETPGPRPAGPAGDEPAESPSETPGPSPAGPTRDEPAKAGEAAELQDAEVESSAKSGKP
+>DECOY_sp|Q9NZT2|OGFR_HUMAN Opioid growth factor receptor OS=Homo sapiens OX=9606 GN=OGFR PE=1 SV=3
+PKGSKASSEVEADQLEAAEGAKAPEDRTPGAPSPGPTESPSEAPEDGAPGAPRPGPTESPSEAPEDGAPGAPRPGPTESPSEAPEDRTPGAPSPGPTESPSEAPEDGAPGAPRPGPTESPSEAPEDGAPGAPRPGPTESPSEAPEDGAPGAPSPGPTESPSGPTGEKPGTRGETDEEVGNESHGAKPHGSPAPSGALALTQAGGSAVAASDGAGEDVKRRKRVKDAVRAGLPQRCPQVAEGPDQGGVEQTGTRLSGQSLACGELNLAIKEVESASQPGPETPPQERRSLELKRKKSEKPSLPEPRDEGHGGAEDGQSRELPGADQPEVSRPQPGEDVRGGGKSHEPGCTRGQTSAEHDPDGPSGEEEVKRSGELPHPLSSPKFRRLKDQPGWVFKCRPRFHEWAFHVLQRRQHRCRVAFMFYDLASQRVGPLERRVLTEELFFRVLPAQFHELGLEGLSKLIRTIRLNNHSRWNLNQFRKQYNQARGVTGTGRDELRIGYFGLMLEYARVLREQIEQSSKFVEVERLTLPKAHWNVGPERLPFLWQIYSHNDELLDYNDTWNQLIDEIFCGNPLFRIENRYFSLNPTDGNCDREVLDPYNHRYRCMDRTARWNRSGTMRSQFSSPRAARPEESEEDEDGADADRAGAAEGDECDEDEADEADEEDEEWTSDCDPDDM
+>sp|P41145|OPRK_HUMAN Kappa-type opioid receptor OS=Homo sapiens OX=9606 GN=OPRK1 PE=1 SV=2
+MDSPIQIFRGEPGPTCAPSACLPPNSSAWFPGWAEPDSNGSAGSEDAQLEPAHISPAIPVIITAVYSVVFVVGLVGNSLVMFVIIRYTKMKTATNIYIFNLALADALVTTTMPFQSTVYLMNSWPFGDVLCKIVISIDYYNMFTSIFTLTMMSVDRYIAVCHPVKALDFRTPLKAKIINICIWLLSSSVGISAIVLGGTKVREDVDVIECSLQFPDDDYSWWDLFMKICVFIFAFVIPVLIIIVCYTLMILRLKSVRLLSGSREKDRNLRRITRLVLVVVAVFVVCWTPIHIFILVEALGSTSHSTAALSSYYFCIALGYTNSSLNPILYAFLDENFKRCFRDFCFPLKMRMERQSTSRVRNTVQDPAYLRDIDGMNKPV
+>DECOY_sp|P41145|OPRK_HUMAN Kappa-type opioid receptor OS=Homo sapiens OX=9606 GN=OPRK1 PE=1 SV=2
+VPKNMGDIDRLYAPDQVTNRVRSTSQREMRMKLPFCFDRFCRKFNEDLFAYLIPNLSSNTYGLAICFYYSSLAATSHSTSGLAEVLIFIHIPTWCVVFVAVVVLVLRTIRRLNRDKERSGSLLRVSKLRLIMLTYCVIIILVPIVFAFIFVCIKMFLDWWSYDDDPFQLSCEIVDVDERVKTGGLVIASIGVSSSLLWICINIIKAKLPTRFDLAKVPHCVAIYRDVSMMTLTFISTFMNYYDISIVIKCLVDGFPWSNMLYVTSQFPMTTTVLADALALNFIYINTATKMKTYRIIVFMVLSNGVLGVVFVVSYVATIIVPIAPSIHAPELQADESGASGNSDPEAWGPFWASSNPPLCASPACTPGPEGRFIQIPSDM
+>sp|P04000|OPSR_HUMAN Long-wave-sensitive opsin 1 OS=Homo sapiens OX=9606 GN=OPN1LW PE=1 SV=1
+MAQQWSLQRLAGRHPQDSYEDSTQSSIFTYTNSNSTRGPFEGPNYHIAPRWVYHLTSVWMIFVVTASVFTNGLVLAATMKFKKLRHPLNWILVNLAVADLAETVIASTISIVNQVSGYFVLGHPMCVLEGYTVSLCGITGLWSLAIISWERWLVVCKPFGNVRFDAKLAIVGIAFSWIWSAVWTAPPIFGWSRYWPHGLKTSCGPDVFSGSSYPGVQSYMIVLMVTCCIIPLAIIMLCYLQVWLAIRAVAKQQKESESTQKAEKEVTRMVVVMIFAYCVCWGPYTFFACFAAANPGYAFHPLMAALPAYFAKSATIYNPVIYVFMNRQFRNCILQLFGKKVDDGSELSSASKTEVSSVSSVSPA
+>DECOY_sp|P04000|OPSR_HUMAN Long-wave-sensitive opsin 1 OS=Homo sapiens OX=9606 GN=OPN1LW PE=1 SV=1
+APSVSSVSSVETKSASSLESGDDVKKGFLQLICNRFQRNMFVYIVPNYITASKAFYAPLAAMLPHFAYGPNAAAFCAFFTYPGWCVCYAFIMVVVMRTVEKEAKQTSESEKQQKAVARIALWVQLYCLMIIALPIICCTVMLVIMYSQVGPYSSGSFVDPGCSTKLGHPWYRSWGFIPPATWVASWIWSFAIGVIALKADFRVNGFPKCVVLWREWSIIALSWLGTIGCLSVTYGELVCMPHGLVFYGSVQNVISITSAIVTEALDAVALNVLIWNLPHRLKKFKMTAALVLGNTFVSATVVFIMWVSTLHYVWRPAIHYNPGEFPGRTSNSNTYTFISSQTSDEYSDQPHRGALRQLSWQQAM
+>sp|Q9UBM4|OPT_HUMAN Opticin OS=Homo sapiens OX=9606 GN=OPTC PE=1 SV=1
+MRLLAFLSLLALVLQETGTASLPRKERKRREEQMPREGDSFEVLPLRNDVLNPDNYGEVIDLSNYEELTDYGDQLPEVKVTSLAPATSISPAKSTTAPGTPSSNPTMTRPTTAGLLLSSQPNHGLPTCLVCVCLGSSVYCDDIDLEDIPPLPRRTAYLYARFNRISRIRAEDFKGLTKLKRIDLSNNLISSIDNDAFRLLHALQDLILPENQLEALPVLPSGIEFLDVRLNRLQSSGIQPAAFRAMEKLQFLYLSDNLLDSIPGPLPLSLRSVHLQNNLIETMQRDVFCDPEEHKHTRRQLEDIRLDGNPINLSLFPSAYFCLPRLPIGRFT
+>DECOY_sp|Q9UBM4|OPT_HUMAN Opticin OS=Homo sapiens OX=9606 GN=OPTC PE=1 SV=1
+TFRGIPLRPLCFYASPFLSLNIPNGDLRIDELQRRTHKHEEPDCFVDRQMTEILNNQLHVSRLSLPLPGPISDLLNDSLYLFQLKEMARFAAPQIGSSQLRNLRVDLFEIGSPLVPLAELQNEPLILDQLAHLLRFADNDISSILNNSLDIRKLKTLGKFDEARIRSIRNFRAYLYATRRPLPPIDELDIDDCYVSSGLCVCVLCTPLGHNPQSSLLLGATTPRTMTPNSSPTGPATTSKAPSISTAPALSTVKVEPLQDGYDTLEEYNSLDIVEGYNDPNLVDNRLPLVEFSDGERPMQEERRKREKRPLSATGTEQLVLALLSLFALLRM
+>sp|Q9Y585|OR1A2_HUMAN Olfactory receptor 1A2 OS=Homo sapiens OX=9606 GN=OR1A2 PE=2 SV=1
+MKKENQSFNLDFILLGVTSQQEQNNVFFVIFLCIYPITLTGNLLIILAICADIRLHNPMYFLLANLSLVDIIFSSVTIPKVLANHLLGSKFISFGGCLMQMYFMIALAKADSYTLAAMAYDRAVAISCPLHYTTIMSPRSCILLIAGSWVIGNTSALPHTLLTASLSFCGNQEVANFYCDIMPLLKLSCSDVHFNVKMMYLGVGVFSLPLLCIIVSYVQVFSTVFQVPSTKSLFKAFCTCGSHLTVVFLYYGTTMGMYFRPLTSYSPKDAVITVMYVAVTPALNPFIYSLRNWDMKAALQKLFSKRISS
+>DECOY_sp|Q9Y585|OR1A2_HUMAN Olfactory receptor 1A2 OS=Homo sapiens OX=9606 GN=OR1A2 PE=2 SV=1
+SSIRKSFLKQLAAKMDWNRLSYIFPNLAPTVAVYMVTIVADKPSYSTLPRFYMGMTTGYYLFVVTLHSGCTCFAKFLSKTSPVQFVTSFVQVYSVIICLLPLSFVGVGLYMMKVNFHVDSCSLKLLPMIDCYFNAVEQNGCFSLSATLLTHPLASTNGIVWSGAILLICSRPSMITTYHLPCSIAVARDYAMAALTYSDAKALAIMFYMQMLCGGFSIFKSGLLHNALVKPITVSSFIIDVLSLNALLFYMPNHLRIDACIALIILLNGTLTIPYICLFIVFFVNNQEQQSTVGLLIFDLNFSQNEKKM
+>sp|Q15619|OR1C1_HUMAN Olfactory receptor 1C1 OS=Homo sapiens OX=9606 GN=OR1C1 PE=2 SV=4
+MEKRNLTVVREFVLLGLPSSAEQQHLLSVLFLCMYLATTLGNMLIIATIGFDSHLHSPMYFFLSNLAFVDICFTSTTVPQMVVNILTGTKTISFAGCLTQLFFFVSFVNMDSLLLCVMAYDRYVAICHPLHYTARMNLCLCVQLVAGLWLVTYLHALLHTVLIAQLSFCASNIIHHFFCDLNPLLQLSCSDVSFNVMIIFAVGGLLALTPLVCILVSYGLIFSTVLKITSTQGKQRAVSTCSCHLSVVVLFYGTAIAVYFSPSSPHMPESDTLSTIMYSMVAPMLNPFIYTLRNRDMKRGLQKMLLKCTVFQQQ
+>DECOY_sp|Q15619|OR1C1_HUMAN Olfactory receptor 1C1 OS=Homo sapiens OX=9606 GN=OR1C1 PE=2 SV=4
+QQQFVTCKLLMKQLGRKMDRNRLTYIFPNLMPAVMSYMITSLTDSEPMHPSSPSFYVAIATGYFLVVVSLHCSCTSVARQKGQTSTIKLVTSFILGYSVLICVLPTLALLGGVAFIIMVNFSVDSCSLQLLPNLDCFFHHIINSACFSLQAILVTHLLAHLYTVLWLGAVLQVCLCLNMRATYHLPHCIAVYRDYAMVCLLLSDMNVFSVFFFLQTLCGAFSITKTGTLINVVMQPVTTSTFCIDVFALNSLFFYMPSHLHSDFGITAIILMNGLTTALYMCLFLVSLLHQQEASSPLGLLVFERVVTLNRKEM
+>sp|Q8NH94|OR1L1_HUMAN Olfactory receptor 1L1 OS=Homo sapiens OX=9606 GN=OR1L1 PE=3 SV=3
+MERNHNPDNCNVLNFFFADKKNKRRNFGQIVSDVGRICYSVSLSLGEPTTMGRNNLTRPSEFILLGLSSRPEDQKPLFAVFLPIYLITVIGNLLIILAIRSDTRLQTPMYFFLSILSFVDICYVTVIIPKMLVNFLSETKTISYSECLTQMYFFLAFGNTDSYLLAAMAIDRYVAICNPFHYITIMSHRCCVLLLVLSFCIPHFHSLLHILLTNQLIFCASNVIHHFFCDDQPVLKLSCSSHFVKEITVMTEGLAVIMTPFSCIIISYLRILITVLKIPSAAGKRKAFSTCGSHLTVVTLFYGSISYLYFQPLSNYTVKDQIATIIYTVLTPMLNPFIYSLRNKDMKQGLAKLMHRMKCQ
+>DECOY_sp|Q8NH94|OR1L1_HUMAN Olfactory receptor 1L1 OS=Homo sapiens OX=9606 GN=OR1L1 PE=3 SV=3
+QCKMRHMLKALGQKMDKNRLSYIFPNLMPTLVTYIITAIQDKVTYNSLPQFYLYSISGYFLTVVTLHSGCTSFAKRKGAASPIKLVTILIRLYSIIICSFPTMIVALGETMVTIEKVFHSSCSLKLVPQDDCFFHHIVNSACFILQNTLLIHLLSHFHPICFSLVLLLVCCRHSMITIYHFPNCIAVYRDIAMAALLYSDTNGFALFFYMQTLCESYSITKTESLFNVLMKPIIVTVYCIDVFSLISLFFYMPTQLRTDSRIALIILLNGIVTILYIPLFVAFLPKQDEPRSSLGLLIFESPRTLNNRGMTTPEGLSLSVSYCIRGVDSVIQGFNRRKNKKDAFFFNLVNCNDPNHNREM
+>sp|Q8NGR5|OR1L4_HUMAN Olfactory receptor 1L4 OS=Homo sapiens OX=9606 GN=OR1L4 PE=3 SV=1
+METKNYSSSTSGFILLGLSSNPKLQKPLFAIFLIMYLLTAVGNVLIILAIYSDPRLHTPMYFFLSNLSFMDICFTTVIVPKMLVNFLSETKIISYVGCLIQMYFFMAFGNTDSYLLASMAIDRLVAICNPLHYDVVMKPWHCLLMLLGSCSISHLHSLFRVLLMSRLSFCASHIIKHFFCDTQPVLKLSCSDTSSSQMVVMTETLAVIVTPFLCTIFSYLQIIVTVLRIPSAAGKWKAFSTCGSHLTVVVLFYGSVIYVYFRPLSMYSVMKGRVATVMYTVVTPMLNPFIYSLRNKDMKRGLKKLRHRIYS
+>DECOY_sp|Q8NGR5|OR1L4_HUMAN Olfactory receptor 1L4 OS=Homo sapiens OX=9606 GN=OR1L4 PE=3 SV=1
+SYIRHRLKKLGRKMDKNRLSYIFPNLMPTVVTYMVTAVRGKMVSYMSLPRFYVYIVSGYFLVVVTLHSGCTSFAKWKGAASPIRLVTVIIQLYSFITCLFPTVIVALTETMVVMQSSSTDSCSLKLVPQTDCFFHKIIHSACFSLRSMLLVRFLSHLHSISCSGLLMLLCHWPKMVVDYHLPNCIAVLRDIAMSALLYSDTNGFAMFFYMQILCGVYSIIKTESLFNVLMKPVIVTTFCIDMFSLNSLFFYMPTHLRPDSYIALIILVNGVATLLYMILFIAFLPKQLKPNSSLGLLIFGSTSSSYNKTEM
+>sp|Q8NGR8|OR1L8_HUMAN Olfactory receptor 1L8 OS=Homo sapiens OX=9606 GN=OR1L8 PE=2 SV=1
+MERINHTSSVSEFILLGLSSRPEDQKTLFVLFLIVYLVTITGNLLIILAIRFNPHLQTPMYFFLSFLSLTDICFTTSVVPKMLMNFLSEKKTISYAGCLTQMYFLYALGNSDSCLLAVMAFDRYVAVCDPFHYVTTMSHHHCVLLVAFSCSFPHLHSLLHTLLLNRLTFCDSNVIHHFLCDLSPVLKLSCSSIFVNEIVQMTEAPIVLVTRFLCIAFSYIRILTTVLKIPSTSGKRKAFSTCGFYLTVVTLFYGSIFCVYLQPPSTYAVKDHVATIVYTVLSSMLNPFIYSLRNKDLKQGLRKLMSKRS
+>DECOY_sp|Q8NGR8|OR1L8_HUMAN Olfactory receptor 1L8 OS=Homo sapiens OX=9606 GN=OR1L8 PE=2 SV=1
+SRKSMLKRLGQKLDKNRLSYIFPNLMSSLVTYVITAVHDKVAYTSPPQLYVCFISGYFLTVVTLYFGCTSFAKRKGSTSPIKLVTTLIRIYSFAICLFRTVLVIPAETMQVIENVFISSCSLKLVPSLDCLFHHIVNSDCFTLRNLLLTHLLSHLHPFSCSFAVLLVCHHHSMTTVYHFPDCVAVYRDFAMVALLCSDSNGLAYLFYMQTLCGAYSITKKESLFNMLMKPVVSTTFCIDTLSLFSLFFYMPTQLHPNFRIALIILLNGTITVLYVILFLVFLTKQDEPRSSLGLLIFESVSSTHNIREM
+>sp|Q8NGR9|OR1N2_HUMAN Olfactory receptor 1N2 OS=Homo sapiens OX=9606 GN=OR1N2 PE=2 SV=2
+MEGFYLRRSHELQGMGKPGRVNQTTVSDFLLLGLSEWPEEQPLLFGIFLGMYLVTMVGNLLIILAISSDPHLHTPMYFFLANLSLTDACFTSASIPKMLANIHTQSQIISYSGCLAQLYFLLMFGGLDNCLLAVMAYDRYVAICQPLHYSTSMSPQLCALMLGVCWVLTNCPALMHTLLLTRVAFCAQKAIPHFYCDPSALLKLACSDTHVNELMIITMGLLFLTVPLLLIVFSYVRIFWAVFVISSPGGRWKAFSTCGSHLTVVLLFYGSLMGVYLLPPSTYSTERESRAAVLYMVIIPTLNPFIYSLRNRDMKEALGKLFVSGKTFFL
+>DECOY_sp|Q8NGR9|OR1N2_HUMAN Olfactory receptor 1N2 OS=Homo sapiens OX=9606 GN=OR1N2 PE=2 SV=2
+LFFTKGSVFLKGLAEKMDRNRLSYIFPNLTPIIVMYLVAARSERETSYTSPPLLYVGMLSGYFLLVVTLHSGCTSFAKWRGGPSSIVFVAWFIRVYSFVILLLPVTLFLLGMTIIMLENVHTDSCALKLLASPDCYFHPIAKQACFAVRTLLLTHMLAPCNTLVWCVGLMLACLQPSMSTSYHLPQCIAVYRDYAMVALLCNDLGGFMLLFYLQALCGSYSIIQSQTHINALMKPISASTFCADTLSLNALFFYMPTHLHPDSSIALIILLNGVMTVLYMGLFIGFLLPQEEPWESLGLLLFDSVTTQNVRGPKGMGQLEHSRRLYFGEM
+>sp|Q8NGT9|OR2A1_HUMAN Olfactory receptor 2A1/2A42 OS=Homo sapiens OX=9606 GN=OR2A1 PE=3 SV=2
+MGENQTMVTEFLLLGFLLGPRIQMLLFGLFSLFYIFTLLGNGAILGLISLDSRLHTPMYFFLSHLAVVDIAYTRNTVPQMLANLLHPAKPISFAGCMTQTFLCLSFGHSECLLLVLMSYDRYVAICHPLRYSVIMTWRVCITLAVTSWTCGSLLALAHVVLILRLPFSGPHEINHFFCEILSVLRLACADTWLNQVVIFAACVFFLVGPPSLVLVSYSHILAAILRIQSGEGRRKAFSTCSSHLCVVGLFFGSAIIMYMAPKSRHPEEQQKVFFLFYSFFNPTLNPLIYSLRNGEVKGALRRALGKESHS
+>DECOY_sp|Q8NGT9|OR2A1_HUMAN Olfactory receptor 2A1/2A42 OS=Homo sapiens OX=9606 GN=OR2A1 PE=3 SV=2
+SHSEKGLARRLAGKVEGNRLSYILPNLTPNFFSYFLFFVKQQEEPHRSKPAMYMIIASGFFLGVVCLHSSCTSFAKRRGEGSQIRLIAALIHSYSVLVLSPPGVLFFVCAAFIVVQNLWTDACALRLVSLIECFFHNIEHPGSFPLRLILVVHALALLSGCTWSTVALTICVRWTMIVSYRLPHCIAVYRDYSMLVLLLCESHGFSLCLFTQTMCGAFSIPKAPHLLNALMQPVTNRTYAIDVVALHSLFFYMPTHLRSDLSILGLIAGNGLLTFIYFLSFLGFLLMQIRPGLLFGLLLFETVMTQNEGM
+>sp|Q96R48|OR2A5_HUMAN Olfactory receptor 2A5 OS=Homo sapiens OX=9606 GN=OR2A5 PE=2 SV=2
+MTKNQTWVTEFILLGFPLSLRIQMLLSGLFSLLYVFTLLGNGAILGLIWLDSRLHTPMYFFLSHLAIIDISYASNNVPKMLTNLGLNKRKTISFVPCTMQTFLYMAFAHTECLILVMMSYDRYMAICHPLQYSVIMRWGVCTVLAVTSWACGSLLALVHVVLILRLPFCGPHEINHFFCEILSVLKLACADTWLNQVVIFAASVFILVGPLCLVLVSYSRILAAILRIQSGEGRRKAFSTCSSHLCMVGLFFGSAIVMYMAPKSRHPEEQQKVLSLFYSLFNPMLNPLIYSLRNAEVKGALKRVLWKQRSK
+>DECOY_sp|Q96R48|OR2A5_HUMAN Olfactory receptor 2A5 OS=Homo sapiens OX=9606 GN=OR2A5 PE=2 SV=2
+KSRQKWLVRKLAGKVEANRLSYILPNLMPNFLSYFLSLVKQQEEPHRSKPAMYMVIASGFFLGVMCLHSSCTSFAKRRGEGSQIRLIAALIRSYSVLVLCLPGVLIFVSAAFIVVQNLWTDACALKLVSLIECFFHNIEHPGCFPLRLILVVHVLALLSGCAWSTVALVTCVGWRMIVSYQLPHCIAMYRDYSMMVLILCETHAFAMYLFTQMTCPVFSITKRKNLGLNTLMKPVNNSAYSIDIIALHSLFFYMPTHLRSDLWILGLIAGNGLLTFVYLLSFLGSLLMQIRLSLPFGLLIFETVWTQNKTM
+>sp|P59922|OR2B8_HUMAN Putative olfactory receptor 2B8 OS=Homo sapiens OX=9606 GN=OR2B8P PE=5 SV=1
+MDQKNGSSFTGFILLGFSDRPQLELVLFVVLLIFYIFTLLGNKTIIVLSHLDPHLHTPMYFFFSNLSFLDLCYTTGIVPQLLVNLRGADKSISYGGCVVQLYISLGLGSTECVLLGVMVFDRYAAVCRPLHYTVVMHPCLYVLMASTSWVIGFANSLLQTVLILLLTLCGRNKLEHFLCEVPPLLKLACVDTTMNESELFFVSVIILLVPVALIIFSYSQIVRAVMRIKLATGQRKVFGTCGSHLTVVSLFYGTAIYAYLQPGNNYSQDQGKFISLFYTIITPMINPLIYTLRNKDVKGALKKVLWKNYDSR
+>DECOY_sp|P59922|OR2B8_HUMAN Putative olfactory receptor 2B8 OS=Homo sapiens OX=9606 GN=OR2B8P PE=5 SV=1
+RSDYNKWLVKKLAGKVDKNRLTYILPNIMPTIITYFLSIFKGQDQSYNNGPQLYAYIATGYFLSVVTLHSGCTGFVKRQGTALKIRMVARVIQSYSFIILAVPVLLIIVSVFFLESENMTTDVCALKLLPPVECLFHELKNRGCLTLLLILVTQLLSNAFGIVWSTSAMLVYLCPHMVVTYHLPRCVAAYRDFVMVGLLVCETSGLGLSIYLQVVCGGYSISKDAGRLNVLLQPVIGTTYCLDLFSLNSFFFYMPTHLHPDLHSLVIITKNGLLTFIYFILLVVFLVLELQPRDSFGLLIFGTFSSGNKQDM
+>sp|Q13607|OR2F1_HUMAN Olfactory receptor 2F1 OS=Homo sapiens OX=9606 GN=OR2F1 PE=2 SV=2
+MGTDNQTWVSEFILLGLSSDWDTRVSLFVLFLVMYVVTVLGNCLIVLLIRLDSRLHTPMYFFLTNLSLVDVSYATSVVPQLLAHFLAEHKAIPFQSCAAQLFFSLALGGIEFVLLAVMAYDRYVAVCDALRYSAIMHGGLCARLAITSWVSGFISSPVQTAITFQLPMCRNKFIDHISCELLAVVRLACVDTSSNEVTIMVSSIVLLMTPFCLVLLSYIQIISTILKIQSREGRKKAFHTCASHLTVVALCYGVAIFTYIQPHSSPSVLQEKLFSVFYAILTPMLNPMIYSLRNKEVKGAWQKLLWKFSGLTSKLAT
+>DECOY_sp|Q13607|OR2F1_HUMAN Olfactory receptor 2F1 OS=Homo sapiens OX=9606 GN=OR2F1 PE=2 SV=2
+TALKSTLGSFKWLLKQWAGKVEKNRLSYIMPNLMPTLIAYFVSFLKEQLVSPSSHPQIYTFIAVGYCLAVVTLHSACTHFAKKRGERSQIKLITSIIQIYSLLVLCFPTMLLVISSVMITVENSSTDVCALRVVALLECSIHDIFKNRCMPLQFTIATQVPSSIFGSVWSTIALRACLGGHMIASYRLADCVAVYRDYAMVALLVFEIGGLALSFFLQAACSQFPIAKHEALFHALLQPVVSTAYSVDVLSLNTLFFYMPTHLRSDLRILLVILCNGLVTVVYMVLFLVFLSVRTDWDSSLGLLIFESVWTQNDTGM
+>sp|Q5TZ20|OR2G6_HUMAN Olfactory receptor 2G6 OS=Homo sapiens OX=9606 GN=OR2G6 PE=2 SV=1
+MEETNNSSEKGFLLLGFSDQPQLERFLFAIILYFYVLSLLGNTALILVCCLDSRLHTPMYFFLSNLSCVDICFTTSVAPQLLVTMNKKDKTMSYGGCVAQLYVAMGLGSSECILLAVMAYDRYAAVCRPLRYIAIMHPRFCASLAGGAWLSGLITSLIQCSLTVQLPLCGHRTLDHIFCEVPVLIKLACVDTTFNEAELFVASVVFLIVPVLLILVSYGFITQAVLRIKSAAGRQKAFGTCSSHLVVVIIFYGTIIFMYLQPANRRSKNQGKFVSLFYTIVTPLLNPIIYTLRNKDVKGALRTLILGSAAGQSHKD
+>DECOY_sp|Q5TZ20|OR2G6_HUMAN Olfactory receptor 2G6 OS=Homo sapiens OX=9606 GN=OR2G6 PE=2 SV=1
+DKHSQGAASGLILTRLAGKVDKNRLTYIIPNLLPTVITYFLSVFKGQNKSRRNAPQLYMFIITGYFIIVVVLHSSCTGFAKQRGAASKIRLVAQTIFGYSVLILLVPVILFVVSAVFLEAENFTTDVCALKILVPVECFIHDLTRHGCLPLQVTLSCQILSTILGSLWAGGALSACFRPHMIAIYRLPRCVAAYRDYAMVALLICESSGLGMAVYLQAVCGGYSMTKDKKNMTVLLQPAVSTTFCIDVCSLNSLFFYMPTHLRSDLCCVLILATNGLLSLVYFYLIIAFLFRELQPQDSFGLLLFGKESSNNTEEM
+>sp|O95918|OR2H2_HUMAN Olfactory receptor 2H2 OS=Homo sapiens OX=9606 GN=OR2H2 PE=2 SV=2
+MVNQSSTPGFLLLGFSEHPGLERTLFVVVLTSYLLTLVGNTLIILLSALDPKLHSPMYFFLSNLSFLDLCFTTSCVPQMLVNLWGPKKTISFLDCSVQIFIFLSLGTTECILLTVMAFDRYVAVCQPLHYATIIHPRLCWQLASVAWVIGLVESVVQTPSTLHLPFCPDRQVDDFVCEVPALIRLSCEDTSYNEIQVAVASVFILVVPLSLILVSYGAITWAVLRINSAKGRRKAFGTCSSHLTVVTLFYSSVIAVYLQPKNPYAQERGKFFGLFYAVGTPSLNPLIYTLRNKEVTRAFRRLLGKEMGLTQS
+>DECOY_sp|O95918|OR2H2_HUMAN Olfactory receptor 2H2 OS=Homo sapiens OX=9606 GN=OR2H2 PE=2 SV=2
+SQTLGMEKGLLRRFARTVEKNRLTYILPNLSPTGVAYFLGFFKGREQAYPNKPQLYVAIVSSYFLTVVTLHSSCTGFAKRRGKASNIRLVAWTIAGYSVLILSLPVVLIFVSAVAVQIENYSTDECSLRILAPVECVFDDVQRDPCFPLHLTSPTQVVSEVLGIVWAVSALQWCLRPHIITAYHLPQCVAVYRDFAMVTLLICETTGLSLFIFIQVSCDLFSITKKPGWLNVLMQPVCSTTFCLDLFSLNSLFFYMPSHLKPDLASLLIILTNGVLTLLYSTLVVVFLTRELGPHESFGLLLFGPTSSQNVM
+>sp|Q8NH16|OR2L2_HUMAN Olfactory receptor 2L2 OS=Homo sapiens OX=9606 GN=OR2L2 PE=2 SV=1
+MENYNQTSTDFILLGLFPQSRIGLFVFTLIFLIFLMALIGNLSMILLIFLDIHLHTPMYFLLSQLSLIDLNYISTIVPKMVYDFLYGNKSISFTGCGIQSFFFLTLAVAEGLLLTSMAYDRYVAICFPLHYPIRISKRVCVMMITGSWMISSINSCAHTVYALCIPYCKSRAINHFFCDVPAMLTLACTDTWVYESTVFLSSTIFLVLPFTGIACSYGRVLLAVYRMHSAEGRKKAYSTCSTHLTVVSFYYAPFAYTYVRPRSLRSPTEDKILAVFYTILTPMLNPIIYSLRNKEVMGALTQVIQKIFSVKM
+>DECOY_sp|Q8NH16|OR2L2_HUMAN Olfactory receptor 2L2 OS=Homo sapiens OX=9606 GN=OR2L2 PE=2 SV=1
+MKVSFIKQIVQTLAGMVEKNRLSYIIPNLMPTLITYFVALIKDETPSRLSRPRVYTYAFPAYYFSVVTLHTSCTSYAKKRGEASHMRYVALLVRGYSCAIGTFPLVLFITSSLFVTSEYVWTDTCALTLMAPVDCFFHNIARSKCYPICLAYVTHACSNISSIMWSGTIMMVCVRKSIRIPYHLPFCIAVYRDYAMSTLLLGEAVALTLFFFSQIGCGTFSISKNGYLFDYVMKPVITSIYNLDILSLQSLLFYMPTHLHIDLFILLIMSLNGILAMLFILFILTFVFLGIRSQPFLGLLIFDTSTQNYNEM
+>sp|Q8NG81|OR2M7_HUMAN Olfactory receptor 2M7 OS=Homo sapiens OX=9606 GN=OR2M7 PE=2 SV=1
+MAWENQTFNSDFLLLGIFNHSPTHTFLFFLVLAIFSVAFMGNSIMVLLIYLDTQLHTPMYFLLSQLSLMDLMLICTTVPKMAFNYLSGSKSISMAGCATQIFFYISLLGSECFLLAVMSYDRYTAICHPLRYTNLMRPKICGLMTAFSWILGSTDGIIDAVATFSFSYCGSREIAHFCCDFPSLLILSCNDTSIFEEVIFICCIVMLVFPVAIIITSYARVILAVIHMGSGEGRRKAFTTCSSHLMVVGMYYGAGLFMCIQPTSHHSPMQDKMVSVFYTIVTPMLNPLIYSLRNKEVTRALMKILGKGKSGD
+>DECOY_sp|Q8NG81|OR2M7_HUMAN Olfactory receptor 2M7 OS=Homo sapiens OX=9606 GN=OR2M7 PE=2 SV=1
+DGSKGKGLIKMLARTVEKNRLSYILPNLMPTVITYFVSVMKDQMPSHHSTPQICMFLGAGYYMGVVMLHSSCTTFAKRRGEGSGMHIVALIVRAYSTIIIAVPFVLMVICCIFIVEEFISTDNCSLILLSPFDCCFHAIERSGCYSFSFTAVADIIGDTSGLIWSFATMLGCIKPRMLNTYRLPHCIATYRDYSMVALLFCESGLLSIYFFIQTACGAMSISKSGSLYNFAMKPVTTCILMLDMLSLQSLLFYMPTHLQTDLYILLVMISNGMFAVSFIALVLFFLFTHTPSHNFIGLLLFDSNFTQNEWAM
+>sp|Q6IF00|OR2T2_HUMAN Olfactory receptor 2T2 OS=Homo sapiens OX=9606 GN=OR2T2 PE=2 SV=1
+MGMEGLLQNSTNFVLTGLITHPAFPGLLFAIVFSIFVVAITANLVMILLIHMDSRLHTPMYFLLSQLSIMDTIYICITVPKMLQDLLSKDKTISFLGCAVQIFLYLTLIGGEFFLLGLMAYDRYVAVCNPLRYPLLMNRRVCLFMVVGSWVGGSLDGFMLTPVTMSFPFCRSREINHFFCEIPAVLKLSCTDTSLYETLMYACCVLMLLIPLSVISVSYTHILLTVHRMNSAEGRRKAFATCSSHIMVVSVFYGAAFYTNVLPHSYHTPEKDKVVSAFYTILTPMLNPLIYSLRNKDVAAALRKVLGRCGSSQSIRVATVIRKG
+>DECOY_sp|Q6IF00|OR2T2_HUMAN Olfactory receptor 2T2 OS=Homo sapiens OX=9606 GN=OR2T2 PE=2 SV=1
+GKRIVTAVRISQSSGCRGLVKRLAAAVDKNRLSYILPNLMPTLITYFASVVKDKEPTHYSHPLVNTYFAAGYFVSVVMIHSSCTAFAKRRGEASNMRHVTLLIHTYSVSIVSLPILLMLVCCAYMLTEYLSTDTCSLKLVAPIECFFHNIERSRCFPFSMTVPTLMFGDLSGGVWSGVVMFLCVRRNMLLPYRLPNCVAVYRDYAMLGLLFFEGGILTLYLFIQVACGLFSITKDKSLLDQLMKPVTICIYITDMISLQSLLFYMPTHLRSDMHILLIMVLNATIAVVFISFVIAFLLGPFAPHTILGTLVFNTSNQLLGEMGM
+>sp|P0C7T2|OR2T7_HUMAN Olfactory receptor 2T7 OS=Homo sapiens OX=9606 GN=OR2T7 PE=3 SV=1
+MPTLSFWVCSATPVSPGFFALILLVFVTSIASNVVKIILIHIDSRLHTPMYFLLSQLSLRDILYISTIVPKMLVDQVMSQRAISFAGCTAQHFLYLTLAGAEFFLLGLMSCDRYVAICNPLHYPDLMSRKICWLIVAAAWLGGSIDGFLLTPVTMQFPFCASREINHFFCEVPALLKLSCTDTSAYETAMYVCCIMMLLIPFSVISGSYTRILITVYRMSEAEGRRKAVATCSSHMVVVSLFYGAAMYTYVLPHSYHTPEQDKAVSAFYTILTPMLNPLIYSLRNKDVTGALQKVVGRCVSSGKVTTF
+>DECOY_sp|P0C7T2|OR2T7_HUMAN Olfactory receptor 2T7 OS=Homo sapiens OX=9606 GN=OR2T7 PE=3 SV=1
+FTTVKGSSVCRGVVKQLAGTVDKNRLSYILPNLMPTLITYFASVAKDQEPTHYSHPLVYTYMAAGYFLSVVVMHSSCTAVAKRRGEAESMRYVTILIRTYSGSIVSFPILLMMICCVYMATEYASTDTCSLKLLAPVECFFHNIERSACFPFQMTVPTLLFGDISGGLWAAAVILWCIKRSMLDPYHLPNCIAVYRDCSMLGLLFFEAGALTLYLFHQATCGAFSIARQSMVQDVLMKPVITSIYLIDRLSLQSLLFYMPTHLRSDIHILIIKVVNSAISTVFVLLILAFFGPSVPTASCVWFSLTPM
+>sp|Q9Y3N9|OR2W1_HUMAN Olfactory receptor 2W1 OS=Homo sapiens OX=9606 GN=OR2W1 PE=2 SV=1
+MDQSNYSSLHGFILLGFSNHPKMEMILSGVVAIFYLITLVGNTAIILASLLDSQLHTPMYFFLRNLSFLDLCFTTSIIPQMLVNLWGPDKTISYVGCIIQLYVYMWLGSVECLLLAVMSYDRFTAICKPLHYFVVMNPHLCLKMIIMIWSISLANSVVLCTLTLNLPTCGNNILDHFLCELPALVKIACVDTTTVEMSVFALGIIIVLTPLILILISYGYIAKAVLRTKSKASQRKAMNTCGSHLTVVSMFYGTIIYMYLQPGNRASKDQGKFLTLFYTVITPSLNPLIYTLRNKDMKDALKKLMRFHHKSTKIKRNCKS
+>DECOY_sp|Q9Y3N9|OR2W1_HUMAN Olfactory receptor 2W1 OS=Homo sapiens OX=9606 GN=OR2W1 PE=2 SV=1
+SKCNRKIKTSKHHFRMLKKLADKMDKNRLTYILPNLSPTIVTYFLTLFKGQDKSARNGPQLYMYIITGYFMSVVTLHSGCTNMAKRQSAKSKTRLVAKAIYGYSILILILPTLVIIIGLAFVSMEVTTTDVCAIKVLAPLECLFHDLINNGCTPLNLTLTCLVVSNALSISWIMIIMKLCLHPNMVVFYHLPKCIATFRDYSMVALLLCEVSGLWMYVYLQIICGVYSITKDPGWLNVLMQPIISTTFCLDLFSLNRLFFYMPTHLQSDLLSALIIATNGVLTILYFIAVVGSLIMEMKPHNSFGLLIFGHLSSYNSQDM
+>sp|P47893|OR3A2_HUMAN Olfactory receptor 3A2 OS=Homo sapiens OX=9606 GN=OR3A2 PE=2 SV=3
+MSLQKLMEPEAGTNRTAVAEFILLGLVQTEEMQPVVFVLLLFAYLVTTGGNLSILAAVLVEPKLHAPMYFFLGNLSVLDVGCITVTVPAMLGRLLSHKSTISYDACLSQLFFFHLLAGMDCFLLTAMAYDRLLAICQPLTYSTRMSQTVQRMLVAASLACAFTNALTHTVAMSTLNFCGPNEVNHFYCDLPQLFQLSCSSTQLNELLLFAVGFIMAGTPLVLIITAYSHVAAAVLRIRSVEGRKKAFSTCGSHLTVVCLFFGRGIFNYMRLGSEEASDKDKGVGVFNTVINPMLNPLIYSLRNPDVQGALWQIFLGRRSLT
+>DECOY_sp|P47893|OR3A2_HUMAN Olfactory receptor 3A2 OS=Homo sapiens OX=9606 GN=OR3A2 PE=2 SV=3
+TLSRRGLFIQWLAGQVDPNRLSYILPNLMPNIVTNFVGVGKDKDSAEESGLRMYNFIGRGFFLCVVTLHSGCTSFAKKRGEVSRIRLVAAAVHSYATIILVLPTGAMIFGVAFLLLENLQTSSCSLQFLQPLDCYFHNVENPGCFNLTSMAVTHTLANTFACALSAAVLMRQVTQSMRTSYTLPQCIALLRDYAMATLLFCDMGALLHFFFLQSLCADYSITSKHSLLRGLMAPVTVTICGVDLVSLNGLFFYMPAHLKPEVLVAALISLNGGTTVLYAFLLLVFVVPQMEETQVLGLLIFEAVATRNTGAEPEMLKQLSM
+>sp|P47883|OR3A4_HUMAN Putative olfactory receptor 3A4 OS=Homo sapiens OX=9606 GN=OR3A4P PE=5 SV=4
+MDLGNSGNDSVVTKFVLLGLTETAALQPILFVIFLLAYVTTIGGTLSILAAILMETKLHSPMYFFLGNLSLPDVGCVSVTVPAMLSHFISNDRSIPYKACLSELFFFHLLAGADCFLLTIMAYDRYLAICQSLTYSSRMSWGIQQALVGMSCVFSFTNALTQTVALSPLNFCGPNVINHFYCDLPQPFQLSCSSVHLNGQLLFVAAAFMGVAPLVLITVSYAHVAAAVLRIRSAEGRKKAFSTCSSHLTVVGIFYGTGVFSYTRLGSVESSDKDKGIGILNTVISPMLNPLIYWTSLLDVGCISHCSSDAGVSPGPPVQSSLCCLQFTALLSPPPGWGGLSPLNSHGL
+>DECOY_sp|P47883|OR3A4_HUMAN Putative olfactory receptor 3A4 OS=Homo sapiens OX=9606 GN=OR3A4P PE=5 SV=4
+LGHSNLPSLGGWGPPPSLLATFQLCCLSSQVPPGPSVGADSSCHSICGVDLLSTWYILPNLMPSIVTNLIGIGKDKDSSEVSGLRTYSFVGTGYFIGVVTLHSSCTSFAKKRGEASRIRLVAAAVHAYSVTILVLPAVGMFAAAVFLLQGNLHVSSCSLQFPQPLDCYFHNIVNPGCFNLPSLAVTQTLANTFSFVCSMGVLAQQIGWSMRSSYTLSQCIALYRDYAMITLLFCDAGALLHFFFLESLCAKYPISRDNSIFHSLMAPVTVSVCGVDPLSLNGLFFYMPSHLKTEMLIAALISLTGGITTVYALLFIVFLIPQLAATETLGLLVFKTVVSDNGSNGLDM
+>sp|Q8NGF8|OR4B1_HUMAN Olfactory receptor 4B1 OS=Homo sapiens OX=9606 GN=OR4B1 PE=3 SV=1
+MASTSNVTELIFTGLFQDPAVQSVCFVVFLPVYLATVVGNGLIVLTVSISKSLDSPMYFFLSCLSLVEISYSSTIAPKFIIDLLAKIKTISLEGCLTQIFFFHFFGVAEILLIVVMAYDCYVAICKPLHYMNIISRQLCHLLVAGSWLGGFCHSIIQILVIIQLPFCGPNVIDHYFCDLQPLFKLACTDTFMEGVIVLANSGLFSVFSFLILVSSYIVILVNLRNHSAEGRHKALSTCASHITVVILFFGPAIFLYMRPSSTFTEDKLVAVFYTVITPMLNPIIYTLRNAEVKIAIRRLWSKKENPGRE
+>DECOY_sp|Q8NGF8|OR4B1_HUMAN Olfactory receptor 4B1 OS=Homo sapiens OX=9606 GN=OR4B1 PE=3 SV=1
+ERGPNEKKSWLRRIAIKVEANRLTYIIPNLMPTIVTYFVAVLKDETFTSSPRMYLFIAPGFFLIVVTIHSACTSLAKHRGEASHNRLNVLIVIYSSVLILFSFVSFLGSNALVIVGEMFTDTCALKFLPQLDCFYHDIVNPGCFPLQIIVLIQIISHCFGGLWSGAVLLHCLQRSIINMYHLPKCIAVYCDYAMVVILLIEAVGFFHFFFIQTLCGELSITKIKALLDIIFKPAITSSYSIEVLSLCSLFFYMPSDLSKSISVTLVILGNGVVTALYVPLFVVFCVSQVAPDQFLGTFILETVNSTSAM
+>sp|Q8NH37|OR4C3_HUMAN Olfactory receptor 4C3 OS=Homo sapiens OX=9606 GN=OR4C3 PE=2 SV=2
+MDIPQNITEFFMLGLSQNSEVQRVLFVVFLLIYVVTVCGNMLIVVTITSSPTLASPVYFFLANLSFIDTFYSSSMAPKLIADSLYEGRTISYECCMAQLFGAHFLGGVEIILLTVMAYDRYVAICKPLHNTTIMTRHLCAMLVGVAWLGGFLHSLVQLLLVLWLPFCGPNVINHFACDLYPLLEVACTNTYVIGLLVVANSGLICLLNFLMLAASYIVILYSLRSHSADGRCKALSTCGAHFIVVALFFVPCIFTYVHPFSTLPIDKNMALFYGILTPMLNPLIYTLRNEEVKNAMRKLFTW
+>DECOY_sp|Q8NH37|OR4C3_HUMAN Olfactory receptor 4C3 OS=Homo sapiens OX=9606 GN=OR4C3 PE=2 SV=2
+WTFLKRMANKVEENRLTYILPNLMPTLIGYFLAMNKDIPLTSFPHVYTFICPVFFLAVVIFHAGCTSLAKCRGDASHSRLSYLIVIYSAALMLFNLLCILGSNAVVLLGIVYTNTCAVELLPYLDCAFHNIVNPGCFPLWLVLLLQVLSHLFGGLWAVGVLMACLHRTMITTNHLPKCIAVYRDYAMVTLLIIEVGGLFHAGFLQAMCCEYSITRGEYLSDAILKPAMSSSYFTDIFSLNALFFYVPSALTPSSTITVVILMNGCVTVVYILLFVVFLVRQVESNQSLGLMFFETINQPIDM
+>sp|Q8NGD3|OR4K5_HUMAN Olfactory receptor 4K5 OS=Homo sapiens OX=9606 GN=OR4K5 PE=3 SV=1
+MDKSNSSVVSEFVLLGLCSSQKLQLFYFCFFSVLYTVIVLGNLLIILTVTSDTSLHSPMYFLLGNLSFVDICQASFATPKMIADFLSAHETISFSGCIAQIFFIHLFTGGEMVLLVSMAYDRYVAICKPLYYVVIMSRRTCTVLVMISWAVSLVHTLSQLSFTVNLPFCGPNVVDSFFCDLPRVTKLACLDSYIIEILIVVNSGILSLSTFSLLVSSYIIILVTVWLKSSAAMAKAFSTLASHIAVVILFFGPCIFIYVWPFTISPLDKFLAIFYTVFTPVLNPIIYTLRNRDMKAAVRKIVNHYLRPRRISEMSLVVRTSFH
+>DECOY_sp|Q8NGD3|OR4K5_HUMAN Olfactory receptor 4K5 OS=Homo sapiens OX=9606 GN=OR4K5 PE=3 SV=1
+HFSTRVVLSMESIRRPRLYHNVIKRVAAKMDRNRLTYIIPNLVPTFVTYFIALFKDLPSITFPWVYIFICPGFFLIVVAIHSALTSFAKAMAASSKLWVTVLIIIYSSVLLSFTSLSLIGSNVVILIEIIYSDLCALKTVRPLDCFFSDVVNPGCFPLNVTFSLQSLTHVLSVAWSIMVLVTCTRRSMIVVYYLPKCIAVYRDYAMSVLLVMEGGTFLHIFFIQAICGSFSITEHASLFDAIMKPTAFSAQCIDVFSLNGLLFYMPSHLSTDSTVTLIILLNGLVIVTYLVSFFCFYFLQLKQSSCLGLLVFESVVSSNSKDM
+>sp|Q8NH42|OR4KD_HUMAN Olfactory receptor 4K13 OS=Homo sapiens OX=9606 GN=OR4K13 PE=3 SV=1
+MERANHSVVSEFILLGLSKSQNLQILFFLGFSVVFVGIVLGNLLILVTVTFDSLLHTPMYFLLSNLSCIDMILASFATPKMIVDFLRERKTISWWGCYSQMFFMHLLGGSEMMLLVAMAIDRYVAICKPLHYMTIMSPRVLTGLLLSSYAVGFVHSSSQMAFMLTLPFCGPNVIDSFFCDLPLVIKLACKDTYILQLLVIADSGLLSLVCFLLLLVSYGVIIFSVRYRAASRSSKAFSTLSAHITVVTLFFAPCVFIYVWPFSRYSVDKILSVFYTIFTPLLNPIIYTLRNQEVKAAIKKRLCI
+>DECOY_sp|Q8NH42|OR4KD_HUMAN Olfactory receptor 4K13 OS=Homo sapiens OX=9606 GN=OR4K13 PE=3 SV=1
+ICLRKKIAAKVEQNRLTYIIPNLLPTFITYFVSLIKDVSYRSFPWVYIFVCPAFFLTVVTIHASLTSFAKSSRSAARYRVSFIIVGYSVLLLLFCVLSLLGSDAIVLLQLIYTDKCALKIVLPLDCFFSDIVNPGCFPLTLMFAMQSSSHVFGVAYSSLLLGTLVRPSMITMYHLPKCIAVYRDIAMAVLLMMESGGLLHMFFMQSYCGWWSITKRERLFDVIMKPTAFSALIMDICSLNSLLFYMPTHLLSDFTVTVLILLNGLVIGVFVVSFGLFFLIQLNQSKSLGLLIFESVVSHNAREM
+>sp|Q8NGC6|OR4KH_HUMAN Olfactory receptor 4K17 OS=Homo sapiens OX=9606 GN=OR4K17 PE=3 SV=3
+MEAMKLLNQSQVSEFILLGLTSSQDVEFLLFALFSVIYVVTVLGNLLIIVTVFNTPNLNTPMYFLLGNLSFVDMTLASFATPKVILNLLKKQKVISFAGCFTQIFLLHLLGGVEMVLLVSMAFDRYVAICKPLHYMTIMNKKVCVLLVVTSWLLGLLHSGFQIPFAVNLPFCGPNVVDSIFCDLPLVTKLACIDIYFVQVVIVANSGIISLSCFIILLISYSLILITIKNHSPTGQSKARSTLTAHITVVILFFGPCIFIYIWPFGNHSVDKFLAVFYTIITPILNPIIYTLRNKEMKISMKKLWRAFVNSREDT
+>DECOY_sp|Q8NGC6|OR4KH_HUMAN Olfactory receptor 4K17 OS=Homo sapiens OX=9606 GN=OR4K17 PE=3 SV=3
+TDERSNVFARWLKKMSIKMEKNRLTYIIPNLIPTIITYFVALFKDVSHNGFPWIYIFICPGFFLIVVTIHATLTSRAKSQGTPSHNKITILILSYSILLIIFCSLSIIGSNAVIVVQVFYIDICALKTVLPLDCFISDVVNPGCFPLNVAFPIQFGSHLLGLLWSTVVLLVCVKKNMITMYHLPKCIAVYRDFAMSVLLVMEVGGLLHLLFIQTFCGAFSIVKQKKLLNLIVKPTAFSALTMDVFSLNGLLFYMPTNLNPTNFVTVIILLNGLVTVVYIVSFLAFLLFEVDQSSTLGLLIFESVQSQNLLKMAEM
+>sp|Q8NH43|OR4L1_HUMAN Olfactory receptor 4L1 OS=Homo sapiens OX=9606 GN=OR4L1 PE=3 SV=1
+MDLKNGSLVTEFILLGFFGRWELQIFFFVTFSLIYGATVMGNILIMVTVTCRSTLHSPLYFLLGNLSFLDMCLSTATTPKMIIDLLTDHKTISVWGCVTQMFFMHFFGGAEMTLLIIMAFDRYVAICKPLHYRTIMSHKLLKGFAILSWIIGFLHSISQIVLTMNLPFCGHNVINNIFCDLPLVIKLACIETYTLELFVIADSGLLSFTCFILLLVSYIVILVSVPKKSSHGLSKALSTLSAHIIVVTLFFGPCIFIYVWPFSSLASNKTLAVFYTVITPLLNPSIYTLRNKKMQEAIRKLRFQYVSSAQNF
+>DECOY_sp|Q8NH43|OR4L1_HUMAN Olfactory receptor 4L1 OS=Homo sapiens OX=9606 GN=OR4L1 PE=3 SV=1
+FNQASSVYQFRLKRIAEQMKKNRLTYISPNLLPTIVTYFVALTKNSALSSFPWVYIFICPGFFLTVVIIHASLTSLAKSLGHSSKKPVSVLIVIYSVLLLIFCTFSLLGSDAIVFLELTYTEICALKIVLPLDCFINNIVNHGCFPLNMTLVIQSISHLFGIIWSLIAFGKLLKHSMITRYHLPKCIAVYRDFAMIILLTMEAGGFFHMFFMQTVCGWVSITKHDTLLDIIMKPTTATSLCMDLFSLNGLLFYLPSHLTSRCTVTVMILINGMVTAGYILSFTVFFFIQLEWRGFFGLLIFETVLSGNKLDM
+>sp|Q8NGD1|OR4N2_HUMAN Olfactory receptor 4N2 OS=Homo sapiens OX=9606 GN=OR4N2 PE=3 SV=1
+MESENRTVIREFILLGLTQSQDIQLLVFVLVLIFYFIILPGNFLIIFTIKSDPGLTAPLYFFLGNLAFLDASYSFIVAPRMLVDFLSAKKIISYRGCITQLFFLHFLGGGEGLLLVVMAFDRYIAICRPLHYPTVMNPRTCYAMMLALWLGGFVHSIIQVVLILRLPFCGPNQLDNFFCDVPQVIKLACTDTFVVELLMVFNSGLMTLLCFLGLLASYAVILCRIRGSSSEAKNKAMSTCITHIIVIFFMFGPGIFIYTRPFRAFPADKVVSLFHTVIFPLLNPVIYTLRNQEVKASMKKVFNKHIA
+>DECOY_sp|Q8NGD1|OR4N2_HUMAN Olfactory receptor 4N2 OS=Homo sapiens OX=9606 GN=OR4N2 PE=3 SV=1
+AIHKNFVKKMSAKVEQNRLTYIVPNLLPFIVTHFLSVVKDAPFARFPRTYIFIGPGFMFFIVIIHTICTSMAKNKAESSSGRIRCLIVAYSALLGLFCLLTMLGSNFVMLLEVVFTDTCALKIVQPVDCFFNDLQNPGCFPLRLILVVQIISHVFGGLWLALMMAYCTRPNMVTPYHLPRCIAIYRDFAMVVLLLGEGGGLFHLFFLQTICGRYSIIKKASLFDVLMRPAVIFSYSADLFALNGLFFYLPATLGPDSKITFIILFNGPLIIFYFILVLVFVLLQIDQSQTLGLLIFERIVTRNESEM
+>sp|Q8N0Y3|OR4N4_HUMAN Olfactory receptor 4N4 OS=Homo sapiens OX=9606 GN=OR4N4 PE=2 SV=2
+MKIANNTVVTEFILLGLTQSQDIQLLVFVLILIFYLIILPGNFLIIFTIRSDPGLTAPLYLFLGNLAFLDASYSFIVAPRMLVDFLSEKKVISYRGCITQLFFLHFLGGGEGLLLVVMAFDRYIAICRPLHCSTVMNPRACYAMMLALWLGGFVHSIIQVVLILRLPFCGPNQLDNFFCDVRQVIKLACTDMFVVELLMVFNSGLMTLLCFLGLLASYAVILCHVRRAASEGKNKAMSTCTTRVIIILLMFGPAIFIYMCPFRALPADKMVSLFHTVIFPLMNPMIYTLRNQEVKTSMKRLLSRHVVCQVDFIIRN
+>DECOY_sp|Q8N0Y3|OR4N4_HUMAN Olfactory receptor 4N4 OS=Homo sapiens OX=9606 GN=OR4N4 PE=2 SV=2
+NRIIFDVQCVVHRSLLRKMSTKVEQNRLTYIMPNMLPFIVTHFLSVMKDAPLARFPCMYIFIAPGFMLLIIIVRTTCTSMAKNKGESAARRVHCLIVAYSALLGLFCLLTMLGSNFVMLLEVVFMDTCALKIVQRVDCFFNDLQNPGCFPLRLILVVQIISHVFGGLWLALMMAYCARPNMVTSCHLPRCIAIYRDFAMVVLLLGEGGGLFHLFFLQTICGRYSIVKKESLFDVLMRPAVIFSYSADLFALNGLFLYLPATLGPDSRITFIILFNGPLIILYFILILVFVLLQIDQSQTLGLLIFETVVTNNAIKM
+>sp|P0C623|OR4Q2_HUMAN Olfactory receptor 4Q2 OS=Homo sapiens OX=9606 GN=OR4Q2 PE=3 SV=2
+MDKNQTEVMREFFLSGFSQTPSIEAGLFVLFLFFYMSIWVGNVLIMVTVASDKYLNSSPMYFLLGNLSFLDLCYSTVTTPKLLADFFNHEKLISYDQCIVQLFFLHFVGAAEMFLLTVMAYDRYVAICRPLHYTTVMSRGLCCVLVAASWMGGFVHSTVQTILTVHLPFCGPNQVTFFCDVPPVIKLACADTFVIELLMVSNSGLISTISFVVLISSYTTILVKIRSKEGRRKALSTCASHLMVVTLFFGPCIFIYARPFSTFSVDKMVSVLYNVITPMLNPLIYTLRNKEVKSAMQKLWVKIITFQ
+>DECOY_sp|P0C623|OR4Q2_HUMAN Olfactory receptor 4Q2 OS=Homo sapiens OX=9606 GN=OR4Q2 PE=3 SV=2
+QFTIIKVWLKQMASKVEKNRLTYILPNLMPTIVNYLVSVMKDVSFTSFPRAYIFICPGFFLTVVMLHSACTSLAKRRGEKSRIKVLITTYSSILVVFSITSILGSNSVMLLEIVFTDACALKIVPPVDCFFTVQNPGCFPLHVTLITQVTSHVFGGMWSAAVLVCCLGRSMVTTYHLPRCIAVYRDYAMVTLLFMEAAGVFHLFFLQVICQDYSILKEHNFFDALLKPTTVTSYCLDLFSLNGLLFYMPSSNLYKDSAVTVMILVNGVWISMYFFLFLVFLGAEISPTQSFGSLFFERMVETQNKDM
+>sp|Q8NGB4|OR4S1_HUMAN Olfactory receptor 4S1 OS=Homo sapiens OX=9606 GN=OR4S1 PE=3 SV=1
+MGAKNNVTEFVLFGLFESREMQHTCFVVFFLFHVLTVLGNLLVIITINARKTLKSPMYFFLSQLSFADICYPSTTIPKMIADTFVEHKIISFNGCMTQLFSAHFFGGTEIFLLTAMAYDRYVAICRPLHYTAIMDCRKCGLLAGASWLAGFLHSILQTLLTVQLPFCGPNEIDNFFCDVHPLLKLACADTYMVGLIVVANSGMISLASFFILIISYVIILLNLRSQSSEDRRKAVSTCGSHVITVLLVLMPPMFMYIRPSTTLAADKLIILFNIVMPPLLNPLIYTLRNNDVKNAMRKLFRVKRSLGEK
+>DECOY_sp|Q8NGB4|OR4S1_HUMAN Olfactory receptor 4S1 OS=Homo sapiens OX=9606 GN=OR4S1 PE=3 SV=1
+KEGLSRKVRFLKRMANKVDNNRLTYILPNLLPPMVINFLIILKDAALTTSPRIYMFMPPMLVLLVTIVHSGCTSVAKRRDESSQSRLNLLIIVYSIILIFFSALSIMGSNAVVILGVMYTDACALKLLPHVDCFFNDIENPGCFPLQVTLLTQLISHLFGALWSAGALLGCKRCDMIATYHLPRCIAVYRDYAMATLLFIETGGFFHASFLQTMCGNFSIIKHEVFTDAIMKPITTSPYCIDAFSLQSLFFYMPSKLTKRANITIIVLLNGLVTLVHFLFFVVFCTHQMERSEFLGFLVFETVNNKAGM
+>sp|Q8NH49|OR4X1_HUMAN Olfactory receptor 4X1 OS=Homo sapiens OX=9606 GN=OR4X1 PE=3 SV=1
+MVATNNVTEIIFVGFSQNWSEQRVISVMFLLMYTAVVLGNGLIVVTILASKVLTSPMYFFLSYLSFVEICYCSVMAPKLIFDSFIKRKVISLKGCLTQMFSLHFFGGTEAFLLMVMAYDRYVAICKPLHYMAIMNQRMCGLLVRIAWGGGLLHSVGQTFLIFQLPFCGPNIMDHYFCDVHPVLELACADTFFISLLIITNGGSISVVSFFVLMASYLIILHFLRSHNLEGQHKALSTCASHVTVVDLFFIPCSLVYIRPCVTLPADKIVAVFYTVVTPLLNPVIYSFRNAEVKNAMRRFIGGKVI
+>DECOY_sp|Q8NH49|OR4X1_HUMAN Olfactory receptor 4X1 OS=Homo sapiens OX=9606 GN=OR4X1 PE=3 SV=1
+IVKGGIFRRMANKVEANRFSYIVPNLLPTVVTYFVAVIKDAPLTVCPRIYVLSCPIFFLDVVTVHSACTSLAKHQGELNHSRLFHLIILYSAMLVFFSVVSISGGNTIILLSIFFTDACALELVPHVDCFYHDMINPGCFPLQFILFTQGVSHLLGGGWAIRVLLGCMRQNMIAMYHLPKCIAVYRDYAMVMLLFAETGGFFHLSFMQTLCGKLSIVKRKIFSDFILKPAMVSCYCIEVFSLYSLFFYMPSTLVKSALITVVILGNGLVVATYMLLFMVSIVRQESWNQSFGVFIIETVNNTAVM
+>sp|Q96R09|OR5B2_HUMAN Olfactory receptor 5B2 OS=Homo sapiens OX=9606 GN=OR5B2 PE=2 SV=3
+MENCTEVTKFILLGLTSVPELQIPLFILFTFIYLLTLCGNLGMMLLILMDSCLHTPMYFFLSNLSLVDFGYSSAVTPKVMAGFLRGDKVISYNACAVQMFFFVALATVENYLLASMAYDRYAAVCKPLHYTTTMTASVGACLALGSYVCGFLNASFHIGGIFSLSFCKSNLVHHFFCDVPAVMALSCSDKHTSEVILVFMSSFNIFFVLLVIFISYLFIFITILKMHSAKGHQKALSTCASHFTAVSVFYGTVIFIYLQPSSSHSMDTDKMASVFYAMIIPMLNPVVYSLRNREVQNAFKKVLRRQKFL
+>DECOY_sp|Q96R09|OR5B2_HUMAN Olfactory receptor 5B2 OS=Homo sapiens OX=9606 GN=OR5B2 PE=2 SV=3
+LFKQRRLVKKFANQVERNRLSYVVPNLMPIIMAYFVSAMKDTDMSHSSSPQLYIFIVTGYFVSVATFHSACTSLAKQHGKASHMKLITIFIFLYSIFIVLLVFFINFSSMFVLIVESTHKDSCSLAMVAPVDCFFHHVLNSKCFSLSFIGGIHFSANLFGCVYSGLALCAGVSATMTTTYHLPKCVAAYRDYAMSALLYNEVTALAVFFFMQVACANYSIVKDGRLFGAMVKPTVASSYGFDVLSLNSLFFYMPTHLCSDMLILLMMGLNGCLTLLYIFTFLIFLPIQLEPVSTLGLLIFKTVETCNEM
+>sp|Q8NGR4|OR5C1_HUMAN Olfactory receptor 5C1 OS=Homo sapiens OX=9606 GN=OR5C1 PE=2 SV=1
+MNSENLTRAAVAPAEFVLLGITNRWDLRVALFLTCLPVYLVSLLGNMGMALLIRMDARLHTPMYFFLANLSLLDACYSSAIGPKMLVDLLLPRATIPYTACALQMFVFAGLADTECCLLAAMAYDRYVAIRNPLLYTTAMSQRLCLALLGASGLGGAVSAFVHTTLTFRLSFCRSRKINSFFCDIPPLLAISCSDTSLNELLLFAICGFIQTATVLAITVSYGFIAGAVIHMRSVEGSRRAASTGGSHLTAVAMMYGTLIFMYLRPSSSYALDTDKMASVFYTLVIPSLNPLIYSLRNKEVKEALRQTWSRFHCPGQGSQ
+>DECOY_sp|Q8NGR4|OR5C1_HUMAN Olfactory receptor 5C1 OS=Homo sapiens OX=9606 GN=OR5C1 PE=2 SV=1
+QSGQGPCHFRSWTQRLAEKVEKNRLSYILPNLSPIVLTYFVSAMKDTDLAYSSSPRLYMFILTGYMMAVATLHSGGTSAARRSGEVSRMHIVAGAIFGYSVTIALVTATQIFGCIAFLLLENLSTDSCSIALLPPIDCFFSNIKRSRCFSLRFTLTTHVFASVAGGLGSAGLLALCLRQSMATTYLLPNRIAVYRDYAMAALLCCETDALGAFVFMQLACATYPITARPLLLDVLMKPGIASSYCADLLSLNALFFYMPTHLRADMRILLAMGMNGLLSVLYVPLCTLFLAVRLDWRNTIGLLVFEAPAVAARTLNESNM
+>sp|Q8NGK9|OR5DG_HUMAN Olfactory receptor 5D16 OS=Homo sapiens OX=9606 GN=OR5D16 PE=3 SV=1
+MFLTERNTTSEATFTLLGFSDYLELQIPLFFVFLAVYGFSVVGNLGMIVIIKINPKLHTPMYFFLNHLSFVDFCYSSIIAPMMLVNLVVEDRTISFSGCLVQFFFFCTFVVTELILFAVMAYDHFVAICNPLLYTVAISQKLCAMLVVVLYAWGVACSLTLACSALKLSFHGFNTINHFFCELSSLISLSYPDSYLSQLLLFTVATFNEISTLLIILTSYAFIIVTTLKMPSASGHRKVFSTCASHLTAITIFHGTILFLYCVPNSKNSRHTVKVASVFYTVVIPLLNPLIYSLRNKDVKDAIRKIINTKYFHIKHRHWYPFNFVIEQ
+>DECOY_sp|Q8NGK9|OR5DG_HUMAN Olfactory receptor 5D16 OS=Homo sapiens OX=9606 GN=OR5D16 PE=3 SV=1
+QEIVFNFPYWHRHKIHFYKTNIIKRIADKVDKNRLSYILPNLLPIVVTYFVSAVKVTHRSNKSNPVCYLFLITGHFITIATLHSACTSFVKRHGSASPMKLTTVIIFAYSTLIILLTSIENFTAVTFLLLQSLYSDPYSLSILSSLECFFHNITNFGHFSLKLASCALTLSCAVGWAYLVVVLMACLKQSIAVTYLLPNCIAVFHDYAMVAFLILETVVFTCFFFFQVLCGSFSITRDEVVLNVLMMPAIISSYCFDVFSLHNLFFYMPTHLKPNIKIIVIMGLNGVVSFGYVALFVFFLPIQLELYDSFGLLTFTAESTTNRETLFM
+>sp|P0C626|OR5G3_HUMAN Olfactory receptor 5G3 OS=Homo sapiens OX=9606 GN=OR5G3 PE=3 SV=1
+MEDKNQTVVTEFLLLGLTDHPYQKIVLFFMFLFVYLITLGGNLGMITLIWIDPRLHTPMYFFLRHLSFVDICSSSSVVPKMLCNIFAEKKDITFLGCAAQMWFFGLFEAAECFLLAAMAYDRYVAICKPLLYTLIMSQQVCMQLVVGPYAMALISTMTHTIFTFCLPFCGSNIINHFFCDIFPLLSLACADTWVNKFVLFVLAGAIGVLSGLIIMVSYICILMTILKIQTADGKQKAFFTCFSHLAAVSILYGTLFLIYVRPSSSSSLGIYKVISLFYTVVIPMVNPLIYSLRNKEVKDAFRRKIERKKFIIGR
+>DECOY_sp|P0C626|OR5G3_HUMAN Olfactory receptor 5G3 OS=Homo sapiens OX=9606 GN=OR5G3 PE=3 SV=1
+RGIIFKKREIKRRFADKVEKNRLSYILPNVMPIVVTYFLSIVKYIGLSSSSSPRVYILFLTGYLISVAALHSFCTFFAKQKGDATQIKLITMLICIYSVMIILGSLVGIAGALVFLVFKNVWTDACALSLLPFIDCFFHNIINSGCFPLCFTFITHTMTSILAMAYPGVVLQMCVQQSMILTYLLPKCIAVYRDYAMAALLFCEAAEFLGFFWMQAACGLFTIDKKEAFINCLMKPVVSSSSCIDVFSLHRLFFYMPTHLRPDIWILTIMGLNGGLTILYVFLFMFFLVIKQYPHDTLGLLLFETVVTQNKDEM
+>sp|Q8NGV7|OR5H2_HUMAN Olfactory receptor 5H2 OS=Homo sapiens OX=9606 GN=OR5H2 PE=3 SV=3
+MSNEDMEQDNTTLLTEFVLTGLTYQPEWKMPLFLVFLVIYLITIVWNLGLIALIWNDPQLHIPMYFFLGSLAFVDAWISSTVTPKMLVNFLAKNRMISLSECMIQFFSFAFGGTTECFLLATMAYDRYVAICKPLLYPVIMNNSLCIRLLAFSFLGGFLHALIHEVLIFRLTFCNSNIIHHFYCDIIPLFMISCTDPSINFLMVFILSGSIQVFTIVTVLNSYTFALFTILKKKSVRGVRKAFSTCGAHLLSVSLYYGPLIFMYLRPASPQADDQDMIDSVFYTIIIPLLNPIIYSLRNKQVIDSFTKMVKRNV
+>DECOY_sp|Q8NGV7|OR5H2_HUMAN Olfactory receptor 5H2 OS=Homo sapiens OX=9606 GN=OR5H2 PE=3 SV=3
+VNRKVMKTFSDIVQKNRLSYIIPNLLPIIITYFVSDIMDQDDAQPSAPRLYMFILPGYYLSVSLLHAGCTSFAKRVGRVSKKKLITFLAFTYSNLVTVITFVQISGSLIFVMLFNISPDTCSIMFLPIIDCYFHHIINSNCFTLRFILVEHILAHLFGGLFSFALLRICLSNNMIVPYLLPKCIAVYRDYAMTALLFCETTGGFAFSFFQIMCESLSIMRNKALFNVLMKPTVTSSIWADVFALSGLFFYMPIHLQPDNWILAILGLNWVITILYIVLFVLFLPMKWEPQYTLGTLVFETLLTTNDQEMDENSM
+>sp|Q8NH18|OR5J2_HUMAN Olfactory receptor 5J2 OS=Homo sapiens OX=9606 GN=OR5J2 PE=3 SV=1
+MADDNFTVVTEFILLGLTDHAELKAVLFVVFLVIYAITLLRNLGMILLIQITSKLHTPMYFLLSCLSFVDACYSSAIAPKMLVNLLVVKATISFSACMVQHLCFGVFITTEGFLLSVMAYDRYVAIVSPLLYTVAMSDRKCVELVTGSWIGGIVNTLIHTISLRRLSFCRLNAVSHFFCDIPSLLKLSCSDTSMNELLLLTFSGVIAMATFLTVIISYIFIAFASLRIHSASGRQQAFSTCASHLTAVTIFYGTLIFSYIQPSSQYFVEQEKVVSMFYTLGIPMLNLLIHSLRNKDVKEAVKRAIEMKHFLC
+>DECOY_sp|Q8NH18|OR5J2_HUMAN Olfactory receptor 5J2 OS=Homo sapiens OX=9606 GN=OR5J2 PE=3 SV=1
+CLFHKMEIARKVAEKVDKNRLSHILLNLMPIGLTYFMSVVKEQEVFYQSSPQIYSFILTGYFITVATLHSACTSFAQQRGSASHIRLSAFAIFIYSIIVTLFTAMAIVGSFTLLLLENMSTDSCSLKLLSPIDCFFHSVANLRCFSLRRLSITHILTNVIGGIWSGTVLEVCKRDSMAVTYLLPSVIAVYRDYAMVSLLFGETTIFVGFCLHQVMCASFSITAKVVLLNVLMKPAIASSYCADVFSLCSLLFYMPTHLKSTIQILLIMGLNRLLTIAYIVLFVVFLVAKLEAHDTLGLLIFETVVTFNDDAM
+>sp|Q8NGL2|OR5L1_HUMAN Olfactory receptor 5L1 OS=Homo sapiens OX=9606 GN=OR5L1 PE=2 SV=1
+MGKENCTTVAEFILLGLSDVPELRVCLFLLFLLIYGVTLLANLGMIALIQVSSRLHTPMYFFLSHLSSVDFCYSSIIVPKMLANIFNKDKAISFLGCMVQFYLFCTCVVTEVFLLAVMAYDRFVAICNPLLYTVTMSWKVRVELASCCYFCGTVCSLIHLCLALRIPFYRSNVINHFFCDLPPVLSLACSDITVNETLLFLVATLNESVTIMIILTSYLLILTTILKMGSAEGRHKAFSTCASHLTAITVFHGTVLSIYCRPSSGNSGDADKVATVFYTVVIPMLNSVIYSLRNKDVKEALRKVMGSKIHS
+>DECOY_sp|Q8NGL2|OR5L1_HUMAN Olfactory receptor 5L1 OS=Homo sapiens OX=9606 GN=OR5L1 PE=2 SV=1
+SHIKSGMVKRLAEKVDKNRLSYIVSNLMPIVVTYFVTAVKDADGSNGSSPRCYISLVTGHFVTIATLHSACTSFAKHRGEASGMKLITTLILLYSTLIIMITVSENLTAVLFLLTENVTIDSCALSLVPPLDCFFHNIVNSRYFPIRLALCLHILSCVTGCFYCCSALEVRVKWSMTVTYLLPNCIAVFRDYAMVALLFVETVVCTCFLYFQVMCGLFSIAKDKNFINALMKPVIISSYCFDVSSLHSLFFYMPTHLRSSVQILAIMGLNALLTVGYILLFLLFLCVRLEPVDSLGLLIFEAVTTCNEKGM
+>sp|Q8NGP4|OR5M3_HUMAN Olfactory receptor 5M3 OS=Homo sapiens OX=9606 GN=OR5M3 PE=2 SV=2
+MLNFTDVTEFILLGLTSRREWQVLFFIIFLVVYIITMVGNIGMMVLIKVSPQLNNPMYFFLSHLSFVDVWFSSNVTPKMLENLLSDKKTITYAGCLVQCFFFIALVHVEIFILAAMAFDRYMAIGNPLLYGSKMSRVVCIRLITFPYIYGFLTSLAATLWTYGLYFCGKIEINHFYCADPPLIKMACAGTFVKEYTMIILAGINFTYSLTVIIISYLFILIAILRMRSAEGRQKAFSTCGSHLTAVIIFYGTLIFMYLRRPTEESVEQGKMVAVFYTTVIPMLNPMIYSLRNKDVKKAMMKVISRSC
+>DECOY_sp|Q8NGP4|OR5M3_HUMAN Olfactory receptor 5M3 OS=Homo sapiens OX=9606 GN=OR5M3 PE=2 SV=2
+CSRSIVKMMAKKVDKNRLSYIMPNLMPIVTTYFVAVMKGQEVSEETPRRLYMFILTGYFIIVATLHSGCTSFAKQRGEASRMRLIAILIFLYSIIIVTLSYTFNIGALIIMTYEKVFTGACAMKILPPDACYFHNIEIKGCFYLGYTWLTAALSTLFGYIYPFTILRICVVRSMKSGYLLPNGIAMYRDFAMAALIFIEVHVLAIFFFCQVLCGAYTITKKDSLLNELMKPTVNSSFWVDVFSLHSLFFYMPNNLQPSVKILVMMGINGVMTIIYVVLFIIFFLVQWERRSTLGLLIFETVDTFNLM
+>sp|Q8NGP3|OR5M9_HUMAN Olfactory receptor 5M9 OS=Homo sapiens OX=9606 GN=OR5M9 PE=3 SV=1
+MPNFTDVTEFTLLGLTCRQELQVLFFVVFLAVYMITLLGNIGMIILISISPQLQSPMYFFLSHLSFADVCFSSNVTPKMLENLLSETKTISYVGCLVQCYFFIAVVHVEVYILAVMAFDRYMAGCNPLLYGSKMSRTVCVRLISVPYVYGFSVSLICTLWTYGLYFCGNFEINHFYCADPPLIQIACGRVHIKEITMIVIAGINFTYSLSVVLISYTLIVVAVLRMRSADGRRKAFSTCGSHLTAVSMFYGTPIFMYLRRPTEESVEQGKMVAVFYTTVIPMLNPMIYSLRNKDVKEAVNKAITKTYVRQ
+>DECOY_sp|Q8NGP3|OR5M9_HUMAN Olfactory receptor 5M9 OS=Homo sapiens OX=9606 GN=OR5M9 PE=3 SV=1
+QRVYTKTIAKNVAEKVDKNRLSYIMPNLMPIVTTYFVAVMKGQEVSEETPRRLYMFIPTGYFMSVATLHSGCTSFAKRRGDASRMRLVAVVILTYSILVVSLSYTFNIGAIVIMTIEKIHVRGCAIQILPPDACYFHNIEFNGCFYLGYTWLTCILSVSFGYVYPVSILRVCVTRSMKSGYLLPNCGAMYRDFAMVALIYVEVHVVAIFFYCQVLCGVYSITKTESLLNELMKPTVNSSFCVDAFSLHSLFFYMPSQLQPSISILIIMGINGLLTIMYVALFVVFFLVQLEQRCTLGLLTFETVDTFNPM
+>sp|Q8WZ92|OR5P2_HUMAN Olfactory receptor 5P2 OS=Homo sapiens OX=9606 GN=OR5P2 PE=2 SV=1
+MNSLKDGNHTALTGFILLGLTDDPILRVILFMIILSGNLSIIILIRISSQLHHPMYFFLSHLAFADMAYSSSVTPNMLVNFLVERNTVSYLGCAIQLGSAAFFATVECVLLAAMAYDRFVAICSPLLYSTKMSTQVSVQLLLVVYIAGFLIAVSYTTSFYFLLFCGPNQVNHFFCDFAPLLELSCSDISVSTVVLSFSSGSIIVVTVCVIAVCYIYILITILKMRSTEGHHKAFSTCTSHLTVVTLFYGTITFIYVMPNFSYSTDQNKVVSVLYTVVIPMLNPLIYSLRNKEIKGALKRELVRKILSHDACYFSRTSNNDIT
+>DECOY_sp|Q8WZ92|OR5P2_HUMAN Olfactory receptor 5P2 OS=Homo sapiens OX=9606 GN=OR5P2 PE=2 SV=1
+TIDNNSTRSFYCADHSLIKRVLERKLAGKIEKNRLSYILPNLMPIVVTYLVSVVKNQDTSYSFNPMVYIFTITGYFLTVVTLHSTCTSFAKHHGETSRMKLITILIYIYCVAIVCVTVVIISGSSFSLVVTSVSIDSCSLELLPAFDCFFHNVQNPGCFLLFYFSTTYSVAILFGAIYVVLLLQVSVQTSMKTSYLLPSCIAVFRDYAMAALLVCEVTAFFAASGLQIACGLYSVTNREVLFNVLMNPTVSSSYAMDAFALHSLFFYMPHHLQSSIRILIIISLNGSLIIMFLIVRLIPDDTLGLLIFGTLATHNGDKLSNM
+>sp|Q8NG75|OR5T1_HUMAN Olfactory receptor 5T1 OS=Homo sapiens OX=9606 GN=OR5T1 PE=2 SV=1
+MSGLPSDMDLYKLQLNNFTEVTMFILISFTEEFDVQVFLFLLFLAIYLFTLIGNLGLVVPIIGDFWLHSPMYYFLGVLSFLDVCYSTVVTPKMLVNFLAKNKSISFLGCATQMFLACTFGTTECFLLAAMAYDRYVAIYNPLLYSVSMSPRVYVPLITASYVASILHATIHTVATFSLSFCGSNEIRHVFCNMPPLLAISCSDTHVIQLLFFYFVGSIEIVTILIVLISYGFILLAILKMQSAEGRRKVFSTCGAHLTGVTIYHGTILFMYVRPSSSYTSDNDMIVSIFYTIVIPMLNPIIYSLRNKDVKEAIKRLLVRNWFINKL
+>DECOY_sp|Q8NG75|OR5T1_HUMAN Olfactory receptor 5T1 OS=Homo sapiens OX=9606 GN=OR5T1 PE=2 SV=1
+LKNIFWNRVLLRKIAEKVDKNRLSYIIPNLMPIVITYFISVIMDNDSTYSSSPRVYMFLITGHYITVGTLHAGCTSFVKRRGEASQMKLIALLIFGYSILVILITVIEISGVFYFFLLQIVHTDSCSIALLPPMNCFVHRIENSGCFSLSFTAVTHITAHLISAVYSATILPVYVRPSMSVSYLLPNYIAVYRDYAMAALLFCETTGFTCALFMQTACGLFSISKNKALFNVLMKPTVVTSYCVDLFSLVGLFYYMPSHLWFDGIIPVVLGLNGILTFLYIALFLLFLFVQVDFEETFSILIFMTVETFNNLQLKYLDMDSPLGSM
+>sp|Q6IFH4|OR6B2_HUMAN Olfactory receptor 6B2 OS=Homo sapiens OX=9606 GN=OR6B2 PE=2 SV=2
+MSGENVTKVSTFILVGLPTAPGLQYLLFLLFLLTYLFVLVENLAIILIVWSSTSLHRPMYYFLSSMSFLEIWYVSDITPKMLEGFLLQQKRISFVGCMTQLYFFSSLVCTECVLLASMAYDRYVAICHPLRYHVLVTPGLCLQLVGFSFVSGFTISMIKVCFISSVTFCGSNVLNHFFCDISPILKLACTDFSTAELVDFILAFIILVFPLLATILSYWHITLAVLRIPSATGCWRAFSTCASHLTVVTVFYTALLFMYVRPQAIDSQSSNKLISAVYTVVTPIINPLIYCLRNKEFKDALKKALGLGQTSH
+>DECOY_sp|Q6IFH4|OR6B2_HUMAN Olfactory receptor 6B2 OS=Homo sapiens OX=9606 GN=OR6B2 PE=2 SV=2
+HSTQGLGLAKKLADKFEKNRLCYILPNIIPTVVTYVASILKNSSQSDIAQPRVYMFLLATYFVTVVTLHSACTSFARWCGTASPIRLVALTIHWYSLITALLPFVLIIFALIFDVLEATSFDTCALKLIPSIDCFFHNLVNSGCFTVSSIFCVKIMSITFGSVFSFGVLQLCLGPTVLVHYRLPHCIAVYRDYAMSALLVCETCVLSSFFYLQTMCGVFSIRKQQLLFGELMKPTIDSVYWIELFSMSSLFYYMPRHLSTSSWVILIIALNEVLVFLYTLLFLLFLLYQLGPATPLGVLIFTSVKTVNEGSM
+>sp|Q9NZP0|OR6C3_HUMAN Olfactory receptor 6C3 OS=Homo sapiens OX=9606 GN=OR6C3 PE=3 SV=2
+MNHTMVTEFVLLGLSDDPDLQIVIFLFLFITYILSVTGNLTIITLTFVDSHLQTPMYFFLRNFSFLEISFTTVCIPRFLGAIITRNKTISYNNCAAQLFFFIFMGVTEFYILTAMSYDRYVAICKPLHYTSIMNRKLCTLLVLCAWLSGFLTIFPPLMLLLQLDYCASNVIDHFACDYFPLLQLSCSDTWLLEVIGFYFALVTLLFTLALVILSYMYIIRTILRIPSASQRKKAFSTCSSHMIVISISYGSCIFMYANPSAKEKASLTKGIAILNTSVAPMLNPFIYTLRNQQVKQAFKNVVHKVVFYANQ
+>DECOY_sp|Q9NZP0|OR6C3_HUMAN Olfactory receptor 6C3 OS=Homo sapiens OX=9606 GN=OR6C3 PE=3 SV=2
+QNAYFVVKHVVNKFAQKVQQNRLTYIFPNLMPAVSTNLIAIGKTLSAKEKASPNAYMFICSGYSISIVIMHSSCTSFAKKRQSASPIRLITRIIYMYSLIVLALTFLLTVLAFYFGIVELLWTDSCSLQLLPFYDCAFHDIVNSACYDLQLLLMLPPFITLFGSLWACLVLLTCLKRNMISTYHLPKCIAVYRDYSMATLIYFETVGMFIFFFLQAACNNYSITKNRTIIAGLFRPICVTTFSIELFSFNRLFFYMPTQLHSDVFTLTIITLNGTVSLIYTIFLFLFIVIQLDPDDSLGLLVFETVMTHNM
+>sp|Q8NGG8|OR8B3_HUMAN Olfactory receptor 8B3 OS=Homo sapiens OX=9606 GN=OR8B3 PE=3 SV=3
+MLARNNSLVTEFILAGLTDHPEFQQPLFFLFLVVYIVTMVGNLGLIILFGLNSHLHTPMYYFLFNLSFIDLCYSSVFTPKMLMNFVSKKNIISYVGCMTQLFFFLFFVISECYMLTSMAYDRYVAICNPLLYKVTMSHQVCSMLTFAAYIMGLAGATAHTGCMLRLTFCSANIINHYLCDILPLLQLSCTSTYVNEVVVLIVVGINIMVPSCTILISYVFIVTSILHIKSTQGRSKAFSTCSSHVIALSLFFGSAAFMYIKYSSGSMEQGKVSSVFYTNVVPMLNPLIYSLRNKDVKVALRKALIKIQRRNIF
+>DECOY_sp|Q8NGG8|OR8B3_HUMAN Olfactory receptor 8B3 OS=Homo sapiens OX=9606 GN=OR8B3 PE=3 SV=3
+FINRRQIKILAKRLAVKVDKNRLSYILPNLMPVVNTYFVSSVKGQEMSGSSYKIYMFAASGFFLSLAIVHSSCTSFAKSRGQTSKIHLISTVIFVYSILITCSPVMINIGVVILVVVENVYTSTCSLQLLPLIDCLYHNIINASCFTLRLMCGTHATAGALGMIYAAFTLMSCVQHSMTVKYLLPNCIAVYRDYAMSTLMYCESIVFFLFFFLQTMCGVYSIINKKSVFNMLMKPTFVSSYCLDIFSLNFLFYYMPTHLHSNLGFLIILGLNGVMTVIYVVLFLFFLPQQFEPHDTLGALIFETVLSNNRALM
+>sp|Q15620|OR8B8_HUMAN Olfactory receptor 8B8 OS=Homo sapiens OX=9606 GN=OR8B8 PE=2 SV=2
+MAAENSSFVTQFILAGLTDQPGVQIPLFFLFLGFYVVTVVGNLGLITLIRLNSHLHTPMYFFLYNLSFIDFCYSSVITPKMLMSFVLKKNSISYAGCMTQLFFFLFFVVSESFILSAMAYDRYVAICNPLLYMVTMSPQVCFLLLLGVYGMGFAGAMAHTACMMGVTFCANNLVNHYMCDILPLLECACTSTYVNELVVFVVVGIDIGVPTVTIFISYALILSSIFHIDSTEGRSKAFSTCSSHIIAVSLFFGSGAFMYLKPFSLLAMNQGKVSSLFYTTVVPMLNPLIYSLRNKDVKVALKKILNKNAFS
+>DECOY_sp|Q15620|OR8B8_HUMAN Olfactory receptor 8B8 OS=Homo sapiens OX=9606 GN=OR8B8 PE=2 SV=2
+SFANKNLIKKLAVKVDKNRLSYILPNLMPVVTTYFLSSVKGQNMALLSFPKLYMFAGSGFFLSVAIIHSSCTSFAKSRGETSDIHFISSLILAYSIFITVTPVGIDIGVVVFVVLENVYTSTCACELLPLIDCMYHNVLNNACFTVGMMCATHAMAGAFGMGYVGLLLLFCVQPSMTVMYLLPNCIAVYRDYAMASLIFSESVVFFLFFFLQTMCGAYSISNKKLVFSMLMKPTIVSSYCFDIFSLNYLFFYMPTHLHSNLRILTILGLNGVVTVVYFGLFLFFLPIQVGPQDTLGALIFQTVFSSNEAAM
+>sp|Q8NGM9|OR8D4_HUMAN Olfactory receptor 8D4 OS=Homo sapiens OX=9606 GN=OR8D4 PE=2 SV=1
+MGVKNHSTVTEFLLSGLTEQAELQLPLFCLFLGIYTVTVVGNLSMISIIRLNRQLHTPMYYFLSSLSFLDFCYSSVITPKMLSGFLCRDRSISYSGCMIQLFFFCVCVISECYMLAAMACDRYVAICSPLLYRVIMSPRVCSLLVAAVFSVGFTDAVIHGGCILRLSFCGSNIIKHYFCDIVPLIKLSCSSTYIDELLIFVIGGFNMVATSLTIIISYAFILTSILRIHSKKGRCKAFSTCSSHLTAVLMFYGSLMSMYLKPASSSSLTQEKVSSVFYTTVILMLNPLIYSLRNNEVRNALMKLLRRKISLSPG
+>DECOY_sp|Q8NGM9|OR8D4_HUMAN Olfactory receptor 8D4 OS=Homo sapiens OX=9606 GN=OR8D4 PE=2 SV=1
+GPSLSIKRRLLKMLANRVENNRLSYILPNLMLIVTTYFVSSVKEQTLSSSSAPKLYMSMLSGYFMLVATLHSSCTSFAKCRGKKSHIRLISTLIFAYSIIITLSTAVMNFGGIVFILLEDIYTSSCSLKILPVIDCFYHKIINSGCFSLRLICGGHIVADTFGVSFVAAVLLSCVRPSMIVRYLLPSCIAVYRDCAMAALMYCESIVCVCFFFLQIMCGSYSISRDRCLFGSLMKPTIVSSYCFDLFSLSSLFYYMPTHLQRNLRIISIMSLNGVVTVTYIGLFLCFLPLQLEAQETLGSLLFETVTSHNKVGM
+>sp|Q8NG78|OR8G5_HUMAN Olfactory receptor 8G5 OS=Homo sapiens OX=9606 GN=OR8G5 PE=2 SV=2
+MIIYKQGITFLQKENNNTIHLNTMFFLSPAETHQRMAAENHSFVTKFILVGLTEKSELQLPLFLVFLGIYVVTVLGNLGMITLIGLSSHLHTPMYCFLSSLSFIDFCHSTVITPKMLVNFVTEKNIISYPECMTQLYFFLVFAIAECHMLAAMAYDGYVAICSPLLYSIIISNKACFSLILVVYVIGLICASAHIGCMFRVQFCKFDVINHYFCDLISILKLSCSSTYINELLILIFSGINILVPSLTILSSYIFIIASILRIRYTEGRSKAFSTCSSHISAVSVFFGSAAFMYLQPSSVSSMDQGKVSSVFYTIVVPMLNPLIYSLRNKDVHVALKKTLGKRTFL
+>DECOY_sp|Q8NG78|OR8G5_HUMAN Olfactory receptor 8G5 OS=Homo sapiens OX=9606 GN=OR8G5 PE=2 SV=2
+LFTRKGLTKKLAVHVDKNRLSYILPNLMPVVITYFVSSVKGQDMSSVSSPQLYMFAASGFFVSVASIHSSCTSFAKSRGETYRIRLISAIIFIYSSLITLSPVLINIGSFILILLENIYTSSCSLKLISILDCFYHNIVDFKCFQVRFMCGIHASACILGIVYVVLILSFCAKNSIIISYLLPSCIAVYGDYAMAALMHCEAIAFVLFFYLQTMCEPYSIINKETVFNVLMKPTIVTSHCFDIFSLSSLFCYMPTHLHSSLGILTIMGLNGLVTVVYIGLFVLFLPLQLESKETLGVLIFKTVFSHNEAAMRQHTEAPSLFFMTNLHITNNNEKQLFTIGQKYIIM
+>sp|Q8N146|OR8H3_HUMAN Olfactory receptor 8H3 OS=Homo sapiens OX=9606 GN=OR8H3 PE=3 SV=1
+MMGRRNDTNVADFILTGLSDSEEVQMALFMLFLLIYLITMLGNVGMLLIIRLDLQLHTPMYFFLTHLSFIDLSYSTVVTPKTLANLLTSNYISFTGCFAQMFCFVFLGTAECYLLSSMAYDRYAAICSPLHYTVIMPKRLCLALITGPYVIGFMDSFVNVVSMSRLHFCDSNIIHHFFCDTSPILALSCTDTDNTEMLIFIIAGSTLMVSLITISASYVSILSTILKINSTSGKQKAFSTCVSHLLGVTIFYGTMIFTYLKPRKSYSLGRDQVAPVFYTIVIPMLNPLIYSLRNREVKNALIRVMQRRQDSR
+>DECOY_sp|Q8N146|OR8H3_HUMAN Olfactory receptor 8H3 OS=Homo sapiens OX=9606 GN=OR8H3 PE=3 SV=1
+RSDQRRQMVRILANKVERNRLSYILPNLMPIVITYFVPAVQDRGLSYSKRPKLYTFIMTGYFITVGLLHSVCTSFAKQKGSTSNIKLITSLISVYSASITILSVMLTSGAIIFILMETNDTDTCSLALIPSTDCFFHHIINSDCFHLRSMSVVNVFSDMFGIVYPGTILALCLRKPMIVTYHLPSCIAAYRDYAMSSLLYCEATGLFVFCFMQAFCGTFSIYNSTLLNALTKPTVVTSYSLDIFSLHTLFFYMPTHLQLDLRIILLMGVNGLMTILYILLFLMFLAMQVEESDSLGTLIFDAVNTDNRRGMM
+>sp|Q8NGP2|OR8J1_HUMAN Olfactory receptor 8J1 OS=Homo sapiens OX=9606 GN=OR8J1 PE=2 SV=2
+MAPENFTRVTEFILTGVSSCPELQIPLFLVFLVLYGLTMAGNLGIITLTSVDSRLQTPMYFFLQHLALINLGNSTVIAPKMLINFLVKKKTTSFYECATQLGGFLFFIVSEVIMLALMAYDRYVAICNPLLYMVVVSRRLCLLLVSLTYLYGFSTAIVVSSYVFSVSYCSSNIINHFYCDNVPLLALSCSDTYLPETVVFISAATNVVGSLIIVLVSYFNIVLSILKICSSEGRKKAFSTCASHMMAVTIFYGTLLFMYVQPRSNHSLDTDDKMASVFYTLVIPMLNPLIYSLRNKDVKTALQRFMTNLCYSFKTM
+>DECOY_sp|Q8NGP2|OR8J1_HUMAN Olfactory receptor 8J1 OS=Homo sapiens OX=9606 GN=OR8J1 PE=2 SV=2
+MTKFSYCLNTMFRQLATKVDKNRLSYILPNLMPIVLTYFVSAMKDDTDLSHNSRPQVYMFLLTGYFITVAMMHSACTSFAKKRGESSCIKLISLVINFYSVLVIILSGVVNTAASIFVVTEPLYTDSCSLALLPVNDCYFHNIINSSCYSVSFVYSSVVIATSFGYLYTLSVLLLCLRRSVVVMYLLPNCIAVYRDYAMLALMIVESVIFFLFGGLQTACEYFSTTKKKVLFNILMKPAIVTSNGLNILALHQLFFYMPTQLRSDVSTLTIIGLNGAMTLGYLVLFVLFLPIQLEPCSSVGTLIFETVRTFNEPAM
+>sp|Q8NGG5|OR8K1_HUMAN Olfactory receptor 8K1 OS=Homo sapiens OX=9606 GN=OR8K1 PE=2 SV=1
+MNHVVKHNHTAVTKVTEFILMGITDNPGLQAPLFGLFLIIYLVTVIGNLGMVILTYLDSKLHTPMYFFLRHLSITDLGYSTVIAPKMLVNFIVHKNTISYNWYATQLAFFEIFIISELFILSAMAYDRYVAICKPLLYVIIMAEKVLWVLVIVPYLYSTFVSLFLTIKLFKLSFCGSNIISYFYCDCIPLMSILCSDTNELELIILIFSGCNLLFSLSIVLISYMFILVAILRMNSRKGRYKAFSTCSSHLTVVIMFYGTLLFIYLQPKSSHTLAIDKMASVFYTLLIPMLNPLIYSLRNKEVKDALKRTLTNRFKIPI
+>DECOY_sp|Q8NGG5|OR8K1_HUMAN Olfactory receptor 8K1 OS=Homo sapiens OX=9606 GN=OR8K1 PE=2 SV=1
+IPIKFRNTLTRKLADKVEKNRLSYILPNLMPILLTYFVSAMKDIALTHSSKPQLYIFLLTGYFMIVVTLHSSCTSFAKYRGKRSNMRLIAVLIFMYSILVISLSFLLNCGSFILIILELENTDSCLISMLPICDCYFYSIINSGCFSLKFLKITLFLSVFTSYLYPVIVLVWLVKEAMIIVYLLPKCIAVYRDYAMASLIFLESIIFIEFFALQTAYWNYSITNKHVIFNVLMKPAIVTSYGLDTISLHRLFFYMPTHLKSDLYTLIVMGLNGIVTVLYIILFLGFLPAQLGPNDTIGMLIFETVKTVATHNHKVVHNM
+>sp|Q8NGT5|OR9A2_HUMAN Olfactory receptor 9A2 OS=Homo sapiens OX=9606 GN=OR9A2 PE=2 SV=1
+MMDNHSSATEFHLLGFPGSQGLHHILFAIFFFFYLVTLMGNTVIIVIVCVDKRLQSPMYFFLSHLSTLEILVTTIIVPMMLWGLLFLGCRQYLSLHVSLNFSCGTMEFALLGVMAVDRYVAVCNPLRYNIIMNSSTCIWVVIVSWVFGFLSEIWPIYATFQFTFRKSNSLDHFYCDRGQLLKLSCDNTLLTEFILFLMAVFILIGSLIPTIVSYTYIISTILKIPSASGRRKAFSTFASHFTCVVIGYGSCLFLYVKPKQTQGVEYNKIVSLLVSVLTPFLNPFIFTLRNDKVKEALRDGMKRCCQLLKD
+>DECOY_sp|Q8NGT5|OR9A2_HUMAN Olfactory receptor 9A2 OS=Homo sapiens OX=9606 GN=OR9A2 PE=2 SV=1
+DKLLQCCRKMGDRLAEKVKDNRLTFIFPNLFPTLVSVLLSVIKNYEVGQTQKPKVYLFLCSGYGIVVCTFHSAFTSFAKRRGSASPIKLITSIIYTYSVITPILSGILIFVAMLFLIFETLLTNDCSLKLLQGRDCYFHDLSNSKRFTFQFTAYIPWIESLFGFVWSVIVVWICTSSNMIINYRLPNCVAVYRDVAMVGLLAFEMTGCSFNLSVHLSLYQRCGLFLLGWLMMPVIITTVLIELTSLHSLFFYMPSQLRKDVCVIVIIVTNGMLTVLYFFFFIAFLIHHLGQSGPFGLLHFETASSHNDMM
+>sp|Q8NGQ1|OR9G4_HUMAN Olfactory receptor 9G4 OS=Homo sapiens OX=9606 GN=OR9G4 PE=3 SV=2
+MIFPSHDSQAFTSVDMEVGNCTILTEFILLGFSADSQWQPILFGVFLMLYLITLSGNMTLVILIRTDSHLHTPMYFFIGNLSFLDFWYTSVYTPKILASCVSEDKRISLAGCGAQLFFSCVVAYTECYLLAAMAYDRHAAICNPLLYSGTMSTALCTGLVAGSYIGGFLNAIAHTANTFRLHFCGKNIIDHFFCDAPPLVKMSCTNTRVYEKVLLGVVGFTVLSSILAILISYVNILLAILRIHSASGRHKAFSTCASHLISVMLFYGSLLFMYSRPSSTYSLERDKVAALFYTVINPLLNPLIYSLRNKDIKEAFRKATQTIQPQT
+>DECOY_sp|Q8NGQ1|OR9G4_HUMAN Olfactory receptor 9G4 OS=Homo sapiens OX=9606 GN=OR9G4 PE=3 SV=2
+TQPQITQTAKRFAEKIDKNRLSYILPNLLPNIVTYFLAAVKDRELSYTSSPRSYMFLLSGYFLMVSILHSACTSFAKHRGSASHIRLIALLINVYSILIALISSLVTFGVVGLLVKEYVRTNTCSMKVLPPADCFFHDIINKGCFHLRFTNATHAIANLFGGIYSGAVLGTCLATSMTGSYLLPNCIAAHRDYAMAALLYCETYAVVCSFFLQAGCGALSIRKDESVCSALIKPTYVSTYWFDLFSLNGIFFYMPTHLHSDTRILIVLTMNGSLTILYLMLFVGFLIPQWQSDASFGLLIFETLITCNGVEMDVSTFAQSDHSPFIM
+>sp|Q8NGE9|OR9Q2_HUMAN Olfactory receptor 9Q2 OS=Homo sapiens OX=9606 GN=OR9Q2 PE=2 SV=1
+MAERNYTVVTEFFLTAFTEHLQWRVPLFLIFLSFYLATMLGNTGMILLIRGDRRLHTPMYFFLSHLSLVDICYSSAIIPQMLAVLWEHGTTISQARCAAQFFLFTFFASIDCYLLAIMAYDRYTAVCQPLLYVTIITEKARWGLVTGAYVAGFFSAFVRTVTAFTLSFCGNNEINFIFCDLPPLLKLSCGDSYTQEVVIIVFALFVMPACILVILVSYLFIIVAILQIHSAGGRAKTFSTCASHLTAVALFFGTLIFMYLRDNTGQSSEGDRVVSVLYTVVTPMLNPLIYSLRNKEVKEATRKALSKSKPARRP
+>DECOY_sp|Q8NGE9|OR9Q2_HUMAN Olfactory receptor 9Q2 OS=Homo sapiens OX=9606 GN=OR9Q2 PE=2 SV=1
+PRRAPKSKSLAKRTAEKVEKNRLSYILPNLMPTVVTYLVSVVRDGESSQGTNDRLYMFILTGFFLAVATLHSACTSFTKARGGASHIQLIAVIIFLYSVLIVLICAPMVFLAFVIIVVEQTYSDGCSLKLLPPLDCFIFNIENNGCFSLTFATVTRVFASFFGAVYAGTVLGWRAKETIITVYLLPQCVATYRDYAMIALLYCDISAFFTFLFFQAACRAQSITTGHEWLVALMQPIIASSYCIDVLSLHSLFFYMPTHLRRDGRILLIMGTNGLMTALYFSLFILFLPVRWQLHETFATLFFETVVTYNREAM
+>sp|Q9BRQ5|ORAI3_HUMAN Protein orai-3 OS=Homo sapiens OX=9606 GN=ORAI3 PE=1 SV=2
+MKGGEGDAGEQAPLNPEGESPAGSATYREFVHRGYLDLMGASQHSLRALSWRRLYLSRAKLKASSRTSALLSGFAMVAMVEVQLESDHEYPPGLLVAFSACTTVLVAVHLFALMVSTCLLPHIEAVSNIHNLNSVHQSPHQRLHRYVELAWGFSTALGTFLFLAEVVLVGWVKFVPIGAPLDTPTPMVPTSRVPGTLAPVATSLSPASNLPRSSASAAPSQAEPACPPRQACGGGGAHGPGWQAAMASTAIMVPVGLVFVAFALHFYRSLVAHKTDRYKQELEELNRLQGELQAV
+>DECOY_sp|Q9BRQ5|ORAI3_HUMAN Protein orai-3 OS=Homo sapiens OX=9606 GN=ORAI3 PE=1 SV=2
+VAQLEGQLRNLEELEQKYRDTKHAVLSRYFHLAFAVFVLGVPVMIATSAMAAQWGPGHAGGGGCAQRPPCAPEAQSPAASASSRPLNSAPSLSTAVPALTGPVRSTPVMPTPTDLPAGIPVFKVWGVLVVEALFLFTGLATSFGWALEVYRHLRQHPSQHVSNLNHINSVAEIHPLLCTSVMLAFLHVAVLVTTCASFAVLLGPPYEHDSELQVEVMAVMAFGSLLASTRSSAKLKARSLYLRRWSLARLSHQSAGMLDLYGRHVFERYTASGAPSEGEPNLPAQEGADGEGGKM
+>sp|Q9Y5N6|ORC6_HUMAN Origin recognition complex subunit 6 OS=Homo sapiens OX=9606 GN=ORC6 PE=1 SV=1
+MGSELIGRLAPRLGLAEPDMLRKAEEYLRLSRVKCVGLSARTTETSSAVMCLDLAASWMKCPLDRAYLIKLSGLNKETYQSCLKSFECLLGLNSNIGIRDLAVQFSCIEAVNMASKILKSYESSLPQTQQVDLDLSRPLFTSAALLSACKILKLKVDKNKMVATSGVKKAIFDRLCKQLEKIGQQVDREPGDVATPPRKRKKIVVEAPAKEMEKVEEMPHKPQKDEDLTQDYEEWKRKILENAASAQKATAE
+>DECOY_sp|Q9Y5N6|ORC6_HUMAN Origin recognition complex subunit 6 OS=Homo sapiens OX=9606 GN=ORC6 PE=1 SV=1
+EATAKQASAANELIKRKWEEYDQTLDEDKQPKHPMEEVKEMEKAPAEVVIKKRKRPPTAVDGPERDVQQGIKELQKCLRDFIAKKVGSTAVMKNKDVKLKLIKCASLLAASTFLPRSLDLDVQQTQPLSSEYSKLIKSAMNVAEICSFQVALDRIGINSNLGLLCEFSKLCSQYTEKNLGSLKILYARDLPCKMWSAALDLCMVASSTETTRASLGVCKVRSLRLYEEAKRLMDPEALGLRPALRGILESGM
+>sp|Q9BXW6|OSBL1_HUMAN Oxysterol-binding protein-related protein 1 OS=Homo sapiens OX=9606 GN=OSBPL1A PE=1 SV=2
+MNTEAEQQLLHHARNGNAEEVRQLLETMARNEVIADINCKGRSKSNLGWTPLHLACYFGHRQVVQDLLKAGAEVNVLNDMGDTPLHRAAFTGRKELVMLLLEYNADTTIVNGSGQTAKEVTHAEEIRSMLEAVERTQQRKLEELLLAAAREGKTTELTALLNRPNPPDVNCSDQLGNTPLHCAAYRAHKQCALKLLRSGADPNLKNKNDQKPLDLAQGAEMKHILVGNKVIYKALKRYEGPLWKSSRFFGWRLFWVVLEHGVLSWYRKQPDAVHNIYRQGCKHLTQAVCTVKSTDSCLFFIKCFDDTIHGFRVPKNSLQQSREDWLEAIEEHSAYSTHYCSQDQLTDEEEEDTVSAADLKKSLEKAQSCQQRLDREISNFLKMIKECDMAKEMLPSFLQKVEVVSEASRETCVALTDCLNLFTKQEGVRNFKLEQEQEKNKILSEALETLATEHHELEQSLVKGSPPASILSEDEFYDALSDSESERSLSRLEAVTARSFEEEGEHLGSRKHRMSEEKDCGGGDALSNGIKKHRTSLPSPMFSRNDFSIWSILRKCIGMELSKITMPVIFNEPLSFLQRLTEYMEHTYLIHKASSLSDPVERMQCVAAFAVSAVASQWERTGKPFNPLLGETYELVRDDLGFRLISEQVSHHPPISAFHAEGLNNDFIFHGSIYPKLKFWGKSVEAEPKGTITLELLEHNEAYTWTNPTCCVHNIIVGKLWIEQYGNVEIINHKTGDKCVLNFKPCGLFGKELHKVEGYIQDKSKKKLCALYGKWTECLYSVDPATFDAYKKNDKKNTEEKKNSKQMSTSEELDEMPVPDSESVFIIPGSVLLWRIAPRPPNSAQMYNFTSFAMVLNEVDKDMESVIPKTDCRLRPDIRAMENGEIDQASEEKKRLEEKQRAARKNRSKSEEDWKTRWFHQGPNPYNGAQDWIYSGSYWDRNYFNLPDIY
+>DECOY_sp|Q9BXW6|OSBL1_HUMAN Oxysterol-binding protein-related protein 1 OS=Homo sapiens OX=9606 GN=OSBPL1A PE=1 SV=2
+YIDPLNFYNRDWYSGSYIWDQAGNYPNPGQHFWRTKWDEESKSRNKRAARQKEELRKKEESAQDIEGNEMARIDPRLRCDTKPIVSEMDKDVENLVMAFSTFNYMQASNPPRPAIRWLLVSGPIIFVSESDPVPMEDLEESTSMQKSNKKEETNKKDNKKYADFTAPDVSYLCETWKGYLACLKKKSKDQIYGEVKHLEKGFLGCPKFNLVCKDGTKHNIIEVNGYQEIWLKGVIINHVCCTPNTWTYAENHELLELTITGKPEAEVSKGWFKLKPYISGHFIFDNNLGEAHFASIPPHHSVQESILRFGLDDRVLEYTEGLLPNFPKGTREWQSAVASVAFAAVCQMREVPDSLSSAKHILYTHEMYETLRQLFSLPENFIVPMTIKSLEMGICKRLISWISFDNRSFMPSPLSTRHKKIGNSLADGGGCDKEESMRHKRSGLHEGEEEFSRATVAELRSLSRESESDSLADYFEDESLISAPPSGKVLSQELEHHETALTELAESLIKNKEQEQELKFNRVGEQKTFLNLCDTLAVCTERSAESVVEVKQLFSPLMEKAMDCEKIMKLFNSIERDLRQQCSQAKELSKKLDAASVTDEEEEDTLQDQSCYHTSYASHEEIAELWDERSQQLSNKPVRFGHITDDFCKIFFLCSDTSKVTCVAQTLHKCGQRYINHVADPQKRYWSLVGHELVVWFLRWGFFRSSKWLPGEYRKLAKYIVKNGVLIHKMEAGQALDLPKQDNKNKLNPDAGSRLLKLACQKHARYAACHLPTNGLQDSCNVDPPNPRNLLATLETTKGERAAALLLEELKRQQTREVAELMSRIEEAHTVEKATQGSGNVITTDANYELLLMVLEKRGTFAARHLPTDGMDNLVNVEAGAKLLDQVVQRHGFYCALHLPTWGLNSKSRGKCNIDAIVENRAMTELLQRVEEANGNRAHHLLQQEAETNM
+>sp|Q9H1P3|OSBL2_HUMAN Oxysterol-binding protein-related protein 2 OS=Homo sapiens OX=9606 GN=OSBPL2 PE=1 SV=1
+MNGEEEFFDAVTGFDSDNSSGEFSEANQKVTGMIDLDTSKNNRIGKTGERPSQENGIQKHRTSLPAPMFSRSDFSVWTILKKCVGLELSKITMPIAFNEPLSFLQRITEYMEHVYLIHRASCQPQPLERMQSVAAFAVSAVASQWERTGKPFNPLLGETYELIREDLGFRFISEQVSHHPPISAFHSEGLNHDFLFHGSIYPKLKFWGKSVEAEPRGTITLELLKHNEAYTWTNPTCCVHNVIIGKLWIEQYGTVEILNHRTGHKCVLHFKPCGLFGKELHKVEGHIQDKNKKKLFMIYGKWTECLWGIDPVSYESFKKQERRGDHLRKAKLDEDSGKADSDVADDVPVAQETVQVIPGSKLLWRINTRPPNSAQMYNFTSFTVSLNELETGMEKTLPPTDCRLRPDIRGMENGNMDLASQEKERLEEKQREARRERAKEEAEWQTRWFYPGNNPYTGTPDWLYAGDYFERNFSDCPDIY
+>DECOY_sp|Q9H1P3|OSBL2_HUMAN Oxysterol-binding protein-related protein 2 OS=Homo sapiens OX=9606 GN=OSBPL2 PE=1 SV=1
+YIDPCDSFNREFYDGAYLWDPTGTYPNNGPYFWRTQWEAEEKARERRAERQKEELREKEQSALDMNGNEMGRIDPRLRCDTPPLTKEMGTELENLSVTFSTFNYMQASNPPRTNIRWLLKSGPIVQVTEQAVPVDDAVDSDAKGSDEDLKAKRLHDGRREQKKFSEYSVPDIGWLCETWKGYIMFLKKKNKDQIHGEVKHLEKGFLGCPKFHLVCKHGTRHNLIEVTGYQEIWLKGIIVNHVCCTPNTWTYAENHKLLELTITGRPEAEVSKGWFKLKPYISGHFLFDHNLGESHFASIPPHHSVQESIFRFGLDERILEYTEGLLPNFPKGTREWQSAVASVAFAAVSQMRELPQPQCSARHILYVHEMYETIRQLFSLPENFAIPMTIKSLELGVCKKLITWVSFDSRSFMPAPLSTRHKQIGNEQSPREGTKGIRNNKSTDLDIMGTVKQNAESFEGSSNDSDFGTVADFFEEEGNM
+>sp|Q9BZF3|OSBL6_HUMAN Oxysterol-binding protein-related protein 6 OS=Homo sapiens OX=9606 GN=OSBPL6 PE=1 SV=1
+MSSDEKGISPAHKTSTPTHRSASSSTSSQRDSRQSIHILERTASSSTEPSVSRQLLEPEPVPLSKEADSWEIIEGLKIGQTNVQKPDKHEGFMLKKRKWPLKGWHKRFFVLDNGMLKYSKAPLDIQKGKVHGSIDVGLSVMSIKKKARRIDLDTEEHIYHLKVKSQDWFDAWVSKLRHHRLYRQNEIVRSPRDASFHIFPSTSTAESSPAANVSVMDGKMQPNSFPWQSPLPCSNSLPATCTTGQSKVAAWLQDSEEMDRCAEDLAHCQSNLVELSKLLQNLEILQRTQSAPNFTDMQANCVDISKKDKRVTRRWRTKSVSKDTKIQLQVPFSATMSPVRLHSSNPNLCADIEFQTPPSHLTDPLESSTDYTKLQEEFCLIAQKVHSLLKSAFNSIAIEKEKLKQMVSEQDHSKGHSTQMARLRQSLSQALNQNAELRSRLNRIHSESIICDQVVSVNIIPSPDEAGEQIHVSLPLSQQVANESRLSMSESVSEFFDAQEVLLSASSSENEASDDESYISDVSDNISEDNTSVADNISRQILNGELTGGAFRNGRRACLPAPCPDTSNINLWNILRNNIGKDLSKVSMPVELNEPLNTLQHLCEEMEYSELLDKASETDDPYERMVLVAAFAVSGYCSTYFRAGSKPFNPVLGETYECIREDKGFRFFSEQVSHHPPISACHCESKNFVFWQDIRWKNKFWGKSMEILPVGTLNVMLPKYGDYYVWNKVTTCIHNILSGRRWIEHYGEVTIRNTKSSVCICKLTFVKVNYWNSNMNEVQGVVIDQEGKAVYRLFGKWHEGLYCGVAPSAKCIWRPGSMPTNYELYYGFTRFAIELNELDPVLKDLLPPTDARFRPDQRFLEEGNLEAAASEKQRVEELQRSRRRYMEENNLEHIPKFFKKVIDANQREAWVSNDTYWELRKDPGFSKVDSPVLW
+>DECOY_sp|Q9BZF3|OSBL6_HUMAN Oxysterol-binding protein-related protein 6 OS=Homo sapiens OX=9606 GN=OSBPL6 PE=1 SV=1
+WLVPSDVKSFGPDKRLEWYTDNSVWAERQNADIVKKFFKPIHELNNEEMYRRRSRQLEEVRQKESAAAELNGEELFRQDPRFRADTPPLLDKLVPDLENLEIAFRTFGYYLEYNTPMSGPRWICKASPAVGCYLGEHWKGFLRYVAKGEQDIVVGQVENMNSNWYNVKVFTLKCICVSSKTNRITVEGYHEIWRRGSLINHICTTVKNWVYYDGYKPLMVNLTGVPLIEMSKGWFKNKWRIDQWFVFNKSECHCASIPPHHSVQESFFRFGKDERICEYTEGLVPNFPKSGARFYTSCYGSVAFAAVLVMREYPDDTESAKDLLESYEMEECLHQLTNLPENLEVPMSVKSLDKGINNRLINWLNINSTDPCPAPLCARRGNRFAGGTLEGNLIQRSINDAVSTNDESINDSVDSIYSEDDSAENESSSASLLVEQADFFESVSESMSLRSENAVQQSLPLSVHIQEGAEDPSPIINVSVVQDCIISESHIRNLRSRLEANQNLAQSLSQRLRAMQTSHGKSHDQESVMQKLKEKEIAISNFASKLLSHVKQAILCFEEQLKTYDTSSELPDTLHSPPTQFEIDACLNPNSSHLRVPSMTASFPVQLQIKTDKSVSKTRWRRTVRKDKKSIDVCNAQMDTFNPASQTRQLIELNQLLKSLEVLNSQCHALDEACRDMEESDQLWAAVKSQGTTCTAPLSNSCPLPSQWPFSNPQMKGDMVSVNAAPSSEATSTSPFIHFSADRPSRVIENQRYLRHHRLKSVWADFWDQSKVKLHYIHEETDLDIRRAKKKISMVSLGVDISGHVKGKQIDLPAKSYKLMGNDLVFFRKHWGKLPWKRKKLMFGEHKDPKQVNTQGIKLGEIIEWSDAEKSLPVPEPELLQRSVSPETSSSATRELIHISQRSDRQSSTSSSASRHTPTSTKHAPSIGKEDSSM
+>sp|Q96SU4|OSBL9_HUMAN Oxysterol-binding protein-related protein 9 OS=Homo sapiens OX=9606 GN=OSBPL9 PE=1 SV=2
+MASIMEGPLSKWTNVMKGWQYRWFVLDYNAGLLSYYTSKDKMMRGSRRGCVRLRGAVIGIDDEDDSTFTITVDQKTFHFQARDADEREKWIHALEETILRHTLQLQGLDSGFVPSVQDFDKKLTEADAYLQILIEQLKLFDDKLQNCKEDEQRKKIETLKETTNSMVESIKHCIVLLQIAKDQSNAEKHADGMISTINPVDAIYQPSPLEPVISTMPSQTVLPPEPVQLCKSEQRPSSLPVGPVLATLGHHQTPTPNSTGSGHSPPSSSLTSPSHVNLSPNTVPEFSYSSSEDEFYDADEFHQSGSSPKRLIDSSGSASVLTHSSSGNSLKRPDTTESLNSSLSNGTSDADLFDSHDDRDDDAEAGSVEEHKSVIMHLLSQVRLGMDLTKVVLPTFILERRSLLEMYADFFAHPDLFVSISDQKDPKDRMVQVVKWYLSAFHAGRKGSVAKKPYNPILGEIFQCHWTLPNDTEENTELVSEGPVPWVSKNSVTFVAEQVSHHPPISAFYAECFNKKIQFNAHIWTKSKFLGMSIGVHNIGQGCVSCLDYDEHYILTFPNGYGRSILTVPWVELGGECNINCSKTGYSANIIFHTKPFYGGKKHRITAEIFSPNDKKSFCSIEGEWNGVMYAKYATGENTVFVDTKKLPIIKKKVRKLEDQNEYESRSLWKDVTFNLKIRDIDAATEAKHRLEERQRAEARERKEKEIQWETRLFHEDGECWVYDEPLLKRLGAAKH
+>DECOY_sp|Q96SU4|OSBL9_HUMAN Oxysterol-binding protein-related protein 9 OS=Homo sapiens OX=9606 GN=OSBPL9 PE=1 SV=2
+HKAAGLRKLLPEDYVWCEGDEHFLRTEWQIEKEKRERAEARQREELRHKAETAADIDRIKLNFTVDKWLSRSEYENQDELKRVKKKIIPLKKTDVFVTNEGTAYKAYMVGNWEGEISCFSKKDNPSFIEATIRHKKGGYFPKTHFIINASYGTKSCNINCEGGLEVWPVTLISRGYGNPFTLIYHEDYDLCSVCGQGINHVGISMGLFKSKTWIHANFQIKKNFCEAYFASIPPHHSVQEAVFTVSNKSVWPVPGESVLETNEETDNPLTWHCQFIEGLIPNYPKKAVSGKRGAHFASLYWKVVQVMRDKPDKQDSISVFLDPHAFFDAYMELLSRRELIFTPLVVKTLDMGLRVQSLLHMIVSKHEEVSGAEADDDRDDHSDFLDADSTGNSLSSNLSETTDPRKLSNGSSSHTLVSASGSSDILRKPSSGSQHFEDADYFEDESSSYSFEPVTNPSLNVHSPSTLSSSPPSHGSGTSNPTPTQHHGLTALVPGVPLSSPRQESKCLQVPEPPLVTQSPMTSIVPELPSPQYIADVPNITSIMGDAHKEANSQDKAIQLLVICHKISEVMSNTTEKLTEIKKRQEDEKCNQLKDDFLKLQEILIQLYADAETLKKDFDQVSPVFGSDLGQLQLTHRLITEELAHIWKEREDADRAQFHFTKQDVTITFTSDDEDDIGIVAGRLRVCGRRSGRMMKDKSTYYSLLGANYDLVFWRYQWGKMVNTWKSLPGEMISAM
+>sp|Q9UJX0|OSGI1_HUMAN Oxidative stress-induced growth inhibitor 1 OS=Homo sapiens OX=9606 GN=OSGIN1 PE=1 SV=3
+MGKWRPRGCCRGNMQCRQEVPATLTSSELFSTRNQPQPQPQPLLADAPVPWAVASRMCLTPGQGCGHQGQDEGPLPAPSPPPAMSSSRKDHLGASSSEPLPVIIVGNGPSGICLSYLLSGYTPYTKPDAIHPHPLLQRKLTEAPGVSILDQDLDYLSEGLEGRSQSPVALLFDALLRPDTDFGGNMKSVLTWKHRKEHAIPHVVLGRNLPGGAWHSIEGSMVILSQGQWMGLPDLEVKDWMQKKRRGLRNSRATAGDIAHYYRDYVVKKGLGHNFVSGAVVTAVEWGTPDPSSCGAQDSSPLFQVSGFLTRNQAQQPFSLWARNVVLATGTFDSPARLGIPGEALPFIHHELSALEAATRVGAVTPASDPVLIIGAGLSAADAVLYARHYNIPVIHAFRRAVDDPGLVFNQLPKMLYPEYHKVHQMMREQSILSPSPYEGYRSLPRHQLLCFKEDCQAVFQDLEGVEKVFGVSLVLVLIGSHPDLSFLPGAGADFAVDPDQPLSAKRNPIDVDPFTYQSTRQEGLYAMGPLAGDNFVRFVQGGALAVASSLLRKETRKPP
+>DECOY_sp|Q9UJX0|OSGI1_HUMAN Oxidative stress-induced growth inhibitor 1 OS=Homo sapiens OX=9606 GN=OSGIN1 PE=1 SV=3
+PPKRTEKRLLSSAVALAGGQVFRVFNDGALPGMAYLGEQRTSQYTFPDVDIPNRKASLPQDPDVAFDAGAGPLFSLDPHSGILVLVLSVGFVKEVGELDQFVAQCDEKFCLLQHRPLSRYGEYPSPSLISQERMMQHVKHYEPYLMKPLQNFVLGPDDVARRFAHIVPINYHRAYLVADAASLGAGIILVPDSAPTVAGVRTAAELASLEHHIFPLAEGPIGLRAPSDFTGTALVVNRAWLSFPQQAQNRTLFGSVQFLPSSDQAGCSSPDPTGWEVATVVAGSVFNHGLGKKVVYDRYYHAIDGATARSNRLGRRKKQMWDKVELDPLGMWQGQSLIVMSGEISHWAGGPLNRGLVVHPIAHEKRHKWTLVSKMNGGFDTDPRLLADFLLAVPSQSRGELGESLYDLDQDLISVGPAETLKRQLLPHPHIADPKTYPTYGSLLYSLCIGSPGNGVIIVPLPESSSAGLHDKRSSSMAPPPSPAPLPGEDQGQHGCGQGPTLCMRSAVAWPVPADALLPQPQPQPQNRTSFLESSTLTAPVEQRCQMNGRCCGRPRWKGM
+>sp|Q7L8S5|OTU6A_HUMAN OTU domain-containing protein 6A OS=Homo sapiens OX=9606 GN=OTUD6A PE=1 SV=1
+MDDPKSEQQRILRRHQRERQELQAQIRSLKNSVPKTDKTKRKQLLQDVARMEAEMAQKHRQELEKFQDDSSIESVVEDLAKMNLENRPPRSSKAHRKRERMESEERERQESIFQAEMSEHLAGFKREEEEKLAAILGARGLEMKAIPADGHCMYRAIQDQLVFSVSVEMLRCRTASYMKKHVDEFLPFFSNPETSDSFGYDDFMIYCDNIVRTTAWGGQLELRALSHVLKTPIEVIQADSPTLIIGEEYVKKPIILVYLRYAYSLGEHYNSVTPLEAGAAGGVLPRLL
+>DECOY_sp|Q7L8S5|OTU6A_HUMAN OTU domain-containing protein 6A OS=Homo sapiens OX=9606 GN=OTUD6A PE=1 SV=1
+LLRPLVGGAAGAELPTVSNYHEGLSYAYRLYVLIIPKKVYEEGIILTPSDAQIVEIPTKLVHSLARLELQGGWATTRVINDCYIMFDDYGFSDSTEPNSFFPLFEDVHKKMYSATRCRLMEVSVSFVLQDQIARYMCHGDAPIAKMELGRAGLIAALKEEEERKFGALHESMEAQFISEQREREESEMRERKRHAKSSRPPRNELNMKALDEVVSEISSDDQFKELEQRHKQAMEAEMRAVDQLLQKRKTKDTKPVSNKLSRIQAQLEQRERQHRRLIRQQESKPDDM
+>sp|Q8TE49|OTU7A_HUMAN OTU domain-containing protein 7A OS=Homo sapiens OX=9606 GN=OTUD7A PE=1 SV=1
+MVSSVLPNPTSAECWAALLHDPMTLDMDAVLSDFVRSTGAEPGLARDLLEGKNWDLTAALSDYEQLRQVHTANLPHVFNEGRGPKQPEREPQPGHKVERPCLQRQDDIAQEKRLSRGISHASSAIVSLARSHVASECNNEQFPLEMPIYTFQLPDLSVYSEDFRSFIERDLIEQATMVALEQAGRLNWWSTVCTSCKRLLPLATTGDGNCLLHAASLGMWGFHDRDLVLRKALYTMMRTGAEREALKRRWRWQQTQQNKEEEWEREWTELLKLASSEPRTHFSKNGGTGGGVDNSEDPVYESLEEFHVFVLAHILRRPIVVVADTMLRDSGGEAFAPIPFGGIYLPLEVPPNRCHCSPLVLAYDQAHFSALVSMEQRDQQREQAVIPLTDSEHKLLPLHFAVDPGKDWEWGKDDNDNARLAHLILSLEAKLNLLHSYMNVTWIRIPSETRAPLAQPESPTASAGEDVQSLADSLDSDRDSVCSNSNSNNGKNGKDKEKEKQRKEKDKTRADSVANKLGSFSKTLGIKLKKNMGGLGGLVHGKMGRANSANGKNGDSAERGKEKKAKSRKGSKEESGASASTSPSEKTTPSPTDKAAGASPAEKGGGPRGDAWKYSTDVKLSLNILRAAMQGERKFIFAGLLLTSHRHQFHEEMIGYYLTSAQERFSAEQEQRRRDAATAAAAAAAAAAATAKRPPRRPETEGVPVPERASPGPPTQLVLKLKERPSPGPAAGRAARAAAGGTASPGGGARRASASGPVPGRSPPAPARQSVIHVQASGARDEACAPAVGALRPCATYPQQNRSLSSQSYSPARAAALRTVNTVESLARAVPGALPGAAGTAGAAEHKSQTYTNGFGALRDGLEFADADAPTARSNGECGRGGPGPVQRRCQRENCAFYGRAETEHYCSYCYREELRRRREARGARP
+>DECOY_sp|Q8TE49|OTU7A_HUMAN OTU domain-containing protein 7A OS=Homo sapiens OX=9606 GN=OTUD7A PE=1 SV=1
+PRAGRAERRRRLEERYCYSCYHETEARGYFACNERQCRRQVPGPGGRGCEGNSRATPADADAFELGDRLAGFGNTYTQSKHEAAGATGAAGPLAGPVARALSEVTNVTRLAAARAPSYSQSSLSRNQQPYTACPRLAGVAPACAEDRAGSAQVHIVSQRAPAPPSRGPVPGSASARRAGGGPSATGGAAARAARGAAPGPSPREKLKLVLQTPPGPSAREPVPVGETEPRRPPRKATAAAAAAAAAAATAADRRRQEQEASFREQASTLYYGIMEEHFQHRHSTLLLGAFIFKREGQMAARLINLSLKVDTSYKWADGRPGGGKEAPSAGAAKDTPSPTTKESPSTSASAGSEEKSGKRSKAKKEKGREASDGNKGNASNARGMKGHVLGGLGGMNKKLKIGLTKSFSGLKNAVSDARTKDKEKRQKEKEKDKGNKGNNSNSNSCVSDRDSDLSDALSQVDEGASATPSEPQALPARTESPIRIWTVNMYSHLLNLKAELSLILHALRANDNDDKGWEWDKGPDVAFHLPLLKHESDTLPIVAQERQQDRQEMSVLASFHAQDYALVLPSCHCRNPPVELPLYIGGFPIPAFAEGGSDRLMTDAVVVIPRRLIHALVFVHFEELSEYVPDESNDVGGGTGGNKSFHTRPESSALKLLETWEREWEEEKNQQTQQWRWRRKLAEREAGTRMMTYLAKRLVLDRDHFGWMGLSAAHLLCNGDGTTALPLLRKCSTCVTSWWNLRGAQELAVMTAQEILDREIFSRFDESYVSLDPLQFTYIPMELPFQENNCESAVHSRALSVIASSAHSIGRSLRKEQAIDDQRQLCPREVKHGPQPEREPQKPGRGENFVHPLNATHVQRLQEYDSLAATLDWNKGELLDRALGPEAGTSRVFDSLVADMDLTMPDHLLAAWCEASTPNPLVSSVM
+>sp|Q96FW1|OTUB1_HUMAN Ubiquitin thioesterase OTUB1 OS=Homo sapiens OX=9606 GN=OTUB1 PE=1 SV=2
+MAAEEPQQQKQEPLGSDSEGVNCLAYDEAIMAQQDRIQQEIAVQNPLVSERLELSVLYKEYAEDDNIYQQKIKDLHKKYSYIRKTRPDGNCFYRAFGFSHLEALLDDSKELQRFKAVSAKSKEDLVSQGFTEFTIEDFHNTFMDLIEQVEKQTSVADLLASFNDQSTSDYLVVYLRLLTSGYLQRESKFFEHFIEGGRTVKEFCQQEVEPMCKESDHIHIIALAQALSVSIQVEYMDRGEGGTTNPHIFPEGSEPKVYLLYRPGHYDILYK
+>DECOY_sp|Q96FW1|OTUB1_HUMAN Ubiquitin thioesterase OTUB1 OS=Homo sapiens OX=9606 GN=OTUB1 PE=1 SV=2
+KYLIDYHGPRYLLYVKPESGEPFIHPNTTGGEGRDMYEVQISVSLAQALAIIHIHDSEKCMPEVEQQCFEKVTRGGEIFHEFFKSERQLYGSTLLRLYVVLYDSTSQDNFSALLDAVSTQKEVQEILDMFTNHFDEITFETFGQSVLDEKSKASVAKFRQLEKSDDLLAELHSFGFARYFCNGDPRTKRIYSYKKHLDKIKQQYINDDEAYEKYLVSLELRESVLPNQVAIEQQIRDQQAMIAEDYALCNVGESDSGLPEQKQQQPEEAAM
+>sp|Q5VV17|OTUD1_HUMAN OTU domain-containing protein 1 OS=Homo sapiens OX=9606 GN=OTUD1 PE=1 SV=1
+MQLYSSVCTHYPAGAPGPTAAAPAPPAAATPFKVSLQPPGAAGAAPEPETGECQPAAAAEHREAAAVPAAKMPAFSSCFEVVSGAAAPASAAAGPPGASCKPPLPPHYTSTAQITVRALGADRLLLHGPDPVPGAAGSAAAPRGRCLLLAPAPAAPVPPRRGSSAWLLEELLRPDCPEPAGLDATREGPDRNFRLSEHRQALAAAKHRGPAATPGSPDPGPGPWGEEHLAERGPRGWERGGDRCDAPGGDAARRPDPEAEAPPAGSIEAAPSSAAEPVIVSRSDPRDEKLALYLAEVEKQDKYLRQRNKYRFHIIPDGNCLYRAVSKTVYGDQSLHRELREQTVHYIADHLDHFSPLIEGDVGEFIIAAAQDGAWAGYPELLAMGQMLNVNIHLTTGGRLESPTVSTMIHYLGPEDSLRPSIWLSWLSNGHYDAVFDHSYPNPEYDNWCKQTQVQRKRDEELAKSMAISLSKMYIEQNACS
+>DECOY_sp|Q5VV17|OTUD1_HUMAN OTU domain-containing protein 1 OS=Homo sapiens OX=9606 GN=OTUD1 PE=1 SV=1
+SCANQEIYMKSLSIAMSKALEEDRKRQVQTQKCWNDYEPNPYSHDFVADYHGNSLWSLWISPRLSDEPGLYHIMTSVTPSELRGGTTLHINVNLMQGMALLEPYGAWAGDQAAAIIFEGVDGEILPSFHDLHDAIYHVTQERLERHLSQDGYVTKSVARYLCNGDPIIHFRYKNRQRLYKDQKEVEALYLALKEDRPDSRSVIVPEAASSPAAEISGAPPAEAEPDPRRAADGGPADCRDGGREWGRPGREALHEEGWPGPGPDPSGPTAAPGRHKAAALAQRHESLRFNRDPGERTADLGAPEPCDPRLLEELLWASSGRRPPVPAAPAPALLLCRGRPAAASGAAGPVPDPGHLLLRDAGLARVTIQATSTYHPPLPPKCSAGPPGAAASAPAAAGSVVEFCSSFAPMKAAPVAAAERHEAAAAPQCEGTEPEPAAGAAGPPQLSVKFPTAAAPPAPAAATPGPAGAPYHTCVSSYLQM
+>sp|Q96P68|OXGR1_HUMAN 2-oxoglutarate receptor 1 OS=Homo sapiens OX=9606 GN=OXGR1 PE=2 SV=1
+MNEPLDYLANASDFPDYAAAFGNCTDENIPLKMHYLPVIYGIIFLVGFPGNAVVISTYIFKMRPWKSSTIIMLNLACTDLLYLTSLPFLIHYYASGENWIFGDFMCKFIRFSFHFNLYSSILFLTCFSIFRYCVIIHPMSCFSIHKTRCAVVACAVVWIISLVAVIPMTFLITSTNRTNRSACLDLTSSDELNTIKWYNLILTATTFCLPLVIVTLCYTTIIHTLTHGLQTDSCLKQKARRLTILLLLAFYVCFLPFHILRVIRIESRLLSISCSIENQIHEAYIVSRPLAALNTFGNLLLYVVVSDNFQQAVCSTVRCKVSGNLEQAKKISYSNNP
+>DECOY_sp|Q96P68|OXGR1_HUMAN 2-oxoglutarate receptor 1 OS=Homo sapiens OX=9606 GN=OXGR1 PE=2 SV=1
+PNNSYSIKKAQELNGSVKCRVTSCVAQQFNDSVVVYLLLNGFTNLAALPRSVIYAEHIQNEISCSISLLRSEIRIVRLIHFPLFCVYFALLLLITLRRAKQKLCSDTQLGHTLTHIITTYCLTVIVLPLCFTTATLILNYWKITNLEDSSTLDLCASRNTRNTSTILFTMPIVAVLSIIWVVACAVVACRTKHISFCSMPHIIVCYRFISFCTLFLISSYLNFHFSFRIFKCMFDGFIWNEGSAYYHILFPLSTLYLLDTCALNLMIITSSKWPRMKFIYTSIVVANGPFGVLFIIGYIVPLYHMKLPINEDTCNGFAAAYDPFDSANALYDLPENM
+>sp|Q149M9|NWD1_HUMAN NACHT domain- and WD repeat-containing protein 1 OS=Homo sapiens OX=9606 GN=NWD1 PE=1 SV=3
+MQRGKPCRALPTLKCQTFCQRHGLMFEVVDLRWGIRNIEATDHLTTELCLEEVDRCWKTSIGPAFVALIGDQYGPCLIPSRIDEKEWEVLRDHLTARPSDLELVARYFQRDENAFPPTYVLQAPGTGEACEPEEATLTSVLRSGAQEARRLGLITQEQWQHYHRSVIEWEIERSLLSSEDREQGATVFLREIQDLHKHILEDCALRMVDRLADGCLDADAQNLLSSLKSHITDMHPGVLKTHRLPWSRDLVNPKNKTHACYLKELGEQFVVRANHQVLTRLRELDTAGQELAWLYQEIRHHLWQSSEVIQTFCGRQELLARLGQQLRHDDSKQHTPLVLFGPPGIGKTALMCKLAEQMPRLLGHKTVTVLRLLGTSQMSSDARGLLKSICFQVCLAYGLPLPPAQVLDAHTRVVQFFHTLLHTVSCRNFESLVLLLDAMDDLDSVRHARRVPWLPLNCPPRVHLILSACSGALGVLDTLQRVLLDPEAYWEVKPLSGNQGQQMIQLLLAAARRTLSPVHTDLLWASLPECGNPGRLRLAFEEARKWASFTVPVPLATTAEEATHQLCTRLEQTHGQLLVAHVLGYIVSSRHGLSEAELKDVLSLDDEVLQDVYRDWTPPSKELLRFPPLLWVRLRRDLGYYLARRPVDGFTLLAIAHRQLVEVVRERYLSGSERAKRHGVLADFFSGTWSQGTKKLITLPLVGKPLNLDRKVAPQPLWFSHTVANLRKLKELPYHLLHSGRLEELKQEVLGSMSWISCRGISGGIEDLLDDFDLCAPHLDSPEVGLVREALQLCRPAVELRGMERSLLYTELLARLHFFATSHPALVGQLCQQAQSWFQLCAHPVLVPLGGFLQPPGGPLRATLSGCHKGITAMAWGVEEKLLVIGTQDGIMAVWDMEEQHVIHMLTGHTGEVRCVKIFAKGTLANSASKDYTLHLWNLLSGQEKFTIWDGGSKNPAEPQIWNLHVDEAHKVVYSASGSKINAWNLETAEPVFHILGDASDPWMCMAVLASQATLLTVSRDGVVSLWSSATGKLQGKQHMSSIKEETPTCAVSVQKQGKLVTGFSNGSISLVSSKGDRLLEKLPDAVRFLVVSEDESLLAAGFGRSVRIFLADSRGFRRFMAMDLEHEDMVETAVFGTENNLIITGSLDALIQVWSLSEQGTLLDILEGVGAPVSLLARGGALVASASPQSSSFKVWDLSDAHRSRVPAPFLDRTGLTAVSHNGSYVYFPKIGDKNKVTIWDLAEGEEQDSLDTSSEIRCLEVAEQRKLLFTGLVSGVVLVFPLNSRQDVICIPPPEARKAINCMSLSKCEDRLAIAYDNIVLVLDITSGDPCPVIDGPRYTFYTQLPETLSSVAILTDYRVVYSMTNGDLFLYECATSKAFPLETHRSRVACVEVSHKEQLVVSGSEDALLCLWDLQARKWKFEMSYTSSYCRGVQCACFSKDDKYVYVGLKDRSILVWSVLDGTLLTVQFVHAVVNRIIPTTSGFIAPTRHGYLIRENFQCLSAKASPQDPLKNFKKAMWMVKSRQREELVAAAGAPQDLESESAQGNETKSNKCSQVCLIV
+>DECOY_sp|Q149M9|NWD1_HUMAN NACHT domain- and WD repeat-containing protein 1 OS=Homo sapiens OX=9606 GN=NWD1 PE=1 SV=3
+VILCVQSCKNSKTENGQASESELDQPAGAAAVLEERQRSKVMWMAKKFNKLPDQPSAKASLCQFNERILYGHRTPAIFGSTTPIIRNVVAHVFQVTLLTGDLVSWVLISRDKLGVYVYKDDKSFCACQVGRCYSSTYSMEFKWKRAQLDWLCLLADESGSVVLQEKHSVEVCAVRSRHTELPFAKSTACEYLFLDGNTMSYVVRYDTLIAVSSLTEPLQTYFTYRPGDIVPCPDGSTIDLVLVINDYAIALRDECKSLSMCNIAKRAEPPPICIVDQRSNLPFVLVVGSVLGTFLLKRQEAVELCRIESSTDLSDQEEGEALDWITVKNKDGIKPFYVYSGNHSVATLGTRDLFPAPVRSRHADSLDWVKFSSSQPSASAVLAGGRALLSVPAGVGELIDLLTGQESLSWVQILADLSGTIILNNETGFVATEVMDEHELDMAMFRRFGRSDALFIRVSRGFGAALLSEDESVVLFRVADPLKELLRDGKSSVLSISGNSFGTVLKGQKQVSVACTPTEEKISSMHQKGQLKGTASSWLSVVGDRSVTLLTAQSALVAMCMWPDSADGLIHFVPEATELNWANIKSGSASYVVKHAEDVHLNWIQPEAPNKSGGDWITFKEQGSLLNWLHLTYDKSASNALTGKAFIKVCRVEGTHGTLMHIVHQEEMDWVAMIGDQTGIVLLKEEVGWAMATIGKHCGSLTARLPGGPPQLFGGLPVLVPHACLQFWSQAQQCLQGVLAPHSTAFFHLRALLETYLLSREMGRLEVAPRCLQLAERVLGVEPSDLHPACLDFDDLLDEIGGSIGRCSIWSMSGLVEQKLEELRGSHLLHYPLEKLKRLNAVTHSFWLPQPAVKRDLNLPKGVLPLTILKKTGQSWTGSFFDALVGHRKARESGSLYRERVVEVLQRHAIALLTFGDVPRRALYYGLDRRLRVWLLPPFRLLEKSPPTWDRYVDQLVEDDLSLVDKLEAESLGHRSSVIYGLVHAVLLQGHTQELRTCLQHTAEEATTALPVPVTFSAWKRAEEFALRLRGPNGCEPLSAWLLDTHVPSLTRRAAALLLQIMQQGQNGSLPKVEWYAEPDLLVRQLTDLVGLAGSCASLILHVRPPCNLPLWPVRRAHRVSDLDDMADLLLVLSEFNRCSVTHLLTHFFQVVRTHADLVQAPPLPLGYALCVQFCISKLLGRADSSMQSTGLLRLVTVTKHGLLRPMQEALKCMLATKGIGPPGFLVLPTHQKSDDHRLQQGLRALLEQRGCFTQIVESSQWLHHRIEQYLWALEQGATDLERLRTLVQHNARVVFQEGLEKLYCAHTKNKPNVLDRSWPLRHTKLVGPHMDTIHSKLSSLLNQADADLCGDALRDVMRLACDELIHKHLDQIERLFVTAGQERDESSLLSREIEWEIVSRHYHQWQEQTILGLRRAEQAGSRLVSTLTAEEPECAEGTGPAQLVYTPPFANEDRQFYRAVLELDSPRATLHDRLVEWEKEDIRSPILCPGYQDGILAVFAPGISTKWCRDVEELCLETTLHDTAEINRIGWRLDVVEFMLGHRQCFTQCKLTPLARCPKGRQM
+>sp|Q9H4D5|NXF3_HUMAN Nuclear RNA export factor 3 OS=Homo sapiens OX=9606 GN=NXF3 PE=1 SV=1
+MSLPSGHTTGHTDQVVQRRARCWDIYQRRFSSRSEPVNPGMHSSSHQQQDGDAAMHGAHMDSPVRYTPYTISPYNRKGSFRKQDQTHVNMEREQKPPERRMEGNMPDGTLGSWFKITVPFGIKYNEKWLLNLIQNECSVPFVPVEFHYENMHASFFVENASIAYALKNVSGKIWDEDNEKISIFVNPAGIPHFVHRELKSEKVEQIKLAMNQQCDVSQEALDIQRLPFYPDMVNRDTKMASNPRKCMAASLDVHEENIPTVMSAGEMDKWKGIEPGEKCADRSPVCTTFSDTSSNINSILELFPKLLCLDGQQSPRATLCGTEAHKRLPTCKGSFFGSEMLKNLVLQFLQQYYLIYDSGDRQGLLSAYHDEACFSLSIPFNPEDSAPSSFCKFFKDSRNIKILKDPYLRGELLKHTKLDIVDSLSALPKTQHDLSSFLVDMWYQTEWMLCFSVNGVFKEVEGQSQGSVLAFTRTFIATPGSSSSLCIVNDKLFVRDTSHQGTQSALFTLVPTAFSSSVPAFSQEQQKMLPS
+>DECOY_sp|Q9H4D5|NXF3_HUMAN Nuclear RNA export factor 3 OS=Homo sapiens OX=9606 GN=NXF3 PE=1 SV=1
+SPLMKQQEQSFAPVSSSFATPVLTFLASQTGQHSTDRVFLKDNVICLSSSSGPTAIFTRTFALVSGQSQGEVEKFVGNVSFCLMWETQYWMDVLFSSLDHQTKPLASLSDVIDLKTHKLLEGRLYPDKLIKINRSDKFFKCFSSPASDEPNFPISLSFCAEDHYASLLGQRDGSDYILYYQQLFQLVLNKLMESGFFSGKCTPLRKHAETGCLTARPSQQGDLCLLKPFLELISNINSSTDSFTTCVPSRDACKEGPEIGKWKDMEGASMVTPINEEHVDLSAAMCKRPNSAMKTDRNVMDPYFPLRQIDLAEQSVDCQQNMALKIQEVKESKLERHVFHPIGAPNVFISIKENDEDWIKGSVNKLAYAISANEVFFSAHMNEYHFEVPVFPVSCENQILNLLWKENYKIGFPVTIKFWSGLTGDPMNGEMRREPPKQEREMNVHTQDQKRFSGKRNYPSITYPTYRVPSDMHAGHMAADGDQQQHSSSHMGPNVPESRSSFRRQYIDWCRARRQVVQDTHGTTHGSPLSM
+>sp|Q96DL1|NXPE2_HUMAN NXPE family member 2 OS=Homo sapiens OX=9606 GN=NXPE2 PE=1 SV=2
+MVEKILIHRILTLFPNAIARKLLLMLTFILIFWIIYLASKDHTKFSFNLENHIILNQGNIFKKYSHSETPLCPAVSPKETELRIKDIMEKLDQQIPPRPFTHVNTTTSATHSTATILNPQDTYCRGDQLDILLEVRDHLGHRKQYGGDFLRARMYSTALMAGASGKVTDFNNGTYLVSFTLFWEGQVSLSLLLIHPSEGVSALWRARNQGCDRIIFTGLFANRSSNVFTECGLTLNTNAELCQYMDDRDQEAFYCVRPQHMPCEALTHMTTRTRNISYLSKEEWRLFHRSNIGVEMMKNFTPIEVIPCNKSENIKKNCQIGMKTPFPSGYTLKKMWITAFCKQIKFNETKNINDCLERKLIYLMGDSTLHQWIYYLQKAVKTLKYFDHHGAGIFKTHVLLDVERHILIQWKKHGHPFVTKKLFSVKDENYIPREIDQVAGDKNTAIVITLGQHFRPFPINIFIRRAINIQKAIERLFLRSPETKVILKTENTREIEQNAEMFSDFHGYIQNLIIRDIFVDLNVGIIDAWDMTIAYCTNNAHPPDYVIQNQIGMFLNYIC
+>DECOY_sp|Q96DL1|NXPE2_HUMAN NXPE family member 2 OS=Homo sapiens OX=9606 GN=NXPE2 PE=1 SV=2
+CIYNLFMGIQNQIVYDPPHANNTCYAITMDWADIIGVNLDVFIDRIILNQIYGHFDSFMEANQEIERTNETKLIVKTEPSRLFLREIAKQINIARRIFINIPFPRFHQGLTIVIATNKDGAVQDIERPIYNEDKVSFLKKTVFPHGHKKWQILIHREVDLLVHTKFIGAGHHDFYKLTKVAKQLYYIWQHLTSDGMLYILKRELCDNINKTENFKIQKCFATIWMKKLTYGSPFPTKMGIQCNKKINESKNCPIVEIPTFNKMMEVGINSRHFLRWEEKSLYSINRTRTTMHTLAECPMHQPRVCYFAEQDRDDMYQCLEANTNLTLGCETFVNSSRNAFLGTFIIRDCGQNRARWLASVGESPHILLLSLSVQGEWFLTFSVLYTGNNFDTVKGSAGAMLATSYMRARLFDGGYQKRHGLHDRVELLIDLQDGRCYTDQPNLITATSHTASTTTNVHTFPRPPIQQDLKEMIDKIRLETEKPSVAPCLPTESHSYKKFINGQNLIIHNELNFSFKTHDKSALYIIWFILIFTLMLLLKRAIANPFLTLIRHILIKEVM
+>sp|Q6UWF7|NXPE4_HUMAN NXPE family member 4 OS=Homo sapiens OX=9606 GN=NXPE4 PE=2 SV=1
+MKISMINYKSLLALLFILASWIIFTVFQNSTKVWSALNLSISLHYWNNSTKSLFPKTPLISLKPLTETELRIKEIIEKLDQQIPPRPFTHVNTTTSATHSTATILNPRDTYCRGDQLHILLEVRDHLGRRKQYGGDFLRARMSSPALMAGASGKVTDFNNGTYLVSFTLFWEGQVSLSLLLIHPSEGVSALWSARNQGYDRVIFTGQFVNGTSQVHSECGLILNTNAELCQYLDNRDQEGFYCVRPQHMPCAALTHMYSKNKKVSYLSKQEKSLFERSNVGVEIMEKFNTISVSKCNKETVAMKEKCKFGMTSTIPSGHVWRNTWNPVSCSLATVKMKECLRGKLIYLMGDSTIRQWMEYFKASINTLKSVDLHESGKLQHQLAVDLDRNINIQWQKYCYPLIGSMTYSVKEMEYLTRAIDRTGGEKNTVIVISLGQHFRPFPIDVFIRRALNVHKAIQHLLLRSPDTMVIIKTENIREMYNDAERFSDFHGYIQYLIIKDIFQDLSVSIIDAWDITIAYGTNNVHPPQHVVGNQINILLNYIC
+>DECOY_sp|Q6UWF7|NXPE4_HUMAN NXPE family member 4 OS=Homo sapiens OX=9606 GN=NXPE4 PE=2 SV=1
+CIYNLLINIQNGVVHQPPHVNNTGYAITIDWADIISVSLDQFIDKIILYQIYGHFDSFREADNYMERINETKIIVMTDPSRLLLHQIAKHVNLARRIFVDIPFPRFHQGLSIVIVTNKEGGTRDIARTLYEMEKVSYTMSGILPYCYKQWQININRDLDVALQHQLKGSEHLDVSKLTNISAKFYEMWQRITSDGMLYILKGRLCEKMKVTALSCSVPNWTNRWVHGSPITSTMGFKCKEKMAVTEKNCKSVSITNFKEMIEVGVNSREFLSKEQKSLYSVKKNKSYMHTLAACPMHQPRVCYFGEQDRNDLYQCLEANTNLILGCESHVQSTGNVFQGTFIVRDYGQNRASWLASVGESPHILLLSLSVQGEWFLTFSVLYTGNNFDTVKGSAGAMLAPSSMRARLFDGGYQKRRGLHDRVELLIHLQDGRCYTDRPNLITATSHTASTTTNVHTFPRPPIQQDLKEIIEKIRLETETLPKLSILPTKPFLSKTSNNWYHLSISLNLASWVKTSNQFVTFIIWSALIFLLALLSKYNIMSIKM
+>sp|O95156|NXPH2_HUMAN Neurexophilin-2 OS=Homo sapiens OX=9606 GN=NXPH2 PE=2 SV=2
+MRLRPLPLVVVPGLLQLLFCDSKEVVHATEGLDWEDKDAPGTLVGNVVHSRIISPLRLFVKQSPVPKPGPMAYADSMENFWDWLANITEIQEPLARTKRRPIVKTGKFKKMFGWGDFHSNIKTVKLNLLITGKIVDHGNGTFSVYFRHNSTGLGNVSVSLVPPSKVVEFEVSPQSTLETKESKSFNCRIEYEKTDRAKKTALCNFDPSKICYQEQTQSHVSWLCSKPFKVICIYIAFYSVDYKLVQKVCPDYNYHSETPYLSSG
+>DECOY_sp|O95156|NXPH2_HUMAN Neurexophilin-2 OS=Homo sapiens OX=9606 GN=NXPH2 PE=2 SV=2
+GSSLYPTESHYNYDPCVKQVLKYDVSYFAIYICIVKFPKSCLWSVHSQTQEQYCIKSPDFNCLATKKARDTKEYEIRCNFSKSEKTELTSQPSVEFEVVKSPPVLSVSVNGLGTSNHRFYVSFTGNGHDVIKGTILLNLKVTKINSHFDGWGFMKKFKGTKVIPRRKTRALPEQIETINALWDWFNEMSDAYAMPGPKPVPSQKVFLRLPSIIRSHVVNGVLTGPADKDEWDLGETAHVVEKSDCFLLQLLGPVVVLPLPRLRM
+>sp|O95157|NXPH3_HUMAN Neurexophilin-3 OS=Homo sapiens OX=9606 GN=NXPH3 PE=1 SV=3
+MQLTRCCFVFLVQGSLYLVICGQDDGPPGSEDPERDDHEGQPRPRVPRKRGHISPKSRPMANSTLLGLLAPPGEAWGILGQPPNRPNHSPPPSAKVKKIFGWGDFYSNIKTVALNLLVTGKIVDHGNGTFSVHFQHNATGQGNISISLVPPSKAVEFHQEQQIFIEAKASKIFNCRMEWEKVERGRRTSLCTHDPAKICSRDHAQSSATWSCSQPFKVVCVYIAFYSTDYRLVQKVCPDYNYHSDTPYYPSG
+>DECOY_sp|O95157|NXPH3_HUMAN Neurexophilin-3 OS=Homo sapiens OX=9606 GN=NXPH3 PE=1 SV=3
+GSPYYPTDSHYNYDPCVKQVLRYDTSYFAIYVCVVKFPQSCSWTASSQAHDRSCIKAPDHTCLSTRRGREVKEWEMRCNFIKSAKAEIFIQQEQHFEVAKSPPVLSISINGQGTANHQFHVSFTGNGHDVIKGTVLLNLAVTKINSYFDGWGFIKKVKASPPPSHNPRNPPQGLIGWAEGPPALLGLLTSNAMPRSKPSIHGRKRPVRPRPQGEHDDREPDESGPPGDDQGCIVLYLSGQVLFVFCCRTLQM
+>sp|Q6ZVC0|NYAP1_HUMAN Neuronal tyrosine-phosphorylated phosphoinositide-3-kinase adapter 1 OS=Homo sapiens OX=9606 GN=NYAP1 PE=2 SV=1
+MNLLYRKTKLEWRQHKEEEAKRSSSKEVAPAGSAGPAAGQGPGVRVRDIASLRRSLRMGFMTMPASQEHTPHPCRSAMAPRSLSCHSVGSMDSVGGGPGGASGGLTEDSSTRRPPAKPRRHPSTKLSMVGPGSGAETPPSKKAGSQKPTPEGRESSRKVPPQKPRRSPNTQLSVSFDESCPPGPSPRGGNLPLQRLTRGSRVAGDPDVGAQEEPVYIEMVGDVFRGGGRSGGGLAGPPLGGGGPTPPAGADSDSEESEAIYEEMKYPLPEEAGEGRANGPPPLTATSPPQQPHALPPHAHRRPASALPSRRDGTPTKTTPCEIPPPFPNLLQHRPPLLAFPQAKSASRTPGDGVSRLPVLCHSKEPAGSTPAPQVPARERETPPPPPPPPAANLLLLGPSGRARSHSTPLPPQGSGQPRGERELPNSHSMICPKAAGAPAAPPAPAALLPGPPKDKAVSYTMVYSAVKVTTHSVLPAGPPLGAGEPKTEKEISVLHGMLCTSSRPPVPGKTSPHGGAMGAAAGVLHHRGCLASPHSLPDPTVGPLTPLWTYPATAAGLKRPPAYESLKAGGVLNKGCGVGAPSPMVKIQLQEQGTDGGAFASISCAHVIASAGTPEEEEEEVGAATFGAGWALQRKVLYGGRKAKELDKVEDGARAWNGSAEGPGKVEREDRGPGTSGIPVRSQGAEGLLARIHHGDRGGSRTALPIPCQTFPACHRNGDFTGGYRLGRSASTSGVRQVVLHTPRPCSQPRDALSQPHPALPLPLPLPPQPARERDGKLLEVIERKRCVCKEIKARHRPDRGLCKQESMPILPSWRRGPEPRKSGTPPCRRQHTVLWDTAI
+>DECOY_sp|Q6ZVC0|NYAP1_HUMAN Neuronal tyrosine-phosphorylated phosphoinositide-3-kinase adapter 1 OS=Homo sapiens OX=9606 GN=NYAP1 PE=2 SV=1
+IATDWLVTHQRRCPPTGSKRPEPGRRWSPLIPMSEQKCLGRDPRHRAKIEKCVCRKREIVELLKGDRERAPQPPLPLPLPLAPHPQSLADRPQSCPRPTHLVVQRVGSTSASRGLRYGGTFDGNRHCAPFTQCPIPLATRSGGRDGHHIRALLGEAGQSRVPIGSTGPGRDEREVKGPGEASGNWARAGDEVKDLEKAKRGGYLVKRQLAWGAGFTAAGVEEEEEEPTGASAIVHACSISAFAGGDTGQEQLQIKVMPSPAGVGCGKNLVGGAKLSEYAPPRKLGAATAPYTWLPTLPGVTPDPLSHPSALCGRHHLVGAAAGMAGGHPSTKGPVPPRSSTCLMGHLVSIEKETKPEGAGLPPGAPLVSHTTVKVASYVMTYSVAKDKPPGPLLAAPAPPAAPAGAAKPCIMSHSNPLEREGRPQGSGQPPLPTSHSRARGSPGLLLLNAAPPPPPPPPTERERAPVQPAPTSGAPEKSHCLVPLRSVGDGPTRSASKAQPFALLPPRHQLLNPFPPPIECPTTKTPTGDRRSPLASAPRRHAHPPLAHPQQPPSTATLPPPGNARGEGAEEPLPYKMEEYIAESEESDSDAGAPPTPGGGGLPPGALGGGSRGGGRFVDGVMEIYVPEEQAGVDPDGAVRSGRTLRQLPLNGGRPSPGPPCSEDFSVSLQTNPSRRPKQPPVKRSSERGEPTPKQSGAKKSPPTEAGSGPGVMSLKTSPHRRPKAPPRRTSSDETLGGSAGGPGGGVSDMSGVSHCSLSRPAMASRCPHPTHEQSAPMTMFGMRLSRRLSAIDRVRVGPGQGAAPGASGAPAVEKSSSRKAEEEKHQRWELKTKRYLLNM
+>sp|Q8NHC5|O14AG_HUMAN Olfactory receptor 14A16 OS=Homo sapiens OX=9606 GN=OR14A16 PE=3 SV=1
+MANLTIVTEFILMGFSTNKNMCILHSILFLLIYLCALMGNVLIIMITTLDHHLHTPVYFFLKNLSFLDLCLISVTAPKSIANSLIHNNSISFLGCVSQVFLLLSSASAELLLLTVMSFDRYTAICHPLHYDVIMDRSTCVQRATVSWLYGGLIAVMHTAGTFSLSYCGSNMVHQFFCDIPQLLAISCSENLIREIALILINVVLDFCCFIVIIITYVHVFSTVKKIPSTEGQSKAYSICLPHLLVVLFLSTGFIAYLKPASESPSILDAVISVFYTMLPPTFNPIIYSLRNKAIKVALGMLIKGKLTKK
+>DECOY_sp|Q8NHC5|O14AG_HUMAN Olfactory receptor 14A16 OS=Homo sapiens OX=9606 GN=OR14A16 PE=3 SV=1
+KKTLKGKILMGLAVKIAKNRLSYIIPNFTPPLMTYFVSIVADLISPSESAPKLYAIFGTSLFLVVLLHPLCISYAKSQGETSPIKKVTSFVHVYTIIIVIFCCFDLVVNILILAIERILNESCSIALLQPIDCFFQHVMNSGCYSLSFTGATHMVAILGGYLWSVTARQVCTSRDMIVDYHLPHCIATYRDFSMVTLLLLEASASSLLLFVQSVCGLFSISNNHILSNAISKPATVSILCLDLFSLNKLFFYVPTHLHHDLTTIMIILVNGMLACLYILLFLISHLICMNKNTSFGMLIFETVITLNAM
+>sp|A6ND48|O14I1_HUMAN Olfactory receptor 14I1 OS=Homo sapiens OX=9606 GN=OR14I1 PE=2 SV=1
+MDNLTKVTEFLLMEFSGIWELQVLHAGLFLLIYLAVLVGNLLIIAVITLDQHLHTPMYFFLKNLSVLDLCYISVTVPKSIRNSLTRRSSISYLGCVAQVYFFSAFASAELAFLTVMSYDRYVAICHPLQYRAVMTSGGCYQMAVTTWLSCFSYAAVHTGNMFREHVCRSSVIHQFFRDIPHVLALVSCEVFFVEFLTLALSSCLVLGCFILMMISYFQIFSTVLRIPSGQSRAKAFSTCSPQLIVIMLFLTTGLFAALGPIAKALSIQDLVIALTYTVLPPFLNPIIYSLRNKEIKTAMWRLFVKIYFLQK
+>DECOY_sp|A6ND48|O14I1_HUMAN Olfactory receptor 14I1 OS=Homo sapiens OX=9606 GN=OR14I1 PE=2 SV=1
+KQLFYIKVFLRWMATKIEKNRLSYIIPNLFPPLVTYTLAIVLDQISLAKAIPGLAAFLGTTLFLMIVILQPSCTSFAKARSQGSPIRLVTSFIQFYSIMMLIFCGLVLCSSLALTLFEVFFVECSVLALVHPIDRFFQHIVSSRCVHERFMNGTHVAAYSFCSLWTTVAMQYCGGSTMVARYQLPHCIAVYRDYSMVTLFALEASAFASFFYVQAVCGLYSISSRRTLSNRISKPVTVSIYCLDLVSLNKLFFYMPTHLHQDLTIVAIILLNGVLVALYILLFLGAHLVQLEWIGSFEMLLFETVKTLNDM
+>sp|Q8NHC6|O14L1_HUMAN Putative olfactory receptor 14L1 OS=Homo sapiens OX=9606 GN=OR14L1P PE=5 SV=1
+MSPDTFLKGFAEFFLMGFSNSWDIQIVHAALFFLVYLAAVIGNLLIIILTTLDVHLQTPMYFFLRNLSFLDFCYISVTIPKSIVSSLTHDTSISFFGCALQAFFFMDLATTEVAILTVMSYDRYMAICRPLHYEVIINQGVCLRMMAMSWLSGVICGFMHVIATFSLPFCGRNRIRQFFCNIPQLLSLLDPKVITIEIGVMVFGTSLVIISFVVITLSYMYIFSVIMRIPSKEGRSKTFSTCIPHLVVVTLFMISGSIAYVKPISNSPPVLDVFLSAFYTVVPPTLNPVIYSLRNRDMKAALRRQCGP
+>DECOY_sp|Q8NHC6|O14L1_HUMAN Putative olfactory receptor 14L1 OS=Homo sapiens OX=9606 GN=OR14L1P PE=5 SV=1
+PGCQRRLAAKMDRNRLSYIVPNLTPPVVTYFASLFVDLVPPSNSIPKVYAISGSIMFLTVVVLHPICTSFTKSRGEKSPIRMIVSFIYMYSLTIVVFSIIVLSTGFVMVGIEITIVKPDLLSLLQPINCFFQRIRNRGCFPLSFTAIVHMFGCIVGSLWSMAMMRLCVGQNIIVEYHLPRCIAMYRDYSMVTLIAVETTALDMFFFAQLACGFFSISTDHTLSSVISKPITVSIYCFDLFSLNRLFFYMPTQLHVDLTTLIIILLNGIVAALYVLFFLAAHVIQIDWSNSFGMLFFEAFGKLFTDPSM
+>sp|Q8NGT7|O2A12_HUMAN Olfactory receptor 2A12 OS=Homo sapiens OX=9606 GN=OR2A12 PE=2 SV=1
+MESNQTWITEVILLGFQVDPALELFLFGFFLLFYSLTLMGNGIILGLIYLDSRLHTPMYVFLSHLAIVDMSYASSTVPKMLANLVMHKKVISFAPCILQTFLYLAFAITECLILVMMCYDRYVAICHPLQYTLIMNWRVCTVLASTCWIFSFLLALVHITLILRLPFCGPQKINHFFCQIMSVFKLACADTRLNQVVLFAGSAFILVGPLCLVLVSYLHILVAILRIQSGEGRRKAFSTCSSHLCVVGLFFGSAIVMYMAPKSSHSQERRKILSLFYSLFNPILNPLIYSLRNAEVKGALKRVLWKQRSM
+>DECOY_sp|Q8NGT7|O2A12_HUMAN Olfactory receptor 2A12 OS=Homo sapiens OX=9606 GN=OR2A12 PE=2 SV=1
+MSRQKWLVRKLAGKVEANRLSYILPNLIPNFLSYFLSLIKRREQSHSSKPAMYMVIASGFFLGVVCLHSSCTSFAKRRGEGSQIRLIAVLIHLYSVLVLCLPGVLIFASGAFLVVQNLRTDACALKFVSMIQCFFHNIKQPGCFPLRLILTIHVLALLFSFIWCTSALVTCVRWNMILTYQLPHCIAVYRDYCMMVLILCETIAFALYLFTQLICPAFSIVKKHMVLNALMKPVTSSAYSMDVIALHSLFVYMPTHLRSDLYILGLIIGNGMLTLSYFLLFFGFLFLELAPDVQFGLLIVETIWTQNSEM
+>sp|A4D2G3|O2A25_HUMAN Olfactory receptor 2A25 OS=Homo sapiens OX=9606 GN=OR2A25 PE=2 SV=2
+MGGNQTSITEFLLLGFPIGPRIQMLLFGLFSLFYIFILLGNGTILGLISLDSRLHTPMYFFLSHLAVVDIACACSTVPQMLVNLLHPAKPISFAGCMTQMFLFLSFAHTECLLLVVMSYDRYVAICHPLRYSTIMTWKVCITLALTSWILGVLLALVHLVLLLPLSFCGPQKLNHFFCEIMAVLKLACADTHINEVMVLAGAVSVLVGAFFSTVISYVHILCAILKIQSGEGCQKAFSICSSHLCVVGLFYGTAIIMYVEPQYESPKEQKKYLLLFHSLFNPMLNPLIYSLRNKEVQGTLKRMLEKKRTS
+>DECOY_sp|A4D2G3|O2A25_HUMAN Olfactory receptor 2A25 OS=Homo sapiens OX=9606 GN=OR2A25 PE=2 SV=2
+STRKKELMRKLTGQVEKNRLSYILPNLMPNFLSHFLLLYKKQEKPSEYQPEVYMIIATGYFLGVVCLHSSCISFAKQCGEGSQIKLIACLIHVYSIVTSFFAGVLVSVAGALVMVENIHTDACALKLVAMIECFFHNLKQPGCFSLPLLLVLHVLALLVGLIWSTLALTICVKWTMITSYRLPHCIAVYRDYSMVVLLLCETHAFSLFLFMQTMCGAFSIPKAPHLLNVLMQPVTSCACAIDVVALHSLFFYMPTHLRSDLSILGLITGNGLLIFIYFLSFLGFLLMQIRPGIPFGLLLFETISTQNGGM
+>sp|A6NM03|O2AG2_HUMAN Olfactory receptor 2AG2 OS=Homo sapiens OX=9606 GN=OR2AG2 PE=2 SV=1
+MELRNSTLGSGFILVGILNDSGSPELLYATFTILYMLALTSNGLLLLAITIEARLHMPMYLLLGQLSLMDLLFTSVVTPKALADFLRRENTISFGGCALQMFLALTMGSAEDLLLAFMAYDRYVAICHPLKYMTLMSPRVCWIMVATSWILASLIAIGHTMYTMHLPFCVSWEIRHLLCEIPPLLKLACADTSRYELIIYVTGVTFLLLPISAIVASYTLVLFTVLRMPSNEGRKKALVTCSSHLIVVGMFYGAATFMYVLPSSFHSPKQDNIISVFYTIVTPALNPLIYSLRNKEVMRALRRVLGKYILLAHSTL
+>DECOY_sp|A6NM03|O2AG2_HUMAN Olfactory receptor 2AG2 OS=Homo sapiens OX=9606 GN=OR2AG2 PE=2 SV=1
+LTSHALLIYKGLVRRLARMVEKNRLSYILPNLAPTVITYFVSIINDQKPSHFSSPLVYMFTAAGYFMGVVILHSSCTVLAKKRGENSPMRLVTFLVLTYSAVIASIPLLLFTVGTVYIILEYRSTDACALKLLPPIECLLHRIEWSVCFPLHMTYMTHGIAILSALIWSTAVMIWCVRPSMLTMYKLPHCIAVYRDYAMFALLLDEASGMTLALFMQLACGGFSITNERRLFDALAKPTVVSTFLLDMLSLQGLLLYMPMHLRAEITIALLLLGNSTLALMYLITFTAYLLEPSGSDNLIGVLIFGSGLTSNRLEM
+>sp|A6NND4|O2AT4_HUMAN Olfactory receptor 2AT4 OS=Homo sapiens OX=9606 GN=OR2AT4 PE=2 SV=1
+MDATACNESVDGSPVFYLLGIPSLPETFFLPVFFIFLLFYLLILMGNALILVAVVAEPSLHKPMYFFLINLSTLDILFTTTTVPKMLSLFLLGDRFLSFSSCLLQMYLFQSFTCSEAFILVVMAYDRYVAICHPLHYPVLMNPQTNATLAASAWLTALLLPIPAVVRTSQMAYNSIAYIYHCFCDHLAVVQASCSDTTPQTLMGFCIAMVVSFLPLLLVLLSYVHILASVLRISSLEGRAKAFSTCSSHLLVVGTYYSSIAIAYVAYRADLPLDFHIMGNVVYAILTPILNPLIYTLRNRDVKAAITKIMSQDPGCDRSI
+>DECOY_sp|A6NND4|O2AT4_HUMAN Olfactory receptor 2AT4 OS=Homo sapiens OX=9606 GN=OR2AT4 PE=2 SV=1
+ISRDCGPDQSMIKTIAAKVDRNRLTYILPNLIPTLIAYVVNGMIHFDLPLDARYAVYAIAISSYYTGVVLLHSSCTSFAKARGELSSIRLVSALIHVYSLLVLLLPLFSVVMAICFGMLTQPTTDSCSAQVVALHDCFCHYIYAISNYAMQSTRVVAPIPLLLATLWASAALTANTQPNMLVPYHLPHCIAVYRDYAMVVLIFAESCTFSQFLYMQLLCSSFSLFRDGLLFLSLMKPVTTTTFLIDLTSLNILFFYMPKHLSPEAVVAVLILANGMLILLYFLLFIFFVPLFFTEPLSPIGLLYFVPSGDVSENCATADM
+>sp|Q8NGL6|O4A15_HUMAN Olfactory receptor 4A15 OS=Homo sapiens OX=9606 GN=OR4A15 PE=3 SV=3
+MELLTNNLKFITDPFVCRLRHLSPTPSEEHMKNKNNVTEFILLGLTQNPEGQKVLFVTFLLIYMVTIMGNLLIIVTIMASQSLGSPMYFFLASLSFIDTVYSTAFAPKMIVDLLSEKKTISFQGCMAQLFMDHLFAGAEVILLVVMAYDRYMAICKPLHELITMNRRVCVLMLLAAWIGGFLHSLVQFLFIYQLPFCGPNVIDNFLCDLYPLLKLACTNTYVTGLSMIANGGAICAVTFFTILLSYGVILHSLKTQSLEGKRKAFYTCASHVTVVILFFVPCIFLYARPNSTFPIDKSMTVVLTFITPMLNPLIYTLKNAEMKSAMRKLWSKKVSLAGKWLYHS
+>DECOY_sp|Q8NGL6|O4A15_HUMAN Olfactory receptor 4A15 OS=Homo sapiens OX=9606 GN=OR4A15 PE=3 SV=3
+SHYLWKGALSVKKSWLKRMASKMEANKLTYILPNLMPTIFTLVVTMSKDIPFTSNPRAYLFICPVFFLIVVTVHSACTYFAKRKGELSQTKLSHLIVGYSLLITFFTVACIAGGNAIMSLGTVYTNTCALKLLPYLDCLFNDIVNPGCFPLQYIFLFQVLSHLFGGIWAALLMLVCVRRNMTILEHLPKCIAMYRDYAMVVLLIVEAGAFLHDMFLQAMCGQFSITKKESLLDVIMKPAFATSYVTDIFSLSALFFYMPSGLSQSAMITVIILLNGMITVMYILLFTVFLVKQGEPNQTLGLLIFETVNNKNKMHEESPTPSLHRLRCVFPDTIFKLNNTLLEM
+>sp|Q8NGA8|O4F17_HUMAN Olfactory receptor 4F17 OS=Homo sapiens OX=9606 GN=OR4F17 PE=2 SV=1
+MVTEFIFLGLSDSQGLQTFLFMLFFVFYGGIVFGNLLIVITVVSDSHLHSPMYFLLANLSLIDLSLSSVTAPKMITDFFSQRKVISFKGCLVQIFLLHFFGGSEMVILIAMGFDRYIAICKPLHYTTIMCGNACVGIMAVAWGIGFLHSVSQLAFAVHLPFCGPNEVDSFYCDLPRVIKLACTDTYRLDIMVIANSGVLTVCSFVLLIISYTIILMTIQHRPLDKSSKALSTLTAHITVVLLFFGPCVFIYAWPFPIKSLDKFLAVFYSVITPLLNPIIYTLRNKDMKTAIRQLRKWDAHSSVKF
+>DECOY_sp|Q8NGA8|O4F17_HUMAN Olfactory receptor 4F17 OS=Homo sapiens OX=9606 GN=OR4F17 PE=2 SV=1
+FKVSSHADWKRLQRIATKMDKNRLTYIIPNLLPTIVSYFVALFKDLSKIPFPWAYIFVCPGFFLLVVTIHATLTSLAKSSKDLPRHQITMLIITYSIILLVFSCVTLVGSNAIVMIDLRYTDTCALKIVRPLDCYFSDVENPGCFPLHVAFALQSVSHLFGIGWAVAMIGVCANGCMITTYHLPKCIAIYRDFGMAILIVMESGGFFHLLFIQVLCGKFSIVKRQSFFDTIMKPATVSSLSLDILSLNALLFYMPSHLHSDSVVTIVILLNGFVIGGYFVFFLMFLFTQLGQSDSLGLFIFETVM
+>sp|Q8NGJ7|O51A2_HUMAN Olfactory receptor 51A2 OS=Homo sapiens OX=9606 GN=OR51A2 PE=3 SV=1
+MSIINTSYVEITTFFLVGMPGLEYAHIWISIPICSMYLIAILGNGTILFIIKTEPSLHGPMYYFLSMLAMSDLGLSLSSLPTVLSIFLFNAPETSSSACFAQEFFIHGFSVLESSVLLIMSFDRFLAIHNPLRYTSILTTVRVAQIGIVFSFKSMLLVLPFPFTLRSLRYCKKNQLSHSYCLHQDVMKLACSDNRIDVIYGFFGALCLMVDFILIAVSYTLILKTVPGIASKKEELKALNTCVSHICAVIIFYLPIINLAVVHRFAGHVSPLINVLMANVLLLVPPLMKPIVYCVKTKQIRVRVVAKLCQWKI
+>DECOY_sp|Q8NGJ7|O51A2_HUMAN Olfactory receptor 51A2 OS=Homo sapiens OX=9606 GN=OR51A2 PE=3 SV=1
+IKWQCLKAVVRVRIQKTKVCYVIPKMLPPVLLLVNAMLVNILPSVHGAFRHVVALNIIPLYFIIVACIHSVCTNLAKLEEKKSAIGPVTKLILTYSVAILIFDVMLCLAGFFGYIVDIRNDSCALKMVDQHLCYSHSLQNKKCYRLSRLTFPFPLVLLMSKFSFVIGIQAVRVTTLISTYRLPNHIALFRDFSMILLVSSELVSFGHIFFEQAFCASSSTEPANFLFISLVTPLSSLSLGLDSMALMSLFYYMPGHLSPETKIIFLITGNGLIAILYMSCIPISIWIHAYELGPMGVLFFTTIEVYSTNIISM
+>sp|Q8NH64|O51A7_HUMAN Olfactory receptor 51A7 OS=Homo sapiens OX=9606 GN=OR51A7 PE=2 SV=1
+MSVLNNSEVKLFLLIGIPGLEHAHIWFSIPICLMYLLAIMGNCTILFIIKTEPSLHEPMYYFLAMLAVSDMGLSLSSLPTMLRVFLFNAMGISPNACFAQEFFIHGFTVMESSVLLIMSLDRFLAIHNPLRYSSILTSNRVAKMGLILAIRSILLVIPFPFTLRRLKYCQKNLLSHSYCLHQDTMKLACSDNKTNVIYGFFIALCTMLDLALIVLSYVLILKTILSIASLAERLKALNTCVSHICAVLTFYVPIITLAAMHHFAKHKSPLVVILIADMFLLVPPLMNPIVYCVKTRQIWEKILGKLLNVCGR
+>DECOY_sp|Q8NH64|O51A7_HUMAN Olfactory receptor 51A7 OS=Homo sapiens OX=9606 GN=OR51A7 PE=2 SV=1
+RGCVNLLKGLIKEWIQRTKVCYVIPNMLPPVLLFMDAILIVVLPSKHKAFHHMAALTIIPVYFTLVACIHSVCTNLAKLREALSAISLITKLILVYSLVILALDLMTCLAIFFGYIVNTKNDSCALKMTDQHLCYSHSLLNKQCYKLRRLTFPFPIVLLISRIALILGMKAVRNSTLISSYRLPNHIALFRDLSMILLVSSEMVTFGHIFFEQAFCANPSIGMANFLFVRLMTPLSSLSLGMDSVALMALFYYMPEHLSPETKIIFLITCNGMIALLYMLCIPISFWIHAHELGPIGILLFLKVESNNLVSM
+>sp|Q9H339|O51B5_HUMAN Olfactory receptor 51B5 OS=Homo sapiens OX=9606 GN=OR51B5 PE=2 SV=2
+MSSSGSSHPFLLTGFPGLEEAHHWISVFFLFMYISILFGNGTLLLLIKEDHNLHEPMYFFLAMLAATDLGLALTTMPTVLGVLWLDHREIGSAACFSQAYFIHSLSFLESGILLAMAYDRFIAICNPLRYTSVLTNTRVVKIGLGVLMRGFVSVVPPIRPLYFFLYCHSHVLSHAFCLHQDVIKLACADTTFNRLYPAVLVVFIFVLDYLIIFISYVLILKTVLSIASREERAKALITCVSHICCVLVFYVTVIGLSLIHRFGKQVPHIVHLIMSYAYFLFPPLMNPITYSVKTKQIQNAILHLFTTHRIGT
+>DECOY_sp|Q9H339|O51B5_HUMAN Olfactory receptor 51B5 OS=Homo sapiens OX=9606 GN=OR51B5 PE=2 SV=2
+TGIRHTTFLHLIANQIQKTKVSYTIPNMLPPFLFYAYSMILHVIHPVQKGFRHILSLGIVTVYFVLVCCIHSVCTILAKAREERSAISLVTKLILVYSIFIILYDLVFIFVVLVAPYLRNFTTDACALKIVDQHLCFAHSLVHSHCYLFFYLPRIPPVVSVFGRMLVGLGIKVVRTNTLVSTYRLPNCIAIFRDYAMALLIGSELFSLSHIFYAQSFCAASGIERHDLWLVGLVTPMTTLALGLDTAALMALFFYMPEHLNHDEKILLLLTGNGFLISIYMFLFFVSIWHHAEELGPFGTLLFPHSSGSSSM
+>sp|Q9H255|O51E2_HUMAN Olfactory receptor 51E2 OS=Homo sapiens OX=9606 GN=OR51E2 PE=2 SV=1
+MSSCNFTHATFVLIGIPGLEKAHFWVGFPLLSMYVVAMFGNCIVVFIVRTERSLHAPMYLFLCMLAAIDLALSTSTMPKILALFWFDSREISFEACLTQMFFIHALSAIESTILLAMAFDRYVAICHPLRHAAVLNNTVTAQIGIVAVVRGSLFFFPLPLLIKRLAFCHSNVLSHSYCVHQDVMKLAYADTLPNVVYGLTAILLVMGVDVMFISLSYFLIIRTVLQLPSKSERAKAFGTCVSHIGVVLAFYVPLIGLSVVHRFGNSLHPIVRVVMGDIYLLLPPVINPIIYGAKTKQIRTRVLAMFKISCDKDLQAVGGK
+>DECOY_sp|Q9H255|O51E2_HUMAN Olfactory receptor 51E2 OS=Homo sapiens OX=9606 GN=OR51E2 PE=2 SV=1
+KGGVAQLDKDCSIKFMALVRTRIQKTKAGYIIPNIVPPLLLYIDGMVVRVIPHLSNGFRHVVSLGILPVYFALVVGIHSVCTGFAKARESKSPLQLVTRIILFYSLSIFMVDVGMVLLIATLGYVVNPLTDAYALKMVDQHVCYSHSLVNSHCFALRKILLPLPFFFLSGRVVAVIGIQATVTNNLVAAHRLPHCIAVYRDFAMALLITSEIASLAHIFFMQTLCAEFSIERSDFWFLALIKPMTSTSLALDIAALMCLFLYMPAHLSRETRVIFVVICNGFMAVVYMSLLPFGVWFHAKELGPIGILVFTAHTFNCSSM
+>sp|Q8NH76|O56B4_HUMAN Olfactory receptor 56B4 OS=Homo sapiens OX=9606 GN=OR56B4 PE=2 SV=1
+MDTSTSVTYDSSLQISQFILMGLPGIHEWQHWLSLPLTLLYLLALGANLLIIITIQHETVLHEPMYHLLGILAVVDIGLATTIMPKILAIFWFDAKAISLPMCFAQIYAIHCFFCIESGIFLCMAVDRYIAICRPLQYPSIVTKAFVFKATGFIMLRNGLLTIPVPILAAQRHYCSRNEIEHCLCSNLGVISLACDDITVNKFYQLMLAWVLVGSDMALVFSSYAVILHSVLRLNSAEAMSKALSTCSSHLILILFHTGIIVLSVTHLAEKKIPLIPVFLNVLHNVIPPALNPLACALRMHKLRLGFQRLLGLGQDVSK
+>DECOY_sp|Q8NH76|O56B4_HUMAN Olfactory receptor 56B4 OS=Homo sapiens OX=9606 GN=OR56B4 PE=2 SV=1
+KSVDQGLGLLRQFGLRLKHMRLACALPNLAPPIVNHLVNLFVPILPIKKEALHTVSLVIIGTHFLILILHSSCTSLAKSMAEASNLRLVSHLIVAYSSFVLAMDSGVLVWALMLQYFKNVTIDDCALSIVGLNSCLCHEIENRSCYHRQAALIPVPITLLGNRLMIFGTAKFVFAKTVISPYQLPRCIAIYRDVAMCLFIGSEICFFCHIAYIQAFCMPLSIAKADFWFIALIKPMITTALGIDVVALIGLLHYMPEHLVTEHQITIIILLNAGLALLYLLTLPLSLWHQWEHIGPLGMLIFQSIQLSSDYTVSTSTDM
+>sp|A6NL08|O6C75_HUMAN Olfactory receptor 6C75 OS=Homo sapiens OX=9606 GN=OR6C75 PE=3 SV=1
+MRNSTAVTDFILLGLTSDPQWQVVLFIFLLVTYMLSVTGNLIIITLTLSDPHLQTPMYFFLRNFSFLEISFTSVCIPRFLVTVVTGNRTISYNGCVAQLFFFIFLGVTEFYLLAAMSYDRCMAICKPLHYTIIMSTRVCTLLVFSSWLAGFLIIFPPVMLLLQLDFCASNVIDHFICDSSPMLQLSCTNTHFLELMAFFLAVVTLMVTLTLVILSYTNIIRTILKIPSMSQRKKAFSTCSSHMIVVSISYSSCIFMYIKTSARERVTLSKGVAVLNTSVAPLLNPFIYTLRNKQVKQAFKSMVQKMIFSLNK
+>DECOY_sp|A6NL08|O6C75_HUMAN Olfactory receptor 6C75 OS=Homo sapiens OX=9606 GN=OR6C75 PE=3 SV=1
+KNLSFIMKQVMSKFAQKVQKNRLTYIFPNLLPAVSTNLVAVGKSLTVRERASTKIYMFICSSYSISVVIMHSSCTSFAKKRQSMSPIKLITRIINTYSLIVLTLTVMLTVVALFFAMLELFHTNTCSLQLMPSSDCIFHDIVNSACFDLQLLLMVPPFIILFGALWSSFVLLTCVRTSMIITYHLPKCIAMCRDYSMAALLYFETVGLFIFFFLQAVCGNYSITRNGTVVTVLFRPICVSTFSIELFSFNRLFFYMPTQLHPDSLTLTIIILNGTVSLMYTVLLFIFLVVQWQPDSTLGLLIFDTVATSNRM
+>sp|Q6IF36|O8G2P_HUMAN Putative olfactory receptor 8G2 OS=Homo sapiens OX=9606 GN=OR8G2P PE=5 SV=1
+MVFLSSVETDQRKMSAGNHSSVTEFILAGLSEQPELQLRLFLLFLGIYVVTVVGNLSMITLIGLSSHLHTPMYYFLSGLSFIDLCHSTIITPKMLVNFVTEKNIISYPECMTQLYFFLIFAIAECHMLAVTAYDRYVAICSPLLYNVIMSYHHCFWLTVGVYVLGILGSTIHTGFMLRLFLCKTNVINHYFCDLFPLLGLSCSSTYINELLVLVLSAFNILTPALTILASYIFIIASILRIRSTEGRSKAFSTCSSHILAVAVFFGSAAFMYLQPSSVSSMDQRKVSSVFYTTIVPMLNPQSIA
+>DECOY_sp|Q6IF36|O8G2P_HUMAN Putative olfactory receptor 8G2 OS=Homo sapiens OX=9606 GN=OR8G2P PE=5 SV=1
+AISQPNLMPVITTYFVSSVKRQDMSSVSSPQLYMFAASGFFVAVALIHSSCTSFAKSRGETSRIRLISAIIFIYSALITLAPTLINFASLVLVLLENIYTSSCSLGLLPFLDCFYHNIVNTKCLFLRLMFGTHITSGLIGLVYVGVTLWFCHHYSMIVNYLLPSCIAVYRDYATVALMHCEAIAFILFFYLQTMCEPYSIINKETVFNVLMKPTIITSHCLDIFSLGSLFYYMPTHLHSSLGILTIMSLNGVVTVVYIGLFLLFLRLQLEPQESLGALIFETVSSHNGASMKRQDTEVSSLFVM
+>sp|P00973|OAS1_HUMAN 2'-5'-oligoadenylate synthase 1 OS=Homo sapiens OX=9606 GN=OAS1 PE=1 SV=4
+MMDLRNTPAKSLDKFIEDYLLPDTCFRMQINHAIDIICGFLKERCFRGSSYPVCVSKVVKGGSSGKGTTLRGRSDADLVVFLSPLTTFQDQLNRRGEFIQEIRRQLEACQRERAFSVKFEVQAPRWGNPRALSFVLSSLQLGEGVEFDVLPAFDALGQLTGGYKPNPQIYVKLIEECTDLQKEGEFSTCFTELQRDFLKQRPTKLKSLIRLVKHWYQNCKKKLGKLPPQYALELLTVYAWERGSMKTHFNTAQGFRTVLELVINYQQLCIYWTKYYDFKNPIIEKYLRRQLTKPRPVILDPADPTGNLGGGDPKGWRQLAQEAEAWLNYPCFKNWDGSPVSSWILLAESNSADDETDDPRRYQKYGYIGTHEYPHFSHRPSTLQAASTPQAEEDWTCTIL
+>DECOY_sp|P00973|OAS1_HUMAN 2'-5'-oligoadenylate synthase 1 OS=Homo sapiens OX=9606 GN=OAS1 PE=1 SV=4
+LITCTWDEEAQPTSAAQLTSPRHSFHPYEHTGIYGYKQYRRPDDTEDDASNSEALLIWSSVPSGDWNKFCPYNLWAEAEQALQRWGKPDGGGLNGTPDAPDLIVPRPKTLQRRLYKEIIPNKFDYYKTWYICLQQYNIVLELVTRFGQATNFHTKMSGREWAYVTLLELAYQPPLKGLKKKCNQYWHKVLRILSKLKTPRQKLFDRQLETFCTSFEGEKQLDTCEEILKVYIQPNPKYGGTLQGLADFAPLVDFEVGEGLQLSSLVFSLARPNGWRPAQVEFKVSFARERQCAELQRRIEQIFEGRRNLQDQFTTLPSLFVVLDADSRGRLTTGKGSSGGKVVKSVCVPYSSGRFCREKLFGCIIDIAHNIQMRFCTDPLLYDEIFKDLSKAPTNRLDMM
+>sp|P21953|ODBB_HUMAN 2-oxoisovalerate dehydrogenase subunit beta, mitochondrial OS=Homo sapiens OX=9606 GN=BCKDHB PE=1 SV=2
+MAVVAAAAGWLLRLRAAGAEGHWRRLPGAGLARGFLHPAATVEDAAQRRQVAHFTFQPDPEPREYGQTQKMNLFQSVTSALDNSLAKDPTAVIFGEDVAFGGVFRCTVGLRDKYGKDRVFNTPLCEQGIVGFGIGIAVTGATAIAEIQFADYIFPAFDQIVNEAAKYRYRSGDLFNCGSLTIRSPWGCVGHGALYHSQSPEAFFAHCPGIKVVIPRSPFQAKGLLLSCIEDKNPCIFFEPKILYRAAAEEVPIEPYNIPLSQAEVIQEGSDVTLVAWGTQVHVIREVASMAKEKLGVSCEVIDLRTIIPWDVDTICKSVIKTGRLLISHEAPLTGGFASEISSTVQEECFLNLEAPISRVCGYDTPFPHIFEPFYIPDKWKCYDALRKMINY
+>DECOY_sp|P21953|ODBB_HUMAN 2-oxoisovalerate dehydrogenase subunit beta, mitochondrial OS=Homo sapiens OX=9606 GN=BCKDHB PE=1 SV=2
+YNIMKRLADYCKWKDPIYFPEFIHPFPTDYGCVRSIPAELNLFCEEQVTSSIESAFGGTLPAEHSILLRGTKIVSKCITDVDWPIITRLDIVECSVGLKEKAMSAVERIVHVQTGWAVLTVDSGEQIVEAQSLPINYPEIPVEEAAARYLIKPEFFICPNKDEICSLLLGKAQFPSRPIVVKIGPCHAFFAEPSQSHYLAGHGVCGWPSRITLSGCNFLDGSRYRYKAAENVIQDFAPFIYDAFQIEAIATAGTVAIGIGFGVIGQECLPTNFVRDKGYKDRLGVTCRFVGGFAVDEGFIVATPDKALSNDLASTVSQFLNMKQTQGYERPEPDPQFTFHAVQRRQAADEVTAAPHLFGRALGAGPLRRWHGEAGAARLRLLWGAAAAVVAM
+>sp|Q96PU9|ODF3A_HUMAN Outer dense fiber protein 3 OS=Homo sapiens OX=9606 GN=ODF3 PE=2 SV=1
+MTEEVWMGTWRPHRPRGPIMALYSSPGPKYLIPPTTGFMKHTPTKLRAPAYSFRGAPMLLAENCSPGPRYNVNPKILRTGKDLGPAYSILGRYQTKTMLTPGPGDYFPEKSTKYVFDSAPSHSISARTKAFRVDSTPGPAAYMLPMVMGPNTVGKASQPSFSIKGRSKLGGFSDDLHKTPGPAAYRQTDVRVTKFKAPQYTMAARVEPPGDKTLKPGPGAHSPEKVTLTKPCAPVVTFGIKHSDYMTPLLVDVE
+>DECOY_sp|Q96PU9|ODF3A_HUMAN Outer dense fiber protein 3 OS=Homo sapiens OX=9606 GN=ODF3 PE=2 SV=1
+EVDVLLPTMYDSHKIGFTVVPACPKTLTVKEPSHAGPGPKLTKDGPPEVRAAMTYQPAKFKTVRVDTQRYAAPGPTKHLDDSFGGLKSRGKISFSPQSAKGVTNPGMVMPLMYAAPGPTSDVRFAKTRASISHSPASDFVYKTSKEPFYDGPGPTLMTKTQYRGLISYAPGLDKGTRLIKPNVNYRPGPSCNEALLMPAGRFSYAPARLKTPTHKMFGTTPPILYKPGPSSYLAMIPGRPRHPRWTGMWVEETM
+>sp|Q9H1Y3|OPN3_HUMAN Opsin-3 OS=Homo sapiens OX=9606 GN=OPN3 PE=1 SV=1
+MYSGNRSGGHGYWDGGGAAGAEGPAPAGTLSPAPLFSPGTYERLALLLGSIGLLGVGNNLLVLVLYYKFQRLRTPTHLLLVNISLSDLLVSLFGVTFTFVSCLRNGWVWDTVGCVWDGFSGSLFGIVSIATLTVLAYERYIRVVHARVINFSWAWRAITYIWLYSLAWAGAPLLGWNRYILDVHGLGCTVDWKSKDANDSSFVLFLFLGCLVVPLGVIAHCYGHILYSIRMLRCVEDLQTIQVIKILKYEKKLAKMCFLMIFTFLVCWMPYIVICFLVVNGHGHLVTPTISIVSYLFAKSNTVYNPVIYVFMIRKFRRSLLQLLCLRLLRCQRPAKDLPAAGSEMQIRPIVMSQKDGDRPKKKVTFNSSSIIFIITSDESLSVDDSDKTNGSKVDVIQVRPL
+>DECOY_sp|Q9H1Y3|OPN3_HUMAN Opsin-3 OS=Homo sapiens OX=9606 GN=OPN3 PE=1 SV=1
+LPRVQIVDVKSGNTKDSDDVSLSEDSTIIFIISSSNFTVKKKPRDGDKQSMVIPRIQMESGAAPLDKAPRQCRLLRLCLLQLLSRRFKRIMFVYIVPNYVTNSKAFLYSVISITPTVLHGHGNVVLFCIVIYPMWCVLFTFIMLFCMKALKKEYKLIKIVQITQLDEVCRLMRISYLIHGYCHAIVGLPVVLCGLFLFLVFSSDNADKSKWDVTCGLGHVDLIYRNWGLLPAGAWALSYLWIYTIARWAWSFNIVRAHVVRIYREYALVTLTAISVIGFLSGSFGDWVCGVTDWVWGNRLCSVFTFTVGFLSVLLDSLSINVLLLHTPTRLRQFKYYLVLVLLNNGVGLLGISGLLLALREYTGPSFLPAPSLTGAPAPGEAGAAGGGDWYGHGGSRNGSYM
+>sp|Q9UHM6|OPN4_HUMAN Melanopsin OS=Homo sapiens OX=9606 GN=OPN4 PE=1 SV=1
+MNPPSGPRVPPSPTQEPSCMATPAPPSWWDSSQSSISSLGRLPSISPTAPGTWAAAWVPLPTVDVPDHAHYTLGTVILLVGLTGMLGNLTVIYTFCRSRSLRTPANMFIINLAVSDFLMSFTQAPVFFTSSLYKQWLFGETGCEFYAFCGALFGISSMITLTAIALDRYLVITRPLATFGVASKRRAAFVLLGVWLYALAWSLPPFFGWSAYVPEGLLTSCSWDYMSFTPAVRAYTMLLCCFVFFLPLLIIIYCYIFIFRAIRETGRALQTFGACKGNGESLWQRQRLQSECKMAKIMLLVILLFVLSWAPYSAVALVAFAGYAHVLTPYMSSVPAVIAKASAIHNPIIYAITHPKYRVAIAQHLPCLGVLLGVSRRHSRPYPSYRSTHRSTLTSHTSNLSWISIRRRQESLGSESEVGWTHMEAAAVWGAAQQANGRSLYGQGLEDLEAKAPPRPQGHEAETPGKTKGLIPSQDPRM
+>DECOY_sp|Q9UHM6|OPN4_HUMAN Melanopsin OS=Homo sapiens OX=9606 GN=OPN4 PE=1 SV=1
+MRPDQSPILGKTKGPTEAEHGQPRPPAKAELDELGQGYLSRGNAQQAAGWVAAAEMHTWGVESESGLSEQRRRISIWSLNSTHSTLTSRHTSRYSPYPRSHRRSVGLLVGLCPLHQAIAVRYKPHTIAYIIPNHIASAKAIVAPVSSMYPTLVHAYGAFAVLAVASYPAWSLVFLLIVLLMIKAMKCESQLRQRQWLSEGNGKCAGFTQLARGTERIARFIFIYCYIIILLPLFFVFCCLLMTYARVAPTFSMYDWSCSTLLGEPVYASWGFFPPLSWALAYLWVGLLVFAARRKSAVGFTALPRTIVLYRDLAIATLTIMSSIGFLAGCFAYFECGTEGFLWQKYLSSTFFVPAQTFSMLFDSVALNIIFMNAPTRLSRSRCFTYIVTLNGLMGTLGVLLIVTGLTYHAHDPVDVTPLPVWAAAWTGPATPSISPLRGLSSISSQSSDWWSPPAPTAMCSPEQTPSPPVRPGSPPNM
+>sp|P41143|OPRD_HUMAN Delta-type opioid receptor OS=Homo sapiens OX=9606 GN=OPRD1 PE=1 SV=4
+MEPAPSAGAELQPPLFANASDAYPSACPSAGANASGPPGARSASSLALAIAITALYSAVCAVGLLGNVLVMFGIVRYTKMKTATNIYIFNLALADALATSTLPFQSAKYLMETWPFGELLCKAVLSIDYYNMFTSIFTLTMMSVDRYIAVCHPVKALDFRTPAKAKLINICIWVLASGVGVPIMVMAVTRPRDGAVVCMLQFPSPSWYWDTVTKICVFLFAFVVPILIITVCYGLMLLRLRSVRLLSGSKEKDRSLRRITRMVLVVVGAFVVCWAPIHIFVIVWTLVDIDRRDPLVVAALHLCIALGYANSSLNPVLYAFLDENFKRCFRQLCRKPCGRPDPSSFSRAREATARERVTACTPSDGPGGGAAA
+>DECOY_sp|P41143|OPRD_HUMAN Delta-type opioid receptor OS=Homo sapiens OX=9606 GN=OPRD1 PE=1 SV=4
+AAAGGGPGDSPTCATVRERATAERARSFSSPDPRGCPKRCLQRFCRKFNEDLFAYLVPNLSSNAYGLAICLHLAAVVLPDRRDIDVLTWVIVFIHIPAWCVVFAGVVVLVMRTIRRLSRDKEKSGSLLRVSRLRLLMLGYCVTIILIPVVFAFLFVCIKTVTDWYWSPSPFQLMCVVAGDRPRTVAMVMIPVGVGSALVWICINILKAKAPTRFDLAKVPHCVAIYRDVSMMTLTFISTFMNYYDISLVAKCLLEGFPWTEMLYKASQFPLTSTALADALALNFIYINTATKMKTYRVIGFMVLVNGLLGVACVASYLATIAIALALSSASRAGPPGSANAGASPCASPYADSANAFLPPQLEAGASPAPEM
+>sp|P41146|OPRX_HUMAN Nociceptin receptor OS=Homo sapiens OX=9606 GN=OPRL1 PE=1 SV=1
+MEPLFPAPFWEVIYGSHLQGNLSLLSPNHSLLPPHLLLNASHGAFLPLGLKVTIVGLYLAVCVGGLLGNCLVMYVILRHTKMKTATNIYIFNLALADTLVLLTLPFQGTDILLGFWPFGNALCKTVIAIDYYNMFTSTFTLTAMSVDRYVAICHPIRALDVRTSSKAQAVNVAIWALASVVGVPVAIMGSAQVEDEEIECLVEIPTPQDYWGPVFAICIFLFSFIVPVLVISVCYSLMIRRLRGVRLLSGSREKDRNLRRITRLVLVVVAVFVGCWTPVQVFVLAQGLGVQPSSETAVAILRFCTALGYVNSCLNPILYAFLDENFKACFRKFCCASALRRDVQVSDRVRSIAKDVALACKTSETVPRPA
+>DECOY_sp|P41146|OPRX_HUMAN Nociceptin receptor OS=Homo sapiens OX=9606 GN=OPRL1 PE=1 SV=1
+APRPVTESTKCALAVDKAISRVRDSVQVDRRLASACCFKRFCAKFNEDLFAYLIPNLCSNVYGLATCFRLIAVATESSPQVGLGQALVFVQVPTWCGVFVAVVVLVLRTIRRLNRDKERSGSLLRVGRLRRIMLSYCVSIVLVPVIFSFLFICIAFVPGWYDQPTPIEVLCEIEEDEVQASGMIAVPVGVVSALAWIAVNVAQAKSSTRVDLARIPHCIAVYRDVSMATLTFTSTFMNYYDIAIVTKCLANGFPWFGLLIDTGQFPLTLLVLTDALALNFIYINTATKMKTHRLIVYMVLCNGLLGGVCVALYLGVITVKLGLPLFAGHSANLLLHPPLLSHNPSLLSLNGQLHSGYIVEWFPAPFLPEM
+>sp|Q8NGR6|OR1B1_HUMAN Olfactory receptor 1B1 OS=Homo sapiens OX=9606 GN=OR1B1 PE=3 SV=2
+MMSFAPNASHSPVFLLLGFSRANISYTLLFFLFLAIYLTTILGNVTLVLLISWDSRLHSPMYYLLRGLSVIDMGLSTVTLPQLLAHLVSHYPTIPAARCLAQFFFFYAFGVTDTLVIAVMALDRYVAICDPLHYALVMNHQRCACLLALSWVVSILHTMLRVGLVLPLCWTGDAGGNVNLPHFFCDHRPLLRASCSDIHSNELAIFFEGGFLMLGPCALIVLSYVRIGAAILRLPSAAGRRRAVSTCGSHLTMVGFLYGTIICVYFQPPFQNSQYQDMVASVMYTAITPLANPFVYSLHNKDVKGALCRLLEWVKVDP
+>DECOY_sp|Q8NGR6|OR1B1_HUMAN Olfactory receptor 1B1 OS=Homo sapiens OX=9606 GN=OR1B1 PE=3 SV=2
+PDVKVWELLRCLAGKVDKNHLSYVFPNALPTIATYMVSAVMDQYQSNQFPPQFYVCIITGYLFGVMTLHSGCTSVARRRGAASPLRLIAAGIRVYSLVILACPGLMLFGGEFFIALENSHIDSCSARLLPRHDCFFHPLNVNGGADGTWCLPLVLGVRLMTHLISVVWSLALLCACRQHNMVLAYHLPDCIAVYRDLAMVAIVLTDTVGFAYFFFFQALCRAAPITPYHSVLHALLQPLTVTSLGMDIVSLGRLLYYMPSHLRSDWSILLVLTVNGLITTLYIALFLFFLLTYSINARSFGLLLFVPSHSANPAFSMM
+>sp|P34982|OR1D2_HUMAN Olfactory receptor 1D2 OS=Homo sapiens OX=9606 GN=OR1D2 PE=1 SV=2
+MDGGNQSEGSEFLLLGMSESPEQQRILFWMFLSMYLVTVVGNVLIILAISSDSRLHTPVYFFLANLSFTDLFFVTNTIPKMLVNLQSHNKAISYAGCLTQLYFLVSLVALDNLILAVMAYDRYVAICCPLHYTTAMSPKLCILLLSLCWVLSVLYGLIHTLLMTRVTFCGSRKIHYIFCEMYVLLRMACSNIQINHTVLIATGCFIFLIPFGFVIISYVLIIRAILRIPSVSKKYKAFSTCASHLGAVSLFYGTLCMVYLKPLHTYSVKDSVATVMYAVVTPMMNPFIYSLRNKDMHGALGRLLDKHFKRLT
+>DECOY_sp|P34982|OR1D2_HUMAN Olfactory receptor 1D2 OS=Homo sapiens OX=9606 GN=OR1D2 PE=1 SV=2
+TLRKFHKDLLRGLAGHMDKNRLSYIFPNMMPTVVAYMVTAVSDKVSYTHLPKLYVMCLTGYFLSVAGLHSACTSFAKYKKSVSPIRLIARIILVYSIIVFGFPILFIFCGTAILVTHNIQINSCAMRLLVYMECFIYHIKRSGCFTVRTMLLTHILGYLVSLVWCLSLLLICLKPSMATTYHLPCCIAVYRDYAMVALILNDLAVLSVLFYLQTLCGAYSIAKNHSQLNVLMKPITNTVFFLDTFSLNALFFYVPTHLRSDSSIALIILVNGVVTVLYMSLFMWFLIRQQEPSESMGLLLFESGESQNGGDM
+>sp|P58170|OR1D5_HUMAN Olfactory receptor 1D5 OS=Homo sapiens OX=9606 GN=OR1D5 PE=2 SV=1
+MDGDNQSENSQFLLLGISESPEQQRILFWMFLSMYLVTVLGNVLIILAISSDSHLHTPMYFFLANLSFTDLFFVTNTIPKMLVNFQSQNKAISYAGCLTQLYFLVSLVTLDNLILAVMAYDRYVATCCPLHYVTAMSPGLCVLLLSLCWGLSVLYGLLLTFLLTRVTFCGPREIHYLFCDMYILLWLACSNTHIIHTALIATGCFIFLTPLGFMTTSYVRIVRTILQMPSASKKYKTFSTCASHLGVVSLFYGTLAMVYLQPLHTYSMKDSVATVMYAVLTPMMNPFIYRLRNKDMHGAPGRVLWRPFQRPK
+>DECOY_sp|P58170|OR1D5_HUMAN Olfactory receptor 1D5 OS=Homo sapiens OX=9606 GN=OR1D5 PE=2 SV=1
+KPRQFPRWLVRGPAGHMDKNRLRYIFPNMMPTLVAYMVTAVSDKMSYTHLPQLYVMALTGYFLSVVGLHSACTSFTKYKKSASPMQLITRVIRVYSTTMFGLPTLFIFCGTAILATHIIHTNSCALWLLIYMDCFLYHIERPGCFTVRTLLFTLLLGYLVSLGWCLSLLLVCLGPSMATVYHLPCCTAVYRDYAMVALILNDLTVLSVLFYLQTLCGAYSIAKNQSQFNVLMKPITNTVFFLDTFSLNALFFYMPTHLHSDSSIALIILVNGLVTVLYMSLFMWFLIRQQEPSESIGLLLFQSNESQNDGDM
+>sp|P30953|OR1E1_HUMAN Olfactory receptor 1E1 OS=Homo sapiens OX=9606 GN=OR1E1 PE=3 SV=1
+MMGQNQTSISDFLLLGLPIQPEQQNLCYALFLAMYLTTLLGNLLIIVLIRLDSHLHTPMYLFLSNLSFSDLCFSSVTIPKLLQNMQNQDPSIPYADCLTQMYFFLLFGDLESFLLVAMAYDRYVAICFPLHYTAIMSPMLCLALVALSWVLTTFHAMLHTLLMARLCFCADNVIPHFFCDMSALLKLAFSDTRVNEWVIFIMGGLILVIPFLLILGSYARIVSSILKVPSSKGICKAFSTCGSHLSVVSLFYGTVIGLYLCSSANSSTLKDTVMAMMYTVVTPMLNPFIYSLRNRDMKGALSRVIHQKKTFFSL
+>DECOY_sp|P30953|OR1E1_HUMAN Olfactory receptor 1E1 OS=Homo sapiens OX=9606 GN=OR1E1 PE=3 SV=1
+LSFFTKKQHIVRSLAGKMDRNRLSYIFPNLMPTVVTYMMAMVTDKLTSSNASSCLYLGIVTGYFLSVVSLHSGCTSFAKCIGKSSPVKLISSVIRAYSGLILLFPIVLILGGMIFIVWENVRTDSFALKLLASMDCFFHPIVNDACFCLRAMLLTHLMAHFTTLVWSLAVLALCLMPSMIATYHLPFCIAVYRDYAMAVLLFSELDGFLLFFYMQTLCDAYPISPDQNQMNQLLKPITVSSFCLDSFSLNSLFLYMPTHLHSDLRILVIILLNGLLTTLYMALFLAYCLNQQEPQIPLGLLLFDSISTQNQGMM
+>sp|Q8WZA6|OR1E3_HUMAN Olfactory receptor 1E3 OS=Homo sapiens OX=9606 GN=OR1E3 PE=3 SV=2
+MMKKNQTMISEFLLLGLPIQPEQQNLFYALFLAVYLTTLLGNLLVIVLIRLDSHLHMPMYLCLSNLSFSDLCFSSVTMPKLLQNMQSQNPSIPFADCLAQMYFHLFYGVLESFLLVVMAYHCYVAICFPLHYTTIMSPKCCLGLLTLSWLLTTAHATLHTLLMARLSFCAENVIPHFFCDTSTLLKLACSNTQVNGWVMFFMGGLILVIPFLLLIMSCARIVSTILRVPSTGGIQKAFSTCGPHLSVVSLFYGTIIGLYLCPLTNHNTVKDTVMAVMYTGVTHMLNPFIYSLRNRDMRGNPGQSLQHKENFFVFKIVIVGILPLLNLVGVVKLIMKYHSKSVA
+>DECOY_sp|Q8WZA6|OR1E3_HUMAN Olfactory receptor 1E3 OS=Homo sapiens OX=9606 GN=OR1E3 PE=3 SV=2
+AVSKSHYKMILKVVGVLNLLPLIGVIVIKFVFFNEKHQLSQGPNGRMDRNRLSYIFPNLMHTVGTYMVAMVTDKVTNHNTLPCLYLGIITGYFLSVVSLHPGCTSFAKQIGGTSPVRLITSVIRACSMILLLFPIVLILGGMFFMVWGNVQTNSCALKLLTSTDCFFHPIVNEACFSLRAMLLTHLTAHATTLLWSLTLLGLCCKPSMITTYHLPFCIAVYCHYAMVVLLFSELVGYFLHFYMQALCDAFPISPNQSQMNQLLKPMTVSSFCLDSFSLNSLCLYMPMHLHSDLRILVIVLLNGLLTTLYVALFLAYFLNQQEPQIPLGLLLFESIMTQNKKMM
+>sp|Q8NH92|OR1S1_HUMAN Olfactory receptor 1S1 OS=Homo sapiens OX=9606 GN=OR1S1 PE=3 SV=2
+MKTFSSFLQIGRNMHQGNQTTITEFILLGFFKQDEHQNLLFVLFLGMYLVTVIGNGLIIVAISLDTYLHTPMYLFLANLSFADISSISNSVPKMLVNIQTKSQSISYESCITQMYFSIVFVVIDNLLLGTMAYDHFVAICHPLNYTILMRPRFGILLTVISWFLSNIIALTHTLLLIQLLFCNHNTLPHFFCDLAPLLKLSCSDTLINELVLFIVGLSVIIFPFTLSFFSYVCIIRAVLRVSSTQGKWKAFSTCGSHLTVVLLFYGTIVGVYFFPSSTHPEDTDKIGAVLFTVVTPMINPFIYSLRNKDMKGALRKLINRKISSL
+>DECOY_sp|Q8NH92|OR1S1_HUMAN Olfactory receptor 1S1 OS=Homo sapiens OX=9606 GN=OR1S1 PE=3 SV=2
+LSSIKRNILKRLAGKMDKNRLSYIFPNIMPTVVTFLVAGIKDTDEPHTSSPFFYVGVITGYFLLVVTLHSGCTSFAKWKGQTSSVRLVARIICVYSFFSLTFPFIIVSLGVIFLVLENILTDSCSLKLLPALDCFFHPLTNHNCFLLQILLLTHTLAIINSLFWSIVTLLIGFRPRMLITYNLPHCIAVFHDYAMTGLLLNDIVVFVISFYMQTICSEYSISQSKTQINVLMKPVSNSISSIDAFSLNALFLYMPTHLYTDLSIAVIILGNGIVTVLYMGLFLVFLLNQHEDQKFFGLLIFETITTQNGQHMNRGIQLFSSFTKM
+>sp|O95047|OR2A4_HUMAN Olfactory receptor 2A4 OS=Homo sapiens OX=9606 GN=OR2A4 PE=2 SV=1
+MGDNITSIREFLLLGFPVGPRIQMLLFGLFSLFYVFTLLGNGTILGLISLDSRLHAPMYFFLSHLAVVDIAYACNTVPRMLVNLLHPAKPISFAGRMMQTFLFSTFAVTECLLLVVMSYDLYVAICHPLRYLAIMTWRVCITLAVTSWTTGVLLSLIHLVLLLPLPFCRPQKIYHFFCEILAVLKLACADTHINENMVLAGAISGLVGPLSTIVVSYMCILCAILQIQSREVQRKAFRTCFSHLCVIGLVYGTAIIMYVGPRYGNPKEQKKYLLLFHSLFNPMLNPLICSLRNSEVKNTLKRVLGVERAL
+>DECOY_sp|O95047|OR2A4_HUMAN Olfactory receptor 2A4 OS=Homo sapiens OX=9606 GN=OR2A4 PE=2 SV=1
+LAREVGLVRKLTNKVESNRLSCILPNLMPNFLSHFLLLYKKQEKPNGYRPGVYMIIATGYVLGIVCLHSFCTRFAKRQVERSQIQLIACLICMYSVVITSLPGVLGSIAGALVMNENIHTDACALKLVALIECFFHYIKQPRCFPLPLLLVLHILSLLVGTTWSTVALTICVRWTMIALYRLPHCIAVYLDYSMVVLLLCETVAFTSFLFTQMMRGAFSIPKAPHLLNVLMRPVTNCAYAIDVVALHSLFFYMPAHLRSDLSILGLITGNGLLTFVYFLSFLGFLLMQIRPGVPFGLLLFERISTINDGM
+>sp|Q96R45|OR2A7_HUMAN Olfactory receptor 2A7 OS=Homo sapiens OX=9606 GN=OR2A7 PE=2 SV=3
+MGDNITSITEFLLLGFPVGPRIQMLLFGLFSLFYVFTLLGNGTILGLISLDSRLHAPMYFFLSHLAVVDIAYACNTVPRMLVNLLHPAKPISFAGRMMQTFLFSTFAVTECLLLVVMSYDLYVAICHPLRYLAIMTWRVCITLAVTSWTTGVLLSLIHLVLLLPLPFCRPQKIYHFFCEILAVLKLACADTHINENMVLAGAISGLVGPLSTIVVSYMCILCAILQIQSREVQRKAFCTCFSHLCVIGLFYGTAIIMYVGPRYGNPKEQKKYLLLFHSLFNPMLNPLICSLRNSEVKNTLKRVLGVERAL
+>DECOY_sp|Q96R45|OR2A7_HUMAN Olfactory receptor 2A7 OS=Homo sapiens OX=9606 GN=OR2A7 PE=2 SV=3
+LAREVGLVRKLTNKVESNRLSCILPNLMPNFLSHFLLLYKKQEKPNGYRPGVYMIIATGYFLGIVCLHSFCTCFAKRQVERSQIQLIACLICMYSVVITSLPGVLGSIAGALVMNENIHTDACALKLVALIECFFHYIKQPRCFPLPLLLVLHILSLLVGTTWSTVALTICVRWTMIALYRLPHCIAVYLDYSMVVLLLCETVAFTSFLFTQMMRGAFSIPKAPHLLNVLMRPVTNCAYAIDVVALHSLFFYMPAHLRSDLSILGLITGNGLLTFVYFLSFLGFLLMQIRPGVPFGLLLFETISTINDGM
+>sp|O76000|OR2B3_HUMAN Putative olfactory receptor 2B3 OS=Homo sapiens OX=9606 GN=OR2B3 PE=3 SV=1
+MNWENESSPKEFILLGFSDRAWLQMPLFVVLLISYTITIFGNVSIMMVCILDPKLHTPMYFFLTNLSILDLCYTTTTVPHMLVNIGCNKKTISYAGCVAHLIIFLALGATECLLLAVMSFDRYVAVCRPLHYVVIMNYWFCLRMAAFSWLIGFGNSVLQSSLTLNMPRCGHQEVDHFFCEVPALLKLSCADTKPIEAELFFFSVLILLIPVTLILISYGFIAQAVLKIRSAEGRQKAFGTCGSHMIVVSLFYGTAIYMYLQPPSSTSKDWGKMVSLFYGIITSMLNSLIYSLRNKDMKEAFKRLMPRIFFCKK
+>DECOY_sp|O76000|OR2B3_HUMAN Putative olfactory receptor 2B3 OS=Homo sapiens OX=9606 GN=OR2B3 PE=3 SV=1
+KKCFFIRPMLRKFAEKMDKNRLSYILSNLMSTIIGYFLSVMKGWDKSTSSPPQLYMYIATGYFLSVVIMHSGCTGFAKQRGEASRIKLVAQAIFGYSILILTVPILLILVSFFFLEAEIPKTDACSLKLLAPVECFFHDVEQHGCRPMNLTLSSQLVSNGFGILWSFAAMRLCFWYNMIVVYHLPRCVAVYRDFSMVALLLCETAGLALFIILHAVCGAYSITKKNCGINVLMHPVTTTTYCLDLISLNTLFFYMPTHLKPDLICVMMISVNGFITITYSILLVVFLPMQLWARDSFGLLIFEKPSSENEWNM
+>sp|O95371|OR2C1_HUMAN Olfactory receptor 2C1 OS=Homo sapiens OX=9606 GN=OR2C1 PE=2 SV=3
+MDGVNDSSLQGFVLMGISDHPQLEMIFFIAILFSYLLTLLGNSTIILLSRLEARLHTPMYFFLSNLSSLDLAFATSSVPQMLINLWGPGKTISYGGCITQLYVFLWLGATECILLVVMAFDRYVAVCRPLRYTAIMNPQLCWLLAVIACLGGLGNSVIQSTFTLQLPLCGHRRVEGFLCEVPAMIKLACGDTSLNQAVLNGVCTFFTAVPLSIIVISYCLIAQAVLKIRSAEGRRKAFNTCLSHLLVVFLFYGSASYGYLLPAKNSKQDQGKFISLFYSLVTPMVNPLIYTLRNMEVKGALRRLLGKGREVG
+>DECOY_sp|O95371|OR2C1_HUMAN Olfactory receptor 2C1 OS=Homo sapiens OX=9606 GN=OR2C1 PE=2 SV=3
+GVERGKGLLRRLAGKVEMNRLTYILPNVMPTVLSYFLSIFKGQDQKSNKAPLLYGYSASGYFLFVVLLHSLCTNFAKRRGEASRIKLVAQAILCYSIVIISLPVATFFTCVGNLVAQNLSTDGCALKIMAPVECLFGEVRRHGCLPLQLTFTSQIVSNGLGGLCAIVALLWCLQPNMIATYRLPRCVAVYRDFAMVVLLICETAGLWLFVYLQTICGGYSITKGPGWLNILMQPVSSTAFALDLSSLNSLFFYMPTHLRAELRSLLIITSNGLLTLLYSFLIAIFFIMELQPHDSIGMLVFGQLSSDNVGDM
+>sp|Q9H210|OR2D2_HUMAN Olfactory receptor 2D2 OS=Homo sapiens OX=9606 GN=OR2D2 PE=2 SV=4
+MRQINQTQVTEFLLLGLSDGPHTEQLLFIVLLGVYLVTVLGNLLLISLVHVDSQLHTPMYFFLCNLSLADLCFSTNIVPQALVHLLSRKKVIAFTLCAARLLFFLIFGCTQCALLAVMSYDRYVAICNPLRYPNIMTWKVCVQLATGSWTSGILVSVVDTTFILRLPYRGSNSIAHFFCEAPALLILASTDTHASEMAIFLMGVVILLIPVFLILVSYGRIIVTVVKMKSTVGSLKAFSTCGSHLMVVILFYGSAIITYMTPKSSKQQEKSVSVFYAIVTPMLNPLIYSLRNKDVKAALRKVATRNFP
+>DECOY_sp|Q9H210|OR2D2_HUMAN Olfactory receptor 2D2 OS=Homo sapiens OX=9606 GN=OR2D2 PE=2 SV=4
+PFNRTAVKRLAAKVDKNRLSYILPNLMPTVIAYFVSVSKEQQKSSKPTMYTIIASGYFLIVVMLHSGCTSFAKLSGVTSKMKVVTVIIRGYSVLILFVPILLIVVGMLFIAMESAHTDTSALILLAPAECFFHAISNSGRYPLRLIFTTDVVSVLIGSTWSGTALQVCVKWTMINPYRLPNCIAVYRDYSMVALLACQTCGFILFFLLRAACLTFAIVKKRSLLHVLAQPVINTSFCLDALSLNCLFFYMPTHLQSDVHVLSILLLNGLVTVLYVGLLVIFLLQETHPGDSLGLLLFETVQTQNIQRM
+>sp|Q9GZK6|OR2J1_HUMAN Olfactory receptor 2J1 OS=Homo sapiens OX=9606 GN=OR2J1 PE=3 SV=2
+MLMKKNASFEDFFLLLGFSNWPHLEVVLFVVILIFYLITLIGNLFIIILSYLDSHLHTPMYFFLSNLSFLDLCYTTSSIPQLLVNLWGPEKTISYAGCTVQLYFVLALGTAECVLLVVMSYDRYAAVCRPLHYTVLMHPRFCRLLAAASWVSGFTTSALHSSFTFWIPLCRHRLVDHFFCEVPALLRLSCVDTQANELTLMVMSSIFVLIPLILILTSYGAIARAVLSMQSTTGLQKVLRTCGAHLMVVSLFFIPVMCMYLQPPSENSQDQGKFIALFYTVVTPSLNPLIYTFRNKDVRGAVKRLMGWEWGM
+>DECOY_sp|Q9GZK6|OR2J1_HUMAN Olfactory receptor 2J1 OS=Homo sapiens OX=9606 GN=OR2J1 PE=3 SV=2
+MGWEWGMLRKVAGRVDKNRFTYILPNLSPTVVTYFLAIFKGQDQSNESPPQLYMCMVPIFFLSVVMLHAGCTRLVKQLGTTSQMSLVARAIAGYSTLILILPILVFISSMVMLTLENAQTDVCSLRLLAPVECFFHDVLRHRCLPIWFTFSSHLASTTFGSVWSAAALLRCFRPHMLVTYHLPRCVAAYRDYSMVVLLVCEATGLALVFYLQVTCGAYSITKEPGWLNVLLQPISSTTYCLDLFSLNSLFFYMPTHLHSDLYSLIIIFLNGILTILYFILIVVFLVVELHPWNSFGLLLFFDEFSANKKMLM
+>sp|O76001|OR2J3_HUMAN Olfactory receptor 2J3 OS=Homo sapiens OX=9606 GN=OR2J3 PE=1 SV=1
+MNDDGKVNASSEGYFILVGFSNWPHLEVVIFVVVLIFYLMTLIGNLFIIILSYLDSHLHTPMYFFLSNLSFLDLCYTTSSIPQLLVNLWGPEKTISYAGCMIQLYFVLALGTTECVLLVVMSYDRYAAVCRPLHYTVLMHPRFCHLLAVASWVSGFTNSALHSSFTFWVPLCGHRQVDHFFCEVPALLRLSCVDTHVNELTLMITSSIFVLIPLILILTSYGAIVRAVLRMQSTTGLQKVFGTCGAHLMAVSLFFIPAMCIYLQPPSGNSQDQGKFIALFYTVVTPSLNPLIYTLRNKVVRGAVKRLMGWE
+>DECOY_sp|O76001|OR2J3_HUMAN Olfactory receptor 2J3 OS=Homo sapiens OX=9606 GN=OR2J3 PE=1 SV=1
+EWGMLRKVAGRVVKNRLTYILPNLSPTVVTYFLAIFKGQDQSNGSPPQLYICMAPIFFLSVAMLHAGCTGFVKQLGTTSQMRLVARVIAGYSTLILILPILVFISSTIMLTLENVHTDVCSLRLLAPVECFFHDVQRHGCLPVWFTFSSHLASNTFGSVWSAVALLHCFRPHMLVTYHLPRCVAAYRDYSMVVLLVCETTGLALVFYLQIMCGAYSITKEPGWLNVLLQPISSTTYCLDLFSLNSLFFYMPTHLHSDLYSLIIIFLNGILTMLYFILVVVFIVVELHPWNSFGVLIFYGESSANVKGDDNM
+>sp|Q8N349|OR2LD_HUMAN Olfactory receptor 2L13 OS=Homo sapiens OX=9606 GN=OR2L13 PE=2 SV=1
+MEKWNHTSNDFILLGLLPPNQTGIFLLCLIILIFFLASVGNSAMIHLIHVDPRLHTPMYFLLSQLSLMDLMYISTTVPKMAYNFLSGQKGISFLGCGVQSFFFLTMACSEGLLLTSMAYDRYLAICHSLYYPIRMSKMMCVKMIGGSWTLGSINSLAHTVFALHIPYCRSRAIDHFFCDVPAMLLLACTDTWVYEYMVFVSTSLFLLFPFIGITSSCGRVLFAVYHMHSKEGRKKAFTTISTHLTVVIFYYAPFVYTYLRPRNLRSPAEDKILAVFYTILTPMLNPIIYSLRNKEVLGAMRRVFGIFSFLKE
+>DECOY_sp|Q8N349|OR2LD_HUMAN Olfactory receptor 2L13 OS=Homo sapiens OX=9606 GN=OR2L13 PE=2 SV=1
+EKLFSFIGFVRRMAGLVEKNRLSYIIPNLMPTLITYFVALIKDEAPSRLNRPRLYTYVFPAYYFIVVTLHTSITTFAKKRGEKSHMHYVAFLVRGCSSTIGIFPFLLFLSTSVFVMYEYVWTDTCALLLMAPVDCFFHDIARSRCYPIHLAFVTHALSNISGLTWSGGIMKVCMMKSMRIPYYLSHCIALYRDYAMSTLLLGESCAMTLFFFSQVGCGLFSIGKQGSLFNYAMKPVTTSIYMLDMLSLQSLLFYMPTHLRPDVHILHIMASNGVSALFFILIILCLLFIGTQNPPLLGLLIFDNSTHNWKEM
+>sp|Q96R27|OR2M4_HUMAN Olfactory receptor 2M4 OS=Homo sapiens OX=9606 GN=OR2M4 PE=2 SV=2
+MVWENQTFNSIFILLGIFNHSPTHTFLFSLVLGIFSLALMENISMVLLIYIEKQLHTPMYFLLSQLSLMDLMLICTTLPKMIFSYLSGKKSISLAGCGTQIFFYVSLLGAECFLLAVMAYDRYVAICHPLQYTILMNPKLCVFMTVASWTLGSLDGIIVLAAVLSFSYCSSLEIHHFFCDVAALLPLSCTETSAFERLLVICCVVMLIFPVSVIILSYSHVLRAVIHMGSGESRRKAFTTCSSHLSVVGLYYGAAMFMYMRPASKHTPDQDKMVSAFYTILTPMLNPLIYSLRNKEVFRALQKVLKKRKLI
+>DECOY_sp|Q96R27|OR2M4_HUMAN Olfactory receptor 2M4 OS=Homo sapiens OX=9606 GN=OR2M4 PE=2 SV=2
+ILKRKKLVKQLARFVEKNRLSYILPNLMPTLITYFASVMKDQDPTHKSAPRMYMFMAAGYYLGVVSLHSSCTTFAKRRSEGSGMHIVARLVHSYSLIIVSVPFILMVVCCIVLLREFASTETCSLPLLAAVDCFFHHIELSSCYSFSLVAALVIIGDLSGLTWSAVTMFVCLKPNMLITYQLPHCIAVYRDYAMVALLFCEAGLLSVYFFIQTGCGALSISKKGSLYSFIMKPLTTCILMLDMLSLQSLLFYMPTHLQKEIYILLVMSINEMLALSFIGLVLSFLFTHTPSHNFIGLLIFISNFTQNEWVM
+>sp|O43869|OR2T1_HUMAN Olfactory receptor 2T1 OS=Homo sapiens OX=9606 GN=OR2T1 PE=3 SV=3
+MWQEYYFLNVFFPLLKVCCLTINSHVVILLPWECYHLIWKILPYIGTTVGSMEEYNTSSTDFTFMGLFNRKETSGLIFAIISIIFFTALMANGVMIFLIQTDLRLHTPMYFLLSHLSLIDMMYISTIVPKMLVNYLLDQRTISFVGCTAQHFLYLTLVGAEFFLLGLMAYDRYVAICNPLRYPVLMSRRVCWMIIAGSWFGGSLDGFLLTPITMSFPFCNSREINHFFCEAPAVLKLACADTALYETVMYVCCVLMLLIPFSVVLASYARILTTVQCMSSVEGRKKAFATCSSHMTVVSLFYGAAMYTYMLPHSYHKPAQDKVLSVFYTILTPMLNPLIYSLRNKDVTGALKRALGRFKGPQRVSGGVF
+>DECOY_sp|O43869|OR2T1_HUMAN Olfactory receptor 2T1 OS=Homo sapiens OX=9606 GN=OR2T1 PE=3 SV=3
+FVGGSVRQPGKFRGLARKLAGTVDKNRLSYILPNLMPTLITYFVSLVKDQAPKHYSHPLMYTYMAAGYFLSVVTMHSSCTAFAKKRGEVSSMCQVTTLIRAYSALVVSFPILLMLVCCVYMVTEYLATDACALKLVAPAECFFHNIERSNCFPFSMTIPTLLFGDLSGGFWSGAIIMWCVRRSMLVPYRLPNCIAVYRDYAMLGLLFFEAGVLTLYLFHQATCGVFSITRQDLLYNVLMKPVITSIYMMDILSLHSLLFYMPTHLRLDTQILFIMVGNAMLATFFIISIIAFILGSTEKRNFLGMFTFDTSSTNYEEMSGVTTGIYPLIKWILHYCEWPLLIVVHSNITLCCVKLLPFFVNLFYYEQWM
+>sp|Q6IEZ7|OR2T5_HUMAN Olfactory receptor 2T5 OS=Homo sapiens OX=9606 GN=OR2T5 PE=2 SV=1
+MANITRMANHTGKLDFILMGLFRRSKHPALLSVVIFVVFLKALSGNAVLILLIHCDAHLHSPMYFFISQLSLMDMAYISVTVPKMLLDQVMGVNKVSAPECGMQMFLYLTLAGSEFFLLATMAYDRYVAICHPLRYPVLMNHRVCLFLASGCWFLGSVDGFMLTPITMSFPFCRSWEIHHFFCEVPAVTILSCSDTSLYETLMYLCCVLMLLIPVTIISSSYLLILLTVHRMNSAEGRKKAFATCSSHLTVVILFYGAAVYTYMLPSSYHTPEKDMMVSVFYTILTPVLNPLIYSLRNKDVMGALKKMLTVRFVL
+>DECOY_sp|Q6IEZ7|OR2T5_HUMAN Olfactory receptor 2T5 OS=Homo sapiens OX=9606 GN=OR2T5 PE=2 SV=1
+LVFRVTLMKKLAGMVDKNRLSYILPNLVPTLITYFVSVMMDKEPTHYSSPLMYTYVAAGYFLIVVTLHSSCTAFAKKRGEASNMRHVTLLILLYSSSIITVPILLMLVCCLYMLTEYLSTDSCSLITVAPVECFFHHIEWSRCFPFSMTIPTLMFGDVSGLFWCGSALFLCVRHNMLVPYRLPHCIAVYRDYAMTALLFFESGALTLYLFMQMGCEPASVKNVGMVQDLLMKPVTVSIYAMDMLSLQSIFFYMPSHLHADCHILLILVANGSLAKLFVVFIVVSLLAPHKSRRFLGMLIFDLKGTHNAMRTINAM
+>sp|Q8NHB1|OR2V1_HUMAN Olfactory receptor 2V1 OS=Homo sapiens OX=9606 GN=OR2V1 PE=3 SV=2
+MGRWVNQSYTDGFFLLGIFSHSQTDLVLFSAVMVVFTVALCGNVLLIFLIYLDAGLHTPMYFFLSQLSLMDLMLVCNIVPKMAANFLSGRKSISFVGCGIQIGFFVSLVGSEGLLLGLMAYDRYVAVSHPLHYPILMNQRVCLQITGSSWAFGIIDGVIQMVAAMGLPYCGSRSVDHFFCEVQALLKLACADTSLFDTLLFACCVFMLLLPFSIIMASYACILGAVLRIRSAQAWKKALATCSSHLTAVTLFYGAAMFMYLRPRRYRAPSHDKVASIFYTVLTPMLNPLIYSLRNGEVMGALRKGLDRCRIGSQH
+>DECOY_sp|Q8NHB1|OR2V1_HUMAN Olfactory receptor 2V1 OS=Homo sapiens OX=9606 GN=OR2V1 PE=3 SV=2
+HQSGIRCRDLGKRLAGMVEGNRLSYILPNLMPTLVTYFISAVKDHSPARYRRPRLYMFMAAGYFLTVATLHSSCTALAKKWAQASRIRLVAGLICAYSAMIISFPLLLMFVCCAFLLTDFLSTDACALKLLAQVECFFHDVSRSGCYPLGMAAVMQIVGDIIGFAWSSGTIQLCVRQNMLIPYHLPHSVAVYRDYAMLGLLLGESGVLSVFFGIQIGCGVFSISKRGSLFNAAMKPVINCVLMLDMLSLQSLFFYMPTHLGADLYILFILLVNGCLAVTFVVMVASFLVLDTQSHSFIGLLFFGDTYSQNVWRGM
+>sp|Q7Z3T1|OR2W3_HUMAN Olfactory receptor 2W3 OS=Homo sapiens OX=9606 GN=OR2W3 PE=2 SV=2
+MDGTNGSTQTHFILLGFSDRPHLERILFVVILIAYLLTLVGNTTIILVSRLDPHLHTPMYFFLAHLSFLDLSFTTSSIPQLLYNLNGCDKTISYMGCAIQLFLFLGLGGVECLLLAVMAYDRCVAICKPLHYMVIMNPRLCRGLVSVTWGCGVANSLAMSPVTLRLPRCGHHEVDHFLREMPALIRMACVSTVAIEGTVFVLAVGVVLSPLVFILLSYSYIVRAVLQIRSASGRQKAFGTCGSHLTVVSLFYGNIIYMYMQPGASSSQDQGMFLMLFYNIVTPLLNPLIYTLRNREVKGALGRLLLGKRELGKE
+>DECOY_sp|Q7Z3T1|OR2W3_HUMAN Olfactory receptor 2W3 OS=Homo sapiens OX=9606 GN=OR2W3 PE=2 SV=2
+EKGLERKGLLLRGLAGKVERNRLTYILPNLLPTVINYFLMLFMGQDQSSSAGPQMYMYIINGYFLSVVTLHSGCTGFAKQRGSASRIQLVARVIYSYSLLIFVLPSLVVGVALVFVTGEIAVTSVCAMRILAPMERLFHDVEHHGCRPLRLTVPSMALSNAVGCGWTVSVLGRCLRPNMIVMYHLPKCIAVCRDYAMVALLLCEVGGLGLFLFLQIACGMYSITKDCGNLNYLLQPISSTTFSLDLFSLHALFFYMPTHLHPDLRSVLIITTNGVLTLLYAILIVVFLIRELHPRDSFGLLIFHTQTSGNTGDM
+>sp|Q8NGV0|OR2Y1_HUMAN Olfactory receptor 2Y1 OS=Homo sapiens OX=9606 GN=OR2Y1 PE=2 SV=1
+MGSFNTSFEDGFILVGFSDWPQLEPILFVFIFIFYSLTLFGNTIIIALSWLDLRLHTPMYFFLSHLSLLDLCFTTSTVPQLLINLCGVDRTITRGGCVAQLFIYLALGSTECVLLVVMAFDRYAAVCRPLHYMAIMHPHLCQTLAIASWGAGFVNSLIQTGLAMAMPLCGHRLNHFFCEMPVFLKLACADTEGTEAKMFVARVIVVAVPAALILGSYVHIAHAVLRVKSTAGRRKAFGTCGSHLLVVFLFYGSAIYTYLQSIHNYSEREGKFVALFYTIITPILNPLIYTLRNKDVKGALWKVLWRGRDSG
+>DECOY_sp|Q8NGV0|OR2Y1_HUMAN Olfactory receptor 2Y1 OS=Homo sapiens OX=9606 GN=OR2Y1 PE=2 SV=1
+GSDRGRWLVKWLAGKVDKNRLTYILPNLIPTIITYFLAVFKGERESYNHISQLYTYIASGYFLFVVLLHSGCTGFAKRRGATSKVRLVAHAIHVYSGLILAAPVAVVIVRAVFMKAETGETDACALKLFVPMECFFHNLRHGCLPMAMALGTQILSNVFGAGWSAIALTQCLHPHMIAMYHLPRCVAAYRDFAMVVLLVCETSGLALYIFLQAVCGGRTITRDVGCLNILLQPVTSTTFCLDLLSLHSLFFYMPTHLRLDLWSLAIIITNGFLTLSYFIFIFVFLIPELQPWDSFGVLIFGDEFSTNFSGM
+>sp|P47881|OR3A1_HUMAN Olfactory receptor 3A1 OS=Homo sapiens OX=9606 GN=OR3A1 PE=2 SV=2
+MQPESGANGTVIAEFILLGLLEAPGLQPVVFVLFLFAYLVTVRGNLSILAAVLVEPKLHTPMYFFLGNLSVLDVGCISVTVPSMLSRLLSRKRAVPCGACLTQLFFFHLFVGVDCFLLTAMAYDRFLAICRPLTYSTRMSQTVQRMLVAASWACAFTNALTHTVAMSTLNFCGPNVINHFYCDLPQLFQLSCSSTQLNELLLFAVGFIMAGTPMALIVISYIHVAAAVLRIRSVEGRKKAFSTCGSHLTVVAIFYGSGIFNYMRLGSTKLSDKDKAVGIFNTVINPMLNPIIYSFRNPDVQSAIWRMLTGRRSLA
+>DECOY_sp|P47881|OR3A1_HUMAN Olfactory receptor 3A1 OS=Homo sapiens OX=9606 GN=OR3A1 PE=2 SV=2
+ALSRRGTLMRWIASQVDPNRFSYIIPNLMPNIVTNFIGVAKDKDSLKTSGLRMYNFIGSGYFIAVVTLHSGCTSFAKKRGEVSRIRLVAAAVHIYSIVILAMPTGAMIFGVAFLLLENLQTSSCSLQFLQPLDCYFHNIVNPGCFNLTSMAVTHTLANTFACAWSAAVLMRQVTQSMRTSYTLPRCIALFRDYAMATLLFCDVGVFLHFFFLQTLCAGCPVARKRSLLRSLMSPVTVSICGVDLVSLNGLFFYMPTHLKPEVLVAALISLNGRVTVLYAFLFLVFVVPQLGPAELLGLLIFEAIVTGNAGSEPQM
+>sp|Q8NH83|OR4A5_HUMAN Olfactory receptor 4A5 OS=Homo sapiens OX=9606 GN=OR4A5 PE=3 SV=4
+MRQNNNITEFVLLGFSQDPGVQKALFVMFLLTYLVTVVGNLLIVVDIIASPSLGSPMYFFLACLSFIDAAYSTTISPKLIVGLFCDKKTISFQGCMGQLFIDHFFGGAEVFLLVVMACDRYVAICKPLHYLTIMNRQVCFLLLVVAMIGGFVHSAFQIVVYSLPFCGPNVIVHFSCDMHPLLELACTDTYFIGLTVVVNSGAICMVIFNLLLISYGVILSSLKTYSQEKRGKALSTCSSGSTVVVLFFVPCIFIYVRPVSNFPTDKFMTVFYTIITHMLSPLIYTLRNSEMRNAIEKLLGKKLTIFIIGGVSVLM
+>DECOY_sp|Q8NH83|OR4A5_HUMAN Olfactory receptor 4A5 OS=Homo sapiens OX=9606 GN=OR4A5 PE=3 SV=4
+MLVSVGGIIFITLKKGLLKEIANRMESNRLTYILPSLMHTIITYFVTMFKDTPFNSVPRVYIFICPVFFLVVVTSGSSCTSLAKGRKEQSYTKLSSLIVGYSILLLNFIVMCIAGSNVVVTLGIFYTDTCALELLPHMDCSFHVIVNPGCFPLSYVVIQFASHVFGGIMAVVLLLFCVQRNMITLYHLPKCIAVYRDCAMVVLLFVEAGGFFHDIFLQGMCGQFSITKKDCFLGVILKPSITTSYAADIFSLCALFFYMPSGLSPSAIIDVVILLNGVVTVLYTLLFMVFLAKQVGPDQSFGLLVFETINNNQRM
+>sp|Q8NH72|OR4C6_HUMAN Olfactory receptor 4C6 OS=Homo sapiens OX=9606 GN=OR4C6 PE=2 SV=1
+MENQNNVTEFILLGLTENLELWKIFSAVFLVMYVATVLENLLIVVTIITSQSLRSPMYFFLTFLSLLDVMFSSVVAPKVIVDTLSKSTTISLKGCLTQLFVEHFFGGVGIILLTVMAYDRYVAICKPLHYTIIMSPRVCCLMVGGAWVGGFMHAMIQLLFMYQIPFCGPNIIDHFICDLFQLLTLACTDTHILGLLVTLNSGMMCVAIFLILIASYTVILCSLKSYSSKGRHKALSTCSSHLTVVVLFFVPCIFLYMRPVVTHPIDKAMAVSDSIITPMLNPLIYTLRNAEVKSAMKKLWMKWEALAGK
+>DECOY_sp|Q8NH72|OR4C6_HUMAN Olfactory receptor 4C6 OS=Homo sapiens OX=9606 GN=OR4C6 PE=2 SV=1
+KGALAEWKMWLKKMASKVEANRLTYILPNLMPTIISDSVAMAKDIPHTVVPRMYLFICPVFFLVVVTLHSSCTSLAKHRGKSSYSKLSCLIVTYSAILILFIAVCMMGSNLTVLLGLIHTDTCALTLLQFLDCIFHDIINPGCFPIQYMFLLQIMAHMFGGVWAGGVMLCCVRPSMIITYHLPKCIAVYRDYAMVTLLIIGVGGFFHEVFLQTLCGKLSITTSKSLTDVIVKPAVVSSFMVDLLSLFTLFFYMPSRLSQSTIITVVILLNELVTAVYMVLFVASFIKWLELNETLGLLIFETVNNQNEM
+>sp|Q8NGM1|OR4CF_HUMAN Olfactory receptor 4C15 OS=Homo sapiens OX=9606 GN=OR4C15 PE=3 SV=1
+MQNQSFVTEFVLLGLSQNPNVQEIVFVVFLFVYIATVGGNMLIVVTILSSPALLVSPMYFFLGFLSFLDACFSSVITPKMIVDSLYVTKTISFEGCMMQLFAEHFFAGVEVIVLTAMAYDRYVAICKPLHYSSIMNRRLCGILMGVAWTGGLLHSMIQILFTFQLPFCGPNVINHFMCDLYPLLELACTDTHIFGLMVVINSGFICIINFSLLLVSYAVILLSLRTHSSEGRWKALSTCGSHIAVVILFFVPCIFVYTRPPSAFSLDKMAAIFYIILNPLLNPLIYTFRNKEVKQAMRRIWNRLMVVSDEKENIKL
+>DECOY_sp|Q8NGM1|OR4CF_HUMAN Olfactory receptor 4C15 OS=Homo sapiens OX=9606 GN=OR4C15 PE=3 SV=1
+LKINEKEDSVVMLRNWIRRMAQKVEKNRFTYILPNLLPNLIIYFIAAMKDLSFASPPRTYVFICPVFFLIVVAIHSGCTSLAKWRGESSHTRLSLLIVAYSVLLLSFNIICIFGSNIVVMLGFIHTDTCALELLPYLDCMFHNIVNPGCFPLQFTFLIQIMSHLLGGTWAVGMLIGCLRRNMISSYHLPKCIAVYRDYAMATLVIVEVGAFFHEAFLQMMCGEFSITKTVYLSDVIMKPTIVSSFCADLFSLFGLFFYMPSVLLAPSSLITVVILMNGGVTAIYVFLFVVFVIEQVNPNQSLGLLVFETVFSQNQM
+>sp|Q8NGL9|OR4CG_HUMAN Olfactory receptor 4C16 OS=Homo sapiens OX=9606 GN=OR4C16 PE=3 SV=2
+MQLNNNVTEFILLGLTQDPFWKKIVFVIFLRLYLGTLLGNLLIIISVKTSQALKNPMFFFLFYLSLSDTCLSTSITPRMIVDALLKKTTISFSECMIQVFSSHVFGCLEIFILILTAVDRYVDICKPLHYMTIISQWVCGVLMAVAWVGSCVHSLVQIFLALSLPFCGPNVINHCFCDLQPLLKQACSETYVVNLLLVSNSGAICAVSYVMLIFSYVIFLHSLRNHSAEVIKKALSTCVSHIIVVILFFGPCIFMYTCLATVFPMDKMIAVFYTVGTSFLNPVIYTLKNTEVKSAMRKLWSKKLITDDKR
+>DECOY_sp|Q8NGL9|OR4CG_HUMAN Olfactory receptor 4C16 OS=Homo sapiens OX=9606 GN=OR4C16 PE=3 SV=2
+RKDDTILKKSWLKRMASKVETNKLTYIVPNLFSTGVTYFVAIMKDMPFVTALCTYMFICPGFFLIVVIIHSVCTSLAKKIVEASHNRLSHLFIVYSFILMVYSVACIAGSNSVLLLNVVYTESCAQKLLPQLDCFCHNIVNPGCFPLSLALFIQVLSHVCSGVWAVAMLVGCVWQSIITMYHLPKCIDVYRDVATLILIFIELCGFVHSSFVQIMCESFSITTKKLLADVIMRPTISTSLCTDSLSLYFLFFFMPNKLAQSTKVSIIILLNGLLTGLYLRLFIVFVIKKWFPDQTLGLLIFETVNNNLQM
+>sp|Q8NGN0|OR4D5_HUMAN Olfactory receptor 4D5 OS=Homo sapiens OX=9606 GN=OR4D5 PE=2 SV=1
+MNPANHSQVAGFVLLGLSQVWELRFVFFTVFSAVYFMTVVGNLLIVVIVTSDPHLHTTMYFLLGNLSFLDFCYSSITAPRMLVDLLSGNPTISFGGCLTQLFFFHFIGGIKIFLLTVMAYDRYIAISQPLHYTLIMNQTVCALLMAASWVGGFIHSIVQIALTIQLPFCGPDKLDNFYCDVPQLIKLACTDTFVLELLMVSNNGLVTLMCFLVLLGSYTALLVMLRSHSREGRSKALSTCASHIAVVTLIFVPCIYVYTRPFRTFPMDKAVSVLYTIVTPMLNPAIYTLRNKEVIMAMKKLWRRKKDPIGPLEHRPLH
+>DECOY_sp|Q8NGN0|OR4D5_HUMAN Olfactory receptor 4D5 OS=Homo sapiens OX=9606 GN=OR4D5 PE=2 SV=1
+HLPRHELPGIPDKKRRWLKKMAMIVEKNRLTYIAPNLMPTVITYLVSVAKDMPFTRFPRTYVYICPVFILTVVAIHSACTSLAKSRGERSHSRLMVLLATYSGLLVLFCMLTVLGNNSVMLLELVFTDTCALKILQPVDCYFNDLKDPGCFPLQITLAIQVISHIFGGVWSAAMLLACVTQNMILTYHLPQSIAIYRDYAMVTLLFIKIGGIFHFFFLQTLCGGFSITPNGSLLDVLMRPATISSYCFDLFSLNGLLFYMTTHLHPDSTVIVVILLNGVVTMFYVASFVTFFVFRLEWVQSLGLLVFGAVQSHNAPNM
+>sp|Q8NGE8|OR4D9_HUMAN Olfactory receptor 4D9 OS=Homo sapiens OX=9606 GN=OR4D9 PE=3 SV=3
+MDQRNYTRVKEFTFLGITQSRELSQVLFTFLFLVYMTTLMGNFLIMVTVTCESHLHTPMYFLLRNLSILDICFSSITAPKVLIDLLSETKTISFSGCVTQMFFFHLLGGADVFSLSVMAFDRYIAISKPLHYMTIMSRGRCTGLIVASWVGGFVHSIAQISLLLPLPFCGPNVLDTFYCDVPQVLKLACTDTFTLELLMISNNGLVSWFVFFFLLISYTVILMMLRSHTGEGRRKAISTCTSHITVVTLHFVPCIYVYARPFTALPTDTAISVTFTVISPLLNPIIYTLRNQEMKLAMRKLKRRLGQSERILIQ
+>DECOY_sp|Q8NGE8|OR4D9_HUMAN Olfactory receptor 4D9 OS=Homo sapiens OX=9606 GN=OR4D9 PE=3 SV=3
+QILIRESQGLRRKLKRMALKMEQNRLTYIIPNLLPSIVTFTVSIATDTPLATFPRAYVYICPVFHLTVVTIHSTCTSIAKRRGEGTHSRLMMLIVTYSILLFFFVFWSVLGNNSIMLLELTFTDTCALKLVQPVDCYFTDLVNPGCFPLPLLLSIQAISHVFGGVWSAVILGTCRGRSMITMYHLPKSIAIYRDFAMVSLSFVDAGGLLHFFFMQTVCGSFSITKTESLLDILVKPATISSFCIDLISLNRLLFYMPTHLHSECTVTVMILFNGMLTTMYVLFLFTFLVQSLERSQTIGLFTFEKVRTYNRQDM
+>sp|Q6IEY1|OR4F3_HUMAN Olfactory receptor 4F3/4F16/4F29 OS=Homo sapiens OX=9606 GN=OR4F3 PE=2 SV=1
+MDGENHSVVSEFLFLGLTHSWEIQLLLLVFSSVLYVASITGNILIVFSVTTDPHLHSPMYFLLASLSFIDLGACSVTSPKMIYDLFRKRKVISFGGCIAQIFFIHVVGGVEMVLLIAMAFDRYVALCKPLHYLTIMSPRMCLSFLAVAWTLGVSHSLFQLAFLVNLAFCGPNVLDSFYCDLPRLLRLACTDTYRLQFMVTVNSGFICVGTFFILLISYVFILFTVWKHSSGGSSKALSTLSAHSTVVLLFFGPPMFVYTRPHPNSQMDKFLAIFDAVLTPFLNPVVYTFRNKEMKAAIKRVCKQLVIYKRIS
+>DECOY_sp|Q6IEY1|OR4F3_HUMAN Olfactory receptor 4F3/4F16/4F29 OS=Homo sapiens OX=9606 GN=OR4F3 PE=2 SV=1
+SIRKYIVLQKCVRKIAAKMEKNRFTYVVPNLFPTLVADFIALFKDMQSNPHPRTYVFMPPGFFLLVVTSHASLTSLAKSSGGSSHKWVTFLIFVYSILLIFFTGVCIFGSNVTVMFQLRYTDTCALRLLRPLDCYFSDLVNPGCFALNVLFALQFLSHSVGLTWAVALFSLCMRPSMITLYHLPKCLAVYRDFAMAILLVMEVGGVVHIFFIQAICGGFSIVKRKRFLDYIMKPSTVSCAGLDIFSLSALLFYMPSHLHPDTTVSFVILINGTISAVYLVSSFVLLLLQIEWSHTLGLFLFESVVSHNEGDM
+>sp|Q8NGD4|OR4K1_HUMAN Olfactory receptor 4K1 OS=Homo sapiens OX=9606 GN=OR4K1 PE=2 SV=1
+MAHTNESMVSEFVLLGLSNSWGLQLFFFAIFSIVYVTSVLGNVLIIVIISFDSHLNSPMYFLLSNLSFIDICQSNFATPKMLVDFFIERKTISFEGCMAQIFVLHSFVGSEMMLLVAMAYDRFIAICKPLHYSTIMNRRLCVIFVSISWAVGVLHSVSHLAFTVDLPFCGPNEVDSFFCDLPLVIELACMDTYEMEIMTLTNSGLISLSCFLALIISYTIILIGVRCRSSSGSSKALSTLTAHITVVILFFGPCIYFYIWPFSRLPVDKFLSVFYTVCTPLLNPIIYSLRNEDVKAAMWKLRNRHVNSWKN
+>DECOY_sp|Q8NGD4|OR4K1_HUMAN Olfactory receptor 4K1 OS=Homo sapiens OX=9606 GN=OR4K1 PE=2 SV=1
+NKWSNVHRNRLKWMAAKVDENRLSYIIPNLLPTCVTYFVSLFKDVPLRSFPWIYFYICPGFFLIVVTIHATLTSLAKSSGSSSRCRVGILIITYSIILALFCSLSILGSNTLTMIEMEYTDMCALEIVLPLDCFFSDVENPGCFPLDVTFALHSVSHLVGVAWSISVFIVCLRRNMITSYHLPKCIAIFRDYAMAVLLMMESGVFSHLVFIQAMCGEFSITKREIFFDVLMKPTAFNSQCIDIFSLNSLLFYMPSNLHSDFSIIVIILVNGLVSTVYVISFIAFFFLQLGWSNSLGLLVFESVMSENTHAM
+>sp|Q8NH48|OR5B3_HUMAN Olfactory receptor 5B3 OS=Homo sapiens OX=9606 GN=OR5B3 PE=3 SV=1
+MENKTEVTQFILLGLTNDSELQVPLFITFPFIYIITLVGNLGIIVLIFWDSCLHNPMYFFLSNLSLVDFCYSSAVTPIVMAGFLIEDKVISYNACAAQMYIFVAFATVENYLLASMAYDRYAAVCKPLHYTTTMTTTVCARLAIGSYLCGFLNASIHTGDTFSLSFCKSNEVHHFFCDIPAVMVLSCSDRHISELVLIYVVSFNIFIALLVILISYTFIFITILKMHSASVYQKPLSTCASHFIAVGIFYGTIIFMYLQPSSSHSMDTDKMAPVFYTMVIPMLNPLVYSLRNKEVKSAFKKVVEKAKLSVGWSV
+>DECOY_sp|Q8NH48|OR5B3_HUMAN Olfactory receptor 5B3 OS=Homo sapiens OX=9606 GN=OR5B3 PE=3 SV=1
+VSWGVSLKAKEVVKKFASKVEKNRLSYVLPNLMPIVMTYFVPAMKDTDMSHSSSPQLYMFIITGYFIGVAIFHSACTSLPKQYVSASHMKLITIFIFTYSILIVLLAIFINFSVVYILVLESIHRDSCSLVMVAPIDCFFHHVENSKCFSLSFTDGTHISANLFGCLYSGIALRACVTTTMTTTYHLPKCVAAYRDYAMSALLYNEVTAFAVFIYMQAACANYSIVKDEILFGAMVIPTVASSYCFDVLSLNSLFFYMPNHLCSDWFILVIIGLNGVLTIIYIFPFTIFLPVQLESDNTLGLLIFQTVETKNEM
+>sp|Q8NGF7|OR5BH_HUMAN Olfactory receptor 5B17 OS=Homo sapiens OX=9606 GN=OR5B17 PE=3 SV=1
+MENNTEVSEFILLGLTNAPELQVPLFIMFTLIYLITLTGNLGMIILILLDSHLHTPMYFFLSNLSLAGIGYSSAVTPKVLTGLLIEDKAISYSACAAQMFFCAVFATVENYLLSSMAYDRYAAVCNPLHYTTTMTTRVCACLAIGCYVIGFLNASIQIGDTFRLSFCMSNVIHHFFCDKPAVITLTCSEKHISELILVLISSFNVFFALLVTLISYLFILITILKRHTGKGYQKPLSTCGSHLIAIFLFYITVIIMYIRPSSSHSMDTDKIASVFYTMIIPMLSPIVYTLRNKDVKNAFMKVVEKAKYSLDSVF
+>DECOY_sp|Q8NGF7|OR5BH_HUMAN Olfactory receptor 5B17 OS=Homo sapiens OX=9606 GN=OR5B17 PE=3 SV=1
+FVSDLSYKAKEVVKMFANKVDKNRLTYVIPSLMPIIMTYFVSAIKDTDMSHSSSPRIYMIIVTIYFLFIAILHSGCTSLPKQYGKGTHRKLITILIFLYSILTVLLAFFVNFSSILVLILESIHKESCTLTIVAPKDCFFHHIVNSMCFSLRFTDGIQISANLFGIVYCGIALCACVRTTMTTTYHLPNCVAAYRDYAMSSLLYNEVTAFVACFFMQAACASYSIAKDEILLGTLVKPTVASSYGIGALSLNSLFFYMPTHLHSDLLILIIMGLNGTLTILYILTFMIFLPVQLEPANTLGLLIFESVETNNEM
+>sp|Q8NGL3|OR5DE_HUMAN Olfactory receptor 5D14 OS=Homo sapiens OX=9606 GN=OR5D14 PE=3 SV=1
+MMMVLRNLSMEPTFALLGFTDYPKLQIPLFLVFLLMYVITVVGNLGMIIIIKINPKFHTPMYFFLSHLSFVDFCYSSIVTPKLLENLVMADKSIFYFSCMMQYFLSCTAVVTESFLLAVMAYDRFVAICNPLLYTVAMSQRLCALLVAGSYLWGMFGPLVLLCYALRLNFSGPNVINHFFCEYTALISVSGSDILIPHLLLFSFATFNEMCTLLIILTSYVFIFVTVLKIRSVSGRHKAFSTWASHLTSITIFHGTILFLYCVPNSKNSRQTVKVASVFYTVVNPMLNPLIYSLRNKDVKDAFWKLIHTQVPFH
+>DECOY_sp|Q8NGL3|OR5DE_HUMAN Olfactory receptor 5D14 OS=Homo sapiens OX=9606 GN=OR5D14 PE=3 SV=1
+HFPVQTHILKWFADKVDKNRLSYILPNLMPNVVTYFVSAVKVTQRSNKSNPVCYLFLITGHFITISTLHSAWTSFAKHRGSVSRIKLVTVFIFVYSTLIILLTCMENFTAFSFLLLHPILIDSGSVSILATYECFFHNIVNPGSFNLRLAYCLLVLPGFMGWLYSGAVLLACLRQSMAVTYLLPNCIAVFRDYAMVALLFSETVVATCSLFYQMMCSFYFISKDAMVLNELLKPTVISSYCFDVFSLHSLFFYMPTHFKPNIKIIIIMGLNGVVTIVYMLLFVLFLPIQLKPYDTFGLLAFTPEMSLNRLVMMM
+>sp|O95221|OR5F1_HUMAN Olfactory receptor 5F1 OS=Homo sapiens OX=9606 GN=OR5F1 PE=2 SV=2
+MTRKNYTSLTEFVLLGLADTLELQIILFLFFLVIYTLTVLGNLGMILLIRIDSQLHTPMYFFLANLSFVDVCNSTTITPKMLADLLSEKKTISFAGCFLQMYFFISLATTECILFGLMAYDRYAAICRPLLYSLIMSRTVYLKMAAGAFAAGLLNFMVNTSHVSSLSFCDSNVIHHFFCDSPPLFKLSCSDTILKESISSILAGVNIVGTLLVILSSYSYVLFSIFSMHSGEGRHRAFSTCASHLTAIILFYATCIYTYLRPSSSYSLNQDKVASVFYTVVIPMLNPLIYSLRSKEVKKALANVISRKRTSSFL
+>DECOY_sp|O95221|OR5F1_HUMAN Olfactory receptor 5F1 OS=Homo sapiens OX=9606 GN=OR5F1 PE=2 SV=2
+LFSSTRKRSIVNALAKKVEKSRLSYILPNLMPIVVTYFVSAVKDQNLSYSSSPRLYTYICTAYFLIIATLHSACTSFARHRGEGSHMSFISFLVYSYSSLIVLLTGVINVGALISSISEKLITDSCSLKFLPPSDCFFHHIVNSDCFSLSSVHSTNVMFNLLGAAFAGAAMKLYVTRSMILSYLLPRCIAAYRDYAMLGFLICETTALSIFFYMQLFCGAFSITKKESLLDALMKPTITTSNCVDVFSLNALFFYMPTHLQSDIRILLIMGLNGLVTLTYIVLFFLFLIIQLELTDALGLLVFETLSTYNKRTM
+>sp|A6NKK0|OR5H1_HUMAN Olfactory receptor 5H1 OS=Homo sapiens OX=9606 GN=OR5H1 PE=2 SV=1
+MEEENATLLTEFVLTGFLYQPQWKIPLFLAFLVIYLITIMGNLGLIAVIWKDPHLHIPMYLLLGNLAFVDAWISSTVTPKMLNNFLAKSKMISLSECKIQFFSFAISVTTECFLLATMAYDRYVAICKPLLYPAIMTNGLCIRLLILSYVGGILHALIHEGFLFRLTFCNSNIVHHIYCDTIPLSKISCTDSSINFLMVFIFSGSIQVFSIVTILVSYTFVLFAILKKKSDKGVRKAFSTCGAHLFSVSLYYGPLLFIYVGPASPQADDQDMVEPLFYTVIIPLLNPIIYSLRNKQVTVSFTKMLKKHVKVSY
+>DECOY_sp|A6NKK0|OR5H1_HUMAN Olfactory receptor 5H1 OS=Homo sapiens OX=9606 GN=OR5H1 PE=2 SV=1
+YSVKVHKKLMKTFSVTVQKNRLSYIIPNLLPIIVTYFLPEVMDQDDAQPSAPGVYIFLLPGYYLSVSFLHAGCTSFAKRVGKDSKKKLIAFLVFTYSVLITVISFVQISGSFIFVMLFNISSDTCSIKSLPITDCYIHHVINSNCFTLRFLFGEHILAHLIGGVYSLILLRICLGNTMIAPYLLPKCIAVYRDYAMTALLFCETTVSIAFSFFQIKCESLSIMKSKALFNNLMKPTVTSSIWADVFALNGLLLYMPIHLHPDKWIVAILGLNGMITILYIVLFALFLPIKWQPQYLFGTLVFETLLTANEEEM
+>sp|Q13606|OR5I1_HUMAN Olfactory receptor 5I1 OS=Homo sapiens OX=9606 GN=OR5I1 PE=2 SV=1
+MEFTDRNYTLVTEFILLGFPTRPELQIVLFLMFLTLYAIILIGNIGLMLLIRIDPHLQTPMYFFLSNLSFVDLCYFSDIVPKMLVNFLSENKSISYYGCALQFYFFCTFADTESFILAAMAYDRYVAICNPLLYTVVMSRGICMRLIVLSYLGGNMSSLVHTSFAFILKYCDKNVINHFFCDLPPLLKLSCTDTTINEWLLSTYGSSVEIICFIIIIISYFFILLSVLKIRSFSGRKKTFSTCASHLTSVTIYQGTLLFIYSRPSYLYSPNTDKIISVFYTIFIPVLNPLIYSLRNKDVKDAAEKVLRSKVDSS
+>DECOY_sp|Q13606|OR5I1_HUMAN Olfactory receptor 5I1 OS=Homo sapiens OX=9606 GN=OR5I1 PE=2 SV=1
+SSDVKSRLVKEAADKVDKNRLSYILPNLVPIFITYFVSIIKDTNPSYLYSPRSYIFLLTGQYITVSTLHSACTSFTKKRGSFSRIKLVSLLIFFYSIIIIIFCIIEVSSGYTSLLWENITTDTCSLKLLPPLDCFFHNIVNKDCYKLIFAFSTHVLSSMNGGLYSLVILRMCIGRSMVVTYLLPNCIAVYRDYAMAALIFSETDAFTCFFYFQLACGYYSISKNESLFNVLMKPVIDSFYCLDVFSLNSLFFYMPTQLHPDIRILLMLGINGILIIAYLTLFMLFLVIQLEPRTPFGLLIFETVLTYNRDTFEM
+>sp|A6NET4|OR5K3_HUMAN Olfactory receptor 5K3 OS=Homo sapiens OX=9606 GN=OR5K3 PE=3 SV=1
+MNKENHSLIAEFILTGFTYHPKLKTVLFVVFFAIYLITMVGNIGLVALIYIEQRLHTPMYIFLGNLVLMDSCCSSAITPKMLENFFSEDKRITLYECMAQFYFLCLAETTDCFLLAAMAYDCYVAICNPLQYHTMMSKTLCIQMTAGAYLAGNLHPMIEVEFLLRLTFCGSHQINHFFCDVLPLYRLSCINPYINELVLFILAGSIQIFTIVLVSYFYILFTIFTMKSKEGRGKALSTCASHFLSVSIFCDSLLFMYARPGAVNEGDKDIPVAIFYTLVIPLLNPFIYSLRNKEVINIMKKIMKKRKFCHILKQMSSPLAT
+>DECOY_sp|A6NET4|OR5K3_HUMAN Olfactory receptor 5K3 OS=Homo sapiens OX=9606 GN=OR5K3 PE=3 SV=1
+TALPSSMQKLIHCFKRKKMIKKMINIVEKNRLSYIFPNLLPIVLTYFIAVPIDKDGENVAGPRAYMFLLSDCFISVSLFHSACTSLAKGRGEKSKMTFITFLIYFYSVLVITFIQISGALIFLVLENIYPNICSLRYLPLVDCFFHNIQHSGCFTLRLLFEVEIMPHLNGALYAGATMQICLTKSMMTHYQLPNCIAVYCDYAMAALLFCDTTEALCLFYFQAMCEYLTIRKDESFFNELMKPTIASSCCSDMLVLNGLFIYMPTHLRQEIYILAVLGINGVMTILYIAFFVVFLVTKLKPHYTFGTLIFEAILSHNEKNM
+>sp|Q8NGL0|OR5L2_HUMAN Olfactory receptor 5L2 OS=Homo sapiens OX=9606 GN=OR5L2 PE=2 SV=1
+MGKENCTTVAEFILLGLSDVPELRVCLFLLFLLIYGVTLLANLGMTALIQVSSRLHTPVYFFLSHLSFVDFCYSSIIVPKMLANIFNKDKAISFLGCMVQFYLFCTCGVTEVFLLAVMAYDRFVAICNPLLYMVTMSQKLRVELTSCCYFCGTVCSLIHSSLALRILFYRSNVINHFFCDLPPLLSLACSDVTVNETLLFLVATLNESVTIMIILTSYLLILTTILKIHSAESRHKAFSTCASHLTAITVSHGTILYIYCRPSSGNSGDVDKVATVFYTVVIPMLNPLIYSLRNKDVNKALRKVMGSKIHS
+>DECOY_sp|Q8NGL0|OR5L2_HUMAN Olfactory receptor 5L2 OS=Homo sapiens OX=9606 GN=OR5L2 PE=2 SV=1
+SHIKSGMVKRLAKNVDKNRLSYILPNLMPIVVTYFVTAVKDVDGSNGSSPRCYIYLITGHSVTIATLHSACTSFAKHRSEASHIKLITTLILLYSTLIIMITVSENLTAVLFLLTENVTVDSCALSLLPPLDCFFHNIVNSRYFLIRLALSSHILSCVTGCFYCCSTLEVRLKQSMTVMYLLPNCIAVFRDYAMVALLFVETVGCTCFLYFQVMCGLFSIAKDKNFINALMKPVIISSYCFDVFSLHSLFFYVPTHLRSSVQILATMGLNALLTVGYILLFLLFLCVRLEPVDSLGLLIFEAVTTCNEKGM
+>sp|Q6IEU7|OR5MA_HUMAN Olfactory receptor 5M10 OS=Homo sapiens OX=9606 GN=OR5M10 PE=2 SV=1
+MLSPNHTIVTEFILLGLTDDPVLEKILFGVFLAIYLITLAGNLCMILLIRTNSQLQTPMYFFLGHLSFVDICYSSNVTPNMLHNFLSEQKTISYAGCFTQCLLFIALVITEFYFLASMALDRYVAICSPLHYSSRMSKNICISLVTVPYMYGFLNGLSQTLLTFHLSFCGSLEINHFYCADPPLIMLACSDTRVKKMAMFVVAGFTLSSSLFIILLSYLFIFAAIFRIRSAEGRHKAFSTCASHLTIVTLFYGTLFCMYVRPPSEKSVEESKIIAVFYTFLSPMLNPLIYSLRNRDVILAIQQMIRGKSFCKIAV
+>DECOY_sp|Q6IEU7|OR5MA_HUMAN Olfactory receptor 5M10 OS=Homo sapiens OX=9606 GN=OR5M10 PE=2 SV=1
+VAIKCFSKGRIMQQIALIVDRNRLSYILPNLMPSLFTYFVAIIKSEEVSKESPPRVYMCFLTGYFLTVITLHSACTSFAKHRGEASRIRFIAAFIFLYSLLIIFLSSSLTFGAVVFMAMKKVRTDSCALMILPPDACYFHNIELSGCFSLHFTLLTQSLGNLFGYMYPVTVLSICINKSMRSSYHLPSCIAVYRDLAMSALFYFETIVLAIFLLCQTFCGAYSITKQESLFNHLMNPTVNSSYCIDVFSLHGLFFYMPTQLQSNTRILLIMCLNGALTILYIALFVGFLIKELVPDDTLGLLIFETVITHNPSLM
+>sp|Q8WZ94|OR5P3_HUMAN Olfactory receptor 5P3 OS=Homo sapiens OX=9606 GN=OR5P3 PE=2 SV=1
+MGTGNDTTVVEFTLLGLSEDTTVCAILFLVFLGIYVVTLMGNISIIVLIRRSHHLHTPMYIFLCHLAFVDIGYSSSVTPVMLMSFLRKETSLPVAGCVAQLCSVVTFGTAECFLLAAMAYDRYVAICSPLLYSTCMSPGVCIILVGMSYLGGCVNAWTFIGCLLRLSFCGPNKVNHFFCDYSPLLKLACSHDFTFEIIPAISSGSIIVATVCVIAISYIYILITILKMHSTKGRHKAFSTCTSHLTAVTLFYGTITFIYVMPKSSYSTDQNKVVSVFYTVVIPMLNPLIYSLRNKEIKGALKRELRIKIFS
+>DECOY_sp|Q8WZ94|OR5P3_HUMAN Olfactory receptor 5P3 OS=Homo sapiens OX=9606 GN=OR5P3 PE=2 SV=1
+SFIKIRLERKLAGKIEKNRLSYILPNLMPIVVTYFVSVVKNQDTSYSSKPMVYIFTITGYFLTVATLHSTCTSFAKHRGKTSHMKLITILIYIYSIAIVCVTAVIISGSSIAPIIEFTFDHSCALKLLPSYDCFFHNVKNPGCFSLRLLCGIFTWANVCGGLYSMGVLIICVGPSMCTSYLLPSCIAVYRDYAMAALLFCEATGFTVVSCLQAVCGAVPLSTEKRLFSMLMVPTVSSSYGIDVFALHCLFIYMPTHLHHSRRILVIISINGMLTVVYIGLFVLFLIACVTTDESLGLLTFEVVTTDNGTGM
+>sp|Q8NGG2|OR5T2_HUMAN Olfactory receptor 5T2 OS=Homo sapiens OX=9606 GN=OR5T2 PE=2 SV=3
+MSYSIYKSTVNIPLSHGVVHSFCHNMNCNFMHIFKFVLDFNMKNVTEVTLFVLKGFTDNLELQTIFFFLFLAIYLFTLMGNLGLILVVIRDSQLHKPMYYFLSMLSSVDACYSSVITPNMLVDFTTKNKVISFLGCVAQVFLACSFGTTECFLLAAMAYDRYVAIYNPLLYSVSMSPRVYMPLINASYVAGILHATIHTVATFSLSFCGANEIRRVFCDIPPLLAISYSDTHTNQLLLFYFVGSIELVTILIVLISYGLILLAILKMYSAEGRRKVFSTCGAHLTGVSIYYGTILFMYVRPSSSYASDHDMIVSIFYTIVIPLLNPVIYSLRNKDVKDSMKKMFGKNQVINKVYFHTKK
+>DECOY_sp|Q8NGG2|OR5T2_HUMAN Olfactory receptor 5T2 OS=Homo sapiens OX=9606 GN=OR5T2 PE=2 SV=3
+KKTHFYVKNIVQNKGFMKKMSDKVDKNRLSYIVPNLLPIVITYFISVIMDHDSAYSSSPRVYMFLITGYYISVGTLHAGCTSFVKRRGEASYMKLIALLILGYSILVILITVLEISGVFYFLLLQNTHTDSYSIALLPPIDCFVRRIENAGCFSLSFTAVTHITAHLIGAVYSANILPMYVRPSMSVSYLLPNYIAVYRDYAMAALLFCETTGFSCALFVQAVCGLFSIVKNKTTFDVLMNPTIVSSYCADVSSLMSLFYYMPKHLQSDRIVVLILGLNGMLTFLYIALFLFFFITQLELNDTFGKLVFLTVETVNKMNFDLVFKFIHMFNCNMNHCFSHVVGHSLPINVTSKYISYSM
+>sp|Q8NH69|OR5W2_HUMAN Olfactory receptor 5W2 OS=Homo sapiens OX=9606 GN=OR5W2 PE=3 SV=1
+MDWENCSSLTDFFLLGITNNPEMKVTLFAVFLAVYIINFSANLGMIVLIRMDYQLHTPMYFFLSHLSFCDLCYSTATGPKMLVDLLAKNKSIPFYGCALQFLVFCIFADSECLLLSVMAFDRYKAIINPLLYTVNMSSRVCYLLLTGVYLVGIADALIHMTLAFRLCFCGSNEINHFFCDIPPLLLLSRSDTQVNELVLFTVFGFIELSTISGVFISYCYIILSVLEIHSAEGRFKALSTCTSHLSAVAIFQGTLLFMYFRPSSSYSLDQDKMTSLFYTLVVPMLNPLIYSLRNKDVKEALKKLKNKILF
+>DECOY_sp|Q8NH69|OR5W2_HUMAN Olfactory receptor 5W2 OS=Homo sapiens OX=9606 GN=OR5W2 PE=3 SV=1
+FLIKNKLKKLAEKVDKNRLSYILPNLMPVVLTYFLSTMKDQDLSYSSSPRFYMFLLTGQFIAVASLHSTCTSLAKFRGEASHIELVSLIIYCYSIFVGSITSLEIFGFVTFLVLENVQTDSRSLLLLPPIDCFFHNIENSGCFCLRFALTMHILADAIGVLYVGTLLLYCVRSSMNVTYLLPNIIAKYRDFAMVSLLLCESDAFICFVLFQLACGYFPISKNKALLDVLMKPGTATSYCLDCFSLHSLFFYMPTHLQYDMRILVIMGLNASFNIIYVALFVAFLTVKMEPNNTIGLLFFDTLSSCNEWDM
+>sp|O95007|OR6B1_HUMAN Olfactory receptor 6B1 OS=Homo sapiens OX=9606 GN=OR6B1 PE=2 SV=1
+MELENQTRVTKFILVGFPGSLSMRAAMFLIFLVAYILTVAENVIIILLVLQNRPLHKPMYFFLANLSFLETWYISVTVPKLLFSFWSVNNSISFTLCMIQLYFFIALMCTECVLLAAMAYDRYVAICRPLHYPTIMSHGLCFRLALGSWAIGFGISLAKIYFISCLSFCGPNVINHFFCDISPVLNLSCTDMSITELVDFILALVIFLFPLFITVLSYGCILATILCMPTGKQKAFSTCASHLVVVTIFYSAIIFMYARPRVIHAFNMNKIISIFYAIVTPSLNPFIYCLRNREVKEALKKLAYCQASRSD
+>DECOY_sp|O95007|OR6B1_HUMAN Olfactory receptor 6B1 OS=Homo sapiens OX=9606 GN=OR6B1 PE=2 SV=1
+DSRSAQCYALKKLAEKVERNRLCYIFPNLSPTVIAYFISIIKNMNFAHIVRPRAYMFIIASYFITVVVLHSACTSFAKQKGTPMCLITALICGYSLVTIFLPFLFIVLALIFDVLETISMDTCSLNLVPSIDCFFHNIVNPGCFSLCSIFYIKALSIGFGIAWSGLALRFCLGHSMITPYHLPRCIAVYRDYAMAALLVCETCMLAIFFYLQIMCLTFSISNNVSWFSFLLKPVTVSIYWTELFSLNALFFYMPKHLPRNQLVLLIIIVNEAVTLIYAVLFILFMAARMSLSGPFGVLIFKTVRTQNELEM
+>sp|Q8NGE1|OR6C4_HUMAN Olfactory receptor 6C4 OS=Homo sapiens OX=9606 GN=OR6C4 PE=2 SV=1
+MKNRTMFGEFILLGLTNQPELQVMIFIFLFLTYMLSILGNLTIITLTLLDPHLQTPMYFFLRNFSFLEISFTSIFIPRFLTSMTTGNKVISFAGCLTQYFFAIFLGATEFYLLASMSYDRYVAICKPLHYLTIMSSRVCIQLVFCSWLGGFLAILPPIILMTQVDFCVSNILNHYYCDYGPLVELACSDTSLLELMVILLAVVTLMVTLVLVTLSYTYIIRTILRIPSAQQRTKAFSTCSSHMIVISLSYGSCMFMYINPSAKEGGAFNKGIAVLITSVTPLLNPFIYTLRNQQVKQAFKDSVKKIVKL
+>DECOY_sp|Q8NGE1|OR6C4_HUMAN Olfactory receptor 6C4 OS=Homo sapiens OX=9606 GN=OR6C4 PE=2 SV=1
+LKVIKKVSDKFAQKVQQNRLTYIFPNLLPTVSTILVAIGKNFAGGEKASPNIYMFMCSGYSLSIVIMHSSCTSFAKTRQQASPIRLITRIIYTYSLTVLVLTVMLTVVALLIVMLELLSTDSCALEVLPGYDCYYHNLINSVCFDVQTMLIIPPLIALFGGLWSCFVLQICVRSSMITLYHLPKCIAVYRDYSMSALLYFETAGLFIAFFYQTLCGAFSIVKNGTTMSTLFRPIFISTFSIELFSFNRLFFYMPTQLHPDLLTLTIITLNGLISLMYTLFLFIFIMVQLEPQNTLGLLIFEGFMTRNKM
+>sp|Q8NGM8|OR6M1_HUMAN Olfactory receptor 6M1 OS=Homo sapiens OX=9606 GN=OR6M1 PE=2 SV=1
+MGNWSTVTEITLIAFPALLEIRISLFVVLVVTYTLTATGNITIISLIWIDHRLQTPMYFFLSNLSFLDILYTTVITPKLLACLLGEEKTISFAGCMIQTYFYFFLGTVEFILLAVMSFDRYMAICDPLHYTVIMNSRACLLLVLGCWVGAFLSVLFPTIVVTRLPYCRKEINHFFCDIAPLLQVACINTHLIEKINFLLSALVILSSLAFTTGSYVYIISTILRIPSTQGRQKAFSTCASHITVVSIAHGSNIFVYVRPNQNSSLDYDKVAAVLITVVTPLLNPFIYSLRNEKVQEVLRETVNRIMTLIQRKT
+>DECOY_sp|Q8NGM8|OR6M1_HUMAN Olfactory receptor 6M1 OS=Homo sapiens OX=9606 GN=OR6M1 PE=2 SV=1
+TKRQILTMIRNVTERLVEQVKENRLSYIFPNLLPTVVTILVAAVKDYDLSSNQNPRVYVFINSGHAISVVTIHSACTSFAKQRGQTSPIRLITSIIYVYSGTTFALSSLIVLASLLFNIKEILHTNICAVQLLPAIDCFFHNIEKRCYPLRTVVITPFLVSLFAGVWCGLVLLLCARSNMIVTYHLPDCIAMYRDFSMVALLIFEVTGLFFYFYTQIMCGAFSITKEEGLLCALLKPTIVTTYLIDLFSLNSLFFYMPTQLRHDIWILSIITINGTATLTYTVVLVVFLSIRIELLAPFAILTIETVTSWNGM
+>sp|Q8NGX9|OR6P1_HUMAN Olfactory receptor 6P1 OS=Homo sapiens OX=9606 GN=OR6P1 PE=3 SV=1
+MRNLSGGHVEEFVLVGFPTTPPLQLLLFVLFFAIYLLTLLENALIVFTIWLAPSLHRPMYFFLGHLSFLELWYINVTIPRLLAAFLTQDGRVSYVGCMTQLYFFIALACTECVLLAVMAYDRYLAICGPLLYPSLMPSSLATRLAAASWGSGFFSSMMKLLFISQLSYCGPNIINHFFCDISPLLNLTCSDKEQAELVDFLLALVMILLPLLAVVSSYTAIIAAILRIPTSRGRHKAFSTCAAHLAVVVIYYSSTLFTYARPRAMYTFNHNKIISVLYTIIVPFFNPAIYCLRNKEVKEAFRKTVMGRCHYPRDVQD
+>DECOY_sp|Q8NGX9|OR6P1_HUMAN Olfactory receptor 6P1 OS=Homo sapiens OX=9606 GN=OR6P1 PE=3 SV=1
+DQVDRPYHCRGMVTKRFAEKVEKNRLCYIAPNFFPVIITYLVSIIKNHNFTYMARPRAYTFLTSSYYIVVVALHAACTSFAKHRGRSTPIRLIAAIIATYSSVVALLPLLIMVLALLFDVLEAQEKDSCTLNLLPSIDCFFHNIINPGCYSLQSIFLLKMMSSFFGSGWSAAALRTALSSPMLSPYLLPGCIALYRDYAMVALLVCETCALAIFFYLQTMCGVYSVRGDQTLFAALLRPITVNIYWLELFSLHGLFFYMPRHLSPALWITFVILANELLTLLYIAFFLVFLLLQLPPTTPFGVLVFEEVHGGSLNRM
+>sp|Q8NGN1|OR6T1_HUMAN Olfactory receptor 6T1 OS=Homo sapiens OX=9606 GN=OR6T1 PE=2 SV=1
+MNPENWTQVTSFVLLGFPSSHLIQFLVFLGLMVTYIVTATGKLLIIVLSWIDQRLHIQMYFFLRNFSFLELLLVTVVVPKMLVVILTGDHTISFVSCIIQSYLYFFLGTTDFFLLAVMSLDRYLAICRPLRYETLMNGHVCSQLVLASWLAGFLWVLCPTVLMASLPFCGPNGIDHFFRDSWPLLRLSCGDTHLLKLVAFMLSTLVLLGSLALTSVSYACILATVLRAPTAAERRKAFSTCASHLTVVVIIYGSSIFLYIRMSEAQSKLLNKGASVLSCIITPLLNPFIFTLRNDKVQQALREALGWPRLTAVMKLRVTSQRK
+>DECOY_sp|Q8NGN1|OR6T1_HUMAN Olfactory receptor 6T1 OS=Homo sapiens OX=9606 GN=OR6T1 PE=2 SV=1
+KRQSTVRLKMVATLRPWGLAERLAQQVKDNRLTFIFPNLLPTIICSLVSAGKNLLKSQAESMRIYLFISSGYIIVVVTLHSACTSFAKRREAATPARLVTALICAYSVSTLALSGLLVLTSLMFAVLKLLHTDGCSLRLLPWSDRFFHDIGNPGCFPLSAMLVTPCLVWLFGALWSALVLQSCVHGNMLTEYRLPRCIALYRDLSMVALLFFDTTGLFFYLYSQIICSVFSITHDGTLIVVLMKPVVVTVLLLELFSFNRLFFYMQIHLRQDIWSLVIILLKGTATVIYTVMLGLFVLFQILHSSPFGLLVFSTVQTWNEPNM
+>sp|Q15622|OR7A5_HUMAN Olfactory receptor 7A5 OS=Homo sapiens OX=9606 GN=OR7A5 PE=2 SV=2
+MEPGNDTQISEFLLLGFSQEPGLQPFLFGLFLSMYLVTVLGNLLIILATISDSHLHTPMYFFLSNLSFADICVTSTTIPKMLMNIQTQNKVITYIACLMQMYFFILFAGFENFLLSVMAYDRFVAICHPLHYMVIMNPHLCGLLVLASWTMSALYSLLQILMVVRLSFCTALEIPHFFCELNQVIQLACSDSFLNHMVIYFTVALLGGGPLTGILYSYSKIISSIHAISSAQGKYKAFSTCASHLSVVSLFYGAILGVYLSSAATRNSHSSATASVMYTVVTPMLNPFIYSLRNKDIKRALGIHLLWGTMKGQFFKKCP
+>DECOY_sp|Q15622|OR7A5_HUMAN Olfactory receptor 7A5 OS=Homo sapiens OX=9606 GN=OR7A5 PE=2 SV=2
+PCKKFFQGKMTGWLLHIGLARKIDKNRLSYIFPNLMPTVVTYMVSATASSHSNRTAASSLYVGLIAGYFLSVVSLHSACTSFAKYKGQASSIAHISSIIKSYSYLIGTLPGGGLLAVTFYIVMHNLFSDSCALQIVQNLECFFHPIELATCFSLRVVMLIQLLSYLASMTWSALVLLGCLHPNMIVMYHLPHCIAVFRDYAMVSLLFNEFGAFLIFFYMQMLCAIYTIVKNQTQINMLMKPITTSTVCIDAFSLNSLFFYMPTHLHSDSITALIILLNGLVTVLYMSLFLGFLFPQLGPEQSFGLLLFESIQTDNGPEM
+>sp|O60412|OR7C2_HUMAN Olfactory receptor 7C2 OS=Homo sapiens OX=9606 GN=OR7C2 PE=3 SV=4
+MERGNQTEVGNFLLLGFAEDSDMQLLLHGLFLSMYLVTIIGNLLIILTISSDSHLHTPMYFFLSNLSFADICFTSTTVPKMLVNIQTQSKMITFAGCLTQIFFFIAFGCLDNLLLTMTAYDRFVAICYPLHYTVIMNPRLCGLLVLGSWCISVMGSLLETLTILRLSFCTNMEIPHFFCDPSEVLKLACSDTFINNIVMYFVTIVLGVFPLCGILFSYSQIFSSVLRVSARGQHKAFSTCGSHLSVVSLFYGTGLGVYLSSAVTPPSRTSLAASVMYTMVTPMLNPFIYSLRNKDMKGSLGRLLLRATSLKEGTIAKLS
+>DECOY_sp|O60412|OR7C2_HUMAN Olfactory receptor 7C2 OS=Homo sapiens OX=9606 GN=OR7C2 PE=3 SV=4
+SLKAITGEKLSTARLLLRGLSGKMDKNRLSYIFPNLMPTVMTYMVSAALSTRSPPTVASSLYVGLGTGYFLSVVSLHSGCTSFAKHQGRASVRLVSSFIQSYSFLIGCLPFVGLVITVFYMVINNIFTDSCALKLVESPDCFFHPIEMNTCFSLRLITLTELLSGMVSICWSGLVLLGCLRPNMIVTYHLPYCIAVFRDYATMTLLLNDLCGFAIFFFIQTLCGAFTIMKSQTQINVLMKPVTTSTFCIDAFSLNSLFFYMPTHLHSDSSITLIILLNGIITVLYMSLFLGHLLLQMDSDEAFGLLLFNGVETQNGREM
+>sp|Q8NG95|OR7G3_HUMAN Olfactory receptor 7G3 OS=Homo sapiens OX=9606 GN=OR7G3 PE=3 SV=1
+MKAGNFSDTPEFFLLGLSGDPELQPILFMLFLSMYLATMLGNLLIILAVNSDSHLHTPMYFLLSILSLVDICFTSTTMPKMLVNIQAQAQSINYTGCLTQICFVLVFVGLENGILVMMAYDRFVAICHPLRYNVIMNPKLCGLLLLLSFIVSVLDALLHTLMVLQLTFCIDLEIPHFFCELAHILKLACSDVLINNILVYLVTSLLGVVPLSGIIFSYTRIVSSVMKIPSAGGKYKAFSICGSHLIVVSLFYGTGFGVYLSSGATHSSRKGAIASVMYTVVTPMLNPLIYSLRNKDMLKALRKLISRIPSFH
+>DECOY_sp|Q8NG95|OR7G3_HUMAN Olfactory receptor 7G3 OS=Homo sapiens OX=9606 GN=OR7G3 PE=3 SV=1
+HFSPIRSILKRLAKLMDKNRLSYILPNLMPTVVTYMVSAIAGKRSSHTAGSSLYVGFGTGYFLSVVILHSGCISFAKYKGGASPIKMVSSVIRTYSFIIGSLPVVGLLSTVLYVLINNILVDSCALKLIHALECFFHPIELDICFTLQLVMLTHLLADLVSVIFSLLLLLGCLKPNMIVNYRLPHCIAVFRDYAMMVLIGNELGVFVLVFCIQTLCGTYNISQAQAQINVLMKPMTTSTFCIDVLSLISLLFYMPTHLHSDSNVALIILLNGLMTALYMSLFLMFLIPQLEPDGSLGLLFFEPTDSFNGAKM
+>sp|Q8NGG7|OR8A1_HUMAN Olfactory receptor 8A1 OS=Homo sapiens OX=9606 GN=OR8A1 PE=2 SV=2
+MGFLSPMHPCRPPTQRRMAAGNHSTVTEFILKGLTKRADLQLPLFLLFLGIYLVTIVGNLGMITLICLNSQLHTPMYYFLSNLSLMDLCYSSVITPKMLVNFVSEKNIISYAGCMSQLYFFLVFVIAECYMLTVMAYDRYVAICHPLLYNIIMSHHTCLLLVAVVYAIGLIGSTIETGLMLKLPYCEHLISHYFCDILPLMKLSCSSTYDVEMTVFFSAGFNIIVTSLTVLVSYTFILSSILGISTTEGRSKAFSTCSSHLAAVGMFYGSTAFMYLKPSTISSLTQENVASVFYTTVIPMLNPLIYSLRNKEVKAAVQKTLRGKLF
+>DECOY_sp|Q8NGG7|OR8A1_HUMAN Olfactory receptor 8A1 OS=Homo sapiens OX=9606 GN=OR8A1 PE=2 SV=2
+FLKGRLTKQVAAKVEKNRLSYILPNLMPIVTTYFVSAVNEQTLSSITSPKLYMFATSGYFMGVAALHSSCTSFAKSRGETTSIGLISSLIFTYSVLVTLSTVIINFGASFFVTMEVDYTSSCSLKMLPLIDCFYHSILHECYPLKLMLGTEITSGILGIAYVVAVLLLCTHHSMIINYLLPHCIAVYRDYAMVTLMYCEAIVFVLFFYLQSMCGAYSIINKESVFNVLMKPTIVSSYCLDMLSLNSLFYYMPTHLQSNLCILTIMGLNGVITVLYIGLFLLFLPLQLDARKTLGKLIFETVTSHNGAAMRRQTPPRCPHMPSLFGM
+>sp|Q8NGG6|OR8BC_HUMAN Olfactory receptor 8B12 OS=Homo sapiens OX=9606 GN=OR8B12 PE=2 SV=1
+MAAKNSSVTEFILEGLTHQPGLRIPLFFLFLGFYTVTVVGNLGLITLIGLNSHLHTPMYFFLFNLSLIDFCFSTTITPKMLMSFVSRKNIISFTGCMTQLFFFCFFVVSESFILSAMAYDRYVAICNPLLYTVTMSCQVCLLLLLGAYGMGFAGAMAHTGSIMNLTFCADNLVNHFMCDILPLLELSCNSSYMNELVVFIVVAVDVGMPIVTVFISYALILSSILHNSSTEGRSKAFSTCSSHIIVVSLFFGSGAFMYLKPLSILPLEQGKVSSLFYTIIVPVLNPLIYSLRNKDVKVALRRTLGRKIFS
+>DECOY_sp|Q8NGG6|OR8BC_HUMAN Olfactory receptor 8B12 OS=Homo sapiens OX=9606 GN=OR8B12 PE=2 SV=1
+SFIKRGLTRRLAVKVDKNRLSYILPNLVPVIITYFLSSVKGQELPLISLPKLYMFAGSGFFLSVVIIHSSCTSFAKSRGETSSNHLISSLILAYSIFVTVIPMGVDVAVVIFVVLENMYSSNCSLELLPLIDCMFHNVLNDACFTLNMISGTHAMAGAFGMGYAGLLLLLCVQCSMTVTYLLPNCIAVYRDYAMASLIFSESVVFFCFFFLQTMCGTFSIINKRSVFSMLMKPTITTSFCFDILSLNFLFFYMPTHLHSNLGILTILGLNGVVTVTYFGLFLFFLPIRLGPQHTLGELIFETVSSNKAAM
+>sp|Q9GZM6|OR8D2_HUMAN Olfactory receptor 8D2 OS=Homo sapiens OX=9606 GN=OR8D2 PE=2 SV=1
+MATSNHSSGAEFILAGLTQRPELQLPLFLLFLGIYVVTVVGNLGMIFLIALSSQLYPPVYYFLSHLSFIDLCYSSVITPKMLVNFVPEENIISFLECITQLYFFLIFVIAEGYLLTAMEYDRYVAICRPLLYNIVMSHRVCSIMMAVVYSLGFLWATVHTTRMSVLSFCRSHTVSHYFCDILPLLTLSCSSTHINEILLFIIGGVNTLATTLAVLISYAFIFSSILGIHSTEGQSKAFGTCSSHLLAVGIFFGSITFMYFKPPSSTTMEKEKVSSVFYITIIPMLNPLIYSLRNKDVKNALKKMTRGRQSS
+>DECOY_sp|Q9GZM6|OR8D2_HUMAN Olfactory receptor 8D2 OS=Homo sapiens OX=9606 GN=OR8D2 PE=2 SV=1
+SSQRGRTMKKLANKVDKNRLSYILPNLMPIITIYFVSSVKEKEMTTSSPPKFYMFTISGFFIGVALLHSSCTGFAKSQGETSHIGLISSFIFAYSILVALTTALTNVGGIIFLLIENIHTSSCSLTLLPLIDCFYHSVTHSRCFSLVSMRTTHVTAWLFGLSYVVAMMISCVRHSMVINYLLPRCIAVYRDYEMATLLYGEAIVFILFFYLQTICELFSIINEEPVFNVLMKPTIVSSYCLDIFSLHSLFYYVPPYLQSSLAILFIMGLNGVVTVVYIGLFLLFLPLQLEPRQTLGALIFEAGSSHNSTAM
+>sp|Q8NGG4|OR8H1_HUMAN Olfactory receptor 8H1 OS=Homo sapiens OX=9606 GN=OR8H1 PE=2 SV=1
+MGRRNNTNVPDFILTGLSDSEEVQMALFILFLLIYLITMLGNVGMILIIRLDLQLHTPMYFFLTHLSFIDLSYSTVITPKTLANLLTSNYISFMGCFAQMFFFVFLGAAECFLLSSMAYDRYVAICSPLRYPVIMSKRLCCALVTGPYVISFINSFVNVVWMSRLHFCDSNVVRHFFCDTSPILALSCMDTYDIEIMIHILAGSTLMVSLITISASYVSILSTILKINSTSGKQKALSTCASHLLGVTIFYGTMIFTYLKPRKSYSLGRDQVASVFYTIVIPMLNPLIYSLRNKEVKNALIRVMQRRQDSR
+>DECOY_sp|Q8NGG4|OR8H1_HUMAN Olfactory receptor 8H1 OS=Homo sapiens OX=9606 GN=OR8H1 PE=2 SV=1
+RSDQRRQMVRILANKVEKNRLSYILPNLMPIVITYFVSAVQDRGLSYSKRPKLYTFIMTGYFITVGLLHSACTSLAKQKGSTSNIKLITSLISVYSASITILSVMLTSGALIHIMIEIDYTDMCSLALIPSTDCFFHRVVNSDCFHLRSMWVVNVFSNIFSIVYPGTVLACCLRKSMIVPYRLPSCIAVYRDYAMSSLLFCEAAGLFVFFFMQAFCGMFSIYNSTLLNALTKPTIVTSYSLDIFSLHTLFFYMPTHLQLDLRIILIMGVNGLMTILYILLFLIFLAMQVEESDSLGTLIFDPVNTNNRRGM
+>sp|Q8NGG1|OR8J2_HUMAN Olfactory receptor 8J2 OS=Homo sapiens OX=9606 GN=OR8J2 PE=3 SV=2
+MASGNLTWVTEFILVGVSDDPELQIPLFLVFLVLYLLTVAGNLGIITLTSVDPQLQTPMYFFLRHLAIINLCNSTVVAPKMLVNFLVTKKTISYYGCAAQLGGFLVFIVAEIFTLAAMAYDRYVAIWSPLLYAVVVSPKVCRLLVSLTYLQSLITALTVSSCVFSVSYCSSNIINHFYCDDVPLLALSCSDTYIPETAVFIFSGTNLLFSMIVVLISYFNIVITILRIRSSEGRQKAFSTCASHMIAVVVFYGTLLFMYLQPRSNHSLDTDKMASVFYTLVIPVLNPLIYSLRNKNVKDALKRFLDNPCRSLKLM
+>DECOY_sp|Q8NGG1|OR8J2_HUMAN Olfactory receptor 8J2 OS=Homo sapiens OX=9606 GN=OR8J2 PE=3 SV=2
+MLKLSRCPNDLFRKLADKVNKNRLSYILPNLVPIVLTYFVSAMKDTDLSHNSRPQLYMFLLTGYFVVVAIMHSACTSFAKQRGESSRIRLITIVINFYSILVVIMSFLLNTGSFIFVATEPIYTDSCSLALLPVDDCYFHNIINSSCYSVSFVCSSVTLATILSQLYTLSVLLRCVKPSVVVAYLLPSWIAVYRDYAMAALTFIEAVIFVLFGGLQAACGYYSITKKTVLFNVLMKPAVVTSNCLNIIALHRLFFYMPTQLQPDVSTLTIIGLNGAVTLLYLVLFVLFLPIQLEPDDSVGVLIFETVWTLNGSAM
+>sp|Q8WV07|ORAV1_HUMAN Oral cancer-overexpressed protein 1 OS=Homo sapiens OX=9606 GN=ORAOV1 PE=1 SV=2
+MAGSQDIFDAIVMADERFHGEGYREGYEEGSSLGVMEGRQHGTLHGAKIGSEIGCYQGFAFAWKCLLHSCTTEKDSRKMKVLESLIGMIQKFPYDDPTYDKLHEDLDKIRGKFKQFCSLLNVQPDFKISAEGSGLSF
+>DECOY_sp|Q8WV07|ORAV1_HUMAN Oral cancer-overexpressed protein 1 OS=Homo sapiens OX=9606 GN=ORAOV1 PE=1 SV=2
+FSLGSGEASIKFDPQVNLLSCFQKFKGRIKDLDEHLKDYTPDDYPFKQIMGILSELVKMKRSDKETTCSHLLCKWAFAFGQYCGIESGIKAGHLTGHQRGEMVGLSSGEEYGERYGEGHFREDAMVIADFIDQSGAM
+>sp|Q13415|ORC1_HUMAN Origin recognition complex subunit 1 OS=Homo sapiens OX=9606 GN=ORC1 PE=1 SV=2
+MAHYPTRLKTRKTYSWVGRPLLDRKLHYQTYREMCVKTEGCSTEIHIQIGQFVLIEGDDDENPYVAKLLELFEDDSDPPPKKRARVQWFVRFCEVPACKRHLLGRKPGAQEIFWYDYPACDSNINAETIIGLVRVIPLAPKDVVPTNLKNEKTLFVKLSWNEKKFRPLSSELFAELNKPQESAAKCQKPVRAKSKSAESPSWTPAEHVAKRIESRHSASKSRQTPTHPLTPRARKRLELGNLGNPQMSQQTSCASLDSPGRIKRKVAFSEITSPSKRSQPDKLQTLSPALKAPEKTRETGLSYTEDDKKASPEHRIILRTRIAASKTIDIREERTLTPISGGQRSSVVPSVILKPENIKKRDAKEAKAQNEATSTPHRIRRKSSVLTMNRIRQQLRFLGNSKSDQEEKEILPAAEISDSSSDEEEASTPPLPRRAPRTVSRNLRSSLKSSLHTLTKVPKKSLKPRTPRCAAPQIRSRSLAAQEPASVLEEARLRLHVSAVPESLPCREQEFQDIYNFVESKLLDHTGGCMYISGVPGTGKTATVHEVIRCLQQAAQANDVPPFQYIEVNGMKLTEPHQVYVQILQKLTGQKATANHAAELLAKQFCTRGSPQETTVLLVDELDLLWTHKQDIMYNLFDWPTHKEARLVVLAIANTMDLPERIMMNRVSSRLGLTRMCFQPYTYSQLQQILRSRLKHLKAFEDDAIQLVARKVAALSGDARRCLDICRRATEICEFSQQKPDSPGLVTIAHSMEAVDEMFSSSYITAIKNSSVLEQSFLRAILAEFRRSGLEEATFQQIYSQHVALCRMEGLPYPTMSETMAVCSHLGSCRLLLVEPSRNDLLLRVRLNVSQDDVLYALKDE
+>DECOY_sp|Q13415|ORC1_HUMAN Origin recognition complex subunit 1 OS=Homo sapiens OX=9606 GN=ORC1 PE=1 SV=2
+EDKLAYLVDDQSVNLRVRLLLDNRSPEVLLLRCSGLHSCVAMTESMTPYPLGEMRCLAVHQSYIQQFTAEELGSRRFEALIARLFSQELVSSNKIATIYSSSFMEDVAEMSHAITVLGPSDPKQQSFECIETARRCIDLCRRADGSLAAVKRAVLQIADDEFAKLHKLRSRLIQQLQSYTYPQFCMRTLGLRSSVRNMMIREPLDMTNAIALVVLRAEKHTPWDFLNYMIDQKHTWLLDLEDVLLVTTEQPSGRTCFQKALLEAAHNATAKQGTLKQLIQVYVQHPETLKMGNVEIYQFPPVDNAQAAQQLCRIVEHVTATKGTGPVGSIYMCGGTHDLLKSEVFNYIDQFEQERCPLSEPVASVHLRLRAEELVSAPEQAALSRSRIQPAACRPTRPKLSKKPVKTLTHLSSKLSSRLNRSVTRPARRPLPPTSAEEEDSSSDSIEAAPLIEKEEQDSKSNGLFRLQQRIRNMTLVSSKRRIRHPTSTAENQAKAEKADRKKINEPKLIVSPVVSSRQGGSIPTLTREERIDITKSAAIRTRLIIRHEPSAKKDDETYSLGTERTKEPAKLAPSLTQLKDPQSRKSPSTIESFAVKRKIRGPSDLSACSTQQSMQPNGLNGLELRKRARPTLPHTPTQRSKSASHRSEIRKAVHEAPTWSPSEASKSKARVPKQCKAASEQPKNLEAFLESSLPRFKKENWSLKVFLTKENKLNTPVVDKPALPIVRVLGIITEANINSDCAPYDYWFIEQAGPKRGLLHRKCAPVECFRVFWQVRARKKPPPDSDDEFLELLKAVYPNEDDDGEILVFQGIQIHIETSCGETKVCMERYTQYHLKRDLLPRGVWSYTKRTKLRTPYHAM
+>sp|O43929|ORC4_HUMAN Origin recognition complex subunit 4 OS=Homo sapiens OX=9606 GN=ORC4 PE=1 SV=2
+MSSRKSKSNSLIHTECLSQVQRILRERFCRQSPHSNLFGVQVQYKHLSELLKRTALHGESNSVLIIGPRGSGKTMLINHALKELMEIEEVSENVLQVHLNGLLQINDKIALKEITRQLNLENVVGDKVFGSFAENLSFLLEALKKGDRTSSCPVIFILDEFDLFAHHKNQTLLYNLFDISQSAQTPIAVIGLTCRLDILELLEKRVKSRFSHRQIHLMNSFGFPQYVKIFKEQLSLPAEFPDKVFAEKWNENVQYLSEDRSVQEVLQKHFNISKNLRSLHMLLMLALNRVTASHPFMTAVDLMEASQLCSMDSKANIVHGLSVLEICLIIAMKHLNDIYEEEPFNFQMVYNEFQKFVQRKAHSVYNFEKPVVMKAFEHLQQLELIKPMERTSGNSQREYQLMKLLLDNTQIMNALQKYPNCPTDVRQWATSSLSWL
+>DECOY_sp|O43929|ORC4_HUMAN Origin recognition complex subunit 4 OS=Homo sapiens OX=9606 GN=ORC4 PE=1 SV=2
+LWSLSSTAWQRVDTPCNPYKQLANMIQTNDLLLKMLQYERQSNGSTREMPKILELQQLHEFAKMVVPKEFNYVSHAKRQVFKQFENYVMQFNFPEEEYIDNLHKMAIILCIELVSLGHVINAKSDMSCLQSAEMLDVATMFPHSATVRNLALMLLMHLSRLNKSINFHKQLVEQVSRDESLYQVNENWKEAFVKDPFEAPLSLQEKFIKVYQPFGFSNMLHIQRHSFRSKVRKELLELIDLRCTLGIVAIPTQASQSIDFLNYLLTQNKHHAFLDFEDLIFIVPCSSTRDGKKLAELLFSLNEAFSGFVKDGVVNELNLQRTIEKLAIKDNIQLLGNLHVQLVNESVEEIEMLEKLAHNILMTKGSGRPGIILVSNSEGHLATRKLLESLHKYQVQVGFLNSHPSQRCFRERLIRQVQSLCETHILSNSKSKRSSM
+>sp|O43913|ORC5_HUMAN Origin recognition complex subunit 5 OS=Homo sapiens OX=9606 GN=ORC5 PE=1 SV=1
+MPHLENVVLCRESQVSILQSLFGERHHFSFPSIFIYGHTASGKTYVTQTLLKTLELPHVFVNCVECFTLRLLLEQILNKLNHLSSSEDGCSTEITCETFNDFVRLFKQVTTAENLKDQTVYIVLDKAEYLRDMEANLLPGFLRLQELADRNVTVLFLSEIVWEKFRPNTGCFEPFVLYFPDYSIGNLQKILSHDHPPEYSADFYAAYINILLGVFYTVCRDLKELRHLAVLNFPKYCEPVVKGEASERDTRKLWRNIEPHLKKAMQTVYLREISSSQWEKLQKDDTDPGQLKGLSAHTHVELPYYSKFILIAAYLASYNPARTDKRFFLKHHGKIKKTNFLKKHEKTSNHLLGPKPFPLDRLLAILYSIVDSRVAPTANIFSQITSLVTLQLLTLVGHDDQLDGPKYKCTVSLDFIRAIARTVNFDIIKYLYDFL
+>DECOY_sp|O43913|ORC5_HUMAN Origin recognition complex subunit 5 OS=Homo sapiens OX=9606 GN=ORC5 PE=1 SV=1
+LFDYLYKIIDFNVTRAIARIFDLSVTCKYKPGDLQDDHGVLTLLQLTVLSTIQSFINATPAVRSDVISYLIALLRDLPFPKPGLLHNSTKEHKKLFNTKKIKGHHKLFFRKDTRAPNYSALYAAILIFKSYYPLEVHTHASLGKLQGPDTDDKQLKEWQSSSIERLYVTQMAKKLHPEINRWLKRTDRESAEGKVVPECYKPFNLVALHRLEKLDRCVTYFVGLLINIYAAYFDASYEPPHDHSLIKQLNGISYDPFYLVFPEFCGTNPRFKEWVIESLFLVTVNRDALEQLRLFGPLLNAEMDRLYEAKDLVIYVTQDKLNEATTVQKFLRVFDNFTECTIETSCGDESSSLHNLKNLIQELLLRLTFCEVCNVFVHPLELTKLLTQTVYTKGSATHGYIFISPFSFHHREGFLSQLISVQSERCLVVNELHPM
+>sp|Q9BXI2|ORNT2_HUMAN Mitochondrial ornithine transporter 2 OS=Homo sapiens OX=9606 GN=SLC25A2 PE=1 SV=3
+MKSGPGIQAAIDLTAGAAGGTACVLTGQPFDTIKVKMQTFPDLYKGLTDCFLKTYAQVGLRGFYKGTGPALMAYVAENSVLFMCYGFCQQFVRKVAGMDKQAKLSDLQTAAAGSFASAFAALALCPTELVKCRLQTMYEMEMSGKIAKSHNTIWSVVKGILKKDGPLGFYHGLSSTLLQEVPGYFFFFGGYELSRSFFASGRSKDELGPVHLMLSGGVAGICLWLVVFPVDCIKSRIQVLSMYGKQAGFIGTLLSVVRNEGIVALYSGLKATMIRAIPANGALFVAYEYSRKMMMKQLEAY
+>DECOY_sp|Q9BXI2|ORNT2_HUMAN Mitochondrial ornithine transporter 2 OS=Homo sapiens OX=9606 GN=SLC25A2 PE=1 SV=3
+YAELQKMMMKRSYEYAVFLAGNAPIARIMTAKLGSYLAVIGENRVVSLLTGIFGAQKGYMSLVQIRSKICDVPFVVLWLCIGAVGGSLMLHVPGLEDKSRGSAFFSRSLEYGGFFFFYGPVEQLLTSSLGHYFGLPGDKKLIGKVVSWITNHSKAIKGSMEMEYMTQLRCKVLETPCLALAAFASAFSGAAATQLDSLKAQKDMGAVKRVFQQCFGYCMFLVSNEAVYAMLAPGTGKYFGRLGVQAYTKLFCDTLGKYLDPFTQMKVKITDFPQGTLVCATGGAAGATLDIAAQIGPGSKM
+>sp|Q9Y3B8|ORN_HUMAN Oligoribonuclease, mitochondrial OS=Homo sapiens OX=9606 GN=REXO2 PE=1 SV=3
+MLGGSLGSRLLRGVGGSHGRFGARGVREGGAAMAAGESMAQRMVWVDLEMTGLDIEKDQIIEMACLITDSDLNILAEGPNLIIKQPDELLDSMSDWCKEHHGKSGLTKAVKESTITLQQAEYEFLSFVRQQTPPGLCPLAGNSVHEDKKFLDKYMPQFMKHLHYRIIDVSTVKELCRRWYPEEYEFAPKKAASHRALDDISESIKELQFYRNNIFKKKIDEKKRKIIENGENEKTVS
+>DECOY_sp|Q9Y3B8|ORN_HUMAN Oligoribonuclease, mitochondrial OS=Homo sapiens OX=9606 GN=REXO2 PE=1 SV=3
+SVTKENEGNEIIKRKKEDIKKKFINNRYFQLEKISESIDDLARHSAAKKPAFEYEEPYWRRCLEKVTSVDIIRYHLHKMFQPMYKDLFKKDEHVSNGALPCLGPPTQQRVFSLFEYEAQQLTITSEKVAKTLGSKGHHEKCWDSMSDLLEDPQKIILNPGEALINLDSDTILCAMEIIQDKEIDLGTMELDVWVMRQAMSEGAAMAAGGERVGRAGFRGHSGGVGRLLRSGLSGGLM
+>sp|Q969R2|OSBP2_HUMAN Oxysterol-binding protein 2 OS=Homo sapiens OX=9606 GN=OSBP2 PE=1 SV=2
+MGKAAAPSRGGGCGGRSRGLSSLFTVVPCLSCHTAAPGMSASTSGSGPEPKPQPQPVPEPERGPLSEQVSEAVSEAVPRSEPVSETTSEPEPGAGQPSELLQGSRPGSESSSGVGAGPFTKAASEPLSRAVGSATFLRPESGSLPALKPLPLLRPGQAKTPLGVPMSGTGTTSSAPLALLPLDSFEGWLLKWTNYLKGYQRRWFVLGNGLLSYYRNQGEMAHTCRGTINLSTAHIDTEDSCGILLTSGARSYHLKASSEVDRQQWITALELAKAKAVRVMNTHSDDSGDDDEATTPADKSELHHTLKNLSLKLDDLSTCNDLIAKHGAALQRSLTELDGLKIPSESGEKLKVVNERATLFRITSNAMINACRDFLELAEIHSRKWQRALQYEQEQRVHLEETIEQLAKQHNSLERAFHSAPGRPANPSKSFIEGSLLTPKGEDSEEDEDTEYFDAMEDSTSFITVITEAKEDSRKAEGSTGTSSVDWSSADNVLDGASLVPKGSSKVKRRVRIPNKPNYSLNLWSIMKNCIGRELSRIPMPVNFNEPLSMLQRLTEDLEYHHLLDKAVHCTSSVEQMCLVAAFSVSSYSTTVHRIAKPFNPMLGETFELDRLDDMGLRSLCEQVSHHPPSAAHYVFSKHGWSLWQEITISSKFRGKYISIMPLGAIHLEFQASGNHYVWRKSTSTVHNIIVGKLWIDQSGDIEIVNHKTNDRCQLKFLPYSYFSKEAARKVTGVVSDSQGKAHYVLSGSWDEQMECSKVMHSSPSSPSSDGKQKTVYQTLSAKLLWKKYPLPENAENMYYFSELALTLNEHEEGVAPTDSRLRPDQRLMEKGRWDEANTEKQRLEEKQRLSRRRRLEACGPGSSCSSEEEKEADAYTPLWFEKRLDPLTGEMACVYKGGYWEAKEKQDWHMCPNIF
+>DECOY_sp|Q969R2|OSBP2_HUMAN Oxysterol-binding protein 2 OS=Homo sapiens OX=9606 GN=OSBP2 PE=1 SV=2
+FINPCMHWDQKEKAEWYGGKYVCAMEGTLPDLRKEFWLPTYADAEKEEESSCSSGPGCAELRRRRSLRQKEELRQKETNAEDWRGKEMLRQDPRLRSDTPAVGEEHENLTLALESFYYMNEANEPLPYKKWLLKASLTQYVTKQKGDSSPSSPSSHMVKSCEMQEDWSGSLVYHAKGQSDSVVGTVKRAAEKSFYSYPLFKLQCRDNTKHNVIEIDGSQDIWLKGVIINHVTSTSKRWVYHNGSAQFELHIAGLPMISIYKGRFKSSITIEQWLSWGHKSFVYHAASPPHHSVQECLSRLGMDDLRDLEFTEGLMPNFPKAIRHVTTSYSSVSFAAVLCMQEVSSTCHVAKDLLHHYELDETLRQLMSLPENFNVPMPIRSLERGICNKMISWLNLSYNPKNPIRVRRKVKSSGKPVLSAGDLVNDASSWDVSSTGTSGEAKRSDEKAETIVTIFSTSDEMADFYETDEDEESDEGKPTLLSGEIFSKSPNAPRGPASHFARELSNHQKALQEITEELHVRQEQEYQLARQWKRSHIEALELFDRCANIMANSTIRFLTARENVVKLKEGSESPIKLGDLETLSRQLAAGHKAILDNCTSLDDLKLSLNKLTHHLESKDAPTTAEDDDGSDDSHTNMVRVAKAKALELATIWQQRDVESSAKLHYSRAGSTLLIGCSDETDIHATSLNITGRCTHAMEGQNRYYSLLGNGLVFWRRQYGKLYNTWKLLWGEFSDLPLLALPASSTTGTGSMPVGLPTKAQGPRLLPLPKLAPLSGSEPRLFTASGVARSLPESAAKTFPGAGVGSSSESGPRSGQLLESPQGAGPEPESTTESVPESRPVAESVAESVQESLPGREPEPVPQPQPKPEPGSGSTSASMGPAATHCSLCPVVTFLSSLGRSRGGCGGGRSPAAAKGM
+>sp|Q8WVF1|OSCP1_HUMAN Protein OSCP1 OS=Homo sapiens OX=9606 GN=OSCP1 PE=1 SV=4
+MSVRTLPLLFLNLGGEMLYILDQRLRAQNIPGDKARKDEWTEVDRKRVLNDIISTMFNRKFMEELFKPQELYSKKALRTVYERLAHASIMKLNQASMDKLYDLMTMAFKYQVLLCPRPKDVLLVTFNHLDTIKGFIRDSPTILQQVDETLRQLTEIYGGLSAGEFQLIRQTLLIFFQDLHIRVSMFLKDKVQNNNGRFVLPVSGPVPWGTEVPGLIRMFNNKGEEVKRIEFKHGGNYVPAPKEGSFELYGDRVLKLGTNMYSVNQPVETHVSGSSKNLASWTQESIAPNPLAKEELNFLARLMGGMEIKKPSGPEPGFRLNLFTTDEEEEQAALTRPEELSYEVINIQATQDQQRSEELARIMGEFEITEQPRLSTSKGDDLLAMMDEL
+>DECOY_sp|Q8WVF1|OSCP1_HUMAN Protein OSCP1 OS=Homo sapiens OX=9606 GN=OSCP1 PE=1 SV=4
+LEDMMALLDDGKSTSLRPQETIEFEGMIRALEESRQQDQTAQINIVEYSLEEPRTLAAQEEEEDTTFLNLRFGPEPGSPKKIEMGGMLRALFNLEEKALPNPAISEQTWSALNKSSGSVHTEVPQNVSYMNTGLKLVRDGYLEFSGEKPAPVYNGGHKFEIRKVEEGKNNFMRILGPVETGWPVPGSVPLVFRGNNNQVKDKLFMSVRIHLDQFFILLTQRILQFEGASLGGYIETLQRLTEDVQQLITPSDRIFGKITDLHNFTVLLVDKPRPCLLVQYKFAMTMLDYLKDMSAQNLKMISAHALREYVTRLAKKSYLEQPKFLEEMFKRNFMTSIIDNLVRKRDVETWEDKRAKDGPINQARLRQDLIYLMEGGLNLFLLPLTRVSM
+>sp|Q9NPF4|OSGEP_HUMAN Probable tRNA N6-adenosine threonylcarbamoyltransferase OS=Homo sapiens OX=9606 GN=OSGEP PE=1 SV=1
+MPAVLGFEGSANKIGVGVVRDGKVLANPRRTYVTPPGTGFLPGDTARHHRAVILDLLQEALTESGLTSQDIDCIAYTKGPGMGAPLVSVAVVARTVAQLWNKPLVGVNHCIGHIEMGRLITGATSPTVLYVSGGNTQVIAYSEHRYRIFGETIDIAVGNCLDRFARVLKISNDPSPGYNIEQMAKRGKKLVELPYTVKGMDVSFSGILSFIEDVAHRMLATGECTPEDLCFSLQETVFAMLVEITERAMAHCGSQEALIVGGVGCNVRLQEMMATMCQERGARLFATDERFCIDNGAMIAQAGWEMFRAGHRTPLSDSGVTQRYRTDEVEVTWRD
+>DECOY_sp|Q9NPF4|OSGEP_HUMAN Probable tRNA N6-adenosine threonylcarbamoyltransferase OS=Homo sapiens OX=9606 GN=OSGEP PE=1 SV=1
+DRWTVEVEDTRYRQTVGSDSLPTRHGARFMEWGAQAIMAGNDICFREDTAFLRAGREQCMTAMMEQLRVNCGVGGVILAEQSGCHAMARETIEVLMAFVTEQLSFCLDEPTCEGTALMRHAVDEIFSLIGSFSVDMGKVTYPLEVLKKGRKAMQEINYGPSPDNSIKLVRAFRDLCNGVAIDITEGFIRYRHESYAIVQTNGGSVYLVTPSTAGTILRGMEIHGICHNVGVLPKNWLQAVTRAVVAVSVLPAGMGPGKTYAICDIDQSTLGSETLAEQLLDLIVARHHRATDGPLFGTGPPTVYTRRPNALVKGDRVVGVGIKNASGEFGLVAPM
+>sp|Q5VU65|P210L_HUMAN Nuclear pore membrane glycoprotein 210-like OS=Homo sapiens OX=9606 GN=NUP210L PE=2 SV=1
+MTGCPASSRRRGFGLFFFLRLHRLLLLFLVLRGTLANKLNVPQVLLPFGREPGRVPFLLEAQRGCYTWHSTHHDAVTVEPLYENGTLCSQKAVLIAESTQPIRLSSIILAREIVTDHELRCDVKVDVINSIEIVSRARELYVDDSPLELMVRALDAEGNTFSSLAGMMFEWSIAQDNESAREELSSKIRILKYSEAEYAPPIYIAEMEKEEKQGDVILVSGIRTGAAVVKVRIHEPFYKKVAAALIRLLVLENIFLIPSHDIYLLVGTYIKYQVAKMVQGRVTEVKFPLEHYILELQDHRVALNGSHSEKVAILDDKTAMVTASQLGQTNLVFVHKNVHMRSVSGLPNCTIYVVEPGFLGFTVQPGNRWSLEVGQVYVITVDVFDKSSTKVYISDNLRITYDFPKEYFEEQLTTVNGSYHIVKALKDGVVVINASLTSIIYQNKDIQPIKFLIKHQQEVKIYFPIMLTPKFLAFPHHPMGMLYRYKVQVEGGSGNFTWTSSNETVVIVTTKGVVTAGQVRGNSTVLARDVQNPFRYGEIKIHVLKLNKMELLPFHADVEIGQIIEIPIAMYHINKETKEAMAFTDCSHLSLDLNMDKQGVFTLLKEGIQRPGPMHCSSTHIAAKSLGHTLVTVSVNECDKYLESSATFAAYEPLKALNPVEVALVTWQSVKEMVFEGGPRPWILEPSRFFLELNAEKTEKIGIAQVWLPSKRKQNQYIYRIQCLDLGEQVLTFRIGNHPGVLNPSPAVEVLQVRFICAHPASMSVTPVYKVPAGAQPCPLPQHNKWLIPVSRLRDTVLELAVFDQHRRKFDNFSSLMLEWKSSNETLAHFEDYKSVEMVAKDDGSGQTRLHGHQILKVHQIKGTVLIGVNFVGYSEKKSPKEISNLPRSVDVELLLVDDVTVVPENATIYNHPDVKETFSLVEGSGYFLVNSSEQGVVTITYMEAESSVELVPLHPGFFTLEVYDLCLAFLGPATAHLRVSDIQELELDLIDKVEIDKTVLVTVRVLGSSKRPFQNKYFRNMELKLQLASAIVTLTPMEQQDEYSENYILRATTIGQTTLVAIAKDKMGRKYTSTPRHIEVFPPFRLLPEKMTLIPMNMMQVMSEGGPQPQSIVHFSISNQTVAVVNRRGQVTGKIVGTAVVHGTIQTVNEDTGKVIVFSQDEVQIEVVQLRAVRILAAATRLITATKMPVYVMGVTSTQTPFSFSNANPGLTFHWSMSKRDVLDLVPRHSEVFLQLPVEHNFAMVVHTKAAGRTSIKVTVHCMNSSSGQFEGNLLELSDEVQILVFEKLQLFYPECQPEQILMPINSQLKLHTNREGAAFVSSRVLKCFPNSSVIEEDGEGLLKAGSIAGTAVLEVTSIEPFGVNQTTITGVQVAPVTYLRVSSQPKLYTAQGRTLSAFPLGMSLTFTVQFYNSIGEKFHTHNTQLYLALNRDDLLHIGPGNKNYTYMAQAVNRGLTLVGLWDRRHPGMADYIPVAVEHAIEPDTKLTFVGDIICFSTHLVSQHGEPGIWMISANNILQTDIVTGVGVARSPGTAMIFHDIPGVVKTYREVVVNASSRLMLSYDLKTYLTNTLNSTVFKLFITTGRNGVNLKGFCTPNQALAITKVLLPATLMLCHVQFSNTLLDIPASKVFQVHSDFSMEKGVYVCIIKVRPQSEELLQALSVADTSVYGWATLVSERSKNGMQRILIPFIPAFYINQSELVLSHKQDIGEIRVLGVDRVLRKLEVISSSPVLVVAGHSHSPLTPGLAIYSVRVVNFTSFQQMASPVFINISCVLTSQSEAVVVRAMKDKLGADHCEDSAILKRFTGSYQILLLTLFAVLASTASIFLAYNAFLNKIQTVPVVYVPTLGTPQPGFFNSTSSPPHFMSLQPPLAQSRLQHWLWSIRH
+>DECOY_sp|Q5VU65|P210L_HUMAN Nuclear pore membrane glycoprotein 210-like OS=Homo sapiens OX=9606 GN=NUP210L PE=2 SV=1
+HRISWLWHQLRSQALPPQLSMFHPPSSTSNFFGPQPTGLTPVYVVPVTQIKNLFANYALFISATSALVAFLTLLLIQYSGTFRKLIASDECHDAGLKDKMARVVVAESQSTLVCSINIFVPSAMQQFSTFNVVRVSYIALGPTLPSHSHGAVVLVPSSSIVELKRLVRDVGLVRIEGIDQKHSLVLESQNIYFAPIFPILIRQMGNKSRESVLTAWGYVSTDAVSLAQLLEESQPRVKIICVYVGKEMSFDSHVQFVKSAPIDLLTNSFQVHCLMLTAPLLVKTIALAQNPTCFGKLNVGNRGTTIFLKFVTSNLTNTLYTKLDYSLMLRSSANVVVERYTKVVGPIDHFIMATGPSRAVGVGTVIDTQLINNASIMWIGPEGHQSVLHTSFCIIDGVFTLKTDPEIAHEVAVPIYDAMGPHRRDWLGVLTLGRNVAQAMYTYNKNGPGIHLLDDRNLALYLQTNHTHFKEGISNYFQVTFTLSMGLPFASLTRGQATYLKPQSSVRLYTVPAVQVGTITTQNVGFPEISTVELVATGAISGAKLLGEGDEEIVSSNPFCKLVRSSVFAAGERNTHLKLQSNIPMLIQEPQCEPYFLQLKEFVLIQVEDSLELLNGEFQGSSSNMCHVTVKISTRGAAKTHVVMAFNHEVPLQLFVESHRPVLDLVDRKSMSWHFTLGPNANSFSFPTQTSTVGMVYVPMKTATILRTAAALIRVARLQVVEIQVEDQSFVIVKGTDENVTQITGHVVATGVIKGTVQGRRNVVAVTQNSISFHVISQPQPGGESMVQMMNMPILTMKEPLLRFPPFVEIHRPTSTYKRGMKDKAIAVLTTQGITTARLIYNESYEDQQEMPTLTVIASALQLKLEMNRFYKNQFPRKSSGLVRVTVLVTKDIEVKDILDLELEQIDSVRLHATAPGLFALCLDYVELTFFGPHLPVLEVSSEAEMYTITVVGQESSNVLFYGSGEVLSFTEKVDPHNYITANEPVVTVDDVLLLEVDVSRPLNSIEKPSKKESYGVFNVGILVTGKIQHVKLIQHGHLRTQGSGDDKAVMEVSKYDEFHALTENSSKWELMLSSFNDFKRRHQDFVALELVTDRLRSVPILWKNHQPLPCPQAGAPVKYVPTVSMSAPHACIFRVQLVEVAPSPNLVGPHNGIRFTLVQEGLDLCQIRYIYQNQKRKSPLWVQAIGIKETKEANLELFFRSPELIWPRPGGEFVMEKVSQWTVLAVEVPNLAKLPEYAAFTASSELYKDCENVSVTVLTHGLSKAAIHTSSCHMPGPRQIGEKLLTFVGQKDMNLDLSLHSCDTFAMAEKTEKNIHYMAIPIEIIQGIEVDAHFPLLEMKNLKLVHIKIEGYRFPNQVDRALVTSNGRVQGATVVGKTTVIVVTENSSTWTFNGSGGEVQVKYRYLMGMPHHPFALFKPTLMIPFYIKVEQQHKILFKIPQIDKNQYIISTLSANIVVVGDKLAKVIHYSGNVTTLQEEFYEKPFDYTIRLNDSIYVKTSSKDFVDVTIVYVQGVELSWRNGPQVTFGLFGPEVVYITCNPLGSVSRMHVNKHVFVLNTQGLQSATVMATKDDLIAVKESHSGNLAVRHDQLELIYHELPFKVETVRGQVMKAVQYKIYTGVLLYIDHSPILFINELVLLRILAAAVKKYFPEHIRVKVVAAGTRIGSVLIVDGQKEEKEMEAIYIPPAYEAESYKLIRIKSSLEERASENDQAISWEFMMGALSSFTNGEADLARVMLELPSDDVYLERARSVIEISNIVDVKVDCRLEHDTVIERALIISSLRIPQTSEAILVAKQSCLTGNEYLPEVTVADHHTSHWTYCGRQAELLFPVRGPERGFPLLVQPVNLKNALTGRLVLFLLLLRHLRLFFFLGFGRRRSSAPCGTM
+>sp|Q96C36|P5CR2_HUMAN Pyrroline-5-carboxylate reductase 2 OS=Homo sapiens OX=9606 GN=PYCR2 PE=1 SV=1
+MSVGFIGAGQLAYALARGFTAAGILSAHKIIASSPEMNLPTVSALRKMGVNLTRSNKETVKHSDVLFLAVKPHIIPFILDEIGADVQARHIVVSCAAGVTISSVEKKLMAFQPAPKVIRCMTNTPVVVQEGATVYATGTHALVEDGQLLEQLMSSVGFCTEVEEDLIDAVTGLSGSGPAYAFMALDALADGGVKMGLPRRLAIQLGAQALLGAAKMLLDSEQHPCQLKDNVCSPGGATIHALHFLESGGFRSLLINAVEASCIRTRELQSMADQEKISPAALKKTLLDRVKLESPTVSTLTPSSPGKLLTRSLALGGKKD
+>DECOY_sp|Q96C36|P5CR2_HUMAN Pyrroline-5-carboxylate reductase 2 OS=Homo sapiens OX=9606 GN=PYCR2 PE=1 SV=1
+DKKGGLALSRTLLKGPSSPTLTSVTPSELKVRDLLTKKLAAPSIKEQDAMSQLERTRICSAEVANILLSRFGGSELFHLAHITAGGPSCVNDKLQCPHQESDLLMKAAGLLAQAGLQIALRRPLGMKVGGDALADLAMFAYAPGSGSLGTVADILDEEVETCFGVSSMLQELLQGDEVLAHTGTAYVTAGEQVVVPTNTMCRIVKPAPQFAMLKKEVSSITVGAACSVVIHRAQVDAGIEDLIFPIIHPKVALFLVDSHKVTEKNSRTLNVGMKRLASVTPLNMEPSSAIIKHASLIGAATFGRALAYALQGAGIFGVSM
+>sp|Q06416|P5F1B_HUMAN Putative POU domain, class 5, transcription factor 1B OS=Homo sapiens OX=9606 GN=POU5F1B PE=5 SV=2
+MAGHLASDFAFSPPPGGGGDGPWGAEPGWVDPLTWLSFQGPPGGPGIGPGVGPGSEVWGIPPCPPPYELCGGMAYCGPQVGVGLVPQGGLETSQPESEAGVGVESNSNGASPEPCTVPPGAVKLEKEKLEQNPEKSQDIKALQKELEQFAKLLKQKRITLGYTQADVGLILGVLFGKVFSQKTICRFEALQLSFKNMCKLRPLLQKWVEEADNNENLQEICKAETLMQARKRKRTSIENRVRGNLENLFLQCPKPTLQISHIAQQLGLEKDVVRVWFCNRRQKGKRSSSDYAQREDFEAAGSPFSGGPVSFPPAPGPHFGTPGYGSPHFTALYSSVPFPEGEVFPPVSVITLGSPMHSN
+>DECOY_sp|Q06416|P5F1B_HUMAN Putative POU domain, class 5, transcription factor 1B OS=Homo sapiens OX=9606 GN=POU5F1B PE=5 SV=2
+NSHMPSGLTIVSVPPFVEGEPFPVSSYLATFHPSGYGPTGFHPGPAPPFSVPGGSFPSGAAEFDERQAYDSSSRKGKQRRNCFWVRVVDKELGLQQAIHSIQLTPKPCQLFLNELNGRVRNEISTRKRKRAQMLTEAKCIEQLNENNDAEEVWKQLLPRLKCMNKFSLQLAEFRCITKQSFVKGFLVGLILGVDAQTYGLTIRKQKLLKAFQELEKQLAKIDQSKEPNQELKEKELKVAGPPVTCPEPSAGNSNSEVGVGAESEPQSTELGGQPVLGVGVQPGCYAMGGCLEYPPPCPPIGWVESGPGVGPGIGPGGPPGQFSLWTLPDVWGPEAGWPGDGGGGPPPSFAFDSALHGAM
+>sp|Q9H3D4|P63_HUMAN Tumor protein 63 OS=Homo sapiens OX=9606 GN=TP63 PE=1 SV=1
+MNFETSRCATLQYCPDPYIQRFVETPAHFSWKESYYRSTMSQSTQTNEFLSPEVFQHIWDFLEQPICSVQPIDLNFVDEPSEDGATNKIEISMDCIRMQDSDLSDPMWPQYTNLGLLNSMDQQIQNGSSSTSPYNTDHAQNSVTAPSPYAQPSSTFDALSPSPAIPSNTDYPGPHSFDVSFQQSSTAKSATWTYSTELKKLYCQIAKTCPIQIKVMTPPPQGAVIRAMPVYKKAEHVTEVVKRCPNHELSREFNEGQIAPPSHLIRVEGNSHAQYVEDPITGRQSVLVPYEPPQVGTEFTTVLYNFMCNSSCVGGMNRRPILIIVTLETRDGQVLGRRCFEARICACPGRDRKADEDSIRKQQVSDSTKNGDGTKRPFRQNTHGIQMTSIKKRRSPDDELLYLPVRGRETYEMLLKIKESLELMQYLPQHTIETYRQQQQQQHQHLLQKQTSIQSPSSYGNSSPPLNKMNSMNKLPSVSQLINPQQRNALTPTTIPDGMGANIPMMGTHMPMAGDMNGLSPTQALPPPLSMPSTSHCTPPPPYPTDCSIVSFLARLGCSSCLDYFTTQGLTTIYQIEHYSMDDLASLKIPEQFRHAIWKGILDHRQLHEFSSPSHLLRTPSSASTVSVGSSETRGERVIDAVRFTLRQTISFPPRDEWNDFNFDMDARRNKQQRIKEEGE
+>DECOY_sp|Q9H3D4|P63_HUMAN Tumor protein 63 OS=Homo sapiens OX=9606 GN=TP63 PE=1 SV=1
+EGEEKIRQQKNRRADMDFNFDNWEDRPPFSITQRLTFRVADIVREGRTESSGVSVTSASSPTRLLHSPSSFEHLQRHDLIGKWIAHRFQEPIKLSALDDMSYHEIQYITTLGQTTFYDLCSSCGLRALFSVISCDTPYPPPPTCHSTSPMSLPPPLAQTPSLGNMDGAMPMHTGMMPINAGMGDPITTPTLANRQQPNILQSVSPLKNMSNMKNLPPSSNGYSSPSQISTQKQLLHQHQQQQQQRYTEITHQPLYQMLELSEKIKLLMEYTERGRVPLYLLEDDPSRRKKISTMQIGHTNQRFPRKTGDGNKTSDSVQQKRISDEDAKRDRGPCACIRAEFCRRGLVQGDRTELTVIILIPRRNMGGVCSSNCMFNYLVTTFETGVQPPEYPVLVSQRGTIPDEVYQAHSNGEVRILHSPPAIQGENFERSLEHNPCRKVVETVHEAKKYVPMARIVAGQPPPTMVKIQIPCTKAIQCYLKKLETSYTWTASKATSSQQFSVDFSHPGPYDTNSPIAPSPSLADFTSSPQAYPSPATVSNQAHDTNYPSTSSSGNQIQQDMSNLLGLNTYQPWMPDSLDSDQMRICDMSIEIKNTAGDESPEDVFNLDIPQVSCIPQELFDWIHQFVEPSLFENTQTSQSMTSRYYSEKWSFHAPTEVFRQIYPDPCYQLTACRSTEFNM
+>sp|Q68DD2|PA24F_HUMAN Cytosolic phospholipase A2 zeta OS=Homo sapiens OX=9606 GN=PLA2G4F PE=2 SV=3
+MLWALWPRWLADKMLPLLGAVLLQKREKRGPLWRHWRRETYPYYDLQVKVLRATNIRGTDLLSKADCYVQLWLPTASPSPAQTRIVANCSDPEWNETFHYQIHGAVKNVLELTLYDKDILGSDQLSLLLFDLRSLKCGQPHKHTFPLNHQDSQELQVEFVLEKSQVPASEVITNGVLVAHPCLRIQGTLRGDGTAPREEYGSRQLQLAVPGAYEKPQLLPLQPPTEPGLPPTFTFHVNPVLSSRLHVELMELLAAVQSGPSAELEAQTSKLGEGGILLSSLPLGQEEQCSVALGEGQEVALSMKVEMSSGDLDLRLGFDLSDGEQEFLDRRKQVVSKALQQVLGLSEALDSGQVPVVAVLGSGGGTRAMSSLYGSLAGLQELGLLDTVTYLSGVSGSTWCISTLYRDPAWSQVALQGPIERAQVHVCSSKMGALSTERLQYYTQELGVRERSGHSVSLIDLWGLLVEYLLYQEENPAKLSDQQEAVRQGQNPYPIYTSVNVRTNLSGEDFAEWCEFTPYEVGFPKYGAYVPTELFGSELFMGRLLQLQPEPRICYLQGMWGSAFATSLDEIFLKTAGSGLSFLEWYRGSVNITDDCQKPQLHNPSRLRTRLLTPQGPFSQAVLDIFTSRFTSAQSFNFTRGLCLHKDYVAGREFVAWKDTHPDAFPNQLTPMRDCLYLVDGGFAINSPFPLALLPQRAVDLILSFDYSLEAPFEVLKMTEKYCLDRGIPFPSIEVGPEDMEEARECYLFAKAEDPRSPIVLHFPLVNRTFRTHLAPGVERQTAEEKAFGDFVINRPDTPYGMMNFTYEPQDFYRLVALSRYNVLNNVETLKCALQLALDRHQARERAGA
+>DECOY_sp|Q68DD2|PA24F_HUMAN Cytosolic phospholipase A2 zeta OS=Homo sapiens OX=9606 GN=PLA2G4F PE=2 SV=3
+AGARERAQHRDLALQLACKLTEVNNLVNYRSLAVLRYFDQPEYTFNMMGYPTDPRNIVFDGFAKEEATQREVGPALHTRFTRNVLPFHLVIPSRPDEAKAFLYCERAEEMDEPGVEISPFPIGRDLCYKETMKLVEFPAELSYDFSLILDVARQPLLALPFPSNIAFGGDVLYLCDRMPTLQNPFADPHTDKWAVFERGAVYDKHLCLGRTFNFSQASTFRSTFIDLVAQSFPGQPTLLRTRLRSPNHLQPKQCDDTINVSGRYWELFSLGSGATKLFIEDLSTAFASGWMGQLYCIRPEPQLQLLRGMFLESGFLETPVYAGYKPFGVEYPTFECWEAFDEGSLNTRVNVSTYIPYPNQGQRVAEQQDSLKAPNEEQYLLYEVLLGWLDILSVSHGSRERVGLEQTYYQLRETSLAGMKSSCVHVQAREIPGQLAVQSWAPDRYLTSICWTSGSVGSLYTVTDLLGLEQLGALSGYLSSMARTGGGSGLVAVVPVQGSDLAESLGLVQQLAKSVVQKRRDLFEQEGDSLDFGLRLDLDGSSMEVKMSLAVEQGEGLAVSCQEEQGLPLSSLLIGGEGLKSTQAELEASPGSQVAALLEMLEVHLRSSLVPNVHFTFTPPLGPETPPQLPLLQPKEYAGPVALQLQRSGYEERPATGDGRLTGQIRLCPHAVLVGNTIVESAPVQSKELVFEVQLEQSDQHNLPFTHKHPQGCKLSRLDFLLLSLQDSGLIDKDYLTLELVNKVAGHIQYHFTENWEPDSCNAVIRTQAPSPSATPLWLQVYCDAKSLLDTGRINTARLVKVQLDYYPYTERRWHRWLPGRKERKQLLVAGLLPLMKDALWRPWLAWLM
+>sp|P39877|PA2G5_HUMAN Calcium-dependent phospholipase A2 OS=Homo sapiens OX=9606 GN=PLA2G5 PE=1 SV=1
+MKGLLPLAWFLACSVPAVQGGLLDLKSMIEKVTGKNALTNYGFYGCYCGWGGRGTPKDGTDWCCWAHDHCYGRLEEKGCNIRTQSYKYRFAWGVVTCEPGPFCHVNLCACDRKLVYCLKRNLRSYNPQYQYFPNILCS
+>DECOY_sp|P39877|PA2G5_HUMAN Calcium-dependent phospholipase A2 OS=Homo sapiens OX=9606 GN=PLA2G5 PE=1 SV=1
+SCLINPFYQYQPNYSRLNRKLCYVLKRDCACLNVHCFPGPECTVVGWAFRYKYSQTRINCGKEELRGYCHDHAWCCWDTGDKPTGRGGWGCYCGYFGYNTLANKGTVKEIMSKLDLLGGQVAPVSCALFWALPLLGKM
+>sp|P14555|PA2GA_HUMAN Phospholipase A2, membrane associated OS=Homo sapiens OX=9606 GN=PLA2G2A PE=1 SV=2
+MKTLLLLAVIMIFGLLQAHGNLVNFHRMIKLTTGKEAALSYGFYGCHCGVGGRGSPKDATDRCCVTHDCCYKRLEKRGCGTKFLSYKFSNSGSRITCAKQDSCRSQLCECDKAAATCFARNKTTYNKKYQYYSNKHCRGSTPRC
+>DECOY_sp|P14555|PA2GA_HUMAN Phospholipase A2, membrane associated OS=Homo sapiens OX=9606 GN=PLA2G2A PE=1 SV=2
+CRPTSGRCHKNSYYQYKKNYTTKNRAFCTAAAKDCECLQSRCSDQKACTIRSGSNSFKYSLFKTGCGRKELRKYCCDHTVCCRDTADKPSGRGGVGCHCGYFGYSLAAEKGTTLKIMRHFNVLNGHAQLLGFIMIVALLLLTKM
+>sp|Q9NZK7|PA2GE_HUMAN Group IIE secretory phospholipase A2 OS=Homo sapiens OX=9606 GN=PLA2G2E PE=1 SV=1
+MKSPHVLVFLCLLVALVTGNLVQFGVMIEKMTGKSALQYNDYGCYCGIGGSHWPVDQTDWCCHAHDCCYGRLEKLGCEPKLEKYLFSVSERGIFCAGRTTCQRLTCECDKRAALCFRRNLGTYNRKYAHYPNKLCTGPTPPC
+>DECOY_sp|Q9NZK7|PA2GE_HUMAN Group IIE secretory phospholipase A2 OS=Homo sapiens OX=9606 GN=PLA2G2E PE=1 SV=1
+CPPTPGTCLKNPYHAYKRNYTGLNRRFCLAARKDCECTLRQCTTRGACFIGRESVSFLYKELKPECGLKELRGYCCDHAHCCWDTQDVPWHSGGIGCYCGYDNYQLASKGTMKEIMVGFQVLNGTVLAVLLCLFVLVHPSKM
+>sp|Q9BZM2|PA2GF_HUMAN Group IIF secretory phospholipase A2 OS=Homo sapiens OX=9606 GN=PLA2G2F PE=1 SV=1
+MKKFFTVAILAGSVLSTAHGSLLNLKAMVEAVTGRSAILSFVGYGCYCGLGGRGQPKDEVDWCCHAHDCCYQELFDQGCHPYVDHYDHTIENNTEIVCSDLNKTECDKQTCMCDKNMVLCLMNQTYREEYRGFLNVYCQGPTPNCSIYEPPPEEVTCSHQSPAPPAPP
+>DECOY_sp|Q9BZM2|PA2GF_HUMAN Group IIF secretory phospholipase A2 OS=Homo sapiens OX=9606 GN=PLA2G2F PE=1 SV=1
+PPAPPAPSQHSCTVEEPPPEYISCNPTPGQCYVNLFGRYEERYTQNMLCLVMNKDCMCTQKDCETKNLDSCVIETNNEITHDYHDVYPHCGQDFLEQYCCDHAHCCWDVEDKPQGRGGLGCYCGYGVFSLIASRGTVAEVMAKLNLLSGHATSLVSGALIAVTFFKKM
+>sp|Q9BRP4|PAAF1_HUMAN Proteasomal ATPase-associated factor 1 OS=Homo sapiens OX=9606 GN=PAAF1 PE=1 SV=2
+MAAPLRIQSDWAQALRKDEGEAWLSCHPPGKPSLYGSLTCQGIGLDGIPEVTASEGFTVNEINKKSIHISCPKENASSKFLAPYTTFSRIHTKSITCLDISSRGGLGVSSSTDGTMKIWQASNGELRRVLEGHVFDVNCCRFFPSGLVVLSGGMDAQLKIWSAEDASCVVTFKGHKGGILDTAIVDRGRNVVSASRDGTARLWDCGRSACLGVLADCGSSINGVAVGAADNSINLGSPEQMPSEREVGTEAKMLLLAREDKKLQCLGLQSRQLVFLFIGSDAFNCCTFLSGFLLLAGTQDGNIYQLDVRSPRAPVQVIHRSGAPVLSLLSVRDGFIASQGDGSCFIVQQDLDYVTELTGADCDPVYKVATWEKQIYTCCRDGLVRRYQLSDL
+>DECOY_sp|Q9BRP4|PAAF1_HUMAN Proteasomal ATPase-associated factor 1 OS=Homo sapiens OX=9606 GN=PAAF1 PE=1 SV=2
+LDSLQYRRVLGDRCCTYIQKEWTAVKYVPDCDAGTLETVYDLDQQVIFCSGDGQSAIFGDRVSLLSLVPAGSRHIVQVPARPSRVDLQYINGDQTGALLLFGSLFTCCNFADSGIFLFVLQRSQLGLCQLKKDERALLLMKAETGVERESPMQEPSGLNISNDAAGVAVGNISSGCDALVGLCASRGCDWLRATGDRSASVVNRGRDVIATDLIGGKHGKFTVVCSADEASWIKLQADMGGSLVVLGSPFFRCCNVDFVHGELVRRLEGNSAQWIKMTGDTSSSVGLGGRSSIDLCTISKTHIRSFTTYPALFKSSANEKPCSIHISKKNIENVTFGESATVEPIGDLGIGQCTLSGYLSPKGPPHCSLWAEGEDKRLAQAWDSQIRLPAAM
+>sp|Q9ULC6|PADI1_HUMAN Protein-arginine deiminase type-1 OS=Homo sapiens OX=9606 GN=PADI1 PE=1 SV=2
+MAPKRVVQLSLKMPTHAVCVVGVEAHVDIHSDVPKGANSFRVSGSSGVEVFMVYNRTRVKEPIGKARWPLDTDADMVVSVGTASKELKDFKVRVSYFGEQEDQALGRSVLYLTGVDISLEVDTGRTGKVKRSQGDKKTWRWGPEGYGAILLVNCDRDNHRSAEPDLTHSWLMSLADLQDMSPMLLSCNGPDKLFDSHKLVLNVPFSDSKRVRVFCARGGNSLSDYKQVLGPQCLSYEVERQPGEQEIKFYVEGLTFPDADFLGLVSLSVSLVDPGTLPEVTLFTDTVGFRMAPWIMTPNTQPPEELYVCRVMDTHGSNEKFLEDMSYLTLKANCKLTICPQVENRNDRWIQDEMEFGYIEAPHKSFPVVFDSPRNRGLKDFPYKRILGPDFGYVTREIPLPGPSSLDSFGNLDVSPPVTVGGTEYPLGRILIGSSFPKSGGRQMARAVRNFLKAQQVQAPVELYSDWLSVGHVDEFLTFVPTSDQKGFRLLLASPSACLKLFQEKKEEGYGEAAQFDGLKHQAKRSINEMLADRHLQRDNLHAQKCIDWNRNVLKRELGLAESDIVDIPQLFFLKNFYAEAFFPDMVNMVVLGKYLGIPKPYGPIINGRCCLEEKVQSLLEPLGLHCIFIDDYLSYHELQGEIHCGTNVRRKPFPFKWWNMVP
+>DECOY_sp|Q9ULC6|PADI1_HUMAN Protein-arginine deiminase type-1 OS=Homo sapiens OX=9606 GN=PADI1 PE=1 SV=2
+PVMNWWKFPFPKRRVNTGCHIEGQLEHYSLYDDIFICHLGLPELLSQVKEELCCRGNIIPGYPKPIGLYKGLVVMNVMDPFFAEAYFNKLFFLQPIDVIDSEALGLERKLVNRNWDICKQAHLNDRQLHRDALMENISRKAQHKLGDFQAAEGYGEEKKEQFLKLCASPSALLLRFGKQDSTPVFTLFEDVHGVSLWDSYLEVPAQVQQAKLFNRVARAMQRGGSKPFSSGILIRGLPYETGGVTVPPSVDLNGFSDLSSPGPLPIERTVYGFDPGLIRKYPFDKLGRNRPSDFVVPFSKHPAEIYGFEMEDQIWRDNRNEVQPCITLKCNAKLTLYSMDELFKENSGHTDMVRCVYLEEPPQTNPTMIWPAMRFGVTDTFLTVEPLTGPDVLSVSLSVLGLFDADPFTLGEVYFKIEQEGPQREVEYSLCQPGLVQKYDSLSNGGRACFVRVRKSDSFPVNLVLKHSDFLKDPGNCSLLMPSMDQLDALSMLWSHTLDPEASRHNDRDCNVLLIAGYGEPGWRWTKKDGQSRKVKGTRGTDVELSIDVGTLYLVSRGLAQDEQEGFYSVRVKFDKLEKSATGVSVVMDADTDLPWRAKGIPEKVRTRNYVMFVEVGSSGSVRFSNAGKPVDSHIDVHAEVGVVCVAHTPMKLSLQVVRKPAM
+>sp|Q9Y2J8|PADI2_HUMAN Protein-arginine deiminase type-2 OS=Homo sapiens OX=9606 GN=PADI2 PE=1 SV=2
+MLRERTVRLQYGSRVEAVYVLGTYLWTDVYSAAPAGAQTFSLKHSEHVWVEVVRDGEAEEVATNGKQRWLLSPSTTLRVTMSQASTEASSDKVTVNYYDEEGSIPIDQAGLFLTAIEISLDVDADRDGVVEKNNPKKASWTWGPEGQGAILLVNCDRETPWLPKEDCRDEKVYSKEDLKDMSQMILRTKGPDRLPAGYEIVLYISMSDSDKVGVFYVENPFFGQRYIHILGRRKLYHVVKYTGGSAELLFFVEGLCFPDEGFSGLVSIHVSLLEYMAQDIPLTPIFTDTVIFRIAPWIMTPNILPPVSVFVCCMKDNYLFLKEVKNLVEKTNCELKVCFQYLNRGDRWIQDEIEFGYIEAPHKGFPVVLDSPRDGNLKDFPVKELLGPDFGYVTREPLFESVTSLDSFGNLEVSPPVTVNGKTYPLGRILIGSSFPLSGGRRMTKVVRDFLKAQQVQAPVELYSDWLTVGHVDEFMSFVPIPGTKKFLLLMASTSACYKLFREKQKDGHGEAIMFKGLGGMSSKRITINKILSNESLVQENLYFQRCLDWNRDILKKELGLTEQDIIDLPALFKMDEDHRARAFFPNMVNMIVLDKDLGIPKPFGPQVEEECCLEMHVRGLLEPLGLECTFIDDISAYHKFLGEVHCGTNVRRKPFTFKWWHMVP
+>DECOY_sp|Q9Y2J8|PADI2_HUMAN Protein-arginine deiminase type-2 OS=Homo sapiens OX=9606 GN=PADI2 PE=1 SV=2
+PVMHWWKFTFPKRRVNTGCHVEGLFKHYASIDDIFTCELGLPELLGRVHMELCCEEEVQPGFPKPIGLDKDLVIMNVMNPFFARARHDEDMKFLAPLDIIDQETLGLEKKLIDRNWDLCRQFYLNEQVLSENSLIKNITIRKSSMGGLGKFMIAEGHGDKQKERFLKYCASTSAMLLLFKKTGPIPVFSMFEDVHGVTLWDSYLEVPAQVQQAKLFDRVVKTMRRGGSLPFSSGILIRGLPYTKGNVTVPPSVELNGFSDLSTVSEFLPERTVYGFDPGLLEKVPFDKLNGDRPSDLVVPFGKHPAEIYGFEIEDQIWRDGRNLYQFCVKLECNTKEVLNKVEKLFLYNDKMCCVFVSVPPLINPTMIWPAIRFIVTDTFIPTLPIDQAMYELLSVHISVLGSFGEDPFCLGEVFFLLEASGGTYKVVHYLKRRGLIHIYRQGFFPNEVYFVGVKDSDSMSIYLVIEYGAPLRDPGKTRLIMQSMDKLDEKSYVKEDRCDEKPLWPTERDCNVLLIAGQGEPGWTWSAKKPNNKEVVGDRDADVDLSIEIATLFLGAQDIPISGEEDYYNVTVKDSSAETSAQSMTVRLTTSPSLLWRQKGNTAVEEAEGDRVVEVWVHESHKLSFTQAGAPAASYVDTWLYTGLVYVAEVRSGYQLRVTRERLM
+>sp|Q8NCC3|PAG15_HUMAN Group XV phospholipase A2 OS=Homo sapiens OX=9606 GN=PLA2G15 PE=1 SV=2
+MGLHLRPYRVGLLPDGLLFLLLLLMLLADPALPAGRHPPVVLVPGDLGNQLEAKLDKPTVVHYLCSKKTESYFTIWLNLELLLPVIIDCWIDNIRLVYNKTSRATQFPDGVDVRVPGFGKTFSLEFLDPSKSSVGSYFHTMVESLVGWGYTRGEDVRGAPYDWRRAPNENGPYFLALREMIEEMYQLYGGPVVLVAHSMGNMYTLYFLQRQPQAWKDKYIRAFVSLGAPWGGVAKTLRVLASGDNNRIPVIGPLKIREQQRSAVSTSWLLPYNYTWSPEKVFVQTPTINYTLRDYRKFFQDIGFEDGWLMRQDTEGLVEATMPPGVQLHCLYGTGVPTPDSFYYESFPDRDPKICFGDGDGTVNLKSALQCQAWQSRQEHQVLLQELPGSEHIEMLANATTLAYLKRVLLGP
+>DECOY_sp|Q8NCC3|PAG15_HUMAN Group XV phospholipase A2 OS=Homo sapiens OX=9606 GN=PLA2G15 PE=1 SV=2
+PGLLVRKLYALTTANALMEIHESGPLEQLLVQHEQRSQWAQCQLASKLNVTGDGDGFCIKPDRDPFSEYYFSDPTPVGTGYLCHLQVGPPMTAEVLGETDQRMLWGDEFGIDQFFKRYDRLTYNITPTQVFVKEPSWTYNYPLLWSTSVASRQQERIKLPGIVPIRNNDGSALVRLTKAVGGWPAGLSVFARIYKDKWAQPQRQLFYLTYMNGMSHAVLVVPGGYLQYMEEIMERLALFYPGNENPARRWDYPAGRVDEGRTYGWGVLSEVMTHFYSGVSSKSPDLFELSFTKGFGPVRVDVGDPFQTARSTKNYVLRINDIWCDIIVPLLLELNLWITFYSETKKSCLYHVVTPKDLKAELQNGLDGPVLVVPPHRGAPLAPDALLMLLLLLFLLGDPLLGVRYPRLHLGM
+>sp|O75914|PAK3_HUMAN Serine/threonine-protein kinase PAK 3 OS=Homo sapiens OX=9606 GN=PAK3 PE=1 SV=2
+MSDGLDNEEKPPAPPLRMNSNNRDSSALNHSSKPLPMAPEEKNKKARLRSIFPGGGDKTNKKKEKERPEISLPSDFEHTIHVGFDAVTGEFTPDLYGSQMCPGKLPEGIPEQWARLLQTSNITKLEQKKNPQAVLDVLKFYDSKETVNNQKYMSFTSGDKSAHGYIAAHPSSTKTASEPPLAPPVSEEEDEEEEEEEDENEPPPVIAPRPEHTKSIYTRSVVESIASPAVPNKEVTPPSAENANSSTLYRNTDRQRKKSKMTDEEILEKLRSIVSVGDPKKKYTRFEKIGQGASGTVYTALDIATGQEVAIKQMNLQQQPKKELIINEILVMRENKNPNIVNYLDSYLVGDELWVVMEYLAGGSLTDVVTETCMDEGQIAAVCRECLQALDFLHSNQVIHRDIKSDNILLGMDGSVKLTDFGFCAQITPEQSKRSTMVGTPYWMAPEVVTRKAYGPKVDIWSLGIMAIEMVEGEPPYLNENPLRALYLIATNGTPELQNPERLSAVFRDFLNRCLEMDVDRRGSAKELLQHPFLKLAKPLSSLTPLIIAAKEAIKNSSR
+>DECOY_sp|O75914|PAK3_HUMAN Serine/threonine-protein kinase PAK 3 OS=Homo sapiens OX=9606 GN=PAK3 PE=1 SV=2
+RSSNKIAEKAAIILPTLSSLPKALKLFPHQLLEKASGRRDVDMELCRNLFDRFVASLREPNQLEPTGNTAILYLARLPNENLYPPEGEVMEIAMIGLSWIDVKPGYAKRTVVEPAMWYPTGVMTSRKSQEPTIQACFGFDTLKVSGDMGLLINDSKIDRHIVQNSHLFDLAQLCERCVAAIQGEDMCTETVVDTLSGGALYEMVVWLEDGVLYSDLYNVINPNKNERMVLIENIILEKKPQQQLNMQKIAVEQGTAIDLATYVTGSAGQGIKEFRTYKKKPDGVSVISRLKELIEEDTMKSKKRQRDTNRYLTSSNANEASPPTVEKNPVAPSAISEVVSRTYISKTHEPRPAIVPPPENEDEEEEEEEDEEESVPPALPPESATKTSSPHAAIYGHASKDGSTFSMYKQNNVTEKSDYFKLVDLVAQPNKKQELKTINSTQLLRAWQEPIGEPLKGPCMQSGYLDPTFEGTVADFGVHITHEFDSPLSIEPREKEKKKNTKDGGGPFISRLRAKKNKEEPAMPLPKSSHNLASSDRNNSNMRLPPAPPKEENDLGDSM
+>sp|Q9P286|PAK5_HUMAN Serine/threonine-protein kinase PAK 5 OS=Homo sapiens OX=9606 GN=PAK5 PE=1 SV=1
+MFGKKKKKIEISGPSNFEHRVHTGFDPQEQKFTGLPQQWHSLLADTANRPKPMVDPSCITPIQLAPMKTIVRGNKPCKETSINGLLEDFDNISVTRSNSLRKESPPTPDQGASSHGPGHAEENGFITFSQYSSESDTTADYTTEKYREKSLYGDDLDPYYRGSHAAKQNGHVMKMKHGEAYYSEVKPLKSDFARFSADYHSHLDSLSKPSEYSDLKWEYQRASSSSPLDYSFQFTPSRTAGTSGCSKESLAYSESEWGPSLDDYDRRPKSSYLNQTSPQPTMRQRSRSGSGLQEPMMPFGASAFKTHPQGHSYNSYTYPRLSEPTMCIPKVDYDRAQMVLSPPLSGSDTYPRGPAKLPQSQSKSGYSSSSHQYPSGYHKATLYHHPSLQSSSQYISTASYLSSLSLSSSTYPPPSWGSSSDQQPSRVSHEQFRAALQLVVSPGDPREYLANFIKIGEGSTGIVCIATEKHTGKQVAVKKMDLRKQQRRELLFNEVVIMRDYHHDNVVDMYSSYLVGDELWVVMEFLEGGALTDIVTHTRMNEEQIATVCLSVLRALSYLHNQGVIHRDIKSDSILLTSDGRIKLSDFGFCAQVSKEVPKRKSLVGTPYWMAPEVISRLPYGTEVDIWSLGIMVIEMIDGEPPYFNEPPLQAMRRIRDSLPPRVKDLHKVSSVLRGFLDLMLVREPSQRATAQELLGHPFLKLAGPPSCIVPLMRQYRHH
+>DECOY_sp|Q9P286|PAK5_HUMAN Serine/threonine-protein kinase PAK 5 OS=Homo sapiens OX=9606 GN=PAK5 PE=1 SV=1
+HHRYQRMLPVICSPPGALKLFPHGLLEQATARQSPERVLMLDLFGRLVSSVKHLDKVRPPLSDRIRRMAQLPPENFYPPEGDIMEIVMIGLSWIDVETGYPLRSIVEPAMWYPTGVLSKRKPVEKSVQACFGFDSLKIRGDSTLLISDSKIDRHIVGQNHLYSLARLVSLCVTAIQEENMRTHTVIDTLAGGELFEMVVWLEDGVLYSSYMDVVNDHHYDRMIVVENFLLERRQQKRLDMKKVAVQKGTHKETAICVIGTSGEGIKIFNALYERPDGPSVVLQLAARFQEHSVRSPQQDSSSGWSPPPYTSSSLSLSSLYSATSIYQSSSQLSPHHYLTAKHYGSPYQHSSSSYGSKSQSQPLKAPGRPYTDSGSLPPSLVMQARDYDVKPICMTPESLRPYTYSNYSHGQPHTKFASAGFPMMPEQLGSGSRSRQRMTPQPSTQNLYSSKPRRDYDDLSPGWESESYALSEKSCGSTGATRSPTFQFSYDLPSSSSARQYEWKLDSYESPKSLSDLHSHYDASFRAFDSKLPKVESYYAEGHKMKMVHGNQKAAHSGRYYPDLDDGYLSKERYKETTYDATTDSESSYQSFTIFGNEEAHGPGHSSAGQDPTPPSEKRLSNSRTVSINDFDELLGNISTEKCPKNGRVITKMPALQIPTICSPDVMPKPRNATDALLSHWQQPLGTFKQEQPDFGTHVRHEFNSPGSIEIKKKKKGFM
+>sp|F5H284|PAL4D_HUMAN Peptidyl-prolyl cis-trans isomerase A-like 4D OS=Homo sapiens OX=9606 GN=PPIAL4D PE=3 SV=1
+MVNSVVFFEITRDGKPLGRISIKLFADKIPKTAENFRALSTGEKGFRYKGSCFHRIIPGFMCQGGDFTRPNGTGDKSIYGEKFDDENLIRKHTGSGILSMANAGPNTNGSQFFICAAKTEWLDGKHVAFGKVKERVNIVEATEHFGYRNSKTSKKITIADCGQF
+>DECOY_sp|F5H284|PAL4D_HUMAN Peptidyl-prolyl cis-trans isomerase A-like 4D OS=Homo sapiens OX=9606 GN=PPIAL4D PE=3 SV=1
+FQGCDAITIKKSTKSNRYGFHETAEVINVREKVKGFAVHKGDLWETKAACIFFQSGNTNPGANAMSLIGSGTHKRILNEDDFKEGYISKDGTGNPRTFDGGQCMFGPIIRHFCSGKYRFGKEGTSLARFNEATKPIKDAFLKISIRGLPKGDRTIEFFVVSNVM
+>sp|Q9ULE6|PALD_HUMAN Paladin OS=Homo sapiens OX=9606 GN=PALD1 PE=1 SV=3
+MGTTASTAQQTVSAGTPFEGLQGSGTMDSRHSVSIHSFQSTSLHNSKAKSIIPNKVAPVVITYNCKEEFQIHDELLKAHYTLGRLSDNTPEHYLVQGRYFLVRDVTEKMDVLGTVGSCGAPNFRQVQGGLTVFGMGQPSLSGFRRVLQKLQKDGHRECVIFCVREEPVLFLRADEDFVSYTPRDKQNLHENLQGLGPGVRVESLELAIRKEIHDFAQLSENTYHVYHNTEDLWGEPHAVAIHGEDDLHVTEEVYKRPLFLQPTYRYHRLPLPEQGSPLEAQLDAFVSVLRETPSLLQLRDAHGPPPALVFSCQMGVGRTNLGMVLGTLILLHRSGTTSQPEAAPTQAKPLPMEQFQVIQSFLRMVPQGRRMVEEVDRAITACAELHDLKEVVLENQKKLEGIRPESPAQGSGSRHSVWQRALWSLERYFYLILFNYYLHEQYPLAFALSFSRWLCAHPELYRLPVTLSSAGPVAPRDLIARGSLREDDLVSPDALSTVREMDVANFRRVPRMPIYGTAQPSAKALGSILAYLTDAKRRLRKVVWVSLREEAVLECDGHTYSLRWPGPPVAPDQLETLEAQLKAHLSEPPPGKEGPLTYRFQTCLTMQEVFSQHRRACPGLTYHRIPMPDFCAPREEDFDQLLEALRAALSKDPGTGFVFSCLSGQGRTTTAMVVAVLAFWHIQGFPEVGEEELVSVPDAKFTKGEFQVVMKVVQLLPDGHRVKKEVDAALDTVSETMTPMHYHLREIIICTYRQAKAAKEAQEMRRLQLRSLQYLERYVCLILFNAYLHLEKADSWQRPFSTWMQEVASKAGIYEILNELGFPELESGEDQPFSRLRYRWQEQSCSLEPSAPEDLL
+>DECOY_sp|Q9ULE6|PALD_HUMAN Paladin OS=Homo sapiens OX=9606 GN=PALD1 PE=1 SV=3
+LLDEPASPELSCSQEQWRYRLRSFPQDEGSELEPFGLENLIEYIGAKSAVEQMWTSFPRQWSDAKELHLYANFLILCVYRELYQLSRLQLRRMEQAEKAAKAQRYTCIIIERLHYHMPTMTESVTDLAADVEKKVRHGDPLLQVVKMVVQFEGKTFKADPVSVLEEEGVEPFGQIHWFALVAVVMATTTRGQGSLCSFVFGTGPDKSLAARLAELLQDFDEERPACFDPMPIRHYTLGPCARRHQSFVEQMTLCTQFRYTLPGEKGPPPESLHAKLQAELTELQDPAVPPGPWRLSYTHGDCELVAEERLSVWVVKRLRRKADTLYALISGLAKASPQATGYIPMRPVRRFNAVDMERVTSLADPSVLDDERLSGRAILDRPAVPGASSLTVPLRYLEPHACLWRSFSLAFALPYQEHLYYNFLILYFYRELSWLARQWVSHRSGSGQAPSEPRIGELKKQNELVVEKLDHLEACATIARDVEEVMRRGQPVMRLFSQIVQFQEMPLPKAQTPAAEPQSTTGSRHLLILTGLVMGLNTRGVGMQCSFVLAPPPGHADRLQLLSPTERLVSVFADLQAELPSGQEPLPLRHYRYTPQLFLPRKYVEETVHLDDEGHIAVAHPEGWLDETNHYVHYTNESLQAFDHIEKRIALELSEVRVGPGLGQLNEHLNQKDRPTYSVFDEDARLFLVPEERVCFIVCERHGDKQLKQLVRRFGSLSPQGMGFVTLGGQVQRFNPAGCSGVTGLVDMKETVDRVLFYRGQVLYHEPTNDSLRGLTYHAKLLEDHIQFEEKCNYTIVVPAVKNPIISKAKSNHLSTSQFSHISVSHRSDMTGSGQLGEFPTGASVTQQATSATTGM
+>sp|O75781|PALM_HUMAN Paralemmin-1 OS=Homo sapiens OX=9606 GN=PALM PE=1 SV=2
+MEVLAAETTSQQERLQAIAEKRKRQAEIENKRRQLEDERRQLQHLKSKALRERWLLEGTPSSASEGDEDLRRQMQDDEQKTRLLEDSVSRLEKEIEVLERGDSAPATAKENAAAPSPVRAPAPSPAKEERKTEVVMNSQQTPVGTPKDKRVSNTPLRTVDGSPMMKAAMYSVEITVEKDKVTGETRVLSSTTLLPRQPLPLGIKVYEDETKVVHAVDGTAENGIHPLSSSEVDELIHKADEVTLSEAGSTAGAAETRGAVEGAARTTPSRREITGVQAQPGEATSGPPGIQPGQEPPVTMIFMGYQNVEDEAETKKVLGLQDTITAELVVIEDAAEPKEPAPPNGSAAEPPTEAASREENQAGPEATTSDPQDLDMKKHRCKCCSIM
+>DECOY_sp|O75781|PALM_HUMAN Paralemmin-1 OS=Homo sapiens OX=9606 GN=PALM PE=1 SV=2
+MISCCKCRHKKMDLDQPDSTTAEPGAQNEERSAAETPPEAASGNPPAPEKPEAADEIVVLEATITDQLGLVKKTEAEDEVNQYGMFIMTVPPEQGPQIGPPGSTAEGPQAQVGTIERRSPTTRAAGEVAGRTEAAGATSGAESLTVEDAKHILEDVESSSLPHIGNEATGDVAHVVKTEDEYVKIGLPLPQRPLLTTSSLVRTEGTVKDKEVTIEVSYMAAKMMPSGDVTRLPTNSVRKDKPTGVPTQQSNMVVETKREEKAPSPAPARVPSPAAANEKATAPASDGRELVEIEKELRSVSDELLRTKQEDDQMQRRLDEDGESASSPTGELLWRERLAKSKLHQLQRREDELQRRKNEIEAQRKRKEAIAQLREQQSTTEAALVEM
+>sp|P03986|TRGC2_HUMAN T-cell receptor gamma-2 chain C region OS=Homo sapiens OX=9606 GN=TRGC2 PE=1 SV=1
+DKQLDADVSPKPTIFLPSIAETKLQKAGTYLCLLEKFFPDIIKIHWQEKKSNTILGSQEGNTMKTNDTYMKFSWLTVPEESLDKEHRCIVRHENNKNGIDQEIIFPPIKTDVTTVDPKDSYSKDANDVITMDPKDNWSKDANDTLLLQLTNTSAYYMYLLLLLKSVVYFAIITCCLLGRTAFCCNGEKS
+>DECOY_sp|P03986|TRGC2_HUMAN T-cell receptor gamma-2 chain C region OS=Homo sapiens OX=9606 GN=TRGC2 PE=1 SV=1
+SKEGNCCFATRGLLCCTIIAFYVVSKLLLLLYMYYASTNTLQLLLTDNADKSWNDKPDMTIVDNADKSYSDKPDVTTVDTKIPPFIIEQDIGNKNNEHRVICRHEKDLSEEPVTLWSFKMYTDNTKMTNGEQSGLITNSKKEQWHIKIIDPFFKELLCLYTGAKQLKTEAISPLFITPKPSVDADLQKD
+>sp|Q9UKU6|TRHDE_HUMAN Thyrotropin-releasing hormone-degrading ectoenzyme OS=Homo sapiens OX=9606 GN=TRHDE PE=2 SV=1
+MGEDDAALRAGSRGLSDPWADSVGVRPRTTERHIAVHKRLVLAFAVSLVALLAVTMLAVLLSLRFDECGASATPGADGGPSGFPERGGNGSLPGSARRNHHAGGDSWQPEAGGVASPGTTSAQPPSEEEREPWEPWTQLRLSGHLKPLHYNLMLTAFMENFTFSGEVNVEIACRNATRYVVLHASRVAVEKVQLAEDRAFGAVPVAGFFLYPQTQVLVVVLNRTLDAQRNYNLKIIYNALIENELLGFFRSSYVLHGERRFLGVTQFSPTHARKAFPCFDEPIYKATFKISIKHQATYLSLSNMPVETSVFEEDGWVTDHFSQTPLMSTYYLAWAICNFTYRETTTKSGVVVRLYARPDAIRRGSGDYALHITKRLIEFYEDYFKVPYSLPKLDLLAVPKHPYAAMENWGLSIFVEQRILLDPSVSSISYLLDVTMVIVHEICHQWFGDLVTPVWWEDVWLKEGFAHYFEFVGTDYLYPGWNMEKQRFLTDVLHEVMLLDGLASSHPVSQEVLQATDIDRVFDWIAYKKGAALIRMLANFMGHSVFQRGLQDYLTIHKYGNAARNDLWNTLSEALKRNGKYVNIQEVMDQWTLQMGYPVITILGNTTAENRIIITQQHFIYDISAKTKALKLQNNSYLWQIPLTIVVGNRSHVSSEAIIWVSNKSEHHRITYLDKGSWLLGNINQTGYFRVNYDLRNWRLLIDQLIRNHEVLSVSNRAGLIDDAFSLARAGYLPQNIPLEIIRYLSEEKDFLPWHAASRALYPLDKLLDRMENYNIFNEYILKQVATTYIKLGWPKNNFNGSLVQASYQHEELRREVIMLACSFGNKHCHQQASTLISDWISSNRNRIPLNVRDIVYCTGVSLLDEDVWEFIWMKFHSTTAVSEKKILLEALTCSDDRNLLNRLLNLSLNSEVVLDQDAIDVIIHVARNPHGRDLAWKFFRDKWKILNTRYGEALFMNSKLISGVTEFLNTEGELKELKNFMKNYDGVAAASFSRAVETVEANVRWKMLYQDELFQWLGKALRH
+>DECOY_sp|Q9UKU6|TRHDE_HUMAN Thyrotropin-releasing hormone-degrading ectoenzyme OS=Homo sapiens OX=9606 GN=TRHDE PE=2 SV=1
+HRLAKGLWQFLEDQYLMKWRVNAEVTEVARSFSAAAVGDYNKMFNKLEKLEGETNLFETVGSILKSNMFLAEGYRTNLIKWKDRFFKWALDRGHPNRAVHIIVDIADQDLVVESNLSLNLLRNLLNRDDSCTLAELLIKKESVATTSHFKMWIFEWVDEDLLSVGTCYVIDRVNLPIRNRNSSIWDSILTSAQQHCHKNGFSCALMIVERRLEEHQYSAQVLSGNFNNKPWGLKIYTTAVQKLIYENFINYNEMRDLLKDLPYLARSAAHWPLFDKEESLYRIIELPINQPLYGARALSFADDILGARNSVSLVEHNRILQDILLRWNRLDYNVRFYGTQNINGLLWSGKDLYTIRHHESKNSVWIIAESSVHSRNGVVITLPIQWLYSNNQLKLAKTKASIDYIFHQQTIIIRNEATTNGLITIVPYGMQLTWQDMVEQINVYKGNRKLAESLTNWLDNRAANGYKHITLYDQLGRQFVSHGMFNALMRILAAGKKYAIWDFVRDIDTAQLVEQSVPHSSALGDLLMVEHLVDTLFRQKEMNWGPYLYDTGVFEFYHAFGEKLWVDEWWVPTVLDGFWQHCIEHVIVMTVDLLYSISSVSPDLLIRQEVFISLGWNEMAAYPHKPVALLDLKPLSYPVKFYDEYFEILRKTIHLAYDGSGRRIADPRAYLRVVVGSKTTTERYTFNCIAWALYYTSMLPTQSFHDTVWGDEEFVSTEVPMNSLSLYTAQHKISIKFTAKYIPEDFCPFAKRAHTPSFQTVGLFRREGHLVYSSRFFGLLENEILANYIIKLNYNRQADLTRNLVVVLVQTQPYLFFGAVPVAGFARDEALQVKEVAVRSAHLVVYRTANRCAIEVNVEGSFTFNEMFATLMLNYHLPKLHGSLRLQTWPEWPEREEESPPQASTTGPSAVGGAEPQWSDGGAHHNRRASGPLSGNGGREPFGSPGGDAGPTASAGCEDFRLSLLVALMTVALLAVLSVAFALVLRKHVAIHRETTRPRVGVSDAWPDSLGRSGARLAADDEGM
+>sp|Q96F44|TRI11_HUMAN E3 ubiquitin-protein ligase TRIM11 OS=Homo sapiens OX=9606 GN=TRIM11 PE=1 SV=2
+MAAPDLSTNLQEEATCAICLDYFTDPVMTDCGHNFCRECIRRCWGQPEGPYACPECRELSPQRNLRPNRPLAKMAEMARRLHPPSPVPQGVCPAHREPLAAFCGDELRLLCAACERSGEHWAHRVRPLQDAAEDLKAKLEKSLEHLRKQMQDALLFQAQADETCVLWQKMVESQRQNVLGEFERLRRLLAEEEQQLLQRLEEEELEVLPRLREGAAHLGQQSAHLAELIAELEGRCQLPALGLLQDIKDALRRVQDVKLQPPEVVPMELRTVCRVPGLVETLRRFRGDVTLDPDTANPELILSEDRRSVQRGDLRQALPDSPERFDPGPCVLGQERFTSGRHYWEVEVGDRTSWALGVCRENVNRKEKGELSAGNGFWILVFLGSYYNSSERALAPLRDPPRRVGIFLDYEAGHLSFYSATDGSLLFIFPEIPFSGTLRPLFSPLSSSPTPMTICRPKGGSGDTLAPQ
+>DECOY_sp|Q96F44|TRI11_HUMAN E3 ubiquitin-protein ligase TRIM11 OS=Homo sapiens OX=9606 GN=TRIM11 PE=1 SV=2
+QPALTDGSGGKPRCITMPTPSSSLPSFLPRLTGSFPIEPFIFLLSGDTASYFSLHGAEYDLFIGVRRPPDRLPALARESSNYYSGLFVLIWFGNGASLEGKEKRNVNERCVGLAWSTRDGVEVEWYHRGSTFREQGLVCPGPDFREPSDPLAQRLDGRQVSRRDESLILEPNATDPDLTVDGRFRRLTEVLGPVRCVTRLEMPVVEPPQLKVDQVRRLADKIDQLLGLAPLQCRGELEAILEALHASQQGLHAAGERLRPLVELEEEELRQLLQQEEEALLRRLREFEGLVNQRQSEVMKQWLVCTEDAQAQFLLADQMQKRLHELSKELKAKLDEAADQLPRVRHAWHEGSRECAACLLRLEDGCFAALPERHAPCVGQPVPSPPHLRRAMEAMKALPRNPRLNRQPSLERCEPCAYPGEPQGWCRRICERCFNHGCDTMVPDTFYDLCIACTAEEQLNTSLDPAAM
+>sp|Q9C019|TRI15_HUMAN Tripartite motif-containing protein 15 OS=Homo sapiens OX=9606 GN=TRIM15 PE=1 SV=1
+MPATPSLKVVHELPACTLCAGPLEDAVTIPCGHTFCRLCLPALSQMGAQSSGKILLCPLCQEEEQAETPMAPVPLGPLGETYCEEHGEKIYFFCENDAEFLCVFCREGPTHQAHTVGFLDEAIQPYRDRLRSRLEALSTERDEIEDVKCQEDQKLQVLLTQIESKKHQVETAFERLQQELEQQRCLLLARLRELEQQIWKERDEYITKVSEEVTRLGAQVKELEEKCQQPASELLQDVRVNQSRCEMKTFVSPEAISPDLVKKIRDFHRKILTLPEMMRMFSENLAHHLEIDSGVITLDPQTASRSLVLSEDRKSVRYTRQKKSLPDSPLRFDGLPAVLGFPGFSSGRHRWQVDLQLGDGGGCTVGVAGEGVRRKGEMGLSAEDGVWAVIISHQQCWASTSPGTDLPLSEIPRGVRVALDYEAGQVTLHNAQTQEPIFTFTASFSGKVFPFFAVWKKGSCLTLKG
+>DECOY_sp|Q9C019|TRI15_HUMAN Tripartite motif-containing protein 15 OS=Homo sapiens OX=9606 GN=TRIM15 PE=1 SV=1
+GKLTLCSGKKWVAFFPFVKGSFSATFTFIPEQTQANHLTVQGAEYDLAVRVGRPIESLPLDTGPSTSAWCQQHSIIVAWVGDEASLGMEGKRRVGEGAVGVTCGGGDGLQLDVQWRHRGSSFGPFGLVAPLGDFRLPSDPLSKKQRTYRVSKRDESLVLSRSATQPDLTIVGSDIELHHALNESFMRMMEPLTLIKRHFDRIKKVLDPSIAEPSVFTKMECRSQNVRVDQLLESAPQQCKEELEKVQAGLRTVEESVKTIYEDREKWIQQELERLRALLLCRQQELEQQLREFATEVQHKKSEIQTLLVQLKQDEQCKVDEIEDRETSLAELRSRLRDRYPQIAEDLFGVTHAQHTPGERCFVCLFEADNECFFYIKEGHEECYTEGLPGLPVPAMPTEAQEEEQCLPCLLIKGSSQAGMQSLAPLCLRCFTHGCPITVADELPGACLTCAPLEHVVKLSPTAPM
+>sp|O95361|TRI16_HUMAN Tripartite motif-containing protein 16 OS=Homo sapiens OX=9606 GN=TRIM16 PE=1 SV=3
+MAELDLMAPGPLPRATAQPPAPLSPDSGSPSPDSGSASPVEEEDVGSSEKLGRETEEQDSDSAEQGDPAGEGKEVLCDFCLDDTRRVKAVKSCLTCMVNYCEEHLQPHQVNIKLQSHLLTEPVKDHNWRYCPAHHSPLSAFCCPDQQCICQDCCQEHSGHTIVSLDAARRDKEAELQCTQLDLERKLKLNENAISRLQANQKSVLVSVSEVKAVAEMQFGELLAAVRKAQANVMLFLEEKEQAALSQANGIKAHLEYRSAEMEKSKQELERMAAISNTVQFLEEYCKFKNTEDITFPSVYVGLKDKLSGIRKVITESTVHLIQLLENYKKKLQEFSKEEEYDIRTQVSAVVQRKYWTSKPEPSTREQFLQYAYDITFDPDTAHKYLRLQEENRKVTNTTPWEHPYPDLPSRFLHWRQVLSQQSLYLHRYYFEVEIFGAGTYVGLTCKGIDRKGEERNSCISGNNFSWSLQWNGKEFTAWYSDMETPLKAGPFRRLGVYIDFPGGILSFYGVEYDTMTLVHKFACKFSEPVYAAFWLSKKENAIRIVDLGEEPEKPAPSLVGTAP
+>DECOY_sp|O95361|TRI16_HUMAN Tripartite motif-containing protein 16 OS=Homo sapiens OX=9606 GN=TRIM16 PE=1 SV=3
+PATGVLSPAPKEPEEGLDVIRIANEKKSLWFAAYVPESFKCAFKHVLTMTDYEVGYFSLIGGPFDIYVGLRRFPGAKLPTEMDSYWATFEKGNWQLSWSFNNGSICSNREEGKRDIGKCTLGVYTGAGFIEVEFYYRHLYLSQQSLVQRWHLFRSPLDPYPHEWPTTNTVKRNEEQLRLYKHATDPDFTIDYAYQLFQERTSPEPKSTWYKRQVVASVQTRIDYEEEKSFEQLKKKYNELLQILHVTSETIVKRIGSLKDKLGVYVSPFTIDETNKFKCYEELFQVTNSIAAMRELEQKSKEMEASRYELHAKIGNAQSLAAQEKEELFLMVNAQAKRVAALLEGFQMEAVAKVESVSVLVSKQNAQLRSIANENLKLKRELDLQTCQLEAEKDRRAADLSVITHGSHEQCCDQCICQQDPCCFASLPSHHAPCYRWNHDKVPETLLHSQLKINVQHPQLHEECYNVMCTLCSKVAKVRRTDDLCFDCLVEKGEGAPDGQEASDSDQEETERGLKESSGVDEEEVPSASGSDPSPSGSDPSLPAPPQATARPLPGPAMLDLEAM
+>sp|Q14258|TRI25_HUMAN E3 ubiquitin/ISG15 ligase TRIM25 OS=Homo sapiens OX=9606 GN=TRIM25 PE=1 SV=2
+MAELCPLAEELSCSICLEPFKEPVTTPCGHNFCGSCLNETWAVQGSPYLCPQCRAVYQARPQLHKNTVLCNVVEQFLQADLAREPPADVWTPPARASAPSPNAQVACDHCLKEAAVKTCLVCMASFCQEHLQPHFDSPAFQDHPLQPPVRDLLRRKCSQHNRLREFFCPEHSECICHICLVEHKTCSPASLSQASADLEATLRHKLTVMYSQINGASRALDDVRNRQQDVRMTANRKVEQLQQEYTEMKALLDASETTSTRKIKEEEKRVNSKFDTIYQILLKKKSEIQTLKEEIEQSLTKRDEFEFLEKASKLRGISTKPVYIPEVELNHKLIKGIHQSTIDLKNELKQCIGRLQEPTPSSGDPGEHDPASTHKSTRPVKKVSKEEKKSKKPPPVPALPSKLPTFGAPEQLVDLKQAGLEAAAKATSSHPNSTSLKAKVLETFLAKSRPELLEYYIKVILDYNTAHNKVALSECYTVASVAEMPQNYRPHPQRFTYCSQVLGLHCYKKGIHYWEVELQKNNFCGVGICYGSMNRQGPESRLGRNSASWCVEWFNTKISAWHNNVEKTLPSTKATRVGVLLNCDHGFVIFFAVADKVHLMYKFRVDFTEALYPAFWVFSAGATLSICSPK
+>DECOY_sp|Q14258|TRI25_HUMAN E3 ubiquitin/ISG15 ligase TRIM25 OS=Homo sapiens OX=9606 GN=TRIM25 PE=1 SV=2
+KPSCISLTAGASFVWFAPYLAETFDVRFKYMLHVKDAVAFFIVFGHDCNLLVGVRTAKTSPLTKEVNNHWASIKTNFWEVCWSASNRGLRSEPGQRNMSGYCIGVGCFNNKQLEVEWYHIGKKYCHLGLVQSCYTFRQPHPRYNQPMEAVSAVTYCESLAVKNHATNYDLIVKIYYELLEPRSKALFTELVKAKLSTSNPHSSTAKAAAELGAQKLDVLQEPAGFTPLKSPLAPVPPPKKSKKEEKSVKKVPRTSKHTSAPDHEGPDGSSPTPEQLRGICQKLENKLDITSQHIGKILKHNLEVEPIYVPKTSIGRLKSAKELFEFEDRKTLSQEIEEKLTQIESKKKLLIQYITDFKSNVRKEEEKIKRTSTTESADLLAKMETYEQQLQEVKRNATMRVDQQRNRVDDLARSAGNIQSYMVTLKHRLTAELDASAQSLSAPSCTKHEVLCIHCICESHEPCFFERLRNHQSCKRRLLDRVPPQLPHDQFAPSDFHPQLHEQCFSAMCVLCTKVAAEKLCHDCAVQANPSPASARAPPTWVDAPPERALDAQLFQEVVNCLVTNKHLQPRAQYVARCQPCLYPSGQVAWTENLCSGCFNHGCPTTVPEKFPELCISCSLEEALPCLEAM
+>sp|Q9H8W5|TRI45_HUMAN Tripartite motif-containing protein 45 OS=Homo sapiens OX=9606 GN=TRIM45 PE=1 SV=2
+MSENRKPLLGFVSKLTSGTALGNSGKTHCPLCLGLFKAPRLLPCLHTVCTTCLEQLEPFSVVDIRGGDSDTSSEGSIFQELKPRSLQSQIGILCPVCDAQVDLPMGGVKALTIDHLAVNDVMLESLRGEGQGLVCDLCNDREVEKRCQTCKANLCHFCCQAHRRQKKTTYHTMVDLKDLKGYSRIGKPILCPVHPAEELRLFCEFCDRPVCQDCVVGEHREHPCDFTSNVIHKHGDSVWELLKGTQPHVEALEEALAQIHIINSALQKRVEAVAADVRTFSEGYIKAIEEHRDKLLKQLEDIRAQKENSLQLQKAQLEQLLADMRTGVEFTEHLLTSGSDLEILITKRVVVERLRKLNKVQYSTRPGVNDKIRFCPQEKAGQCRGYEIYGTINTKEVDPAKCVLQGEDLHRAREKQTASFTLLCKDAAGEIMGRGGDNVQVAVVPKDKKDSPVRTMVQDNKDGTYYISYTPKEPGVYTVWVCIKEQHVQGSPFTVMVRRKHRPHSGVFHCCTFCSSGGQKTARCACGGTMPGGYLGCGHGHKGHPGHPHWSCCGKFNEKSECTWTGGQSAPRSLLRTVAL
+>DECOY_sp|Q9H8W5|TRI45_HUMAN Tripartite motif-containing protein 45 OS=Homo sapiens OX=9606 GN=TRIM45 PE=1 SV=2
+LAVTRLLSRPASQGGTWTCESKENFKGCCSWHPHGPHGKHGHGCGLYGGPMTGGCACRATKQGGSSCFTCCHFVGSHPRHKRRVMVTFPSGQVHQEKICVWVTYVGPEKPTYSIYYTGDKNDQVMTRVPSDKKDKPVVAVQVNDGGRGMIEGAADKCLLTFSATQKERARHLDEGQLVCKAPDVEKTNITGYIEYGRCQGAKEQPCFRIKDNVGPRTSYQVKNLKRLREVVVRKTILIELDSGSTLLHETFEVGTRMDALLQELQAKQLQLSNEKQARIDELQKLLKDRHEEIAKIYGESFTRVDAAVAEVRKQLASNIIHIQALAEELAEVHPQTGKLLEWVSDGHKHIVNSTFDCPHERHEGVVCDQCVPRDCFECFLRLEEAPHVPCLIPKGIRSYGKLDKLDVMTHYTTKKQRRHAQCCFHCLNAKCTQCRKEVERDNCLDCVLGQGEGRLSELMVDNVALHDITLAKVGGMPLDVQADCVPCLIGIQSQLSRPKLEQFISGESSTDSDGGRIDVVSFPELQELCTTCVTHLCPLLRPAKFLGLCLPCHTKGSNGLATGSTLKSVFGLLPKRNESM
+>sp|Q7Z4K8|TRI46_HUMAN Tripartite motif-containing protein 46 OS=Homo sapiens OX=9606 GN=TRIM46 PE=2 SV=2
+MAEGEDMQTFTSIMDALVRISTSMKNMEKELLCPVCQEMYKQPLVLPCTHNVCQACAREVLGQQGYIGHGGDPSSEPTSPASTPSTRSPRLSRRTLPKPDRLDRLLKSGFGTYPGRKRGALHPQVIMFPCPACQGDVELGERGLAGLFRNLTLERVVERYRQSVSVGGAILCQLCKPPPLEATKGCTECRATFCNECFKLFHPWGTQKAQHEPTLPTLSFRPKGLMCPDHKEEVTHYCKTCQRLVCQLCRVRRTHSGHKITPVLSAYQALKDKLTKSLTYILGNQDTVQTQICELEEAVRHTEVSGQQAKEEVSQLVRGLGAVLEEKRASLLQAIEECQQERLARLSAQIQEHRSLLDGSGLVGYAQEVLKETDQPCFVQAAKQLHNRIARATEALQTFRPAASSSFRHCQLDVGREMKLLTELNFLRVPEAPVIDTQRTFAYDQIFLCWRLPPHSPPAWHYTVEFRRTDVPAQPGPTRWQRREEVRGTSALLENPDTGSVYVLRVRGCNKAGYGEYSEDVHLHTPPAPVLHFFLDSRWGASRERLAISKDQRAVRSVPGLPLLLAADRLLTGCHLSVDVVLGDVAVTQGRSYWACAVDPASYLVKVGVGLESKLQESFQGAPDVISPRYDPDSGHDSGAEDATVEASPPFAFLTIGMGKILLGSGASSNAGLTGRDGPTAGCTVPLPPRLGICLDYERGRVSFLDAVSFRGLLECPLDCSGPVCPAFCFIGGGAVQLQEPVGTKPERKVTIGGFAKLD
+>DECOY_sp|Q7Z4K8|TRI46_HUMAN Tripartite motif-containing protein 46 OS=Homo sapiens OX=9606 GN=TRIM46 PE=2 SV=2
+DLKAFGGITVKREPKTGVPEQLQVAGGGIFCFAPCVPGSCDLPCELLGRFSVADLFSVRGREYDLCIGLRPPLPVTCGATPGDRGTLGANSSAGSGLLIKGMGITLFAFPPSAEVTADEAGSDHGSDPDYRPSIVDPAGQFSEQLKSELGVGVKVLYSAPDVACAWYSRGQTVAVDGLVVDVSLHCGTLLRDAALLLPLGPVSRVARQDKSIALRERSAGWRSDLFFHLVPAPPTHLHVDESYEGYGAKNCGRVRLVYVSGTDPNELLASTGRVEERRQWRTPGPQAPVDTRRFEVTYHWAPPSHPPLRWCLFIQDYAFTRQTDIVPAEPVRLFNLETLLKMERGVDLQCHRFSSSAAPRFTQLAETARAIRNHLQKAAQVFCPQDTEKLVEQAYGVLGSGDLLSRHEQIQASLRALREQQCEEIAQLLSARKEELVAGLGRVLQSVEEKAQQGSVETHRVAEELECIQTQVTDQNGLIYTLSKTLKDKLAQYASLVPTIKHGSHTRRVRCLQCVLRQCTKCYHTVEEKHDPCMLGKPRFSLTPLTPEHQAKQTGWPHFLKFCENCFTARCETCGKTAELPPPKCLQCLIAGGVSVSQRYREVVRELTLNRFLGALGREGLEVDGQCAPCPFMIVQPHLAGRKRGPYTGFGSKLLRDLRDPKPLTRRSLRPSRTSPTSAPSTPESSPDGGHGIYGQQGLVERACAQCVNHTCPLVLPQKYMEQCVPCLLEKEMNKMSTSIRVLADMISTFTQMDEGEAM
+>sp|Q86XT4|TRI50_HUMAN E3 ubiquitin-protein ligase TRIM50 OS=Homo sapiens OX=9606 GN=TRIM50 PE=1 SV=1
+MAWQVSLLELEDWLQCPICLEVFKEPLMLQCGHSYCKGCLVSLSCHLDAELRCPVCRQAVDGSSSLPNVSLARVIEALRLPGDPEPKVCVHHRNPLSLFCEKDQELICGLCGLLGSHQHHPVTPVSTVYSRMKEELAALISELKQEQKKVDELIAKLVNNRTRIVNESDVFSWVIRREFQELHHLVDEEKARCLEGIGGHTRGLVASLDMQLEQAQGTRERLAQAECVLEQFGNEDHHKFIRKFHSMASRAEMPQARPLEGAFSPISFKPGLHQADIKLTVWKRLFRKVLPAPEPLKLDPATAHPLLELSKGNTVVQCGLLAQRRASQPERFDYSTCVLASRGFSCGRHYWEVVVGSKSDWRLGVIKGTASRKGKLNRSPEHGVWLIGLKEGRVYEAFACPRVPLPVAGHPHRIGLYLHYEQGELTFFDADRPDDLRPLYTFQADFQGKLYPILDTCWHERGSNSLPMVLPPPSGPGPLSPEQPTKL
+>DECOY_sp|Q86XT4|TRI50_HUMAN E3 ubiquitin-protein ligase TRIM50 OS=Homo sapiens OX=9606 GN=TRIM50 PE=1 SV=1
+LKTPQEPSLPGPGSPPPLVMPLSNSGREHWCTDLIPYLKGQFDAQFTYLPRLDDPRDADFFTLEGQEYHLYLGIRHPHGAVPLPVRPCAFAEYVRGEKLGILWVGHEPSRNLKGKRSATGKIVGLRWDSKSGVVVEWYHRGCSFGRSALVCTSYDFREPQSARRQALLGCQVVTNGKSLELLPHATAPDLKLPEPAPLVKRFLRKWVTLKIDAQHLGPKFSIPSFAGELPRAQPMEARSAMSHFKRIFKHHDENGFQELVCEAQALRERTGQAQELQMDLSAVLGRTHGGIGELCRAKEEDVLHHLEQFERRIVWSFVDSENVIRTRNNVLKAILEDVKKQEQKLESILAALEEKMRSYVTSVPTVPHHQHSGLLGCLGCILEQDKECFLSLPNRHHVCVKPEPDGPLRLAEIVRALSVNPLSSSGDVAQRCVPCRLEADLHCSLSVLCGKCYSHGCQLMLPEKFVELCIPCQLWDELELLSVQWAM
+>sp|Q8NG06|TRI58_HUMAN E3 ubiquitin-protein ligase TRIM58 OS=Homo sapiens OX=9606 GN=TRIM58 PE=2 SV=2
+MAWAPPGERLREDARCPVCLDFLQEPVSVDCGHSFCLRCISEFCEKSDGAQGGVYACPQCRGPFRPSGFRPNRQLAGLVESVRRLGLGAGPGARRCARHGEDLSRFCEEDEAALCWVCDAGPEHRTHRTAPLQEAAGSYQVKLQMALELMRKELEDALTQEANVGKKTVIWKEKVEMQRQRFRLEFEKHRGFLAQEEQRQLRRLEAEERATLQRLRESKSRLVQQSKALKELADELQERCQRPALGLLEGVRGVLSRSKAVTRLEAENIPMELKTACCIPGRRELLRKFQVDVKLDPATAHPSLLLTADLRSVQDGEPWRDVPNNPERFDTWPCILGLQSFSSGRHYWEVLVGEGAEWGLGVCQDTLPRKGETTPSPENGVWALWLLKGNEYMVLASPSVPLLQLESPRCIGIFLDYEAGEISFYNVTDGSYIYTFNQLFSGLLRPYFFICDATPLILPPTTIAGSGNWASRDHLDPASDVRDDHL
+>DECOY_sp|Q8NG06|TRI58_HUMAN E3 ubiquitin-protein ligase TRIM58 OS=Homo sapiens OX=9606 GN=TRIM58 PE=2 SV=2
+LHDDRVDSAPDLHDRSAWNGSGAITTPPLILPTADCIFFYPRLLGSFLQNFTYIYSGDTVNYFSIEGAEYDLFIGICRPSELQLLPVSPSALVMYENGKLLWLAWVGNEPSPTTEGKRPLTDQCVGLGWEAGEGVLVEWYHRGSSFSQLGLICPWTDFREPNNPVDRWPEGDQVSRLDATLLLSPHATAPDLKVDVQFKRLLERRGPICCATKLEMPINEAELRTVAKSRSLVGRVGELLGLAPRQCREQLEDALEKLAKSQQVLRSKSERLRQLTAREEAELRRLQRQEEQALFGRHKEFELRFRQRQMEVKEKWIVTKKGVNAEQTLADELEKRMLELAMQLKVQYSGAAEQLPATRHTRHEPGADCVWCLAAEDEECFRSLDEGHRACRRAGPGAGLGLRRVSEVLGALQRNPRFGSPRFPGRCQPCAYVGGQAGDSKECFESICRLCFSHGCDVSVPEQLFDLCVPCRADERLREGPPAWAM
+>sp|A6NGJ6|TRI64_HUMAN Tripartite motif-containing protein 64 OS=Homo sapiens OX=9606 GN=TRIM64 PE=2 SV=4
+MDSDDLQVFQNELICCICVNYFIDPVTIDCGHSFCRPCLCLCSEEGRAPMRCPSCRKISEKPNFNTNVVLKKLSSLARQTRPQNINSSDNICVLHEETKELFCEADKRLLCGPCSESPEHMAHSHSPIGWAAEECREKLIKEMDYLWEINQETRNNLNQETRTFHSLKDYVSVRKRIITIQYQKMPIFLDEEEQRHLQALEREAEELFQQLQDSQVRMTQHLERMKDMYRELWETCHVPDVELLQDVRNVSARTDLAQMQKPQPVNPELTSWCITGVLDMLNNFRVDSALSTEMIPCYISLSEDVRYVIFGDDHLSAPTDPQGVDSFAVWGAQAFTSGKHYWEVDVTLSSNWILGVCQDSRTADANFVIDSDERFFLISSKRSNHYSLSTNSPPLIQYVQRPLGQVGVFLDYDNGSVSFFDVSKGSLIYGFPPSSFSSPLRPFFCFGCT
+>DECOY_sp|A6NGJ6|TRI64_HUMAN Tripartite motif-containing protein 64 OS=Homo sapiens OX=9606 GN=TRIM64 PE=2 SV=4
+TCGFCFFPRLPSSFSSPPFGYILSGKSVDFFSVSGNDYDLFVGVQGLPRQVYQILPPSNTSLSYHNSRKSSILFFREDSDIVFNADATRSDQCVGLIWNSSLTVDVEWYHKGSTFAQAGWVAFSDVGQPDTPASLHDDGFIVYRVDESLSIYCPIMETSLASDVRFNNLMDLVGTICWSTLEPNVPQPKQMQALDTRASVNRVDQLLEVDPVHCTEWLERYMDKMRELHQTMRVQSDQLQQFLEEAERELAQLHRQEEEDLFIPMKQYQITIIRKRVSVYDKLSHFTRTEQNLNNRTEQNIEWLYDMEKILKERCEEAAWGIPSHSHAMHEPSESCPGCLLRKDAECFLEKTEEHLVCINDSSNINQPRTQRALSSLKKLVVNTNFNPKESIKRCSPCRMPARGEESCLCLCPRCFSHGCDITVPDIFYNVCICCILENQFVQLDDSDM
+>sp|Q6PJ69|TRI65_HUMAN Tripartite motif-containing protein 65 OS=Homo sapiens OX=9606 GN=TRIM65 PE=1 SV=3
+MAAQLLEEKLTCAICLGLYQDPVTLPCGHNFCGACIRDWWDRCGKACPECREPFPDGAELRRNVALSGVLEVVRAGPARDPGPDPGPGPDPAARCPRHGRPLELFCRTEGRCVCSVCTVRECRLHERALLDAERLKREAQLRASLEVTQQQATQAEGQLLELRKQSSQIQNSACILASWVSGKFSSLLQALEIQHTTALRSIEVAKTQALAQARDEEQRLRVHLEAVARHGCRIRELLEQVDEQTFLQESQLLQPPGPLGPLTPLQWDEDQQLGDLKQLLSRLCGLLLEEGSHPGAPAKPVDLAPVEAPGPLAPVPSTVCPLRRKLWQNYRNLTFDPVSANRHFYLSRQDQQVKHCRQSRGPGGPGSFELWQVQCAQSFQAGHHYWEVRASDHSVTLGVSYPQLPRCRLGPHTDNIGRGPCSWGLCVQEDSLQAWHNGEAQRLPGVSGRLLGMDLDLASGCLTFYSLEPQTQPLYTFHALFNQPLTPVFWLLEGRTLTLCHQPGAVFPLGPQEEVLS
+>DECOY_sp|Q6PJ69|TRI65_HUMAN Tripartite motif-containing protein 65 OS=Homo sapiens OX=9606 GN=TRIM65 PE=1 SV=3
+SLVEEQPGLPFVAGPQHCLTLTRGELLWFVPTLPQNFLAHFTYLPQTQPELSYFTLCGSALDLDMGLLRGSVGPLRQAEGNHWAQLSDEQVCLGWSCPGRGINDTHPGLRCRPLQPYSVGLTVSHDSARVEWYHHGAQFSQACQVQWLEFSGPGGPGRSQRCHKVQQDQRSLYFHRNASVPDFTLNRYNQWLKRRLPCVTSPVPALPGPAEVPALDVPKAPAGPHSGEELLLGCLRSLLQKLDGLQQDEDWQLPTLPGLPGPPQLLQSEQLFTQEDVQELLERIRCGHRAVAELHVRLRQEEDRAQALAQTKAVEISRLATTHQIELAQLLSSFKGSVWSALICASNQIQSSQKRLELLQGEAQTAQQQTVELSARLQAERKLREADLLAREHLRCERVTCVSCVCRGETRCFLELPRGHRPCRAAPDPGPGPDPGPDRAPGARVVELVGSLAVNRRLEAGDPFPERCEPCAKGCRDWWDRICAGCFNHGCPLTVPDQYLGLCIACTLKEELLQAAM
+>sp|Q6ZMU5|TRI72_HUMAN Tripartite motif-containing protein 72 OS=Homo sapiens OX=9606 GN=TRIM72 PE=1 SV=2
+MSAAPGLLHQELSCPLCLQLFDAPVTAECGHSFCRACLGRVAGEPAADGTVLCPCCQAPTRPQALSTNLQLARLVEGLAQVPQGHCEEHLDPLSIYCEQDRALVCGVCASLGSHRGHRLLPAAEAHARLKTQLPQQKLQLQEACMRKEKSVAVLEHQLVEVEETVRQFRGAVGEQLGKMRVFLAALEGSLDREAERVRGEAGVALRRELGSLNSYLEQLRQMEKVLEEVADKPQTEFLMKYCLVTSRLQKILAESPPPARLDIQLPIISDDFKFQVWRKMFRALMPALEELTFDPSSAHPSLVVSSSGRRVECSEQKAPPAGEDPRQFDKAVAVVAHQQLSEGEHYWEVDVGDKPRWALGVIAAEAPRRGRLHAVPSQGLWLLGLREGKILEAHVEAKEPRALRSPERRPTRIGLYLSFGDGVLSFYDASDADALVPLFAFHERLPRPVYPFFDVCWHDKGKNAQPLLLVGPEGAEA
+>DECOY_sp|Q6ZMU5|TRI72_HUMAN Tripartite motif-containing protein 72 OS=Homo sapiens OX=9606 GN=TRIM72 PE=1 SV=2
+AEAGEPGVLLLPQANKGKDHWCVDFFPYVPRPLREHFAFLPVLADADSADYFSLVGDGFSLYLGIRTPRREPSRLARPEKAEVHAELIKGERLGLLWLGQSPVAHLRGRRPAEAAIVGLAWRPKDGVDVEWYHEGESLQQHAVVAVAKDFQRPDEGAPPAKQESCEVRRGSSSVVLSPHASSPDFTLEELAPMLARFMKRWVQFKFDDSIIPLQIDLRAPPPSEALIKQLRSTVLCYKMLFETQPKDAVEELVKEMQRLQELYSNLSGLERRLAVGAEGRVREAERDLSGELAALFVRMKGLQEGVAGRFQRVTEEVEVLQHELVAVSKEKRMCAEQLQLKQQPLQTKLRAHAEAAPLLRHGRHSGLSACVGCVLARDQECYISLPDLHEECHGQPVQALGEVLRALQLNTSLAQPRTPAQCCPCLVTGDAAPEGAVRGLCARCFSHGCEATVPADFLQLCLPCSLEQHLLGPAASM
+>sp|Q92519|TRIB2_HUMAN Tribbles homolog 2 OS=Homo sapiens OX=9606 GN=TRIB2 PE=1 SV=1
+MNIHRSTPITIARYGRSRNKTQDFEELSSIRSAEPSQSFSPNLGSPSPPETPNLSHCVSCIGKYLLLEPLEGDHVFRAVHLHSGEELVCKVFDISCYQESLAPCFCLSAHSNINQITEIILGETKAYVFFERSYGDMHSFVRTCKKLREEEAARLFYQIASAVAHCHDGGLVLRDLKLRKFIFKDEERTRVKLESLEDAYILRGDDDSLSDKHGCPAYVSPEILNTSGSYSGKAADVWSLGVMLYTMLVGRYPFHDIEPSSLFSKIRRGQFNIPETLSPKAKCLIRSILRREPSERLTSQEILDHPWFSTDFSVSNSAYGAKEVSDQLVPDVNMEENLDPFFN
+>DECOY_sp|Q92519|TRIB2_HUMAN Tribbles homolog 2 OS=Homo sapiens OX=9606 GN=TRIB2 PE=1 SV=1
+NFFPDLNEEMNVDPVLQDSVEKAGYASNSVSFDTSFWPHDLIEQSTLRESPERRLISRILCKAKPSLTEPINFQGRRIKSFLSSPEIDHFPYRGVLMTYLMVGLSWVDAAKGSYSGSTNLIEPSVYAPCGHKDSLSDDDGRLIYADELSELKVRTREEDKFIFKRLKLDRLVLGGDHCHAVASAIQYFLRAAEEERLKKCTRVFSHMDGYSREFFVYAKTEGLIIETIQNINSHASLCFCPALSEQYCSIDFVKCVLEEGSHLHVARFVHDGELPELLLYKGICSVCHSLNPTEPPSPSGLNPSFSQSPEASRISSLEEFDQTKNRSRGYRAITIPTSRHINM
+>sp|Q9C030|TRIM6_HUMAN Tripartite motif-containing protein 6 OS=Homo sapiens OX=9606 GN=TRIM6 PE=1 SV=1
+MTSPVLVDIREEVTCPICLELLTEPLSIDCGHSFCQACITPNGRESVIGQEGERSCPVCQTSYQPGNLRPNRHLANIVRRLREVVLGPGKQLKAVLCADHGEKLQLFCQEDGKVICWLCERSQEHRGHHTFLVEEVAQEYQEKFQESLKKLKNEEQEAEKLTAFIREKKTSWKNQMEPERCRIQTEFNQLRNILDRVEQRELKKLEQEEKKGLRIIEEAENDLVHQTQSLRELISDLERRCQGSTMELLQDVSDVTERSEFWTLRKPEALPTKLRSMFRAPDLKRMLRVCRELTDVQSYWVDVTLNPHTANLNLVLAKNRRQVRFVGAKVSGPSCLEKHYDCSVLGSQHFSSGKHYWEVDVAKKTAWILGVCSNSLGPTFSFNHFAQNHSAYSRYQPQSGYWVIGLQHNHEYRAYEDSSPSLLLSMTVPPRRVGVFLDYEAGTVSFYNVTNHGFPIYTFSKYYFPTTLCPYFNPCNCVIPMTLRRPSS
+>DECOY_sp|Q9C030|TRIM6_HUMAN Tripartite motif-containing protein 6 OS=Homo sapiens OX=9606 GN=TRIM6 PE=1 SV=1
+SSPRRLTMPIVCNCPNFYPCLTTPFYYKSFTYIPFGHNTVNYFSVTGAEYDLFVGVRRPPVTMSLLLSPSSDEYARYEHNHQLGIVWYGSQPQYRSYASHNQAFHNFSFTPGLSNSCVGLIWATKKAVDVEWYHKGSSFHQSGLVSCDYHKELCSPGSVKAGVFRVQRRNKALVLNLNATHPNLTVDVWYSQVDTLERCVRLMRKLDPARFMSRLKTPLAEPKRLTWFESRETVDSVDQLLEMTSGQCRRELDSILERLSQTQHVLDNEAEEIIRLGKKEEQELKKLERQEVRDLINRLQNFETQIRCREPEMQNKWSTKKERIFATLKEAEQEENKLKKLSEQFKEQYEQAVEEVLFTHHGRHEQSRECLWCIVKGDEQCFLQLKEGHDACLVAKLQKGPGLVVERLRRVINALHRNPRLNGPQYSTQCVPCSREGEQGIVSERGNPTICAQCFSHGCDISLPETLLELCIPCTVEERIDVLVPSTM
+>sp|Q9HCF6|TRPM3_HUMAN Transient receptor potential cation channel subfamily M member 3 OS=Homo sapiens OX=9606 GN=TRPM3 PE=2 SV=4
+MPEPWGTVYFLGIAQVFSFLFSWWNLEGVMNQADAPRPLNWTIRKLCHAAFLPSVRLLKAQKSWIERAFYKRECVHIIPSTKDPHRCCCGRLIGQHVGLTPSISVLQNEKNESRLSRNDIQSEKWSISKHTQLSPTDAFGTIEFQGGGHSNKAMYVRVSFDTKPDLLLHLMTKEWQLELPKLLISVHGGLQNFELQPKLKQVFGKGLIKAAMTTGAWIFTGGVNTGVIRHVGDALKDHASKSRGKICTIGIAPWGIVENQEDLIGRDVVRPYQTMSNPMSKLTVLNSMHSHFILADNGTTGKYGAEVKLRRQLEKHISLQKINTRCLPFFSLDSRLFYSFWGSCQLDSVGIGQGVPVVALIVEGGPNVISIVLEYLRDTPPVPVVVCDGSGRASDILAFGHKYSEEGGLINESLRDQLLVTIQKTFTYTRTQAQHLFIILMECMKKKELITVFRMGSEGHQDIDLAILTALLKGANASAPDQLSLALAWNRVDIARSQIFIYGQQWPVGSLEQAMLDALVLDRVDFVKLLIENGVSMHRFLTISRLEELYNTRHGPSNTLYHLVRDVKKGNLPPDYRISLIDIGLVIEYLMGGAYRCNYTRKRFRTLYHNLFGPKRPKALKLLGMEDDIPLRRGRKTTKKREEEVDIDLDDPEINHFPFPFHELMVWAVLMKRQKMALFFWQHGEEAMAKALVACKLCKAMAHEASENDMVDDISQELNHNSRDFGQLAVELLDQSYKQDEQLAMKLLTYELKNWSNATCLQLAVAAKHRDFIAHTCSQMLLTDMWMGRLRMRKNSGLKVILGILLPPSILSLEFKNKDDMPYMSQAQEIHLQEKEAEEPEKPTKEKEEEDMELTAMLGRNNGESSRKKDEEEVQSKHRLIPLGRKIYEFYNAPIVKFWFYTLAYIGYLMLFNYIVLVKMERWPSTQEWIVISYIFTLGIEKMREILMSEPGKLLQKVKVWLQEYWNVTDLIAILLFSVGMILRLQDQPFRSDGRVIYCVNIIYWYIRLLDIFGVNKYLGPYVMMIGKMMIDMMYFVIIMLVVLMSFGVARQAILFPNEEPSWKLAKNIFYMPYWMIYGEVFADQIDPPCGQNETREDGKIIQLPPCKTGAWIVPAIMACYLLVANILLVNLLIAVFNNTFFEVKSISNQVWKFQRYQLIMTFHERPVLPPPLIIFSHMTMIFQHLCCRWRKHESDPDERDYGLKLFITDDELKKVHDFEEQCIEEYFREKDDRFNSSNDERIRVTSERVENMSMRLEEVNEREHSMKASLQTVDIRLAQLEDLIGRMATALERLTGLERAESNKIRSRTSSDCTDAAYIVRQSSFNSQEGNTFKLQESIDPAGEETMSPTSPTLMPRMRSHSFYSVNMKDKGGIEKLESIFKERSLSLHRATSSHSVAKEPKAPAAPANTLAIVPDSRRPSSCIDIYVSAMDELHCDIDPLDNSVNILGLGEPSFSTPVPSTAPSSSAYATLAPTDRPPSRSIDFEDITSMDTRSFSSDYTHLPECQNPWDSEPPMYHTIERSKSSRYLATTPFLLEEAPIVKSHSFMFSPSRSYYANFGVPVKTAEYTSITDCIDTRCVNAPQAIADRAAFPGGLGDKVEDLTCCHPEREAELSHPSSDSEENEAKGRRATIAISSQEGDNSERTLSNNITVPKIERANSYSAEEPSAPYAHTRKSFSISDKLDRQRNTASLRNPFQRSKSSKPEGRGDSLSMRRLSRTSAFQSFESKHN
+>DECOY_sp|Q9HCF6|TRPM3_HUMAN Transient receptor potential cation channel subfamily M member 3 OS=Homo sapiens OX=9606 GN=TRPM3 PE=2 SV=4
+NHKSEFSQFASTRSLRRMSLSDGRGEPKSSKSRQFPNRLSATNRQRDLKDSISFSKRTHAYPASPEEASYSNAREIKPVTINNSLTRESNDGEQSSIAITARRGKAENEESDSSPHSLEAEREPHCCTLDEVKDGLGGPFAARDAIAQPANVCRTDICDTISTYEATKVPVGFNAYYSRSPSFMFSHSKVIPAEELLFPTTALYRSSKSREITHYMPPESDWPNQCEPLHTYDSSFSRTDMSTIDEFDISRSPPRDTPALTAYASSSPATSPVPTSFSPEGLGLINVSNDLPDIDCHLEDMASVYIDICSSPRRSDPVIALTNAPAAPAKPEKAVSHSSTARHLSLSREKFISELKEIGGKDKMNVSYFSHSRMRPMLTPSTPSMTEEGAPDISEQLKFTNGEQSNFSSQRVIYAADTCDSSTRSRIKNSEARELGTLRELATAMRGILDELQALRIDVTQLSAKMSHERENVEELRMSMNEVRESTVRIREDNSSNFRDDKERFYEEICQEEFDHVKKLEDDTIFLKLGYDREDPDSEHKRWRCCLHQFIMTMHSFIILPPPLVPREHFTMILQYRQFKWVQNSISKVEFFTNNFVAILLNVLLINAVLLYCAMIAPVIWAGTKCPPLQIIKGDERTENQGCPPDIQDAFVEGYIMWYPMYFINKALKWSPEENPFLIAQRAVGFSMLVVLMIIVFYMMDIMMKGIMMVYPGLYKNVGFIDLLRIYWYIINVCYIVRGDSRFPQDQLRLIMGVSFLLIAILDTVNWYEQLWVKVKQLLKGPESMLIERMKEIGLTFIYSIVIWEQTSPWREMKVLVIYNFLMLYGIYALTYFWFKVIPANYFEYIKRGLPILRHKSQVEEEDKKRSSEGNNRGLMATLEMDEEEKEKTPKEPEEAEKEQLHIEQAQSMYPMDDKNKFELSLISPPLLIGLIVKLGSNKRMRLRGMWMDTLLMQSCTHAIFDRHKAAVALQLCTANSWNKLEYTLLKMALQEDQKYSQDLLEVALQGFDRSNHNLEQSIDDVMDNESAEHAMAKCLKCAVLAKAMAEEGHQWFFLAMKQRKMLVAWVMLEHFPFPFHNIEPDDLDIDVEEERKKTTKRGRRLPIDDEMGLLKLAKPRKPGFLNHYLTRFRKRTYNCRYAGGMLYEIVLGIDILSIRYDPPLNGKKVDRVLHYLTNSPGHRTNYLEELRSITLFRHMSVGNEILLKVFDVRDLVLADLMAQELSGVPWQQGYIFIQSRAIDVRNWALALSLQDPASANAGKLLATLIALDIDQHGESGMRFVTILEKKKMCEMLIIFLHQAQTRTYTFTKQITVLLQDRLSENILGGEESYKHGFALIDSARGSGDCVVVPVPPTDRLYELVISIVNPGGEVILAVVPVGQGIGVSDLQCSGWFSYFLRSDLSFFPLCRTNIKQLSIHKELQRRLKVEAGYKGTTGNDALIFHSHMSNLVTLKSMPNSMTQYPRVVDRGILDEQNEVIGWPAIGITCIKGRSKSAHDKLADGVHRIVGTNVGGTFIWAGTTMAAKILGKGFVQKLKPQLEFNQLGGHVSILLKPLELQWEKTMLHLLLDPKTDFSVRVYMAKNSHGGGQFEITGFADTPSLQTHKSISWKESQIDNRSLRSENKENQLVSISPTLGVHQGILRGCCCRHPDKTSPIIHVCERKYFAREIWSKQAKLLRVSPLFAAHCLKRITWNLPRPADAQNMVGELNWWSFLFSFVQAIGLFYVTGWPEPM
+>sp|Q9Y5S1|TRPV2_HUMAN Transient receptor potential cation channel subfamily V member 2 OS=Homo sapiens OX=9606 GN=TRPV2 PE=1 SV=1
+MTSPSSSPVFRLETLDGGQEDGSEADRGKLDFGSGLPPMESQFQGEDRKFAPQIRVNLNYRKGTGASQPDPNRFDRDRLFNAVSRGVPEDLAGLPEYLSKTSKYLTDSEYTEGSTGKTCLMKAVLNLKDGVNACILPLLQIDRDSGNPQPLVNAQCTDDYYRGHSALHIAIEKRSLQCVKLLVENGANVHARACGRFFQKGQGTCFYFGELPLSLAACTKQWDVVSYLLENPHQPASLQATDSQGNTVLHALVMISDNSAENIALVTSMYDGLLQAGARLCPTVQLEDIRNLQDLTPLKLAAKEGKIEIFRHILQREFSGLSHLSRKFTEWCYGPVRVSLYDLASVDSCEENSVLEIIAFHCKSPHRHRMVVLEPLNKLLQAKWDLLIPKFFLNFLCNLIYMFIFTAVAYHQPTLKKQAAPHLKAEVGNSMLLTGHILILLGGIYLLVGQLWYFWRRHVFIWISFIDSYFEILFLFQALLTVVSQVLCFLAIEWYLPLLVSALVLGWLNLLYYTRGFQHTGIYSVMIQKVILRDLLRFLLIYLVFLFGFAVALVSLSQEAWRPEAPTGPNATESVQPMEGQEDEGNGAQYRGILEASLELFKFTIGMGELAFQEQLHFRGMVLLLLLAYVLLTYILLLNMLIALMSETVNSVATDSWSIWKLQKAISVLEMENGYWWCRKKQRAGVMLTVGTKPDGSPDERWCFRVEEVNWASWEQTLPTLCEDPSGAGVPRTLENPVLASPPKEDEDGASEENYVPVQLLQSN
+>DECOY_sp|Q9Y5S1|TRPV2_HUMAN Transient receptor potential cation channel subfamily V member 2 OS=Homo sapiens OX=9606 GN=TRPV2 PE=1 SV=1
+NSQLLQVPVYNEESAGDEDEKPPSALVPNELTRPVGAGSPDECLTPLTQEWSAWNVEEVRFCWREDPSGDPKTGVTLMVGARQKKRCWWYGNEMELVSIAKQLKWISWSDTAVSNVTESMLAILMNLLLIYTLLVYALLLLLVMGRFHLQEQFALEGMGITFKFLELSAELIGRYQAGNGEDEQGEMPQVSETANPGTPAEPRWAEQSLSVLAVAFGFLFVLYILLFRLLDRLIVKQIMVSYIGTHQFGRTYYLLNLWGLVLASVLLPLYWEIALFCLVQSVVTLLAQFLFLIEFYSDIFSIWIFVHRRWFYWLQGVLLYIGGLLILIHGTLLMSNGVEAKLHPAAQKKLTPQHYAVATFIFMYILNCLFNLFFKPILLDWKAQLLKNLPELVVMRHRHPSKCHFAIIELVSNEECSDVSALDYLSVRVPGYCWETFKRSLHSLGSFERQLIHRFIEIKGEKAALKLPTLDQLNRIDELQVTPCLRAGAQLLGDYMSTVLAINEASNDSIMVLAHLVTNGQSDTAQLSAPQHPNELLYSVVDWQKTCAALSLPLEGFYFCTGQGKQFFRGCARAHVNAGNEVLLKVCQLSRKEIAIHLASHGRYYDDTCQANVLPQPNGSDRDIQLLPLICANVGDKLNLVAKMLCTKGTSGETYESDTLYKSTKSLYEPLGALDEPVGRSVANFLRDRDFRNPDPQSAGTGKRYNLNVRIQPAFKRDEGQFQSEMPPLGSGFDLKGRDAESGDEQGGDLTELRFVPSSSPSTM
+>sp|Q8NET8|TRPV3_HUMAN Transient receptor potential cation channel subfamily V member 3 OS=Homo sapiens OX=9606 GN=TRPV3 PE=1 SV=2
+MKAHPKEMVPLMGKRVAAPSGNPAILPEKRPAEITPTKKSAHFFLEIEGFEPNPTVAKTSPPVFSKPMDSNIRQCISGNCDDMDSPQSPQDDVTETPSNPNSPSAQLAKEEQRRKKRRLKKRIFAAVSEGCVEELVELLVELQELCRRRHDEDVPDFLMHKLTASDTGKTCLMKALLNINPNTKEIVRILLAFAEENDILGRFINAEYTEEAYEGQTALNIAIERRQGDIAALLIAAGADVNAHAKGAFFNPKYQHEGFYFGETPLALAACTNQPEIVQLLMEHEQTDITSRDSRGNNILHALVTVAEDFKTQNDFVKRMYDMILLRSGNWELETTRNNDGLTPLQLAAKMGKAEILKYILSREIKEKRLRSLSRKFTDWAYGPVSSSLYDLTNVDTTTDNSVLEITVYNTNIDNRHEMLTLEPLHTLLHMKWKKFAKHMFFLSFCFYFFYNITLTLVSYYRPREEEAIPHPLALTHKMGWLQLLGRMFVLIWAMCISVKEGIAIFLLRPSDLQSILSDAWFHFVFFIQAVLVILSVFLYLFAYKEYLACLVLAMALGWANMLYYTRGFQSMGMYSVMIQKVILHDVLKFLFVYIVFLLGFGVALASLIEKCPKDNKDCSSYGSFSDAVLELFKLTIGLGDLNIQQNSKYPILFLFLLITYVILTFVLLLNMLIALMGETVENVSKESERIWRLQRARTILEFEKMLPEWLRSRFRMGELCKVAEDDFRLCLRINEVKWTEWKTHVSFLNEDPGPVRRTDFNKIQDSSRNNSKTTLNAFEEVEEFPETSV
+>DECOY_sp|Q8NET8|TRPV3_HUMAN Transient receptor potential cation channel subfamily V member 3 OS=Homo sapiens OX=9606 GN=TRPV3 PE=1 SV=2
+VSTEPFEEVEEFANLTTKSNNRSSDQIKNFDTRRVPGPDENLFSVHTKWETWKVENIRLCLRFDDEAVKCLEGMRFRSRLWEPLMKEFELITRARQLRWIRESEKSVNEVTEGMLAILMNLLLVFTLIVYTILLFLFLIPYKSNQQINLDGLGITLKFLELVADSFSGYSSCDKNDKPCKEILSALAVGFGLLFVIYVFLFKLVDHLIVKQIMVSYMGMSQFGRTYYLMNAWGLAMALVLCALYEKYAFLYLFVSLIVLVAQIFFVFHFWADSLISQLDSPRLLFIAIGEKVSICMAWILVFMRGLLQLWGMKHTLALPHPIAEEERPRYYSVLTLTINYFFYFCFSLFFMHKAFKKWKMHLLTHLPELTLMEHRNDINTNYVTIELVSNDTTTDVNTLDYLSSSVPGYAWDTFKRSLSRLRKEKIERSLIYKLIEAKGMKAALQLPTLGDNNRTTELEWNGSRLLIMDYMRKVFDNQTKFDEAVTVLAHLINNGRSDRSTIDTQEHEMLLQVIEPQNTCAALALPTEGFYFGEHQYKPNFFAGKAHANVDAGAAILLAAIDGQRREIAINLATQGEYAEETYEANIFRGLIDNEEAFALLIRVIEKTNPNINLLAKMLCTKGTDSATLKHMLFDPVDEDHRRRCLEQLEVLLEVLEEVCGESVAAFIRKKLRRKKRRQEEKALQASPSNPNSPTETVDDQPSQPSDMDDCNGSICQRINSDMPKSFVPPSTKAVTPNPEFGEIELFFHASKKTPTIEAPRKEPLIAPNGSPAAVRKGMLPVMEKPHAKM
+>sp|Q9HBA0|TRPV4_HUMAN Transient receptor potential cation channel subfamily V member 4 OS=Homo sapiens OX=9606 GN=TRPV4 PE=1 SV=2
+MADSSEGPRAGPGEVAELPGDESGTPGGEAFPLSSLANLFEGEDGSLSPSPADASRPAGPGDGRPNLRMKFQGAFRKGVPNPIDLLESTLYESSVVPGPKKAPMDSLFDYGTYRHHSSDNKRWRKKIIEKQPQSPKAPAPQPPPILKVFNRPILFDIVSRGSTADLDGLLPFLLTHKKRLTDEEFREPSTGKTCLPKALLNLSNGRNDTIPVLLDIAERTGNMREFINSPFRDIYYRGQTALHIAIERRCKHYVELLVAQGADVHAQARGRFFQPKDEGGYFYFGELPLSLAACTNQPHIVNYLTENPHKKADMRRQDSRGNTVLHALVAIADNTRENTKFVTKMYDLLLLKCARLFPDSNLEAVLNNDGLSPLMMAAKTGKIGIFQHIIRREVTDEDTRHLSRKFKDWAYGPVYSSLYDLSSLDTCGEEASVLEILVYNSKIENRHEMLAVEPINELLRDKWRKFGAVSFYINVVSYLCAMVIFTLTAYYQPLEGTPPYPYRTTVDYLRLAGEVITLFTGVLFFFTNIKDLFMKKCPGVNSLFIDGSFQLLYFIYSVLVIVSAALYLAGIEAYLAVMVFALVLGWMNALYFTRGLKLTGTYSIMIQKILFKDLFRFLLVYLLFMIGYASALVSLLNPCANMKVCNEDQTNCTVPTYPSCRDSETFSTFLLDLFKLTIGMGDLEMLSSTKYPVVFIILLVTYIILTFVLLLNMLIALMGETVGQVSKESKHIWKLQWATTILDIERSFPVFLRKAFRSGEMVTVGKSSDGTPDRRWCFRVDEVNWSHWNQNLGIINEDPGKNETYQYYGFSHTVGRLRRDRWSSVVPRVVELNKNSNPDEVVVPLDSMGNPRCDGHQQGYPRKWRTDDAPL
+>DECOY_sp|Q9HBA0|TRPV4_HUMAN Transient receptor potential cation channel subfamily V member 4 OS=Homo sapiens OX=9606 GN=TRPV4 PE=1 SV=2
+LPADDTRWKRPYGQQHGDCRPNGMSDLPVVVEDPNSNKNLEVVRPVVSSWRDRRLRGVTHSFGYYQYTENKGPDENIIGLNQNWHSWNVEDVRFCWRRDPTGDSSKGVTVMEGSRFAKRLFVPFSREIDLITTAWQLKWIHKSEKSVQGVTEGMLAILMNLLLVFTLIIYTVLLIIFVVPYKTSSLMELDGMGITLKFLDLLFTSFTESDRCSPYTPVTCNTQDENCVKMNACPNLLSVLASAYGIMFLLYVLLFRFLDKFLIKQIMISYTGTLKLGRTFYLANMWGLVLAFVMVALYAEIGALYLAASVIVLVSYIFYLLQFSGDIFLSNVGPCKKMFLDKINTFFFLVGTFLTIVEGALRLYDVTTRYPYPPTGELPQYYATLTFIVMACLYSVVNIYFSVAGFKRWKDRLLENIPEVALMEHRNEIKSNYVLIELVSAEEGCTDLSSLDYLSSYVPGYAWDKFKRSLHRTDEDTVERRIIHQFIGIKGTKAAMMLPSLGDNNLVAELNSDPFLRACKLLLLDYMKTVFKTNERTNDAIAVLAHLVTNGRSDQRRMDAKKHPNETLYNVIHPQNTCAALSLPLEGFYFYGGEDKPQFFRGRAQAHVDAGQAVLLEVYHKCRREIAIHLATQGRYYIDRFPSNIFERMNGTREAIDLLVPITDNRGNSLNLLAKPLCTKGTSPERFEEDTLRKKHTLLFPLLGDLDATSGRSVIDFLIPRNFVKLIPPPQPAPAKPSQPQKEIIKKRWRKNDSSHHRYTGYDFLSDMPAKKPGPVVSSEYLTSELLDIPNPVGKRFAGQFKMRLNPRGDGPGAPRSADAPSPSLSGDEGEFLNALSSLPFAEGGPTGSEDGPLEAVEGPGARPGESSDAM
+>sp|Q16881|TRXR1_HUMAN Thioredoxin reductase 1, cytoplasmic OS=Homo sapiens OX=9606 GN=TXNRD1 PE=1 SV=3
+MGCAEGKAVAAAAPTELQTKGKNGDGRRRSAKDHHPGKTLPENPAGFTSTATADSRALLQAYIDGHSVVIFSRSTCTRCTEVKKLFKSLCVPYFVLELDQTEDGRALEGTLSELAAETDLPVVFVKQRKIGGHGPTLKAYQEGRLQKLLKMNGPEDLPKSYDYDLIIIGGGSGGLAAAKEAAQYGKKVMVLDFVTPTPLGTRWGLGGTCVNVGCIPKKLMHQAALLGQALQDSRNYGWKVEETVKHDWDRMIEAVQNHIGSLNWGYRVALREKKVVYENAYGQFIGPHRIKATNNKGKEKIYSAERFLIATGERPRYLGIPGDKEYCISSDDLFSLPYCPGKTLVVGASYVALECAGFLAGIGLDVTVMVRSILLRGFDQDMANKIGEHMEEHGIKFIRQFVPIKVEQIEAGTPGRLRVVAQSTNSEEIIEGEYNTVMLAIGRDACTRKIGLETVGVKINEKTGKIPVTDEEQTNVPYIYAIGDILEDKVELTPVAIQAGRLLAQRLYAGSTVKCDYENVPTTVFTPLEYGACGLSEEKAVEKFGEENIEVYHSYFWPLEWTIPSRDNNKCYAKIICNTKDNERVVGFHVLGPNAGEVTQGFAAALKCGLTKKQLDSTIGIHPVCAEVFTTLSVTKRSGASILQAGCUG
+>DECOY_sp|Q16881|TRXR1_HUMAN Thioredoxin reductase 1, cytoplasmic OS=Homo sapiens OX=9606 GN=TXNRD1 PE=1 SV=3
+GUCGAQLISAGSRKTVSLTTFVEACVPHIGITSDLQKKTLGCKLAAAFGQTVEGANPGLVHFGVVRENDKTNCIIKAYCKNNDRSPITWELPWFYSHYVEINEEGFKEVAKEESLGCAGYELPTFVTTPVNEYDCKVTSGAYLRQALLRGAQIAVPTLEVKDELIDGIAYIYPVNTQEEDTVPIKGTKENIKVGVTELGIKRTCADRGIALMVTNYEGEIIEESNTSQAVVRLRGPTGAEIQEVKIPVFQRIFKIGHEEMHEGIKNAMDQDFGRLLISRVMVTVDLGIGALFGACELAVYSAGVVLTKGPCYPLSFLDDSSICYEKDGPIGLYRPREGTAILFREASYIKEKGKNNTAKIRHPGIFQGYANEYVVKKERLAVRYGWNLSGIHNQVAEIMRDWDHKVTEEVKWGYNRSDQLAQGLLAAQHMLKKPICGVNVCTGGLGWRTGLPTPTVFDLVMVKKGYQAAEKAAALGGSGGGIIILDYDYSKPLDEPGNMKLLKQLRGEQYAKLTPGHGGIKRQKVFVVPLDTEAALESLTGELARGDETQDLELVFYPVCLSKFLKKVETCRTCTSRSFIVVSHGDIYAQLLARSDATATSTFGAPNEPLTKGPHHDKASRRRGDGNKGKTQLETPAAAAVAKGEACGM
+>sp|P20231|TRYB2_HUMAN Tryptase beta-2 OS=Homo sapiens OX=9606 GN=TPSB2 PE=1 SV=2
+MLNLLLLALPVLASRAYAAPAPGQALQRVGIVGGQEAPRSKWPWQVSLRVHGPYWMHFCGGSLIHPQWVLTAAHCVGPDVKDLAALRVQLREQHLYYQDQLLPVSRIIVHPQFYTAQIGADIALLELEEPVNVSSHVHTVTLPPASETFPPGMPCWVTGWGDVDNDERLPPPFPLKQVKVPIMENHICDAKYHLGAYTGDDVRIVRDDMLCAGNTRRDSCQGDSGGPLVCKVNGTWLQAGVVSWGEGCAQPNRPGIYTRVTYYLDWIHHYVPKKP
+>DECOY_sp|P20231|TRYB2_HUMAN Tryptase beta-2 OS=Homo sapiens OX=9606 GN=TPSB2 PE=1 SV=2
+PKKPVYHHIWDLYYTVRTYIGPRNPQACGEGWSVVGAQLWTGNVKCVLPGGSDGQCSDRRTNGACLMDDRVIRVDDGTYAGLHYKADCIHNEMIPVKVQKLPFPPPLREDNDVDGWGTVWCPMGPPFTESAPPLTVTHVHSSVNVPEELELLAIDAGIQATYFQPHVIIRSVPLLQDQYYLHQERLQVRLAALDKVDPGVCHAATLVWQPHILSGGCFHMWYPGHVRLSVQWPWKSRPAEQGGVIGVRQLAQGPAPAAYARSALVPLALLLLNLM
+>sp|Q7RTX1|TS1R1_HUMAN Taste receptor type 1 member 1 OS=Homo sapiens OX=9606 GN=TAS1R1 PE=2 SV=1
+MLLCTARLVGLQLLISCCWAFACHSTESSPDFTLPGDYLLAGLFPLHSGCLQVRHRPEVTLCDRSCSFNEHGYHLFQAMRLGVEEINNSTALLPNITLGYQLYDVCSDSANVYATLRVLSLPGQHHIELQGDLLHYSPTVLAVIGPDSTNRAATTAALLSPFLVPMISYAASSETLSVKRQYPSFLRTIPNDKYQVETMVLLLQKFGWTWISLVGSSDDYGQLGVQALENQATGQGICIAFKDIMPFSAQVGDERMQCLMRHLAQAGATVVVVFSSRQLARVFFESVVLTNLTGKVWVASEAWALSRHITGVPGIQRIGMVLGVAIQKRAVPGLKAFEEAYARADKKAPRPCHKGSWCSSNQLCRECQAFMAHTMPKLKAFSMSSAYNAYRAVYAVAHGLHQLLGCASGACSRGRVYPWQLLEQIHKVHFLLHKDTVAFNDNRDPLSSYNIIAWDWNGPKWTFTVLGSSTWSPVQLNINETKIQWHGKDNQVPKSVCSSDCLEGHQRVVTGFHHCCFECVPCGAGTFLNKSDLYRCQPCGKEEWAPEGSQTCFPRTVVFLALREHTSWVLLAANTLLLLLLLGTAGLFAWHLDTPVVRSAGGRLCFLMLGSLAAGSGSLYGFFGEPTRPACLLRQALFALGFTIFLSCLTVRSFQLIIIFKFSTKVPTFYHAWVQNHGAGLFVMISSAAQLLICLTWLVVWTPLPAREYQRFPHLVMLECTETNSLGFILAFLYNGLLSISAFACSYLGKDLPENYNEAKCVTFSLLFNFVSWIAFFTTASVYDGKYLPAANMMAGLSSLSSGFGGYFLPKCYVILCRPDLNSTEHFQASIQDYTRRCGST
+>DECOY_sp|Q7RTX1|TS1R1_HUMAN Taste receptor type 1 member 1 OS=Homo sapiens OX=9606 GN=TAS1R1 PE=2 SV=1
+TSGCRRTYDQISAQFHETSNLDPRCLIVYCKPLFYGGFGSSLSSLGAMMNAAPLYKGDYVSATTFFAIWSVFNFLLSFTVCKAENYNEPLDKGLYSCAFASISLLGNYLFALIFGLSNTETCELMVLHPFRQYERAPLPTWVVLWTLCILLQAASSIMVFLGAGHNQVWAHYFTPVKTSFKFIIILQFSRVTLCSLFITFGLAFLAQRLLCAPRTPEGFFGYLSGSGAALSGLMLFCLRGGASRVVPTDLHWAFLGATGLLLLLLLTNAALLVWSTHERLALFVVTRPFCTQSGEPAWEEKGCPQCRYLDSKNLFTGAGCPVCEFCCHHFGTVVRQHGELCDSSCVSKPVQNDKGHWQIKTENINLQVPSWTSSGLVTFTWKPGNWDWAIINYSSLPDRNDNFAVTDKHLLFHVKHIQELLQWPYVRGRSCAGSACGLLQHLGHAVAYVARYANYASSMSFAKLKPMTHAMFAQCERCLQNSSCWSGKHCPRPAKKDARAYAEEFAKLGPVARKQIAVGLVMGIRQIGPVGTIHRSLAWAESAVWVKGTLNTLVVSEFFVRALQRSSFVVVVTAGAQALHRMLCQMREDGVQASFPMIDKFAICIGQGTAQNELAQVGLQGYDDSSGVLSIWTWGFKQLLLVMTEVQYKDNPITRLFSPYQRKVSLTESSAAYSIMPVLFPSLLAATTAARNTSDPGIVALVTPSYHLLDGQLEIHHQGPLSLVRLTAYVNASDSCVDYLQYGLTINPLLATSNNIEEVGLRMAQFLHYGHENFSCSRDCLTVEPRHRVQLCGSHLPFLGALLYDGPLTFDPSSETSHCAFAWCCSILLQLGVLRATCLLM
+>sp|P59090|TSAS2_HUMAN Putative uncharacterized protein TSPEAR-AS2 OS=Homo sapiens OX=9606 GN=TSPEAR-AS2 PE=5 SV=1
+MGNPRLPRLLCALKFSGFLSNIRGPLAGEDGMGDTQLARVRDSALKTPWRPAPCPPPAHSLDDWK
+>DECOY_sp|P59090|TSAS2_HUMAN Putative uncharacterized protein TSPEAR-AS2 OS=Homo sapiens OX=9606 GN=TSPEAR-AS2 PE=5 SV=1
+KWDDLSHAPPPCPAPRWPTKLASDRVRALQTDGMGDEGALPGRINSLFGSFKLACLLRPLRPNGM
+>sp|Q9BY10|TSCOT_HUMAN Thymic stromal cotransporter homolog OS=Homo sapiens OX=9606 GN=SLC46A2 PE=2 SV=1
+MSPEVTCPRRGHLPRFHPRTWVEPVVASSQVAASLYDAGLLLVVKASYGTGGSSNHSASPSPRGALEDQQQRAISNFYIIYNLVVGLSPLLSAYGLGWLSDRYHRKISICMSLLGFLLSRLGLLLKVLLDWPVEVLYGAAALNGLFGGFSAFWSGVMALGSLGSSEGRRSVRLILIDLMLGLAGFCGSMASGHLFKQMAGHSGQGLILTACSVSCASFALLYSLLVLKVPESVAKPSQELPAVDTVSGTVGTYRTLDPDQLDQQYAVGHPPSPGKAKPHKTTIALLFVGAIIYDLAVVGTVDVIPLFVLREPLGWNQVQVGYGMAAGYTIFITSFLGVLVFSRCFRDTTMIMIGMVSFGSGALLLAFVKETYMFYIARAVMLFALIPVTTIRSAMSKLIKGSSYGKVFVILQLSLALTGVVTSTLYNKIYQLTMDMFVGSCFALSSFLSFLAIIPISIVAYKQVPLSPYGDIIEK
+>DECOY_sp|Q9BY10|TSCOT_HUMAN Thymic stromal cotransporter homolog OS=Homo sapiens OX=9606 GN=SLC46A2 PE=2 SV=1
+KEIIDGYPSLPVQKYAVISIPIIALFSLFSSLAFCSGVFMDMTLQYIKNYLTSTVVGTLALSLQLIVFVKGYSSGKILKSMASRITTVPILAFLMVARAIYFMYTEKVFALLLAGSGFSVMGIMIMTTDRFCRSFVLVGLFSTIFITYGAAMGYGVQVQNWGLPERLVFLPIVDVTGVVALDYIIAGVFLLAITTKHPKAKGPSPPHGVAYQQDLQDPDLTRYTGVTGSVTDVAPLEQSPKAVSEPVKLVLLSYLLAFSACSVSCATLILGQGSHGAMQKFLHGSAMSGCFGALGLMLDILILRVSRRGESSGLSGLAMVGSWFASFGGFLGNLAAAGYLVEVPWDLLVKLLLGLRSLLFGLLSMCISIKRHYRDSLWGLGYASLLPSLGVVLNYIIYFNSIARQQQDELAGRPSPSASHNSSGGTGYSAKVVLLLGADYLSAAVQSSAVVPEVWTRPHFRPLHGRRPCTVEPSM
+>sp|Q6ZSZ6|TSH1_HUMAN Teashirt homolog 1 OS=Homo sapiens OX=9606 GN=TSHZ1 PE=1 SV=2
+MPRRKQQAPRRSAAYVPEEELKAAEIDEEHVEDDGLSLDIQESEYMCNEETEIKEAQSYQNSPVSSATNQDAGYGSPFSESSDQLAHFKGSSSREEKEDPQCPDSVSYPQDSLAQIKAVYANLFSESCWSSLALDLKKSGSTTSTNDASQKESSAPTPTPPTCPVSTTGPTTSTPSTSCSSSTSHSSTTSTSSSSGYDWHQAALAKTLQQTSSYGLLPEPSLFSTVQLYRQNNKLYGSVFTGASKFRCKDCSAAYDTLVELTVHMNETGHYRDDNRDKDSEKTKRWSKPRKRSLMEMEGKEDAQKVLKCMYCGHSFESLQDLSVHMIKTKHYQKVPLKEPVPAITKLVPSTKKRALQDLAPPCSPEPAGMAAEVALSESAKDQKAANPYVTPNNRYGYQNGASYTWQFEARKAQILKCMECGSSHDTLQQLTAHMMVTGHFLKVTTSASKKGKQLVLDPVVEEKIQSIPLPPTTHTRLPASSIKKQPDSPAGSTTSEEKKEPEKEKPPVAGDAEKIKEESEDSLEKFEPSTLYPYLREEDLDDSPKGGLDILKSLENTVSTAISKAQNGAPSWGGYPSIHAAYQLPGTVKPLPAAVQSVQVQPSYAGGVKSLSSAEHNALLHSPGSLTPPPHKSNVSAMEELVEKVTGKVNIKKEERPPEKEKSSLAKAASPIAKENKDFPKTEEVSGKPQKKGPEAETGKAKKEGPLDVHTPNGTEPLKAKVTNGCNNLGIIMDHSPEPSFINPLSALQSIMNTHLGKVSKPVSPSLDPLAMLYKISNSMLDKPVYPATPVKQADAIDRYYYENSDQPIDLTKSKNKPLVSSVADSVASPLRESALMDISDMVKNLTGRLTPKSSTPSTVSEKSDADGSSFEEALDELSPVHKRKGRQSNWNPQHLLILQAQFASSLRETTEGKYIMSDLGPQERVHISKFTGLSMTTISHWLANVKYQLRRTGGTKFLKNLDTGHPVFFCNDCASQFRTASTYISHLETHLGFSLKDLSKLPLNQIQEQQNVSKVLTNKTLGPLGATEEDLGSTFQCKLCNRTFASKHAVKLHLSKTHGKSPEDHLIYVTELEKQ
+>DECOY_sp|Q6ZSZ6|TSH1_HUMAN Teashirt homolog 1 OS=Homo sapiens OX=9606 GN=TSHZ1 PE=1 SV=2
+QKELETVYILHDEPSKGHTKSLHLKVAHKSAFTRNCLKCQFTSGLDEETAGLPGLTKNTLVKSVNQQEQIQNLPLKSLDKLSFGLHTELHSIYTSATRFQSACDNCFFVPHGTDLNKLFKTGGTRRLQYKVNALWHSITTMSLGTFKSIHVREQPGLDSMIYKGETTERLSSAFQAQLILLHQPNWNSQRGKRKHVPSLEDLAEEFSSGDADSKESVTSPTSSKPTLRGTLNKVMDSIDMLASERLPSAVSDAVSSVLPKNKSKTLDIPQDSNEYYYRDIADAQKVPTAPYVPKDLMSNSIKYLMALPDLSPSVPKSVKGLHTNMISQLASLPNIFSPEPSHDMIIGLNNCGNTVKAKLPETGNPTHVDLPGEKKAKGTEAEPGKKQPKGSVEETKPFDKNEKAIPSAAKALSSKEKEPPREEKKINVKGTVKEVLEEMASVNSKHPPPTLSGPSHLLANHEASSLSKVGGAYSPQVQVSQVAAPLPKVTGPLQYAAHISPYGGWSPAGNQAKSIATSVTNELSKLIDLGGKPSDDLDEERLYPYLTSPEFKELSDESEEKIKEADGAVPPKEKEPEKKEESTTSGAPSDPQKKISSAPLRTHTTPPLPISQIKEEVVPDLVLQKGKKSASTTVKLFHGTVMMHATLQQLTDHSSGCEMCKLIQAKRAEFQWTYSAGNQYGYRNNPTVYPNAAKQDKASESLAVEAAMGAPEPSCPPALDQLARKKTSPVLKTIAPVPEKLPVKQYHKTKIMHVSLDQLSEFSHGCYMCKLVKQADEKGEMEMLSRKRPKSWRKTKESDKDRNDDRYHGTENMHVTLEVLTDYAASCDKCRFKSAGTFVSGYLKNNQRYLQVTSFLSPEPLLGYSSTQQLTKALAAQHWDYGSSSSTSTTSSHSTSSSCSTSPTSTTPGTTSVPCTPPTPTPASSEKQSADNTSTTSGSKKLDLALSSWCSESFLNAYVAKIQALSDQPYSVSDPCQPDEKEERSSSGKFHALQDSSESFPSGYGADQNTASSVPSNQYSQAEKIETEENCMYESEQIDLSLGDDEVHEEDIEAAKLEEEPVYAASRRPAQQKRRPM
+>sp|Q9UKR8|TSN16_HUMAN Tetraspanin-16 OS=Homo sapiens OX=9606 GN=TSPAN16 PE=2 SV=1
+MAEIHTPYSSLKKLLSLLNGFVAVSGIILVGLGIGGKCGGASLTNVLGLSSAYLLHVGNLCLVMGCITVLLGCAGWYGATKESRGTLLFCILSMVIVLIMEVTAATVVLLFFPIVGDVALEHTFVTLRKNYRGYNEPDDYSTQWNLVMEKLKCCGVNNYTDFSGSSFEMTTGHTYPRSCCKSIGSVSCDGRDVSPNVIHQKGCFHKLLKITKTQSFTLSGSSLGAAVIQRWGSRYVAQAGLELLA
+>DECOY_sp|Q9UKR8|TSN16_HUMAN Tetraspanin-16 OS=Homo sapiens OX=9606 GN=TSPAN16 PE=2 SV=1
+ALLELGAQAVYRSGWRQIVAAGLSSGSLTFSQTKTIKLLKHFCGKQHIVNPSVDRGDCSVSGISKCCSRPYTHGTTMEFSSGSFDTYNNVGCCKLKEMVLNWQTSYDDPENYGRYNKRLTVFTHELAVDGVIPFFLLVVTAATVEMILVIVMSLICFLLTGRSEKTAGYWGACGLLVTICGMVLCLNGVHLLYASSLGLVNTLSAGGCKGGIGLGVLIIGSVAVFGNLLSLLKKLSSYPTHIEAM
+>sp|P0C672|TSN19_HUMAN Putative tetraspanin-19 OS=Homo sapiens OX=9606 GN=TSPAN19 PE=5 SV=1
+MLRNNKTIIIKYFLNLINGAFLVLGLLFMGFGAWLLLDRNNFLTAFDENNHFIVPISQILIGMGSSTVLFCLLGYIGIHNEIRWLLIVYAVLITWTFAVQVVLSAFIITKKEEVQQLWHDKIDFVISEYGSKDKPEDITKWTILNALQKTLQCCGQHNYTDWIKNKNKENSGQVPCSCTKSTLRKWFCDEPLNATYLEGCENKISAWYNVNVLTLIGINFGLLTSEVFQVSLTVCFFKNIKNIIHAEM
+>DECOY_sp|P0C672|TSN19_HUMAN Putative tetraspanin-19 OS=Homo sapiens OX=9606 GN=TSPAN19 PE=5 SV=1
+MEAHIINKINKFFCVTLSVQFVESTLLGFNIGILTLVNVNYWASIKNECGELYTANLPEDCFWKRLTSKTCSCPVQGSNEKNKNKIWDTYNHQGCCQLTKQLANLITWKTIDEPKDKSGYESIVFDIKDHWLQQVEEKKTIIFASLVVQVAFTWTILVAYVILLWRIENHIGIYGLLCFLVTSSGMGILIQSIPVIFHNNEDFATLFNNRDLLLWAGFGMFLLGLVLFAGNILNLFYKIIITKNNRLM
+>sp|O60636|TSN2_HUMAN Tetraspanin-2 OS=Homo sapiens OX=9606 GN=TSPAN2 PE=1 SV=2
+MGRFRGGLRCIKYLLLGFNLLFWLAGSAVIAFGLWFRFGGAIKELSSEDKSPEYFYVGLYVLVGAGALMMAVGFFGCCGAMRESQCVLGSFFTCLLVIFAAEVTTGVFAFIGKGVAIRHVQTMYEEAYNDYLKDRGKGNGTLITFHSTFQCCGKESSEQVQPTCPKELLGHKNCIDEIETIISVKLQLIGIVGIGIAGLTIFGMIFSMVLCCAIRNSRDVI
+>DECOY_sp|O60636|TSN2_HUMAN Tetraspanin-2 OS=Homo sapiens OX=9606 GN=TSPAN2 PE=1 SV=2
+IVDRSNRIACCLVMSFIMGFITLGAIGIGVIGILQLKVSIITEIEDICNKHGLLEKPCTPQVQESSEKGCCQFTSHFTILTGNGKGRDKLYDNYAEEYMTQVHRIAVGKGIFAFVGTTVEAAFIVLLCTFFSGLVCQSERMAGCCGFFGVAMMLAGAGVLVYLGVYFYEPSKDESSLEKIAGGFRFWLGFAIVASGALWFLLNFGLLLYKICRLGGRFRGM
+>sp|P41732|TSN7_HUMAN Tetraspanin-7 OS=Homo sapiens OX=9606 GN=TSPAN7 PE=1 SV=2
+MASRRMETKPVITCLKTLLIIYSFVFWITGVILLAVGVWGKLTLGTYISLIAENSTNAPYVLIGTGTTIVVFGLFGCFATCRGSPWMLKLYAMFLSLVFLAELVAGISGFVFRHEIKDTFLRTYTDAMQTYNGNDERSRAVDHVQRSLSCCGVQNYTNWSTSPYFLEHGIPPSCCMNETDCNPQDLHNLTVAATKVNQKGCYDLVTSFMETNMGIIAGVAFGIAFSQLIGMLLACCLSRFITANQYEMV
+>DECOY_sp|P41732|TSN7_HUMAN Tetraspanin-7 OS=Homo sapiens OX=9606 GN=TSPAN7 PE=1 SV=2
+VMEYQNATIFRSLCCALLMGILQSFAIGFAVGAIIGMNTEMFSTVLDYCGKQNVKTAAVTLNHLDQPNCDTENMCCSPPIGHELFYPSTSWNTYNQVGCCSLSRQVHDVARSREDNGNYTQMADTYTRLFTDKIEHRFVFGSIGAVLEALFVLSLFMAYLKLMWPSGRCTAFCGFLGFVVITTGTGILVYPANTSNEAILSIYTGLTLKGWVGVALLIVGTIWFVFSYIILLTKLCTIVPKTEMRRSAM
+>sp|P19075|TSN8_HUMAN Tetraspanin-8 OS=Homo sapiens OX=9606 GN=TSPAN8 PE=1 SV=1
+MAGVSACIKYSMFTFNFLFWLCGILILALAIWVRVSNDSQAIFGSEDVGSSSYVAVDILIAVGAIIMILGFLGCCGAIKESRCMLLLFFIGLLLILLLQVATGILGAVFKSKSDRIVNETLYENTKLLSATGESEKQFQEAIIVFQEEFKCCGLVNGAADWGNNFQHYPELCACLDKQRPCQSYNGKQVYKETCISFIKDFLAKNLIIVIGISFGLAVIEILGLVFSMVLYCQIGNK
+>DECOY_sp|P19075|TSN8_HUMAN Tetraspanin-8 OS=Homo sapiens OX=9606 GN=TSPAN8 PE=1 SV=1
+KNGIQCYLVMSFVLGLIEIVALGFSIGIVIILNKALFDKIFSICTEKYVQKGNYSQCPRQKDLCACLEPYHQFNNGWDAAGNVLGCCKFEEQFVIIAEQFQKESEGTASLLKTNEYLTENVIRDSKSKFVAGLIGTAVQLLLILLLGIFFLLLMCRSEKIAGCCGLFGLIMIIAGVAILIDVAVYSSSGVDESGFIAQSDNSVRVWIALALILIGCLWFLFNFTFMSYKICASVGAM
+>sp|A6NKD2|TSPY2_HUMAN Testis-specific Y-encoded protein 2 OS=Homo sapiens OX=9606 GN=TSPY2 PE=3 SV=1
+MRPEGSLTYRVPERLRQGSCGVGRAAQALVCASAKEGTAFRMEAVQEGAAGVESEQAALGEEAVLLLDDIMAEVEVVAEEEGLVERREEAQRAQQAVPGPGPMTPESALEELLAVQVELEPVNAQARKAFSRQREKMERRRKPHLDRRGAVIQSVPGFWANVIANHPQMSALITDEDEDMLSYMVSLEVEEEKHRVHLCKIMLFFRSNPYFQNKVITKEYLVNITEYRASHSTPIEWYPDYEVEAYRRRHHNSSLNFFNWFSDHNFAGSNKIAEILCKDLWRNPLQYYKRMKPPEEGTETSGDSQLLS
+>DECOY_sp|A6NKD2|TSPY2_HUMAN Testis-specific Y-encoded protein 2 OS=Homo sapiens OX=9606 GN=TSPY2 PE=3 SV=1
+SLLQSDGSTETGEEPPKMRKYYQLPNRWLDKCLIEAIKNSGAFNHDSFWNFFNLSSNHHRRRYAEVEYDPYWEIPTSHSARYETINVLYEKTIVKNQFYPNSRFFLMIKCLHVRHKEEEVELSVMYSLMDEDEDTILASMQPHNAIVNAWFGPVSQIVAGRRDLHPKRRREMKERQRSFAKRAQANVPELEVQVALLEELASEPTMPGPGPVAQQARQAEERREVLGEEEAVVEVEAMIDDLLLVAEEGLAAQESEVGAAGEQVAEMRFATGEKASACVLAQAARGVGCSGQRLREPVRYTLSGEPRM
+>sp|P0CW00|TSPY8_HUMAN Testis-specific Y-encoded protein 8 OS=Homo sapiens OX=9606 GN=TSPY8 PE=3 SV=2
+MRPEGSLTYWVPERLRQGFCGVGRAAQALVCASAKEGTAFRMEAVQEGAAGVESEQAALGEEAVLLLDDIMAEVEVVAEEEGLVERREEAQRAQQAVPGPGPMTPESALEELLAVQVELEPVNAQARKAFSRQREKMERRRKPHLDRRGAVIQSVPGFWANVIANHPQMSALITDEDEDMLSYMVSLEVEEEKHPVHLCKIMLFFRSNPYFQNKVITKEYLVNITEYRASHSTPIEWYLDYEVEAYRRRHHNSSLNFFNWFSDHNFAGSNKIAEILCKDLWRNPLQYYKRMKPPEEGTETSGDSQLLS
+>DECOY_sp|P0CW00|TSPY8_HUMAN Testis-specific Y-encoded protein 8 OS=Homo sapiens OX=9606 GN=TSPY8 PE=3 SV=2
+SLLQSDGSTETGEEPPKMRKYYQLPNRWLDKCLIEAIKNSGAFNHDSFWNFFNLSSNHHRRRYAEVEYDLYWEIPTSHSARYETINVLYEKTIVKNQFYPNSRFFLMIKCLHVPHKEEEVELSVMYSLMDEDEDTILASMQPHNAIVNAWFGPVSQIVAGRRDLHPKRRREMKERQRSFAKRAQANVPELEVQVALLEELASEPTMPGPGPVAQQARQAEERREVLGEEEAVVEVEAMIDDLLLVAEEGLAAQESEVGAAGEQVAEMRFATGEKASACVLAQAARGVGCFGQRLREPVWYTLSGEPRM
+>sp|Q9Y5U2|TSSC4_HUMAN Protein TSSC4 OS=Homo sapiens OX=9606 GN=TSSC4 PE=1 SV=3
+MAEAGTGEPSPSVEGEHGTEYDTLPSDTVSLSDSDSDLSLPGGAEVEALSPMGLPGEEDSGPDEPPSPPSGLLPATVQPFHLRGMSSTFSQRSRDIFDCLEGAARRAPSSVAHTSMSDNGGFKRPLAPSGRSPVEGLGRAHRSPASPRVPPVPDYVAHPERWTKYSLEDVTEVSEQSNQATALAFLGSQSLAAPTDCVSSFNQDPSSCGEGRVIFTKPVRGVEARHERKRVLGKVGEPGRGGLGNPATDRGEGPVELAHLAGPGSPEAEEWGSHHGGLQEVEALSGSVHSGSVPGLPPVETVGFHGSRKRSRDHFRNKSSSPEDPGAEV
+>DECOY_sp|Q9Y5U2|TSSC4_HUMAN Protein TSSC4 OS=Homo sapiens OX=9606 GN=TSSC4 PE=1 SV=3
+VEAGPDEPSSSKNRFHDRSRKRSGHFGVTEVPPLGPVSGSHVSGSLAEVEQLGGHHSGWEEAEPSGPGALHALEVPGEGRDTAPNGLGGRGPEGVKGLVRKREHRAEVGRVPKTFIVRGEGCSSPDQNFSSVCDTPAALSQSGLFALATAQNSQESVETVDELSYKTWREPHAVYDPVPPVRPSAPSRHARGLGEVPSRGSPALPRKFGGNDSMSTHAVSSPARRAAGELCDFIDRSRQSFTSSMGRLHFPQVTAPLLGSPPSPPEDPGSDEEGPLGMPSLAEVEAGGPLSLDSDSDSLSVTDSPLTDYETGHEGEVSPSPEGTGAEAM
+>sp|Q96PF2|TSSK2_HUMAN Testis-specific serine/threonine-protein kinase 2 OS=Homo sapiens OX=9606 GN=TSSK2 PE=1 SV=2
+MDDATVLRKKGYIVGINLGKGSYAKVKSAYSERLKFNVAVKIIDRKKTPTDFVERFLPREMDILATVNHGSIIKTYEIFETSDGRIYIIMELGVQGDLLEFIKCQGALHEDVARKMFRQLSSAVKYCHDLDIVHRDLKCENLLLDKDFNIKLSDFGFSKRCLRDSNGRIILSKTFCGSAAYAAPEVLQSIPYQPKVYDIWSLGVILYIMVCGSMPYDDSDIRKMLRIQKEHRVDFPRSKNLTCECKDLIYRMLQPDVSQRLHIDEILSHSWLQPPKPKATSSASFKREGEGKYRAECKLDTKTGLRPDHRPDHKLGAKTQHRLLVVPENENRMEDRLAETSRAKDHHISGAEVGKAST
+>DECOY_sp|Q96PF2|TSSK2_HUMAN Testis-specific serine/threonine-protein kinase 2 OS=Homo sapiens OX=9606 GN=TSSK2 PE=1 SV=2
+TSAKGVEAGSIHHDKARSTEALRDEMRNENEPVVLLRHQTKAGLKHDPRHDPRLGTKTDLKCEARYKGEGERKFSASSTAKPKPPQLWSHSLIEDIHLRQSVDPQLMRYILDKCECTLNKSRPFDVRHEKQIRLMKRIDSDDYPMSGCVMIYLIVGLSWIDYVKPQYPISQLVEPAAYAASGCFTKSLIIRGNSDRLCRKSFGFDSLKINFDKDLLLNECKLDRHVIDLDHCYKVASSLQRFMKRAVDEHLAGQCKIFELLDGQVGLEMIIYIRGDSTEFIEYTKIISGHNVTALIDMERPLFREVFDTPTKKRDIIKVAVNFKLRESYASKVKAYSGKGLNIGVIYGKKRLVTADDM
+>sp|Q9BXA6|TSSK6_HUMAN Testis-specific serine/threonine-protein kinase 6 OS=Homo sapiens OX=9606 GN=TSSK6 PE=1 SV=1
+MSGDKLLSELGYKLGRTIGEGSYSKVKVATSKKYKGTVAIKVVDRRRAPPDFVNKFLPRELSILRGVRHPHIVHVFEFIEVCNGKLYIVMEAAATDLLQAVQRNGRIPGVQARDLFAQIAGAVRYLHDHHLVHRDLKCENVLLSPDERRVKLTDFGFGRQAHGYPDLSTTYCGSAAYASPEVLLGIPYDPKKYDVWSMGVVLYVMVTGCMPFDDSDIAGLPRRQKRGVLYPEGLELSERCKALIAELLQFSPSARPSAGQVARNCWLRAGDSG
+>DECOY_sp|Q9BXA6|TSSK6_HUMAN Testis-specific serine/threonine-protein kinase 6 OS=Homo sapiens OX=9606 GN=TSSK6 PE=1 SV=1
+GSDGARLWCNRAVQGASPRASPSFQLLEAILAKCRESLELGEPYLVGRKQRRPLGAIDSDDFPMCGTVMVYLVVGMSWVDYKKPDYPIGLLVEPSAYAASGCYTTSLDPYGHAQRGFGFDTLKVRREDPSLLVNECKLDRHVLHHDHLYRVAGAIQAFLDRAQVGPIRGNRQVAQLLDTAAAEMVIYLKGNCVEIFEFVHVIHPHRVGRLISLERPLFKNVFDPPARRRDVVKIAVTGKYKKSTAVKVKSYSGEGITRGLKYGLESLLKDGSM
+>sp|Q9H2G4|TSYL2_HUMAN Testis-specific Y-encoded-like protein 2 OS=Homo sapiens OX=9606 GN=TSPYL2 PE=1 SV=1
+MDRPDEGPPAKTRRLSSSESPQRDPPPPPPPPPLLRLPLPPPQQRPRLQEETEAAQVLADMRGVGLGPALPPPPPYVILEEGGIRAYFTLGAECPGWDSTIESGYGEAPPPTESLEALPTPEASGGSLEIDFQVVQSSSFGGEGALETCSAVGWAPQRLVDPKSKEEAIIIVEDEDEDERESMRSSRRRRRRRRRKQRKVKRESRERNAERMESILQALEDIQLDLEAVNIKAGKAFLRLKRKFIQMRRPFLERRDLIIQHIPGFWVKAFLNHPRISILINRRDEDIFRYLTNLQVQDLRHISMGYKMKLYFQTNPYFTNMVIVKEFQRNRSGRLVSHSTPIRWHRGQEPQARRHGNQDASHSFFSWFSNHSLPEADRIAEIIKNDLWVNPLRYYLRERGSRIKRKKQEMKKRKTRGRCEVVIMEDAPDYYAVEDIFSEISDIDETIHDIKISDFMETTDYFETTDNEITDINENICDSENPDHNEVPNNETTDNNESADDHETTDNNESADDNNENPEDNNKNTDDNEENPNNNENTYGNNFFKGGFWGSHGNNQDSSDSDNEADEASDDEDNDGNEGDNEGSDDDGNEGDNEGSDDDDRDIEYYEKVIEDFDKDQADYEDVIEIISDESVEEEGIEEGIQQDEDIYEEGNYEEEGSEDVWEEGEDSDDSDLEDVLQVPNGWANPGKRGKTG
+>DECOY_sp|Q9H2G4|TSYL2_HUMAN Testis-specific Y-encoded-like protein 2 OS=Homo sapiens OX=9606 GN=TSPYL2 PE=1 SV=1
+GTKGRKGPNAWGNPVQLVDELDSDDSDEGEEWVDESGEEEYNGEEYIDEDQQIGEEIGEEEVSEDSIIEIVDEYDAQDKDFDEIVKEYYEIDRDDDDSGENDGENGDDDSGENDGENGDNDEDDSAEDAENDSDSSDQNNGHSGWFGGKFFNNGYTNENNNPNEENDDTNKNNDEPNENNDDASENNDTTEHDDASENNDTTENNPVENHDPNESDCINENIDTIENDTTEFYDTTEMFDSIKIDHITEDIDSIESFIDEVAYYDPADEMIVVECRGRTKRKKMEQKKRKIRSGRERLYYRLPNVWLDNKIIEAIRDAEPLSHNSFWSFFSHSADQNGHRRAQPEQGRHWRIPTSHSVLRGSRNRQFEKVIVMNTFYPNTQFYLKMKYGMSIHRLDQVQLNTLYRFIDEDRRNILISIRPHNLFAKVWFGPIHQIILDRRELFPRRMQIFKRKLRLFAKGAKINVAELDLQIDELAQLISEMREANRERSERKVKRQKRRRRRRRRRSSRMSEREDEDEDEVIIIAEEKSKPDVLRQPAWGVASCTELAGEGGFSSSQVVQFDIELSGGSAEPTPLAELSETPPPAEGYGSEITSDWGPCEAGLTFYARIGGEELIVYPPPPPLAPGLGVGRMDALVQAAETEEQLRPRQQPPPLPLRLLPPPPPPPPPDRQPSESSSLRRTKAPPGEDPRDM
+>sp|Q5TCY1|TTBK1_HUMAN Tau-tubulin kinase 1 OS=Homo sapiens OX=9606 GN=TTBK1 PE=1 SV=2
+MQCLAAALKDETNMSGGGEQADILPANYVVKDRWKVLKKIGGGGFGEIYEAMDLLTRENVALKVESAQQPKQVLKMEVAVLKKLQGKDHVCRFIGCGRNEKFNYVVMQLQGRNLADLRRSQPRGTFTLSTTLRLGKQILESIEAIHSVGFLHRDIKPSNFAMGRLPSTYRKCYMLDFGLARQYTNTTGDVRPPRNVAGFRGTVRYASVNAHKNREMGRHDDLWSLFYMLVEFAVGQLPWRKIKDKEQVGMIKEKYEHRMLLKHMPSEFHLFLDHIASLDYFTKPDYQLIMSVFENSMKERGIAENEAFDWEKAGTDALLSTSTSTPPQQNTRQTAAMFGVVNVTPVPGDLLRENTEDVLQGEHLSDQENAPPILPGRPSEGLGPSPHLVPHPGGPEAEVWEETDVNRNKLRINIGKSPCVEEEQSRGMGVPSSPVRAPPDSPTTPVRSLRYRRVNSPESERLSTADGRVELPERRSRMDLPGSPSRQACSSQPAQMLSVDTGHADRQASGRMDVSASVEQEALSNAFRSVPLAEEEDFDSKEWVIIDKETELKDFPPGAEPSTSGTTDEEPEELRPLPEEGEERRRLGAEPTVRPRGRSMQALAEEDLQHLPPQPLPPQLSQGDGRSETSQPPTPGSPSHSPLHSGPRPRRRESDPTGPQRQVFSVAPPFEVNGLPRAVPLSLPYQDFKRDLSDYRERARLLNRVRRVGFSHMLLTTPQVPLAPVQPQANGKEEEEEEEEDEEEEEEDEEEEEEEEEEEEEEEEEEEEEEEAAAAVALGEVLGPRSGSSSEGSERSTDRSQEGAPSTLLADDQKESRGRASMADGDLEPEEGSKTLVLVSPGDMKKSPVTAELAPDPDLGTLAALTPQHERPQPTGSQLDVSEPGTLSSVLKSEPKPPGPGAGLGAGTVTTGVGGVAVTSSPFTKVERTFVHIAEKTHLNVMSSGGQALRSEEFSAGGELGLELASDGGAVEEGARAPLENGLALSGLNGAEIEGSALSGAPRETPSEMATNSLPNGPALADGPAPVSPLEPSPEKVATISPRRHAMPGSRPRSRIPVLLSEEDTGSEPSGSLSAKERWSKRARPQQDLARLVMEKRQGRLLLRLASGASSSSSEEQRRASETLSGTGSEEDTPASEPAAALPRKSGRAAATRSRIPRPIGLRMPMPVAAQQPASRSHGAAPALDTAITSRLQLQTPPGSATAADLRPKQPPGRGLGPGRAQAGARPPAPRSPRLPASTSAARNASASPRSQSLSRRESPSPSHQARPGVPPPRGVPPARAQPDGTPSPGGSKKGPRGKLQAQRATTKGRAGGAEGRAGAR
+>DECOY_sp|Q5TCY1|TTBK1_HUMAN Tau-tubulin kinase 1 OS=Homo sapiens OX=9606 GN=TTBK1 PE=1 SV=2
+RAGARGEAGGARGKTTARQAQLKGRPGKKSGGPSPTGDPQARAPPVGRPPPVGPRAQHSPSPSERRSLSQSRPSASANRAASTSAPLRPSRPAPPRAGAQARGPGLGRGPPQKPRLDAATASGPPTQLQLRSTIATDLAPAAGHSRSAPQQAAVPMPMRLGIPRPIRSRTAAARGSKRPLAAAPESAPTDEESGTGSLTESARRQEESSSSSAGSALRLLLRGQRKEMVLRALDQQPRARKSWREKASLSGSPESGTDEESLLVPIRSRPRSGPMAHRRPSITAVKEPSPELPSVPAPGDALAPGNPLSNTAMESPTERPAGSLASGEIEAGNLGSLALGNELPARAGEEVAGGDSALELGLEGGASFEESRLAQGGSSMVNLHTKEAIHVFTREVKTFPSSTVAVGGVGTTVTGAGLGAGPGPPKPESKLVSSLTGPESVDLQSGTPQPREHQPTLAALTGLDPDPALEATVPSKKMDGPSVLVLTKSGEEPELDGDAMSARGRSEKQDDALLTSPAGEQSRDTSRESGESSSGSRPGLVEGLAVAAAAEEEEEEEEEEEEEEEEEEEEEEEDEEEEEEDEEEEEEEEKGNAQPQVPALPVQPTTLLMHSFGVRRVRNLLRARERYDSLDRKFDQYPLSLPVARPLGNVEFPPAVSFVQRQPGTPDSERRRPRPGSHLPSHSPSGPTPPQSTESRGDGQSLQPPLPQPPLHQLDEEALAQMSRGRPRVTPEAGLRRREEGEEPLPRLEEPEEDTTGSTSPEAGPPFDKLETEKDIIVWEKSDFDEEEALPVSRFANSLAEQEVSASVDMRGSAQRDAHGTDVSLMQAPQSSCAQRSPSGPLDMRSRREPLEVRGDATSLRESEPSNVRRYRLSRVPTTPSDPPARVPSSPVGMGRSQEEEVCPSKGINIRLKNRNVDTEEWVEAEPGGPHPVLHPSPGLGESPRGPLIPPANEQDSLHEGQLVDETNERLLDGPVPTVNVVGFMAATQRTNQQPPTSTSTSLLADTGAKEWDFAENEAIGREKMSNEFVSMILQYDPKTFYDLSAIHDLFLHFESPMHKLLMRHEYKEKIMGVQEKDKIKRWPLQGVAFEVLMYFLSWLDDHRGMERNKHANVSAYRVTGRFGAVNRPPRVDGTTNTYQRALGFDLMYCKRYTSPLRGMAFNSPKIDRHLFGVSHIAEISELIQKGLRLTTSLTFTGRPQSRRLDALNRGQLQMVVYNFKENRGCGIFRCVHDKGQLKKLVAVEMKLVQKPQQASEVKLAVNERTLLDMAEYIEGFGGGGIKKLVKWRDKVVYNAPLIDAQEGGGSMNTEDKLAAALCQM
+>sp|Q8NHH1|TTL11_HUMAN Tubulin polyglutamylase TTLL11 OS=Homo sapiens OX=9606 GN=TTLL11 PE=1 SV=2
+MAAAASVTGRVTWAASPMRSLGLGRRLSLPGPRLDAVTAAVNPSLSDHGNGLGRGTRGSGCSGGSLVADWGGGAAAAAAVALALAPALSTMRRGSSESELAARWEAEAVAAAKAAAKAEAEATAETVAEQVRVDAGAAGEPECKAGEEQPKVLAPAPAQPSAAEEGNTQVLQRPPPTLPPSKPKPVQGLCPHGKPRDKGRSCKRSSGHGSGENGSQRPVTVDSSKARTSLDALKISIRQLKWKEFPFGRRLPCDIYWHGVSFHDNDIFSGQVNKFPGMTEMVRKITLSRAVRTMQNLFPEEYNFYPRSWILPDEFQLFVAQVQMVKDDDPSWKPTFIVKPDGGCQGDGIYLIKDPSDIRLAGTLQSRPAVVQEYICKPLLIDKLKFDIRLYVLLKSLDPLEIYIAKDGLSRFCTEPYQEPTPKNLHRIFMHLTNYSLNIHSGNFIHSDSASTGSKRTFSSILCRLSSKGVDIKKVWSDIISVVIKTVIALTPELKVFYQSDIPTGRPGPTCFQILGFDILLMKNLKPILLEVNANPSMRIEHEHELSPGVFENVPSLVDEEVKVAVIRDTLRLMDPLKKKRENQSQQLEKPFAGKEDALDGELTSAPDCNANPEAHLPSICLKQVFPKYAKQFNYLRLVDRMANLFIRFLGIKGTMKLGPTGFRTFIRSCKLSSSSLSMAAVDILYIDITRRWNSMTLDQRDSGMCLQAFVEAFFFLAQRKFKMLPLHEQVASLIDLCEYHLSLLDEKRLVCGRGVPSGGRPPHRGPPQEPSPSAQPAGDNPPPRTSCANKLSHPRHTLS
+>DECOY_sp|Q8NHH1|TTL11_HUMAN Tubulin polyglutamylase TTLL11 OS=Homo sapiens OX=9606 GN=TTLL11 PE=1 SV=2
+SLTHRPHSLKNACSTRPPPNDGAPQASPSPEQPPGRHPPRGGSPVGRGCVLRKEDLLSLHYECLDILSAVQEHLPLMKFKRQALFFFAEVFAQLCMGSDRQDLTMSNWRRTIDIYLIDVAAMSLSSSSLKCSRIFTRFGTPGLKMTGKIGLFRIFLNAMRDVLRLYNFQKAYKPFVQKLCISPLHAEPNANCDPASTLEGDLADEKGAFPKELQQSQNERKKKLPDMLRLTDRIVAVKVEEDVLSPVNEFVGPSLEHEHEIRMSPNANVELLIPKLNKMLLIDFGLIQFCTPGPRGTPIDSQYFVKLEPTLAIVTKIVVSIIDSWVKKIDVGKSSLRCLISSFTRKSGTSASDSHIFNGSHINLSYNTLHMFIRHLNKPTPEQYPETCFRSLGDKAIYIELPDLSKLLVYLRIDFKLKDILLPKCIYEQVVAPRSQLTGALRIDSPDKILYIGDGQCGGDPKVIFTPKWSPDDDKVMQVQAVFLQFEDPLIWSRPYFNYEEPFLNQMTRVARSLTIKRVMETMGPFKNVQGSFIDNDHFSVGHWYIDCPLRRGFPFEKWKLQRISIKLADLSTRAKSSDVTVPRQSGNEGSGHGSSRKCSRGKDRPKGHPCLGQVPKPKSPPLTPPPRQLVQTNGEEAASPQAPAPALVKPQEEGAKCEPEGAAGADVRVQEAVTEATAEAEAKAAAKAAAVAEAEWRAALESESSGRRMTSLAPALALAVAAAAAAGGGWDAVLSGGSCGSGRTGRGLGNGHDSLSPNVAATVADLRPGPLSLRRGLGLSRMPSAAWTVRGTVSAAAAM
+>sp|Q9Y4R7|TTLL3_HUMAN Tubulin monoglycylase TTLL3 OS=Homo sapiens OX=9606 GN=TTLL3 PE=1 SV=2
+MNRLRNAKIYVERAVKQKKIFTIQGCYPVIRCLLRRRGWVEKKMVHRSGPTLLPPQKDLDSSAMGDSDTTEDEDEDEDEEFQPSQLFDFDDLLKFDDLDGTHALMVGLCLNLRNLPWFDEVDANSFFPRCYCLGAEDDKKAFIEDFWLTAARNVLKLVVKSEWKSYPIQAVEEEASGDKQPKKQEKNPVLVSPEFVDEALCACEEYLSNLAHMDIDKDLEAPLYLTPEGWSLFLQRYYQVVHEGAELRHLDTQVQRCEDILQQLQAVVPQIDMEGDRNIWIVKPGAKSRGRGIMCMDHLEEMLKLVNGNPVVMKDGKWVVQKYIERPLLIFGTKFDLRQWFLVTDWNPLTVWFYRDSYIRFSTQPFSLKNLDNSVHLCNNSIQKHLENSCHRHPLLPPDNMWSSQRFQAHLQEMGAPNAWSTIIVPGMKDAVIHALQTSQDTVQCRKASFELYGADFVFGEDFQPWLIEINASPTMAPSTAVTARLCAGVQADTLRVVIDRMLDRNCDTGAFELIYKQPAVEVPQYVGIRLLVEGFTIKKPMAMCHRRMGVRPAVPLLTQRGSGEARHHFPSLHTKAQLPSPHVLRHQGQVLRRQHSKLVGTKALSTTGKALRTLPTAKVFISLPPNLDFKVAPSILKPRKAPALLCLRGPQLEVPCCLCPLKSEQFLAPVGRSRPKANSRPDCDKPRAEACPMKRLSPLKPLPLVGTFQRRRGLGDMKLGKPLLRFPTALVLDPTPNKKKQVKYLGLDSIAVGGSRVDGARPCTPGSTARA
+>DECOY_sp|Q9Y4R7|TTLL3_HUMAN Tubulin monoglycylase TTLL3 OS=Homo sapiens OX=9606 GN=TTLL3 PE=1 SV=2
+ARATSGPTCPRAGDVRSGGVAISDLGLYKVQKKKNPTPDLVLATPFRLLPKGLKMDGLGRRRQFTGVLPLPKLPSLRKMPCAEARPKDCDPRSNAKPRSRGVPALFQESKLPCLCCPVELQPGRLCLLAPAKRPKLISPAVKFDLNPPLSIFVKATPLTRLAKGTTSLAKTGVLKSHQRRLVQGQHRLVHPSPLQAKTHLSPFHHRAEGSGRQTLLPVAPRVGMRRHCMAMPKKITFGEVLLRIGVYQPVEVAPQKYILEFAGTDCNRDLMRDIVVRLTDAQVGACLRATVATSPAMTPSANIEILWPQFDEGFVFDAGYLEFSAKRCQVTDQSTQLAHIVADKMGPVIITSWANPAGMEQLHAQFRQSSWMNDPPLLPHRHCSNELHKQISNNCLHVSNDLNKLSFPQTSFRIYSDRYFWVTLPNWDTVLFWQRLDFKTGFILLPREIYKQVVWKGDKMVVPNGNVLKLMEELHDMCMIGRGRSKAGPKVIWINRDGEMDIQPVVAQLQQLIDECRQVQTDLHRLEAGEHVVQYYRQLFLSWGEPTLYLPAELDKDIDMHALNSLYEECACLAEDVFEPSVLVPNKEQKKPQKDGSAEEEVAQIPYSKWESKVVLKLVNRAATLWFDEIFAKKDDEAGLCYCRPFFSNADVEDFWPLNRLNLCLGVMLAHTGDLDDFKLLDDFDFLQSPQFEEDEDEDEDETTDSDGMASSDLDKQPPLLTPGSRHVMKKEVWGRRRLLCRIVPYCGQITFIKKQKVAREVYIKANRLRNM
+>sp|Q8N841|TTLL6_HUMAN Tubulin polyglutamylase TTLL6 OS=Homo sapiens OX=9606 GN=TTLL6 PE=1 SV=2
+MPQCPTLESQEGENSEEKGDSSKEDPKETVALAFVRENPGAQNGLQNAQQQGKKKRKKKRLVINLSSCRYESVRRAAQQYGFREGGEDDDWTLYWTDYSVSLERVMEMKSYQKINHFPGMSEICRKDLLARNMSRMLKMFPKDFRFFPRTWCLPADWGDLQTYSRSRKNKTYICKPDSGCQGKGIFITRTVKEIKPGEDMICQLYISKPFIIDGFKFDLRIYVLVTSCDPLRIFVYNEGLARFATTSYSRPCTDNLDDICMHLTNYSINKHSSNFSRDAHSGSKRKLSTFSAYLEDHSYNVEQIWRDIEDVIIKTLISAHPIIRHNYHTCFPNHTLNSACFEILGFDILLDHKLKPWLLEVNHSPSFSTDSRLDKEVKDGLLYDTLVLINLESCDKKKVLEEERQRGQFLQQCCSREMRIEEAKGFRAVQLKKTETYEKENCGGFRLIYPSLNSEKYEKFFQDNNSLFQNTVASRAREEYARQLIQELRLKREKKPFQMKKKVEMQGESAGEQVRKKGMRGWQQKQQQKDKAATQASKQYIQPLTLVSYTPDLLLSVRGERKNETDSSLNQEAPTEEASSVFPKLTSAKPFSSLPDLRNINLSSSKLEPSKPNFSIKEAKSASAVNVFTGTVHLTSVETTPESTTQLSISPKSPPTLAVTASSEYSGPETDRVVSFKCKKQQTPPHLTQKKMLKSFLPTKSKSFWESPNTNWTLLKSDMNKPHLISELLTKLQLSGKLSFFPAHYNPKLGMNNLSQNPSLPGECHSRSDSSGEKRQLDVSSLLLQSPQSYNVTLRDLLVIATPAQLDPRPCRSHASAMRDPCMQDQEAYSHCLISGQKGCERS
+>DECOY_sp|Q8N841|TTLL6_HUMAN Tubulin polyglutamylase TTLL6 OS=Homo sapiens OX=9606 GN=TTLL6 PE=1 SV=2
+SRECGKQGSILCHSYAEQDQMCPDRMASAHSRCPRPDLQAPTAIVLLDRLTVNYSQPSQLLLSSVDLQRKEGSSDSRSHCEGPLSPNQSLNNMGLKPNYHAPFFSLKGSLQLKTLLESILHPKNMDSKLLTWNTNPSEWFSKSKTPLFSKLMKKQTLHPPTQQKKCKFSVVRDTEPGSYESSATVALTPPSKPSISLQTTSEPTTEVSTLHVTGTFVNVASASKAEKISFNPKSPELKSSSLNINRLDPLSSFPKASTLKPFVSSAEETPAEQNLSSDTENKREGRVSLLLDPTYSVLTLPQIYQKSAQTAAKDKQQQKQQWGRMGKKRVQEGASEGQMEVKKKMQFPKKERKLRLEQILQRAYEERARSAVTNQFLSNNDQFFKEYKESNLSPYILRFGGCNEKEYTETKKLQVARFGKAEEIRMERSCCQQLFQGRQREEELVKKKDCSELNILVLTDYLLGDKVEKDLRSDTSFSPSHNVELLWPKLKHDLLIDFGLIEFCASNLTHNPFCTHYNHRIIPHASILTKIIVDEIDRWIQEVNYSHDELYASFTSLKRKSGSHADRSFNSSHKNISYNTLHMCIDDLNDTCPRSYSTTAFRALGENYVFIRLPDCSTVLVYIRLDFKFGDIIFPKSIYLQCIMDEGPKIEKVTRTIFIGKGQCGSDPKCIYTKNKRSRSYTQLDGWDAPLCWTRPFFRFDKPFMKLMRSMNRALLDKRCIESMGPFHNIKQYSKMEMVRELSVSYDTWYLTWDDDEGGERFGYQQAARRVSEYRCSSLNIVLRKKKRKKKGQQQANQLGNQAGPNERVFALAVTEKPDEKSSDGKEESNEGEQSELTPCQPM
+>sp|Q8NG68|TTL_HUMAN Tubulin--tyrosine ligase OS=Homo sapiens OX=9606 GN=TTL PE=1 SV=2
+MYTFVVRDENSSVYAEVSRLLLATGHWKRLRRDNPRFNLMLGERNRLPFGRLGHEPGLVQLVNYYRGADKLCRKASLVKLIKTSPELAESCTWFPESYVIYPTNLKTPVAPAQNGIQPPISNSRTDEREFFLASYNRKKEDGEGNVWIAKSSAGAKGEGILISSEASELLDFIDNQGQVHVIQKYLEHPLLLEPGHRKFDIRSWVLVDHQYNIYLYREGVLRTASEPYHVDNFQDKTCHLTNHCIQKEYSKNYGKYEEGNEMFFKEFNQYLTSALNITLESSILLQIKHIIRNCLLSVEPAISTKHLPYQSFQLFGFDFMVDEELKVWLIEVNGAPACAQKLYAELCQGIVDIAISSVFPPPDVEQPQTQPAAFIKL
+>DECOY_sp|Q8NG68|TTL_HUMAN Tubulin--tyrosine ligase OS=Homo sapiens OX=9606 GN=TTL PE=1 SV=2
+LKIFAAPQTQPQEVDPPPFVSSIAIDVIGQCLEAYLKQACAPAGNVEILWVKLEEDVMFDFGFLQFSQYPLHKTSIAPEVSLLCNRIIHKIQLLISSELTINLASTLYQNFEKFFMENGEEYKGYNKSYEKQICHNTLHCTKDQFNDVHYPESATRLVGERYLYINYQHDVLVWSRIDFKRHGPELLLPHELYKQIVHVQGQNDIFDLLESAESSILIGEGKAGASSKAIWVNGEGDEKKRNYSALFFEREDTRSNSIPPQIGNQAPAVPTKLNTPYIVYSEPFWTCSEALEPSTKILKVLSAKRCLKDAGRYYNVLQVLGPEHGLRGFPLRNREGLMLNFRPNDRRLRKWHGTALLLRSVEAYVSSNEDRVVFTYM
+>sp|Q5BVD1|TTMP_HUMAN TPA-induced transmembrane protein OS=Homo sapiens OX=9606 GN=TTMP PE=1 SV=2
+MDLAQPSQPVDELELSVLERQPEENTPLNGADKVFPSLDEEVPPAEANKESPWSSCNKNVVGRCKLWMIITSIFLGVITVIIIGLCLAAVTYVDEDENEILELSSNKTFFIMLKIPEECVAEEELPHLLTERLTDVYSTSPSLGRYFTSVEIVDFSGENATVTYDLQFGVPSDDENFMKYMMSEELVLGILLQDFRDQNIPGCESLGLDPTSLLLYE
+>DECOY_sp|Q5BVD1|TTMP_HUMAN TPA-induced transmembrane protein OS=Homo sapiens OX=9606 GN=TTMP PE=1 SV=2
+EYLLLSTPDLGLSECGPINQDRFDQLLIGLVLEESMMYKMFNEDDSPVGFQLDYTVTANEGSFDVIEVSTFYRGLSPSTSYVDTLRETLLHPLEEEAVCEEPIKLMIFFTKNSSLELIENEDEDVYTVAALCLGIIIVTIVGLFISTIIMWLKCRGVVNKNCSSWPSEKNAEAPPVEEDLSPFVKDAGNLPTNEEPQRELVSLELEDVPQSPQALDM
+>sp|P26651|TTP_HUMAN mRNA decay activator protein ZFP36 OS=Homo sapiens OX=9606 GN=ZFP36 PE=1 SV=1
+MDLTAIYESLLSLSPDVPVPSDHGGTESSPGWGSSGPWSLSPSDSSPSGVTSRLPGRSTSLVEGRSCGWVPPPPGFAPLAPRLGPELSPSPTSPTATSTTPSRYKTELCRTFSESGRCRYGAKCQFAHGLGELRQANRHPKYKTELCHKFYLQGRCPYGSRCHFIHNPSEDLAAPGHPPVLRQSISFSGLPSGRRTSPPPPGLAGPSLSSSSFSPSSSPPPPGDLPLSPSAFSAAPGTPLARRDPTPVCCPSCRRATPISVWGPLGGLVRTPSVQSLGSDPDEYASSGSSLGGSDSPVFEAGVFAPPQPVAAPRRLPIFNRISVSE
+>DECOY_sp|P26651|TTP_HUMAN mRNA decay activator protein ZFP36 OS=Homo sapiens OX=9606 GN=ZFP36 PE=1 SV=1
+ESVSIRNFIPLRRPAAVPQPPAFVGAEFVPSDSGGLSSGSSAYEDPDSGLSQVSPTRVLGGLPGWVSIPTARRCSPCCVPTPDRRALPTGPAASFASPSLPLDGPPPPSSSPSFSSSSLSPGALGPPPPSTRRGSPLGSFSISQRLVPPHGPAALDESPNHIFHCRSGYPCRGQLYFKHCLETKYKPHRNAQRLEGLGHAFQCKAGYRCRGSESFTRCLETKYRSPTTSTATPSTPSPSLEPGLRPALPAFGPPPPVWGCSRGEVLSTSRGPLRSTVGSPSSDSPSLSWPGSSGWGPSSETGGHDSPVPVDPSLSLLSEYIATLDM
+>sp|P50607|TUB_HUMAN Tubby protein homolog OS=Homo sapiens OX=9606 GN=TUB PE=1 SV=1
+MTSKPHSDWIPYSVLDDEGRNLRQQKLDRQRALLEQKQKKKRQEPLMVQANADGRPRSRRARQSEEQAPLVESYLSSSGSTSYQVQEADSLASVQLGATRPTAPASAKRTKAAATAGGQGGAARKEKKGKHKGTSGPAALAEDKSEAQGPVQILTVGQSDHAQDAGETAAGGGERPSGQDLRATMQRKGISSSMSFDEDEEDEEENSSSSSQLNSNTRPSSATSRKSVREAASAPSPTAPEQPVDVEVQDLEEFALRPAPQGITIKCRITRDKKGMDRGMYPTYFLHLDREDGKKVFLLAGRKRKKSKTSNYLISVDPTDLSRGGDSYIGKLRSNLMGTKFTVYDNGVNPQKASSSTLESGTLRQELAAVCYETNVLGFKGPRKMSVIVPGMNMVHERVSIRPRNEHETLLARWQNKNTESIIELQNKTPVWNDDTQSYVLNFHGRVTQASVKNFQIIHGNDPDYIVMQFGRVAEDVFTMDYNYPLCALQAFAIALSSFDSKLACE
+>DECOY_sp|P50607|TUB_HUMAN Tubby protein homolog OS=Homo sapiens OX=9606 GN=TUB PE=1 SV=1
+ECALKSDFSSLAIAFAQLACLPYNYDMTFVDEAVRGFQMVIYDPDNGHIIQFNKVSAQTVRGHFNLVYSQTDDNWVPTKNQLEIISETNKNQWRALLTEHENRPRISVREHVMNMGPVIVSMKRPGKFGLVNTEYCVAALEQRLTGSELTSSSAKQPNVGNDYVTFKTGMLNSRLKGIYSDGGRSLDTPDVSILYNSTKSKKRKRGALLFVKKGDERDLHLFYTPYMGRDMGKKDRTIRCKITIGQPAPRLAFEELDQVEVDVPQEPATPSPASAAERVSKRSTASSPRTNSNLQSSSSSNEEEDEEDEDFSMSSSIGKRQMTARLDQGSPREGGGAATEGADQAHDSQGVTLIQVPGQAESKDEALAAPGSTGKHKGKKEKRAAGGQGGATAAAKTRKASAPATPRTAGLQVSALSDAEQVQYSTSGSSSLYSEVLPAQEESQRARRSRPRGDANAQVMLPEQRKKKQKQELLARQRDLKQQRLNRGEDDLVSYPIWDSHPKSTM
+>sp|Q9NNX1|TUFT1_HUMAN Tuftelin OS=Homo sapiens OX=9606 GN=TUFT1 PE=1 SV=1
+MNGTRNWCTLVDVHPEDQAAGSVDILRLTLQGELTGDELEHIAQKAGRKTYAMVSSHSAGHSLASELVESHDGHEEIIKVYLKGRSGDKMIHEKNINQLKSEVQYIQEARNCLQKLREDISSKLDRNLGDSLHRQEIQVVLEKPNGFSQSPTALYSSPPEVDTCINEDVESLRKTVQDLLAKLQEAKRQHQSDCVAFEVTLSRYQREAEQSNVALQREEDRVEQKEAEVGELQRRLLGMETEHQALLAKVREGEVALEELRSNNADCQAEREKAATLEKEVAGLREKIHHLDDMLKSQQRKVRQMIEQLQNSKAVIQSKDATIQELKEKIAYLEAENLEMHDRMEHLIEKQISHGNFSTQARAKTENPGSIRISKPPSPKPMPVIRVVET
+>DECOY_sp|Q9NNX1|TUFT1_HUMAN Tuftelin OS=Homo sapiens OX=9606 GN=TUFT1 PE=1 SV=1
+TEVVRIVPMPKPSPPKSIRISGPNETKARAQTSFNGHSIQKEILHEMRDHMELNEAELYAIKEKLEQITADKSQIVAKSNQLQEIMQRVKRQQSKLMDDLHHIKERLGAVEKELTAAKEREAQCDANNSRLEELAVEGERVKALLAQHETEMGLLRRQLEGVEAEKQEVRDEERQLAVNSQEAERQYRSLTVEFAVCDSQHQRKAEQLKALLDQVTKRLSEVDENICTDVEPPSSYLATPSQSFGNPKELVVQIEQRHLSDGLNRDLKSSIDERLKQLCNRAEQIYQVESKLQNINKEHIMKDGSRGKLYVKIIEEHGDHSEVLESALSHGASHSSVMAYTKRGAKQAIHELEDGTLEGQLTLRLIDVSGAAQDEPHVDVLTCWNRTGNM
+>sp|O00294|TULP1_HUMAN Tubby-related protein 1 OS=Homo sapiens OX=9606 GN=TULP1 PE=1 SV=3
+MPLRDETLREVWASDSGHEEESLSPEAPRRPKQRPAPAQRLRKKRTEAPESPCPTGSKPRKPGAGRTGRPREEPSPDPAQARAPQTVYARFLRDPEAKKRDPRETFLVARAPDAEDEEEEEEEDEEDEEEEAEEKKEKILLPPKKPLREKSSADLKERRAKAQGPRGDLGSPDPPPKPLRVRNKEAPAGEGTKMRKTKKKGSGEADKDPSGSPASARKSPAAMFLVGEGSPDKKALKKKGTPKGARKEEEEEEEAATVIKKSNQKGKAKGKGKKKAKEERAPSPPVEVDEPREFVLRPAPQGRTVRCRLTRDKKGMDRGMYPSYFLHLDTEKKVFLLAGRKRKRSKTANYLISIDPTNLSRGGENFIGKLRSNLLGNRFTVFDNGQNPQRGYSTNVASLRQELAAVIYETNVLGFRGPRRMTVIIPGMSAENERVPIRPRNASDGLLVRWQNKTLESLIELHNKPPVWNDDSGSYTLNFQGRVTQASVKNFQIVHADDPDYIVLQFGRVAEDAFTLDYRYPLCALQAFAIALSSFDGKLACE
+>DECOY_sp|O00294|TULP1_HUMAN Tubby-related protein 1 OS=Homo sapiens OX=9606 GN=TULP1 PE=1 SV=3
+ECALKGDFSSLAIAFAQLACLPYRYDLTFADEAVRGFQLVIYDPDDAHVIQFNKVSAQTVRGQFNLTYSGSDDNWVPPKNHLEILSELTKNQWRVLLGDSANRPRIPVRENEASMGPIIVTMRRPGRFGLVNTEYIVAALEQRLSAVNTSYGRQPNQGNDFVTFRNGLLNSRLKGIFNEGGRSLNTPDISILYNATKSRKRKRGALLFVKKETDLHLFYSPYMGRDMGKKDRTLRCRVTRGQPAPRLVFERPEDVEVPPSPAREEKAKKKGKGKAKGKQNSKKIVTAAEEEEEEEKRAGKPTGKKKLAKKDPSGEGVLFMAAPSKRASAPSGSPDKDAEGSGKKKTKRMKTGEGAPAEKNRVRLPKPPPDPSGLDGRPGQAKARREKLDASSKERLPKKPPLLIKEKKEEAEEEEDEEDEEEEEEEDEADPARAVLFTERPDRKKAEPDRLFRAYVTQPARAQAPDPSPEERPRGTRGAGPKRPKSGTPCPSEPAETRKKRLRQAPAPRQKPRRPAEPSLSEEEHGSDSAWVERLTEDRLPM
+>sp|Q5TAX3|TUT4_HUMAN Terminal uridylyltransferase 4 OS=Homo sapiens OX=9606 GN=ZCCHC11 PE=1 SV=3
+MEESKTLKSENHEPKKNVICEESKAVQVIGNQTLKARNDKSVKEIENSSPNRNSSKKNKQNDICIEKTEVKSCKVNAANLPGPKDLGLVLRDQSHCKAKKFPNSPVKAEKATISQAKSEKATSLQAKAEKSPKSPNSVKAEKASSYQMKSEKVPSSPAEAEKGPSLLLKDMRQKTELQQIGKKIPSSFTSVDKVNIEAVGGEKCALQNSPRSQKQQTCTDNTGDSDDSASGIEDVSDDLSKMKNDESNKENSSEMDYLENATVIDESALTPEQRLGLKQAEERLERDHIFRLEKRSPEYTNCRYLCKLCLIHIENIQGAHKHIKEKRHKKNILEKQEESELRSLPPPSPAHLAALSVAVIELAKEHGITDDDLRVRQEIVEEMSKVITTFLPECSLRLYGSSLTRFALKSSDVNIDIKFPPKMNHPDLLIKVLGILKKNVLYVDVESDFHAKVPVVVCRDRKSGLLCRVSAGNDMACLTTDLLTALGKIEPVFIPLVLAFRYWAKLCYIDSQTDGGIPSYCFALMVMFFLQQRKPPLLPCLLGSWIEGFDPKRMDDFQLKGIVEEKFVKWECNSSSATEKNSIAEENKAKADQPKDDTKKTETDNQSNAMKEKHGKSPLALETPNRVSLGQLWLELLKFYTLDFALEEYVICVRIQDILTRENKNWPKRRIAIEDPFSVKRNVARSLNSQLVYEYVVERFRAAYRYFACPQTKGGNKSTVDFKKREKGKISNKKPVKSNNMATNGCILLGETTEKINAEREQPVQCDEMDCTSQRCIIDNNNLLVNELDFADHGQDSSSLSTSKSSEIEPKLDKKQDDLAPSETCLKKELSQCNCIDLSKSPDPDKSTGTDCRSNLETESSHQSVCTDTSATSCNCKATEDASDLNDDDNLPTQELYYVFDKFILTSGKPPTIVCSICKKDGHSKNDCPEDFRKIDLKPLPPMTNRFREILDLVCKRCFDELSPPCSEQHNREQILIGLEKFIQKEYDEKARLCLFGSSKNGFGFRDSDLDICMTLEGHENAEKLNCKEIIENLAKILKRHPGLRNILPITTAKVPIVKFEHRRSGLEGDISLYNTLAQHNTRMLATYAAIDPRVQYLGYTMKVFAKRCDIGDASRGSLSSYAYILMVLYFLQQRKPPVIPVLQEIFDGKQIPQRMVDGWNAFFFDKTEELKKRLPSLGKNTESLGELWLGLLRFYTEEFDFKEYVISIRQKKLLTTFEKQWTSKCIAIEDPFDLNHNLGAGVSRKMTNFIMKAFINGRKLFGTPFYPLIGREAEYFFDSRVLTDGELAPNDRCCRVCGKIGHYMKDCPKRKSLLFRLKKKDSEEEKEGNEEEKDSRDVLDPRDLHDTRDFRDPRDLRCFICGDAGHVRRECPEVKLARQRNSSVAAAQLVRNLVNAQQVAGSAQQQGDQSIRTRQSSECSESPSYSPQPQPFPQNSSQSAAITQPSSQPGSQPKLGPPQQGAQPPHQVQMPLYNFPQSPPAQYSPMHNMGLLPMHPLQIPAPSWPIHGPVIHSAPGSAPSNIGLNDPSIIFAQPAARPVAIPNTSHDGHWPRTVAPNSLVNSGAVGNSEPGFRGLTPPIPWEHAPRPHFPLVPASWPYGLHQNFMHQGNARFQPNKPFYTQDRCATRRCRERCPHPPRGNVSE
+>DECOY_sp|Q5TAX3|TUT4_HUMAN Terminal uridylyltransferase 4 OS=Homo sapiens OX=9606 GN=ZCCHC11 PE=1 SV=3
+ESVNGRPPHPCRERCRRTACRDQTYFPKNPQFRANGQHMFNQHLGYPWSAPVLPFHPRPAHEWPIPPTLGRFGPESNGVAGSNVLSNPAVTRPWHGDHSTNPIAVPRAAPQAFIISPDNLGINSPASGPASHIVPGHIPWSPAPIQLPHMPLLGMNHMPSYQAPPSQPFNYLPMQVQHPPQAGQQPPGLKPQSGPQSSPQTIAASQSSNQPFPQPQPSYSPSESCESSQRTRISQDGQQQASGAVQQANVLNRVLQAAAVSSNRQRALKVEPCERRVHGADGCIFCRLDRPDRFDRTDHLDRPDLVDRSDKEEENGEKEEESDKKKLRFLLSKRKPCDKMYHGIKGCVRCCRDNPALEGDTLVRSDFFYEAERGILPYFPTGFLKRGNIFAKMIFNTMKRSVGAGLNHNLDFPDEIAICKSTWQKEFTTLLKKQRISIVYEKFDFEETYFRLLGLWLEGLSETNKGLSPLRKKLEETKDFFFANWGDVMRQPIQKGDFIEQLVPIVPPKRQQLFYLVMLIYAYSSLSGRSADGIDCRKAFVKMTYGLYQVRPDIAAYTALMRTNHQALTNYLSIDGELGSRRHEFKVIPVKATTIPLINRLGPHRKLIKALNEIIEKCNLKEANEHGELTMCIDLDSDRFGFGNKSSGFLCLRAKEDYEKQIFKELGILIQERNHQESCPPSLEDFCRKCVLDLIERFRNTMPPLPKLDIKRFDEPCDNKSHGDKKCISCVITPPKGSTLIFKDFVYYLEQTPLNDDDNLDSADETAKCNCSTASTDTCVSQHSSETELNSRCDTGTSKDPDPSKSLDICNCQSLEKKLCTESPALDDQKKDLKPEIESSKSTSLSSSDQGHDAFDLENVLLNNNDIICRQSTCDMEDCQVPQEREANIKETTEGLLICGNTAMNNSKVPKKNSIKGKERKKFDVTSKNGGKTQPCAFYRYAARFREVVYEYVLQSNLSRAVNRKVSFPDEIAIRRKPWNKNERTLIDQIRVCIVYEELAFDLTYFKLLELWLQGLSVRNPTELALPSKGHKEKMANSQNDTETKKTDDKPQDAKAKNEEAISNKETASSSNCEWKVFKEEVIGKLQFDDMRKPDFGEIWSGLLCPLLPPKRQQLFFMVMLAFCYSPIGGDTQSDIYCLKAWYRFALVLPIFVPEIKGLATLLDTTLCAMDNGASVRCLLGSKRDRCVVVPVKAHFDSEVDVYLVNKKLIGLVKILLDPHNMKPPFKIDINVDSSKLAFRTLSSGYLRLSCEPLFTTIVKSMEEVIEQRVRLDDDTIGHEKALEIVAVSLAALHAPSPPPLSRLESEEQKELINKKHRKEKIHKHAGQINEIHILCLKCLYRCNTYEPSRKELRFIHDRELREEAQKLGLRQEPTLASEDIVTANELYDMESSNEKNSEDNKMKSLDDSVDEIGSASDDSDGTNDTCTQQKQSRPSNQLACKEGGVAEINVKDVSTFSSPIKKGIQQLETKQRMDKLLLSPGKEAEAPSSPVKESKMQYSSAKEAKVSNPSKPSKEAKAQLSTAKESKAQSITAKEAKVPSNPFKKAKCHSQDRLVLGLDKPGPLNAANVKCSKVETKEICIDNQKNKKSSNRNPSSNEIEKVSKDNRAKLTQNGIVQVAKSEECIVNKKPEHNESKLTKSEEM
+>sp|A0A0B4J240|TVA10_HUMAN T cell receptor alpha variable 10 OS=Homo sapiens OX=9606 GN=TRAV10 PE=3 SV=1
+MKKHLTTFLVILWLYFYRGNGKNQVEQSPQSLIILEGKNCTLQCNYTVSPFSNLRWYKQDTGRGPVSLTIMTFSENTKSNGRYTATLDADTKQSSLHITASQLSDSASYICVVS
+>DECOY_sp|A0A0B4J240|TVA10_HUMAN T cell receptor alpha variable 10 OS=Homo sapiens OX=9606 GN=TRAV10 PE=3 SV=1
+SVVCIYSASDSLQSATIHLSSQKTDADLTATYRGNSKTNESFTMITLSVPGRGTDQKYWRLNSFPSVTYNCQLTCNKGELIILSQPSQEVQNKGNGRYFYLWLIVLFTTLHKKM
+>sp|A0A0A6YYK7|TVA19_HUMAN T cell receptor alpha variable 19 OS=Homo sapiens OX=9606 GN=TRAV19 PE=2 SV=5
+MLTASLLRAVIASICVVSSMAQKVTQAQTEISVVEKEDVTLDCVYETRDTTYYLFWYKQPPSGELVFLIRRNSFDEQNEISGRYSWNFQKSTSSFNFTITASQVVDSAVYFCALSE
+>DECOY_sp|A0A0A6YYK7|TVA19_HUMAN T cell receptor alpha variable 19 OS=Homo sapiens OX=9606 GN=TRAV19 PE=2 SV=5
+ESLACFYVASDVVQSATITFNFSSTSKQFNWSYRGSIENQEDFSNRRILFVLEGSPPQKYWFLYYTTDRTEYVCDLTVDEKEVVSIETQAQTVKQAMSSVVCISAIVARLLSATLM
+>sp|A0A0B4J279|TVA21_HUMAN T cell receptor alpha variable 21 OS=Homo sapiens OX=9606 GN=TRAV21 PE=1 SV=1
+METLLGLLILWLQLQWVSSKQEVTQIPAALSVPEGENLVLNCSFTDSAIYNLQWFRQDPGKGLTSLLLIQSSQREQTSGRLNASLDKSSGRSTLYIAASQPGDSATYLCAVR
+>DECOY_sp|A0A0B4J279|TVA21_HUMAN T cell receptor alpha variable 21 OS=Homo sapiens OX=9606 GN=TRAV21 PE=1 SV=1
+RVACLYTASDGPQSAAIYLTSRGSSKDLSANLRGSTQERQSSQILLLSTLGKGPDQRFWQLNYIASDTFSCNLVLNEGEPVSLAAPIQTVEQKSSVWQLQLWLILLGLLTEM
+>sp|P0DPF4|TVA35_HUMAN T cell receptor alpha variable 35 OS=Homo sapiens OX=9606 GN=TRAV35 PE=3 SV=1
+MLLEHLLIILWMQLTWVSGQQLNQSPQSMFIQEGEDVSMNCTSSSIFNTWLWYKQEPGEGPVLLIALYKAGELTSNGRLTAQFGITRKDSFLNISASIPSDVGIYFCAGQ
+>DECOY_sp|P0DPF4|TVA35_HUMAN T cell receptor alpha variable 35 OS=Homo sapiens OX=9606 GN=TRAV35 PE=3 SV=1
+QGACFYIGVDSPISASINLFSDKRTIGFQATLRGNSTLEGAKYLAILLVPGEGPEQKYWLWTNFISSSTCNMSVDEGEQIFMSQPSQNLQQGSVWTLQMWLIILLHELLM
+>sp|P01733|TVB1_HUMAN T-cell receptor beta chain V region YT35 OS=Homo sapiens OX=9606 GN=TRBV12-3 PE=1 SV=1
+MDSWTFCCVSLCILVAKHTDAGVIQSPRHEVTEMGQEVTLRCKPISGHNSLFWYRQTMMRGLELLIYFNNNVPIDDSGMPEDRFSAKMPNASFSTLKIQPSEPRDSAVYFCASSFSTCSANYGYTFGSGTRLTVV
+>DECOY_sp|P01733|TVB1_HUMAN T-cell receptor beta chain V region YT35 OS=Homo sapiens OX=9606 GN=TRBV12-3 PE=1 SV=1
+VVTLRTGSGFTYGYNASCTSFSSACFYVASDRPESPQIKLTSFSANPMKASFRDEPMGSDDIPVNNNFYILLELGRMMTQRYWFLSNHGSIPKCRLTVEQGMETVEHRPSQIVGADTHKAVLICLSVCCFTWSDM
+>sp|A0A576|TVB31_HUMAN T cell receptor beta variable 3-1 OS=Homo sapiens OX=9606 GN=TRBV3-1 PE=3 SV=1
+MGCRLLCCVVFCLLQAGPLDTAVSQTPKYLVTQMGNDKSIKCEQNLGHDTMYWYKQDSKKFLKIMFSYNNKELIINETVPNRFSPKSPDKAHLNLHINSLELGDSAVYFCASSQ
+>DECOY_sp|A0A576|TVB31_HUMAN T cell receptor beta variable 3-1 OS=Homo sapiens OX=9606 GN=TRBV3-1 PE=3 SV=1
+QSSACFYVASDGLELSNIHLNLHAKDPSKPSFRNPVTENIILEKNNYSFMIKLFKKSDQKYWYMTDHGLNQECKISKDNGMQTVLYKPTQSVATDLPGAQLLCFVVCCLLRCGM
+>sp|A0A5A2|TVB58_HUMAN T cell receptor beta variable 5-8 OS=Homo sapiens OX=9606 GN=TRBV5-8 PE=3 SV=1
+MGPRLLFWALLCLLGTGPVEAGVTQSPTHLIKTRGQQATLRCSPISGHTSVYWYQQALGLGLQFLLWYDEGEERNRGNFPPRFSGRQFPNYSSELNVNALELEDSALYLCASSL
+>DECOY_sp|A0A5A2|TVB58_HUMAN T cell receptor beta variable 5-8 OS=Homo sapiens OX=9606 GN=TRBV5-8 PE=3 SV=1
+LSSACLYLASDELELANVNLESSYNPFQRGSFRPPFNGRNREEGEDYWLLFQLGLGLAQQYWYVSTHGSIPSCRLTAQQGRTKILHTPSQTVGAEVPGTGLLCLLAWFLLRPGM
+>sp|P0DPF7|TVB63_HUMAN T cell receptor beta variable 6-3 OS=Homo sapiens OX=9606 GN=TRBV6-3 PE=3 SV=1
+MSLGLLCCGAFSLLWAGPVNAGVTQTPKFRVLKTGQSMTLLCAQDMNHEYMYWYRQDPGMGLRLIHYSVGEGTTAKGEVPDGYNVSRLKKQNFLLGLESAAPSQTSVYFCASSY
+>DECOY_sp|P0DPF7|TVB63_HUMAN T cell receptor beta variable 6-3 OS=Homo sapiens OX=9606 GN=TRBV6-3 PE=3 SV=1
+YSSACFYVSTQSPAASELGLLFNQKKLRSVNYGDPVEGKATTGEGVSYHILRLGMGPDQRYWYMYEHNMDQACLLTMSQGTKLVRFKPTQTVGANVPGAWLLSFAGCCLLGLSM
+>sp|A0A0K0K1A5|TVB65_HUMAN T cell receptor beta variable 6-5 OS=Homo sapiens OX=9606 GN=TRBV6-5 PE=1 SV=3
+MSIGLLCCAALSLLWAGPVNAGVTQTPKFQVLKTGQSMTLQCAQDMNHEYMSWYRQDPGMGLRLIHYSVGAGITDQGEVPNGYNVSRSTTEDFPLRLLSAAPSQTSVYFCASSY
+>DECOY_sp|A0A0K0K1A5|TVB65_HUMAN T cell receptor beta variable 6-5 OS=Homo sapiens OX=9606 GN=TRBV6-5 PE=1 SV=3
+YSSACFYVSTQSPAASLLRLPFDETTSRSVNYGNPVEGQDTIGAGVSYHILRLGMGPDQRYWSMYEHNMDQACQLTMSQGTKLVQFKPTQTVGANVPGAWLLSLAACCLLGISM
+>sp|A0A1B0GX51|TVB78_HUMAN T cell receptor beta variable 7-8 OS=Homo sapiens OX=9606 GN=TRBV7-8 PE=3 SV=1
+MGTRLLCWVVLGFLGTDHTGAGVSQSPRYKVAKRGQDVALRCDPISGHVSLFWYQQALGQGPEFLTYFQNEAQLDKSGLPSDRFFAERPEGSVSTLKIQRTQQEDSAVYLCASSL
+>DECOY_sp|A0A1B0GX51|TVB78_HUMAN T cell receptor beta variable 7-8 OS=Homo sapiens OX=9606 GN=TRBV7-8 PE=3 SV=1
+LSSACLYVASDEQQTRQIKLTSVSGEPREAFFRDSPLGSKDLQAENQFYTLFEPGQGLAQQYWFLSVHGSIPDCRLAVDQGRKAVKYRPSQSVGAGTHDTGLFGLVVWCLLRTGM
+>sp|A0A0K0K1G6|TVBJ3_HUMAN T cell receptor beta variable 10-3 OS=Homo sapiens OX=9606 GN=TRBV10-3 PE=3 SV=3
+MGTRLFFYVALCLLWTGHMDAGITQSPRHKVTETGTPVTLRCHQTENHRYMYWYRQDPGHGLRLIHYSYGVKDTDKGEVSDGYSVSRSKTEDFLLTLESATSSQTSVYFCAISE
+>DECOY_sp|A0A0K0K1G6|TVBJ3_HUMAN T cell receptor beta variable 10-3 OS=Homo sapiens OX=9606 GN=TRBV10-3 PE=3 SV=3
+ESIACFYVSTQSSTASELTLLFDETKSRSVSYGDSVEGKDTDKVGYSYHILRLGHGPDQRYWYMYRHNETQHCRLTVPTGTETVKHRPSQTIGADMHGTWLLCLAVYFFLRTGM
+>sp|A0A5A6|TVBK3_HUMAN T cell receptor beta variable 11-3 OS=Homo sapiens OX=9606 GN=TRBV11-3 PE=3 SV=1
+MGTRLLCWVAFCLLVEELIEAGVVQSPRYKIIEKKQPVAFWCNPISGHNTLYWYLQNLGQGPELLIRYENEEAVDDSQLPKDRFSAERLKGVDSTLKIQPAELGDSAVYLCASSL
+>DECOY_sp|A0A5A6|TVBK3_HUMAN T cell receptor beta variable 11-3 OS=Homo sapiens OX=9606 GN=TRBV11-3 PE=3 SV=1
+LSSACLYVASDGLEAPQIKLTSDVGKLREASFRDKPLQSDDVAEENEYRILLEPGQGLNQLYWYLTNHGSIPNCWFAVPQKKEIIKYRPSQVVGAEILEEVLLCFAVWCLLRTGM
+>sp|Q06418|TYRO3_HUMAN Tyrosine-protein kinase receptor TYRO3 OS=Homo sapiens OX=9606 GN=TYRO3 PE=1 SV=1
+MALRRSMGRPGLPPLPLPPPPRLGLLLAALASLLLPESAAAGLKLMGAPVKLTVSQGQPVKLNCSVEGMEEPDIQWVKDGAVVQNLDQLYIPVSEQHWIGFLSLKSVERSDAGRYWCQVEDGGETEISQPVWLTVEGVPFFTVEPKDLAVPPNAPFQLSCEAVGPPEPVTIVWWRGTTKIGGPAPSPSVLNVTGVTQSTMFSCEAHNLKGLASSRTATVHLQALPAAPFNITVTKLSSSNASVAWMPGADGRALLQSCTVQVTQAPGGWEVLAVVVPVPPFTCLLRDLVPATNYSLRVRCANALGPSPYADWVPFQTKGLAPASAPQNLHAIRTDSGLILEWEEVIPEAPLEGPLGPYKLSWVQDNGTQDELTVEGTRANLTGWDPQKDLIVRVCVSNAVGCGPWSQPLVVSSHDRAGQQGPPHSRTSWVPVVLGVLTALVTAAALALILLRKRRKETRFGQAFDSVMARGEPAVHFRAARSFNRERPERIEATLDSLGISDELKEKLEDVLIPEQQFTLGRMLGKGEFGSVREAQLKQEDGSFVKVAVKMLKADIIASSDIEEFLREAACMKEFDHPHVAKLVGVSLRSRAKGRLPIPMVILPFMKHGDLHAFLLASRIGENPFNLPLQTLIRFMVDIACGMEYLSSRNFIHRDLAARNCMLAEDMTVCVADFGLSRKIYSGDYYRQGCASKLPVKWLALESLADNLYTVQSDVWAFGVTMWEIMTRGQTPYAGIENAEIYNYLIGGNRLKQPPECMEDVYDLMYQCWSADPKQRPSFTCLRMELENILGQLSVLSASQDPLYINIERAEEPTAGGSLELPGRDQPYSGAGDGSGMGAVGGTPSDCRYILTPGGLAEQPGQAEHQPESPLNETQRLLLLQQGLLPHSSC
+>DECOY_sp|Q06418|TYRO3_HUMAN Tyrosine-protein kinase receptor TYRO3 OS=Homo sapiens OX=9606 GN=TYRO3 PE=1 SV=1
+CSSHPLLGQQLLLLRQTENLPSEPQHEAQGPQEALGGPTLIYRCDSPTGGVAGMGSGDGAGSYPQDRGPLELSGGATPEEAREINIYLPDQSASLVSLQGLINELEMRLCTFSPRQKPDASWCQYMLDYVDEMCEPPQKLRNGGILYNYIEANEIGAYPTQGRTMIEWMTVGFAWVDSQVTYLNDALSELALWKVPLKSACGQRYYDGSYIKRSLGFDAVCVTMDEALMCNRAALDRHIFNRSSLYEMGCAIDVMFRILTQLPLNFPNEGIRSALLFAHLDGHKMFPLIVMPIPLRGKARSRLSVGVLKAVHPHDFEKMCAAERLFEEIDSSAIIDAKLMKVAVKVFSGDEQKLQAERVSGFEGKGLMRGLTFQQEPILVDELKEKLEDSIGLSDLTAEIREPRERNFSRAARFHVAPEGRAMVSDFAQGFRTEKRRKRLLILALAAATVLATLVGLVVPVWSTRSHPPGQQGARDHSSVVLPQSWPGCGVANSVCVRVILDKQPDWGTLNARTGEVTLEDQTGNDQVWSLKYPGLPGELPAEPIVEEWELILGSDTRIAHLNQPASAPALGKTQFPVWDAYPSPGLANACRVRLSYNTAPVLDRLLCTFPPVPVVVALVEWGGPAQTVQVTCSQLLARGDAGPMWAVSANSSSLKTVTINFPAAPLAQLHVTATRSSALGKLNHAECSFMTSQTVGTVNLVSPSPAPGGIKTTGRWWVITVPEPPGVAECSLQFPANPPVALDKPEVTFFPVGEVTLWVPQSIETEGGDEVQCWYRGADSREVSKLSLFGIWHQESVPIYLQDLNQVVAGDKVWQIDPEEMGEVSCNLKVPQGQSVTLKVPAGMLKLGAAASEPLLLSALAALLLGLRPPPPLPLPPLGPRGMSRRLAM
+>sp|Q6NUM6|TYW1B_HUMAN S-adenosyl-L-methionine-dependent tRNA 4-demethylwyosine synthase OS=Homo sapiens OX=9606 GN=TYW1B PE=2 SV=4
+MDPSADTWDLSSPLISLWINRFYIYLGFAVSISLWICVQIVIEMQGFATVLAEAVTSLDLPVAIINLKEYDPDDHLIEEVTSKNVCVFLVATYTDGLPTESAEWFCKWLEEASIDFRFGKTYLKGMRDAVFGLGNSAYASHFNKVGKNVDKWLWMLGVHRVMSRGEGDCDVVKSKHGSIEANFRAWKTKFISQLQALQKGERKKSCGGHCKKGKCESHQHGSEEREEGSQEQDELHHRDTKEEEPFESSSEEEFGGEDHQSLNSIVDVEDLGKIMDHVKKEKREKEQQEEKSGLFRNMGRNEDGERRAMITPALREALTKQVDAPRERSLLQTHILWNESHRCMETTPSLACANKCVFCWWHHNNPVGTEWLWKMDQPEMILKEAIENHQNMIKQFKGVPGVKAERFEEGMTVKHCALSLVGEPIMYPEINRFLKLLHQCKISSFLVTNAQFPAEIRNLEPVTQLYVSVDASTKDSLKKIDRPLFKDFWQQFLDSLKALAVKQQRTVYRLMLVKAWNVDELQAYAQLVSLGNPDFIEVKGVTYCRESSASSLTMAHVPWHEEVVQFVRELVDLIPEYEIACEHEHSNCLLIAHRKFKIGGEWWTWIDYNRFQELIQEYEDSGGSKTFSAKDYMARTPHWALFGANERSFDPKDTRHQRKNKSKAISGC
+>DECOY_sp|Q6NUM6|TYW1B_HUMAN S-adenosyl-L-methionine-dependent tRNA 4-demethylwyosine synthase OS=Homo sapiens OX=9606 GN=TYW1B PE=2 SV=4
+CGSIAKSKNKRQHRTDKPDFSRENAGFLAWHPTRAMYDKASFTKSGGSDEYEQILEQFRNYDIWTWWEGGIKFKRHAILLCNSHEHECAIEYEPILDVLERVFQVVEEHWPVHAMTLSSASSERCYTVGKVEIFDPNGLSVLQAYAQLEDVNWAKVLMLRYVTRQQKVALAKLSDLFQQWFDKFLPRDIKKLSDKTSADVSVYLQTVPELNRIEAPFQANTVLFSSIKCQHLLKLFRNIEPYMIPEGVLSLACHKVTMGEEFREAKVGPVGKFQKIMNQHNEIAEKLIMEPQDMKWLWETGVPNNHHWWCFVCKNACALSPTTEMCRHSENWLIHTQLLSRERPADVQKTLAERLAPTIMARREGDENRGMNRFLGSKEEQQEKERKEKKVHDMIKGLDEVDVISNLSQHDEGGFEEESSSEFPEEEKTDRHHLEDQEQSGEEREESGHQHSECKGKKCHGGCSKKREGKQLAQLQSIFKTKWARFNAEISGHKSKVVDCDGEGRSMVRHVGLMWLWKDVNKGVKNFHSAYASNGLGFVADRMGKLYTKGFRFDISAEELWKCFWEASETPLGDTYTAVLFVCVNKSTVEEILHDDPDYEKLNIIAVPLDLSTVAEALVTAFGQMEIVIQVCIWLSISVAFGLYIYFRNIWLSILPSSLDWTDASPDM
+>sp|A6NIH7|U119B_HUMAN Protein unc-119 homolog B OS=Homo sapiens OX=9606 GN=UNC119B PE=1 SV=1
+MSGSNPKAAAAASAAGPGGLVAGKEEKKKAGGGVLNRLKARRQAPHHAADDGVGAAVTEQELLALDTIRPEHVLRLSRVTENYLCKPEDNIYSIDFTRFKIRDLETGTVLFEIAKPCVSDQEEDEEEGGGDVDISAGRFVRYQFTPAFLRLRTVGATVEFTVGDKPVSNFRMIERHYFREHLLKNFDFDFGFCIPSSRNTCEHIYEFPQLSEDVIRLMIENPYETRSDSFYFVDNKLIMHNKADYAYNGGQ
+>DECOY_sp|A6NIH7|U119B_HUMAN Protein unc-119 homolog B OS=Homo sapiens OX=9606 GN=UNC119B PE=1 SV=1
+QGGNYAYDAKNHMILKNDVFYFSDSRTEYPNEIMLRIVDESLQPFEYIHECTNRSSPICFGFDFDFNKLLHERFYHREIMRFNSVPKDGVTFEVTAGVTRLRLFAPTFQYRVFRGASIDVDGGGEEEDEEQDSVCPKAIEFLVTGTELDRIKFRTFDISYINDEPKCLYNETVRSLRLVHEPRITDLALLEQETVAAGVGDDAAHHPAQRRAKLRNLVGGGAKKKEEKGAVLGGPGAASAAAAAKPNSGSM
+>sp|P07101|TY3H_HUMAN Tyrosine 3-monooxygenase OS=Homo sapiens OX=9606 GN=TH PE=1 SV=5
+MPTPDATTPQAKGFRRAVSELDAKQAEAIMVRGQGAPGPSLTGSPWPGTAAPAASYTPTPRSPRFIGRRQSLIEDARKEREAAVAAAAAAVPSEPGDPLEAVAFEEKEGKAVLNLLFSPRATKPSALSRAVKVFETFEAKIHHLETRPAQRPRAGGPHLEYFVRLEVRRGDLAALLSGVRQVSEDVRSPAGPKVPWFPRKVSELDKCHHLVTKFDPDLDLDHPGFSDQVYRQRRKLIAEIAFQYRHGDPIPRVEYTAEEIATWKEVYTTLKGLYATHACGEHLEAFALLERFSGYREDNIPQLEDVSRFLKERTGFQLRPVAGLLSARDFLASLAFRVFQCTQYIRHASSPMHSPEPDCCHELLGHVPMLADRTFAQFSQDIGLASLGASDEEIEKLSTLYWFTVEFGLCKQNGEVKAYGAGLLSSYGELLHCLSEEPEIRAFDPEAAAVQPYQDQTYQSVYFVSESFSDAKDKLRSYASRIQRPFSVKFDPYTLAIDVLDSPQAVRRSLEGVQDELDTLAHALSAIG
+>DECOY_sp|P07101|TY3H_HUMAN Tyrosine 3-monooxygenase OS=Homo sapiens OX=9606 GN=TH PE=1 SV=5
+GIASLAHALTDLEDQVGELSRRVAQPSDLVDIALTYPDFKVSFPRQIRSAYSRLKDKADSFSESVFYVSQYTQDQYPQVAAAEPDFARIEPEESLCHLLEGYSSLLGAGYAKVEGNQKCLGFEVTFWYLTSLKEIEEDSAGLSALGIDQSFQAFTRDALMPVHGLLEHCCDPEPSHMPSSAHRIYQTCQFVRFALSALFDRASLLGAVPRLQFGTREKLFRSVDELQPINDERYGSFRELLAFAELHEGCAHTAYLGKLTTYVEKWTAIEEATYEVRPIPDGHRYQFAIEAILKRRQRYVQDSFGPHDLDLDPDFKTVLHHCKDLESVKRPFWPVKPGAPSRVDESVQRVGSLLAALDGRRVELRVFYELHPGGARPRQAPRTELHHIKAEFTEFVKVARSLASPKTARPSFLLNLVAKGEKEEFAVAELPDGPESPVAAAAAAVAAEREKRADEILSQRRGIFRPSRPTPTYSAAPAATGPWPSGTLSPGPAGQGRVMIAEAQKADLESVARRFGKAQPTTADPTPM
+>sp|Q8TAI1|TYMOS_HUMAN TYMS opposite strand protein OS=Homo sapiens OX=9606 GN=TYMSOS PE=5 SV=2
+MTPASGATASLGRLRARPRSRWDAAYLPAVAAVCVARASHVPNGTLRFGVCKARRTMRPLPRRIEVRTKRGPQRPAAPERSPQPRLPPSRHPSRRGPRRHLSGCSAPACRIPTGCRCPCGRPS
+>DECOY_sp|Q8TAI1|TYMOS_HUMAN TYMS opposite strand protein OS=Homo sapiens OX=9606 GN=TYMSOS PE=5 SV=2
+SPRGCPCRCGTPIRCAPASCGSLHRRPGRRSPHRSPPLRPQPSREPAAPRQPGRKTRVEIRRPLPRMTRRAKCVGFRLTGNPVHSARAVCVAAVAPLYAADWRSRPRARLRGLSATAGSAPTM
+>sp|O43914|TYOBP_HUMAN TYRO protein tyrosine kinase-binding protein OS=Homo sapiens OX=9606 GN=TYROBP PE=1 SV=1
+MGGLEPCSRLLLLPLLLAVSGLRPVQAQAQSDCSCSTVSPGVLAGIVMGDLVLTVLIALAVYFLGRLVPRGRGAAEAATRKQRITETESPYQELQGQRSDVYSDLNTQRPYYK
+>DECOY_sp|O43914|TYOBP_HUMAN TYRO protein tyrosine kinase-binding protein OS=Homo sapiens OX=9606 GN=TYROBP PE=1 SV=1
+KYYPRQTNLDSYVDSRQGQLEQYPSETETIRQKRTAAEAAGRGRPVLRGLFYVALAILVTLVLDGMVIGALVGPSVTSCSCDSQAQAQVPRLGSVALLLPLLLLRSCPELGGM
+>sp|Q2T9J0|TYSD1_HUMAN Peroxisomal leader peptide-processing protease OS=Homo sapiens OX=9606 GN=TYSND1 PE=1 SV=3
+MRRQWGSAMRAAEQAGCMVSASRAGQPEAGPWSCSGVILSRSPGLVLCHGGIFVPFLRAGSEVLTAAGAVFLPGDSCRDDLRLHVQWAPTAAGPGGGAERGRPGLCTPQCASLEPGPPAPSRGRPLQPRLPAELLLLLSCPAFWAHFARLFGDEAAEQWRFSSAARDDEVSEDEEADQLRALGWFALLGVRLGQEEVEEERGPAMAVSPLGAVPKGAPLLVCGSPFGAFCPDIFLNTLSCGVLSNVAGPLLLTDARCLPGTEGGGVFTARPAGALVALVVAPLCWKAGEWVGFTLLCAAAPLFRAARDALHRLPHSTAALAALLPPEVGVPWGLPLRDSGPLWAAAAVLVECGTVWGSGVAVAPRLVVTCRHVSPREAARVLVRSTTPKSVAIWGRVVFATQETCPYDIAVVSLEEDLDDVPIPVPAEHFHEGEAVSVVGFGVFGQSCGPSVTSGILSAVVQVNGTPVMLQTTCAVHSGSSGGPLFSNHSGNLLGIITSNTRDNNTGATYPHLNFSIPITVLQPALQQYSQTQDLGGLRELDRAAEPVRVVWRLQRPLAEAPRSKL
+>DECOY_sp|Q2T9J0|TYSD1_HUMAN Peroxisomal leader peptide-processing protease OS=Homo sapiens OX=9606 GN=TYSND1 PE=1 SV=3
+LKSRPAEALPRQLRWVVRVPEAARDLERLGGLDQTQSYQQLAPQLVTIPISFNLHPYTAGTNNDRTNSTIIGLLNGSHNSFLPGGSSGSHVACTTQLMVPTGNVQVVASLIGSTVSPGCSQGFVGFGVVSVAEGEHFHEAPVPIPVDDLDEELSVVAIDYPCTEQTAFVVRGWIAVSKPTTSRVLVRAAERPSVHRCTVVLRPAVAVGSGWVTGCEVLVAAAAWLPGSDRLPLGWPVGVEPPLLAALAATSHPLRHLADRAARFLPAAACLLTFGVWEGAKWCLPAVVLAVLAGAPRATFVGGGETGPLCRADTLLLPGAVNSLVGCSLTNLFIDPCFAGFPSGCVLLPAGKPVAGLPSVAMAPGREEEVEEQGLRVGLLAFWGLARLQDAEEDESVEDDRAASSFRWQEAAEDGFLRAFHAWFAPCSLLLLLEAPLRPQLPRGRSPAPPGPELSACQPTCLGPRGREAGGGPGAATPAWQVHLRLDDRCSDGPLFVAGAATLVESGARLFPVFIGGHCLVLGPSRSLIVGSCSWPGAEPQGARSASVMCGAQEAARMASGWQRRM
+>sp|Q9NV66|TYW1_HUMAN S-adenosyl-L-methionine-dependent tRNA 4-demethylwyosine synthase OS=Homo sapiens OX=9606 GN=TYW1 PE=2 SV=2
+MDPSADTWDLFSPLISLWINRFYIYLGFAVSISLWICVQIVIKTQGKNLQEKSVPKAAQDLMTNGYVSLQEKDIFVSGVKIFYGSQTGTAKGFATVLAEAVTSLDLPVAIINLKEYDPDDHLIEEVTSKNVCVFLVATYTDGLPTESAEWFCKWLEEASIDFRFGKTYLKGMRYAVFGLGNSAYASHFNKVGKNVDKWLWMLGAHRVMSRGEGDCDVVKSKHGSIEADFRAWKTKFISQLQALQKGERKKSCGGHCKKGKCESHQHGSEEREEGSHEQDELHHRDTEEEEPFESSSEEEFGGEDHQSLNSIVDVEDLGKIMDHVKKEKREKEQQEEKSGLFRNMGRNEDGERRAMITPALREALTKQGYQLIGSHSGVKLCRWTKSMLRGRGGCYKHTFYGIESHRCMETTPSLACANKCVFCWRHHTNPVGTEWRWKMDQPEMILKEAIENHQNMIKQFKGVPGVKAERFEEGMTVKHCALSLVGEPIMYPEINRFLKLLHQCKISSFLVTNAQFPAEIRNLEPVTQLYVSVDASTKDSLKKIDRPLFKDFWQRFLDSLKALAVKQQRTVYRLTLVKAWNVDELQAYAQLVSLGNPDFIEVKGVTYCGESSASSLTMAHVPWHEEVVQFVHELVDLIPEYEIACEHEHSNCLLIAHRKFKIGGEWWTWIDYNRFQELIQEYEDSGGSKTFSAKDYMARTPHWALFGASERGFDPKDTRHQRKNKSKAISGC
+>DECOY_sp|Q9NV66|TYW1_HUMAN S-adenosyl-L-methionine-dependent tRNA 4-demethylwyosine synthase OS=Homo sapiens OX=9606 GN=TYW1 PE=2 SV=2
+CGSIAKSKNKRQHRTDKPDFGRESAGFLAWHPTRAMYDKASFTKSGGSDEYEQILEQFRNYDIWTWWEGGIKFKRHAILLCNSHEHECAIEYEPILDVLEHVFQVVEEHWPVHAMTLSSASSEGCYTVGKVEIFDPNGLSVLQAYAQLEDVNWAKVLTLRYVTRQQKVALAKLSDLFRQWFDKFLPRDIKKLSDKTSADVSVYLQTVPELNRIEAPFQANTVLFSSIKCQHLLKLFRNIEPYMIPEGVLSLACHKVTMGEEFREAKVGPVGKFQKIMNQHNEIAEKLIMEPQDMKWRWETGVPNTHHRWCFVCKNACALSPTTEMCRHSEIGYFTHKYCGGRGRLMSKTWRCLKVGSHSGILQYGQKTLAERLAPTIMARREGDENRGMNRFLGSKEEQQEKERKEKKVHDMIKGLDEVDVISNLSQHDEGGFEEESSSEFPEEEETDRHHLEDQEHSGEEREESGHQHSECKGKKCHGGCSKKREGKQLAQLQSIFKTKWARFDAEISGHKSKVVDCDGEGRSMVRHAGLMWLWKDVNKGVKNFHSAYASNGLGFVAYRMGKLYTKGFRFDISAEELWKCFWEASETPLGDTYTAVLFVCVNKSTVEEILHDDPDYEKLNIIAVPLDLSTVAEALVTAFGKATGTQSGYFIKVGSVFIDKEQLSVYGNTMLDQAAKPVSKEQLNKGQTKIVIQVCIWLSISVAFGLYIYFRNIWLSILPSFLDWTDASPDM
+>sp|Q53H54|TYW2_HUMAN tRNA wybutosine-synthesizing protein 2 homolog OS=Homo sapiens OX=9606 GN=TRMT12 PE=1 SV=1
+MRENVVVSNMERESGKPVAVVAVVTEPWFTQRYREYLQRQKLFDTQHRVEKMPDGSVALPVLGETLPEQHLQELRNRVAPGSPCMLTQLPDPVPSKRAQGCSPAQKLCLEVSRWVEGRGVKWSAELEADLPRSWQRHGNLLLLSEDCFQAKQWKNLGPELWETVALALGVQRLAKRGRVSPDGTRTPAVTLLLGDHGWVEHVDNGIRYKFDVTQCMFSFGNITEKLRVASLSCAGEVLVDLYAGIGYFTLPFLVHAGAAFVHACEWNPHAVVALRNNLEINGVADRCQIHFGDNRKLKLSNIADRVILGLIPSSEEGWPIACQVLRQDAGGILHIHQNVESFPGKNLQALGVSKVEKEHWLYPQQITTNQWKNGATRDSRGKMLSPATKPEWQRWAESAETRIATLLQQVHGKPWKTQILHIQPVKSYAPHVDHIVLDLECCPCPSVG
+>DECOY_sp|Q53H54|TYW2_HUMAN tRNA wybutosine-synthesizing protein 2 homolog OS=Homo sapiens OX=9606 GN=TRMT12 PE=1 SV=1
+GVSPCPCCELDLVIHDVHPAYSKVPQIHLIQTKWPKGHVQQLLTAIRTEASEAWRQWEPKTAPSLMKGRSDRTAGNKWQNTTIQQPYLWHEKEVKSVGLAQLNKGPFSEVNQHIHLIGGADQRLVQCAIPWGEESSPILGLIVRDAINSLKLKRNDGFHIQCRDAVGNIELNNRLAVVAHPNWECAHVFAAGAHVLFPLTFYGIGAYLDVLVEGACSLSAVRLKETINGFSFMCQTVDFKYRIGNDVHEVWGHDGLLLTVAPTRTGDPSVRGRKALRQVGLALAVTEWLEPGLNKWQKAQFCDESLLLLNGHRQWSRPLDAELEASWKVGRGEVWRSVELCLKQAPSCGQARKSPVPDPLQTLMCPSGPAVRNRLEQLHQEPLTEGLVPLAVSGDPMKEVRHQTDFLKQRQLYERYRQTFWPETVVAVVAVPKGSEREMNSVVVNERM
+>sp|P0CG48|UBC_HUMAN Polyubiquitin-C OS=Homo sapiens OX=9606 GN=UBC PE=1 SV=3
+MQIFVKTLTGKTITLEVEPSDTIENVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLSDYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVEPSDTIENVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLSDYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVEPSDTIENVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLSDYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVEPSDTIENVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLSDYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVEPSDTIENVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLSDYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVEPSDTIENVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLSDYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVEPSDTIENVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLSDYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVEPSDTIENVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLSDYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVEPSDTIENVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLSDYNIQKESTLHLVLRLRGGV
+>DECOY_sp|P0CG48|UBC_HUMAN Polyubiquitin-C OS=Homo sapiens OX=9606 GN=UBC PE=1 SV=3
+VGGRLRLVLHLTSEKQINYDSLTRGDELQKGAFILRQQDPPIGEKDQIKAKVNEITDSPEVELTITKGTLTKVFIQMGGRLRLVLHLTSEKQINYDSLTRGDELQKGAFILRQQDPPIGEKDQIKAKVNEITDSPEVELTITKGTLTKVFIQMGGRLRLVLHLTSEKQINYDSLTRGDELQKGAFILRQQDPPIGEKDQIKAKVNEITDSPEVELTITKGTLTKVFIQMGGRLRLVLHLTSEKQINYDSLTRGDELQKGAFILRQQDPPIGEKDQIKAKVNEITDSPEVELTITKGTLTKVFIQMGGRLRLVLHLTSEKQINYDSLTRGDELQKGAFILRQQDPPIGEKDQIKAKVNEITDSPEVELTITKGTLTKVFIQMGGRLRLVLHLTSEKQINYDSLTRGDELQKGAFILRQQDPPIGEKDQIKAKVNEITDSPEVELTITKGTLTKVFIQMGGRLRLVLHLTSEKQINYDSLTRGDELQKGAFILRQQDPPIGEKDQIKAKVNEITDSPEVELTITKGTLTKVFIQMGGRLRLVLHLTSEKQINYDSLTRGDELQKGAFILRQQDPPIGEKDQIKAKVNEITDSPEVELTITKGTLTKVFIQMGGRLRLVLHLTSEKQINYDSLTRGDELQKGAFILRQQDPPIGEKDQIKAKVNEITDSPEVELTITKGTLTKVFIQM
+>sp|Q9BXU7|UBP26_HUMAN Ubiquitin carboxyl-terminal hydrolase 26 OS=Homo sapiens OX=9606 GN=USP26 PE=1 SV=1
+MAALFLRGFVQIGNCKTGISKSKEAFIEAVERKKKDRLVLYFKSGKYSTFRLSDNIQNVVLKSYRGNQNHLHLTLQNNNGLFIEGLSSTDAEQLKIFLDRVHQNEVQPPVRPGKGGSVFSSTTQKEINKTSFHKVDEKSSSKSFEIAKGSGTGVLQRMPLLTSKLTLTCGELSENQHKKRKRMLSSSSEMNEEFLKENNSVEYKKSKADCSRCVSYNREKQLKLKELEENKKLECESSCIMNATGNPYLDDIGLLQALTEKMVLVFLLQQGYSDGYTKWDKLKLFFELFPEKICHGLPNLGNTCYMNAVLQSLLSIPSFADDLLNQSFPWGKIPLNALTMCLARLLFFKDTYNIEIKEMLLLNLKKAISAAAEIFHGNAQNDAHEFLAHCLDQLKDNMEKLNTIWKPKSEFGEDNFPKQVFADDPDTSGFSCPVITNFELELLHSIACKACGQVILKTELNNYLSINLPQRIKAHPSSIQSTFDLFFGAEELEYKCAKCEHKTSVGVHSFSRLPRILIVHLKRYSLNEFCALKKNDQEVIISKYLKVSSHCNEGTRPPLPLSEDGEITDFQLLKVIRKMTSGNISVSWPATKESKDILAPHIGSDKESEQKKGQTVFKGASRRQQQKYLGKNSKPNELESVYSGDRAFIEKEPLAHLMTYLEDTSLCQFHKAGGKPASSPGTPLSKVDFQTVPENPKRKKYVKTSKFVAFDRIINPTKDLYEDKNIRIPERFQKVSEQTQQCDGMRICEQAPQQALPQSFPKPGTQGHTKNLLRPTKLNLQKSNRNSLLALGSNKNPRNKDILDKIKSKAKETKRNDDKGDHTYRLISVVSHLGKTLKSGHYICDAYDFEKQIWFTYDDMRVLGIQEAQMQEDRRCTGYIFFYMHNEIFEEMLKREENAQLNSKEVEETLQKE
+>DECOY_sp|Q9BXU7|UBP26_HUMAN Ubiquitin carboxyl-terminal hydrolase 26 OS=Homo sapiens OX=9606 GN=USP26 PE=1 SV=1
+EKQLTEEVEKSNLQANEERKLMEEFIENHMYFFIYGTCRRDEQMQAEQIGLVRMDDYTFWIQKEFDYADCIYHGSKLTKGLHSVVSILRYTHDGKDDNRKTEKAKSKIKDLIDKNRPNKNSGLALLSNRNSKQLNLKTPRLLNKTHGQTGPKPFSQPLAQQPAQECIRMGDCQQTQESVKQFREPIRINKDEYLDKTPNIIRDFAVFKSTKVYKKRKPNEPVTQFDVKSLPTGPSSAPKGGAKHFQCLSTDELYTMLHALPEKEIFARDGSYVSELENPKSNKGLYKQQQRRSAGKFVTQGKKQESEKDSGIHPALIDKSEKTAPWSVSINGSTMKRIVKLLQFDTIEGDESLPLPPRTGENCHSSVKLYKSIIVEQDNKKLACFENLSYRKLHVILIRPLRSFSHVGVSTKHECKACKYELEEAGFFLDFTSQISSPHAKIRQPLNISLYNNLETKLIVQGCAKCAISHLLELEFNTIVPCSFGSTDPDDAFVQKPFNDEGFESKPKWITNLKEMNDKLQDLCHALFEHADNQANGHFIEAAASIAKKLNLLLMEKIEINYTDKFFLLRALCMTLANLPIKGWPFSQNLLDDAFSPISLLSQLVANMYCTNGLNPLGHCIKEPFLEFFLKLKDWKTYGDSYGQQLLFVLVMKETLAQLLGIDDLYPNGTANMICSSECELKKNEELEKLKLQKERNYSVCRSCDAKSKKYEVSNNEKLFEENMESSSSLMRKRKKHQNESLEGCTLTLKSTLLPMRQLVGTGSGKAIEFSKSSSKEDVKHFSTKNIEKQTTSSFVSGGKGPRVPPQVENQHVRDLFIKLQEADTSSLGEIFLGNNNQLTLHLHNQNGRYSKLVVNQINDSLRFTSYKGSKFYLVLRDKKKREVAEIFAEKSKSIGTKCNGIQVFGRLFLAAM
+>sp|Q9P2H5|UBP35_HUMAN Ubiquitin carboxyl-terminal hydrolase 35 OS=Homo sapiens OX=9606 GN=USP35 PE=1 SV=3
+MDKILEAVVTSSYPVSVKQGLVRRVLEAARQPLEREQCLALLALGARLYVGGAEELPRRVGCQLLHVAGRHHPDVFAEFFSARRVLRLLQGGAGPPGPRALACVQLGLQLLPEGPAADEVFALLRREVLRTVCERPGPAACAQVARLLARHPRCVPDGPHRLLFCQQLVRCLGRFRCPAEGEEGAVEFLEQAQQVSGLLAQLWRAQPAAILPCLKELFAVISCAEEEPPSSALASVVQHLPLELMDGVVRNLSNDDSVTDSQMLTAISRMIDWVSWPLGKNIDKWIIALLKGLAAVKKFSILIEVSLTKIEKVFSKLLYPIVRGAALSVLKYMLLTFQHSHEAFHLLLPHIPPMVASLVKEDSNSGTSCLEQLAELVHCMVFRFPGFPDLYEPVMEAIKDLHVPNEDRIKQLLGQDAWTSQKSELAGFYPRLMAKSDTGKIGLINLGNTCYVNSILQALFMASDFRHCVLRLTENNSQPLMTKLQWLFGFLEHSQRPAISPENFLSASWTPWFSPGTQQDCSEYLKYLLDRLHEEEKTGTRICQKLKQSSSPSPPEEPPAPSSTSVEKMFGGKIVTRICCLCCLNVSSREEAFTDLSLAFPPPERCRRRRLGSVMRPTEDITARELPPPTSAQGPGRVGPRRQRKHCITEDTPPTSLYIEGLDSKEAGGQSSQEERIEREEEGKEERTEKEEVGEEEESTRGEGEREKEEEVEEEEEKVEKETEKEAEQEKEEDSLGAGTHPDAAIPSGERTCGSEGSRSVLDLVNYFLSPEKLTAENRYYCESCASLQDAEKVVELSQGPCYLILTLLRFSFDLRTMRRRKILDDVSIPLLLRLPLAGGRGQAYDLCSVVVHSGVSSESGHYYCYAREGAARPAASLGTADRPEPENQWYLFNDTRVSFSSFESVSNVTSFFPKDTAYVLFYRQRPREGPEAELGSSRVRTEPTLHKDLMEAISKDNILYLQEQEKEARSRAAYISALPTSPHWGRGFDEDKDEDEGSPGGCNPAGGNGGDFHRLVF
+>DECOY_sp|Q9P2H5|UBP35_HUMAN Ubiquitin carboxyl-terminal hydrolase 35 OS=Homo sapiens OX=9606 GN=USP35 PE=1 SV=3
+FVLRHFDGGNGGAPNCGGPSGEDEDKDEDFGRGWHPSTPLASIYAARSRAEKEQEQLYLINDKSIAEMLDKHLTPETRVRSSGLEAEPGERPRQRYFLVYATDKPFFSTVNSVSEFSSFSVRTDNFLYWQNEPEPRDATGLSAAPRAAGERAYCYYHGSESSVGSHVVVSCLDYAQGRGGALPLRLLLPISVDDLIKRRRMTRLDFSFRLLTLILYCPGQSLEVVKEADQLSACSECYYRNEATLKEPSLFYNVLDLVSRSGESGCTREGSPIAADPHTGAGLSDEEKEQEAEKETEKEVKEEEEEVEEEKEREGEGRTSEEEEGVEEKETREEKGEEEREIREEQSSQGGAEKSDLGEIYLSTPPTDETICHKRQRRPGVRGPGQASTPPPLERATIDETPRMVSGLRRRRCREPPPFALSLDTFAEERSSVNLCCLCCIRTVIKGGFMKEVSTSSPAPPEEPPSPSSSQKLKQCIRTGTKEEEHLRDLLYKLYESCDQQTGPSFWPTWSASLFNEPSIAPRQSHELFGFLWQLKTMLPQSNNETLRLVCHRFDSAMFLAQLISNVYCTNGLNILGIKGTDSKAMLRPYFGALESKQSTWADQGLLQKIRDENPVHLDKIAEMVPEYLDPFGPFRFVMCHVLEALQELCSTGSNSDEKVLSAVMPPIHPLLLHFAEHSHQFTLLMYKLVSLAAGRVIPYLLKSFVKEIKTLSVEILISFKKVAALGKLLAIIWKDINKGLPWSVWDIMRSIATLMQSDTVSDDNSLNRVVGDMLELPLHQVVSALASSPPEEEACSIVAFLEKLCPLIAAPQARWLQALLGSVQQAQELFEVAGEEGEAPCRFRGLCRVLQQCFLLRHPGDPVCRPHRALLRAVQACAAPGPRECVTRLVERRLLAFVEDAAPGEPLLQLGLQVCALARPGPPGAGGQLLRLVRRASFFEAFVDPHHRGAVHLLQCGVRRPLEEAGGVYLRAGLALLALCQERELPQRAAELVRRVLGQKVSVPYSSTVVAELIKDM
+>sp|Q86T82|UBP37_HUMAN Ubiquitin carboxyl-terminal hydrolase 37 OS=Homo sapiens OX=9606 GN=USP37 PE=1 SV=2
+MSPLKIHGPIRIRSMQTGITKWKEGSFEIVEKENKVSLVVHYNTGGIPRIFQLSHNIKNVVLRPSGAKQSRLMLTLQDNSFLSIDKVPSKDAEEMRLFLDAVHQNRLPAAMKPSQGSGSFGAILGSRTSQKETSRQLSYSDNQASAKRGSLETKDDIPFRKVLGNPGRGSIKTVAGSGIARTIPSLTSTSTPLRSGLLENRTEKRKRMISTGSELNEDYPKENDSSSNNKAMTDPSRKYLTSSREKQLSLKQSEENRTSGLLPLQSSSFYGSRAGSKEHSSGGTNLDRTNVSSQTPSAKRSLGFLPQPVPLSVKKLRCNQDYTGWNKPRVPLSSHQQQQLQGFSNLGNTCYMNAILQSLFSLQSFANDLLKQGIPWKKIPLNALIRRFAHLLVKKDICNSETKKDLLKKVKNAISATAERFSGYMQNDAHEFLSQCLDQLKEDMEKLNKTWKTEPVSGEENSPDISATRAYTCPVITNLEFEVQHSIICKACGEIIPKREQFNDLSIDLPRRKKPLPPRSIQDSLDLFFRAEELEYSCEKCGGKCALVRHKFNRLPRVLILHLKRYSFNVALSLNNKIGQQVIIPRYLTLSSHCTENTKPPFTLGWSAHMAISRPLKASQMVNSCITSPSTPSKKFTFKSKSSLALCLDSDSEDELKRSVALSQRLCEMLGNEQQQEDLEKDSKLCPIEPDKSELENSGFDRMSEEELLAAVLEISKRDASPSLSHEDDDKPTSSPDTGFAEDDIQEMPENPDTMETEKPKTITELDPASFTEITKDCDENKENKTPEGSQGEVDWLQQYDMEREREEQELQQALAQSLQEQEAWEQKEDDDLKRATELSLQEFNNSFVDALGSDEDSGNEDVFDMEYTEAEAEELKRNAETGNLPHSYRLISVVSHIGSTSSSGHYISDVYDIKKQAWFTYNDLEVSKIQEAAVQSDRDRSGYIFFYMHKEIFDELLETEKNSQSLSTEVGKTTRQAL
+>DECOY_sp|Q86T82|UBP37_HUMAN Ubiquitin carboxyl-terminal hydrolase 37 OS=Homo sapiens OX=9606 GN=USP37 PE=1 SV=2
+LAQRTTKGVETSLSQSNKETELLEDFIEKHMYFFIYGSRDRDSQVAAEQIKSVELDNYTFWAQKKIDYVDSIYHGSSSTSGIHSVVSILRYSHPLNGTEANRKLEEAEAETYEMDFVDENGSDEDSGLADVFSNNFEQLSLETARKLDDDEKQEWAEQEQLSQALAQQLEQEEREREMDYQQLWDVEGQSGEPTKNEKNEDCDKTIETFSAPDLETITKPKETEMTDPNEPMEQIDDEAFGTDPSSTPKDDDEHSLSPSADRKSIELVAALLEEESMRDFGSNELESKDPEIPCLKSDKELDEQQQENGLMECLRQSLAVSRKLEDESDSDLCLALSSKSKFTFKKSPTSPSTICSNVMQSAKLPRSIAMHASWGLTFPPKTNETCHSSLTLYRPIIVQQGIKNNLSLAVNFSYRKLHLILVRPLRNFKHRVLACKGGCKECSYELEEARFFLDLSDQISRPPLPKKRRPLDISLDNFQERKPIIEGCAKCIISHQVEFELNTIVPCTYARTASIDPSNEEGSVPETKWTKNLKEMDEKLQDLCQSLFEHADNQMYGSFREATASIANKVKKLLDKKTESNCIDKKVLLHAFRRILANLPIKKWPIGQKLLDNAFSQLSFLSQLIANMYCTNGLNSFGQLQQQQHSSLPVRPKNWGTYDQNCRLKKVSLPVPQPLFGLSRKASPTQSSVNTRDLNTGGSSHEKSGARSGYFSSSQLPLLGSTRNEESQKLSLQKERSSTLYKRSPDTMAKNNSSSDNEKPYDENLESGTSIMRKRKETRNELLGSRLPTSTSTLSPITRAIGSGAVTKISGRGPNGLVKRFPIDDKTELSGRKASAQNDSYSLQRSTEKQSTRSGLIAGFSGSGQSPKMAAPLRNQHVADLFLRMEEADKSPVKDISLFSNDQLTLMLRSQKAGSPRLVVNKINHSLQFIRPIGGTNYHVVLSVKNEKEVIEFSGEKWKTIGTQMSRIRIPGHIKLPSM
+>sp|Q9NVE5|UBP40_HUMAN Ubiquitin carboxyl-terminal hydrolase 40 OS=Homo sapiens OX=9606 GN=USP40 PE=1 SV=3
+MFGDLFEEEYSTVSNNQYGKGKKLKTKALEPPAPREFTNLSGIRNQGGTCYLNSLLQTLHFTPEFREALFSLGPEELGLFEDKDKPDAKVRIIPLQLQRLFAQLLLLDQEAASTADLTDSFGWTSNEEMRQHDVQELNRILFSALETSLVGTSGHDLIYRLYHGTIVNQIVCKECKNVSERQEDFLDLTVAVKNVSGLEDALWNMYVEEEVFDCDNLYHCGTCDRLVKAAKSAKLRKLPPFLTVSLLRFNFDFVKCERYKETSCYTFPLRINLKPFCEQSELDDLEYIYDLFSVIIHKGGCYGGHYHVYIKDVDHLGNWQFQEEKSKPDVNLKDLQSEEEIDHPLMILKAILLEENNLIPVDQLGQKLLKKIGISWNKKYRKQHGPLRKFLQLHSQIFLLSSDESTVRLLKNSSLQAESDFQRNDQQIFKMLPPESPGLNNSISCPHWFDINDSKVQPIREKDIEQQFQGKESAYMLFYRKSQLQRPPEARANPRYGVPCHLLNEMDAANIELQTKRAECDSANNTFELHLHLGPQYHFFNGALHPVVSQTESVWDLTFDKRKTLGDLRQSIFQLLEFWEGDMVLSVAKLVPAGLHIYQSLGGDELTLCETEIADGEDIFVWNGVEVGGVHIQTGIDCEPLLLNVLHLDTSSDGEKCCQVIESPHVFPANAEVGTVLTALAIPAGVIFINSAGCPGGEGWTAIPKEDMRKTFREQGLRNGSSILIQDSHDDNSLLTKEEKWVTSMNEIDWLHVKNLCQLESEEKQVKISATVNTMVFDIRIKAIKELKLMKELADNSCLRPIDRNGKLLCPVPDSYTLKEAELKMGSSLGLCLGKAPSSSQLFLFFAMGSDVQPGTEMEIVVEETISVRDCLKLMLKKSGLQGDAWHLRKMDWCYEAGEPLCEEDATLKELLICSGDTLLLIEGQLPPLGFLKVPIWWYQLQGPSGHWESHQDQTNCTSSWGRVWRATSSQGASGNEPAQVSLLYLGDIEISEDATLAELKSQAMTLPPFLEFGVPSPAHLRAWTVERKRPGRLLRTDRQPLREYKLGRRIEICLEPLQKGENLGPQDVLLRTQVRIPGERTYAPALDLVWNAAQGGTAGSLRQRVADFYRLPVEKIEIAKYFPEKFEWLPISSWNQQITKRKKKKKQDYLQGAPYYLKDGDTIGVKNLLIDDDDDFSTIRDDTGKEKQKQRALGRRKSQEALHEQSSYILSSAETPARPRAPETSLSIHVGSFR
+>DECOY_sp|Q9NVE5|UBP40_HUMAN Ubiquitin carboxyl-terminal hydrolase 40 OS=Homo sapiens OX=9606 GN=USP40 PE=1 SV=3
+RFSGVHISLSTEPARPRAPTEASSLIYSSQEHLAEQSKRRGLARQKQKEKGTDDRITSFDDDDDILLNKVGITDGDKLYYPAGQLYDQKKKKKRKTIQQNWSSIPLWEFKEPFYKAIEIKEVPLRYFDAVRQRLSGATGGQAANWVLDLAPAYTREGPIRVQTRLLVDQPGLNEGKQLPELCIEIRRGLKYERLPQRDTRLLRGPRKREVTWARLHAPSPVGFELFPPLTMAQSKLEALTADESIEIDGLYLLSVQAPENGSAGQSSTARWVRGWSSTCNTQDQHSEWHGSPGQLQYWWIPVKLFGLPPLQGEILLLTDGSCILLEKLTADEECLPEGAEYCWDMKRLHWADGQLGSKKLMLKLCDRVSITEEVVIEMETGPQVDSGMAFFLFLQSSSPAKGLCLGLSSGMKLEAEKLTYSDPVPCLLKGNRDIPRLCSNDALEKMLKLEKIAKIRIDFVMTNVTASIKVQKEESELQCLNKVHLWDIENMSTVWKEEKTLLSNDDHSDQILISSGNRLGQERFTKRMDEKPIATWGEGGPCGASNIFIVGAPIALATLVTGVEANAPFVHPSEIVQCCKEGDSSTDLHLVNLLLPECDIGTQIHVGGVEVGNWVFIDEGDAIETECLTLEDGGLSQYIHLGAPVLKAVSLVMDGEWFELLQFISQRLDGLTKRKDFTLDWVSETQSVVPHLAGNFFHYQPGLHLHLEFTNNASDCEARKTQLEINAADMENLLHCPVGYRPNARAEPPRQLQSKRYFLMYASEKGQFQQEIDKERIPQVKSDNIDFWHPCSISNNLGPSEPPLMKFIQQDNRQFDSEAQLSSNKLLRVTSEDSSLLFIQSHLQLFKRLPGHQKRYKKNWSIGIKKLLKQGLQDVPILNNEELLIAKLIMLPHDIEEESQLDKLNVDPKSKEEQFQWNGLHDVDKIYVHYHGGYCGGKHIIVSFLDYIYELDDLESQECFPKLNIRLPFTYCSTEKYRECKVFDFNFRLLSVTLFPPLKRLKASKAAKVLRDCTGCHYLNDCDFVEEEVYMNWLADELGSVNKVAVTLDLFDEQRESVNKCEKCVIQNVITGHYLRYILDHGSTGVLSTELASFLIRNLEQVDHQRMEENSTWGFSDTLDATSAAEQDLLLLQAFLRQLQLPIIRVKADPKDKDEFLGLEEPGLSFLAERFEPTFHLTQLLSNLYCTGGQNRIGSLNTFERPAPPELAKTKLKKGKGYQNNSVTSYEEEFLDGFM
+>sp|Q70EL4|UBP43_HUMAN Ubiquitin carboxyl-terminal hydrolase 43 OS=Homo sapiens OX=9606 GN=USP43 PE=1 SV=2
+MDLGPGDAAGGGPLAPRPRRRRSLRRLFSRFLLALGSRSRPGDSPPRPQPGHCDGDGEGGFACAPGPVPAAPGSPGEERPPGPQPQLQLPAGDGARPPGAQGLKNHGNTCFMNAVVQCLSNTDLLAEFLALGRYRAAPGRAEVTEQLAALVRALWTREYTPQLSAEFKNAVSKYGSQFQGNSQHDALEFLLWLLDRVHEDLEGSSRGPVSEKLPPEATKTSENCLSPSAQLPLGQSFVQSHFQAQYRSSLTCPHCLKQSNTFDPFLCVSLPIPLRQTRFLSVTLVFPSKSQRFLRVGLAVPILSTVAALRKMVAEEGGVPADEVILVELYPSGFQRSFFDEEDLNTIAEGDNVYAFQVPPSPSQGTLSAHPLGLSASPRLAAREGQRFSLSLHSESKVLILFCNLVGSGQQASRFGPPFLIREDRAVSWAQLQQSILSKVRHLMKSEAPVQNLGSLFSIRVVGLSVACSYLSPKDSRPLCHWAVDRVLHLRRPGGPPHVKLAVEWDSSVKERLFGSLQEERAQDADSVWQQQQAHQQHSCTLDECFQFYTKEEQLAQDDAWKCPHCQVLQQGMVKLSLWTLPDILIIHLKRFCQVGERRNKLSTLVKFPLSGLNMAPHVAQRSTSPEAGLGPWPSWKQPDCLPTSYPLDFLYDLYAVCNHHGNLQGGHYTAYCRNSLDGQWYSYDDSTVEPLREDEVNTRGAYILFYQKRNSIPPWSASSSMRGSTSSSLSDHWLLRLGSHAGSTRGSLLSWSSAPCPSLPQVPDSPIFTNSLCNQEKGGLEPRRLVRGVKGRSISMKAPTTSRAKQGPFKTMPLRWSFGSKEKPPGASVELVEYLESRRRPRSTSQSIVSLLTGTAGEDEKSASPRSNVALPANSEDGGRAIERGPAGVPCPSAQPNHCLAPGNSDGPNTARKLKENAGQDIKLPRKFDLPLTVMPSVEHEKPARPEGQKAMNWKESFQMGSKSSPPSPYMGFSGNSKDSRRGTSELDRPLQGTLTLLRSVFRKKENRRNERAEVSPQVPPVSLVSGGLSPAMDGQAPGSPPALRIPEGLARGLGSRLERDVWSAPSSLRLPRKASRAPRGSALGMSQRTVPGEQASYGTFQRVKYHTLSLGRKKTLPESSF
+>DECOY_sp|Q70EL4|UBP43_HUMAN Ubiquitin carboxyl-terminal hydrolase 43 OS=Homo sapiens OX=9606 GN=USP43 PE=1 SV=2
+FSSEPLTKKRGLSLTHYKVRQFTGYSAQEGPVTRQSMGLASGRPARSAKRPLRLSSPASWVDRELRSGLGRALGEPIRLAPPSGPAQGDMAPSLGGSVLSVPPVQPSVEARENRRNEKKRFVSRLLTLTGQLPRDLESTGRRSDKSNGSFGMYPSPPSSKSGMQFSEKWNMAKQGEPRAPKEHEVSPMVTLPLDFKRPLKIDQGANEKLKRATNPGDSNGPALCHNPQASPCPVGAPGREIARGGDESNAPLAVNSRPSASKEDEGATGTLLSVISQSTSRPRRRSELYEVLEVSAGPPKEKSGFSWRLPMTKFPGQKARSTTPAKMSISRGKVGRVLRRPELGGKEQNCLSNTFIPSDPVQPLSPCPASSWSLLSGRTSGAHSGLRLLWHDSLSSSTSGRMSSSASWPPISNRKQYFLIYAGRTNVEDERLPEVTSDDYSYWQGDLSNRCYATYHGGQLNGHHNCVAYLDYLFDLPYSTPLCDPQKWSPWPGLGAEPSTSRQAVHPAMNLGSLPFKVLTSLKNRREGVQCFRKLHIILIDPLTWLSLKVMGQQLVQCHPCKWADDQALQEEKTYFQFCEDLTCSHQQHAQQQQWVSDADQAREEQLSGFLREKVSSDWEVALKVHPPGGPRRLHLVRDVAWHCLPRSDKPSLYSCAVSLGVVRISFLSGLNQVPAESKMLHRVKSLISQQLQAWSVARDERILFPPGFRSAQQGSGVLNCFLILVKSESHLSLSFRQGERAALRPSASLGLPHASLTGQSPSPPVQFAYVNDGEAITNLDEEDFFSRQFGSPYLEVLIVEDAPVGGEEAVMKRLAAVTSLIPVALGVRLFRQSKSPFVLTVSLFRTQRLPIPLSVCLFPDFTNSQKLCHPCTLSSRYQAQFHSQVFSQGLPLQASPSLCNESTKTAEPPLKESVPGRSSGELDEHVRDLLWLLFELADHQSNGQFQSGYKSVANKFEASLQPTYERTWLARVLAALQETVEARGPAARYRGLALFEALLDTNSLCQVVANMFCTNGHNKLGQAGPPRAGDGAPLQLQPQPGPPREEGPSGPAAPVPGPACAFGGEGDGDCHGPQPRPPSDGPRSRSGLALLFRSFLRRLSRRRRPRPALPGGGAADGPGLDM
+>sp|Q70EL2|UBP45_HUMAN Ubiquitin carboxyl-terminal hydrolase 45 OS=Homo sapiens OX=9606 GN=USP45 PE=1 SV=3
+MRVKDPTKALPEKAKRSKRPTVPHDEDSSDDIAVGLTCQHVSHAISVNHVKRAIAENLWSVCSECLKERRFYDGQLVLTSDIWLCLKCGFQGCGKNSESQHSLKHFKSSRTEPHCIIINLSTWIIWCYECDEKLSTHCNKKVLAQIVDFLQKHASKTQTSAFSRIMKLCEEKCETDEIQKGGKCRNLSVRGITNLGNTCFFNAVMQNLAQTYTLTDLMNEIKESSTKLKIFPSSDSQLDPLVVELSRPGPLTSALFLFLHSMKETEKGPLSPKVLFNQLCQKAPRFKDFQQQDSQELLHYLLDAVRTEETKRIQASILKAFNNPTTKTADDETRKKVKAYGKEGVKMNFIDRIFIGELTSTVMCEECANISTVKDPFIDISLPIIEERVSKPLLWGRMNKYRSLRETDHDRYSGNVTIENIHQPRAAKKHSSSKDKSQLIHDRKCIRKLSSGETVTYQKNENLEMNGDSLMFASLMNSESRLNESPTDDSEKEASHSESNVDADSEPSESESASKQTGLFRSSSGSGVQPDGPLYPLSAGKLLYTKETDSGDKEMAEAISELRLSSTVTGDQDFDRENQPLNISNNLCFLEGKHLRSYSPQNAFQTLSQSYITTSKECSIQSCLYQFTSMELLMGNNKLLCENCTKNKQKYQEETSFAEKKVEGVYTNARKQLLISAVPAVLILHLKRFHQAGLSLRKVNRHVDFPLMLDLAPFCSATCKNASVGDKVLYGLYGIVEHSGSMREGHYTAYVKVRTPSRKLSEHNTKKKNVPGLKAADNESAGQWVHVSDTYLQVVPESRALSAQAYLLFYERVL
+>DECOY_sp|Q70EL2|UBP45_HUMAN Ubiquitin carboxyl-terminal hydrolase 45 OS=Homo sapiens OX=9606 GN=USP45 PE=1 SV=3
+LVREYFLLYAQASLARSEPVVQLYTDSVHVWQGASENDAAKLGPVNKKKTNHESLKRSPTRVKVYATYHGERMSGSHEVIGYLGYLVKDGVSANKCTASCFPALDLMLPFDVHRNVKRLSLGAQHFRKLHLILVAPVASILLQKRANTYVGEVKKEAFSTEEQYKQKNKTCNECLLKNNGMLLEMSTFQYLCSQISCEKSTTIYSQSLTQFANQPSYSRLHKGELFCLNNSINLPQNERDFDQDGTVTSSLRLESIAEAMEKDGSDTEKTYLLKGASLPYLPGDPQVGSGSSSRFLGTQKSASESESPESDADVNSESHSAEKESDDTPSENLRSESNMLSAFMLSDGNMELNENKQYTVTEGSSLKRICKRDHILQSKDKSSSHKKAARPQHINEITVNGSYRDHDTERLSRYKNMRGWLLPKSVREEIIPLSIDIFPDKVTSINACEECMVTSTLEGIFIRDIFNMKVGEKGYAKVKKRTEDDATKTTPNNFAKLISAQIRKTEETRVADLLYHLLEQSDQQQFDKFRPAKQCLQNFLVKPSLPGKETEKMSHLFLFLASTLPGPRSLEVVLPDLQSDSSPFIKLKTSSEKIENMLDTLTYTQALNQMVANFFCTNGLNTIGRVSLNRCKGGKQIEDTECKEECLKMIRSFASTQTKSAHKQLFDVIQALVKKNCHTSLKEDCEYCWIIWTSLNIIICHPETRSSKFHKLSHQSESNKGCGQFGCKLCLWIDSTLVLQGDYFRREKLCESCVSWLNEAIARKVHNVSIAHSVHQCTLGVAIDDSSDEDHPVTPRKSRKAKEPLAKTPDKVRM
+>sp|Q86UV5|UBP48_HUMAN Ubiquitin carboxyl-terminal hydrolase 48 OS=Homo sapiens OX=9606 GN=USP48 PE=1 SV=1
+MAPRLQLEKAAWRWAETVRPEEVSQEHIETAYRIWLEPCIRGVCRRNCKGNPNCLVGIGEHIWLGEIDENSFHNIDDPNCERRKKNSFVGLTNLGATCYVNTFLQVWFLNLELRQALYLCPSTCSDYMLGDGIQEEKDYEPQTICEHLQYLFALLQNSNRRYIDPSGFVKALGLDTGQQQDAQEFSKLFMSLLEDTLSKQKNPDVRNIVQQQFCGEYAYVTVCNQCGRESKLLSKFYELELNIQGHKQLTDCISEFLKEEKLEGDNRYFCENCQSKQNATRKIRLLSLPCTLNLQLMRFVFDRQTGHKKKLNTYIGFSEILDMEPYVEHKGGSYVYELSAVLIHRGVSAYSGHYIAHVKDPQSGEWYKFNDEDIEKMEGKKLQLGIEEDLAEPSKSQTRKPKCGKGTHCSRNAYMLVYRLQTQEKPNTTVQVPAFLQELVDRDNSKFEEWCIEMAEMRKQSVDKGKAKHEEVKELYQRLPAGAEPYEFVSLEWLQKWLDESTPTKPIDNHACLCSHDKLHPDKISIMKRISEYAADIFYSRYGGGPRLTVKALCKECVVERCRILRLKNQLNEDYKTVNNLLKAAVKGSDGFWVGKSSLRSWRQLALEQLDEQDGDAEQSNGKMNGSTLNKDESKEERKEEEELNFNEDILCPHGELCISENERRLVSKEAWSKLQQYFPKAPEFPSYKECCSQCKILEREGEENEALHKMIANEQKTSLPNLFQDKNRPCLSNWPEDTDVLYIVSQFFVEEWRKFVRKPTRCSPVSSVGNSALLCPHGGLMFTFASMTKEDSKLIALIWPSEWQMIQKLFVVDHVIKITRIEVGDVNPSETQYISEPKLCPECREGLLCQQQRDLREYTQATIYVHKVVDNKKVMKDSAPELNVSSSETEEDKEEAKPDGEKDPDFNQSNGGTKRQKISHQNYIAYQKQVIRRSMRHRKVRGEKALLVSANQTLKELKIQIMHAFSVAPFDQNLSIDGKILSDDCATLGTLGVIPESVILLKADEPIADYAAMDDVMQVCMPEEGFKGTGLLGH
+>DECOY_sp|Q86UV5|UBP48_HUMAN Ubiquitin carboxyl-terminal hydrolase 48 OS=Homo sapiens OX=9606 GN=USP48 PE=1 SV=1
+HGLLGTGKFGEEPMCVQMVDDMAAYDAIPEDAKLLIVSEPIVGLTGLTACDDSLIKGDISLNQDFPAVSFAHMIQIKLEKLTQNASVLLAKEGRVKRHRMSRRIVQKQYAIYNQHSIKQRKTGGNSQNFDPDKEGDPKAEEKDEETESSSVNLEPASDKMVKKNDVVKHVYITAQTYERLDRQQQCLLGERCEPCLKPESIYQTESPNVDGVEIRTIKIVHDVVFLKQIMQWESPWILAILKSDEKTMSAFTFMLGGHPCLLASNGVSSVPSCRTPKRVFKRWEEVFFQSVIYLVDTDEPWNSLCPRNKDQFLNPLSTKQENAIMKHLAENEEGERELIKCQSCCEKYSPFEPAKPFYQQLKSWAEKSVLRRENESICLEGHPCLIDENFNLEEEEKREEKSEDKNLTSGNMKGNSQEADGDQEDLQELALQRWSRLSSKGVWFGDSGKVAAKLLNNVTKYDENLQNKLRLIRCREVVCEKCLAKVTLRPGGGYRSYFIDAAYESIRKMISIKDPHLKDHSCLCAHNDIPKTPTSEDLWKQLWELSVFEYPEAGAPLRQYLEKVEEHKAKGKDVSQKRMEAMEICWEEFKSNDRDVLEQLFAPVQVTTNPKEQTQLRYVLMYANRSCHTGKGCKPKRTQSKSPEALDEEIGLQLKKGEMKEIDEDNFKYWEGSQPDKVHAIYHGSYASVGRHILVASLEYVYSGGKHEVYPEMDLIESFGIYTNLKKKHGTQRDFVFRMLQLNLTCPLSLLRIKRTANQKSQCNECFYRNDGELKEEKLFESICDTLQKHGQINLELEYFKSLLKSERGCQNCVTVYAYEGCFQQQVINRVDPNKQKSLTDELLSMFLKSFEQADQQQGTDLGLAKVFGSPDIYRRNSNQLLAFLYQLHECITQPEYDKEEQIGDGLMYDSCTSPCLYLAQRLELNLFWVQLFTNVYCTAGLNTLGVFSNKKRRECNPDDINHFSNEDIEGLWIHEGIGVLCNPNGKCNRRCVGRICPELWIRYATEIHEQSVEEPRVTEAWRWAAKELQLRPAM
+>sp|Q70EL1|UBP54_HUMAN Inactive ubiquitin carboxyl-terminal hydrolase 54 OS=Homo sapiens OX=9606 GN=USP54 PE=1 SV=4
+MSWKRNYFSGGRGSVQGMFAPRSSTSIAPSKGLSNEPGQNSCFLNSALQVLWHLDIFRRSFRQLTTHKCMGDSCIFCALKGIFNQFQCSSEKVLPSDTLRSALAKTFQDEQRFQLGIMDDAAECFENLLMRIHFHIADETKEDICTAQHCISHQKFAMTLFEQCVCTSCGATSDPLPFIQMVHYISTTSLCNQAICMLERREKPSPSMFGELLQNASTMGDLRNCPSNCGERIRIRRVLMNAPQIITIGLVWDSDHSDLAEDVIHSLGTCLKLGDLFFRVTDDRAKQSELYLVGMICYYGKHYSTFFFQTKIRKWMYFDDAHVKEIGPKWKDVVTKCIKGHYQPLLLLYADPQGTPVSTQDLPPQAEFQSYSRTCYDSEDSGREPSISSDTRTDSSTESYPYKHSHHESVVSHFSSDSQGTVIYNVENDSMSQSSRDTGHLTDSECNQKHTSKKGSLIERKRSSGRVRRKGDEPQASGYHSEGETLKEKQAPRNASKPSSSTNRLRDFKETVSNMIHNRPSLASQTNVGSHCRGRGGDQPDKKPPRTLPLHSRDWEIESTSSESKSSSSSKYRPTWRPKRESLNIDSIFSKDKRKHCGYTQLSPFSEDSAKEFIPDEPSKPPSYDIKFGGPSPQYKRWGPARPGSHLLEQHPRLIQRMESGYESSERNSSSPVSLDAALPESSNVYRDPSAKRSAGLVPSWRHIPKSHSSSILEVDSTASMGGWTKSQPFSGEEISSKSELDELQEEVARRAQEQELRRKREKELEAAKGFNPHPSRFMDLDELQNQGRSDGFERSLQEAESVFEESLHLEQKGDCAAALALCNEAISKLRLALHGASCSTHSRALVDKKLQISIRKARSLQDRMQQQQSPQQPSQPSACLPTQAGTLSQPTSEQPIPLQVLLSQEAQLESGMDTEFGASSFFHSPASCHESHSSLSPESSAPQHSSPSRSALKLLTSVEVDNIEPSAFHRQGLPKAPGWTEKNSHHSWEPLDAPEGKLQGSRCDNSSCSKLPPQEGRGIAQEQLFQEKKDPANPSPVMPGIATSERGDEHSLGCSPSNSSAQPSLPLYRTCHPIMPVASSFVLHCPDPVQKTNQCLQGQSLKTSLTLKVDRGSEETYRPEFPSTKGLVRSLAEQFQRMQGVSMRDSTGFKDRSLSGSLRKNSSPSDSKPPFSQGQEKGHWPWAKQQSSLEGGDRPLSWEESTEHSSLALNSGLPNGETSSGGQPRLAEPDIYQEKLSQVRDVRSKDLGSSTDLGTSLPLDSWVNITRFCDSQLKHGAPRPGMKSSPHDSHTCVTYPERNHILLHPHWNQDTEQETSELESLYQASLQASQAGCSGWGQQDTAWHPLSQTGSADGMGRRLHSAHDPGLSKTSTAEMEHGLHEARTVRTSQATPCRGLSRECGEDEQYSAENLRRISRSLSGTVVSEREEAPVSSHSFDSSNVRKPLETGHRCSSSSSLPVIHDPSVFLLGPQLYLPQPQFLSPDVLMPTMAGEPNRLPGTSRSVQQFLAMCDRGETSQGAKYTGRTLNYQSLPHRSRTDNSWAPWSETNQHIGTRFLTTPGCNPQLTYTATLPERSKGLQVPHTQSWSDLFHSPSHPPIVHPVYPPSSSLHVPLRSAWNSDPVPGSRTPGPRRVDMPPDDDWRQSSYASHSGHRRTVGEGFLFVLSDAPRREQIRARVLQHSQW
+>DECOY_sp|Q70EL1|UBP54_HUMAN Inactive ubiquitin carboxyl-terminal hydrolase 54 OS=Homo sapiens OX=9606 GN=USP54 PE=1 SV=4
+WQSHQLVRARIQERRPADSLVFLFGEGVTRRHGSHSAYSSQRWDDDPPMDVRRPGPTRSGPVPDSNWASRLPVHLSSSPPYVPHVIPPHSPSHFLDSWSQTHPVQLGKSREPLTATYTLQPNCGPTTLFRTGIHQNTESWPAWSNDTRSRHPLSQYNLTRGTYKAGQSTEGRDCMALFQQVSRSTGPLRNPEGAMTPMLVDPSLFQPQPLYLQPGLLFVSPDHIVPLSSSSSCRHGTELPKRVNSSDFSHSSVPAEERESVVTGSLSRSIRRLNEASYQEDEGCERSLGRCPTAQSTRVTRAEHLGHEMEATSTKSLGPDHASHLRRGMGDASGTQSLPHWATDQQGWGSCGAQSAQLSAQYLSELESTEQETDQNWHPHLLIHNREPYTVCTHSDHPSSKMGPRPAGHKLQSDCFRTINVWSDLPLSTGLDTSSGLDKSRVDRVQSLKEQYIDPEALRPQGGSSTEGNPLGSNLALSSHETSEEWSLPRDGGELSSQQKAWPWHGKEQGQSFPPKSDSPSSNKRLSGSLSRDKFGTSDRMSVGQMRQFQEALSRVLGKTSPFEPRYTEESGRDVKLTLSTKLSQGQLCQNTKQVPDPCHLVFSSAVPMIPHCTRYLPLSPQASSNSPSCGLSHEDGRESTAIGPMVPSPNAPDKKEQFLQEQAIGRGEQPPLKSCSSNDCRSGQLKGEPADLPEWSHHSNKETWGPAKPLGQRHFASPEINDVEVSTLLKLASRSPSSHQPASSEPSLSSHSEHCSAPSHFFSSAGFETDMGSELQAEQSLLVQLPIPQESTPQSLTGAQTPLCASPQSPQQPSQQQQMRDQLSRAKRISIQLKKDVLARSHTSCSAGHLALRLKSIAENCLALAAACDGKQELHLSEEFVSEAEQLSREFGDSRGQNQLEDLDMFRSPHPNFGKAAELEKERKRRLEQEQARRAVEEQLEDLESKSSIEEGSFPQSKTWGGMSATSDVELISSSHSKPIHRWSPVLGASRKASPDRYVNSSEPLAADLSVPSSSNRESSEYGSEMRQILRPHQELLHSGPRAPGWRKYQPSPGGFKIDYSPPKSPEDPIFEKASDESFPSLQTYGCHKRKDKSFISDINLSERKPRWTPRYKSSSSSKSESSTSEIEWDRSHLPLTRPPKKDPQDGGRGRCHSGVNTQSALSPRNHIMNSVTEKFDRLRNTSSSPKSANRPAQKEKLTEGESHYGSAQPEDGKRRVRGSSRKREILSGKKSTHKQNCESDTLHGTDRSSQSMSDNEVNYIVTGQSDSSFHSVVSEHHSHKYPYSETSSDTRTDSSISPERGSDESDYCTRSYSQFEAQPPLDQTSVPTGQPDAYLLLLPQYHGKICKTVVDKWKPGIEKVHADDFYMWKRIKTQFFFTSYHKGYYCIMGVLYLESQKARDDTVRFFLDGLKLCTGLSHIVDEALDSHDSDWVLGITIIQPANMLVRRIRIREGCNSPCNRLDGMTSANQLLEGFMSPSPKERRELMCIAQNCLSTTSIYHVMQIFPLPDSTAGCSTCVCQEFLTMAFKQHSICHQATCIDEKTEDAIHFHIRMLLNEFCEAADDMIGLQFRQEDQFTKALASRLTDSPLVKESSCQFQNFIGKLACFICSDGMCKHTTLQRFSRRFIDLHWLVQLASNLFCSNQGPENSLGKSPAISTSSRPAFMGQVSGRGGSFYNRKWSM
+>sp|P45974|UBP5_HUMAN Ubiquitin carboxyl-terminal hydrolase 5 OS=Homo sapiens OX=9606 GN=USP5 PE=1 SV=2
+MAELSEEALLSVLPTIRVPKAGDRVHKDECAFSFDTPESEGGLYICMNTFLGFGKQYVERHFNKTGQRVYLHLRRTRRPKEEDPATGTGDPPRKKPTRLAIGVEGGFDLSEEKFELDEDVKIVILPDYLEIARDGLGGLPDIVRDRVTSAVEALLSADSASRKQEVQAWDGEVRQVSKHAFSLKQLDNPARIPPCGWKCSKCDMRENLWLNLTDGSILCGRRYFDGSGGNNHAVEHYRETGYPLAVKLGTITPDGADVYSYDEDDMVLDPSLAEHLSHFGIDMLKMQKTDKTMTELEIDMNQRIGEWELIQESGVPLKPLFGPGYTGIRNLGNSCYLNSVVQVLFSIPDFQRKYVDKLEKIFQNAPTDPTQDFSTQVAKLGHGLLSGEYSKPVPESGDGERVPEQKEVQDGIAPRMFKALIGKGHPEFSTNRQQDAQEFFLHLINMVERNCRSSENPNEVFRFLVEEKIKCLATEKVKYTQRVDYIMQLPVPMDAALNKEELLEYEEKKRQAEEEKMALPELVRAQVPFSSCLEAYGAPEQVDDFWSTALQAKSVAVKTTRFASFPDYLVIQIKKFTFGLDWVPKKLDVSIEMPEELDISQLRGTGLQPGEEELPDIAPPLVTPDEPKGSLGFYGNEDEDSFCSPHFSSPTSPMLDESVIIQLVEMGFPMDACRKAVYYTGNSGAEAAMNWVMSHMDDPDFANPLILPGSSGPGSTSAAADPPPEDCVTTIVSMGFSRDQALKALRATNNSLERAVDWIFSHIDDLDAEAAMDISEGRSAADSISESVPVGPKVRDGPGKYQLFAFISHMGTSTMCGHYVCHIKKEGRWVIYNDQKVCASEKPPKDLGYIYFYQRVAS
+>DECOY_sp|P45974|UBP5_HUMAN Ubiquitin carboxyl-terminal hydrolase 5 OS=Homo sapiens OX=9606 GN=USP5 PE=1 SV=2
+SAVRQYFYIYGLDKPPKESACVKQDNYIVWRGEKKIHCVYHGCMTSTGMHSIFAFLQYKGPGDRVKPGVPVSESISDAASRGESIDMAAEADLDDIHSFIWDVARELSNNTARLAKLAQDRSFGMSVITTVCDEPPPDAAASTSGPGSSGPLILPNAFDPDDMHSMVWNMAAEAGSNGTYYVAKRCADMPFGMEVLQIIVSEDLMPSTPSSFHPSCFSDEDENGYFGLSGKPEDPTVLPPAIDPLEEEGPQLGTGRLQSIDLEEPMEISVDLKKPVWDLGFTFKKIQIVLYDPFSAFRTTKVAVSKAQLATSWFDDVQEPAGYAELCSSFPVQARVLEPLAMKEEEAQRKKEEYELLEEKNLAADMPVPLQMIYDVRQTYKVKETALCKIKEEVLFRFVENPNESSRCNREVMNILHLFFEQADQQRNTSFEPHGKGILAKFMRPAIGDQVEKQEPVREGDGSEPVPKSYEGSLLGHGLKAVQTSFDQTPDTPANQFIKELKDVYKRQFDPISFLVQVVSNLYCSNGLNRIGTYGPGFLPKLPVGSEQILEWEGIRQNMDIELETMTKDTKQMKLMDIGFHSLHEALSPDLVMDDEDYSYVDAGDPTITGLKVALPYGTERYHEVAHNNGGSGDFYRRGCLISGDTLNLWLNERMDCKSCKWGCPPIRAPNDLQKLSFAHKSVQRVEGDWAQVEQKRSASDASLLAEVASTVRDRVIDPLGGLGDRAIELYDPLIVIKVDEDLEFKEESLDFGGEVGIALRTPKKRPPDGTGTAPDEEKPRRTRRLHLYVRQGTKNFHREVYQKGFGLFTNMCIYLGGESEPTDFSFACEDKHVRDGAKPVRITPLVSLLAEESLEAM
+>sp|Q9UHD9|UBQL2_HUMAN Ubiquilin-2 OS=Homo sapiens OX=9606 GN=UBQLN2 PE=1 SV=2
+MAENGESSGPPRPSRGPAAAQGSAAAPAEPKIIKVTVKTPKEKEEFAVPENSSVQQFKEAISKRFKSQTDQLVLIFAGKILKDQDTLIQHGIHDGLTVHLVIKSQNRPQGQSTQPSNAAGTNTTSASTPRSNSTPISTNSNPFGLGSLGGLAGLSSLGLSSTNFSELQSQMQQQLMASPEMMIQIMENPFVQSMLSNPDLMRQLIMANPQMQQLIQRNPEISHLLNNPDIMRQTLEIARNPAMMQEMMRNQDLALSNLESIPGGYNALRRMYTDIQEPMLNAAQEQFGGNPFASVGSSSSSGEGTQPSRTENRDPLPNPWAPPPATQSSATTSTTTSTGSGSGNSSSNATGNTVAAANYVASIFSTPGMQSLLQQITENPQLIQNMLSAPYMRSMMQSLSQNPDLAAQMMLNSPLFTANPQLQEQMRPQLPAFLQQMQNPDTLSAMSNPRAMQALMQIQQGLQTLATEAPGLIPSFTPGVGVGVLGTAIGPVGPVTPIGPIGPIVPFTPIGPIGPIGPTGPAAPPGSTGSGGPTGPTVSSAAPSETTSPTSESGPNQQFIQQMVQALAGANAPQLPNPEVRFQQQLEQLNAMGFLNREANLQALIATGGDINAAIERLLGSQPS
+>DECOY_sp|Q9UHD9|UBQL2_HUMAN Ubiquilin-2 OS=Homo sapiens OX=9606 GN=UBQLN2 PE=1 SV=2
+SPQSGLLREIAANIDGGTAILAQLNAERNLFGMANLQELQQQFRVEPNPLQPANAGALAQVMQQIFQQNPGSESTPSTTESPAASSVTPGTPGGSGTSGPPAAPGTPGIPGIPGIPTFPVIPGIPGIPTVPGVPGIATGLVGVGVGPTFSPILGPAETALTQLGQQIQMLAQMARPNSMASLTDPNQMQQLFAPLQPRMQEQLQPNATFLPSNLMMQAALDPNQSLSQMMSRMYPASLMNQILQPNETIQQLLSQMGPTSFISAVYNAAAVTNGTANSSSNGSGSGTSTTTSTTASSQTAPPPAWPNPLPDRNETRSPQTGEGSSSSSGVSAFPNGGFQEQAANLMPEQIDTYMRRLANYGGPISELNSLALDQNRMMEQMMAPNRAIELTQRMIDPNNLLHSIEPNRQILQQMQPNAMILQRMLDPNSLMSQVFPNEMIQIMMEPSAMLQQQMQSQLESFNTSSLGLSSLGALGGLSGLGFPNSNTSIPTSNSRPTSASTTNTGAANSPQTSQGQPRNQSKIVLHVTLGDHIGHQILTDQDKLIKGAFILVLQDTQSKFRKSIAEKFQQVSSNEPVAFEEKEKPTKVTVKIIKPEAPAAASGQAAAPGRSPRPPGSSEGNEAM
+>sp|P57075|UBS3A_HUMAN Ubiquitin-associated and SH3 domain-containing protein A OS=Homo sapiens OX=9606 GN=UBASH3A PE=1 SV=1
+MAAGETQLYAKVSNKLKSRSSPSLLEPLLAMGFPVHTALKALAATGRKTAEEALAWLHDHCNDPSLDDPIPQEYALFLCPTGPLLEKLQEFWRESKRQCAKNRAHEVFPHVTLCDFFTCEDQKVECLYEALKRAGDRLLGSFPTAVPLALHSSISYLGFFVSGSPADVIREFAMTFATEASLLAGTSVSRFWIFSQVPGHGPNLRLSNLTRASFVSHYILQKYCSVKPCTKQLHLTLAHKFYPHHQRTLEQLARAIPLGHSCQWTAALYSRDMRFVHYQTLRALFQYKPQNVDELTLSPGDYIFVDPTQQDEASEGWVIGISQRTGCRGFLPENYTDRASESDTWVKHRMYTFSLATDLNSRKDGEASSRCSGEFLPQTARSLSSLQALQATVARKSVLVVRHGERVDQIFGKAWLQQCSTPDGKYYRPDLNFPCSLPRRSRGIKDFENDPPLSSCGIFQSRIAGDALLDSGIRISSVFASPALRCVQTAKLILEELKLEKKIKIRVEPGIFEWTKWEAGKTTPTLMSLEELKEANFNIDTDYRPAFPLSALMPAESYQEYMDRCTASMVQIVNTCPQDTGVILIVSHGSTLDSCTRPLLGLPPRECGDFAQLVRKIPSLGMCFCEENKEEGKWELVNPPVKTLTHGANAAFNWRNWISGN
+>DECOY_sp|P57075|UBS3A_HUMAN Ubiquitin-associated and SH3 domain-containing protein A OS=Homo sapiens OX=9606 GN=UBASH3A PE=1 SV=1
+NGSIWNRWNFAANAGHTLTKVPPNVLEWKGEEKNEECFCMGLSPIKRVLQAFDGCERPPLGLLPRTCSDLTSGHSVILIVGTDQPCTNVIQVMSATCRDMYEQYSEAPMLASLPFAPRYDTDINFNAEKLEELSMLTPTTKGAEWKTWEFIGPEVRIKIKKELKLEELILKATQVCRLAPSAFVSSIRIGSDLLADGAIRSQFIGCSSLPPDNEFDKIGRSRRPLSCPFNLDPRYYKGDPTSCQQLWAKGFIQDVREGHRVVLVSKRAVTAQLAQLSSLSRATQPLFEGSCRSSAEGDKRSNLDTALSFTYMRHKVWTDSESARDTYNEPLFGRCGTRQSIGIVWGESAEDQQTPDVFIYDGPSLTLEDVNQPKYQFLARLTQYHVFRMDRSYLAATWQCSHGLPIARALQELTRQHHPYFKHALTLHLQKTCPKVSCYKQLIYHSVFSARTLNSLRLNPGHGPVQSFIWFRSVSTGALLSAETAFTMAFERIVDAPSGSVFFGLYSISSHLALPVATPFSGLLRDGARKLAEYLCEVKQDECTFFDCLTVHPFVEHARNKACQRKSERWFEQLKELLPGTPCLFLAYEQPIPDDLSPDNCHDHLWALAEEATKRGTAALAKLATHVPFGMALLPELLSPSSRSKLKNSVKAYLQTEGAAM
+>sp|Q8WUN7|UBTD2_HUMAN Ubiquitin domain-containing protein 2 OS=Homo sapiens OX=9606 GN=UBTD2 PE=1 SV=2
+MGGCVGAQHDSSGSLNENSEGTGVALGRNQPLKKEKPKWKSDYPMTDGQLRSKRDEFWDTAPAFEGRKEIWDALKAAAHAFESNDHELAQAIIDGANITLPHGALTECYDELGNRYQLPVYCLAPPINMIEEKSDIETLDIPEPPPNSGYECQLRLRLSTGKDLKLVVRSTDTVFHMKRRLHAAEGVEPGSQRWFFSGRPLTDKMKFEELKIPKDYVVQVIVSQPVQNPTPVEN
+>DECOY_sp|Q8WUN7|UBTD2_HUMAN Ubiquitin domain-containing protein 2 OS=Homo sapiens OX=9606 GN=UBTD2 PE=1 SV=2
+NEVPTPNQVPQSVIVQVVYDKPIKLEEFKMKDTLPRGSFFWRQSGPEVGEAAHLRRKMHFVTDTSRVVLKLDKGTSLRLRLQCEYGSNPPPEPIDLTEIDSKEEIMNIPPALCYVPLQYRNGLEDYCETLAGHPLTINAGDIIAQALEHDNSEFAHAAAKLADWIEKRGEFAPATDWFEDRKSRLQGDTMPYDSKWKPKEKKLPQNRGLAVGTGESNENLSGSSDHQAGVCGGM
+>sp|Q96LJ8|UBX10_HUMAN UBX domain-containing protein 10 OS=Homo sapiens OX=9606 GN=UBXN10 PE=1 SV=1
+MATEAPVNIAPPECSTVVSTAVDSLIWQPNSLNMHMIRPKSAKGRTRPSLQKSQGVEVCAHHIPSPPPAIPYELPSSQKPGACAPKSPNQGASDEIPELQQQVPTGASSSLNKYPVLPSINRKNLEEEAVETVAKKASSLQLSSIRALYQDETGTMKTSEEDSRARACAVERKFIVRTKKQGSSRAGNLEEPSDQEPRLLLAVRSPTGQRFVRHFRPTDDLQTIVAVAEQKNKTSYRHCSIETMEVPRRRFSDLTKSLQECRIPHKSVLGISLEDGEGWP
+>DECOY_sp|Q96LJ8|UBX10_HUMAN UBX domain-containing protein 10 OS=Homo sapiens OX=9606 GN=UBXN10 PE=1 SV=1
+PWGEGDELSIGLVSKHPIRCEQLSKTLDSFRRRPVEMTEISCHRYSTKNKQEAVAVITQLDDTPRFHRVFRQGTPSRVALLLRPEQDSPEELNGARSSGQKKTRVIFKREVACARARSDEESTKMTGTEDQYLARISSLQLSSAKKAVTEVAEEELNKRNISPLVPYKNLSSSAGTPVQQQLEPIEDSAGQNPSKPACAGPKQSSPLEYPIAPPPSPIHHACVEVGQSKQLSPRTRGKASKPRIMHMNLSNPQWILSDVATSVVTSCEPPAINVPAETAM
+>sp|Q9NWZ5|UCKL1_HUMAN Uridine-cytidine kinase-like 1 OS=Homo sapiens OX=9606 GN=UCKL1 PE=1 SV=2
+MAAPPARADADPSPTSPPTARDTPGRQAEKSETACEDRSNAESLDRLLPPVGTGRSPRKRTTSQCKSEPPLLRTSKRTIYTAGRPPWYNEHGTQSKEAFAIGLGGGSASGKTTVARMIIEALDVPWVVLLSMDSFYKVLTEQQQEQAAHNNFNFDHPDAFDFDLIISTLKKLKQGKSVKVPIYDFTTHSRKKDWKTLYGANVIIFEGIMAFADKTLLELLDMKIFVDTDSDIRLVRRLRRDISERGRDIEGVIKQYNKFVKPSFDQYIQPTMRLADIVVPRGSGNTVAIDLIVQHVHSQLEERELSVRAALASAHQCHPLPRTLSVLKSTPQVRGMHTIIRDKETSRDEFIFYSKRLMRLLIEHALSFLPFQDCVVQTPQGQDYAGKCYAGKQITGVSILRAGETMEPALRAVCKDVRIGTILIQTNQLTGEPELHYLRLPKDISDDHVILMDCTVSTGAAAMMAVRVLLDHDVPEDKIFLLSLLMAEMGVHSVAYAFPRVRIITTAVDKRVNDLFRIIPGIGNFGDRYFGTDAVPDGSDEEEVAYTG
+>DECOY_sp|Q9NWZ5|UCKL1_HUMAN Uridine-cytidine kinase-like 1 OS=Homo sapiens OX=9606 GN=UCKL1 PE=1 SV=2
+GTYAVEEEDSGDPVADTGFYRDGFNGIGPIIRFLDNVRKDVATTIIRVRPFAYAVSHVGMEAMLLSLLFIKDEPVDHDLLVRVAMMAAAGTSVTCDMLIVHDDSIDKPLRLYHLEPEGTLQNTQILITGIRVDKCVARLAPEMTEGARLISVGTIQKGAYCKGAYDQGQPTQVVCDQFPLFSLAHEILLRMLRKSYFIFEDRSTEKDRIITHMGRVQPTSKLVSLTRPLPHCQHASALAARVSLEREELQSHVHQVILDIAVTNGSGRPVVIDALRMTPQIYQDFSPKVFKNYQKIVGEIDRGRESIDRRLRRVLRIDSDTDVFIKMDLLELLTKDAFAMIGEFIIVNAGYLTKWDKKRSHTTFDYIPVKVSKGQKLKKLTSIILDFDFADPHDFNFNNHAAQEQQQETLVKYFSDMSLLVVWPVDLAEIIMRAVTTKGSASGGGLGIAFAEKSQTGHENYWPPRGATYITRKSTRLLPPESKCQSTTRKRPSRGTGVPPLLRDLSEANSRDECATESKEAQRGPTDRATPPSTPSPDADARAPPAAM
+>sp|Q9Y3C8|UFC1_HUMAN Ubiquitin-fold modifier-conjugating enzyme 1 OS=Homo sapiens OX=9606 GN=UFC1 PE=1 SV=3
+MADEATRRVVSEIPVLKTNAGPRDRELWVQRLKEEYQSLIRYVENNKNADNDWFRLESNKEGTRWFGKCWYIHDLLKYEFDIEFDIPITYPTTAPEIAVPELDGKTAKMYRGGKICLTDHFKPLWARNVPKFGLAHLMALGLGPWLAVEIPDLIQKGVIQHKEKCNQ
+>DECOY_sp|Q9Y3C8|UFC1_HUMAN Ubiquitin-fold modifier-conjugating enzyme 1 OS=Homo sapiens OX=9606 GN=UFC1 PE=1 SV=3
+QNCKEKHQIVGKQILDPIEVALWPGLGLAMLHALGFKPVNRAWLPKFHDTLCIKGGRYMKATKGDLEPVAIEPATTPYTIPIDFEIDFEYKLLDHIYWCKGFWRTGEKNSELRFWDNDANKNNEVYRILSQYEEKLRQVWLERDRPGANTKLVPIESVVRRTAEDAM
+>sp|Q9NUQ7|UFSP2_HUMAN Ufm1-specific protease 2 OS=Homo sapiens OX=9606 GN=UFSP2 PE=1 SV=3
+MVISESMDILFRIRGGLDLAFQLATPNEIFLKKALKHVLSDLSTKLSSNALVFRICHSSVYIWPSSDINTIPGELTDASACKNILRFIQFEPEEDIKRKFMRKKDKKLSDMHQIVNIDLMLEMSTSLAAVTPIIERESGGHHYVNMTLPVDAVISVAPEETWGKVRKLLVDAIHNQLTDMEKCILKYMKGTSIVVPEPLHFLLPGKKNLVTISYPSGIPDGQLQAYRKELHDLFNLPHDRPYFKRSNAYHFPDEPYKDGYIRNPHTYLNPPNMETGMIYVVQGIYGYHHYMQDRIDDNGWGCAYRSLQTICSWFKHQGYTERSIPTHREIQQALVDAGDKPATFVGSRQWIGSIEVQLVLNQLIGITSKILFVSQGSEIASQGRELANHFQSEGTPVMIGGGVLAHTILGVAWNEITGQIKFLILDPHYTGAEDLQVILEKGWCGWKGPDFWNKDAYYNLCLPQRPNMI
+>DECOY_sp|Q9NUQ7|UFSP2_HUMAN Ufm1-specific protease 2 OS=Homo sapiens OX=9606 GN=UFSP2 PE=1 SV=3
+IMNPRQPLCLNYYADKNWFDPGKWGCWGKELIVQLDEAGTYHPDLILFKIQGTIENWAVGLITHALVGGGIMVPTGESQFHNALERGQSAIESGQSVFLIKSTIGILQNLVLQVEISGIWQRSGVFTAPKDGADVLAQQIERHTPISRETYGQHKFWSCITQLSRYACGWGNDDIRDQMYHHYGYIGQVVYIMGTEMNPPNLYTHPNRIYGDKYPEDPFHYANSRKFYPRDHPLNFLDHLEKRYAQLQGDPIGSPYSITVLNKKGPLLFHLPEPVVISTGKMYKLICKEMDTLQNHIADVLLKRVKGWTEEPAVSIVADVPLTMNVYHHGGSEREIIPTVAALSTSMELMLDINVIQHMDSLKKDKKRMFKRKIDEEPEFQIFRLINKCASADTLEGPITNIDSSPWIYVSSHCIRFVLANSSLKTSLDSLVHKLAKKLFIENPTALQFALDLGGRIRFLIDMSESIVM
+>sp|Q96QD9|UIF_HUMAN UAP56-interacting factor OS=Homo sapiens OX=9606 GN=FYTTD1 PE=1 SV=3
+MNRFGTRLVGATATSSPPPKARSNENLDKIDMSLDDIIKLNRKEGKKQNFPRLNRRLLQQSGAQQFRMRVRWGIQQNSGFGKTSLNRRGRVMPGKRRPNGVITGLAARKTTGIRKGISPMNRPPLSDKNIEQYFPVLKRKANLLRQNEGQRKPVAVLKRPSQLSRKNNIPANFTRSGNKLNHQKDTRQATFLFRRGLKVQAQLNTEQLLDDVVAKRTRQWRTSTTNGGILTVSIDNPGAVQCPVTQKPRLTRTAVPSFLTKREQSDVKKVPKGVPLQFDINSVGKQTGMTLNERFGILKEQRATLTYNKGGSRFVTVG
+>DECOY_sp|Q96QD9|UIF_HUMAN UAP56-interacting factor OS=Homo sapiens OX=9606 GN=FYTTD1 PE=1 SV=3
+GVTVFRSGGKNYTLTARQEKLIGFRENLTMGTQKGVSNIDFQLPVGKPVKKVDSQERKTLFSPVATRTLRPKQTVPCQVAGPNDISVTLIGGNTTSTRWQRTRKAVVDDLLQETNLQAQVKLGRRFLFTAQRTDKQHNLKNGSRTFNAPINNKRSLQSPRKLVAVPKRQGENQRLLNAKRKLVPFYQEINKDSLPPRNMPSIGKRIGTTKRAALGTIVGNPRRKGPMVRGRRNLSTKGFGSNQQIGWRVRMRFQQAGSQQLLRRNLRPFNQKKGEKRNLKIIDDLSMDIKDLNENSRAKPPPSSTATAGVLRTGFRNM
+>sp|Q96RL1|UIMC1_HUMAN BRCA1-A complex subunit RAP80 OS=Homo sapiens OX=9606 GN=UIMC1 PE=1 SV=2
+MPRRKKKVKEVSESRNLEKKDVETTSSVSVKRKRRLEDAFIVISDSDGEEPKEENGLQKTKTKQSNRAKCLAKRKIAQMTEEEQFALALKMSEQEAREVNSQEEEEEELLRKAIAESLNSCRPSDASATRSRPLATGPSSQSHQEKTTDSGLTEGIWQLVPPSLFKGSHISQGNEAEEREEPWDHTEKTEEEPVSGSSGSWDQSSQPVFENVNVKSFDRCTGHSAEHTQCGKPQESTGRGSAFLKAVQGSGDTSRHCLPTLADAKGLQDTGGTVNYFWGIPFCPDGVDPNQYTKVILCQLEVYQKSLKMAQRQLLNKKGFGEPVLPRPPSLIQNECGQGEQASEKNECISEDMGDEDKEERQESRASDWHSKTKDFQESSIKSLKEKLLLEEEPTTSHGQSSQGIVEETSEEGNSVPASQSVAALTSKRSLVLMPESSAEEITVCPETQLSSSETFDLEREVSPGSRDILDGVRIIMADKEVGNKEDAEKEVAISTFSSSNQVSCPLCDQCFPPTKIERHAMYCNGLMEEDTVLTRRQKEAKTKSDSGTAAQTSLDIDKNEKCYLCKSLVPFREYQCHVDSCLQLAKADQGDGPEGSGRACSTVEGKWQQRLKNPKEKGHSEGRLLSFLEQSEHKTSDADIKSSETGAFRVPSPGMEEAGCSREMQSSFTRRDLNESPVKSFVSISEATDCLVDFKKQVTVQPGSRTRTKAGRGRRRKF
+>DECOY_sp|Q96RL1|UIMC1_HUMAN BRCA1-A complex subunit RAP80 OS=Homo sapiens OX=9606 GN=UIMC1 PE=1 SV=2
+FKRRRGRGAKTRTRSGPQVTVQKKFDVLCDTAESISVFSKVPSENLDRRTFSSQMERSCGAEEMGPSPVRFAGTESSKIDADSTKHESQELFSLLRGESHGKEKPNKLRQQWKGEVTSCARGSGEPGDGQDAKALQLCSDVHCQYERFPVLSKCLYCKENKDIDLSTQAATGSDSKTKAEKQRRTLVTDEEMLGNCYMAHREIKTPPFCQDCLPCSVQNSSSFTSIAVEKEADEKNGVEKDAMIIRVGDLIDRSGPSVERELDFTESSSLQTEPCVTIEEASSEPMLVLSRKSTLAAVSQSAPVSNGEESTEEVIGQSSQGHSTTPEEELLLKEKLSKISSEQFDKTKSHWDSARSEQREEKDEDGMDESICENKESAQEGQGCENQILSPPRPLVPEGFGKKNLLQRQAMKLSKQYVELQCLIVKTYQNPDVGDPCFPIGWFYNVTGGTDQLGKADALTPLCHRSTDGSGQVAKLFASGRGTSEQPKGCQTHEASHGTCRDFSKVNVNEFVPQSSQDWSGSSGSVPEEETKETHDWPEEREEAENGQSIHSGKFLSPPVLQWIGETLGSDTTKEQHSQSSPGTALPRSRTASADSPRCSNLSEAIAKRLLEEEEEEQSNVERAEQESMKLALAFQEEETMQAIKRKALCKARNSQKTKTKQLGNEEKPEEGDSDSIVIFADELRRKRKVSVSSTTEVDKKELNRSESVEKVKKKRRPM
+>sp|Q5VY80|ULBP6_HUMAN UL16-binding protein 6 OS=Homo sapiens OX=9606 GN=RAET1L PE=1 SV=1
+MAAAAIPALLLCLPLLFLLFGWSRARRDDPHSLCYDITVIPKFRPGPRWCAVQGQVDEKTFLHYDCGNKTVTPVSPLGKKLNVTMAWKAQNPVLREVVDILTEQLLDIQLENYTPKEPLTLQARMSCEQKAEGHSSGSWQFSIDGQTFLLFDSEKRMWTTVHPGARKMKEKWENDKDVAMSFHYISMGDCIGWLEDFLMGMDSTLEPSAGAPLAMSSGTTQLRATATTLILCCLLIILPCFILPGI
+>DECOY_sp|Q5VY80|ULBP6_HUMAN UL16-binding protein 6 OS=Homo sapiens OX=9606 GN=RAET1L PE=1 SV=1
+IGPLIFCPLIILLCCLILTTATARLQTTGSSMALPAGASPELTSDMGMLFDELWGICDGMSIYHFSMAVDKDNEWKEKMKRAGPHVTTWMRKESDFLLFTQGDISFQWSGSSHGEAKQECSMRAQLTLPEKPTYNELQIDLLQETLIDVVERLVPNQAKWAMTVNLKKGLPSVPTVTKNGCDYHLFTKEDVQGQVACWRPGPRFKPIVTIDYCLSHPDDRRARSWGFLLFLLPLCLLLAPIAAAAM
+>sp|Q9BVJ6|UT14A_HUMAN U3 small nucleolar RNA-associated protein 14 homolog A OS=Homo sapiens OX=9606 GN=UTP14A PE=1 SV=1
+MTANRLAESLLALSQQEELADLPKDYLLSESEDEGDNDGERKHQKLLEAISSLDGKNRRKLAERSEASLKVSEFNVSSEGSGEKLVLADLLEPVKTSSSLATVKKQLSRVKSKKTVELPLNKEEIERIHREVAFNKTAQVLSKWDPVVLKNRQAEQLVFPLEKEEPAIAPIEHVLSGWKARTPLEQEIFNLLHKNKQPVTDPLLTPVEKASLRAMSLEEAKMRRAELQRARALQSYYEAKARREKKIKSKKYHKVVKKGKAKKALKEFEQLRKVNPAAALEELEKIEKARMMERMSLKHQNSGKWAKSKAIMAKYDLEARQAMQEQLSKNKELTQKLQVASESEEEEGGTEDVEELLVPDVVNEVQMNADGPNPWMLRSCTSDTKEAATQEDPEQLPELEAHGVSESEGEERPVAEEEILLREFEERRSLRKRSELSQDAEPAGSQETKDSGSQEVLSELRVLSQKLKENHQSRKQKASSEGTIPQVQREEPAPEEEEPLLLQRPERVQTLEELEELGKEECFQNKELPRPVLEGQQSERTPNNRPDAPKEKKKKEQMIDLQNLLTTQSPSVKSLAVPTIEELEDEEERNHRQMIKEAFAGDDVIRDFLKEKREAVEASKPKDVDLTLPGWGEWGGVGLKPSAKKRRRFLIKAPEGPPRKDKNLPNVIINEKRNIHAAAHQVRVLPYPFTHHWQFERTIQTPIGSTWNTQRAFQKLTTPKVVTKPGHIINPIKAEDVGYRSSSRSDLSVIQRNPKRITTRHKKQLKKCSVD
+>DECOY_sp|Q9BVJ6|UT14A_HUMAN U3 small nucleolar RNA-associated protein 14 homolog A OS=Homo sapiens OX=9606 GN=UTP14A PE=1 SV=1
+DVSCKKLQKKHRTTIRKPNRQIVSLDSRSSSRYGVDEAKIPNIIHGPKTVVKPTTLKQFARQTNWTSGIPTQITREFQWHHTFPYPLVRVQHAAAHINRKENIIVNPLNKDKRPPGEPAKILFRRRKKASPKLGVGGWEGWGPLTLDVDKPKSAEVAERKEKLFDRIVDDGAFAEKIMQRHNREEEDELEEITPVALSKVSPSQTTLLNQLDIMQEKKKKEKPADPRNNPTRESQQGELVPRPLEKNQFCEEKGLEELEELTQVREPRQLLLPEEEEPAPEERQVQPITGESSAKQKRSQHNEKLKQSLVRLESLVEQSGSDKTEQSGAPEADQSLESRKRLSRREEFERLLIEEEAVPREEGESESVGHAELEPLQEPDEQTAAEKTDSTCSRLMWPNPGDANMQVENVVDPVLLEEVDETGGEEEESESAVQLKQTLEKNKSLQEQMAQRAELDYKAMIAKSKAWKGSNQHKLSMREMMRAKEIKELEELAAAPNVKRLQEFEKLAKKAKGKKVVKHYKKSKIKKERRAKAEYYSQLARARQLEARRMKAEELSMARLSAKEVPTLLPDTVPQKNKHLLNFIEQELPTRAKWGSLVHEIPAIAPEEKELPFVLQEAQRNKLVVPDWKSLVQATKNFAVERHIREIEEKNLPLEVTKKSKVRSLQKKVTALSSSTKVPELLDALVLKEGSGESSVNFESVKLSAESREALKRRNKGDLSSIAELLKQHKREGDNDGEDESESLLYDKPLDALEEQQSLALLSEALRNATM
+>sp|Q5T230|UTF1_HUMAN Undifferentiated embryonic cell transcription factor 1 OS=Homo sapiens OX=9606 GN=UTF1 PE=1 SV=1
+MLLRPRRPPPLAPPAPPSPASPDPEPRTPGDAPGTPPRRPASPSALGELGLPVSPGSAQRTPWSARETELLLGTLLQPAVWRALLLDRRQALPTYRRVSAALAQQQVRRTPAQCRRRYKFLKDKFREAHGQPPGPFDEQIRKLMGLLGDNGRKRPRRRSPGSGRPQRARRPVPNAHAPAPSEPDATPLPTARDRDADPTWTLRFSPSPPKSADASPAPGSPPAPAPTALATCIPEDRAPVRGPGSPPPPPAREDPDSPPGRPEDCAPPPAAPPSLNTALLQTLGHLGDIANILGPLRDQLLTLNQHVEQLRGAFDQTVSLAVGFILGSAAAERGVLRDPCQ
+>DECOY_sp|Q5T230|UTF1_HUMAN Undifferentiated embryonic cell transcription factor 1 OS=Homo sapiens OX=9606 GN=UTF1 PE=1 SV=1
+QCPDRLVGREAAASGLIFGVALSVTQDFAGRLQEVHQNLTLLQDRLPGLINAIDGLHGLTQLLATNLSPPAAPPPACDEPRGPPSDPDERAPPPPPSGPGRVPARDEPICTALATPAPAPPSGPAPSADASKPPSPSFRLTWTPDADRDRATPLPTADPESPAPAHANPVPRRARQPRGSGPSRRRPRKRGNDGLLGMLKRIQEDFPGPPQGHAERFKDKLFKYRRRCQAPTRRVQQQALAASVRRYTPLAQRRDLLLARWVAPQLLTGLLLETERASWPTRQASGPSVPLGLEGLASPSAPRRPPTGPADGPTRPEPDPSAPSPPAPPALPPPRRPRLLM
+>sp|Q9Y3A2|UTP11_HUMAN Probable U3 small nucleolar RNA-associated protein 11 OS=Homo sapiens OX=9606 GN=UTP11 PE=1 SV=2
+MAAAFRKAAKSRQREHRERSQPGFRKHLGLLEKKKDYKLRADDYRKKQEYLKALRKKALEKNPDEFYYKMTRVKLQDGVHIIKETKEEVTPEQLKLMRTQDVKYIEMKRVAEAKKIERLKSELHLLDFQGKQQNKHVFFFDTKKEVEQFDVATHLQTAPELVDRVFNRPRIETLQKEKVKGVTNQTGLKRIAKERQKQYNCLTQRIEREKKLFVIAQKIQTRKDLMDKTQKVKVKKETVNSPAIYKFQSRRKR
+>DECOY_sp|Q9Y3A2|UTP11_HUMAN Probable U3 small nucleolar RNA-associated protein 11 OS=Homo sapiens OX=9606 GN=UTP11 PE=1 SV=2
+RKRRSQFKYIAPSNVTEKKVKVKQTKDMLDKRTQIKQAIVFLKKEREIRQTLCNYQKQREKAIRKLGTQNTVGKVKEKQLTEIRPRNFVRDVLEPATQLHTAVDFQEVEKKTDFFFVHKNQQKGQFDLLHLESKLREIKKAEAVRKMEIYKVDQTRMLKLQEPTVEEKTEKIIHVGDQLKVRTMKYYFEDPNKELAKKRLAKLYEQKKRYDDARLKYDKKKELLGLHKRFGPQSRERHERQRSKAAKRFAAAM
+>sp|Q2YD98|UVSSA_HUMAN UV-stimulated scaffold protein A OS=Homo sapiens OX=9606 GN=UVSSA PE=1 SV=2
+MDQKLSKLVEELTTSGEPRLNPEKMKELKKICKSSEEQLSRAYRLLIAQLTQEHAEIRLSAFQIVEELFVRSHQFRMLVVSNFQEFLELTLGTDPAQPLPPPREAAQRLRQATTRAVEGWNEKFGEAYKKLALGYHFLRHNKKVDFQDTNARSLAERKREEEKQKHLDKIYQERASQAEREMQEMSGEIESCLTEVESCFRLLVPFDFDPNPETESLGMASGMSDALRSSCAGQVGPCRSGTPDPRDGEQPCCSRDLPASAGHPRAGGGAQPSQTATGDPSDEDEDSDLEEFVRSHGLGSHKYTLDVELCSEGLKVQENEDNLALIHAARDTLKLIRNKFLPAVCSWIQRFTRVGTHGGCLKRAIDLKAELELVLRKYKELDIEPEGGERRRTEALGDAEEDEDDEDFVEVPEKEGYEPHIPDHLRPEYGLEAAPEKDTVVRCLRTRTRMDEEVSDPTSAAAQLRQLRDHLPPPSSASPSRALPEPQEAQKLAAERARAPVVPYGVDLHYWGQELPTAGKIVKSDSQHRFWKPSEVEEEVVNADISEMLRSRHITFAGKFEPVQHWCRAPRPDGRLCERQDRLKCPFHGKIVPRDDEGRPLDPEDRAREQRRQLQKQERPEWQDPELMRDVEAATGQDLGSSRYSGKGRGKKRRYPSLTNLKAQADTARARIGRKVFAKAAVRRVVAAMNRMDQKKHEKFSNQFNYALN
+>DECOY_sp|Q2YD98|UVSSA_HUMAN UV-stimulated scaffold protein A OS=Homo sapiens OX=9606 GN=UVSSA PE=1 SV=2
+NLAYNFQNSFKEHKKQDMRNMAAVVRRVAAKAFVKRGIRARATDAQAKLNTLSPYRRKKGRGKGSYRSSGLDQGTAAEVDRMLEPDQWEPREQKQLQRRQERARDEPDLPRGEDDRPVIKGHFPCKLRDQRECLRGDPRPARCWHQVPEFKGAFTIHRSRLMESIDANVVEEEVESPKWFRHQSDSKVIKGATPLEQGWYHLDVGYPVVPARAREAALKQAEQPEPLARSPSASSPPPLHDRLQRLQAAASTPDSVEEDMRTRTRLCRVVTDKEPAAELGYEPRLHDPIHPEYGEKEPVEVFDEDDEDEEADGLAETRRREGGEPEIDLEKYKRLVLELEAKLDIARKLCGGHTGVRTFRQIWSCVAPLFKNRILKLTDRAAHILALNDENEQVKLGESCLEVDLTYKHSGLGHSRVFEELDSDEDEDSPDGTATQSPQAGGGARPHGASAPLDRSCCPQEGDRPDPTGSRCPGVQGACSSRLADSMGSAMGLSETEPNPDFDFPVLLRFCSEVETLCSEIEGSMEQMEREAQSAREQYIKDLHKQKEEERKREALSRANTDQFDVKKNHRLFHYGLALKKYAEGFKENWGEVARTTAQRLRQAAERPPPLPQAPDTGLTLELFEQFNSVVLMRFQHSRVFLEEVIQFASLRIEAHEQTLQAILLRYARSLQEESSKCIKKLEKMKEPNLRPEGSTTLEEVLKSLKQDM
+>sp|Q8NBZ7|UXS1_HUMAN UDP-glucuronic acid decarboxylase 1 OS=Homo sapiens OX=9606 GN=UXS1 PE=1 SV=1
+MVSKALLRLVSAVNRRRMKLLLGIALLAYVASVWGNFVNMRSIQENGELKIESKIEEMVEPLREKIRDLEKSFTQKYPPVKFLSEKDRKRILITGGAGFVGSHLTDKLMMDGHEVTVVDNFFTGRKRNVEHWIGHENFELINHDVVEPLYIEVDQIYHLASPASPPNYMYNPIKTLKTNTIGTLNMLGLAKRVGARLLLASTSEVYGDPEVHPQSEDYWGHVNPIGPRACYDEGKRVAETMCYAYMKQEGVEVRVARIFNTFGPRMHMNDGRVVSNFILQALQGEPLTVYGSGSQTRAFQYVSDLVNGLVALMNSNVSSPVNLGNPEEHTILEFAQLIKNLVGSGSEIQFLSEAQDDPQKRKPDIKKAKLMLGWEPVVPLEEGLNKAIHYFRKELEYQANNQYIPKPKPARIKKGRTRHS
+>DECOY_sp|Q8NBZ7|UXS1_HUMAN UDP-glucuronic acid decarboxylase 1 OS=Homo sapiens OX=9606 GN=UXS1 PE=1 SV=1
+SHRTRGKKIRAPKPKPIYQNNAQYELEKRFYHIAKNLGEELPVVPEWGLMLKAKKIDPKRKQPDDQAESLFQIESGSGVLNKILQAFELITHEEPNGLNVPSSVNSNMLAVLGNVLDSVYQFARTQSGSGYVTLPEGQLAQLIFNSVVRGDNMHMRPGFTNFIRAVRVEVGEQKMYAYCMTEAVRKGEDYCARPGIPNVHGWYDESQPHVEPDGYVESTSALLLRAGVRKALGLMNLTGITNTKLTKIPNYMYNPPSAPSALHYIQDVEIYLPEVVDHNILEFNEHGIWHEVNRKRGTFFNDVVTVEHGDMMLKDTLHSGVFGAGGTILIRKRDKESLFKVPPYKQTFSKELDRIKERLPEVMEEIKSEIKLEGNEQISRMNVFNGWVSAVYALLAIGLLLKMRRRNVASVLRLLAKSVM
+>sp|Q9UBK9|UXT_HUMAN Protein UXT OS=Homo sapiens OX=9606 GN=UXT PE=1 SV=1
+MATPPKRRAVEATGEKVLRYETFISDVLQRDLRKVLDHRDKVYEQLAKYLQLRNVIERLQEAKHSELYMQVDLGCNFFVDTVVPDTSRIYVALGYGFFLELTLAEALKFIDRKSSLLTELSNSLTKDSMNIKAHIHMLLEGLRELQGLQNFPEKPHH
+>DECOY_sp|Q9UBK9|UXT_HUMAN Protein UXT OS=Homo sapiens OX=9606 GN=UXT PE=1 SV=1
+HHPKEPFNQLGQLERLGELLMHIHAKINMSDKTLSNSLETLLSSKRDIFKLAEALTLELFFGYGLAVYIRSTDPVVTDVFFNCGLDVQMYLESHKAEQLREIVNRLQLYKALQEYVKDRHDLVKRLDRQLVDSIFTEYRLVKEGTAEVARRKPPTAM
+>sp|Q9Y5K8|VATD_HUMAN V-type proton ATPase subunit D OS=Homo sapiens OX=9606 GN=ATP6V1D PE=1 SV=1
+MSGKDRIEIFPSRMAQTIMKARLKGAQTGRNLLKKKSDALTLRFRQILKKIIETKMLMGEVMREAAFSLAEAKFTAGDFSTTVIQNVNKAQVKIRAKKDNVAGVTLPVFEHYHEGTDSYELTGLARGGEQLAKLKRNYAKAVELLVELASLQTSFVTLDEAIKITNRRVNAIEHVIIPRIERTLAYIITELDEREREEFYRLKKIQEKKKILKEKSEKDLEQRRAAGEVLEPANLLAEEKDEDLLFE
+>DECOY_sp|Q9Y5K8|VATD_HUMAN V-type proton ATPase subunit D OS=Homo sapiens OX=9606 GN=ATP6V1D PE=1 SV=1
+EFLLDEDKEEALLNAPELVEGAARRQELDKESKEKLIKKKEQIKKLRYFEEREREDLETIIYALTREIRPIIVHEIANVRRNTIKIAEDLTVFSTQLSALEVLLEVAKAYNRKLKALQEGGRALGTLEYSDTGEHYHEFVPLTVGAVNDKKARIKVQAKNVNQIVTTSFDGATFKAEALSFAAERMVEGMLMKTEIIKKLIQRFRLTLADSKKKLLNRGTQAGKLRAKMITQAMRSPFIEIRDKGSM
+>sp|P52735|VAV2_HUMAN Guanine nucleotide exchange factor VAV2 OS=Homo sapiens OX=9606 GN=VAV2 PE=1 SV=2
+MEQWRQCGRWLIDCKVLPPNHRVVWPSAVVFDLAQALRDGVLLCQLLHNLSPGSIDLKDINFRPQMSQFLCLKNIRTFLKVCHDKFGLRNSELFDPFDLFDVRDFGKVISAVSRLSLHSIAQNKGIRPFPSEETTENDDDVYRSLEELADEHDLGEDIYDCVPCEDGGDDIYEDIIKVEVQQPMIRYMQKMGMTEDDKRNCCLLEIQETEAKYYRTLEDIEKNYMSPLRLVLSPADMAAVFINLEDLIKVHHSFLRAIDVSVMVGGSTLAKVFLDFKERLLIYGEYCSHMEHAQNTLNQLLASREDFRQKVEECTLKVQDGKFKLQDLLVVPMQRVLKYHLLLKELLSHSAERPERQQLKEALEAMQDLAMYINEVKRDKETLRKISEFQSSIENLQVKLEEFGRPKIDGELKVRSIVNHTKQDRYLFLFDKVVIVCKRKGYSYELKEIIELLFHKMTDDPMNNKDVKKSHGKMWSYGFYLIHLQGKQGFQFFCKTEDMKRKWMEQFEMAMSNIKPDKANANHHSFQMYTFDKTTNCKACKMFLRGTFYQGYMCTKCGVGAHKECLEVIPPCKFTSPADLDASGAGPGPKMVAMQNYHGNPAPPGKPVLTFQTGDVLELLRGDPESPWWEGRLVQTRKSGYFPSSSVKPCPVDGRPPISRPPSREIDYTAYPWFAGNMERQQTDNLLKSHASGTYLIRERPAEAERFAISIKFNDEVKHIKVVEKDNWIHITEAKKFDSLLELVEYYQCHSLKESFKQLDTTLKYPYKSRERSASRASSRSPASCASYNFSFLSPQGLSFASQGPSAPFWSVFTPRVIGTAVARYNFAARDMRELSLREGDVVRIYSRIGGDQGWWKGETNGRIGWFPSTYVEEEGIQ
+>DECOY_sp|P52735|VAV2_HUMAN Guanine nucleotide exchange factor VAV2 OS=Homo sapiens OX=9606 GN=VAV2 PE=1 SV=2
+QIGEEEVYTSPFWGIRGNTEGKWWGQDGGIRSYIRVVDGERLSLERMDRAAFNYRAVATGIVRPTFVSWFPASPGQSAFSLGQPSLFSFNYSACSAPSRSSARSASRERSKYPYKLTTDLQKFSEKLSHCQYYEVLELLSDFKKAETIHIWNDKEVVKIHKVEDNFKISIAFREAEAPRERILYTGSAHSKLLNDTQQREMNGAFWPYATYDIERSPPRSIPPRGDVPCPKVSSSPFYGSKRTQVLRGEWWPSEPDGRLLELVDGTQFTLVPKGPPAPNGHYNQMAVMKPGPGAGSADLDAPSTFKCPPIVELCEKHAGVGCKTCMYGQYFTGRLFMKCAKCNTTKDFTYMQFSHHNANAKDPKINSMAMEFQEMWKRKMDETKCFFQFGQKGQLHILYFGYSWMKGHSKKVDKNNMPDDTMKHFLLEIIEKLEYSYGKRKCVIVVKDFLFLYRDQKTHNVISRVKLEGDIKPRGFEELKVQLNEISSQFESIKRLTEKDRKVENIYMALDQMAELAEKLQQREPREASHSLLEKLLLHYKLVRQMPVVLLDQLKFKGDQVKLTCEEVKQRFDERSALLQNLTNQAHEMHSCYEGYILLREKFDLFVKALTSGGVMVSVDIARLFSHHVKILDELNIFVAAMDAPSLVLRLPSMYNKEIDELTRYYKAETEQIELLCCNRKDDETMGMKQMYRIMPQQVEVKIIDEYIDDGGDECPVCDYIDEGLDHEDALEELSRYVDDDNETTEESPFPRIGKNQAISHLSLRSVASIVKGFDRVDFLDFPDFLESNRLGFKDHCVKLFTRINKLCLFQSMQPRFNIDKLDISGPSLNHLLQCLLVGDRLAQALDFVVASPWVVRHNPPLVKCDILWRGCQRWQEM
+>sp|Q9UIW0|VAX2_HUMAN Ventral anterior homeobox 2 OS=Homo sapiens OX=9606 GN=VAX2 PE=1 SV=1
+MGDGGAERDRGPARRAESGGGGGRCGDRSGAGDLRADGGGHSPTEVAGTSASSPAGSRESGADSDGQPGPGEADHCRRILVRDAKGTIREIVLPKGLDLDRPKRTRTSFTAEQLYRLEMEFQRCQYVVGRERTELARQLNLSETQVKVWFQNRRTKQKKDQSRDLEKRASSSASEAFATSNILRLLEQGRLLSVPRAPSLLALTPSLPGLPASHRGTSLGDPRNSSPRLNPLSSASASPPLPPPLPAVCFSSAPLLDLPAGYELGSSAFEPYSWLERKVGSASSCKKANT
+>DECOY_sp|Q9UIW0|VAX2_HUMAN Ventral anterior homeobox 2 OS=Homo sapiens OX=9606 GN=VAX2 PE=1 SV=1
+TNAKKCSSASGVKRELWSYPEFASSGLEYGAPLDLLPASSFCVAPLPPPLPPSASASSLPNLRPSSNRPDGLSTGRHSAPLGPLSPTLALLSPARPVSLLRGQELLRLINSTAFAESASSSARKELDRSQDKKQKTRRNQFWVKVQTESLNLQRALETRERGVVYQCRQFEMELRYLQEATFSTRTRKPRDLDLGKPLVIERITGKADRVLIRRCHDAEGPGPQGDSDAGSERSGAPSSASTGAVETPSHGGGDARLDGAGSRDGCRGGGGGSEARRAPGRDREAGGDGM
+>sp|P49765|VEGFB_HUMAN Vascular endothelial growth factor B OS=Homo sapiens OX=9606 GN=VEGFB PE=1 SV=2
+MSPLLRRLLLAALLQLAPAQAPVSQPDAPGHQRKVVSWIDVYTRATCQPREVVVPLTVELMGTVAKQLVPSCVTVQRCGGCCPDDGLECVPTGQHQVRMQILMIRYPSSQLGEMSLEEHSQCECRPKKKDSAVKPDRAATPHHRPQPRSVPGWDSAPGAPSPADITHPTPAPGPSAHAAPSTTSALTPGPAAAAADAAASSVAKGGA
+>DECOY_sp|P49765|VEGFB_HUMAN Vascular endothelial growth factor B OS=Homo sapiens OX=9606 GN=VEGFB PE=1 SV=2
+AGGKAVSSAAADAAAAAPGPTLASTTSPAAHASPGPAPTPHTIDAPSPAGPASDWGPVSRPQPRHHPTAARDPKVASDKKKPRCECQSHEELSMEGLQSSPYRIMLIQMRVQHQGTPVCELGDDPCCGGCRQVTVCSPVLQKAVTGMLEVTLPVVVERPQCTARTYVDIWSVVKRQHGPADPQSVPAQAPALQLLAALLLRRLLPSM
+>sp|O43915|VEGFD_HUMAN Vascular endothelial growth factor D OS=Homo sapiens OX=9606 GN=VEGFD PE=1 SV=1
+MYREWVVVNVFMMLYVQLVQGSSNEHGPVKRSSQSTLERSEQQIRAASSLEELLRITHSEDWKLWRCRLRLKSFTSMDSRSASHRSTRFAATFYDIETLKVIDEEWQRTQCSPRETCVEVASELGKSTNTFFKPPCVNVFRCGGCCNEESLICMNTSTSYISKQLFEISVPLTSVPELVPVKVANHTGCKCLPTAPRHPYSIIRRSIQIPEEDRCSHSKKLCPIDMLWDSNKCKCVLQEENPLAGTEDHSHLQEPALCGPHMMFDEDRCECVCKTPCPKDLIQHPKNCSCFECKESLETCCQKHKLFHPDTCSCEDRCPFHTRPCASGKTACAKHCRFPKEKRAAQGPHSRKNP
+>DECOY_sp|O43915|VEGFD_HUMAN Vascular endothelial growth factor D OS=Homo sapiens OX=9606 GN=VEGFD PE=1 SV=1
+PNKRSHPGQAARKEKPFRCHKACATKGSACPRTHFPCRDECSCTDPHFLKHKQCCTELSEKCEFCSCNKPHQILDKPCPTKCVCECRDEDFMMHPGCLAPEQLHSHDETGALPNEEQLVCKCKNSDWLMDIPCLKKSHSCRDEEPIQISRRIISYPHRPATPLCKCGTHNAVKVPVLEPVSTLPVSIEFLQKSIYSTSTNMCILSEENCCGGCRFVNVCPPKFFTNTSKGLESAVEVCTERPSCQTRQWEEDIVKLTEIDYFTAAFRTSRHSASRSDMSTFSKLRLRCRWLKWDESHTIRLLEELSSAARIQQESRELTSQSSRKVPGHENSSGQVLQVYLMMFVNVVVWERYM
+>sp|Q8TAG6|VEXIN_HUMAN Vexin OS=Homo sapiens OX=9606 GN=VXN PE=2 SV=2
+MMHQIYSCSDENIEVFTTVIPSKVSSPARRRAKSSQHLLTKNVVIESDLYTHQPLELLPHRGDRRDPGDRRRFGRLQTARPPTAHPAKASARPVGISEPKTSNLCGNRAYGKSLIPPVPRISVKTSASASLEATAMGTEKGAVLMRGSRHLKKMTEEYPALPQGAEASLPLTGSASCGVPGILRKMWTRHKKKSEYVGATNSAFEAD
+>DECOY_sp|Q8TAG6|VEXIN_HUMAN Vexin OS=Homo sapiens OX=9606 GN=VXN PE=2 SV=2
+DAEFASNTAGVYESKKKHRTWMKRLIGPVGCSASGTLPLSAEAGQPLAPYEETMKKLHRSGRMLVAGKETGMATAELSASASTKVSIRPVPPILSKGYARNGCLNSTKPESIGVPRASAKAPHATPPRATQLRGFRRRDGPDRRDGRHPLLELPQHTYLDSEIVVNKTLLHQSSKARRRAPSSVKSPIVTTFVEINEDSCSYIQHMM
+>sp|P55089|UCN1_HUMAN Urocortin OS=Homo sapiens OX=9606 GN=UCN PE=1 SV=1
+MRQAGRAALLAALLLLVQLCPGSSQRSPEAAGVQDPSLRWSPGARNQGGGARALLLLLAERFPRRAGPGRLGLGTAGERPRRDNPSLSIDLTFHLLRTLLELARTQSQRERAEQNRIIFDSVGK
+>DECOY_sp|P55089|UCN1_HUMAN Urocortin OS=Homo sapiens OX=9606 GN=UCN PE=1 SV=1
+KGVSDFIIRNQEARERQSQTRALELLTRLLHFTLDISLSPNDRRPREGATGLGLRGPGARRPFREALLLLLARAGGGQNRAGPSWRLSPDQVGAAEPSRQSSGPCLQVLLLLAALLAARGAQRM
+>sp|Q969E3|UCN3_HUMAN Urocortin-3 OS=Homo sapiens OX=9606 GN=UCN3 PE=1 SV=2
+MLMPVHFLLLLLLLLGGPRTGLPHKFYKAKPIFSCLNTALSEAEKGQWEDASLLSKRSFHYLRSRDASSGEEEEGKEKKTFPISGARGGARGTRYRYVSQAQPRGKPRQDTAKSPHRTKFTLSLDVPTNIMNLLFNIAKAKNLRAQAAANAHLMAQIGRKK
+>DECOY_sp|Q969E3|UCN3_HUMAN Urocortin-3 OS=Homo sapiens OX=9606 GN=UCN3 PE=1 SV=2
+KKRGIQAMLHANAAAQARLNKAKAINFLLNMINTPVDLSLTFKTRHPSKATDQRPKGRPQAQSVYRYRTGRAGGRAGSIPFTKKEKGEEEEGSSADRSRLYHFSRKSLLSADEWQGKEAESLATNLCSFIPKAKYFKHPLGTRPGGLLLLLLLLFHVPMLM
+>sp|O95847|UCP4_HUMAN Mitochondrial uncoupling protein 4 OS=Homo sapiens OX=9606 GN=SLC25A27 PE=2 SV=1
+MSVPEEEERLLPLTQRWPRASKFLLSGCAATVAELATFPLDLTKTRLQMQGEAALARLGDGARESAPYRGMVRTALGIIEEEGFLKLWQGVTPAIYRHVVYSGGRMVTYEHLREVVFGKSEDEHYPLWKSVIGGMMAGVIGQFLANPTDLVKVQMQMEGKRKLEGKPLRFRGVHHAFAKILAEGGIRGLWAGWVPNIQRAALVNMGDLTTYDTVKHYLVLNTPLEDNIMTHGLSSLCSGLVASILGTPADVIKSRIMNQPRDKQGRGLLYKSSTDCLIQAVQGEGFMSLYKGFLPSWLRMTPWSMVFWLTYEKIREMSGVSPF
+>DECOY_sp|O95847|UCP4_HUMAN Mitochondrial uncoupling protein 4 OS=Homo sapiens OX=9606 GN=SLC25A27 PE=2 SV=1
+FPSVGSMERIKEYTLWFVMSWPTMRLWSPLFGKYLSMFGEGQVAQILCDTSSKYLLGRGQKDRPQNMIRSKIVDAPTGLISAVLGSCLSSLGHTMINDELPTNLVLYHKVTDYTTLDGMNVLAARQINPVWGAWLGRIGGEALIKAFAHHVGRFRLPKGELKRKGEMQMQVKVLDTPNALFQGIVGAMMGGIVSKWLPYHEDESKGFVVERLHEYTVMRGGSYVVHRYIAPTVGQWLKLFGEEEIIGLATRVMGRYPASERAGDGLRALAAEGQMQLRTKTLDLPFTALEAVTAACGSLLFKSARPWRQTLPLLREEEEPVSM
+>sp|P22310|UD14_HUMAN UDP-glucuronosyltransferase 1-4 OS=Homo sapiens OX=9606 GN=UGT1A4 PE=1 SV=1
+MARGLQVPLPRLATGLLLLLSVQPWAESGKVLVVPTDGSPWLSMREALRELHARGHQAVVLTPEVNMHIKEEKFFTLTAYAVPWTQKEFDRVTLGYTQGFFETEHLLKRYSRSMAIMNNVSLALHRCCVELLHNEALIRHLNATSFDVVLTDPVNLCGAVLAKYLSIPAVFFWRYIPCDLDFKGTQCPNPSSYIPKLLTTNSDHMTFLQRVKNMLYPLALSYICHTFSAPYASLASELFQREVSVVDLVSYASVWLFRGDFVMDYPRPIMPNMVFIGGINCANGKPLSQEFEAYINASGEHGIVVFSLGSMVSEIPEKKAMAIADALGKIPQTVLWRYTGTRPSNLANNTILVKWLPQNDLLGHPMTRAFITHAGSHGVYESICNGVPMVMMPLFGDQMDNAKRMETKGAGVTLNVLEMTSEDLENALKAVINDKSYKENIMRLSSLHKDRPVEPLDLAVFWVEFVMRHKGAPHLRPAAHDLTWYQYHSLDVIGFLLAVVLTVAFITFKCCAYGYRKCLGKKGRVKKAHKSKTH
+>DECOY_sp|P22310|UD14_HUMAN UDP-glucuronosyltransferase 1-4 OS=Homo sapiens OX=9606 GN=UGT1A4 PE=1 SV=1
+HTKSKHAKKVRGKKGLCKRYGYACCKFTIFAVTLVVALLFGIVDLSHYQYWTLDHAAPRLHPAGKHRMVFEVWFVALDLPEVPRDKHLSSLRMINEKYSKDNIVAKLANELDESTMELVNLTVGAGKTEMRKANDMQDGFLPMMVMPVGNCISEYVGHSGAHTIFARTMPHGLLDNQPLWKVLITNNALNSPRTGTYRWLVTQPIKGLADAIAMAKKEPIESVMSGLSFVVIGHEGSANIYAEFEQSLPKGNACNIGGIFVMNPMIPRPYDMVFDGRFLWVSAYSVLDVVSVERQFLESALSAYPASFTHCIYSLALPYLMNKVRQLFTMHDSNTTLLKPIYSSPNPCQTGKFDLDCPIYRWFFVAPISLYKALVAGCLNVPDTLVVDFSTANLHRILAENHLLEVCCRHLALSVNNMIAMSRSYRKLLHETEFFGQTYGLTVRDFEKQTWPVAYATLTFFKEEKIHMNVEPTLVVAQHGRAHLERLAERMSLWPSGDTPVVLVKGSEAWPQVSLLLLLGTALRPLPVQLGRAM
+>sp|Q6UWM9|UD2A3_HUMAN UDP-glucuronosyltransferase 2A3 OS=Homo sapiens OX=9606 GN=UGT2A3 PE=2 SV=2
+MRSDKSALVFLLLQLFCVGCGFCGKVLVWPCDMSHWLNVKVILEELIVRGHEVTVLTHSKPSLIDYRKPSALKFEVVHMPQDRTEENEIFVDLALNVLPGLSTWQSVIKLNDFFVEIRGTLKMMCESFIYNQTLMKKLQETNYDVMLIDPVIPCGDLMAELLAVPFVLTLRISVGGNMERSCGKLPAPLSYVPVPMTGLTDRMTFLERVKNSMLSVLFHFWIQDYDYHFWEEFYSKALGRPTTLCETVGKAEIWLIRTYWDFEFPQPYQPNFEFVGGLHCKPAKALPKEMENFVQSSGEDGIVVFSLGSLFQNVTEEKANIIASALAQIPQKVLWRYKGKKPSTLGANTRLYDWIPQNDLLGHPKTKAFITHGGMNGIYEAIYHGVPMVGVPIFGDQLDNIAHMKAKGAAVEINFKTMTSEDLLRALRTVITDSSYKENAMRLSRIHHDQPVKPLDRAVFWIEFVMRHKGAKHLRSAAHDLTWFQHYSIDVIGFLLACVATAIFLFTKCFLFSCQKFNKTRKIEKRE
+>DECOY_sp|Q6UWM9|UD2A3_HUMAN UDP-glucuronosyltransferase 2A3 OS=Homo sapiens OX=9606 GN=UGT2A3 PE=2 SV=2
+ERKEIKRTKNFKQCSFLFCKTFLFIATAVCALLFGIVDISYHQFWTLDHAASRLHKAGKHRMVFEIWFVARDLPKVPQDHHIRSLRMANEKYSSDTIVTRLARLLDESTMTKFNIEVAAGKAKMHAINDLQDGFIPVGVMPVGHYIAEYIGNMGGHTIFAKTKPHGLLDNQPIWDYLRTNAGLTSPKKGKYRWLVKQPIQALASAIINAKEETVNQFLSGLSFVVIGDEGSSQVFNEMEKPLAKAPKCHLGGVFEFNPQYPQPFEFDWYTRILWIEAKGVTECLTTPRGLAKSYFEEWFHYDYDQIWFHFLVSLMSNKVRELFTMRDTLGTMPVPVYSLPAPLKGCSREMNGGVSIRLTLVFPVALLEAMLDGCPIVPDILMVDYNTEQLKKMLTQNYIFSECMMKLTGRIEVFFDNLKIVSQWTSLGPLVNLALDVFIENEETRDQPMHVVEFKLASPKRYDILSPKSHTLVTVEHGRVILEELIVKVNLWHSMDCPWVLVKGCFGCGVCFLQLLLFVLASKDSRM
+>sp|P16662|UD2B7_HUMAN UDP-glucuronosyltransferase 2B7 OS=Homo sapiens OX=9606 GN=UGT2B7 PE=1 SV=2
+MSVKWTSVILLIQLSFCFSSGNCGKVLVWAAEYSHWMNIKTILDELIQRGHEVTVLASSASILFDPNNSSALKIEIYPTSLTKTELENFIMQQIKRWSDLPKDTFWLYFSQVQEIMSIFGDITRKFCKDVVSNKKFMKKVQESRFDVIFADAIFPCSELLAELFNIPFVYSLSFSPGYTFEKHSGGFIFPPSYVPVVMSELTDQMTFMERVKNMIYVLYFDFWFEIFDMKKWDQFYSEVLGRPTTLSETMGKADVWLIRNSWNFQFPYPLLPNVDFVGGLHCKPAKPLPKEMEDFVQSSGENGVVVFSLGSMVSNMTEERANVIASALAQIPQKVLWRFDGNKPDTLGLNTRLYKWIPQNDLLGHPKTRAFITHGGANGIYEAIYHGIPMVGIPLFADQPDNIAHMKARGAAVRVDFNTMSSTDLLNALKRVINDPSYKENVMKLSRIQHDQPVKPLDRAVFWIEFVMRHKGAKHLRVAAHDLTWFQYHSLDVIGFLLVCVATVIFIVTKCCLFCFWKFARKAKKGKND
+>DECOY_sp|P16662|UD2B7_HUMAN UDP-glucuronosyltransferase 2B7 OS=Homo sapiens OX=9606 GN=UGT2B7 PE=1 SV=2
+DNKGKKAKRAFKWFCFLCCKTVIFIVTAVCVLLFGIVDLSHYQFWTLDHAAVRLHKAGKHRMVFEIWFVARDLPKVPQDHQIRSLKMVNEKYSPDNIVRKLANLLDTSSMTNFDVRVAAGRAKMHAINDPQDAFLPIGVMPIGHYIAEYIGNAGGHTIFARTKPHGLLDNQPIWKYLRTNLGLTDPKNGDFRWLVKQPIQALASAIVNAREETMNSVMSGLSFVVVGNEGSSQVFDEMEKPLPKAPKCHLGGVFDVNPLLPYPFQFNWSNRILWVDAKGMTESLTTPRGLVESYFQDWKKMDFIEFWFDFYLVYIMNKVREMFTMQDTLESMVVPVYSPPFIFGGSHKEFTYGPSFSLSYVFPINFLEALLESCPFIADAFIVDFRSEQVKKMFKKNSVVDKCFKRTIDGFISMIEQVQSFYLWFTDKPLDSWRKIQQMIFNELETKTLSTPYIEIKLASSNNPDFLISASSALVTVEHGRQILEDLITKINMWHSYEAAWVLVKGCNGSSFCFSLQILLIVSTWKVSM
+>sp|O75310|UDB11_HUMAN UDP-glucuronosyltransferase 2B11 OS=Homo sapiens OX=9606 GN=UGT2B11 PE=2 SV=1
+MTLKWTSVLLLIHLSCYFSSGSCGKVLVWAAEYSHWMNMKTILKELVQRGHEVTVLASSASILFDPNDASTLKFEVYPTSLTKTEFENIIMQQVKRWSDIRKDSFWLYFSQEQEILWELYDIFRNFCKDVVSNKKVMKKLQESRFDIVFADAVFPCGELLAALLNIRFVYSLRFTPGYTIERHSGGLIFPPSYIPIVMSKLSDQMTFMERVKNMIYVLYFDFWFQMSDMKKWDQFYSEVLGRPTTLFETMGKADIWLMRNSWSFQFPHPFLPNVDFVGGFHCKPAKPLPKEMEEFVQSSGENGVVVFSLGSVISNMTAERANVIATALAKIPQKVLWRFDGNKPDALGLNTRLYKWIPQNDLLGHPKTRAFITHGGANGIYEAIYHGIPMVGIPLFFDQPDNIAHMKAKGAAVRLDFNTMSSTDLLNALKTVINDPLYKENIMKLSRIQHDQPVKPLDRAVFWIEFVMPHKGAKHLRVAAHDLTWFQYHSLDVIGFLLACVATVIFIITKFCLFCFWKFARKGKKGKRD
+>DECOY_sp|O75310|UDB11_HUMAN UDP-glucuronosyltransferase 2B11 OS=Homo sapiens OX=9606 GN=UGT2B11 PE=2 SV=1
+DRKGKKGKRAFKWFCFLCFKTIIFIVTAVCALLFGIVDLSHYQFWTLDHAAVRLHKAGKHPMVFEIWFVARDLPKVPQDHQIRSLKMINEKYLPDNIVTKLANLLDTSSMTNFDLRVAAGKAKMHAINDPQDFFLPIGVMPIGHYIAEYIGNAGGHTIFARTKPHGLLDNQPIWKYLRTNLGLADPKNGDFRWLVKQPIKALATAIVNAREATMNSIVSGLSFVVVGNEGSSQVFEEMEKPLPKAPKCHFGGVFDVNPLFPHPFQFSWSNRMLWIDAKGMTEFLTTPRGLVESYFQDWKKMDSMQFWFDFYLVYIMNKVREMFTMQDSLKSMVIPIYSPPFILGGSHREITYGPTFRLSYVFRINLLAALLEGCPFVADAFVIDFRSEQLKKMVKKNSVVDKCFNRFIDYLEWLIEQEQSFYLWFSDKRIDSWRKVQQMIINEFETKTLSTPYVEFKLTSADNPDFLISASSALVTVEHGRQVLEKLITKMNMWHSYEAAWVLVKGCSGSSFYCSLHILLLVSTWKLTM
+>sp|O75795|UDB17_HUMAN UDP-glucuronosyltransferase 2B17 OS=Homo sapiens OX=9606 GN=UGT2B17 PE=1 SV=1
+MSLKWMSVFLLMQLSCYFSSGSCGKVLVWPTEYSHWINMKTILEELVQRGHEVIVLTSSASILVNASKSSAIKLEVYPTSLTKNDLEDFFMKMFDRWTYSISKNTFWSYFSQLQELCWEYSDYNIKLCEDAVLNKKLMRKLQESKFDVLLADAVNPCGELLAELLNIPFLYSLRFSVGYTVEKNGGGFLFPPSYVPVVMSELSDQMIFMERIKNMIYMLYFDFWFQAYDLKKWDQFYSEVLGRPTTLFETMGKAEMWLIRTYWDFEFPRPFLPNVDFVGGLHCKPAKPLPKEMEEFVQSSGENGIVVFSLGSMISNMSEESANMIASALAQIPQKVLWRFDGKKPNTLGSNTRLYKWLPQNDLLGHPKTKAFITHGGTNGIYEAIYHGIPMVGIPLFADQHDNIAHMKAKGAALSVDIRTMSSRDLLNALKSVINDPIYKENIMKLSRIHHDQPVKPLDRAVFWIEFVMRHKGAKHLRVAAHNLTWIQYHSLDVIAFLLACVATMIFMITKCCLFCFRKLAKTGKKKKRD
+>DECOY_sp|O75795|UDB17_HUMAN UDP-glucuronosyltransferase 2B17 OS=Homo sapiens OX=9606 GN=UGT2B17 PE=1 SV=1
+DRKKKKGTKALKRFCFLCCKTIMFIMTAVCALLFAIVDLSHYQIWTLNHAAVRLHKAGKHRMVFEIWFVARDLPKVPQDHHIRSLKMINEKYIPDNIVSKLANLLDRSSMTRIDVSLAAGKAKMHAINDHQDAFLPIGVMPIGHYIAEYIGNTGGHTIFAKTKPHGLLDNQPLWKYLRTNSGLTNPKKGDFRWLVKQPIQALASAIMNASEESMNSIMSGLSFVVIGNEGSSQVFEEMEKPLPKAPKCHLGGVFDVNPLFPRPFEFDWYTRILWMEAKGMTEFLTTPRGLVESYFQDWKKLDYAQFWFDFYLMYIMNKIREMFIMQDSLESMVVPVYSPPFLFGGGNKEVTYGVSFRLSYLFPINLLEALLEGCPNVADALLVDFKSEQLKRMLKKNLVADECLKINYDSYEWCLEQLQSFYSWFTNKSISYTWRDFMKMFFDELDNKTLSTPYVELKIASSKSANVLISASSTLVIVEHGRQVLEELITKMNIWHSYETPWVLVKGCSGSSFYCSLQMLLFVSMWKLSM
+>sp|P61960|UFM1_HUMAN Ubiquitin-fold modifier 1 OS=Homo sapiens OX=9606 GN=UFM1 PE=1 SV=1
+MSKVSFKITLTSDPRLPYKVLSVPESTPFTAVLKFAAEEFKVPAATSAIITNDGIGINPAQTAGNVFLKHGSELRIIPRDRVGSC
+>DECOY_sp|P61960|UFM1_HUMAN Ubiquitin-fold modifier 1 OS=Homo sapiens OX=9606 GN=UFM1 PE=1 SV=1
+CSGVRDRPIIRLESGHKLFVNGATQAPNIGIGDNTIIASTAAPVKFEEAAFKLVATFPTSEPVSLVKYPLRPDSTLTIKFSVKSM
+>sp|P30530|UFO_HUMAN Tyrosine-protein kinase receptor UFO OS=Homo sapiens OX=9606 GN=AXL PE=1 SV=4
+MAWRCPRMGRVPLAWCLALCGWACMAPRGTQAEESPFVGNPGNITGARGLTGTLRCQLQVQGEPPEVHWLRDGQILELADSTQTQVPLGEDEQDDWIVVSQLRITSLQLSDTGQYQCLVFLGHQTFVSQPGYVGLEGLPYFLEEPEDRTVAANTPFNLSCQAQGPPEPVDLLWLQDAVPLATAPGHGPQRSLHVPGLNKTSSFSCEAHNAKGVTTSRTATITVLPQQPRNLHLVSRQPTELEVAWTPGLSGIYPLTHCTLQAVLSDDGMGIQAGEPDPPEEPLTSQASVPPHQLRLGSLHPHTPYHIRVACTSSQGPSSWTHWLPVETPEGVPLGPPENISATRNGSQAFVHWQEPRAPLQGTLLGYRLAYQGQDTPEVLMDIGLRQEVTLELQGDGSVSNLTVCVAAYTAAGDGPWSLPVPLEAWRPGQAQPVHQLVKEPSTPAFSWPWWYVLLGAVVAAACVLILALFLVHRRKKETRYGEVFEPTVERGELVVRYRVRKSYSRRTTEATLNSLGISEELKEKLRDVMVDRHKVALGKTLGEGEFGAVMEGQLNQDDSILKVAVKTMKIAICTRSELEDFLSEAVCMKEFDHPNVMRLIGVCFQGSERESFPAPVVILPFMKHGDLHSFLLYSRLGDQPVYLPTQMLVKFMADIASGMEYLSTKRFIHRDLAARNCMLNENMSVCVADFGLSKKIYNGDYYRQGRIAKMPVKWIAIESLADRVYTSKSDVWSFGVTMWEIATRGQTPYPGVENSEIYDYLRQGNRLKQPADCLDGLYALMSRCWELNPQDRPSFTELREDLENTLKALPPAQEPDEILYVNMDEGGGYPEPPGAAGGADPPTQPDPKDSCSCLTAAEVHPAGRYVLCPSTTPSPAQPADRGSPAAPGQEDGA
+>DECOY_sp|P30530|UFO_HUMAN Tyrosine-protein kinase receptor UFO OS=Homo sapiens OX=9606 GN=AXL PE=1 SV=4
+AGDEQGPAAPSGRDAPQAPSPTTSPCLVYRGAPHVEAATLCSCSDKPDPQTPPDAGGAAGPPEPYGGGEDMNVYLIEDPEQAPPLAKLTNELDERLETFSPRDQPNLEWCRSMLAYLGDLCDAPQKLRNGQRLYDYIESNEVGPYPTQGRTAIEWMTVGFSWVDSKSTYVRDALSEIAIWKVPMKAIRGQRYYDGNYIKKSLGFDAVCVSMNENLMCNRAALDRHIFRKTSLYEMGSAIDAMFKVLMQTPLYVPQDGLRSYLLFSHLDGHKMFPLIVVPAPFSERESGQFCVGILRMVNPHDFEKMCVAESLFDELESRTCIAIKMTKVAVKLISDDQNLQGEMVAGFEGEGLTKGLAVKHRDVMVDRLKEKLEESIGLSNLTAETTRRSYSKRVRYRVVLEGREVTPEFVEGYRTEKKRRHVLFLALILVCAAAVVAGLLVYWWPWSFAPTSPEKVLQHVPQAQGPRWAELPVPLSWPGDGAATYAAVCVTLNSVSGDGQLELTVEQRLGIDMLVEPTDQGQYALRYGLLTGQLPARPEQWHVFAQSGNRTASINEPPGLPVGEPTEVPLWHTWSSPGQSSTCAVRIHYPTHPHLSGLRLQHPPVSAQSTLPEEPPDPEGAQIGMGDDSLVAQLTCHTLPYIGSLGPTWAVELETPQRSVLHLNRPQQPLVTITATRSTTVGKANHAECSFSSTKNLGPVHLSRQPGHGPATALPVADQLWLLDVPEPPGQAQCSLNFPTNAAVTRDEPEELFYPLGELGVYGPQSVFTQHGLFVLCQYQGTDSLQLSTIRLQSVVIWDDQEDEGLPVQTQTSDALELIQGDRLWHVEPPEGQVQLQCRLTGTLGRAGTINGPNGVFPSEEAQTGRPAMCAWGCLALCWALPVRGMRPCRWAM
+>sp|Q9NYU2|UGGG1_HUMAN UDP-glucose:glycoprotein glucosyltransferase 1 OS=Homo sapiens OX=9606 GN=UGGT1 PE=1 SV=3
+MGCKGDASGACAAGALPVTGVCYKMGVLVVLTVLWLFSSVKADSKAITTSLTTKWFSTPLLLEASEFLAEDSQEKFWNFVEASQNIGSSDHDGTDYSYYHAILEAAFQFLSPLQQNLFKFCLSLRSYSATIQAFQQIAADEPPPEGCNSFFSVHGKKTCESDTLEALLLTASERPKPLLFKGDHRYPSSNPESPVVIFYSEIGSEEFSNFHRQLISKSNAGKINYVFRHYIFNPRKEPVYLSGYGVELAIKSTEYKAKDDTQVKGTEVNTTVIGENDPIDEVQGFLFGKLRDLHPDLEGQLKELRKHLVESTNEMAPLKVWQLQDLSFQTAARILASPVELALVVMKDLSQNFPTKARAITKTAVSSELRTEVEENQKYFKGTLGLQPGDSALFINGLHMDLDTQDIFSLFDVLRNEARVMEGLHRLGIEGLSLHNVLKLNIQPSEADYAVDIRSPAISWVNNLEVDSRYNSWPSSLQELLRPTFPGVIRQIRKNLHNMVFIVDPAHETTAELMNTAEMFLSNHIPLRIGFIFVVNDSEDVDGMQDAGVAVLRAYNYVAQEVDDYHAFQTLTHIYNKVRTGEKVKVEHVVSVLEKKYPYVEVNSILGIDSAYDRNRKEARGYYEQTGVGPLPVVLFNGMPFEREQLDPDELETITMHKILETTTFFQRAVYLGELPHDQDVVEYIMNQPNVVPRINSRILTAERDYLDLTASNNFFVDDYARFTILDSQGKTAAVANSMNYLTKKGMSSKEIYDDSFIRPVTFWIVGDFDSPSGRQLLYDAIKHQKSSNNVRISMINNPAKEISYENTQISRAIWAALQTQTSNAAKNFITKMAKEGAAEALAAGADIAEFSVGGMDFSLFKEVFESSKMDFILSHAVYCRDVLKLKKGQRAVISNGRIIGPLEDSELFNQDDFHLLENIILKTSGQKIKSHIQQLRVEEDVASDLVMKVDALLSAQPKGDPRIEYQFFEDRHSAIKLRPKEGETYFDVVAVVDPVTREAQRLAPLLLVLAQLINMNLRVFMNCQSKLSDMPLKSFYRYVLEPEISFTSDNSFAKGPIAKFLDMPQSPLFTLNLNTPESWMVESVRTPYDLDNIYLEEVDSVVAAEYELEYLLLEGHCYDITTGQPPRGLQFTLGTSANPVIVDTIVMANLGYFQLKANPGAWILRLRKGRSEDIYRIYSHDGTDSPPDADEVVIVLNNFKSKIIKVKVQKKADMVNEDLLSDGTSENESGFWDSFKWGFTGQKTEEVKQDKDDIINIFSVASGHLYERFLRIMMLSVLKNTKTPVKFWFLKNYLSPTFKEFIPYMANEYNFQYELVQYKWPRWLHQQTEKQRIIWGYKILFLDVLFPLVVDKFLFVDADQIVRTDLKELRDFNLDGAPYGYTPFCDSRREMDGYRFWKSGYWASHLAGRKYHISALYVVDLKKFRKIAAGDRLRGQYQGLSQDPNSLSNLDQDLPNNMIHQVPIKSLPQEWLWCETWCDDASKKRAKTIDLCNNPMTKEPKLEAAVRIVPEWQDYDQEIKQLQIRFQKEKETGALYKEKTKEPSREGPQKREEL
+>DECOY_sp|Q9NYU2|UGGG1_HUMAN UDP-glucose:glycoprotein glucosyltransferase 1 OS=Homo sapiens OX=9606 GN=UGGT1 PE=1 SV=3
+LEERKQPGERSPEKTKEKYLAGTEKEKQFRIQLQKIEQDYDQWEPVIRVAAELKPEKTMPNNCLDITKARKKSADDCWTECWLWEQPLSKIPVQHIMNNPLDQDLNSLSNPDQSLGQYQGRLRDGAAIKRFKKLDVVYLASIHYKRGALHSAWYGSKWFRYGDMERRSDCFPTYGYPAGDLNFDRLEKLDTRVIQDADVFLFKDVVLPFLVDLFLIKYGWIIRQKETQQHLWRPWKYQVLEYQFNYENAMYPIFEKFTPSLYNKLFWFKVPTKTNKLVSLMMIRLFREYLHGSAVSFINIIDDKDQKVEETKQGTFGWKFSDWFGSENESTGDSLLDENVMDAKKQVKVKIIKSKFNNLVIVVEDADPPSDTGDHSYIRYIDESRGKRLRLIWAGPNAKLQFYGLNAMVITDVIVPNASTGLTFQLGRPPQGTTIDYCHGELLLYELEYEAAVVSDVEELYINDLDYPTRVSEVMWSEPTNLNLTFLPSQPMDLFKAIPGKAFSNDSTFSIEPELVYRYFSKLPMDSLKSQCNMFVRLNMNILQALVLLLPALRQAERTVPDVVAVVDFYTEGEKPRLKIASHRDEFFQYEIRPDGKPQASLLADVKMVLDSAVDEEVRLQQIHSKIKQGSTKLIINELLHFDDQNFLESDELPGIIRGNSIVARQGKKLKLVDRCYVAHSLIFDMKSSEFVEKFLSFDMGGVSFEAIDAGAALAEAAGEKAMKTIFNKAANSTQTQLAAWIARSIQTNEYSIEKAPNNIMSIRVNNSSKQHKIADYLLQRGSPSDFDGVIWFTVPRIFSDDYIEKSSMGKKTLYNMSNAVAATKGQSDLITFRAYDDVFFNNSATLDLYDREATLIRSNIRPVVNPQNMIYEVVDQDHPLEGLYVARQFFTTTELIKHMTITELEDPDLQEREFPMGNFLVVPLPGVGTQEYYGRAEKRNRDYASDIGLISNVEVYPYKKELVSVVHEVKVKEGTRVKNYIHTLTQFAHYDDVEQAVYNYARLVAVGADQMGDVDESDNVVFIFGIRLPIHNSLFMEATNMLEATTEHAPDVIFVMNHLNKRIQRIVGPFTPRLLEQLSSPWSNYRSDVELNNVWSIAPSRIDVAYDAESPQINLKLVNHLSLGEIGLRHLGEMVRAENRLVDFLSFIDQTDLDMHLGNIFLASDGPQLGLTGKFYKQNEEVETRLESSVATKTIARAKTPFNQSLDKMVVLALEVPSALIRAATQFSLDQLQWVKLPAMENTSEVLHKRLEKLQGELDPHLDRLKGFLFGQVEDIPDNEGIVTTNVETGKVQTDDKAKYETSKIALEVGYGSLYVPEKRPNFIYHRFVYNIKGANSKSILQRHFNSFEESGIESYFIVVPSEPNSSPYRHDGKFLLPKPRESATLLLAELTDSECTKKGHVSFFSNCGEPPPEDAAIQQFAQITASYSRLSLCFKFLNQQLPSLFQFAAELIAHYYSYDTGDHDSSGINQSAEVFNWFKEQSDEALFESAELLLPTSFWKTTLSTTIAKSDAKVSSFLWLVTLVVLVGMKYCVGTVPLAGAACAGSADGKCGM
+>sp|Q8TAS1|UHMK1_HUMAN Serine/threonine-protein kinase Kist OS=Homo sapiens OX=9606 GN=UHMK1 PE=1 SV=2
+MAGSGCAWGAEPPRFLEAFGRLWQVQSRLGSGSSASVYRVRCCGNPGSPPGALKQFLPPGTTGAAASAAEYGFRKERAALEQLQGHRNIVTLYGVFTIHFSPNVPSRCLLLELLDVSVSELLLYSSHQGCSMWMIQHCARDVLEALAFLHHEGYVHADLKPRNILWSAENECFKLIDFGLSFKEGNQDVKYIQTDGYRAPEAELQNCLAQAGLQSDTECTSAVDLWSLGIILLEMFSGMKLKHTVRSQEWKANSSAIIDHIFASKAVVNAAIPAYHLRDLIKSMLHDDPSRRIPAEMALCSPFFSIPFAPHIEDLVMLPTPVLRLLNVLDDDYLENEEEYEDVVEDVKEECQKYGPVVSLLVPKENPGRGQVFVEYANAGDSKAAQKLLTGRMFDGKFVVATFYPLSAYKRGYLYQTLL
+>DECOY_sp|Q8TAS1|UHMK1_HUMAN Serine/threonine-protein kinase Kist OS=Homo sapiens OX=9606 GN=UHMK1 PE=1 SV=2
+LLTQYLYGRKYASLPYFTAVVFKGDFMRGTLLKQAAKSDGANAYEVFVQGRGPNEKPVLLSVVPGYKQCEEKVDEVVDEYEEENELYDDDLVNLLRLVPTPLMVLDEIHPAFPISFFPSCLAMEAPIRRSPDDHLMSKILDRLHYAPIAANVVAKSAFIHDIIASSNAKWEQSRVTHKLKMGSFMELLIIGLSWLDVASTCETDSQLGAQALCNQLEAEPARYGDTQIYKVDQNGEKFSLGFDILKFCENEASWLINRPKLDAHVYGEHHLFALAELVDRACHQIMWMSCGQHSSYLLLESVSVDLLELLLCRSPVNPSFHITFVGYLTVINRHGQLQELAAREKRFGYEAASAAAGTTGPPLFQKLAGPPSGPNGCCRVRYVSASSGSGLRSQVQWLRGFAELFRPPEAGWACGSGAM
+>sp|Q9BZM6|ULBP1_HUMAN UL16-binding protein 1 OS=Homo sapiens OX=9606 GN=ULBP1 PE=1 SV=1
+MAAAASPAFLLCLPLLHLLSGWSRAGWVDTHCLCYDFIITPKSRPEPQWCEVQGLVDERPFLHYDCVNHKAKAFASLGKKVNVTKTWEEQTETLRDVVDFLKGQLLDIQVENLIPIEPLTLQARMSCEHEAHGHGRGSWQFLFNGQKFLLFDSNNRKWTALHPGAKKMTEKWEKNRDVTMFFQKISLGDCKMWLEEFLMYWEQMLDPTKPPSLAPGTTQPKAMATTLSPWSLLIIFLCFILAGR
+>DECOY_sp|Q9BZM6|ULBP1_HUMAN UL16-binding protein 1 OS=Homo sapiens OX=9606 GN=ULBP1 PE=1 SV=1
+RGALIFCLFIILLSWPSLTTAMAKPQTTGPALSPPKTPDLMQEWYMLFEELWMKCDGLSIKQFFMTVDRNKEWKETMKKAGPHLATWKRNNSDFLLFKQGNFLFQWSGRGHGHAEHECSMRAQLTLPEIPILNEVQIDLLQGKLFDVVDRLTETQEEWTKTVNVKKGLSAFAKAKHNVCDYHLFPREDVLGQVECWQPEPRSKPTIIFDYCLCHTDVWGARSWGSLLHLLPLCLLFAPSAAAAM
+>sp|Q9UPW8|UN13A_HUMAN Protein unc-13 homolog A OS=Homo sapiens OX=9606 GN=UNC13A PE=2 SV=4
+MSLLCVGVKKAKFDGAQEKFNTYVTLKVQNVKSTTIAVRGSQPSWEQDFMFEINRLDLGLTVEVWNKGLIWDTMVGTVWIPLRTIRQSNEEGPGEWLTLDSQVIMADSEICGTKDPTFHRILLDTRFELPLDIPEEEARYWAKKLEQLNAMRDQDEYSFQDEQDKPLPVPSNQCCNWNYFGWGEQHNDDPDSAVDDRDSDYRSETSNSIPPPYYTTSQPNASVHQYSVRPPPLGSRESYSDSMHSYEEFSEPQALSPTGSSRYASSGELSQGSSQLSEDFDPDEHSLQGSDMEDERDRDSYHSCHSSVSYHKDSPRWDQDEEELEEDLEDFLEEEELPEDEEELEEEEEEVPDDLGSYAQREDVAVAEPKDFKRISLPPAAPGKEDKAPVAPTEAPDMAKVAPKPATPDKVPAAEQIPEAEPPKDEESFRPREDEEGQEGQDSMSRAKANWLRAFNKVRMQLQEARGEGEMSKSLWFKGGPGGGLIIIDSMPDIRKRKPIPLVSDLAMSLVQSRKAGITSALASSTLNNEELKNHVYKKTLQALIYPISCTTPHNFEVWTATTPTYCYECEGLLWGIARQGMRCTECGVKCHEKCQDLLNADCLQRAAEKSSKHGAEDRTQNIIMVLKDRMKIRERNKPEIFELIQEIFAVTKTAHTQQMKAVKQSVLDGTSKWSAKISITVVCAQGLQAKDKTGSSDPYVTVQVGKTKKRTKTIYGNLNPVWEENFHFECHNSSDRIKVRVWDEDDDIKSRVKQRFKRESDDFLGQTIIEVRTLSGEMDVWYNLDKRTDKSAVSGAIRLHISVEIKGEEKVAPYHVQYTCLHENLFHFVTDVQNNGVVKIPDAKGDDAWKVYYDETAQEIVDEFAMRYGVESIYQAMTHFACLSSKYMCPGVPAVMSTLLANINAYYAHTTASTNVSASDRFAASNFGKERFVKLLDQLHNSLRIDLSMYRNNFPASSPERLQDLKSTVDLLTSITFFRMKVQELQSPPRASQVVKDCVKACLNSTYEYIFNNCHELYSREYQTDPAKKGEVLPEEQGPSIKNLDFWSKLITLIVSIIEEDKNSYTPCLNQFPQELNVGKISAEVMWNLFAQDMKYAMEEHDKHRLCKSADYMNLHFKVKWLYNEYVTELPAFKDRVPEYPAWFEPFVIQWLDENEEVSRDFLHGALERDKKDGFQQTSEHALFSCSVVDVFSQLNQSFEIIKKLECPDPQIVGHYMRRFAKTISNVLLQYADIISKDFASYCSKEKEKVPCILMNNTQQLRVQLEKMFEAMGGKELDAEASDILKELQVKLNNVLDELSRVFATSFQPHIEECVKQMGDILSQVKGTGNVPASACSSVAQDADNVLQPIMDLLDSNLTLFAKICEKTVLKRVLKELWKLVMNTMEKTIVLPPLTDQTMIGNLLRKHGKGLEKGRVKLPSHSDGTQMIFNAAKELGQLSKLKDHMVREEAKSLTPKQCAVVELALDTIKQYFHAGGVGLKKTFLEKSPDLQSLRYALSLYTQATDLLIKTFVQTQSAQGLGVEDPVGEVSVHVELFTHPGTGEHKVTVKVVAANDLKWQTSGIFRPFIEVNIIGPQLSDKKRKFATKSKNNSWAPKYNESFQFTLSADAGPECYELQVCVKDYCFAREDRTVGLAVLQLRELAQRGSAACWLPLGRRIHMDDTGLTVLRILSQRSNDEVAKEFVKLKSDTRSAEEGGAAPAP
+>DECOY_sp|Q9UPW8|UN13A_HUMAN Protein unc-13 homolog A OS=Homo sapiens OX=9606 GN=UNC13A PE=2 SV=4
+PAPAAGGEEASRTDSKLKVFEKAVEDNSRQSLIRLVTLGTDDMHIRRGLPLWCAASGRQALERLQLVALGVTRDERAFCYDKVCVQLEYCEPGADASLTFQFSENYKPAWSNNKSKTAFKRKKDSLQPGIINVEIFPRFIGSTQWKLDNAAVVKVTVKHEGTGPHTFLEVHVSVEGVPDEVGLGQASQTQVFTKILLDTAQTYLSLAYRLSQLDPSKELFTKKLGVGGAHFYQKITDLALEVVACQKPTLSKAEERVMHDKLKSLQGLEKAANFIMQTGDSHSPLKVRGKELGKGHKRLLNGIMTQDTLPPLVITKEMTNMVLKWLEKLVRKLVTKECIKAFLTLNSDLLDMIPQLVNDADQAVSSCASAPVNGTGKVQSLIDGMQKVCEEIHPQFSTAFVRSLEDLVNNLKVQLEKLIDSAEADLEKGGMAEFMKELQVRLQQTNNMLICPVKEKEKSCYSAFDKSIIDAYQLLVNSITKAFRRMYHGVIQPDPCELKKIIEFSQNLQSFVDVVSCSFLAHESTQQFGDKKDRELAGHLFDRSVEENEDLWQIVFPEFWAPYEPVRDKFAPLETVYENYLWKVKFHLNMYDASKCLRHKDHEEMAYKMDQAFLNWMVEASIKGVNLEQPFQNLCPTYSNKDEEIISVILTILKSWFDLNKISPGQEEPLVEGKKAPDTQYERSYLEHCNNFIYEYTSNLCAKVCDKVVQSARPPSQLEQVKMRFFTISTLLDVTSKLDQLREPSSAPFNNRYMSLDIRLSNHLQDLLKVFREKGFNSAAFRDSASVNTSATTHAYYANINALLTSMVAPVGPCMYKSSLCAFHTMAQYISEVGYRMAFEDVIEQATEDYYVKWADDGKADPIKVVGNNQVDTVFHFLNEHLCTYQVHYPAVKEEGKIEVSIHLRIAGSVASKDTRKDLNYWVDMEGSLTRVEIITQGLFDDSERKFRQKVRSKIDDDEDWVRVKIRDSSNHCEFHFNEEWVPNLNGYITKTRKKTKGVQVTVYPDSSGTKDKAQLGQACVVTISIKASWKSTGDLVSQKVAKMQQTHATKTVAFIEQILEFIEPKNRERIKMRDKLVMIINQTRDEAGHKSSKEAARQLCDANLLDQCKEHCKVGCETCRMGQRAIGWLLGECEYCYTPTTATWVEFNHPTTCSIPYILAQLTKKYVHNKLEENNLTSSALASTIGAKRSQVLSMALDSVLPIPKRKRIDPMSDIIILGGGPGGKFWLSKSMEGEGRAEQLQMRVKNFARLWNAKARSMSDQGEQGEEDERPRFSEEDKPPEAEPIQEAAPVKDPTAPKPAVKAMDPAETPAVPAKDEKGPAAPPLSIRKFDKPEAVAVDERQAYSGLDDPVEEEEEELEEEDEPLEEEELFDELDEELEEEDQDWRPSDKHYSVSSHCSHYSDRDREDEMDSGQLSHEDPDFDESLQSSGQSLEGSSAYRSSGTPSLAQPESFEEYSHMSDSYSERSGLPPPRVSYQHVSANPQSTTYYPPPISNSTESRYDSDRDDVASDPDDNHQEGWGFYNWNCCQNSPVPLPKDQEDQFSYEDQDRMANLQELKKAWYRAEEEPIDLPLEFRTDLLIRHFTPDKTGCIESDAMIVQSDLTLWEGPGEENSQRITRLPIWVTGVMTDWILGKNWVEVTLGLDLRNIEFMFDQEWSPQSGRVAITTSKVNQVKLTVYTNFKEQAGDFKAKKVGVCLLSM
+>sp|Q8IV45|UN5CL_HUMAN UNC5C-like protein OS=Homo sapiens OX=9606 GN=UNC5CL PE=1 SV=2
+MCPQESSFQPSQFLLLVGVPVASVLLLAQCLRWHCPRRLLGACWTLNGQEEPVSQPTPQLENEVSRQHLPATLPEMVAFYQELHTPTQGQTMVRQLMHKLLVFSAREVDHRGGCLMLQDTGISLLIPPGAVAVGRQERVSLILVWDLSDAPSLSQAQGLVSPVVACGPHGASFLKPCTLTFKHCAEQPSHARTYSSNTTLLDAKVWRPLGRPGAHASRDECRIHLSHFSLYTCVLEAPVGREARKWLQLAVFCSPLVPGQSHLQLRIYFLNNTPCALQWALTNEQPHGGRLRGPCQLFDFNGARGDQCLKLTYISEGWENVDDSSCQLVPHLHIWHGKCPFRSFCFRRKAADENEDCSALTNEIIVTMHTFQDGLETKYMEILRFQASEEESWAAPPPVSQPPPCNRLPPELFEQLRMLLEPNSITGNDWRRLASHLGLCGMKIRFLSCQRSPAAAILELFEEQNGSLQELHYLMTVMERLDCASAIQNYLSGTHGGSPGPERGGARDNQGLELDEKL
+>DECOY_sp|Q8IV45|UN5CL_HUMAN UNC5C-like protein OS=Homo sapiens OX=9606 GN=UNC5CL PE=1 SV=2
+LKEDLELGQNDRAGGREPGPSGGHTGSLYNQIASACDLREMVTMLYHLEQLSGNQEEFLELIAAAPSRQCSLFRIKMGCLGLHSALRRWDNGTISNPELLMRLQEFLEPPLRNCPPPQSVPPPAAWSEEESAQFRLIEMYKTELGDQFTHMTVIIENTLASCDENEDAAKRRFCFSRFPCKGHWIHLHPVLQCSSDDVNEWGESIYTLKLCQDGRAGNFDFLQCPGRLRGGHPQENTLAWQLACPTNNLFYIRLQLHSQGPVLPSCFVALQLWKRAERGVPAELVCTYLSFHSLHIRCEDRSAHAGPRGLPRWVKADLLTTNSSYTRAHSPQEACHKFTLTCPKLFSAGHPGCAVVPSVLGQAQSLSPADSLDWVLILSVREQRGVAVAGPPILLSIGTDQLMLCGGRHDVERASFVLLKHMLQRVMTQGQTPTHLEQYFAVMEPLTAPLHQRSVENELQPTPQSVPEEQGNLTWCAGLLRRPCHWRLCQALLLVSAVPVGVLLLFQSPQFSSEQPCM
+>sp|Q6ZN44|UNC5A_HUMAN Netrin receptor UNC5A OS=Homo sapiens OX=9606 GN=UNC5A PE=1 SV=3
+MAVRPGLWPALLGIVLAAWLRGSGAQQSATVANPVPGANPDLLPHFLVEPEDVYIVKNKPVLLVCKAVPATQIFFKCNGEWVRQVDHVIERSTDGSSGLPTMEVRINVSRQQVEKVFGLEEYWCQCVAWSSSGTTKSQKAYIRIAYLRKNFEQEPLAKEVSLEQGIVLPCRPPEGIPPAEVEWLRNEDLVDPSLDPNVYITREHSLVVRQARLADTANYTCVAKNIVARRRSASAAVIVYVDGSWSPWSKWSACGLDCTHWRSRECSDPAPRNGGEECQGTDLDTRNCTSDLCVHTASGPEDVALYVGLIAVAVCLVLLLLVLILVYCRKKEGLDSDVADSSILTSGFQPVSIKPSKADNPHLLTIQPDLSTTTTTYQGSLCPRQDGPSPKFQLTNGHLLSPLGGGRHTLHHSSPTSEAEEFVSRLSTQNYFRSLPRGTSNMTYGTFNFLGGRLMIPNTGISLLIPPDAIPRGKIYEIYLTLHKPEDVRLPLAGCQTLLSPIVSCGPPGVLLTRPVILAMDHCGEPSPDSWSLRLKKQSCEGSWEDVLHLGEEAPSHLYYCQLEASACYVFTEQLGRFALVGEALSVAAAKRLKLLLFAPVACTSLEYNIRVYCLHDTHDALKEVVQLEKQLGGQLIQEPRVLHFKDSYHNLRLSIHDVPSSLWKSKLLVSYQEIPFYHIWNGTQRYLHCTFTLERVSPSTSDLACKLWVWQVEGDGQSFSINFNITKDTRFAELLALESEAGVPALVGPSAFKIPFLIRQKIISSLDPPCRRGADWRTLAQKLHLDSHLSFFASKPSPTAMILNLWEARHFPNGNLSQLAAAVAGLGQPDAGLFTVSEAEC
+>DECOY_sp|Q6ZN44|UNC5A_HUMAN Netrin receptor UNC5A OS=Homo sapiens OX=9606 GN=UNC5A PE=1 SV=3
+CEAESVTFLGADPQGLGAVAAALQSLNGNPFHRAEWLNLIMATPSPKSAFFSLHSDLHLKQALTRWDAGRRCPPDLSSIIKQRILFPIKFASPGVLAPVGAESELALLEAFRTDKTINFNISFSQGDGEVQWVWLKCALDSTSPSVRELTFTCHLYRQTGNWIHYFPIEQYSVLLKSKWLSSPVDHISLRLNHYSDKFHLVRPEQILQGGLQKELQVVEKLADHTDHLCYVRINYELSTCAVPAFLLLKLRKAAAVSLAEGVLAFRGLQETFVYCASAELQCYYLHSPAEEGLHLVDEWSGECSQKKLRLSWSDPSPEGCHDMALIVPRTLLVGPPGCSVIPSLLTQCGALPLRVDEPKHLTLYIEYIKGRPIADPPILLSIGTNPIMLRGGLFNFTGYTMNSTGRPLSRFYNQTSLRSVFEEAESTPSSHHLTHRGGGLPSLLHGNTLQFKPSPGDQRPCLSGQYTTTTTSLDPQITLLHPNDAKSPKISVPQFGSTLISSDAVDSDLGEKKRCYVLILVLLLLVLCVAVAILGVYLAVDEPGSATHVCLDSTCNRTDLDTGQCEEGGNRPAPDSCERSRWHTCDLGCASWKSWPSWSGDVYVIVAASASRRRAVINKAVCTYNATDALRAQRVVLSHERTIYVNPDLSPDVLDENRLWEVEAPPIGEPPRCPLVIGQELSVEKALPEQEFNKRLYAIRIYAKQSKTTGSSSWAVCQCWYEELGFVKEVQQRSVNIRVEMTPLGSSGDTSREIVHDVQRVWEGNCKFFIQTAPVAKCVLLVPKNKVIYVDEPEVLFHPLLDPNAGPVPNAVTASQQAGSGRLWAALVIGLLAPWLGPRVAM
+>sp|O75841|UPK1B_HUMAN Uroplakin-1b OS=Homo sapiens OX=9606 GN=UPK1B PE=1 SV=5
+MAKDNSTVRCFQGLLIFGNVIIGCCGIALTAECIFFVSDQHSLYPLLEATDNDDIYGAAWIGIFVGICLFCLSVLGIVGIMKSSRKILLAYFILMFIVYAFEVASCITAATQQDFFTPNLFLKQMLERYQNNSPPNNDDQWKNNGVTKTWDRLMLQDNCCGVNGPSDWQKYTSAFRTENNDADYPWPRQCCVMNNLKEPLNLEACKLGVPGFYHNQGCYELISGPMNRHAWGVAWFGFAILCWTFWVLLGTMFYWSRIEY
+>DECOY_sp|O75841|UPK1B_HUMAN Uroplakin-1b OS=Homo sapiens OX=9606 GN=UPK1B PE=1 SV=5
+YEIRSWYFMTGLLVWFTWCLIAFGFWAVGWAHRNMPGSILEYCGQNHYFGPVGLKCAELNLPEKLNNMVCCQRPWPYDADNNETRFASTYKQWDSPGNVGCCNDQLMLRDWTKTVGNNKWQDDNNPPSNNQYRELMQKLFLNPTFFDQQTAATICSAVEFAYVIFMLIFYALLIKRSSKMIGVIGLVSLCFLCIGVFIGIWAAGYIDDNDTAELLPYLSHQDSVFFICEATLAIGCCGIIVNGFILLGQFCRVTSNDKAM
+>sp|Q96BW1|UPP_HUMAN Uracil phosphoribosyltransferase homolog OS=Homo sapiens OX=9606 GN=UPRT PE=1 SV=1
+MATELQCPDSMPCHNQQVNSASTPSPEQLRPGDLILDHAGGNRASRAKVILLTGYAHSSLPAELDSGACGGSSLNSEGNSGSGDSSSYDAPAGNSFLEDCELSRQIGAQLKLLPMNDQIRELQTIIRDKTASRGDFMFSADRLIRLVVEEGLNQLPYKECMVTTPTGYKYEGVKFEKGNCGVSIMRSGEAMEQGLRDCCRSIRIGKILIQSDEETQRAKVYYAKFPPDIYRRKVLLMYPILSTGNTVIEAVKVLIEHGVQPSVIILLSLFSTPHGAKSIIQEFPEITILTTEVHPVAPTHFGQKYFGTD
+>DECOY_sp|Q96BW1|UPP_HUMAN Uracil phosphoribosyltransferase homolog OS=Homo sapiens OX=9606 GN=UPRT PE=1 SV=1
+DTGFYKQGFHTPAVPHVETTLITIEPFEQIISKAGHPTSFLSLLIIVSPQVGHEILVKVAEIVTNGTSLIPYMLLVKRRYIDPPFKAYYVKARQTEEDSQILIKGIRISRCCDRLGQEMAEGSRMISVGCNGKEFKVGEYKYGTPTTVMCEKYPLQNLGEEVVLRILRDASFMFDGRSATKDRIITQLERIQDNMPLLKLQAGIQRSLECDELFSNGAPADYSSSDGSGSNGESNLSSGGCAGSDLEAPLSSHAYGTLLIVKARSARNGGAHDLILDGPRLQEPSPTSASNVQQNHCPMSDPCQLETAM
+>sp|Q9BRT2|UQCC2_HUMAN Ubiquinol-cytochrome-c reductase complex assembly factor 2 OS=Homo sapiens OX=9606 GN=UQCC2 PE=1 SV=1
+MAASRYRRFLKLCEEWPVDETKRGRDLGAYLRQRVAQAFREGENTQVAEPEACDQMYESLARLHSNYYKHKYPRPRDTSFSGLSLEEYKLILSTDTLEELKEIDKGMWKKLQEKFAPKGPEEDHKA
+>DECOY_sp|Q9BRT2|UQCC2_HUMAN Ubiquinol-cytochrome-c reductase complex assembly factor 2 OS=Homo sapiens OX=9606 GN=UQCC2 PE=1 SV=1
+AKHDEEPGKPAFKEQLKKWMGKDIEKLEELTDTSLILKYEELSLGSFSTDRPRPYKHKYYNSHLRALSEYMQDCAEPEAVQTNEGERFAQAVRQRLYAGLDRGRKTEDVPWEECLKLFRRYRSAAM
+>sp|Q6UW78|UQCC3_HUMAN Ubiquinol-cytochrome-c reductase complex assembly factor 3 OS=Homo sapiens OX=9606 GN=UQCC3 PE=1 SV=2
+MDSLRKMLISVAMLGAGAGVGYALLVIVTPGERRKQEMLKEMPLQDPRSREEAARTQQLLLATLQEAATTQENVAWRKNWMVGGEGGAGGRSP
+>DECOY_sp|Q6UW78|UQCC3_HUMAN Ubiquinol-cytochrome-c reductase complex assembly factor 3 OS=Homo sapiens OX=9606 GN=UQCC3 PE=1 SV=2
+PSRGGAGGEGGVMWNKRWAVNEQTTAAEQLTALLLQQTRAAEERSRPDQLPMEKLMEQKRREGPTVIVLLAYGVGAGAGLMAVSILMKRLSDM
+>sp|A6NGE7|URAD_HUMAN Putative 2-oxo-4-hydroxy-4-carboxy-5-ureidoimidazoline decarboxylase OS=Homo sapiens OX=9606 GN=URAD PE=5 SV=2
+MDIEKVNSMDLGEFVDVFGNATERCPLIAAAVWSQRPFSDLEDLEKHFFAFIDALAQSGQEGILRCHPDLAGSELQRGTLTAESQREQSGAGLRSLGADERLRLAELNAQYRARFGFPFVLAARFSDRTAVPRELARRLLCPSAQELRTALGEVKKIGSLRLADLLRADPAKL
+>DECOY_sp|A6NGE7|URAD_HUMAN Putative 2-oxo-4-hydroxy-4-carboxy-5-ureidoimidazoline decarboxylase OS=Homo sapiens OX=9606 GN=URAD PE=5 SV=2
+LKAPDARLLDALRLSGIKKVEGLATRLEQASPCLLRRALERPVATRDSFRAALVFPFGFRARYQANLEALRLREDAGLSRLGAGSQERQSEATLTGRQLESGALDPHCRLIGEQGSQALADIFAFFHKELDELDSFPRQSWVAAAILPCRETANGFVDVFEGLDMSNVKEIDM
+>sp|Q6BDS2|URFB1_HUMAN UHRF1-binding protein 1 OS=Homo sapiens OX=9606 GN=UHRF1BP1 PE=1 SV=1
+MAGIIKKQILKHLSRFTKNLSPDKINLSTLKGEGQLTNLELDEEVLQNVLELPTWLAITRVYCNRASIRIQWTKLKTHPICLCLDKVEVEMKTCEDPRPPNGQSPIALASGQSEYGFAEKVVEGMFIIVNSITIKIHSKAFHASFELWQLQGYSVNPNWQQSDLRLTRITDPCRGEVLTFKEITWQTLRIEADATDNGDQDPVTTPLRLITNQGRIQIALKRRTKDCNVISSKLMFLLDDLLWVLTDSQLKAMMKYAESLSEAMEKSAHQRKSLAPEPVQITPPAPSAQQSWAQAFGGSQGNSNSSSSRLSQYFEKFDVKESSYHLLISRLDLHICDDSQSREPGVSANRLMGGAMQLTFRKMAFDYYPFHWAGDSCKHWVRHCEAMETRGQWAQKLVMEFQSKMEKWHEETGLKPPWHLGVDSLFRRKADSLSSPRKNPLERSPSQGRQPAFQPPAWNRLRSSCMVVRVDDLDIHQVSTAGQPSKKPSTLLSCSRKLHNLPTQVSAIHIEFTEYYFPDNQELPVPCPNLYIQLNGLTFTMDPVSLLWGNLFCLDLYRSLEQFKAIYKLEDSSQKDEHLDIRLDAFWLKVSFPLEKRERAELHRPQALVFSASGMIATNTRHAPHCSCSDLQSLFRGFAAAEFFHSNYDHFPKVPGGFSLLHMLFLHHAFQMDSCLPQPNTLPPQRPKASWDLWSVHFTQISLDFEGTENFKGHTLNFVAPFPLSIWACLPLRWQQAQARKLLLASEGRLKPSASFGSPVQSEALAPDSMSHPRSKTEHDLKSLSGLTEVMEILKEGSSGMDNKGPLTELEDVADVHMLVHSPAHVRVRLDHYQYLALLRLKEVLQRLQEQLTKDTESMTGSPLQNQTACIGVLFPSAEVALLMHPAPGAVDADSAGSDSTSLVDSELSPSEDRELKSDASSDQGPASPEKVLEESSIENQDVSQERPHSNGELQDSGPLAQQLAGKGHEAVESLQAKKLSRTQASSSPAALKPPAGRETAVNGQGELIPLKNIEGELSSAIHMTKDATKEALHATMDLTKEAVSLTKDAFSLGRDRMTSTMHKMLSLPPAKEPMAKTDEGVAAPVSGGAARLRFFSMKRTVSQQSFDGVSLDSSGPEDRISVDSDGSDSFVMLLESESGPESVPPGSLSNVSDNAGVQGSPLVNNYGQGSPAANSSVSPSGEDLIFHPVSVLVLKVNEVSFGIEVRGEDLTVALQAEELTLQQLGTVGLWQFLHGQCPGTCFQESSTLKTGHIRPAVGLRFEVGPGAAVHSPLASQNGFLHLLLHGCDLELLTSVLSGLGPFLEDEEIPVVVPMQIELLNSSITLKDDIPPIYPTSPGPIPITLAMEHVVLKRSDDGVFHIGAAAQDKPSAEVLKSEKRQPPKEQVFLVPTGEVFEQQVKELPILQKELIETKQALANANQDKEKLLQEIRKYNPFFEL
+>DECOY_sp|Q6BDS2|URFB1_HUMAN UHRF1-binding protein 1 OS=Homo sapiens OX=9606 GN=UHRF1BP1 PE=1 SV=1
+LEFFPNYKRIEQLLKEKDQNANALAQKTEILEKQLIPLEKVQQEFVEGTPVLFVQEKPPQRKESKLVEASPKDQAAAGIHFVGDDSRKLVVHEMALTIPIPGPSTPYIPPIDDKLTISSNLLEIQMPVVVPIEEDELFPGLGSLVSTLLELDCGHLLLHLFGNQSALPSHVAAGPGVEFRLGVAPRIHGTKLTSSEQFCTGPCQGHLFQWLGVTGLQQLTLEEAQLAVTLDEGRVEIGFSVENVKLVLVSVPHFILDEGSPSVSSNAAPSGQGYNNVLPSGQVGANDSVNSLSGPPVSEPGSESELLMVFSDSGDSDVSIRDEPGSSDLSVGDFSQQSVTRKMSFFRLRAAGGSVPAAVGEDTKAMPEKAPPLSLMKHMTSTMRDRGLSFADKTLSVAEKTLDMTAHLAEKTADKTMHIASSLEGEINKLPILEGQGNVATERGAPPKLAAPSSSAQTRSLKKAQLSEVAEHGKGALQQALPGSDQLEGNSHPREQSVDQNEISSEELVKEPSAPGQDSSADSKLERDESPSLESDVLSTSDSGASDADVAGPAPHMLLAVEASPFLVGICATQNQLPSGTMSETDKTLQEQLRQLVEKLRLLALYQYHDLRVRVHAPSHVLMHVDAVDELETLPGKNDMGSSGEKLIEMVETLGSLSKLDHETKSRPHSMSDPALAESQVPSGFSASPKLRGESALLLKRAQAQQWRLPLCAWISLPFPAVFNLTHGKFNETGEFDLSIQTFHVSWLDWSAKPRQPPLTNPQPLCSDMQFAHHLFLMHLLSFGGPVKPFHDYNSHFFEAAAFGRFLSQLDSCSCHPAHRTNTAIMGSASFVLAQPRHLEARERKELPFSVKLWFADLRIDLHEDKQSSDELKYIAKFQELSRYLDLCFLNGWLLSVPDMTFTLGNLQIYLNPCPVPLEQNDPFYYETFEIHIASVQTPLNHLKRSCSLLTSPKKSPQGATSVQHIDLDDVRVVMCSSRLRNWAPPQFAPQRGQSPSRELPNKRPSSLSDAKRRFLSDVGLHWPPKLGTEEHWKEMKSQFEMVLKQAWQGRTEMAECHRVWHKCSDGAWHFPYYDFAMKRFTLQMAGGMLRNASVGPERSQSDDCIHLDLRSILLHYSSEKVDFKEFYQSLRSSSSNSNGQSGGFAQAWSQQASPAPPTIQVPEPALSKRQHASKEMAESLSEAYKMMAKLQSDTLVWLLDDLLFMLKSSIVNCDKTRRKLAIQIRGQNTILRLPTTVPDQDGNDTADAEIRLTQWTIEKFTLVEGRCPDTIRTLRLDSQQWNPNVSYGQLQWLEFSAHFAKSHIKITISNVIIFMGEVVKEAFGYESQGSALAIPSQGNPPRPDECTKMEVEVKDLCLCIPHTKLKTWQIRISARNCYVRTIALWTPLELVNQLVEEDLELNTLQGEGKLTSLNIKDPSLNKTFRSLHKLIQKKIIGAM
+>sp|Q68DE3|USF3_HUMAN Basic helix-loop-helix domain-containing protein USF3 OS=Homo sapiens OX=9606 GN=USF3 PE=1 SV=3
+MPEMTENETPTKKQHRKKNRETHNAVERHRKKKINAGINRIGELIPCSPALKQSKNMILDQAFKYITELKRQNDELLLNGGNNEQAEEIKKLRKQLEEIQKENGRYIELLKANDICLYDDPTIHWKGNLKNSKVSVVIPSDQVQKKIIVYSNGNQPGGNSQGTAVQGITFNVSHNLQKQTANVVPVQRTCNLVTPVSISGVYPSENKPWHQTTVPALATNQPVPLCLPAAISAQSILELPTSESESNVLGATSGSLIAVSIESEPHQHHSLHTCLNDQNSSENKNGQENPKVLKKMTPCVTNIPHSSSATATKVHHGNKSCLSIQDFRGDFQNTFVVSVTTTVCSQPPRTAGDSSPMSISKSADLTSTATVVASSAPGVGKATIPISTLSGNPLDNGWTLSCSLPSSSVSTSDLKNINSLTRISSAGNTQTTWTTLQLAGNTIQPLSQTPSSAVTPVLNESGTSPTTSNHSRYVATDINLNNSFPADGQPVEQVVVTLPSCPSLPMQPLIAQPQVKSQPPKNILPLNSAMQVIQMAQPVGSAVNSAPTNQNVIILQPPSTTPCPTVMRAEVSNQTVGQQIVIIQAANQNPLPLLPAPPPGSVRLPINGANTVIGSNNSVQNVPTPQTFGGKHLVHILPRPSSLSASNSTQTFSVTMSNQQPQTISLNGQLFALQPVMSSSGTTNQTPMQIIQPTTSEDPNTNVALNTFGALASLNQSISQMAGQSCVQLSISQPANSQTAANSQTTTANCVSLTTTAAPPVTTDSSATLASTYNLVSTSSMNTVACLPNMKSKRLNKKPGGRKHLAANKSACPLNSVRDVSKLDCPNTEGSAEPPCNDGLLESFPAVLPSVSVSQANSVSVSASHSLGVLSSESLIPESVSKSKSAEKSSPPSQESVTSEHFAMAAAKSKDSTPNLQQETSQDKPPSSLALSDAAKPCASANVLIPSPSDPHILVSQVPGLSSTTSTTSTDCVSEVEIIAEPCRVEQDSSDTMQTTGLLKGQGLTTLLSDLAKKKNPQKSSLSDQMDHPDFSSENPKIVDSSVNLHPKQELLLMNNDDRDPPQHHSCLPDQEVINGSLINGRQADSPMSTSSGSSRSFSVASMLPETTREDVTSNATTNTCDSCTFVEQTDIVALAARAIFDQENLEKGRVGLQADIREVASKPSEASLLEGDPPFKSQIPKESGTGQAEATPNEFNSQGSIEATMERPLEKPSCSLGIKTSNASLQDSTSQPPSITSLSVNNLIHQSSISHPLASCAGLSPTSEQTTVPATVNLTVSSSSYGSQPPGPSLMTEYSQEQLNTMTSTIPNSQIQEPLLKPSHESRKDSAKRAVQDDLLLSSAKRQKHCQPAPLRLESMSLMSRTPDTISDQTQMMVSQIPPNSSNSVVPVSNPAHGDGLTRLFPPSNNFVTPALRQTEVQCGSQPSVAEQQQTQASQHLQALQQHVPAQGVSHLHSNHLYIKQQQQQQQQQQQQQQQQQAGQLRERHHLYQMQHHVPHAESSVHSQPHNVHQQRTLQQEVQMQKKRNLVQGTQTSQLSLQPKHHGTDQSRSKTGQPHPHHQQMQQQMQQHFGSSQTEKSCENPSTSRNHHNHPQNHLNQDIMHQQQDVGSRQQGSGVSSEHVSGHNPMQRLLTSRGLEQQMVSQPSIVTRSSDMTCTPHRPERNRVSSYSAEALIGKTSSNSEQRMGISIQGSRVSDQLEMRSYLDVPRNKSLAIHNMQGRVDHTVASDIRLSDCQTFKPSGASQQPQSNFEVQSSRNNEIGNPVSSLRSMQSQAFRISQNTGPPPIDRQKRLSYPPVQSIPTGNGIPSRDSENTCHQSFMQSLLAPHLSDQVIGSQRSLSEHQRNTQCGPSSAIEYNCPPTHENVHIRRESESQNRESCDMSLGAINTRNSTLNIPFSSSSSSGDIQGRNTSPNVSVQKSNPMRITESHATKGHMNPPVTTNMHGVARPALPHPSVSHGNGDQGPAVRQANSSVPQRSRHPLQDSSGSKIRQPERNRSGNQRQSTVFDPSLPHLPLSTGGSMILGRQQPATEKRGSIVRFMPDSPQVPNDNSGPDQHTLSQNFGFSFIPEGGMNPPINANASFIPQVTQPSATRTPALIPVDPQNTLPSFYPPYSPAHPTLSNDISIPYFPNQMFSNPSTEKVNSGSLNNRFGSILSPPRPVGFAQPSFPLLPDMPPMHMTNSHLSNFNMTSLFPEIATVLPDGSAMSPLLTIANSSASDSSKQSSNRPAHNISHILGHDCSSAV
+>DECOY_sp|Q68DE3|USF3_HUMAN Basic helix-loop-helix domain-containing protein USF3 OS=Homo sapiens OX=9606 GN=USF3 PE=1 SV=3
+VASSCDHGLIHSINHAPRNSSQKSSDSASSNAITLLPSMASGDPLVTAIEPFLSTMNFNSLHSNTMHMPPMDPLLPFSPQAFGVPRPPSLISGFRNNLSGSNVKETSPNSFMQNPFYPISIDNSLTPHAPSYPPYFSPLTNQPDVPILAPTRTASPQTVQPIFSANANIPPNMGGEPIFSFGFNQSLTHQDPGSNDNPVQPSDPMFRVISGRKETAPQQRGLIMSGGTSLPLHPLSPDFVTSQRQNGSRNREPQRIKSGSSDQLPHRSRQPVSSNAQRVAPGQDGNGHSVSPHPLAPRAVGHMNTTVPPNMHGKTAHSETIRMPNSKQVSVNPSTNRGQIDGSSSSSSFPINLTSNRTNIAGLSMDCSERNQSESERRIHVNEHTPPCNYEIASSPGCQTNRQHESLSRQSGIVQDSLHPALLSQMFSQHCTNESDRSPIGNGTPISQVPPYSLRKQRDIPPPGTNQSIRFAQSQMSRLSSVPNGIENNRSSQVEFNSQPQQSAGSPKFTQCDSLRIDSAVTHDVRGQMNHIALSKNRPVDLYSRMELQDSVRSGQISIGMRQESNSSTKGILAEASYSSVRNREPRHPTCTMDSSRTVISPQSVMQQELGRSTLLRQMPNHGSVHESSVGSGQQRSGVDQQQHMIDQNLHNQPHNHHNRSTSPNECSKETQSSGFHQQMQQQMQQHHPHPQGTKSRSQDTGHHKPQLSLQSTQTGQVLNRKKQMQVEQQLTRQQHVNHPQSHVSSEAHPVHHQMQYLHHRERLQGAQQQQQQQQQQQQQQQQQKIYLHNSHLHSVGQAPVHQQLAQLHQSAQTQQQEAVSPQSGCQVETQRLAPTVFNNSPPFLRTLGDGHAPNSVPVVSNSSNPPIQSVMMQTQDSITDPTRSMLSMSELRLPAPQCHKQRKASSLLLDDQVARKASDKRSEHSPKLLPEQIQSNPITSTMTNLQEQSYETMLSPGPPQSGYSSSSVTLNVTAPVTTQESTPSLGACSALPHSISSQHILNNVSLSTISPPQSTSDQLSANSTKIGLSCSPKELPREMTAEISGQSNFENPTAEAQGTGSEKPIQSKFPPDGELLSAESPKSAVERIDAQLGVRGKELNEQDFIARAALAVIDTQEVFTCSDCTNTTANSTVDERTTEPLMSAVSFSRSSGSSTSMPSDAQRGNILSGNIVEQDPLCSHHQPPDRDDNNMLLLEQKPHLNVSSDVIKPNESSFDPHDMQDSLSSKQPNKKKALDSLLTTLGQGKLLGTTQMTDSSDQEVRCPEAIIEVESVCDTSTTSTTSSLGPVQSVLIHPDSPSPILVNASACPKAADSLALSSPPKDQSTEQQLNPTSDKSKAAAMAFHESTVSEQSPPSSKEASKSKSVSEPILSESSLVGLSHSASVSVSNAQSVSVSPLVAPFSELLGDNCPPEASGETNPCDLKSVDRVSNLPCASKNAALHKRGGPKKNLRKSKMNPLCAVTNMSSTSVLNYTSALTASSDTTVPPAATTTLSVCNATTTQSNAATQSNAPQSISLQVCSQGAMQSISQNLSALAGFTNLAVNTNPDESTTPQIIQMPTQNTTGSSSMVPQLAFLQGNLSITQPQQNSMTVSFTQTSNSASLSSPRPLIHVLHKGGFTQPTPVNQVSNNSGIVTNAGNIPLRVSGPPPAPLLPLPNQNAAQIIVIQQGVTQNSVEARMVTPCPTTSPPQLIIVNQNTPASNVASGVPQAMQIVQMASNLPLINKPPQSKVQPQAILPQMPLSPCSPLTVVVQEVPQGDAPFSNNLNIDTAVYRSHNSTTPSTGSENLVPTVASSPTQSLPQITNGALQLTTWTTQTNGASSIRTLSNINKLDSTSVSSSPLSCSLTWGNDLPNGSLTSIPITAKGVGPASSAVVTATSTLDASKSISMPSSDGATRPPQSCVTTTVSVVFTNQFDGRFDQISLCSKNGHHVKTATASSSHPINTVCPTMKKLVKPNEQGNKNESSNQDNLCTHLSHHQHPESEISVAILSGSTAGLVNSESESTPLELISQASIAAPLCLPVPQNTALAPVTTQHWPKNESPYVGSISVPTVLNCTRQVPVVNATQKQLNHSVNFTIGQVATGQSNGGPQNGNSYVIIKKQVQDSPIVVSVKSNKLNGKWHITPDDYLCIDNAKLLEIYRGNEKQIEELQKRLKKIEEAQENNGGNLLLEDNQRKLETIYKFAQDLIMNKSQKLAPSCPILEGIRNIGANIKKKRHREVANHTERNKKRHQKKTPTENETMEPM
+>sp|Q9Y6N9|USH1C_HUMAN Harmonin OS=Homo sapiens OX=9606 GN=USH1C PE=1 SV=3
+MDRKVAREFRHKVDFLIENDAEKDYLYDVLRMYHQTMDVAVLVGDLKLVINEPSRLPLFDAIRPLIPLKHQVEYDQLTPRRSRKLKEVRLDRLHPEGLGLSVRGGLEFGCGLFISHLIKGGQADSVGLQVGDEIVRINGYSISSCTHEEVINLIRTKKTVSIKVRHIGLIPVKSSPDEPLTWQYVDQFVSESGGVRGSLGSPGNRENKEKKVFISLVGSRGLGCSISSGPIQKPGIFISHVKPGSLSAEVGLEIGDQIVEVNGVDFSNLDHKEAVNVLKSSRSLTISIVAAAGRELFMTDRERLAEARQRELQRQELLMQKRLAMESNKILQEQQEMERQRRKEIAQKAAEENERYRKEMEQIVEEEEKFKKQWEEDWGSKEQLLLPKTITAEVHPVPLRKPKYDQGVEPELEPADDLDGGTEEQGEQDFRKYEEGFDPYSMFTPEQIMGKDVRLLRIKKEGSLDLALEGGVDSPIGKVVVSAVYERGAAERHGGIVKGDEIMAINGKIVTDYTLAEAEAALQKAWNQGGDWIDLVVAVCPPKEYDDELTFF
+>DECOY_sp|Q9Y6N9|USH1C_HUMAN Harmonin OS=Homo sapiens OX=9606 GN=USH1C PE=1 SV=3
+FFTLEDDYEKPPCVAVVLDIWDGGQNWAKQLAAEAEALTYDTVIKGNIAMIEDGKVIGGHREAAGREYVASVVVKGIPSDVGGELALDLSGEKKIRLLRVDKGMIQEPTFMSYPDFGEEYKRFDQEGQEETGGDLDDAPELEPEVGQDYKPKRLPVPHVEATITKPLLLQEKSGWDEEWQKKFKEEEEVIQEMEKRYRENEEAAKQAIEKRRQREMEQQEQLIKNSEMALRKQMLLEQRQLERQRAEALRERDTMFLERGAAAVISITLSRSSKLVNVAEKHDLNSFDVGNVEVIQDGIELGVEASLSGPKVHSIFIGPKQIPGSSISCGLGRSGVLSIFVKKEKNERNGPSGLSGRVGGSESVFQDVYQWTLPEDPSSKVPILGIHRVKISVTKKTRILNIVEEHTCSSISYGNIRVIEDGVQLGVSDAQGGKILHSIFLGCGFELGGRVSLGLGEPHLRDLRVEKLKRSRRPTLQDYEVQHKLPILPRIADFLPLRSPENIVLKLDGVLVAVDMTQHYMRLVDYLYDKEADNEILFDVKHRFERAVKRDM
+>sp|O00507|USP9Y_HUMAN Probable ubiquitin carboxyl-terminal hydrolase FAF-Y OS=Homo sapiens OX=9606 GN=USP9Y PE=2 SV=2
+MTAITHGSPVGGNDSQGQVLDGQSQHLFQQNQTSSPDSSNENSVATPPPEEQGQGDAPPQHEDEEPAFPHTELANLDDMINRPRWVVPVLPKGELEVLLEAAIDLSVKGLDVKSEACQRFFRDGLTISFTKILMDEAVSGWKFEIHRCIINNTHRLVELCVAKLSQDWFPLLELLAMALNPHCKFHIYNGTRPCELISSNAQLPEDELFARSSDPRSPKGWLVDLINKFGTLNGFQILHDRFFNGSALNIQIIAALIKPFGQCYEFLSQHTLKKYFIPVIEIVPHLLENLTDEELKKEAKNEAKNDALSMIIKSLKNLASRISGQDETIKNLEIFRLKMILRLLQISSFNGKMNALNEINKVISSVSYYTHRHSNPEEEEWLTAERMAEWIQQNNILSIVLQDSLHQPQYVEKLEKILRFVIKEKALTLQDLDNIWAAQAGKHEAIVKNVHDLLAKLAWDFSPGQLDHLFDCFKASWTNASKKQREKLLELIRRLAEDDKDGVMAHKVLNLLWNLAQSDDVPVDIMDLALSAHIKILDYSCSQDRDAQKIQWIDHFIEELRTNDKWVIPALKQIREICSLFGEASQNLSQTQRSPHIFYRHDLINQLQQNHALVTLVAENLATYMNSIRLYAGDHEDYDPQTVRLGSRYSHVQEVQERLNFLRFLLKDGQLWLCAPQAKQIWKCLAENAVYLCDREACFKWYSKLMGDEPDLDPDINKDFFESNVLQLDPSLLTENGMKCFERFFKAVNCRERKLIAKRRSYMMDDLELIGLDYLWRVVIQSSDEIANRAIDLLKEIYTNLGPRLKANQVVIHEDFIQSCFDRLKASYDTLCVFDGDKNSINCARQEAIRMVRVLTVIKEYINECDSDYHKERMILPMSRAFRGKHLSLIVRFPNQGRQVDELDIWSHTNDTIGSVRRCIVNRIKANVAHKKIELFVGGELIDSEDDRKLIGQLNLKDKSLITAKLTQINFNMPSSPDSSSDSSTASPGNHRNHYNDGPNLEVESCLPGVIMSVHPRYISFLWQVADLGSNLNMPPLRDGARVLMKLMPPDRTAVEKLRAVCLDHAKLGEGKLSPPLDSLFFGPSASQVLYLTEVVYALLMPAGVPLTDGSSDFQVHFLKSGGLPLVLSMLIRNNFLPNTDMETRRGAYLNALKIAKLLLTAIGYGHVRAVAEACQPVVDGTDPITQINQVTHDQAVVLQSALQSIPNPSSECVLRNESILLAQEISNEASRYMPDICVIRAIQKIIWASACGALGLVFSPNEEITKIYQMTTNGSNKLEVEDEQVCCEALEVMTLCFALLPTALDALSKEKAWQTFIIDLLLHCPSKTVRQLAQEQFFLMCTRCCMGHRPLLFFITLLFTILGSTAREKGKYSGDYFTLLRHLLNYAYNGNINIPNAEVLLVSEIDWLKRIRDNVKNTGETGVEEPILEGHLGVTKELLAFQTSEKKYHFGCEKGGANLIKELIDDFIFPASKVYLQYLRSGELPAEQAIPVCSSPVTINAGFELLVALAIGCVRNLKQIVDCLTEMYYMGTAITTCEALTEWEYLPPVGPRPPKGFVGLKNAGATCYMNSVIQQLYMIPSIRNSILAIEGTGSDLHDDMFGDEKQDSESNVDPRDDVFGYPHQFEDKPALSKTEDRKEYNIGVLRHLQVIFGHLAASQLQYYVPRGFWKQFRLWGEPVNLREQHDALEFFNSLVDSLDEALKALGHPAILSKVLGGSFADQKICQGCPHRYECEESFTTLNVDIRNHQNLLDSLEQYIKGDLLEGANAYHCEKCDKKVDTVKRLLIKKLPRVLAIQLKRFDYDWERECAIKFNDYFEFPRELDMGPYTVAGVANLERDNVNSENELIEQKEQSDNETAGGTKYRLVGVLVHSGQASGGHYYSYIIQRNGKDDQTDHWYKFDDGDVTECKMDDDEEMKNQCFGGEYMGEVFDHMMKRMSYRRQKRWWNAYILFYEQMDMIDEDDEMIRYISELTIARPHQIIMSPAIERSVRKQNVKFMHNRLQYSLEYFQFVKKLLTCNGVYLNPAPGQDYLLPEAEEITMISIQLAARFLFTTGFHTKKIVRGPASDWYDALCVLLRHSKNVRFWFTHNVLFNVSNRFSEYLLECPSAEVRGAFAKLIVFIAHFSLQDGSCPSPFASPGPSSQACDNLSLSDHLLRATLNLLRREVSEHGHHLQQYFNLFVMYANLGVAEKTQLLKLNVPATFMLVSLDEGPGPPIKYQYAELGKLYSVVSQLIRCCNVSSTMQSSINGNPPLPNPFGDLNLSQPIMPIQQNVLDILFVRTSYVKKIIEDCSNSEDTIKLLRFCSWENPQFSSTVLSELLWQVAYSYTYELRPYLDLLFQILLIEDSWQTHRIHNALKGIPDDRDGLFDTIQRSKNHYQKRAYQCIKCMVALFSSCPVAYQILQGNGDLKRKWTWAVEWLGDELERRPYTGNPQYSYNNWSPPVQSNETANGYFLERSHSARMTLAKACELCPEEEPDDQDAPDEHEPSPSEDAPLYPHSPASQYQQNNHVHGQPYTGPAAHHLNNPQKTGQRTQENYEGNEEVSSPQMKDQ
+>DECOY_sp|O00507|USP9Y_HUMAN Probable ubiquitin carboxyl-terminal hydrolase FAF-Y OS=Homo sapiens OX=9606 GN=USP9Y PE=2 SV=2
+QDKMQPSSVEENGEYNEQTRQGTKQPNNLHHAAPGTYPQGHVHNNQQYQSAPSHPYLPADESPSPEHEDPADQDDPEEEPCLECAKALTMRASHSRELFYGNATENSQVPPSWNNYSYQPNGTYPRRELEDGLWEVAWTWKRKLDGNGQLIQYAVPCSSFLAVMCKICQYARKQYHNKSRQITDFLGDRDDPIGKLANHIRHTQWSDEILLIQFLLDLYPRLEYTYSYAVQWLLESLVTSSFQPNEWSCFRLLKITDESNSCDEIIKKVYSTRVFLIDLVNQQIPMIPQSLNLDGFPNPLPPNGNISSQMTSSVNCCRILQSVVSYLKGLEAYQYKIPPGPGEDLSVLMFTAPVNLKLLQTKEAVGLNAYMVFLNFYQQLHHGHESVERRLLNLTARLLHDSLSLNDCAQSSPGPSAFPSPCSGDQLSFHAIFVILKAFAGRVEASPCELLYESFRNSVNFLVNHTFWFRVNKSHRLLVCLADYWDSAPGRVIKKTHFGTTFLFRAALQISIMTIEEAEPLLYDQGPAPNLYVGNCTLLKKVFQFYELSYQLRNHMFKVNQKRVSREIAPSMIIQHPRAITLESIYRIMEDDEDIMDMQEYFLIYANWWRKQRRYSMRKMMHDFVEGMYEGGFCQNKMEEDDDMKCETVDGDDFKYWHDTQDDKGNRQIIYSYYHGGSAQGSHVLVGVLRYKTGGATENDSQEKQEILENESNVNDRELNAVGAVTYPGMDLERPFEFYDNFKIACEREWDYDFRKLQIALVRPLKKILLRKVTDVKKDCKECHYANAGELLDGKIYQELSDLLNQHNRIDVNLTTFSEECEYRHPCGQCIKQDAFSGGLVKSLIAPHGLAKLAEDLSDVLSNFFELADHQERLNVPEGWLRFQKWFGRPVYYQLQSAALHGFIVQLHRLVGINYEKRDETKSLAPKDEFQHPYGFVDDRPDVNSESDQKEDGFMDDHLDSGTGEIALISNRISPIMYLQQIVSNMYCTAGANKLGVFGKPPRPGVPPLYEWETLAECTTIATGMYYMETLCDVIQKLNRVCGIALAVLLEFGANITVPSSCVPIAQEAPLEGSRLYQLYVKSAPFIFDDILEKILNAGGKECGFHYKKESTQFALLEKTVGLHGELIPEEVGTEGTNKVNDRIRKLWDIESVLLVEANPININGNYAYNLLHRLLTFYDGSYKGKERATSGLITFLLTIFFLLPRHGMCCRTCMLFFQEQALQRVTKSPCHLLLDIIFTQWAKEKSLADLATPLLAFCLTMVELAECCVQEDEVELKNSGNTTMQYIKTIEENPSFVLGLAGCASAWIIKQIARIVCIDPMYRSAENSIEQALLISENRLVCESSPNPISQLASQLVVAQDHTVQNIQTIPDTGDVVPQCAEAVARVHGYGIATLLLKAIKLANLYAGRRTEMDTNPLFNNRILMSLVLPLGGSKLFHVQFDSSGDTLPVGAPMLLAYVVETLYLVQSASPGFFLSDLPPSLKGEGLKAHDLCVARLKEVATRDPPMLKMLVRAGDRLPPMNLNSGLDAVQWLFSIYRPHVSMIVGPLCSEVELNPGDNYHNRHNGPSATSSDSSSDPSSPMNFNIQTLKATILSKDKLNLQGILKRDDESDILEGGVFLEIKKHAVNAKIRNVICRRVSGITDNTHSWIDLEDVQRGQNPFRVILSLHKGRFARSMPLIMREKHYDSDCENIYEKIVTLVRVMRIAEQRACNISNKDGDFVCLTDYSAKLRDFCSQIFDEHIVVQNAKLRPGLNTYIEKLLDIARNAIEDSSQIVVRWLYDLGILELDDMMYSRRKAILKRERCNVAKFFREFCKMGNETLLSPDLQLVNSEFFDKNIDPDLDPEDGMLKSYWKFCAERDCLYVANEALCKWIQKAQPACLWLQGDKLLFRLFNLREQVEQVHSYRSGLRVTQPDYDEHDGAYLRISNMYTALNEAVLTVLAHNQQLQNILDHRYFIHPSRQTQSLNQSAEGFLSCIERIQKLAPIVWKDNTRLEEIFHDIWQIKQADRDQSCSYDLIKIHASLALDMIDVPVDDSQALNWLLNLVKHAMVGDKDDEALRRILELLKERQKKSANTWSAKFCDFLHDLQGPSFDWALKALLDHVNKVIAEHKGAQAAWINDLDQLTLAKEKIVFRLIKELKEVYQPQHLSDQLVISLINNQQIWEAMREATLWEEEEPNSHRHTYYSVSSIVKNIENLANMKGNFSSIQLLRLIMKLRFIELNKITEDQGSIRSALNKLSKIIMSLADNKAENKAEKKLEEDTLNELLHPVIEIVPIFYKKLTHQSLFEYCQGFPKILAAIIQINLASGNFFRDHLIQFGNLTGFKNILDVLWGKPSRPDSSRAFLEDEPLQANSSILECPRTGNYIHFKCHPNLAMALLELLPFWDQSLKAVCLEVLRHTNNIICRHIEFKWGSVAEDMLIKTFSITLGDRFFRQCAESKVDLGKVSLDIAAELLVELEGKPLVPVVWRPRNIMDDLNALETHPFAPEEDEHQPPADGQGQEEPPPTAVSNENSSDPSSTQNQQFLHQSQGDLVQGQSDNGGVPSGHTIATM
+>sp|Q5W0Q7|USPL1_HUMAN SUMO-specific isopeptidase USPL1 OS=Homo sapiens OX=9606 GN=USPL1 PE=1 SV=1
+MMDSPKIGNGLPVIGPGTDIGISSLHMVGYLGKNFDSAKVPSDEYCPACREKGKLKALKTYRISFQESIFLCEDLQCIYPLGSKSLNNLISPDLEECHTPHKPQKRKSLESSYKDSLLLANSKKTRNYIAIDGGKVLNSKHNGEVYDETSSNLPDSSGQQNPIRTADSLERNEILEADTVDMATTKDPATVDVSGTGRPSPQNEGCTSKLEMPLESKCTSFPQALCVQWKNAYALCWLDCILSALVHSEELKNTVTGLCSKEESIFWRLLTKYNQANTLLYTSQLSGVKDGDCKKLTSEIFAEIETCLNEVRDEIFISLQPQLRCTLGDMESPVFAFPLLLKLETHIEKLFLYSFSWDFECSQCGHQYQNRHMKSLVTFTNVIPEWHPLNAAHFGPCNNCNSKSQIRKMVLEKVSPIFMLHFVEGLPQNDLQHYAFHFEGCLYQITSVIQYRANNHFITWILDADGSWLECDDLKGPCSERHKKFEVPASEIHIVIWERKISQVTDKEAACLPLKKTNDQHALSNEKPVSLTSCSVGDAASAETASVTHPKDISVAPRTLSQDTAVTHGDHLLSGPKGLVDNILPLTLEETIQKTASVSQLNSEAFLLENKPVAENTGILKTNTLLSQESLMASSVSAPCNEKLIQDQFVDISFPSQVVNTNMQSVQLNTEDTVNTKSVNNTDATGLIQGVKSVEIEKDAQLKQFLTPKTEQLKPERVTSQVSNLKKKETTADSQTTTSKSLQNQSLKENQKKPFVGSWVKGLISRGASFMPLCVSAHNRNTITDLQPSVKGVNNFGGFKTKGINQKASHVSKKARKSASKPPPISKPPAGPPSSNGTAAHPHAHAASEVLEKSGSTSCGAQLNHSSYGNGISSANHEDLVEGQIHKLRLKLRKKLKAEKKKLAALMSSPQSRTVRSENLEQVPQDGSPNDCESIEDLLNELPYPIDIASESACTTVPGVSLYSSQTHEEILAELLSPTPVSTELSENGEGDFRYLGMGDSHIPPPVPSEFNDVSQNTHLRQDHNYCSPTKKNPCEVQPDSLTNNACVRTLNLESPMKTDIFDEFFSSSALNALANDTLDLPHFDEYLFENY
+>DECOY_sp|Q5W0Q7|USPL1_HUMAN SUMO-specific isopeptidase USPL1 OS=Homo sapiens OX=9606 GN=USPL1 PE=1 SV=1
+YNEFLYEDFHPLDLTDNALANLASSSFFEDFIDTKMPSELNLTRVCANNTLSDPQVECPNKKTPSCYNHDQRLHTNQSVDNFESPVPPPIHSDGMGLYRFDGEGNESLETSVPTPSLLEALIEEHTQSSYLSVGPVTTCASESAIDIPYPLENLLDEISECDNPSGDQPVQELNESRVTRSQPSSMLAALKKKEAKLKKRLKLRLKHIQGEVLDEHNASSIGNGYSSHNLQAGCSTSGSKELVESAAHAHPHAATGNSSPPGAPPKSIPPPKSASKRAKKSVHSAKQNIGKTKFGGFNNVGKVSPQLDTITNRNHASVCLPMFSAGRSILGKVWSGVFPKKQNEKLSQNQLSKSTTTQSDATTEKKKLNSVQSTVREPKLQETKPTLFQKLQADKEIEVSKVGQILGTADTNNVSKTNVTDETNLQVSQMNTNVVQSPFSIDVFQDQILKENCPASVSSAMLSEQSLLTNTKLIGTNEAVPKNELLFAESNLQSVSATKQITEELTLPLINDVLGKPGSLLHDGHTVATDQSLTRPAVSIDKPHTVSATEASAADGVSCSTLSVPKENSLAHQDNTKKLPLCAAEKDTVQSIKREWIVIHIESAPVEFKKHRESCPGKLDDCELWSGDADLIWTIFHNNARYQIVSTIQYLCGEFHFAYHQLDNQPLGEVFHLMFIPSVKELVMKRIQSKSNCNNCPGFHAANLPHWEPIVNTFTVLSKMHRNQYQHGCQSCEFDWSFSYLFLKEIHTELKLLLPFAFVPSEMDGLTCRLQPQLSIFIEDRVENLCTEIEAFIESTLKKCDGDKVGSLQSTYLLTNAQNYKTLLRWFISEEKSCLGTVTNKLEESHVLASLICDLWCLAYANKWQVCLAQPFSTCKSELPMELKSTCGENQPSPRGTGSVDVTAPDKTTAMDVTDAELIENRELSDATRIPNQQGSSDPLNSSTEDYVEGNHKSNLVKGGDIAIYNRTKKSNALLLSDKYSSELSKRKQPKHPTHCEELDPSILNNLSKSGLPYICQLDECLFISEQFSIRYTKLAKLKGKERCAPCYEDSPVKASDFNKGLYGVMHLSSIGIDTGPGIVPLGNGIKPSDMM
+>sp|Q9Y2C2|UST_HUMAN Uronyl 2-sulfotransferase OS=Homo sapiens OX=9606 GN=UST PE=2 SV=1
+MKKKQQHPGGGADPWPHGAPMGGAPPGLGSWKRRVPLLPFLRFSLRDYGFCMATLLVFCLGSLLYQLSGGPPRFLLDLRQYLGNSTYLDDHGPPPSKVLPFPSQVVYNRVGKCGSRTVVLLLRILSEKHGFNLVTSDIHNKTRLTKNEQMELIKNISTAEQPYLFTRHVHFLNFSRFGGDQPVYINIIRDPVNRFLSNYFFRRFGDWRGEQNHMIRTPSMRQEERYLDINECILENYPECSNPRLFYIIPYFCGQHPRCREPGEWALERAKLNVNENFLLVGILEELEDVLLLLERFLPHYFKGVLSIYKDPEHRKLGNMTVTVKKTVPSPEAVQILYQRMRYEYEFYHYVKEQFHLLKRKFGLKSHVSKPPLRPHFFIPTPLETEEPIDDEEQDDEKWLEDIYKR
+>DECOY_sp|Q9Y2C2|UST_HUMAN Uronyl 2-sulfotransferase OS=Homo sapiens OX=9606 GN=UST PE=2 SV=1
+RKYIDELWKEDDQEEDDIPEETELPTPIFFHPRLPPKSVHSKLGFKRKLLHFQEKVYHYFEYEYRMRQYLIQVAEPSPVTKKVTVTMNGLKRHEPDKYISLVGKFYHPLFRELLLLVDELEELIGVLLFNENVNLKARELAWEGPERCRPHQGCFYPIIYFLRPNSCEPYNELICENIDLYREEQRMSPTRIMHNQEGRWDGFRRFFYNSLFRNVPDRIINIYVPQDGGFRSFNLFHVHRTFLYPQEATSINKILEMQENKTLRTKNHIDSTVLNFGHKESLIRLLLVVTRSGCKGVRNYVVQSPFPLVKSPPPGHDDLYTSNGLYQRLDLLFRPPGGSLQYLLSGLCFVLLTAMCFGYDRLSFRLFPLLPVRRKWSGLGPPAGGMPAGHPWPDAGGGPHQQKKKM
+>sp|P37288|V1AR_HUMAN Vasopressin V1a receptor OS=Homo sapiens OX=9606 GN=AVPR1A PE=1 SV=1
+MRLSAGPDAGPSGNSSPWWPLATGAGNTSREAEALGEGNGPPRDVRNEELAKLEIAVLAVTFAVAVLGNSSVLLALHRTPRKTSRMHLFIRHLSLADLAVAFFQVLPQMCWDITYRFRGPDWLCRVVKHLQVFGMFASAYMLVVMTADRYIAVCHPLKTLQQPARRSRLMIAAAWVLSFVLSTPQYFVFSMIEVNNVTKARDCWATFIQPWGSRAYVTWMTGGIFVAPVVILGTCYGFICYNIWCNVRGKTASRQSKGAEQAGVAFQKGFLLAPCVSSVKSISRAKIRTVKMTFVIVTAYIVCWAPFFIIQMWSVWDPMSVWTESENPTITITALLGSLNSCCNPWIYMFFSGHLLQDCVQSFPCCQNMKEKFNKEDTDSMSRRQTFYSNNRSPTNSTGMWKDSPKSSKSIKFIPVST
+>DECOY_sp|P37288|V1AR_HUMAN Vasopressin V1a receptor OS=Homo sapiens OX=9606 GN=AVPR1A PE=1 SV=1
+TSVPIFKISKSSKPSDKWMGTSNTPSRNNSYFTQRRSMSDTDEKNFKEKMNQCCPFSQVCDQLLHGSFFMYIWPNCCSNLSGLLATITITPNESETWVSMPDWVSWMQIIFFPAWCVIYATVIVFTMKVTRIKARSISKVSSVCPALLFGKQFAVGAQEAGKSQRSATKGRVNCWINYCIFGYCTGLIVVPAVFIGGTMWTVYARSGWPQIFTAWCDRAKTVNNVEIMSFVFYQPTSLVFSLVWAAAIMLRSRRAPQQLTKLPHCVAIYRDATMVVLMYASAFMGFVQLHKVVRCLWDPGRFRYTIDWCMQPLVQFFAVALDALSLHRIFLHMRSTKRPTRHLALLVSSNGLVAVAFTVALVAIELKALEENRVDRPPGNGEGLAEAERSTNGAGTALPWWPSSNGSPGADPGASLRM
+>sp|Q8NHE4|VA0E2_HUMAN V-type proton ATPase subunit e 2 OS=Homo sapiens OX=9606 GN=ATP6V0E2 PE=2 SV=1
+MTAHSFALPVIIFTTFWGLVGIAGPWFVPKGPNRGVIITMLVATAVCCYLFWLIAILAQLNPLFGPQLKNETIWYVRFLWE
+>DECOY_sp|Q8NHE4|VA0E2_HUMAN V-type proton ATPase subunit e 2 OS=Homo sapiens OX=9606 GN=ATP6V0E2 PE=2 SV=1
+EWLFRVYWITENKLQPGFLPNLQALIAILWFLYCCVATAVLMTIIVGRNPGKPVFWPGAIGVLGWFTTFIIVPLAFSHATM
+>sp|Q16572|VACHT_HUMAN Vesicular acetylcholine transporter OS=Homo sapiens OX=9606 GN=SLC18A3 PE=1 SV=2
+MESAEPAGQARAAATKLSEAVGAALQEPRRQRRLVLVIVCVALLLDNMLYMVIVPIVPDYIAHMRGGGEGPTRTPEVWEPTLPLPTPANASAYTANTSASPTAAWPAGSALRPRYPTESEDVKIGVLFASKAILQLLVNPLSGPFIDRMSYDVPLLIGLGVMFASTVLFAFAEDYATLFAARSLQGLGSAFADTSGIAMIADKYPEEPERSRALGVALAFISFGSLVAPPFGGILYEFAGKRVPFLVLAAVSLFDALLLLAVAKPFSAAARARANLPVGTPIHRLMLDPYIAVVAGALTTCNIPLAFLEPTIATWMKHTMAASEWEMGMAWLPAFVPHVLGVYLTVRLAARYPHLQWLYGALGLAVIGASSCIVPACRSFAPLVVSLCGLCFGIALVDTALLPTLAFLVDVRHVSVYGSVYAIADISYSVAYALGPIVAGHIVHSLGFEQLSLGMGLANLLYAPVLLLLRNVGLLTRSRSERDVLLDEPPQGLYDAVRLRERPVSGQDGEPRSPPGPFDACEDDYNYYYTRS
+>DECOY_sp|Q16572|VACHT_HUMAN Vesicular acetylcholine transporter OS=Homo sapiens OX=9606 GN=SLC18A3 PE=1 SV=2
+SRTYYYNYDDECADFPGPPSRPEGDQGSVPRERLRVADYLGQPPEDLLVDRESRSRTLLGVNRLLLLVPAYLLNALGMGLSLQEFGLSHVIHGAVIPGLAYAVSYSIDAIAYVSGYVSVHRVDVLFALTPLLATDVLAIGFCLGCLSVVLPAFSRCAPVICSSAGIVALGLAGYLWQLHPYRAALRVTLYVGLVHPVFAPLWAMGMEWESAAMTHKMWTAITPELFALPINCTTLAGAVVAIYPDLMLRHIPTGVPLNARARAAASFPKAVALLLLADFLSVAALVLFPVRKGAFEYLIGGFPPAVLSGFSIFALAVGLARSREPEEPYKDAIMAIGSTDAFASGLGQLSRAAFLTAYDEAFAFLVTSAFMVGLGILLPVDYSMRDIFPGSLPNVLLQLIAKSAFLVGIKVDESETPYRPRLASGAPWAATPSASTNATYASANAPTPLPLTPEWVEPTRTPGEGGGRMHAIYDPVIPVIVMYLMNDLLLAVCVIVLVLRRQRRPEQLAAGVAESLKTAAARAQGAPEASEM
+>sp|P23763|VAMP1_HUMAN Vesicle-associated membrane protein 1 OS=Homo sapiens OX=9606 GN=VAMP1 PE=1 SV=1
+MSAPAQPPAEGTEGTAPGGGPPGPPPNMTSNRRLQQTQAQVEEVVDIIRVNVDKVLERDQKLSELDDRADALQAGASQFESSAAKLKRKYWWKNCKMMIMLGAICAIIVVVIVIYFFT
+>DECOY_sp|P23763|VAMP1_HUMAN Vesicle-associated membrane protein 1 OS=Homo sapiens OX=9606 GN=VAMP1 PE=1 SV=1
+TFFYIVIVVVIIACIAGLMIMMKCNKWWYKRKLKAASSEFQSAGAQLADARDDLESLKQDRELVKDVNVRIIDVVEEVQAQTQQLRRNSTMNPPPGPPGGGPATGETGEAPPQAPASM
+>sp|O75379|VAMP4_HUMAN Vesicle-associated membrane protein 4 OS=Homo sapiens OX=9606 GN=VAMP4 PE=1 SV=2
+MPPKFKRHLNDDDVTGSVKSERRNLLEDDSDEEEDFFLRGPSGPRFGPRNDKIKHVQNQVDEVIDVMQENITKVIERGERLDELQDKSESLSDNATAFSNRSKQLRRQMWWRGCKIKAIMALVAAILLLVIIILIVMKYRT
+>DECOY_sp|O75379|VAMP4_HUMAN Vesicle-associated membrane protein 4 OS=Homo sapiens OX=9606 GN=VAMP4 PE=1 SV=2
+TRYKMVILIIIVLLLIAAVLAMIAKIKCGRWWMQRRLQKSRNSFATANDSLSESKDQLEDLREGREIVKTINEQMVDIVEDVQNQVHKIKDNRPGFRPGSPGRLFFDEEEDSDDELLNRRESKVSGTVDDDNLHRKFKPPM
+>sp|O95292|VAPB_HUMAN Vesicle-associated membrane protein-associated protein B/C OS=Homo sapiens OX=9606 GN=VAPB PE=1 SV=3
+MAKVEQVLSLEPQHELKFRGPFTDVVTTNLKLGNPTDRNVCFKVKTTAPRRYCVRPNSGIIDAGASINVSVMLQPFDYDPNEKSKHKFMVQSMFAPTDTSDMEAVWKEAKPEDLMDSKLRCVFELPAENDKPHDVEINKIISTTASKTETPIVSKSLSSSLDDTEVKKVMEECKRLQGEVQRLREENKQFKEEDGLRMRKTVQSNSPISALAPTGKEEGLSTRLLALVVLFFIVGVIIGKIAL
+>DECOY_sp|O95292|VAPB_HUMAN Vesicle-associated membrane protein-associated protein B/C OS=Homo sapiens OX=9606 GN=VAPB PE=1 SV=3
+LAIKGIIVGVIFFLVVLALLRTSLGEEKGTPALASIPSNSQVTKRMRLGDEEKFQKNEERLRQVEGQLRKCEEMVKKVETDDLSSSLSKSVIPTETKSATTSIIKNIEVDHPKDNEAPLEFVCRLKSDMLDEPKAEKWVAEMDSTDTPAFMSQVMFKHKSKENPDYDFPQLMVSVNISAGADIIGSNPRVCYRRPATTKVKFCVNRDTPNGLKLNTTVVDTFPGRFKLEHQPELSLVQEVKAM
+>sp|Q15904|VAS1_HUMAN V-type proton ATPase subunit S1 OS=Homo sapiens OX=9606 GN=ATP6AP1 PE=1 SV=2
+MMAAMATARVRMGPRCAQALWRMPWLPVFLSLAAAAAAAAAEQQVPLVLWSSDRDLWAPAADTHEGHITSDLQLSTYLDPALELGPRNVLLFLQDKLSIEDFTAYGGVFGNKQDSAFSNLENALDLAPSSLVLPAVDWYAVSTLTTYLQEKLGASPLHVDLATLRELKLNASLPALLLIRLPYTASSGLMAPREVLTGNDEVIGQVLSTLKSEDVPYTAALTAVRPSRVARDVAVVAGGLGRQLLQKQPVSPVIHPPVSYNDTAPRILFWAQNFSVAYKDQWEDLTPLTFGVQELNLTGSFWNDSFARLSLTYERLFGTTVTFKFILANRLYPVSARHWFTMERLEVHSNGSVAYFNASQVTGPSIYSFHCEYVSSLSKKGSLLVARTQPSPWQMMLQDFQIQAFNVMGEQFSYASDCASFFSPGIWMGLLTSLFMLFIFTYGLHMILSLKTMDRFDDHKGPTISLTQIV
+>DECOY_sp|Q15904|VAS1_HUMAN V-type proton ATPase subunit S1 OS=Homo sapiens OX=9606 GN=ATP6AP1 PE=1 SV=2
+VIQTLSITPGKHDDFRDMTKLSLIMHLGYTFIFLMFLSTLLGMWIGPSFFSACDSAYSFQEGMVNFAQIQFDQLMMQWPSPQTRAVLLSGKKSLSSVYECHFSYISPGTVQSANFYAVSGNSHVELREMTFWHRASVPYLRNALIFKFTVTTGFLREYTLSLRAFSDNWFSGTLNLEQVGFTLPTLDEWQDKYAVSFNQAWFLIRPATDNYSVPPHIVPSVPQKQLLQRGLGGAVVAVDRAVRSPRVATLAATYPVDESKLTSLVQGIVEDNGTLVERPAMLGSSATYPLRILLLAPLSANLKLERLTALDVHLPSAGLKEQLYTTLTSVAYWDVAPLVLSSPALDLANELNSFASDQKNGFVGGYATFDEISLKDQLFLLVNRPGLELAPDLYTSLQLDSTIHGEHTDAAPAWLDRDSSWLVLPVQQEAAAAAAAAALSLFVPLWPMRWLAQACRPGMRVRATAMAAMM
+>sp|Q6EMK4|VASN_HUMAN Vasorin OS=Homo sapiens OX=9606 GN=VASN PE=1 SV=1
+MCSRVPLLLPLLLLLALGPGVQGCPSGCQCSQPQTVFCTARQGTTVPRDVPPDTVGLYVFENGITMLDAGSFAGLPGLQLLDLSQNQIASLPSGVFQPLANLSNLDLTANRLHEITNETFRGLRRLERLYLGKNRIRHIQPGAFDTLDRLLELKLQDNELRALPPLRLPRLLLLDLSHNSLLALEPGILDTANVEALRLAGLGLQQLDEGLFSRLRNLHDLDVSDNQLERVPPVIRGLRGLTRLRLAGNTRIAQLRPEDLAGLAALQELDVSNLSLQALPGDLSGLFPRLRLLAAARNPFNCVCPLSWFGPWVRESHVTLASPEETRCHFPPKNAGRLLLELDYADFGCPATTTTATVPTTRPVVREPTALSSSLAPTWLSPTEPATEAPSPPSTAPPTVGPVPQPQDCPPSTCLNGGTCHLGTRHHLACLCPEGFTGLYCESQMGQGTRPSPTPVTPRPPRSLTLGIEPVSPTSLRVGLQRYLQGSSVQLRSLRLTYRNLSGPDKRLVTLRLPASLAEYTVTQLRPNATYSVCVMPLGPGRVPEGEEACGEAHTPPAVHSNHAPVTQAREGNLPLLIAPALAAVLLAALAAVGAAYCVRRGRAMAAAAQDKGQVGPGAGPLELEGVKVPLEPGPKATEGGGEALPSGSECEVPLMGFPGPGLQSPLHAKPYI
+>DECOY_sp|Q6EMK4|VASN_HUMAN Vasorin OS=Homo sapiens OX=9606 GN=VASN PE=1 SV=1
+IYPKAHLPSQLGPGPFGMLPVECESGSPLAEGGGETAKPGPELPVKVGELELPGAGPGVQGKDQAAAAMARGRRVCYAAGVAALAALLVAALAPAILLPLNGERAQTVPAHNSHVAPPTHAEGCAEEGEPVRGPGLPMVCVSYTANPRLQTVTYEALSAPLRLTVLRKDPGSLNRYTLRLSRLQVSSGQLYRQLGVRLSTPSVPEIGLTLSRPPRPTVPTPSPRTGQGMQSECYLGTFGEPCLCALHHRTGLHCTGGNLCTSPPCDQPQPVPGVTPPATSPPSPAETAPETPSLWTPALSSSLATPERVVPRTTPVTATTTTAPCGFDAYDLELLLRGANKPPFHCRTEEPSALTVHSERVWPGFWSLPCVCNFPNRAAALLRLRPFLGSLDGPLAQLSLNSVDLEQLAALGALDEPRLQAIRTNGALRLRTLGRLGRIVPPVRELQNDSVDLDHLNRLRSFLGEDLQQLGLGALRLAEVNATDLIGPELALLSNHSLDLLLLRPLRLPPLARLENDQLKLELLRDLTDFAGPQIHRIRNKGLYLRELRRLGRFTENTIEHLRNATLDLNSLNALPQFVGSPLSAIQNQSLDLLQLGPLGAFSGADLMTIGNEFVYLGVTDPPVDRPVTTGQRATCFVTQPQSCQCGSPCGQVGPGLALLLLLPLLLPVRSCM
+>sp|Q7L8A9|VASH1_HUMAN Vasohibin-1 OS=Homo sapiens OX=9606 GN=VASH1 PE=1 SV=1
+MPGGKKVAGGGSSGATPTSAAATAPSGVRRLETSEGTSAQRDEEPEEEGEEDLRDGGVPFFVNRGGLPVDEATWERMWKHVAKIHPDGEKVAQRIRGATDLPKIPIPSVPTFQPSTPVPERLEAVQRYIRELQYNHTGTQFFEIKKSRPLTGLMDLAKEMTKEALPIKCLEAVILGIYLTNSMPTLERFPISFKTYFSGNYFRHIVLGVNFAGRYGALGMSRREDLMYKPPAFRTLSELVLDFEAAYGRCWHVLKKVKLGQSVSHDPHSVEQIEWKHSVLDVERLGRDDFRKELERHARDMRLKIGKGTGPPSPTKDRKKDVSSPQRAQSSPHRRNSRSERRPSGDKKTSEPKAMPDLNGYQIRV
+>DECOY_sp|Q7L8A9|VASH1_HUMAN Vasohibin-1 OS=Homo sapiens OX=9606 GN=VASH1 PE=1 SV=1
+VRIQYGNLDPMAKPESTKKDGSPRRESRSNRRHPSSQARQPSSVDKKRDKTPSPPGTGKGIKLRMDRAHRELEKRFDDRGLREVDLVSHKWEIQEVSHPDHSVSQGLKVKKLVHWCRGYAAEFDLVLESLTRFAPPKYMLDERRSMGLAGYRGAFNVGLVIHRFYNGSFYTKFSIPFRELTPMSNTLYIGLIVAELCKIPLAEKTMEKALDMLGTLPRSKKIEFFQTGTHNYQLERIYRQVAELREPVPTSPQFTPVSPIPIKPLDTAGRIRQAVKEGDPHIKAVHKWMREWTAEDVPLGGRNVFFPVGGDRLDEEGEEEPEEDRQASTGESTELRRVGSPATAAASTPTAGSSGGGAVKKGGPM
+>sp|P50552|VASP_HUMAN Vasodilator-stimulated phosphoprotein OS=Homo sapiens OX=9606 GN=VASP PE=1 SV=3
+MSETVICSSRATVMLYDDGNKRWLPAGTGPQAFSRVQIYHNPTANSFRVVGRKMQPDQQVVINCAIVRGVKYNQATPNFHQWRDARQVWGLNFGSKEDAAQFAAGMASALEALEGGGPPPPPALPTWSVPNGPSPEEVEQQKRQQPGPSEHIERRVSNAGGPPAPPAGGPPPPPGPPPPPGPPPPPGLPPSGVPAAAHGAGGGPPPAPPLPAAQGPGGGGAGAPGLAAAIAGAKLRKVSKQEEASGGPTAPKAESGRSGGGGLMEEMNAMLARRRKATQVGEKTPKDESANQEEPEARVPAQSESVRRPWEKNSTTLPRMKSSSSVTTSETQPCTPSSSDYSDLQRVKQELLEEVKKELQKVKEEIIEAFVQELRKRGSP
+>DECOY_sp|P50552|VASP_HUMAN Vasodilator-stimulated phosphoprotein OS=Homo sapiens OX=9606 GN=VASP PE=1 SV=3
+PSGRKRLEQVFAEIIEEKVKQLEKKVEELLEQKVRQLDSYDSSSPTCPQTESTTVSSSSKMRPLTTSNKEWPRRVSESQAPVRAEPEEQNASEDKPTKEGVQTAKRRRALMANMEEMLGGGGSRGSEAKPATPGGSAEEQKSVKRLKAGAIAAALGPAGAGGGGPGQAAPLPPAPPPGGGAGHAAAPVGSPPLGPPPPPGPPPPPGPPPPPGGAPPAPPGGANSVRREIHESPGPQQRKQQEVEEPSPGNPVSWTPLAPPPPPGGGELAELASAMGAAFQAADEKSGFNLGWVQRADRWQHFNPTAQNYKVGRVIACNIVVQQDPQMKRGVVRFSNATPNHYIQVRSFAQPGTGAPLWRKNGDDYLMVTARSSCIVTESM
+>sp|Q9H320|VCX1_HUMAN Variable charge X-linked protein 1 OS=Homo sapiens OX=9606 GN=VCX PE=2 SV=1
+MSPKPRASGPPAKATEAGKRKSSSQPSPSDPKKKTTKVAKKGKAVRRGRRGKKGAATKMAAVTAPEAESAPAAPGPSDQPSQELPQHELPPEEPVSEGTQHDPLSQEAELEEPLSQESEVEEPLSQESQVEEPLSQESEVEEPLSQESQVEEPLSQESEVEEPLSQESQVEEPLSQESEMEEPLSQESQVEEPPSQESEMEELPSV
+>DECOY_sp|Q9H320|VCX1_HUMAN Variable charge X-linked protein 1 OS=Homo sapiens OX=9606 GN=VCX PE=2 SV=1
+VSPLEEMESEQSPPEEVQSEQSLPEEMESEQSLPEEVQSEQSLPEEVESEQSLPEEVQSEQSLPEEVESEQSLPEEVQSEQSLPEEVESEQSLPEELEAEQSLPDHQTGESVPEEPPLEHQPLEQSPQDSPGPAAPASEAEPATVAAMKTAAGKKGRRGRRVAKGKKAVKTTKKKPDSPSPQSSSKRKGAETAKAPPGSARPKPSM
+>sp|Q9H321|VCX3B_HUMAN Variable charge X-linked protein 3B OS=Homo sapiens OX=9606 GN=VCX3B PE=2 SV=4
+MSPKPRASGPPAKAKEAGKRKSSSQPSPSDPKKKTTKVAKKGKAVRRGRRGKKGAATKMAAVTAPEAESGPAAPGPSDQPSQELPQHELPPEEPVSEGTQHDPLSQESELEEPLSQESEVEEPLSQESQVEEPLSQESEVEEPLSQESQVEEPLSQESEVEEPLSQESEVEEPLSQESQVEEPLSQESEVEEPLSQESQVEEPLSQESEMEEPLSQESQVEEPLSQESEMEEPLSQESEMEELPSV
+>DECOY_sp|Q9H321|VCX3B_HUMAN Variable charge X-linked protein 3B OS=Homo sapiens OX=9606 GN=VCX3B PE=2 SV=4
+VSPLEEMESEQSLPEEMESEQSLPEEVQSEQSLPEEMESEQSLPEEVQSEQSLPEEVESEQSLPEEVQSEQSLPEEVESEQSLPEEVESEQSLPEEVQSEQSLPEEVESEQSLPEEVQSEQSLPEEVESEQSLPEELESEQSLPDHQTGESVPEEPPLEHQPLEQSPQDSPGPAAPGSEAEPATVAAMKTAAGKKGRRGRRVAKGKKAVKTTKKKPDSPSPQSSSKRKGAEKAKAPPGSARPKPSM
+>sp|Q9Y277|VDAC3_HUMAN Voltage-dependent anion-selective channel protein 3 OS=Homo sapiens OX=9606 GN=VDAC3 PE=1 SV=1
+MCNTPTYCDLGKAAKDVFNKGYGFGMVKIDLKTKSCSGVEFSTSGHAYTDTGKASGNLETKYKVCNYGLTFTQKWNTDNTLGTEISWENKLAEGLKLTLDTIFVPNTGKKSGKLKASYKRDCFSVGSNVDIDFSGPTIYGWAVLAFEGWLAGYQMSFDTAKSKLSQNNFALGYKAADFQLHTHVNDGTEFGGSIYQKVNEKIETSINLAWTAGSNNTRFGIAAKYMLDCRTSLSAKVNNASLIGLGYTQTLRPGVKLTLSALIDGKNFSAGGHKVGLGFELEA
+>DECOY_sp|Q9Y277|VDAC3_HUMAN Voltage-dependent anion-selective channel protein 3 OS=Homo sapiens OX=9606 GN=VDAC3 PE=1 SV=1
+AELEFGLGVKHGGASFNKGDILASLTLKVGPRLTQTYGLGILSANNVKASLSTRCDLMYKAAIGFRTNNSGATWALNISTEIKENVKQYISGGFETGDNVHTHLQFDAAKYGLAFNNQSLKSKATDFSMQYGALWGEFALVAWGYITPGSFDIDVNSGVSFCDRKYSAKLKGSKKGTNPVFITDLTLKLGEALKNEWSIETGLTNDTNWKQTFTLGYNCVKYKTELNGSAKGTDTYAHGSTSFEVGSCSKTKLDIKVMGFGYGKNFVDKAAKGLDCYTPTNCM
+>sp|O15240|VGF_HUMAN Neurosecretory protein VGF OS=Homo sapiens OX=9606 GN=VGF PE=1 SV=2
+MKALRLSASALFCLLLINGLGAAPPGRPEAQPPPLSSEHKEPVAGDAVPGPKDGSAPEVRGARNSEPQDEGELFQGVDPRALAAVLLQALDRPASPPAPSGSQQGPEEEAAEALLTETVRSQTHSLPAPESPEPAAPPRPQTPENGPEASDPSEELEALASLLQELRDFSPSSAKRQQETAAAETETRTHTLTRVNLESPGPERVWRASWGEFQARVPERAPLPPPAPSQFQARMPDSGPLPETHKFGEGVSSPKTHLGEALAPLSKAYQGVAAPFPKARRPESALLGGSEAGERLLQQGLAQVEAGRRQAEATRQAAAQEERLADLASDLLLQYLLQGGARQRGLGGRGLQEAAEERESAREEEEAEQERRGGEERVGEEDEEAAEAEAEAEEAERARQNALLFAEEEDGEAGAEDKRSQEETPGHRRKEAEGTEEGGEEEDDEEMDPQTIDSLIELSTKLHLPADDVVSIIEEVEEKRKRKKNAPPEPVPPPRAAPAPTHVRSPQPPPPAPAPARDELPDWNEVLPPWDREEDEVYPPGPYHPFPNYIRPRTLQPPSALRRRHYHHALPPSRHYPGREAQARRAQEEAEAEERRLQEQEELENYIEHVLLRRP
+>DECOY_sp|O15240|VGF_HUMAN Neurosecretory protein VGF OS=Homo sapiens OX=9606 GN=VGF PE=1 SV=2
+PRRLLVHEIYNELEEQEQLRREEAEAEEQARRAQAERGPYHRSPPLAHHYHRRRLASPPQLTRPRIYNPFPHYPGPPYVEDEERDWPPLVENWDPLEDRAPAPAPPPPQPSRVHTPAPAARPPPVPEPPANKKRKRKEEVEEIISVVDDAPLHLKTSLEILSDITQPDMEEDDEEEGGEETGEAEKRRHGPTEEQSRKDEAGAEGDEEEAFLLANQRAREAEEAEAEAEAAEEDEEGVREEGGRREQEAEEEERASEREEAAEQLGRGGLGRQRAGGQLLYQLLLDSALDALREEQAAAQRTAEAQRRGAEVQALGQQLLREGAESGGLLASEPRRAKPFPAAVGQYAKSLPALAEGLHTKPSSVGEGFKHTEPLPGSDPMRAQFQSPAPPPLPAREPVRAQFEGWSARWVREPGPSELNVRTLTHTRTETEAAATEQQRKASSPSFDRLEQLLSALAELEESPDSAEPGNEPTQPRPPAAPEPSEPAPLSHTQSRVTETLLAEAAEEEPGQQSGSPAPPSAPRDLAQLLVAALARPDVGQFLEGEDQPESNRAGRVEPASGDKPGPVADGAVPEKHESSLPPPQAEPRGPPAAGLGNILLLCFLASASLRLAKM
+>sp|P18206|VINC_HUMAN Vinculin OS=Homo sapiens OX=9606 GN=VCL PE=1 SV=4
+MPVFHTRTIESILEPVAQQISHLVIMHEEGEVDGKAIPDLTAPVAAVQAAVSNLVRVGKETVQTTEDQILKRDMPPAFIKVENACTKLVQAAQMLQSDPYSVPARDYLIDGSRGILSGTSDLLLTFDEAEVRKIIRVCKGILEYLTVAEVVETMEDLVTYTKNLGPGMTKMAKMIDERQQELTHQEHRVMLVNSMNTVKELLPVLISAMKIFVTTKNSKNQGIEEALKNRNFTVEKMSAEINEIIRVLQLTSWDEDAWASKDTEAMKRALASIDSKLNQAKGWLRDPSASPGDAGEQAIRQILDEAGKVGELCAGKERREILGTCKMLGQMTDQVADLRARGQGSSPVAMQKAQQVSQGLDVLTAKVENAARKLEAMTNSKQSIAKKIDAAQNWLADPNGGPEGEEQIRGALAEARKIAELCDDPKERDDILRSLGEISALTSKLADLRRQGKGDSPEARALAKQVATALQNLQTKTNRAVANSRPAKAAVHLEGKIEQAQRWIDNPTVDDRGVGQAAIRGLVAEGHRLANVMMGPYRQDLLAKCDRVDQLTAQLADLAARGEGESPQARALASQLQDSLKDLKARMQEAMTQEVSDVFSDTTTPIKLLAVAATAPPDAPNREEVFDERAANFENHSGKLGATAEKAAAVGTANKSTVEGIQASVKTARELTPQVVSAARILLRNPGNQAAYEHFETMKNQWIDNVEKMTGLVDEAIDTKSLLDASEEAIKKDLDKCKVAMANIQPQMLVAGATSIARRANRILLVAKREVENSEDPKFREAVKAASDELSKTISPMVMDAKAVAGNISDPGLQKSFLDSGYRILGAVAKVREAFQPQEPDFPPPPPDLEQLRLTDELAPPKPPLPEGEVPPPRPPPPEEKDEEFPEQKAGEVINQPMMMAARQLHDEARKWSSKPGIPAAEVGIGVVAEADAADAAGFPVPPDMEDDYEPELLLMPSNQPVNQPILAAAQSLHREATKWSSKGNDIIAAAKRMALLMAEMSRLVRGGSGTKRALIQCAKDIAKASDEVTRLAKEVAKQCTDKRIRTNLLQVCERIPTISTQLKILSTVKATMLGRTNISDEESEQATEMLVHNAQNLMQSVKETVREAEAASIKIRTDAGFTLRWVRKTPWYQ
+>DECOY_sp|P18206|VINC_HUMAN Vinculin OS=Homo sapiens OX=9606 GN=VCL PE=1 SV=4
+QYWPTKRVWRLTFGADTRIKISAAEAERVTEKVSQMLNQANHVLMETAQESEEDSINTRGLMTAKVTSLIKLQTSITPIRECVQLLNTRIRKDTCQKAVEKALRTVEDSAKAIDKACQILARKTGSGGRVLRSMEAMLLAMRKAAAIIDNGKSSWKTAERHLSQAAALIPQNVPQNSPMLLLEPEYDDEMDPPVPFGAADAADAEAVVGIGVEAAPIGPKSSWKRAEDHLQRAAMMMPQNIVEGAKQEPFEEDKEEPPPPRPPPVEGEPLPPKPPALEDTLRLQELDPPPPPFDPEQPQFAERVKAVAGLIRYGSDLFSKQLGPDSINGAVAKADMVMPSITKSLEDSAAKVAERFKPDESNEVERKAVLLIRNARRAISTAGAVLMQPQINAMAVKCKDLDKKIAEESADLLSKTDIAEDVLGTMKEVNDIWQNKMTEFHEYAAQNGPNRLLIRAASVVQPTLERATKVSAQIGEVTSKNATGVAAAKEATAGLKGSHNEFNAAREDFVEERNPADPPATAAVALLKIPTTTDSFVDSVEQTMAEQMRAKLDKLSDQLQSALARAQPSEGEGRAALDALQATLQDVRDCKALLDQRYPGMMVNALRHGEAVLGRIAAQGVGRDDVTPNDIWRQAQEIKGELHVAAKAPRSNAVARNTKTQLNQLATAVQKALARAEPSDGKGQRRLDALKSTLASIEGLSRLIDDREKPDDCLEAIKRAEALAGRIQEEGEPGGNPDALWNQAADIKKAISQKSNTMAELKRAANEVKATLVDLGQSVQQAKQMAVPSSGQGRARLDAVQDTMQGLMKCTGLIERREKGACLEGVKGAEDLIQRIAQEGADGPSASPDRLWGKAQNLKSDISALARKMAETDKSAWADEDWSTLQLVRIIENIEASMKEVTFNRNKLAEEIGQNKSNKTTVFIKMASILVPLLEKVTNMSNVLMVRHEQHTLEQQREDIMKAMKTMGPGLNKTYTVLDEMTEVVEAVTLYELIGKCVRIIKRVEAEDFTLLLDSTGSLIGRSGDILYDRAPVSYPDSQLMQAAQVLKTCANEVKIFAPPMDRKLIQDETTQVTEKGVRVLNSVAAQVAAVPATLDPIAKGDVEGEEHMIVLHSIQQAVPELISEITRTHFVPM
+>sp|O43314|VIP2_HUMAN Inositol hexakisphosphate and diphosphoinositol-pentakisphosphate kinase 2 OS=Homo sapiens OX=9606 GN=PPIP5K2 PE=1 SV=3
+MSEAPRFFVGPEDTEINPGNYRHFFHHADEDDEEEDDSPPERQIVVGICSMAKKSKSKPMKEILERISLFKYITVVVFEEEVILNEPVENWPLCDCLISFHSKGFPLDKAVAYAKLRNPFVINDLNMQYLIQDRREVYSILQAEGILLPRYAILNRDPNNPKECNLIEGEDHVEVNGEVFQKPFVEKPVSAEDHNVYIYYPTSAGGGSQRLFRKIGSRSSVYSPESNVRKTGSYIYEEFMPTDGTDVKVYTVGPDYAHAEARKSPALDGKVERDSEGKEVRYPVILNAREKLIAWKVCLAFKQTVCGFDLLRANGQSYVCDVNGFSFVKNSMKYYDDCAKILGNIVMRELAPQFHIPWSIPLEAEDIPIVPTTSGTMMELRCVIAVIRHGDRTPKQKMKMEVRHQKFFDLFEKCDGYKSGKLKLKKPKQLQEVLDIARQLLMELGQNNDSEIEENKPKLEQLKTVLEMYGHFSGINRKVQLTYLPHGCPKTSSEEEDSRREEPSLLLVLKWGGELTPAGRVQAEELGRAFRCMYPGGQGDYAGFPGCGLLRLHSTYRHDLKIYASDEGRVQMTAAAFAKGLLALEGELTPILVQMVKSANMNGLLDSDSDSLSSCQQRVKARLHEILQKDRDFTAEDYEKLTPSGSISLIKSMHLIKNPVKTCDKVYSLIQSLTSQIRHRMEDPKSSDIQLYHSETLELMLRRWSKLEKDFKTKNGRYDISKIPDIYDCIKYDVQHNGSLKLENTMELYRLSKALADIVIPQEYGITKAEKLEIAKGYCTPLVRKIRSDLQRTQDDDTVNKLHPVYSRGVLSPERHVRTRLYFTSESHVHSLLSILRYGALCNESKDEQWKRAMDYLNVVNELNYMTQIVIMLYEDPNKDLSSEERFHVELHFSPGAKGCEEDKNLPSGYGYRPASRENEGRRPFKIDNDDEPHTSKRDEVDRAVILFKPMVSEPIHIHRKSPLPRSRKTATNDEESPLSVSSPEGTGTWLHYTSGVGTGRRRRRSGEQITSSPVSPKSLAFTSSIFGSWQQVVSENANYLRTPRTLVEQKQNPTVGSHCAGLFSTSVLGGSSSAPNLQDYARTHRKKLTSSGCIDDATRGSAVKRFSISFARHPTNGFELYSMVPSICPLETLHNALSLKQVDEFLASIASPSSDVPRKTAEISSTALRSSPIMRKKVSLNTYTPAKILPTPPATLKSTKASSKPATSGPSSAVVPNTSSRKKNITSKTETHEHKKNTGKKK
+>DECOY_sp|O43314|VIP2_HUMAN Inositol hexakisphosphate and diphosphoinositol-pentakisphosphate kinase 2 OS=Homo sapiens OX=9606 GN=PPIP5K2 PE=1 SV=3
+KKKGTNKKHEHTETKSTINKKRSSTNPVVASSPGSTAPKSSAKTSKLTAPPTPLIKAPTYTNLSVKKRMIPSSRLATSSIEATKRPVDSSPSAISALFEDVQKLSLANHLTELPCISPVMSYLEFGNTPHRAFSISFRKVASGRTADDICGSSTLKKRHTRAYDQLNPASSSGGLVSTSFLGACHSGVTPNQKQEVLTRPTRLYNANESVVQQWSGFISSTFALSKPSVPSSTIQEGSRRRRRGTGVGSTYHLWTGTGEPSSVSLPSEEDNTATKRSRPLPSKRHIHIPESVMPKFLIVARDVEDRKSTHPEDDNDIKFPRRGENERSAPRYGYGSPLNKDEECGKAGPSFHLEVHFREESSLDKNPDEYLMIVIQTMYNLENVVNLYDMARKWQEDKSENCLAGYRLISLLSHVHSESTFYLRTRVHREPSLVGRSYVPHLKNVTDDDQTRQLDSRIKRVLPTCYGKAIELKEAKTIGYEQPIVIDALAKSLRYLEMTNELKLSGNHQVDYKICDYIDPIKSIDYRGNKTKFDKELKSWRRLMLELTESHYLQIDSSKPDEMRHRIQSTLSQILSYVKDCTKVPNKILHMSKILSISGSPTLKEYDEATFDRDKQLIEHLRAKVRQQCSSLSDSDSDLLGNMNASKVMQVLIPTLEGELALLGKAFAAATMQVRGEDSAYIKLDHRYTSHLRLLGCGPFGAYDGQGGPYMCRFARGLEEAQVRGAPTLEGGWKLVLLLSPEERRSDEEESSTKPCGHPLYTLQVKRNIGSFHGYMELVTKLQELKPKNEEIESDNNQGLEMLLQRAIDLVEQLQKPKKLKLKGSKYGDCKEFLDFFKQHRVEMKMKQKPTRDGHRIVAIVCRLEMMTGSTTPVIPIDEAELPISWPIHFQPALERMVINGLIKACDDYYKMSNKVFSFGNVDCVYSQGNARLLDFGCVTQKFALCVKWAILKERANLIVPYRVEKGESDREVKGDLAPSKRAEAHAYDPGVTYVKVDTGDTPMFEEYIYSGTKRVNSEPSYVSSRSGIKRFLRQSGGGASTPYYIYVNHDEASVPKEVFPKQFVEGNVEVHDEGEILNCEKPNNPDRNLIAYRPLLIGEAQLISYVERRDQILYQMNLDNIVFPNRLKAYAVAKDLPFGKSHFSILCDCLPWNEVPENLIVEEEFVVVTIYKFLSIRELIEKMPKSKSKKAMSCIGVVIQREPPSDDEEEDDEDAHHFFHRYNGPNIETDEPGVFFRPAESM
+>sp|P32241|VIPR1_HUMAN Vasoactive intestinal polypeptide receptor 1 OS=Homo sapiens OX=9606 GN=VIPR1 PE=1 SV=1
+MRPPSPLPARWLCVLAGALAWALGPAGGQAARLQEECDYVQMIEVQHKQCLEEAQLENETIGCSKMWDNLTCWPATPRGQVVVLACPLIFKLFSSIQGRNVSRSCTDEGWTHLEPGPYPIACGLDDKAASLDEQQTMFYGSVKTGYTIGYGLSLATLLVATAILSLFRKLHCTRNYIHMHLFISFILRAAAVFIKDLALFDSGESDQCSEGSVGCKAAMVFFQYCVMANFFWLLVEGLYLYTLLAVSFFSERKYFWGYILIGWGVPSTFTMVWTIARIHFEDYGCWDTINSSLWWIIKGPILTSILVNFILFICIIRILLQKLRPPDIRKSDSSPYSRLARSTLLLIPLFGVHYIMFAFFPDNFKPEVKMVFELVVGSFQGFVVAILYCFLNGEVQAELRRKWRRWHLQGVLGWNPKYRHPSGGSNGATCSTQVSMLTRVSPGARRSSSFQAEVSLV
+>DECOY_sp|P32241|VIPR1_HUMAN Vasoactive intestinal polypeptide receptor 1 OS=Homo sapiens OX=9606 GN=VIPR1 PE=1 SV=1
+VLSVEAQFSSSRRAGPSVRTLMSVQTSCTAGNSGGSPHRYKPNWGLVGQLHWRRWKRRLEAQVEGNLFCYLIAVVFGQFSGVVLEFVMKVEPKFNDPFFAFMIYHVGFLPILLLTSRALRSYPSSDSKRIDPPRLKQLLIRIICIFLIFNVLISTLIPGKIIWWLSSNITDWCGYDEFHIRAITWVMTFTSPVGWGILIYGWFYKRESFFSVALLTYLYLGEVLLWFFNAMVCYQFFVMAAKCGVSGESCQDSEGSDFLALDKIFVAAARLIFSIFLHMHIYNRTCHLKRFLSLIATAVLLTALSLGYGITYGTKVSGYFMTQQEDLSAAKDDLGCAIPYPGPELHTWGEDTCSRSVNRGQISSFLKFILPCALVVVQGRPTAPWCTLNDWMKSCGITENELQAEELCQKHQVEIMQVYDCEEQLRAAQGGAPGLAWALAGALVCLWRAPLPSPPRM
+>sp|Q69YN4|VIR_HUMAN Protein virilizer homolog OS=Homo sapiens OX=9606 GN=VIRMA PE=1 SV=2
+MAVDSAMELLFLDTFKHPSAEQSSHIDVVRFPCVVYINEVRVIPPGVRAHSSLPDNRAYGETSPHTFQLDLFFNNVSKPSAPVFDRLGSLEYDENTSIIFRPNSKVNTDGLVLRGWYNCLTLAIYGSVDRVISHDRDSPPPPPPPPPPPQPQPSLKRNPKHADGEKEDQFNGSPPRPQPRGPRTPPGPPPPDDDEDDPVPLPVSGDKEEDAPHREDYFEPISPDRNSVPQEGQYSDEGEVEEEQQEEGEEDEDDVDVEEEEDEDEDDRRTVDSIPEEEEEDEEEEGEEDEEGEGDDGYEQISSDEDGIADLERETFKYPNFDVEYTAEDLASVPPMTYDPYDRELVPLLYFSCPYKTTFEIEISRMKDQGPDKENSGAIEASVKLTELLDLYREDRGAKWVTALEEIPSLIIKGLSYLQLKNTKQDSLGQLVDWTMQALNLQVALRQPIALNVRQLKAGTKLVSSLAECGAQGVTGLLQAGVISGLFELLFADHVSSSLKLNAFKALDSVISMTEGMEAFLRGRQNEKSGYQKLLELILLDQTVRVVTAGSAILQKCHFYEVLSEIKRLGDHLAEKTSSLPNHSEPDHDTDAGLERTNPEYENEVEASMDMDLLESSNISEGEIERLINLLEEVFHLMETAPHTMIQQPVKSFPTMARITGPPERDDPYPVLFRYLHSHHFLELVTLLLSIPVTSAHPGVLQATKDVLKFLAQSQKGLLFFMSEYEATNLLIRALCHFYDQDEEEGLQSDGVIDDAFALWLQDSTQTLQCITELFSHFQRCTASEETDHSDLLGTLHNLYLITFNPVGRSAVGHVFSLEKNLQSLITLMEYYSKEALGDSKSKKSVAYNYACILILVVVQSSSDVQMLEQHAASLLKLCKADENNAKLQELGKWLEPLKNLRFEINCIPNLIEYVKQNIDNLMTPEGVGLTTALRVLCNVACPPPPVEGQQKDLKWNLAVIQLFSAEGMDTFIRVLQKLNSILTQPWRLHVNMGTTLHRVTTISMARCTLTLLKTMLTELLRGGSFEFKDMRVPSALVTLHMLLCSIPLSGRLDSDEQKIQNDIIDILLTFTQGVNEKLTISEETLANNTWSLMLKEVLSSILKVPEGFFSGLILLSELLPLPLPMQTTQVIEPHDISVALNTRKLWSMHLHVQAKLLQEIVRSFSGTTCQPIQHMLRRICVQLCDLASPTALLIMRTVLDLIVEDLQSTSEDKEKQYTSQTTRLLALLDALASHKACKLAILHLINGTIKGDERYAEIFQDLLALVRSPGDSVIRQQCVEYVTSILQSLCDQDIALILPSSSEGSISELEQLSNSLPNKELMTSICDCLLATLANSESSYNCLLTCVRTMMFLAEHDYGLFHLKSSLRKNSSALHSLLKRVVSTFSKDTGELASSFLEFMRQILNSDTIGCCGDDNGLMEVEGAHTSRTMSINAAELKQLLQSKEESPENLFLELEKLVLEHSKDDDNLDSLLDSVVGLKQMLESSGDPLPLSDQDVEPVLSAPESLQNLFNNRTAYVLADVMDDQLKSMWFTPFQAEEIDTDLDLVKVDLIELSEKCCSDFDLHSELERSFLSEPSSPGRTKTTKGFKLGKHKHETFITSSGKSEYIEPAKRAHVVPPPRGRGRGGFGQGIRPHDIFRQRKQNTSRPPSMHVDDFVAAESKEVVPQDGIPPPKRPLKVSQKISSRGGFSGNRGGRGAFHSQNRFFTPPASKGNYSRREGTRGSSWSAQNTPRGNYNESRGGQSNFNRGPLPPLRPLSSTGYRPSPRDRASRGRGGLGPSWASANSGSGGSRGKFVSGGSGRGRHVRSFTR
+>DECOY_sp|Q69YN4|VIR_HUMAN Protein virilizer homolog OS=Homo sapiens OX=9606 GN=VIRMA PE=1 SV=2
+RTFSRVHRGRGSGGSVFKGRSGGSGSNASAWSPGLGGRGRSARDRPSPRYGTSSLPRLPPLPGRNFNSQGGRSENYNGRPTNQASWSSGRTGERRSYNGKSAPPTFFRNQSHFAGRGGRNGSFGGRSSIKQSVKLPRKPPPIGDQPVVEKSEAAVFDDVHMSPPRSTNQKRQRFIDHPRIGQGFGGRGRGRPPPVVHARKAPEIYESKGSSTIFTEHKHKGLKFGKTTKTRGPSSPESLFSRELESHLDFDSCCKESLEILDVKVLDLDTDIEEAQFPTFWMSKLQDDMVDALVYATRNNFLNQLSEPASLVPEVDQDSLPLPDGSSELMQKLGVVSDLLSDLNDDDKSHELVLKELELFLNEPSEEKSQLLQKLEAANISMTRSTHAGEVEMLGNDDGCCGITDSNLIQRMFELFSSALEGTDKSFTSVVRKLLSHLASSNKRLSSKLHFLGYDHEALFMMTRVCTLLCNYSSESNALTALLCDCISTMLEKNPLSNSLQELESISGESSSPLILAIDQDCLSQLISTVYEVCQQRIVSDGPSRVLALLDQFIEAYREDGKITGNILHLIALKCAKHSALADLLALLRTTQSTYQKEKDESTSQLDEVILDLVTRMILLATPSALDCLQVCIRRLMHQIPQCTTGSFSRVIEQLLKAQVHLHMSWLKRTNLAVSIDHPEIVQTTQMPLPLPLLESLLILGSFFGEPVKLISSLVEKLMLSWTNNALTEESITLKENVGQTFTLLIDIIDNQIKQEDSDLRGSLPISCLLMHLTVLASPVRMDKFEFSGGRLLETLMTKLLTLTCRAMSITTVRHLTTGMNVHLRWPQTLISNLKQLVRIFTDMGEASFLQIVALNWKLDKQQGEVPPPPCAVNCLVRLATTLGVGEPTMLNDINQKVYEILNPICNIEFRLNKLPELWKGLEQLKANNEDAKCLKLLSAAHQELMQVDSSSQVVVLILICAYNYAVSKKSKSDGLAEKSYYEMLTILSQLNKELSFVHGVASRGVPNFTILYLNHLTGLLDSHDTEESATCRQFHSFLETICQLTQTSDQLWLAFADDIVGDSQLGEEEDQDYFHCLARILLNTAEYESMFFLLGKQSQALFKLVDKTAQLVGPHASTVPISLLLTVLELFHHSHLYRFLVPYPDDREPPGTIRAMTPFSKVPQQIMTHPATEMLHFVEELLNILREIEGESINSSELLDMDMSAEVENEYEPNTRELGADTDHDPESHNPLSSTKEALHDGLRKIESLVEYFHCKQLIASGATVVRVTQDLLILELLKQYGSKENQRGRLFAEMGETMSIVSDLAKFANLKLSSSVHDAFLLEFLGSIVGAQLLGTVGQAGCEALSSVLKTGAKLQRVNLAIPQRLAVQLNLAQMTWDVLQGLSDQKTNKLQLYSLGKIILSPIEELATVWKAGRDERYLDLLETLKVSAEIAGSNEKDPGQDKMRSIEIEFTTKYPCSFYLLPVLERDYPDYTMPPVSALDEATYEVDFNPYKFTERELDAIGDEDSSIQEYGDDGEGEEDEEGEEEEDEEEEEPISDVTRRDDEDEDEEEEVDVDDEDEEGEEQQEEEVEGEDSYQGEQPVSNRDPSIPEFYDERHPADEEKDGSVPLPVPDDEDDDPPPPGPPTRPGRPQPRPPSGNFQDEKEGDAHKPNRKLSPQPQPPPPPPPPPPPSDRDHSIVRDVSGYIALTLCNYWGRLVLGDTNVKSNPRFIISTNEDYELSGLRDFVPASPKSVNNFFLDLQFTHPSTEGYARNDPLSSHARVGPPIVRVENIYVVCPFRVVDIHSSQEASPHKFTDLFLLEMASDVAM
+>sp|Q96AX1|VP33A_HUMAN Vacuolar protein sorting-associated protein 33A OS=Homo sapiens OX=9606 GN=VPS33A PE=1 SV=1
+MAAHLSYGRVNLNVLREAVRRELREFLDKCAGSKAIVWDEYLTGPFGLIAQYSLLKEHEVEKMFTLKGNRLPAADVKNIIFFVRPRLELMDIIAENVLSEDRRGPTRDFHILFVPRRSLLCEQRLKDLGVLGSFIHREEYSLDLIPFDGDLLSMESEGAFKECYLEGDQTSLYHAAKGLMTLQALYGTIPQIFGKGECARQVANMMIRMKREFTGSQNSIFPVFDNLLLLDRNVDLLTPLATQLTYEGLIDEIYGIQNSYVKLPPEKFAPKKQGDGGKDLPTEAKKLQLNSAEELYAEIRDKNFNAVGSVLSKKAKIISAAFEERHNAKTVGEIKQFVSQLPHMQAARGSLANHTSIAELIKDVTTSEDFFDKLTVEQEFMSGIDTDKVNNYIEDCIAQKHSLIKVLRLVCLQSVCNSGLKQKVLDYYKREILQTYGYEHILTLHNLEKAGLLKPQTGGRNNYPTIRKTLRLWMDDVNEQNPTDISYVYSGYAPLSVRLAQLLSRPGWRSIEEVLRILPGPHFEERQPLPTGLQKKRQPGENRVTLIFFLGGVTFAEIAALRFLSQLEDGGTEYVIATTKLMNGTSWIEALMEKPF
+>DECOY_sp|Q96AX1|VP33A_HUMAN Vacuolar protein sorting-associated protein 33A OS=Homo sapiens OX=9606 GN=VPS33A PE=1 SV=1
+FPKEMLAEIWSTGNMLKTTAIVYETGGDELQSLFRLAAIEAFTVGGLFFILTVRNEGPQRKKQLGTPLPQREEFHPGPLIRLVEEISRWGPRSLLQALRVSLPAYGSYVYSIDTPNQENVDDMWLRLTKRITPYNNRGGTQPKLLGAKELNHLTLIHEYGYTQLIERKYYDLVKQKLGSNCVSQLCVLRLVKILSHKQAICDEIYNNVKDTDIGSMFEQEVTLKDFFDESTTVDKILEAISTHNALSGRAAQMHPLQSVFQKIEGVTKANHREEFAASIIKAKKSLVSGVANFNKDRIEAYLEEASNLQLKKAETPLDKGGDGQKKPAFKEPPLKVYSNQIGYIEDILGEYTLQTALPTLLDVNRDLLLLNDFVPFISNQSGTFERKMRIMMNAVQRACEGKGFIQPITGYLAQLTMLGKAAHYLSTQDGELYCEKFAGESEMSLLDGDFPILDLSYEERHIFSGLVGLDKLRQECLLSRRPVFLIHFDRTPGRRDESLVNEAIIDMLELRPRVFFIINKVDAAPLRNGKLTFMKEVEHEKLLSYQAILGFPGTLYEDWVIAKSGACKDLFERLERRVAERLVNLNVRGYSLHAAM
+>sp|Q86XT2|VP37D_HUMAN Vacuolar protein sorting-associated protein 37D OS=Homo sapiens OX=9606 GN=VPS37D PE=1 SV=2
+MYRARAARAGPEPGSPGRFGILSTGQLRDLLQDEPKLDRIVRLSRKFQGLQLEREACLASNYALAKENLALRPRLEMGRAALAIKYQELREVAENCADKLQRLEESMHRWSPHCALGWLQAELEEAEQEAEEQMEQLLLGEQSLEAFLPAFQRGRALAHLRRTQAEKLQELLRRRERSAQPAPTSAADPPKSFPAAAVLPTGAARGPPAVPRSLPPLDSRPVPPLKGSPGCPLGPAPLLSPRPSQPEPPHR
+>DECOY_sp|Q86XT2|VP37D_HUMAN Vacuolar protein sorting-associated protein 37D OS=Homo sapiens OX=9606 GN=VPS37D PE=1 SV=2
+RHPPEPQSPRPSLLPAPGLPCGPSGKLPPVPRSDLPPLSRPVAPPGRAAGTPLVAAAPFSKPPDAASTPAPQASRERRRLLEQLKEAQTRRLHALARGRQFAPLFAELSQEGLLLQEMQEEAEQEAEELEAQLWGLACHPSWRHMSEELRQLKDACNEAVERLEQYKIALAARGMELRPRLALNEKALAYNSALCAERELQLGQFKRSLRVIRDLKPEDQLLDRLQGTSLIGFRGPSGPEPGARAARARYM
+>sp|P63124|VPK04_HUMAN Endogenous retrovirus group K member 104 Pro protein OS=Homo sapiens OX=9606 GN=HERV-K104 PE=3 SV=1
+WASQVSENRPVCKAIIQGKQFEGLVDTEADVSIIALNQWPKNWPKQKAVTGLVGIGTASEVYQSTEILHCLGPDNQESTVQPMITSIPLNLWGQDLLQQWGAEITMPAPLYSPTSQKIMTKMGYIPGKGLGKNEDGIKVPVEAKINQKREGIGYPF
+>DECOY_sp|P63124|VPK04_HUMAN Endogenous retrovirus group K member 104 Pro protein OS=Homo sapiens OX=9606 GN=HERV-K104 PE=3 SV=1
+FPYGIGERKQNIKAEVPVKIGDENKGLGKGPIYGMKTMIKQSTPSYLPAPMTIEAGWQQLLDQGWLNLPISTIMPQVTSEQNDPGLCHLIETSQYVESATGIGVLGTVAKQKPWNKPWQNLAIISVDAETDVLGEFQKGQIIAKCVPRNESVQSAW
+>sp|P63120|VPK19_HUMAN Endogenous retrovirus group K member 19 Pro protein OS=Homo sapiens OX=9606 GN=ERVK-19 PE=3 SV=1
+WASQVSENRPVCKAIIQGKQFEGLVDTGADVSIIALNQWPKNWPKQKAVTGLVGIGTASEVYQSTEILHCLGPDNQESTVQPMITSIPLNLWGRDLLQQWGAEITMPAPLYSPTSQKIMTKMGYILGKGLGKNEDGIKIPVEAKINQKREGIGYPF
+>DECOY_sp|P63120|VPK19_HUMAN Endogenous retrovirus group K member 19 Pro protein OS=Homo sapiens OX=9606 GN=ERVK-19 PE=3 SV=1
+FPYGIGERKQNIKAEVPIKIGDENKGLGKGLIYGMKTMIKQSTPSYLPAPMTIEAGWQQLLDRGWLNLPISTIMPQVTSEQNDPGLCHLIETSQYVESATGIGVLGTVAKQKPWNKPWQNLAIISVDAGTDVLGEFQKGQIIAKCVPRNESVQSAW
+>sp|Q9Y487|VPP2_HUMAN V-type proton ATPase 116 kDa subunit a isoform 2 OS=Homo sapiens OX=9606 GN=ATP6V0A2 PE=1 SV=2
+MGSLFRSETMCLAQLFLQSGTAYECLSALGEKGLVQFRDLNQNVSSFQRKFVGEVKRCEELERILVYLVQEINRADIPLPEGEASPPAPPLKQVLEMQEQLQKLEVELREVTKNKEKLRKNLLELIEYTHMLRVTKTFVKRNVEFEPTYEEFPSLESDSLLDYSCMQRLGAKLGFVSGLINQGKVEAFEKMLWRVCKGYTIVSYAELDESLEDPETGEVIKWYVFLISFWGEQIGHKVKKICDCYHCHVYPYPNTAEERREIQEGLNTRIQDLYTVLHKTEDYLRQVLCKAAESVYSRVIQVKKMKAIYHMLNMCSFDVTNKCLIAEVWCPEADLQDLRRALEEGSRESGATIPSFMNIIPTKETPPTRIRTNKFTEGFQNIVDAYGVGSYREVNPALFTIITFPFLFAVMFGDFGHGFVMFLFALLLVLNENHPRLNQSQEIMRMFFNGRYILLLMGLFSVYTGLIYNDCFSKSVNLFGSGWNVSAMYSSSHPPAEHKKMVLWNDSVVRHNSILQLDPSIPGVFRGPYPLGIDPIWNLATNRLTFLNSFKMKMSVILGIIHMTFGVILGIFNHLHFRKKFNIYLVSIPELLFMLCIFGYLIFMIFYKWLVFSAETSRVAPSILIEFINMFLFPASKTSGLYTGQEYVQRVLLVVTALSVPVLFLGKPLFLLWLHNGRSCFGVNRSGYTLIRKDSEEEVSLLGSQDIEEGNHQVEDGCREMACEEFNFGEILMTQVIHSIEYCLGCISNTASYLRLWALSLAHAQLSDVLWAMLMRVGLRVDTTYGVLLLLPVIALFAVLTIFILLIMEGLSAFLHAIRLHWVEFQNKFYVGAGTKFVPFSFSLLSSKFNNDDSVA
+>DECOY_sp|Q9Y487|VPP2_HUMAN V-type proton ATPase 116 kDa subunit a isoform 2 OS=Homo sapiens OX=9606 GN=ATP6V0A2 PE=1 SV=2
+AVSDDNNFKSSLLSFSFPVFKTGAGVYFKNQFEVWHLRIAHLFASLGEMILLIFITLVAFLAIVPLLLLVGYTTDVRLGVRMLMAWLVDSLQAHALSLAWLRLYSATNSICGLCYEISHIVQTMLIEGFNFEECAMERCGDEVQHNGEEIDQSGLLSVEEESDKRILTYGSRNVGFCSRGNHLWLLFLPKGLFLVPVSLATVVLLVRQVYEQGTYLGSTKSAPFLFMNIFEILISPAVRSTEASFVLWKYFIMFILYGFICLMFLLEPISVLYINFKKRFHLHNFIGLIVGFTMHIIGLIVSMKMKFSNLFTLRNTALNWIPDIGLPYPGRFVGPISPDLQLISNHRVVSDNWLVMKKHEAPPHSSSYMASVNWGSGFLNVSKSFCDNYILGTYVSFLGMLLLIYRGNFFMRMIEQSQNLRPHNENLVLLLAFLFMVFGHGFDGFMVAFLFPFTIITFLAPNVERYSGVGYADVINQFGETFKNTRIRTPPTEKTPIINMFSPITAGSERSGEELARRLDQLDAEPCWVEAILCKNTVDFSCMNLMHYIAKMKKVQIVRSYVSEAAKCLVQRLYDETKHLVTYLDQIRTNLGEQIERREEATNPYPYVHCHYCDCIKKVKHGIQEGWFSILFVYWKIVEGTEPDELSEDLEAYSVITYGKCVRWLMKEFAEVKGQNILGSVFGLKAGLRQMCSYDLLSDSELSPFEEYTPEFEVNRKVFTKTVRLMHTYEILELLNKRLKEKNKTVERLEVELKQLQEQMELVQKLPPAPPSAEGEPLPIDARNIEQVLYVLIRELEECRKVEGVFKRQFSSVNQNLDRFQVLGKEGLASLCEYATGSQLFLQALCMTESRFLSGM
+>sp|P12018|VPREB_HUMAN Immunoglobulin iota chain OS=Homo sapiens OX=9606 GN=VPREB1 PE=1 SV=2
+MSWAPVLLMLFVYCTGCGPQPVLHQPPAMSSALGTTIRLTCTLRNDHDIGVYSVYWYQQRPGHPPRFLLRYFSQSDKSQGPQVPPRFSGSKDVARNRGYLSISELQPEDEAMYYCAMGARSSEKEEREREWEEEMEPTAARTRVP
+>DECOY_sp|P12018|VPREB_HUMAN Immunoglobulin iota chain OS=Homo sapiens OX=9606 GN=VPREB1 PE=1 SV=2
+PVRTRAATPEMEEEWEREREEKESSRAGMACYYMAEDEPQLESISLYGRNRAVDKSGSFRPPVQPGQSKDSQSFYRLLFRPPHGPRQQYWYVSYVGIDHDNRLTCTLRITTGLASSMAPPQHLVPQPGCGTCYVFLMLLVPAWSM
+>sp|Q96JG6|VPS50_HUMAN Syndetin OS=Homo sapiens OX=9606 GN=VPS50 PE=1 SV=3
+MQKIKSLMTRQGLKSPQESLSDLGAIESLRVPGKEEFRELREQPSDPQAEQELINSIEQVYFSVDSFDIVKYELEKLPPVLNLQELEAYRDKLKQQQAAVSKKVADLILEKQPAYVKELERVTSLQTGLQLAAVICTNGRRHLNIAKEGFTQASLGLLANQRKRQLLIGLLKSLRTIKTLQRTDVRLSEMLEEEDYPGAIQLCLECQKAASTFKHYSCISELNSKLQDTLEQIEEQLDVALSKICKNFDINHYTKVQQAYRLLGKTQTAMDQLHMHFTQAIHNTVFQVVLGYVELCAGNTDTKFQKLQYKDLCTHVTPDSYIPCLADLCKALWEVMLSYYRTMEWHEKHDNEDTASASEGSNMIGTEETNFDRGYIKKKLEHGLTRIWQDVQLKVKTYLLGTDLSIFKYDDFIFVLDIISRLMQVGEEFCGSKSEVLQESIRKQSVNYFKNYHRTRLDELRMFLENETWELCPVKSNFSILQLHEFKFMEQSRSPSVSPSKQPVSTSSKTVTLFEQYCSGGNPFEIQANHKDEETEDVLASNGYESDEQEKSAYQEYDSDSDVPEELKRDYVDEQTGDGPVKSVSRETLKSRKKSDYSLNKVNAPILTNTTLNVIRLVGKYMQMMNILKPIAFDVIHFMSQLFDYYLYAIYTFFGRNDSLESTGLGLSSSRLRTTLNRIQESLIDLEVSADPTATLTAAEERKEKVPSPHLSHLVVLTSGDTLYGLAERVVATESLVFLAEQFEFLQPHLDAVMPAVKKPFLQQFYSQTVSTASELRKPIYWIVAGKALDYEQMLLLMANVKWDVKEIMSQHNIYVDALLKEFEQFNRRLNEVSKRVRIPLPVSNILWEHCIRLANRTIVEGYANVKKCSNEGRALMQLDFQQFLMKLEKLTDIRPIPDKEFVETYIKAYYLTENDMERWIKEHREYSTKQLTNLVNVCLGSHINKKARQKLLAAIDDIDRPKR
+>DECOY_sp|Q96JG6|VPS50_HUMAN Syndetin OS=Homo sapiens OX=9606 GN=VPS50 PE=1 SV=3
+RKPRDIDDIAALLKQRAKKNIHSGLCVNVLNTLQKTSYERHEKIWREMDNETLYYAKIYTEVFEKDPIPRIDTLKELKMLFQQFDLQMLARGENSCKKVNAYGEVITRNALRICHEWLINSVPLPIRVRKSVENLRRNFQEFEKLLADVYINHQSMIEKVDWKVNAMLLLMQEYDLAKGAVIWYIPKRLESATSVTQSYFQQLFPKKVAPMVADLHPQLFEFQEALFVLSETAVVREALGYLTDGSTLVVLHSLHPSPVKEKREEAATLTATPDASVELDILSEQIRNLTTRLRSSSLGLGTSELSDNRGFFTYIAYLYYDFLQSMFHIVDFAIPKLINMMQMYKGVLRIVNLTTNTLIPANVKNLSYDSKKRSKLTERSVSKVPGDGTQEDVYDRKLEEPVDSDSDYEQYASKEQEDSEYGNSALVDETEEDKHNAQIEFPNGGSCYQEFLTVTKSSTSVPQKSPSVSPSRSQEMFKFEHLQLISFNSKVPCLEWTENELFMRLEDLRTRHYNKFYNVSQKRISEQLVESKSGCFEEGVQMLRSIIDLVFIFDDYKFISLDTGLLYTKVKLQVDQWIRTLGHELKKKIYGRDFNTEETGIMNSGESASATDENDHKEHWEMTRYYSLMVEWLAKCLDALCPIYSDPTVHTCLDKYQLKQFKTDTNGACLEVYGLVVQFVTNHIAQTFHMHLQDMATQTKGLLRYAQQVKTYHNIDFNKCIKSLAVDLQEEIQELTDQLKSNLESICSYHKFTSAAKQCELCLQIAGPYDEEELMESLRVDTRQLTKITRLSKLLGILLQRKRQNALLGLSAQTFGEKAINLHRRGNTCIVAALQLGTQLSTVRELEKVYAPQKELILDAVKKSVAAQQQKLKDRYAELEQLNLVPPLKELEYKVIDFSDVSFYVQEISNILEQEAQPDSPQERLERFEEKGPVRLSEIAGLDSLSEQPSKLGQRTMLSKIKQM
+>sp|Q8N0Z9|VSI10_HUMAN V-set and immunoglobulin domain-containing protein 10 OS=Homo sapiens OX=9606 GN=VSIG10 PE=2 SV=1
+MAAGGSAPEPRVLVCLGALLAGWVAVGLEAVVIGEVHENVTLHCGNISGLRGQVTWYRNNSEPVFLLSSNSSLRPAEPRFSLVDATSLHIESLSLGDEGIYTCQEILNVTQWFQVWLQVASGPYQIEVHIVATGTLPNGTLYAARGSQVDFSCNSSSRPPPVVEWWFQALNSSSESFGHNLTVNFFSLLLISPNLQGNYTCLALNQLSKRHRKVTTELLVYYPPPSAPQCWAQMASGSFMLQLTCRWDGGYPDPDFLWIEEPGGVIVGKSKLGVEMLSESQLSDGKKFKCVTSHIVGPESGASCMVQIRGPSLLSEPMKTCFTGGNVTLTCQVSGAYPPAKILWLRNLTQPEVIIQPSSRHLITQDGQNSTLTIHNCSQDLDEGYYICRADSPVGVREMEIWLSVKEPLNIGGIVGTIVSLLLLGLAIISGLLLHYSPVFCWKVGNTSRGQNMDDVMVLVDSEEEEEEEEEEEEDAAVGEQEGAREREELPKEIPKQDHIHRVTALVNGNIEQMGNGFQDLQDDSSEEQSDIVQEEDRPV
+>DECOY_sp|Q8N0Z9|VSI10_HUMAN V-set and immunoglobulin domain-containing protein 10 OS=Homo sapiens OX=9606 GN=VSIG10 PE=2 SV=1
+VPRDEEQVIDSQEESSDDQLDQFGNGMQEINGNVLATVRHIHDQKPIEKPLEERERAGEQEGVAADEEEEEEEEEEEESDVLVMVDDMNQGRSTNGVKWCFVPSYHLLLGSIIALGLLLLSVITGVIGGINLPEKVSLWIEMERVGVPSDARCIYYGEDLDQSCNHITLTSNQGDQTILHRSSPQIIVEPQTLNRLWLIKAPPYAGSVQCTLTVNGGTFCTKMPESLLSPGRIQVMCSAGSEPGVIHSTVCKFKKGDSLQSESLMEVGLKSKGVIVGGPEEIWLFDPDPYGGDWRCTLQLMFSGSAMQAWCQPASPPPYYVLLETTVKRHRKSLQNLALCTYNGQLNPSILLLSFFNVTLNHGFSESSSNLAQFWWEVVPPPRSSSNCSFDVQSGRAAYLTGNPLTGTAVIHVEIQYPGSAVQLWVQFWQTVNLIEQCTYIGEDGLSLSEIHLSTADVLSFRPEAPRLSSNSSLLFVPESNNRYWTVQGRLGSINGCHLTVNEHVEGIVVAELGVAVWGALLAGLCVLVRPEPASGGAAM
+>sp|Q96IQ7|VSIG2_HUMAN V-set and immunoglobulin domain-containing protein 2 OS=Homo sapiens OX=9606 GN=VSIG2 PE=1 SV=1
+MAELPGPFLCGALLGFLCLSGLAVEVKVPTEPLSTPLGKTAELTCTYSTSVGDSFALEWSFVQPGKPISESHPILYFTNGHLYPTGSKSKRVSLLQNPPTVGVATLKLTDVHPSDTGTYLCQVNNPPDFYTNGLGLINLTVLVPPSNPLCSQSGQTSVGGSTALRCSSSEGAPKPVYNWVRLGTFPTPSPGSMVQDEVSGQLILTNLSLTSSGTYRCVATNQMGSASCELTLSVTEPSQGRVAGALIGVLLGVLLLSVAAFCLVRFQKERGKKPKETYGGSDLREDAIAPGISEHTCMRADSSKGFLERPSSASTVTTTKSKLPMVV
+>DECOY_sp|Q96IQ7|VSIG2_HUMAN V-set and immunoglobulin domain-containing protein 2 OS=Homo sapiens OX=9606 GN=VSIG2 PE=1 SV=1
+VVMPLKSKTTTVTSASSPRELFGKSSDARMCTHESIGPAIADERLDSGGYTEKPKKGREKQFRVLCFAAVSLLLVGLLVGILAGAVRGQSPETVSLTLECSASGMQNTAVCRYTGSSTLSLNTLILQGSVEDQVMSGPSPTPFTGLRVWNYVPKPAGESSSCRLATSGGVSTQGSQSCLPNSPPVLVTLNILGLGNTYFDPPNNVQCLYTGTDSPHVDTLKLTAVGVTPPNQLLSVRKSKSGTPYLHGNTFYLIPHSESIPKGPQVFSWELAFSDGVSTSYTCTLEATKGLPTSLPETPVKVEVALGSLCLFGLLAGCLFPGPLEAM
+>sp|P0DPA2|VSIG8_HUMAN V-set and immunoglobulin domain-containing protein 8 OS=Homo sapiens OX=9606 GN=VSIG8 PE=2 SV=1
+MRVGGAFHLLLVCLSPALLSAVRINGDGQEVLYLAEGDNVRLGCPYVLDPEDYGPNGLDIEWMQVNSDPAHHRENVFLSYQDKRINHGSLPHLQQRVRFAASDPSQYDASINLMNLQVSDTATYECRVKKTTMATRKVIVTVQARPAVPMCWTEGHMTYGNDVVLKCYASGGSQPLSYKWAKISGHHYPYRAGSYTSQHSYHSELSYQESFHSSINQGLNNGDLVLKDISRADDGLYQCTVANNVGYSVCVVEVKVSDSRRIGVIIGIVLGSLLALGCLAVGIWGLVCCCCGGSGAGGARGAFGYGNGGGVGGGACGDLASEIREDAVAPGCKASGRGSRVTHLLGYPTQNVSRSLRRKYAPPPCGGPEDVALAPCTAAAACEAGPSPVYVKVKSAEPADCAEGPVQCKNGLLV
+>DECOY_sp|P0DPA2|VSIG8_HUMAN V-set and immunoglobulin domain-containing protein 8 OS=Homo sapiens OX=9606 GN=VSIG8 PE=2 SV=1
+VLLGNKCQVPGEACDAPEASKVKVYVPSPGAECAAAATCPALAVDEPGGCPPPAYKRRLSRSVNQTPYGLLHTVRSGRGSAKCGPAVADERIESALDGCAGGGVGGGNGYGFAGRAGGAGSGGCCCCVLGWIGVALCGLALLSGLVIGIIVGIRRSDSVKVEVVCVSYGVNNAVTCQYLGDDARSIDKLVLDGNNLGQNISSHFSEQYSLESHYSHQSTYSGARYPYHHGSIKAWKYSLPQSGGSAYCKLVVDNGYTMHGETWCMPVAPRAQVTVIVKRTAMTTKKVRCEYTATDSVQLNMLNISADYQSPDSAAFRVRQQLHPLSGHNIRKDQYSLFVNERHHAPDSNVQMWEIDLGNPGYDEPDLVYPCGLRVNDGEALYLVEQGDGNIRVASLLAPSLCVLLLHFAGGVRM
+>sp|Q9NP79|VTA1_HUMAN Vacuolar protein sorting-associated protein VTA1 homolog OS=Homo sapiens OX=9606 GN=VTA1 PE=1 SV=1
+MAALAPLPPLPAQFKSIQHHLRTAQEHDKRDPVVAYYCRLYAMQTGMKIDSKTPECRKFLSKLMDQLEALKKQLGDNEAITQEIVGCAHLENYALKMFLYADNEDRAGRFHKNMIKSFYTASLLIDVITVFGELTDENVKHRKYARWKATYIHNCLKNGETPQAGPVGIEEDNDIEENEDAGAASLPTQPTQPSSSSTYDPSNMPSGNYTGIQIPPGAHAPANTPAEVPHSTGVASNTIQPTPQTIPAIDPALFNTISQGDVRLTPEDFARAQKYCKYAGSALQYEDVSTAVQNLQKALKLLTTGRE
+>DECOY_sp|Q9NP79|VTA1_HUMAN Vacuolar protein sorting-associated protein VTA1 homolog OS=Homo sapiens OX=9606 GN=VTA1 PE=1 SV=1
+ERGTTLLKLAKQLNQVATSVDEYQLASGAYKCYKQARAFDEPTLRVDGQSITNFLAPDIAPITQPTPQITNSAVGTSHPVEAPTNAPAHAGPPIQIGTYNGSPMNSPDYTSSSSPQTPQTPLSAAGADENEEIDNDEEIGVPGAQPTEGNKLCNHIYTAKWRAYKRHKVNEDTLEGFVTIVDILLSATYFSKIMNKHFRGARDENDAYLFMKLAYNELHACGVIEQTIAENDGLQKKLAELQDMLKSLFKRCEPTKSDIKMGTQMAYLRCYYAVVPDRKDHEQATRLHHQISKFQAPLPPLPALAAM
+>sp|P02774|VTDB_HUMAN Vitamin D-binding protein OS=Homo sapiens OX=9606 GN=GC PE=1 SV=1
+MKRVLVLLLAVAFGHALERGRDYEKNKVCKEFSHLGKEDFTSLSLVLYSRKFPSGTFEQVSQLVKEVVSLTEACCAEGADPDCYDTRTSALSAKSCESNSPFPVHPGTAECCTKEGLERKLCMAALKHQPQEFPTYVEPTNDEICEAFRKDPKEYANQFMWEYSTNYGQAPLSLLVSYTKSYLSMVGSCCTSASPTVCFLKERLQLKHLSLLTTLSNRVCSQYAAYGEKKSRLSNLIKLAQKVPTADLEDVLPLAEDITNILSKCCESASEDCMAKELPEHTVKLCDNLSTKNSKFEDCCQEKTAMDVFVCTYFMPAAQLPELPDVELPTNKDVCDPGNTKVMDKYTFELSRRTHLPEVFLSKVLEPTLKSLGECCDVEDSTTCFNAKGPLLKKELSSFIDKGQELCADYSENTFTEYKKKLAERLKAKLPDATPKELAKLVNKRSDFASNCCSINSPPLYCDSEIDAELKNIL
+>DECOY_sp|P02774|VTDB_HUMAN Vitamin D-binding protein OS=Homo sapiens OX=9606 GN=GC PE=1 SV=1
+LINKLEADIESDCYLPPSNISCCNSAFDSRKNVLKALEKPTADPLKAKLREALKKKYETFTNESYDACLEQGKDIFSSLEKKLLPGKANFCTTSDEVDCCEGLSKLTPELVKSLFVEPLHTRRSLEFTYKDMVKTNGPDCVDKNTPLEVDPLEPLQAAPMFYTCVFVDMATKEQCCDEFKSNKTSLNDCLKVTHEPLEKAMCDESASECCKSLINTIDEALPLVDELDATPVKQALKILNSLRSKKEGYAAYQSCVRNSLTTLLSLHKLQLREKLFCVTPSASTCCSGVMSLYSKTYSVLLSLPAQGYNTSYEWMFQNAYEKPDKRFAECIEDNTPEVYTPFEQPQHKLAAMCLKRELGEKTCCEATGPHVPFPSNSECSKASLASTRTDYCDPDAGEACCAETLSVVEKVLQSVQEFTGSPFKRSYLVLSLSTFDEKGLHSFEKCVKNKEYDRGRELAHGFAVALLLVLVRKM
+>sp|Q2TAL6|VWC2_HUMAN Brorin OS=Homo sapiens OX=9606 GN=VWC2 PE=1 SV=1
+MPSSTAMAVGALSSSLLVTCCLMVALCSPSIPLEKLAQAPEQPGQEKREHASRDGPGRVNELGRPARDEGGSGRDWKSKSGRGLAGREPWSKLKQAWVSQGGGAKAGDLQVRPRGDTPQAEALAAAAQDAIGPELAPTPEPPEEYVYPDYRGKGCVDESGFVYAIGEKFAPGPSACPCLCTEEGPLCAQPECPRLHPRCIHVDTSQCCPQCKERKNYCEFRGKTYQTLEEFVVSPCERCRCEANGEVLCTVSACPQTECVDPVYEPDQCCPICKNGPNCFAETAVIPAGREVKTDECTICHCTYEEGTWRIERQAMCTRHECRQM
+>DECOY_sp|Q2TAL6|VWC2_HUMAN Brorin OS=Homo sapiens OX=9606 GN=VWC2 PE=1 SV=1
+MQRCEHRTCMAQREIRWTGEEYTCHCITCEDTKVERGAPIVATEAFCNPGNKCIPCCQDPEYVPDVCETQPCASVTCLVEGNAECRCRECPSVVFEELTQYTKGRFECYNKREKCQPCCQSTDVHICRPHLRPCEPQACLPGEETCLCPCASPGPAFKEGIAYVFGSEDVCGKGRYDPYVYEEPPEPTPALEPGIADQAAAALAEAQPTDGRPRVQLDGAKAGGGQSVWAQKLKSWPERGALGRGSKSKWDRGSGGEDRAPRGLENVRGPGDRSAHERKEQGPQEPAQALKELPISPSCLAVMLCCTVLLSSSLAGVAMATSSPM
+>sp|Q5SRD0|WAC2D_HUMAN WASH complex subunit 2D OS=Homo sapiens OX=9606 GN=WASHC2D PE=3 SV=2
+MRGKRRPQTRAARRLAAQESSEAEDMSVPRGPIAQWADGAISPNGHRPQLRAASGEDSTEEALAAAAAPWEGGPVPGVDTSPFAKSLGHSRGEADLFDSGDIFSTGTGSQSVERTKPKAKIAENPANPPVGGKAKSPMFPALGEASSDDDLFQSAKPKPAKKTNPFPLLEDEDDLFTDQKVKKNETKSSSQQDVILTTQDIFEDDIFATEAIKPSQKTREKEKTLESNLFDDNIDIFADLTVKPKEKSKKKVEAKSIFDDDMDDIFSTGIQAKTTKPKSRSAQAAPEPRFEHKVSNIFDDPLNAFGGQ
+>DECOY_sp|Q5SRD0|WAC2D_HUMAN WASH complex subunit 2D OS=Homo sapiens OX=9606 GN=WASHC2D PE=3 SV=2
+QGGFANLPDDFINSVKHEFRPEPAAQASRSKPKTTKAQIGTSFIDDMDDDFISKAEVKKKSKEKPKVTLDAFIDINDDFLNSELTKEKERTKQSPKIAETAFIDDEFIDQTTLIVDQQSSSKTENKKVKQDTFLDDEDELLPFPNTKKAPKPKASQFLDDDSSAEGLAPFMPSKAKGGVPPNAPNEAIKAKPKTREVSQSGTGTSFIDGSDFLDAEGRSHGLSKAFPSTDVGPVPGGEWPAAAAALAEETSDEGSAARLQPRHGNPSIAGDAWQAIPGRPVSMDEAESSEQAALRRAARTQPRRKGRM
+>sp|Q9BRX9|WDR83_HUMAN WD repeat domain-containing protein 83 OS=Homo sapiens OX=9606 GN=WDR83 PE=1 SV=1
+MAFPEPKPRPPELPQKRLKTLDCGQGAVRAVRFNVDGNYCLTCGSDKTLKLWNPLRGTLLRTYSGHGYEVLDAAGSFDNSSLCSGGGDKAVVLWDVASGQVVRKFRGHAGKVNTVQFNEEATVILSGSIDSSIRCWDCRSRRPEPVQTLDEARDGVSSVKVSDHEILAGSVDGRVRRYDLRMGQLFSDYVGSPITCTCFSRDGQCTLVSSLDSTLRLLDKDTGELLGEYKGHKNQEYKLDCCLSERDTHVVSCSEDGKVFFWDLVEGALALALPVGSGVVQSLAYHPTEPCLLTAMGGSVQCWREEAYEAEDGAG
+>DECOY_sp|Q9BRX9|WDR83_HUMAN WD repeat domain-containing protein 83 OS=Homo sapiens OX=9606 GN=WDR83 PE=1 SV=1
+GAGDEAEYAEERWCQVSGGMATLLCPETPHYALSQVVGSGVPLALALAGEVLDWFFVKGDESCSVVHTDRESLCCDLKYEQNKHGKYEGLLEGTDKDLLRLTSDLSSVLTCQGDRSFCTCTIPSGVYDSFLQGMRLDYRRVRGDVSGALIEHDSVKVSSVGDRAEDLTQVPEPRRSRCDWCRISSDISGSLIVTAEENFQVTNVKGAHGRFKRVVQGSAVDWLVVAKDGGGSCLSSNDFSGAADLVEYGHGSYTRLLTGRLPNWLKLTKDSGCTLCYNGDVNFRVARVAGQGCDLTKLRKQPLEPPRPKPEPFAM
+>sp|Q6ZQQ6|WDR87_HUMAN WD repeat-containing protein 87 OS=Homo sapiens OX=9606 GN=WDR87 PE=1 SV=3
+MSSPRLIPLWKDLKLLLNDTINKSKQPSEDPKNCLIVLSDRSQAVAWMKSKTEDMVEKRTFSMTERLPPIQSMVHAGSFHILVVYCGDLILRLFGDHFRAFKPLGKVPCRFNISCLCYDPEMKMLLSGILGAVVTWVIELGGTGLQIAHMVSMPGDELVQDIVLNGPSGSLLALCETVVRVLMHQGKGQLGEVKRFTSTSSGSSITCCFTCFDQGFLYAGNQAGEIQVWSLQQGHPLHSFQAHQSGVICIRSRPEAHTLLTAGSDSLIKEWNLTSGSLLRRLELGEELYRLQFIDSITFFCQTAHSFSLHRLPCFYSLFNVCGSAPQQLRRVCCGNNWFRILCTTEDGLLRFVSPVTGDLLVITWPFSILDQAVDWAYDPGKEELFVATGSSEVLVFDTTRCPCPAKYLLGTSPNSQDFVQCLAYGHFNLGRGLEGLIFSGHQSGVIRVLSQHSCARLEKFMHFGAVLALSTLSGGIFGGQGNSLLCSYGMDDYVHLSEAVLDGVKVQLRPLASILSSCHLTHLILLPKSVGAITETNCLRLWKFHDFLSSGSQNGLKFIETLPLHLCAITSFDVCLSLSLFVTGSADGSVRIWDFHGRLIGILDSSLHFGPVCFANDRGDLLVTFNQSLYLVSCLKLLPPALLTRLSFMSISDEVLEVPKPFIPSFFFSFETMFVPKYIYPGQAQQKLVGLEKLVNNRAIAFDHSVPHVIEEDEEGSPVLLRSSMHYSLQDMEDWMQVSKRYQCHYVLPPQLQLTSWDGLNPYQILRYYFGHGREWLFAPDCYIPNSVIRARLWPEGTPIYLQCNLHAPQRELEWDRSQEFFFWHSRVRAISNTEYPKNKEEDEHFLEMRLSKDVTYSVLTDGANRSWLGRKMSEITINSMIETMLNIMVHASLLKYQCCVGALGQIFASYQVSPALRSETARRLLNDTTNSNPLIRELAWEGLKRLGMITHLFAMPLAQGLMDKDERVRIKTLSLMAEIGIHSRTSLLQLTQKQETFREMQQQMIGEEPLDHLLGMRATDLQILSTQVEQRLNENLTLSHRDEKPAFSLDVSMPSELKSSLKPPTVSEESEVAIKPSKGQRRGQAGVKKHSQKWLRGLKKTKERDSKQMSTEPGLLEDESGTEAAPIEMEEASVYSQWSSSTSVIKLSKDVDSQEKDISKDHIALTLKRLQKIRDKRDKKATAQKLKKKHKKKGKEAKVINEETTPPVMEQPVTKKVKIQGRGASGISGRRSTAGDGSSWRDDLCRLMALRISGSQTKMSENLNAELVTFAQEMLVDRHPSWELFQEICPLLKKESKVLLEDLDWDVVPPEKKPIFIQEGAIREDMIQGVTQEVIRHKEVMPREEEQAQKKARDMLGLEETQVILKKGKKVIFLEPGNVTMGKEISKKEEKKTFQKSPKQGRKAVQKERKVGKIKREMTKEERDMSEEVEEMATLEEKVVKQEGKLVMIERTPSWQDWKKAWDEWKQVHGETRKSWKAWKEEWEKRLLQEEEKLHQAGEKLSPEEEMLQEDKKLKWEEWKQVWENMLSSKSKEQQYKDEEEVTLEEEVSREGEEKEQQVTEEQRHIQEEHKWARIHRKRARAEKKRAQEERKLAQEEEKLAQEERQLAQEERKLAQAYVKITQDDREMAQAEGKFAQKEETLAQRGEKLSQEAEKLAQKRKKLAKKWEKVAREEEKLAKKGGKLAEVKNILAQKVEELPQREQNLDWQEKELAQELEELEWDMEELSWKEEELNQEEGKLVEEKKKLAEEEEALAWQREKLSEEETKLAQEEELLIQEKEKLAQHKEKMPEEEERLGRKREQLIEKKMKLAQKRERWINSMEELTKNKMILYQKKNLAQEKKNLAQEKEKLAQRKENLLYNKERLTHSKKQLVQVKNKLGMFNKILAQVEEKLTQEKETVIKKKEKLAETEKKLVQVEDSLAKKQEKLAQEKMKLALEKAMVQGKKRLRGELDIAKEEKALNLEMKRLAEEKMRLVEGKETLSKGETPETSRQRKMTQVEQELFERKLSLEEKILLHEDRILAMEESEIAKGKLEFTRGQRIFVQGQRKLAKASRKLIKKRESLSKEPAKLNKILKALQKLTRDERKLTQEEIKMTKMKRALFVKERRLSIEQSKLDIKEWDFSEKRSELTKDEKKLARKQRKLANKMRRMINKEEKMTEEESKLARKHSEVILDDEEEGGIEEEEVIPFLKRRWRKRKEAKRGDKPKEKFSSQVDEVESEEHFSEEMESLLDELEKQESLSSEEEEEREEEEEREEEEVREEEEERKEEEEGEEKQVEKEEEEKKKKKKEKKKEEVQEKEEVFEEKEEIMSEEETESLSDEEEEEESCSLEEEVDREKEILKKEKQFKLQEQRRKSLRGRERVLSILRGVPHGKGRAIRLGVLKSPLKKLMSTALEMKEKTPVPVPEKQISWEDKKATVVEIPRKFLGTMDKEREVMGKYEPIPPHVLGTVLESQAQDLKTPFMSHILRRTVEAEELQHKPLGAWWKWFLQHPPLMGQTEVQLPLSQIPAKEQHADVSLSDVEWIRHVLERMEAGEQLSRDGFHRLCQLLKDLASKGNLEWLHLAKHEAIVYRHRQALESQDTRISSRQSMSPKYLKVIPPIKAKEKESWPKPLAVPTQKSPLATKRIPDPRAKNWHLLGEPYRSERAQQISIAHKEMEMQYFYPATRDIFPSAHASVEKQTLALMFQKDFWDFKDKRRFPKLPKLEKKTQPISKKKEELPLWETFVALYHVLRMLQQRYPKDSTAWMEQFYQLMDLYQLKSPRIQKLLQELLMREEPQPQEIIYEEALKATELVPGERLFCCLFCGSSHTPRSPQEFQGAVPLPWQNCVRTILPVGIARYGILELAWKSLPEADLHLTKALTHTVAPTL
+>DECOY_sp|Q6ZQQ6|WDR87_HUMAN WD repeat-containing protein 87 OS=Homo sapiens OX=9606 GN=WDR87 PE=1 SV=3
+LTPAVTHTLAKTLHLDAEPLSKWALELIGYRAIGVPLITRVCNQWPLPVAGQFEQPSRPTHSSGCFLCCFLREGPVLETAKLAEEYIIEQPQPEERMLLEQLLKQIRPSKLQYLDMLQYFQEMWATSDKPYRQQLMRLVHYLAVFTEWLPLEEKKKSIPQTKKELKPLKPFRRKDKFDWFDKQFMLALTQKEVSAHASPFIDRTAPYFYQMEMEKHAISIQQARESRYPEGLLHWNKARPDPIRKTALPSKQTPVALPKPWSEKEKAKIPPIVKLYKPSMSQRSSIRTDQSELAQRHRYVIAEHKALHLWELNGKSALDKLLQCLRHFGDRSLQEGAEMRELVHRIWEVDSLSVDAHQEKAPIQSLPLQVETQGMLPPHQLFWKWWAGLPKHQLEEAEVTRRLIHSMFPTKLDQAQSELVTGLVHPPIPEYKGMVEREKDMTGLFKRPIEVVTAKKDEWSIQKEPVPVPTKEKMELATSMLKKLPSKLVGLRIARGKGHPVGRLISLVRERGRLSKRRQEQLKFQKEKKLIEKERDVEEELSCSEEEEEEDSLSETEEESMIEEKEEFVEEKEQVEEKKKEKKKKKKEEEEKEVQKEEGEEEEKREEEEERVEEEEREEEEEREEEEESSLSEQKELEDLLSEMEESFHEESEVEDVQSSFKEKPKDGRKAEKRKRWRRKLFPIVEEEEIGGEEEDDLIVESHKRALKSEEETMKEEKNIMRRMKNALKRQKRALKKEDKTLESRKESFDWEKIDLKSQEISLRREKVFLARKMKTMKIEEQTLKREDRTLKQLAKLIKNLKAPEKSLSERKKILKRSAKALKRQGQVFIRQGRTFELKGKAIESEEMALIRDEHLLIKEELSLKREFLEQEVQTMKRQRSTEPTEGKSLTEKGEVLRMKEEALRKMELNLAKEEKAIDLEGRLRKKGQVMAKELALKMKEQALKEQKKALSDEVQVLKKETEALKEKKKIVTEKEQTLKEEVQALIKNFMGLKNKVQVLQKKSHTLREKNYLLNEKRQALKEKEQALNKKEQALNKKQYLIMKNKTLEEMSNIWRERKQALKMKKEILQERKRGLREEEEPMKEKHQALKEKEQILLEEEQALKTEEESLKERQWALAEEEEALKKKEEVLKGEEQNLEEEKWSLEEMDWELEELEQALEKEQWDLNQERQPLEEVKQALINKVEALKGGKKALKEEERAVKEWKKALKKRKQALKEAEQSLKEGRQALTEEKQAFKGEAQAMERDDQTIKVYAQALKREEQALQREEQALKEEEQALKREEQARKKEARARKRHIRAWKHEEQIHRQEETVQQEKEEGERSVEEELTVEEEDKYQQEKSKSSLMNEWVQKWEEWKLKKDEQLMEEEPSLKEGAQHLKEEEQLLRKEWEEKWAKWSKRTEGHVQKWEDWAKKWDQWSPTREIMVLKGEQKVVKEELTAMEEVEESMDREEKTMERKIKGVKREKQVAKRGQKPSKQFTKKEEKKSIEKGMTVNGPELFIVKKGKKLIVQTEELGLMDRAKKQAQEEERPMVEKHRIVEQTVGQIMDERIAGEQIFIPKKEPPVVDWDLDELLVKSEKKLLPCIEQFLEWSPHRDVLMEQAFTVLEANLNESMKTQSGSIRLAMLRCLDDRWSSGDGATSRRGSIGSAGRGQIKVKKTVPQEMVPPTTEENIVKAEKGKKKHKKKLKQATAKKDRKDRIKQLRKLTLAIHDKSIDKEQSDVDKSLKIVSTSSSWQSYVSAEEMEIPAAETGSEDELLGPETSMQKSDREKTKKLGRLWKQSHKKVGAQGRRQGKSPKIAVESEESVTPPKLSSKLESPMSVDLSFAPKEDRHSLTLNENLRQEVQTSLIQLDTARMGLLHDLPEEGIMQQQMERFTEQKQTLQLLSTRSHIGIEAMLSLTKIRVREDKDMLGQALPMAFLHTIMGLRKLGEWALERILPNSNTTDNLLRRATESRLAPSVQYSAFIQGLAGVCCQYKLLSAHVMINLMTEIMSNITIESMKRGLWSRNAGDTLVSYTVDKSLRMELFHEDEEKNKPYETNSIARVRSHWFFFEQSRDWELERQPAHLNCQLYIPTGEPWLRARIVSNPIYCDPAFLWERGHGFYYRLIQYPNLGDWSTLQLQPPLVYHCQYRKSVQMWDEMDQLSYHMSSRLLVPSGEEDEEIVHPVSHDFAIARNNVLKELGVLKQQAQGPYIYKPVFMTEFSFFFSPIFPKPVELVEDSISMFSLRTLLAPPLLKLCSVLYLSQNFTVLLDGRDNAFCVPGFHLSSDLIGILRGHFDWIRVSGDASGTVFLSLSLCVDFSTIACLHLPLTEIFKLGNQSGSSLFDHFKWLRLCNTETIAGVSKPLLILHTLHCSSLISALPRLQVKVGDLVAESLHVYDDMGYSCLLSNGQGGFIGGSLTSLALVAGFHMFKELRACSHQSLVRIVGSQHGSFILGELGRGLNFHGYALCQVFDQSNPSTGLLYKAPCPCRTTDFVLVESSGTAVFLEEKGPDYAWDVAQDLISFPWTIVLLDGTVPSVFRLLGDETTCLIRFWNNGCCVRRLQQPASGCVNFLSYFCPLRHLSFSHATQCFFTISDIFQLRYLEEGLELRRLLSGSTLNWEKILSDSGATLLTHAEPRSRICIVGSQHAQFSHLPHGQQLSWVQIEGAQNGAYLFGQDFCTFCCTISSGSSTSTFRKVEGLQGKGQHMLVRVVTECLALLSGSPGNLVIDQVLEDGPMSVMHAIQLGTGGLEIVWTVVAGLIGSLLMKMEPDYCLCSINFRCPVKGLPKFARFHDGFLRLILDGCYVVLIHFSGAHVMSQIPPLRETMSFTRKEVMDETKSKMWAVAQSRDSLVILCNKPDESPQKSKNITDNLLLKLDKWLPILRPSSM
+>sp|Q9H1F0|WF10A_HUMAN WAP four-disulfide core domain protein 10A OS=Homo sapiens OX=9606 GN=WFDC10A PE=3 SV=2
+MAPQTLLPVLVLCVLLLQAQGGYRDKKRMQKTQLSPEIKVCQQQPKLYLCKHLCESHRDCQANNICCSTYCGNVCMSIL
+>DECOY_sp|Q9H1F0|WF10A_HUMAN WAP four-disulfide core domain protein 10A OS=Homo sapiens OX=9606 GN=WFDC10A PE=3 SV=2
+LISMCVNGCYTSCCINNAQCDRHSECLHKCLYLKPQQQCVKIEPSLQTKQMRKKDRYGGQAQLLLVCLVLVPLLTQPAM
+>sp|Q8TF30|WHAMM_HUMAN WASP homolog-associated protein with actin, membranes and microtubules OS=Homo sapiens OX=9606 GN=WHAMM PE=1 SV=2
+MEDEQPDSLEGWVPVREGLFAEPERHRLRFLVAWNGAEGKFAVTCHDRTAQQRRLREGARLGPEPEPKPEAAVSPSSWAGLLSAAGLRGAHRQLAALWPPLERCFPRLPPELDVGGGGAWGLGLGLWALLWPTRAGPGEAALQELCGQLERYLGAAADGCGGATVRDALFPAEGGAADCESPREFRERALRARWVEADARLRQVIQGHGKANTMVALMNVYQEEDEAYQELVTVATMFFQYLLQPFRAMREVATLCKLDILKSLDEDDLGPRRVVALEKEAEEWTRRAEEAVVSIQDITVNYFKETVKALAGMQKEMEQDAKRFGQAAWATAIPRLEKLQLMLARETLQLMRAKELCLNHKRAEIQGKMEDLPEQEKNTNVVDELEIQFYEIQLELYEVKFEILKNEEILLTTQLDSLKRLIKEKQDEVVYYDPCENPEELKVIDCVVGLQDDKNLEVKELRRQCQQLESKRGRICAKRASLRSRKDQCKENHRFRLQQAEESIRYSRQHHSIQMKRDKIKEEEQKKKEWINQERQKTLQRLRSFKDKRLAQSVRNTSGSEPVAPNLPSDLSQQMCLPASHAVSVIHPSSRKTRGVPLSEAGNVKSPKCQNCHGNIPVQVFVPVGDQTHSKSSEELSLPPPPPPPPPPPPPPPPPPPPLRALSSSSQAATHQNLGFRAPVKDDQPRPLVCESPAERPRDSLESFSCPGSMDEVLASLRHGRAPLRKVEVPAVRPPHASINEHILAAIRQGVKLKKVHPDLGPNPSSKPTSNRRTSDLERSIKAALQRIKRVSADSEEDSDEQDPGQWDG
+>DECOY_sp|Q8TF30|WHAMM_HUMAN WASP homolog-associated protein with actin, membranes and microtubules OS=Homo sapiens OX=9606 GN=WHAMM PE=1 SV=2
+GDWQGPDQEDSDEESDASVRKIRQLAAKISRELDSTRRNSTPKSSPNPGLDPHVKKLKVGQRIAALIHENISAHPPRVAPVEVKRLPARGHRLSALVEDMSGPCSFSELSDRPREAPSECVLPRPQDDKVPARFGLNQHTAAQSSSSLARLPPPPPPPPPPPPPPPPPPPPLSLEESSKSHTQDGVPVFVQVPINGHCNQCKPSKVNGAESLPVGRTKRSSPHIVSVAHSAPLCMQQSLDSPLNPAVPESGSTNRVSQALRKDKFSRLRQLTKQREQNIWEKKKQEEEKIKDRKMQISHHQRSYRISEEAQQLRFRHNEKCQDKRSRLSARKACIRGRKSELQQCQRRLEKVELNKDDQLGVVCDIVKLEEPNECPDYYVVEDQKEKILRKLSDLQTTLLIEENKLIEFKVEYLELQIEYFQIELEDVVNTNKEQEPLDEMKGQIEARKHNLCLEKARMLQLTERALMLQLKELRPIATAWAAQGFRKADQEMEKQMGALAKVTEKFYNVTIDQISVVAEEARRTWEEAEKELAVVRRPGLDDEDLSKLIDLKCLTAVERMARFPQLLYQFFMTAVTVLEQYAEDEEQYVNMLAVMTNAKGHGQIVQRLRADAEVWRARLARERFERPSECDAAGGEAPFLADRVTAGGCGDAAAGLYRELQGCLEQLAAEGPGARTPWLLAWLGLGLGWAGGGGVDLEPPLRPFCRELPPWLAALQRHAGRLGAASLLGAWSSPSVAAEPKPEPEPGLRAGERLRRQQATRDHCTVAFKGEAGNWAVLFRLRHREPEAFLGERVPVWGELSDPQEDEM
+>sp|O43516|WIPF1_HUMAN WAS/WASL-interacting protein family member 1 OS=Homo sapiens OX=9606 GN=WIPF1 PE=1 SV=3
+MPVPPPPAPPPPPTFALANTEKPTLNKTEQAGRNALLSDISKGKKLKKTVTNDRSAPILDKPKGAGAGGGGGGFGGGGGFGGGGGGGGGGSFGGGGPPGLGGLFQAGMPKLRSTANRDNDSGGSRPPLLPPGGRSTSAKPFSPPSGPGRFPVPSPGHRSGPPEPQRNRMPPPRPDVGSKPDSIPPPVPSTPRPIQSSPHNRGSPPVPGGPRQPSPGPTPPPFPGNRGTALGGGSIRQSPLSSSSPFSNRPPLPPTPSRALDDKPPPPPPPVGNRPSIHREAVPPPPPQNNKPPVPSTPRPSASSQAPPPPPPPSRPGPPPLPPSSSGNDETPRLPQRNLSLSSSTPPLPSPGRSGPLPPPPSERPPPPVRDPPGRSGPLPPPPPVSRNGSTSRALPATPQLPSRSGVDSPRSGPRPPLPPDRPSAGAPPPPPPSTSIRNGFQDSPCEDEWESRFYFHPISDLPPPEPYVQTTKSYPSKLARNESRSGSNRRERGAPPLPPIPR
+>DECOY_sp|O43516|WIPF1_HUMAN WAS/WASL-interacting protein family member 1 OS=Homo sapiens OX=9606 GN=WIPF1 PE=1 SV=3
+RPIPPLPPAGRERRNSGSRSENRALKSPYSKTTQVYPEPPPLDSIPHFYFRSEWEDECPSDQFGNRISTSPPPPPPAGASPRDPPLPPRPGSRPSDVGSRSPLQPTAPLARSTSGNRSVPPPPPLPGSRGPPDRVPPPPRESPPPPLPGSRGPSPLPPTSSSLSLNRQPLRPTEDNGSSSPPLPPPGPRSPPPPPPPAQSSASPRPTSPVPPKNNQPPPPPVAERHISPRNGVPPPPPPPKDDLARSPTPPLPPRNSFPSSSSLPSQRISGGGLATGRNGPFPPPTPGPSPQRPGGPVPPSGRNHPSSQIPRPTSPVPPPISDPKSGVDPRPPPMRNRQPEPPGSRHGPSPVPFRGPGSPPSFPKASTSRGGPPLLPPRSGGSDNDRNATSRLKPMGAQFLGGLGPPGGGGFSGGGGGGGGGGFGGGGGFGGGGGGAGAGKPKDLIPASRDNTVTKKLKKGKSIDSLLANRGAQETKNLTPKETNALAFTPPPPPAPPPPVPM
+>sp|Q5MNZ9|WIPI1_HUMAN WD repeat domain phosphoinositide-interacting protein 1 OS=Homo sapiens OX=9606 GN=WIPI1 PE=1 SV=3
+MEAEAADAPPGGVESALSCFSFNQDCTSLATGTKAGYKLFSLSSVEQLDQVHGSNEIPDVYIVERLFSSSLVVVVSHTKPRQMNVYHFKKGTEICNYSYSSNILSIRLNRQRLLVCLEESIYIHNIKDMKLLKTLLDIPANPTGLCALSINHSNSYLAYPGSLTSGEIVLYDGNSLKTVCTIAAHEGTLAAITFNASGSKLASASEKGTVIRVFSVPDGQKLYEFRRGMKRYVTISSLVFSMDSQFLCASSNTETVHIFKLEQVTNSRPEEPSTWSGYMGKMFMAATNYLPTQVSDMMHQDRAFATARLNFSGQRNICTLSTIQKLPRLLVASSSGHLYMYNLDPQDGGECVLIKTHSLLGSGTTEENKENDLRPSLPQSYAATVARPSASSASTVPGYSEDGGALRGEVIPEHEFATGPVCLDDENEFPPIILCRGNQKGKTKQS
+>DECOY_sp|Q5MNZ9|WIPI1_HUMAN WD repeat domain phosphoinositide-interacting protein 1 OS=Homo sapiens OX=9606 GN=WIPI1 PE=1 SV=3
+SQKTKGKQNGRCLIIPPFENEDDLCVPGTAFEHEPIVEGRLAGGDESYGPVTSASSASPRAVTAAYSQPLSPRLDNEKNEETTGSGLLSHTKILVCEGGDQPDLNYMYLHGSSSAVLLRPLKQITSLTCINRQGSFNLRATAFARDQHMMDSVQTPLYNTAAMFMKGMYGSWTSPEEPRSNTVQELKFIHVTETNSSACLFQSDMSFVLSSITVYRKMGRRFEYLKQGDPVSFVRIVTGKESASALKSGSANFTIAALTGEHAAITCVTKLSNGDYLVIEGSTLSGPYALYSNSHNISLACLGTPNAPIDLLTKLLKMDKINHIYISEELCVLLRQRNLRISLINSSYSYNCIETGKKFHYVNMQRPKTHSVVVVLSSSFLREVIYVDPIENSGHVQDLQEVSSLSFLKYGAKTGTALSTCDQNFSFCSLASEVGGPPADAAEAEM
+>sp|Q9Y4P8|WIPI2_HUMAN WD repeat domain phosphoinositide-interacting protein 2 OS=Homo sapiens OX=9606 GN=WIPI2 PE=1 SV=1
+MNLASQSGEAGAGQLLFANFNQDNTEVKGASRAAGLGRRAVVWSLAVGSKSGYKFFSLSSVDKLEQIYECTDTEDVCIVERLFSSSLVAIVSLKAPRKLKVCHFKKGTEICNYSYSNTILAVKLNRQRLIVCLEESLYIHNIRDMKVLHTIRETPPNPAGLCALSINNDNCYLAYPGSATIGEVQVFDTINLRAANMIPAHDSPLAALAFDASGTKLATASEKGTVIRVFSIPEGQKLFEFRRGVKRCVSICSLAFSMDGMFLSASSNTETVHIFKLETVKEKPPEEPTTWTGYFGKVLMASTSYLPSQVTEMFNQGRAFATVRLPFCGHKNICSLATIQKIPRLLVGAADGYLYMYNLDPQEGGECALMKQHRLDGSLETTNEILDSASHDCPLVTQTYGAAAGKGTYVPSSPTRLAYTDDLGAVGGACLEDEASALRLDEDSEHPPMILRTD
+>DECOY_sp|Q9Y4P8|WIPI2_HUMAN WD repeat domain phosphoinositide-interacting protein 2 OS=Homo sapiens OX=9606 GN=WIPI2 PE=1 SV=1
+DTRLIMPPHESDEDLRLASAEDELCAGGVAGLDDTYALRTPSSPVYTGKGAAAGYTQTVLPCDHSASDLIENTTELSGDLRHQKMLACEGGEQPDLNYMYLYGDAAGVLLRPIKQITALSCINKHGCFPLRVTAFARGQNFMETVQSPLYSTSAMLVKGFYGTWTTPEEPPKEKVTELKFIHVTETNSSASLFMGDMSFALSCISVCRKVGRRFEFLKQGEPISFVRIVTGKESATALKTGSADFALAALPSDHAPIMNAARLNITDFVQVEGITASGPYALYCNDNNISLACLGAPNPPTERITHLVKMDRINHIYLSEELCVILRQRNLKVALITNSYSYNCIETGKKFHCVKLKRPAKLSVIAVLSSSFLREVICVDETDTCEYIQELKDVSSLSFFKYGSKSGVALSWVVARRGLGAARSAGKVETNDQNFNAFLLQGAGAEGSQSALNM
+>sp|O95388|WISP1_HUMAN WNT1-inducible-signaling pathway protein 1 OS=Homo sapiens OX=9606 GN=WISP1 PE=1 SV=1
+MRWFLPWTLAAVTAAAASTVLATALSPAPTTMDFTPAPLEDTSSRPQFCKWPCECPPSPPRCPLGVSLITDGCECCKMCAQQLGDNCTEAAICDPHRGLYCDYSGDRPRYAIGVCAQVVGVGCVLDGVRYNNGQSFQPNCKYNCTCIDGAVGCTPLCLRVRPPRLWCPHPRRVSIPGHCCEQWVCEDDAKRPRKTAPRDTGAFDAVGEVEAWHRNCIAYTSPWSPCSTSCGLGVSTRISNVNAQCWPEQESRLCNLRPCDVDIHTLIKAGKKCLAVYQPEASMNFTLAGCISTRSYQPKYCGVCMDNRCCIPYKSKTIDVSFQCPDGLGFSRQVLWINACFCNLSCRNPNDIFADLESYPDFSEIAN
+>DECOY_sp|O95388|WISP1_HUMAN WNT1-inducible-signaling pathway protein 1 OS=Homo sapiens OX=9606 GN=WISP1 PE=1 SV=1
+NAIESFDPYSELDAFIDNPNRCSLNCFCANIWLVQRSFGLGDPCQFSVDITKSKYPICCRNDMCVGCYKPQYSRTSICGALTFNMSAEPQYVALCKKGAKILTHIDVDCPRLNCLRSEQEPWCQANVNSIRTSVGLGCSTSCPSWPSTYAICNRHWAEVEGVADFAGTDRPATKRPRKADDECVWQECCHGPISVRRPHPCWLRPPRVRLCLPTCGVAGDICTCNYKCNPQFSQGNNYRVGDLVCGVGVVQACVGIAYRPRDGSYDCYLGRHPDCIAAETCNDGLQQACMKCCECGDTILSVGLPCRPPSPPCECPWKCFQPRSSTDELPAPTFDMTTPAPSLATALVTSAAAATVAALTWPLFWRM
+>sp|O96014|WNT11_HUMAN Protein Wnt-11 OS=Homo sapiens OX=9606 GN=WNT11 PE=1 SV=2
+MRARPQVCEALLFALALQTGVCYGIKWLALSKTPSALALNQTQHCKQLEGLVSAQVQLCRSNLELMHTVVHAAREVMKACRRAFADMRWNCSSIELAPNYLLDLERGTRESAFVYALSAAAISHAIARACTSGDLPGCSCGPVPGEPPGPGNRWGGCADNLSYGLLMGAKFSDAPMKVKKTGSQANKLMRLHNSEVGRQALRASLEMKCKCHGVSGSCSIRTCWKGLQELQDVAADLKTRYLSATKVVHRPMGTRKHLVPKDLDIRPVKDSELVYLQSSPDFCMKNEKVGSHGTQDRQCNKTSNGSDSCDLMCCGRGYNPYTDRVVERCHCKYHWCCYVTCRRCERTVERYVCK
+>DECOY_sp|O96014|WNT11_HUMAN Protein Wnt-11 OS=Homo sapiens OX=9606 GN=WNT11 PE=1 SV=2
+KCVYREVTRECRRCTVYCCWHYKCHCREVVRDTYPNYGRGCCMLDCSDSGNSTKNCQRDQTGHSGVKENKMCFDPSSQLYVLESDKVPRIDLDKPVLHKRTGMPRHVVKTASLYRTKLDAAVDQLEQLGKWCTRISCSGSVGHCKCKMELSARLAQRGVESNHLRMLKNAQSGTKKVKMPADSFKAGMLLGYSLNDACGGWRNGPGPPEGPVPGCSCGPLDGSTCARAIAHSIAAASLAYVFASERTGRELDLLYNPALEISSCNWRMDAFARRCAKMVERAAHVVTHMLELNSRCLQVQASVLGELQKCHQTQNLALASPTKSLALWKIGYCVGTQLALAFLLAECVQPRARM
+>sp|Q93097|WNT2B_HUMAN Protein Wnt-2b OS=Homo sapiens OX=9606 GN=WNT2B PE=1 SV=2
+MLRPGGAEEAAQLPLRRASAPVPVPSPAAPDGSRASARLGLACLLLLLLLTLPARVDTSWWYIGALGARVICDNIPGLVSRQRQLCQRYPDIMRSVGEGAREWIRECQHQFRHHRWNCTTLDRDHTVFGRVMLRSSREAAFVYAISSAGVVHAITRACSQGELSVCSCDPYTRGRHHDQRGDFDWGGCSDNIHYGVRFAKAFVDAKEKRLKDARALMNLHNNRCGRTAVRRFLKLECKCHGVSGSCTLRTCWRALSDFRRTGDYLRRRYDGAVQVMATQDGANFTAARQGYRRATRTDLVYFDNSPDYCVLDKAAGSLGTAGRVCSKTSKGTDGCEIMCCGRGYDTTRVTRVTQCECKFHWCCAVRCKECRNTVDVHTCKAPKKAEWLDQT
+>DECOY_sp|Q93097|WNT2B_HUMAN Protein Wnt-2b OS=Homo sapiens OX=9606 GN=WNT2B PE=1 SV=2
+TQDLWEAKKPAKCTHVDVTNRCEKCRVACCWHFKCECQTVRTVRTTDYGRGCCMIECGDTGKSTKSCVRGATGLSGAAKDLVCYDPSNDFYVLDTRTARRYGQRAATFNAGDQTAMVQVAGDYRRRLYDGTRRFDSLARWCTRLTCSGSVGHCKCELKLFRRVATRGCRNNHLNMLARADKLRKEKADVFAKAFRVGYHINDSCGGWDFDGRQDHHRGRTYPDCSCVSLEGQSCARTIAHVVGASSIAYVFAAERSSRLMVRGFVTHDRDLTTCNWRHHRFQHQCERIWERAGEGVSRMIDPYRQCLQRQRSVLGPINDCIVRAGLAGIYWWSTDVRAPLTLLLLLLLCALGLRASARSGDPAAPSPVPVPASARRLPLQAAEEAGGPRLM
+>sp|P56705|WNT4_HUMAN Protein Wnt-4 OS=Homo sapiens OX=9606 GN=WNT4 PE=1 SV=4
+MSPRSCLRSLRLLVFAVFSAAASNWLYLAKLSSVGSISEEETCEKLKGLIQRQVQMCKRNLEVMDSVRRGAQLAIEECQYQFRNRRWNCSTLDSLPVFGKVVTQGTREAAFVYAISSAGVAFAVTRACSSGELEKCGCDRTVHGVSPQGFQWSGCSDNIAYGVAFSQSFVDVRERSKGASSSRALMNLHNNEAGRKAILTHMRVECKCHGVSGSCEVKTCWRAVPPFRQVGHALKEKFDGATEVEPRRVGSSRALVPRNAQFKPHTDEDLVYLEPSPDFCEQDMRSGVLGTRGRTCNKTSKAIDGCELLCCGRGFHTAQVELAERCSCKFHWCCFVKCRQCQRLVELHTCR
+>DECOY_sp|P56705|WNT4_HUMAN Protein Wnt-4 OS=Homo sapiens OX=9606 GN=WNT4 PE=1 SV=4
+RCTHLEVLRQCQRCKVFCCWHFKCSCREALEVQATHFGRGCCLLECGDIAKSTKNCTRGRTGLVGSRMDQECFDPSPELYVLDEDTHPKFQANRPVLARSSGVRRPEVETAGDFKEKLAHGVQRFPPVARWCTKVECSGSVGHCKCEVRMHTLIAKRGAENNHLNMLARSSSAGKSRERVDVFSQSFAVGYAINDSCGSWQFGQPSVGHVTRDCGCKELEGSSCARTVAFAVGASSIAYVFAAERTGQTVVKGFVPLSDLTSCNWRRNRFQYQCEEIALQAGRRVSDMVELNRKCMQVQRQILGKLKECTEEESISGVSSLKALYLWNSAAASFVAFVLLRLSRLCSRPSM
+>sp|O00755|WNT7A_HUMAN Protein Wnt-7a OS=Homo sapiens OX=9606 GN=WNT7A PE=1 SV=2
+MNRKARRCLGHLFLSLGMVYLRIGGFSSVVALGASIICNKIPGLAPRQRAICQSRPDAIIVIGEGSQMGLDECQFQFRNGRWNCSALGERTVFGKELKVGSREAAFTYAIIAAGVAHAITAACTQGNLSDCGCDKEKQGQYHRDEGWKWGGCSADIRYGIGFAKVFVDAREIKQNARTLMNLHNNEAGRKILEENMKLECKCHGVSGSCTTKTCWTTLPQFRELGYVLKDKYNEAVHVEPVRASRNKRPTFLKIKKPLSYRKPMDTDLVYIEKSPNYCEEDPVTGSVGTQGRACNKTAPQASGCDLMCCGRGYNTHQYARVWQCNCKFHWCCYVKCNTCSERTEMYTCK
+>DECOY_sp|O00755|WNT7A_HUMAN Protein Wnt-7a OS=Homo sapiens OX=9606 GN=WNT7A PE=1 SV=2
+KCTYMETRESCTNCKVYCCWHFKCNCQWVRAYQHTNYGRGCCMLDCGSAQPATKNCARGQTGVSGTVPDEECYNPSKEIYVLDTDMPKRYSLPKKIKLFTPRKNRSARVPEVHVAENYKDKLVYGLERFQPLTTWCTKTTCSGSVGHCKCELKMNEELIKRGAENNHLNMLTRANQKIERADVFVKAFGIGYRIDASCGGWKWGEDRHYQGQKEKDCGCDSLNGQTCAATIAHAVGAAIIAYTFAAERSGVKLEKGFVTREGLASCNWRGNRFQFQCEDLGMQSGEGIVIIADPRSQCIARQRPALGPIKNCIISAGLAVVSSFGGIRLYVMGLSLFLHGLCRRAKRNM
+>sp|Q9NYS7|WSB2_HUMAN WD repeat and SOCS box-containing protein 2 OS=Homo sapiens OX=9606 GN=WSB2 PE=2 SV=1
+MEAGEEPLLLAELKPGRPHQFDWKSSCETWSVAFSPDGSWFAWSQGHCIVKLIPWPLEEQFIPKGFEAKSRSSKNETKGRGSPKEKTLDCGQIVWGLAFSPWPSPPSRKLWARHHPQVPDVSCLVLATGLNDGQIKIWEVQTGLLLLNLSGHQDVVRDLSFTPSGSLILVSASRDKTLRIWDLNKHGKQIQVLSGHLQWVYCCSISPDCSMLCSAAGEKSVFLWSMRSYTLIRKLEGHQSSVVSCDFSPDSALLVTASYDTNVIMWDPYTGERLRSLHHTQVDPAMDDSDVHISSLRSVCFSPEGLYLATVADDRLLRIWALELKTPIAFAPMTNGLCCTFFPHGGVIATGTRDGHVQFWTAPRVLSSLKHLCRKALRSFLTTYQVLALPIPKKMKEFLTYRTF
+>DECOY_sp|Q9NYS7|WSB2_HUMAN WD repeat and SOCS box-containing protein 2 OS=Homo sapiens OX=9606 GN=WSB2 PE=2 SV=1
+FTRYTLFEKMKKPIPLALVQYTTLFSRLAKRCLHKLSSLVRPATWFQVHGDRTGTAIVGGHPFFTCCLGNTMPAFAIPTKLELAWIRLLRDDAVTALYLGEPSFCVSRLSSIHVDSDDMAPDVQTHHLSRLREGTYPDWMIVNTDYSATVLLASDPSFDCSVVSSQHGELKRILTYSRMSWLFVSKEGAASCLMSCDPSISCCYVWQLHGSLVQIQKGHKNLDWIRLTKDRSASVLILSGSPTFSLDRVVDQHGSLNLLLLGTQVEWIKIQGDNLGTALVLCSVDPVQPHHRAWLKRSPPSPWPSFALGWVIQGCDLTKEKPSGRGKTENKSSRSKAEFGKPIFQEELPWPILKVICHGQSWAFWSGDPSFAVSWTECSSKWDFQHPRGPKLEALLLPEEGAEM
+>sp|Q2TBF2|WSCD2_HUMAN WSC domain-containing protein 2 OS=Homo sapiens OX=9606 GN=WSCD2 PE=2 SV=2
+MAKLWFKFQRYFRRKPVRFFTFLALYLTAGSLVFLHSGFVGQPAVSGNQANPAAAGGPAEGAELSFLGDMHLGRGFRDTGEASSIARRYGPWFKGKDGNERAKLGDYGGAWSRALKGRVVREKEEERAKYIGCYLDDTQSRALRGVSFFDYKKMTIFRCQDNCAERGYLYGGLEFGAECYCGHKIQATNVSEAECDMECKGERGSVCGGANRLSVYRLQLAQESARRYGSAVFRGCFRRPDNLSLALPVTAAMLNMSVDKCVDFCTEKEYPLAALAGTACHCGFPTTRFPLHDREDEQLCAQKCSAEEFESCGTPSYFIVYQTQVQDNRCMDRRFLPGKSKQLIALASFPGAGNTWARHLIELATGFYTGSYYFDGSLYNKGFKGERDHWRSGRTICIKTHESGQKEIEAFDAAILLIRNPYKALMAEFNRKYGGHIGFAAHAHWKGKEWPEFVRNYAPWWATHTLDWLKFGKKVLVVHFEDLKQDLFVQLGRMVSLLGVAVREDRLLCVESQKDGNFKRSGLRKLEYDPYTADMQKTISAYIKMVDAALKGRNLTGVPDDYYPR
+>DECOY_sp|Q2TBF2|WSCD2_HUMAN WSC domain-containing protein 2 OS=Homo sapiens OX=9606 GN=WSCD2 PE=2 SV=2
+RPYYDDPVGTLNRGKLAADVMKIYASITKQMDATYPDYELKRLGSRKFNGDKQSEVCLLRDERVAVGLLSVMRGLQVFLDQKLDEFHVVLVKKGFKLWDLTHTAWWPAYNRVFEPWEKGKWHAHAAFGIHGGYKRNFEAMLAKYPNRILLIAADFAEIEKQGSEHTKICITRGSRWHDREGKFGKNYLSGDFYYSGTYFGTALEILHRAWTNGAGPFSALAILQKSKGPLFRRDMCRNDQVQTQYVIFYSPTGCSEFEEASCKQACLQEDERDHLPFRTTPFGCHCATGALAALPYEKETCFDVCKDVSMNLMAATVPLALSLNDPRRFCGRFVASGYRRASEQALQLRYVSLRNAGGCVSGREGKCEMDCEAESVNTAQIKHGCYCEAGFELGGYLYGREACNDQCRFITMKKYDFFSVGRLARSQTDDLYCGIYKAREEEKERVVRGKLARSWAGGYDGLKARENGDKGKFWPGYRRAISSAEGTDRFGRGLHMDGLFSLEAGEAPGGAAAPNAQNGSVAPQGVFGSHLFVLSGATLYLALFTFFRVPKRRFYRQFKFWLKAM
+>sp|Q8WWM1|XAGE5_HUMAN X antigen family member 5 OS=Homo sapiens OX=9606 GN=XAGE5 PE=3 SV=1
+MSWRGRRYRPRRCLRLAQLVGPMLEPSVPEPQQEEPPTESQDHTPGQKREDDQGAAEIQVPNLEADLQELSQSKTGDECGDSPDVQGKILPKSEQFKMPEGGEGKPQL
+>DECOY_sp|Q8WWM1|XAGE5_HUMAN X antigen family member 5 OS=Homo sapiens OX=9606 GN=XAGE5 PE=3 SV=1
+LQPKGEGGEPMKFQESKPLIKGQVDPSDGCEDGTKSQSLEQLDAELNPVQIEAAGQDDERKQGPTHDQSETPPEEQQPEPVSPELMPGVLQALRLCRRPRYRRGRWSM
+>sp|Q6PP77|XKR2_HUMAN XK-related protein 2 OS=Homo sapiens OX=9606 GN=XKRX PE=2 SV=2
+MDRVYEIPEEPNVDPVSSLEEDVIRGANPRFTFPFSILFSTFLYCGEAASALYMVRIYRKNSETYWMTYTFSFFMFSSIMVQLTLIFVHRDLAKDKPLSLFMHLILLGPVIRCLEAMIKYLTLWKKEEQEEPYVSLTRKKMLIDGEEVLIEWEVGHSIRTLAMHRNAYKRMSQIQAFLGSVPQLTYQLYVSLISAEVPLGRVVLMVFSLVSVTYGATLCNMLAIQIKYDDYKIRLGPLEVLCITIWRTLEITSRLLILVLFSATLKLKAVPFLVLNFLIILFEPWIKFWRSGAQMPNNIEKNFSRVGTLVVLISVTILYAGINFSCWSALQLRLADRDLVDKGQNWGHMGLHYSVRLVENVIMVLVFKFFGVKVLLNYCHSLIALQLIIAYLISIGFMLLFFQYLHPLRSLFTHNVVDYLHCVCCHQHPRTRVENSEPPFETEARQSVV
+>DECOY_sp|Q6PP77|XKR2_HUMAN XK-related protein 2 OS=Homo sapiens OX=9606 GN=XKRX PE=2 SV=2
+VVSQRAETEFPPESNEVRTRPHQHCCVCHLYDVVNHTFLSRLPHLYQFFLLMFGISILYAIILQLAILSHCYNLLVKVGFFKFVLVMIVNEVLRVSYHLGMHGWNQGKDVLDRDALRLQLASWCSFNIGAYLITVSILVVLTGVRSFNKEINNPMQAGSRWFKIWPEFLIILFNLVLFPVAKLKLTASFLVLILLRSTIELTRWITICLVELPGLRIKYDDYKIQIALMNCLTAGYTVSVLSFVMLVVRGLPVEASILSVYLQYTLQPVSGLFAQIQSMRKYANRHMALTRISHGVEWEILVEEGDILMKKRTLSVYPEEQEEKKWLTLYKIMAELCRIVPGLLILHMFLSLPKDKALDRHVFILTLQVMISSFMFFSFTYTMWYTESNKRYIRVMYLASAAEGCYLFTSFLISFPFTFRPNAGRIVDEELSSVPDVNPEEPIEYVRDM
+>sp|Q5GH73|XKR6_HUMAN XK-related protein 6 OS=Homo sapiens OX=9606 GN=XKR6 PE=2 SV=1
+MAAKSDGGGVGVGFAQLHNLDEAVGSGGEEDGEPGGGGCGGGGDGSEPGESSSMHICHCCNTSSCYWGCRSACLRSLLGRKPRRSAAADGGDQPLQPPAAPGAGRQPPTPSAARPEPPPPQVERPWLDCLWIVLALLVFFGDVGTDLWLALDYYRKGDYVYFGLTLFFVLVPSLLVQSLSFRWFVQDYTGGGLGAVEGLTSRGPPMMGAGYVHGAARGGPGVRVSPTPGAQRLCRLSVWIWQSVIHLLQMGQVWRYIRTMYLGIQSQRRKEHQRRFYWAMMYEYADVNMLRLLETFLESAPQLVLQLYIMLQKNSAETLPCVSSVTSLMSLAWVLASYHKLLRDSRDDKKSMSYRGAIIQVFWRLFTISSRVISFALFASIFQLYFGIFVVVHWCAMAFWIIHGGTDFCMSKWEEILFNMVVGIVYIFCWFNVKEGRTRYRMFAYYTIVLTENAALTFLWYFYRDPETTDSYAVPALCCVFISFVAGIAMMLLYYGVLHPTGPRAKILASSCCAELLWGIPLPPDVEPMAPEIPGYRGTQVTPTRAVTEQQEDLTADTCLPVFQVRPMGPPTPLGRPYLPEGPLIKIDMPRKRYPAWDAHFVDRRLRRTINILQYVTPTAVGIRYRDGPLLYELLQYESSL
+>DECOY_sp|Q5GH73|XKR6_HUMAN XK-related protein 6 OS=Homo sapiens OX=9606 GN=XKR6 PE=2 SV=1
+LSSEYQLLEYLLPGDRYRIGVATPTVYQLINITRRLRRDVFHADWAPYRKRPMDIKILPGEPLYPRGLPTPPGMPRVQFVPLCTDATLDEQQETVARTPTVQTGRYGPIEPAMPEVDPPLPIGWLLEACCSSALIKARPGTPHLVGYYLLMMAIGAVFSIFVCCLAPVAYSDTTEPDRYFYWLFTLAANETLVITYYAFMRYRTRGEKVNFWCFIYVIGVVMNFLIEEWKSMCFDTGGHIIWFAMACWHVVVFIGFYLQFISAFLAFSIVRSSITFLRWFVQIIAGRYSMSKKDDRSDRLLKHYSALVWALSMLSTVSSVCPLTEASNKQLMIYLQLVLQPASELFTELLRLMNVDAYEYMMAWYFRRQHEKRRQSQIGLYMTRIYRWVQGMQLLHIVSQWIWVSLRCLRQAGPTPSVRVGPGGRAAGHVYGAGMMPPGRSTLGEVAGLGGGTYDQVFWRFSLSQVLLSPVLVFFLTLGFYVYDGKRYYDLALWLDTGVDGFFVLLALVIWLCDLWPREVQPPPPEPRAASPTPPQRGAGPAAPPQLPQDGGDAAASRRPKRGLLSRLCASRCGWYCSSTNCCHCIHMSSSEGPESGDGGGGCGGGGPEGDEEGGSGVAEDLNHLQAFGVGVGGGDSKAAM
+>sp|Q9H6D3|XKR8_HUMAN XK-related protein 8 OS=Homo sapiens OX=9606 GN=XKR8 PE=1 SV=1
+MPWSSRGALLRDLVLGVLGTAAFLLDLGTDLWAAVQYALGGRYLWAALVLALLGLASVALQLFSWLWLRADPAGLHGSQPPRRCLALLHLLQLGYLYRCVQELRQGLLVWQQEEPSEFDLAYADFLALDISMLRLFETFLETAPQLTLVLAIMLQSGRAEYYQWVGICTSFLGISWALLDYHRALRTCLPSKPLLGLGSSVIYFLWNLLLLWPRVLAVALFSALFPSYVALHFLGLWLVLLLWVWLQGTDFMPDPSSEWLYRVTVATILYFSWFNVAEGRTRGRAIIHFAFLLSDSILLVATWVTHSSWLPSGIPLQLWLPVGCGCFFLGLALRLVYYHWLHPSCCWKPDPDQVDGARSLLSPEGYQLPQNRRMTHLAQKFFPKAKDEAASPVKG
+>DECOY_sp|Q9H6D3|XKR8_HUMAN XK-related protein 8 OS=Homo sapiens OX=9606 GN=XKR8 PE=1 SV=1
+GKVPSAAEDKAKPFFKQALHTMRRNQPLQYGEPSLLSRAGDVQDPDPKWCCSPHLWHYYVLRLALGLFFCGCGVPLWLQLPIGSPLWSSHTVWTAVLLISDSLLFAFHIIARGRTRGEAVNFWSFYLITAVTVRYLWESSPDPMFDTGQLWVWLLLVLWLGLFHLAVYSPFLASFLAVALVRPWLLLLNWLFYIVSSGLGLLPKSPLCTRLARHYDLLAWSIGLFSTCIGVWQYYEARGSQLMIALVLTLQPATELFTEFLRLMSIDLALFDAYALDFESPEEQQWVLLGQRLEQVCRYLYGLQLLHLLALCRRPPQSGHLGAPDARLWLWSFLQLAVSALGLLALVLAAWLYRGGLAYQVAAWLDTGLDLLFAATGLVGLVLDRLLAGRSSWPM
+>sp|Q5GH70|XKR9_HUMAN XK-related protein 9 OS=Homo sapiens OX=9606 GN=XKR9 PE=2 SV=1
+MKYTKQNFMMSVLGIIIYVTDLIVDIWVSVRFFHEGQYVFSALALSFMLFGTLVAQCFSYSWFKADLKKAGQESQHCFLLLHCLQGGVFTRYWFALKRGYHAAFKYDSNTSNFVEEQIDLHKEVIDRVTDLSMLRLFETYLEGCPQLILQLYILLEHGQANFSQYAAIMVSCCAISWSTVDYQVALRKSLPDKKLLNGLCPKITYLFYKLFTLLSWMLSVVLLLFLNVKIALFLLLFLWLLGIIWAFKNNTQFCTCISMEFLYRIVVGFILIFTFFNIKGQNTKCPMSCYYIVRVLGTLGILTVFWVCPLTIFNPDYFIPISITIVLTLLLGILFLIVYYGSFHPNRSAETKCDEIDGKPVLRECRMRYFLME
+>DECOY_sp|Q5GH70|XKR9_HUMAN XK-related protein 9 OS=Homo sapiens OX=9606 GN=XKR9 PE=2 SV=1
+EMLFYRMRCERLVPKGDIEDCKTEASRNPHFSGYYVILFLIGLLLTLVITISIPIFYDPNFITLPCVWFVTLIGLTGLVRVIYYCSMPCKTNQGKINFFTFILIFGVVIRYLFEMSICTCFQTNNKFAWIIGLLWLFLLLFLAIKVNLFLLLVVSLMWSLLTFLKYFLYTIKPCLGNLLKKDPLSKRLAVQYDVTSWSIACCSVMIAAYQSFNAQGHELLIYLQLILQPCGELYTEFLRLMSLDTVRDIVEKHLDIQEEVFNSTNSDYKFAAHYGRKLAFWYRTFVGGQLCHLLLFCHQSEQGAKKLDAKFWSYSFCQAVLTGFLMFSLALASFVYQGEHFFRVSVWIDVILDTVYIIIGLVSMMFNQKTYKM
+>sp|O14609|XKRY_HUMAN Testis-specific XK-related protein, Y-linked OS=Homo sapiens OX=9606 GN=XKRY PE=2 SV=1
+MFIFNSIADDIFPLISCVGAIHCNILAIRTGNDFAAIKLQVIKLIYLMIWHSLVIISPVVTLAFFPASLKQGSLHFLLIIYFVLLLTPWLEFSKSGTHLPSNTKIIPAWWVSMDAYLNHASICCHQFSCLSAVKLQLSNEELIRDTRWDIQSYTTDFSF
+>DECOY_sp|O14609|XKRY_HUMAN Testis-specific XK-related protein, Y-linked OS=Homo sapiens OX=9606 GN=XKRY PE=2 SV=1
+FSFDTTYSQIDWRTDRILEENSLQLKVASLCSFQHCCISAHNLYADMSVWWAPIIKTNSPLHTGSKSFELWPTLLLVFYIILLFHLSGQKLSAPFFALTVVPSIIVLSHWIMLYILKIVQLKIAAFDNGTRIALINCHIAGVCSILPFIDDAISNFIFM
+>sp|O15537|XLRS1_HUMAN Retinoschisin OS=Homo sapiens OX=9606 GN=RS1 PE=1 SV=2
+MSRKIEGFLLLLLFGYEATLGLSSTEDEGEDPWYQKACKCDCQGGPNALWSAGATSLDCIPECPYHKPLGFESGEVTPDQITCSNPEQYVGWYSSWTANKARLNSQGFGCAWLSKFQDSSQWLQIDLKEIKVISGILTQGRCDIDEWMTKYSVQYRTDERLNWIYYKDQTGNNRVFYGNSDRTSTVQNLLRPPIISRFIRLIPLGWHVRIAIRMELLECVSKCA
+>DECOY_sp|O15537|XLRS1_HUMAN Retinoschisin OS=Homo sapiens OX=9606 GN=RS1 PE=1 SV=2
+ACKSVCELLEMRIAIRVHWGLPILRIFRSIIPPRLLNQVTSTRDSNGYFVRNNGTQDKYYIWNLREDTRYQVSYKTMWEDIDCRGQTLIGSIVKIEKLDIQLWQSSDQFKSLWACGFGQSNLRAKNATWSSYWGVYQEPNSCTIQDPTVEGSEFGLPKHYPCEPICDLSTAGASWLANPGGQCDCKCAKQYWPDEGEDETSSLGLTAEYGFLLLLLFGEIKRSM
+>sp|O75695|XRP2_HUMAN Protein XRP2 OS=Homo sapiens OX=9606 GN=RP2 PE=1 SV=4
+MGCFFSKRRKADKESRPENEEERPKQYSWDQREKVDPKDYMFSGLKDETVGRLPGTVAGQQFLIQDCENCNIYIFDHSATVTIDDCTNCIIFLGPVKGSVFFRNCRDCKCTLACQQFRVRDCRKLEVFLCCATQPIIESSSNIKFGCFQWYYPELAFQFKDAGLSIFNNTWSNIHDFTPVSGELNWSLLPEDAVVQDYVPIPTTEELKAVRVSTEANRSIVPISRGQRQKSSDESCLVVLFAGDYTIANARKLIDEMVGKGFFLVQTKEVSMKAEDAQRVFREKAPDFLPLLNKGPVIALEFNGDGAVEVCQLIVNEIFNGTKMFVSESKETASGDVDSFYNFADIQMGI
+>DECOY_sp|O75695|XRP2_HUMAN Protein XRP2 OS=Homo sapiens OX=9606 GN=RP2 PE=1 SV=4
+IGMQIDAFNYFSDVDGSATEKSESVFMKTGNFIENVILQCVEVAGDGNFELAIVPGKNLLPLFDPAKERFVRQADEAKMSVEKTQVLFFGKGVMEDILKRANAITYDGAFLVVLCSEDSSKQRQGRSIPVISRNAETSVRVAKLEETTPIPVYDQVVADEPLLSWNLEGSVPTFDHINSWTNNFISLGADKFQFALEPYYWQFCGFKINSSSEIIPQTACCLFVELKRCDRVRFQQCALTCKCDRCNRFFVSGKVPGLFIICNTCDDITVTASHDFIYINCNECDQILFQQGAVTGPLRGVTEDKLGSFMYDKPDVKERQDWSYQKPREEENEPRSEKDAKRRKSFFCGM
+>sp|Q5GJ75|TP8L3_HUMAN Tumor necrosis factor alpha-induced protein 8-like protein 3 OS=Homo sapiens OX=9606 GN=TNFAIP8L3 PE=1 SV=1
+MGKPRQNPSTLVSTLCEAEPKGKLWVNGYAGTQGTRDATLQTRLIPLSFHLQRGKGLAAPLSALSAPRLPERPADGRVAVDAQPAARSMDSDSGEQSEGEPVTAAGPDVFSSKSLALQAQKKILSKIASKTVANMLIDDTSSEIFDELYKVTKEHTHNKKEAHKIMKDLIKVAIKIGILYRNNQFSQEELVIVEKFRKKLNQTAMTIVSFYEVEYTFDRNVLSNLLHECKDLVHELVQRHLTPRTHGRINHVFNHFADVEFLSTLYSLDGDCRPNLKRICEGINKLLDEKVL
+>DECOY_sp|Q5GJ75|TP8L3_HUMAN Tumor necrosis factor alpha-induced protein 8-like protein 3 OS=Homo sapiens OX=9606 GN=TNFAIP8L3 PE=1 SV=1
+LVKEDLLKNIGECIRKLNPRCDGDLSYLTSLFEVDAFHNFVHNIRGHTRPTLHRQVLEHVLDKCEHLLNSLVNRDFTYEVEYFSVITMATQNLKKRFKEVIVLEEQSFQNNRYLIGIKIAVKILDKMIKHAEKKNHTHEKTVKYLEDFIESSTDDILMNAVTKSAIKSLIKKQAQLALSKSSFVDPGAATVPEGESQEGSDSDMSRAAPQADVAVRGDAPREPLRPASLASLPAALGKGRQLHFSLPILRTQLTADRTGQTGAYGNVWLKGKPEAECLTSVLTSPNQRPKGM
+>sp|P48553|TPC10_HUMAN Trafficking protein particle complex subunit 10 OS=Homo sapiens OX=9606 GN=TRAPPC10 PE=1 SV=2
+MDASEEPLPPVIYTMENKPIVTCAGDQNLFTSVYPTLSQQLPREPMEWRRSYGRAPKMIHLESNFVQFKEELLPKEGNKALLTFPFLHIYWTECCDTEVYKATVKDDLTKWQNVLKAHSSVDWLIVIVENDAKKKNKTNILPRTSIVDKIRNDFCNKQSDRCVVLSDPLKDSSRTQESWNAFLTKLRTLLLMSFTKNLGKFEDDMRTLREKRTEPGWSFCEYFMVQEELAFVFEMLQQFEDALVQYDELDALFSQYVVNFGAGDGANWLTFFCQPVKSWNGLILRKPIDMEKRESIQRREATLLDLRSYLFSRQCTLLLFLQRPWEVAQRALELLHNCVQELKLLEVSVPPGALDCWVFLSCLEVLQRIEGCCDRAQIDSNIAHTVGLWSYATEKLKSLGYLCGLVSEKGPNSEDLNRTVDLLAGLGAERPETANTAQSPYKKLKEALSSVEAFEKHYLDLSHATIEMYTSIGRIRSAKFVGKDLAEFYMRKKAPQKAEIYLQGALKNYLAEGWALPITHTRKQLAECQKHLGQIENYLQTSSLLASDHHLTEEERKHFCQEILDFASQPSDSPGHKIVLPMHSFAQLRDLHFDPSNAVVHVGGVLCVEITMYSQMPVPVHVEQIVVNVHFSIEKNSYRKTAEWLTKHKTSNGIINFPPETAPFPVSQNSLPALELYEMFERSPSDNSLNTTGIICRNVHMLLRRQESSSSLEMPSGVALEEGAHVLRCSHVTLEPGANQITFRTQAKEPGTYTLRQLCASVGSVWFVLPHIYPIVQYDVYSQEPQLHVEPLADSLLAGIPQRVKFTVTTGHYTIKNGDSLQLSNAEAMLILCQAESRAVVYSNTREQSSEAALRIQSSDKVTSISLPVAPAYHVIEFELEVLSLPSAPALGGESDMLGMAEPHRKHKDKQRTGRCMVTTDHKVSIDCPWSIYSTVIALTFSVPFRTTHSLLSSGTRKYVQVCVQNLSELDFQLSDSYLVDTGDSTDLQLVPLNTQSQQPIYSKQSVFFVWELKWTEEPPPSLHCRFSVGFSPASEEQLSISLKPYTYEFKVENFFTLYNVKAEIFPPSGMEYCRTGSLCSLEVLITRLSDLLEVDKDEALTESDEHFSTKLMYEVVDNSSNWAVCGKSCGVISMPVAARATHRVHMEVMPLFAGYLPLPDVRLFKYLPHHSAHSSQLDADSWIENDSLSVDKHGDDQPDSSSLKSRGSVHSACSSEHKGLPMPRLQALPAGQVFNSSSGTQVLVIPSQDDHVLEVSVT
+>DECOY_sp|P48553|TPC10_HUMAN Trafficking protein particle complex subunit 10 OS=Homo sapiens OX=9606 GN=TRAPPC10 PE=1 SV=2
+TVSVELVHDDQSPIVLVQTGSSSNFVQGAPLAQLRPMPLGKHESSCASHVSGRSKLSSSDPQDDGHKDVSLSDNEIWSDADLQSSHASHHPLYKFLRVDPLPLYGAFLPMVEMHVRHTARAAVPMSIVGCSKGCVAWNSSNDVVEYMLKTSFHEDSETLAEDKDVELLDSLRTILVELSCLSGTRCYEMGSPPFIEAKVNYLTFFNEVKFEYTYPKLSISLQEESAPSFGVSFRCHLSPPPEETWKLEWVFFVSQKSYIPQQSQTNLPVLQLDTSDGTDVLYSDSLQFDLESLNQVCVQVYKRTGSSLLSHTTRFPVSFTLAIVTSYISWPCDISVKHDTTVMCRGTRQKDKHKRHPEAMGLMDSEGGLAPASPLSLVELEFEIVHYAPAVPLSISTVKDSSQIRLAAESSQERTNSYVVARSEAQCLILMAEANSLQLSDGNKITYHGTTVTFKVRQPIGALLSDALPEVHLQPEQSYVDYQVIPYIHPLVFWVSGVSACLQRLTYTGPEKAQTRFTIQNAGPELTVHSCRLVHAGEELAVGSPMELSSSSEQRRLLMHVNRCIIGTTNLSNDSPSREFMEYLELAPLSNQSVPFPATEPPFNIIGNSTKHKTLWEATKRYSNKEISFHVNVVIQEVHVPVPMQSYMTIEVCLVGGVHVVANSPDFHLDRLQAFSHMPLVIKHGPSDSPQSAFDLIEQCFHKREEETLHHDSALLSSTQLYNEIQGLHKQCEALQKRTHTIPLAWGEALYNKLAGQLYIEAKQPAKKRMYFEALDKGVFKASRIRGISTYMEITAHSLDLYHKEFAEVSSLAEKLKKYPSQATNATEPREAGLGALLDVTRNLDESNPGKESVLGCLYGLSKLKETAYSWLGVTHAINSDIQARDCCGEIRQLVELCSLFVWCDLAGPPVSVELLKLEQVCNHLLELARQAVEWPRQLFLLLTCQRSFLYSRLDLLTAERRQISERKEMDIPKRLILGNWSKVPQCFFTLWNAGDGAGFNVVYQSFLADLEDYQVLADEFQQLMEFVFALEEQVMFYECFSWGPETRKERLTRMDDEFKGLNKTFSMLLLTRLKTLFANWSEQTRSSDKLPDSLVVCRDSQKNCFDNRIKDVISTRPLINTKNKKKADNEVIVILWDVSSHAKLVNQWKTLDDKVTAKYVETDCCETWYIHLFPFTLLAKNGEKPLLEEKFQVFNSELHIMKPARGYSRRWEMPERPLQQSLTPYVSTFLNQDGACTVIPKNEMTYIVPPLPEESADM
+>sp|Q8WVT3|TPC12_HUMAN Trafficking protein particle complex subunit 12 OS=Homo sapiens OX=9606 GN=TRAPPC12 PE=1 SV=3
+MEDAGGGEETPAPEAPHPPQLAPPEEQGLLFQEETIDLGGDEFGSEENETASEGSSPLADKLNEHMMESVLISDSPNSEGDAGDLGRVRDEAEPGGEGDPGPEPAGTPSPSGEADGDCAPEDAAPSSGGAPRQDAAREVPGSEAARPEQEPPVAEPVPVCTIFSQRAPPASGDGFEPQMVKSPSFGGASEASARTPPQVVQPSPSLSTFFGDTAASHSLASDFFDSFTTSAFISVSNPGAGSPAPASPPPLAVPGTEGRPEPVAMRGPQAAAPPASPEPFAHIQAVFAGSDDPFATALSMSEMDRRNDAWLPGEATRGVLRAVATQQRGAVFVDKENLTMPGLRFDNIQGDAVKDLMLRFLGEKAAAKRQVLNADSVEQSFVGLKQLISCRNWRAAVDLCGRLLTAHGQGYGKSGLLTSHTTDSLQLWFVRLALLVKLGLFQNAEMEFEPFGNLDQPDLYYEYYPHVYPGRRGSMVPFSMRILHAELQQYLGNPQESLDRLHKVKTVCSKILANLEQGLAEDGGMSSVTQEGRQASIRLWRSRLGRVMYSMANCLLLMKDYVLAVEAYHSVIKYYPEQEPQLLSGIGRISLQIGDIKTAEKYFQDVEKVTQKLDGLQGKIMVLMNSAFLHLGQNNFAEAHRFFTEILRMDPRNAVANNNAAVCLLYLGKLKDSLRQLEAMVQQDPRHYLHESVLFNLTTMYELESSRSMQKKQALLEAVAGKEGDSFNTQCLKLA
+>DECOY_sp|Q8WVT3|TPC12_HUMAN Trafficking protein particle complex subunit 12 OS=Homo sapiens OX=9606 GN=TRAPPC12 PE=1 SV=3
+ALKLCQTNFSDGEKGAVAELLAQKKQMSRSSELEYMTTLNFLVSEHLYHRPDQQVMAELQRLSDKLKGLYLLCVAANNNAVANRPDMRLIETFFRHAEAFNNQGLHLFASNMLVMIKGQLGDLKQTVKEVDQFYKEATKIDGIQLSIRGIGSLLQPEQEPYYKIVSHYAEVALVYDKMLLLCNAMSYMVRGLRSRWLRISAQRGEQTVSSMGGDEALGQELNALIKSCVTKVKHLRDLSEQPNGLYQQLEAHLIRMSFPVMSGRRGPYVHPYYEYYLDPQDLNGFPEFEMEANQFLGLKVLLALRVFWLQLSDTTHSTLLGSKGYGQGHATLLRGCLDVAARWNRCSILQKLGVFSQEVSDANLVQRKAAAKEGLFRLMLDKVADGQINDFRLGPMTLNEKDVFVAGRQQTAVARLVGRTAEGPLWADNRRDMESMSLATAFPDDSGAFVAQIHAFPEPSAPPAAAQPGRMAVPEPRGETGPVALPPPSAPAPSGAGPNSVSIFASTTFSDFFDSALSHSAATDGFFTSLSPSPQVVQPPTRASAESAGGFSPSKVMQPEFGDGSAPPARQSFITCVPVPEAVPPEQEPRAAESGPVERAADQRPAGGSSPAADEPACDGDAEGSPSPTGAPEPGPDGEGGPEAEDRVRGLDGADGESNPSDSILVSEMMHENLKDALPSSGESATENEESGFEDGGLDITEEQFLLGQEEPPALQPPHPAEPAPTEEGGGADEM
+>sp|Q969Z4|TR19L_HUMAN Tumor necrosis factor receptor superfamily member 19L OS=Homo sapiens OX=9606 GN=RELT PE=1 SV=1
+MKPSLLCRPLSCFLMLLPWPLATLTSTTLWQCPPGEEPDLDPGQGTLCRPCPPGTFSAAWGSSPCQPHARCSLWRRLEAQVGMATRDTLCGDCWPGWFGPWGVPRVPCQPCSWAPLGTHGCDEWGRRARRGVEVAAGASSGGETRQPGNGTRAGGPEETAAQYAVIAIVPVFCLMGLLGILVCNLLKRKGYHCTAHKEVGPGPGGGGSGINPAYRTEDANEDTIGVLVRLITEKKENAAALEELLKEYHSKQLVQTSHRPVSKLPPAPPNVPHICPHRHHLHTVQGLASLSGPCCSRCSQKKWPEVLLSPEAVAATTPVPSLLPNPTRVPKAGAKAGRQGEITILSVGRFRVARIPEQRTSSMVSEVKTITEAGPSWGDLPDSPQPGLPPEQQALLGSGGSRTKWLKPPAENKAEENRYVVRLSESNLVI
+>DECOY_sp|Q969Z4|TR19L_HUMAN Tumor necrosis factor receptor superfamily member 19L OS=Homo sapiens OX=9606 GN=RELT PE=1 SV=1
+IVLNSESLRVVYRNEEAKNEAPPKLWKTRSGGSGLLAQQEPPLGPQPSDPLDGWSPGAETITKVESVMSSTRQEPIRAVRFRGVSLITIEGQRGAKAGAKPVRTPNPLLSPVPTTAAVAEPSLLVEPWKKQSCRSCCPGSLSALGQVTHLHHRHPCIHPVNPPAPPLKSVPRHSTQVLQKSHYEKLLEELAAANEKKETILRVLVGITDENADETRYAPNIGSGGGGPGPGVEKHATCHYGKRKLLNCVLIGLLGMLCFVPVIAIVAYQAATEEPGGARTGNGPQRTEGGSSAGAAVEVGRRARRGWEDCGHTGLPAWSCPQCPVRPVGWPGFWGPWCDGCLTDRTAMGVQAELRRWLSCRAHPQCPSSGWAASFTGPPCPRCLTGQGPDLDPEEGPPCQWLTTSTLTALPWPLLMLFCSLPRCLLSPKM
+>sp|Q6F5E7|TR3N_HUMAN Protein TXNRD3NB OS=Homo sapiens OX=9606 GN=TXNRD3NB PE=2 SV=1
+MRDLSERRLGQPELKAEQQMPLEPVRARLSVGLACCCSHTTAEASSLEHGDKVFGQGFPSPLEEIKRLLKISRALQARSVPSTQEKAKCLSGEPGQPEGKGQETYPGPGKVEGKAEPAMRKDDVCPGMKCISG
+>DECOY_sp|Q6F5E7|TR3N_HUMAN Protein TXNRD3NB OS=Homo sapiens OX=9606 GN=TXNRD3NB PE=2 SV=1
+GSICKMGPCVDDKRMAPEAKGEVKGPGPYTEQGKGEPQGPEGSLCKAKEQTSPVSRAQLARSIKLLRKIEELPSPFGQGFVKDGHELSSAEATTHSCCCALGVSLRARVPELPMQQEAKLEPQGLRRESLDRM
+>sp|A6NDI0|TR49B_HUMAN Putative tripartite motif-containing protein 49B OS=Homo sapiens OX=9606 GN=TRIM49B PE=2 SV=1
+MNSGILQVFQRELICPICMNYFIDPVTIDCGHSFCRPCFYLNWKDSPFLVQCSECTKSTGQINLKTNIHFKKMASLARKVSLWLFLSSEEQMCGTHRETKKMFCEVDRSLLCLLCSSSQEHRDHRHCPIESAAEEHQEKLLQKMQSLWEKACENHRNLNVETTRTRCWKDYVNLRLEAIRAEYQKMPAFHHEEEKHNLEMLKKKGKDIFHRLHLSKAKMAHRREILRGMYEELNEMCHKPDVELLQAFGDILHRSESVLLHMPQPLNPELSAGPITGLRDRLNQFRVHITLHHEEANSDIFLCEILRSMCIGCDHQDVPYFTATPRSFLAWGAQTFTSGKYYWEVHVGDSWNWAFGVCNMYWKEKNQNEKIDGEDGLFLLGCVKNDIQRSLFTTSPLLLQYIPRPTSRVGLFLDCEAKTVSFVDVNQSSLIYTIPNCSFSPPLRPIFCCIHF
+>DECOY_sp|A6NDI0|TR49B_HUMAN Putative tripartite motif-containing protein 49B OS=Homo sapiens OX=9606 GN=TRIM49B PE=2 SV=1
+FHICCFIPRLPPSFSCNPITYILSSQNVDVFSVTKAECDLFLGVRSTPRPIYQLLLPSTTFLSRQIDNKVCGLLFLGDEGDIKENQNKEKWYMNCVGFAWNWSDGVHVEWYYKGSTFTQAGWALFSRPTATFYPVDQHDCGICMSRLIECLFIDSNAEEHHLTIHVRFQNLRDRLGTIPGASLEPNLPQPMHLLVSESRHLIDGFAQLLEVDPKHCMENLEEYMGRLIERRHAMKAKSLHLRHFIDKGKKKLMELNHKEEEHHFAPMKQYEARIAELRLNVYDKWCRTRTTEVNLNRHNECAKEWLSQMKQLLKEQHEEAASEIPCHRHDRHEQSSSCLLCLLSRDVECFMKKTERHTGCMQEESSLFLWLSVKRALSAMKKFHINTKLNIQGTSKTCESCQVLFPSDKWNLYFCPRCFSHGCDITVPDIFYNMCIPCILERQFVQLIGSNM
+>sp|Q13595|TRA2A_HUMAN Transformer-2 protein homolog alpha OS=Homo sapiens OX=9606 GN=TRA2A PE=1 SV=1
+MSDVEENNFEGRESRSQSKSPTGTPARVKSESRSGSRSPSRVSKHSESHSRSRSKSRSRSRRHSHRRYTRSRSHSHSHRRRSRSRSYTPEYRRRRSRSHSPMSNRRRHTGSRANPDPNTCLGVFGLSLYTTERDLREVFSRYGPLSGVNVVYDQRTGRSRGFAFVYFERIDDSKEAMERANGMELDGRRIRVDYSITKRAHTPTPGIYMGRPTHSGGGGGGGGGGGGGGGGRRRDSYYDRGYDRGYDRYEDYDYRYRRRSPSPYYSRYRSRSRSRSYSPRRY
+>DECOY_sp|Q13595|TRA2A_HUMAN Transformer-2 protein homolog alpha OS=Homo sapiens OX=9606 GN=TRA2A PE=1 SV=1
+YRRPSYSRSRSRSRYRSYYPSPSRRRYRYDYDEYRDYGRDYGRDYYSDRRRGGGGGGGGGGGGGGGGSHTPRGMYIGPTPTHARKTISYDVRIRRGDLEMGNAREMAEKSDDIREFYVFAFGRSRGTRQDYVVNVGSLPGYRSFVERLDRETTYLSLGFVGLCTNPDPNARSGTHRRRNSMPSHSRSRRRRYEPTYSRSRSRRRHSHSHSRSRTYRRHSHRRSRSRSKSRSRSHSESHKSVRSPSRSGSRSESKVRAPTGTPSKSQSRSERGEFNNEEVDSM
+>sp|O00463|TRAF5_HUMAN TNF receptor-associated factor 5 OS=Homo sapiens OX=9606 GN=TRAF5 PE=1 SV=2
+MAYSEEHKGMPCGFIRQNSGNSISLDFEPSIEYQFVERLEERYKCAFCHSVLHNPHQTGCGHRFCQHCILSLRELNTVPICPVDKEVIKSQEVFKDNCCKREVLNLYVYCSNAPGCNAKVILGRYQDHLQQCLFQPVQCSNEKCREPVLRKDLKEHLSASCQFRKEKCLYCKKDVVVINLQNHEENLCPEYPVFCPNNCAKIILKTEVDEHLAVCPEAEQDCPFKHYGCAVTDKRRNLQQHEHSALREHMRLVLEKNVQLEEQISDLHKSLEQKESKIQQLAETIKKLEKEFKQFAQLFGKNGSFLPNIQVFASHIDKSAWLEAQVHQLLQMVNQQQNKFDLRPLMEAVDTVKQKITLLENNDQRLAVLEEETNKHDTHINIHKAQLSKNEERFKLLEGTCYNGKLIWKVTDYKMKKREAVDGHTVSIFSQSFYTSRCGYRLCARAYLNGDGSGRGSHLSLYFVVMRGEFDSLLQWPFRQRVTLMLLDQSGKKNIMETFKPDPNSSSFKRPDGEMNIASGCPRFVAHSVLENAKNAYIKDDTLFLKVAVDLTDLEDL
+>DECOY_sp|O00463|TRAF5_HUMAN TNF receptor-associated factor 5 OS=Homo sapiens OX=9606 GN=TRAF5 PE=1 SV=2
+LDELDTLDVAVKLFLTDDKIYANKANELVSHAVFRPCGSAINMEGDPRKFSSSNPDPKFTEMINKKGSQDLLMLTVRQRFPWQLLSDFEGRMVVFYLSLHSGRGSGDGNLYARACLRYGCRSTYFSQSFISVTHGDVAERKKMKYDTVKWILKGNYCTGELLKFREENKSLQAKHINIHTDHKNTEEELVALRQDNNELLTIKQKVTDVAEMLPRLDFKNQQQNVMQLLQHVQAELWASKDIHSAFVQINPLFSGNKGFLQAFQKFEKELKKITEALQQIKSEKQELSKHLDSIQEELQVNKELVLRMHERLASHEHQQLNRRKDTVACGYHKFPCDQEAEPCVALHEDVETKLIIKACNNPCFVPYEPCLNEEHNQLNIVVVDKKCYLCKEKRFQCSASLHEKLDKRLVPERCKENSCQVPQFLCQQLHDQYRGLIVKANCGPANSCYVYLNLVERKCCNDKFVEQSKIVEKDVPCIPVTNLERLSLICHQCFRHGCGTQHPNHLVSHCFACKYREELREVFQYEISPEFDLSISNGSNQRIFGCPMGKHEESYAM
+>sp|Q9UJA5|TRM6_HUMAN tRNA (adenine(58)-N(1))-methyltransferase non-catalytic subunit TRM6 OS=Homo sapiens OX=9606 GN=TRMT6 PE=1 SV=1
+MEGSGEQPGPQPQHPGDHRIRDGDFVVLKREDVFKAVQVQRRKKVTFEKQWFYLDNVIGHSYGTAFEVTSGGSLQPKKKREEPTAETKEAGTDNRNIVDDGKSQKLTQDDIKALKDKGIKGEEIVQQLIENSTTFRDKTEFAQDKYIKKKKKKYEAIITVVKPSTRILSIMYYAREPGKINHMRYDTLAQMLTLGNIRAGNKMIVMETCAGLVLGAMMERMGGFGSIIQLYPGGGPVRAATACFGFPKSFLSGLYEFPLNKVDSLLHGTFSAKMLSSEPKDSALVEESNGTLEEKQASEQENEDSMAEAPESNHPEDQETMETISQDPEHKGPKERGSKKDYIQEKQRRQEEQRKRHLEAAALLSERNADGLIVASRFHPTPLLLSLLDFVAPSRPFVVYCQYKEPLLECYTKLRERGGVINLRLSETWLRNYQVLPDRSHPKLLMSGGGGYLLSGFTVAMDNLKADTSLKSNASTLESHETEEPAAKKRKCPESDS
+>DECOY_sp|Q9UJA5|TRM6_HUMAN tRNA (adenine(58)-N(1))-methyltransferase non-catalytic subunit TRM6 OS=Homo sapiens OX=9606 GN=TRMT6 PE=1 SV=1
+SDSEPCKRKKAAPEETEHSELTSANSKLSTDAKLNDMAVTFGSLLYGGGGSMLLKPHSRDPLVQYNRLWTESLRLNIVGGRERLKTYCELLPEKYQCYVVFPRSPAVFDLLSLLLPTPHFRSAVILGDANRESLLAAAELHRKRQEEQRRQKEQIYDKKSGREKPGKHEPDQSITEMTEQDEPHNSEPAEAMSDENEQESAQKEELTGNSEEVLASDKPESSLMKASFTGHLLSDVKNLPFEYLGSLFSKPFGFCATAARVPGGGPYLQIISGFGGMREMMAGLVLGACTEMVIMKNGARINGLTLMQALTDYRMHNIKGPERAYYMISLIRTSPKVVTIIAEYKKKKKKIYKDQAFETKDRFTTSNEILQQVIEEGKIGKDKLAKIDDQTLKQSKGDDVINRNDTGAEKTEATPEERKKKPQLSGGSTVEFATGYSHGIVNDLYFWQKEFTVKKRRQVQVAKFVDERKLVVFDGDRIRHDGPHQPQPGPQEGSGEM
+>sp|Q6NT89|TRNP1_HUMAN TMF-regulated nuclear protein 1 OS=Homo sapiens OX=9606 GN=TRNP1 PE=2 SV=2
+MPGCRISACGPGAQEGTAEQRSPPPPWDPMPSSQPPPPTPTLTPTPTPGQSPPLPDAAGASAGAAEDQELQRWRQGASGIAGLAGPGGGSGAAAGAGGRALELAEARRRLLEVEGRRRLVSELESRVLQLHRVFLAAELRLAHRAESLSRLSGGVAQAELYLAAHGSRLKKGPRRGRRGRPPALLASALGLGGCVPWGAGRLRRGHGPEPDSPFRRSPPRGPASPQR
+>DECOY_sp|Q6NT89|TRNP1_HUMAN TMF-regulated nuclear protein 1 OS=Homo sapiens OX=9606 GN=TRNP1 PE=2 SV=2
+RQPSAPGRPPSRRFPSDPEPGHGRRLRGAGWPVCGGLGLASALLAPPRGRRGRRPGKKLRSGHAALYLEAQAVGGSLRSLSEARHALRLEAALFVRHLQLVRSELESVLRRRGEVELLRRRAEALELARGGAGAAAGSGGGPGALGAIGSAGQRWRQLEQDEAAGASAGAADPLPPSQGPTPTPTLTPTPPPPQSSPMPDWPPPPSRQEATGEQAGPGCASIRCGPM
+>sp|Q9UHF7|TRPS1_HUMAN Zinc finger transcription factor Trps1 OS=Homo sapiens OX=9606 GN=TRPS1 PE=1 SV=2
+MVRKKNPPLRNVASEGEGQILEPIGTESKVSGKNKEFSADQMSENTDQSDAAELNHKEEHSLHVQDPSSSSKKDLKSAVLSEKAGFNYESPSKGGNFPSFPHDEVTDRNMLAFSSPAAGGVCEPLKSPQRAEADDPQDMACTPSGDSLETKEDQKMSPKATEETGQAQSGQANCQGLSPVSVASKNPQVPSDGGVRLNKSKTDLLVNDNPDPAPLSPELQDFKCNICGYGYYGNDPTDLIKHFRKYHLGLHNRTRQDAELDSKILALHNMVQFSHSKDFQKVNRSVFSGVLQDINSSRPVLLNGTYDVQVTSGGTFIGIGRKTPDCQGNTKYFRCKFCNFTYMGNSSTELEQHFLQTHPNKIKASLPSSEVAKPSEKNSNKSIPALQSSDSGDLGKWQDKITVKAGDDTPVGYSVPIKPLDSSRQNGTEATSYYWCKFCSFSCESSSSLKLLEHYGKQHGAVQSGGLNPELNDKLSRGSVINQNDLAKSSEGETMTKTDKSSSGAKKKDFSSKGAEDNMVTSYNCQFCDFRYSKSHGPDVIVVGPLLRHYQQLHNIHKCTIKHCPFCPRGLCSPEKHLGEITYPFACRKSNCSHCALLLLHLSPGAAGSSRVKHQCHQCSFTTPDVDVLLFHYESVHESQASDVKQEANHLQGSDGQQSVKESKEHSCTKCDFITQVEEEISRHYRRAHSCYKCRQCSFTAADTQSLLEHFNTVHCQEQDITTANGEEDGHAISTIKEEPKIDFRVYNLLTPDSKMGEPVSESVVKREKLEEKDGLKEKVWTESSSDDLRNVTWRGADILRGSPSYTQASLGLLTPVSGTQEQTKTLRDSPNVEAAHLARPIYGLAVETKGFLQGAPAGGEKSGALPQQYPASGENKSKDESQSLLRRRRGSGVFCANCLTTKTSLWRKNANGGYVCNACGLYQKLHSTPRPLNIIKQNNGEQIIRRRTRKRLNPEALQAEQLNKQQRGSNEEQVNGSPLERRSEDHLTESHQREIPLPSLSKYEAQGSLTKSHSAQQPVLVSQTLDIHKRMQPLHIQIKSPQESTGDPGNSSSVSEGKGSSERGSPIEKYMRPAKHPNYSPPGSPIEKYQYPLFGLPFVHNDFQSEADWLRFWSKYKLSVPGNPHYLSHVPGLPNPCQNYVPYPTFNLPPHFSAVGSDNDIPLDLAIKHSRPGPTANGASKEKTKAPPNVKNEGPLNVVKTEKVDRSTQDELSTKCVHCGIVFLDEVMYALHMSCHGDSGPFQCSICQHLCTDKYDFTTHIQRGLHRNNAQVEKNGKPKE
+>DECOY_sp|Q9UHF7|TRPS1_HUMAN Zinc finger transcription factor Trps1 OS=Homo sapiens OX=9606 GN=TRPS1 PE=1 SV=2
+EKPKGNKEVQANNRHLGRQIHTTFDYKDTCLHQCISCQFPGSDGHCSMHLAYMVEDLFVIGCHVCKTSLEDQTSRDVKETKVVNLPGENKVNPPAKTKEKSAGNATPGPRSHKIALDLPIDNDSGVASFHPPLNFTPYPVYNQCPNPLGPVHSLYHPNGPVSLKYKSWFRLWDAESQFDNHVFPLGFLPYQYKEIPSGPPSYNPHKAPRMYKEIPSGRESSGKGESVSSSNGPDGTSEQPSKIQIHLPQMRKHIDLTQSVLVPQQASHSKTLSGQAEYKSLSPLPIERQHSETLHDESRRELPSGNVQEENSGRQQKNLQEAQLAEPNLRKRTRRRIIQEGNNQKIINLPRPTSHLKQYLGCANCVYGGNANKRWLSTKTTLCNACFVGSGRRRRLLSQSEDKSKNEGSAPYQQPLAGSKEGGAPAGQLFGKTEVALGYIPRALHAAEVNPSDRLTKTQEQTGSVPTLLGLSAQTYSPSGRLIDAGRWTVNRLDDSSSETWVKEKLGDKEELKERKVVSESVPEGMKSDPTLLNYVRFDIKPEEKITSIAHGDEEGNATTIDQEQCHVTNFHELLSQTDAATFSCQRCKYCSHARRYHRSIEEEVQTIFDCKTCSHEKSEKVSQQGDSGQLHNAEQKVDSAQSEHVSEYHFLLVDVDPTTFSCQHCQHKVRSSGAAGPSLHLLLLACHSCNSKRCAFPYTIEGLHKEPSCLGRPCFPCHKITCKHINHLQQYHRLLPGVVIVDPGHSKSYRFDCFQCNYSTVMNDEAGKSSFDKKKAGSSSKDTKTMTEGESSKALDNQNIVSGRSLKDNLEPNLGGSQVAGHQKGYHELLKLSSSSECSFSCFKCWYYSTAETGNQRSSDLPKIPVSYGVPTDDGAKVTIKDQWKGLDGSDSSQLAPISKNSNKESPKAVESSPLSAKIKNPHTQLFHQELETSSNGMYTFNCFKCRFYKTNGQCDPTKRGIGIFTGGSTVQVDYTGNLLVPRSSNIDQLVGSFVSRNVKQFDKSHSFQVMNHLALIKSDLEADQRTRNHLGLHYKRFHKILDTPDNGYYGYGCINCKFDQLEPSLPAPDPNDNVLLDTKSKNLRVGGDSPVQPNKSAVSVPSLGQCNAQGSQAQGTEETAKPSMKQDEKTELSDGSPTCAMDQPDDAEARQPSKLPECVGGAAPSSFALMNRDTVEDHPFSPFNGGKSPSEYNFGAKESLVASKLDKKSSSSPDQVHLSHEEKHNLEAADSQDTNESMQDASFEKNKGSVKSETGIPELIQGEGESAVNRLPPNKKRVM
+>sp|Q8NER1|TRPV1_HUMAN Transient receptor potential cation channel subfamily V member 1 OS=Homo sapiens OX=9606 GN=TRPV1 PE=1 SV=2
+MKKWSSTDLGAAADPLQKDTCPDPLDGDPNSRPPPAKPQLSTAKSRTRLFGKGDSEEAFPVDCPHEEGELDSCPTITVSPVITIQRPGDGPTGARLLSQDSVAASTEKTLRLYDRRSIFEAVAQNNCQDLESLLLFLQKSKKHLTDNEFKDPETGKTCLLKAMLNLHDGQNTTIPLLLEIARQTDSLKELVNASYTDSYYKGQTALHIAIERRNMALVTLLVENGADVQAAAHGDFFKKTKGRPGFYFGELPLSLAACTNQLGIVKFLLQNSWQTADISARDSVGNTVLHALVEVADNTADNTKFVTSMYNEILMLGAKLHPTLKLEELTNKKGMTPLALAAGTGKIGVLAYILQREIQEPECRHLSRKFTEWAYGPVHSSLYDLSCIDTCEKNSVLEVIAYSSSETPNRHDMLLVEPLNRLLQDKWDRFVKRIFYFNFLVYCLYMIIFTMAAYYRPVDGLPPFKMEKTGDYFRVTGEILSVLGGVYFFFRGIQYFLQRRPSMKTLFVDSYSEMLFFLQSLFMLATVVLYFSHLKEYVASMVFSLALGWTNMLYYTRGFQQMGIYAVMIEKMILRDLCRFMFVYIVFLFGFSTAVVTLIEDGKNDSLPSESTSHRWRGPACRPPDSSYNSLYSTCLELFKFTIGMGDLEFTENYDFKAVFIILLLAYVILTYILLLNMLIALMGETVNKIAQESKNIWKLQRAITILDTEKSFLKCMRKAFRSGKLLQVGYTPDGKDDYRWCFRVDEVNWTTWNTNVGIINEDPGNCEGVKRTLSFSLRSSRVSGRHWKNFALVPLLREASARDRQSAQPEEVYLRQFSGSLKPEDAEVFKSPAASGEK
+>DECOY_sp|Q8NER1|TRPV1_HUMAN Transient receptor potential cation channel subfamily V member 1 OS=Homo sapiens OX=9606 GN=TRPV1 PE=1 SV=2
+KEGSAAPSKFVEADEPKLSGSFQRLYVEEPQASQRDRASAERLLPVLAFNKWHRGSVRSSRLSFSLTRKVGECNGPDENIIGVNTNWTTWNVEDVRFCWRYDDKGDPTYGVQLLKGSRFAKRMCKLFSKETDLITIARQLKWINKSEQAIKNVTEGMLAILMNLLLIYTLIVYALLLIIFVAKFDYNETFELDGMGITFKFLELCTSYLSNYSSDPPRCAPGRWRHSTSESPLSDNKGDEILTVVATSFGFLFVIYVFMFRCLDRLIMKEIMVAYIGMQQFGRTYYLMNTWGLALSFVMSAVYEKLHSFYLVVTALMFLSQLFFLMESYSDVFLTKMSPRRQLFYQIGRFFFYVGGLVSLIEGTVRFYDGTKEMKFPPLGDVPRYYAAMTFIIMYLCYVLFNFYFIRKVFRDWKDQLLRNLPEVLLMDHRNPTESSSYAIVELVSNKECTDICSLDYLSSHVPGYAWETFKRSLHRCEPEQIERQLIYALVGIKGTGAALALPTMGKKNTLEELKLTPHLKAGLMLIENYMSTVFKTNDATNDAVEVLAHLVTNGVSDRASIDATQWSNQLLFKVIGLQNTCAALSLPLEGFYFGPRGKTKKFFDGHAAAQVDAGNEVLLTVLAMNRREIAIHLATQGKYYSDTYSANVLEKLSDTQRAIELLLPITTNQGDHLNLMAKLLCTKGTEPDKFENDTLHKKSKQLFLLLSELDQCNNQAVAEFISRRDYLRLTKETSAAVSDQSLLRAGTPGDGPRQITIVPSVTITPCSDLEGEEHPCDVPFAEESDGKGFLRTRSKATSLQPKAPPPRSNPDGDLPDPCTDKQLPDAAAGLDTSSWKKM
+>sp|Q86VQ6|TRXR3_HUMAN Thioredoxin reductase 3 OS=Homo sapiens OX=9606 GN=TXNRD3 PE=1 SV=4
+MERSPPQSPGPGKAGDAPNRRSGHVRGARVLSPPGRRARLSSPGPSRSSEAREELRRHLVGLIERSRVVIFSKSYCPHSTRVKELFSSLGVECNVLELDQVDDGARVQEVLSEITNQKTVPNIFVNKVHVGGCDQTFQAYQSGLLQKLLQEDLAYDYDLIIIGGGSGGLSCAKEAAILGKKVMVLDFVVPSPQGTSWGLGGTCVNVGCIPKKLMHQAALLGQALCDSRKFGWEYNQQVRHNWETMTKAIQNHISSLNWGYRLSLREKAVAYVNSYGEFVEHHKIKATNKKGQETYYTAAQFVIATGERPRYLGIQGDKEYCITSDDLFSLPYCPGKTLVVGASYVALECAGFLAGFGLDVTVMVRSILLRGFDQEMAEKVGSYMEQHGVKFLRKFIPVMVQQLEKGSPGKLKVLAKSTEGTETIEGVYNTVLLAIGRDSCTRKIGLEKIGVKINEKSGKIPVNDVEQTNVPYVYAVGDILEDKPELTPVAIQSGKLLAQRLFGASLEKCDYINVPTTVFTPLEYGCCGLSEEKAIEVYKKENLEIYHTLFWPLEWTVAGRENNTCYAKIICNKFDHDRVIGFHILGPNAGEVTQGFAAAMKCGLTKQLLDDTIGIHPTCGEVFTTLEITKSSGLDITQKGCUG
+>DECOY_sp|Q86VQ6|TRXR3_HUMAN Thioredoxin reductase 3 OS=Homo sapiens OX=9606 GN=TXNRD3 PE=1 SV=4
+GUCGKQTIDLGSSKTIELTTFVEGCTPHIGITDDLLQKTLGCKMAAAFGQTVEGANPGLIHFGIVRDHDFKNCIIKAYCTNNERGAVTWELPWFLTHYIELNEKKYVEIAKEESLGCCGYELPTFVTTPVNIYDCKELSAGFLRQALLKGSQIAVPTLEPKDELIDGVAYVYPVNTQEVDNVPIKGSKENIKVGIKELGIKRTCSDRGIALLVTNYVGEITETGETSKALVKLKGPSGKELQQVMVPIFKRLFKVGHQEMYSGVKEAMEQDFGRLLISRVMVTVDLGFGALFGACELAVYSAGVVLTKGPCYPLSFLDDSTICYEKDGQIGLYRPREGTAIVFQAATYYTEQGKKNTAKIKHHEVFEGYSNVYAVAKERLSLRYGWNLSSIHNQIAKTMTEWNHRVQQNYEWGFKRSDCLAQGLLAAQHMLKKPICGVNVCTGGLGWSTGQPSPVVFDLVMVKKGLIAAEKACSLGGSGGGIIILDYDYALDEQLLKQLLGSQYAQFTQDCGGVHVKNVFINPVTKQNTIESLVEQVRAGDDVQDLELVNCEVGLSSFLEKVRTSHPCYSKSFIVVRSREILGVLHRRLEERAESSRSPGPSSLRARRGPPSLVRAGRVHGSRRNPADGAKGPGPSQPPSREM
+>sp|P35030|TRY3_HUMAN Trypsin-3 OS=Homo sapiens OX=9606 GN=PRSS3 PE=1 SV=2
+MCGPDDRCPARWPGPGRAVKCGKGLAAARPGRVERGGAQRGGAGLELHPLLGGRTWRAARDADGCEALGTVAVPFDDDDKIVGGYTCEENSLPYQVSLNSGSHFCGGSLISEQWVVSAAHCYKTRIQVRLGEHNIKVLEGNEQFINAAKIIRHPKYNRDTLDNDIMLIKLSSPAVINARVSTISLPTTPPAAGTECLISGWGNTLSFGADYPDELKCLDAPVLTQAECKASYPGKITNSMFCVGFLEGGKDSCQRDSGGPVVCNGQLQGVVSWGHGCAWKNRPGVYTKVYNYVDWIKDTIAANS
+>DECOY_sp|P35030|TRY3_HUMAN Trypsin-3 OS=Homo sapiens OX=9606 GN=PRSS3 PE=1 SV=2
+SNAAITDKIWDVYNYVKTYVGPRNKWACGHGWSVVGQLQGNCVVPGGSDRQCSDKGGELFGVCFMSNTIKGPYSAKCEAQTLVPADLCKLEDPYDAGFSLTNGWGSILCETGAAPPTTPLSITSVRANIVAPSSLKILMIDNDLTDRNYKPHRIIKAANIFQENGELVKINHEGLRVQIRTKYCHAASVVWQESILSGGCFHSGSNLSVQYPLSNEECTYGGVIKDDDDFPVAVTGLAECGDADRAARWTRGGLLPHLELGAGGRQAGGREVRGPRAAALGKGCKVARGPGPWRAPCRDDPGCM
+>sp|Q9BZJ3|TRYD_HUMAN Tryptase delta OS=Homo sapiens OX=9606 GN=TPSD1 PE=2 SV=2
+MLLLAPQMLSLLLLALPVLASPAYVAPAPGQALQQTGIVGGQEAPRSKWPWQVSLRVRGPYWMHFCGGSLIHPQWVLTAAHCVEPDIKDLAALRVQLREQHLYYQDQLLPVSRIIVHPQFYIIQTGADIALLELEEPVNISSHIHTVTLPPASETFPPGMPCWVTGWGDVDNNVHLPPPYPLKEVEVPVVENHLCNAEYHTGLHTGHSFQIVRDDMLCAGSENHDSCQGDSGGPLVCKVNGT
+>DECOY_sp|Q9BZJ3|TRYD_HUMAN Tryptase delta OS=Homo sapiens OX=9606 GN=TPSD1 PE=2 SV=2
+TGNVKCVLPGGSDGQCSDHNESGACLMDDRVIQFSHGTHLGTHYEANCLHNEVVPVEVEKLPYPPPLHVNNDVDGWGTVWCPMGPPFTESAPPLTVTHIHSSINVPEELELLAIDAGTQIIYFQPHVIIRSVPLLQDQYYLHQERLQVRLAALDKIDPEVCHAATLVWQPHILSGGCFHMWYPGRVRLSVQWPWKSRPAEQGGVIGTQQLAQGPAPAVYAPSALVPLALLLLSLMQPALLLM
+>sp|Q9NRR2|TRYG1_HUMAN Tryptase gamma OS=Homo sapiens OX=9606 GN=TPSG1 PE=2 SV=3
+MALGACGLLLLLAVPGVSLRTLQPGCGRPQVSDAGGRIVGGHAAPAGAWPWQASLRLRRMHVCGGSLLSPQWVLTAAHCFSGSLNSSDYQVHLGELEITLSPHFSTVRQIILHSSPSGQPGTSGDIALVELSVPVTLSSRILPVCLPEASDDFCPGIRCWVTGWGYTREGEPLPPPYSLREVKVSVVDTETCRRDYPGPGGSILQPDMLCARGPGDACQDDSGGPLVCQVNGAWVQAGTVSWGEGCGRPNRPGVYTRVPAYVNWIRRHITASGGSESGYPRLPLLAGLFLPGLFLLLVSCVLLAKCLLHPSADGTPFPAPD
+>DECOY_sp|Q9NRR2|TRYG1_HUMAN Tryptase gamma OS=Homo sapiens OX=9606 GN=TPSG1 PE=2 SV=3
+DPAPFPTGDASPHLLCKALLVCSVLLLFLGPLFLGALLPLRPYGSESGGSATIHRRIWNVYAPVRTYVGPRNPRGCGEGWSVTGAQVWAGNVQCVLPGGSDDQCADGPGRACLMDPQLISGGPGPYDRRCTETDVVSVKVERLSYPPPLPEGERTYGWGTVWCRIGPCFDDSAEPLCVPLIRSSLTVPVSLEVLAIDGSTGPQGSPSSHLIIQRVTSFHPSLTIELEGLHVQYDSSNLSGSFCHAATLVWQPSLLSGGCVHMRRLRLSAQWPWAGAPAAHGGVIRGGADSVQPRGCGPQLTRLSVGPVALLLLLGCAGLAM
+>sp|Q96PP4|TSG13_HUMAN Testis-specific gene 13 protein OS=Homo sapiens OX=9606 GN=TSGA13 PE=2 SV=1
+MSQKRQTKFQNGKSKTSENSSAKREKGMVVNSKEISDAVGQSKFVLENLRHYTVHPNLAQYYKPLKATALQKFLAQNRKNTSFMLKVTQYDQDKTLLIMTNNPPPCSITQQDKESASKYFSKELLLKVMESHHQHKPTENLWLPRMPQKKKLRSKLKPIFPLILSDDPTSKREQWFRFSTDNDFKSEGKYSKVYALRTQKKMYPQLTFAPVHERDMRKDASKKSASERPISKVIREPLTLASLLEDMPTRTAPGESAFRNGRAPQWIIKKATVIG
+>DECOY_sp|Q96PP4|TSG13_HUMAN Testis-specific gene 13 protein OS=Homo sapiens OX=9606 GN=TSGA13 PE=2 SV=1
+GIVTAKKIIWQPARGNRFASEGPATRTPMDELLSALTLPERIVKSIPRESASKKSADKRMDREHVPAFTLQPYMKKQTRLAYVKSYKGESKFDNDTSFRFWQERKSTPDDSLILPFIPKLKSRLKKKQPMRPLWLNETPKHQHHSEMVKLLLEKSFYKSASEKDQQTISCPPPNNTMILLTKDQDYQTVKLMFSTNKRNQALFKQLATAKLPKYYQALNPHVTYHRLNELVFKSQGVADSIEKSNVVMGKERKASSNESTKSKGNQFKTQRKQSM
+>sp|P98066|TSG6_HUMAN Tumor necrosis factor-inducible gene 6 protein OS=Homo sapiens OX=9606 GN=TNFAIP6 PE=1 SV=2
+MIILIYLFLLLWEDTQGWGFKDGIFHNSIWLERAAGVYHREARSGKYKLTYAEAKAVCEFEGGHLATYKQLEAARKIGFHVCAAGWMAKGRVGYPIVKPGPNCGFGKTGIIDYGIRLNRSERWDAYCYNPHAKECGGVFTDPKQIFKSPGFPNEYEDNQICYWHIRLKYGQRIHLSFLDFDLEDDPGCLADYVEIYDSYDDVHGFVGRYCGDELPDDIISTGNVMTLKFLSDASVTAGGFQIKYVAMDPVSKSSQGKNTSTTSTGNKNFLAGRFSHL
+>DECOY_sp|P98066|TSG6_HUMAN Tumor necrosis factor-inducible gene 6 protein OS=Homo sapiens OX=9606 GN=TNFAIP6 PE=1 SV=2
+LHSFRGALFNKNGTSTTSTNKGQSSKSVPDMAVYKIQFGGATVSADSLFKLTMVNGTSIIDDPLEDGCYRGVFGHVDDYSDYIEVYDALCGPDDELDFDLFSLHIRQGYKLRIHWYCIQNDEYENPFGPSKFIQKPDTFVGGCEKAHPNYCYADWRESRNLRIGYDIIGTKGFGCNPGPKVIPYGVRGKAMWGAACVHFGIKRAAELQKYTALHGGEFECVAKAEAYTLKYKGSRAERHYVGAARELWISNHFIGDKFGWGQTDEWLLLFLYILIIM
+>sp|Q63HK5|TSH3_HUMAN Teashirt homolog 3 OS=Homo sapiens OX=9606 GN=TSHZ3 PE=1 SV=2
+MPRRKQQAPRRAAAYVSEELKAAALVDEGLDPEEHTADGEPSAKYMCPEKELARACPSYQNSPAAEFSCHEMDSESHISETSDRMADFESGSIKNEEETKEVTVPLEDTTVSDSLEQMKAVYNNFLSNSYWSNLNLNLHQPSSEKNNGSSSSSSSSSSSCGSGSFDWHQSAMAKTLQQVSQSRMLPEPSLFSTVQLYRQSSKLYGSIFTGASKFRCKDCSAAYDTLVELTVHMNETGHYRDDNHETDNNNPKRWSKPRKRSLLEMEGKEDAQKVLKCMYCGHSFESLQDLSVHMIKTKHYQKVPLKEPVTPVAAKIIPATRKKASLELELPSSPDSTGGTPKATISDTNDALQKNSNPYITPNNRYGHQNGASYAWHFEARKSQILKCMECGSSHDTLQELTAHMMVTGHFIKVTNSAMKKGKPIVETPVTPTITTLLDEKVQSVPLAATTFTSPSNTPASISPKLNVEVKKEVDKEKAVTDEKPKQKDKPGEEEEKCDISSKYHYLTENDLEESPKGGLDILKSLENTVTSAINKAQNGTPSWGGYPSIHAAYQLPNMMKLSLGSSGKSTPLKPMFGNSEIVSPTKNQTLVSPPSSQTSPMPKTNFHAMEELVKKVTEKVAKVEEKMKEPDGKLSPPKRATPSPCSSEVGEPIKMEASSDGGFRSQENSPSPPRDGCKDGSPLAEPVENGKELVKPLASSLSGSTAIITDHPPEQPFVNPLSALQSVMNIHLGKAAKPSLPALDPMSMLFKMSNSLAEKAAVATPPPLQSKKADHLDRYFYHVNNDQPIDLTKGKSDKGCSLGSVLLSPTSTAPATSSSTVTTAKTSAVVSFMSNSPLRENALSDISDMLKNLTESHTSKSSTPSSISEKSDIDGATLEEAEESTPAQKRKGRQSNWNPQHLLILQAQFAASLRQTSEGKYIMSDLSPQERMHISRFTGLSMTTISHWLANVKYQLRRTGGTKFLKNLDTGHPVFFCNDCASQIRTPSTYISHLESHLGFRLRDLSKLSTEQINSQIAQTKSPSEKMVTSSPEEDLGTSYQCKLCNRTFASKHAVKLHLSKTHGKSPEDHLLYVSELEKQ
+>DECOY_sp|Q63HK5|TSH3_HUMAN Teashirt homolog 3 OS=Homo sapiens OX=9606 GN=TSHZ3 PE=1 SV=2
+QKELESVYLLHDEPSKGHTKSLHLKVAHKSAFTRNCLKCQYSTGLDEEPSSTVMKESPSKTQAIQSNIQETSLKSLDRLRFGLHSELHSIYTSPTRIQSACDNCFFVPHGTDLNKLFKTGGTRRLQYKVNALWHSITTMSLGTFRSIHMREQPSLDSMIYKGESTQRLSAAFQAQLILLHQPNWNSQRGKRKQAPTSEEAEELTAGDIDSKESISSPTSSKSTHSETLNKLMDSIDSLANERLPSNSMFSVVASTKATTVTSSSTAPATSTPSLLVSGLSCGKDSKGKTLDIPQDNNVHYFYRDLHDAKKSQLPPPTAVAAKEALSNSMKFLMSMPDLAPLSPKAAKGLHINMVSQLASLPNVFPQEPPHDTIIATSGSLSSALPKVLEKGNEVPEALPSGDKCGDRPPSPSNEQSRFGGDSSAEMKIPEGVESSCPSPTARKPPSLKGDPEKMKEEVKAVKETVKKVLEEMAHFNTKPMPSTQSSPPSVLTQNKTPSVIESNGFMPKLPTSKGSSGLSLKMMNPLQYAAHISPYGGWSPTGNQAKNIASTVTNELSKLIDLGGKPSEELDNETLYHYKSSIDCKEEEEGPKDKQKPKEDTVAKEKDVEKKVEVNLKPSISAPTNSPSTFTTAALPVSQVKEDLLTTITPTVPTEVIPKGKKMASNTVKIFHGTVMMHATLEQLTDHSSGCEMCKLIQSKRAEFHWAYSAGNQHGYRNNPTIYPNSNKQLADNTDSITAKPTGGTSDPSSPLELELSAKKRTAPIIKAAVPTVPEKLPVKQYHKTKIMHVSLDQLSEFSHGCYMCKLVKQADEKGEMELLSRKRPKSWRKPNNNDTEHNDDRYHGTENMHVTLEVLTDYAASCDKCRFKSAGTFISGYLKSSQRYLQVTSFLSPEPLMRSQSVQQLTKAMASQHWDFSGSGCSSSSSSSSSSSGNNKESSPQHLNLNLNSWYSNSLFNNYVAKMQELSDSVTTDELPVTVEKTEEENKISGSEFDAMRDSTESIHSESDMEHCSFEAAPSNQYSPCARALEKEPCMYKASPEGDATHEEPDLGEDVLAAAKLEESVYAAARRPAQQKRRPM
+>sp|P16473|TSHR_HUMAN Thyrotropin receptor OS=Homo sapiens OX=9606 GN=TSHR PE=1 SV=2
+MRPADLLQLVLLLDLPRDLGGMGCSSPPCECHQEEDFRVTCKDIQRIPSLPPSTQTLKLIETHLRTIPSHAFSNLPNISRIYVSIDVTLQQLESHSFYNLSKVTHIEIRNTRNLTYIDPDALKELPLLKFLGIFNTGLKMFPDLTKVYSTDIFFILEITDNPYMTSIPVNAFQGLCNETLTLKLYNNGFTSVQGYAFNGTKLDAVYLNKNKYLTVIDKDAFGGVYSGPSLLDVSQTSVTALPSKGLEHLKELIARNTWTLKKLPLSLSFLHLTRADLSYPSHCCAFKNQKKIRGILESLMCNESSMQSLRQRKSVNALNSPLHQEYEENLGDSIVGYKEKSKFQDTHNNAHYYVFFEEQEDEIIGFGQELKNPQEETLQAFDSHYDYTICGDSEDMVCTPKSDEFNPCEDIMGYKFLRIVVWFVSLLALLGNVFVLLILLTSHYKLNVPRFLMCNLAFADFCMGMYLLLIASVDLYTHSEYYNHAIDWQTGPGCNTAGFFTVFASELSVYTLTVITLERWYAITFAMRLDRKIRLRHACAIMVGGWVCCFLLALLPLVGISSYAKVSICLPMDTETPLALAYIVFVLTLNIVAFVIVCCCYVKIYITVRNPQYNPGDKDTKIAKRMAVLIFTDFICMAPISFYALSAILNKPLITVSNSKILLVLFYPLNSCANPFLYAIFTKAFQRDVFILLSKFGICKRQAQAYRGQRVPPKNSTDIQVQKVTHDMRQGLHNMEDVYELIENSHLTPKKQGQISEEYMQTVL
+>DECOY_sp|P16473|TSHR_HUMAN Thyrotropin receptor OS=Homo sapiens OX=9606 GN=TSHR PE=1 SV=2
+LVTQMYEESIQGQKKPTLHSNEILEYVDEMNHLGQRMDHTVKQVQIDTSNKPPVRQGRYAQAQRKCIGFKSLLIFVDRQFAKTFIAYLFPNACSNLPYFLVLLIKSNSVTILPKNLIASLAYFSIPAMCIFDTFILVAMRKAIKTDKDGPNYQPNRVTIYIKVYCCCVIVFAVINLTLVFVIYALALPTETDMPLCISVKAYSSIGVLPLLALLFCCVWGGVMIACAHRLRIKRDLRMAFTIAYWRELTIVTLTYVSLESAFVTFFGATNCGPGTQWDIAHNYYESHTYLDVSAILLLYMGMCFDAFALNCMLFRPVNLKYHSTLLILLVFVNGLLALLSVFWVVIRLFKYGMIDECPNFEDSKPTCVMDESDGCITYDYHSDFAQLTEEQPNKLEQGFGIIEDEQEEFFVYYHANNHTDQFKSKEKYGVISDGLNEEYEQHLPSNLANVSKRQRLSQMSSENCMLSELIGRIKKQNKFACCHSPYSLDARTLHLFSLSLPLKKLTWTNRAILEKLHELGKSPLATVSTQSVDLLSPGSYVGGFADKDIVTLYKNKNLYVADLKTGNFAYGQVSTFGNNYLKLTLTENCLGQFANVPISTMYPNDTIELIFFIDTSYVKTLDPFMKLGTNFIGLFKLLPLEKLADPDIYTLNRTNRIEIHTVKSLNYFSHSELQQLTVDISVYIRSINPLNSFAHSPITRLHTEILKLTQTSPPLSPIRQIDKCTVRFDEEQHCECPPSSCGMGGLDRPLDLLLVLQLLDAPRM
+>sp|Q969D9|TSLP_HUMAN Thymic stromal lymphopoietin OS=Homo sapiens OX=9606 GN=TSLP PE=1 SV=1
+MFPFALLYVLSVSFRKIFILQLVGLVLTYDFTNCDFEKIKAAYLSTISKDLITYMSGTKSTEFNNTVSCSNRPHCLTEIQSLTFNPTAGCASLAKEMFAMKTKAALAIWCPGYSETQINATQAMKKRRKRKVTTNKCLEQVSQLQGLWRRFNRPLLKQQ
+>DECOY_sp|Q969D9|TSLP_HUMAN Thymic stromal lymphopoietin OS=Homo sapiens OX=9606 GN=TSLP PE=1 SV=1
+QQKLLPRNFRRWLGQLQSVQELCKNTTVKRKRRKKMAQTANIQTESYGPCWIALAAKTKMAFMEKALSACGATPNFTLSQIETLCHPRNSCSVTNNFETSKTGSMYTILDKSITSLYAAKIKEFDCNTFDYTLVLGVLQLIFIKRFSVSLVYLLAFPFM
+>sp|Q9H1Z9|TSN10_HUMAN Tetraspanin-10 OS=Homo sapiens OX=9606 GN=TSPAN10 PE=2 SV=1
+MEEGERSPLLSQETAGQKPLSVHRPPTSGCLGPVPREDQAEAWGCSCCPPETKHQALSGTPKKGPAPSLSPGSSCVKYLIFLSNFPFSLLGLLALAIGLWGLAVKGSLGSDLGGPLPTDPMLGLALGGLVVSAASLAGCLGALCENTCLLRGFSGGILAFLVLEAVAGALVVALWGPLQDSLEHTLRVAIAHYQDDPDLRFLLDQVQLGLRCCGAASYQDWQQNLYFNCSSPGVQACSLPASCCIDPREDGASVNDQCGFGVLRLDADAAQRVVYLEGCGPPLRRWLRANLAASGGYAIAVVLLQGAELLLAARLLGALAARSGAAYGPGAHGEDRAGPQSPSPGAPPAAKPARG
+>DECOY_sp|Q9H1Z9|TSN10_HUMAN Tetraspanin-10 OS=Homo sapiens OX=9606 GN=TSPAN10 PE=2 SV=1
+GRAPKAAPPAGPSPSQPGARDEGHAGPGYAAGSRAALAGLLRAALLLEAGQLLVVAIAYGGSAALNARLWRRLPPGCGELYVVRQAADADLRLVGFGCQDNVSAGDERPDICCSAPLSCAQVGPSSCNFYLNQQWDQYSAAGCCRLGLQVQDLLFRLDPDDQYHAIAVRLTHELSDQLPGWLAVVLAGAVAELVLFALIGGSFGRLLCTNECLAGLCGALSAASVVLGGLALGLMPDTPLPGGLDSGLSGKVALGWLGIALALLGLLSFPFNSLFILYKVCSSGPSLSPAPGKKPTGSLAQHKTEPPCCSCGWAEAQDERPVPGLCGSTPPRHVSLPKQGATEQSLLPSREGEEM
+>sp|A1L157|TSN11_HUMAN Tetraspanin-11 OS=Homo sapiens OX=9606 GN=TSPAN11 PE=2 SV=2
+MAHYKTEQDDWLIIYLKYLLFVFNFFFWVGGAAVLAVGIWTLVEKSGYLSVLASSTFAASAYILIFAGVLVMVTGFLGFGAILWERKGCLSTYFCLLLVIFLVELVAGVLAHVYYQRLSDELKQHLNRTLAENYGQPGATQITASVDRLQQDFKCCGSNSSADWQHSTYILLREAEGRQVPDSCCKTVVVRCGQRAHPSNIYKVEGGCLTKLEQFLADHLLLMGAVGIGVACLQICGMVLTCCLHQRLQRHFY
+>DECOY_sp|A1L157|TSN11_HUMAN Tetraspanin-11 OS=Homo sapiens OX=9606 GN=TSPAN11 PE=2 SV=2
+YFHRQLRQHLCCTLVMGCIQLCAVGIGVAGMLLLHDALFQELKTLCGGEVKYINSPHARQGCRVVVTKCCSDPVQRGEAERLLIYTSHQWDASSNSGCCKFDQQLRDVSATIQTAGPQGYNEALTRNLHQKLEDSLRQYYVHALVGAVLEVLFIVLLLCFYTSLCGKREWLIAGFGLFGTVMVLVGAFILIYASAAFTSSALVSLYGSKEVLTWIGVALVAAGGVWFFFNFVFLLYKLYIILWDDQETKYHAM
+>sp|O95857|TSN13_HUMAN Tetraspanin-13 OS=Homo sapiens OX=9606 GN=TSPAN13 PE=2 SV=1
+MVCGGFACSKNCLCALNLLYTLVSLLLIGIAAWGIGFGLISSLRVVGVVIAVGIFLFLIALVGLIGAVKHHQVLLFFYMIILLLVFIVQFSVSCACLALNQEQQGQLLEVGWNNTASARNDIQRNLNCCGFRSVNPNDTCLASCVKSDHSCSPCAPIIGEYAGEVLRFVGGIGLFFSFTEILGVWLTYRYRNQKDPRANPSAFL
+>DECOY_sp|O95857|TSN13_HUMAN Tetraspanin-13 OS=Homo sapiens OX=9606 GN=TSPAN13 PE=2 SV=1
+LFASPNARPDKQNRYRYTLWVGLIETFSFFLGIGGVFRLVEGAYEGIIPACPSCSHDSKVCSALCTDNPNVSRFGCCNLNRQIDNRASATNNWGVELLQGQQEQNLALCACSVSFQVIFVLLLIIMYFFLLVQHHKVAGILGVLAILFLFIGVAIVVGVVRLSSILGFGIGWAAIGILLLSVLTYLLNLACLCNKSCAFGGCVM
+>sp|O95858|TSN15_HUMAN Tetraspanin-15 OS=Homo sapiens OX=9606 GN=TSPAN15 PE=1 SV=1
+MPRGDSEQVRYCARFSYLWLKFSLIIYSTVFWLIGALVLSVGIYAEVERQKYKTLESAFLAPAIILILLGVVMFMVSFIGVLASLRDNLYLLQAFMYILGICLIMELIGGVVALTFRNQTIDFLNDNIRRGIENYYDDLDFKNIMDFVQKKFKCCGGEDYRDWSKNQYHDCSAPGPLACGVPYTCCIRNTTEVVNTMCGYKTIDKERFSVQDVIYVRGCTNAVIIWFMDNYTIMAGILLGILLPQFLGVLLTLLYITRVEDIIMEHSVTDGLLGPGAKPSVEAAGTGCCLCYPN
+>DECOY_sp|O95858|TSN15_HUMAN Tetraspanin-15 OS=Homo sapiens OX=9606 GN=TSPAN15 PE=1 SV=1
+NPYCLCCGTGAAEVSPKAGPGLLGDTVSHEMIIDEVRTIYLLTLLVGLFQPLLIGLLIGAMITYNDMFWIIVANTCGRVYIVDQVSFREKDITKYGCMTNVVETTNRICCTYPVGCALPGPASCDHYQNKSWDRYDEGGCCKFKKQVFDMINKFDLDDYYNEIGRRINDNLFDITQNRFTLAVVGGILEMILCIGLIYMFAQLLYLNDRLSALVGIFSVMFMVVGLLILIIAPALFASELTKYKQREVEAYIGVSLVLAGILWFVTSYIILSFKLWLYSFRACYRVQESDGRPM
+>sp|Q96FV3|TSN17_HUMAN Tetraspanin-17 OS=Homo sapiens OX=9606 GN=TSPAN17 PE=2 SV=2
+MPGKHQHFQEPEVGCCGKYFLFGFNIVFWVLGALFLAIGLWAWGEKGVLSNISALTDLGGLDPVWLFVVVGGVMSVLGFAGCIGALRENTFLLKFFSVFLGLIFFLELATGILAFVFKDWIRDQLNLFINNNVKAYRDDIDLQNLIDFAQEYWSCCGARGPNDWNLNIYFNCTDLNPSRERCGVPFSCCVRDPAEDVLNTQCGYDVRLKLELEQQGFIHTKGCVGQFEKWLQDNLIVVAGVFMGIALLQIFGICLAQNLVSDIKAVKANW
+>DECOY_sp|Q96FV3|TSN17_HUMAN Tetraspanin-17 OS=Homo sapiens OX=9606 GN=TSPAN17 PE=2 SV=2
+WNAKVAKIDSVLNQALCIGFIQLLAIGMFVGAVVILNDQLWKEFQGVCGKTHIFGQQELELKLRVDYGCQTNLVDEAPDRVCCSFPVGCRERSPNLDTCNFYINLNWDNPGRAGCCSWYEQAFDILNQLDIDDRYAKVNNNIFLNLQDRIWDKFVFALIGTALELFFILGLFVSFFKLLFTNERLAGICGAFGLVSMVGGVVVFLWVPDLGGLDTLASINSLVGKEGWAWLGIALFLAGLVWFVINFGFLFYKGCCGVEPEQFHQHKGPM
+>sp|O60635|TSN1_HUMAN Tetraspanin-1 OS=Homo sapiens OX=9606 GN=TSPAN1 PE=1 SV=2
+MQCFSFIKTMMILFNLLIFLCGAALLAVGIWVSIDGASFLKIFGPLSSSAMQFVNVGYFLIAAGVVVFALGFLGCYGAKTESKCALVTFFFILLLIFIAEVAAAVVALVYTTMAEHFLTLLVVPAIKKDYGSQEDFTQVWNTTMKGLKCCGFTNYTDFEDSPYFKENSAFPPFCCNDNVTNTANETCTKQKAHDQKVEGCFNQLLYDIRTNAVTVGGVAAGIGGLELAAMIVSMYLYCNLQ
+>DECOY_sp|O60635|TSN1_HUMAN Tetraspanin-1 OS=Homo sapiens OX=9606 GN=TSPAN1 PE=1 SV=2
+QLNCYLYMSVIMAALELGGIGAAVGGVTVANTRIDYLLQNFCGEVKQDHAKQKTCTENATNTVNDNCCFPPFASNEKFYPSDEFDTYNTFGCCKLGKMTTNWVQTFDEQSGYDKKIAPVVLLTLFHEAMTTYVLAVVAAAVEAIFILLLIFFFTVLACKSETKAGYCGLFGLAFVVVGAAILFYGVNVFQMASSSLPGFIKLFSAGDISVWIGVALLAAGCLFILLNFLIMMTKIFSFCQM
+>sp|O43657|TSN6_HUMAN Tetraspanin-6 OS=Homo sapiens OX=9606 GN=TSPAN6 PE=1 SV=1
+MASPSRRLQTKPVITCFKSVLLIYTFIFWITGVILLAVGIWGKVSLENYFSLLNEKATNVPFVLIATGTVIILLGTFGCFATCRASAWMLKLYAMFLTLVFLVELVAAIVGFVFRHEIKNSFKNNYEKALKQYNSTGDYRSHAVDKIQNTLHCCGVTDYRDWTDTNYYSEKGFPKSCCKLEDCTPQRDADKVNNEGCFIKVMTIIESEMGVVAGISFGVACFQLIGIFLAYCLSRAITNNQYEIV
+>DECOY_sp|O43657|TSN6_HUMAN Tetraspanin-6 OS=Homo sapiens OX=9606 GN=TSPAN6 PE=1 SV=1
+VIEYQNNTIARSLCYALFIGILQFCAVGFSIGAVVGMESEIITMVKIFCGENNVKDADRQPTCDELKCCSKPFGKESYYNTDTWDRYDTVGCCHLTNQIKDVAHSRYDGTSNYQKLAKEYNNKFSNKIEHRFVFGVIAAVLEVLFVLTLFMAYLKLMWASARCTAFCGFTGLLIIVTGTAILVFPVNTAKENLLSFYNELSVKGWIGVALLIVGTIWFIFTYILLVSKFCTIVPKTQLRRSPSAM
+>sp|Q15631|TSN_HUMAN Translin OS=Homo sapiens OX=9606 GN=TSN PE=1 SV=1
+MSVSEIFVELQGFLAAEQDIREEIRKVVQSLEQTAREILTLLQGVHQGAGFQDIPKRCLKAREHFGTVKTHLTSLKTKFPAEQYYRFHEHWRFVLQRLVFLAAFVVYLETETLVTREAVTEILGIEPDREKGFHLDVEDYLSGVLILASELSRLSVNSVTAGDYSRPLHISTFINELDSGFRLLNLKNDSLRKRYDGLKYDVKKVEEVVYDLSIRGFNKETAAACVEK
+>DECOY_sp|Q15631|TSN_HUMAN Translin OS=Homo sapiens OX=9606 GN=TSN PE=1 SV=1
+KEVCAAATEKNFGRISLDYVVEEVKKVDYKLGDYRKRLSDNKLNLLRFGSDLENIFTSIHLPRSYDGATVSNVSLRSLESALILVGSLYDEVDLHFGKERDPEIGLIETVAERTVLTETELYVVFAALFVLRQLVFRWHEHFRYYQEAPFKTKLSTLHTKVTGFHERAKLCRKPIDQFGAGQHVGQLLTLIERATQELSQVVKRIEERIDQEAALFGQLEVFIESVSM
+>sp|P30536|TSPO_HUMAN Translocator protein OS=Homo sapiens OX=9606 GN=TSPO PE=1 SV=3
+MAPPWVPAMGFTLAPSLGCFVGSRFVHGEGLRWYAGLQKPSWHPPHWVLGPVWGTLYSAMGYGSYLVWKELGGFTEKAVVPLGLYTGQLALNWAWPPIFFGARQMGWALVDLLLVSGAAAATTVAWYQVSPLAARLLYPYLAWLAFTTTLNYCVWRDNHGWRGGRRLPE
+>DECOY_sp|P30536|TSPO_HUMAN Translocator protein OS=Homo sapiens OX=9606 GN=TSPO PE=1 SV=3
+EPLRRGGRWGHNDRWVCYNLTTTFALWALYPYLLRAALPSVQYWAVTTAAAAGSVLLLDVLAWGMQRAGFFIPPWAWNLALQGTYLGLPVVAKETFGGLEKWVLYSGYGMASYLTGWVPGLVWHPPHWSPKQLGAYWRLGEGHVFRSGVFCGLSPALTFGMAPVWPPAM
+>sp|P0CV99|TSPY4_HUMAN Testis-specific Y-encoded protein 4 OS=Homo sapiens OX=9606 GN=TSPY4 PE=3 SV=1
+MRPEGSLTYRVPERLRQGFCGVGRAAQALVCASAKEGTAFRMEAVQEGAAGVESEQAALGEEAVLLLDDIMAEVEVVAEVEVVAEEEGLVERREEAQRAQQAVPGPGPMTPESALEELLAVQVELEPVNAQARKAFSRQREKMERRRKPHLDRRGAVIQSVPGFWANVIANHPQMSALITDEDEDMLSYMVSLEVEEEKHPVHLCKIMLFFRSNPYFQNKVITKEYLVNITEYRASHSTPIEWYPDYEVEAYRRRHHNSSLNFFNWFSDHNFAGSNKIAEILCKDLWRNPLQYYKRMKPPEEGTETSGDSQLLS
+>DECOY_sp|P0CV99|TSPY4_HUMAN Testis-specific Y-encoded protein 4 OS=Homo sapiens OX=9606 GN=TSPY4 PE=3 SV=1
+SLLQSDGSTETGEEPPKMRKYYQLPNRWLDKCLIEAIKNSGAFNHDSFWNFFNLSSNHHRRRYAEVEYDPYWEIPTSHSARYETINVLYEKTIVKNQFYPNSRFFLMIKCLHVPHKEEEVELSVMYSLMDEDEDTILASMQPHNAIVNAWFGPVSQIVAGRRDLHPKRRREMKERQRSFAKRAQANVPELEVQVALLEELASEPTMPGPGPVAQQARQAEERREVLGEEEAVVEVEAVVEVEAMIDDLLLVAEEGLAAQESEVGAAGEQVAEMRFATGEKASACVLAQAARGVGCFGQRLREPVRYTLSGEPRM
+>sp|Q8NFU3|TSTD1_HUMAN Thiosulfate:glutathione sulfurtransferase OS=Homo sapiens OX=9606 GN=TSTD1 PE=1 SV=3
+MAGAPTVSLPELRSLLASGRARLFDVRSREEAAAGTIPGALNIPVSELESALQMEPAAFQALYSAEKPKLEDEHLVFFCQMGKRGLQATQLARSLGYTGARNYAGAYREWLEKES
+>DECOY_sp|Q8NFU3|TSTD1_HUMAN Thiosulfate:glutathione sulfurtransferase OS=Homo sapiens OX=9606 GN=TSTD1 PE=1 SV=3
+SEKELWERYAGAYNRAGTYGLSRALQTAQLGRKGMQCFFVLHEDELKPKEASYLAQFAAPEMQLASELESVPINLAGPITGAAAEERSRVDFLRARGSALLSRLEPLSVTPAGAM
+>sp|Q9H489|TSY26_HUMAN Putative testis-specific Y-encoded-like protein 3 OS=Homo sapiens OX=9606 GN=TSPY26P PE=5 SV=1
+MADKRAGTPEAAARPPPGLAREGDARTVPAARAREAGGRGSLHPAAGPGTAFPSPGRGEAASTATTPSLENGRVRDEAPETCGAEGLGTRAGASEKAEDANKEEGAIFKKEPAEEVEKQQEGEEKQEVAAEAQEGPRLLNLGALIVDPLEAIQWEAEAVSAQADRAYLPLERRFGRMHRLYLARRSFIIQNIPGFWVTAFLNHPQLSAMISPRDEDMLCYLMNLEVRELRHSRTGCKFKFRFWSNPYFQNKVIVKEYECRASGRVVSIATRIRWHWGQEPPALVHRNRDTVRSFFSWFSQHSLPEADRVAQIIKDDLWPNPLQYYLLGDRPCRARGGLARWPTETPSRPYGFQSG
+>DECOY_sp|Q9H489|TSY26_HUMAN Putative testis-specific Y-encoded-like protein 3 OS=Homo sapiens OX=9606 GN=TSPY26P PE=5 SV=1
+GSQFGYPRSPTETPWRALGGRARCPRDGLLYYQLPNPWLDDKIIQAVRDAEPLSHQSFWSFFSRVTDRNRHVLAPPEQGWHWRIRTAISVVRGSARCEYEKVIVKNQFYPNSWFRFKFKCGTRSHRLERVELNMLYCLMDEDRPSIMASLQPHNLFATVWFGPINQIIFSRRALYLRHMRGFRRELPLYARDAQASVAEAEWQIAELPDVILAGLNLLRPGEQAEAAVEQKEEGEQQKEVEEAPEKKFIAGEEKNADEAKESAGARTGLGEAGCTEPAEDRVRGNELSPTTATSAAEGRGPSPFATGPGAAPHLSGRGGAERARAAPVTRADGERALGPPPRAAAEPTGARKDAM
+>sp|Q9UJ04|TSYL4_HUMAN Testis-specific Y-encoded-like protein 4 OS=Homo sapiens OX=9606 GN=TSPYL4 PE=1 SV=2
+MSGLDGGNKLPLAQTGGLAAPDHASGDPDRDQCQGLREETEATQVMANTGGGSLETVAEGGASQDPVDCGPALRVPVAGSRGGAATKAGQEDAPPSTKGLEAASAAEAADSSQKNGCQLGEPRGPAGQKALEACGAGGLGSQMIPGKKAKEVTTKKRAISAAVEKEGEAGAAMEEKKVVQKEKKVAGGVKEETRPRAPKINNCMDSLEAIDQELSNVNAQADRAFLQLERKFGRMRRLHMQRRSFIIQNIPGFWVTAFRNHPQLSPMISGQDEDMLRYMINLEVEELKHPRAGCKFKFIFQGNPYFRNEGLVKEYERRSSGRVVSLSTPIRWHRGQDPQAHIHRNREGNTIPSFFNWFSDHSLLEFDRIAEIIKGELWPNPLQYYLMGEGPRRGIRGPPRQPVESARSFRFQSG
+>DECOY_sp|Q9UJ04|TSYL4_HUMAN Testis-specific Y-encoded-like protein 4 OS=Homo sapiens OX=9606 GN=TSPYL4 PE=1 SV=2
+GSQFRFSRASEVPQRPPGRIGRRPGEGMLYYQLPNPWLEGKIIEAIRDFELLSHDSFWNFFSPITNGERNRHIHAQPDQGRHWRIPTSLSVVRGSSRREYEKVLGENRFYPNGQFIFKFKCGARPHKLEEVELNIMYRLMDEDQGSIMPSLQPHNRFATVWFGPINQIIFSRRQMHLRRMRGFKRELQLFARDAQANVNSLEQDIAELSDMCNNIKPARPRTEEKVGGAVKKEKQVVKKEEMAAGAEGEKEVAASIARKKTTVEKAKKGPIMQSGLGGAGCAELAKQGAPGRPEGLQCGNKQSSDAAEAASAAELGKTSPPADEQGAKTAAGGRSGAVPVRLAPGCDVPDQSAGGEAVTELSGGGTNAMVQTAETEERLGQCQDRDPDGSAHDPAALGGTQALPLKNGGDLGSM
+>sp|Q8N831|TSYL6_HUMAN Testis-specific Y-encoded-like protein 6 OS=Homo sapiens OX=9606 GN=TSPYL6 PE=1 SV=1
+MSLPESPHSPATLDYALEDPHQGQRSREKSKATEVMADMFDGRLEPIVFPPPRLPEEGVAPQDPADGGHTFHILVDAGRSHGAIKAGQEVTPPPAEGLEAASASLTTDGSLKNGFPGEETHGLGGEKALETCGAGRSESEVIAEGKAEDVKPEECAMFSAPVDEKPGGEEMDVAEENRAIDEVNREAGPGPGPGPLNVGLHLNPLESIQLELDSVNAEADRALLQVERRFGQIHEYYLEQRNDIIRNIPGFWVTAFRHHPQLSAMIRGQDAEMLSYLTNLEVKELRHPRTGCKFKFFFQRNPYFRNKLIVKVYEVRSFGQVVSFSTLIMWRRGHGPQSFIHRNRHVICSFFTWFSDHSLPESDRIAQIIKEDLWSNPLQYYLLGEDAHRARRRLVREPVEIPRPFGFQCG
+>DECOY_sp|Q8N831|TSYL6_HUMAN Testis-specific Y-encoded-like protein 6 OS=Homo sapiens OX=9606 GN=TSPYL6 PE=1 SV=1
+GCQFGFPRPIEVPERVLRRRARHADEGLLYYQLPNSWLDEKIIQAIRDSEPLSHDSFWTFFSCIVHRNRHIFSQPGHGRRWMILTSFSVVQGFSRVEYVKVILKNRFYPNRQFFFKFKCGTRPHRLEKVELNTLYSLMEADQGRIMASLQPHHRFATVWFGPINRIIDNRQELYYEHIQGFRREVQLLARDAEANVSDLELQISELPNLHLGVNLPGPGPGPGAERNVEDIARNEEAVDMEEGGPKEDVPASFMACEEPKVDEAKGEAIVESESRGAGCTELAKEGGLGHTEEGPFGNKLSGDTTLSASAAELGEAPPPTVEQGAKIAGHSRGADVLIHFTHGGDAPDQPAVGEEPLRPPPFVIPELRGDFMDAMVETAKSKERSRQGQHPDELAYDLTAPSHPSEPLSM
+>sp|Q6PF05|TT23L_HUMAN Tetratricopeptide repeat protein 23-like OS=Homo sapiens OX=9606 GN=TTC23L PE=1 SV=2
+MQASPIRIPTVSNDIDWDFCFHMSQQTEIPAHQQTDELYPTGGCGESEEETKAKEKEKAIDCMSHPKEKLAQSQKKVAQLIKEKMNTQANKELIRCVILSRIIFGDHHWKCARALANLAYGYLTLRGLPVQAKKHATSAKNTLLTWKANTTSNKEKEEILEALVKLYYTLGVAWLLQNRGREAYFNLQKAERNMKELKELYKGGVCELQVSENDLTLALGRASLAIHRLNLALAYFEKAIGDVIAAKGDRTSDLISLYEEAAQIEQLRRNHNQAIQYLQQAHSVCVSLFTEVSPKTAEMSALLAKAYAMSGEAQHRDAVEIYFIRSINAYRATLGSEDFETLSTTEEFCKWLVQNGEKQDK
+>DECOY_sp|Q6PF05|TT23L_HUMAN Tetratricopeptide repeat protein 23-like OS=Homo sapiens OX=9606 GN=TTC23L PE=1 SV=2
+KDQKEGNQVLWKCFEETTSLTEFDESGLTARYANISRIFYIEVADRHQAEGSMAYAKALLASMEATKPSVETFLSVCVSHAQQLYQIAQNHNRRLQEIQAAEEYLSILDSTRDGKAAIVDGIAKEFYALALNLRHIALSARGLALTLDNESVQLECVGGKYLEKLEKMNREAKQLNFYAERGRNQLLWAVGLTYYLKVLAELIEEKEKNSTTNAKWTLLTNKASTAHKKAQVPLGRLTLYGYALNALARACKWHHDGFIIRSLIVCRILEKNAQTNMKEKILQAVKKQSQALKEKPHSMCDIAKEKEKAKTEEESEGCGGTPYLEDTQQHAPIETQQSMHFCFDWDIDNSVTPIRIPSAQM
+>sp|Q8N584|TT39C_HUMAN Tetratricopeptide repeat protein 39C OS=Homo sapiens OX=9606 GN=TTC39C PE=2 SV=2
+MAGSEQQRPRRRDDGDSDAAAAAAAPLQDAELALAGINMLLNNGFRESDQLFKQYRNHSPLMSFGASFVSFLNAMMTFEEEKMQLACDDLKTTEKLCESEEAGVIETIKNKIKKNVDVRKSAPSMVDRLQRQIIIADCQVYLAVLSFVKQELSAYIKGGWILRKAWKIYNKCYLDINALQELYQKKLTEESLTSDAANDNHIVAEGVSEESLNRLKGAVSFGYGLFHLCISMVPPNLLKIINLLGFPGDRLQGLSSLMYASESKDMKAPLATLALLWYHTVVRPFFALDGSDNKAGLDEAKEILLKKEAAYPNSSLFMFFKGRIQRLECQINSALTSFHTALELAVDQREIQHVCLYEIGWCSMIELNFKDAFDSFERLKNESRWSQCYYAYLTAVCQGATGDVDGAQIVFKEVQKLFKRKNNQIEQFSVKKAERFRKQTPTKALCVLASIEVLYLWKALPNCSFPNLQRMSQACHEVDDSSVVGLKYLLLGAIHKCLGNSEDAVQYFQRAVKDELCRQNNLYVQPYACYELGCLLLDKPETVGRGRALLLQAKEDFSGYDFENRLHVRIHAALASLRELVPQ
+>DECOY_sp|Q8N584|TT39C_HUMAN Tetratricopeptide repeat protein 39C OS=Homo sapiens OX=9606 GN=TTC39C PE=2 SV=2
+QPVLERLSALAAHIRVHLRNEFDYGSFDEKAQLLLARGRGVTEPKDLLLCGLEYCAYPQVYLNNQRCLEDKVARQFYQVADESNGLCKHIAGLLLYKLGVVSSDDVEHCAQSMRQLNPFSCNPLAKWLYLVEISALVCLAKTPTQKRFREAKKVSFQEIQNNKRKFLKQVEKFVIQAGDVDGTAGQCVATLYAYYCQSWRSENKLREFSDFADKFNLEIMSCWGIEYLCVHQIERQDVALELATHFSTLASNIQCELRQIRGKFFMFLSSNPYAAEKKLLIEKAEDLGAKNDSGDLAFFPRVVTHYWLLALTALPAKMDKSESAYMLSSLGQLRDGPFGLLNIIKLLNPPVMSICLHFLGYGFSVAGKLRNLSEESVGEAVIHNDNAADSTLSEETLKKQYLEQLANIDLYCKNYIKWAKRLIWGGKIYASLEQKVFSLVALYVQCDAIIIQRQLRDVMSPASKRVDVNKKIKNKITEIVGAEESECLKETTKLDDCALQMKEEEFTMMANLFSVFSAGFSMLPSHNRYQKFLQDSERFGNNLLMNIGALALEADQLPAAAAAAADSDGDDRRRPRQQESGAM
+>sp|Q9H892|TTC12_HUMAN Tetratricopeptide repeat protein 12 OS=Homo sapiens OX=9606 GN=TTC12 PE=1 SV=2
+MDADKEKDLQKFLKNVDEISNLIQEMNSDDPVVQQKAVLETEKRLLLMEEDQEEDECRTTLNKTMISPPQTAMKSAEEINSEAFLASVEKDAKERAKRRRENKVLADALKEKGNEAFAEGNYETAILRYSEGLEKLKDMKVLYTNRAQAYMKLEDYEKALVDCEWALKCDEKCTKAYFHMGKANLALKNYSVSRECYKKILEINPKLQTQVKGYLNQVDLQEKADLQEKEAHELLDSGKNTAVTTKNLLETLSKPDQIPLFYAGGIEILTEMINECTEQTLFRMHNGFSIISDNEVIRRCFSTAGNDAVEEMVCVSVLKLWQAVCSRNEENQRVLVIHHDRARLLAALLSSKVLAIRQQSFALLLHLAQTESGRSLIINHLDLTRLLEALVSFLDFSDKEANTAMGLFTDLALEERFQVWFQANLPGVLPALTGVLKTDPKVSSSSALCQCIAIMGNLSAEPTTRRHMAACEEFGDGCLSLLARCEEDVDLFREVIYTLLGLMMNLCLQAPFVSEVWAVEVSRRCLSLLNSQDGGILTRAAGVLSRTLSSSLKIVEEALRAGVVKKMMKFLKTGGETASRYAIKILAICTNSYHEAREEVIRLDKKLSVMMKLLSSEDEVLVGNAALCLGNCMEVPNVASSLLKTDLLQVLLKLAGSDTQKTAVQVNAGIALGKLCTAEPRFAAQLRKLHGLEILNSTMKYISDS
+>DECOY_sp|Q9H892|TTC12_HUMAN Tetratricopeptide repeat protein 12 OS=Homo sapiens OX=9606 GN=TTC12 PE=1 SV=2
+SDSIYKMTSNLIELGHLKRLQAAFRPEATCLKGLAIGANVQVATKQTDSGALKLLVQLLDTKLLSSAVNPVEMCNGLCLAANGVLVEDESSLLKMMVSLKKDLRIVEERAEHYSNTCIALIKIAYRSATEGGTKLFKMMKKVVGARLAEEVIKLSSSLTRSLVGAARTLIGGDQSNLLSLCRRSVEVAWVESVFPAQLCLNMMLGLLTYIVERFLDVDEECRALLSLCGDGFEECAAMHRRTTPEASLNGMIAICQCLASSSSVKPDTKLVGTLAPLVGPLNAQFWVQFREELALDTFLGMATNAEKDSFDLFSVLAELLRTLDLHNIILSRGSETQALHLLLAFSQQRIALVKSSLLAALLRARDHHIVLVRQNEENRSCVAQWLKLVSVCVMEEVADNGATSFCRRIVENDSIISFGNHMRFLTQETCENIMETLIEIGGAYFLPIQDPKSLTELLNKTTVATNKGSDLLEHAEKEQLDAKEQLDVQNLYGKVQTQLKPNIELIKKYCERSVSYNKLALNAKGMHFYAKTCKEDCKLAWECDVLAKEYDELKMYAQARNTYLVKMDKLKELGESYRLIATEYNGEAFAENGKEKLADALVKNERRRKAREKADKEVSALFAESNIEEASKMATQPPSIMTKNLTTRCEDEEQDEEMLLLRKETELVAKQQVVPDDSNMEQILNSIEDVNKLFKQLDKEKDADM
+>sp|Q5I0X7|TTC32_HUMAN Tetratricopeptide repeat protein 32 OS=Homo sapiens OX=9606 GN=TTC32 PE=1 SV=1
+MEGQRQESHATLTLAQAHFNNGEYAEAEALYSAYIRRCACAASSDESPGSKCSPEDLATAYNNRGQIKYFRVDFYEAMDDYTSAIEVQPNFEVPYYNRGLILYRLGYFDDALEDFKKVLDLNPGFQDATLSLKQTILDKEEKQRRNVAKNY
+>DECOY_sp|Q5I0X7|TTC32_HUMAN Tetratricopeptide repeat protein 32 OS=Homo sapiens OX=9606 GN=TTC32 PE=1 SV=1
+YNKAVNRRQKEEKDLITQKLSLTADQFGPNLDLVKKFDELADDFYGLRYLILGRNYYPVEFNPQVEIASTYDDMAEYFDVRFYKIQGRNNYATALDEPSCKSGPSEDSSAACACRRIYASYLAEAEAYEGNNFHAQALTLTAHSEQRQGEM
+>sp|Q8N0Z6|TTC5_HUMAN Tetratricopeptide repeat protein 5 OS=Homo sapiens OX=9606 GN=TTC5 PE=1 SV=2
+MMADEEEEVKPILQKLQELVDQLYSFRDCYFETHSVEDAGRKQQDVQKEMEKTLQQMEEVVGSVQGKAQVLMLTGKALNVTPDYSPKAEELLSKAVKLEPELVEAWNQLGEVYWKKGDVAAAHTCFSGALTHCRNKVSLQNLSMVLRQLRTDTEDEHSHHVMDSVRQAKLAVQMDVHDGRSWYILGNSYLSLYFSTGQNPKISQQALSAYAQAEKVDRKASSNPDLHLNRATLHKYEESYGEALEGFSRAAALDPAWPEPRQREQQLLEFLDRLTSLLESKGKVKTKKLQSMLGSLRPAHLGPCSDGHYQSASGQKVTLELKPLSTLQPGVNSGAVILGKVVFSLTTEEKVPFTFGLVDSDGPCYAVMVYNIVQSWGVLIGDSVAIPEPNLRLHRIQHKGKDYSFSSVRVETPLLLVVNGKPQGSSSQAVATVASRPQCE
+>DECOY_sp|Q8N0Z6|TTC5_HUMAN Tetratricopeptide repeat protein 5 OS=Homo sapiens OX=9606 GN=TTC5 PE=1 SV=2
+ECQPRSAVTAVAQSSSGQPKGNVVLLLPTEVRVSSFSYDKGKHQIRHLRLNPEPIAVSDGILVGWSQVINYVMVAYCPGDSDVLGFTFPVKEETTLSFVVKGLIVAGSNVGPQLTSLPKLELTVKQGSASQYHGDSCPGLHAPRLSGLMSQLKKTKVKGKSELLSTLRDLFELLQQERQRPEPWAPDLAAARSFGELAEGYSEEYKHLTARNLHLDPNSSAKRDVKEAQAYASLAQQSIKPNQGTSFYLSLYSNGLIYWSRGDHVDMQVALKAQRVSDMVHHSHEDETDTRLQRLVMSLNQLSVKNRCHTLAGSFCTHAAAVDGKKWYVEGLQNWAEVLEPELKVAKSLLEEAKPSYDPTVNLAKGTLMLVQAKGQVSGVVEEMQQLTKEMEKQVDQQKRGADEVSHTEFYCDRFSYLQDVLEQLKQLIPKVEEEEDAMM
+>sp|Q8TAM2|TTC8_HUMAN Tetratricopeptide repeat protein 8 OS=Homo sapiens OX=9606 GN=TTC8 PE=1 SV=2
+MSSEMEPLLLAWSYFRRRKFQLCADLCTQMLEKSPYDQEPDPELPVHQAAWILKARALTEMVYIDEIDVDQEGIAEMMLDENAIAQVPRPGTSLKLPGTNQTGGPSQAVRPITQAGRPITGFLRPSTQSGRPGTMEQAIRTPRTAYTARPITSSSGRFVRLGTASMLTSPDGPFINLSRLNLTKYSQKPKLAKALFEYIFHHENDVKTIHLEDVVLHLGIYPFLLRNKNHIEKNALDLAALSTEHSQYKDWWWKVQIGKCYYRLGMYREAEKQFKSALKQQEMVDTFLYLAKVYVSLDQPVTALNLFKQGLDKFPGEVTLLCGIARIYEEMNNMSSAAEYYKEVLKQDNTHVEAIACIGSNHFYSDQPEIALRFYRRLLQMGIYNGQLFNNLGLCCFYAQQYDMTLTSFERALSLAENEEEAADVWYNLGHVAVGIGDTNLAHQCFRLALVNNNNHAEAYNNLAVLEMRKGHVEQARALLQTASSLAPHMYEPHFNFATISDKIGDLQRSYVAAQKSEAAFPDHVDTQHLIKQLRQHFAML
+>DECOY_sp|Q8TAM2|TTC8_HUMAN Tetratricopeptide repeat protein 8 OS=Homo sapiens OX=9606 GN=TTC8 PE=1 SV=2
+LMAFHQRLQKILHQTDVHDPFAAESKQAAVYSRQLDGIKDSITAFNFHPEYMHPALSSATQLLARAQEVHGKRMELVALNNYAEAHNNNNVLALRFCQHALNTDGIGVAVHGLNYWVDAAEEENEALSLAREFSTLTMDYQQAYFCCLGLNNFLQGNYIGMQLLRRYFRLAIEPQDSYFHNSGICAIAEVHTNDQKLVEKYYEAASSMNNMEEYIRAIGCLLTVEGPFKDLGQKFLNLATVPQDLSVYVKALYLFTDVMEQQKLASKFQKEAERYMGLRYYCKGIQVKWWWDKYQSHETSLAALDLANKEIHNKNRLLFPYIGLHLVVDELHITKVDNEHHFIYEFLAKALKPKQSYKTLNLRSLNIFPGDPSTLMSATGLRVFRGSSSTIPRATYATRPTRIAQEMTGPRGSQTSPRLFGTIPRGAQTIPRVAQSPGGTQNTGPLKLSTGPRPVQAIANEDLMMEAIGEQDVDIEDIYVMETLARAKLIWAAQHVPLEPDPEQDYPSKELMQTCLDACLQFKRRRFYSWALLLPEMESSM
+>sp|P02766|TTHY_HUMAN Transthyretin OS=Homo sapiens OX=9606 GN=TTR PE=1 SV=1
+MASHRLLLLCLAGLVFVSEAGPTGTGESKCPLMVKVLDAVRGSPAINVAVHVFRKAADDTWEPFASGKTSESGELHGLTTEEEFVEGIYKVEIDTKSYWKALGISPFHEHAEVVFTANDSGPRRYTIAALLSPYSYSTTAVVTNPKE
+>DECOY_sp|P02766|TTHY_HUMAN Transthyretin OS=Homo sapiens OX=9606 GN=TTR PE=1 SV=1
+EKPNTVVATTSYSYPSLLAAITYRRPGSDNATFVVEAHEHFPSIGLAKWYSKTDIEVKYIGEVFEEETTLGHLEGSESTKGSAFPEWTDDAAKRFVHVAVNIAPSGRVADLVKVMLPCKSEGTGTPGAESVFVLGALCLLLLRHSAM
+>sp|Q6EMB2|TTLL5_HUMAN Tubulin polyglutamylase TTLL5 OS=Homo sapiens OX=9606 GN=TTLL5 PE=1 SV=3
+MPIVMARDLEETASSSEDEEVISQEDHPCIMWTGGCRRIPVLVFHADAILTKDNNIRVIGERYHLSYKIVRTDSRLVRSILTAHGFHEVHPSSTDYNLMWTGSHLKPFLLRTLSEAQKVNHFPRSYELTRKDRLYKNIIRMQHTHGFKAFHILPQTFLLPAEYAEFCNSYSKDRGPWIVKPVASSRGRGVYLINNPNQISLEENILVSRYINNPLLIDDFKFDVRLYVLVTSYDPLVIYLYEEGLARFATVRYDQGAKNIRNQFMHLTNYSVNKKSGDYVSCDDPEVEDYGNKWSMSAMLRYLKQEGRDTTALMAHVEDLIIKTIISAELAIATACKTFVPHRSSCFELYGFDVLIDSTLKPWLLEVNLSPSLACDAPLDLKIKASMISDMFTVVGFVCQDPAQRASTRPIYPTFESSRRNPFQKPQRCRPLSASDAEMKNLVGSAREKGPGKLGGSVLGLSMEEIKVLRRVKEENDRRGGFIRIFPTSETWEIYGSYLEHKTSMNYMLATRLFQDRMTADGAPELKIESLNSKAKLHAALYERKLLSLEVRKRRRRSSRLRAMRPKYPVITQPAEMNVKTETESEEEEEVALDNEDEEQEASQEESAGFLRENQAKYTPSLTALVENTPKENSMKVREWNNKGGHCCKLETQELEPKFNLMQILQDNGNLSKMQARIAFSAYLQHVQIRLMKDSGGQTFSASWAAKEDEQMELVVRFLKRASNNLQHSLRMVLPSRRLALLERRRILAHQLGDFIIVYNKETEQMAEKKSKKKVEEEEEDGVNMENFQEFIRQASEAELEEVLTFYTQKNKSASVFLGTHSKISKNNNNYSDSGAKGDHPETIMEEVKIKPPKQQQTTEIHSDKLSRFTTSAEKEAKLVYSNSSSGPTATLQKIPNTHLSSVTTSDLSPGPCHHSSLSQIPSAIPSMPHQPTILLNTVSASASPCLHPGAQNIPSPTGLPRCRSGSHTIGPFSSFQSAAHIYSQKLSRPSSAKAGSCYLNKHHSGIAKTQKEGEDASLYSKRYNQSMVTAELQRLAEKQAARQYSPSSHINLLTQQVTNLNLATGIINRSSASAPPTLRPIISPSGPTWSTQSDPQAPENHSSSPGSRSLQTGGFAWEGEVENNVYSQATGVVPQHKYHPTAGSYQLQFALQQLEQQKLQSRQLLDQSRARHQAIFGSQTLPNSNLWTMNNGAGCRISSATASGQKPTTLPQKVVPPPSSCASLVPKPPPNHEQVLRRATSQKASKGSSAEGQLNGLQSSLNPAAFVPITSSTDPAHTKI
+>DECOY_sp|Q6EMB2|TTLL5_HUMAN Tubulin polyglutamylase TTLL5 OS=Homo sapiens OX=9606 GN=TTLL5 PE=1 SV=3
+IKTHAPDTSSTIPVFAAPNLSSQLGNLQGEASSGKSAKQSTARRLVQEHNPPPKPVLSACSSPPPVVKQPLTTPKQGSATASSIRCGAGNNMTWLNSNPLTQSGFIAQHRARSQDLLQRSQLKQQELQQLAFQLQYSGATPHYKHQPVVGTAQSYVNNEVEGEWAFGGTQLSRSGPSSSHNEPAQPDSQTSWTPGSPSIIPRLTPPASASSRNIIGTALNLNTVQQTLLNIHSSPSYQRAAQKEALRQLEATVMSQNYRKSYLSADEGEKQTKAIGSHHKNLYCSGAKASSPRSLKQSYIHAASQFSSFPGITHSGSRCRPLGTPSPINQAGPHLCPSASASVTNLLITPQHPMSPIASPIQSLSSHHCPGPSLDSTTVSSLHTNPIKQLTATPGSSSNSYVLKAEKEASTTFRSLKDSHIETTQQQKPPKIKVEEMITEPHDGKAGSDSYNNNNKSIKSHTGLFVSASKNKQTYFTLVEELEAESAQRIFEQFNEMNVGDEEEEEVKKKSKKEAMQETEKNYVIIFDGLQHALIRRRELLALRRSPLVMRLSHQLNNSARKLFRVVLEMQEDEKAAWSASFTQGGSDKMLRIQVHQLYASFAIRAQMKSLNGNDQLIQMLNFKPELEQTELKCCHGGKNNWERVKMSNEKPTNEVLATLSPTYKAQNERLFGASEEQSAEQEEDENDLAVEEEEESETETKVNMEAPQTIVPYKPRMARLRSSRRRRKRVELSLLKREYLAAHLKAKSNLSEIKLEPAGDATMRDQFLRTALMYNMSTKHELYSGYIEWTESTPFIRIFGGRRDNEEKVRRLVKIEEMSLGLVSGGLKGPGKERASGVLNKMEADSASLPRCRQPKQFPNRRSSEFTPYIPRTSARQAPDQCVFGVVTFMDSIMSAKIKLDLPADCALSPSLNVELLWPKLTSDILVDFGYLEFCSSRHPVFTKCATAIALEASIITKIILDEVHAMLATTDRGEQKLYRLMASMSWKNGYDEVEPDDCSVYDGSKKNVSYNTLHMFQNRINKAGQDYRVTAFRALGEEYLYIVLPDYSTVLVYLRVDFKFDDILLPNNIYRSVLINEELSIQNPNNILYVGRGRSSAVPKVIWPGRDKSYSNCFEAYEAPLLFTQPLIHFAKFGHTHQMRIINKYLRDKRTLEYSRPFHNVKQAESLTRLLFPKLHSGTWMLNYDTSSPHVEHFGHATLISRVLRSDTRVIKYSLHYREGIVRINNDKTLIADAHFVLVPIRRCGGTWMICPHDEQSIVEEDESSSATEELDRAMVIPM
+>sp|Q9BZ97|TTY13_HUMAN Putative transcript Y 13 protein OS=Homo sapiens OX=9606 GN=TTTY13 PE=5 SV=1
+MKTQDDGVLPPYDVNQLLGWDLNLSLFLGLCLMLLLAGSCLPSPGITGLSHGSNREDR
+>DECOY_sp|Q9BZ97|TTY13_HUMAN Putative transcript Y 13 protein OS=Homo sapiens OX=9606 GN=TTTY13 PE=5 SV=1
+RDERNSGHSLGTIGPSPLCSGALLLMLCLGLFLSLNLDWGLLQNVDYPPLVGDDQTKM
+>sp|Q9NRJ4|TULP4_HUMAN Tubby-related protein 4 OS=Homo sapiens OX=9606 GN=TULP4 PE=1 SV=2
+MYAAVEHGPVLCSDSNILCLSWKGRVPKSEKEKPVCRRRYYEEGWLATGNGRGVVGVTFTSSHCRRDRSTPQRINFNLRGHNSEVVLVRWNEPYQKLATCDADGGIFVWIQYEGRWSVELVNDRGAQVSDFTWSHDGTQALISYRDGFVLVGSVSGQRHWSSEINLESQITCGIWTPDDQQVLFGTADGQVIVMDCHGRMLAHVLLHESDGVLGMSWNYPIFLVEDSSESDTDSDDYAPPQDGPAAYPIPVQNIKPLLTVSFTSGDISLMNNYDDLSPTVIRSGLKEVVAQWCTQGDLLAVAGMERQTQLGELPNGPLLKSAMVKFYNVRGEHIFTLDTLVQRPIISICWGHRDSRLLMASGPALYVVRVEHRVSSLQLLCQQAIASTLREDKDVSKLTLPPRLCSYLSTAFIPTIKPPIPDPNNMRDFVSYPSAGNERLHCTMKRTEDDPEVGGPCYTLYLEYLGGLVPILKGRRISKLRPEFVIMDPRTDSKPDEIYGNSLISTVIDSCNCSDSSDIELSDDWAAKKSPKISRASKSPKLPRISIEARKSPKLPRAAQELSRSPRLPLRKPSVGSPSLTRREFPFEDITQHNYLAQVTSNIWGTKFKIVGLAAFLPTNLGAVIYKTSLLHLQPRQMTIYLPEVRKISMDYINLPVFNPNVFSEDEDDLPVTGASGVPENSPPCTVNIPIAPIHSSAQAMSPTQSIGLVQSLLANQNVQLDVLTNQTTAVGTAEHAGDSATQYPVSNRYSNPGQVIFGSVEMGRIIQNPPPLSLPPPPQGPMQLSTVGHGDRDHEHLQKSAKALRPTPQLAAEGDAVVFSAPQEVQVTKINPPPPYPGTIPAAPTTAAPPPPLPPPQPPVDVCLKKGDFSLYPTSVHYQTPLGYERITTFDSSGNVEEVCRPRTRMLCSQNTYTLPGPGSSATLRLTATEKKVPQPCSSATLNRLTVPRYSIPTGDPPPYPEIASQLAQGRGAAQRSDNSLIHATLRRNNREATLKMAQLADSPRAPLQPLAKSKGGPGGVVTQLPARPPPALYTCSQCSGTGPSSQPGASLAHTASASPLASQSSYSLLSPPDSARDRTDYVNSAFTEDEALSQHCQLEKPLRHPPLPEAAVTLKRPPPYQWDPMLGEDVWVPQERTAQTSGPNPLKLSSLMLSQGQHLDVSRLPFISPKSPASPTATFQTGYGMGVPYPGSYNNPPLPGVQAPCSPKDALSPTQFAQQEPAVVLQPLYPPSLSYCTLPPMYPGSSTCSSLQLPPVALHPWSSYSACPPMQNPQGTLPPKPHLVVEKPLVSPPPADLQSHLGTEVMVETADNFQEVLSLTESPVPQRTEKFGKKNRKRLDSRAEEGSVQAITEGKVKKEARTLSDFNSLISSPHLGREKKKVKSQKDQLKSKKLNKTNEFQDSSESEPELFISGDELMNQSQGSRKGWKSKRSPRAAGELEEAKCRRASEKEDGRLGSQGFVYVMANKQPLWNEATQVYQLDFGGRVTQESAKNFQIELEGRQVMQFGRIDGSAYILDFQYPFSAVQAFAVALANVTQRLK
+>DECOY_sp|Q9NRJ4|TULP4_HUMAN Tubby-related protein 4 OS=Homo sapiens OX=9606 GN=TULP4 PE=1 SV=2
+KLRQTVNALAVAFAQVASFPYQFDLIYASGDIRGFQMVQRGELEIQFNKASEQTVRGGFDLQYVQTAENWLPQKNAMVYVFGQSGLRGDEKESARRCKAEELEGAARPSRKSKWGKRSGQSQNMLEDGSIFLEPESESSDQFENTKNLKKSKLQDKQSKVKKKERGLHPSSILSNFDSLTRAEKKVKGETIAQVSGEEARSDLRKRNKKGFKETRQPVPSETLSLVEQFNDATEVMVETGLHSQLDAPPPSVLPKEVVLHPKPPLTGQPNQMPPCASYSSWPHLAVPPLQLSSCTSSGPYMPPLTCYSLSPPYLPQLVVAPEQQAFQTPSLADKPSCPAQVGPLPPNNYSGPYPVGMGYGTQFTATPSAPSKPSIFPLRSVDLHQGQSLMLSSLKLPNPGSTQATREQPVWVDEGLMPDWQYPPPRKLTVAAEPLPPHRLPKELQCHQSLAEDETFASNVYDTRDRASDPPSLLSYSSQSALPSASATHALSAGPQSSPGTGSCQSCTYLAPPPRAPLQTVVGGPGGKSKALPQLPARPSDALQAMKLTAERNNRRLTAHILSNDSRQAAGRGQALQSAIEPYPPPDGTPISYRPVTLRNLTASSCPQPVKKETATLRLTASSGPGPLTYTNQSCLMRTRPRCVEEVNGSSDFTTIREYGLPTQYHVSTPYLSFDGKKLCVDVPPQPPPLPPPPAATTPAAPITGPYPPPPNIKTVQVEQPASFVVADGEAALQPTPRLAKASKQLHEHDRDGHGVTSLQMPGQPPPPLSLPPPNQIIRGMEVSGFIVQGPNSYRNSVPYQTASDGAHEATGVATTQNTLVDLQVNQNALLSQVLGISQTPSMAQASSHIPAIPINVTCPPSNEPVGSAGTVPLDDEDESFVNPNFVPLNIYDMSIKRVEPLYITMQRPQLHLLSTKYIVAGLNTPLFAALGVIKFKTGWINSTVQALYNHQTIDEFPFERRTLSPSGVSPKRLPLRPSRSLEQAARPLKPSKRAEISIRPLKPSKSARSIKPSKKAAWDDSLEIDSSDSCNCSDIVTSILSNGYIEDPKSDTRPDMIVFEPRLKSIRRGKLIPVLGGLYELYLTYCPGGVEPDDETRKMTCHLRENGASPYSVFDRMNNPDPIPPKITPIFATSLYSCLRPPLTLKSVDKDERLTSAIAQQCLLQLSSVRHEVRVVYLAPGSAMLLRSDRHGWCISIIPRQVLTDLTFIHEGRVNYFKVMASKLLPGNPLEGLQTQREMGAVALLDGQTCWQAVVEKLGSRIVTPSLDDYNNMLSIDGSTFSVTLLPKINQVPIPYAAPGDQPPAYDDSDTDSESSDEVLFIPYNWSMGLVGDSEHLLVHALMRGHCDMVIVQGDATGFLVQQDDPTWIGCTIQSELNIESSWHRQGSVSGVLVFGDRYSILAQTGDHSWTFDSVQAGRDNVLEVSWRGEYQIWVFIGGDADCTALKQYPENWRVLVVESNHGRLNFNIRQPTSRDRRCHSSTFTVGVVGRGNGTALWGEEYYRRRCVPKEKESKPVRGKWSLCLINSDSCLVPGHEVAAYM
+>sp|P00749|UROK_HUMAN Urokinase-type plasminogen activator OS=Homo sapiens OX=9606 GN=PLAU PE=1 SV=2
+MRALLARLLLCVLVVSDSKGSNELHQVPSNCDCLNGGTCVSNKYFSNIHWCNCPKKFGGQHCEIDKSKTCYEGNGHFYRGKASTDTMGRPCLPWNSATVLQQTYHAHRSDALQLGLGKHNYCRNPDNRRRPWCYVQVGLKPLVQECMVHDCADGKKPSSPPEELKFQCGQKTLRPRFKIIGGEFTTIENQPWFAAIYRRHRGGSVTYVCGGSLISPCWVISATHCFIDYPKKEDYIVYLGRSRLNSNTQGEMKFEVENLILHKDYSADTLAHHNDIALLKIRSKEGRCAQPSRTIQTICLPSMYNDPQFGTSCEITGFGKENSTDYLYPEQLKMTVVKLISHRECQQPHYYGSEVTTKMLCAADPQWKTDSCQGDSGGPLVCSLQGRMTLTGIVSWGRGCALKDKPGVYTRVSHFLPWIRSHTKEENGLAL
+>DECOY_sp|P00749|UROK_HUMAN Urokinase-type plasminogen activator OS=Homo sapiens OX=9606 GN=PLAU PE=1 SV=2
+LALGNEEKTHSRIWPLFHSVRTYVGPKDKLACGRGWSVIGTLTMRGQLSCVLPGGSDGQCSDTKWQPDAACLMKTTVESGYYHPQQCERHSILKVVTMKLQEPYLYDTSNEKGFGTIECSTGFQPDNYMSPLCITQITRSPQACRGEKSRIKLLAIDNHHALTDASYDKHLILNEVEFKMEGQTNSNLRSRGLYVIYDEKKPYDIFCHTASIVWCPSILSGGCVYTVSGGRHRRYIAAFWPQNEITTFEGGIIKFRPRLTKQGCQFKLEEPPSSPKKGDACDHVMCEQVLPKLGVQVYCWPRRRNDPNRCYNHKGLGLQLADSRHAHYTQQLVTASNWPLCPRGMTDTSAKGRYFHGNGEYCTKSKDIECHQGGFKKPCNCWHINSFYKNSVCTGGNLCDCNSPVQHLENSGKSDSVVLVCLLLRALLARM
+>sp|Q5DID0|UROL1_HUMAN Uromodulin-like 1 OS=Homo sapiens OX=9606 GN=UMODL1 PE=2 SV=2
+MLRTSGLALLALVSAVGPSQASGFTEKGLSLLGYQLCSHRVTHTVQKVEAVQTSYTSYVSCGGWIPWRRCPKMVYRTQYLVVEVPESRNVTDCCEGYEQLGLYCVLPLNQSGQFTSRPGACPAEGPEPSTSPCSLDIDCPGLEKCCPWSGGRYCMAPAPQAPERDPVGSWYNVTILVKMDFKELQQVDPRLLNHMRLLHSLVTSALQPMASTVHHLHSAPGNASTTVSRLLLGLPRPLPVADVSTLLGDIAKRVYEVISVQVQDVNECFYEELNACSGRELCANLEGSYWCVCHQEAPATSPRKLNLEWEDCPPVSDYVVLNVTSDSFQVSWRLNSTQNHTFHVRVYRGMELLRSARTQSQALAVAGLEAGVLYRVKTSYQGCGADVSTTLTIKTNAQVFEVTIKIVNHNLTEKLLNRSSVEYQDFSRQLLHEVESSFPPVVSDLYRSGKLRMQIVSLQAGSVVVRLKLTVQDPGFPMGISTLAPILQPLLASTVFQIDRQGTRVQDWDECVDSAEHDCSPAAWCINLEGSYTCQCRTTRDATPSRAGRACEGDLVSPMGGGLSAATGVTVPGLGTGTAALGLENFTLSPSPGYPQGTPAAGQAWTPEPSPRRGGSNVVGYDRNNTGKGVEQELQGNSIMEPPSWPSPTEDPTGHFLWHATRSTRETLLNPTWLRNEDSGPSGSVDLPLTSTLTALKTPACVPVSIGRIMVSNVTSTGFHLAWEADLAMDSTFQLTLTSMWSPAVVLETWNTSVTLSGLEPGVLHLVEIMAKACGKEGARAHLKVRTAARKLIGKVRIKNVRYSESFRNASSQEYRDFLELFFRMVRGSLPATMCQHMDAGGVRMEVVSVTNGSIVVEFHLLIIADVDVQEVSAAFLTAFQTVPLLEVIRGDTFIQDYDECERKEDDCVPGTSCRNTLGSFTCSCEGGAPDFPVEYSERPCEGDSPGNETWATSPERPLTTAGTKAAFVQGTSPTPQGLPQRLNLTGAVRVLCEIEKVVVAIQKRFLQQESIPESSLYLSHPSCNVSHSNGTHVLLEAGWSECGTLMQSNMTNTVVRTTLRNDLSQEGIIHHLKILSPIYCAFQNDLLTSSGFTLEWGVYTIIEDLHGAGNFVTEMQLFIGDSPIPQNYSVSASDDVRIEVGLYRQKSNLKVVLTECWATPSSNARDPITFSFINNSCPVPNTYTNVIENGNSNKAQFKLRIFSFINDSIVYLHCKLRVCMESPGATCKINCNNFRLLQNSETSATHQMSWGPLIRSEGEPPHAEAGLGAGYVVLIVVAIFVLVAGTATLLIVRYQRMNGRYNFKIQSNNFSYQVFYE
+>DECOY_sp|Q5DID0|UROL1_HUMAN Uromodulin-like 1 OS=Homo sapiens OX=9606 GN=UMODL1 PE=2 SV=2
+EYFVQYSFNNSQIKFNYRGNMRQYRVILLTATGAVLVFIAVVILVVYGAGLGAEAHPPEGESRILPGWSMQHTASTESNQLLRFNNCNIKCTAGPSEMCVRLKCHLYVISDNIFSFIRLKFQAKNSNGNEIVNTYTNPVPCSNNIFSFTIPDRANSSPTAWCETLVVKLNSKQRYLGVEIRVDDSASVSYNQPIPSDGIFLQMETVFNGAGHLDEIITYVGWELTFGSSTLLDNQFACYIPSLIKLHHIIGEQSLDNRLTTRVVTNTMNSQMLTGCESWGAELLVHTGNSHSVNCSPHSLYLSSEPISEQQLFRKQIAVVVKEIECLVRVAGTLNLRQPLGQPTPSTGQVFAAKTGATTLPREPSTAWTENGPSDGECPRESYEVPFDPAGGECSCTFSGLTNRCSTGPVCDDEKRECEDYDQIFTDGRIVELLPVTQFATLFAASVEQVDVDAIILLHFEVVISGNTVSVVEMRVGGADMHQCMTAPLSGRVMRFFLELFDRYEQSSANRFSESYRVNKIRVKGILKRAATRVKLHARAGEKGCAKAMIEVLHLVGPELGSLTVSTNWTELVVAPSWMSTLTLQFTSDMALDAEWALHFGTSTVNSVMIRGISVPVCAPTKLATLTSTLPLDVSGSPGSDENRLWTPNLLTERTSRTAHWLFHGTPDETPSPWSPPEMISNGQLEQEVGKGTNNRDYGVVNSGGRRPSPEPTWAQGAAPTGQPYGPSPSLTFNELGLAATGTGLGPVTVGTAASLGGGMPSVLDGECARGARSPTADRTTRCQCTYSGELNICWAAPSCDHEASDVCEDWDQVRTGQRDIQFVTSALLPQLIPALTSIGMPFGPDQVTLKLRVVVSGAQLSVIQMRLKGSRYLDSVVPPFSSEVEHLLQRSFDQYEVSSRNLLKETLNHNVIKITVEFVQANTKITLTTSVDAGCGQYSTKVRYLVGAELGAVALAQSQTRASRLLEMGRYVRVHFTHNQTSNLRWSVQFSDSTVNLVVYDSVPPCDEWELNLKRPSTAPAEQHCVCWYSGELNACLERGSCANLEEYFCENVDQVQVSIVEYVRKAIDGLLTSVDAVPLPRPLGLLLRSVTTSANGPASHLHHVTSAMPQLASTVLSHLLRMHNLLRPDVQQLEKFDMKVLITVNYWSGVPDREPAQPAPAMCYRGGSWPCCKELGPCDIDLSCPSTSPEPGEAPCAGPRSTFQGSQNLPLVCYLGLQEYGECCDTVNRSEPVEVVLYQTRYVMKPCRRWPIWGGCSVYSTYSTQVAEVKQVTHTVRHSCLQYGLLSLGKETFGSAQSPGVASVLALLALGSTRLM
+>sp|P46939|UTRO_HUMAN Utrophin OS=Homo sapiens OX=9606 GN=UTRN PE=1 SV=2
+MAKYGEHEASPDNGQNEFSDIIKSRSDEHNDVQKKTFTKWINARFSKSGKPPINDMFTDLKDGRKLLDLLEGLTGTSLPKERGSTRVHALNNVNRVLQVLHQNNVELVNIGGTDIVDGNHKLTLGLLWSIILHWQVKDVMKDVMSDLQQTNSEKILLSWVRQTTRPYSQVNVLNFTTSWTDGLAFNAVLHRHKPDLFSWDKVVKMSPIERLEHAFSKAQTYLGIEKLLDPEDVAVQLPDKKSIIMYLTSLFEVLPQQVTIDAIREVETLPRKYKKECEEEAINIQSTAPEEEHESPRAETPSTVTEVDMDLDSYQIALEEVLTWLLSAEDTFQEQDDISDDVEEVKDQFATHEAFMMELTAHQSSVGSVLQAGNQLITQGTLSDEEEFEIQEQMTLLNARWEALRVESMDRQSRLHDVLMELQKKQLQQLSAWLTLTEERIQKMETCPLDDDVKSLQKLLEEHKSLQSDLEAEQVKVNSLTHMVVIVDENSGESATAILEDQLQKLGERWTAVCRWTEERWNRLQEINILWQELLEEQCLLKAWLTEKEEALNKVQTSNFKDQKELSVSVRRLAILKEDMEMKRQTLDQLSEIGQDVGQLLDNSKASKKINSDSEELTQRWDSLVQRLEDSSNQVTQAVAKLGMSQIPQKDLLETVRVREQAITKKSKQELPPPPPPKKRQIHVDIEAKKKFDAISAELLNWILKWKTAIQTTEIKEYMKMQDTSEMKKKLKALEKEQRERIPRADELNQTGQILVEQMGKEGLPTEEIKNVLEKVSSEWKNVSQHLEDLERKIQLQEDINAYFKQLDELEKVIKTKEEWVKHTSISESSRQSLPSLKDSCQRELTNLLGLHPKIEMARASCSALMSQPSAPDFVQRGFDSFLGRYQAVQEAVEDRQQHLENELKGQPGHAYLETLKTLKDVLNDSENKAQVSLNVLNDLAKVEKALQEKKTLDEILENQKPALHKLAEETKALEKNVHPDVEKLYKQEFDDVQGKWNKLKVLVSKDLHLLEEIALTLRAFEADSTVIEKWMDGVKDFLMKQQAAQGDDAGLQRQLDQCSAFVNEIETIESSLKNMKEIETNLRSGPVAGIKTWVQTRLGDYQTQLEKLSKEIATQKSRLSESQEKAANLKKDLAEMQEWMTQAEEEYLERDFEYKSPEELESAVEEMKRAKEDVLQKEVRVKILKDNIKLLAAKVPSGGQELTSELNVVLENYQLLCNRIRGKCHTLEEVWSCWIELLHYLDLETTWLNTLEERMKSTEVLPEKTDAVNEALESLESVLRHPADNRTQIRELGQTLIDGGILDDIISEKLEAFNSRYEDLSHLAESKQISLEKQLQVLRETDQMLQVLQESLGELDKQLTTYLTDRIDAFQVPQEAQKIQAEISAHELTLEELRRNMRSQPLTSPESRTARGGSQMDVLQRKLREVSTKFQLFQKPANFEQRMLDCKRVLDGVKAELHVLDVKDVDPDVIQTHLDKCMKLYKTLSEVKLEVETVIKTGRHIVQKQQTDNPKGMDEQLTSLKVLYNDLGAQVTEGKQDLERASQLARKMKKEAASLSEWLSATETELVQKSTSEGLLGDLDTEISWAKNVLKDLEKRKADLNTITESSAALQNLIEGSEPILEERLCVLNAGWSRVRTWTEDWCNTLMNHQNQLEIFDGNVAHISTWLYQAEALLDEIEKKPTSKQEEIVKRLVSELDDANLQVENVRDQALILMNARGSSSRELVEPKLAELNRNFEKVSQHIKSAKLLIAQEPLYQCLVTTETFETGVPFSDLEKLENDIENMLKFVEKHLESSDEDEKMDEESAQIEEVLQRGEEMLHQPMEDNKKEKIRLQLLLLHTRYNKIKAIPIQQRKMGQLASGIRSSLLPTDYLVEINKILLCMDDVELSLNVPELNTAIYEDFSFQEDSLKNIKDQLDKLGEQIAVIHEKQPDVILEASGPEAIQIRDTLTQLNAKWDRINRMYSDRKGCFDRAMEEWRQFHCDLNDLTQWITEAEELLVDTCAPGGSLDLEKARIHQQELEVGISSHQPSFAALNRTGDGIVQKLSQADGSFLKEKLAGLNQRWDAIVAEVKDRQPRLKGESKQVMKYRHQLDEIICWLTKAEHAMQKRSTTELGENLQELRDLTQEMEVHAEKLKWLNRTELEMLSDKSLSLPERDKISESLRTVNMTWNKICREVPTTLKECIQEPSSVSQTRIAAHPNVQKVVLVSSASDIPVQSHRTSEISIPADLDKTITELADWLVLIDQMLKSNIVTVGDVEEINKTVSRMKITKADLEQRHPQLDYVFTLAQNLKNKASSSDMRTAITEKLERVKNQWDGTQHGVELRQQQLEDMIIDSLQWDDHREETEELMRKYEARLYILQQARRDPLTKQISDNQILLQELGPGDGIVMAFDNVLQKLLEEYGSDDTRNVKETTEYLKTSWINLKQSIADRQNALEAEWRTVQASRRDLENFLKWIQEAETTVNVLVDASHRENALQDSILARELKQQMQDIQAEIDAHNDIFKSIDGNRQKMVKALGNSEEATMLQHRLDDMNQRWNDLKAKSASIRAHLEASAEKWNRLLMSLEELIKWLNMKDEELKKQMPIGGDVPALQLQYDHCKALRRELKEKEYSVLNAVDQARVFLADQPIEAPEEPRRNLQSKTELTPEERAQKIAKAMRKQSSEVKEKWESLNAVTSNWQKQVDKALEKLRDLQGAMDDLDADMKEAESVRNGWKPVGDLLIDSLQDHIEKIMAFREEIAPINFKVKTVNDLSSQLSPLDLHPSLKMSRQLDDLNMRWKLLQVSVDDRLKQLQEAHRDFGPSSQHFLSTSVQLPWQRSISHNKVPYYINHQTQTTCWDHPKMTELFQSLADLNNVRFSAYRTAIKIRRLQKALCLDLLELSTTNEIFKQHKLNQNDQLLSVPDVINCLTTTYDGLEQMHKDLVNVPLCVDMCLNWLLNVYDTGRTGKIRVQSLKIGLMSLSKGLLEEKYRYLFKEVAGPTEMCDQRQLGLLLHDAIQIPRQLGEVAAFGGSNIEPSVRSCFQQNNNKPEISVKEFIDWMHLEPQSMVWLPVLHRVAAAETAKHQAKCNICKECPIVGFRYRSLKHFNYDVCQSCFFSGRTAKGHKLHYPMVEYCIPTTSGEDVRDFTKVLKNKFRSKKYFAKHPRLGYLPVQTVLEGDNLETPITLISMWPEHYDPSQSPQLFHDDTHSRIEQYATRLAQMERTNGSFLTDSSSTTGSVEDEHALIQQYCQTLGGESPVSQPQSPAQILKSVEREERGELERIIADLEEEQRNLQVEYEQLKDQHLRRGLPVGSPPESIISPHHTSEDSELIAEAKLLRQHKGRLEARMQILEDHNKQLESQLHRLRQLLEQPESDSRINGVSPWASPQHSALSYSLDPDASGPQFHQAAGEDLLAPPHDTSTDLTEVMEQIHSTFPSCCPNVPSRPQAM
+>DECOY_sp|P46939|UTRO_HUMAN Utrophin OS=Homo sapiens OX=9606 GN=UTRN PE=1 SV=2
+MAQPRSPVNPCCSPFTSHIQEMVETLDTSTDHPPALLDEGAAQHFQPGSADPDLSYSLASHQPSAWPSVGNIRSDSEPQELLQRLRHLQSELQKNHDELIQMRAELRGKHQRLLKAEAILESDESTHHPSIISEPPSGVPLGRRLHQDKLQEYEVQLNRQEEELDAIIRELEGREEREVSKLIQAPSQPQSVPSEGGLTQCYQQILAHEDEVSGTTSSSDTLFSGNTREMQALRTAYQEIRSHTDDHFLQPSQSPDYHEPWMSILTIPTELNDGELVTQVPLYGLRPHKAFYKKSRFKNKLVKTFDRVDEGSTTPICYEVMPYHLKHGKATRGSFFCSQCVDYNFHKLSRYRFGVIPCEKCINCKAQHKATEAAAVRHLVPLWVMSQPELHMWDIFEKVSIEPKNNNQQFCSRVSPEINSGGFAAVEGLQRPIQIADHLLLGLQRQDCMETPGAVEKFLYRYKEELLGKSLSMLGIKLSQVRIKGTRGTDYVNLLWNLCMDVCLPVNVLDKHMQELGDYTTTLCNIVDPVSLLQDNQNLKHQKFIENTTSLELLDLCLAKQLRRIKIATRYASFRVNNLDALSQFLETMKPHDWCTTQTQHNIYYPVKNHSISRQWPLQVSTSLFHQSSPGFDRHAEQLQKLRDDVSVQLLKWRMNLDDLQRSMKLSPHLDLPSLQSSLDNVTKVKFNIPAIEERFAMIKEIHDQLSDILLDGVPKWGNRVSEAEKMDADLDDMAGQLDRLKELAKDVQKQWNSTVANLSEWKEKVESSQKRMAKAIKQAREEPTLETKSQLNRRPEEPAEIPQDALFVRAQDVANLVSYEKEKLERRLAKCHDYQLQLAPVDGGIPMQKKLEEDKMNLWKILEELSMLLRNWKEASAELHARISASKAKLDNWRQNMDDLRHQLMTAEESNGLAKVMKQRNGDISKFIDNHADIEAQIDQMQQKLERALISDQLANERHSADVLVNVTTEAEQIWKLFNELDRRSAQVTRWEAELANQRDAISQKLNIWSTKLYETTEKVNRTDDSGYEELLKQLVNDFAMVIGDGPGLEQLLIQNDSIQKTLPDRRAQQLIYLRAEYKRMLEETEERHDDWQLSDIIMDELQQQRLEVGHQTGDWQNKVRELKETIATRMDSSSAKNKLNQALTFVYDLQPHRQELDAKTIKMRSVTKNIEEVDGVTVINSKLMQDILVLWDALETITKDLDAPISIESTRHSQVPIDSASSVLVVKQVNPHAAIRTQSVSSPEQICEKLTTPVERCIKNWTMNVTRLSESIKDREPLSLSKDSLMELETRNLWKLKEAHVEMEQTLDRLEQLNEGLETTSRKQMAHEAKTLWCIIEDLQHRYKMVQKSEGKLRPQRDKVEAVIADWRQNLGALKEKLFSGDAQSLKQVIGDGTRNLAAFSPQHSSIGVELEQQHIRAKELDLSGGPACTDVLLEEAETIWQTLDNLDCHFQRWEEMARDFCGKRDSYMRNIRDWKANLQTLTDRIQIAEPGSAELIVDPQKEHIVAIQEGLKDLQDKINKLSDEQFSFDEYIATNLEPVNLSLEVDDMCLLIKNIEVLYDTPLLSSRIGSALQGMKRQQIPIAKIKNYRTHLLLLQLRIKEKKNDEMPQHLMEEGRQLVEEIQASEEDMKEDEDSSELHKEVFKLMNEIDNELKELDSFPVGTEFTETTVLCQYLPEQAILLKASKIHQSVKEFNRNLEALKPEVLERSSSGRANMLILAQDRVNEVQLNADDLESVLRKVIEEQKSTPKKEIEDLLAEAQYLWTSIHAVNGDFIELQNQHNMLTNCWDETWTRVRSWGANLVCLREELIPESGEILNQLAASSETITNLDAKRKELDKLVNKAWSIETDLDGLLGESTSKQVLETETASLWESLSAAEKKMKRALQSARELDQKGETVQAGLDNYLVKLSTLQEDMGKPNDTQQKQVIHRGTKIVTEVELKVESLTKYLKMCKDLHTQIVDPDVDKVDLVHLEAKVGDLVRKCDLMRQEFNAPKQFLQFKTSVERLKRQLVDMQSGGRATRSEPSTLPQSRMNRRLEELTLEHASIEAQIKQAEQPVQFADIRDTLYTTLQKDLEGLSEQLVQLMQDTERLVQLQKELSIQKSEALHSLDEYRSNFAELKESIIDDLIGGDILTQGLERIQTRNDAPHRLVSELSELAENVADTKEPLVETSKMREELTNLWTTELDLYHLLEIWCSWVEELTHCKGRIRNCLLQYNELVVNLESTLEQGGSPVKAALLKINDKLIKVRVEKQLVDEKARKMEEVASELEEPSKYEFDRELYEEEAQTMWEQMEALDKKLNAAKEQSESLRSKQTAIEKSLKELQTQYDGLRTQVWTKIGAVPGSRLNTEIEKMNKLSSEITEIENVFASCQDLQRQLGADDGQAAQQKMLFDKVGDMWKEIVTSDAEFARLTLAIEELLHLDKSVLVKLKNWKGQVDDFEQKYLKEVDPHVNKELAKTEEALKHLAPKQNELIEDLTKKEQLAKEVKALDNLVNLSVQAKNESDNLVDKLTKLTELYAHGPQGKLENELHQQRDEVAEQVAQYRGLFSDFGRQVFDPASPQSMLASCSARAMEIKPHLGLLNTLERQCSDKLSPLSQRSSESISTHKVWEEKTKIVKELEDLQKFYANIDEQLQIKRELDELHQSVNKWESSVKELVNKIEETPLGEKGMQEVLIQGTQNLEDARPIRERQEKELAKLKKKMESTDQMKMYEKIETTQIATKWKLIWNLLEASIADFKKKAEIDVHIQRKKPPPPPPLEQKSKKTIAQERVRVTELLDKQPIQSMGLKAVAQTVQNSSDELRQVLSDWRQTLEESDSNIKKSAKSNDLLQGVDQGIESLQDLTQRKMEMDEKLIALRRVSVSLEKQDKFNSTQVKNLAEEKETLWAKLLCQEELLEQWLINIEQLRNWREETWRCVATWREGLKQLQDELIATASEGSNEDVIVVMHTLSNVKVQEAELDSQLSKHEELLKQLSKVDDDLPCTEMKQIREETLTLWASLQQLQKKQLEMLVDHLRSQRDMSEVRLAEWRANLLTMQEQIEFEEEDSLTGQTILQNGAQLVSGVSSQHATLEMMFAEHTAFQDKVEEVDDSIDDQEQFTDEASLLWTLVEELAIQYSDLDMDVETVTSPTEARPSEHEEEPATSQINIAEEECEKKYKRPLTEVERIADITVQQPLVEFLSTLYMIISKKDPLQVAVDEPDLLKEIGLYTQAKSFAHELREIPSMKVVKDWSFLDPKHRHLVANFALGDTWSTTFNLVNVQSYPRTTQRVWSLLIKESNTQQLDSMVDKMVDKVQWHLIISWLLGLTLKHNGDVIDTGGINVLEVNNQHLVQLVRNVNNLAHVRTSGREKPLSTGTLGELLDLLKRGDKLDTFMDNIPPKGSKSFRANIWKTFTKKQVDNHEDSRSKIIDSFENQGNDPSAEHEGYKAM
+>sp|O14607|UTY_HUMAN Histone demethylase UTY OS=Homo sapiens OX=9606 GN=UTY PE=1 SV=2
+MKSCAVSLTTAAVAFGDEAKKMAEGKASRESEEESVSLTVEEREALGGMDSRLFGFVRLHEDGARTKTLLGKAVRCYESLILKAEGKVESDFFCQLGHFNLLLEDYSKALSAYQRYYSLQADYWKNAAFLYGLGLVYFYYNAFHWAIKAFQDVLYVDPSFCRAKEIHLRLGLMFKVNTDYKSSLKHFQLALIDCNPCTLSNAEIQFHIAHLYETQRKYHSAKEAYEQLLQTENLPAQVKATVLQQLGWMHHNMDLVGDKATKESYAIQYLQKSLEADPNSGQSWYFLGRCYSSIGKVQDAFISYRQSIDKSEASADTWCSIGVLYQQQNQPMDALQAYICAVQLDHGHAAAWMDLGTLYESCNQPQDAIKCYLNAARSKRCSNTSTLAARIKFLQNGSDNWNGGQSLSHHPVQQVYSLCLTPQKLQHLEQLRANRDNLNPAQKHQLEQLESQFVLMQQMRHKEVAQVRTTGIHNGAITDSSLPTNSVSNRQPHGALTRVSSVSQPGVRPACVEKLLSSGAFSAGCIPCGTSKILGSTDTILLGSNCIAGSESNGNVPYLQQNTHTLPHNHTDLNSSTEEPWRKQLSNSAQGLHKSQSSCLSGPNEEQPLFSTGSAQYHQATSTGIKKANEHLTLPSNSVPQGDADSHLSCHTATSGGQQGIMFTKESKPSKNRSLVPETSRHTGDTSNGCADVKGLSNHVHQLIADAVSSPNHGDSPNLLIADNPQLSALLIGKANGNVGTGTCDKVNNIHPAVHTKTDHSVASSPSSAISTATPSPKSTEQRSINSVTSLNSPHSGLHTVNGEGLGKSQSSTKVDLPLASHRSTSQILPSMSVSICPSSTEVLKACRNPGKNGLSNSCILLDKCPPPRPPTSPYPPLPKDKLNPPTPSIYLENKRDAFFPPLHQFCTNPKNPVTVIRGLAGALKLDLGLFSTKTLVEANNEHMVEVRTQLLQPADENWDPTGTKKIWRCESNRSHTTIAKYAQYQASSFQESLREENEKRTQHKDHSDNESTSSENSGRRRKGPFKTIKFGTNIDLSDNKKWKLQLHELTKLPAFARVVSAGNLLTHVGHTILGMNTVQLYMKVPGSRTPGHQENNNFCSVNINIGPGDCEWFVVPEDYWGVLNDFCEKNNLNFLMSSWWPNLEDLYEANVPVYRFIQRPGDLVWINAGTVHWVQAVGWCNNIAWNVGPLTACQYKLAVERYEWNKLKSVKSPVPMVHLSWNMARNIKVSDPKLFEMIKYCLLKILKQYQTLREALVAAGKEVIWHGRTNDEPAHYCSICEVEVFNLLFVTNESNTQKTYIVHCHDCARKTSKSLENFVVLEQYKMEDLIQVYDQFTLALSLSSSS
+>DECOY_sp|O14607|UTY_HUMAN Histone demethylase UTY OS=Homo sapiens OX=9606 GN=UTY PE=1 SV=2
+SSSSLSLALTFQDYVQILDEMKYQELVVFNELSKSTKRACDHCHVIYTKQTNSENTVFLLNFVEVECISCYHAPEDNTRGHWIVEKGAAVLAERLTQYQKLIKLLCYKIMEFLKPDSVKINRAMNWSLHVMPVPSKVSKLKNWEYREVALKYQCATLPGVNWAINNCWGVAQVWHVTGANIWVLDGPRQIFRYVPVNAEYLDELNPWWSSMLFNLNNKECFDNLVGWYDEPVVFWECDGPGININVSCFNNNEQHGPTRSGPVKMYLQVTNMGLITHGVHTLLNGASVVRAFAPLKTLEHLQLKWKKNDSLDINTGFKITKFPGKRRRGSNESSTSENDSHDKHQTRKENEERLSEQFSSAQYQAYKAITTHSRNSECRWIKKTGTPDWNEDAPQLLQTRVEVMHENNAEVLTKTSFLGLDLKLAGALGRIVTVPNKPNTCFQHLPPFFADRKNELYISPTPPNLKDKPLPPYPSTPPRPPPCKDLLICSNSLGNKGPNRCAKLVETSSPCISVSMSPLIQSTSRHSALPLDVKTSSQSKGLGEGNVTHLGSHPSNLSTVSNISRQETSKPSPTATSIASSPSSAVSHDTKTHVAPHINNVKDCTGTGVNGNAKGILLASLQPNDAILLNPSDGHNPSSVADAILQHVHNSLGKVDACGNSTDGTHRSTEPVLSRNKSPKSEKTFMIGQQGGSTATHCSLHSDADGQPVSNSPLTLHENAKKIGTSTAQHYQASGTSFLPQEENPGSLCSSQSKHLGQASNSLQKRWPEETSSNLDTHNHPLTHTNQQLYPVNGNSESGAICNSGLLITDTSGLIKSTGCPICGASFAGSSLLKEVCAPRVGPQSVSSVRTLAGHPQRNSVSNTPLSSDTIAGNHIGTTRVQAVEKHRMQQMLVFQSELQELQHKQAPNLNDRNARLQELHQLKQPTLCLSYVQQVPHHSLSQGGNWNDSGNQLFKIRAALTSTNSCRKSRAANLYCKIADQPQNCSEYLTGLDMWAAAHGHDLQVACIYAQLADMPQNQQQYLVGISCWTDASAESKDISQRYSIFADQVKGISSYCRGLFYWSQGSNPDAELSKQLYQIAYSEKTAKDGVLDMNHHMWGLQQLVTAKVQAPLNETQLLQEYAEKASHYKRQTEYLHAIHFQIEANSLTCPNCDILALQFHKLSSKYDTNVKFMLGLRLHIEKARCFSPDVYLVDQFAKIAWHFANYYFYVLGLGYLFAANKWYDAQLSYYRQYASLAKSYDELLLNFHGLQCFFDSEVKGEAKLILSEYCRVAKGLLTKTRAGDEHLRVFGFLRSDMGGLAEREEVTLSVSEEESERSAKGEAMKKAEDGFAVAATTLSVACSKM
+>sp|P47901|V1BR_HUMAN Vasopressin V1b receptor OS=Homo sapiens OX=9606 GN=AVPR1B PE=2 SV=1
+MDSGPLWDANPTPRGTLSAPNATTPWLGRDEELAKVEIGVLATVLVLATGGNLAVLLTLGQLGRKRSRMHLFVLHLALTDLAVALFQVLPQLLWDITYRFQGPDLLCRAVKYLQVLSMFASTYMLLAMTLDRYLAVCHPLRSLQQPGQSTYLLIAAPWLLAAIFSLPQVFIFSLREVIQGSGVLDCWADFGFPWGPRAYLTWTTLAIFVLPVTMLTACYSLICHEICKNLKVKTQAWRVGGGGWRTWDRPSPSTLAATTRGLPSRVSSINTISRAKIRTVKMTFVIVLAYIACWAPFFSVQMWSVWDKNAPDEDSTNVAFTISMLLGNLNSCCNPWIYMGFNSHLLPRPLRHLACCGGPQPRMRRRLSDGSLSSRHTTLLTRSSCPATLSLSLSLTLSGRPRPEESPRDLELADGEGTAETIIF
+>DECOY_sp|P47901|V1BR_HUMAN Vasopressin V1b receptor OS=Homo sapiens OX=9606 GN=AVPR1B PE=2 SV=1
+FIITEATGEGDALELDRPSEEPRPRGSLTLSLSLSLTAPCSSRTLLTTHRSSLSGDSLRRRMRPQPGGCCALHRLPRPLLHSNFGMYIWPNCCSNLNGLLMSITFAVNTSDEDPANKDWVSWMQVSFFPAWCAIYALVIVFTMKVTRIKARSITNISSVRSPLGRTTAALTSPSPRDWTRWGGGGVRWAQTKVKLNKCIEHCILSYCATLMTVPLVFIALTTWTLYARPGWPFGFDAWCDLVGSGQIVERLSFIFVQPLSFIAALLWPAAILLYTSQGPQQLSRLPHCVALYRDLTMALLMYTSAFMSLVQLYKVARCLLDPGQFRYTIDWLLQPLVQFLAVALDTLALHLVFLHMRSRKRGLQGLTLLVALNGGTALVLVTALVGIEVKALEEDRGLWPTTANPASLTGRPTPNADWLPGSDM
+>sp|Q9P0L0|VAPA_HUMAN Vesicle-associated membrane protein-associated protein A OS=Homo sapiens OX=9606 GN=VAPA PE=1 SV=3
+MASASGAMAKHEQILVLDPPTDLKFKGPFTDVVTTNLKLRNPSDRKVCFKVKTTAPRRYCVRPNSGIIDPGSTVTVSVMLQPFDYDPNEKSKHKFMVQTIFAPPNTSDMEAVWKEAKPDELMDSKLRCVFEMPNENDKLNDMEPSKAVPLNASKQDGPMPKPHSVSLNDTETRKLMEECKRLQGEMMKLSEENRHLRDEGLRLRKVAHSDKPGSTSTASFRDNVTSPLPSLLVVIAAIFIGFFLGKFIL
+>DECOY_sp|Q9P0L0|VAPA_HUMAN Vesicle-associated membrane protein-associated protein A OS=Homo sapiens OX=9606 GN=VAPA PE=1 SV=3
+LIFKGLFFGIFIAAIVVLLSPLPSTVNDRFSATSTSGPKDSHAVKRLRLGEDRLHRNEESLKMMEGQLRKCEEMLKRTETDNLSVSHPKPMPGDQKSANLPVAKSPEMDNLKDNENPMEFVCRLKSDMLEDPKAEKWVAEMDSTNPPAFITQVMFKHKSKENPDYDFPQLMVSVTVTSGPDIIGSNPRVCYRRPATTKVKFCVKRDSPNRLKLNTTVVDTFPGKFKLDTPPDLVLIQEHKAMAGSASAM
+>sp|Q52LC2|VAS1L_HUMAN V-type proton ATPase subunit S1-like protein OS=Homo sapiens OX=9606 GN=ATP6AP1L PE=2 SV=1
+MRLWKARVLKLVLKTAKDSRLGLNSKWLSLKLGDAGNPRSLAIRFILTNYNKLSIQSWFSLRRVEIISNNSIQAVFNPTGVYAPSGYSYRCQRVGSLQQDQALLLPSDTDDGSSLWEVTFIDFQIQGFAIKGGRFTKAQDCASSFSPAFLIGLAMSLILLLVLAYALHMLIYLRYLDQQYDLIASPAHFSQLKARDTAEEKELLRSQGAECYKLRSQQISKIYV
+>DECOY_sp|Q52LC2|VAS1L_HUMAN V-type proton ATPase subunit S1-like protein OS=Homo sapiens OX=9606 GN=ATP6AP1L PE=2 SV=1
+VYIKSIQQSRLKYCEAGQSRLLEKEEATDRAKLQSFHAPSAILDYQQDLYRLYILMHLAYALVLLLILSMALGILFAPSFSSACDQAKTFRGGKIAFGQIQFDIFTVEWLSSGDDTDSPLLLAQDQQLSGVRQCRYSYGSPAYVGTPNFVAQISNNSIIEVRRLSFWSQISLKNYNTLIFRIALSRPNGADGLKLSLWKSNLGLRSDKATKLVLKLVRAKWLRM
+>sp|Q86V25|VASH2_HUMAN Vasohibin-2 OS=Homo sapiens OX=9606 GN=VASH2 PE=1 SV=2
+MTGSAADTHRCPHPKGAKGTRSRSSHARPVSLATSGGSEEEDKDGGVLFHVNKSGFPIDSHTWERMWMHVAKVHPKGGEMVGAIRNAAFLAKPSIPQVPNYRLSMTIPDWLQAIQNYMKTLQYNHTGTQFFEIRKMRPLSGLMETAKEMTRESLPIKCLEAVILGIYLTNGQPSIERFPISFKTYFSGNYFHHVVLGIYCNGRYGSLGMSRRAELMDKPLTFRTLSDLIFDFEDSYKKYLHTVKKVKIGLYVPHEPHSFQPIEWKQLVLNVSKMLRADIRKELEKYARDMRMKILKPASAHSPTQVRSRGKSLSPRRRQASPPRRLGRREKSPALPEKKVADLSTLNEVGYQIRI
+>DECOY_sp|Q86V25|VASH2_HUMAN Vasohibin-2 OS=Homo sapiens OX=9606 GN=VASH2 PE=1 SV=2
+IRIQYGVENLTSLDAVKKEPLAPSKERRGLRRPPSAQRRRPSLSKGRSRVQTPSHASAPKLIKMRMDRAYKELEKRIDARLMKSVNLVLQKWEIPQFSHPEHPVYLGIKVKKVTHLYKKYSDEFDFILDSLTRFTLPKDMLEARRSMGLSGYRGNCYIGLVVHHFYNGSFYTKFSIPFREISPQGNTLYIGLIVAELCKIPLSERTMEKATEMLGSLPRMKRIEFFQTGTHNYQLTKMYNQIAQLWDPITMSLRYNPVQPISPKALFAANRIAGVMEGGKPHVKAVHMWMREWTHSDIPFGSKNVHFLVGGDKDEEESGGSTALSVPRAHSSRSRTGKAGKPHPCRHTDAASGTM
+>sp|Q9HCJ6|VAT1L_HUMAN Synaptic vesicle membrane protein VAT-1 homolog-like OS=Homo sapiens OX=9606 GN=VAT1L PE=1 SV=2
+MAKEGVEKAEETEQMIEKEAGKEPAEGGGGDGSHRLGDAQEMRAVVLAGFGGLNKLRLFRKAMPEPQDGELKIRVKACGLNFIDLMVRQGNIDNPPKTPLVPGFECSGIVEALGDSVKGYEIGDRVMAFVNYNAWAEVVCTPVEFVYKIPDDMSFSEAAAFPMNFVTAYVMLFEVANLREGMSVLVHSAGGGVGQAVAQLCSTVPNVTVFGTASTFKHEAIKDSVTHLFDRNADYVQEVKRISAEGVDIVLDCLCGDNTGKGLSLLKPLGTYILYGSSNMVTGETKSFFSFAKSWWQVEKVNPIKLYEENKVIAGFSLLNLLFKQGRAGLIRGVVEKLIGLYNQKKIKPVVDSLWALEEVKEAMQRIHDRGNIGKLILDVEKTPTPLMANDSTETSEAGEEEEDHEGDSENKERMPFIQ
+>DECOY_sp|Q9HCJ6|VAT1L_HUMAN Synaptic vesicle membrane protein VAT-1 homolog-like OS=Homo sapiens OX=9606 GN=VAT1L PE=1 SV=2
+QIFPMREKNESDGEHDEEEEGAESTETSDNAMLPTPTKEVDLILKGINGRDHIRQMAEKVEELAWLSDVVPKIKKQNYLGILKEVVGRILGARGQKFLLNLLSFGAIVKNEEYLKIPNVKEVQWWSKAFSFFSKTEGTVMNSSGYLIYTGLPKLLSLGKGTNDGCLCDLVIDVGEASIRKVEQVYDANRDFLHTVSDKIAEHKFTSATGFVTVNPVTSCLQAVAQGVGGGASHVLVSMGERLNAVEFLMVYATVFNMPFAAAESFSMDDPIKYVFEVPTCVVEAWANYNVFAMVRDGIEYGKVSDGLAEVIGSCEFGPVLPTKPPNDINGQRVMLDIFNLGCAKVRIKLEGDQPEPMAKRFLRLKNLGGFGALVVARMEQADGLRHSGDGGGGEAPEKGAEKEIMQETEEAKEVGEKAM
+>sp|P21281|VATB2_HUMAN V-type proton ATPase subunit B, brain isoform OS=Homo sapiens OX=9606 GN=ATP6V1B2 PE=1 SV=3
+MALRAMRGIVNGAAPELPVPTGGPAVGAREQALAVSRNYLSQPRLTYKTVSGVNGPLVILDHVKFPRYAEIVHLTLPDGTKRSGQVLEVSGSKAVVQVFEGTSGIDAKKTSCEFTGDILRTPVSEDMLGRVFNGSGKPIDRGPVVLAEDFLDIMGQPINPQCRIYPEEMIQTGISAIDGMNSIARGQKIPIFSAAGLPHNEIAAQICRQAGLVKKSKDVVDYSEENFAIVFAAMGVNMETARFFKSDFEENGSMDNVCLFLNLANDPTIERIITPRLALTTAEFLAYQCEKHVLVILTDMSSYAEALREVSAAREEVPGRRGFPGYMYTDLATIYERAGRVEGRNGSITQIPILTMPNDDITHPIPDLTGYITEGQIYVDRQLHNRQIYPPINVLPSLSRLMKSAIGEGMTRKDHADVSNQLYACYAIGKDVQAMKAVVGEEALTSDDLLYLEFLQKFERNFIAQGPYENRTVFETLDIGWQLLRIFPKEMLKRIPQSTLSEFYPRDSAKH
+>DECOY_sp|P21281|VATB2_HUMAN V-type proton ATPase subunit B, brain isoform OS=Homo sapiens OX=9606 GN=ATP6V1B2 PE=1 SV=3
+HKASDRPYFESLTSQPIRKLMEKPFIRLLQWGIDLTEFVTRNEYPGQAIFNREFKQLFELYLLDDSTLAEEGVVAKMAQVDKGIAYCAYLQNSVDAHDKRTMGEGIASKMLRSLSPLVNIPPYIQRNHLQRDVYIQGETIYGTLDPIPHTIDDNPMTLIPIQTISGNRGEVRGAREYITALDTYMYGPFGRRGPVEERAASVERLAEAYSSMDTLIVLVHKECQYALFEATTLALRPTIIREITPDNALNLFLCVNDMSGNEEFDSKFFRATEMNVGMAAFVIAFNEESYDVVDKSKKVLGAQRCIQAAIENHPLGAASFIPIKQGRAISNMGDIASIGTQIMEEPYIRCQPNIPQGMIDLFDEALVVPGRDIPKGSGNFVRGLMDESVPTRLIDGTFECSTKKADIGSTGEFVQVVAKSGSVELVQGSRKTGDPLTLHVIEAYRPFKVHDLIVLPGNVGSVTKYTLRPQSLYNRSVALAQERAGVAPGGTPVPLEPAAGNVIGRMARLAM
+>sp|Q96LB4|VATG3_HUMAN V-type proton ATPase subunit G 3 OS=Homo sapiens OX=9606 GN=ATP6V1G3 PE=2 SV=1
+MTSQSQGIHQLLQAEKRAKDKLEEAKKRKGKRLKQAKEEAMVEIDQYRMQRDKEFRLKQSKIMGSQNNLSDEIEEQTLGKIQELNGHYNKYMESVMNQLLSMVCDMKPEIHVNYRATN
+>DECOY_sp|Q96LB4|VATG3_HUMAN V-type proton ATPase subunit G 3 OS=Homo sapiens OX=9606 GN=ATP6V1G3 PE=2 SV=1
+NTARYNVHIEPKMDCVMSLLQNMVSEMYKNYHGNLEQIKGLTQEEIEDSLNNQSGMIKSQKLRFEKDRQMRYQDIEVMAEEKAQKLRKGKRKKAEELKDKARKEAQLLQHIGQSQSTM
+>sp|P27449|VATL_HUMAN V-type proton ATPase 16 kDa proteolipid subunit OS=Homo sapiens OX=9606 GN=ATP6V0C PE=1 SV=1
+MSESKSGPEYASFFAVMGASAAMVFSALGAAYGTAKSGTGIAAMSVMRPEQIMKSIIPVVMAGIIAIYGLVVAVLIANSLNDDISLYKSFLQLGAGLSVGLSGLAAGFAIGIVGDAGVRGTAQQPRLFVGMILILIFAEVLGLYGLIVALILSTK
+>DECOY_sp|P27449|VATL_HUMAN V-type proton ATPase 16 kDa proteolipid subunit OS=Homo sapiens OX=9606 GN=ATP6V0C PE=1 SV=1
+KTSLILAVILGYLGLVEAFILILIMGVFLRPQQATGRVGADGVIGIAFGAALGSLGVSLGAGLQLFSKYLSIDDNLSNAILVAVVLGYIAIIGAMVVPIISKMIQEPRMVSMAAIGTGSKATGYAAGLASFVMAASAGMVAFFSAYEPGSKSESM
+>sp|P15498|VAV_HUMAN Proto-oncogene vav OS=Homo sapiens OX=9606 GN=VAV1 PE=1 SV=4
+MELWRQCTHWLIQCRVLPPSHRVTWDGAQVCELAQALRDGVLLCQLLNNLLPHAINLREVNLRPQMSQFLCLKNIRTFLSTCCEKFGLKRSELFEAFDLFDVQDFGKVIYTLSALSWTPIAQNRGIMPFPTEEESVGDEDIYSGLSDQIDDTVEEDEDLYDCVENEEAEGDEIYEDLMRSEPVSMPPKMTEYDKRCCCLREIQQTEEKYTDTLGSIQQHFLKPLQRFLKPQDIEIIFINIEDLLRVHTHFLKEMKEALGTPGAANLYQVFIKYKERFLVYGRYCSQVESASKHLDRVAAAREDVQMKLEECSQRANNGRFTLRDLLMVPMQRVLKYHLLLQELVKHTQEAMEKENLRLALDAMRDLAQCVNEVKRDNETLRQITNFQLSIENLDQSLAHYGRPKIDGELKITSVERRSKMDRYAFLLDKALLICKRRGDSYDLKDFVNLHSFQVRDDSSGDRDNKKWSHMFLLIEDQGAQGYELFFKTRELKKKWMEQFEMAISNIYPENATANGHDFQMFSFEETTSCKACQMLLRGTFYQGYRCHRCRASAHKECLGRVPPCGRHGQDFPGTMKKDKLHRRAQDKKRNELGLPKMEVFQEYYGLPPPPGAIGPFLRLNPGDIVELTKAEAEQNWWEGRNTSTNEIGWFPCNRVKPYVHGPPQDLSVHLWYAGPMERAGAESILANRSDGTFLVRQRVKDAAEFAISIKYNVEVKHIKIMTAEGLYRITEKKAFRGLTELVEFYQQNSLKDCFKSLDTTLQFPFKEPEKRTISRPAVGSTKYFGTAKARYDFCARDRSELSLKEGDIIKILNKKGQQGWWRGEIYGRVGWFPANYVEEDYSEYC
+>DECOY_sp|P15498|VAV_HUMAN Proto-oncogene vav OS=Homo sapiens OX=9606 GN=VAV1 PE=1 SV=4
+CYESYDEEVYNAPFWGVRGYIEGRWWGQQGKKNLIKIIDGEKLSLESRDRACFDYRAKATGFYKTSGVAPRSITRKEPEKFPFQLTTDLSKFCDKLSNQQYFEVLETLGRFAKKETIRYLGEATMIKIHKVEVNYKISIAFEAADKVRQRVLFTGDSRNALISEAGAREMPGAYWLHVSLDQPPGHVYPKVRNCPFWGIENTSTNRGEWWNQEAEAKTLEVIDGPNLRLFPGIAGPPPPLGYYEQFVEMKPLGLENRKKDQARRHLKDKKMTGPFDQGHRGCPPVRGLCEKHASARCRHCRYGQYFTGRLLMQCAKCSTTEEFSFMQFDHGNATANEPYINSIAMEFQEMWKKKLERTKFFLEYGQAGQDEILLFMHSWKKNDRDGSSDDRVQFSHLNVFDKLDYSDGRRKCILLAKDLLFAYRDMKSRREVSTIKLEGDIKPRGYHALSQDLNEISLQFNTIQRLTENDRKVENVCQALDRMADLALRLNEKEMAEQTHKVLEQLLLHYKLVRQMPVMLLDRLTFRGNNARQSCEELKMQVDERAAAVRDLHKSASEVQSCYRGYVLFREKYKIFVQYLNAAGPTGLAEKMEKLFHTHVRLLDEINIFIIEIDQPKLFRQLPKLFHQQISGLTDTYKEETQQIERLCCCRKDYETMKPPMSVPESRMLDEYIEDGEAEENEVCDYLDEDEEVTDDIQDSLGSYIDEDGVSEEETPFPMIGRNQAIPTWSLASLTYIVKGFDQVDFLDFAEFLESRKLGFKECCTSLFTRINKLCLFQSMQPRLNVERLNIAHPLLNNLLQCLLVGDRLAQALECVQAGDWTVRHSPPLVRCQILWHTCQRWLEM
+>sp|P21796|VDAC1_HUMAN Voltage-dependent anion-selective channel protein 1 OS=Homo sapiens OX=9606 GN=VDAC1 PE=1 SV=2
+MAVPPTYADLGKSARDVFTKGYGFGLIKLDLKTKSENGLEFTSSGSANTETTKVTGSLETKYRWTEYGLTFTEKWNTDNTLGTEITVEDQLARGLKLTFDSSFSPNTGKKNAKIKTGYKREHINLGCDMDFDIAGPSIRGALVLGYEGWLAGYQMNFETAKSRVTQSNFAVGYKTDEFQLHTNVNDGTEFGGSIYQKVNKKLETAVNLAWTAGNSNTRFGIAAKYQIDPDACFSAKVNNSSLIGLGYTQTLKPGIKLTLSALLDGKNVNAGGHKLGLGLEFQA
+>DECOY_sp|P21796|VDAC1_HUMAN Voltage-dependent anion-selective channel protein 1 OS=Homo sapiens OX=9606 GN=VDAC1 PE=1 SV=2
+AQFELGLGLKHGGANVNKGDLLASLTLKIGPKLTQTYGLGILSSNNVKASFCADPDIQYKAAIGFRTNSNGATWALNVATELKKNVKQYISGGFETGDNVNTHLQFEDTKYGVAFNSQTVRSKATEFNMQYGALWGEYGLVLAGRISPGAIDFDMDCGLNIHERKYGTKIKANKKGTNPSFSSDFTLKLGRALQDEVTIETGLTNDTNWKETFTLGYETWRYKTELSGTVKTTETNASGSSTFELGNESKTKLDLKILGFGYGKTFVDRASKGLDAYTPPVAM
+>sp|P49767|VEGFC_HUMAN Vascular endothelial growth factor C OS=Homo sapiens OX=9606 GN=VEGFC PE=1 SV=1
+MHLLGFFSVACSLLAAALLPGPREAPAAAAAFESGLDLSDAEPDAGEATAYASKDLEEQLRSVSSVDELMTVLYPEYWKMYKCQLRKGGWQHNREQANLNSRTEETIKFAAAHYNTEILKSIDNEWRKTQCMPREVCIDVGKEFGVATNTFFKPPCVSVYRCGGCCNSEGLQCMNTSTSYLSKTLFEITVPLSQGPKPVTISFANHTSCRCMSKLDVYRQVHSIIRRSLPATLPQCQAANKTCPTNYMWNNHICRCLAQEDFMFSSDAGDDSTDGFHDICGPNKELDEETCQCVCRAGLRPASCGPHKELDRNSCQCVCKNKLFPSQCGANREFDENTCQCVCKRTCPRNQPLNPGKCACECTESPQKCLLKGKKFHHQTCSCYRRPCTNRQKACEPGFSYSEEVCRCVPSYWKRPQMS
+>DECOY_sp|P49767|VEGFC_HUMAN Vascular endothelial growth factor C OS=Homo sapiens OX=9606 GN=VEGFC PE=1 SV=1
+SMQPRKWYSPVCRCVEESYSFGPECAKQRNTCPRRYCSCTQHHFKKGKLLCKQPSETCECACKGPNLPQNRPCTRKCVCQCTNEDFERNAGCQSPFLKNKCVCQCSNRDLEKHPGCSAPRLGARCVCQCTEEDLEKNPGCIDHFGDTSDDGADSSFMFDEQALCRCIHNNWMYNTPCTKNAAQCQPLTAPLSRRIISHVQRYVDLKSMCRCSTHNAFSITVPKPGQSLPVTIEFLTKSLYSTSTNMCQLGESNCCGGCRYVSVCPPKFFTNTAVGFEKGVDICVERPMCQTKRWENDISKLIETNYHAAAFKITEETRSNLNAQERNHQWGGKRLQCKYMKWYEPYLVTMLEDVSSVSRLQEELDKSAYATAEGADPEADSLDLGSEFAAAAAPAERPGPLLAAALLSCAVSFFGLLHM
+>sp|P62760|VISL1_HUMAN Visinin-like protein 1 OS=Homo sapiens OX=9606 GN=VSNL1 PE=1 SV=2
+MGKQNSKLAPEVMEDLVKSTEFNEHELKQWYKGFLKDCPSGRLNLEEFQQLYVKFFPYGDASKFAQHAFRTFDKNGDGTIDFREFICALSITSRGSFEQKLNWAFNMYDLDGDGKITRVEMLEIIEAIYKMVGTVIMMKMNEDGLTPEQRVDKIFSKMDKNKDDQITLDEFKEAAKSDPSIVLLLQCDIQK
+>DECOY_sp|P62760|VISL1_HUMAN Visinin-like protein 1 OS=Homo sapiens OX=9606 GN=VSNL1 PE=1 SV=2
+KQIDCQLLLVISPDSKAAEKFEDLTIQDDKNKDMKSFIKDVRQEPTLGDENMKMMIVTGVMKYIAEIIELMEVRTIKGDGDLDYMNFAWNLKQEFSGRSTISLACIFERFDITGDGNKDFTRFAHQAFKSADGYPFFKVYLQQFEELNLRGSPCDKLFGKYWQKLEHENFETSKVLDEMVEPALKSNQKGM
+>sp|Q6UXI7|VITRN_HUMAN Vitrin OS=Homo sapiens OX=9606 GN=VIT PE=2 SV=1
+MRTVVLTMKASVIEMFLVLLVTGVHSNKETAKKIKRPKFTVPQINCDVKAGKIIDPEFIVKCPAGCQDPKYHVYGTDVYASYSSVCGAAVHSGVLDNSGGKILVRKVAGQSGYKGSYSNGVQSLSLPRWRESFIVLESKPKKGVTYPSALTYSSSKSPAAQAGETTKAYQRPPIPGTTAQPVTLMQLLAVTVAVATPTTLPRPSPSAASTTSIPRPQSVGHRSQEMDLWSTATYTSSQNRPRADPGIQRQDPSGAAFQKPVGADVSLGLVPKEELSTQSLEPVSLGDPNCKIDLSFLIDGSTSIGKRRFRIQKQLLADVAQALDIGPAGPLMGVVQYGDNPATHFNLKTHTNSRDLKTAIEKITQRGGLSNVGRAISFVTKNFFSKANGNRSGAPNVVVVMVDGWPTDKVEEASRLARESGINIFFITIEGAAENEKQYVVEPNFANKAVCRTNGFYSLHVQSWFGLHKTLQPLVKRVCDTDRLACSKTCLNSADIGFVIDGSSSVGTGNFRTVLQFVTNLTKEFEISDTDTRIGAVQYTYEQRLEFGFDKYSSKPDILNAIKRVGYWSGGTSTGAAINFALEQLFKKSKPNKRKLMILITDGRSYDDVRIPAMAAHLKGVITYAIGVAWAAQEELEVIATHPARDHSFFVDEFDNLHQYVPRIIQNICTEFNSQPRN
+>DECOY_sp|Q6UXI7|VITRN_HUMAN Vitrin OS=Homo sapiens OX=9606 GN=VIT PE=2 SV=1
+NRPQSNFETCINQIIRPVYQHLNDFEDVFFSHDRAPHTAIVELEEQAAWAVGIAYTIVGKLHAAMAPIRVDDYSRGDTILIMLKRKNPKSKKFLQELAFNIAAGTSTGGSWYGVRKIANLIDPKSSYKDFGFELRQEYTYQVAGIRTDTDSIEFEKTLNTVFQLVTRFNGTGVSSSGDIVFGIDASNLCTKSCALRDTDCVRKVLPQLTKHLGFWSQVHLSYFGNTRCVAKNAFNPEVVYQKENEAAGEITIFFINIGSERALRSAEEVKDTPWGDVMVVVVNPAGSRNGNAKSFFNKTVFSIARGVNSLGGRQTIKEIATKLDRSNTHTKLNFHTAPNDGYQVVGMLPGAPGIDLAQAVDALLQKQIRFRRKGISTSGDILFSLDIKCNPDGLSVPELSQTSLEEKPVLGLSVDAGVPKQFAAGSPDQRQIGPDARPRNQSSTYTATSWLDMEQSRHGVSQPRPISTTSAASPSPRPLTTPTAVAVTVALLQMLTVPQATTGPIPPRQYAKTTEGAQAAPSKSSSYTLASPYTVGKKPKSELVIFSERWRPLSLSQVGNSYSGKYGSQGAVKRVLIKGGSNDLVGSHVAAGCVSSYSAYVDTGYVHYKPDQCGAPCKVIFEPDIIKGAKVDCNIQPVTFKPRKIKKATEKNSHVGTVLLVLFMEIVSAKMTLVVTRM
+>sp|Q3ZAQ7|VMA21_HUMAN Vacuolar ATPase assembly integral membrane protein VMA21 OS=Homo sapiens OX=9606 GN=VMA21 PE=1 SV=1
+MERPDKAALNALQPPEFRNESSLASTLKTLLFFTALMITVPIGLYFTTKSYIFEGALGMSNRDSYFYAAIVAVVAVHVVLALFVYVAWNEGSRQWREGKQD
+>DECOY_sp|Q3ZAQ7|VMA21_HUMAN Vacuolar ATPase assembly integral membrane protein VMA21 OS=Homo sapiens OX=9606 GN=VMA21 PE=1 SV=1
+DQKGERWQRSGENWAVYVFLALVVHVAVVAVIAAYFYSDRNSMGLAGEFIYSKTTFYLGIPVTIMLATFFLLTKLTSALSSENRFEPPQLANLAAKDPREM
+>sp|P63121|VP113_HUMAN Endogenous retrovirus group K member 113 Pro protein OS=Homo sapiens OX=9606 GN=HERVK_113 PE=3 SV=1
+WASQVSENRPVCKAIIQGKQFEGLVDTGADVSIIALNQWPKNWPKQKAVTGLVGISTASEVYQSTEILHCLGPDNQESTVQPMITSIPLNLWGRDLLQQWGVEITMPAPLYSPTSQKIMTKMGYIPGKGLGKNEDGIKVPVEAKINQEREGIGYPF
+>DECOY_sp|P63121|VP113_HUMAN Endogenous retrovirus group K member 113 Pro protein OS=Homo sapiens OX=9606 GN=HERVK_113 PE=3 SV=1
+FPYGIGEREQNIKAEVPVKIGDENKGLGKGPIYGMKTMIKQSTPSYLPAPMTIEVGWQQLLDRGWLNLPISTIMPQVTSEQNDPGLCHLIETSQYVESATSIGVLGTVAKQKPWNKPWQNLAIISVDAGTDVLGEFQKGQIIAKCVPRNESVQSAW
+>sp|Q7Z7G8|VP13B_HUMAN Vacuolar protein sorting-associated protein 13B OS=Homo sapiens OX=9606 GN=VPS13B PE=1 SV=2
+MLESYVTPILMSYVNRYIKNLKPSDLQLSLWGGDVVLSKLELKLDVLEQELKLPFTFLSGHIHELRIHVPWTKLGSEPVVITINTMECILKLKDGIQDDHESCGSNSTNRSTAESTKSSIKPRRMQQAAPTDPDLPPGYVQSLIRRVVNNVNIVINNLILKYVEDDIVLSVNITSAECYTVGELWDRAFMDISATDLVLRKVINFSDCTVCLDKRNASGKIEFYQDPLLYKCSFRTRLHFTYENLNSKMPSVIKIHTLVESLKLSITDQQLPMFIRIMQLGIALYYGEIGNFKEGEIEDLTCHNKDMLGNITGSEDETRIDMQYPAQHKGQELYSQQDEEQPQGWVSWAWSFVPAIVSYDDGEEDFVGNDPASTMHQQKAQTLKDPIVSIGFYCTKATVTFKLTEMQVESSYYSPQKVKSKEVLCWEQEGTTVEALMMGEPFFDCQIGFVGCRAMCLKGIMGVKDFEENMNRSETEACFFICGDNLSTKGFTYLTNSLFDYRSPENNGTRAEFILDSTHHKETYTEIAGMQRFGAFYMDYLYTMENTSGKGSTNQQDFSSGKSEDLGTVQEKSTKSLVIGPLDFRLDSSAVHRILKMIVCALEHEYEPYSRLKSDIKDENETILNPEEVALLEEYIPTRHTSVTLLKCTCTISMAEFNLLDHLLPVIMGEKNSSNFMNTTNFQSLRPLPSIRILVDKINLEHSVPMYAEQLVHVVSSLTQPSDNLLHYCYVHCYLKIFGFQAGLTSLDCSGSYCLPVPVIPSFSTALYGKLLKLPTCWTKRSQIAITEGIFELPNLTIQATRAQTLLLQAIYQSWSHLGNVSSSAVIEALINEIFLSIGVKSKNPLPTLEGSIQNVELKYCSTSLVKCASGTMGSIKICAKAPVDSGKEKLIPLLQGPSDTKDLHSTKWLNESRKPESLLAPDLMAFTIQVPQYIDYCHNSGAVLLCSIQGLAVNIDPILYTWLIYQPQKRTSRHMQQQPVVAVPLVMPVCRRKEDEVSIGSAPLAKQQSYQASEYASSPVKTKTVTESRPLSVPVKAMLNISESCRSPEERMKEFIGIVWNAVKHLTLQLEVQSCCVFIPNDSLPSPSTIVSGDIPGTVRSWYHGQTSMPGTLVLCLPQIKIISAGHKYMEPLQEIPFVIPRPILEEGDAFPWTISLHNFSIYTLLGKQVTLCLVEPMGCTSTLAVTSQKLLATGPDTRHSFVVCLHVDLESLEIKCSNPQVQLFYELTDIMNKVWNKIQKRGNLNLSPTSPETMAGPVPTSPVRSSIGTAPPDTSTCSPSADIGTTTEGDSIQAGEESPFSDSVTLEQTTSNIGGTSGRVSLWMQWVLPKITIKLFAPDPENKGTEVCMVSELEDLSASIDVQDVYTKVKCKIESFNIDHYRSSLGEECWSLGQCGGVFLSCTDKLNRRTLLVRPISKQDPFSNCSGFFPSTTTKLLDGTHQQHGFLSLTYTKAVTKNVRHKLTSRNERRSFHKLSEGLMDGSPHFLHEILLSAQAFDIVLYFPLLNAIASIFQAKLPKTQKEKRKSPGQPMRTHTLTSRNLPLIYVNTSVIRIFIPKTEEMQPTVEANQAAKEDTVVLKIGSVAMAPQADNPLGRSVLRKDIYQRALNLGILRDPGSEIEDRQYQIDLQSINIGTAQWHQLKPEKESVSGGVVTETERNSQNPALEWNMASSIRRHQERRAILTPVLTDFSVRITGAPAVIFTKVVSPENLHTEEILVCGHSLEVNITTNLDFFLSVAQVQLLHQLIVANMTGLEPSNKAAEISKQEQKKVDIFDGGMAETSSRYSGAQDSGIGSDSVKIRIVQIEQHSGASQHRIARPSRQSSIVKNLNFIPFDIFITASRISLMTYSCMALSKSKSQEQKNNEKTDKSSLNLPEVDSDVAKPNQACISTVTAEDLLRSSISFPSGKKIGVLSLESLHASTRSSARQALGITIVRQPGRRGTGDLQLEPFLYFIVSQPSLLLSCHHRKQRVEVSIFDAVLKGVASDYKCIDPGKTLPEALDYCTVWLQTVPGEIDSKSGIPPSFITLQIKDFLNGPADVNLDISKPLKANLSFTKLDQINLFLKKIKNAHSLAHSEETSAMSNTMVNKDDLPVSKYYRGKLSKPKIHGDGVQKISAQENMWRAVSCFQKISVQTTQIVISMETVPHTSKPCLLASLSNLNGSLSVKATQKVPGIILGSSFLLSINDFLLKTSLKERSRILIGPCCATANLEAKWCKHSGNPGPEQSIPKISIDLRGGLLQVFWGQEHLNCLVLLHELLNGYLNEEGNFEVQVSEPVPQMSSPVEKNQTFKSEQSSDDLRTGLFQYVQDAESLKLPGVYEVLFYNETEDCPGMMLWRYPEPRVLTLVRITPVPFNTTEDPDISTADLGDVLQVPCSLEYWDELQKVFVAFREFNLSESKVCELQLPDINLVNDQKKLVSSDLWRIVLNSSQNGADDQSSASESGSQSTCDPLVTPTALAACTRVDSCFTPWFVPSLCVSFQFAHLEFHLCHHLDQLGTAAPQYLQPFVSDRNMPSELEYMIVSFREPHMYLRQWNNGSVCQEIQFLAQADCKLLECRNVTMQSVVKPFSIFGQMAVSSDVVEKLLDCTVIVDSVFVNLGQHVVHSLNTAIQAWQQNKCPEVEELVFSHFVICNDTQETLRFGQVDTDENILLASLHSHQYSWRSHKSPQLLHICIEGWGNWRWSEPFSVDHAGTFIRTIQYRGRTASLIIKVQQLNGVQKQIIICGRQIICSYLSQSIELKVVQHYIGQDGQAVVREHFDCLTAKQKLPSYILENNELTELCVKAKGDEDWSRDVCLESKAPEYSIVIQVPSSNSSIIYVWCTVLTLEPNSQVQQRMIVFSPLFIMRSHLPDPIIIHLEKRSLGLSETQIIPGKGQEKPLQNIEPDLVHHLTFQAREEYDPSDCAVPISTSLIKQIATKVHPGGTVNQILDEFYGPEKSLQPIWPYNKKDSDRNEQLSQWDSPMRVKLSIWKPYVRTLLIELLPWALLINESKWDLWLFEGEKIVLQVPAGKIIIPPNFQEAFQIGIYWANTNTVHKSVAIKLVHNLTSPKWKDGGNGEVVTLDEEAFVDTEIRLGAFPGHQKLCQFCISSMVQQGIQIIQIEDKTTIINNTPYQIFYKPQLSVCNPHSGKEYFRVPDSATFSICPGGEQPAMKSSSLPCWDLMPDISQSVLDASLLQKQIMLGFSPAPGADSSQCWSLPAIVRPEFPRQSVAVPLGNFRENGFCTRAIVLTYQEHLGVTYLTLSEDPSPRVIIHNRCPVKMLIKENIKDIPKFEVYCKKIPSECSIHHELYHQISSYPDCKTKDLLPSLLLRVEPLDEVTTEWSDAIDINSQGTQVVFLTGFGYVYVDVVHQCGTVFITVAPEGKAGPILTNTNRAPEKIVTFKMFITQLSLAVFDDLTHHKASAELLRLTLDNIFLCVAPGAGPLPGEEPVAALFELYCVEICCGDLQLDNQLYNKSNFHFAVLVCQGEKAEPIQCSKMQSLLISNKELEEYKEKCFIKLCITLNEGKSILCDINEFSFELKPARLYVEDTFVYYIKTLFDTYLPNSRLAGHSTHLSGGKQVLPMQVTQHARALVNPVKLRKLVIQPVNLLVSIHASLKLYIASDHTPLSFSVFERGPIFTTARQLVHALAMHYAAGALFRAGWVVGSLDILGSPASLVRSIGNGVADFFRLPYEGLTRGPGAFVSGVSRGTTSFVKHISKGTLTSITNLATSLARNMDRLSLDEEHYNRQEEWRRQLPESLGEGLRQGLSRLGISLLGAIAGIVDQPMQNFQKTSEAQASAGHKAKGVISGVGKGIMGVFTKPIGGAAELVSQTGYGILHGAGLSQLPKQRHQPSDLHADQAPNSHVKYVWKMLQSLGRPEVHMALDVVLVRGSGQEHEGCLLLTSEVLFVVSVSEDTQQQAFPVTEIDCAQDSKQNNLLTVQLKQPRVACDVEVDGVRERLSEQQYNRLVDYITKTSCHLAPSCSSMQIPCPVVAAEPPPSTVKTYHYLVDPHFAQVFLSKFTMVKNKALRKGFP
+>DECOY_sp|Q7Z7G8|VP13B_HUMAN Vacuolar protein sorting-associated protein 13B OS=Homo sapiens OX=9606 GN=VPS13B PE=1 SV=2
+PFGKRLAKNKVMTFKSLFVQAFHPDVLYHYTKVTSPPPEAAVVPCPIQMSSCSPALHCSTKTIYDVLRNYQQESLRERVGDVEVDCAVRPQKLQVTLLNNQKSDQACDIETVPFAQQQTDESVSVVFLVESTLLLCGEHEQGSGRVLVVDLAMHVEPRGLSQLMKWVYKVHSNPAQDAHLDSPQHRQKPLQSLGAGHLIGYGTQSVLEAAGGIPKTFVGMIGKGVGSIVGKAKHGASAQAESTKQFNQMPQDVIGAIAGLLSIGLRSLGQRLGEGLSEPLQRRWEEQRNYHEEDLSLRDMNRALSTALNTISTLTGKSIHKVFSTTGRSVGSVFAGPGRTLGEYPLRFFDAVGNGISRVLSAPSGLIDLSGVVWGARFLAGAAYHMALAHVLQRATTFIPGREFVSFSLPTHDSAIYLKLSAHISVLLNVPQIVLKRLKVPNVLARAHQTVQMPLVQKGGSLHTSHGALRSNPLYTDFLTKIYYVFTDEVYLRAPKLEFSFENIDCLISKGENLTICLKIFCKEKYEELEKNSILLSQMKSCQIPEAKEGQCVLVAFHFNSKNYLQNDLQLDGCCIEVCYLEFLAAVPEEGPLPGAGPAVCLFINDLTLRLLEASAKHHTLDDFVALSLQTIFMKFTVIKEPARNTNTLIPGAKGEPAVTIFVTGCQHVVDVYVYGFGTLFVVQTGQSNIDIADSWETTVEDLPEVRLLLSPLLDKTKCDPYSSIQHYLEHHISCESPIKKCYVEFKPIDKINEKILMKVPCRNHIIVRPSPDESLTLYTVGLHEQYTLVIARTCFGNERFNGLPVAVSQRPFEPRVIAPLSWCQSSDAGPAPSFGLMIQKQLLSADLVSQSIDPMLDWCPLSSSKMAPQEGGPCISFTASDPVRFYEKGSHPNCVSLQPKYFIQYPTNNIITTKDEIQIIQIGQQVMSSICFQCLKQHGPFAGLRIETDVFAEEDLTVVEGNGGDKWKPSTLNHVLKIAVSKHVTNTNAWYIGIQFAEQFNPPIIIKGAPVQLVIKEGEFLWLDWKSENILLAWPLLEILLTRVYPKWISLKVRMPSDWQSLQENRDSDKKNYPWIPQLSKEPGYFEDLIQNVTGGPHVKTAIQKILSTSIPVACDSPDYEERAQFTLHHVLDPEINQLPKEQGKGPIIQTESLGLSRKELHIIIPDPLHSRMIFLPSFVIMRQQVQSNPELTLVTCWVYIISSNSSPVQIVISYEPAKSELCVDRSWDEDGKAKVCLETLENNELIYSPLKQKATLCDFHERVVAQGDQGIYHQVVKLEISQSLYSCIIQRGCIIIQKQVGNLQQVKIILSATRGRYQITRIFTGAHDVSFPESWRWNGWGEICIHLLQPSKHSRWSYQHSHLSALLINEDTDVQGFRLTEQTDNCIVFHSFVLEEVEPCKNQQWAQIATNLSHVVHQGLNVFVSDVIVTCDLLKEVVDSSVAMQGFISFPKVVSQMTVNRCELLKCDAQALFQIEQCVSGNNWQRLYMHPERFSVIMYELESPMNRDSVFPQLYQPAATGLQDLHHCLHFELHAFQFSVCLSPVFWPTFCSDVRTCAALATPTVLPDCTSQSGSESASSQDDAGNQSSNLVIRWLDSSVLKKQDNVLNIDPLQLECVKSESLNFERFAVFVKQLEDWYELSCPVQLVDGLDATSIDPDETTNFPVPTIRVLTLVRPEPYRWLMMGPCDETENYFLVEYVGPLKLSEADQVYQFLGTRLDDSSQESKFTQNKEVPSSMQPVPESVQVEFNGEENLYGNLLEHLLVLCNLHEQGWFVQLLGGRLDISIKPISQEPGPNGSHKCWKAELNATACCPGILIRSREKLSTKLLFDNISLLFSSGLIIGPVKQTAKVSLSGNLNSLSALLCPKSTHPVTEMSIVIQTTQVSIKQFCSVARWMNEQASIKQVGDGHIKPKSLKGRYYKSVPLDDKNVMTNSMASTEESHALSHANKIKKLFLNIQDLKTFSLNAKLPKSIDLNVDAPGNLFDKIQLTIFSPPIGSKSDIEGPVTQLWVTCYDLAEPLTKGPDICKYDSAVGKLVADFISVEVRQKRHHCSLLLSPQSVIFYLFPELQLDGTGRRGPQRVITIGLAQRASSRTSAHLSELSLVGIKKGSPFSISSRLLDEATVTSICAQNPKAVDSDVEPLNLSSKDTKENNKQEQSKSKSLAMCSYTMLSIRSATIFIDFPIFNLNKVISSQRSPRAIRHQSAGSHQEIQVIRIKVSDSGIGSDQAGSYRSSTEAMGGDFIDVKKQEQKSIEAAKNSPELGTMNAVILQHLLQVQAVSLFFDLNTTINVELSHGCVLIEETHLNEPSVVKTFIVAPAGTIRVSFDTLVPTLIARREQHRRISSAMNWELAPNQSNRETETVVGGSVSEKEPKLQHWQATGINISQLDIQYQRDEIESGPDRLIGLNLARQYIDKRLVSRGLPNDAQPAMAVSGIKLVVTDEKAAQNAEVTPQMEETKPIFIRIVSTNVYILPLNRSTLTHTRMPQGPSKRKEKQTKPLKAQFISAIANLLPFYLVIDFAQASLLIEHLFHPSGDMLGESLKHFSRRENRSTLKHRVNKTVAKTYTLSLFGHQQHTGDLLKTTTSPFFGSCNSFPDQKSIPRVLLTRRNLKDTCSLFVGGCQGLSWCEEGLSSRYHDINFSEIKCKVKTYVDQVDISASLDELESVMCVETGKNEPDPAFLKITIKPLVWQMWLSVRGSTGGINSTTQELTVSDSFPSEEGAQISDGETTTGIDASPSCTSTDPPATGISSRVPSTPVPGAMTEPSTPSLNLNGRKQIKNWVKNMIDTLEYFLQVQPNSCKIELSELDVHLCVVFSHRTDPGTALLKQSTVALTSTCGMPEVLCLTVQKGLLTYISFNHLSITWPFADGEELIPRPIVFPIEQLPEMYKHGASIIKIQPLCLVLTGPMSTQGHYWSRVTGPIDGSVITSPSPLSDNPIFVCCSQVELQLTLHKVANWVIGIFEKMREEPSRCSESINLMAKVPVSLPRSETVTKTKVPSSAYESAQYSQQKALPASGISVEDEKRRCVPMVLPVAVVPQQQMHRSTRKQPQYILWTYLIPDINVALGQISCLLVAGSNHCYDIYQPVQITFAMLDPALLSEPKRSENLWKTSHLDKTDSPGQLLPILKEKGSDVPAKACIKISGMTGSACKVLSTSCYKLEVNQISGELTPLPNKSKVGISLFIENILAEIVASSSVNGLHSWSQYIAQLLLTQARTAQITLNPLEFIGETIAIQSRKTWCTPLKLLKGYLATSFSPIVPVPLCYSGSCDLSTLGAQFGFIKLYCHVYCYHLLNDSPQTLSSVVHVLQEAYMPVSHELNIKDVLIRISPLPRLSQFNTTNMFNSSNKEGMIVPLLHDLLNFEAMSITCTCKLLTVSTHRTPIYEELLAVEEPNLITENEDKIDSKLRSYPEYEHELACVIMKLIRHVASSDLRFDLPGIVLSKTSKEQVTGLDESKGSSFDQQNTSGKGSTNEMTYLYDMYFAGFRQMGAIETYTEKHHTSDLIFEARTGNNEPSRYDFLSNTLYTFGKTSLNDGCIFFCAETESRNMNEEFDKVGMIGKLCMARCGVFGIQCDFFPEGMMLAEVTTGEQEWCLVEKSKVKQPSYYSSEVQMETLKFTVTAKTCYFGISVIPDKLTQAKQQHMTSAPDNGVFDEEGDDYSVIAPVFSWAWSVWGQPQEEDQQSYLEQGKHQAPYQMDIRTEDESGTINGLMDKNHCTLDEIEGEKFNGIEGYYLAIGLQMIRIFMPLQQDTISLKLSEVLTHIKIVSPMKSNLNEYTFHLRTRFSCKYLLPDQYFEIKGSANRKDLCVTCDSFNIVKRLVLDTASIDMFARDWLEGVTYCEASTINVSLVIDDEVYKLILNNIVINVNNVVRRILSQVYGPPLDPDTPAAQQMRRPKISSKTSEATSRNTSNSGCSEHDDQIGDKLKLICEMTNITIVVPESGLKTWPVHIRLEHIHGSLFTFPLKLEQELVDLKLELKSLVVDGGWLSLQLDSPKLNKIYRNVYSMLIPTVYSELM
+>sp|Q4G0F5|VP26B_HUMAN Vacuolar protein sorting-associated protein 26B OS=Homo sapiens OX=9606 GN=VPS26B PE=1 SV=2
+MSFFGFGQSVEVEILLNDAESRKRAEHKTEDGKKEKYFLFYDGETVSGKVSLALKNPNKRLEHQGIKIEFIGQIELYYDRGNHHEFVSLVKDLARPGEITQSQAFDFEFTHVEKPYESYTGQNVKLRYFLRATISRRLNDVVKEMDIVVHTLSTYPELNSSIKMEVGIEDCLHIEFEYNKSKYHLKDVIVGKIYFLLVRIKIKHMEIDIIKRETTGTGPNVYHENDTIAKYEIMDGAPVRGESIPIRLFLAGYELTPTMRDINKKFSVRYYLNLVLIDEEERRYFKQQEVVLWRKGDIVRKSMSHQAAIASQRFEGTTSLGEVRTPSQLSDNNCRQ
+>DECOY_sp|Q4G0F5|VP26B_HUMAN Vacuolar protein sorting-associated protein 26B OS=Homo sapiens OX=9606 GN=VPS26B PE=1 SV=2
+QRCNNDSLQSPTRVEGLSTTGEFRQSAIAAQHSMSKRVIDGKRWLVVEQQKFYRREEEDILVLNLYYRVSFKKNIDRMTPTLEYGALFLRIPISEGRVPAGDMIEYKAITDNEHYVNPGTGTTERKIIDIEMHKIKIRVLLFYIKGVIVDKLHYKSKNYEFEIHLCDEIGVEMKISSNLEPYTSLTHVVIDMEKVVDNLRRSITARLFYRLKVNQGTYSEYPKEVHTFEFDFAQSQTIEGPRALDKVLSVFEHHNGRDYYLEIQGIFEIKIGQHELRKNPNKLALSVKGSVTEGDYFLFYKEKKGDETKHEARKRSEADNLLIEVEVSQGFGFFSM
+>sp|A5D8V6|VP37C_HUMAN Vacuolar protein sorting-associated protein 37C OS=Homo sapiens OX=9606 GN=VPS37C PE=1 SV=2
+METLKDKTLQELEELQNDSEAIDQLALESPEVQDLQLEREMALATNRSLAERNLEFQGPLEISRSNLSDRYQELRKLVERCQEQKAKLEKFSSALQPGTLLDLLQVEGMKIEEESEAMAEKFLEGEVPLETFLENFSSMRMLSHLRRVRVEKLQEVVRKPRASQELAGDAPPPRPPPPVRPVPQGTPPVVEEQPQPPLAMPPYPLPYSPSPSLPVGPTAHGALPPAPFPVVSQPSFYSGPLGPTYPAAQLGPRGAAGYSWSPQRSMPPRPGYPGTPMGASGPGYPLRGGRAPSPGYPQQSPYPATGGKPPYPIQPQLPSFPGQPQPSVPLQPPYPPGPAPPYGFPPPPGPAWPGY
+>DECOY_sp|A5D8V6|VP37C_HUMAN Vacuolar protein sorting-associated protein 37C OS=Homo sapiens OX=9606 GN=VPS37C PE=1 SV=2
+YGPWAPGPPPPFGYPPAPGPPYPPQLPVSPQPQGPFSPLQPQIPYPPKGGTAPYPSQQPYGPSPARGGRLPYGPGSAGMPTGPYGPRPPMSRQPSWSYGAAGRPGLQAAPYTPGLPGSYFSPQSVVPFPAPPLAGHATPGVPLSPSPSYPLPYPPMALPPQPQEEVVPPTGQPVPRVPPPPRPPPADGALEQSARPKRVVEQLKEVRVRRLHSLMRMSSFNELFTELPVEGELFKEAMAESEEEIKMGEVQLLDLLTGPQLASSFKELKAKQEQCREVLKRLEQYRDSLNSRSIELPGQFELNREALSRNTALAMERELQLDQVEPSELALQDIAESDNQLEELEQLTKDKLTEM
+>sp|Q9HBG4|VPP4_HUMAN V-type proton ATPase 116 kDa subunit a isoform 4 OS=Homo sapiens OX=9606 GN=ATP6V0A4 PE=1 SV=2
+MVSVFRSEEMCLSQLFLQVEAAYCCVAELGELGLVQFKDLNMNVNSFQRKFVNEVRRCESLERILRFLEDEMQNEIVVQLLEKSPLTPLPREMITLETVLEKLEGELQEANQNQQALKQSFLELTELKYLLKKTQDFFETETNLADDFFTEDTSGLLELKAVPAYMTGKLGFIAGVINRERMASFERLLWRICRGNVYLKFSEMDAPLEDPVTKEEIQKNIFIIFYQGEQLRQKIKKICDGFRATVYPCPEPAVERREMLESVNVRLEDLITVITQTESHRQRLLQEAAANWHSWLIKVQKMKAVYHILNMCNIDVTQQCVIAEIWFPVADATRIKRALEQGMELSGSSMAPIMTTVQSKTAPPTFNRTNKFTAGFQNIVDAYGVGSYREINPAPYTIITFPFLFAVMFGDCGHGTVMLLAALWMILNERRLLSQKTDNEIWNTFFHGRYLILLMGIFSIYTGLIYNDCFSKSLNIFGSSWSVQPMFRNGTWNTHVMEESLYLQLDPAIPGVYFGNPYPFGIDPIWNLASNKLTFLNSYKMKMSVILGIVQMVFGVILSLFNHIYFRRTLNIILQFIPEMIFILCLFGYLVFMIIFKWCCFDVHVSQHAPSILIHFINMFLFNYSDSSNAPLYKHQQEVQSFFVVMALISVPWMLLIKPFILRASHRKSQLQASRIQEDATENIEGDSSSPSSRSGQRTSADTHGALDDHGEEFNFGDVFVHQAIHTIEYCLGCISNTASYLRLWALSLAHAQLSEVLWTMVMNSGLQTRGWGGIVGVFIIFAVFAVLTVAILLIMEGLSAFLHALRLHWVEFQNKFYVGDGYKFSPFSFKHILDGTAEE
+>DECOY_sp|Q9HBG4|VPP4_HUMAN V-type proton ATPase 116 kDa subunit a isoform 4 OS=Homo sapiens OX=9606 GN=ATP6V0A4 PE=1 SV=2
+EEATGDLIHKFSFPSFKYGDGVYFKNQFEVWHLRLAHLFASLGEMILLIAVTLVAFVAFIIFVGVIGGWGRTQLGSNMVMTWLVESLQAHALSLAWLRLYSATNSICGLCYEITHIAQHVFVDGFNFEEGHDDLAGHTDASTRQGSRSSPSSSDGEINETADEQIRSAQLQSKRHSARLIFPKILLMWPVSILAMVVFFSQVEQQHKYLPANSSDSYNFLFMNIFHILISPAHQSVHVDFCCWKFIIMFVLYGFLCLIFIMEPIFQLIINLTRRFYIHNFLSLIVGFVMQVIGLIVSMKMKYSNLFTLKNSALNWIPDIGFPYPNGFYVGPIAPDLQLYLSEEMVHTNWTGNRFMPQVSWSSGFINLSKSFCDNYILGTYISFIGMLLILYRGHFFTNWIENDTKQSLLRRENLIMWLAALLMVTGHGCDGFMVAFLFPFTIITYPAPNIERYSGVGYADVINQFGATFKNTRNFTPPATKSQVTTMIPAMSSGSLEMGQELARKIRTADAVPFWIEAIVCQQTVDINCMNLIHYVAKMKQVKILWSHWNAAAEQLLRQRHSETQTIVTILDELRVNVSELMERREVAPEPCPYVTARFGDCIKKIKQRLQEGQYFIIFINKQIEEKTVPDELPADMESFKLYVNGRCIRWLLREFSAMRERNIVGAIFGLKGTMYAPVAKLELLGSTDETFFDDALNTETEFFDQTKKLLYKLETLELFSQKLAQQNQNAEQLEGELKELVTELTIMERPLPTLPSKELLQVVIENQMEDELFRLIRELSECRRVENVFKRQFSNVNMNLDKFQVLGLEGLEAVCCYAAEVQLFLQSLCMEESRFVSVM
+>sp|Q9BRG1|VPS25_HUMAN Vacuolar protein-sorting-associated protein 25 OS=Homo sapiens OX=9606 GN=VPS25 PE=1 SV=1
+MAMSFEWPWQYRFPPFFTLQPNVDTRQKQLAAWCSLVLSFCRLHKQSSMTVMEAQESPLFNNVKLQRKLPVESIQIVLEELRKKGNLEWLDKSKSSFLIMWRRPEEWGKLIYQWVSRSGQNNSVFTLYELTNGEDTEDEEFHGLDEATLLRALQALQQEHKAEIITVSDGRGVKFF
+>DECOY_sp|Q9BRG1|VPS25_HUMAN Vacuolar protein-sorting-associated protein 25 OS=Homo sapiens OX=9606 GN=VPS25 PE=1 SV=1
+FFKVGRGDSVTIIEAKHEQQLAQLARLLTAEDLGHFEEDETDEGNTLEYLTFVSNNQGSRSVWQYILKGWEEPRRWMILFSSKSKDLWELNGKKRLEELVIQISEVPLKRQLKVNNFLPSEQAEMVTMSSQKHLRCFSLVLSCWAALQKQRTDVNPQLTFFPPFRYQWPWEFSMAM
+>sp|Q8N1B4|VPS52_HUMAN Vacuolar protein sorting-associated protein 52 homolog OS=Homo sapiens OX=9606 GN=VPS52 PE=1 SV=1
+MAAAATMAAAARELVLRAGTSDMEEEEGPLAGGPGLQEPLQLGELDITSDEFILDEVDVHIQANLEDELVKEALKTGVDLRHYSKQVELELQQIEQKSIRDYIQESENIASLHNQITACDAVLERMEQMLGAFQSDLSSISSEIRTLQEQSGAMNIRLRNRQAVRGKLGELVDGLVVPSALVTAILEAPVTEPRFLEQLQELDAKAAAVREQEARGTAACADVRGVLDRLRVKAVTKIREFILQKIYSFRKPMTNYQIPQTALLKYRFFYQFLLGNERATAKEIRDEYVETLSKIYLSYYRSYLGRLMKVQYEEVAEKDDLMGVEDTAKKGFFSKPSLRSRNTIFTLGTRGSVISPTELEAPILVPHTAQRGEQRYPFEALFRSQHYALLDNSCREYLFICEFFVVSGPAAHDLFHAVMGRTLSMTLKHLDSYLADCYDAIAVFLCIHIVLRFRNIAAKRDVPALDRYWEQVLALLWPRFELILEMNVQSVRSTDPQRLGGLDTRPHYITRRYAEFSSALVSINQTIPNERTMQLLGQLQVEVENFVLRVAAEFSSRKEQLVFLINNYDMMLGVLMERAADDSKEVESFQQLLNARTQEFIEELLSPPFGGLVAFVKEAEALIERGQAERLRGEEARVTQLIRGFGSSWKSSVESLSQDVMRSFTNFRNGTSIIQGALTQLIQLYHRFHRVLSQPQLRALPARAELINIHHLMVELKKHKPNF
+>DECOY_sp|Q8N1B4|VPS52_HUMAN Vacuolar protein sorting-associated protein 52 homolog OS=Homo sapiens OX=9606 GN=VPS52 PE=1 SV=1
+FNPKHKKLEVMLHHINILEARAPLARLQPQSLVRHFRHYLQILQTLAGQIISTGNRFNTFSRMVDQSLSEVSSKWSSGFGRILQTVRAEEGRLREAQGREILAEAEKVFAVLGGFPPSLLEEIFEQTRANLLQQFSEVEKSDDAAREMLVGLMMDYNNILFVLQEKRSSFEAAVRLVFNEVEVQLQGLLQMTRENPITQNISVLASSFEAYRRTIYHPRTDLGGLRQPDTSRVSQVNMELILEFRPWLLALVQEWYRDLAPVDRKAAINRFRLVIHICLFVAIADYCDALYSDLHKLTMSLTRGMVAHFLDHAAPGSVVFFECIFLYERCSNDLLAYHQSRFLAEFPYRQEGRQATHPVLIPAELETPSIVSGRTGLTFITNRSRLSPKSFFGKKATDEVGMLDDKEAVEEYQVKMLRGLYSRYYSLYIKSLTEVYEDRIEKATARENGLLFQYFFRYKLLATQPIQYNTMPKRFSYIKQLIFERIKTVAKVRLRDLVGRVDACAATGRAEQERVAAAKADLEQLQELFRPETVPAELIATVLASPVVLGDVLEGLKGRVAQRNRLRINMAGSQEQLTRIESSISSLDSQFAGLMQEMRELVADCATIQNHLSAINESEQIYDRISKQEIQQLELEVQKSYHRLDVGTKLAEKVLEDELNAQIHVDVEDLIFEDSTIDLEGLQLPEQLGPGGALPGEEEEMDSTGARLVLERAAAAMTAAAAM
+>sp|Q8N3P4|VPS8_HUMAN Vacuolar protein sorting-associated protein 8 homolog OS=Homo sapiens OX=9606 GN=VPS8 PE=1 SV=3
+MENEPDHENVEQSLCAKTSEEELNKSFNLEASLSKFSYIDMDKELEFKNDLIDDKEFDIPQVDTPPTLESILNETDDEDESFILEDPTLLNIDTIDSHSYDTSSVASSDSGDRTNLKRKKKLPDSFSLHGSVMRHSLLKGISAQIVSAADKVDAGLPTAIAVSSLIAVGTSHGLALIFGKDQNQALRLCLGSTSVGGQYGAISALSINNDCSRLLCGFAKGQITMWDLASGKLLRSITDAHPPGTAILHIKFTDDPTLAICNDSGGSVFELTFKRVMGVRTCESRCLFSGSKGEVCCIEPLHSKPELKDHPITQFSLLAMASLTKILVIGLKPSLKVWMTFPYGRMDPSSVPLLAWHFVAVQNYVNPMLAFCRGDVVHFLLVKRDESGAIHVTKQKHLHLYYDLINFTWINSRTVVLLDSVEKLHVIDRQTQEELETVEISEVQLVYNSSHFKSLATGGNVSQALALVGEKACYQSISSYGGQIFYLGTKSVYVMMLRSWRERVDHLLKQDCLTEALALAWSFHEGKAKAVVGLSGDASKRKAIVADRMVEILFHYADRALKKCPDQGKIQVMEQHFQDMVPVIVDYCLLLQRKDLLFSQMYDKLSENSVAKGVFLECLEPYILSDKLVGITPQVMKDLIVHFQDKKLMENVEALIVHMDITSLDIQQVVLMCWENRLYDAMIYVYNRGMNEFISPMEKLFRVIAPPLNAGKTLTDEQVVMGNKLLVYISCCLAGRAYPLGDIPEDLVPLVKNQVFEFLIRLHSAEASPEEEIYPYIRTLLHFDTREFLNVLALTFEDFKNDKQAVEYQQRIVDILLKVMVENSDFTPSQVGCLFTFLARQLAKPDNTLFVNRTLFDQVLEFLCSPDDDSRHSERQQVLLELLQAGGIVQFEESRLIRMAEKAEFYQICEFMYEREHQYDKIIDCYLRDPLREEEVFNYIHNILSIPGHSAEEKQSVWQKAMDHIEELVSLKPCKAAELVATHFSGHIETVIKKLQNQVLLFKFLRSLLDPREGIHVNQELLQISPCITEQFIELLCQFNPTQVIETLQVLECYRLEETIQITQKYQLHEVTAYLLEKKGDIHGAFLIMLERLQSKLQEVTHQGENTKEDPSLKDVEDTMVETIALCQRNSHNLNQQQREALWFPLLEAMMAPQKLSSSAIPHLHSEALKSLTMQVLNSMAAFIALPSILQRILQDPVYGKGKLGEIQGLILGMLDTFNYEQTLLETTTSLLNQDLHWSLCNLRASVTRGLNPKQDYCSICLQQYKRRQEMADEIIVFSCGHLYHSFCLQNKECTVEFEGQTRWTCYKCSSSNKVGKLSENSSEIKKGRITPSQVKMSPSYHQSKGDPTAKKGTSEPVLDPQQIQAFDQLCRLYRGSSRLALLTELSQNRSSESYRPFSGSQSAPAFNSIFQNENFQLQLIPPPVTED
+>DECOY_sp|Q8N3P4|VPS8_HUMAN Vacuolar protein sorting-associated protein 8 homolog OS=Homo sapiens OX=9606 GN=VPS8 PE=1 SV=3
+DETVPPPILQLQFNENQFISNFAPASQSGSFPRYSESSRNQSLETLLALRSSGRYLRCLQDFAQIQQPDLVPESTGKKATPDGKSQHYSPSMKVQSPTIRGKKIESSNESLKGVKNSSSCKYCTWRTQGEFEVTCEKNQLCFSHYLHGCSFVIIEDAMEQRRKYQQLCISCYDQKPNLGRTVSARLNCLSWHLDQNLLSTTTELLTQEYNFTDLMGLILGQIEGLKGKGYVPDQLIRQLISPLAIFAAMSNLVQMTLSKLAESHLHPIASSSLKQPAMMAELLPFWLAERQQQNLNHSNRQCLAITEVMTDEVDKLSPDEKTNEGQHTVEQLKSQLRELMILFAGHIDGKKELLYATVEHLQYKQTIQITEELRYCELVQLTEIVQTPNFQCLLEIFQETICPSIQLLEQNVHIGERPDLLSRLFKFLLVQNQLKKIVTEIHGSFHTAVLEAAKCPKLSVLEEIHDMAKQWVSQKEEASHGPISLINHIYNFVEEERLPDRLYCDIIKDYQHEREYMFECIQYFEAKEAMRILRSEEFQVIGGAQLLELLVQQRESHRSDDDPSCLFELVQDFLTRNVFLTNDPKALQRALFTFLCGVQSPTFDSNEVMVKLLIDVIRQQYEVAQKDNKFDEFTLALVNLFERTDFHLLTRIYPYIEEEPSAEASHLRILFEFVQNKVLPVLDEPIDGLPYARGALCCSIYVLLKNGMVVQEDTLTKGANLPPAIVRFLKEMPSIFENMGRNYVYIMADYLRNEWCMLVVQQIDLSTIDMHVILAEVNEMLKKDQFHVILDKMVQPTIGVLKDSLIYPELCELFVGKAVSNESLKDYMQSFLLDKRQLLLCYDVIVPVMDQFHQEMVQIKGQDPCKKLARDAYHFLIEVMRDAVIAKRKSADGSLGVVAKAKGEHFSWALALAETLCDQKLLHDVRERWSRLMMVYVSKTGLYFIQGGYSSISQYCAKEGVLALAQSVNGGTALSKFHSSNYVLQVESIEVTELEEQTQRDIVHLKEVSDLLVVTRSNIWTFNILDYYLHLHKQKTVHIAGSEDRKVLLFHVVDGRCFALMPNVYNQVAVFHWALLPVSSPDMRGYPFTMWVKLSPKLGIVLIKTLSAMALLSFQTIPHDKLEPKSHLPEICCVEGKSGSFLCRSECTRVGMVRKFTLEFVSGGSDNCIALTPDDTFKIHLIATGPPHADTISRLLKGSALDWMTIQGKAFGCLLRSCDNNISLASIAGYQGGVSTSGLCLRLAQNQDKGFILALGHSTGVAILSSVAIATPLGADVKDAASVIQASIGKLLSHRMVSGHLSFSDPLKKKRKLNTRDGSDSSAVSSTDYSHSDITDINLLTPDELIFSEDEDDTENLISELTPPTDVQPIDFEKDDILDNKFELEKDMDIYSFKSLSAELNFSKNLEEESTKACLSQEVNEHDPENEM
+>sp|Q9H8Y1|VRTN_HUMAN Vertnin OS=Homo sapiens OX=9606 GN=VRTN PE=1 SV=1
+MTSRNQLVQKVLQELQEAVECEGLEGLIGASLEAKQVLSSFTLPTCREGGPGLQVLEVDSVALSLYPEDAPRNMLPLVCKGEGSLLFEAASMLLWGDAGLSLELRARTVVEMLLHRHYYLQGMIDSKVMLQAVRYSLCSEESPEMTSLPPATLEAIFDADVKASCFPSSFSNVWHLYALASVLQRNIYSIYPMRNLKIRPYFNRVIRPRRCDHVPSTLHIMWAGQPLTSHFFRHQYFAPVVGLEEVEAEGAPGVAPALPALAPLSSPAKTLELLNREPGLSYSHLCERYSVTKSTFYRWRRQSQEHRQKVAARFSAKHFLQDSFHRGGVVPLQQFLQRFPEISRSTYYAWKHELLGSGTCPALPPREVLGMEELEKLPEEQVAEEELECSALAVSSPGMVLMQRAKLYLEHCISLNTLVPYRCFKRRFPGISRSTYYNWRRKALRRNPSFKPAPALSAAGTPQLASVGEGAVIPWKSEAEEGAGNATGEDPPAPGELLPLRMPLSRWQRRLRRAARRQVLSGHLPFCRFRLRYPSLSPSAFWVWKSLARGWPRGLSKLQVPVPTLGKGGQEAEEKQEKEAGRDVTAVMAPPVGASSEDVEGGPSREGALQEGATAQGQPHSGPLLSQPVVAAAGGRDGRMLVMDMIATTKFKAQAKLFLQKRFQSKSFPSYKEFSALFPLTARSTYYMWKRALYDGLTLVDG
+>DECOY_sp|Q9H8Y1|VRTN_HUMAN Vertnin OS=Homo sapiens OX=9606 GN=VRTN PE=1 SV=1
+GDVLTLGDYLARKWMYYTSRATLPFLASFEKYSPFSKSQFRKQLFLKAQAKFKTTAIMDMVLMRGDRGGAAAVVPQSLLPGSHPQGQATAGEQLAGERSPGGEVDESSAGVPPAMVATVDRGAEKEQKEEAEQGGKGLTPVPVQLKSLGRPWGRALSKWVWFASPSLSPYRLRFRCFPLHGSLVQRRAARRLRRQWRSLPMRLPLLEGPAPPDEGTANGAGEEAESKWPIVAGEGVSALQPTGAASLAPAPKFSPNRRLAKRRWNYYTSRSIGPFRRKFCRYPVLTNLSICHELYLKARQMLVMGPSSVALASCELEEEAVQEEPLKELEEMGLVERPPLAPCTGSGLLEHKWAYYTSRSIEPFRQLFQQLPVVGGRHFSDQLFHKASFRAAVKQRHEQSQRRWRYFTSKTVSYRECLHSYSLGPERNLLELTKAPSSLPALAPLAPAVGPAGEAEVEELGVVPAFYQHRFFHSTLPQGAWMIHLTSPVHDCRRPRIVRNFYPRIKLNRMPYISYINRQLVSALAYLHWVNSFSSPFCSAKVDADFIAELTAPPLSTMEPSEESCLSYRVAQLMVKSDIMGQLYYHRHLLMEVVTRARLELSLGADGWLLMSAAEFLLSGEGKCVLPLMNRPADEPYLSLAVSDVELVQLGPGGERCTPLTFSSLVQKAELSAGILGELGECEVAEQLEQLVKQVLQNRSTM
+>sp|Q9Y279|VSIG4_HUMAN V-set and immunoglobulin domain-containing protein 4 OS=Homo sapiens OX=9606 GN=VSIG4 PE=1 SV=1
+MGILLGLLLLGHLTVDTYGRPILEVPESVTGPWKGDVNLPCTYDPLQGYTQVLVKWLVQRGSDPVTIFLRDSSGDHIQQAKYQGRLHVSHKVPGDVSLQLSTLEMDDRSHYTCEVTWQTPDGNQVVRDKITELRVQKLSVSKPTVTTGSGYGFTVPQGMRISLQCQARGSPPISYIWYKQQTNNQEPIKVATLSTLLFKPAVIADSGSYFCTAKGQVGSEQHSDIVKFVVKDSSKLLKTKTEAPTTMTYPLKATSTVKQSWDWTTDMDGYLGETSAGPGKSLPVFAIILIISLCCMVVFTMAYIMLCRKTSQQEHVYEAARAHAREANDSGETMRVAIFASGCSSDEPTSQNLGNNYSDEPCIGQEYQIIAQINGNYARLLDTVPLDYEFLATEGKSVC
+>DECOY_sp|Q9Y279|VSIG4_HUMAN V-set and immunoglobulin domain-containing protein 4 OS=Homo sapiens OX=9606 GN=VSIG4 PE=1 SV=1
+CVSKGETALFEYDLPVTDLLRAYNGNIQAIIQYEQGICPEDSYNNGLNQSTPEDSSCGSAFIAVRMTEGSDNAERAHARAAEYVHEQQSTKRCLMIYAMTFVVMCCLSIILIIAFVPLSKGPGASTEGLYGDMDTTWDWSQKVTSTAKLPYTMTTPAETKTKLLKSSDKVVFKVIDSHQESGVQGKATCFYSGSDAIVAPKFLLTSLTAVKIPEQNNTQQKYWIYSIPPSGRAQCQLSIRMGQPVTFGYGSGTTVTPKSVSLKQVRLETIKDRVVQNGDPTQWTVECTYHSRDDMELTSLQLSVDGPVKHSVHLRGQYKAQQIHDGSSDRLFITVPDSGRQVLWKVLVQTYGQLPDYTCPLNVDGKWPGTVSEPVELIPRGYTDVTLHGLLLLGLLIGM
+>sp|A8MXK1|VSTM5_HUMAN V-set and transmembrane domain-containing protein 5 OS=Homo sapiens OX=9606 GN=VSTM5 PE=3 SV=1
+MRPLPSGRRKTRGISLGLFALCLAAARCLQSQGVSLYIPQATINATVKEDILLSVEYSCHGVPTIEWTYSSNWGTQKIVEWKPGTQANISQSHKDRVCTFDNGSIQLFSVGVRDSGYYVITVTERLGSSQFGTIVLHVSEILYEDLHFVAVILAFLAAVAAVLISLMWVCNKCAYKFQRKRRHKLKESTTEEIELEDVEC
+>DECOY_sp|A8MXK1|VSTM5_HUMAN V-set and transmembrane domain-containing protein 5 OS=Homo sapiens OX=9606 GN=VSTM5 PE=3 SV=1
+CEVDELEIEETTSEKLKHRRKRQFKYACKNCVWMLSILVAAVAALFALIVAVFHLDEYLIESVHLVITGFQSSGLRETVTIVYYGSDRVGVSFLQISGNDFTCVRDKHSQSINAQTGPKWEVIKQTGWNSSYTWEITPVGHCSYEVSLLIDEKVTANITAQPIYLSVGQSQLCRAAALCLAFLGLSIGRTKRRGSPLPRM
+>sp|Q9NZR4|VSX1_HUMAN Visual system homeobox 1 OS=Homo sapiens OX=9606 GN=VSX1 PE=1 SV=2
+MTGRDSLSDGRTSSRALVPGGSPRGSRPRGFAITDLLGLEAELPAPAGPGQGSGCEGPAVAPCPGPGLDGSSLARGALPLGLGLLCGFGTQPPAAARAPCLLLADVPFLPPRGPEPAAPLAPSRPPPALGRQKRSDSVSTSDEDSQSEDRNDLKASPTLGKRKKRRHRTVFTAHQLEELEKAFSEAHYPDVYAREMLAVKTELPEDRIQVWFQNRRAKWRKREKRWGGSSVMAEYGLYGAMVRHCIPLPDSVLNSAEGGLLGSCAPWLLGMHKKSMGMIRKPGSEDKLAGLWGSDHFKEGSSQSESGSQRGSDKVSPENGLEDVAIDLSSSARQETKKVHPGAGAQGGSNSTALEGPQPGKVGAT
+>DECOY_sp|Q9NZR4|VSX1_HUMAN Visual system homeobox 1 OS=Homo sapiens OX=9606 GN=VSX1 PE=1 SV=2
+TAGVKGPQPGELATSNSGGQAGAGPHVKKTEQRASSSLDIAVDELGNEPSVKDSGRQSGSESQSSGEKFHDSGWLGALKDESGPKRIMGMSKKHMGLLWPACSGLLGGEASNLVSDPLPICHRVMAGYLGYEAMVSSGGWRKERKRWKARRNQFWVQIRDEPLETKVALMERAYVDPYHAESFAKELEELQHATFVTRHRRKKRKGLTPSAKLDNRDESQSDEDSTSVSDSRKQRGLAPPPRSPALPAAPEPGRPPLFPVDALLLCPARAAAPPQTGFGCLLGLGLPLAGRALSSGDLGPGPCPAVAPGECGSGQGPGAPAPLEAELGLLDTIAFGRPRSGRPSGGPVLARSSTRGDSLSDRGTM
+>sp|Q92558|WASF1_HUMAN Wiskott-Aldrich syndrome protein family member 1 OS=Homo sapiens OX=9606 GN=WASF1 PE=1 SV=1
+MPLVKRNIDPRHLCHTALPRGIKNELECVTNISLANIIRQLSSLSKYAEDIFGELFNEAHSFSFRVNSLQERVDRLSVSVTQLDPKEEELSLQDITMRKAFRSSTIQDQQLFDRKTLPIPLQETYDVCEQPPPLNILTPYRDDGKEGLKFYTNPSYFFDLWKEKMLQDTEDKRKEKRKQKQKNLDRPHEPEKVPRAPHDRRREWQKLAQGPELAEDDANLLHKHIEVANGPASHFETRPQTYVDHMDGSYSLSALPFSQMSELLTRAEERVLVRPHEPPPPPPMHGAGDAKPIPTCISSATGLIENRPQSPATGRTPVFVSPTPPPPPPPLPSALSTSSLRASMTSTPPPPVPPPPPPPATALQAPAVPPPPAPLQIAPGVLHPAPPPIAPPLVQPSPPVARAAPVCETVPVHPLPQGEVQGLPPPPPPPPLPPPGIRPSSPVTVTALAHPPSGLHPTPSTAPGPHVPLMPPSPPSQVIPASEPKRHPSTLPVISDARSVLLEAIRKGIQLRKVEEQREQEAKHERIENDVATILSRRIAVEYSDSEDDSEFDEVDWLE
+>DECOY_sp|Q92558|WASF1_HUMAN Wiskott-Aldrich syndrome protein family member 1 OS=Homo sapiens OX=9606 GN=WASF1 PE=1 SV=1
+ELWDVEDFESDDESDSYEVAIRRSLITAVDNEIREHKAEQERQEEVKRLQIGKRIAELLVSRADSIVPLTSPHRKPESAPIVQSPPSPPMLPVHPGPATSPTPHLGSPPHALATVTVPSSPRIGPPPLPPPPPPPPLGQVEGQPLPHVPVTECVPAARAVPPSPQVLPPAIPPPAPHLVGPAIQLPAPPPPVAPAQLATAPPPPPPPVPPPPTSTMSARLSSTSLASPLPPPPPPPTPSVFVPTRGTAPSQPRNEILGTASSICTPIPKADGAGHMPPPPPPEHPRVLVREEARTLLESMQSFPLASLSYSGDMHDVYTQPRTEFHSAPGNAVEIHKHLLNADDEALEPGQALKQWERRRDHPARPVKEPEHPRDLNKQKQKRKEKRKDETDQLMKEKWLDFFYSPNTYFKLGEKGDDRYPTLINLPPPQECVDYTEQLPIPLTKRDFLQQDQITSSRFAKRMTIDQLSLEEEKPDLQTVSVSLRDVREQLSNVRFSFSHAENFLEGFIDEAYKSLSSLQRIINALSINTVCELENKIGRPLATHCLHRPDINRKVLPM
+>sp|Q9UPY6|WASF3_HUMAN Wiskott-Aldrich syndrome protein family member 3 OS=Homo sapiens OX=9606 GN=WASF3 PE=1 SV=2
+MPLVKRNIEPRHLCRGALPEGITSELECVTNSTLAAIIRQLSSLSKHAEDIFGELFNEANNFYIRANSLQDRIDRLAVKVTQLDSTVEEVSLQDINMKKAFKSSTVQDQQVVSKNSIPNPVADIYNQSDKPPPLNILTPYRDDKKDGLKFYTDPSYFFDLWKEKMLQDTEDKRKEKRRQKEQKRIDGTTREVKKVRKARNRRQEWNMMAYDKELRPDNRLSQSVYHGASSEGSLSPDTRSHASDVTDYSYPATPNHSLHPQPVTPSYAAGDVPPHGPASQAAEHEYRPPSASARHMALNRPQQPPPPPPPQAPEGSQASAPMAPADYGMLPAQIIEYYNPSGPPPPPPPPVIPSAQTAFVSPLQMPMQPPFPASASSTHAAPPHPPSTGLLVTAPPPPGPPPPPPGPPGPGSSLSSSPMHGPPVAEAKRQEPAQPPISDARSDLLAAIRMGIQLKKVQEQREQEAKREPVGNDVATILSRRIAVEYSDSDDDSEFDENDWSD
+>DECOY_sp|Q9UPY6|WASF3_HUMAN Wiskott-Aldrich syndrome protein family member 3 OS=Homo sapiens OX=9606 GN=WASF3 PE=1 SV=2
+DSWDNEDFESDDDSDSYEVAIRRSLITAVDNGVPERKAEQERQEQVKKLQIGMRIAALLDSRADSIPPQAPEQRKAEAVPPGHMPSSSLSSGPGPPGPPPPPPGPPPPATVLLGTSPPHPPAAHTSSASAPFPPQMPMQLPSVFATQASPIVPPPPPPPPGSPNYYEIIQAPLMGYDAPAMPASAQSGEPAQPPPPPPPQQPRNLAMHRASASPPRYEHEAAQSAPGHPPVDGAAYSPTVPQPHLSHNPTAPYSYDTVDSAHSRTDPSLSGESSAGHYVSQSLRNDPRLEKDYAMMNWEQRRNRAKRVKKVERTTGDIRKQEKQRRKEKRKDETDQLMKEKWLDFFYSPDTYFKLGDKKDDRYPTLINLPPPKDSQNYIDAVPNPISNKSVVQQDQVTSSKFAKKMNIDQLSVEEVTSDLQTVKVALRDIRDQLSNARIYFNNAENFLEGFIDEAHKSLSSLQRIIAALTSNTVCELESTIGEPLAGRCLHRPEINRKVLPM
+>sp|A8K0Z3|WASH1_HUMAN WASH complex subunit 1 OS=Homo sapiens OX=9606 GN=WASHC1 PE=1 SV=2
+MTPVRMQHSLAGQTYAVPFIQPDLRREEAVQQMADALQYLQKVSGDIFSRISQQVEQSRSQVQAIGEKVSLAQAKIEKIKGSKKAIKVFSSAKYPAPGRLQEYGSIFTGAQDPGLQRRPRHRIQSKHRPLDERALQEKLKDFPVCVSTKPEPEDDAEEGLGGLPSNISSVSSLLLFNTTENLYKKYVFLDPLAGAVTKTHVMLGAETEEKLFDAPLSISKREQLEQQVPENYFYVPDLGQVPEIHVPSYLPDLPGIANDLMYSADLGPGIAPSAPGTIPELPTFHTEVAEPLKVDLQDGVLTPPPPPPPPPPAPEVLASAPPLPPSTAAPVGQGARQDDSSSSASPSVQGAPREVVDPSGGWATLLESIRQAGGIGKAKLRSMKERKLEKQQQKEQEQVRATSQGGHLMSDLFNKLVMRRKGISGKGPGAGEGPGGAFVRVSDSIPPLPPPQQPQAEEDEDDWES
+>DECOY_sp|A8K0Z3|WASH1_HUMAN WASH complex subunit 1 OS=Homo sapiens OX=9606 GN=WASHC1 PE=1 SV=2
+SEWDDEDEEAQPQQPPPLPPISDSVRVFAGGPGEGAGPGKGSIGKRRMVLKNFLDSMLHGGQSTARVQEQEKQQQKELKREKMSRLKAKGIGGAQRISELLTAWGGSPDVVERPAGQVSPSASSSSDDQRAGQGVPAATSPPLPPASALVEPAPPPPPPPPPPTLVGDQLDVKLPEAVETHFTPLEPITGPASPAIGPGLDASYMLDNAIGPLDPLYSPVHIEPVQGLDPVYFYNEPVQQELQERKSISLPADFLKEETEAGLMVHTKTVAGALPDLFVYKKYLNETTNFLLLSSVSSINSPLGGLGEEADDEPEPKTSVCVPFDKLKEQLAREDLPRHKSQIRHRPRRQLGPDQAGTFISGYEQLRGPAPYKASSFVKIAKKSGKIKEIKAQALSVKEGIAQVQSRSQEVQQSIRSFIDGSVKQLYQLADAMQQVAEERRLDPQIFPVAYTQGALSHQMRVPTM
+>sp|C4AMC7|WASH3_HUMAN Putative WAS protein family homolog 3 OS=Homo sapiens OX=9606 GN=WASH3P PE=1 SV=2
+MTPVRMQHSLAGQTYAVPLIQPDLRREEAVQQMADALQYLQKVSGDIFSRISQQVEQSRSQVQAIGEKVSLAQAKIEKIKGSKKAIKVFSSAKYPAPERLQEYGSIFTGAQDPGLQRRPRHRIQSKHRPLDERALQEKDFPVCVSTKPEPEDDAEEGLGGLPSNISSVSSLLLFNTTENLGKKYVFLDPLAGAVTKTHVMLGAETEEKLFDAPLSISKREQLEQQVPENYFYVPDLGQVPEIDVPSYLPDLPGITNDLMYIADLGPGIAPSAPGTIPELPTFHTEVAEPLKVDLQDGVLTPPPPPPPPPPAPEVLASAPPLPPSTAAPVGQGARQDDSSSSASPSVQGAPREVVDPSGGRATLLESIRQAGGIGKAKLRSMKERKLEKKQQKEQEQVRATSQGGHLMSDLFNKLVMRRKGISGKGPGAGEGPGGAFARVSDSIPPLPPPQQPQAEEDEDDWES
+>DECOY_sp|C4AMC7|WASH3_HUMAN Putative WAS protein family homolog 3 OS=Homo sapiens OX=9606 GN=WASH3P PE=1 SV=2
+SEWDDEDEEAQPQQPPPLPPISDSVRAFAGGPGEGAGPGKGSIGKRRMVLKNFLDSMLHGGQSTARVQEQEKQQKKELKREKMSRLKAKGIGGAQRISELLTARGGSPDVVERPAGQVSPSASSSSDDQRAGQGVPAATSPPLPPASALVEPAPPPPPPPPPPTLVGDQLDVKLPEAVETHFTPLEPITGPASPAIGPGLDAIYMLDNTIGPLDPLYSPVDIEPVQGLDPVYFYNEPVQQELQERKSISLPADFLKEETEAGLMVHTKTVAGALPDLFVYKKGLNETTNFLLLSSVSSINSPLGGLGEEADDEPEPKTSVCVPFDKEQLAREDLPRHKSQIRHRPRRQLGPDQAGTFISGYEQLREPAPYKASSFVKIAKKSGKIKEIKAQALSVKEGIAQVQSRSQEVQQSIRSFIDGSVKQLYQLADAMQQVAEERRLDPQILPVAYTQGALSHQMRVPTM
+>sp|Q9NX94|WBP1L_HUMAN WW domain binding protein 1-like OS=Homo sapiens OX=9606 GN=WBP1L PE=1 SV=2
+MPFLLGLRQDKEACVGTNNQSYICDTGHCCGQSQCCNYYYELWWFWLVWTIIIILSCCCVCHHRRAKHRLQAQQRQHEINLIAYREAHNYSALPFYFRFLPNYLLPPYEEVVNRPPTPPPPYSAFQLQQQQLLPPQCGPAGGSPPGIDPTRGSQGAQSSPLSEPSRSSTRPPSIADPDPSDLPVDRAATKAPGMEPSGSVAGLGELDPGAFLDKDAECREELLKDDSSEHGAPDSKEKTPGRHRRFTGDSGIEVCVCNRGHHDDDLKEFNTLIDDALDGPLDFCDSCHVRPPGDEEEGLCQSSEEQAREPGHPHLPRPPACLLLNTINEQDSPNSQSSSSPS
+>DECOY_sp|Q9NX94|WBP1L_HUMAN WW domain binding protein 1-like OS=Homo sapiens OX=9606 GN=WBP1L PE=1 SV=2
+SPSSSSQSNPSDQENITNLLLCAPPRPLHPHGPERAQEESSQCLGEEEDGPPRVHCSDCFDLPGDLADDILTNFEKLDDDHHGRNCVCVEIGSDGTFRRHRGPTKEKSDPAGHESSDDKLLEERCEADKDLFAGPDLEGLGAVSGSPEMGPAKTAARDVPLDSPDPDAISPPRTSSRSPESLPSSQAGQSGRTPDIGPPSGGAPGCQPPLLQQQQLQFASYPPPPTPPRNVVEEYPPLLYNPLFRFYFPLASYNHAERYAILNIEHQRQQAQLRHKARRHHCVCCCSLIIIITWVLWFWWLEYYYNCCQSQGCCHGTDCIYSQNNTGVCAEKDQRLGLLFPM
+>sp|Q16864|VATF_HUMAN V-type proton ATPase subunit F OS=Homo sapiens OX=9606 GN=ATP6V1F PE=1 SV=2
+MAGRGKLIAVIGDEDTVTGFLLGGIGELNKNRHPNFLVVEKDTTINEIEDTFRQFLNRDDIGIILINQYIAEMVRHALDAHQQSIPAVLEIPSKEHPYDAAKDSILRRARGMFTAEDLR
+>DECOY_sp|Q16864|VATF_HUMAN V-type proton ATPase subunit F OS=Homo sapiens OX=9606 GN=ATP6V1F PE=1 SV=2
+RLDEATFMGRARRLISDKAADYPHEKSPIELVAPISQQHADLAHRVMEAIYQNILIIGIDDRNLFQRFTDEIENITTDKEVVLFNPHRNKNLEGIGGLLFGTVTDEDGIVAILKGRGAM
+>sp|Q00341|VIGLN_HUMAN Vigilin OS=Homo sapiens OX=9606 GN=HDLBP PE=1 SV=2
+MSSVAVLTQESFAEHRSGLVPQQIKVATLNSEEESDPPTYKDAFPPLPEKAACLESAQEPSGAWGNKIRPIKASVITQVFHVPLEERKYKDMNQFGEGEQAKICLEIMQRTGAHLELSLAKDQGLSIMVSGKLDAVMKARKDIVARLQTQASATVAIPKEHHRFVIGKNGEKLQDLELKTATKIQIPRPDDPSNQIKITGTKEGIEKARHEVLLISAEQDKRAVERLEVEKAFHPFIAGPYNRLVGEIMQETGTRINIPPPSVNRTEIVFTGEKEQLAQAVARIKKIYEEKKKKTTTIAVEVKKSQHKYVIGPKGNSLQEILERTGVSVEIPPSDSISETVILRGEPEKLGQALTEVYAKANSFTVSSVAAPSWLHRFIIGKKGQNLAKITQQMPKVHIEFTEGEDKITLEGPTEDVNVAQEQIEGMVKDLINRMDYVEINIDHKFHRHLIGKSGANINRIKDQYKVSVRIPPDSEKSNLIRIEGDPQGVQQAKRELLELASRMENERTKDLIIEQRFHRTIIGQKGERIREIRDKFPEVIINFPDPAQKSDIVQLRGPKNEVEKCTKYMQKMVADLVENSYSISVPIFKQFHKNIIGKGGANIKKIREESNTKIDLPAENSNSETIIITGKRANCEAARSRILSIQKDLANIAEVEVSIPAKLHNSLIGTKGRLIRSIMEECGGVHIHFPVEGSGSDTVVIRGPSSDVEKAKKQLLHLAEEKQTKSFTVDIRAKPEYHKFLIGKGGGKIRKVRDSTGARVIFPAAEDKDQDLITIIGKEDAVREAQKELEALIQNLDNVVEDSMLVDPKHHRHFVIRRGQVLREIAEEYGGVMVSFPRSGTQSDKVTLKGAKDCVEAAKKRIQEIIEDLEAQVTLECAIPQKFHRSVMGPKGSRIQQITRDFSVQIKFPDREENAVHSTEPVVQENGDEAGEGREAKDCDPGSPRRCDIIIISGRKEKCEAAKEALEALVPVTIEVEVPFDLHRYVIGQKGSGIRKMMDEFEVNIHVPAPELQSDIIAITGLAANLDRAKAGLLERVKELQAEQEDRALRSFKLSVTVDPKYHPKIIGRKGAVITQIRLEHDVNIQFPDKDDGNQPQDQITITGYEKNTEAARDAILRIVGELEQMVSEDVPLDHRVHARIIGARGKAIRKIMDEFKVDIRFPQSGAPDPNCVTVTGLPENVEEAIDHILNLEEEYLADVVDSEALQVYMKPPAHEEAKAPSRGFVVRDAPWTASSSEKAPDMSSSEEFPSFGAQVAPKTLPWGPKR
+>DECOY_sp|Q00341|VIGLN_HUMAN Vigilin OS=Homo sapiens OX=9606 GN=HDLBP PE=1 SV=2
+RKPGWPLTKPAVQAGFSPFEESSSMDPAKESSSATWPADRVVFGRSPAKAEEHAPPKMYVQLAESDVVDALYEEELNLIHDIAEEVNEPLGTVTVCNPDPAGSQPFRIDVKFEDMIKRIAKGRAGIIRAHVRHDLPVDESVMQELEGVIRLIADRAAETNKEYGTITIQDQPQNGDDKDPFQINVDHELRIQTIVAGKRGIIKPHYKPDVTVSLKFSRLARDEQEAQLEKVRELLGAKARDLNAALGTIAIIDSQLEPAPVHINVEFEDMMKRIGSGKQGIVYRHLDFPVEVEITVPVLAELAEKAAECKEKRGSIIIIDCRRPSGPDCDKAERGEGAEDGNEQVVPETSHVANEERDPFKIQVSFDRTIQQIRSGKPGMVSRHFKQPIACELTVQAELDEIIEQIRKKAAEVCDKAGKLTVKDSQTGSRPFSVMVGGYEEAIERLVQGRRIVFHRHHKPDVLMSDEVVNDLNQILAELEKQAERVADEKGIITILDQDKDEAAPFIVRAGTSDRVKRIKGGGKGILFKHYEPKARIDVTFSKTQKEEALHLLQKKAKEVDSSPGRIVVTDSGSGEVPFHIHVGGCEEMISRILRGKTGILSNHLKAPISVEVEAINALDKQISLIRSRAAECNARKGTIIITESNSNEAPLDIKTNSEERIKKINAGGKGIINKHFQKFIPVSISYSNEVLDAVMKQMYKTCKEVENKPGRLQVIDSKQAPDPFNIIVEPFKDRIERIREGKQGIITRHFRQEIILDKTRENEMRSALELLERKAQQVGQPDGEIRILNSKESDPPIRVSVKYQDKIRNINAGSKGILHRHFKHDINIEVYDMRNILDKVMGEIQEQAVNVDETPGELTIKDEGETFEIHVKPMQQTIKALNQGKKGIIFRHLWSPAAVSSVTFSNAKAYVETLAQGLKEPEGRLIVTESISDSPPIEVSVGTRELIEQLSNGKPGIVYKHQSKKVEVAITTTKKKKEEYIKKIRAVAQALQEKEGTFVIETRNVSPPPINIRTGTEQMIEGVLRNYPGAIFPHFAKEVELREVARKDQEASILLVEHRAKEIGEKTGTIKIQNSPDDPRPIQIKTATKLELDQLKEGNKGIVFRHHEKPIAVTASAQTQLRAVIDKRAKMVADLKGSVMISLGQDKALSLELHAGTRQMIELCIKAQEGEGFQNMDKYKREELPVHFVQTIVSAKIPRIKNGWAGSPEQASELCAAKEPLPPFADKYTPPDSEEESNLTAVKIQQPVLGSRHEAFSEQTLVAVSSM
+>sp|O15195|VILL_HUMAN Villin-like protein OS=Homo sapiens OX=9606 GN=VILL PE=2 SV=3
+MDISKGLPGMQGGLHIWISENRKMVPVPEGAYGNFFEEHCYVILHVPQSPKATQGASSDLHYWVGKQAGAEAQGAAEAFQQRLQDELGGQTVLHREAQGHESDCFCSYFRPGIIYRKGGLASDLKHVETNLFNIQRLLHIKGRKHVSATEVELSWNSFNKGDIFLLDLGKMMIQWNGPKTSISEKARGLALTYSLRDRERGGGRAQIGVVDDEAKAPDLMQIMEAVLGRRVGSLRAATPSKDINQLQKANVRLYHVYEKGKDLVVLELATPPLTQDLLQEEDFYILDQGGFKIYVWQGRMSSLQERKAAFSRAVGFIQAKGYPTYTNVEVVNDGAESAAFKQLFRTWSEKRRRNQKLGGRDKSIHVKLDVGKLHTQPKLAAQLRMVDDGSGKVEVWCIQDLHRQPVDPKRHGQLCAGNCYLVLYTYQRLGRVQYILYLWQGHQATADEIEALNSNAEELDVMYGGVLVQEHVTMGSEPPHFLAIFQGQLVIFQERAGHHGKGQSASTTRLFQVQGTDSHNTRTMEVPARASSLNSSDIFLLVTASVCYLWFGKGCNGDQREMARVVVTVISRKNEETVLEGQEPPHFWEALGGRAPYPSNKRLPEEVPSFQPRLFECSSHMGCLVLAEVGFFSQEDLDKYDIMLLDTWQEIFLWLGEAASEWKEAVAWGQEYLKTHPAGRSPATPIVLVKQGHEPPTFIGWFFTWDPYKWTSHPSHKEVVDGSPAAASTISEITAEVNNLRLSRWPGNGRAGAVALQALKGSQDSSENDLVRSPKSAGSRTSSSVSSTSATINGGLRREQLMHQAVEDLPEGVDPARREFYLSDSDFQDIFGKSKEEFYSMATWRQRQEKKQLGFF
+>DECOY_sp|O15195|VILL_HUMAN Villin-like protein OS=Homo sapiens OX=9606 GN=VILL PE=2 SV=3
+FFGLQKKEQRQRWTAMSYFEEKSKGFIDQFDSDSLYFERRAPDVGEPLDEVAQHMLQERRLGGNITASTSSVSSSTRSGASKPSRVLDNESSDQSGKLAQLAVAGARGNGPWRSLRLNNVEATIESITSAAAPSGDVVEKHSPHSTWKYPDWTFFWGIFTPPEHGQKVLVIPTAPSRGAPHTKLYEQGWAVAEKWESAAEGLWLFIEQWTDLLMIDYKDLDEQSFFGVEALVLCGMHSSCEFLRPQFSPVEEPLRKNSPYPARGGLAEWFHPPEQGELVTEENKRSIVTVVVRAMERQDGNCGKGFWLYCVSATVLLFIDSSNLSSARAPVEMTRTNHSDTGQVQFLRTTSASQGKGHHGAREQFIVLQGQFIALFHPPESGMTVHEQVLVGGYMVDLEEANSNLAEIEDATAQHGQWLYLIYQVRGLRQYTYLVLYCNGACLQGHRKPDVPQRHLDQICWVEVKGSGDDVMRLQAALKPQTHLKGVDLKVHISKDRGGLKQNRRRKESWTRFLQKFAASEAGDNVVEVNTYTPYGKAQIFGVARSFAAKREQLSSMRGQWVYIKFGGQDLIYFDEEQLLDQTLPPTALELVVLDKGKEYVHYLRVNAKQLQNIDKSPTAARLSGVRRGLVAEMIQMLDPAKAEDDVVGIQARGGGRERDRLSYTLALGRAKESISTKPGNWQIMMKGLDLLFIDGKNFSNWSLEVETASVHKRGKIHLLRQINFLNTEVHKLDSALGGKRYIIGPRFYSCFCDSEHGQAERHLVTQGGLEDQLRQQFAEAAGQAEAGAQKGVWYHLDSSAGQTAKPSQPVHLIVYCHEEFFNGYAGEPVPVMKRNESIWIHLGGQMGPLGKSIDM
+>sp|P08670|VIME_HUMAN Vimentin OS=Homo sapiens OX=9606 GN=VIM PE=1 SV=4
+MSTRSVSSSSYRRMFGGPGTASRPSSSRSYVTTSTRTYSLGSALRPSTSRSLYASSPGGVYATRSSAVRLRSSVPGVRLLQDSVDFSLADAINTEFKNTRTNEKVELQELNDRFANYIDKVRFLEQQNKILLAELEQLKGQGKSRLGDLYEEEMRELRRQVDQLTNDKARVEVERDNLAEDIMRLREKLQEEMLQREEAENTLQSFRQDVDNASLARLDLERKVESLQEEIAFLKKLHEEEIQELQAQIQEQHVQIDVDVSKPDLTAALRDVRQQYESVAAKNLQEAEEWYKSKFADLSEAANRNNDALRQAKQESTEYRRQVQSLTCEVDALKGTNESLERQMREMEENFAVEAANYQDTIGRLQDEIQNMKEEMARHLREYQDLLNVKMALDIEIATYRKLLEGEESRISLPLPNFSSLNLRETNLDSLPLVDTHSKRTLLIKTVETRDGQVINETSQHHDDLE
+>DECOY_sp|P08670|VIME_HUMAN Vimentin OS=Homo sapiens OX=9606 GN=VIM PE=1 SV=4
+ELDDHHQSTENIVQGDRTEVTKILLTRKSHTDVLPLSDLNTERLNLSSFNPLPLSIRSEEGELLKRYTAIEIDLAMKVNLLDQYERLHRAMEEKMNQIEDQLRGITDQYNAAEVAFNEEMERMQRELSENTGKLADVECTLSQVQRRYETSEQKAQRLADNNRNAAESLDAFKSKYWEEAEQLNKAAVSEYQQRVDRLAATLDPKSVDVDIQVHQEQIQAQLEQIEEEHLKKLFAIEEQLSEVKRELDLRALSANDVDQRFSQLTNEAEERQLMEEQLKERLRMIDEALNDREVEVRAKDNTLQDVQRRLERMEEEYLDGLRSKGQGKLQELEALLIKNQQELFRVKDIYNAFRDNLEQLEVKENTRTNKFETNIADALSFDVSDQLLRVGPVSSRLRVASSRTAYVGGPSSAYLSRSTSPRLASGLSYTRTSTTVYSRSSSPRSATGPGGFMRRYSSSSVSRTSM
+>sp|Q6PFW1|VIP1_HUMAN Inositol hexakisphosphate and diphosphoinositol-pentakisphosphate kinase 1 OS=Homo sapiens OX=9606 GN=PPIP5K1 PE=1 SV=1
+MWSLTASEGESTTAHFFLGAGDEGLGTRGIGMRPEESDSELLEDEEDEVPPEPQIIVGICAMTKKSKSKPMTQILERLCRFDYLTVVILGEDVILNEPVENWPSCHCLISFHSKGFPLDKAVAYSKLRNPFLINDLAMQYYIQDRREVYRILQEEGIDLPRYAVLNRDPARPEECNLIEGEDQVEVNGAVFPKPFVEKPVSAEDHNVYIYYPSSAGGGSQRLFRKIGSRSSVYSPESSVRKTGSYIYEEFMPTDGTDVKVYTVGPDYAHAEARKSPALDGKVERDSEGKEIRYPVMLTAMEKLVARKVCVAFKQTVCGFDLLRANGHSFVCDVNGFSFVKNSMKYYDDCAKILGNTIMRELAPQFQIPWSIPTEAEDIPIVPTTSGTMMELRCVIAIIRHGDRTPKQKMKMEVKHPRFFALFEKHGGYKTGKLKLKRPEQLQEVLDITRLLLAELEKEPGGEIEEKTGKLEQLKSVLEMYGHFSGINRKVQLTYYPHGVKASNEGQDPQRETLAPSLLLVLKWGGELTPAGRVQAEELGRAFRCMYPGGQGDYAGFPGCGLLRLHSTFRHDLKIYASDEGRVQMTAAAFAKGLLALEGELTPILVQMVKSANMNGLLDSDGDSLSSCQHRVKARLHHILQQDAPFGPEDYDQLAPTRSTSLLNSMTIIQNPVKVCDQVFALIENLTHQIRERMQDPRSVDLQLYHSETLELMLQRWSKLERDFRQKSGRYDISKIPDIYDCVKYDVQHNGSLGLQGTAELLRLSKALADVVIPQEYGISREEKLEIAVGFCLPLLRKILLDLQRTHEDESVNKLHPLCYLRYSRGVLSPGRHVRTRLYFTSESHVHSLLSVFRYGGLLDETQDAQWQRALDYLSAISELNYMTQIVIMLYEDNTQDPLSEERFHVELHFSPGVKGVEEEGSAPAGCGFRPASSENEEMKTNQGSMENLCPGKASDEPDRALQTSPQPPEGPGLPRRSPLIRNRKAGSMEVLSETSSSRPGGYRLFSSSRPPTEMKQSGLGSQCTGLFSTTVLGGSSSAPNLQDYARSHGKKLPPASLKHRDELLFVPAVKRFSVSFAKHPTNGFEGCSMVPTIYPLETLHNALSLRQVSEFLSRVCQRHTDAQAQASAALFDSMHSSQASDNPFSPPRTLHSPPLQLQQRSEKPPWYSSGPSSTVSSAGPSSPTTVDGNSQFGFSDQPSLNSHVAEEHQGLGLLQETPGSGAQELSIEGEQELFEPNQSPQVPPMETSQPYEEVSQPCQEVPDISQPCQDISEALSQPCQKVPDISQQCQENHDNGNHTCQEVPHISQPCQKSSQLCQKVSEEVCQLCLENSEEVSQPCQGVSVEVGKLVHKFHVGVGSLVQETLVEVGSPAEEIPEEVIQPYQEFSVEVGRLAQETSAINLLSQGIPEIDKPSQEFPEEIDLQAQEVPEEIN
+>DECOY_sp|Q6PFW1|VIP1_HUMAN Inositol hexakisphosphate and diphosphoinositol-pentakisphosphate kinase 1 OS=Homo sapiens OX=9606 GN=PPIP5K1 PE=1 SV=1
+NIEEPVEQAQLDIEEPFEQSPKDIEPIGQSLLNIASTEQALRGVEVSFEQYPQIVEEPIEEAPSGVEVLTEQVLSGVGVHFKHVLKGVEVSVGQCPQSVEESNELCLQCVEESVKQCLQSSKQCPQSIHPVEQCTHNGNDHNEQCQQSIDPVKQCPQSLAESIDQCPQSIDPVEQCPQSVEEYPQSTEMPPVQPSQNPEFLEQEGEISLEQAGSGPTEQLLGLGQHEEAVHSNLSPQDSFGFQSNGDVTTPSSPGASSVTSSPGSSYWPPKESRQQLQLPPSHLTRPPSFPNDSAQSSHMSDFLAASAQAQADTHRQCVRSLFESVQRLSLANHLTELPYITPVMSCGEFGNTPHKAFSVSFRKVAPVFLLEDRHKLSAPPLKKGHSRAYDQLNPASSSGGLVTTSFLGTCQSGLGSQKMETPPRSSSFLRYGGPRSSSTESLVEMSGAKRNRILPSRRPLGPGEPPQPSTQLARDPEDSAKGPCLNEMSGQNTKMEENESSAPRFGCGAPASGEEEVGKVGPSFHLEVHFREESLPDQTNDEYLMIVIQTMYNLESIASLYDLARQWQADQTEDLLGGYRFVSLLSHVHSESTFYLRTRVHRGPSLVGRSYRLYCLPHLKNVSEDEHTRQLDLLIKRLLPLCFGVAIELKEERSIGYEQPIVVDALAKSLRLLEATGQLGLSGNHQVDYKVCDYIDPIKSIDYRGSKQRFDRELKSWRQLMLELTESHYLQLDVSRPDQMRERIQHTLNEILAFVQDCVKVPNQIITMSNLLSTSRTPALQDYDEPGFPADQQLIHHLRAKVRHQCSSLSDGDSDLLGNMNASKVMQVLIPTLEGELALLGKAFAAATMQVRGEDSAYIKLDHRFTSHLRLLGCGPFGAYDGQGGPYMCRFARGLEEAQVRGAPTLEGGWKLVLLLSPALTERQPDQGENSAKVGHPYYTLQVKRNIGSFHGYMELVSKLQELKGTKEEIEGGPEKELEALLLRTIDLVEQLQEPRKLKLKGTKYGGHKEFLAFFRPHKVEMKMKQKPTRDGHRIIAIVCRLEMMTGSTTPVIPIDEAETPISWPIQFQPALERMITNGLIKACDDYYKMSNKVFSFGNVDCVFSHGNARLLDFGCVTQKFAVCVKRAVLKEMATLMVPYRIEKGESDREVKGDLAPSKRAEAHAYDPGVTYVKVDTGDTPMFEEYIYSGTKRVSSEPSYVSSRSGIKRFLRQSGGGASSPYYIYVNHDEASVPKEVFPKPFVAGNVEVQDEGEILNCEEPRAPDRNLVAYRPLDIGEEQLIRYVERRDQIYYQMALDNILFPNRLKSYAVAKDLPFGKSHFSILCHCSPWNEVPENLIVDEGLIVVTLYDFRCLRELIQTMPKSKSKKTMACIGVIIQPEPPVEDEEDELLESDSEEPRMGIGRTGLGEDGAGLFFHATTSEGESATLSWM
+>sp|P38435|VKGC_HUMAN Vitamin K-dependent gamma-carboxylase OS=Homo sapiens OX=9606 GN=GGCX PE=1 SV=2
+MAVSAGSARTSPSSDKVQKDKAELISGPRQDSRIGKLLGFEWTDLSSWRRLVTLLNRPTDPASLAVFRFLFGFLMVLDIPQERGLSSLDRKYLDGLDVCRFPLLDALRPLPLDWMYLVYTIMFLGALGMMLGLCYRISCVLFLLPYWYVFLLDKTSWNNHSYLYGLLAFQLTFMDANHYWSVDGLLNAHRRNAHVPLWNYAVLRGQIFIVYFIAGVKKLDADWVEGYSMEYLSRHWLFSPFKLLLSEELTSLLVVHWGGLLLDLSAGFLLFFDVSRSIGLFFVSYFHCMNSQLFSIGMFSYVMLASSPLFCSPEWPRKLVSYCPRRLQQLLPLKAAPQPSVSCVYKRSRGKSGQKPGLRHQLGAAFTLLYLLEQLFLPYSHFLTQGYNNWTNGLYGYSWDMMVHSRSHQHVKITYRDGRTGELGYLNPGVFTQSRRWKDHADMLKQYATCLSRLLPKYNVTEPQIYFDIWVSINDRFQQRIFDPRVDIVQAAWSPFQRTSWVQPLLMDLSPWRAKLQEIKSSLDNHTEVVFIADFPGLHLENFVSEDLGNTSIQLLQGEVTVELVAEQKNQTLREGEKMQLPAGEYHKVYTTSPSPSCYMYVYVNTTELALEQDLAYLQELKEKVENGSETGPLPPELQPLLEGEVKGGPEPTPLVQTFLRRQQRLQEIERRRNTPFHERFFRFLLRKLYVFRRSFLMTCISLRNLILGRPSLEQLAQEVTYANLRPFEAVGELNPSNTDSSHSNPPESNPDPVHSEF
+>DECOY_sp|P38435|VKGC_HUMAN Vitamin K-dependent gamma-carboxylase OS=Homo sapiens OX=9606 GN=GGCX PE=1 SV=2
+FESHVPDPNSEPPNSHSSDTNSPNLEGVAEFPRLNAYTVEQALQELSPRGLILNRLSICTMLFSRRFVYLKRLLFRFFREHFPTNRRREIEQLRQQRRLFTQVLPTPEPGGKVEGELLPQLEPPLPGTESGNEVKEKLEQLYALDQELALETTNVYVYMYCSPSPSTTYVKHYEGAPLQMKEGERLTQNKQEAVLEVTVEGQLLQISTNGLDESVFNELHLGPFDAIFVVETHNDLSSKIEQLKARWPSLDMLLPQVWSTRQFPSWAAQVIDVRPDFIRQQFRDNISVWIDFYIQPETVNYKPLLRSLCTAYQKLMDAHDKWRRSQTFVGPNLYGLEGTRGDRYTIKVHQHSRSHVMMDWSYGYLGNTWNNYGQTLFHSYPLFLQELLYLLTFAAGLQHRLGPKQGSKGRSRKYVCSVSPQPAAKLPLLQQLRRPCYSVLKRPWEPSCFLPSSALMVYSFMGISFLQSNMCHFYSVFFLGISRSVDFFLLFGASLDLLLGGWHVVLLSTLEESLLLKFPSFLWHRSLYEMSYGEVWDADLKKVGAIFYVIFIQGRLVAYNWLPVHANRRHANLLGDVSWYHNADMFTLQFALLGYLYSHNNWSTKDLLFVYWYPLLFLVCSIRYCLGLMMGLAGLFMITYVLYMWDLPLPRLADLLPFRCVDLGDLYKRDLSSLGREQPIDLVMLFGFLFRFVALSAPDTPRNLLTVLRRWSSLDTWEFGLLKGIRSDQRPGSILEAKDKQVKDSSPSTRASGASVAM
+>sp|Q9BQB6|VKOR1_HUMAN Vitamin K epoxide reductase complex subunit 1 OS=Homo sapiens OX=9606 GN=VKORC1 PE=1 SV=1
+MGSTWGSPGWVRLALCLTGLVLSLYALHVKAARARDRDYRALCDVGTAISCSRVFSSRWGRGFGLVEHVLGQDSILNQSNSIFGCIFYTLQLLLGCLRTRWASVLMLLSSLVSLAGSVYLAWILFFVLYDFCIVCITTYAINVSLMWLSFRKVQEPQGKAKRH
+>DECOY_sp|Q9BQB6|VKOR1_HUMAN Vitamin K epoxide reductase complex subunit 1 OS=Homo sapiens OX=9606 GN=VKORC1 PE=1 SV=1
+HRKAKGQPEQVKRFSLWMLSVNIAYTTICVICFDYLVFFLIWALYVSGALSVLSSLLMLVSAWRTRLCGLLLQLTYFICGFISNSQNLISDQGLVHEVLGFGRGWRSSFVRSCSIATGVDCLARYDRDRARAAKVHLAYLSLVLGTLCLALRVWGPSGWTSGM
+>sp|Q05940|VMAT2_HUMAN Synaptic vesicular amine transporter OS=Homo sapiens OX=9606 GN=SLC18A2 PE=1 SV=2
+MALSELALVRWLQESRRSRKLILFIVFLALLLDNMLLTVVVPIIPSYLYSIKHEKNATEIQTARPVHTASISDSFQSIFSYYDNSTMVTGNATRDLTLHQTATQHMVTNASAVPSDCPSEDKDLLNENVQVGLLFASKATVQLITNPFIGLLTNRIGYPIPIFAGFCIMFVSTIMFAFSSSYAFLLIARSLQGIGSSCSSVAGMGMLASVYTDDEERGNVMGIALGGLAMGVLVGPPFGSVLYEFVGKTAPFLVLAALVLLDGAIQLFVLQPSRVQPESQKGTPLTTLLKDPYILIAAGSICFANMGIAMLEPALPIWMMETMCSRKWQLGVAFLPASISYLIGTNIFGILAHKMGRWLCALLGMIIVGVSILCIPFAKNIYGLIAPNFGVGFAIGMVDSSMMPIMGYLVDLRHVSVYGSVYAIADVAFCMGYAIGPSAGGAIAKAIGFPWLMTIIGIIDILFAPLCFFLRSPPAKEEKMAILMDHNCPIKTKMYTQNNIQSYPIGEDEESESD
+>DECOY_sp|Q05940|VMAT2_HUMAN Synaptic vesicular amine transporter OS=Homo sapiens OX=9606 GN=SLC18A2 PE=1 SV=2
+DSESEEDEGIPYSQINNQTYMKTKIPCNHDMLIAMKEEKAPPSRLFFCLPAFLIDIIGIITMLWPFGIAKAIAGGASPGIAYGMCFAVDAIAYVSGYVSVHRLDVLYGMIPMMSSDVMGIAFGVGFNPAILGYINKAFPICLISVGVIIMGLLACLWRGMKHALIGFINTGILYSISAPLFAVGLQWKRSCMTEMMWIPLAPELMAIGMNAFCISGAAILIYPDKLLTTLPTGKQSEPQVRSPQLVFLQIAGDLLVLAALVLFPATKGVFEYLVSGFPPGVLVGMALGGLAIGMVNGREEDDTYVSALMGMGAVSSCSSGIGQLSRAILLFAYSSSFAFMITSVFMICFGAFIPIPYGIRNTLLGIFPNTILQVTAKSAFLLGVQVNENLLDKDESPCDSPVASANTVMHQTATQHLTLDRTANGTVMTSNDYYSFISQFSDSISATHVPRATQIETANKEHKISYLYSPIIPVVVTLLMNDLLLALFVIFLILKRSRRSEQLWRVLALESLAM
+>sp|Q8NFZ6|VN1R2_HUMAN Vomeronasal type-1 receptor 2 OS=Homo sapiens OX=9606 GN=VN1R2 PE=2 SV=2
+MTHTLYPTPFALYPINISAAWHLGPLPVSCFVSNKYQCSLAFGATTGLRVLVVVVPQTQLSFLSSLCLVSLFLHSLVSAHGEKPTKPVGLDPTLFQVVVGILGNFSLLYYYMFLYFRGYKPRSTDLILRHLTVADSLVILSKRIPETMATFGLKHFDNYFGCKFLLYAHRVGRGVSIGSTCLLSVFQVITINPRNSRWAEMKVKAPTYIGLSNILCWAFHMLVNAIFPIYTTGKWSNNNITKKGDLGYCSAPLSDEVTKSVYAALTSFHDVLCLGLMLWASSSIVLVLYRHKQQVQHICRNNLYPNSSPGNRAIQSILALVSTFALCYALSFITYVYLALFDNSSWWLVNTAALIIACFPTISPFVLMCRDPSRSRLCSICCRRNRRFFHDFRKM
+>DECOY_sp|Q8NFZ6|VN1R2_HUMAN Vomeronasal type-1 receptor 2 OS=Homo sapiens OX=9606 GN=VN1R2 PE=2 SV=2
+MKRFDHFFRRNRRCCISCLRSRSPDRCMLVFPSITPFCAIILAATNVLWWSSNDFLALYVYTIFSLAYCLAFTSVLALISQIARNGPSSNPYLNNRCIHQVQQKHRYLVLVISSSAWLMLGLCLVDHFSTLAAYVSKTVEDSLPASCYGLDGKKTINNNSWKGTTYIPFIANVLMHFAWCLINSLGIYTPAKVKMEAWRSNRPNITIVQFVSLLCTSGISVGRGVRHAYLLFKCGFYNDFHKLGFTAMTEPIRKSLIVLSDAVTLHRLILDTSRPKYGRFYLFMYYYLLSFNGLIGVVVQFLTPDLGVPKTPKEGHASVLSHLFLSVLCLSSLFSLQTQPVVVVLVRLGTTAGFALSCQYKNSVFCSVPLPGLHWAASINIPYLAFPTPYLTHTM
+>sp|Q8TDU5|VNRL4_HUMAN Putative vomeronasal receptor-like protein 4 OS=Homo sapiens OX=9606 GN=VN1R17P PE=5 SV=1
+MEMTKLFSYIVIKNVYYPQVSFGISANTFLLLFHIFTFAYTHRLKPIDMTISHLPLIHILLLFTQAILVSSDLFESWNIQNNDLKCKIITFLNRVMRGVSICTTCLLSVLQAITISPSTSFLEKFKHISANHTLGFILFSWVLNMFITNNLLLFIVPTPNRIGASLLFVTEHCYVLPMSYTHRSLFFILMVLRDVIFIGLMVLSSGYG
+>DECOY_sp|Q8TDU5|VNRL4_HUMAN Putative vomeronasal receptor-like protein 4 OS=Homo sapiens OX=9606 GN=VN1R17P PE=5 SV=1
+GYGSSLVMLGIFIVDRLVMLIFFLSRHTYSMPLVYCHETVFLLSAGIRNPTPVIFLLLNNTIFMNLVWSFLIFGLTHNASIHKFKELFSTSPSITIAQLVSLLCTTCISVGRMVRNLFTIIKCKLDNNQINWSEFLDSSVLIAQTFLLLIHILPLHSITMDIPKLRHTYAFTFIHFLLLFTNASIGFSVQPYYVNKIVIYSFLKTMEM
+>sp|Q709C8|VP13C_HUMAN Vacuolar protein sorting-associated protein 13C OS=Homo sapiens OX=9606 GN=VPS13C PE=1 SV=1
+MVLESVVADLLNRFLGDYVENLNKSQLKLGIWGGNVALDNLQIKENALSELDVPFKVKAGQIDKLTLKIPWKNLYGEAVVATLEGLYLLVVPGASIKYDAVKEEKSLQDVKQKELSRIEEALQKAAEKGTHSGEFIYGLENFVYKDIKPGRKRKKHKKHFKKPFKGLDRSKDKPKEAKKDTFVEKLATQVIKNVQVKITDIHIKYEDDVTDPKRPLSFGVTLGELSLLTANEHWTPCILNEADKIIYKLIRLDSLSAYWNVNCSMSYQRSREQILDQLKNEILTSGNIPPNYQYIFQPISASAKLYMNPYAESELKTPKLDCNIEIQNIAIELTKPQYLSMIDLLESVDYMVRNAPYRKYKPYLPLHTNGRRWWKYAIDSVLEVHIRRYTQMWSWSNIKKHRQLLKSYKIAYKNKLTQSKVSEEIQKEIQDLEKTLDVFNIILARQQAQVEVIRSGQKLRKKSADTGEKRGGWFSGLWGKKESKKKDEESLIPETIDDLMTPEEKDKLFTAIGYSESTHNLTLPKQYVAHIMTLKLVSTSVTIRENKNIPEILKIQIIGLGTQVSQRPGAQALKVEAKLEHWYITGLRQQDIVPSLVASIGDTTSSLLKIKFETNPEDSPADQTLIVQSQPVEVIYDAKTVNAVVEFFQSNKGLDLEQITSATLMKLEEIKERTATGLTHIIETRKVLDLRINLKPSYLVVPQTGFHHEKSDLLILDFGTFQLNSKDQGLQKTTNSSLEEIMDKAYDKFDVEIKNVQLLFARAEETWKKCRFQHPSTMHILQPMDIHVELAKAMVEKDIRMARFKVSGGLPLMHVRISDQKMKDVLYLMNSIPLPQKSSAQSPERQVSSIPIISGGTKGLLGTSLLLDTVESESDDEYFDAEDGEPQTCKSMKGSELKKAAEVPNEELINLLLKFEIKEVILEFTKQQKEEDTILVFNVTQLGTEATMRTFDLTVVSYLKKISLDYHEIEGSKRKPLHLISSSDKPGLDLLKVEYIKADKNGPSFQTAFGKTEQTVKVAFSSLNLLLQTQALVASINYLTTIIPSDDQSISVAKEVQISTEKQQKNSTLPKAIVSSRDSDIIDFRLFAKLNAFCVIVCNEKNNIAEIKIQGLDSSLSLQSRKQSLFARLENIIVTDVDPKTVHKKAVSIMGNEVFRFNLDLYPDATEGDLYTDMSKVDGVLSLNVGCIQIVYLHKFLMSLLNFLNNFQTAKESLSAATAQAAERAATSVKDLAQRSFRVSINIDLKAPVIVIPQSSISTNAVVVDLGLIRVHNQFSLVSDEDYLNPPVIDRMDVQLTKLTLYRTVIQPGIYHPDIQLLHPINLEFLVNRNLAASWYHKVPVVEIKGHLDSMNVSLNQEDLNLLFRILTENLCEGTEDLDKVKPRVQETGEIKEPLEISISQDVHDSKNTLTTGVEEIRSVDIINMLLNFEIKEVVVTLMKKSEKKGRPLHELNVLQLGMEAKVKTYDMTAKAYLKKISMQCFDFTDSKGEPLHIINSSNVTDEPLLKMLLTKADSDGPEFKTIHDSTKQRLKVSFASLDLVLHLEALLSFMDFLSSAAPFSEPSSSEKESELKPLVGESRSIAVKAVSSNISQKDVFDLKITAELNAFNVFVCDQKCNIADIKIHGMDASISVKPKQTDVFARLKDIIVMNVDLQSIHKKAVSILGDEVFRFQLTLYPDATEGEAYADMSKVDGKLSFKVGCIQIVYVHKFFMSLLNFLNNFQTAKEALSTATVQAAERAASSMKDLAQKSFRLLMDINLKAPVIIIPQSSVSPNAVIADLGLIRVENKFSLVPMEHYSLPPVIDKMNIELTQLKLSRTILQASLPQNDIEILKPVNMLLSIQRNLAAAWYVQIPGMEIKGKLKPMQVALSEDDLTVLMKILLENLGEASSQPSPTQSVQETVRVRKVDVSSVPDHLKEQEDWTDSKLSMNQIVSLQFDFHFESLSIILYNNDINQESGVAFHNDSFQLGELRLHLMASSGKMFKDGSMNVSVKLKTCTLDDLREGIERATSRMIDRKNDQDNNSSMIDISYKQDKNGSQIDAVLDKLYVCASVEFLMTVADFFIKAVPQSPENVAKETQILPRQTATGKVKIEKDDSVRPNMTLKAMITDPEVVFVASLTKADAPALTASFQCNLSLSTSKLEQMMEASVRDLKVLACPFLREKRGKNITTVLQPCSLFMEKCTWASGKQNINIMVKEFIIKISPIILNTVLTIMAALSPKTKEDGSKDTSKEMENLWGIKSINDYNTWFLGVDTATEITESFKGIEHSLIEENCGVVVESIQVTLECGLGHRTVPLLLAESKFSGNIKNWTSLMAAVADVTLQVHYYNEIHAVWEPLIERVEGKRQWNLRLDVKKNPVQDKSLLPGDDFIPEPQMAIHISSGNTMNITISKSCLNVFNNLAKGFSEGTASTFDYSLKDRAPFTVKNAVGVPIKVKPNCNLRVMGFPEKSDIFDVDAGQNLELEYASMVPSSQGNLSILSRQESSFFTLTIVPHGYTEVANIPVARPGRRLYNVRNPNASHSDSVLVQIDATEGNKVITLRSPLQIKNHFSIAFIIYKFVKNVKLLERIGIARPEEEFHVPLDSYRCQLFIQPAGILEHQYKESTTYISWKEELHRSREVRCMLQCPSVEVSFLPLIVNTVALPDELSYICTHGEDWDVAYIIHLYPSLTLRNLLPYSLRYLLEGTAETHELAEGSTADVLHSRISGEIMELVLVKYQGKNWNGHFRIRDTLPEFFPVCFSSDSTEVTTVDLSVHVRRIGSRMVLSVFSPYWLINKTTRVLQYRSEDIHVKHPADFRDIILFSFKKKNIFTKNKVQLKISTSAWSSSFSLDTVGSYGCVKCPANNMEYLVGVSIKMSSFNLSRIVTLTPFCTIANKSSLELEVGEIASDGSMPTNKWNYIASSECLPFWPESLSGKLCVRVVGCEGSSKPFFYNRQDNGTLLSLEDLNGGILVDVNTAEHSTVITFSDYHEGSAPALIMNHTPWDILTYKQSGSPEEMVLLPRQARLFAWADPTGTRKLTWTYAANVGEHDLLKDGCGQFPYDANIQIHWVSFLDGRQRVLLFTDDVALVSKALQAEEMEQADYEITLSLHSLGLSLVNNESKQEVSYIGITSSGVVWEVKPKQKWKPFSQKQIILLEQSYQKHQISRDHGWIKLDNNFEVNFDKDPMEMRLPIRSPIKRDFLSGIQIEFKQSSHQRSLRARLYWLQVDNQLPGAMFPVVFHPVAPPKSIALDSEPKPFIDVSVITRFNEYSKVLQFKYFMVLIQEMALKIDQGFLGAIIALFTPTTDPEAERRRTKLIQQDIDALNAELMETSMTDMSILSFFEHFHISPVKLHLSLSLGSGGEESDKEKQEMFAVHSVNLLLKSIGATLTDVDDLIFKLAYYEIRYQFYKRDQLIWSVVRHYSEQFLKQMYVLVLGLDVLGNPFGLIRGLSEGVEALFYEPFQGAVQGPEEFAEGLVIGVRSLFGHTVGGAAGVVSRITGSVGKGLAAITMDKEYQQKRREELSRQPRDFGDSLARGGKGFLRGVVGGVTGIITKPVEGAKKEGAAGFFKGIGKGLVGAVARPTGGIVDMASSTFQGIQRAAESTEEVSSLRPPRLIHEDGIIRPYDRQESEGSDLLENHIKKLEGETYRYHCAIPGSKKTILMVTNRRVLCIKEVEILGLMCVDWQCPFEDFVFPPSVSENVLKISVKEQGLFHKKDSANQGCVRKVYLKDTATAERACNAIEDAQSTRQQQKLMKQSSVRLLRPQLPS
+>DECOY_sp|Q709C8|VP13C_HUMAN Vacuolar protein sorting-associated protein 13C OS=Homo sapiens OX=9606 GN=VPS13C PE=1 SV=1
+SPLQPRLLRVSSQKMLKQQQRTSQADEIANCAREATATDKLYVKRVCGQNASDKKHFLGQEKVSIKLVNESVSPPFVFDEFPCQWDVCMLGLIEVEKICLVRRNTVMLITKKSGPIACHYRYTEGELKKIHNELLDSGESEQRDYPRIIGDEHILRPPRLSSVEETSEAARQIGQFTSSAMDVIGGTPRAVAGVLGKGIGKFFGAAGEKKAGEVPKTIIGTVGGVVGRLFGKGGRALSDGFDRPQRSLEERRKQQYEKDMTIAALGKGVSGTIRSVVGAAGGVTHGFLSRVGIVLGEAFEEPGQVAGQFPEYFLAEVGESLGRILGFPNGLVDLGLVLVYMQKLFQESYHRVVSWILQDRKYFQYRIEYYALKFILDDVDTLTAGISKLLLNVSHVAFMEQKEKDSEEGGSGLSLSLHLKVPSIHFHEFFSLISMDTMSTEMLEANLADIDQQILKTRRREAEPDTTPTFLAIIAGLFGQDIKLAMEQILVMFYKFQLVKSYENFRTIVSVDIFPKPESDLAISKPPAVPHFVVPFMAGPLQNDVQLWYLRARLSRQHSSQKFEIQIGSLFDRKIPSRIPLRMEMPDKDFNVEFNNDLKIWGHDRSIQHKQYSQELLIIQKQSFPKWKQKPKVEWVVGSSTIGIYSVEQKSENNVLSLGLSHLSLTIEYDAQEMEEAQLAKSVLAVDDTFLLVRQRGDLFSVWHIQINADYPFQGCGDKLLDHEGVNAAYTWTLKRTGTPDAWAFLRAQRPLLVMEEPSGSQKYTLIDWPTHNMILAPASGEHYDSFTIVTSHEATNVDVLIGGNLDELSLLTGNDQRNYFFPKSSGECGVVRVCLKGSLSEPWFPLCESSAIYNWKNTPMSGDSAIEGVELELSSKNAITCFPTLTVIRSLNFSSMKISVGVLYEMNNAPCKVCGYSGVTDLSFSSSWASTSIKLQVKNKTFINKKKFSFLIIDRFDAPHKVHIDESRYQLVRTTKNILWYPSFVSLVMRSGIRRVHVSLDVTTVETSDSSFCVPFFEPLTDRIRFHGNWNKGQYKVLVLEMIEGSIRSHLVDATSGEALEHTEATGELLYRLSYPLLNRLTLSPYLHIIYAVDWDEGHTCIYSLEDPLAVTNVILPLFSVEVSPCQLMCRVERSRHLEEKWSIYTTSEKYQHELIGAPQIFLQCRYSDLPVHFEEEPRAIGIRELLKVNKVFKYIIFAISFHNKIQLPSRLTIVKNGETADIQVLVSDSHSANPNRVNYLRRGPRAVPINAVETYGHPVITLTFFSSEQRSLISLNGQSSPVMSAYELELNQGADVDFIDSKEPFGMVRLNCNPKVKIPVGVANKVTFPARDKLSYDFTSATGESFGKALNNFVNLCSKSITINMTNGSSIHIAMQPEPIFDDGPLLSKDQVPNKKVDLRLNWQRKGEVREILPEWVAHIENYYHVQLTVDAVAAMLSTWNKINGSFKSEALLLPVTRHGLGCELTVQISEVVVGCNEEILSHEIGKFSETIETATDVGLFWTNYDNISKIGWLNEMEKSTDKSGDEKTKPSLAAMITLVTNLIIPSIKIIFEKVMININQKGSAWTCKEMFLSCPQLVTTINKGRKERLFPCALVKLDRVSAEMMQELKSTSLSLNCQFSATLAPADAKTLSAVFVVEPDTIMAKLTMNPRVSDDKEIKVKGTATQRPLIQTEKAVNEPSQPVAKIFFDAVTMLFEVSACVYLKDLVADIQSGNKDQKYSIDIMSSNNDQDNKRDIMRSTAREIGERLDDLTCTKLKVSVNMSGDKFMKGSSAMLHLRLEGLQFSDNHFAVGSEQNIDNNYLIISLSEFHFDFQLSVIQNMSLKSDTWDEQEKLHDPVSSVDVKRVRVTEQVSQTPSPQSSAEGLNELLIKMLVTLDDESLAVQMPKLKGKIEMGPIQVYWAAALNRQISLLMNVPKLIEIDNQPLSAQLITRSLKLQTLEINMKDIVPPLSYHEMPVLSFKNEVRILGLDAIVANPSVSSQPIIIVPAKLNIDMLLRFSKQALDKMSSAAREAAQVTATSLAEKATQFNNLFNLLSMFFKHVYVIQICGVKFSLKGDVKSMDAYAEGETADPYLTLQFRFVEDGLISVAKKHISQLDVNMVIIDKLRAFVDTQKPKVSISADMGHIKIDAINCKQDCVFVNFANLEATIKLDFVDKQSINSSVAKVAISRSEGVLPKLESEKESSSPESFPAASSLFDMFSLLAELHLVLDLSAFSVKLRQKTSDHITKFEPGDSDAKTLLMKLLPEDTVNSSNIIHLPEGKSDTFDFCQMSIKKLYAKATMDYTKVKAEMGLQLVNLEHLPRGKKESKKMLTVVVEKIEFNLLMNIIDVSRIEEVGTTLTNKSDHVDQSISIELPEKIEGTEQVRPKVKDLDETGECLNETLIRFLLNLDEQNLSVNMSDLHGKIEVVPVKHYWSAALNRNVLFELNIPHLLQIDPHYIGPQIVTRYLTLKTLQVDMRDIVPPNLYDEDSVLSFQNHVRILGLDVVVANTSISSQPIVIVPAKLDINISVRFSRQALDKVSTAAREAAQATAASLSEKATQFNNLFNLLSMLFKHLYVIQICGVNLSLVGDVKSMDTYLDGETADPYLDLNFRFVENGMISVAKKHVTKPDVDTVIINELRAFLSQKRSQLSLSSDLGQIKIEAINNKENCVIVCFANLKAFLRFDIIDSDRSSVIAKPLTSNKQQKETSIQVEKAVSISQDDSPIITTLYNISAVLAQTQLLLNLSSFAVKVTQETKGFATQFSPGNKDAKIYEVKLLDLGPKDSSSILHLPKRKSGEIEHYDLSIKKLYSVVTLDFTRMTAETGLQTVNFVLITDEEKQQKTFELIVEKIEFKLLLNILEENPVEAAKKLESGKMSKCTQPEGDEADFYEDDSESEVTDLLLSTGLLGKTGGSIIPISSVQREPSQASSKQPLPISNMLYLVDKMKQDSIRVHMLPLGGSVKFRAMRIDKEVMAKALEVHIDMPQLIHMTSPHQFRCKKWTEEARAFLLQVNKIEVDFKDYAKDMIEELSSNTTKQLGQDKSNLQFTGFDLILLDSKEHHFGTQPVVLYSPKLNIRLDLVKRTEIIHTLGTATREKIEELKMLTASTIQELDLGKNSQFFEVVANVTKADYIVEVPQSQVILTQDAPSDEPNTEFKIKLLSSTTDGISAVLSPVIDQQRLGTIYWHELKAEVKLAQAGPRQSVQTGLGIIQIKLIEPINKNERITVSTSVLKLTMIHAVYQKPLTLNHTSESYGIATFLKDKEEPTMLDDITEPILSEEDKKKSEKKGWLGSFWGGRKEGTDASKKRLKQGSRIVEVQAQQRALIINFVDLTKELDQIEKQIEESVKSQTLKNKYAIKYSKLLQRHKKINSWSWMQTYRRIHVELVSDIAYKWWRRGNTHLPLYPKYKRYPANRVMYDVSELLDIMSLYQPKTLEIAINQIEINCDLKPTKLESEAYPNMYLKASASIPQFIYQYNPPINGSTLIENKLQDLIQERSRQYSMSCNVNWYASLSDLRILKYIIKDAENLICPTWHENATLLSLEGLTVGFSLPRKPDTVDDEYKIHIDTIKVQVNKIVQTALKEVFTDKKAEKPKDKSRDLGKFPKKFHKKHKKRKRGPKIDKYVFNELGYIFEGSHTGKEAAKQLAEEIRSLEKQKVDQLSKEEKVADYKISAGPVVLLYLGELTAVVAEGYLNKWPIKLTLKDIQGAKVKFPVDLESLANEKIQLNDLAVNGGWIGLKLQSKNLNEVYDGLFRNLLDAVVSELVM
+>sp|P63119|VPK21_HUMAN Endogenous retrovirus group K member 21 Pro protein OS=Homo sapiens OX=9606 GN=ERVK-21 PE=3 SV=1
+WASQVSENRPVCKAIIQGKQFEGLVDTGADVSIIALNQWPKNWPKQKAVTGLVGIGTASEVYQSTEILHCLGPDNQESTVQPMITSIPLNLWGRDLLQQWGAEITMPTPLYSPTSQKIMTKMGYIPGKGLGKNEDGIKVPVEAKINQKREGIGYPF
+>DECOY_sp|P63119|VPK21_HUMAN Endogenous retrovirus group K member 21 Pro protein OS=Homo sapiens OX=9606 GN=ERVK-21 PE=3 SV=1
+FPYGIGERKQNIKAEVPVKIGDENKGLGKGPIYGMKTMIKQSTPSYLPTPMTIEAGWQQLLDRGWLNLPISTIMPQVTSEQNDPGLCHLIETSQYVESATGIGVLGTVAKQKPWNKPWQNLAIISVDAGTDVLGEFQKGQIIAKCVPRNESVQSAW
+>sp|Q93050|VPP1_HUMAN V-type proton ATPase 116 kDa subunit a isoform 1 OS=Homo sapiens OX=9606 GN=ATP6V0A1 PE=1 SV=3
+MGELFRSEEMTLAQLFLQSEAAYCCVSELGELGKVQFRDLNPDVNVFQRKFVNEVRRCEEMDRKLRFVEKEIRKANIPIMDTGENPEVPFPRDMIDLEANFEKIENELKEINTNQEALKRNFLELTELKFILRKTQQFFDEMADPDLLEESSSLLEPSEMGRGTPLRLGFVAGVINRERIPTFERMLWRVCRGNVFLRQAEIENPLEDPVTGDYVHKSVFIIFFQGDQLKNRVKKICEGFRASLYPCPETPQERKEMASGVNTRIDDLQMVLNQTEDHRQRVLQAAAKNIRVWFIKVRKMKAIYHTLNLCNIDVTQKCLIAEVWCPVTDLDSIQFALRRGTEHSGSTVPSILNRMQTNQTPPTYNKTNKFTYGFQNIVDAYGIGTYREINPAPYTIITFPFLFAVMFGDFGHGILMTLFAVWMVLRESRILSQKNENEMFSTVFSGRYIILLMGVFSMYTGLIYNDCFSKSLNIFGSSWSVRPMFTYNWTEETLRGNPVLQLNPALPGVFGGPYPFGIDPIWNIATNKLTFLNSFKMKMSVILGIIHMLFGVSLSLFNHIYFKKPLNIYFGFIPEIIFMTSLFGYLVILIFYKWTAYDAHTSENAPSLLIHFINMFLFSYPESGYSMLYSGQKGIQCFLVVVALLCVPWMLLFKPLVLRRQYLRRKHLGTLNFGGIRVGNGPTEEDAEIIQHDQLSTHSEDADEPSEDEVFDFGDTMVHQAIHTIEYCLGCISNTASYLRLWALSLAHAQLSEVLWTMVIHIGLSVKSLAGGLVLFFFFTAFATLTVAILLIMEGLSAFLHALRLHWVEFQNKFYSGTGFKFLPFSFEHIREGKFEE
+>DECOY_sp|Q93050|VPP1_HUMAN V-type proton ATPase 116 kDa subunit a isoform 1 OS=Homo sapiens OX=9606 GN=ATP6V0A1 PE=1 SV=3
+EEFKGERIHEFSFPLFKFGTGSYFKNQFEVWHLRLAHLFASLGEMILLIAVTLTAFATFFFFLVLGGALSKVSLGIHIVMTWLVESLQAHALSLAWLRLYSATNSICGLCYEITHIAQHVMTDGFDFVEDESPEDADESHTSLQDHQIIEADEETPGNGVRIGGFNLTGLHKRRLYQRRLVLPKFLLMWPVCLLAVVVLFCQIGKQGSYLMSYGSEPYSFLFMNIFHILLSPANESTHADYATWKYFILIVLYGFLSTMFIIEPIFGFYINLPKKFYIHNFLSLSVGFLMHIIGLIVSMKMKFSNLFTLKNTAINWIPDIGFPYPGGFVGPLAPNLQLVPNGRLTEETWNYTFMPRVSWSSGFINLSKSFCDNYILGTYMSFVGMLLIIYRGSFVTSFMENENKQSLIRSERLVMWVAFLTMLIGHGFDGFMVAFLFPFTIITYPAPNIERYTGIGYADVINQFGYTFKNTKNYTPPTQNTQMRNLISPVTSGSHETGRRLAFQISDLDTVPCWVEAILCKQTVDINCLNLTHYIAKMKRVKIFWVRINKAAAQLVRQRHDETQNLVMQLDDIRTNVGSAMEKREQPTEPCPYLSARFGECIKKVRNKLQDGQFFIIFVSKHVYDGTVPDELPNEIEAQRLFVNGRCVRWLMREFTPIRERNIVGAVFGLRLPTGRGMESPELLSSSEELLDPDAMEDFFQQTKRLIFKLETLELFNRKLAEQNTNIEKLENEIKEFNAELDIMDRPFPVEPNEGTDMIPINAKRIEKEVFRLKRDMEECRRVENVFKRQFVNVDPNLDRFQVKGLEGLESVCCYAAESQLFLQALTMEESRFLEGM
+>sp|Q13488|VPP3_HUMAN V-type proton ATPase 116 kDa subunit a isoform 3 OS=Homo sapiens OX=9606 GN=TCIRG1 PE=1 SV=3
+MGSMFRSEEVALVQLFLPTAAAYTCVSRLGELGLVEFRDLNASVSAFQRRFVVDVRRCEELEKTFTFLQEEVRRAGLVLPPPKGRLPAPPPRDLLRIQEETERLAQELRDVRGNQQALRAQLHQLQLHAAVLRQGHEPQLAAAHTDGASERTPLLQAPGGPHQDLRVNFVAGAVEPHKAPALERLLWRACRGFLIASFRELEQPLEHPVTGEPATWMTFLISYWGEQIGQKIRKITDCFHCHVFPFLQQEEARLGALQQLQQQSQELQEVLGETERFLSQVLGRVLQLLPPGQVQVHKMKAVYLALNQCSVSTTHKCLIAEAWCSVRDLPALQEALRDSSMEEGVSAVAHRIPCRDMPPTLIRTNRFTASFQGIVDAYGVGRYQEVNPAPYTIITFPFLFAVMFGDVGHGLLMFLFALAMVLAENRPAVKAAQNEIWQTFFRGRYLLLLMGLFSIYTGFIYNECFSRATSIFPSGWSVAAMANQSGWSDAFLAQHTMLTLDPNVTGVFLGPYPFGIDPIWSLAANHLSFLNSFKMKMSVILGVVHMAFGVVLGVFNHVHFGQRHRLLLETLPELTFLLGLFGYLVFLVIYKWLCVWAARAASAPSILIHFINMFLFSHSPSNRLLYPRQEVVQATLVVLALAMVPILLLGTPLHLLHRHRRRLRRRPADRQEENKAGLLDLPDASVNGWSSDEEKAGGLDDEEEAELVPSEVLMHQAIHTIEFCLGCVSNTASYLRLWALSLAHAQLSEVLWAMVMRIGLGLGREVGVAAVVLVPIFAAFAVMTVAILLVMEGLSAFLHALRLHWVEFQNKFYSGTGYKLSPFTFAATDD
+>DECOY_sp|Q13488|VPP3_HUMAN V-type proton ATPase 116 kDa subunit a isoform 3 OS=Homo sapiens OX=9606 GN=TCIRG1 PE=1 SV=3
+DDTAAFTFPSLKYGTGSYFKNQFEVWHLRLAHLFASLGEMVLLIAVTMVAFAAFIPVLVVAAVGVERGLGLGIRMVMAWLVESLQAHALSLAWLRLYSATNSVCGLCFEITHIAQHMLVESPVLEAEEEDDLGGAKEEDSSWGNVSADPLDLLGAKNEEQRDAPRRRLRRRHRHLLHLPTGLLLIPVMALALVVLTAQVVEQRPYLLRNSPSHSFLFMNIFHILISPASAARAAWVCLWKYIVLFVLYGFLGLLFTLEPLTELLLRHRQGFHVHNFVGLVVGFAMHVVGLIVSMKMKFSNLFSLHNAALSWIPDIGFPYPGLFVGTVNPDLTLMTHQALFADSWGSQNAMAAVSWGSPFISTARSFCENYIFGTYISFLGMLLLLYRGRFFTQWIENQAAKVAPRNEALVMALAFLFMLLGHGVDGFMVAFLFPFTIITYPAPNVEQYRGVGYADVIGQFSATFRNTRILTPPMDRCPIRHAVASVGEEMSSDRLAEQLAPLDRVSCWAEAILCKHTTSVSCQNLALYVAKMKHVQVQGPPLLQLVRGLVQSLFRETEGLVEQLEQSQQQLQQLAGLRAEEQQLFPFVHCHFCDTIKRIKQGIQEGWYSILFTMWTAPEGTVPHELPQELERFSAILFGRCARWLLRELAPAKHPEVAGAVFNVRLDQHPGGPAQLLPTRESAGDTHAAALQPEHGQRLVAAHLQLQHLQARLAQQNGRVDRLEQALRETEEQIRLLDRPPPAPLRGKPPPLVLGARRVEEQLFTFTKELEECRRVDVVFRRQFASVSANLDRFEVLGLEGLRSVCTYAAATPLFLQVLAVEESRFMSGM
+>sp|Q9UID3|VPS51_HUMAN Vacuolar protein sorting-associated protein 51 homolog OS=Homo sapiens OX=9606 GN=VPS51 PE=1 SV=2
+MAAAAAAGPSPGSGPGDSPEGPEGEAPERRRKAHGMLKLYYGLSEGEAAGRPAGPDPLDPTDLNGAHFDPEVYLDKLRRECPLAQLMDSETDMVRQIRALDSDMQTLVYENYNKFISATDTIRKMKNDFRKMEDEMDRLATNMAVITDFSARISATLQDRHERITKLAGVHALLRKLQFLFELPSRLTKCVELGAYGQAVRYQGRAQAVLQQYQHLPSFRAIQDDCQVITARLAQQLRQRFREGGSGAPEQAECVELLLALGEPAEELCEEFLAHARGRLEKELRNLEAELGPSPPAPDVLEFTDHGGSGFVGGLCQVAAAYQELFAAQGPAGAEKLAAFARQLGSRYFALVERRLAQEQGGGDNSLLVRALDRFHRRLRAPGALLAAAGLADAATEIVERVARERLGHHLQGLRAAFLGCLTDVRQALAAPRVAGKEGPGLAELLANVASSILSHIKASLAAVHLFTAKEVSFSNKPYFRGEFCSQGVREGLIVGFVHSMCQTAQSFCDSPGEKGGATPPALLLLLSRLCLDYETATISYILTLTDEQFLVQDQFPVTPVSTLCAEARETARRLLTHYVKVQGLVISQMLRKSVETRDWLSTLEPRNVRAVMKRVVEDTTAIDVQVGLLYEEGVRKAQSSDSSKRTFSVYSSSRQQGRYAPSYTPSAPMDTNLLSNIQKLFSERIDVFSPVEFNKVSVLTGIIKISLKTLLECVRLRTFGRFGLQQVQVDCHFLQLYLWRFVADEELVHLLLDEVVASAALRCPDPVPMEPSVVEVICERG
+>DECOY_sp|Q9UID3|VPS51_HUMAN Vacuolar protein sorting-associated protein 51 homolog OS=Homo sapiens OX=9606 GN=VPS51 PE=1 SV=2
+GRECIVEVVSPEMPVPDPCRLAASAVVEDLLLHVLEEDAVFRWLYLQLFHCDVQVQQLGFRGFTRLRVCELLTKLSIKIIGTLVSVKNFEVPSFVDIRESFLKQINSLLNTDMPASPTYSPAYRGQQRSSSYVSFTRKSSDSSQAKRVGEEYLLGVQVDIATTDEVVRKMVARVNRPELTSLWDRTEVSKRLMQSIVLGQVKVYHTLLRRATERAEACLTSVPTVPFQDQVLFQEDTLTLIYSITATEYDLCLRSLLLLLAPPTAGGKEGPSDCFSQATQCMSHVFGVILGERVGQSCFEGRFYPKNSFSVEKATFLHVAALSAKIHSLISSAVNALLEALGPGEKGAVRPAALAQRVDTLCGLFAARLGQLHHGLRERAVREVIETAADALGAAALLAGPARLRRHFRDLARVLLSNDGGGQEQALRREVLAFYRSGLQRAFAALKEAGAPGQAAFLEQYAAAVQCLGGVFGSGGHDTFELVDPAPPSPGLEAELNRLEKELRGRAHALFEECLEEAPEGLALLLEVCEAQEPAGSGGERFRQRLQQALRATIVQCDDQIARFSPLHQYQQLVAQARGQYRVAQGYAGLEVCKTLRSPLEFLFQLKRLLAHVGALKTIREHRDQLTASIRASFDTIVAMNTALRDMEDEMKRFDNKMKRITDTASIFKNYNEYVLTQMDSDLARIQRVMDTESDMLQALPCERRLKDLYVEPDFHAGNLDTPDLPDPGAPRGAAEGESLGYYLKLMGHAKRRREPAEGEPGEPSDGPGSGPSPGAAAAAAM
+>sp|Q5VIR6|VPS53_HUMAN Vacuolar protein sorting-associated protein 53 homolog OS=Homo sapiens OX=9606 GN=VPS53 PE=1 SV=1
+MMEEEELEFVEELEAVLQLTPEVQLAIEQVFPSQDPLDRADFNAVEYINTLFPTEQSLANIDEVVNKIRLKIRRLDDNIRTVVRGQTNVGQDGRQALEEAQKAIQQLFGKIKDIKDKAEKSEQMVKEITRDIKQLDHAKRHLTTSITTLNHLHMLAGGVDSLEAMTRRRQYGEVANLLQGVMNVLEHFHKYMGIPQIRQLSERVKAAQTELGQQILADFEEAFPSQGTKRPGGPSNVLRDACLVANILDPRIKQEIIKKFIKQHLSEYLVLFQENQDVAWLDKIDRRYAWIKRQLVDYEEKYGRMFPREWCMAERIAVEFCHVTRAELAKIMRTRAKEIEVKLLLFAIQRTTNFEGFLAKRFSGCTLTDGTLKKLESPPPSTNPFLEDEPTPEMEELATEKGDLDQPKKPKAPDNPFHGIVSKCFEPHLYVYIESQDKNLGELIDRFVADFKAQGPPKPNTDEGGAVLPSCADLFVYYKKCMVQCSQLSTGEPMIALTTIFQKYLREYAWKILSGNLPKTTTSSGGLTISSLLKEKEGSEVAKFTLEELCLICNILSTAEYCLATTQQLEEKLKEKVDVSLIERINLTGEMDTFSTVISSSIQLLVQDLDAACDPALTAMSKMQWQNVEHVGDQSPYVTSVILHIKQNVPIIRDNLASTRKYFTQFCVKFANSFIPKFITHLFKCKPISMVGAEQVRWT
+>DECOY_sp|Q5VIR6|VPS53_HUMAN Vacuolar protein sorting-associated protein 53 homolog OS=Homo sapiens OX=9606 GN=VPS53 PE=1 SV=1
+TWRVQEAGVMSIPKCKFLHTIFKPIFSNAFKVCFQTFYKRTSALNDRIIPVNQKIHLIVSTVYPSQDGVHEVNQWQMKSMATLAPDCAADLDQVLLQISSSIVTSFTDMEGTLNIREILSVDVKEKLKEELQQTTALCYEATSLINCILCLEELTFKAVESGEKEKLLSSITLGGSSTTTKPLNGSLIKWAYERLYKQFITTLAIMPEGTSLQSCQVMCKKYYVFLDACSPLVAGGEDTNPKPPGQAKFDAVFRDILEGLNKDQSEIYVYLHPEFCKSVIGHFPNDPAKPKKPQDLDGKETALEEMEPTPEDELFPNTSPPPSELKKLTGDTLTCGSFRKALFGEFNTTRQIAFLLLKVEIEKARTRMIKALEARTVHCFEVAIREAMCWERPFMRGYKEEYDVLQRKIWAYRRDIKDLWAVDQNEQFLVLYESLHQKIFKKIIEQKIRPDLINAVLCADRLVNSPGGPRKTGQSPFAEEFDALIQQGLETQAAKVRESLQRIQPIGMYKHFHELVNMVGQLLNAVEGYQRRRTMAELSDVGGALMHLHNLTTISTTLHRKAHDLQKIDRTIEKVMQESKEAKDKIDKIKGFLQQIAKQAEELAQRGDQGVNTQGRVVTRINDDLRRIKLRIKNVVEDINALSQETPFLTNIYEVANFDARDLPDQSPFVQEIALQVEPTLQLVAELEEVFELEEEEMM
+>sp|Q99986|VRK1_HUMAN Serine/threonine-protein kinase VRK1 OS=Homo sapiens OX=9606 GN=VRK1 PE=1 SV=1
+MPRVKAAQAGRQSSAKRHLAEQFAVGEIITDMAKKEWKVGLPIGQGGFGCIYLADMNSSESVGSDAPCVVKVEPSDNGPLFTELKFYQRAAKPEQIQKWIRTRKLKYLGVPKYWGSGLHDKNGKSYRFMIMDRFGSDLQKIYEANAKRFSRKTVLQLSLRILDILEYIHEHEYVHGDIKASNLLLNYKNPDQVYLVDYGLAYRYCPEGVHKEYKEDPKRCHDGTIEFTSIDAHNGVAPSRRGDLEILGYCMIQWLTGHLPWEDNLKDPKYVRDSKIRYRENIASLMDKCFPEKNKPGEIAKYMETVKLLDYTEKPLYENLRDILLQGLKAIGSKDDGKLDLSVVENGGLKAKTITKKRKKEIEESKEPGVEDTEWSNTQTEEAIQTRSRTRKRVQK
+>DECOY_sp|Q99986|VRK1_HUMAN Serine/threonine-protein kinase VRK1 OS=Homo sapiens OX=9606 GN=VRK1 PE=1 SV=1
+KQVRKRTRSRTQIAEETQTNSWETDEVGPEKSEEIEKKRKKTITKAKLGGNEVVSLDLKGDDKSGIAKLGQLLIDRLNEYLPKETYDLLKVTEMYKAIEGPKNKEPFCKDMLSAINERYRIKSDRVYKPDKLNDEWPLHGTLWQIMCYGLIELDGRRSPAVGNHADISTFEITGDHCRKPDEKYEKHVGEPCYRYALGYDVLYVQDPNKYNLLLNSAKIDGHVYEHEHIYELIDLIRLSLQLVTKRSFRKANAEYIKQLDSGFRDMIMFRYSKGNKDHLGSGWYKPVGLYKLKRTRIWKQIQEPKAARQYFKLETFLPGNDSPEVKVVCPADSGVSESSNMDALYICGFGGQGIPLGVKWEKKAMDTIIEGVAFQEALHRKASSQRGAQAAKVRPM
+>sp|Q86VR7|VS10L_HUMAN V-set and immunoglobulin domain-containing protein 10-like OS=Homo sapiens OX=9606 GN=VSIG10L PE=2 SV=2
+MDNPQALPLFLLLASLVGILTLRASSGLQQTNFSSAFSSDSKSSSQGLGVEVPSIKPPSWKVPDQFLDSKASAGISDSSWFPEALSSNMSGSFWSNVSAEGQDLSPVSPFSETPGSEVFPDISDPQVPAKDPKPSFTVKTPASNISTQVSHTKLSVEAPDSKFSPDDMDLKLSAQSPESKFSAETHSAASFPQQVGGPLAVLVGTTIRLPLVPIPNPGPPTSLVVWRRGSKVLAAGGLGPGAPLISLDPAHRDHLRFDQARGVLELASAQLDDAGVYTAEVIRAGVSQQTHEFTVGVYEPLPQLSVQPKAPETEEGAAELRLRCLGWGPGRGELSWSRDGRALEAAESEGAETPRMRSEGDQLLIVRPVRSDHARYTCRVRSPFGHREAAADVSVFYGPDPPTITVSSDRDAAPARFVTAGSNVTLRCAAASRPPADITWSLADPAEAAVPAGSRLLLPAVGPGHAGTYACLAANPRTGRRRRSLLNLTVADLPPGAPQCSVEGGPGDRSLRFRCSWPGGAPAASLQFQGLPEGIRAGPVSSVLLAAVPAHPRLSGVPITCLARHLVATRTCTVTPEAPREVLLHPLVAETRLGEAEVALEASGCPPPSRASWAREGRPLAPGGGSRLRLSQDGRKLHIGNFSLDWDLGNYSVLCSGALGAGGDQITLIGPSISSWRLQRARDAAVLTWDVERGALISSFEIQAWPDGPALGRTSTYRDWVSLLILGPQERSAVVPLPPRNPGTWTFRILPILGGQPGTPSQSRVYRAGPTLSHGAIAGIVLGSLLGLALLAVLLLLCICCLCRFRGKTPEKKKHPSTLVPVVTPSEKKMHSVTPVEISWPLDLKVPLEDHSSTRAYQAQTPVQLSL
+>DECOY_sp|Q86VR7|VS10L_HUMAN V-set and immunoglobulin domain-containing protein 10-like OS=Homo sapiens OX=9606 GN=VSIG10L PE=2 SV=2
+LSLQVPTQAQYARTSSHDELPVKLDLPWSIEVPTVSHMKKESPTVVPVLTSPHKKKEPTKGRFRCLCCICLLLLVALLALGLLSGLVIGAIAGHSLTPGARYVRSQSPTGPQGGLIPLIRFTWTGPNRPPLPVVASREQPGLILLSVWDRYTSTRGLAPGDPWAQIEFSSILAGREVDWTLVAADRARQLRWSSISPGILTIQDGGAGLAGSCLVSYNGLDWDLSFNGIHLKRGDQSLRLRSGGGPALPRGERAWSARSPPPCGSAELAVEAEGLRTEAVLPHLLVERPAEPTVTCTRTAVLHRALCTIPVGSLRPHAPVAALLVSSVPGARIGEPLGQFQLSAAPAGGPWSCRFRLSRDGPGGEVSCQPAGPPLDAVTLNLLSRRRRGTRPNAALCAYTGAHGPGVAPLLLRSGAPVAAEAPDALSWTIDAPPRSAAACRLTVNSGATVFRAPAADRDSSVTITPPDPGYFVSVDAAAERHGFPSRVRCTYRAHDSRVPRVILLQDGESRMRPTEAGESEAAELARGDRSWSLEGRGPGWGLCRLRLEAAGEETEPAKPQVSLQPLPEYVGVTFEHTQQSVGARIVEATYVGADDLQASALELVGRAQDFRLHDRHAPDLSILPAGPGLGGAALVKSGRRWVVLSTPPGPNPIPVLPLRITTGVLVALPGGVQQPFSAASHTEASFKSEPSQASLKLDMDDPSFKSDPAEVSLKTHSVQTSINSAPTKVTFSPKPDKAPVQPDSIDPFVESGPTESFPSVPSLDQGEASVNSWFSGSMNSSLAEPFWSSDSIGASAKSDLFQDPVKWSPPKISPVEVGLGQSSSKSDSSFASSFNTQQLGSSARLTLIGVLSALLLFLPLAQPNDM
+>sp|Q8IW00|VSTM4_HUMAN V-set and transmembrane domain-containing protein 4 OS=Homo sapiens OX=9606 GN=VSTM4 PE=1 SV=3
+MRLLALAAAALLARAPAPEVCAALNVTVSPGPVVDYLEGENATLLCHVSQKRRKDSLLAVRWFFAHSFDSQEALMVKMTKLRVVQYYGNFSRSAKRRRLRLLEEQRGALYRLSVLTLQPSDQGHYVCRVQEISRHRNKWTAWSNGSSATEMRVISLKASEESSFEKTKETWAFFEDLYVYAVLVCCVGILSILLFMLVIVWQSVFNKRKSRVRHYLVKCPQNSSGETVTSVTSLAPLQPKKGKRQKEKPDIPPAVPAKAPIAPTFHKPKLLKPQRKVTLPKIAEENLTYAELELIKPHRAAKGAPTSTVYAQILFEENKL
+>DECOY_sp|Q8IW00|VSTM4_HUMAN V-set and transmembrane domain-containing protein 4 OS=Homo sapiens OX=9606 GN=VSTM4 PE=1 SV=3
+LKNEEFLIQAYVTSTPAGKAARHPKILELEAYTLNEEAIKPLTVKRQPKLLKPKHFTPAIPAKAPVAPPIDPKEKQRKGKKPQLPALSTVSTVTEGSSNQPCKVLYHRVRSKRKNFVSQWVIVLMFLLISLIGVCCVLVAYVYLDEFFAWTEKTKEFSSEESAKLSIVRMETASSGNSWATWKNRHRSIEQVRCVYHGQDSPQLTLVSLRYLAGRQEELLRLRRRKASRSFNGYYQVVRLKTMKVMLAEQSDFSHAFFWRVALLSDKRRKQSVHCLLTANEGELYDVVPGPSVTVNLAACVEPAPARALLAAAALALLRM
+>sp|Q9H1J7|WNT5B_HUMAN Protein Wnt-5b OS=Homo sapiens OX=9606 GN=WNT5B PE=2 SV=2
+MPSLLLLFTAALLSSWAQLLTDANSWWSLALNPVQRPEMFIIGAQPVCSQLPGLSPGQRKLCQLYQEHMAYIGEGAKTGIKECQHQFRQRRWNCSTADNASVFGRVMQIGSRETAFTHAVSAAGVVNAISRACREGELSTCGCSRTARPKDLPRDWLWGGCGDNVEYGYRFAKEFVDAREREKNFAKGSEEQGRVLMNLQNNEAGRRAVYKMADVACKCHGVSGSCSLKTCWLQLAEFRKVGDRLKEKYDSAAAMRVTRKGRLELVNSRFTQPTPEDLVYVDPSPDYCLRNESTGSLGTQGRLCNKTSEGMDGCELMCCGRGYNQFKSVQVERCHCKFHWCCFVRCKKCTEIVDQYICK
+>DECOY_sp|Q9H1J7|WNT5B_HUMAN Protein Wnt-5b OS=Homo sapiens OX=9606 GN=WNT5B PE=2 SV=2
+KCIYQDVIETCKKCRVFCCWHFKCHCREVQVSKFQNYGRGCCMLECGDMGESTKNCLRGQTGLSGTSENRLCYDPSPDVYVLDEPTPQTFRSNVLELRGKRTVRMAAASDYKEKLRDGVKRFEALQLWCTKLSCSGSVGHCKCAVDAMKYVARRGAENNQLNMLVRGQEESGKAFNKERERADVFEKAFRYGYEVNDGCGGWLWDRPLDKPRATRSCGCTSLEGERCARSIANVVGAASVAHTFATERSGIQMVRGFVSANDATSCNWRRQRFQHQCEKIGTKAGEGIYAMHEQYLQCLKRQGPSLGPLQSCVPQAGIIFMEPRQVPNLALSWWSNADTLLQAWSSLLAATFLLLLSPM
+>sp|Q9Y6I7|WSB1_HUMAN WD repeat and SOCS box-containing protein 1 OS=Homo sapiens OX=9606 GN=WSB1 PE=1 SV=1
+MASFPPRVNEKEIVRLRTIGELLAPAAPFDKKCGRENWTVAFAPDGSYFAWSQGHRTVKLVPWSQCLQNFLLHGTKNVTNSSSLRLPRQNSDGGQKNKPREHIIDCGDIVWSLAFGSSVPEKQSRCVNIEWHRFRFGQDQLLLATGLNNGRIKIWDVYTGKLLLNLVDHTEVVRDLTFAPDGSLILVSASRDKTLRVWDLKDDGNMMKVLRGHQNWVYSCAFSPDSSMLCSVGASKAVFLWNMDKYTMIRKLEGHHHDVVACDFSPDGALLATASYDTRVYIWDPHNGDILMEFGHLFPPPTPIFAGGANDRWVRSVSFSHDGLHVASLADDKMVRFWRIDEDYPVQVAPLSNGLCCAFSTDGSVLAAGTHDGSVYFWATPRQVPSLQHLCRMSIRRVMPTQEVQELPIPSKLLEFLSYRI
+>DECOY_sp|Q9Y6I7|WSB1_HUMAN WD repeat and SOCS box-containing protein 1 OS=Homo sapiens OX=9606 GN=WSB1 PE=1 SV=1
+IRYSLFELLKSPIPLEQVEQTPMVRRISMRCLHQLSPVQRPTAWFYVSGDHTGAALVSGDTSFACCLGNSLPAVQVPYDEDIRWFRVMKDDALSAVHLGDHSFSVSRVWRDNAGGAFIPTPPPFLHGFEMLIDGNHPDWIYVRTDYSATALLAGDPSFDCAVVDHHHGELKRIMTYKDMNWLFVAKSAGVSCLMSSDPSFACSYVWNQHGRLVKMMNGDDKLDWVRLTKDRSASVLILSGDPAFTLDRVVETHDVLNLLLKGTYVDWIKIRGNNLGTALLLQDQGFRFRHWEINVCRSQKEPVSSGFALSWVIDGCDIIHERPKNKQGGDSNQRPLRLSSSNTVNKTGHLLFNQLCQSWPVLKVTRHGQSWAFYSGDPAFAVTWNERGCKKDFPAAPALLEGITRLRVIEKENVRPPFSAM
+>sp|Q658N2|WSCD1_HUMAN WSC domain-containing protein 1 OS=Homo sapiens OX=9606 GN=WSCD1 PE=2 SV=1
+MAKPFFRLQKFLRRTQFLLFFLTAAYLMTGSLLLLQRVRVALPQGPRAPGPLQTLPVAAVALGVGLLDSRALHDPRVSPELLLGVDMLQSPLTRPRPGPRWLRSRNSELRQLRRRWFHHFMSDSQGPPALGPEAARPAIHSRGTYIGCFSDDGHERTLKGAVFYDLRKMTVSHCQDACAERSYVYAGLEAGAECYCGNRLPAVSVGLEECNHECKGEKGSVCGAVDRLSVYRVDELQPGSRKRRTATYRGCFRLPENITHAFPSSLIQANVTVGTCSGFCSQKEFPLAILRGWECYCAYPTPRFNLRDAMDSSVCGQDPEAQRLAEYCEVYQTPVQDTRCTDRRFLPNKSKVFVALSSFPGAGNTWARHLIEHATGFYTGSYYFDGTLYNKGFKGEKDHWRSRRTICVKTHESGRREIEMFDSAILLIRNPYRSLVAEFNRKCAGHLGYAADRNWKSKEWPDFVNSYASWWSSHVLDWLKYGKRLLVVHYEELRRSLVPTLREMVAFLNVSVSEERLLCVENNKEGSFRRRGRRSHDPEPFTPEMKDLINGYIRTVDQALRDHNWTGLPREYVPR
+>DECOY_sp|Q658N2|WSCD1_HUMAN WSC domain-containing protein 1 OS=Homo sapiens OX=9606 GN=WSCD1 PE=2 SV=1
+RPVYERPLGTWNHDRLAQDVTRIYGNILDKMEPTFPEPDHSRRGRRRFSGEKNNEVCLLREESVSVNLFAVMERLTPVLSRRLEEYHVVLLRKGYKLWDLVHSSWWSAYSNVFDPWEKSKWNRDAAYGLHGACKRNFEAVLSRYPNRILLIASDFMEIERRGSEHTKVCITRRSRWHDKEGKFGKNYLTGDFYYSGTYFGTAHEILHRAWTNGAGPFSSLAVFVKSKNPLFRRDTCRTDQVPTQYVECYEALRQAEPDQGCVSSDMADRLNFRPTPYACYCEWGRLIALPFEKQSCFGSCTGVTVNAQILSSPFAHTINEPLRFCGRYTATRRKRSGPQLEDVRYVSLRDVAGCVSGKEGKCEHNCEELGVSVAPLRNGCYCEAGAELGAYVYSREACADQCHSVTMKRLDYFVAGKLTREHGDDSFCGIYTGRSHIAPRAAEPGLAPPGQSDSMFHHFWRRRLQRLESNRSRLWRPGPRPRTLPSQLMDVGLLLEPSVRPDHLARSDLLGVGLAVAAVPLTQLPGPARPGQPLAVRVRQLLLLSGTMLYAATLFFLLFQTRRLFKQLRFFPKAM
+>sp|Q96NR7|WWAS2_HUMAN Putative uncharacterized protein WWC2-AS2 OS=Homo sapiens OX=9606 GN=WWC2-AS2 PE=5 SV=1
+MTSAETASRAAESGGTPVRPCSRPHRAPSPAAPSRPGAPAAGPRKLLVPGLPCLVRGGWPWTRPDSSPFRPAARPRMSPHRSPAVARRCGRPRRRDPRRRRTPALPRPWPGRGGPGRSLLHRHLFIQQLLRTCWPALPRDRTPAPGGTMPGAALAGPGRQASGSPAPQSEGAPPRPWTPLQPGLHHRPPSSSSGLLSSFF
+>DECOY_sp|Q96NR7|WWAS2_HUMAN Putative uncharacterized protein WWC2-AS2 OS=Homo sapiens OX=9606 GN=WWC2-AS2 PE=5 SV=1
+FFSSLLGSSSSPPRHHLGPQLPTWPRPPAGESQPAPSGSAQRGPGALAAGPMTGGPAPTRDRPLAPWCTRLLQQIFLHRHLLSRGPGGRGPWPRPLAPTRRRRPDRRRPRGCRRAVAPSRHPSMRPRAAPRFPSSDPRTWPWGGRVLCPLGPVLLKRPGAAPAGPRSPAAPSPARHPRSCPRVPTGGSEAARSATEASTM
+>sp|Q9NZC7|WWOX_HUMAN WW domain-containing oxidoreductase OS=Homo sapiens OX=9606 GN=WWOX PE=1 SV=1
+MAALRYAGLDDTDSEDELPPGWEERTTKDGWVYYANHTEEKTQWEHPKTGKRKRVAGDLPYGWEQETDENGQVFFVDHINKRTTYLDPRLAFTVDDNPTKPTTRQRYDGSTTAMEILQGRDFTGKVVVVTGANSGIGFETAKSFALHGAHVILACRNMARASEAVSRILEEWHKAKVEAMTLDLALLRSVQHFAEAFKAKNVPLHVLVCNAATFALPWSLTKDGLETTFQVNHLGHFYLVQLLQDVLCRSAPARVIVVSSESHRFTDINDSLGKLDFSRLSPTKNDYWAMLAYNRSKLCNILFSNELHRRLSPRGVTSNAVHPGNMMYSNIHRSWWVYTLLFTLARPFTKSMQQGAATTVYCAAVPELEGLGGMYFNNCCRCMPSPEAQSEETARTLWALSERLIQERLGSQSG
+>DECOY_sp|Q9NZC7|WWOX_HUMAN WW domain-containing oxidoreductase OS=Homo sapiens OX=9606 GN=WWOX PE=1 SV=1
+GSQSGLREQILRESLAWLTRATEESQAEPSPMCRCCNNFYMGGLGELEPVAACYVTTAAGQQMSKTFPRALTFLLTYVWWSRHINSYMMNGPHVANSTVGRPSLRRHLENSFLINCLKSRNYALMAWYDNKTPSLRSFDLKGLSDNIDTFRHSESSVVIVRAPASRCLVDQLLQVLYFHGLHNVQFTTELGDKTLSWPLAFTAANCVLVHLPVNKAKFAEAFHQVSRLLALDLTMAEVKAKHWEELIRSVAESARAMNRCALIVHAGHLAFSKATEFGIGSNAGTVVVVKGTFDRGQLIEMATTSGDYRQRTTPKTPNDDVTFALRPDLYTTRKNIHDVFFVQGNEDTEQEWGYPLDGAVRKRKGTKPHEWQTKEETHNAYYVWGDKTTREEWGPPLEDESDTDDLGAYRLAAM
+>sp|P78423|X3CL1_HUMAN Fractalkine OS=Homo sapiens OX=9606 GN=CX3CL1 PE=1 SV=1
+MAPISLSWLLRLATFCHLTVLLAGQHHGVTKCNITCSKMTSKIPVALLIHYQQNQASCGKRAIILETRQHRLFCADPKEQWVKDAMQHLDRQAAALTRNGGTFEKQIGEVKPRTTPAAGGMDESVVLEPEATGESSSLEPTPSSQEAQRALGTSPELPTGVTGSSGTRLPPTPKAQDGGPVGTELFRVPPVSTAATWQSSAPHQPGPSLWAEAKTSEAPSTQDPSTQASTASSPAPEENAPSEGQRVWGQGQSPRPENSLEREEMGPVPAHTDAFQDWGPGSMAHVSVVPVSSEGTPSREPVASGSWTPKAEEPIHATMDPQRLGVLITPVPDAQAATRRQAVGLLAFLGLLFCLGVAMFTYQSLQGCPRKMAGEMAEGLRYIPRSCGSNSYVLVPV
+>DECOY_sp|P78423|X3CL1_HUMAN Fractalkine OS=Homo sapiens OX=9606 GN=CX3CL1 PE=1 SV=1
+VPVLVYSNSGCSRPIYRLGEAMEGAMKRPCGQLSQYTFMAVGLCFLLGLFALLGVAQRRTAAQADPVPTILVGLRQPDMTAHIPEEAKPTWSGSAVPERSPTGESSVPVVSVHAMSGPGWDQFADTHAPVPGMEERELSNEPRPSQGQGWVRQGESPANEEPAPSSATSAQTSPDQTSPAESTKAEAWLSPGPQHPASSQWTAATSVPPVRFLETGVPGGDQAKPTPPLRTGSSGTVGTPLEPSTGLARQAEQSSPTPELSSSEGTAEPELVVSEDMGGAAPTTRPKVEGIQKEFTGGNRTLAAAQRDLHQMADKVWQEKPDACFLRHQRTELIIARKGCSAQNQQYHILLAVPIKSTMKSCTINCKTVGHHQGALLVTLHCFTALRLLWSLSIPAM
+>sp|Q96GT9|XAGE2_HUMAN X antigen family member 2 OS=Homo sapiens OX=9606 GN=XAGE2 PE=1 SV=1
+MSWRGRSTYRPRPRRSLQPPELIGAMLEPTDEEPKEEKPPTKSRNPTPDQKREDDQGAAEIQVPDLEADLQELCQTKTGDGCEGGTDVKGKILPKAEHFKMPEAGEGKSQV
+>DECOY_sp|Q96GT9|XAGE2_HUMAN X antigen family member 2 OS=Homo sapiens OX=9606 GN=XAGE2 PE=1 SV=1
+VQSKGEGAEPMKFHEAKPLIKGKVDTGGECGDGTKTQCLEQLDAELDPVQIEAAGQDDERKQDPTPNRSKTPPKEEKPEEDTPELMAGILEPPQLSRRPRPRYTSRGRWSM
+>sp|P61421|VA0D1_HUMAN V-type proton ATPase subunit d 1 OS=Homo sapiens OX=9606 GN=ATP6V0D1 PE=1 SV=1
+MSFFPELYFNVDNGYLEGLVRGLKAGVLSQADYLNLVQCETLEDLKLHLQSTDYGNFLANEASPLTVSVIDDRLKEKMVVEFRHMRNHAYEPLASFLDFITYSYMIDNVILLITGTLHQRSIAELVPKCHPLGSFEQMEAVNIAQTPAELYNAILVDTPLAAFFQDCISEQDLDEMNIEIIRNTLYKAYLESFYKFCTLLGGTTADAMCPILEFEADRRAFIITINSFGTELSKEDRAKLFPHCGRLYPEGLAQLARADDYEQVKNVADYYPEYKLLFEGAGSNPGDKTLEDRFFEHEVKLNKLAFLNQFHFGVFYAFVKLKEQECRNIVWIAECIAQRHRAKIDNYIPIF
+>DECOY_sp|P61421|VA0D1_HUMAN V-type proton ATPase subunit d 1 OS=Homo sapiens OX=9606 GN=ATP6V0D1 PE=1 SV=1
+FIPIYNDIKARHRQAICEAIWVINRCEQEKLKVFAYFVGFHFQNLFALKNLKVEHEFFRDELTKDGPNSGAGEFLLKYEPYYDAVNKVQEYDDARALQALGEPYLRGCHPFLKARDEKSLETGFSNITIIFARRDAEFELIPCMADATTGGLLTCFKYFSELYAKYLTNRIIEINMEDLDQESICDQFFAALPTDVLIANYLEAPTQAINVAEMQEFSGLPHCKPVLEAISRQHLTGTILLIVNDIMYSYTIFDLFSALPEYAHNRMHRFEVVMKEKLRDDIVSVTLPSAENALFNGYDTSQLHLKLDELTECQVLNLYDAQSLVGAKLGRVLGELYGNDVNFYLEPFFSM
+>sp|P63027|VAMP2_HUMAN Vesicle-associated membrane protein 2 OS=Homo sapiens OX=9606 GN=VAMP2 PE=1 SV=3
+MSATAATAPPAAPAGEGGPPAPPPNLTSNRRLQQTQAQVDEVVDIMRVNVDKVLERDQKLSELDDRADALQAGASQFETSAAKLKRKYWWKNLKMMIILGVICAIILIIIIVYFST
+>DECOY_sp|P63027|VAMP2_HUMAN Vesicle-associated membrane protein 2 OS=Homo sapiens OX=9606 GN=VAMP2 PE=1 SV=3
+TSFYVIIIILIIACIVGLIIMMKLNKWWYKRKLKAASTEFQSAGAQLADARDDLESLKQDRELVKDVNVRMIDVVEDVQAQTQQLRRNSTLNPPPAPPGGEGAPAAPPATAATASM
+>sp|P51809|VAMP7_HUMAN Vesicle-associated membrane protein 7 OS=Homo sapiens OX=9606 GN=VAMP7 PE=1 SV=3
+MAILFAVVARGTTILAKHAWCGGNFLEVTEQILAKIPSENNKLTYSHGNYLFHYICQDRIVYLCITDDDFERSRAFNFLNEIKKRFQTTYGSRAQTALPYAMNSEFSSVLAAQLKHHSENKGLDKVMETQAQVDELKGIMVRNIDLVAQRGERLELLIDKTENLVDSSVTFKTTSRNLARAMCMKNLKLTIIIIIVSIVFIYIIVSPLCGGFTWPSCVKK
+>DECOY_sp|P51809|VAMP7_HUMAN Vesicle-associated membrane protein 7 OS=Homo sapiens OX=9606 GN=VAMP7 PE=1 SV=3
+KKVCSPWTFGGCLPSVIIYIFVISVIIIIITLKLNKMCMARALNRSTTKFTVSSDVLNETKDILLELREGRQAVLDINRVMIGKLEDVQAQTEMVKDLGKNESHHKLQAALVSSFESNMAYPLATQARSGYTTQFRKKIENLFNFARSREFDDDTICLYVIRDQCIYHFLYNGHSYTLKNNESPIKALIQETVELFNGGCWAHKALITTGRAVVAFLIAM
+>sp|P38606|VATA_HUMAN V-type proton ATPase catalytic subunit A OS=Homo sapiens OX=9606 GN=ATP6V1A PE=1 SV=2
+MDFSKLPKILDEDKESTFGYVHGVSGPVVTACDMAGAAMYELVRVGHSELVGEIIRLEGDMATIQVYEETSGVSVGDPVLRTGKPLSVELGPGIMGAIFDGIQRPLSDISSQTQSIYIPRGVNVSALSRDIKWDFTPCKNLRVGSHITGGDIYGIVSENSLIKHKIMLPPRNRGTVTYIAPPGNYDTSDVVLELEFEGVKEKFTMVQVWPVRQVRPVTEKLPANHPLLTGQRVLDALFPCVQGGTTAIPGAFGCGKTVISQSLSKYSNSDVIIYVGCGERGNEMSEVLRDFPELTMEVDGKVESIMKRTALVANTSNMPVAAREASIYTGITLSEYFRDMGYHVSMMADSTSRWAEALREISGRLAEMPADSGYPAYLGARLASFYERAGRVKCLGNPEREGSVSIVGAVSPPGGDFSDPVTSATLGIVQVFWGLDKKLAQRKHFPSVNWLISYSKYMRALDEYYDKHFTEFVPLRTKAKEILQEEEDLAEIVQLVGKASLAETDKITLEVAKLIKDDFLQQNGYTPYDRFCPFYKTVGMLSNMIAFYDMARRAVETTAQSDNKITWSIIREHMGDILYKLSSMKFKDPLKDGEAKIKSDYAQLLEDMQNAFRSLED
+>DECOY_sp|P38606|VATA_HUMAN V-type proton ATPase catalytic subunit A OS=Homo sapiens OX=9606 GN=ATP6V1A PE=1 SV=2
+DELSRFANQMDELLQAYDSKIKAEGDKLPDKFKMSSLKYLIDGMHERIISWTIKNDSQATTEVARRAMDYFAIMNSLMGVTKYFPCFRDYPTYGNQQLFDDKILKAVELTIKDTEALSAKGVLQVIEALDEEEQLIEKAKTRLPVFETFHKDYYEDLARMYKSYSILWNVSPFHKRQALKKDLGWFVQVIGLTASTVPDSFDGGPPSVAGVISVSGEREPNGLCKVRGAREYFSALRAGLYAPYGSDAPMEALRGSIERLAEAWRSTSDAMMSVHYGMDRFYESLTIGTYISAERAAVPMNSTNAVLATRKMISEVKGDVEMTLEPFDRLVESMENGREGCGVYIIVDSNSYKSLSQSIVTKGCGFAGPIATTGGQVCPFLADLVRQGTLLPHNAPLKETVPRVQRVPWVQVMTFKEKVGEFELELVVDSTDYNGPPAIYTVTGRNRPPLMIKHKILSNESVIGYIDGGTIHSGVRLNKCPTFDWKIDRSLASVNVGRPIYISQTQSSIDSLPRQIGDFIAGMIGPGLEVSLPKGTRLVPDGVSVGSTEEYVQITAMDGELRIIEGVLESHGVRVLEYMAAGAMDCATVVPGSVGHVYGFTSEKDEDLIKPLKSFDM
+>sp|P21283|VATC1_HUMAN V-type proton ATPase subunit C 1 OS=Homo sapiens OX=9606 GN=ATP6V1C1 PE=1 SV=4
+MTEFWLISAPGEKTCQQTWEKLHAATSKNNNLAVTSKFNIPDLKVGTLDVLVGLSDELAKLDAFVEGVVKKVAQYMADVLEDSKDKVQENLLANGVDLVTYITRFQWDMAKYPIKQSLKNISEIIAKGVTQIDNDLKSRASAYNNLKGNLQNLERKNAGSLLTRSLAEIVKKDDFVLDSEYLVTLLVVVPKLNHNDWIKQYETLAEMVVPRSSNVLSEDQDSYLCNVTLFRKAVDDFRHKARENKFIVRDFQYNEEEMKADKEEMNRLSTDKKKQFGPLVRWLKVNFSEAFIAWIHVKALRVFVESVLRYGLPVNFQAMLLQPNKKTLKKLREVLHELYKHLDSSAAAIIDAPMDIPGLNLSQQEYYPYVYYKIDCNLLEFK
+>DECOY_sp|P21283|VATC1_HUMAN V-type proton ATPase subunit C 1 OS=Homo sapiens OX=9606 GN=ATP6V1C1 PE=1 SV=4
+KFELLNCDIKYYVYPYYEQQSLNLGPIDMPADIIAAASSDLHKYLEHLVERLKKLTKKNPQLLMAQFNVPLGYRLVSEVFVRLAKVHIWAIFAESFNVKLWRVLPGFQKKKDTSLRNMEEKDAKMEEENYQFDRVIFKNERAKHRFDDVAKRFLTVNCLYSDQDESLVNSSRPVVMEALTEYQKIWDNHNLKPVVVLLTVLYESDLVFDDKKVIEALSRTLLSGANKRELNQLNGKLNNYASARSKLDNDIQTVGKAIIESINKLSQKIPYKAMDWQFRTIYTVLDVGNALLNEQVKDKSDELVDAMYQAVKKVVGEVFADLKALEDSLGVLVDLTGVKLDPINFKSTVALNNNKSTAAHLKEWTQQCTKEGPASILWFETM
+>sp|Q96A05|VATE2_HUMAN V-type proton ATPase subunit E 2 OS=Homo sapiens OX=9606 GN=ATP6V1E2 PE=1 SV=1
+MALSDVDVKKQIKHMMAFIEQEANEKAEEIDAKAEEEFNIEKGRLVQTQRLKIMEYYEKKEKQIEQQKKILMSTMRNQARLKVLRARNDLISDLLSEAKLRLSRIVEDPEVYQGLLDKLVLQGLLRLLEPVMIVRCRPQDLLLVEAAVQKAIPEYMTISQKHVEVQIDKEAYLAVNAAGGVEVYSGNQRIKVSNTLESRLDLSAKQKMPEIRMALFGANTNRKFFI
+>DECOY_sp|Q96A05|VATE2_HUMAN V-type proton ATPase subunit E 2 OS=Homo sapiens OX=9606 GN=ATP6V1E2 PE=1 SV=1
+IFFKRNTNAGFLAMRIEPMKQKASLDLRSELTNSVKIRQNGSYVEVGGAANVALYAEKDIQVEVHKQSITMYEPIAKQVAAEVLLLDQPRCRVIMVPELLRLLGQLVLKDLLGQYVEPDEVIRSLRLKAESLLDSILDNRARLVKLRAQNRMTSMLIKKQQEIQKEKKEYYEMIKLRQTQVLRGKEINFEEEAKADIEEAKENAEQEIFAMMHKIQKKVDVDSLAM
+>sp|O14599|VCY2_HUMAN Testis-specific basic protein Y 2 OS=Homo sapiens OX=9606 GN=BPY2 PE=1 SV=2
+MMTLVPRARTRAGQDHYSHPCPRFSQVLLTEGIMTYCLTKNLSDVNILHRLLKNGNVRNTLLQSKVGLLTYYVKLYPGEVTLLTRPSIQMRLCCITGSVSRPRSQK
+>DECOY_sp|O14599|VCY2_HUMAN Testis-specific basic protein Y 2 OS=Homo sapiens OX=9606 GN=BPY2 PE=1 SV=2
+KQSRPRSVSGTICCLRMQISPRTLLTVEGPYLKVYYTLLGVKSQLLTNRVNGNKLLRHLINVDSLNKTLCYTMIGETLLVQSFRPCPHSYHDQGARTRARPVLTMM
+>sp|P15692|VEGFA_HUMAN Vascular endothelial growth factor A OS=Homo sapiens OX=9606 GN=VEGFA PE=1 SV=2
+MNFLLSWVHWSLALLLYLHHAKWSQAAPMAEGGGQNHHEVVKFMDVYQRSYCHPIETLVDIFQEYPDEIEYIFKPSCVPLMRCGGCCNDEGLECVPTEESNITMQIMRIKPHQGQHIGEMSFLQHNKCECRPKKDRARQEKKSVRGKGKGQKRKRKKSRYKSWSVYVGARCCLMPWSLPGPHPCGPCSERRKHLFVQDPQTCKCSCKNTDSRCKARQLELNERTCRCDKPRR
+>DECOY_sp|P15692|VEGFA_HUMAN Vascular endothelial growth factor A OS=Homo sapiens OX=9606 GN=VEGFA PE=1 SV=2
+RRPKDCRCTRENLELQRAKCRSDTNKCSCKCTQPDQVFLHKRRESCPGCPHPGPLSWPMLCCRAGVYVSWSKYRSKKRKRKQGKGKGRVSKKEQRARDKKPRCECKNHQLFSMEGIHQGQHPKIRMIQMTINSEETPVCELGEDNCCGGCRMLPVCSPKFIYEIEDPYEQFIDVLTEIPHCYSRQYVDMFKVVEHHNQGGGEAMPAAQSWKAHHLYLLLALSWHVWSLLFNM
+>sp|Q99990|VGLL1_HUMAN Transcription cofactor vestigial-like protein 1 OS=Homo sapiens OX=9606 GN=VGLL1 PE=1 SV=1
+MEEMKKTAIRLPKGKQKPIKTEWNSRCVLFTYFQGDISSVVDEHFSRALSNIKSPQELTPSSQSEGVMLKNDDSMSPNQWRYSSPWTKPQPEVPVTNRAANCNLHVPGPMAVNQFSPSLARRASVRPGELWHFSSLAGTSSLEPGYSHPFPARHLVPEPQPDGKREPLLSLLQQDRCLARPQESAARENGNPGQIAGSTGLLFNLPPGSVHYKKLYVSRGSASTSLPNETLSELETPGKYSLTPPNHWGHPHRYLQHL
+>DECOY_sp|Q99990|VGLL1_HUMAN Transcription cofactor vestigial-like protein 1 OS=Homo sapiens OX=9606 GN=VGLL1 PE=1 SV=1
+LHQLYRHPHGWHNPPTLSYKGPTELESLTENPLSTSASGRSVYLKKYHVSGPPLNFLLGTSGAIQGPNGNERAASEQPRALCRDQQLLSLLPERKGDPQPEPVLHRAPFPHSYGPELSSTGALSSFHWLEGPRVSARRALSPSFQNVAMPGPVHLNCNAARNTVPVEPQPKTWPSSYRWQNPSMSDDNKLMVGESQSSPTLEQPSKINSLARSFHEDVVSSIDGQFYTFLVCRSNWETKIPKQKGKPLRIATKKMEEM
+>sp|O95497|VNN1_HUMAN Pantetheinase OS=Homo sapiens OX=9606 GN=VNN1 PE=1 SV=2
+MTTQLPAYVAILLFYVSRASCQDTFTAAVYEHAAILPNATLTPVSREEALALMNRNLDILEGAITSAADQGAHIIVTPEDAIYGWNFNRDSLYPYLEDIPDPEVNWIPCNNRNRFGQTPVQERLSCLAKNNSIYVVANIGDKKPCDTSDPQCPPDGRYQYNTDVVFDSQGKLVARYHKQNLFMGENQFNVPKEPEIVTFNTTFGSFGIFTCFDILFHDPAVTLVKDFHVDTIVFPTAWMNVLPHLSAVEFHSAWAMGMRVNFLASNIHYPSKKMTGSGIYAPNSSRAFHYDMKTEEGKLLLSQLDSHPSHSAVVNWTSYASSIEALSSGNKEFKGTVFFDEFTFVKLTGVAGNYTVCQKDLCCHLSYKMSENIPNEVYALGAFDGLHTVEGRYYLQICTLLKCKTTNLNTCGDSAETASTRFEMFSLSGTFGTQYVFPEVLLSENQLAPGEFQVSTDGRLFSLKPTSGPVLTVTLFGRLYEKDWASNASSGLTAQARIIMLIVIAPIVCSLSW
+>DECOY_sp|O95497|VNN1_HUMAN Pantetheinase OS=Homo sapiens OX=9606 GN=VNN1 PE=1 SV=2
+WSLSCVIPAIVILMIIRAQATLGSSANSAWDKEYLRGFLTVTLVPGSTPKLSFLRGDTSVQFEGPALQNESLLVEPFVYQTGFTGSLSFMEFRTSATEASDGCTNLNTTKCKLLTCIQLYYRGEVTHLGDFAGLAYVENPINESMKYSLHCCLDKQCVTYNGAVGTLKVFTFEDFFVTGKFEKNGSSLAEISSAYSTWNVVASHSPHSDLQSLLLKGEETKMDYHFARSSNPAYIGSGTMKKSPYHINSALFNVRMGMAWASHFEVASLHPLVNMWATPFVITDVHFDKVLTVAPDHFLIDFCTFIGFSGFTTNFTVIEPEKPVNFQNEGMFLNQKHYRAVLKGQSDFVVDTNYQYRGDPPCQPDSTDCPKKDGINAVVYISNNKALCSLREQVPTQGFRNRNNCPIWNVEPDPIDELYPYLSDRNFNWGYIADEPTVIIHAGQDAASTIAGELIDLNRNMLALAEERSVPTLTANPLIAAHEYVAATFTDQCSARSVYFLLIAVYAPLQTTM
+>sp|Q9Y2B5|VP9D1_HUMAN VPS9 domain-containing protein 1 OS=Homo sapiens OX=9606 GN=VPS9D1 PE=1 SV=2
+MAAAAGDGTVKPLQSAMKLANGAIELDTGNRPREAYTEYLRSIHYISQVLLEEVETTKEAGETVPPDTSKMLKLAQQCLERAQSTAAKLGKTRLKPTMPAAAPIPQPAGRHRRVYSDEGGKLSPFLPPEIFQKLQGAESQSCKKELTPLEEASLQNQKLKAAYEARMARLDPSQAMQKTSLTLSLQRQMMENLVIAKAREETLQRKMEERRLRLQEAANRRFCSQVALTPEEREQRALYAAILEYEQDHDWPKHWKAKLKRNPGDLSLVTSLVSHLLSLPDHPIAQLLRRLQCSVYSALYPAVSRAAAPAPGCCPPTPNPGSRRLRPSQSLHCMLSPPEPSAAPRPQDSPPTPPLQPGPVGSPSPLGDTASGLPDKDSSFEDLEQFLGTSERQGRGRGVQPEPQLQQLKTAVEEIHNAVDRLLSLTLLAFEGLNTAASKDRCLACIEEPFFSPLWPLLLALYRSVHRAREAALSRSMELYRNAPPTAIGIPTKLLPQNPEAKGATGYPYCAAAQELGLLVLESCPQKKLECIVRTLRIICVCAEDYCPTPEATPQAGPPPIAAAAIGADDLLPILSFVVLRSGLPQLVSECAALEEFIHEGYLIGEEGYCLTSLQSALSYVELLPRGGLAK
+>DECOY_sp|Q9Y2B5|VP9D1_HUMAN VPS9 domain-containing protein 1 OS=Homo sapiens OX=9606 GN=VPS9D1 PE=1 SV=2
+KALGGRPLLEVYSLASQLSTLCYGEEGILYGEHIFEELAACESVLQPLGSRLVVFSLIPLLDDAGIAAAAIPPPGAQPTAEPTPCYDEACVCIIRLTRVICELKKQPCSELVLLGLEQAAACYPYGTAGKAEPNQPLLKTPIGIATPPANRYLEMSRSLAAERARHVSRYLALLLPWLPSFFPEEICALCRDKSAATNLGEFALLTLSLLRDVANHIEEVATKLQQLQPEPQVGRGRGQRESTGLFQELDEFSSDKDPLGSATDGLPSPSGVPGPQLPPTPPSDQPRPAASPEPPSLMCHLSQSPRLRRSGPNPTPPCCGPAPAAARSVAPYLASYVSCQLRRLLQAIPHDPLSLLHSVLSTVLSLDGPNRKLKAKWHKPWDHDQEYELIAAYLARQEREEPTLAVQSCFRRNAAEQLRLRREEMKRQLTEERAKAIVLNEMMQRQLSLTLSTKQMAQSPDLRAMRAEYAAKLKQNQLSAEELPTLEKKCSQSEAGQLKQFIEPPLFPSLKGGEDSYVRRHRGAPQPIPAAAPMTPKLRTKGLKAATSQARELCQQALKLMKSTDPPVTEGAEKTTEVEELLVQSIYHISRLYETYAERPRNGTDLEIAGNALKMASQLPKVTGDGAAAAM
+>sp|P10265|VPK10_HUMAN Endogenous retrovirus group K member 10 Pro protein OS=Homo sapiens OX=9606 GN=ERVK-10 PE=1 SV=2
+WASQVSENRPVCKAIIQGKQFEGLVDTGADVSIIALNQWPKNWPKQKAVTGLVGIGTASEVYQSMEILHCLGPDNQESTVQPMITSIPLNLWGRDLLQQWGAEITMPAPLYSPTSQKIMTKMGYIPGKGLGKNEDGIKVPVEAKINQEREGIGYPF
+>DECOY_sp|P10265|VPK10_HUMAN Endogenous retrovirus group K member 10 Pro protein OS=Homo sapiens OX=9606 GN=ERVK-10 PE=1 SV=2
+FPYGIGEREQNIKAEVPVKIGDENKGLGKGPIYGMKTMIKQSTPSYLPAPMTIEAGWQQLLDRGWLNLPISTIMPQVTSEQNDPGLCHLIEMSQYVESATGIGVLGTVAKQKPWNKPWQNLAIISVDAGTDVLGEFQKGQIIAKCVPRNESVQSAW
+>sp|Q9H269|VPS16_HUMAN Vacuolar protein sorting-associated protein 16 homolog OS=Homo sapiens OX=9606 GN=VPS16 PE=1 SV=2
+MDCYTANWNPLGDSAFYRKYELYSMDWDLKEELRDCLVAAAPYGGPIALLRNPWRKEKAASVRPVLDIYSASGMPLASLLWKSGPVVSLGWSAEEELLCVQEDGAVLVYGLHGDFRRHFSMGNEVLQNRVLDARIFHTEFGSGVAILTGAHRFTLSANVGDLKLRRMPEVPGLQSAPSCWTVLCQDRVAHILLAVGPDLYLLDHAACSAVTPPGLAPGVSSFLQMAVSFTYRHLALFTDTGYIWMGTASLKEKLCEFNCNIRAPPKQMVWCSRPRSKERAVVVAWERRLMVVGDAPESIQFVLDEDSYLVPELDGVRIFSRSTHEFLHEVPAASEEIFKIASMAPGALLLEAQKEYEKESQKADEYLREIQELGQLTQAVQQCIEAAGHEHQPDMQKSLLRAASFGKCFLDRFPPDSFVHMCQDLRVLNAVRDYHIGIPLTYSQYKQLTIQVLLDRLVLRRLYPLAIQICEYLRLPEVQGVSRILAHWACYKVQQKDVSDEDVARAINQKLGDTPGVSYSDIAARAYGCGRTELAIKLLEYEPRSGEQVPLLLKMKRSKLALSKAIESGDTDLVFTVLLHLKNELNRGDFFMTLRNQPMALSLYRQFCKHQELETLKDLYNQDDNHQELGSFHIRASYAAEERIEGRVAALQTAADAFYKAKNEFAAKATEDQMRLLRLQRRLEDELGGQFLDLSLHDTVTTLILGGHNKRAEQLARDFRIPDKRLWWLKLTALADLEDWEELEKFSKSKKSPIGYLPFVEICMKQHNKYEAKKYASRVGPEQKVKALLLVGDVAQAADVAIEHRNEAELSLVLSHCTGATDGATADKIQRARAQAQKK
+>DECOY_sp|Q9H269|VPS16_HUMAN Vacuolar protein sorting-associated protein 16 homolog OS=Homo sapiens OX=9606 GN=VPS16 PE=1 SV=2
+KKQAQARARQIKDATAGDTAGTCHSLVLSLEAENRHEIAVDAAQAVDGVLLLAKVKQEPGVRSAYKKAEYKNHQKMCIEVFPLYGIPSKKSKSFKELEEWDELDALATLKLWWLRKDPIRFDRALQEARKNHGGLILTTVTDHLSLDLFQGGLEDELRRQLRLLRMQDETAKAAFENKAKYFADAATQLAAVRGEIREEAAYSARIHFSGLEQHNDDQNYLDKLTELEQHKCFQRYLSLAMPQNRLTMFFDGRNLENKLHLLVTFVLDTDGSEIAKSLALKSRKMKLLLPVQEGSRPEYELLKIALETRGCGYARAAIDSYSVGPTDGLKQNIARAVDEDSVDKQQVKYCAWHALIRSVGQVEPLRLYECIQIALPYLRRLVLRDLLVQITLQKYQSYTLPIGIHYDRVANLVRLDQCMHVFSDPPFRDLFCKGFSAARLLSKQMDPQHEHGAAEICQQVAQTLQGLEQIERLYEDAKQSEKEYEKQAELLLAGPAMSAIKFIEESAAPVEHLFEHTSRSFIRVGDLEPVLYSDEDLVFQISEPADGVVMLRREWAVVVAREKSRPRSCWVMQKPPARINCNFECLKEKLSATGMWIYGTDTFLALHRYTFSVAMQLFSSVGPALGPPTVASCAAHDLLYLDPGVALLIHAVRDQCLVTWCSPASQLGPVEPMRRLKLDGVNASLTFRHAGTLIAVGSGFETHFIRADLVRNQLVENGMSFHRRFDGHLGYVLVAGDEQVCLLEEEASWGLSVVPGSKWLLSALPMGSASYIDLVPRVSAAKEKRWPNRLLAIPGGYPAAAVLCDRLEEKLDWDMSYLEYKRYFASDGLPNWNATYCDM
+>sp|Q15906|VPS72_HUMAN Vacuolar protein sorting-associated protein 72 homolog OS=Homo sapiens OX=9606 GN=VPS72 PE=1 SV=1
+MSLAGGRAPRKTAGNRLSGLLEAEEEDEFYQTTYGGFTEESGDDEYQGDQSDTEDEVDSDFDIDEGDEPSSDGEAEEPRRKRRVVTKAYKEPLKSLRPRKVNTPAGSSQKAREEKALLPLELQDDGSDSRKSMRQSTAEHTRQTFLRVQERQGQSRRRKGPHCERPLTQEELLREAKITEELNLRSLETYERLEADKKKQVHKKRKCPGPIITYHSVTVPLVGEPGPKEENVDIEGLDPAPSVSALTPHAGTGPVNPPARCSRTFITFSDDATFEEWFPQGRPPKVPVREVCPVTHRPALYRDPVTDIPYATARAFKIIREAYKKYITAHGLPPTASALGPGPPPPEPLPGSGPRALRQKIVIK
+>DECOY_sp|Q15906|VPS72_HUMAN Vacuolar protein sorting-associated protein 72 homolog OS=Homo sapiens OX=9606 GN=VPS72 PE=1 SV=1
+KIVIKQRLARPGSGPLPEPPPPGPGLASATPPLGHATIYKKYAERIIKFARATAYPIDTVPDRYLAPRHTVPCVERVPVKPPRGQPFWEEFTADDSFTIFTRSCRAPPNVPGTGAHPTLASVSPAPDLGEIDVNEEKPGPEGVLPVTVSHYTIIPGPCKRKKHVQKKKDAELREYTELSRLNLEETIKAERLLEEQTLPRECHPGKRRRSQGQREQVRLFTQRTHEATSQRMSKRSDSGDDQLELPLLAKEERAKQSSGAPTNVKRPRLSKLPEKYAKTVVRRKRRPEEAEGDSSPEDGEDIDFDSDVEDETDSQDGQYEDDGSEETFGGYTTQYFEDEEEAELLGSLRNGATKRPARGGALSM
+>sp|Q9BQ65|USB1_HUMAN U6 snRNA phosphodiesterase OS=Homo sapiens OX=9606 GN=USB1 PE=1 SV=1
+MSAAPLVGYSSSGSEDESEDGMRTRPGDGSHRRGQSPLPRQRFPVPDSVLNMFPGTEEGPEDDSTKHGGRVRTFPHERGNWATHVYVPYEAKEEFLDLLDVLLPHAQTYVPRLVRMKVFHLSLSQSVVLRHHWILPFVQALKARMTSFHRFFFTANQVKIYTNQEKTRTFIGLEVTSGHAQFLDLVSEVDRVMEEFNLTTFYQDPSFHLSLAWCVGDARLQLEGQCLQELQAIVDGFEDAEVLLRVHTEQVRCKSGNKFFSMPLK
+>DECOY_sp|Q9BQ65|USB1_HUMAN U6 snRNA phosphodiesterase OS=Homo sapiens OX=9606 GN=USB1 PE=1 SV=1
+KLPMSFFKNGSKCRVQETHVRLLVEADEFGDVIAQLEQLCQGELQLRADGVCWALSLHFSPDQYFTTLNFEEMVRDVESVLDLFQAHGSTVELGIFTRTKEQNTYIKVQNATFFFRHFSTMRAKLAQVFPLIWHHRLVVSQSLSLHFVKMRVLRPVYTQAHPLLVDLLDLFEEKAEYPVYVHTAWNGREHPFTRVRGGHKTSDDEPGEETGPFMNLVSDPVPFRQRPLPSQGRRHSGDGPRTRMGDESEDESGSSSYGVLPAASM
+>sp|P22415|USF1_HUMAN Upstream stimulatory factor 1 OS=Homo sapiens OX=9606 GN=USF1 PE=1 SV=1
+MKGQQKTAETEEGTVQIQEGAVATGEDPTSVAIASIQSAATFPDPNVKYVFRTENGGQVMYRVIQVSEGQLDGQTEGTGAISGYPATQSMTQAVIQGAFTSDDAVDTEGTAAETHYTYFPSTAVGDGAGGTTSGSTAAVVTTQGSEALLGQATPPGTGQFFVMMSPQEVLQGGSQRSIAPRTHPYSPKSEAPRTTRDEKRRAQHNEVERRRRDKINNWIVQLSKIIPDCSMESTKSGQSKGGILSKACDYIQELRQSNHRLSEELQGLDQLQLDNDVLRQQVEDLKNKNLLLRAQLRHHGLEVVIKNDSN
+>DECOY_sp|P22415|USF1_HUMAN Upstream stimulatory factor 1 OS=Homo sapiens OX=9606 GN=USF1 PE=1 SV=1
+NSDNKIVVELGHHRLQARLLLNKNKLDEVQQRLVDNDLQLQDLGQLEESLRHNSQRLEQIYDCAKSLIGGKSQGSKTSEMSCDPIIKSLQVIWNNIKDRRRREVENHQARRKEDRTTRPAESKPSYPHTRPAISRQSGGQLVEQPSMMVFFQGTGPPTAQGLLAESGQTTVVAATSGSTTGGAGDGVATSPFYTYHTEAATGETDVADDSTFAGQIVAQTMSQTAPYGSIAGTGETQGDLQGESVQIVRYMVQGGNETRFVYKVNPDPFTAASQISAIAVSTPDEGTAVAGEQIQVTGEETEATKQQGKM
+>sp|P30518|V2R_HUMAN Vasopressin V2 receptor OS=Homo sapiens OX=9606 GN=AVPR2 PE=1 SV=1
+MLMASTTSAVPGHPSLPSLPSNSSQERPLDTRDPLLARAELALLSIVFVAVALSNGLVLAALARRGRRGHWAPIHVFIGHLCLADLAVALFQVLPQLAWKATDRFRGPDALCRAVKYLQMVGMYASSYMILAMTLDRHRAICRPMLAYRHGSGAHWNRPVLVAWAFSLLLSLPQLFIFAQRNVEGGSGVTDCWACFAEPWGRRTYVTWIALMVFVAPTLGIAACQVLIFREIHASLVPGPSERPGGRRRGRRTGSPGEGAHVSAAVAKTVRMTLVIVVVYVLCWAPFFLVQLWAAWDPEAPLEGAPFVLLMLLASLNSCTNPWIYASFSSSVSSELRSLLCCARGRTPPSLGPQDESCTTASSSLAKDTSS
+>DECOY_sp|P30518|V2R_HUMAN Vasopressin V2 receptor OS=Homo sapiens OX=9606 GN=AVPR2 PE=1 SV=1
+SSTDKALSSSATTCSEDQPGLSPPTRGRACCLLSRLESSVSSSFSAYIWPNTCSNLSALLMLLVFPAGELPAEPDWAAWLQVLFFPAWCLVYVVVIVLTMRVTKAVAASVHAGEGPSGTRRGRRRGGPRESPGPVLSAHIERFILVQCAAIGLTPAVFVMLAIWTVYTRRGWPEAFCAWCDTVGSGGEVNRQAFIFLQPLSLLLSFAWAVLVPRNWHAGSGHRYALMPRCIARHRDLTMALIMYSSAYMGVMQLYKVARCLADPGRFRDTAKWALQPLVQFLAVALDALCLHGIFVHIPAWHGRRGRRALAALVLGNSLAVAVFVISLLALEARALLPDRTDLPREQSSNSPLSPLSPHGPVASTTSAMLM
+>sp|Q8N8Y2|VA0D2_HUMAN V-type proton ATPase subunit d 2 OS=Homo sapiens OX=9606 GN=ATP6V0D2 PE=2 SV=1
+MLEGAELYFNVDHGYLEGLVRGCKASLLTQQDYINLVQCETLEDLKIHLQTTDYGNFLANHTNPLTVSKIDTEMRKRLCGEFEYFRNHSLEPLSTFLTYMTCSYMIDNVILLMNGALQKKSVKEILGKCHPLGRFTEMEAVNIAETPSDLFNAILIETPLAPFFQDCMSENALDELNIELLRNKLYKSYLEAFYKFCKNHGDVTAEVMCPILEFEADRRAFIITLNSFGTELSKEDRETLYPTFGKLYPEGLRLLAQAEDFDQMKNVADHYGVYKPLFEAVGGSGGKTLEDVFYEREVQMNVLAFNRQFHYGVFYAYVKLKEQEIRNIVWIAECISQRHRTKINSYIPIL
+>DECOY_sp|Q8N8Y2|VA0D2_HUMAN V-type proton ATPase subunit d 2 OS=Homo sapiens OX=9606 GN=ATP6V0D2 PE=2 SV=1
+LIPIYSNIKTRHRQSICEAIWVINRIEQEKLKVYAYFVGYHFQRNFALVNMQVEREYFVDELTKGGSGGVAEFLPKYVGYHDAVNKMQDFDEAQALLRLGEPYLKGFTPYLTERDEKSLETGFSNLTIIFARRDAEFELIPCMVEATVDGHNKCFKYFAELYSKYLKNRLLEINLEDLANESMCDQFFPALPTEILIANFLDSPTEAINVAEMETFRGLPHCKGLIEKVSKKQLAGNMLLIVNDIMYSCTMYTLFTSLPELSHNRFYEFEGCLRKRMETDIKSVTLPNTHNALFNGYDTTQLHIKLDELTECQVLNIYDQQTLLSAKCGRVLGELYGHDVNFYLEAGELM
+>sp|O95183|VAMP5_HUMAN Vesicle-associated membrane protein 5 OS=Homo sapiens OX=9606 GN=VAMP5 PE=1 SV=1
+MAGIELERCQQQANEVTEIMRNNFGKVLERGVKLAELQQRSDQLLDMSSTFNKTTQNLAQKKCWENIRYRICVGLVVVGVLLIILIVLLVVFLPQSSDSSSAPRTQDAGIASGPGN
+>DECOY_sp|O95183|VAMP5_HUMAN Vesicle-associated membrane protein 5 OS=Homo sapiens OX=9606 GN=VAMP5 PE=1 SV=1
+NGPGSAIGADQTRPASSSDSSQPLFVVLLVILIILLVGVVVLGVCIRYRINEWCKKQALNQTTKNFTSSMDLLQDSRQQLEALKVGRELVKGFNNRMIETVENAQQQCRELEIGAM
+>sp|P15313|VATB1_HUMAN V-type proton ATPase subunit B, kidney isoform OS=Homo sapiens OX=9606 GN=ATP6V1B1 PE=1 SV=3
+MAMEIDSRPGGLPGSSCNLGAAREHMQAVTRNYITHPRVTYRTVCSVNGPLVVLDRVKFAQYAEIVHFTLPDGTQRSGQVLEVAGTKAIVQVFEGTSGIDARKTTCEFTGDILRTPVSEDMLGRVFNGSGKPIDKGPVVMAEDFLDINGQPINPHSRIYPEEMIQTGISPIDVMNSIARGQKIPIFSAAGLPHNEIAAQICRQAGLVKKSKAVLDYHDDNFAIVFAAMGVNMETARFFKSDFEQNGTMGNVCLFLNLANDPTIERIITPRLALTTAEFLAYQCEKHVLVILTDMSSYAEALREVSAAREEVPGRRGFPGYMYTDLATIYERAGRVEGRGGSITQIPILTMPNDDITHPIPDLTGFITEGQIYVDRQLHNRQIYPPINVLPSLSRLMKSAIGEGMTRKDHGDVSNQLYACYAIGKDVQAMKAVVGEEALTSEDLLYLEFLQKFEKNFINQGPYENRSVFESLDLGWKLLRIFPKEMLKRIPQAVIDEFYSREGALQDLAPDTAL
+>DECOY_sp|P15313|VATB1_HUMAN V-type proton ATPase subunit B, kidney isoform OS=Homo sapiens OX=9606 GN=ATP6V1B1 PE=1 SV=3
+LATDPALDQLAGERSYFEDIVAQPIRKLMEKPFIRLLKWGLDLSEFVSRNEYPGQNIFNKEFKQLFELYLLDESTLAEEGVVAKMAQVDKGIAYCAYLQNSVDGHDKRTMGEGIASKMLRSLSPLVNIPPYIQRNHLQRDVYIQGETIFGTLDPIPHTIDDNPMTLIPIQTISGGRGEVRGAREYITALDTYMYGPFGRRGPVEERAASVERLAEAYSSMDTLIVLVHKECQYALFEATTLALRPTIIREITPDNALNLFLCVNGMTGNQEFDSKFFRATEMNVGMAAFVIAFNDDHYDLVAKSKKVLGAQRCIQAAIENHPLGAASFIPIKQGRAISNMVDIPSIGTQIMEEPYIRSHPNIPQGNIDLFDEAMVVPGKDIPKGSGNFVRGLMDESVPTRLIDGTFECTTKRADIGSTGEFVQVIAKTGAVELVQGSRQTGDPLTFHVIEAYQAFKVRDLVVLPGNVSCVTRYTVRPHTIYNRTVAQMHERAAGLNCSSGPLGGPRSDIEMAM
+>sp|P36543|VATE1_HUMAN V-type proton ATPase subunit E 1 OS=Homo sapiens OX=9606 GN=ATP6V1E1 PE=1 SV=1
+MALSDADVQKQIKHMMAFIEQEANEKAEEIDAKAEEEFNIEKGRLVQTQRLKIMEYYEKKEKQIEQQKKIQMSNLMNQARLKVLRARDDLITDLLNEAKQRLSKVVKDTTRYQVLLDGLVLQGLYQLLEPRMIVRCRKQDFPLVKAAVQKAIPMYKIATKNDVDVQIDQESYLPEDIAGGVEIYNGDRKIKVSNTLESRLDLIAQQMMPEVRGALFGANANRKFLD
+>DECOY_sp|P36543|VATE1_HUMAN V-type proton ATPase subunit E 1 OS=Homo sapiens OX=9606 GN=ATP6V1E1 PE=1 SV=1
+DLFKRNANAGFLAGRVEPMMQQAILDLRSELTNSVKIKRDGNYIEVGGAIDEPLYSEQDIQVDVDNKTAIKYMPIAKQVAAKVLPFDQKRCRVIMRPELLQYLGQLVLGDLLVQYRTTDKVVKSLRQKAENLLDTILDDRARLVKLRAQNMLNSMQIKKQQEIQKEKKEYYEMIKLRQTQVLRGKEINFEEEAKADIEEAKENAEQEIFAMMHKIQKQVDADSLAM
+>sp|A8MV65|VGLL3_HUMAN Transcription cofactor vestigial-like protein 3 OS=Homo sapiens OX=9606 GN=VGLL3 PE=1 SV=1
+MSCAEVMYHPQPYGASQYLPNPMAATTCPTAYYQPAPQPGQQKKLAVFSKMQDSLEVTLPSKQEEEDEEEEEEEKDQPAEMEYLNSRCVLFTYFQGDIGSVVDEHFSRALGQAITLHPESAISKSKMGLTPLWRDSSALSSQRNSFPTSFWTSSYQPPPAPCLGGVHPDFQVTGPPGTFSAADPSPWPGHNLHQTGPAPPPAVSESWPYPLTSQVSPSYSHMHDVYMRHHHPHAHMHHRHRHHHHHHHPPAGSALDPSYGPLLMPSVHAARIPAPQCDITKTEPTTVTSATSAWAGAFHGTVDIVPSVGFDTGLQHQDKSKESPWY
+>DECOY_sp|A8MV65|VGLL3_HUMAN Transcription cofactor vestigial-like protein 3 OS=Homo sapiens OX=9606 GN=VGLL3 PE=1 SV=1
+YWPSEKSKDQHQLGTDFGVSPVIDVTGHFAGAWASTASTVTTPETKTIDCQPAPIRAAHVSPMLLPGYSPDLASGAPPHHHHHHHRHRHHMHAHPHHHRMYVDHMHSYSPSVQSTLPYPWSESVAPPPAPGTQHLNHGPWPSPDAASFTGPPGTVQFDPHVGGLCPAPPPQYSSTWFSTPFSNRQSSLASSDRWLPTLGMKSKSIASEPHLTIAQGLARSFHEDVVSGIDGQFYTFLVCRSNLYEMEAPQDKEEEEEEEDEEEQKSPLTVELSDQMKSFVALKKQQGPQPAPQYYATPCTTAAMPNPLYQSAGYPQPHYMVEACSM
+>sp|Q9P2U7|VGLU1_HUMAN Vesicular glutamate transporter 1 OS=Homo sapiens OX=9606 GN=SLC17A7 PE=2 SV=1
+MEFRQEEFRKLAGRALGKLHRLLEKRQEGAETLELSADGRPVTTQTRDPPVVDCTCFGLPRRYIIAIMSGLGFCISFGIRCNLGVAIVSMVNNSTTHRGGHVVVQKAQFSWDPETVGLIHGSFFWGYIVTQIPGGFICQKFAANRVFGFAIVATSTLNMLIPSAARVHYGCVIFVRILQGLVEGVTYPACHGIWSKWAPPLERSRLATTAFCGSYAGAVVAMPLAGVLVQYSGWSSVFYVYGSFGIFWYLFWLLVSYESPALHPSISEEERKYIEDAIGESAKLMNPLTKFSTPWRRFFTSMPVYAIIVANFCRSWTFYLLLISQPAYFEEVFGFEISKVGLVSALPHLVMTIIVPIGGQIADFLRSRRIMSTTNVRKLMNCGGFGMEATLLLVVGYSHSKGVAISFLVLAVGFSGFAISGFNVNHLDIAPRYASILMGISNGVGTLSGMVCPIIVGAMTKHKTREEWQYVFLIASLVHYGGVIFYGVFASGEKQPWAEPEEMSEEKCGFVGHDQLAGSDDSEMEDEAEPPGAPPAPPPSYGATHSTFQPPRPPPPVRDY
+>DECOY_sp|Q9P2U7|VGLU1_HUMAN Vesicular glutamate transporter 1 OS=Homo sapiens OX=9606 GN=SLC17A7 PE=2 SV=1
+YDRVPPPPRPPQFTSHTAGYSPPPAPPAGPPEAEDEMESDDSGALQDHGVFGCKEESMEEPEAWPQKEGSAFVGYFIVGGYHVLSAILFVYQWEERTKHKTMAGVIIPCVMGSLTGVGNSIGMLISAYRPAIDLHNVNFGSIAFGSFGVALVLFSIAVGKSHSYGVVLLLTAEMGFGGCNMLKRVNTTSMIRRSRLFDAIQGGIPVIITMVLHPLASVLGVKSIEFGFVEEFYAPQSILLLYFTWSRCFNAVIIAYVPMSTFFRRWPTSFKTLPNMLKASEGIADEIYKREEESISPHLAPSEYSVLLWFLYWFIGFSGYVYFVSSWGSYQVLVGALPMAVVAGAYSGCFATTALRSRELPPAWKSWIGHCAPYTVGEVLGQLIRVFIVCGYHVRAASPILMNLTSTAVIAFGFVRNAAFKQCIFGGPIQTVIYGWFFSGHILGVTEPDWSFQAKQVVVHGGRHTTSNNVMSVIAVGLNCRIGFSICFGLGSMIAIIYRRPLGFCTCDVVPPDRTQTTVPRGDASLELTEAGEQRKELLRHLKGLARGALKRFEEQRFEM
+>sp|P40337|VHL_HUMAN von Hippel-Lindau disease tumor suppressor OS=Homo sapiens OX=9606 GN=VHL PE=1 SV=2
+MPRRAENWDEAEVGAEEAGVEEYGPEEDGGEESGAEESGPEESGPEELGAEEEMEAGRPRPVLRSVNSREPSQVIFCNRSPRVVLPVWLNFDGEPQPYPTLPPGTGRRIHSYRGHLWLFRDAGTHDGLLVNQTELFVPSLNVDGQPIFANITLPVYTLKERCLQVVRSLVKPENYRRLDIVRSLYEDLEDHPNVQKDLERLTQERIAHQRMGD
+>DECOY_sp|P40337|VHL_HUMAN von Hippel-Lindau disease tumor suppressor OS=Homo sapiens OX=9606 GN=VHL PE=1 SV=2
+DGMRQHAIREQTLRELDKQVNPHDELDEYLSRVIDLRRYNEPKVLSRVVQLCREKLTYVPLTINAFIPQGDVNLSPVFLETQNVLLGDHTGADRFLWLHGRYSHIRRGTGPPLTPYPQPEGDFNLWVPLVVRPSRNCFIVQSPERSNVSRLVPRPRGAEMEEEAGLEEPGSEEPGSEEAGSEEGGDEEPGYEEVGAEEAGVEAEDWNEARRPM
+>sp|P09327|VILI_HUMAN Villin-1 OS=Homo sapiens OX=9606 GN=VIL1 PE=1 SV=4
+MTKLSAQVKGSLNITTPGLQIWRIEAMQMVPVPSSTFGSFFDGDCYIILAIHKTASSLSYDIHYWIGQDSSLDEQGAAAIYTTQMDDFLKGRAVQHREVQGNESEAFRGYFKQGLVIRKGGVASGMKHVETNSYDVQRLLHVKGKRNVVAGEVEMSWKSFNRGDVFLLDLGKLIIQWNGPESTRMERLRGMTLAKEIRDQERGGRTYVGVVDGENELASPKLMEVMNHVLGKRRELKAAVPDTVVEPALKAALKLYHVSDSEGNLVVREVATRPLTQDLLSHEDCYILDQGGLKIYVWKGKKANEQEKKGAMSHALNFIKAKQYPPSTQVEVQNDGAESAVFQQLFQKWTASNRTSGLGKTHTVGSVAKVEQVKFDATSMHVKPQVAAQQKMVDDGSGEVQVWRIENLELVPVDSKWLGHFYGGDCYLLLYTYLIGEKQHYLLYVWQGSQASQDEITASAYQAVILDQKYNGEPVQIRVPMGKEPPHLMSIFKGRMVVYQGGTSRTNNLETGPSTRLFQVQGTGANNTKAFEVPARANFLNSNDVFVLKTQSCCYLWCGKGCSGDEREMAKMVADTISRTEKQVVVEGQEPANFWMALGGKAPYANTKRLQEENLVITPRLFECSNKTGRFLATEIPDFNQDDLEEDDVFLLDVWDQVFFWIGKHANEEEKKAAATTAQEYLKTHPSGRDPETPIIVVKQGHEPPTFTGWFLAWDPFKWSNTKSYEDLKAELGNSRDWSQITAEVTSPKVDVFNANSNLSSGPLPIFPLEQLVNKPVEELPEGVDPSRKEEHLSIEDFTQAFGMTPAAFSALPRWKQQNLKKEKGLF
+>DECOY_sp|P09327|VILI_HUMAN Villin-1 OS=Homo sapiens OX=9606 GN=VIL1 PE=1 SV=4
+FLGKEKKLNQQKWRPLASFAAPTMGFAQTFDEISLHEEKRSPDVGEPLEEVPKNVLQELPFIPLPGSSLNSNANFVDVKPSTVEATIQSWDRSNGLEAKLDEYSKTNSWKFPDWALFWGTFTPPEHGQKVVIIPTEPDRGSPHTKLYEQATTAAAKKEEENAHKGIWFFVQDWVDLLFVDDEELDDQNFDPIETALFRGTKNSCEFLRPTIVLNEEQLRKTNAYPAKGGLAMWFNAPEQGEVVVQKETRSITDAVMKAMEREDGSCGKGCWLYCCSQTKLVFVDNSNLFNARAPVEFAKTNNAGTGQVQFLRTSPGTELNNTRSTGGQYVVMRGKFISMLHPPEKGMPVRIQVPEGNYKQDLIVAQYASATIEDQSAQSGQWVYLLYHQKEGILYTYLLLYCDGGYFHGLWKSDVPVLELNEIRWVQVEGSGDDVMKQQAAVQPKVHMSTADFKVQEVKAVSGVTHTKGLGSTRNSATWKQFLQQFVASEAGDNQVEVQTSPPYQKAKIFNLAHSMAGKKEQENAKKGKWVYIKLGGQDLIYCDEHSLLDQTLPRTAVERVVLNGESDSVHYLKLAAKLAPEVVTDPVAAKLERRKGLVHNMVEMLKPSALENEGDVVGVYTRGGREQDRIEKALTMGRLREMRTSEPGNWQIILKGLDLLFVDGRNFSKWSMEVEGAVVNRKGKVHLLRQVDYSNTEVHKMGSAVGGKRIVLGQKFYGRFAESENGQVERHQVARGKLFDDMQTTYIAAAGQEDLSSDQGIWYHIDYSLSSATKHIALIIYCDGDFFSGFTSSPVPVMQMAEIRWIQLGPTTINLSGKVQASLKTM
+>sp|O60504|VINEX_HUMAN Vinexin OS=Homo sapiens OX=9606 GN=SORBS3 PE=1 SV=2
+MQGPPRSLRAGLSLDDFIPGHLQSHIGSSSRGTRVPVIRNGGSNTLNFQFHDPAPRTVCNGGYTPRRDASQHPDPAWYQTWPGPGSKPSASTKIPASQHTQNWSATWTKDSKRRDKRWVKYEGIGPVDESGMPIAPRSSVDRPRDWYRRMFQQIHRKMPDLQLDWTFEEPPRDPRHLGAQQRPAHRPGPATSSSGRSWDHSEELPRSTFNYRPGAFSTVLQPSNQVLRRREKVDNVWTEESWNQFLQELETGQRPKKPLVDDPGEKPSQPIEVLLERELAELSAELDKDLRAIETRLPSPKSSPAPRRAPEQRPPAGPASAWSSSYPHAPYLGSARSLSPHKMADGGSPFLGRRDFVYPSSTRDPSASNGGGSPARREEKKRKAARLKFDFQAQSPKELTLQKGDIVYIHKEVDKNWLEGEHHGRLGIFPANYVEVLPADEIPKPIKPPTYQVLEYGEAVAQYTFKGDLEVELSFRKGEHICLIRKVNENWYEGRITGTGRQGIFPASYVQVSREPRLRLCDDGPQLPTSPRLTAAARSARHPSSPSALRSPADPIDLGGQTSPRRTGFSFPTQEPRPQTQNLGTPGPALSHSRGPSHPLDLGTSSPNTSQIHWTPYRAMYQYRPQNEDELELREGDRVDVMQQCDDGWFVGVSRRTQKFGTFPGNYVAPV
+>DECOY_sp|O60504|VINEX_HUMAN Vinexin OS=Homo sapiens OX=9606 GN=SORBS3 PE=1 SV=2
+VPAVYNGPFTGFKQTRRSVGVFWGDDCQQMVDVRDGERLELEDENQPRYQYMARYPTWHIQSTNPSSTGLDLPHSPGRSHSLAPGPTGLNQTQPRPEQTPFSFGTRRPSTQGGLDIPDAPSRLASPSSPHRASRAAATLRPSTPLQPGDDCLRLRPERSVQVYSAPFIGQRGTGTIRGEYWNENVKRILCIHEGKRFSLEVELDGKFTYQAVAEGYELVQYTPPKIPKPIEDAPLVEVYNAPFIGLRGHHEGELWNKDVEKHIYVIDGKQLTLEKPSQAQFDFKLRAAKRKKEERRAPSGGGNSASPDRTSSPYVFDRRGLFPSGGDAMKHPSLSRASGLYPAHPYSSSWASAPGAPPRQEPARRPAPSSKPSPLRTEIARLDKDLEASLEALERELLVEIPQSPKEGPDDVLPKKPRQGTELEQLFQNWSEETWVNDVKERRRLVQNSPQLVTSFAGPRYNFTSRPLEESHDWSRGSSSTAPGPRHAPRQQAGLHRPDRPPEEFTWDLQLDPMKRHIQQFMRRYWDRPRDVSSRPAIPMGSEDVPGIGEYKVWRKDRRKSDKTWTASWNQTHQSAPIKTSASPKSGPGPWTQYWAPDPHQSADRRPTYGGNCVTRPAPDHFQFNLTNSGGNRIVPVRTGRSSSGIHSQLHGPIFDDLSLGARLSRPPGQM
+>sp|P41587|VIPR2_HUMAN Vasoactive intestinal polypeptide receptor 2 OS=Homo sapiens OX=9606 GN=VIPR2 PE=1 SV=2
+MRTLLPPALLTCWLLAPVNSIHPECRFHLEIQEEETKCAELLRSQTEKHKACSGVWDNITCWRPANVGETVTVPCPKVFSNFYSKAGNISKNCTSDGWSETFPDFVDACGYSDPEDESKITFYILVKAIYTLGYSVSLMSLATGSIILCLFRKLHCTRNYIHLNLFLSFILRAISVLVKDDVLYSSSGTLHCPDQPSSWVGCKLSLVFLQYCIMANFFWLLVEGLYLHTLLVAMLPPRRCFLAYLLIGWGLPTVCIGAWTAARLYLEDTGCWDTNDHSVPWWVIRIPILISIIVNFVLFISIIRILLQKLTSPDVGGNDQSQYKRLAKSTLLLIPLFGVHYMVFAVFPISISSKYQILFELCLGSFQGLVVAVLYCFLNSEVQCELKRKWRSRCPTPSASRDYRVCGSSFSRNGSEGALQFHRGSRAQSFLQTETSVI
+>DECOY_sp|P41587|VIPR2_HUMAN Vasoactive intestinal polypeptide receptor 2 OS=Homo sapiens OX=9606 GN=VIPR2 PE=1 SV=2
+IVSTETQLFSQARSGRHFQLAGESGNRSFSSGCVRYDRSASPTPCRSRWKRKLECQVESNLFCYLVAVVLGQFSGLCLEFLIQYKSSISIPFVAFVMYHVGFLPILLLTSKALRKYQSQDNGGVDPSTLKQLLIRIISIFLVFNVIISILIPIRIVWWPVSHDNTDWCGTDELYLRAATWAGICVTPLGWGILLYALFCRRPPLMAVLLTHLYLGEVLLWFFNAMICYQLFVLSLKCGVWSSPQDPCHLTGSSSYLVDDKVLVSIARLIFSLFLNLHIYNRTCHLKRFLCLIISGTALSMLSVSYGLTYIAKVLIYFTIKSEDEPDSYGCADVFDPFTESWGDSTCNKSINGAKSYFNSFVKPCPVTVTEGVNAPRWCTINDWVGSCAKHKETQSRLLEACKTEEEQIELHFRCEPHISNVPALLWCTLLAPPLLTRM
+>sp|Q8N0U8|VKORL_HUMAN Vitamin K epoxide reductase complex subunit 1-like protein 1 OS=Homo sapiens OX=9606 GN=VKORC1L1 PE=1 SV=2
+MAAPVLLRVSVPRWERVARYAVCAAGILLSIYAYHVEREKERDPEHRALCDLGPWVKCSAALASRWGRGFGLLGSIFGKDGVLNQPNSVFGLIFYILQLLLGMTASAVAALILMTSSIMSVVGSLYLAYILYFVLKEFCIICIVTYVLNFLLLIINYKRLVYLNEAWKRQLQPKQD
+>DECOY_sp|Q8N0U8|VKORL_HUMAN Vitamin K epoxide reductase complex subunit 1-like protein 1 OS=Homo sapiens OX=9606 GN=VKORC1L1 PE=1 SV=2
+DQKPQLQRKWAENLYVLRKYNIILLLFNLVYTVICIICFEKLVFYLIYALYLSGVVSMISSTMLILAAVASATMGLLLQLIYFILGFVSNPQNLVGDKGFISGLLGFGRGWRSALAASCKVWPGLDCLARHEPDREKEREVHYAYISLLIGAACVAYRAVREWRPVSVRLLVPAAM
+>sp|Q96GC9|VMP1_HUMAN Vacuole membrane protein 1 OS=Homo sapiens OX=9606 GN=VMP1 PE=1 SV=1
+MAENGKNCDQRRVAMNKEHHNGNFTDPSSVNEKKRREREERQNIVLWRQPLITLQYFSLEILVILKEWTSKLWHRQSIVVSFLLLLAVLIATYYVEGVHQQYVQRIEKQFLLYAYWIGLGILSSVGLGTGLHTFLLYLGPHIASVTLAAYECNSVNFPEPPYPDQIICPDEEGTEGTISLWSIISKVRIEACMWGIGTAIGELPPYFMARAARLSGAEPDDEEYQEFEEMLEHAESAQDFASRAKLAVQKLVQKVGFFGILACASIPNPLFDLAGITCGHFLVPFWTFFGATLIGKAIIKMHIQKIFVIITFSKHIVEQMVAFIGAVPGIGPSLQKPFQEYLEAQRQKLHHKSEMGTPQGENWLSWMFEKLVVVMVCYFILSIINSMAQSYAKRIQQRLNSEEKTK
+>DECOY_sp|Q96GC9|VMP1_HUMAN Vacuole membrane protein 1 OS=Homo sapiens OX=9606 GN=VMP1 PE=1 SV=1
+KTKEESNLRQQIRKAYSQAMSNIISLIFYCVMVVVLKEFMWSLWNEGQPTGMESKHHLKQRQAELYEQFPKQLSPGIGPVAGIFAVMQEVIHKSFTIIVFIKQIHMKIIAKGILTAGFFTWFPVLFHGCTIGALDFLPNPISACALIGFFGVKQVLKQVALKARSAFDQASEAHELMEEFEQYEEDDPEAGSLRAARAMFYPPLEGIATGIGWMCAEIRVKSIISWLSITGETGEEDPCIIQDPYPPEPFNVSNCEYAALTVSAIHPGLYLLFTHLGTGLGVSSLIGLGIWYAYLLFQKEIRQVYQQHVGEVYYTAILVALLLLFSVVISQRHWLKSTWEKLIVLIELSFYQLTILPQRWLVINQREERERRKKENVSSPDTFNGNHHEKNMAVRRQDCNKGNEAM
+>sp|Q9GZP7|VN1R1_HUMAN Vomeronasal type-1 receptor 1 OS=Homo sapiens OX=9606 GN=VN1R1 PE=2 SV=1
+MVGDTLKLLSPLMTRYFFLLFYSTDSSDLNENQHPLDFDEMAFGKVKSGISFLIQTGVGILGNSFLLCFYNLILFTGHKLRPTDLILSQLALANSMVLFFKGIPQTMAAFGLKYLLNDTGCKFVFYYHRVGTRVSLSTICLLNGFQAIKLNPSICRWMEIKIRSPRFIDFCCLLCWAPHVLMNASVLLLVNGPLNSKNSSAKNNYGYCSYKASKRFSSLHAVLYFSPDFMSLGFMVWASGSMVFFLYRHKQQVQHNHSNRLSCRPSQEARATHTIMVLVSSFFVFYSVHSFLTIWTTVVANPGQWIVTNSVLVASCFPARSPFVLIMSDTHISQFCFACRTRKTLFPNLVVMP
+>DECOY_sp|Q9GZP7|VN1R1_HUMAN Vomeronasal type-1 receptor 1 OS=Homo sapiens OX=9606 GN=VN1R1 PE=2 SV=1
+PMVVLNPFLTKRTRCAFCFQSIHTDSMILVFPSRAPFCSAVLVSNTVIWQGPNAVVTTWITLFSHVSYFVFFSSVLVMITHTARAEQSPRCSLRNSHNHQVQQKHRYLFFVMSGSAWVMFGLSMFDPSFYLVAHLSSFRKSAKYSCYGYNNKASSNKSNLPGNVLLLVSANMLVHPAWCLLCCFDIFRPSRIKIEMWRCISPNLKIAQFGNLLCITSLSVRTGVRHYYFVFKCGTDNLLYKLGFAAMTQPIGKFFLVMSNALALQSLILDTPRLKHGTFLILNYFCLLFSNGLIGVGTQILFSIGSKVKGFAMEDFDLPHQNENLDSSDTSYFLLFFYRTMLPSLLKLTDGVM
+>sp|Q7Z5H5|VN1R4_HUMAN Vomeronasal type-1 receptor 4 OS=Homo sapiens OX=9606 GN=VN1R4 PE=2 SV=2
+MASRYVAVGMILSQTVVGVLGSFSVLLHYLSFYCTGCRLRSTDLIVKHLIVANFLALRCKGVPQTMAAFGVRYFLNALGCKLVFYLHRVGRGVSIGTTCLLSVFQVITVSSRKSRWAKLKEKAPKHVGFSVLLCWIVCMLVNIIFPMYVTGKWNYTNITVNEDLGYCSGGGNNKIAQTLRAMLLSFPDVLCLGLMLWVSSSMVCILHRHKQRVQHIDRSDLSPRASPENRATQSILILVSTFVSSYTLSCLFQVCMALLDNPNSLLVNTSALMSVCFPTLSPFVLMSCDPSVYRFCFAWKR
+>DECOY_sp|Q7Z5H5|VN1R4_HUMAN Vomeronasal type-1 receptor 4 OS=Homo sapiens OX=9606 GN=VN1R4 PE=2 SV=2
+RKWAFCFRYVSPDCSMLVFPSLTPFCVSMLASTNVLLSNPNDLLAMCVQFLCSLTYSSVFTSVLILISQTARNEPSARPSLDSRDIHQVRQKHRHLICVMSSSVWLMLGLCLVDPFSLLMARLTQAIKNNGGGSCYGLDENVTINTYNWKGTVYMPFIINVLMCVIWCLLVSFGVHKPAKEKLKAWRSKRSSVTIVQFVSLLCTTGISVGRGVRHLYFVLKCGLANLFYRVGFAAMTQPVGKCRLALFNAVILHKVILDTSRLRCGTCYFSLYHLLVSFSGLVGVVTQSLIMGVAVYRSAM
+>sp|Q9H267|VP33B_HUMAN Vacuolar protein sorting-associated protein 33B OS=Homo sapiens OX=9606 GN=VPS33B PE=1 SV=2
+MAFPHRPDAPELPDFSMLKRLARDQLIYLLEQLPGKKDLFIEADLMSPLDRIANVSILKQHEVDKLYKVENKPALSSNEQLCFLVRPRIKNMRYIASLVNADKLAGRTRKYKVIFSPQKFYACEMVLEEEGIYGDVSCDEWAFSLLPLDVDLLSMELPEFFRDYFLEGDQRWINTVAQALHLLSTLYGPFPNCYGIGRCAKMAYELWRNLEEEEDGETKGRRPEIGHIFLLDRDVDFVTALCSQVVYEGLVDDTFRIKCGSVDFGPEVTSSDKSLKVLLNAEDKVFNEIRNEHFSNVFGFLSQKARNLQAQYDRRRGMDIKQMKNFVSQELKGLKQEHRLLSLHIGACESIMKKKTKQDFQELIKTEHALLEGFNIRESTSYIEEHIDRQVSPIESLRLMCLLSITENGLIPKDYRSLKTQYLQSYGPEHLLTFSNLRRAGLLTEQAPGDTLTAVESKVSKLVTDKAAGKITDAFSSLAKRSNFRAISKKLNLIPRVDGEYDLKVPRDMAYVFGGAYVPLSCRIIEQVLERRSWQGLDEVVRLLNCSDFAFTDMTKEDKASSESLRLILVVFLGGCTFSEISALRFLGREKGYRFIFLTTAVTNSARLMEAMSEVKA
+>DECOY_sp|Q9H267|VP33B_HUMAN Vacuolar protein sorting-associated protein 33B OS=Homo sapiens OX=9606 GN=VPS33B PE=1 SV=2
+AKVESMAEMLRASNTVATTLFIFRYGKERGLFRLASIESFTCGGLFVVLILRLSESSAKDEKTMDTFAFDSCNLLRVVEDLGQWSRRELVQEIIRCSLPVYAGGFVYAMDRPVKLDYEGDVRPILNLKKSIARFNSRKALSSFADTIKGAAKDTVLKSVKSEVATLTDGPAQETLLGARRLNSFTLLHEPGYSQLYQTKLSRYDKPILGNETISLLCMLRLSEIPSVQRDIHEEIYSTSERINFGELLAHETKILEQFDQKTKKKMISECAGIHLSLLRHEQKLGKLEQSVFNKMQKIDMGRRRDYQAQLNRAKQSLFGFVNSFHENRIENFVKDEANLLVKLSKDSSTVEPGFDVSGCKIRFTDDVLGEYVVQSCLATVFDVDRDLLFIHGIEPRRGKTEGDEEEELNRWLEYAMKACRGIGYCNPFPGYLTSLLHLAQAVTNIWRQDGELFYDRFFEPLEMSLLDVDLPLLSFAWEDCSVDGYIGEEELVMECAYFKQPSFIVKYKRTRGALKDANVLSAIYRMNKIRPRVLFCLQENSSLAPKNEVKYLKDVEHQKLISVNAIRDLPSMLDAEIFLDKKGPLQELLYILQDRALRKLMSFDPLEPADPRHPFAM
+>sp|Q8NEZ2|VP37A_HUMAN Vacuolar protein sorting-associated protein 37A OS=Homo sapiens OX=9606 GN=VPS37A PE=1 SV=1
+MSWLFPLTKSASSSAAGSPGGLTSLQQQKQRLIESLRNSHSSIAEIQKDVEYRLPFTINNLTININILLPPQFPQEKPVISVYPPIRHHLMDKQGVYVTSPLVNNFTMHSDLGKIIQSLLDEFWKNPPVLAPTSTAFPYLYSNPSGMSPYASQGFPFLPPYPPQEANRSITSLSVADTVSSSTTSHTTAKPAAPSFGVLSNLPLPIPTVDASIPTSQNGFGYKMPDVPDAFPELSELSVSQLTDMNEQEEVLLEQFLTLPQLKQIITDKDDLVKSIEELARKNLLLEPSLEAKRQTVLDKYELLTQMKSTFEKKMQRQHELSESCSASALQARLKVAAHEAEEESDNIAEDFLEGKMEIDDFLSSFMEKRTICHCRRAKEEKLQQAIAMHSQFHAPL
+>DECOY_sp|Q8NEZ2|VP37A_HUMAN Vacuolar protein sorting-associated protein 37A OS=Homo sapiens OX=9606 GN=VPS37A PE=1 SV=1
+LPAHFQSHMAIAQQLKEEKARRCHCITRKEMFSSLFDDIEMKGELFDEAINDSEEEAEHAAVKLRAQLASASCSESLEHQRQMKKEFTSKMQTLLEYKDLVTQRKAELSPELLLNKRALEEISKVLDDKDTIIQKLQPLTLFQELLVEEQENMDTLQSVSLESLEPFADPVDPMKYGFGNQSTPISADVTPIPLPLNSLVGFSPAAPKATTHSTTSSSVTDAVSLSTISRNAEQPPYPPLFPFGQSAYPSMGSPNSYLYPFATSTPALVPPNKWFEDLLSQIIKGLDSHMTFNNVLPSTVYVGQKDMLHHRIPPYVSIVPKEQPFQPPLLININITLNNITFPLRYEVDKQIEAISSHSNRLSEILRQKQQQLSTLGGPSGAASSSASKTLPFLWSM
+>sp|P63129|VPK24_HUMAN Endogenous retrovirus group K member 24 Pro protein OS=Homo sapiens OX=9606 GN=ERVK-24 PE=3 SV=1
+WASQVSENRPVCKAIIQGKQFEGLVDTGADVSIIALNQWPKNWPKQKAVTGLVGIGTASEVYQSTEILHCLGPDNQESTVQPMITSIPLNLWGRDLLQQWGAEITMPAPLYSPTSQKIMTKMGYIPGKGLGKNEDGIKIPFEAKINQKREGIGYPF
+>DECOY_sp|P63129|VPK24_HUMAN Endogenous retrovirus group K member 24 Pro protein OS=Homo sapiens OX=9606 GN=ERVK-24 PE=3 SV=1
+FPYGIGERKQNIKAEFPIKIGDENKGLGKGPIYGMKTMIKQSTPSYLPAPMTIEAGWQQLLDRGWLNLPISTIMPQVTSEQNDPGLCHLIETSQYVESATGIGVLGTVAKQKPWNKPWQNLAIISVDAGTDVLGEFQKGQIIAKCVPRNESVQSAW
+>sp|P63122|VPK8_HUMAN Endogenous retrovirus group K member 8 Pro protein OS=Homo sapiens OX=9606 GN=ERVK-8 PE=3 SV=1
+WASQVSENRPVCKAIIQGKQFEGLVDTGADVSIIALNQWPKNWPKQKAVIGLVGIGTASEVYQSMEILHCLGPDNQESTVQPMITSIPLNLWGRDLLQQWGAEITMPAPLYSPTSQKIMTKRGYIPGKGLGKNEDGIKIPFEAKINQKREGIGYPF
+>DECOY_sp|P63122|VPK8_HUMAN Endogenous retrovirus group K member 8 Pro protein OS=Homo sapiens OX=9606 GN=ERVK-8 PE=3 SV=1
+FPYGIGERKQNIKAEFPIKIGDENKGLGKGPIYGRKTMIKQSTPSYLPAPMTIEAGWQQLLDRGWLNLPISTIMPQVTSEQNDPGLCHLIEMSQYVESATGIGVLGIVAKQKPWNKPWQNLAIISVDAGTDVLGEFQKGQIIAKCVPRNESVQSAW
+>sp|Q86VN1|VPS36_HUMAN Vacuolar protein-sorting-associated protein 36 OS=Homo sapiens OX=9606 GN=VPS36 PE=1 SV=1
+MDRFVWTSGLLEINETLVIQQRGVRIYDGEEKIKFDAGTLLLSTHRLIWRDQKNHECCMAILLSQIVFIEEQAAGIGKSAKIVVHLHPAPPNKEPGPFQSSKNSYIKLSFKEHGQIEFYRRLSEEMTQRRWENMPVSQSLQTNRGPQPGRIRAVGIVGIERKLEEKRKETDKNISEAFEDLSKLMIKAKEMVELSKSIANKIKDKQGDITEDETIRFKSYLLSMGIANPVTRETYGSGTQYHMQLAKQLAGILQVPLEERGGIMSLTEVYCLVNRARGMELLSPEDLVNACKMLEALKLPLRLRVFDSGVMVIELQSHKEEEMVASALETVSEKGSLTSEEFAKLVGMSVLLAKERLLLAEKMGHLCRDDSVEGLRFYPNLFMTQS
+>DECOY_sp|Q86VN1|VPS36_HUMAN Vacuolar protein-sorting-associated protein 36 OS=Homo sapiens OX=9606 GN=VPS36 PE=1 SV=1
+SQTMFLNPYFRLGEVSDDRCLHGMKEALLLREKALLVSMGVLKAFEESTLSGKESVTELASAVMEEEKHSQLEIVMVGSDFVRLRLPLKLAELMKCANVLDEPSLLEMGRARNVLCYVETLSMIGGREELPVQLIGALQKALQMHYQTGSGYTERTVPNAIGMSLLYSKFRITEDETIDGQKDKIKNAISKSLEVMEKAKIMLKSLDEFAESINKDTEKRKEELKREIGVIGVARIRGPQPGRNTQLSQSVPMNEWRRQTMEESLRRYFEIQGHEKFSLKIYSNKSSQFPGPEKNPPAPHLHVVIKASKGIGAAQEEIFVIQSLLIAMCCEHNKQDRWILRHTSLLLTGADFKIKEEGDYIRVGRQQIVLTENIELLGSTWVFRDM
+>sp|Q9P1Q0|VPS54_HUMAN Vacuolar protein sorting-associated protein 54 OS=Homo sapiens OX=9606 GN=VPS54 PE=1 SV=2
+MASSHSSSPVPQGSSSDVFFKIEVDPSKHIRPVPSLPDVCPKEPTGDSHSLYVAPSLVTDQHRWTVYHSKVNLPAALNDPRLAKRESDFFTKTWGLDFVDTEVIPSFYLPQISKEHFTVYQQEISQREKIHERCKNICPPKDTFERTLLHTHDKSRTDLEQVPKIFMKPDFALDDSLTFNSVLPWSHFNTAGGKGNRDAASSKLLQEKLSHYLDIVEVNIAHQISLRSEAFFHAMTSQHELQDYLRKTSQAVKMLRDKIAQIDKVMCEGSLHILRLALTRNNCVKVYNKLKLMATVHQTQPTVQVLLSTSEFVGALDLIATTQEVLQQELQGIHSFRHLGSQLCELEKLIDKMMIAEFSTYSHSDLNRPLEDDCQVLEEERLISLVFGLLKQRKLNFLEIYGEKMVITAKNIIKQCVINKVSQTEEIDTDVVVKLADQMRMLNFPQWFDLLKDIFSKFTIFLQRVKATLNIIHSVVLSVLDKNQRTRELEEISQQKNAAKDNSLDTEVAYLIHEGMFISDAFGEGELTPIAVDTTSQRNASPNSEPCSSDSVSEPECTTDSSSSKEHTSSSAIPGGVDIMVSEDMKLTDSELGKLANNIQELLYSASDICHDRAVKFLMSRAKDGFLEKLNSMEFITLSRLMETFILDTEQICGRKSTSLLGALQSQAIKFVNRFHEERKTKLSLLLDNERWKQADVPAEFQDLVDSLSDGKIALPEKKSGATEERKPAEVLIVEGQQYAVVGTVLLLIRIILEYCQCVDNIPSVTTDMLTRLSDLLKYFNSRSCQLVLGAGALQVVGLKTITTKNLALSSRCLQLIVHYIPVIRAHFEARLPPKQYSMLRHFDHITKDYHDHIAEISAKLVAIMDSLFDKLLSKYEVKAPVPSACFRNICKQMTKMHEAIFDLLPEEQTQMLFLRINASYKLHLKKQLSHLNVINDGGPQNGLVTADVAFYTGNLQALKGLKDLDLNMAEIWEQKR
+>DECOY_sp|Q9P1Q0|VPS54_HUMAN Vacuolar protein sorting-associated protein 54 OS=Homo sapiens OX=9606 GN=VPS54 PE=1 SV=2
+RKQEWIEAMNLDLDKLGKLAQLNGTYFAVDATVLGNQPGGDNIVNLHSLQKKLHLKYSANIRLFLMQTQEEPLLDFIAEHMKTMQKCINRFCASPVPAKVEYKSLLKDFLSDMIAVLKASIEAIHDHYDKTIHDFHRLMSYQKPPLRAEFHARIVPIYHVILQLCRSSLALNKTTITKLGVVQLAGAGLVLQCSRSNFYKLLDSLRTLMDTTVSPINDVCQCYELIIRILLLVTGVVAYQQGEVILVEAPKREETAGSKKEPLAIKGDSLSDVLDQFEAPVDAQKWRENDLLLSLKTKREEHFRNVFKIAQSQLAGLLSTSKRGCIQETDLIFTEMLRSLTIFEMSNLKELFGDKARSMLFKVARDHCIDSASYLLEQINNALKGLESDTLKMDESVMIDVGGPIASSSTHEKSSSSDTTCEPESVSDSSCPESNPSANRQSTTDVAIPTLEGEGFADSIFMGEHILYAVETDLSNDKAANKQQSIEELERTRQNKDLVSLVVSHIINLTAKVRQLFITFKSFIDKLLDFWQPFNLMRMQDALKVVVDTDIEETQSVKNIVCQKIINKATIVMKEGYIELFNLKRQKLLGFVLSILREEELVQCDDELPRNLDSHSYTSFEAIMMKDILKELECLQSGLHRFSHIGQLEQQLVEQTTAILDLAGVFESTSLLVQVTPQTQHVTAMLKLKNYVKVCNNRTLALRLIHLSGECMVKDIQAIKDRLMKVAQSTKRLYDQLEHQSTMAHFFAESRLSIQHAINVEVIDLYHSLKEQLLKSSAADRNGKGGATNFHSWPLVSNFTLSDDLAFDPKMFIKPVQELDTRSKDHTHLLTREFTDKPPCINKCREHIKERQSIEQQYVTFHEKSIQPLYFSPIVETDVFDLGWTKTFFDSERKALRPDNLAAPLNVKSHYVTWRHQDTVLSPAVYLSHSDGTPEKPCVDPLSPVPRIHKSPDVEIKFFVDSSSGQPVPSSSHSSAM
+>sp|Q86Y07|VRK2_HUMAN Serine/threonine-protein kinase VRK2 OS=Homo sapiens OX=9606 GN=VRK2 PE=1 SV=3
+MPPKRNEKYKLPIPFPEGKVLDDMEGNQWVLGKKIGSGGFGLIYLAFPTNKPEKDARHVVKVEYQENGPLFSELKFYQRVAKKDCIKKWIERKQLDYLGIPLFYGSGLTEFKGRSYRFMVMERLGIDLQKISGQNGTFKKSTVLQLGIRMLDVLEYIHENEYVHGDIKAANLLLGYKNPDQVYLADYGLSYRYCPNGNHKQYQENPRKGHNGTIEFTSLDAHKGVALSRRSDVEILGYCMLRWLCGKLPWEQNLKDPVAVQTAKTNLLDELPQSVLKWAPSGSSCCEIAQFLVCAHSLAYDEKPNYQALKKILNPHGIPLGPLDFSTKGQSINVHTPNSQKVDSQKAATKQVNKAHNRLIEKKVHSERSAESCATWKVQKEEKLIGLMNNEAAQESTRRRQKYQESQEPLNEVNSFPQKISYTQFPNSFYEPHQDFTSPDIFKKSRSPSWYKYTSTVSTGITDLESSTGLWPTISQFTLSEETNADVYYYRIIIPVLLMLVFLALFFL
+>DECOY_sp|Q86Y07|VRK2_HUMAN Serine/threonine-protein kinase VRK2 OS=Homo sapiens OX=9606 GN=VRK2 PE=1 SV=3
+LFFLALFVLMLLVPIIIRYYYVDANTEESLTFQSITPWLGTSSELDTIGTSVTSTYKYWSPSRSKKFIDPSTFDQHPEYFSNPFQTYSIKQPFSNVENLPEQSEQYKQRRRTSEQAAENNMLGILKEEKQVKWTACSEASRESHVKKEILRNHAKNVQKTAAKQSDVKQSNPTHVNISQGKTSFDLPGLPIGHPNLIKKLAQYNPKEDYALSHACVLFQAIECCSSGSPAWKLVSQPLEDLLNTKATQVAVPDKLNQEWPLKGCLWRLMCYGLIEVDSRRSLAVGKHADLSTFEITGNHGKRPNEQYQKHNGNPCYRYSLGYDALYVQDPNKYGLLLNAAKIDGHVYENEHIYELVDLMRIGLQLVTSKKFTGNQGSIKQLDIGLREMVMFRYSRGKFETLGSGYFLPIGLYDLQKREIWKKICDKKAVRQYFKLESFLPGNEQYEVKVVHRADKEPKNTPFALYILGFGGSGIKKGLVWQNGEMDDLVKGEPFPIPLKYKENRKPPM
+>sp|Q96AJ9|VTI1A_HUMAN Vesicle transport through interaction with t-SNAREs homolog 1A OS=Homo sapiens OX=9606 GN=VTI1A PE=1 SV=2
+MSSDFEGYEQDFAVLTAEITSKIARVPRLPPDEKKQMVANVEKQLEEAKELLEQMDLEVREIPPQSRGMYSNRMRSYKQEMGKLETDFKRSRIAYSDEVRNELLGDDGNSSENQRAHLLDNTERLERSSRRLEAGYQIAVETEQIGQEMLENLSHDREKIQRARERLRETDANLGKSSRILTGMLRRIIQNRILLVILGIIVVITILMAITFSVRRH
+>DECOY_sp|Q96AJ9|VTI1A_HUMAN Vesicle transport through interaction with t-SNAREs homolog 1A OS=Homo sapiens OX=9606 GN=VTI1A PE=1 SV=2
+HRRVSFTIAMLITIVVIIGLIVLLIRNQIIRRLMGTLIRSSKGLNADTERLRERARQIKERDHSLNELMEQGIQETEVAIQYGAELRRSSRELRETNDLLHARQNESSNGDDGLLENRVEDSYAIRSRKFDTELKGMEQKYSRMRNSYMGRSQPPIERVELDMQELLEKAEELQKEVNAVMQKKEDPPLRPVRAIKSTIEATLVAFDQEYGEFDSSM
+>sp|Q9UEU0|VTI1B_HUMAN Vesicle transport through interaction with t-SNAREs homolog 1B OS=Homo sapiens OX=9606 GN=VTI1B PE=1 SV=3
+MASSAASSEHFEKLHEIFRGLHEDLQGVPERLLGTAGTEEKKKLIRDFDEKQQEANETLAEMEEELRYAPLSFRNPMMSKLRNYRKDLAKLHREVRSTPLTATPGGRGDMKYGIYAVENEHMNRLQSQRAMLLQGTESLNRATQSIERSHRIATETDQIGSEIIEELGEQRDQLERTKSRLVNTSENLSKSRKILRSMSRKVTTNKLLLSIIILLELAILGGLVYYKFFRSH
+>DECOY_sp|Q9UEU0|VTI1B_HUMAN Vesicle transport through interaction with t-SNAREs homolog 1B OS=Homo sapiens OX=9606 GN=VTI1B PE=1 SV=3
+HSRFFKYYVLGGLIALELLIIISLLLKNTTVKRSMSRLIKRSKSLNESTNVLRSKTRELQDRQEGLEEIIESGIQDTETAIRHSREISQTARNLSETGQLLMARQSQLRNMHENEVAYIGYKMDGRGGPTATLPTSRVERHLKALDKRYNRLKSMMPNRFSLPAYRLEEEMEALTENAEQQKEDFDRILKKKEETGATGLLREPVGQLDEHLGRFIEHLKEFHESSAASSAM
+>sp|Q502W6|VWA3B_HUMAN von Willebrand factor A domain-containing protein 3B OS=Homo sapiens OX=9606 GN=VWA3B PE=1 SV=3
+MEKSGPSSTISEQQLQRQEGWINTKTDLAEQSLISSEKWLQLHGLKSNKLTLKQILSQIGFPHCEDYVASLGRPVASRYADGLFPQLYRAEDGRVYNLTAKSELIYQFVEHLTQAVESYKQRMDWLTSKSRQIFGVILEQCVTIVLDFGGILEGELDLCREALTMVLQEQVAHITEFNIIRVSQEPVKWQENATPVTEQSIATAISWVEKLTVELTVSEAGRLDALLEAGRDKTIESIYYFVVGDVPEESKELLLQRALEIPCPVYTVSFNARGEGTIAFLKDLSAKTHSRFHAFAERTECVEFPAFSTKDGDNVMTWNSRKLKGKLPPGAGVREDVFLVWQEMEEACSTLAQIQRLVAEPPKPDVATVDCESETTSVEIASNPEDTWDSKTWLQKYGLKAQKLSLYDVLADCSFRHADGVVDIKAKPENESVQTSAETNKKTVHAKYCSRFVHAPWKDGSLVHVNITKEKCKWYSERIHTALARIRRRIKWLQDGSQSLFGRLHNDCIYILIDTSHSMKSKLDLVKDKIIQFIQEQLKYKSKFNFVKFDGQAVAWREQLAEVNEDNLEQAQSWIRDIKIGSSTNTLSALKTAFADKETQAIYLLTDGRPDQPPETVIDQVKRFQEIPIYTISFNYNDEIANRFLKEVAALTGGEFHFYNFGCKDPTPPEAVQNEDLTLLVKEMEQGHSDLEKMQDLYSESLIMDWWYNAEKDGDSKHQKEICSMISTPEKCAKPQSDVDSTQTSSLNMLKGPWGLSDQKVQKKKVLHAESTKTSLLRSQMSSLRSSACSERKDGLSNASSRRTALSDKEMSILLAEEWLDDKSSEKVTREGSQVYDHDSSDVSSENWLKTYGLVAKKLTLMDALSVAAVPHSSTYVPVLDKHVVSKVFDEVFPLAHVCNDTNKMTLINPQGAKLNIYKRKVEQAIQSYEKRLNKIVWRALSQEEKEKLDANKPIQYLENKTVLNQALERLNWPISLKELSMLESEILAGKMYIQQAMELQEAAKKNYANKAPGEQQKLQGNPTKKTKSKRPDPLKGQKVIARCDENGFYFPGVVKKCVSRTQALVGFSYGDTKVVSTSFITPVGGAMPCPLLQVGDYVFAKIVIPKGFDFYVPAIVIALPNKHVATEKFYTVLKCNNRREFCPRSALIKISQNKYALSCSHIKSPPIPEDPEVEDVEARNSAFLFWPLKEADTQDSREPRREKPRRKKRPAKQPLQQAAPSDSDGSSHGISSHGSCQGTHPEPRTAHLHFPAAGRLGLSSHAIIATPPPRAALPCTLQATHSSKGLRSVPETL
+>DECOY_sp|Q502W6|VWA3B_HUMAN von Willebrand factor A domain-containing protein 3B OS=Homo sapiens OX=9606 GN=VWA3B PE=1 SV=3
+LTEPVSRLGKSSHTAQLTCPLAARPPPTAIIAHSSLGLRGAAPFHLHATRPEPHTGQCSGHSSIGHSSGDSDSPAAQQLPQKAPRKKRRPKERRPERSDQTDAEKLPWFLFASNRAEVDEVEPDEPIPPSKIHSCSLAYKNQSIKILASRPCFERRNNCKLVTYFKETAVHKNPLAIVIAPVYFDFGKPIVIKAFVYDGVQLLPCPMAGGVPTIFSTSVVKTDGYSFGVLAQTRSVCKKVVGPFYFGNEDCRAIVKQGKLPDPRKSKTKKTPNGQLKQQEGPAKNAYNKKAAEQLEMAQQIYMKGALIESELMSLEKLSIPWNLRELAQNLVTKNELYQIPKNADLKEKEEQSLARWVIKNLRKEYSQIAQEVKRKYINLKAGQPNILTMKNTDNCVHALPFVEDFVKSVVHKDLVPVYTSSHPVAAVSLADMLTLKKAVLGYTKLWNESSVDSSDHDYVQSGERTVKESSKDDLWEEALLISMEKDSLATRRSSANSLGDKRESCASSRLSSMQSRLLSTKTSEAHLVKKKQVKQDSLGWPGKLMNLSSTQTSDVDSQPKACKEPTSIMSCIEKQHKSDGDKEANYWWDMILSESYLDQMKELDSHGQEMEKVLLTLDENQVAEPPTPDKCGFNYFHFEGGTLAAVEKLFRNAIEDNYNFSITYIPIEQFRKVQDIVTEPPQDPRGDTLLYIAQTEKDAFATKLASLTNTSSGIKIDRIWSQAQELNDENVEALQERWAVAQGDFKVFNFKSKYKLQEQIFQIIKDKVLDLKSKMSHSTDILIYICDNHLRGFLSQSGDQLWKIRRRIRALATHIRESYWKCKEKTINVHVLSGDKWPAHVFRSCYKAHVTKKNTEASTQVSENEPKAKIDVVGDAHRFSCDALVDYLSLKQAKLGYKQLWTKSDWTDEPNSAIEVSTTESECDVTAVDPKPPEAVLRQIQALTSCAEEMEQWVLFVDERVGAGPPLKGKLKRSNWTMVNDGDKTSFAPFEVCETREAFAHFRSHTKASLDKLFAITGEGRANFSVTYVPCPIELARQLLLEKSEEPVDGVVFYYISEITKDRGAELLADLRGAESVTLEVTLKEVWSIATAISQETVPTANEQWKVPEQSVRIINFETIHAVQEQLVMTLAERCLDLEGELIGGFDLVITVCQELIVGFIQRSKSTLWDMRQKYSEVAQTLHEVFQYILESKATLNYVRGDEARYLQPFLGDAYRSAVPRGLSAVYDECHPFGIQSLIQKLTLKNSKLGHLQLWKESSILSQEALDTKTNIWGEQRQLQQESITSSPGSKEM
+>sp|Q641Q2|WAC2A_HUMAN WASH complex subunit 2A OS=Homo sapiens OX=9606 GN=WASHC2A PE=1 SV=3
+MMNRTTPDQELAPASEPVWERPWSVEEIRRSSQSWSLAADAGLLQFLQEFSQQTISRTHEIKKQVDGLIRETKATDCRLHNVFNDFLMLSNTQFIENRVYDEEVEEPVLKAEAEKTEQEKTREQKEVDLIPKVQEAVNYGLQVLDSAFEQLDIKAGNSDSEEDDANGRVELILEPKDLYIDRPLPYLIGSKLFMEQEDVGLGELSSEEGSVGSDRGSIVDTEEEKEEEESDEDFAHHSDNEQNRHTTQMSDEEEDDDGCDLFADSEKEEEDIEDIEENTRPKRSRPTSFADELAARIKGDAVGRVDEEPTTLPSGEAKPRKTLKEKKERRTPSDDEEDNLFAPPKLTDEDFSPFGSGGGLFSGGKGLFDDEDEESDLFTEAPQDRQAGASVKEESSSSKPGKKIPAGAVSVFLGDTDVFGAASVPSMKEPQKPEQPTPRKSPYGPPPTGLFDDDDGDDDDDFFSAPHSKPSKTGKVQSTADIFGDEEGDLFKEKAVASPEATVSQTDENKARAEKKVTLSSSKNLKPSSETKTQKGLFSDEEDSEDLFSSQSASKLKGASLLPGKLPTLVSLFDDEDEEDNLFGGTAAKKQTLCLQAQREEKAKASELSKKKASALLFSSDEEDQWNIPASQTHLASDSRSKGEPRDSGTLQSQEAKAVKKTSLFEEDEEDDLFAIAKDSQKKTQRVSLLFEDDVDSGGSLFGSPPTSVPPATKKKETVSEAPPLLFSDEEEKEAQLGVKSVDKKVESAKESLKFGRTDVAESEKEGLLTRSAQETVKHSDLFSSSSPWDKGTKPRTKTVLSLFDEEEDKMEDQNIIQAPQKEVGKGRDPDAHPKSTGVFQDEELLFSHKLQKDNDPDVDLFAGTKKTKLLEPSVGSLFGDDEDDDLFSSAKSQPLVQEKKRVVKKDHSVDSFKNQKHPESIQGSKEKGIWKPETPQDSSGLAPFKTKEPSTRIGKIQANLAINPAALLPTAASQISEVKPVLPELAFPSSEHRRSHGLESVPVLPGSGEAGVSFDLPAQADTLHSANKSRVKMRGKRRPQTRAARRLAAQESSETEDMSVPRGPIAQWADGAISPNGHRPQLRAASGEDSTEEALAAAAAPWEGGPVPGVDRSPFAKSLGHSRGEADLFDSGDIFSTGTGSQSVERTKPKAKIAENPANPPVGGKAKSPMFPALGEASSDDDLFQSAKPKPAKKTNPFPLLEDEDDLFTDQKVKKNETKSNSQQDVILTTQDIFEDDIFATEAIKPSQKTREKEKTLESNLFDDNIDIFADLTVKPKEKSKKKVEAKSIFDDDMDDIFSSGIQAKTTKPKSRSAQAAPEPRFEHKVSNIFDDPLNAFGGQ
+>DECOY_sp|Q641Q2|WAC2A_HUMAN WASH complex subunit 2A OS=Homo sapiens OX=9606 GN=WASHC2A PE=1 SV=3
+QGGFANLPDDFINSVKHEFRPEPAAQASRSKPKTTKAQIGSSFIDDMDDDFISKAEVKKKSKEKPKVTLDAFIDINDDFLNSELTKEKERTKQSPKIAETAFIDDEFIDQTTLIVDQQSNSKTENKKVKQDTFLDDEDELLPFPNTKKAPKPKASQFLDDDSSAEGLAPFMPSKAKGGVPPNAPNEAIKAKPKTREVSQSGTGTSFIDGSDFLDAEGRSHGLSKAFPSRDVGPVPGGEWPAAAAALAEETSDEGSAARLQPRHGNPSIAGDAWQAIPGRPVSMDETESSEQAALRRAARTQPRRKGRMKVRSKNASHLTDAQAPLDFSVGAEGSGPLVPVSELGHSRRHESSPFALEPLVPKVESIQSAATPLLAAPNIALNAQIKGIRTSPEKTKFPALGSSDQPTEPKWIGKEKSGQISEPHKQNKFSDVSHDKKVVRKKEQVLPQSKASSFLDDDEDDGFLSGVSPELLKTKKTGAFLDVDPDNDKQLKHSFLLEEDQFVGTSKPHADPDRGKGVEKQPAQIINQDEMKDEEEDFLSLVTKTRPKTGKDWPSSSSFLDSHKVTEQASRTLLGEKESEAVDTRGFKLSEKASEVKKDVSKVGLQAEKEEEDSFLLPPAESVTEKKKTAPPVSTPPSGFLSGGSDVDDEFLLSVRQTKKQSDKAIAFLDDEEDEEFLSTKKVAKAEQSQLTGSDRPEGKSRSDSALHTQSAPINWQDEEDSSFLLASAKKKSLESAKAKEERQAQLCLTQKKAATGGFLNDEEDEDDFLSVLTPLKGPLLSAGKLKSASQSSFLDESDEEDSFLGKQTKTESSPKLNKSSSLTVKKEARAKNEDTQSVTAEPSAVAKEKFLDGEEDGFIDATSQVKGTKSPKSHPASFFDDDDDGDDDDFLGTPPPGYPSKRPTPQEPKQPEKMSPVSAAGFVDTDGLFVSVAGAPIKKGPKSSSSEEKVSAGAQRDQPAETFLDSEEDEDDFLGKGGSFLGGGSGFPSFDEDTLKPPAFLNDEEDDSPTRREKKEKLTKRPKAEGSPLTTPEEDVRGVADGKIRAALEDAFSTPRSRKPRTNEEIDEIDEEEKESDAFLDCGDDDEEEDSMQTTHRNQENDSHHAFDEDSEEEEKEEETDVISGRDSGVSGEESSLEGLGVDEQEMFLKSGILYPLPRDIYLDKPELILEVRGNADDEESDSNGAKIDLQEFASDLVQLGYNVAEQVKPILDVEKQERTKEQETKEAEAKLVPEEVEEDYVRNEIFQTNSLMLFDNFVNHLRCDTAKTERILGDVQKKIEHTRSITQQSFEQLFQLLGADAALSWSQSSRRIEEVSWPREWVPESAPALEQDPTTRNMM
+>sp|Q7Z5K2|WAPL_HUMAN Wings apart-like protein homolog OS=Homo sapiens OX=9606 GN=WAPL PE=1 SV=1
+MTSRFGKTYSRKGGNGSSKFDEVFSNKRTTLSTKWGETTFMAKLGQKRPNFKPDIQEIPKKPKVEEESTGDPFGFDSDDESLPVSSKNLAQVKCSSYSESSEAAQLEEVTSVLEANSKISHVVVEDTVVSDKCFPLEDTLLGKEKSTNRIVEDDASISSCNKLITSDKVENFHEEHEKNSHHIHKNADDSTKKPNAETTVASEIKETNDTWNSQFGKRPESPSEISPIKGSVRTGLFEWDNDFEDIRSEDCILSLDSDPLLEMKDDDFKNRLENLNEAIEEDIVQSVLRPTNCRTYCRANKTKSSQGASNFDKLMDGTSQALAKANSESSKDGLNQAKKGGVSCGTSFRGTVGRTRDYTVLHPSCLSVCNVTIQDTMERSMDEFTASTPADLGEAGRLRKKADIATSKTTTRFRPSNTKSKKDVKLEFFGFEDHETGGDEGGSGSSNYKIKYFGFDDLSESEDDEDDDCQVERKTSKKRTKTAPSPSLQPPPESNDNSQDSQSGTNNAENLDFTEDLPGVPESVKKPINKQGDKSKENTRKIFSGPKRSPTKAVYNARHWNHPDSEELPGPPVVKPQSVTVRLSSKEPNQKDDGVFKAPAPPSKVIKTVTIPTQPYQDIVTALKCRREDKELYTVVQHVKHFNDVVEFGENQEFTDDIEYLLSGLKSTQPLNTRCLSVISLATKCAMPSFRMHLRAHGMVAMVFKTLDDSQHHQNLSLCTAALMYILSRDRLNMDLDRASLDLMIRLLELEQDASSAKLLNEKDMNKIKEKIRRLCETVHNKHLDLENITTGHLAMETLLSLTSKRAGDWFKEELRLLGGLDHIVDKVKECVDHLSRDEDEEKLVASLWGAERCLRVLESVTVHNPENQSYLIAYKDSQLIVSSAKALQHCEELIQQYNRAEDSICLADSKPLPHQNVTNHVGKAVEDCMRAIIGVLLNLTNDNEWGSTKTGEQDGLIGTALNCVLQVPKYLPQEQRFDIRVLGLGLLINLVEYSARNRHCLVNMETSCSFDSSICSGEGDDSLRIGGQVHAVQALVQLFLERERAAQLAESKTDELIKDAPTTQHDKSGEWQETSGEIQWVSTEKTDGTEEKHKKEEEDEELDLNKALQHAGKHMEDCIVASYTALLLGCLCQESPINVTTVREYLPEGDFSIMTEMLKKFLSFMNLTCAVGTTGQKSISRVIEYLEHC
+>DECOY_sp|Q7Z5K2|WAPL_HUMAN Wings apart-like protein homolog OS=Homo sapiens OX=9606 GN=WAPL PE=1 SV=1
+CHELYEIVRSISKQGTTGVACTLNMFSLFKKLMETMISFDGEPLYERVTTVNIPSEQCLCGLLLATYSAVICDEMHKGAHQLAKNLDLEEDEEEKKHKEETGDTKETSVWQIEGSTEQWEGSKDHQTTPADKILEDTKSEALQAARERELFLQVLAQVAHVQGGIRLSDDGEGSCISSDFSCSTEMNVLCHRNRASYEVLNILLGLGLVRIDFRQEQPLYKPVQLVCNLATGILGDQEGTKTSGWENDNTLNLLVGIIARMCDEVAKGVHNTVNQHPLPKSDALCISDEARNYQQILEECHQLAKASSVILQSDKYAILYSQNEPNHVTVSELVRLCREAGWLSAVLKEEDEDRSLHDVCEKVKDVIHDLGGLLRLEEKFWDGARKSTLSLLTEMALHGTTINELDLHKNHVTECLRRIKEKIKNMDKENLLKASSADQELELLRIMLDLSARDLDMNLRDRSLIYMLAATCLSLNQHHQSDDLTKFVMAVMGHARLHMRFSPMACKTALSIVSLCRTNLPQTSKLGSLLYEIDDTFEQNEGFEVVDNFHKVHQVVTYLEKDERRCKLATVIDQYPQTPITVTKIVKSPPAPAKFVGDDKQNPEKSSLRVTVSQPKVVPPGPLEESDPHNWHRANYVAKTPSRKPGSFIKRTNEKSKDGQKNIPKKVSEPVGPLDETFDLNEANNTGSQSDQSNDNSEPPPQLSPSPATKTRKKSTKREVQCDDDEDDESESLDDFGFYKIKYNSSGSGGEDGGTEHDEFGFFELKVDKKSKTNSPRFRTTTKSTAIDAKKRLRGAEGLDAPTSATFEDMSREMTDQITVNCVSLCSPHLVTYDRTRGVTGRFSTGCSVGGKKAQNLGDKSSESNAKALAQSTGDMLKDFNSAGQSSKTKNARCYTRCNTPRLVSQVIDEEIAENLNELRNKFDDDKMELLPDSDLSLICDESRIDEFDNDWEFLGTRVSGKIPSIESPSEPRKGFQSNWTDNTEKIESAVTTEANPKKTSDDANKHIHHSNKEHEEHFNEVKDSTILKNCSSISADDEVIRNTSKEKGLLTDELPFCKDSVVTDEVVVHSIKSNAELVSTVEELQAAESSESYSSCKVQALNKSSVPLSEDDSDFGFPDGTSEEEVKPKKPIEQIDPKFNPRKQGLKAMFTTEGWKTSLTTRKNSFVEDFKSSGNGGKRSYTKGFRSTM
+>sp|Q12768|WASC5_HUMAN WASH complex subunit 5 OS=Homo sapiens OX=9606 GN=WASHC5 PE=1 SV=1
+MLDFLAENNLCGQAILRIVSCGNAIIAELLRLSEFIPAVFRLKDRADQQKYGDIIFDFSYFKGPELWESKLDAKPELQDLDEEFRENNIEIVTRFYLAFQSVHKYIVDLNRYLDDLNEGVYIQQTLETVLLNEDGKQLLCEALYLYGVMLLVIDQKIEGEVRERMLVSYYRYSAARSSADSNMDDICKLLRSTGYSSQPGAKRPSNYPESYFQRVPINESFISMVIGRLRSDDIYNQVSAYPLPEHRSTALANQAAMLYVILYFEPSILHTHQAKMREIVDKYFPDNWVISIYMGITVNLVDAWEPYKAAKTALNNTLDLSNVREQASRYATVSERVHAQVQQFLKEGYLREEMVLDNIPKLLNCLRDCNVAIRWLMLHTADSACDPNNKRLRQIKDQILTDSRYNPRILFQLLLDTAQFEFILKEMFKQMLSEKQTKWEHYKKEGSERMTELADVFSGVKPLTRVEKNENLQAWFREISKQILSLNYDDSTAAGRKTVQLIQALEEVQEFHQLESNLQVCQFLADTRKFLHQMIRTINIKEEVLITMQIVGDLSFAWQLIDSFTSIMQESIRVNPSMVTKLRATFLKLASALDLPLLRINQANSPDLLSVSQYYSGELVSYVRKVLQIIPESMFTSLLKIIKLQTHDIIEVPTRLDKDKLRDYAQLGPRYEVAKLTHAISIFTEGILMMKTTLVGIIKVDPKQLLEDGIRKELVKRVAFALHRGLIFNPRAKPSELMPKLKELGATMDGFHRSFEYIQDYVNIYGLKIWQEEVSRIINYNVEQECNNFLRTKIQDWQSMYQSTHIPIPKFTPVDESVTFIGRLCREILRITDPKMTCHIDQLNTWYDMKTHQEVTSSRLFSEIQTTLGTFGLNGLDRLLCFMIVKELQNFLSMFQKIILRDRTVQDTLKTLMNAVSPLKSIVANSNKIYFSAIAKTQKIWTAYLEAIMKVGQMQILRQQIANELNYSCRFDSKHLAAALENLNKALLADIEAHYQDPSLPYPKEDNTLLYEITAYLEAAGIHNPLNKIYITTKRLPYFPIVNFLFLIAQLPKLQYNKNLGMVCRKPTDPVDWPPLVLGLLTLLKQFHSRYTEQFLALIGQFICSTVEQCTSQKIPEIPADVVGALLFLEDYVRYTKLPRRVAEAHVPNFIFDEFRTVL
+>DECOY_sp|Q12768|WASC5_HUMAN WASH complex subunit 5 OS=Homo sapiens OX=9606 GN=WASHC5 PE=1 SV=1
+LVTRFEDFIFNPVHAEAVRRPLKTYRVYDELFLLAGVVDAPIEPIKQSTCQEVTSCIFQGILALFQETYRSHFQKLLTLLGLVLPPWDVPDTPKRCVMGLNKNYQLKPLQAILFLFNVIPFYPLRKTTIYIKNLPNHIGAAELYATIEYLLTNDEKPYPLSPDQYHAEIDALLAKNLNELAAALHKSDFRCSYNLENAIQQRLIQMQGVKMIAELYATWIKQTKAIASFYIKNSNAVISKLPSVANMLTKLTDQVTRDRLIIKQFMSLFNQLEKVIMFCLLRDLGNLGFTGLTTQIESFLRSSTVEQHTKMDYWTNLQDIHCTMKPDTIRLIERCLRGIFTVSEDVPTFKPIPIHTSQYMSQWDQIKTRLFNNCEQEVNYNIIRSVEEQWIKLGYINVYDQIYEFSRHFGDMTAGLEKLKPMLESPKARPNFILGRHLAFAVRKVLEKRIGDELLQKPDVKIIGVLTTKMMLIGETFISIAHTLKAVEYRPGLQAYDRLKDKDLRTPVEIIDHTQLKIIKLLSTFMSEPIIQLVKRVYSVLEGSYYQSVSLLDPSNAQNIRLLPLDLASALKLFTARLKTVMSPNVRISEQMISTFSDILQWAFSLDGVIQMTILVEEKINITRIMQHLFKRTDALFQCVQLNSELQHFEQVEELAQILQVTKRGAATSDDYNLSLIQKSIERFWAQLNENKEVRTLPKVGSFVDALETMRESGEKKYHEWKTQKESLMQKFMEKLIFEFQATDLLLQFLIRPNYRSDTLIQDKIQRLRKNNPDCASDATHLMLWRIAVNCDRLCNLLKPINDLVMEERLYGEKLFQQVQAHVRESVTAYRSAQERVNSLDLTNNLATKAAKYPEWADVLNVTIGMYISIVWNDPFYKDVIERMKAQHTHLISPEFYLIVYLMAAQNALATSRHEPLPYASVQNYIDDSRLRGIVMSIFSENIPVRQFYSEPYNSPRKAGPQSSYGTSRLLKCIDDMNSDASSRAASYRYYSVLMRERVEGEIKQDIVLLMVGYLYLAECLLQKGDENLLVTELTQQIYVGENLDDLYRNLDVIYKHVSQFALYFRTVIEINNERFEEDLDQLEPKADLKSEWLEPGKFYSFDFIIDGYKQQDARDKLRFVAPIFESLRLLEAIIANGCSVIRLIAQGCLNNEALFDLM
+>sp|Q9Y6W5|WASF2_HUMAN Wiskott-Aldrich syndrome protein family member 2 OS=Homo sapiens OX=9606 GN=WASF2 PE=1 SV=3
+MPLVTRNIEPRHLCRQTLPSVRSELECVTNITLANVIRQLGSLSKYAEDIFGELFTQANTFASRVSSLAERVDRLQVKVTQLDPKEEEVSLQGINTRKAFRSSTIQDQKLFDRNSLPVPVLETYNTCDTPPPLNNLTPYRDDGKEALKFYTDPSYFFDLWKEKMLQDTKDIMKEKRKHRKEKKDNPNRGNVNPRKIKTRKEEWEKMKMGQEFVESKEKLGTSGYPPTLVYQNGSIGCVENVDASSYPPPPQSDSASSPSPSFSEDNLPPPPAEFSYPVDNQRGSGLAGPKRSSVVSPSHPPPAPPLGSPPGPKPGFAPPPAPPPPPPPMIGIPPPPPPVGFGSPGTPPPPSPPSFPPHPDFAAPPPPPPPPAADYPTLPPPPLSQPTGGAPPPPPPPPPPGPPPPPFTGADGQPAIPPPLSDTTKPKSSLPAVSDARSDLLSAIRQGFQLRRVEEQREQEKRDVVGNDVATILSRRIAVEYSDSEDDSSEFDEDDWSD
+>DECOY_sp|Q9Y6W5|WASF2_HUMAN Wiskott-Aldrich syndrome protein family member 2 OS=Homo sapiens OX=9606 GN=WASF2 PE=1 SV=3
+DSWDDEDFESSDDESDSYEVAIRRSLITAVDNGVVDRKEQERQEEVRRLQFGQRIASLLDSRADSVAPLSSKPKTTDSLPPPIAPQGDAGTFPPPPPGPPPPPPPPPPAGGTPQSLPPPPLTPYDAAPPPPPPPPAAFDPHPPFSPPSPPPPTGPSGFGVPPPPPPIGIMPPPPPPPAPPPAFGPKPGPPSGLPPAPPPHSPSVVSSRKPGALGSGRQNDVPYSFEAPPPPLNDESFSPSPSSASDSQPPPPYSSADVNEVCGISGNQYVLTPPYGSTGLKEKSEVFEQGMKMKEWEEKRTKIKRPNVNGRNPNDKKEKRHKRKEKMIDKTDQLMKEKWLDFFYSPDTYFKLAEKGDDRYPTLNNLPPPTDCTNYTELVPVPLSNRDFLKQDQITSSRFAKRTNIGQLSVEEEKPDLQTVKVQLRDVREALSSVRSAFTNAQTFLEGFIDEAYKSLSGLQRIVNALTINTVCELESRVSPLTQRCLHRPEINRTVLPM
+>sp|Q969T9|WBP2_HUMAN WW domain-binding protein 2 OS=Homo sapiens OX=9606 GN=WBP2 PE=1 SV=1
+MALNKNHSEGGGVIVNNTESILMSYDHVELTFNDMKNVPEAFKGTKKGTVYLTPYRVIFLSKGKDAMQSFMMPFYLMKDCEIKQPVFGANYIKGTVKAEAGGGWEGSASYKLTFTAGGAIEFGQRMLQVASQASRGEVPSGAYGYSYMPSGAYVYPPPVANGMYPCPPGYPYPPPPPEFYPGPPMMDGAMGYVQPPPPPYPGPMEPPVSGPDVPSTPAAEAKAAEAAASAYYNPGNPHNVYMPTSQPPPPPYYPPEDKKTQ
+>DECOY_sp|Q969T9|WBP2_HUMAN WW domain-binding protein 2 OS=Homo sapiens OX=9606 GN=WBP2 PE=1 SV=1
+QTKKDEPPYYPPPPPQSTPMYVNHPNGPNYYASAAAEAAKAEAAPTSPVDPGSVPPEMPGPYPPPPPQVYGMAGDMMPPGPYFEPPPPPYPYGPPCPYMGNAVPPPYVYAGSPMYSYGYAGSPVEGRSAQSAVQLMRQGFEIAGGATFTLKYSASGEWGGGAEAKVTGKIYNAGFVPQKIECDKMLYFPMMFSQMADKGKSLFIVRYPTLYVTGKKTGKFAEPVNKMDNFTLEVHDYSMLISETNNVIVGGGESHNKNLAM
+>sp|Q9H6R7|WDCP_HUMAN WD repeat and coiled-coil-containing protein OS=Homo sapiens OX=9606 GN=WDCP PE=1 SV=1
+MELGKGKLLRTGLNALHQAVHPIHGLAWTDGNQVVLTDLRLHSGEVKFGDSKVIGQFECVCGLSWAPPVADDTPVLLAVQHEKHVTVWQLCPSPMESSKWLTSQTCEIRGSLPILPQGCVWHPKCAILTVLTAQDVSIFPNVHSDDSQVKADINTQGRIHCACWTQDGLRLVVAVGSSLHSYIWDSAQKTLHRCSSCLVFDVDSHVCSITATVDSQVAIATELPLDKICGLNASETFNIPPNSKDMTPYALPVIGEVRSMDKEATDSETNSEVSVSSSYLEPLDLTHIHFNQHKSEGNSLICLRKKDYLTGTGQDSSHLVLVTFKKAVTMTRKVTIPGILVPDLIAFNLKAHVVAVASNTCNIILIYSVIPSSVPNIQQIRLENTERPKGICFLTDQLLLILVGKQKLTDTTFLPSSKSDQYAISLIVREIMLEEEPSITSGESQTTYSTFSAPLNKANRKKLIESLSPDFCHQNKGLLLTVNTSSQNGRPGRTLIKEIQSPLSSICDGSIALDAEPVTQPASLPRHSSTPDHTSTLEPPRLPQRKNLQSEKETYQLSKEVEILSRNLVEMQRCLSELTNRLHNGKKSSSVYPLSQDLPYVHIIYQKPYYLGPVVEKRAVLLCDGKLRLSTVQQTFGLSLIEMLHDSHWILLSADSEGFIPLTFTATQEIIIRDGSLSRSDVFRDSFSHSPGAVSSLKVFTGLAAPSLDTTGCCNHVDGMA
+>DECOY_sp|Q9H6R7|WDCP_HUMAN WD repeat and coiled-coil-containing protein OS=Homo sapiens OX=9606 GN=WDCP PE=1 SV=1
+AMGDVHNCCGTTDLSPAALGTFVKLSSVAGPSHSFSDRFVDSRSLSGDRIIIEQTATFTLPIFGESDASLLIWHSDHLMEILSLGFTQQVTSLRLKGDCLLVARKEVVPGLYYPKQYIIHVYPLDQSLPYVSSSKKGNHLRNTLESLCRQMEVLNRSLIEVEKSLQYTEKESQLNKRQPLRPPELTSTHDPTSSHRPLSAPQTVPEADLAISGDCISSLPSQIEKILTRGPRGNQSSTNVTLLLGKNQHCFDPSLSEILKKRNAKNLPASFTSYTTQSEGSTISPEEELMIERVILSIAYQDSKSSPLFTTDTLKQKGVLILLLQDTLFCIGKPRETNELRIQQINPVSSPIVSYILIINCTNSAVAVVHAKLNFAILDPVLIGPITVKRTMTVAKKFTVLVLHSSDQGTGTLYDKKRLCILSNGESKHQNFHIHTLDLPELYSSSVSVESNTESDTAEKDMSRVEGIVPLAYPTMDKSNPPINFTESANLGCIKDLPLETAIAVQSDVTATISCVHSDVDFVLCSSCRHLTKQASDWIYSHLSSGVAVVLRLGDQTWCACHIRGQTNIDAKVQSDDSHVNPFISVDQATLVTLIACKPHWVCGQPLIPLSGRIECTQSTLWKSSEMPSPCLQWVTVHKEHQVALLVPTDDAVPPAWSLGCVCEFQGIVKSDGFKVEGSHLRLDTLVVQNGDTWALGHIPHVAQHLANLGTRLLKGKGLEM
+>sp|Q96P53|WDFY2_HUMAN WD repeat and FYVE domain-containing protein 2 OS=Homo sapiens OX=9606 GN=WDFY2 PE=1 SV=2
+MAAEIQPKPLTRKPILLQRMEGSQEVVNMAVIVPKEEGVISVSEDRTVRVWLKRDSGQYWPSVYHAMPSPCSCMSFNPETRRLSIGLDNGTISEFILSEDYNKMTPVKNYQAHQSRVTMILFVLELEWVLSTGQDKQFAWHCSESGQRLGGYRTSAVASGLQFDVETRHVFIGDHSGQVTILKLEQENCTLVTTFRGHTGGVTALCWDPVQRVLFSGSSDHSVIMWDIGGRKGTAIELQGHNDRVQALSYAQHTRQLISCGGDGGIVVWNMDVERQETPEWLDSDSCQKCDQPFFWNFKQMWDSKKIGLRQHHCRKCGKAVCGKCSSKRSSIPLMGFEFEVRVCDSCHEAITDEERAPTATFHDSKHNIVHVHFDATRGWLLTSGTDKVIKLWDMTPVVS
+>DECOY_sp|Q96P53|WDFY2_HUMAN WD repeat and FYVE domain-containing protein 2 OS=Homo sapiens OX=9606 GN=WDFY2 PE=1 SV=2
+SVVPTMDWLKIVKDTGSTLLWGRTADFHVHVINHKSDHFTATPAREEDTIAEHCSDCVRVEFEFGMLPISSRKSSCKGCVAKGCKRCHHQRLGIKKSDWMQKFNWFFPQDCKQCSDSDLWEPTEQREVDMNWVVIGGDGGCSILQRTHQAYSLAQVRDNHGQLEIATGKRGGIDWMIVSHDSSGSFLVRQVPDWCLATVGGTHGRFTTVLTCNEQELKLITVQGSHDGIFVHRTEVDFQLGSAVASTRYGGLRQGSESCHWAFQKDQGTSLVWELELVFLIMTVRSQHAQYNKVPTMKNYDESLIFESITGNDLGISLRRTEPNFSMCSCPSPMAHYVSPWYQGSDRKLWVRVTRDESVSIVGEEKPVIVAMNVVEQSGEMRQLLIPKRTLPKPQIEAAM
+>sp|Q8IZQ1|WDFY3_HUMAN WD repeat and FYVE domain-containing protein 3 OS=Homo sapiens OX=9606 GN=WDFY3 PE=1 SV=2
+MNMVKRIMGRPRQEECSPQDNALGLMHLRRLFTELCHPPRHMTQKEQEEKLYMMLPVFNRVFGNAPPNTMTEKFSDLLQFTTQVSRLMVTEIRRRASNKSTEAASRAIVQFLEINQSEEASRGWMLLTTINLLASSGQKTVDCMTTMSVPSTLVKCLYLFFDLPHVPEAVGGAQNELPLAERRGLLQKVFVQILVKLCSFVSPAEELAQKDDLQLLFSAITSWCPPYNLPWRKSAGEVLMTISRHGLSVNVVKYIHEKECLSTCVQNMQQSDDLSPLEIVEMFAGLSCFLKDSSDVSQTLLDDFRIWQGYNFLCDLLLRLEQAKEAESKDALKDLVNLITSLTTYGVSELKPAGITTGAPFLLPGFAVPQPAGKGHSVRNVQAFAVLQNAFLKAKTSFLAQIILDAITNIYMADNANYFILESQHTLSQFAEKISKLPEVQNKYFEMLEFVVFSLNYIPCKELISVSILLKSSSSYHCSIIAMKTLLKFTRHDYIFKDVFREVGLLEVMVNLLHKYAALLKDPTQALNEQGDSRNNSSVEDQKHLALLVMETLTVLLQGSNTNAGIFREFGGARCAHNIVKYPQCRQHALMTIQQLVLSPNGDDDMGTLLGLMHSAPPTELQLKTDILRALLSVLRESHRSRTVFRKVGGFVYITSLLVAMERSLSCPPKNGWEKVNQNQVFELLHTVFCTLTAAMRYEPANSHFFKTEIQYEKLADAVRFLGCFSDLRKISAMNVFPSNTQPFQRLLEEDVISIESVSPTLRHCSKLFIYLYKVATDSFDSRAEQIPPCLTSESSLPSPWGTPALSRKRHAYHSVSTPPVYPPKNVADLKLHVTTSSLQSSDAVIIHPGAMLAMLDLLASVGSVTQPEHALDLQLAVANILQSLVHTERNQQVMCEAGLHARLLQRCSAALADEDHSLHPPLQRMFERLASQALEPMVLREFLRLASPLNCGAWDKKLLKQYRVHKPSSLSYEPEMRSSMITSLEGLGTDNVFSLHEDNHYRISKSLVKSAEGSTVPLTRVKCLVSMTTPHDIRLHGSSVTPAFVEFDTSLEGFGCLFLPSLAPHNAPTNNTVTTGLIDGAVVSGIGSGERFFPPPSGLSYSSWFCIEHFSSPPNNHPVRLLTVVRRANSSEQHYVCLAIVLSAKDRSLIVSTKEELLQNYVDDFSEESSFYEILPCCARFRCGELIIEGQWHHLVLVMSKGMLKNSTAALYIDGQLVNTVKLHYVHSTPGGSGSANPPVVSTVYAYIGTPPAQRQIASLVWRLGPTHFLEEVLPSSNVTTIYELGPNYVGSFQAVCMPCKDAKSEGVVPSPVSLVPEEKVSFGLYALSVSSLTVARIRKVYNKLDSKAIAKQLGISSHENATPVKLIHNSAGHLNGSARTIGAALIGYLGVRTFVPKPVATTLQYVGGAAAILGLVAMASDVEGLYAAVKALVCVVKSNPLASKEMERIKGYQLLAMLLKKKRSLLNSHILHLTFSLVGTVDSGHETSIIPNSTAFQDLLCDFEVWLHAPYELHLSLFEHFIELLTESSEASKNAKLMREFQLIPKLLLTLRDMSLSQPTIAAISNVLSFLLQGFPSSNDLLRFGQFISSTLPTFAVCEKFVVMEINNEEKLDTGTEEEFGGLVSANLILLRNRLLDILLKLIYTSKEKTSINLQACEELVKTLGFDWIMMFMEEHLHSTTVTAAMRILVVLLSNQSILIKFKEGLSGGGWLEQTDSVLTNKIGTVLGFNVGRSAGGRSTVREINRDACHFPGFPVLQSFLPKHTNVPALYFLLMALFLQQPVSELPENLQVSVPVISCRSKQGCQFDLDSIWTFIFGVPASSGTVVSSIHNVCTEAVFLLLGMLRSMLTSPWQSEEEGSWLREYPVTLMQFFRYLYHNVPDLASMWMSPDFLCALAATVFPFNIRPYSEMVTDLDDEVGSPAEEFKAFAADTGMNRSQSEYCNVGTKTYLTNHPAKKFVFDFMRVLIIDNLCLTPASKQTPLIDLLLEASPERSTRTQQKEFQTYILDSVMDHLLAADVLLGEDASLPITSGGSYQVLVNNVFYFTQRVVDKLWQGMFNKESKLLIDFIIQLIAQSKRRSQGLSLDAVYHCLNRTILYQFSRAHKTVPQQVALLDSLRVLTVNRNLILGPGNHDQEFISCLAHCLINLHVGSNVDGFGLEAEARMTTWHIMIPSDIEPDGSYSQDISEGRQLLIKAVNRVWTELIHSKKQVLEELFKVTLPVNERGHVDIATARPLIEEAALKCWQNHLAHEKKCISRGEALAPTTQSKLSRVSSGFGLSKLTGSRRNRKESGLNKHSLSTQEISQWMFTHIAVVRDLVDTQYKEYQERQQNALKYVTEEWCQIECELLRERGLWGPPIGSHLDKWMLEMTEGPCRMRKKMVRNDMFYNHYPYVPETEQETNVASEIPSKQPETPDDIPQKKPARYRRAVSYDSKEYYMRLASGNPAIVQDAIVESSEGEAAQQEPEHGEDTIAKVKGLVKPPLKRSRSAPDGGDEENQEQLQDQIAEGSSIEEEEKTDNATLLRLLEEGEKIQHMYRCARVQGLDTSEGLLLFGKEHFYVIDGFTMTATREIRDIETLPPNMHEPIIPRGARQGPSQLKRTCSIFAYEDIKEVHKRRYLLQPIAVEVFSGDGRNYLLAFQKGIRNKVYQRFLAVVPSLTDSSESVSGQRPNTSVEQGSGLLSTLVGEKSVTQRWERGEISNFQYLMHLNTLAGRSYNDLMQYPVFPWILADYDSEEVDLTNPKTFRNLAKPMGAQTDERLAQYKKRYKDWEDPNGETPAYHYGTHYSSAMIVASYLVRMEPFTQIFLRLQGGHFDLADRMFHSVREAWYSASKHNMADVKELIPEFFYLPEFLFNSNNFDLGCKQNGTKLGDVILPPWAKGDPREFIRVHREALECDYVSAHLHEWIDLIFGYKQQGPAAVEAVNVFHHLFYEGQVDIYNINDPLKETATIGFINNFGQIPKQLFKKPHPPKRVRSRLNGDNAGISVLPGSTSDKIFFHHLDNLRPSLTPVKELKEPVGQIVCTDKGILAVEQNKVLIPPTWNKTFAWGYADLSCRLGTYESDKAMTVYECLSEWGQILCAICPNPKLVITGGTSTVVCVWEMGTSKEKAKTVTLKQALLGHTDTVTCATASLAYHIIVSGSRDRTCIIWDLNKLSFLTQLRGHRAPVSALCINELTGDIVSCAGTYIHVWSINGNPIVSVNTFTGRSQQIICCCMSEMNEWDTQNVIVTGHSDGVVRFWRMEFLQVPETPAPEPAEVLEMQEDCPEAQIGQEAQDEDSSDSEADEQSISQDPKDTPSQPSSTSHRPRAASCRATAAWCTDSGSDDSRRWSDQLSLDEKDGFIFVNYSEGQTRAHLQGPLSHPHPNPIEVRNYSRLKPGYRWERQLVFRSKLTMHTAFDRKDNAHPAEVTALGISKDHSRILVGDSRGRVFSWSVSDQPGRSAADHWVKDEGGDSCSGCSVRFSLTERRHHCRNCGQLFCQKCSRFQSEIKRLKISSPVRVCQNCYYNLQHERGSEDGPRNC
+>DECOY_sp|Q8IZQ1|WDFY3_HUMAN WD repeat and FYVE domain-containing protein 3 OS=Homo sapiens OX=9606 GN=WDFY3 PE=1 SV=2
+CNRPGDESGREHQLNYYCNQCVRVPSSIKLRKIESQFRSCKQCFLQGCNRCHHRRETLSFRVSCGSCSDGGEDKVWHDAASRGPQDSVSWSFVRGRSDGVLIRSHDKSIGLATVEAPHANDKRDFATHMTLKSRFVLQREWRYGPKLRSYNRVEIPNPHPHSLPGQLHARTQGESYNVFIFGDKEDLSLQDSWRRSDDSGSDTCWAATARCSAARPRHSTSSPQSPTDKPDQSISQEDAESDSSDEDQAEQGIQAEPCDEQMELVEAPEPAPTEPVQLFEMRWFRVVGDSHGTVIVNQTDWENMESMCCCIIQQSRGTFTNVSVIPNGNISWVHIYTGACSVIDGTLENICLASVPARHGRLQTLFSLKNLDWIICTRDRSGSVIIHYALSATACTVTDTHGLLAQKLTVTKAKEKSTGMEWVCVVTSTGGTIVLKPNPCIACLIQGWESLCEYVTMAKDSEYTGLRCSLDAYGWAFTKNWTPPILVKNQEVALIGKDTCVIQGVPEKLEKVPTLSPRLNDLHHFFIKDSTSGPLVSIGANDGNLRSRVRKPPHPKKFLQKPIQGFNNIFGITATEKLPDNINYIDVQGEYFLHHFVNVAEVAAPGQQKYGFILDIWEHLHASVYDCELAERHVRIFERPDGKAWPPLIVDGLKTGNQKCGLDFNNSNFLFEPLYFFEPILEKVDAMNHKSASYWAERVSHFMRDALDFHGGQLRLFIQTFPEMRVLYSAVIMASSYHTGYHYAPTEGNPDEWDKYRKKYQALREDTQAGMPKALNRFTKPNTLDVEESDYDALIWPFVPYQMLDNYSRGALTNLHMLYQFNSIEGREWRQTVSKEGVLTSLLGSGQEVSTNPRQGSVSESSDTLSPVVALFRQYVKNRIGKQFALLYNRGDGSFVEVAIPQLLYRRKHVEKIDEYAFISCTRKLQSPGQRAGRPIIPEHMNPPLTEIDRIERTATMTFGDIVYFHEKGFLLLGESTDLGQVRACRYMHQIKEGEELLRLLTANDTKEEEEISSGEAIQDQLQEQNEEDGGDPASRSRKLPPKVLGKVKAITDEGHEPEQQAAEGESSEVIADQVIAPNGSALRMYYEKSDYSVARRYRAPKKQPIDDPTEPQKSPIESAVNTEQETEPVYPYHNYFMDNRVMKKRMRCPGETMELMWKDLHSGIPPGWLGRERLLECEIQCWEETVYKLANQQREQYEKYQTDVLDRVVAIHTFMWQSIEQTSLSHKNLGSEKRNRRSGTLKSLGFGSSVRSLKSQTTPALAEGRSICKKEHALHNQWCKLAAEEILPRATAIDVHGRENVPLTVKFLEELVQKKSHILETWVRNVAKILLQRGESIDQSYSGDPEIDSPIMIHWTTMRAEAELGFGDVNSGVHLNILCHALCSIFEQDHNGPGLILNRNVTLVRLSDLLAVQQPVTKHARSFQYLITRNLCHYVADLSLGQSRRKSQAILQIIFDILLKSEKNFMGQWLKDVVRQTFYFVNNVLVQYSGGSTIPLSADEGLLVDAALLHDMVSDLIYTQFEKQQTRTSREPSAELLLDILPTQKSAPTLCLNDIILVRMFDFVFKKAPHNTLYTKTGVNCYESQSRNMGTDAAFAKFEEAPSGVEDDLDTVMESYPRINFPFVTAALACLFDPSMWMSALDPVNHYLYRFFQMLTVPYERLWSGEEESQWPSTLMSRLMGLLLFVAETCVNHISSVVTGSSAPVGFIFTWISDLDFQCGQKSRCSIVPVSVQLNEPLESVPQQLFLAMLLFYLAPVNTHKPLFSQLVPFGPFHCADRNIERVTSRGGASRGVNFGLVTGIKNTLVSDTQELWGGGSLGEKFKILISQNSLLVVLIRMAATVTTSHLHEEMFMMIWDFGLTKVLEECAQLNISTKEKSTYILKLLIDLLRNRLLILNASVLGGFEEETGTDLKEENNIEMVVFKECVAFTPLTSSIFQGFRLLDNSSPFGQLLFSLVNSIAAITPQSLSMDRLTLLLKPILQFERMLKANKSAESSETLLEIFHEFLSLHLEYPAHLWVEFDCLLDQFATSNPIISTEHGSDVTGVLSFTLHLIHSNLLSRKKKLLMALLQYGKIREMEKSALPNSKVVCVLAKVAAYLGEVDSAMAVLGLIAAAGGVYQLTTAVPKPVFTRVGLYGILAAGITRASGNLHGASNHILKVPTANEHSSIGLQKAIAKSDLKNYVKRIRAVTLSSVSLAYLGFSVKEEPVLSVPSPVVGESKADKCPMCVAQFSGVYNPGLEYITTVNSSPLVEELFHTPGLRWVLSAIQRQAPPTGIYAYVTSVVPPNASGSGGPTSHVYHLKVTNVLQGDIYLAATSNKLMGKSMVLVLHHWQGEIILEGCRFRACCPLIEYFSSEESFDDVYNQLLEEKTSVILSRDKASLVIALCVYHQESSNARRVVTLLRVPHNNPPSSFHEICFWSSYSLGSPPPFFREGSGIGSVVAGDILGTTVTNNTPANHPALSPLFLCGFGELSTDFEVFAPTVSSGHLRIDHPTTMSVLCKVRTLPVTSGEASKVLSKSIRYHNDEHLSFVNDTGLGELSTIMSSRMEPEYSLSSPKHVRYQKLLKKDWAGCNLPSALRLFERLVMPELAQSALREFMRQLPPHLSHDEDALAASCRQLLRAHLGAECMVQQNRETHVLSQLINAVALQLDLAHEPQTVSGVSALLDLMALMAGPHIIVADSSQLSSTTVHLKLDAVNKPPYVPPTSVSHYAHRKRSLAPTGWPSPLSSESTLCPPIQEARSDFSDTAVKYLYIFLKSCHRLTPSVSEISIVDEELLRQFPQTNSPFVNMASIKRLDSFCGLFRVADALKEYQIETKFFHSNAPEYRMAATLTCFVTHLLEFVQNQNVKEWGNKPPCSLSREMAVLLSTIYVFGGVKRFVTRSRHSERLVSLLARLIDTKLQLETPPASHMLGLLTGMDDDGNPSLVLQQITMLAHQRCQPYKVINHACRAGGFERFIGANTNSGQLLVTLTEMVLLALHKQDEVSSNNRSDGQENLAQTPDKLLAAYKHLLNVMVELLGVERFVDKFIYDHRTFKLLTKMAIISCHYSSSSKLLISVSILEKCPIYNLSFVVFELMEFYKNQVEPLKSIKEAFQSLTHQSELIFYNANDAMYINTIADLIIQALFSTKAKLFANQLVAFAQVNRVSHGKGAPQPVAFGPLLFPAGTTIGAPKLESVGYTTLSTILNVLDKLADKSEAEKAQELRLLLDCLFNYGQWIRFDDLLTQSVDSSDKLFCSLGAFMEVIELPSLDDSQQMNQVCTSLCEKEHIYKVVNVSLGHRSITMLVEGASKRWPLNYPPCWSTIASFLLQLDDKQALEEAPSVFSCLKVLIQVFVKQLLGRREALPLENQAGGVAEPVHPLDFFLYLCKVLTSPVSMTTMCDVTKQGSSALLNITTLLMWGRSAEESQNIELFQVIARSAAETSKNSARRRIETVMLRSVQTTFQLLDSFKETMTNPPANGFVRNFVPLMMYLKEEQEKQTMHRPPHCLETFLRRLHMLGLANDQPSCEEQRPRGMIRKVMNM
+>sp|Q9BZH6|WDR11_HUMAN WD repeat-containing protein 11 OS=Homo sapiens OX=9606 GN=WDR11 PE=1 SV=1
+MLPYTVNFKVSARTLTGALNAHNKAAVDWGWQGLIAYGCHSLVVVIDSITAQTLQVLEKHKADVVKVKWARENYHHNIGSPYCLRLASADVNGKIIVWDVAAGVAQCEIQEHAKPIQDVQWLWNQDASRDLLLAIHPPNYIVLWNADTGTKLWKKSYADNILSFSFDPFDPSHLTLLTSEGIVFISDFSPSKPPSGPGKKVYISSPHSSPAHNKLATATGAKKALNKVKILITQEKPSAEFITLNDCLQLAYLPSKRNHMLLLYPREILILDLEVNQTVGVIAIERTGVPFLQVIPCFQRDGLFCLHENGCITLRVRRSYNNIFTTSNEEPDPDPVQELTYDLRSQCDAIRVTKTVRPFSMVCCPVNENAAALVVSDGRVMIWELKSAVCNRNSRNSSSGVSPLYSPVSFCGIPVGVLQNKLPDLSLDNMIGQSAIAGEEHPRGSILREVHLKFLLTGLLSGLPAPQFAIRMCPPLTTKNIKMYQPLLAVGTSNGSVLVYHLTSGLLHKELSIHSCEVKGIEWTSLTSFLSFATSTPNNMGLVRNELQLVDLPTGRSIAFRGERGNDESAIEMIKVSHLKQYLAVVFRDKPLELWDVRTCTLLREMSKNFPTITALEWSPSHNLKSLRKKQLATREAMARQTVVSDTELSIVESSVISLLQEAESKSELSQNISAREHFVFTDIDGQVYHLTVEGNSVKDSARIPPDGSMGSITCIAWKGDTLVLGDMDGNLNFWDLKGRVSRGIPTHRSWVRKIRFAPGKGNQKLIAMYNDGAEVWDTKEVQMVSSLRSGRNVTFRILDVDWCTSDKVILASDDGCIRVLEMSMKSACFRMDEQELTEPVWCPYLLVPRASLALKAFLLHQPWNGQYSLDISHVDYPENEEIKNLLQEQLNSLSNDIKKLLLDPEFTLLQRCLLVSRLYGDESELHFWTVAAHYLHSLSQEKSASTTAPKEAAPRDKLSNPLDICYDVLCENAYFQKFQLERVNLQEVKRSTYDHTRKCTDQLLLLGQTDRAVQLLLETSADNQHYYCDSLKACLVTTVTSSGPSQSTIKLVATNMIANGKLAEGVQLLCLIDKAADACRYLQTYGEWNRAAWLAKVRLNPEECADVLRRWVDHLCSPQVNQKSKALLVLLSLGCFFSVAETLHSMRYFDRAALFVEACLKYGAFEVTEDTEKLITAIYADYARSLKNLGFKQGAVLFASKAGAAGKDLLNELESPKEEPIEE
+>DECOY_sp|Q9BZH6|WDR11_HUMAN WD repeat-containing protein 11 OS=Homo sapiens OX=9606 GN=WDR11 PE=1 SV=1
+EEIPEEKPSELENLLDKGAAGAKSAFLVAGQKFGLNKLSRAYDAYIATILKETDETVEFAGYKLCAEVFLAARDFYRMSHLTEAVSFFCGLSLLVLLAKSKQNVQPSCLHDVWRRLVDACEEPNLRVKALWAARNWEGYTQLYRCADAAKDILCLLQVGEALKGNAIMNTAVLKITSQSPGSSTVTTVLCAKLSDCYYHQNDASTELLLQVARDTQGLLLLQDTCKRTHDYTSRKVEQLNVRELQFKQFYANECLVDYCIDLPNSLKDRPAAEKPATTSASKEQSLSHLYHAAVTWFHLESEDGYLRSVLLCRQLLTFEPDLLLKKIDNSLSNLQEQLLNKIEENEPYDVHSIDLSYQGNWPQHLLFAKLALSARPVLLYPCWVPETLEQEDMRFCASKMSMELVRICGDDSALIVKDSTCWDVDLIRFTVNRGSRLSSVMQVEKTDWVEAGDNYMAILKQNGKGPAFRIKRVWSRHTPIGRSVRGKLDWFNLNGDMDGLVLTDGKWAICTISGMSGDPPIRASDKVSNGEVTLHYVQGDIDTFVFHERASINQSLESKSEAEQLLSIVSSEVISLETDSVVTQRAMAERTALQKKRLSKLNHSPSWELATITPFNKSMERLLTCTRVDWLELPKDRFVVALYQKLHSVKIMEIASEDNGREGRFAISRGTPLDVLQLENRVLGMNNPTSTAFSLFSTLSTWEIGKVECSHISLEKHLLGSTLHYVLVSGNSTGVALLPQYMKINKTTLPPCMRIAFQPAPLGSLLGTLLFKLHVERLISGRPHEEGAIASQGIMNDLSLDPLKNQLVGVPIGCFSVPSYLPSVGSSSNRSNRNCVASKLEWIMVRGDSVVLAAANENVPCCVMSFPRVTKTVRIADCQSRLDYTLEQVPDPDPEENSTTFINNYSRRVRLTICGNEHLCFLGDRQFCPIVQLFPVGTREIAIVGVTQNVELDLILIERPYLLLMHNRKSPLYALQLCDNLTIFEASPKEQTILIKVKNLAKKAGTATALKNHAPSSHPSSIYVKKGPGSPPKSPSFDSIFVIGESTLLTLHSPDFPDFSFSLINDAYSKKWLKTGTDANWLVIYNPPHIALLLDRSADQNWLWQVDQIPKAHEQIECQAVGAAVDWVIIKGNVDASALRLCYPSGINHHYNERAWKVKVVDAKHKELVQLTQATISDIVVVLSHCGYAILGQWGWDVAAKNHANLAGTLTRASVKFNVTYPLM
+>sp|Q9GZL7|WDR12_HUMAN Ribosome biogenesis protein WDR12 OS=Homo sapiens OX=9606 GN=WDR12 PE=1 SV=2
+MAQLQTRFYTDNKKYAVDDVPFSIPAASEIADLSNIINKLLKDKNEFHKHVEFDFLIKGQFLRMPLDKHMEMENISSEEVVEIEYVEKYTAPQPEQCMFHDDWISSIKGAEEWILTGSYDKTSRIWSLEGKSIMTIVGHTDVVKDVAWVKKDSLSCLLLSASMDQTILLWEWNVERNKVKALHCCRGHAGSVDSIAVDGSGTKFCSGSWDKMLKIWSTVPTDEEDEMEESTNRPRKKQKTEQLGLTRTPIVTLSGHMEAVSSVLWSDAEEICSASWDHTIRVWDVESGSLKSTLTGNKVFNCISYSPLCKRLASGSTDRHIRLWDPRTKDGSLVSLSLTSHTGWVTSVKWSPTHEQQLISGSLDNIVKLWDTRSCKAPLYDLAAHEDKVLSVDWTDTGLLLSGGADNKLYSYRYSPTTSHVGA
+>DECOY_sp|Q9GZL7|WDR12_HUMAN Ribosome biogenesis protein WDR12 OS=Homo sapiens OX=9606 GN=WDR12 PE=1 SV=2
+AGVHSTTPSYRYSYLKNDAGGSLLLGTDTWDVSLVKDEHAALDYLPAKCSRTDWLKVINDLSGSILQQEHTPSWKVSTVWGTHSTLSLSVLSGDKTRPDWLRIHRDTSGSALRKCLPSYSICNFVKNGTLTSKLSGSEVDWVRITHDWSASCIEEADSWLVSSVAEMHGSLTVIPTRTLGLQETKQKKRPRNTSEEMEDEEDTPVTSWIKLMKDWSGSCFKTGSGDVAISDVSGAHGRCCHLAKVKNREVNWEWLLITQDMSASLLLCSLSDKKVWAVDKVVDTHGVITMISKGELSWIRSTKDYSGTLIWEEAGKISSIWDDHFMCQEPQPATYKEVYEIEVVEESSINEMEMHKDLPMRLFQGKILFDFEVHKHFENKDKLLKNIINSLDAIESAAPISFPVDDVAYKKNDTYFRTQLQAM
+>sp|Q9BV38|WDR18_HUMAN WD repeat-containing protein 18 OS=Homo sapiens OX=9606 GN=WDR18 PE=1 SV=2
+MAAPMEVAVCTDSAAPMWSCIVWELHSGANLLTYRGGQAGPRGLALLNGEYLLAAQLGKNYISAWELQRKDQLQQKIMCPGPVTCLTASPNGLYVLAGVAESIHLWEVSTGNLLVILSRHYQDVSCLQFTGDSSHFISGGKDCLVLVWSLCSVLQADPSRIPAPRHVWSHHALPITDLHCGFGGPLARVATSSLDQTVKLWEVSSGELLLSVLFDVSIMAVTMDLAEHHMFCGGSEGSIFQVDLFTWPGQRERSFHPEQDAGKVFKGHRNQVTCLSVSTDGSVLLSGSHDETVRLWDVQSKQCIRTVALKGPVTNAAILLAPVSMLSSDFRPSLPLPHFNKHLLGAEHGDEPRHGGLTLRLGLHQQGSEPSYLDRTEQLQAVLCSTMEKSVLGGQDQLRVRVTELEDEVRNLRKINRDLFDFSTRFITRPAK
+>DECOY_sp|Q9BV38|WDR18_HUMAN WD repeat-containing protein 18 OS=Homo sapiens OX=9606 GN=WDR18 PE=1 SV=2
+KAPRTIFRTSFDFLDRNIKRLNRVEDELETVRVRLQDQGGLVSKEMTSCLVAQLQETRDLYSPESGQQHLGLRLTLGGHRPEDGHEAGLLHKNFHPLPLSPRFDSSLMSVPALLIAANTVPGKLAVTRICQKSQVDWLRVTEDHSGSLLVSGDTSVSLCTVQNRHGKFVKGADQEPHFSRERQGPWTFLDVQFISGESGGCFMHHEALDMTVAMISVDFLVSLLLEGSSVEWLKVTQDLSSTAVRALPGGFGCHLDTIPLAHHSWVHRPAPIRSPDAQLVSCLSWVLVLCDKGGSIFHSSDGTFQLCSVDQYHRSLIVLLNGTSVEWLHISEAVGALVYLGNPSATLCTVPGPCMIKQQLQDKRQLEWASIYNKGLQAALLYEGNLLALGRPGAQGGRYTLLNAGSHLEWVICSWMPAASDTCVAVEMPAAM
+>sp|Q8TBZ3|WDR20_HUMAN WD repeat-containing protein 20 OS=Homo sapiens OX=9606 GN=WDR20 PE=1 SV=2
+MATEGGGKEMNEIKTQFTTREGLYKLLPHSEYSRPNRVPFNSQGSNPVRVSFVNLNDQSGNGDRLCFNVGRELYFYIYKGVRKAADLSKPIDKRIYKGTQPTCHDFNHLTATAESVSLLVGFSAGQVQLIDPIKKETSKLFNEERLIDKSRVTCVKWVPGSESLFLVAHSSGNMYLYNVEHTCGTTAPHYQLLKQGESFAVHTCKSKSTRNPLLKWTVGEGALNEFAFSPDGKFLACVSQDGFLRVFNFDSVELHGTMKSYFGGLLCVCWSPDGKYIVTGGEDDLVTVWSFVDCRVIARGHGHKSWVSVVAFDPYTTSVEEGDPMEFSGSDEDFQDLLHFGRDRANSTQSRLSKRNSTDSRPVSVTYRFGSVGQDTQLCLWDLTEDILFPHQPLSRARTHTNVMNATSPPAGSNGNSVTTPGNSVPPPLPRSNSLPHSAVSNAGSKSSVMDGAIASGVSKFATLSLHDRKERHHEKDHKRNHSMGHISSKSSDKLNLVTKTKTDPAKTLGTPLCPRMEDVPLLEPLICKKIAHERLTVLIFLEDCIVTACQEGFICTWGRPGKVVSFNP
+>DECOY_sp|Q8TBZ3|WDR20_HUMAN WD repeat-containing protein 20 OS=Homo sapiens OX=9606 GN=WDR20 PE=1 SV=2
+PNFSVVKGPRGWTCIFGEQCATVICDELFILVTLREHAIKKCILPELLPVDEMRPCLPTGLTKAPDTKTKTVLNLKDSSKSSIHGMSHNRKHDKEHHREKRDHLSLTAFKSVGSAIAGDMVSSKSGANSVASHPLSNSRPLPPPVSNGPTTVSNGNSGAPPSTANMVNTHTRARSLPQHPFLIDETLDWLCLQTDQGVSGFRYTVSVPRSDTSNRKSLRSQTSNARDRGFHLLDQFDEDSGSFEMPDGEEVSTTYPDFAVVSVWSKHGHGRAIVRCDVFSWVTVLDDEGGTVIYKGDPSWCVCLLGGFYSKMTGHLEVSDFNFVRLFGDQSVCALFKGDPSFAFENLAGEGVTWKLLPNRTSKSKCTHVAFSEGQKLLQYHPATTGCTHEVNYLYMNGSSHAVLFLSESGPVWKVCTVRSKDILREENFLKSTEKKIPDILQVQGASFGVLLSVSEATATLHNFDHCTPQTGKYIRKDIPKSLDAAKRVGKYIYFYLERGVNFCLRDGNGSQDNLNVFSVRVPNSGQSNFPVRNPRSYESHPLLKYLGERTTFQTKIENMEKGGGETAM
+>sp|Q9P2L0|WDR35_HUMAN WD repeat-containing protein 35 OS=Homo sapiens OX=9606 GN=WDR35 PE=1 SV=3
+MFFYLSKKISIPNNVKLQCVSWNKEQGFIACGGEDGLLKVLKLETQTDDAKLRGLAAPSNLSMNQTLEGHSGSVQVVTWNEQYQKLTTSDENGLIIVWMLYKGSWIEEMINNRNKSVVRSMSWNADGQKICIVYEDGAVIVGSVDGNRIWGKDLKGIQLSHVTWSADSKVLLFGMANGEIHIYDNQGNFMIKMKLSCLVNVTGAISIAGIHWYHGTEGYVEPDCPCLAVCFDNGRCQIMRHENDQNPVLIDTGMYVVGIQWNHMGSVLAVAGFQKAAMQDKDVNIVQFYTPFGEHLGTLKVPGKEISALSWEGGGLKIALAVDSFIYFANIRPNYKWGYCSNTVVYAYTRPDRPEYCVVFWDTKNNEKYVKYVKGLISITTCGDFCILATKADENHPQEENEMETFGATFVLVLCNSIGTPLDPKYIDIVPLFVAMTKTHVIAASKEAFYTWQYRVAKKLTALEINQITRSRKEGRERIYHVDDTPSGSMDGVLDYSKTIQGTRDPICAITASDKILIVGRESGTIQRYSLPNVGLIQKYSLNCRAYQLSLNCNSSRLAIIDISGVLTFFDLDARVTDSTGQQVVGELLKLERRDVWDMKWAKDNPDLFAMMEKTRMYVFRNLDPEEPIQTSGYICNFEDLEIKSVLLDEILKDPEHPNKDYLINFEIRSLRDSRALIEKVGIKDASQFIEDNPHPRLWRLLAEAALQKLDLYTAEQAFVRCKDYQGIKFVKRLGKLLSESMKQAEVVGYFGRFEEAERTYLEMDRRDLAIGLRLKLGDWFRVLQLLKTGSGDADDSLLEQANNAIGDYFADRQKWLNAVQYYVQGRNQERLAECYYMLEDYEGLENLAISLPENHKLLPEIAQMFVRVGMCEQAVTAFLKCSQPKAAVDTCVHLNQWNKAVELAKNHSMKEIGSLLARYASHLLEKNKTLDAIELYRKANYFFDAAKLMFKIADEEAKKGSKPLRVKKLYVLSALLIEQYHEQMKNAQRGKVKGKSSEATSALAGLLEEEVLSTTDRFTDNAWRGAEAYHFFILAQRQLYEGCVDTALKTALHLKDYEDIIPPVEIYSLLALCACASRAFGTCSKAFIKLKSLETLSSEQKQQYEDLALEIFTKHTSKDNRKPELDSLMEGGEGKLPTCVATGSPITEYQFWMCSVCKHGVLAQEISHYSFCPLCHSPVG
+>DECOY_sp|Q9P2L0|WDR35_HUMAN WD repeat-containing protein 35 OS=Homo sapiens OX=9606 GN=WDR35 PE=1 SV=3
+GVPSHCLPCFSYHSIEQALVGHKCVSCMWFQYETIPSGTAVCTPLKGEGGEMLSDLEPKRNDKSTHKTFIELALDEYQQKQESSLTELSKLKIFAKSCTGFARSACACLALLSYIEVPPIIDEYDKLHLATKLATDVCGEYLQRQALIFFHYAEAGRWANDTFRDTTSLVEEELLGALASTAESSKGKVKGRQANKMQEHYQEILLASLVYLKKVRLPKSGKKAEEDAIKFMLKAADFFYNAKRYLEIADLTKNKELLHSAYRALLSGIEKMSHNKALEVAKNWQNLHVCTDVAAKPQSCKLFATVAQECMGVRVFMQAIEPLLKHNEPLSIALNELGEYDELMYYCEALREQNRGQVYYQVANLWKQRDAFYDGIANNAQELLSDDADGSGTKLLQLVRFWDGLKLRLGIALDRRDMELYTREAEEFRGFYGVVEAQKMSESLLKGLRKVFKIGQYDKCRVFAQEATYLDLKQLAAEALLRWLRPHPNDEIFQSADKIGVKEILARSDRLSRIEFNILYDKNPHEPDKLIEDLLVSKIELDEFNCIYGSTQIPEEPDLNRFVYMRTKEMMAFLDPNDKAWKMDWVDRRELKLLEGVVQQGTSDTVRADLDFFTLVGSIDIIALRSSNCNLSLQYARCNLSYKQILGVNPLSYRQITGSERGVILIKDSATIACIPDRTGQITKSYDLVGDMSGSPTDDVHYIRERGEKRSRTIQNIELATLKKAVRYQWTYFAEKSAAIVHTKTMAVFLPVIDIYKPDLPTGISNCLVLVFTAGFTEMENEEQPHNEDAKTALICFDGCTTISILGKVYKVYKENNKTDWFVVCYEPRDPRTYAYVVTNSCYGWKYNPRINAFYIFSDVALAIKLGGGEWSLASIEKGPVKLTGLHEGFPTYFQVINVDKDQMAAKQFGAVALVSGMHNWQIGVVYMGTDILVPNQDNEHRMIQCRGNDFCVALCPCDPEVYGETGHYWHIGAISIAGTVNVLCSLKMKIMFNGQNDYIHIEGNAMGFLLVKSDASWTVHSLQIGKLDKGWIRNGDVSGVIVAGDEYVICIKQGDANWSMSRVVSKNRNNIMEEIWSGKYLMWVIILGNEDSTTLKQYQENWTVVQVSGSHGELTQNMSLNSPAALGRLKADDTQTELKLVKLLGDEGGCAIFGQEKNWSVCQLKVNNPISIKKSLYFFM
+>sp|Q9Y2I8|WDR37_HUMAN WD repeat-containing protein 37 OS=Homo sapiens OX=9606 GN=WDR37 PE=1 SV=2
+MPTESASCSTARQTKQKRKSHSLSIRRTNSSEQERTGLPRDMLEGQDSKLPSSVRSTLLELFGQIEREFENLYIENLELRREIDTLNERLAAEGQAIDGAELSKGQLKTKASHSTSQLSQKLKTTYKASTSKIVSSFKTTTSRAACQLVKEYIGHRDGIWDVSVAKTQPVVLGTASADHTALLWSIETGKCLVKYAGHVGSVNSIKFHPSEQLALTASGDQTAHIWRYAVQLPTPQPVADTSISGEDEVECSDKDEPDLDGDVSSDCPTIRVPLTSLKSHQGVVIASDWLVGGKQAVTASWDRTANLYDVETSELVHSLTGHDQELTHCCTHPTQRLVVTSSRDTTFRLWDFRDPSIHSVNVFQGHTDTVTSAVFTVGDNVVSGSDDRTVKVWDLKNMRSPIATIRTDSAINRINVCVGQKIIALPHDNRQVRLFDMSGVRLARLPRSSRQGHRRMVCCSAWSEDHPVCNLFTCGFDRQAIGWNINIPALLQEK
+>DECOY_sp|Q9Y2I8|WDR37_HUMAN WD repeat-containing protein 37 OS=Homo sapiens OX=9606 GN=WDR37 PE=1 SV=2
+KEQLLAPININWGIAQRDFGCTFLNCVPHDESWASCCVMRRHGQRSSRPLRALRVGSMDFLRVQRNDHPLAIIKQGVCVNIRNIASDTRITAIPSRMNKLDWVKVTRDDSGSVVNDGVTFVASTVTDTHGQFVNVSHISPDRFDWLRFTTDRSSTVVLRQTPHTCCHTLEQDHGTLSHVLESTEVDYLNATRDWSATVAQKGGVLWDSAIVVGQHSKLSTLPVRITPCDSSVDGDLDPEDKDSCEVEDEGSISTDAVPQPTPLQVAYRWIHATQDGSATLALQESPHFKISNVSGVHGAYKVLCKGTEISWLLATHDASATGLVVPQTKAVSVDWIGDRHGIYEKVLQCAARSTTTKFSSVIKSTSAKYTTKLKQSLQSTSHSAKTKLQGKSLEAGDIAQGEAALRENLTDIERRLELNEIYLNEFEREIQGFLELLTSRVSSPLKSDQGELMDRPLGTREQESSNTRRISLSHSKRKQKTQRATSCSASETPM
+>sp|Q5JSH3|WDR44_HUMAN WD repeat-containing protein 44 OS=Homo sapiens OX=9606 GN=WDR44 PE=1 SV=1
+MASESDTEEFYDAPEDVHLGGGYPVGSPGKVGLSTFKETENTAYKVGNESPVQELKQDVSKKIIESIIEESQKVLQLEDDSLDSKGKELSDQATASPIVARTDLSNIPGLLAIDQVLPEESQKAESQNTFEETELELKKCFPSDETCEKPVDETTKLTQTSSTEQLNVLETETEVLNKEAVEVKGGGDVLEPVSSDSLSTKDFAAVEEVAPAKPPRHLTPEPDIVASTKKPVPARPPPPTNFPPPRPPPPSRPAPPPRKRKSELEFETLKTPDIDVPKENITSDSLLTASMASESTVKDSQPSLDLASATSGDKIVTAQENGKAPDGQTVAGEVMGPQRPRSNSGRELTDEEILASVMIKNLDTGEEIPLSLAEEKLPTGINPLTLHIMRRTKEYVSNDAAQSDDEEKLQSQPTDTDGGRLKQKTTQLKKFLGKSVKRAKHLAEEYGERAINKVKSVRDEVFHTDQDDPSSSDDEGMPYTRPVKFKAAHGFKGPYDFDQIKVVQDLSGEHMGAVWTMKFSHCGRLLASAGQDNVVRIWALKNAFDYFNNMRMKYNTEGRVSPSPSQESLSSSKSDTDTGVCSGTDEDPDDKNAPFRQRPFCKYKGHTADLLDLSWSKNYFLLSSSMDKTVRLWHISRRECLCCFQHIDFVTAIAFHPRDDRYFLSGSLDGKLRLWNIPDKKVALWNEVDGQTKLITAANFCQNGKYAVIGTYDGRCIFYDTEHLKYHTQIHVRSTRGRNKVGRKITGIEPLPGENKILVTSNDSRIRLYDLRDLSLSMKYKGYVNSSSQIKASFSHDFTYLVSGSEDKYVYIWSTYHDLSKFTSVRRDRNDFWEGIKAHNAVVTSAIFAPNPSLMLSLDVQSEKSEGNEKSEDAEVLDATPSGIMKTDNTEVLLSADFTGAIKVFVNKRKNVS
+>DECOY_sp|Q5JSH3|WDR44_HUMAN WD repeat-containing protein 44 OS=Homo sapiens OX=9606 GN=WDR44 PE=1 SV=1
+SVNKRKNVFVKIAGTFDASLLVETNDTKMIGSPTADLVEADESKENGESKESQVDLSLMLSPNPAFIASTVVANHAKIGEWFDNRDRRVSTFKSLDHYTSWIYVYKDESGSVLYTFDHSFSAKIQSSSNVYGKYKMSLSLDRLDYLRIRSDNSTVLIKNEGPLPEIGTIKRGVKNRGRTSRVHIQTHYKLHETDYFICRGDYTGIVAYKGNQCFNAATILKTQGDVENWLAVKKDPINWLRLKGDLSGSLFYRDDRPHFAIATVFDIHQFCCLCERRSIHWLRVTKDMSSSLLFYNKSWSLDLLDATHGKYKCFPRQRFPANKDDPDEDTGSCVGTDTDSKSSSLSEQSPSPSVRGETNYKMRMNNFYDFANKLAWIRVVNDQGASALLRGCHSFKMTWVAGMHEGSLDQVVKIQDFDYPGKFGHAAKFKVPRTYPMGEDDSSSPDDQDTHFVEDRVSKVKNIAREGYEEALHKARKVSKGLFKKLQTTKQKLRGGDTDTPQSQLKEEDDSQAADNSVYEKTRRMIHLTLPNIGTPLKEEALSLPIEEGTDLNKIMVSALIEEDTLERGSNSRPRQPGMVEGAVTQGDPAKGNEQATVIKDGSTASALDLSPQSDKVTSESAMSATLLSDSTINEKPVDIDPTKLTEFELESKRKRPPPAPRSPPPPRPPPFNTPPPPRAPVPKKTSAVIDPEPTLHRPPKAPAVEEVAAFDKTSLSDSSVPELVDGGGKVEVAEKNLVETETELVNLQETSSTQTLKTTEDVPKECTEDSPFCKKLELETEEFTNQSEAKQSEEPLVQDIALLGPINSLDTRAVIPSATAQDSLEKGKSDLSDDELQLVKQSEEIISEIIKKSVDQKLEQVPSENGVKYATNETEKFTSLGVKGPSGVPYGGGLHVDEPADYFEETDSESAM
+>sp|O94967|WDR47_HUMAN WD repeat-containing protein 47 OS=Homo sapiens OX=9606 GN=WDR47 PE=1 SV=1
+MTAEETVNVKEVEIIKLILDFLNSKKLHISMLALEKESGVINGLFSDDMLFLRQLILDGQWDEVLQFIQPLECMEKFDKKRFRYIILKQKFLEALCVNNAMSAEDEPQHLEFTMQEAVQCLHALEEYCPSKDDYSKLCLLLTLPRLTNHAEFKDWNPSTARVHCFEEACVMVAEFIPADRKLSEAGFKASNNRLFQLVMKGLLYECCVEFCQSKATGEEITESEVLLGIDLLCGNGCDDLDLSLLSWLQNLPSSVFSCAFEQKMLNIHVDKLLKPTKAAYADLLTPLISKLSPYPSSPMRRPQSADAYMTRSLNPALDGLTCGLTSHDKRISDLGNKTSPMSHSFANFHYPGVQNLSRSLMLENTECHSIYEESPERDTPVDAQRPIGSEILGQSSVSEKEPANGAQNPGPAKQEKNELRDSTEQFQEYYRQRLRYQQHLEQKEQQRQIYQQMLLEGGVNQEDGPDQQQNLTEQFLNRSIQKLGELNIGMDGLGNEVSALNQQCNGSKGNGSNGSSVTSFTTPPQDSSQRLTHDASNIHTSTPRNPGSTNHIPFLEESPCGSQISSEHSVIKPPLGDSPGSLSRSKGEEDDKSKKQFVCINILEDTQAVRAVAFHPAGGLYAVGSNSKTLRVCAYPDVIDPSAHETPKQPVVRFKRNKHHKGSIYCVAWSPCGQLLATGSNDKYVKVLPFNAETCNATGPDLEFSMHDGTIRDLAFMEGPESGGAILISAGAGDCNIYTTDCQRGQGLHALSGHTGHILALYTWSGWMIASGSQDKTVRFWDLRVPSCVRVVGTTFHGTGSAVASVAVDPSGRLLATGQEDSSCMLYDIRGGRMVQSYHPHSSDVRSVRFSPGAHYLLTGSYDMKIKVTDLQGDLTKQLPIMVVGEHKDKVIQCRWHTQDLSFLSSSADRTVTLWTYNG
+>DECOY_sp|O94967|WDR47_HUMAN WD repeat-containing protein 47 OS=Homo sapiens OX=9606 GN=WDR47 PE=1 SV=1
+GNYTWLTVTRDASSSLFSLDQTHWRCQIVKDKHEGVVMIPLQKTLDGQLDTVKIKMDYSGTLLYHAGPSFRVSRVDSSHPHYSQVMRGGRIDYLMCSSDEQGTALLRGSPDVAVSAVASGTGHFTTGVVRVCSPVRLDWFRVTKDQSGSAIMWGSWTYLALIHGTHGSLAHLGQGRQCDTTYINCDGAGASILIAGGSEPGEMFALDRITGDHMSFELDPGTANCTEANFPLVKVYKDNSGTALLQGCPSWAVCYISGKHHKNRKFRVVPQKPTEHASPDIVDPYACVRLTKSNSGVAYLGGAPHFAVARVAQTDELINICVFQKKSKDDEEGKSRSLSGPSDGLPPKIVSHESSIQSGCPSEELFPIHNTSGPNRPTSTHINSADHTLRQSSDQPPTTFSTVSSGNSGNGKSGNCQQNLASVENGLGDMGINLEGLKQISRNLFQETLNQQQDPGDEQNVGGELLMQQYIQRQQEKQELHQQYRLRQRYYEQFQETSDRLENKEQKAPGPNQAGNAPEKESVSSQGLIESGIPRQADVPTDREPSEEYISHCETNELMLSRSLNQVGPYHFNAFSHSMPSTKNGLDSIRKDHSTLGCTLGDLAPNLSRTMYADASQPRRMPSSPYPSLKSILPTLLDAYAAKTPKLLKDVHINLMKQEFACSFVSSPLNQLWSLLSLDLDDCGNGCLLDIGLLVESETIEEGTAKSQCFEVCCEYLLGKMVLQFLRNNSAKFGAESLKRDAPIFEAVMVCAEEFCHVRATSPNWDKFEAHNTLRPLTLLLCLKSYDDKSPCYEELAHLCQVAEQMTFELHQPEDEASMANNVCLAELFKQKLIIYRFRKKDFKEMCELPQIFQLVEDWQGDLILQRLFLMDDSFLGNIVGSEKELALMSIHLKKSNLFDLILKIIEVEKVNVTEEATM
+>sp|Q8WVS4|WDR60_HUMAN WD repeat-containing protein 60 OS=Homo sapiens OX=9606 GN=WDR60 PE=1 SV=3
+MEPGKRRTKDDTWKADDLRKHLWAIQSGGSKEERKHREKKLRKESEMDLPEHKEPRCRDPDQDARSRDRVAEVHTAKESPRGERDRDRQRERRRDAKDREKEKLKEKHREAEKSHSRGKDREKEKDRRARKEELRQTVAHHNLLGQETRDRQLLERAERKGRSVSKVRSEEKDEDSERGDEDRERRYRERKLQYGDSKDNPLKYWLYKEEGERRHRKPREPDRDNKHREKSSTREKREKYSKEKSNSFSDKGEERHKEKRHKEGFHFDDERHQSNVDRKEKSAKDEPRKRESQNGEHRNRGASSKRDGTSSQHAENLVRNHGKDKDSRRKHGHEEGSSVWWKLDQRPGGEETVEIEKEETDLENARADAYTASCEDDFEDYEDDFEVCDGDDDESSNEPESREKLEELPLAQKKEIQEIQRAINAENERIGELSLKLFQKRGRTEFEKEPRTDTNSSPSRASVCGIFVDFASASHRQKSRTQALKQKMRSTKLLRLIDLDFSFTFSLLDLPPVNEYDMYIRNFGKKNTKQAYVQCNEDNVERDIQTEEIETREVWTQHPGESTVVSGGSEQRDTSDAVVMPKIDTPRLCSFLRAACQVMAVLLEEDRLAAEPSWNLRAQDRALYFSDSSSQLNTSLPFLQNRKVSSLHTSRVQRQMVVSVHDLPEKSFVPLLDSKYVLCVWDIWQPSGPQKVLICESQVTCCCLSPLKAFLLFAGTAHGSVVVWDLREDSRLHYSVTLSDGFWTFRTATFSTDGILTSVNHRSPLQAVEPISTSVHKKQSFVLSPFSTQEEMSGLSFHIASLDESGVLNVWVVVELPKADIAGSISDLGLMPGGRVKLVHSALIQLGDSLSHKGNEFWGTTQTLNVKFLPSDPNHFIIGTDMGLISHGTRQDLRVAPKLFKPQQHGIRPVKVNVIDFSPFGEPIFLAGCSDGSIRLHQLSSAFPLLQWDSSTDSHAVTGLQWSPTRPAVFLVQDDTSNIYIWDLLQSDLGPVAKQQVSPNRLVAMAAVGEPEKAGGSFLALVLARASGSIDIQHLKRRWAAPEVDECNRLRLLLQEALWPEGKLHK
+>DECOY_sp|Q8WVS4|WDR60_HUMAN WD repeat-containing protein 60 OS=Homo sapiens OX=9606 GN=WDR60 PE=1 SV=3
+KHLKGEPWLAEQLLLRLRNCEDVEPAAWRRKLHQIDISGSARALVLALFSGGAKEPEGVAAMAVLRNPSVQQKAVPGLDSQLLDWIYINSTDDQVLFVAPRTPSWQLGTVAHSDTSSDWQLLPFASSLQHLRISGDSCGALFIPEGFPSFDIVNVKVPRIGHQQPKFLKPAVRLDQRTGHSILGMDTGIIFHNPDSPLFKVNLTQTTGWFENGKHSLSDGLQILASHVLKVRGGPMLGLDSISGAIDAKPLEVVVWVNLVGSEDLSAIHFSLGSMEEQTSFPSLVFSQKKHVSTSIPEVAQLPSRHNVSTLIGDTSFTATRFTWFGDSLTVSYHLRSDERLDWVVVSGHATGAFLLFAKLPSLCCCTVQSECILVKQPGSPQWIDWVCLVYKSDLLPVFSKEPLDHVSVVMQRQVRSTHLSSVKRNQLFPLSTNLQSSSDSFYLARDQARLNWSPEAALRDEELLVAMVQCAARLFSCLRPTDIKPMVVADSTDRQESGGSVVTSEGPHQTWVERTEIEETQIDREVNDENCQVYAQKTNKKGFNRIYMDYENVPPLDLLSFTFSFDLDILRLLKTSRMKQKLAQTRSKQRHSASAFDVFIGCVSARSPSSNTDTRPEKEFETRGRKQFLKLSLEGIRENEANIARQIEQIEKKQALPLEELKERSEPENSSEDDDGDCVEFDDEYDEFDDECSATYADARANELDTEEKEIEVTEEGGPRQDLKWWVSSGEEHGHKRRSDKDKGHNRVLNEAHQSSTGDRKSSAGRNRHEGNQSERKRPEDKASKEKRDVNSQHREDDFHFGEKHRKEKHREEGKDSFSNSKEKSYKERKERTSSKERHKNDRDPERPKRHRREGEEKYLWYKLPNDKSDGYQLKRERYRRERDEDGRESDEDKEESRVKSVSRGKREARELLQRDRTEQGLLNHHAVTQRLEEKRARRDKEKERDKGRSHSKEAERHKEKLKEKERDKADRRRERQRDRDREGRPSEKATHVEAVRDRSRADQDPDRCRPEKHEPLDMESEKRLKKERHKREEKSGGSQIAWLHKRLDDAKWTDDKTRRKGPEM
+>sp|B1ANS9|WDR64_HUMAN WD repeat-containing protein 64 OS=Homo sapiens OX=9606 GN=WDR64 PE=2 SV=1
+MDIRKEKRLNMALQMSNFKKALNRFEKLVEQTAAQKRDERAGLFIHKEDAIGYDKFYASVQKLFGPDVKNQDVKRFYRKLCNNTDASADWCEIFGYFSSEEDPIASQLDEENLVFFVSRKRRILISGSRRRDVIKSIVKIPHLDLLITATQKGLITVFNNQDTSWITGCDYLLQLKRIVATTERTIIVWDYKAQGSSQENYFVIKPMDHCLLCVCVVPLPDHLCRDDILLGDDGGFVNRFTVNSDDFGIKQAKSKRKLQNQVLDSKNFKSVKRKLHNDWVMKIRYISALNCFGSCSLDSNHSLVLESLKRLEDNLPVREFSMPRGANTFCYCVKANVIVTGGDDKVIRLWHPNISTKPVGKLVGHMFSIAEIVTNEKDQHVVSLSSAKVFRVWDIQTLSLLQVFHDSQGGPGDMQIYSMIYDANHGMLITGSSVMDMYPLTRMIQDTKQVPHTHEREINVMLYNKYFHQVLTICSESIIRVWELETGLQVYQILEPHGFNTEVTSAAVDESGFLFATGAYNGTVRIWDFGSGQEMKVLPEGKDWKEDEHCLRRLIFLKAQEKHQQLVLALERNGTIKMIQGKEDDIYLMVIWELPDVVPFLQDGKHAVHLRMSTRDRNMAIPFPDVELIVERNFSQPTDNPTMDLLRVNCIDLLQVEGYNLIAAGTLNGVIILWNFVTSTVKKVYRPEDCFTVNPDLHPKHFKINDILFLFRTPECARRSSQDSICSSSQCESSKGPQSSKGSKQSIHDSEVKGEQTDVMVGKQQPMDKKHPGIANLPEAQPPILVTAHEDGHLRLWTLEGRLLKDMLPFTKHSAISLTSLYTDSCTRILLAGNVEGHVILCNISSFLDPPHDEKKFKQLLSWRAHSLEIIQVIYVEEKQVVLTASIDGSVRLWHALNGHYCGYFGQRRLFELSQTRDFILPCDVTEYPIEIKEESKFTEKQKYEYPLIFDREKWRKMSSVSLLFKRTPPKAFEVEQDFKFFKSLSSPKIRRYPLEGFVTENREAGIVFGSLPIYSISSPTSLRFLPLIGVEAQKDSSDGITGKKKGGHVQREKAPRRRSLKKNLVPQINLASSFFPAIPK
+>DECOY_sp|B1ANS9|WDR64_HUMAN WD repeat-containing protein 64 OS=Homo sapiens OX=9606 GN=WDR64 PE=2 SV=1
+KPIAPFFSSALNIQPVLNKKLSRRRPAKERQVHGGKKKGTIGDSSDKQAEVGILPLFRLSTPSSISYIPLSGFVIGAERNETVFGELPYRRIKPSSLSKFFKFDQEVEFAKPPTRKFLLSVSSMKRWKERDFILPYEYKQKETFKSEEKIEIPYETVDCPLIFDRTQSLEFLRRQGFYGCYHGNLAHWLRVSGDISATLVVQKEEVYIVQIIELSHARWSLLQKFKKEDHPPDLFSSINCLIVHGEVNGALLIRTCSDTYLSTLSIASHKTFPLMDKLLRGELTWLRLHGDEHATVLIPPQAEPLNAIGPHKKDMPQQKGVMVDTQEGKVESDHISQKSGKSSQPGKSSECQSSSCISDQSSRRACEPTRFLFLIDNIKFHKPHLDPNVTFCDEPRYVKKVTSTVFNWLIIVGNLTGAAILNYGEVQLLDICNVRLLDMTPNDTPQSFNREVILEVDPFPIAMNRDRTSMRLHVAHKGDQLFPVVDPLEWIVMLYIDDEKGQIMKITGNRELALVLQQHKEQAKLFILRRLCHEDEKWDKGEPLVKMEQGSGFDWIRVTGNYAGTAFLFGSEDVAASTVETNFGHPELIQYVQLGTELEWVRIISESCITLVQHFYKNYLMVNIEREHTHPVQKTDQIMRTLPYMDMVSSGTILMGHNADYIMSYIQMDGPGGQSDHFVQLLSLTQIDWVRFVKASSLSVVHQDKENTVIEAISFMHGVLKGVPKTSINPHWLRIVKDDGGTVIVNAKVCYCFTNAGRPMSFERVPLNDELRKLSELVLSHNSDLSCSGFCNLASIYRIKMVWDNHLKRKVSKFNKSDLVQNQLKRKSKAQKIGFDDSNVTFRNVFGGDDGLLIDDRCLHDPLPVVCVCLLCHDMPKIVFYNEQSSGQAKYDWVIITRETTAVIRKLQLLYDCGTIWSTDQNNFVTILGKQTATILLDLHPIKVISKIVDRRRSGSILIRRKRSVFFVLNEEDLQSAIPDEESSFYGFIECWDASADTNNCLKRYFRKVDQNKVDPGFLKQVSAYFKDYGIADEKHIFLGAREDRKQAATQEVLKEFRNLAKKFNSMQLAMNLRKEKRIDM
+>sp|Q3MJ13|WDR72_HUMAN WD repeat-containing protein 72 OS=Homo sapiens OX=9606 GN=WDR72 PE=2 SV=2
+MRTSLQAVALWGQKAPPHSITAIMITDDQRTIVTGSQEGQLCLWNLSHELKISAKELLFGHSASVTCLARARDFSKQPYIVSAAENGEMCVWNVTNGQCMEKATLPYRHTAICYYHCSFRMTGEGWLLCCGEYQDVLIIDAKTLAVVHSFRSSQFPDWINCMCIVHSMRIQEDSLLVVSVAGELKVWDLSSSINSIQEKQDVYEKESKFLESLNCQTIRFCTYTERLLLVVFSKCWKVYDYCDFSLLLTEVSRNGQFFAGGEVIAAHRILIWTEDGHSYIYQLLNSGLSKSIYPADGRVLKETIYPHLLCSTSVQENKEQSRPFVMGYMNERKEPFYKVLFSGEVSGRITLWHIPDVPVSKFDGSPREIPVTATWTLQDNFDKHDTMSQSIIDYFSGLKDGAGTAVVTSSEYIPSLDKLICGCEDGTIIITQALNAAKARLLEGGSLVKDSPPHKVLKGHHQSVTSLLYPHGLSSKLDQSWMLSGDLDSCVILWDIFTEEILHKFFLEAGPVTSLLMSPEKFKLRGEQIICCVCGDHSVALLHLEGKSCLLHARKHLFPVRMIKWHPVENFLIVGCADDSVYIWEIETGTLERHETGERARIILNCCDDSQLVKSVLPIASETLKHKSIEQRSSSPYQLGPLPCPGLQVESSCKVTDAKFCPRPFNVLPVKTKWSNVGFHILLFDLENLVELLLPTPLSDVDSSSSFYGGEVLRRAKSTVEKKTLTLRKSKTACGPLSAEALAKPITESLAQGDNTIKFSEENDGIKRQKKMKISKKMQPKPSRKVDASLTIDTAKLFLSCLLPWGVDKDLDYLCIKHLNILKLQGPISLGISLNEDNFSLMLPGWDLCNSGMIKDYSGVNLFSRKVLDLSDKYTATLPNQVGIPRGLENNCDSLRESDTIVYLLSRLFLVNKLVNMPLELACRVGSSFRMESIHNKMRGAGNDILNMSSFYSCLRNGKNESHVPEADLSLLKLISCWRDQSVQVTEAIQAVLLAEVQQHMKSLGKIPVNSQPVSMAENGNCEMKQMLPKLEWTEELELQCVRNTLPLQTPVSPVKHDSNSNSANFQDVEDMPDRCALEESESPGEPRHHSWIAKVCPCKVS
+>DECOY_sp|Q3MJ13|WDR72_HUMAN WD repeat-containing protein 72 OS=Homo sapiens OX=9606 GN=WDR72 PE=2 SV=2
+SVKCPCVKAIWSHHRPEGPSESEELACRDPMDEVDQFNASNSNSDHKVPSVPTQLPLTNRVCQLELEETWELKPLMQKMECNGNEAMSVPQSNVPIKGLSKMHQQVEALLVAQIAETVQVSQDRWCSILKLLSLDAEPVHSENKGNRLCSYFSSMNLIDNGAGRMKNHISEMRFSSGVRCALELPMNVLKNVLFLRSLLYVITDSERLSDCNNELGRPIGVQNPLTATYKDSLDLVKRSFLNVGSYDKIMGSNCLDWGPLMLSFNDENLSIGLSIPGQLKLINLHKICLYDLDKDVGWPLLCSLFLKATDITLSADVKRSPKPQMKKSIKMKKQRKIGDNEESFKITNDGQALSETIPKALAEASLPGCATKSKRLTLTKKEVTSKARRLVEGGYFSSSSDVDSLPTPLLLEVLNELDFLLIHFGVNSWKTKVPLVNFPRPCFKADTVKCSSEVQLGPCPLPGLQYPSSSRQEISKHKLTESAIPLVSKVLQSDDCCNLIIRAREGTEHRELTGTEIEWIYVSDDACGVILFNEVPHWKIMRVPFLHKRAHLLCSKGELHLLAVSHDGCVCCIIQEGRLKFKEPSMLLSTVPGAELFFKHLIEETFIDWLIVCSDLDGSLMWSQDLKSSLGHPYLLSTVSQHHGKLVKHPPSDKVLSGGELLRAKAANLAQTIIITGDECGCILKDLSPIYESSTVVATGAGDKLGSFYDIISQSMTDHKDFNDQLTWTATVPIERPSGDFKSVPVDPIHWLTIRGSVEGSFLVKYFPEKRENMYGMVFPRSQEKNEQVSTSCLLHPYITEKLVRGDAPYISKSLGSNLLQYIYSHGDETWILIRHAAIVEGGAFFQGNRSVETLLLSFDCYDYVKWCKSFVVLLLRETYTCFRITQCNLSELFKSEKEYVDQKEQISNISSSLDWVKLEGAVSVVLLSDEQIRMSHVICMCNIWDPFQSSRFSHVVALTKADIILVDQYEGCCLLWGEGTMRFSCHYYCIATHRYPLTAKEMCQGNTVNWVCMEGNEAASVIYPQKSFDRARALCTVSASHGFLLEKASIKLEHSLNWLCLQGEQSGTVITRQDDTIMIATISHPPAKQGWLAVAQLSTRM
+>sp|Q9H967|WDR76_HUMAN WD repeat-containing protein 76 OS=Homo sapiens OX=9606 GN=WDR76 PE=1 SV=2
+MSRSGAAAEKADSRQRPQMKVNEYKENQNIAYVSLRPAQTTVLIKTAKVYLAPFSLSNYQLDQLMCPKSLSEKNSNNEVACKKTKIKKTCRRIIPPKMKNTSSKAESTLQNSSSAVHTESNKLQPKRTADAMNLSVDVESSQDGDSDEDTTPSLDFSGLSPYERKRLKNISENADFFASLQLSESAARLREMIEKRQPPKSKRKKPKRENGIGCRRSMRLLKVDPSGVSLPAAPTPPTLVADETPLLPPGPLEMTSENQEDNNERFKGFLHTWAGMSKPSSKNTEKGLSSIKSYKANLNGMVISEDTVYKVTTGPIFSMALHPSETRTLVAVGAKFGQVGLCDLTQQPKEDGVYVFHPHSQPVSCLYFSPANPAHILSLSYDGTLRCGDFSRAIFEEVYRNERSSFSSFDFLAEDASTLIVGHWDGNMSLVDRRTPGTSYEKLTSSSMGKIRTVHVHPVHRQYFITAGLRDTHIYDARRLNSRRSQPLISLTEHTKSIASAYFSPLTGNRVVTTCADCNLRIFDSSCISSKIPLLTTIRHNTFTGRWLTRFQAMWDPKQEDCVIVGSMAHPRRVEIFHETGKRVHSFGGEYLVSVCSINAMHPTRYILAGGNSSGKIHVFMNEKSC
+>DECOY_sp|Q9H967|WDR76_HUMAN WD repeat-containing protein 76 OS=Homo sapiens OX=9606 GN=WDR76 PE=1 SV=2
+CSKENMFVHIKGSSNGGALIYRTPHMANISCVSVLYEGGFSHVRKGTEHFIEVRRPHAMSGVIVCDEQKPDWMAQFRTLWRGTFTNHRITTLLPIKSSICSSDFIRLNCDACTTVVRNGTLPSFYASAISKTHETLSILPQSRRSNLRRADYIHTDRLGATIFYQRHVPHVHVTRIKGMSSSTLKEYSTGPTRRDVLSMNGDWHGVILTSADEALFDFSSFSSRENRYVEEFIARSFDGCRLTGDYSLSLIHAPNAPSFYLCSVPQSHPHFVYVGDEKPQQTLDCLGVQGFKAGVAVLTRTESPHLAMSFIPGTTVKYVTDESIVMGNLNAKYSKISSLGKETNKSSPKSMGAWTHLFGKFRENNDEQNESTMELPGPPLLPTEDAVLTPPTPAAPLSVGSPDVKLLRMSRRCGIGNERKPKKRKSKPPQRKEIMERLRAASESLQLSAFFDANESINKLRKREYPSLGSFDLSPTTDEDSDGDQSSEVDVSLNMADATRKPQLKNSETHVASSSNQLTSEAKSSTNKMKPPIIRRCTKKIKTKKCAVENNSNKESLSKPCMLQDLQYNSLSFPALYVKATKILVTTQAPRLSVYAINQNEKYENVKMQPRQRSDAKEAAAGSRSM
+>sp|Q8IUB2|WFDC3_HUMAN WAP four-disulfide core domain protein 3 OS=Homo sapiens OX=9606 GN=WFDC3 PE=2 SV=1
+MMLSCLFLLKALLALGSLESWITAGEHAKEGECPPHKNPCKELCQGDELCPAEQKCCTTGCGRICRDIPKGRKRDCPRVIRKQSCLKRCITDETCPGVKKCCTLGCNKSCVVPISKQKLAEFGGECPADPLPCEELCDGDASCPQGHKCCSTGCGRTCLGDIEGGRGGDCPKVLVGLCIVGCVMDENCQAGEKCCKSGCGRFCVPPVLPPKLTMNPNWTVRSDSELEIPVP
+>DECOY_sp|Q8IUB2|WFDC3_HUMAN WAP four-disulfide core domain protein 3 OS=Homo sapiens OX=9606 GN=WFDC3 PE=2 SV=1
+PVPIELESDSRVTWNPNMTLKPPLVPPVCFRGCGSKCCKEGAQCNEDMVCGVICLGVLVKPCDGGRGGEIDGLCTRGCGTSCCKHGQPCSADGDCLEECPLPDAPCEGGFEALKQKSIPVVCSKNCGLTCCKKVGPCTEDTICRKLCSQKRIVRPCDRKRGKPIDRCIRGCGTTCCKQEAPCLEDGQCLEKCPNKHPPCEGEKAHEGATIWSELSGLALLAKLLFLCSLMM
+>sp|Q8TCV5|WFDC5_HUMAN WAP four-disulfide core domain protein 5 OS=Homo sapiens OX=9606 GN=WFDC5 PE=2 SV=1
+MRTQSLLLLGALLAVGSQLPAVFGRKKGEKSGGCPPDDGPCLLSVPDQCVEDSQCPLTRKCCYRACFRQCVPRVSVKLGSCPEDQLRCLSPMNHLCHKDSDCSGKKRCCHSACGRDCRDPARGTAPGCPGQANSDLGSVALHLSWGPTERVHDGRPGALPAGQHYLYQRWFQPSDNHWPADTSLQPIHPWFLLLGVKVHSLSSEEGLCITPVLCTTAIRASHPS
+>DECOY_sp|Q8TCV5|WFDC5_HUMAN WAP four-disulfide core domain protein 5 OS=Homo sapiens OX=9606 GN=WFDC5 PE=2 SV=1
+SPHSARIATTCLVPTICLGEESSLSHVKVGLLLFWPHIPQLSTDAPWHNDSPQFWRQYLYHQGAPLAGPRGDHVRETPGWSLHLAVSGLDSNAQGPCGPATGRAPDRCDRGCASHCCRKKGSCDSDKHCLHNMPSLCRLQDEPCSGLKVSVRPVCQRFCARYCCKRTLPCQSDEVCQDPVSLLCPGDDPPCGGSKEGKKRGFVAPLQSGVALLAGLLLLSQTRM
+>sp|Q8IUA0|WFDC8_HUMAN WAP four-disulfide core domain protein 8 OS=Homo sapiens OX=9606 GN=WFDC8 PE=2 SV=2
+MWTVRTEGGHFPLHSPTFSWRNVAFLLLLSLALEWTSAMLTKKIKHKPGLCPKERLTCTTELPDSCNTDFDCKEYQKCCFFACQKKCMDPFQEPCMLPVRHGNCNHEAQRWHFDFKNYRCTPFKYRGCEGNANNFLNEDACRTACMLIVKDGQCPLFPFTERKECPPSCHSDIDCPQTDKCCESRCGFVCARAWTVKKGFCPRKPLLCTKIDKPKCLQDEECPLVEKCCSHCGLKCMDPRR
+>DECOY_sp|Q8IUA0|WFDC8_HUMAN WAP four-disulfide core domain protein 8 OS=Homo sapiens OX=9606 GN=WFDC8 PE=2 SV=2
+RRPDMCKLGCHSCCKEVLPCEEDQLCKPKDIKTCLLPKRPCFGKKVTWARACVFGCRSECCKDTQPCDIDSHCSPPCEKRETFPFLPCQGDKVILMCATRCADENLFNNANGECGRYKFPTCRYNKFDFHWRQAEHNCNGHRVPLMCPEQFPDMCKKQCAFFCCKQYEKCDFDTNCSDPLETTCTLREKPCLGPKHKIKKTLMASTWELALSLLLLFAVNRWSFTPSHLPFHGGETRVTWM
+>sp|Q96NZ8|WFKN1_HUMAN WAP, Kazal, immunoglobulin, Kunitz and NTR domain-containing protein 1 OS=Homo sapiens OX=9606 GN=WFIKKN1 PE=1 SV=1
+MPALRPLLPLLLLLRLTSGAGLLPGLGSHPGVCPNQLSPNLWVDAQSTCERECSRDQDCAAAEKCCINVCGLHSCVAARFPGSPAAPTTAASCEGFVCPQQGSDCDIWDGQPVCRCRDRCEKEPSFTCASDGLTYYNRCYMDAEACLRGLHLHIVPCKHVLSWPPSSPGPPETTARPTPGAAPVPPALYSSPSPQAVQVGGTASLHCDVSGRPPPAVTWEKQSHQRENLIMRPDQMYGNVVVTSIGQLVLYNARPEDAGLYTCTARNAAGLLRADFPLSVVQREPARDAAPSIPAPAECLPDVQACTGPTSPHLVLWHYDPQRGGCMTFPARGCDGAARGFETYEACQQACARGPGDACVLPAVQGPCRGWEPRWAYSPLLQQCHPFVYGGCEGNGNNFHSRESCEDACPVPRTPPCRACRLRSKLALSLCRSDFAIVGRLTEVLEEPEAAGGIARVALEDVLKDDKMGLKFLGTKYLEVTLSGMDWACPCPNMTAGDGPLVIMGEVRDGVAVLDAGSYVRAASEKRVKKILELLEKQACELLNRFQD
+>DECOY_sp|Q96NZ8|WFKN1_HUMAN WAP, Kazal, immunoglobulin, Kunitz and NTR domain-containing protein 1 OS=Homo sapiens OX=9606 GN=WFIKKN1 PE=1 SV=1
+DQFRNLLECAQKELLELIKKVRKESAARVYSGADLVAVGDRVEGMIVLPGDGATMNPCPCAWDMGSLTVELYKTGLFKLGMKDDKLVDELAVRAIGGAAEPEELVETLRGVIAFDSRCLSLALKSRLRCARCPPTRPVPCADECSERSHFNNGNGECGGYVFPHCQQLLPSYAWRPEWGRCPGQVAPLVCADGPGRACAQQCAEYTEFGRAAGDCGRAPFTMCGGRQPDYHWLVLHPSTPGTCAQVDPLCEAPAPISPAADRAPERQVVSLPFDARLLGAANRATCTYLGADEPRANYLVLQGISTVVVNGYMQDPRMILNERQHSQKEWTVAPPPRGSVDCHLSATGGVQVAQPSPSSYLAPPVPAAGPTPRATTEPPGPSSPPWSLVHKCPVIHLHLGRLCAEADMYCRNYYTLGDSACTFSPEKECRDRCRCVPQGDWIDCDSGQQPCVFGECSAATTPAAPSGPFRAAVCSHLGCVNICCKEAAACDQDRSCERECTSQADVWLNPSLQNPCVGPHSGLGPLLGAGSTLRLLLLLPLLPRLAPM
+>sp|Q8TEU8|WFKN2_HUMAN WAP, Kazal, immunoglobulin, Kunitz and NTR domain-containing protein 2 OS=Homo sapiens OX=9606 GN=WFIKKN2 PE=1 SV=1
+MWAPRCRRFWSRWEQVAALLLLLLLLGVPPRSLALPPIRYSHAGICPNDMNPNLWVDAQSTCRRECETDQECETYEKCCPNVCGTKSCVAARYMDVKGKKGPVGMPKEATCDHFMCLQQGSECDIWDGQPVCKCKDRCEKEPSFTCASDGLTYYNRCYMDAEACSKGITLAVVTCRYHFTWPNTSPPPPETTMHPTTASPETPELDMAAPALLNNPVHQSVTMGETVSFLCDVVGRPRPEITWEKQLEDRENVVMRPNHVRGNVVVTNIAQLVIYNAQLQDAGIYTCTARNVAGVLRADFPLSVVRGHQAAATSESSPNGTAFPAAECLKPPDSEDCGEEQTRWHFDAQANNCLTFTFGHCHRNLNHFETYEACMLACMSGPLAACSLPALQGPCKAYAPRWAYNSQTGQCQSFVYGGCEGNGNNFESREACEESCPFPRGNQRCRACKPRQKLVTSFCRSDFVILGRVSELTEEPDSGRALVTVDEVLKDEKMGLKFLGQEPLEVTLLHVDWACPCPNVTVSEMPLIIMGEVDGGMAMLRPDSFVGASSARRVRKLREVMHKKTCDVLKEFLGLH
+>DECOY_sp|Q8TEU8|WFKN2_HUMAN WAP, Kazal, immunoglobulin, Kunitz and NTR domain-containing protein 2 OS=Homo sapiens OX=9606 GN=WFIKKN2 PE=1 SV=1
+HLGLFEKLVDCTKKHMVERLKRVRRASSAGVFSDPRLMAMGGDVEGMIILPMESVTVNPCPCAWDVHLLTVELPEQGLFKLGMKEDKLVEDVTVLARGSDPEETLESVRGLIVFDSRCFSTVLKQRPKCARCRQNGRPFPCSEECAERSEFNNGNGECGGYVFSQCQGTQSNYAWRPAYAKCPGQLAPLSCAALPGSMCALMCAEYTEFHNLNRHCHGFTFTLCNNAQADFHWRTQEEGCDESDPPKLCEAAPFATGNPSSESTAAAQHGRVVSLPFDARLVGAVNRATCTYIGADQLQANYIVLQAINTVVVNGRVHNPRMVVNERDELQKEWTIEPRPRGVVDCLFSVTEGMTVSQHVPNNLLAPAAMDLEPTEPSATTPHMTTEPPPPSTNPWTFHYRCTVVALTIGKSCAEADMYCRNYYTLGDSACTFSPEKECRDKCKCVPQGDWIDCESGQQLCMFHDCTAEKPMGVPGKKGKVDMYRAAVCSKTGCVNPCCKEYTECEQDTECERRCTSQADVWLNPNMDNPCIGAHSYRIPPLALSRPPVGLLLLLLLLAAVQEWRSWFRRCRPAWM
+>sp|A6NGB9|WIPF3_HUMAN WAS/WASL-interacting protein family member 3 OS=Homo sapiens OX=9606 GN=WIPF3 PE=1 SV=4
+MPVPPPPPPPLPPPPPPLGAPPPPPPSAPPVSTDTSSLRRADPKGRSALLADIQQGTRLRKVTQINDRSAPQIESSKGTNKEGGGSANTRGASTPPTLGDLFAGGFPVLRPAGQRDVAGGKTGQGPGSRAPSPRLPNKTISGPLIPPASPRLGNTSEAHGAARTAPPRPNVPAPPPPTPPPPPPPLPPPLPSSSPIKTPLVSPPGPLTKGNLPVVAPPVPCAPPPPPPPPPPTPPPLPPASVLSDKAVKPQLAPLHLPPIPPPLPLLPPCGYPGLKAEPASPAQDAQEPPAPPPPLPPYASCSPRASLPAPPLPGVNSSSETPPPLPPKSPSFQAPPQKAGAQALPAPPAPPGSQPFLQKKRHGRPGAGGGKLNPPPAPPARSPTTELSSKSQQATAWTPTQQPGGQLRNGSLHIIDDFESKFTFHSVEDFPPPDEYKPCQKIYPSKIPRSRTPGPWLQAEAVGQSSDDIKGRNSQLSLKTLR
+>DECOY_sp|A6NGB9|WIPF3_HUMAN WAS/WASL-interacting protein family member 3 OS=Homo sapiens OX=9606 GN=WIPF3 PE=1 SV=4
+RLTKLSLQSNRGKIDDSSQGVAEAQLWPGPTRSRPIKSPYIKQCPKYEDPPPFDEVSHFTFKSEFDDIIHLSGNRLQGGPQQTPTWATAQQSKSSLETTPSRAPPAPPPNLKGGGAGPRGHRKKQLFPQSGPPAPPAPLAQAGAKQPPAQFSPSKPPLPPPTESSSNVGPLPPAPLSARPSCSAYPPLPPPPAPPEQADQAPSAPEAKLGPYGCPPLLPLPPPIPPLHLPALQPKVAKDSLVSAPPLPPPTPPPPPPPPPPACPVPPAVVPLNGKTLPGPPSVLPTKIPSSSPLPPPLPPPPPPPTPPPPAPVNPRPPATRAAGHAESTNGLRPSAPPILPGSITKNPLRPSPARSGPGQGTKGGAVDRQGAPRLVPFGGAFLDGLTPPTSAGRTNASGGGEKNTGKSSEIQPASRDNIQTVKRLRTGQQIDALLASRGKPDARRLSSTDTSVPPASPPPPPPAGLPPPPPPLPPPPPPPVPM
+>sp|Q9Y484|WIPI4_HUMAN WD repeat domain phosphoinositide-interacting protein 4 OS=Homo sapiens OX=9606 GN=WDR45 PE=1 SV=1
+MTQQPLRGVTSLRFNQDQSCFCCAMETGVRIYNVEPLMEKGHLDHEQVGSMGLVEMLHRSNLLALVGGGSSPKFSEISVLIWDDAREGKDSKEKLVLEFTFTKPVLSVRMRHDKIVIVLKNRIYVYSFPDNPRKLFEFDTRDNPKGLCDLCPSLEKQLLVFPGHKCGSLQLVDLASTKPGTSSAPFTINAHQSDIACVSLNQPGTVVASASQKGTLIRLFDTQSKEKLVELRRGTDPATLYCINFSHDSSFLCASSDKGTVHIFALKDTRLNRRSALARVGKVGPMIGQYVDSQWSLASFTVPAESACICAFGRNTSKNVNSVIAICVDGTFHKYVFTPDGNCNREAFDVYLDICDDDDF
+>DECOY_sp|Q9Y484|WIPI4_HUMAN WD repeat domain phosphoinositide-interacting protein 4 OS=Homo sapiens OX=9606 GN=WDR45 PE=1 SV=1
+FDDDDCIDLYVDFAERNCNGDPTFVYKHFTGDVCIAIVSNVNKSTNRGFACICASEAPVTFSALSWQSDVYQGIMPGVKGVRALASRRNLRTDKLAFIHVTGKDSSACLFSSDHSFNICYLTAPDTGRRLEVLKEKSQTDFLRILTGKQSASAVVTGPQNLSVCAIDSQHANITFPASSTGPKTSALDVLQLSGCKHGPFVLLQKELSPCLDCLGKPNDRTDFEFLKRPNDPFSYVYIRNKLVIVIKDHRMRVSLVPKTFTFELVLKEKSDKGERADDWILVSIESFKPSSGGGVLALLNSRHLMEVLGMSGVQEHDLHGKEMLPEVNYIRVGTEMACCFCSQDQNFRLSTVGRLPQQTM
+>sp|O76076|WISP2_HUMAN WNT1-inducible-signaling pathway protein 2 OS=Homo sapiens OX=9606 GN=WISP2 PE=1 SV=1
+MRGTPKTHLLAFSLLCLLSKVRTQLCPTPCTCPWPPPRCPLGVPLVLDGCGCCRVCARRLGEPCDQLHVCDASQGLVCQPGAGPGGRGALCLLAEDDSSCEVNGRLYREGETFQPHCSIRCRCEDGGFTCVPLCSEDVRLPSWDCPHPRRVEVLGKCCPEWVCGQGGGLGTQPLPAQGPQFSGLVSSLPPGVPCPEWSTAWGPCSTTCGLGMATRVSNQNRFCRLETQRRLCLSRPCPPSRGRSPQNSAF
+>DECOY_sp|O76076|WISP2_HUMAN WNT1-inducible-signaling pathway protein 2 OS=Homo sapiens OX=9606 GN=WISP2 PE=1 SV=1
+FASNQPSRGRSPPCPRSLCLRRQTELRCFRNQNSVRTAMGLGCTTSCPGWATSWEPCPVGPPLSSVLGSFQPGQAPLPQTGLGGGQGCVWEPCCKGLVEVRRPHPCDWSPLRVDESCLPVCTFGGDECRCRISCHPQFTEGERYLRGNVECSSDDEALLCLAGRGGPGAGPQCVLGQSADCVHLQDCPEGLRRACVRCCGCGDLVLPVGLPCRPPPWPCTCPTPCLQTRVKSLLCLLSFALLHTKPTGRM
+>sp|O95785|WIZ_HUMAN Protein Wiz OS=Homo sapiens OX=9606 GN=WIZ PE=1 SV=2
+MEGSLAGSLAAPDRPQGPERLPGPAPRENIEGGAEAAEGEGGIFRSTRYLPVTKEGPRDILDGRGGISGTPDGRGPWEHPLVQEAGEGILSERRFEDSVIVRTMKPHAELEGSRRFLHHRGEPRLLEKHAQGRPRFDWLQDEDEQGSPQDAGLHLDLPAQPPPLAPFRRVFVPVEDTPKTLDMAVVGGREDLEDLEGLAQPSEWGLPTSASEVATQTWTVNSEASVERLQPLLPPIRTGPYLCELLEEVAEGVASPDEDEDEEPAVFPCIECSIYFKQKEHLLEHMSQHRRAPGQEPPADLAPLACGECGWAFADPTALEQHRQLHQASREKIIEEIQKLKQVPGDEGREARLQCPKCVFGTNSSRAYVQHAKLHMREPPGQTTKEPFGGSSGAGSPSPEASALLYQPYGAAVGLSACVFCGFPAPSESLLREHVRLVHAHPHWEEDGEAYEEDPASQPGTSQDAHACFPDTAVDYFGKAEPSLAPMWRENPAGYDPSLAFGPGCQQLSIRDFPLSKPLLHGTGQRPLGRLAFPSTLASTPYSLQLGRNKSTVHPQGLGERRRPWSEEEEEEEEEEDVVLTSEMDFSPENGVFSPLATPSLIPQAALELKQAFREALQAVEATQGQQQQLRGMVPIVLVAKLGPQVMAAARVPPRLQPEELGLAGAHPLDFLLLDAPLGGPLGLDTLLDGDPAMALKHEERKCPYCPDRFHNGIGLANHVRGHLNRVGVSYNVRHFISAEEVKAIERRFSFQKKKKKVANFDPGTFSLMRCDFCGAGFDTRAGLSSHARAHLRDFGITNWELTVSPINILQELLATSAAEQPPSPLGREPGGPPGSFLTSRRPRLPLTVPFPPTWAEDPGPAYGDAQSLTTCEVCGACFETRKGLSSHARSHLRQLGVAESESSGAPIDLLYELVKQKGLPDAHLGLPPGLAKKSSSLKEVVAGAPRPGLLSLAKPLDAPAVNKAIKSPPGFSAKGLGHPPSSPLLKKTPLALAGSPTPKNPEDKSPQLSLSPRPASPKAQWPQSEDEGPLNLTSGPEPARDIRCEFCGEFFENRKGLSSHARSHLRQMGVTEWYVNGSPIDTLREILKRRTQSRPGGPPNPPGPSPKALAKMMGGAGPGSSLEARSPSDLHISPLAKKLPPPPGSPLGHSPTASPPPTARKMFPGLAAPSLPKKLKPEQIRVEIKREMLPGALHGELHPSEGPWGAPREDMTPLNLSSRAEPVRDIRCEFCGEFFENRKGLSSHARSHLRQMGVTEWSVNGSPIDTLREILKKKSKPCLIKKEPPAGDLAPALAEDGPPTVAPGPVQSPLPLSPLAGRPGKPGAGPAQVPRELSLTPITGAKPSATGYLGSVAAKRPLQEDRLLPAEVKAKTYIQTELPFKAKTLHEKTSHSSTEACCELCGLYFENRKALASHARAHLRQFGVTEWCVNGSPIETLSEWIKHRPQKVGAYRSYIQGGRPFTKKFRSAGHGRDSDKRPSLGLAPGGLAVVGRSAGGEPGPEAGRAADGGERPLAASPPGTVKAEEHQRQNINKFERRQARPPDASAARGGEDTNDLQQKLEEVRQPPPRVRPVPSLVPRPPQTSLVKFVGNIYTLKCRFCEVEFQGPLSIQEEWVRHLQRHILEMNFSKADPPPEESQAPQAQTAAAEAP
+>DECOY_sp|O95785|WIZ_HUMAN Protein Wiz OS=Homo sapiens OX=9606 GN=WIZ PE=1 SV=2
+PAEAAATQAQPAQSEEPPPDAKSFNMELIHRQLHRVWEEQISLPGQFEVECFRCKLTYINGVFKVLSTQPPRPVLSPVPRVRPPPQRVEELKQQLDNTDEGGRAASADPPRAQRREFKNINQRQHEEAKVTGPPSAALPREGGDAARGAEPGPEGGASRGVVALGGPALGLSPRKDSDRGHGASRFKKTFPRGGQIYSRYAGVKQPRHKIWESLTEIPSGNVCWETVGFQRLHARAHSALAKRNEFYLGCLECCAETSSHSTKEHLTKAKFPLETQIYTKAKVEAPLLRDEQLPRKAAVSGLYGTASPKAGTIPTLSLERPVQAPGAGPKGPRGALPSLPLPSQVPGPAVTPPGDEALAPALDGAPPEKKILCPKSKKKLIERLTDIPSGNVSWETVGMQRLHSRAHSSLGKRNEFFEGCFECRIDRVPEARSSLNLPTMDERPAGWPGESPHLEGHLAGPLMERKIEVRIQEPKLKKPLSPAALGPFMKRATPPPSATPSHGLPSGPPPPLKKALPSIHLDSPSRAELSSGPGAGGMMKALAKPSPGPPNPPGGPRSQTRRKLIERLTDIPSGNVYWETVGMQRLHSRAHSSLGKRNEFFEGCFECRIDRAPEPGSTLNLPGEDESQPWQAKPSAPRPSLSLQPSKDEPNKPTPSGALALPTKKLLPSSPPHGLGKASFGPPSKIAKNVAPADLPKALSLLGPRPAGAVVEKLSSSKKALGPPLGLHADPLGKQKVLEYLLDIPAGSSESEAVGLQRLHSRAHSSLGKRTEFCAGCVECTTLSQADGYAPGPDEAWTPPFPVTLPLRPRRSTLFSGPPGGPERGLPSPPQEAASTALLEQLINIPSVTLEWNTIGFDRLHARAHSSLGARTDFGAGCFDCRMLSFTGPDFNAVKKKKKQFSFRREIAKVEEASIFHRVNYSVGVRNLHGRVHNALGIGNHFRDPCYPCKREEHKLAMAPDGDLLTDLGLPGGLPADLLLFDLPHAGALGLEEPQLRPPVRAAAMVQPGLKAVLVIPVMGRLQQQQGQTAEVAQLAERFAQKLELAAQPILSPTALPSFVGNEPSFDMESTLVVDEEEEEEEEEESWPRRREGLGQPHVTSKNRGLQLSYPTSALTSPFALRGLPRQGTGHLLPKSLPFDRISLQQCGPGFALSPDYGAPNERWMPALSPEAKGFYDVATDPFCAHADQSTGPQSAPDEEYAEGDEEWHPHAHVLRVHERLLSESPAPFGCFVCASLGVAAGYPQYLLASAEPSPSGAGSSGGFPEKTTQGPPERMHLKAHQVYARSSNTGFVCKPCQLRAERGEDGPVQKLKQIEEIIKERSAQHLQRHQELATPDAFAWGCEGCALPALDAPPEQGPARRHQSMHELLHEKQKFYISCEICPFVAPEEDEDEDPSAVGEAVEELLECLYPGTRIPPLLPQLREVSAESNVTWTQTAVESASTPLGWESPQALGELDELDERGGVVAMDLTKPTDEVPVFVRRFPALPPPQAPLDLHLGADQPSGQEDEDQLWDFRPRGQAHKELLRPEGRHHLFRRSGELEAHPKMTRVIVSDEFRRESLIGEGAEQVLPHEWPGRGDPTGSIGGRGDLIDRPGEKTVPLYRTSRFIGGEGEAAEAGGEINERPAPGPLREPGQPRDPAALSGALSGEM
+>sp|Q9Y3S1|WNK2_HUMAN Serine/threonine-protein kinase WNK2 OS=Homo sapiens OX=9606 GN=WNK2 PE=1 SV=4
+MDGDGGRRDVPGTLMEPGRGAGPAGMAEPRAKAARPGPQRFLRRSVVESDQEEPPGLEAAEAPGPQPPQPLQRRVLLLCKTRRLIAERARGRPAAPAPAALVAQPGAPGAPADAGPEPVGTQEPGPDPIAAAVETAPAPDGGPREEAAATVRKEDEGAAEAKPEPGRTRRDEPEEEEDDEDDLKAVATSLDGRFLKFDIELGRGSFKTVYKGLDTETWVEVAWCELQDRKLTKLERQRFKEEAEMLKGLQHPNIVRFYDFWESSAKGKRCIVLVTELMTSGTLKTYLKRFKVMKPKVLRSWCRQILKGLLFLHTRTPPIIHRDLKCDNIFITGPTGSVKIGDLGLATLKRASFAKSVIGTPEFMAPEMYEEHYDESVDVYAFGMCMLEMATSEYPYSECQNAAQIYRKVTCGIKPASFEKVHDPEIKEIIGECICKNKEERYEIKDLLSHAFFAEDTGVRVELAEEDHGRKSTIALRLWVEDPKKLKGKPKDNGAIEFTFDLEKETPDEVAQEMIESGFFHESDVKIVAKSIRDRVALIQWRRERIWPALQPKEQQDVGSPDKARGPPVPLQVQVTYHAQAGQPGPPEPEEPEADQHLLPPTLPTSATSLASDSTFDSGQGSTVYSDSQSSQQSVMLGSLADAAPSPAQCVCSPPVSEGPVLPQSLPSLGAYQQPTAAPGLPVGSVPAPACPPSLQQHFPDPAMSFAPVLPPPSTPMPTGPGQPAPPGQQPPPLAQPTPLPQVLAPQPVVPLQPVPPHLPPYLAPASQVGAPAQLKPLQMPQAPLQPLAQVPPQMPPIPVVPPITPLAGIDGLPPALPDLPTATVPPVPPPQYFSPAVILPSLAAPLPPASPALPLQAVKLPHPPGAPLAMPCRTIVPNAPATIPLLAVAPPGVAALSIHSAVAQLPGQPVYPAAFPQMAPTDVPPSPHHTVQNMRATPPQPALPPQPTLPPQPVLPPQPTLPPQPVLPPQPTRPPQPVLPPQPMLPPQPVLPPQPALPVRPEPLQPHLPEQAAPAATPGSQILLGHPAPYAVDVAAQVPTVPVPPAAVLSPPLPEVLLPAAPELLPQFPSSLATVSASVQSVPTQTATLLPPANPPLPGGPGIASPCPTVQLTVEPVQEEQASQDKPPGLPQSCESYGGSDVTSGKELSDSCEGAFGGGRLEGRAARKHHRRSTRARSRQERASRPRLTILNVCNTGDKMVECQLETHNHKMVTFKFDLDGDAPDEIATYMVEHDFILQAERETFIEQMKDVMDKAEDMLSEDTDADRGSDPGTSPPHLSTCGLGTGEESRQSQANAPVYQQNVLHTGKRWFIICPVAEHPAPEAPESSPPLPLSSLPPEASQGPCRGLTLPCLPWRRAACGAVFLSLFSAESAQSKQPPDSAPYKDQLSSKEQPSFLASQQLLSQAGPSNPPGAPPAPLAPSSPPVTALPQDGAAPATSTMPEPASGTASQAGGPGTPQGLTSELETSQPLAETHEAPLAVQPLVVGLAPCTPAPEAASTRDASAPREPLPPPAPEPSPHSGTPQPALGQPAPLLPAAVGAVSLATSQLPSPPLGPTVPPQPPSALESDGEGPPPRVGFVDSTIKSLDEKLRTLLYQEHVPTSSASAGTPVEVGDRDFTLEPLRGDQPRSEVCGGDLALPPVPKEAVSGRVQLPQPLVEKSELAPTRGAVMEQGTSSSMTAESSPRSMLGYDRDGRQVASDSHVVPSVPQDVPAFVRPARVEPTDRDGGEAGESSAEPPPSDMGTVGGQASHPQTLGARALGSPRKRPEQQDVSSPAKTVGRFSVVSTQDEWTLASPHSLRYSAPPDVYLDEAPSSPDVKLAVRRAQTASSIEVGVGEPVSSDSGDEGPRARPPVQKQASLPVSGSVAGDFVKKATAFLQRPSRAGSLGPETPSRVGMKVPTISVTSFHSQSSYISSDNDSELEDADIKKELQSLREKHLKEISELQSQQKQEIEALYRRLGKPLPPNVGFFHTAPPTGRRRKTSKSKLKAGKLLNPLVRQLKVVASSTGHLADSSRGPPAKDPAQASVGLTADSTGLSGKAVQTQQPCSVRASLSSDICSGLASDGGGARGQGWTVYHPTSERVTYKSSSKPRARFLSGPVSVSIWSALKRLCLGKEHSSRSSTSSLAPGPEPGPQPALHVQAQVNNSNNKKGTFTDDLHKLVDEWTSKTVGAAQLKPTLNQLKQTQKLQDMEAQAGWAAPGEARAMTAPRAGVGMPRLPPAPGPLSTTVIPGAAPTLSVPTPDGALGTARRNQVWFGLRVPPTACCGHSTQPRGGQRVGSKTASFAASDPVRS
+>DECOY_sp|Q9Y3S1|WNK2_HUMAN Serine/threonine-protein kinase WNK2 OS=Homo sapiens OX=9606 GN=WNK2 PE=1 SV=4
+SRVPDSAAFSATKSGVRQGGRPQTSHGCCATPPVRLGFWVQNRRATGLAGDPTPVSLTPAAGPIVTTSLPGPAPPLRPMGVGARPATMARAEGPAAWGAQAEMDQLKQTQKLQNLTPKLQAAGVTKSTWEDVLKHLDDTFTGKKNNSNNVQAQVHLAPQPGPEPGPALSSTSSRSSHEKGLCLRKLASWISVSVPGSLFRARPKSSSKYTVRESTPHYVTWGQGRAGGGDSALGSCIDSSLSARVSCPQQTQVAKGSLGTSDATLGVSAQAPDKAPPGRSSDALHGTSSAVVKLQRVLPNLLKGAKLKSKSTKRRRGTPPATHFFGVNPPLPKGLRRYLAEIEQKQQSQLESIEKLHKERLSQLEKKIDADELESDNDSSIYSSQSHFSTVSITPVKMGVRSPTEPGLSGARSPRQLFATAKKVFDGAVSGSVPLSAQKQVPPRARPGEDGSDSSVPEGVGVEISSATQARRVALKVDPSSPAEDLYVDPPASYRLSHPSALTWEDQTSVVSFRGVTKAPSSVDQQEPRKRPSGLARAGLTQPHSAQGGVTGMDSPPPEASSEGAEGGDRDTPEVRAPRVFAPVDQPVSPVVHSDSAVQRGDRDYGLMSRPSSEATMSSSTGQEMVAGRTPALESKEVLPQPLQVRGSVAEKPVPPLALDGGCVESRPQDGRLPELTFDRDGVEVPTGASASSTPVHEQYLLTRLKEDLSKITSDVFGVRPPPGEGDSELASPPQPPVTPGLPPSPLQSTALSVAGVAAPLLPAPQGLAPQPTGSHPSPEPAPPPLPERPASADRTSAAEPAPTCPALGVVLPQVALPAEHTEALPQSTELESTLGQPTGPGGAQSATGSAPEPMTSTAPAAGDQPLATVPPSSPALPAPPAGPPNSPGAQSLLQQSALFSPQEKSSLQDKYPASDPPQKSQASEASFLSLFVAGCAARRWPLCPLTLGRCPGQSAEPPLSSLPLPPSSEPAEPAPHEAVPCIIFWRKGTHLVNQQYVPANAQSQRSEEGTGLGCTSLHPPSTGPDSGRDADTDESLMDEAKDMVDKMQEIFTEREAQLIFDHEVMYTAIEDPADGDLDFKFTVMKHNHTELQCEVMKDGTNCVNLITLRPRSAREQRSRARTSRRHHKRAARGELRGGGFAGECSDSLEKGSTVDSGGYSECSQPLGPPKDQSAQEEQVPEVTLQVTPCPSAIGPGGPLPPNAPPLLTATQTPVSQVSASVTALSSPFQPLLEPAAPLLVEPLPPSLVAAPPVPVTPVQAAVDVAYPAPHGLLIQSGPTAAPAAQEPLHPQLPEPRVPLAPQPPLVPQPPLMPQPPLVPQPPRTPQPPLVPQPPLTPQPPLVPQPPLTPQPPLAPQPPTARMNQVTHHPSPPVDTPAMQPFAAPYVPQGPLQAVASHISLAAVGPPAVALLPITAPANPVITRCPMALPAGPPHPLKVAQLPLAPSAPPLPAALSPLIVAPSFYQPPPVPPVTATPLDPLAPPLGDIGALPTIPPVVPIPPMQPPVQALPQLPAQPMQLPKLQAPAGVQSAPALYPPLHPPVPQLPVVPQPALVQPLPTPQALPPPQQGPPAPQGPGTPMPTSPPPLVPAFSMAPDPFHQQLSPPCAPAPVSGVPLGPAATPQQYAGLSPLSQPLVPGESVPPSCVCQAPSPAADALSGLMVSQQSSQSDSYVTSGQGSDFTSDSALSTASTPLTPPLLHQDAEPEEPEPPGPQGAQAHYTVQVQLPVPPGRAKDPSGVDQQEKPQLAPWIRERRWQILAVRDRISKAVIKVDSEHFFGSEIMEQAVEDPTEKELDFTFEIAGNDKPKGKLKKPDEVWLRLAITSKRGHDEEALEVRVGTDEAFFAHSLLDKIEYREEKNKCICEGIIEKIEPDHVKEFSAPKIGCTVKRYIQAANQCESYPYESTAMELMCMGFAYVDVSEDYHEEYMEPAMFEPTGIVSKAFSARKLTALGLDGIKVSGTPGTIFINDCKLDRHIIPPTRTHLFLLGKLIQRCWSRLVKPKMVKFRKLYTKLTGSTMLETVLVICRKGKASSEWFDYFRVINPHQLGKLMEAEEKFRQRELKTLKRDQLECWAVEVWTETDLGKYVTKFSGRGLEIDFKLFRGDLSTAVAKLDDEDDEEEEPEDRRTRGPEPKAEAAGEDEKRVTAAAEERPGGDPAPATEVAAAIPDPGPEQTGVPEPGADAPAGPAGPQAVLAAPAPAAPRGRAREAILRRTKCLLLVRRQLPQPPQPGPAEAAELGPPEEQDSEVVSRRLFRQPGPRAAKARPEAMGAPGAGRGPEMLTGPVDRRGGDGDM
+>sp|P17948|VGFR1_HUMAN Vascular endothelial growth factor receptor 1 OS=Homo sapiens OX=9606 GN=FLT1 PE=1 SV=2
+MVSYWDTGVLLCALLSCLLLTGSSSGSKLKDPELSLKGTQHIMQAGQTLHLQCRGEAAHKWSLPEMVSKESERLSITKSACGRNGKQFCSTLTLNTAQANHTGFYSCKYLAVPTSKKKETESAIYIFISDTGRPFVEMYSEIPEIIHMTEGRELVIPCRVTSPNITVTLKKFPLDTLIPDGKRIIWDSRKGFIISNATYKEIGLLTCEATVNGHLYKTNYLTHRQTNTIIDVQISTPRPVKLLRGHTLVLNCTATTPLNTRVQMTWSYPDEKNKRASVRRRIDQSNSHANIFYSVLTIDKMQNKDKGLYTCRVRSGPSFKSVNTSVHIYDKAFITVKHRKQQVLETVAGKRSYRLSMKVKAFPSPEVVWLKDGLPATEKSARYLTRGYSLIIKDVTEEDAGNYTILLSIKQSNVFKNLTATLIVNVKPQIYEKAVSSFPDPALYPLGSRQILTCTAYGIPQPTIKWFWHPCNHNHSEARCDFCSNNEESFILDADSNMGNRIESITQRMAIIEGKNKMASTLVVADSRISGIYICIASNKVGTVGRNISFYITDVPNGFHVNLEKMPTEGEDLKLSCTVNKFLYRDVTWILLRTVNNRTMHYSISKQKMAITKEHSITLNLTIMNVSLQDSGTYACRARNVYTGEEILQKKEITIRDQEAPYLLRNLSDHTVAISSSTTLDCHANGVPEPQITWFKNNHKIQQEPGIILGPGSSTLFIERVTEEDEGVYHCKATNQKGSVESSAYLTVQGTSDKSNLELITLTCTCVAATLFWLLLTLFIRKMKRSSSEIKTDYLSIIMDPDEVPLDEQCERLPYDASKWEFARERLKLGKSLGRGAFGKVVQASAFGIKKSPTCRTVAVKMLKEGATASEYKALMTELKILTHIGHHLNVVNLLGACTKQGGPLMVIVEYCKYGNLSNYLKSKRDLFFLNKDAALHMEPKKEKMEPGLEQGKKPRLDSVTSSESFASSGFQEDKSLSDVEEEEDSDGFYKEPITMEDLISYSFQVARGMEFLSSRKCIHRDLAARNILLSENNVVKICDFGLARDIYKNPDYVRKGDTRLPLKWMAPESIFDKIYSTKSDVWSYGVLLWEIFSLGGSPYPGVQMDEDFCSRLREGMRMRAPEYSTPEIYQIMLDCWHRDPKERPRFAELVEKLGDLLQANVQQDGKDYIPINAILTGNSGFTYSTPAFSEDFFKESISAPKFNSGSSDDVRYVNAFKFMSLERIKTFEELLPNATSMFDDYQGDSSTLLASPMLKRFTWTDSKPKASLKIDLRVTSKSKESGLSDVSRPSFCHSSCGHVSEGKRRFTYDHAELERKIACCSPPPDYNSVVLYSTPPI
+>DECOY_sp|P17948|VGFR1_HUMAN Vascular endothelial growth factor receptor 1 OS=Homo sapiens OX=9606 GN=FLT1 PE=1 SV=2
+IPPTSYLVVSNYDPPPSCCAIKRELEAHDYTFRRKGESVHGCSSHCFSPRSVDSLGSEKSKSTVRLDIKLSAKPKSDTWTFRKLMPSALLTSSDGQYDDFMSTANPLLEEFTKIRELSMFKFANVYRVDDSSGSNFKPASISEKFFDESFAPTSYTFGSNGTLIANIPIYDKGDQQVNAQLLDGLKEVLEAFRPREKPDRHWCDLMIQYIEPTSYEPARMRMGERLRSCFDEDMQVGPYPSGGLSFIEWLLVGYSWVDSKTSYIKDFISEPAMWKLPLRTDGKRVYDPNKYIDRALGFDCIKVVNNESLLINRAALDRHICKRSSLFEMGRAVQFSYSILDEMTIPEKYFGDSDEEEEVDSLSKDEQFGSSAFSESSTVSDLRPKKGQELGPEMKEKKPEMHLAADKNLFFLDRKSKLYNSLNGYKCYEVIVMLPGGQKTCAGLLNVVNLHHGIHTLIKLETMLAKYESATAGEKLMKVAVTRCTPSKKIGFASAQVVKGFAGRGLSKGLKLRERAFEWKSADYPLRECQEDLPVEDPDMIISLYDTKIESSSRKMKRIFLTLLLWFLTAAVCTCTLTILELNSKDSTGQVTLYASSEVSGKQNTAKCHYVGEDEETVREIFLTSSGPGLIIGPEQQIKHNNKFWTIQPEPVGNAHCDLTTSSSIAVTHDSLNRLLYPAEQDRITIEKKQLIEEGTYVNRARCAYTGSDQLSVNMITLNLTISHEKTIAMKQKSISYHMTRNNVTRLLIWTVDRYLFKNVTCSLKLDEGETPMKELNVHFGNPVDTIYFSINRGVTGVKNSAICIYIGSIRSDAVVLTSAMKNKGEIIAMRQTISEIRNGMNSDADLIFSEENNSCFDCRAESHNHNCPHWFWKITPQPIGYATCTLIQRSGLPYLAPDPFSSVAKEYIQPKVNVILTATLNKFVNSQKISLLITYNGADEETVDKIILSYGRTLYRASKETAPLGDKLWVVEPSPFAKVKMSLRYSRKGAVTELVQQKRHKVTIFAKDYIHVSTNVSKFSPGSRVRCTYLGKDKNQMKDITLVSYFINAHSNSQDIRRRVSARKNKEDPYSWTMQVRTNLPTTATCNLVLTHGRLLKVPRPTSIQVDIITNTQRHTLYNTKYLHGNVTAECTLLGIEKYTANSIIFGKRSDWIIRKGDPILTDLPFKKLTVTINPSTVRCPIVLERGETMHIIEPIESYMEVFPRGTDSIFIYIASETEKKKSTPVALYKCSYFGTHNAQATNLTLTSCFQKGNRGCASKTISLRESEKSVMEPLSWKHAAEGRCQLHLTQGAQMIHQTGKLSLEPDKLKSGSSSGTLLLCSLLACLLVGTDWYSVM
+>sp|P35968|VGFR2_HUMAN Vascular endothelial growth factor receptor 2 OS=Homo sapiens OX=9606 GN=KDR PE=1 SV=2
+MQSKVLLAVALWLCVETRAASVGLPSVSLDLPRLSIQKDILTIKANTTLQITCRGQRDLDWLWPNNQSGSEQRVEVTECSDGLFCKTLTIPKVIGNDTGAYKCFYRETDLASVIYVYVQDYRSPFIASVSDQHGVVYITENKNKTVVIPCLGSISNLNVSLCARYPEKRFVPDGNRISWDSKKGFTIPSYMISYAGMVFCEAKINDESYQSIMYIVVVVGYRIYDVVLSPSHGIELSVGEKLVLNCTARTELNVGIDFNWEYPSSKHQHKKLVNRDLKTQSGSEMKKFLSTLTIDGVTRSDQGLYTCAASSGLMTKKNSTFVRVHEKPFVAFGSGMESLVEATVGERVRIPAKYLGYPPPEIKWYKNGIPLESNHTIKAGHVLTIMEVSERDTGNYTVILTNPISKEKQSHVVSLVVYVPPQIGEKSLISPVDSYQYGTTQTLTCTVYAIPPPHHIHWYWQLEEECANEPSQAVSVTNPYPCEEWRSVEDFQGGNKIEVNKNQFALIEGKNKTVSTLVIQAANVSALYKCEAVNKVGRGERVISFHVTRGPEITLQPDMQPTEQESVSLWCTADRSTFENLTWYKLGPQPLPIHVGELPTPVCKNLDTLWKLNATMFSNSTNDILIMELKNASLQDQGDYVCLAQDRKTKKRHCVVRQLTVLERVAPTITGNLENQTTSIGESIEVSCTASGNPPPQIMWFKDNETLVEDSGIVLKDGNRNLTIRRVRKEDEGLYTCQACSVLGCAKVEAFFIIEGAQEKTNLEIIILVGTAVIAMFFWLLLVIILRTVKRANGGELKTGYLSIVMDPDELPLDEHCERLPYDASKWEFPRDRLKLGKPLGRGAFGQVIEADAFGIDKTATCRTVAVKMLKEGATHSEHRALMSELKILIHIGHHLNVVNLLGACTKPGGPLMVIVEFCKFGNLSTYLRSKRNEFVPYKTKGARFRQGKDYVGAIPVDLKRRLDSITSSQSSASSGFVEEKSLSDVEEEEAPEDLYKDFLTLEHLICYSFQVAKGMEFLASRKCIHRDLAARNILLSEKNVVKICDFGLARDIYKDPDYVRKGDARLPLKWMAPETIFDRVYTIQSDVWSFGVLLWEIFSLGASPYPGVKIDEEFCRRLKEGTRMRAPDYTTPEMYQTMLDCWHGEPSQRPTFSELVEHLGNLLQANAQQDGKDYIVLPISETLSMEEDSGLSLPTSPVSCMEEEEVCDPKFHYDNTAGISQYLQNSKRKSRPVSVKTFEDIPLEEPEVKVIPDDNQTDSGMVLASEELKTLEDRTKLSPSFGGMVPSKSRESVASEGSNQTSGYQSGYHSDDTDTTVYSSEEAELLKLIEIGVQTGSTAQILQPDSGTTLSSPPV
+>DECOY_sp|P35968|VGFR2_HUMAN Vascular endothelial growth factor receptor 2 OS=Homo sapiens OX=9606 GN=KDR PE=1 SV=2
+VPPSSLTTGSDPQLIQATSGTQVGIEILKLLEAEESSYVTTDTDDSHYGSQYGSTQNSGESAVSERSKSPVMGGFSPSLKTRDELTKLEESALVMGSDTQNDDPIVKVEPEELPIDEFTKVSVPRSKRKSNQLYQSIGATNDYHFKPDCVEEEEMCSVPSTPLSLGSDEEMSLTESIPLVIYDKGDQQANAQLLNGLHEVLESFTPRQSPEGHWCDLMTQYMEPTTYDPARMRTGEKLRRCFEEDIKVGPYPSAGLSFIEWLLVGFSWVDSQITYVRDFITEPAMWKLPLRADGKRVYDPDKYIDRALGFDCIKVVNKESLLINRAALDRHICKRSALFEMGKAVQFSYCILHELTLFDKYLDEPAEEEEVDSLSKEEVFGSSASSQSSTISDLRRKLDVPIAGVYDKGQRFRAGKTKYPVFENRKSRLYTSLNGFKCFEVIVMLPGGPKTCAGLLNVVNLHHGIHILIKLESMLARHESHTAGEKLMKVAVTRCTATKDIGFADAEIVQGFAGRGLPKGLKLRDRPFEWKSADYPLRECHEDLPLEDPDMVISLYGTKLEGGNARKVTRLIIVLLLWFFMAIVATGVLIIIELNTKEQAGEIIFFAEVKACGLVSCAQCTYLGEDEKRVRRITLNRNGDKLVIGSDEVLTENDKFWMIQPPPNGSATCSVEISEGISTTQNELNGTITPAVRELVTLQRVVCHRKKTKRDQALCVYDGQDQLSANKLEMILIDNTSNSFMTANLKWLTDLNKCVPTPLEGVHIPLPQPGLKYWTLNEFTSRDATCWLSVSEQETPQMDPQLTIEPGRTVHFSIVREGRGVKNVAECKYLASVNAAQIVLTSVTKNKGEILAFQNKNVEIKNGGQFDEVSRWEECPYPNTVSVAQSPENACEEELQWYWHIHHPPPIAYVTCTLTQTTGYQYSDVPSILSKEGIQPPVYVVLSVVHSQKEKSIPNTLIVTYNGTDRESVEMITLVHGAKITHNSELPIGNKYWKIEPPPYGLYKAPIRVREGVTAEVLSEMGSGFAVFPKEHVRVFTSNKKTMLGSSAACTYLGQDSRTVGDITLTSLFKKMESGSQTKLDRNVLKKHQHKSSPYEWNFDIGVNLETRATCNLVLKEGVSLEIGHSPSLVVDYIRYGVVVVIYMISQYSEDNIKAECFVMGAYSIMYSPITFGKKSDWSIRNGDPVFRKEPYRACLSVNLNSISGLCPIVVTKNKNETIYVVGHQDSVSAIFPSRYDQVYVYIVSALDTERYFCKYAGTDNGIVKPITLTKCFLGDSCETVEVRQESGSQNNPWLWDLDRQGRCTIQLTTNAKITLIDKQISLRPLDLSVSPLGVSAARTEVCLWLAVALLVKSQM
+>sp|Q14135|VGLL4_HUMAN Transcription cofactor vestigial-like protein 4 OS=Homo sapiens OX=9606 GN=VGLL4 PE=1 SV=4
+METPLDVLSRAASLVHADDEKREAALRGEPRMQTLPVASALSSHRTGPPPISPSKRKFSMEPGDEDLDCDNDHVSKMSRIFNPHLNKTANGDCRRDPRERSRSPIERAVAPTMSLHGSHLYTSLPSLGLEQPLALTKNSLDASRPAGLSPTLTPGERQQNRPSVITCASAGARNCNLSHCPIAHSGCAAPGPASYRRPPSAATTCDPVVEEHFRRSLGKNYKEPEPAPNSVSITGSVDDHFAKALGDTWLQIKAAKDGASSSPESASRRGQPASPSAHMVSHSHSPSVVS
+>DECOY_sp|Q14135|VGLL4_HUMAN Transcription cofactor vestigial-like protein 4 OS=Homo sapiens OX=9606 GN=VGLL4 PE=1 SV=4
+SVVSPSHSHSVMHASPSAPQGRRSASEPSSSAGDKAAKIQLWTDGLAKAFHDDVSGTISVSNPAPEPEKYNKGLSRRFHEEVVPDCTTAASPPRRYSAPGPAACGSHAIPCHSLNCNRAGASACTIVSPRNQQREGPTLTPSLGAPRSADLSNKTLALPQELGLSPLSTYLHSGHLSMTPAVAREIPSRSRERPDRRCDGNATKNLHPNFIRSMKSVHDNDCDLDEDGPEMSFKRKSPSIPPPGTRHSSLASAVPLTQMRPEGRLAAERKEDDAHVLSAARSLVDLPTEM
+>sp|Q6RSH7|VHLL_HUMAN von Hippel-Lindau-like protein OS=Homo sapiens OX=9606 GN=VHLL PE=1 SV=1
+MPWRAGNGVGLEAQAGTQEAGPEEYCQEELGAEEEMAARAAWPVLRSVNSRELSRIIICNHSPRIVLPVWLNYYGKLLPYLTLLPGRDFRIHNFRSHPWLFRDARTHDKLLVNQTELFVPSSNVNGQPVFANITLQCIP
+>DECOY_sp|Q6RSH7|VHLL_HUMAN von Hippel-Lindau-like protein OS=Homo sapiens OX=9606 GN=VHLL PE=1 SV=1
+PICQLTINAFVPQGNVNSSPVFLETQNVLLKDHTRADRFLWPHSRFNHIRFDRGPLLTLYPLLKGYYNLWVPLVIRPSHNCIIIRSLERSNVSRLVPWAARAAMEEEAGLEEQCYEEPGAEQTGAQAELGVGNGARWPM
+>sp|P98155|VLDLR_HUMAN Very low-density lipoprotein receptor OS=Homo sapiens OX=9606 GN=VLDLR PE=1 SV=1
+MGTSALWALWLLLALCWAPRESGATGTGRKAKCEPSQFQCTNGRCITLLWKCDGDEDCVDGSDEKNCVKKTCAESDFVCNNGQCVPSRWKCDGDPDCEDGSDESPEQCHMRTCRIHEISCGAHSTQCIPVSWRCDGENDCDSGEDEENCGNITCSPDEFTCSSGRCISRNFVCNGQDDCSDGSDELDCAPPTCGAHEFQCSTSSCIPISWVCDDDADCSDQSDESLEQCGRQPVIHTKCPASEIQCGSGECIHKKWRCDGDPDCKDGSDEVNCPSRTCRPDQFECEDGSCIHGSRQCNGIRDCVDGSDEVNCKNVNQCLGPGKFKCRSGECIDISKVCNQEQDCRDWSDEPLKECHINECLVNNGGCSHICKDLVIGYECDCAAGFELIDRKTCGDIDECQNPGICSQICINLKGGYKCECSRGYQMDLATGVCKAVGKEPSLIFTNRRDIRKIGLERKEYIQLVEQLRNTVALDADIAAQKLFWADLSQKAIFSASIDDKVGRHVKMIDNVYNPAAIAVDWVYKTIYWTDAASKTISVATLDGTKRKFLFNSDLREPASIAVDPLSGFVYWSDWGEPAKIEKAGMNGFDRRPLVTADIQWPNGITLDLIKSRLYWLDSKLHMLSSVDLNGQDRRIVLKSLEFLAHPLALTIFEDRVYWIDGENEAVYGANKFTGSELATLVNNLNDAQDIIVYHELVQPSGKNWCEEDMENGGCEYLCLPAPQINDHSPKYTCSCPSGYNVEENGRDCQSTATTVTYSETKDTNTTEISATSGLVPGGINVTTAVSEVSVPPKGTSAAWAILPLLLLVMAAVGGYLMWRNWQHKNMKSMNFDNPVYLKTTEEDLSIDIGRHSASVGHTYPAISVVSTDDDLA
+>DECOY_sp|P98155|VLDLR_HUMAN Very low-density lipoprotein receptor OS=Homo sapiens OX=9606 GN=VLDLR PE=1 SV=1
+ALDDDTSVVSIAPYTHGVSASHRGIDISLDEETTKLYVPNDFNMSKMNKHQWNRWMLYGGVAAMVLLLLPLIAWAASTGKPPVSVESVATTVNIGGPVLGSTASIETTNTDKTESYTVTTATSQCDRGNEEVNYGSPCSCTYKPSHDNIQPAPLCLYECGGNEMDEECWNKGSPQVLEHYVIIDQADNLNNVLTALESGTFKNAGYVAENEGDIWYVRDEFITLALPHALFELSKLVIRRDQGNLDVSSLMHLKSDLWYLRSKILDLTIGNPWQIDATVLPRRDFGNMGAKEIKAPEGWDSWYVFGSLPDVAISAPERLDSNFLFKRKTGDLTAVSITKSAADTWYITKYVWDVAIAAPNYVNDIMKVHRGVKDDISASFIAKQSLDAWFLKQAAIDADLAVTNRLQEVLQIYEKRELGIKRIDRRNTFILSPEKGVAKCVGTALDMQYGRSCECKYGGKLNICIQSCIGPNQCEDIDGCTKRDILEFGAACDCEYGIVLDKCIHSCGGNNVLCENIHCEKLPEDSWDRCDQEQNCVKSIDICEGSRCKFKGPGLCQNVNKCNVEDSGDVCDRIGNCQRSGHICSGDECEFQDPRCTRSPCNVEDSGDKCDPDGDCRWKKHICEGSGCQIESAPCKTHIVPQRGCQELSEDSQDSCDADDDCVWSIPICSSTSCQFEHAGCTPPACDLEDSGDSCDDQGNCVFNRSICRGSSCTFEDPSCTINGCNEEDEGSDCDNEGDCRWSVPICQTSHAGCSIEHIRCTRMHCQEPSEDSGDECDPDGDCKWRSPVCQGNNCVFDSEACTKKVCNKEDSGDVCDEDGDCKWLLTICRGNTCQFQSPECKAKRGTGTAGSERPAWCLALLLWLAWLASTGM
+>sp|O00534|VMA5A_HUMAN von Willebrand factor A domain-containing protein 5A OS=Homo sapiens OX=9606 GN=VWA5A PE=2 SV=2
+MVHFCGLLTLHREPVPLKSISVSVNIYEFVAGVSATLNYENEEKVPLEAFFVFPMDEDSAVYSFEALVDGKKIVAELQDKMKARTNYEKAISQGHQAFLLEGDSSSRDVFSCNVGNLQPGSKAAVTLKYVQELPLEADGALRFVLPAVLNPRYQFSGSSKDSCLNVKTPIVPVEDLPYTLSMVATIDSQHGIEKVQSNCPLSPTEYLGEDKTSAQVSLAAGHKFDRDVELLIYYNEVHTPSVVLEMGMPNMKPGHLMGDPSAMVSFYPNIPEDQPSNTCGEFIFLMDRSGSMQSPMSSQDTSQLRIQAAKETLILLLKSLPIGCYFNIYGFGSSYEACFPESVKYTQQTMEEALGRVKLMQADLGGTEILAPLQNIYRGPSIPGHPLQLFVFTDGEVTDTFSVIKEVRINRQKHRCFSFGIGEGTSTSLIKGIARASGGTSEFITGKDRMQSKALRTLKRSLQPVVEDVSLSWHLPPGLSAKMLSPEQTVIFRGQRLISYAQLTGRMPAAETTGEVCLKYTLQGKTFEDKVTFPLQPKPDVNLTIHRLAAKSLLQTKDMGLRETPASDKKDALNLSLESGVISSFTAFIAINKELNKPVQGPLAHRDVPRPILLGASAPLKIKCQSGFRKALHSDRPPSASQPRGELMCYKAKTFQMDDYSLCGLISHKDQHSPGFGENHLVQLIYHQNANGSWDLNEDLAKILGMSLEEIMAAQPAELVDSSGWATILAVIWLHSNGKDLKCEWELLERKAVAWMRAHAGSTMPSVVKAAITFLKSSVDPAIFAF
+>DECOY_sp|O00534|VMA5A_HUMAN von Willebrand factor A domain-containing protein 5A OS=Homo sapiens OX=9606 GN=VWA5A PE=2 SV=2
+FAFIAPDVSSKLFTIAAKVVSPMTSGAHARMWAVAKRELLEWECKLDKGNSHLWIVALITAWGSSDVLEAPQAAMIEELSMGLIKALDENLDWSGNANQHYILQVLHNEGFGPSHQDKHSILGCLSYDDMQFTKAKYCMLEGRPQSASPPRDSHLAKRFGSQCKIKLPASAGLLIPRPVDRHALPGQVPKNLEKNIAIFATFSSIVGSELSLNLADKKDSAPTERLGMDKTQLLSKAALRHITLNVDPKPQLPFTVKDEFTKGQLTYKLCVEGTTEAAPMRGTLQAYSILRQGRFIVTQEPSLMKASLGPPLHWSLSVDEVVPQLSRKLTRLAKSQMRDKGTIFESTGGSARAIGKILSTSTGEGIGFSFCRHKQRNIRVEKIVSFTDTVEGDTFVFLQLPHGPISPGRYINQLPALIETGGLDAQMLKVRGLAEEMTQQTYKVSEPFCAEYSSGFGYINFYCGIPLSKLLLILTEKAAQIRLQSTDQSSMPSQMSGSRDMLFIFEGCTNSPQDEPINPYFSVMASPDGMLHGPKMNPMGMELVVSPTHVENYYILLEVDRDFKHGAALSVQASTKDEGLYETPSLPCNSQVKEIGHQSDITAVMSLTYPLDEVPVIPTKVNLCSDKSSGSFQYRPNLVAPLVFRLAGDAELPLEQVYKLTVAAKSGPQLNGVNCSFVDRSSSDGELLFAQHGQSIAKEYNTRAKMKDQLEAVIKKGDVLAEFSYVASDEDMPFVFFAELPVKEENEYNLTASVGAVFEYINVSVSISKLPVPERHLTLLGCFHVM
+>sp|Q2NL98|VMAC_HUMAN Vimentin-type intermediate filament-associated coiled-coil protein OS=Homo sapiens OX=9606 GN=VMAC PE=2 SV=1
+MSAPPALQIREANAHLAAVHRRAAELEARLDAAERTVHAQAERLALHDQQLRAALDELGRAKDREIATLQEQLMTSEATVHSLQATVHQRDELIRQLQPRAELLQDICRRRPPLAGLLDALAEAERLGPLPASDPGHPPPGGPGPPLDNSTGEEADRDHLQPAVFGTTV
+>DECOY_sp|Q2NL98|VMAC_HUMAN Vimentin-type intermediate filament-associated coiled-coil protein OS=Homo sapiens OX=9606 GN=VMAC PE=2 SV=1
+VTTGFVAPQLHDRDAEEGTSNDLPPGPGGPPPHGPDSAPLPGLREAEALADLLGALPPRRRCIDQLLEARPQLQRILEDRQHVTAQLSHVTAESTMLQEQLTAIERDKARGLEDLAARLQQDHLALREAQAHVTREAADLRAELEAARRHVAALHANAERIQLAPPASM
+>sp|P54219|VMAT1_HUMAN Chromaffin granule amine transporter OS=Homo sapiens OX=9606 GN=SLC18A1 PE=1 SV=1
+MLRTILDAPQRLLKEGRASRQLVLVVVFVALLLDNMLFTVVVPIVPTFLYDMEFKEVNSSLHLGHAGSSPHALASPAFSTIFSFFNNNTVAVEESVPSGIAWMNDTASTIPPPATEAISAHKNNCLQGTGFLEEEITRVGVLFASKAVMQLLVNPFVGPLTNRIGYHIPMFAGFVIMFLSTVMFAFSGTYTLLFVARTLQGIGSSFSSVAGLGMLASVYTDDHERGRAMGTALGGLALGLLVGAPFGSVMYEFVGKSAPFLILAFLALLDGALQLCILQPSKVSPESAKGTPLFMLLKDPYILVAAGSICFANMGVAILEPTLPIWMMQTMCSPKWQLGLAFLPASVSYLIGTNLFGVLANKMGRWLCSLIGMLVVGTSLLCVPLAHNIFGLIGPNAGLGLAIGMVDSSMMPIMGHLVDLRHTSVYGSVYAIADVAFCMGFAIGPSTGGAIVKAIGFPWLMVITGVINIVYAPLCYYLRSPPAKEEKLAILSQDCPMETRMYATQKPTKEFPLGEDSDEEPDHEE
+>DECOY_sp|P54219|VMAT1_HUMAN Chromaffin granule amine transporter OS=Homo sapiens OX=9606 GN=SLC18A1 PE=1 SV=1
+EEHDPEEDSDEGLPFEKTPKQTAYMRTEMPCDQSLIALKEEKAPPSRLYYCLPAYVINIVGTIVMLWPFGIAKVIAGGTSPGIAFGMCFAVDAIAYVSGYVSTHRLDVLHGMIPMMSSDVMGIALGLGANPGILGFINHALPVCLLSTGVVLMGILSCLWRGMKNALVGFLNTGILYSVSAPLFALGLQWKPSCMTQMMWIPLTPELIAVGMNAFCISGAAVLIYPDKLLMFLPTGKASEPSVKSPQLICLQLAGDLLALFALILFPASKGVFEYMVSGFPAGVLLGLALGGLATGMARGREHDDTYVSALMGLGAVSSFSSGIGQLTRAVFLLTYTGSFAFMVTSLFMIVFGAFMPIHYGIRNTLPGVFPNVLLQMVAKSAFLVGVRTIEEELFGTGQLCNNKHASIAETAPPPITSATDNMWAIGSPVSEEVAVTNNNFFSFITSFAPSALAHPSSGAHGLHLSSNVEKFEMDYLFTPVIPVVVTFLMNDLLLAVFVVVLVLQRSARGEKLLRQPADLITRLM
+>sp|Q9NY84|VNN3_HUMAN Vascular non-inflammatory molecule 3 OS=Homo sapiens OX=9606 GN=VNN3 PE=2 SV=2
+MIISHFPKCVAVFALLALSVGALDTFIAAVYEHAVILPNRTETPVSKEEALLLMNKNIDVLEKAVKLAAKQGAHIIVTPEDGIYGWIFTRESIYPYLEDIPDPGVNWIPCRDPWRFGNTPVQQRLSCLAKDNSIYVVANIGDKKPCNASDSQCPPDGRYQYNTDVVFDSQGKLLARYHKYNLFAPEIQFDFPKDSELVTFDTPFGKFGIFTCFDIFSHDPAVVVVDEVSIDSILYPTAWYNTLPLLSAVPFHSAWAKAMGVNLLAANTHNTSMHMTGSGIYAPEAVKVYHYDMETESGQLLLSELKSRPRREPTYPAAVDWHAYASSVKPFSSEQSDFLGMIYFDEFTFTKLKRNTGNYTACQKDLCCHLTYKMSEKRTDEIYALGAFDGLHTVEGQYYLQICALLKCQTTDLETCGEPVGSAFTKFEDFSLSGTFGTRYVFPQIILSGSQLAPERHYEISRDGRLRSRSGAPLPVLVMALYGRVFEKDPPRLGQGSGKFQ
+>DECOY_sp|Q9NY84|VNN3_HUMAN Vascular non-inflammatory molecule 3 OS=Homo sapiens OX=9606 GN=VNN3 PE=2 SV=2
+QFKGSGQGLRPPDKEFVRGYLAMVLVPLPAGSRSRLRGDRSIEYHREPALQSGSLIIQPFVYRTGFTGSLSFDEFKTFASGVPEGCTELDTTQCKLLACIQLYYQGEVTHLGDFAGLAYIEDTRKESMKYTLHCCLDKQCATYNGTNRKLKTFTFEDFYIMGLFDSQESSFPKVSSAYAHWDVAAPYTPERRPRSKLESLLLQGSETEMDYHYVKVAEPAYIGSGTMHMSTNHTNAALLNVGMAKAWASHFPVASLLPLTNYWATPYLISDISVEDVVVVAPDHSFIDFCTFIGFKGFPTDFTVLESDKPFDFQIEPAFLNYKHYRALLKGQSDFVVDTNYQYRGDPPCQSDSANCPKKDGINAVVYISNDKALCSLRQQVPTNGFRWPDRCPIWNVGPDPIDELYPYISERTFIWGYIGDEPTVIIHAGQKAALKVAKELVDINKNMLLLAEEKSVPTETRNPLIVAHEYVAAIFTDLAGVSLALLAFVAVCKPFHSIIM
+>sp|Q96RL7|VP13A_HUMAN Vacuolar protein sorting-associated protein 13A OS=Homo sapiens OX=9606 GN=VPS13A PE=1 SV=2
+MVFESVVVDVLNRFLGDYVVDLDTSQLSLGIWKGAVALKNLQIKENALSQLDVPFKVKVGHIGNLKLIIPWKNLYTQPVEAVLEEIYLLIVPSSRIKYDPLKEEKQLMEAKQQELKRIEEAKQKVVDQEQHLPEKQDTFAEKLVTQIIKNLQVKISSIHIRYEDDITNRDKPLSFGISLQNLSMQTTDQYWVPCLHDETEKLVRKLIRLDNLFAYWNVKSQMFYLSDYDNSLDDLKNGIVNENIVPEGYDFVFRPISANAKLVMNRRSDFDFSAPKINLEIELHNIAIEFNKPQYFSIMELLESVDMMAQNLPYRKFKPDVPLHHHAREWWAYAIHGVLEVNVCPRLWMWSWKHIRKHRQKVKQYKELYKKKLTSKKPPGELLVSLEELEKTLDVFNITIARQTAEVEVKKAGYKIYKEGVKDPEDNKGWFSWLWSWSEQNTNEQQPDVQPETLEEMLTPEEKALLYEAIGYSETAVDPTLLKTFEALKFFVHLKSMSIVLRENHQKPELVDIVIEEFSTLIVQRPGAQAIKFETKIDSFHITGLPDNSEKPRLLSSLDDAMSLFQITFEINPLDETVSQRCIIEAEPLEIIYDARTVNSIVEFFRPPKEVHLAQLTAATLTKLEEFRSKTATGLLYIIETQKVLDLKINLKASYIIVPQDGIFSPTSNLLLLDLGHLKVTSKSRSELPDVKQGEANLKEIMDRAYDSFDIQLTSVQLLYSRVGDNWREARKLSVSTQHILVPMHFNLELSKAMVFMDVRMPKFKIYGKLPLISLRISDKKLQGIMELIESIPKPEPVTEVSAPVKSFQIQTSTSLGTSQISQKIIPLLELPSVSEDDSEEEFFDAPCSPLEEPLQFPTGVKSIRTRKLQKQDCSVNMTTFKIRFEVPKVLIEFYHLVGDCELSVVEILVLGLGAEIEIRTYDLKANAFLKEFCLKCPEYLDENKKPVYLVTTLDNTMEDLLTLEYVKAEKNVPDLKSTYNNVLQLIKVNFSSLDIHLHTEALLNTINYLHNILPQSEEKSAPVSTTETEDKGDVIKKLALKLSTNEDIITLQILAELSCLQIFIQDQKCNISEIKIEGLDSEMIMRPSETEINAKLRNIIVLDSDITAIYKKAVYITGKEVFSFKMVSYMDATAGSAYTDMNVVDIQVNLIVGCIEVVFVTKFLYSILAFIDNFQAAKQALAEATVQAAGMAATGVKELAQRSSRMALDINIKAPVVVIPQSPVSENVFVADFGLITMTNTFHMITESQSSPPPVIDLITIKLSEMRLYRSRFINDAYQEVLDLLLPLNLEVVVERNLCWEWYQEVPCFNVNAQLKPMEFILSQEDITTIFKTLHGNIWYEKDGSASPAVTKDQYSATSGVTTNASHHSGGATVVTAAVVEVHSRALLVKTTLNISFKTDDLTMVLYSPGPKQASFTDVRDPSLKLAEFKLENIISTLKMYTDGSTFSSFSLKNCILDDKRPHVKKATPRMIGLTVGFDKKDMMDIKYRKVRDGCVTDAVFQEMYICASVEFLQTVANVFLEAYTTGTAVETSVQTWTAKEEVPTQESVKWEINVIIKNPEIVFVADMTKNDAPALVITTQCEICYKGNLENSTMTAAIKDLQVRACPFLPVKRKGKITTVLQPCDLFYQTTQKGTDPQVIDMSVKSLTLKVSPVIINTMITITSALYTTKETIPEETASSTAHLWEKKDTKTLKMWFLEESNETEKIAPTTELVPKGEMIKMNIDSIFIVLEAGIGHRTVPMLLAKSRFSGEGKNWSSLINLHCQLELEVHYYNEMFGVWEPLLEPLEIDQTEDFRPWNLGIKMKKKAKMAIVESDPEEENYKVPEYKTVISFHSKDQLNITLSKCGLVMLNNLVKAFTEAATGSSADFVKDLAPFMILNSLGLTISVSPSDSFSVLNIPMAKSYVLKNGESLSMDYIRTKDNDHFNAMTSLSSKLFFILLTPVNHSTADKIPLTKVGRRLYTVRHRESGVERSIVCQIDTVEGSKKVTIRSPVQIRNHFSVPLSVYEGDTLLGTASPENEFNIPLGSYRSFIFLKPEDENYQMCEGIDFEEIIKNDGALLKKKCRSKNPSKESFLINIVPEKDNLTSLSVYSEDGWDLPYIMHLWPPILLRNLLPYKIAYYIEGIENSVFTLSEGHSAQICTAQLGKARLHLKLLDYLNHDWKSEYHIKPNQQDISFVSFTCVTEMEKTDLDIAVHMTYNTGQTVVAFHSPYWMVNKTGRMLQYKADGIHRKHPPNYKKPVLFSFQPNHFFNNNKVQLMVTDSELSNQFSIDTVGSHGAVKCKGLKMDYQVGVTIDLSSFNITRIVTFTPFYMIKNKSKYHISVAEEGNDKWLSLDLEQCIPFWPEYASSKLLIQVERSEDPPKRIYFNKQENCILLRLDNELGGIIAEVNLAEHSTVITFLDYHDGAATFLLINHTKNELVQYNQSSLSEIEDSLPPGKAVFYTWADPVGSRRLKWRCRKSHGEVTQKDDMMMPIDLGEKTIYLVSFFEGLQRIILFTEDPRVFKVTYESEKAELAEQEIAVALQDVGISLVNNYTKQEVAYIGITSSDVVWETKPKKKARWKPMSVKHTEKLEREFKEYTESSPSEDKVIQLDTNVPVRLTPTGHNMKILQPHVIALRRNYLPALKVEYNTSAHQSSFRIQIYRIQIQNQIHGAVFPFVFYPVKPPKSVTMDSAPKPFTDVSIVMRSAGHSQISRIKYFKVLIQEMDLRLDLGFIYALTDLMTEAEVTENTEVELFHKDIEAFKEEYKTASLVDQSQVSLYEYFHISPIKLHLSVSLSSGREEAKDSKQNGGLIPVHSLNLLLKSIGATLTDVQDVVFKLAFFELNYQFHTTSDLQSEVIRHYSKQAIKQMYVLILGLDVLGNPFGLIREFSEGVEAFFYEPYQGAIQGPEEFVEGMALGLKALVGGAVGGLAGAASKITGAMAKGVAAMTMDEDYQQKRREAMNKQPAGFREGITRGGKGLVSGFVSGITGIVTKPIKGAQKGGAAGFFKGVGKGLVGAVARPTGGIIDMASSTFQGIKRATETSEVESLRPPRFFNEDGVIRPYRLRDGTGNQMLQVMENGRFAKYKYFTHVMINKTDMLMITRRGVLFVTKGTFGQLTCEWQYSFDEFTKEPFIVHGRRLRIEAKERVKSVFHAREFGKIINFKTPEDARWILTKLQEAREPSPSL
+>DECOY_sp|Q96RL7|VP13A_HUMAN Vacuolar protein sorting-associated protein 13A OS=Homo sapiens OX=9606 GN=VPS13A PE=1 SV=2
+LSPSPERAEQLKTLIWRADEPTKFNIIKGFERAHFVSKVREKAEIRLRRGHVIFPEKTFEDFSYQWECTLQGFTGKTVFLVGRRTIMLMDTKNIMVHTFYKYKAFRGNEMVQLMQNGTGDRLRYPRIVGDENFFRPPRLSEVESTETARKIGQFTSSAMDIIGGTPRAVAGVLGKGVGKFFGAAGGKQAGKIPKTVIGTIGSVFGSVLGKGGRTIGERFGAPQKNMAERRKQQYDEDMTMAAVGKAMAGTIKSAAGALGGVAGGVLAKLGLAMGEVFEEPGQIAGQYPEYFFAEVGESFERILGFPNGLVDLGLILVYMQKIAQKSYHRIVESQLDSTTHFQYNLEFFALKFVVDQVDTLTAGISKLLLNLSHVPILGGNQKSDKAEERGSSLSVSLHLKIPSIHFYEYLSVQSQDVLSATKYEEKFAEIDKHFLEVETNETVEAETMLDTLAYIFGLDLRLDMEQILVKFYKIRSIQSHGASRMVISVDTFPKPASDMTVSKPPKVPYFVFPFVAGHIQNQIQIRYIQIRFSSQHASTNYEVKLAPLYNRRLAIVHPQLIKMNHGTPTLRVPVNTDLQIVKDESPSSETYEKFERELKETHKVSMPKWRAKKKPKTEWVVDSSTIGIYAVEQKTYNNVLSIGVDQLAVAIEQEALEAKESEYTVKFVRPDETFLIIRQLGEFFSVLYITKEGLDIPMMMDDKQTVEGHSKRCRWKLRRSGVPDAWTYFVAKGPPLSDEIESLSSQNYQVLENKTHNILLFTAAGDHYDLFTIVTSHEALNVEAIIGGLENDLRLLICNEQKNFYIRKPPDESREVQILLKSSAYEPWFPICQELDLSLWKDNGEEAVSIHYKSKNKIMYFPTFTVIRTINFSSLDITVGVQYDMKLGKCKVAGHSGVTDISFQNSLESDTVMLQVKNNNFFHNPQFSFLVPKKYNPPHKRHIGDAKYQLMRGTKNVMWYPSHFAVVTQGTNYTMHVAIDLDTKEMETVCTFSVFSIDQQNPKIHYESKWDHNLYDLLKLHLRAKGLQATCIQASHGESLTFVSNEIGEIYYAIKYPLLNRLLIPPWLHMIYPLDWGDESYVSLSTLNDKEPVINILFSEKSPNKSRCKKKLLAGDNKIIEEFDIGECMQYNEDEPKLFIFSRYSGLPINFENEPSATGLLTDGEYVSLPVSFHNRIQVPSRITVKKSGEVTDIQCVISREVGSERHRVTYLRRGVKTLPIKDATSHNVPTLLIFFLKSSLSTMANFHDNDKTRIYDMSLSEGNKLVYSKAMPINLVSFSDSPSVSITLGLSNLIMFPALDKVFDASSGTAAETFAKVLNNLMVLGCKSLTINLQDKSHFSIVTKYEPVKYNEEEPDSEVIAMKAKKKMKIGLNWPRFDETQDIELPELLPEWVGFMENYYHVELELQCHLNILSSWNKGEGSFRSKALLMPVTRHGIGAELVIFISDINMKIMEGKPVLETTPAIKETENSEELFWMKLTKTDKKEWLHATSSATEEPITEKTTYLASTITIMTNIIVPSVKLTLSKVSMDIVQPDTGKQTTQYFLDCPQLVTTIKGKRKVPLFPCARVQLDKIAATMTSNELNGKYCIECQTTIVLAPADNKTMDAVFVIEPNKIIVNIEWKVSEQTPVEEKATWTQVSTEVATGTTYAELFVNAVTQLFEVSACIYMEQFVADTVCGDRVKRYKIDMMDKKDFGVTLGIMRPTAKKVHPRKDDLICNKLSFSSFTSGDTYMKLTSIINELKFEALKLSPDRVDTFSAQKPGPSYLVMTLDDTKFSINLTTKVLLARSHVEVVAATVVTAGGSHHSANTTVGSTASYQDKTVAPSASGDKEYWINGHLTKFITTIDEQSLIFEMPKLQANVNFCPVEQYWEWCLNREVVVELNLPLLLDLVEQYADNIFRSRYLRMESLKITILDIVPPPSSQSETIMHFTNTMTILGFDAVFVNESVPSQPIVVVPAKINIDLAMRSSRQALEKVGTAAMGAAQVTAEALAQKAAQFNDIFALISYLFKTVFVVEICGVILNVQIDVVNMDTYASGATADMYSVMKFSFVEKGTIYVAKKYIATIDSDLVIINRLKANIETESPRMIMESDLGEIKIESINCKQDQIFIQLCSLEALIQLTIIDENTSLKLALKKIVDGKDETETTSVPASKEESQPLINHLYNITNLLAETHLHIDLSSFNVKILQLVNNYTSKLDPVNKEAKVYELTLLDEMTNDLTTVLYVPKKNEDLYEPCKLCFEKLFANAKLDYTRIEIEAGLGLVLIEVVSLECDGVLHYFEILVKPVEFRIKFTTMNVSCDQKQLKRTRISKVGTPFQLPEELPSCPADFFEEESDDESVSPLELLPIIKQSIQSTGLSTSTQIQFSKVPASVETVPEPKPISEILEMIGQLKKDSIRLSILPLKGYIKFKPMRVDMFVMAKSLELNFHMPVLIHQTSVSLKRAERWNDGVRSYLLQVSTLQIDFSDYARDMIEKLNAEGQKVDPLESRSKSTVKLHGLDLLLLNSTPSFIGDQPVIIYSAKLNIKLDLVKQTEIIYLLGTATKSRFEELKTLTAATLQALHVEKPPRFFEVISNVTRADYIIELPEAEIICRQSVTEDLPNIEFTIQFLSMADDLSSLLRPKESNDPLGTIHFSDIKTEFKIAQAGPRQVILTSFEEIVIDVLEPKQHNERLVISMSKLHVFFKLAEFTKLLTPDVATESYGIAEYLLAKEEPTLMEELTEPQVDPQQENTNQESWSWLWSFWGKNDEPDKVGEKYIKYGAKKVEVEATQRAITINFVDLTKELEELSVLLEGPPKKSTLKKKYLEKYQKVKQRHKRIHKWSWMWLRPCVNVELVGHIAYAWWERAHHHLPVDPKFKRYPLNQAMMDVSELLEMISFYQPKNFEIAINHLEIELNIKPASFDFDSRRNMVLKANASIPRFVFDYGEPVINENVIGNKLDDLSNDYDSLYFMQSKVNWYAFLNDLRILKRVLKETEDHLCPVWYQDTTQMSLNQLSIGFSLPKDRNTIDDEYRIHISSIKVQLNKIIQTVLKEAFTDQKEPLHQEQDVVKQKAEEIRKLEQQKAEMLQKEEKLPDYKIRSSPVILLYIEELVAEVPQTYLNKWPIILKLNGIHGVKVKFPVDLQSLANEKIQLNKLAVAGKWIGLSLQSTDLDVVYDGLFRNLVDVVVSEFVM
+>sp|O75436|VP26A_HUMAN Vacuolar protein sorting-associated protein 26A OS=Homo sapiens OX=9606 GN=VPS26A PE=1 SV=2
+MSFLGGFFGPICEIDIVLNDGETRKMAEMKTEDGKVEKHYLFYDGESVSGKVNLAFKQPGKRLEHQGIRIEFVGQIELFNDKSNTHEFVNLVKELALPGELTQSRSYDFEFMQVEKPYESYIGANVRLRYFLKVTIVRRLTDLVKEYDLIVHQLATYPDVNNSIKMEVGIEDCLHIEFEYNKSKYHLKDVIVGKIYFLLVRIKIQHMELQLIKKEITGIGPSTTTETETIAKYEIMDGAPVKGESIPIRLFLAGYDPTPTMRDVNKKFSVRYFLNLVLVDEEDRRYFKQQEIILWRKAPEKLRKQRTNFHQRFESPESQASAEQPEM
+>DECOY_sp|O75436|VP26A_HUMAN Vacuolar protein sorting-associated protein 26A OS=Homo sapiens OX=9606 GN=VPS26A PE=1 SV=2
+MEPQEASAQSEPSEFRQHFNTRQKRLKEPAKRWLIIEQQKFYRRDEEDVLVLNLFYRVSFKKNVDRMTPTPDYGALFLRIPISEGKVPAGDMIEYKAITETETTTSPGIGTIEKKILQLEMHQIKIRVLLFYIKGVIVDKLHYKSKNYEFEIHLCDEIGVEMKISNNVDPYTALQHVILDYEKVLDTLRRVITVKLFYRLRVNAGIYSEYPKEVQMFEFDYSRSQTLEGPLALEKVLNVFEHTNSKDNFLEIQGVFEIRIGQHELRKGPQKFALNVKGSVSEGDYFLYHKEVKGDETKMEAMKRTEGDNLVIDIECIPGFFGGLFSM
+>sp|Q9H9H4|VP37B_HUMAN Vacuolar protein sorting-associated protein 37B OS=Homo sapiens OX=9606 GN=VPS37B PE=1 SV=1
+MAGAGSEARFAGLSLVQLNELLEDEGQLTEMVQKMEETQNVQLNKEMTLASNRSLAEGNLLYQPQLDTLKARLTQKYQELQVLFEAYQIKKTKLDRQSSSASLETLLALLQAEGAKIEEDTENMAEKFLDGELPLDSFIDVYQSKRKLAHMRRVKIEKLQEMVLKGQRLPQALAPLPPRLPELAPTAPLPYPAPEASGPPAVAPRRIPPPPPPVPAGRLATPFTAAMSSGQAVPYPGLQCPPLPPRVGLPTQQGFSSQFVSPYPPPLPQRPPPRLPPHQPGFILQ
+>DECOY_sp|Q9H9H4|VP37B_HUMAN Vacuolar protein sorting-associated protein 37B OS=Homo sapiens OX=9606 GN=VPS37B PE=1 SV=1
+QLIFGPQHPPLRPPPRQPLPPPYPSVFQSSFGQQTPLGVRPPLPPCQLGPYPVAQGSSMAATFPTALRGAPVPPPPPPIRRPAVAPPGSAEPAPYPLPATPALEPLRPPLPALAQPLRQGKLVMEQLKEIKVRRMHALKRKSQYVDIFSDLPLEGDLFKEAMNETDEEIKAGEAQLLALLTELSASSSQRDLKTKKIQYAEFLVQLEQYKQTLRAKLTDLQPQYLLNGEALSRNSALTMEKNLQVNQTEEMKQVMETLQGEDELLENLQVLSLGAFRAESGAGAM
+>sp|P63123|VPK18_HUMAN Endogenous retrovirus group K member 18 Pro protein OS=Homo sapiens OX=9606 GN=ERVK-18 PE=3 SV=1
+WASQVSENRPVCKAVIQGKQLEGLVDTGADVSIIALNQWPKNWPKQKTVTGLVGIVTASEVYQSTEILHCLGPHNQESTVQPMITSIPLNLWGRDLLQQWGAEITMTATLYSPMSQKIMTKMGYIPGKGLGKNEDGIKVPIEAKINHGREGTGYPF
+>DECOY_sp|P63123|VPK18_HUMAN Endogenous retrovirus group K member 18 Pro protein OS=Homo sapiens OX=9606 GN=ERVK-18 PE=3 SV=1
+FPYGTGERGHNIKAEIPVKIGDENKGLGKGPIYGMKTMIKQSMPSYLTATMTIEAGWQQLLDRGWLNLPISTIMPQVTSEQNHPGLCHLIETSQYVESATVIGVLGTVTKQKPWNKPWQNLAIISVDAGTDVLGELQKGQIVAKCVPRNESVQSAW
+>sp|P63131|VPK7_HUMAN Endogenous retrovirus group K member 7 Pro protein OS=Homo sapiens OX=9606 GN=ERVK-7 PE=3 SV=1
+WASQVSENRPVCKAIIQGKQFEGLVDTGADVSIIALNQWPKNWPKQKAVTGLVGIGTASEVYQSTEILHCLGPDNQESTVQPMITSIPLNLWGRDLLQQWGAEITMPAPLYSPTSQKIMTKMGYIPGKGLGKNEDGIKVPVEAKINQEREGIGYPF
+>DECOY_sp|P63131|VPK7_HUMAN Endogenous retrovirus group K member 7 Pro protein OS=Homo sapiens OX=9606 GN=ERVK-7 PE=3 SV=1
+FPYGIGEREQNIKAEVPVKIGDENKGLGKGPIYGMKTMIKQSTPSYLPAPMTIEAGWQQLLDRGWLNLPISTIMPQVTSEQNDPGLCHLIETSQYVESATGIGVLGTVAKQKPWNKPWQNLAIISVDAGTDVLGEFQKGQIIAKCVPRNESVQSAW
+>sp|Q9P253|VPS18_HUMAN Vacuolar protein sorting-associated protein 18 homolog OS=Homo sapiens OX=9606 GN=VPS18 PE=1 SV=2
+MASILDEYENSLSRSAVLQPGCPSVGIPHSGYVNAQLEKEVPIFTKQRIDFTPSERITSLVVSSNQLCMSLGKDTLLRIDLGKANEPNHVELGRKDDAKVHKMFLDHTGSHLLIALSSTEVLYVNRNGQKVRPLARWKGQLVESVGWNKALGTESSTGPILVGTAQGHIFEAELSASEGGLFGPAPDLYFRPLYVLNEEGGPAPVCSLEAERGPDGRSFVIATTRQRLFQFIGRAAEGAEAQGFSGLFAAYTDHPPPFREFPSNLGYSELAFYTPKLRSAPRAFAWMMGDGVLYGALDCGRPDSLLSEERVWEYPEGVGPGASPPLAIVLTQFHFLLLLADRVEAVCTLTGQVVLRDHFLEKFGPLKHMVKDSSTGQLWAYTERAVFRYHVQREARDVWRTYLDMNRFDLAKEYCRERPDCLDTVLAREADFCFRQRRYLESARCYALTQSYFEEIALKFLEARQEEALAEFLQRKLASLKPAERTQATLLTTWLTELYLSRLGALQGDPEALTLYRETKECFRTFLSSPRHKEWLFASRASIHELLASHGDTEHMVYFAVIMQDYERVVAYHCQHEAYEEALAVLARHRDPQLFYKFSPILIRHIPRQLVDAWIEMGSRLDARQLIPALVNYSQGGEVQQVSQAIRYMEFCVNVLGETEQAIHNYLLSLYARGRPDSLLAYLEQAGASPHRVHYDLKYALRLCAEHGHHRACVHVYKVLELYEEAVDLALQVDVDLAKQCADLPEEDEELRKKLWLKIARHVVQEEEDVQTAMACLASCPLLKIEDVLPFFPDFVTIDHFKEAICSSLKAYNHHIQELQREMEEATASAQRIRRDLQELRGRYGTVEPQDKCATCDFPLLNRPFYLFLCGHMFHADCLLQAVRPGLPAYKQARLEELQRKLGAAPPPAKGSARAKEAEGGAATAGPSREQLKADLDELVAAECVYCGELMIRSIDRPFIDPQRYEEEQLSWL
+>DECOY_sp|Q9P253|VPS18_HUMAN Vacuolar protein sorting-associated protein 18 homolog OS=Homo sapiens OX=9606 GN=VPS18 PE=1 SV=2
+LWSLQEEEYRQPDIFPRDISRIMLEGCYVCEAAVLEDLDAKLQERSPGATAAGGEAEKARASGKAPPPAAGLKRQLEELRAQKYAPLGPRVAQLLCDAHFMHGCLFLYFPRNLLPFDCTACKDQPEVTGYRGRLEQLDRRIRQASATAEEMERQLEQIHHNYAKLSSCIAEKFHDITVFDPFFPLVDEIKLLPCSALCAMATQVDEEEQVVHRAIKLWLKKRLEEDEEPLDACQKALDVDVQLALDVAEEYLELVKYVHVCARHHGHEACLRLAYKLDYHVRHPSAGAQELYALLSDPRGRAYLSLLYNHIAQETEGLVNVCFEMYRIAQSVQQVEGGQSYNVLAPILQRADLRSGMEIWADVLQRPIHRILIPSFKYFLQPDRHRALVALAEEYAEHQCHYAVVREYDQMIVAFYVMHETDGHSALLEHISARSAFLWEKHRPSSLFTRFCEKTERYLTLAEPDGQLAGLRSLYLETLWTTLLTAQTREAPKLSALKRQLFEALAEEQRAELFKLAIEEFYSQTLAYCRASELYRRQRFCFDAERALVTDLCDPRERCYEKALDFRNMDLYTRWVDRAERQVHYRFVARETYAWLQGTSSDKVMHKLPGFKELFHDRLVVQGTLTCVAEVRDALLLLFHFQTLVIALPPSAGPGVGEPYEWVREESLLSDPRGCDLAGYLVGDGMMWAFARPASRLKPTYFALESYGLNSPFERFPPPHDTYAAFLGSFGQAEAGEAARGIFQFLRQRTTAIVFSRGDPGREAELSCVPAPGGEENLVYLPRFYLDPAPGFLGGESASLEAEFIHGQATGVLIPGTSSETGLAKNWGVSEVLQGKWRALPRVKQGNRNVYLVETSSLAILLHSGTHDLFMKHVKADDKRGLEVHNPENAKGLDIRLLTDKGLSMCLQNSSVVLSTIRESPTFDIRQKTFIPVEKELQANVYGSHPIGVSPCGPQLVASRSLSNEYEDLISAM
+>sp|Q9UK41|VPS28_HUMAN Vacuolar protein sorting-associated protein 28 homolog OS=Homo sapiens OX=9606 GN=VPS28 PE=1 SV=1
+MFHGIPATPGIGAPGNKPELYEEVKLYKNAREREKYDNMAELFAVVKTMQALEKAYIKDCVSPSEYTAACSRLLVQYKAAFRQVQGSEISSIDEFCRKFRLDCPLAMERIKEDRPITIKDDKGNLNRCIADVVSLFITVMDKLRLEIRAMDEIQPDLRELMETMHRMSHLPPDFEGRQTVSQWLQTLSGMSASDELDDSQVRQMLFDLESAYNAFNRFLHA
+>DECOY_sp|Q9UK41|VPS28_HUMAN Vacuolar protein sorting-associated protein 28 homolog OS=Homo sapiens OX=9606 GN=VPS28 PE=1 SV=1
+AHLFRNFANYASELDFLMQRVQSDDLEDSASMGSLTQLWQSVTQRGEFDPPLHSMRHMTEMLERLDPQIEDMARIELRLKDMVTIFLSVVDAICRNLNGKDDKITIPRDEKIREMALPCDLRFKRCFEDISSIESGQVQRFAAKYQVLLRSCAATYESPSVCDKIYAKELAQMTKVVAFLEAMNDYKERERANKYLKVEEYLEPKNGPAGIGPTAPIGHFM
+>sp|Q9UBQ0|VPS29_HUMAN Vacuolar protein sorting-associated protein 29 OS=Homo sapiens OX=9606 GN=VPS29 PE=1 SV=1
+MLVLVLGDLHIPHRCNSLPAKFKKLLVPGKIQHILCTGNLCTKESYDYLKTLAGDVHIVRGDFDENLNYPEQKVVTVGQFKIGLIHGHQVIPWGDMASLALLQRQFDVDILISGHTHKFEAFEHENKFYINPGSATGAYNALETNIIPSFVLMDIQASTVVTYVYQLIGDDVKVERIEYKKP
+>DECOY_sp|Q9UBQ0|VPS29_HUMAN Vacuolar protein sorting-associated protein 29 OS=Homo sapiens OX=9606 GN=VPS29 PE=1 SV=1
+PKKYEIREVKVDDGILQYVYTVVTSAQIDMLVFSPIINTELANYAGTASGPNIYFKNEHEFAEFKHTHGSILIDVDFQRQLLALSAMDGWPIVQHGHILGIKFQGVTVVKQEPYNLNEDFDGRVIHVDGALTKLYDYSEKTCLNGTCLIHQIKGPVLLKKFKAPLSNCRHPIHLDGLVLVLM
+>sp|Q96JC1|VPS39_HUMAN Vam6/Vps39-like protein OS=Homo sapiens OX=9606 GN=VPS39 PE=1 SV=2
+MHDAFEPVPILEKLPLQIDCLAAWEEWLLVGTKQGHLLLYRIRKDVVPADVASPESGSCNRFEVTLEKSNKNFSKKIQQIHVVSQFKILVSLLENNIYVHDLLTFQQITTVSKAKGASLFTCDLQHTETGEEVLRMCVAVKKKLQLYFWKDREFHELQGDFSVPDVPKSMAWCENSICVGFKRDYYLIRVDGKGSIKELFPTGKQLEPLVAPLADGKVAVGQDDLTVVLNEEGICTQKCALNWTDIPVAMEHQPPYIIAVLPRYVEIRTFEPRLLVQSIELQRPRFITSGGSNIIYVASNHFVWRLIPVPMATQIQQLLQDKQFELALQLAEMKDDSDSEKQQQIHHIKNLYAFNLFCQKRFDESMQVFAKLGTDPTHVMGLYPDLLPTDYRKQLQYPNPLPVLSGAELEKAHLALIDYLTQKRSQLVKKLNDSDHQSSTSPLMEGTPTIKSKKKLLQIIDTTLLKCYLHTNVALVAPLLRLENNHCHIEESEHVLKKAHKYSELIILYEKKGLHEKALQVLVDQSKKANSPLKGHERTVQYLQHLGTENLHLIFSYSVWVLRDFPEDGLKIFTEDLPEVESLPRDRVLGFLIENFKGLAIPYLEHIIHVWEETGSRFHNCLIQLYCEKVQGLMKEYLLSFPAGKTPVPAGEEEGELGEYRQKLLMFLEISSYYDPGRLICDFPFDGLLEERALLLGRMGKHEQALFIYVHILKDTRMAEEYCHKHYDRNKDGNKDVYLSLLRMYLSPPSIHCLGPIKLELLEPKANLQAALQVLELHHSKLDTTKALNLLPANTQINDIRIFLEKVLEENAQKKRFNQVLKNLLHAEFLRVQEERILHQQVKCIITEEKVCMVCKKKIGNSAFARYPNGVVVHYFCSKEVNPADT
+>DECOY_sp|Q96JC1|VPS39_HUMAN Vam6/Vps39-like protein OS=Homo sapiens OX=9606 GN=VPS39 PE=1 SV=2
+TDAPNVEKSCFYHVVVGNPYRAFASNGIKKKCVMCVKEETIICKVQQHLIREEQVRLFEAHLLNKLVQNFRKKQANEELVKELFIRIDNIQTNAPLLNLAKTTDLKSHHLELVQLAAQLNAKPELLELKIPGLCHISPPSLYMRLLSLYVDKNGDKNRDYHKHCYEEAMRTDKLIHVYIFLAQEHKGMRGLLLAREELLGDFPFDCILRGPDYYSSIELFMLLKQRYEGLEGEEEGAPVPTKGAPFSLLYEKMLGQVKECYLQILCNHFRSGTEEWVHIIHELYPIALGKFNEILFGLVRDRPLSEVEPLDETFIKLGDEPFDRLVWVSYSFILHLNETGLHQLYQVTREHGKLPSNAKKSQDVLVQLAKEHLGKKEYLIILESYKHAKKLVHESEEIHCHNNELRLLPAVLAVNTHLYCKLLTTDIIQLLKKKSKITPTGEMLPSTSSQHDSDNLKKVLQSRKQTLYDILALHAKELEAGSLVPLPNPYQLQKRYDTPLLDPYLGMVHTPDTGLKAFVQMSEDFRKQCFLNFAYLNKIHHIQQQKESDSDDKMEALQLALEFQKDQLLQQIQTAMPVPILRWVFHNSAVYIINSGGSTIFRPRQLEISQVLLRPEFTRIEVYRPLVAIIYPPQHEMAVPIDTWNLACKQTCIGEENLVVTLDDQGVAVKGDALPAVLPELQKGTPFLEKISGKGDVRILYYDRKFGVCISNECWAMSKPVDPVSFDGQLEHFERDKWFYLQLKKKVAVCMRLVEEGTETHQLDCTFLSAGKAKSVTTIQQFTLLDHVYINNELLSVLIKFQSVVHIQQIKKSFNKNSKELTVEFRNCSGSEPSAVDAPVVDKRIRYLLLHGQKTGVLLWEEWAALCDIQLPLKELIPVPEFADHM
+>sp|Q86XK7|VSIG1_HUMAN V-set and immunoglobulin domain-containing protein 1 OS=Homo sapiens OX=9606 GN=VSIG1 PE=1 SV=1
+MVFAFWKVFLILSCLAGQVSVVQVTIPDGFVNVTVGSNVTLICIYTTTVASREQLSIQWSFFHKKEMEPISIYFSQGGQAVAIGQFKDRITGSNDPGNASITISHMQPADSGIYICDVNNPPDFLGQNQGILNVSVLVKPSKPLCSVQGRPETGHTISLSCLSALGTPSPVYYWHKLEGRDIVPVKENFNPTTGILVIGNLTNFEQGYYQCTAINRLGNSSCEIDLTSSHPEVGIIVGALIGSLVGAAIIISVVCFARNKAKAKAKERNSKTIAELEPMTKINPRGESEAMPREDATQLEVTLPSSIHETGPDTIQEPDYEPKPTQEPAPEPAPGSEPMAVPDLDIELELEPETQSELEPEPEPEPESEPGVVVEPLSEDEKGVVKA
+>DECOY_sp|Q86XK7|VSIG1_HUMAN V-set and immunoglobulin domain-containing protein 1 OS=Homo sapiens OX=9606 GN=VSIG1 PE=1 SV=1
+AKVVGKEDESLPEVVVGPESEPEPEPEPELESQTEPELELEIDLDPVAMPESGPAPEPAPEQTPKPEYDPEQITDPGTEHISSPLTVELQTADERPMAESEGRPNIKTMPELEAITKSNREKAKAKAKNRAFCVVSIIIAAGVLSGILAGVIIGVEPHSSTLDIECSSNGLRNIATCQYYGQEFNTLNGIVLIGTTPNFNEKVPVIDRGELKHWYYVPSPTGLASLCSLSITHGTEPRGQVSCLPKSPKVLVSVNLIGQNQGLFDPPNNVDCIYIGSDAPQMHSITISANGPDNSGTIRDKFQGIAVAQGGQSFYISIPEMEKKHFFSWQISLQERSAVTTTYICILTVNSGVTVNVFGDPITVQVVSVQGALCSLILFVKWFAFVM
+>sp|Q7Z7D3|VTCN1_HUMAN V-set domain-containing T-cell activation inhibitor 1 OS=Homo sapiens OX=9606 GN=VTCN1 PE=1 SV=1
+MASLGQILFWSIISIIIILAGAIALIIGFGISGRHSITVTTVASAGNIGEDGILSCTFEPDIKLSDIVIQWLKEGVLGLVHEFKEGKDELSEQDEMFRGRTAVFADQVIVGNASLRLKNVQLTDAGTYKCYIITSKGKGNANLEYKTGAFSMPEVNVDYNASSETLRCEAPRWFPQPTVVWASQVDQGANFSEVSNTSFELNSENVTMKVVSVLYNVTINNTYSCMIENDIAKATGDIKVTESEIKRRSHLQLLNSKASLCVSSFFAISWALLPLSPYLMLK
+>DECOY_sp|Q7Z7D3|VTCN1_HUMAN V-set domain-containing T-cell activation inhibitor 1 OS=Homo sapiens OX=9606 GN=VTCN1 PE=1 SV=1
+KLMLYPSLPLLAWSIAFFSSVCLSAKSNLLQLHSRRKIESETVKIDGTAKAIDNEIMCSYTNNITVNYLVSVVKMTVNESNLEFSTNSVESFNAGQDVQSAWVVTPQPFWRPAECRLTESSANYDVNVEPMSFAGTKYELNANGKGKSTIIYCKYTGADTLQVNKLRLSANGVIVQDAFVATRGRFMEDQESLEDKGEKFEHVLGLVGEKLWQIVIDSLKIDPEFTCSLIGDEGINGASAVTTVTISHRGSIGFGIILAIAGALIIIISIISWFLIQGLSAM
+>sp|Q6PCB0|VWA1_HUMAN von Willebrand factor A domain-containing protein 1 OS=Homo sapiens OX=9606 GN=VWA1 PE=1 SV=1
+MLPWTALGLALSLRLALARSGAERGPPASAPRGDLMFLLDSSASVSHYEFSRVREFVGQLVAPLPLGTGALRASLVHVGSRPYTEFPFGQHSSGEAAQDAVRASAQRMGDTHTGLALVYAKEQLFAEASGARPGVPKVLVWVTDGGSSDPVGPPMQELKDLGVTVFIVSTGRGNFLELSAAASAPAEKHLHFVDVDDLHIIVQELRGSILDAMRPQQLHATEITSSGFRLAWPPLLTADSGYYVLELVPSAQPGAARRQQLPGNATDWIWAGLDPDTDYDVALVPESNVRLLRPQILRVRTRPGEAGPGASGPESGAGPAPTQLAALPAPEEAGPERIVISHARPRSLRVSWAPALGSAAALGYHVQFGPLRGGEAQRVEVPAGRNCTTLQGLAPGTAYLVTVTAAFRSGRESALSAKACTPDGPRPRPRPVPRAPTPGTASREP
+>DECOY_sp|Q6PCB0|VWA1_HUMAN von Willebrand factor A domain-containing protein 1 OS=Homo sapiens OX=9606 GN=VWA1 PE=1 SV=1
+PERSATGPTPARPVPRPRPRPGDPTCAKASLASERGSRFAATVTVLYATGPALGQLTTCNRGAPVEVRQAEGGRLPGFQVHYGLAAASGLAPAWSVRLSRPRAHSIVIREPGAEEPAPLAALQTPAPGAGSEPGSAGPGAEGPRTRVRLIQPRLLRVNSEPVLAVDYDTDPDLGAWIWDTANGPLQQRRAAGPQASPVLELVYYGSDATLLPPWALRFGSSTIETAHLQQPRMADLISGRLEQVIIHLDDVDVFHLHKEAPASAAASLELFNGRGTSVIFVTVGLDKLEQMPPGVPDSSGGDTVWVLVKPVGPRAGSAEAFLQEKAYVLALGTHTDGMRQASARVADQAAEGSSHQGFPFETYPRSGVHVLSARLAGTGLPLPAVLQGVFERVRSFEYHSVSASSDLLFMLDGRPASAPPGREAGSRALALRLSLALGLATWPLM
+>sp|P04275|VWF_HUMAN von Willebrand factor OS=Homo sapiens OX=9606 GN=VWF PE=1 SV=4
+MIPARFAGVLLALALILPGTLCAEGTRGRSSTARCSLFGSDFVNTFDGSMYSFAGYCSYLLAGGCQKRSFSIIGDFQNGKRVSLSVYLGEFFDIHLFVNGTVTQGDQRVSMPYASKGLYLETEAGYYKLSGEAYGFVARIDGSGNFQVLLSDRYFNKTCGLCGNFNIFAEDDFMTQEGTLTSDPYDFANSWALSSGEQWCERASPPSSSCNISSGEMQKGLWEQCQLLKSTSVFARCHPLVDPEPFVALCEKTLCECAGGLECACPALLEYARTCAQEGMVLYGWTDHSACSPVCPAGMEYRQCVSPCARTCQSLHINEMCQERCVDGCSCPEGQLLDEGLCVESTECPCVHSGKRYPPGTSLSRDCNTCICRNSQWICSNEECPGECLVTGQSHFKSFDNRYFTFSGICQYLLARDCQDHSFSIVIETVQCADDRDAVCTRSVTVRLPGLHNSLVKLKHGAGVAMDGQDVQLPLLKGDLRIQHTVTASVRLSYGEDLQMDWDGRGRLLVKLSPVYAGKTCGLCGNYNGNQGDDFLTPSGLAEPRVEDFGNAWKLHGDCQDLQKQHSDPCALNPRMTRFSEEACAVLTSPTFEACHRAVSPLPYLRNCRYDVCSCSDGRECLCGALASYAAACAGRGVRVAWREPGRCELNCPKGQVYLQCGTPCNLTCRSLSYPDEECNEACLEGCFCPPGLYMDERGDCVPKAQCPCYYDGEIFQPEDIFSDHHTMCYCEDGFMHCTMSGVPGSLLPDAVLSSPLSHRSKRSLSCRPPMVKLVCPADNLRAEGLECTKTCQNYDLECMSMGCVSGCLCPPGMVRHENRCVALERCPCFHQGKEYAPGETVKIGCNTCVCQDRKWNCTDHVCDATCSTIGMAHYLTFDGLKYLFPGECQYVLVQDYCGSNPGTFRILVGNKGCSHPSVKCKKRVTILVEGGEIELFDGEVNVKRPMKDETHFEVVESGRYIILLLGKALSVVWDRHLSISVVLKQTYQEKVCGLCGNFDGIQNNDLTSSNLQVEEDPVDFGNSWKVSSQCADTRKVPLDSSPATCHNNIMKQTMVDSSCRILTSDVFQDCNKLVDPEPYLDVCIYDTCSCESIGDCACFCDTIAAYAHVCAQHGKVVTWRTATLCPQSCEERNLRENGYECEWRYNSCAPACQVTCQHPEPLACPVQCVEGCHAHCPPGKILDELLQTCVDPEDCPVCEVAGRRFASGKKVTLNPSDPEHCQICHCDVVNLTCEACQEPGGLVVPPTDAPVSPTTLYVEDISEPPLHDFYCSRLLDLVFLLDGSSRLSEAEFEVLKAFVVDMMERLRISQKWVRVAVVEYHDGSHAYIGLKDRKRPSELRRIASQVKYAGSQVASTSEVLKYTLFQIFSKIDRPEASRITLLLMASQEPQRMSRNFVRYVQGLKKKKVIVIPVGIGPHANLKQIRLIEKQAPENKAFVLSSVDELEQQRDEIVSYLCDLAPEAPPPTLPPDMAQVTVGPGLLGVSTLGPKRNSMVLDVAFVLEGSDKIGEADFNRSKEFMEEVIQRMDVGQDSIHVTVLQYSYMVTVEYPFSEAQSKGDILQRVREIRYQGGNRTNTGLALRYLSDHSFLVSQGDREQAPNLVYMVTGNPASDEIKRLPGDIQVVPIGVGPNANVQELERIGWPNAPILIQDFETLPREAPDLVLQRCCSGEGLQIPTLSPAPDCSQPLDVILLLDGSSSFPASYFDEMKSFAKAFISKANIGPRLTQVSVLQYGSITTIDVPWNVVPEKAHLLSLVDVMQREGGPSQIGDALGFAVRYLTSEMHGARPGASKAVVILVTDVSVDSVDAAADAARSNRVTVFPIGIGDRYDAAQLRILAGPAGDSNVVKLQRIEDLPTMVTLGNSFLHKLCSGFVRICMDEDGNEKRPGDVWTLPDQCHTVTCQPDGQTLLKSHRVNCDRGLRPSCPNSQSPVKVEETCGCRWTCPCVCTGSSTRHIVTFDGQNFKLTGSCSYVLFQNKEQDLEVILHNGACSPGARQGCMKSIEVKHSALSVELHSDMEVTVNGRLVSVPYVGGNMEVNVYGAIMHEVRFNHLGHIFTFTPQNNEFQLQLSPKTFASKTYGLCGICDENGANDFMLRDGTVTTDWKTLVQEWTVQRPGQTCQPILEEQCLVPDSSHCQVLLLPLFAECHKVLAPATFYAICQQDSCHQEQVCEVIASYAHLCRTNGVCVDWRTPDFCAMSCPPSLVYNHCEHGCPRHCDGNVSSCGDHPSEGCFCPPDKVMLEGSCVPEEACTQCIGEDGVQHQFLEAWVPDHQPCQICTCLSGRKVNCTTQPCPTAKAPTCGLCEVARLRQNADQCCPEYECVCDPVSCDLPPVPHCERGLQPTLTNPGECRPNFTCACRKEECKRVSPPSCPPHRLPTLRKTQCCDEYECACNCVNSTVSCPLGYLASTATNDCGCTTTTCLPDKVCVHRSTIYPVGQFWEEGCDVCTCTDMEDAVMGLRVAQCSQKPCEDSCRSGFTYVLHEGECCGRCLPSACEVVTGSPRGDSQSSWKSVGSQWASPENPCLINECVRVKEEVFIQQRNVSCPQLEVPVCPSGFQLSCKTSACCPSCRCERMEACMLNGTVIGPGKTVMIDVCTTCRCMVQVGVISGFKLECRKTTCNPCPLGYKEENNTGECCGRCLPTACTIQLRGGQIMTLKRDETLQDGCDTHFCKVNERGEYFWEKRVTGCPPFDEHKCLAEGGKIMKIPGTCCDTCEEPECNDITARLQYVKVGSCKSEVEVDIHYCQGKCASKAMYSIDINDVQDQCSCCSPTRTEPMQVALHCTNGSVVYHEVLNAMECKCSPRKCSK
+>DECOY_sp|P04275|VWF_HUMAN von Willebrand factor OS=Homo sapiens OX=9606 GN=VWF PE=1 SV=4
+KSCKRPSCKCEMANLVEHYVVSGNTCHLAVQMPETRTPSCCSCQDQVDNIDISYMAKSACKGQCYHIDVEVESKCSGVKVYQLRATIDNCEPEECTDCCTGPIKMIKGGEALCKHEDFPPCGTVRKEWFYEGRENVKCFHTDCGDQLTEDRKLTMIQGGRLQITCATPLCRGCCEGTNNEEKYGLPCPNCTTKRCELKFGSIVGVQVMCRCTTCVDIMVTKGPGIVTGNLMCAEMRECRCSPCCASTKCSLQFGSPCVPVELQPCSVNRQQIFVEEKVRVCENILCPNEPSAWQSGVSKWSSQSDGRPSGTVVECASPLCRGCCEGEHLVYTFGSRCSDECPKQSCQAVRLGMVADEMDTCTCVDCGEEWFQGVPYITSRHVCVKDPLCTTTTCGCDNTATSALYGLPCSVTSNVCNCACEYEDCCQTKRLTPLRHPPCSPPSVRKCEEKRCACTFNPRCEGPNTLTPQLGRECHPVPPLDCSVPDCVCEYEPCCQDANQRLRAVECLGCTPAKATPCPQTTCNVKRGSLCTCIQCPQHDPVWAELFQHQVGDEGICQTCAEEPVCSGELMVKDPPCFCGESPHDGCSSVNGDCHRPCGHECHNYVLSPPCSMACFDPTRWDVCVGNTRCLHAYSAIVECVQEQHCSDQQCIAYFTAPALVKHCEAFLPLLLVQCHSSDPVLCQEELIPQCTQGPRQVTWEQVLTKWDTTVTGDRLMFDNAGNEDCIGCLGYTKSAFTKPSLQLQFENNQPTFTFIHGLHNFRVEHMIAGYVNVEMNGGVYPVSVLRGNVTVEMDSHLEVSLASHKVEISKMCGQRAGPSCAGNHLIVELDQEKNQFLVYSCSGTLKFNQGDFTVIHRTSSGTCVCPCTWRCGCTEEVKVPSQSNPCSPRLGRDCNVRHSKLLTQGDPQCTVTHCQDPLTWVDGPRKENGDEDMCIRVFGSCLKHLFSNGLTVMTPLDEIRQLKVVNSDGAPGALIRLQAADYRDGIGIPFVTVRNSRAADAAADVSDVSVDTVLIVVAKSAGPRAGHMESTLYRVAFGLADGIQSPGGERQMVDVLSLLHAKEPVVNWPVDITTISGYQLVSVQTLRPGINAKSIFAKAFSKMEDFYSAPFSSSGDLLLIVDLPQSCDPAPSLTPIQLGEGSCCRQLVLDPAERPLTEFDQILIPANPWGIRELEQVNANPGVGIPVVQIDGPLRKIEDSAPNGTVMYVLNPAQERDGQSVLFSHDSLYRLALGTNTRNGGQYRIERVRQLIDGKSQAESFPYEVTVMYSYQLVTVHISDQGVDMRQIVEEMFEKSRNFDAEGIKDSGELVFAVDLVMSNRKPGLTSVGLLGPGVTVQAMDPPLTPPPAEPALDCLYSVIEDRQQELEDVSSLVFAKNEPAQKEILRIQKLNAHPGIGVPIVIVKKKKLGQVYRVFNRSMRQPEQSAMLLLTIRSAEPRDIKSFIQFLTYKLVESTSAVQSGAYKVQSAIRRLESPRKRDKLGIYAHSGDHYEVVAVRVWKQSIRLREMMDVVFAKLVEFEAESLRSSGDLLFVLDLLRSCYFDHLPPESIDEVYLTTPSVPADTPPVVLGGPEQCAECTLNVVDCHCIQCHEPDSPNLTVKKGSAFRRGAVECVPCDEPDVCTQLLEDLIKGPPCHAHCGEVCQVPCALPEPHQCTVQCAPACSNYRWECEYGNERLNREECSQPCLTATRWTVVKGHQACVHAYAAITDCFCACDGISECSCTDYICVDLYPEPDVLKNCDQFVDSTLIRCSSDVMTQKMINNHCTAPSSDLPVKRTDACQSSVKWSNGFDVPDEEVQLNSSTLDNNQIGDFNGCLGCVKEQYTQKLVVSISLHRDWVVSLAKGLLLIIYRGSEVVEFHTEDKMPRKVNVEGDFLEIEGGEVLITVRKKCKVSPHSCGKNGVLIRFTGPNSGCYDQVLVYQCEGPFLYKLGDFTLYHAMGITSCTADCVHDTCNWKRDQCVCTNCGIKVTEGPAYEKGQHFCPCRELAVCRNEHRVMGPPCLCGSVCGMSMCELDYNQCTKTCELGEARLNDAPCVLKVMPPRCSLSRKSRHSLPSSLVADPLLSGPVGSMTCHMFGDECYCMTHHDSFIDEPQFIEGDYYCPCQAKPVCDGREDMYLGPPCFCGELCAENCEEDPYSLSRCTLNCPTGCQLYVQGKPCNLECRGPERWAVRVGRGACAAAYSALAGCLCERGDSCSCVDYRCNRLYPLPSVARHCAEFTPSTLVACAEESFRTMRPNLACPDSHQKQLDQCDGHLKWANGFDEVRPEALGSPTLFDDGQNGNYNGCLGCTKGAYVPSLKVLLRGRGDWDMQLDEGYSLRVSATVTHQIRLDGKLLPLQVDQGDMAVGAGHKLKVLSNHLGPLRVTVSRTCVADRDDACQVTEIVISFSHDQCDRALLYQCIGSFTFYRNDFSKFHSQGTVLCEGPCEENSCIWQSNRCICTNCDRSLSTGPPYRKGSHVCPCETSEVCLGEDLLQGEPCSCGDVCREQCMENIHLSQCTRACPSVCQRYEMGAPCVPSCASHDTWGYLVMGEQACTRAYELLAPCACELGGACECLTKECLAVFPEPDVLPHCRAFVSTSKLLQCQEWLGKQMEGSSINCSSSPPSARECWQEGSSLAWSNAFDYPDSTLTGEQTMFDDEAFINFNGCLGCTKNFYRDSLLVQFNGSGDIRAVFGYAEGSLKYYGAETELYLGKSAYPMSVRQDGQTVTGNVFLHIDFFEGLYVSLSVRKGNQFDGIISFSRKQCGGALLYSCYGAFSYMSGDFTNVFDSGFLSCRATSSRGRTGEACLTGPLILALALLVGAFRAPIM
+>sp|Q9Y4E1|WAC2C_HUMAN WASH complex subunit 2C OS=Homo sapiens OX=9606 GN=WASHC2C PE=1 SV=3
+MMNRTTPDQELVPASEPVWERPWSVEEIRRSSQSWSLAADAGLLQFLQEFSQQTISRTHEIKKQVDGLIRETKATDCRLHNVFNDFLMLSNTQFIENRVYDEEVEEPVLKAEAEKTEQEKTREQKEVDLIPKVQEAVNYGLQVLDSAFEQLDIKAGNSDSEEDDANGRVELILEPKDLYIDRPLPYLIGSKLFMEQEDVGLGELSSEEGSVGSDRGSIVDTEEEKEEEESDEDFAHHSDNEQNQHTTQMSDEEEDDDGCDLFADSEKEEEDIEDIEENTRPKRSRPTSFADELAARIKGDAMGRVDEEPTTLPSGEAKPRKTLKEKKERRTPSDDEEDNLFAPPKLTDEDFSPFGSGGGLFSGGKGLFDDEDEESDLFTEASQDRQAGASVKEESSSSKPGKKIPAGAVSVFLGDTDVFGAASVPSLKEPQKPEQPTPRKSPYGPPPTGLFDDDDGDDDDDFFSAPHSKPSKTRKVQSTADIFGDEEGDLFKEKAVASPEATVSQTDENKARAEKKVTLSYSKNLKPSSETKTQKGLFSDEEDSEDLFSSQSASNLKGASLLPGKLPTSVSLFDDEDEEDNLFGGTAAKKQTLSLQAQREEKAKASELSKKKASALLFSSDEEWNIPASQTHLASDSRSKGEPRDSGTLQSQEAKAVKKTSLFEEDKEDDLFAIAKDSQKKTQRVSLLFEDDVDSGGSLFGSPPTSVPPATKKKETVSEAPPLLFSDEEEKEAQLGVKSVDKKVESAKESLKFGRTDVAESEKEGLLTRSAQETVKHSDLFSSSSPWDKGTKPRTKTVLSLFDEEEDKMEDQNIIQAPQKEVGKGCDPDAHPKSTGVFQDEELLFSHKLQKDNDPDVDLFAGTKKTKLLEPSVGSLFGDDEDDDLFSSAKSQPLVQEKKRVVKKDHSVNSFKNQKHPESIQGSKEKGIWKPETPQANLAINPAALLPTAASQISEVKPVLPELAFPSSEHRRSHGLESVPVLPGSGEAGVSFDLPAQADTLHSANKSRVKMRGKRRPQTRAARRLAAQESSEAEDMSVPRGPIAQWADGAISPNGHRPQLRAASGEDSTEEALAAAAAPWEGGPVPGVDTSPFAKSLGHSRGEADLFDSGDIFSTGTGSQSVERTKPKAKIAENPANPPVGGKAKSPMFPALGEASSDDDLFQSAKPKPAKKTNPFPLLEDEDDLFTDQKVKKNETKSSSQQDVILTTQDIFEDDIFATEAIKPSQKTREKEKTLESNLFDDNIDIFADLTVKPKEKSKKKVEAKSIFDDDMDDIFSTGIQAKTTKPKSRSAQAAPEPRFEHKVSNIFDDPLNAFGGQ
+>DECOY_sp|Q9Y4E1|WAC2C_HUMAN WASH complex subunit 2C OS=Homo sapiens OX=9606 GN=WASHC2C PE=1 SV=3
+QGGFANLPDDFINSVKHEFRPEPAAQASRSKPKTTKAQIGTSFIDDMDDDFISKAEVKKKSKEKPKVTLDAFIDINDDFLNSELTKEKERTKQSPKIAETAFIDDEFIDQTTLIVDQQSSSKTENKKVKQDTFLDDEDELLPFPNTKKAPKPKASQFLDDDSSAEGLAPFMPSKAKGGVPPNAPNEAIKAKPKTREVSQSGTGTSFIDGSDFLDAEGRSHGLSKAFPSTDVGPVPGGEWPAAAAALAEETSDEGSAARLQPRHGNPSIAGDAWQAIPGRPVSMDEAESSEQAALRRAARTQPRRKGRMKVRSKNASHLTDAQAPLDFSVGAEGSGPLVPVSELGHSRRHESSPFALEPLVPKVESIQSAATPLLAAPNIALNAQPTEPKWIGKEKSGQISEPHKQNKFSNVSHDKKVVRKKEQVLPQSKASSFLDDDEDDGFLSGVSPELLKTKKTGAFLDVDPDNDKQLKHSFLLEEDQFVGTSKPHADPDCGKGVEKQPAQIINQDEMKDEEEDFLSLVTKTRPKTGKDWPSSSSFLDSHKVTEQASRTLLGEKESEAVDTRGFKLSEKASEVKKDVSKVGLQAEKEEEDSFLLPPAESVTEKKKTAPPVSTPPSGFLSGGSDVDDEFLLSVRQTKKQSDKAIAFLDDEKDEEFLSTKKVAKAEQSQLTGSDRPEGKSRSDSALHTQSAPINWEEDSSFLLASAKKKSLESAKAKEERQAQLSLTQKKAATGGFLNDEEDEDDFLSVSTPLKGPLLSAGKLNSASQSSFLDESDEEDSFLGKQTKTESSPKLNKSYSLTVKKEARAKNEDTQSVTAEPSAVAKEKFLDGEEDGFIDATSQVKRTKSPKSHPASFFDDDDDGDDDDFLGTPPPGYPSKRPTPQEPKQPEKLSPVSAAGFVDTDGLFVSVAGAPIKKGPKSSSSEEKVSAGAQRDQSAETFLDSEEDEDDFLGKGGSFLGGGSGFPSFDEDTLKPPAFLNDEEDDSPTRREKKEKLTKRPKAEGSPLTTPEEDVRGMADGKIRAALEDAFSTPRSRKPRTNEEIDEIDEEEKESDAFLDCGDDDEEEDSMQTTHQNQENDSHHAFDEDSEEEEKEEETDVISGRDSGVSGEESSLEGLGVDEQEMFLKSGILYPLPRDIYLDKPELILEVRGNADDEESDSNGAKIDLQEFASDLVQLGYNVAEQVKPILDVEKQERTKEQETKEAEAKLVPEEVEEDYVRNEIFQTNSLMLFDNFVNHLRCDTAKTERILGDVQKKIEHTRSITQQSFEQLFQLLGADAALSWSQSSRRIEEVSWPREWVPESAPVLEQDPTTRNMM
+>sp|Q9BTA9|WAC_HUMAN WW domain-containing adapter protein with coiled-coil OS=Homo sapiens OX=9606 GN=WAC PE=1 SV=3
+MVMYARKQQRLSDGCHDRRGDSQPYQALKYSSKSHPSSGDHRHEKMRDAGDPSPPNKMLRRSDSPENKYSDSTGHSKAKNVHTHRVRERDGGTSYSPQENSHNHSALHSSNSHSSNPSNNPSKTSDAPYDSADDWSEHISSSGKKYYYNCRTEVSQWEKPKEWLEREQRQKEANKMAVNSFPKDRDYRREVMQATATSGFASGMEDKHSSDASSLLPQNILSQTSRHNDRDYRLPRAETHSSSTPVQHPIKPVVHPTATPSTVPSSPFTLQSDHQPKKSFDANGASTLSKLPTPTSSVPAQKTERKESTSGDKPVSHSCTTPSTSSASGLNPTSAPPTSASAVPVSPVPQSPIPPLLQDPNLLRQLLPALQATLQLNNSNVDISKINEVLTAAVTQASLQSIIHKFLTAGPSAFNITSLISQAAQLSTQAQPSNQSPMSLTSDASSPRSYVSPRISTPQTNTVPIKPLISTPPVSSQPKVSTPVVKQGPVSQSATQQPVTADKQQGHEPVSPRSLQRSSSQRSPSPGPNHTSNSSNASNATVVPQNSSARSTCSLTPALAAHFSENLIKHVQGWPADHAEKQASRLREEAHNMGTIHMSEICTELKNLRSLVRVCEIQATLREQRILFLRQQIKELEKLKNQNSFMV
+>DECOY_sp|Q9BTA9|WAC_HUMAN WW domain-containing adapter protein with coiled-coil OS=Homo sapiens OX=9606 GN=WAC PE=1 SV=3
+VMFSNQNKLKELEKIQQRLFLIRQERLTAQIECVRVLSRLNKLETCIESMHITGMNHAEERLRSAQKEAHDAPWGQVHKILNESFHAALAPTLSCTSRASSNQPVVTANSANSSNSTHNPGPSPSRQSSSRQLSRPSVPEHGQQKDATVPQQTASQSVPGQKVVPTSVKPQSSVPPTSILPKIPVTNTQPTSIRPSVYSRPSSADSTLSMPSQNSPQAQTSLQAAQSILSTINFASPGATLFKHIISQLSAQTVAATLVENIKSIDVNSNNLQLTAQLAPLLQRLLNPDQLLPPIPSQPVPSVPVASASTPPASTPNLGSASSTSPTTCSHSVPKDGSTSEKRETKQAPVSSTPTPLKSLTSAGNADFSKKPQHDSQLTFPSSPVTSPTATPHVVPKIPHQVPTSSSHTEARPLRYDRDNHRSTQSLINQPLLSSADSSHKDEMGSAFGSTATAQMVERRYDRDKPFSNVAMKNAEKQRQERELWEKPKEWQSVETRCNYYYKKGSSSIHESWDDASDYPADSTKSPNNSPNSSHSNSSHLASHNHSNEQPSYSTGGDRERVRHTHVNKAKSHGTSDSYKNEPSDSRRLMKNPPSPDGADRMKEHRHDGSSPHSKSSYKLAQYPQSDGRRDHCGDSLRQQKRAYMVM
+>sp|Q2M389|WASC4_HUMAN WASH complex subunit 4 OS=Homo sapiens OX=9606 GN=WASHC4 PE=1 SV=2
+MAVETLSPDWEFDRVDDGSQKIHAEVQLKNYGKFLEEYTSQLRRIEDALDDSIGDVWDFNLDPIALKLLPYEQSSLLELIKTENKVLNKVITVYAALCCEIKKLKYEAETKFYNGLLFYGEGATDASMVEGDCQIQMGRFISFLQELSCFVTRCYEVVMNVVHQLAALYISNKIAPKIIETTGVHFQTMYEHLGELLTVLLTLDEIIDNHITLKDHWTMYKRLLKSVHHNPSKFGIQEEKLKPFEKFLLKLEGQLLDGMIFQACIEQQFDSLNGGVSVSKNSTFAEEFAHSIRSIFANVEAKLGEPSEIDQRDKYVGICGLFVLHFQIFRTIDKKFYKSLLDICKKVPAITLTANIIWFPDNFLIQKIPAAAKLLDRKSLQAIKIHRDTFLQQKAQSLTKDVQSYYVFVSSWMMKMESILSKEQRMDKFAEDLTNRCNVFIQGFLYAYSISTIIKTTMNLYMSMQKPMTKTSVKALCRLVELLKAIEHMFYRRSMVVADSVSHITQHLQHQALHSISVAKKRVISDKKYSEQRLDVLSALVLAENTLNGPSTKQRRLIVSLALSVGTQMKTFKDEELFPLQVVMKKLDLISELRERVQTQCDCCFLYWHRAVFPIYLDDVYENAVDAARLHYMFSALRDCVPAMMHARHLESYEILLDCYDKEIMEILNEHLLDKLCKEIEKDLRLSVHTHLKLDDRNPFKVGMKDLALFFSLNPIRFFNRFIDIRAYVTHYLDKTFYNLTTVALHDWATYSEMRNLATQRYGLVMTEAHLPSQTLEQGLDVLEIMRNIHIFVSRYLYNLNNQIFIERTSNNKHLNTINIRHIANSIRTHGTGIMNTTVNFTYQFLKKKFYIFSQFMYDEHIKSRLIKDIRFFREIKDQNDHKYPFDRAEKFNRGIRKLGVTPEGQSYLDQFRQLISQIGNAMGYVRMIRSGGLHCSSNAIRFVPDLEDIVNFEELVKEEGLAEETLKAARHLDSVLSDHTRNSAEGTEYFKMLVDVFAPEFRRPKNIHLRNFYIIVPPLTLNFVEHSISCKEKLNKKNKIGAAFTDDGFAMGVAYILKLLDQYREFDSLHWFQSVREKYLKEIRAVAKQQNVQSASQDEKLLQTMNLTQKRLDVYLQEFELLYFSLSSARIFFRADKTAAEENQEKKEKEEETKTSNGDLSDSTVSADPVVK
+>DECOY_sp|Q2M389|WASC4_HUMAN WASH complex subunit 4 OS=Homo sapiens OX=9606 GN=WASHC4 PE=1 SV=2
+KVVPDASVTSDSLDGNSTKTEEEKEKKEQNEEAATKDARFFIRASSLSFYLLEFEQLYVDLRKQTLNMTQLLKEDQSASQVNQQKAVARIEKLYKERVSQFWHLSDFERYQDLLKLIYAVGMAFGDDTFAAGIKNKKNLKEKCSISHEVFNLTLPPVIIYFNRLHINKPRRFEPAFVDVLMKFYETGEASNRTHDSLVSDLHRAAKLTEEALGEEKVLEEFNVIDELDPVFRIANSSCHLGGSRIMRVYGMANGIQSILQRFQDLYSQGEPTVGLKRIGRNFKEARDFPYKHDNQDKIERFFRIDKILRSKIHEDYMFQSFIYFKKKLFQYTFNVTTNMIGTGHTRISNAIHRINITNLHKNNSTREIFIQNNLNYLYRSVFIHINRMIELVDLGQELTQSPLHAETMVLGYRQTALNRMESYTAWDHLAVTTLNYFTKDLYHTVYARIDIFRNFFRIPNLSFFLALDKMGVKFPNRDDLKLHTHVSLRLDKEIEKCLKDLLHENLIEMIEKDYCDLLIEYSELHRAHMMAPVCDRLASFMYHLRAADVANEYVDDLYIPFVARHWYLFCCDCQTQVRERLESILDLKKMVVQLPFLEEDKFTKMQTGVSLALSVILRRQKTSPGNLTNEALVLASLVDLRQESYKKDSIVRKKAVSISHLAQHQLHQTIHSVSDAVVMSRRYFMHEIAKLLEVLRCLAKVSTKTMPKQMSMYLNMTTKIITSISYAYLFGQIFVNCRNTLDEAFKDMRQEKSLISEMKMMWSSVFVYYSQVDKTLSQAKQQLFTDRHIKIAQLSKRDLLKAAAPIKQILFNDPFWIINATLTIAPVKKCIDLLSKYFKKDITRFIQFHLVFLGCIGVYKDRQDIESPEGLKAEVNAFISRISHAFEEAFTSNKSVSVGGNLSDFQQEICAQFIMGDLLQGELKLLFKEFPKLKEEQIGFKSPNHHVSKLLRKYMTWHDKLTIHNDIIEDLTLLVTLLEGLHEYMTQFHVGTTEIIKPAIKNSIYLAALQHVVNMVVEYCRTVFCSLEQLFSIFRGMQIQCDGEVMSADTAGEGYFLLGNYFKTEAEYKLKKIECCLAAYVTIVKNLVKNETKILELLSSQEYPLLKLAIPDLNFDWVDGISDDLADEIRRLQSTYEELFKGYNKLQVEAHIKQSGDDVRDFEWDPSLTEVAM
+>sp|Q6VEQ5|WASH2_HUMAN WAS protein family homolog 2 OS=Homo sapiens OX=9606 GN=WASH2P PE=2 SV=2
+MTPVRMQHSLAGQTYAVPLIQPDLRREEAVQQMADALQYLQKVSGDIFSRISQQVEQSRSQVQAIGEKVSLAQAKIEKIKGSKKAIKVFSSAKYPAPERLQEYGSIFTGAQDPGLQRRPRHRIQSKHRPLDERALQEKLKDFPVCVSTKPEPEDDAEEGLGGLPSNISSVSSLLLFNTTENLYKKYVFLDPLAGAVTKTHVMLGAETEEKLFDAPLSISKREQLEQQVPENYFYVPDLGQVPEIDVPSYLPDLPGIANDLMYIADLGPGIAPSAPGTIPELPTFHTEVAEPLKVDLQDGVLTPPPPPPPPPPAPEVLASAPPLPPSTAAPVGQGARQDDSSSSASPSVQGAPREVVDPSGGRATLLESIRQAGGIGKAKLRSMKERKLEKKKQKEQEQVRATSQGGHLMSDLFNKLVMRRKGISGKGPGAGEGPGGAFARVSDSIPPLPPPQQPQAEEDEDDWES
+>DECOY_sp|Q6VEQ5|WASH2_HUMAN WAS protein family homolog 2 OS=Homo sapiens OX=9606 GN=WASH2P PE=2 SV=2
+SEWDDEDEEAQPQQPPPLPPISDSVRAFAGGPGEGAGPGKGSIGKRRMVLKNFLDSMLHGGQSTARVQEQEKQKKKELKREKMSRLKAKGIGGAQRISELLTARGGSPDVVERPAGQVSPSASSSSDDQRAGQGVPAATSPPLPPASALVEPAPPPPPPPPPPTLVGDQLDVKLPEAVETHFTPLEPITGPASPAIGPGLDAIYMLDNAIGPLDPLYSPVDIEPVQGLDPVYFYNEPVQQELQERKSISLPADFLKEETEAGLMVHTKTVAGALPDLFVYKKYLNETTNFLLLSSVSSINSPLGGLGEEADDEPEPKTSVCVPFDKLKEQLAREDLPRHKSQIRHRPRRQLGPDQAGTFISGYEQLREPAPYKASSFVKIAKKSGKIKEIKAQALSVKEGIAQVQSRSQEVQQSIRSFIDGSVKQLYQLADAMQQVAEERRLDPQILPVAYTQGALSHQMRVPTM
+>sp|Q8WWY7|WFD12_HUMAN WAP four-disulfide core domain protein 12 OS=Homo sapiens OX=9606 GN=WFDC12 PE=1 SV=1
+MGSSSFLVLMVSLVLVTLVAVEGVKEGIEKAGVCPADNVRCFKSDPPQCHTDQDCLGERKCCYLHCGFKCVIPVKELEEGGNKDEDVSRPYPEPGWEAKCPGSSSTRCPQK
+>DECOY_sp|Q8WWY7|WFD12_HUMAN WAP four-disulfide core domain protein 12 OS=Homo sapiens OX=9606 GN=WFDC12 PE=1 SV=1
+KQPCRTSSSGPCKAEWGPEPYPRSVDEDKNGGEELEKVPIVCKFGCHLYCCKREGLCDQDTHCQPPDSKFCRVNDAPCVGAKEIGEKVGEVAVLTVLVLSVMLVLFSSSGM
+>sp|Q9HC57|WFDC1_HUMAN WAP four-disulfide core domain protein 1 OS=Homo sapiens OX=9606 GN=WFDC1 PE=2 SV=2
+MPLTGVGPGSCRRQIIRALCLLLLLLHAGSAKNIWKRALPARLAEKSRAEEAGAPGGPRQPRADRCPPPPRTLPPGACQAARCQADSECPRHRRCCYNGCAYACLEAVPPPPVLDWLVQPKPRWLGGNGWLLDGPEEVLQAEACSTTEDGAEPLLCPSGYECHILSPGDVAEGIPNRGQCVKQRRQADGRILRHKLYKEYPEGDSKNVAEPGRGQQKHFQ
+>DECOY_sp|Q9HC57|WFDC1_HUMAN WAP four-disulfide core domain protein 1 OS=Homo sapiens OX=9606 GN=WFDC1 PE=2 SV=2
+QFHKQQGRGPEAVNKSDGEPYEKYLKHRLIRGDAQRRQKVCQGRNPIGEAVDGPSLIHCEYGSPCLLPEAGDETTSCAEAQLVEEPGDLLWGNGGLWRPKPQVLWDLVPPPPVAELCAYACGNYCCRRHRPCESDAQCRAAQCAGPPLTRPPPPCRDARPQRPGGPAGAEEARSKEALRAPLARKWINKASGAHLLLLLLCLARIIQRRCSGPGVGTLPM
+>sp|Q5MNZ6|WIPI3_HUMAN WD repeat domain phosphoinositide-interacting protein 3 OS=Homo sapiens OX=9606 GN=WDR45B PE=2 SV=2
+MNLLPCNPHGNGLLYAGFNQDHGCFACGMENGFRVYNTDPLKEKEKQEFLEGGVGHVEMLFRCNYLALVGGGKKPKYPPNKVMIWDDLKKKTVIEIEFSTEVKAVKLRRDRIVVVLDSMIKVFTFTHNPHQLHVFETCYNPKGLCVLCPNSNNSLLAFPGTHTGHVQLVDLASTEKPPVDIPAHEGVLSCIALNLQGTRIATASEKGTLIRIFDTSSGHLIQELRRGSQAANIYCINFNQDASLICVSSDHGTVHIFAAEDPKRNKQSSLASASFLPKYFSSKWSFSKFQVPSGSPCICAFGTEPNAVIAICADGSYYKFLFNPKGECIRDVYAQFLEMTDDKL
+>DECOY_sp|Q5MNZ6|WIPI3_HUMAN WD repeat domain phosphoinositide-interacting protein 3 OS=Homo sapiens OX=9606 GN=WDR45B PE=2 SV=2
+LKDDTMELFQAYVDRICEGKPNFLFKYYSGDACIAIVANPETGFACICPSGSPVQFKSFSWKSSFYKPLFSASALSSQKNRKPDEAAFIHVTGHDSSVCILSADQNFNICYINAAQSGRRLEQILHGSSTDFIRILTGKESATAIRTGQLNLAICSLVGEHAPIDVPPKETSALDVLQVHGTHTGPFALLSNNSNPCLVCLGKPNYCTEFVHLQHPNHTFTFVKIMSDLVVVIRDRRLKVAKVETSFEIEIVTKKKLDDWIMVKNPPYKPKKGGGVLALYNCRFLMEVHGVGGELFEQKEKEKLPDTNYVRFGNEMGCAFCGHDQNFGAYLLGNGHPNCPLLNM
+>sp|Q5T9L3|WLS_HUMAN Protein wntless homolog OS=Homo sapiens OX=9606 GN=WLS PE=1 SV=2
+MAGAIIENMSTKKLCIVGGILLVFQIIAFLVGGLIAPGPTTAVSYMSVKCVDARKNHHKTKWFVPWGPNHCDKIRDIEEAIPREIEANDIVFSVHIPLPHMEMSPWFQFMLFILQLDIAFKLNNQIRENAEVSMDVSLAYRDDAFAEWTEMAHERVPRKLKCTFTSPKTPEHEGRYYECDVLPFMEIGSVAHKFYLLNIRLPVNEKKKINVGIGEIKDIRLVGIHQNGGFTKVWFAMKTFLTPSIFIIMVWYWRRITMMSRPPVLLEKVIFALGISMTFINIPVEWFSIGFDWTWMLLFGDIRQGIFYAMLLSFWIIFCGEHMMDQHERNHIAGYWKQVGPIAVGSFCLFIFDMCERGVQLTNPFYSIWTTDIGTELAMAFIIVAGICLCLYFLFLCFMVFQVFRNISGKQSSLPAMSKVRRLHYEGLIFRFKFLMLITLACAAMTVIFFIVSQVTEGHWKWGGVTVQVNSAFFTGIYGMWNLYVFALMFLYAPSHKNYGEDQSNGDLGVHSGEELQLTTTITHVDGPTEIYKLTRKEAQE
+>DECOY_sp|Q5T9L3|WLS_HUMAN Protein wntless homolog OS=Homo sapiens OX=9606 GN=WLS PE=1 SV=2
+EQAEKRTLKYIETPGDVHTITTTLQLEEGSHVGLDGNSQDEGYNKHSPAYLFMLAFVYLNWMGYIGTFFASNVQVTVGGWKWHGETVQSVIFFIVTMAACALTILMLFKFRFILGEYHLRRVKSMAPLSSQKGSINRFVQFVMFCLFLFYLCLCIGAVIIFAMALETGIDTTWISYFPNTLQVGRECMDFIFLCFSGVAIPGVQKWYGAIHNREHQDMMHEGCFIIWFSLLMAYFIGQRIDGFLLMWTWDFGISFWEVPINIFTMSIGLAFIVKELLVPPRSMMTIRRWYWVMIIFISPTLFTKMAFWVKTFGGNQHIGVLRIDKIEGIGVNIKKKENVPLRINLLYFKHAVSGIEMFPLVDCEYYRGEHEPTKPSTFTCKLKRPVREHAMETWEAFADDRYALSVDMSVEANERIQNNLKFAIDLQLIFLMFQFWPSMEMHPLPIHVSFVIDNAEIERPIAEEIDRIKDCHNPGWPVFWKTKHHNKRADVCKVSMYSVATTPGPAILGGVLFAIIQFVLLIGGVICLKKTSMNEIIAGAM
+>sp|O00744|WN10B_HUMAN Protein Wnt-10b OS=Homo sapiens OX=9606 GN=WNT10B PE=1 SV=2
+MLEEPRPRPPPSGLAGLLFLALCSRALSNEILGLKLPGEPPLTANTVCLTLSGLSKRQLGLCLRNPDVTASALQGLHIAVHECQHQLRDQRWNCSALEGGGRLPHHSAILKRGFRESAFSFSMLAAGVMHAVATACSLGKLVSCGCGWKGSGEQDRLRAKLLQLQALSRGKSFPHSLPSPGPGSSPSPGPQDTWEWGGCNHDMDFGEKFSRDFLDSREAPRDIQARMRIHNNRVGRQVVTENLKRKCKCHGTSGSCQFKTCWRAAPEFRAVGAALRERLGRAIFIDTHNRNSGAFQPRLRPRRLSGELVYFEKSPDFCERDPTMGSPGTRGRACNKTSRLLDGCGSLCCGRGHNVLRQTRVERCHCRFHWCCYVLCDECKVTEWVNVCK
+>DECOY_sp|O00744|WN10B_HUMAN Protein Wnt-10b OS=Homo sapiens OX=9606 GN=WNT10B PE=1 SV=2
+KCVNVWETVKCEDCLVYCCWHFRCHCREVRTQRLVNHGRGCCLSGCGDLLRSTKNCARGRTGPSGMTPDRECFDPSKEFYVLEGSLRRPRLRPQFAGSNRNHTDIFIARGLRERLAAGVARFEPAARWCTKFQCSGSTGHCKCKRKLNETVVQRGVRNNHIRMRAQIDRPAERSDLFDRSFKEGFDMDHNCGGWEWTDQPGPSPSSGPGPSPLSHPFSKGRSLAQLQLLKARLRDQEGSGKWGCGCSVLKGLSCATAVAHMVGAALMSFSFASERFGRKLIASHHPLRGGGELASCNWRQDRLQHQCEHVAIHLGQLASATVDPNRLCLGLQRKSLGSLTLCVTNATLPPEGPLKLGLIENSLARSCLALFLLGALGSPPPRPRPEELM
+>sp|Q9BYP7|WNK3_HUMAN Serine/threonine-protein kinase WNK3 OS=Homo sapiens OX=9606 GN=WNK3 PE=1 SV=3
+MATDSGDPASTEDSEKPDGISFENRVPQVAATLTVEARLKEKNSTFSASGETVERKRFFRKSVEMTEDDKVAESSPKDERIKAAMNIPRVDKLPSNVLRGGQEVKYEQCSKSTSEISKDCFKEKNEKEMEEEAEMKAVATSPSGRFLKFDIELGRGAFKTVYKGLDTETWVEVAWCELQDRKLTKAEQQRFKEEAEMLKGLQHPNIVRFYDSWESILKGKKCIVLVTELMTSGTLKTYLKRFKVMKPKVLRSWCRQILKGLQFLHTRTPPIIHRDLKCDNIFITGPTGSVKIGDLGLATLMRTSFAKSVIGTPEFMAPEMYEEHYDESVDVYAFGMCMLEMATSEYPYSECQNAAQIYRKVTSGIKPASFNKVTDPEVKEIIEGCIRQNKSERLSIRDLLNHAFFAEDTGLRVELAEEDDCSNSSLALRLWVEDPKKLKGKHKDNEAIEFSFNLETDTPEEVAYEMVKSGFFHESDSKAVAKSIRDRVTPIKKTREKKPAGCLEERRDSQCKSMGNVFPQPQNTTLPLAPAQQTGAECEETEVDQHVRQQLLQRKPQQHCSSVTGDNLSEAGAASVIHSDTSSQPSVAYSSNQTMGSQMVSNIPQAEVNVPGQIYSSQQLVGHYQQVSGLQKHSKLTQPQILPLVQGQSTVLPVHVLGPTVVSQPQVSPLTVQKVPQIKPVSQPVGAEQQAALLKPDLVRSLNQDVATTKENVSSPDNPSGNGKQDRIKQRRASCPRPEKGTKFQLTVLQVSTSGDNMVECQLETHNNKMVTFKFDVDGDAPEDIADYMVEDNFVLESEKEKFVEELRAIVGQAQEILHVHFATERATGVDSITVDSNSSQTGSSEQVQINSTSTQTSNESAPQSSPVGRWRFCINQTIRNRETQSPPSLQHSMSAVPGRHPLPSPKNTSNKEISRDTLLTIENNPCHRALFTSKSEHKDVVDGKISECASVETKQPAILYQVEDNRQIMAPVTNSSSYSTTSVRAVPAECEGLTKQASIFIPVYPCHQTASQADALMSHPGESTQTSGNSLTTLAFDQKPQTLSVQQPAMDAEFISQEGETTVNTEASSPKTVIPTQTPGLEPTTLQPTTVLESDGERPPKLEFADNRIKTLDEKLRNLLYQEHSISSIYPESQKDTQSIDSPFSSSAEDTLSCPVTEVIAISHCGIKDSPVQSPNFQQTGSKLLSNVAASQPANISVFKRDLNVITSVPSELCLHEMSSDASLPGDPEAYPAAVSSGGAIHLQTGGGYFGLSFTCPSLKNPISKKSWTRKLKSWAYRLRQSTSFFKRSKVRQVETEEMRSAIAPDPIPLTRESTADTRALNRCKAMSGSFQRGRFQVITIPQQQSAKMTSFGIEHISVFSETNHSSEEAFIKTAKSQLVEIEPATQNPKTSFSYEKLQALQETCKENKGVPKQGDNFLSFSAACETDVSSVTPEKEFEETSATGSSMQSGSELLLKEREILTAGKQPSSDSEFSASLAGSGKSVAKTGPESNQCLPHHEEQAYAQTQSSLFYSPSSPMSSDDESEIEDEDLKVELQRLREKHIQEVVNLQTQQNKELQELYERLRSIKDSKTQSTEIPLPPASPRRPRSFKSKLRSRPQSLTHVDNGIVATGKSCLINELENPLCVESNAASCQQSPASKKGMFTDDLHKLVDDWTKEAVGNSLIKPSLNQLKQSQHKLETENWNKVSENTPSTMGYTSTWISSLSQIRGAVPTSLPQGLSLPSFPGPLSSYGMPHVCQYNAVAGAGYPVQWVGISGTTQQSVVIPAQSGGPFQPGMNMQAFPTSSVQNPATIPPGPK
+>DECOY_sp|Q9BYP7|WNK3_HUMAN Serine/threonine-protein kinase WNK3 OS=Homo sapiens OX=9606 GN=WNK3 PE=1 SV=3
+KPGPPITAPNQVSSTPFAQMNMGPQFPGGSQAPIVVSQQTTGSIGVWQVPYGAGAVANYQCVHPMGYSSLPGPFSPLSLGQPLSTPVAGRIQSLSSIWTSTYGMTSPTNESVKNWNETELKHQSQKLQNLSPKILSNGVAEKTWDDVLKHLDDTFMGKKSAPSQQCSAANSEVCLPNELENILCSKGTAVIGNDVHTLSQPRSRLKSKFSRPRRPSAPPLPIETSQTKSDKISRLREYLEQLEKNQQTQLNVVEQIHKERLRQLEVKLDEDEIESEDDSSMPSSPSYFLSSQTQAYAQEEHHPLCQNSEPGTKAVSKGSGALSASFESDSSPQKGATLIEREKLLLESGSQMSSGTASTEEFEKEPTVSSVDTECAASFSLFNDGQKPVGKNEKCTEQLAQLKEYSFSTKPNQTAPEIEVLQSKATKIFAEESSHNTESFVSIHEIGFSTMKASQQQPITIVQFRGRQFSGSMAKCRNLARTDATSERTLPIPDPAIASRMEETEVQRVKSRKFFSTSQRLRYAWSKLKRTWSKKSIPNKLSPCTFSLGFYGGGTQLHIAGGSSVAAPYAEPDGPLSADSSMEHLCLESPVSTIVNLDRKFVSINAPQSAAVNSLLKSGTQQFNPSQVPSDKIGCHSIAIVETVPCSLTDEASSSFPSDISQTDKQSEPYISSISHEQYLLNRLKEDLTKIRNDAFELKPPREGDSELVTTPQLTTPELGPTQTPIVTKPSSAETNVTTEGEQSIFEADMAPQQVSLTQPKQDFALTTLSNGSTQTSEGPHSMLADAQSATQHCPYVPIFISAQKTLGECEAPVARVSTTSYSSSNTVPAMIQRNDEVQYLIAPQKTEVSACESIKGDVVDKHESKSTFLARHCPNNEITLLTDRSIEKNSTNKPSPLPHRGPVASMSHQLSPPSQTERNRITQNICFRWRGVPSSQPASENSTQTSTSNIQVQESSGTQSSNSDVTISDVGTARETAFHVHLIEQAQGVIARLEEVFKEKESELVFNDEVMYDAIDEPADGDVDFKFTVMKNNHTELQCEVMNDGSTSVQLVTLQFKTGKEPRPCSARRQKIRDQKGNGSPNDPSSVNEKTTAVDQNLSRVLDPKLLAAQQEAGVPQSVPKIQPVKQVTLPSVQPQSVVTPGLVHVPLVTSQGQVLPLIQPQTLKSHKQLGSVQQYHGVLQQSSYIQGPVNVEAQPINSVMQSGMTQNSSYAVSPQSSTDSHIVSAAGAESLNDGTVSSCHQQPKRQLLQQRVHQDVETEECEAGTQQAPALPLTTNQPQPFVNGMSKCQSDRREELCGAPKKERTKKIPTVRDRISKAVAKSDSEHFFGSKVMEYAVEEPTDTELNFSFEIAENDKHKGKLKKPDEVWLRLALSSNSCDDEEALEVRLGTDEAFFAHNLLDRISLRESKNQRICGEIIEKVEPDTVKNFSAPKIGSTVKRYIQAANQCESYPYESTAMELMCMGFAYVDVSEDYHEEYMEPAMFEPTGIVSKAFSTRMLTALGLDGIKVSGTPGTIFINDCKLDRHIIPPTRTHLFQLGKLIQRCWSRLVKPKMVKFRKLYTKLTGSTMLETVLVICKKGKLISEWSDYFRVINPHQLGKLMEAEEKFRQQEAKTLKRDQLECWAVEVWTETDLGKYVTKFAGRGLEIDFKLFRGSPSTAVAKMEAEEEMEKENKEKFCDKSIESTSKSCQEYKVEQGGRLVNSPLKDVRPINMAAKIREDKPSSEAVKDDETMEVSKRFFRKREVTEGSASFTSNKEKLRAEVTLTAAVQPVRNEFSIGDPKESDETSAPDGSDTAM
+>sp|Q9UBV4|WNT16_HUMAN Protein Wnt-16 OS=Homo sapiens OX=9606 GN=WNT16 PE=2 SV=1
+MDRAALLGLARLCALWAALLVLFPYGAQGNWMWLGIASFGVPEKLGCANLPLNSRQKELCKRKPYLLPSIREGARLGIQECGSQFRHERWNCMITAAATTAPMGASPLFGYELSSGTKETAFIYAVMAAGLVHSVTRSCSAGNMTECSCDTTLQNGGSASEGWHWGGCSDDVQYGMWFSRKFLDFPIGNTTGKENKVLLAMNLHNNEAGRQAVAKLMSVDCRCHGVSGSCAVKTCWKTMSSFEKIGHLLKDKYENSIQISDKTKRKMRRREKDQRKIPIHKDDLLYVNKSPNYCVEDKKLGIPGTQGRECNRTSEGADGCNLLCCGRGYNTHVVRHVERCECKFIWCCYVRCRRCESMTDVHTCK
+>DECOY_sp|Q9UBV4|WNT16_HUMAN Protein Wnt-16 OS=Homo sapiens OX=9606 GN=WNT16 PE=2 SV=1
+KCTHVDTMSECRRCRVYCCWIFKCECREVHRVVHTNYGRGCCLLNCGDAGESTRNCERGQTGPIGLKKDEVCYNPSKNVYLLDDKHIPIKRQDKERRRMKRKTKDSIQISNEYKDKLLHGIKEFSSMTKWCTKVACSGSVGHCRCDVSMLKAVAQRGAENNHLNMALLVKNEKGTTNGIPFDLFKRSFWMGYQVDDSCGGWHWGESASGGNQLTTDCSCETMNGASCSRTVSHVLGAAMVAYIFATEKTGSSLEYGFLPSAGMPATTAAATIMCNWREHRFQSGCEQIGLRAGERISPLLYPKRKCLEKQRSNLPLNACGLKEPVGFSAIGLWMWNGQAGYPFLVLLAAWLACLRALGLLAARDM
+>sp|P09544|WNT2_HUMAN Protein Wnt-2 OS=Homo sapiens OX=9606 GN=WNT2 PE=2 SV=1
+MNAPLGGIWLWLPLLLTWLTPEVNSSWWYMRATGGSSRVMCDNVPGLVSSQRQLCHRHPDVMRAISQGVAEWTAECQHQFRQHRWNCNTLDRDHSLFGRVLLRSSRESAFVYAISSAGVVFAITRACSQGEVKSCSCDPKKMGSAKDSKGIFDWGGCSDNIDYGIKFARAFVDAKERKGKDARALMNLHNNRAGRKAVKRFLKQECKCHGVSGSCTLRTCWLAMADFRKTGDYLWRKYNGAIQVVMNQDGTGFTVANERFKKPTKNDLVYFENSPDYCIRDREAGSLGTAGRVCNLTSRGMDSCEVMCCGRGYDTSHVTRMTKCGCKFHWCCAVRCQDCLEALDVHTCKAPKNADWTTAT
+>DECOY_sp|P09544|WNT2_HUMAN Protein Wnt-2 OS=Homo sapiens OX=9606 GN=WNT2 PE=2 SV=1
+TATTWDANKPAKCTHVDLAELCDQCRVACCWHFKCGCKTMRTVHSTDYGRGCCMVECSDMGRSTLNCVRGATGLSGAERDRICYDPSNEFYVLDNKTPKKFRENAVTFGTGDQNMVVQIAGNYKRWLYDGTKRFDAMALWCTRLTCSGSVGHCKCEQKLFRKVAKRGARNNHLNMLARADKGKREKADVFARAFKIGYDINDSCGGWDFIGKSDKASGMKKPDCSCSKVEGQSCARTIAFVVGASSIAYVFASERSSRLLVRGFLSHDRDLTNCNWRHQRFQHQCEATWEAVGQSIARMVDPHRHCLQRQSSVLGPVNDCMVRSSGGTARMYWWSSNVEPTLWTLLLPLWLWIGGLPANM
+>sp|P56703|WNT3_HUMAN Proto-oncogene Wnt-3 OS=Homo sapiens OX=9606 GN=WNT3 PE=1 SV=2
+MEPHLLGLLLGLLLGGTRVLAGYPIWWSLALGQQYTSLGSQPLLCGSIPGLVPKQLRFCRNYIEIMPSVAEGVKLGIQECQHQFRGRRWNCTTIDDSLAIFGPVLDKATRESAFVHAIASAGVAFAVTRSCAEGTSTICGCDSHHKGPPGEGWKWGGCSEDADFGVLVSREFADARENRPDARSAMNKHNNEAGRTTILDHMHLKCKCHGLSGSCEVKTCWWAQPDFRAIGDFLKDKYDSASEMVVEKHRESRGWVETLRAKYSLFKPPTERDLVYYENSPNFCEPNPETGSFGTRDRTCNVTSHGIDGCDLLCCGRGHNTRTEKRKEKCHCIFHWCCYVSCQECIRIYDVHTCK
+>DECOY_sp|P56703|WNT3_HUMAN Proto-oncogene Wnt-3 OS=Homo sapiens OX=9606 GN=WNT3 PE=1 SV=2
+KCTHVDYIRICEQCSVYCCWHFICHCKEKRKETRTNHGRGCCLLDCGDIGHSTVNCTRDRTGFSGTEPNPECFNPSNEYYVLDRETPPKFLSYKARLTEVWGRSERHKEVVMESASDYKDKLFDGIARFDPQAWWCTKVECSGSLGHCKCKLHMHDLITTRGAENNHKNMASRADPRNERADAFERSVLVGFDADESCGGWKWGEGPPGKHHSDCGCITSTGEACSRTVAFAVGASAIAHVFASERTAKDLVPGFIALSDDITTCNWRRGRFQHQCEQIGLKVGEAVSPMIEIYNRCFRLQKPVLGPISGCLLPQSGLSTYQQGLALSWWIPYGALVRTGGLLLGLLLGLLHPEM
+>sp|Q9Y6F9|WNT6_HUMAN Protein Wnt-6 OS=Homo sapiens OX=9606 GN=WNT6 PE=1 SV=2
+MLPPLPSRLGLLLLLLLCPAHVGGLWWAVGSPLVMDPTSICRKARRLAGRQAELCQAEPEVVAELARGARLGVRECQFQFRFRRWNCSSHSKAFGRILQQDIRETAFVFAITAAGASHAVTQACSMGELLQCGCQAPRGRAPPRPSGLPGTPGPPGPAGSPEGSAAWEWGGCGDDVDFGDEKSRLFMDARHKRGRGDIRALVQLHNNEAGRLAVRSHTRTECKCHGLSGSCALRTCWQKLPPFREVGARLLERFHGASRVMGTNDGKALLPAVRTLKPPGRADLLYAADSPDFCAPNRRTGSPGTRGRACNSSAPDLSGCDLLCCGRGHRQESVQLEENCLCRFHWCCVVQCHRCRVRKELSLCL
+>DECOY_sp|Q9Y6F9|WNT6_HUMAN Protein Wnt-6 OS=Homo sapiens OX=9606 GN=WNT6 PE=1 SV=2
+LCLSLEKRVRCRHCQVVCCWHFRCLCNEELQVSEQRHGRGCCLLDCGSLDPASSNCARGRTGPSGTRRNPACFDPSDAAYLLDARGPPKLTRVAPLLAKGDNTGMVRSAGHFRELLRAGVERFPPLKQWCTRLACSGSLGHCKCETRTHSRVALRGAENNHLQVLARIDGRGRKHRADMFLRSKEDGFDVDDGCGGWEWAASGEPSGAPGPPGPTGPLGSPRPPARGRPAQCGCQLLEGMSCAQTVAHSAGAATIAFVFATERIDQQLIRGFAKSHSSCNWRRFRFQFQCERVGLRAGRALEAVVEPEAQCLEAQRGALRRAKRCISTPDMVLPSGVAWWLGGVHAPCLLLLLLLGLRSPLPPLM
+>sp|O00258|WRB_HUMAN Tail-anchored protein insertion receptor WRB OS=Homo sapiens OX=9606 GN=WRB PE=1 SV=2
+MSSAAADHWAWLLVLSFVFGCNVLRILLPSFSSFMSRVLQKDAEQESQMRAEIQDMKQELSTVNMMDEFARYARLERKINKMTDKLKTHVKARTAQLAKIKWVISVAFYVLQAALMISLIWKYYSVPVAVVPSKWITPLDRLVAFPTRVAGGVGITCWILVCNKVVAIVLHPFS
+>DECOY_sp|O00258|WRB_HUMAN Tail-anchored protein insertion receptor WRB OS=Homo sapiens OX=9606 GN=WRB PE=1 SV=2
+SFPHLVIAVVKNCVLIWCTIGVGGAVRTPFAVLRDLPTIWKSPVVAVPVSYYKWILSIMLAAQLVYFAVSIVWKIKALQATRAKVHTKLKDTMKNIKRELRAYRAFEDMMNVTSLEQKMDQIEARMQSEQEADKQLVRSMFSSFSPLLIRLVNCGFVFSLVLLWAWHDAAASSM
+>sp|Q9P2S5|WRP73_HUMAN WD repeat-containing protein WRAP73 OS=Homo sapiens OX=9606 GN=WRAP73 PE=1 SV=1
+MNFSEVFKLSSLLCKFSPDGKYLASCVQYRLVVRDVNTLQILQLYTCLDQIQHIEWSADSLFILCAMYKRGLVQVWSLEQPEWHCKIDEGSAGLVASCWSPDGRHILNTTEFHLRITVWSLCTKSVSYIKYPKACLQGITFTRDGRYMALAERRDCKDYVSIFVCSDWQLLRHFDTDTQDLTGIEWAPNGCVLAVWDTCLEYKILLYSLDGRLLSTYSAYEWSLGIKSVAWSPSSQFLAVGSYDGKVRILNHVTWKMITEFGHPAAINDPKIVVYKEAEKSPQLGLGCLSFPPPRAGAGPLPSSESKYEIASVPVSLQTLKPVTDRANPKIGIGMLAFSPDSYFLATRNDNIPNAVWVWDIQKLRLFAVLEQLSPVRAFQWDPQQPRLAICTGGSRLYLWSPAGCMSVQVPGEGDFAVLSLCWHLSGDSMALLSKDHFCLCFLETEAVVGTACRQLGGHT
+>DECOY_sp|Q9P2S5|WRP73_HUMAN WD repeat-containing protein WRAP73 OS=Homo sapiens OX=9606 GN=WRAP73 PE=1 SV=1
+THGGLQRCATGVVAETELFCLCFHDKSLLAMSDGSLHWCLSLVAFDGEGPVQVSMCGAPSWLYLRSGGTCIALRPQQPDWQFARVPSLQELVAFLRLKQIDWVWVANPINDNRTALFYSDPSFALMGIGIKPNARDTVPKLTQLSVPVSAIEYKSESSPLPGAGARPPPFSLCGLGLQPSKEAEKYVVIKPDNIAAPHGFETIMKWTVHNLIRVKGDYSGVALFQSSPSWAVSKIGLSWEYASYTSLLRGDLSYLLIKYELCTDWVALVCGNPAWEIGTLDQTDTDFHRLLQWDSCVFISVYDKCDRREALAMYRGDRTFTIGQLCAKPYKIYSVSKTCLSWVTIRLHFETTNLIHRGDPSWCSAVLGASGEDIKCHWEPQELSWVQVLGRKYMACLIFLSDASWEIHQIQDLCTYLQLIQLTNVDRVVLRYQVCSALYKGDPSFKCLLSSLKFVESFNM
+>sp|Q8N9V3|WSDU1_HUMAN WD repeat, SAM and U-box domain-containing protein 1 OS=Homo sapiens OX=9606 GN=WDSUB1 PE=1 SV=3
+MVKLIHTLADHGDDVNCCAFSFSLLATCSLDKTIRLYSLRDFTELPHSPLKFHTYAVHCCCFSPSGHILASCSTDGTTVLWNTENGQMLAVMEQPSGSPVRVCQFSPDSTCLASGAADGTVVLWNAQSYKLYRCGSVKDGSLAACAFSPNGSFFVTGSSCGDLTVWDDKMRCPHSEKAHDLGITCCDFSSQPVSDGEQGLQFFRLASCGQDCQVKIWIVSFTHILGFELKYKSTLSGHCAPVLACAFSHDGQMLVSGSVDKSVIVYDTNTENILHTLTQHTRYVTTCAFAPNTLLLATGSMDKTVNIWQFDLETLCQARRTEHQLKQFTEDWSEEDVSTWLCAQDLKDLVGIFKMNNIDGKELLNLTKESLADDLKIESLGLRSKVLRKIEELRTKVKSLSSGIPDEFICPITRELMKDPVIASDGYSYEKEAMENWISKKKRTSPMTNLVLPSAVLTPNRTLKMAINRWLETHQK
+>DECOY_sp|Q8N9V3|WSDU1_HUMAN WD repeat, SAM and U-box domain-containing protein 1 OS=Homo sapiens OX=9606 GN=WDSUB1 PE=1 SV=3
+KQHTELWRNIAMKLTRNPTLVASPLVLNTMPSTRKKKSIWNEMAEKEYSYGDSAIVPDKMLERTIPCIFEDPIGSSLSKVKTRLEEIKRLVKSRLGLSEIKLDDALSEKTLNLLEKGDINNMKFIGVLDKLDQACLWTSVDEESWDETFQKLQHETRRAQCLTELDFQWINVTKDMSGTALLLTNPAFACTTVYRTHQTLTHLINETNTDYVIVSKDVSGSVLMQGDHSFACALVPACHGSLTSKYKLEFGLIHTFSVIWIKVQCDQGCSALRFFQLGQEGDSVPQSSFDCCTIGLDHAKESHPCRMKDDWVTLDGCSSGTVFFSGNPSFACAALSGDKVSGCRYLKYSQANWLVVTGDAAGSALCTSDPSFQCVRVPSGSPQEMVALMQGNETNWLVTTGDTSCSALIHGSPSFCCCHVAYTHFKLPSHPLETFDRLSYLRITKDLSCTALLSFSFACCNVDDGHDALTHILKVM
+>sp|P47989|XDH_HUMAN Xanthine dehydrogenase/oxidase OS=Homo sapiens OX=9606 GN=XDH PE=1 SV=4
+MTADKLVFFVNGRKVVEKNADPETTLLAYLRRKLGLSGTKLGCGEGGCGACTVMLSKYDRLQNKIVHFSANACLAPICSLHHVAVTTVEGIGSTKTRLHPVQERIAKSHGSQCGFCTPGIVMSMYTLLRNQPEPTMEEIENAFQGNLCRCTGYRPILQGFRTFARDGGCCGGDGNNPNCCMNQKKDHSVSLSPSLFKPEEFTPLDPTQEPIFPPELLRLKDTPRKQLRFEGERVTWIQASTLKELLDLKAQHPDAKLVVGNTEIGIEMKFKNMLFPMIVCPAWIPELNSVEHGPDGISFGAACPLSIVEKTLVDAVAKLPAQKTEVFRGVLEQLRWFAGKQVKSVASVGGNIITASPISDLNPVFMASGAKLTLVSRGTRRTVQMDHTFFPGYRKTLLSPEEILLSIEIPYSREGEYFSAFKQASRREDDIAKVTSGMRVLFKPGTTEVQELALCYGGMANRTISALKTTQRQLSKLWKEELLQDVCAGLAEELHLPPDAPGGMVDFRCTLTLSFFFKFYLTVLQKLGQENLEDKCGKLDPTFASATLLFQKDPPADVQLFQEVPKGQSEEDMVGRPLPHLAADMQASGEAVYCDDIPRYENELSLRLVTSTRAHAKIKSIDTSEAKKVPGFVCFISADDVPGSNITGICNDETVFAKDKVTCVGHIIGAVVADTPEHTQRAAQGVKITYEELPAIITIEDAIKNNSFYGPELKIEKGDLKKGFSEADNVVSGEIYIGGQEHFYLETHCTIAVPKGEAGEMELFVSTQNTMKTQSFVAKMLGVPANRIVVRVKRMGGGFGGKETRSTVVSTAVALAAYKTGRPVRCMLDRDEDMLITGGRHPFLARYKVGFMKTGTVVALEVDHFSNVGNTQDLSQSIMERALFHMDNCYKIPNIRGTGRLCKTNLPSNTAFRGFGGPQGMLIAECWMSEVAVTCGMPAEEVRRKNLYKEGDLTHFNQKLEGFTLPRCWEECLASSQYHARKSEVDKFNKENCWKKRGLCIIPTKFGISFTVPFLNQAGALLHVYTDGSVLLTHGGTEMGQGLHTKMVQVASRALKIPTSKIYISETSTNTVPNTSPTAASVSADLNGQAVYAACQTILKRLEPYKKKNPSGSWEDWVTAAYMDTVSLSATGFYRTPNLGYSFETNSGNPFHYFSYGVACSEVEIDCLTGDHKNLRTDIVMDVGSSLNPAIDIGQVEGAFVQGLGLFTLEELHYSPEGSLHTRGPSTYKIPAFGSIPIEFRVSLLRDCPNKKAIYASKAVGEPPLFLAASIFFAIKDAIRAARAQHTGNNVKELFRLDSPATPEKIRNACVDKFTTLCVTGVPENCKPWSVRV
+>DECOY_sp|P47989|XDH_HUMAN Xanthine dehydrogenase/oxidase OS=Homo sapiens OX=9606 GN=XDH PE=1 SV=4
+VRVSWPKCNEPVGTVCLTTFKDVCANRIKEPTAPSDLRFLEKVNNGTHQARAARIADKIAFFISAALFLPPEGVAKSAYIAKKNPCDRLLSVRFEIPISGFAPIKYTSPGRTHLSGEPSYHLEELTFLGLGQVFAGEVQGIDIAPNLSSGVDMVIDTRLNKHDGTLCDIEVESCAVGYSFYHFPNGSNTEFSYGLNPTRYFGTASLSVTDMYAATVWDEWSGSPNKKKYPELRKLITQCAAYVAQGNLDASVSAATPSTNPVTNTSTESIYIKSTPIKLARSAVQVMKTHLGQGMETGGHTLLVSGDTYVHLLAGAQNLFPVTFSIGFKTPIICLGRKKWCNEKNFKDVESKRAHYQSSALCEEWCRPLTFGELKQNFHTLDGEKYLNKRRVEEAPMGCTVAVESMWCEAILMGQPGGFGRFATNSPLNTKCLRGTGRINPIKYCNDMHFLAREMISQSLDQTNGVNSFHDVELAVVTGTKMFGVKYRALFPHRGGTILMDEDRDLMCRVPRGTKYAALAVATSVVTSRTEKGGFGGGMRKVRVVIRNAPVGLMKAVFSQTKMTNQTSVFLEMEGAEGKPVAITCHTELYFHEQGGIYIEGSVVNDAESFGKKLDGKEIKLEPGYFSNNKIADEITIIAPLEEYTIKVGQAARQTHEPTDAVVAGIIHGVCTVKDKAFVTEDNCIGTINSGPVDDASIFCVFGPVKKAESTDISKIKAHARTSTVLRLSLENEYRPIDDCYVAEGSAQMDAALHPLPRGVMDEESQGKPVEQFLQVDAPPDKQFLLTASAFTPDLKGCKDELNEQGLKQLVTLYFKFFFSLTLTCRFDVMGGPADPPLHLEEALGACVDQLLEEKWLKSLQRQTTKLASITRNAMGGYCLALEQVETTGPKFLVRMGSTVKAIDDERRSAQKFASFYEGERSYPIEISLLIEEPSLLTKRYGPFFTHDMQVTRRTGRSVLTLKAGSAMFVPNLDSIPSATIINGGVSAVSKVQKGAFWRLQELVGRFVETKQAPLKAVADVLTKEVISLPCAAGFSIGDPGHEVSNLEPIWAPCVIMPFLMNKFKMEIGIETNGVVLKADPHQAKLDLLEKLTSAQIWTVREGEFRLQKRPTDKLRLLEPPFIPEQTPDLPTFEEPKFLSPSLSVSHDKKQNMCCNPNNGDGGCCGGDRAFTRFGQLIPRYGTCRCLNGQFANEIEEMTPEPQNRLLTYMSMVIGPTCFGCQSGHSKAIREQVPHLRTKTSGIGEVTTVAVHHLSCIPALCANASFHVIKNQLRDYKSLMVTCAGCGGEGCGLKTGSLGLKRRLYALLTTEPDANKEVVKRGNVFFVLKDATM
+>sp|P55808|XG_HUMAN Glycoprotein Xg OS=Homo sapiens OX=9606 GN=XG PE=2 SV=1
+MESWWGLPCLAFLCFLMHARGQRDFDLADALDDPEPTKKPNSDIYPKPKPPYYPQPENPDSGGNIYPRPKPRPQPQPGNSGNSGGYFNDVDRDDGRYPPRPRPRPPAGGGGGGYSSYGNSDNTHGGDHHSTYGNPEGNMVAKIVSPIVSVVVVTLLGAAASYFKLNNRRNCFRTHEPENV
+>DECOY_sp|P55808|XG_HUMAN Glycoprotein Xg OS=Homo sapiens OX=9606 GN=XG PE=2 SV=1
+VNEPEHTRFCNRRNNLKFYSAAAGLLTVVVVSVIPSVIKAVMNGEPNGYTSHHDGGHTNDSNGYSSYGGGGGGAPPRPRPRPPYRGDDRDVDNFYGGSNGSNGPQPQPRPKPRPYINGGSDPNEPQPYYPPKPKPYIDSNPKKTPEPDDLADALDFDRQGRAHMLFCLFALCPLGWWSEM
+>sp|A8MT66|YU005_HUMAN Putative uncharacterized protein ENSP00000383407 OS=Homo sapiens OX=9606 PE=5 SV=1
+MNLFCISLEGSMDSLYEPIPEQQANQENMSSRTDSPIPPFGESEQTPNNLFVGVSNLENAKPKKRKLFRRFMSENKIFEGKTVNDKIWQEHSKHKNDSHIRRPCQLKDLNENDFLSNNIHTYQGKTLQGTSYQVTSECWSPFHYQRHVETTVDELVRHFFPDVTI
+>DECOY_sp|A8MT66|YU005_HUMAN Putative uncharacterized protein ENSP00000383407 OS=Homo sapiens OX=9606 PE=5 SV=1
+ITVDPFFHRVLEDVTTEVHRQYHFPSWCESTVQYSTGQLTKGQYTHINNSLFDNENLDKLQCPRRIHSDNKHKSHEQWIKDNVTKGEFIKNESMFRRFLKRKKPKANELNSVGVFLNNPTQESEGFPPIPSDTRSSMNEQNAQQEPIPEYLSDMSGELSICFLNM
+>sp|Q8N9L7|YV006_HUMAN Putative uncharacterized protein FLJ36925 OS=Homo sapiens OX=9606 PE=5 SV=1
+MTMTMSYKAIEKIPRCSWNREEPGEQWNKIYSVETGLLGTYSFEWQSQVANKTMRKRNTNSICGRQHEPHCPVSITRAIAQPQLLTFPDSLASRGGHMTQSGQCHVSGSLLGRGHKSRGR
+>DECOY_sp|Q8N9L7|YV006_HUMAN Putative uncharacterized protein FLJ36925 OS=Homo sapiens OX=9606 PE=5 SV=1
+RGRSKHGRGLLSGSVHCQGSQTMHGGRSALSDPFTLLQPQAIARTISVPCHPEHQRGCISNTNRKRMTKNAVQSQWEFSYTGLLGTEVSYIKNWQEGPEERNWSCRPIKEIAKYSMTMTM
+>sp|Q5BKY6|YV018_HUMAN Putative uncharacterized protein DKFZp434K191 OS=Homo sapiens OX=9606 PE=1 SV=1
+MKKRHREGCDMPGPWSTLRSHRGHHCPHLHPVLRRPTLTDVEGCLQCLDVCGHPRHAVDAHLLHASALDLLHALAHDVGHLGPLSPAGGGNVLSVLTALLGP
+>DECOY_sp|Q5BKY6|YV018_HUMAN Putative uncharacterized protein DKFZp434K191 OS=Homo sapiens OX=9606 PE=1 SV=1
+PGLLATLVSLVNGGGAPSLPGLHGVDHALAHLLDLASAHLLHADVAHRPHGCVDLCQLCGEVDTLTPRRLVPHLHPCHHGRHSRLTSWPGPMDCGERHRKKM
+>sp|Q9H6B1|Z385D_HUMAN Zinc finger protein 385D OS=Homo sapiens OX=9606 GN=ZNF385D PE=2 SV=1
+MRNIMYFGGTCQSPALPALVRPPAPPLQPSLDIKPFLPFPLDTAAAVNLFPNFNAMDPIQKAVINHTFGVPLPHRRKQIISCNICQLRFNSDSQAAAHYKGTKHAKKLKALEAMKNKQKSVTAKDSAKTTFTSITTNTINTSSDKTDGTAGTPAISTTTTVEIRKSSVMTTEITSKVEKSPTTATGNSSCPSTETEEEKAKRLLYCSLCKVAVNSASQLEAHNSGTKHKTMLEARNGSGTIKAFPRAGVKGKGPVNKGNTGLQNKTFHCEICDVHVNSETQLKQHISSRRHKDRAAGKPPKPKYSPYNKLQKTAHPLGVKLVFSKEPSKPLAPRILPNPLAAAAAAAAVAVSSPFSLRTAPAATLFQTSALPPALLRPAPGPIRTAHTPVLFAPY
+>DECOY_sp|Q9H6B1|Z385D_HUMAN Zinc finger protein 385D OS=Homo sapiens OX=9606 GN=ZNF385D PE=2 SV=1
+YPAFLVPTHATRIPGPAPRLLAPPLASTQFLTAAPATRLSFPSSVAVAAAAAAAALPNPLIRPALPKSPEKSFVLKVGLPHATKQLKNYPSYKPKPPKGAARDKHRRSSIHQKLQTESNVHVDCIECHFTKNQLGTNGKNVPGKGKVGARPFAKITGSGNRAELMTKHKTGSNHAELQSASNVAVKCLSCYLLRKAKEEETETSPCSSNGTATTPSKEVKSTIETTMVSSKRIEVTTTTSIAPTGATGDTKDSSTNITNTTISTFTTKASDKATVSKQKNKMAELAKLKKAHKTGKYHAAAQSDSNFRLQCINCSIIQKRRHPLPVGFTHNIVAKQIPDMANFNPFLNVAAATDLPFPLFPKIDLSPQLPPAPPRVLAPLAPSQCTGGFYMINRM
+>sp|Q96KM6|Z512B_HUMAN Zinc finger protein 512B OS=Homo sapiens OX=9606 GN=ZNF512B PE=1 SV=1
+MTDPFCVGGRRLPGSSKSGPGKDGSRKEVRLPMLHDPPKMGMPVVRGGQTVPGQAPLCFDPGSPASDKTEGKKKGRPKAENQALRDIPLSLMNDWKDEFKAHSRVKCPNSGCWLEFPSIYGLKYHYQRCQGGAISDRLAFPCPFCEAAFTSKTQLEKHRIWNHMDRPLPASKPGPISRPVTISRPVGVSKPIGVSKPVTIGKPVGVSKPIGISKPVSVGRPMPVTKAIPVTRPVPVTKPVTVSRPMPVTKAMPVTKPITVTKSVPVTKPVPVTKPITVTKLVTVTKPVPVTKPVTVSRPIVVSKPVTVSRPIAISRHTPPCKMVLLTRSENKAPRATGRNSGKKRAADSLDTCPIPPKQARPENGEYGPSSMGQSSAFQLSADTSSGSLSPGSRPSGGMEALKAAGPASPPEEDPERTKHRRKQKTPKKFTGEQPSISGTFGLKGLVKAEDKARVHRSKKQEGPGPEDARKKVPAAPITVSKEAPAPVAHPAPGGPEEQWQRAIHERGEAVCPTCNVVTRKTLVGLKKHMEVCQKLQDALKCQHCRKQFKSKAGLNYHTMAEHSAKPSDAEASEGGEQEERERLRKVLKQMGRLRCPQEGCGAAFSSLMGYQYHQRRCGKPPCEVDSPSFPCTHCGKTYRSKAGHDYHVRSEHTAPPPEEPTDKSPEAEDPLGVERTPSGRVRRTSAQVAVFHLQEIAEDELARDWTKRRMKDDLVPETARLNYTRPGLPTLNPQLLEAWKNEVKEKGHVNCPNDCCEAIYSSVSGLKAHLASCSKGAHLAGKYRCLLCPKEFSSESGVKYHILKTHAENWFRTSADPPPKHRSQDSLVPKKEKKKNLAGGKKRGRKPKERTPEEPVAKLPPRRDDWPPGCRDKGARGSTGRKVGVSKAPEK
+>DECOY_sp|Q96KM6|Z512B_HUMAN Zinc finger protein 512B OS=Homo sapiens OX=9606 GN=ZNF512B PE=1 SV=1
+KEPAKSVGVKRGTSGRAGKDRCGPPWDDRRPPLKAVPEEPTREKPKRGRKKGGALNKKKEKKPVLSDQSRHKPPPDASTRFWNEAHTKLIHYKVGSESSFEKPCLLCRYKGALHAGKSCSALHAKLGSVSSYIAECCDNPCNVHGKEKVENKWAELLQPNLTPLGPRTYNLRATEPVLDDKMRRKTWDRALEDEAIEQLHFVAVQASTRRVRGSPTREVGLPDEAEPSKDTPEEPPPATHESRVHYDHGAKSRYTKGCHTCPFSPSDVECPPKGCRRQHYQYGMLSSFAAGCGEQPCRLRGMQKLVKRLREREEQEGGESAEADSPKASHEAMTHYNLGAKSKFQKRCHQCKLADQLKQCVEMHKKLGVLTKRTVVNCTPCVAEGREHIARQWQEEPGGPAPHAVPAPAEKSVTIPAAPVKKRADEPGPGEQKKSRHVRAKDEAKVLGKLGFTGSISPQEGTFKKPTKQKRRHKTREPDEEPPSAPGAAKLAEMGGSPRSGPSLSGSSTDASLQFASSQGMSSPGYEGNEPRAQKPPIPCTDLSDAARKKGSNRGTARPAKNESRTLLVMKCPPTHRSIAIPRSVTVPKSVVIPRSVTVPKTVPVPKTVTVLKTVTIPKTVPVPKTVPVSKTVTIPKTVPMAKTVPMPRSVTVPKTVPVPRTVPIAKTVPMPRGVSVPKSIGIPKSVGVPKGITVPKSVGIPKSVGVPRSITVPRSIPGPKSAPLPRDMHNWIRHKELQTKSTFAAECFPCPFALRDSIAGGQCRQYHYKLGYISPFELWCGSNPCKVRSHAKFEDKWDNMLSLPIDRLAQNEAKPRGKKKGETKDSAPSGPDFCLPAQGPVTQGGRVVPMGMKPPDHLMPLRVEKRSGDKGPGSKSSGPLRRGGVCFPDTM
+>sp|Q49A33|Z876P_HUMAN Putative zinc finger protein 876 OS=Homo sapiens OX=9606 GN=ZNF876P PE=5 SV=3
+MHTGEKPYTCEECVKLLTNPQALLYTGAFILNKNFTNVKNAAKPLLNPHPLINKRIHTGEKPYTCEECGKAFYRSSHLTEHKNIHTGEKSYKCEECGNAFYRSSHLTKHKRIHSGQKPYKCEECGKAFRQSSALNEHKKIHTAEKPYKCKECGKAFRWSRSLNEHTNIHIGEKPYTCEECGKDFTWSSTLTVHQRIQTGEKHS
+>DECOY_sp|Q49A33|Z876P_HUMAN Putative zinc finger protein 876 OS=Homo sapiens OX=9606 GN=ZNF876P PE=5 SV=3
+SHKEGTQIRQHVTLTSSWTFDKGCEECTYPKEGIHINTHENLSRSWRFAKGCEKCKYPKEATHIKKHENLASSQRFAKGCEECKYPKQGSHIRKHKTLHSSRYFANGCEECKYSKEGTHINKHETLHSSRYFAKGCEECTYPKEGTHIRKNILPHPNLLPKAANKVNTFNKNLIFAGTYLLAQPNTLLKVCEECTYPKEGTHM
+>sp|Q96FA7|ZB6CL_HUMAN ZBED6 C-terminal-like protein OS=Homo sapiens OX=9606 GN=ZBED6CL PE=2 SV=1
+MVVREASAAQASLSQVLPQLRYLHIFLEQVHTHFQEQSVGERGAAIQLAEGLARQLCTDCQLNKLFYREEFVLATLLDPCFKGKIEAILPWGPTDIDHWKQVLVYKVKEIRVSEYSLNSPSPLQSPRGLCVDPTRVAKSSGVEGRSQGEPLQSSSHSGAFLLAQREKGLLESMGLLASERSGGSLSTKSHWASIIVKKYLWENETVGAQDDPLAYWEKKREAWPPSICLTPHRSLL
+>DECOY_sp|Q96FA7|ZB6CL_HUMAN ZBED6 C-terminal-like protein OS=Homo sapiens OX=9606 GN=ZBED6CL PE=2 SV=1
+LLSRHPTLCISPPWAERKKEWYALPDDQAGVTENEWLYKKVIISAWHSKTSLSGGSRESALLGMSELLGKERQALLFAGSHSSSQLPEGQSRGEVGSSKAVRTPDVCLGRPSQLPSPSNLSYESVRIEKVKYVLVQKWHDIDTPGWPLIAEIKGKFCPDLLTALVFEERYFLKNLQCDTCLQRALGEALQIAAGREGVSQEQFHTHVQELFIHLYRLQPLVQSLSAQAASAERVVM
+>sp|Q9BTP6|ZBED2_HUMAN Zinc finger BED domain-containing protein 2 OS=Homo sapiens OX=9606 GN=ZBED2 PE=1 SV=2
+MMRREDEEEEGTMMKAKGDLEMKEEEEISETGELVGPFVSAMPTPMPHNKGTRFSEAWEYFHLAPARAGHHPNQYATCRLCGRQVSRGPGVNVGTTALWKHLKSMHREELEKSGHGQAGQRQDPRPHGPQLPTGIEGNWGRLLEQVGTMALWASQREKEVLRRERAVEWRERAVEKRERALEEVERAILEMKWKVRAEKEACQREKELPAAVHPFHFV
+>DECOY_sp|Q9BTP6|ZBED2_HUMAN Zinc finger BED domain-containing protein 2 OS=Homo sapiens OX=9606 GN=ZBED2 PE=1 SV=2
+VFHFPHVAAPLEKERQCAEKEARVKWKMELIAREVEELARERKEVARERWEVARERRLVEKERQSAWLAMTGVQELLRGWNGEIGTPLQPGHPRPDQRQGAQGHGSKELEERHMSKLHKWLATTGVNVGPGRSVQRGCLRCTAYQNPHHGARAPALHFYEWAESFRTGKNHPMPTPMASVFPGVLEGTESIEEEEKMELDGKAKMMTGEEEEDERRMM
+>sp|O75132|ZBED4_HUMAN Zinc finger BED domain-containing protein 4 OS=Homo sapiens OX=9606 GN=ZBED4 PE=1 SV=2
+MENNLKTCPKEDGDFVSDKIKFKIEEEDDDGIPPDSLERMDFKSEQEDMKQTDSGGERAGLGGTGCSCKPPGKYLSAESEDDYGALFSQYSSTLYDVAMEAVTQSLLSSRNMSSRKKSPAWKHFFISPRDSTKAICMYCVKEFSRGKNEKDLSTSCLMRHVRRAHPTVLIQENGSVSAVSSFPSPSLLLPPQPADAGDLSTILSPIKLVQKVASKIPSPDRITEESVSVVSSEEISSDMSVSEKCGREEALVGSSPHLPALHYDEPAENLAEKSLPLPKSTSGSRRRSAVWKHFYLSPLDNSKAVCIHCMNEFSRGKNGKDLGTSCLIRHMWRAHRAIVLQENGGTGIPPLYSTPPTLLPSLLPPEGELSSVSSSPVKPVRESPSASSSPDRLTEDLQSHLNPGDGLMEDVAAFSSSDDIGEASASSPEKQQADGLSPRLFESGAIFQQNKKVMKRLKSEVWHHFSLAPMDSLKAECRYCGCAISRGKKGDVGTSCLMRHLYRRHPEVVGSQKGFLGASLANSPYATLASAESSSSKLTDLPTVVTKNNQVMFPVNSKKTSKLWNHFSICSADSTKVVCLHCGRTISRGKKPTNLGTSCLLRHLQRFHSNVLKTEVSETARPSSPDTRVPRGTELSGASSFDDTNEKFYDSHPVAKKITSLIAEMIALDLQPYSFVDNVGFNRLLEYLKPQYSLPAPSYFSRTAIPGMYDNVKQIIMSHLKEAESGVIHFTSGIWMSNQTREYLTLTAHWVSFESPARPRCDDHHCSALLDVSQVDCDYSGNSIQKQLECWWEAWVTSTGLQVGITVTDNASIGKTLNEGEHSSVQCFSHTVNLIVSEAIKSQRMVQNLLSLARKICERVHRSPKAKEKLAELQREYALPQHHLIQDVPSKWSTSFHMLERLIEQKRAINEMSVECNFRELISCDQWEVMQSVCRALKPFEAASREMSTQMSTLSQVIPMVHILNRKVEMLFEETMGIDTMLRSLKEAMVSRLSATLHDPRYVFATLLDPRYKASLFTEEEAEQYKQDLIRELELMNSTSEDVAASHRCDAGSPSKDSAAEENLWSLVAKVKKKDPREKLPEAMVLAYLEEEVLEHSCDPLTYWNLKKASWPGLSALAVRFLGCPPSIVPSEKLFNTPTENGSLGQSRLMMEHFEKLIFLKVNLPLIYFQY
+>DECOY_sp|O75132|ZBED4_HUMAN Zinc finger BED domain-containing protein 4 OS=Homo sapiens OX=9606 GN=ZBED4 PE=1 SV=2
+YQFYILPLNVKLFILKEFHEMMLRSQGLSGNETPTNFLKESPVISPPCGLFRVALASLGPWSAKKLNWYTLPDCSHELVEEELYALVMAEPLKERPDKKKVKAVLSWLNEEAASDKSPSGADCRHSAAVDESTSNMLELERILDQKYQEAEEETFLSAKYRPDLLTAFVYRPDHLTASLRSVMAEKLSRLMTDIGMTEEFLMEVKRNLIHVMPIVQSLTSMQTSMERSAAEFPKLARCVSQMVEWQDCSILERFNCEVSMENIARKQEILRELMHFSTSWKSPVDQILHHQPLAYERQLEALKEKAKPSRHVRECIKRALSLLNQVMRQSKIAESVILNVTHSFCQVSSHEGENLTKGISANDTVTIGVQLGTSTVWAEWWCELQKQISNGSYDCDVQSVDLLASCHHDDCRPRAPSEFSVWHATLTLYERTQNSMWIGSTFHIVGSEAEKLHSMIIQKVNDYMGPIATRSFYSPAPLSYQPKLYELLRNFGVNDVFSYPQLDLAIMEAILSTIKKAVPHSDYFKENTDDFSSAGSLETGRPVRTDPSSPRATESVETKLVNSHFRQLHRLLCSTGLNTPKKGRSITRGCHLCVVKTSDASCISFHNWLKSTKKSNVPFMVQNNKTVVTPLDTLKSSSSEASALTAYPSNALSAGLFGKQSGVVEPHRRYLHRMLCSTGVDGKKGRSIACGCYRCEAKLSDMPALSFHHWVESKLRKMVKKNQQFIAGSEFLRPSLGDAQQKEPSSASAEGIDDSSSFAAVDEMLGDGPNLHSQLDETLRDPSSSASPSERVPKVPSSSVSSLEGEPPLLSPLLTPPTSYLPPIGTGGNEQLVIARHARWMHRILCSTGLDKGNKGRSFENMCHICVAKSNDLPSLYFHKWVASRRRSGSTSKPLPLSKEALNEAPEDYHLAPLHPSSGVLAEERGCKESVSMDSSIEESSVVSVSEETIRDPSPIKSAVKQVLKIPSLITSLDGADAPQPPLLLSPSPFSSVASVSGNEQILVTPHARRVHRMLCSTSLDKENKGRSFEKVCYMCIAKTSDRPSIFFHKWAPSKKRSSMNRSSLLSQTVAEMAVDYLTSSYQSFLAGYDDESEASLYKGPPKCSCGTGGLGAREGGSDTQKMDEQESKFDMRELSDPPIGDDDEEEIKFKIKDSVFDGDEKPCTKLNNEM
+>sp|Q05516|ZBT16_HUMAN Zinc finger and BTB domain-containing protein 16 OS=Homo sapiens OX=9606 GN=ZBTB16 PE=1 SV=2
+MDLTKMGMIQLQNPSHPTGLLCKANQMRLAGTLCDVVIMVDSQEFHAHRTVLACTSKMFEILFHRNSQHYTLDFLSPKTFQQILEYAYTATLQAKAEDLDDLLYAAEILEIEYLEEQCLKMLETIQASDDNDTEATMADGGAEEEEDRKARYLKNIFISKHSSEESGYASVAGQSLPGPMVDQSPSVSTSFGLSAMSPTKAAVDSLMTIGQSLLQGTLQPPAGPEEPTLAGGGRHPGVAEVKTEMMQVDEVPSQDSPGAAESSISGGMGDKVEERGKEGPGTPTRSSVITSARELHYGREESAEQVPPPAEAGQAPTGRPEHPAPPPEKHLGIYSVLPNHKADAVLSMPSSVTSGLHVQPALAVSMDFSTYGGLLPQGFIQRELFSKLGELAVGMKSESRTIGEQCSVCGVELPDNEAVEQHRKLHSGMKTYGCELCGKRFLDSLRLRMHLLAHSAGAKAFVCDQCGAQFSKEDALETHRQTHTGTDMAVFCLLCGKRFQAQSALQQHMEVHAGVRSYICSECNRTFPSHTALKRHLRSHTGDHPYECEFCGSCFRDESTLKSHKRIHTGEKPYECNGCGKKFSLKHQLETHYRVHTGEKPFECKLCHQRSRDYSAMIKHLRTHNGASPYQCTICTEYCPSLSSMQKHMKGHKPEEIPPDWRIEKTYLYLCYV
+>DECOY_sp|Q05516|ZBT16_HUMAN Zinc finger and BTB domain-containing protein 16 OS=Homo sapiens OX=9606 GN=ZBTB16 PE=1 SV=2
+VYCLYLYTKEIRWDPPIEEPKHGKMHKQMSSLSPCYETCITCQYPSAGNHTRLHKIMASYDRSRQHCLKCEFPKEGTHVRYHTELQHKLSFKKGCGNCEYPKEGTHIRKHSKLTSEDRFCSGCFECEYPHDGTHSRLHRKLATHSPFTRNCESCIYSRVGAHVEMHQQLASQAQFRKGCLLCFVAMDTGTHTQRHTELADEKSFQAGCQDCVFAKAGASHALLHMRLRLSDLFRKGCLECGYTKMGSHLKRHQEVAENDPLEVGCVSCQEGITRSESKMGVALEGLKSFLERQIFGQPLLGGYTSFDMSVALAPQVHLGSTVSSPMSLVADAKHNPLVSYIGLHKEPPPAPHEPRGTPAQGAEAPPPVQEASEERGYHLERASTIVSSRTPTGPGEKGREEVKDGMGGSISSEAAGPSDQSPVEDVQMMETKVEAVGPHRGGGALTPEEPGAPPQLTGQLLSQGITMLSDVAAKTPSMASLGFSTSVSPSQDVMPGPLSQGAVSAYGSEESSHKSIFINKLYRAKRDEEEEAGGDAMTAETDNDDSAQITELMKLCQEELYEIELIEAAYLLDDLDEAKAQLTATYAYELIQQFTKPSLFDLTYHQSNRHFLIEFMKSTCALVTRHAHFEQSDVMIVVDCLTGALRMQNAKCLLGTPHSPNQLQIMGMKTLDM
+>sp|Q99592|ZBT18_HUMAN Zinc finger and BTB domain-containing protein 18 OS=Homo sapiens OX=9606 GN=ZBTB18 PE=1 SV=1
+MEFPDHSRHLLQCLSEQRHQGFLCDCTVLVGDAQFRAHRAVLASCSMYFHLFYKDQLDKRDIVHLNSDIVTAPAFALLLEFMYEGKLQFKDLPIEDVLAAASYLHMYDIVKVCKKKLKEKATTEADSTKKEEDASSCSDKVESLSDGSSHIAGDLPSDEDEGEDEKLNILPSKRDLAAEPGNMWMRLPSDSAGIPQAGGEAEPHATAAGKTVASPCSSTESLSQRSVTSVRDSADVDCVLDLSVKSSLSGVENLNSSYFSSQDVLRSNLVQVKVEKEASCDESDVGTNDYDMEHSTVKESVSTNNRVQYEPAHLAPLREDSVLRELDREDKASDDEMMTPESERVQVEGGMESSLLPYVSNILSPAGQIFMCPLCNKVFPSPHILQIHLSTHFREQDGIRSKPAADVNVPTCSLCGKTFSCMYTLKRHERTHSGEKPYTCTQCGKSFQYSHNLSRHAVVHTREKPHACKWCERRFTQSGDLYRHIRKFHCELVNSLSVKSEALSLPTVRDWTLEDSSQELWK
+>DECOY_sp|Q99592|ZBT18_HUMAN Zinc finger and BTB domain-containing protein 18 OS=Homo sapiens OX=9606 GN=ZBTB18 PE=1 SV=1
+KWLEQSSDELTWDRVTPLSLAESKVSLSNVLECHFKRIHRYLDGSQTFRRECWKCAHPKERTHVVAHRSLNHSYQFSKGCQTCTYPKEGSHTREHRKLTYMCSFTKGCLSCTPVNVDAAPKSRIGDQERFHTSLHIQLIHPSPFVKNCLPCMFIQGAPSLINSVYPLLSSEMGGEVQVRESEPTMMEDDSAKDERDLERLVSDERLPALHAPEYQVRNNTSVSEKVTSHEMDYDNTGVDSEDCSAEKEVKVQVLNSRLVDQSSFYSSNLNEVGSLSSKVSLDLVCDVDASDRVSTVSRQSLSETSSCPSAVTKGAATAHPEAEGGAQPIGASDSPLRMWMNGPEAALDRKSPLINLKEDEGEDEDSPLDGAIHSSGDSLSEVKDSCSSADEEKKTSDAETTAKEKLKKKCVKVIDYMHLYSAAALVDEIPLDKFQLKGEYMFELLLAFAPATVIDSNLHVIDRKDLQDKYFLHFYMSCSALVARHARFQADGVLVTCDCLFGQHRQESLCQLLHRSHDPFEM
+>sp|O15060|ZBT39_HUMAN Zinc finger and BTB domain-containing protein 39 OS=Homo sapiens OX=9606 GN=ZBTB39 PE=1 SV=1
+MGMRIKLQSTNHPNNLLKELNKCRLSETMCDVTIVVGSRSFPAHKAVLACAAGYFQNLFLNTGLDAARTYVVDFITPANFEKVLSFVYTSELFTDLINVGVIYEVAERLGMEDLLQACHSTFPDLESTARAKPLTSTSESHSGTLSCPSAEPAHPLGELRGGGDYLGADRNYVLPSDAGGSYKEEEKNVASDANHSLHLPQPPPPPPKTEDHDTPAPFTSIPSMMTQPLLGTVSTGIQTSTSSCQPYKVQSNGDFSKNSFLTPDNAVDITTGTNSCLSNSEHSKDPGFGQMDELQLEDLGDDDLQFEDPAEDIGTTEEVIELSDDSEDELAFGENDNRENKAMPCQVCKKVLEPNIQLIRQHARDHVDLLTGNCKVCETHFQDRNSRVTHVLSHIGIFLFSCDMCETKFFTQWQLTLHRRDGIFENNIIVHPNDPLPGKLGLFSGAASPELKCAACGKVLAKDFHVVRGHILDHLNLKGQACSVCDQRHLNLCSLMWHTLSHLGISVFSCSVCANSFVDWHLLEKHMAVHQSLEDALFHCRLCSQSFKSEAAYRYHVSQHKCNSGLDARPGFGLQHPALQKRKLPAEEFLGEELALQGQPGNSKYSCKVCGKRFAHTSEFNYHRRIHTGEKPYQCKVCHKFFRGRSTIKCHLKTHSGALMYRCTVCGHYSSTLNLMSKHVGVHKGSLPPDFTIEQTFMYIIHSKEADKNPDS
+>DECOY_sp|O15060|ZBT39_HUMAN Zinc finger and BTB domain-containing protein 39 OS=Homo sapiens OX=9606 GN=ZBTB39 PE=1 SV=1
+SDPNKDAEKSHIIYMFTQEITFDPPLSGKHVGVHKSMLNLTSSYHGCVTCRYMLAGSHTKLHCKITSRGRFFKHCVKCQYPKEGTHIRRHYNFESTHAFRKGCVKCSYKSNGPQGQLALEEGLFEEAPLKRKQLAPHQLGFGPRADLGSNCKHQSVHYRYAAESKFSQSCLRCHFLADELSQHVAMHKELLHWDVFSNACVSCSFVSIGLHSLTHWMLSCLNLHRQDCVSCAQGKLNLHDLIHGRVVHFDKALVKGCAACKLEPSAAGSFLGLKGPLPDNPHVIINNEFIGDRRHLTLQWQTFFKTECMDCSFLFIGIHSLVHTVRSNRDQFHTECVKCNGTLLDVHDRAHQRILQINPELVKKCVQCPMAKNERNDNEGFALEDESDDSLEIVEETTGIDEAPDEFQLDDDGLDELQLEDMQGFGPDKSHESNSLCSNTGTTIDVANDPTLFSNKSFDGNSQVKYPQCSSTSTQIGTSVTGLLPQTMMSPISTFPAPTDHDETKPPPPPPQPLHLSHNADSAVNKEEEKYSGGADSPLVYNRDAGLYDGGGRLEGLPHAPEASPCSLTGSHSESTSTLPKARATSELDPFTSHCAQLLDEMGLREAVEYIVGVNILDTFLESTYVFSLVKEFNAPTIFDVVYTRAADLGTNLFLNQFYGAACALVAKHAPFSRSGVVITVDCMTESLRCKNLEKLLNNPHNTSQLKIRMGM
+>sp|Q5SVQ8|ZBT41_HUMAN Zinc finger and BTB domain-containing protein 41 OS=Homo sapiens OX=9606 GN=ZBTB41 PE=1 SV=1
+MKKRRKVTSNLEKIHLGYHKDSSEGNVAVECDQVTYTHSAGRPTPEALHCYQELPPSPDQRKLLSSLQYNKNLLKYLNDDRQKQPSFCDLLIIVEGKEFSAHKVVVAVGSSYFHACLSKNPSTDVVTLDHVTHSVFQHLLEFLYTSEFFVYKYEIPLVLEAAKFLDIIDAVKLLNNENVAPFHSELTEKSSPEETLNELTGRLSNNHQCKFCSRHFCYKKSLENHLAKTHRSLLLGKKHGLKMLERSFSARRSKRNRKCPVKFDDTSDDEQESGDGSDNLNQENFDKEKSDRNDSEDPGSEYNAEEDELEEEMSDEYSDIEEQSEKDHNDAEEEPEAGDSVGNVHEGLTPVVIQNSNKKILQCPKCDKTFDRIGKYESHTRVHTGEKPFECDICHQRYSTKSNLTVHRKKHSNETEFHKKEHKCPYCNKLHASKKTLAKHVKRFHPENAQEFISIKKTKSESWKCDICKKSFTRRPHLEEHMILHSQDKPFKCTYCEEHFKSRFARLKHQEKFHLGPFPCDICGRQFNDTGNLKRHIECTHGGKRKWTCFICGKSVRERTTLKEHLRIHSGEKPHLCSICGQSFRHGSSYRLHLRVHHDDKRYECDECGKTFIRHDHLTKHKKIHSGEKAHQCEECGKCFGRRDHLTVHYKSVHLGEKVWQKYKATFHQCDVCKKIFKGKSSLEMHFRTHSGEKPYKCQICNQSFRIKKTLTKHLVIHSDARPFNCQHCNATFKRKDKLKYHIDHVHEIKSPDDPLSTSEEKLVSLPVEYSSDDKIFQTETKQYMDQPKVYQSEAKTMLQNVSAEVCVPVTLVPVQMPDTPSDLVRHTTTLPPSSHEILSPQPQSTDYPRAADLAFLEKYTLTPQPANIVHPVRPEQMLDPREQSYLGTLLGLDSTTGVQNISTNEHHS
+>DECOY_sp|Q5SVQ8|ZBT41_HUMAN Zinc finger and BTB domain-containing protein 41 OS=Homo sapiens OX=9606 GN=ZBTB41 PE=1 SV=1
+SHHENTSINQVGTTSDLGLLTGLYSQERPDLMQEPRVPHVINAPQPTLTYKELFALDAARPYDTSQPQPSLIEHSSPPLTTTHRVLDSPTDPMQVPVLTVPVCVEASVNQLMTKAESQYVKPQDMYQKTETQFIKDDSSYEVPLSVLKEESTSLPDDPSKIEHVHDIHYKLKDKRKFTANCHQCNFPRADSHIVLHKTLTKKIRFSQNCIQCKYPKEGSHTRFHMELSSKGKFIKKCVDCQHFTAKYKQWVKEGLHVSKYHVTLHDRRGFCKGCEECQHAKEGSHIKKHKTLHDHRIFTKGCEDCEYRKDDHHVRLHLRYSSGHRFSQGCISCLHPKEGSHIRLHEKLTTRERVSKGCIFCTWKRKGGHTCEIHRKLNGTDNFQRGCIDCPFPGLHFKEQHKLRAFRSKFHEECYTCKFPKDQSHLIMHEELHPRRTFSKKCIDCKWSESKTKKISIFEQANEPHFRKVHKALTKKSAHLKNCYPCKHEKKHFETENSHKKRHVTLNSKTSYRQHCIDCEFPKEGTHVRTHSEYKGIRDFTKDCKPCQLIKKNSNQIVVPTLGEHVNGVSDGAEPEEEADNHDKESQEEIDSYEDSMEEELEDEEANYESGPDESDNRDSKEKDFNEQNLNDSGDGSEQEDDSTDDFKVPCKRNRKSRRASFSRELMKLGHKKGLLLSRHTKALHNELSKKYCFHRSCFKCQHNNSLRGTLENLTEEPSSKETLESHFPAVNENNLLKVADIIDLFKAAELVLPIEYKYVFFESTYLFELLHQFVSHTVHDLTVVDTSPNKSLCAHFYSSGVAVVVKHASFEKGEVIILLDCFSPQKQRDDNLYKLLNKNYQLSSLLKRQDPSPPLEQYCHLAEPTPRGASHTYTVQDCEVAVNGESSDKHYGLHIKELNSTVKRRKKM
+>sp|Q9UFB7|ZBT47_HUMAN Zinc finger and BTB domain-containing protein 47 OS=Homo sapiens OX=9606 GN=ZBTB47 PE=1 SV=3
+MGRLNEQRLFQPDLCDVDLVLVPQRSVFPAHKGVLAAYSQFFHSLFTQNKQLQRVELSLEALAPGGLQQILNFIYTSKLLVNAANVHEVLSAASLLQMADIAASCQELLDARSLGPPGPGTVALAQPAASCTPAAPPYYCDIKQEADTPGLPKIYAREGPDPYSVRVEDGAGTAGGTVPATIGPAQPFFKEEKEGGVEEAGGPPASLCKLEGGEELEEELGGSGTYSRREQSQIIVEVNLNNQTLHVSTGPEGKPGAGPSPATVVLGREDGLQRHSDEEEEDDEEEEEEEEEEEGGGSGREEEEEEEGGSQGEEEEEEEDGHSEQEEEEEEEEEEGPSEQDQESSEEEEGEEGEAGGKQGPRGSRSSRADPPPHSHMATRSRENARRRGTPEPEEAGRRGGKRPKPPPGVASASARGPPATDGLGAKVKLEEKQHHPCQKCPRVFNNRWYLEKHMNVTHSRMQICDQCGKRFLLESELLLHRQTDCERNIQCVTCGKAFKKLWSLHEHNKIVHGYAEKKFSCEICEKKFYTMAHVRKHMVAHTKDMPFTCETCGKSFKRSMSLKVHSLQHSGEKPFRCENCNERFQYKYQLRSHMSIHIGHKQFMCQWCGKDFNMKQYFDEHMKTHTGEKPYICEICGKSFTSRPNMKRHRRTHTGEKPYPCDVCGQRFRFSNMLKAHKEKCFRVSHTLAGDGVPAAPGLPPTQPQAHALPLLPGLPQTLPPPPHLPPPPPLFPTTASPGGRMNANN
+>DECOY_sp|Q9UFB7|ZBT47_HUMAN Zinc finger and BTB domain-containing protein 47 OS=Homo sapiens OX=9606 GN=ZBTB47 PE=1 SV=3
+NNANMRGGPSATTPFLPPPPPLHPPPPLTQPLGPLLPLAHAQPQTPPLGPAAPVGDGALTHSVRFCKEKHAKLMNSFRFRQGCVDCPYPKEGTHTRRHRKMNPRSTFSKGCIECIYPKEGTHTKMHEDFYQKMNFDKGCWQCMFQKHGIHISMHSRLQYKYQFRENCNECRFPKEGSHQLSHVKLSMSRKFSKGCTECTFPMDKTHAVMHKRVHAMTYFKKECIECSFKKEAYGHVIKNHEHLSWLKKFAKGCTVCQINRECDTQRHLLLESELLFRKGCQDCIQMRSHTVNMHKELYWRNNFVRPCKQCPHHQKEELKVKAGLGDTAPPGRASASAVGPPPKPRKGGRRGAEEPEPTGRRRANERSRTAMHSHPPPDARSSRSGRPGQKGGAEGEEGEEEESSEQDQESPGEEEEEEEEEEQESHGDEEEEEEEGQSGGEEEEEEERGSGGGEEEEEEEEEEEDDEEEEDSHRQLGDERGLVVTAPSPGAGPKGEPGTSVHLTQNNLNVEVIIQSQERRSYTGSGGLEEELEEGGELKCLSAPPGGAEEVGGEKEEKFFPQAPGITAPVTGGATGAGDEVRVSYPDPGERAYIKPLGPTDAEQKIDCYYPPAAPTCSAAPQALAVTGPGPPGLSRADLLEQCSAAIDAMQLLSAASLVEHVNAANVLLKSTYIFNLIQQLGGPALAELSLEVRQLQKNQTFLSHFFQSYAALVGKHAPFVSRQPVLVLDVDCLDPQFLRQENLRGM
+>sp|Q8NAP8|ZBT8B_HUMAN Zinc finger and BTB domain-containing protein 8B OS=Homo sapiens OX=9606 GN=ZBTB8B PE=2 SV=2
+MEMQSYYAKLLGELNEQRKRDFFCDCSIIVEGRIFKAHRNILFANSGYFRALLIHYIQDSGRHSTASLDIVTSDAFSIILDFLYSGKLDLCGENVIEVMSAASYLQMNDVVNFCKTYIRSSLDICRKMEKEAAVAAAVAAAAAAAAAAAAAAAHQVDSESPSSGREGTSCGTKSLVSSPAEGEKSVECLRESPCGDCGDCHPLELVVRDSLGGGSADSNLSTPPKRIEPKVEFDADEVEVDVGEQLQQYAAPLNLAHVEEALPSGQAVDLAYSNYHVKQFLEALLRNSAAPSKDDADHHFSRSLEGRPEGAGVAMSSMMDVQADWYGEDSGDVLVVPIKLHKCPFCPYTAKQKGILKRHIRSHTGERPYPCETCGKRFTRQEHLRSHALSVHRSNRPIICKGCRRTFTSHLSQGLRRFGLCDSCTCVTDTPDDDDDLMPINLSLVEASSESQEKSDTDNDWPIYVESGEENDPAGDDSDDKPQIQPNLSDRETLT
+>DECOY_sp|Q8NAP8|ZBT8B_HUMAN Zinc finger and BTB domain-containing protein 8B OS=Homo sapiens OX=9606 GN=ZBTB8B PE=2 SV=2
+TLTERDSLNPQIQPKDDSDDGAPDNEEGSEVYIPWDNDTDSKEQSESSAEVLSLNIPMLDDDDDPTDTVCTCSDCLGFRRLGQSLHSTFTRRCGKCIIPRNSRHVSLAHSRLHEQRTFRKGCTECPYPREGTHSRIHRKLIGKQKATYPCFPCKHLKIPVVLVDGSDEGYWDAQVDMMSSMAVGAGEPRGELSRSFHHDADDKSPAASNRLLAELFQKVHYNSYALDVAQGSPLAEEVHALNLPAAYQQLQEGVDVEVEDADFEVKPEIRKPPTSLNSDASGGGLSDRVVLELPHCDGCDGCPSERLCEVSKEGEAPSSVLSKTGCSTGERGSSPSESDVQHAAAAAAAAAAAAAAAVAAAVAAEKEMKRCIDLSSRIYTKCFNVVDNMQLYSAASMVEIVNEGCLDLKGSYLFDLIISFADSTVIDLSATSHRGSDQIYHILLARFYGSNAFLINRHAKFIRGEVIISCDCFFDRKRQENLEGLLKAYYSQMEM
+>sp|Q9Y2K1|ZBTB1_HUMAN Zinc finger and BTB domain-containing protein 1 OS=Homo sapiens OX=9606 GN=ZBTB1 PE=1 SV=3
+MAKPSHSSYVLQQLNNQREWGFLCDCCIAIDDIYFQAHKAVLAACSSYFRMFFMNHQHSTAQLNLSNMKISAECFDLILQFMYLGKIMTAPSSFEQFKVAMNYLQLYNVPDCLEDIQDADCSSSKCSSSASSKQNSKMIFGVRMYEDTVARNGNEANRWCAEPSSTVNTPHNREADEESLQLGNFPEPLFDVCKKSSVSKLSTPKERVSRRFGRSFTCDSCGFGFSCEKLLDEHVLTCTNRHLYQNTRSYHRIVDIRDGKDSNIKAEFGEKDSSKTFSAQTDKYRGDTSQAADDSASTTGSRKSSTVESEIASEEKSRAAERKRIIIKMEPEDIPTDELKDFNIIKVTDKDCNESTDNDELEDEPEEPFYRYYVEEDVSIKKSGRKTLKPRMSVSADERGGLENMRPPNNSSPVQEDAENASCELCGLTITEEDLSSHYLAKHIENICACGKCGQILVKGRQLQEHAQRCGEPQDLTMNGLGNTEEKMDLEENPDEQSEIRDMFVEMLDDFRDNHYQINSIQKKQLFKHSACPFRCPNCGQRFETENLVVEHMSSCLDQDMFKSAIMEENERDHRRKHFCNLCGKGFYQRCHLREHYTVHTKEKQFVCQTCGKQFLRERQLRLHNDMHKGMARYVCSICDQGNFRKHDHVRHMISHLSAGETICQVCFQIFPNNEQLEQHMDVHLYTCGICGAKFNLRKDMRSHYNAKHLKRT
+>DECOY_sp|Q9Y2K1|ZBTB1_HUMAN Zinc finger and BTB domain-containing protein 1 OS=Homo sapiens OX=9606 GN=ZBTB1 PE=1 SV=3
+TRKLHKANYHSRMDKRLNFKAGCIGCTYLHVDMHQELQENNPFIQFCVQCITEGASLHSIMHRVHDHKRFNGQDCISCVYRAMGKHMDNHLRLQRERLFQKGCTQCVFQKEKTHVTYHERLHCRQYFGKGCLNCFHKRRHDRENEEMIASKFMDQDLCSSMHEVVLNETEFRQGCNPCRFPCASHKFLQKKQISNIQYHNDRFDDLMEVFMDRIESQEDPNEELDMKEETNGLGNMTLDQPEGCRQAHEQLQRGKVLIQGCKGCACINEIHKALYHSSLDEETITLGCLECSANEADEQVPSSNNPPRMNELGGREDASVSMRPKLTKRGSKKISVDEEVYYRYFPEEPEDELEDNDTSENCDKDTVKIINFDKLEDTPIDEPEMKIIIRKREAARSKEESAIESEVTSSKRSGTTSASDDAAQSTDGRYKDTQASFTKSSDKEGFEAKINSDKGDRIDVIRHYSRTNQYLHRNTCTLVHEDLLKECSFGFGCSDCTFSRGFRRSVREKPTSLKSVSSKKCVDFLPEPFNGLQLSEEDAERNHPTNVTSSPEACWRNAENGNRAVTDEYMRVGFIMKSNQKSSASSSCKSSSCDADQIDELCDPVNYLQLYNMAVKFQEFSSPATMIKGLYMFQLILDFCEASIKMNSLNLQATSHQHNMFFMRFYSSCAALVAKHAQFYIDDIAICCDCLFGWERQNNLQQLVYSSHSPKAM
+>sp|Q96C00|ZBTB9_HUMAN Zinc finger and BTB domain-containing protein 9 OS=Homo sapiens OX=9606 GN=ZBTB9 PE=1 SV=1
+METPTPLPPVPASPTCNPAPRTIQIEFPQHSSSLLESLNRHRLEGKFCDVSLLVQGRELRAHKAVLAAASPYFHDKLLLGDAPRLTLPSVIEADAFEGLLQLIYSGRLRLPLDALPAHLLVASGLQMWQVVDQCSEILRELETSGGGISARGGNSYHALLSTTSSTGGWCIRSSPFQTPVQSSASTESPASTESPVGGEGSELGEVLQIQVEEEEEEEEDDDDEDQGSATLSQTPQPQRVSGVFPRPHGPHPLPMTATPRKLPEGESAPLELPAPPALPPKIFYIKQEPFEPKEEISGSGTQPGGAKEETKVFSGGDTEGNGELGFLLPSGPGPTSGGGGPSWKPVDLHGNEILSGGGGPGGAGQAVHGPVKLGGTPPADGKRFGCLCGKRFAVKPKRDRHIMLTFSLRPFGCGICNKRFKLKHHLTEHMKTHAGALHACPHCGRRFRVHACFLRHRDLCKGQGWATAHWTYK
+>DECOY_sp|Q96C00|ZBTB9_HUMAN Zinc finger and BTB domain-containing protein 9 OS=Homo sapiens OX=9606 GN=ZBTB9 PE=1 SV=1
+KYTWHATAWGQGKCLDRHRLFCAHVRFRRGCHPCAHLAGAHTKMHETLHHKLKFRKNCIGCGFPRLSFTLMIHRDRKPKVAFRKGCLCGFRKGDAPPTGGLKVPGHVAQGAGGPGGGGSLIENGHLDVPKWSPGGGGSTPGPGSPLLFGLEGNGETDGGSFVKTEEKAGGPQTGSGSIEEKPEFPEQKIYFIKPPLAPPAPLELPASEGEPLKRPTATMPLPHPGHPRPFVGSVRQPQPTQSLTASGQDEDDDDEEEEEEEEVQIQLVEGLESGEGGVPSETSAPSETSASSQVPTQFPSSRICWGGTSSTTSLLAHYSNGGRASIGGGSTELERLIESCQDVVQWMQLGSAVLLHAPLADLPLRLRGSYILQLLGEFADAEIVSPLTLRPADGLLLKDHFYPSAAALVAKHARLERGQVLLSVDCFKGELRHRNLSELLSSSHQPFEIQITRPAPNCTPSAPVPPLPTPTEM
+>sp|Q5D1E8|ZC12A_HUMAN Endoribonuclease ZC3H12A OS=Homo sapiens OX=9606 GN=ZC3H12A PE=1 SV=1
+MSGPCGEKPVLEASPTMSLWEFEDSHSRQGTPRPGQELAAEEASALELQMKVDFFRKLGYSSTEIHSVLQKLGVQADTNTVLGELVKHGTATERERQTSPDPCPQLPLVPRGGGTPKAPNLEPPLPEEEKEGSDLRPVVIDGSNVAMSHGNKEVFSCRGILLAVNWFLERGHTDITVFVPSWRKEQPRPDVPITDQHILRELEKKKILVFTPSRRVGGKRVVCYDDRFIVKLAYESDGIVVSNDTYRDLQGERQEWKRFIEERLLMYSFVNDKFMPPDDPLGRHGPSLDNFLRKKPLTLEHRKQPCPYGRKCTYGIKCRFFHPERPSCPQRSVADELRANALLSPPRAPSKDKNGRRPSPSSQSSSLLTESEQCSLDGKKLGAQASPGSRQEGLTQTYAPSGRSLAPSGGSGSSFGPTDWLPQTLDSLPYVSQDCLDSGIGSLESQMSELWGVRGGGPGEPGPPRAPYTGYSPYGSELPATAAFSAFGRAMGAGHFSVPADYPPAPPAFPPREYWSEPYPLPPPTSVLQEPPVQSPGAGRSPWGRAGSLAKEQASVYTKLCGVFPPHLVEAVMGRFPQLLDPQQLAAEILSYKSQHPSE
+>DECOY_sp|Q5D1E8|ZC12A_HUMAN Endoribonuclease ZC3H12A OS=Homo sapiens OX=9606 GN=ZC3H12A PE=1 SV=1
+ESPHQSKYSLIEAALQQPDLLQPFRGMVAEVLHPPFVGCLKTYVSAQEKALSGARGWPSRGAGPSQVPPEQLVSTPPPLPYPESWYERPPFAPPAPPYDAPVSFHGAGMARGFASFAATAPLESGYPSYGTYPARPPGPEGPGGGRVGWLESMQSELSGIGSDLCDQSVYPLSDLTQPLWDTPGFSSGSGGSPALSRGSPAYTQTLGEQRSGPSAQAGLKKGDLSCQESETLLSSSQSSPSPRRGNKDKSPARPPSLLANARLEDAVSRQPCSPREPHFFRCKIGYTCKRGYPCPQKRHELTLPKKRLFNDLSPGHRGLPDDPPMFKDNVFSYMLLREEIFRKWEQREGQLDRYTDNSVVIGDSEYALKVIFRDDYCVVRKGGVRRSPTFVLIKKKELERLIHQDTIPVDPRPQEKRWSPVFVTIDTHGRELFWNVALLIGRCSFVEKNGHSMAVNSGDIVVPRLDSGEKEEEPLPPELNPAKPTGGGRPVLPLQPCPDPSTQRERETATGHKVLEGLVTNTDAQVGLKQLVSHIETSSYGLKRFFDVKMQLELASAEEAALEQGPRPTGQRSHSDEFEWLSMTPSAELVPKEGCPGSM
+>sp|P61129|ZC3H6_HUMAN Zinc finger CCCH domain-containing protein 6 OS=Homo sapiens OX=9606 GN=ZC3H6 PE=1 SV=2
+MTDSEHAGHDREDGELEDGEIDDAGFEEIQEKEAKENEKQKSEKAYRKSRKKHKKEREKKKSKRRKREKHKHNSPSSDDSSDYSLDSDVEHTESSHKKRTGFYRDYDIPFTQRGHISGSYITSKKGQHNKKFKSKEYDEYSTYSDDNFGNYSDDNFGNYGQETEEDFANQLKQYRQAKETSNIALGSSFSKESGKKQRMKGVQQGIEQRVKSFNVGRGRGLPKKIKRKERGGRTNKGPNVFSVSDDFQEYNKPGKKWKVMTQEFINQHTVEHKGKQICKYFLEGRCIKGDQCKFDHDAELEKRKEICKFYLQGYCTKGENCIYMHNEFPCKFYHSGAKCYQGDNCKFSHDDLTKETKKLLDKVLNTDEELINEDERELEELRKRGITPLPKPPPGVGLLPTPPEHFPFSDPEDDFQTDFSDDFRKIPSLFEIVVKPTVDLAHKIGRKPPAFYTSASPPGPQFQGSSPHPQHIYSSGSSPGPGPNMSQGHSSPVMHPGSPGHHPCAGPPGLPVPQSPPLPPGPPEIVGPQNQAGVLVQPDTSLTPPSMGGAYHSPGFPGHVMKVPRENHCSPGSSYQQSPGEMQLNTNYESLQNPAEFYDNYYAQHSIHNFQPPNNSGDGMWHGEFAQQQPPVVQDSPNHGSGSDGSSTRTGHGPLPVPGLLPAVQRALFVRLTQRYQEDEEQTSTQPHRAPSKEEDDTVNWYSSSEEEEGSSVKSILKTLQKQTETLRNQQQPSTELSTPTDPRLAKEKSKGNQVVDPRLRTIPRQDIRKPSESAPLDLRLAWDPRKLRGNGSGHIGSSVGGAKFDLHHANAGTNVKHKRGDDDDEDTERELREKAFLIPLDASPGIMLQDPRSQLRQFSHIKMDITLTKPNFAKHIVWAPEDLLPVPLPKPDPVSSINLPLPPLIADQRLNRLWNTKSDLHQNTVSIDPKLAAKAKINTTNREGYLEQFGDSHGSGAKLGDPRLQKNFDPRLHRLPNTESHQVVMKDSHASKGAPHLPRSNPGSSQPSGAGTSNSGSGALPPYAPKLSSSAGLPLGTSTSVLSGISLYDPRDHGSSSTSELATASSGENSKNQKKSGGLKSSDKTEPSPGEAILPQKPSPNVGVTLEGPADPQADVPRSSGKVQVPAVHSLPVQALTGLIRPQYSDPRQARQPGQGSPTPDNDPGRETDDKSLKEVFKTFDPTASPFC
+>DECOY_sp|P61129|ZC3H6_HUMAN Zinc finger CCCH domain-containing protein 6 OS=Homo sapiens OX=9606 GN=ZC3H6 PE=1 SV=2
+CFPSATPDFTKFVEKLSKDDTERGPDNDPTPSGQGPQRAQRPDSYQPRILGTLAQVPLSHVAPVQVKGSSRPVDAQPDAPGELTVGVNPSPKQPLIAEGPSPETKDSSKLGGSKKQNKSNEGSSATALESTSSSGHDRPDYLSIGSLVSTSTGLPLGASSSLKPAYPPLAGSGSNSTGAGSPQSSGPNSRPLHPAGKSAHSDKMVVQHSETNPLRHLRPDFNKQLRPDGLKAGSGHSDGFQELYGERNTTNIKAKAALKPDISVTNQHLDSKTNWLRNLRQDAILPPLPLNISSVPDPKPLPVPLLDEPAWVIHKAFNPKTLTIDMKIHSFQRLQSRPDQLMIGPSADLPILFAKERLERETDEDDDDGRKHKVNTGANAHHLDFKAGGVSSGIHGSGNGRLKRPDWALRLDLPASESPKRIDQRPITRLRPDVVQNGKSKEKALRPDTPTSLETSPQQQNRLTETQKQLTKLISKVSSGEEEESSSYWNVTDDEEKSPARHPQTSTQEEDEQYRQTLRVFLARQVAPLLGPVPLPGHGTRTSSGDSGSGHNPSDQVVPPQQQAFEGHWMGDGSNNPPQFNHISHQAYYNDYFEAPNQLSEYNTNLQMEGPSQQYSSGPSCHNERPVKMVHGPFGPSHYAGGMSPPTLSTDPQVLVGAQNQPGVIEPPGPPLPPSQPVPLGPPGACPHHGPSGPHMVPSSHGQSMNPGPGPSSGSSYIHQPHPSSGQFQPGPPSASTYFAPPKRGIKHALDVTPKVVIEFLSPIKRFDDSFDTQFDDEPDSFPFHEPPTPLLGVGPPPKPLPTIGRKRLEELEREDENILEEDTNLVKDLLKKTEKTLDDHSFKCNDGQYCKAGSHYFKCPFENHMYICNEGKTCYGQLYFKCIEKRKELEADHDFKCQDGKICRGELFYKCIQKGKHEVTHQNIFEQTMVKWKKGPKNYEQFDDSVSFVNPGKNTRGGREKRKIKKPLGRGRGVNFSKVRQEIGQQVGKMRQKKGSEKSFSSGLAINSTEKAQRYQKLQNAFDEETEQGYNGFNDDSYNGFNDDSYTSYEDYEKSKFKKNHQGKKSTIYSGSIHGRQTFPIDYDRYFGTRKKHSSETHEVDSDLSYDSSDDSSPSNHKHKERKRRKSKKKEREKKHKKRSKRYAKESKQKENEKAEKEQIEEFGADDIEGDELEGDERDHGAHESDTM
+>sp|Q96K80|ZC3HA_HUMAN Zinc finger CCCH domain-containing protein 10 OS=Homo sapiens OX=9606 GN=ZC3H10 PE=1 SV=1
+MPDRDSYANGTGSSGGGPGGGGSEEASGAGVGSGGASSDAICRDFLRNVCKRGKRCRYRHPDMSEVSNLGVSKNEFIFCHDFQNKECSRPNCRFIHGSKEDEDGYKKTGELPPRLRQKVAAGLGLSPADLPNGKEEVPICRDFLKGDCQRGAKCKFRHLQRDFEFDARGGGGTGGGSTGSVLPGRRHDLYDIYDLPDRGFEDHEPGPKRRRGGCCPPDGPHFESYEYSLAPPRGVECRLLEEENAMLRKRVEELKKQVSNLLATNEVLLEQNAQFRNQAKVITLSSTAPATEQTLAPTVGTVATFNHGIAQTHTTLSSQALQPRPVSQQELVAPAGAPAAPPTNAAPPAAPPPPPPHLTPEITPLSAALAQTIAQGMAPPPVSMAPVAVSVAPVAPVAVSMAQPLAGITMSHTTTPMVTYPIASQSMRITAMPH
+>DECOY_sp|Q96K80|ZC3HA_HUMAN Zinc finger CCCH domain-containing protein 10 OS=Homo sapiens OX=9606 GN=ZC3H10 PE=1 SV=1
+HPMATIRMSQSAIPYTVMPTTTHSMTIGALPQAMSVAVPAVPAVSVAVPAMSVPPPAMGQAITQALAASLPTIEPTLHPPPPPPAAPPAANTPPAAPAGAPAVLEQQSVPRPQLAQSSLTTHTQAIGHNFTAVTGVTPALTQETAPATSSLTIVKAQNRFQANQELLVENTALLNSVQKKLEEVRKRLMANEEELLRCEVGRPPALSYEYSEFHPGDPPCCGGRRRKPGPEHDEFGRDPLDYIDYLDHRRGPLVSGTSGGGTGGGGRADFEFDRQLHRFKCKAGRQCDGKLFDRCIPVEEKGNPLDAPSLGLGAAVKQRLRPPLEGTKKYGDEDEKSGHIFRCNPRSCEKNQFDHCFIFENKSVGLNSVESMDPHRYRCRKGRKCVNRLFDRCIADSSAGGSGVGAGSAEESGGGGPGGGSSGTGNAYSDRDPM
+>sp|Q96H79|ZCCHL_HUMAN Zinc finger CCCH-type antiviral protein 1-like OS=Homo sapiens OX=9606 GN=ZC3HAV1L PE=1 SV=2
+MAEPTVCSFLTKVLCAHGGRMFLKDLRGHVELSEARLRDVLQRAGPERFLLQEVETQEGLGDAEAEAAAGAVGGGGTSAWRVVAVSSVRLCARYQRGECQACDQLHFCRRHMLGKCPNRDCWSTCTLSHDIHTPVNMQVLKSHGLFGLNENQLRILLLQNDPCLLPEVCLLYNKGEALYGYCNLKDKCNKFHVCKSFVKGECKLQTCKRSHQLIHAASLKLLQDQGLNIPSVVNFQIISTYKHMKLHKMLENTDNSSPSTEHSQGLEKQGVHAAGAAEAGPLASVPAQSAKKPCPVSCEK
+>DECOY_sp|Q96H79|ZCCHL_HUMAN Zinc finger CCCH-type antiviral protein 1-like OS=Homo sapiens OX=9606 GN=ZC3HAV1L PE=1 SV=2
+KECSVPCPKKASQAPVSALPGAEAAGAAHVGQKELGQSHETSPSSNDTNELMKHLKMHKYTSIIQFNVVSPINLGQDQLLKLSAAHILQHSRKCTQLKCEGKVFSKCVHFKNCKDKLNCYGYLAEGKNYLLCVEPLLCPDNQLLLIRLQNENLGFLGHSKLVQMNVPTHIDHSLTCTSWCDRNPCKGLMHRRCFHLQDCAQCEGRQYRACLRVSSVAVVRWASTGGGGVAGAAAEAEADGLGEQTEVEQLLFREPGARQLVDRLRAESLEVHGRLDKLFMRGGHACLVKTLFSCVTPEAM
+>sp|Q504Y3|ZCPW2_HUMAN Zinc finger CW-type PWWP domain protein 2 OS=Homo sapiens OX=9606 GN=ZCWPW2 PE=1 SV=1
+MDKEKLDVKIEYCNYAMDSSVENMYVNKVWVQCENENCLKWRLLSSEDSAKVDHDEPWYCFMNTDSRYNNCSISEEDFPEESQLHQCGFKIVYSQLPLGSLVLVKLQNWPSWPGILCPDRFKGKYVTYDPDGNVEEYHIEFLGDPHSRSWIKATFVGHYSITLKPEKCKNKKKWYKSALQEACLLYGYSHEQRLEMCCLSKLQDKSETHDKVAALVKKRKQTSKNNIEKKKPKFRKRKRKAILKCSFENVYSDDALSKENRVVCETEVLLKELEQMLQQALQPTATPDESEEGHGEEINMGEKLSKCSPEAPAGSLFENHYEEDYLVIDGIKLKAGECIEDITNKFKEIDALMSEF
+>DECOY_sp|Q504Y3|ZCPW2_HUMAN Zinc finger CW-type PWWP domain protein 2 OS=Homo sapiens OX=9606 GN=ZCWPW2 PE=1 SV=1
+FESMLADIEKFKNTIDEICEGAKLKIGDIVLYDEEYHNEFLSGAPAEPSCKSLKEGMNIEEGHGEESEDPTATPQLAQQLMQELEKLLVETECVVRNEKSLADDSYVNEFSCKLIAKRKRKRFKPKKKEINNKSTQKRKKVLAAVKDHTESKDQLKSLCCMELRQEHSYGYLLCAEQLASKYWKKKNKCKEPKLTISYHGVFTAKIWSRSHPDGLFEIHYEEVNGDPDYTVYKGKFRDPCLIGPWSPWNQLKVLVLSGLPLQSYVIKFGCQHLQSEEPFDEESISCNNYRSDTNMFCYWPEDHDVKASDESSLLRWKLCNENECQVWVKNVYMNEVSSDMAYNCYEIKVDLKEKDM
+>sp|Q8IUH4|ZDH13_HUMAN Palmitoyltransferase ZDHHC13 OS=Homo sapiens OX=9606 GN=ZDHHC13 PE=1 SV=3
+MEGPGLGSQCRNHSHGPHPPGFGRYGICAHENKELANAREALPLIEDSSNCDIVKATQYGIFERCKELVEAGYDVRQPDKENVSLLHWAAINNRLDLVKFYISKGAVVDQLGGDLNSTPLHWAIRQGHLPMVILLLQHGADPTLIDGEGFSSIHLAVLFQHMPIIAYLISKGQSVNMTDVNGQTPLMLSAHKVIGPEPTGFLLKFNPSLNVVDKIHQNTPLHWAVAAGNVNAVDKLLEAGSSLDIQNVKGETPLDMALQNKNQLIIHMLKTEAKMRANQKFRLWRWLQKCELFLLLMLSVITMWAIGYILDFNSDSWLLKGCLLVTLFFLTSLFPRFLVGYKNLVYLPTAFLLSSVFWIFMTWFILFFPDLAGAPFYFSFIFSIVAFLYFFYKTWATDPGFTKASEEEKKVNIITLAETGSLDFRTFCTSCLIRKPLRSLHCHVCNCCVARYDQHCLWTGRCIGFGNHHYYIFFLFFLSMVCGWIIYGSFIYLSSHCATTFKEDGLWTYLNQIVACSPWVLYILMLATFHFSWSTFLLLNQLFQIAFLGLTSHERISLQKQSKHMKQTLSLRKTPYNLGFMQNLADFFQCGCFGLVKPCVVDWTSQYTMVFHPAREKVLRSV
+>DECOY_sp|Q8IUH4|ZDH13_HUMAN Palmitoyltransferase ZDHHC13 OS=Homo sapiens OX=9606 GN=ZDHHC13 PE=1 SV=3
+VSRLVKERAPHFVMTYQSTWDVVCPKVLGFCGCQFFDALNQMFGLNYPTKRLSLTQKMHKSQKQLSIREHSTLGLFAIQFLQNLLLFTSWSFHFTALMLIYLVWPSCAVIQNLYTWLGDEKFTTACHSSLYIFSGYIIWGCVMSLFFLFFIYYHHNGFGICRGTWLCHQDYRAVCCNCVHCHLSRLPKRILCSTCFTRFDLSGTEALTIINVKKEEESAKTFGPDTAWTKYFFYLFAVISFIFSFYFPAGALDPFFLIFWTMFIWFVSSLLFATPLYVLNKYGVLFRPFLSTLFFLTVLLCGKLLWSDSNFDLIYGIAWMTIVSLMLLLFLECKQLWRWLRFKQNARMKAETKLMHIILQNKNQLAMDLPTEGKVNQIDLSSGAELLKDVANVNGAAVAWHLPTNQHIKDVVNLSPNFKLLFGTPEPGIVKHASLMLPTQGNVDTMNVSQGKSILYAIIPMHQFLVALHISSFGEGDILTPDAGHQLLLIVMPLHGQRIAWHLPTSNLDGGLQDVVAGKSIYFKVLDLRNNIAAWHLLSVNEKDPQRVDYGAEVLEKCREFIGYQTAKVIDCNSSDEILPLAERANALEKNEHACIGYRGFGPPHPGHSHNRCQSGLGPGEM
+>sp|Q969W1|ZDH16_HUMAN Palmitoyltransferase ZDHHC16 OS=Homo sapiens OX=9606 GN=ZDHHC16 PE=1 SV=1
+MRGQRSLLLGPARLCLRLLLLLGYRRRCPPLLRGLVQRWRYGKVCLRSLLYNSFGGSDTAVDAAFEPVYWLVDNVIRWFGVVFVVLVIVLTGSIVAIAYLCVLPLILRTYSVPRLCWHFFYSHWNLILIVFHYYQAITTPPGYPPQGRNDIATVSICKKCIYPKPARTHHCSICNRCVLKMDHHCPWLNNCVGHYNHRYFFSFCFFMTLGCVYCSYGSWDLFREAYAAIEKMKQLDKNKLQAVANQTYHQTPPPTFSFRERMTHKSLVYLWFLCSSVALALGALTVWHAVLISRGETSIERHINKKERRRLQAKGRVFRNPYNYGCLDNWKVFLGVDTGRHWLTRVLLPSSHLPHGNGMSWEPPPWVTAHSASVMAV
+>DECOY_sp|Q969W1|ZDH16_HUMAN Palmitoyltransferase ZDHHC16 OS=Homo sapiens OX=9606 GN=ZDHHC16 PE=1 SV=1
+VAMVSASHATVWPPPEWSMGNGHPLHSSPLLVRTLWHRGTDVGLFVKWNDLCGYNYPNRFVRGKAQLRRREKKNIHREISTEGRSILVAHWVTLAGLALAVSSCLFWLYVLSKHTMRERFSFTPPPTQHYTQNAVAQLKNKDLQKMKEIAAYAERFLDWSGYSCYVCGLTMFFCFSFFYRHNYHGVCNNLWPCHHDMKLVCRNCISCHHTRAPKPYICKKCISVTAIDNRGQPPYGPPTTIAQYYHFVILILNWHSYFFHWCLRPVSYTRLILPLVCLYAIAVISGTLVIVLVVFVVGFWRIVNDVLWYVPEFAADVATDSGGFSNYLLSRLCVKGYRWRQVLGRLLPPCRRRYGLLLLLRLCLRAPGLLLSRQGRM
+>sp|O60315|ZEB2_HUMAN Zinc finger E-box-binding homeobox 2 OS=Homo sapiens OX=9606 GN=ZEB2 PE=1 SV=1
+MKQPIMADGPRCKRRKQANPRRKNVVNYDNVVDTGSETDEEDKLHIAEDDGIANPLDQETSPASVPNHESSPHVSQALLPREEEEDEIREGGVEHPWHNNEILQASVDGPEEMKEDYDTMGPEATIQTAINNGTVKNANCTSDFEEYFAKRKLEERDGHAVSIEEYLQRSDTAIIYPEAPEELSRLGTPEANGQEENDLPPGTPDAFAQLLTCPYCDRGYKRLTSLKEHIKYRHEKNEENFSCPLCSYTFAYRTQLERHMVTHKPGTDQHQMLTQGAGNRKFKCTECGKAFKYKHHLKEHLRIHSGEKPYECPNCKKRFSHSGSYSSHISSKKCIGLISVNGRMRNNIKTGSSPNSVSSSPTNSAITQLRNKLENGKPLSMSEQTGLLKIKTEPLDFNDYKVLMATHGFSGTSPFMNGGLGATSPLGVHPSAQSPMQHLGVGMEAPLLGFPTMNSNLSEVQKVLQIVDNTVSRQKMDCKAEEISKLKGYHMKDPCSQPEEQGVTSPNIPPVGLPVVSHNGATKSIIDYTLEKVNEAKACLQSLTTDSRRQISNIKKEKLRTLIDLVTDDKMIENHNISTPFSCQFCKESFPGPIPLHQHERYLCKMNEEIKAVLQPHENIVPNKAGVFVDNKALLLSSVLSEKGMTSPINPYKDHMSVLKAYYAMNMEPNSDELLKISIAVGLPQEFVKEWFEQRKVYQYSNSRSPSLERSSKPLAPNSNPPTKDSLLPRSPVKPMDSITSPSIAELHNSVTNCDPPLRLTKPSHFTNIKPVEKLDHSRSNTPSPLNLSSTSSKNSHSSSYTPNSFSSEELQAEPLDLSLPKQMKEPKSIIATKNKTKASSISLDHNSVSSSSENSDEPLNLTFIKKEFSNSNNLDNKSTNPVFSMNPFSAKPLYTALPPQSAFPPATFMPPVQTSIPGLRPYPGLDQMSFLPHMAYTYPTGAATFADMQQRRKYQRKQGFQGELLDGAQDYMSGLDDMTDSDSCLSRKKIKKTESGMYACDLCDKTFQKSSSLLRHKYEHTGKRPHQCQICKKAFKHKHHLIEHSRLHSGEKPYQCDKCGKRFSHSGSYSQHMNHRYSYCKREAEEREAAEREAREKGHLEPTELLMNRAYLQSITPQGYSDSEERESMPRDGESEKEHEKEGEDGYGKLGRQDGDEEFEEEEEESENKSMDTDPETIRDEEETGDHSMDDSSEDGKMETKSDHEEDNMEDGM
+>DECOY_sp|O60315|ZEB2_HUMAN Zinc finger E-box-binding homeobox 2 OS=Homo sapiens OX=9606 GN=ZEB2 PE=1 SV=1
+MGDEMNDEEHDSKTEMKGDESSDDMSHDGTEEEDRITEPDTDMSKNESEEEEEEFEEDGDQRGLKGYGDEGEKEHEKESEGDRPMSEREESDSYGQPTISQLYARNMLLETPELHGKERAEREAAEREEAERKCYSYRHNMHQSYSGSHSFRKGCKDCQYPKEGSHLRSHEILHHKHKFAKKCIQCQHPRKGTHEYKHRLLSSSKQFTKDCLDCAYMGSETKKIKKRSLCSDSDTMDDLGSMYDQAGDLLEGQFGQKRQYKRRQQMDAFTAAGTPYTYAMHPLFSMQDLGPYPRLGPISTQVPPMFTAPPFASQPPLATYLPKASFPNMSFVPNTSKNDLNNSNSFEKKIFTLNLPEDSNESSSSVSNHDLSISSAKTKNKTAIISKPEKMQKPLSLDLPEAQLEESSFSNPTYSSSHSNKSSTSSLNLPSPTNSRSHDLKEVPKINTFHSPKTLRLPPDCNTVSNHLEAISPSTISDMPKVPSRPLLSDKTPPNSNPALPKSSRELSPSRSNSYQYVKRQEFWEKVFEQPLGVAISIKLLEDSNPEMNMAYYAKLVSMHDKYPNIPSTMGKESLVSSLLLAKNDVFVGAKNPVINEHPQLVAKIEENMKCLYREHQHLPIPGPFSEKCFQCSFPTSINHNEIMKDDTVLDILTRLKEKKINSIQRRSDTTLSQLCAKAENVKELTYDIISKTAGNHSVVPLGVPPINPSTVGQEEPQSCPDKMHYGKLKSIEEAKCDMKQRSVTNDVIQLVKQVESLNSNMTPFGLLPAEMGVGLHQMPSQASPHVGLPSTAGLGGNMFPSTGSFGHTAMLVKYDNFDLPETKIKLLGTQESMSLPKGNELKNRLQTIASNTPSSSVSNPSSGTKINNRMRGNVSILGICKKSSIHSSYSGSHSFRKKCNPCEYPKEGSHIRLHEKLHHKYKFAKGCETCKFKRNGAGQTLMQHQDTGPKHTVMHRELQTRYAFTYSCLPCSFNEENKEHRYKIHEKLSTLRKYGRDCYPCTLLQAFADPTGPPLDNEEQGNAEPTGLRSLEEPAEPYIIATDSRQLYEEISVAHGDREELKRKAFYEEFDSTCNANKVTGNNIATQITAEPGMTDYDEKMEEPGDVSAQLIENNHWPHEVGGERIEDEEEERPLLAQSVHPSSEHNPVSAPSTEQDLPNAIGDDEAIHLKDEEDTESGTDVVNDYNVVNKRRPNAQKRRKCRPGDAMIPQKM
+>sp|P31629|ZEP2_HUMAN Transcription factor HIVEP2 OS=Homo sapiens OX=9606 GN=HIVEP2 PE=1 SV=2
+MDTGDTALGQKATSRSGETDKASGRWRQEQSAVIKMSTFGSHEGQRQPQIEPEQIGNTASAQLFGSGKLASPSEVVQQVAEKQYPPHRPSPYSCQHSLSFPQHSLPQGVMHSTKPHQSLEGPPWLFPGPLPSVASEDLFPFPIHGHSGGYPRKKISSLNPAYSQYSQKSIEQAEEAHKKEHKPKKPGKYICPYCSRACAKPSVLKKHIRSHTGERPYPCIPCGFSFKTKSNLYKHRKSHAHAIKAGLVPFTESAVSKLDLEAGFIDVEAEIHSDGEQSTDTDEESSLFAEASDKMSPGPPIPLDIASRGGYHGSLEESLGGPMKVPILIIPKSGIPLPNESSQYIGPDMLPNPSLNTKADDSHTVKQKLALRLSEKKGQDSEPSLNLLSPHSKGSTDSGYFSRSESAEQQISPPNTNAKSYEEIIFGKYCRLSPRNALSVTTTSQERAAMGRKGIMEPLPHVNTRLDVKMFEDPVSQLIPSKGDVDPSQTSMLKSTKFNSESRQPQIIPSSIRNEGKLYPANFQGSNPVLLEAPVDSSPLIRSNSVPTSSATNLTIPPSLRGSHSFDERMTGSDDVFYPGTVGIPPQRMLRRQAAFELPSVQEGHVEVEHHGRMLKGISSSSLKEKKLSPGDRVGYDYDVCRKPYKKWEDSETPKQNYRDISCLSSLKHGGEYFMDPVVPLQGVPSMFGTTCENRKRRKEKSVGDEEDTPMICSSIVSTPVGIMASDYDPKLQMQEGVRSGFAMAGHENLSHGHTERFDPCRPQLQPGSPSLVSEESPSAIDSDKMSDLGGRKPPGNVISVIQHTNSLSRPNSFERSESAELVACTQDKAPSPSETCDSEISEAPVSPEWAPPGDGAESGGKPSPSQQVQQQSYHTQPRLVRQHNIQVPEIRVTEEPDKPEKEKEAQSKEPEKPVEEFQWPQRSETLSQLPAEKLPPKKKRLRLADMEHSSGESSFESTGTGLSRSPSQESNLSHSSSFSMSFEREETSKLSALPKQDEFGKHSEFLTVPAGSYSLSVPGHHHQKEMRRCSSEQMPCPHPAEVPEVRSKSFDYGNLSHAPVSGAAASTVSPSRERKKCFLVRQASFSGSPEISQGEVGMDQSVKQEQLEHLHAGLRSGWHHGPPAVLPPLQQEDPGKQVAGPCPPLSSGPLHLAQPQIMHMDSQESLRNPLIQPTSYMTSKHLPEQPHLFPHQETIPFSPIQNALFQFQYPTVCMVHLPAQQPPWWQAHFPHPFAQHPQKSYGKPSFQTEIHSSYPLEHVAEHTGKKPAEYAHTKEQTYPCYSGASGLHPKNLLPKFPSDQSSKSTETPSEQVLQEDFASANAGSLQSLPGTVVPVRIQTHVPSYGSVMYTSISQILGQNSPAIVICKVDENMTQRTLVTNAAMQGIGFNIAQVLGQHAGLEKYPIWKAPQTLPLGLESSIPLCLPSTSDSVATLGGSKRMLSPASSLELFMETKQQKRVKEEKMYGQIVEELSAVELTNSDIKKDLSRPQKPQLVRQGCASEPKDGLQSGSSSFSSLSPSSSQDYPSVSPSSREPFLPSKEMLSGSRAPLPGQKSSGPSESKESSDELDIDETASDMSMSPQSSSLPAGDGQLEEEGKGHKRPVGMLVRMASAPSGNVADSTLLLTDMADFQQILQFPSLRTTTTVSWCFLNYTKPNYVQQATFKSSVYASWCISSCNPNPSGLNTKTTLALLRSKQKITAEIYTLAAMHRPGTGKLTSSSAWKQFTQMKPDASFLFGSKLERKLVGNILKERGKGDIHGDKDIGSKQTEPIRIKIFEGGYKSNEDYVYVRGRGRGKYICEECGIRCKKPSMLKKHIRTHTDVRPYVCKLCNFAFKTKGNLTKHMKSKAHMKKCLELGVSMTSVDDTETEEAENLEDLHKAAEKHSMSSISTDHQFSDAEESDGEDGDDNDDDDEDEDDFDDQGDLTPKTRSRSTSPQPPRFSSLPVNVGAVPHGVPSDSSLGHSSLISYLVTLPSIRVTQLMTPSDSCEDTQMTEYQRLFQSKSTDSEPDKDRLDIPSCMDEECMLPSEPSSSPRDFSPSSHHSSPGYDSSPCRDNSPKRYLIPKGDLSPRRHLSPRRDLSPMRHLSPRKEAALRREMSQRDVSPRRHLSPRRPVSPGKDITARRDLSPRRERRYMTTIRAPSPRRALYHNPPLSMGQYLQAEPIVLGPPNLRRGLPQVPYFSLYGDQEGAYEHPGSSLFPEGPNDYVFSHLPLHSQQQVRAPIPMVPVGGIQMVHSMPPALSSLHPSPTLPLPMEGFEEKKGASGESFSKDPYVLSKQHEKRGPHALQSSGPPSTPSSPRLLMKQSTSEDSLNATEREQEENIQTCTKAIASLRIATEEAALLGPDQPARVQEPHQNPLGSAHVSIRHFSRPEPGQPCTSATHPDLHDGEKDNFGTSQTPLAHSTFYSKSCVDDKQLDFHSSKELSSSTEESKDPSSEKSQLH
+>DECOY_sp|P31629|ZEP2_HUMAN Transcription factor HIVEP2 OS=Homo sapiens OX=9606 GN=HIVEP2 PE=1 SV=2
+HLQSKESSPDKSEETSSSLEKSSHFDLQKDDVCSKSYFTSHALPTQSTGFNDKEGDHLDPHTASTCPQGPEPRSFHRISVHASGLPNQHPEQVRAPQDPGLLAAEETAIRLSAIAKTCTQINEEQERETANLSDESTSQKMLLRPSSPTSPPGSSQLAHPGRKEHQKSLVYPDKSFSEGSAGKKEEFGEMPLPLTPSPHLSSLAPPMSHVMQIGGVPVMPIPARVQQQSHLPLHSFVYDNPGEPFLSSGPHEYAGEQDGYLSFYPVQPLGRRLNPPGLVIPEAQLYQGMSLPPNHYLARRPSPARITTMYRRERRPSLDRRATIDKGPSVPRRPSLHRRPSVDRQSMERRLAAEKRPSLHRMPSLDRRPSLHRRPSLDGKPILYRKPSNDRCPSSDYGPSSHHSSPSFDRPSSSPESPLMCEEDMCSPIDLRDKDPESDTSKSQFLRQYETMQTDECSDSPTMLQTVRISPLTVLYSILSSHGLSSDSPVGHPVAGVNVPLSSFRPPQPSTSRSRTKPTLDGQDDFDDEDEDDDDNDDGDEGDSEEADSFQHDTSISSMSHKEAAKHLDELNEAEETETDDVSTMSVGLELCKKMHAKSKMHKTLNGKTKFAFNCLKCVYPRVDTHTRIHKKLMSPKKCRIGCEECIYKGRGRGRVYVYDENSKYGGEFIKIRIPETQKSGIDKDGHIDGKGREKLINGVLKRELKSGFLFSADPKMQTFQKWASSSTLKGTGPRHMAALTYIEATIKQKSRLLALTTKTNLGSPNPNCSSICWSAYVSSKFTAQQVYNPKTYNLFCWSVTTTTRLSPFQLIQQFDAMDTLLLTSDAVNGSPASAMRVLMGVPRKHGKGEEELQGDGAPLSSSQPSMSMDSATEDIDLEDSSEKSESPGSSKQGPLPARSGSLMEKSPLFPERSSPSVSPYDQSSSPSLSSFSSSGSQLGDKPESACGQRVLQPKQPRSLDKKIDSNTLEVASLEEVIQGYMKEEKVRKQQKTEMFLELSSAPSLMRKSGGLTAVSDSTSPLCLPISSELGLPLTQPAKWIPYKELGAHQGLVQAINFGIGQMAANTVLTRQTMNEDVKCIVIAPSNQGLIQSISTYMVSGYSPVHTQIRVPVVTGPLSQLSGANASAFDEQLVQESPTETSKSSQDSPFKPLLNKPHLGSAGSYCPYTQEKTHAYEAPKKGTHEAVHELPYSSHIETQFSPKGYSKQPHQAFPHPFHAQWWPPQQAPLHVMCVTPYQFQFLANQIPSFPITEQHPFLHPQEPLHKSTMYSTPQILPNRLSEQSDMHMIQPQALHLPGSSLPPCPGAVQKGPDEQQLPPLVAPPGHHWGSRLGAHLHELQEQKVSQDMGVEGQSIEPSGSFSAQRVLFCKKRERSPSVTSAAAGSVPAHSLNGYDFSKSRVEPVEAPHPCPMQESSCRRMEKQHHHGPVSLSYSGAPVTLFESHKGFEDQKPLASLKSTEEREFSMSFSSSHSLNSEQSPSRSLGTGTSEFSSEGSSHEMDALRLRKKKPPLKEAPLQSLTESRQPWQFEEVPKEPEKSQAEKEKEPKDPEETVRIEPVQINHQRVLRPQTHYSQQQVQQSPSPKGGSEAGDGPPAWEPSVPAESIESDCTESPSPAKDQTCAVLEASESREFSNPRSLSNTHQIVSIVNGPPKRGGLDSMKDSDIASPSEESVLSPSGPQLQPRCPDFRETHGHSLNEHGAMAFGSRVGEQMQLKPDYDSAMIGVPTSVISSCIMPTDEEDGVSKEKRRKRNECTTGFMSPVGQLPVVPDMFYEGGHKLSSLCSIDRYNQKPTESDEWKKYPKRCVDYDYGVRDGPSLKKEKLSSSSIGKLMRGHHEVEVHGEQVSPLEFAAQRRLMRQPPIGVTGPYFVDDSGTMREDFSHSGRLSPPITLNTASSTPVSNSRILPSSDVPAELLVPNSGQFNAPYLKGENRISSPIIQPQRSESNFKTSKLMSTQSPDVDGKSPILQSVPDEFMKVDLRTNVHPLPEMIGKRGMAAREQSTTTVSLANRPSLRCYKGFIIEEYSKANTNPPSIQQEASESRSFYGSDTSGKSHPSLLNLSPESDQGKKESLRLALKQKVTHSDDAKTNLSPNPLMDPGIYQSSENPLPIGSKPIILIPVKMPGGLSEELSGHYGGRSAIDLPIPPGPSMKDSAEAFLSSEEDTDTSQEGDSHIEAEVDIFGAELDLKSVASETFPVLGAKIAHAHSKRHKYLNSKTKFSFGCPICPYPREGTHSRIHKKLVSPKACARSCYPCIYKGPKKPKHEKKHAEEAQEISKQSYQSYAPNLSSIKKRPYGGSHGHIPFPFLDESAVSPLPGPFLWPPGELSQHPKTSHMVGQPLSHQPFSLSHQCSYPSPRHPPYQKEAVQQVVESPSALKGSGFLQASATNGIQEPEIQPQRQGEHSGFTSMKIVASQEQRWRGSAKDTEGSRSTAKQGLATDGTDM
+>sp|Q9NPA5|ZF64A_HUMAN Zinc finger protein 64 homolog, isoforms 1 and 2 OS=Homo sapiens OX=9606 GN=ZFP64 PE=1 SV=3
+MNASSEGESFAGSVQIPGGTTVLVELTPDIHICGICKQQFNNLDAFVAHKQSGCQLTGTSAAAPSTVQFVSEETVPATQTQTTTRTITSETQTITVSAPEFVFEHGYQTYLPTESNENQTATVISLPAKSRTKKPTTPPAQKRLNCCYPGCQFKTAYGMKDMERHLKIHTGDKPHKCEVCGKCFSRKDKLKTHMRCHTGVKPYKCKTCDYAAADSSSLNKHLRIHSDERPFKCQICPYASRNSSQLTVHLRSHTGDAPFQCWLCSAKFKISSDLKRHMRVHSGEKPFKCEFCNVRCTMKGNLKSHIRIKHSGNNFKCPHCDFLGDSKATLRKHSRVHQSEHPEKCSECSYSCSSKAALRIHERIHCTDRPFKCNYCSFDTKQPSNLSKHMKKFHGDMVKTEALERKDTGRQSSRQVAKLDAKKSFHCDICDASFMREDSLRSHKRQHSEYSESKNSDVTVLQFQIDPSKQPATPLTVGHLQVPLQPSQVPQFSEGRVKIIVGHQVPQANTIVQAAAAAVNIVPPALVAQNPEELPGNSRLQILRQVSLIAPPQSSRCPSEAGAMTQPAVLLTTHEQTDGATLHQTLIPTASGGPQEGSGNQTFITSSGITCTDFEGLNALIQEGTAEVTVVSDGGQNIAVATTAPPVFSSSSQQELPKQTYSIIQGAAHPALLCPADSIPD
+>DECOY_sp|Q9NPA5|ZF64A_HUMAN Zinc finger protein 64 homolog, isoforms 1 and 2 OS=Homo sapiens OX=9606 GN=ZFP64 PE=1 SV=3
+DPISDAPCLLAPHAAGQIISYTQKPLEQQSSSSFVPPATTAVAINQGGDSVVTVEATGEQILANLGEFDTCTIGSSTIFTQNGSGEQPGGSATPILTQHLTAGDTQEHTTLLVAPQTMAGAESPCRSSQPPAILSVQRLIQLRSNGPLEEPNQAVLAPPVINVAAAAAQVITNAQPVQHGVIIKVRGESFQPVQSPQLPVQLHGVTLPTAPQKSPDIQFQLVTVDSNKSESYESHQRKHSRLSDERMFSADCIDCHFSKKADLKAVQRSSQRGTDKRELAETKVMDGHFKKMHKSLNSPQKTDFSCYNCKFPRDTCHIREHIRLAAKSSCSYSCESCKEPHESQHVRSHKRLTAKSDGLFDCHPCKFNNGSHKIRIHSKLNGKMTCRVNCFECKFPKEGSHVRMHRKLDSSIKFKASCLWCQFPADGTHSRLHVTLQSSNRSAYPCIQCKFPREDSHIRLHKNLSSSDAAAYDCTKCKYPKVGTHCRMHTKLKDKRSFCKGCVECKHPKDGTHIKLHREMDKMGYATKFQCGPYCCNLRKQAPPTTPKKTRSKAPLSIVTATQNENSETPLYTQYGHEFVFEPASVTITQTESTITRTTTQTQTAPVTEESVFQVTSPAAASTGTLQCGSQKHAVFADLNNFQQKCIGCIHIDPTLEVLVTTGGPIQVSGAFSEGESSANM
+>sp|Q9UJL9|ZF69B_HUMAN Zinc finger protein ZFP69B OS=Homo sapiens OX=9606 GN=ZFP69B PE=1 SV=2
+MLQQLLITLPTEASTWVKLRHPKAATERVALWEDVTKMFKAEALLSQDADETQGESLESRVTLGSLTAESQELLTFKDVSVDFTQEEWGQLAPAHRNLYREVMLENYGNLVSVGCQLSKPGVISQLEKGEEPWLMERDISGVPSSDLKSKTKTKESALQNDISWEELHCGLMMERFTKGSSMYSTLGRISKCNKLESQQENQRMGKGQIPLMCKKTFTQERGQESNRFEKRINVKSEVMPGPIGLPRKRDRKYDTPGKRSRYNIDLVNHSRSYTKMKTFECNICEKIFKQLIHLTEHMRIHTGEKPFRCKECGKAFSQSSSLIPHQRIHTGEKPYECKECGKTFRHPSSLTQHVRIHTGEKPYECRVCEKAFSQSIGLIQHLRTHVREKPFTCKDCGKAFFQIRHLRQHEIIHTGVKPYICNVCSKTFSHSTYLTQHQRTHTGERPYKCKECGKAFSQRIHLSIHQRVHTGVKPYECSHCGKAFRHDSSFAKHQRIHTGEKPYDCNECGKAFSCSSSLIRHCKTHLRNTFSNVV
+>DECOY_sp|Q9UJL9|ZF69B_HUMAN Zinc finger protein ZFP69B OS=Homo sapiens OX=9606 GN=ZFP69B PE=1 SV=2
+VVNSFTNRLHTKCHRILSSSCSFAKGCENCDYPKEGTHIRQHKAFSSDHRFAKGCHSCEYPKVGTHVRQHISLHIRQSFAKGCEKCKYPREGTHTRQHQTLYTSHSFTKSCVNCIYPKVGTHIIEHQRLHRIQFFAKGCDKCTFPKERVHTRLHQILGISQSFAKECVRCEYPKEGTHIRVHQTLSSPHRFTKGCEKCEYPKEGTHIRQHPILSSSQSFAKGCEKCRFPKEGTHIRMHETLHILQKFIKECINCEFTKMKTYSRSHNVLDINYRSRKGPTDYKRDRKRPLGIPGPMVESKVNIRKEFRNSEQGREQTFTKKCMLPIQGKGMRQNEQQSELKNCKSIRGLTSYMSSGKTFREMMLGCHLEEWSIDNQLASEKTKTKSKLDSSPVGSIDREMLWPEEGKELQSIVGPKSLQCGVSVLNGYNELMVERYLNRHAPALQGWEEQTFDVSVDKFTLLEQSEATLSGLTVRSELSEGQTEDADQSLLAEAKFMKTVDEWLAVRETAAKPHRLKVWTSAETPLTILLQQLM
+>sp|Q8NHY6|ZFP28_HUMAN Zinc finger protein 28 homolog OS=Homo sapiens OX=9606 GN=ZFP28 PE=1 SV=1
+MRGAASASVREPTPLPGRGAPRTKPRAGRGPTVGTPATLALPARGRPRSRNGLASKGQRGAAPTGPGHRALPSRDTALPQERNKKLEAVGTGIEPKAMSQGLVTFGDVAVDFSQEEWEWLNPIQRNLYRKVMLENYRNLASLGLCVSKPDVISSLEQGKEPWTVKRKMTRAWCPDLKAVWKIKELPLKKDFCEGKLSQAVITERLTSYNLEYSLLGEHWDYDALFETQPGLVTIKNLAVDFRQQLHPAQKNFCKNGIWENNSDLGSAGHCVAKPDLVSLLEQEKEPWMVKRELTGSLFSGQRSVHETQELFPKQDSYAEGVTDRTSNTKLDCSSFRENWDSDYVFGRKLAVGQETQFRQEPITHNKTLSKERERTYNKSGRWFYLDDSEEKVHNRDSIKNFQKSSVVIKQTGIYAGKKLFKCNECKKTFTQSSSLTVHQRIHTGEKPYKCNECGKAFSDGSSFARHQRCHTGKKPYECIECGKAFIQNTSLIRHWRYYHTGEKPFDCIDCGKAFSDHIGLNQHRRIHTGEKPYKCDVCHKSFRYGSSLTVHQRIHTGEKPYECDVCRKAFSHHASLTQHQRVHSGEKPFKCKECGKAFRQNIHLASHLRIHTGEKPFECAECGKSFSISSQLATHQRIHTGEKPYECKVCSKAFTQKAHLAQHQKTHTGEKPYECKECGKAFSQTTHLIQHQRVHTGEKPYKCMECGKAFGDNSSCTQHQRLHTGQRPYECIECGKAFKTKSSLICHRRSHTGEKPYECSVCGKAFSHRQSLSVHQRIHSGKKPYECKECRKTFIQIGHLNQHKRVHTGERSYNYKKSRKVFRQTAHLAHHQRIHTGESSTCPSLPSTSNPVDLFPKFLWNPSSLPSP
+>DECOY_sp|Q8NHY6|ZFP28_HUMAN Zinc finger protein 28 homolog OS=Homo sapiens OX=9606 GN=ZFP28 PE=1 SV=1
+PSPLSSPNWLFKPFLDVPNSTSPLSPCTSSEGTHIRQHHALHATQRFVKRSKKYNYSREGTHVRKHQNLHGIQIFTKRCEKCEYPKKGSHIRQHVSLSQRHSFAKGCVSCEYPKEGTHSRRHCILSSKTKFAKGCEICEYPRQGTHLRQHQTCSSNDGFAKGCEMCKYPKEGTHVRQHQILHTTQSFAKGCEKCEYPKEGTHTKQHQALHAKQTFAKSCVKCEYPKEGTHIRQHTALQSSISFSKGCEACEFPKEGTHIRLHSALHINQRFAKGCEKCKFPKEGSHVRQHQTLSAHHSFAKRCVDCEYPKEGTHIRQHVTLSSGYRFSKHCVDCKYPKEGTHIRRHQNLGIHDSFAKGCDICDFPKEGTHYYRWHRILSTNQIFAKGCEICEYPKKGTHCRQHRAFSSGDSFAKGCENCKYPKEGTHIRQHVTLSSSQTFTKKCENCKFLKKGAYIGTQKIVVSSKQFNKISDRNHVKEESDDLYFWRGSKNYTREREKSLTKNHTIPEQRFQTEQGVALKRGFVYDSDWNERFSSCDLKTNSTRDTVGEAYSDQKPFLEQTEHVSRQGSFLSGTLERKVMWPEKEQELLSVLDPKAVCHGASGLDSNNEWIGNKCFNKQAPHLQQRFDVALNKITVLGPQTEFLADYDWHEGLLSYELNYSTLRETIVAQSLKGECFDKKLPLEKIKWVAKLDPCWARTMKRKVTWPEKGQELSSIVDPKSVCLGLSALNRYNELMVKRYLNRQIPNLWEWEEQSFDVAVDGFTVLGQSMAKPEIGTGVAELKKNREQPLATDRSPLARHGPGTPAAGRQGKSALGNRSRPRGRAPLALTAPTGVTPGRGARPKTRPAGRGPLPTPERVSASAAGRM
+>sp|Q6ZN57|ZFP2_HUMAN Zinc finger protein 2 homolog OS=Homo sapiens OX=9606 GN=ZFP2 PE=1 SV=1
+MEREGIWHSTLGETWEPNNWLEGQQDSHLSQVGVTHKETFTEMRVCGGNEFERCSSQDSILDTQQSIPMVKRPHNCNSHGEDATQNSELIKTQRMFVGKKIYECNQCSKTFSQSSSLLKHQRIHTGEKPYKCNVCGKHFIERSSLTVHQRIHTGEKPYKCNECGKAFSQSMNLTVHQRTHTGEKPYQCKECGKAFHKNSSLIQHERIHTGEKPYKCNECGKAFTQSMNLTVHQRTHTGEKPYECNECGKAFSQSMHLIVHQRSHTGEKPYECSQCGKAFSKSSTLTLHQRNHTGEKPYKCNKCGKSFSQSTYLIEHQRLHSGVKPFECNECGKAFSKNSSLTQHRRIHTGEKPYECMVCGKHFTGRSSLTVHQVIHTGEKPYECNECGKAFSQSAYLIEHQRIHTGEKPYECDQCGKAFIKNSSLTVHQRTHTGEKPYQCNECGKAFSRSTNLTRHQRTHT
+>DECOY_sp|Q6ZN57|ZFP2_HUMAN Zinc finger protein 2 homolog OS=Homo sapiens OX=9606 GN=ZFP2 PE=1 SV=1
+THTRQHRTLNTSRSFAKGCENCQYPKEGTHTRQHVTLSSNKIFAKGCQDCEYPKEGTHIRQHEILYASQSFAKGCENCEYPKEGTHIVQHVTLSSRGTFHKGCVMCEYPKEGTHIRRHQTLSSNKSFAKGCENCEFPKVGSHLRQHEILYTSQSFSKGCKNCKYPKEGTHNRQHLTLTSSKSFAKGCQSCEYPKEGTHSRQHVILHMSQSFAKGCENCEYPKEGTHTRQHVTLNMSQTFAKGCENCKYPKEGTHIREHQILSSNKHFAKGCEKCQYPKEGTHTRQHVTLNMSQSFAKGCENCKYPKEGTHIRQHVTLSSREIFHKGCVNCKYPKEGTHIRQHKLLSSSQSFTKSCQNCEYIKKGVFMRQTKILESNQTADEGHSNCNHPRKVMPISQQTDLISDQSSCREFENGGCVRMETFTEKHTVGVQSLHSDQQGELWNNPEWTEGLTSHWIGEREM
+>sp|Q9Y2G7|ZFP30_HUMAN Zinc finger protein 30 homolog OS=Homo sapiens OX=9606 GN=ZFP30 PE=1 SV=1
+MARDLVMFRDVAVDFSQEEWECLNSYQRNLYRDVILENYSNLVSLAGCSISKPDVITLLEQGKEPWMVVRDEKRRWTLDLESRYDTKKLFQGKDIYEMNLSQWKVMERIKSCGLEEQESPHEVCFRQVTKTTSEKMPTYRKLTSLPLYQKSHNREKPYECGECGKAFRVRQQLTFHQRIHTGEKPYECKECGKAFRQCAHLSRHQRIHTSDKLYECKKCGKIFTCGSDLRVHQRIHIGEKPYECKECGKAFRVRGQLNLHQRIHTGEKPYECKECGKAFRQYAHLTRHQRLNIAEKCYECKECGQAFLCSTGLRLHHKLHTGEKPYECKECGKAFRVRQQLTLHQRIHTGEKPYDCKECGKTFSRGYHLTLHQRIHTGEKPYECKECQKFFRRYSELISHQGIHIGEKPYECKECGKAFRLFSQLTQHQSIHFGEKPFKCKECEKTFRLLSQLTQHQSIHTGEKPYDCKECGKAFRLHSSLIQHQRIHSGEKPYKCKECKKAFRQHSHLTYHQRIHNVT
+>DECOY_sp|Q9Y2G7|ZFP30_HUMAN Zinc finger protein 30 homolog OS=Homo sapiens OX=9606 GN=ZFP30 PE=1 SV=1
+TVNHIRQHYTLHSHQRFAKKCEKCKYPKEGSHIRQHQILSSHLRFAKGCEKCDYPKEGTHISQHQTLQSLLRFTKECEKCKFPKEGFHISQHQTLQSFLRFAKGCEKCEYPKEGIHIGQHSILESYRRFFKQCEKCEYPKEGTHIRQHLTLHYGRSFTKGCEKCDYPKEGTHIRQHLTLQQRVRFAKGCEKCEYPKEGTHLKHHLRLGTSCLFAQGCEKCEYCKEAINLRQHRTLHAYQRFAKGCEKCEYPKEGTHIRQHLNLQGRVRFAKGCEKCEYPKEGIHIRQHVRLDSGCTFIKGCKKCEYLKDSTHIRQHRSLHACQRFAKGCEKCEYPKEGTHIRQHFTLQQRVRFAKGCEGCEYPKERNHSKQYLPLSTLKRYTPMKESTTKTVQRFCVEHPSEQEELGCSKIREMVKWQSLNMEYIDKGQFLKKTDYRSELDLTWRRKEDRVVMWPEKGQELLTIVDPKSISCGALSVLNSYNELIVDRYLNRQYSNLCEWEEQSFDVAVDRFMVLDRAM
+>sp|Q8N8Y5|ZFP41_HUMAN Zinc finger protein 41 homolog OS=Homo sapiens OX=9606 GN=ZFP41 PE=2 SV=1
+MEKPAGRKKKTPTPREEADVQKSALREEKVSGDRKPPERPTVPRKPRTEPCLSPEDEEHVFDAFDASFKDDFEGVPVFIPFQRKKPYECSECGRIFKHKTDHIRHQRVHTGEKPFKCAQCGKAFRHSSDVTKHQRTHTGEKPFKCGECGKAFNCGSNLLKHQKTHTGEKPYECTHCGKAFAYSSCLIRHQKRHPRKKP
+>DECOY_sp|Q8N8Y5|ZFP41_HUMAN Zinc finger protein 41 homolog OS=Homo sapiens OX=9606 GN=ZFP41 PE=2 SV=1
+PKKRPHRKQHRILCSSYAFAKGCHTCEYPKEGTHTKQHKLLNSGCNFAKGCEGCKFPKEGTHTRQHKTVDSSHRFAKGCQACKFPKEGTHVRQHRIHDTKHKFIRGCESCEYPKKRQFPIFVPVGEFDDKFSADFADFVHEEDEPSLCPETRPKRPVTPREPPKRDGSVKEERLASKQVDAEERPTPTKKKRGAPKEM
+>sp|Q96MM3|ZFP42_HUMAN Zinc finger protein 42 homolog OS=Homo sapiens OX=9606 GN=ZFP42 PE=1 SV=2
+MSQQLKKRAKTRHQKGLGGRAPSGAKPRQGKSSQDLQAEIEPVSAVWALCDGYVCYEPGPQALGGDDFSDCYIECVIRGEFSQPILEEDSLFESLEYLKKGSEQQLSQKVFEASSLECSLEYMKKGVKKELPQKIVGENSLEYSEYMTGKKLPPGGIPGIDLSDPKQLAEFARKKPPINKEYDSLSAIACPQSGCTRKLRNRAALRKHLLIHGPRDHVCAECGKAFVESSKLKRHFLVHTGEKPFRCTFEGCGKRFSLDFNLRTHVRIHTGEKRFVCPFQGCNRRFIQSNNLKAHILTHANTNKNEQEGK
+>DECOY_sp|Q96MM3|ZFP42_HUMAN Zinc finger protein 42 homolog OS=Homo sapiens OX=9606 GN=ZFP42 PE=1 SV=2
+KGEQENKNTNAHTLIHAKLNNSQIFRRNCGQFPCVFRKEGTHIRVHTRLNFDLSFRKGCGEFTCRFPKEGTHVLFHRKLKSSEVFAKGCEACVHDRPGHILLHKRLAARNRLKRTCGSQPCAIASLSDYEKNIPPKKRAFEALQKPDSLDIGPIGGPPLKKGTMYESYELSNEGVIKQPLEKKVGKKMYELSCELSSAEFVKQSLQQESGKKLYELSEFLSDEELIPQSFEGRIVCEIYCDSFDDGGLAQPGPEYCVYGDCLAWVASVPEIEAQLDQSSKGQRPKAGSPARGGLGKQHRTKARKKLQQSM
+>sp|Q49AA0|ZFP69_HUMAN Zinc finger protein ZFP69 OS=Homo sapiens OX=9606 GN=ZFP69 PE=2 SV=2
+MPQQLLITLPTEASTWVKLQHPKKAVEGAPLWEDVTKMFEGEALLSQDAEDVKTQRESLEDEVTPGLPTAESQELLTFKDISIDFTQEEWGQLAPAHQNLYREVMLENYSNLVSVGYQLSKPSVISQLEKGEEPWMAEKEGPGDPSSDLKSKIETIESTAKSTISQERLYHGIMMESFMRDDIIYSTLRKVSTYDDVLERHQETCMRDVRQAILTHKKRVQETNKFGENIIVHSNVIIEQRHHKYDTPTKRNTYKLDLINHPTSYIRTKTYECNICEKIFKQPIHLTEHMRIHTGEKPFRCKECGRAFSQSASLSTHQRIHTGEKPFECEECGKAFRHRSSLNQHHRTHTGEKPYVCDKCQKAFSQNISLVQHLRTHSGEKPFTCNECGKTFRQIRHLSEHIRIHTGEKPYACTACCKTFSHRAYLTHHQRIHTGERPYKCKECGKAFRQRIHLSNHKTVHTGVKAYECNRCGKAYRHDSSFKKHQRHHTGEKPYECNECGKAFSYNSSLSRHHEIHRRNAFRNKV
+>DECOY_sp|Q49AA0|ZFP69_HUMAN Zinc finger protein ZFP69 OS=Homo sapiens OX=9606 GN=ZFP69 PE=2 SV=2
+VKNRFANRRHIEHHRSLSSNYSFAKGCENCEYPKEGTHHRQHKKFSSDHRYAKGCRNCEYAKVGTHVTKHNSLHIRQRFAKGCEKCKYPREGTHIRQHHTLYARHSFTKCCATCAYPKEGTHIRIHESLHRIQRFTKGCENCTFPKEGSHTRLHQVLSINQSFAKQCKDCVYPKEGTHTRHHQNLSSRHRFAKGCEECEFPKEGTHIRQHTSLSASQSFARGCEKCRFPKEGTHIRMHETLHIPQKFIKECINCEYTKTRIYSTPHNILDLKYTNRKTPTDYKHHRQEIIVNSHVIINEGFKNTEQVRKKHTLIAQRVDRMCTEQHRELVDDYTSVKRLTSYIIDDRMFSEMMIGHYLREQSITSKATSEITEIKSKLDSSPDGPGEKEAMWPEEGKELQSIVSPKSLQYGVSVLNSYNELMVERYLNQHAPALQGWEEQTFDISIDKFTLLEQSEATPLGPTVEDELSERQTKVDEADQSLLAEGEFMKTVDEWLPAGEVAKKPHQLKVWTSAETPLTILLQQPM
+>sp|Q7Z3T8|ZFY16_HUMAN Zinc finger FYVE domain-containing protein 16 OS=Homo sapiens OX=9606 GN=ZFYVE16 PE=1 SV=3
+MDSYFKAAVSDLDKLLDDFEQNPDEQDYLQDVQNAYDSNHCSVSSELASSQRTSLLPKDQECVNSCASSETSYGTNESSLNEKTLKGLTSIQNEKNVTGLDLLSSVDGGTSDEIQPLYMGRCSKPICDLISDMGNLVHATNSEEDIKKLLPDDFKSNADSLIGLDLSSVSDTPCVSSTDHDSDTVREQQNDISSELQNREIGGIKELGIKVDTTLSDSYNYSGTENLKDKKIFNQLESIVDFNMSSALTRQSSKMFHAKDKLQHKSQPCGLLKDVGLVKEEVDVAVITAAECLKEEGKTSALTCSLPKNEDLCLNDSNSRDENFKLPDFSFQEDKTVIKQSAQEDSKSLDLKDNDVIQDSSSALHVSSKDVPSSLSCLPASGSMCGSLIESKARGDFLPQHEHKDNIQDAVTIHEEIQNSVVLGGEPFKENDLLKQEKCKSILLQSLIEGMEDRKIDPDQTVIRAESLDGGDTSSTVVESQEGLSGTHVPESSDCCEGFINTFSSNDMDGQDLDYFNIDEGAKSGPLISDAELDAFLTEQYLQTTNIKSFEENVNDSKSQMNQIDMKGLDDGNINNIYFNAEAGAIGESHGINIICEIVDKQNTIENGLSLGEKSTIPVQQGLPTSKSEITNQLSVSDINSQSVGGARPKQLFSLPSRTRSSKDLNKPDVPDTIESEPSTADTVVPITCAIDSTADPQVSFNSNYIDIESNSEGGSSFVTANEDSVPENTCKEGLVLGQKQPTWVPDSEAPNCMNCQVKFTFTKRRHHCRACGKVFCGVCCNRKCKLQYLEKEARVCVVCYETISKAQAFERMMSPTGSNLKSNHSDECTTVQPPQENQTSSIPSPATLPVSALKQPGVEGLCSKEQKRVWFADGILPNGEVADTTKLSSGSKRCSEDFSPLSPDVPMTVNTVDHSHSTTVEKPNNETGDITRNEIIQSPISQVPSVEKLSMNTGNEGLPTSGSFTLDDDVFAETEEPSSPTGVLVNSNLPIASISDYRLLCDINKYVCNKISLLPNDEDSLPPLLVASGEKGSVPVVEEHPSHEQIILLLEGESFHPVTFVLNANLLVNVKFIFYSSDKYWYFSTNGLHGLGQAEIIILLLCLPNEDTIPKDIFRLFITIYKDALKGKYIENLDNITFTESFLSSKDHGGFLFITPTFQKLDDLSLPSNPFLCGILIQKLEIPWAKVFPMRLMLRLGAEYKAYPAPLTSIRGRKPLFGEIGHTIMNLLVDLRNYQYTLHNIDQLLIHMEMGKSCIKIPRKKYSDVMKVLNSSNEHVISIGASFSTEADSHLVCIQNDGIYETQANSATGHPRKVTGASFVVFNGALKTSSGFLAKSSIVEDGLMVQITPETMNGLRLALREQKDFKITCGKVDAVDLREYVDICWVDAEEKGNKGVISSVDGISLQGFPSEKIKLEADFETDEKIVKCTEVFYFLKDQDLSILSTSYQFAKEIAMACSAALCPHLKTLKSNGMNKIGLRVSIDTDMVEFQAGSEGQLLPQHYLNDLDSALIPVIHGGTSNSSLPLEIELVFFIIEHLF
+>DECOY_sp|Q7Z3T8|ZFY16_HUMAN Zinc finger FYVE domain-containing protein 16 OS=Homo sapiens OX=9606 GN=ZFYVE16 PE=1 SV=3
+FLHEIIFFVLEIELPLSSNSTGGHIVPILASDLDNLYHQPLLQGESGAQFEVMDTDISVRLGIKNMGNSKLTKLHPCLAASCAMAIEKAFQYSTSLISLDQDKLFYFVETCKVIKEDTEFDAELKIKESPFGQLSIGDVSSIVGKNGKEEADVWCIDVYERLDVADVKGCTIKFDKQERLALRLGNMTEPTIQVMLGDEVISSKALFGSSTKLAGNFVVFSAGTVKRPHGTASNAQTEYIGDNQICVLHSDAETSFSAGISIVHENSSNLVKMVDSYKKRPIKICSKGMEMHILLQDINHLTYQYNRLDVLLNMITHGIEGFLPKRGRISTLPAPYAKYEAGLRLMLRMPFVKAWPIELKQILIGCLFPNSPLSLDDLKQFTPTIFLFGGHDKSSLFSETFTINDLNEIYKGKLADKYITIFLRFIDKPITDENPLCLLLIIIEAQGLGHLGNTSFYWYKDSSYFIFKVNVLLNANLVFTVPHFSEGELLLIIQEHSPHEEVVPVSGKEGSAVLLPPLSDEDNPLLSIKNCVYKNIDCLLRYDSISAIPLNSNVLVGTPSSPEETEAFVDDDLTFSGSTPLGENGTNMSLKEVSPVQSIPSQIIENRTIDGTENNPKEVTTSHSHDVTNVTMPVDPSLPSFDESCRKSGSSLKTTDAVEGNPLIGDAFWVRKQEKSCLGEVGPQKLASVPLTAPSPISSTQNEQPPQVTTCEDSHNSKLNSGTPSMMREFAQAKSITEYCVVCVRAEKELYQLKCKRNCCVGCFVKGCARCHHRRKTFTFKVQCNMCNPAESDPVWTPQKQGLVLGEKCTNEPVSDENATVFSSGGESNSEIDIYNSNFSVQPDATSDIACTIPVVTDATSPESEITDPVDPKNLDKSSRTRSPLSFLQKPRAGGVSQSNIDSVSLQNTIESKSTPLGQQVPITSKEGLSLGNEITNQKDVIECIINIGHSEGIAGAEANFYINNINGDDLGKMDIQNMQSKSDNVNEEFSKINTTQLYQETLFADLEADSILPGSKAGEDINFYDLDQGDMDNSSFTNIFGECCDSSEPVHTGSLGEQSEVVTSSTDGGDLSEARIVTQDPDIKRDEMGEILSQLLISKCKEQKLLDNEKFPEGGLVVSNQIEEHITVADQINDKHEHQPLFDGRAKSEILSGCMSGSAPLCSLSSPVDKSSVHLASSSDQIVDNDKLDLSKSDEQASQKIVTKDEQFSFDPLKFNEDRSNSDNLCLDENKPLSCTLASTKGEEKLCEAATIVAVDVEEKVLGVDKLLGCPQSKHQLKDKAHFMKSSQRTLASSMNFDVISELQNFIKKDKLNETGSYNYSDSLTTDVKIGLEKIGGIERNQLESSIDNQQERVTDSDHDTSSVCPTDSVSSLDLGILSDANSKFDDPLLKKIDEESNTAHVLNGMDSILDCIPKSCRGMYLPQIEDSTGGDVSSLLDLGTVNKENQISTLGKLTKENLSSENTGYSTESSACSNVCEQDKPLLSTRQSSALESSVSCHNSDYANQVDQLYDQEDPNQEFDDLLKDLDSVAAKFYSDM
+>sp|Q5T4F4|ZFY27_HUMAN Protrudin OS=Homo sapiens OX=9606 GN=ZFYVE27 PE=1 SV=1
+MQTSEREGSGPELSPSVMPEAPLESPPFPTKSPAFDLFNLVLSYKRLEIYLEPLKDAGDGVRYLLRWQMPLCSLLTCLGLNVLFLTLNEGAWYSVGALMISVPALLGYLQEVCRARLPDSELMRRKYHSVRQEDLQRGRLSRPEAVAEVKSFLIQLEAFLSRLCCTCEAAYRVLHWENPVVSSQFYGALLGTVCMLYLLPLCWVLTLLNSTLFLGNVEFFRVVSEYRASLQQRMNPKQEEHAFESPPPPDVGGKDGLMDSTPALTPTEDLTPGSVEEAEEAEPDEEFKDAIEETHLVVLEDDEGAPCPAEDELALQDNGFLSKNEVLRSKVSRLTERLRKRYPTNNFGNCTGCSATFSVLKKRRSCSNCGNSFCSRCCSFKVPKSSMGATAPEAQRETVFVCASCNQTLSK
+>DECOY_sp|Q5T4F4|ZFY27_HUMAN Protrudin OS=Homo sapiens OX=9606 GN=ZFYVE27 PE=1 SV=1
+KSLTQNCSACVFVTERQAEPATAGMSSKPVKFSCCRSCFSNGCNSCSRRKKLVSFTASCGTCNGFNNTPYRKRLRETLRSVKSRLVENKSLFGNDQLALEDEAPCPAGEDDELVVLHTEEIADKFEEDPEAEEAEEVSGPTLDETPTLAPTSDMLGDKGGVDPPPPSEFAHEEQKPNMRQQLSARYESVVRFFEVNGLFLTSNLLTLVWCLPLLYLMCVTGLLAGYFQSSVVPNEWHLVRYAAECTCCLRSLFAELQILFSKVEAVAEPRSLRGRQLDEQRVSHYKRRMLESDPLRARCVEQLYGLLAPVSIMLAGVSYWAGENLTLFLVNLGLCTLLSCLPMQWRLLYRVGDGADKLPELYIELRKYSLVLNFLDFAPSKTPFPPSELPAEPMVSPSLEPGSGERESTQM
+>sp|O95405|ZFYV9_HUMAN Zinc finger FYVE domain-containing protein 9 OS=Homo sapiens OX=9606 GN=ZFYVE9 PE=1 SV=2
+MENYFQAEAYNLDKVLDEFEQNEDETVSSTLLDTKWNKILDPPSHRLSFNPTLASVNESAVSNESQPQLKVFSLAHSAPLTTEEEDHCANGQDCNLNPEIATMWIDENAVAEDQLIKRNYSWDDQCSAVEVGEKKCGNLACLPDEKNVLVVAVMHNCDKRTLQNDLQDCNNYNSQSLMDAFSCSLDNENRQTDQFSFSINESTEKDMNSEKQMDPLNRPKTEGRSVNHLCPTSSDSLASVCSPSQLKDDGSIGRDPSMSAITSLTVDSVISSQGTDGCPAVKKQENYIPDEDLTGKISSPRTDLGSPNSFSHMSEGILMKKEPAEESTTEESLRSGLPLLLKPDMPNGSGRNNDCERCSDCLVPNEVRADENEGYEHEETLGTTEFLNMTEHFSESQDMTNWKLTKLNEMNDSQVNEEKEKFLQISQPEDTNGDSGGQCVGLADAGLDLKGTCISESEECDFSTVIDTPAANYLSNGCDSYGMQDPGVSFVPKTLPSKEDSVTEEKEIEESKSECYSNIYEQRGNEATEGSGLLLNSTGDLMKKNYLHNFCSQVPSVLGQSSPKVVASLPSISVPFGGARPKQPSNLKLQIPKPLSDHLQNDFPANSGNNTKNKNDILGKAKLGENSATNVCSPSLGNISNVDTNGEHLESYEAEISTRPCLALAPDSPDNDLRAGQFGISARKPFTTLGEVAPVWVPDSQAPNCMKCEARFTFTKRRHHCRACGKVFCASCCSLKCKLLYMDRKEARVCVICHSVLMNAQAWENMMSASSQSPNPNNPAEYCSTIPPLQQAQASGALSSPPPTVMVPVGVLKHPGAEVAQPREQRRVWFADGILPNGEVADAAKLTMNGTSSAGTLAVSHDPVKPVTTSPLPAETDICLFSGSITQVGSPVGSAMNLIPEDGLPPILISTGVKGDYAVEEKPSQISVMQQLEDGGPDPLVFVLNANLLSMVKIVNYVNRKCWCFTTKGMHAVGQSEIVILLQCLPDEKCLPKDIFNHFVQLYRDALAGNVVSNLGHSFFSQSFLGSKEHGGFLYVTSTYQSLQDLVLPTPPYLFGILIQKWETPWAKVFPIRLMLRLGAEYRLYPCPLFSVRFRKPLFGETGHTIMNLLADFRNYQYTLPVVQGLVVDMEVRKTSIKIPSNRYNEMMKAMNKSNEHVLAGGACFNEKADSHLVCVQNDDGNYQTQAISIHNQPRKVTGASFFVFSGALKSSSGYLAKSSIVEDGVMVQITAENMDSLRQALREMKDFTITCGKADAEEPQEHIHIQWVDDDKNVSKGVVSPIDGKSMETITNVKIFHGSEYKANGKVIRWTEVFFLENDDQHNCLSDPADHSRLTEHVAKAFCLALCPHLKLLKEDGMTKLGLRVTLDSDQVGYQAGSNGQPLPSQYMNDLDSALVPVIHGGACQLSEGPVVMELIFYILENIV
+>DECOY_sp|O95405|ZFYV9_HUMAN Zinc finger FYVE domain-containing protein 9 OS=Homo sapiens OX=9606 GN=ZFYVE9 PE=1 SV=2
+VINELIYFILEMVVPGESLQCAGGHIVPVLASDLDNMYQSPLPQGNSGAQYGVQDSDLTVRLGLKTMGDEKLLKLHPCLALCFAKAVHETLRSHDAPDSLCNHQDDNELFFVETWRIVKGNAKYESGHFIKVNTITEMSKGDIPSVVGKSVNKDDDVWQIHIHEQPEEADAKGCTITFDKMERLAQRLSDMNEATIQVMVGDEVISSKALYGSSSKLAGSFVFFSAGTVKRPQNHISIAQTQYNGDDNQVCVLHSDAKENFCAGGALVHENSKNMAKMMENYRNSPIKISTKRVEMDVVLGQVVPLTYQYNRFDALLNMITHGTEGFLPKRFRVSFLPCPYLRYEAGLRLMLRIPFVKAWPTEWKQILIGFLYPPTPLVLDQLSQYTSTVYLFGGHEKSGLFSQSFFSHGLNSVVNGALADRYLQVFHNFIDKPLCKEDPLCQLLIVIESQGVAHMGKTTFCWCKRNVYNVIKVMSLLNANLVFVLPDPGGDELQQMVSIQSPKEEVAYDGKVGTSILIPPLGDEPILNMASGVPSGVQTISGSFLCIDTEAPLPSTTVPKVPDHSVALTGASSTGNMTLKAADAVEGNPLIGDAFWVRRQERPQAVEAGPHKLVGVPVMVTPPPSSLAGSAQAQQLPPITSCYEAPNNPNPSQSSASMMNEWAQANMLVSHCIVCVRAEKRDMYLLKCKLSCCSACFVKGCARCHHRRKTFTFRAECKMCNPAQSDPVWVPAVEGLTTFPKRASIGFQGARLDNDPSDPALALCPRTSIEAEYSELHEGNTDVNSINGLSPSCVNTASNEGLKAKGLIDNKNKTNNGSNAPFDNQLHDSLPKPIQLKLNSPQKPRAGGFPVSISPLSAVVKPSSQGLVSPVQSCFNHLYNKKMLDGTSNLLLGSGETAENGRQEYINSYCESKSEEIEKEETVSDEKSPLTKPVFSVGPDQMGYSDCGNSLYNAAPTDIVTSFDCEESESICTGKLDLGADALGVCQGGSDGNTDEPQSIQLFKEKEENVQSDNMENLKTLKWNTMDQSESFHETMNLFETTGLTEEHEYGENEDARVENPVLCDSCRECDNNRGSGNPMDPKLLLPLGSRLSEETTSEEAPEKKMLIGESMHSFSNPSGLDTRPSSIKGTLDEDPIYNEQKKVAPCGDTGQSSIVSDVTLSTIASMSPDRGISGDDKLQSPSCVSALSDSSTPCLHNVSRGETKPRNLPDMQKESNMDKETSENISFSFQDTQRNENDLSCSFADMLSQSNYNNCDQLDNQLTRKDCNHMVAVVLVNKEDPLCALNGCKKEGVEVASCQDDWSYNRKILQDEAVANEDIWMTAIEPNLNCDQGNACHDEEETTLPASHALSFVKLQPQSENSVASENVSALTPNFSLRHSPPDLIKNWKTDLLTSSVTEDENQEFEDLVKDLNYAEAQFYNEM
+>sp|Q96DA0|ZG16B_HUMAN Zymogen granule protein 16 homolog B OS=Homo sapiens OX=9606 GN=ZG16B PE=1 SV=3
+MGAQGAQESIKAMWRVPGTTRRPVTGESPGMHRPEAMLLLLTLALLGGPTWAGKMYGPGGGKYFSTTEDYDHEITGLRVSVGLLLVKSVQVKLGDSWDVKLGALGGNTQEVTLQPGEYITKVFVAFQAFLRGMVMYTSKDRYFYFGKLDGQISSAYPSQEGQVLVGIYGQYQLLGIKSIGFEWNYPLEEPTTEPPVNLTYSANSPVGR
+>DECOY_sp|Q96DA0|ZG16B_HUMAN Zymogen granule protein 16 homolog B OS=Homo sapiens OX=9606 GN=ZG16B PE=1 SV=3
+RGVPSNASYTLNVPPETTPEELPYNWEFGISKIGLLQYQGYIGVLVQGEQSPYASSIQGDLKGFYFYRDKSTYMVMGRLFAQFAVFVKTIYEGPQLTVEQTNGGLAGLKVDWSDGLKVQVSKVLLLGVSVRLGTIEHDYDETTSFYKGGGPGYMKGAWTPGGLLALTLLLLMAEPRHMGPSEGTVPRRTTGPVRWMAKISEQAGQAGM
+>sp|Q86YA3|ZGRF1_HUMAN Protein ZGRF1 OS=Homo sapiens OX=9606 GN=ZGRF1 PE=1 SV=3
+MESQEFIVLYTHQKMKKSKVWQDGILKITHLGNKAILYDDKGACLESLFLKCLEVKPGDDLESDRYLITVEEVKVAGAIGIVKQNVNKEAPELNSRTFISSGRSLGCQPSGLKRKFTGFQGPRQVPKKMVIMESGESAASHEAKKTGPTIFSPFCSMPPLFPTVGKKDVNNILADPENIVTYKNRERNAMDFSSVFSPSFQINPEVLCEENYFCSPVNSGNKLSDSLLTNEPVKRDSLASHYSGVSQNIRSKAQILALLKSESSSSCEELNSEMTEHFPQKQPQGSLKIATKPKYLIQQEECAEMKSTENLYYQHQSENTMRNKSRWAMYLSSQSSPIHSSTVDGNDTERKPKAQEDDVNSNLKDLSLQKIIQFVETYAEERKKYNVDQSVGNNDPSWNQEVKLEIPSFNESSSLQVTCSSAENDGILSESDIQEDNKIPFNQNDKGCIKGSVLIKENAQEVNTCGTLEKEYEQSESSLPELKHLQIESSNNSRISDDITDMISESKMDNESLNSIHESLSNVTQPFLEVTFNLNNFETSDTEEESQESNKISQDSESWVKDILVNDGNSCFQKRSENTNCEEIEGEHLPFLTSVSDKPTVTFPVKETLPSQFCDKTYVGFDMGICKTENTGKEIEEYSDTLSNFESFKWTDAVYGDNKEDANKPIQEVRINYDFALPPNKSKGINMNLHIPHIQNQIAENSNLFSEDAQPQPFILGSDLDKNDEHVLPSTSSSDNSVQLLNTNQNHYECIALDKSNTHISNSLFYPLGKKHLISKDTEAHISEPEDLGKIRSPPPDHVEVETAREGKQYWNPRNSSELSGLVNTISILKSLCEHSTALDSLEILKKKNTVFQQGTQQTYEPDSPPEVRKPFITVVSPKSPHLHKDSQQILKEDEVELSEPLQSVQFSSSGSKEETAFQAVIPKQIERKTCDPKPVEFQGHQVKGSATSGVMVRGHSSQLGCSQFPDSTEYENFMTETPELPSTCMQIDFLQVTSPEENISTLSPVSTFSLNSRDEDFMVEFSETSLKARTLPDDLHFLNLEGMKKSRSLENENLQRLSLLSRTQVPLITLPRTDGPPDLDSHSYMINSNTYESSGSPMLNLCEKSAVLSFSIEPEDQNETFFSEESREVNPGDVSLNNISTQSKWLKYQNTSQCNVATPNRVDKRITDGFFAEAVSGMHFRDTSERQSDAVNESSLDSVHLQMIKGMLYQQRQDFSSQDSVSRKKVLSLNLKQTSKTEEIKNVLGGSTCYNYSVKDLQEISGSELCFPSGQKIKSAYLPQRQIHIPAVFQSPAHYKQTFTSCLIEHLNILLFGLAQNLQKALSKVDISFYTSLKGEKLKNAENNVPSCHHSQPAKLVMVKKEGPNKGRLFYTCDGPKADRCKFFKWLEDVTPGYSTQEGARPGMVLSDIKSIGLYLRSQKIPLYEECQLLVRKGFDFQRKQYGKLKKFTTVNPEFYNEPKTKLYLKLSRKERSSAYSKNDLWVVSKTLDFELDTFIACSAFFGPSSINEIEILPLKGYFPSNWPTNMVVHALLVCNASTELTTLKNIQDYFNPATLPLTQYLLTTSSPTIVSNKRVSKRKFIPPAFTNVSTKFELLSLGATLKLASELIQVHKLNKDQATALIQIAQMMASHESIEEVKELQTHTFPITIIHGVFGAGKSYLLAVVILFFVQLFEKSEAPTIGNARPWKLLISSSTNVAVDRVLLGLLSLGFENFIRVGSVRKIAKPILPYSLHAGSENESEQLKELHALMKEDLTPTERVYVRKSIEQHKLGTNRTLLKQVRVVGVTCAACPFPCMNDLKFPVVVLDECSQITEPASLLPIARFECEKLILVGDPKQLPPTIQGSDAAHENGLEQTLFDRLCLMGHKPILLRTQYRCHPAISAIANDLFYKGALMNGVTEIERSPLLEWLPTLCFYNVKGLEQIERDNSFHNVAEATFTLKLIQSLIASGIAGSMIGVITLYKSQMYKLCHLLSAVDFHHPDIKTVQVSTVDAFQGAEKEIIILSCVRTRQVGFIDSEKRMNVALTRGKRHLLIVGNLACLRKNQLWGRVIQHCEGREDGLQHANQYEPQLNHLLKDYFEKQVEEKQKKKSEKEKSKDKSHS
+>DECOY_sp|Q86YA3|ZGRF1_HUMAN Protein ZGRF1 OS=Homo sapiens OX=9606 GN=ZGRF1 PE=1 SV=3
+SHSKDKSKEKESKKKQKEEVQKEFYDKLLHNLQPEYQNAHQLGDERGECHQIVRGWLQNKRLCALNGVILLHRKGRTLAVNMRKESDIFGVQRTRVCSLIIIEKEAGQFADVTSVQVTKIDPHHFDVASLLHCLKYMQSKYLTIVGIMSGAIGSAILSQILKLTFTAEAVNHFSNDREIQELGKVNYFCLTPLWELLPSREIETVGNMLAGKYFLDNAIASIAPHCRYQTRLLIPKHGMLCLRDFLTQELGNEHAADSGQITPPLQKPDGVLILKECEFRAIPLLSAPETIQSCEDLVVVPFKLDNMCPFPCAACTVGVVRVQKLLTRNTGLKHQEISKRVYVRETPTLDEKMLAHLEKLQESENESGAHLSYPLIPKAIKRVSGVRIFNEFGLSLLGLLVRDVAVNTSSSILLKWPRANGITPAESKEFLQVFFLIVVALLYSKGAGFVGHIITIPFTHTQLEKVEEISEHSAMMQAIQILATAQDKNLKHVQILESALKLTAGLSLLEFKTSVNTFAPPIFKRKSVRKNSVITPSSTTLLYQTLPLTAPNFYDQINKLTTLETSANCVLLAHVVMNTPWNSPFYGKLPLIEIENISSPGFFASCAIFTDLEFDLTKSVVWLDNKSYASSREKRSLKLYLKTKPENYFEPNVTTFKKLKGYQKRQFDFGKRVLLQCEEYLPIKQSRLYLGISKIDSLVMGPRAGEQTSYGPTVDELWKFFKCRDAKPGDCTYFLRGKNPGEKKVMVLKAPQSHHCSPVNNEANKLKEGKLSTYFSIDVKSLAKQLNQALGFLLINLHEILCSTFTQKYHAPSQFVAPIHIQRQPLYASKIKQGSPFCLESGSIEQLDKVSYNYCTSGGLVNKIEETKSTQKLNLSLVKKRSVSDQSSFDQRQQYLMGKIMQLHVSDLSSENVADSQRESTDRFHMGSVAEAFFGDTIRKDVRNPTAVNCQSTNQYKLWKSQTSINNLSVDGPNVERSEESFFTENQDEPEISFSLVASKECLNLMPSGSSEYTNSNIMYSHSDLDPPGDTRPLTILPVQTRSLLSLRQLNENELSRSKKMGELNLFHLDDPLTRAKLSTESFEVMFDEDRSNLSFTSVPSLTSINEEPSTVQLFDIQMCTSPLEPTETMFNEYETSDPFQSCGLQSSHGRVMVGSTASGKVQHGQFEVPKPDCTKREIQKPIVAQFATEEKSGSSSFQVSQLPESLEVEDEKLIQQSDKHLHPSKPSVVTIFPKRVEPPSDPEYTQQTGQQFVTNKKKLIELSDLATSHECLSKLISITNVLGSLESSNRPNWYQKGERATEVEVHDPPPSRIKGLDEPESIHAETDKSILHKKGLPYFLSNSIHTNSKDLAICEYHNQNTNLLQVSNDSSSTSPLVHEDNKDLDSGLIFPQPQADESFLNSNEAIQNQIHPIHLNMNIGKSKNPPLAFDYNIRVEQIPKNADEKNDGYVADTWKFSEFNSLTDSYEEIEKGTNETKCIGMDFGVYTKDCFQSPLTEKVPFTVTPKDSVSTLFPLHEGEIEECNTNESRKQFCSNGDNVLIDKVWSESDQSIKNSEQSEEETDSTEFNNLNFTVELFPQTVNSLSEHISNLSENDMKSESIMDTIDDSIRSNNSSEIQLHKLEPLSSESQEYEKELTGCTNVEQANEKILVSGKICGKDNQNFPIKNDEQIDSESLIGDNEASSCTVQLSSSENFSPIELKVEQNWSPDNNGVSQDVNYKKREEAYTEVFQIIKQLSLDKLNSNVDDEQAKPKRETDNGDVTSSHIPSSQSSLYMAWRSKNRMTNESQHQYYLNETSKMEACEEQQILYKPKTAIKLSGQPQKQPFHETMESNLEECSSSSESKLLALIQAKSRINQSVGSYHSALSDRKVPENTLLSDSLKNGSNVPSCFYNEECLVEPNIQFSPSFVSSFDMANRERNKYTVINEPDALINNVDKKGVTPFLPPMSCFPSFITPGTKKAEHSAASEGSEMIVMKKPVQRPGQFGTFKRKLGSPQCGLSRGSSIFTRSNLEPAEKNVNQKVIGIAGAVKVEEVTILYRDSELDDGPKVELCKLFLSELCAGKDDYLIAKNGLHTIKLIGDQWVKSKKMKQHTYLVIFEQSEM
+>sp|Q9UJN7|ZN391_HUMAN Zinc finger protein 391 OS=Homo sapiens OX=9606 GN=ZNF391 PE=2 SV=2
+MESLRGNTAQGPTNEEDYKNEGQLSRQTKCPAQKKSSFENTVVRKVSVTLKEIFTGEEGPESSEFSLSPNLDAQQKIPKGHGSPISRKNSKDNSDLIKHQRLFSQRKPCKCNECEKAFSYQSDLLVHSRIHGGEKPFECNKCGKSFSRSTHLIEHQRTHTGEKPYECNECGKAFSRSTHLSLHQRIHTGEKPYECSECGKAFSRSTNLSQHQRTHTQERPYKCNECGKAFGDRSTIIQHQRIHTGENPYECSKCGKAFSWISSLTEHQRTHTGENPYECSECGKVFSRSSSLTEHQRIHSGEKPHECRVCGKGFSRSSSLIIHQRTHTGEKPYKCNDCGKAFCQSSTLIRHQHLHTKE
+>DECOY_sp|Q9UJN7|ZN391_HUMAN Zinc finger protein 391 OS=Homo sapiens OX=9606 GN=ZNF391 PE=2 SV=2
+EKTHLHQHRILTSSQCFAKGCDNCKYPKEGTHTRQHIILSSSRSFGKGCVRCEHPKEGSHIRQHETLSSSRSFVKGCESCEYPNEGTHTRQHETLSSIWSFAKGCKSCEYPNEGTHIRQHQIITSRDGFAKGCENCKYPREQTHTRQHQSLNTSRSFAKGCESCEYPKEGTHIRQHLSLHTSRSFAKGCENCEYPKEGTHTRQHEILHTSRSFSKGCKNCEFPKEGGHIRSHVLLDSQYSFAKECENCKCPKRQSFLRQHKILDSNDKSNKRSIPSGHGKPIKQQADLNPSLSFESSEPGEEGTFIEKLTVSVKRVVTNEFSSKKQAPCKTQRSLQGENKYDEENTPGQATNGRLSEM
+>sp|Q8TAQ5|ZN420_HUMAN Zinc finger protein 420 OS=Homo sapiens OX=9606 GN=ZNF420 PE=1 SV=1
+MARKLVMFRDVAIDFSQEEWECLDSAQRDLYRDVMLENYSNLVSLDLPSRCASKDLSPEKNTYETELSQWEMSDRLENCDLEESNSRDYLEAKGKMEKQQENQKEYFRQGMIIYDKMSIFNQHTYLSQHSRCHSTEKPYKCKECGKAFRRASHLTQHQSIHTGEKPYECKQCGKAFSRDSQLSLHQRLHTGEKPYACKECGKAFTQSSQLILHHRIHTGEKPYKCEECGKAFIRSSQLTRHQKVHTGEKPYECKECGKAFTQNSQLTLHQRLHTGEKLYECKECRKVFTQLSQLILHKRIHTGEKPYECKECGKAFICGSQLSQHQKIHNGEKPYECKECGRAFIRGSLLMQHQRIHTGEKPYKCEECGKAFIRGSQLTQHQRIHTNEKPYECKECGKMFSHGSQLTQHQRIHTGEKPYQCKECGKAFNRGSLLTRHQRIHTGEKPYECKECGKTFSRGSELTQHERIHTGEKPYECKECGKSFIRGSQLTQHQRIHTGEKPYECKECRMAFTQSSHLSQHQRLHTGEKPYVCNECGKAFARGLLLIQHQRIHTGEKPYQCKECGKAFIRGSQLTQHQRIHTGEKPYECKECGKAFSHGSQLTLHQRIHTGEKPYECRECRKAFTQSSHLSRHQRIHTGEKPYQCKECGKAFTRGSQLTQHQRIHISEKSFEYKECGIDFSHGSQVYM
+>DECOY_sp|Q8TAQ5|ZN420_HUMAN Zinc finger protein 420 OS=Homo sapiens OX=9606 GN=ZNF420 PE=1 SV=1
+MYVQSGHSFDIGCEKYEFSKESIHIRQHQTLQSGRTFAKGCEKCQYPKEGTHIRQHRSLHSSQTFAKRCERCEYPKEGTHIRQHLTLQSGHSFAKGCEKCEYPKEGTHIRQHQTLQSGRIFAKGCEKCQYPKEGTHIRQHQILLLGRAFAKGCENCVYPKEGTHLRQHQSLHSSQTFAMRCEKCEYPKEGTHIRQHQTLQSGRIFSKGCEKCEYPKEGTHIREHQTLESGRSFTKGCEKCEYPKEGTHIRQHRTLLSGRNFAKGCEKCQYPKEGTHIRQHQTLQSGHSFMKGCEKCEYPKENTHIRQHQTLQSGRIFAKGCEECKYPKEGTHIRQHQMLLSGRIFARGCEKCEYPKEGNHIKQHQSLQSGCIFAKGCEKCEYPKEGTHIRKHLILQSLQTFVKRCEKCEYLKEGTHLRQHLTLQSNQTFAKGCEKCEYPKEGTHVKQHRTLQSSRIFAKGCEECKYPKEGTHIRHHLILQSSQTFAKGCEKCAYPKEGTHLRQHLSLQSDRSFAKGCQKCEYPKEGTHISQHQTLHSARRFAKGCEKCKYPKETSHCRSHQSLYTHQNFISMKDYIIMGQRFYEKQNEQQKEMKGKAELYDRSNSEELDCNELRDSMEWQSLETEYTNKEPSLDKSACRSPLDLSVLNSYNELMVDRYLDRQASDLCEWEEQSFDIAVDRFMVLKRAM
+>sp|Q9BUY5|ZN426_HUMAN Zinc finger protein 426 OS=Homo sapiens OX=9606 GN=ZNF426 PE=1 SV=1
+MAAADLSHGHYLSGDPVCLHEEKTPAGRIVADCLTDCYQDSVTFDDVAVDFTQEEWTLLDSTQRSLYSDVMLENYKNLATVGGQIIKPSLISWLEQEESRTVQGGVLQGWEMRLETQWSILQQDFLRGQTSIGIQLEGKHNGRELCDCEQCGEVFSEHSCLKTHVRTQSTGNTHDCNQYGKDFLTLCEKTSTGEKLSEFNQSEKIFSLTPNIVYQRTSTQEKSFECSHCGKSFINESYLQAHMRTHNGEKLYEWRNYGPGFIDSTSLSVLIETLNAKKPYKCKECGKGYRYPAYLSIHMRTHTGEKPYECKECGKAFNYSNSFQIHGRTHTGEKPYVCKECGKAFTQYSGLSMHVRSHSGDKPYECKECGKSFLTSSRLIQHIRTHTGEKPFVCVECGKAFAVSSNLSGHLRTHTEEKACECKICGKVFGYPSCLNNHMRTHSAQKPYTCKECGKAFNYSTHLKIHMRIHTGEKPYECKQCGKAFSHSSSFQIHERTHTGEKPYECKECGKAFTCSSSFRIHEKTHTEEKPYKCQQCGKAYSHPRSLRRHEQIH
+>DECOY_sp|Q9BUY5|ZN426_HUMAN Zinc finger protein 426 OS=Homo sapiens OX=9606 GN=ZNF426 PE=1 SV=1
+HIQEHRRLSRPHSYAKGCQQCKYPKEETHTKEHIRFSSSCTFAKGCEKCEYPKEGTHTREHIQFSSSHSFAKGCQKCEYPKEGTHIRMHIKLHTSYNFAKGCEKCTYPKQASHTRMHNNLCSPYGFVKGCIKCECAKEETHTRLHGSLNSSVAFAKGCEVCVFPKEGTHTRIHQILRSSTLFSKGCEKCEYPKDGSHSRVHMSLGSYQTFAKGCEKCVYPKEGTHTRGHIQFSNSYNFAKGCEKCEYPKEGTHTRMHISLYAPYRYGKGCEKCKYPKKANLTEILVSLSTSDIFGPGYNRWEYLKEGNHTRMHAQLYSENIFSKGCHSCEFSKEQTSTRQYVINPTLSFIKESQNFESLKEGTSTKECLTLFDKGYQNCDHTNGTSQTRVHTKLCSHESFVEGCQECDCLERGNHKGELQIGISTQGRLFDQQLISWQTELRMEWGQLVGGQVTRSEEQELWSILSPKIIQGGVTALNKYNELMVDSYLSRQTSDLLTWEEQTFDVAVDDFTVSDQYCDTLCDAVIRGAPTKEEHLCVPDGSLYHGHSLDAAAM
+>sp|Q86V71|ZN429_HUMAN Zinc finger protein 429 OS=Homo sapiens OX=9606 GN=ZNF429 PE=2 SV=2
+MGPLTFTDVAIEFSLEEWQCLDTAQQNLYRNVMLENYRNLVFLGIAVSKPDLITCLEKEKEPCKMKRHEMVDEPPVVCSHFAEDFWPEQDIKDSFQKVTLRRYDKRGHENLQLRKGYKTVGDCKLYKGGYNGLNQCLTLTQSKMYHCDIYVKVFYAFSNADRYKTRHTGKKPFQCKKCGKSFCMLSQLTQHKKIHIRENTYRCKEFGNAFNQSSALTNHKRIYVGEKHYRCEECGKAFNHYSTLTNHKRIHTGEKPYKCKECGKAFSRYSTLTTHKRIHSGEKPYKCDECGKTFSISSTFTKHKIIHTEEKPYKCKECGKAFNRSSTLTSHKRIHTGEKPYKCEECGKAFNWSSTLTKHKVIHTGEKPYKCEECGKAFNQSSRLTRHKKIHTGEEPYKFEKCGRVFTCSSTLTQDKKIHTGEKPYNCEECGKVFTYSSTLTRHKRIHTEEKPYKCNECGKAFNRSSHLTSHRRIHTGEKPYKCEECGKAFKQSSNLNSHKKIHSGEKPYKCEECGKAFILSSRLTQHKKIHTGEKPYKCEECGKAFNRSSRLTQHKKIHTGEKPYKCKQCDKAFTHSSNLSSHKKIHSGEKPYKCEECGKAFNRSSRLTQHKKIHTREKPYKCEECAKAFTRSSRLTQHKKIHRMGVVAHACNPSTLGGRGGRITRSGDRDRPG
+>DECOY_sp|Q86V71|ZN429_HUMAN Zinc finger protein 429 OS=Homo sapiens OX=9606 GN=ZNF429 PE=2 SV=2
+GPRDRDGSRTIRGGRGGLTSPNCAHAVVGMRHIKKHQTLRSSRTFAKACEECKYPKERTHIKKHQTLRSSRNFAKGCEECKYPKEGSHIKKHSSLNSSHTFAKDCQKCKYPKEGTHIKKHQTLRSSRNFAKGCEECKYPKEGTHIKKHQTLRSSLIFAKGCEECKYPKEGSHIKKHSNLNSSQKFAKGCEECKYPKEGTHIRRHSTLHSSRNFAKGCENCKYPKEETHIRKHRTLTSSYTFVKGCEECNYPKEGTHIKKDQTLTSSCTFVRGCKEFKYPEEGTHIKKHRTLRSSQNFAKGCEECKYPKEGTHIVKHKTLTSSWNFAKGCEECKYPKEGTHIRKHSTLTSSRNFAKGCEKCKYPKEETHIIKHKTFTSSISFTKGCEDCKYPKEGSHIRKHTTLTSYRSFAKGCEKCKYPKEGTHIRKHNTLTSYHNFAKGCEECRYHKEGVYIRKHNTLASSQNFANGFEKCRYTNERIHIKKHQTLQSLMCFSKGCKKCQFPKKGTHRTKYRDANSFAYFVKVYIDCHYMKSQTLTLCQNLGNYGGKYLKCDGVTKYGKRLQLNEHGRKDYRRLTVKQFSDKIDQEPWFDEAFHSCVVPPEDVMEHRKMKCPEKEKELCTILDPKSVAIGLFVLNRYNELMVNRYLNQQATDLCQWEELSFEIAVDTFTLPGM
+>sp|Q8TF32|ZN431_HUMAN Zinc finger protein 431 OS=Homo sapiens OX=9606 GN=ZNF431 PE=2 SV=2
+MDDLKYGVYPLKEASGCPGAERNLLVYSYFEKETLTFRDVAIEFSLEEWECLNPAQQNLYMNVMLENYKNLVFLGVAVSKQDPVTCLEQEKEPWNMKRHEMVDEPPAMCSYFTKDLWPEQDIKDSFQQVILRRYGKCEHENLQLRKGSASVDEYKVHKEGYNELNQCLTTTQSKIFPCDKYVKVFHKFLNANRHKTRHTGKKPFKCKKCGKSFCMLLHLSQHKRIHIRENSYQCEECGKAFKWFSTLTRHKRIHTGEKPFKCEECGKAFKQSSTLTTHKIIHTGEKPYRCEECGKAFNRSSHLTTHKIIHTGEKPYKCEECGKAFNQSSTLSTHKFIHAGEKPYKCEECDKAFNRFSYLTKHKIIHTGEKSYKCEECGKGFNWSSTLTKHKRIHTGEKPYKCEVCGKAFNESSNLTTHKMIHTGEKPYKCEECGKAFNRSPQLTAHKIIHTGEKPYKCEECGKAFSQSSILTTHKRIHTGEKPYKCEECGKAFNRSSNLTKHKIIHTGEKSYKCEECGKAFNQSSTLTKHRKIHTRQKPYNCEECDNTFNQSSNLIKQNNSYWRETLQMSRMWESL
+>DECOY_sp|Q8TF32|ZN431_HUMAN Zinc finger protein 431 OS=Homo sapiens OX=9606 GN=ZNF431 PE=2 SV=2
+LSEWMRSMQLTERWYSNNQKILNSSQNFTNDCEECNYPKQRTHIKRHKTLTSSQNFAKGCEECKYSKEGTHIIKHKTLNSSRNFAKGCEECKYPKEGTHIRKHTTLISSQSFAKGCEECKYPKEGTHIIKHATLQPSRNFAKGCEECKYPKEGTHIMKHTTLNSSENFAKGCVECKYPKEGTHIRKHKTLTSSWNFGKGCEECKYSKEGTHIIKHKTLYSFRNFAKDCEECKYPKEGAHIFKHTSLTSSQNFAKGCEECKYPKEGTHIIKHTTLHSSRNFAKGCEECRYPKEGTHIIKHTTLTSSQKFAKGCEECKFPKEGTHIRKHRTLTSFWKFAKGCEECQYSNERIHIRKHQSLHLLMCFSKGCKKCKFPKKGTHRTKHRNANLFKHFVKVYKDCPFIKSQTTTLCQNLENYGEKHVKYEDVSASGKRLQLNEHECKGYRRLIVQQFSDKIDQEPWLDKTFYSCMAPPEDVMEHRKMNWPEKEQELCTVPDQKSVAVGLFVLNKYNELMVNMYLNQQAPNLCEWEELSFEIAVDRFTLTEKEFYSYVLLNREAGPCGSAEKLPYVGYKLDDM
+>sp|Q9C0F3|ZN436_HUMAN Zinc finger protein 436 OS=Homo sapiens OX=9606 GN=ZNF436 PE=1 SV=2
+MAATLLMAGSQAPVTFEDMAMYLTREEWRPLDAAQRDLYRDVMQENYGNVVSLDFEIRSENEVNPKQEISEDVQFGTTSERPAENAEENPESEEGFESGDRSERQWGDLTAEEWVSYPLQPVTDLLVHKEVHTGIRYHICSHCGKAFSQISDLNRHQKTHTGDRPYKCYECGKGFSRSSHLIQHQRTHTGERPYDCNECGKSFGRSSHLIQHQTIHTGEKPHKCNECGKSFCRLSHLIQHQRTHSGEKPYECEECGKSFSRSSHLAQHQRTHTGEKPYECNECGRGFSERSDLIKHYRVHTGERPYKCDECGKNFSQNSDLVRHRRAHTGEKPYHCNECGENFSRISHLVQHQRTHTGEKPYECNACGKSFSRSSHLITHQKIHTGEKPYECNECWRSFGERSDLIKHQRTHTGEKPYECVQCGKGFTQSSNLITHQRVHTGEKPYECTECEKSFSRSSALIKHKRVHTD
+>DECOY_sp|Q9C0F3|ZN436_HUMAN Zinc finger protein 436 OS=Homo sapiens OX=9606 GN=ZNF436 PE=1 SV=2
+DTHVRKHKILASSRSFSKECETCEYPKEGTHVRQHTILNSSQTFGKGCQVCEYPKEGTHTRQHKILDSREGFSRWCENCEYPKEGTHIKQHTILHSSRSFSKGCANCEYPKEGTHTRQHQVLHSIRSFNEGCENCHYPKEGTHARRHRVLDSNQSFNKGCEDCKYPREGTHVRYHKILDSRESFGRGCENCEYPKEGTHTRQHQALHSSRSFSKGCEECEYPKEGSHTRQHQILHSLRCFSKGCENCKHPKEGTHITQHQILHSSRGFSKGCENCDYPREGTHTRQHQILHSSRSFGKGCEYCKYPRDGTHTKQHRNLDSIQSFAKGCHSCIHYRIGTHVEKHVLLDTVPQLPYSVWEEATLDGWQRESRDGSEFGEESEPNEEANEAPRESTTGFQVDESIEQKPNVENESRIEFDLSVVNGYNEQMVDRYLDRQAADLPRWEERTLYMAMDEFTVPAQSGAMLLTAAM
+>sp|Q9NWS9|ZN446_HUMAN Zinc finger protein 446 OS=Homo sapiens OX=9606 GN=ZNF446 PE=1 SV=1
+MPSPLGPPCLPVMDPETTLEEPETARLRFRGFCYQEVAGPREALARLRELCCQWLQPEAHSKEQMLEMLVLEQFLGTLPPEIQAWVRGQRPGSPEEAAALVEGLQHDPGQLLGWITAHVLKQEVLPAAQKTEEPLGSPHPSGTVESPGEGPQDTRIEGSVQLSCSVKEEPNVDGQEVAPSSPPLAAQSPEGNHGHQEPASTSFHPPRIQEEWGLLDRSQKELYWDAMLEKYGTVVSLGLPPHQPEAQAQSELGMLLTGTGVCRSLRSGNESEGPPGCPEAQPPQGPGPAAWEGLSGAATPAPTVRPGTPPVPTQPTPAETRLEPAATPRKPYTCEQCGRGFDWKSVFVIHHRTHTSGPGVQSPGLATGESTEKPPQGEVAFPHHPRRSLTGPRSYPCEECGCSFSWKSQLVIHRKSHTGQRRHFCSDCGRAFDWKSQLVIHRKGHRPEVP
+>DECOY_sp|Q9NWS9|ZN446_HUMAN Zinc finger protein 446 OS=Homo sapiens OX=9606 GN=ZNF446 PE=1 SV=1
+PVEPRHGKRHIVLQSKWDFARGCDSCFHRRQGTHSKRHIVLQSKWSFSCGCEECPYSRPGTLSRRPHHPFAVEGQPPKETSEGTALGPSQVGPGSTHTRHHIVFVSKWDFGRGCQECTYPKRPTAAPELRTEAPTPQTPVPPTGPRVTPAPTAAGSLGEWAAPGPGQPPQAEPCGPPGESENGSRLSRCVGTGTLLMGLESQAQAEPQHPPLGLSVVTGYKELMADWYLEKQSRDLLGWEEQIRPPHFSTSAPEQHGHNGEPSQAALPPSSPAVEQGDVNPEEKVSCSLQVSGEIRTDQPGEGPSEVTGSPHPSGLPEETKQAAPLVEQKLVHATIWGLLQGPDHQLGEVLAAAEEPSGPRQGRVWAQIEPPLTGLFQELVLMELMQEKSHAEPQLWQCCLERLRALAERPGAVEQYCFGRFRLRATEPEELTTEPDMVPLCPPGLPSPM
+>sp|Q5VIY5|ZN468_HUMAN Zinc finger protein 468 OS=Homo sapiens OX=9606 GN=ZNF468 PE=2 SV=1
+MALPQGLLTFRDVAIEFSQEEWKCLDPAQRTLYRDVMLENYRNLVSLDISSKCMLKTLSSTGQGNTEVIHTGTLHRQASHHIGEFCFHEIEKDIHGFEFQWKEDETNGHAAPMTEIKELAGSTGQHDQRHAGNKRIKDQLGSSFHLHLPEPHIFQSEGKIGNQVEKSINNASSVSTSQRICCRPKTHISNKYGNNSLHSSLLTQKWEVHMREKSFECIQSFKSFNCSSLLKKHQIIHLEEKQCKCDVCGKVFNQKRYLACHRRCHTGEKPYKCNECGKTFGHNSSLFIHKALHTGEKPYECEECDKVFSRKSHLERHKRIHTGEKPYKCKVCDEAFAYNSYLAKHTILHTGEKPYTCNECGKVFNRLSTLARHHRLHTGEKPYKCEECDKVFSRKSHLERHRRIHSGEKPYKCEECCKVFSRKSNLERHRRIHTGEKPYKCKVCDKAFQRDSHLAQHQRVHTGEKPYKCNECGKTFGQTSSLIIHRRLHTGEKPYKCNECGKTFSQMSSLVYHHRLHSGEKP
+>DECOY_sp|Q5VIY5|ZN468_HUMAN Zinc finger protein 468 OS=Homo sapiens OX=9606 GN=ZNF468 PE=2 SV=1
+PKEGSHLRHHYVLSSMQSFTKGCENCKYPKEGTHLRRHIILSSTQGFTKGCENCKYPKEGTHVRQHQALHSDRQFAKDCVKCKYPKEGTHIRRHRELNSKRSFVKCCEECKYPKEGSHIRRHRELHSKRSFVKDCEECKYPKEGTHLRHHRALTSLRNFVKGCENCTYPKEGTHLITHKALYSNYAFAEDCVKCKYPKEGTHIRKHRELHSKRSFVKDCEECEYPKEGTHLAKHIFLSSNHGFTKGCENCKYPKEGTHCRRHCALYRKQNFVKGCVDCKCQKEELHIIQHKKLLSSCNFSKFSQICEFSKERMHVEWKQTLLSSHLSNNGYKNSIHTKPRCCIRQSTSVSSANNISKEVQNGIKGESQFIHPEPLHLHFSSGLQDKIRKNGAHRQDHQGTSGALEKIETMPAAHGNTEDEKWQFEFGHIDKEIEHFCFEGIHHSAQRHLTGTHIVETNGQGTSSLTKLMCKSSIDLSVLNRYNELMVDRYLTRQAPDLCKWEEQSFEIAVDRFTLLGQPLAM
+>sp|Q9BX82|ZN471_HUMAN Zinc finger protein 471 OS=Homo sapiens OX=9606 GN=ZNF471 PE=2 SV=1
+MNVEVVKVMPQDLVTFKDVAIDFSQEEWQWMNPAQKRLYRSMMLENYQSLVSLGLCISKPYVISLLEQGREPWEMTSEMTRSPFSDWESIYVTQELPLKQFMYDDACMEGITSYGLECSTFEENWKWEDLFEKQMGSHEMFSKKEIITHKETITKETEFKYTKFGKCIHLENIEESIYNHTSDKKSFSKNSMVIKHKKVYVGKKLFKCNECDKTFTHSSSLTVHFRIHTGEKPYACEECGKAFKQRQHLAQHHRTHTGEKLFECKECRKAFKQSEHLIQHQRIHTGEKPYKCKECRKAFRQPAHLAQHQRIHTGEKPYECKECGKAFSDGSSFARHQRCHTGKRPYECIECGKAFRYNTSFIRHWRSYHTGEKPFNCIDCGKAFSVHIGLILHRRIHTGEKPYKCGVCGKTFSSGSSRTVHQRIHTGEKPYECDICGKDFSHHASLTQHQRVHSGEKPYECKECGKAFRQNVHLVSHLRIHTGEKPYECKECGKAFRISSQLATHQRIHTGEKPYECIECGNAFKQRSHLAQHQKTHTGEKPYECNECGKAFSQTSNLTQHQRIHTGEKPYKCTECGKAFSDSSSCAQHQRLHTGQRPYQCFECGKAFRRKLSLICHQRSHTGEEP
+>DECOY_sp|Q9BX82|ZN471_HUMAN Zinc finger protein 471 OS=Homo sapiens OX=9606 GN=ZNF471 PE=2 SV=1
+PEEGTHSRQHCILSLKRRFAKGCEFCQYPRQGTHLRQHQACSSSDSFAKGCETCKYPKEGTHIRQHQTLNSTQSFAKGCENCEYPKEGTHTKQHQALHSRQKFANGCEICEYPKEGTHIRQHTALQSSIRFAKGCEKCEYPKEGTHIRLHSVLHVNQRFAKGCEKCEYPKEGSHVRQHQTLSAHHSFDKGCIDCEYPKEGTHIRQHVTRSSGSSFTKGCVGCKYPKEGTHIRRHLILGIHVSFAKGCDICNFPKEGTHYSRWHRIFSTNYRFAKGCEICEYPRKGTHCRQHRAFSSGDSFAKGCEKCEYPKEGTHIRQHQALHAPQRFAKRCEKCKYPKEGTHIRQHQILHESQKFAKRCEKCEFLKEGTHTRHHQALHQRQKFAKGCEECAYPKEGTHIRFHVTLSSSHTFTKDCENCKFLKKGVYVKKHKIVMSNKSFSKKDSTHNYISEEINELHICKGFKTYKFETEKTITEKHTIIEKKSFMEHSGMQKEFLDEWKWNEEFTSCELGYSTIGEMCADDYMFQKLPLEQTVYISEWDSFPSRTMESTMEWPERGQELLSIVYPKSICLGLSVLSQYNELMMSRYLRKQAPNMWQWEEQSFDIAVDKFTVLDQPMVKVVEVNM
+>sp|Q8WTR7|ZN473_HUMAN Zinc finger protein 473 OS=Homo sapiens OX=9606 GN=ZNF473 PE=1 SV=1
+MAEEFVTLKDVGMDFTLGDWEQLGLEQGDTFWDTALDNCQDLFLLDPPRPNLTSHPDGSEDLEPLAGGSPEATSPDVTETKNSPLMEDFFEEGFSQEIIEMLSKDGFWNSNFGEACIEDTWLDSLLGDPESLLRSDIATNGESPTECKSHELKRGLSPVSTVSTGEDSMVHNVSEKTLTPAKSKEYRGEFFSYSDHSQQDSVQEGEKPYQCSECGKSFSGSYRLTQHWITHTREKPTVHQECEQGFDRNASLSVYPKTHTGYKFYVCNEYGTTFSQSTYLWHQKTHTGEKPCKSQDSDHPPSHDTQPGEHQKTHTDSKSYNCNECGKAFTRIFHLTRHQKIHTRKRYECSKCQATFNLRKHLIQHQKTHAAKTTSECQECGKIFRHSSLLIEHQALHAGEEPYKCNERGKSFRHNSTLKIHQRVHSGEKPYKCSECGKAFHRHTHLNEHRRIHTGYRPHKCQECVRSFSRPSHLMRHQAIHTAEKPYSCAECKETFSDNNRLVQHQKMHTVKTPYECQECGERFICGSTLKCHESVHAREKQGFFVSGKILDQNPEQKEKCFKCNKCEKTFSCSKYLTQHERIHTRGVKPFECDQCGKAFGQSTRLIHHQRIHSRVRLYKWGEQGKAISSASLIKLQSFHTKEHPFKCNECGKTFSHSAHLSKHQLIHAGENPFKCSKCDRVFTQRNYLVQHERTHARKKPLVCNECGKTFRQSSCLSKHQRIHSGEKPYVCDYCGKAFGLSAELVRHQRIHTGEKPYVCQECGKAFTQSSCLSIHRRVHTGEKPYRCGECGKAFAQKANLTQHQRIHTGEKPYSCNVCGKAFVLSAHLNQHLRVHTQETLYQCQRCQKAFRCHSSLSRHQRVHNKQQYCL
+>DECOY_sp|Q8WTR7|ZN473_HUMAN Zinc finger protein 473 OS=Homo sapiens OX=9606 GN=ZNF473 PE=1 SV=1
+LCYQQKNHVRQHRSLSSHCRFAKQCRQCQYLTEQTHVRLHQNLHASLVFAKGCVNCSYPKEGTHIRQHQTLNAKQAFAKGCEGCRYPKEGTHVRRHISLCSSQTFAKGCEQCVYPKEGTHIRQHRVLEASLGFAKGCYDCVYPKEGSHIRQHKSLCSSQRFTKGCENCVLPKKRAHTREHQVLYNRQTFVRDCKSCKFPNEGAHILQHKSLHASHSFTKGCENCKFPHEKTHFSQLKILSASSIAKGQEGWKYLRVRSHIRQHHILRTSQGFAKGCQDCEFPKVGRTHIREHQTLYKSCSFTKECKNCKFCKEKQEPNQDLIKGSVFFGQKERAHVSEHCKLTSGCIFREGCEQCEYPTKVTHMKQHQVLRNNDSFTEKCEACSYPKEATHIAQHRMLHSPRSFSRVCEQCKHPRYGTHIRRHENLHTHRHFAKGCESCKYPKEGSHVRQHIKLTSNHRFSKGRENCKYPEEGAHLAQHEILLSSHRFIKGCEQCESTTKAAHTKQHQILHKRLNFTAQCKSCEYRKRTHIKQHRTLHFIRTFAKGCENCNYSKSDTHTKQHEGPQTDHSPPHDSDQSKCPKEGTHTKQHWLYTSQSFTTGYENCVYFKYGTHTKPYVSLSANRDFGQECEQHVTPKERTHTIWHQTLRYSGSFSKGCESCQYPKEGEQVSDQQSHDSYSFFEGRYEKSKAPTLTKESVNHVMSDEGTSVTSVPSLGRKLEHSKCETPSEGNTAIDSRLLSEPDGLLSDLWTDEICAEGFNSNWFGDKSLMEIIEQSFGEEFFDEMLPSNKTETVDPSTAEPSGGALPELDESGDPHSTLNPRPPDLLFLDQCNDLATDWFTDGQELGLQEWDGLTFDMGVDKLTVFEEAM
+>sp|Q8TF39|ZN483_HUMAN Zinc finger protein 483 OS=Homo sapiens OX=9606 GN=ZNF483 PE=1 SV=3
+MQAVVPLNKMTAISPEPQTLASTEQNEVPRVVTSGEQEAILRGNAADAESFRQRFRWFCYSEVAGPRKALSQLWELCNQWLRPDIHTKEQILELLVFEQFLTILPGEIRIWVKSQHPESSEEVVTLIEDLTQMLEEKDPVSQDSTVSQEENSKEDKMVTVCPNTESCESITLKDVAVNFSRGEWKKLEPFQKELYKEVLLENLRNLEFLDFPVSKLELISQLKWVELPWLLEEVSKSSRLDESALDKIIERCLRDDDHGLMEESQQYCGSSEEDHGNQGNSKGRVAQNKTLGSGSRGKKFDPDKSPFGHNFKETSDLIKHLRVYLRKKSRRYNESKKPFSFHSDLVLNRKEKTAGEKSRKSNDGGKVLSHSSALTEHQKRQKIHLGDRSQKCSKCGIIFIRRSTLSRRKTPMCEKCRKDSCQEAALNKDEGNESGEKTHKCSKCGKAFGYSASLTKHRRIHTGEKPYMCNECGKAFSDSSSLTPHHRTHSGEKPFKCDDCGKGFTLSAHLIKHQRIHTGEKPYKCKDCGRPFSDSSSLIQHQRIHTGEKPYTCSNCGKSFSHSSSLSKHQRIHTGEKPYKCGECGKAFRQNSCLTRHQRIHTGEKPYLCNDCGMTFSHFTSVIYHQRLHSGEKPYKCNQCEKAFPTHSLLSRHQRIHTGVKPYKCKECGKSFSQSSSLNEHHRIHTGEKPYECNYCGATFSRSSILVEHLKIHTGRREYECNECEKTFKSNSGLIRHRGFHSAE
+>DECOY_sp|Q8TF39|ZN483_HUMAN Zinc finger protein 483 OS=Homo sapiens OX=9606 GN=ZNF483 PE=1 SV=3
+EASHFGRHRILGSNSKFTKECENCEYERRGTHIKLHEVLISSRSFTAGCYNCEYPKEGTHIRHHENLSSSQSFSKGCEKCKYPKVGTHIRQHRSLLSHTPFAKECQNCKYPKEGSHLRQHYIVSTFHSFTMGCDNCLYPKEGTHIRQHRTLCSNQRFAKGCEGCKYPKEGTHIRQHKSLSSSHSFSKGCNSCTYPKEGTHIRQHQILSSSDSFPRGCDKCKYPKEGTHIRQHKILHASLTFGKGCDDCKFPKEGSHTRHHPTLSSSDSFAKGCENCMYPKEGTHIRRHKTLSASYGFAKGCKSCKHTKEGSENGEDKNLAAEQCSDKRCKECMPTKRRSLTSRRIFIIGCKSCKQSRDGLHIKQRKQHETLASSHSLVKGGDNSKRSKEGATKEKRNLVLDSHFSFPKKSENYRRSKKRLYVRLHKILDSTEKFNHGFPSKDPDFKKGRSGSGLTKNQAVRGKSNGQNGHDEESSGCYQQSEEMLGHDDDRLCREIIKDLASEDLRSSKSVEELLWPLEVWKLQSILELKSVPFDLFELNRLNELLVEKYLEKQFPELKKWEGRSFNVAVDKLTISECSETNPCVTVMKDEKSNEEQSVTSDQSVPDKEELMQTLDEILTVVEESSEPHQSKVWIRIEGPLITLFQEFVLLELIQEKTHIDPRLWQNCLEWLQSLAKRPGAVESYCFWRFRQRFSEADAANGRLIAEQEGSTVVRPVENQETSALTQPEPSIATMKNLPVVAQM
+>sp|Q96H40|ZN486_HUMAN Zinc finger protein 486 OS=Homo sapiens OX=9606 GN=ZNF486 PE=2 SV=4
+MPGPLRSLEMESLQFRDVAVEFSLEEWHCLDTAQQNLYRDVMLENYRHLVFLGIIVSKPDLITCLEQGIKPLTMKRHEMIAKPPVVCSHFAQDLWPEQSIKDSYQKVILRKFEKCGHGNLHFKKGCESVDECKLHKRGYNGLNQCLTTTQSKIFQCGKYVKVFHQFSNSKRHKRRHTEKKPLKYIEGDKAFNQSSTHTTHKKIDTGEKPYKCEECGKAFNRSSHLTTHKITHTREKPYKCEECGKVFKYFSSFTTHKKIHSGEKPYICEECGKAFMYPYTLTTHKIIHTGEQPYKCKECDKAFNHPATLSSHKKIHTGEKPYTCDKCGKAFISSSILSKHEKIHTGEKPYKCEECGKAFTRSSHLTMHKIIHTGEKPYKCEECGKAFTWSAGLHKHRRTHTGEKPYKCEECGKAYTTSSNLTEHKTTHTGEKPYKCKECGKAFNWSSDLNKHKRIHIGQKPRT
+>DECOY_sp|Q96H40|ZN486_HUMAN Zinc finger protein 486 OS=Homo sapiens OX=9606 GN=ZNF486 PE=2 SV=4
+TRPKQGIHIRKHKNLDSSWNFAKGCEKCKYPKEGTHTTKHETLNSSTTYAKGCEECKYPKEGTHTRRHKHLGASWTFAKGCEECKYPKEGTHIIKHMTLHSSRTFAKGCEECKYPKEGTHIKEHKSLISSSIFAKGCKDCTYPKEGTHIKKHSSLTAPHNFAKDCEKCKYPQEGTHIIKHTTLTYPYMFAKGCEECIYPKEGSHIKKHTTFSSFYKFVKGCEECKYPKERTHTIKHTTLHSSRNFAKGCEECKYPKEGTDIKKHTTHTSSQNFAKDGEIYKLPKKETHRRKHRKSNSFQHFVKVYKGCQFIKSQTTTLCQNLGNYGRKHLKCEDVSECGKKFHLNGHGCKEFKRLIVKQYSDKISQEPWLDQAFHSCVVPPKAIMEHRKMTLPKIGQELCTILDPKSVIIGLFVLHRYNELMVDRYLNQQATDLCHWEELSFEVAVDRFQLSEMELSRLPGPM
+>sp|Q6ZNH5|ZN497_HUMAN Zinc finger protein 497 OS=Homo sapiens OX=9606 GN=ZNF497 PE=1 SV=2
+MESPRGWTLQVAPEEGQVLCNVKTATRGLSEGAVSGGWGAWENSTEVPREAGDGQRQQATLGAADEQGGPGRELGPADGGRDGAGPRSEPADRALRPSPLPEEPGCRCGECGKAFSQGSYLLQHRRVHTGEKPYTCPECGKAFAWSSNLSQHQRIHSGEKPYACRECGKAFRAHSQLIHHQETHSGLKPFRCPDCGKSFGRSTTLVQHRRTHTGEKPYECPECGKAFSWNSNFLEHRRVHTGARPHACRDCGKAFSQSSNLAEHLKIHAGARPHACPDCGKAFVRVAGLRQHRRTHSSEKPFPCAECGKAFRESSQLLQHQRTHTGERPFECAECGQAFVMGSYLAEHRRVHTGEKPHACAQCGKAFSQRSNLLSHRRTHSGAKPFACADCGKAFRGSSGLAHHRLSHTGERPFACAECGKAFRGSSELRQHQRLHSGERPFVCAHCSKAFVRKSELLSHRRTHTGERPYACGECGKPFSHRCNLNEHQKRHGGRAAP
+>DECOY_sp|Q6ZNH5|ZN497_HUMAN Zinc finger protein 497 OS=Homo sapiens OX=9606 GN=ZNF497 PE=1 SV=2
+PAARGGHRKQHENLNCRHSFPKGCEGCAYPREGTHTRRHSLLESKRVFAKSCHACVFPREGSHLRQHQRLESSGRFAKGCEACAFPREGTHSLRHHALGSSGRFAKGCDACAFPKAGSHTRRHSLLNSRQSFAKGCQACAHPKEGTHVRRHEALYSGMVFAQGCEACEFPREGTHTRQHQLLQSSERFAKGCEACPFPKESSHTRRHQRLGAVRVFAKGCDPCAHPRAGAHIKLHEALNSSQSFAKGCDRCAHPRAGTHVRRHELFNSNWSFAKGCEPCEYPKEGTHTRRHQVLTTSRGFSKGCDPCRFPKLGSHTEQHHILQSHARFAKGCERCAYPKEGSHIRQHQSLNSSWAFAKGCEPCTYPKEGTHVRRHQLLYSGQSFAKGCEGCRCGPEEPLPSPRLARDAPESRPGAGDRGGDAPGLERGPGGQEDAAGLTAQQRQGDGAERPVETSNEWAGWGGSVAGESLGRTATKVNCLVQGEEPAVQLTWGRPSEM
+>sp|Q96CX3|ZN501_HUMAN Zinc finger protein 501 OS=Homo sapiens OX=9606 GN=ZNF501 PE=2 SV=2
+MNSSQISLRMKHGRVNMQKKPSKCSECGKFFTQRSSLTQHQRIHRGEKPYVCSECGSCFRKQSNLTQHLRIHTGEKPYKCNECEKAFQTKAILVQHLRIHTGEKPYKCNECGKAFCQSPSLIKHQRIHTGEKPYKCTECGKAFSQSICLTRHQRSHSGDKPFKCNECGKAFNQSACLMQHQRIHSGEKPYTCTECGKAFTQNSSLVEHERTHTGEKLYKCSECEKTFRKQAHLSEHYRIHTGEKPYECVGCGKSFRHSSALLRHQRLHAGE
+>DECOY_sp|Q96CX3|ZN501_HUMAN Zinc finger protein 501 OS=Homo sapiens OX=9606 GN=ZNF501 PE=2 SV=2
+EGAHLRQHRLLASSHRFSKGCGVCEYPKEGTHIRYHESLHAQKRFTKECESCKYLKEGTHTREHEVLSSNQTFAKGCETCTYPKEGSHIRQHQMLCASQNFAKGCENCKFPKDGSHSRQHRTLCISQSFAKGCETCKYPKEGTHIRQHKILSPSQCFAKGCENCKYPKEGTHIRLHQVLIAKTQFAKECENCKYPKEGTHIRLHQTLNSQKRFCSGCESCVYPKEGRHIRQHQTLSSRQTFFKGCESCKSPKKQMNVRGHKMRLSIQSSNM
+>sp|Q8NB15|ZN511_HUMAN Zinc finger protein 511 OS=Homo sapiens OX=9606 GN=ZNF511 PE=1 SV=1
+MQLPPALCARLAAGPGAAEPLPVERDPAAGAAPFRFVARPVRFPREHQFFEDGDVQRHLYLQDVIMQVADVPEKPRVPAFACQVAGCCQVFDALDDYEHHYHTLHGNVCSFCKRAFPSGHLLDAHILEWHDSLFQILSERQDMYQCLVEGCTEKFKTSRDRKDHMVRMHLYPADFRFDKPKKSRSPASAEAPGDSGERSEGEAMEICSEPVAASPAPAGERRIYRHRSVSELFLKPVLNMCSVLRILGCTWAAALLILNSER
+>DECOY_sp|Q8NB15|ZN511_HUMAN Zinc finger protein 511 OS=Homo sapiens OX=9606 GN=ZNF511 PE=1 SV=1
+RESNLILLAAAWTCGLIRLVSCMNLVPKLFLESVSRHRYIRREGAPAPSAAVPESCIEMAEGESREGSDGPAEASAPSRSKKPKDFRFDAPYLHMRVMHDKRDRSTKFKETCGEVLCQYMDQRESLIQFLSDHWELIHADLLHGSPFARKCFSCVNGHLTHYHHEYDDLADFVQCCGAVQCAFAPVRPKEPVDAVQMIVDQLYLHRQVDGDEFFQHERPFRVPRAVFRFPAAGAAPDREVPLPEAAGPGAALRACLAPPLQM
+>sp|Q92618|ZN516_HUMAN Zinc finger protein 516 OS=Homo sapiens OX=9606 GN=ZNF516 PE=1 SV=1
+MDRNREAEMELRRGPSPTRAGRGHEVDGDKATCHTCCICGKSFPFQSSLSQHMRKHTGEKPYKCPYCDHRASQKGNLKIHIRSHRTGTLIQGHEPEAGEAPLGEMRASEGLDACASPTKSASACNRLLNGASQADGARVLNGASQADSGRVLLRSSKKGAEGSACAPGEAKAAVQCSFCKSQFERKKDLELHVHQAHKPFKCRLCSYATLREESLLSHIERDHITAQGPGSGEACVENGKPELSPGEFPCEVCGQAFSQTWFLKAHMKKHRGSFDHGCHICGRRFKEPWFLKNHMKAHGPKTGSKNRPKSELDPIATINNVVQEEVIVAGLSLYEVCAKCGNLFTNLDSLNAHNAIHRRVEASRTRAPAEEGAEGPSDTKQFFLQCLNLRPSAAGDSCPGTQAGRRVAELDPVNSYQAWQLATRGKVAEPAEYLKYGAWDEALAGDVAFDKDRREYVLVSQEKRKREQDAPAAQGPPRKRASGPGDPAPAGHLDPRSAARPNRRAAATTGQGKSSECFECGKIFRTYHQMVLHSRVHRRARRERDSDGDRAARARCGSLSEGDSASQPSSPGSACAAADSPGSGLADEAAEDSGEEGAPEPAPGGQPRRCCFSEEVTSTELSSGDQSHKMGDNASERDTGESKAGIAASVSILENSSRETSRRQEQHRFSMDLKMPAFHPKQEVPVPGDGVEFPSSTGAEGQTGHPAEKLSDLHNKEHSGGGKRALAPDLMPLDLSARSTRDDPSNKETASSLQAALVVHPCPYCSHKTYYPEVLWMHKRIWHRVSCNSVAPPWIQPNGYKSIRSNLVFLSRSGRTGPPPALGGKECQPLLLARFTRTQVPGGMPGSKSGSSPLGVVTKAASMPKNKESHSGGPCALWAPGPDGYRQTKPCHGQEPHGAATQGPLAKPRQEASSKPVPAPGGGGFSRSATPTPTVIARAGAQPSANSKPVEKFGVPPAGAGFAPTNKHSAPDSLKAKFSAQPQGPPPAKGEGGAPPLPPREPPSKAAQELRTLATCAAGSRGDAALQAQPGVAGAPPVLHSIKQEPVAEGHEKRLDILNIFKTYIPKDFATLYQGWGVSGPGLEHRGTLRTQARPGEFVCIECGKSFHQPGHLRAHMRAHSVVFESDGPRGSEVHTTSADAPKQGRDHSNTGTVQTVPLRKGT
+>DECOY_sp|Q92618|ZN516_HUMAN Zinc finger protein 516 OS=Homo sapiens OX=9606 GN=ZNF516 PE=1 SV=1
+TGKRLPVTQVTGTNSHDRGQKPADASTTHVESGRPGDSEFVVSHARMHARLHGPQHFSKGCEICVFEGPRAQTRLTGRHELGPGSVGWGQYLTAFDKPIYTKFINLIDLRKEHGEAVPEQKISHLVPPAGAVGPQAQLAADGRSGAACTALTRLEQAAKSPPERPPLPPAGGEGKAPPPGQPQASFKAKLSDPASHKNTPAFGAGAPPVGFKEVPKSNASPQAGARAIVTPTPTASRSFGGGGPAPVPKSSAEQRPKALPGQTAAGHPEQGHCPKTQRYGDPGPAWLACPGGSHSEKNKPMSAAKTVVGLPSSGSKSGPMGGPVQTRTFRALLLPQCEKGGLAPPPGTRGSRSLFVLNSRISKYGNPQIWPPAVSNCSVRHWIRKHMWLVEPYYTKHSCYPCPHVVLAAQLSSATEKNSPDDRTSRASLDLPMLDPALARKGGGSHEKNHLDSLKEAPHGTQGEAGTSSPFEVGDGPVPVEQKPHFAPMKLDMSFRHQEQRRSTERSSNELISVSAAIGAKSEGTDRESANDGMKHSQDGSSLETSTVEESFCCRRPQGGPAPEPAGEEGSDEAAEDALGSGPSDAAACASGPSSPQSASDGESLSGCRARAARDGDSDRERRARRHVRSHLVMQHYTRFIKGCEFCESSKGQGTTAAARRNPRAASRPDLHGAPAPDGPGSARKRPPGQAAPADQERKRKEQSVLVYERRDKDFAVDGALAEDWAGYKLYEAPEAVKGRTALQWAQYSNVPDLEAVRRGAQTGPCSDGAASPRLNLCQLFFQKTDSPGEAGEEAPARTRSAEVRRHIANHANLSDLNTFLNGCKACVEYLSLGAVIVEEQVVNNITAIPDLESKPRNKSGTKPGHAKMHNKLFWPEKFRRGCIHCGHDFSGRHKKMHAKLFWTQSFAQGCVECPFEGPSLEPKGNEVCAEGSGPGQATIHDREIHSLLSEERLTAYSCLRCKFPKHAQHVHLELDKKREFQSKCFSCQVAAKAEGPACASGEAGKKSSRLLVRGSDAQSAGNLVRAGDAQSAGNLLRNCASASKTPSACADLGESARMEGLPAEGAEPEHGQILTGTRHSRIHIKLNGKQSARHDCYPCKYPKEGTHKRMHQSLSSQFPFSKGCICCTHCTAKDGDVEHGRGARTPSPGRRLEMEAERNRDM
+>sp|Q6ZMY9|ZN517_HUMAN Zinc finger protein 517 OS=Homo sapiens OX=9606 GN=ZNF517 PE=2 SV=2
+MAMALPMPGPQEAVVFEDVAVYFTRIEWSCLAPDQQALYRDVMLENYGNLASLGFLVAKPALISLLEQGEEPGALILQVAEQSVAKASLCTDSRMEAGIMESPLQRKLSRQAGLPGTVWGCLPWGHPVGGHPAPPHPHGGPEDGSDKPTHPRAREHSASPRVLQEDLGRPVGSSAPRYRCVCGKAFRYNSLLLRHQIIHTGAKPFQCTECGKAFKQSSILLRHQLIHTEEKPFQCGECGKAFRQSTQLAAHHRVHTRERPYACGECGKAFSRSSRLLQHQKFHTGEKPFACTECGKAFCRRFTLNEHGRIHSGERPYRCLRCGQRFIRGSSLLKHHRLHAQEGAQDGGVGQGALLGAAQRPQAGDPPHECPVCGRPFRHNSLLLLHLRLHTGEKPFECAECGKAFGRKSNLTLHQKIHTKEKPFACTECGKAFRRSYTLNEHYRLHSGERPYRCRACGRACSRLSTLIQHQKVHGREPGEDTEGRRAPCWAS
+>DECOY_sp|Q6ZMY9|ZN517_HUMAN Zinc finger protein 517 OS=Homo sapiens OX=9606 GN=ZNF517 PE=2 SV=2
+SAWCPARRGETDEGPERGHVKQHQILTSLRSCARGCARCRYPREGSHLRYHENLTYSRRFAKGCETCAFPKEKTHIKQHLTLNSKRGFAKGCEACEFPKEGTHLRLHLLLLSNHRFPRGCVPCEHPPDGAQPRQAAGLLAGQGVGGDQAGEQAHLRHHKLLSSGRIFRQGCRLCRYPREGSHIRGHENLTFRRCFAKGCETCAFPKEGTHFKQHQLLRSSRSFAKGCEGCAYPRERTHVRHHAALQTSQRFAKGCEGCQFPKEETHILQHRLLISSQKFAKGCETCQFPKAGTHIIQHRLLLSNYRFAKGCVCRYRPASSGVPRGLDEQLVRPSASHERARPHTPKDSGDEPGGHPHPPAPHGGVPHGWPLCGWVTGPLGAQRSLKRQLPSEMIGAEMRSDTCLSAKAVSQEAVQLILAGPEEGQELLSILAPKAVLFGLSALNGYNELMVDRYLAQQDPALCSWEIRTFYVAVDEFVVAEQPGPMPLAMAM
+>sp|Q9H0D2|ZN541_HUMAN Zinc finger protein 541 OS=Homo sapiens OX=9606 GN=ZNF541 PE=1 SV=3
+MDQYSLGDEGALPSEMHLPSFSESQGLNCSDTLNRDLGPNTRGFLYAGLSGLDPDPSLPTPDMSSEVLEDNLDTLSLYSGKDSDSVKLLEEYADSESQASLQDLGLGVLKAKEADEGGRATSGSARKGKRQHSSPQNPLLDCSLCGKVFSSASSLSKHYLTHSQERKHVCKICSKAFKRQDHLTGHMLTHQKTKPFVCIEQGCSKSYCDYRSLRRHYEVHHGLCILKEAPPEEEACGDSPHAHESAGQPPPSSLRSLVPPEARSPGSLLPHRDLLRRIVSSIVHQKTPSPGPAPAGASDSEGRNTACPCPASSGSSSCTPAGPHAAPAALDTELPEEPCLPQKEPATDVFTAPNSRAAENGAPDPPEPEPDTALLQARSTAECWPEGGSVPACLPLFRGQTVPASSQPSSHSFQWLRNLPGCPKSKGNNVFVVHKPSAVPSREGSESGPGPSSGSPSEESPPGPGGGLEDALPFPAALLRVPAEAPSDPRSASGEDDPCAPKKVKVDCDSFLCQNPGEPGLQEAQKAGGLPADASPLFRQLFLKSQEPLVSHEQMQVFQMITKSQRIFSHAQVAAVSSQLPAPEGKPAALRPLQGPWPQQPPPLAPAVDSLHAGPGNPEAEGSPARRRKTTPGVPREASPGSTRRDAKGGLKVAAVPTPLAAPSLDPSRNPDISSLAKQLRSSKGTLDLEDIFPSTGQRQTQLGGEEPPGASLPGKQAPAENGAASRITKGEKGPACSRGGGYRLLGNPRAPRFSGFRKEKAKMDMCCAASPSQVAMASFSSAGPPADPSKSKLTIFSRIQGGNIYRLPHPVKEENVAGRGNQQNGSPTDWTKPRSTFVCKNCSQMFYTEKGLSSHMCFHSDQWPSPRGKQEPQVFGTEFCKPLRQVLRPEGDRHSPPGTKKPLDPTAAAPLVVPQSIPVVPVTRHIGSMAMGQEKDGEERDSKESSQQRKRKKRPPPSTAGEPGPAGCHQSRLRSPMFLVDCLLKGLFQCSPYTPPPMLSPIREGSGVYFNTLCSTSTQASPDQLISSMLDQVDGSFGICVVKDDTKISIEPHINIGSRFQAEIPELQERSLAGTDEHVASLVWKPWGDMMISSETQDRVTELCNVACSSVMPGGGTNLELALHCLHEAQGNVQVALETLLLRGPHKPRTHLLADYRYTGSDVWTPIEKRLFKKAFYAHKKDFYLIHKMIQTKTVAQCVEYYYIWKKMIKFDCGRAPGLEKRVKREPEEVERTEEKVPCSPRERPSHHPTPKLKTKSYRRESILSSSPNAGSKRTPELLGSAESQGIFPCRECERVFDKIKSRNAHMKRHRLQDHVEPIIRVKWPVKPFQLKEEELGADIGPLQW
+>DECOY_sp|Q9H0D2|ZN541_HUMAN Zinc finger protein 541 OS=Homo sapiens OX=9606 GN=ZNF541 PE=1 SV=3
+WQLPGIDAGLEEEKLQFPKVPWKVRIIPEVHDQLRHRKMHANRSKIKDFVRECERCPFIGQSEASGLLEPTRKSGANPSSSLISERRYSKTKLKPTPHHSPRERPSCPVKEETREVEEPERKVRKELGPARGCDFKIMKKWIYYYEVCQAVTKTQIMKHILYFDKKHAYFAKKFLRKEIPTWVDSGTYRYDALLHTRPKHPGRLLLTELAVQVNGQAEHLCHLALELNTGGGPMVSSCAVNCLETVRDQTESSIMMDGWPKWVLSAVHEDTGALSREQLEPIEAQFRSGINIHPEISIKTDDKVVCIGFSGDVQDLMSSILQDPSAQTSTSCLTNFYVGSGERIPSLMPPPTYPSCQFLGKLLCDVLFMPSRLRSQHCGAPGPEGATSPPPRKKRKRQQSSEKSDREEGDKEQGMAMSGIHRTVPVVPISQPVVLPAAATPDLPKKTGPPSHRDGEPRLVQRLPKCFETGFVQPEQKGRPSPWQDSHFCMHSSLGKETYFMQSCNKCVFTSRPKTWDTPSGNQQNGRGAVNEEKVPHPLRYINGGQIRSFITLKSKSPDAPPGASSFSAMAVQSPSAACCMDMKAKEKRFGSFRPARPNGLLRYGGGRSCAPGKEGKTIRSAAGNEAPAQKGPLSAGPPEEGGLQTQRQGTSPFIDELDLTGKSSRLQKALSSIDPNRSPDLSPAALPTPVAAVKLGGKADRRTSGPSAERPVGPTTKRRRAPSGEAEPNGPGAHLSDVAPALPPPQQPWPGQLPRLAAPKGEPAPLQSSVAAVQAHSFIRQSKTIMQFVQMQEHSVLPEQSKLFLQRFLPSADAPLGGAKQAEQLGPEGPNQCLFSDCDVKVKKPACPDDEGSASRPDSPAEAPVRLLAAPFPLADELGGGPGPPSEESPSGSSPGPGSESGERSPVASPKHVVFVNNGKSKPCGPLNRLWQFSHSSPQSSAPVTQGRFLPLCAPVSGGEPWCEATSRAQLLATDPEPEPPDPAGNEAARSNPATFVDTAPEKQPLCPEEPLETDLAAPAAHPGAPTCSSSGSSAPCPCATNRGESDSAGAPAPGPSPTKQHVISSVIRRLLDRHPLLSGPSRAEPPVLSRLSSPPPQGASEHAHPSDGCAEEEPPAEKLICLGHHVEYHRRLSRYDCYSKSCGQEICVFPKTKQHTLMHGTLHDQRKFAKSCIKCVHKREQSHTLYHKSLSSASSFVKGCLSCDLLPNQPSSHQRKGKRASGSTARGGEDAEKAKLVGLGLDQLSAQSESDAYEELLKVSDSDKGSYLSLTDLNDELVESSMDPTPLSPDPDLGSLGAYLFGRTNPGLDRNLTDSCNLGQSESFSPLHMESPLAGEDGLSYQDM
+>sp|Q6NX49|ZN544_HUMAN Zinc finger protein 544 OS=Homo sapiens OX=9606 GN=ZNF544 PE=1 SV=1
+MEARSMLVPPQASVCFEDVAMAFTQEEWEQLDLAQRTLYREVTLETWEHIVSLGLFLSKSDVISQLEQEEDLCRAEQEAPRDWKATLEENRLNSEKDRAREELSHHVEVYRSGPEEPPSLVLGKVQDQSNQLREHQENSLRFMVLTSERLFAQREHCELELGGGYSLPSTLSLLPTTLPTSTGFPKPNSQVKELKQNSAFINHEKNGADGKHCESHQCARAFCQSIYLSKLGNVETGKKNPYEYIVSGDSLNYGSSLCFHGRTFSVKKSDDCKDYGNLFSHSVSLNEQKPVHFGKSQYECDECRETCSESLCLVQTERSGPGETPFRCEERCAAFPMASSFSDCNIIQTTEKPSVCNQCGKSFSCCKLIHQRTHTGEKPFECTQCGKSFSQSYDLVIHQRTHTGEKPYECDLCGKSFTQRSKLITHQRIHTGEKPYQCIECRKSFRWNSNLIVHQRIHTGEKPYECTHCGKSFSQSYELVTHKRTHTGEKPFKCTQCGKSFSQKYDLVVHQRTHTGEKPYECNLCGKSFSQSSKLITHQRIHTGEKPYQCIECGKSFRWNSNLVIHQRIHTGEKPYDCTHCGKSFSQSYQLVAHKRTHTGEKPYECNECGKAFNRSTQLIRHLQIHTGEKPYKCNQCNKAFARSSYLVMHQRTHTGEKPFECSQCGKAFSGSSNLLSHHRIHSGEKPYECSDCGKSFRQQSQLVVHRRTHTGEKP
+>DECOY_sp|Q6NX49|ZN544_HUMAN Zinc finger protein 544 OS=Homo sapiens OX=9606 GN=ZNF544 PE=1 SV=1
+PKEGTHTRRHVVLQSQQRFSKGCDSCEYPKEGSHIRHHSLLNSSGSFAKGCQSCEFPKEGTHTRQHMVLYSSRAFAKNCQNCKYPKEGTHIQLHRILQTSRNFAKGCENCEYPKEGTHTRKHAVLQYSQSFSKGCHTCDYPKEGTHIRQHIVLNSNWRFSKGCEICQYPKEGTHIRQHTILKSSQSFSKGCLNCEYPKEGTHTRQHVVLDYKQSFSKGCQTCKFPKEGTHTRKHTVLEYSQSFSKGCHTCEYPKEGTHIRQHVILNSNWRFSKRCEICQYPKEGTHIRQHTILKSRQTFSKGCLDCEYPKEGTHTRQHIVLDYSQSFSKGCQTCEFPKEGTHTRQHILKCCSFSKGCQNCVSPKETTQIINCDSFSSAMPFAACREECRFPTEGPGSRETQVLCLSESCTERCEDCEYQSKGFHVPKQENLSVSHSFLNGYDKCDDSKKVSFTRGHFCLSSGYNLSDGSVIYEYPNKKGTEVNGLKSLYISQCFARACQHSECHKGDAGNKEHNIFASNQKLEKVQSNPKPFGTSTPLTTPLLSLTSPLSYGGGLELECHERQAFLRESTLVMFRLSNEQHERLQNSQDQVKGLVLSPPEEPGSRYVEVHHSLEERARDKESNLRNEELTAKWDRPAEQEARCLDEEQELQSIVDSKSLFLGLSVIHEWTELTVERYLTRQALDLQEWEEQTFAMAVDEFCVSAQPPVLMSRAEM
+>sp|Q6P9A3|ZN549_HUMAN Zinc finger protein 549 OS=Homo sapiens OX=9606 GN=ZNF549 PE=1 SV=2
+MAEAALVITPQIPMVTEEFVKPSQGHVTFEDIAVYFSQEEWGLLDEAQRCLYHDVMLENFSLMASVGCLHGIEAEEAPSEQTLSAQGVSQARTPKLGPSIPNAHSCEMCILVMKDILYLSEHQGTLPWQKPYTSVASGKWFSFGSNLQQHQNQDSGEKHIRKEESSALLLNSCKIPLSDNLFPCKDVEKDFPTILGLLQHQTTHSRQEYAHRSRETFQQRRYKCEQVFNEKVHVTEHQRVHTGEKAYKRREYGKSLNSKYLFVEHQRTHNAEKPYVCNICGKSFLHKQTLVGHQQRIHTRERSYVCIECGKSLSSKYSLVEHQRTHNGEKPYVCNVCGKSFRHKQTFVGHQQRIHTGERPYVCMECGKSFIHSYDRIRHQRVHTGEGAYQCSECGKSFIYKQSLLDHHRIHTGERPYECKECGKAFIHKKRLLEHQRIHTGEKPYVCIICGKSFIRSSDYMRHQRIHTGERAYECSDCGKAFISKQTLLKHHKIHTRERPYECSECGKGFYLEVKLLQHQRIHTREQLCECNECGKVFSHQKRLLEHQKVHTGEKPCECSECGKCFRHRTSLIQHQKVHSGERPYNCTACEKAFIYKNKLVEHQRIHTGEKPYECGKCGKAFNKRYSLVRHQKVHITEEP
+>DECOY_sp|Q6P9A3|ZN549_HUMAN Zinc finger protein 549 OS=Homo sapiens OX=9606 GN=ZNF549 PE=1 SV=2
+PEETIHVKQHRVLSYRKNFAKGCKGCEYPKEGTHIRQHEVLKNKYIFAKECATCNYPREGSHVKQHQILSTRHRFCKGCESCECPKEGTHVKQHELLRKQHSFVKGCENCECLQERTHIRQHQLLKVELYFGKGCESCEYPRERTHIKHHKLLTQKSIFAKGCDSCEYAREGTHIRQHRMYDSSRIFSKGCIICVYPKEGTHIRQHELLRKKHIFAKGCEKCEYPREGTHIRHHDLLSQKYIFSKGCESCQYAGEGTHVRQHRIRDYSHIFSKGCEMCVYPREGTHIRQQHGVFTQKHRFSKGCVNCVYPKEGNHTRQHEVLSYKSSLSKGCEICVYSRERTHIRQQHGVLTQKHLFSKGCINCVYPKEANHTRQHEVFLYKSNLSKGYERRKYAKEGTHVRQHETVHVKENFVQECKYRRQQFTERSRHAYEQRSHTTQHQLLGLITPFDKEVDKCPFLNDSLPIKCSNLLLASSEEKRIHKEGSDQNQHQQLNSGFSFWKGSAVSTYPKQWPLTGQHESLYLIDKMVLICMECSHANPISPGLKPTRAQSVGQASLTQESPAEEAEIGHLCGVSAMLSFNELMVDHYLCRQAEDLLGWEEQSFYVAIDEFTVHGQSPKVFEETVMPIQPTIVLAAEAM
+>sp|Q7Z340|ZN551_HUMAN Zinc finger protein 551 OS=Homo sapiens OX=9606 GN=ZNF551 PE=1 SV=3
+MPAPVGRRSPPSPRSSMAAVALRDSAQGMTFEDVAIYFSQEEWELLDESQRFLYCDVMLENFAHVTSLGYCHGMENEAIASEQSVSIQVRTSKGNTPTQKTHLSEIKMCVPVLKDILPAAEHQTTSPVQKSYLGSTSMRGFCFSADLHQHQKHYNEEEPWKRKVDEATFVTGCRFHVLNYFTCGEAFPAPTDLLQHEATPSGEEPHSSSSKHIQAFFNAKSYYKWGEYRKASSHKHTLVQHQSVCSEGGLYECSKCEKAFTCKNTLVQHQQIHTGQKMFECSECEESFSKKCHLILHKIIHTGERPYECSDREKAFIHKSEFIHHQRRHTGGVRHECGECRKTFSYKSNLIEHQRVHTGERPYECGECGKSFRQSSSLFRHQRVHSGERPYQCCECGKSFRQIFNLIRHRRVHTGEMPYQCSDCGKSFSCKSELIQHQRIHSGERPYECRECGKSFRQFSNLIRHRSIHTGDRPYECSECEKSFSRKFILIQHQRVHTGERPYECSECGKSFTRKSDLIQHRRIHTGTRPYECSECGKSFRQRSGLIQHRRLHTGERPYECSECGKSFSQSASLIQHQRVHTGERPYECSECGKSFSQSSSLIQHQRGHTGERPYECSQCGKPFTHKSDLIQHQRVHTGERPYECSECGKSFSRKSNLIRHRRVHTEERP
+>DECOY_sp|Q7Z340|ZN551_HUMAN Zinc finger protein 551 OS=Homo sapiens OX=9606 GN=ZNF551 PE=1 SV=3
+PREETHVRRHRILNSKRSFSKGCESCEYPREGTHVRQHQILDSKHTFPKGCQSCEYPREGTHGRQHQILSSSQSFSKGCESCEYPREGTHVRQHQILSASQSFSKGCESCEYPREGTHLRRHQILGSRQRFSKGCESCEYPRTGTHIRRHQILDSKRTFSKGCESCEYPREGTHVRQHQILIFKRSFSKECESCEYPRDGTHISRHRILNSFQRFSKGCERCEYPREGSHIRQHQILESKCSFSKGCDSCQYPMEGTHVRRHRILNFIQRFSKGCECCQYPREGSHVRQHRFLSSSQRFSKGCEGCEYPREGTHVRQHEILNSKYSFTKRCEGCEHRVGGTHRRQHHIFESKHIFAKERDSCEYPREGTHIIKHLILHCKKSFSEECESCEFMKQGTHIQQHQVLTNKCTFAKECKSCEYLGGESCVSQHQVLTHKHSSAKRYEGWKYYSKANFFAQIHKSSSSHPEEGSPTAEHQLLDTPAPFAEGCTFYNLVHFRCGTVFTAEDVKRKWPEEENYHKQHQHLDASFCFGRMSTSGLYSKQVPSTTQHEAAPLIDKLVPVCMKIESLHTKQTPTNGKSTRVQISVSQESAIAENEMGHCYGLSTVHAFNELMVDCYLFRQSEDLLEWEEQSFYIAVDEFTMGQASDRLAVAAMSSRPSPPSRRGVPAPM
+>sp|Q9H707|ZN552_HUMAN Zinc finger protein 552 OS=Homo sapiens OX=9606 GN=ZNF552 PE=1 SV=2
+MAAAALRFPVQGTVTFEDVAVKFTQEEWNLLSEAQRCLYRDVTLENLALMSSLGCWCGVEDEAAPSKQSIYIQRETQVRTPMAGVSPKKAHPCEMCGPILGDILHVADHQGTHHKQKLHRCEAWGNKLYDSGNFHQHQNEHIGEKPYRGSVEEALFAKRCKLHVSGESSVFSESGKDFLLRSGLLQQEATHTGKSNSKTECVSLFHGGKSHYSCGGCMKHFSTKDILSQHERLLPTEEPSVWCECGKSSSKYDSFSNHQGVHTREKPYTCGICGKLFNSKSHLLVHQRIHTGEKPYECEVCQKFFRHKYHLIAHQRVHTGERPYECSDCGKSFTHSSTFRVHKRVHTGQKPYECSECGKSFAESSSLTKHRRVHTGEKPYGCSECEKKFRQISSLRHHQRVHKRKGL
+>DECOY_sp|Q9H707|ZN552_HUMAN Zinc finger protein 552 OS=Homo sapiens OX=9606 GN=ZNF552 PE=1 SV=2
+LGKRKHVRQHHRLSSIQRFKKECESCGYPKEGTHVRRHKTLSSSEAFSKGCESCEYPKQGTHVRKHVRFTSSHTFSKGCDSCEYPREGTHVRQHAILHYKHRFFKQCVECEYPKEGTHIRQHVLLHSKSNFLKGCIGCTYPKERTHVGQHNSFSDYKSSSKGCECWVSPEETPLLREHQSLIDKTSFHKMCGGCSYHSKGGHFLSVCETKSNSKGTHTAEQQLLGSRLLFDKGSESFVSSEGSVHLKCRKAFLAEEVSGRYPKEGIHENQHQHFNGSDYLKNGWAECRHLKQKHHTGQHDAVHLIDGLIPGCMECPHAKKPSVGAMPTRVQTERQIYISQKSPAAEDEVGCWCGLSSMLALNELTVDRYLCRQAESLLNWEEQTFKVAVDEFTVTGQVPFRLAAAAM
+>sp|Q7Z3V5|ZN571_HUMAN Zinc finger protein 571 OS=Homo sapiens OX=9606 GN=ZNF571 PE=2 SV=3
+MPHLLVTFRDVAIDFSQEEWECLDPAQRDLYRDVMLENYSNLISLDLESSCVTKKLSPEKEIYEMESLQWENMGKRINHHLQYNGLGDNMECKGNLEGQEASQEGLYMCVKITCEEKATESHSTSSTFHRIIPTKEKLYKCKECRQGFSYLSCLIQHEENHNIEKCSEVKKHRNTFSKKPSYIQHQRIQTGEKPYECMECGKAFGRTSDLIQHQKIHTNEKPYQCNACGKAFIRGSQLTEHQRVHTGEKPYECKKCGKAFSYCSQYTLHQRIHSGEKPYECKDCGKAFILGSQLTYHQRIHSGEKPYECKECGKAFILGSHLTYHQRVHTGEKPYICKECGKAFLCASQLNEHQRIHTGEKPYECKECGKTFFRGSQLTYHLRVHSGERPYKCKECGKAFISNSNLIQHQRIHTGEKPYKCKECGKAFICGKQLSEHQRIHTGEKPFECKECGKAFIRVAYLTQHEKIHGEKHYECKECGKTFVRATQLTYHQRIHTGEKPYKCKECDKAFIYGSQLSEHQRIHRGEKPYECKQCGKAFIRGSHLTEHLRTHTGEKPYECKECGRAFSRGSELTLHQRIHTGEKPYTCVQCGKDFRCPSQLTQHTRLHN
+>DECOY_sp|Q7Z3V5|ZN571_HUMAN Zinc finger protein 571 OS=Homo sapiens OX=9606 GN=ZNF571 PE=2 SV=3
+NHLRTHQTLQSPCRFDKGCQVCTYPKEGTHIRQHLTLESGRSFARGCEKCEYPKEGTHTRLHETLHSGRIFAKGCQKCEYPKEGRHIRQHESLQSGYIFAKDCEKCKYPKEGTHIRQHYTLQTARVFTKGCEKCEYHKEGHIKEHQTLYAVRIFAKGCEKCEFPKEGTHIRQHESLQKGCIFAKGCEKCKYPKEGTHIRQHQILNSNSIFAKGCEKCKYPREGSHVRLHYTLQSGRFFTKGCEKCEYPKEGTHIRQHENLQSACLFAKGCEKCIYPKEGTHVRQHYTLHSGLIFAKGCEKCEYPKEGSHIRQHYTLQSGLIFAKGCDKCEYPKEGSHIRQHLTYQSCYSFAKGCKKCEYPKEGTHVRQHETLQSGRIFAKGCANCQYPKENTHIKQHQILDSTRGFAKGCEMCEYPKEGTQIRQHQIYSPKKSFTNRHKKVESCKEINHNEEHQILCSLYSFGQRCEKCKYLKEKTPIIRHFTSSTSHSETAKEECTIKVCMYLGEQSAEQGELNGKCEMNDGLGNYQLHHNIRKGMNEWQLSEMEYIEKEPSLKKTVCSSELDLSILNSYNELMVDRYLDRQAPDLCEWEEQSFDIAVDRFTVLLHPM
+>sp|Q86YE8|ZN573_HUMAN Zinc finger protein 573 OS=Homo sapiens OX=9606 GN=ZNF573 PE=2 SV=4
+MFPVLEPHQVGLIRSYNSKTMTCFQELVTFRDVAIDFSRQEWEYLDPNQRDLYRDVMLENYRNLVSLGGHSISKPVVVDLLERGKEPWMILREETQFTDLDLQCEIISYIEVPTYETDISSTQLQSIYKREKLYECKKCQKKFSSGYQLILHHRFHVIERPYECKECGKNFRSGYQLTLHQRFHTGEKPYECTECGKNFRSGYQLTVHQRFHTGEKTYECRQCGKAFIYASHIVQHERIHTGGKPYECQECGRAFSQGGHLRIHQRVHTGEKPYKCKECGKTFSRRSNLVEHGQFHTDEKPYICEKCGKAFRRGHQLTVHQRVHTGKKPYECKECGKGYTTASYFLLHQRIHKGGKPYECKECKKTFTLYRNLTRHQNIHTGEKLFECKQCGKTYTTGSKLFQHQKTHTGEKPYECKECGKAFSLYGYLKQHQKIHTGMKHFECKECKKTFTLYRNLTRHQNIHTGKKLFECQECGKAYSTGSNLIQHRKTHTGEKPYKCKECGKTFSLHGYLNQHQKIHTGMKPYECKVCRKTFTFYRNLTLHQSIHTDEKPFECKECGKTFRRSSHLTAHQSIHADKKPYECKECGKAFKMYGYLTQHQKIHTGGKPYECKECGKAFSRASNLVQHERIHTGEKPYVCKQCGKTFRYGSALKAHQRIHRSIKV
+>DECOY_sp|Q86YE8|ZN573_HUMAN Zinc finger protein 573 OS=Homo sapiens OX=9606 GN=ZNF573 PE=2 SV=4
+VKISRHIRQHAKLASGYRFTKGCQKCVYPKEGTHIREHQVLNSARSFAKGCEKCEYPKGGTHIKQHQTLYGYMKFAKGCEKCEYPKKDAHISQHATLHSSRRFTKGCEKCEFPKEDTHISQHLTLNRYFTFTKRCVKCEYPKMGTHIKQHQNLYGHLSFTKGCEKCKYPKEGTHTKRHQILNSGTSYAKGCEQCEFLKKGTHINQHRTLNRYLTFTKKCEKCEFHKMGTHIKQHQKLYGYLSFAKGCEKCEYPKEGTHTKQHQFLKSGTTYTKGCQKCEFLKEGTHINQHRTLNRYLTFTKKCEKCEYPKGGKHIRQHLLFYSATTYGKGCEKCEYPKKGTHVRQHVTLQHGRRFAKGCKECIYPKEDTHFQGHEVLNSRRSFTKGCEKCKYPKEGTHVRQHIRLHGGQSFARGCEQCEYPKGGTHIREHQVIHSAYIFAKGCQRCEYTKEGTHFRQHVTLQYGSRFNKGCETCEYPKEGTHFRQHLTLQYGSRFNKGCEKCEYPREIVHFRHHLILQYGSSFKKQCKKCEYLKERKYISQLQTSSIDTEYTPVEIYSIIECQLDLDTFQTEERLIMWPEKGRELLDVVVPKSISHGGLSVLNRYNELMVDRYLDRQNPDLYEWEQRSFDIAVDRFTVLEQFCTMTKSNYSRILGVQHPELVPFM
+>sp|Q8NAF0|ZN579_HUMAN Zinc finger protein 579 OS=Homo sapiens OX=9606 GN=ZNF579 PE=1 SV=2
+MDPQPPPPAQGSPPHRGRGRGRGRGRGRGRGRGRGGAGAPRAPLPCPTCGRLFRFPYYLSRHRLSHSGLRPHACPLCPKAFRRPAHLSRHLRGHGPQPPLRCAACPRTFPEPAQLRRHLAQEHAGGEVELAIERVAKETAEPSWGPQDEGSEPPTTAAAGATEEEAVAAWPETWPAGEPSTLAAPTSAAEPRESESEEAEAGAAELRAELALAAGRQEEKQVLLQADWTLLCLRCREAFATKGELKAHPCLRPEGEQEGEGGPPPRPKRHQCSICLKAFARPWSLSRHRLVHSTDRPFVCPDCGLAFRLASYLRQHRRVHGPLSLLAPLPAAGKKDDKASGARNSAKGPEGGEGAECGGASEGGEGQNGGDAAPARPPAGEPRFWCPECGKGFRRRAHLRQHGVTHSGARPFQCVRCQREFKRLADLARHAQVHAGGPAPHPCPRCPRRFSRAYSLLRHQRCHRAELERAAALQALQAQAPTSPPPPPPPLKAEQEEEGLPLPLANIKEEPPSPGTPPQSPPAPPVFLSASCFDSQDHSAFEMEEEEVDSKAHLRGLGGLAS
+>DECOY_sp|Q8NAF0|ZN579_HUMAN Zinc finger protein 579 OS=Homo sapiens OX=9606 GN=ZNF579 PE=1 SV=2
+SALGGLGRLHAKSDVEEEEMEFASHDQSDFCSASLFVPPAPPSQPPTGPSPPEEKINALPLPLGEEEQEAKLPPPPPPPSTPAQAQLAQLAAARELEARHCRQHRLLSYARSFRRPCRPCPHPAPGGAHVQAHRALDALRKFERQCRVCQFPRAGSHTVGHQRLHARRRFGKGCEPCWFRPEGAPPRAPAADGGNQGEGGESAGGCEAGEGGEPGKASNRAGSAKDDKKGAAPLPALLSLPGHVRRHQRLYSALRFALGCDPCVFPRDTSHVLRHRSLSWPRAFAKLCISCQHRKPRPPPGGEGEQEGEPRLCPHAKLEGKTAFAERCRLCLLTWDAQLLVQKEEQRGAALALEARLEAAGAEAEESESERPEAASTPAALTSPEGAPWTEPWAAVAEEETAGAAATTPPESGEDQPGWSPEATEKAVREIALEVEGGAHEQALHRRLQAPEPFTRPCAACRLPPQPGHGRLHRSLHAPRRFAKPCLPCAHPRLGSHSLRHRSLYYPFRFLRGCTPCPLPARPAGAGGRGRGRGRGRGRGRGRGRGRHPPSGQAPPPPQPDM
+>sp|Q9P0T4|ZN581_HUMAN Zinc finger protein 581 OS=Homo sapiens OX=9606 GN=ZNF581 PE=1 SV=1
+MLVLPSPCPQPLAFSSVETMEGPPRRTCRSPEPGPSSSIGSPQASSPPRPNHYLLIDTQGVPYTVLVDEESQREPGASGAPGQKKCYSCPVCSRVFEYMSYLQRHSITHSEVKPFECDICGKAFKRASHLARHHSIHLAGGGRPHGCPLCPRRFRDAGELAQHSRVHSGERPFQCPHCPRRFMEQNTLQKHTRWKHP
+>DECOY_sp|Q9P0T4|ZN581_HUMAN Zinc finger protein 581 OS=Homo sapiens OX=9606 GN=ZNF581 PE=1 SV=1
+PHKWRTHKQLTNQEMFRRPCHPCQFPREGSHVRSHQALEGADRFRRPCLPCGHPRGGGALHISHHRALHSARKFAKGCIDCEFPKVESHTISHRQLYSMYEFVRSCVPCSYCKKQGPAGSAGPERQSEEDVLVTYPVGQTDILLYHNPRPPSSAQPSGISSSPGPEPSRCTRRPPGEMTEVSSFALPQPCPSPLVLM
+>sp|Q8IVC4|ZN584_HUMAN Zinc finger protein 584 OS=Homo sapiens OX=9606 GN=ZNF584 PE=2 SV=1
+MAGEAEAQLDPSLQGLVMFEDVTVYFSREEWGLLNVTQKGLYRDVMLENFALVSSLGLAPSRSPVFTQLEDDEQSWVPSWVDVTPVSRAEARRGFGLDGLCRVEDERAHPEHLKSYRVIQHQDTHSEGKPRRHTEHGAAFPPGSSCGQQQEVHVAEKLFKCSDCGKVFLKAFALLDHLITHSEERPFRCPTGRSAFKKSAHINPRKIHTGETAHVCNECGKAFSYPSKLRKHQKVHTGIKPFKCSDCGKTFNRKDALVLHQRIHTGERPYECSKCGKTFSVLSTLIRHRKVHIGERPYECTECGKFFKYNNSFILHQRVHTGERPFECKQCGKGYVTRSGLYQHWKVHTGERPYECSLCGKTFTTRSYRNRHQQFHTEERSYECTECGKAFKHSSTLLQHKKVHTPERRQEDRAHGKVVSC
+>DECOY_sp|Q8IVC4|ZN584_HUMAN Zinc finger protein 584 OS=Homo sapiens OX=9606 GN=ZNF584 PE=2 SV=1
+CSVVKGHARDEQRREPTHVKKHQLLTSSHKFAKGCETCEYSREETHFQQHRNRYSRTTFTKGCLSCEYPREGTHVKWHQYLGSRTVYGKGCQKCEFPREGTHVRQHLIFSNNYKFFKGCETCEYPREGIHVKRHRILTSLVSFTKGCKSCEYPREGTHIRQHLVLADKRNFTKGCDSCKFPKIGTHVKQHKRLKSPYSFAKGCENCVHATEGTHIKRPNIHASKKFASRGTPCRFPREESHTILHDLLAFAKLFVKGCDSCKFLKEAVHVEQQQGCSSGPPFAAGHETHRRPKGESHTDQHQIVRYSKLHEPHAREDEVRCLGDLGFGRRAEARSVPTVDVWSPVWSQEDDELQTFVPSRSPALGLSSVLAFNELMVDRYLGKQTVNLLGWEERSFYVTVDEFMVLGQLSPDLQAEAEGAM
+>sp|Q96SQ5|ZN587_HUMAN Zinc finger protein 587 OS=Homo sapiens OX=9606 GN=ZNF587 PE=1 SV=1
+MAAAVPRRPTQQGTVTFEDVAVNFSQEEWCLLSEAQRCLYRDVMLENLALISSLGCWCGSKDEEAPCKQRISVQRESQSRTPRAGVSPKKAHPCEMCGLILEDVFHFADHQETHHKQKLNRSGACGKNLDDTAYLHQHQKQHIGEKFYRKSVREASFVKKRKLRVSQEPFVFREFGKDVLPSSGLCQEEAAVEKTDSETMHGPPFQEGKTNYSCGKRTKAFSTKHSVIPHQKLFTRDGCYVCSDCGKSFSRYVSFSNHQRDHTAKGPYDCGECGKSYSRKSSLIQHQRVHTGQTAYPCEECGKSFSQKGSLISHQLVHTGEGPYECRECGKSFGQKGNLIQHQQGHTGERAYHCGECGKSFRQKFCFINHQRVHTGERPYKCGECGKSFGQKGNLVHHQRGHTGERPYECKECGKSFRYRSHLTEHQRLHTGERPYNCRECGKLFNRKYHLLVHERVHTGERPYACEVCGKLFGNKHSVTIHQRIHTGERPYECSECGKSFLSSSALHVHKRVHSGQKPYKCSECGKSFSECSSLIKHRRIHTGERPYECTKCGKTFQRSSTLLHHQSSHRRKAL
+>DECOY_sp|Q96SQ5|ZN587_HUMAN Zinc finger protein 587 OS=Homo sapiens OX=9606 GN=ZNF587 PE=1 SV=1
+LAKRRHSSQHHLLTSSRQFTKGCKTCEYPREGTHIRRHKILSSCESFSKGCESCKYPKQGSHVRKHVHLASSSLFSKGCESCEYPREGTHIRQHITVSHKNGFLKGCVECAYPREGTHVREHVLLHYKRNFLKGCERCNYPREGTHLRQHETLHSRYRFSKGCEKCEYPREGTHGRQHHVLNGKQGFSKGCEGCKYPREGTHVRQHNIFCFKQRFSKGCEGCHYAREGTHGQQHQILNGKQGFSKGCERCEYPGEGTHVLQHSILSGKQSFSKGCEECPYATQGTHVRQHQILSSKRSYSKGCEGCDYPGKATHDRQHNSFSVYRSFSKGCDSCVYCGDRTFLKQHPIVSHKTSFAKTRKGCSYNTKGEQFPPGHMTESDTKEVAAEEQCLGSSPLVDKGFERFVFPEQSVRLKRKKVFSAERVSKRYFKEGIHQKQHQHLYATDDLNKGCAGSRNLKQKHHTEQHDAFHFVDELILGCMECPHAKKPSVGARPTRSQSERQVSIRQKCPAEEDKSGCWCGLSSILALNELMVDRYLCRQAESLLCWEEQSFNVAVDEFTVTGQQTPRRPVAAAM
+>sp|O00488|ZN593_HUMAN Zinc finger protein 593 OS=Homo sapiens OX=9606 GN=ZNF593 PE=1 SV=2
+MGRSRRTGAHRAHSLARQMKAKRRRPDLDEIHRELRPQGSARPQPDPNAEFDPDLPGGGLHRCLACARYFIDSTNLKTHFRSKDHKKRLKQLSVEPYSQEEAERAAGMGSYVPPRRLAVPTEVSTEVPEMDTST
+>DECOY_sp|O00488|ZN593_HUMAN Zinc finger protein 593 OS=Homo sapiens OX=9606 GN=ZNF593 PE=1 SV=2
+TSTDMEPVETSVETPVALRRPPVYSGMGAAREAEEQSYPEVSLQKLRKKHDKSRFHTKLNTSDIFYRACALCRHLGGGPLDPDFEANPDPQPRASGQPRLERHIEDLDPRRRKAKMQRALSHARHAGTRRSRGM
+>sp|Q86UK7|ZN598_HUMAN E3 ubiquitin-protein ligase ZNF598 OS=Homo sapiens OX=9606 GN=ZNF598 PE=1 SV=1
+MAAAGGAEGRRAALEAAAAAAPERGGGSCVLCCGDLEATALGRCDHPVCYRCSTKMRVLCEQRYCAVCREELRQVVFGKKLPAFATIPIHQLQHEKKYDIYFADGKVYALYRQLLQHECPRCPELPPFSLFGDLEQHMRRQHELFCCRLCLQHLQIFTYERKWYSRKDLARHRMQGDPDDTSHRGHPLCKFCDERYLDNDELLKHLRRDHYFCHFCDSDGAQDYYSDYAYLREHFREKHFLCEEGRCSTEQFTHAFRTEIDLKAHRTACHSRSRAEARQNRHIDLQFSYAPRHSRRNEGVVGGEDYEEVDRYSRQGRVARAGTRGAQQSRRGSWRYKREEEDREVAAAVRASVAAQQQEEARRSEDQEEGGRPKKEEAAARGPEDPRGPRRSPRTQGEGPGPKETSTNGPVSQEAFSVTGPAAPGCVGVPGALPPPSPKLKDEDFPSLSASTSSSCSTAATPGPVGLALPYAIPARGRSAFQEEDFPALVSSVPKPGTAPTSLVSAWNSSSSSKKVAQPPLSAQATGSGQPTRKAGKGSRGGRKGGPPFTQEEEEDGGPALQELLSTRPTGSVSSTLGLASIQPSKVGKKKKVGSEKPGTTLPQPPPATCPPGALQAPEAPASRAEGPVAVVVNGHTEGPAPARSAPKEPPGLPRPLGSFPCPTPQEDFPALGGPCPPRMPPPPGFSAVVLLKGTPPPPPPGLVPPISKPPPGFSGLLPSPHPACVPSPATTTTTKAPRLLPAPRAYLVPENFRERNLQLIQSIRDFLQSDEARFSEFKSHSGEFRQGLISAAQYYKSCRDLLGENFQKVFNELLVLLPDTAKQQELLSAHTDFCNREKPLSTKSKKNKKSAWQATTQQAGLDCRVCPTCQQVLAHGDASSHQALHAARDDDFPSLQAIARIIT
+>DECOY_sp|Q86UK7|ZN598_HUMAN E3 ubiquitin-protein ligase ZNF598 OS=Homo sapiens OX=9606 GN=ZNF598 PE=1 SV=1
+TIIRAIAQLSPFDDDRAAHLAQHSSADGHALVQQCTPCVRCDLGAQQTTAQWASKKNKKSKTSLPKERNCFDTHASLLEQQKATDPLLVLLENFVKQFNEGLLDRCSKYYQAASILGQRFEGSHSKFESFRAEDSQLFDRISQILQLNRERFNEPVLYARPAPLLRPAKTTTTTAPSPVCAPHPSPLLGSFGPPPKSIPPVLGPPPPPPTGKLLVVASFGPPPPMRPPCPGGLAPFDEQPTPCPFSGLPRPLGPPEKPASRAPAPGETHGNVVVAVPGEARSAPAEPAQLAGPPCTAPPPQPLTTGPKESGVKKKKGVKSPQISALGLTSSVSGTPRTSLLEQLAPGGDEEEEQTFPPGGKRGGRSGKGAKRTPQGSGTAQASLPPQAVKKSSSSSNWASVLSTPATGPKPVSSVLAPFDEEQFASRGRAPIAYPLALGVPGPTAATSCSSSTSASLSPFDEDKLKPSPPPLAGPVGVCGPAAPGTVSFAEQSVPGNTSTEKPGPGEGQTRPSRRPGRPDEPGRAAAEEKKPRGGEEQDESRRAEEQQQAAVSARVAAAVERDEEERKYRWSGRRSQQAGRTGARAVRGQRSYRDVEEYDEGGVVGENRRSHRPAYSFQLDIHRNQRAEARSRSHCATRHAKLDIETRFAHTFQETSCRGEECLFHKERFHERLYAYDSYYDQAGDSDCFHCFYHDRRLHKLLEDNDLYREDCFKCLPHGRHSTDDPDGQMRHRALDKRSYWKREYTFIQLHQLCLRCCFLEHQRRMHQELDGFLSFPPLEPCRPCEHQLLQRYLAYVKGDAFYIDYKKEHQLQHIPITAFAPLKKGFVVQRLEERCVACYRQECLVRMKTSCRYCVPHDCRGLATAELDGCCLVCSGGGREPAAAAAAELAARRGEAGGAAAM
+>sp|Q96SK3|ZN607_HUMAN Zinc finger protein 607 OS=Homo sapiens OX=9606 GN=ZNF607 PE=1 SV=3
+MSYGSITFGDVAIDFSHQEWEYLSLVQKTLYQEVMMENYDNLVSLAGHSVSKPDLITLLEQGKEPWMIVREETRGECTDLDSRCEIISDGKMQLYRKHSCVTLHQRIHNGQKPYECKQCQKSFSHLTELMVHQTIHTSEEPDQCEKFRKAFSHLTDLRKHQKINAREKPYECEECGKVFSYPANLAQHGKVHVEKPYECKECGEAFRTSRQLTVHHRFHYGEKPYECKECGKAFSVYGRLSRHQSIHTGEKPFECNKCGKSFRLKAGLKVHQSIHTGEKPHECKECGKAFRQFSHLVGHKRIHTGEKPYECKECGKGFTCRYQLTMHQRIYSGEKHYECKENGEAFSSGHQLTAPHTFESVEKPYKCEECGKAFSVHGRLTRHQGIHSGKKPYECNKCGKSFRLNSSLKIHQNIHTGEKPYKCKECGKAFSQRAHLAHHNRIHTGYKPFECKECGKSFRCASYLVIHERIHTGEKPYVCQECGKGFSYSHKLTIHRRVHTGEKPYECKECGKAFSVSGQLTQHLSIHSGKKPFECNKCGKSFRFISVLKAHQNIHSAEKPYECKECGKAFRHATSLIYHDRTHAGEKSYECKECGETFSHASHLIIHERIHTSDKPYECKRCGKAFHCASYLVRHESVHADGNPYMCEECGKAFNSSHELSIHHRVHTGEKPFKCNKCRRSFRLRSILEVHQRIHI
+>DECOY_sp|Q96SK3|ZN607_HUMAN Zinc finger protein 607 OS=Homo sapiens OX=9606 GN=ZNF607 PE=1 SV=3
+IHIRQHVELISRLRFSRRCKNCKFPKEGTHVRHHISLEHSSNFAKGCEECMYPNGDAHVSEHRVLYSACHFAKGCRKCEYPKDSTHIREHIILHSAHSFTEGCEKCEYSKEGAHTRDHYILSTAHRFAKGCEKCEYPKEASHINQHAKLVSIFRFSKGCKNCEFPKKGSHISLHQTLQGSVSFAKGCEKCEYPKEGTHVRRHITLKHSYSFGKGCEQCVYPKEGTHIREHIVLYSACRFSKGCEKCEFPKYGTHIRNHHALHARQSFAKGCEKCKYPKEGTHINQHIKLSSNLRFSKGCKNCEYPKKGSHIGQHRTLRGHVSFAKGCEECKYPKEVSEFTHPATLQHGSSFAEGNEKCEYHKEGSYIRQHMTLQYRCTFGKGCEKCEYPKEGTHIRKHGVLHSFQRFAKGCEKCEHPKEGTHISQHVKLGAKLRFSKGCKNCEFPKEGTHISQHRSLRGYVSFAKGCEKCEYPKEGYHFRHHVTLQRSTRFAEGCEKCEYPKEVHVKGHQALNAPYSFVKGCEECEYPKERANIKQHKRLDTLHSFAKRFKECQDPEESTHITQHVMLETLHSFSKQCQKCEYPKQGNHIRQHLTVCSHKRYLQMKGDSIIECRSDLDTCEGRTEERVIMWPEKGQELLTILDPKSVSHGALSVLNDYNEMMVEQYLTKQVLSLYEWEQHSFDIAVDGFTISGYSM
+>sp|O15014|ZN609_HUMAN Zinc finger protein 609 OS=Homo sapiens OX=9606 GN=ZNF609 PE=1 SV=2
+MSLSSGASGGKGVDANPVETYDSGDEWDIGVGNLIIDLDADLEKDQQKLEMSGSKEVGIPAPNAVATLPDNIKFVTPVPGPQGKEGKSKSKRSKSGKDTSKPTPGTSLFTPSEGAASKKEVQGRSGDGANAGGLVAAIAPKGSEKAAKASRSVAGSKKEKENSSSKSKKERSEGVGTCSEKDPGVLQPVPLGGRGGQYDGSAGVDTGAVEPLGSIAIEPGAALNPLGTKPEPEEGENECRLLKKVKSEKMESPVSTPAVLPIHLLVPVVNNDISSPCEQIMVRTRSVGVNTCDVALATEPECLGPCEPGTSVNLEGIVWQETEDGMLVVNVTWRNKTYVGTLLDCTRHDWAPPRFCDSPTSDLEMRNGRGRGKRMRPNSNTPVNETATASDSKGTSNSSKTRAGANSKGRRGSQNSSEHRPPASSTSEDVKASPSSANKRKNKPLSDMELNSSSEDSKGSKRVRTNSMGSATGPLPGTKVEPTVLDRNCPSPVLIDCPHPNCNKKYKHINGLKYHQAHAHTDDDSKPEADGDSEYGEEPILHADLGSCNGASVSQKGSLSPARSATPKVRLVEPHSPSPSSKFSTKGLCKKKLSGEGDTDLGALSNDGSDDGPSVMDETSNDAFDSLERKCMEKEKCKKPSSLKPEKIPSKSLKSARPIAPAIPPQQIYTFQTATFTAASPGSSSGLTATVAQAMPNSPQLKPIQPKPTVMGEPFTVNPALTPAKDKKKKDKKKKESSKELESPLTPGKVCRAEEGKSPFRESSGDGMKMEGLLNGSSDPHQSRLASIKAEADKIYSFTDNAPSPSIGGSSRLENTTPTQPLTPLHVVTQNGAEASSVKTNSPAYSDISDAGEDGEGKVDSVKSKDAEQLVKEGAKKTLFPPQPQSKDSPYYQGFESYYSPSYAQSSPGALNPSSQAGVESQALKTKRDEEPESIEGKVKNDICEEKKPELSSSSQQPSVIQQRPNMYMQSLYYNQYAYVPPYGYSDQSYHTHLLSTNTAYRQQYEEQQKRQSLEQQQRGVDKKAEMGLKEREAALKEEWKQKPSIPPTLTKAPSLTDLVKSGPGKAKEPGADPAKSVIIPKLDDSSKLPGQAPEGLKVKLSDASHLSKEASEAKTGAECGRQAEMDPILWYRQEAEPRMWTYVYPAKYSDIKSEDERWKEERDRKLKEERSRSKDSVPKEDGKESTSSDCKLPTSEESRLGSKEPRPSVHVPVSSPLTQHQSYIPYMHGYSYSQSYDPNHPSYRSMPAVMMQNYPGSYLPSSYSFSPYGSKVSGGEDADKARASPSVTCKSSSESKALDILQQHASHYKSKSPTISDKTSQERDRGGCGVVGGGGSCSSVGGASGGERSVDRPRTSPSQRLMSTHHHHHHLGYSLLPAQYNLPYAAGLSSTAIVASQQGSTPSLYPPPRR
+>DECOY_sp|O15014|ZN609_HUMAN Zinc finger protein 609 OS=Homo sapiens OX=9606 GN=ZNF609 PE=1 SV=2
+RRPPPYLSPTSGQQSAVIATSSLGAAYPLNYQAPLLSYGLHHHHHHTSMLRQSPSTRPRDVSREGGSAGGVSSCSGGGGVVGCGGRDREQSTKDSITPSKSKYHSAHQQLIDLAKSESSSKCTVSPSARAKDADEGGSVKSGYPSFSYSSPLYSGPYNQMMVAPMSRYSPHNPDYSQSYSYGHMYPIYSQHQTLPSSVPVHVSPRPEKSGLRSEESTPLKCDSSTSEKGDEKPVSDKSRSREEKLKRDREEKWREDESKIDSYKAPYVYTWMRPEAEQRYWLIPDMEAQRGCEAGTKAESAEKSLHSADSLKVKLGEPAQGPLKSSDDLKPIIVSKAPDAGPEKAKGPGSKVLDTLSPAKTLTPPISPKQKWEEKLAAEREKLGMEAKKDVGRQQQELSQRKQQEEYQQRYATNTSLLHTHYSQDSYGYPPVYAYQNYYLSQMYMNPRQQIVSPQQSSSSLEPKKEECIDNKVKGEISEPEEDRKTKLAQSEVGAQSSPNLAGPSSQAYSPSYYSEFGQYYPSDKSQPQPPFLTKKAGEKVLQEADKSKVSDVKGEGDEGADSIDSYAPSNTKVSSAEAGNQTVVHLPTLPQTPTTNELRSSGGISPSPANDTFSYIKDAEAKISALRSQHPDSSGNLLGEMKMGDGSSERFPSKGEEARCVKGPTLPSELEKSSEKKKKDKKKKDKAPTLAPNVTFPEGMVTPKPQIPKLQPSNPMAQAVTATLGSSSGPSAATFTATQFTYIQQPPIAPAIPRASKLSKSPIKEPKLSSPKKCKEKEMCKRELSDFADNSTEDMVSPGDDSGDNSLAGLDTDGEGSLKKKCLGKTSFKSSPSPSHPEVLRVKPTASRAPSLSGKQSVSAGNCSGLDAHLIPEEGYESDGDAEPKSDDDTHAHAQHYKLGNIHKYKKNCNPHPCDILVPSPCNRDLVTPEVKTGPLPGTASGMSNTRVRKSGKSDESSSNLEMDSLPKNKRKNASSPSAKVDESTSSAPPRHESSNQSGRRGKSNAGARTKSSNSTGKSDSATATENVPTNSNPRMRKGRGRGNRMELDSTPSDCFRPPAWDHRTCDLLTGVYTKNRWTVNVVLMGDETEQWVIGELNVSTGPECPGLCEPETALAVDCTNVGVSRTRVMIQECPSSIDNNVVPVLLHIPLVAPTSVPSEMKESKVKKLLRCENEGEEPEPKTGLPNLAAGPEIAISGLPEVAGTDVGASGDYQGGRGGLPVPQLVGPDKESCTGVGESREKKSKSSSNEKEKKSGAVSRSAKAAKESGKPAIAAVLGGANAGDGSRGQVEKKSAAGESPTFLSTGPTPKSTDKGSKSRKSKSKGEKGQPGPVPTVFKINDPLTAVANPAPIGVEKSGSMELKQQDKELDADLDIILNGVGIDWEDGSDYTEVPNADVGKGGSAGSSLSM
+>sp|O15015|ZN646_HUMAN Zinc finger protein 646 OS=Homo sapiens OX=9606 GN=ZNF646 PE=1 SV=1
+MEDTPPSLSCSDCQRHFPSLPELSRHRELLHPSPNQDSEEADSIPRPYRCQQCGRGYRHPGSLVNHRRTHETGLFPCTTCGKDFSNPMALKSHMRTHAPEGRRRHRPPRPKEATPHLQGETVSTDSWGQRLGSSEGWENQTKHTEETPDCESVPDPRAASGTWEDLPTRQREGLASHPGPEDGADGWGPSTNSARAPPLPIPASSLLSNLEQYLAESVVNFTGGQEPTQSPPAEEERRYKCSQCGKTYKHAGSLTNHRQSHTLGIYPCAICFKEFSNLMALKNHSRLHAQYRPYHCPHCPRVFRLPRELLEHQQSHEGERQEPRWEEKGMPTTNGHTDESSQDQLPSAQMLNGSAELSTSGELEDSGLEEYRPFRCGDCGRTYRHAGSLINHRKSHQTGVYPCSLCSKQLFNAAALKNHVRAHHRPRQGVGENGQPSVPPAPLLLAETTHKEEEDPTTTLDHRPYKCSECGRAYRHRGSLVNHRHSHRTGEYQCSLCPRKYPNLMALRNHVRVHCKAARRSADIGAEGAPSHLKVELPPDPVEAEAAPHTDQDHVCKHEEEATDITPAADKTAAHICSICGLLFEDAESLERHGLTHGAGEKENSRTETTMSPPRAFACRDCGKSYRHSGSLINHRQTHQTGDFSCGACAKHFHTMAAMKNHLRRHSRRRSRRHRKRAGGASGGREAKLLAAESWTRELEDNEGLESPQDPSGESPHGAEGNLESDGDCLQAESEGDKCGLERDETHFQGDKESGGTGEGLERKDASLLDNLDIPGEEGGGTHFCDSLTGVDEDQKPATGQPNSSSHSANAVTGWQAGAAHTCSDCGHSFPHATGLLSHRPCHPPGIYQCSLCPKEFDSLPALRSHFQNHRPGEATSAQPFLCCLCGMIFPGRAGYRLHRRQAHSSSGMTEGSEEEGEEEGVAEAAPARSPPLQLSEAELLNQLQREVEALDSAGYGHICGCCGQTYDDLGSLERHHQSQSSGTTADKAPSPLGVAGDAMEMVVDSVLEDIVNSVSGEGGDAKSQEGAGTPLGDSLCIQGGESLLEAQPRPFRCNQCGKTYRHGGSLVNHRKIHQTGDFLCPVCSRCYPNLAAYRNHLRNHPRCKGSEPQVGPIPEAAGSSELQVGPIPEGGSNKPQHMAEEGPGQAEVEKLQEELKVEPLEEVARVKEEVWEETTVKGEEIEPRLETAEKGCQTEASSERPFSCEVCGRSYKHAGSLINHRQSHQTGHFGCQACSKGFSNLMSLKNHRRIHADPRRFRCSECGKAFRLRKQLASHQRVHMERRGGGGTRKATREDRPFRCGQCGRTYRHAGSLLNHRRSHETGQYSCPTCPKTYSNRMALKDHQRLHSENRRRRAGRSRRTAVRCALCGRSFPGRGSLERHLREHEETEREPANGQGGLDGTAASEANLTGSQGLETQLGGAEPVPHLEDGVPRPGERSQSPIRAASSEAPEPLSWGAGKAGGWPVGGGLGNHSGGWVPQFLTRSEEPEDSVHRSPCHAGDCQLNGPTLSHMDSWDNRDNSSQLQPGSHSSCSQCGKTYCQSGSLLNHNTNKTDRHYCLLCSKEFLNPVATKSHSHNHIDAQTFACPDCGKAFESHQELASHLQAHARGHSQVPAQMEEARDPKAGTGEDQVVLPGQGKAQEAPSETPRGPGESVERARGGQAVTSMAAEDKERPFRCTQCGRSYRHAGSLLNHQKAHTTGLYPCSLCPKLLPNLLSLKNHSRTHTDPKRHCCSICGKAFRTAARLEGHGRVHAPREGPFTCPHCPRHFRRRISFVQHQQQHQEEWTVAGSGRGHEGSQEEVGTQWRGKSSPKVGGGARSERREPRGF
+>DECOY_sp|O15015|ZN646_HUMAN Zinc finger protein 646 OS=Homo sapiens OX=9606 GN=ZNF646 PE=1 SV=1
+FGRPERRESRAGGGVKPSSKGRWQTGVEEQSGEHGRGSGAVTWEEQHQQQHQVFSIRRRFHRPCHPCTFPGERPAHVRGHGELRAATRFAKGCISCCHRKPDTHTRSHNKLSLLNPLLKPCLSCPYLGTTHAKQHNLLSGAHRYSRGCQTCRFPREKDEAAMSTVAQGGRAREVSEGPGRPTESPAEQAKGQGPLVVQDEGTGAKPDRAEEMQAPVQSHGRAHAQLHSALEQHSEFAKGCDPCAFTQADIHNHSHSKTAVPNLFEKSCLLCYHRDTKNTNHNLLSGSQCYTKGCQSCSSHSGPQLQSSNDRNDWSDMHSLTPGNLQCDGAHCPSRHVSDEPEESRTLFQPVWGGSHNGLGGGVPWGGAKGAGWSLPEPAESSAARIPSQSREGPRPVGDELHPVPEAGGLQTELGQSGTLNAESAATGDLGGQGNAPERETEEHERLHRELSGRGPFSRGCLACRVATRRSRGARRRRNESHLRQHDKLAMRNSYTKPCTPCSYQGTEHSRRHNLLSGAHRYTRGCQGCRFPRDERTAKRTGGGGRREMHVRQHSALQKRLRFAKGCESCRFRRPDAHIRRHNKLSMLNSFGKSCAQCGFHGTQHSQRHNILSGAHKYSRGCVECSFPRESSAETQCGKEATELRPEIEEGKVTTEEWVEEKVRAVEELPEVKLEEQLKEVEAQGPGEEAMHQPKNSGGEPIPGVQLESSGAAEPIPGVQPESGKCRPHNRLHNRYAALNPYCRSCVPCLFDGTQHIKRHNVLSGGHRYTKGCQNCRFPRPQAELLSEGGQICLSDGLPTGAGEQSKADGGEGSVSNVIDELVSDVVMEMADGAVGLPSPAKDATTGSSQSQHHRELSGLDDYTQGCCGCIHGYGASDLAEVERQLQNLLEAESLQLPPSRAPAAEAVGEEEGEEESGETMGSSSHAQRRHLRYGARGPFIMGCLCCLFPQASTAEGPRHNQFHSRLAPLSDFEKPCLSCQYIGPPHCPRHSLLGTAHPFSHGCDSCTHAAGAQWGTVANASHSSSNPQGTAPKQDEDVGTLSDCFHTGGGEEGPIDLNDLLSADKRELGEGTGGSEKDGQFHTEDRELGCKDGESEAQLCDGDSELNGEAGHPSEGSPDQPSELGENDELERTWSEAALLKAERGGSAGGARKRHRRSRRRSHRRLHNKMAAMTHFHKACAGCSFDGTQHTQRHNILSGSHRYSKGCDRCAFARPPSMTTETRSNEKEGAGHTLGHRELSEADEFLLGCISCIHAATKDAAPTIDTAEEEHKCVHDQDTHPAAEAEVPDPPLEVKLHSPAGEAGIDASRRAAKCHVRVHNRLAMLNPYKRPCLSCQYEGTRHSHRHNVLSGRHRYARGCESCKYPRHDLTTTPDEEEKHTTEALLLPAPPVSPQGNEGVGQRPRHHARVHNKLAAANFLQKSCLSCPYVGTQHSKRHNILSGAHRYTRGCDGCRFPRYEELGSDELEGSTSLEASGNLMQASPLQDQSSEDTHGNTTPMGKEEWRPEQREGEHSQQHELLERPLRFVRPCHPCHYPRYQAHLRSHNKLAMLNSFEKFCIACPYIGLTHSQRHNTLSGAHKYTKGCQSCKYRREEEAPPSQTPEQGGTFNVVSEALYQELNSLLSSAPIPLPPARASNTSPGWGDAGDEPGPHSALGERQRTPLDEWTGSAARPDPVSECDPTEETHKTQNEWGESSGLRQGWSDTSVTEGQLHPTAEKPRPPRHRRRGEPAHTRMHSKLAMPNSFDKGCTTCPFLGTEHTRRHNVLSGPHRYGRGCQQCRYPRPISDAEESDQNPSPHLLERHRSLEPLSPFHRQCDSCSLSPPTDEM
+>sp|Q8IZM8|ZN654_HUMAN Zinc finger protein 654 OS=Homo sapiens OX=9606 GN=ZNF654 PE=2 SV=3
+MLCNKEFLGGHIVRHAQAHQKKGSFACVICGRKFRNRGLMQKHLKNHVKKIQRQQIAAAQQDDQEVTALEEINCSSSSISFENGNSDSKDLEVETLTASSEGNKEVIPEHVAEFIEIPISVPEDVIENVIENGSPNNSLNNVFKPLTECGDDYEEEEDEEGDYEEDDYDLNQETSVIHKINGTVCHPKDIYATDQEGNFKCPALGCVRIFKRIGFLNKHAMTVHPTDLNVRQTVMKWSKGKCKFCQRQFEDSQHFIDHLNRHSYPNVYFCLHFNCNESFKLPFQLAQHTKSHRIFQAQCSFPECHELFEDLPLLYEHEAQHYLSKTPESSAQPSETILWDVQTDSNPNQEKDSSSNEKQTISLPVSTSKSRKESTEPKTCIESMEKKTDSLVQNGNERSDDTVSNISLIDQKMPDIEPNSENNCSSSDIVNGHSEIEQTPLVSSDPALKIDTNRIRTENGSILPSVVPQEHNTLPVSQAPSKPNLTSEHTSYGLILTKPYVRPLPPSYLDERYLSMPKRRKFLTDRVDACSDQDNVYKKSVKRLRCGKCLTTYCNAEALEAHLAQKKCQTLFGFDSDDESA
+>DECOY_sp|Q8IZM8|ZN654_HUMAN Zinc finger protein 654 OS=Homo sapiens OX=9606 GN=ZNF654 PE=2 SV=3
+ASEDDSDFGFLTQCKKQALHAELAEANCYTTLCKGCRLRKVSKKYVNDQDSCADVRDTLFKRRKPMSLYREDLYSPPLPRVYPKTLILGYSTHESTLNPKSPAQSVPLTNHEQPVVSPLISGNETRIRNTDIKLAPDSSVLPTQEIESHGNVIDSSSCNNESNPEIDPMKQDILSINSVTDDSRENGNQVLSDTKKEMSEICTKPETSEKRSKSTSVPLSITQKENSSSDKEQNPNSDTQVDWLITESPQASSEPTKSLYHQAEHEYLLPLDEFLEHCEPFSCQAQFIRHSKTHQALQFPLKFSENCNFHLCFYVNPYSHRNLHDIFHQSDEFQRQCFKCKGKSWKMVTQRVNLDTPHVTMAHKNLFGIRKFIRVCGLAPCKFNGEQDTAYIDKPHCVTGNIKHIVSTEQNLDYDDEEYDGEEDEEEEYDDGCETLPKFVNNLSNNPSGNEIVNEIVDEPVSIPIEIFEAVHEPIVEKNGESSATLTEVELDKSDSNGNEFSISSSSCNIEELATVEQDDQQAAAIQQRQIKKVHNKLHKQMLGRNRFKRGCIVCAFSGKKQHAQAHRVIHGGLFEKNCLM
+>sp|Q6AZW8|ZN660_HUMAN Zinc finger protein 660 OS=Homo sapiens OX=9606 GN=ZNF660 PE=1 SV=1
+MRRKTRNFKHKTVKDNKVLTEGSDQESEKDNSQCCDPATNERVQAEKRQYVCTECGKAFSQSANLTVHERIHTGEKPYKCKECGKAFSHSSNLVVHRRIHTGLKPYTCSECGKSFSGKSHLIRHQGIHSGEKTYECKECGKAFSRSSGLISHHRVHTGEKPYSCIECGKAFSRSSNLTQHQRMHRGKKVYKCKECGKTCGSNTKIMDHQRIHTGEKPYECDECGKTFILRKTLNEHQRLHRREKPYKCNECGKAFTSNRNLVDHQRVHTGEKPYKCNECGKTFRQTSQVILHLRTHTKEKPYKCSECGKAYRYSSQLIQHQRKHNEEKETS
+>DECOY_sp|Q6AZW8|ZN660_HUMAN Zinc finger protein 660 OS=Homo sapiens OX=9606 GN=ZNF660 PE=1 SV=1
+STEKEENHKRQHQILQSSYRYAKGCESCKYPKEKTHTRLHLIVQSTQRFTKGCENCKYPKEGTHVRQHDVLNRNSTFAKGCENCKYPKERRHLRQHENLTKRLIFTKGCEDCEYPKEGTHIRQHDMIKTNSGCTKGCEKCKYVKKGRHMRQHQTLNSSRSFAKGCEICSYPKEGTHVRHHSILGSSRSFAKGCEKCEYTKEGSHIGQHRILHSKGSFSKGCESCTYPKLGTHIRRHVVLNSSHSFAKGCEKCKYPKEGTHIREHVTLNASQSFAKGCETCVYQRKEAQVRENTAPDCCQSNDKESEQDSGETLVKNDKVTKHKFNRTKRRM
+>sp|Q8N3J9|ZN664_HUMAN Zinc finger protein 664 OS=Homo sapiens OX=9606 GN=ZNF664 PE=1 SV=1
+MIYKCPMCREFFSERADLFMHQKIHTAEKPHKCDKCDKGFFHISELHIHWRDHTGEKVYKCDDCGKDFSTTTKLNRHKKIHTVEKPYKCYECGKAFNWSSHLQIHMRVHTGEKPYVCSECGRGFSNSSNLCMHQRVHTGEKPFKCEECGKAFRHTSSLCMHQRVHTGEKPYKCYECGKAFSQSSSLCIHQRVHTGEKPYRCCGCGKAFSQSSSLCIHQRVHTGEKPFKCDECGKAFSQSTSLCIHQRVHTKERNHLKISVI
+>DECOY_sp|Q8N3J9|ZN664_HUMAN Zinc finger protein 664 OS=Homo sapiens OX=9606 GN=ZNF664 PE=1 SV=1
+IVSIKLHNREKTHVRQHICLSTSQSFAKGCEDCKFPKEGTHVRQHICLSSSQSFAKGCGCCRYPKEGTHVRQHICLSSSQSFAKGCEYCKYPKEGTHVRQHMCLSSTHRFAKGCEECKFPKEGTHVRQHMCLNSSNSFGRGCESCVYPKEGTHVRMHIQLHSSWNFAKGCEYCKYPKEVTHIKKHRNLKTTTSFDKGCDDCKYVKEGTHDRWHIHLESIHFFGKDCKDCKHPKEATHIKQHMFLDARESFFERCMPCKYIM
+>sp|Q96BR6|ZN669_HUMAN Zinc finger protein 669 OS=Homo sapiens OX=9606 GN=ZNF669 PE=2 SV=2
+MVSGLRLASRSGEEGWLKPAVARLGPPRHRLRNLRTESPWRSRGSVLFCSGPGRAGRAAEPLHPVCTCGRHFRRPEPCREPLASPIQDSVAFEDVAVNFTQEEWALLDSSQKNLYREVMQETCRNLASVGSQWKDQNIEDHFEKPGKDIRNHIVQRLCESKEDGQYGEVVSQIPNLDLNENISTGLKPCECSICGKVFVRHSLLNRHILAHSGYKPYGEKQYKCEQCGKFFVSVPGVRRHMIMHSGNPAYKCTICGKAFYFLNSVERHQRTHTGEKPYKCKQCGKAFTVSGSCLIHERTHTGEKPYECKECGKTFRFSCSFKTHERTHTGERPYKCTKCDKAFSCSTSLRYHGSIHTGERPYECKQCGKAFSRLSSLCNHRSTHTGEKPYECKQCDQAFSRLSSLHLHERIHTGEKPYECKKCGKAYTRSSHLTRHERSHDIEAGCSDSAYNPSTLGGQGVWIA
+>DECOY_sp|Q96BR6|ZN669_HUMAN Zinc finger protein 669 OS=Homo sapiens OX=9606 GN=ZNF669 PE=2 SV=2
+AIWVGQGGLTSPNYASDSCGAEIDHSREHRTLHSSRTYAKGCKKCEYPKEGTHIREHLHLSSLRSFAQDCQKCEYPKEGTHTSRHNCLSSLRSFAKGCQKCEYPREGTHISGHYRLSTSCSFAKDCKTCKYPREGTHTREHTKFSCSFRFTKGCEKCEYPKEGTHTREHILCSGSVTFAKGCQKCKYPKEGTHTRQHREVSNLFYFAKGCITCKYAPNGSHMIMHRRVGPVSVFFKGCQECKYQKEGYPKYGSHALIHRNLLSHRVFVKGCISCECPKLGTSINENLDLNPIQSVVEGYQGDEKSECLRQVIHNRIDKGPKEFHDEINQDKWQSGVSALNRCTEQMVERYLNKQSSDLLAWEEQTFNVAVDEFAVSDQIPSALPERCPEPRRFHRGCTCVPHLPEAARGARGPGSCFLVSGRSRWPSETRLNRLRHRPPGLRAVAPKLWGEEGSRSALRLGSVM
+>sp|Q499Z4|ZN672_HUMAN Zinc finger protein 672 OS=Homo sapiens OX=9606 GN=ZNF672 PE=2 SV=2
+MFATSGAVAAGKPYSCSECGKSFCYSSVLLRHERAHGGDGRFRCLECGERCARAADLRAHRRTHAGQTLYICSECGQSFRHSGRLDLHLGAHRQRCRTCPCRTCGRRFPHLPALLLHRRRQHLPERPRRCPLCARTFRQSALLFHQARAHPLGTTSDPAAPPHRCAQCPRAFRSGAGLRSHARIHVSRSPTRPRVSDAHQCGVCGKCFGKSSTLTRHLQTHSGEKPFKCPECGKGFLESATLVRHQRTHTGEKPYACGDCGRCFSESSTLLRHRRSHQGERPHACATCGKGFGQRSDLVVHQRIHTGEKPFACPECGRRFSDRSDLTKHRRTHTGEKPYRCELCGKRFTCVSNLNVHRRNHAGHKPHKCPECSKAFSVASKLALHRKTHLGERPAECAECGKCFSHSRSLSQHQRAHTRARTAAAVAIQSAVGTALVFEGPAEQEKPGFSVS
+>DECOY_sp|Q499Z4|ZN672_HUMAN Zinc finger protein 672 OS=Homo sapiens OX=9606 GN=ZNF672 PE=2 SV=2
+SVSFGPKEQEAPGEFVLATGVASQIAVAAATRARTHARQHQSLSRSHSFCKGCEACEAPREGLHTKRHLALKSAVSFAKSCEPCKHPKHGAHNRRHVNLNSVCTFRKGCLECRYPKEGTHTRRHKTLDSRDSFRRGCEPCAFPKEGTHIRQHVVLDSRQGFGKGCTACAHPREGQHSRRHRLLTSSESFCRGCDGCAYPKEGTHTRQHRVLTASELFGKGCEPCKFPKEGSHTQLHRTLTSSKGFCKGCVGCQHADSVRPRTPSRSVHIRAHSRLGAGSRFARPCQACRHPPAAPDSTTGLPHARAQHFLLASQRFTRACLPCRRPREPLHQRRRHLLLAPLHPFRRGCTRCPCTRCRQRHAGLHLDLRGSHRFSQGCESCIYLTQGAHTRRHARLDAARACREGCELCRFRGDGGHAREHRLLVSSYCFSKGCESCSYPKGAAVAGSTAFM
+>sp|Q86XU0|ZN677_HUMAN Zinc finger protein 677 OS=Homo sapiens OX=9606 GN=ZNF677 PE=2 SV=1
+MALSQGLFTFKDVAIEFSQEEWECLDPAQRALYRDVMLENYRNLLSLDEDNIPPEDDISVGFTSKGLSPKENNKEELYHLVILERKESHGINNFDLKEVWENMPKFDSLWDYDVKNYKGMPLTCNKNLTHRKDQQHNKSSIHFSLKQSVSIRDSAHQYFIHDKPFIRNLLKLKNNIRYAGNKYVKCFENKIGLSLQAQLAELQRFQTGEKMYECNPVEKSINSSSVSPLPPCVKNICNKYRKILKYPLLHTQYGRTHIREKSYKCNDCGKAFSKSSNLTNHQRIHSGQRPYKCNECGKAFNQCSNLTRHQRVHTGEKPYQCNICGKVCSQNSNLASHQRMHTGEKPYKCNECGKAFIQRSHLWGHERIHTGEKPYKCNECDKAFAERSSLTQHKRIHTGEKPYICNECGKAFKQCSHLTRHQNIHPGEKPHKCNVCGRAFIQSSSLVEHQRIHTGEKPYKCNKCDKAFIKRSHLWGHQRTHTGEKPYKCTECGKAFTERSNLTQHKKIHTGEKPYKCTECGKAFTQFANLTRHQKIHIEKKHCKHNIHGNALFQSSNLGDHQKSYNREKHIKYNETKIKYSSCT
+>DECOY_sp|Q86XU0|ZN677_HUMAN Zinc finger protein 677 OS=Homo sapiens OX=9606 GN=ZNF677 PE=2 SV=1
+TCSSYKIKTENYKIHKERNYSKQHDGLNSSQFLANGHINHKCHKKEIHIKQHRTLNAFQTFAKGCETCKYPKEGTHIKKHQTLNSRETFAKGCETCKYPKEGTHTRQHGWLHSRKIFAKDCKNCKYPKEGTHIRQHEVLSSSQIFARGCVNCKHPKEGPHINQHRTLHSCQKFAKGCENCIYPKEGTHIRKHQTLSSREAFAKDCENCKYPKEGTHIREHGWLHSRQIFAKGCENCKYPKEGTHMRQHSALNSNQSCVKGCINCQYPKEGTHVRQHRTLNSCQNFAKGCENCKYPRQGSHIRQHNTLNSSKSFAKGCDNCKYSKERIHTRGYQTHLLPYKLIKRYKNCINKVCPPLPSVSSSNISKEVPNCEYMKEGTQFRQLEALQAQLSLGIKNEFCKVYKNGAYRINNKLKLLNRIFPKDHIFYQHASDRISVSQKLSFHISSKNHQQDKRHTLNKNCTLPMGKYNKVDYDWLSDFKPMNEWVEKLDFNNIGHSEKRELIVLHYLEEKNNEKPSLGKSTFGVSIDDEPPINDEDLSLLNRYNELMVDRYLARQAPDLCEWEEQSFEIAVDKFTFLGQSLAM
+>sp|Q5SXM1|ZN678_HUMAN Zinc finger protein 678 OS=Homo sapiens OX=9606 GN=ZNF678 PE=2 SV=1
+MGTISLCIGVCAFEGANTSTSFYKLVYTAILSYSIQDLLPEQDMKDLCQKVTLTRHRSWGLDNLHLVKDWRTVNEGKGQKEYCNRLTQCSSTKSKIFQCIECGRNFSWRSILTEHKRIHTGEKPYKCEECGKVFNRCSNLTKHKRIHTGEKPYKCDECGKVFNWWSQLTNHKKIHTGEKPYKCDECDKVFNWWSQLTSHKKIHSGEKPYPCEECGKAFTQFSNLTQHKRIHTGEKPYKCKECCKAFNKFSNLTQHKRIHTGEKPYKCEECGNVFNECSHLTRHRRIHTGEKPYKCEECGKAFTQFASLTRHKRIHTGEKPYQCEECGKTFNRCSHLSSHKRIHTGEKPYKCEECGRTFTQFSNLTQHKRIHTGEKPYKCKECGKAFNKFSSLTQHRRIHTGVKPYKCEECGKVFKQCSHLTSHKRIHTGEKPYKCKECGKAFYQSSILSKHKRIHTEEKPYKCEECGKAFNQFSSLTRHKRIHTGEKRYKCKECGKGFYQSSIHSKYKRIYTGEEPDKCKKCGSL
+>DECOY_sp|Q5SXM1|ZN678_HUMAN Zinc finger protein 678 OS=Homo sapiens OX=9606 GN=ZNF678 PE=2 SV=1
+LSGCKKCKDPEEGTYIRKYKSHISSQYFGKGCEKCKYRKEGTHIRKHRTLSSFQNFAKGCEECKYPKEETHIRKHKSLISSQYFAKGCEKCKYPKEGTHIRKHSTLHSCQKFVKGCEECKYPKVGTHIRRHQTLSSFKNFAKGCEKCKYPKEGTHIRKHQTLNSFQTFTRGCEECKYPKEGTHIRKHSSLHSCRNFTKGCEECQYPKEGTHIRKHRTLSAFQTFAKGCEECKYPKEGTHIRRHRTLHSCENFVNGCEECKYPKEGTHIRKHQTLNSFKNFAKCCEKCKYPKEGTHIRKHQTLNSFQTFAKGCEECPYPKEGSHIKKHSTLQSWWNFVKDCEDCKYPKEGTHIKKHNTLQSWWNFVKGCEDCKYPKEGTHIRKHKTLNSCRNFVKGCEECKYPKEGTHIRKHETLISRWSFNRGCEICQFIKSKTSSCQTLRNCYEKQGKGENVTRWDKVLHLNDLGWSRHRTLTVKQCLDKMDQEPLLDQISYSLIATYVLKYFSTSTNAGEFACVGICLSITGM
+>sp|Q8NEM1|ZN680_HUMAN Zinc finger protein 680 OS=Homo sapiens OX=9606 GN=ZNF680 PE=1 SV=2
+MPGPPGSLEMGPLTFRDVAIEFSLEEWQCLDTAQRNLYRKVMFENYRNLVFLGIAVSKPHLITCLEQGKEPWNRKRQEMVAKPPVIYSHFTEDLWPEHSIKDSFQKVILRGYGKCGHENLQLRISCKSVDESKVFKEGYNELNQCLRTTQSKIFQCDKYVKVFHKFSNSNSHKKRNTGKKVFKCKECGKSFCMLSHLTQHIRIHTRENSYKCEECGKVLNWFSELIKHKGIHMGEKPYKCEECGKAFNQSSTLIKHKKIHIEEKPFKCEECGKAFSLFSILSKHKIIHTGDKPYKCDECHKAFNWFATLTNHKRIHTGEKPFKCEECGKDFNQFSNLTKHKKIHTGEKPYKCEECGKAFNQFANLTRHKKIHTGEKSYKCEECGKAFIQSSNLTEHMRIHTGEKPYKCEECGKAFNGCSSLTRHKRIHTRENTYKCEECGKGFTLFSTLTNHKVIHTGEKSYKCDECGNVFNWPATLANHKRIHAREKPYKCEECGKAFNRSSHLTRHKKIHTGEKLYKPEKCDNNFDNT
+>DECOY_sp|Q8NEM1|ZN680_HUMAN Zinc finger protein 680 OS=Homo sapiens OX=9606 GN=ZNF680 PE=1 SV=2
+TNDFNNDCKEPKYLKEGTHIKKHRTLHSSRNFAKGCEECKYPKERAHIRKHNALTAPWNFVNGCEDCKYSKEGTHIVKHNTLTSFLTFGKGCEECKYTNERTHIRKHRTLSSCGNFAKGCEECKYPKEGTHIRMHETLNSSQIFAKGCEECKYSKEGTHIKKHRTLNAFQNFAKGCEECKYPKEGTHIKKHKTLNSFQNFDKGCEECKFPKEGTHIRKHNTLTAFWNFAKHCEDCKYPKDGTHIIKHKSLISFLSFAKGCEECKFPKEEIHIKKHKILTSSQNFAKGCEECKYPKEGMHIGKHKILESFWNLVKGCEECKYSNERTHIRIHQTLHSLMCFSKGCEKCKFVKKGTNRKKHSNSNSFKHFVKVYKDCQFIKSQTTRLCQNLENYGEKFVKSEDVSKCSIRLQLNEHGCKGYGRLIVKQFSDKISHEPWLDETFHSYIVPPKAVMEQRKRNWPEKGQELCTILHPKSVAIGLFVLNRYNEFMVKRYLNRQATDLCQWEELSFEIAVDRFTLPGMELSGPPGPM
+>sp|Q5T5D7|ZN684_HUMAN Zinc finger protein 684 OS=Homo sapiens OX=9606 GN=ZNF684 PE=2 SV=1
+MISFQESVTFQDVAVDFTAEEWQLLDCAERTLYWDVMLENYRNLISVGCPITKTKVILKVEQGQEPWMVEGANPHESSPESDYPLVDEPGKHRESKDNFLKSVLLTFNKILTMERIHHYNMSTSLNPMRKKSYKSFEKCLPPNLDLLKYNRSYTVENAYECSECGKAFKKKFHFIRHEKNHTRKKPFECNDCGKAYSRKAHLATHQKIHNGERPFVCNDCGKAFMHKAQLVVHQRLHTGEKPYECSQCGKTFTWNSSFNQHVKSHTLEKSFECKECGKTFRYSSSLYKHSRFHTGEKPYQCIICGKAFGNTSVLVTHQRIHTGEKPYSCIECGKAFIKKSHLLRHQITHTGEKPYECNRCGKAFSQKSNLIVHQKIHT
+>DECOY_sp|Q5T5D7|ZN684_HUMAN Zinc finger protein 684 OS=Homo sapiens OX=9606 GN=ZNF684 PE=2 SV=1
+THIKQHVILNSKQSFAKGCRNCEYPKEGTHTIQHRLLHSKKIFAKGCEICSYPKEGTHIRQHTVLVSTNGFAKGCIICQYPKEGTHFRSHKYLSSSYRFTKGCEKCEFSKELTHSKVHQNFSSNWTFTKGCQSCEYPKEGTHLRQHVVLQAKHMFAKGCDNCVFPREGNHIKQHTALHAKRSYAKGCDNCEFPKKRTHNKEHRIFHFKKKFAKGCESCEYANEVTYSRNYKLLDLNPPLCKEFSKYSKKRMPNLSTSMNYHHIREMTLIKNFTLLVSKLFNDKSERHKGPEDVLPYDSEPSSEHPNAGEVMWPEQGQEVKLIVKTKTIPCGVSILNRYNELMVDWYLTREACDLLQWEEATFDVAVDQFTVSEQFSIM
+>sp|P0C7X2|ZN688_HUMAN Zinc finger protein 688 OS=Homo sapiens OX=9606 GN=ZNF688 PE=2 SV=1
+MAPPPAPLLAPRPGETRPGCRKPGTVSFADVAVYFSPEEWGCLRPAQRALYRDVMQETYGHLGALGFPGPKPALISWMEQESEAWSPAAQDPEKGERLGGARRGDVPNRKEEEPEEVPRAKGPRKAPVKESPEVLVERNPDPAISVAPARAQPPKNAAWDPTTGAQPPAPIPSMDAQAGQRRHVCTDCGRRFTYPSLLVSHRRMHSGERPFPCPECGMRFKRKFAVEAHQWIHRSCSGGRRGRRPGIRAVPRAPVRGDRDPPVLFRHYPDIFEECG
+>DECOY_sp|P0C7X2|ZN688_HUMAN Zinc finger protein 688 OS=Homo sapiens OX=9606 GN=ZNF688 PE=2 SV=1
+GCEEFIDPYHRFLVPPDRDGRVPARPVARIGPRRGRRGGSCSRHIWQHAEVAFKRKFRMGCEPCPFPREGSHMRRHSVLLSPYTFRRGCDTCVHRRQGAQADMSPIPAPPQAGTTPDWAANKPPQARAPAVSIAPDPNREVLVEPSEKVPAKRPGKARPVEEPEEEKRNPVDGRRAGGLREGKEPDQAAPSWAESEQEMWSILAPKPGPFGLAGLHGYTEQMVDRYLARQAPRLCGWEEPSFYVAVDAFSVTGPKRCGPRTEGPRPALLPAPPPAM
+>sp|Q5VV52|ZN691_HUMAN Zinc finger protein 691 OS=Homo sapiens OX=9606 GN=ZNF691 PE=1 SV=1
+MSLCSPTHSAEMSLFLQGPEEMLPLSSEGSEMGSEKEQSPEPHLPEEGEGGKPWRVDDSEGSWIPPGEKEHGQESLSDELQETHPKKPWQKVTVRARELGDPIAHPRHEADEKPFICAQCGKTFNNTSNLRTHQRIHTGEKPYKCSECGKSFSRSSNRIRHERIHLEEKHYKCPKCQESFRRRSDLTTHQQDHLGKRPYRCDICGKSFSQSATLAVHHRTHLEPAPYICCECGKSFSNSSSFGVHHRTHTGERPYECTECGRTFSDISNFGAHQRTHRGEKPYRCTVCGKHFSRSSNLKPFIPLWRMVLYSL
+>DECOY_sp|Q5VV52|ZN691_HUMAN Zinc finger protein 691 OS=Homo sapiens OX=9606 GN=ZNF691 PE=1 SV=1
+LSYLVMRWLPIFPKLNSSRSFHKGCVTCRYPKEGRHTRQHAGFNSIDSFTRGCETCEYPREGTHTRHHVGFSSSNSFSKGCECCIYPAPELHTRHHVALTASQSFSKGCIDCRYPRKGLHDQQHTTLDSRRRFSEQCKPCKYHKEELHIREHRIRNSSRSFSKGCESCKYPKEGTHIRQHTRLNSTNNFTKGCQACIFPKEDAEHRPHAIPDGLERARVTVKQWPKKPHTEQLEDSLSEQGHEKEGPPIWSGESDDVRWPKGGEGEEPLHPEPSQEKESGMESGESSLPLMEEPGQLFLSMEASHTPSCLSM
+>sp|Q9H7X3|ZN696_HUMAN Zinc finger protein 696 OS=Homo sapiens OX=9606 GN=ZNF696 PE=1 SV=2
+MEPGGEPTGAKESSTLMESLAAVKAAFLAQAPSGSRSAEVQAAQSTEPAAEAGAPEGEGHRGGPPRALGSLGLCENQEARERPGGSPRGPVTSEKTGGQSGLESDVPPNAGPGAEGGGSWKGRPFPCGACGRSFKCSSDAAKHRSIHSGEKPYECSDCGKAFIHSSHVVRHQRAHSGERPYACAECGKAFGQSFNLLRHQRVHTGEKPYACADCGKAFGQRSDAAKHRRTHTGERLYACGECGKRFLHSSNVVRHRRTHHGENPYECRECGQAFSQSSNLLQHQRVHTGERPFACQDCGRAFSRSSFLREHRRIHTGEKPHQCGHCGRAFRALSGFFRHQRLHTGEKPFRCTECGRAFRLSFHLIQHRRVHGAE
+>DECOY_sp|Q9H7X3|ZN696_HUMAN Zinc finger protein 696 OS=Homo sapiens OX=9606 GN=ZNF696 PE=1 SV=2
+EAGHVRRHQILHFSLRFARGCETCRFPKEGTHLRQHRFFGSLARFARGCHGCQHPKEGTHIRRHERLFSSRSFARGCDQCAFPREGTHVRQHQLLNSSQSFAQGCERCEYPNEGHHTRRHRVVNSSHLFRKGCEGCAYLREGTHTRRHKAADSRQGFAKGCDACAYPKEGTHVRQHRLLNFSQGFAKGCEACAYPREGSHARQHRVVHSSHIFAKGCDSCEYPKEGSHISRHKAADSSCKFSRGCAGCPFPRGKWSGGGEAGPGANPPVDSELGSQGGTKESTVPGRPSGGPRERAEQNECLGLSGLARPPGGRHGEGEPAGAEAAPETSQAAQVEASRSGSPAQALFAAKVAALSEMLTSSEKAGTPEGGPEM
+>sp|Q9NV72|ZN701_HUMAN Zinc finger protein 701 OS=Homo sapiens OX=9606 GN=ZNF701 PE=1 SV=3
+MGFLHVGQDGLELPTSGDPPASASQSAGITGVSHRTQPPCFEGLTSKDLVREEKTRKRKRKAKESGMALLQGLLTFRDVAIEFSQEEWKCLDPAQRTLYRDVMLENYRNLVSLDTSSKCMMKMFSSTGQGNTEVVHTGTLQIHASHHIGDTCFQEIEKDIHDFVFQWQENETNGHEALMTKTKKLMSSTERHDQRHAGNKPIKNELGSSFHSHLPEVHIFHPEGKIGNQVEKAINDAFSVSASQRISCRPKTRISNKYRNNFLQSSLLTQKREVHTREKSFQRNESGKAFNGSSLLKKHQIIHLGDKQYKCDVCGKDFHQKRYLACHRCHTGENPYTCNECGKTFSHNSALLVHKAIHTGEKPYKCNECGKVFNQQSNLARHHRVHTGEKPYKCEECDKVFSRKSHLERHRRIHTGEKPYKCKVCDKAFRRDSHLAQHTVIHTGEKPYKCNECGKTFVQNSSLVMHKVIHTGEKRYKCNECGKVFNHKSNLACHRRLHTGEKPYKCNECGKVFNRKSNLERHHRLHTGKKS
+>DECOY_sp|Q9NV72|ZN701_HUMAN Zinc finger protein 701 OS=Homo sapiens OX=9606 GN=ZNF701 PE=1 SV=3
+SKKGTHLRHHRELNSKRNFVKGCENCKYPKEGTHLRRHCALNSKHNFVKGCENCKYRKEGTHIVKHMVLSSNQVFTKGCENCKYPKEGTHIVTHQALHSDRRFAKDCVKCKYPKEGTHIRRHRELHSKRSFVKDCEECKYPKEGTHVRHHRALNSQQNFVKGCENCKYPKEGTHIAKHVLLASNHSFTKGCENCTYPNEGTHCRHCALYRKQHFDKGCVDCKYQKDGLHIIQHKKLLSSGNFAKGSENRQFSKERTHVERKQTLLSSQLFNNRYKNSIRTKPRCSIRQSASVSFADNIAKEVQNGIKGEPHFIHVEPLHSHFSSGLENKIPKNGAHRQDHRETSSMLKKTKTMLAEHGNTENEQWQFVFDHIDKEIEQFCTDGIHHSAHIQLTGTHVVETNGQGTSSFMKMMCKSSTDLSVLNRYNELMVDRYLTRQAPDLCKWEEQSFEIAVDRFTLLGQLLAMGSEKAKRKRKRTKEERVLDKSTLGEFCPPQTRHSVGTIGASQSASAPPDGSTPLELGDQGVHLFGM
+>sp|P17039|ZNF30_HUMAN Zinc finger protein 30 OS=Homo sapiens OX=9606 GN=ZNF30 PE=2 SV=5
+MAHKYVGLQYHGSVTFEDVAIAFSQQEWESLDSSQRGLYRDVMLENYRNLVSMGHSRSKPHVIALLEQWKEPEVTVRKDGRRWCTDLQLEDDTIGCKEMPTSENCPSFALHQKISRQKPRECQEYGKTLCQDSKPVQHERIHSSEKPNRCKECGKNFSNGHQLTIHQRLHVGEKPYKYEKCGKAFISGSAFVKHGRIHTGEKPLKCKQCGKTISGSYQLTVHKSIHTGKKPYECGECGKAFLVYGKLTRHQSTHTGEKPFGCEECGKAFSTFSYLVQHQRIHTSEKPYECKECGKAFSTSSPLAKHQRIHTGEKPYECKECGKSFTVYGQLTRHQSIHTGEKPFECKECGKAFRLSSFLHAHQRIHAEIKPYGCKECGRTFSRASYLVQHGRLHTGEKPYECKECGKAFSTGSYLVQHQRIHTGEKPYECKECGKAFISRHQLTVHQRVHTGEKPYECKECGKAFRVHVHLTQHRKIHTDVKPYECKECGKTFSRASYLVQHSRIHTGKKPYECKECGKAFSSGSYLVQHQRIHTGEKPYECNKCGKAFTVYGQLIGHQSVHTGEKPFECKECGKAFRLNSFLTEHQRVHTGEKPFKCKKCGKTFRYSSALKVHLRKHMSVIP
+>DECOY_sp|P17039|ZNF30_HUMAN Zinc finger protein 30 OS=Homo sapiens OX=9606 GN=ZNF30 PE=2 SV=5
+PIVSMHKRLHVKLASSYRFTKGCKKCKFPKEGTHVRQHETLFSNLRFAKGCEKCEFPKEGTHVSQHGILQGYVTFAKGCKNCEYPKEGTHIRQHQVLYSGSSFAKGCEKCEYPKKGTHIRSHQVLYSARSFTKGCEKCEYPKVDTHIKRHQTLHVHVRFAKGCEKCEYPKEGTHVRQHVTLQHRSIFAKGCEKCEYPKEGTHIRQHQVLYSGTSFAKGCEKCEYPKEGTHLRGHQVLYSARSFTRGCEKCGYPKIEAHIRQHAHLFSSLRFAKGCEKCEFPKEGTHISQHRTLQGYVTFSKGCEKCEYPKEGTHIRQHKALPSSTSFAKGCEKCEYPKESTHIRQHQVLYSFTSFAKGCEECGFPKEGTHTSQHRTLKGYVLFAKGCEGCEYPKKGTHISKHVTLQYSGSITKGCQKCKLPKEGTHIRGHKVFASGSIFAKGCKEYKYPKEGVHLRQHITLQHGNSFNKGCEKCRNPKESSHIREHQVPKSDQCLTKGYEQCERPKQRSIKQHLAFSPCNESTPMEKCGITDDELQLDTCWRRGDKRVTVEPEKWQELLAIVHPKSRSHGMSVLNRYNELMVDRYLGRQSSDLSEWEQQSFAIAVDEFTVSGHYQLGVYKHAM
+>sp|P13682|ZNF35_HUMAN Zinc finger protein 35 OS=Homo sapiens OX=9606 GN=ZNF35 PE=1 SV=4
+MTAELREAMALAPWGPVKVKKEEEEEENFPGQASSQQVHSENIKVWAPVQGLQTGLDGSEEEEKGQNISWDMAVVLKATQEAPAASTLGSYSLPGTLAKSEILETHGTMNFLGAETKNLQLLVPKTEICEEAEKPLIISERIQKADPQGPELGEACEKGNMLKRQRIKREKKDFRQVIVNDCHLPESFKEEENQKCKKSGGKYSLNSGAVKNPKTQLGQKPFTCSVCGKGFSQSANLVVHQRIHTGEKPFECHECGKAFIQSANLVVHQRIHTGQKPYVCSKCGKAFTQSSNLTVHQKIHSLEKTFKCNECEKAFSYSSQLARHQKVHITEKCYECNECGKTFTRSSNLIVHQRIHTGEKPFACNDCGKAFTQSANLIVHQRSHTGEKPYECKECGKAFSCFSHLIVHQRIHTAEKPYDCSECGKAFSQLSCLIVHQRIHSGDLPYVCNECGKAFTCSSYLLIHQRIHNGEKPYTCNECGKAFRQRSSLTVHQRTHTGEKPYECEKCGAAFISNSHLMRHHRTHLVE
+>DECOY_sp|P13682|ZNF35_HUMAN Zinc finger protein 35 OS=Homo sapiens OX=9606 GN=ZNF35 PE=1 SV=4
+EVLHTRHHRMLHSNSIFAAGCKECEYPKEGTHTRQHVTLSSRQRFAKGCENCTYPKEGNHIRQHILLYSSCTFAKGCENCVYPLDGSHIRQHVILCSLQSFAKGCESCDYPKEATHIRQHVILHSFCSFAKGCEKCEYPKEGTHSRQHVILNASQTFAKGCDNCAFPKEGTHIRQHVILNSSRTFTKGCENCEYCKETIHVKQHRALQSSYSFAKECENCKFTKELSHIKQHVTLNSSQTFAKGCKSCVYPKQGTHIRQHVVLNASQIFAKGCEHCEFPKEGTHIRQHVVLNASQSFGKGCVSCTFPKQGLQTKPNKVAGSNLSYKGGSKKCKQNEEEKFSEPLHCDNVIVQRFDKKERKIRQRKLMNGKECAEGLEPGQPDAKQIRESIILPKEAEECIETKPVLLQLNKTEAGLFNMTGHTELIESKALTGPLSYSGLTSAAPAEQTAKLVVAMDWSINQGKEEEESGDLGTQLGQVPAWVKINESHVQQSSAQGPFNEEEEEEKKVKVPGWPALAMAERLEATM
+>sp|P51814|ZNF41_HUMAN Zinc finger protein 41 OS=Homo sapiens OX=9606 GN=ZNF41 PE=1 SV=2
+MAANGDSPPWSPALAAEGRGSSCEVRRERTPEARIHSVKRYPDLSPGPKGRSSADHAALNSIVSLQASVSFEDVTVDFSKEEWQHLDPAQRRLYWDVTLENYSHLLSVGYQIPKSEAAFKLEQGEGPWMLEGEAPHQSCSGEAIGKMQQQGIPGGIFFHCERFDQPIGEDSLCSILEELWQDNDQLEQRQENQNNLLSHVKVLIKERGYEHKNIEKIIHVTTKLVPSIKRLHNCDTILKHTLNSHNHNRNSATKNLGKIFGNGNNFPHSPSSTKNENAKTGANSCEHDHYEKHLSHKQAPTHHQKIHPEEKLYVCTECVMGFTQKSHLFEHQRIHAGEKSRECDKSNKVFPQKPQVDVHPSVYTGEKPYLCTQCGKVFTLKSNLITHQKIHTGQKPYKCSECGKAFFQRSDLFRHLRIHTGEKPYECSECGKGFSQNSDLSIHQKTHTGEKHYECNECGKAFTRKSALRMHQRIHTGEKPYVCADCGKAFIQKSHFNTHQRIHTGEKPYECSDCGKSFTKKSQLHVHQRIHTGEKPYICTECGKVFTHRTNLTTHQKTHTGEKPYMCAECGKAFTDQSNLIKHQKTHTGEKPYKCNGCGKAFIWKSRLKIHQKSHIGERHYECKDCGKAFIQKSTLSVHQRIHTGEKPYVCPECGKAFIQKSHFIAHHRIHTGEKPYECSDCGKCFTKKSQLRVHQKIHTGEKPNICAECGKAFTDRSNLITHQKIHTREKPYECGDCGKTFTWKSRLNIHQKSHTGERHYECSKCGKAFIQKATLSMHQIIHTGKKPYACTECQKAFTDRSNLIKHQKMHSGEKRYKASD
+>DECOY_sp|P51814|ZNF41_HUMAN Zinc finger protein 41 OS=Homo sapiens OX=9606 GN=ZNF41 PE=1 SV=2
+DSAKYRKEGSHMKQHKILNSRDTFAKQCETCAYPKKGTHIIQHMSLTAKQIFAKGCKSCEYHREGTHSKQHINLRSKWTFTKGCDGCEYPKERTHIKQHTILNSRDTFAKGCEACINPKEGTHIKQHVRLQSKKTFCKGCDSCEYPKEGTHIRHHAIFHSKQIFAKGCEPCVYPKEGTHIRQHVSLTSKQIFAKGCDKCEYHREGIHSKQHIKLRSKWIFAKGCGNCKYPKEGTHTKQHKILNSQDTFAKGCEACMYPKEGTHTKQHTTLNTRHTFVKGCETCIYPKEGTHIRQHVHLQSKKTFSKGCDSCEYPKEGTHIRQHTNFHSKQIFAKGCDACVYPKEGTHIRQHMRLASKRTFAKGCENCEYHKEGTHTKQHISLDSNQSFGKGCESCEYPKEGTHIRLHRFLDSRQFFAKGCESCKYPKQGTHIKQHTILNSKLTFVKGCQTCLYPKEGTYVSPHVDVQPKQPFVKNSKDCERSKEGAHIRQHEFLHSKQTFGMVCETCVYLKEEPHIKQHHTPAQKHSLHKEYHDHECSNAGTKANENKTSSPSHPFNNGNGFIKGLNKTASNRNHNHSNLTHKLITDCNHLRKISPVLKTTVHIIKEINKHEYGREKILVKVHSLLNNQNEQRQELQDNDQWLEELISCLSDEGIPQDFRECHFFIGGPIGQQQMKGIAEGSCSQHPAEGELMWPGEGQELKFAAESKPIQYGVSLLHSYNELTVDWYLRRQAPDLHQWEEKSFDVTVDEFSVSAQLSVISNLAAHDASSRGKPGPSLDPYRKVSHIRAEPTRERRVECSSGRGEAALAPSWPPSDGNAAM
+>sp|Q15935|ZNF77_HUMAN Zinc finger protein 77 OS=Homo sapiens OX=9606 GN=ZNF77 PE=2 SV=2
+MDCVIFEEVAVNFTPEEWALLDHAQRSLYRDVMLETCRNLASLDCYIYVRTSGSSSQRDVFGNGISNDEEIVKFTGSDSWSIFGENWRFDNTGDQHQIPQRHLRSQLGRLCESNEGHQCGETLSQTANLLVHKSYPTEAKPSECTKCGKAFENRQRSHTGQRPCKECGQACSCLSCQSPPMKTQTVEKPCNCQDSRTASVTYVKSLSSKKSYECQKCGKAFICPSSFRGHVNSHHGQKTHACKVCGKTFMYYSYLTRHVRTHTGEKPYECKECGKAFSCPSYFREHVRTHTGEKPYECKHCGKSFSCYSSFRDHVRTHTGEKPCQCKHCGKAFTCYSSLREHGRTHSGEKPYECKECGKAFRYPSSLRAHMRMHTGEKPYVCKQCGKAFGCPTYFRRHVKTHSGVKPYQCKECGKAYSFSSSLRIHVRTHTGEKPFECKHCGKAFSCHSSLREHVRTHSGEKPYECNQCGKAFSHAQYFQKHVRSHSGVKPYECTECGKAYSCSSSLRVHVRTHTGERPYECKQCGKTFRYLASLQAHVRTHAGA
+>DECOY_sp|Q15935|ZNF77_HUMAN Zinc finger protein 77 OS=Homo sapiens OX=9606 GN=ZNF77 PE=2 SV=2
+AGAHTRVHAQLSALYRFTKGCQKCEYPREGTHTRVHVRLSSSCSYAKGCETCEYPKVGSHSRVHKQFYQAHSFAKGCQNCEYPKEGSHTRVHERLSSHCSFAKGCHKCEFPKEGTHTRVHIRLSSSFSYAKGCEKCQYPKVGSHTKVHRRFYTPCGFAKGCQKCVYPKEGTHMRMHARLSSPYRFAKGCEKCEYPKEGSHTRGHERLSSYCTFAKGCHKCQCPKEGTHTRVHDRFSSYCSFSKGCHKCEYPKEGTHTRVHERFYSPCSFAKGCEKCEYPKEGTHTRVHRTLYSYYMFTKGCVKCAHTKQGHHSNVHGRFSSPCIFAKGCKQCEYSKKSSLSKVYTVSATRSDQCNCPKEVTQTKMPPSQCSLCSCAQGCEKCPRQGTHSRQRNEFAKGCKTCESPKAETPYSKHVLLNATQSLTEGCQHGENSECLRGLQSRLHRQPIQHQDGTNDFRWNEGFISWSDSGTFKVIEEDNSIGNGFVDRQSSSGSTRVYIYCDLSALNRCTELMVDRYLSRQAHDLLAWEEPTFNVAVEEFIVCDM
+>sp|P51508|ZNF81_HUMAN Zinc finger protein 81 OS=Homo sapiens OX=9606 GN=ZNF81 PE=1 SV=3
+MPANEDAPQPGEHGSACEVSVSFEDVTVDFSREEWQQLDSTQRRLYQDVMLENYSHLLSVGFEVPKPEVIFKLEQGEGPWTLEGEAPHQSCSDGKFGIKPSQRRISGKSTFHSEMEGEDTRDDSLYSILEELWQDAEQIKRCQEKHNKLLSRTTFLNKKILNTEWDYEYKDFGKFVHPSPNLILSQKRPHKRDSFGKSFKHNLDLHIHNKSNAAKNLDKTIGHGQVFTQNSSYSHHENTHTGVKFCERNQCGKVLSLKHSLSQNVKFPIGEKANTCTEFGKIFTQRSHFFAPQKIHTVEKPHELSKCVNVFTQKPLLSIYLRVHRDEKLYICTKCGKAFIQNSELIMHEKTHTREKPYKCNECGKSFFQVSSLLRHQTTHTGEKLFECSECGKGFSLNSALNIHQKIHTGERHHKCSECGKAFTQKSTLRMHQRIHTGERSYICTQCGQAFIQKAHLIAHQRIHTGEKPYECSDCGKSFPSKSQLQMHKRIHTGEKPYICTECGKAFTNRSNLNTHQKSHTGEKSYICAECGKAFTDRSNFNKHQTIHTGEKPYVCADCGRAFIQKSELITHQRIHTTEKPYKCPDCEKSFSKKPHLKVHQRIHTGEKPYICAECGKAFTDRSNFNKHQTIHTGDKPYKCSDCGKGFTQKSVLSMHRNIHT
+>DECOY_sp|P51508|ZNF81_HUMAN Zinc finger protein 81 OS=Homo sapiens OX=9606 GN=ZNF81 PE=1 SV=3
+THINRHMSLVSKQTFGKGCDSCKYPKDGTHITQHKNFNSRDTFAKGCEACIYPKEGTHIRQHVKLHPKKSFSKECDPCKYPKETTHIRQHTILESKQIFARGCDACVYPKEGTHITQHKNFNSRDTFAKGCEACIYSKEGTHSKQHTNLNSRNTFAKGCETCIYPKEGTHIRKHMQLQSKSPFSKGCDSCEYPKEGTHIRQHAILHAKQIFAQGCQTCIYSREGTHIRQHMRLTSKQTFAKGCESCKHHREGTHIKQHINLASNLSFGKGCESCEFLKEGTHTTQHRLLSSVQFFSKGCENCKYPKERTHTKEHMILESNQIFAKGCKTCIYLKEDRHVRLYISLLPKQTFVNVCKSLEHPKEVTHIKQPAFFHSRQTFIKGFETCTNAKEGIPFKVNQSLSHKLSLVKGCQNRECFKVGTHTNEHHSYSSNQTFVQGHGITKDLNKAANSKNHIHLDLNHKFSKGFSDRKHPRKQSLILNPSPHVFKGFDKYEYDWETNLIKKNLFTTRSLLKNHKEQCRKIQEADQWLEELISYLSDDRTDEGEMESHFTSKGSIRRQSPKIGFKGDSCSQHPAEGELTWPGEGQELKFIVEPKPVEFGVSLLHSYNELMVDQYLRRQTSDLQQWEERSFDVTVDEFSVSVECASGHEGPQPADENAPM
+>sp|Q03923|ZNF85_HUMAN Zinc finger protein 85 OS=Homo sapiens OX=9606 GN=ZNF85 PE=1 SV=3
+MGPLTFRDVAIEFSLKEWQCLDTAQRNLYRNVMLENYRNLVFLGITVSKPDLITCLEQGKEAWSMKRHEIMVAKPTVMCSHFAQDLWPEQNIKDSFQKVTLKRYGKCRHENLPLRKGCESMDECKMHKGGCNGLNQCLTATQSKIFQCDKYVKVAHKFSNSNRHEIRHTKKKPFKCTKCGKSFGMISCLTEHSRIHTRVNFYKCEECGKAFNWSSTLTKHKRIHTGEKPYKCEECGKAFNQSSNLIKHKKIHTGEKPYKCEECGKTFNRFSTLTTHKIIHTGEKPYKCKECGKAFNRSSTLTTHRKIHTGEKPYKCEECGKAFKQSSNLTTHKIIHTGEKPYKCKKCGKAFNQSAHLTTHEVIHTGEKPYKCEKCGKAFNHFSHLTTHKIIHTGEKPYKCKECGKAFKHSSTLTKHKIIHTGEKPYKCKECEKAFNQSSKLTEHKKIHTGEKPYECEKCGKAFNQSSNLTRHKKSHTEEKPYKCEECGKGFKWPSTLTIHKIIHTGEKPYKCEECGKAFNQSSKLTKHKKIHTGEKPYTCEECGKAFNQSSNLTKHKRIHTGEKPYKCEECDKAFKWSSVLTKHKIIHTGEKLQI
+>DECOY_sp|Q03923|ZNF85_HUMAN Zinc finger protein 85 OS=Homo sapiens OX=9606 GN=ZNF85 PE=1 SV=3
+IQLKEGTHIIKHKTLVSSWKFAKDCEECKYPKEGTHIRKHKTLNSSQNFAKGCEECTYPKEGTHIKKHKTLKSSQNFAKGCEECKYPKEGTHIIKHITLTSPWKFGKGCEECKYPKEETHSKKHRTLNSSQNFAKGCKECEYPKEGTHIKKHETLKSSQNFAKECEKCKYPKEGTHIIKHKTLTSSHKFAKGCEKCKYPKEGTHIIKHTTLHSFHNFAKGCKECKYPKEGTHIVEHTTLHASQNFAKGCKKCKYPKEGTHIIKHTTLNSSQKFAKGCEECKYPKEGTHIKRHTTLTSSRNFAKGCEKCKYPKEGTHIIKHTTLTSFRNFTKGCEECKYPKEGTHIKKHKILNSSQNFAKGCEECKYPKEGTHIRKHKTLTSSWNFAKGCEECKYFNVRTHIRSHETLCSIMGFSKGCKTCKFPKKKTHRIEHRNSNSFKHAVKVYKDCQFIKSQTATLCQNLGNCGGKHMKCEDMSECGKRLPLNEHRCKGYRKLTVKQFSDKINQEPWLDQAFHSCMVTPKAVMIEHRKMSWAEKGQELCTILDPKSVTIGLFVLNRYNELMVNRYLNRQATDLCQWEKLSFEIAVDRFTLPGM
+>sp|Q0IIN9|ZNFS1_HUMAN Putative uncharacterized protein ZNF252P-AS1 OS=Homo sapiens OX=9606 GN=ZNF252P-AS1 PE=5 SV=1
+MLRQSCSFPVTSLPALGGVCGREGAGAEVPPAACGCEGRDPDTERSCGRSSTGGCSPCSGPGPSSPRTSRGALSPSLGRLFPHLQVVIKLRIQLAPAVHLALPTCSLLTSSPPLEGCCHRLNEEAEVQRGFRPIAVELEFENQPLGAETRLRNGRRAGVKRSEGRGQVRPGQVRSTGPEGGLTRMERKAARLQWDSGSIKMSENEQLWEEP
+>DECOY_sp|Q0IIN9|ZNFS1_HUMAN Putative uncharacterized protein ZNF252P-AS1 OS=Homo sapiens OX=9606 GN=ZNF252P-AS1 PE=5 SV=1
+PEEWLQENESMKISGSDWQLRAAKREMRTLGGEPGTSRVQGPRVQGRGESRKVGARRGNRLRTEAGLPQNEFELEVAIPRFGRQVEAEENLRHCCGELPPSSTLLSCTPLALHVAPALQIRLKIVVQLHPFLRGLSPSLAGRSTRPSSPGPGSCPSCGGTSSRGCSRETDPDRGECGCAAPPVEAGAGERGCVGGLAPLSTVPFSCSQRLM
+>sp|Q9UHR6|ZNHI2_HUMAN Zinc finger HIT domain-containing protein 2 OS=Homo sapiens OX=9606 GN=ZNHIT2 PE=1 SV=1
+MEPAGPCGFCPAGEVQPARYTCPRCNAPYCSLRCYRTHGTCAENFYRDQVLGELRGCSAPPSRLASALRRLRQQRETEDEPGEAGLSSGPAPGGLSGLWERLAPGEKAAFERLLSRGEAGRLLPPWRPWWWNRGAGPQLLEELDNAPGSDAAELELAPARTPPDSVKDASAAEPAAAERVLGDVPGACTPVVPTRIPAIVSLSRGPVSPLVRFQLPNVLFAYAHTLALYHGGDDALLSDFCATLLGVSGALGAQQVFASAEEALQAAAHVLEAGEHPPGPLGTRGAMHEVARILLGEGPTNQKGYTLAALGDLAQTLGRARKQAVAREERDHLYRARKKCQFLLAWTNENEAALTPLALDCARAHQAHAVVAEEVAALTGELERLWGGPVPPAPRTLIEELPS
+>DECOY_sp|Q9UHR6|ZNHI2_HUMAN Zinc finger HIT domain-containing protein 2 OS=Homo sapiens OX=9606 GN=ZNHIT2 PE=1 SV=1
+SPLEEILTRPAPPVPGGWLRELEGTLAAVEEAVVAHAQHARACDLALPTLAAENENTWALLFQCKKRARYLHDREERAVAQKRARGLTQALDGLAALTYGKQNTPGEGLLIRAVEHMAGRTGLPGPPHEGAELVHAAAQLAEEASAFVQQAGLAGSVGLLTACFDSLLADDGGHYLALTHAYAFLVNPLQFRVLPSVPGRSLSVIAPIRTPVVPTCAGPVDGLVREAAAPEAASADKVSDPPTRAPALELEAADSGPANDLEELLQPGAGRNWWWPRWPPLLRGAEGRSLLREFAAKEGPALREWLGSLGGPAPGSSLGAEGPEDETERQQRLRRLASALRSPPASCGRLEGLVQDRYFNEACTGHTRYCRLSCYPANCRPCTYRAPQVEGAPCFGCPGAPEM
+>sp|Q8WWF5|ZNRF4_HUMAN E3 ubiquitin-protein ligase ZNRF4 OS=Homo sapiens OX=9606 GN=ZNRF4 PE=1 SV=3
+MPLCRPEHLMPRASRVPVAASLPLSHAVIPTQLPSRPGHRPPGRPRRCPKASCLPPPVGPSSTQTAKRVTMGWPRPGRALVAVKALLVLSLLQVPAQAVVRAVLEDNSSSVDFADLPALFGVPLAPEGIRGYLMEVKPANACHPIEAPRLGNRSLGAIVLIRRYDCTFDLKVLNAQRAGFEAAIVHNVHSDDLVSMTHVYEDLRGQIAIPSVFVSEAASQDLRVILGCNKSAHALLLPDDPPCHDLGCHPVLTVSWVLGCTLALVVSAFFVLNHLWLWAQACCSHRRPVKTSTCQKAQVRTFTWHNDLCAICLDEYEEGDQLKILPCSHTYHCKCIDPWFSQAPRRSCPVCKQSVAATEDSFDSTTYSFRDEDPSLPGHRPPIWAIQVQLRSRRLELLGRASPHCHCSTTSLEAEYTTVSSAPPEAPGQ
+>DECOY_sp|Q8WWF5|ZNRF4_HUMAN E3 ubiquitin-protein ligase ZNRF4 OS=Homo sapiens OX=9606 GN=ZNRF4 PE=1 SV=3
+QGPAEPPASSVTTYEAELSTTSCHCHPSARGLLELRRSRLQVQIAWIPPRHGPLSPDEDRFSYTTSDFSDETAAVSQKCVPCSRRPAQSFWPDICKCHYTHSCPLIKLQDGEEYEDLCIACLDNHWTFTRVQAKQCTSTKVPRRHSCCAQAWLWLHNLVFFASVVLALTCGLVWSVTLVPHCGLDHCPPDDPLLLAHASKNCGLIVRLDQSAAESVFVSPIAIQGRLDEYVHTMSVLDDSHVNHVIAAEFGARQANLVKLDFTCDYRRILVIAGLSRNGLRPAEIPHCANAPKVEMLYGRIGEPALPVGFLAPLDAFDVSSSNDELVARVVAQAPVQLLSLVLLAKVAVLARGPRPWGMTVRKATQTSSPGVPPPLCSAKPCRRPRGPPRHGPRSPLQTPIVAHSLPLSAAVPVRSARPMLHEPRCLPM
+>sp|Q6XR72|ZNT10_HUMAN Zinc transporter 10 OS=Homo sapiens OX=9606 GN=SLC30A10 PE=1 SV=2
+MGRYSGKTCRLLFMLVLTVAFFVAELVSGYLGNSIALLSDSFNMLSDLISLCVGLSAGYIARRPTRGFSATYGYARAEVVGALSNAVFLTALCFTIFVEAVLRLARPERIDDPELVLIVGVLGLLVNVVGLLIFQDCAAWFACCLRGRSRRLQQRQQLAEGCVPGAFGGPQGAEDPRRAADPTAPGSDSAVTLRGTSVERKREKGATVFANVAGDSFNTQNEPEDMMKKEKKSEALNIRGVLLHVMGDALGSVVVVITAIIFYVLPLKSEDPCNWQCYIDPSLTVLMVIIILSSAFPLIKETAAILLQMVPKGVNMEELMSKLSAVPGISSVHEVHIWELVSGKIIATLHIKYPKDRGYQDASTKIREIFHHAGIHNVTIQFENVDLKEPLEQKDLLLLCNSPCISKGCAKQLCCPPGALPLAHVNGCAEHNGGPSLDTYGSDGLSRRDAREVAIEVSLDSCLSDHGQSLNKTQEDQCYVNRTHF
+>DECOY_sp|Q6XR72|ZNT10_HUMAN Zinc transporter 10 OS=Homo sapiens OX=9606 GN=SLC30A10 PE=1 SV=2
+FHTRNVYCQDEQTKNLSQGHDSLCSDLSVEIAVERADRRSLGDSGYTDLSPGGNHEACGNVHALPLAGPPCCLQKACGKSICPSNCLLLLDKQELPEKLDVNEFQITVNHIGAHHFIERIKTSADQYGRDKPYKIHLTAIIKGSVLEWIHVEHVSSIGPVASLKSMLEEMNVGKPVMQLLIAATEKILPFASSLIIIVMLVTLSPDIYCQWNCPDESKLPLVYFIIATIVVVVSGLADGMVHLLVGRINLAESKKEKKMMDEPENQTNFSDGAVNAFVTAGKERKREVSTGRLTVASDSGPATPDAARRPDEAGQPGGFAGPVCGEALQQRQQLRRSRGRLCCAFWAACDQFILLGVVNVLLGLVGVILVLEPDDIREPRALRLVAEVFITFCLATLFVANSLAGVVEARAYGYTASFGRTPRRAIYGASLGVCLSILDSLMNFSDSLLAISNGLYGSVLEAVFFAVTLVLMFLLRCTKGSYRGM
+>sp|P60852|ZP1_HUMAN Zona pellucida sperm-binding protein 1 OS=Homo sapiens OX=9606 GN=ZP1 PE=1 SV=1
+MAGGSATTWGYPVALLLLVATLGLGRWLQPDPGLPGLRHSYDCGIKGMQLLVFPRPGQTLRFKVVDEFGNRFDVNNCSICYHWVTSRPQEPAVFSADYRGCHVLEKDGRFHLRVFMEAVLPNGRVDVAQDATLICPKPDPSRTLDSQLAPPAMFSVSTPQTLSFLPTSGHTSQGSGHAFPSPLDPGHSSVHPTPALPSPGPGPTLATLAQPHWGTLEHWDVNKRDYIGTHLSQEQCQVASGHLPCIVRRTSKEACQQAGCCYDNTREVPCYYGNTATVQCFRDGYFVLVVSQEMALTHRITLANIHLAYAPTSCSPTQHTEAFVVFYFPLTHCGTTMQVAGDQLIYENWLVSGIHIQKGPQGSITRDSTFQLHVRCVFNASDFLPIQASIFPPPSPAPMTQPGPLRLELRIAKDETFSSYYGEDDYPIVRLLREPVHVEVRLLQRTDPNLVLLLHQCWGAPSANPFQQPQWPILSDGCPFKGDSYRTQMVALDGATPFQSHYQRFTVATFALLDSGSQRALRGLVYLFCSTSACHTSGLETCSTACSTGTTRQRRSSGHRNDTARPQDIVSSPGPVGFEDSYGQEPTLGPTDSNGNSSLRPLLWAVLLLPAVALVLGFGVFVGLSQTWAQKLWESNRQ
+>DECOY_sp|P60852|ZP1_HUMAN Zona pellucida sperm-binding protein 1 OS=Homo sapiens OX=9606 GN=ZP1 PE=1 SV=1
+QRNSEWLKQAWTQSLGVFVGFGLVLAVAPLLLVAWLLPRLSSNGNSDTPGLTPEQGYSDEFGVPGPSSVIDQPRATDNRHGSSRRQRTTGTSCATSCTELGSTHCASTSCFLYVLGRLARQSGSDLLAFTAVTFRQYHSQFPTAGDLAVMQTRYSDGKFPCGDSLIPWQPQQFPNASPAGWCQHLLLVLNPDTRQLLRVEVHVPERLLRVIPYDDEGYYSSFTEDKAIRLELRLPGPQTMPAPSPPPFISAQIPLFDSANFVCRVHLQFTSDRTISGQPGKQIHIGSVLWNEYILQDGAVQMTTGCHTLPFYFVVFAETHQTPSCSTPAYALHINALTIRHTLAMEQSVVLVFYGDRFCQVTATNGYYCPVERTNDYCCGAQQCAEKSTRRVICPLHGSAVQCQEQSLHTGIYDRKNVDWHELTGWHPQALTALTPGPGPSPLAPTPHVSSHGPDLPSPFAHGSGQSTHGSTPLFSLTQPTSVSFMAPPALQSDLTRSPDPKPCILTADQAVDVRGNPLVAEMFVRLHFRGDKELVHCGRYDASFVAPEQPRSTVWHYCISCNNVDFRNGFEDVVKFRLTQGPRPFVLLQMGKIGCDYSHRLGPLGPDPQLWRGLGLTAVLLLLAVPYGWTTASGGAM
+>sp|Q6X784|ZPBP2_HUMAN Zona pellucida-binding protein 2 OS=Homo sapiens OX=9606 GN=ZPBP2 PE=2 SV=1
+MMRTCVLLSAVLWCLTGVQCPRFTLFNKKGFIYGKTGQPDKIYVELHQNSPVLICMDFKLSKKEIVDPTYLWIGPNEKTLTGNNRINITETGQLMVKDFLEPLSGLYTCTLSYKTVKAETQEEKTVKKRYDFMVFAYREPDYSYQMAVRFTTRSCIGRYNDVFFRVLKKILDSLISDLSCHVIEPSYKCHSVEIPEHGLIHELFIAFQVNPFAPGWKGACNGSVDCEDTTNHNILQARDRIEDFFRSQAYIFYHNFNKTLPAMHFVDHSLQVVRLDSCRPGFGKNERLHSNCASCCVVCSPATFSPDVNVTCQTCVSVLTYGAKSCPQTSNKNQQYED
+>DECOY_sp|Q6X784|ZPBP2_HUMAN Zona pellucida-binding protein 2 OS=Homo sapiens OX=9606 GN=ZPBP2 PE=2 SV=1
+DEYQQNKNSTQPCSKAGYTLVSVCTQCTVNVDPSFTAPSCVVCCSACNSHLRENKGFGPRCSDLRVVQLSHDVFHMAPLTKNFNHYFIYAQSRFFDEIRDRAQLINHNTTDECDVSGNCAGKWGPAFPNVQFAIFLEHILGHEPIEVSHCKYSPEIVHCSLDSILSDLIKKLVRFFVDNYRGICSRTTFRVAMQYSYDPERYAFVMFDYRKKVTKEEQTEAKVTKYSLTCTYLGSLPELFDKVMLQGTETINIRNNGTLTKENPGIWLYTPDVIEKKSLKFDMCILVPSNQHLEVYIKDPQGTKGYIFGKKNFLTFRPCQVGTLCWLVASLLVCTRMM
+>sp|A6NGD5|ZSA5C_HUMAN Putative zinc finger and SCAN domain-containing protein 5C OS=Homo sapiens OX=9606 GN=ZSCAN5C PE=5 SV=1
+MAANCTSSWSLGESCNSPGSEPPQSMPSPATQLGNHDSDPETCHVNFRMFSCPKESDPIQALRKLTELCHLWLRPDLHTKEQILDMLVMEQFMISMPQELQVLVMMNGVQSCKDLEDLLRNNRRPKKWSVVSFLGKEYLMQESDVEMAEAPASVRDDPRHVSSQRTSSVNQMCPEEGQASQELQTLPRVPALFRRQEEDFLLPETTVMKGDPKALRPKPTLEKDLEEDREENPGLTSPEPQLPNSPTGVVGAKEGKEPQKRASVENVDADTPSACVVEREASTHSGSRGDALNLRGLKRSKPDATSISQEEPQGEATPVGNRESPGQAEINPVHSPGPAGPVSHPSGQEVKELLPFACEVCGKRFKYRGKLAVHTRSHTGERLFQCNLCGKRFMQRIGLQFHQRTHTGERPYTCDICQKQFTQKSYLKCHKRSHTGEKPFECKDCKKVFTYKANLKEHQRIHSGEKPHKCSKCPRAFGRPATLRRHQKTHREATSQ
+>DECOY_sp|A6NGD5|ZSA5C_HUMAN Putative zinc finger and SCAN domain-containing protein 5C OS=Homo sapiens OX=9606 GN=ZSCAN5C PE=5 SV=1
+QSTAERHTKQHRRLTAPRGFARPCKSCKHPKEGSHIRQHEKLNAKYTFVKKCDKCEFPKEGTHSRKHCKLYSKQTFQKQCIDCTYPREGTHTRQHFQLGIRQMFRKGCLNCQFLREGTHSRTHVALKGRYKFRKGCVECAFPLLEKVEQGSPHSVPGAPGPSHVPNIEAQGPSERNGVPTAEGQPEEQSISTADPKSRKLGRLNLADGRSGSHTSAEREVVCASPTDADVNEVSARKQPEKGEKAGVVGTPSNPLQPEPSTLGPNEERDEELDKELTPKPRLAKPDGKMVTTEPLLFDEEQRRFLAPVRPLTQLEQSAQGEEPCMQNVSSTRQSSVHRPDDRVSAPAEAMEVDSEQMLYEKGLFSVVSWKKPRRNNRLLDELDKCSQVGNMMVLVQLEQPMSIMFQEMVLMDLIQEKTHLDPRLWLHCLETLKRLAQIPDSEKPCSFMRFNVHCTEPDSDHNGLQTAPSPMSQPPESGPSNCSEGLSWSSTCNAAM
+>sp|Q96SZ4|ZSC10_HUMAN Zinc finger and SCAN domain-containing protein 10 OS=Homo sapiens OX=9606 GN=ZSCAN10 PE=1 SV=1
+MGPRASLSRLRELCGHWLRPALHTKKQILELLVLEQFLSVLPPHLLGRLQGQPLRDGEEVVLLLEGIHREPSHAGPLDFSCNAGKSCPRADVTLEEKGCASQVPSHSPKKELPAEEPSVLGPSDEPPRPQPRAAQPAEPGQWRLPPSSKQPLSPGPQKTFQALQESSPQGPSPWPEESSRDQELAAVLECLTFEDVPENKAWPAHPLGFGSRTPDKEEFKQEEPKGAAWPTPILAESQADSPGVPGEPCAQSLGRGAAASGPGEDGSLLGSSEILEVKVAEGVPEPNPELQFICADCGVSFPQLSRLKAHQLRSHPAGRSFLCLCCGKSFGRSSILKLHMRTHTDERPHACHLCGHRFRQSSHLSKHLLTHSSEPAFLCAECGRGFQRRASLVQHLLAHAQDQKPPCAPESKAEAPPLTDVLCSHCGQSFQRRSSLKRHLRIHARDKDRRSSEGSGSRRRDSDRRPFVCSDCGKAFRRSEHLVAHRRVHTGERPFSCQACGRSFTQSSQLVSHQRVHTGEKPYACPQCGKRFVRRASLARHLLTHGGPRPHHCTQCGKSFGQTQDLARHQRSHTGEKPCRCSECGEGFSQSAHLARHQRIHTGEKPHACDTCGHRFRNSSNLARHRRSHTGERPYSCQTCGRSFRRNAHLRRHLATHAEPGQEQAEPPQECVECGKSFSRSCNLLRHLLVHTGARPYSCTQCGRSFSRNSHLLRHLRTHARETLY
+>DECOY_sp|Q96SZ4|ZSC10_HUMAN Zinc finger and SCAN domain-containing protein 10 OS=Homo sapiens OX=9606 GN=ZSCAN10 PE=1 SV=1
+YLTERAHTRLHRLLHSNRSFSRGCQTCSYPRAGTHVLLHRLLNCSRSFSKGCEVCEQPPEAQEQGPEAHTALHRRLHANRRFSRGCTQCSYPREGTHSRRHRALNSSNRFRHGCTDCAHPKEGTHIRQHRALHASQSFGEGCESCRCPKEGTHSRQHRALDQTQGFSKGCQTCHHPRPGGHTLLHRALSARRVFRKGCQPCAYPKEGTHVRQHSVLQSSQTFSRGCAQCSFPREGTHVRRHAVLHESRRFAKGCDSCVFPRRDSDRRRSGSGESSRRDKDRAHIRLHRKLSSRRQFSQGCHSCLVDTLPPAEAKSEPACPPKQDQAHALLHQVLSARRQFGRGCEACLFAPESSHTLLHKSLHSSQRFRHGCLHCAHPREDTHTRMHLKLISSRGFSKGCCLCLFSRGAPHSRLQHAKLRSLQPFSVGCDACIFQLEPNPEPVGEAVKVELIESSGLLSGDEGPGSAAAGRGLSQACPEGPVGPSDAQSEALIPTPWAAGKPEEQKFEEKDPTRSGFGLPHAPWAKNEPVDEFTLCELVAALEQDRSSEEPWPSPGQPSSEQLAQFTKQPGPSLPQKSSPPLRWQGPEAPQAARPQPRPPEDSPGLVSPEEAPLEKKPSHSPVQSACGKEELTVDARPCSKGANCSFDLPGAHSPERHIGELLLVVEEGDRLPQGQLRGLLHPPLVSLFQELVLLELIQKKTHLAPRLWHGCLERLRSLSARPGM
+>sp|Q9H4T2|ZSC16_HUMAN Zinc finger and SCAN domain-containing protein 16 OS=Homo sapiens OX=9606 GN=ZSCAN16 PE=1 SV=2
+MTTALEPEDQKGLLIIKAEDHYWGQDSSSQKCSPHRRELYRQHFRKLCYQDAPGPREALTQLWELCRQWLRPECHTKEQILDLLVLEQFLSILPKDLQAWVRAHHPETGEEAVTVLEDLERELDEPGKQVPGNSERRDILMDKLAPLGRPYESLTVQLHPKKTQLEQEAGKPQRNGDKTRTKNEELFQKEDMPKDKEFLGEINDRLNKDTPQHPKSKDIIENEGRSEWQQRERRRYKCDECGKSFSHSSDLSKHRRTHTGEKPYKCDECGKAFIQRSHLIGHHRVHTGVKPYKCKECGKDFSGRTGLIQHQRIHTGEKPYECDECGRPFRVSSALIRHQRIHTANKLY
+>DECOY_sp|Q9H4T2|ZSC16_HUMAN Zinc finger and SCAN domain-containing protein 16 OS=Homo sapiens OX=9606 GN=ZSCAN16 PE=1 SV=2
+YLKNATHIRQHRILASSVRFPRGCEDCEYPKEGTHIRQHQILGTRGSFDKGCEKCKYPKVGTHVRHHGILHSRQIFAKGCEDCKYPKEGTHTRRHKSLDSSHSFSKGCEDCKYRRRERQQWESRGENEIIDKSKPHQPTDKNLRDNIEGLFEKDKPMDEKQFLEENKTRTKDGNRQPKGAEQELQTKKPHLQVTLSEYPRGLPALKDMLIDRRESNGPVQKGPEDLERELDELVTVAEEGTEPHHARVWAQLDKPLISLFQELVLLDLIQEKTHCEPRLWQRCLEWLQTLAERPGPADQYCLKRFHQRYLERRHPSCKQSSSDQGWYHDEAKIILLGKQDEPELATTM
+>sp|Q9Y5A6|ZSC21_HUMAN Zinc finger and SCAN domain-containing protein 21 OS=Homo sapiens OX=9606 GN=ZSCAN21 PE=1 SV=2
+MMTKVLGMAPVLGPRPPQEQVGPLMVKVEEKEEKGKYLPSLEMFRQRFRQFGYHDTPGPREALSQLRVLCCEWLRPEIHTKEQILELLVLEQFLTILPQELQAWVQEHCPESAEEAVTLLEDLERELDEPGHQVSTPPNEQKPVWEKISSSGTAKESPSSMQPQPLETSHKYESWGPLYIQESGEEQEFAQDPRKVRDCRLSTQHEESADEQKGSEAEGLKGDIISVIIANKPEASLERQCVNLENEKGTKPPLQEAGSKKGRESVPTKPTPGERRYICAECGKAFSNSSNLTKHRRTHTGEKPYVCTKCGKAFSHSSNLTLHYRTHLVDRPYDCKCGKAFGQSSDLLKHQRMHTEEAPYQCKDCGKAFSGKGSLIRHYRIHTGEKPYQCNECGKSFSQHAGLSSHQRLHTGEKPYKCKECGKAFNHSSNFNKHHRIHTGEKPYWCHHCGKTFCSKSNLSKHQRVHTGEGEAP
+>DECOY_sp|Q9Y5A6|ZSC21_HUMAN Zinc finger and SCAN domain-containing protein 21 OS=Homo sapiens OX=9606 GN=ZSCAN21 PE=1 SV=2
+PAEGEGTHVRQHKSLNSKSCFTKGCHHCWYPKEGTHIRHHKNFNSSHNFAKGCEKCKYPKEGTHLRQHSSLGAHQSFSKGCENCQYPKEGTHIRYHRILSGKGSFAKGCDKCQYPAEETHMRQHKLLDSSQGFAKGCKCDYPRDVLHTRYHLTLNSSHSFAKGCKTCVYPKEGTHTRRHKTLNSSNSFAKGCEACIYRREGPTPKTPVSERGKKSGAEQLPPKTGKENELNVCQRELSAEPKNAIIVSIIDGKLGEAESGKQEDASEEHQTSLRCDRVKRPDQAFEQEEGSEQIYLPGWSEYKHSTELPQPQMSSPSEKATGSSSIKEWVPKQENPPTSVQHGPEDLERELDELLTVAEEASEPCHEQVWAQLEQPLITLFQELVLLELIQEKTHIEPRLWECCLVRLQSLAERPGPTDHYGFQRFRQRFMELSPLYKGKEEKEEVKVMLPGVQEQPPRPGLVPAMGLVKTMM
+>sp|Q6NSZ9|ZSC25_HUMAN Zinc finger and SCAN domain-containing protein 25 OS=Homo sapiens OX=9606 GN=ZSCAN25 PE=1 SV=3
+MLKEHPEMAEAPQQQLGIPVVKLEKELPWGRGREDPSPETFRLRFRQFRYQEAAGPQEALRELQELCRRWLRPELHTKEQILELLVLEQFLTILPREFYAWIREHGPESGKALAAMVEDLTERALEAKAVPCHRQGEQEETALCRGAWEPGIQLGPVEVKPEWGMPPGEGVQGPDPGTEEQLSQDPGDETRAFQEQALPVLQAGPGLPAVNPRDQEMAAGFFTAGSQGLGPFKDMALAFPEEEWRHVTPAQIDCFGEYVEPQDCRVSPGGGSKEKEAKPPQEDLKGALVALTSERFGEASLQGPGLGRVCEQEPGGPAGSAPGLPPPQHGAIPLPDEVKTHSSFWKPFQCPECGKGFSRSSNLVRHQRTHEEKSYGCVECGKGFTLREYLMKHQRTHLGKRPYVCSECWKTFSQRHHLEVHQRSHTGEKPYKCGDCWKSFSRRQHLQVHRRTHTGEKPYTCECGKSFSRNANLAVHRRAHTGEKPYGCQVCGKRFSKGERLVRHQRIHTGEKPYHCPACGRSFNQRSILNRHQKTQHRQEPLVQ
+>DECOY_sp|Q6NSZ9|ZSC25_HUMAN Zinc finger and SCAN domain-containing protein 25 OS=Homo sapiens OX=9606 GN=ZSCAN25 PE=1 SV=3
+QVLPEQRHQTKQHRNLISRQNFSRGCAPCHYPKEGTHIRQHRVLREGKSFRKGCVQCGYPKEGTHARRHVALNANRSFSKGCECTYPKEGTHTRRHVQLHQRRSFSKWCDGCKYPKEGTHSRQHVELHHRQSFTKWCESCVYPRKGLHTRQHKMLYERLTFGKGCEVCGYSKEEHTRQHRVLNSSRSFGKGCEPCQFPKWFSSHTKVEDPLPIAGHQPPPLGPASGAPGGPEQECVRGLGPGQLSAEGFRESTLAVLAGKLDEQPPKAEKEKSGGGPSVRCDQPEVYEGFCDIQAPTVHRWEEEPFALAMDKFPGLGQSGATFFGAAMEQDRPNVAPLGPGAQLVPLAQEQFARTEDGPDQSLQEETGPDPGQVGEGPPMGWEPKVEVPGLQIGPEWAGRCLATEEQEGQRHCPVAKAELARETLDEVMAALAKGSEPGHERIWAYFERPLITLFQELVLLELIQEKTHLEPRLWRRCLEQLERLAEQPGAAEQYRFQRFRLRFTEPSPDERGRGWPLEKELKVVPIGLQQQPAEAMEPHEKLM
+>sp|Q19AV6|ZSWM7_HUMAN Zinc finger SWIM domain-containing protein 7 OS=Homo sapiens OX=9606 GN=ZSWIM7 PE=1 SV=1
+MAVVLPAVVEELLSEMAAAVQESARIPDEYLLSLKFLFGSSATQALDLVDRQSITLISSPSGRRVYQVLGSSSKTYTCLASCHYCSCPAFAFSVLRKSDSILCKHLLAVYLSQVMRTCQQLSVSDKQLTDILLMEKKQEA
+>DECOY_sp|Q19AV6|ZSWM7_HUMAN Zinc finger SWIM domain-containing protein 7 OS=Homo sapiens OX=9606 GN=ZSWIM7 PE=1 SV=1
+AEQKKEMLLIDTLQKDSVSLQQCTRMVQSLYVALLHKCLISDSKRLVSFAFAPCSCYHCSALCTYTKSSSGLVQYVRRGSPSSILTISQRDVLDLAQTASSGFLFKLSLLYEDPIRASEQVAAAMESLLEEVVAPLVVAM
+>sp|Q86XI8|ZSWM9_HUMAN Uncharacterized protein ZSWIM9 OS=Homo sapiens OX=9606 GN=ZSWIM9 PE=1 SV=2
+MERPEPPPGTAAGQEEQELRERAFFSWAEFSRFFDAWCQQRLALFFVKSSMHLARCRWASAPPLYTLIDVLKYSYVRLVCKDVRAPSRPAVGPPQPGCPAFIIVKLSPLRDRLVVTECQLTHSHPACPLEFAYYFRPGHLLANACLPVRTTNKISKQFVAPADVRRLLSYCKGRDHGVLDALHVLEGLFRTDPEAKVKLVFVEDQAVVETVFFLTSRTRALLRRFPRMLLVDRLPGLQGALDLLAVLCVDGSGRARQAACCVARPGTPSLLRFALASLLQSAPDVKGRVRCLTAGPEVAAQLPAVRQLLPCARVQICRAQGLETLFSKAQELGGAGREDPGLWSRLCRLAGASSPAAYDEALAELHAHGPAAFVDYFERNWEPRRDMWVRFRAFEAARDLDACALVRGHRRRLLRRLSPSRGVAQCLRDLVAMQWADAAGEAVPEGPDGGGPWLEDEPGRGAQGENERVRGLETGDWGGAPKEGSIWRGAQMEKEWARALETRDWGGAQFEGEKGRALQIRDWRGGRLENQKPRGLEGGVLRGSKLEKGHLRGPEIRDWRGPQLEGEKDWGLEGYVWRGSQLEDQALRGLEGYTWRVAQLEDRRSTTDLRGTQFDYERGKGESTEDR
+>DECOY_sp|Q86XI8|ZSWM9_HUMAN Uncharacterized protein ZSWIM9 OS=Homo sapiens OX=9606 GN=ZSWIM9 PE=1 SV=2
+RDETSEGKGREYDFQTGRLDTTSRRDELQAVRWTYGELGRLAQDELQSGRWVYGELGWDKEGELQPGRWDRIEPGRLHGKELKSGRLVGGELGRPKQNELRGGRWDRIQLARGKEGEFQAGGWDRTELARAWEKEMQAGRWISGEKPAGGWDGTELGRVRENEGQAGRGPEDELWPGGGDPGEPVAEGAADAWQMAVLDRLCQAVGRSPSLRRLLRRRHGRVLACADLDRAAEFARFRVWMDRRPEWNREFYDVFAAPGHAHLEALAEDYAAPSSAGALRCLRSWLGPDERGAGGLEQAKSFLTELGQARCIQVRACPLLQRVAPLQAAVEPGATLCRVRGKVDPASQLLSALAFRLLSPTGPRAVCCAAQRARGSGDVCLVALLDLAGQLGPLRDVLLMRPFRRLLARTRSTLFFVTEVVAQDEVFVLKVKAEPDTRFLGELVHLADLVGHDRGKCYSLLRRVDAPAVFQKSIKNTTRVPLCANALLHGPRFYYAFELPCAPHSHTLQCETVVLRDRLPSLKVIIFAPCGPQPPGVAPRSPARVDKCVLRVYSYKLVDILTYLPPASAWRCRALHMSSKVFFLALRQQCWADFFRSFEAWSFFARERLEQEEQGAATGPPPEPREM
+>sp|Q15942|ZYX_HUMAN Zyxin OS=Homo sapiens OX=9606 GN=ZYX PE=1 SV=1
+MAAPRPSPAISVSVSAPAFYAPQKKFGPVVAPKPKVNPFRPGDSEPPPAPGAQRAQMGRVGEIPPPPPEDFPLPPPPLAGDGDDAEGALGGAFPPPPPPIEESFPPAPLEEEIFPSPPPPPEEEGGPEAPIPPPPQPREKVSSIDLEIDSLSSLLDDMTKNDPFKARVSSGYVPPPVATPFSSKSSTKPAAGGTAPLPPWKSPSSSQPLPQVPAPAQSQTQFHVQPQPQPKPQVQLHVQSQTQPVSLANTQPRGPPASSPAPAPKFSPVTPKFTPVASKFSPGAPGGSGSQPNQKLGHPEALSAGTGSPQPPSFTYAQQREKPRVQEKQHPVPPPAQNQNQVRSPGAPGPLTLKEVEELEQLTQQLMQDMEHPQRQNVAVNELCGRCHQPLARAQPAVRALGQLFHIACFTCHQCAQQLQGQQFYSLEGAPYCEGCYTDTLEKCNTCGEPITDRMLRATGKAYHPHCFTCVVCARPLEGTSFIVDQANRPHCVPDYHKQYAPRCSVCSEPIMPEPGRDETVRVVALDKNFHMKCYKCEDCGKPLSIEADDNGCFPLDGHVLCRKCHTARAQT
+>DECOY_sp|Q15942|ZYX_HUMAN Zyxin OS=Homo sapiens OX=9606 GN=ZYX PE=1 SV=1
+TQARATHCKRCLVHGDLPFCGNDDAEISLPKGCDECKYCKMHFNKDLAVVRVTEDRGPEPMIPESCVSCRPAYQKHYDPVCHPRNAQDVIFSTGELPRACVVCTFCHPHYAKGTARLMRDTIPEGCTNCKELTDTYCGECYPAGELSYFQQGQLQQACQHCTFCAIHFLQGLARVAPQARALPQHCRGCLENVAVNQRQPHEMDQMLQQTLQELEEVEKLTLPGPAGPSRVQNQNQAPPPVPHQKEQVRPKERQQAYTFSPPQPSGTGASLAEPHGLKQNPQSGSGGPAGPSFKSAVPTFKPTVPSFKPAPAPSSAPPGRPQTNALSVPQTQSQVHLQVQPKPQPQPQVHFQTQSQAPAPVQPLPQSSSPSKWPPLPATGGAAPKTSSKSSFPTAVPPPVYGSSVRAKFPDNKTMDDLLSSLSDIELDISSVKERPQPPPPIPAEPGGEEEPPPPPSPFIEEELPAPPFSEEIPPPPPPFAGGLAGEADDGDGALPPPPLPFDEPPPPPIEGVRGMQARQAGPAPPPESDGPRFPNVKPKPAVVPGFKKQPAYFAPASVSVSIAPSPRPAAM
+>sp|P63125|VPK25_HUMAN Endogenous retrovirus group K member 25 Pro protein OS=Homo sapiens OX=9606 GN=ERVK-25 PE=3 SV=1
+WASQVSENRPVCKAIIQGKQFEGLVDTGADVSIIALNQWPKNWPKQKAVTGLVGVGTASEVYQSTEILHCLGPDNQESTVQPMITSIPLNLWGRDLLQQWGAEITMPAPLYSPTSQKIMTKMGYIPGKGLGKNEDGIKIPVEAKINQKREGIGYPF
+>DECOY_sp|P63125|VPK25_HUMAN Endogenous retrovirus group K member 25 Pro protein OS=Homo sapiens OX=9606 GN=ERVK-25 PE=3 SV=1
+FPYGIGERKQNIKAEVPIKIGDENKGLGKGPIYGMKTMIKQSTPSYLPAPMTIEAGWQQLLDRGWLNLPISTIMPQVTSEQNDPGLCHLIETSQYVESATGVGVLGTVAKQKPWNKPWQNLAIISVDAGTDVLGEFQKGQIIAKCVPRNESVQSAW
+>sp|Q9H270|VPS11_HUMAN Vacuolar protein sorting-associated protein 11 homolog OS=Homo sapiens OX=9606 GN=VPS11 PE=1 SV=1
+MAAYLQWRRFVFFDKELVKEPLSNDGAAPGATPASGSAASKFLCLPPGITVCDSGRGSLVFGDMEGQIWFLPRSLQLTGFQAYKLRVTHLYQLKQHNILASVGEDEEGINPLVKIWNLEKRDGGNPLCTRIFPAIPGTEPTVVSCLTVHENLNFMAIGFTDGSVTLNKGDITRDRHSKTQILHKGNYPVTGLAFRQAGKTTHLFVVTTENVQSYIVSGKDYPRVELDTHGCGLRCSALSDPSQDLQFIVAGDECVYLYQPDERGPCFAFEGHKLIAHWFRGYLIIVSRDRKVSPKSEFTSRDSQSSDKQILNIYDLCNKFIAYSTVFEDVVDVLAEWGSLYVLTRDGRVHALQEKDTQTKLEMLFKKNLFEMAINLAKSQHLDSDGLAQIFMQYGDHLYSKGNHDGAVQQYIRTIGKLEPSYVIRKFLDAQRIHNLTAYLQTLHRQSLANADHTTLLLNCYTKLKDSSKLEEFIKKKSESEVHFDVETAIKVLRQAGYYSHALYLAENHAHHEWYLKIQLEDIKNYQEALRYIGKLPFEQAESNMKRYGKILMHHIPEQTTQLLKGLCTDYRPSLEGRSDREAPGCRANSEEFIPIFANNPRELKAFLEHMSEVQPDSPQGIYDTLLELRLQNWAHEKDPQVKEKLHAEAISLLKSGRFCDVFDKALVLCQMHDFQDGVLYLYEQGKLFQQIMHYHMQHEQYRQVISVCERHGEQDPSLWEQALSYFARKEEDCKEYVAAVLKHIENKNLMPPLLVVQTLAHNSTATLSVIRDYLVQKLQKQSQQIAQDELRVRRYREETTRIRQEIQELKASPKIFQKTKCSICNSALELPSVHFLCGHSFHQHCFESYSESDADCPTCLPENRKVMDMIRAQEQKRDLHDQFQHQLRCSNDSFSVIADYFGRGVFNKLTLLTDPPTARLTSSLEAGLQRDLLMHSRRGT
+>DECOY_sp|Q9H270|VPS11_HUMAN Vacuolar protein sorting-associated protein 11 homolog OS=Homo sapiens OX=9606 GN=VPS11 PE=1 SV=1
+TGRRSHMLLDRQLGAELSSTLRATPPDTLLTLKNFVGRGFYDAIVSFSDNSCRLQHQFQDHLDRKQEQARIMDMVKRNEPLCTPCDADSESYSEFCHQHFSHGCLFHVSPLELASNCISCKTKQFIKPSAKLEQIEQRIRTTEERYRRVRLEDQAIQQSQKQLKQVLYDRIVSLTATSNHALTQVVLLPPMLNKNEIHKLVAAVYEKCDEEKRAFYSLAQEWLSPDQEGHRECVSIVQRYQEHQMHYHMIQQFLKGQEYLYLVGDQFDHMQCLVLAKDFVDCFRGSKLLSIAEAHLKEKVQPDKEHAWNQLRLELLTDYIGQPSDPQVESMHELFAKLERPNNAFIPIFEESNARCGPAERDSRGELSPRYDTCLGKLLQTTQEPIHHMLIKGYRKMNSEAQEFPLKGIYRLAEQYNKIDELQIKLYWEHHAHNEALYLAHSYYGAQRLVKIATEVDFHVESESKKKIFEELKSSDKLKTYCNLLLTTHDANALSQRHLTQLYATLNHIRQADLFKRIVYSPELKGITRIYQQVAGDHNGKSYLHDGYQMFIQALGDSDLHQSKALNIAMEFLNKKFLMELKTQTDKEQLAHVRGDRTLVYLSGWEALVDVVDEFVTSYAIFKNCLDYINLIQKDSSQSDRSTFESKPSVKRDRSVIILYGRFWHAILKHGEFAFCPGREDPQYLYVCEDGAVIFQLDQSPDSLASCRLGCGHTDLEVRPYDKGSVIYSQVNETTVVFLHTTKGAQRFALGTVPYNGKHLIQTKSHRDRTIDGKNLTVSGDTFGIAMFNLNEHVTLCSVVTPETGPIAPFIRTCLPNGGDRKELNWIKVLPNIGEEDEGVSALINHQKLQYLHTVRLKYAQFGTLQLSRPLFWIQGEMDGFVLSGRGSDCVTIGPPLCLFKSAASGSAPTAGPAAGDNSLPEKVLEKDFFVFRRWQLYAAM
+>sp|Q96QK1|VPS35_HUMAN Vacuolar protein sorting-associated protein 35 OS=Homo sapiens OX=9606 GN=VPS35 PE=1 SV=2
+MPTTQQSPQDEQEKLLDEAIQAVKVQSFQMKRCLDKNKLMDALKHASNMLGELRTSMLSPKSYYELYMAISDELHYLEVYLTDEFAKGRKVADLYELVQYAGNIIPRLYLLITVGVVYVKSFPQSRKDILKDLVEMCRGVQHPLRGLFLRNYLLQCTRNILPDEGEPTDEETTGDISDSMDFVLLNFAEMNKLWVRMQHQGHSRDREKRERERQELRILVGTNLVRLSQLEGVNVERYKQIVLTGILEQVVNCRDALAQEYLMECIIQVFPDEFHLQTLNPFLRACAELHQNVNVKNIIIALIDRLALFAHREDGPGIPADIKLFDIFSQQVATVIQSRQDMPSEDVVSLQVSLINLAMKCYPDRVDYVDKVLETTVEIFNKLNLEHIATSSAVSKELTRLLKIPVDTYNNILTVLKLKHFHPLFEYFDYESRKSMSCYVLSNVLDYNTEIVSQDQVDSIMNLVSTLIQDQPDQPVEDPDPEDFADEQSLVGRFIHLLRSEDPDQQYLILNTARKHFGAGGNQRIRFTLPPLVFAAYQLAFRYKENSKVDDKWEKKCQKIFSFAHQTISALIKAELAELPLRLFLQGALAAGEIGFENHETVAYEFMSQAFSLYEDEISDSKAQLAAITLIIGTFERMKCFSEENHEPLRTQCALAASKLLKKPDQGRAVSTCAHLFWSGRNTDKNGEELHGGKRVMECLKKALKIANQCMDPSLQVQLFIEILNRYIYFYEKENDAVTIQVLNQLIQKIREDLPNLESSEETEQINKHFHNTLEHLRLRRESPESEGPIYEGLIL
+>DECOY_sp|Q96QK1|VPS35_HUMAN Vacuolar protein sorting-associated protein 35 OS=Homo sapiens OX=9606 GN=VPS35 PE=1 SV=2
+LILGEYIPGESEPSERRLRLHELTNHFHKNIQETEESSELNPLDERIKQILQNLVQITVADNEKEYFYIYRNLIEIFLQVQLSPDMCQNAIKLAKKLCEMVRKGGHLEEGNKDTNRGSWFLHACTSVARGQDPKKLLKSAALACQTRLPEHNEESFCKMREFTGIILTIAALQAKSDSIEDEYLSFAQSMFEYAVTEHNEFGIEGAALAGQLFLRLPLEALEAKILASITQHAFSFIKQCKKEWKDDVKSNEKYRFALQYAAFVLPPLTFRIRQNGGAGFHKRATNLILYQQDPDESRLLHIFRGVLSQEDAFDEPDPDEVPQDPQDQILTSVLNMISDVQDQSVIETNYDLVNSLVYCSMSKRSEYDFYEFLPHFHKLKLVTLINNYTDVPIKLLRTLEKSVASSTAIHELNLKNFIEVTTELVKDVYDVRDPYCKMALNILSVQLSVVDESPMDQRSQIVTAVQQSFIDFLKIDAPIGPGDERHAFLALRDILAIIINKVNVNQHLEACARLFPNLTQLHFEDPFVQIICEMLYEQALADRCNVVQELIGTLVIQKYREVNVGELQSLRVLNTGVLIRLEQRERERKERDRSHGQHQMRVWLKNMEAFNLLVFDMSDSIDGTTEEDTPEGEDPLINRTCQLLYNRLFLGRLPHQVGRCMEVLDKLIDKRSQPFSKVYVVGVTILLYLRPIINGAYQVLEYLDAVKRGKAFEDTLYVELYHLEDSIAMYLEYYSKPSLMSTRLEGLMNSAHKLADMLKNKDLCRKMQFSQVKVAQIAEDLLKEQEDQPSQQTTPM
+>sp|P49754|VPS41_HUMAN Vacuolar protein sorting-associated protein 41 homolog OS=Homo sapiens OX=9606 GN=VPS41 PE=1 SV=3
+MAEAEEQETGSLEESTDESEEEESEEEPKLKYERLSNGVTEILQKDAASCMTVHDKFLALGTHYGKVYLLDVQGNITQKFDVSPVKINQISLDESGEHMGVCSEDGKVQVFGLYSGEEFHETFDCPIKIIAVHPHFVRSSCKQFVTGGKKLLLFERSWMNRWKSAVLHEGEGNIRSVKWRGHLIAWANNMGVKIFDIISKQRITNVPRDDISLRPDMYPCSLCWKDNVTLIIGWGTSVKVCSVKERHASEMRDLPSRYVEIVSQFETEFYISGLAPLCDQLVVLSYVKEISEKTEREYCARPRLDIIQPLSETCEEISSDALTVRGFQENECRDYHLEYSEGESLFYIVSPRDVVVAKERDQDDHIDWLLEKKKYEEALMAAEISQKNIKRHKILDIGLAYINHLVERGDYDIAARKCQKILGKNAALWEYEVYKFKEIGQLKAISPYLPRGDPVLKPLIYEMILHEFLESDYEGFATLIREWPGDLYNNSVIVQAVRDHLKKDSQNKTLLKTLAELYTYDKNYGNALEIYLTLRHKDVFQLIHKHNLFSSIKDKIVLLMDFDSEKAVDMLLDNEDKISIKKVVEELEDRPELQHVYLHKLFKRDHHKGQRYHEKQISLYAEYDRPNLLPFLRDSTHCPLEKALEICQQRNFVEETVYLLSRMGNSRSALKMIMEELHDVDKAIEFAKEQDDGELWEDLILYSIDKPPFITGLLNNIGTHVDPILLIHRIKEGMEIPNLRDSLVKILQDYNLQILLREGCKKILVADSLSLLKKMHRTQMKGVLVDEENICESCLSPILPSDAAKPFSVVVFHCRHMFHKECLPMPSMNSAAQFCNICSAKNRGPGSAILEMKK
+>DECOY_sp|P49754|VPS41_HUMAN Vacuolar protein sorting-associated protein 41 homolog OS=Homo sapiens OX=9606 GN=VPS41 PE=1 SV=3
+KKMELIASGPGRNKASCINCFQAASNMSPMPLCEKHFMHRCHFVVVSFPKAADSPLIPSLCSECINEEDVLVGKMQTRHMKKLLSLSDAVLIKKCGERLLIQLNYDQLIKVLSDRLNPIEMGEKIRHILLIPDVHTGINNLLGTIFPPKDISYLILDEWLEGDDQEKAFEIAKDVDHLEEMIMKLASRSNGMRSLLYVTEEVFNRQQCIELAKELPCHTSDRLFPLLNPRDYEAYLSIQKEHYRQGKHHDRKFLKHLYVHQLEPRDELEEVVKKISIKDENDLLMDVAKESDFDMLLVIKDKISSFLNHKHILQFVDKHRLTLYIELANGYNKDYTYLEALTKLLTKNQSDKKLHDRVAQVIVSNNYLDGPWERILTAFGEYDSELFEHLIMEYILPKLVPDGRPLYPSIAKLQGIEKFKYVEYEWLAANKGLIKQCKRAAIDYDGREVLHNIYALGIDLIKHRKINKQSIEAAMLAEEYKKKELLWDIHDDQDREKAVVVDRPSVIYFLSEGESYELHYDRCENEQFGRVTLADSSIEECTESLPQIIDLRPRACYERETKESIEKVYSLVVLQDCLPALGSIYFETEFQSVIEVYRSPLDRMESAHREKVSCVKVSTGWGIILTVNDKWCLSCPYMDPRLSIDDRPVNTIRQKSIIDFIKVGMNNAWAILHGRWKVSRINGEGEHLVASKWRNMWSREFLLLKKGGTVFQKCSSRVFHPHVAIIKIPCDFTEHFEEGSYLGFVQVKGDESCVGMHEGSEDLSIQNIKVPSVDFKQTINGQVDLLYVKGYHTGLALFKDHVTMCSAADKQLIETVGNSLREYKLKPEEESEEEESEDTSEELSGTEQEEAEAM
+>sp|Q9NRW7|VPS45_HUMAN Vacuolar protein sorting-associated protein 45 OS=Homo sapiens OX=9606 GN=VPS45 PE=1 SV=1
+MNVVFAVKQYISKMIEDSGPGMKVLLMDKETTGIVSMVYTQSEILQKEVYLFERIDSQNREIMKHLKAICFLRPTKENVDYIIQELRRPKYTIYFIYFSNVISKSDVKSLAEADEQEVVAEVQEFYGDYIAVNPHLFSLNILGCCQGRNWDPAQLSRTTQGLTALLLSLKKCPMIRYQLSSEAAKRLAECVKQVITKEYELFEFRRTEVPPLLLILDRCDDAITPLLNQWTYQAMVHELLGINNNRIDLSRVPGISKDLREVVLSAENDEFYANNMYLNFAEIGSNIKNLMEDFQKKKPKEQQKLESIADMKAFVENYPQFKKMSGTVSKHVTVVGELSRLVSERNLLEVSEVEQELACQNDHSSALQNIKRLLQNPKVTEFDAARLVMLYALHYERHSSNSLPGLMMDLRNKGVSEKYRKLVSAVVEYGGKRVRGSDLFSPKDAVAITKQFLKGLKGVENVYTQHQPFLHETLDHLIKGRLKENLYPYLGPSTLRDRPQDIIVFVIGGATYEEALTVYNLNRTTPGVRIVLGGTTVHNTKSFLEEVLASGLHSRSKESSQVTSRSASRR
+>DECOY_sp|Q9NRW7|VPS45_HUMAN Vacuolar protein sorting-associated protein 45 OS=Homo sapiens OX=9606 GN=VPS45 PE=1 SV=1
+RRSASRSTVQSSEKSRSHLGSALVEELFSKTNHVTTGGLVIRVGPTTRNLNYVTLAEEYTAGGIVFVIIDQPRDRLTSPGLYPYLNEKLRGKILHDLTEHLFPQHQTYVNEVGKLGKLFQKTIAVADKPSFLDSGRVRKGGYEVVASVLKRYKESVGKNRLDMMLGPLSNSSHREYHLAYLMVLRAADFETVKPNQLLRKINQLASSHDNQCALEQEVESVELLNRESVLRSLEGVVTVHKSVTGSMKKFQPYNEVFAKMDAISELKQQEKPKKKQFDEMLNKINSGIEAFNLYMNNAYFEDNEASLVVERLDKSIGPVRSLDIRNNNIGLLEHVMAQYTWQNLLPTIADDCRDLILLLPPVETRRFEFLEYEKTIVQKVCEALRKAAESSLQYRIMPCKKLSLLLATLGQTTRSLQAPDWNRGQCCGLINLSFLHPNVAIYDGYFEQVEAVVEQEDAEALSKVDSKSIVNSFYIFYITYKPRRLEQIIYDVNEKTPRLFCIAKLHKMIERNQSDIREFLYVEKQLIESQTYVMSVIGTTEKDMLLVKMGPGSDEIMKSIYQKVAFVVNM
+>sp|Q9UN37|VPS4A_HUMAN Vacuolar protein sorting-associated protein 4A OS=Homo sapiens OX=9606 GN=VPS4A PE=1 SV=1
+MTTSTLQKAIDLVTKATEEDKAKNYEEALRLYQHAVEYFLHAIKYEAHSDKAKESIRAKCVQYLDRAEKLKDYLRSKEKHGKKPVKENQSEGKGSDSDSEGDNPEKKKLQEQLMGAVVMEKPNIRWNDVAGLEGAKEALKEAVILPIKFPHLFTGKRTPWRGILLFGPPGTGKSYLAKAVATEANNSTFFSVSSSDLMSKWLGESEKLVKNLFELARQHKPSIIFIDEVDSLCGSRNENESEAARRIKTEFLVQMQGVGNNNDGTLVLGATNIPWVLDSAIRRRFEKRIYIPLPEEAARAQMFRLHLGSTPHNLTDANIHELARKTEGYSGADISIIVRDSLMQPVRKVQSATHFKKVCGPSRTNPSMMIDDLLTPCSPGDPGAMEMTWMDVPGDKLLEPVVCMSDMLRSLATTRPTVNADDLLKVKKFSEDFGQES
+>DECOY_sp|Q9UN37|VPS4A_HUMAN Vacuolar protein sorting-associated protein 4A OS=Homo sapiens OX=9606 GN=VPS4A PE=1 SV=1
+SEQGFDESFKKVKLLDDANVTPRTTALSRLMDSMCVVPELLKDGPVDMWTMEMAGPDGPSCPTLLDDIMMSPNTRSPGCVKKFHTASQVKRVPQMLSDRVIISIDAGSYGETKRALEHINADTLNHPTSGLHLRFMQARAAEEPLPIYIRKEFRRRIASDLVWPINTAGLVLTGDNNNGVGQMQVLFETKIRRAAESENENRSGCLSDVEDIFIISPKHQRALEFLNKVLKESEGLWKSMLDSSSVSFFTSNNAETAVAKALYSKGTGPPGFLLIGRWPTRKGTFLHPFKIPLIVAEKLAEKAGELGAVDNWRINPKEMVVAGMLQEQLKKKEPNDGESDSDSGKGESQNEKVPKKGHKEKSRLYDKLKEARDLYQVCKARISEKAKDSHAEYKIAHLFYEVAHQYLRLAEEYNKAKDEETAKTVLDIAKQLTSTTM
+>sp|O75351|VPS4B_HUMAN Vacuolar protein sorting-associated protein 4B OS=Homo sapiens OX=9606 GN=VPS4B PE=1 SV=2
+MSSTSPNLQKAIDLASKAAQEDKAGNYEEALQLYQHAVQYFLHVVKYEAQGDKAKQSIRAKCTEYLDRAEKLKEYLKNKEKKAQKPVKEGQPSPADEKGNDSDGEGESDDPEKKKLQNQLQGAIVIERPNVKWSDVAGLEGAKEALKEAVILPIKFPHLFTGKRTPWRGILLFGPPGTGKSYLAKAVATEANNSTFFSISSSDLVSKWLGESEKLVKNLFQLARENKPSIIFIDEIDSLCGSRSENESEAARRIKTEFLVQMQGVGVDNDGILVLGATNIPWVLDSAIRRRFEKRIYIPLPEPHARAAMFKLHLGTTQNSLTEADFRELGRKTDGYSGADISIIVRDALMQPVRKVQSATHFKKVRGPSRADPNHLVDDLLTPCSPGDPGAIEMTWMDVPGDKLLEPVVSMSDMLRSLSNTKPTVNEHDLLKLKKFTEDFGQEG
+>DECOY_sp|O75351|VPS4B_HUMAN Vacuolar protein sorting-associated protein 4B OS=Homo sapiens OX=9606 GN=VPS4B PE=1 SV=2
+GEQGFDETFKKLKLLDHENVTPKTNSLSRLMDSMSVVPELLKDGPVDMWTMEIAGPDGPSCPTLLDDVLHNPDARSPGRVKKFHTASQVKRVPQMLADRVIISIDAGSYGDTKRGLERFDAETLSNQTTGLHLKFMAARAHPEPLPIYIRKEFRRRIASDLVWPINTAGLVLIGDNDVGVGQMQVLFETKIRRAAESENESRSGCLSDIEDIFIISPKNERALQFLNKVLKESEGLWKSVLDSSSISFFTSNNAETAVAKALYSKGTGPPGFLLIGRWPTRKGTFLHPFKIPLIVAEKLAEKAGELGAVDSWKVNPREIVIAGQLQNQLKKKEPDDSEGEGDSDNGKEDAPSPQGEKVPKQAKKEKNKLYEKLKEARDLYETCKARISQKAKDGQAEYKVVHLFYQVAHQYLQLAEEYNGAKDEQAAKSALDIAKQLNPSTSSM
+>sp|P0DP72|VSXL2_HUMAN V-set and immunoglobulin domain-containing protein 10-like 2 OS=Homo sapiens OX=9606 GN=VSIG10L2 PE=3 SV=1
+MVGQRAQHSPVSLLLLIHLCLLHLRASGQPHPTPEAPVEEVVSVQGVRGGSVELACGSGPAPLLVLWSFTPLGSLVPRPVAVTDGAMSKVEAIASALGVVSLRNSSLVLGELHEGARGHFLCQVLHVAGGQLHAAYSHLTLAVLVPVSKPQVRLSNPSPVEGASVVATCAVREGTEPVTFAWQHRAPRGLGEALVGVTEPLFQLDPVNRTHLGWYMCSASNSVNRLSSDGAFLDVIYGPDKPVITMEPLGLTEEGFWASEREEVTLSCLAASNPPSHYVWLRDHTQVHTGPTYVIARAGRVHTGLYTCLARNSYLDTRTQTTVQLTIYYPPEGQPSCAVHPSPEAVTLLCAWPGGLPPAQLQWEGPQGPGPTAPSNVTWSHAAAQLPSGSVFTCTGQHPALAPPALCTVMLWEPLGRPTCWSTATMGDQFIMLSCEWPGGEPPATLGWLDEQQQPLGGSSSSMAVHLLQAQEDLAGREFTCRGTHLLRTPDPHCHLQLEAPQLDVAEPRVSVLEGGEAWLECSLRGGTPPAQLLWLGPQQQKVDPGTSGFMLHPEGAQLRLGIYDADPAHHRGTYQCVARNAVGNSSQSVLLEVLRYPAPPNVTISRLTYGRHRREVQLQWAILGPGNLTGFLVQRKASALGPGAGAWETAASDIEPESRGRRLGGLDPGVLYAFRILALNHHTAGHPSEVKIPADPPFSAYPAVLGAAGTGMVVATVASLLVFQYAARHPETFPRLETPTTTPGLDPAQETTDSPVNVTITVTATP
+>DECOY_sp|P0DP72|VSXL2_HUMAN V-set and immunoglobulin domain-containing protein 10-like 2 OS=Homo sapiens OX=9606 GN=VSIG10L2 PE=3 SV=1
+PTATVTITVNVPSDTTEQAPDLGPTTTPTELRPFTEPHRAAYQFVLLSAVTAVVMGTGAAGLVAPYASFPPDAPIKVESPHGATHHNLALIRFAYLVGPDLGGLRRGRSEPEIDSAATEWAGAGPGLASAKRQVLFGTLNGPGLIAWQLQVERRHRGYTLRSITVNPPAPYRLVELLVSQSSNGVANRAVCQYTGRHHAPDADYIGLRLQAGEPHLMFGSTGPDVKQQQPGLWLLQAPPTGGRLSCELWAEGGELVSVRPEAVDLQPAELQLHCHPDPTRLLHTGRCTFERGALDEQAQLLHVAMSSSSGGLPQQQEDLWGLTAPPEGGPWECSLMIFQDGMTATSWCTPRGLPEWLMVTCLAPPALAPHQGTCTFVSGSPLQAAAHSWTVNSPATPGPGQPGEWQLQAPPLGGPWACLLTVAEPSPHVACSPQGEPPYYITLQVTTQTRTDLYSNRALCTYLGTHVRGARAIVYTPGTHVQTHDRLWVYHSPPNSAALCSLTVEERESAWFGEETLGLPEMTIVPKDPGYIVDLFAGDSSLRNVSNSASCMYWGLHTRNVPDLQFLPETVGVLAEGLGRPARHQWAFTVPETGERVACTAVVSAGEVPSPNSLRVQPKSVPVLVALTLHSYAAHLQGGAVHLVQCLFHGRAGEHLEGLVLSSNRLSVVGLASAIAEVKSMAGDTVAVPRPVLSGLPTFSWLVLLPAPGSGCALEVSGGRVGQVSVVEEVPAEPTPHPQGSARLHLLCLHILLLLSVPSHQARQGVM
+>sp|Q8TAG5|VTM2A_HUMAN V-set and transmembrane domain-containing protein 2A OS=Homo sapiens OX=9606 GN=VSTM2A PE=1 SV=3
+MMGIFLVYVGFVFFSVLYVQQGLSSQAKFTEFPRNVTATEGQNVEMSCAFQSGSASVYLEIQWWFLRGPEDLDPGAEGAGAQVELLPDRDPDSDGTKISTVKVQGNDISHKLQISKVRKKDEGLYECRVTDANYGELQEHKAQAYLKVNANSHARRMQAFEASPMWLQDMKPRKNVSAAIPSSIHGSANQRTHSTSSPQVVAKIPKQSPQSGARIATSHGLSVLLLVCGFVKGALL
+>DECOY_sp|Q8TAG5|VTM2A_HUMAN V-set and transmembrane domain-containing protein 2A OS=Homo sapiens OX=9606 GN=VSTM2A PE=1 SV=3
+LLAGKVFGCVLLLVSLGHSTAIRAGSQPSQKPIKAVVQPSSTSHTRQNASGHISSPIAASVNKRPKMDQLWMPSAEFAQMRRAHSNANVKLYAQAKHEQLEGYNADTVRCEYLGEDKKRVKSIQLKHSIDNGQVKVTSIKTGDSDPDRDPLLEVQAGAGEAGPDLDEPGRLFWWQIELYVSASGSQFACSMEVNQGETATVNRPFETFKAQSSLGQQVYLVSFFVFGVYVLFIGMM
+>sp|Q96N03|VTM2L_HUMAN V-set and transmembrane domain-containing protein 2-like protein OS=Homo sapiens OX=9606 GN=VSTM2L PE=1 SV=1
+MGAPLAVALGALHYLALFLQLGGATRPAGHAPWDNHVSGHALFTETPHDMTARTGEDVEMACSFRGSGSPSYSLEIQWWYVRSHRDWTDKQAWASNQLKASQQEDAGKEATKISVVKVVGSNISHKLRLSRVKPTDEGTYECRVIDFSDGKARHHKVKAYLRVQPGENSVLHLPEAPPAAPAPPPPKPGKELRKRSVDQEACSL
+>DECOY_sp|Q96N03|VTM2L_HUMAN V-set and transmembrane domain-containing protein 2-like protein OS=Homo sapiens OX=9606 GN=VSTM2L PE=1 SV=1
+LSCAEQDVSRKRLEKGPKPPPPAPAAPPAEPLHLVSNEGPQVRLYAKVKHHRAKGDSFDIVRCEYTGEDTPKVRSLRLKHSINSGVVKVVSIKTAEKGADEQQSAKLQNSAWAQKDTWDRHSRVYWWQIELSYSPSGSGRFSCAMEVDEGTRATMDHPTETFLAHGSVHNDWPAHGAPRTAGGLQLFLALYHLAGLAVALPAGM
+>sp|P04004|VTNC_HUMAN Vitronectin OS=Homo sapiens OX=9606 GN=VTN PE=1 SV=1
+MAPLRPLLILALLAWVALADQESCKGRCTEGFNVDKKCQCDELCSYYQSCCTDYTAECKPQVTRGDVFTMPEDEYTVYDDGEEKNNATVHEQVGGPSLTSDLQAQSKGNPEQTPVLKPEEEAPAPEVGASKPEGIDSRPETLHPGRPQPPAEEELCSGKPFDAFTDLKNGSLFAFRGQYCYELDEKAVRPGYPKLIRDVWGIEGPIDAAFTRINCQGKTYLFKGSQYWRFEDGVLDPDYPRNISDGFDGIPDNVDAALALPAHSYSGRERVYFFKGKQYWEYQFQHQPSQEECEGSSLSAVFEHFAMMQRDSWEDIFELLFWGRTSAGTRQPQFISRDWHGVPGQVDAAMAGRIYISGMAPRPSLAKKQRFRHRNRKGYRSQRGHSRGRNQNSRRPSRATWLSLFSSEESNLGANNYDDYRMDWLVPATCEPIQSVFFFSGDKYYRVNLRTRRVDTVDPPYPRSIAQYWLGCPAPGHL
+>DECOY_sp|P04004|VTNC_HUMAN Vitronectin OS=Homo sapiens OX=9606 GN=VTN PE=1 SV=1
+LHGPAPCGLWYQAISRPYPPDVTDVRRTRLNVRYYKDGSFFFVSQIPECTAPVLWDMRYDDYNNAGLNSEESSFLSLWTARSPRRSNQNRGRSHGRQSRYGKRNRHRFRQKKALSPRPAMGSIYIRGAMAADVQGPVGHWDRSIFQPQRTGASTRGWFLLEFIDEWSDRQMMAFHEFVASLSSGECEEQSPQHQFQYEWYQKGKFFYVRERGSYSHAPLALAADVNDPIGDFGDSINRPYDPDLVGDEFRWYQSGKFLYTKGQCNIRTFAADIPGEIGWVDRILKPYGPRVAKEDLEYCYQGRFAFLSGNKLDTFADFPKGSCLEEEAPPQPRGPHLTEPRSDIGEPKSAGVEPAPAEEEPKLVPTQEPNGKSQAQLDSTLSPGGVQEHVTANNKEEGDDYVTYEDEPMTFVDGRTVQPKCEATYDTCCSQYYSCLEDCQCKKDVNFGETCRGKCSEQDALAVWALLALILLPRLPAM
+>sp|Q8N398|VW5B2_HUMAN von Willebrand factor A domain-containing protein 5B2 OS=Homo sapiens OX=9606 GN=VWA5B2 PE=2 SV=2
+MPGLYCPSSWTPLPLTDSWVRACANGPCLSVRARLTYRNPQPQPVDGVFVYPLAEAEVVSGFEAEAAGRRVSFQLQSRRRSQAACCRALGPGLGTPTPRRCAQGHLVLDLAQARSTLVLPTGIIAAAGTMTVTLHSSRELPSRPDGVLHVALPTVLTPLAPPGPPGPPRPPGLCDDSGPVTLLMLPSSPTSCFGVGSLQEEGLAWEELAAPRDVFSGPARCPAPYTFSFEMLVTGPCLLAGLESPSHALRADAPPHASSAATICVTLAEGHHCDRALEILLHPSEPHQPHLMLEGGSLSSAEYEARVRARRDFQRLQRRDSDGDRQVWFLQRRFHKDILLNPVLALSFCPDLSSKPGHLGTATRELLFLLDSSSVAHKDAIVLAVKSLPPQTLINLAVFGTLVQPLFPESRPCSDDAVQLICESIETLQVPSGPPDVLAALDWAVGQPQHRAYPRQLFLLTAASPMAATTHRTLELMRWHRGTARCFSFGLGPTCHQLLQGLSALSRGQAYFLRPGQRLQPMLVQALRKALEPALSDISVDWFVPDTVEALLTPREIPALYPGDQLLGYCSLFRVDGFRSRPPGGQEPGWQSSGGSVFPSPEEAPSAASPGTEPTGTSEPLGTGTVSAELSSPWAARDSEQSTDALTDPVTDPGPNPSDTAIWRRIFQSSYIREQYVLTHCSASPEPGPGSTGSSESPGSQGPGSPEGSAPLEPPSQQGCRSLAWGEPAGSRSCPLPAPTPAPFKVGALSTEVLGRQHRAALAGRSLSSPPGRANQVPGRPRKPSLGAILDGPSPEPGQQLGQGLDDSGNLLSPAPMDWDMLMEPPFLFTAVPPSGELAPPAVPPQAPRCHVVIRGLCGEQPMCWEVGVGLETLWGPGDGSQPPSPPVREAAWDQALHRLTAASVVRDNEQLALRGGAETTADRGHARRCWLRALQTSKVSSAPSCFTCPVAVDATTREVLPGALQVCSSEPAEPPGTPPASHSHLDAAPLPTVVYSKGLQRGSPAGAWDSDQNGNSKRALGDPATPTEGPRRPPPRPPCRLSMGRRHKLCSPDPGQANNSEGSDHDYLPLVRLQEAPGSFRLDAPFCAAVRISQERLCRASPFAVHRASLSPTSASLPWALLGPGVGQGDSATASCSPSPSSGSEGPGQVDSGRGSDTEASEGAEGLGGTDLRGRTWATAVALAWLEHRCAAAFDEWELTAAKADCWLRAQHLPDGLDLAALKAAARGLFLLLRHWDQNLQLHLLCYSPANV
+>DECOY_sp|Q8N398|VW5B2_HUMAN von Willebrand factor A domain-containing protein 5B2 OS=Homo sapiens OX=9606 GN=VWA5B2 PE=2 SV=2
+VNAPSYCLLHLQLNQDWHRLLLFLGRAAAKLAALDLGDPLHQARLWCDAKAATLEWEDFAAACRHELWALAVATAWTRGRLDTGGLGEAGESAETDSGRGSDVQGPGESGSSPSPSCSATASDGQGVGPGLLAWPLSASTPSLSARHVAFPSARCLREQSIRVAACFPADLRFSGPAEQLRVLPLYDHDSGESNNAQGPDPSCLKHRRGMSLRCPPRPPPRRPGETPTAPDGLARKSNGNQDSDWAGAPSGRQLGKSYVVTPLPAADLHSHSAPPTGPPEAPESSCVQLAGPLVERTTADVAVPCTFCSPASSVKSTQLARLWCRRAHGRDATTEAGGRLALQENDRVVSAATLRHLAQDWAAERVPPSPPQSGDGPGWLTELGVGVEWCMPQEGCLGRIVVHCRPAQPPVAPPALEGSPPVATFLFPPEMLMDWDMPAPSLLNGSDDLGQGLQQGPEPSPGDLIAGLSPKRPRGPVQNARGPPSSLSRGALAARHQRGLVETSLAGVKFPAPTPAPLPCSRSGAPEGWALSRCGQQSPPELPASGEPSGPGQSGPSESSGTSGPGPEPSASCHTLVYQERIYSSQFIRRWIATDSPNPGPDTVPDTLADTSQESDRAAWPSSLEASVTGTGLPESTGTPETGPSAASPAEEPSPFVSGGSSQWGPEQGGPPRSRFGDVRFLSCYGLLQDGPYLAPIERPTLLAEVTDPVFWDVSIDSLAPELAKRLAQVLMPQLRQGPRLFYAQGRSLASLGQLLQHCTPGLGFSFCRATGRHWRMLELTRHTTAAMPSAATLLFLQRPYARHQPQGVAWDLAALVDPPGSPVQLTEISECILQVADDSCPRSEPFLPQVLTGFVALNILTQPPLSKVALVIADKHAVSSSDLLFLLERTATGLHGPKSSLDPCFSLALVPNLLIDKHFRRQLFWVQRDGDSDRRQLRQFDRRARVRAEYEASSLSGGELMLHPQHPESPHLLIELARDCHHGEALTVCITAASSAHPPADARLAHSPSELGALLCPGTVLMEFSFTYPAPCRAPGSFVDRPAALEEWALGEEQLSGVGFCSTPSSPLMLLTVPGSDDCLGPPRPPGPPGPPALPTLVTPLAVHLVGDPRSPLERSSHLTVTMTGAAAIIGTPLVLTSRAQALDLVLHGQACRRPTPTGLGPGLARCCAAQSRRRSQLQFSVRRGAAEAEFGSVVEAEALPYVFVGDVPQPQPNRYTLRARVSLCPGNACARVWSDTLPLPTWSSPCYLGPM
+>sp|Q9Y334|VWA7_HUMAN von Willebrand factor A domain-containing protein 7 OS=Homo sapiens OX=9606 GN=VWA7 PE=2 SV=4
+MLPTEVPQSHPGPSALLLLQLLLPPTSAFFPNIWSLLAAPGSITHQDLTEEAALNVTLQLFLEQPPPGRPPLRLEDFLGRTLLADDLFAAYFGPGSSRRFRAALGEVSRANAAQDFLPTSRNDPDLHFDAERLGQGRARLVGALRETVVAARALDHTLARQRLGAALHALQDFYSHSNWVELGEQQPHPHLLWPRQELQNLAQVADPTCSDCEELSCPRNWLGFTLLTSGYFGTHPPKPPGKCSHGGHFDRSSSQPPRGGINKDSTSPGFSPHHMLHLQAAKLALLASIQAFSLLRSRLGDRDFSRLLDITPASSLSFVLDTTGSMGEEINAAKIQARHLVEQRRGSPMEPVHYVLVPFHDPGFGPVFTTSDPDSFWQQLNEIHALGGGDEPEMCLSALQLALLHTPPLSDIFVFTDASPKDAFLTNQVESLTQERRCRVTFLVTEDTSRVQGRARREILSPLRFEPYKAVALASGGEVIFTKDQHIRDVAAIVGESMAALVTLPLDPPVVVPGQPLVFSVDGLLQKITVRIHGDISSFWIKNPAGVSQGQEEGGGPLGHTRRFGQFWMVTMDDPPQTGTWEIQVTAEDTPGVRVQAQTSLDFLFHFGIPMEDGPHPGLYPLTQPVAGLQTQLLVEVTGLGSRANPGDPQPHFSHVILRGVPEGAELGQVPLEPVGPPERGLLAASLSPTLLSTPRPFSLELIGQDAAGRRLHRAAPQPSTVVPVLLELSGPSGFLAPGSKVPLSLRIASFSGPQDLDLRTFVNPSFSLTSNLSRAHLELNESAWGRLWLEVPDSAAPDSVVMVTVTAGGREANPVPPTHAFLRLLVSAPAPQDRHTTPTGSSDPILTTATPAFSPFTLVTQGRAGAGLAAGSPWWGTVGGVLLLLGLASW
+>DECOY_sp|Q9Y334|VWA7_HUMAN von Willebrand factor A domain-containing protein 7 OS=Homo sapiens OX=9606 GN=VWA7 PE=2 SV=4
+WSALGLLLLVGGVTGWWPSGAALGAGARGQTVLTFPSFAPTATTLIPDSSGTPTTHRDQPAPASVLLRLFAHTPPVPNAERGGATVTVMVVSDPAASDPVELWLRGWASENLELHARSLNSTLSFSPNVFTRLDLDQPGSFSAIRLSLPVKSGPALFGSPGSLELLVPVVTSPQPAARHLRRGAADQGILELSFPRPTSLLTPSLSAALLGREPPGVPELPVQGLEAGEPVGRLIVHSFHPQPDGPNARSGLGTVEVLLQTQLGAVPQTLPYLGPHPGDEMPIGFHFLFDLSTQAQVRVGPTDEATVQIEWTGTQPPDDMTVMWFQGFRRTHGLPGGGEEQGQSVGAPNKIWFSSIDGHIRVTIKQLLGDVSFVLPQGPVVVPPDLPLTVLAAMSEGVIAAVDRIHQDKTFIVEGGSALAVAKYPEFRLPSLIERRARGQVRSTDETVLFTVRCRREQTLSEVQNTLFADKPSADTFVFIDSLPPTHLLALQLASLCMEPEDGGGLAHIENLQQWFSDPDSTTFVPGFGPDHFPVLVYHVPEMPSGRRQEVLHRAQIKAANIEEGMSGTTDLVFSLSSAPTIDLLRSFDRDGLRSRLLSFAQISALLALKAAQLHLMHHPSFGPSTSDKNIGGRPPQSSSRDFHGGHSCKGPPKPPHTGFYGSTLLTFGLWNRPCSLEECDSCTPDAVQALNQLEQRPWLLHPHPQQEGLEVWNSHSYFDQLAHLAAGLRQRALTHDLARAAVVTERLAGVLRARGQGLREADFHLDPDNRSTPLFDQAANARSVEGLAARFRRSSGPGFYAAFLDDALLTRGLFDELRLPPRGPPPQELFLQLTVNLAAEETLDQHTISGPAALLSWINPFFASTPPLLLQLLLLASPGPHSQPVETPLM
+>sp|A3KMH1|VWA8_HUMAN von Willebrand factor A domain-containing protein 8 OS=Homo sapiens OX=9606 GN=VWA8 PE=1 SV=2
+MQSRLLLLGAPGGHGGPASRRMRLLLRQVVQRRPGGDRQRPEVRLLHAGSGADTGDTVNIGDVSYKLKIPKNPELVPQNYISDSLAQSVVQHLRWIMQKDLLGQDVFLIGPPGPLRRSIAMQYLELTKREVEYIALSRDTTETDLKQRREIRAGTAFYIDQCAVRAATEGRTLILEGLEKAERNVLPVLNNLLENREMQLEDGRFLMSAERYDKLLRDHTKKELDSWKIVRVSENFRVIALGLPVPRYSGNPLDPPLRSRFQARDIYYLPFKDQLKLLYSIGANVSAEKVSQLLSFATTLCSQESSTLGLPDFPLDSLAAAVQILDSFPMMPIKHAIQWLYPYSILLGHEGKMAVEGVLKRFELQDSGSSLLPKEIVKVEKMMENHVSQASVTIRIADKEVTIKVPAGTRLLSQPCASDRFIQTLSHKQLQAEMMQSHMVKDICLIGGKGCGKTVIAKNFADTLGYNIEPIMLYQDMTARDLLQQRYTLPNGDTAWRSSPLVNAALEGKLVLLDGIHRVNAGTLAVLQRLIHDRELSLYDGSRLLREDRYMRLKEELQLSDEQLQKRSIFPIHPSFRIIALAEPPVIGSTAHQWLGPEFLTMFFFHYMKPLVKSEEIQVIKEKVPNVPQEALDKLLSFTHKLRETQDPTAQSLAASLSTRQLLRISRRLSQYPNENLHSAVTKACLSRFLPSLARSALEKNLADATIEINTDDNLEPELKDYKCEVTSGTLRIGAVSAPIYNAHEKMKVPDVLFYDNIQHVIVMEDMLKDFLLGEHLLLVGNQGVGKNKIVDRFLHLLNRPREYIQLHRDTTVQTLTLQPSVKDGLIVYEDSPLVKAVKLGHILVVDEADKAPTNVTCILKTLVENGEMILADGRRIVANSANVNGRENVVVIHPDFRMIVLANRPGFPFLGNDFFGTLGDIFSCHAVDNPKPHSELEMLRQYGPNVPEPILQKLVAAFGELRSLADQGIINYPYSTREVVNIVKHLQKFPTEGLSSVVRNVFDFDSYNNDMREILINTLHKYGIPIGAKPTSVQLAKELTLPEQTFMGYWTIGQARSGMQKLLCPVETHHIDIKGPALINIQEYPIERHEERSLNFTEECASWRIPLDEINIICDIATSHENEQNTLYVVTCNPASLYFMNMTGKSGFFVDFFDIFPRTANGVWHPFVTVAPLGSPLKGQVVLHEQQSNVILLLDTTGRALHRLILPSEKFTSKKPFWWNKEEAETYKMCKEFSHKNWLVFYKEKGNSLTVLDVLEGRTHTISLPINLKTVFLVAEDKWLLVESKTNQKYLLTKPAHIESEGSGVCQLYVLKEEPPSTGFGVTQETEFSIPHKISSDQLSSEHLSSAVEQKIASPNRILSDEKNYATIVVGFPDLMSPSEVYSWKRPSSLHKRSGTDTSFYRGKKKRGTPKQSNCVTLLDTNQVVRILPPGEVPLKDIYPKDVTPPQTSGYIEVTDLQSKKLRYIPIPRSESLSPYTTWLSTISDTDALLAEWDKSGVVTVDMGGHIRLWETGLERLQRSLMEWRNMIGQDDRNMQITINRDSGEDVSSPKHGKEDPDNMPHVGGNTWAGGTGGRDTAGLGGKGGPYRLDAGHTVYQVSQAEKDAVPEEVKRAAREMGQRAFQQRLKEIQMSEYDAATYERFSGAVRRQVHSLRIILDNLQAKGKERQWLRHQATGELDDAKIIDGLTGEKAIYKRRGELEPQLGSPQQKPKRLRLVVDVSGSMYRFNRMDGRLERTMEAVCMVMEAFENYEEKFQYDIVGHSGDGYNIGLVPMNKIPKDNKQRLEILKTMHAHSQFCMSGDHTLEGTEHAIKEIVKEEADEYFVIVLSDANLSRYGIHPAKFAQILTRDPQVNAFAIFIGSLGDQATRLQRTLPAGRSFVAMDTKDIPQILQQIFTSTMLSSV
+>DECOY_sp|A3KMH1|VWA8_HUMAN von Willebrand factor A domain-containing protein 8 OS=Homo sapiens OX=9606 GN=VWA8 PE=1 SV=2
+VSSLMTSTFIQQLIQPIDKTDMAVFSRGAPLTRQLRTAQDGLSGIFIAFANVQPDRTLIQAFKAPHIGYRSLNADSLVIVFYEDAEEKVIEKIAHETGELTHDGSMCFQSHAHMTKLIELRQKNDKPIKNMPVLGINYGDGSHGVIDYQFKEEYNEFAEMVMCVAEMTRELRGDMRNFRYMSGSVDVVLRLRKPKQQPSGLQPELEGRRKYIAKEGTLGDIIKADDLEGTAQHRLWQREKGKAQLNDLIIRLSHVQRRVAGSFREYTAADYESMQIEKLRQQFARQGMERAARKVEEPVADKEAQSVQYVTHGADLRYPGGKGGLGATDRGGTGGAWTNGGVHPMNDPDEKGHKPSSVDEGSDRNITIQMNRDDQGIMNRWEMLSRQLRELGTEWLRIHGGMDVTVVGSKDWEALLADTDSITSLWTTYPSLSESRPIPIYRLKKSQLDTVEIYGSTQPPTVDKPYIDKLPVEGPPLIRVVQNTDLLTVCNSQKPTGRKKKGRYFSTDTGSRKHLSSPRKWSYVESPSMLDPFGVVITAYNKEDSLIRNPSAIKQEVASSLHESSLQDSSIKHPISFETEQTVGFGTSPPEEKLVYLQCVGSGESEIHAPKTLLYKQNTKSEVLLWKDEAVLFVTKLNIPLSITHTRGELVDLVTLSNGKEKYFVLWNKHSFEKCMKYTEAEEKNWWFPKKSTFKESPLILRHLARGTTDLLLIVNSQQEHLVVQGKLPSGLPAVTVFPHWVGNATRPFIDFFDVFFGSKGTMNMFYLSAPNCTVVYLTNQENEHSTAIDCIINIEDLPIRWSACEETFNLSREEHREIPYEQINILAPGKIDIHHTEVPCLLKQMGSRAQGITWYGMFTQEPLTLEKALQVSTPKAGIPIGYKHLTNILIERMDNNYSDFDFVNRVVSSLGETPFKQLHKVINVVERTSYPYNIIGQDALSRLEGFAAVLKQLIPEPVNPGYQRLMELESHPKPNDVAHCSFIDGLTGFFDNGLFPFGPRNALVIMRFDPHIVVVNERGNVNASNAVIRRGDALIMEGNEVLTKLICTVNTPAKDAEDVVLIHGLKVAKVLPSDEYVILGDKVSPQLTLTQVTTDRHLQIYERPRNLLHLFRDVIKNKGVGQNGVLLLHEGLLFDKLMDEMVIVHQINDYFLVDPVKMKEHANYIPASVAGIRLTGSTVECKYDKLEPELNDDTNIEITADALNKELASRALSPLFRSLCAKTVASHLNENPYQSLRRSIRLLQRTSLSAALSQATPDQTERLKHTFSLLKDLAEQPVNPVKEKIVQIEESKVLPKMYHFFFMTLFEPGLWQHATSGIVPPEALAIIRFSPHIPFISRKQLQEDSLQLEEKLRMYRDERLLRSGDYLSLERDHILRQLVALTGANVRHIGDLLVLKGELAANVLPSSRWATDGNPLTYRQQLLDRATMDQYLMIPEINYGLTDAFNKAIVTKGCGKGGILCIDKVMHSQMMEAQLQKHSLTQIFRDSACPQSLLRTGAPVKITVEKDAIRITVSAQSVHNEMMKEVKVIEKPLLSSGSDQLEFRKLVGEVAMKGEHGLLISYPYLWQIAHKIPMMPFSDLIQVAAALSDLPFDPLGLTSSEQSCLTTAFSLLQSVKEASVNAGISYLLKLQDKFPLYYIDRAQFRSRLPPDLPNGSYRPVPLGLAIVRFNESVRVIKWSDLEKKTHDRLLKDYREASMLFRGDELQMERNELLNNLVPLVNREAKELGELILTRGETAARVACQDIYFATGARIERRQKLDTETTDRSLAIYEVERKTLELYQMAISRRLPGPPGILFVDQGLLDKQMIWRLHQVVSQALSDSIYNQPVLEPNKPIKLKYSVDGINVTDGTDAGSGAHLLRVEPRQRDGGPRRQVVQRLLLRMRRSAPGGHGGPAGLLLLRSQM
+>sp|B2RUY7|VWC2L_HUMAN von Willebrand factor C domain-containing protein 2-like OS=Homo sapiens OX=9606 GN=VWC2L PE=1 SV=1
+MALHIHEACILLLVIPGLVTSAAISHEDYPADEGDQISSNDNLIFDDYRGKGCVDDSGFVYKLGERFFPGHSNCPCVCALDGPVCDQPECPKIHPKCTKVEHNGCCPECKEVKNFCEYHGKNYKILEEFKPSPCEWCRCEPSNEVHCVVADCAVPECVNPVYEPEQCCPVCKNGPNCFAGTTIIPAGIEVKVDECNICHCHNGDWWKPAQCSKRECQGKQTV
+>DECOY_sp|B2RUY7|VWC2L_HUMAN von Willebrand factor C domain-containing protein 2-like OS=Homo sapiens OX=9606 GN=VWC2L PE=1 SV=1
+VTQKGQCERKSCQAPKWWDGNHCHCINCEDVKVEIGAPIITTGAFCNPGNKCVPCCQEPEYVPNVCEPVACDAVVCHVENSPECRCWECPSPKFEELIKYNKGHYECFNKVEKCEPCCGNHEVKTCKPHIKPCEPQDCVPGDLACVCPCNSHGPFFREGLKYVFGSDDVCGKGRYDDFILNDNSSIQDGEDAPYDEHSIAASTVLGPIVLLLICAEHIHLAM
+>sp|Q96DN2|VWCE_HUMAN von Willebrand factor C and EGF domain-containing protein OS=Homo sapiens OX=9606 GN=VWCE PE=2 SV=2
+MWAGLLLRAACVALLLPGAPARGYTGRKPPGHFAAERRRLGPHVCLSGFGSGCCPGWAPSMGGGHCTLPLCSFGCGSGICIAPNVCSCQDGEQGATCPETHGPCGEYGCDLTCNHGGCQEVARVCPVGFSMTETAVGIRCTDIDECVTSSCEGHCVNTEGGFVCECGPGMQLSADRHSCQDTDECLGTPCQQRCKNSIGSYKCSCRTGFHLHGNRHSCVDVNECRRPLERRVCHHSCHNTVGSFLCTCRPGFRLRADRVSCEAFPKAVLAPSAILQPRQHPSKMLLLLPEAGRPALSPGHSPPSGAPGPPAGVRTTRLPSPTPRLPTSSPSAPVWLLSTLLATPVPTASLLGNLRPPSLLQGEVMGTPSSPRGPESPRLAAGPSPCWHLGAMHESRSRWTEPGCSQCWCEDGKVTCEKVRCEAACSHPIPSRDGGCCPSCTGCFHSGVVRAEGDVFSPPNENCTVCVCLAGNVSCISPECPSGPCQTPPQTDCCTCVPVRCYFHGRWYADGAVFSGGGDECTTCVCQNGEVECSFMPCPELACPREEWRLGPGQCCFTCQEPTPSTGCSLDDNGVEFPIGQIWSPGDPCELCICQADGSVSCKRTDCVDSCPHPIRIPGQCCPDCSAGCTYTGRIFYNNETFPSVLDPCLSCICLLGSVACSPVDCPITCTYPFHPDGECCPVCRDCNYEGRKVANGQVFTLDDEPCTRCTCQLGEVSCEKVPCQRACADPALLPGDCCSSCPDSLSPLEEKQGLSPHGNVAFSKAGRSLHGDTEAPVNCSSCPGPPTASPSRPVLHLLQLLLRTNLMKTQTLPTSPAGAHGPHSLALGLTATFPGEPGASPRLSPGPSTPPGAPTLPLASPGAPQPPPVTPERSFSASGAQIVSRWPPLPGTLLTEASALSMMDPSPSKTPITLLGPRVLSPTTSRLSTALAATTHPGPQQPPVGASRGEESTM
+>DECOY_sp|Q96DN2|VWCE_HUMAN von Willebrand factor C and EGF domain-containing protein OS=Homo sapiens OX=9606 GN=VWCE PE=2 SV=2
+MTSEEGRSAGVPPQQPGPHTTAALATSLRSTTPSLVRPGLLTIPTKSPSPDMMSLASAETLLTGPLPPWRSVIQAGSASFSREPTVPPPQPAGPSALPLTPAGPPTSPGPSLRPSAGPEGPFTATLGLALSHPGHAGAPSTPLTQTKMLNTRLLLQLLHLVPRSPSATPPGPCSSCNVPAETDGHLSRGAKSFAVNGHPSLGQKEELPSLSDPCSSCCDGPLLAPDACARQCPVKECSVEGLQCTCRTCPEDDLTFVQGNAVKRGEYNCDRCVPCCEGDPHFPYTCTIPCDVPSCAVSGLLCICSLCPDLVSPFTENNYFIRGTYTCGASCDPCCQGPIRIPHPCSDVCDTRKCSVSGDAQCICLECPDGPSWIQGIPFEVGNDDLSCGTSPTPEQCTFCCQGPGLRWEERPCALEPCPMFSCEVEGNQCVCTTCEDGGGSFVAGDAYWRGHFYCRVPVCTCCDTQPPTQCPGSPCEPSICSVNGALCVCVTCNENPPSFVDGEARVVGSHFCGTCSPCCGGDRSPIPHSCAAECRVKECTVKGDECWCQSCGPETWRSRSEHMAGLHWCPSPGAALRPSEPGRPSSPTGMVEGQLLSPPRLNGLLSATPVPTALLTSLLWVPASPSSTPLRPTPSPLRTTRVGAPPGPAGSPPSHGPSLAPRGAEPLLLLMKSPHQRPQLIASPALVAKPFAECSVRDARLRFGPRCTCLFSGVTNHCSHHCVRRELPRRCENVDVCSHRNGHLHFGTRCSCKYSGISNKCRQQCPTGLCEDTDQCSHRDASLQMGPGCECVFGGETNVCHGECSSTVCEDIDTCRIGVATETMSFGVPCVRAVEQCGGHNCTLDCGYEGCPGHTEPCTAGQEGDQCSCVNPAICIGSGCGFSCLPLTCHGGGMSPAWGPCCGSGFGSLCVHPGLRRREAAFHGPPKRGTYGRAPAGPLLLAVCAARLLLGAWM
+>sp|Q8N2E2|VWDE_HUMAN von Willebrand factor D and EGF domain-containing protein OS=Homo sapiens OX=9606 GN=VWDE PE=2 SV=4
+MPGGACVLVIALMFLAWGEAQECSPGGHQFLRSPYRSVRFDSWHLQQSAVQDLICDHSLSPGWYRFLILDRPAEMPTKCVEMNHCGTQAPIWLSLRDSETLPSPGEIKQLTACATWQFLFSTTKDCCLFQIPVSVRNCGNFSVYLLQPTQGCMGYCAEAISDARLHPCGSDETETGGDCVRQLAASLPPPPAGRPEVLVELIESRLFCRCSFDVPATKNSVGFHIAWSRLSSQEVKEELTQETTVQAFSLLELDGINLRLGDRIFCSASVFFLENPHVQSVAIESQEFFAGFKLQPELSTISEDGKEYYLRIESTVPIICSEFSELDQECKISLKLKTIGQGREHLGLNLALSSCHVDLLQTSSCANGTCSHTFVYYTAVTDFSRDGDRVSNIVVQPIVNEDFLWNNYIPDSIQIKVKDVPTAYCYTFTDPHIITFDGRVYDNFKTGTFVLYKSMSRDFEVHVRQWDCRSLHYPVSCNCGFVAQEGGDIVTFDMCNGQLRESQPYLFIKSQDVTRNIKISESYLGRKVTIWFSSGAFIRADLGEWGMSLTIRAPSVDYRNTLGLCGTFDENPENDFHDKNGMQIDQNFNNYVAFINEWRILPGKSMSDTLPVSMTSPGKPSYCSCSLDTAAYPSSEDLDSVSRSEIALGCKDLNHVSLSSLIPELDVTSEYINSDTLVREINKHTSPEEYNLNLFLQEKKHINLTKLGLNVQKHPGNEKEDSLQYLANKKYTQGRGSHSQEMRYNRQNRWKRQNFHEFPPLFAFPSLSQTDLEELTYFFPEDHAEDVQQEFFPSWPTPSGLTEYSTLTLCQETLANSSIGRLCLAFLGKRLDSVIEMCVKDVLLKDDLSWAEAGVALLENECEKRIVEEGKYNTEEYGTSIEDILSVLKCPNLCSGNGQCMEWGCACSPSFSSYDCSDSYDKAPEITELGNAGFCDVQKYNCMMVRVFGKGFKELPSIKCEVTKLQYNSSEWMPGEPIYTQTVFHNSRAVDCQLPTDVQQFDTMDLVGGKPTGKWQLKVSNDGYKFSNPKITVIYDGACQVCGLYKNDSCTIKENVCIIDGLCYVEGDKNPTSPCLICRPKISRFTWSFLENNQPPVIQALQDKLQTFYGENFEYQFVAFDPEGSDIHFTLDSGPEGASVSSAGLFMWKTDLLTTQQITVRLNDDCDAETRVTIEVTVKSCDCLNGGSCVSDRNFSPGSGVYLCVCLPGFHGSLCEVDISGCQSNPCGLGSYISGFHSYSCDCPPELKVETQFVNQFTTQTVVLTRSDKSVNKEEDDKNAQGRKRHVKPTSGNAFTICKYPCGKSRECVAPNICKCKPGYIGSNCQTALCDPDCKNHGKCIKPNICQCLPGHGGATCDEEHCNPPCQHGGTCLAGNLCTCPYGFVGPRCETMVCNRHCENGGQCLTPDICQCKPGWYGPTCSTALCDPVCLNGGSCNKPNTCLCPNGFFGEHCQNAFCHPPCKNGGHCMRNNVCVCREGYTGRRFQKSICDPTCMNGGKCVGPSTCSCPSGWSGKRCNTPICLQKCKNGGECIAPSICHCPSSWEGVRCQIPICNPKCLYGGRCIFPNVCSCRTEYSGVKCEKKIQIRRH
+>DECOY_sp|Q8N2E2|VWDE_HUMAN von Willebrand factor D and EGF domain-containing protein OS=Homo sapiens OX=9606 GN=VWDE PE=2 SV=4
+HRRIQIKKECKVGSYETRCSCVNPFICRGGYLCKPNCIPIQCRVGEWSSPCHCISPAICEGGNKCKQLCIPTNCRKGSWGSPCSCTSPGVCKGGNMCTPDCISKQFRRGTYGERCVCVNNRMCHGGNKCPPHCFANQCHEGFFGNPCLCTNPKNCSGGNLCVPDCLATSCTPGYWGPKCQCIDPTLCQGGNECHRNCVMTECRPGVFGYPCTCLNGALCTGGHQCPPNCHEEDCTAGGHGPLCQCINPKICKGHNKCDPDCLATQCNSGIYGPKCKCINPAVCERSKGCPYKCITFANGSTPKVHRKRGQANKDDEEKNVSKDSRTLVVTQTTFQNVFQTEVKLEPPCDCSYSHFGSIYSGLGCPNSQCGSIDVECLSGHFGPLCVCLYVGSGPSFNRDSVCSGGNLCDCSKVTVEITVRTEADCDDNLRVTIQQTTLLDTKWMFLGASSVSAGEPGSDLTFHIDSGEPDFAVFQYEFNEGYFTQLKDQLAQIVPPQNNELFSWTFRSIKPRCILCPSTPNKDGEVYCLGDIICVNEKITCSDNKYLGCVQCAGDYIVTIKPNSFKYGDNSVKLQWKGTPKGGVLDMTDFQQVDTPLQCDVARSNHFVTQTYIPEGPMWESSNYQLKTVECKISPLEKFGKGFVRVMMCNYKQVDCFGANGLETIEPAKDYSDSCDYSSFSPSCACGWEMCQGNGSCLNPCKLVSLIDEISTGYEETNYKGEEVIRKECENELLAVGAEAWSLDDKLLVDKVCMEIVSDLRKGLFALCLRGISSNALTEQCLTLTSYETLGSPTPWSPFFEQQVDEAHDEPFFYTLEELDTQSLSPFAFLPPFEHFNQRKWRNQRNYRMEQSHSGRGQTYKKNALYQLSDEKENGPHKQVNLGLKTLNIHKKEQLFLNLNYEEPSTHKNIERVLTDSNIYESTVDLEPILSSLSVHNLDKCGLAIESRSVSDLDESSPYAATDLSCSCYSPKGPSTMSVPLTDSMSKGPLIRWENIFAVYNNFNQDIQMGNKDHFDNEPNEDFTGCLGLTNRYDVSPARITLSMGWEGLDARIFAGSSFWITVKRGLYSESIKINRTVDQSKIFLYPQSERLQGNCMDFTVIDGGEQAVFGCNCSVPYHLSRCDWQRVHVEFDRSMSKYLVFTGTKFNDYVRGDFTIIHPDTFTYCYATPVDKVKIQISDPIYNNWLFDENVIPQVVINSVRDGDRSFDTVATYYVFTHSCTGNACSSTQLLDVHCSSLALNLGLHERGQGITKLKLSIKCEQDLESFESCIIPVTSEIRLYYEKGDESITSLEPQLKFGAFFEQSEIAVSQVHPNELFFVSASCFIRDGLRLNIGDLELLSFAQVTTEQTLEEKVEQSSLRSWAIHFGVSNKTAPVDFSCRCFLRSEILEVLVEPRGAPPPPLSAALQRVCDGGTETEDSGCPHLRADSIAEACYGMCGQTPQLLYVSFNGCNRVSVPIQFLCCDKTTSFLFQWTACATLQKIEGPSPLTESDRLSLWIPAQTGCHNMEVCKTPMEAPRDLILFRYWGPSLSHDCILDQVASQQLHWSDFRVSRYPSRLFQHGGPSCEQAEGWALFMLAIVLVCAGGPM
+>sp|Q96G27|WBP1_HUMAN WW domain-binding protein 1 OS=Homo sapiens OX=9606 GN=WBP1 PE=1 SV=1
+MARASSGNGSEEAWGALRAPQQQLRELCPGVNNQPYLCESGHCCGETGCCTYYYELWWFWLLWTVLILFSCCCAFRHRRAKLRLQQQQRQREINLLAYHGACHGAGPFPTGSLLDLRFLSTFKPPAYEDVVHRPGTPPPPYTVAPGRPLTASSEQTCCSSSSSCPAHFEGTNVEGVSSHQSAPPHQEGEPGAGVTPASTPPSCRYRRLTGDSGIELCPCPASGEGEPVKEVRVSATLPDLEDYSPCALPPESVPQIFPMGLSSSEGDIP
+>DECOY_sp|Q96G27|WBP1_HUMAN WW domain-binding protein 1 OS=Homo sapiens OX=9606 GN=WBP1 PE=1 SV=1
+PIDGESSSLGMPFIQPVSEPPLACPSYDELDPLTASVRVEKVPEGEGSAPCPCLEIGSDGTLRRYRCSPPTSAPTVGAGPEGEQHPPASQHSSVGEVNTGEFHAPCSSSSSCCTQESSATLPRGPAVTYPPPPTGPRHVVDEYAPPKFTSLFRLDLLSGTPFPGAGHCAGHYALLNIERQRQQQQLRLKARRHRFACCCSFLILVTWLLWFWWLEYYYTCCGTEGCCHGSECLYPQNNVGPCLERLQQQPARLAGWAEESGNGSSARAM
+>sp|O75554|WBP4_HUMAN WW domain-binding protein 4 OS=Homo sapiens OX=9606 GN=WBP4 PE=1 SV=1
+MADYWKSQPKKFCDYCKCWIADNRPSVEFHERGKNHKENVAKRISEIKQKSLDKAKEEEKASKEFAAMEAAALKAYQEDLKRLGLESEILEPSITPVTSTIPPTSTSNQQKEKKEKKKRKKDPSKGRWVEGITSEGYHYYYDLISGASQWEKPEGFQGDLKKTAVKTVWVEGLSEDGFTYYYNTETGESRWEKPDDFIPHTSDLPSSKVNENSLGTLDESKSSDSHSDSDGEQEAEEGGVSTETEKPKIKFKEKNKNSDGGSDPETQKEKSIQKQNSLGSNEEKSKTLKKSNPYGEWQEIKQEVESHEEVDLELPSTENEYVSTSEADGGGEPKVVFKEKTVTSLGVMADGVAPVFKKRRTENGKSRNLRQRGDDQ
+>DECOY_sp|O75554|WBP4_HUMAN WW domain-binding protein 4 OS=Homo sapiens OX=9606 GN=WBP4 PE=1 SV=1
+QDDGRQRLNRSKGNETRRKKFVPAVGDAMVGLSTVTKEKFVVKPEGGGDAESTSVYENETSPLELDVEEHSEVEQKIEQWEGYPNSKKLTKSKEENSGLSNQKQISKEKQTEPDSGGDSNKNKEKFKIKPKETETSVGGEEAEQEGDSDSHSDSSKSEDLTGLSNENVKSSPLDSTHPIFDDPKEWRSEGTETNYYYTFGDESLGEVWVTKVATKKLDGQFGEPKEWQSAGSILDYYYHYGESTIGEVWRGKSPDKKRKKKEKKEKQQNSTSTPPITSTVPTISPELIESELGLRKLDEQYAKLAAAEMAAFEKSAKEEEKAKDLSKQKIESIRKAVNEKHNKGREHFEVSPRNDAIWCKCYDCFKKPQSKWYDAM
+>sp|Q9H1Z4|WDR13_HUMAN WD repeat-containing protein 13 OS=Homo sapiens OX=9606 GN=WDR13 PE=1 SV=2
+MAAVWQQVLAVDARYNAYRTPTFPQFRTQYIRRRSQLLRENAKAGHPPALRRQYLRLRGQLLGQRYGPLSEPGSARAYSNSIVRSSRTTLDRMEDFEDDPRALGARGHRRSVSRGSYQLQAQMNRAVYEDRPPGSVVPTSAAEASRAMAGDTSLSENYAFAGMYHVFDQHVDEAVPRVRFANDDRHRLACCSLDGSISLCQLVPAPPTVLRVLRGHTRGVSDFAWSLSNDILVSTSLDATMRIWASEDGRCIREIPDPDSAELLCCTFQPVNNNLTVVGNAKHNVHVMNISTGKKVKGGSSKLTGRVLALSFDAPGRLLWAGDDHGSVFSFLFDMATGKLTKAKRLVVHEGSPVTSISARSWVSREARDPSLLINACLNKLLLYRVVDNEGTLQLKRSFPIEQSSHPVRSIFCPLMSFRQGACVVTGSEDMCVHFFDVERAAKAAVNKLQGHSAPVLDVSFNCDESLLASSDASGMVIVWRREQK
+>DECOY_sp|Q9H1Z4|WDR13_HUMAN WD repeat-containing protein 13 OS=Homo sapiens OX=9606 GN=WDR13 PE=1 SV=2
+KQERRWVIVMGSADSSALLSEDCNFSVDLVPASHGQLKNVAAKAAREVDFFHVCMDESGTVVCAGQRFSMLPCFISRVPHSSQEIPFSRKLQLTGENDVVRYLLLKNLCANILLSPDRAERSVWSRASISTVPSGEHVVLRKAKTLKGTAMDFLFSFVSGHDDGAWLLRGPADFSLALVRGTLKSSGGKVKKGTSINMVHVNHKANGVVTLNNNVPQFTCCLLEASDPDPIERICRGDESAWIRMTADLSTSVLIDNSLSWAFDSVGRTHGRLVRLVTPPAPVLQCLSISGDLSCCALRHRDDNAFRVRPVAEDVHQDFVHYMGAFAYNESLSTDGAMARSAEAASTPVVSGPPRDEYVARNMQAQLQYSGRSVSRRHGRAGLARPDDEFDEMRDLTTRSSRVISNSYARASGPESLPGYRQGLLQGRLRLYQRRLAPPHGAKANERLLQSRRRIYQTRFQPFTPTRYANYRADVALVQQWVAAM
+>sp|Q8NEZ3|WDR19_HUMAN WD repeat-containing protein 19 OS=Homo sapiens OX=9606 GN=WDR19 PE=1 SV=2
+MKRIFSLLEKTWLGAPIQFAWQKTSGNYLAVTGADYIVKIFDRHGQKRSEINLPGNCVAMDWDKDGDVLAVIAEKSSCIYLWDANTNKTSQLDNGMRDQMSFLLWSKVGSFLAVGTVKGNLLIYNHQTSRKIPVLGKHTKRITCGCWNAENLLALGGEDKMITVSNQEGDTIRQTQVRSEPSNMQFFLMKMDDRTSAAESMISVVLGKKTLFFLNLNEPDNPADLEFQQDFGNIVCYNWYGDGRIMIGFSCGHFVVISTHTGELGQEIFQARNHKDNLTSIAVSQTLNKVATCGDNCIKIQDLVDLKDMYVILNLDEENKGLGTLSWTDDGQLLALSTQRGSLHVFLTKLPILGDACSTRIAYLTSLLEVTVANPVEGELPITVSVDVEPNFVAVGLYHLAVGMNNRAWFYVLGENAVKKLKDMEYLGTVASICLHSDYAAALFEGKVQLHLIESEILDAQEERETRLFPAVDDKCRILCHALTSDFLIYGTDTGVVQYFYIEDWQFVNDYRHPVSVKKIFPDPNGTRLVFIDEKSDGFVYCPVNDATYEIPDFSPTIKGVLWENWPMDKGVFIAYDDDKVYTYVFHKDTIQGAKVILAGSTKVPFAHKPLLLYNGELTCQTQSGKVNNIYLSTHGFLSNLKDTGPDELRPMLAQNLMLKRFSDAWEMCRILNDEAAWNELARACLHHMEVEFAIRVYRRIGNVGIVMSLEQIKGIEDYNLLAGHLAMFTNDYNLAQDLYLASSCPIAALEMRRDLQHWDSALQLAKHLAPDQIPFISKEYAIQLEFAGDYVNALAHYEKGITGDNKEHDEACLAGVAQMSIRMGDIRRGVNQALKHPSRVLKRDCGAILENMKQFSEAAQLYEKGLYYDKAASVYIRSKNWAKVGDLLPHVSSPKIHLQYAKAKEADGRYKEAVVAYENAKQWQSVIRIYLDHLNNPEKAVNIVRETQSLDGAKMVARFFLQLGDYGSAIQFLVMSKCNNEAFTLAQQHNKMEIYADIIGSEDTTNEDYQSIALYFEGEKRYLQAGKFFLLCGQYSRALKHFLKCPSSEDNVAIEMAIETVGQAKDELLTNQLIDHLLGENDGMPKDAKYLFRLYMALKQYREAAQTAIIIAREEQSAGNYRNAHDVLFSMYAELKSQKIKIPSEMATNLMILHSYILVKIHVKNGDHMKGARMLIRVANNISKFPSHIVPILTSTVIECHRAGLKNSAFSFAAMLMRPEYRSKIDAKYKKKIEGMVRRPDISEIEEATTPCPFCKFLLPECELLCPGCKNSIPYCIATGRHMLKDDWTVCPHCDFPALYSELKIMLNTESTCPMCSERLNAAQLKKISDCTQYLRTEEEL
+>DECOY_sp|Q8NEZ3|WDR19_HUMAN WD repeat-containing protein 19 OS=Homo sapiens OX=9606 GN=WDR19 PE=1 SV=2
+LEEETRLYQTCDSIKKLQAANLRESCMPCTSETNLMIKLESYLAPFDCHPCVTWDDKLMHRGTAICYPISNKCGPCLLECEPLLFKCFPCPTTAEEIESIDPRRVMGEIKKKYKADIKSRYEPRMLMAAFSFASNKLGARHCEIVTSTLIPVIHSPFKSINNAVRILMRAGKMHDGNKVHIKVLIYSHLIMLNTAMESPIKIKQSKLEAYMSFLVDHANRYNGASQEERAIIIATQAAERYQKLAMYLRFLYKADKPMGDNEGLLHDILQNTLLEDKAQGVTEIAMEIAVNDESSPCKLFHKLARSYQGCLLFFKGAQLYRKEGEFYLAISQYDENTTDESGIIDAYIEMKNHQQALTFAENNCKSMVLFQIASGYDGLQLFFRAVMKAGDLSQTERVINVAKEPNNLHDLYIRIVSQWQKANEYAVVAEKYRGDAEKAKAYQLHIKPSSVHPLLDGVKAWNKSRIYVSAAKDYYLGKEYLQAAESFQKMNELIAGCDRKLVRSPHKLAQNVGRRIDGMRISMQAVGALCAEDHEKNDGTIGKEYHALANVYDGAFELQIAYEKSIFPIQDPALHKALQLASDWHQLDRRMELAAIPCSSALYLDQALNYDNTFMALHGALLNYDEIGKIQELSMVIGVNGIRRYVRIAFEVEMHHLCARALENWAAEDNLIRCMEWADSFRKLMLNQALMPRLEDPGTDKLNSLFGHTSLYINNVKGSQTQCTLEGNYLLLPKHAFPVKTSGALIVKAGQITDKHFVYTYVKDDDYAIFVGKDMPWNEWLVGKITPSFDPIEYTADNVPCYVFGDSKEDIFVLRTGNPDPFIKKVSVPHRYDNVFQWDEIYFYQVVGTDTGYILFDSTLAHCLIRCKDDVAPFLRTEREEQADLIESEILHLQVKGEFLAAAYDSHLCISAVTGLYEMDKLKKVANEGLVYFWARNNMGVALHYLGVAVFNPEVDVSVTIPLEGEVPNAVTVELLSTLYAIRTSCADGLIPLKTLFVHLSGRQTSLALLQGDDTWSLTGLGKNEEDLNLIVYMDKLDVLDQIKICNDGCTAVKNLTQSVAISTLNDKHNRAQFIEQGLEGTHTSIVVFHGCSFGIMIRGDGYWNYCVINGFDQQFELDAPNDPENLNLFFLTKKGLVVSIMSEAASTRDDMKMLFFQMNSPESRVQTQRITDGEQNSVTIMKDEGGLALLNEANWCGCTIRKTHKGLVPIKRSTQHNYILLNGKVTGVALFSGVKSWLLFSMQDRMGNDLQSTKNTNADWLYICSSKEAIVALVDGDKDWDMAVCNGPLNIESRKQGHRDFIKVIYDAGTVALYNGSTKQWAFQIPAGLWTKELLSFIRKM
+>sp|Q64LD2|WDR25_HUMAN WD repeat-containing protein 25 OS=Homo sapiens OX=9606 GN=WDR25 PE=1 SV=3
+MTARTLSLMASLVAYDDSDSEAETEHAGSFNATGQQKDTSGVARPPGQDFASGTLDVPKAGAQPTKHGSCEDPGGYRLPLAQLGRSDWGSCPSQRLQWPGKEPQVTFPIKEPSCSSLWTSHVPASHMPLAAARFKQVKLSRNFPKSSFHAQSESETVGKNGSSFQKKKCEDCVVPYTPRRLRQRQALSTETGKGKDVEPQGPPAGRAPAPLYVGPGVSEFIQPYLNSHYKETTVPRKVLFHLRGHRGPVNTIQWCPVLSKSHMLLSTSMDKTFKVWNAVDSGHCLQTYSLHTEAVRAARWAPCGRRILSGGFDFALHLTDLETGTQLFSGRSDFRITTLKFHPKDHNIFLCGGFSSEMKAWDIRTGKVMRSYKATIQQTLDILFLREGSEFLSSTDASTRDSADRTIIAWDFRTSAKISNQIFHERFTCPSLALHPREPVFLAQTNGNYLALFSTVWPYRMSRRRRYEGHKVEGYSVGCECSPGGDLLVTGSADGRVLMYSFRTASRACTLQGHTQACVGTTYHPVLPSVLATCSWGGDMKIWH
+>DECOY_sp|Q64LD2|WDR25_HUMAN WD repeat-containing protein 25 OS=Homo sapiens OX=9606 GN=WDR25 PE=1 SV=3
+HWIKMDGGWSCTALVSPLVPHYTTGVCAQTHGQLTCARSATRFSYMLVRGDASGTVLLDGGPSCECGVSYGEVKHGEYRRRRSMRYPWVTSFLALYNGNTQALFVPERPHLALSPCTFREHFIQNSIKASTRFDWAIITRDASDRTSADTSSLFESGERLFLIDLTQQITAKYSRMVKGTRIDWAKMESSFGGCLFINHDKPHFKLTTIRFDSRGSFLQTGTELDTLHLAFDFGGSLIRRGCPAWRAARVAETHLSYTQLCHGSDVANWVKFTKDMSTSLLMHSKSLVPCWQITNVPGRHGRLHFLVKRPVTTEKYHSNLYPQIFESVGPGVYLPAPARGAPPGQPEVDKGKGTETSLAQRQRLRRPTYPVVCDECKKKQFSSGNKGVTESESQAHFSSKPFNRSLKVQKFRAAALPMHSAPVHSTWLSSCSPEKIPFTVQPEKGPWQLRQSPCSGWDSRGLQALPLRYGGPDECSGHKTPQAGAKPVDLTGSAFDQGPPRAVGSTDKQQGTANFSGAHETEAESDSDDYAVLSAMLSLTRATM
+>sp|Q8TAF3|WDR48_HUMAN WD repeat-containing protein 48 OS=Homo sapiens OX=9606 GN=WDR48 PE=1 SV=1
+MAAHHRQNTAGRRKVQVSYVIRDEVEKYNRNGVNALQLDPALNRLFTAGRDSIIRIWSVNQHKQDPYIASMEHHTDWVNDIVLCCNGKTLISASSDTTVKVWNAHKGFCMSTLRTHKDYVKALAYAKDKELVASAGLDRQIFLWDVNTLTALTASNNTVTTSSLSGNKDSIYSLAMNQLGTIIVSGSTEKVLRVWDPRTCAKLMKLKGHTDNVKALLLNRDGTQCLSGSSDGTIRLWSLGQQRCIATYRVHDEGVWALQVNDAFTHVYSGGRDRKIYCTDLRNPDIRVLICEEKAPVLKMELDRSADPPPAIWVATTKSTVNKWTLKGIHNFRASGDYDNDCTNPITPLCTQPDQVIKGGASIIQCHILNDKRHILTKDTNNNVAYWDVLKACKVEDLGKVDFEDEIKKRFKMVYVPNWFSVDLKTGMLTITLDESDCFAAWVSAKDAGFSSPDGSDPKLNLGGLLLQALLEYWPRTHVNPMDEEENEVNHVNGEQENRVQKGNGYFQVPPHTPVIFGEAGGRTLFRLLCRDSGGETESMLLNETVPQWVIDITVDKNMPKFNKIPFYLQPHASSGAKTLKKDRLSASDMLQVRKVMEHVYEKIINLDNESQTTSSSNNEKPGEQEKEEDIAVLAEEKIELLCQDQVLDPNMDLRTVKHFIWKSGGDLTLHYRQKST
+>DECOY_sp|Q8TAF3|WDR48_HUMAN WD repeat-containing protein 48 OS=Homo sapiens OX=9606 GN=WDR48 PE=1 SV=1
+TSKQRYHLTLDGGSKWIFHKVTRLDMNPDLVQDQCLLEIKEEALVAIDEEKEQEGPKENNSSSTTQSENDLNIIKEYVHEMVKRVQLMDSASLRDKKLTKAGSSAHPQLYFPIKNFKPMNKDVTIDIVWQPVTENLLMSETEGGSDRCLLRFLTRGGAEGFIVPTHPPVQFYGNGKQVRNEQEGNVHNVENEEEDMPNVHTRPWYELLAQLLLGGLNLKPDSGDPSSFGADKASVWAAFCDSEDLTITLMGTKLDVSFWNPVYVMKFRKKIEDEFDVKGLDEVKCAKLVDWYAVNNNTDKTLIHRKDNLIHCQIISAGGKIVQDPQTCLPTIPNTCDNDYDGSARFNHIGKLTWKNVTSKTTAVWIAPPPDASRDLEMKLVPAKEECILVRIDPNRLDTCYIKRDRGGSYVHTFADNVQLAWVGEDHVRYTAICRQQGLSWLRITGDSSGSLCQTGDRNLLLAKVNDTHGKLKMLKACTRPDWVRLVKETSGSVIITGLQNMALSYISDKNGSLSSTTVTNNSATLATLTNVDWLFIQRDLGASAVLEKDKAYALAKVYDKHTRLTSMCFGKHANWVKVTTDSSASILTKGNCCLVIDNVWDTHHEMSAIYPDQKHQNVSWIRIISDRGATFLRNLAPDLQLANVGNRNYKEVEDRIVYSVQVKRRGATNQRHHAAM
+>sp|Q7Z5U6|WDR53_HUMAN WD repeat-containing protein 53 OS=Homo sapiens OX=9606 GN=WDR53 PE=2 SV=1
+MAVKWTGGHSSPVLCLNASKEGLLASGAEGGDLTAWGEDGTPLGHTRFQGADDVTSVLFSPSCPTKLYASHGETISVLDVRSLKDSLDHFHVNEEEINCLSLNQTENLLASADDSGAIKILDLENKKVIRSLKRHSNICSSVAFRPQRPQSLVSCGLDMQVMLWSLQKARPLWITNLQEDETEEMEGPQSPGQLLNPALAHSISVASCGNIFSCGAEDGKVRIFRVMGVKCEQELGFKGHTSGVSQVCFLPESYLLLTGGNDGKITLWDANSEVEKKQKSPTKRTHRKKPKRGTCTKQGGNTNASVTDEEEHGNILPKLNIEHGEKVNWLLGTKIKGHQNILVADQTSCISVYPLNEF
+>DECOY_sp|Q7Z5U6|WDR53_HUMAN WD repeat-containing protein 53 OS=Homo sapiens OX=9606 GN=WDR53 PE=2 SV=1
+FENLPYVSICSTQDAVLINQHGKIKTGLLWNVKEGHEINLKPLINGHEEEDTVSANTNGGQKTCTGRKPKKRHTRKTPSKQKKEVESNADWLTIKGDNGGTLLLYSEPLFCVQSVGSTHGKFGLEQECKVGMVRFIRVKGDEAGCSFINGCSAVSISHALAPNLLQGPSQPGEMEETEDEQLNTIWLPRAKQLSWLMVQMDLGCSVLSQPRQPRFAVSSCINSHRKLSRIVKKNELDLIKIAGSDDASALLNETQNLSLCNIEEENVHFHDLSDKLSRVDLVSITEGHSAYLKTPCSPSFLVSTVDDAGQFRTHGLPTGDEGWATLDGGEAGSALLGEKSANLCLVPSSHGGTWKVAM
+>sp|Q9GZS3|WDR61_HUMAN WD repeat-containing protein 61 OS=Homo sapiens OX=9606 GN=WDR61 PE=1 SV=1
+MTNQYGILFKQEQAHDDAIWSVAWGTNKKENSETVVTGSLDDLVKVWKWRDERLDLQWSLEGHQLGVVSVDISHTLPIAASSSLDAHIRLWDLENGKQIKSIDAGPVDAWTLAFSPDSQYLATGTHVGKVNIFGVESGKKEYSLDTRGKFILSIAYSPDGKYLASGAIDGIINIFDIATGKLLHTLEGHAMPIRSLTFSPDSQLLVTASDDGYIKIYDVQHANLAGTLSGHASWVLNVAFCPDDTHFVSSSSDKSVKVWDVGTRTCVHTFFDHQDQVWGVKYNGNGSKIVSVGDDQEIHIYDCPI
+>DECOY_sp|Q9GZS3|WDR61_HUMAN WD repeat-containing protein 61 OS=Homo sapiens OX=9606 GN=WDR61 PE=1 SV=1
+IPCDYIHIEQDDGVSVIKSGNGNYKVGWVQDQHDFFTHVCTRTGVDWVKVSKDSSSSVFHTDDPCFAVNLVWSAHGSLTGALNAHQVDYIKIYGDDSATVLLQSDPSFTLSRIPMAHGELTHLLKGTAIDFINIIGDIAGSALYKGDPSYAISLIFKGRTDLSYEKKGSEVGFINVKGVHTGTALYQSDPSFALTWADVPGADISKIQKGNELDWLRIHADLSSSAAIPLTHSIDVSVVGLQHGELSWQLDLREDRWKWVKVLDDLSGTVVTESNEKKNTGWAVSWIADDHAQEQKFLIGYQNTM
+>sp|Q8IWG1|WDR63_HUMAN WD repeat-containing protein 63 OS=Homo sapiens OX=9606 GN=WDR63 PE=2 SV=1
+MAPKQKKKTSRGKKRLKPVLAASEDMEPVNMESMGHPEIYPLVLTTKTQEIFNCRIDEDVTDEQPYKLINKEDIFEDLRNRAAVSDFHPVKKIVQEYPGNELLLVYDKDFKYGLNFYLIATEEGKENYLNPPEVPEEQEEYKEHIPEDVYIYKPPVSKPWVSLGSEKEIEEESVTESTKQITYMISRKRSEFGAPIKFSDQNASSVKDAYIECTAYPDKNFTLKQLEKDVGMQVIPQIKDISTQTKWTYPKNATTQYYPREFSEEEKETLKQSKPLVDFLNNASISVEIALQQNEIMNTFIDDWKYLAEEEGTFGDKTDTHLKEYQSFTDLHSPTEKMITCVSWHPTIYGLIAVSVAVRLSFEDRVHFSGKLLLQPSLILFWSFSDPIHPQLMLESPDDIFCFKFCPSDPNIIAGGCINGQIVMWDITAHADRIENIKAGGSRSKRATLKPMFLLEPESNKEAMYIRHCAVSSIENGHKKVITDIHWLSDTFEINRMGSVFENRSGICCQLVTCSADCTICFWDIRPQKPLTPQTTEKKKEESIEIPFDVPSTFLHLDLSWKPLTKVRLSKGETSLDHCPTKISLNEDHLLCKTQDKMLAQSKTEKAEEMNPYHNLESGMANLLKPIDDFCTKFFVGTEEGEVIYTDWKMEKDPETGRLMSKKPVSHHTIHDGTVHTIQRSPFYNDIILTVGGWNVAIWKEGVMTGPLLQSCCAPKRYTSGHWSLTRPGVFYIGREDGYIDIWDLLEKTHEPAQSQNICITMITYIKPWIFSSKQQFIATADYYGTLHILEIPWTLSRPSTNEMASVNHYFEREVKHLEYVEQRKKIREQEKKEMELEMAKKKVKTYQKSKEQMQAELKMDYESYLELEKTVLINLGLIKVTEKGSYMEVM
+>DECOY_sp|Q8IWG1|WDR63_HUMAN WD repeat-containing protein 63 OS=Homo sapiens OX=9606 GN=WDR63 PE=2 SV=1
+MVEMYSGKETVKILGLNILVTKELELYSEYDMKLEAQMQEKSKQYTKVKKKAMELEMEKKEQERIKKRQEVYELHKVEREFYHNVSAMENTSPRSLTWPIELIHLTGYYDATAIFQQKSSFIWPKIYTIMTICINQSQAPEHTKELLDWIDIYGDERGIYFVGPRTLSWHGSTYRKPACCSQLLPGTMVGEKWIAVNWGGVTLIIDNYFPSRQITHVTGDHITHHSVPKKSMLRGTEPDKEMKWDTYIVEGEETGVFFKTCFDDIPKLLNAMGSELNHYPNMEEAKETKSQALMKDQTKCLLHDENLSIKTPCHDLSTEGKSLRVKTLPKWSLDLHLFTSPVDFPIEISEEKKKETTQPTLPKQPRIDWFCITCDASCTVLQCCIGSRNEFVSGMRNIEFTDSLWHIDTIVKKHGNEISSVACHRIYMAEKNSEPELLFMPKLTARKSRSGGAKINEIRDAHATIDWMVIQGNICGGAIINPDSPCFKFCFIDDPSELMLQPHIPDSFSWFLILSPQLLLKGSFHVRDEFSLRVAVSVAILGYITPHWSVCTIMKETPSHLDTFSQYEKLHTDTKDGFTGEEEALYKWDDIFTNMIENQQLAIEVSISANNLFDVLPKSQKLTEKEEESFERPYYQTTANKPYTWKTQTSIDKIQPIVQMGVDKELQKLTFNKDPYATCEIYADKVSSANQDSFKIPAGFESRKRSIMYTIQKTSETVSEEEIEKESGLSVWPKSVPPKYIYVDEPIHEKYEEQEEPVEPPNLYNEKGEETAILYFNLGYKFDKDYVLLLENGPYEQVIKKVPHFDSVAARNRLDEFIDEKNILKYPQEDTVDEDIRCNFIEQTKTTLVLPYIEPHGMSEMNVPEMDESAALVPKLRKKGRSTKKKQKPAM
+>sp|Q9NNW5|WDR6_HUMAN WD repeat-containing protein 6 OS=Homo sapiens OX=9606 GN=WDR6 PE=1 SV=1
+MDALEDYVWPRATSELILLPVTGLECVGDRLLAGEGPDVLVYSLDFGGHLRMIKRVQNLLGHYLIHGFRVRPEPNGDLDLEAMVAVFGSKGLRVVKISWGQGHFWELWRSGLWNMSDWIWDARWLEGNIALALGHNSVVLYDPVVGCILQEVPCTDRCTLSSACLIGDAWKELTIVAGAVSNQLLVWYPATALADNKPVAPDRRISGHVGIIFSMSYLESKGLLATASEDRSVRIWKVGDLRVPGGRVQNIGHCFGHSARVWQVKLLENYLISAGEDCVCLVWSHEGEILQAFRGHQGRGIRAIAAHERQAWVITGGDDSGIRLWHLVGRGYRGLGVSALCFKSRSRPGTLKAVTLAGSWRLLAVTDTGALYLYDVEVKCWEQLLEDKHFQSYCLLEAAPGPEGFGLCAMANGEGRVKVVPINTPTAAVDQTLFPGKVHSLSWALRGYEELLLLASGPGGVVACLEISAAPSGKAIFVKERCRYLLPPSKQRWHTCSAFLPPGDFLVCGDRRGSVLLFPSRPGLLKDPGVGGKARAGAGAPVVGSGSSGGGNAFTGLGPVSTLPSLHGKQGVTSVTCHGGYVYTTGRDGAYYQLFVRDGQLQPVLRQKSCRGMNWLAGLRIVPDGSMVILGFHANEFVVWNPRSHEKLHIVNCGGGHRSWAFSDTEAAMAFAYLKDGDVMLYRALGGCTRPHVILREGLHGREITCVKRVGTITLGPEYGVPSFMQPDDLEPGSEGPDLTDIVITCSEDTTVCVLALPTTTGSAHALTAVCNHISSVRAVAVWGIGTPGGPQDPQPGLTAHVVSAGGRAEMHCFSIMVTPDPSTPSRLACHVMHLSSHRLDEYWDRQRNRHRMVKVDPETRYMSLAVCELDQPGLGPLVAAACSDGAVRLFLLQDSGRILQLLAETFHHKRCVLKVHSFTHEAPNQRRRLLLCSAATDGSLAFWDLTTMLDHDSTVLEPPVDPGLPYRLGTPSLTLQAHSCGINSLHTLPTREGHHLVASGSEDGSLHVFVLAVEMLQLEEAVGEAGLVPQLRVLEEYSVPCAHAAHVTGLKILSPSIMVSASIDQRLTFWRLGHGEPTFMNSTVFHVPDVADMDCWPVSPEFGHRCALGGQGLEVYNWYD
+>DECOY_sp|Q9NNW5|WDR6_HUMAN WD repeat-containing protein 6 OS=Homo sapiens OX=9606 GN=WDR6 PE=1 SV=1
+DYWNYVELGQGGLACRHGFEPSVPWCDMDAVDPVHFVTSNMFTPEGHGLRWFTLRQDISASVMISPSLIKLGTVHAAHACPVSYEELVRLQPVLGAEGVAEELQLMEVALVFVHLSGDESGSAVLHHGERTPLTHLSNIGCSHAQLTLSPTGLRYPLGPDVPPELVTSDHDLMTTLDWFALSGDTAASCLLLRRRQNPAEHTFSHVKLVCRKHHFTEALLQLIRGSDQLLFLRVAGDSCAAAVLPGLGPQDLECVALSMYRTEPDVKVMRHRNRQRDWYEDLRHSSLHMVHCALRSPTSPDPTVMISFCHMEARGGASVVHATLGPQPDQPGGPTGIGWVAVARVSSIHNCVATLAHASGTTTPLALVCVTTDESCTIVIDTLDPGESGPELDDPQMFSPVGYEPGLTITGVRKVCTIERGHLGERLIVHPRTCGGLARYLMVDGDKLYAFAMAAETDSFAWSRHGGGCNVIHLKEHSRPNWVVFENAHFGLIVMSGDPVIRLGALWNMGRCSKQRLVPQLQGDRVFLQYYAGDRGTTYVYGGHCTVSTVGQKGHLSPLTSVPGLGTFANGGGSSGSGVVPAGAGARAKGGVGPDKLLGPRSPFLLVSGRRDGCVLFDGPPLFASCTHWRQKSPPLLYRCREKVFIAKGSPAASIELCAVVGGPGSALLLLEEYGRLAWSLSHVKGPFLTQDVAATPTNIPVVKVRGEGNAMACLGFGEPGPAAELLCYSQFHKDELLQEWCKVEVDYLYLAGTDTVALLRWSGALTVAKLTGPRSRSKFCLASVGLGRYGRGVLHWLRIGSDDGGTIVWAQREHAAIARIGRGQHGRFAQLIEGEHSWVLCVCDEGASILYNELLKVQWVRASHGFCHGINQVRGGPVRLDGVKWIRVSRDESATALLGKSELYSMSFIIGVHGSIRRDPAVPKNDALATAPYWVLLQNSVAGAVITLEKWADGILCASSLTCRDTCPVEQLICGVVPDYLVVSNHGLALAINGELWRADWIWDSMNWLGSRWLEWFHGQGWSIKVVRLGKSGFVAVMAELDLDGNPEPRVRFGHILYHGLLNQVRKIMRLHGGFDLSYVLVDPGEGALLRDGVCELGTVPLLILESTARPWVYDELADM
+>sp|Q8IWA0|WDR75_HUMAN WD repeat-containing protein 75 OS=Homo sapiens OX=9606 GN=WDR75 PE=1 SV=1
+MVEEENIRVVRCGGSELNFRRAVFSADSKYIFCVSGDFVKVYSTVTEECVHILHGHRNLVTGIQLNPNNHLQLYSCSLDGTIKLWDYIDGILIKTFIVGCKLHALFTLAQAEDSVFVIVNKEKPDIFQLVSVKLPKSSSQEVEAKELSFVLDYINQSPKCIAFGNEGVYVAAVREFYLSVYFFKKKTTSRFTLSSSRNKKHAKNNFTCVACHPTEDCIASGHMDGKIRLWRNFYDDKKYTYTCLHWHHDMVMDLAFSVTGTSLLSGGRESVLVEWRDATEKNKEFLPRLGATIEHISVSPAGDLFCTSHSDNKIIIIHRNLEASAVIQGLVKDRSIFTGLMIDPRTKALVLNGKPGHLQFYSLQSDKQLYNLDIIQQEYINDYGLIQIELTKAAFGCFGNWLATVEQRQEKETELELQMKLWMYNKKTQGFILNTKINMPHEDCITALCFCNAEKSEQPTLVTASKDGYFKVWILTDDSDIYKKAVGWTCDFVGSYHKYQATNCCFSEDGSLLAVSFEEIVTIWDSVTWELKCTFCQRAGKIRHLCFGRLTCSKYLLGATENGILCCWNLLSCALEWNAKLNVRVMEPDPNSENIAAISQSSVGSDLFVFKPSEPRPLYIQKGISREKVQWGVFVPRDVPESFTSEAYQWLNRSQFYFLTKSQSLLTFSTKSPEEKLTPTSKQLLAEESLPTTPFYFILGKHRQQQDEKLNETLENELVQLPLTENIPAISELLHTPAHVLPSAAFLCSMFVNSLLLSKETKSAKEIPEDVDMEEEKESEDSDEENDFTEKVQDTSNTGLGEDIIHQLSKSEEKELRKFRKIDYSWIAAL
+>DECOY_sp|Q8IWA0|WDR75_HUMAN WD repeat-containing protein 75 OS=Homo sapiens OX=9606 GN=WDR75 PE=1 SV=1
+LAAIWSYDIKRFKRLEKEESKSLQHIIDEGLGTNSTDQVKETFDNEEDSDESEKEEEMDVDEPIEKASKTEKSLLLSNVFMSCLFAASPLVHAPTHLLESIAPINETLPLQVLENELTENLKEDQQQRHKGLIFYFPTTPLSEEALLQKSTPTLKEEPSKTSFTLLSQSKTLFYFQSRNLWQYAESTFSEPVDRPVFVGWQVKERSIGKQIYLPRPESPKFVFLDSGVSSQSIAAINESNPDPEMVRVNLKANWELACSLLNWCCLIGNETAGLLYKSCTLRGFCLHRIKGARQCFTCKLEWTVSDWITVIEEFSVALLSGDESFCCNTAQYKHYSGVFDCTWGVAKKYIDSDDTLIWVKFYGDKSATVLTPQESKEANCFCLATICDEHPMNIKTNLIFGQTKKNYMWLKMQLELETEKEQRQEVTALWNGFCGFAAKTLEIQILGYDNIYEQQIIDLNYLQKDSQLSYFQLHGPKGNLVLAKTRPDIMLGTFISRDKVLGQIVASAELNRHIIIIKNDSHSTCFLDGAPSVSIHEITAGLRPLFEKNKETADRWEVLVSERGGSLLSTGTVSFALDMVMDHHWHLCTYTYKKDDYFNRWLRIKGDMHGSAICDETPHCAVCTFNNKAHKKNRSSSLTFRSTTKKKFFYVSLYFERVAAVYVGENGFAICKPSQNIYDLVFSLEKAEVEQSSSKPLKVSVLQFIDPKEKNVIVFVSDEAQALTFLAHLKCGVIFTKILIGDIYDWLKITGDLSCSYLQLHNNPNLQIGTVLNRHGHLIHVCEETVTSYVKVFDGSVCFIYKSDASFVARRFNLESGGCRVVRINEEEVM
+>sp|Q8IUB3|WF10B_HUMAN Protein WFDC10B OS=Homo sapiens OX=9606 GN=WFDC10B PE=2 SV=2
+MAPQTLLLVLVLCVLLLQAQGGYRDKMRMQRIKVCEKRPSIDLCIHHCSYFQKCETNKICCSAFCGNICMSIL
+>DECOY_sp|Q8IUB3|WF10B_HUMAN Protein WFDC10B OS=Homo sapiens OX=9606 GN=WFDC10B PE=2 SV=2
+LISMCINGCFASCCIKNTECKQFYSCHHICLDISPRKECVKIRQMRMKDRYGGQAQLLLVCLVLVLLLTQPAM
+>sp|Q8IUB5|WFD13_HUMAN WAP four-disulfide core domain protein 13 OS=Homo sapiens OX=9606 GN=WFDC13 PE=3 SV=1
+MKPVLPLQFLVVFCLALQLVPGSPKQRVLKYILEPPPCISAPENCTHLCTMQEDCEKGFQCCSSFCGIVCSSETFQKRNRIKHKGSEVIMPAN
+>DECOY_sp|Q8IUB5|WFD13_HUMAN WAP four-disulfide core domain protein 13 OS=Homo sapiens OX=9606 GN=WFDC13 PE=3 SV=1
+NAPMIVESGKHKIRNRKQFTESSCVIGCFSSCCQFGKECDEQMTCLHTCNEPASICPPPELIYKLVRQKPSGPVLQLALCFVVLFQLPLVPKM
+>sp|Q8NEX5|WFDC9_HUMAN Protein WFDC9 OS=Homo sapiens OX=9606 GN=WFDC9 PE=1 SV=1
+MKPWILLLVMFISGVVMLLPVLGSFWNKDPFLDMIRETEQCWVQPPYKYCEKRCTKIMTCVRPNHTCCWTYCGNICLDNEEPLKSMLNP
+>DECOY_sp|Q8NEX5|WFDC9_HUMAN Protein WFDC9 OS=Homo sapiens OX=9606 GN=WFDC9 PE=1 SV=1
+PNLMSKLPEENDLCINGCYTWCCTHNPRVCTMIKTCRKECYKYPPQVWCQETERIMDLFPDKNWFSGLVPLLMVVGSIFMVLLLIWPKM
+>sp|O76024|WFS1_HUMAN Wolframin OS=Homo sapiens OX=9606 GN=WFS1 PE=1 SV=2
+MDSNTAPLGPSCPQPPPAPQPQARSRLNATASLEQERSERPRAPGPQAGPGPGVRDAAAPAEPQAQHTRSRERADGTGPTKGDMEIPFEEVLERAKAGDPKAQTEVGKHYLQLAGDTDEELNSCTAVDWLVLAAKQGRREAVKLLRRCLADRRGITSENEREVRQLSSETDLERAVRKAALVMYWKLNPKKKKQVAVAELLENVGQVNEHDGGAQPGPVPKSLQKQRRMLERLVSSESKNYIALDDFVEITKKYAKGVIPSSLFLQDDEDDDELAGKSPEDLPLRLKVVKYPLHAIMEIKEYLIDMASRAGMHWLSTIIPTHHINALIFFFIVSNLTIDFFAFFIPLVIFYLSFISMVICTLKVFQDSKAWENFRTLTDLLLRFEPNLDVEQAEVNFGWNHLEPYAHFLLSVFFVIFSFPIASKDCIPCSELAVITGFFTVTSYLSLSTHAEPYTRRALATEVTAGLLSLLPSMPLNWPYLKVLGQTFITVPVGHLVVLNVSVPCLLYVYLLYLFFRMAQLRNFKGTYCYLVPYLVCFMWCELSVVILLESTGLGLLRASIGYFLFLFALPILVAGLALVGVLQFARWFTSLELTKIAVTVAVCSVPLLLRWWTKASFSVVGMVKSLTRSSMVKLILVWLTAIVLFCWFYVYRSEGMKVYNSTLTWQQYGALCGPRAWKETNMARTQILCSHLEGHRVTWTGRFKYVRVTDIDNSAESAINMLPFFIGDWMRCLYGEAYPACSPGNTSTAEEELCRLKLLAKHPCHIKKFDRYKFEITVGMPFSSGADGSRSREEDDVTKDIVLRASSEFKSVLLSLRQGSLIEFSTILEGRLGSKWPVFELKAISCLNCMAQLSPTRRHVKIEHDWRSTVHGAVKFAFDFFFFPFLSAA
+>DECOY_sp|O76024|WFS1_HUMAN Wolframin OS=Homo sapiens OX=9606 GN=WFS1 PE=1 SV=2
+AASLFPFFFFDFAFKVAGHVTSRWDHEIKVHRRTPSLQAMCNLCSIAKLEFVPWKSGLRGELITSFEILSGQRLSLLVSKFESSARLVIDKTVDDEERSRSGDAGSSFPMGVTIEFKYRDFKKIHCPHKALLKLRCLEEEATSTNGPSCAPYAEGYLCRMWDGIFFPLMNIASEASNDIDTVRVYKFRGTWTVRHGELHSCLIQTRAMNTEKWARPGCLAGYQQWTLTSNYVKMGESRYVYFWCFLVIATLWVLILKVMSSRTLSKVMGVVSFSAKTWWRLLLPVSCVAVTVAIKTLELSTFWRAFQLVGVLALGAVLIPLAFLFLFYGISARLLGLGTSELLIVVSLECWMFCVLYPVLYCYTGKFNRLQAMRFFLYLLYVYLLCPVSVNLVVLHGVPVTIFTQGLVKLYPWNLPMSPLLSLLGATVETALARRTYPEAHTSLSLYSTVTFFGTIVALESCPICDKSAIPFSFIVFFVSLLFHAYPELHNWGFNVEAQEVDLNPEFRLLLDTLTRFNEWAKSDQFVKLTCIVMSIFSLYFIVLPIFFAFFDITLNSVIFFFILANIHHTPIITSLWHMGARSAMDILYEKIEMIAHLPYKVVKLRLPLDEPSKGALEDDDEDDQLFLSSPIVGKAYKKTIEVFDDLAIYNKSESSVLRELMRRQKQLSKPVPGPQAGGDHENVQGVNELLEAVAVQKKKKPNLKWYMVLAAKRVARELDTESSLQRVERENESTIGRRDALCRRLLKVAERRGQKAALVLWDVATCSNLEEDTDGALQLYHKGVETQAKPDGAKARELVEEFPIEMDGKTPGTGDARERSRTHQAQPEAPAAADRVGPGPGAQPGPARPRESREQELSATANLRSRAQPQPAPPPQPCSPGLPATNSDM
+>sp|Q96J92|WNK4_HUMAN Serine/threonine-protein kinase WNK4 OS=Homo sapiens OX=9606 GN=WNK4 PE=1 SV=1
+MLASPATETTVLMSQTEADLALRPPPPLGTAGQPRLGPPPRRARRFSGKAEPRPRSSRLSRRSSVDLGLLSSWSLPASPAPDPPDPPDSAGPGPARSPPPSSKEPPEGTWTEGAPVKAAEDSARPELPDSAVGPGSREPLRVPEAVALERRREQEEKEDMETQAVATSPDGRYLKFDIEIGRGSFKTVYRGLDTDTTVEVAWCELQTRKLSRAERQRFSEEVEMLKGLQHPNIVRFYDSWKSVLRGQVCIVLVTELMTSGTLKTYLRRFREMKPRVLQRWSRQILRGLHFLHSRVPPILHRDLKCDNVFITGPTGSVKIGDLGLATLKRASFAKSVIGTPEFMAPEMYEEKYDEAVDVYAFGMCMLEMATSEYPYSECQNAAQIYRKVTSGRKPNSFHKVKIPEVKEIIEGCIRTDKNERFTIQDLLAHAFFREERGVHVELAEEDDGEKPGLKLWLRMEDARRGGRPRDNQAIEFLFQLGRDAAEEVAQEMVALGLVCEADYQPVARAVRERVAAIQRKREKLRKARELEALPPEPGPPPATVPMAPGPPSVFPPEPEEPEADQHQPFLFRHASYSSTTSDCETDGYLSSSGFLDASDPALQPPGGVPSSLAESHLCLPSAFALSIPRSGPGSDFSPGDSYASDAASGLSDVGEGMGQMRRPPGRNLRRRPRSRLRVTSVSDQNDRVVECQLQTHNSKMVTFRFDLDGDSPEEIAAAMVYNEFILPSERDGFLRRIREIIQRVETLLKRDTGPMEAAEDTLSPQEEPAPLPALPVPLPDPSNEELQSSTSLEHRSWTAFSTSSSSPGTPLSPGNPFSPGTPISPGPIFPITSPPCHPSPSPFSPISSQVSSNPSPHPTSSPLPFSSSTPEFPVPLSQCPWSSLPTTSPPTFSPTCSQVTLSSPFFPPCPSTSSFPSTTAAPLLSLASAFSLAVMTVAQSLLSPSPGLLSQSPPAPPSPLPSLPLPPPVAPGGQESPSPHTAEVESEASPPPARPLPGEARLAPISEEGKPQLVGRFQVTSSKEPAEPLPLQPTSPTLSGSPKPSTPQLTSESSDTEDSAGGGPETREALAESDRAAEGLGAGVEEEGDDGKEPQVGGSPQPLSHPSPVWMNYSYSSLCLSSEESESSGEDEEFWAELQSLRQKHLSEVETLQTLQKKEIEDLYSRLGKQPPPGIVAPAAMLSSRQRRLSKGSFPTSRRNSLQRSEPPGPGIMRRNSLSGSSTGSQEQRASKGVTFAGDVGRM
+>DECOY_sp|Q96J92|WNK4_HUMAN Serine/threonine-protein kinase WNK4 OS=Homo sapiens OX=9606 GN=WNK4 PE=1 SV=1
+MRGVDGAFTVGKSARQEQSGTSSGSLSNRRMIGPGPPESRQLSNRRSTPFSGKSLRRQRSSLMAAPAVIGPPPQKGLRSYLDEIEKKQLTQLTEVESLHKQRLSQLEAWFEEDEGSSESEESSLCLSSYSYNMWVPSPHSLPQPSGGVQPEKGDDGEEEVGAGLGEAARDSEALAERTEPGGGASDETDSSESTLQPTSPKPSGSLTPSTPQLPLPEAPEKSSTVQFRGVLQPKGEESIPALRAEGPLPRAPPPSAESEVEATHPSPSEQGGPAVPPPLPLSPLPSPPAPPSQSLLGPSPSLLSQAVTMVALSFASALSLLPAATTSPFSSTSPCPPFFPSSLTVQSCTPSFTPPSTTPLSSWPCQSLPVPFEPTSSSFPLPSSTPHPSPNSSVQSSIPSFPSPSPHCPPSTIPFIPGPSIPTGPSFPNGPSLPTGPSSSSTSFATWSRHELSTSSQLEENSPDPLPVPLAPLPAPEEQPSLTDEAAEMPGTDRKLLTEVRQIIERIRRLFGDRESPLIFENYVMAAAIEEPSDGDLDFRFTVMKSNHTQLQCEVVRDNQDSVSTVRLRSRPRRRLNRGPPRRMQGMGEGVDSLGSAADSAYSDGPSFDSGPGSRPISLAFASPLCLHSEALSSPVGGPPQLAPDSADLFGSSSLYGDTECDSTTSSYSAHRFLFPQHQDAEPEEPEPPFVSPPGPAMPVTAPPPGPEPPLAELERAKRLKERKRQIAAVRERVARAVPQYDAECVLGLAVMEQAVEEAADRGLQFLFEIAQNDRPRGGRRADEMRLWLKLGPKEGDDEEALEVHVGREERFFAHALLDQITFRENKDTRICGEIIEKVEPIKVKHFSNPKRGSTVKRYIQAANQCESYPYESTAMELMCMGFAYVDVAEDYKEEYMEPAMFEPTGIVSKAFSARKLTALGLDGIKVSGTPGTIFVNDCKLDRHLIPPVRSHLFHLGRLIQRSWRQLVRPKMERFRRLYTKLTGSTMLETVLVICVQGRLVSKWSDYFRVINPHQLGKLMEVEESFRQREARSLKRTQLECWAVEVTTDTDLGRYVTKFSGRGIEIDFKLYRGDPSTAVAQTEMDEKEEQERRRELAVAEPVRLPERSGPGVASDPLEPRASDEAAKVPAGETWTGEPPEKSSPPPSRAPGPGASDPPDPPDPAPSAPLSWSSLLGLDVSSRRSLRSSRPRPEAKGSFRRARRPPPGLRPQGATGLPPPPRLALDAETQSMLVTTETAPSALM
+>sp|P41221|WNT5A_HUMAN Protein Wnt-5a OS=Homo sapiens OX=9606 GN=WNT5A PE=1 SV=2
+MKKSIGILSPGVALGMAGSAMSSKFFLVALAIFFSFAQVVIEANSWWSLGMNNPVQMSEVYIIGAQPLCSQLAGLSQGQKKLCHLYQDHMQYIGEGAKTGIKECQYQFRHRRWNCSTVDNTSVFGRVMQIGSRETAFTYAVSAAGVVNAMSRACREGELSTCGCSRAARPKDLPRDWLWGGCGDNIDYGYRFAKEFVDARERERIHAKGSYESARILMNLHNNEAGRRTVYNLADVACKCHGVSGSCSLKTCWLQLADFRKVGDALKEKYDSAAAMRLNSRGKLVQVNSRFNSPTTQDLVYIDPSPDYCVRNESTGSLGTQGRLCNKTSEGMDGCELMCCGRGYDQFKTVQTERCHCKFHWCCYVKCKKCTEIVDQFVCK
+>DECOY_sp|P41221|WNT5A_HUMAN Protein Wnt-5a OS=Homo sapiens OX=9606 GN=WNT5A PE=1 SV=2
+KCVFQDVIETCKKCKVYCCWHFKCHCRETQVTKFQDYGRGCCMLECGDMGESTKNCLRGQTGLSGTSENRVCYDPSPDIYVLDQTTPSNFRSNVQVLKGRSNLRMAAASDYKEKLADGVKRFDALQLWCTKLSCSGSVGHCKCAVDALNYVTRRGAENNHLNMLIRASEYSGKAHIRERERADVFEKAFRYGYDINDGCGGWLWDRPLDKPRAARSCGCTSLEGERCARSMANVVGAASVAYTFATERSGIQMVRGFVSTNDVTSCNWRRHRFQYQCEKIGTKAGEGIYQMHDQYLHCLKKQGQSLGALQSCLPQAGIIYVESMQVPNNMGLSWWSNAEIVVQAFSFFIALAVLFFKSSMASGAMGLAVGPSLIGISKKM
+>sp|Q9H1J5|WNT8A_HUMAN Protein Wnt-8a OS=Homo sapiens OX=9606 GN=WNT8A PE=1 SV=2
+MGNLFMLWAALGICCAAFSASAWSVNNFLITGPKAYLTYTTSVALGAQSGIEECKFQFAWERWNCPENALQLSTHNRLRSATRETSFIHAISSAGVMYIITKNCSMGDFENCGCDGSNNGKTGGHGWIWGGCSDNVEFGERISKLFVDSLEKGKDARALMNLHNNRAGRLAVRATMKRTCKCHGISGSCSIQTCWLQLAEFREMGDYLKAKYDQALKIEMDKRQLRAGNSAEGHWVPAEAFLPSAEAELIFLEESPDYCTCNSSLGIYGTEGRECLQNSHNTSRWERRSCGRLCTECGLQVEERKTEVISSCNCKFQWCCTVKCDQCRHVVSKYYCARSPGSAQSLGKGSA
+>DECOY_sp|Q9H1J5|WNT8A_HUMAN Protein Wnt-8a OS=Homo sapiens OX=9606 GN=WNT8A PE=1 SV=2
+ASGKGLSQASGPSRACYYKSVVHRCQDCKVTCCWQFKCNCSSIVETKREEVQLGCETCLRGCSRREWRSTNHSNQLCERGETGYIGLSSNCTCYDPSEELFILEAEASPLFAEAPVWHGEASNGARLQRKDMEIKLAQDYKAKLYDGMERFEALQLWCTQISCSGSIGHCKCTRKMTARVALRGARNNHLNMLARADKGKELSDVFLKSIREGFEVNDSCGGWIWGHGGTKGNNSGDCGCNEFDGMSCNKTIIYMVGASSIAHIFSTERTASRLRNHTSLQLANEPCNWREWAFQFKCEEIGSQAGLAVSTTYTLYAKPGTILFNNVSWASASFAACCIGLAAWLMFLNGM
+>sp|Q93098|WNT8B_HUMAN Protein Wnt-8b OS=Homo sapiens OX=9606 GN=WNT8B PE=2 SV=3
+MFLSKPSVYICLFTCVLQLSHSWSVNNFLMTGPKAYLIYSSSVAAGAQSGIEECKYQFAWDRWNCPERALQLSSHGGLRSANRETAFVHAISSAGVMYTLTRNCSLGDFDNCGCDDSRNGQLGGQGWLWGGCSDNVGFGEAISKQFVDALETGQDARAAMNLHNNEAGRKAVKGTMKRTCKCHGVSGSCTTQTCWLQLPEFREVGAHLKEKYHAALKVDLLQGAGNSAAGRGAIADTFRSISTRELVHLEDSPDYCLENKTLGLLGTEGRECLRRGRALGRWERRSCRRLCGDCGLAVEERRAETVSSCNCKFHWCCAVRCEQCRRRVTKYFCSRAERPRGGAAHKPGRKP
+>DECOY_sp|Q93098|WNT8B_HUMAN Protein Wnt-8b OS=Homo sapiens OX=9606 GN=WNT8B PE=2 SV=3
+PKRGPKHAAGGRPREARSCFYKTVRRRCQECRVACCWHFKCNCSSVTEARREEVALGCDGCLRRCSRREWRGLARGRRLCERGETGLLGLTKNELCYDPSDELHVLERTSISRFTDAIAGRGAASNGAGQLLDVKLAAHYKEKLHAGVERFEPLQLWCTQTTCSGSVGHCKCTRKMTGKVAKRGAENNHLNMAARADQGTELADVFQKSIAEGFGVNDSCGGWLWGQGGLQGNRSDDCGCNDFDGLSCNRTLTYMVGASSIAHVFATERNASRLGGHSSLQLAREPCNWRDWAFQYKCEEIGSQAGAAVSSSYILYAKPGTMLFNNVSWSHSLQLVCTFLCIYVSPKSLFM
+>sp|O14904|WNT9A_HUMAN Protein Wnt-9a OS=Homo sapiens OX=9606 GN=WNT9A PE=1 SV=2
+MLDGSPLARWLAAAFGLTLLLAALRPSAAYFGLTGSEPLTILPLTLEPEAAAQAHYKACDRLKLERKQRRMCRRDPGVAETLVEAVSMSALECQFQFRFERWNCTLEGRYRASLLKRGFKETAFLYAISSAGLTHALAKACSAGRMERCTCDEAPDLENREAWQWGGCGDNLKYSSKFVKEFLGRRSSKDLRARVDFHNNLVGVKVIKAGVETTCKCHGVSGSCTVRTCWRQLAPFHEVGKHLKHKYETALKVGSTTNEAAGEAGAISPPRGRASGAGGSDPLPRTPELVHLDDSPSFCLAGRFSPGTAGRRCHREKNCESICCGRGHNTQSRVVTRPCQCQVRWCCYVECRQCTQREEVYTCKG
+>DECOY_sp|O14904|WNT9A_HUMAN Protein Wnt-9a OS=Homo sapiens OX=9606 GN=WNT9A PE=1 SV=2
+GKCTYVEERQTCQRCEVYCCWRVQCQCPRTVVRSQTNHGRGCCISECNKERHCRRGATGPSFRGALCFSPSDDLHVLEPTRPLPDSGGAGSARGRPPSIAGAEGAAENTTSGVKLATEYKHKLHKGVEHFPALQRWCTRVTCSGSVGHCKCTTEVGAKIVKVGVLNNHFDVRARLDKSSRRGLFEKVFKSSYKLNDGCGGWQWAERNELDPAEDCTCREMRGASCAKALAHTLGASSIAYLFATEKFGRKLLSARYRGELTCNWREFRFQFQCELASMSVAEVLTEAVGPDRRCMRRQKRELKLRDCAKYHAQAAAEPELTLPLITLPESGTLGFYAASPRLAALLLTLGFAAALWRALPSGDLM
+>sp|Q96S55|WRIP1_HUMAN ATPase WRNIP1 OS=Homo sapiens OX=9606 GN=WRNIP1 PE=1 SV=2
+MEVSGPEDDPFLSQLHQVQCPVCQQMMPAAHINSHLDRCLLLHPAGHAEPAAGSHRAGERAKGPSPPGAKRRRLSESSALKQPATPTAAESSEGEGEEGDDGGETESRESYDAPPTPSGARLIPDFPVARSSSPGRKGSGKRPAAAAAAGSASPRSWDEAEAQEEEEAVGDGDGDGDADADGEDDPGHWDADAAEAATAFGASGGGRPHPRALAAEEIRQMLQGKPLADTMRPDTLQDYFGQSKAVGQDTLLRSLLETNEIPSLILWGPPGCGKTTLAHIIASNSKKHSIRFVTLSATNAKTNDVRDVIKQAQNEKSFFKRKTILFIDEIHRFNKSQQDTFLPHVECGTITLIGATTENPSFQVNAALLSRCRVIVLEKLPVEAMVTILMRAINSLGIHVLDSSRPTDPLSHSSNSSSEPAMFIEDKAVDTLAYLSDGDARAGLNGLQLAVLARLSSRKMFCKKSGQSYSPSRVLITENDVKEGLQRSHILYDRAGEEHYNCISALHKSMRGSDQNASLYWLARMLEGGEDPLYVARRLVRFASEDIGLADPSALTQAVAAYQGCHFIGMPECEVLLAQCVVYFARAPKSIEVYSAYNNVKACLRNHQGPLPPVPLHLRNAPTRLMKDLGYGKGYKYNPMYSEPVDQEYLPEELRGVDFFKQRRC
+>DECOY_sp|Q96S55|WRIP1_HUMAN ATPase WRNIP1 OS=Homo sapiens OX=9606 GN=WRNIP1 PE=1 SV=2
+CRRQKFFDVGRLEEPLYEQDVPESYMPNYKYGKGYGLDKMLRTPANRLHLPVPPLPGQHNRLCAKVNNYASYVEISKPARAFYVVCQALLVECEPMGIFHCGQYAAVAQTLASPDALGIDESAFRVLRRAVYLPDEGGELMRALWYLSANQDSGRMSKHLASICNYHEEGARDYLIHSRQLGEKVDNETILVRSPSYSQGSKKCFMKRSSLRALVALQLGNLGARADGDSLYALTDVAKDEIFMAPESSSNSSHSLPDTPRSSDLVHIGLSNIARMLITVMAEVPLKELVIVRCRSLLAANVQFSPNETTAGILTITGCEVHPLFTDQQSKNFRHIEDIFLITKRKFFSKENQAQKIVDRVDNTKANTASLTVFRISHKKSNSAIIHALTTKGCGPPGWLILSPIENTELLSRLLTDQGVAKSQGFYDQLTDPRMTDALPKGQLMQRIEEAALARPHPRGGGSAGFATAAEAADADWHGPDDEGDADADGDGDGDGVAEEEEQAEAEDWSRPSASGAAAAAAPRKGSGKRGPSSSRAVPFDPILRAGSPTPPADYSERSETEGGDDGEEGEGESSEAATPTAPQKLASSESLRRRKAGPPSPGKAREGARHSGAAPEAHGAPHLLLCRDLHSNIHAAPMMQQCVPCQVQHLQSLFPDDEPGSVEM
+>sp|P19544|WT1_HUMAN Wilms tumor protein OS=Homo sapiens OX=9606 GN=WT1 PE=1 SV=2
+MGSDVRDLNALLPAVPSLGGGGGCALPVSGAAQWAPVLDFAPPGASAYGSLGGPAPPPAPPPPPPPPPHSFIKQEPSWGGAEPHEEQCLSAFTVHFSGQFTGTAGACRYGPFGPPPPSQASSGQARMFPNAPYLPSCLESQPAIRNQGYSTVTFDGTPSYGHTPSHHAAQFPNHSFKHEDPMGQQGSLGEQQYSVPPPVYGCHTPTDSCTGSQALLLRTPYSSDNLYQMTSQLECMTWNQMNLGATLKGVAAGSSSSVKWTEGQSNHSTGYESDNHTTPILCGAQYRIHTHGVFRGIQDVRRVPGVAPTLVRSASETSEKRPFMCAYPGCNKRYFKLSHLQMHSRKHTGEKPYQCDFKDCERRFSRSDQLKRHQRRHTGVKPFQCKTCQRKFSRSDHLKTHTRTHTGKTSEKPFSCRWPSCQKKFARSDELVRHHNMHQRNMTKLQLAL
+>DECOY_sp|P19544|WT1_HUMAN Wilms tumor protein OS=Homo sapiens OX=9606 GN=WT1 PE=1 SV=2
+LALQLKTMNRQHMNHHRVLEDSRAFKKQCSPWRCSFPKESTKGTHTRTHTKLHDSRSFKRQCTKCQFPKVGTHRRQHRKLQDSRSFRRECDKFDCQYPKEGTHKRSHMQLHSLKFYRKNCGPYACMFPRKESTESASRVLTPAVGPVRRVDQIGRFVGHTHIRYQAGCLIPTTHNDSEYGTSHNSQGETWKVSSSSGAAVGKLTAGLNMQNWTMCELQSTMQYLNDSSYPTRLLLAQSGTCSDTPTHCGYVPPPVSYQQEGLSGQQGMPDEHKFSHNPFQAAHHSPTHGYSPTGDFTVTSYGQNRIAPQSELCSPLYPANPFMRAQGSSAQSPPPPGFPGYRCAGATGTFQGSFHVTFASLCQEEHPEAGGWSPEQKIFSHPPPPPPPPPAPPPAPGGLSGYASAGPPAFDLVPAWQAAGSVPLACGGGGGLSPVAPLLANLDRVDSGM
+>sp|A6NIX2|WTIP_HUMAN Wilms tumor protein 1-interacting protein OS=Homo sapiens OX=9606 GN=WTIP PE=1 SV=3
+MQRSRAGADEAALLLAGLALRELEPGCGSPGRGRRGPRPGPGDEAAPALGRRGKGSGGPEAGADGLSRGERGPRRAAVPELSAQPAGSPRASLAGSDGGGGGGSARSSGISLGYDQRHGSPRSGRSDPRPGPGPPSVGSARSSVSSLGSRGSAGAYADFLPPGACPAPARSPEPAGPAPFPLPALPLPPGREGGPSAAERRLEALTRELERALEARTARDYFGICIKCGLGIYGAQQACQAMGSLYHTDCFTCDSCGRRLRGKAFYNVGEKVYCQEDFLYSGFQQTADKCSVCGHLIMEMILQALGKSYHPGCFRCSVCNECLDGVPFTVDVENNIYCVRDYHTVFAPKCASCARPILPAQGCETTIRVVSMDRDYHVACYHCEDCGLQLSGEEGRRCYPLAGHLLCRRCHLRRLQPGPLPSPTVHVTEL
+>DECOY_sp|A6NIX2|WTIP_HUMAN Wilms tumor protein 1-interacting protein OS=Homo sapiens OX=9606 GN=WTIP PE=1 SV=3
+LETVHVTPSPLPGPQLRRLHCRRCLLHGALPYCRRGEEGSLQLGCDECHYCAVHYDRDMSVVRITTECGQAPLIPRACSACKPAFVTHYDRVCYINNEVDVTFPVGDLCENCVSCRFCGPHYSKGLAQLIMEMILHGCVSCKDATQQFGSYLFDEQCYVKEGVNYFAKGRLRRGCSDCTFCDTHYLSGMAQCAQQAGYIGLGCKICIGFYDRATRAELARELERTLAELRREAASPGGERGPPLPLAPLPFPAPGAPEPSRAPAPCAGPPLFDAYAGASGRSGLSSVSSRASGVSPPGPGPRPDSRGSRPSGHRQDYGLSIGSSRASGGGGGGDSGALSARPSGAPQASLEPVAARRPGREGRSLGDAGAEPGGSGKGRRGLAPAAEDGPGPRPGRRGRGPSGCGPELERLALGALLLAAEDAGARSRQM
+>sp|Q9ULE0|WWC3_HUMAN Protein WWC3 OS=Homo sapiens OX=9606 GN=WWC3 PE=1 SV=3
+MDHINKLTQIEDPREQWRREQERMLKEYLIVAQEALNAKKEIYQIKQQRFELAQEEYQQLHKMCEDDSRSYASSFSGYSTNTKYDPHQIKAEIASRRDRLSRLKRELTQMKQELQYKEKGVETLQEIDRKMSSTHTSYKLDEAQAIMSELRTIKKAICTGEKERRDLMHSLAKLTDSFKNSCSVTDSLVDFPHHVGVPGDAGVPQQFCDAGSQTDIIGEFVFDDKTRLVDRVRLNWQYEEARKRVANIQQQLARLDNESWPSTAEADRDRLQLIKEKEALLQELQLIIAQRRSAGDVARLEEERERLEEELRRARATSAQGATERILLQEKRNCLLMQLEEATRLTSYLQSQLKSLCASTLTVSSGSSRGSLASSRGSLASSRGSLSSVSFTDIYGLPQYEKPDAEGSQLLRFDLIPFDSLGRDAPFSEPPGPSGFHKQRRSLDTPQSLASLSSRSSLSSLSPPSSPLDTPFLPASRDSPLAQLADSCEGPGLGALDRLRAHASAMGDEDLPGMAALQPHGVPGDGEGPHERGPPPASAPVGGTVTLREDSAKRLERRARRISACLSDYSLASDSGVFEPLTKRNEDAEEPAYGDTASNGDPQIHVGLLRDSGSECLLVHVLQLKNPAGLAVKEDCKVHIRVYLPPLDSGTPNTYCSKALEFQVPLVFNEVFRIPVHSSALTLKSLQLYVCSVTPQLQEELLGIAQINLADYDSLSEMQLRWHSVQVFTSSEPSRTREAGCAGESSARDPAHTISISGKTDAVTVLLARTTAQLQAVERELAEERAKLEYTEEEVLEMERKEEQAEAISERSWQADSVDSGCSNCTQTSPPYPEPCCMGIDSILGHPFAAQAGPYSPEKFQPSPLKVDKETNTEDLFLEEAASLVKERPSRRARGSPFVRSGTIVRSQTFSPGARSQYVCRLYRSDSDSSTLPRKSPFVRNTLERRTLRYKQSCRSSLAELMARTSLDLELDLQASRTRQRQLNEELCALRELRQRLEDAQLRGQTDLPPWVLRDERLRGLLREAERQTRQTKLDYRHEQAAEKMLKKASKEIYQLRGQSHKEPIQVQTFREKIAFFTRPRINIPPLPADDV
+>DECOY_sp|Q9ULE0|WWC3_HUMAN Protein WWC3 OS=Homo sapiens OX=9606 GN=WWC3 PE=1 SV=3
+VDDAPLPPINIRPRTFFAIKERFTQVQIPEKHSQGRLQYIEKSAKKLMKEAAQEHRYDLKTQRTQREAERLLGRLREDRLVWPPLDTQGRLQADELRQRLERLACLEENLQRQRTRSAQLDLELDLSTRAMLEALSSRCSQKYRLTRRELTNRVFPSKRPLTSSDSDSRYLRCVYQSRAGPSFTQSRVITGSRVFPSGRARRSPREKVLSAAEELFLDETNTEKDVKLPSPQFKEPSYPGAQAAFPHGLISDIGMCCPEPYPPSTQTCNSCGSDVSDAQWSRESIAEAQEEKREMELVEEETYELKAREEALEREVAQLQATTRALLVTVADTKGSISITHAPDRASSEGACGAERTRSPESSTFVQVSHWRLQMESLSDYDALNIQAIGLLEEQLQPTVSCVYLQLSKLTLASSHVPIRFVENFVLPVQFELAKSCYTNPTGSDLPPLYVRIHVKCDEKVALGAPNKLQLVHVLLCESGSDRLLGVHIQPDGNSATDGYAPEEADENRKTLPEFVGSDSALSYDSLCASIRRARRELRKASDERLTVTGGVPASAPPPGREHPGEGDGPVGHPQLAAMGPLDEDGMASAHARLRDLAGLGPGECSDALQALPSDRSAPLFPTDLPSSPPSLSSLSSRSSLSALSQPTDLSRRQKHFGSPGPPESFPADRGLSDFPILDFRLLQSGEADPKEYQPLGYIDTFSVSSLSGRSSALSGRSSALSGRSSGSSVTLTSACLSKLQSQLYSTLRTAEELQMLLCNRKEQLLIRETAGQASTARARRLEEELREREEELRAVDGASRRQAIILQLEQLLAEKEKILQLRDRDAEATSPWSENDLRALQQQINAVRKRAEEYQWNLRVRDVLRTKDDFVFEGIIDTQSGADCFQQPVGADGPVGVHHPFDVLSDTVSCSNKFSDTLKALSHMLDRREKEGTCIAKKITRLESMIAQAEDLKYSTHTSSMKRDIEQLTEVGKEKYQLEQKMQTLERKLRSLRDRRSAIEAKIQHPDYKTNTSYGSFSSAYSRSDDECMKHLQQYEEQALEFRQQKIQYIEKKANLAEQAVILYEKLMREQERRWQERPDEIQTLKNIHDM
+>sp|O00308|WWP2_HUMAN NEDD4-like E3 ubiquitin-protein ligase WWP2 OS=Homo sapiens OX=9606 GN=WWP2 PE=1 SV=2
+MASASSSRAGVALPFEKSQLTLKVVSAKPKVHNRQPRINSYVEVAVDGLPSETKKTGKRIGSSELLWNEIIILNVTAQSHLDLKVWSCHTLRNELLGTASVNLSNVLKNNGGKMENMQLTLNLQTENKGSVVSGGELTIFLDGPTVDLGNVPNGSALTDGSQLPSRDSSGTAVAPENRHQPPSTNCFGGRSRTHRHSGASARTTPATGEQSPGARSRHRQPVKNSGHSGLANGTVNDEPTTATDPEEPSVVGVTSPPAAPLSVTPNPNTTSLPAPATPAEGEEPSTSGTQQLPAAAQAPDALPAGWEQRELPNGRVYYVDHNTKTTTWERPLPPGWEKRTDPRGRFYYVDHNTRTTTWQRPTAEYVRNYEQWQSQRNQLQGAMQHFSQRFLYQSSSASTDHDPLGPLPPGWEKRQDNGRVYYVNHNTRTTQWEDPRTQGMIQEPALPPGWEMKYTSEGVRYFVDHNTRTTTFKDPRPGFESGTKQGSPGAYDRSFRWKYHQFRFLCHSNALPSHVKISVSRQTLFEDSFQQIMNMKPYDLRRRLYIIMRGEEGLDYGGIAREWFFLLSHEVLNPMYCLFEYAGKNNYCLQINPASSINPDHLTYFRFIGRFIAMALYHGKFIDTGFTLPFYKRMLNKRPTLKDLESIDPEFYNSIVWIKENNLEECGLELYFIQDMEILGKVTTHELKEGGESIRVTEENKEEYIMLLTDWRFTRGVEEQTKAFLDGFNEVAPLEWLRYFDEKELELMLCGMQEIDMSDWQKSTIYRHYTKNSKQIQWFWQVVKEMDNEKRIRLLQFVTGTCRLPVGGFAELIGSNGPQKFCIDKVGKETWLPRSHTCFNRLDLPPYKSYEQLREKLLYAIEETEGFGQE
+>DECOY_sp|O00308|WWP2_HUMAN NEDD4-like E3 ubiquitin-protein ligase WWP2 OS=Homo sapiens OX=9606 GN=WWP2 PE=1 SV=2
+EQGFGETEEIAYLLKERLQEYSKYPPLDLRNFCTHSRPLWTEKGVKDICFKQPGNSGILEAFGGVPLRCTGTVFQLLRIRKENDMEKVVQWFWQIQKSNKTYHRYITSKQWDSMDIEQMGCLMLELEKEDFYRLWELPAVENFGDLFAKTQEEVGRTFRWDTLLMIYEEKNEETVRISEGGEKLEHTTVKGLIEMDQIFYLELGCEELNNEKIWVISNYFEPDISELDKLTPRKNLMRKYFPLTFGTDIFKGHYLAMAIFRGIFRFYTLHDPNISSAPNIQLCYNNKGAYEFLCYMPNLVEHSLLFFWERAIGGYDLGEEGRMIIYLRRRLDYPKMNMIQQFSDEFLTQRSVSIKVHSPLANSHCLFRFQHYKWRFSRDYAGPSGQKTGSEFGPRPDKFTTTRTNHDVFYRVGESTYKMEWGPPLAPEQIMGQTRPDEWQTTRTNHNVYYVRGNDQRKEWGPPLPGLPDHDTSASSSQYLFRQSFHQMAGQLQNRQSQWQEYNRVYEATPRQWTTTRTNHDVYYFRGRPDTRKEWGPPLPREWTTTKTNHDVYYVRGNPLERQEWGAPLADPAQAAAPLQQTGSTSPEEGEAPTAPAPLSTTNPNPTVSLPAAPPSTVGVVSPEEPDTATTPEDNVTGNALGSHGSNKVPQRHRSRAGPSQEGTAPTTRASAGSHRHTRSRGGFCNTSPPQHRNEPAVATGSSDRSPLQSGDTLASGNPVNGLDVTPGDLFITLEGGSVVSGKNETQLNLTLQMNEMKGGNNKLVNSLNVSATGLLENRLTHCSWVKLDLHSQATVNLIIIENWLLESSGIRKGTKKTESPLGDVAVEVYSNIRPQRNHVKPKASVVKLTLQSKEFPLAVGARSSSASAM
+>sp|Q6GPH4|XAF1_HUMAN XIAP-associated factor 1 OS=Homo sapiens OX=9606 GN=XAF1 PE=1 SV=1
+MEGDFSVCRNCKRHVVSANFTLHEAYCLRFLVLCPECEEPVPKETMEEHCKLEHQQVGCTMCQQSMQKSSLEFHKANECQERPVECKFCKLDMQLSKLELHESYCGSRTELCQGCGQFIMHRMLAQHRDVCRSEQAQLGKGERISAPEREIYCHYCNQMIPENKYFHHMGKCCPDSEFKKHFPVGNPEILPSSLPSQAAENQTSTMEKDVRPKTRSINRFPLHSESSSKKAPRSKNKTLDPLLMSEPKPRTSSPRGDKAAYDILRRCSQCGILLPLPILNQHQEKCRWLASSKGKQVRNFS
+>DECOY_sp|Q6GPH4|XAF1_HUMAN XIAP-associated factor 1 OS=Homo sapiens OX=9606 GN=XAF1 PE=1 SV=1
+SFNRVQKGKSSALWRCKEQHQNLIPLPLLIGCQSCRRLIDYAAKDGRPSSTRPKPESMLLPDLTKNKSRPAKKSSSESHLPFRNISRTKPRVDKEMTSTQNEAAQSPLSSPLIEPNGVPFHKKFESDPCCKGMHHFYKNEPIMQNCYHCYIEREPASIREGKGLQAQESRCVDRHQALMRHMIFQGCGQCLETRSGCYSEHLELKSLQMDLKCFKCEVPREQCENAKHFELSSKQMSQQCMTCGVQQHELKCHEEMTEKPVPEECEPCLVLFRLCYAEHLTFNASVVHRKCNRCVSFDGEM
+>sp|P98170|XIAP_HUMAN E3 ubiquitin-protein ligase XIAP OS=Homo sapiens OX=9606 GN=XIAP PE=1 SV=2
+MTFNSFEGSKTCVPADINKEEEFVEEFNRLKTFANFPSGSPVSASTLARAGFLYTGEGDTVRCFSCHAAVDRWQYGDSAVGRHRKVSPNCRFINGFYLENSATQSTNSGIQNGQYKVENYLGSRDHFALDRPSETHADYLLRTGQVVDISDTIYPRNPAMYSEEARLKSFQNWPDYAHLTPRELASAGLYYTGIGDQVQCFCCGGKLKNWEPCDRAWSEHRRHFPNCFFVLGRNLNIRSESDAVSSDRNFPNSTNLPRNPSMADYEARIFTFGTWIYSVNKEQLARAGFYALGEGDKVKCFHCGGGLTDWKPSEDPWEQHAKWYPGCKYLLEQKGQEYINNIHLTHSLEECLVRTTEKTPSLTRRIDDTIFQNPMVQEAIRMGFSFKDIKKIMEEKIQISGSNYKSLEVLVADLVNAQKDSMQDESSQTSLQKEISTEEQLRRLQEEKLCKICMDRNIAIVFVPCGHLVTCKQCAEAVDKCPMCYTVITFKQKIFMS
+>DECOY_sp|P98170|XIAP_HUMAN E3 ubiquitin-protein ligase XIAP OS=Homo sapiens OX=9606 GN=XIAP PE=1 SV=2
+SMFIKQKFTIVTYCMPCKDVAEACQKCTVLHGCPVFVIAINRDMCIKCLKEEQLRRLQEETSIEKQLSTQSSEDQMSDKQANVLDAVLVELSKYNSGSIQIKEEMIKKIDKFSFGMRIAEQVMPNQFITDDIRRTLSPTKETTRVLCEELSHTLHINNIYEQGKQELLYKCGPYWKAHQEWPDESPKWDTLGGGCHFCKVKDGEGLAYFGARALQEKNVSYIWTGFTFIRAEYDAMSPNRPLNTSNPFNRDSSVADSESRINLNRGLVFFCNPFHRRHESWARDCPEWNKLKGGCCFCQVQDGIGTYYLGASALERPTLHAYDPWNQFSKLRAEESYMAPNRPYITDSIDVVQGTRLLYDAHTESPRDLAFHDRSGLYNEVKYQGNQIGSNTSQTASNELYFGNIFRCNPSVKRHRGVASDGYQWRDVAAHCSFCRVTDGEGTYLFGARALTSASVPSGSPFNAFTKLRNFEEVFEEEKNIDAPVCTKSGEFSNFTM
+>sp|Q702N8|XIRP1_HUMAN Xin actin-binding repeat-containing protein 1 OS=Homo sapiens OX=9606 GN=XIRP1 PE=1 SV=1
+MADTQTQVAPTPTMRMATAEDLPLPPPPALEDLPLPPPKESFSKFHQQRQASELRRLYRHIHPELRKNLAEAVAEDLAEVLGSEEPTEGDVQCMRWIFENWRLDAIGEHERPAAKEPVLCGDVQATSRKFEEGSFANSTDQEPTRPQPGGGDVRAARWLFETKPLDELTGQAKELEATVREPAASGDVQGTRMLFETRPLDRLGSRPSLQEQSPLELRSEIQELKGDVKKTVKLFQTEPLCAIQDAEGAIHEVKAACREEIQSNAVRSARWLFETRPLDAINQDPSQVRVIRGISLEEGARPDVSATRWIFETQPLDAIREILVDEKDFQPSPDLIPPGPDVQQQQHLFETRALDTLKGDEEAGAEAPPKEEVVPGDVRSTLWLFETKPLDAFRDKVQVGHLQRVDPQDGEGHLSSDSSSALPFSQSAPQRDELKGDVKTFKNLFETLPLDSIGQGEVLAHGSPSREEGTDSAGQAQGIGSPVYAMQDSKGRLHALTSVSREQIVGGDVQGYRWMFETQPLDQLGRSPSTIDVVRGITRQEVVAGDVGTARWLFETQPLEMIHQREQQERQKEEGKSQGDPQPEAPPKGDVQTIRWLFETCPMSELAEKQGSEVTDPTAKAEAQSCTWMFKPQPVDRPVGSREQHLQVSQVPAGERQTDRHVFETEPLQASGRPCGRRPVRYCSRVEIPSGQVSRQKEVFQALEAGKKEEQEPRVIAGSIPAGSVHKFTWLFENCPMGSLAAESIQGGNLLEEQPMSPSGNRMQESQETAAEGTLRTLHATPGILHHGGILMEARGPGELCLAKYVLSGTGQGHPYIRKEELVSGELPRIICQVLRRPDVDQQGLLVQEDPTGQLQLKPLRLPTPGSSGNIEDMDPELQQLLACGLGTSVARTGLVMQETEQGLVALTAYSLQPRLTSKASERSSVQLLASCIDKGDLSGLHSLRWEPPADPSPVPASEGAQSLHPTESIIHVPPLDPSMGMGHLRASGATPCPPQAIGKAVPLAGEAAAPAQLQNTEKQEDSHSGQKGMAVLGKSEGATTTPPGPGAPDLLAAMQSLRMATAEAQSLHQQVLNKHKQGPTPTATSNPIQDGLRKAGATQSNIRPGGGSDPRIPAAPRKVSREEQALPRGLPGGWVTIQDGIYTAHPVRTFDPPGGVQLSQREPQSRHRETALSVQAPRPLQGGPGQSTGPGREEPGGCTQMAWGPPGKAMAEVCPGGLQAAETTLKTAPLGRHILASGPQAAGASPHPHNAFVPPPPTLPAAVTGPDFPAGAHRAEDSIQQASEPLKDPLLHSHSSPAGQRTPGGSQTKTPKLDPTMPPKKKPQLPPKPAHLTQSHPPQRLPKPLPLSPSFSSEVGQREHQRGERDTAIPQPAKVPTTVDQGHIPLARCPSGHSQPSLQHGLSTTAPRPTKNQATGSNAQSSEPPKLNALNHDPTSPQWGPGPSGEQPMEGSHQGAPESPDSLQRNQKELQGLLNQVQALEKEAASSVDVQALRRLFEAVPQLGGAAPQAPAAHQKPEASVEQAFGELTRVSTEVAQLKEQTLARLLDIEEAVHKALSSMSSLQPEASARGHFQGPPKDHSAHKISVTVSSSARPSGSGQEVGGQTAVKNQAKVECHTEAQSQVKIRNHTEARGHTASTAPSTRRQETSREYLCPPRVLPSSRDSPSSPTFISIQSATRKPLETPSFKGNPDVSVKSTQLAQDIGQALLHQKGVQDKTGKKDITQCSVQPEPAPPSASPLPRGWQKSVLELQTGPGSSQHYGAMRTVTEQYEEVDQFGNTVLMSSTTVTEQAEPPRNPGSHLGLHASPLLRQFLHSPAGFSSDLTEAETVQVSCSYSQPAAQ
+>DECOY_sp|Q702N8|XIRP1_HUMAN Xin actin-binding repeat-containing protein 1 OS=Homo sapiens OX=9606 GN=XIRP1 PE=1 SV=1
+QAAPQSYSCSVQVTEAETLDSSFGAPSHLFQRLLPSAHLGLHSGPNRPPEAQETVTTSSMLVTNGFQDVEEYQETVTRMAGYHQSSGPGTQLELVSKQWGRPLPSASPPAPEPQVSCQTIDKKGTKDQVGKQHLLAQGIDQALQTSKVSVDPNGKFSPTELPKRTASQISIFTPSSPSDRSSPLVRPPCLYERSTEQRRTSPATSATHGRAETHNRIKVQSQAETHCEVKAQNKVATQGGVEQGSGSPRASSSVTVSIKHASHDKPPGQFHGRASAEPQLSSMSSLAKHVAEEIDLLRALTQEKLQAVETSVRTLEGFAQEVSAEPKQHAAPAQPAAGGLQPVAEFLRRLAQVDVSSAAEKELAQVQNLLGQLEKQNRQLSDPSEPAGQHSGEMPQEGSPGPGWQPSTPDHNLANLKPPESSQANSGTAQNKTPRPATTSLGHQLSPQSHGSPCRALPIHGQDVTTPVKAPQPIATDREGRQHERQGVESSFSPSLPLPKPLRQPPHSQTLHAPKPPLQPKKKPPMTPDLKPTKTQSGGPTRQGAPSSHSHLLPDKLPESAQQISDEARHAGAPFDPGTVAAPLTPPPPVFANHPHPSAGAAQPGSALIHRGLPATKLTTEAAQLGGPCVEAMAKGPPGWAMQTCGGPEERGPGTSQGPGGQLPRPAQVSLATERHRSQPERQSLQVGGPPDFTRVPHATYIGDQITVWGGPLGRPLAQEERSVKRPAAPIRPDSGGGPRINSQTAGAKRLGDQIPNSTATPTPGQKHKNLVQQHLSQAEATAMRLSQMAALLDPAGPGPPTTTAGESKGLVAMGKQGSHSDEQKETNQLQAPAAAEGALPVAKGIAQPPCPTAGSARLHGMGMSPDLPPVHIISETPHLSQAGESAPVPSPDAPPEWRLSHLGSLDGKDICSALLQVSSRESAKSTLRPQLSYATLAVLGQETEQMVLGTRAVSTGLGCALLQQLEPDMDEINGSSGPTPLRLPKLQLQGTPDEQVLLGQQDVDPRRLVQCIIRPLEGSVLEEKRIYPHGQGTGSLVYKALCLEGPGRAEMLIGGHHLIGPTAHLTRLTGEAATEQSEQMRNGSPSMPQEELLNGGQISEAALSGMPCNEFLWTFKHVSGAPISGAIVRPEQEEKKGAELAQFVEKQRSVQGSPIEVRSCYRVPRRGCPRGSAQLPETEFVHRDTQREGAPVQSVQLHQERSGVPRDVPQPKFMWTCSQAEAKATPDTVESGQKEALESMPCTEFLWRITQVDGKPPAEPQPDGQSKGEEKQREQQERQHIMELPQTEFLWRATGVDGAVVEQRTIGRVVDITSPSRGLQDLPQTEFMWRYGQVDGGVIQERSVSTLAHLRGKSDQMAYVPSGIGQAQGASDTGEERSPSGHALVEGQGISDLPLTEFLNKFTKVDGKLEDRQPASQSFPLASSSDSSLHGEGDQPDVRQLHGVQVKDRFADLPKTEFLWLTSRVDGPVVEEKPPAEAGAEEDGKLTDLARTEFLHQQQQVDPGPPILDPSPQFDKEDVLIERIADLPQTEFIWRTASVDPRAGEELSIGRIVRVQSPDQNIADLPRTEFLWRASRVANSQIEERCAAKVEHIAGEADQIACLPETQFLKVTKKVDGKLEQIESRLELPSQEQLSPRSGLRDLPRTEFLMRTGQVDGSAAPERVTAELEKAQGTLEDLPKTEFLWRAARVDGGGPQPRTPEQDTSNAFSGEEFKRSTAQVDGCLVPEKAAPREHEGIADLRWNEFIWRMCQVDGETPEESGLVEALDEAVAEALNKRLEPHIHRYLRRLESAQRQQHFKSFSEKPPPLPLDELAPPPPLPLDEATAMRMTPTPAVQTQTDAM
+>sp|Q5GH77|XKR3_HUMAN XK-related protein 3 OS=Homo sapiens OX=9606 GN=XKR3 PE=2 SV=1
+METVFEEMDEESTGGVSSSKEEIVLGQRLHLSFPFSIIFSTVLYCGEVAFGLYMFEIYRKANDTFWMSFTISFIIVGAILDQIILMFFNKDLRRNKAALLFWHILLLGPIVRCLHTIRNYHKWLKNLKQEKEETQVSITKRNTMLEREIAFSIRDNFMQQKAFKYMSVIQAFLGSVPQLILQMYISLTIREWPLNRALLMTFSLLSVTYGAIRCNILAIQISNDDTTIKLPPIEFFCVVMWRFLEVISRVVTLAFFIASLKLKSLPVLLIIYFVSLLAPWLEFWKSGAHLPGNKENNSNMVGTVLMLFLITLLYAAINFSCWSAVKLQLSDDKIIDGRQRWGHRILHYSFQFLENVIMILVFRFFGGKTLLNCCDSLIAVQLIISYLLATGFMLLFYQYLYPWQSGKVLPGRTENQPEAPYYYVNIEKTEKNKNKQLRNYCHSCNRVGYFSIRKSMTCS
+>DECOY_sp|Q5GH77|XKR3_HUMAN XK-related protein 3 OS=Homo sapiens OX=9606 GN=XKR3 PE=2 SV=1
+SCTMSKRISFYGVRNCSHCYNRLQKNKNKETKEINVYYYPAEPQNETRGPLVKGSQWPYLYQYFLLMFGTALLYSIILQVAILSDCCNLLTKGGFFRFVLIMIVNELFQFSYHLIRHGWRQRGDIIKDDSLQLKVASWCSFNIAAYLLTILFLMLVTGVMNSNNEKNGPLHAGSKWFELWPALLSVFYIILLVPLSKLKLSAIFFALTVVRSIVELFRWMVVCFFEIPPLKITTDDNSIQIALINCRIAGYTVSLLSFTMLLARNLPWERITLSIYMQLILQPVSGLFAQIVSMYKFAKQQMFNDRISFAIERELMTNRKTISVQTEEKEQKLNKLWKHYNRITHLCRVIPGLLLIHWFLLAAKNRRLDKNFFMLIIQDLIAGVIIFSITFSMWFTDNAKRYIEFMYLGFAVEGCYLVTSFIISFPFSLHLRQGLVIEEKSSSVGGTSEEDMEEFVTEM
+>sp|A2RUG3|XKRY2_HUMAN Testis-specific XK-related protein, Y-linked 2 OS=Homo sapiens OX=9606 GN=XKRY2 PE=2 SV=2
+MFIFNSIADDIFPLISCVGAIHCNILAIRTGNDFAAIKLQVIKLIYLMIWHSLVIISPVVTLAFFPASLKQGSLHFLLIIYFVLLLTPWLEFSKSGTHLPSNTKNNSSMVGKYGCLS
+>DECOY_sp|A2RUG3|XKRY2_HUMAN Testis-specific XK-related protein, Y-linked 2 OS=Homo sapiens OX=9606 GN=XKRY2 PE=2 SV=2
+SLCGYKGVMSSNNKTNSPLHTGSKSFELWPTLLLVFYIILLFHLSGQKLSAPFFALTVVPSIIVLSHWIMLYILKIVQLKIAAFDNGTRIALINCHIAGVCSILPFIDDAISNFIFM
+>sp|P23025|XPA_HUMAN DNA repair protein complementing XP-A cells OS=Homo sapiens OX=9606 GN=XPA PE=1 SV=1
+MAAADGALPEAAALEQPAELPASVRASIERKRQRALMLRQARLAARPYSATAAAATGGMANVKAAPKIIDTGGGFILEEEEEEEQKIGKVVHQPGPVMEFDYVICEECGKEFMDSYLMNHFDLPTCDNCRDADDKHKLITKTEAKQEYLLKDCDLEKREPPLKFIVKKNPHHSQWGDMKLYLKLQIVKRSLEVWGSQEALEEAKEVRQENREKMKQKKFDKKVKELRRAVRSSVWKRETIVHQHEYGPEENLEDDMYRKTCTMCGHELTYEKM
+>DECOY_sp|P23025|XPA_HUMAN DNA repair protein complementing XP-A cells OS=Homo sapiens OX=9606 GN=XPA PE=1 SV=1
+MKEYTLEHGCMTCTKRYMDDELNEEPGYEHQHVITERKWVSSRVARRLEKVKKDFKKQKMKERNEQRVEKAEELAEQSGWVELSRKVIQLKLYLKMDGWQSHHPNKKVIFKLPPERKELDCDKLLYEQKAETKTILKHKDDADRCNDCTPLDFHNMLYSDMFEKGCEECIVYDFEMVPGPQHVVKGIKQEEEEEEELIFGGGTDIIKPAAKVNAMGGTAAAATASYPRAALRAQRLMLARQRKREISARVSAPLEAPQELAAAEPLAGDAAAM
+>sp|O43592|XPOT_HUMAN Exportin-T OS=Homo sapiens OX=9606 GN=XPOT PE=1 SV=2
+MDEQALLGLNPNADSDFRQRALAYFEQLKISPDAWQVCAEALAQRTYSDDHVKFFCFQVLEHQVKYKYSELTTVQQQLIRETLISWLQAQMLNPQPEKTFIRNKAAQVFALLFVTEYLTKWPKFFFDILSVVDLNPRGVDLYLRILMAIDSELVDRDVVHTSEEARRNTLIKDTMREQCIPNLVESWYQILQNYQFTNSEVTCQCLEVVGAYVSWIDLSLIANDRFINMLLGHMSIEVLREEACDCLFEVVNKGMDPVDKMKLVESLCQVLQSAGFFSIDQEEDVDFLARFSKLVNGMGQSLIVSWSKLIKNGDIKNAQEALQAIETKVALMLQLLIHEDDDISSNIIGFCYDYLHILKQLTVLSDQQKANVEAIMLAVMKKLTYDEEYNFENEGEDEAMFVEYRKQLKLLLDRLAQVSPELLLASVRRVFSSTLQNWQTTRFMEVEVAIRLLYMLAEALPVSHGAHFSGDVSKASALQDMMRTLVTSGVSSYQHTSVTLEFFETVVRYEKFFTVEPQHIPCVLMAFLDHRGLRHSSAKVRSRTAYLFSRFVKSLNKQMNPFIEDILNRIQDLLELSPPENGHQSLLSSDDQLFIYETAGVLIVNSEYPAERKQALMRNLLTPLMEKFKILLEKLMLAQDEERQASLADCLNHAVGFASRTSKAFSNKQTVKQCGCSEVYLDCLQTFLPALSCPLQKDILRSGVRTFLHRMIICLEEEVLPFIPSASEHMLKDCEAKDLQEFIPLINQITAKFKIQVSPFLQQMFMPLLHAIFEVLLRPAEENDQSAALEKQMLRRSYFAFLQTVTGSGMSEVIANQGAENVERVLVTVIQGAVEYPDPIAQKTCFIILSKLVELWGGKDGPVGFADFVYKHIVPACFLAPLKQTFDLADAQTVLALSECAVTLKTIHLKRGPECVQYLQQEYLPSLQVAPEIIQEFCQALQQPDAKVFKNYLKVFFQRAKP
+>DECOY_sp|O43592|XPOT_HUMAN Exportin-T OS=Homo sapiens OX=9606 GN=XPOT PE=1 SV=2
+PKARQFFVKLYNKFVKADPQQLAQCFEQIIEPAVQLSPLYEQQLYQVCEPGRKLHITKLTVACESLALVTQADALDFTQKLPALFCAPVIHKYVFDAFGVPGDKGGWLEVLKSLIIFCTKQAIPDPYEVAGQIVTVLVREVNEAGQNAIVESMGSGTVTQLFAFYSRRLMQKELAASQDNEEAPRLLVEFIAHLLPMFMQQLFPSVQIKFKATIQNILPIFEQLDKAECDKLMHESASPIFPLVEEELCIIMRHLFTRVGSRLIDKQLPCSLAPLFTQLCDLYVESCGCQKVTQKNSFAKSTRSAFGVAHNLCDALSAQREEDQALMLKELLIKFKEMLPTLLNRMLAQKREAPYESNVILVGATEYIFLQDDSSLLSQHGNEPPSLELLDQIRNLIDEIFPNMQKNLSKVFRSFLYATRSRVKASSHRLGRHDLFAMLVCPIHQPEVTFFKEYRVVTEFFELTVSTHQYSSVGSTVLTRMMDQLASAKSVDGSFHAGHSVPLAEALMYLLRIAVEVEMFRTTQWNQLTSSFVRRVSALLLEPSVQALRDLLLKLQKRYEVFMAEDEGENEFNYEEDYTLKKMVALMIAEVNAKQQDSLVTLQKLIHLYDYCFGIINSSIDDDEHILLQLMLAVKTEIAQLAEQANKIDGNKILKSWSVILSQGMGNVLKSFRALFDVDEEQDISFFGASQLVQCLSEVLKMKDVPDMGKNVVEFLCDCAEERLVEISMHGLLMNIFRDNAILSLDIWSVYAGVVELCQCTVESNTFQYNQLIQYWSEVLNPICQERMTDKILTNRRAEESTHVVDRDVLESDIAMLIRLYLDVGRPNLDVVSLIDFFFKPWKTLYETVFLLAFVQAAKNRIFTKEPQPNLMQAQLWSILTERILQQQVTTLESYKYKVQHELVQFCFFKVHDDSYTRQALAEACVQWADPSIKLQEFYALARQRFDSDANPNLGLLAQEDM
+>sp|O43895|XPP2_HUMAN Xaa-Pro aminopeptidase 2 OS=Homo sapiens OX=9606 GN=XPNPEP2 PE=1 SV=3
+MARAHWGCCPWLVLLCACAWGHTKPVDLGGQDVRNCSTNPPYLPVTVVNTTMSLTALRQQMQTQNLSAYIIPGTDAHMNEYIGQHDERRAWITGFTGSAGTAVVTMKKAAVWTDSRYWTQAERQMDCNWELHKEVGTTPIVTWLLTEIPAGGRVGFDPFLLSIDTWESYDLALQGSNRQLVSITTNLVDLVWGSERPPVPNQPIYALQEAFTGSTWQEKVSGVRSQMQKHQKVPTAVLLSALEETAWLFNLRASDIPYNPFFYSYTLLTDSSIRLFANKSRFSSETLSYLNSSCTGPMCVQIEDYSQVRDSIQAYSLGDVRIWIGTSYTMYGIYEMIPKEKLVTDTYSPVMMTKAVKNSKEQALLKASHVRDAVAVIRYLVWLEKNVPKGTVDEFSGAEIVDKFRGEEQFSSGPSFETISASGLNAALAHYSPTKELNRKLSSDEMYLLDSGGQYWDGTTDITRTVHWGTPSAFQKEAYTRVLIGNIDLSRLIFPAATSGRMVEAFARRALWDAGLNYGHGTGHGIGNFLCVHEWPVGFQSNNIAMAKGMFTSIEPGYYKDGEFGIRLEDVALVVEAKTKYPGSYLTFEVVSFVPYDRNLIDVSLLSPEHLQYLNRYYQTIREKVGPELQRRQLLEEFEWLQQHTEPLAARAPDTASWASVLVVSTLAILGWSV
+>DECOY_sp|O43895|XPP2_HUMAN Xaa-Pro aminopeptidase 2 OS=Homo sapiens OX=9606 GN=XPNPEP2 PE=1 SV=3
+VSWGLIALTSVVLVSAWSATDPARAALPETHQQLWEFEELLQRRQLEPGVKERITQYYRNLYQLHEPSLLSVDILNRDYPVFSVVEFTLYSGPYKTKAEVVLAVDELRIGFEGDKYYGPEISTFMGKAMAINNSQFGVPWEHVCLFNGIGHGTGHGYNLGADWLARRAFAEVMRGSTAAPFILRSLDINGILVRTYAEKQFASPTGWHVTRTIDTTGDWYQGGSDLLYMEDSSLKRNLEKTPSYHALAANLGSASITEFSPGSSFQEEGRFKDVIEAGSFEDVTGKPVNKELWVLYRIVAVADRVHSAKLLAQEKSNKVAKTMMVPSYTDTVLKEKPIMEYIGYMTYSTGIWIRVDGLSYAQISDRVQSYDEIQVCMPGTCSSNLYSLTESSFRSKNAFLRISSDTLLTYSYFFPNYPIDSARLNFLWATEELASLLVATPVKQHKQMQSRVGSVKEQWTSGTFAEQLAYIPQNPVPPRESGWVLDVLNTTISVLQRNSGQLALDYSEWTDISLLFPDFGVRGGAPIETLLWTVIPTTGVEKHLEWNCDMQREAQTWYRSDTWVAAKKMTVVATGASGTFGTIWARREDHQGIYENMHADTGPIIYASLNQTQMQQRLATLSMTTNVVTVPLYPPNTSCNRVDQGGLDVPKTHGWACACLLVLWPCCGWHARAM
+>sp|P12956|XRCC6_HUMAN X-ray repair cross-complementing protein 6 OS=Homo sapiens OX=9606 GN=XRCC6 PE=1 SV=2
+MSGWESYYKTEGDEEAEEEQEENLEASGDYKYSGRDSLIFLVDASKAMFESQSEDELTPFDMSIQCIQSVYISKIISSDRDLLAVVFYGTEKDKNSVNFKNIYVLQELDNPGAKRILELDQFKGQQGQKRFQDMMGHGSDYSLSEVLWVCANLFSDVQFKMSHKRIMLFTNEDNPHGNDSAKASRARTKAGDLRDTGIFLDLMHLKKPGGFDISLFYRDIISIAEDEDLRVHFEESSKLEDLLRKVRAKETRKRALSRLKLKLNKDIVISVGIYNLVQKALKPPPIKLYRETNEPVKTKTRTFNTSTGGLLLPSDTKRSQIYGSRQIILEKEETEELKRFDDPGLMLMGFKPLVLLKKHHYLRPSLFVYPEESLVIGSSTLFSALLIKCLEKEVAALCRYTPRRNIPPYFVALVPQEEELDDQKIQVTPPGFQLVFLPFADDKRKMPFTEKIMATPEQVGKMKAIVEKLRFTYRSDSFENPVLQQHFRNLEALALDLMEPEQAVDLTLPKVEAMNKRLGSLVDEFKELVYPPDYNPEGKVTKRKHDNEGSGSKRPKVEYSEEELKTHISKGTLGKFTVPMLKEACRAYGLKSGLKKQELLEALTKHFQD
+>DECOY_sp|P12956|XRCC6_HUMAN X-ray repair cross-complementing protein 6 OS=Homo sapiens OX=9606 GN=XRCC6 PE=1 SV=2
+DQFHKTLAELLEQKKLGSKLGYARCAEKLMPVTFKGLTGKSIHTKLEEESYEVKPRKSGSGENDHKRKTVKGEPNYDPPYVLEKFEDVLSGLRKNMAEVKPLTLDVAQEPEMLDLALAELNRFHQQLVPNEFSDSRYTFRLKEVIAKMKGVQEPTAMIKETFPMKRKDDAFPLFVLQFGPPTVQIKQDDLEEEQPVLAVFYPPINRRPTYRCLAAVEKELCKILLASFLTSSGIVLSEEPYVFLSPRLYHHKKLLVLPKFGMLMLGPDDFRKLEETEEKELIIQRSGYIQSRKTDSPLLLGGTSTNFTRTKTKVPENTERYLKIPPPKLAKQVLNYIGVSIVIDKNLKLKLRSLARKRTEKARVKRLLDELKSSEEFHVRLDEDEAISIIDRYFLSIDFGGPKKLHMLDLFIGTDRLDGAKTRARSAKASDNGHPNDENTFLMIRKHSMKFQVDSFLNACVWLVESLSYDSGHGMMDQFRKQGQQGKFQDLELIRKAGPNDLEQLVYINKFNVSNKDKETGYFVVALLDRDSSIIKSIYVSQICQISMDFPTLEDESQSEFMAKSADVLFILSDRGSYKYDGSAELNEEQEEEAEEDGETKYYSEWGSM
+>sp|O75191|XYLB_HUMAN Xylulose kinase OS=Homo sapiens OX=9606 GN=XYLB PE=1 SV=3
+MAEHAPRRCCLGWDFSTQQVKVVAVDAELNVFYEESVHFDRDLPEFGTQGGVHVHKDGLTVTSPVLMWVQALDIILEKMKASGFDFSQVLALSGAGQQHGSIYWKAGAQQALTSLSPDLRLHQQLQDCFSISDCPVWMDSSTTAQCRQLEAAVGGAQALSCLTGSRAYERFTGNQIAKIYQQNPEAYSHTERISLVSSFAASLFLGSYSPIDYSDGSGMNLLQIQDKVWSQACLGACAPHLEEKLSPPVPSCSVVGAISSYYVQRYGFPPGCKVVAFTGDNPASLAGMRLEEGDIAVSLGTSDTLFLWLQEPMPALEGHIFCNPVDSQHYMALLCFKNGSLMREKIRNESVSRSWSDFSKALQSTEMGNGGNLGFYFDVMEITPEIIGRHRFNTENHKVAAFPGDVEVRALIEGQFMAKRIHAEGLGYRVMSKTKILATGGASHNREILQVLADVFDAPVYVIDTANSACVGSAYRAFHGLAGGTDVPFSEVVKLAPNPRLAATPSPGASQVYEALLPQYAKLEQRILSQTRGPPE
+>DECOY_sp|O75191|XYLB_HUMAN Xylulose kinase OS=Homo sapiens OX=9606 GN=XYLB PE=1 SV=3
+EPPGRTQSLIRQELKAYQPLLAEYVQSAGPSPTAALRPNPALKVVESFPVDTGGALGHFARYASGVCASNATDIVYVPADFVDALVQLIERNHSAGGTALIKTKSMVRYGLGEAHIRKAMFQGEILARVEVDGPFAAVKHNETNFRHRGIIEPTIEMVDFYFGLNGGNGMETSQLAKSFDSWSRSVSENRIKERMLSGNKFCLLAMYHQSDVPNCFIHGELAPMPEQLWLFLTDSTGLSVAIDGEELRMGALSAPNDGTFAVVKCGPPFGYRQVYYSSIAGVVSCSPVPPSLKEELHPACAGLCAQSWVKDQIQLLNMGSGDSYDIPSYSGLFLSAAFSSVLSIRETHSYAEPNQQYIKAIQNGTFREYARSGTLCSLAQAGGVAAELQRCQATTSSDMWVPCDSISFCDQLQQHLRLDPSLSTLAQQAGAKWYISGHQQGAGSLALVQSFDFGSAKMKELIIDLAQVWMLVPSTVTLGDKHVHVGGQTGFEPLDRDFHVSEEYFVNLEADVAVVKVQQTSFDWGLCCRRPAHEAM
+>sp|P53007|TXTP_HUMAN Tricarboxylate transport protein, mitochondrial OS=Homo sapiens OX=9606 GN=SLC25A1 PE=1 SV=2
+MPAPRAPRALAAAAPASGKAKLTHPGKAILAGGLAGGIEICITFPTEYVKTQLQLDERSHPPRYRGIGDCVRQTVRSHGVLGLYRGLSSLLYGSIPKAAVRFGMFEFLSNHMRDAQGRLDSTRGLLCGLGAGVAEAVVVVCPMETIKVKFIHDQTSPNPKYRGFFHGVREIVREQGLKGTYQGLTATVLKQGSNQAIRFFVMTSLRNWYRGDNPNKPMNPLITGVFGAIAGAASVFGNTPLDVIKTRMQGLEAHKYRNTWDCGLQILKKEGLKAFYKGTVPRLGRVCLDVAIVFVIYDEVVKLLNKVWKTD
+>DECOY_sp|P53007|TXTP_HUMAN Tricarboxylate transport protein, mitochondrial OS=Homo sapiens OX=9606 GN=SLC25A1 PE=1 SV=2
+DTKWVKNLLKVVEDYIVFVIAVDLCVRGLRPVTGKYFAKLGEKKLIQLGCDWTNRYKHAELGQMRTKIVDLPTNGFVSAAGAIAGFVGTILPNMPKNPNDGRYWNRLSTMVFFRIAQNSGQKLVTATLGQYTGKLGQERVIERVGHFFGRYKPNPSTQDHIFKVKITEMPCVVVVAEAVGAGLGCLLGRTSDLRGQADRMHNSLFEFMGFRVAAKPISGYLLSSLGRYLGLVGHSRVTQRVCDGIGRYRPPHSREDLQLQTKVYETPFTICIEIGGALGGALIAKGPHTLKAKGSAPAAAALARPARPAPM
+>sp|P29597|TYK2_HUMAN Non-receptor tyrosine-protein kinase TYK2 OS=Homo sapiens OX=9606 GN=TYK2 PE=1 SV=3
+MPLRHWGMARGSKPVGDGAQPMAAMGGLKVLLHWAGPGGGEPWVTFSESSLTAEEVCIHIAHKVGITPPCFNLFALFDAQAQVWLPPNHILEIPRDASLMLYFRIRFYFRNWHGMNPREPAVYRCGPPGTEASSDQTAQGMQLLDPASFEYLFEQGKHEFVNDVASLWELSTEEEIHHFKNESLGMAFLHLCHLALRHGIPLEEVAKKTSFKDCIPRSFRRHIRQHSALTRLRLRNVFRRFLRDFQPGRLSQQMVMVKYLATLERLAPRFGTERVPVCHLRLLAQAEGEPCYIRDSGVAPTDPGPESAAGPPTHEVLVTGTGGIQWWPVEEEVNKEEGSSGSSGRNPQASLFGKKAKAHKAVGQPADRPREPLWAYFCDFRDITHVVLKEHCVSIHRQDNKCLELSLPSRAAALSFVSLVDGYFRLTADSSHYLCHEVAPPRLVMSIRDGIHGPLLEPFVQAKLRPEDGLYLIHWSTSHPYRLILTVAQRSQAPDGMQSLRLRKFPIEQQDGAFVLEGWGRSFPSVRELGAALQGCLLRAGDDCFSLRRCCLPQPGETSNLIIMRGARASPRTLNLSQLSFHRVDQKEITQLSHLGQGTRTNVYEGRLRVEGSGDPEEGKMDDEDPLVPGRDRGQELRVVLKVLDPSHHDIALAFYETASLMSQVSHTHLAFVHGVCVRGPENIMVTEYVEHGPLDVWLRRERGHVPMAWKMVVAQQLASALSYLENKNLVHGNVCGRNILLARLGLAEGTSPFIKLSDPGVGLGALSREERVERIPWLAPECLPGGANSLSTAMDKWGFGATLLEICFDGEAPLQSRSPSEKEHFYQRQHRLPEPSCPQLATLTSQCLTYEPTQRPSFRTILRDLTRLQPHNLADVLTVNPDSPASDPTVFHKRYLKKIRDLGEGHFGKVSLYCYDPTNDGTGEMVAVKALKADCGPQHRSGWKQEIDILRTLYHEHIIKYKGCCEDQGEKSLQLVMEYVPLGSLRDYLPRHSIGLAQLLLFAQQICEGMAYLHAQHYIHRDLAARNVLLDNDRLVKIGDFGLAKAVPEGHEYYRVREDGDSPVFWYAPECLKEYKFYYASDVWSFGVTLYELLTHCDSSQSPPTKFLELIGIAQGQMTVLRLTELLERGERLPRPDKCPCEVYHLMKNCWETEASFRPTFENLIPILKTVHEKYQGQAPSVFSVC
+>DECOY_sp|P29597|TYK2_HUMAN Non-receptor tyrosine-protein kinase TYK2 OS=Homo sapiens OX=9606 GN=TYK2 PE=1 SV=3
+CVSFVSPAQGQYKEHVTKLIPILNEFTPRFSAETEWCNKMLHYVECPCKDPRPLREGRELLETLRLVTMQGQAIGILELFKTPPSQSSDCHTLLEYLTVGFSWVDSAYYFKYEKLCEPAYWFVPSDGDERVRYYEHGEPVAKALGFDGIKVLRDNDLLVNRAALDRHIYHQAHLYAMGECIQQAFLLLQALGISHRPLYDRLSGLPVYEMVLQLSKEGQDECCGKYKIIHEHYLTRLIDIEQKWGSRHQPGCDAKLAKVAVMEGTGDNTPDYCYLSVKGFHGEGLDRIKKLYRKHFVTPDSAPSDPNVTLVDALNHPQLRTLDRLITRFSPRQTPEYTLCQSTLTALQPCSPEPLRHQRQYFHEKESPSRSQLPAEGDFCIELLTAGFGWKDMATSLSNAGGPLCEPALWPIREVREERSLAGLGVGPDSLKIFPSTGEALGLRALLINRGCVNGHVLNKNELYSLASALQQAVVMKWAMPVHGRERRLWVDLPGHEVYETVMINEPGRVCVGHVFALHTHSVQSMLSATEYFALAIDHHSPDLVKLVVRLEQGRDRGPVLPDEDDMKGEEPDGSGEVRLRGEYVNTRTGQGLHSLQTIEKQDVRHFSLQSLNLTRPSARAGRMIILNSTEGPQPLCCRRLSFCDDGARLLCGQLAAGLERVSPFSRGWGELVFAGDQQEIPFKRLRLSQMGDPAQSRQAVTLILRYPHSTSWHILYLGDEPRLKAQVFPELLPGHIGDRISMVLRPPAVEHCLYHSSDATLRFYGDVLSVFSLAAARSPLSLELCKNDQRHISVCHEKLVVHTIDRFDCFYAWLPERPRDAPQGVAKHAKAKKGFLSAQPNRGSSGSSGEEKNVEEEVPWWQIGGTGTVLVEHTPPGAASEPGPDTPAVGSDRIYCPEGEAQALLRLHCVPVRETGFRPALRELTALYKVMVMQQSLRGPQFDRLFRRFVNRLRLRTLASHQRIHRRFSRPICDKFSTKKAVEELPIGHRLALHCLHLFAMGLSENKFHHIEEETSLEWLSAVDNVFEHKGQEFLYEFSAPDLLQMGQATQDSSAETGPPGCRYVAPERPNMGHWNRFYFRIRFYLMLSADRPIELIHNPPLWVQAQADFLAFLNFCPPTIGVKHAIHICVEEATLSSESFTVWPEGGGPGAWHLLVKLGGMAAMPQAGDGVPKSGRAMGWHRLPM
+>sp|P40126|TYRP2_HUMAN L-dopachrome tautomerase OS=Homo sapiens OX=9606 GN=DCT PE=1 SV=1
+MSPLWWGFLLSCLGCKILPGAQGQFPRVCMTVDSLVNKECCPRLGAESANVCGSQQGRGQCTEVRADTRPWSGPYILRNQDDRELWPRKFFHRTCKCTGNFAGYNCGDCKFGWTGPNCERKKPPVIRQNIHSLSPQEREQFLGALDLAKKRVHPDYVITTQHWLGLLGPNGTQPQFANCSVYDFFVWLHYYSVRDTLLGPGRPYRAIDFSHQGPAFVTWHRYHLLCLERDLQRLIGNESFALPYWNFATGRNECDVCTDQLFGAARPDDPTLISRNSRFSSWETVCDSLDDYNHLVTLCNGTYEGLLRRNQMGRNSMKLPTLKDIRDCLSLQKFDNPPFFQNSTFSFRNALEGFDKADGTLDSQVMSLHNLVHSFLNGTNALPHSAANDPIFVVLHSFTDAIFDEWMKRFNPPADAWPQELAPIGHNRMYNMVPFFPPVTNEELFLTSDQLGYSYAIDLPVSVEETPGWPTTLLVVMGTLVALVGLFVLLAFLQYRRLRKGYTPLMETHLSSKRYTEEA
+>DECOY_sp|P40126|TYRP2_HUMAN L-dopachrome tautomerase OS=Homo sapiens OX=9606 GN=DCT PE=1 SV=1
+AEETYRKSSLHTEMLPTYGKRLRRYQLFALLVFLGVLAVLTGMVVLLTTPWGPTEEVSVPLDIAYSYGLQDSTLFLEENTVPPFFPVMNYMRNHGIPALEQPWADAPPNFRKMWEDFIADTFSHLVVFIPDNAASHPLANTGNLFSHVLNHLSMVQSDLTGDAKDFGELANRFSFTSNQFFPPNDFKQLSLCDRIDKLTPLKMSNRGMQNRRLLGEYTGNCLTVLHNYDDLSDCVTEWSSFRSNRSILTPDDPRAAGFLQDTCVDCENRGTAFNWYPLAFSENGILRQLDRELCLLHYRHWTVFAPGQHSFDIARYPRGPGLLTDRVSYYHLWVFFDYVSCNAFQPQTGNPGLLGLWHQTTIVYDPHVRKKALDLAGLFQEREQPSLSHINQRIVPPKKRECNPGTWGFKCDGCNYGAFNGTCKCTRHFFKRPWLERDDQNRLIYPGSWPRTDARVETCQGRGQQSGCVNASEAGLRPCCEKNVLSDVTMCVRPFQGQAGPLIKCGLCSLLFGWWLPSM
+>sp|Q6IPR3|TYW3_HUMAN tRNA wybutosine-synthesizing protein 3 homolog OS=Homo sapiens OX=9606 GN=TYW3 PE=2 SV=2
+MDRSAEFRKWKAQCLSKADLSRKGSVDEDVVELVQFLNMRDQFFTTSSCAGRILLLDRGINGFEVQKQNCCWLLVTHKLCVKDDVIVALKKANGDATLKFEPFVLHVQCRQLQDAQILHSMAIDSGFRNSGITVGKRGKTMLAVRSTHGLEVPLSHKGKLMVTEEYIDFLLNVANQKMEENKKRIERFYNCLQHALERETMTNLHPKIKEKNNSSYIHKKKRNPEKTRAQCITKESDEELENDDDDDLGINVTIFPEDY
+>DECOY_sp|Q6IPR3|TYW3_HUMAN tRNA wybutosine-synthesizing protein 3 homolog OS=Homo sapiens OX=9606 GN=TYW3 PE=2 SV=2
+YDEPFITVNIGLDDDDDNELEEDSEKTICQARTKEPNRKKKHIYSSNNKEKIKPHLNTMTERELAHQLCNYFREIRKKNEEMKQNAVNLLFDIYEETVMLKGKHSLPVELGHTSRVALMTKGRKGVTIGSNRFGSDIAMSHLIQADQLQRCQVHLVFPEFKLTADGNAKKLAVIVDDKVCLKHTVLLWCCNQKQVEFGNIGRDLLLIRGACSSTTFFQDRMNLFQVLEVVDEDVSGKRSLDAKSLCQAKWKRFEASRDM
+>sp|O15391|TYY2_HUMAN Transcription factor YY2 OS=Homo sapiens OX=9606 GN=YY2 PE=2 SV=1
+MASNEDFSITQDLEIPADIVELHDINVEPLPMEDIPTESVQYEDVDGNWIYGGHNHPPLMVLQPLFTNTGYGDHDQEMLMLQTQEEVVGYCDSDNQLGNDLEDQLALPDSIEDEHFQMTLASLSASAASTSTSTQSRSKKPSKKPSGKSATSTEANPAGSSSSLGTRKWEQKQMQVKTLEGEFSVTMWSPNDNNDQGAVGEGQAENPPDYSEYLKGKKLPPGGLPGIDLSDPKQLAEFTKVKPKRSKGEPPKTVPCSYSGCEKMFRDYAAMRKHLHIHGPRVHVCAECGKAFLESSKLRRHQLVHTGEKPFQCTFEGCGKRFSLDFNLRTHLRIHTGDKPFVCPFDVCNRKFAQSTNLKTHILTHVKTKNNP
+>DECOY_sp|O15391|TYY2_HUMAN Transcription factor YY2 OS=Homo sapiens OX=9606 GN=YY2 PE=2 SV=1
+PNNKTKVHTLIHTKLNTSQAFKRNCVDFPCVFPKDGTHIRLHTRLNFDLSFRKGCGEFTCQFPKEGTHVLQHRRLKSSELFAKGCEACVHVRPGHIHLHKRMAAYDRFMKECGSYSCPVTKPPEGKSRKPKVKTFEALQKPDSLDIGPLGGPPLKKGKLYESYDPPNEAQGEGVAGQDNNDNPSWMTVSFEGELTKVQMQKQEWKRTGLSSSSGAPNAETSTASKGSPKKSPKKSRSQTSTSTSAASASLSALTMQFHEDEISDPLALQDELDNGLQNDSDCYGVVEEQTQLMLMEQDHDGYGTNTFLPQLVMLPPHNHGGYIWNGDVDEYQVSETPIDEMPLPEVNIDHLEVIDAPIELDQTISFDENSAM
+>sp|P10074|TZAP_HUMAN Telomere zinc finger-associated protein OS=Homo sapiens OX=9606 GN=ZBTB48 PE=1 SV=2
+MDGSFVQHSVRVLQELNKQREKGQYCDATLDVGGLVFKAHWSVLACCSHFFQSLYGDGSGGSVVLPAGFAEIFGLLLDFFYTGHLALTSGNRDQVLLAARELRVPEAVELCQSFKPKTSVGQAAGGQSGLGPPASQNVNSHVKEPAGLEEEEVSRTLGLVPRDQEPRGSHSPQRPQLHSPAQSEGPSSLCGKLKQALKPCPLEDKKPEDCKVPPRPLEAEGAQLQGGSNEWEVVVQVEDDGDGDYMSEPEAVLTRRKSNVIRKPCAAEPALSAGSLAAEPAENRKGTAVPVECPTCHKKFLSKYYLKVHNRKHTGEKPFECPKCGKCYFRKENLLEHEARNCMNRSEQVFTCSVCQETFRRRMELRVHMVSHTGEMPYKCSSCSQQFMQKKDLQSHMIKLHGAPKPHACPTCAKCFLSRTELQLHEAFKHRGEKLFVCEECGHRASSRNGLQMHIKAKHRNERPHVCEFCSHAFTQKANLNMHLRTHTGEKPFQCHLCGKTFRTQASLDKHNRTHTGERPFSCEFCEQRFTEKGPLLRHVASRHQEGRPHFCQICGKTFKAVEQLRVHVRRHKGVRKFECTECGYKFTRQAHLRRHMEIHDRVENYNPRQRKLRNLIIEDEKMVVVALQPPAELEVGSAEVIVESLAQGGLASQLPGQRLCAEESFTGPGVLEPSLIITAAVPEDCDT
+>DECOY_sp|P10074|TZAP_HUMAN Telomere zinc finger-associated protein OS=Homo sapiens OX=9606 GN=ZBTB48 PE=1 SV=2
+TDCDEPVAATIILSPELVGPGTFSEEACLRQGPLQSALGGQALSEVIVEASGVELEAPPQLAVVVMKEDEIILNRLKRQRPNYNEVRDHIEMHRRLHAQRTFKYGCETCEFKRVGKHRRVHVRLQEVAKFTKGCIQCFHPRGEQHRSAVHRLLPGKETFRQECFECSFPREGTHTRNHKDLSAQTRFTKGCLHCQFPKEGTHTRLHMNLNAKQTFAHSCFECVHPRENRHKAKIHMQLGNRSSARHGCEECVFLKEGRHKFAEHLQLETRSLFCKACTPCAHPKPAGHLKIMHSQLDKKQMFQQSCSSCKYPMEGTHSVMHVRLEMRRRFTEQCVSCTFVQESRNMCNRAEHELLNEKRFYCKGCKPCEFPKEGTHKRNHVKLYYKSLFKKHCTPCEVPVATGKRNEAPEAALSGASLAPEAACPKRIVNSKRRTLVAEPESMYDGDGDDEVQVVVEWENSGGQLQAGEAELPRPPVKCDEPKKDELPCPKLAQKLKGCLSSPGESQAPSHLQPRQPSHSGRPEQDRPVLGLTRSVEEEELGAPEKVHSNVNQSAPPGLGSQGGAAQGVSTKPKFSQCLEVAEPVRLERAALLVQDRNGSTLALHGTYFFDLLLGFIEAFGAPLVVSGGSGDGYLSQFFHSCCALVSWHAKFVLGGVDLTADCYQGKERQKNLEQLVRVSHQVFSGDM
+>sp|A6NCW0|U17L3_HUMAN Ubiquitin carboxyl-terminal hydrolase 17-like protein 3 OS=Homo sapiens OX=9606 GN=USP17L3 PE=3 SV=1
+MGDDSLYLGGEWQFNHFSKLTSSRPDAAFAEIQRTSLPEKSPLSSETRVDLCDDLAPVARQLAPREKLPLSSRRPAAVGAGLQNMGNTCYENASLQCLTYTLPLANYMLSREHSQTCQRPKCCMLCTMQAHITWALHSPGHVIQPSQALASGFHRGKQEDVHEFLMFTVDAMKKACLPGHKQVDHHSKDTTLIHQIFGGCWRSQIKCLHCHGISDTFDPYLDIALDIQAAQSVKQALEQLVKPEELNGENAYHCGLCLQRAPASNTLTLHTSAKVLILVLKRFSDVAGNKLAKNVQYPECLDMQPYMSQQNTGPLVYVLYAVLVHAGWSCHDGHYFSYVKAQEGQWYKMDDAEVTVCSITSVLSQQAYVLFYIQKSEWERHSESVSRGREPRALGAEDTDRRAKQGELKRDHPCLQAPELDEHLVERATQESTLDHWKFLQEQNKTKPEFNVGKVEGTLPPNALVIHQSKYKCGMKNHHPEQQSSLLNLSSTTRTDQESMNTGTLASLQGRTRRAKGKNKHSKRALLVCQ
+>DECOY_sp|A6NCW0|U17L3_HUMAN Ubiquitin carboxyl-terminal hydrolase 17-like protein 3 OS=Homo sapiens OX=9606 GN=USP17L3 PE=3 SV=1
+QCVLLARKSHKNKGKARRTRGQLSALTGTNMSEQDTRTTSSLNLLSSQQEPHHNKMGCKYKSQHIVLANPPLTGEVKGVNFEPKTKNQEQLFKWHDLTSEQTAREVLHEDLEPAQLCPHDRKLEGQKARRDTDEAGLARPERGRSVSESHREWESKQIYFLVYAQQSLVSTISCVTVEADDMKYWQGEQAKVYSFYHGDHCSWGAHVLVAYLVYVLPGTNQQSMYPQMDLCEPYQVNKALKNGAVDSFRKLVLILVKASTHLTLTNSAPARQLCLGCHYANEGNLEEPKVLQELAQKVSQAAQIDLAIDLYPDFTDSIGHCHLCKIQSRWCGGFIQHILTTDKSHHDVQKHGPLCAKKMADVTFMLFEHVDEQKGRHFGSALAQSPQIVHGPSHLAWTIHAQMTCLMCCKPRQCTQSHERSLMYNALPLTYTLCQLSANEYCTNGMNQLGAGVAAPRRSSLPLKERPALQRAVPALDDCLDVRTESSLPSKEPLSTRQIEAFAADPRSSTLKSFHNFQWEGGLYLSDDGM
+>sp|P0C7I0|U17L8_HUMAN Inactive ubiquitin carboxyl-terminal hydrolase 17-like protein 8 OS=Homo sapiens OX=9606 GN=USP17L8 PE=3 SV=1
+MEDDSLYLGGEWQFNHFSKLTSPRPDAAFAEIQRTSLPEKSPLSSETRVDLCDDLAPVARQLAPREKLPLSSRRPAAVGAGLQNMGNTCYLNASLQCLTYTPPLANYMLSREHSQTCQRPKCCMLCTMQAHITWALHSPGHVIQPSQALAAGFHRGKQEDAHEFLMFTVDAMKKACLPGHKQVDHHSKDTTLIHQIFGGCWRSQIKCLHCHGISDTFDPYLDIALDIQAAQSVKQALEQLVKPEELNGENAYPCGLCLQRAPASNTLTLHTSAKVLILVLKRFCDVTGNKLAKNVQYPECLDMQPYMSQQNTGPLVYVLYAVLVHAGWSCHNGYYFSYVKAQEGQWYKMDDAEVTACSITSVLSQQAYVLFYIQKSEWERHSESVSRGREPRALGAEDTDRPATQGELKRDHPCLQVPELDEHLVERATEESTLDHWKFPQEQNKMKPEFNVRKVEGTLPPNVLVIHQSKYKCGMKNHHPEQQSSLLNLSSMNSTDQESMNTGTLASLQGRTRRSKGKNKHSKRSLLVCQ
+>DECOY_sp|P0C7I0|U17L8_HUMAN Inactive ubiquitin carboxyl-terminal hydrolase 17-like protein 8 OS=Homo sapiens OX=9606 GN=USP17L8 PE=3 SV=1
+QCVLLSRKSHKNKGKSRRTRGQLSALTGTNMSEQDTSNMSSLNLLSSQQEPHHNKMGCKYKSQHIVLVNPPLTGEVKRVNFEPKMKNQEQPFKWHDLTSEETAREVLHEDLEPVQLCPHDRKLEGQTAPRDTDEAGLARPERGRSVSESHREWESKQIYFLVYAQQSLVSTISCATVEADDMKYWQGEQAKVYSFYYGNHCSWGAHVLVAYLVYVLPGTNQQSMYPQMDLCEPYQVNKALKNGTVDCFRKLVLILVKASTHLTLTNSAPARQLCLGCPYANEGNLEEPKVLQELAQKVSQAAQIDLAIDLYPDFTDSIGHCHLCKIQSRWCGGFIQHILTTDKSHHDVQKHGPLCAKKMADVTFMLFEHADEQKGRHFGAALAQSPQIVHGPSHLAWTIHAQMTCLMCCKPRQCTQSHERSLMYNALPPTYTLCQLSANLYCTNGMNQLGAGVAAPRRSSLPLKERPALQRAVPALDDCLDVRTESSLPSKEPLSTRQIEAFAADPRPSTLKSFHNFQWEGGLYLSDDEM
+>sp|C9JVI0|U17LB_HUMAN Ubiquitin carboxyl-terminal hydrolase 17-like protein 11 OS=Homo sapiens OX=9606 GN=USP17L11 PE=3 SV=1
+MEDDSLYLGGEWQFNHFSKLTSSRPDAAFAEIQRTSLPEKSPLSCETRVDLCDDLAPVARQLAPREKLPLSSRRPAAVGAGLQNMGNTCYVNASLQCLTYTPPLANYMLSREHSQTCHRHKGCMLCTMQAHITRALHNPGHVIQPSQALAAGFHRGKQEDAHEFLMFTVDAMKKACLPGHKQVDHHSKDTTLIHQIFGGYWRSQIKCLHCHGISDTFDPYLDIALDIQAAQSVQQALEQLVKPEELNGENAYHCGVCLQRAPASKTLTLHTSAKVLILVLKRFSDVTGNKIAKNVQYPECLDMQPYMSQTNTGPLVYVLYAVLVHAGWSCHNGHYFSYVKAQEGQWYKMDDAEVTASSITSVLSQQAYVLFYIQKSEWERHSESVSRGREPRALGAEDTDRRATQGELKRDHPCLQAPELDEHLVERATQESTLDHWKFLQEQNKTKPEFNVRKVEGTLPPDVLVIHQSKYKCGMKNHHPEQQSSLLNLSSTTPTHQESMNTGTLASLRGRARRSKGKNKHSKRALLVCQ
+>DECOY_sp|C9JVI0|U17LB_HUMAN Ubiquitin carboxyl-terminal hydrolase 17-like protein 11 OS=Homo sapiens OX=9606 GN=USP17L11 PE=3 SV=1
+QCVLLARKSHKNKGKSRRARGRLSALTGTNMSEQHTPTTSSLNLLSSQQEPHHNKMGCKYKSQHIVLVDPPLTGEVKRVNFEPKTKNQEQLFKWHDLTSEQTAREVLHEDLEPAQLCPHDRKLEGQTARRDTDEAGLARPERGRSVSESHREWESKQIYFLVYAQQSLVSTISSATVEADDMKYWQGEQAKVYSFYHGNHCSWGAHVLVAYLVYVLPGTNTQSMYPQMDLCEPYQVNKAIKNGTVDSFRKLVLILVKASTHLTLTKSAPARQLCVGCHYANEGNLEEPKVLQELAQQVSQAAQIDLAIDLYPDFTDSIGHCHLCKIQSRWYGGFIQHILTTDKSHHDVQKHGPLCAKKMADVTFMLFEHADEQKGRHFGAALAQSPQIVHGPNHLARTIHAQMTCLMCGKHRHCTQSHERSLMYNALPPTYTLCQLSANVYCTNGMNQLGAGVAAPRRSSLPLKERPALQRAVPALDDCLDVRTECSLPSKEPLSTRQIEAFAADPRSSTLKSFHNFQWEGGLYLSDDEM
+>sp|D6RBQ6|U17LH_HUMAN Ubiquitin carboxyl-terminal hydrolase 17-like protein 17 OS=Homo sapiens OX=9606 GN=USP17L17 PE=3 SV=1
+MEDDSLYLGGEWQFNHFSKLTSSRPDAAFAEIQRTSLPEKSPLSCETRVDLCDDLAPVARQLAPREKLPLSSRRPAAVGAGLQNMGNTCYVNASLQCLTYTPPLANYMLSREHSQTCHRHKGCMLCTMQAHITRALHNPGHVIQPSQALAAGFHRGKQEDAHEFLMFTVDAMKKACLPGHKQVDHHSKDTTLIHQIFGGYWRSQIKCLHCHGISDTFDPYLDIALDIQAAQSVQQALEQLVKPEELNGENAYHCGVCLQRAPASKTLTLHTSAKVLILVLKRFSDVTGNKIAKNVQYPECLDMQPYMSQQNTGPLVYVLYAVLVHAGWSCHNGHYFSYVKAQEGQWYKMDDAEVTAASITSVLSQQAYVLFYIQKSEWERHSESVSRGREPRALGAEDTDRRATQGELKRDHPCLQAPELDEHLVERATQESTLDHWKFLQEQNKTKPEFNVRKVEGTLPPDVLVIHQSKYKCGMKNHHPEQQSSLLNLSSSTPTHQESMNTGTLASLRGRARRSKGKNKHSKRALLVCQ
+>DECOY_sp|D6RBQ6|U17LH_HUMAN Ubiquitin carboxyl-terminal hydrolase 17-like protein 17 OS=Homo sapiens OX=9606 GN=USP17L17 PE=3 SV=1
+QCVLLARKSHKNKGKSRRARGRLSALTGTNMSEQHTPTSSSLNLLSSQQEPHHNKMGCKYKSQHIVLVDPPLTGEVKRVNFEPKTKNQEQLFKWHDLTSEQTAREVLHEDLEPAQLCPHDRKLEGQTARRDTDEAGLARPERGRSVSESHREWESKQIYFLVYAQQSLVSTISAATVEADDMKYWQGEQAKVYSFYHGNHCSWGAHVLVAYLVYVLPGTNQQSMYPQMDLCEPYQVNKAIKNGTVDSFRKLVLILVKASTHLTLTKSAPARQLCVGCHYANEGNLEEPKVLQELAQQVSQAAQIDLAIDLYPDFTDSIGHCHLCKIQSRWYGGFIQHILTTDKSHHDVQKHGPLCAKKMADVTFMLFEHADEQKGRHFGAALAQSPQIVHGPNHLARTIHAQMTCLMCGKHRHCTQSHERSLMYNALPPTYTLCQLSANVYCTNGMNQLGAGVAAPRRSSLPLKERPALQRAVPALDDCLDVRTECSLPSKEPLSTRQIEAFAADPRSSTLKSFHNFQWEGGLYLSDDEM
+>sp|D6RJB6|U17LK_HUMAN Ubiquitin carboxyl-terminal hydrolase 17-like protein 20 OS=Homo sapiens OX=9606 GN=USP17L20 PE=3 SV=1
+MEDDSLYLGGEWQFNHFSKLTSSRPDAAFAEIQRTSLPEKSPLSCETRVDLCDDLAPVARQLAPREKLPLSSRRPAAVGAGLQNMGNTCYVNASLQCLTYTPPLANYMLSREHSQTCHRHKGCMLCTMQAHITRALHNPGHVIQPSQALAAGFHRGKQEDAHEFLMFTVDAMKKACLPGHKQVDHHSKDTTLIHQIFGGYWRSQIKCLHCHGISDTFDPYLDIALDIQAAQSVQQALEQLVKPEELNGENAYHCGVCLQRAPASKTLTLHTSAKVLILVLKRFSDVTGNKIAKNVQYPECLDMQPYMSQPNTGPLVYVLYAVLVHAGWSCHNGHYFSYVKAQEGQWYKMDDAEVTASSITSVLSQQAYVLFYIQKSEWERHSESVSRGREPRALGAEDTDRRATQGELKRDHPCLQAPELDEHLVERATQESTLDHWKFLQEQNKTKPEFNVRKVEGTLPPDVLVIHQSKYKCGMKNHHPEQQSSLLNLSSTTPTHQESMNTGTLASLRGRARRSKGKNKHSKRALLVCQ
+>DECOY_sp|D6RJB6|U17LK_HUMAN Ubiquitin carboxyl-terminal hydrolase 17-like protein 20 OS=Homo sapiens OX=9606 GN=USP17L20 PE=3 SV=1
+QCVLLARKSHKNKGKSRRARGRLSALTGTNMSEQHTPTTSSLNLLSSQQEPHHNKMGCKYKSQHIVLVDPPLTGEVKRVNFEPKTKNQEQLFKWHDLTSEQTAREVLHEDLEPAQLCPHDRKLEGQTARRDTDEAGLARPERGRSVSESHREWESKQIYFLVYAQQSLVSTISSATVEADDMKYWQGEQAKVYSFYHGNHCSWGAHVLVAYLVYVLPGTNPQSMYPQMDLCEPYQVNKAIKNGTVDSFRKLVLILVKASTHLTLTKSAPARQLCVGCHYANEGNLEEPKVLQELAQQVSQAAQIDLAIDLYPDFTDSIGHCHLCKIQSRWYGGFIQHILTTDKSHHDVQKHGPLCAKKMADVTFMLFEHADEQKGRHFGAALAQSPQIVHGPNHLARTIHAQMTCLMCGKHRHCTQSHERSLMYNALPPTYTLCQLSANVYCTNGMNQLGAGVAAPRRSSLPLKERPALQRAVPALDDCLDVRTECSLPSKEPLSTRQIEAFAADPRSSTLKSFHNFQWEGGLYLSDDEM
+>sp|D6RBM5|U17LN_HUMAN Putative ubiquitin carboxyl-terminal hydrolase 17-like protein 23 OS=Homo sapiens OX=9606 GN=USP17L23 PE=5 SV=1
+MEDDSLYLGGEWQFNHFSKLTSSRPDAAFAEIQRTSLPEKSPLSCETRVDLCDDLAPVARQLAPREKLPLSSRRPAAVGAGLQNMGNTCYVNASLQCLTYTPPLANYMLSREHSQTCHRHKGCMLCTMQAHITRALHNPGHVIQPSQALAAGFHRGKQEDAHEFLMFTVDAMEKACLPGHKQV
+>DECOY_sp|D6RBM5|U17LN_HUMAN Putative ubiquitin carboxyl-terminal hydrolase 17-like protein 23 OS=Homo sapiens OX=9606 GN=USP17L23 PE=5 SV=1
+VQKHGPLCAKEMADVTFMLFEHADEQKGRHFGAALAQSPQIVHGPNHLARTIHAQMTCLMCGKHRHCTQSHERSLMYNALPPTYTLCQLSANVYCTNGMNQLGAGVAAPRRSSLPLKERPALQRAVPALDDCLDVRTECSLPSKEPLSTRQIEAFAADPRSSTLKSFHNFQWEGGLYLSDDEM
+>sp|Q0WX57|U17LO_HUMAN Ubiquitin carboxyl-terminal hydrolase 17-like protein 24 OS=Homo sapiens OX=9606 GN=USP17L24 PE=1 SV=2
+MEDDSLYLRGEWQFNHFSKLTSSRPDAAFAEIQRTSLPEKSPLSCETRVDLCDDLAPVARQLAPREKLPLSSRRPAAVGAGLQNMGNTCYVNASLQCLTYTPPLANYMLSREHSQTCHRHKGCMLCTMQAHITRALHNPGHVIQPSQALAAGFHRGKQEDAHEFLMFTVDAMKKACLPGHKQVDHHSKDTTLIHQIFGGYWRSQIKCLHCHGISDTFDPYLDIALDIQAAQSVQQALEQLVKPEELNGENAYHCGVCLQRAPASKTLTLHTSAKVLILVLKRFSDVTGNKIAKNVQYPECLDMQPYMSQPNTGPLVYVLYAVLVHAGWSCHNGHYFSYVKAQEGQWYKMDDAEVTASSITSVLSQQAYVLFYIQKSEWERHSESVSRGREPRALGAEDTDRRATQGELKRDHPCLQAPELDEHLVERATQESTLDHWKFLQEQNKTKPEFNVRKVEGTLPPDVLVIHQSKYKCGMKNHHPEQQSSLLNLSSSTPTHQESMNTGTLASLRGRARRSKGKNKHSKRALLVCQ
+>DECOY_sp|Q0WX57|U17LO_HUMAN Ubiquitin carboxyl-terminal hydrolase 17-like protein 24 OS=Homo sapiens OX=9606 GN=USP17L24 PE=1 SV=2
+QCVLLARKSHKNKGKSRRARGRLSALTGTNMSEQHTPTSSSLNLLSSQQEPHHNKMGCKYKSQHIVLVDPPLTGEVKRVNFEPKTKNQEQLFKWHDLTSEQTAREVLHEDLEPAQLCPHDRKLEGQTARRDTDEAGLARPERGRSVSESHREWESKQIYFLVYAQQSLVSTISSATVEADDMKYWQGEQAKVYSFYHGNHCSWGAHVLVAYLVYVLPGTNPQSMYPQMDLCEPYQVNKAIKNGTVDSFRKLVLILVKASTHLTLTKSAPARQLCVGCHYANEGNLEEPKVLQELAQQVSQAAQIDLAIDLYPDFTDSIGHCHLCKIQSRWYGGFIQHILTTDKSHHDVQKHGPLCAKKMADVTFMLFEHADEQKGRHFGAALAQSPQIVHGPNHLARTIHAQMTCLMCGKHRHCTQSHERSLMYNALPPTYTLCQLSANVYCTNGMNQLGAGVAAPRRSSLPLKERPALQRAVPALDDCLDVRTECSLPSKEPLSTRQIEAFAADPRSSTLKSFHNFQWEGRLYLSDDEM
+>sp|Q14139|UBE4A_HUMAN Ubiquitin conjugation factor E4 A OS=Homo sapiens OX=9606 GN=UBE4A PE=1 SV=2
+MTDQENNNNISSNPFAALFGSLADAKQFAAIQKEQLKQQSDELPASPDDSDNSVSESLDEFDYSVAEISRSFRSQQEICEQLNINHMIQRIFLITLDNSDPSLKSGNGIPSRCVYLEEMAVELEDQDWLDMSNVEQALFARLLLQDPGNHLINMTSSTTLNLSADRDAGERHIFCYLYSCFQRAKEEITKVPENLLPFAVQCRNLTVSNTRTVLLTPEIYVDQNIHEQLVDLMLEAIQGAHFEDVTEFLEEVIEALILDEEVRTFPEVMIPVFDILLGRIKDLELCQILLYAYLDILLYFTRQKDMAKVFVEYIQPKDPTNGQMYQKTLLGVILSISCLLKTPGVVENHGYFLNPSRSSPQEIKVQEANIHQFMAQFHEKIYQMLKNLLQLSPETKHCILSWLGNCLHANAGRTKIWANQMPEIFFQMYASDAFFLNLGAALLKLCQPFCKPRSSRLLTFNPTYCALKELNDEERKIKNVHMRGLDKETCLIPAVQEPKFPQNYNLVTENLALTEYTLYLGFHRLHDQMVKINQNLHRLQVAWRDAQQSSSPAADNLREQFERLMTIYLSTKTAMTEPQMLQNCLNLQVSMAVLLVQLAIGNEGSQPIELTFPLPDGYSSLAYVPEFFADNLGDFLIFLRRFADDILETSADSLEHVLHFITIFTGSIERMKNPHLRAKLAEVLEAVMPHLDQTPNPLVSSVFHRKRVFCNFQYAPQLAEALIKVFVDIEFTGDPHQFEQKFNYRRPMYPILRYMWGTDTYRESIKDLADYASKNLEAMNPPLFLRFLNLLMNDAIFLLDEAIQYLSKIKIQQIEKDRGEWDSLTPEARREKEAGLQMFGQLARFHNIMSNETIGTLAFLTSEIKSLFVHPFLAERIISMLNYFLQHLVGPKMGALKVKDFSEFDFKPQQLVSDICTIYLNLGDEENFCATVPKDGRSYSPTLFAQTVRVLKKINKPGNMIMAFSNLAERIKSLADLQQQEEETYADACDEFLDPIMSTLMCDPVVLPSSRVTVDRSTIARHLLSDQTDPFNRSPLTMDQIRPNTELKEKIQRWLAERKQQKEQLE
+>DECOY_sp|Q14139|UBE4A_HUMAN Ubiquitin conjugation factor E4 A OS=Homo sapiens OX=9606 GN=UBE4A PE=1 SV=2
+ELQEKQQKREALWRQIKEKLETNPRIQDMTLPSRNFPDTQDSLLHRAITSRDVTVRSSPLVVPDCMLTSMIPDLFEDCADAYTEEEQQQLDALSKIREALNSFAMIMNGPKNIKKLVRVTQAFLTPSYSRGDKPVTACFNEEDGLNLYITCIDSVLQQPKFDFESFDKVKLAGMKPGVLHQLFYNLMSIIREALFPHVFLSKIESTLFALTGITENSMINHFRALQGFMQLGAEKERRAEPTLSDWEGRDKEIQQIKIKSLYQIAEDLLFIADNMLLNLFRLFLPPNMAELNKSAYDALDKISERYTDTGWMYRLIPYMPRRYNFKQEFQHPDGTFEIDVFVKILAEALQPAYQFNCFVRKRHFVSSVLPNPTQDLHPMVAELVEALKARLHPNKMREISGTFITIFHLVHELSDASTELIDDAFRRLFILFDGLNDAFFEPVYALSSYGDPLPFTLEIPQSGENGIALQVLLVAMSVQLNLCNQLMQPETMATKTSLYITMLREFQERLNDAAPSSSQQADRWAVQLRHLNQNIKVMQDHLRHFGLYLTYETLALNETVLNYNQPFKPEQVAPILCTEKDLGRMHVNKIKREEDNLEKLACYTPNFTLLRSSRPKCFPQCLKLLAAGLNLFFADSAYMQFFIEPMQNAWIKTRGANAHLCNGLWSLICHKTEPSLQLLNKLMQYIKEHFQAMFQHINAEQVKIEQPSSRSPNLFYGHNEVVGPTKLLCSISLIVGLLTKQYMQGNTPDKPQIYEVFVKAMDKQRTFYLLIDLYAYLLIQCLELDKIRGLLIDFVPIMVEPFTRVEEDLILAEIVEELFETVDEFHAGQIAELMLDVLQEHINQDVYIEPTLLVTRTNSVTLNRCQVAFPLLNEPVKTIEEKARQFCSYLYCFIHREGADRDASLNLTTSSTMNILHNGPDQLLLRAFLAQEVNSMDLWDQDELEVAMEELYVCRSPIGNGSKLSPDSNDLTILFIRQIMHNINLQECIEQQSRFSRSIEAVSYDFEDLSESVSNDSDDPSAPLEDSQQKLQEKQIAAFQKADALSGFLAAFPNSSINNNNEQDTM
+>sp|O95155|UBE4B_HUMAN Ubiquitin conjugation factor E4 B OS=Homo sapiens OX=9606 GN=UBE4B PE=1 SV=1
+MEELSADEIRRRRLARLAGGQTSQPTTPLTSPQRENPPGPPIAASAPGPSQSLGLNVHNMTPATSPIGASGVAHRSQSSEGVSSLSSSPSNSLETQSQSLSRSQSMDIDGVSCEKSMSQVDVDSGIENMEVDENDRREKRSLSDKEPSSGPEVSEEQALQLVCKIFRVSWKDRDRDVIFLSSLSAQFKQNPKEVFSDFKDLIGQILMEVLMMSTQTRDENPFASLTATSQPIAAAARSPDRNLLLNTGSNPGTSPMFCSVASFGASSLSSLYESSPAPTPSFWSSVPVMGPSLASPSRAASQLAVPSTPLSPHSAASGTAAGSQPSSPRYRPYTVTHPWASSGVSILSSSPSPPALASSPQAVPASSSRQRPSSTGPPLPPASPSATSRRPSSLRISPSLGASGGASNWDSYSDHFTIETCKETDMLNYLIECFDRVGIEEKKAPKMCSQPAVSQLLSNIRSQCISHTALVLQGSLTQPRSLQQPSFLVPYMLCRNLPYGFIQELVRTTHQDEEVFKQIFIPILQGLALAAKECSLDSDYFKYPLMALGELCETKFGKTHPVCNLVASLRLWLPKSLSPGCGRELQRLSYLGAFFSFSVFAEDDVKVVEKYFSGPAITLENTRVVSQSLQHYLELGRQELFKILHSILLNGETREAALSYMAAVVNANMKKAQMQTDDRLVSTDGFMLNFLWVLQQLSTKIKLETVDPTYIFHPRCRITLPNDETRVNATMEDVNDWLTELYGDQPPFSEPKFPTECFFLTLHAHHLSILPSCRRYIRRLRAIRELNRTVEDLKNNESQWKDSPLATRHREMLKRCKTQLKKLVRCKACADAGLLDESFLRRCLNFYGLLIQLLLRILDPAYPDITLPLNSDVPKVFAALPEFYVEDVAEFLFFIVQYSPQALYEPCTQDIVMFLVVMLCNQNYIRNPYLVAKLVEVMFMTNPAVQPRTQKFFEMIENHPLSTKLLVPSLMKFYTDVEHTGATSEFYDKFTIRYHISTIFKSLWQNIAHHGTFMEEFNSGKQFVRYINMLINDTTFLLDESLESLKRIHEVQEEMKNKEQWDQLPRDQQQARQSQLAQDERVSRSYLALATETVDMFHILTKQVQKPFLRPELGPRLAAMLNFNLQQLCGPKCRDLKVENPEKYGFEPKKLLDQLTDIYLQLDCARFAKAIADDQRSYSKELFEEVISKMRKAGIKSTIAIEKFKLLAEKVEEIVAKNARAEIDYSDAPDEFRDPLMDTLMTDPVRLPSGTIMDRSIILRHLLNSPTDPFNRQTLTESMLEPVPELKEQIQAWMREKQNSDH
+>DECOY_sp|O95155|UBE4B_HUMAN Ubiquitin conjugation factor E4 B OS=Homo sapiens OX=9606 GN=UBE4B PE=1 SV=1
+HDSNQKERMWAQIQEKLEPVPELMSETLTQRNFPDTPSNLLHRLIISRDMITGSPLRVPDTMLTDMLPDRFEDPADSYDIEARANKAVIEEVKEALLKFKEIAITSKIGAKRMKSIVEEFLEKSYSRQDDAIAKAFRACDLQLYIDTLQDLLKKPEFGYKEPNEVKLDRCKPGCLQQLNFNLMAALRPGLEPRLFPKQVQKTLIHFMDVTETALALYSRSVREDQALQSQRAQQQDRPLQDWQEKNKMEEQVEHIRKLSELSEDLLFTTDNILMNIYRVFQKGSNFEEMFTGHHAINQWLSKFITSIHYRITFKDYFESTAGTHEVDTYFKMLSPVLLKTSLPHNEIMEFFKQTRPQVAPNTMFMVEVLKAVLYPNRIYNQNCLMVVLFMVIDQTCPEYLAQPSYQVIFFLFEAVDEVYFEPLAAFVKPVDSNLPLTIDPYAPDLIRLLLQILLGYFNLCRRLFSEDLLGADACAKCRVLKKLQTKCRKLMERHRTALPSDKWQSENNKLDEVTRNLERIARLRRIYRRCSPLISLHHAHLTLFFCETPFKPESFPPQDGYLETLWDNVDEMTANVRTEDNPLTIRCRPHFIYTPDVTELKIKTSLQQLVWLFNLMFGDTSVLRDDTQMQAKKMNANVVAAMYSLAAERTEGNLLISHLIKFLEQRGLELYHQLSQSVVRTNELTIAPGSFYKEVVKVDDEAFVSFSFFAGLYSLRQLERGCGPSLSKPLWLRLSAVLNCVPHTKGFKTECLEGLAMLPYKFYDSDLSCEKAALALGQLIPIFIQKFVEEDQHTTRVLEQIFGYPLNRCLMYPVLFSPQQLSRPQTLSGQLVLATHSICQSRINSLLQSVAPQSCMKPAKKEEIGVRDFCEILYNLMDTEKCTEITFHDSYSDWNSAGGSAGLSPSIRLSSPRRSTASPSAPPLPPGTSSPRQRSSSAPVAQPSSALAPPSPSSSLISVGSSAWPHTVTYPRYRPSSPQSGAATGSAASHPSLPTSPVALQSAARSPSALSPGMVPVSSWFSPTPAPSSEYLSSLSSAGFSAVSCFMPSTGPNSGTNLLLNRDPSRAAAAIPQSTATLSAFPNEDRTQTSMMLVEMLIQGILDKFDSFVEKPNQKFQASLSSLFIVDRDRDKWSVRFIKCVLQLAQEESVEPGSSPEKDSLSRKERRDNEDVEMNEIGSDVDVQSMSKECSVGDIDMSQSRSLSQSQTELSNSPSSSLSSVGESSQSRHAVGSAGIPSTAPTMNHVNLGLSQSPGPASAAIPPGPPNERQPSTLPTTPQSTQGGALRALRRRRIEDASLEEM
+>sp|P17480|UBF1_HUMAN Nucleolar transcription factor 1 OS=Homo sapiens OX=9606 GN=UBTF PE=1 SV=1
+MNGEADCPTDLEMAAPKGQDRWSQEDMLTLLECMKNNLPSNDSSKFKTTESHMDWEKVAFKDFSGDMCKLKWVEISNEVRKFRTLTELILDAQEHVKNPYKGKKLKKHPDFPKKPLTPYFRFFMEKRAKYAKLHPEMSNLDLTKILSKKYKELPEKKKMKYIQDFQREKQEFERNLARFREDHPDLIQNAKKSDIPEKPKTPQQLWYTHEKKVYLKVRPDATTKEVKDSLGKQWSQLSDKKRLKWIHKALEQRKEYEEIMRDYIQKHPELNISEEGITKSTLTKAERQLKDKFDGRPTKPPPNSYSLYCAELMANMKDVPSTERMVLCSQQWKLLSQKEKDAYHKKCDQKKKDYEVELLRFLESLPEEEQQRVLGEEKMLNINKKQATSPASKKPAQEGGKGGSEKPKRPVSAMFIFSEEKRRQLQEERPELSESELTRLLARMWNDLSEKKKAKYKAREAALKAQSERKPGGEREERGKLPESPKRAEEIWQQSVIGDYLARFKNDRVKALKAMEMTWNNMEKKEKLMWIKKAAEDQKRYERELSEMRAPPAATNSSKKMKFQGEPKKPPMNGYQKFSQELLSNGELNHLPLKERMVEIGSRWQRISQSQKEHYKKLAEEQQKQYKVHLDLWVKSLSPQDRAAYKEYISNKRKSMTKLRGPNPKSSRTTLQSKSESEEDDEEDEDDEDEDEEEEDDENGDSSEDGGDSSESSSEDESEDGDENEEDDEDEDDDEDDDEDEDNESEGSSSSSSSSGDSSDSDSN
+>DECOY_sp|P17480|UBF1_HUMAN Nucleolar transcription factor 1 OS=Homo sapiens OX=9606 GN=UBTF PE=1 SV=1
+NSDSDSSDGSSSSSSSSGESENDEDEDDDEDDDEDEDDEENEDGDESEDESSSESSDGGDESSDGNEDDEEEEDEDEDDEDEEDDEESESKSQLTTRSSKPNPGRLKTMSKRKNSIYEKYAARDQPSLSKVWLDLHVKYQKQQEEALKKYHEKQSQSIRQWRSGIEVMREKLPLHNLEGNSLLEQSFKQYGNMPPKKPEGQFKMKKSSNTAAPPARMESLEREYRKQDEAAKKIWMLKEKKEMNNWTMEMAKLAKVRDNKFRALYDGIVSQQWIEEARKPSEPLKGREEREGGPKRESQAKLAAERAKYKAKKKESLDNWMRALLRTLESESLEPREEQLQRRKEESFIFMASVPRKPKESGGKGGEQAPKKSAPSTAQKKNINLMKEEGLVRQQEEEPLSELFRLLEVEYDKKKQDCKKHYADKEKQSLLKWQQSCLVMRETSPVDKMNAMLEACYLSYSNPPPKTPRGDFKDKLQREAKTLTSKTIGEESINLEPHKQIYDRMIEEYEKRQELAKHIWKLRKKDSLQSWQKGLSDKVEKTTADPRVKLYVKKEHTYWLQQPTKPKEPIDSKKANQILDPHDERFRALNREFEQKERQFDQIYKMKKKEPLEKYKKSLIKTLDLNSMEPHLKAYKARKEMFFRFYPTLPKKPFDPHKKLKKGKYPNKVHEQADLILETLTRFKRVENSIEVWKLKCMDGSFDKFAVKEWDMHSETTKFKSSDNSPLNNKMCELLTLMDEQSWRDQGKPAAMELDTPCDAEGNM
+>sp|P11441|UBL4A_HUMAN Ubiquitin-like protein 4A OS=Homo sapiens OX=9606 GN=UBL4A PE=1 SV=1
+MQLTVKALQGRECSLQVPEDELVSTLKQLVSEKLNVPVRQQRLLFKGKALADGKRLSDYSIGPNSKLNLVVKPLEKVLLEEGEAQRLADSPPPQVWQLISKVLARHFSAADASRVLEQLQRDYERSLSRLTLDDIERLASRFLHPEVTETMEKGFSK
+>DECOY_sp|P11441|UBL4A_HUMAN Ubiquitin-like protein 4A OS=Homo sapiens OX=9606 GN=UBL4A PE=1 SV=1
+KSFGKEMTETVEPHLFRSALREIDDLTLRSLSREYDRQLQELVRSADAASFHRALVKSILQWVQPPPSDALRQAEGEELLVKELPKVVLNLKSNPGISYDSLRKGDALAKGKFLLRQQRVPVNLKESVLQKLTSVLEDEPVQLSCERGQLAKVTLQM
+>sp|Q8N7F7|UBL4B_HUMAN Ubiquitin-like protein 4B OS=Homo sapiens OX=9606 GN=UBL4B PE=1 SV=1
+MFLTVKLLLGQRCSLKVSGQESVATLKRLVSRRLKVPEEQQHLLFRGQLLEDDKHLSDYCIGPNASINVIMQPLEKMALKEAHQPQTQPLWHQLGLVLAKHFEPQDAKAVLQLLRQEHEERLQKISLEHLEQLAQYLLAEEPHVEPAGERELEAKARPQSSCDMEEKEEAAADQ
+>DECOY_sp|Q8N7F7|UBL4B_HUMAN Ubiquitin-like protein 4B OS=Homo sapiens OX=9606 GN=UBL4B PE=1 SV=1
+QDAAAEEKEEMDCSSQPRAKAELEREGAPEVHPEEALLYQALQELHELSIKQLREEHEQRLLQLVAKADQPEFHKALVLGLQHWLPQTQPQHAEKLAMKELPQMIVNISANPGICYDSLHKDDELLQGRFLLHQQEEPVKLRRSVLRKLTAVSEQGSVKLSCRQGLLLKVTLFM
+>sp|O75317|UBP12_HUMAN Ubiquitin carboxyl-terminal hydrolase 12 OS=Homo sapiens OX=9606 GN=USP12 PE=1 SV=2
+MEILMTVSKFASICTMGANASALEKEIGPEQFPVNEHYFGLVNFGNTCYCNSVLQALYFCRPFREKVLAYKSQPRKKESLLTCLADLFHSIATQKKKVGVIPPKKFITRLRKENELFDNYMQQDAHEFLNYLLNTIADILQEERKQEKQNGRLPNGNIDNENNNSTPDPTWVHEIFQGTLTNETRCLTCETISSKDEDFLDLSVDVEQNTSITHCLRGFSNTETLCSEYKYYCEECRSKQEAHKRMKVKKLPMILALHLKRFKYMDQLHRYTKLSYRVVFPLELRLFNTSGDATNPDRMYDLVAVVVHCGSGPNRGHYIAIVKSHDFWLLFDDDIVEKIDAQAIEEFYGLTSDISKNSESGYILFYQSRD
+>DECOY_sp|O75317|UBP12_HUMAN Ubiquitin carboxyl-terminal hydrolase 12 OS=Homo sapiens OX=9606 GN=USP12 PE=1 SV=2
+DRSQYFLIYGSESNKSIDSTLGYFEEIAQADIKEVIDDDFLLWFDHSKVIAIYHGRNPGSGCHVVVAVLDYMRDPNTADGSTNFLRLELPFVVRYSLKTYRHLQDMYKFRKLHLALIMPLKKVKMRKHAEQKSRCEECYYKYESCLTETNSFGRLCHTISTNQEVDVSLDLFDEDKSSITECTLCRTENTLTGQFIEHVWTPDPTSNNNENDINGNPLRGNQKEQKREEQLIDAITNLLYNLFEHADQQMYNDFLENEKRLRTIFKKPPIVGVKKKQTAISHFLDALCTLLSEKKRPQSKYALVKERFPRCFYLAQLVSNCYCTNGFNVLGFYHENVPFQEPGIEKELASANAGMTCISAFKSVTMLIEM
+>sp|Q9Y4E8|UBP15_HUMAN Ubiquitin carboxyl-terminal hydrolase 15 OS=Homo sapiens OX=9606 GN=USP15 PE=1 SV=3
+MAEGGAADLDTQRSDIATLLKTSLRKGDTWYLVDSRWFKQWKKYVGFDSWDKYQMGDQNVYPGPIDNSGLLKDGDAQSLKEHLIDELDYILLPTEGWNKLVSWYTLMEGQEPIARKVVEQGMFVKHCKVEVYLTELKLCENGNMNNVVTRRFSKADTIDTIEKEIRKIFSIPDEKETRLWNKYMSNTFEPLNKPDSTIQDAGLYQGQVLVIEQKNEDGTWPRGPSTPKSPGASNFSTLPKISPSSLSNNYNNMNNRNVKNSNYCLPSYTAYKNYDYSEPGRNNEQPGLCGLSNLGNTCFMNSAIQCLSNTPPLTEYFLNDKYQEELNFDNPLGMRGEIAKSYAELIKQMWSGKFSYVTPRAFKTQVGRFAPQFSGYQQQDCQELLAFLLDGLHEDLNRIRKKPYIQLKDADGRPDKVVAEEAWENHLKRNDSIIVDIFHGLFKSTLVCPECAKISVTFDPFCYLTLPLPMKKERTLEVYLVRMDPLTKPMQYKVVVPKIGNILDLCTALSALSGIPADKMIVTDIYNHRFHRIFAMDENLSSIMERDDIYVFEININRTEDTEHVIIPVCLREKFRHSSYTHHTGSSLFGQPFLMAVPRNNTEDKLYNLLLLRMCRYVKISTETEETEGSLHCCKDQNINGNGPNGIHEEGSPSEMETDEPDDESSQDQELPSENENSQSEDSVGGDNDSENGLCTEDTCKGQLTGHKKRLFTFQFNNLGNTDINYIKDDTRHIRFDDRQLRLDERSFLALDWDPDLKKRYFDENAAEDFEKHESVEYKPPKKPFVKLKDCIELFTTKEKLGAEDPWYCPNCKEHQQATKKLDLWSLPPVLVVHLKRFSYSRYMRDKLDTLVDFPINDLDMSEFLINPNAGPCRYNLIAVSNHYGGMGGGHYTAFAKNKDDGKWYYFDDSSVSTASEDQIVSKAAYVLFYQRQDTFSGTGFFPLDRETKGASAATGIPLESDEDSNDNDNDIENENCMHTN
+>DECOY_sp|Q9Y4E8|UBP15_HUMAN Ubiquitin carboxyl-terminal hydrolase 15 OS=Homo sapiens OX=9606 GN=USP15 PE=1 SV=3
+NTHMCNENEIDNDNDNSDEDSELPIGTAASAGKTERDLPFFGTGSFTDQRQYFLVYAAKSVIQDESATSVSSDDFYYWKGDDKNKAFATYHGGGMGGYHNSVAILNYRCPGANPNILFESMDLDNIPFDVLTDLKDRMYRSYSFRKLHVVLVPPLSWLDLKKTAQQHEKCNPCYWPDEAGLKEKTTFLEICDKLKVFPKKPPKYEVSEHKEFDEAANEDFYRKKLDPDWDLALFSREDLRLQRDDFRIHRTDDKIYNIDTNGLNNFQFTFLRKKHGTLQGKCTDETCLGNESDNDGGVSDESQSNENESPLEQDQSSEDDPEDTEMESPSGEEHIGNPGNGNINQDKCCHLSGETEETETSIKVYRCMRLLLLNYLKDETNNRPVAMLFPQGFLSSGTHHTYSSHRFKERLCVPIIVHETDETRNINIEFVYIDDREMISSLNEDMAFIRHFRHNYIDTVIMKDAPIGSLASLATCLDLINGIKPVVVKYQMPKTLPDMRVLYVELTREKKMPLPLTLYCFPDFTVSIKACEPCVLTSKFLGHFIDVIISDNRKLHNEWAEEAVVKDPRGDADKLQIYPKKRIRNLDEHLGDLLFALLEQCDQQQYGSFQPAFRGVQTKFARPTVYSFKGSWMQKILEAYSKAIEGRMGLPNDFNLEEQYKDNLFYETLPPTNSLCQIASNMFCTNGLNSLGCLGPQENNRGPESYDYNKYATYSPLCYNSNKVNRNNMNNYNNSLSSPSIKPLTSFNSAGPSKPTSPGRPWTGDENKQEIVLVQGQYLGADQITSDPKNLPEFTNSMYKNWLRTEKEDPISFIKRIEKEITDITDAKSFRRTVVNNMNGNECLKLETLYVEVKCHKVFMGQEVVKRAIPEQGEMLTYWSVLKNWGETPLLIYDLEDILHEKLSQADGDKLLGSNDIPGPYVNQDGMQYKDWSDFGVYKKWQKFWRSDVLYWTDGKRLSTKLLTAIDSRQTDLDAAGGEAM
+>sp|Q9UPT9|UBP22_HUMAN Ubiquitin carboxyl-terminal hydrolase 22 OS=Homo sapiens OX=9606 GN=USP22 PE=1 SV=2
+MVSRPEPEGEAMDAELAVAPPGCSHLGSFKVDNWKQNLRAIYQCFVWSGTAEARKRKAKSCICHVCGVHLNRLHSCLYCVFFGCFTKKHIHEHAKAKRHNLAIDLMYGGIYCFLCQDYIYDKDMEIIAKEEQRKAWKMQGVGEKFSTWEPTKRELELLKHNPKRRKITSNCTIGLRGLINLGNTCFMNCIVQALTHTPLLRDFFLSDRHRCEMQSPSSCLVCEMSSLFQEFYSGHRSPHIPYKLLHLVWTHARHLAGYEQQDAHEFLIAALDVLHRHCKGDDNGKKANNPNHCNCIIDQIFTGGLQSDVTCQVCHGVSTTIDPFWDISLDLPGSSTPFWPLSPGSEGNVVNGESHVSGTTTLTDCLRRFTRPEHLGSSAKIKCSGCHSYQESTKQLTMKKLPIVACFHLKRFEHSAKLRRKITTYVSFPLELDMTPFMASSKESRMNGQYQQPTDSLNNDNKYSLFAVVNHQGTLESGHYTSFIRQHKDQWFKCDDAIITKASIKDVLDSEGYLLFYHKQFLEYE
+>DECOY_sp|Q9UPT9|UBP22_HUMAN Ubiquitin carboxyl-terminal hydrolase 22 OS=Homo sapiens OX=9606 GN=USP22 PE=1 SV=2
+EYELFQKHYFLLYGESDLVDKISAKTIIADDCKFWQDKHQRIFSTYHGSELTGQHNVVAFLSYKNDNNLSDTPQQYQGNMRSEKSSAMFPTMDLELPFSVYTTIKRRLKASHEFRKLHFCAVIPLKKMTLQKTSEQYSHCGSCKIKASSGLHEPRTFRRLCDTLTTTGSVHSEGNVVNGESGPSLPWFPTSSGPLDLSIDWFPDITTSVGHCVQCTVDSQLGGTFIQDIICNCHNPNNAKKGNDDGKCHRHLVDLAAILFEHADQQEYGALHRAHTWVLHLLKYPIHPSRHGSYFEQFLSSMECVLCSSPSQMECRHRDSLFFDRLLPTHTLAQVICNMFCTNGLNILGRLGITCNSTIKRRKPNHKLLELERKTPEWTSFKEGVGQMKWAKRQEEKAIIEMDKDYIYDQCLFCYIGGYMLDIALNHRKAKAHEHIHKKTFCGFFVCYLCSHLRNLHVGCVHCICSKAKRKRAEATGSWVFCQYIARLNQKWNDVKFSGLHSCGPPAVALEADMAEGEPEPRSVM
+>sp|Q96RU2|UBP28_HUMAN Ubiquitin carboxyl-terminal hydrolase 28 OS=Homo sapiens OX=9606 GN=USP28 PE=1 SV=1
+MTAELQQDDAAGAADGHGSSCQMLLNQLREITGIQDPSFLHEALKASNGDITQAVSLLTDERVKEPSQDTVATEPSEVEGSAANKEVLAKVIDLTHDNKDDLQAAIALSLLESPKIQADGRDLNRMHEATSAETKRSKRKRCEVWGENPNPNDWRRVDGWPVGLKNVGNTCWFSAVIQSLFQLPEFRRLVLSYSLPQNVLENCRSHTEKRNIMFMQELQYLFALMMGSNRKFVDPSAALDLLKGAFRSSEEQQQDVSEFTHKLLDWLEDAFQLAVNVNSPRNKSENPMVQLFYGTFLTEGVREGKPFCNNETFGQYPLQVNGYRNLDECLEGAMVEGDVELLPSDHSVKYGQERWFTKLPPVLTFELSRFEFNQSLGQPEKIHNKLEFPQIIYMDRYMYRSKELIRNKRECIRKLKEEIKILQQKLERYVKYGSGPARFPLPDMLKYVIEFASTKPASESCPPESDTHMTLPLSSVHCSVSDQTSKESTSTESSSQDVESTFSSPEDSLPKSKPLTSSRSSMEMPSQPAPRTVTDEEINFVKTCLQRWRSEIEQDIQDLKTCIASTTQTIEQMYCDPLLRQVPYRLHAVLVHEGQANAGHYWAYIYNQPRQSWLKYNDISVTESSWEEVERDSYGGLRNVSAYCLMYINDKLPYFNAEAAPTESDQMSEVEALSVELKHYIQEDNWRFEQEVEEWEEEQSCKIPQMESSTNSSSQDYSTSQEPSVASSHGVRCLSSEHAVIVKEQTAQAIANTARAYEKSGVEAALSEVMLSPAMQGVILAIAKARQTFDRDGSEAGLIKAFHEEYSRLYQLAKETPTSHSDPRLQHVLVYFFQNEAPKRVVERTLLEQFADKNLSYDERSISIMKVAQAKLKEIGPDDMNMEEYKKWHEDYSLFRKVSVYLLTGLELYQKGKYQEALSYLVYAYQSNAALLMKGPRRGVKESVIALYRRKCLLELNAKAASLFETNDDHSVTEGINVMNELIIPCIHLIINNDISKDDLDAIEVMRNHWCSYLGQDIAENLQLCLGEFLPRLLDPSAEIIVLKEPPTIRPNSPYDLCSRFAAVMESIQGVSTVTVK
+>DECOY_sp|Q96RU2|UBP28_HUMAN Ubiquitin carboxyl-terminal hydrolase 28 OS=Homo sapiens OX=9606 GN=USP28 PE=1 SV=1
+KVTVTSVGQISEMVAAFRSCLDYPSNPRITPPEKLVIIEASPDLLRPLFEGLCLQLNEAIDQGLYSCWHNRMVEIADLDDKSIDNNIILHICPIILENMVNIGETVSHDDNTEFLSAAKANLELLCKRRYLAIVSEKVGRRPGKMLLAANSQYAYVLYSLAEQYKGKQYLELGTLLYVSVKRFLSYDEHWKKYEEMNMDDPGIEKLKAQAVKMISISREDYSLNKDAFQELLTREVVRKPAENQFFYVLVHQLRPDSHSTPTEKALQYLRSYEEHFAKILGAESGDRDFTQRAKAIALIVGQMAPSLMVESLAAEVGSKEYARATNAIAQATQEKVIVAHESSLCRVGHSSAVSPEQSTSYDQSSSNTSSEMQPIKCSQEEEWEEVEQEFRWNDEQIYHKLEVSLAEVESMQDSETPAAEANFYPLKDNIYMLCYASVNRLGGYSDREVEEWSSETVSIDNYKLWSQRPQNYIYAWYHGANAQGEHVLVAHLRYPVQRLLPDCYMQEITQTTSAICTKLDQIDQEIESRWRQLCTKVFNIEEDTVTRPAPQSPMEMSSRSSTLPKSKPLSDEPSSFTSEVDQSSSETSTSEKSTQDSVSCHVSSLPLTMHTDSEPPCSESAPKTSAFEIVYKLMDPLPFRAPGSGYKVYRELKQQLIKIEEKLKRICERKNRILEKSRYMYRDMYIIQPFELKNHIKEPQGLSQNFEFRSLEFTLVPPLKTFWREQGYKVSHDSPLLEVDGEVMAGELCEDLNRYGNVQLPYQGFTENNCFPKGERVGETLFTGYFLQVMPNESKNRPSNVNVALQFADELWDLLKHTFESVDQQQEESSRFAGKLLDLAASPDVFKRNSGMMLAFLYQLEQMFMINRKETHSRCNELVNQPLSYSLVLRRFEPLQFLSQIVASFWCTNGVNKLGVPWGDVRRWDNPNPNEGWVECRKRKSRKTEASTAEHMRNLDRGDAQIKPSELLSLAIAAQLDDKNDHTLDIVKALVEKNAASGEVESPETAVTDQSPEKVREDTLLSVAQTIDGNSAKLAEHLFSPDQIGTIERLQNLLMQCSSGHGDAAGAADDQQLEATM
+>sp|P62068|UBP46_HUMAN Ubiquitin carboxyl-terminal hydrolase 46 OS=Homo sapiens OX=9606 GN=USP46 PE=1 SV=1
+MTVRNIASICNMGTNASALEKDIGPEQFPINEHYFGLVNFGNTCYCNSVLQALYFCRPFRENVLAYKAQQKKKENLLTCLADLFHSIATQKKKVGVIPPKKFISRLRKENDLFDNYMQQDAHEFLNYLLNTIADILQEEKKQEKQNGKLKNGNMNEPAENNKPELTWVHEIFQGTLTNETRCLNCETVSSKDEDFLDLSVDVEQNTSITHCLRDFSNTETLCSEQKYYCETCCSKQEAQKRMRVKKLPMILALHLKRFKYMEQLHRYTKLSYRVVFPLELRLFNTSSDAVNLDRMYDLVAVVVHCGSGPNRGHYITIVKSHGFWLLFDDDIVEKIDAQAIEEFYGLTSDISKNSESGYILFYQSRE
+>DECOY_sp|P62068|UBP46_HUMAN Ubiquitin carboxyl-terminal hydrolase 46 OS=Homo sapiens OX=9606 GN=USP46 PE=1 SV=1
+ERSQYFLIYGSESNKSIDSTLGYFEEIAQADIKEVIDDDFLLWFGHSKVITIYHGRNPGSGCHVVVAVLDYMRDLNVADSSTNFLRLELPFVVRYSLKTYRHLQEMYKFRKLHLALIMPLKKVRMRKQAEQKSCCTECYYKQESCLTETNSFDRLCHTISTNQEVDVSLDLFDEDKSSVTECNLCRTENTLTGQFIEHVWTLEPKNNEAPENMNGNKLKGNQKEQKKEEQLIDAITNLLYNLFEHADQQMYNDFLDNEKRLRSIFKKPPIVGVKKKQTAISHFLDALCTLLNEKKKQQAKYALVNERFPRCFYLAQLVSNCYCTNGFNVLGFYHENIPFQEPGIDKELASANTGMNCISAINRVTM
+>sp|Q70CQ1|UBP49_HUMAN Ubiquitin carboxyl-terminal hydrolase 49 OS=Homo sapiens OX=9606 GN=USP49 PE=1 SV=1
+MDRCKHVGRLRLAQDHSILNPQKWCCLECATTESVWACLKCSHVACGRYIEDHALKHFEETGHPLAMEVRDLYVFCYLCKDYVLNDNPEGDLKLLRSSLLAVRGQKQDTPVRRGRTLRSMASGEDVVLPQRAPQGQPQMLTALWYRRQRLLARTLRLWFEKSSRGQAKLEQRRQEEALERKKEEARRRRREVKRRLLEELASTPPRKSARLLLHTPRDAGPAASRPAALPTSRRVPAATLKLRRQPAMAPGVTGLRNLGNTCYMNSILQVLSHLQKFRECFLNLDPSKTEHLFPKATNGKTQLSGKPTNSSATELSLRNDRAEACEREGFCWNGRASISRSLELIQNKEPSSKHISLCRELHTLFRVMWSGKWALVSPFAMLHSVWSLIPAFRGYDQQDAQEFLCELLHKVQQELESEGTTRRILIPFSQRKLTKQVLKVVNTIFHGQLLSQVTCISCNYKSNTIEPFWDLSLEFPERYHCIEKGFVPLNQTECLLTEMLAKFTETEALEGRIYACDQCNSKRRKSNPKPLVLSEARKQLMIYRLPQVLRLHLKRFRWSGRNHREKIGVHVVFDQVLTMEPYCCRDMLSSLDKETFAYDLSAVVMHHGKGFGSGHYTAYCYNTEGGFWVHCNDSKLNVCSVEEVCKTQAYILFYTQRTVQGNARISETHLQAQVQSSNNDEGRPQTFS
+>DECOY_sp|Q70CQ1|UBP49_HUMAN Ubiquitin carboxyl-terminal hydrolase 49 OS=Homo sapiens OX=9606 GN=USP49 PE=1 SV=1
+SFTQPRGEDNNSSQVQAQLHTESIRANGQVTRQTYFLIYAQTKCVEEVSCVNLKSDNCHVWFGGETNYCYATYHGSGFGKGHHMVVASLDYAFTEKDLSSLMDRCCYPEMTLVQDFVVHVGIKERHNRGSWRFRKLHLRLVQPLRYIMLQKRAESLVLPKPNSKRRKSNCQDCAYIRGELAETETFKALMETLLCETQNLPVFGKEICHYREPFELSLDWFPEITNSKYNCSICTVQSLLQGHFITNVVKLVQKTLKRQSFPILIRRTTGESELEQQVKHLLECLFEQADQQDYGRFAPILSWVSHLMAFPSVLAWKGSWMVRFLTHLERCLSIHKSSPEKNQILELSRSISARGNWCFGERECAEARDNRLSLETASSNTPKGSLQTKGNTAKPFLHETKSPDLNLFCERFKQLHSLVQLISNMYCTNGLNRLGTVGPAMAPQRRLKLTAAPVRRSTPLAAPRSAAPGADRPTHLLLRASKRPPTSALEELLRRKVERRRRRAEEKKRELAEEQRRQELKAQGRSSKEFWLRLTRALLRQRRYWLATLMQPQGQPARQPLVVDEGSAMSRLTRGRRVPTDQKQGRVALLSSRLLKLDGEPNDNLVYDKCLYCFVYLDRVEMALPHGTEEFHKLAHDEIYRGCAVHSCKLCAWVSETTACELCCWKQPNLISHDQALRLRGVHKCRDM
+>sp|P35125|UBP6_HUMAN Ubiquitin carboxyl-terminal hydrolase 6 OS=Homo sapiens OX=9606 GN=USP6 PE=1 SV=2
+MDMVENADSLQAQERKDILMKYDKGHRAGLPEDKGPEPVGINSSIDRFGILHETELPPVTAREAKKIRREMTRTSKWMEMLGEWETYKHSSKLIDRVYKGIPMNIRGPVWSVLLNIQEIKLKNPGRYQIMKERGKRSSEHIHHIDLDVRTTLRNHVFFRDRYGAKQRELFYILLAYSEYNPEVGYCRDLSHITALFLLYLPEEDAFWALVQLLASERHSLPGFHSPNGGTVQGLQDQQEHVVPKSQPKTMWHQDKEGLCGQCASLGCLLRNLIDGISLGLTLRLWDVYLVEGEQVLMPITSIALKVQQKRLMKTSRCGLWARLRNQFFDTWAMNDDTVLKHLRASTKKLTRKQGDLPPPAKREQGSLAPRPVPASRGGKTLCKGYRQAPPGPPAQFQRPICSASPPWASRFSTPCPGGAVREDTYPVGTQGVPSLALAQGGPQGSWRFLEWKSMPRLPTDLDIGGPWFPHYDFEWSCWVRAISQEDQLATCWQAEHCGEVHNKDMSWPEEMSFTANSSKIDRQKVPTEKGATGLSNLGNTCFMNSSIQCVSNTQPLTQYFISGRHLYELNRTNPIGMKGHMAKCYGDLVQELWSGTQKSVAPLKLRRTIAKYAPKFDGFQQQDSQELLAFLLDGLHEDLNRVHEKPYVELKDSDGRPDWEVAAEAWDNHLRRNRSIIVDLFHGQLRSQVKCKTCGHISVRFDPFNFLSLPLPMDSYMDLEITVIKLDGTTPVRYGLRLNMDEKYTGLKKQLRDLCGLNSEQILLAEVHDSNIKNFPQDNQKVQLSVSGFLCAFEIPVPSSPISASSPTQIDFSSSPSTNGMFTLTTNGDLPKPIFIPNGMPNTVVPCGTEKNFTNGMVNGHMPSLPDSPFTGYIIAVHRKMMRTELYFLSPQENRPSLFGMPLIVPCTVHTRKKDLYDAVWIQVSWLARPLPPQEASIHAQDRDNCMGYQYPFTLRVVQKDGNSCAWCPQYRFCRGCKIDCGEDRAFIGNAYIAVDWHPTALHLRYQTSQERVVDKHESVEQSRRAQAEPINLDSCLRAFTSEEELGESEMYYCSKCKTHCLATKKLDLWRLPPFLIIHLKRFQFVNDQWIKSQKIVRFLRESFDPSAFLVPRDPALCQHKPLTPQGDELSKPRILAREVKKVDAQSSAGKEDMLLSKSPSSLSANISSSPKGSPSSSRKSGTSCPSSKNSSPNSSPRTLGRSKGRLRLPQIGSKNKPSSSKKNLDASKENGAGQICELADALSRGHMRGGSQPELVTPQDHEVALANGFLYEHEACGNGCGDGYSNGQLGNHSEEDSTDDQREDTHIKPIYNLYAISCHSGILSGGHYITYAKNPNCKWYCYNDSSCEELHPDEIDTDSAYILFYEQQGIDYAQFLPKIDGKKMADTSSTDEDSESDYEKYSMLQ
+>DECOY_sp|P35125|UBP6_HUMAN Ubiquitin carboxyl-terminal hydrolase 6 OS=Homo sapiens OX=9606 GN=USP6 PE=1 SV=2
+QLMSYKEYDSESDEDTSSTDAMKKGDIKPLFQAYDIGQQEYFLIYASDTDIEDPHLEECSSDNYCYWKCNPNKAYTIYHGGSLIGSHCSIAYLNYIPKIHTDERQDDTSDEESHNGLQGNSYGDGCGNGCAEHEYLFGNALAVEHDQPTVLEPQSGGRMHGRSLADALECIQGAGNEKSADLNKKSSSPKNKSGIQPLRLRGKSRGLTRPSSNPSSNKSSPCSTGSKRSSSPSGKPSSSINASLSSPSKSLLMDEKGASSQADVKKVERALIRPKSLEDGQPTLPKHQCLAPDRPVLFASPDFSERLFRVIKQSKIWQDNVFQFRKLHIILFPPLRWLDLKKTALCHTKCKSCYYMESEGLEEESTFARLCSDLNIPEAQARRSQEVSEHKDVVREQSTQYRLHLATPHWDVAIYANGIFARDEGCDIKCGRCFRYQPCWACSNGDKQVVRLTFPYQYGMCNDRDQAHISAEQPPLPRALWSVQIWVADYLDKKRTHVTCPVILPMGFLSPRNEQPSLFYLETRMMKRHVAIIYGTFPSDPLSPMHGNVMGNTFNKETGCPVVTNPMGNPIFIPKPLDGNTTLTFMGNTSPSSSFDIQTPSSASIPSSPVPIEFACLFGSVSLQVKQNDQPFNKINSDHVEALLIQESNLGCLDRLQKKLGTYKEDMNLRLGYRVPTTGDLKIVTIELDMYSDMPLPLSLFNFPDFRVSIHGCTKCKVQSRLQGHFLDVIISRNRRLHNDWAEAAVEWDPRGDSDKLEVYPKEHVRNLDEHLGDLLFALLEQSDQQQFGDFKPAYKAITRRLKLPAVSKQTGSWLEQVLDGYCKAMHGKMGIPNTRNLEYLHRGSIFYQTLPQTNSVCQISSNMFCTNGLNSLGTAGKETPVKQRDIKSSNATFSMEEPWSMDKNHVEGCHEAQWCTALQDEQSIARVWCSWEFDYHPFWPGGIDLDTPLRPMSKWELFRWSGQPGGQALALSPVGQTGVPYTDERVAGGPCPTSFRSAWPPSASCIPRQFQAPPGPPAQRYGKCLTKGGRSAPVPRPALSGQERKAPPPLDGQKRTLKKTSARLHKLVTDDNMAWTDFFQNRLRAWLGCRSTKMLRKQQVKLAISTIPMLVQEGEVLYVDWLRLTLGLSIGDILNRLLCGLSACQGCLGEKDQHWMTKPQSKPVVHEQQDQLGQVTGGNPSHFGPLSHRESALLQVLAWFADEEPLYLLFLATIHSLDRCYGVEPNYESYALLIYFLERQKAGYRDRFFVHNRLTTRVDLDIHHIHESSRKGREKMIQYRGPNKLKIEQINLLVSWVPGRINMPIGKYVRDILKSSHKYTEWEGLMEMWKSTRTMERRIKKAERATVPPLETEHLIGFRDISSNIGVPEPGKDEPLGARHGKDYKMLIDKREQAQLSDANEVMDM
+>sp|Q8IWV8|UBR2_HUMAN E3 ubiquitin-protein ligase UBR2 OS=Homo sapiens OX=9606 GN=UBR2 PE=1 SV=1
+MASELEPEVQAIDRSLLECSAEEIAGKWLQATDLTREVYQHLAHYVPKIYCRGPNPFPQKEDMLAQHVLLGPMEWYLCGEDPAFGFPKLEQANKPSHLCGRVFKVGEPTYSCRDCAVDPTCVLCMECFLGSIHRDHRYRMTTSGGGGFCDCGDTEAWKEGPYCQKHELNTSEIEEEEDPLVHLSEDVIARTYNIFAITFRYAVEILTWEKESELPADLEMVEKSDTYYCMLFNDEVHTYEQVIYTLQKAVNCTQKEAIGFATTVDRDGRRSVRYGDFQYCEQAKSVIVRNTSRQTKPLKVQVMHSSIVAHQNFGLKLLSWLGSIIGYSDGLRRILCQVGLQEGPDGENSSLVDRLMLSDSKLWKGARSVYHQLFMSSLLMDLKYKKLFAVRFAKNYQQLQRDFMEDDHERAVSVTALSVQFFTAPTLARMLITEENLMSIIIKTFMDHLRHRDAQGRFQFERYTALQAFKFRRVQSLILDLKYVLISKPTEWSDELRQKFLEGFDAFLELLKCMQGMDPITRQVGQHIEMEPEWEAAFTLQMKLTHVISMMQDWCASDEKVLIEAYKKCLAVLMQCHGGYTDGEQPITLSICGHSVETIRYCVSQEKVSIHLPVSRLLAGLHVLLSKSEVAYKFPELLPLSELSPPMLIEHPLRCLVLCAQVHAGMWRRNGFSLVNQIYYYHNVKCRREMFDKDVVMLQTGVSMMDPNHFLMIMLSRFELYQIFSTPDYGKRFSSEITHKDVVQQNNTLIEEMLYLIIMLVGERFSPGVGQVNATDEIKREIIHQLSIKPMAHSELVKSLPEDENKETGMESVIEAVAHFKKPGLTGRGMYELKPECAKEFNLYFYHFSRAEQSKAEEAQRKLKRQNREDTALPPPVLPPFCPLFASLVNILQSDVMLCIMGTILQWAVEHNGYAWSESMLQRVLHLIGMALQEEKQHLENVTEEHVVTFTFTQKISKPGEAPKNSPSILAMLETLQNAPYLEVHKDMIRWILKTFNAVKKMRESSPTSPVAETEGTIMEESSRDKDKAERKRKAEIARLRREKIMAQMSEMQRHFIDENKELFQQTLELDASTSAVLDHSPVASDMTLTALGPAQTQVPEQRQFVTCILCQEEQEVKVESRAMVLAAFVQRSTVLSKNRSKFIQDPEKYDPLFMHPDLSCGTHTSSCGHIMHAHCWQRYFDSVQAKEQRRQQRLRLHTSYDVENGEFLCPLCECLSNTVIPLLLPPRNIFNNRLNFSDQPNLTQWIRTISQQIKALQFLRKEESTPNNASTKNSENVDELQLPEGFRPDFRPKIPYSESIKEMLTTFGTATYKVGLKVHPNEEDPRVPIMCWGSCAYTIQSIERILSDEDKPLFGPLPCRLDDCLRSLTRFAAAHWTVASVSVVQGHFCKLFASLVPNDSHEELPCILDIDMFHLLVGLVLAFPALQCQDFSGISLGTGDLHIFHLVTMAHIIQILLTSCTEENGMDQENPPCEEESAVLALYKTLHQYTGSALKEIPSGWHLWRSVRAGIMPFLKCSALFFHYLNGVPSPPDIQVPGTSHFEHLCSYLSLPNNLICLFQENSEIMNSLIESWCRNSEVKRYLEGERDAIRYPRESNKLINLPEDYSSLINQASNFSCPKSGGDKSRAPTLCLVCGSLLCSQSYCCQTELEGEDVGACTAHTYSCGSGVGIFLRVRECQVLFLAGKTKGCFYSPPYLDDYGETDQGLRRGNPLHLCKERFKKIQKLWHQHSVTEEIGHAQEANQTLVGIDWQHL
+>DECOY_sp|Q8IWV8|UBR2_HUMAN E3 ubiquitin-protein ligase UBR2 OS=Homo sapiens OX=9606 GN=UBR2 PE=1 SV=1
+LHQWDIGVLTQNAEQAHGIEETVSHQHWLKQIKKFREKCLHLPNGRRLGQDTEGYDDLYPPSYFCGKTKGALFLVQCERVRLFIGVGSGCSYTHATCAGVDEGELETQCCYSQSCLLSGCVLCLTPARSKDGGSKPCSFNSAQNILSSYDEPLNILKNSERPYRIADREGELYRKVESNRCWSEILSNMIESNEQFLCILNNPLSLYSCLHEFHSTGPVQIDPPSPVGNLYHFFLASCKLFPMIGARVSRWLHWGSPIEKLASGTYQHLTKYLALVASEEECPPNEQDMGNEETCSTLLIQIIHAMTVLHFIHLDGTGLSIGSFDQCQLAPFALVLGVLLHFMDIDLICPLEEHSDNPVLSAFLKCFHGQVVSVSAVTWHAAAFRTLSRLCDDLRCPLPGFLPKDEDSLIREISQITYACSGWCMIPVRPDEENPHVKLGVKYTATGFTTLMEKISESYPIKPRFDPRFGEPLQLEDVNESNKTSANNPTSEEKRLFQLAKIQQSITRIWQTLNPQDSFNLRNNFINRPPLLLPIVTNSLCECLPCLFEGNEVDYSTHLRLRQQRRQEKAQVSDFYRQWCHAHMIHGCSSTHTGCSLDPHMFLPDYKEPDQIFKSRNKSLVTSRQVFAALVMARSEVKVEQEEQCLICTVFQRQEPVQTQAPGLATLTMDSAVPSHDLVASTSADLELTQQFLEKNEDIFHRQMESMQAMIKERRLRAIEAKRKREAKDKDRSSEEMITGETEAVPSTPSSERMKKVANFTKLIWRIMDKHVELYPANQLTELMALISPSNKPAEGPKSIKQTFTFTVVHEETVNELHQKEEQLAMGILHLVRQLMSESWAYGNHEVAWQLITGMICLMVDSQLINVLSAFLPCFPPLVPPPLATDERNQRKLKRQAEEAKSQEARSFHYFYLNFEKACEPKLEYMGRGTLGPKKFHAVAEIVSEMGTEKNEDEPLSKVLESHAMPKISLQHIIERKIEDTANVQGVGPSFREGVLMIILYLMEEILTNNQQVVDKHTIESSFRKGYDPTSFIQYLEFRSLMIMLFHNPDMMSVGTQLMVVDKDFMERRCKVNHYYYIQNVLSFGNRRWMGAHVQACLVLCRLPHEILMPPSLESLPLLEPFKYAVESKSLLVHLGALLRSVPLHISVKEQSVCYRITEVSHGCISLTIPQEGDTYGGHCQMLVALCKKYAEILVKEDSACWDQMMSIVHTLKMQLTFAAEWEPEMEIHQGVQRTIPDMGQMCKLLELFADFGELFKQRLEDSWETPKSILVYKLDLILSQVRRFKFAQLATYREFQFRGQADRHRLHDMFTKIIISMLNEETILMRALTPATFFQVSLATVSVAREHDDEMFDRQLQQYNKAFRVAFLKKYKLDMLLSSMFLQHYVSRAGKWLKSDSLMLRDVLSSNEGDPGEQLGVQCLIRRLGDSYGIISGLWSLLKLGFNQHAVISSHMVQVKLPKTQRSTNRVIVSKAQECYQFDGYRVSRRGDRDVTTAFGIAEKQTCNVAKQLTYIVQEYTHVEDNFLMCYYTDSKEVMELDAPLESEKEWTLIEVAYRFTIAFINYTRAIVDESLHVLPDEEEEIESTNLEHKQCYPGEKWAETDGCDCFGGGGSTTMRYRHDRHISGLFCEMCLVCTPDVACDRCSYTPEGVKFVRGCLHSPKNAQELKPFGFAPDEGCLYWEMPGLLVHQALMDEKQPFPNPGRCYIKPVYHALHQYVERTLDTAQLWKGAIEEASCELLSRDIAQVEPELESAM
+>sp|Q8N806|UBR7_HUMAN Putative E3 ubiquitin-protein ligase UBR7 OS=Homo sapiens OX=9606 GN=UBR7 PE=1 SV=2
+MAGAEGAAGRQSELEPVVSLVDVLEEDEELENEACAVLGGSDSEKCSYSQGSVKRQALYACSTCTPEGEEPAGICLACSYECHGSHKLFELYTKRNFRCDCGNSKFKNLECKLLPDKAKVNSGNKYNDNFFGLYCICKRPYPDPEDEIPDEMIQCVVCEDWFHGRHLGAIPPESGDFQEMVCQACMKRCSFLWAYAAQLAVTKISTEDDGLVRNIDGIGDQEVIKPENGEHQDSTLKEDVPEQGKDDVREVKVEQNSEPCAGSSSESDLQTVFKNESLNAESKSGCKLQELKAKQLIKKDTATYWPLNWRSKLCTCQDCMKMYGDLDVLFLTDEYDTVLAYENKGKIAQATDRSDPLMDTLSSMNRVQQVELICEYNDLKTELKDYLKRFADEGTVVKREDIQQFFEEFQSKKRRRVDGMQYYCS
+>DECOY_sp|Q8N806|UBR7_HUMAN Putative E3 ubiquitin-protein ligase UBR7 OS=Homo sapiens OX=9606 GN=UBR7 PE=1 SV=2
+SCYYQMGDVRRRKKSQFEEFFQQIDERKVVTGEDAFRKLYDKLETKLDNYECILEVQQVRNMSSLTDMLPDSRDTAQAIKGKNEYALVTDYEDTLFLVDLDGYMKMCDQCTCLKSRWNLPWYTATDKKILQKAKLEQLKCGSKSEANLSENKFVTQLDSESSSGACPESNQEVKVERVDDKGQEPVDEKLTSDQHEGNEPKIVEQDGIGDINRVLGDDETSIKTVALQAAYAWLFSCRKMCAQCVMEQFDGSEPPIAGLHRGHFWDECVVCQIMEDPIEDEPDPYPRKCICYLGFFNDNYKNGSNVKAKDPLLKCELNKFKSNGCDCRFNRKTYLEFLKHSGHCEYSCALCIGAPEEGEPTCTSCAYLAQRKVSGQSYSCKESDSGGLVACAENELEEDEELVDVLSVVPELESQRGAAGEAGAM
+>sp|Q9HAC8|UBTD1_HUMAN Ubiquitin domain-containing protein 1 OS=Homo sapiens OX=9606 GN=UBTD1 PE=1 SV=1
+MGNCVGRQRRERPAAPGHPRKRAGRNEPLKKERLKWKSDYPMTDGQLRSKRDEFWDTAPAFEGRKEIWDALKAAAYAAEANDHELAQAILDGASITLPHGTLCECYDELGNRYQLPIYCLSPPVNLLLEHTEEESLEPPEPPPSVRREFPLKVRLSTGKDVRLSASLPDTVGQLKRQLHAQEGIEPSWQRWFFSGKLLTDRTRLQETKIQKDFVIQVIINQPPPPQD
+>DECOY_sp|Q9HAC8|UBTD1_HUMAN Ubiquitin domain-containing protein 1 OS=Homo sapiens OX=9606 GN=UBTD1 PE=1 SV=1
+DQPPPPQNIIVQIVFDKQIKTEQLRTRDTLLKGSFFWRQWSPEIGEQAHLQRKLQGVTDPLSASLRVDKGTSLRVKLPFERRVSPPPEPPELSEEETHELLLNVPPSLCYIPLQYRNGLEDYCECLTGHPLTISAGDLIAQALEHDNAEAAYAAAKLADWIEKRGEFAPATDWFEDRKSRLQGDTMPYDSKWKLREKKLPENRGARKRPHGPAAPRERRQRGVCNGM
+>sp|Q14CS0|UBX2B_HUMAN UBX domain-containing protein 2B OS=Homo sapiens OX=9606 GN=UBXN2B PE=1 SV=1
+MAEGGGPEPGEQERRSSGPRPPSARDLQLALAELYEDEVKCKSSKSNRPKATVFKSPRTPPQRFYSSEHEYSGLNIVRPSTGKIVNELFKEAREHGAVPLNEATRASGDDKSKSFTGGGYRLGSSFCKRSEYIYGENQLQDVQILLKLWSNGFSLDDGELRPYNEPTNAQFLESVKRGEIPLELQRLVHGGQVNLDMEDHQDQEYIKPRLRFKAFSGEGQKLGSLTPEIVSTPSSPEEEDKSILNAVVLIDDSVPTTKIQIRLADGSRLIQRFNSTHRILDVRNFIVQSRPEFAALDFILVTSFPNKELTDESLTLLEADILNTVLLQQLK
+>DECOY_sp|Q14CS0|UBX2B_HUMAN UBX domain-containing protein 2B OS=Homo sapiens OX=9606 GN=UBXN2B PE=1 SV=1
+KLQQLLVTNLIDAELLTLSEDTLEKNPFSTVLIFDLAAFEPRSQVIFNRVDLIRHTSNFRQILRSGDALRIQIKTTPVSDDILVVANLISKDEEEPSSPTSVIEPTLSGLKQGEGSFAKFRLRPKIYEQDQHDEMDLNVQGGHVLRQLELPIEGRKVSELFQANTPENYPRLEGDDLSFGNSWLKLLIQVDQLQNEGYIYESRKCFSSGLRYGGGTFSKSKDDGSARTAENLPVAGHERAEKFLENVIKGTSPRVINLGSYEHESSYFRQPPTRPSKFVTAKPRNSKSSKCKVEDEYLEALALQLDRASPPRPGSSRREQEGPEPGGGEAM
+>sp|Q9Y5K5|UCHL5_HUMAN Ubiquitin carboxyl-terminal hydrolase isozyme L5 OS=Homo sapiens OX=9606 GN=UCHL5 PE=1 SV=3
+MTGNAGEWCLMESDPGVFTELIKGFGCRGAQVEEIWSLEPENFEKLKPVHGLIFLFKWQPGEEPAGSVVQDSRLDTIFFAKQVINNACATQAIVSVLLNCTHQDVHLGETLSEFKEFSQSFDAAMKGLALSNSDVIRQVHNSFARQQMFEFDTKTSAKEEDAFHFVSYVPVNGRLYELDGLREGPIDLGACNQDDWISAVRPVIEKRIQKYSEGEIRFNLMAIVSDRKMIYEQKIAELQRQLAEEEPMDTDQGNSMLSAIQSEVAKNQMLIEEEVQKLKRYKIENIRRKHNYLPFIMELLKTLAEHQQLIPLVEKAKEKQNAKKAQETK
+>DECOY_sp|Q9Y5K5|UCHL5_HUMAN Ubiquitin carboxyl-terminal hydrolase isozyme L5 OS=Homo sapiens OX=9606 GN=UCHL5 PE=1 SV=3
+KTEQAKKANQKEKAKEVLPILQQHEALTKLLEMIFPLYNHKRRINEIKYRKLKQVEEEILMQNKAVESQIASLMSNGQDTDMPEEEALQRQLEAIKQEYIMKRDSVIAMLNFRIEGESYKQIRKEIVPRVASIWDDQNCAGLDIPGERLGDLEYLRGNVPVYSVFHFADEEKASTKTDFEFMQQRAFSNHVQRIVDSNSLALGKMAADFSQSFEKFESLTEGLHVDQHTCNLLVSVIAQTACANNIVQKAFFITDLRSDQVVSGAPEEGPQWKFLFILGHVPKLKEFNEPELSWIEEVQAGRCGFGKILETFVGPDSEMLCWEGANGTM
+>sp|Q9BZX2|UCK2_HUMAN Uridine-cytidine kinase 2 OS=Homo sapiens OX=9606 GN=UCK2 PE=1 SV=1
+MAGDSEQTLQNHQQPNGGEPFLIGVSGGTASGKSSVCAKIVQLLGQNEVDYRQKQVVILSQDSFYRVLTSEQKAKALKGQFNFDHPDAFDNELILKTLKEITEGKTVQIPVYDFVSHSRKEETVTVYPADVVLFEGILAFYSQEVRDLFQMKLFVDTDADTRLSRRVLRDISERGRDLEQILSQYITFVKPAFEEFCLPTKKYADVIIPRGADNLVAINLIVQHIQDILNGGPSKRQTNGCLNGYTPSRKRQASESSSRPH
+>DECOY_sp|Q9BZX2|UCK2_HUMAN Uridine-cytidine kinase 2 OS=Homo sapiens OX=9606 GN=UCK2 PE=1 SV=1
+HPRSSSESAQRKRSPTYGNLCGNTQRKSPGGNLIDQIHQVILNIAVLNDAGRPIIVDAYKKTPLCFEEFAPKVFTIYQSLIQELDRGRESIDRLVRRSLRTDADTDVFLKMQFLDRVEQSYFALIGEFLVVDAPYVTVTEEKRSHSVFDYVPIQVTKGETIEKLTKLILENDFADPHDFNFQGKLAKAKQESTLVRYFSDQSLIVVQKQRYDVENQGLLQVIKACVSSKGSATGGSVGILFPEGGNPQQHNQLTQESDGAM
+>sp|Q6H3X3|ULBP5_HUMAN UL-16 binding protein 5 OS=Homo sapiens OX=9606 GN=RAET1G PE=1 SV=1
+MAAAASPAFLLRLPLLLLLSSWCRTGLADPHSLCYDITVIPKFRPGPRWCAVQGQVDEKTFLHYDCGSKTVTPVSPLGKKLNVTTAWKAQNPVLREVVDILTEQLLDIQLENYIPKEPLTLQARMSCEQKAEGHGSGSWQLSFDGQIFLLFDSENRMWTTVHPGARKMKEKWENDKDMTMSFHYISMGDCTGWLEDFLMGMDSTLEPSAGAPPTMSSGTAQPRATATTLILCCLLIMCLLICSRHSLTQSHGHHPQSLQPPPHPPLLHPTWLLRRVLWSDSYQIAKRPLSGGHVTRVTLPIIGDDSHSLPCPLALYTINNGAARYSEPLQVSIS
+>DECOY_sp|Q6H3X3|ULBP5_HUMAN UL-16 binding protein 5 OS=Homo sapiens OX=9606 GN=RAET1G PE=1 SV=1
+SISVQLPESYRAAGNNITYLALPCPLSHSDDGIIPLTVRTVHGGSLPRKAIQYSDSWLVRRLLWTPHLLPPHPPPQLSQPHHGHSQTLSHRSCILLCMILLCCLILTTATARPQATGSSMTPPAGASPELTSDMGMLFDELWGTCDGMSIYHFSMTMDKDNEWKEKMKRAGPHVTTWMRNESDFLLFIQGDFSLQWSGSGHGEAKQECSMRAQLTLPEKPIYNELQIDLLQETLIDVVERLVPNQAKWATTVNLKKGLPSVPTVTKSGCDYHLFTKEDVQGQVACWRPGPRFKPIVTIDYCLSHPDALGTRCWSSLLLLLPLRLLFAPSAAAAM
+>sp|Q8IYT8|ULK2_HUMAN Serine/threonine-protein kinase ULK2 OS=Homo sapiens OX=9606 GN=ULK2 PE=1 SV=3
+MEVVGDFEYSKRDLVGHGAFAVVFRGRHRQKTDWEVAIKSINKKNLSKSQILLGKEIKILKELQHENIVALYDVQELPNSVFLVMEYCNGGDLADYLQAKGTLSEDTIRVFLHQIAAAMRILHSKGIIHRDLKPQNILLSYANRRKSSVSGIRIKIADFGFARYLHSNMMAATLCGSPMYMAPEVIMSQHYDAKADLWSIGTVIYQCLVGKPPFQANSPQDLRMFYEKNRSLMPSIPRETSPYLANLLLGLLQRNQKDRMDFEAFFSHPFLEQGPVKKSCPVPVPMYSGSVSGSSCGSSPSCRFASPPSLPDMQHIQEENLSSPPLGPPNYLQVSKDSASTSSKNSSCDTDDFVLVPHNISSDHSCDMPVGTAGRRASNEFLVCGGQCQPTVSPHSETAPIPVPTQIRNYQRIEQNLTSTASSGTNVHGSPRSAVVRRSNTSPMGFLRPGSCSPVPADTAQTVGRRLSTGSSRPYSPSPLVGTIPEQFSQCCCGHPQGHDSRSRNSSGSPVPQAQSPQSLLSGARLQSAPTLTDIYQNKQKLRKQHSDPVCPSHTGAGYSYSPQPSRPGSLGTSPTKHLGSSPRSSDWFFKTPLPTIIGSPTKTTAPFKIPKTQASSNLLALVTRHGPAEEQSKDGNEPRECAHCLLVQGSERQRAEQQSKAVFGRSVSTGKLSDQQGKTPICRHQGSTDSLNTERPMDIAPAGACGGVLAPPAGTAASSKAVLFTVGSPPHSAAAPTCTHMFLRTRTTSVGPSNSGGSLCAMSGRVCVGSPPGPGFGSSPPGAEAAPSLRYVPYGASPPSLEGLITFEAPELPEETLMEREHTDTLRHLNVMLMFTECVLDLTAMRGGNPELCTSAVSLYQIQESVVVDQISQLSKDWGRVEQLVLYMKAAQLLAASLHLAKAQIKSGKLSPSTAVKQVVKNLNERYKFCITMCKKLTEKLNRFFSDKQRFIDEINSVTAEKLIYNCAVEMVQSAALDEMFQQTEDIVYRYHKAALLLEGLSRILQDPADIENVHKYKCSIERRLSALCHSTATV
+>DECOY_sp|Q8IYT8|ULK2_HUMAN Serine/threonine-protein kinase ULK2 OS=Homo sapiens OX=9606 GN=ULK2 PE=1 SV=3
+VTATSHCLASLRREISCKYKHVNEIDAPDQLIRSLGELLLAAKHYRYVIDETQQFMEDLAASQVMEVACNYILKEATVSNIEDIFRQKDSFFRNLKETLKKCMTICFKYRENLNKVVQKVATSPSLKGSKIQAKALHLSAALLQAAKMYLVLQEVRGWDKSLQSIQDVVVSEQIQYLSVASTCLEPNGGRMATLDLVCETFMLMVNLHRLTDTHEREMLTEEPLEPAEFTILGELSPPSAGYPVYRLSPAAEAGPPSSGFGPGPPSGVCVRGSMACLSGGSNSPGVSTTRTRLFMHTCTPAAASHPPSGVTFLVAKSSAATGAPPALVGGCAGAPAIDMPRETNLSDTSGQHRCIPTKGQQDSLKGTSVSRGFVAKSQQEARQRESGQVLLCHACERPENGDKSQEEAPGHRTVLALLNSSAQTKPIKFPATTKTPSGIITPLPTKFFWDSSRPSSGLHKTPSTGLSGPRSPQPSYSYGAGTHSPCVPDSHQKRLKQKNQYIDTLTPASQLRAGSLLSQPSQAQPVPSGSSNRSRSDHGQPHGCCCQSFQEPITGVLPSPSYPRSSGTSLRRGVTQATDAPVPSCSGPRLFGMPSTNSRRVVASRPSGHVNTGSSATSTLNQEIRQYNRIQTPVPIPATESHPSVTPQCQGGCVLFENSARRGATGVPMDCSHDSSINHPVLVFDDTDCSSNKSSTSASDKSVQLYNPPGLPPSSLNEEQIHQMDPLSPPSAFRCSPSSGCSSGSVSGSYMPVPVPCSKKVPGQELFPHSFFAEFDMRDKQNRQLLGLLLNALYPSTERPISPMLSRNKEYFMRLDQPSNAQFPPKGVLCQYIVTGISWLDAKADYHQSMIVEPAMYMPSGCLTAAMMNSHLYRAFGFDAIKIRIGSVSSKRRNAYSLLINQPKLDRHIIGKSHLIRMAAAIQHLFVRITDESLTGKAQLYDALDGGNCYEMVLFVSNPLEQVDYLAVINEHQLEKLIKIEKGLLIQSKSLNKKNISKIAVEWDTKQRHRGRFVVAFAGHGVLDRKSYEFDGVVEM
+>sp|C9J7I0|UMAD1_HUMAN UBAP1-MVB12-associated (UMA)-domain containing protein 1 OS=Homo sapiens OX=9606 GN=UMAD1 PE=2 SV=2
+MFHFFRKPPESKKPSVPETEADGFVLLGDTTDEQRMTARGKTSDIEANQPLETNKENSSSVTVSDPEMENKAGQTLENSSLMAELLSDVPFTLAPHVLAVQGTITDLPDHLLSYDGSENLSRFWYDFTLENSVLCDS
+>DECOY_sp|C9J7I0|UMAD1_HUMAN UBAP1-MVB12-associated (UMA)-domain containing protein 1 OS=Homo sapiens OX=9606 GN=UMAD1 PE=2 SV=2
+SDCLVSNELTFDYWFRSLNESGDYSLLHDPLDTITGQVALVHPALTFPVDSLLEAMLSSNELTQGAKNEMEPDSVTVSSSNEKNTELPQNAEIDSTKGRATMRQEDTTDGLLVFGDAETEPVSPKKSEPPKRFFHFM
+>sp|O14795|UN13B_HUMAN Protein unc-13 homolog B OS=Homo sapiens OX=9606 GN=UNC13B PE=1 SV=2
+MSLLCVRVKRAKFQGSPDKFNTYVTLKVQNVKSTTVAVRGDQPSWEQDFMFEISRLDLGLSVEVWNKGLIWDTMVGTVWIALKTIRQSDEEGPGEWSTLEAETLMKDDEICGTRNPTPHKILLDTRFELPFDIPEEEARYWTYKWEQINALGADNEYSSQEESQRKPLPTAAAQCSFEDPDSAVDDRDSDYRSETSNSFPPPYHTASQPNASVHQFPVPVRSPQQLLLQGSSRDSCNDSMQSYDLDYPERRAISPTSSSRYGSSCNVSQGSSQLSELDQYHEQDDDHRETDSIHSCHSSHSLSRDGQAGFGEQEKPLEVTGQAEKEAACEPKEMKEDATTHPPPDLVLQKDHFLGPQESFPEENASSPFTQARAHWIRAVTKVRLQLQEIPDDGDPSLPQWLPEGPAGGLYGIDSMPDLRRKKPLPLVSDLSLVQSRKAGITSAMATRTSLKDEELKSHVYKKTLQALIYPISCTTPHNFEVWTATTPTYCYECEGLLWGIARQGMRCSECGVKCHEKCQDLLNADCLQRAAEKSCKHGAEDRTQNIIMAMKDRMKIRERNKPEIFEVIRDVFTVNKAAHVQQMKTVKQSVLDGTSKWSAKITITVVCAQGLQAKDKTGSSDPYVTVQVSKTKKRTKTIFGNLNPVWEEKFHFECHNSSDRIKVRVWDEDDDIKSRVKQRLKRESDDFLGQTIIEVRTLSGEMDVWYNLEKRTDKSAVSGAIRLQISVEIKGEEKVAPYHVQYTCLHENLFHYLTDIQGSGGVRIPEARGDDAWKVYFDETAQEIVDEFAMRYGIESIYQAMTHFACLSSKYMCPGVPAVMSTLLANINAYYAHTTASTNVSASDRFAASNFGKERFVKLLDQLHNSLRIDLSTYRNNFPAGSPERLQDLKSTVDLLTSITFFRMKVQELQSPPRASQVVKDCVKACLNSTYEYIFNNCHDLYSRQYQLKQELPPEEQGPSIRNLDFWPKLITLIVSIIEEDKNSYTPVLNQFPQELNVGKVSAEVMWHLFAQDMKYALEEHEKDHLCKSADYMNLHFKVKWLHNEYVRDLPVLQGQVPEYPAWFEQFVLQWLDENEDVSLEFLRGALERDKKDGFQQTSEHALFSCSVVDVFTQLNQSFEIIRKLECPDPSILAHYMRRFAKTIGKVLMQYADILSKDFPAYCTKEKLPCILMNNVQQLRVQLEKMFEAMGGKELDLEAADSLKELQVKLNTVLDELSMVFGNSFQVRIDECVRQMADILGQVRGTGNASPDARASAAQDADSVLRPLMDFLDGNLTLFATVCEKTVLKRVLKELWRVVMNTMERMIVLPPLTDQTGTQLIFTAAKELSHLSKLKDHMVREETRNLTPKQCAVLDLALDTIKQYFHAGGNGLKKTFLEKSPDLQSLRYALSLYTQTTDTLIKTFVRSQTTQGSGVDDPVGEVSIQVDLFTHPGTGEHKVTVKVVAANDLKWQTAGMFRPFVEVTMVGPHQSDKKRKFTTKSKSNNWAPKYNETFHFLLGNEEGPESYELQICVKDYCFAREDRVLGLAVMPLRDVTAKGSCACWCPLGRKIHMDETGLTILRILSQRSNDEVAREFVKLKSESRSTEEGS
+>DECOY_sp|O14795|UN13B_HUMAN Protein unc-13 homolog B OS=Homo sapiens OX=9606 GN=UNC13B PE=1 SV=2
+SGEETSRSESKLKVFERAVEDNSRQSLIRLITLGTEDMHIKRGLPCWCACSGKATVDRLPMVALGLVRDERAFCYDKVCIQLEYSEPGEENGLLFHFTENYKPAWNNSKSKTTFKRKKDSQHPGVMTVEVFPRFMGATQWKLDNAAVVKVTVKHEGTGPHTFLDVQISVEGVPDDVGSGQTTQSRVFTKILTDTTQTYLSLAYRLSQLDPSKELFTKKLGNGGAHFYQKITDLALDLVACQKPTLNRTEERVMHDKLKSLHSLEKAATFILQTGTQDTLPPLVIMREMTNMVVRWLEKLVRKLVTKECVTAFLTLNGDLFDMLPRLVSDADQAASARADPSANGTGRVQGLIDAMQRVCEDIRVQFSNGFVMSLEDLVTNLKVQLEKLSDAAELDLEKGGMAEFMKELQVRLQQVNNMLICPLKEKTCYAPFDKSLIDAYQMLVKGITKAFRRMYHALISPDPCELKRIIEFSQNLQTFVDVVSCSFLAHESTQQFGDKKDRELAGRLFELSVDENEDLWQLVFQEFWAPYEPVQGQLVPLDRVYENHLWKVKFHLNMYDASKCLHDKEHEELAYKMDQAFLHWMVEASVKGVNLEQPFQNLVPTYSNKDEEIISVILTILKPWFDLNRISPGQEEPPLEQKLQYQRSYLDHCNNFIYEYTSNLCAKVCDKVVQSARPPSQLEQVKMRFFTISTLLDVTSKLDQLREPSGAPFNNRYTSLDIRLSNHLQDLLKVFREKGFNSAAFRDSASVNTSATTHAYYANINALLTSMVAPVGPCMYKSSLCAFHTMAQYISEIGYRMAFEDVIEQATEDFYVKWADDGRAEPIRVGGSGQIDTLYHFLNEHLCTYQVHYPAVKEEGKIEVSIQLRIAGSVASKDTRKELNYWVDMEGSLTRVEIITQGLFDDSERKLRQKVRSKIDDDEDWVRVKIRDSSNHCEFHFKEEWVPNLNGFITKTRKKTKSVQVTVYPDSSGTKDKAQLGQACVVTITIKASWKSTGDLVSQKVTKMQQVHAAKNVTFVDRIVEFIEPKNRERIKMRDKMAMIINQTRDEAGHKCSKEAARQLCDANLLDQCKEHCKVGCESCRMGQRAIGWLLGECEYCYTPTTATWVEFNHPTTCSIPYILAQLTKKYVHSKLEEDKLSTRTAMASTIGAKRSQVLSLDSVLPLPKKRRLDPMSDIGYLGGAPGEPLWQPLSPDGDDPIEQLQLRVKTVARIWHARAQTFPSSANEEPFSEQPGLFHDKQLVLDPPPHTTADEKMEKPECAAEKEAQGTVELPKEQEGFGAQGDRSLSHSSHCSHISDTERHDDDQEHYQDLESLQSSGQSVNCSSGYRSSSTPSIARREPYDLDYSQMSDNCSDRSSGQLLLQQPSRVPVPFQHVSANPQSATHYPPPFSNSTESRYDSDRDDVASDPDEFSCQAAATPLPKRQSEEQSSYENDAGLANIQEWKYTWYRAEEEPIDFPLEFRTDLLIKHPTPNRTGCIEDDKMLTEAELTSWEGPGEEDSQRITKLAIWVTGVMTDWILGKNWVEVSLGLDLRSIEFMFDQEWSPQDGRVAVTTSKVNQVKLTVYTNFKDPSGQFKARKVRVCLLSM
+>sp|Q9NVA1|UQCC1_HUMAN Ubiquinol-cytochrome-c reductase complex assembly factor 1 OS=Homo sapiens OX=9606 GN=UQCC1 PE=1 SV=3
+MALLVRVLRNQTSISQWVPVCSRLIPVSPTQGQGDRALSRTSQWPQMSQSRACGGSEQIPGIDIQLNRKYHTTRKLSTTKDSPQPVEEKVGAFTKIIEAMGFTGPLKYSKWKIKIAALRMYTSCVEKTDFEEFFLRCQMPDTFNSWFLITLLHVWMCLVRMKQEGRSGKYMCRIIVHFMWEDVQQRGRVMGVNPYILKKNMILMTNHFYAAILGYDEGILSDDHGLAAALWRTFFNRKCEDPRHLELLVEYVRKQIQYLDSMNGEDLLLTGEVSWRPLVEKNPQSILKPHSPTYNDEGL
+>DECOY_sp|Q9NVA1|UQCC1_HUMAN Ubiquinol-cytochrome-c reductase complex assembly factor 1 OS=Homo sapiens OX=9606 GN=UQCC1 PE=1 SV=3
+LGEDNYTPSHPKLISQPNKEVLPRWSVEGTLLLDEGNMSDLYQIQKRVYEVLLELHRPDECKRNFFTRWLAAALGHDDSLIGEDYGLIAAYFHNTMLIMNKKLIYPNVGMVRGRQQVDEWMFHVIIRCMYKGSRGEQKMRVLCMWVHLLTILFWSNFTDPMQCRLFFEEFDTKEVCSTYMRLAAIKIKWKSYKLPGTFGMAEIIKTFAGVKEEVPQPSDKTTSLKRTTHYKRNLQIDIGPIQESGGCARSQSMQPWQSTRSLARDGQGQTPSVPILRSCVPVWQSISTQNRLVRVLLAM
+>sp|Q9NX07|TSAP1_HUMAN tRNA selenocysteine 1-associated protein 1 OS=Homo sapiens OX=9606 GN=TRNAU1AP PE=1 SV=1
+MAASLWMGDLEPYMDENFISRAFATMGETVMSVKIIRNRLTGIPAGYCFVEFADLATAEKCLHKINGKPLPGATPAKRFKLNYATYGKQPDNSPEYSLFVGDLTPDVDDGMLYEFFVKVYPSCRGGKVVLDQTGVSKGYGFVKFTDELEQKRALTECQGAVGLGSKPVRLSVAIPKASRVKPVEYSQMYSYSYNQYYQQYQNYYAQWGYDQNTGSYSYSYPQYGYTQSTMQTYEEVGDDALEDPMPQLDVTEANKEFMEQSEELYDALMDCHWQPLDTVSSEIPAMM
+>DECOY_sp|Q9NX07|TSAP1_HUMAN tRNA selenocysteine 1-associated protein 1 OS=Homo sapiens OX=9606 GN=TRNAU1AP PE=1 SV=1
+MMAPIESSVTDLPQWHCDMLADYLEESQEMFEKNAETVDLQPMPDELADDGVEEYTQMTSQTYGYQPYSYSYSGTNQDYGWQAYYNQYQQYYQNYSYSYMQSYEVPKVRSAKPIAVSLRVPKSGLGVAGQCETLARKQELEDTFKVFGYGKSVGTQDLVVKGGRCSPYVKVFFEYLMGDDVDPTLDGVFLSYEPSNDPQKGYTAYNLKFRKAPTAGPLPKGNIKHLCKEATALDAFEVFCYGAPIGTLRNRIIKVSMVTEGMTAFARSIFNEDMYPELDGMWLSAAM
+>sp|Q92574|TSC1_HUMAN Hamartin OS=Homo sapiens OX=9606 GN=TSC1 PE=1 SV=2
+MAQQANVGELLAMLDSPMLGVRDDVTAVFKENLNSDRGPMLVNTLVDYYLETSSQPALHILTTLQEPHDKHLLDRINEYVGKAATRLSILSLLGHVIRLQPSWKHKLSQAPLLPSLLKCLKMDTDVVVLTTGVLVLITMLPMIPQSGKQHLLDFFDIFGRLSSWCLKKPGHVAEVYLVHLHASVYALFHRLYGMYPCNFVSFLRSHYSMKENLETFEEVVKPMMEHVRIHPELVTGSKDHELDPRRWKRLETHDVVIECAKISLDPTEASYEDGYSVSHQISARFPHRSADVTTSPYADTQNSYGCATSTPYSTSRLMLLNMPGQLPQTLSSPSTRLITEPPQATLWSPSMVCGMTTPPTSPGNVPPDLSHPYSKVFGTTAGGKGTPLGTPATSPPPAPLCHSDDYVHISLPQATVTPPRKEERMDSARPCLHRQHHLLNDRGSEEPPGSKGSVTLSDLPGFLGDLASEEDSIEKDKEEAAISRELSEITTAEAEPVVPRGGFDSPFYRDSLPGSQRKTHSAASSSQGASVNPEPLHSSLDKLGPDTPKQAFTPIDLPCGSADESPAGDRECQTSLETSIFTPSPCKIPPPTRVGFGSGQPPPYDHLFEVALPKTAHHFVIRKTEELLKKAKGNTEEDGVPSTSPMEVLDRLIQQGADAHSKELNKLPLPSKSVDWTHFGGSPPSDEIRTLRDQLLLLHNQLLYERFKRQQHALRNRRLLRKVIKAAALEEHNAAMKDQLKLQEKDIQMWKVSLQKEQARYNQLQEQRDTMVTKLHSQIRQLQHDREEFYNQSQELQTKLEDCRNMIAELRIELKKANNKVCHTELLLSQVSQKLSNSESVQQQMEFLNRQLLVLGEVNELYLEQLQNKHSDTTKEVEMMKAAYRKELEKNRSHVLQQTQRLDTSQKRILELESHLAKKDHLLLEQKKYLEDVKLQARGQLQAAESRYEAQKRITQVFELEILDLYGRLEKDGLLKKLEEEKAEAAEAAEERLDCCNDGCSDSMVGHNEEASGHNGETKTPRPSSARGSSGSRGGGGSSSSSSELSTPEKPPHQRAGPFSSRWETTMGEASASIPTTVGSLPSSKSFLGMKARELFRNKSESQCDEDGMTSSLSESLKTELGKDLGVEAKIPLNLDGPHPSPPTPDSVGQLHIMDYNETHHEHS
+>DECOY_sp|Q92574|TSC1_HUMAN Hamartin OS=Homo sapiens OX=9606 GN=TSC1 PE=1 SV=2
+SHEHHTENYDMIHLQGVSDPTPPSPHPGDLNLPIKAEVGLDKGLETKLSESLSSTMGDEDCQSESKNRFLERAKMGLFSKSSPLSGVTTPISASAEGMTTEWRSSFPGARQHPPKEPTSLESSSSSSGGGGRSGSSGRASSPRPTKTEGNHGSAEENHGVMSDSCGDNCCDLREEAAEAAEAKEEELKKLLGDKELRGYLDLIELEFVQTIRKQAEYRSEAAQLQGRAQLKVDELYKKQELLLHDKKALHSELELIRKQSTDLRQTQQLVHSRNKELEKRYAAKMMEVEKTTDSHKNQLQELYLENVEGLVLLQRNLFEMQQQVSESNSLKQSVQSLLLETHCVKNNAKKLEIRLEAIMNRCDELKTQLEQSQNYFEERDHQLQRIQSHLKTVMTDRQEQLQNYRAQEKQLSVKWMQIDKEQLKLQDKMAANHEELAAAKIVKRLLRRNRLAHQQRKFREYLLQNHLLLLQDRLTRIEDSPPSGGFHTWDVSKSPLPLKNLEKSHADAGQQILRDLVEMPSTSPVGDEETNGKAKKLLEETKRIVFHHATKPLAVEFLHDYPPPQGSGFGVRTPPPIKCPSPTFISTELSTQCERDGAPSEDASGCPLDIPTFAQKPTDPGLKDLSSHLPEPNVSAGQSSSAASHTKRQSGPLSDRYFPSDFGGRPVVPEAEATTIESLERSIAAEEKDKEISDEESALDGLFGPLDSLTVSGKSGPPEESGRDNLLHHQRHLCPRASDMREEKRPPTVTAQPLSIHVYDDSHCLPAPPPSTAPTGLPTGKGGATTGFVKSYPHSLDPPVNGPSTPPTTMGCVMSPSWLTAQPPETILRTSPSSLTQPLQGPMNLLMLRSTSYPTSTACGYSNQTDAYPSTTVDASRHPFRASIQHSVSYGDEYSAETPDLSIKACEIVVDHTELRKWRRPDLEHDKSGTVLEPHIRVHEMMPKVVEEFTELNEKMSYHSRLFSVFNCPYMGYLRHFLAYVSAHLHVLYVEAVHGPKKLCWSSLRGFIDFFDLLHQKGSQPIMPLMTILVLVGTTLVVVDTDMKLCKLLSPLLPAQSLKHKWSPQLRIVHGLLSLISLRTAAKGVYENIRDLLHKDHPEQLTTLIHLAPQSSTELYYDVLTNVLMPGRDSNLNEKFVATVDDRVGLMPSDLMALLEGVNAQQAM
+>sp|P49815|TSC2_HUMAN Tuberin OS=Homo sapiens OX=9606 GN=TSC2 PE=1 SV=2
+MAKPTSKDSGLKEKFKILLGLGTPRPNPRSAEGKQTEFIITAEILRELSMECGLNNRIRMIGQICEVAKTKKFEEHAVEALWKAVADLLQPERPLEARHAVLALLKAIVQGQGERLGVLRALFFKVIKDYPSNEDLHERLEVFKALTDNGRHITYLEEELADFVLQWMDVGLSSEFLLVLVNLVKFNSCYLDEYIARMVQMICLLCVRTASSVDIEVSLQVLDAVVCYNCLPAESLPLFIVTLCRTINVKELCEPCWKLMRNLLGTHLGHSAIYNMCHLMEDRAYMEDAPLLRGAVFFVGMALWGAHRLYSLRNSPTSVLPSFYQAMACPNEVVSYEIVLSITRLIKKYRKELQVVAWDILLNIIERLLQQLQTLDSPELRTIVHDLLTTVEELCDQNEFHGSQERYFELVERCADQRPESSLLNLISYRAQSIHPAKDGWIQNLQALMERFFRSESRGAVRIKVLDVLSFVLLINRQFYEEELINSVVISQLSHIPEDKDHQVRKLATQLLVDLAEGCHTHHFNSLLDIIEKVMARSLSPPPELEERDVAAYSASLEDVKTAVLGLLVILQTKLYTLPASHATRVYEMLVSHIQLHYKHSYTLPIASSIRLQAFDFLLLLRADSLHRLGLPNKDGVVRFSPYCVCDYMEPERGSEKKTSGPLSPPTGPPGPAPAGPAVRLGSVPYSLLFRVLLQCLKQESDWKVLKLVLGRLPESLRYKVLIFTSPCSVDQLCSALCSMLSGPKTLERLRGAPEGFSRTDLHLAVVPVLTALISYHNYLDKTKQREMVYCLEQGLIHRCASQCVVALSICSVEMPDIIIKALPVLVVKLTHISATASMAVPLLEFLSTLARLPHLYRNFAAEQYASVFAISLPYTNPSKFNQYIVCLAHHVIAMWFIRCRLPFRKDFVPFITKGLRSNVLLSFDDTPEKDSFRARSTSLNERPKSLRIARPPKQGLNNSPPVKEFKESSAAEAFRCRSISVSEHVVRSRIQTSLTSASLGSADENSVAQADDSLKNLHLELTETCLDMMARYVFSNFTAVPKRSPVGEFLLAGGRTKTWLVGNKLVTVTTSVGTGTRSLLGLDSGELQSGPESSSSPGVHVRQTKEAPAKLESQAGQQVSRGARDRVRSMSGGHGLRVGALDVPASQFLGSATSPGPRTAPAAKPEKASAGTRVPVQEKTNLAAYVPLLTQGWAEILVRRPTGNTSWLMSLENPLSPFSSDINNMPLQELSNALMAAERFKEHRDTALYKSLSVPAASTAKPPPLPRSNTVASFSSLYQSSCQGQLHRSVSWADSAVVMEEGSPGEVPVLVEPPGLEDVEAALGMDRRTDAYSRSSSVSSQEEKSLHAEELVGRGIPIERVVSSEGGRPSVDLSFQPSQPLSKSSSSPELQTLQDILGDPGDKADVGRLSPEVKARSQSGTLDGESAAWSASGEDSRGQPEGPLPSSSPRSPSGLRPRGYTISDSAPSRRGKRVERDALKSRATASNAEKVPGINPSFVFLQLYHSPFFGDESNKPILLPNESQSFERSVQLLDQIPSYDTHKIAVLYVGEGQSNSELAILSNEHGSYRYTEFLTGLGRLIELKDCQPDKVYLGGLDVCGEDGQFTYCWHDDIMQAVFHIATLMPTKDVDKHRCDKKRHLGNDFVSIVYNDSGEDFKLGTIKGQFNFVHVIVTPLDYECNLVSLQCRKDMEGLVDTSVAKIVSDRNLPFVARQMALHANMASQVHHSRSNPTDIYPSKWIARLRHIKRLRQRICEEAAYSNPSLPLVHPPSHSKAPAQTPAEPTPGYEVGQRKRLISSVEDFTEFV
+>DECOY_sp|P49815|TSC2_HUMAN Tuberin OS=Homo sapiens OX=9606 GN=TSC2 PE=1 SV=2
+VFETFDEVSSILRKRQGVEYGPTPEAPTQAPAKSHSPPHVLPLSPNSYAAEECIRQRLRKIHRLRAIWKSPYIDTPNSRSHHVQSAMNAHLAMQRAVFPLNRDSVIKAVSTDVLGEMDKRCQLSVLNCEYDLPTVIVHVFNFQGKITGLKFDEGSDNYVISVFDNGLHRKKDCRHKDVDKTPMLTAIHFVAQMIDDHWCYTFQGDEGCVDLGGLYVKDPQCDKLEILRGLGTLFETYRYSGHENSLIALESNSQGEGVYLVAIKHTDYSPIQDLLQVSREFSQSENPLLIPKNSEDGFFPSHYLQLFVFSPNIGPVKEANSATARSKLADREVRKGRRSPASDSITYGRPRLGSPSRPSSSPLPGEPQGRSDEGSASWAASEGDLTGSQSRAKVEPSLRGVDAKDGPDGLIDQLTQLEPSSSSKSLPQSPQFSLDVSPRGGESSVVREIPIGRGVLEEAHLSKEEQSSVSSSRSYADTRRDMGLAAEVDELGPPEVLVPVEGPSGEEMVVASDAWSVSRHLQGQCSSQYLSSFSAVTNSRPLPPPKATSAAPVSLSKYLATDRHEKFREAAMLANSLEQLPMNNIDSSFPSLPNELSMLWSTNGTPRRVLIEAWGQTLLPVYAALNTKEQVPVRTGASAKEPKAAPATRPGPSTASGLFQSAPVDLAGVRLGHGGSMSRVRDRAGRSVQQGAQSELKAPAEKTQRVHVGPSSSSEPGSQLEGSDLGLLSRTGTGVSTTVTVLKNGVLWTKTRGGALLFEGVPSRKPVATFNSFVYRAMMDLCTETLELHLNKLSDDAQAVSNEDASGLSASTLSTQIRSRVVHESVSISRCRFAEAASSEKFEKVPPSNNLGQKPPRAIRLSKPRENLSTSRARFSDKEPTDDFSLLVNSRLGKTIFPVFDKRFPLRCRIFWMAIVHHALCVIYQNFKSPNTYPLSIAFVSAYQEAAFNRYLHPLRALTSLFELLPVAMSATASIHTLKVVLVPLAKIIIDPMEVSCISLAVVCQSACRHILGQELCYVMERQKTKDLYNHYSILATLVPVVALHLDTRSFGEPAGRLRELTKPGSLMSCLASCLQDVSCPSTFILVKYRLSEPLRGLVLKLVKWDSEQKLCQLLVRFLLSYPVSGLRVAPGAPAPGPPGTPPSLPGSTKKESGREPEMYDCVCYPSFRVVGDKNPLGLRHLSDARLLLLFDFAQLRISSAIPLTYSHKYHLQIHSVLMEYVRTAHSAPLTYLKTQLIVLLGLVATKVDELSASYAAVDREELEPPPSLSRAMVKEIIDLLSNFHHTHCGEALDVLLQTALKRVQHDKDEPIHSLQSIVVSNILEEEYFQRNILLVFSLVDLVKIRVAGRSESRFFREMLAQLNQIWGDKAPHISQARYSILNLLSSEPRQDACREVLEFYREQSGHFENQDCLEEVTTLLDHVITRLEPSDLTQLQQLLREIINLLIDWAVVQLEKRYKKILRTISLVIEYSVVENPCAMAQYFSPLVSTPSNRLSYLRHAGWLAMGVFFVAGRLLPADEMYARDEMLHCMNYIASHGLHTGLLNRMLKWCPECLEKVNITRCLTVIFLPLSEAPLCNYCVVADLVQLSVEIDVSSATRVCLLCIMQVMRAIYEDLYCSNFKVLNVLVLLFESSLGVDMWQLVFDALEEELYTIHRGNDTLAKFVELREHLDENSPYDKIVKFFLARLVGLREGQGQVIAKLLALVAHRAELPREPQLLDAVAKWLAEVAHEEFKKTKAVECIQGIMRIRNNLGCEMSLERLIEATIIFETQKGEASRPNPRPTGLGLLIKFKEKLGSDKSTPKAM
+>sp|P01222|TSHB_HUMAN Thyrotropin subunit beta OS=Homo sapiens OX=9606 GN=TSHB PE=1 SV=2
+MTALFLMSMLFGLTCGQAMSFCIPTEYTMHIERRECAYCLTINTTICAGYCMTRDINGKLFLPKYALSQDVCTYRDFIYRTVEIPGCPLHVAPYFSYPVALSCKCGKCNTDYSDCIHEAIKTNYCTKPQKSYLVGFSV
+>DECOY_sp|P01222|TSHB_HUMAN Thyrotropin subunit beta OS=Homo sapiens OX=9606 GN=TSHB PE=1 SV=2
+VSFGVLYSKQPKTCYNTKIAEHICDSYDTNCKGCKCSLAVPYSFYPAVHLPCGPIEVTRYIFDRYTCVDQSLAYKPLFLKGNIDRTMCYGACITTNITLCYACERREIHMTYETPICFSMAQGCTLGFLMSMLFLATM
+>sp|Q8NG11|TSN14_HUMAN Tetraspanin-14 OS=Homo sapiens OX=9606 GN=TSPAN14 PE=1 SV=1
+MHYYRYSNAKVSCWYKYLLFSYNIIFWLAGVVFLGVGLWAWSEKGVLSDLTKVTRMHGIDPVVLVLMVGVVMFTLGFAGCVGALRENICLLNFFCGTIVLIFFLELAVAVLAFLFQDWVRDRFREFFESNIKSYRDDIDLQNLIDSLQKANQCCGAYGPEDWDLNVYFNCSGASYSREKCGVPFSCCVPDPAQKVVNTQCGYDVRIQLKSKWDESIFTKGCIQALESWLPRNIYIVAGVFIAISLLQIFGIFLARTLISDIEAVKAGHHF
+>DECOY_sp|Q8NG11|TSN14_HUMAN Tetraspanin-14 OS=Homo sapiens OX=9606 GN=TSPAN14 PE=1 SV=1
+FHHGAKVAEIDSILTRALFIGFIQLLSIAIFVGAVIYINRPLWSELAQICGKTFISEDWKSKLQIRVDYGCQTNVVKQAPDPVCCSFPVGCKERSYSAGSCNFYVNLDWDEPGYAGCCQNAKQLSDILNQLDIDDRYSKINSEFFERFRDRVWDQFLFALVAVALELFFILVITGCFFNLLCINERLAGVCGAFGLTFMVVGVMLVLVVPDIGHMRTVKTLDSLVGKESWAWLGVGLFVVGALWFIINYSFLLYKYWCSVKANSYRYYHM
+>sp|O60637|TSN3_HUMAN Tetraspanin-3 OS=Homo sapiens OX=9606 GN=TSPAN3 PE=2 SV=1
+MGQCGITSSKTVLVFLNLIFWGAAGILCYVGAYVFITYDDYDHFFEDVYTLIPAVVIIAVGALLFIIGLIGCCATIRESRCGLATFVIILLLVFVTEVVVVVLGYVYRAKVENEVDRSIQKVYKTYNGTNPDAASRAIDYVQRQLHCCGIHNYSDWENTDWFKETKNQSVPLSCCRETASNCNGSLAHPSDLYAEGCEALVVKKLQEIMMHVIWAALAFAAIQLLGMLCACIVLCRRSRDPAYELLITGGTYA
+>DECOY_sp|O60637|TSN3_HUMAN Tetraspanin-3 OS=Homo sapiens OX=9606 GN=TSPAN3 PE=2 SV=1
+AYTGGTILLEYAPDRSRRCLVICACLMGLLQIAAFALAAWIVHMMIEQLKKVVLAECGEAYLDSPHALSGNCNSATERCCSLPVSQNKTEKFWDTNEWDSYNHIGCCHLQRQVYDIARSAADPNTGNYTKYVKQISRDVENEVKARYVYGLVVVVVETVFVLLLIIVFTALGCRSERITACCGILGIIFLLAGVAIIVVAPILTYVDEFFHDYDDYTIFVYAGVYCLIGAAGWFILNLFVLVTKSSTIGCQGM
+>sp|O14817|TSN4_HUMAN Tetraspanin-4 OS=Homo sapiens OX=9606 GN=TSPAN4 PE=1 SV=1
+MARACLQAVKYLMFAFNLLFWLGGCGVLGVGIWLAATQGSFATLSSSFPSLSAANLLIITGAFVMAIGFVGCLGAIKENKCLLLTFFLLLLLVFLLEATIAILFFAYTDKIDRYAQQDLKKGLHLYGTQGNVGLTNAWSIIQTDFRCCGVSNYTDWFEVYNATRVPDSCCLEFSESCGLHAPGTWWKAPCYETVKVWLQENLLAVGIFGLCTALVQILGLTFAMTMYCQVVKADTYCA
+>DECOY_sp|O14817|TSN4_HUMAN Tetraspanin-4 OS=Homo sapiens OX=9606 GN=TSPAN4 PE=1 SV=1
+ACYTDAKVVQCYMTMAFTLGLIQVLATCLGFIGVALLNEQLWVKVTEYCPAKWWTGPAHLGCSESFELCCSDPVRTANYVEFWDTYNSVGCCRFDTQIISWANTLGVNGQTGYLHLGKKLDQQAYRDIKDTYAFFLIAITAELLFVLLLLLFFTLLLCKNEKIAGLCGVFGIAMVFAGTIILLNAASLSPFSSSLTAFSGQTAALWIGVGLVGCGGLWFLLNFAFMLYKVAQLCARAM
+>sp|P62079|TSN5_HUMAN Tetraspanin-5 OS=Homo sapiens OX=9606 GN=TSPAN5 PE=1 SV=1
+MSGKHYKGPEVSCCIKYFIFGFNVIFWFLGITFLGIGLWAWNEKGVLSNISSITDLGGFDPVWLFLVVGGVMFILGFAGCIGALRENTFLLKFFSVFLGIIFFLELTAGVLAFVFKDWIKDQLYFFINNNIRAYRDDIDLQNLIDFTQEYWQCCGAFGADDWNLNIYFNCTDSNASRERCGVPFSCCTKDPAEDVINTQCGYDARQKPEVDQQIVIYTKGCVPQFEKWLQDNLTIVAGIFIGIALLQIFGICLAQNLVSDIEAVRASW
+>DECOY_sp|P62079|TSN5_HUMAN Tetraspanin-5 OS=Homo sapiens OX=9606 GN=TSPAN5 PE=1 SV=1
+WSARVAEIDSVLNQALCIGFIQLLAIGIFIGAVITLNDQLWKEFQPVCGKTYIVIQQDVEPKQRADYGCQTNIVDEAPDKTCCSFPVGCRERSANSDTCNFYINLNWDDAGFAGCCQWYEQTFDILNQLDIDDRYARINNNIFFYLQDKIWDKFVFALVGATLELFFIIGLFVSFFKLLFTNERLAGICGAFGLIFMVGGVVLFLWVPDFGGLDTISSINSLVGKENWAWLGIGLFTIGLFWFIVNFGFIFYKICCSVEPGKYHKGSM
+>sp|O75954|TSN9_HUMAN Tetraspanin-9 OS=Homo sapiens OX=9606 GN=TSPAN9 PE=1 SV=1
+MARGCLCCLKYMMFLFNLIFWLCGCGLLGVGIWLSVSQGNFATFSPSFPSLSAANLVIAIGTIVMVTGFLGCLGAIKENKCLLLSFFIVLLVILLAELILLILFFVYMDKVNENAKKDLKEGLLLYHTENNVGLKNAWNIIQAEMRCCGVTDYTDWYPVLGENTVPDRCCMENSQGCGRNATTPLWRTGCYEKVKMWFDDNKHVLGTVGMCILIMQILGMAFSMTLFQHIHRTGKKYDA
+>DECOY_sp|O75954|TSN9_HUMAN Tetraspanin-9 OS=Homo sapiens OX=9606 GN=TSPAN9 PE=1 SV=1
+ADYKKGTRHIHQFLTMSFAMGLIQMILICMGVTGLVHKNDDFWMKVKEYCGTRWLPTTANRGCGQSNEMCCRDPVTNEGLVPYWDTYDTVGCCRMEAQIINWANKLGVNNETHYLLLGEKLDKKANENVKDMYVFFLILLILEALLIVLLVIFFSLLLCKNEKIAGLCGLFGTVMVITGIAIVLNAASLSPFSPSFTAFNGQSVSLWIGVGLLGCGCLWFILNFLFMMYKLCCLCGRAM
+>sp|P35442|TSP2_HUMAN Thrombospondin-2 OS=Homo sapiens OX=9606 GN=THBS2 PE=1 SV=2
+MVWRLVLLALWVWPSTQAGHQDKDTTFDLFSISNINRKTIGAKQFRGPDPGVPAYRFVRFDYIPPVNADDLSKITKIMRQKEGFFLTAQLKQDGKSRGTLLALEGPGLSQRQFEIVSNGPADTLDLTYWIDGTRHVVSLEDVGLADSQWKNVTVQVAGETYSLHVGCDLIDSFALDEPFYEHLQAEKSRMYVAKGSARESHFRGLLQNVHLVFENSVEDILSKKGCQQGQGAEINAISENTETLRLGPHVTTEYVGPSSERRPEVCERSCEELGNMVQELSGLHVLVNQLSENLKRVSNDNQFLWELIGGPPKTRNMSACWQDGRFFAENETWVVDSCTTCTCKKFKTICHQITCPPATCASPSFVEGECCPSCLHSVDGEEGWSPWAEWTQCSVTCGSGTQQRGRSCDVTSNTCLGPSIQTRACSLSKCDTRIRQDGGWSHWSPWSSCSVTCGVGNITRIRLCNSPVPQMGGKNCKGSGRETKACQGAPCPIDGRWSPWSPWSACTVTCAGGIRERTRVCNSPEPQYGGKACVGDVQERQMCNKRSCPVDGCLSNPCFPGAQCSSFPDGSWSCGSCPVGFLGNGTHCEDLDECALVPDICFSTSKVPRCVNTQPGFHCLPCPPRYRGNQPVGVGLEAAKTEKQVCEPENPCKDKTHNCHKHAECIYLGHFSDPMYKCECQTGYAGDGLICGEDSDLDGWPNLNLVCATNATYHCIKDNCPHLPNSGQEDFDKDGIGDACDDDDDNDGVTDEKDNCQLLFNPRQADYDKDEVGDRCDNCPYVHNPAQIDTDNNGEGDACSVDIDGDDVFNERDNCPYVYNTDQRDTDGDGVGDHCDNCPLVHNPDQTDVDNDLVGDQCDNNEDIDDDGHQNNQDNCPYISNANQADHDRDGQGDACDPDDDNDGVPDDRDNCRLVFNPDQEDLDGDGRGDICKDDFDNDNIPDIDDVCPENNAISETDFRNFQMVPLDPKGTTQIDPNWVIRHQGKELVQTANSDPGIAVGFDEFGSVDFSGTFYVNTDRDDDYAGFVFGYQSSSRFYVVMWKQVTQTYWEDQPTRAYGYSGVSLKVVNSTTGTGEHLRNALWHTGNTPGQVRTLWHDPRNIGWKDYTAYRWHLTHRPKTGYIRVLVHEGKQVMADSGPIYDQTYAGGRLGLFVFSQEMVYFSDLKYECRDI
+>DECOY_sp|P35442|TSP2_HUMAN Thrombospondin-2 OS=Homo sapiens OX=9606 GN=THBS2 PE=1 SV=2
+IDRCEYKLDSFYVMEQSFVFLGLRGGAYTQDYIPGSDAMVQKGEHVLVRIYGTKPRHTLHWRYATYDKWGINRPDHWLTRVQGPTNGTHWLANRLHEGTGTTSNVVKLSVGSYGYARTPQDEWYTQTVQKWMVVYFRSSSQYGFVFGAYDDDRDTNVYFTGSFDVSGFEDFGVAIGPDSNATQVLEKGQHRIVWNPDIQTTGKPDLPVMQFNRFDTESIANNEPCVDDIDPINDNDFDDKCIDGRGDGDLDEQDPNFVLRCNDRDDPVGDNDDDPDCADGQGDRDHDAQNANSIYPCNDQNNQHGDDDIDENNDCQDGVLDNDVDTQDPNHVLPCNDCHDGVGDGDTDRQDTNYVYPCNDRENFVDDGDIDVSCADGEGNNDTDIQAPNHVYPCNDCRDGVEDKDYDAQRPNFLLQCNDKEDTVGDNDDDDDCADGIGDKDFDEQGSNPLHPCNDKICHYTANTACVLNLNPWGDLDSDEGCILGDGAYGTQCECKYMPDSFHGLYICEAHKHCNHTKDKCPNEPECVQKETKAAELGVGVPQNGRYRPPCPLCHFGPQTNVCRPVKSTSFCIDPVLACEDLDECHTGNGLFGVPCSGCSWSGDPFSSCQAGPFCPNSLCGDVPCSRKNCMQREQVDGVCAKGGYQPEPSNCVRTRERIGGACTVTCASWPSWPSWRGDIPCPAGQCAKTERGSGKCNKGGMQPVPSNCLRIRTINGVGCTVSCSSWPSWHSWGGDQRIRTDCKSLSCARTQISPGLCTNSTVDCSRGRQQTGSGCTVSCQTWEAWPSWGEEGDVSHLCSPCCEGEVFSPSACTAPPCTIQHCITKFKKCTCTTCSDVVWTENEAFFRGDQWCASMNRTKPPGGILEWLFQNDNSVRKLNESLQNVLVHLGSLEQVMNGLEECSRECVEPRRESSPGVYETTVHPGLRLTETNESIANIEAGQGQQCGKKSLIDEVSNEFVLHVNQLLGRFHSERASGKAVYMRSKEAQLHEYFPEDLAFSDILDCGVHLSYTEGAVQVTVNKWQSDALGVDELSVVHRTGDIWYTLDLTDAPGNSVIEFQRQSLGPGELALLTGRSKGDQKLQATLFFGEKQRMIKTIKSLDDANVPPIYDFRVFRYAPVGPDPGRFQKAGITKRNINSISFLDFTTDKDQHGAQTSPWVWLALLVLRWVM
+>sp|P49746|TSP3_HUMAN Thrombospondin-3 OS=Homo sapiens OX=9606 GN=THBS3 PE=1 SV=1
+METQELRGALALLLLCFFTSASQDLQVIDLLTVGESRQMVAVAEKIRTALLTAGDIYLLSTFRLPPKQGGVLFGLYSRQDNTRWLEASVVGKINKVLVRYQREDGKVHAVNLQQAGLADGRTHTVLLRLRGPSRPSPALHLYVDCKLGDQHAGLPALAPIPPAEVDGLEIRTGQKAYLRMQGFVESMKIILGGSMARVGALSECPFQGDESIHSAVTNALHSILGEQTKALVTQLTLFNQILVELRDDIRDQVKEMSLIRNTIMECQVCGFHEQRSHCSPNPCFRGVDCMEVYEYPGYRCGPCPPGLQGNGTHCSDINECAHADPCFPGSSCINTMPGFHCEACPRGYKGTQVSGVGIDYARASKQVCNDIDECNDGNNGGCDPNSICTNTVGSFKCGPCRLGFLGNQSQGCLPARTCHSPAHSPCHIHAHCLFERNGAVSCQCNVGWAGNGNVCGTDTDIDGYPDQALPCMDNNKHCKQDNCLLTPNSGQEDADNDGVGDQCDDDADGDGIKNVEDNCRLFPNKDQQNSDTDSFGDACDNCPNVPNNDQKDTDGNGEGDACDNDVDGDGIPNGLDNCPKVPNPLQTDRDEDGVGDACDSCPEMSNPTQTDADSDLVGDVCDTNEDSDGDGHQDTKDNCPQLPNSSQLDSDNDGLGDECDGDDDNDGIPDYVPPGPDNCRLVPNPNQKDSDGNGVGDVCEDDFDNDAVVDPLDVCPESAEVTLTDFRAYQTVVLDPEGDAQIDPNWVVLNQGMEIVQTMNSDPGLAVGYTAFNGVDFEGTFHVNTVTDDDYAGFLFSYQDSGRFYVVMWKQTEQTYWQATPFRAVAQPGLQLKAVTSVSGPGEHLRNALWHTGHTPDQVRLLWTDPRNVGWRDKTSYRWQLLHRPQVGYIRVKLYEGPQLVADSGVIIDTSMRGGRLGVFCFSQENIIWSNLQYRCNDTVPEDFEPFRRQLLQGRV
+>DECOY_sp|P49746|TSP3_HUMAN Thrombospondin-3 OS=Homo sapiens OX=9606 GN=THBS3 PE=1 SV=1
+VRGQLLQRRFPEFDEPVTDNCRYQLNSWIINEQSFCFVGLRGGRMSTDIIVGSDAVLQPGEYLKVRIYGVQPRHLLQWRYSTKDRWGVNRPDTWLLRVQDPTHGTHWLANRLHEGPGSVSTVAKLQLGPQAVARFPTAQWYTQETQKWMVVYFRGSDQYSFLFGAYDDDTVTNVHFTGEFDVGNFATYGVALGPDSNMTQVIEMGQNLVVWNPDIQADGEPDLVVTQYARFDTLTVEASEPCVDLPDVVADNDFDDECVDGVGNGDSDKQNPNPVLRCNDPGPPVYDPIGDNDDDGDCEDGLGDNDSDLQSSNPLQPCNDKTDQHGDGDSDENTDCVDGVLDSDADTQTPNSMEPCSDCADGVGDEDRDTQLPNPVKPCNDLGNPIGDGDVDNDCADGEGNGDTDKQDNNPVNPCNDCADGFSDTDSNQQDKNPFLRCNDEVNKIGDGDADDDCQDGVGDNDADEQGSNPTLLCNDQKCHKNNDMCPLAQDPYGDIDTDTGCVNGNGAWGVNCQCSVAGNREFLCHAHIHCPSHAPSHCTRAPLCGQSQNGLFGLRCPGCKFSGVTNTCISNPDCGGNNGDNCEDIDNCVQKSARAYDIGVGSVQTGKYGRPCAECHFGPMTNICSSGPFCPDAHACENIDSCHTGNGQLGPPCPGCRYGPYEYVEMCDVGRFCPNPSCHSRQEHFGCVQCEMITNRILSMEKVQDRIDDRLEVLIQNFLTLQTVLAKTQEGLISHLANTVASHISEDGQFPCESLAGVRAMSGGLIIKMSEVFGQMRLYAKQGTRIELGDVEAPPIPALAPLGAHQDGLKCDVYLHLAPSPRSPGRLRLLVTHTRGDALGAQQLNVAHVKGDERQYRVLVKNIKGVVSAELWRTNDQRSYLGFLVGGQKPPLRFTSLLYIDGATLLATRIKEAVAVMQRSEGVTLLDIVQLDQSASTFFCLLLLALAGRLEQTEM
+>sp|Q8N4P2|TT30B_HUMAN Tetratricopeptide repeat protein 30B OS=Homo sapiens OX=9606 GN=TTC30B PE=1 SV=2
+MAGLSGAQIPDGEFTAVVYRLIRNARYAEAVQLLGGELQRSPRSRAGLSLLGYCYYRLQEFALAAECYEQLGQLHPELEQYRLYQAQALYKACLYAEATRVAFLLLDNPAYHSRVLRLQAAIKYSEGDLPGSRSLVEQLPSREGGEESGGENETDGQINLGCLLYKEGQYEAACSKFFAALQASGYQPDLSYNLALAYYSSRQYASALKHIAEIIERGIRQHPELGVGMTTEGIDVRSVGNTLVLHQTALVEAFNLKAAIEYQLRNYEAAQEALTDMPPRAEEELDPVTLHNQALMNMDARPTEGFEKLQFLLQQNPFPPETFGNLLLLYCKYEYFDLAADVLAENAHLIYKFLTPYLYDFLDAVITCQTAPEEAFIKLDGLAGMLTEVLRKLTIQVQEARHNRDDEAIKKAVNEYDETMEKYIPVLMAQAKIYWNLENYPMVEKIFRKSVEFCNDHDVWKLNVAHVLFMQENKYKEAIGFYEPIVKKHYDNILNVSAIVLANLCVSYIMTSQNEEAEELMRKIEKEEEQLSYDDPDKKMYHLCIVNLVIGTLYCAKGNYDFGISRVIKSLEPYNKKLGTDTWYYAKRCFLSLLENMSKHTIMLRDSVIQECVQFLEHCELHGRNIPAVIEQPLEEERMHVGKNTVTYESRQLKALIYEIIGWNI
+>DECOY_sp|Q8N4P2|TT30B_HUMAN Tetratricopeptide repeat protein 30B OS=Homo sapiens OX=9606 GN=TTC30B PE=1 SV=2
+INWGIIEYILAKLQRSEYTVTNKGVHMREEELPQEIVAPINRGHLECHELFQVCEQIVSDRLMITHKSMNELLSLFCRKAYYWTDTGLKKNYPELSKIVRSIGFDYNGKACYLTGIVLNVICLHYMKKDPDDYSLQEEEKEIKRMLEEAEENQSTMIYSVCLNALVIASVNLINDYHKKVIPEYFGIAEKYKNEQMFLVHAVNLKWVDHDNCFEVSKRFIKEVMPYNELNWYIKAQAMLVPIYKEMTEDYENVAKKIAEDDRNHRAEQVQITLKRLVETLMGALGDLKIFAEEPATQCTIVADLFDYLYPTLFKYILHANEALVDAALDFYEYKCYLLLLNGFTEPPFPNQQLLFQLKEFGETPRADMNMLAQNHLTVPDLEEEARPPMDTLAEQAAEYNRLQYEIAAKLNFAEVLATQHLVLTNGVSRVDIGETTMGVGLEPHQRIGREIIEAIHKLASAYQRSSYYALALNYSLDPQYGSAQLAAFFKSCAAEYQGEKYLLCGLNIQGDTENEGGSEEGGERSPLQEVLSRSGPLDGESYKIAAQLRLVRSHYAPNDLLLFAVRTAEAYLCAKYLAQAQYLRYQELEPHLQGLQEYCEAALAFEQLRYYCYGLLSLGARSRPSRQLEGGLLQVAEAYRANRILRYVVATFEGDPIQAGSLGAM
+>sp|Q5VTQ0|TT39B_HUMAN Tetratricopeptide repeat protein 39B OS=Homo sapiens OX=9606 GN=TTC39B PE=1 SV=4
+MDAVLACRLRGRGNRVAALRPRPRPGGSAGPSPFALLCAGLSPEPRAGVGSEFPAWFLGGSSQRRNMALLGSRAELEADEDVFEDALETISISSHSDMATSSLHFASCDTQQAPRQRGASTVSSSSSTKVDLKSGLEECAVALNLFLSNKFTDALELLRPWAKESMYHALGYSTIVVLQAVLTFEQQDIQNGISAMKDALQTCQKYRKKYTVVESFSSLLSRGSLEQLSEEEMHAEICYAECLLQKAALTFVQDENMINFIKGGLKIRTSYQIYKECLSILHEIQKNKLQQEFFYEFEGGVKLGSGAFNLMLSLLPARIIRLLEFIGFSGNRELGLLQLREGASGRSMRSALCCLTILAFHTYISLILGTGEVNVAEAERLLAPFLQQFPNGSLVLFYHARIELLKGNLEEAQEVFQKCISVQEEWKQFHHLCYWELMWINVFQQNWMQAYYYSDLLCKESKWSKATYVFLKAAILSMLPEEDVVATNENVVTLFRQVDSLKQRIAGKSIPTEKFAVRKARRYSASLPAPVKLILPALEMMYVWNGFSIVSKRKDLSENLLVTVEKAEAALQSQNFNSFSVDDECLVKLLKGCCLKNLQRPLQAELCYNHVVESEKLLKYDHYLVPFTLFELASLYKSQGEIDKAIKFLETARNNYKDYSLESRLHFRIQAALHLWRKPSSD
+>DECOY_sp|Q5VTQ0|TT39B_HUMAN Tetratricopeptide repeat protein 39B OS=Homo sapiens OX=9606 GN=TTC39B PE=1 SV=4
+DSSPKRWLHLAAQIRFHLRSELSYDKYNNRATELFKIAKDIEGQSKYLSALEFLTFPVLYHDYKLLKESEVVHNYCLEAQLPRQLNKLCCGKLLKVLCEDDVSFSNFNQSQLAAEAKEVTVLLNESLDKRKSVISFGNWVYMMELAPLILKVPAPLSASYRRAKRVAFKETPISKGAIRQKLSDVQRFLTVVNENTAVVDEEPLMSLIAAKLFVYTAKSWKSEKCLLDSYYYAQMWNQQFVNIWMLEWYCLHHFQKWEEQVSICKQFVEQAEELNGKLLEIRAHYFLVLSGNPFQQLFPALLREAEAVNVEGTGLILSIYTHFALITLCCLASRMSRGSAGERLQLLGLERNGSFGIFELLRIIRAPLLSLMLNFAGSGLKVGGEFEYFFEQQLKNKQIEHLISLCEKYIQYSTRIKLGGKIFNIMNEDQVFTLAAKQLLCEAYCIEAHMEEESLQELSGRSLLSSFSEVVTYKKRYKQCTQLADKMASIGNQIDQQEFTLVAQLVVITSYGLAHYMSEKAWPRLLELADTFKNSLFLNLAVACEELGSKLDVKTSSSSSVTSAGRQRPAQQTDCSAFHLSSTAMDSHSSISITELADEFVDEDAELEARSGLLAMNRRQSSGGLFWAPFESGVGARPEPSLGACLLAFPSPGASGGPRPRPRLAAVRNGRGRLRCALVADM
+>sp|Q8NBP0|TTC13_HUMAN Tetratricopeptide repeat protein 13 OS=Homo sapiens OX=9606 GN=TTC13 PE=2 SV=3
+MAPAGCCCCCCFWGGAVAAAGAARRVLLLLLLGVLSAGLRPGALATEHYSPLSLLKQELQHRQQQEAPAGGGGCSPQSGDWGDQYSAECGESSFLNFHDSDCEPKGSSPCDSLLSLNTEKILSQAKSIAEQKRFPFATDNDSTNEELAIAYVLIGSGLYDEAIRHFSTMLQEEPDLVSAIYGRGIAYGKKGLHDIKNAELALFELSRVITLEPDRPEVFEQRAEILSPLGRINEAVNDLTKAIQLQPSARLYRHRGTLYFISEDYATAHEDFQQSLELNKNQPIAMLYKGLTFFHRGLLKEAIESFKEALKQKVDFIDAYKSLGQAYRELGNFEAATESFQKALLLNQNHVQTLQLRGMMLYHHGSLQEALKNFKRCLQLEPYNEVCQYMKGLSHVAMGQFYEGIKAQTKVMLNDPLPGQKASPEYLKVKYLREYSRYLHAHLDTPLTEYNIDVDLPGSFKDHWAKNLPFLIEDYEEQPGLQPHIKDVLHQNFESYKPEVQELICVADRLGSLMQYETPGFLPNKRIHRAMGLAALEVMQAVQRTWTNSKVRMNGKTRLMQWRDMFDIAVKWRRIADPDQPVLWLDQMPARSLSRGFNNHINLIRGQVINMRYLEYFEKILHFIKDRILVYHGANNPKGLLEVREALEKVHKVEDLLPIMKQFNTKTKDGFTVNTKVPSLKDQGKEYDGFTITITGDKVGNILFSVETQTTEERTQLYHAEIDALYKDLTAKGKVLILSSEFGEADAVCNLILSLVYYFYNLMPLSRGSSVIAYSVIVGALMASGKEVAGKIPKGKLVDFEAMTAPGSEAFSKVAKSWMNLKSISPSYKTLPSVSETFPTLRSMIEVLNTDSSPRCLKKL
+>DECOY_sp|Q8NBP0|TTC13_HUMAN Tetratricopeptide repeat protein 13 OS=Homo sapiens OX=9606 GN=TTC13 PE=2 SV=3
+LKKLCRPSSDTNLVEIMSRLTPFTESVSPLTKYSPSISKLNMWSKAVKSFAESGPATMAEFDVLKGKPIKGAVEKGSAMLAGVIVSYAIVSSGRSLPMLNYFYYVLSLILNCVADAEGFESSLILVKGKATLDKYLADIEAHYLQTREETTQTEVSFLINGVKDGTITITFGDYEKGQDKLSPVKTNVTFGDKTKTNFQKMIPLLDEVKHVKELAERVELLGKPNNAGHYVLIRDKIFHLIKEFYELYRMNIVQGRILNIHNNFGRSLSRAPMQDLWLVPQDPDAIRRWKVAIDFMDRWQMLRTKGNMRVKSNTWTRQVAQMVELAALGMARHIRKNPLFGPTEYQMLSGLRDAVCILEQVEPKYSEFNQHLVDKIHPQLGPQEEYDEILFPLNKAWHDKFSGPLDVDINYETLPTDLHAHLYRSYERLYKVKLYEPSAKQGPLPDNLMVKTQAKIGEYFQGMAVHSLGKMYQCVENYPELQLCRKFNKLAEQLSGHHYLMMGRLQLTQVHNQNLLLAKQFSETAAEFNGLERYAQGLSKYADIFDVKQKLAEKFSEIAEKLLGRHFFTLGKYLMAIPQNKNLELSQQFDEHATAYDESIFYLTGRHRYLRASPQLQIAKTLDNVAENIRGLPSLIEARQEFVEPRDPELTIVRSLEFLALEANKIDHLGKKGYAIGRGYIASVLDPEEQLMTSFHRIAEDYLGSGILVYAIALEENTSDNDTAFPFRKQEAISKAQSLIKETNLSLLSDCPSSGKPECDSDHFNLFSSEGCEASYQDGWDGSQPSCGGGGAPAEQQQRHQLEQKLLSLPSYHETALAGPRLGASLVGLLLLLLVRRAAGAAAVAGGWFCCCCCCGAPAM
+>sp|Q96N46|TTC14_HUMAN Tetratricopeptide repeat protein 14 OS=Homo sapiens OX=9606 GN=TTC14 PE=1 SV=1
+MDRDLLRQSLNCHGSSLLSLLRSEQQDNPHFRSLLGSAAEPARGPPPQHPLQGRKEKRVDNIEIQKFISKKADLLFALSWKSDAPATSEINEDSEDHYAIMPPLEQFMEIPSMDRRELFFRDIERGDIVIGRISSIREFGFFMVLICLGSGIMRDIAHLEITALCPLRDVPSHSNHGDPLSYYQTGDIIRAGIKDIDRYHEKLAVSLYSSSLPPHLSGIKLGVISSEELPLYYRRSVELNSNSLESYENVMQSSLGFVNPGVVEFLLEKLGIDESNPPSLMRGLQSKNFSEDDFASALRKKQSASWALKCVKIGVDYFKVGRHVDAMNEYNKALEIDKQNVEALVARGALYATKGSLNKAIEDFELALENCPTHRNARKYLCQTLVERGGQLEEEEKFLNAESYYKKALALDETFKDAEDALQKLHKYMQKSLELREKQAEKEEKQKTKKIETSAEKLRKLLKEEKRLKKKRRKSTSSSSVSSADESVSSSSSSSSSGHKRHKKHKRNRSESSRSSRRHSSRASSNQIDQNRKDECYPVPANTSASFLNHKQEVEKLLGKQDRLQYEKTQIKEKDRCPLSSSSLEIPDDFGGRSEDPRDFYNSYKTQAGSSKTEKPYKSERHFSSRRNSSDSFCRNSEDKIYGYRRFEKDIEGRKEHYRRWEPGSVRHSTSPASSEYSWKSVEKYKKYAHSGSRDFSRHEQRYRLNTNQGEYEREDNYGEDIKTEVPEEDALSSKEHSESSVKKNLPQNLLNIFNQIAEFEKEKGNKSKN
+>DECOY_sp|Q96N46|TTC14_HUMAN Tetratricopeptide repeat protein 14 OS=Homo sapiens OX=9606 GN=TTC14 PE=1 SV=1
+NKSKNGKEKEFEAIQNFINLLNQPLNKKVSSESHEKSSLADEEPVETKIDEGYNDEREYEGQNTNLRYRQEHRSFDRSGSHAYKKYKEVSKWSYESSAPSTSHRVSGPEWRRYHEKRGEIDKEFRRYGYIKDESNRCFSDSSNRRSSFHRESKYPKETKSSGAQTKYSNYFDRPDESRGGFDDPIELSSSSLPCRDKEKIQTKEYQLRDQKGLLKEVEQKHNLFSASTNAPVPYCEDKRNQDIQNSSARSSHRRSSRSSESRNRKHKKHRKHGSSSSSSSSSVSEDASSVSSSSTSKRRKKKLRKEEKLLKRLKEASTEIKKTKQKEEKEAQKERLELSKQMYKHLKQLADEADKFTEDLALAKKYYSEANLFKEEEELQGGREVLTQCLYKRANRHTPCNELALEFDEIAKNLSGKTAYLAGRAVLAEVNQKDIELAKNYENMADVHRGVKFYDVGIKVCKLAWSASQKKRLASAFDDESFNKSQLGRMLSPPNSEDIGLKELLFEVVGPNVFGLSSQMVNEYSELSNSNLEVSRRYYLPLEESSIVGLKIGSLHPPLSSSYLSVALKEHYRDIDKIGARIIDGTQYYSLPDGHNSHSPVDRLPCLATIELHAIDRMIGSGLCILVMFFGFERISSIRGIVIDGREIDRFFLERRDMSPIEMFQELPPMIAYHDESDENIESTAPADSKWSLAFLLDAKKSIFKQIEINDVRKEKRGQLPHQPPPGRAPEAASGLLSRFHPNDQQESRLLSLLSSGHCNLSQRLLDRDM
+>sp|Q5W5X9|TTC23_HUMAN Tetratricopeptide repeat protein 23 OS=Homo sapiens OX=9606 GN=TTC23 PE=1 SV=1
+MQESQETHISNHLDEVVAAVSITHRKKFQNKLLQTALFQPPREKLHLCEEKAKSYSNSHEYKQAVHELVRCVALTRICYGDSHWKLAEAHVNLAQGYLQLKGLSLQAKQHAEKARQILANSIVPPYSENTDVFKFSIELFHTMGRALLSLQKFKEAAENLTKAERLSKELLQCGRIIKEEWIEIEARIRLSFAQVYQGQKKSKEALSHYQAALEYVEISKGETSRECVPILRELAGVEQALGLHDVSINHFLQAHLIILSRSPSQVEAADSAHIVAHAAVASGRHEHHDVAEQYFQESMAHLKDSEGMGRTKFLSIQDEFCHFLQMTGQKERATSILRESLEAKVEAFGDFSPEVAETYRLLGGADLAQGNHSGARKKLKKCLQIQTLLYGPQDKRTLATQQAMGMLSTAPKVASKPRQASKAKVAFCTSIPQDTLLGKARPGTTAD
+>DECOY_sp|Q5W5X9|TTC23_HUMAN Tetratricopeptide repeat protein 23 OS=Homo sapiens OX=9606 GN=TTC23 PE=1 SV=1
+DATTGPRAKGLLTDQPISTCFAVKAKSAQRPKSAVKPATSLMGMAQQTALTRKDQPGYLLTQIQLCKKLKKRAGSHNGQALDAGGLLRYTEAVEPSFDGFAEVKAELSERLISTAREKQGTMQLFHCFEDQISLFKTRGMGESDKLHAMSEQFYQEAVDHHEHRGSAVAAHAVIHASDAAEVQSPSRSLIILHAQLFHNISVDHLGLAQEVGALERLIPVCERSTEGKSIEVYELAAQYHSLAEKSKKQGQYVQAFSLRIRAEIEIWEEKIIRGCQLLEKSLREAKTLNEAAEKFKQLSLLARGMTHFLEISFKFVDTNESYPPVISNALIQRAKEAHQKAQLSLGKLQLYGQALNVHAEALKWHSDGYCIRTLAVCRVLEHVAQKYEHSNSYSKAKEECLHLKERPPQFLATQLLKNQFKKRHTISVAAVVEDLHNSIHTEQSEQM
+>sp|Q8NA56|TTC29_HUMAN Tetratricopeptide repeat protein 29 OS=Homo sapiens OX=9606 GN=TTC29 PE=2 SV=2
+MTTLPPLPMTRPKLTALARQKLPCSSRKIPRSQLIKEKDDIDHYLEVNFKGLSKEEVAAYRNSYKKNICVDMLRDGYHKSFTELFALMERWDALREAARVRSLFWLQKPLEEQPDKLDYLYHYLTRAEDAERKESFEDVHNNLYALACYFNNSEDKWVRNHFYERCFKIAQLIKIDCGKKEAEAHMHMGLLYEEDGQLLEAAEHYEAFHQLTQGRIWKDETGRSLNLLACESLLRTYRLLSDKMLENKEYKQAIKILIKASEIAKEGSDKKMEAEASYYLGLAHLAAEEYETALTVLDTYCKISTDLDDDLSLGRGYEAIAKVLQSQGEMTEAIKYLKKFVKIARNNFQSLDLVRASTMLGDIYNEKGYYNKASECFQQAFDTTVELMSMPLMDETKVHYGIAKAHQMMLTVNNYIESADLTSLNYLLSWKESRGNIEPDPVTEEFRGSTVEAVSQNSERLEELSRFPGDQKNET
+>DECOY_sp|Q8NA56|TTC29_HUMAN Tetratricopeptide repeat protein 29 OS=Homo sapiens OX=9606 GN=TTC29 PE=2 SV=2
+TENKQDGPFRSLEELRESNQSVAEVTSGRFEETVPDPEINGRSEKWSLLYNLSTLDASEIYNNVTLMMQHAKAIGYHVKTEDMLPMSMLEVTTDFAQQFCESAKNYYGKENYIDGLMTSARVLDLSQFNNRAIKVFKKLYKIAETMEGQSQLVKAIAEYGRGLSLDDDLDTSIKCYTDLVTLATEYEEAALHALGLYYSAEAEMKKDSGEKAIESAKILIKIAQKYEKNELMKDSLLRYTRLLSECALLNLSRGTEDKWIRGQTLQHFAEYHEAAELLQGDEEYLLGMHMHAEAEKKGCDIKILQAIKFCREYFHNRVWKDESNNFYCALAYLNNHVDEFSEKREADEARTLYHYLYDLKDPQEELPKQLWFLSRVRAAERLADWREMLAFLETFSKHYGDRLMDVCINKKYSNRYAAVEEKSLGKFNVELYHDIDDKEKILQSRPIKRSSCPLKQRALATLKPRTMPLPPLTTM
+>sp|Q6PGP7|TTC37_HUMAN Tetratricopeptide repeat protein 37 OS=Homo sapiens OX=9606 GN=TTC37 PE=1 SV=1
+MSSKEVKTALKSARDAIRNKEYKEALKHCKTVLKQEKNNYNAWVFIGVAAAELEQPDQAQSAYKKAAELEPDQLLAWQGLANLYEKYNHINAKDDLPGVYQKLLDLYESVDKQKWCDVCKKLVDLYYQEKKHLEVARTWHKLIKTRQEQGAENEELHQLWRKLTQFLAESTEDQNNETQQLLFTAFENALGLSDKIPSEDHQVLYRHFIQSLSKFPHESARLKKACEGMINIYPTVQYPLEVLCLHLIESGNLTDEGQQYCCRLVEMDSKSGPGLIGLGIKALQDKKYEDAVRNLTEGLKESPVCTSGWYHLAEAQVKMHRPKEAVLSCSQALKIVDNLGASGNSLYQRNLCLHLKAEALIKLSDYDSSEEAIRTLDQISDADNIPGLLVLKSLAYRNKGSFDEAAKIMEDLLSSYPDLAEVHALEALIHFTKKDYLQAEKCFQRALEKDTEVAEYHYQLGLTYWFMGEETRKDKTKALTHFLKAARLDTYMGKVFCYLGHYYRDVVGDKNRARGCYRKAFELDDTDAESGAAAVDLSVELEDMEMALAILTTVTQKASAGTAKWAWLRRGLYYLKAGQHSQAVADLQAALRADPKDFNCWESLGEAYLSRGGYTTALKSFTKASELNPESIYSVFKVAAIQQILGKYKEAVAQYQMIIKKKEDYVPALKGLGECHLMMAKAALVDYLDGKAVDYIEKALEYFTCALQHRADVSCLWKLAGDACTCLYAVAPSKVNVHVLGVLLGQKEGKQVLKKNELLHLGGRCYGRALKLMSTSNTWCDLGINYYRQAQHLAETGSNMNDLKELLEKSLHCLKKAVRLDSNNHLYWNALGVVACYSGIGNYALAQHCFIKSIQSEQINAVAWTNLGVLYLTNENIEQAHEAFKMAQSLDPSYLMCWIGQALIAEAVGSYDTMDLFRHTTELNMHTEGALGYAYWVCTTLQDKSNRETELYQYNILQMNAIPAAQVILNKYVERIQNYAPAFTMLGYLNEHLQLKKEAANAYQRAILLLQTAEDQDTYNVAIRNYGRLLCSTGEYDKAIQAFKSTPLEVLEDIIGFALALFMKGLYKESSKAYERALSIVESEQDKAHILTALAITEYKQGKTDVAKTLLFKCSILKEPTTESLQALCALGLAMQDATLSKAALNELLKHIKHKDSNYQRCLLTSAIYALQGRSVAVQKQISKAVHSNPGDPALWSLLSRVVAQYAQRNAKGGVVAGNVAHILDSNHGKKALLYTAVNQLAMGSSSAEDEKNTALKTIQKAALLSPGDPAIWAGLMAACHADDKLALVNNTQPKRIDLYLALLSAVSASIKDEKFFENYNQSLEKWSLSQAVTGLIDTGRISEAETLCTKNLKSNPDQPAVILLLRQVQCKPLLESQKPLPDAVLEELQKTVMSNSTSVPAWQWLAHVYQSQGMMRAAEMCYRKSLQLASQRGSWSGKLSSLLRLALLALKVCMANISNDHWPSLVQEATTEALKLCFCPLAVLLQALLQFKRKMGARETRRLLERVVYQPGYPKSIASTARWYLLRHLYAKDDYELIDVLVNNAKTHGDTRALELNQRLSSQ
+>DECOY_sp|Q6PGP7|TTC37_HUMAN Tetratricopeptide repeat protein 37 OS=Homo sapiens OX=9606 GN=TTC37 PE=1 SV=1
+QSSLRQNLELARTDGHTKANNVLVDILEYDDKAYLHRLLYWRATSAISKPYGPQYVVRELLRRTERAGMKRKFQLLAQLLVALPCFCLKLAETTAEQVLSPWHDNSINAMCVKLALLALRLLSSLKGSWSGRQSALQLSKRYCMEAARMMGQSQYVHALWQWAPVSTSNSMVTKQLEELVADPLPKQSELLPKCQVQRLLLIVAPQDPNSKLNKTCLTEAESIRGTDILGTVAQSLSWKELSQNYNEFFKEDKISASVASLLALYLDIRKPQTNNVLALKDDAHCAAMLGAWIAPDGPSLLAAKQITKLATNKEDEASSSGMALQNVATYLLAKKGHNSDLIHAVNGAVVGGKANRQAYQAVVRSLLSWLAPDGPNSHVAKSIQKQVAVSRGQLAYIASTLLCRQYNSDKHKIHKLLENLAAKSLTADQMALGLACLAQLSETTPEKLISCKFLLTKAVDTKGQKYETIALATLIHAKDQESEVISLAREYAKSSEKYLGKMFLALAFGIIDELVELPTSKFAQIAKDYEGTSCLLRGYNRIAVNYTDQDEATQLLLIARQYANAAEKKLQLHENLYGLMTFAPAYNQIREVYKNLIVQAAPIANMQLINYQYLETERNSKDQLTTCVWYAYGLAGETHMNLETTHRFLDMTDYSGVAEAILAQGIWCMLYSPDLSQAMKFAEHAQEINENTLYLVGLNTWAVANIQESQISKIFCHQALAYNGIGSYCAVVGLANWYLHNNSDLRVAKKLCHLSKELLEKLDNMNSGTEALHQAQRYYNIGLDCWTNSTSMLKLARGYCRGGLHLLENKKLVQKGEKQGLLVGLVHVNVKSPAVAYLCTCADGALKWLCSVDARHQLACTFYELAKEIYDVAKGDLYDVLAAKAMMLHCEGLGKLAPVYDEKKKIIMQYQAVAEKYKGLIQQIAAVKFVSYISEPNLESAKTFSKLATTYGGRSLYAEGLSEWCNFDKPDARLAAQLDAVAQSHQGAKLYYLGRRLWAWKATGASAKQTVTTLIALAMEMDELEVSLDVAAAGSEADTDDLEFAKRYCGRARNKDGVVDRYYHGLYCFVKGMYTDLRAAKLFHTLAKTKDKRTEEGMFWYTLGLQYHYEAVETDKELARQFCKEAQLYDKKTFHILAELAHVEALDPYSSLLDEMIKAAEDFSGKNRYALSKLVLLGPINDADSIQDLTRIAEESSDYDSLKILAEAKLHLCLNRQYLSNGSAGLNDVIKLAQSCSLVAEKPRHMKVQAEALHYWGSTCVPSEKLGETLNRVADEYKKDQLAKIGLGILGPGSKSDMEVLRCCYQQGEDTLNGSEILHLCLVELPYQVTPYINIMGECAKKLRASEHPFKSLSQIFHRYLVQHDESPIKDSLGLANEFATFLLQQTENNQDETSEALFQTLKRWLQHLEENEAGQEQRTKILKHWTRAVELHKKEQYYLDVLKKCVDCWKQKDVSEYLDLLKQYVGPLDDKANIHNYKEYLNALGQWALLQDPELEAAKKYASQAQDPQELEAAAVGIFVWANYNNKEQKLVTKCHKLAEKYEKNRIADRASKLATKVEKSSM
+>sp|Q6P2S7|TTC41_HUMAN Putative tetratricopeptide repeat protein 41 OS=Homo sapiens OX=9606 GN=TTC41P PE=5 SV=3
+MSQKTNENVERYTQFLQKPQKPIQPYICSTLNDFQEERDFLANNIFPQLNELCNSWGTYFKAVDLSWSALKAPKSLPTHLFRQYSCLRSQRLKLCLDYVNSCFPFFICMLGQTYGDFLPDYSHFMTSKVTRLSSLSKVENLYVAAKNGYPWVLENPSCSLTEFEIIQAAFLNESQFQYFYFRTGTTLLKALDDEKKGERLPSSSSTNEEETLRIGKLKAKIISKGLPVRFYSDLHELGELVFKDWSVVIEKLHPATLMIENIDYKHSFERFYHEEFTEKCKQMCVISKESDRTFEILEKFALKDVELDFNNVAADSSLDSVPRFFRINPTPTYKSILLLSREHGCGKSTLIANWVNYFKKKHPSMLLIPHFVGSTCESSYIMSVIHYFITELQYRNYGTQLETDILNEDSDGLVFSFLVEVFIASISLKPCILVLDGIEELIGIYGISGQKVKDFSWLPHSLSPHCKFIMSTVSSSLSYKSLCARPDVRTVELISTGDEETKLNIFRKHLSIPMMDPFEQSTQALRKKPDLSPLKLTILANELKEYRINHNEFQCMKEYLEAVSVQELWELVLKRWIEDYSWTFQPKRANSDTVASGEGLDSWVADALCLLCLSHGGLAEDELLQLLDMLGYRNHYKVTALHWAAFRNATKQWVQEKPNGLLYFWHQSLSAVEHKLLGVITPVESSPCSFQTPMNHKKTHFHQVLIRYFQRQTSFWRVYQELPWHMKMSGCLRGLCGFLSSPTITDFISKIQSLGFWTRLHLIHFWNVLLEAGYDVSEAYLLSVAKIKADQCHTMRKSGTLSVLQCRLIELTVLDKCRLMFFIGSFLKFMGKTNEAEELFLSVEDMLVQSQSMTDMLLKVQNAIGELYLETGMTQEGFQYFQKAWSSMLRLSLSDLEDSRDLVKQKVRVLDNLAKSASEEYLKENHILEYATEISNLLDNNPRDQATMKYIEGVLMFVAGNTSLAKMKLRECLNIRKSLFGKKNMLVGEVMEFLADLLFFPQRDSKKSQRKQVLKYYKQVIKIKENAETLAKSSLLRKQLSISLSDTLCKLAGHLLASDSCHHVMIEAVGYLYRSVDLRVIHLGSSHSSIHGIHGILHLLREIEWIRSRRYWPQGMSQQHSEGSRNGFSLWEHLVKLNYHSAQSSNTVSSAMCMNADKLHRARRMDLAPQTISDKSKCAPGKGKKKPIICISAEEKIQRKTQNNAEIWNGSGKEASKKKTDYSSNILSLGKMNGLIKLSRQRILLAKSESGEGEITTIYHHPLPWPVSTKNPGESEFISEKWLFHSPDYISISQKSFLQRRLHIETKLLKTSNDINKE
+>DECOY_sp|Q6P2S7|TTC41_HUMAN Putative tetratricopeptide repeat protein 41 OS=Homo sapiens OX=9606 GN=TTC41P PE=5 SV=3
+EKNIDNSTKLLKTEIHLRRQLFSKQSISIYDPSHFLWKESIFESEGPNKTSVPWPLPHHYITTIEGEGSESKALLIRQRSLKILGNMKGLSLINSSYDTKKKSAEKGSGNWIEANNQTKRQIKEEASICIIPKKKGKGPACKSKDSITQPALDMRRARHLKDANMCMASSVTNSSQASHYNLKVLHEWLSFGNRSGESHQQSMGQPWYRRSRIWEIERLLHLIGHIGHISSHSSGLHIVRLDVSRYLYGVAEIMVHHCSDSALLHGALKCLTDSLSISLQKRLLSSKALTEANEKIKIVQKYYKLVQKRQSKKSDRQPFFLLDALFEMVEGVLMNKKGFLSKRINLCERLKMKALSTNGAVFMLVGEIYKMTAQDRPNNDLLNSIETAYELIHNEKLYEESASKALNDLVRVKQKVLDRSDELDSLSLRLMSSWAKQFYQFGEQTMGTELYLEGIANQVKLLMDTMSQSQVLMDEVSLFLEEAENTKGMFKLFSGIFFMLRCKDLVTLEILRCQLVSLTGSKRMTHCQDAKIKAVSLLYAESVDYGAELLVNWFHILHLRTWFGLSQIKSIFDTITPSSLFGCLGRLCGSMKMHWPLEQYVRWFSTQRQFYRILVQHFHTKKHNMPTQFSCPSSEVPTIVGLLKHEVASLSQHWFYLLGNPKEQVWQKTANRFAAWHLATVKYHNRYGLMDLLQLLEDEALGGHSLCLLCLADAVWSDLGEGSAVTDSNARKPQFTWSYDEIWRKLVLEWLEQVSVAELYEKMCQFENHNIRYEKLENALITLKLPSLDPKKRLAQTSQEFPDMMPISLHKRFINLKTEEDGTSILEVTRVDPRACLSKYSLSSSVTSMIFKCHPSLSHPLWSFDKVKQGSIGYIGILEEIGDLVLICPKLSISAIFVEVLFSFVLGDSDENLIDTELQTGYNRYQLETIFYHIVSMIYSSECTSGVFHPILLMSPHKKKFYNVWNAILTSKGCGHERSLLLISKYTPTPNIRFFRPVSDLSSDAAVNNFDLEVDKLAFKELIEFTRDSEKSIVCMQKCKETFEEHYFREFSHKYDINEIMLTAPHLKEIVVSWDKFVLEGLEHLDSYFRVPLGKSIIKAKLKGIRLTEEENTSSSSPLREGKKEDDLAKLLTTGTRFYFYQFQSENLFAAQIIEFETLSCSPNELVWPYGNKAAVYLNEVKSLSSLRTVKSTMFHSYDPLFDGYTQGLMCIFFPFCSNVYDLCLKLRQSRLCSYQRFLHTPLSKPAKLASWSLDVAKFYTGWSNCLENLQPFINNALFDREEQFDNLTSCIYPQIPKQPKQLFQTYREVNENTKQSM
+>sp|O95801|TTC4_HUMAN Tetratricopeptide repeat protein 4 OS=Homo sapiens OX=9606 GN=TTC4 PE=1 SV=3
+MEQPGQDPTSDDVMDSFLEKFQSQPYRGGFHEDQWEKEFEKVPLFMSRAPSEIDPRENPDLACLQSIIFDEERSPEEQAKTYKDEGNDYFKEKDYKKAVISYTEGLKKKCADPDLNAVLYTNRAAAQYYLGNFRSALNDVTAARKLKPCHLKAIIRGALCHLELKHFAEAVNWCDEGLQIDAKEKKLLEMRAKADKLKRIEQRDVRKANLKEKKERNQNEALLQAIKARNIRLSEAACEDEDSASEGLGELFLDGLSTENPHGARLSLDGQGRLSWPVLFLYPEYAQSDFISAFHEDSRFIDHLMVMFGETPSWDLEQKYCPDNLEVYFEDEDRAELYRVPAKSTLLQVLQHQRYFVKALTPAFLVCVGSSPFCKNFLRGRKVYQIR
+>DECOY_sp|O95801|TTC4_HUMAN Tetratricopeptide repeat protein 4 OS=Homo sapiens OX=9606 GN=TTC4 PE=1 SV=3
+RIQYVKRGRLFNKCFPSSGVCVLFAPTLAKVFYRQHQLVQLLTSKAPVRYLEARDEDEFYVELNDPCYKQELDWSPTEGFMVMLHDIFRSDEHFASIFDSQAYEPYLFLVPWSLRGQGDLSLRAGHPNETSLGDLFLEGLGESASDEDECAAESLRINRAKIAQLLAENQNREKKEKLNAKRVDRQEIRKLKDAKARMELLKKEKADIQLGEDCWNVAEAFHKLELHCLAGRIIAKLHCPKLKRAATVDNLASRFNGLYYQAAARNTYLVANLDPDACKKKLGETYSIVAKKYDKEKFYDNGEDKYTKAQEEPSREEDFIISQLCALDPNERPDIESPARSMFLPVKEFEKEWQDEHFGGRYPQSQFKELFSDMVDDSTPDQGPQEM
+>sp|Q8N6N2|TTC9B_HUMAN Tetratricopeptide repeat protein 9B OS=Homo sapiens OX=9606 GN=TTC9B PE=2 SV=1
+MQRGALSPVLMLSAAPEPPPRPPPALSPPGSGPGSGSRHGSARPGPTPEPSGSLGAALDSSLRAAVAFKAEGQRCYREKKFREAIGKYHRALLQLKAAQGARPSGLPAPAPGPTSSPGPARLSEEQRRLVESTEVECYDSLTACLLQSELVNYERVREYCLKVLEKQQGNFKATYRAGIAFYHLGDYARALRYLQEARSREPTDTNVLRYIQLTQLKMNRCSLQREDSGAGSQTRDVIG
+>DECOY_sp|Q8N6N2|TTC9B_HUMAN Tetratricopeptide repeat protein 9B OS=Homo sapiens OX=9606 GN=TTC9B PE=2 SV=1
+GIVDRTQSGAGSDERQLSCRNMKLQTLQIYRLVNTDTPERSRAEQLYRLARAYDGLHYFAIGARYTAKFNGQQKELVKLCYERVREYNVLESQLLCATLSDYCEVETSEVLRRQEESLRAPGPSSTPGPAPAPLGSPRAGQAAKLQLLARHYKGIAERFKKERYCRQGEAKFAVAARLSSDLAAGLSGSPEPTPGPRASGHRSGSGPGSGPPSLAPPPRPPPEPAASLMLVPSLAGRQM
+>sp|Q9UNY4|TTF2_HUMAN Transcription termination factor 2 OS=Homo sapiens OX=9606 GN=TTF2 PE=1 SV=2
+MEEVRCPEHGTFCFLKTGVRDGPNKGKSFYVCRADTCSFVRATDIPVSHCLLHEDFVVELQGLLLPQDKKEYRLFFRCIRSKAEGKRWCGSIPWQDPDSKEHSVSNKSQHASETFHHSSNWLRNPFKVLDKNQEPALWKQLIKGEGEEKKADKKQREKGDQLFDQKKEQKPEMMEKDLSSGLVPKKKQSVVQEKKQEEGAEIQCEAETGGTHKRDFSEIKSQQCQGNELTRPSASSQEKSSGKSQDVQRESEPLREKVTQLLPQNVHSHNSISKPQKGGPLNKEYTNWEAKETKAKDGPSIQATQKSLPQGHFQERPETHSVPAPGGPAAQAAPAAPGLSLGEGREAATSSDDEEEDDVVFVSSKPGSPLLFDSTLDLETKENLQFPDRSVQRKVSPASGVSKKVEPSDPVARRVYLTTQLKQKKSTLASVNIQALPDKGQKLIKQIQELEEVLSGLTLSPEQGTNEKSNSQVPQQSHFTKTTTGPPHLVPPQPLPRRGTQPVGSLELKSACQVTAGGSSQCYRGHTNQDHVHAVWKITSEAIGQLHRSLESCPGETVVAEDPAGLKVPLLLHQKQALAWLLWRESQKPQGGILADDMGLGKTLTMIALILTQKNQEKKEEKEKSTALTWLSKDDSCDFTSHGTLIICPASLIHHWKNEVEKRVNSNKLRVYLYHGPNRDSRARVLSTYDIVITTYSLVAKEIPTNKQEAEIPGANLNVEGTSTPLLRIAWARIILDEAHNVKNPRVQTSIAVCKLQACARWAVTGTPIQNNLLDMYSLLKFLRCSPFDEFNLWRSQVDNGSKKGGERLSILTKSLLLRRTKDQLDSTGRPLVILPQRKFQLHHLKLSEDEETVYNVFFARSRSALQSYLKRHESRGNQSGRSPNNPFSRVALEFGSEEPRHSEAADSPRSSTVHILSQLLRLRQCCCHLSLLKSALDPMELKGEGLVLSLEEQLSALTLSELRDSEPSSTVSLNGTFFKMELFEGMRESTKISSLLAELEAIQRNSASQKSVIVSQWTNMLKVVALHLKKHGLTYATIDGSVNPKQRMDLVEAFNHSRGPQVMLISLLAGGVGLNLTGGNHLFLLDMHWNPSLEDQACDRIYRVGQQKDVVIHRFVCEGTVEEKILQLQEKKKDLAKQVLSGSGESVTKLTLADLRVLFGI
+>DECOY_sp|Q9UNY4|TTF2_HUMAN Transcription termination factor 2 OS=Homo sapiens OX=9606 GN=TTF2 PE=1 SV=2
+IGFLVRLDALTLKTVSEGSGSLVQKALDKKKEQLQLIKEEVTGECVFRHIVVDKQQGVRYIRDCAQDELSPNWHMDLLFLHNGGTLNLGVGGALLSILMVQPGRSHNFAEVLDMRQKPNVSGDITAYTLGHKKLHLAVVKLMNTWQSVIVSKQSASNRQIAELEALLSSIKTSERMGEFLEMKFFTGNLSVTSSPESDRLESLTLASLQEELSLVLGEGKLEMPDLASKLLSLHCCCQRLRLLQSLIHVTSSRPSDAAESHRPEESGFELAVRSFPNNPSRGSQNGRSEHRKLYSQLASRSRAFFVNYVTEEDESLKLHHLQFKRQPLIVLPRGTSDLQDKTRRLLLSKTLISLREGGKKSGNDVQSRWLNFEDFPSCRLFKLLSYMDLLNNQIPTGTVAWRACAQLKCVAISTQVRPNKVNHAEDLIIRAWAIRLLPTSTGEVNLNAGPIEAEQKNTPIEKAVLSYTTIVIDYTSLVRARSDRNPGHYLYVRLKNSNVRKEVENKWHHILSAPCIILTGHSTFDCSDDKSLWTLATSKEKEEKKEQNKQTLILAIMTLTKGLGMDDALIGGQPKQSERWLLWALAQKQHLLLPVKLGAPDEAVVTEGPCSELSRHLQGIAESTIKWVAHVHDQNTHGRYCQSSGGATVQCASKLELSGVPQTGRRPLPQPPVLHPPGTTTKTFHSQQPVQSNSKENTGQEPSLTLGSLVEELEQIQKILKQGKDPLAQINVSALTSKKQKLQTTLYVRRAVPDSPEVKKSVGSAPSVKRQVSRDPFQLNEKTELDLTSDFLLPSGPKSSVFVVDDEEEDDSSTAAERGEGLSLGPAAPAAQAAPGGPAPVSHTEPREQFHGQPLSKQTAQISPGDKAKTEKAEWNTYEKNLPGGKQPKSISNHSHVNQPLLQTVKERLPESERQVDQSKGSSKEQSSASPRTLENGQCQQSKIESFDRKHTGGTEAECQIEAGEEQKKEQVVSQKKKPVLGSSLDKEMMEPKQEKKQDFLQDGKERQKKDAKKEEGEGKILQKWLAPEQNKDLVKFPNRLWNSSHHFTESAHQSKNSVSHEKSDPDQWPISGCWRKGEAKSRICRFFLRYEKKDQPLLLGQLEVVFDEHLLCHSVPIDTARVFSCTDARCVYFSKGKNPGDRVGTKLFCFTGHEPCRVEEM
+>sp|O95922|TTLL1_HUMAN Probable tubulin polyglutamylase TTLL1 OS=Homo sapiens OX=9606 GN=TTLL1 PE=2 SV=1
+MAGKVKWVTDIEKSVLINNFEKRGWVQVTENEDWNFYWMSVQTIRNVFSVEAGYRLSDDQIVNHFPNHYELTRKDLMVKNIKRYRKELEKEGSPLAEKDENGKYLYLDFVPVTYMLPADYNLFVEEFRKSPSSTWIMKPCGKAQGKGIFLINKLSQIKKWSRDSKTSSFVSQSNKEAYVISLYINNPLLIGGRKFDLRLYVLVSTYRPLRCYMYKLGFCRFCTVKYTPSTSELDNMFVHLTNVAIQKHGEDYNHIHGGKWTVSNLRLYLESTRGKEVTSKLFDEIHWIIVQSLKAVAPVMNNDKHCFECYGYDIIIDDKLKPWLIEVNASPSLTSSTANDRILKYNLINDTLNIAVPNGEIPDCKWNKSPPKEVLGNYEILYDEELAQGDGADRELRSRQGQSLGPRAGRSRDSGRAVLTTWK
+>DECOY_sp|O95922|TTLL1_HUMAN Probable tubulin polyglutamylase TTLL1 OS=Homo sapiens OX=9606 GN=TTLL1 PE=2 SV=1
+KWTTLVARGSDRSRGARPGLSQGQRSRLERDAGDGQALEEDYLIEYNGLVEKPPSKNWKCDPIEGNPVAINLTDNILNYKLIRDNATSSTLSPSANVEILWPKLKDDIIIDYGYCEFCHKDNNMVPAVAKLSQVIIWHIEDFLKSTVEKGRTSELYLRLNSVTWKGGHIHNYDEGHKQIAVNTLHVFMNDLESTSPTYKVTCFRCFGLKYMYCRLPRYTSVLVYLRLDFKRGGILLPNNIYLSIVYAEKNSQSVFSSTKSDRSWKKIQSLKNILFIGKGQAKGCPKMIWTSSPSKRFEEVFLNYDAPLMYTVPVFDLYLYKGNEDKEALPSGEKELEKRYRKINKVMLDKRTLEYHNPFHNVIQDDSLRYGAEVSFVNRITQVSMWYFNWDENETVQVWGRKEFNNILVSKEIDTVWKVKGAM
+>sp|Q9BTX7|TTPAL_HUMAN Alpha-tocopherol transfer protein-like OS=Homo sapiens OX=9606 GN=TTPAL PE=1 SV=2
+MSEESDSLRTSPSVASLSENELPPPPEPPGYVCSLTEDLVTKAREELQEKPEWRLRDVQALRDMVRKEYPNLSTSLDDAFLLRFLRARKFDYDRALQLLVNYHSCRRSWPEVFNNLKPSALKDVLASGFLTVLPHTDPRGCHVVCIRPDRWIPSNYPITENIRAIYLTLEKLIQSEETQVNGIVILADYKGVSLSKASHFGPFIAKKVIGILQDGFPIRIKAVHVVNEPRIFKGIFAIIKPFLKEKIANRFFLHGSDLNSLHTNLPRSILPKEYGGTAGELDTATWNAVLLASEDDFVKEFCQPVPACDSILGQTLLPEGLTSDAQCDDSLRAVKSQLYSCY
+>DECOY_sp|Q9BTX7|TTPAL_HUMAN Alpha-tocopherol transfer protein-like OS=Homo sapiens OX=9606 GN=TTPAL PE=1 SV=2
+YCSYLQSKVARLSDDCQADSTLGEPLLTQGLISDCAPVPQCFEKVFDDESALLVANWTATDLEGATGGYEKPLISRPLNTHLSNLDSGHLFFRNAIKEKLFPKIIAFIGKFIRPENVVHVAKIRIPFGDQLIGIVKKAIFPGFHSAKSLSVGKYDALIVIGNVQTEESQILKELTLYIARINETIPYNSPIWRDPRICVVHCGRPDTHPLVTLFGSALVDKLASPKLNNFVEPWSRRCSHYNVLLQLARDYDFKRARLFRLLFADDLSTSLNPYEKRVMDRLAQVDRLRWEPKEQLEERAKTVLDETLSCVYGPPEPPPPLENESLSAVSPSTRLSDSEESM
+>sp|Q9BZA0|TTY10_HUMAN Putative transcript Y 10 protein OS=Homo sapiens OX=9606 GN=TTTY10 PE=5 SV=1
+MKLQTLMDWEEAHEKNRKNKRKAEALVAALQTCRVQDPPGTSTDCYLLPVLKPGHFKKNCPSHKKKPP
+>DECOY_sp|Q9BZA0|TTY10_HUMAN Putative transcript Y 10 protein OS=Homo sapiens OX=9606 GN=TTTY10 PE=5 SV=1
+PPKKKHSPCNKKFHGPKLVPLLYCDTSTGPPDQVRCTQLAAVLAEAKRKNKRNKEHAEEWDMLTQLKM
+>sp|A0A578|TVB51_HUMAN T cell receptor beta variable 5-1 OS=Homo sapiens OX=9606 GN=TRBV5-1 PE=1 SV=1
+MGSRLLCWVLLCLLGAGPVKAGVTQTPRYLIKTRGQQVTLSCSPISGHRSVSWYQQTPGQGLQFLFEYFSETQRNKGNFPGRFSGRQFSNSRSEMNVSTLELGDSALYLCASSL
+>DECOY_sp|A0A578|TVB51_HUMAN T cell receptor beta variable 5-1 OS=Homo sapiens OX=9606 GN=TRBV5-1 PE=1 SV=1
+LSSACLYLASDGLELTSVNMESRSNSFQRGSFRGPFNGKNRQTESFYEFLFQLGQGPTQQYWSVSRHGSIPSCSLTVQQGRTKILYRPTQTVGAKVPGAGLLCLLVWCLLRSGM
+>sp|A0A0K0K1D8|TVB61_HUMAN T cell receptor beta variable 6-1 OS=Homo sapiens OX=9606 GN=TRBV6-1 PE=3 SV=3
+MSIGLLCCVAFSLLWASPVNAGVTQTPKFQVLKTGQSMTLQCAQDMNHNSMYWYRQDPGMGLRLIYYSASEGTTDKGEVPNGYNVSRLNKREFSLRLESAAPSQTSVYFCASSE
+>DECOY_sp|A0A0K0K1D8|TVB61_HUMAN T cell receptor beta variable 6-1 OS=Homo sapiens OX=9606 GN=TRBV6-1 PE=3 SV=3
+ESSACFYVSTQSPAASELRLSFERKNLRSVNYGNPVEGKDTTGESASYYILRLGMGPDQRYWYMSNHNMDQACQLTMSQGTKLVQFKPTQTVGANVPSAWLLSFAVCCLLGISM
+>sp|A0A0J9YX75|TVB69_HUMAN T cell receptor beta variable 6-9 OS=Homo sapiens OX=9606 GN=TRBV6-9 PE=3 SV=3
+MSIGLLCCVAFSLLWAGPVNAGVTQTPKFHILKTGQSMTLQCAQDMNHGYLSWYRQDPGMGLRRIHYSVAAGITDKGEVPDGYNVSRSNTEDFPLRLESAAPSQTSVYFCASSY
+>DECOY_sp|A0A0J9YX75|TVB69_HUMAN T cell receptor beta variable 6-9 OS=Homo sapiens OX=9606 GN=TRBV6-9 PE=3 SV=3
+YSSACFYVSTQSPAASELRLPFDETNSRSVNYGDPVEGKDTIGAAVSYHIRRLGMGPDQRYWSLYGHNMDQACQLTMSQGTKLIHFKPTQTVGANVPGAWLLSFAVCCLLGISM
+>sp|A0A0K0K1E9|TVB77_HUMAN T cell receptor beta variable 7-7 OS=Homo sapiens OX=9606 GN=TRBV7-7 PE=3 SV=3
+MGTSLLCWVVLGFLGTDHTGAGVSQSPRYKVTKRGQDVTLRCDPISSHATLYWYQQALGQGPEFLTYFNYEAQPDKSGLPSDRFSAERPEGSISTLTIQRTEQRDSAMYRCASSL
+>DECOY_sp|A0A0K0K1E9|TVB77_HUMAN T cell receptor beta variable 7-7 OS=Homo sapiens OX=9606 GN=TRBV7-7 PE=3 SV=3
+LSSACRYMASDRQETRQITLTSISGEPREASFRDSPLGSKDPQAEYNFYTLFEPGQGLAQQYWYLTAHSSIPDCRLTVDQGRKTVKYRPSQSVGAGTHDTGLFGLVVWCLLSTGM
+>sp|A0A0K0K1A3|TVBJ1_HUMAN T cell receptor beta variable 10-1 OS=Homo sapiens OX=9606 GN=TRBV10-1 PE=3 SV=3
+MGTRLFFYVALCLLWAGHRDAEITQSPRHKITETGRQVTLACHQTWNHNNMFWYRQDLGHGLRLIHYSYGVHDTNKGEVSDGYSVSRSNTEDLPLTLESAASSQTSVYFCASSE
+>DECOY_sp|A0A0K0K1A3|TVBJ1_HUMAN T cell receptor beta variable 10-1 OS=Homo sapiens OX=9606 GN=TRBV10-1 PE=3 SV=3
+ESSACFYVSTQSSAASELTLPLDETNSRSVSYGDSVEGKNTDHVGYSYHILRLGHGLDQRYWFMNNHNWTQHCALTVQRGTETIKHRPSQTIEADRHGAWLLCLAVYFFLRTGM
+>sp|A0A1B0GX78|TVBL5_HUMAN T cell receptor beta variable 12-5 OS=Homo sapiens OX=9606 GN=TRBV12-5 PE=3 SV=1
+MATRLLCCVVLCLLGEELIDARVTQTPRHKVTEMGQEVTMRCQPILGHNTVFWYRQTMMQGLELLAYFRNRAPLDDSGMPKDRFSAEMPDATLATLKIQPSEPRDSAVYFCASGL
+>DECOY_sp|A0A1B0GX78|TVBL5_HUMAN T cell receptor beta variable 12-5 OS=Homo sapiens OX=9606 GN=TRBV12-5 PE=3 SV=1
+LGSACFYVASDRPESPQIKLTALTADPMEASFRDKPMGSDDLPARNRFYALLELGQMMTQRYWFVTNHGLIPQCRMTVEQGMETVKHRPTQTVRADILEEGLLCLVVCCLLRTAM
+>sp|Q15672|TWST1_HUMAN Twist-related protein 1 OS=Homo sapiens OX=9606 GN=TWIST1 PE=1 SV=1
+MMQDVSSSPVSPADDSLSNSEEEPDRQQPPSGKRGGRKRRSSRRSAGGGAGPGGAAGGGVGGGDEPGSPAQGKRGKKSAGCGGGGGAGGGGGSSSGGGSPQSYEELQTQRVMANVRERQRTQSLNEAFAALRKIIPTLPSDKLSKIQTLKLAARYIDFLYQVLQSDELDSKMASCSYVAHERLSYAFSVWRMEGAWSMSASH
+>DECOY_sp|Q15672|TWST1_HUMAN Twist-related protein 1 OS=Homo sapiens OX=9606 GN=TWIST1 PE=1 SV=1
+HSASMSWAGEMRWVSFAYSLREHAVYSCSAMKSDLEDSQLVQYLFDIYRAALKLTQIKSLKDSPLTPIIKRLAAFAENLSQTRQRERVNAMVRQTQLEEYSQPSGGGSSSGGGGGAGGGGGCGASKKGRKGQAPSGPEDGGGVGGGAAGGPGAGGGASRRSSRRKRGGRKGSPPQQRDPEEESNSLSDDAPSVPSSSVDQMM
+>sp|A0A0J9YWL9|TX13C_HUMAN Putative testis-expressed protein 13C OS=Homo sapiens OX=9606 GN=TEX13C PE=5 SV=1
+MAMNFGDHASGFRHDDVIRFINNEVLRNGGSPAFYTAFRSRPWNEVEDRLRAIVADPRVPRAIKRACTWSALALSVQVAARQQEELLYQVWWLQGHVEECQATSWALTSQLQQLRLEHEEVATQLHLTQAALQQVLNERDGLCGRLLEVERSMQVYPMPQDFVPGPEAGQYGPVAGTLNAEQSEAVATEAQGMPHSEAQVAAPTAVYYMPEPQSGRVQGMQPLLLMQAPHPVPFHMPSPMGLPYSTPLPPPVVMESAAAIAPQMPPAGIYPPGLWATVGSQEETAPPWDQKCHGQDGYPENFQGVYHPGDNRSCNQKEGSECPQGMTSQGDSSSHSLKKDPVMQEGTAPPEFSRSHSLEKKPVMPKEMVPLGDSNSHSLKKDPVVPKEIVPIGDSNSHSLTKNPVVHKEMVSLGDSNSHSMKKDPVMPQKMVPLGDSNSHSLKKDPMMCQEMVPLGDSNSHSLKKDPVVAQGTAPLMYSRRHSQKKVPMMPKEMVPLGESHSHSLKKDLVVPKELVPLGDSKSHRMKKDPVMPQKMVPLGDSRSHSLKKDPVMPQNMIPLEDSNSHSLKKDPVMPQNMIPLEDSNSHSLKKDPMMHQEMVPLGDSNSHSLKKDPVVPQDTAPLMFSRRHSLKKVPVMPKEMVPLGDSHSLKKDPVMPQNMVPLEDSNSHSLKKDPVVPQGTAPLMFSRRHSLKKVPVMPKEMVPLGDSNSHSLKKDPVVPQGTAPLMFSRRHSLKKVPVMPKEMVPLGDSHSLKKDPVMPQNMVPLEDSNSHSLKKDPVVPQGTAPLTFSRRHSLKKVPVVPQGTASLGFSRIHSLKKELVMPEEMVPLGDSNSHSMKKDLVMPKEMVPLGDSNSHSLKKDPVVHQEVVSLGDSNSHSLKKHPVIPQGTASLRFSKSHSQKEDQERPQVTPLEDSKSHGVKNSPWKHQPQGQKVKEQKRKKASESQQQKPASCSSPVNWACPWCNAMNFPRNKVCSKCKRVRMPVENGSVDPA
+>DECOY_sp|A0A0J9YWL9|TX13C_HUMAN Putative testis-expressed protein 13C OS=Homo sapiens OX=9606 GN=TEX13C PE=5 SV=1
+APDVSGNEVPMRVRKCKSCVKNRPFNMANCWPCAWNVPSSCSAPKQQQSESAKKRKQEKVKQGQPQHKWPSNKVGHSKSDELPTVQPREQDEKQSHSKSFRLSATGQPIVPHKKLSHSNSDGLSVVEQHVVPDKKLSHSNSDGLPVMEKPMVLDKKMSHSNSDGLPVMEEPMVLEKKLSHIRSFGLSATGQPVVPVKKLSHRRSFTLPATGQPVVPDKKLSHSNSDELPVMNQPMVPDKKLSHSDGLPVMEKPMVPVKKLSHRRSFMLPATGQPVVPDKKLSHSNSDGLPVMEKPMVPVKKLSHRRSFMLPATGQPVVPDKKLSHSNSDELPVMNQPMVPDKKLSHSDGLPVMEKPMVPVKKLSHRRSFMLPATDQPVVPDKKLSHSNSDGLPVMEQHMMPDKKLSHSNSDELPIMNQPMVPDKKLSHSNSDELPIMNQPMVPDKKLSHSRSDGLPVMKQPMVPDKKMRHSKSDGLPVLEKPVVLDKKLSHSHSEGLPVMEKPMMPVKKQSHRRSYMLPATGQAVVPDKKLSHSNSDGLPVMEQCMMPDKKLSHSNSDGLPVMKQPMVPDKKMSHSNSDGLSVMEKHVVPNKTLSHSNSDGIPVIEKPVVPDKKLSHSNSDGLPVMEKPMVPKKELSHSRSFEPPATGEQMVPDKKLSHSSSDGQSTMGQPCESGEKQNCSRNDGPHYVGQFNEPYGDQGHCKQDWPPATEEQSGVTAWLGPPYIGAPPMQPAIAAASEMVVPPPLPTSYPLGMPSPMHFPVPHPAQMLLLPQMGQVRGSQPEPMYYVATPAAVQAESHPMGQAETAVAESQEANLTGAVPGYQGAEPGPVFDQPMPYVQMSREVELLRGCLGDRENLVQQLAAQTLHLQTAVEEHELRLQQLQSTLAWSTAQCEEVHGQLWWVQYLLEEQQRAAVQVSLALASWTCARKIARPVRPDAVIARLRDEVENWPRSRFATYFAPSGGNRLVENNIFRIVDDHRFGSAHDGFNMAM
+>sp|Q8N3L3|TXLNB_HUMAN Beta-taxilin OS=Homo sapiens OX=9606 GN=TXLNB PE=1 SV=3
+MEANHSEQLSAERQSTPPGDSSSLPSHNGLEKEDGQDSPTPVQPPEKEASVHPDISEELNRQLEDIINTYGSAASTAGKEGSARASEQPENAESPDNEDGDCEETTEEAGREPVASGEPPTVKEPVSNKEQKLEKKILKGLGKEANLLMQNLNKLQTPEEKFDFLFKKYAELLDEHRTEQKKLKLLQKKQVQIQKEKDQLQGEHSRAILARSKLESLCRELQRHNKTLKEEALQRAREEEEKRKEITSHFQSTLTDIQGQIEQQSERNMKLCQENTELAEKLKSIIDQYELREEHLDKIFKHRELQQKLVDAKLEQAQEMMKEAEERHKREKEYLLNQAAEWKLQAKVLKEQETVLQAQLTLYSGRFEEFQSTLTKSNEVFATFKQEMDKTTKKMKKLEKDTATWKARFENCNKALLDMIEEKALRAKEYECFVMKIGRLENLCRALQEERNELHKKIRDAEISEKDDQSQHNSDEEPESNVSVDQEIDAEEVNSVQTAVKNLATAFMIIHHPESTPHQSKETQPEIGSSQESADAALKEPEQPPLIPSRDSESPLPPLTPQAEAEGGSDAEPPSKASNSPAGLGAETQCEGLPVGAQADQASWKPEAEASGQAPQAPTEASLQKMEADVPAPACAAEEHVAAMVPACEPSRQPPRAAAEELPVGASAGPQPRNVADTNLEGVD
+>DECOY_sp|Q8N3L3|TXLNB_HUMAN Beta-taxilin OS=Homo sapiens OX=9606 GN=TXLNB PE=1 SV=3
+DVGELNTDAVNRPQPGASAGVPLEEAAARPPQRSPECAPVMAAVHEEAACAPAPVDAEMKQLSAETPAQPAQGSAEAEPKWSAQDAQAGVPLGECQTEAGLGAPSNSAKSPPEADSGGEAEAQPTLPPLPSESDRSPILPPQEPEKLAADASEQSSGIEPQTEKSQHPTSEPHHIIMFATALNKVATQVSNVEEADIEQDVSVNSEPEEDSNHQSQDDKESIEADRIKKHLENREEQLARCLNELRGIKMVFCEYEKARLAKEEIMDLLAKNCNEFRAKWTATDKELKKMKKTTKDMEQKFTAFVENSKTLTSQFEEFRGSYLTLQAQLVTEQEKLVKAQLKWEAAQNLLYEKERKHREEAEKMMEQAQELKADVLKQQLERHKFIKDLHEERLEYQDIISKLKEALETNEQCLKMNRESQQEIQGQIDTLTSQFHSTIEKRKEEEERARQLAEEKLTKNHRQLERCLSELKSRALIARSHEGQLQDKEKQIQVQKKQLLKLKKQETRHEDLLEAYKKFLFDFKEEPTQLKNLNQMLLNAEKGLGKLIKKELKQEKNSVPEKVTPPEGSAVPERGAEETTEECDGDENDPSEANEPQESARASGEKGATSAASGYTNIIDELQRNLEESIDPHVSAEKEPPQVPTPSDQGDEKELGNHSPLSSSDGPPTSQREASLQESHNAEM
+>sp|Q86VQ3|TXND2_HUMAN Thioredoxin domain-containing protein 2 OS=Homo sapiens OX=9606 GN=TXNDC2 PE=1 SV=4
+MDVDKELGMESVKAGASGKPEMRLGTQEETSEGDANESSLLVLSSNVPLLALEFLEIAQAKEKAFLPMVSHTFHMRTEESDASQEGDDLPKSSANTSHPKQDDSPKSSEETIQPKEGDIPKAPEETIQSKKEDLPKSSEKAIQPKESNIPKSSAKPIQPKLGNIPKASVKPSQPKEGDIPKAPEETIQSKKEDLPKSSEEAIQPKEGDIPKSSAKPIQPKLGNIAKTSVKPSQPKESDIPKSPEETIQPKEGDIPKSSAKPIQPKLGNIPKASVKPSQPKEGDISKSPEEAIQPKEGDLPKSLEEAIQPKEGDIPKSPEEAIQPKEGDIPKSLEEAIQPKEGDIPKSPEETIQPKKGDIPKSPEEAIQPKEGDIPKSPKQAIQPKEGDIPKSLEEAIPPKEIDIPKSPEETIQPKEDDSPKSLEEATPSKEGDILKPEEETMEFPEGDKVKVILSKEDFEASLKEAGERLVAVDFSATWCGPCRTIRPFFHALSVKHEDVVFLEVDADNCEEVVRECAIMCVPTFQFYKKEEKVDELCGALKEKLEAVIAELK
+>DECOY_sp|Q86VQ3|TXND2_HUMAN Thioredoxin domain-containing protein 2 OS=Homo sapiens OX=9606 GN=TXNDC2 PE=1 SV=4
+KLEAIVAELKEKLAGCLEDVKEEKKYFQFTPVCMIACERVVEECNDADVELFVVDEHKVSLAHFFPRITRCPGCWTASFDVAVLREGAEKLSAEFDEKSLIVKVKDGEPFEMTEEEPKLIDGEKSPTAEELSKPSDDEKPQITEEPSKPIDIEKPPIAEELSKPIDGEKPQIAQKPSKPIDGEKPQIAEEPSKPIDGKKPQITEEPSKPIDGEKPQIAEELSKPIDGEKPQIAEEPSKPIDGEKPQIAEELSKPLDGEKPQIAEEPSKSIDGEKPQSPKVSAKPINGLKPQIPKASSKPIDGEKPQITEEPSKPIDSEKPQSPKVSTKAINGLKPQIPKASSKPIDGEKPQIAEESSKPLDEKKSQITEEPAKPIDGEKPQSPKVSAKPINGLKPQIPKASSKPINSEKPQIAKESSKPLDEKKSQITEEPAKPIDGEKPQITEESSKPSDDQKPHSTNASSKPLDDGEQSADSEETRMHFTHSVMPLFAKEKAQAIELFELALLPVNSSLVLLSSENADGESTEEQTGLRMEPKGSAGAKVSEMGLEKDVDM
+>sp|Q6A555|TXND8_HUMAN Thioredoxin domain-containing protein 8 OS=Homo sapiens OX=9606 GN=TXNDC8 PE=1 SV=2
+MVQIIKDTNEFKTFLTAAGHKLAVVQFSSKRCGPCKRMFPVFHAMSVKYQNVFFANVDVNNSPELAETCHIKTIPTFQMFKKSQKVTLFSRIKRIICCYRSGFMSNLIFEFCGADAKKLEAKTQELM
+>DECOY_sp|Q6A555|TXND8_HUMAN Thioredoxin domain-containing protein 8 OS=Homo sapiens OX=9606 GN=TXNDC8 PE=1 SV=2
+MLEQTKAELKKADAGCFEFILNSMFGSRYCCIIRKIRSFLTVKQSKKFMQFTPITKIHCTEALEPSNNVDVNAFFVNQYKVSMAHFVPFMRKCPGCRKSSFQVVALKHGAATLFTKFENTDKIIQVM
+>sp|O43396|TXNL1_HUMAN Thioredoxin-like protein 1 OS=Homo sapiens OX=9606 GN=TXNL1 PE=1 SV=3
+MVGVKPVGSDPDFQPELSGAGSRLAVVKFTMRGCGPCLRIAPAFSSMSNKYPQAVFLEVDVHQCQGTAATNNISATPTFLFFRNKVRIDQYQGADAVGLEEKIKQHLENDPGSNEDTDIPKGYMDLMPFINKAGCECLNESDEHGFDNCLRKDTTFLESDCDEQLLITVAFNQPVKLYSMKFQGPDNGQGPKYVKIFINLPRSMDFEEAERSEPTQALELTEDDIKEDGIVPLRYVKFQNVNSVTIFVQSNQGEEETTRISYFTFIGTPVQATNMNDFKRVVGKKGESH
+>DECOY_sp|O43396|TXNL1_HUMAN Thioredoxin-like protein 1 OS=Homo sapiens OX=9606 GN=TXNL1 PE=1 SV=3
+HSEGKKGVVRKFDNMNTAQVPTGIFTFYSIRTTEEEGQNSQVFITVSNVNQFKVYRLPVIGDEKIDDETLELAQTPESREAEEFDMSRPLNIFIKVYKPGQGNDPGQFKMSYLKVPQNFAVTILLQEDCDSELFTTDKRLCNDFGHEDSENLCECGAKNIFPMLDMYGKPIDTDENSGPDNELHQKIKEELGVADAGQYQDIRVKNRFFLFTPTASINNTAATGQCQHVDVELFVAQPYKNSMSSFAPAIRLCPGCGRMTFKVVALRSGAGSLEPQFDPDSGVPKVGVM
+>sp|O14604|TYB4Y_HUMAN Thymosin beta-4, Y-chromosomal OS=Homo sapiens OX=9606 GN=TMSB4Y PE=1 SV=3
+MSDKPGMAEIEKFDKSKLKKTETQEKNPLSSKETIEQERQAGES
+>DECOY_sp|O14604|TYB4Y_HUMAN Thymosin beta-4, Y-chromosomal OS=Homo sapiens OX=9606 GN=TMSB4Y PE=1 SV=3
+SEGAQREQEITEKSSLPNKEQTETKKLKSKDFKEIEAMGPKDSM
+>sp|O95551|TYDP2_HUMAN Tyrosyl-DNA phosphodiesterase 2 OS=Homo sapiens OX=9606 GN=TDP2 PE=1 SV=1
+MELGSCLEGGREAAEEEGEPEVKKRRLLCVEFASVASCDAAVAQCFLAENDWEMERALNSYFEPPVEESALERRPETISEPKTYVDLTNEETTDSTTSKISPSEDTQQENGSMFSLITWNIDGLDLNNLSERARGVCSYLALYSPDVIFLQEVIPPYYSYLKKRSSNYEIITGHEEGYFTAIMLKKSRVKLKSQEIIPFPSTKMMRNLLCVHVNVSGNELCLMTSHLESTRGHAAERMNQLKMVLKKMQEAPESATVIFAGDTNLRDREVTRCGGLPNNIVDVWEFLGKPKHCQYTWDTQMNSNLGITAACKLRFDRIFFRAAAEEGHIIPRSLDLLGLEKLDCGRFPSDHWGLLCNLDIIL
+>DECOY_sp|O95551|TYDP2_HUMAN Tyrosyl-DNA phosphodiesterase 2 OS=Homo sapiens OX=9606 GN=TDP2 PE=1 SV=1
+LIIDLNCLLGWHDSPFRGCDLKELGLLDLSRPIIHGEEAAARFFIRDFRLKCAATIGLNSNMQTDWTYQCHKPKGLFEWVDVINNPLGGCRTVERDRLNTDGAFIVTASEPAEQMKKLVMKLQNMREAAHGRTSELHSTMLCLENGSVNVHVCLLNRMMKTSPFPIIEQSKLKVRSKKLMIATFYGEEHGTIIEYNSSRKKLYSYYPPIVEQLFIVDPSYLALYSCVGRARESLNNLDLGDINWTILSFMSGNEQQTDESPSIKSTTSDTTEENTLDVYTKPESITEPRRELASEEVPPEFYSNLAREMEWDNEALFCQAVAADCSAVSAFEVCLLRRKKVEPEGEEEAAERGGELCSGLEM
+>sp|P14679|TYRO_HUMAN Tyrosinase OS=Homo sapiens OX=9606 GN=TYR PE=1 SV=3
+MLLAVLYCLLWSFQTSAGHFPRACVSSKNLMEKECCPPWSGDRSPCGQLSGRGSCQNILLSNAPLGPQFPFTGVDDRESWPSVFYNRTCQCSGNFMGFNCGNCKFGFWGPNCTERRLLVRRNIFDLSAPEKDKFFAYLTLAKHTISSDYVIPIGTYGQMKNGSTPMFNDINIYDLFVWMHYYVSMDALLGGSEIWRDIDFAHEAPAFLPWHRLFLLRWEQEIQKLTGDENFTIPYWDWRDAEKCDICTDEYMGGQHPTNPNLLSPASFFSSWQIVCSRLEEYNSHQSLCNGTPEGPLRRNPGNHDKSRTPRLPSSADVEFCLSLTQYESGSMDKAANFSFRNTLEGFASPLTGIADASQSSMHNALHIYMNGTMSQVQGSANDPIFLLHHAFVDSIFEQWLRRHRPLQEVYPEANAPIGHNRESYMVPFIPLYRNGDFFISSKDLGYDYSYLQDSDPDSFQDYIKSYLEQASRIWSWLLGAAMVGAVLTALLAGLVSLLCRHKRKQLPEEKQPLLMEKEDYHSLYQSHL
+>DECOY_sp|P14679|TYRO_HUMAN Tyrosinase OS=Homo sapiens OX=9606 GN=TYR PE=1 SV=3
+LHSQYLSHYDEKEMLLPQKEEPLQKRKHRCLLSVLGALLATLVAGVMAAGLLWSWIRSAQELYSKIYDQFSDPDSDQLYSYDYGLDKSSIFFDGNRYLPIFPVMYSERNHGIPANAEPYVEQLPRHRRLWQEFISDVFAHHLLFIPDNASGQVQSMTGNMYIHLANHMSSQSADAIGTLPSAFGELTNRFSFNAAKDMSGSEYQTLSLCFEVDASSPLRPTRSKDHNGPNRRLPGEPTGNCLSQHSNYEELRSCVIQWSSFFSAPSLLNPNTPHQGGMYEDTCIDCKEADRWDWYPITFNEDGTLKQIEQEWRLLFLRHWPLFAPAEHAFDIDRWIESGGLLADMSVYYHMWVFLDYINIDNFMPTSGNKMQGYTGIPIVYDSSITHKALTLYAFFKDKEPASLDFINRRVLLRRETCNPGWFGFKCNGCNFGMFNGSCQCTRNYFVSPWSERDDVGTFPFQPGLPANSLLINQCSGRGSLQGCPSRDGSWPPCCEKEMLNKSSVCARPFHGASTQFSWLLCYLVALLM
+>sp|Q01081|U2AF1_HUMAN Splicing factor U2AF 35 kDa subunit OS=Homo sapiens OX=9606 GN=U2AF1 PE=1 SV=3
+MAEYLASIFGTEKDKVNCSFYFKIGACRHGDRCSRLHNKPTFSQTIALLNIYRNPQNSSQSADGLRCAVSDVEMQEHYDEFFEEVFTEMEEKYGEVEEMNVCDNLGDHLVGNVYVKFRREEDAEKAVIDLNNRWFNGQPIHAELSPVTDFREACCRQYEMGECTRGGFCNFMHLKPISRELRRELYGRRRKKHRSRSRSRERRSRSRDRGRGGGGGGGGGGGGRERDRRRSRDRERSGRF
+>DECOY_sp|Q01081|U2AF1_HUMAN Splicing factor U2AF 35 kDa subunit OS=Homo sapiens OX=9606 GN=U2AF1 PE=1 SV=3
+FRGSRERDRSRRRDRERGGGGGGGGGGGGRGRDRSRSRRERSRSRSRHKKRRRGYLERRLERSIPKLHMFNCFGGRTCEGMEYQRCCAERFDTVPSLEAHIPQGNFWRNNLDIVAKEADEERRFKVYVNGVLHDGLNDCVNMEEVEGYKEEMETFVEEFFEDYHEQMEVDSVACRLGDASQSSNQPNRYINLLAITQSFTPKNHLRSCRDGHRCAGIKFYFSCNVKDKETGFISALYEAM
+>sp|Q9BZF9|UACA_HUMAN Uveal autoantigen with coiled-coil domains and ankyrin repeats OS=Homo sapiens OX=9606 GN=UACA PE=1 SV=2
+MKSLKSRLRRQDVPGPASSGAAAASAHAADWNKYDDRLMKAAERGDVEKVTSILAKKGVNPGKLDVEGRSVFHVVTSKGNLECLNAILIHGVDITTSDTAGRNALHLAAKYGHALCLQKLLQYNCPTEHADLQGRTALHDAAMADCPSSIQLLCDHGASVNAKDVDGRTPLVLATQMSRPTICQLLIDRGADVNSRDKQNRTALMLGCEYGCRDAVEVLIKNGADISLLDALGHDSSYYARIGDNLDILTLLKTASENTNKGRELWKKGPSLQQRNLTHMQDEVNVKSHQREHQNIQDLEIENEDLKERLRKIQQEQRILLDKVNGLQLQLNEEVMVADDLESEREKLKSLLAAKEKQHEESLRTIEALKNRFKYFESDHLGSGSHFSNRKEDMLLKQGQMYMADSQCTSPGIPAHMQSRSMLRPLELSLPSQTSYSENEILKKELEAMRTFCESAKQDRLKLQNELAHKVAECKALALECERVKEDSDEQIKQLEDALKDVQKRMYESEGKVKQMQTHFLALKEHLTSEAASGNHRLTEELKDQLKDLKVKYEGASAEVGKLRNQIKQNEMIVEEFKRDEGKLIEENKRLQKELSMCEMEREKKGRKVTEMEGQAKELSAKLALSIPAEKFENMKSSLSNEVNEKAKKLVEMEREHEKSLSEIRQLKRELENVKAKLAQHVKPEEHEQVKSRLEQKSGELGKKITELTLKNQTLQKEIEKVYLDNKLLKEQAHNLTIEMKNHYVPLKVSEDMKKSHDAIIDDLNRKLLDVTQKYTEKKLEMEKLLLENDSLSKDVSRLETVFVPPEKHEKEIIALKSNIVELKKQLSELKKKCGEDQEKIHALTSENTNLKKMMSNQYVPVKTHEEVKMTLNDTLAKTNRELLDVKKKFEDINQEFVKIKDKNEILKRNLENTQNQIKAEYISLAEHEAKMSSLSQSMRKVQDSNAEILANYRKGQEEIVTLHAEIKAQKKELDTIQECIKVKYAPIVSFEECERKFKATEKELKDQLSEQTQKYSVSEEEVKKNKQENDKLKKEIFTLQKDLRDKTVLIEKSHEMERALSRKTDELNKQLKDLSQKYTEVKNVKEKLVEENAKQTSEILAVQNLLQKQHVPLEQVEALKKSLNGTIENLKEELKSMQRCYEKEQQTVTKLHQLLENQKNSSVPLAEHLQIKEAFEKEVGIIKASLREKEEESQNKMEEVSKLQSEVQNTKQALKKLETREVVDLSKYKATKSDLETQISSLNEKLANLNRKYEEVCEEVLHAKKKEISAKDEKELLHFSIEQEIKDQKERCDKSLTTITELQRRIQESAKQIEAKDNKITELLNDVERLKQALNGLSQLTYTSGNPTKRQSQLIDTLQHQVKSLEQQLADADRQHQEVIAIYRTHLLSAAQGHMDEDVQEALLQIIQMRQGLVC
+>DECOY_sp|Q9BZF9|UACA_HUMAN Uveal autoantigen with coiled-coil domains and ankyrin repeats OS=Homo sapiens OX=9606 GN=UACA PE=1 SV=2
+CVLGQRMQIIQLLAEQVDEDMHGQAASLLHTRYIAIVEQHQRDADALQQELSKVQHQLTDILQSQRKTPNGSTYTLQSLGNLAQKLREVDNLLETIKNDKAEIQKASEQIRRQLETITTLSKDCREKQDKIEQEISFHLLEKEDKASIEKKKAHLVEECVEEYKRNLNALKENLSSIQTELDSKTAKYKSLDVVERTELKKLAQKTNQVESQLKSVEEMKNQSEEEKERLSAKIIGVEKEFAEKIQLHEALPVSSNKQNELLQHLKTVTQQEKEYCRQMSKLEEKLNEITGNLSKKLAEVQELPVHQKQLLNQVALIESTQKANEEVLKEKVNKVETYKQSLDKLQKNLEDTKRSLAREMEHSKEILVTKDRLDKQLTFIEKKLKDNEQKNKKVEEESVSYKQTQESLQDKLEKETAKFKRECEEFSVIPAYKVKICEQITDLEKKQAKIEAHLTVIEEQGKRYNALIEANSDQVKRMSQSLSSMKAEHEALSIYEAKIQNQTNELNRKLIENKDKIKVFEQNIDEFKKKVDLLERNTKALTDNLTMKVEEHTKVPVYQNSMMKKLNTNESTLAHIKEQDEGCKKKLESLQKKLEVINSKLAIIEKEHKEPPVFVTELRSVDKSLSDNELLLKEMELKKETYKQTVDLLKRNLDDIIADHSKKMDESVKLPVYHNKMEITLNHAQEKLLKNDLYVKEIEKQLTQNKLTLETIKKGLEGSKQELRSKVQEHEEPKVHQALKAKVNELERKLQRIESLSKEHEREMEVLKKAKENVENSLSSKMNEFKEAPISLALKASLEKAQGEMETVKRGKKEREMECMSLEKQLRKNEEILKGEDRKFEEVIMENQKIQNRLKGVEASAGEYKVKLDKLQDKLEETLRHNGSAAESTLHEKLALFHTQMQKVKGESEYMRKQVDKLADELQKIQEDSDEKVRECELALAKCEAVKHALENQLKLRDQKASECFTRMAELEKKLIENESYSTQSPLSLELPRLMSRSQMHAPIGPSTCQSDAMYMQGQKLLMDEKRNSFHSGSGLHDSEFYKFRNKLAEITRLSEEHQKEKAALLSKLKERESELDDAVMVEENLQLQLGNVKDLLIRQEQQIKRLREKLDENEIELDQINQHERQHSKVNVEDQMHTLNRQQLSPGKKWLERGKNTNESATKLLTLIDLNDGIRAYYSSDHGLADLLSIDAGNKILVEVADRCGYECGLMLATRNQKDRSNVDAGRDILLQCITPRSMQTALVLPTRGDVDKANVSAGHDCLLQISSPCDAMAADHLATRGQLDAHETPCNYQLLKQLCLAHGYKAALHLANRGATDSTTIDVGHILIANLCELNGKSTVVHFVSRGEVDLKGPNVGKKALISTVKEVDGREAAKMLRDDYKNWDAAHASAAAAGSSAPGPVDQRRLRSKLSKM
+>sp|P51668|UB2D1_HUMAN Ubiquitin-conjugating enzyme E2 D1 OS=Homo sapiens OX=9606 GN=UBE2D1 PE=1 SV=1
+MALKRIQKELSDLQRDPPAHCSAGPVGDDLFHWQATIMGPPDSAYQGGVFFLTVHFPTDYPFKPPKIAFTTKIYHPNINSNGSICLDILRSQWSPALTVSKVLLSICSLLCDPNPDDPLVPDIAQIYKSDKEKYNRHAREWTQKYAM
+>DECOY_sp|P51668|UB2D1_HUMAN Ubiquitin-conjugating enzyme E2 D1 OS=Homo sapiens OX=9606 GN=UBE2D1 PE=1 SV=1
+MAYKQTWERAHRNYKEKDSKYIQAIDPVLPDDPNPDCLLSCISLLVKSVTLAPSWQSRLIDLCISGNSNINPHYIKTTFAIKPPKFPYDTPFHVTLFFVGGQYASDPPGMITAQWHFLDDGVPGASCHAPPDRQLDSLEKQIRKLAM
+>sp|P68036|UB2L3_HUMAN Ubiquitin-conjugating enzyme E2 L3 OS=Homo sapiens OX=9606 GN=UBE2L3 PE=1 SV=1
+MAASRRLMKELEEIRKCGMKNFRNIQVDEANLLTWQGLIVPDNPPYDKGAFRIEINFPAEYPFKPPKITFKTKIYHPNIDEKGQVCLPVISAENWKPATKTDQVIQSLIALVNDPQPEHPLRADLAEEYSKDRKKFCKNAEEFTKKYGEKRPVD
+>DECOY_sp|P68036|UB2L3_HUMAN Ubiquitin-conjugating enzyme E2 L3 OS=Homo sapiens OX=9606 GN=UBE2L3 PE=1 SV=1
+DVPRKEGYKKTFEEANKCFKKRDKSYEEALDARLPHEPQPDNVLAILSQIVQDTKTAPKWNEASIVPLCVQGKEDINPHYIKTKFTIKPPKFPYEAPFNIEIRFAGKDYPPNDPVILGQWTLLNAEDVQINRFNKMGCKRIEELEKMLRRSAAM
+>sp|Q8WVN8|UB2Q2_HUMAN Ubiquitin-conjugating enzyme E2 Q2 OS=Homo sapiens OX=9606 GN=UBE2Q2 PE=1 SV=1
+MSVSGLKAELKFLASIFDKNHERFRIVSWKLDELHCQFLVPQQGSPHSLPPPLTLHCNITESYPSSSPIWFVDSEDPNLTSVLERLEDTKNNNLLRQQLKWLICELCSLYNLPKHLDVEMLDQPLPTGQNGTTEEVTSEEEEEEEEMAEDIEDLDHYEMKEEEPISGKKSEDEGIEKENLAILEKIRKTQRQDHLNGAVSGSVQASDRLMKELRDIYRSQSYKTGIYSVELINDSLYDWHVKLQKVDPDSPLHSDLQILKEKEGIEYILLNFSFKDNFPFDPPFVRVVLPVLSGGYVLGGGALCMELLTKQGWSSAYSIESVIMQINATLVKGKARVQFGANKNQYNLARAQQSYNSIVQIHEKNGWYTPPKEDG
+>DECOY_sp|Q8WVN8|UB2Q2_HUMAN Ubiquitin-conjugating enzyme E2 Q2 OS=Homo sapiens OX=9606 GN=UBE2Q2 PE=1 SV=1
+GDEKPPTYWGNKEHIQVISNYSQQARALNYQNKNAGFQVRAKGKVLTANIQMIVSEISYASSWGQKTLLEMCLAGGGLVYGGSLVPLVVRVFPPDFPFNDKFSFNLLIYEIGEKEKLIQLDSHLPSDPDVKQLKVHWDYLSDNILEVSYIGTKYSQSRYIDRLEKMLRDSAQVSGSVAGNLHDQRQTKRIKELIALNEKEIGEDESKKGSIPEEEKMEYHDLDEIDEAMEEEEEEEESTVEETTGNQGTPLPQDLMEVDLHKPLNYLSCLECILWKLQQRLLNNNKTDELRELVSTLNPDESDVFWIPSSSPYSETINCHLTLPPPLSHPSGQQPVLFQCHLEDLKWSVIRFREHNKDFISALFKLEAKLGSVSM
+>sp|P49427|UB2R1_HUMAN Ubiquitin-conjugating enzyme E2 R1 OS=Homo sapiens OX=9606 GN=CDC34 PE=1 SV=2
+MARPLVPSSQKALLLELKGLQEEPVEGFRVTLVDEGDLYNWEVAIFGPPNTYYEGGYFKARLKFPIDYPYSPPAFRFLTKMWHPNIYETGDVCISILHPPVDDPQSGELPSERWNPTQNVRTILLSVISLLNEPNTFSPANVDASVMYRKWKESKGKDREYTDIIRKQVLGTKVDAERDGVKVPTTLAEYCVKTKAPAPDEGSDLFYDDYYEDGEVEEEADSCFGDDEDDSGTEES
+>DECOY_sp|P49427|UB2R1_HUMAN Ubiquitin-conjugating enzyme E2 R1 OS=Homo sapiens OX=9606 GN=CDC34 PE=1 SV=2
+SEETGSDDEDDGFCSDAEEEVEGDEYYDDYFLDSGEDPAPAKTKVCYEALTTPVKVGDREADVKTGLVQKRIIDTYERDKGKSEKWKRYMVSADVNAPSFTNPENLLSIVSLLITRVNQTPNWRESPLEGSQPDDVPPHLISICVDGTEYINPHWMKTLFRFAPPSYPYDIPFKLRAKFYGGEYYTNPPGFIAVEWNYLDGEDVLTVRFGEVPEEQLGKLELLLAKQSSPVLPRAM
+>sp|Q13404|UB2V1_HUMAN Ubiquitin-conjugating enzyme E2 variant 1 OS=Homo sapiens OX=9606 GN=UBE2V1 PE=1 SV=2
+MAATTGSGVKVPRNFRLLEELEEGQKGVGDGTVSWGLEDDEDMTLTRWTGMIIGPPRTIYENRIYSLKIECGPKYPEAPPFVRFVTKINMNGVNSSNGVVDPRAISVLAKWQNSYSIKVVLQELRRLMMSKENMKLPQPPEGQCYSN
+>DECOY_sp|Q13404|UB2V1_HUMAN Ubiquitin-conjugating enzyme E2 variant 1 OS=Homo sapiens OX=9606 GN=UBE2V1 PE=1 SV=2
+NSYCQGEPPQPLKMNEKSMMLRRLEQLVVKISYSNQWKALVSIARPDVVGNSSNVGNMNIKTVFRVFPPAEPYKPGCEIKLSYIRNEYITRPPGIIMGTWRTLTMDEDDELGWSVTGDGVGKQGEELEELLRFNRPVKVGSGTTAAM
+>sp|Q8WVY7|UBCP1_HUMAN Ubiquitin-like domain-containing CTD phosphatase 1 OS=Homo sapiens OX=9606 GN=UBLCP1 PE=1 SV=2
+MALPIIVKWGGQEYSVTTLSEDDTVLDLKQFLKTLTGVLPERQKLLGLKVKGKPAENDVKLGALKLKPNTKIMMMGTREESLEDVLGPPPDNDDVVNDFDIEDEVVEVENREENLLKISRRVKEYKVEILNPPREGKKLLVLDVDYTLFDHRSCAETGVELMRPYLHEFLTSAYEDYDIVIWSATNMKWIEAKMKELGVSTNANYKITFMLDSAAMITVHTPRRGLIDVKPLGVIWGKFSEFYSKKNTIMFDDIGRNFLMNPQNGLKIRPFMKAHLNRDKDKELLKLTQYLKEIAKLDDFLDLNHKYWERYLSKKQGQ
+>DECOY_sp|Q8WVY7|UBCP1_HUMAN Ubiquitin-like domain-containing CTD phosphatase 1 OS=Homo sapiens OX=9606 GN=UBLCP1 PE=1 SV=2
+QGQKKSLYREWYKHNLDLFDDLKAIEKLYQTLKLLEKDKDRNLHAKMFPRIKLGNQPNMLFNRGIDDFMITNKKSYFESFKGWIVGLPKVDILGRRPTHVTIMAASDLMFTIKYNANTSVGLEKMKAEIWKMNTASWIVIDYDEYASTLFEHLYPRMLEVGTEACSRHDFLTYDVDLVLLKKGERPPNLIEVKYEKVRRSIKLLNEERNEVEVVEDEIDFDNVVDDNDPPPGLVDELSEERTGMMMIKTNPKLKLAGLKVDNEAPKGKVKLGLLKQREPLVGTLTKLFQKLDLVTDDESLTTVSYEQGGWKVIIPLAM
+>sp|O15205|UBD_HUMAN Ubiquitin D OS=Homo sapiens OX=9606 GN=UBD PE=1 SV=2
+MAPNASCLCVHVRSEEWDLMTFDANPYDSVKKIKEHVRSKTKVPVQDQVLLLGSKILKPRRSLSSYGIDKEKTIHLTLKVVKPSDEELPLFLVESGDEAKRHLLQVRRSSSVAQVKAMIETKTGIIPETQIVTCNGKRLEDGKMMADYGIRKGNLLFLACYCIGG
+>DECOY_sp|O15205|UBD_HUMAN Ubiquitin D OS=Homo sapiens OX=9606 GN=UBD PE=1 SV=2
+GGICYCALFLLNGKRIGYDAMMKGDELRKGNCTVIQTEPIIGTKTEIMAKVQAVSSSRRVQLLHRKAEDGSEVLFLPLEEDSPKVVKLTLHITKEKDIGYSSLSRRPKLIKSGLLLVQDQVPVKTKSRVHEKIKKVSDYPNADFTMLDWEESRVHVCLCSANPAM
+>sp|P49459|UBE2A_HUMAN Ubiquitin-conjugating enzyme E2 A OS=Homo sapiens OX=9606 GN=UBE2A PE=1 SV=2
+MSTPARRRLMRDFKRLQEDPPAGVSGAPSENNIMVWNAVIFGPEGTPFEDGTFKLTIEFTEEYPNKPPTVRFVSKMFHPNVYADGSICLDILQNRWSPTYDVSSILTSIQSLLDEPNPNSPANSQAAQLYQENKREYEKRVSAIVEQSWRDC
+>DECOY_sp|P49459|UBE2A_HUMAN Ubiquitin-conjugating enzyme E2 A OS=Homo sapiens OX=9606 GN=UBE2A PE=1 SV=2
+CDRWSQEVIASVRKEYERKNEQYLQAAQSNAPSNPNPEDLLSQISTLISSVDYTPSWRNQLIDLCISGDAYVNPHFMKSVFRVTPPKNPYEETFEITLKFTGDEFPTGEPGFIVANWVMINNESPAGSVGAPPDEQLRKFDRMLRRRAPTSM
+>sp|Q969M7|UBE2F_HUMAN NEDD8-conjugating enzyme UBE2F OS=Homo sapiens OX=9606 GN=UBE2F PE=1 SV=1
+MLTLASKLKRDDGLKGSRTAATASDSTRRVSVRDKLLVKEVAELEANLPCTCKVHFPDPNKLHCFQLTVTPDEGYYQGGKFQFETEVPDAYNMVPPKVKCLTKIWHPNITETGEICLSLLREHSIDGTGWAPTRTLKDVVWGLNSLFTDLLNFDDPLNIEAAEHHLRDKEDFRNKVDDYIKRYAR
+>DECOY_sp|Q969M7|UBE2F_HUMAN NEDD8-conjugating enzyme UBE2F OS=Homo sapiens OX=9606 GN=UBE2F PE=1 SV=1
+RAYRKIYDDVKNRFDEKDRLHHEAAEINLPDDFNLLDTFLSNLGWVVDKLTRTPAWGTGDISHERLLSLCIEGTETINPHWIKTLCKVKPPVMNYADPVETEFQFKGGQYYGEDPTVTLQFCHLKNPDPFHVKCTCPLNAELEAVEKVLLKDRVSVRRTSDSATAATRSGKLGDDRKLKSALTLM
+>sp|Q9C0C9|UBE2O_HUMAN (E3-independent) E2 ubiquitin-conjugating enzyme OS=Homo sapiens OX=9606 GN=UBE2O PE=1 SV=3
+MADPAAPTPAAPAPAQAPAPAPEAVPAPAAAPVPAPAPASDSASGPSSDSGPEAGSQRLLFSHDLVSGRYRGSVHFGLVRLIHGEDSDSEGEEEGRGSSGCSEAGGAGHEEGRASPLRRGYVRVQWYPEGVKQHVKETKLKLEDRSVVPRDVVRHMRSTDSQCGTVIDVNIDCAVKLIGTNCIIYPVNSKDLQHIWPFMYGDYIAYDCWLGKVYDLKNQIILKLSNGARCSMNTEDGAKLYDVCPHVSDSGLFFDDSYGFYPGQVLIGPAKIFSSVQWLSGVKPVLSTKSKFRVVVEEVQVVELKVTWITKSFCPGGTDSVSPPPSVITQENLGRVKRLGCFDHAQRQLGERCLYVFPAKVEPAKIAWECPEKNCAQGEGSMAKKVKRLLKKQVVRIMSCSPDTQCSRDHSMEDPDKKGESKTKSEAESASPEETPDGSASPVEMQDEGAEEPHEAGEQLPPFLLKEGRDDRLHSAEQDADDEAADDTDDTSSVTSSASSTTSSQSGSGTSRKKSIPLSIKNLKRKHKRKKNKITRDFKPGDRVAVEVVTTMTSADVMWQDGSVECNIRSNDLFPVHHLDNNEFCPGDFVVDKRVQSCPDPAVYGVVQSGDHIGRTCMVKWFKLRPSGDDVELIGEEEDVSVYDIADHPDFRFRTTDIVIRIGNTEDGAPHKEDEPSVGQVARVDVSSKVEVVWADNSKTIILPQHLYNIESEIEESDYDSVEGSTSGASSDEWEDDSDSWETDNGLVEDEHPKIEEPPIPPLEQPVAPEDKGVVISEEAATAAVQGAVAMAAPMAGLMEKAGKDGPPKSFRELKEAIKILESLKNMTVEQLLTGSPTSPTVEPEKPTREKKFLDDIKKLQENLKKTLDNVAIVEEEKMEAVPDVERKEDKPEGQSPVKAEWPSETPVLCQQCGGKPGVTFTSAKGEVFSVLEFAPSNHSFKKIEFQPPEAKKFFSTVRKEMALLATSLPEGIMVKTFEDRMDLFSALIKGPTRTPYEDGLYLFDIQLPNIYPAVPPHFCYLSQCSGRLNPNLYDNGKVCVSLLGTWIGKGTERWTSKSSLLQVLISIQGLILVNEPYYNEAGFDSDRGLQEGYENSRCYNEMALIRVVQSMTQLVRRPPEVFEQEIRQHFSTGGWRLVNRIESWLETHALLEKAQALPNGVPKASSSPEPPAVAELSDSGQQEPEDGGPAPGEASQGSDSEGGAQGLASASRDHTDQTSETAPDASVPPSVKPKKRRKSYRSFLPEKSGYPDIGFPLFPLSKGFIKSIRGVLTQFRAALLEAGMPECTEDK
+>DECOY_sp|Q9C0C9|UBE2O_HUMAN (E3-independent) E2 ubiquitin-conjugating enzyme OS=Homo sapiens OX=9606 GN=UBE2O PE=1 SV=3
+KDETCEPMGAELLAARFQTLVGRISKIFGKSLPFLPFGIDPYGSKEPLFSRYSKRRKKPKVSPPVSADPATESTQDTHDRSASALGQAGGESDSGQSAEGPAPGGDEPEQQGSDSLEAVAPPEPSSSAKPVGNPLAQAKELLAHTELWSEIRNVLRWGGTSFHQRIEQEFVEPPRRVLQTMSQVVRILAMENYCRSNEYGEQLGRDSDFGAENYYPENVLILGQISILVQLLSSKSTWRETGKGIWTGLLSVCVKGNDYLNPNLRGSCQSLYCFHPPVAPYINPLQIDFLYLGDEYPTRTPGKILASFLDMRDEFTKVMIGEPLSTALLAMEKRVTSFFKKAEPPQFEIKKFSHNSPAFELVSFVEGKASTFTVGPKGGCQQCLVPTESPWEAKVPSQGEPKDEKREVDPVAEMKEEEVIAVNDLTKKLNEQLKKIDDLFKKERTPKEPEVTPSTPSGTLLQEVTMNKLSELIKIAEKLERFSKPPGDKGAKEMLGAMPAAMAVAGQVAATAAEESIVVGKDEPAVPQELPPIPPEEIKPHEDEVLGNDTEWSDSDDEWEDSSAGSTSGEVSDYDSEEIESEINYLHQPLIITKSNDAWVVEVKSSVDVRAVQGVSPEDEKHPAGDETNGIRIVIDTTRFRFDPHDAIDYVSVDEEEGILEVDDGSPRLKFWKVMCTRGIHDGSQVVGYVAPDPCSQVRKDVVFDGPCFENNDLHHVPFLDNSRINCEVSGDQWMVDASTMTTVVEVAVRDGPKFDRTIKNKKRKHKRKLNKISLPISKKRSTGSGSQSSTTSSASSTVSSTDDTDDAAEDDADQEASHLRDDRGEKLLFPPLQEGAEHPEEAGEDQMEVPSASGDPTEEPSASEAESKTKSEGKKDPDEMSHDRSCQTDPSCSMIRVVQKKLLRKVKKAMSGEGQACNKEPCEWAIKAPEVKAPFVYLCREGLQRQAHDFCGLRKVRGLNEQTIVSPPPSVSDTGGPCFSKTIWTVKLEVVQVEEVVVRFKSKTSLVPKVGSLWQVSSFIKAPGILVQGPYFGYSDDFFLGSDSVHPCVDYLKAGDETNMSCRAGNSLKLIIQNKLDYVKGLWCDYAIYDGYMFPWIHQLDKSNVPYIICNTGILKVACDINVDIVTGCQSDTSRMHRVVDRPVVSRDELKLKTEKVHQKVGEPYWQVRVYGRRLPSARGEEHGAGGAESCGSSGRGEEEGESDSDEGHILRVLGFHVSGRYRGSVLDHSFLLRQSGAEPGSDSSPGSASDSAPAPAPVPAAAPAPVAEPAPAPAQAPAPAAPTPAAPDAM
+>sp|P51784|UBP11_HUMAN Ubiquitin carboxyl-terminal hydrolase 11 OS=Homo sapiens OX=9606 GN=USP11 PE=1 SV=3
+MAVAPRLFGGLCFRFRDQNPEVAVEGRLPISHSCVGCRRERTAMATVAANPAAAAAAVAAAAAVTEDREPQHEELPGLDSQWRQIENGESGRERPLRAGESWFLVEKHWYKQWEAYVQGGDQDSSTFPGCINNATLFQDEINWRLKEGLVEGEDYVLLPAAAWHYLVSWYGLEHGQPPIERKVIELPNIQKVEVYPVELLLVRHNDLGKSHTVQFSHTDSIGLVLRTARERFLVEPQEDTRLWAKNSEGSLDRLYDTHITVLDAALETGQLIIMETRKKDGTWPSAQLHVMNNNMSEEDEDFKGQPGICGLTNLGNTCFMNSALQCLSNVPQLTEYFLNNCYLEELNFRNPLGMKGEIAEAYADLVKQAWSGHHRSIVPHVFKNKVGHFASQFLGYQQHDSQELLSFLLDGLHEDLNRVKKKEYVELCDAAGRPDQEVAQEAWQNHKRRNDSVIVDTFHGLFKSTLVCPDCGNVSVTFDPFCYLSVPLPISHKRVLEVFFIPMDPRRKPEQHRLVVPKKGKISDLCVALSKHTGISPERMMVADVFSHRFYKLYQLEEPLSSILDRDDIFVYEVSGRIEAIEGSREDIVVPVYLRERTPARDYNNSYYGLMLFGHPLLVSVPRDRFTWEGLYNVLMYRLSRYVTKPNSDDEDDGDEKEDDEEDKDDVPGPSTGGSLRDPEPEQAGPSSGVTNRCPFLLDNCLGTSQWPPRRRRKQLFTLQTVNSNGTSDRTTSPEEVHAQPYIAIDWEPEMKKRYYDEVEAEGYVKHDCVGYVMKKAPVRLQECIELFTTVETLEKENPWYCPSCKQHQLATKKLDLWMLPEILIIHLKRFSYTKFSREKLDTLVEFPIRDLDFSEFVIQPQNESNPELYKYDLIAVSNHYGGMRDGHYTTFACNKDSGQWHYFDDNSVSPVNENQIESKAAYVLFYQRQDVARRLLSPAGSSGAPASPACSSPPSSEFMDVN
+>DECOY_sp|P51784|UBP11_HUMAN Ubiquitin carboxyl-terminal hydrolase 11 OS=Homo sapiens OX=9606 GN=USP11 PE=1 SV=3
+NVDMFESSPPSSCAPSAPAGSSGAPSLLRRAVDQRQYFLVYAAKSEIQNENVPSVSNDDFYHWQGSDKNCAFTTYHGDRMGGYHNSVAILDYKYLEPNSENQPQIVFESFDLDRIPFEVLTDLKERSFKTYSFRKLHIILIEPLMWLDLKKTALQHQKCSPCYWPNEKELTEVTTFLEICEQLRVPAKKMVYGVCDHKVYGEAEVEDYYRKKMEPEWDIAIYPQAHVEEPSTTRDSTGNSNVTQLTFLQKRRRRPPWQSTGLCNDLLFPCRNTVGSSPGAQEPEPDRLSGGTSPGPVDDKDEEDDEKEDGDDEDDSNPKTVYRSLRYMLVNYLGEWTFRDRPVSVLLPHGFLMLGYYSNNYDRAPTRERLYVPVVIDERSGEIAEIRGSVEYVFIDDRDLISSLPEELQYLKYFRHSFVDAVMMREPSIGTHKSLAVCLDSIKGKKPVVLRHQEPKRRPDMPIFFVELVRKHSIPLPVSLYCFPDFTVSVNGCDPCVLTSKFLGHFTDVIVSDNRRKHNQWAEQAVEQDPRGAADCLEVYEKKKVRNLDEHLGDLLFSLLEQSDHQQYGLFQSAFHGVKNKFVHPVISRHHGSWAQKVLDAYAEAIEGKMGLPNRFNLEELYCNNLFYETLQPVNSLCQLASNMFCTNGLNTLGCIGPQGKFDEDEESMNNNMVHLQASPWTGDKKRTEMIILQGTELAADLVTIHTDYLRDLSGESNKAWLRTDEQPEVLFRERATRLVLGISDTHSFQVTHSKGLDNHRVLLLEVPYVEVKQINPLEIVKREIPPQGHELGYWSVLYHWAAAPLLVYDEGEVLGEKLRWNIEDQFLTANNICGPFTSSDQDGGQVYAEWQKYWHKEVLFWSEGARLPRERGSEGNEIQRWQSDLGPLEEHQPERDETVAAAAAVAAAAAAPNAAVTAMATRERRCGVCSHSIPLRGEVAVEPNQDRFRFCLGGFLRPAVAM
+>sp|Q9Y5T5|UBP16_HUMAN Ubiquitin carboxyl-terminal hydrolase 16 OS=Homo sapiens OX=9606 GN=USP16 PE=1 SV=1
+MGKKRTKGKTVPIDDSSETLEPVCRHIRKGLEQGNLKKALVNVEWNICQDCKTDNKVKDKAEEETEEKPSVWLCLKCGHQGCGRNSQEQHALKHYLTPRSEPHCLVLSLDNWSVWCYVCDNEVQYCSSNQLGQVVDYVRKQASITTPKPAEKDNGNIELENKKLEKESKNEQEREKKENMAKENPPMNSPCQITVKGLSNLGNTCFFNAVMQNLSQTPVLRELLKEVKMSGTIVKIEPPDLALTEPLEINLEPPGPLTLAMSQFLNEMQETKKGVVTPKELFSQVCKKAVRFKGYQQQDSQELLRYLLDGMRAEEHQRVSKGILKAFGNSTEKLDEELKNKVKDYEKKKSMPSFVDRIFGGELTSMIMCDQCRTVSLVHESFLDLSLPVLDDQSGKKSVNDKNLKKTVEDEDQDSEEEKDNDSYIKERSDIPSGTSKHLQKKAKKQAKKQAKNQRRQQKIQGKVLHLNDICTIDHPEDSEYEAEMSLQGEVNIKSNHISQEGVMHKEYCVNQKDLNGQAKMIESVTDNQKSTEEVDMKNINMDNDLEVLTSSPTRNLNGAYLTEGSNGEVDISNGFKNLNLNAALHPDEINIEILNDSHTPGTKVYEVVNEDPETAFCTLANREVFNTDECSIQHCLYQFTRNEKLRDANKLLCEVCTRRQCNGPKANIKGERKHVYTNAKKQMLISLAPPVLTLHLKRFQQAGFNLRKVNKHIKFPEILDLAPFCTLKCKNVAEENTRVLYSLYGVVEHSGTMRSGHYTAYAKARTANSHLSNLVLHGDIPQDFEMESKGQWFHISDTHVQAVPTTKVLNSQAYLLFYERIL
+>DECOY_sp|Q9Y5T5|UBP16_HUMAN Ubiquitin carboxyl-terminal hydrolase 16 OS=Homo sapiens OX=9606 GN=USP16 PE=1 SV=1
+LIREYFLLYAQSNLVKTTPVAQVHTDSIHFWQGKSEMEFDQPIDGHLVLNSLHSNATRAKAYATYHGSRMTGSHEVVGYLSYLVRTNEEAVNKCKLTCFPALDLIEPFKIHKNVKRLNFGAQQFRKLHLTLVPPALSILMQKKANTYVHKREGKINAKPGNCQRRTCVECLLKNADRLKENRTFQYLCHQISCEDTNFVERNALTCFATEPDENVVEYVKTGPTHSDNLIEINIEDPHLAANLNLNKFGNSIDVEGNSGETLYAGNLNRTPSSTLVELDNDMNINKMDVEETSKQNDTVSEIMKAQGNLDKQNVCYEKHMVGEQSIHNSKINVEGQLSMEAEYESDEPHDITCIDNLHLVKGQIKQQRRQNKAQKKAQKKAKKQLHKSTGSPIDSREKIYSDNDKEEESDQDEDEVTKKLNKDNVSKKGSQDDLVPLSLDLFSEHVLSVTRCQDCMIMSTLEGGFIRDVFSPMSKKKEYDKVKNKLEEDLKETSNGFAKLIGKSVRQHEEARMGDLLYRLLEQSDQQQYGKFRVAKKCVQSFLEKPTVVGKKTEQMENLFQSMALTLPGPPELNIELPETLALDPPEIKVITGSMKVEKLLERLVPTQSLNQMVANFFCTNGLNSLGKVTIQCPSNMPPNEKAMNEKKEREQENKSEKELKKNELEINGNDKEAPKPTTISAQKRVYDVVQGLQNSSCYQVENDCVYCWVSWNDLSLVLCHPESRPTLYHKLAHQEQSNRGCGQHGCKLCLWVSPKEETEEEAKDKVKNDTKCDQCINWEVNVLAKKLNGQELGKRIHRCVPELTESSDDIPVTKGKTRKKGM
+>sp|O94782|UBP1_HUMAN Ubiquitin carboxyl-terminal hydrolase 1 OS=Homo sapiens OX=9606 GN=USP1 PE=1 SV=1
+MPGVIPSESNGLSRGSPSKKNRLSLKFFQKKETKRALDFTDSQENEEKASEYRASEIDQVVPAAQSSPINCEKRENLLPFVGLNNLGNTCYLNSILQVLYFCPGFKSGVKHLFNIISRKKEALKDEANQKDKGNCKEDSLASYELICSLQSLIISVEQLQASFLLNPEKYTDELATQPRRLLNTLRELNPMYEGYLQHDAQEVLQCILGNIQETCQLLKKEEVKNVAELPTKVEEIPHPKEEMNGINSIEMDSMRHSEDFKEKLPKGNGKRKSDTEFGNMKKKVKLSKEHQSLEENQRQTRSKRKATSDTLESPPKIIPKYISENESPRPSQKKSRVKINWLKSATKQPSILSKFCSLGKITTNQGVKGQSKENECDPEEDLGKCESDNTTNGCGLESPGNTVTPVNVNEVKPINKGEEQIGFELVEKLFQGQLVLRTRCLECESLTERREDFQDISVPVQEDELSKVEESSEISPEPKTEMKTLRWAISQFASVERIVGEDKYFCENCHHYTEAERSLLFDKMPEVITIHLKCFAASGLEFDCYGGGLSKINTPLLTPLKLSLEEWSTKPTNDSYGLFAVVMHSGITISSGHYTASVKVTDLNSLELDKGNFVVDQMCEIGKPEPLNEEEARGVVENYNDEEVSIRVGGNTQPSKVLNKKNVEAIGLLGGQKSKADYELYNKASNPDKVASTAFAENRNSETSDTTGTHESDRNKESSDQTGINISGFENKISYVVQSLKEYEGKWLLFDDSEVKVTEEKDFLNSLSPSTSPTSTPYLLFYKKL
+>DECOY_sp|O94782|UBP1_HUMAN Ubiquitin carboxyl-terminal hydrolase 1 OS=Homo sapiens OX=9606 GN=USP1 PE=1 SV=1
+LKKYFLLYPTSTPSTSPSLSNLFDKEETVKVESDDFLLWKGEYEKLSQVVYSIKNEFGSINIGTQDSSEKNRDSEHTGTTDSTESNRNEAFATSAVKDPNSAKNYLEYDAKSKQGGLLGIAEVNKKNLVKSPQTNGGVRISVEEDNYNEVVGRAEEENLPEPKGIECMQDVVFNGKDLELSNLDTVKVSATYHGSSITIGSHMVVAFLGYSDNTPKTSWEELSLKLPTLLPTNIKSLGGGYCDFELGSAAFCKLHITIVEPMKDFLLSREAETYHHCNECFYKDEGVIREVSAFQSIAWRLTKMETKPEPSIESSEEVKSLEDEQVPVSIDQFDERRETLSECELCRTRLVLQGQFLKEVLEFGIQEEGKNIPKVENVNVPTVTNGPSELGCGNTTNDSECKGLDEEPDCENEKSQGKVGQNTTIKGLSCFKSLISPQKTASKLWNIKVRSKKQSPRPSENESIYKPIIKPPSELTDSTAKRKSRTQRQNEELSQHEKSLKVKKKMNGFETDSKRKGNGKPLKEKFDESHRMSDMEISNIGNMEEKPHPIEEVKTPLEAVNKVEEKKLLQCTEQINGLICQLVEQADHQLYGEYMPNLERLTNLLRRPQTALEDTYKEPNLLFSAQLQEVSIILSQLSCILEYSALSDEKCNGKDKQNAEDKLAEKKRSIINFLHKVGSKFGPCFYLVQLISNLYCTNGLNNLGVFPLLNERKECNIPSSQAAPVVQDIESARYESAKEENEQSDTFDLARKTEKKQFFKLSLRNKKSPSGRSLGNSESPIVGPM
+>sp|Q9UK80|UBP21_HUMAN Ubiquitin carboxyl-terminal hydrolase 21 OS=Homo sapiens OX=9606 GN=USP21 PE=1 SV=1
+MPQASEHRLGRTREPPVNIQPRVGSKLPFAPRARSKERRNPASGPNPMLRPLPPRPGLPDERLKKLELGRGRTSGPRPRGPLRADHGVPLPGSPPPTVALPLPSRTNLARSKSVSSGDLRPMGIALGGHRGTGELGAALSRLALRPEPPTLRRSTSLRRLGGFPGPPTLFSIRTEPPASHGSFHMISARSSEPFYSDDKMAHHTLLLGSGHVGLRNLGNTCFLNAVLQCLSSTRPLRDFCLRRDFRQEVPGGGRAQELTEAFADVIGALWHPDSCEAVNPTRFRAVFQKYVPSFSGYSQQDAQEFLKLLMERLHLEINRRGRRAPPILANGPVPSPPRRGGALLEEPELSDDDRANLMWKRYLEREDSKIVDLFVGQLKSCLKCQACGYRSTTFEVFCDLSLPIPKKGFAGGKVSLRDCFNLFTKEEELESENAPVCDRCRQKTRSTKKLTVQRFPRILVLHLNRFSASRGSIKKSSVGVDFPLQRLSLGDFASDKAGSPVYQLYALCNHSGSVHYGHYTALCRCQTGWHVYNDSRVSPVSENQVASSEGYVLFYQLMQEPPRCL
+>DECOY_sp|Q9UK80|UBP21_HUMAN Ubiquitin carboxyl-terminal hydrolase 21 OS=Homo sapiens OX=9606 GN=USP21 PE=1 SV=1
+LCRPPEQMLQYFLVYGESSAVQNESVPSVRSDNYVHWGTQCRCLATYHGYHVSGSHNCLAYLQYVPSGAKDSAFDGLSLRQLPFDVGVSSKKISGRSASFRNLHLVLIRPFRQVTLKKTSRTKQRCRDCVPANESELEEEKTFLNFCDRLSVKGGAFGKKPIPLSLDCFVEFTTSRYGCAQCKLCSKLQGVFLDVIKSDERELYRKWMLNARDDDSLEPEELLAGGRRPPSPVPGNALIPPARRGRRNIELHLREMLLKLFEQADQQSYGSFSPVYKQFVARFRTPNVAECSDPHWLAGIVDAFAETLEQARGGGPVEQRFDRRLCFDRLPRTSSLCQLVANLFCTNGLNRLGVHGSGLLLTHHAMKDDSYFPESSRASIMHFSGHSAPPETRISFLTPPGPFGGLRRLSTSRRLTPPEPRLALRSLAAGLEGTGRHGGLAIGMPRLDGSSVSKSRALNTRSPLPLAVTPPPSGPLPVGHDARLPGRPRPGSTRGRGLELKKLREDPLGPRPPLPRLMPNPGSAPNRREKSRARPAFPLKSGVRPQINVPPERTRGLRHESAQPM
+>sp|Q14157|UBP2L_HUMAN Ubiquitin-associated protein 2-like OS=Homo sapiens OX=9606 GN=UBAP2L PE=1 SV=2
+MMTSVGTNRARGNWEQPQNQNQTQHKQRPQATAEQIRLAQMISDHNDADFEEKVKQLIDITGKNQDECVIALHDCNGDVNRAINVLLEGNPDTHSWEMVGKKKGVSGQKDGGQTESNEEGKENRDRDRDYSRRRGGPPRRGRGASRGREFRGQENGLDGTKSGGPSGRGTERGRRGRGRGRGGSGRRGGRFSAQGMGTFNPADYAEPANTDDNYGNSSGNTWNNTGHFEPDDGTSAWRTATEEWGTEDWNEDLSETKIFTASNVSSVPLPAENVTITAGQRIDLAVLLGKTPSTMENDSSNLDPSQAPSLAQPLVFSNSKQTAISQPASGNTFSHHSMVSMLGKGFGDVGEAKGGSTTGSQFLEQFKTAQALAQLAAQHSQSGSTTTSSWDMGSTTQSPSLVQYDLKNPSDSAVHSPFTKRQAFTPSSTMMEVFLQEKSPAVATSTAAPPPPSSPLPSKSTSAPQMSPGSSDNQSSSPQPAQQKLKQQKKKASLTSKIPALAVEMPGSADISGLNLQFGALQFGSEPVLSDYESTPTTSASSSQAPSSLYTSTASESSSTISSNQSQESGYQSGPIQSTTYTSQNNAQGPLYEQRSTQTRRYPSSISSSPQKDLTQAKNGFSSVQATQLQTTQSVEGATGSAVKSDSPSTSSIPPLNETVSAASLLTTTNQHSSSLGGLSHSEEIPNTTTTQHSSTLSTQQNTLSSSTSSGRTSTSTLLHTSVESEANLHSSSSTFSTTSSTVSAPPPVVSVSSSLNSGSSLGLSLGSNSTVTASTRSSVATTSGKAPPNLPPGVPPLLPNPYIMAPGLLHAYPPQVYGYDDLQMLQTRFPLDYYSIPFPTPTTPLTGRDGSLASNPYSGDLTKFGRGDASSPAPATTLAQPQQNQTQTHHTTQQTFLNPALPPGYSYTSLPYYTGVPGLPSTFQYGPAVFPVAPTSSKQHGVNVSVNASATPFQQPSGYGSHGYNTGVSVTSSNTGVPDISGSVYSKTQQSFEKQGFHSGTPAASFNLPSALGSGGPINPATAAAYPPAPFMHILTPHQQPHSQILHHHLQQDGQTGSGQRSQTSSIPQKPQTNKSAYNSYSWGAN
+>DECOY_sp|Q14157|UBP2L_HUMAN Ubiquitin-associated protein 2-like OS=Homo sapiens OX=9606 GN=UBAP2L PE=1 SV=2
+NAGWSYSNYASKNTQPKQPISSTQSRQGSGTQGDQQLHHHLIQSHPQQHPTLIHMFPAPPYAAATAPNIPGGSGLASPLNFSAAPTGSHFGQKEFSQQTKSYVSGSIDPVGTNSSTVSVGTNYGHSGYGSPQQFPTASANVSVNVGHQKSSTPAVPFVAPGYQFTSPLGPVGTYYPLSTYSYGPPLAPNLFTQQTTHHTQTQNQQPQALTTAPAPSSADGRGFKTLDGSYPNSALSGDRGTLPTTPTPFPISYYDLPFRTQLMQLDDYGYVQPPYAHLLGPAMIYPNPLLPPVGPPLNPPAKGSTTAVSSRTSATVTSNSGLSLGLSSGSNLSSSVSVVPPPASVTSSTTSFTSSSSHLNAESEVSTHLLTSTSTRGSSTSSSLTNQQTSLTSSHQTTTTNPIEESHSLGGLSSSHQNTTTLLSAASVTENLPPISSTSPSDSKVASGTAGEVSQTTQLQTAQVSSFGNKAQTLDKQPSSSISSPYRRTQTSRQEYLPGQANNQSTYTTSQIPGSQYGSEQSQNSSITSSSESATSTYLSSPAQSSSASTTPTSEYDSLVPESGFQLAGFQLNLGSIDASGPMEVALAPIKSTLSAKKKQQKLKQQAPQPSSSQNDSSGPSMQPASTSKSPLPSSPPPPAATSTAVAPSKEQLFVEMMTSSPTFAQRKTFPSHVASDSPNKLDYQVLSPSQTTSGMDWSSTTTSGSQSHQAALQALAQATKFQELFQSGTTSGGKAEGVDGFGKGLMSVMSHHSFTNGSAPQSIATQKSNSFVLPQALSPAQSPDLNSSDNEMTSPTKGLLVALDIRQGATITVNEAPLPVSSVNSATFIKTESLDENWDETGWEETATRWASTGDDPEFHGTNNWTNGSSNGYNDDTNAPEAYDAPNFTGMGQASFRGGRRGSGGRGRGRGRRGRETGRGSPGGSKTGDLGNEQGRFERGRSAGRGRRPPGGRRRSYDRDRDRNEKGEENSETQGGDKQGSVGKKKGVMEWSHTDPNGELLVNIARNVDGNCDHLAIVCEDQNKGTIDILQKVKEEFDADNHDSIMQALRIQEATAQPRQKHQTQNQNQPQEWNGRARNTGVSTMM
+>sp|O75604|UBP2_HUMAN Ubiquitin carboxyl-terminal hydrolase 2 OS=Homo sapiens OX=9606 GN=USP2 PE=1 SV=2
+MSQLSSTLKRYTESARYTDAHYAKSGYGAYTPSSYGANLAASLLEKEKLGFKPVPTSSFLTRPRTYGPSSLLDYDRGRPLLRPDITGGGKRAESQTRGTERPLGSGLSGGSGFPYGVTNNCLSYLPINAYDQGVTLTQKLDSQSDLARDFSSLRTSDSYRIDPRNLGRSPMLARTRKELCTLQGLYQTASCPEYLVDYLENYGRKGSASQVPSQAPPSRVPEIISPTYRPIGRYTLWETGKGQAPGPSRSSSPGRDGMNSKSAQGLAGLRNLGNTCFMNSILQCLSNTRELRDYCLQRLYMRDLHHGSNAHTALVEEFAKLIQTIWTSSPNDVVSPSEFKTQIQRYAPRFVGYNQQDAQEFLRFLLDGLHNEVNRVTLRPKSNPENLDHLPDDEKGRQMWRKYLEREDSRIGDLFVGQLKSSLTCTDCGYCSTVFDPFWDLSLPIAKRGYPEVTLMDCMRLFTKEDVLDGDEKPTCCRCRGRKRCIKKFSIQRFPKILVLHLKRFSESRIRTSKLTTFVNFPLRDLDLREFASENTNHAVYNLYAVSNHSGTTMGGHYTAYCRSPGTGEWHTFNDSSVTPMSSSQVRTSDAYLLFYELASPPSRM
+>DECOY_sp|O75604|UBP2_HUMAN Ubiquitin carboxyl-terminal hydrolase 2 OS=Homo sapiens OX=9606 GN=USP2 PE=1 SV=2
+MRSPPSALEYFLLYADSTRVQSSSMPTVSSDNFTHWEGTGPSRCYATYHGGMTTGSHNSVAYLNYVAHNTNESAFERLDLDRLPFNVFTTLKSTRIRSESFRKLHLVLIKPFRQISFKKICRKRGRCRCCTPKEDGDLVDEKTFLRMCDMLTVEPYGRKAIPLSLDWFPDFVTSCYGCDTCTLSSKLQGVFLDGIRSDERELYKRWMQRGKEDDPLHDLNEPNSKPRLTVRNVENHLGDLLFRLFEQADQQNYGVFRPAYRQIQTKFESPSVVDNPSSTWITQILKAFEEVLATHANSGHHLDRMYLRQLCYDRLERTNSLCQLISNMFCTNGLNRLGALGQASKSNMGDRGPSSSRSPGPAQGKGTEWLTYRGIPRYTPSIIEPVRSPPAQSPVQSASGKRGYNELYDVLYEPCSATQYLGQLTCLEKRTRALMPSRGLNRPDIRYSDSTRLSSFDRALDSQSDLKQTLTVGQDYANIPLYSLCNNTVGYPFGSGGSLGSGLPRETGRTQSEARKGGGTIDPRLLPRGRDYDLLSSPGYTRPRTLFSSTPVPKFGLKEKELLSAALNAGYSSPTYAGYGSKAYHADTYRASETYRKLTSSLQSM
+>sp|Q70CQ4|UBP31_HUMAN Ubiquitin carboxyl-terminal hydrolase 31 OS=Homo sapiens OX=9606 GN=USP31 PE=2 SV=2
+MSKVTAPGSGPPAAASGKEKRSFSKRLFRSGRAGGGGAGGPGASGPAAPSSPSSPSSARSVGSFMSRVLKTLSTLSHLSSEGAAPDRGGLRSCFPPGPAAAPTPPPCPPPPASPAPPACAAEPVPGVAGLRNHGNTCFMNATLQCLSNTELFAEYLALGQYRAGRPEPSPDPEQPAGRGAQGQGEVTEQLAHLVRALWTLEYTPQHSRDFKTIVSKNALQYRGNSQHDAQEFLLWLLDRVHEDLNHSVKQSGQPPLKPPSETDMMPEGPSFPVCSTFVQELFQAQYRSSLTCPHCQKQSNTFDPFLCISLPIPLPHTRPLYVTVVYQGKCSHCMRIGVAVPLSGTVARLREAVSMETKIPTDQIVLTEMYYDGFHRSFCDTDDLETVHESDCIFAFETPEIFRPEGILSQRGIHLNNNLNHLKFGLDYHRLSSPTQTAAKQGKMDSPTSRAGSDKIVLLVCNRACTGQQGKRFGLPFVLHLEKTIAWDLLQKEILEKMKYFLRPTVCIQVCPFSLRVVSVVGITYLLPQEEQPLCHPIVERALKSCGPGGTAHVKLVVEWDKETRDFLFVNTEDEYIPDAESVRLQRERHHQPQTCTLSQCFQLYTKEERLAPDDAWRCPHCKQLQQGSITLSLWTLPDVLIIHLKRFRQEGDRRMKLQNMVKFPLTGLDMTPHVVKRSQSSWSLPSHWSPWRRPYGLGRDPEDYIYDLYAVCNHHGTMQGGHYTAYCKNSVDGLWYCFDDSDVQQLSEDEVCTQTAYILFYQRRTAIPSWSANSSVAGSTSSSLCEHWVSRLPGSKPASVTSAASSRRTSLASLSESVEMTGERSEDDGGFSTRPFVRSVQRQSLSSRSSVTSPLAVNENCMRPSWSLSAKLQMRSNSPSRFSGDSPIHSSASTLEKIGEAADDKVSISCFGSLRNLSSSYQEPSDSHSRREHKAVGRAPLAVMEGVFKDESDTRRLNSSVVDTQSKHSAQGDRLPPLSGPFDNNNQIAYVDQSDSVDSSPVKEVKAPSHPGSLAKKPESTTKRSPSSKGTSEPEKSLRKGRPALASQESSLSSTSPSSPLPVKVSLKPSRSRSKADSSSRGSGRHSSPAPAQPKKESSPKSQDSVSSPSPQKQKSASALTYTASSTSAKKASGPATRSPFPPGKSRTSDHSLSREGSRQSLGSDRASATSTSKPNSPRVSQARAGEGRGAGKHVRSSSMASLRSPSTSIKSGLKRDSKSEDKGLSFFKSALRQKETRRSTDLGKTALLSKKAGGSSVKSVCKNTGDDEAERGHQPPASQQPNANTTGKEQLVTKDPASAKHSLLSARKSKSSQLDSGVPSSPGGRQSAEKSSKKLSSSMQTSARPSQKPQ
+>DECOY_sp|Q70CQ4|UBP31_HUMAN Ubiquitin carboxyl-terminal hydrolase 31 OS=Homo sapiens OX=9606 GN=USP31 PE=2 SV=2
+QPKQSPRASTQMSSSLKKSSKEASQRGGPSSPVGSDLQSSKSKRASLLSHKASAPDKTVLQEKGTTNANPQQSAPPQHGREAEDDGTNKCVSKVSSGGAKKSLLATKGLDTSRRTEKQRLASKFFSLGKDESKSDRKLGSKISTSPSRLSAMSSSRVHKGAGRGEGARAQSVRPSNPKSTSTASARDSGLSQRSGERSLSHDSTRSKGPPFPSRTAPGSAKKASTSSATYTLASASKQKQPSPSSVSDQSKPSSEKKPQAPAPSSHRGSGRSSSDAKSRSRSPKLSVKVPLPSSPSTSSLSSEQSALAPRGKRLSKEPESTGKSSPSRKTTSEPKKALSGPHSPAKVEKVPSSDVSDSQDVYAIQNNNDFPGSLPPLRDGQASHKSQTDVVSSNLRRTDSEDKFVGEMVALPARGVAKHERRSHSDSPEQYSSSLNRLSGFCSISVKDDAAEGIKELTSASSHIPSDGSFRSPSNSRMQLKASLSWSPRMCNENVALPSTVSSRSSLSQRQVSRVFPRTSFGGDDESREGTMEVSESLSALSTRRSSAASTVSAPKSGPLRSVWHECLSSSTSGAVSSNASWSPIATRRQYFLIYATQTCVEDESLQQVDSDDFCYWLGDVSNKCYATYHGGQMTGHHNCVAYLDYIYDEPDRGLGYPRRWPSWHSPLSWSSQSRKVVHPTMDLGTLPFKVMNQLKMRRDGEQRFRKLHIILVDPLTWLSLTISGQQLQKCHPCRWADDPALREEKTYLQFCQSLTCTQPQHHRERQLRVSEADPIYEDETNVFLFDRTEKDWEVVLKVHATGGPGCSKLAREVIPHCLPQEEQPLLYTIGVVSVVRLSFPCVQICVTPRLFYKMKELIEKQLLDWAITKELHLVFPLGFRKGQQGTCARNCVLLVIKDSGARSTPSDMKGQKAATQTPSSLRHYDLGFKLHNLNNNLHIGRQSLIGEPRFIEPTEFAFICDSEHVTELDDTDCFSRHFGDYYMETLVIQDTPIKTEMSVAERLRAVTGSLPVAVGIRMCHSCKGQYVVTVYLPRTHPLPIPLSICLFPDFTNSQKQCHPCTLSSRYQAQFLEQVFTSCVPFSPGEPMMDTESPPKLPPQGSQKVSHNLDEHVRDLLWLLFEQADHQSNGRYQLANKSVITKFDRSHQPTYELTWLARVLHALQETVEGQGQAGRGAPQEPDPSPEPRGARYQGLALYEAFLETNSLCQLTANMFCTNGHNRLGAVGPVPEAACAPPAPSAPPPPCPPPTPAAAPGPPFCSRLGGRDPAAGESSLHSLTSLTKLVRSMFSGVSRASSPSSPSSPAAPGSAGPGGAGGGGARGSRFLRKSFSRKEKGSAAAPPGSGPATVKSM
+>sp|Q8TEY7|UBP33_HUMAN Ubiquitin carboxyl-terminal hydrolase 33 OS=Homo sapiens OX=9606 GN=USP33 PE=1 SV=2
+MTGSNSHITILTLKVLPHFESLGKQEKIPNKMSAFRNHCPHLDSVGEITKEDLIQKSLGTCQDCKVQGPNLWACLENRCSYVGCGESQVDHSTIHSQETKHYLTVNLTTLRVWCYACSKEVFLDRKLGTQPSLPHVRQPHQIQENSVQDFKIPSNTTLKTPLVAVFDDLDIEADEEDELRARGLTGLKNIGNTCYMNAALQALSNCPPLTQFFLDCGGLARTDKKPAICKSYLKLMTELWHKSRPGSVVPTTLFQGIKTVNPTFRGYSQQDAQEFLRCLMDLLHEELKEQVMEVEEDPQTITTEETMEEDKSQSDVDFQSCESCSNSDRAENENGSRCFSEDNNETTMLIQDDENNSEMSKDWQKEKMCNKINKVNSEGEFDKDRDSISETVDLNNQETVKVQIHSRASEYITDVHSNDLSTPQILPSNEGVNPRLSASPPKSGNLWPGLAPPHKKAQSASPKRKKQHKKYRSVISDIFDGTIISSVQCLTCDRVSVTLETFQDLSLPIPGKEDLAKLHSSSHPTSIVKAGSCGEAYAPQGWIAFFMEYVKRFVVSCVPSWFWGPVVTLQDCLAAFFARDELKGDNMYSCEKCKKLRNGVKFCKVQNFPEILCIHLKRFRHELMFSTKISTHVSFPLEGLDLQPFLAKDSPAQIVTYDLLSVICHHGTASSGHYIAYCRNNLNNLWYEFDDQSVTEVSESTVQNAEAYVLFYRKSSEEAQKERRRISNLLNIMEPSLLQFYISRQWLNKFKTFAEPGPISNNDFLCIHGGVPPRKAGYIEDLVLMLPQNIWDNLYSRYGGGPAVNHLYICHTCQIEAEKIEKRRKTELEIFIRLNRAFQKEDSPATFYCISMQWFREWESFVKGKDGDPPGPIDNTKIAVTKCGNVMLRQGADSGQISEETWNFLQSIYGGGPEVILRPPVVHVDPDILQAEEKIEVETRSL
+>DECOY_sp|Q8TEY7|UBP33_HUMAN Ubiquitin carboxyl-terminal hydrolase 33 OS=Homo sapiens OX=9606 GN=USP33 PE=1 SV=2
+LSRTEVEIKEEAQLIDPDVHVVPPRLIVEPGGGYISQLFNWTEESIQGSDAGQRLMVNGCKTVAIKTNDIPGPPDGDKGKVFSEWERFWQMSICYFTAPSDEKQFARNLRIFIELETKRRKEIKEAEIQCTHCIYLHNVAPGGGYRSYLNDWINQPLMLVLDEIYGAKRPPVGGHICLFDNNSIPGPEAFTKFKNLWQRSIYFQLLSPEMINLLNSIRRREKQAEESSKRYFLVYAEANQVTSESVETVSQDDFEYWLNNLNNRCYAIYHGSSATGHHCIVSLLDYTVIQAPSDKALFPQLDLGELPFSVHTSIKTSFMLEHRFRKLHICLIEPFNQVKCFKVGNRLKKCKECSYMNDGKLEDRAFFAALCDQLTVVPGWFWSPVCSVVFRKVYEMFFAIWGQPAYAEGCSGAKVISTPHSSSHLKALDEKGPIPLSLDQFTELTVSVRDCTLCQVSSIITGDFIDSIVSRYKKHQKKRKPSASQAKKHPPALGPWLNGSKPPSASLRPNVGENSPLIQPTSLDNSHVDTIYESARSHIQVKVTEQNNLDVTESISDRDKDFEGESNVKNIKNCMKEKQWDKSMESNNEDDQILMTTENNDESFCRSGNENEARDSNSCSECSQFDVDSQSKDEEMTEETTITQPDEEVEMVQEKLEEHLLDMLCRLFEQADQQSYGRFTPNVTKIGQFLTTPVVSGPRSKHWLETMLKLYSKCIAPKKDTRALGGCDLFFQTLPPCNSLAQLAANMYCTNGINKLGTLGRARLEDEEDAEIDLDDFVAVLPTKLTTNSPIKFDQVSNEQIQHPQRVHPLSPQTGLKRDLFVEKSCAYCWVRLTTLNVTLYHKTEQSHITSHDVQSEGCGVYSCRNELCAWLNPGQVKCDQCTGLSKQILDEKTIEGVSDLHPCHNRFASMKNPIKEQKGLSEFHPLVKLTLITIHSNSGTM
+>sp|Q9UMX0|UBQL1_HUMAN Ubiquilin-1 OS=Homo sapiens OX=9606 GN=UBQLN1 PE=1 SV=2
+MAESGESGGPPGSQDSAAGAEGAGAPAAAASAEPKIMKVTVKTPKEKEEFAVPENSSVQQFKEEISKRFKSHTDQLVLIFAGKILKDQDTLSQHGIHDGLTVHLVIKTQNRPQDHSAQQTNTAGSNVTTSSTPNSNSTSGSATSNPFGLGGLGGLAGLSSLGLNTTNFSELQSQMQRQLLSNPEMMVQIMENPFVQSMLSNPDLMRQLIMANPQMQQLIQRNPEISHMLNNPDIMRQTLELARNPAMMQEMMRNQDRALSNLESIPGGYNALRRMYTDIQEPMLSAAQEQFGGNPFASLVSNTSSGEGSQPSRTENRDPLPNPWAPQTSQSSSASSGTASTVGGTTGSTASGTSGQSTTAPNLVPGVGASMFNTPGMQSLLQQITENPQLMQNMLSAPYMRSMMQSLSQNPDLAAQMMLNNPLFAGNPQLQEQMRQQLPTFLQQMQNPDTLSAMSNPRAMQALLQIQQGLQTLATEAPGLIPGFTPGLGALGSTGGSSGTNGSNATPSENTSPTAGTTEPGHQQFIQQMLQALAGVNPQLQNPEVRFQQQLEQLSAMGFLNREANLQALIATGGDINAAIERLLGSQPS
+>DECOY_sp|Q9UMX0|UBQL1_HUMAN Ubiquilin-1 OS=Homo sapiens OX=9606 GN=UBQLN1 PE=1 SV=2
+SPQSGLLREIAANIDGGTAILAQLNAERNLFGMASLQELQQQFRVEPNQLQPNVGALAQLMQQIFQQHGPETTGATPSTNESPTANSGNTGSSGGTSGLAGLGPTFGPILGPAETALTQLGQQIQLLAQMARPNSMASLTDPNQMQQLFTPLQQRMQEQLQPNGAFLPNNLMMQAALDPNQSLSQMMSRMYPASLMNQMLQPNETIQQLLSQMGPTNFMSAGVGPVLNPATTSQGSTGSATSGTTGGVTSATGSSASSSQSTQPAWPNPLPDRNETRSPQSGEGSSTNSVLSAFPNGGFQEQAASLMPEQIDTYMRRLANYGGPISELNSLARDQNRMMEQMMAPNRALELTQRMIDPNNLMHSIEPNRQILQQMQPNAMILQRMLDPNSLMSQVFPNEMIQVMMEPNSLLQRQMQSQLESFNTTNLGLSSLGALGGLGGLGFPNSTASGSTSNSNPTSSTTVNSGATNTQQASHDQPRNQTKIVLHVTLGDHIGHQSLTDQDKLIKGAFILVLQDTHSKFRKSIEEKFQQVSSNEPVAFEEKEKPTKVTVKMIKPEASAAAAPAGAGEAGAASDQSGPPGGSEGSEAM
+>sp|Q6ZT12|UBR3_HUMAN E3 ubiquitin-protein ligase UBR3 OS=Homo sapiens OX=9606 GN=UBR3 PE=2 SV=2
+MAAAAAAAVGGQQPSQPELPAPGLALDKAATAAHLKAALSRPDNRAGAEELQALLERVLSAERPLAAAAGGEDAAAAGGGGGPGAAEEEALEWCKCLLAGGGGYDEFCAAVRAYDPAALCGLVWTANFVAYRCRTCGISPCMSLCAECFHQGDHTGHDFNMFRSQAGGACDCGDSNVMRESGFCKRHQIKSSSNIPCVPKDLLMMSEFVLPRFIFCLIQYLREGYNEPAADGPSEKDLNKVLQLLEPQISFLEDLTKMGGAMRSVLTQVLTNQQNYKDLTSGLGENACVKKSHEKYLIALKSSGLTYPEDKLVYGVQEPSAGTSSLAVQGFIGATGTLGQVDSSDEDDQDGSQGLGKRKRVKLSSGTKDQSIMDVLKHKSFLEELLFWTIKYEFPQKMVTFLLNMLPDQEYKVAFTKTFVQHYAFIMKTLKKSHESDTMSNRIVHISVQLFSNEELARQVTEECQLLDIMVTVLLYMMESCLIKSELQDEENSLHVVVNCGEALLKNNTYWPLVSDFINILSHQSVAKRFLEDHGLLVTWMNFVSFFQGMNLNKRELNEHVEFESQTYYAAFAAELEACAQPMWGLLSHCKVRETQEYTRNVVRYCLEALQDWFDAINFVDEPAPNQVTFHLPLHRYYAMFLSKAVKCQELDLDSVLPDQEMLMKLMIHPLQIQASLAEIHSNMWVRNGLQIKGQAMTYVQSHFCNSMIDPDIYLLQVCASRLDPDYFISSVFERFKVVDLLTMASQHQNTVLDAEHERSMLEGALTFLVILLSLRLHLGMSDDEILRAEMVAQLCMNDRTHSSLLDLIPENPNPKSGIIPGSYSFESVLSAVADFKAPVFEPGGSMQQGMYTPKAEVWDQEFDPVMVILRTVYRRDVQSAMDRYTAFLKQSGKFPGNPWPPYKKRTSLHPSYKGLMRLLHCKTLHIVLFTLLYKILMDHQNLSEHVLCMVLYLIELGLENSAEEESDEEASVGGPERCHDSWFPGSNLVSNMRHFINYVRVRVPETAPEVKRDSPASTSSDNLGSLQNSGTAQVFSLVAERRKKFQEIINRSSSEANQVVRPKTSSKWSAPGSAPQLTTAILEIKESILSLLIKLHHKLSGKQNSYYPPWLDDIEILIQPEIPKYSHGDGITAVERILLKAASQSRMNKRIIEEICRKVTPPVPPKKVTAAEKKTLDKEERRQKARERQQKLLAEFASRQKSFMETAMDVDSPENDIPMEITTAEPQVSEAVYDCVICGQSGPSSEDRPTGLVVLLQASSVLGQCRDNVEPKKLPISEEEQIYPWDTCAAVHDVRLSLLQRYFKDSSCLLAVSIGWEGGVYVQTCGHTLHIDCHKSYMESLRNDQVLQGFSVDKGEFTCPLCRQFANSVLPCYPGSNVENNPWQRPSNKSIQDLIKEVEELQGRPGAFPSETNLSKEMESVMKDIKNTTQKKYRDYSKTPGSPDNDFLFMYSVARTNLELELIHRGGNLCSGGASTAGKRSCLNQLFHVLALHMRLYSIDSEYNPWRKLTQLEEMNPQLGYEEQQPEVPILYHDVTSLLLIQILMMPQPLRKDHFTCIVKVLFTLLYTQALAALSVKCSEEDRSAWKHAGALKKSTCDAEKSYEVLLSFVISELFKGKLYHEEGTQECAMVNPIAWSPESMEKCLQDFCLPFLRITSLLQHHLFGEDLPSCQEEEEFSVLASCLGLLPTFYQTEHPFISASCLDWPVPAFDIITQWCFEIKSFTERHAEQGKALLIQESKWKLPHLLQLPENYNTIFQYYHRKTCSVCTKVPKDPAVCLVCGTFVCLKGLCCKQQSYCECVLHSQNCGAGTGIFLLINASVIIIIRGHRFCLWGSVYLDAHGEEDRDLRRGKPLYICKERYKVLEQQWISHTFDHINKRWGPHYNGL
+>DECOY_sp|Q6ZT12|UBR3_HUMAN E3 ubiquitin-protein ligase UBR3 OS=Homo sapiens OX=9606 GN=UBR3 PE=2 SV=2
+LGNYHPGWRKNIHDFTHSIWQQELVKYREKCIYLPKGRRLDRDEEGHADLYVSGWLCFRHGRIIIIVSANILLFIGTGAGCNQSHLVCECYSQQKCCLGKLCVFTGCVLCVAPDKPVKTCVSCTKRHYYQFITNYNEPLQLLHPLKWKSEQILLAKGQEAHRETFSKIEFCWQTIIDFAPVPWDLCSASIFPHETQYFTPLLGLCSALVSFEEEEQCSPLDEGFLHHQLLSTIRLFPLCFDQLCKEMSEPSWAIPNVMACEQTGEEHYLKGKFLESIVFSLLVEYSKEADCTSKKLAGAHKWASRDEESCKVSLAALAQTYLLTFLVKVICTFHDKRLPQPMMLIQILLLSTVDHYLIPVEPQQEEYGLQPNMEELQTLKRWPNYESDISYLRMHLALVHFLQNLCSRKGATSAGGSCLNGGRHILELELNTRAVSYMFLFDNDPSGPTKSYDRYKKQTTNKIDKMVSEMEKSLNTESPFAGPRGQLEEVEKILDQISKNSPRQWPNNEVNSGPYCPLVSNAFQRCLPCTFEGKDVSFGQLVQDNRLSEMYSKHCDIHLTHGCTQVYVGGEWGISVALLCSSDKFYRQLLSLRVDHVAACTDWPYIQEEESIPLKKPEVNDRCQGLVSSAQLLVVLGTPRDESSPGSQGCIVCDYVAESVQPEATTIEMPIDNEPSDVDMATEMFSKQRSAFEALLKQQRERAKQRREEKDLTKKEAATVKKPPVPPTVKRCIEEIIRKNMRSQSAAKLLIREVATIGDGHSYKPIEPQILIEIDDLWPPYYSNQKGSLKHHLKILLSLISEKIELIATTLQPASGPASWKSSTKPRVVQNAESSSRNIIEQFKKRREAVLSFVQATGSNQLSGLNDSSTSAPSDRKVEPATEPVRVRVYNIFHRMNSVLNSGPFWSDHCREPGGVSAEEDSEEEASNELGLEILYLVMCLVHESLNQHDMLIKYLLTFLVIHLTKCHLLRMLGKYSPHLSTRKKYPPWPNGPFKGSQKLFATYRDMASQVDRRYVTRLIVMVPDFEQDWVEAKPTYMGQQMSGGPEFVPAKFDAVASLVSEFSYSGPIIGSKPNPNEPILDLLSSHTRDNMCLQAVMEARLIEDDSMGLHLRLSLLIVLFTLAGELMSREHEADLVTNQHQSAMTLLDVVKFREFVSSIFYDPDLRSACVQLLYIDPDIMSNCFHSQVYTMAQGKIQLGNRVWMNSHIEALSAQIQLPHIMLKMLMEQDPLVSDLDLEQCKVAKSLFMAYYRHLPLHFTVQNPAPEDVFNIADFWDQLAELCYRVVNRTYEQTERVKCHSLLGWMPQACAELEAAFAAYYTQSEFEVHENLERKNLNMGQFFSVFNMWTVLLGHDELFRKAVSQHSLINIFDSVLPWYTNNKLLAEGCNVVVHLSNEEDQLESKILCSEMMYLLVTVMIDLLQCEETVQRALEENSFLQVSIHVIRNSMTDSEHSKKLTKMIFAYHQVFTKTFAVKYEQDPLMNLLFTVMKQPFEYKITWFLLEELFSKHKLVDMISQDKTGSSLKVRKRKGLGQSGDQDDEDSSDVQGLTGTAGIFGQVALSSTGASPEQVGYVLKDEPYTLGSSKLAILYKEHSKKVCANEGLGSTLDKYNQQNTLVQTLVSRMAGGMKTLDELFSIQPELLQLVKNLDKESPGDAAPENYGERLYQILCFIFRPLVFESMMLLDKPVCPINSSSKIQHRKCFGSERMVNSDGCDCAGGAQSRFMNFDHGTHDGQHFCEACLSMCPSIGCTRCRYAVFNATWVLGCLAAPDYARVAACFEDYGGGGALLCKCWELAEEEAAGPGGGGGAAAADEGGAAAALPREASLVRELLAQLEEAGARNDPRSLAAKLHAATAAKDLALGPAPLEPQSPQQGGVAAAAAAAM
+>sp|O95071|UBR5_HUMAN E3 ubiquitin-protein ligase UBR5 OS=Homo sapiens OX=9606 GN=UBR5 PE=1 SV=2
+MTSIHFVVHPLPGTEDQLNDRLREVSEKLNKYNLNSHPPLNVLEQATIKQCVVGPNHAAFLLEDGRVCRIGFSVQPDRLELGKPDNNDGSKLNSNSGAGRTSRPGRTSDSPWFLSGSETLGRLAGNTLGSRWSSGVGGSGGGSSGRSSAGARDSRRQTRVIRTGRDRGSGLLGSQPQPVIPASVIPEELISQAQVVLQGKSRSVIIRELQRTNLDVNLAVNNLLSRDDEDGDDGDDTASESYLPGEDLMSLLDADIHSAHPSVIIDADAMFSEDISYFGYPSFRRSSLSRLGSSRVLLLPLERDSELLRERESVLRLRERRWLDGASFDNERGSTSKEGEPNLDKKNTPVQSPVSLGEDLQWWPDKDGTKFICIGALYSELLAVSSKGELYQWKWSESEPYRNAQNPSLHHPRATFLGLTNEKIVLLSANSIRATVATENNKVATWVDETLSSVASKLEHTAQTYSELQGERIVSLHCCALYTCAQLENSLYWWGVVPFSQRKKMLEKARAKNKKPKSSAGISSMPNITVGTQVCLRNNPLYHAGAVAFSISAGIPKVGVLMESVWNMNDSCRFQLRSPESLKNMEKASKTTEAKPESKQEPVKTEMGPPPSPASTCSDASSIASSASMPYKRRRSTPAPKEEEKVNEEQWSLREVVFVEDVKNVPVGKVLKVDGAYVAVKFPGTSSNTNCQNSSGPDADPSSLLQDCRLLRIDELQVVKTGGTPKVPDCFQRTPKKLCIPEKTEILAVNVDSKGVHAVLKTGNWVRYCIFDLATGKAEQENNFPTSSIAFLGQNERNVAIFTAGQESPIILRDGNGTIYPMAKDCMGGIRDPDWLDLPPISSLGMGVHSLINLPANSTIKKKAAVIIMAVEKQTLMQHILRCDYEACRQYLMNLEQAVVLEQNLQMLQTFISHRCDGNRNILHACVSVCFPTSNKETKEEEEAERSERNTFAERLSAVEAIANAISVVSSNGPGNRAGSSSSRSLRLREMMRRSLRAAGLGRHEAGASSSDHQDPVSPPIAPPSWVPDPPAMDPDGDIDFILAPAVGSLTTAATGTGQGPSTSTIPGPSTEPSVVESKDRKANAHFILKLLCDSVVLQPYLRELLSAKDARGMTPFMSAVSGRAYPAAITILETAQKIAKAEISSSEKEEDVFMGMVCPSGTNPDDSPLYVLCCNDTCSFTWTGAEHINQDIFECRTCGLLESLCCCTECARVCHKGHDCKLKRTSPTAYCDCWEKCKCKTLIAGQKSARLDLLYRLLTATNLVTLPNSRGEHLLLFLVQTVARQTVEHCQYRPPRIREDRNRKTASPEDSDMPDHDLEPPRFAQLALERVLQDWNALKSMIMFGSQENKDPLSASSRIGHLLPEEQVYLNQQSGTIRLDCFTHCLIVKCTADILLLDTLLGTLVKELQNKYTPGRREEAIAVTMRFLRSVARVFVILSVEMASSKKKNNFIPQPIGKCKRVFQALLPYAVEELCNVAESLIVPVRMGIARPTAPFTLASTSIDAMQGSEELFSVEPLPPRPSSDQSSSSSQSQSSYIIRNPQQRRISQSQPVRGRDEEQDDIVSADVEEVEVVEGVAGEEDHHDEQEEHGEENAEAEGQHDEHDEDGSDMELDLLAAAETESDSESNHSNQDNASGRRSVVTAATAGSEAGASSVPAFFSEDDSQSNDSSDSDSSSSQSDDIEQETFMLDEPLERTTNSSHANGAAQAPRSMQWAVRNTQHQRAASTAPSSTSTPAASSAGLIYIDPSNLRRSGTISTSAAAAAAALEASNASSYLTSASSLARAYSIVIRQISDLMGLIPKYNHLVYSQIPAAVKLTYQDAVNLQNYVEEKLIPTWNWMVSIMDSTEAQLRYGSALASAGDPGHPNHPLHASQNSARRERMTAREEASLRTLEGRRRATLLSARQGMMSARGDFLNYALSLMRSHNDEHSDVLPVLDVCSLKHVAYVFQALIYWIKAMNQQTTLDTPQLERKRTRELLELGIDNEDSEHENDDDTNQSATLNDKDDDSLPAETGQNHPFFRRSDSMTFLGCIPPNPFEVPLAEAIPLADQPHLLQPNARKEDLFGRPSQGLYSSSASSGKCLMEVTVDRNCLEVLPTKMSYAANLKNVMNMQNRQKKEGEEQPVLPEETESSKPGPSAHDLAAQLKSSLLAEIGLTESEGPPLTSFRPQCSFMGMVISHDMLLGRWRLSLELFGRVFMEDVGAEPGSILTELGGFEVKESKFRREMEKLRNQQSRDLSLEVDRDRDLLIQQTMRQLNNHFGRRCATTPMAVHRVKVTFKDEPGEGSGVARSFYTAIAQAFLSNEKLPNLECIQNANKGTHTSLMQRLRNRGERDREREREREMRRSSGLRAGSRRDRDRDFRRQLSIDTRPFRPASEGNPSDDPEPLPAHRQALGERLYPRVQAMQPAFASKITGMLLELSPAQLLLLLASEDSLRARVDEAMELIIAHGRENGADSILDLGLVDSSEKVQQENRKRHGSSRSVVDMDLDDTDDGDDNAPLFYQPGKRGFYTPRPGKNTEARLNCFRNIGRILGLCLLQNELCPITLNRHVIKVLLGRKVNWHDFAFFDPVMYESLRQLILASQSSDADAVFSAMDLAFAIDLCKEEGGGQVELIPNGVNIPVTPQNVYEYVRKYAEHRMLVVAEQPLHAMRKGLLDVLPKNSLEDLTAEDFRLLVNGCGEVNVQMLISFTSFNDESGENAEKLLQFKRWFWSIVEKMSMTERQDLVYFWTSSPSLPASEEGFQPMPSITIRPPDDQHLPTANTCISRLYVPLYSSKQILKQKLLLAIKTKNFGFV
+>DECOY_sp|O95071|UBR5_HUMAN E3 ubiquitin-protein ligase UBR5 OS=Homo sapiens OX=9606 GN=UBR5 PE=1 SV=2
+VFGFNKTKIALLLKQKLIQKSSYLPVYLRSICTNATPLHQDDPPRITISPMPQFGEESAPLSPSSTWFYVLDQRETMSMKEVISWFWRKFQLLKEANEGSEDNFSTFSILMQVNVEGCGNVLLRFDEATLDELSNKPLVDLLGKRMAHLPQEAVVLMRHEAYKRVYEYVNQPTVPINVGNPILEVQGGGEEKCLDIAFALDMASFVADADSSQSALILQRLSEYMVPDFFAFDHWNVKRGLLVKIVHRNLTIPCLENQLLCLGLIRGINRFCNLRAETNKGPRPTYFGRKGPQYFLPANDDGDDTDDLDMDVVSRSSGHRKRNEQQVKESSDVLGLDLISDAGNERGHAIILEMAEDVRARLSDESALLLLLQAPSLELLMGTIKSAFAPQMAQVRPYLREGLAQRHAPLPEPDDSPNGESAPRFPRTDISLQRRFDRDRDRRSGARLGSSRRMERERERERDREGRNRLRQMLSTHTGKNANQICELNPLKENSLFAQAIATYFSRAVGSGEGPEDKFTVKVRHVAMPTTACRRGFHNNLQRMTQQILLDRDRDVELSLDRSQQNRLKEMERRFKSEKVEFGGLETLISGPEAGVDEMFVRGFLELSLRWRGLLMDHSIVMGMFSCQPRFSTLPPGESETLGIEALLSSKLQAALDHASPGPKSSETEEPLVPQEEGEKKQRNQMNMVNKLNAAYSMKTPLVELCNRDVTVEMLCKGSSASSSYLGQSPRGFLDEKRANPQLLHPQDALPIAEALPVEFPNPPICGLFTMSDSRRFFPHNQGTEAPLSDDDKDNLTASQNTDDDNEHESDENDIGLELLERTRKRELQPTDLTTQQNMAKIWYILAQFVYAVHKLSCVDLVPLVDSHEDNHSRMLSLAYNLFDGRASMMGQRASLLTARRRGELTRLSAEERATMRERRASNQSAHLPHNPHGPDGASALASGYRLQAETSDMISVMWNWTPILKEEVYNQLNVADQYTLKVAAPIQSYVLHNYKPILGMLDSIQRIVISYARALSSASTLYSSANSAELAAAAAAASTSITGSRRLNSPDIYILGASSAAPTSTSSPATSAARQHQTNRVAWQMSRPAQAAGNAHSSNTTRELPEDLMFTEQEIDDSQSSSSDSDSSDNSQSDDESFFAPVSSAGAESGATAATVVSRRGSANDQNSHNSESDSETEAAALLDLEMDSGDEDHEDHQGEAEANEEGHEEQEDHHDEEGAVGEVVEVEEVDASVIDDQEEDRGRVPQSQSIRRQQPNRIIYSSQSQSSSSSQDSSPRPPLPEVSFLEESGQMADISTSALTFPATPRAIGMRVPVILSEAVNCLEEVAYPLLAQFVRKCKGIPQPIFNNKKKSSAMEVSLIVFVRAVSRLFRMTVAIAEERRGPTYKNQLEKVLTGLLTDLLLIDATCKVILCHTFCDLRITGSQQNLYVQEEPLLHGIRSSASLPDKNEQSGFMIMSKLANWDQLVRELALQAFRPPELDHDPMDSDEPSATKRNRDERIRPPRYQCHEVTQRAVTQVLFLLLHEGRSNPLTVLNTATLLRYLLDLRASKQGAILTKCKCKEWCDCYATPSTRKLKCDHGKHCVRACETCCCLSELLGCTRCEFIDQNIHEAGTWTFSCTDNCCLVYLPSDDPNTGSPCVMGMFVDEEKESSSIEAKAIKQATELITIAAPYARGSVASMFPTMGRADKASLLERLYPQLVVSDCLLKLIFHANAKRDKSEVVSPETSPGPITSTSPGQGTGTAATTLSGVAPALIFDIDGDPDMAPPDPVWSPPAIPPSVPDQHDSSSAGAEHRGLGAARLSRRMMERLRLSRSSSSGARNGPGNSSVVSIANAIAEVASLREAFTNRESREAEEEEKTEKNSTPFCVSVCAHLINRNGDCRHSIFTQLMQLNQELVVAQELNMLYQRCAEYDCRLIHQMLTQKEVAMIIVAAKKKITSNAPLNILSHVGMGLSSIPPLDLWDPDRIGGMCDKAMPYITGNGDRLIIPSEQGATFIAVNRENQGLFAISSTPFNNEQEAKGTALDFICYRVWNGTKLVAHVGKSDVNVALIETKEPICLKKPTRQFCDPVKPTGGTKVVQLEDIRLLRCDQLLSSPDADPGSSNQCNTNSSTGPFKVAVYAGDVKLVKGVPVNKVDEVFVVERLSWQEENVKEEEKPAPTSRRRKYPMSASSAISSADSCTSAPSPPPGMETKVPEQKSEPKAETTKSAKEMNKLSEPSRLQFRCSDNMNWVSEMLVGVKPIGASISFAVAGAHYLPNNRLCVQTGVTINPMSSIGASSKPKKNKARAKELMKKRQSFPVVGWWYLSNELQACTYLACCHLSVIREGQLESYTQATHELKSAVSSLTEDVWTAVKNNETAVTARISNASLLVIKENTLGLFTARPHHLSPNQANRYPESESWKWQYLEGKSSVALLESYLAGICIFKTGDKDPWWQLDEGLSVPSQVPTNKKDLNPEGEKSTSGRENDFSAGDLWRRERLRLVSERERLLESDRELPLLLVRSSGLRSLSSRRFSPYGFYSIDESFMADADIIVSPHASHIDADLLSMLDEGPLYSESATDDGDDGDEDDRSLLNNVALNVDLNTRQLERIIVSRSKGQLVVQAQSILEEPIVSAPIVPQPQSGLLGSGRDRGTRIVRTQRRSDRAGASSRGSSGGGSGGVGSSWRSGLTNGALRGLTESGSLFWPSDSTRGPRSTRGAGSNSNLKSGDNNDPKGLELRDPQVSFGIRCVRGDELLFAAHNPGVVCQKITAQELVNLPPHSNLNYKNLKESVERLRDNLQDETGPLPHVVFHISTM
+>sp|Q5T124|UBX11_HUMAN UBX domain-containing protein 11 OS=Homo sapiens OX=9606 GN=UBXN11 PE=1 SV=2
+MSSPLASLSKTRKVPLPSEPMNPGRRGIRIYGDEDEVDMLSDGCGSEEKISVPSCYGGIGAPVSRQVPASHDSELMAFMTRKLWDLEQQVKAQTDEILSKDQKIAALEDLVQTLRPHPAEATLQRQEELETMCVQLQRQVREMERFLSDYGLQWVGEPMDQEDSESKTVSEHGERDWMTAKKFWKPGDSLAPPEVDFDRLLASLQDLSELVVEGDTQVTPVPGGARLRTLEPIPLKLYRNGIMMFDGPFQPFYDPSTQRCLRDILDGFFPSELQRLYPNGVPFKVSDLRNQVYLEDGLDPFPGEGRVVGRQLMHKALDRVEEHPGSRMTAEKFLNRLPKFVIRQGEVIDIRGPIRDTLQNCCPLPARIQEIVVETPTLAAERERSQESPNTPAPPLSMLRIKSENGEQAFLLMMQPDNTIGDVRALLAQARVMDASAFEIFSTFPPTLYQDDTLTLQAAGLVPKAALLLRARRAPKSSLKFSPGPCPGPGPGPSPGPGPGPSPGPGPGPSPCPGPSPSPQ
+>DECOY_sp|Q5T124|UBX11_HUMAN UBX domain-containing protein 11 OS=Homo sapiens OX=9606 GN=UBXN11 PE=1 SV=2
+QPSPSPGPCPSPGPGPGPSPGPGPGPSPGPGPGPCPGPSFKLSSKPARRARLLLAAKPVLGAAQLTLTDDQYLTPPFTSFIEFASADMVRAQALLARVDGITNDPQMMLLFAQEGNESKIRLMSLPPAPTNPSEQSREREAALTPTEVVIEQIRAPLPCCNQLTDRIPGRIDIVEGQRIVFKPLRNLFKEATMRSGPHEEVRDLAKHMLQRGVVRGEGPFPDLGDELYVQNRLDSVKFPVGNPYLRQLESPFFGDLIDRLCRQTSPDYFPQFPGDFMMIGNRYLKLPIPELTRLRAGGPVPTVQTDGEVVLESLDQLSALLRDFDVEPPALSDGPKWFKKATMWDREGHESVTKSESDEQDMPEGVWQLGYDSLFREMERVQRQLQVCMTELEEQRQLTAEAPHPRLTQVLDELAAIKQDKSLIEDTQAKVQQELDWLKRTMFAMLESDHSAPVQRSVPAGIGGYCSPVSIKEESGCGDSLMDVEDEDGYIRIGRRGPNMPESPLPVKRTKSLSALPSSM
+>sp|P15374|UCHL3_HUMAN Ubiquitin carboxyl-terminal hydrolase isozyme L3 OS=Homo sapiens OX=9606 GN=UCHL3 PE=1 SV=1
+MEGQRWLPLEANPEVTNQFLKQLGLHPNWQFVDVYGMDPELLSMVPRPVCAVLLLFPITEKYEVFRTEEEEKIKSQGQDVTSSVYFMKQTISNACGTIGLIHAIANNKDKMHFESGSTLKKFLEESVSMSPEERARYLENYDAIRVTHETSAHEGQTEAPSIDEKVDLHFIALVHVDGHLYELDGRKPFPINHGETSDETLLEDAIEVCKKFMERDPDELRFNAIALSAA
+>DECOY_sp|P15374|UCHL3_HUMAN Ubiquitin carboxyl-terminal hydrolase isozyme L3 OS=Homo sapiens OX=9606 GN=UCHL3 PE=1 SV=1
+AASLAIANFRLEDPDREMFKKCVEIADELLTEDSTEGHNIPFPKRGDLEYLHGDVHVLAIFHLDVKEDISPAETQGEHASTEHTVRIADYNELYRAREEPSMSVSEELFKKLTSGSEFHMKDKNNAIAHILGITGCANSITQKMFYVSSTVDQGQSKIKEEEETRFVEYKETIPFLLLVACVPRPVMSLLEPDMGYVDVFQWNPHLGLQKLFQNTVEPNAELPLWRQGEM
+>sp|Q9HAW8|UD110_HUMAN UDP-glucuronosyltransferase 1-10 OS=Homo sapiens OX=9606 GN=UGT1A10 PE=1 SV=1
+MARAGWTSPVPLCVCLLLTCGFAEAGKLLVVPMDGSHWFTMQSVVEKLILRGHEVVVVMPEVSWQLERSLNCTVKTYSTSYTLEDQNREFMVFAHAQWKAQAQSIFSLLMSSSSGFLDLFFSHCRSLFNDRKLVEYLKESSFDAVFLDPFDTCGLIVAKYFSLPSVVFTRGIFCHHLEEGAQCPAPLSYVPNDLLGFSDAMTFKERVWNHIVHLEDHLFCQYLFRNALEIASEILQTPVTAYDLYSHTSIWLLRTDFVLDYPKPVMPNMIFIGGINCHQGKPLPMEFEAYINASGEHGIVVFSLGSMVSEIPEKKAMAIADALGKIPQTVLWRYTGTRPSNLANNTILVKWLPQNDLLGHPMTRAFITHAGSHGVYESICNGVPMVMMPLFGDQMDNAKRMETKGAGVTLNVLEMTSEDLENALKAVINDKSYKENIMRLSSLHKDRPVEPLDLAVFWVEFVMRHKGAPHLRPAAHDLTWYQYHSLDVIGFLLAVVLTVAFITFKCCAYGYRKCLGKKGRVKKAHKSKTH
+>DECOY_sp|Q9HAW8|UD110_HUMAN UDP-glucuronosyltransferase 1-10 OS=Homo sapiens OX=9606 GN=UGT1A10 PE=1 SV=1
+HTKSKHAKKVRGKKGLCKRYGYACCKFTIFAVTLVVALLFGIVDLSHYQYWTLDHAAPRLHPAGKHRMVFEVWFVALDLPEVPRDKHLSSLRMINEKYSKDNIVAKLANELDESTMELVNLTVGAGKTEMRKANDMQDGFLPMMVMPVGNCISEYVGHSGAHTIFARTMPHGLLDNQPLWKVLITNNALNSPRTGTYRWLVTQPIKGLADAIAMAKKEPIESVMSGLSFVVIGHEGSANIYAEFEMPLPKGQHCNIGGIFIMNPMVPKPYDLVFDTRLLWISTHSYLDYATVPTQLIESAIELANRFLYQCFLHDELHVIHNWVREKFTMADSFGLLDNPVYSLPAPCQAGEELHHCFIGRTFVVSPLSFYKAVILGCTDFPDLFVADFSSEKLYEVLKRDNFLSRCHSFFLDLFGSSSSMLLSFISQAQAKWQAHAFVMFERNQDELTYSTSYTKVTCNLSRELQWSVEPMVVVVEHGRLILKEVVSQMTFWHSGDMPVVLLKGAEAFGCTLLLCVCLPVPSTWGARAM
+>sp|P35503|UD13_HUMAN UDP-glucuronosyltransferase 1-3 OS=Homo sapiens OX=9606 GN=UGT1A3 PE=1 SV=1
+MATGLQVPLPWLATGLLLLLSVQPWAESGKVLVVPIDGSHWLSMREVLRELHARGHQAVVLTPEVNMHIKEENFFTLTTYAISWTQDEFDRHVLGHTQLYFETEHFLKKFFRSMAMLNNMSLVYHRSCVELLHNEALIRHLNATSFDVVLTDPVNLCAAVLAKYLSIPTVFFLRNIPCDLDFKGTQCPNPSSYIPRLLTTNSDHMTFMQRVKNMLYPLALSYICHAFSAPYASLASELFQREVSVVDILSHASVWLFRGDFVMDYPRPIMPNMVFIGGINCANRKPLSQEFEAYINASGEHGIVVFSLGSMVSEIPEKKAMAIADALGKIPQTVLWRYTGTRPSNLANNTILVKWLPQNDLLGHPMTRAFITHAGSHGVYESICNGVPMVMMPLFGDQMDNAKRMETKGAGVTLNVLEMTSEDLENALKAVINDKSYKENIMRLSSLHKDRPVEPLDLAVFWVEFVMRHKGAPHLRPAAHDLTWYQYHSLDVIGFLLAVVLTVAFITFKCCAYGYRKCLGKKGRVKKAHKSKTH
+>DECOY_sp|P35503|UD13_HUMAN UDP-glucuronosyltransferase 1-3 OS=Homo sapiens OX=9606 GN=UGT1A3 PE=1 SV=1
+HTKSKHAKKVRGKKGLCKRYGYACCKFTIFAVTLVVALLFGIVDLSHYQYWTLDHAAPRLHPAGKHRMVFEVWFVALDLPEVPRDKHLSSLRMINEKYSKDNIVAKLANELDESTMELVNLTVGAGKTEMRKANDMQDGFLPMMVMPVGNCISEYVGHSGAHTIFARTMPHGLLDNQPLWKVLITNNALNSPRTGTYRWLVTQPIKGLADAIAMAKKEPIESVMSGLSFVVIGHEGSANIYAEFEQSLPKRNACNIGGIFVMNPMIPRPYDMVFDGRFLWVSAHSLIDVVSVERQFLESALSAYPASFAHCIYSLALPYLMNKVRQMFTMHDSNTTLLRPIYSSPNPCQTGKFDLDCPINRLFFVTPISLYKALVAACLNVPDTLVVDFSTANLHRILAENHLLEVCSRHYVLSMNNLMAMSRFFKKLFHETEFYLQTHGLVHRDFEDQTWSIAYTTLTFFNEEKIHMNVEPTLVVAQHGRAHLERLVERMSLWHSGDIPVVLVKGSEAWPQVSLLLLLGTALWPLPVQLGTAM
+>sp|P19224|UD16_HUMAN UDP-glucuronosyltransferase 1-6 OS=Homo sapiens OX=9606 GN=UGT1A6 PE=1 SV=2
+MACLLRSFQRISAGVFFLALWGMVVGDKLLVVPQDGSHWLSMKDIVEVLSDRGHEIVVVVPEVNLLLKESKYYTRKIYPVPYDQEELKNRYQSFGNNHFAERSFLTAPQTEYRNNMIVIGLYFINCQSLLQDRDTLNFFKESKFDALFTDPALPCGVILAEYLGLPSVYLFRGFPCSLEHTFSRSPDPVSYIPRCYTKFSDHMTFSQRVANFLVNLLEPYLFYCLFSKYEELASAVLKRDVDIITLYQKVSVWLLRYDFVLEYPRPVMPNMVFIGGINCKKRKDLSQEFEAYINASGEHGIVVFSLGSMVSEIPEKKAMAIADALGKIPQTVLWRYTGTRPSNLANNTILVKWLPQNDLLGHPMTRAFITHAGSHGVYESICNGVPMVMMPLFGDQMDNAKRMETKGAGVTLNVLEMTSEDLENALKAVINDKSYKENIMRLSSLHKDRPVEPLDLAVFWVEFVMRHKGAPHLRPAAHDLTWYQYHSLDVIGFLLAVVLTVAFITFKCCAYGYRKCLGKKGRVKKAHKSKTH
+>DECOY_sp|P19224|UD16_HUMAN UDP-glucuronosyltransferase 1-6 OS=Homo sapiens OX=9606 GN=UGT1A6 PE=1 SV=2
+HTKSKHAKKVRGKKGLCKRYGYACCKFTIFAVTLVVALLFGIVDLSHYQYWTLDHAAPRLHPAGKHRMVFEVWFVALDLPEVPRDKHLSSLRMINEKYSKDNIVAKLANELDESTMELVNLTVGAGKTEMRKANDMQDGFLPMMVMPVGNCISEYVGHSGAHTIFARTMPHGLLDNQPLWKVLITNNALNSPRTGTYRWLVTQPIKGLADAIAMAKKEPIESVMSGLSFVVIGHEGSANIYAEFEQSLDKRKKCNIGGIFVMNPMVPRPYELVFDYRLLWVSVKQYLTIIDVDRKLVASALEEYKSFLCYFLYPELLNVLFNAVRQSFTMHDSFKTYCRPIYSVPDPSRSFTHELSCPFGRFLYVSPLGLYEALIVGCPLAPDTFLADFKSEKFFNLTDRDQLLSQCNIFYLGIVIMNNRYETQPATLFSREAFHNNGFSQYRNKLEEQDYPVPYIKRTYYKSEKLLLNVEPVVVVIEHGRDSLVEVIDKMSLWHSGDQPVVLLKDGVVMGWLALFFVGASIRQFSRLLCAM
+>sp|Q9BZ98|TTY12_HUMAN Putative transcript Y 12 protein OS=Homo sapiens OX=9606 GN=TTTY12 PE=5 SV=1
+MIDPETRHKAFLKAWPWQNSTITFVPGLAICHYSSVQVPRRGAILPMLYALCYVKMPSFQHGPGRMYHLTCDWPRKMSLSCHVCRAHFRD
+>DECOY_sp|Q9BZ98|TTY12_HUMAN Putative transcript Y 12 protein OS=Homo sapiens OX=9606 GN=TTTY12 PE=5 SV=1
+DRFHARCVHCSLSMKRPWDCTLHYMRGPGHQFSPMKVYCLAYLMPLIAGRRPVQVSSYHCIALGPVFTITSNQWPWAKLFAKHRTEPDIM
+>sp|Q9C0H2|TTYH3_HUMAN Protein tweety homolog 3 OS=Homo sapiens OX=9606 GN=TTYH3 PE=1 SV=3
+MAGVSYAAPWWVSLLHRLPHFDLSWEATSSQFRPEDTDYQQALLLLGAAALACLALDLLFLLFYSFWLCCRRRKSEEHLDADCCCTAWCVIIATLVCSAGIAVGFYGNGETSDGIHRATYSLRHANRTVAGVQDRVWDTAVGLNHTAEPSLQTLERQLAGRPEPLRAVQRLQGLLETLLGYTAAIPFWRNTAVSLEVLAEQVDLYDWYRWLGYLGLLLLDVIICLLVLVGLIRSSKGILVGVCLLGVLALVISWGALGLELAVSVGSSDFCVDPDAYVTKMVEEYSVLSGDILQYYLACSPRAANPFQQKLSGSHKALVEMQDVVAELLRTVPWEQPATKDPLLRVQEVLNGTEVNLQHLTALVDCRSLHLDYVQALTGFCYDGVEGLIYLALFSFVTALMFSSIVCSVPHTWQQKRGPDEDGEEEAAPGPRQAHDSLYRVHMPSLYSCGSSYGSETSIPAAAHTVSNAPVTEYMSQNANFQNPRCENTPLIGRESPPPSYTSSMRAKYLATSQPRPDSSGSH
+>DECOY_sp|Q9C0H2|TTYH3_HUMAN Protein tweety homolog 3 OS=Homo sapiens OX=9606 GN=TTYH3 PE=1 SV=3
+HSGSSDPRPQSTALYKARMSSTYSPPPSERGILPTNECRPNQFNANQSMYETVPANSVTHAAAPISTESGYSSGCSYLSPMHVRYLSDHAQRPGPAAEEEGDEDPGRKQQWTHPVSCVISSFMLATVFSFLALYILGEVGDYCFGTLAQVYDLHLSRCDVLATLHQLNVETGNLVEQVRLLPDKTAPQEWPVTRLLEAVVDQMEVLAKHSGSLKQQFPNAARPSCALYYQLIDGSLVSYEEVMKTVYADPDVCFDSSGVSVALELGLAGWSIVLALVGLLCVGVLIGKSSRILGVLVLLCIIVDLLLLGLYGLWRYWDYLDVQEALVELSVATNRWFPIAATYGLLTELLGQLRQVARLPEPRGALQRELTQLSPEATHNLGVATDWVRDQVGAVTRNAHRLSYTARHIGDSTEGNGYFGVAIGASCVLTAIIVCWATCCCDADLHEESKRRRCCLWFSYFLLFLLDLALCALAAAGLLLLAQQYDTDEPRFQSSTAEWSLDFHPLRHLLSVWWPAAYSVGAM
+>sp|Q2TAM9|TUSC1_HUMAN Tumor suppressor candidate gene 1 protein OS=Homo sapiens OX=9606 GN=TUSC1 PE=1 SV=3
+MGPMWRMRGGATRRGSCCGGDGAADGRGPGRSGRARGGGSPSGGGGGVGWRGRADGARQQLEERFADLAASHLEAIRARDEWDRQNARLRQENARLRLENRRLKRENRSLFRQALRLPGEGGNGTPAEARRVPEEASTNRRARDSGREDEPGSPRALRARLEKLEAMYRRALLQLHLEQRGPRPSGDKEEQPLQEPDSGLRSRDSEPSGPWL
+>DECOY_sp|Q2TAM9|TUSC1_HUMAN Tumor suppressor candidate gene 1 protein OS=Homo sapiens OX=9606 GN=TUSC1 PE=1 SV=3
+LWPGSPESDRSRLGSDPEQLPQEEKDGSPRPGRQELHLQLLARRYMAELKELRARLARPSGPEDERGSDRARRNTSAEEPVRRAEAPTGNGGEGPLRLAQRFLSRNERKLRRNELRLRANEQRLRANQRDWEDRARIAELHSAALDAFREELQQRAGDARGRWGVGGGGGSPSGGGRARGSRGPGRGDAAGDGGCCSGRRTAGGRMRWMPGM
+>sp|O75896|TUSC2_HUMAN Tumor suppressor candidate 2 OS=Homo sapiens OX=9606 GN=TUSC2 PE=1 SV=3
+MGASGSKARGLWPFASAAGGGGSEAAGAEQALVRPRGRAVPPFVFTRRGSMFYDEDGDLAHEFYEETIVTKNGQKRAKLRRVHKNLIPQGIVKLDHPRIHVDFPVILYEV
+>DECOY_sp|O75896|TUSC2_HUMAN Tumor suppressor candidate 2 OS=Homo sapiens OX=9606 GN=TUSC2 PE=1 SV=3
+VEYLIVPFDVHIRPHDLKVIGQPILNKHVRRLKARKQGNKTVITEEYFEHALDGDEDYFMSGRRTFVFPPVARGRPRVLAQEAGAAESGGGGAASAFPWLGRAKSGSAGM
+>sp|Q13454|TUSC3_HUMAN Tumor suppressor candidate 3 OS=Homo sapiens OX=9606 GN=TUSC3 PE=1 SV=1
+MGARGAPSRRRQAGRRLRYLPTGSFPFLLLLLLLCIQLGGGQKKKENLLAEKVEQLMEWSSRRSIFRMNGDKFRKFIKAPPRNYSMIVMFTALQPQRQCSVCRQANEEYQILANSWRYSSAFCNKLFFSMVDYDEGTDVFQQLNMNSAPTFMHFPPKGRPKRADTFDLQRIGFAAEQLAKWIADRTDVHIRVFRPPNYSGTIALALLVSLVGGLLYLRRNNLEFIYNKTGWAMVSLCIVFAMTSGQMWNHIRGPPYAHKNPHNGQVSYIHGSSQAQFVAESHIILVLNAAITMGMVLLNEAATSKGDVGKRRIICLVGLGLVVFFFSFLLSIFRSKYHGYPYSDLDFE
+>DECOY_sp|Q13454|TUSC3_HUMAN Tumor suppressor candidate 3 OS=Homo sapiens OX=9606 GN=TUSC3 PE=1 SV=1
+EFDLDSYPYGHYKSRFISLLFSFFFVVLGLGVLCIIRRKGVDGKSTAAENLLVMGMTIAANLVLIIHSEAVFQAQSSGHIYSVQGNHPNKHAYPPGRIHNWMQGSTMAFVICLSVMAWGTKNYIFELNNRRLYLLGGVLSVLLALAITGSYNPPRFVRIHVDTRDAIWKALQEAAFGIRQLDFTDARKPRGKPPFHMFTPASNMNLQQFVDTGEDYDVMSFFLKNCFASSYRWSNALIQYEENAQRCVSCQRQPQLATFMVIMSYNRPPAKIFKRFKDGNMRFISRRSSWEMLQEVKEALLNEKKKQGGGLQICLLLLLLLFPFSGTPLYRLRRGAQRRRSPAGRAGM
+>sp|A0A0B4J264|TV381_HUMAN T cell receptor alpha variable 38-1 OS=Homo sapiens OX=9606 GN=TRAV38-1 PE=3 SV=1
+MTRVSLLWAVVVSTCLESGMAQTVTQSQPEMSVQEAETVTLSCTYDTSENNYYLFWYKQPPSRQMILVIRQEAYKQQNATENRFSVNFQKAAKSFSLKISDSQLGDTAMYFCAFMK
+>DECOY_sp|A0A0B4J264|TV381_HUMAN T cell receptor alpha variable 38-1 OS=Homo sapiens OX=9606 GN=TRAV38-1 PE=3 SV=1
+KMFACFYMATDGLQSDSIKLSFSKAAKQFNVSFRNETANQQKYAEQRIVLIMQRSPPQKYWFLYYNNESTDYTCSLTVTEAEQVSMEPQSQTVTQAMGSELCTSVVVAWLLSVRTM
+>sp|A0A0B4J248|TVA11_HUMAN T cell receptor alpha variable 1-1 OS=Homo sapiens OX=9606 GN=TRAV1-1 PE=3 SV=1
+MWGAFLLYVSMKMGGTAGQSLEQPSEVTAVEGAIVQINCTYQTSGFYGLSWYQQHDGGAPTFLSYNALDGLEETGRFSSFLSRSDSYGYLLLQELQMKDSASYFCAVR
+>DECOY_sp|A0A0B4J248|TVA11_HUMAN T cell receptor alpha variable 1-1 OS=Homo sapiens OX=9606 GN=TRAV1-1 PE=3 SV=1
+RVACFYSASDKMQLEQLLLYGYSDSRSLFSSFRGTEELGDLANYSLFTPAGGDHQQYWSLGYFGSTQYTCNIQVIAGEVATVESPQELSQGATGGMKMSVYLLFAGWM
+>sp|A0A075B6X5|TVA18_HUMAN T cell receptor alpha variable 18 OS=Homo sapiens OX=9606 GN=TRAV18 PE=3 SV=1
+MLSASCSGLVILLIFRRTSGDSVTQTEGPVTLPERAALTLNCTYQSSYSTFLFWYVQYLNKEPELLLKSSENQETDSRGFQASPIKSDSSFHLEKPSVQLSDSAVYYCALR
+>DECOY_sp|A0A075B6X5|TVA18_HUMAN T cell receptor alpha variable 18 OS=Homo sapiens OX=9606 GN=TRAV18 PE=3 SV=1
+RLACYYVASDSLQVSPKELHFSSDSKIPSAQFGRSDTEQNESSKLLLEPEKNLYQVYWFLFTSYSSQYTCNLTLAAREPLTVPGETQTVSDGSTRRFILLIVLGSCSASLM
+>sp|A0A0B4J272|TVA24_HUMAN T cell receptor alpha variable 24 OS=Homo sapiens OX=9606 GN=TRAV24 PE=3 SV=1
+MEKNPLAAPLLILWFHLDCVSSILNVEQSPQSLHVQEGDSTNFTCSFPSSNFYALHWYRWETAKSPEALFVMTLNGDEKKKGRISATLNTKEGYSYLYIKGSQPEDSATYLCAF
+>DECOY_sp|A0A0B4J272|TVA24_HUMAN T cell receptor alpha variable 24 OS=Homo sapiens OX=9606 GN=TRAV24 PE=3 SV=1
+FACLYTASDEPQSGKIYLYSYGEKTNLTASIRGKKKEDGNLTMVFLAEPSKATEWRYWHLAYFNSSPFSCTFNTSDGEQVHLSQPSQEVNLISSVCDLHFWLILLPAALPNKEM
+>sp|P04437|TVA29_HUMAN T cell receptor alpha variable 29/delta variable 5 OS=Homo sapiens OX=9606 GN=TRAV29DV5 PE=1 SV=2
+MAMLLGASVLILWLQPDWVNSQQKNDDQQVKQNSPSLSVQEGRISILNCDYTNSMFDYFLWYKKYPAEGPTFLISISSIKDKNEDGRFTVFLNKSAKHLSLHIVPSQPGDSAVYFCAAS
+>DECOY_sp|P04437|TVA29_HUMAN T cell receptor alpha variable 29/delta variable 5 OS=Homo sapiens OX=9606 GN=TRAV29DV5 PE=1 SV=2
+SAACFYVASDGPQSPVIHLSLHKASKNLFVTFRGDENKDKISSISILFTPGEAPYKKYWLFYDFMSNTYDCNLISIRGEQVSLSPSNQKVQQDDNKQQSNVWDPQLWLILVSAGLLMAM
+>sp|A0A0B4J249|TVA5_HUMAN T cell receptor alpha variable 5 OS=Homo sapiens OX=9606 GN=TRAV5 PE=3 SV=1
+MKTFAGFSFLFLWLQLDCMSRGEDVEQSLFLSVREGDSSVINCTYTDSSSTYLYWYKQEPGAGLQLLTYIFSNMDMKQDQRLTVLLNKKDKHLSLRIADTQTGDSAIYFCAES
+>DECOY_sp|A0A0B4J249|TVA5_HUMAN T cell receptor alpha variable 5 OS=Homo sapiens OX=9606 GN=TRAV5 PE=3 SV=1
+SEACFYIASDGTQTDAIRLSLHKDKKNLLVTLRQDQKMDMNSFIYTLLQLGAGPEQKYWYLYTSSSDTYTCNIVSSDGERVSLFLSQEVDEGRSMCDLQLWLFLFSFGAFTKM
+>sp|A0A0A6YYK1|TVA81_HUMAN T cell receptor alpha variable 8-1 OS=Homo sapiens OX=9606 GN=TRAV8-1 PE=3 SV=5
+MLLLLIPVLGMIFALRDARAQSVSQHNHHVILSEAASLELGCNYSYGGTVNLFWYVQYPGQHLQLLLKYFSGDPLVKGIKGFEAEFIKSKFSFNLRKPSVQWSDTAEYFCAVN
+>DECOY_sp|A0A0A6YYK1|TVA81_HUMAN T cell receptor alpha variable 8-1 OS=Homo sapiens OX=9606 GN=TRAV8-1 PE=3 SV=5
+NVACFYEATDSWQVSPKRLNFSFKSKIFEAEFGKIGKVLPDGSFYKLLLQLHQGPYQVYWFLNVTGGYSYNCGLELSAAESLIVHHNHQSVSQARADRLAFIMGLVPILLLLM
+>sp|A0A0B4J262|TVA86_HUMAN T cell receptor alpha variable 8-6 OS=Homo sapiens OX=9606 GN=TRAV8-6 PE=3 SV=1
+MLLLLVPAFQVIFTLGGTRAQSVTQLDSQVPVFEEAPVELRCNYSSSVSVYLFWYVQYPNQGLQLLLKYLSGSTLVESINGFEAEFNKSQTSFHLRKPSVHISDTAEYFCAVS
+>DECOY_sp|A0A0B4J262|TVA86_HUMAN T cell receptor alpha variable 8-6 OS=Homo sapiens OX=9606 GN=TRAV8-6 PE=3 SV=1
+SVACFYEATDSIHVSPKRLHFSTQSKNFEAEFGNISEVLTSGSLYKLLLQLGQNPYQVYWFLYVSVSSSYNCRLEVPAEEFVPVQSDLQTVSQARTGGLTFIVQFAPVLLLLM
+>sp|A0A087WT03|TVAZ1_HUMAN T cell receptor alpha variable 26-1 OS=Homo sapiens OX=9606 GN=TRAV26-1 PE=3 SV=1
+MRLVARVTVFLTFGTIIDAKTTQPTSMDCAEGRAANLPCNHSTISGNEYVYWYRQIHSQGPQYIIHGLKNNETNEMASLIITEDRKSSTLILPHATLRDTAVYYCIVRV
+>DECOY_sp|A0A087WT03|TVAZ1_HUMAN T cell receptor alpha variable 26-1 OS=Homo sapiens OX=9606 GN=TRAV26-1 PE=3 SV=1
+VRVICYYVATDRLTAHPLILTSSKRDETIILSAMENTENNKLGHIIYQPGQSHIQRYWYVYENGSITSHNCPLNAARGEACDMSTPQTTKADIITGFTLFVTVRAVLRM
+>sp|A0A087WV62|TVB16_HUMAN T cell receptor beta variable 16 OS=Homo sapiens OX=9606 GN=TRBV16 PE=3 SV=6
+MSPIFTCITILCLLAAGSPGEEVAQTPKHLVRGEGQKAKLYCAPIKGHSYVFWYQQVLKNEFKFLISFQNENVFDETGMPKERFSAKCLPNSPCSLEIQATKLEDSAVYFCASSQ
+>DECOY_sp|A0A087WV62|TVB16_HUMAN T cell receptor beta variable 16 OS=Homo sapiens OX=9606 GN=TRBV16 PE=3 SV=6
+QSSACFYVASDELKTAQIELSCPSNPLCKASFREKPMGTEDFVNENQFSILFKFENKLVQQYWFVYSHGKIPACYLKAKQGEGRVLHKPTQAVEEGPSGAALLCLITICTFIPSM
+>sp|A0A1B0GX68|TVB2_HUMAN T cell receptor beta variable 2 OS=Homo sapiens OX=9606 GN=TRBV2 PE=3 SV=1
+MDTWLVCWAIFSLLKAGLTEPEVTQTPSHQVTQMGQEVILRCVPISNHLYFYWYRQILGQKVEFLVSFYNNEISEKSEIFDDQFSVERPDGSNFTLKIRSTKLEDSAMYFCASSE
+>DECOY_sp|A0A1B0GX68|TVB2_HUMAN T cell receptor beta variable 2 OS=Homo sapiens OX=9606 GN=TRBV2 PE=3 SV=1
+ESSACFYMASDELKTSRIKLTFNSGDPREVSFQDDFIESKESIENNYFSVLFEVKQGLIQRYWYFYLHNSIPVCRLIVEQGMQTVQHSPTQTVEPETLGAKLLSFIAWCVLWTDM
+>sp|A0A539|TVB42_HUMAN T cell receptor beta variable 4-2 OS=Homo sapiens OX=9606 GN=TRBV4-2 PE=3 SV=1
+MGCRLLCCAVLCLLGAVPMETGVTQTPRHLVMGMTNKKSLKCEQHLGHNAMYWYKQSAKKPLELMFVYNFKEQTENNSVPSRFSPECPNSSHLFLHLHTLQPEDSALYLCASSQ
+>DECOY_sp|A0A539|TVB42_HUMAN T cell receptor beta variable 4-2 OS=Homo sapiens OX=9606 GN=TRBV4-2 PE=3 SV=1
+QSSACLYLASDEPQLTHLHLFLHSSNPCEPSFRSPVSNNETQEKFNYVFMLELPKKASQKYWYMANHGLHQECKLSKKNTMGMVLHRPTQTVGTEMPVAGLLCLVACCLLRCGM
+>sp|A0A597|TVB55_HUMAN T cell receptor beta variable 5-5 OS=Homo sapiens OX=9606 GN=TRBV5-5 PE=3 SV=1
+MGPGLLCWVLLCLLGAGPVDAGVTQSPTHLIKTRGQQVTLRCSPISGHKSVSWYQQVLGQGPQFIFQYYEKEERGRGNFPDRFSARQFPNYSSELNVNALLLGDSALYLCASSL
+>DECOY_sp|A0A597|TVB55_HUMAN T cell receptor beta variable 5-5 OS=Homo sapiens OX=9606 GN=TRBV5-5 PE=3 SV=1
+LSSACLYLASDGLLLANVNLESSYNPFQRASFRDPFNGRGREEKEYYQFIFQPGQGLVQQYWSVSKHGSIPSCRLTVQQGRTKILHTPSQTVGADVPGAGLLCLLVWCLLGPGM
+>sp|A0A1B0GX31|TVB76_HUMAN T cell receptor beta variable 7-6 OS=Homo sapiens OX=9606 GN=TRBV7-6 PE=3 SV=1
+MGTSLLCWVVLGFLGTDHTGAGVSQSPRYKVTKRGQDVALRCDPISGHVSLYWYRQALGQGPEFLTYFNYEAQQDKSGLPNDRFSAERPEGSISTLTIQRTEQRDSAMYRCASSL
+>DECOY_sp|A0A1B0GX31|TVB76_HUMAN T cell receptor beta variable 7-6 OS=Homo sapiens OX=9606 GN=TRBV7-6 PE=3 SV=1
+LSSACRYMASDRQETRQITLTSISGEPREASFRDNPLGSKDQQAEYNFYTLFEPGQGLAQRYWYLSVHGSIPDCRLAVDQGRKTVKYRPSQSVGAGTHDTGLFGLVVWCLLSTGM
+>sp|P04435|TVB79_HUMAN T cell receptor beta variable 7-9 OS=Homo sapiens OX=9606 GN=TRBV7-9 PE=2 SV=2
+MGTSLLCWMALCLLGADHADTGVSQNPRHKITKRGQNVTFRCDPISEHNRLYWYRQTLGQGPEFLTYFQNEAQLEKSRLLSDRFSAERPKGSFSTLEIQRTEQGDSAMYLCASSL
+>DECOY_sp|P04435|TVB79_HUMAN T cell receptor beta variable 7-9 OS=Homo sapiens OX=9606 GN=TRBV7-9 PE=2 SV=2
+LSSACLYMASDGQETRQIELTSFSGKPREASFRDSLLRSKELQAENQFYTLFEPGQGLTQRYWYLRNHESIPDCRFTVNQGRKTIKHRPNQSVGTDAHDAGLLCLAMWCLLSTGM
+>sp|A0A0K0K1C0|TVBK1_HUMAN T cell receptor beta variable 11-1 OS=Homo sapiens OX=9606 GN=TRBV11-1 PE=3 SV=3
+MSTRLLCWMALCLLGAELSEAEVAQSPRYKITEKSQAVAFWCDPISGHATLYWYRQILGQGPELLVQFQDESVVDDSQLPKDRFSAERLKGVDSTLKIQPAELGDSAMYLCASSL
+>DECOY_sp|A0A0K0K1C0|TVBK1_HUMAN T cell receptor beta variable 11-1 OS=Homo sapiens OX=9606 GN=TRBV11-1 PE=3 SV=3
+LSSACLYMASDGLEAPQIKLTSDVGKLREASFRDKPLQSDDVVSEDQFQVLLEPGQGLIQRYWYLTAHGSIPDCWFAVAQSKETIKYRPSQAVEAESLEAGLLCLAMWCLLRTSM
+>sp|Q9GZX9|TWSG1_HUMAN Twisted gastrulation protein homolog 1 OS=Homo sapiens OX=9606 GN=TWSG1 PE=1 SV=1
+MKLHYVAVLTLAILMFLTWLPESLSCNKALCASDVSKCLIQELCQCRPGEGNCSCCKECMLCLGALWDECCDCVGMCNPRNYSDTPPTSKSTVEELHEPIPSLFRALTEGDTQLNWNIVSFPVAEELSHHENLVSFLETVNQPHHQNVSVPSNNVHAPYSSDKEHMCTVVYFDDCMSIHQCKISCESMGASKYRWFHNACCECIGPECIDYGSKTVKCMNCMF
+>DECOY_sp|Q9GZX9|TWSG1_HUMAN Twisted gastrulation protein homolog 1 OS=Homo sapiens OX=9606 GN=TWSG1 PE=1 SV=1
+FMCNMCKVTKSGYDICEPGICECCANHFWRYKSAGMSECSIKCQHISMCDDFYVVTCMHEKDSSYPAHVNNSPVSVNQHHPQNVTELFSVLNEHHSLEEAVPFSVINWNLQTDGETLARFLSPIPEHLEEVTSKSTPPTDSYNRPNCMGVCDCCEDWLAGLCLMCEKCCSCNGEGPRCQCLEQILCKSVDSACLAKNCSLSEPLWTLFMLIALTLVAVYHLKM
+>sp|P26368|U2AF2_HUMAN Splicing factor U2AF 65 kDa subunit OS=Homo sapiens OX=9606 GN=U2AF2 PE=1 SV=4
+MSDFDEFERQLNENKQERDKENRHRKRSHSRSRSRDRKRRSRSRDRRNRDQRSASRDRRRRSKPLTRGAKEEHGGLIRSPRHEKKKKVRKYWDVPPPGFEHITPMQYKAMQAAGQIPATALLPTMTPDGLAVTPTPVPVVGSQMTRQARRLYVGNIPFGITEEAMMDFFNAQMRLGGLTQAPGNPVLAVQINQDKNFAFLEFRSVDETTQAMAFDGIIFQGQSLKIRRPHDYQPLPGMSENPSVYVPGVVSTVVPDSAHKLFIGGLPNYLNDDQVKELLTSFGPLKAFNLVKDSATGLSKGYAFCEYVDINVTDQAIAGLNGMQLGDKKLLVQRASVGAKNATLVSPPSTINQTPVTLQVPGLMSSQVQMGGHPTEVLCLMNMVLPEELLDDEEYEEIVEDVRDECSKYGLVKSIEIPRPVDGVEVPGCGKIFVEFTSVFDCQKAMQGLTGRKFANRVVVTKYCDPDSYHRRDFW
+>DECOY_sp|P26368|U2AF2_HUMAN Splicing factor U2AF 65 kDa subunit OS=Homo sapiens OX=9606 GN=U2AF2 PE=1 SV=4
+WFDRRHYSDPDCYKTVVVRNAFKRGTLGQMAKQCDFVSTFEVFIKGCGPVEVGDVPRPIEISKVLGYKSCEDRVDEVIEEYEEDDLLEEPLVMNMLCLVETPHGGMQVQSSMLGPVQLTVPTQNITSPPSVLTANKAGVSARQVLLKKDGLQMGNLGAIAQDTVNIDVYECFAYGKSLGTASDKVLNFAKLPGFSTLLEKVQDDNLYNPLGGIFLKHASDPVVTSVVGPVYVSPNESMGPLPQYDHPRRIKLSQGQFIIGDFAMAQTTEDVSRFELFAFNKDQNIQVALVPNGPAQTLGGLRMQANFFDMMAEETIGFPINGVYLRRAQRTMQSGVVPVPTPTVALGDPTMTPLLATAPIQGAAQMAKYQMPTIHEFGPPPVDWYKRVKKKKEHRPSRILGGHEEKAGRTLPKSRRRRDRSASRQDRNRRDRSRSRRKRDRSRSRSHSRKRHRNEKDREQKNENLQREFEDFDSM
+>sp|Q15696|U2AFM_HUMAN U2 small nuclear ribonucleoprotein auxiliary factor 35 kDa subunit-related protein 2 OS=Homo sapiens OX=9606 GN=ZRSR2 PE=1 SV=2
+MAAPEKMTFPEKPSHKKYRAALKKEKRKKRRQELARLRDSGLSQKEEEEDTFIEEQQLEEEKLLERERQRLHEEWLLREQKAQEEFRIKKEKEEAAKKRQEEQERKLKEQWEEQQRKEREEEEQKRQEKKEKEEALQKMLDQAENELENGTTWQNPEPPVDFRVMEKDRANCPFYSKTGACRFGDRCSRKHNFPTSSPTLLIKSMFTTFGMEQCRRDDYDPDASLEYSEEETYQQFLDFYEDVLPEFKNVGKVIQFKVSCNLEPHLRGNVYVQYQSEEECQAALSLFNGRWYAGRQLQCEFCPVTRWKMAICGLFEIQQCPRGKHCNFLHVFRNPNNEFWEANRDIYLSPDRTGSSFGKNSERRERMGHHDDYYSRLRGRRNPSPDHSYKRNGESERKSSRHRGKKSHKRTSKSRERHNSRSRGRNRDRSRDRSRGRGSRSRSRSRSRRSRRSRSQSSSRSRSRGRRRSGNRDRTVQSPKSK
+>DECOY_sp|Q15696|U2AFM_HUMAN U2 small nuclear ribonucleoprotein auxiliary factor 35 kDa subunit-related protein 2 OS=Homo sapiens OX=9606 GN=ZRSR2 PE=1 SV=2
+KSKPSQVTRDRNGSRRRGRSRSRSSSQSRSRRSRRSRSRSRSRSGRGRSRDRSRDRNRGRSRSNHRERSKSTRKHSKKGRHRSSKRESEGNRKYSHDPSPNRRGRLRSYYDDHHGMRERRESNKGFSSGTRDPSLYIDRNAEWFENNPNRFVHLFNCHKGRPCQQIEFLGCIAMKWRTVPCFECQLQRGAYWRGNFLSLAAQCEEESQYQVYVNGRLHPELNCSVKFQIVKGVNKFEPLVDEYFDLFQQYTEEESYELSADPDYDDRRCQEMGFTTFMSKILLTPSSTPFNHKRSCRDGFRCAGTKSYFPCNARDKEMVRFDVPPEPNQWTTGNELENEAQDLMKQLAEEKEKKEQRKQEEEEREKRQQEEWQEKLKREQEEQRKKAAEEKEKKIRFEEQAKQERLLWEEHLRQRERELLKEEELQQEEIFTDEEEEKQSLGSDRLRALEQRRKKRKEKKLAARYKKHSPKEPFTMKEPAAM
+>sp|Q86TS7|U730_HUMAN Putative UPF0730 protein encoded by LINC00643 OS=Homo sapiens OX=9606 GN=LINC00643 PE=5 SV=1
+MVQECCSQSLYYEELHSYHIVPYASENAIYEMGYTSSHLEQNSQLLIYKMN
+>DECOY_sp|Q86TS7|U730_HUMAN Putative UPF0730 protein encoded by LINC00643 OS=Homo sapiens OX=9606 GN=LINC00643 PE=5 SV=1
+NMKYILLQSNQELHSSTYGMEYIANESAYPVIHYSHLEEYYLSQSCCEQVM
+>sp|P61077|UB2D3_HUMAN Ubiquitin-conjugating enzyme E2 D3 OS=Homo sapiens OX=9606 GN=UBE2D3 PE=1 SV=1
+MALKRINKELSDLARDPPAQCSAGPVGDDMFHWQATIMGPNDSPYQGGVFFLTIHFPTDYPFKPPKVAFTTRIYHPNINSNGSICLDILRSQWSPALTISKVLLSICSLLCDPNPDDPLVPEIARIYKTDRDKYNRISREWTQKYAM
+>DECOY_sp|P61077|UB2D3_HUMAN Ubiquitin-conjugating enzyme E2 D3 OS=Homo sapiens OX=9606 GN=UBE2D3 PE=1 SV=1
+MAYKQTWERSIRNYKDRDTKYIRAIEPVLPDDPNPDCLLSCISLLVKSITLAPSWQSRLIDLCISGNSNINPHYIRTTFAVKPPKFPYDTPFHITLFFVGGQYPSDNPGMITAQWHFMDDGVPGASCQAPPDRALDSLEKNIRKLAM
+>sp|P60604|UB2G2_HUMAN Ubiquitin-conjugating enzyme E2 G2 OS=Homo sapiens OX=9606 GN=UBE2G2 PE=1 SV=1
+MAGTALKRLMAEYKQLTLNPPEGIVAGPMNEENFFEWEALIMGPEDTCFEFGVFPAILSFPLDYPLSPPKMRFTCEMFHPNIYPDGRVCISILHAPGDDPMGYESSAERWSPVQSVEKILLSVVSMLAEPNDESGANVDASKMWRDDREQFYKIAKQIVQKSLGL
+>DECOY_sp|P60604|UB2G2_HUMAN Ubiquitin-conjugating enzyme E2 G2 OS=Homo sapiens OX=9606 GN=UBE2G2 PE=1 SV=1
+LGLSKQVIQKAIKYFQERDDRWMKSADVNAGSEDNPEALMSVVSLLIKEVSQVPSWREASSEYGMPDDGPAHLISICVRGDPYINPHFMECTFRMKPPSLPYDLPFSLIAPFVGFEFCTDEPGMILAEWEFFNEENMPGAVIGEPPNLTLQKYEAMLRKLATGAM
+>sp|F5GYI3|UBA1L_HUMAN Ubiquitin-associated protein 1-like OS=Homo sapiens OX=9606 GN=UBAP1L PE=2 SV=1
+MNALDGVPFKLPKGFVIGTEPLPGPELSVPACGEVLLGSMHDFSLERTALFWVEAAGQGPSPYQCGDPGTASAPPAWLLLVSPEHGLAPAPTTIRDPEAGHQERPEEEGEDEAEASSGSEEEPAPSSLQPGSPASPGPGRRLCSLDVLRGVRLELAGARRRLSEGKLVSRPRALLHGLRGHRALSLCPSPAQSPRSASPPGPAPQHPAAPASPPRPSTAGAIPPLRSHKPTVASLSPYTCLPPLGGAPQPLNPHKSHPDTAADLLSALSQEEQDLIGPVVALGYPLRRAIIALQKTGRQSLSQFLSYLSACDRLLRQGYEEGLVDEAMEMFQFSESQAGEFLRLWEQFSDMGFQQDRIKEVLLVHGNRREQALEELVACAQ
+>DECOY_sp|F5GYI3|UBA1L_HUMAN Ubiquitin-associated protein 1-like OS=Homo sapiens OX=9606 GN=UBAP1L PE=2 SV=1
+QACAVLEELAQERRNGHVLLVEKIRDQQFGMDSFQEWLRLFEGAQSESFQFMEMAEDVLGEEYGQRLLRDCASLYSLFQSLSQRGTKQLAIIARRLPYGLAVVPGILDQEEQSLASLLDAATDPHSKHPNLPQPAGGLPPLCTYPSLSAVTPKHSRLPPIAGATSPRPPSAPAAPHQPAPGPPSASRPSQAPSPCLSLARHGRLGHLLARPRSVLKGESLRRRAGALELRVGRLVDLSCLRRGPGPSAPSGPQLSSPAPEEESGSSAEAEDEGEEEPREQHGAEPDRITTPAPALGHEPSVLLLWAPPASATGPDGCQYPSPGQGAAEVWFLATRELSFDHMSGLLVEGCAPVSLEPGPLPETGIVFGKPLKFPVGDLANM
+>sp|Q8NBM4|UBAC2_HUMAN Ubiquitin-associated domain-containing protein 2 OS=Homo sapiens OX=9606 GN=UBAC2 PE=1 SV=1
+MFTSTGSSGLYKAPLSKSLLLVPSALSLLLALLLPHCQKLFVYDLHAVKNDFQIWRLICGRIICLDLKDTFCSSLLIYNFRIFERRYGSRKFASFLLGSWVLSALFDFLLIEAMQYFFGITAASNLPSGFLAPVFALFVPFYCSIPRVQVAQILGPLSITNKTLIYILGLQLFTSGSYIWIVAISGLMSGLCYDSKMFQVHQVLCIPSWMAKFFSWTLEPIFSSSEPTSEARIGMGATLDIQRQQRMELLDRQLMFSQFAQGRRQRQQQGGMINWNRLFPPLRQRQNVNYQGGRQSEPAAPPLEVSEEQVARLMEMGFSRGDALEALRASNNDLNVATNFLLQH
+>DECOY_sp|Q8NBM4|UBAC2_HUMAN Ubiquitin-associated domain-containing protein 2 OS=Homo sapiens OX=9606 GN=UBAC2 PE=1 SV=1
+HQLLFNTAVNLDNNSARLAELADGRSFGMEMLRAVQEESVELPPAAPESQRGGQYNVNQRQRLPPFLRNWNIMGGQQQRQRRGQAFQSFMLQRDLLEMRQQRQIDLTAGMGIRAESTPESSSFIPELTWSFFKAMWSPICLVQHVQFMKSDYCLGSMLGSIAVIWIYSGSTFLQLGLIYILTKNTISLPGLIQAVQVRPISCYFPVFLAFVPALFGSPLNSAATIGFFYQMAEILLFDFLASLVWSGLLFSAFKRSGYRREFIRFNYILLSSCFTDKLDLCIIRGCILRWIQFDNKVAHLDYVFLKQCHPLLLALLLSLASPVLLLSKSLPAKYLGSSGTSTFM
+>sp|Q96B02|UBE2W_HUMAN Ubiquitin-conjugating enzyme E2 W OS=Homo sapiens OX=9606 GN=UBE2W PE=1 SV=1
+MASMQKRLQKELLALQNDPPPGMTLNEKSVQNSITQWIVDMEGAPGTLYEGEKFQLLFKFSSRYPFDSPQVMFTGENIPVHPHVYSNGHICLSILTEDWSPALSVQSVCLSIISMLSSCKEKRRPPDNSFYVRTCNKNPKKTKWWYHDDTC
+>DECOY_sp|Q96B02|UBE2W_HUMAN Ubiquitin-conjugating enzyme E2 W OS=Homo sapiens OX=9606 GN=UBE2W PE=1 SV=1
+CTDDHYWWKTKKPNKNCTRVYFSNDPPRRKEKCSSLMSIISLCVSQVSLAPSWDETLISLCIHGNSYVHPHVPINEGTFMVQPSDFPYRSSFKFLLQFKEGEYLTGPAGEMDVIWQTISNQVSKENLTMGPPPDNQLALLEKQLRKQMSAM
+>sp|Q6ZU65|UBN2_HUMAN Ubinuclein-2 OS=Homo sapiens OX=9606 GN=UBN2 PE=1 SV=2
+MAEPRRVAFISLSPVRRREAEYPGPEREPEYPREPPRLEPQPYREPARAEPPAPREPAPRSDAQPPSREKPLPQREVSRAEPPMSLQREPPRPEPPPPFPPLPLQPPPPRESASRAEQPPRPPRETVRLELVLKDPTDESCVEFSYPELLLCGEQRKKLIHTEDPFNDEHQERQEVEMLAKKFEMKYGGKPRKHRKDRLQDLIDIGFGYDETDPFIDNSEAYDELVPASLTTKYGGFYINTGTLQFRQASDTEEDDITDNQKHKPPKVPKIKEDDIEMKKRKRKEEGEKEKKPRKKVPKQLGVVALNSHKSEKKKKRYKDSLSLAAMIRKFQKEKDALKKESNPKVPVTLSTPSLNKPPCAAAALGNDVPDLNLSSGDPDLPIFVSTNEHELFQEAENALEMLDDFDFDRLLDAASDGSPLSESGGENGTTTQPTYTSQVMPKVVPTLPEGLPVLLEKRIEDLRVAAKLFDEEGRKKFFTQDMNNILLDIELQLQELGPVIRSGVYSHLEAFVPCNKETLVKRLKKLHLNVQDDRLREPLQKLKLAVSNVMPEQLFKYQEDCQARSQAKCAKLQTDEEREKNGSEEDDDEKPGKRVIGPRKKFHWDDTIRTLLCNLVEIKLGCYELEPNKSQSAEDYLKSFMETEVKPLWPKGWMQARMLFKESRSVHNHLTSAPAKKKVIPAPKPKVKEVMVKTLPLHSFPTMLKECSPKKDQKTPTSLVASVSGPPTSSSTAAIAAASSSSAPAQETICLDDSLDEDLSFHSPSLDLVSEALAVINNGNKGPPVGSRISMPTTKPRPGLREEKLASIMSKLPLATPKKLDSTQTTHSSSLIAGHTGPVPKKPQDLAHTGISSGLIAGSSIQNPKVSLEPLPARLLQQGLQRSSQIHTSSSSQTHVSSSSQAQIAASSHALGTSEAQDASSLTQVTKVHQHSAVQQNYVSPLQATISKSQTNPVVKLSNNPQLSCSSSLIKTSDKPLMYRLPLSTPSPGNGSQGSHPLVSRTVPSTTTSSNYLAKAMVSQISTQGFKSPFSMAASPKLAASPKPATSPKPLPSPKPSASPKPSLSAKPSVSTKLISKSNPTPKPTVSPSSSSPNALVAQGSHSSTNSPVHKQPSGMNISRQSPTLNLLPSSRTSGLPPTKNLQAPSKLTNSSSTGTVGKNSLSGIAMNVPASRGSNLNSSGANRTSLSGGTGSGTQGATKPLSTPHRPSTASGSSVVTASVQSTAGASLLANASPLTLMTSPLSVTNQNVTPFGMLGGLVPVTMPFQFPLEIFGFGTDTAGVTTTSGSTSAAFHHSLTQNLLKGLQPGGAQHAATLSHSPLPAHLQQAFHDGGQSKGDTKLPRKSQ
+>DECOY_sp|Q6ZU65|UBN2_HUMAN Ubinuclein-2 OS=Homo sapiens OX=9606 GN=UBN2 PE=1 SV=2
+QSKRPLKTDGKSQGGDHFAQQLHAPLPSHSLTAAHQAGGPQLGKLLNQTLSHHFAASTSGSTTTVGATDTGFGFIELPFQFPMTVPVLGGLMGFPTVNQNTVSLPSTMLTLPSANALLSAGATSQVSATVVSSGSATSPRHPTSLPKTAGQTGSGTGGSLSTRNAGSSNLNSGRSAPVNMAIGSLSNKGVTGTSSSNTLKSPAQLNKTPPLGSTRSSPLLNLTPSQRSINMGSPQKHVPSNTSSHSGQAVLANPSSSSPSVTPKPTPNSKSILKTSVSPKASLSPKPSASPKPSPLPKPSTAPKPSAALKPSAAMSFPSKFGQTSIQSVMAKALYNSSTTTSPVTRSVLPHSGQSGNGPSPTSLPLRYMLPKDSTKILSSSCSLQPNNSLKVVPNTQSKSITAQLPSVYNQQVASHQHVKTVQTLSSADQAESTGLAHSSAAIQAQSSSSVHTQSSSSTHIQSSRQLGQQLLRAPLPELSVKPNQISSGAILGSSIGTHALDQPKKPVPGTHGAILSSSHTTQTSDLKKPTALPLKSMISALKEERLGPRPKTTPMSIRSGVPPGKNGNNIVALAESVLDLSPSHFSLDEDLSDDLCITEQAPASSSSAAAIAATSSSTPPGSVSAVLSTPTKQDKKPSCEKLMTPFSHLPLTKVMVEKVKPKPAPIVKKKAPASTLHNHVSRSEKFLMRAQMWGKPWLPKVETEMFSKLYDEASQSKNPELEYCGLKIEVLNCLLTRITDDWHFKKRPGIVRKGPKEDDDEESGNKEREEDTQLKACKAQSRAQCDEQYKFLQEPMVNSVALKLKQLPERLRDDQVNLHLKKLRKVLTEKNCPVFAELHSYVGSRIVPGLEQLQLEIDLLINNMDQTFFKKRGEEDFLKAAVRLDEIRKELLVPLGEPLTPVVKPMVQSTYTPQTTTGNEGGSESLPSGDSAADLLRDFDFDDLMELANEAEQFLEHENTSVFIPLDPDGSSLNLDPVDNGLAAAACPPKNLSPTSLTVPVKPNSEKKLADKEKQFKRIMAALSLSDKYRKKKKESKHSNLAVVGLQKPVKKRPKKEKEGEEKRKRKKMEIDDEKIKPVKPPKHKQNDTIDDEETDSAQRFQLTGTNIYFGGYKTTLSAPVLEDYAESNDIFPDTEDYGFGIDILDQLRDKRHKRPKGGYKMEFKKALMEVEQREQHEDNFPDETHILKKRQEGCLLLEPYSFEVCSEDTPDKLVLELRVTERPPRPPQEARSASERPPPPQLPLPPFPPPPEPRPPERQLSMPPEARSVERQPLPKERSPPQADSRPAPERPAPPEARAPERYPQPELRPPERPYEPEREPGPYEAERRRVPSLSIFAVRRPEAM
+>sp|Q14694|UBP10_HUMAN Ubiquitin carboxyl-terminal hydrolase 10 OS=Homo sapiens OX=9606 GN=USP10 PE=1 SV=2
+MALHSPQYIFGDFSPDEFNQFFVTPRSSVELPPYSGTVLCGTQAVDKLPDGQEYQRIEFGVDEVIEPSDTLPRTPSYSISSTLNPQAPEFILGCTASKITPDGITKEASYGSIDCQYPGSALALDGSSNVEAEVLENDGVSGGLGQRERKKKKKRPPGYYSYLKDGGDDSISTEALVNGHANSAVPNSVSAEDAEFMGDMPPSVTPRTCNSPQNSTDSVSDIVPDSPFPGALGSDTRTAGQPEGGPGADFGQSCFPAEAGRDTLSRTAGAQPCVGTDTTENLGVANGQILESSGEGTATNGVELHTTESIDLDPTKPESASPPADGTGSASGTLPVSQPKSWASLFHDSKPSSSSPVAYVETKYSPPAISPLVSEKQVEVKEGLVPVSEDPVAIKIAELLENVTLIHKPVSLQPRGLINKGNWCYINATLQALVACPPMYHLMKFIPLYSKVQRPCTSTPMIDSFVRLMNEFTNMPVPPKPRQALGDKIVRDIRPGAAFEPTYIYRLLTVNKSSLSEKGRQEDAEEYLGFILNGLHEEMLNLKKLLSPSNEKLTISNGPKNHSVNEEEQEEQGEGSEDEWEQVGPRNKTSVTRQADFVQTPITGIFGGHIRSVVYQQSSKESATLQPFFTLQLDIQSDKIRTVQDALESLVARESVQGYTTKTKQEVEISRRVTLEKLPPVLVLHLKRFVYEKTGGCQKLIKNIEYPVDLEISKELLSPGVKNKNFKCHRTYRLFAVVYHHGNSATGGHYTTDVFQIGLNGWLRIDDQTVKVINQYQVVKPTAERTAYLLYYRRVDLL
+>DECOY_sp|Q14694|UBP10_HUMAN Ubiquitin carboxyl-terminal hydrolase 10 OS=Homo sapiens OX=9606 GN=USP10 PE=1 SV=2
+LLDVRRYYLLYATREATPKVVQYQNIVKVTQDDIRLWGNLGIQFVDTTYHGGTASNGHHYVVAFLRYTRHCKFNKNKVGPSLLEKSIELDVPYEINKILKQCGGTKEYVFRKLHLVLVPPLKELTVRRSIEVEQKTKTTYGQVSERAVLSELADQVTRIKDSQIDLQLTFFPQLTASEKSSQQYVVSRIHGGFIGTIPTQVFDAQRTVSTKNRPGVQEWEDESGEGQEEQEEENVSHNKPGNSITLKENSPSLLKKLNLMEEHLGNLIFGLYEEADEQRGKESLSSKNVTLLRYIYTPEFAAGPRIDRVIKDGLAQRPKPPVPMNTFENMLRVFSDIMPTSTCPRQVKSYLPIFKMLHYMPPCAVLAQLTANIYCWNGKNILGRPQLSVPKHILTVNELLEAIKIAVPDESVPVLGEKVEVQKESVLPSIAPPSYKTEVYAVPSSSSPKSDHFLSAWSKPQSVPLTGSASGTGDAPPSASEPKTPDLDISETTHLEVGNTATGEGSSELIQGNAVGLNETTDTGVCPQAGATRSLTDRGAEAPFCSQGFDAGPGGEPQGATRTDSGLAGPFPSDPVIDSVSDTSNQPSNCTRPTVSPPMDGMFEADEASVSNPVASNAHGNVLAETSISDDGGDKLYSYYGPPRKKKKKRERQGLGGSVGDNELVEAEVNSSGDLALASGPYQCDISGYSAEKTIGDPTIKSATCGLIFEPAQPNLTSSISYSPTRPLTDSPEIVEDVGFEIRQYEQGDPLKDVAQTGCLVTGSYPPLEVSSRPTVFFQNFEDPSFDGFIYQPSHLAM
+>sp|Q9UHP3|UBP25_HUMAN Ubiquitin carboxyl-terminal hydrolase 25 OS=Homo sapiens OX=9606 GN=USP25 PE=1 SV=4
+MTVEQNVLQQSAAQKHQQTFLNQLREITGINDTQILQQALKDSNGNLELAVAFLTAKNAKTPQQEETTYYQTALPGNDRYISVGSQADTNVIDLTGDDKDDLQRAIALSLAESNRAFRETGITDEEQAISRVLEASIAENKACLKRTPTEVWRDSRNPYDRKRQDKAPVGLKNVGNTCWFSAVIQSLFNLLEFRRLVLNYKPPSNAQDLPRNQKEHRNLPFMRELRYLFALLVGTKRKYVDPSRAVEILKDAFKSNDSQQQDVSEFTHKLLDWLEDAFQMKAEEETDEEKPKNPMVELFYGRFLAVGVLEGKKFENTEMFGQYPLQVNGFKDLHECLEAAMIEGEIESLHSENSGKSGQEHWFTELPPVLTFELSRFEFNQALGRPEKIHNKLEFPQVLYLDRYMHRNREITRIKREEIKRLKDYLTVLQQRLERYLSYGSGPKRFPLVDVLQYALEFASSKPVCTSPVDDIDASSPPSGSIPSQTLPSTTEQQGALSSELPSTSPSSVAAISSRSVIHKPFTQSRIPPDLPMHPAPRHITEEELSVLESCLHRWRTEIENDTRDLQESISRIHRTIELMYSDKSMIQVPYRLHAVLVHEGQANAGHYWAYIFDHRESRWMKYNDIAVTKSSWEELVRDSFGGYRNASAYCLMYINDKAQFLIQEEFNKETGQPLVGIETLPPDLRDFVEEDNQRFEKELEEWDAQLAQKALQEKLLASQKLRESETSVTTAQAAGDPEYLEQPSRSDFSKHLKEETIQIITKASHEHEDKSPETVLQSAIKLEYARLVKLAQEDTPPETDYRLHHVVVYFIQNQAPKKIIEKTLLEQFGDRNLSFDERCHNIMKVAQAKLEMIKPEEVNLEEYEEWHQDYRKFRETTMYLIIGLENFQRESYIDSLLFLICAYQNNKELLSKGLYRGHDEELISHYRRECLLKLNEQAAELFESGEDREVNNGLIIMNEFIVPFLPLLLVDEMEEKDILAVEDMRNRWCSYLGQEMEPHLQEKLTDFLPKLLDCSMEIKSFHEPPKLPSYSTHELCERFARIMLSLSRTPADGR
+>DECOY_sp|Q9UHP3|UBP25_HUMAN Ubiquitin carboxyl-terminal hydrolase 25 OS=Homo sapiens OX=9606 GN=USP25 PE=1 SV=4
+RGDAPTRSLSLMIRAFRECLEHTSYSPLKPPEHFSKIEMSCDLLKPLFDTLKEQLHPEMEQGLYSCWRNRMDEVALIDKEEMEDVLLLPLFPVIFENMIILGNNVERDEGSEFLEAAQENLKLLCERRYHSILEEDHGRYLGKSLLEKNNQYACILFLLSDIYSERQFNELGIILYMTTERFKRYDQHWEEYEELNVEEPKIMELKAQAVKMINHCREDFSLNRDGFQELLTKEIIKKPAQNQIFYVVVHHLRYDTEPPTDEQALKVLRAYELKIASQLVTEPSKDEHEHSAKTIIQITEEKLHKSFDSRSPQELYEPDGAAQATTVSTESERLKQSALLKEQLAKQALQADWEELEKEFRQNDEEVFDRLDPPLTEIGVLPQGTEKNFEEQILFQAKDNIYMLCYASANRYGGFSDRVLEEWSSKTVAIDNYKMWRSERHDFIYAWYHGANAQGEHVLVAHLRYPVQIMSKDSYMLEITRHIRSISEQLDRTDNEIETRWRHLCSELVSLEEETIHRPAPHMPLDPPIRSQTFPKHIVSRSSIAAVSSPSTSPLESSLAGQQETTSPLTQSPISGSPPSSADIDDVPSTCVPKSSAFELAYQLVDVLPFRKPGSGYSLYRELRQQLVTLYDKLRKIEERKIRTIERNRHMYRDLYLVQPFELKNHIKEPRGLAQNFEFRSLEFTLVPPLETFWHEQGSKGSNESHLSEIEGEIMAAELCEHLDKFGNVQLPYQGFMETNEFKKGELVGVALFRGYFLEVMPNKPKEEDTEEEAKMQFADELWDLLKHTFESVDQQQSDNSKFADKLIEVARSPDVYKRKTGVLLAFLYRLERMFPLNRHEKQNRPLDQANSPPKYNLVLRRFELLNFLSQIVASFWCTNGVNKLGVPAKDQRKRDYPNRSDRWVETPTRKLCAKNEAISAELVRSIAQEEDTIGTERFARNSEALSLAIARQLDDKDDGTLDIVNTDAQSGVSIYRDNGPLATQYYTTEEQQPTKANKATLFAVALELNGNSDKLAQQLIQTDNIGTIERLQNLFTQQHKQAASQQLVNQEVTM
+>sp|Q9P275|UBP36_HUMAN Ubiquitin carboxyl-terminal hydrolase 36 OS=Homo sapiens OX=9606 GN=USP36 PE=1 SV=4
+MPIVDKLKEALKPGRKDSADDGELGKLLASSAKKVLLQKIEFEPASKSFSYQLEALKSKYVLLNPKTEGASRHKSGDDPPARRQGSEHTYESCGDGVPAPQKVLFPTERLSLRWERVFRVGAGLHNLGNTCFLNATIQCLTYTPPLANYLLSKEHARSCHQGSFCMLCVMQNHIVQAFANSGNAIKPVSFIRDLKKIARHFRFGNQEDAHEFLRYTIDAMQKACLNGCAKLDRQTQATTLVHQIFGGYLRSRVKCSVCKSVSDTYDPYLDVALEIRQAANIVRALELFVKADVLSGENAYMCAKCKKKVPASKRFTIHRTSNVLTLSLKRFANFSGGKITKDVGYPEFLNIRPYMSQNNGDPVMYGLYAVLVHSGYSCHAGHYYCYVKASNGQWYQMNDSLVHSSNVKVVLNQQAYVLFYLRIPGSKKSPEGLISRTGSSSLPGRPSVIPDHSKKNIGNGIISSPLTGKRQDSGTMKKPHTTEEIGVPISRNGSTLGLKSQNGCIPPKLPSGSPSPKLSQTPTHMPTILDDPGKKVKKPAPPQHFSPRTAQGLPGTSNSNSSRSGSQRQGSWDSRDVVLSTSPKLLATATANGHGLKGNDESAGLDRRGSSSSSPEHSASSDSTKAPQTPRSGAAHLCDSQETNCSTAGHSKTPPSGADSKTVKLKSPVLSNTTTEPASTMSPPPAKKLALSAKKASTLWRATGNDLRPPPPSPSSDLTHPMKTSHPVVASTWPVHRARAVSPAPQSSSRLQPPFSPHPTLLSSTPKPPGTSEPRSCSSISTALPQVNEDLVSLPHQLPEASEPPQSPSEKRKKTFVGEPQRLGSETRLPQHIREATAAPHGKRKRKKKKRPEDTAASALQEGQTQRQPGSPMYRREGQAQLPAVRRQEDGTQPQVNGQQVGCVTDGHHASSRKRRRKGAEGLGEEGGLHQDPLRHSCSPMGDGDPEAMEESPRKKKKKKRKQETQRAVEEDGHLKCPRSAKPQDAVVPESSSCAPSANGWCPGDRMGLSQAPPVSWNGERESDVVQELLKYSSDKAYGRKVLTWDGKMSAVSQDAIEDSRQARTETVVDDWDEEFDRGKEKKIKKFKREKRRNFNAFQKLQTRRNFWSVTHPAKAASLSYRR
+>DECOY_sp|Q9P275|UBP36_HUMAN Ubiquitin carboxyl-terminal hydrolase 36 OS=Homo sapiens OX=9606 GN=USP36 PE=1 SV=4
+RRYSLSAAKAPHTVSWFNRRTQLKQFANFNRRKERKFKKIKKEKGRDFEEDWDDVVTETRAQRSDEIADQSVASMKGDWTLVKRGYAKDSSYKLLEQVVDSEREGNWSVPPAQSLGMRDGPCWGNASPACSSSEPVVADQPKASRPCKLHGDEEVARQTEQKRKKKKKKRPSEEMAEPDGDGMPSCSHRLPDQHLGGEEGLGEAGKRRRKRSSAHHGDTVCGVQQGNVQPQTGDEQRRVAPLQAQGERRYMPSGPQRQTQGEQLASAATDEPRKKKKRKRKGHPAATAERIHQPLRTESGLRQPEGVFTKKRKESPSQPPESAEPLQHPLSVLDENVQPLATSISSCSRPESTGPPKPTSSLLTPHPSFPPQLRSSSQPAPSVARARHVPWTSAVVPHSTKMPHTLDSSPSPPPPRLDNGTARWLTSAKKASLALKKAPPPSMTSAPETTTNSLVPSKLKVTKSDAGSPPTKSHGATSCNTEQSDCLHAAGSRPTQPAKTSDSSASHEPSSSSSGRRDLGASEDNGKLGHGNATATALLKPSTSLVVDRSDWSGQRQSGSRSSNSNSTGPLGQATRPSFHQPPAPKKVKKGPDDLITPMHTPTQSLKPSPSGSPLKPPICGNQSKLGLTSGNRSIPVGIEETTHPKKMTGSDQRKGTLPSSIIGNGINKKSHDPIVSPRGPLSSSGTRSILGEPSKKSGPIRLYFLVYAQQNLVVKVNSSHVLSDNMQYWQGNSAKVYCYYHGAHCSYGSHVLVAYLGYMVPDGNNQSMYPRINLFEPYGVDKTIKGGSFNAFRKLSLTLVNSTRHITFRKSAPVKKKCKACMYANEGSLVDAKVFLELARVINAAQRIELAVDLYPDYTDSVSKCVSCKVRSRLYGGFIQHVLTTAQTQRDLKACGNLCAKQMADITYRLFEHADEQNGFRFHRAIKKLDRIFSVPKIANGSNAFAQVIHNQMVCLMCFSGQHCSRAHEKSLLYNALPPTYTLCQITANLFCTNGLNHLGAGVRFVREWRLSLRETPFLVKQPAPVGDGCSEYTHESGQRRAPPDDGSKHRSAGETKPNLLVYKSKLAELQYSFSKSAPEFEIKQLLVKKASSALLKGLEGDDASDKRGPKLAEKLKDVIPM
+>sp|Q9H0E7|UBP44_HUMAN Ubiquitin carboxyl-terminal hydrolase 44 OS=Homo sapiens OX=9606 GN=USP44 PE=1 SV=2
+MLAMDTCKHVGQLQLAQDHSSLNPQKWHCVDCNTTESIWACLSCSHVACGRYIEEHALKHFQESSHPVALEVNEMYVFCYLCDDYVLNDNTTGDLKLLRRTLSAIKSQNYHCTTRSGRFLRSMGTGDDSYFLHDGAQSLLQSEDQLYTALWHRRRILMGKIFRTWFEQSPIGRKKQEEPFQEKIVVKREVKKRRQELEYQVKAELESMPPRKSLRLQGLAQSTIIEIVSVQVPAQTPASPAKDKVLSTSENEISQKVSDSSVKRRPIVTPGVTGLRNLGNTCYMNSVLQVLSHLLIFRQCFLKLDLNQWLAMTASEKTRSCKHPPVTDTVVYQMNECQEKDTGFVCSRQSSLSSGLSGGASKGRKMELIQPKEPTSQYISLCHELHTLFQVMWSGKWALVSPFAMLHSVWRLIPAFRGYAQQDAQEFLCELLDKIQRELETTGTSLPALIPTSQRKLIKQVLNVVNNIFHGQLLSQVTCLACDNKSNTIEPFWDLSLEFPERYQCSGKDIASQPCLVTEMLAKFTETEALEGKIYVCDQCNSKRRRFSSKPVVLTEAQKQLMICHLPQVLRLHLKRFRWSGRNNREKIGVHVGFEEILNMEPYCCRETLKSLRPECFIYDLSAVVMHHGKGFGSGHYTAYCYNSEGGFWVHCNDSKLSMCTMDEVCKAQAYILFYTQRVTENGHSKLLPPELLLGSQHPNEDADTSSNEILS
+>DECOY_sp|Q9H0E7|UBP44_HUMAN Ubiquitin carboxyl-terminal hydrolase 44 OS=Homo sapiens OX=9606 GN=USP44 PE=1 SV=2
+SLIENSSTDADENPHQSGLLLEPPLLKSHGNETVRQTYFLIYAQAKCVEDMTCMSLKSDNCHVWFGGESNYCYATYHGSGFGKGHHMVVASLDYIFCEPRLSKLTERCCYPEMNLIEEFGVHVGIKERNNRGSWRFRKLHLRLVQPLHCIMLQKQAETLVVPKSSFRRRKSNCQDCVYIKGELAETETFKALMETVLCPQSAIDKGSCQYREPFELSLDWFPEITNSKNDCALCTVQSLLQGHFINNVVNLVQKILKRQSTPILAPLSTGTTELERQIKDLLECLFEQADQQAYGRFAPILRWVSHLMAFPSVLAWKGSWMVQFLTHLEHCLSIYQSTPEKPQILEMKRGKSAGGSLGSSLSSQRSCVFGTDKEQCENMQYVVTDTVPPHKCSRTKESATMALWQNLDLKLFCQRFILLHSLVQLVSNMYCTNGLNRLGTVGPTVIPRRKVSSDSVKQSIENESTSLVKDKAPSAPTQAPVQVSVIEIITSQALGQLRLSKRPPMSELEAKVQYELEQRRKKVERKVVIKEQFPEEQKKRGIPSQEFWTRFIKGMLIRRRHWLATYLQDESQLLSQAGDHLFYSDDGTGMSRLFRGSRTTCHYNQSKIASLTRRLLKLDGTTNDNLVYDDCLYCFVYMENVELAVPHSSEQFHKLAHEEIYRGCAVHSCSLCAWISETTNCDVCHWKQPNLSSHDQALQLQGVHKCTDMALM
+>sp|Q70EL3|UBP50_HUMAN Inactive ubiquitin carboxyl-terminal hydrolase 50 OS=Homo sapiens OX=9606 GN=USP50 PE=2 SV=1
+MTSQPSLPADDFDIYHVLAECTDYYDTLPVKEADGNQPHFQGVTGLWNLGNTCCVNAISQCLCSILPLVEYFLTGKYITALQKFLLPSDCSEVATAFAYLMTDMWLGDSDCVSPEIFWSALGNLYPAFTKKMQQDAQEFLICVLNELHEALKKYHYSRRRSYEKGSTQRCCRKWITTETSIITQLFEEQLNYSIVCLKCEKCTYKNEVFTVFSLPIPSKYECSLRDCLQCFFQQDALTWNNEIHCSFCETKQETAVRASISKAPKIIIFHLKRFDIQGTTKRKLRTDIHYPLTNLDLTPYICSIFRKYPKYNLCAVVNHFGDLDGGHYTAFCKNSVTQA
+>DECOY_sp|Q70EL3|UBP50_HUMAN Inactive ubiquitin carboxyl-terminal hydrolase 50 OS=Homo sapiens OX=9606 GN=USP50 PE=2 SV=1
+AQTVSNKCFATYHGGDLDGFHNVVACLNYKPYKRFISCIYPTLDLNTLPYHIDTRLKRKTTGQIDFRKLHFIIIKPAKSISARVATEQKTECFSCHIENNWTLADQQFFCQLCDRLSCEYKSPIPLSFVTFVENKYTCKECKLCVISYNLQEEFLQTIISTETTIWKRCCRQTSGKEYSRRRSYHYKKLAEHLENLVCILFEQADQQMKKTFAPYLNGLASWFIEPSVCDSDGLWMDTMLYAFATAVESCDSPLLFKQLATIYKGTLFYEVLPLISCLCQSIANVCCTNGLNWLGTVGQFHPQNGDAEKVPLTDYYDTCEALVHYIDFDDAPLSPQSTM
+>sp|Q70EK9|UBP51_HUMAN Ubiquitin carboxyl-terminal hydrolase 51 OS=Homo sapiens OX=9606 GN=USP51 PE=1 SV=1
+MAQVRETSLPSGSGVRWISGGGGGASPEEAVEKAGKMEEAAAGATKASSRREAEEMKLEPLQEREPAPEENLTWSSSGGDEKVLPSIPLRCHSSSSPVCPRRKPRPRPQPRARSRSQPGLSAPPPPPARPPPPPPPPPPPAPRPRAWRGSRRRSRPGSRPQTRRSCSGDLDGSGDPGGLGDWLLEVEFGQGPTGCSHVESFKVGKNWQKNLRLIYQRFVWSGTPETRKRKAKSCICHVCSTHMNRLHSCLSCVFFGCFTEKHIHKHAETKQHHLAVDLYHGVIYCFMCKDYVYDKDIEQIAKETKEKILRLLTSTSTDVSHQQFMTSGFEDKQSTCETKEQEPKLVKPKKKRRKKSVYTVGLRGLINLGNTCFMNCIVQALTHIPLLKDFFLSDKHKCIMTSPSLCLVCEMSSLFHAMYSGSRTPHIPYKLLHLIWIHAEHLAGYRQQDAHEFLIAILDVLHRHSKDDSGGQEANNPNCCNCIIDQIFTGGLQSDVTCQACHSVSTTIDPCWDISLDLPGSCATFDSQNPERADSTVSRDDHIPGIPSLTDCLQWFTRPEHLGSSAKIKCNSCQSYQESTKQLTMKKLPIVACFHLKRFEHVGKQRRKINTFISFPLELDMTPFLASTKESRMKEGQPPTDCVPNENKYSLFAVINHHGTLESGHYTSFIRQQKDQWFSCDDAIITKATIEDLLYSEGYLLFYHKQGLEKD
+>DECOY_sp|Q70EK9|UBP51_HUMAN Ubiquitin carboxyl-terminal hydrolase 51 OS=Homo sapiens OX=9606 GN=USP51 PE=1 SV=1
+DKELGQKHYFLLYGESYLLDEITAKTIIADDCSFWQDKQQRIFSTYHGSELTGHHNIVAFLSYKNENPVCDTPPQGEKMRSEKTSALFPTMDLELPFSIFTNIKRRQKGVHEFRKLHFCAVIPLKKMTLQKTSEQYSQCSNCKIKASSGLHEPRTFWQLCDTLSPIGPIHDDRSVTSDAREPNQSDFTACSGPLDLSIDWCPDITTSVSHCAQCTVDSQLGGTFIQDIICNCCNPNNAEQGGSDDKSHRHLVDLIAILFEHADQQRYGALHEAHIWILHLLKYPIHPTRSGSYMAHFLSSMECVLCLSPSTMICKHKDSLFFDKLLPIHTLAQVICNMFCTNGLNILGRLGVTYVSKKRRKKKPKVLKPEQEKTECTSQKDEFGSTMFQQHSVDTSTSTLLRLIKEKTEKAIQEIDKDYVYDKCMFCYIVGHYLDVALHHQKTEAHKHIHKETFCGFFVCSLCSHLRNMHTSCVHCICSKAKRKRTEPTGSWVFRQYILRLNKQWNKGVKFSEVHSCGTPGQGFEVELLWDGLGGPDGSGDLDGSCSRRTQPRSGPRSRRRSGRWARPRPAPPPPPPPPPPPRAPPPPPASLGPQSRSRARPQPRPRPKRRPCVPSSSSHCRLPISPLVKEDGGSSSWTLNEEPAPEREQLPELKMEEAERRSSAKTAGAAAEEMKGAKEVAEEPSAGGGGGSIWRVGSGSPLSTERVQAM
+>sp|Q93009|UBP7_HUMAN Ubiquitin carboxyl-terminal hydrolase 7 OS=Homo sapiens OX=9606 GN=USP7 PE=1 SV=2
+MNHQQQQQQQKAGEQQLSEPEDMEMEAGDTDDPPRITQNPVINGNVALSDGHNTAEEDMEDDTSWRSEATFQFTVERFSRLSESVLSPPCFVRNLPWKIMVMPRFYPDRPHQKSVGFFLQCNAESDSTSWSCHAQAVLKIINYRDDEKSFSRRISHLFFHKENDWGFSNFMAWSEVTDPEKGFIDDDKVTFEVFVQADAPHGVAWDSKKHTGYVGLKNQGATCYMNSLLQTLFFTNQLRKAVYMMPTEGDDSSKSVPLALQRVFYELQHSDKPVGTKKLTKSFGWETLDSFMQHDVQELCRVLLDNVENKMKGTCVEGTIPKLFRGKMVSYIQCKEVDYRSDRREDYYDIQLSIKGKKNIFESFVDYVAVEQLDGDNKYDAGEHGLQEAEKGVKFLTLPPVLHLQLMRFMYDPQTDQNIKINDRFEFPEQLPLDEFLQKTDPKDPANYILHAVLVHSGDNHGGHYVVYLNPKGDGKWCKFDDDVVSRCTKEEAIEHNYGGHDDDLSVRHCTNAYMLVYIRESKLSEVLQAVTDHDIPQQLVERLQEEKRIEAQKRKERQEAHLYMQVQIVAEDQFCGHQGNDMYDEEKVKYTVFKVLKNSSLAEFVQSLSQTMGFPQDQIRLWPMQARSNGTKRPAMLDNEADGNKTMIELSDNENPWTIFLETVDPELAASGATLPKFDKDHDVMLFLKMYDPKTRSLNYCGHIYTPISCKIRDLLPVMCDRAGFIQDTSLILYEEVKPNLTERIQDYDVSLDKALDELMDGDIIVFQKDDPENDNSELPTAKEYFRDLYHRVDVIFCDKTIPNDPGFVVTLSNRMNYFQVAKTVAQRLNTDPMLLQFFKSQGYRDGPGNPLRHNYEGTLRDLLQFFKPRQPKKLYYQQLKMKITDFENRRSFKCIWLNSQFREEEITLYPDKHGCVRDLLEECKKAVELGEKASGKLRLLEIVSYKIIGVHQEDELLECLSPATSRTFRIEEIPLDQVDIDKENEMLVTVAHFHKEVFGTFGIPFLLRIHQGEHFREVMKRIQSLLDIQEKEFEKFKFAIVMMGRHQYINEDEYEVNLKDFEPQPGNMSHPRPWLGLDHFNKAPKRSRYTYLEKAIKIHN
+>DECOY_sp|Q93009|UBP7_HUMAN Ubiquitin carboxyl-terminal hydrolase 7 OS=Homo sapiens OX=9606 GN=USP7 PE=1 SV=2
+NHIKIAKELYTYRSRKPAKNFHDLGLWPRPHSMNGPQPEFDKLNVEYEDENIYQHRGMMVIAFKFKEFEKEQIDLLSQIRKMVERFHEGQHIRLLFPIGFTGFVEKHFHAVTVLMENEKDIDVQDLPIEEIRFTRSTAPSLCELLEDEQHVGIIKYSVIELLRLKGSAKEGLEVAKKCEELLDRVCGHKDPYLTIEEERFQSNLWICKFSRRNEFDTIKMKLQQYYLKKPQRPKFFQLLDRLTGEYNHRLPNGPGDRYGQSKFFQLLMPDTNLRQAVTKAVQFYNMRNSLTVVFGPDNPITKDCFIVDVRHYLDRFYEKATPLESNDNEPDDKQFVIIDGDMLEDLAKDLSVDYDQIRETLNPKVEEYLILSTDQIFGARDCMVPLLDRIKCSIPTYIHGCYNLSRTKPDYMKLFLMVDHDKDFKPLTAGSAALEPDVTELFITWPNENDSLEIMTKNGDAENDLMAPRKTGNSRAQMPWLRIQDQPFGMTQSLSQVFEALSSNKLVKFVTYKVKEEDYMDNGQHGCFQDEAVIQVQMYLHAEQREKRKQAEIRKEEQLREVLQQPIDHDTVAQLVESLKSERIYVLMYANTCHRVSLDDDHGGYNHEIAEEKTCRSVVDDDFKCWKGDGKPNLYVVYHGGHNDGSHVLVAHLIYNAPDKPDTKQLFEDLPLQEPFEFRDNIKINQDTQPDYMFRMLQLHLVPPLTLFKVGKEAEQLGHEGADYKNDGDLQEVAVYDVFSEFINKKGKISLQIDYYDERRDSRYDVEKCQIYSVMKGRFLKPITGEVCTGKMKNEVNDLLVRCLEQVDHQMFSDLTEWGFSKTLKKTGVPKDSHQLEYFVRQLALPVSKSSDDGETPMMYVAKRLQNTFFLTQLLSNMYCTAGQNKLGVYGTHKKSDWAVGHPADAQVFVEFTVKDDDIFGKEPDTVESWAMFNSFGWDNEKHFFLHSIRRSFSKEDDRYNIIKLVAQAHCSWSTSDSEANCQLFFGVSKQHPRDPYFRPMVMIKWPLNRVFCPPSLVSESLRSFREVTFQFTAESRWSTDDEMDEEATNHGDSLAVNGNIVPNQTIRPPDDTDGAEMEMDEPESLQQEGAKQQQQQQQHNM
+>sp|Q8IWV7|UBR1_HUMAN E3 ubiquitin-protein ligase UBR1 OS=Homo sapiens OX=9606 GN=UBR1 PE=1 SV=1
+MADEEAGGTERMEISAELPQTPQRLASWWDQQVDFYTAFLHHLAQLVPEIYFAEMDPDLEKQEESVQMSIFTPLEWYLFGEDPDICLEKLKHSGAFQLCGRVFKSGETTYSCRDCAIDPTCVLCMDCFQDSVHKNHRYKMHTSTGGGFCDCGDTEAWKTGPFCVNHEPGRAGTIKENSRCPLNEEVIVQARKIFPSVIKYVVEMTIWEEEKELPPELQIREKNERYYCVLFNDEHHSYDHVIYSLQRALDCELAEAQLHTTAIDKEGRRAVKAGAYAACQEAKEDIKSHSENVSQHPLHVEVLHSEIMAHQKFALRLGSWMNKIMSYSSDFRQIFCQACLREEPDSENPCLISRLMLWDAKLYKGARKILHELIFSSFFMEMEYKKLFAMEFVKYYKQLQKEYISDDHDRSISITALSVQMFTVPTLARHLIEEQNVISVITETLLEVLPEYLDRNNKFNFQGYSQDKLGRVYAVICDLKYILISKPTIWTERLRMQFLEGFRSFLKILTCMQGMEEIRRQVGQHIEVDPDWEAAIAIQMQLKNILLMFQEWCACDEELLLVAYKECHKAVMRCSTSFISSSKTVVQSCGHSLETKSYRVSEDLVSIHLPLSRTLAGLHVRLSRLGAVSRLHEFVSFEDFQVEVLVEYPLRCLVLVAQVVAEMWRRNGLSLISQVFYYQDVKCREEMYDKDIIMLQIGASLMDPNKFLLLVLQRYELAEAFNKTISTKDQDLIKQYNTLIEEMLQVLIYIVGERYVPGVGNVTKEEVTMREIIHLLCIEPMPHSAIAKNLPENENNETGLENVINKVATFKKPGVSGHGVYELKDESLKDFNMYFYHYSKTQHSKAEHMQKKRRKQENKDEALPPPPPPEFCPAFSKVINLLNCDIMMYILRTVFERAIDTDSNLWTEGMLQMAFHILALGLLEEKQQLQKAPEEEVTFDFYHKASRLGSSAMNIQMLLEKLKGIPQLEGQKDMITWILQMFDTVKRLREKSCLIVATTSGSESIKNDEITHDKEKAERKRKAEAARLHRQKIMAQMSALQKNFIETHKLMYDNTSEMPGKEDSIMEEESTPAVSDYSRIALGPKRGPSVTEKEVLTCILCQEEQEVKIENNAMVLSACVQKSTALTQHRGKPIELSGEALDPLFMDPDLAYGTYTGSCGHVMHAVCWQKYFEAVQLSSQQRIHVDLFDLESGEYLCPLCKSLCNTVIPIIPLQPQKINSENADALAQLLTLARWIQTVLARISGYNIRHAKGENPIPIFFNQGMGDSTLEFHSILSFGVESSIKYSNSIKEMVILFATTIYRIGLKVPPDERDPRVPMLTWSTCAFTIQAIENLLGDEGKPLFGALQNRQHNGLKALMQFAVAQRITCPQVLIQKHLVRLLSVVLPNIKSEDTPCLLSIDLFHVLVGAVLAFPSLYWDDPVDLQPSSVSSSYNHLYLFHLITMAHMLQILLTVDTGLPLAQVQEDSEEAHSASSFFAEISQYTSGSIGCDIPGWYLWVSLKNGITPYLRCAALFFHYLLGVTPPEELHTNSAEGEYSALCSYLSLPTNLFLLFQEYWDTVRPLLQRWCADPALLNCLKQKNTVVRYPRKRNSLIELPDDYSCLLNQASHFRCPRSADDERKHPVLCLFCGAILCSQNICCQEIVNGEEVGACIFHALHCGAGVCIFLKIRECRVVLVEGKARGCAYPAPYLDEYGETDPGLKRGNPLHLSRERYRKLHLVWQQHCIIEEIARSQETNQMLFGFNWQLL
+>DECOY_sp|Q8IWV7|UBR1_HUMAN E3 ubiquitin-protein ligase UBR1 OS=Homo sapiens OX=9606 GN=UBR1 PE=1 SV=1
+LLQWNFGFLMQNTEQSRAIEEIICHQQWVLHLKRYRERSLHLPNGRKLGPDTEGYEDLYPAPYACGRAKGEVLVVRCERIKLFICVGAGCHLAHFICAGVEEGNVIEQCCINQSCLIAGCFLCLVPHKREDDASRPCRFHSAQNLLCSYDDPLEILSNRKRPYRVVTNKQKLCNLLAPDACWRQLLPRVTDWYEQFLLFLNTPLSLYSCLASYEGEASNTHLEEPPTVGLLYHFFLAACRLYPTIGNKLSVWLYWGPIDCGISGSTYQSIEAFFSSASHAEESDEQVQALPLGTDVTLLIQLMHAMTILHFLYLHNYSSSVSSPQLDVPDDWYLSPFALVAGVLVHFLDISLLCPTDESKINPLVVSLLRVLHKQILVQPCTIRQAVAFQMLAKLGNHQRNQLAGFLPKGEDGLLNEIAQITFACTSWTLMPVRPDREDPPVKLGIRYITTAFLIVMEKISNSYKISSEVGFSLISHFELTSDGMGQNFFIPIPNEGKAHRINYGSIRALVTQIWRALTLLQALADANESNIKQPQLPIIPIVTNCLSKCLPCLYEGSELDFLDVHIRQQSSLQVAEFYKQWCVAHMVHGCSGTYTGYALDPDMFLPDLAEGSLEIPKGRHQTLATSKQVCASLVMANNEIKVEQEEQCLICTLVEKETVSPGRKPGLAIRSYDSVAPTSEEEMISDEKGPMESTNDYMLKHTEIFNKQLASMQAMIKQRHLRAAEAKRKREAKEKDHTIEDNKISESGSTTAVILCSKERLRKVTDFMQLIWTIMDKQGELQPIGKLKELLMQINMASSGLRSAKHYFDFTVEEEPAKQLQQKEELLGLALIHFAMQLMGETWLNSDTDIAREFVTRLIYMMIDCNLLNIVKSFAPCFEPPPPPPLAEDKNEQKRRKKQMHEAKSHQTKSYHYFYMNFDKLSEDKLEYVGHGSVGPKKFTAVKNIVNELGTENNENEPLNKAIASHPMPEICLLHIIERMTVEEKTVNGVGPVYREGVIYILVQLMEEILTNYQKILDQDKTSITKNFAEALEYRQLVLLLFKNPDMLSAGIQLMIIDKDYMEERCKVDQYYFVQSILSLGNRRWMEAVVQAVLVLCRLPYEVLVEVQFDEFSVFEHLRSVAGLRSLRVHLGALTRSLPLHISVLDESVRYSKTELSHGCSQVVTKSSSIFSTSCRMVAKHCEKYAVLLLEEDCACWEQFMLLINKLQMQIAIAAEWDPDVEIHQGVQRRIEEMGQMCTLIKLFSRFGELFQMRLRETWITPKSILIYKLDCIVAYVRGLKDQSYGQFNFKNNRDLYEPLVELLTETIVSIVNQEEILHRALTPVTFMQVSLATISISRDHDDSIYEKQLQKYYKVFEMAFLKKYEMEMFFSSFILEHLIKRAGKYLKADWLMLRSILCPNESDPEERLCAQCFIQRFDSSYSMIKNMWSGLRLAFKQHAMIESHLVEVHLPHQSVNESHSKIDEKAEQCAAYAGAKVARRGEKDIATTHLQAEALECDLARQLSYIVHDYSHHEDNFLVCYYRENKERIQLEPPLEKEEEWITMEVVYKIVSPFIKRAQVIVEENLPCRSNEKITGARGPEHNVCFPGTKWAETDGCDCFGGGTSTHMKYRHNKHVSDQFCDMCLVCTPDIACDRCSYTTEGSKFVRGCLQFAGSHKLKELCIDPDEGFLYWELPTFISMQVSEEQKELDPDMEAFYIEPVLQALHHLFATYFDVQQDWWSALRQPTQPLEASIEMRETGGAEEDAM
+>sp|P68543|UBX2A_HUMAN UBX domain-containing protein 2A OS=Homo sapiens OX=9606 GN=UBXN2A PE=1 SV=1
+MKDVDNLKSIKEEWVCETGSDNQPLGNNQQSNCEYFVDSLFEEAQKVSSKCVSPAEQKKQVDVNIKLWKNGFTVNDDFRSYSDGASQQFLNSIKKGELPSELQGIFDKEEVDVKVEDKKNEICLSTKPVFQPFSGQGHRLGSATPKIVSKAKNIEVENKNNLSAVPLNNLEPITNIQIWLANGKRIVQKFNITHRVSHIKDFIEKYQGSQRSPPFSLATALPVLRLLDETLTLEEADLQNAVIIQRLQKTASFRELSEH
+>DECOY_sp|P68543|UBX2A_HUMAN UBX domain-containing protein 2A OS=Homo sapiens OX=9606 GN=UBXN2A PE=1 SV=1
+HESLERFSATKQLRQIIVANQLDAEELTLTEDLLRLVPLATALSFPPSRQSGQYKEIFDKIHSVRHTINFKQVIRKGNALWIQINTIPELNNLPVASLNNKNEVEINKAKSVIKPTASGLRHGQGSFPQFVPKTSLCIENKKDEVKVDVEEKDFIGQLESPLEGKKISNLFQQSAGDSYSRFDDNVTFGNKWLKINVDVQKKQEAPSVCKSSVKQAEEFLSDVFYECNSQQNNGLPQNDSGTECVWEEKISKLNDVDKM
+>sp|Q04323|UBXN1_HUMAN UBX domain-containing protein 1 OS=Homo sapiens OX=9606 GN=UBXN1 PE=1 SV=2
+MAELTALESLIEMGFPRGRAEKALALTGNQGIEAAMDWLMEHEDDPDVDEPLETPLGHILGREPTSSEQGGLEGSGSAAGEGKPALSEEERQEQTKRMLELVAQKQREREEREEREALERERQRRRQGQELSAARQRLQEDEMRRAAEERRREKAEELAARQRVREKIERDKAERAKKYGGSVGSQPPPVAPEPGPVPSSPSQEPPTKREYDQCRIQVRLPDGTSLTQTFRAREQLAAVRLYVELHRGEELGGGQDPVQLLSGFPRRAFSEADMERPLQELGLVPSAVLIVAKKCPS
+>DECOY_sp|Q04323|UBXN1_HUMAN UBX domain-containing protein 1 OS=Homo sapiens OX=9606 GN=UBXN1 PE=1 SV=2
+SPCKKAVILVASPVLGLEQLPREMDAESFARRPFGSLLQVPDQGGGLEEGRHLEVYLRVAALQERARFTQTLSTGDPLRVQIRCQDYERKTPPEQSPSSPVPGPEPAVPPPQSGVSGGYKKAREAKDREIKERVRQRAALEEAKERRREEAARRMEDEQLRQRAASLEQGQRRRQRERELAEREEREERERQKQAVLELMRKTQEQREEESLAPKGEGAASGSGELGGQESSTPERGLIHGLPTELPEDVDPDDEHEMLWDMAAEIGQNGTLALAKEARGRPFGMEILSELATLEAM
+>sp|O94888|UBXN7_HUMAN UBX domain-containing protein 7 OS=Homo sapiens OX=9606 GN=UBXN7 PE=1 SV=2
+MAAHGGSAASSALKGLIQQFTTITGASESVGKHMLEACNNNLEMAVTMFLDGGGIAEEPSTSSASVSTVRPHTEEEVRAPIPQKQEILVEPEPLFGAPKRRRPARSIFDGFRDFQTETIRQEQELRNGGAIDKKLTTLADLFRPPIDLMHKGSFETAKECGQMQNKWLMINIQNVQDFACQCLNRDVWSNEAVKNIIREHFIFWQVYHDSEEGQRYIQFYKLGDFPYVSILDPRTGQKLVEWHQLDVSSFLDQVTGFLGEHGQLDGLSSSPPKKCARSESLIDASEDSQLEAAIRASLQETHFDSTQTKQDSRSDEESESELFSGSEEFISVCGSDEEEEVENLAKSRKSPHKDLGHRKEENRRPLTEPPVRTDPGTATNHQGLPAVDSEILEMPPEKADGVVEGIDVNGPKAQLMLRYPDGKREQITLPEQAKLLALVKHVQSKGYPNERFELLTNFPRRKLSHLDYDITLQEAGLCPQETVFVQERN
+>DECOY_sp|O94888|UBXN7_HUMAN UBX domain-containing protein 7 OS=Homo sapiens OX=9606 GN=UBXN7 PE=1 SV=2
+NREQVFVTEQPCLGAEQLTIDYDLHSLKRRPFNTLLEFRENPYGKSQVHKVLALLKAQEPLTIQERKGDPYRLMLQAKPGNVDIGEVVGDAKEPPMELIESDVAPLGQHNTATGPDTRVPPETLPRRNEEKRHGLDKHPSKRSKALNEVEEEEDSGCVSIFEESGSFLESESEEDSRSDQKTQTSDFHTEQLSARIAAELQSDESADILSESRACKKPPSSSLGDLQGHEGLFGTVQDLFSSVDLQHWEVLKQGTRPDLISVYPFDGLKYFQIYRQGEESDHYVQWFIFHERIINKVAENSWVDRNLCQCAFDQVNQINIMLWKNQMQGCEKATEFSGKHMLDIPPRFLDALTTLKKDIAGGNRLEQEQRITETQFDRFGDFISRAPRRRKPAGFLPEPEVLIEQKQPIPARVEEETHPRVTSVSASSTSPEEAIGGGDLFMTVAMELNNNCAELMHKGVSESAGTITTFQQILGKLASSAASGGHAAM
+>sp|Q9BZM4|ULBP3_HUMAN UL16-binding protein 3 OS=Homo sapiens OX=9606 GN=ULBP3 PE=1 SV=1
+MAAAASPAILPRLAILPYLLFDWSGTGRADAHSLWYNFTIIHLPRHGQQWCEVQSQVDQKNFLSYDCGSDKVLSMGHLEEQLYATDAWGKQLEMLREVGQRLRLELADTELEDFTPSGPLTLQVRMSCECEADGYIRGSWQFSFDGRKFLLFDSNNRKWTVVHAGARRMKEKWEKDSGLTTFFKMVSMRDCKSWLRDFLMHRKKRLEPTAPPTMAPGLAQPKAIATTLSPWSFLIILCFILPGI
+>DECOY_sp|Q9BZM4|ULBP3_HUMAN UL16-binding protein 3 OS=Homo sapiens OX=9606 GN=ULBP3 PE=1 SV=1
+IGPLIFCLIILFSWPSLTTAIAKPQALGPAMTPPATPELRKKRHMLFDRLWSKCDRMSVMKFFTTLGSDKEWKEKMRRAGAHVVTWKRNNSDFLLFKRGDFSFQWSGRIYGDAECECSMRVQLTLPGSPTFDELETDALELRLRQGVERLMELQKGWADTAYLQEELHGMSLVKDSGCDYSLFNKQDVQSQVECWQQGHRPLHIITFNYWLSHADARGTGSWDFLLYPLIALRPLIAPSAAAAM
+>sp|Q03405|UPAR_HUMAN Urokinase plasminogen activator surface receptor OS=Homo sapiens OX=9606 GN=PLAUR PE=1 SV=1
+MGHPPLLPLLLLLHTCVPASWGLRCMQCKTNGDCRVEECALGQDLCRTTIVRLWEEGEELELVEKSCTHSEKTNRTLSYRTGLKITSLTEVVCGLDLCNQGNSGRAVTYSRSRYLECISCGSSDMSCERGRHQSLQCRSPEEQCLDVVTHWIQEGEEGRPKDDRHLRGCGYLPGCPGSNGFHNNDTFHFLKCCNTTKCNEGPILELENLPQNGRQCYSCKGNSTHGCSSEETFLIDCRGPMNQCLVATGTHEPKNQSYMVRGCATASMCQHAHLGDAFSMNHIDVSCCTKSGCNHPDLDVQYRSGAAPQPGPAHLSLTITLLMTARLWGGTLLWT
+>DECOY_sp|Q03405|UPAR_HUMAN Urokinase plasminogen activator surface receptor OS=Homo sapiens OX=9606 GN=PLAUR PE=1 SV=1
+TWLLTGGWLRATMLLTITLSLHAPGPQPAAGSRYQVDLDPHNCGSKTCCSVDIHNMSFADGLHAHQCMSATACGRVMYSQNKPEHTGTAVLCQNMPGRCDILFTEESSCGHTSNGKCSYCQRGNQPLNELELIPGENCKTTNCCKLFHFTDNNHFGNSGPCGPLYGCGRLHRDDKPRGEEGEQIWHTVVDLCQEEPSRCQLSQHRGRECSMDSSGCSICELYRSRSYTVARGSNGQNCLDLGCVVETLSTIKLGTRYSLTRNTKESHTCSKEVLELEEGEEWLRVITTRCLDQGLACEEVRCDGNTKCQMCRLGWSAPVCTHLLLLLPLLPPHGM
+>sp|Q8TCY9|URGCP_HUMAN Up-regulator of cell proliferation OS=Homo sapiens OX=9606 GN=URGCP PE=1 SV=2
+MASPGIEVELLGKGHSDLGEVAPEIKASERRTAVAIADLEWREMEGDDCEFRYGDGTNEAQDNDFPTVERSRLQEMLSLLGLETYQVQKLSLQDSLQISFDSMKNWAPQVPKDLPWNFLRKLQALNADARNTTMVLDVLPDARPVEKESQMEEEIIYWDPADDLAADIYSFSELPTPDTPVNPLDLLCALLLSSDSFLQQEIALKMALCQFALPLVLPDSENHYHTFLLWAMRGIVRTWWSQPPRGMGSFREDSVVLSRAPAFAFVRMDVSSNSKSQLLNAVLSPGHRQWDCFWHRDLNLGTNAREISDGLVEISWFFPSGREDLDIFPEPVAFLNLRGDIGSHWLQFKLLTEISSAVFILTDNISKKEYKLLYSMKESTTKYYFILSPYRGKRNTNLRFLNKLIPVLKIDHSHVLVKVSSTDSDSFVKRIRAIVGNVLRAPCRRVSVEDMAHAARKLGLKVDEDCEECQKAKDRMERITRKIKDSDAYRRDELRLQGDPWRKAAQVEKEFCQLQWAVDPPEKHRAELRRRLLELRMQQNGHDPSSGVQEFISGISSPSLSEKQYFLRWMEWGLARVAQPRLRQPPETLLTLRPKHGGTTDVGEPLWPEPLGVEHFLREMGQFYEAESCLVEAGRLPAGQRRFAHFPGLASELLLTGLPLELIDGSTLSMPVRWVTGLLKELHVRLERRSRLVVLSTVGVPGTGKSTLLNTMFGLRFATGKSCGPRGAFMQLITVAEGFSQDLGCDHILVIDSGGLIGGALTSAGDRFELEASLATLLMGLSNVTVISLAETKDIPAAILHAFLRLEKTGHMPNYQFVYQNLHDVSVPGPRPRDKRQLLDPPGDLSRAAAQMEKQGDGFRALAGLAFCDPEKQHIWHIPGLWHGAPPMAAVSLAYSEAIFELKRCLLENIRNGLSNQNKNIQQLIELVRRL
+>DECOY_sp|Q8TCY9|URGCP_HUMAN Up-regulator of cell proliferation OS=Homo sapiens OX=9606 GN=URGCP PE=1 SV=2
+LRRVLEILQQINKNQNSLGNRINELLCRKLEFIAESYALSVAAMPPAGHWLGPIHWIHQKEPDCFALGALARFGDGQKEMQAAARSLDGPPDLLQRKDRPRPGPVSVDHLNQYVFQYNPMHGTKELRLFAHLIAAPIDKTEALSIVTVNSLGMLLTALSAELEFRDGASTLAGGILGGSDIVLIHDCGLDQSFGEAVTILQMFAGRPGCSKGTAFRLGFMTNLLTSKGTGPVGVTSLVVLRSRRELRVHLEKLLGTVWRVPMSLTSGDILELPLGTLLLESALGPFHAFRRQGAPLRGAEVLCSEAEYFQGMERLFHEVGLPEPWLPEGVDTTGGHKPRLTLLTEPPQRLRPQAVRALGWEMWRLFYQKESLSPSSIGSIFEQVGSSPDHGNQQMRLELLRRRLEARHKEPPDVAWQLQCFEKEVQAAKRWPDGQLRLEDRRYADSDKIKRTIREMRDKAKQCEECDEDVKLGLKRAAHAMDEVSVRRCPARLVNGVIARIRKVFSDSDTSSVKVLVHSHDIKLVPILKNLFRLNTNRKGRYPSLIFYYKTTSEKMSYLLKYEKKSINDTLIFVASSIETLLKFQLWHSGIDGRLNLFAVPEPFIDLDERGSPFFWSIEVLGDSIERANTGLNLDRHWFCDWQRHGPSLVANLLQSKSNSSVDMRVFAFAPARSLVVSDERFSGMGRPPQSWWTRVIGRMAWLLFTHYHNESDPLVLPLAFQCLAMKLAIEQQLFSDSSLLLACLLDLPNVPTDPTPLESFSYIDAALDDAPDWYIIEEEMQSEKEVPRADPLVDLVMTTNRADANLAQLKRLFNWPLDKPVQPAWNKMSDFSIQLSDQLSLKQVQYTELGLLSLMEQLRSREVTPFDNDQAENTGDGYRFECDDGEMERWELDAIAVATRRESAKIEPAVEGLDSHGKGLLEVEIGPSAM
+>sp|Q9BTM9|URM1_HUMAN Ubiquitin-related modifier 1 OS=Homo sapiens OX=9606 GN=URM1 PE=1 SV=1
+MAAPLSVEVEFGGGAELLFDGIKKHRVTLPGQEEPWDIRNLLIWIKKNLLKERPELFIQGDSVRPGILVLINDADWELLGELDYQLQDQDSVLFISTLHGG
+>DECOY_sp|Q9BTM9|URM1_HUMAN Ubiquitin-related modifier 1 OS=Homo sapiens OX=9606 GN=URM1 PE=1 SV=1
+GGHLTSIFLVSDQDQLQYDLEGLLEWDADNILVLIGPRVSDGQIFLEPREKLLNKKIWILLNRIDWPEEQGPLTVRHKKIGDFLLEAGGGFEVEVSLPAAM
+>sp|Q8N6Y0|USBP1_HUMAN Usher syndrome type-1C protein-binding protein 1 OS=Homo sapiens OX=9606 GN=USHBP1 PE=1 SV=1
+MSARATRPRSRRGRHAPPGELDPVAESSEEVEAASGSSKPSFAPPPVSSGLEQLGPMEEVSGQGLGSRTDKKMDGGSGRELASAPEVPHKPAVEAHQAPEAALQYKETVPPGNGAPDVFQTLQHTLSSLEAAAAAWRHQPPSHSGPMEFEGTSEGGAGSLGKQEGAGSCQREAARLAERNAWLRLALSSREDELVRTQASLEAIRAEKETLQKEVQELQDSLLRLEPCPHLSHNQAGGSGSGSSSSEADREPWETQDSFSLAHPLLRRLRSHSSTQILGSLPNQPLSPEMHIMEAQMEQLRGSIEKLKCFNRLLSAVLQGYKGRCEGLSMQLGQREAEATALHLALQYSEHCEEAYRVLLALREADSGAGDEAPMSDLQAAEKEAWRLLAQEEAAMDAGAQQNPQPSPEGSSVDKPTPQEVAFQLRSYVQRLQERRSLMKILSEPGPTLAPMPTVPRAEAMVQAILGTQAGPALPRLEKTQIQQDLVAAREALADLMLRLQLVRREKRGLELREAALRALGPAHVLLLEQLRWERAELQAGGANSSGGHSSGGGSSGDEEEWYQGLPAVPGGTSGIDGGQVGRAWDPEKLAQELAASLTRTLDLQEQLQSLRRELEQVAQKGRARRSQSAELNRDLCKAHSALVLAFRGAHRKQEEQRRKLEQQMALMEAQQAEEVAVLEATARALGKPRPPLPPPQLGDTFL
+>DECOY_sp|Q8N6Y0|USBP1_HUMAN Usher syndrome type-1C protein-binding protein 1 OS=Homo sapiens OX=9606 GN=USHBP1 PE=1 SV=1
+LFTDGLQPPPLPPRPKGLARATAELVAVEEAQQAEMLAMQQELKRRQEEQKRHAGRFALVLASHAKCLDRNLEASQSRRARGKQAVQELERRLSQLQEQLDLTRTLSAALEQALKEPDWARGVQGGDIGSTGGPVAPLGQYWEEEDGSSGGGSSHGGSSNAGGAQLEAREWRLQELLLVHAPGLARLAAERLELGRKERRVLQLRLMLDALAERAAVLDQQIQTKELRPLAPGAQTGLIAQVMAEARPVTPMPALTPGPESLIKMLSRREQLRQVYSRLQFAVEQPTPKDVSSGEPSPQPNQQAGADMAAEEQALLRWAEKEAAQLDSMPAEDGAGSDAERLALLVRYAEECHESYQLALHLATAEAERQGLQMSLGECRGKYGQLVASLLRNFCKLKEISGRLQEMQAEMIHMEPSLPQNPLSGLIQTSSHSRLRRLLPHALSFSDQTEWPERDAESSSSGSGSGGAQNHSLHPCPELRLLSDQLEQVEKQLTEKEARIAELSAQTRVLEDERSSLALRLWANREALRAAERQCSGAGEQKGLSGAGGESTGEFEMPGSHSPPQHRWAAAAAELSSLTHQLTQFVDPAGNGPPVTEKYQLAAEPAQHAEVAPKHPVEPASALERGSGGDMKKDTRSGLGQGSVEEMPGLQELGSSVPPPAFSPKSSGSAAEVEESSEAVPDLEGPPAHRGRRSRPRTARASM
+>sp|O75445|USH2A_HUMAN Usherin OS=Homo sapiens OX=9606 GN=USH2A PE=1 SV=3
+MNCPVLSLGSGFLFQVIEMLIFAYFASISLTESRGLFPRLENVGAFKKVSIVPTQAVCGLPDRSTFCHSSAAAESIQFCTQRFCIQDCPYRSSHPTYTALFSAGLSSCITPDKNDLHPNAHSNSASFIFGNHKSCFSSPPSPKLMASFTLAVWLKPEQQGVMCVIEKTVDGQIVFKLTISEKETMFYYRTVNGLQPPIKVMTLGRILVKKWIHLSVQVHQTKISFFINGVEKDHTPFNARTLSGSITDFASGTVQIGQSLNGLEQFVGRMQDFRLYQVALTNREILEVFSGDLLRLHAQSHCRCPGSHPRVHPLAQRYCIPNDAGDTADNRVSRLNPEAHPLSFVNDNDVGTSWVSNVFTNITQLNQGVTISVDLENGQYQVFYIIIQFFSPQPTEIRIQRKKENSLDWEDWQYFARNCGAFGMKNNGDLEKPDSVNCLQLSNFTPYSRGNVTFSILTPGPNYRPGYNNFYNTPSLQEFVKATQIRFHFHGQYYTTETAVNLRHRYYAVDEITISGRCQCHGHADNCDTTSQPYRCLCSQESFTEGLHCDRCLPLYNDKPFRQGDQVYAFNCKPCQCNSHSKSCHYNISVDPFPFEHFRGGGGVCDDCEHNTTGRNCELCKDYFFRQVGADPSAIDVCKPCDCDTVGTRNGSILCDQIGGQCNCKRHVSGRQCNQCQNGFYNLQELDPDGCSPCNCNTSGTVDGDITCHQNSGQCKCKANVIGLRCDHCNFGFKFLRSFNDVGCEPCQCNLHGSVNKFCNPHSGQCECKKEAKGLQCDTCRENFYGLDVTNCKACDCDTAGSLPGTVCNAKTGQCICKPNVEGRQCNKCLEGNFYLRQNNSFLCLPCNCDKTGTINGSLLCNKSTGQCPCKLGVTGLRCNQCEPHRYNLTIDNFQHCQMCECDSLGTLPGTICDPISGQCLCVPNRQGRRCNQCQPGFYISPGNATGCLPCSCHTTGAVNHICNSLTGQCVCQDASIAGQRCDQCKDHYFGFDPQTGRCQPCNCHLSGALNETCHLVTGQCFCKQFVTGSKCDACVPSASHLDVNNLLGCSKTPFQQPPPRGQVQSSSAINLSWSPPDSPNAHWLTYSLLRDGFEIYTTEDQYPYSIQYFLDTDLLPYTKYSYYIETTNVHGSTRSVAVTYKTKPGVPEGNLTLSYIIPIGSDSVTLTWTTLSNQSGPIEKYILSCAPLAGGQPCVSYEGHETSATIWNLVPFAKYDFSVQACTSGGCLHSLPITVTTAQAPPQRLSPPKMQKISSTELHVEWSPPAELNGIIIRYELYMRRLRSTKETTSEESRVFQSSGWLSPHSFVESANENALKPPQTMTTITGLEPYTKYEFRVLAVNMAGSVSSAWVSERTGESAPVFMIPPSVFPLSSYSLNISWEKPADNVTRGKVVGYDINMLSEQSPQQSIPMAFSQLLHTAKSQELSYTVEGLKPYRIYEFTITLCNSVGCVTSASGAGQTLAAAPAQLRPPLVKGINSTTIHLRWFPPEELNGPSPIYQLERRESSLPALMTTMMKGIRFIGNGYCKFPSSTHPVNTDFTGIKASFRTKVPEGLIVFAASPGNQEEYFALQLKKGRLYFLFDPQGSPVEVTTTNDHGKQYSDGKWHEIIAIRHQAFGQITLDGIYTGSSAILNGSTVIGDNTGVFLGGLPRSYTILRKDPEIIQKGFVGCLKDVHFMKNYNPSAIWEPLDWQSSEEQINVYNSWEGCPASLNEGAQFLGAGFLELHPYMFHGGMNFEISFKFRTDQLNGLLLFVYNKDGPDFLAMELKSGILTFRLNTSLAFTQVDLLLGLSYCNGKWNKVIIKKEGSFISASVNGLMKHASESGDQPLVVNSPVYVGGIPQELLNSYQHLCLEQGFGGCMKDVKFTRGAVVNLASVSSGAVRVNLDGCLSTDSAVNCRGNDSILVYQGKEQSVYEGGLQPFTEYLYRVIASHEGGSVYSDWSRGRTTGAAPQSVPTPSRVRSLNGYSIEVTWDEPVVRGVIEKYILKAYSEDSTRPPRMPSASAEFVNTSNLTGILTGLLPFKNYAVTLTACTLAGCTESSHALNISTPQEAPQEVQPPVAKSLPSSLLLSWNPPKKANGIITQYCLYMDGRLIYSGSEENYIVTDLAVFTPHQFLLSACTHVGCTNSSWVLLYTAQLPPEHVDSPVLTVLDSRTIHIQWKQPRKISGILERYVLYMSNHTHDFTIWSVIYNSTELFQDHMLQYVLPGNKYLIKLGACTGGGCTVSEASEALTDEDIPEGVPAPKAHSYSPDSFNVSWTEPEYPNGVITSYGLYLDGILIHNSSELSYRAYGFAPWSLHSFRVQACTAKGCALGPLVENRTLEAPPEGTVNVFVKTQGSRKAHVRWEAPFRPNGLLTHSVLFTGIFYVDPVGNNYTLLNVTKVMYSGEETNLWVLIDGLVPFTNYTVQVNISNSQGSLITDPITIAMPPGAPDGVLPPRLSSATPTSLQVVWSTPARNNAPGSPRYQLQMRSGDSTHGFLELFSNPSASLSYEVSDLQPYTEYMFRLVASNGFGSAHSSWIPFMTAEDKPGPVVPPILLDVKSRMMLVTWQHPRKSNGVITHYNIYLHGRLYLRTPGNVTNCTVMHLHPYTAYKFQVEACTSKGCSLSPESQTVWTLPGAPEGIPSPELFSDTPTSVIISWQPPTHPNGLVENFTIERRVKGKEEVTTLVTLPRSHSMRFIDKTSALSPWTKYEYRVLMSTLHGGTNSSAWVEVTTRPSRPAGVQPPVVTVLEPDAVQVTWKPPLIQNGDILSYEIHMPDPHITLTNVTSAVLSQKVTHLIPFTNYSVTIVACSGGNGYLGGCTESLPTYVTTHPTVPQNVGPLSVIPLSESYVVISWQPPSKPNGPNLRYELLRRKIQQPLASNPPEDLNRWHNIYSGTQWLYEDKGLSRFTTYEYMLFVHNSVGFTPSREVTVTTLAGLPERGANLTASVLNHTAIDVRWAKPTVQDLQGEVEYYTLFWSSATSNDSLKILPDVNSHVIGHLKPNTEYWIFISVFNGVHSINSAGLHATTCDGEPQGMLPPEVVIINSTAVRVIWTSPSNPNGVVTEYSIYVNNKLYKTGMNVPGSFILRDLSPFTIYDIQVEVCTIYACVKSNGTQITTVEDTPSDIPTPTIRGITSRSLQIDWVSPRKPNGIILGYDLLWKTWYPCAKTQKLVQDQSDELCKAVRCQKPESICGHICYSSEAKVCCNGVLYNPKPGHRCCEEKYIPFVLNSTGVCCGGRIQEAQPNHQCCSGYYARILPGEVCCPDEQHNRVSVGIGDSCCGRMPYSTSGNQICCAGRLHDGHGQKCCGRQIVSNDLECCGGEEGVVYNRLPGMFCCGQDYVNMSDTICCSASSGESKAHIKKNDPVPVKCCETELIPKSQKCCNGVGYNPLKYVCSDKISTGMMMKETKECRILCPASMEATEHCGRCDFNFTSHICTVIRGSHNSTGKASIEEMCSSAEETIHTGSVNTYSYTDVNLKPYMTYEYRISAWNSYGRGLSKAVRARTKEDVPQGVSPPTWTKIDNLEDTIVLNWRKPIQSNGPIIYYILLRNGIERFRGTSLSFSDKEGIQPFQEYSYQLKACTVAGCATSSKVVAATTQGVPESILPPSITALSAVALHLSWSVPEKSNGVIKEYQIRQVGKGLIHTDTTDRRQHTVTGLQPYTNYSFTLTACTSAGCTSSEPFLGQTLQAAPEGVWVTPRHIIINSTTVELYWSLPEKPNGLVSQYQLSRNGNLLFLGGSEEQNFTDKNLEPNSRYTYKLEVKTGGGSSASDDYIVQTPMSTPEEIYPPYNITVIGPYSIFVAWIPPGILIPEIPVEYNVLLNDGSVTPLAFSVGHHQSTLLENLTPFTQYEIRIQACQNGSCGVSSRMFVKTPEAAPMDLNSPVLKALGSACIEIKWMPPEKPNGIIINYFIYRRPAGIEEESVLFVWSEGALEFMDEGDTLRPFTLYEYRVRACNSKGSVESLWSLTQTLEAPPQDFPAPWAQATSAHSVLLNWTKPESPNGIISHYRVVYQERPDDPTFNSPTVHAFTVKGTSHQAHLYGLEPFTTYRIGVVAANHAGEILSPWTLIQTLESSPSGLRNFIVEQKENGRALLLQWSEPMRTNGVIKTYNIFSDGFLEYSGLNRQFLFRRLDPFTLYTLTLEACTRAGCAHSAPQPLWTDEAPPDSQLAPTVHSVKSTSVELSWSEPVNPNGKIIRYEVIRRCFEGKAWGNQTIQADEKIVFTEYNTERNTFMYNDTGLQPWTQCEYKIYTWNSAGHTCSSWNVVRTLQAPPEGLSPPVISYVSMNPQKLLISWIPPEQSNGIIQSYRLQRNEMLYPFSFDPVTFNYTDEELLPFSTYSYALQACTSGGCSTSKPTSITTLEAAPSEVSPPDLWAVSATQMNVCWSPPTVQNGKITKYLVRYDNKESLAGQGLCLLVSHLQPYSQYNFSLVACTNGGCTASVSKSAWTMEALPENMDSPTLQVTGSESIEITWKPPRNPNGQIRSYELRRDGTIVYTGLETRYRDFTLTPGVEYSYTVTASNSQGGILSPLVKDRTSPSAPSGMEPPKLQARGPQEILVNWDPPVRTNGDIINYTLFIRELFERETKIIHINTTHNSFGMQSYIVNQLKPFHRYEIRIQACTTLGCASSDWTFIQTPEIAPLMQPPPHLEVQMAPGGFQPTVSLLWTGPLQPNGKVLYYELYRRQIATQPRKSNPVLIYNGSSTSFIDSELLPFTEYEYQVWAVNSAGKAPSSWTWCRTGPAPPEGLRAPTFHVISSTQAVVNISAPGKPNGIVSLYRLFSSSAHGAETVLSEGMATQQTLHGLQAFTNYSIGVEACTCFNCCSKGPTAELRTHPAPPSGLSSPQIGTLASRTASFRWSPPMFPNGVIHSYELQFHVACPPDSALPCTPSQIETKYTGLGQKASLGGLQPYTTYKLRVVAHNEVGSTASEWISFTTQKELPQYRAPFSVDSNLSVVCVNWSDTFLLNGQLKEYVLTDGGRRVYSGLDTTLYIPRTADKTFFFQVICTTDEGSVKTPLIQYDTSTGLGLVLTTPGKKKGSRSKSTEFYSELWFIVLMAMLGLILLAIFLSLILQRKIHKEPYIRERPPLVPLQKRMSPLNVYPPGENHMGLADTKIPRSGTPVSIRSNRSACVLRIPSQNQTSLTYSQGSLHRSVSQLMDIQDKKVLMDNSLWEAIMGHNSGLYVDEEDLMNAIKDFSSVTKERTTFTDTHL
+>DECOY_sp|O75445|USH2A_HUMAN Usherin OS=Homo sapiens OX=9606 GN=USH2A PE=1 SV=3
+LHTDTFTTREKTVSSFDKIANMLDEEDVYLGSNHGMIAEWLSNDMLVKKDQIDMLQSVSRHLSGQSYTLSTQNQSPIRLVCASRNSRISVPTGSRPIKTDALGMHNEGPPYVNLPSMRKQLPVLPPRERIYPEKHIKRQLILSLFIALLILGLMAMLVIFWLESYFETSKSRSGKKKGPTTLVLGLGTSTDYQILPTKVSGEDTTCIVQFFFTKDATRPIYLTTDLGSYVRRGGDTLVYEKLQGNLLFTDSWNVCVVSLNSDVSFPARYQPLEKQTTFSIWESATSGVENHAVVRLKYTTYPQLGGLSAKQGLGTYKTEIQSPTCPLASDPPCAVHFQLEYSHIVGNPFMPPSWRFSATRSALTGIQPSSLGSPPAPHTRLEATPGKSCCNFCTCAEVGISYNTFAQLGHLTQQTAMGESLVTEAGHASSSFLRYLSVIGNPKGPASINVVAQTSSIVHFTPARLGEPPAPGTRCWTWSSPAKGASNVAWVQYEYETFPLLESDIFSTSSGNYILVPNSKRPQTAIQRRYLEYYLVKGNPQLPGTWLLSVTPQFGGPAMQVELHPPPQMLPAIEPTQIFTWDSSACGLTTCAQIRIEYRHFPKLQNVIYSQMGFSNHTTNIHIIKTEREFLERIFLTYNIIDGNTRVPPDWNVLIEQPGRAQLKPPEMGSPASPSTRDKVLPSLIGGQSNSATVTYSYEVGPTLTFDRYRTELGTYVITGDRRLEYSRIQGNPNRPPKWTIEISESGTVQLTPSDMNEPLAEMTWASKSVSATCGGNTCAVLSFNYQSYPQLHSVLLCLGQGALSEKNDYRVLYKTIKGNQVTPPSWCVNMQTASVAWLDPPSVESPAAELTTISTPKSTSCGGSTCAQLAYSYTSFPLLEEDTYNFTVPDFSFPYLMENRQLRYSQIIGNSQEPPIWSILLKQPNMSVYSIVPPSLGEPPAQLTRVVNWSSCTHGASNWTYIKYECQTWPQLGTDNYMFTNRETNYETFVIKEDAQITQNGWAKGEFCRRIVEYRIIKGNPNVPESWSLEVSTSKVSHVTPALQSDPPAEDTWLPQPASHACGARTCAELTLTYLTFPDLRRFLFQRNLGSYELFGDSFINYTKIVGNTRMPESWQLLLARGNEKQEVIFNRLGSPSSELTQILTWPSLIEGAHNAAVVGIRYTTFPELGYLHAQHSTGKVTFAHVTPSNFTPDDPREQYVVRYHSIIGNPSEPKTWNLLVSHASTAQAWPAPFDQPPAELTQTLSWLSEVSGKSNCARVRYEYLTFPRLTDGEDMFELAGESWVFLVSEEEIGAPRRYIFYNIIIGNPKEPPMWKIEICASGLAKLVPSNLDMPAAEPTKVFMRSSVGCSGNQCAQIRIEYQTFPTLNELLTSQHHGVSFALPTVSGDNLLVNYEVPIEPILIGPPIWAVFISYPGIVTINYPPYIEEPTSMPTQVIYDDSASSGGGTKVELKYTYRSNPELNKDTFNQEESGGLFLLNGNRSLQYQSVLGNPKEPLSWYLEVTTSNIIIHRPTVWVGEPAAQLTQGLFPESSTCGASTCATLTFSYNTYPQLGTVTHQRRDTTDTHILGKGVQRIQYEKIVGNSKEPVSWSLHLAVASLATISPPLISEPVGQTTAAVVKSSTACGAVTCAKLQYSYEQFPQIGEKDSFSLSTGRFREIGNRLLIYYIIPGNSQIPKRWNLVITDELNDIKTWTPPSVGQPVDEKTRARVAKSLGRGYSNWASIRYEYTMYPKLNVDTYSYTNVSGTHITEEASSCMEEISAKGTSNHSGRIVTCIHSTFNFDCRGCHETAEMSAPCLIRCEKTEKMMMGTSIKDSCVYKLPNYGVGNCCKQSKPILETECCKVPVPDNKKIHAKSEGSSASCCITDSMNVYDQGCCFMGPLRNYVVGEEGGCCELDNSVIQRGCCKQGHGDHLRGACCIQNGSTSYPMRGCCSDGIGVSVRNHQEDPCCVEGPLIRAYYGSCCQHNPQAEQIRGGCCVGTSNLVFPIYKEECCRHGPKPNYLVGNCCVKAESSYCIHGCISEPKQCRVAKCLEDSQDQVLKQTKACPYWTKWLLDYGLIIGNPKRPSVWDIQLSRSTIGRITPTPIDSPTDEVTTIQTGNSKVCAYITCVEVQIDYITFPSLDRLIFSGPVNMGTKYLKNNVYISYETVVGNPNSPSTWIVRVATSNIIVVEPPLMGQPEGDCTTAHLGASNISHVGNFVSIFIWYETNPKLHGIVHSNVDPLIKLSDNSTASSWFLTYYEVEGQLDQVTPKAWRVDIATHNLVSATLNAGREPLGALTTVTVERSPTFGVSNHVFLMYEYTTFRSLGKDEYLWQTGSYINHWRNLDEPPNSALPQQIKRRLLEYRLNPGNPKSPPQWSIVVYSESLPIVSLPGVNQPVTPHTTVYTPLSETCGGLYGNGGSCAVITVSYNTFPILHTVKQSLVASTVNTLTIHPDPMHIEYSLIDGNQILPPKWTVQVADPELVTVVPPQVGAPRSPRTTVEVWASSNTGGHLTSMLVRYEYKTWPSLASTKDIFRMSHSRPLTVLTTVEEKGKVRREITFNEVLGNPHTPPQWSIIVSTPTDSFLEPSPIGEPAGPLTWVTQSEPSLSCGKSTCAEVQFKYATYPHLHMVTCNTVNGPTRLYLRGHLYINYHTIVGNSKRPHQWTVLMMRSKVDLLIPPVVPGPKDEATMFPIWSSHASGFGNSAVLRFMYETYPQLDSVEYSLSASPNSFLELFGHTSDGSRMQLQYRPSGPANNRAPTSWVVQLSTPTASSLRPPLVGDPAGPPMAITIPDTILSGQSNSINVQVTYNTFPVLGDILVWLNTEEGSYMVKTVNLLTYNNGVPDVYFIGTFLVSHTLLGNPRFPAEWRVHAKRSGQTKVFVNVTGEPPAELTRNEVLPGLACGKATCAQVRFSHLSWPAFGYARYSLESSNHILIGDLYLGYSTIVGNPYEPETWSVNFSDPSYSHAKPAPVGEPIDEDTLAESAESVTCGGGTCAGLKILYKNGPLVYQLMHDQFLETSNYIVSWITFDHTHNSMYLVYRELIGSIKRPQKWQIHITRSDLVTLVPSDVHEPPLQATYLLVWSSNTCGVHTCASLLFQHPTFVALDTVIYNEESGSYILRGDMYLCYQTIIGNAKKPPNWSLLLSSPLSKAVPPQVEQPAEQPTSINLAHSSETCGALTCATLTVAYNKFPLLGTLIGTLNSTNVFEASASPMRPPRTSDESYAKLIYKEIVGRVVPEDWTVEISYGNLSRVRSPTPVSQPAAGTTRGRSWDSYVSGGEHSAIVRYLYETFPQLGGEYVSQEKGQYVLISDNGRCNVASDTSLCGDLNVRVAGSSVSALNVVAGRTFKVDKMCGGFGQELCLHQYSNLLEQPIGGVYVPSNVVLPQDGSESAHKMLGNVSASIFSGEKKIIVKNWKGNCYSLGLLLDVQTFALSTNLRFTLIGSKLEMALFDPGDKNYVFLLLGNLQDTRFKFSIEFNMGGHFMYPHLELFGAGLFQAGENLSAPCGEWSNYVNIQEESSQWDLPEWIASPNYNKMFHVDKLCGVFGKQIIEPDKRLITYSRPLGGLFVGTNDGIVTSGNLIASSGTYIGDLTIQGFAQHRIAIIEHWKGDSYQKGHDNTTTVEVPSGQPDFLFYLRGKKLQLAFYEEQNGPSAAFVILGEPVKTRFSAKIGTFDTNVPHTSSPFKCYGNGIFRIGKMMTTMLAPLSSERRELQYIPSPGNLEEPPFWRLHITTSNIGKVLPPRLQAPAAALTQGAGSASTVCGVSNCLTITFEYIRYPKLGEVTYSLEQSKATHLLQSFAMPISQQPSQESLMNIDYGVVKGRTVNDAPKEWSINLSYSSLPFVSPPIMFVPASEGTRESVWASSVSGAMNVALVRFEYKTYPELGTITTMTQPPKLANENASEVFSHPSLWGSSQFVRSEESTTEKTSRLRRMYLEYRIIIGNLEAPPSWEVHLETSSIKQMKPPSLRQPPAQATTVTIPLSHLCGGSTCAQVSFDYKAFPVLNWITASTEHGEYSVCPQGGALPACSLIYKEIPGSQNSLTTWTLTVSDSGIPIIYSLTLNGEPVGPKTKYTVAVSRTSGHVNTTEIYYSYKTYPLLDTDLFYQISYPYQDETTYIEFGDRLLSYTLWHANPSDPPSWSLNIASSSQVQGRPPPQQFPTKSCGLLNNVDLHSASPVCADCKSGTVFQKCFCQGTVLHCTENLAGSLHCNCPQCRGTQPDFGFYHDKCQDCRQGAISADQCVCQGTLSNCIHNVAGTTHCSCPLCGTANGPSIYFGPQCQNCRRGQRNPVCLCQGSIPDCITGPLTGLSDCECMQCHQFNDITLNYRHPECQNCRLGTVGLKCPCQGTSKNCLLSGNITGTKDCNCPLCLFSNNQRLYFNGELCKNCQRGEVNPKCICQGTKANCVTGPLSGATDCDCAKCNTVDLGYFNERCTDCQLGKAEKKCECQGSHPNCFKNVSGHLNCQCPECGVDNFSRLFKFGFNCHDCRLGIVNAKCKCQGSNQHCTIDGDVTGSTNCNCPSCGDPDLEQLNYFGNQCQNCQRGSVHRKCNCQGGIQDCLISGNRTGVTDCDCPKCVDIASPDAGVQRFFYDKCLECNRGTTNHECDDCVGGGGRFHEFPFPDVSINYHCSKSHSNCQCPKCNFAYVQDGQRFPKDNYLPLCRDCHLGETFSEQSCLCRYPQSTTDCNDAHGHCQCRGSITIEDVAYYRHRLNVATETTYYQGHFHFRIQTAKVFEQLSPTNYFNNYGPRYNPGPTLISFTVNGRSYPTFNSLQLCNVSDPKELDGNNKMGFAGCNRAFYQWDEWDLSNEKKRQIRIETPQPSFFQIIIYFVQYQGNELDVSITVGQNLQTINTFVNSVWSTGVDNDNVFSLPHAEPNLRSVRNDATDGADNPICYRQALPHVRPHSGPCRCHSQAHLRLLDGSFVELIERNTLAVQYLRFDQMRGVFQELGNLSQGIQVTGSAFDTISGSLTRANFPTHDKEVGNIFFSIKTQHVQVSLHIWKKVLIRGLTMVKIPPQLGNVTRYYFMTEKESITLKFVIQGDVTKEIVCMVGQQEPKLWVALTFSAMLKPSPPSSFCSKHNGFIFSASNSHANPHLDNKDPTICSSLGASFLATYTPHSSRYPCDQICFRQTCFQISEAAASSHCFTSRDPLGCVAQTPVISVKKFAGVNELRPFLGRSETLSISAFYAFILMEIVQFLFGSGLSLVPCNM
+>sp|Q93008|USP9X_HUMAN Probable ubiquitin carboxyl-terminal hydrolase FAF-X OS=Homo sapiens OX=9606 GN=USP9X PE=1 SV=3
+MTATTRGSPVGGNDNQGQAPDGQSQPPLQQNQTSSPDSSNENSPATPPDEQGQGDAPPQLEDEEPAFPHTDLAKLDDMINRPRWVVPVLPKGELEVLLEAAIDLSKKGLDVKSEACQRFFRDGLTISFTKILTDEAVSGWKFEIHRCIINNTHRLVELCVAKLSQDWFPLLELLAMALNPHCKFHIYNGTRPCESVSSSVQLPEDELFARSPDPRSPKGWLVDLLNKFGTLNGFQILHDRFINGSALNVQIIAALIKPFGQCYEFLTLHTVKKYFLPIIEMVPQFLENLTDEELKKEAKNEAKNDALSMIIKSLKNLASRVPGQEETVKNLEIFRLKMILRLLQISSFNGKMNALNEVNKVISSVSYYTHRHGNPEEEEWLTAERMAEWIQQNNILSIVLRDSLHQPQYVEKLEKILRFVIKEKALTLQDLDNIWAAQAGKHEAIVKNVHDLLAKLAWDFSPEQLDHLFDCFKASWTNASKKQREKLLELIRRLAEDDKDGVMAHKVLNLLWNLAHSDDVPVDIMDLALSAHIKILDYSCSQDRDTQKIQWIDRFIEELRTNDKWVIPALKQIREICSLFGEAPQNLSQTQRSPHVFYRHDLINQLQHNHALVTLVAENLATYMESMRLYARDHEDYDPQTVRLGSRYSHVQEVQERLNFLRFLLKDGQLWLCAPQAKQIWKCLAENAVYLCDREACFKWYSKLMGDEPDLDPDINKDFFESNVLQLDPSLLTENGMKCFERFFKAVNCREGKLVAKRRAYMMDDLELIGLDYLWRVVIQSNDDIASRAIDLLKEIYTNLGPRLQVNQVVIHEDFIQSCFDRLKASYDTLCVLDGDKDSVNCARQEAVRMVRVLTVLREYINECDSDYHEERTILPMSRAFRGKHLSFVVRFPNQGRQVDDLEVWSHTNDTIGSVRRCILNRIKANVAHTKIELFVGGELIDPADDRKLIGQLNLKDKSLITAKLTQISSNMPSSPDSSSDSSTGSPGNHGNHYSDGPNPEVESCLPGVIMSLHPRYISFLWQVADLGSSLNMPPLRDGARVLMKLMPPDSTTIEKLRAICLDHAKLGESSLSPSLDSLFFGPSASQVLYLTEVVYALLMPAGAPLADDSSDFQFHFLKSGGLPLVLSMLTRNNFLPNADMETRRGAYLNALKIAKLLLTAIGYGHVRAVAEACQPGVEGVNPMTQINQVTHDQAVVLQSALQSIPNPSSECMLRNVSVRLAQQISDEASRYMPDICVIRAIQKIIWASGCGSLQLVFSPNEEITKIYEKTNAGNEPDLEDEQVCCEALEVMTLCFALIPTALDALSKEKAWQTFIIDLLLHCHSKTVRQVAQEQFFLMCTRCCMGHRPLLFFITLLFTVLGSTARERAKHSGDYFTLLRHLLNYAYNSNINVPNAEVLLNNEIDWLKRIRDDVKRTGETGIEETILEGHLGVTKELLAFQTSEKKFHIGCEKGGANLIKELIDDFIFPASNVYLQYMRNGELPAEQAIPVCGSPPTINAGFELLVALAVGCVRNLKQIVDSLTEMYYIGTAITTCEALTEWEYLPPVGPRPPKGFVGLKNAGATCYMNSVIQQLYMIPSIRNGILAIEGTGSDVDDDMSGDEKQDNESNVDPRDDVFGYPQQFEDKPALSKTEDRKEYNIGVLRHLQVIFGHLAASRLQYYVPRGFWKQFRLWGEPVNLREQHDALEFFNSLVDSLDEALKALGHPAMLSKVLGGSFADQKICQGCPHRYECEESFTTLNVDIRNHQNLLDSLEQYVKGDLLEGANAYHCEKCNKKVDTVKRLLIKKLPPVLAIQLKRFDYDWERECAIKFNDYFEFPRELDMEPYTVAGVAKLEGDNVNPESQLIQQSEQSESETAGSTKYRLVGVLVHSGQASGGHYYSYIIQRNGGDGERNRWYKFDDGDVTECKMDDDEEMKNQCFGGEYMGEVFDHMMKRMSYRRQKRWWNAYILFYERMDTIDQDDELIRYISELAITTRPHQIIMPSAIERSVRKQNVQFMHNRMQYSMEYFQFMKKLLTCNGVYLNPPPGQDHLLPEAEEITMISIQLAARFLFTTGFHTKKVVRGSASDWYDALCILLRHSKNVRFWFAHNVLFNVSNRFSEYLLECPSAEVRGAFAKLIVFIAHFSLQDGPCPSPFASPGPSSQAYDNLSLSDHLLRAVLNLLRREVSEHGRHLQQYFNLFVMYANLGVAEKTQLLKLSVPATFMLVSLDEGPGPPIKYQYAELGKLYSVVSQLIRCCNVSSRMQSSINGNPPLPNPFGDPNLSQPIMPIQQNVADILFVRTSYVKKIIEDCSNSEETVKLLRFCCWENPQFSSTVLSELLWQVAYSYTYELRPYLDLLLQILLIEDSWQTHRIHNALKGIPDDRDGLFDTIQRSKNHYQKRAYQCIKCMVALFSNCPVAYQILQGNGDLKRKWTWAVEWLGDELERRPYTGNPQYTYNNWSPPVQSNETSNGYFLERSHSARMTLAKACELCPEEVKKATSVQQIEMEESKEPDDQDAPDEHESPPPEDAPLYPHSPGSQYQQNNHVHGQPYTGPAAHHMNNPQRTGQRAQENYEGSEEVSPPQTKDQ
+>DECOY_sp|Q93008|USP9X_HUMAN Probable ubiquitin carboxyl-terminal hydrolase FAF-X OS=Homo sapiens OX=9606 GN=USP9X PE=1 SV=3
+QDKTQPPSVEESGEYNEQARQGTRQPNNMHHAAPGTYPQGHVHNNQQYQSGPSHPYLPADEPPPSEHEDPADQDDPEKSEEMEIQQVSTAKKVEEPCLECAKALTMRASHSRELFYGNSTENSQVPPSWNNYTYQPNGTYPRRELEDGLWEVAWTWKRKLDGNGQLIQYAVPCNSFLAVMCKICQYARKQYHNKSRQITDFLGDRDDPIGKLANHIRHTQWSDEILLIQLLLDLYPRLEYTYSYAVQWLLESLVTSSFQPNEWCCFRLLKVTEESNSCDEIIKKVYSTRVFLIDAVNQQIPMIPQSLNPDGFPNPLPPNGNISSQMRSSVNCCRILQSVVSYLKGLEAYQYKIPPGPGEDLSVLMFTAPVSLKLLQTKEAVGLNAYMVFLNFYQQLHRGHESVERRLLNLVARLLHDSLSLNDYAQSSPGPSAFPSPCPGDQLSFHAIFVILKAFAGRVEASPCELLYESFRNSVNFLVNHAFWFRVNKSHRLLICLADYWDSASGRVVKKTHFGTTFLFRAALQISIMTIEEAEPLLHDQGPPPNLYVGNCTLLKKMFQFYEMSYQMRNHMFQVNQKRVSREIASPMIIQHPRTTIALESIYRILEDDQDITDMREYFLIYANWWRKQRRYSMRKMMHDFVEGMYEGGFCQNKMEEDDDMKCETVDGDDFKYWRNREGDGGNRQIIYSYYHGGSAQGSHVLVGVLRYKTSGATESESQESQQILQSEPNVNDGELKAVGAVTYPEMDLERPFEFYDNFKIACEREWDYDFRKLQIALVPPLKKILLRKVTDVKKNCKECHYANAGELLDGKVYQELSDLLNQHNRIDVNLTTFSEECEYRHPCGQCIKQDAFSGGLVKSLMAPHGLAKLAEDLSDVLSNFFELADHQERLNVPEGWLRFQKWFGRPVYYQLRSAALHGFIVQLHRLVGINYEKRDETKSLAPKDEFQQPYGFVDDRPDVNSENDQKEDGSMDDDVDSGTGEIALIGNRISPIMYLQQIVSNMYCTAGANKLGVFGKPPRPGVPPLYEWETLAECTTIATGIYYMETLSDVIQKLNRVCGVALAVLLEFGANITPPSGCVPIAQEAPLEGNRMYQLYVNSAPFIFDDILEKILNAGGKECGIHFKKESTQFALLEKTVGLHGELITEEIGTEGTRKVDDRIRKLWDIENNLLVEANPVNINSNYAYNLLHRLLTFYDGSHKARERATSGLVTFLLTIFFLLPRHGMCCRTCMLFFQEQAVQRVTKSHCHLLLDIIFTQWAKEKSLADLATPILAFCLTMVELAECCVQEDELDPENGANTKEYIKTIEENPSFVLQLSGCGSAWIIKQIARIVCIDPMYRSAEDSIQQALRVSVNRLMCESSPNPISQLASQLVVAQDHTVQNIQTMPNVGEVGPQCAEAVARVHGYGIATLLLKAIKLANLYAGRRTEMDANPLFNNRTLMSLVLPLGGSKLFHFQFDSSDDALPAGAPMLLAYVVETLYLVQSASPGFFLSDLSPSLSSEGLKAHDLCIARLKEITTSDPPMLKMLVRAGDRLPPMNLSSGLDAVQWLFSIYRPHLSMIVGPLCSEVEPNPGDSYHNGHNGPSGTSSDSSSDPSSPMNSSIQTLKATILSKDKLNLQGILKRDDAPDILEGGVFLEIKTHAVNAKIRNLICRRVSGITDNTHSWVELDDVQRGQNPFRVVFSLHKGRFARSMPLITREEHYDSDCENIYERLVTLVRVMRVAEQRACNVSDKDGDLVCLTDYSAKLRDFCSQIFDEHIVVQNVQLRPGLNTYIEKLLDIARSAIDDNSQIVVRWLYDLGILELDDMMYARRKAVLKGERCNVAKFFREFCKMGNETLLSPDLQLVNSEFFDKNIDPDLDPEDGMLKSYWKFCAERDCLYVANEALCKWIQKAQPACLWLQGDKLLFRLFNLREQVEQVHSYRSGLRVTQPDYDEHDRAYLRMSEMYTALNEAVLTVLAHNHQLQNILDHRYFVHPSRQTQSLNQPAEGFLSCIERIQKLAPIVWKDNTRLEEIFRDIWQIKQTDRDQSCSYDLIKIHASLALDMIDVPVDDSHALNWLLNLVKHAMVGDKDDEALRRILELLKERQKKSANTWSAKFCDFLHDLQEPSFDWALKALLDHVNKVIAEHKGAQAAWINDLDQLTLAKEKIVFRLIKELKEVYQPQHLSDRLVISLINNQQIWEAMREATLWEEEEPNGHRHTYYSVSSIVKNVENLANMKGNFSSIQLLRLIMKLRFIELNKVTEEQGPVRSALNKLSKIIMSLADNKAENKAEKKLEEDTLNELFQPVMEIIPLFYKKVTHLTLFEYCQGFPKILAAIIQVNLASGNIFRDHLIQFGNLTGFKNLLDVLWGKPSRPDPSRAFLEDEPLQVSSSVSECPRTGNYIHFKCHPNLAMALLELLPFWDQSLKAVCLEVLRHTNNIICRHIEFKWGSVAEDTLIKTFSITLGDRFFRQCAESKVDLGKKSLDIAAELLVELEGKPLVPVVWRPRNIMDDLKALDTHPFAPEEDELQPPADGQGQEDPPTAPSNENSSDPSSTQNQQLPPQSQGDPAQGQNDNGGVPSGRTTATM
+>sp|P11684|UTER_HUMAN Uteroglobin OS=Homo sapiens OX=9606 GN=SCGB1A1 PE=1 SV=1
+MKLAVTLTLVTLALCCSSASAEICPSFQRVIETLLMDTPSSYEAAMELFSPDQDMREAGAQLKKLVDTLPQKPRESIIKLMEKIAQSSLCN
+>DECOY_sp|P11684|UTER_HUMAN Uteroglobin OS=Homo sapiens OX=9606 GN=SCGB1A1 PE=1 SV=1
+NCLSSQAIKEMLKIISERPKQPLTDVLKKLQAGAERMDQDPSFLEMAAEYSSPTDMLLTEIVRQFSPCIEASASSCCLALTVLTLTVALKM
+>sp|Q7Z2T5|TRM1L_HUMAN TRMT1-like protein OS=Homo sapiens OX=9606 GN=TRMT1L PE=1 SV=2
+MENMAEEELLPLEKEEVEVAQVQVPTPARDSAGVPAPAPDSALDSAPTPASAPAPAPALAQAPALSPSLASAPEEAKSKRHISIQRQLADLENLAFVTDGNFDSASSLNSDNLDAGNRQACPLCPKEKFRACNSHKLRRHLQNLHWKVSVEFEGYRMCICHLPCRPVKPNIIGEQITSKMGAHYHCIICSATITRRTDMLGHVRRHMNKGETKSSYIAASTAKPPKEILKEADTDVQVCPNYSIPQKTDSYFNPKMKLNRQLIFCTLAALAEERKPLECLDAFGATGIMGLQWAKHLGNAVKVTINDLNENSVTLIQENCHLNKLKVVVDSKEKEKSDDILEEGEKNLGNIKVTKMDANVLMHLRSFDFIHLDPFGTSVNYLDSAFRNIRNLGIVSVTSTDISSLYAKAQHVARRHYGCNIVRTEYYKELAARIVVAAVARAAARCNKGIEVLFAVALEHFVLVVVRVLRGPTSADETAKKIQYLIHCQWCEERIFQKDGNMVEENPYRQLPCNCHGSMPGKTAIELGPLWSSSLFNTGFLKRMLFESLHHGLDDIQTLIKTLIFESECTPQSQFSIHASSNVNKQEENGVFIKTTDDTTTDNYIAQGKRKSNEMITNLGKKQKTDVSTEHPPFYYNIHRHSIKGMNMPKLKKFLCYLSQAGFRVSRTHFDPMGVRTDAPLMQFKSILLKYSTPTYTGGQSESHVQSASEDTVTERVEMSVNDKAEASGCRRW
+>DECOY_sp|Q7Z2T5|TRM1L_HUMAN TRMT1-like protein OS=Homo sapiens OX=9606 GN=TRMT1L PE=1 SV=2
+WRRCGSAEAKDNVSMEVRETVTDESASQVHSESQGGTYTPTSYKLLISKFQMLPADTRVGMPDFHTRSVRFGAQSLYCLFKKLKPMNMGKISHRHINYYFPPHETSVDTKQKKGLNTIMENSKRKGQAIYNDTTTDDTTKIFVGNEEQKNVNSSAHISFQSQPTCESEFILTKILTQIDDLGHHLSEFLMRKLFGTNFLSSSWLPGLEIATKGPMSGHCNCPLQRYPNEEVMNGDKQFIREECWQCHILYQIKKATEDASTPGRLVRVVVLVFHELAVAFLVEIGKNCRAAARAVAAVVIRAALEKYYETRVINCGYHRRAVHQAKAYLSSIDTSTVSVIGLNRINRFASDLYNVSTGFPDLHIFDFSRLHMLVNADMKTVKINGLNKEGEELIDDSKEKEKSDVVVKLKNLHCNEQILTVSNENLDNITVKVANGLHKAWQLGMIGTAGFADLCELPKREEALAALTCFILQRNLKMKPNFYSDTKQPISYNPCVQVDTDAEKLIEKPPKATSAAIYSSKTEGKNMHRRVHGLMDTRRTITASCIICHYHAGMKSTIQEGIINPKVPRCPLHCICMRYGEFEVSVKWHLNQLHRRLKHSNCARFKEKPCLPCAQRNGADLNDSNLSSASDFNGDTVFALNELDALQRQISIHRKSKAEEPASALSPSLAPAQALAPAPAPASAPTPASDLASDPAPAPVGASDRAPTPVQVQAVEVEEKELPLLEEEAMNEM
+>sp|Q9UBP6|TRMB_HUMAN tRNA (guanine-N(7)-)-methyltransferase OS=Homo sapiens OX=9606 GN=METTL1 PE=1 SV=1
+MAAETRNVAGAEAPPPQKRYYRQRAHSNPMADHTLRYPVKPEEMDWSELYPEFFAPLTQNQSHDDPKDKKEKRAQAQVEFADIGCGYGGLLVELSPLFPDTLILGLEIRVKVSDYVQDRIRALRAAPAGGFQNIACLRSNAMKHLPNFFYKGQLTKMFFLFPDPHFKRTKHKWRIISPTLLAEYAYVLRVGGLVYTITDVLELHDWMCTHFEEHPLFERVPLEDLSEDPVVGHLGTSTEEGKKVLRNGGKNFPAIFRRIQDPVLQAVTSQTSLPGH
+>DECOY_sp|Q9UBP6|TRMB_HUMAN tRNA (guanine-N(7)-)-methyltransferase OS=Homo sapiens OX=9606 GN=METTL1 PE=1 SV=1
+HGPLSTQSTVAQLVPDQIRRFIAPFNKGGNRLVKKGEETSTGLHGVVPDESLDELPVREFLPHEEFHTCMWDHLELVDTITYVLGGVRLVYAYEALLTPSIIRWKHKTRKFHPDPFLFFMKTLQGKYFFNPLHKMANSRLCAINQFGGAPAARLARIRDQVYDSVKVRIELGLILTDPFLPSLEVLLGGYGCGIDAFEVQAQARKEKKDKPDDHSQNQTLPAFFEPYLESWDMEEPKVPYRLTHDAMPNSHARQRYYRKQPPPAEAGAVNRTEAAM
+>sp|Q86YW5|TRML1_HUMAN Trem-like transcript 1 protein OS=Homo sapiens OX=9606 GN=TREML1 PE=1 SV=2
+MGLTLLLLLLLGLEGQGIVGSLPEVLQAPVGSSILVQCHYRLQDVKAQKVWCRFLPEGCQPLVSSAVDRRAPAGRRTFLTDLGGGLLQVEMVTLQEEDAGEYGCMVDGARGPQILHRVSLNILPPEEEEETHKIGSLAENAFSDPAGSANPLEPSQDEKSIPLIWGAVLLVGLLVAAVVLFAVMAKRKQGNRLGVCGRFLSSRVSGMNPSSVVHHVSDSGPAAELPLDVPHIRLDSPPSFDNTTYTSLPLDSPSGKPSLPAPSSLPPLPPKVLVCSKPVTYATVIFPGGNKGGGTSCGPAQNPPNNQTPSS
+>DECOY_sp|Q86YW5|TRML1_HUMAN Trem-like transcript 1 protein OS=Homo sapiens OX=9606 GN=TREML1 PE=1 SV=2
+SSPTQNNPPNQAPGCSTGGGKNGGPFIVTAYTVPKSCVLVKPPLPPLSSPAPLSPKGSPSDLPLSTYTTNDFSPPSDLRIHPVDLPLEAAPGSDSVHHVVSSPNMGSVRSSLFRGCVGLRNGQKRKAMVAFLVVAAVLLGVLLVAGWILPISKEDQSPELPNASGAPDSFANEALSGIKHTEEEEEPPLINLSVRHLIQPGRAGDVMCGYEGADEEQLTVMEVQLLGGGLDTLFTRRGAPARRDVASSVLPQCGEPLFRCWVKQAKVDQLRYHCQVLISSGVPAQLVEPLSGVIGQGELGLLLLLLLTLGM
+>sp|O15050|TRNK1_HUMAN TPR and ankyrin repeat-containing protein 1 OS=Homo sapiens OX=9606 GN=TRANK1 PE=2 SV=4
+MWDPRAARVPPRDLAVLLCNKSNAFFSLGKWNEAFVAAKECLQWDPTYVKGYYRAGYSLLRLHQPYEAARMFFEGLRLVQRSQDQAPVADFLVGVFTTMSSDSIVLQSFLPCFDHIFTTGFPTEVWQSVIEKLAKKGLWHSFLLLSAKKDRLPRNIHVPELSLKSLFEKYVFIGLYEKMEQVPKLVQWLISIGASVETIGPYPLHALMRLCIQARENHLFRWLMDHKPEWKGRINQKDGDGCTVLHVVAAHSPGYLVKRQTEDVQMLLRFGADPTLLDRQSRSVVDVLKRNKNFKAIEKINSHLEKLATCSKDLSGFSNGDGPTSENDIFRKVLEQLVKYMNSGNRLLHKNFLKQEVVQRFLRLLSTLQEIPPDLVCDINQDCATTVFKFLLEKQRWPEVLLLLTRKVSGEPPLGDCLIKDCNFSDLDICTIIPHLSTWDQRKKQLLGCLIDSGALPDGLQESQERPVVTCLKHEDFELAFLLLTKGADPRAISLTEGDTPLHAALHIFLEIKADIGFSFLSHLLDLFWSNPTEFDYLNPNVQDSNGNTLMHILFQKGMLKRVKKLLDLLVKFDINFNLKNKEGKDARHRIKKNDSLLLAWNKALMENRRRSRQDSAAHLGKLSKSTAPGHTSQLKSQGSFKSVPCGATARTLPEGSAVPDSWETLPGTQVTRKEPGALRPCSLRDCLMQDITVLIQQVEVDPSFPEDCLQSSEPLEAGAGKEGKKDDKPTLGAGAPDCSEVGEGHAQVGLGALQLVPDDNRGKEGNDDQDDWSTQEIEACLQDFDNMTWEIECTSEMLKKLSSKVMTKVIKKKIILAIQQLGNGEWTQGLQKRLKHLKGSIQLFEAKLDKGARMLWELAIDFSPRCSENPEKIIATEQNTCAMEKSGRIYTEIIRIWDIVLDHCKLADSIKAICNAYNRGLSCVLRKKLKGINKGQVSANMKIQKRIPRCYVEDTEAEKGREHVNPEYFPPASAVETEYNIMKFHSFSTNMAFNILNDTTATVEYPFRVGELEYAVIDLNPRPLEPIILIGRSGTGKTTCCLYRLWKKFHVYWEKAEQAGSPLLAKQVWLKRRLEVEPGKESPGGEEEEEEEDEEEEDSIEVETVESIDEQEYEACAGGAGVEPAGDGQAAEVCAPEHPHQLEHLHQIFVTKNHVLCQEVQRNFIELSKSTKATSHYKPLDPNIHKLQDLRDENFPLFVTSKQLLLLLDASLPKPFFLRNEDGSLKRTIIGWSAQEESTIPSWQEDEEEAEVDGDYSEEDKAVEMRTGDSDPRVYVTFEVFKNEIWPKMTKGRTAYNPALIWKEIKSFLKGSFEALSCPHGRLTEEVYKKLGRKRCPNFKEDRSEIYSLFSLYQQIRSQKGYFDEEDVLYNISRRLSKLRVLPWSIHELYGDEIQDFTQAELALLMKCINDPNSMFLTGDTAQSIMKGVAFRFSDLRSLFHYASRNTIDKQCAVRKPKKIHQLYQNYRSHSGILNLASGVVDLLQFYFPESFDRLPRDSGLFDGPKPTVLESCSVSDLAILLRGNKRKTQPIEFGAHQVILVANETAKEKIPEELGLALVLTIYEAKGLEFDDVLLYNFFTDSEAYKEWKIISSFTPTSTDSREENRPLVEVPLDKPGSSQGRSLMVNPEMYKLLNGELKQLYTAITRARVNLWIFDENREKRAPAFKYFIRRDFVQVVKTDENKDFDDSMFVKTSTPAEWIAQGDYYAKHQCWKVAAKCYQKGGAFEKEKLALAHDTALSMKSKKVSPKEKQLEYLELAKTYLECKEPTLSLKCLSYAKEFQLSAQLCERLGKIRDAAYFYKRSQCYKDAFRCFEQIQEFDLALKMYCQEELFEEAAIAVEKYEEMLKTKTLPISKLSYSASQFYLEAAAKYLSANKMKEMMAVLSKLDIEDQLVFLKSRKRLAEAADLLNREGRREEAALLMKQHGCLLEAARLTADKDFQASCLLGAARLNVARDSDIEHTKDILREALDICYQTGQLSGIAEAHFLQGVILRDFQKLRDAFFKFDTLNHSAGVVEALYEAASQCEAEPEKILGLAPGGLEILLSLVRALKRVTNNAEKEMVKSCFEFFGISQVDAKYCQIAQNDPGPILRIIFDLDLNLREKKTKDHFLIMTDQVKLALNKHLLGRLCQITRSLLGKTYRGVCMRFIVGLKCEDENCEHFHRPLRRCEAKCLVQSKMNLVAINGLLLEAKKVFPKILAEELKEIDYILSTDMYGLCKSILDVLFPKHFHQRVLSENPMACKEILKPNYKSFRFYRFALKEYIHFLFENESARNRRESTDLWLSAMQAFLLSSNYPEEFEKLLHQEEDNYNRELKALESEKDERGRGRGSRIKGIEGKFGMLAPNRDDENMDKTHLCFIRLLENCIDQFYVYRNPEDYKRLFFRFMNVLIKRCKEPLIPSIGNTVALLEFQFIHCGVVLARLWKNVILCLPKSYIALLHYWEFLFSKKDKELGDVFSIIQEYKPKDVTRAIQDFRFHLSYLAKVLCGYENVNFNVLLDAFSEIDYVVSGEAERTLVLCLVMLVNAEEILQPYCKPLLYRHFREIESRLQLMSMDCPGQVPERLLKVVKRVLVAVNVKSVAEALQDLLFERDEEYLMDCDWRWDPVHTKGSIVRGLYYEEVRLNRLLCLDPVDYFAEPECEFGQDEMDELALEDRDHVLATILSQKQRKASIQRKLRRACLVVSLCISWRRRVGTQMERVREEAREPRAGNFKKADVDRTQCDLCGVKFTRGPENYFSPSKAFEGAASEVAVLSRAELEREECQERNSESYEQHIHLEHHQRQQVAYQKYSEFFHEKVDPAIDEGKLVVQDIEQSVWIHSHVGSKEHSHMLQKVQEHIKRVSDMVEDLYRRKAWAGAEEAMTRLVNILILSVRDARDWLMKTETRLKKEGIVQEDDYENEVEDFGELRPRRRSRKCGKQRKY
+>DECOY_sp|O15050|TRNK1_HUMAN TPR and ankyrin repeat-containing protein 1 OS=Homo sapiens OX=9606 GN=TRANK1 PE=2 SV=4
+YKRQKGCKRSRRRPRLEGFDEVENEYDDEQVIGEKKLRTETKMLWDRADRVSLILINVLRTMAEEAGAWAKRRYLDEVMDSVRKIHEQVKQLMHSHEKSGVHSHIWVSQEIDQVVLKGEDIAPDVKEHFFESYKQYAVQQRQHHELHIHQEYSESNREQCEERELEARSLVAVESAAGEFAKSPSFYNEPGRTFKVGCLDCQTRDVDAKKFNGARPERAEERVREMQTGVRRRWSICLSVVLCARRLKRQISAKRQKQSLITALVHDRDELALEDMEDQGFECEPEAFYDVPDLCLLRNLRVEEYYLGRVISGKTHVPDWRWDCDMLYEEDREFLLDQLAEAVSKVNVAVLVRKVVKLLREPVQGPCDMSMLQLRSEIERFHRYLLPKCYPQLIEEANVLMVLCLVLTREAEGSVVYDIESFADLLVNFNVNEYGCLVKALYSLHFRFDQIARTVDKPKYEQIISFVDGLEKDKKSFLFEWYHLLAIYSKPLCLIVNKWLRALVVGCHIFQFELLAVTNGISPILPEKCRKILVNMFRFFLRKYDEPNRYVYFQDICNELLRIFCLHTKDMNEDDRNPALMGFKGEIGKIRSGRGRGREDKESELAKLERNYNDEEQHLLKEFEEPYNSSLLFAQMASLWLDTSERRNRASENEFLFHIYEKLAFRYFRFSKYNPKLIEKCAMPNESLVRQHFHKPFLVDLISKCLGYMDTSLIYDIEKLEEALIKPFVKKAELLLGNIAVLNMKSQVLCKAECRRLPRHFHECNEDECKLGVIFRMCVGRYTKGLLSRTIQCLRGLLHKNLALKVQDTMILFHDKTKKERLNLDLDFIIRLIPGPDNQAIQCYKADVQSIGFFEFCSKVMEKEANNTVRKLARVLSLLIELGGPALGLIKEPEAECQSAAEYLAEVVGASHNLTDFKFFADRLKQFDRLIVGQLFHAEAIGSLQGTQYCIDLAERLIDKTHEIDSDRAVNLRAAGLLCSAQFDKDATLRAAELLCGHQKMLLAAEERRGERNLLDAAEALRKRSKLFVLQDEIDLKSLVAMMEKMKNASLYKAAAELYFQSASYSLKSIPLTKTKLMEEYKEVAIAAEEFLEEQCYMKLALDFEQIQEFCRFADKYCQSRKYFYAADRIKGLRECLQASLQFEKAYSLCKLSLTPEKCELYTKALELYELQKEKPSVKKSKMSLATDHALALKEKEFAGGKQYCKAAVKWCQHKAYYDGQAIWEAPTSTKVFMSDDFDKNEDTKVVQVFDRRIFYKFAPARKERNEDFIWLNVRARTIATYLQKLEGNLLKYMEPNVMLSRGQSSGPKDLPVEVLPRNEERSDTSTPTFSSIIKWEKYAESDTFFNYLLVDDFELGKAEYITLVLALGLEEPIKEKATENAVLIVQHAGFEIPQTKRKNGRLLIALDSVSCSELVTPKPGDFLGSDRPLRDFSEPFYFQLLDVVGSALNLIGSHSRYNQYLQHIKKPKRVACQKDITNRSAYHFLSRLDSFRFAVGKMISQATDGTLFMSNPDNICKMLLALEAQTFDQIEDGYLEHISWPLVRLKSLRRSINYLVDEEDFYGKQSRIQQYLSFLSYIESRDEKFNPCRKRGLKKYVEETLRGHPCSLAEFSGKLFSKIEKWILAPNYATRGKTMKPWIENKFVEFTVYVRPDSDGTRMEVAKDEESYDGDVEAEEEDEQWSPITSEEQASWGIITRKLSGDENRLFFPKPLSADLLLLLQKSTVFLPFNEDRLDQLKHINPDLPKYHSTAKTSKSLEIFNRQVEQCLVHNKTVFIQHLHELQHPHEPACVEAAQGDGAPEVGAGGACAEYEQEDISEVTEVEISDEEEEDEEEEEEEGGPSEKGPEVELRRKLWVQKALLPSGAQEAKEWYVHFKKWLRYLCCTTKGTGSRGILIIPELPRPNLDIVAYELEGVRFPYEVTATTDNLINFAMNTSFSHFKMINYETEVASAPPFYEPNVHERGKEAETDEVYCRPIRKQIKMNASVQGKNIGKLKKRLVCSLGRNYANCIAKISDALKCHDLVIDWIRIIETYIRGSKEMACTNQETAIIKEPNESCRPSFDIALEWLMRAGKDLKAEFLQISGKLHKLRKQLGQTWEGNGLQQIALIIKKKIVKTMVKSSLKKLMESTCEIEWTMNDFDQLCAEIEQTSWDDQDDNGEKGRNDDPVLQLAGLGVQAHGEGVESCDPAGAGLTPKDDKKGEKGAGAELPESSQLCDEPFSPDVEVQQILVTIDQMLCDRLSCPRLAGPEKRTVQTGPLTEWSDPVASGEPLTRATAGCPVSKFSGQSKLQSTHGPATSKSLKGLHAASDQRSRRRNEMLAKNWALLLSDNKKIRHRADKGEKNKLNFNIDFKVLLDLLKKVRKLMGKQFLIHMLTNGNSDQVNPNLYDFETPNSWFLDLLHSLFSFGIDAKIELFIHLAAHLPTDGETLSIARPDAGKTLLLFALEFDEHKLCTVVPREQSEQLGDPLAGSDILCGLLQKKRQDWTSLHPIITCIDLDSFNCDKILCDGLPPEGSVKRTLLLLVEPWRQKELLFKFVTTACDQNIDCVLDPPIEQLTSLLRLFRQVVEQKLFNKHLLRNGSNMYKVLQELVKRFIDNESTPGDGNSFGSLDKSCTALKELHSNIKEIAKFNKNRKLVDVVSRSQRDLLTPDAGFRLLMQVDETQRKVLYGPSHAAVVHLVTCGDGDKQNIRGKWEPKHDMLWRFLHNERAQICLRMLAHLPYPGITEVSAGISILWQVLKPVQEMKEYLGIFVYKEFLSKLSLEPVHINRPLRDKKASLLLFSHWLGKKALKEIVSQWVETPFGTTFIHDFCPLFSQLVISDSSMTTFVGVLFDAVPAQDQSRQVLRLGEFFMRAAEYPQHLRLLSYGARYYGKVYTPDWQLCEKAAVFAENWKGLSFFANSKNCLLVALDRPPVRAARPDWM
+>sp|Q8TD43|TRPM4_HUMAN Transient receptor potential cation channel subfamily M member 4 OS=Homo sapiens OX=9606 GN=TRPM4 PE=1 SV=1
+MVVPEKEQSWIPKIFKKKTCTTFIVDSTDPGGTLCQCGRPRTAHPAVAMEDAFGAAVVTVWDSDAHTTEKPTDAYGELDFTGAGRKHSNFLRLSDRTDPAAVYSLVTRTWGFRAPNLVVSVLGGSGGPVLQTWLQDLLRRGLVRAAQSTGAWIVTGGLHTGIGRHVGVAVRDHQMASTGGTKVVAMGVAPWGVVRNRDTLINPKGSFPARYRWRGDPEDGVQFPLDYNYSAFFLVDDGTHGCLGGENRFRLRLESYISQQKTGVGGTGIDIPVLLLLIDGDEKMLTRIENATQAQLPCLLVAGSGGAADCLAETLEDTLAPGSGGARQGEARDRIRRFFPKGDLEVLQAQVERIMTRKELLTVYSSEDGSEEFETIVLKALVKACGSSEASAYLDELRLAVAWNRVDIAQSELFRGDIQWRSFHLEASLMDALLNDRPEFVRLLISHGLSLGHFLTPMRLAQLYSAAPSNSLIRNLLDQASHSAGTKAPALKGGAAELRPPDVGHVLRMLLGKMCAPRYPSGGAWDPHPGQGFGESMYLLSDKATSPLSLDAGLGQAPWSDLLLWALLLNRAQMAMYFWEMGSNAVSSALGACLLLRVMARLEPDAEEAARRKDLAFKFEGMGVDLFGECYRSSEVRAARLLLRRCPLWGDATCLQLAMQADARAFFAQDGVQSLLTQKWWGDMASTTPIWALVLAFFCPPLIYTRLITFRKSEEEPTREELEFDMDSVINGEGPVGTADPAEKTPLGVPRQSGRPGCCGGRCGGRRCLRRWFHFWGAPVTIFMGNVVSYLLFLLLFSRVLLVDFQPAPPGSLELLLYFWAFTLLCEELRQGLSGGGGSLASGGPGPGHASLSQRLRLYLADSWNQCDLVALTCFLLGVGCRLTPGLYHLGRTVLCIDFMVFTVRLLHIFTVNKQLGPKIVIVSKMMKDVFFFLFFLGVWLVAYGVATEGLLRPRDSDFPSILRRVFYRPYLQIFGQIPQEDMDVALMEHSNCSSEPGFWAHPPGAQAGTCVSQYANWLVVLLLVIFLLVANILLVNLLIAMFSYTFGKVQGNSDLYWKAQRYRLIREFHSRPALAPPFIVISHLRLLLRQLCRRPRSPQPSSPALEHFRVYLSKEAERKLLTWESVHKENFLLARARDKRESDSERLKRTSQKVDLALKQLGHIREYEQRLKVLEREVQQCSRVLGWVAEALSRSALLPPGGPPPPDLPGSKD
+>DECOY_sp|Q8TD43|TRPM4_HUMAN Transient receptor potential cation channel subfamily M member 4 OS=Homo sapiens OX=9606 GN=TRPM4 PE=1 SV=1
+DKSGPLDPPPPGGPPLLASRSLAEAVWGLVRSCQQVERELVKLRQEYERIHGLQKLALDVKQSTRKLRESDSERKDRARALLFNEKHVSEWTLLKREAEKSLYVRFHELAPSSPQPSRPRRCLQRLLLRLHSIVIFPPALAPRSHFERILRYRQAKWYLDSNGQVKGFTYSFMAILLNVLLINAVLLFIVLLLVVLWNAYQSVCTGAQAGPPHAWFGPESSCNSHEMLAVDMDEQPIQGFIQLYPRYFVRRLISPFDSDRPRLLGETAVGYAVLWVGLFFLFFFVDKMMKSVIVIKPGLQKNVTFIHLLRVTFVMFDICLVTRGLHYLGPTLRCGVGLLFCTLAVLDCQNWSDALYLRLRQSLSAHGPGPGGSALSGGGGSLGQRLEECLLTFAWFYLLLELSGPPAPQFDVLLVRSFLLLFLLYSVVNGMFITVPAGWFHFWRRLCRRGGCRGGCCGPRGSQRPVGLPTKEAPDATGVPGEGNIVSDMDFELEERTPEEESKRFTILRTYILPPCFFALVLAWIPTTSAMDGWWKQTLLSQVGDQAFFARADAQMALQLCTADGWLPCRRLLLRAARVESSRYCEGFLDVGMGEFKFALDKRRAAEEADPELRAMVRLLLCAGLASSVANSGMEWFYMAMQARNLLLAWLLLDSWPAQGLGADLSLPSTAKDSLLYMSEGFGQGPHPDWAGGSPYRPACMKGLLMRLVHGVDPPRLEAAGGKLAPAKTGASHSAQDLLNRILSNSPAASYLQALRMPTLFHGLSLGHSILLRVFEPRDNLLADMLSAELHFSRWQIDGRFLESQAIDVRNWAVALRLEDLYASAESSGCAKVLAKLVITEFEESGDESSYVTLLEKRTMIREVQAQLVELDGKPFFRRIRDRAEGQRAGGSGPALTDELTEALCDAAGGSGAVLLCPLQAQTANEIRTLMKEDGDILLLLVPIDIGTGGVGTKQQSIYSELRLRFRNEGGLCGHTGDDVLFFASYNYDLPFQVGDEPDGRWRYRAPFSGKPNILTDRNRVVGWPAVGMAVVKTGGTSAMQHDRVAVGVHRGIGTHLGGTVIWAGTSQAARVLGRRLLDQLWTQLVPGGSGGLVSVVLNPARFGWTRTVLSYVAAPDTRDSLRLFNSHKRGAGTFDLEGYADTPKETTHADSDWVTVVAAGFADEMAVAPHATRPRGCQCLTGGPDTSDVIFTTCTKKKFIKPIWSQEKEPVVM
+>sp|Q9BX84|TRPM6_HUMAN Transient receptor potential cation channel subfamily M member 6 OS=Homo sapiens OX=9606 GN=TRPM6 PE=1 SV=2
+MKEQPVLERLQSQKSWIKGVFDKRECSTIIPSSKNPHRCTPVCQVCQNLIRCYCGRLIGDHAGIDYSWTISAAKGKESEQWSVEKHTTKSPTDTFGTINFQDGEHTHHAKYIRTSYDTKLDHLLHLMLKEWKMELPKLVISVHGGIQNFTMPSKFKEIFSQGLVKAAETTGAWIITEGINTGVSKHVGDALKSHSSHSLRKIWTVGIPPWGVIENQRDLIGKDVVCLYQTLDNPLSKLTTLNSMHSHFILSDDGTVGKYGNEMKLRRNLEKYLSLQKIHCRSRQGVPVVGLVVEGGPNVILSVWETVKDKDPVVVCEGTGRAADLLAFTHKHLADEGMLRPQVKEEIICMIQNTFNFSLKQSKHLFQILMECMVHRDCITIFDADSEEQQDLDLAILTALLKGTNLSASEQLNLAMAWDRVDIAKKHILIYEQHWKPDALEQAMSDALVMDRVDFVKLLIEYGVNLHRFLTIPRLEELYNTKQGPTNTLLHHLVQDVKQHTLLSGYRITLIDIGLVVEYLIGRAYRSNYTRKHFRALYNNLYRKYKHQRHSSGNRNESAESTLHSQFIRTAQPYKFKEKSIVLHKSRKKSKEQNVSDDPESTGFLYPYNDLLVWAVLMKRQKMAMFFWQHGEEATVKAVIACILYRAMAHEAKESHMVDDASEELKNYSKQFGQLALDLLEKAFKQNERMAMTLLTYELRNWSNSTCLKLAVSGGLRPFVSHTCTQMLLTDMWMGRLKMRKNSWLKIIISIILPPTILTLEFKSKAEMSHVPQSQDFQFMWYYSDQNASSSKESASVKEYDLERGHDEKLDENQHFGLESGHQHLPWTRKVYEFYSAPIVKFWFYTMAYLAFLMLFTYTVLVEMQPQPSVQEWLVSIYIFTNAIEVVREICISEPGKFTQKVKVWISEYWNLTETVAIGLFSAGFVLRWGDPPFHTAGRLIYCIDIIFWFSRLLDFFAVNQHAGPYVTMIAKMTANMFYIVIIMAIVLLSFGVARKAILSPKEPPSWSLARDIVFEPYWMIYGEVYAGEIDVCSSQPSCPPGSFLTPFLQAVYLFVQYIIMVNLLIAFFNNVYLDMESISNNLWKYNRYRYIMTYHEKPWLPPPLILLSHVGLLLRRLCCHRAPHDQEEGDVGLKLYLSKEDLKKLHDFEEQCVEKYFHEKMEDVNCSCEERIRVTSERVTEMYFQLKEMNEKVSFIKDSLLSLDSQVGHLQDLSALTVDTLKVLSAVDTLQEDEALLAKRKHSTCKKLPHSWSNVICAEVLGSMEIAGEKKYQYYSMPSSLLRSLAGGRHPPRVQRGALLEITNSKREATNVRNDQERQETQSSIVVSGVSPNRQAHSKYGQFLLVPSNLKRVPFSAETVLPLSRPSVPDVLATEQDIQTEVLVHLTGQTPVVSDWASVDEPKEKHEPIAHLLDGQDKAEQVLPTLSCTPEPMTMSSPLSQAKIMQTGGGYVNWAFSEGDETGVFSIKKKWQTCLPSTCDSDSSRSEQHQKQAQDSSLSDNSTRSAQSSECSEVGPWLQPNTSFWINPLRRYRPFARSHSFRFHKEEKLMKICKIKNLSGSSEIGQGAWVKAKMLTKDRRLSKKKKNTQGLQVPIITVNACSQSDQLNPEPGENSISEEEYSKNWFTVSKFSHTGVEPYIHQKMKTKEIGQCAIQISDYLKQSQEDLSKNSLWNSRSTNLNRNSLLKSSIGVDKISASLKSPQEPHHHYSAIERNNLMRLSQTIPFTPVQLFAGEEITVYRLEESSPLNLDKSMSSWSQRGRAAMIQVLSREEMDGGLRKAMRVVSTWSEDDILKPGQVFIVKSFLPEVVRTWHKIFQESTVLHLCLREIQQQRAAQKLIYTFNQVKPQTIPYTPRFLEVFLIYCHSANQWLTIEKYMTGEFRKYNNNNGDEITPTNTLEELMLAFSHWTYEYTRGELLVLDLQGVGENLTDPSVIKPEVKQSRGMVFGPANLGEDAIRNFIAKHHCNSCCRKLKLPDLKRNDYSPERINSTFGLEIKIESAEEPPARETGRNSPEDDMQL
+>DECOY_sp|Q9BX84|TRPM6_HUMAN Transient receptor potential cation channel subfamily M member 6 OS=Homo sapiens OX=9606 GN=TRPM6 PE=1 SV=2
+LQMDDEPSNRGTERAPPEEASEIKIELGFTSNIREPSYDNRKLDPLKLKRCCSNCHHKAIFNRIADEGLNAPGFVMGRSQKVEPKIVSPDTLNEGVGQLDLVLLEGRTYEYTWHSFALMLEELTNTPTIEDGNNNNYKRFEGTMYKEITLWQNASHCYILFVELFRPTYPITQPKVQNFTYILKQAARQQQIERLCLHLVTSEQFIKHWTRVVEPLFSKVIFVQGPKLIDDESWTSVVRMAKRLGGDMEERSLVQIMAARGRQSWSSMSKDLNLPSSEELRYVTIEEGAFLQVPTFPITQSLRMLNNREIASYHHHPEQPSKLSASIKDVGISSKLLSNRNLNTSRSNWLSNKSLDEQSQKLYDSIQIACQGIEKTKMKQHIYPEVGTHSFKSVTFWNKSYEEESISNEGPEPNLQDSQSCANVTIIPVQLGQTNKKKKSLRRDKTLMKAKVWAGQGIESSGSLNKIKCIKMLKEEKHFRFSHSRAFPRYRRLPNIWFSTNPQLWPGVESCESSQASRTSNDSLSSDQAQKQHQESRSSDSDCTSPLCTQWKKKISFVGTEDGESFAWNVYGGGTQMIKAQSLPSSMTMPEPTCSLTPLVQEAKDQGDLLHAIPEHKEKPEDVSAWDSVVPTQGTLHVLVETQIDQETALVDPVSPRSLPLVTEASFPVRKLNSPVLLFQGYKSHAQRNPSVGSVVISSQTEQREQDNRVNTAERKSNTIELLAGRQVRPPHRGGALSRLLSSPMSYYQYKKEGAIEMSGLVEACIVNSWSHPLKKCTSHKRKALLAEDEQLTDVASLVKLTDVTLASLDQLHGVQSDLSLLSDKIFSVKENMEKLQFYMETVRESTVRIREECSCNVDEMKEHFYKEVCQEEFDHLKKLDEKSLYLKLGVDGEEQDHPARHCCLRRLLLGVHSLLILPPPLWPKEHYTMIYRYRNYKWLNNSISEMDLYVNNFFAILLNVMIIYQVFLYVAQLFPTLFSGPPCSPQSSCVDIEGAYVEGYIMWYPEFVIDRALSWSPPEKPSLIAKRAVGFSLLVIAMIIVIYFMNATMKAIMTVYPGAHQNVAFFDLLRSFWFIIDICYILRGATHFPPDGWRLVFGASFLGIAVTETLNWYESIWVKVKQTFKGPESICIERVVEIANTFIYISVLWEQVSPQPQMEVLVTYTFLMLFALYAMTYFWFKVIPASYFEYVKRTWPLHQHGSELGFHQNEDLKEDHGRELDYEKVSASEKSSSANQDSYYWMFQFDQSQPVHSMEAKSKFELTLITPPLIISIIIKLWSNKRMKLRGMWMDTLLMQTCTHSVFPRLGGSVALKLCTSNSWNRLEYTLLTMAMRENQKFAKELLDLALQGFQKSYNKLEESADDVMHSEKAEHAMARYLICAIVAKVTAEEGHQWFFMAMKQRKMLVAWVLLDNYPYLFGTSEPDDSVNQEKSKKRSKHLVISKEKFKYPQATRIFQSHLTSEASENRNGSSHRQHKYKRYLNNYLARFHKRTYNSRYARGILYEVVLGIDILTIRYGSLLTHQKVDQVLHHLLTNTPGQKTNYLEELRPITLFRHLNVGYEILLKVFDVRDMVLADSMAQELADPKWHQEYILIHKKAIDVRDWAMALNLQESASLNTGKLLATLIALDLDQQEESDADFITICDRHVMCEMLIQFLHKSQKLSFNFTNQIMCIIEEKVQPRLMGEDALHKHTFALLDAARGTGECVVVPDKDKVTEWVSLIVNPGGEVVLGVVPVGQRSRCHIKQLSLYKELNRRLKMENGYKGVTGDDSLIFHSHMSNLTTLKSLPNDLTQYLCVVDKGILDRQNEIVGWPPIGVTWIKRLSHSSHSKLADGVHKSVGTNIGETIIWAGTTEAAKVLGQSFIEKFKSPMTFNQIGGHVSIVLKPLEMKWEKLMLHLLHDLKTDYSTRIYKAHHTHEGDQFNITGFTDTPSKTTHKEVSWQESEKGKAASITWSYDIGAHDGILRGCYCRILNQCVQCVPTCRHPNKSSPIITSCERKDFVGKIWSKQSQLRELVPQEKM
+>sp|Q86TN4|TRPT1_HUMAN tRNA 2'-phosphotransferase 1 OS=Homo sapiens OX=9606 GN=TRPT1 PE=1 SV=2
+MNFSGGGRQEAAGSRGRRAPRPREQDRDVQLSKALSYALRHGALKLGLPMGADGFVPLGTLLQLPQFRGFSAEDVQRVVDTNRKQRFALQLGDPSTGLLIRANQGHSLQVPKLELMPLETPQALPPMLVHGTFWKHWPSILLKGLSCQGRTHIHLAPGLPGDPGIISGMRSHCEIAVFIDGPLALADGIPFFRSANGVILTPGNTDGFLLPKYFKEALQLRPTRKPLSLAGDEETECQSSPKHSSRERRRIQQ
+>DECOY_sp|Q86TN4|TRPT1_HUMAN tRNA 2'-phosphotransferase 1 OS=Homo sapiens OX=9606 GN=TRPT1 PE=1 SV=2
+QQIRRRERSSHKPSSQCETEEDGALSLPKRTPRLQLAEKFYKPLLFGDTNGPTLIVGNASRFFPIGDALALPGDIFVAIECHSRMGSIIGPDGPLGPALHIHTRGQCSLGKLLISPWHKWFTGHVLMPPLAQPTELPMLELKPVQLSHGQNARILLGTSPDGLQLAFRQKRNTDVVRQVDEASFGRFQPLQLLTGLPVFGDAGMPLGLKLAGHRLAYSLAKSLQVDRDQERPRPARRGRSGAAEQRGGGSFNM
+>sp|Q9Y4A5|TRRAP_HUMAN Transformation/transcription domain-associated protein OS=Homo sapiens OX=9606 GN=TRRAP PE=1 SV=3
+MAFVATQGATVVDQTTLMKKYLQFVAALTDVNTPDETKLKMMQEVSENFENVTSSPQYSTFLEHIIPRFLTFLQDGEVQFLQEKPAQQLRKLVLEIIHRIPTNEHLRPHTKNVLSVMFRFLETENEENVLICLRIIIELHKQFRPPITQEIHHFLDFVKQIYKELPKVVNRYFENPQVIPENTVPPPEMVGMITTIAVKVNPEREDSETRTHSIIPRGSLSLKVLAELPIIVVLMYQLYKLNIHNVVAEFVPLIMNTIAIQVSAQARQHKLYNKELYADFIAAQIKTLSFLAYIIRIYQELVTKYSQQMVKGMLQLLSNCPAETAHLRKELLIAAKHILTTELRNQFIPCMDKLFDESILIGSGYTARETLRPLAYSTLADLVHHVRQHLPLSDLSLAVQLFAKNIDDESLPSSIQTMSCKLLLNLVDCIRSKSEQESGNGRDVLMRMLEVFVLKFHTIARYQLSAIFKKCKPQSELGAVEAALPGVPTAPAAPGPAPSPAPVPAPPPPPPPPPPATPVTPAPVPPFEKQGEKDKEDKQTFQVTDCRSLVKTLVCGVKTITWGITSCKAPGEAQFIPNKQLQPKETQIYIKLVKYAMQALDIYQVQIAGNGQTYIRVANCQTVRMKEEKEVLEHFAGVFTMMNPLTFKEIFQTTVPYMVERISKNYALQIVANSFLANPTTSALFATILVEYLLDRLPEMGSNVELSNLYLKLFKLVFGSVSLFAAENEQMLKPHLHKIVNSSMELAQTAKEPYNYFLLLRALFRSIGGGSHDLLYQEFLPLLPNLLQGLNMLQSGLHKQHMKDLFVELCLTVPVRLSSLLPYLPMLMDPLVSALNGSQTLVSQGLRTLELCVDNLQPDFLYDHIQPVRAELMQALWRTLRNPADSISHVAYRVLGKFGGSNRKMLKESQKLHYVVTEVQGPSITVEFSDCKASLQLPMEKAIETALDCLKSANTEPYYRRQAWEVIKCFLVAMMSLEDNKHALYQLLAHPNFTEKTIPNVIISHRYKAQDTPARKTFEQALTGAFMSAVIKDLRPSALPFVASLIRHYTMVAVAQQCGPFLLPCYQVGSQPSTAMFHSEENGSKGMDPLVLIDAIAICMAYEEKELCKIGEVALAVIFDVASIILGSKERACQLPLFSYIVERLCACCYEQAWYAKLGGVVSIKFLMERLPLTWVLQNQQTFLKALLFVMMDLTGEVSNGAVAMAKTTLEQLLMRCATPLKDEERAEEIVAAQEKSFHHVTHDLVREVTSPNSTVRKQAMHSLQVLAQVTGKSVTVIMEPHKEVLQDMVPPKKHLLRHQPANAQIGLMEGNTFCTTLQPRLFTMDLNVVEHKVFYTELLNLCEAEDSALTKLPCYKSLPSLVPLRIAALNALAACNYLPQSREKIIAALFKALNSTNSELQEAGEACMRKFLEGATIEVDQIHTHMRPLLMMLGDYRSLTLNVVNRLTSVTRLFPNSFNDKFCDQMMQHLRKWMEVVVITHKGGQRSDGNESISECGRCPLSPFCQFEEMKICSAIINLFHLIPAAPQTLVKPLLEVVMKTERAMLIEAGSPFREPLIKFLTRHPSQTVELFMMEATLNDPQWSRMFMSFLKHKDARPLRDVLAANPNRFITLLLPGGAQTAVRPGSPSTSTMRLDLQFQAIKIISIIVKNDDSWLASQHSLVSQLRRVWVSENFQERHRKENMAATNWKEPKLLAYCLLNYCKRNYGDIELLFQLLRAFTGRFLCNMTFLKEYMEEEIPKNYSIAQKRALFFRFVDFNDPNFGDELKAKVLQHILNPAFLYSFEKGEGEQLLGPPNPEGDNPESITSVFITKVLDPEKQADMLDSLRIYLLQYATLLVEHAPHHIHDNNKNRNSKLRRLMTFAWPCLLSKACVDPACKYSGHLLLAHIIAKFAIHKKIVLQVFHSLLKAHAMEARAIVRQAMAILTPAVPARMEDGHQMLTHWTRKIIVEEGHTVPQLVHILHLIVQHFKVYYPVRHHLVQHMVSAMQRLGFTPSVTIEQRRLAVDLSEVVIKWELQRIKDQQPDSDMDPNSSGEGVNSVSSSIKRGLSVDSAQEVKRFRTATGAISAVFGRSQSLPGADSLLAKPIDKQHTDTVVNFLIRVACQVNDNTNTAGSPGEVLSRRCVNLLKTALRPDMWPKSELKLQWFDKLLMTVEQPNQVNYGNICTGLEVLSFLLTVLQSPAILSSFKPLQRGIAACMTCGNTKVLRAVHSLLSRLMSIFPTEPSTSSVASKYEELECLYAAVGKVIYEGLTNYEKATNANPSQLFGTLMILKSACSNNPSYIDRLISVFMRSLQKMVREHLNPQAASGSTEATSGTSELVMLSLELVKTRLAVMSMEMRKNFIQAILTSLIEKSPDAKILRAVVKIVEEWVKNNSPMAANQTPTLREKSILLVKMMTYIEKRFPEDLELNAQFLDLVNYVYRDETLSGSELTAKLEPAFLSGLRCAQPLIRAKFFEVFDNSMKRRVYERLLYVTCSQNWEAMGNHFWIKQCIELLLAVCEKSTPIGTSCQGAMLPSITNVINLADSHDRAAFAMVTHVKQEPRERENSESKEEDVEIDIELAPGDQTSTPKTKELSEKDIGNQLHMLTNRHDKFLDTLREVKTGALLSAFVQLCHISTTLAEKTWVQLFPRLWKILSDRQQHALAGEISPFLCSGSHQVQRDCQPSALNCFVEAMSQCVPPIPIRPCVLKYLGKTHNLWFRSTLMLEHQAFEKGLSLQIKPKQTTEFYEQESITPPQQEILDSLAELYSLLQEEDMWAGLWQKRCKYSETATAIAYEQHGFFEQAQESYEKAMDKAKKEHERSNASPAIFPEYQLWEDHWIRCSKELNQWEALTEYGQSKGHINPYLVLECAWRVSNWTAMKEALVQVEVSCPKEMAWKVNMYRGYLAICHPEEQQLSFIERLVEMASSLAIREWRRLPHVVSHVHTPLLQAAQQIIELQEAAQINAGLQPTNLGRNNSLHDMKTVVKTWRNRLPIVSDDLSHWSSIFMWRQHHYQGKPTWSGMHSSSIVTAYENSSQHDPSSNNAMLGVHASASAIIQYGKIARKQGLVNVALDILSRIHTIPTVPIVDCFQKIRQQVKCYLQLAGVMGKNECMQGLEVIESTNLKYFTKEMTAEFYALKGMFLAQINKSEEANKAFSAAVQMHDVLVKAWAMWGDYLENIFVKERQLHLGVSAITCYLHACRHQNESKSRKYLAKVLWLLSFDDDKNTLADAVDKYCIGVPPIQWLAWIPQLLTCLVGSEGKLLLNLISQVGRVYPQAVYFPIRTLYLTLKIEQRERYKSDPGPIRATAPMWRCSRIMHMQRELHPTLLSSLEGIVDQMVWFRENWHEEVLRQLQQGLAKCYSVAFEKSGAVSDAKITPHTLNFVKKLVSTFGVGLENVSNVSTMFSSAASESLARRAQATAQDPVFQKLKGQFTTDFDFSVPGSMKLHNLISKLKKWIKILEAKTKQLPKFFLIEEKCRFLSNFSAQTAEVEIPGEFLMPKPTHYYIKIARFMPRVEIVQKHNTAARRLYIRGHNGKIYPYLVMNDACLTESRREERVLQLLRLLNPCLEKRKETTKRHLFFTVPRVVAVSPQMRLVEDNPSSLSLVEIYKQRCAKKGIEHDNPISRYYDRLATVQARGTQASHQVLRDILKEVQSNMVPRSMLKEWALHTFPNATDYWTFRKMFTIQLALIGFAEFVLHLNRLNPEMLQIAQDTGKLNVAYFRFDINDATGDLDANRPVPFRLTPNISEFLTTIGVSGPLTASMIAVARCFAQPNFKVDGILKTVLRDEIIAWHKKTQEDTSSPLSAAGQPENMDSQQLVSLVQKAVTAIMTRLHNLAQFEGGESKVNTLVAAANSLDNLCRMDPAWHPWL
+>DECOY_sp|Q9Y4A5|TRRAP_HUMAN Transformation/transcription domain-associated protein OS=Homo sapiens OX=9606 GN=TRRAP PE=1 SV=3
+LWPHWAPDMRCLNDLSNAAAVLTNVKSEGGEFQALNHLRTMIATVAKQVLSVLQQSDMNEPQGAASLPSSTDEQTKKHWAIIEDRLVTKLIGDVKFNPQAFCRAVAIMSATLPGSVGITTLFESINPTLRFPVPRNADLDGTADNIDFRFYAVNLKGTDQAIQLMEPNLRNLHLVFEAFGILALQITFMKRFTWYDTANPFTHLAWEKLMSRPVMNSQVEKLIDRLVQHSAQTGRAQVTALRDYYRSIPNDHEIGKKACRQKYIEVLSLSSPNDEVLRMQPSVAVVRPVTFFLHRKTTEKRKELCPNLLRLLQLVREERRSETLCADNMVLYPYIKGNHGRIYLRRAATNHKQVIEVRPMFRAIKIYYHTPKPMLFEGPIEVEATQASFNSLFRCKEEILFFKPLQKTKAELIKIWKKLKSILNHLKMSGPVSFDFDTTFQGKLKQFVPDQATAQARRALSESAASSFMTSVNSVNELGVGFTSVLKKVFNLTHPTIKADSVAGSKEFAVSYCKALGQQLQRLVEEHWNERFWVMQDVIGELSSLLTPHLERQMHMIRSCRWMPATARIPGPDSKYRERQEIKLTLYLTRIPFYVAQPYVRGVQSILNLLLKGESGVLCTLLQPIWALWQIPPVGICYKDVADALTNKDDDFSLLWLVKALYKRSKSENQHRCAHLYCTIASVGLHLQREKVFINELYDGWMAWAKVLVDHMQVAASFAKNAEESKNIQALFMGKLAYFEATMEKTFYKLNTSEIVELGQMCENKGMVGALQLYCKVQQRIKQFCDVIPVTPITHIRSLIDLAVNVLGQKRAIKGYQIIASASAHVGLMANNSSPDHQSSNEYATVISSSHMGSWTPKGQYHHQRWMFISSWHSLDDSVIPLRNRWTKVVTKMDHLSNNRGLNTPQLGANIQAAEQLEIIQQAAQLLPTHVHSVVHPLRRWERIALSSAMEVLREIFSLQQEEPHCIALYGRYMNVKWAMEKPCSVEVQVLAEKMATWNSVRWACELVLYPNIHGKSQGYETLAEWQNLEKSCRIWHDEWLQYEPFIAPSANSREHEKKAKDMAKEYSEQAQEFFGHQEYAIATATESYKCRKQWLGAWMDEEQLLSYLEALSDLIEQQPPTISEQEYFETTQKPKIQLSLGKEFAQHELMLTSRFWLNHTKGLYKLVCPRIPIPPVCQSMAEVFCNLASPQCDRQVQHSGSCLFPSIEGALAHQQRDSLIKWLRPFLQVWTKEALTTSIHCLQVFASLLAGTKVERLTDLFKDHRNTLMHLQNGIDKESLEKTKPTSTQDGPALEIDIEVDEEKSESNERERPEQKVHTVMAFAARDHSDALNIVNTISPLMAGQCSTGIPTSKECVALLLEICQKIWFHNGMAEWNQSCTVYLLREYVRRKMSNDFVEFFKARILPQACRLGSLFAPELKATLESGSLTEDRYVYNVLDLFQANLELDEPFRKEIYTMMKVLLISKERLTPTQNAAMPSNNKVWEEVIKVVARLIKADPSKEILSTLIAQIFNKRMEMSMVALRTKVLELSLMVLESTGSTAETSGSAAQPNLHERVMKQLSRMFVSILRDIYSPNNSCASKLIMLTGFLQSPNANTAKEYNTLGEYIVKGVAAYLCELEEYKSAVSSTSPETPFISMLRSLLSHVARLVKTNGCTMCAAIGRQLPKFSSLIAPSQLVTLLFSLVELGTCINGYNVQNPQEVTMLLKDFWQLKLESKPWMDPRLATKLLNVCRRSLVEGPSGATNTNDNVQCAVRILFNVVTDTHQKDIPKALLSDAGPLSQSRGFVASIAGTATRFRKVEQASDVSLGRKISSSVSNVGEGSSNPDMDSDPQQDKIRQLEWKIVVESLDVALRRQEITVSPTFGLRQMASVMHQVLHHRVPYYVKFHQVILHLIHVLQPVTHGEEVIIKRTWHTLMQHGDEMRAPVAPTLIAMAQRVIARAEMAHAKLLSHFVQLVIKKHIAFKAIIHALLLHGSYKCAPDVCAKSLLCPWAFTMLRRLKSNRNKNNDHIHHPAHEVLLTAYQLLYIRLSDLMDAQKEPDLVKTIFVSTISEPNDGEPNPPGLLQEGEGKEFSYLFAPNLIHQLVKAKLEDGFNPDNFDVFRFFLARKQAISYNKPIEEEMYEKLFTMNCLFRGTFARLLQFLLEIDGYNRKCYNLLCYALLKPEKWNTAAMNEKRHREQFNESVWVRRLQSVLSHQSALWSDDNKVIISIIKIAQFQLDLRMTSTSPSGPRVATQAGGPLLLTIFRNPNAALVDRLPRADKHKLFSMFMRSWQPDNLTAEMMFLEVTQSPHRTLFKILPERFPSGAEILMARETKMVVELLPKVLTQPAAPILHFLNIIASCIKMEEFQCFPSLPCRGCESISENGDSRQGGKHTIVVVEMWKRLHQMMQDCFKDNFSNPFLRTVSTLRNVVNLTLSRYDGLMMLLPRMHTHIQDVEITAGELFKRMCAEGAEQLESNTSNLAKFLAAIIKERSQPLYNCAALANLAAIRLPVLSPLSKYCPLKTLASDEAECLNLLETYFVKHEVVNLDMTFLRPQLTTCFTNGEMLGIQANAPQHRLLHKKPPVMDQLVEKHPEMIVTVSKGTVQALVQLSHMAQKRVTSNPSTVERVLDHTVHHFSKEQAAVIEEAREEDKLPTACRMLLQELTTKAMAVAGNSVEGTLDMMVFLLAKLFTQQNQLVWTLPLREMLFKISVVGGLKAYWAQEYCCACLREVIYSFLPLQCAREKSGLIISAVDFIVALAVEGIKCLEKEEYAMCIAIADILVLPDMGKSGNEESHFMATSPQSGVQYCPLLFPGCQQAVAVMTYHRILSAVFPLASPRLDKIVASMFAGTLAQEFTKRAPTDQAKYRHSIIVNPITKETFNPHALLQYLAHKNDELSMMAVLFCKIVEWAQRRYYPETNASKLCDLATEIAKEMPLQLSAKCDSFEVTISPGQVETVVYHLKQSEKLMKRNSGGFKGLVRYAVHSISDAPNRLTRWLAQMLEARVPQIHDYLFDPQLNDVCLELTRLGQSVLTQSGNLASVLPDMLMPLYPLLSSLRVPVTLCLEVFLDKMHQKHLGSQLMNLGQLLNPLLPLFEQYLLDHSGGGISRFLARLLLFYNYPEKATQALEMSSNVIKHLHPKLMQENEAAFLSVSGFVLKFLKLYLNSLEVNSGMEPLRDLLYEVLITAFLASTTPNALFSNAVIQLAYNKSIREVMYPVTTQFIEKFTLPNMMTFVGAFHELVEKEEKMRVTQCNAVRIYTQGNGAIQVQYIDLAQMAYKVLKIYIQTEKPQLQKNPIFQAEGPAKCSTIGWTITKVGCVLTKVLSRCDTVQFTQKDEKDKEGQKEFPPVPAPTVPTAPPPPPPPPPPAPVPAPSPAPGPAAPATPVGPLAAEVAGLESQPKCKKFIASLQYRAITHFKLVFVELMRMLVDRGNGSEQESKSRICDVLNLLLKCSMTQISSPLSEDDINKAFLQVALSLDSLPLHQRVHHVLDALTSYALPRLTERATYGSGILISEDFLKDMCPIFQNRLETTLIHKAAILLEKRLHATEAPCNSLLQLMGKVMQQSYKTVLEQYIRIIYALFSLTKIQAAIFDAYLEKNYLKHQRAQASVQIAITNMILPVFEAVVNHINLKYLQYMLVVIIPLEALVKLSLSGRPIISHTRTESDEREPNVKVAITTIMGVMEPPPVTNEPIVQPNEFYRNVVKPLEKYIQKVFDLFHHIEQTIPPRFQKHLEIIIRLCILVNEENETELFRFMVSLVNKTHPRLHENTPIRHIIELVLKRLQQAPKEQLFQVEGDQLFTLFRPIIHELFTSYQPSSTVNEFNESVEQMMKLKTEDPTNVDTLAAVFQLYKKMLTTQDVVTAGQTAVFAM
+>sp|Q99816|TS101_HUMAN Tumor susceptibility gene 101 protein OS=Homo sapiens OX=9606 GN=TSG101 PE=1 SV=2
+MAVSESQLKKMVSKYKYRDLTVRETVNVITLYKDLKPVLDSYVFNDGSSRELMNLTGTIPVPYRGNTYNIPICLWLLDTYPYNPPICFVKPTSSMTIKTGKHVDANGKIYLPYLHEWKHPQSDLLGLIQVMIVVFGDEPPVFSRPISASYPPYQATGPPNTSYMPGMPGGISPYPSGYPPNPSGYPGCPYPPGGPYPATTSSQYPSQPPVTTVGPSRDGTISEDTIRASLISAVSDKLRWRMKEEMDRAQAELNALKRTEEDLKKGHQKLEEMVTRLDQEVAEVDKNIELLKKKDEELSSALEKMENQSENNDIDEVIIPTAPLYKQILNLYAEENAIEDTIFYLGEALRRGVIDLDVFLKHVRLLSRKQFQLRALMQKARKTAGLSDLY
+>DECOY_sp|Q99816|TS101_HUMAN Tumor susceptibility gene 101 protein OS=Homo sapiens OX=9606 GN=TSG101 PE=1 SV=2
+YLDSLGATKRAKQMLARLQFQKRSLLRVHKLFVDLDIVGRRLAEGLYFITDEIANEEAYLNLIQKYLPATPIIVEDIDNNESQNEMKELASSLEEDKKKLLEINKDVEAVEQDLRTVMEELKQHGKKLDEETRKLANLEAQARDMEEKMRWRLKDSVASILSARITDESITGDRSPGVTTVPPQSPYQSSTTAPYPGGPPYPCGPYGSPNPPYGSPYPSIGGPMGPMYSTNPPGTAQYPPYSASIPRSFVPPEDGFVVIMVQILGLLDSQPHKWEHLYPLYIKGNADVHKGTKITMSSTPKVFCIPPNYPYTDLLWLCIPINYTNGRYPVPITGTLNMLERSSGDNFVYSDLVPKLDKYLTIVNVTERVTLDRYKYKSVMKKLQSESVAM
+>sp|Q96A04|TSACC_HUMAN TSSK6-activating co-chaperone protein OS=Homo sapiens OX=9606 GN=TSACC PE=1 SV=1
+MERHTSHPNRKVPAKEEANAVPLCRAKPSPSYINLQASSPPATFLNIQTTKLPSVDHKPKECLGLLECMYANLQLQTQLAQQQMAVLEHLQASVTQLAPGRGSNNSSLPALSPNPLLNHLPQFSK
+>DECOY_sp|Q96A04|TSACC_HUMAN TSSK6-activating co-chaperone protein OS=Homo sapiens OX=9606 GN=TSACC PE=1 SV=1
+KSFQPLHNLLPNPSLAPLSSNNSGRGPALQTVSAQLHELVAMQQQALQTQLQLNAYMCELLGLCEKPKHDVSPLKTTQINLFTAPPSSAQLNIYSPSPKARCLPVANAEEKAPVKRNPHSTHREM
+>sp|Q8WUA8|TSK_HUMAN Tsukushin OS=Homo sapiens OX=9606 GN=TSKU PE=2 SV=3
+MPWPLLLLLAVSGAQTTRPCFPGCQCEVETFGLFDSFSLTRVDCSGLGPHIMPVPIPLDTAHLDLSSNRLEMVNESVLAGPGYTTLAGLDLSHNLLTSISPTAFSRLRYLESLDLSHNGLTALPAESFTSSPLSDVNLSHNQLREVSVSAFTTHSQGRALHVDLSHNLIHRLVPHPTRAGLPAPTIQSLNLAWNRLHAVPNLRDLPLRYLSLDGNPLAVIGPGAFAGLGGLTHLSLASLQRLPELAPSGFRELPGLQVLDLSGNPKLNWAGAEVFSGLSSLQELDLSGTNLVPLPEALLLHLPALQSVSVGQDVRCRRLVREGTYPRRPGSSPKVALHCVDTRDSAARGPTIL
+>DECOY_sp|Q8WUA8|TSK_HUMAN Tsukushin OS=Homo sapiens OX=9606 GN=TSKU PE=2 SV=3
+LITPGRAASDRTDVCHLAVKPSSGPRRPYTGERVLRRCRVDQGVSVSQLAPLHLLLAEPLPVLNTGSLDLEQLSSLGSFVEAGAWNLKPNGSLDLVQLGPLERFGSPALEPLRQLSALSLHTLGGLGAFAGPGIVALPNGDLSLYRLPLDRLNPVAHLRNWALNLSQITPAPLGARTPHPVLRHILNHSLDVHLARGQSHTTFASVSVERLQNHSLNVDSLPSSTFSEAPLATLGNHSLDLSELYRLRSFATPSISTLLNHSLDLGALTTYGPGALVSENVMELRNSSLDLHATDLPIPVPMIHPGLGSCDVRTLSFSDFLGFTEVECQCGPFCPRTTQAGSVALLLLLPWPM
+>sp|O95859|TSN12_HUMAN Tetraspanin-12 OS=Homo sapiens OX=9606 GN=TSPAN12 PE=1 SV=1
+MAREDSVKCLRCLLYALNLLFWLMSISVLAVSAWMRDYLNNVLTLTAETRVEEAVILTYFPVVHPVMIAVCCFLIIVGMLGYCGTVKRNLLLLAWYFGSLLVIFCVELACGVWTYEQELMVPVQWSDMVTLKARMTNYGLPRYRWLTHAWNFFQREFKCCGVVYFTDWLEMTEMDWPPDSCCVREFPGCSKQAHQEDLSDLYQEGCGKKMYSFLRGTKQLQVLRFLGISIGVTQILAMILTITLLWALYYDRREPGTDQMMSLKNDNSQHLSCPSVELLKPSLSRIFEHTSMANSFNTHFEMEEL
+>DECOY_sp|O95859|TSN12_HUMAN Tetraspanin-12 OS=Homo sapiens OX=9606 GN=TSPAN12 PE=1 SV=1
+LEEMEFHTNFSNAMSTHEFIRSLSPKLLEVSPCSLHQSNDNKLSMMQDTGPERRDYYLAWLLTITLIMALIQTVGISIGLFRLVQLQKTGRLFSYMKKGCGEQYLDSLDEQHAQKSCGPFERVCCSDPPWDMETMELWDTFYVVGCCKFERQFFNWAHTLWRYRPLGYNTMRAKLTVMDSWQVPVMLEQEYTWVGCALEVCFIVLLSGFYWALLLLNRKVTGCYGLMGVIILFCCVAIMVPHVVPFYTLIVAEEVRTEATLTLVNNLYDRMWASVALVSISMLWFLLNLAYLLCRLCKVSDERAM
+>sp|Q96SJ8|TSN18_HUMAN Tetraspanin-18 OS=Homo sapiens OX=9606 GN=TSPAN18 PE=2 SV=1
+MEGDCLSCMKYLMFVFNFFIFLGGACLLAIGIWVMVDPTGFREIVAANPLLLTGAYILLAMGGLLFLLGFLGCCGAVRENKCLLLFFFLFILIIFLAELSAAILAFIFRENLTREFFTKELTKHYQGNNDTDVFSATWNSVMITFGCCGVNGPEDFKFASVFRLLTLDSEEVPEACCRREPQSRDGVLLSREECLLGRSLFLNKQGCYTVILNTFETYVYLAGALAIGVLAIELFAMIFAMCLFRGIQ
+>DECOY_sp|Q96SJ8|TSN18_HUMAN Tetraspanin-18 OS=Homo sapiens OX=9606 GN=TSPAN18 PE=2 SV=1
+QIGRFLCMAFIMAFLEIALVGIALAGALYVYTEFTNLIVTYCGQKNLFLSRGLLCEERSLLVGDRSQPERRCCAEPVEESDLTLLRFVSAFKFDEPGNVGCCGFTIMVSNWTASFVDTDNNGQYHKTLEKTFFERTLNERFIFALIAASLEALFIILIFLFFFLLLCKNERVAGCCGLFGLLFLLGGMALLIYAGTLLLPNAAVIERFGTPDVMVWIGIALLCAGGLFIFFNFVFMLYKMCSLCDGEM
+>sp|Q96NA8|TSNA1_HUMAN t-SNARE domain-containing protein 1 OS=Homo sapiens OX=9606 GN=TSNARE1 PE=1 SV=2
+MSYGSIARGGGLGSRGPFGGPSRQGCQPLECARCWTEYGIRHFPCPSPESKLQNRCVGKDGEGDLGPAGTPIVPRARKRGPGVAPEGSRMPEPTSSPTIGPRKDSAAGPHGRMAGPSTTRAKKRKPNFCPQETEVLVSKVSKHHQLLFGTGLLKAEPTRRYRVWSRILQAVNALGYCRRDVVDLKHKWRDLRAVVRRKLGDLRKAAHGPSPGSGKPQALALTPVEQVVAKTFSCQALPSEGFSLEPPRATQVDPCNLQELFQEMSANVFRINSSVTSLERSLQSLGTPSDTQELRDSLHTAQQETNKTIAASASSVKQMAELLRSSCPQERLQQERPQLDRLKTQLSDAIQCYGVVQKKIAEKSRALLPMAQRGSKQSPQAPFAELADDEKVFNGSDNMWQGQEQALLPDITEEDLEAIRLREEAILQMESNLLDVNQIIKDLASMVSEQGEAVDSIEASLEAASSHAEAARQLLAGASRHQLQRHKIKCCFLSAGVTALLVIIIIIATSVRK
+>DECOY_sp|Q96NA8|TSNA1_HUMAN t-SNARE domain-containing protein 1 OS=Homo sapiens OX=9606 GN=TSNARE1 PE=1 SV=2
+KRVSTAIIIIIVLLATVGASLFCCKIKHRQLQHRSAGALLQRAAEAHSSAAELSAEISDVAEGQESVMSALDKIIQNVDLLNSEMQLIAEERLRIAELDEETIDPLLAQEQGQWMNDSGNFVKEDDALEAFPAQPSQKSGRQAMPLLARSKEAIKKQVVGYCQIADSLQTKLRDLQPREQQLREQPCSSRLLEAMQKVSSASAAITKNTEQQATHLSDRLEQTDSPTGLSQLSRELSTVSSNIRFVNASMEQFLEQLNCPDVQTARPPELSFGESPLAQCSFTKAVVQEVPTLALAQPKGSGPSPGHAAKRLDGLKRRVVARLDRWKHKLDVVDRRCYGLANVAQLIRSWVRYRRTPEAKLLGTGFLLQHHKSVKSVLVETEQPCFNPKRKKARTTSPGAMRGHPGAASDKRPGITPSSTPEPMRSGEPAVGPGRKRARPVIPTGAPGLDGEGDKGVCRNQLKSEPSPCPFHRIGYETWCRACELPQCGQRSPGGFPGRSGLGGGRAISGYSM
+>sp|P35443|TSP4_HUMAN Thrombospondin-4 OS=Homo sapiens OX=9606 GN=THBS4 PE=1 SV=2
+MLAPRGAAVLLLHLVLQRWLAAGAQATPQVFDLLPSSSQRLNPGALLPVLTDPALNDLYVISTFKLQTKSSATIFGLYSSTDNSKYFEFTVMGRLNKAILRYLKNDGKVHLVVFNNLQLADGRRHRILLRLSNLQRGAGSLELYLDCIQVDSVHNLPRAFAGPSQKPETIELRTFQRKPQDFLEELKLVVRGSLFQVASLQDCFLQQSEPLAATGTGDFNRQFLGQMTQLNQLLGEVKDLLRQQVKETSFLRNTIAECQACGPLKFQSPTPSTVVPPAPPAPPTRPPRRCDSNPCFRGVQCTDSRDGFQCGPCPEGYTGNGITCIDVDECKYHPCYPGVHCINLSPGFRCDACPVGFTGPMVQGVGISFAKSNKQVCTDIDECRNGACVPNSICVNTLGSYRCGPCKPGYTGDQIRGCKAERNCRNPELNPCSVNAQCIEERQGDVTCVCGVGWAGDGYICGKDVDIDSYPDEELPCSARNCKKDNCKYVPNSGQEDADRDGIGDACDEDADGDGILNEQDNCVLIHNVDQRNSDKDIFGDACDNCLSVLNNDQKDTDGDGRGDACDDDMDGDGIKNILDNCPKFPNRDQRDKDGDGVGDACDSCPDVSNPNQSDVDNDLVGDSCDTNQDSDGDGHQDSTDNCPTVINSAQLDTDKDGIGDECDDDDDNDGIPDLVPPGPDNCRLVPNPAQEDSNSDGVGDICESDFDQDQVIDRIDVCPENAEVTLTDFRAYQTVVLDPEGDAQIDPNWVVLNQGMEIVQTMNSDPGLAVGYTAFNGVDFEGTFHVNTQTDDDYAGFIFGYQDSSSFYVVMWKQTEQTYWQATPFRAVAEPGIQLKAVKSKTGPGEHLRNSLWHTGDTSDQVRLLWKDSRNVGWKDKVSYRWFLQHRPQVGYIRVRFYEGSELVADSGVTIDTTMRGGRLGVFCFSQENIIWSNLKYRCNDTIPEDFQEFQTQNFDRFDN
+>DECOY_sp|P35443|TSP4_HUMAN Thrombospondin-4 OS=Homo sapiens OX=9606 GN=THBS4 PE=1 SV=2
+NDFRDFNQTQFEQFDEPITDNCRYKLNSWIINEQSFCFVGLRGGRMTTDITVGSDAVLESGEYFRVRIYGVQPRHQLFWRYSVKDKWGVNRSDKWLLRVQDSTDGTHWLSNRLHEGPGTKSKVAKLQIGPEAVARFPTAQWYTQETQKWMVVYFSSSDQYGFIFGAYDDDTQTNVHFTGEFDVGNFATYGVALGPDSNMTQVIEMGQNLVVWNPDIQADGEPDLVVTQYARFDTLTVEANEPCVDIRDIVQDQDFDSECIDGVGDSNSDEQAPNPVLRCNDPGPPVLDPIGDNDDDDDCEDGIGDKDTDLQASNIVTPCNDTSDQHGDGDSDQNTDCSDGVLDNDVDSQNPNSVDPCSDCADGVGDGDKDRQDRNPFKPCNDLINKIGDGDMDDDCADGRGDGDTDKQDNNLVSLCNDCADGFIDKDSNRQDVNHILVCNDQENLIGDGDADEDCADGIGDRDADEQGSNPVYKCNDKKCNRASCPLEEDPYSDIDVDKGCIYGDGAWGVGCVCTVDGQREEICQANVSCPNLEPNRCNREAKCGRIQDGTYGPKCPGCRYSGLTNVCISNPVCAGNRCEDIDTCVQKNSKAFSIGVGQVMPGTFGVPCADCRFGPSLNICHVGPYCPHYKCEDVDICTIGNGTYGEPCPGCQFGDRSDTCQVGRFCPNSDCRRPPRTPPAPPAPPVVTSPTPSQFKLPGCAQCEAITNRLFSTEKVQQRLLDKVEGLLQNLQTMQGLFQRNFDGTGTAALPESQQLFCDQLSAVQFLSGRVVLKLEELFDQPKRQFTRLEITEPKQSPGAFARPLNHVSDVQICDLYLELSGAGRQLNSLRLLIRHRRGDALQLNNFVVLHVKGDNKLYRLIAKNLRGMVTFEFYKSNDTSSYLGFITASSKTQLKFTSIVYLDNLAPDTLVPLLAGPNLRQSSSPLLDFVQPTAQAGAALWRQLVLHLLLVAAGRPALM
+>sp|Q5TGU0|TSPO2_HUMAN Translocator protein 2 OS=Homo sapiens OX=9606 GN=TSPO2 PE=1 SV=1
+MRLQGAIFVLLPHLGPILVWLFTRDHMSGWCEGPRMLSWCPFYKVLLLVQTAIYSVVGYASYLVWKDLGGGLGWPLALPLGLYAVQLTISWTVLVLFFTVHNPGLALLHLLLLYGLVVSTALIWHPINKLAALLLLPYLAWLTVTSALTYHLWRDSLCPVHQPQPTEKSD
+>DECOY_sp|Q5TGU0|TSPO2_HUMAN Translocator protein 2 OS=Homo sapiens OX=9606 GN=TSPO2 PE=1 SV=1
+DSKETPQPQHVPCLSDRWLHYTLASTVTLWALYPLLLLAALKNIPHWILATSVVLGYLLLLHLLALGPNHVTFFLVLVTWSITLQVAYLGLPLALPWGLGGGLDKWVLYSAYGVVSYIATQVLLLVKYFPCWSLMRPGECWGSMHDRTFLWVLIPGLHPLLVFIAGQLRM
+>sp|P0CV98|TSPY3_HUMAN Testis-specific Y-encoded protein 3 OS=Homo sapiens OX=9606 GN=TSPY3 PE=1 SV=1
+MRPEGSLTYRVPERLRQGFCGVGRAAQALVCASAKEGTAFRMEAVQEGAAGVESEQAALGEEAVLLLDDIMAEVEVVAEEEGLVERREEAQRAQQAVPGPGPMTPESALEELLAVQVELEPVNAQARKAFSRQREKMERRRKPHLDRRGAVIQSVPGFWANVIANHPQMSALITDEDEDMLSYMVSLEVEEEKHPVHLCKIMLFFRSNPYFQNKVITKEYLVNITEYRASHSTPIEWYPDYEVEAYRRRHHNSSLNFFNWFSDHNFAGSNKIAEILCKDLWRNPLQYYKRMKPPEEGTETSGDSQLLS
+>DECOY_sp|P0CV98|TSPY3_HUMAN Testis-specific Y-encoded protein 3 OS=Homo sapiens OX=9606 GN=TSPY3 PE=1 SV=1
+SLLQSDGSTETGEEPPKMRKYYQLPNRWLDKCLIEAIKNSGAFNHDSFWNFFNLSSNHHRRRYAEVEYDPYWEIPTSHSARYETINVLYEKTIVKNQFYPNSRFFLMIKCLHVPHKEEEVELSVMYSLMDEDEDTILASMQPHNAIVNAWFGPVSQIVAGRRDLHPKRRREMKERQRSFAKRAQANVPELEVQVALLEELASEPTMPGPGPVAQQARQAEERREVLGEEEAVVEVEAMIDDLLLVAEEGLAAQESEVGAAGEQVAEMRFATGEKASACVLAQAARGVGCFGQRLREPVRYTLSGEPRM
+>sp|Q6SA08|TSSK4_HUMAN Testis-specific serine/threonine-protein kinase 4 OS=Homo sapiens OX=9606 GN=TSSK4 PE=1 SV=1
+MGKGDVLEAAPTTTAYHSLMDEYGYEVGKAIGHGSYGSVYEAFYTKQKVMVAVKIISKKKASDDYLNKFLPREIQVMKVLRHKYLINFYRAIESTSRVYIILELAQGGDVLEWIQRYGACSEPLAGKWFSQLTLGIAYLHSKSIVHRDLKLENLLLDKWENVKISDFGFAKMVPSNQPVGCSPSYRQVNCFSHLSQTYCGSFAYACPEILRGLPYNPFLSDTWSMGVILYTLVVAHLPFDDTNLKKLLRETQKEVTFPANHTISQECKNLILQMLRQATKRATILDIIKDSWVLKFQPEQPTHEIRLLEAMCQLHNTTKQHQSLQITT
+>DECOY_sp|Q6SA08|TSSK4_HUMAN Testis-specific serine/threonine-protein kinase 4 OS=Homo sapiens OX=9606 GN=TSSK4 PE=1 SV=1
+TTIQLSQHQKTTNHLQCMAELLRIEHTPQEPQFKLVWSDKIIDLITARKTAQRLMQLILNKCEQSITHNAPFTVEKQTERLLKKLNTDDFPLHAVVLTYLIVGMSWTDSLFPNYPLGRLIEPCAYAFSGCYTQSLHSFCNVQRYSPSCGVPQNSPVMKAFGFDSIKVNEWKDLLLNELKLDRHVISKSHLYAIGLTLQSFWKGALPESCAGYRQIWELVDGGQALELIIYVRSTSEIARYFNILYKHRLVKMVQIERPLFKNLYDDSAKKKSIIKVAVMVKQKTYFAEYVSGYSGHGIAKGVEYGYEDMLSHYATTTPAAELVDGKGM
+>sp|Q9NQE7|TSSP_HUMAN Thymus-specific serine protease OS=Homo sapiens OX=9606 GN=PRSS16 PE=2 SV=2
+MAVWLAQWLGPLLLVSLWGLLAPASLLRRLGEHIQQFQESSAQGLGLSLGPGAAALPKVGWLEQLLDPFNVSDRRSFLQRYWVNDQHWVGQDGPIFLHLGGEGSLGPGSVMRGHPAALAPAWGALVISLEHRFYGLSIPAGGLEMAQLRFLSSRLALADVVSARLALSRLFNISSSSPWICFGGSYAGSLAAWARLKFPHLIFASVASSAPVRAVLDFSEYNDVVSRSLMSTAIGGSLECRAAVSVAFAEVERRLRSGGAAQAALRTELSACGPLGRAENQAELLGALQALVGGVVQYDGQTGAPLSVRQLCGLLLGGGGNRSHSTPYCGLRRAVQIVLHSLGQKCLSFSRAETVAQLRSTEPQLSGVGDRQWLYQTCTEFGFYVTCENPRCPFSQLPALPSQLDLCEQVFGLSALSVAQAVAQTNSYYGGQTPGANKVLFVNGDTDPWHVLSVTQALGSSESTLLIRTGSHCLDMAPERPSDSPSLRLGRQNIFQQLQTWLKLAKESQIKGEV
+>DECOY_sp|Q9NQE7|TSSP_HUMAN Thymus-specific serine protease OS=Homo sapiens OX=9606 GN=PRSS16 PE=2 SV=2
+VEGKIQSEKALKLWTQLQQFINQRGLRLSPSDSPREPAMDLCHSGTRILLTSESSGLAQTVSLVHWPDTDGNVFLVKNAGPTQGGYYSNTQAVAQAVSLASLGFVQECLDLQSPLAPLQSFPCRPNECTVYFGFETCTQYLWQRDGVGSLQPETSRLQAVTEARSFSLCKQGLSHLVIQVARRLGCYPTSHSRNGGGGLLLGCLQRVSLPAGTQGDYQVVGGVLAQLAGLLEAQNEARGLPGCASLETRLAAQAAGGSRLRREVEAFAVSVAARCELSGGIATSMLSRSVVDNYESFDLVARVPASSAVSAFILHPFKLRAWAALSGAYSGGFCIWPSSSSINFLRSLALRASVVDALALRSSLFRLQAMELGGAPISLGYFRHELSIVLAGWAPALAAPHGRMVSGPGLSGEGGLHLFIPGDQGVWHQDNVWYRQLFSRRDSVNFPDLLQELWGVKPLAAAGPGLSLGLGQASSEQFQQIHEGLRRLLSAPALLGWLSVLLLPGLWQALWVAM
+>sp|Q5T7W7|TSTD2_HUMAN Thiosulfate sulfurtransferase/rhodanese-like domain-containing protein 2 OS=Homo sapiens OX=9606 GN=TSTD2 PE=1 SV=1
+MPSSTSPDQGDDLENCILRFSDLDLKDMSLINPSSSLKAELDGSTKKKYSFAKKKAFALFVKTKEVPTKRSFECKEKLWKCCRQLFTDQTSIHRHVATQHADEIYHQTASILKQLAVTLSTSKSLSSADEKNPLKECLPHSHDVSAWLPDISCFNPDELISGQGSEEGEVLLYYCYHDLEDPQWICAWQTALCQHLHLTGKIRIAAEGINGTVGGSKLATRLYVEVMLSFPLFKDDLCKDDFKTSKGGAHCFPELRVGVFEEIVPMGISPKKISYKKPGIHLSPGEFHKEVEKFLSQANQEQSDTILLDCRNFYESKIGRFQGCLAPDIRKFSYFPSYVDKNLELFREKRVLMYCTGGIRCERGSAYLKAKGVCKEVFQLKGGIHKYLEEFPDGFYKGKLFVFDERYALSYNSDVVSECSYCGARWDQYKLCSTPQCRQLVLTCPACQGQGFTACCVTCQDKGSRKVSGPMQDSFKEECECTARRPRIPRELLQHVRQPVSPEPGPDADEDGPVLM
+>DECOY_sp|Q5T7W7|TSTD2_HUMAN Thiosulfate sulfurtransferase/rhodanese-like domain-containing protein 2 OS=Homo sapiens OX=9606 GN=TSTD2 PE=1 SV=1
+MLVPGDEDADPGPEPSVPQRVHQLLERPIRPRRATCECEEKFSDQMPGSVKRSGKDQCTVCCATFGQGQCAPCTLVLQRCQPTSCLKYQDWRAGCYSCESVVDSNYSLAYREDFVFLKGKYFGDPFEELYKHIGGKLQFVEKCVGKAKLYASGRECRIGGTCYMLVRKERFLELNKDVYSPFYSFKRIDPALCGQFRGIKSEYFNRCDLLITDSQEQNAQSLFKEVEKHFEGPSLHIGPKKYSIKKPSIGMPVIEEFVGVRLEPFCHAGGKSTKFDDKCLDDKFLPFSLMVEVYLRTALKSGGVTGNIGEAAIRIKGTLHLHQCLATQWACIWQPDELDHYCYYLLVEGEESGQGSILEDPNFCSIDPLWASVDHSHPLCEKLPNKEDASSLSKSTSLTVALQKLISATQHYIEDAHQTAVHRHISTQDTFLQRCCKWLKEKCEFSRKTPVEKTKVFLAFAKKKAFSYKKKTSGDLEAKLSSSPNILSMDKLDLDSFRLICNELDDGQDPSTSSPM
+>sp|Q86WT1|TT30A_HUMAN Tetratricopeptide repeat protein 30A OS=Homo sapiens OX=9606 GN=TTC30A PE=2 SV=3
+MAGLSGAQIPDGEFTALVYRLIRDARYAEAVQLLGRELQRSPRSRAGLSLLGYCYYRLQEFALAAECYEQLGQLHPELEQYRLYQAQALYKACLYPEATRVAFLLLDNPAYHSRVLRLQAAIKYSEGDLPGSRSLVEQLLSGEGGEESGGDNETDGQVNLGCLLYKEGQYEAACSKFSATLQASGYQPDLSYNLALAYYSSRQYASALKHIAEIIERGIRQHPELGVGMTTEGFDVRSVGNTLVLHQTALVEAFNLKAAIEYQLRNYEVAQETLTDMPPRAEEELDPVTLHNQALMNMDARPTEGFEKLQFLLQQNPFPPETFGNLLLLYCKYEYFDLAADVLAENAHLTYKFLTPYLYDFLDALITCQTAPEEAFIKLDGLAGMLTEQLRRLTKQVQEARHNRDDEAIKKAVNEYDETMEKYIPVLMAQAKIYWNLENYPMVEKVFRKSVEFCNDHDVWKLNVAHVLFMQENKYKEAIGFYEPIVKKHYDNILNVSAIVLANLCVSYIMTSQNEEAEELMRKIEKEEEQLSYDDPNRKMYHLCIVNLVIGTLYCAKGNYEFGISRVIKSLEPYNKKLGTDTWYYAKRCFLSLLENMSKHMIVIHDSVIQECVQFLGHCELYGTNIPAVIEQPLEEERMHVGKNTVTDESRQLKALIYEIIGWNK
+>DECOY_sp|Q86WT1|TT30A_HUMAN Tetratricopeptide repeat protein 30A OS=Homo sapiens OX=9606 GN=TTC30A PE=2 SV=3
+KNWGIIEYILAKLQRSEDTVTNKGVHMREEELPQEIVAPINTGYLECHGLFQVCEQIVSDHIVIMHKSMNELLSLFCRKAYYWTDTGLKKNYPELSKIVRSIGFEYNGKACYLTGIVLNVICLHYMKRNPDDYSLQEEEKEIKRMLEEAEENQSTMIYSVCLNALVIASVNLINDYHKKVIPEYFGIAEKYKNEQMFLVHAVNLKWVDHDNCFEVSKRFVKEVMPYNELNWYIKAQAMLVPIYKEMTEDYENVAKKIAEDDRNHRAEQVQKTLRRLQETLMGALGDLKIFAEEPATQCTILADLFDYLYPTLFKYTLHANEALVDAALDFYEYKCYLLLLNGFTEPPFPNQQLLFQLKEFGETPRADMNMLAQNHLTVPDLEEEARPPMDTLTEQAVEYNRLQYEIAAKLNFAEVLATQHLVLTNGVSRVDFGETTMGVGLEPHQRIGREIIEAIHKLASAYQRSSYYALALNYSLDPQYGSAQLTASFKSCAAEYQGEKYLLCGLNVQGDTENDGGSEEGGEGSLLQEVLSRSGPLDGESYKIAAQLRLVRSHYAPNDLLLFAVRTAEPYLCAKYLAQAQYLRYQELEPHLQGLQEYCEAALAFEQLRYYCYGLLSLGARSRPSRQLERGLLQVAEAYRADRILRYVLATFEGDPIQAGSLGAM
+>sp|Q99614|TTC1_HUMAN Tetratricopeptide repeat protein 1 OS=Homo sapiens OX=9606 GN=TTC1 PE=1 SV=1
+MGEKSENCGVPEDLLNGLKVTDTQEAECAGPPVPDPKNQHSQSKLLRDDEAHLQEDQGEEECFHDCSASFEEEPGADKVENKSNEDVNSSELDEEYLIELEKNMSDEEKQKRREESTRLKEEGNEQFKKGDYIEAESSYSRALEMCPSCFQKERSILFSNRAAARMKQDKKEMAINDCSKAIQLNPSYIRAILRRAELYEKTDKLDEALEDYKSILEKDPSIHQAREACMRLPKQIEERNERLKEEMLGKLKDLGNLVLRPFGLSTENFQIKQDSSTGSYSINFVQNPNNNR
+>DECOY_sp|Q99614|TTC1_HUMAN Tetratricopeptide repeat protein 1 OS=Homo sapiens OX=9606 GN=TTC1 PE=1 SV=1
+RNNNPNQVFNISYSGTSSDQKIQFNETSLGFPRLVLNGLDKLKGLMEEKLRENREEIQKPLRMCAERAQHISPDKELISKYDELAEDLKDTKEYLEARRLIARIYSPNLQIAKSCDNIAMEKKDQKMRAAARNSFLISREKQFCSPCMELARSYSSEAEIYDGKKFQENGEEKLRTSEERRKQKEEDSMNKELEILYEEDLESSNVDENSKNEVKDAGPEEEFSASCDHFCEEEGQDEQLHAEDDRLLKSQSHQNKPDPVPPGACEAEQTDTVKLGNLLDEPVGCNESKEGM
+>sp|A2A3L6|TTC24_HUMAN Tetratricopeptide repeat protein 24 OS=Homo sapiens OX=9606 GN=TTC24 PE=4 SV=1
+MSSPNPEDVPRRPEPEPSSSNKKKKKRKWLRQEASIQALTRAGHGALQAGQNHEALNNFQRAFLLASKAPQTRDTPVLQACAFNLGAAYVETGDPARGLELLLRAHPEEKAQGRRHGDQCFNVALAYHALGELPQALAWYHRALGHYQPQGDQGEAWAKMGACYQALGQPELAAHCLQEASQAYAQERQLRAAALALGAAAGCMLKSGRHRVGEVVQVLEKSRRLAERSTERRLLGHLYNDLGLGYSQLQLFPLAVEAFLQALPLCWVPGEQATVLRNLGMAHNALGNYQEAREFHQKAADLHGSVGQRWEQGRSFGSLAFALSQLGDHKAARDNYLHALQAARDSGDMKGQWQACEGLGAAAARLGQYDQALKYYKEALAQCQKEPDSVRERLVAKLADTVRTRLAQVGLVQTHTLTSAPGRLQAPGGASQAEGTPAKAGSSTAGVQHRSSSGWEDEEFEEGHQKKKEERSANVPVRAGPGRPELCFLPGTVNHSHHLASSCPTFTKHTPCRGTVLGKASIYSPGPRAHLPFVGPGPPRAEYPSILVPNGPQANRSSRWPRESLSRSRQRRPMESGICTIV
+>DECOY_sp|A2A3L6|TTC24_HUMAN Tetratricopeptide repeat protein 24 OS=Homo sapiens OX=9606 GN=TTC24 PE=4 SV=1
+VITCIGSEMPRRQRSRSLSERPWRSSRNAQPGNPVLISPYEARPPGPGVFPLHARPGPSYISAKGLVTGRCPTHKTFTPCSSALHHSHNVTGPLFCLEPRGPGARVPVNASREEKKKQHGEEFEEDEWGSSSRHQVGATSSGAKAPTGEAQSAGGPAQLRGPASTLTHTQVLGVQALRTRVTDALKAVLRERVSDPEKQCQALAEKYYKLAQDYQGLRAAAAGLGECAQWQGKMDGSDRAAQLAHLYNDRAAKHDGLQSLAFALSGFSRGQEWRQGVSGHLDAAKQHFERAEQYNGLANHAMGLNRLVTAQEGPVWCLPLAQLFAEVALPFLQLQSYGLGLDNYLHGLLRRETSREALRRSKELVQVVEGVRHRGSKLMCGAAAGLALAAARLQREQAYAQSAEQLCHAALEPQGLAQYCAGMKAWAEGQDGQPQYHGLARHYWALAQPLEGLAHYALAVNFCQDGHRRGQAKEEPHARLLLELGRAPDGTEVYAAGLNFACAQLVPTDRTQPAKSALLFARQFNNLAEHNQGAQLAGHGARTLAQISAEQRLWKRKKKKKNSSSPEPEPRRPVDEPNPSSM
+>sp|Q5R3I4|TTC38_HUMAN Tetratricopeptide repeat protein 38 OS=Homo sapiens OX=9606 GN=TTC38 PE=1 SV=1
+MAAASPLRDCQAWKDARLPLSTTSNEACKLFDATLTQYVKWTNDKSLGGIEGCLSKLKAADPTFVMGHAMATGLVLIGTGSSVKLDKELDLAVKTMVEISRTQPLTRREQLHVSAVETFANGNFPKACELWEQILQDHPTDMLALKFSHDAYFYLGYQEQMRDSVARIYPFWTPDIPLSSYVKGIYSFGLMETNFYDQAEKLAKEALSINPTDAWSVHTVAHIHEMKAEIKDGLEFMQHSETFWKDSDMLACHNYWHWALYLIEKGEYEAALTIYDTHILPSLQANDAMLDVVDSCSMLYRLQMEGVSVGQRWQDVLPVARKHSRDHILLFNDAHFLMASLGAHDPQTTQELLTTLRDASESPGENCQHLLARDVGLPLCQALVEAEDGNPDRVLELLLPIRYRIVQLGGSNAQRDVFNQLLIHAALNCTSSVHKNVARSLLMERDALKPNSPLTERLIRKAATVHLMQ
+>DECOY_sp|Q5R3I4|TTC38_HUMAN Tetratricopeptide repeat protein 38 OS=Homo sapiens OX=9606 GN=TTC38 PE=1 SV=1
+QMLHVTAAKRILRETLPSNPKLADREMLLSRAVNKHVSSTCNLAAHILLQNFVDRQANSGGLQVIRYRIPLLLELVRDPNGDEAEVLAQCLPLGVDRALLHQCNEGPSESADRLTTLLEQTTQPDHAGLSAMLFHADNFLLIHDRSHKRAVPLVDQWRQGVSVGEMQLRYLMSCSDVVDLMADNAQLSPLIHTDYITLAAEYEGKEILYLAWHWYNHCALMDSDKWFTESHQMFELGDKIEAKMEHIHAVTHVSWADTPNISLAEKALKEAQDYFNTEMLGFSYIGKVYSSLPIDPTWFPYIRAVSDRMQEQYGLYFYADHSFKLALMDTPHDQLIQEWLECAKPFNGNAFTEVASVHLQERRTLPQTRSIEVMTKVALDLEKDLKVSSGTGILVLGTAMAHGMVFTPDAAKLKSLCGEIGGLSKDNTWKVYQTLTADFLKCAENSTTSLPLRADKWAQCDRLPSAAAM
+>sp|Q9ULT0|TTC7A_HUMAN Tetratricopeptide repeat protein 7A OS=Homo sapiens OX=9606 GN=TTC7A PE=1 SV=3
+MAAKGAHGSYLKVESELERCRAEGHWDRMPELVRQLQTLSMPGGGGNRRGSPSAAFTFPDTDDFGKLLLAEALLEQCLKENHAKIKDSMPLLEKNEPKMSEAKNYLSSILNHGRLSPQYMCEAMLILGKLHYVEGSYRDAISMYARAGIDDMSMENKPLYQMRLLSEAFVIKGLSLERLPNSIASRFRLTEREEEVITCFERASWIAQVFLQELEKTTNNSTSRHLKGCHPLDYELTYFLEAALQSAYVKNLKKGNIVKGMRELREVLRTVETKATQNFKVMAAKHLAGVLLHSLSEECYWSPLSHPLPEFMGKEESSFATQALRKPHLYEGDNLYCPKDNIEEALLLLLISESMATRDVVLSRVPEQEEDRTVSLQNAAAIYDLLSITLGRRGQYVMLSECLERAMKFAFGEFHLWYQVALSMVACGKSAYAVSLLRECVKLRPSDPTVPLMAAKVCIGSLRWLEEAEHFAMMVISLGEEAGEFLPKGYLALGLTYSLQATDATLKSKQDELHRKALQTLERAQQLAPSDPQVILYVSLQLALVRQISSAMEQLQEALKVRKDDAHALHLLALLFSAQKHHQHALDVVNMAITEHPENFNLMFTKVKLEQVLKGPEEALVTCRQVLRLWQTLYSFSQLGGLEKDGSFGEGLTMKKQSGMHLTLPDAHDADSGSRRASSIAASRLEEAMSELTMPSSVLKQGPMQLWTTLEQIWLQAAELFMEQQHLKEAGFCIQEAAGLFPTSHSVLYMRGRLAEVKGNLEEAKQLYKEALTVNPDGVRIMHSLGLMLSRLGHKSLAQKVLRDAVERQSTCHEAWQGLGEVLQAQGQNEAAVDCFLTALELEASSPVLPFSIIPREL
+>DECOY_sp|Q9ULT0|TTC7A_HUMAN Tetratricopeptide repeat protein 7A OS=Homo sapiens OX=9606 GN=TTC7A PE=1 SV=3
+LERPIISFPLVPSSAELELATLFCDVAAENQGQAQLVEGLGQWAEHCTSQREVADRLVKQALSKHGLRSLMLGLSHMIRVGDPNVTLAEKYLQKAEELNGKVEALRGRMYLVSHSTPFLGAAEQICFGAEKLHQQEMFLEAAQLWIQELTTWLQMPGQKLVSSPMTLESMAEELRSAAISSARRSGSDADHADPLTLHMGSQKKMTLGEGFSGDKELGGLQSFSYLTQWLRLVQRCTVLAEEPGKLVQELKVKTFMLNFNEPHETIAMNVVDLAHQHHKQASFLLALLHLAHADDKRVKLAEQLQEMASSIQRVLALQLSVYLIVQPDSPALQQARELTQLAKRHLEDQKSKLTADTAQLSYTLGLALYGKPLFEGAEEGLSIVMMAFHEAEELWRLSGICVKAAMLPVTPDSPRLKVCERLLSVAYASKGCAVMSLAVQYWLHFEGFAFKMARELCESLMVYQGRRGLTISLLDYIAAANQLSVTRDEEQEPVRSLVVDRTAMSESILLLLLAEEINDKPCYLNDGEYLHPKRLAQTAFSSEEKGMFEPLPHSLPSWYCEESLSHLLVGALHKAAMVKFNQTAKTEVTRLVERLERMGKVINGKKLNKVYASQLAAELFYTLEYDLPHCGKLHRSTSNNTTKELEQLFVQAIWSAREFCTIVEEERETLRFRSAISNPLRELSLGKIVFAESLLRMQYLPKNEMSMDDIGARAYMSIADRYSGEVYHLKGLILMAECMYQPSLRGHNLISSLYNKAESMKPENKELLPMSDKIKAHNEKLCQELLAEALLLKGFDDTDPFTFAASPSGRRNGGGGPMSLTQLQRVLEPMRDWHGEARCRELESEVKLYSGHAGKAAM
+>sp|Q92623|TTC9A_HUMAN Tetratricopeptide repeat protein 9A OS=Homo sapiens OX=9606 GN=TTC9 PE=1 SV=3
+MERKGSAAGAKGNPSPPAAGEGQRPPPPLCVPGGGGGAPARGQVGAAAEPAELIRRAHEFKSQGAQCYKDKKFREAIGKYHRALLELKGLLPPPGERERDSRPASPAGALKPGRLSEEQSKTVEAIEIDCYNSLAACLLQAELVNYERVKEYCLKVLKKEGENFKALYRSGVAFYHLGDYDKALYYLKEARTQQPTDTNVIRYIQLTEMKLSRCSQREKEAM
+>DECOY_sp|Q92623|TTC9A_HUMAN Tetratricopeptide repeat protein 9A OS=Homo sapiens OX=9606 GN=TTC9 PE=1 SV=3
+MAEKERQSCRSLKMETLQIYRIVNTDTPQQTRAEKLYYLAKDYDGLHYFAVGSRYLAKFNEGEKKLVKLCYEKVREYNVLEAQLLCAALSNYCDIEIAEVTKSQEESLRGPKLAGAPSAPRSDREREGPPPLLGKLELLARHYKGIAERFKKDKYCQAGQSKFEHARRILEAPEAAAGVQGRAPAGGGGGPVCLPPPPRQGEGAAPPSPNGKAGAASGKREM
+>sp|Q6ZVT0|TTL10_HUMAN Inactive polyglycylase TTLL10 OS=Homo sapiens OX=9606 GN=TTLL10 PE=1 SV=2
+MDHSCTRFIHRRGPPTRTRAGFKRGKRPRIQQRPRARVSGTIPASRLHPAPASQPGPCPAPGHCPVGPAHERPMGSSQEEGLRCQPSQPDHDADGHCGPDLEGAERASATPGPPGLLNSHRPADSDDTNAAGPSAALLEGLLLGGGKPSPHSTRPGPFFYIGGSNGATIISSYCKSKGWQRIHDSRRDDYTLKWCEVKSRDSYGSFREGEQLLYQLPNNKLLTTKIGLLSTLRGRARAMSKASKVPGGVQARLEKDAAAPALEDLPWTSPGYLRPQRVLRMEEFFPETYRLDLKHEREAFFTLFDETQIWICKPTASNQGKGIFLLRNQEEVAALQAKTRSMEDDPIHHKTPFRGPQARVVQRYIQNPLLVDGRKFDVRSYLLIACTTPYMIFFGHGYARLTLSLYDPHSSDLGGHLTNQFMQKKSPLYMLLKEHTVWSMEHLNRYISDTFWKARGLAKDWVFTTLKKRMQQIMAHCFLAAKPKLDCKLGYFDLIGCDFLIDDNFKVWLLEMNSNPALHTNCEVLKEVIPGVVIETLDLVLETFRKSLRGQKMLPLLSQRRFVLLHNGEADPRPHLGGSCSLRRWPPLPTRQAKSSGPPMPHAPDQPGARRPAPPPLVPQRPRPPGPDLDSAHDGEPQAPGTEQSGTGNRHPAQEPSPGTAKEEREEPENARP
+>DECOY_sp|Q6ZVT0|TTL10_HUMAN Inactive polyglycylase TTLL10 OS=Homo sapiens OX=9606 GN=TTLL10 PE=1 SV=2
+PRANEPEEREEKATGPSPEQAPHRNGTGSQETGPAQPEGDHASDLDPGPPRPRQPVLPPPAPRRAGPQDPAHPMPPGSSKAQRTPLPPWRRLSCSGGLHPRPDAEGNHLLVFRRQSLLPLMKQGRLSKRFTELVLDLTEIVVGPIVEKLVECNTHLAPNSNMELLWVKFNDDILFDCGILDFYGLKCDLKPKAALFCHAMIQQMRKKLTTFVWDKALGRAKWFTDSIYRNLHEMSWVTHEKLLMYLPSKKQMFQNTLHGGLDSSHPDYLSLTLRAYGHGFFIMYPTTCAILLYSRVDFKRGDVLLPNQIYRQVVRAQPGRFPTKHHIPDDEMSRTKAQLAAVEEQNRLLFIGKGQNSATPKCIWIQTEDFLTFFAEREHKLDLRYTEPFFEEMRLVRQPRLYGPSTWPLDELAPAAADKELRAQVGGPVKSAKSMARARGRLTSLLGIKTTLLKNNPLQYLLQEGERFSGYSDRSKVECWKLTYDDRRSDHIRQWGKSKCYSSIITAGNSGGIYFFPGPRTSHPSPKGGGLLLGELLAASPGAANTDDSDAPRHSNLLGPPGPTASAREAGELDPGCHGDADHDPQSPQCRLGEEQSSGMPREHAPGVPCHGPAPCPGPQSAPAPHLRSAPITGSVRARPRQQIRPRKGRKFGARTRTPPGRRHIFRTCSHDM
+>sp|A6NNM8|TTL13_HUMAN Tubulin polyglutamylase TTLL13P OS=Homo sapiens OX=9606 GN=TTLL13P PE=1 SV=2
+MEPSTCRTMESEEDYVEEKESEKCVKEGVTNPSNSSQQALLKADYKALKNGVPSPIMATKIPKKVIAPVDTGDLEAGRRKRRRKRRSLAINLTNCKYESVRRAAQMCGLKEVGEDEEWTLYWTDCAVSLERVMDMKRFQKINHFPGMTEICRKDLLARNLNRMYKLYPSEYNIFPRTWCLPADYGDFQSYGRQRKARTYICKPDSGCQGRGIFITRNPREIKPGEHMICQQYISKPLLIDGFKFDMRVYVLITSCDPLRIFTYEEGLARFATTPYMEPSHNNLDNVCMHLTNYAINKHNENFVRDGAVGSKRKLSTLNIWLQEHSYNPGELWGDIEDIIIKTIISAHSVLRHNYRTCFPQYLNGGTCACFEILGFDILLDHKLKPWLLEVNHSPSFTTDSCLDQEVKDALLCDAMTLVNLRGCDKRKVMEEDKRRVKERLFQCYRQPRESRKEKTESSHVAMLDQERYEDSHLGKYRRIYPGPDTEKYARFFKHNGSLFQETAASKAREECARQQLEEIRLKQEQQETSGTKRQKARDQNQGESAGEKSRPRAGLQSLSTHLAYRNRNWEKELLPGQLDTMRPQEIVEEEELERMKALLQRETLIRSLGIVEQLTRLQHPGPQGQKKLHESRDRLGSQELKSMSLVLLVLLRGAATEQGAPHFLHPVLPHESIPRILGALPSMNAAIPHVPRYHLQPKNFNWTGEPAAINSCSLSMKKAGRCYFSSARIRLTSQGQASRRLEAINRVLAGSVPPTLTPKQGYFLQPERVASDSWTECTLPSMVNSEHRAAKVPLCPASAPMLQRSRALLNINQFR
+>DECOY_sp|A6NNM8|TTL13_HUMAN Tubulin polyglutamylase TTLL13P OS=Homo sapiens OX=9606 GN=TTLL13P PE=1 SV=2
+RFQNINLLARSRQLMPASAPCLPVKAARHESNVMSPLTCETWSDSAVREPQLFYGQKPTLTPPVSGALVRNIAELRRSAQGQSTLRIRASSFYCRGAKKMSLSCSNIAAPEGTWNFNKPQLHYRPVHPIAANMSPLAGLIRPISEHPLVPHLFHPAGQETAAGRLLVLLVLSMSKLEQSGLRDRSEHLKKQGQPGPHQLRTLQEVIGLSRILTERQLLAKMRELEEEEVIEQPRMTDLQGPLLEKEWNRNRYALHTSLSQLGARPRSKEGASEGQNQDRAKQRKTGSTEQQEQKLRIEELQQRACEERAKSAATEQFLSGNHKFFRAYKETDPGPYIRRYKGLHSDEYREQDLMAVHSSETKEKRSERPQRYCQFLREKVRRKDEEMVKRKDCGRLNVLTMADCLLADKVEQDLCSDTTFSPSHNVELLWPKLKHDLLIDFGLIEFCACTGGNLYQPFCTRYNHRLVSHASIITKIIIDEIDGWLEGPNYSHEQLWINLTSLKRKSGVAGDRVFNENHKNIAYNTLHMCVNDLNNHSPEMYPTTAFRALGEEYTFIRLPDCSTILVYVRMDFKFGDILLPKSIYQQCIMHEGPKIERPNRTIFIGRGQCGSDPKCIYTRAKRQRGYSQFDGYDAPLCWTRPFINYESPYLKYMRNLNRALLDKRCIETMGPFHNIKQFRKMDMVRELSVACDTWYLTWEEDEGVEKLGCMQAARRVSEYKCNTLNIALSRRKRRRKRRGAELDGTDVPAIVKKPIKTAMIPSPVGNKLAKYDAKLLAQQSSNSPNTVGEKVCKESEKEEVYDEESEMTRCTSPEM
+>sp|Q9BWV7|TTLL2_HUMAN Probable tubulin polyglutamylase TTLL2 OS=Homo sapiens OX=9606 GN=TTLL2 PE=2 SV=3
+MRGRDLCSSTQSQALGSLRTTTPAFTLNIPSEANHTEQPPAGLGARLQEAGVSIPPRRGRPTPTLEKKKKPHLMAEDEPSGALLKPLVFRVDETTPAVVQSVLLERGWNKFDKQEQNAEDWNLYWRTSSFRMTEHNSVKPWQQLNHHPGTTKLTRKDCLAKHLKHMRRMYGTSLYQFIPLTFVMPNDYTKFVAEYFQERQMLGTKHSYWICKPAELSRGRGILIFSDFKDFIFDDMYIVQKYISNPLLIGRYKCDLRIYVCVTGFKPLTIYVYQEGLVRFATEKFDLSNLQNNYAHLTNSSINKSGASYEKIKEVIGHGCKWTLSRFFSYLRSWDVDDLLLWKKIHRMVILTILAIAPSVPFAANCFELFGFDILIDDNLKPWLLEVNYSPALTLDCSTDVLVKRKLVHDIIDLIYLNGLRNEGREASNATHGNSNIDAAKSDRGGLDAPDCLPYDSLSFTSRMYNEDDSVVEKAVSVRPEAAPASQLEGEMSGQDFHLSTREMPQSKPKLRSRHTPHKTLMPYASLFQSHSCKTKTSPCVLSDRGKAPDPQAGNFVLVFPFNEATLGASRNGLNVKRIIQELQKLMNKQHS
+>DECOY_sp|Q9BWV7|TTLL2_HUMAN Probable tubulin polyglutamylase TTLL2 OS=Homo sapiens OX=9606 GN=TTLL2 PE=2 SV=3
+SHQKNMLKQLEQIIRKVNLGNRSAGLTAENFPFVLVFNGAQPDPAKGRDSLVCPSTKTKCSHSQFLSAYPMLTKHPTHRSRLKPKSQPMERTSLHFDQGSMEGELQSAPAAEPRVSVAKEVVSDDENYMRSTFSLSDYPLCDPADLGGRDSKAADINSNGHTANSAERGENRLGNLYILDIIDHVLKRKVLVDTSCDLTLAPSYNVELLWPKLNDDILIDFGFLEFCNAAFPVSPAIALITLIVMRHIKKWLLLDDVDWSRLYSFFRSLTWKCGHGIVEKIKEYSAGSKNISSNTLHAYNNQLNSLDFKETAFRVLGEQYVYITLPKFGTVCVYIRLDCKYRGILLPNSIYKQVIYMDDFIFDKFDSFILIGRGRSLEAPKCIWYSHKTGLMQREQFYEAVFKTYDNPMVFTLPIFQYLSTGYMRRMHKLHKALCDKRTLKTTGPHHNLQQWPKVSNHETMRFSSTRWYLNWDEANQEQKDFKNWGRELLVSQVVAPTTEDVRFVLPKLLAGSPEDEAMLHPKKKKELTPTPRGRRPPISVGAEQLRAGLGAPPQETHNAESPINLTFAPTTTRLSGLAQSQTSSCLDRGRM
+>sp|O00295|TULP2_HUMAN Tubby-related protein 2 OS=Homo sapiens OX=9606 GN=TULP2 PE=2 SV=2
+MSQDNDTLMRDILGHELAAMRLQKLEQQRRLFEKKQRQKRQELLMVQANPDASPWLWRSCLREERLLGDRGLGNPFLRKKVSEAHLPSGIHSALGTVSCGGDGRGERGLPTPRTEAVFRNLGLQSPFLSWLPDNSDAELEEVSVENGSVSPPPFKQSPRIRRKGWQAHQRPGTRAEGESDSQDMGDAHKSPNMGPNPGMDGDCVYENLAFQKEEDLEKKREASESTGTNSSAAHNEELSKALKGEGGTDSDHMRHEASLAIRSPCPGLEEDMEAYVLRPALPGTMMQCYLTRDKHGVDKGLFPLYYLYLETSDSLQRFLLAGRKRRRSKTSNYLISLDPTHLSRDGDNFVGKVRSNVFSTKFTIFDNGVNPDREHLTRNTARIRQELGAVCYEPNVLGYLGPRKMTVILPGTNSQNQRINVQPLNEQESLLSRYQRGDKQGLLLLHNKTPSWDKENGVYTLNFHGRVTRASVKNFQIVDPKHQEHLVLQFGRVGPDTFTMDFCFPFSPLQAFSICLSSFN
+>DECOY_sp|O00295|TULP2_HUMAN Tubby-related protein 2 OS=Homo sapiens OX=9606 GN=TULP2 PE=2 SV=2
+NFSSLCISFAQLPSFPFCFDMTFTDPGVRGFQLVLHEQHKPDVIQFNKVSARTVRGHFNLTYVGNEKDWSPTKNHLLLLGQKDGRQYRSLLSEQENLPQVNIRQNQSNTGPLIVTMKRPGLYGLVNPEYCVAGLEQRIRATNRTLHERDPNVGNDFITFKTSFVNSRVKGVFNDGDRSLHTPDLSILYNSTKSRRRKRGALLFRQLSDSTELYLYYLPFLGKDVGHKDRTLYCQMMTGPLAPRLVYAEMDEELGPCPSRIALSAEHRMHDSDTGGEGKLAKSLEENHAASSNTGTSESAERKKELDEEKQFALNEYVCDGDMGPNPGMNPSKHADGMDQSDSEGEARTGPRQHAQWGKRRIRPSQKFPPPSVSGNEVSVEELEADSNDPLWSLFPSQLGLNRFVAETRPTPLGREGRGDGGCSVTGLASHIGSPLHAESVKKRLFPNGLGRDGLLREERLCSRWLWPSADPNAQVMLLEQRKQRQKKEFLRRQQELKQLRMAALEHGLIDRMLTDNDQSM
+>sp|Q5VYS8|TUT7_HUMAN Terminal uridylyltransferase 7 OS=Homo sapiens OX=9606 GN=ZCCHC6 PE=1 SV=1
+MGDTAKPYFVKRTKDRGTMDDDDFRRGHPQQDYLIIDDHAKGHGSKMEKGLQKKKITPGNYGNTPRKGPCAVSSNPYAFKNPIYSQPAWMNDSHKDQSKRWLSDEHTGNSDNWREFKPGPRIPVINRQRKDSFQENEDGYRWQDTRGCRTVRRLFHKDLTSLETTSEMEAGSPENKKQRSRPRKPRKTRNEENEQDGDLEGPVIDESVLSTKELLGLQQAEERLKRDCIDRLKRRPRNYPTAKYTCRLCDVLIESIAFAHKHIKEKRHKKNIKEKQEEELLTTLPPPTPSQINAVGIAIDKVVQEFGLHNENLEQRLEIKRIMENVFQHKLPDCSLRLYGSSCSRLGFKNSDVNIDIQFPAIMSQPDVLLLVQECLKNSDSFIDVDADFHARVPVVVCREKQSGLLCKVSAGNENACLTTKHLTALGKLEPKLVPLVIAFRYWAKLCSIDRPEEGGLPPYVFALMAIFFLQQRKEPLLPVYLGSWIEGFSLSKLGNFNLQDIEKDVVIWEHTDSAAGDTGITKEEAPRETPIKRGQVSLILDVKHQPSVPVGQLWVELLRFYALEFNLADLVISIRVKELVSRELKDWPKKRIAIEDPYSVKRNVARTLNSQPVFEYILHCLRTTYKYFALPHKITKSSLLKPLNAITCISEHSKEVINHHPDVQTKDDKLKNSVLAQGPGATSSAANTCKVQPLTLKETAESFGSPPKEEMGNEHISVHPENSDCIQADVNSDDYKGDKVYHPETGRKNEKEKVGRKGKHLLTVDQKRGEHVVCGSTRNNESESTLDLEGFQNPTAKECEGLATLDNKADLDGESTEGTEELEDSLNHFTHSVQGQTSEMIPSDEEEEDDEEEEEEEEPRLTINQREDEDGMANEDELDNTYTGSGDEDALSEEDDELGEAAKYEDVKECGKHVERALLVELNKISLKEENVCEEKNSPVDQSDFFYEFSKLIFTKGKSPTVVCSLCKREGHLKKDCPEDFKRIQLEPLPPLTPKFLNILDQVCIQCYKDFSPTIIEDQAREHIRQNLESFIRQDFPGTKLSLFGSSKNGFGFKQSDLDVCMTINGLETAEGLDCVRTIEELARVLRKHSGLRNILPITTAKVPIVKFFHLRSGLEVDISLYNTLALHNTRLLSAYSAIDPRVKYLCYTMKVFTKMCDIGDASRGSLSSYAYTLMVLYFLQQRNPPVIPVLQEIYKGEKKPEIFVDGWNIYFFDQIDELPTYWSECGKNTESVGQLWLGLLRFYTEEFDFKEHVISIRRKSLLTTFKKQWTSKYIVIEDPFDLNHNLGAGLSRKMTNFIMKAFINGRRVFGIPVKGFPKDYPSKMEYFFDPDVLTEGELAPNDRCCRICGKIGHFMKDCPMRRKVRRRRDQEDALNQRYPENKEKRSKEDKEIHNKYTEREVSTKEDKPIQCTPQKAKPMRAAADLGREKILRPPVEKWKRQDDKDLREKRCFICGREGHIKKECPQFKGSSGSLSSKYMTQGKASAKRTQQES
+>DECOY_sp|Q5VYS8|TUT7_HUMAN Terminal uridylyltransferase 7 OS=Homo sapiens OX=9606 GN=ZCCHC6 PE=1 SV=1
+SEQQTRKASAKGQTMYKSSLSGSSGKFQPCEKKIHGERGCIFCRKERLDKDDQRKWKEVPPRLIKERGLDAAARMPKAKQPTCQIPKDEKTSVERETYKNHIEKDEKSRKEKNEPYRQNLADEQDRRRRVKRRMPCDKMFHGIKGCIRCCRDNPALEGETLVDPDFFYEMKSPYDKPFGKVPIGFVRRGNIFAKMIFNTMKRSLGAGLNHNLDFPDEIVIYKSTWQKKFTTLLSKRRISIVHEKFDFEETYFRLLGLWLQGVSETNKGCESWYTPLEDIQDFFYINWGDVFIEPKKEGKYIEQLVPIVPPNRQQLFYLVMLTYAYSSLSGRSADGIDCMKTFVKMTYCLYKVRPDIASYASLLRTNHLALTNYLSIDVELGSRLHFFKVIPVKATTIPLINRLGSHKRLVRALEEITRVCDLGEATELGNITMCVDLDSQKFGFGNKSSGFLSLKTGPFDQRIFSELNQRIHERAQDEIITPSFDKYCQICVQDLINLFKPTLPPLPELQIRKFDEPCDKKLHGERKCLSCVVTPSKGKTFILKSFEYFFDSQDVPSNKEECVNEEKLSIKNLEVLLAREVHKGCEKVDEYKAAEGLEDDEESLADEDGSGTYTNDLEDENAMGDEDERQNITLRPEEEEEEEEDDEEEEDSPIMESTQGQVSHTFHNLSDELEETGETSEGDLDAKNDLTALGECEKATPNQFGELDLTSESENNRTSGCVVHEGRKQDVTLLHKGKRGVKEKENKRGTEPHYVKDGKYDDSNVDAQICDSNEPHVSIHENGMEEKPPSGFSEATEKLTLPQVKCTNAASSTAGPGQALVSNKLKDDKTQVDPHHNIVEKSHESICTIANLPKLLSSKTIKHPLAFYKYTTRLCHLIYEFVPQSNLTRAVNRKVSYPDEIAIRKKPWDKLERSVLEKVRISIVLDALNFELAYFRLLEVWLQGVPVSPQHKVDLILSVQGRKIPTERPAEEKTIGTDGAASDTHEWIVVDKEIDQLNFNGLKSLSFGEIWSGLYVPLLPEKRQQLFFIAMLAFVYPPLGGEEPRDISCLKAWYRFAIVLPVLKPELKGLATLHKTTLCANENGASVKCLLGSQKERCVVVPVRAHFDADVDIFSDSNKLCEQVLLLVDPQSMIAPFQIDINVDSNKFGLRSCSSGYLRLSCDPLKHQFVNEMIRKIELRQELNENHLGFEQVVKDIAIGVANIQSPTPPPLTTLLEEEQKEKINKKHRKEKIHKHAFAISEILVDCLRCTYKATPYNRPRRKLRDICDRKLREEAQQLGLLEKTSLVSEDIVPGELDGDQENEENRTKRPKRPRSRQKKNEPSGAEMESTTELSTLDKHFLRRVTRCGRTDQWRYGDENEQFSDKRQRNIVPIRPGPKFERWNDSNGTHEDSLWRKSQDKHSDNMWAPQSYIPNKFAYPNSSVACPGKRPTNGYNGPTIKKKQLGKEMKSGHGKAHDDIILYDQQPHGRRFDDDDMTGRDKTRKVFYPKATDGM
+>sp|Q9UPX0|TUTLB_HUMAN Protein turtle homolog B OS=Homo sapiens OX=9606 GN=IGSF9B PE=2 SV=2
+MIWYVATFIASVIGTRGLAAEGAHGLREEPEFVTARAGESVVLRCDVIHPVTGQPPPYVVEWFKFGVPIPIFIKFGYYPPHVDPEYAGRASLHDKASLRLEQVRSEDQGWYECKVLMLDQQYDTFHNGSWVHLTINAPPTFTETPPQYIEAKEGGSITMTCTAFGNPKPIVTWLKEGTLLGASGKYQVSDGSLTVTSVSREDRGAYTCRAYSIQGEAVHTTHLLVQGPPFIVSPPENITVNISQDALLTCRAEAYPGNLTYTWYWQDENVYFQNDLKLRVRILIDGTLIIFRVKPEDSGKYTCVPSNSLGRSPSASAYLTVQYPARVLNMPPVIYVPVGIHGYIRCPVDAEPPATVVKWNKDGRPLQVEKNLGWTLMEDGSIRIEEATEEALGTYTCVPYNTLGTMGQSAPARLVLKDPPYFTVLPGWEYRQEAGRELLIPCAAAGDPFPVITWRKVGKPSRSKHSALPSGSLQFRALSKEDHGEWECVATNVVTSITASTHLTVIGTSPHAPGSVRVQVSMTTANVSWEPGYDGGYEQTFSVWMKRAQFGPHDWLSLPVPPGPSWLLVDTLEPETAYQFSVLAQNKLGTSAFSEVVTVNTLAFPITTPEPLVLVTPPRCLIANRTQQGVLLSWLPPANHSFPIDRYIMEFRVAERWELLDDGIPGTEGEFFAKDLSQDTWYEFRVLAVMQDLISEPSNIAGVSSTDIFPQPDLTEDGLARPVLAGIVATICFLAAAILFSTLAACFVNKQRKRKLKRKKDPPLSITHCRKSLESPLSSGKVSPESIRTLRAPSESSDDQGQPAAKRMLSPTREKELSLYKKTKRAISSKKYSVAKAEAEAEATTPIELISRGPDGRFVMDPAEMEPSLKSRRIEGFPFAEETDMYPEFRQSDEENEDPLVPTSVAALKSQLTPLSSSQESYLPPPAYSPRFQPRGLEGPGGLEGRLQATGQARPPAPRPFHHGQYYGYLSSSSPGEVEPPPFYVPEVGSPLSSVMSSPPLPTEGPFGHPTIPEENGENASNSTLPLTQTPTGGRSPEPWGRPEFPFGGLETPAMMFPHQLPPCDVPESLQPKAGLPRGLPPTSLQVPAAYPGILSLEAPKGWAGKSPGRGPVPAPPAAKWQDRPMQPLVSQGQLRHTSQGMGIPVLPYPEPAEPGAHGGPSTFGLDTRWYEPQPRPRPSPRQARRAEPSLHQVVLQPSRLSPLTQSPLSSRTGSPELAARARPRPGLLQQAEMSEITLQPPAAVSFSRKSTPSTGSPSQSSRSGSPSYRPAMGFTTLATGYPSPPPGPAPAGPGDSLDVFGQTPSPRRTGEELLRPETPPPTLPTSGKLQRDRPAPATSPPERALSKL
+>DECOY_sp|Q9UPX0|TUTLB_HUMAN Protein turtle homolog B OS=Homo sapiens OX=9606 GN=IGSF9B PE=2 SV=2
+LKSLAREPPSTAPAPRDRQLKGSTPLTPPPTEPRLLEEGTRRPSPTQGFVDLSDGPGAPAPGPPPSPYGTALTTFGMAPRYSPSGSRSSQSPSGTSPTSKRSFSVAAPPQLTIESMEAQQLLGPRPRARAALEPSGTRSSLPSQTLPSLRSPQLVVQHLSPEARRAQRPSPRPRPQPEYWRTDLGFTSPGGHAGPEAPEPYPLVPIGMGQSTHRLQGQSVLPQMPRDQWKAAPPAPVPGRGPSKGAWGKPAELSLIGPYAAPVQLSTPPLGRPLGAKPQLSEPVDCPPLQHPFMMAPTELGGFPFEPRGWPEPSRGGTPTQTLPLTSNSANEGNEEPITPHGFPGETPLPPSSMVSSLPSGVEPVYFPPPEVEGPSSSSLYGYYQGHHFPRPAPPRAQGTAQLRGELGGPGELGRPQFRPSYAPPPLYSEQSSSLPTLQSKLAAVSTPVLPDENEEDSQRFEPYMDTEEAFPFGEIRRSKLSPEMEAPDMVFRGDPGRSILEIPTTAEAEAEAKAVSYKKSSIARKTKKYLSLEKERTPSLMRKAAPQGQDDSSESPARLTRISEPSVKGSSLPSELSKRCHTISLPPDKKRKLKRKRQKNVFCAALTSFLIAAALFCITAVIGALVPRALGDETLDPQPFIDTSSVGAINSPESILDQMVALVRFEYWTDQSLDKAFFEGETGPIGDDLLEWREAVRFEMIYRDIPFSHNAPPLWSLLVGQQTRNAILCRPPTVLVLPEPTTIPFALTNVTVVESFASTGLKNQALVSFQYATEPELTDVLLWSPGPPVPLSLWDHPGFQARKMWVSFTQEYGGDYGPEWSVNATTMSVQVRVSGPAHPSTGIVTLHTSATISTVVNTAVCEWEGHDEKSLARFQLSGSPLASHKSRSPKGVKRWTIVPFPDGAAACPILLERGAEQRYEWGPLVTFYPPDKLVLRAPASQGMTGLTNYPVCTYTGLAEETAEEIRISGDEMLTWGLNKEVQLPRGDKNWKVVTAPPEADVPCRIYGHIGVPVYIVPPMNLVRAPYQVTLYASASPSRGLSNSPVCTYKGSDEPKVRFIILTGDILIRVRLKLDNQFYVNEDQWYWTYTLNGPYAEARCTLLADQSINVTINEPPSVIFPPGQVLLHTTHVAEGQISYARCTYAGRDERSVSTVTLSGDSVQYKGSAGLLTGEKLWTVIPKPNGFATCTMTISGGEKAEIYQPPTETFTPPANITLHVWSGNHFTDYQQDLMLVKCEYWGQDESRVQELRLSAKDHLSARGAYEPDVHPPYYGFKIFIPIPVGFKFWEVVYPPPQGTVPHIVDCRLVVSEGARATVFEPEERLGHAGEAALGRTGIVSAIFTAVYWIM
+>sp|A0A075B6V5|TVA36_HUMAN T cell receptor alpha variable 36/delta variable 7 OS=Homo sapiens OX=9606 GN=TRAV36DV7 PE=3 SV=1
+MMKCPQALLAIFWLLLSWVSSEDKVVQSPLSLVVHEGDTVTLNCSYEVTNFRSLLWYKQEKKAPTFLFMLTSSGIEKKSGRLSSILDKKELFSILNITATQTGDSAIYLCAVE
+>DECOY_sp|A0A075B6V5|TVA36_HUMAN T cell receptor alpha variable 36/delta variable 7 OS=Homo sapiens OX=9606 GN=TRAV36DV7 PE=3 SV=1
+EVACLYIASDGTQTATINLISFLEKKDLISSLRGSKKEIGSSTLMFLFTPAKKEQKYWLLSRFNTVEYSCNLTVTDGEHVVLSLPSQVVKDESSVWSLLLWFIALLAQPCKMM
+>sp|A0A0B4J266|TVA41_HUMAN T cell receptor alpha variable 41 OS=Homo sapiens OX=9606 GN=TRAV41 PE=3 SV=1
+MVKIRQFLLAILWLQLSCVSAAKNEVEQSPQNLTAQEGEFITINCSYSVGISALHWLQQHPGGGIVSLFMLSSGKKKHGRLIATINIQEKHSSLHITASHPRDSAVYICAVR
+>DECOY_sp|A0A0B4J266|TVA41_HUMAN T cell receptor alpha variable 41 OS=Homo sapiens OX=9606 GN=TRAV41 PE=3 SV=1
+RVACIYVASDRPHSATIHLSSHKEQINITAILRGHKKKGSSLMFLSVIGGGPHQQLWHLASIGVSYSCNITIFEGEQATLNQPSQEVENKAASVCSLQLWLIALLFQRIKVM
+>sp|A0A075B6T7|TVA6_HUMAN T cell receptor alpha variable 6 OS=Homo sapiens OX=9606 GN=TRAV6 PE=3 SV=2
+MAFWLRSLGLHFRPHLGRRMESFLGGVLLILWLQVDWVKSQKIEQNSEALNIQEGKTATLTCNYTNYSPAYLQWYRQDPGRGPVFLLLIRENEKEKRKERLKVTFDTTLKQSLFHITASQPADSATYLCALD
+>DECOY_sp|A0A075B6T7|TVA6_HUMAN T cell receptor alpha variable 6 OS=Homo sapiens OX=9606 GN=TRAV6 PE=3 SV=2
+DLACLYTASDAPQSATIHFLSQKLTTDFTVKLREKRKEKENERILLLFVPGRGPDQRYWQLYAPSYNTYNCTLTATKGEQINLAESNQEIKQSKVWDVQLWLILLVGGLFSEMRRGLHPRFHLGLSRLWFAM
+>sp|A0A0A6YYJ7|TVA83_HUMAN T cell receptor alpha variable 8-3 OS=Homo sapiens OX=9606 GN=TRAV8-3 PE=3 SV=5
+MLLELIPLLGIHFVLRTARAQSVTQPDIHITVSEGASLELRCNYSYGATPYLFWYVQSPGQGLQLLLKYFSGDTLVQGIKGFEAEFKRSQSSFNLRKPSVHWSDAAEYFCAVG
+>DECOY_sp|A0A0A6YYJ7|TVA83_HUMAN T cell receptor alpha variable 8-3 OS=Homo sapiens OX=9606 GN=TRAV8-3 PE=3 SV=5
+GVACFYEAADSWHVSPKRLNFSSQSRKFEAEFGKIGQVLTDGSFYKLLLQLGQGPSQVYWFLYPTAGYSYNCRLELSAGESVTIHIDPQTVSQARATRLVFHIGLLPILELLM
+>sp|A0A075B6T8|TVA91_HUMAN T cell receptor alpha variable 9-1 OS=Homo sapiens OX=9606 GN=TRAV9-1 PE=3 SV=1
+MNSSPGPAIALFLMFGGINGDSVVQTEGQVLPSEGDSLIVNCSYETTQYPSLFWYVQYPGEGPQLHLKAMKANDKGRNKGFEAMYRKETTSFHLEKDSVQESDSAVYFCALS
+>DECOY_sp|A0A075B6T8|TVA91_HUMAN T cell receptor alpha variable 9-1 OS=Homo sapiens OX=9606 GN=TRAV9-1 PE=3 SV=1
+SLACFYVASDSEQVSDKELHFSTTEKRYMAEFGKNRGKDNAKMAKLHLQPGEGPYQVYWFLSPYQTTEYSCNVILSDGESPLVQGETQVVSDGNIGGFMLFLAIAPGPSSNM
+>sp|A0A0B4J271|TVAL3_HUMAN T cell receptor alpha variable 12-3 OS=Homo sapiens OX=9606 GN=TRAV12-3 PE=1 SV=1
+MMKSLRVLLVILWLQLSWVWSQQKEVEQDPGPLSVPEGAIVSLNCTYSNSAFQYFMWYRQYSRKGPELLMYTYSSGNKEDGRFTAQVDKSSKYISLFIRDSQPSDSATYLCAMS
+>DECOY_sp|A0A0B4J271|TVAL3_HUMAN T cell receptor alpha variable 12-3 OS=Homo sapiens OX=9606 GN=TRAV12-3 PE=1 SV=1
+SMACLYTASDSPQSDRIFLSIYKSSKDVQATFRGDEKNGSSYTYMLLEPGKRSYQRYWMFYQFASNSYTCNLSVIAGEPVSLPGPDQEVEKQQSWVWSLQLWLIVLLVRLSKMM
+>sp|A0A0A6YYD4|TVB13_HUMAN T cell receptor beta variable 13 OS=Homo sapiens OX=9606 GN=TRBV13 PE=3 SV=5
+MLSPDLPDSAWNTRLLCRVMLCLLGAGSVAAGVIQSPRHLIKEKRETATLKCYPIPRHDTVYWYQQGPGQDPQFLISFYEKMQSDKGSIPDRFSAQQFSDYHSELNMSSLELGDSALYFCASSL
+>DECOY_sp|A0A0A6YYD4|TVB13_HUMAN T cell receptor beta variable 13 OS=Homo sapiens OX=9606 GN=TRBV13 PE=3 SV=5
+LSSACFYLASDGLELSSMNLESHYDSFQQASFRDPISGKDSQMKEYFSILFQPDQGPGQQYWYVTDHRPIPYCKLTATERKEKILHRPSQIVGAAVSGAGLLCLMVRCLLRTNWASDPLDPSLM
+>sp|A0A584|TVBK2_HUMAN T cell receptor beta variable 11-2 OS=Homo sapiens OX=9606 GN=TRBV11-2 PE=3 SV=1
+MGTRLLCWAALCLLGAELTEAGVAQSPRYKIIEKRQSVAFWCNPISGHATLYWYQQILGQGPKLLIQFQNNGVVDDSQLPKDRFSAERLKGVDSTLKIQPAKLEDSAVYLCASSL
+>DECOY_sp|A0A584|TVBK2_HUMAN T cell receptor beta variable 11-2 OS=Homo sapiens OX=9606 GN=TRBV11-2 PE=3 SV=1
+LSSACLYVASDELKAPQIKLTSDVGKLREASFRDKPLQSDDVVGNNQFQILLKPGQGLIQQYWYLTAHGSIPNCWFAVSQRKEIIKYRPSQAVGAETLEAGLLCLAAWCLLRTGM
+>sp|A0A075B6N2|TVBT1_HUMAN T cell receptor beta variable 20-1 OS=Homo sapiens OX=9606 GN=TRBV20-1 PE=3 SV=1
+MLLLLLLLGPGSGLGAVVSQHPSRVICKSGTSVKIECRSLDFQATTMFWYRQFPKQSLMLMATSNEGSKATYEQGVEKDKFLINHASLTLSTLTVTSAHPEDSSFYICSAR
+>DECOY_sp|A0A075B6N2|TVBT1_HUMAN T cell receptor beta variable 20-1 OS=Homo sapiens OX=9606 GN=TRBV20-1 PE=3 SV=1
+RASCIYFSSDEPHASTVTLTSLTLSAHNILFKDKEVGQEYTAKSGENSTAMLMLSQKPFQRYWFMTTAQFDLSRCEIKVSTGSKCIVRSPHQSVVAGLGSGPGLLLLLLLM
+>sp|Q12792|TWF1_HUMAN Twinfilin-1 OS=Homo sapiens OX=9606 GN=TWF1 PE=1 SV=3
+MSHQTGIQASEDVKEIFARARNGKYRLLKISIENEQLVIGSYSQPSDSWDKDYDSFVLPLLEDKQPCYILFRLDSQNAQGYEWIFIAWSPDHSHVRQKMLYAATRATLKKEFGGGHIKDEVFGTVKEDVSLHGYKKYLLSQSSPAPLTAAEEELRQIKINEVQTDVGVDTKHQTLQGVAFPISREAFQALEKLNNRQLNYVQLEIDIKNEIIILANTTNTELKDLPKRIPKDSARYHFFLYKHSHEGDYLESIVFIYSMPGYTCSIRERMLYSSCKSRLLEIVERQLQMDVIRKIEIDNGDELTADFLYEEVHPKQHAHKQSFAKPKGPAGKRGIRRLIRGPAETEATTD
+>DECOY_sp|Q12792|TWF1_HUMAN Twinfilin-1 OS=Homo sapiens OX=9606 GN=TWF1 PE=1 SV=3
+DTTAETEAPGRILRRIGRKGAPGKPKAFSQKHAHQKPHVEEYLFDATLEDGNDIEIKRIVDMQLQREVIELLRSKCSSYLMRERISCTYGPMSYIFVISELYDGEHSHKYLFFHYRASDKPIRKPLDKLETNTTNALIIIENKIDIELQVYNLQRNNLKELAQFAERSIPFAVGQLTQHKTDVGVDTQVENIKIQRLEEEAATLPAPSSQSLLYKKYGHLSVDEKVTGFVEDKIHGGGFEKKLTARTAAYLMKQRVHSHDPSWAIFIWEYGQANQSDLRFLIYCPQKDELLPLVFSDYDKDWSDSPQSYSGIVLQENEISIKLLRYKGNRARAFIEKVDESAQIGTQHSM
+>sp|Q9Y6I9|TX264_HUMAN Testis-expressed protein 264 OS=Homo sapiens OX=9606 GN=TEX264 PE=1 SV=1
+MSDLLLLGLIGGLTLLLLLTLLAFAGYSGLLAGVEVSAGSPPIRNVTVAYKFHMGLYGETGRLFTESCSISPKLRSIAVYYDNPHMVPPDKCRCAVGSILSEGEESPSPELIDLYQKFGFKVFSFPAPSHVVTATFPYTTILSIWLATRRVHPALDTYIKERKLCAYPRLEIYQEDQIHFMCPLARQGDFYVPEMKETEWKWRGLVEAIDTQVDGTGADTMSDTSSVSLEVSPGSRETSAATLSPGASSRGWDDGDTRSEHSYSESGASGSSFEELDLEGEGPLGESRLDPGTEPLGTTKWLWEPTAPEKGKE
+>DECOY_sp|Q9Y6I9|TX264_HUMAN Testis-expressed protein 264 OS=Homo sapiens OX=9606 GN=TEX264 PE=1 SV=1
+EKGKEPATPEWLWKTTGLPETGPDLRSEGLPGEGELDLEEFSSGSAGSESYSHESRTDGDDWGRSSAGPSLTAASTERSGPSVELSVSSTDSMTDAGTGDVQTDIAEVLGRWKWETEKMEPVYFDGQRALPCMFHIQDEQYIELRPYACLKREKIYTDLAPHVRRTALWISLITTYPFTATVVHSPAPFSFVKFGFKQYLDILEPSPSEEGESLISGVACRCKDPPVMHPNDYYVAISRLKPSISCSETFLRGTEGYLGMHFKYAVTVNRIPPSGASVEVGALLGSYGAFALLTLLLLLTLGGILGLLLLDSM
+>sp|O95881|TXD12_HUMAN Thioredoxin domain-containing protein 12 OS=Homo sapiens OX=9606 GN=TXNDC12 PE=1 SV=1
+METRPRLGATCLLGFSFLLLVISSDGHNGLGKGFGDHIHWRTLEDGKKEAAASGLPLMVIIHKSWCGACKALKPKFAESTEISELSHNFVMVNLEDEEEPKDEDFSPDGGYIPRILFLDPSGKVHPEIINENGNPSYKYFYVSAEQVVQGMKEAQERLTGDAFRKKHLEDEL
+>DECOY_sp|O95881|TXD12_HUMAN Thioredoxin domain-containing protein 12 OS=Homo sapiens OX=9606 GN=TXNDC12 PE=1 SV=1
+LEDELHKKRFADGTLREQAEKMGQVVQEASVYFYKYSPNGNENIIEPHVKGSPDLFLIRPIYGGDPSFDEDKPEEEDELNVMVFNHSLESIETSEAFKPKLAKCAGCWSKHIIVMLPLGSAAAEKKGDELTRWHIHDGFGKGLGNHGDSSIVLLLFSFGLLCTAGLRPRTEM
+>sp|Q9BRA2|TXD17_HUMAN Thioredoxin domain-containing protein 17 OS=Homo sapiens OX=9606 GN=TXNDC17 PE=1 SV=1
+MARYEEVSVSGFEEFHRAVEQHNGKTIFAYFTGSKDAGGKSWCPDCVQAEPVVREGLKHISEGCVFIYCQVGEKPYWKDPNNDFRKNLKVTAVPTLLKYGTPQKLVESECLQANLVEMLFSED
+>DECOY_sp|Q9BRA2|TXD17_HUMAN Thioredoxin domain-containing protein 17 OS=Homo sapiens OX=9606 GN=TXNDC17 PE=1 SV=1
+DESFLMEVLNAQLCESEVLKQPTGYKLLTPVATVKLNKRFDNNPDKWYPKEGVQCYIFVCGESIHKLGERVVPEAQVCDPCWSKGGADKSGTFYAFITKGNHQEVARHFEEFGSVSVEEYRAM
+>sp|P42681|TXK_HUMAN Tyrosine-protein kinase TXK OS=Homo sapiens OX=9606 GN=TXK PE=1 SV=3
+MILSSYNTIQSVFCCCCCCSVQKRQMRTQISLSTDEELPEKYTQRRRPWLSQLSNKKQSNTGRVQPSKRKPLPPLPPSEVAEEKIQVKALYDFLPREPCNLALRRAEEYLILEKYNPHWWKARDRLGNEGLIPSNYVTENKITNLEIYEWYHRNITRNQAEHLLRQESKEGAFIVRDSRHLGSYTISVFMGARRSTEAAIKHYQIKKNDSGQWYVAERHAFQSIPELIWYHQHNAAGLMTRLRYPVGLMGSCLPATAGFSYEKWEIDPSELAFIKEIGSGQFGVVHLGEWRSHIQVAIKAINEGSMSEEDFIEEAKVMMKLSHSKLVQLYGVCIQRKPLYIVTEFMENGCLLNYLRENKGKLRKEMLLSVCQDICEGMEYLERNGYIHRDLAARNCLVSSTCIVKISDFGMTRYVLDDEYVSSFGAKFPIKWSPPEVFLFNKYSSKSDVWSFGVLMWEVFTEGKMPFENKSNLQVVEAISEGFRLYRPHLAPMSIYEVMYSCWHEKPEGRPTFAELLRAVTEIAETW
+>DECOY_sp|P42681|TXK_HUMAN Tyrosine-protein kinase TXK OS=Homo sapiens OX=9606 GN=TXK PE=1 SV=3
+WTEAIETVARLLEAFTPRGEPKEHWCSYMVEYISMPALHPRYLRFGESIAEVVQLNSKNEFPMKGETFVEWMLVGFSWVDSKSSYKNFLFVEPPSWKIPFKAGFSSVYEDDLVYRTMGFDSIKVICTSSVLCNRAALDRHIYGNRELYEMGECIDQCVSLLMEKRLKGKNERLYNLLCGNEMFETVIYLPKRQICVGYLQVLKSHSLKMMVKAEEIFDEESMSGENIAKIAVQIHSRWEGLHVVGFQGSGIEKIFALESPDIEWKEYSFGATAPLCSGMLGVPYRLRTMLGAANHQHYWILEPISQFAHREAVYWQGSDNKKIQYHKIAAETSRRAGMFVSITYSGLHRSDRVIFAGEKSEQRLLHEAQNRTINRHYWEYIELNTIKNETVYNSPILGENGLRDRAKWWHPNYKELILYEEARRLALNCPERPLFDYLAKVQIKEEAVESPPLPPLPKRKSPQVRGTNSQKKNSLQSLWPRRRQTYKEPLEEDTSLSIQTRMQRKQVSCCCCCCFVSQITNYSSLIM
+>sp|P83876|TXN4A_HUMAN Thioredoxin-like protein 4A OS=Homo sapiens OX=9606 GN=TXNL4A PE=1 SV=1
+MSYMLPHLHNGWQVDQAILSEEDRVVVIRFGHDWDPTCMKMDEVLYSIAEKVKNFAVIYLVDITEVPDFNKMYELYDPCTVMFFFRNKHIMIDLGTGNNNKINWAMEDKQEMVDIIETVYRGARKGRGLVVSPKDYSTKYRY
+>DECOY_sp|P83876|TXN4A_HUMAN Thioredoxin-like protein 4A OS=Homo sapiens OX=9606 GN=TXNL4A PE=1 SV=1
+YRYKTSYDKPSVVLGRGKRAGRYVTEIIDVMEQKDEMAWNIKNNNGTGLDIMIHKNRFFFMVTCPDYLEYMKNFDPVETIDVLYIVAFNKVKEAISYLVEDMKMCTPDWDHGFRIVVVRDEESLIAQDVQWGNHLHPLMYSM
+>sp|Q9BZA5|TXNG2_HUMAN Putative gamma-taxilin 2 OS=Homo sapiens OX=9606 GN=TXLNGY PE=5 SV=3
+MEEAGLCGLREKADMLCNSESHDILQHQDSNCSATSNKHLLEDEEGRDFITKNRSWVSPVHCTQESRRELPEQEVAPPSGQQALQCNRNKEKVLGKEVLLLMQALNTLSTPEEKLAALCKKYADLGNSPLL
+>DECOY_sp|Q9BZA5|TXNG2_HUMAN Putative gamma-taxilin 2 OS=Homo sapiens OX=9606 GN=TXLNGY PE=5 SV=3
+LLPSNGLDAYKKCLAALKEEPTSLTNLAQMLLLVEKGLVKEKNRNCQLAQQGSPPAVEQEPLERRSEQTCHVPSVWSRNKTIFDRGEEDELLHKNSTASCNSDQHQLIDHSESNCLMDAKERLGCLGAEEM
+>sp|Q9BQ61|TRIR_HUMAN Telomerase RNA component interacting RNase OS=Homo sapiens OX=9606 GN=TRIR PE=1 SV=1
+MAARGRRAEPQGREAPGPAGGGGGGSRWAESGSGTSPESGDEEVSGAGSSPVSGGVNLFANDGSFLELFKRKMEEEQRQRQEEPPPGPQRPDQSAAAAGPGDPKRKGGPGSTLSFVGKRRGGNKLALKTGIVAKKQKTEDEVLTSKGDAWAKYMAEVKKYKAHQCGDDDKTRPLVK
+>DECOY_sp|Q9BQ61|TRIR_HUMAN Telomerase RNA component interacting RNase OS=Homo sapiens OX=9606 GN=TRIR PE=1 SV=1
+KVLPRTKDDDGCQHAKYKKVEAMYKAWADGKSTLVEDETKQKKAVIGTKLALKNGGRRKGVFSLTSGPGGKRKPDGPGAAAASQDPRQPGPPPEEQRQRQEEEMKRKFLELFSGDNAFLNVGGSVPSSGAGSVEEDGSEPSTGSGSEAWRSGGGGGGAPGPAERGQPEARRGRAAM
+>sp|Q7Z4G4|TRM11_HUMAN tRNA (guanine(10)-N2)-methyltransferase homolog OS=Homo sapiens OX=9606 GN=TRMT11 PE=1 SV=1
+MALSCTLNRYLLLMAQEHLEFRLPEIKSLLLLFGGQFASSQETYGKSPFWILSIPSEDIARNLMKRTVCAKSIFELWGHGQSPEELYSSLKNYPVEKMVPFLHSDSTYKIKIHTFNKTLTQEEKIKRIDALEFLPFEGKVNLKKPQHVFSVLEDYGLDPNCIPENPHNIYFGRWIADGQRELIESYSVKKRHFIGNTSMDAGLSFIMANHGKVKENDIVFDPFVGTGGLLIACAHFGAYVYGTDIDYNTVHGLGKATRKNQKWRGPDENIRANLRQYGLEKYYLDVLVSDASKPSWRKGTYFDAIITDPPYGIRESTRRTGSQKEIPKGIEKWEKCPESHVPVSLSYHLSDMFLDLLNFAAETLVLGGRLVYWLPVYTPEYTEEMVPWHPCLELVSNCEQKLSSHTSRRLITMEKVKKFENRDQYSHLLSDHFLPYQGHNSFREKYFSGVTKRIAKEEKSTQE
+>DECOY_sp|Q7Z4G4|TRM11_HUMAN tRNA (guanine(10)-N2)-methyltransferase homolog OS=Homo sapiens OX=9606 GN=TRMT11 PE=1 SV=1
+EQTSKEEKAIRKTVGSFYKERFSNHGQYPLFHDSLLHSYQDRNEFKKVKEMTILRRSTHSSLKQECNSVLELCPHWPVMEETYEPTYVPLWYVLRGGLVLTEAAFNLLDLFMDSLHYSLSVPVHSEPCKEWKEIGKPIEKQSGTRRTSERIGYPPDTIIADFYTGKRWSPKSADSVLVDLYYKELGYQRLNARINEDPGRWKQNKRTAKGLGHVTNYDIDTGYVYAGFHACAILLGGTGVFPDFVIDNEKVKGHNAMIFSLGADMSTNGIFHRKKVSYSEILERQGDAIWRGFYINHPNEPICNPDLGYDELVSFVHQPKKLNVKGEFPLFELADIRKIKEEQTLTKNFTHIKIKYTSDSHLFPVMKEVPYNKLSSYLEEPSQGHGWLEFISKACVTRKMLNRAIDESPISLIWFPSKGYTEQSSAFQGGFLLLLSKIEPLRFELHEQAMLLLYRNLTCSLAM
+>sp|Q9NUP7|TRM13_HUMAN tRNA:m(4)X modification enzyme TRM13 homolog OS=Homo sapiens OX=9606 GN=TRMT13 PE=1 SV=2
+MATSATSPHAPGFPAEGRCGYYVEKKKRFCRMVVAAGKRFCGEHAGAAEEEDARKRILCPLDPKHTVYEDQLAKHLKKCNSREKPKPDFYIQDINAGLRDETEIPEQLVPISSLSEEQLEKLIKKLRKASEGLNSTLKDHIMSHPALHDALNDPKNGDSATKHLKQQASILGNIENLKLLGPRRCFVEFGAGKGKLSHWVDIALKDAEKVHFILVEKVTTRFKVDGKHRKKNSVFERLQIDIQHLCLNKIPVLREEKLPVVGIGKHLCGMATDLALRCLVETYAASFEERNEEPLAKRIKNDKTEKEIYTLAKEGNEKNVPEKWNPVAGIVIALCCHHRCDWRHYVGKEYFRALGLGAVEFHYFQRMSSWATCGMRKTSLETSNSTTKRQDNQNDDSEEHDDGGYRITDDGADCLPGLLSVEEKKKIGHLCKLLIDQGRIQYLQQKGFSPALQYYTDPLVSLENVLLTALPNHSSSPETTA
+>DECOY_sp|Q9NUP7|TRM13_HUMAN tRNA:m(4)X modification enzyme TRM13 homolog OS=Homo sapiens OX=9606 GN=TRMT13 PE=1 SV=2
+ATTEPSSSHNPLATLLVNELSVLPDTYYQLAPSFGKQQLYQIRGQDILLKCLHGIKKKEEVSLLGPLCDAGDDTIRYGGDDHEESDDNQNDQRKTTSNSTELSTKRMGCTAWSSMRQFYHFEVAGLGLARFYEKGVYHRWDCRHHCCLAIVIGAVPNWKEPVNKENGEKALTYIEKETKDNKIRKALPEENREEFSAAYTEVLCRLALDTAMGCLHKGIGVVPLKEERLVPIKNLCLHQIDIQLREFVSNKKRHKGDVKFRTTVKEVLIFHVKEADKLAIDVWHSLKGKGAGFEVFCRRPGLLKLNEINGLISAQQKLHKTASDGNKPDNLADHLAPHSMIHDKLTSNLGESAKRLKKILKELQEESLSSIPVLQEPIETEDRLGANIDQIYFDPKPKERSNCKKLHKALQDEYVTHKPDLPCLIRKRADEEEAAGAHEGCFRKGAAVVMRCFRKKKEVYYGCRGEAPFGPAHPSTASTAM
+>sp|P48995|TRPC1_HUMAN Short transient receptor potential channel 1 OS=Homo sapiens OX=9606 GN=TRPC1 PE=1 SV=1
+MMAALYPSTDLSGASSSSLPSSPSSSSPNEVMALKDVREVKEENTLNEKLFLLACDKGDYYMVKKILEENSSGDLNINCVDVLGRNAVTITIENENLDILQLLLDYGCQSADALLVAIDSEVVGAVDILLNHRPKRSSRPTIVKLMERIQNPEYSTTMDVAPVILAAHRNNYEILTMLLKQDVSLPKPHAVGCECTLCSAKNKKDSLRHSRFRLDIYRCLASPALIMLTEEDPILRAFELSADLKELSLVEVEFRNDYEELARQCKMFAKDLLAQARNSRELEVILNHTSSDEPLDKRGLLEERMNLSRLKLAIKYNQKEFVSQSNCQQFLNTVWFGQMSGYRRKPTCKKIMTVLTVGIFWPVLSLCYLIAPKSQFGRIIHTPFMKFIIHGASYFTFLLLLNLYSLVYNEDKKNTMGPALERIDYLLILWIIGMIWSDIKRLWYEGLEDFLEESRNQLSFVMNSLYLATFALKVVAHNKFHDFADRKDWDAFHPTLVAEGLFAFANVLSYLRLFFMYTTSSILGPLQISMGQMLQDFGKFLGMFLLVLFSFTIGLTQLYDKGYTSKEQKDCVGIFCEQQSNDTFHSFIGTCFALFWYIFSLAHVAIFVTRFSYGEELQSFVGAVIVGTYNVVVVIVLTKLLVAMLHKSFQLIANHEDKEWKFARAKLWLSYFDDKCTLPPPFNIIPSPKTICYMISSLSKWICSHTSKGKVKRQNSLKEWRNLKQKRDENYQKVMCCLVHRYLTSMRQKMQSTDQATVENLNELRQDLSKFRNEIRDLLGFRTSKYAMFYPRN
+>DECOY_sp|P48995|TRPC1_HUMAN Short transient receptor potential channel 1 OS=Homo sapiens OX=9606 GN=TRPC1 PE=1 SV=1
+NRPYFMAYKSTRFGLLDRIENRFKSLDQRLENLNEVTAQDTSQMKQRMSTLYRHVLCCMVKQYNEDRKQKLNRWEKLSNQRKVKGKSTHSCIWKSLSSIMYCITKPSPIINFPPPLTCKDDFYSLWLKARAFKWEKDEHNAILQFSKHLMAVLLKTLVIVVVVNYTGVIVAGVFSQLEEGYSFRTVFIAVHALSFIYWFLAFCTGIFSHFTDNSQQECFIGVCDKQEKSTYGKDYLQTLGITFSFLVLLFMGLFKGFDQLMQGMSIQLPGLISSTTYMFFLRLYSLVNAFAFLGEAVLTPHFADWDKRDAFDHFKNHAVVKLAFTALYLSNMVFSLQNRSEELFDELGEYWLRKIDSWIMGIIWLILLYDIRELAPGMTNKKDENYVLSYLNLLLLFTFYSAGHIIFKMFPTHIIRGFQSKPAILYCLSLVPWFIGVTLVTMIKKCTPKRRYGSMQGFWVTNLFQQCNSQSVFEKQNYKIALKLRSLNMREELLGRKDLPEDSSTHNLIVELERSNRAQALLDKAFMKCQRALEEYDNRFEVEVLSLEKLDASLEFARLIPDEETLMILAPSALCRYIDLRFRSHRLSDKKNKASCLTCECGVAHPKPLSVDQKLLMTLIEYNNRHAALIVPAVDMTTSYEPNQIREMLKVITPRSSRKPRHNLLIDVAGVVESDIAVLLADASQCGYDLLLQLIDLNENEITITVANRGLVDVCNINLDGSSNEELIKKVMYYDGKDCALLFLKENLTNEEKVERVDKLAMVENPSSSSPSSPLSSSSAGSLDTSPYLAAMM
+>sp|O94759|TRPM2_HUMAN Transient receptor potential cation channel subfamily M member 2 OS=Homo sapiens OX=9606 GN=TRPM2 PE=1 SV=2
+MEPSALRKAGSEQEEGFEGLPRRVTDLGMVSNLRRSNSSLFKSWRLQCPFGNNDKQESLSSWIPENIKKKECVYFVESSKLSDAGKVVCQCGYTHEQHLEEATKPHTFQGTQWDPKKHVQEMPTDAFGDIVFTGLSQKVKKYVRVSQDTPSSVIYHLMTQHWGLDVPNLLISVTGGAKNFNMKPRLKSIFRRGLVKVAQTTGAWIITGGSHTGVMKQVGEAVRDFSLSSSYKEGELITIGVATWGTVHRREGLIHPTGSFPAEYILDEDGQGNLTCLDSNHSHFILVDDGTHGQYGVEIPLRTRLEKFISEQTKERGGVAIKIPIVCVVLEGGPGTLHTIDNATTNGTPCVVVEGSGRVADVIAQVANLPVSDITISLIQQKLSVFFQEMFETFTESRIVEWTKKIQDIVRRRQLLTVFREGKDGQQDVDVAILQALLKASRSQDHFGHENWDHQLKLAVAWNRVDIARSEIFMDEWQWKPSDLHPTMTAALISNKPEFVKLFLENGVQLKEFVTWDTLLYLYENLDPSCLFHSKLQKVLVEDPERPACAPAAPRLQMHHVAQVLRELLGDFTQPLYPRPRHNDRLRLLLPVPHVKLNVQGVSLRSLYKRSSGHVTFTMDPIRDLLIWAIVQNRRELAGIIWAQSQDCIAAALACSKILKELSKEEEDTDSSEEMLALAEEYEHRAIGVFTECYRKDEERAQKLLTRVSEAWGKTTCLQLALEAKDMKFVSHGGIQAFLTKVWWGQLSVDNGLWRVTLCMLAFPLLLTGLISFREKRLQDVGTPAARARAFFTAPVVVFHLNILSYFAFLCLFAYVLMVDFQPVPSWCECAIYLWLFSLVCEEMRQLFYDPDECGLMKKAALYFSDFWNKLDVGAILLFVAGLTCRLIPATLYPGRVILSLDFILFCLRLMHIFTISKTLGPKIIIVKRMMKDVFFFLFLLAVWVVSFGVAKQAILIHNERRVDWLFRGAVYHSYLTIFGQIPGYIDGVNFNPEHCSPNGTDPYKPKCPESDATQQRPAFPEWLTVLLLCLYLLFTNILLLNLLIAMFNYTFQQVQEHTDQIWKFQRHDLIEEYHGRPAAPPPFILLSHLQLFIKRVVLKTPAKRHKQLKNKLEKNEEAALLSWEIYLKENYLQNRQFQQKQRPEQKIEDISNKVDAMVDLLDLDPLKRSGSMEQRLASLEEQVAQTAQALHWIVRTLRASGFSSEADVPTLASQKAAEEPDAEPGGRKKTEEPGDSYHVNARHLLYPNCPVTRFPVPNEKVPWETEFLIYDPPFYTAERKDAAAMDPMGDTLEPLSTIQYNVVDGLRDRRSFHGPYTVQAGLPLNPMGRTGLRGRGSLSCFGPNHTLYPMVTRWRRNEDGAICRKSIKKMLEVLVVKLPLSEHWALPGGSREPGEMLPRKLKRILRQEHWPSFENLLKCGMEVYKGYMDDPRNTDNAWIETVAVSVHFQDQNDVELNRLNSNLHACDSGASIRWQVVDRRIPLYANHKTLLQKAAAEFGAHY
+>DECOY_sp|O94759|TRPM2_HUMAN Transient receptor potential cation channel subfamily M member 2 OS=Homo sapiens OX=9606 GN=TRPM2 PE=1 SV=2
+YHAGFEAAAKQLLTKHNAYLPIRRDVVQWRISAGSDCAHLNSNLRNLEVDNQDQFHVSVAVTEIWANDTNRPDDMYGKYVEMGCKLLNEFSPWHEQRLIRKLKRPLMEGPERSGGPLAWHESLPLKVVLVELMKKISKRCIAGDENRRWRTVMPYLTHNPGFCSLSGRGRLGTRGMPNLPLGAQVTYPGHFSRRDRLGDVVNYQITSLPELTDGMPDMAAADKREATYFPPDYILFETEWPVKENPVPFRTVPCNPYLLHRANVHYSDGPEETKKRGGPEADPEEAAKQSALTPVDAESSFGSARLTRVIWHLAQATQAVQEELSALRQEMSGSRKLPDLDLLDVMADVKNSIDEIKQEPRQKQQFQRNQLYNEKLYIEWSLLAAEENKELKNKLQKHRKAPTKLVVRKIFLQLHSLLIFPPPAAPRGHYEEILDHRQFKWIQDTHEQVQQFTYNFMAILLNLLLINTFLLYLCLLLVTLWEPFAPRQQTADSEPCKPKYPDTGNPSCHEPNFNVGDIYGPIQGFITLYSHYVAGRFLWDVRRENHILIAQKAVGFSVVWVALLFLFFFVDKMMRKVIIIKPGLTKSITFIHMLRLCFLIFDLSLIVRGPYLTAPILRCTLGAVFLLIAGVDLKNWFDSFYLAAKKMLGCEDPDYFLQRMEECVLSFLWLYIACECWSPVPQFDVMLVYAFLCLFAFYSLINLHFVVVPATFFARARAAPTGVDQLRKERFSILGTLLLPFALMCLTVRWLGNDVSLQGWWVKTLFAQIGGHSVFKMDKAELALQLCTTKGWAESVRTLLKQAREEDKRYCETFVGIARHEYEEALALMEESSDTDEEEKSLEKLIKSCALAAAICDQSQAWIIGALERRNQVIAWILLDRIPDMTFTVHGSSRKYLSRLSVGQVNLKVHPVPLLLRLRDNHRPRPYLPQTFDGLLERLVQAVHHMQLRPAAPACAPREPDEVLVKQLKSHFLCSPDLNEYLYLLTDWTVFEKLQVGNELFLKVFEPKNSILAATMTPHLDSPKWQWEDMFIESRAIDVRNWAVALKLQHDWNEHGFHDQSRSAKLLAQLIAVDVDQQGDKGERFVTLLQRRRVIDQIKKTWEVIRSETFTEFMEQFFVSLKQQILSITIDSVPLNAVQAIVDAVRGSGEVVVCPTGNTTANDITHLTGPGGELVVCVIPIKIAVGGREKTQESIFKELRTRLPIEVGYQGHTGDDVLIFHSHNSDLCTLNGQGDEDLIYEAPFSGTPHILGERRHVTGWTAVGITILEGEKYSSSLSFDRVAEGVQKMVGTHSGGTIIWAGTTQAVKVLGRRFISKLRPKMNFNKAGGTVSILLNPVDLGWHQTMLHYIVSSPTDQSVRVYKKVKQSLGTFVIDGFADTPMEQVHKKPDWQTGQFTHPKTAEELHQEHTYGCQCVVKGADSLKSSEVFYVCEKKKINEPIWSSLSEQKDNNGFPCQLRWSKFLSSNSRRLNSVMGLDTVRRPLGEFGEEQESGAKRLASPEM
+>sp|Q9NZQ8|TRPM5_HUMAN Transient receptor potential cation channel subfamily M member 5 OS=Homo sapiens OX=9606 GN=TRPM5 PE=2 SV=1
+MQDVQGPRPGSPGDAEDRRELGLHRGEVNFGGSGKKRGKFVRVPSGVAPSVLFDLLLAEWHLPAPNLVVSLVGEEQPFAMKSWLRDVLRKGLVKAAQSTGAWILTSALRVGLARHVGQAVRDHSLASTSTKVRVVAVGMASLGRVLHRRILEEAQEDFPVHYPEDDGGSQGPLCSLDSNLSHFILVEPGPPGKGDGLTELRLRLEKHISEQRAGYGGTGSIEIPVLCLLVNGDPNTLERISRAVEQAAPWLILVGSGGIADVLAALVNQPHLLVPKVAEKQFKEKFPSKHFSWEDIVRWTKLLQNITSHQHLLTVYDFEQEGSEELDTVILKALVKACKSHSQEPQDYLDELKLAVAWDRVDIAKSEIFNGDVEWKSCDLEEVMVDALVSNKPEFVRLFVDNGADVADFLTYGRLQELYRSVSRKSLLFDLLQRKQEEARLTLAGLGTQQAREPPAGPPAFSLHEVSRVLKDFLQDACRGFYQDGRPGDRRRAEKGPAKRPTGQKWLLDLNQKSENPWRDLFLWAVLQNRHEMATYFWAMGQEGVAAALAACKILKEMSHLETEAEAARATREAKYERLALDLFSECYSNSEARAFALLVRRNRCWSKTTCLHLATEADAKAFFAHDGVQAFLTRIWWGDMAAGTPILRLLGAFLCPALVYTNLITFSEEAPLRTGLEDLQDLDSLDTEKSPLYGLQSRVEELVEAPRAQGDRGPRAVFLLTRWRKFWGAPVTVFLGNVVMYFAFLFLFTYVLLVDFRPPPQGPSGPEVTLYFWVFTLVLEEIRQGFFTDEDTHLVKKFTLYVGDNWNKCDMVAIFLFIVGVTCRMLPSAFEAGRTVLAMDFMVFTLRLIHIFAIHKQLGPKIIVVERMMKDVFFFLFFLSVWLVAYGVTTQALLHPHDGRLEWIFRRVLYRPYLQIFGQIPLDEIDEARVNCSTHPLLLEDSPSCPSLYANWLVILLLVTFLLVTNVLLMNLLIAMFSYTFQVVQGNADMFWKFQRYNLIVEYHERPALAPPFILLSHLSLTLRRVFKKEAEHKREHLERDLPDPLDQKVVTWETVQKENFLSKMEKRRRDSEGEVLRKTAHRVDFIAKYLGGLREQEKRIKCLESQINYCSVLVSSVADVLAQGGGPRSSQHCGEGSQLVAADHRGGLDGWEQPGAGQPPSDT
+>DECOY_sp|Q9NZQ8|TRPM5_HUMAN Transient receptor potential cation channel subfamily M member 5 OS=Homo sapiens OX=9606 GN=TRPM5 PE=2 SV=1
+TDSPPQGAGPQEWGDLGGRHDAAVLQSGEGCHQSSRPGGGQALVDAVSSVLVSCYNIQSELCKIRKEQERLGGLYKAIFDVRHATKRLVEGESDRRRKEMKSLFNEKQVTEWTVVKQDLPDPLDRELHERKHEAEKKFVRRLTLSLHSLLIFPPALAPREHYEVILNYRQFKWFMDANGQVVQFTYSFMAILLNMLLVNTVLLFTVLLLIVLWNAYLSPCSPSDELLLPHTSCNVRAEDIEDLPIQGFIQLYPRYLVRRFIWELRGDHPHLLAQTTVGYAVLWVSLFFLFFFVDKMMREVVIIKPGLQKHIAFIHILRLTFVMFDMALVTRGAEFASPLMRCTVGVIFLFIAVMDCKNWNDGVYLTFKKVLHTDEDTFFGQRIEELVLTFVWFYLTVEPGSPGQPPPRFDVLLVYTFLFLFAFYMVVNGLFVTVPAGWFKRWRTLLFVARPGRDGQARPAEVLEEVRSQLGYLPSKETDLSDLDQLDELGTRLPAEESFTILNTYVLAPCLFAGLLRLIPTGAAMDGWWIRTLFAQVGDHAFFAKADAETALHLCTTKSWCRNRRVLLAFARAESNSYCESFLDLALREYKAERTARAAEAETELHSMEKLIKCAALAAAVGEQGMAWFYTAMEHRNQLVAWLFLDRWPNESKQNLDLLWKQGTPRKAPGKEARRRDGPRGDQYFGRCADQLFDKLVRSVEHLSFAPPGAPPERAQQTGLGALTLRAEEQKRQLLDFLLSKRSVSRYLEQLRGYTLFDAVDAGNDVFLRVFEPKNSVLADVMVEELDCSKWEVDGNFIESKAIDVRDWAVALKLEDLYDQPEQSHSKCAKVLAKLIVTDLEESGEQEFDYVTLLHQHSTINQLLKTWRVIDEWSFHKSPFKEKFQKEAVKPVLLHPQNVLAALVDAIGGSGVLILWPAAQEVARSIRELTNPDGNVLLCLVPIEISGTGGYGARQESIHKELRLRLETLGDGKGPPGPEVLIFHSLNSDLSCLPGQSGGDDEPYHVPFDEQAEELIRRHLVRGLSAMGVAVVRVKTSTSALSHDRVAQGVHRALGVRLASTLIWAGTSQAAKVLGKRLVDRLWSKMAFPQEEGVLSVVLNPAPLHWEALLLDFLVSPAVGSPVRVFKGRKKGSGGFNVEGRHLGLERRDEADGPSGPRPGQVDQM
+>sp|Q96QT4|TRPM7_HUMAN Transient receptor potential cation channel subfamily M member 7 OS=Homo sapiens OX=9606 GN=TRPM7 PE=1 SV=1
+MSQKSWIESTLTKRECVYIIPSSKDPHRCLPGCQICQQLVRCFCGRLVKQHACFTASLAMKYSDVKLGDHFNQAIEEWSVEKHTEQSPTDAYGVINFQGGSHSYRAKYVRLSYDTKPEVILQLLLKEWQMELPKLVISVHGGMQKFELHPRIKQLLGKGLIKAAVTTGAWILTGGVNTGVAKHVGDALKEHASRSSRKICTIGIAPWGVIENRNDLVGRDVVAPYQTLLNPLSKLNVLNNLHSHFILVDDGTVGKYGAEVRLRRELEKTINQQRIHARIGQGVPVVALIFEGGPNVILTVLEYLQESPPVPVVVCEGTGRAADLLAYIHKQTEEGGNLPDAAEPDIISTIKKTFNFGQNEALHLFQTLMECMKRKELITVFHIGSDEHQDIDVAILTALLKGTNASAFDQLILTLAWDRVDIAKNHVFVYGQQWLVGSLEQAMLDALVMDRVAFVKLLIENGVSMHKFLTIPRLEELYNTKQGPTNPMLFHLVRDVKQGNLPPGYKITLIDIGLVIEYLMGGTYRCTYTRKRFRLIYNSLGGNNRRSGRNTSSSTPQLRKSHESFGNRADKKEKMRHNHFIKTAQPYRPKIDTVMEEGKKKRTKDEIVDIDDPETKRFPYPLNELLIWACLMKRQVMARFLWQHGEESMAKALVACKIYRSMAYEAKQSDLVDDTSEELKQYSNDFGQLAVELLEQSFRQDETMAMKLLTYELKNWSNSTCLKLAVSSRLRPFVAHTCTQMLLSDMWMGRLNMRKNSWYKVILSILVPPAILLLEYKTKAEMSHIPQSQDAHQMTMDDSENNFQNITEEIPMEVFKEVRILDSNEGKNEMEIQMKSKKLPITRKFYAFYHAPIVKFWFNTLAYLGFLMLYTFVVLVQMEQLPSVQEWIVIAYIFTYAIEKVREIFMSEAGKVNQKIKVWFSDYFNISDTIAIISFFIGFGLRFGAKWNFANAYDNHVFVAGRLIYCLNIIFWYVRLLDFLAVNQQAGPYVMMIGKMVANMFYIVVIMALVLLSFGVPRKAILYPHEAPSWTLAKDIVFHPYWMIFGEVYAYEIDVCANDSVIPQICGPGTWLTPFLQAVYLFVQYIIMVNLLIAFFNNVYLQVKAISNIVWKYQRYHFIMAYHEKPVLPPPLIILSHIVSLFCCICKRRKKDKTSDGPKLFLTEEDQKKLHDFEEQCVEMYFNEKDDKFHSGSEERIRVTFERVEQMCIQIKEVGDRVNYIKRSLQSLDSQIGHLQDLSALTVDTLKTLTAQKASEASKVHNEITRELSISKHLAQNLIDDGPVRPSVWKKHGVVNTLSSSLPQGDLESNNPFHCNILMKDDKDPQCNIFGQDLPAVPQRKEFNFPEAGSSSGALFPSAVSPPELRQRLHGVELLKIFNKNQKLGSSSTSIPHLSSPPTKFFVSTPSQPSCKSHLETGTKDQETVCSKATEGDNTEFGAFVGHRDSMDLQRFKETSNKIKILSNNNTSENTLKRVSSLAGFTDCHRTSIPVHSKQAEKISRRPSTEDTHEVDSKAALIPDWLQDRPSNREMPSEEGTLNGLTSPFKPAMDTNYYYSAVERNNLMRLSQSIPFTPVPPRGEPVTVYRLEESSPNILNNSMSSWSQLGLCAKIEFLSKEEMGGGLRRAVKVQCTWSEHDILKSGHLYIIKSFLPEVVNTWSSIYKEDTVLHLCLREIQQQRAAQKLTFAFNQMKPKSIPYSPRFLEVFLLYCHSAGQWFAVEECMTGEFRKYNNNNGDEIIPTNTLEEIMLAFSHWTYEYTRGELLVLDLQGVGENLTDPSVIKAEEKRSCDMVFGPANLGEDAIKNFRAKHHCNSCCRKLKLPDLKRNDYTPDKIIFPQDEPSDLNLQPGNSTKESESTNSVRLML
+>DECOY_sp|Q96QT4|TRPM7_HUMAN Transient receptor potential cation channel subfamily M member 7 OS=Homo sapiens OX=9606 GN=TRPM7 PE=1 SV=1
+LMLRVSNTSESEKTSNGPQLNLDSPEDQPFIIKDPTYDNRKLDPLKLKRCCSNCHHKARFNKIADEGLNAPGFVMDCSRKEEAKIVSPDTLNEGVGQLDLVLLEGRTYEYTWHSFALMIEELTNTPIIEDGNNNNYKRFEGTMCEEVAFWQGASHCYLLFVELFRPSYPISKPKMQNFAFTLKQAARQQQIERLCLHLVTDEKYISSWTNVVEPLFSKIIYLHGSKLIDHESWTCQVKVARRLGGGMEEKSLFEIKACLGLQSWSSMSNNLINPSSEELRYVTVPEGRPPVPTFPISQSLRMLNNREVASYYYNTDMAPKFPSTLGNLTGEESPMERNSPRDQLWDPILAAKSDVEHTDETSPRRSIKEAQKSHVPISTRHCDTFGALSSVRKLTNESTNNNSLIKIKNSTEKFRQLDMSDRHGVFAGFETNDGETAKSCVTEQDKTGTELHSKCSPQSPTSVFFKTPPSSLHPISTSSSGLKQNKNFIKLLEVGHLRQRLEPPSVASPFLAGSSSGAEPFNFEKRQPVAPLDQGFINCQPDKDDKMLINCHFPNNSELDGQPLSSSLTNVVGHKKWVSPRVPGDDILNQALHKSISLERTIENHVKSAESAKQATLTKLTDVTLASLDQLHGIQSDLSQLSRKIYNVRDGVEKIQICMQEVREFTVRIREESGSHFKDDKENFYMEVCQEEFDHLKKQDEETLFLKPGDSTKDKKRRKCICCFLSVIHSLIILPPPLVPKEHYAMIFHYRQYKWVINSIAKVQLYVNNFFAILLNVMIIYQVFLYVAQLFPTLWTGPGCIQPIVSDNACVDIEYAYVEGFIMWYPHFVIDKALTWSPAEHPYLIAKRPVGFSLLVLAMIVVIYFMNAVMKGIMMVYPGAQQNVALFDLLRVYWFIINLCYILRGAVFVHNDYANAFNWKAGFRLGFGIFFSIIAITDSINFYDSFWVKIKQNVKGAESMFIERVKEIAYTFIYAIVIWEQVSPLQEMQVLVVFTYLMLFGLYALTNFWFKVIPAHYFAYFKRTIPLKKSKMQIEMENKGENSDLIRVEKFVEMPIEETINQFNNESDDMTMQHADQSQPIHSMEAKTKYELLLIAPPVLISLIVKYWSNKRMNLRGMWMDSLLMQTCTHAVFPRLRSSVALKLCTSNSWNKLEYTLLKMAMTEDQRFSQELLEVALQGFDNSYQKLEESTDDVLDSQKAEYAMSRYIKCAVLAKAMSEEGHQWLFRAMVQRKMLCAWILLENLPYPFRKTEPDDIDVIEDKTRKKKGEEMVTDIKPRYPQATKIFHNHRMKEKKDARNGFSEHSKRLQPTSSSTNRGSRRNNGGLSNYILRFRKRTYTCRYTGGMLYEIVLGIDILTIKYGPPLNGQKVDRVLHFLMPNTPGQKTNYLEELRPITLFKHMSVGNEILLKVFAVRDMVLADLMAQELSGVLWQQGYVFVHNKAIDVRDWALTLILQDFASANTGKLLATLIAVDIDQHEDSGIHFVTILEKRKMCEMLTQFLHLAENQGFNFTKKITSIIDPEAADPLNGGEETQKHIYALLDAARGTGECVVVPVPPSEQLYELVTLIVNPGGEFILAVVPVGQGIRAHIRQQNITKELERRLRVEAGYKGVTGDDVLIFHSHLNNLVNLKSLPNLLTQYPAVVDRGVLDNRNEIVGWPAIGITCIKRSSRSAHEKLADGVHKAVGTNVGGTLIWAGTTVAAKILGKGLLQKIRPHLEFKQMGGHVSIVLKPLEMQWEKLLLQLIVEPKTDYSLRVYKARYSHSGGQFNIVGYADTPSQETHKEVSWEEIAQNFHDGLKVDSYKMALSATFCAHQKVLRGCFCRVLQQCIQCGPLCRHPDKSSPIIYVCERKTLTSEIWSKQSM
+>sp|Q7Z2W7|TRPM8_HUMAN Transient receptor potential cation channel subfamily M member 8 OS=Homo sapiens OX=9606 GN=TRPM8 PE=1 SV=2
+MSFRAARLSMRNRRNDTLDSTRTLYSSASRSTDLSYSESDLVNFIQANFKKRECVFFTKDSKATENVCKCGYAQSQHMEGTQINQSEKWNYKKHTKEFPTDAFGDIQFETLGKKGKYIRLSCDTDAEILYELLTQHWHLKTPNLVISVTGGAKNFALKPRMRKIFSRLIYIAQSKGAWILTGGTHYGLMKYIGEVVRDNTISRSSEENIVAIGIAAWGMVSNRDTLIRNCDAEGYFLAQYLMDDFTRDPLYILDNNHTHLLLVDNGCHGHPTVEAKLRNQLEKYISERTIQDSNYGGKIPIVCFAQGGGKETLKAINTSIKNKIPCVVVEGSGQIADVIASLVEVEDALTSSAVKEKLVRFLPRTVSRLPEEETESWIKWLKEILECSHLLTVIKMEEAGDEIVSNAISYALYKAFSTSEQDKDNWNGQLKLLLEWNQLDLANDEIFTNDRRWESADLQEVMFTALIKDRPKFVRLFLENGLNLRKFLTHDVLTELFSNHFSTLVYRNLQIAKNSYNDALLTFVWKLVANFRRGFRKEDRNGRDEMDIELHDVSPITRHPLQALFIWAILQNKKELSKVIWEQTRGCTLAALGASKLLKTLAKVKNDINAAGESEELANEYETRAVELFTECYSSDEDLAEQLLVYSCEAWGGSNCLELAVEATDQHFIAQPGVQNFLSKQWYGEISRDTKNWKIILCLFIIPLVGCGFVSFRKKPVDKHKKLLWYYVAFFTSPFVVFSWNVVFYIAFLLLFAYVLLMDFHSVPHPPELVLYSLVFVLFCDEVRQWYVNGVNYFTDLWNVMDTLGLFYFIAGIVFRLHSSNKSSLYSGRVIFCLDYIIFTLRLIHIFTVSRNLGPKIIMLQRMLIDVFFFLFLFAVWMVAFGVARQGILRQNEQRWRWIFRSVIYEPYLAMFGQVPSDVDGTTYDFAHCTFTGNESKPLCVELDEHNLPRFPEWITIPLVCIYMLSTNILLVNLLVAMFGYTVGTVQENNDQVWKFQRYFLVQEYCSRLNIPFPFIVFAYFYMVVKKCFKCCCKEKNMESSVCCFKNEDNETLAWEGVMKENYLVKINTKANDTSEEMRHRFRQLDTKLNDLKGLLKEIANKIK
+>DECOY_sp|Q7Z2W7|TRPM8_HUMAN Transient receptor potential cation channel subfamily M member 8 OS=Homo sapiens OX=9606 GN=TRPM8 PE=1 SV=2
+KIKNAIEKLLGKLDNLKTDLQRFRHRMEESTDNAKTNIKVLYNEKMVGEWALTENDENKFCCVSSEMNKEKCCCKFCKKVVMYFYAFVIFPFPINLRSCYEQVLFYRQFKWVQDNNEQVTGVTYGFMAVLLNVLLINTSLMYICVLPITIWEPFRPLNHEDLEVCLPKSENGTFTCHAFDYTTGDVDSPVQGFMALYPEYIVSRFIWRWRQENQRLIGQRAVGFAVMWVAFLFLFFFVDILMRQLMIIKPGLNRSVTFIHILRLTFIIYDLCFIVRGSYLSSKNSSHLRFVIGAIFYFLGLTDMVNWLDTFYNVGNVYWQRVEDCFLVFVLSYLVLEPPHPVSHFDMLLVYAFLLLFAIYFVVNWSFVVFPSTFFAVYYWLLKKHKDVPKKRFSVFGCGVLPIIFLCLIIKWNKTDRSIEGYWQKSLFNQVGPQAIFHQDTAEVALELCNSGGWAECSYVLLQEALDEDSSYCETFLEVARTEYENALEESEGAANIDNKVKALTKLLKSAGLAALTCGRTQEWIVKSLEKKNQLIAWIFLAQLPHRTIPSVDHLEIDMEDRGNRDEKRFGRRFNAVLKWVFTLLADNYSNKAIQLNRYVLTSFHNSFLETLVDHTLFKRLNLGNELFLRVFKPRDKILATFMVEQLDASEWRRDNTFIEDNALDLQNWELLLKLQGNWNDKDQESTSFAKYLAYSIANSVIEDGAEEMKIVTLLHSCELIEKLWKIWSETEEEPLRSVTRPLFRVLKEKVASSTLADEVEVLSAIVDAIQGSGEVVVCPIKNKISTNIAKLTEKGGGQAFCVIPIKGGYNSDQITRESIYKELQNRLKAEVTPHGHCGNDVLLLHTHNNDLIYLPDRTFDDMLYQALFYGEADCNRILTDRNSVMGWAAIGIAVINEESSRSITNDRVVEGIYKMLGYHTGGTLIWAGKSQAIYILRSFIKRMRPKLAFNKAGGTVSIVLNPTKLHWHQTLLEYLIEADTDCSLRIYKGKKGLTEFQIDGFADTPFEKTHKKYNWKESQNIQTGEMHQSQAYGCKCVNETAKSDKTFFVCERKKFNAQIFNVLDSESYSLDTSRSASSYLTRTSDLTDNRRNRMSLRAARFSM
+>sp|Q8WWH5|TRUB1_HUMAN Probable tRNA pseudouridine synthase 1 OS=Homo sapiens OX=9606 GN=TRUB1 PE=1 SV=1
+MAASEAAVVSSPSLKTDTSPVLETAGTVAAMAATPSARAAAAVVAAAARTGSEARVSKAALATKLLSLSGVFAVHKPKGPTSAELLNRLKEKLLAEAGMPSPEWTKRKKQTLKIGHGGTLDSAARGVLVVGIGSGTKMLTSMLSGSKRYTAIGELGKATDTLDSTGRVTEEKPYDKITQEDIEGILQKFTGNIMQVPPLYSALKKDGQRLSTLMKRGEVVEAKPARPVTVYSISLQKFQPPFFTLDVECGGGFYIRSLVSDIGKELSSCANVLELTRTKQGPFTLEEHALPEDKWTIDDIAQSLEHCSSLFPAELALKKSKPESNEQVLSCEYITLNEPKREDDVIKTC
+>DECOY_sp|Q8WWH5|TRUB1_HUMAN Probable tRNA pseudouridine synthase 1 OS=Homo sapiens OX=9606 GN=TRUB1 PE=1 SV=1
+CTKIVDDERKPENLTIYECSLVQENSEPKSKKLALEAPFLSSCHELSQAIDDITWKDEPLAHEELTFPGQKTRTLELVNACSSLEKGIDSVLSRIYFGGGCEVDLTFFPPQFKQLSISYVTVPRAPKAEVVEGRKMLTSLRQGDKKLASYLPPVQMINGTFKQLIGEIDEQTIKDYPKEETVRGTSDLTDTAKGLEGIATYRKSGSLMSTLMKTGSGIGVVLVGRAASDLTGGHGIKLTQKKRKTWEPSPMGAEALLKEKLRNLLEASTPGKPKHVAFVGSLSLLKTALAAKSVRAESGTRAAAAVVAAAARASPTAAMAAVTGATELVPSTDTKLSPSSVVAAESAAM
+>sp|P07478|TRY2_HUMAN Trypsin-2 OS=Homo sapiens OX=9606 GN=PRSS2 PE=1 SV=1
+MNLLLILTFVAAAVAAPFDDDDKIVGGYICEENSVPYQVSLNSGYHFCGGSLISEQWVVSAGHCYKSRIQVRLGEHNIEVLEGNEQFINAAKIIRHPKYNSRTLDNDILLIKLSSPAVINSRVSAISLPTAPPAAGTESLISGWGNTLSSGADYPDELQCLDAPVLSQAECEASYPGKITNNMFCVGFLEGGKDSCQGDSGGPVVSNGELQGIVSWGYGCAQKNRPGVYTKVYNYVDWIKDTIAANS
+>DECOY_sp|P07478|TRY2_HUMAN Trypsin-2 OS=Homo sapiens OX=9606 GN=PRSS2 PE=1 SV=1
+SNAAITDKIWDVYNYVKTYVGPRNKQACGYGWSVIGQLEGNSVVPGGSDGQCSDKGGELFGVCFMNNTIKGPYSAECEAQSLVPADLCQLEDPYDAGSSLTNGWGSILSETGAAPPATPLSIASVRSNIVAPSSLKILLIDNDLTRSNYKPHRIIKAANIFQENGELVEINHEGLRVQIRSKYCHGASVVWQESILSGGCFHYGSNLSVQYPVSNEECIYGGVIKDDDDFPAAVAAAVFTLILLLNM
+>sp|Q2NL82|TSR1_HUMAN Pre-rRNA-processing protein TSR1 homolog OS=Homo sapiens OX=9606 GN=TSR1 PE=1 SV=1
+MAAHRPGPLKQQNKAHKGGRHRGRGSAQRDGKGRLALKTLSKKVRKELSRVDQRHRASQLRKQKKEAVLAEKRQLGGKDGPPHQVLVVPLHSRISLPEAMQLLQDRDTGTVHLNELGNTQNFMLLCPRLKHRWFFTSARPGDLHVVLDMAKVADTILFLLDPLEGWDSTGDYCLSCLFAQGLPTYTLAVQGISGLPLKKQIDTRKKLSKAVEKRFPHDKLLLLDTQQEAGMLLRQLANQKQQHLAFRDRRAYLFAHAVDFVPSEENNLVGTLKISGYVRGQTLNVNRLLHIVGYGDFQMKQIDAPGDPFPLNPRGIKPQKDPDMAMEICATDAVDDMEEGLKVLMKADPGRQESLQAEVIPDPMEGEQTWPTEEELSEAKDFLKESSKVVKKVPKGTSSYQAEWILDGGSQSGGEGDEYEYDDMEHEDFMEEESQDESSEEEEEYETMTIGESVHDDLYDKKVDEEAEAKMLEKYKQERLEEMFPDEVDTPRDVAARIRFQKYRGLKSFRTSPWDPKENLPQDYARIFQFQNFTNTRKSIFKEVEEKEVEGAEVGWYVTLHVSEVPVSVVECFRQGTPLIAFSLLPHEQKMSVLNMVVRRDPGNTEPVKAKEELIFHCGFRRFRASPLFSQHTAADKHKLQRFLTADMALVATVYAPITFPPASVLLFKQKSNGMHSLIATGHLMSVDPDRMVIKRVVLSGHPFKIFTKMAVVRYMFFNREDVLWFKPVELRTKWGRRGHIKEPLGTHGHMKCSFDGKLKSQDTVLMNLYKRVFPKWTYDPYVPEPVPWLKSEISSTVPQGGME
+>DECOY_sp|Q2NL82|TSR1_HUMAN Pre-rRNA-processing protein TSR1 homolog OS=Homo sapiens OX=9606 GN=TSR1 PE=1 SV=1
+EMGGQPVTSSIESKLWPVPEPVYPDYTWKPFVRKYLNMLVTDQSKLKGDFSCKMHGHTGLPEKIHGRRGWKTRLEVPKFWLVDERNFFMYRVVAMKTFIKFPHGSLVVRKIVMRDPDVSMLHGTAILSHMGNSKQKFLLVSAPPFTIPAYVTAVLAMDATLFRQLKHKDAATHQSFLPSARFRRFGCHFILEEKAKVPETNGPDRRVVMNLVSMKQEHPLLSFAILPTGQRFCEVVSVPVESVHLTVYWGVEAGEVEKEEVEKFISKRTNTFNQFQFIRAYDQPLNEKPDWPSTRFSKLGRYKQFRIRAAVDRPTDVEDPFMEELREQKYKELMKAEAEEDVKKDYLDDHVSEGITMTEYEEEEESSEDQSEEEMFDEHEMDDYEYEDGEGGSQSGGDLIWEAQYSSTGKPVKKVVKSSEKLFDKAESLEEETPWTQEGEMPDPIVEAQLSEQRGPDAKMLVKLGEEMDDVADTACIEMAMDPDKQPKIGRPNLPFPDGPADIQKMQFDGYGVIHLLRNVNLTQGRVYGSIKLTGVLNNEESPVFDVAHAFLYARRDRFALHQQKQNALQRLLMGAEQQTDLLLLKDHPFRKEVAKSLKKRTDIQKKLPLGSIGQVALTYTPLGQAFLCSLCYDGTSDWGELPDLLFLITDAVKAMDLVVHLDGPRASTFFWRHKLRPCLLMFNQTNGLENLHVTGTDRDQLLQMAEPLSIRSHLPVVLVQHPPGDKGGLQRKEALVAEKKQKRLQSARHRQDVRSLEKRVKKSLTKLALRGKGDRQASGRGRHRGGKHAKNQQKLPGPRHAAM
+>sp|Q969E8|TSR2_HUMAN Pre-rRNA-processing protein TSR2 homolog OS=Homo sapiens OX=9606 GN=TSR2 PE=1 SV=1
+MAGAAEDARALFRAGVCAALEAWPALQIAVENGFGGVHSQEKAKWLGGAVEDYFMRNADLELDEVEDFLGELLTNEFDTVVEDGSLPQVSQQLQTMFHHFQRGDGAALREMASCITQRKCKVTATALKTARETDEDEDDVDSVEEMEVTATNDGAATDGVCPQPEPSDPDAQTIKEEDIVEDGWTIVRRKK
+>DECOY_sp|Q969E8|TSR2_HUMAN Pre-rRNA-processing protein TSR2 homolog OS=Homo sapiens OX=9606 GN=TSR2 PE=1 SV=1
+KKRRVITWGDEVIDEEKITQADPDSPEPQPCVGDTAAGDNTATVEMEEVSDVDDEDEDTERATKLATATVKCKRQTICSAMERLAAGDGRQFHHFMTQLQQSVQPLSGDEVVTDFENTLLEGLFDEVEDLELDANRMFYDEVAGGLWKAKEQSHVGGFGNEVAIQLAPWAELAACVGARFLARADEAAGAM
+>sp|Q9UJK0|TSR3_HUMAN Ribosome biogenesis protein TSR3 homolog OS=Homo sapiens OX=9606 GN=TSR3 PE=1 SV=1
+MGRRRAARGPGAEGGRPRHLPTRSLEAFAEEVGAALQASVEPGAADGEGGPGPAALPCTLAMWELGHCDPRRCTGRKLARLGLVRCLRLGHRFGGLVLSPVGKQYASPADRQLVAQSGVAVIDCSWARLDETPFGKMRGSHLRLLPYLVAANPVNYGRPYRLSCVEAFAATFCIVGFPDLAVILLRKFKWGKGFLDLNRQLLDKYAACGSPEEVLQAEQEFLANAKESPQEEEIDPFDVDSGREFGNPNRPVASTRLPSDTDDSDASEDPGPGAERGGASSSCCEEEQTQGRGAEARAPAEVWKGIKKRQRD
+>DECOY_sp|Q9UJK0|TSR3_HUMAN Ribosome biogenesis protein TSR3 homolog OS=Homo sapiens OX=9606 GN=TSR3 PE=1 SV=1
+DRQRKKIGKWVEAPARAEAGRGQTQEEECCSSSAGGREAGPGPDESADSDDTDSPLRTSAVPRNPNGFERGSDVDFPDIEEEQPSEKANALFEQEAQLVEEPSGCAAYKDLLQRNLDLFGKGWKFKRLLIVALDPFGVICFTAAFAEVCSLRYPRGYNVPNAAVLYPLLRLHSGRMKGFPTEDLRAWSCDIVAVGSQAVLQRDAPSAYQKGVPSLVLGGFRHGLRLCRVLGLRALKRGTCRRPDCHGLEWMALTCPLAAPGPGGEGDAAGPEVSAQLAAGVEEAFAELSRTPLHRPRGGEAGPGRAARRRGM
+>sp|Q8NEE8|TTC16_HUMAN Tetratricopeptide repeat protein 16 OS=Homo sapiens OX=9606 GN=TTC16 PE=2 SV=2
+MTDSDEDALKVDQGPSRDIPKPWVIPAPKGILQHIFGTSHVFQSICDVKPKVTGLTVPLKVREYYSRGQQCLEQADWETAVLLFSRALHLDPQLVDFYALRAEAYLQLCDFSSAAQNLRRAYSLQQDNCKHLERLTFVLYLQGQCLFEQCAFLDALNVFSHAAELQPEKPCFRYRCMACLLALKQHQACLTLITNELKQDTTNADVYIFRARLYNFLQKPHLCYRDLHSALLLNPKHPQARMLLQKMVAQAQQARQDAGILAVQGKLQHALQRINRAIENNPLDPSLFLFRGTMYRRLQEFDGAVEDFLKVLDMVTEDQEDMVRQAQRQLLLTYNDFAVHCYRQGAYQEGVLLLNKALRDEQQEKGLYINRGDCFFQLGNLAFAEADYQQALALSPQDEGANTRMGLLQEKMGFCEQRRKQFQKAENHFSTAIRHNPQKAQYYLYRAKSRQLLQNIFGARQDVATVLLLNPKQPKLSLLMTNLFPGMSVEEVLSTQIAHLARLQLEQMVEGSLQAGSPQGIVGMLKRHELERQKALALQHSWKQGEPLIATSEELKATPEIPQVKPGSSEGEAEAPEEEEEKEKEKKEEKKSELIPSKVASLSDSYLDQTSSASSMSFRTTGTSETEMSAICQEYRSTSATAVTFSDSSLLKTQSSDSGNNREALSHGPRKIKATQGQRQSLSKTEPTQSQRRNSSKTKATIHKRNSSKTKATQSQRRNSSKTRATQGQGQSSSKTEATQGQRQSSSEIEATQGPRQEPSKTKTTRSPRQRPRKVKAARGRSWRPSKVDATQGRSRGLLRSSTKTEAFYDSNWSLSKTEYAQGQGQRSSKAEGAQGKSQGMSSTSSKAESTWGPSPSLSKTEVDQDLTYYEAV
+>DECOY_sp|Q8NEE8|TTC16_HUMAN Tetratricopeptide repeat protein 16 OS=Homo sapiens OX=9606 GN=TTC16 PE=2 SV=2
+VAEYYTLDQDVETKSLSPSPGWTSEAKSSTSSMGQSKGQAGEAKSSRQGQGQAYETKSLSWNSDYFAETKTSSRLLGRSRGQTADVKSPRWSRGRAAKVKRPRQRPSRTTKTKSPEQRPGQTAEIESSSQRQGQTAETKSSSQGQGQTARTKSSNRRQSQTAKTKSSNRKHITAKTKSSNRRQSQTPETKSLSQRQGQTAKIKRPGHSLAERNNGSDSSQTKLLSSDSFTVATASTSRYEQCIASMETESTGTTRFSMSSASSTQDLYSDSLSAVKSPILESKKEEKKEKEKEEEEEPAEAEGESSGPKVQPIEPTAKLEESTAILPEGQKWSHQLALAKQRELEHRKLMGVIGQPSGAQLSGEVMQELQLRALHAIQTSLVEEVSMGPFLNTMLLSLKPQKPNLLLVTAVDQRAGFINQLLQRSKARYLYYQAKQPNHRIATSFHNEAKQFQKRRQECFGMKEQLLGMRTNAGEDQPSLALAQQYDAEAFALNGLQFFCDGRNIYLGKEQQEDRLAKNLLLVGEQYAGQRYCHVAFDNYTLLLQRQAQRVMDEQDETVMDLVKLFDEVAGDFEQLRRYMTGRFLFLSPDLPNNEIARNIRQLAHQLKGQVALIGADQRAQQAQAVMKQLLMRAQPHKPNLLLASHLDRYCLHPKQLFNYLRARFIYVDANTTDQKLENTILTLCAQHQKLALLCAMCRYRFCPKEPQLEAAHSFVNLADLFACQEFLCQGQLYLVFTLRELHKCNDQQLSYARRLNQAASSFDCLQLYAEARLAYFDVLQPDLHLARSFLLVATEWDAQELCQQGRSYYERVKLPVTLGTVKPKVDCISQFVHSTGFIHQLIGKPAPIVWPKPIDRSPGQDVKLADEDSDTM
+>sp|Q96AE7|TTC17_HUMAN Tetratricopeptide repeat protein 17 OS=Homo sapiens OX=9606 GN=TTC17 PE=1 SV=1
+MAAAVGVRGRYELPPCSGPGWLLSLSALLSVAARGAFATTHWVVTEDGKIQQQVDSPMNLKHPHDLVILMRQEATVNYLKELEKQLVAQKIHIEENEDRDTGLEQRHNKEDPDCIKAKVPLGDLDLYDGTYITLESKDISPEDYIDTESPVPPDPEQPDCTKILELPYSIHAFQHLRGVQERVNLSAPLLPKEDPIFTYLSKRLGRSIDDIGHLIHEGLQKNTSSWVLYNMASFYWRIKNEPYQVVECAMRALHFSSRHNKDIALVNLANVLHRAHFSADAAVVVHAALDDSDFFTSYYTLGNIYAMLGEYNHSVLCYDHALQARPGFEQAIKRKHAVLCQQKLEQKLEAQHRSLQRTLNELKEYQKQHDHYLRQQEILEKHKLIQEEQILRNIIHETQMAKEAQLGNHQICRLVNQQHSLHCQWDQPVRYHRGDIFENVDYVQFGEDSSTSSMMSVNFDVQSNQSDINDSVKSSPVAHSILWIWGRDSDAYRDKQHILWPKRADCTESYPRVPVGGELPTYFLPPENKGLRIHELSSDDYSTEEEAQTPDCSITDFRKSHTLSYLVKELEVRMDLKAKMPDDHARKILLSRINNYTIPEEEIGSFLFHAINKPNAPIWLILNEAGLYWRAVGNSTFAIACLQRALNLAPLQYQDVPLVNLANLLIHYGLHLDATKLLLQALAINSSEPLTFLSLGNAYLALKNISGALEAFRQALKLTTKCPECENSLKLIRCMQFYPFLYNITSSVCSGTVVEESNGSDEMENSDETKMSEEILALVDEFQQAWPLEGFGGALEMKGRRLDLQGIRVLKKGPQDGVARSSCYGDCRSEDDEATEWITFQVKRVKKPKGDHKKTPGKKVETGQIENGHRYQANLEITGPKVASPGPQGKKRDYQRLGWPSPDECLKLRWVELTAIVSTWLAVSSKNIDITEHIDFATPIQQPAMEPLCNGNLPTSMHTLDHLHGVSNRASLHYTGESQLTEVLQNLGKDQYPQQSLEQIGTRIAKVLEKNQTSWVLSSMAALYWRVKGQGKKAIDCLRQALHYAPHQMKDVPLISLANILHNAKLWNDAVIVATMAVEIAPHFAVNHFTLGNVYVAMEEFEKALVWYESTLKLQPEFVPAKNRIQTIQCHLMLKKGRRSP
+>DECOY_sp|Q96AE7|TTC17_HUMAN Tetratricopeptide repeat protein 17 OS=Homo sapiens OX=9606 GN=TTC17 PE=1 SV=1
+PSRRGKKLMLHCQITQIRNKAPVFEPQLKLTSEYWVLAKEFEEMAVYVNGLTFHNVAFHPAIEVAMTAVIVADNWLKANHLINALSILPVDKMQHPAYHLAQRLCDIAKKGQGKVRWYLAAMSSLVWSTQNKELVKAIRTGIQELSQQPYQDKGLNQLVETLQSEGTYHLSARNSVGHLHDLTHMSTPLNGNCLPEMAPQQIPTAFDIHETIDINKSSVALWTSVIATLEVWRLKLCEDPSPWGLRQYDRKKGQPGPSAVKPGTIELNAQYRHGNEIQGTEVKKGPTKKHDGKPKKVRKVQFTIWETAEDDESRCDGYCSSRAVGDQPGKKLVRIGQLDLRRGKMELAGGFGELPWAQQFEDVLALIEESMKTEDSNEMEDSGNSEEVVTGSCVSSTINYLFPYFQMCRILKLSNECEPCKTTLKLAQRFAELAGSINKLALYANGLSLFTLPESSNIALAQLLLKTADLHLGYHILLNALNVLPVDQYQLPALNLARQLCAIAFTSNGVARWYLGAENLILWIPANPKNIAHFLFSGIEEEPITYNNIRSLLIKRAHDDPMKAKLDMRVELEKVLYSLTHSKRFDTISCDPTQAEEETSYDDSSLEHIRLGKNEPPLFYTPLEGGVPVRPYSETCDARKPWLIHQKDRYADSDRGWIWLISHAVPSSKVSDNIDSQNSQVDFNVSMMSSTSSDEGFQVYDVNEFIDGRHYRVPQDWQCHLSHQQNVLRCIQHNGLQAEKAMQTEHIINRLIQEEQILKHKELIEQQRLYHDHQKQYEKLENLTRQLSRHQAELKQELKQQCLVAHKRKIAQEFGPRAQLAHDYCLVSHNYEGLMAYINGLTYYSTFFDSDDLAAHVVVAADASFHARHLVNALNVLAIDKNHRSSFHLARMACEVVQYPENKIRWYFSAMNYLVWSSTNKQLGEHILHGIDDISRGLRKSLYTFIPDEKPLLPASLNVREQVGRLHQFAHISYPLELIKTCDPQEPDPPVPSETDIYDEPSIDKSELTIYTGDYLDLDGLPVKAKICDPDEKNHRQELGTDRDENEEIHIKQAVLQKELEKLYNVTAEQRMLIVLDHPHKLNMPSDVQQQIKGDETVVWHTTAFAGRAAVSLLASLSLLWGPGSCPPLEYRGRVGVAAAM
+>sp|Q6DKK2|TTC19_HUMAN Tetratricopeptide repeat protein 19, mitochondrial OS=Homo sapiens OX=9606 GN=TTC19 PE=1 SV=4
+MFRLLSWSLGRGFLRAAGRRCRGCSARLLPGLAGGPGPEVQVPPSRVAPHGRGPGLLPLLAALAWFSRPAAAEEEEQQGADGAAAEDGADEAEAEIIQLLKRAKLSIMKDEPEEAELILHDALRLAYQTDNKKAITYTYDLMANLAFIRGQLENAEQLFKATMSYLLGGGMKQEDNAIIEISLKLASIYAAQNRQEFAVAGYEFCISTLEEKIEREKELAEDIMSVEEKANTHLLLGMCLDACARYLLFSKQPSQAQRMYEKALQISEEIQGERHPQTIVLMSDLATTLDAQGRFDEAYIYMQRASDLARQINHPELHMVLSNLAAVLMHRERYTQAKEIYQEALKQAKLKKDEISVQHIREELAELSKKSRPLTNSVKL
+>DECOY_sp|Q6DKK2|TTC19_HUMAN Tetratricopeptide repeat protein 19, mitochondrial OS=Homo sapiens OX=9606 GN=TTC19 PE=1 SV=4
+LKVSNTLPRSKKSLEALEERIHQVSIEDKKLKAQKLAEQYIEKAQTYRERHMLVAALNSLVMHLEPHNIQRALDSARQMYIYAEDFRGQADLTTALDSMLVITQPHREGQIEESIQLAKEYMRQAQSPQKSFLLYRACADLCMGLLLHTNAKEEVSMIDEALEKEREIKEELTSICFEYGAVAFEQRNQAAYISALKLSIEIIANDEQKMGGGLLYSMTAKFLQEANELQGRIFALNAMLDYTYTIAKKNDTQYALRLADHLILEAEEPEDKMISLKARKLLQIIEAEAEDAGDEAAAGDAGQQEEEEAAAPRSFWALAALLPLLGPGRGHPAVRSPPVQVEPGPGGALGPLLRASCGRCRRGAARLFGRGLSWSLLRFM
+>sp|Q5TAA0|TTC22_HUMAN Tetratricopeptide repeat protein 22 OS=Homo sapiens OX=9606 GN=TTC22 PE=2 SV=1
+MAELEAVADDLDALIDDLDYLPGHFHLEMQLNFEPRSPAPQRARDLKLQREGLRQELQLAAAPQRPAVRHLLGAFAFYLEELDEARECFLEVAHEHPGNLNAWANLAHVYGRLGQEEEEEACAARLADLMGLAEEPEAAGDPQLRAARCLAEQGYAHGFDVGCASPEERARGLAAGIALYDKALGYGQQIPMEEKRGWYFTMATLYIRLDGIFLELGSEEQKRLPAFNRTLALLRQVLKSEDPRHRALAWCYLGMLLERKDTFSTTPMGVHDCGYSGTDPLDCFGKAIEIAKNQPPILNRLAKIFYFLGKQDMAIGTCNMALDVLRDPELNWQAYCTRAKIHIRAYLHDLKRAKMGLGGMPDRNHLACAKADLEEVVRVCPGFKAYLDIGQVYYYMGVDAVQELLAVDEAALNQALVFLAKAGESELGATLPELQLLRGKCLRIKGEDANAAACFKRAVELDDAGSSHTDGFGCLLEALLAQWSQAQLSDGELGREVDAWLRRAQDKYPAARLRQELQRVWRGHTDEVLGLARALVAQGRPALVRLLFETMEREGEGASAPRDRRAVSF
+>DECOY_sp|Q5TAA0|TTC22_HUMAN Tetratricopeptide repeat protein 22 OS=Homo sapiens OX=9606 GN=TTC22 PE=2 SV=1
+FSVARRDRPASAGEGEREMTEFLLRVLAPRGQAVLARALGLVEDTHGRWVRQLEQRLRAAPYKDQARRLWADVERGLEGDSLQAQSWQALLAELLCGFGDTHSSGADDLEVARKFCAAANADEGKIRLCKGRLLQLEPLTAGLESEGAKALFVLAQNLAAEDVALLEQVADVGMYYYVQGIDLYAKFGPCVRVVEELDAKACALHNRDPMGGLGMKARKLDHLYARIHIKARTCYAQWNLEPDRLVDLAMNCTGIAMDQKGLFYFIKALRNLIPPQNKAIEIAKGFCDLPDTGSYGCDHVGMPTTSFTDKRELLMGLYCWALARHRPDESKLVQRLLALTRNFAPLRKQEESGLELFIGDLRIYLTAMTFYWGRKEEMPIQQGYGLAKDYLAIGAALGRAREEPSACGVDFGHAYGQEALCRAARLQPDGAAEPEEALGMLDALRAACAEEEEEQGLRGYVHALNAWANLNGPHEHAVELFCERAEDLEELYFAFAGLLHRVAPRQPAAALQLEQRLGERQLKLDRARQPAPSRPEFNLQMELHFHGPLYDLDDILADLDDAVAELEAM
+>sp|Q96NG3|TTC25_HUMAN Tetratricopeptide repeat protein 25 OS=Homo sapiens OX=9606 GN=TTC25 PE=1 SV=2
+MSDPEGETLRSTFPSYMAEGERLYLCGEFSKAAQSFSNALYLQDGDKNCLVARSKCFLKMGDLERSLKDAEASLQSDPAFCKGILQKAETLYTMGDFEFALVFYHRGYKLRPDREFRVGIQKAQEAINNSVGSPSSIKLENKGDLSFLSKQAENIKAQQKPQPMKHLLHPTKGEPKWKASLKSEKTVRQLLGELYVDKEYLEKLLLDEDLIKGTMKGGLTVEDLIMTGINYLDTHSNFWRQQKPIYARERDRKLMQEKWLRDHKRRPSQTAHYILKSLEDIDMLLTSGSAEGSLQKAEKVLKKVLEWNKEEVPNKDELVGNLYSCIGNAQIELGQMEAALQSHRKDLEIAKEYDLPDAKSRALDNIGRVFARVGKFQQAIDTWEEKIPLAKTTLEKTWLFHEIGRCYLELDQAWQAQNYGEKSQQCAEEEGDIEWQLNASVLVAQAQVKLRDFESAVNNFEKALERAKLVHNNEAQQAIISALDDANKGIIRELRKTNYVENLKEKSEGEASLYEDRIITREKDMRRVRDEPEKVVKQWDHSEDEKETDEDDEAFGEALQSPASGKQSVEAGKARSDLGAVAKGLSGELGTRSGETGRKLLEAGRRESREIYRRPSGELEQRLSGEFSRQEPEELKKLSEVGRREPEELGKTQFGEIGETKKTGNEMEKEYE
+>DECOY_sp|Q96NG3|TTC25_HUMAN Tetratricopeptide repeat protein 25 OS=Homo sapiens OX=9606 GN=TTC25 PE=1 SV=2
+EYEKEMENGTKKTEGIEGFQTKGLEEPERRGVESLKKLEEPEQRSFEGSLRQELEGSPRRYIERSERRGAELLKRGTEGSRTGLEGSLGKAVAGLDSRAKGAEVSQKGSAPSQLAEGFAEDDEDTEKEDESHDWQKVVKEPEDRVRRMDKERTIIRDEYLSAEGESKEKLNEVYNTKRLERIIGKNADDLASIIAQQAENNHVLKARELAKEFNNVASEFDRLKVQAQAVLVSANLQWEIDGEEEACQQSKEGYNQAQWAQDLELYCRGIEHFLWTKELTTKALPIKEEWTDIAQQFKGVRAFVRGINDLARSKADPLDYEKAIELDKRHSQLAAEMQGLEIQANGICSYLNGVLEDKNPVEEKNWELVKKLVKEAKQLSGEASGSTLLMDIDELSKLIYHATQSPRRKHDRLWKEQMLKRDRERAYIPKQQRWFNSHTDLYNIGTMILDEVTLGGKMTGKILDEDLLLKELYEKDVYLEGLLQRVTKESKLSAKWKPEGKTPHLLHKMPQPKQQAKINEAQKSLFSLDGKNELKISSPSGVSNNIAEQAKQIGVRFERDPRLKYGRHYFVLAFEFDGMTYLTEAKQLIGKCFAPDSQLSAEADKLSRELDGMKLFCKSRAVLCNKDGDQLYLANSFSQAAKSFEGCLYLREGEAMYSPFTSRLTEGEPDSM
+>sp|Q96AY4|TTC28_HUMAN Tetratricopeptide repeat protein 28 OS=Homo sapiens OX=9606 GN=TTC28 PE=1 SV=4
+MEQSPPPAPEPTQGPTPARSRRRREPESPPASAPIPLFGADTIGQRSPDGPVLSKAEFVEKVRQSNQACHDGDFHTAIVLYNEALAVDPQNCILYSNRSAAYMKIQQYDKALDDAIKARLLNPKWPKAYFRQGVALQYLGRHADALAAFASGLAQDPKSLQLLVGMVEAAMKSPMRDSLEPTYQQLQKMKLDKSPFVVVSVVGQELLTAGHHGASVVVLEAALKIGTCSLKLRGSVFSALSSAYWSLGNTEKSTGYMQQDLDVAKTLGDQTGECRAHGNLGSAFFSKGNYREALTNHRHQLVLAMKLKDREAASSALSSLGHVYTAIGDYPNALASHKQCVLLAKQSKDELSEARELGNMGAVYIAMGDFENAVQCHEQHLKIAKDLGNKREEARAYSNLGSAYHYRRNFDKAMSYHNYVLELAQELMEKAIEMRAYAGLGHAARCMQDLERAKQYHEQQLGIAEDLKDRAAEGRASSNLGIIHQMKGDYDTALKLHKTHLCIAQELSDYAAQGRAYGNMGNAYNALGMYDQAVKYHRQELQISMEVNDRASQASTHGNLAVAYQALGAHDRALQHYQNHLNIARELRDIQSEARALSNLGNFHCSRGEYVQAAPYYEQYLRLAPDLQDMEGEGKVCHNLGYAHYCLGNYQEAVKYYEQDLALAKDLHDKLSQAKAYCNLGLAFKALLNFSKAEECQKYLLSLAQSLNNSQAKFRALGNLGDIFICKKDINGAIKFYEQQLGLAHQVKDRRLEASAYAALGTAYRMIQKYDKALGYHTQELEVYQELSDLPGECRAHGHLAAVYMALGKYTMAFKCYEEQLDLGQKLKDPSLEAQVYGNMGITKMNMNVMEEAIGYFEQQLAMLQQLSGNESVLDRGRAYGNLGDCYEALGDYEEAIKYYEQYLSVAQSLNRMQDQAKAYRGLGNGHRAMGSLQQALVCFEKRLVVAHELGEAFNKAQAYGELGSLHSQLGNYEQAISCLERQLNIARDMKDRALESDAACGLGGVYQQMGEYDTALQYHQLDLQIAEETNNPTCQGRAYGNLGLTYESLGTFERAVVYQEQHLSIAAQMNDLAAKTVSYSSLGRTHHALQNYSQAVMYLQEGLRLAEQLGRREDEAKIRHGLGLSLWASGNLEEAQHQLYRASALFETIRHEAQLSTDYKLSLFDLQTSSYQALQRVLVSLGHHDEALAVAERGRTRAFADLLVERQTGQQDSDPYSPVTIDQILEMVNGQRGLVLYYSLAAGYLYSWLLAPGAGIVKFHEHYLGENTVENSSDFQASSSVTLPTATGSALEQHIASVREALGVESHYSRACASSETESEAGDIMDQQFEEMNNKLNSVTDPTGFLRMVRRNNLFNRSCQSMTSLFSNTVSPTQDGTSSLPRRQSSFAKPPLRALYDLLIAPMEGGLMHSSGPVGRHRQLILVLEGELYLIPFALLKGSSSNEYLYERFGLLAVPSIRSLSVQSKSHLRKNPPTYSSSTSMAAVIGNPKLPSAVMDRWLWGPMPSAEEEAYMVSELLGCQPLVGSVATKERVMSALTQAECVHFATHISWKLSALVLTPSMDGNPASSKSSFGHPYTIPESLRVQDDASDGESISDCPPLQELLLTAADVLDLQLPVKLVVLGSSQESNSKVTADGVIALTRAFLAAGAQCVLVSLWPVPVAASKMFIHAFYSSLLNGLKASAALGEAMKVVQSSKAFSHPSNWAGFMLIGSDVKLNSPSSLIGQALTEILQHPERARDALRVLLHLVEKSLQRIQNGQRNAMYTSQQSVENKVGGIPGWQALLTAVGFRLDPPTSGLPAAVFFPTSDPGDRLQQCSSTLQSLLGLPNPALQALCKLITASETGEQLISRAVKNMVGMLHQVLVQLQAGEKEQDLASAPIQVSISVQLWRLPGCHEFLAALGFDLCEVGQEEVILKTGKQANRRTVHFALQSLLSLFDSTELPKRLSLDSSSSLESLASAQSVSNALPLGYQQPPFSPTGADSIASDAISVYSLSSIASSMSFVSKPEGGSEGGGPGGRQDHDRSKNAYLQRSTLPRSQLPPQTRPAGNKDEEEYEGFSIISNEPLATYQENRNTCFSPDHKQPQPGTAGGMRVSVSSKGSISTPNSPVKMTLIPSPNSPFQKVGKLASSDTGESDQSSTETDSTVKSQEESNPKLDPQELAQKILEETQSHLIAVERLQRSGGQVSKSNNPEDGVQAPSSTAVFRASETSAFSRPVLSHQKSQPSPVTVKPKPPARSSSLPKVSSGYSSPTTSEMSIKDSPSQHSGRPSPGCDSQTSQLDQPLFKLKYPSSPYSAHISKSPRNMSPSSGHQSPAGSAPSPALSYSSAGSARSSPADAPDIDKLKMAAIDEKVQAVHNLKMFWQSTPQHSTGPMKIFRGAPGTMTSKRDVLSLLNLSPRHNKKEEGVDKLELKELSLQQHDGAPPKAPPNGHWRTETTSLGSLPLPAGPPATAPARPLRLPSGNGYKFLSPGRFFPSSKC
+>DECOY_sp|Q96AY4|TTC28_HUMAN Tetratricopeptide repeat protein 28 OS=Homo sapiens OX=9606 GN=TTC28 PE=1 SV=4
+CKSSPFFRGPSLFKYGNGSPLRLPRAPATAPPGAPLPLSGLSTTETRWHGNPPAKPPAGDHQQLSLEKLELKDVGEEKKNHRPSLNLLSLVDRKSTMTGPAGRFIKMPGTSHQPTSQWFMKLNHVAQVKEDIAAMKLKDIDPADAPSSRASGASSYSLAPSPASGAPSQHGSSPSMNRPSKSIHASYPSSPYKLKFLPQDLQSTQSDCGPSPRGSHQSPSDKISMESTTPSSYGSSVKPLSSSRAPPKPKVTVPSPQSKQHSLVPRSFASTESARFVATSSPAQVGDEPNNSKSVQGGSRQLREVAILHSQTEELIKQALEQPDLKPNSEEQSKVTSDTETSSQDSEGTDSSALKGVKQFPSNPSPILTMKVPSNPTSISGKSSVSVRMGGATGPQPQKHDPSFCTNRNEQYTALPENSIISFGEYEEEDKNGAPRTQPPLQSRPLTSRQLYANKSRDHDQRGGPGGGESGGEPKSVFSMSSAISSLSYVSIADSAISDAGTPSFPPQQYGLPLANSVSQASALSELSSSSDLSLRKPLETSDFLSLLSQLAFHVTRRNAQKGTKLIVEEQGVECLDFGLAALFEHCGPLRWLQVSISVQIPASALDQEKEGAQLQVLVQHLMGVMNKVARSILQEGTESATILKCLAQLAPNPLGLLSQLTSSCQQLRDGPDSTPFFVAAPLGSTPPDLRFGVATLLAQWGPIGGVKNEVSQQSTYMANRQGNQIRQLSKEVLHLLVRLADRAREPHQLIETLAQGILSSPSNLKVDSGILMFGAWNSPHSFAKSSQVVKMAEGLAASAKLGNLLSSYFAHIFMKSAAVPVPWLSVLVCQAGAALFARTLAIVGDATVKSNSEQSSGLVVLKVPLQLDLVDAATLLLEQLPPCDSISEGDSADDQVRLSEPITYPHGFSSKSSAPNGDMSPTLVLASLKWSIHTAFHVCEAQTLASMVREKTAVSGVLPQCGLLESVMYAEEEASPMPGWLWRDMVASPLKPNGIVAAMSTSSSYTPPNKRLHSKSQVSLSRISPVALLGFREYLYENSSSGKLLAFPILYLEGELVLILQRHRGVPGSSHMLGGEMPAILLDYLARLPPKAFSSQRRPLSSTGDQTPSVTNSFLSTMSQCSRNFLNNRRVMRLFGTPDTVSNLKNNMEEFQQDMIDGAESETESSACARSYHSEVGLAERVSAIHQELASGTATPLTVSSSAQFDSSNEVTNEGLYHEHFKVIGAGPALLWSYLYGAALSYYLVLGRQGNVMELIQDITVPSYPDSDQQGTQREVLLDAFARTRGREAVALAEDHHGLSVLVRQLAQYSSTQLDFLSLKYDTSLQAEHRITEFLASARYLQHQAEELNGSAWLSLGLGHRIKAEDERRGLQEALRLGEQLYMVAQSYNQLAHHTRGLSSYSVTKAALDNMQAAISLHQEQYVVAREFTGLSEYTLGLNGYARGQCTPNNTEEAIQLDLQHYQLATDYEGMQQYVGGLGCAADSELARDKMDRAINLQRELCSIAQEYNGLQSHLSGLEGYAQAKNFAEGLEHAVVLRKEFCVLAQQLSGMARHGNGLGRYAKAQDQMRNLSQAVSLYQEYYKIAEEYDGLAEYCDGLNGYARGRDLVSENGSLQQLMALQQEFYGIAEEMVNMNMKTIGMNGYVQAELSPDKLKQGLDLQEEYCKFAMTYKGLAMYVAALHGHARCEGPLDSLEQYVELEQTHYGLAKDYKQIMRYATGLAAYASAELRRDKVQHALGLQQEYFKIAGNIDKKCIFIDGLNGLARFKAQSNNLSQALSLLYKQCEEAKSFNLLAKFALGLNCYAKAQSLKDHLDKALALDQEYYKVAEQYNGLCYHAYGLNHCVKGEGEMDQLDPALRLYQEYYPAAQVYEGRSCHFNGLNSLARAESQIDRLERAINLHNQYHQLARDHAGLAQYAVALNGHTSAQSARDNVEMSIQLEQRHYKVAQDYMGLANYANGMNGYARGQAAYDSLEQAICLHTKHLKLATDYDGKMQHIIGLNSSARGEAARDKLDEAIGLQQEHYQKARELDQMCRAAHGLGAYARMEIAKEMLEQALELVYNHYSMAKDFNRRYHYASGLNSYARAEERKNGLDKAIKLHQEHCQVANEFDGMAIYVAGMNGLERAESLEDKSQKALLVCQKHSALANPYDGIATYVHGLSSLASSAAERDKLKMALVLQHRHNTLAERYNGKSFFASGLNGHARCEGTQDGLTKAVDLDQQMYGTSKETNGLSWYASSLASFVSGRLKLSCTGIKLAAELVVVSAGHHGATLLEQGVVSVVVFPSKDLKMKQLQQYTPELSDRMPSKMAAEVMGVLLQLSKPDQALGSAFAALADAHRGLYQLAVGQRFYAKPWKPNLLRAKIADDLAKDYQQIKMYAASRNSYLICNQPDVALAENYLVIATHFDGDHCAQNSQRVKEVFEAKSLVPGDPSRQGITDAGFLPIPASAPPSEPERRRRSRAPTPGQTPEPAPPPSQEM
+>sp|Q49AM3|TTC31_HUMAN Tetratricopeptide repeat protein 31 OS=Homo sapiens OX=9606 GN=TTC31 PE=1 SV=3
+MAPIPKTVGRIKLDCSLRPSCPLEVAAAPKLCKEFGPEDYGEEDIVDFLRRLVESDPQGLHRIHVDGSSGRLQLWHHDYLLGHLDDEGKSTGQSDRGKGAEGLGTYCGLRKSFLYPPQESEPCPQSPSASATFPSVSDSLLQVAMPQKLLVTEEEANRLAEELVAEEERMKQKAEKKRLKKKRQKERKRQERLEQYCGEPKASTTSDGDESPPSSPGNPVQGQCGEEEDSLDLSSTFVSLALRKVGDWPLSARREKGLNQEPQGRGLALQKMGQEEESPPREERPQQSPKVQASPGLLAAALQQSQELAKLGTSFAQNGFYHEAVVLFTQALKLNPQDHRLFGNRSFCHERLGQPAWALADAQVALTLRPGWPRGLFRLGKALMGLQRFREAAAVFQETLRGGSQPDAARELRSCLLHLTLQGQRGGICAPPLSPGALQPLPHAELAPSGLPSLRCPRSTALRSPGLSPLLHYPSCHRSHPNQPLSQTQSRRPHPLKPQDPSKGWDILGLGLQHLSQAR
+>DECOY_sp|Q49AM3|TTC31_HUMAN Tetratricopeptide repeat protein 31 OS=Homo sapiens OX=9606 GN=TTC31 PE=1 SV=3
+RAQSLHQLGLGLIDWGKSPDQPKLPHPRRSQTQSLPQNPHSRHCSPYHLLPSLGPSRLATSRPCRLSPLGSPALEAHPLPQLAGPSLPPACIGGRQGQLTLHLLCSRLERAADPQSGGRLTEQFVAAAERFRQLGMLAKGLRFLGRPWGPRLTLAVQADALAWAPQGLREHCFSRNGFLRHDQPNLKLAQTFLVVAEHYFGNQAFSTGLKALEQSQQLAAALLGPSAQVKPSQQPREERPPSEEEQGMKQLALGRGQPEQNLGKERRASLPWDGVKRLALSVFTSSLDLSDEEEGCQGQVPNGPSSPPSEDGDSTTSAKPEGCYQELREQRKREKQRKKKLRKKEAKQKMREEEAVLEEALRNAEEETVLLKQPMAVQLLSDSVSPFTASASPSQPCPESEQPPYLFSKRLGCYTGLGEAGKGRDSQGTSKGEDDLHGLLYDHHWLQLRGSSGDVHIRHLGQPDSEVLRRLFDVIDEEGYDEPGFEKCLKPAAAVELPCSPRLSCDLKIRGVTKPIPAM
+>sp|A8MYJ7|TTC34_HUMAN Tetratricopeptide repeat protein 34 OS=Homo sapiens OX=9606 GN=TTC34 PE=2 SV=2
+MLQRSPRAGPSRAQGRREAAETGGPTTQEGVACGVHQLATLLMELDSEDEASRLLAADALYRLGRLEETHKALLVALSRRPQAAPVLARLALLQLRRGFFYDANQLVKKLVQSGDTACLQPTLDVFCHEDRQLLQGHCHARALAILRARPGGADGRVHTKEAIAYLSLAIFAAGSQASESLLARARCYGFLGQKKTAMFDFNTVLRAEPGNVQALCGRALVHLALDQLQEAVDDIVSALKLGPGTVVPELRSLKPEAQALITQGLYSHCRALLSQLPDTGAPLEDKDTQGLLAVGEALIKIDSGQPHWHLLLADILMAQGSYEEAGTHLEKALHRAPTSEAARARLGLLQLKKGDVPGAARDLQSLAEVDAPDLSCLLHLLEASERQSLAQAAAQEAGTLLDAGQPRQALGYCSLSVLASGSSACHLRLRATCLAELQEFGRALRDLDHVLQEALGDGDLPRRAEDFCRQGRLLLSLGDEAAAAGAFAQALKLAPSLAQNSLCRQPGRAPTARMFLLRGQCCLEEQRHAEAWTAVESGLLVDPDHRGLKRLKARIRREASSGCWLQ
+>DECOY_sp|A8MYJ7|TTC34_HUMAN Tetratricopeptide repeat protein 34 OS=Homo sapiens OX=9606 GN=TTC34 PE=2 SV=2
+QLWCGSSAERRIRAKLRKLGRHDPDVLLGSEVATWAEAHRQEELCCQGRLLFMRATPARGPQRCLSNQALSPALKLAQAFAGAAAAEDGLSLLLRGQRCFDEARRPLDGDGLAEQLVHDLDRLARGFEQLEALCTARLRLHCASSGSALVSLSCYGLAQRPQGADLLTGAEQAAAQALSQRESAELLHLLCSLDPADVEALSQLDRAAGPVDGKKLQLLGLRARAAESTPARHLAKELHTGAEEYSGQAMLIDALLLHWHPQGSDIKILAEGVALLGQTDKDELPAGTDPLQSLLARCHSYLGQTILAQAEPKLSRLEPVVTGPGLKLASVIDDVAEQLQDLALHVLARGCLAQVNGPEARLVTNFDFMATKKQGLFGYCRARALLSESAQSGAAFIALSLYAIAEKTHVRGDAGGPRARLIALARAHCHGQLLQRDEHCFVDLTPQLCATDGSQVLKKVLQNADYFFGRRLQLLALRALVPAAQPRRSLAVLLAKHTEELRGLRYLADAALLRSAEDESDLEMLLTALQHVGCAVGEQTTPGGTEAAERRGQARSPGARPSRQLM
+>sp|P53804|TTC3_HUMAN E3 ubiquitin-protein ligase TTC3 OS=Homo sapiens OX=9606 GN=TTC3 PE=1 SV=2
+MDNFAEGDFTVADYALLEDCPHVDDCVFAAEFMSNDYVRVTQLYCDGVGVQYKDYIQSERNLEFDICSIWCSKPISVLQDYCDAIKINIFWPLLFQHQNSSVISRLHPCVDANNSRASEINLKKLQHLELMEDIVDLAKKVANDSFLIGGLLRIGCKIENKILAMEEALNWIKYAGDVTILTKLGSIDNCWPMLSIFFTEYKYHITKIVMEDCNLLEELKTQSCMDCIEEGELMKMKGNEEFSKERFDIAIIYYTRAIEYRPENYLLYGNRALCFLRTGQFRNALGDGKRATILKNTWPKGHYRYCDALSMLGEYDWALQANIKAQKLCKNDPEGIKDLIQQHVKLQKQIEDLQGRTANKDPIKAFYENRAYTPRSLSAPIFTTSLNFVEKERDFRKINHEMANGGNQNLKVADEALKVDDCDCHPEFSPPSSQPPKHKGKQKSRNNESEKFSSSSPLTLPADLKNILEKQFSKSSRAAHQDFANIMKMLRSLIQDGYMALLEQRCRSAAQAFTELLNGLDPQKIKQLNLAMINYVLVVYGLAISLLGIGQPEELSEAENQFKRIIEHYPSEGLDCLAYCGIGKVYLKKNRFLEALNHFEKARTLIYRLPGVLTWPTSNVIIEESQPQKIKMLLEKFVEECKFPPVPDAICCYQKCHGYSKIQIYITDPDFKGFIRISCCQYCKIEFHMNCWKKLKTTTFNDKIDKDFLQGICLTPDCEGVISKIIIFSSGGEVKCEFEHKVIKEKVPPRPILKQKCSSLEKLRLKEDKKLKRKIQKKEAKKLAQERMEEDLRESNPPKNEEQKETVDNVQRCQFLDDRILQCIKQYADKIKSGIQNTAMLLKELLSWKVLSTEDYTTCFSSRNFLNEAVDYVIRHLIQENNRVKTRIFLHVLSELKEVEPKLAAWIQKLNSFGLDATGTFFSRYGASLKLLDFSIMTFLWNEKYGHKLDSIEGKQLDYFSEPASLKEARCLIWLLEEHRDKFPALHSALDEFFDIMDSRCTVLRKQDSGEAPFSSTKVKNKSKKKKPKDSKPMLVGSGTTSVTSNNEIITSSEDHSNRNSDSAGPFAVPDHLRQDVEEFEALYDQHSNEYVVRNKKLWDMNPKQKCSTLYDYFSQFLEEHGPLDMSNKMFSAEYEFFPEETRQILEKAGGLKPFLLGCPRFVVIDNCIALKKVASRLKKKRKKKNIKTKVEEISKAGEYVRVKLQLNPAAREFKPDVKSKPVSDSSSAPAFENVKPKPVSANSPKPACEDVKAKPVSDNSSRQVSEDGQPKGVSSNSPKPGSEDANYKRVSCNSPKPVLEDVKPTYWAQSHLVTGYCTYLPFQRFDITQTPPAYINVLPGLPQYTSIYTPLASLSPEYQLPRSVPVVPSFVANDRADKNAAAYFEGHHLNAENVAGHQIASETQILEGSLGISVKSHCSTGDAHTVLSESNRNDEHCGNSNNKCEVIPESTSAVTNIPHVQMVAIQVSWNIIHQEVNTEPYNPFEERQGEISRIEKEHQVLQDQLQEVYENYEQIKLKGLEETRDLEEKLKRHLEENKISKTELDWFLQDLEREIKKWQQEKKEIQERLKSLKKKIKKVSNASEMYTQKNDGKEKEHELHLDQSLEISNTLTNEKMKIEEYIKKGKEDYEESHQRAVAAEVSVLENWKESEVYKLQIMESQAEAFLKKLGLISRDPAAYPDMESDIRSWELFLSNVTKEIEKAKSQFEEQIKAIKNGSRLSELSKVQISELSFPACNTVHPELLPESSGDDGQGLVTSASDVTGNHAALHRDPSVFSAGDSPGEAPSALLPGPPPGQPEATQLTGPKRAGQAALSERSPVADRKQPVPPGRAARSSQSPKKPFNSIIEHLSVVFPCYNSTELAGFIKKVRSKNKNSLSGLSIDEIVQRVTEHILDEQKKKKPNPGKDKRTYEPSSATPVTRSSQGSPSVVVAPSPKTKGQKAEDVPVRIALGASSCEICHEVFKSKNVRVLKCGHKYHKGCFKQWLKGQSACPACQGRDLLTEESPSGRGWPSQNQELPSCSSR
+>DECOY_sp|P53804|TTC3_HUMAN E3 ubiquitin-protein ligase TTC3 OS=Homo sapiens OX=9606 GN=TTC3 PE=1 SV=2
+RSSCSPLEQNQSPWGRGSPSEETLLDRGQCAPCASQGKLWQKFCGKHYKHGCKLVRVNKSKFVEHCIECSSAGLAIRVPVDEAKQGKTKPSPAVVVSPSGQSSRTVPTASSPEYTRKDKGPNPKKKKQEDLIHETVRQVIEDISLGSLSNKNKSRVKKIFGALETSNYCPFVVSLHEIISNFPKKPSQSSRAARGPPVPQKRDAVPSRESLAAQGARKPGTLQTAEPQGPPPGPLLASPAEGPSDGASFVSPDRHLAAHNGTVDSASTVLGQGDDGSSEPLLEPHVTNCAPFSLESIQVKSLESLRSGNKIAKIQEEFQSKAKEIEKTVNSLFLEWSRIDSEMDPYAAPDRSILGLKKLFAEAQSEMIQLKYVESEKWNELVSVEAAVARQHSEEYDEKGKKIYEEIKMKENTLTNSIELSQDLHLEHEKEKGDNKQTYMESANSVKKIKKKLSKLREQIEKKEQQWKKIERELDQLFWDLETKSIKNEELHRKLKEELDRTEELGKLKIQEYNEYVEQLQDQLVQHEKEIRSIEGQREEFPNYPETNVEQHIINWSVQIAVMQVHPINTVASTSEPIVECKNNSNGCHEDNRNSESLVTHADGTSCHSKVSIGLSGELIQTESAIQHGAVNEANLHHGEFYAAANKDARDNAVFSPVVPVSRPLQYEPSLSALPTYISTYQPLGPLVNIYAPPTQTIDFRQFPLYTCYGTVLHSQAWYTPKVDELVPKPSNCSVRKYNADESGPKPSNSSVGKPQGDESVQRSSNDSVPKAKVDECAPKPSNASVPKPKVNEFAPASSSDSVPKSKVDPKFERAAPNLQLKVRVYEGAKSIEEVKTKINKKKRKKKLRSAVKKLAICNDIVVFRPCGLLFPKLGGAKELIQRTEEPFFEYEASFMKNSMDLPGHEELFQSFYDYLTSCKQKPNMDWLKKNRVVYENSHQDYLAEFEEVDQRLHDPVAFPGASDSNRNSHDESSTIIENNSTVSTTGSGVLMPKSDKPKKKKSKNKVKTSSFPAEGSDQKRLVTCRSDMIDFFEDLASHLAPFKDRHEELLWILCRAEKLSAPESFYDLQKGEISDLKHGYKENWLFTMISFDLLKLSAGYRSFFTGTADLGFSNLKQIWAALKPEVEKLESLVHLFIRTKVRNNEQILHRIVYDVAENLFNRSSFCTTYDETSLVKWSLLEKLLMATNQIGSKIKDAYQKICQLIRDDLFQCRQVNDVTEKQEENKPPNSERLDEEMREQALKKAEKKQIKRKLKKDEKLRLKELSSCKQKLIPRPPVKEKIVKHEFECKVEGGSSFIIIKSIVGECDPTLCIGQLFDKDIKDNFTTTKLKKWCNMHFEIKCYQCCSIRIFGKFDPDTIYIQIKSYGHCKQYCCIADPVPPFKCEEVFKELLMKIKQPQSEEIIVNSTPWTLVGPLRYILTRAKEFHNLAELFRNKKLYVKGIGCYALCDLGESPYHEIIRKFQNEAESLEEPQGIGLLSIALGYVVLVYNIMALNLQKIKQPDLGNLLETFAQAASRCRQELLAMYGDQILSRLMKMINAFDQHAARSSKSFQKELINKLDAPLTLPSSSSFKESENNRSKQKGKHKPPQSSPPSFEPHCDCDDVKLAEDAVKLNQNGGNAMEHNIKRFDREKEVFNLSTTFIPASLSRPTYARNEYFAKIPDKNATRGQLDEIQKQLKVHQQILDKIGEPDNKCLKQAKINAQLAWDYEGLMSLADCYRYHGKPWTNKLITARKGDGLANRFQGTRLFCLARNGYLLYNEPRYEIARTYYIIAIDFREKSFEENGKMKMLEGEEICDMCSQTKLEELLNCDEMVIKTIHYKYETFFISLMPWCNDISGLKTLITVDGAYKIWNLAEEMALIKNEIKCGIRLLGGILFSDNAVKKALDVIDEMLELHQLKKLNIESARSNNADVCPHLRSIVSSNQHQFLLPWFINIKIADCYDQLVSIPKSCWISCIDFELNRESQIYDKYQVGVGDCYLQTVRVYDNSMFEAAFVCDDVHPCDELLAYDAVTFDGEAFNDM
+>sp|Q86TZ1|TTC6_HUMAN Tetratricopeptide repeat protein 6 OS=Homo sapiens OX=9606 GN=TTC6 PE=2 SV=1
+MMKYYDLAKFTIYQIAEMDKGLSELSPMQQALIYSFCENHDKAIEVLDGISWNRAEMTMCALLAKVQMKAKRTKEAVEVLKKALDAISHSDKGPDATAISADCLYNLGLCYMEEGNLQMTYKLAITDLTTAISMDKNSYTAFYNRALCYTKIRELQMALTDYGIVLLLDATETVKLNTFLNRGLIYVELGQYGFALEDFKQAALISRTNGSLCHATAMCHHRINEFEEAVNFFTWALKINPCFLDAYVGRGNSYMEYGHDEATKQAQKDFLKALHINPAYIKARISFGYNLQAQGKFQKAWNHFTIAIDTDPKNYLAYEGRAVVCLQMGNNFAAMQDINAAMKISTTAEFLTNRGVIHEFMGHKQNAMKDYQDAITLNPKYSLAYFNAGNIYFHHRQFSQASDYFSKALKFDPENEYVLMNRAITNTILKKYEEAKEDFANVIESCPFWAAVYFNRAHFYYCLKQYELAEEDLNKALSLKPNDALVYNFRAKVRGKIGLIEEAMADYNQALDLEDYASVI
+>DECOY_sp|Q86TZ1|TTC6_HUMAN Tetratricopeptide repeat protein 6 OS=Homo sapiens OX=9606 GN=TTC6 PE=2 SV=1
+IVSAYDELDLAQNYDAMAEEILGIKGRVKARFNYVLADNPKLSLAKNLDEEALEYQKLCYYFHARNFYVAAWFPCSEIVNAFDEKAEEYKKLITNTIARNMLVYENEPDFKLAKSFYDSAQSFQRHHFYINGANFYALSYKPNLTIADQYDKMANQKHGMFEHIVGRNTLFEATTSIKMAANIDQMAAFNNGMQLCVVARGEYALYNKPDTDIAITFHNWAKQFKGQAQLNYGFSIRAKIYAPNIHLAKLFDKQAQKTAEDHGYEMYSNGRGVYADLFCPNIKLAWTFFNVAEEFENIRHHCMATAHCLSGNTRSILAAQKFDELAFGYQGLEVYILGRNLFTNLKVTETADLLLVIGYDTLAMQLERIKTYCLARNYFATYSNKDMSIATTLDTIALKYTMQLNGEEMYCLGLNYLCDASIATADPGKDSHSIADLAKKLVEVAEKTRKAKMQVKALLACMTMEARNWSIGDLVEIAKDHNECFSYILAQQMPSLESLGKDMEAIQYITFKALDYYKMM
+>sp|Q86TV6|TTC7B_HUMAN Tetratricopeptide repeat protein 7B OS=Homo sapiens OX=9606 GN=TTC7B PE=1 SV=3
+MATKKAGSRLETEIERCRSECQWERIPELVKQLSAKLIANDDMAELLLGESKLEQYLKEHPLRQGASPRGPKPQLTEVRKHLTAALDRGNLKSEFLQESNLIMAKLNYVEGDYKEALNIYARVGLDDLPLTAVPPYRLRVIAEAYATKGLCLEKLPISSSTSNLHVDREQDVITCYEKAGDIALLYLQEIERVILSNIQNRSPKPGPAPHDQELGFFLETGLQRAHVLYFKNGNLTRGVGRFRELLRAVETRTTQNLRMTIARQLAEILLRGMCEQSYWNPLEDPPCQSPLDDPLRKGANTKTYTLTRRARVYSGENIFCPQENTEEALLLLLISESMANRDAVLSRIPEHKSDRLISLQSASVVYDLLTIALGRRGQYEMLSECLERAMKFAFEEFHLWYQFALSLMAAGKSARAVKVLKECIRLKPDDATIPLLAAKLCMGSLHWLEEAEKFAKTVVDVGEKTSEFKAKGYLALGLTYSLQATDASLRGMQEVLQRKALLAFQRAHSLSPTDHQAAFYLALQLAISRQIPEALGYVRQALQLQGDDANSLHLLALLLSAQKHYHDALNIIDMALSEYPENFILLFSKVKLQSLCRGPDEALLTCKHMLQIWKSCYNLTNPSDSGRGSSLLDRTIADRRQLNTITLPDFSDPETGSVHATSVAASRVEQALSEVASSLQSSAPKQGPLHPWMTLAQIWLHAAEVYIGIGKPAEATACTQEAANLFPMSHNVLYMRGQIAELRGSMDEARRWYEEALAISPTHVKSMQRLALILHQLGRYSLAEKILRDAVQVNSTAHEVWNGLGEVLQAQGNDAAATECFLTALELEASSPAVPFTIIPRVL
+>DECOY_sp|Q86TV6|TTC7B_HUMAN Tetratricopeptide repeat protein 7B OS=Homo sapiens OX=9606 GN=TTC7B PE=1 SV=3
+LVRPIITFPVAPSSAELELATLFCETAAADNGQAQLVEGLGNWVEHATSNVQVADRLIKEALSYRGLQHLILALRQMSKVHTPSIALAEEYWRRAEDMSGRLEAIQGRMYLVNHSMPFLNAAEQTCATAEAPKGIGIYVEAAHLWIQALTMWPHLPGQKPASSQLSSAVESLAQEVRSAAVSTAHVSGTEPDSFDPLTITNLQRRDAITRDLLSSGRGSDSPNTLNYCSKWIQLMHKCTLLAEDPGRCLSQLKVKSFLLIFNEPYESLAMDIINLADHYHKQASLLLALLHLSNADDGQLQLAQRVYGLAEPIQRSIALQLALYFAAQHDTPSLSHARQFALLAKRQLVEQMGRLSADTAQLSYTLGLALYGKAKFESTKEGVDVVTKAFKEAEELWHLSGMCLKAALLPITADDPKLRICEKLVKVARASKGAAMLSLAFQYWLHFEEFAFKMARELCESLMEYQGRRGLAITLLDYVVSASQLSILRDSKHEPIRSLVADRNAMSESILLLLLAEETNEQPCFINEGSYVRARRTLTYTKTNAGKRLPDDLPSQCPPDELPNWYSQECMGRLLIEALQRAITMRLNQTTRTEVARLLERFRGVGRTLNGNKFYLVHARQLGTELFFGLEQDHPAPGPKPSRNQINSLIVREIEQLYLLAIDGAKEYCTIVDQERDVHLNSTSSSIPLKELCLGKTAYAEAIVRLRYPPVATLPLDDLGVRAYINLAEKYDGEVYNLKAMILNSEQLFESKLNGRDLAATLHKRVETLQPKPGRPSAGQRLPHEKLYQELKSEGLLLEAMDDNAILKASLQKVLEPIREWQCESRCREIETELRSGAKKTAM
+>sp|Q15361|TTF1_HUMAN Transcription termination factor 1 OS=Homo sapiens OX=9606 GN=TTF1 PE=1 SV=3
+MEGESSRFEIHTPVSDKKKKKCSIHKERPQKHSHEIFRDSSLVNEQSQITRRKKRKKDFQHLISSPLKKSRICDETANATSTLKKRKKRRYSALEVDEEAGVTVVLVDKENINNTPKHFRKDVDVVCVDMSIEQKLPRKPKTDKFQVLAKSHAHKSEALHSKVREKKNKKHQRKAASWESQRARDTLPQSESHQEESWLSVGPGGEITELPASAHKNKSKKKKKKSSNREYETLAMPEGSQAGREAGTDMQESQPTVGLDDETPQLLGPTHKKKSKKKKKKKSNHQEFEALAMPEGSQVGSEVGADMQESRPAVGLHGETAGIPAPAYKNKSKKKKKKSNHQEFEAVAMPESLESAYPEGSQVGSEVGTVEGSTALKGFKESNSTKKKSKKRKLTSVKRARVSGDDFSVPSKNSESTLFDSVEGDGAMMEEGVKSRPRQKKTQACLASKHVQEAPRLEPANEEHNVETAEDSEIRYLSADSGDADDSDADLGSAVKQLQEFIPNIKDRATSTIKRMYRDDLERFKEFKAQGVAIKFGKFSVKENKQLEKNVEDFLALTGIESADKLLYTDRYPEEKSVITNLKRRYSFRLHIGRNIARPWKLIYYRAKKMFDVNNYKGRYSEGDTEKLKMYHSLLGNDWKTIGEMVARSSLSVALKFSQISSQRNRGAWSKSETRKLIKAVEEVILKKMSPQELKEVDSKLQENPESCLSIVREKLYKGISWVEVEAKVQTRNWMQCKSKWTEILTKRMTNGRRIYYGMNALRAKVSLIERLYEINVEDTNEIDWEDLASAIGDVPPSYVQTKFSRLKAVYVPFWQKKTFPEIIDYLYETTLPLLKEKLEKMMEKKGTKIQTPAAPKQVFPFRDIFYYEDDSEGEDIEKESEGQAPCMAHACNSSTLGGQGRWII
+>DECOY_sp|Q15361|TTF1_HUMAN Transcription termination factor 1 OS=Homo sapiens OX=9606 GN=TTF1 PE=1 SV=3
+IIWRGQGGLTSSNCAHAMCPAQGESEKEIDEGESDDEYYFIDRFPFVQKPAAPTQIKTGKKEMMKELKEKLLPLTTEYLYDIIEPFTKKQWFPVYVAKLRSFKTQVYSPPVDGIASALDEWDIENTDEVNIEYLREILSVKARLANMGYYIRRGNTMRKTLIETWKSKCQMWNRTQVKAEVEVWSIGKYLKERVISLCSEPNEQLKSDVEKLEQPSMKKLIVEEVAKILKRTESKSWAGRNRQSSIQSFKLAVSLSSRAVMEGITKWDNGLLSHYMKLKETDGESYRGKYNNVDFMKKARYYILKWPRAINRGIHLRFSYRRKLNTIVSKEEPYRDTYLLKDASEIGTLALFDEVNKELQKNEKVSFKGFKIAVGQAKFEKFRELDDRYMRKITSTARDKINPIFEQLQKVASGLDADSDDADGSDASLYRIESDEATEVNHEENAPELRPAEQVHKSALCAQTKKQRPRSKVGEEMMAGDGEVSDFLTSESNKSPVSFDDGSVRARKVSTLKRKKSKKKTSNSEKFGKLATSGEVTGVESGVQSGEPYASELSEPMAVAEFEQHNSKKKKKKSKNKYAPAPIGATEGHLGVAPRSEQMDAGVESGVQSGEPMALAEFEQHNSKKKKKKKSKKKHTPGLLQPTEDDLGVTPQSEQMDTGAERGAQSGEPMALTEYERNSSKKKKKKSKNKHASAPLETIEGGPGVSLWSEEQHSESQPLTDRARQSEWSAAKRQHKKNKKERVKSHLAESKHAHSKALVQFKDTKPKRPLKQEISMDVCVVDVDKRFHKPTNNINEKDVLVVTVGAEEDVELASYRRKKRKKLTSTANATEDCIRSKKLPSSILHQFDKKRKKRRTIQSQENVLSSDRFIEHSHKQPREKHISCKKKKKDSVPTHIEFRSSEGEM
+>sp|Q6NXR4|TTI2_HUMAN TELO2-interacting protein 2 OS=Homo sapiens OX=9606 GN=TTI2 PE=1 SV=1
+MELDSALEAPSQEDSNLSEELSHSAFGQAFSKILHCLARPEARRGNVKDAVLKDLGDLIEATEFDRLFEGTGARLRGMPETLGQVAKALEKYAAPSKEEEGGGDGHSEAAEKAAQVGLLFLKLLGKVETAKNSLVGPAWQTGLHHLAGPVYIFAITHSLEQPWTTPRSREVAREVLTSLLQVTECGSVAGFLHGENEDEKGRLSVILGLLKPDLYKESWKNNPAIKHVFSWTLQQVTRPWLSQHLERVLPASLVISDDYQTENKILGVHCLHHIVLNVPAADLLQYNRAQVLYHAISNHLYTPEHHLIQAVLLCLLDLFPILEKTLHWKGDGARPTTHCDEVLRLILTHMEPEHRLLLRRTYARNLPAFVNRLGILTVRHLKRLERVIIGYLEVYDGPEEEARLKILETLKLLMQHTWPRVSCRLVVLLKALLKLICDVARDPNLTPESVKSALLQEATDCLILLDRCSQGRVKGLLAKIPQSCEDRKVVNYIRKVQQVSEGAPYNGT
+>DECOY_sp|Q6NXR4|TTI2_HUMAN TELO2-interacting protein 2 OS=Homo sapiens OX=9606 GN=TTI2 PE=1 SV=1
+TGNYPAGESVQQVKRIYNVVKRDECSQPIKALLGKVRGQSCRDLLILCDTAEQLLASKVSEPTLNPDRAVDCILKLLAKLLVVLRCSVRPWTHQMLLKLTELIKLRAEEEPGDYVELYGIIVRELRKLHRVTLIGLRNVFAPLNRAYTRRLLLRHEPEMHTLILRLVEDCHTTPRAGDGKWHLTKELIPFLDLLCLLVAQILHHEPTYLHNSIAHYLVQARNYQLLDAAPVNLVIHHLCHVGLIKNETQYDDSIVLSAPLVRELHQSLWPRTVQQLTWSFVHKIAPNNKWSEKYLDPKLLGLIVSLRGKEDENEGHLFGAVSGCETVQLLSTLVERAVERSRPTTWPQELSHTIAFIYVPGALHHLGTQWAPGVLSNKATEVKGLLKLFLLGVQAAKEAAESHGDGGGEEEKSPAAYKELAKAVQGLTEPMGRLRAGTGEFLRDFETAEILDGLDKLVADKVNGRRAEPRALCHLIKSFAQGFASHSLEESLNSDEQSPAELASDLEM
+>sp|P33981|TTK_HUMAN Dual specificity protein kinase TTK OS=Homo sapiens OX=9606 GN=TTK PE=1 SV=2
+MESEDLSGRELTIDSIMNKVRDIKNKFKNEDLTDELSLNKISADTTDNSGTVNQIMMMANNPEDWLSLLLKLEKNSVPLSDALLNKLIGRYSQAIEALPPDKYGQNESFARIQVRFAELKAIQEPDDARDYFQMARANCKKFAFVHISFAQFELSQGNVKKSKQLLQKAVERGAVPLEMLEIALRNLNLQKKQLLSEEEKKNLSASTVLTAQESFSGSLGHLQNRNNSCDSRGQTTKARFLYGENMPPQDAEIGYRNSLRQTNKTKQSCPFGRVPVNLLNSPDCDVKTDDSVVPCFMKRQTSRSECRDLVVPGSKPSGNDSCELRNLKSVQNSHFKEPLVSDEKSSELIITDSITLKNKTESSLLAKLEETKEYQEPEVPESNQKQWQSKRKSECINQNPAASSNHWQIPELARKVNTEQKHTTFEQPVFSVSKQSPPISTSKWFDPKSICKTPSSNTLDDYMSCFRTPVVKNDFPPACQLSTPYGQPACFQQQQHQILATPLQNLQVLASSSANECISVKGRIYSILKQIGSGGSSKVFQVLNEKKQIYAIKYVNLEEADNQTLDSYRNEIAYLNKLQQHSDKIIRLYDYEITDQYIYMVMECGNIDLNSWLKKKKSIDPWERKSYWKNMLEAVHTIHQHGIVHSDLKPANFLIVDGMLKLIDFGIANQMQPDTTSVVKDSQVGTVNYMPPEAIKDMSSSRENGKSKSKISPKSDVWSLGCILYYMTYGKTPFQQIINQISKLHAIIDPNHEIEFPDIPEKDLQDVLKCCLKRDPKQRISIPELLAHPYVQIQTHPVNQMAKGTTEEMKYVLGQLVGLNSPNSILKAAKTLYEHYSGGESHNSSSSKTFEKKRGKK
+>DECOY_sp|P33981|TTK_HUMAN Dual specificity protein kinase TTK OS=Homo sapiens OX=9606 GN=TTK PE=1 SV=2
+KKGRKKEFTKSSSSNHSEGGSYHEYLTKAAKLISNPSNLGVLQGLVYKMEETTGKAMQNVPHTQIQVYPHALLEPISIRQKPDRKLCCKLVDQLDKEPIDPFEIEHNPDIIAHLKSIQNIIQQFPTKGYTMYYLICGLSWVDSKPSIKSKSKGNERSSSMDKIAEPPMYNVTGVQSDKVVSTTDPQMQNAIGFDILKLMGDVILFNAPKLDSHVIGHQHITHVAELMNKWYSKREWPDISKKKKLWSNLDINGCEMVMYIYQDTIEYDYLRIIKDSHQQLKNLYAIENRYSDLTQNDAEELNVYKIAYIQKKENLVQFVKSSGGSGIQKLISYIRGKVSICENASSSALVQLNQLPTALIQHQQQQFCAPQGYPTSLQCAPPFDNKVVPTRFCSMYDDLTNSSPTKCISKPDFWKSTSIPPSQKSVSFVPQEFTTHKQETNVKRALEPIQWHNSSAAPNQNICESKRKSQWQKQNSEPVEPEQYEKTEELKALLSSETKNKLTISDTIILESSKEDSVLPEKFHSNQVSKLNRLECSDNGSPKSGPVVLDRCESRSTQRKMFCPVVSDDTKVDCDPSNLLNVPVRGFPCSQKTKNTQRLSNRYGIEADQPPMNEGYLFRAKTTQGRSDCSNNRNQLHGLSGSFSEQATLVTSASLNKKEEESLLQKKQLNLNRLAIELMELPVAGREVAKQLLQKSKKVNGQSLEFQAFSIHVFAFKKCNARAMQFYDRADDPEQIAKLEAFRVQIRAFSENQGYKDPPLAEIAQSYRGILKNLLADSLPVSNKELKLLLSLWDEPNNAMMMIQNVTGSNDTTDASIKNLSLEDTLDENKFKNKIDRVKNMISDITLERGSLDESEM
+>sp|A6PVC2|TTLL8_HUMAN Protein monoglycylase TTLL8 OS=Homo sapiens OX=9606 GN=TTLL8 PE=2 SV=4
+MEPERKGLSLASSSDGDGREENKLKQGISQDLASSSRLDRYKIARQLTEKAIKEKKIFSIYGHYPVVRAALRRKGWVEKKFHFLPKVIPDVEDEGARVNDDTCAKVKENQEMALEKTDNIHDVMSRLVKNEMPYLLWTIKRDIIDYHSLTYDQMLNHYAKTASFTTKIGLCVNMRSLPWYVPANPDSFFPRCYSLCTESEQQEFLEDFRRTMASSILKWVVSHQSCSRSSRSKPRDQREEAGSSDLSSRQDAENAEAKLRGLPGQLVDIACKVCQAYLGQLEHEDIDTSADAVEDLTEAEWEDLTQQYYSLVHGDAFISNSRNYFSQCQALLNRITSVNPQTDIDGLRNIWIIKPAAKSRGRDIVCMDRVEEILELAAADHPLSRDNKWVVQKYIETPLLICDTKFDIRQWFLVTDWNPLTIWFYKESYLRFSTQRFSLDKLDSAIHLCNNAVQKYLKNDVGRSPLLPAHNMWTSTRFQEYLQRQGRGAVWGSVIYPSMKKAIAHAMKVAQDHVEPRKNSFELYGADFVLGRDFRPWLIEINSSPTMHPSTPVTAQLCAQVQEDTIKVAVDRSCDIGNFELLWRQPVVEPPPFSGSDLCVAGVSVRRARRQVLPVCNLKASASLLDAQPLKARGPSAMPDPAQGPPSPALQRDLGLKEEKGLPLALLAPLRGAAESGGAAQPTRTKAAGKVELPACPCRHVDSQAPNTGVPVAQPAKSWDPNQLNAHPLEPVLRGLKTAEGALRPPPGGKGEGTVCSRLPHHGHHVAACQTTGTTWDGGPGVCFLRQLLASELPMGPGLPRDPRAPPCLVCRGLLPPAGPCKRCRSFCAAVLQGASFVRLGGRSCSPRTP
+>DECOY_sp|A6PVC2|TTLL8_HUMAN Protein monoglycylase TTLL8 OS=Homo sapiens OX=9606 GN=TTLL8 PE=2 SV=4
+PTRPSCSRGGLRVFSAGQLVAACFSRCRKCPGAPPLLGRCVLCPPARPDRPLGPGMPLESALLQRLFCVGPGGDWTTGTTQCAAVHHGHHPLRSCVTGEGKGGPPPRLAGEATKLGRLVPELPHANLQNPDWSKAPQAVPVGTNPAQSDVHRCPCAPLEVKGAAKTRTPQAAGGSEAAGRLPALLALPLGKEEKLGLDRQLAPSPPGQAPDPMASPGRAKLPQADLLSASAKLNCVPLVQRRARRVSVGAVCLDSGSFPPPEVVPQRWLLEFNGIDCSRDVAVKITDEQVQACLQATVPTSPHMTPSSNIEILWPRFDRGLVFDAGYLEFSNKRPEVHDQAVKMAHAIAKKMSPYIVSGWVAGRGQRQLYEQFRTSTWMNHAPLLPSRGVDNKLYKQVANNCLHIASDLKDLSFRQTSFRLYSEKYFWITLPNWDTVLFWQRIDFKTDCILLPTEIYKQVVWKNDRSLPHDAAALELIEEVRDMCVIDRGRSKAAPKIIWINRLGDIDTQPNVSTIRNLLAQCQSFYNRSNSIFADGHVLSYYQQTLDEWEAETLDEVADASTDIDEHELQGLYAQCVKCAIDVLQGPLGRLKAEANEADQRSSLDSSGAEERQDRPKSRSSRSCSQHSVVWKLISSAMTRRFDELFEQQESETCLSYCRPFFSDPNAPVYWPLSRMNVCLGIKTTFSATKAYHNLMQDYTLSHYDIIDRKITWLLYPMENKVLRSMVDHINDTKELAMEQNEKVKACTDDNVRAGEDEVDPIVKPLFHFKKEVWGKRRLAARVVPYHGYISFIKKEKIAKETLQRAIKYRDLRSSSALDQSIGQKLKNEERGDGDSSSALSLGKREPEM
+>sp|Q3SXZ7|TTLL9_HUMAN Probable tubulin polyglutamylase TTLL9 OS=Homo sapiens OX=9606 GN=TTLL9 PE=2 SV=3
+MVPSREALLGPGTTAIRCPKKLQNQNYKGHGLSKGKEREQRASIRFKTTLMNTLMDVLRHRPGWVEVKDEGEWDFYWCDVSWLRENFDHTYMDEHVRISHFRNHYELTRKNYMVKNLKRFRKQLEREAGKLEAAKCDFFPKTFEMPCEYHLFVEEFRKNPGITWIMKPVARSQGKGIFLFRRLKDIVDWRKDTRSSDDQKDDIPVENYVAQRYIENPYLIGGRKFDLRVYVLVMSVFAECLLWSGHRRQDVHLTNVAVQKTSPDYHPKKGCKWTLQRFRQYLASKHGPEAVETLFRDIDNIFVKSLQSVQKVIISDKHCFELYGYDILIDQDLKPWLLEVNASPSLTASSQEDYELKTCLLEDTLHVVDMEARLTGREKRVGGFDLMWNDGPVSREEGAPDLSGMGNFVTNTHLGCVNDRKKQLRQLFCSLQVQKKASS
+>DECOY_sp|Q3SXZ7|TTLL9_HUMAN Probable tubulin polyglutamylase TTLL9 OS=Homo sapiens OX=9606 GN=TTLL9 PE=2 SV=3
+SSAKKQVQLSCFLQRLQKKRDNVCGLHTNTVFNGMGSLDPAGEERSVPGDNWMLDFGGVRKERGTLRAEMDVVHLTDELLCTKLEYDEQSSATLSPSANVELLWPKLDQDILIDYGYLEFCHKDSIIVKQVSQLSKVFINDIDRFLTEVAEPGHKSALYQRFRQLTWKCGKKPHYDPSTKQVAVNTLHVDQRRHGSWLLCEAFVSMVLVYVRLDFKRGGILYPNEIYRQAVYNEVPIDDKQDDSSRTDKRWDVIDKLRRFLFIGKGQSRAVPKMIWTIGPNKRFEEVFLHYECPMEFTKPFFDCKAAELKGAERELQKRFRKLNKVMYNKRTLEYHNRFHSIRVHEDMYTHDFNERLWSVDCWYFDWEGEDKVEVWGPRHRLVDMLTNMLTTKFRISARQEREKGKSLGHGKYNQNQLKKPCRIATTGPGLLAERSPVM
+>sp|Q8IXB3|TUSC5_HUMAN Tumor suppressor candidate 5 OS=Homo sapiens OX=9606 GN=TUSC5 PE=2 SV=2
+MAHPVQSEFPSAQEPGSAAFLDLPEMEILLTKAENKDDKTLNLSKTLSGPLDLEQNSQGLPFKAISEGHLEAPLPRSPSRASSRRASSIATTSYAQDQEAPRDYLILAVVACFCPVWPLNLIPLIISIMSRSSMQQGNVDGARRLGRLARLLSITLIIMGIVIIMVAVTVNFTVQKK
+>DECOY_sp|Q8IXB3|TUSC5_HUMAN Tumor suppressor candidate 5 OS=Homo sapiens OX=9606 GN=TUSC5 PE=2 SV=2
+KKQVTFNVTVAVMIIVIGMIILTISLLRALRGLRRAGDVNGQQMSSRSMISIILPILNLPWVPCFCAVVALILYDRPAEQDQAYSTTAISSARRSSARSPSRPLPAELHGESIAKFPLGQSNQELDLPGSLTKSLNLTKDDKNEAKTLLIEMEPLDLFAASGPEQASPFESQVPHAM
+>sp|Q9NYZ1|TV23B_HUMAN Golgi apparatus membrane protein TVP23 homolog B OS=Homo sapiens OX=9606 GN=TVP23B PE=1 SV=2
+MLQQDSNDDTEDVSLFDAEEETTNRPRKAKIRHPVASFFHLFFRVSAIIVYLLCGLLSSSFITCMVTIILLLSCDFWAVKNVTGRLMVGLRWWNHIDEDGKSHWVFESRKESSQENKTVSEAESRIFWLGLIACPVLWVIFAFSALFSFRVKWLAVVIMGVVLQGANLYGYIRCKVRSRKHLTSMATSYFGKQFLRQNTGDDQTS
+>DECOY_sp|Q9NYZ1|TV23B_HUMAN Golgi apparatus membrane protein TVP23 homolog B OS=Homo sapiens OX=9606 GN=TVP23B PE=1 SV=2
+STQDDGTNQRLFQKGFYSTAMSTLHKRSRVKCRIYGYLNAGQLVVGMIVVALWKVRFSFLASFAFIVWLVPCAILGLWFIRSEAESVTKNEQSSEKRSEFVWHSKGDEDIHNWWRLGVMLRGTVNKVAWFDCSLLLIITVMCTIFSSSLLGCLLYVIIASVRFFLHFFSAVPHRIKAKRPRNTTEEEADFLSVDETDDNSDQQLM
+>sp|A0JD32|TV382_HUMAN T cell receptor alpha variable 38-2/delta variable 8 OS=Homo sapiens OX=9606 GN=TRAV38-2DV8 PE=3 SV=1
+MACPGFLWALVISTCLEFSMAQTVTQSQPEMSVQEAETVTLSCTYDTSESDYYLFWYKQPPSRQMILVIRQEAYKQQNATENRFSVNFQKAAKSFSLKISDSQLGDAAMYFCAYRS
+>DECOY_sp|A0JD32|TV382_HUMAN T cell receptor alpha variable 38-2/delta variable 8 OS=Homo sapiens OX=9606 GN=TRAV38-2DV8 PE=3 SV=1
+SRYACFYMAADGLQSDSIKLSFSKAAKQFNVSFRNETANQQKYAEQRIVLIMQRSPPQKYWFLYYDSESTDYTCSLTVTEAEQVSMEPQSQTVTQAMSFELCTSIVLAWLFGPCAM
+>sp|A0A0A6YYK6|TVA16_HUMAN T cell receptor alpha variable 16 OS=Homo sapiens OX=9606 GN=TRAV16 PE=3 SV=5
+MKPTLISVLVIIFILRGTRAQRVTQPEKLLSVFKGAPVELKCNYSYSGSPELFWYVQYSRQRLQLLLRHISRESIKGFTADLNKGETSFHLKKPFAQEEDSAMYYCALS
+>DECOY_sp|A0A0A6YYK6|TVA16_HUMAN T cell receptor alpha variable 16 OS=Homo sapiens OX=9606 GN=TRAV16 PE=3 SV=5
+SLACYYMASDEEQAFPKKLHFSTEGKNLDATFGKISERSIHRLLLQLRQRSYQVYWFLEPSGSYSYNCKLEVPAGKFVSLLKEPQTVRQARTGRLIFIIVLVSILTPKM
+>sp|A0A0B4J276|TVA25_HUMAN T cell receptor alpha variable 25 OS=Homo sapiens OX=9606 GN=TRAV25 PE=3 SV=1
+MLLITSMLVLWMQLSQVNGQQVMQIPQYQHVQEGEDFTTYCNSSTTLSNIQWYKQRPGGHPVFLIQLVKSGEVKKQKRLTFQFGEAKKNSSLHITATQTTDVGTYFCAG
+>DECOY_sp|A0A0B4J276|TVA25_HUMAN T cell receptor alpha variable 25 OS=Homo sapiens OX=9606 GN=TRAV25 PE=3 SV=1
+GACFYTGVDTTQTATIHLSSNKKAEGFQFTLRKQKKVEGSKVLQILFVPHGGPRQKYWQINSLTTSSNCYTTFDEGEQVHQYQPIQMVQQGNVQSLQMWLVLMSTILLM
+>sp|A0A0B4J234|TVA2_HUMAN T cell receptor alpha variable 2 OS=Homo sapiens OX=9606 GN=TRAV2 PE=3 SV=1
+MALQSTLGAVWLGLLLNSLWKVAESKDQVFQPSTVASSEGAVVEIFCNHSVSNAYNFFWYLHFPGCAPRLLVKGSKPSQQGRYNMTYERFSSSLLILQVREADAAVYYCAVE
+>DECOY_sp|A0A0B4J234|TVA2_HUMAN T cell receptor alpha variable 2 OS=Homo sapiens OX=9606 GN=TRAV2 PE=3 SV=1
+EVACYYVAADAERVQLILLSSSFREYTMNYRGQQSPKSGKVLLRPACGPFHLYWFFNYANSVSHNCFIEVVAGESSAVTSPQFVQDKSEAVKWLSNLLLGLWVAGLTSQLAM
+>sp|A0A0B4J244|TVA3_HUMAN T cell receptor alpha variable 3 OS=Homo sapiens OX=9606 GN=TRAV3 PE=3 SV=1
+MASAPISMLAMLFTLSGLRAQSVAQPEDQVNVAEGNPLTVKCTYSVSGNPYLFWYVQYPNRGLQFLLKYITGDNLVKGSYGFEAEFNKSQTSFHLKKPSALVSDSALYFCAVRD
+>DECOY_sp|A0A0B4J244|TVA3_HUMAN T cell receptor alpha variable 3 OS=Homo sapiens OX=9606 GN=TRAV3 PE=3 SV=1
+DRVACFYLASDSVLASPKKLHFSTQSKNFEAEFGYSGKVLNDGTIYKLLFQLGRNPYQVYWFLYPNGSVSYTCKVTLPNGEAVNVQDEPQAVSQARLGSLTFLMALMSIPASAM
+>sp|A0A0B4J268|TVA4_HUMAN T cell receptor alpha variable 4 OS=Homo sapiens OX=9606 GN=TRAV4 PE=1 SV=1
+MRQVARVIVFLTLSTLSLAKTTQPISMDSYEGQEVNITCSHNNIATNDYITWYQQFPSQGPRFIIQGYKTKVTNEVASLFIPADRKSSTLSLPRVSLSDTAVYYCLVGD
+>DECOY_sp|A0A0B4J268|TVA4_HUMAN T cell receptor alpha variable 4 OS=Homo sapiens OX=9606 GN=TRAV4 PE=1 SV=1
+DGVLCYYVATDSLSVRPLSLTSSKRDAPIFLSAVENTVKTKYGQIIFRPGQSPFQQYWTIYDNTAINNHSCTINVEQGEYSDMSIPQTTKALSLTSLTLFVIVRAVQRM
+>sp|A0A0B4J237|TVA82_HUMAN T cell receptor alpha variable 8-2 OS=Homo sapiens OX=9606 GN=TRAV8-2 PE=3 SV=1
+MLLLLVPVLEVIFTLGGTRAQSVTQLDSHVSVSEGTPVLLRCNYSSSYSPSLFWYVQHPNKGLQLLLKYTSAATLVKGINGFEAEFKKSETSFHLTKPSAHMSDAAEYFCVVS
+>DECOY_sp|A0A0B4J237|TVA82_HUMAN T cell receptor alpha variable 8-2 OS=Homo sapiens OX=9606 GN=TRAV8-2 PE=3 SV=1
+SVVCFYEAADSMHASPKTLHFSTESKKFEAEFGNIGKVLTAASTYKLLLQLGKNPHQVYWFLSPSYSSSYNCRLLVPTGESVSVHSDLQTVSQARTGGLTFIVELVPVLLLLM
+>sp|A0A087WT02|TVA92_HUMAN T cell receptor alpha variable 9-2 OS=Homo sapiens OX=9606 GN=TRAV9-2 PE=3 SV=1
+MNYSPGLVSLILLLLGRTRGDSVTQMEGPVTLSEEAFLTINCTYTATGYPSLFWYVQYPGEGLQLLLKATKADDKGSNKGFEATYRKETTSFHLEKGSVQVSDSAVYFCALS
+>DECOY_sp|A0A087WT02|TVA92_HUMAN T cell receptor alpha variable 9-2 OS=Homo sapiens OX=9606 GN=TRAV9-2 PE=3 SV=1
+SLACFYVASDSVQVSGKELHFSTTEKRYTAEFGKNSGKDDAKTAKLLLQLGEGPYQVYWFLSPYGTATYTCNITLFAEESLTVPGEMQTVSDGRTRGLLLLILSVLGPSYNM
+>sp|A0A075B6T6|TVAL2_HUMAN T cell receptor alpha variable 12-2 OS=Homo sapiens OX=9606 GN=TRAV12-2 PE=1 SV=1
+MKSLRVLLVILWLQLSWVWSQQKEVEQNSGPLSVPEGAIASLNCTYSDRGSQSFFWYRQYSGKSPELIMFIYSNGDKEDGRFTAQLNKASQYVSLLIRDSQPSDSATYLCAVN
+>DECOY_sp|A0A075B6T6|TVAL2_HUMAN T cell receptor alpha variable 12-2 OS=Homo sapiens OX=9606 GN=TRAV12-2 PE=1 SV=1
+NVACLYTASDSPQSDRILLSVYQSAKNLQATFRGDEKDGNSYIFMILEPSKGSYQRYWFFSQSGRDSYTCNLSAIAGEPVSLPGSNQEVEKQQSWVWSLQLWLIVLLVRLSKM
+>sp|A0A0B4J265|TVAZ2_HUMAN T cell receptor alpha variable 26-2 OS=Homo sapiens OX=9606 GN=TRAV26-2 PE=3 SV=1
+MKLVTSITVLLSLGIMGDAKTTQPNSMESNEEEPVHLPCNHSTISGTDYIHWYRQLPSQGPEYVIHGLTSNVNNRMASLAIAEDRKSSTLILHRATLRDAAVYYCILRD
+>DECOY_sp|A0A0B4J265|TVAZ2_HUMAN T cell receptor alpha variable 26-2 OS=Homo sapiens OX=9606 GN=TRAV26-2 PE=3 SV=1
+DRLICYYVAADRLTARHLILTSSKRDEAIALSAMRNNVNSTLGHIVYEPGQSPLQRYWHIYDTGSITSHNCPLHVPEEENSEMSNPQTTKADGMIGLSLLVTISTVLKM
+>sp|A0A075B6N1|TVB19_HUMAN T cell receptor beta variable 19 OS=Homo sapiens OX=9606 GN=TRBV19 PE=1 SV=2
+MSNQVLCCVVLCLLGANTVDGGITQSPKYLFRKEGQNVTLSCEQNLNHDAMYWYRQDPGQGLRLIYYSQIVNDFQKGDIAEGYSVSREKKESFPLTVTSAQKNPTAFYLCASSI
+>DECOY_sp|A0A075B6N1|TVB19_HUMAN T cell receptor beta variable 19 OS=Homo sapiens OX=9606 GN=TRBV19 PE=1 SV=2
+ISSACLYFATPNKQASTVTLPFSEKKERSVSYGEAIDGKQFDNVIQSYYILRLGQGPDQRYWYMADHNLNQECSLTVNQGEKRFLYKPSQTIGGDVTNAGLLCLVVCCLVQNSM
+>sp|A0A577|TVB41_HUMAN T cell receptor beta variable 4-1 OS=Homo sapiens OX=9606 GN=TRBV4-1 PE=3 SV=1
+MGCRLLCCAVLCLLGAVPIDTEVTQTPKHLVMGMTNKKSLKCEQHMGHRAMYWYKQKAKKPPELMFVYSYEKLSINESVPSRFSPECPNSSLLNLHLHALQPEDSALYLCASSQ
+>DECOY_sp|A0A577|TVB41_HUMAN T cell receptor beta variable 4-1 OS=Homo sapiens OX=9606 GN=TRBV4-1 PE=3 SV=1
+QSSACLYLASDEPQLAHLHLNLLSSNPCEPSFRSPVSENISLKEYSYVFMLEPPKKAKQKYWYMARHGMHQECKLSKKNTMGMVLHKPTQTVETDIPVAGLLCLVACCLLRCGM
+>sp|A0A0C4DH59|TVB54_HUMAN T cell receptor beta variable 5-4 OS=Homo sapiens OX=9606 GN=TRBV5-4 PE=3 SV=1
+MGPGLLCWALLCLLGAGSVETGVTQSPTHLIKTRGQQVTLRCSSQSGHNTVSWYQQALGQGPQFIFQYYREEENGRGNFPPRFSGLQFPNYSSELNVNALELDDSALYLCASSL
+>DECOY_sp|A0A0C4DH59|TVB54_HUMAN T cell receptor beta variable 5-4 OS=Homo sapiens OX=9606 GN=TRBV5-4 PE=3 SV=1
+LSSACLYLASDDLELANVNLESSYNPFQLGSFRPPFNGRGNEEERYYQFIFQPGQGLAQQYWSVTNHGSQSSCRLTVQQGRTKILHTPSQTVGTEVSGAGLLCLLAWCLLGPGM
+>sp|A0A0A6YYG2|TVB66_HUMAN T cell receptor beta variable 6-6 OS=Homo sapiens OX=9606 GN=TRBV6-6 PE=3 SV=5
+MSISLLCCAAFPLLWAGPVNAGVTQTPKFRILKIGQSMTLQCAQDMNHNYMYWYRQDPGMGLKLIYYSVGAGITDKGEVPNGYNVSRSTTEDFPLRLELAAPSQTSVYFCASSY
+>DECOY_sp|A0A0A6YYG2|TVB66_HUMAN T cell receptor beta variable 6-6 OS=Homo sapiens OX=9606 GN=TRBV6-6 PE=3 SV=5
+YSSACFYVSTQSPAALELRLPFDETTSRSVNYGNPVEGKDTIGAGVSYYILKLGMGPDQRYWYMYNHNMDQACQLTMSQGIKLIRFKPTQTVGANVPGAWLLPFAACCLLSISM
+>sp|A0A1B0GXF2|TVB72_HUMAN T cell receptor beta variable 7-2 OS=Homo sapiens OX=9606 GN=TRBV7-2 PE=3 SV=1
+MGTRLLFWVAFCLLGADHTGAGVSQSPSNKVTEKGKDVELRCDPISGHTALYWYRQSLGQGLEFLIYFQGNSAPDKSGLPSDRFSAERTGGSVSTLTIQRTQQEDSAVYLCASSL
+>DECOY_sp|A0A1B0GXF2|TVB72_HUMAN T cell receptor beta variable 7-2 OS=Homo sapiens OX=9606 GN=TRBV7-2 PE=3 SV=1
+LSSACLYVASDEQQTRQITLTSVSGGTREASFRDSPLGSKDPASNGQFYILFELGQGLSQRYWYLATHGSIPDCRLEVDKGKETVKNSPSQSVGAGTHDAGLLCFAVWFLLRTGM
+>sp|A0A0K0K1G8|TVBJ2_HUMAN T cell receptor beta variable 10-2 OS=Homo sapiens OX=9606 GN=TRBV10-2 PE=3 SV=3
+MGTRLFFYVALCLLWAGHRDAGITQSPRYKITETGRQVTLMCHQTWSHSYMFWYRQDLGHGLRLIYYSAAADITDKGEVPDGYVVSRSKTENFPLTLESATRSQTSVYFCASSE
+>DECOY_sp|A0A0K0K1G8|TVBJ2_HUMAN T cell receptor beta variable 10-2 OS=Homo sapiens OX=9606 GN=TRBV10-2 PE=3 SV=3
+ESSACFYVSTQSRTASELTLPFNETKSRSVVYGDPVEGKDTIDAAASYYILRLGHGLDQRYWFMYSHSWTQHCMLTVQRGTETIKYRPSQTIGADRHGAWLLCLAVYFFLRTGM
+>sp|A0A0B4J2E0|TVBL4_HUMAN T cell receptor beta variable 12-4 OS=Homo sapiens OX=9606 GN=TRBV12-4 PE=1 SV=5
+MGSWTLCCVSLCILVAKHTDAGVIQSPRHEVTEMGQEVTLRCKPISGHDYLFWYRQTMMRGLELLIYFNNNVPIDDSGMPEDRFSAKMPNASFSTLKIQPSEPRDSAVYFCASSL
+>DECOY_sp|A0A0B4J2E0|TVBL4_HUMAN T cell receptor beta variable 12-4 OS=Homo sapiens OX=9606 GN=TRBV12-4 PE=1 SV=5
+LSSACFYVASDRPESPQIKLTSFSANPMKASFRDEPMGSDDIPVNNNFYILLELGRMMTQRYWFLYDHGSIPKCRLTVEQGMETVEHRPSQIVGADTHKAVLICLSVCCLTWSGM
+>sp|Q6UWH6|TX261_HUMAN Protein TEX261 OS=Homo sapiens OX=9606 GN=TEX261 PE=2 SV=1
+MWFMYLLSWLSLFIQVAFITLAVAAGLYYLAELIEEYTVATSRIIKYMIWFSTAVLIGLYVFERFPTSMIGVGLFTNLVYFGLLQTFPFIMLTSPNFILSCGLVVVNHYLAFQFFAEEYYPFSEVLAYFTFCLWIIPFAFFVSLSAGENVLPSTMQPGDDVVSNYFTKGKRGKRLGILVVFSFIKEAILPSRQKIY
+>DECOY_sp|Q6UWH6|TX261_HUMAN Protein TEX261 OS=Homo sapiens OX=9606 GN=TEX261 PE=2 SV=1
+YIKQRSPLIAEKIFSFVVLIGLRKGRKGKTFYNSVVDDGPQMTSPLVNEGASLSVFFAFPIIWLCFTFYALVESFPYYEEAFFQFALYHNVVVLGCSLIFNPSTLMIFPFTQLLGFYVLNTFLGVGIMSTPFREFVYLGILVATSFWIMYKIIRSTAVTYEEILEALYYLGAAVALTIFAVQIFLSLWSLLYMFWM
+>sp|Q6PKC3|TXD11_HUMAN Thioredoxin domain-containing protein 11 OS=Homo sapiens OX=9606 GN=TXNDC11 PE=1 SV=2
+MSECGGRGGGSSSSEDAEDEGGGGGGPAGSDCLSSSPTLATASSAGRLRRGLRGAFLMARQRPELLCGAVALGCALLLALKFTCSRAKDVIIPAKPPVSFFSLRSPVLDLFQGQLDYAEYVRRDSEVVLLFFYAPWCGQSIAARAEIEQAASRLSDQVLFVAINCWWNQGKCRKQKHFFYFPVIYLYHRSFGPIEYKGPMSAVYIEKFVRRVMKPLLYIPSQSELLDFLSNYEPGVLGYFEFSGSPQPPGYLTFFTSALHSLKKALESTSSPRALVSFTGEWHLETKIYVLDYLGTVRFGVITNKHLAKLVSLVHSGSVYLHRHFNTSLVFPREVLNYTAENICKWALENQETLFRWLRPHGGKSLLLNNELKKGPALFLFIPFNPLAESHPLIDEITEVALEYNNCHGDQVVERLLQHLRRVDAPVLESLALEVPAQLPDPPTITASPCCNTVVLPQWHSFSRTHNVCELCVNQTSGGMKPSSVSVPQCSFFEMAAALDSFYLKEQTFYHVASDSIECSNFLTSYSPFSYYTACCRTISRGVSGFIDSEQGVFEAPTVAFSSLEKKCEVDAPSSVPHIEENRYLFPEVDMTSTNFTGLSCRTNKTLNIYLLDSNLFWLYAERLGAPSSTQVKEFAAIVDVKEESHYILDPKQALMKLTLESFIQNFSVLYSPLKRHLIGSGSAQFPSQHLITEVTTDTFWEVVLQKQDVLLLYYAPWCGFCPSLNHIFIQLARNLPMDTFTVARIDVSQNDLPWEFMVDRLPTVLFFPCNRKDLSVKYPEDVPITLPNLLRFILHHSDPASSPQNVANSPTKECLQSEAVLQRGHISHLEREIQKLRAEISSLQRAQVQVESQLSSARRDEHRLRQQQRALEEQHSLLHAHSEQLQALYEQKTRELQELARKLQELADASENLLTENTWLKILVATMERKLEGRDGAESLAAQREVHPKQPEPSATPQLPGSSPPPANVSATLVSERNKENRTD
+>DECOY_sp|Q6PKC3|TXD11_HUMAN Thioredoxin domain-containing protein 11 OS=Homo sapiens OX=9606 GN=TXNDC11 PE=1 SV=2
+DTRNEKNRESVLTASVNAPPPSSGPLQPTASPEPQKPHVERQAALSEAGDRGELKREMTAVLIKLWTNETLLNESADALEQLKRALEQLERTKQEYLAQLQESHAHLLSHQEELARQQQRLRHEDRRASSLQSEVQVQARQLSSIEARLKQIERELHSIHGRQLVAESQLCEKTPSNAVNQPSSAPDSHHLIFRLLNPLTIPVDEPYKVSLDKRNCPFFLVTPLRDVMFEWPLDNQSVDIRAVTFTDMPLNRALQIFIHNLSPCFGCWPAYYLLLVDQKQLVVEWFTDTTVETILHQSPFQASGSGILHRKLPSYLVSFNQIFSELTLKMLAQKPDLIYHSEEKVDVIAAFEKVQTSSPAGLREAYLWFLNSDLLYINLTKNTRCSLGTFNTSTMDVEPFLYRNEEIHPVSSPADVECKKELSSFAVTPAEFVGQESDIFGSVGRSITRCCATYYSFPSYSTLFNSCEISDSAVHYFTQEKLYFSDLAAAMEFFSCQPVSVSSPKMGGSTQNVCLECVNHTRSFSHWQPLVVTNCCPSATITPPDPLQAPVELALSELVPADVRRLHQLLREVVQDGHCNNYELAVETIEDILPHSEALPNFPIFLFLAPGKKLENNLLLSKGGHPRLWRFLTEQNELAWKCINEATYNLVERPFVLSTNFHRHLYVSGSHVLSVLKALHKNTIVGFRVTGLYDLVYIKTELHWEGTFSVLARPSSTSELAKKLSHLASTFFTLYGPPQPSGSFEFYGLVGPEYNSLFDLLESQSPIYLLPKMVRRVFKEIYVASMPGKYEIPGFSRHYLYIVPFYFFHKQKRCKGQNWWCNIAVFLVQDSLRSAAQEIEARAAISQGCWPAYFFLLVVESDRRVYEAYDLQGQFLDLVPSRLSFFSVPPKAPIIVDKARSCTFKLALLLACGLAVAGCLLEPRQRAMLFAGRLGRRLRGASSATALTPSSSLCDSGAPGGGGGGEDEADESSSSGGGRGGCESM
+>sp|Q96J42|TXD15_HUMAN Thioredoxin domain-containing protein 15 OS=Homo sapiens OX=9606 GN=TXNDC15 PE=1 SV=1
+MVPAAGRRPPRVMRLLGWWQVLLWVLGLPVRGVEVAEESGRLWSEEQPAHPLQVGAVYLGEEELLHDPMGQDRAAEEANAVLGLDTQGDHMVMLSVIPGEAEDKVSSEPSGVTCGAGGAEDSRCNVRESLFSLDGAGAHFPDREEEYYTEPEVAESDAAPTEDSNNTESLKSPKVNCEERNITGLENFTLKILNMSQDLMDFLNPNGSDCTLVLFYTPWCRFSASLAPHFNSLPRAFPALHFLALDASQHSSLSTRFGTVAVPNILLFQGAKPMARFNHTDRTLETLKIFIFNQTGIEAKKNVVVTQADQIGPLPSTLIKSVDWLLVFSLFFLISFIMYATIRTESIRWLIPGQEQEHVE
+>DECOY_sp|Q96J42|TXD15_HUMAN Thioredoxin domain-containing protein 15 OS=Homo sapiens OX=9606 GN=TXNDC15 PE=1 SV=1
+EVHEQEQGPILWRISETRITAYMIFSILFFLSFVLLWDVSKILTSPLPGIQDAQTVVVNKKAEIGTQNFIFIKLTELTRDTHNFRAMPKAGQFLLINPVAVTGFRTSLSSHQSADLALFHLAPFARPLSNFHPALSASFRCWPTYFLVLTCDSGNPNLFDMLDQSMNLIKLTFNELGTINREECNVKPSKLSETNNSDETPAADSEAVEPETYYEEERDPFHAGAGDLSFLSERVNCRSDEAGGAGCTVGSPESSVKDEAEGPIVSLMVMHDGQTDLGLVANAEEAARDQGMPDHLLEEEGLYVAGVQLPHAPQEESWLRGSEEAVEVGRVPLGLVWLLVQWWGLLRMVRPPRRGAAPVM
+>sp|Q9NUQ3|TXLNG_HUMAN Gamma-taxilin OS=Homo sapiens OX=9606 GN=TXLNG PE=1 SV=2
+MATRVEEAARGRGGGAEEATEAGRGGRRRSPRQKFEIGTMEEAGICGLGVKADMLCNSQSNDILQHQGSNCGGTSNKHSLEEDEGSDFITENRNLVSPAYCTQESREEIPGGEARTDPPDGQQDSECNRNKEKTLGKEVLLLMQALNTLSTPEEKLAALCKKYADLLEESRSVQKQMKILQKKQAQIVKEKVHLQSEHSKAILARSKLESLCRELQRHNKTLKEENMQQAREEEERRKEATAHFQITLNEIQAQLEQHDIHNAKLRQENIELGEKLKKLIEQYALREEHIDKVFKHKELQQQLVDAKLQQTTQLIKEADEKHQREREFLLKEATESRHKYEQMKQQEVQLKQQLSLYMDKFEEFQTTMAKSNELFTTFRQEMEKMTKKIKKLEKETIIWRTKWENNNKALLQMAEEKTVRDKEYKALQIKLERLEKLCRALQTERNELNEKVEVLKEQVSIKAAIKAANRDLATPVMQPCTALDSHKELNTSSKRALGAHLEAEPKSQRSAVQKPPSTGSAPAIESVD
+>DECOY_sp|Q9NUQ3|TXLNG_HUMAN Gamma-taxilin OS=Homo sapiens OX=9606 GN=TXLNG PE=1 SV=2
+DVSEIAPASGTSPPKQVASRQSKPEAELHAGLARKSSTNLEKHSDLATCPQMVPTALDRNAAKIAAKISVQEKLVEVKENLENRETQLARCLKELRELKIQLAKYEKDRVTKEEAMQLLAKNNNEWKTRWIITEKELKKIKKTMKEMEQRFTTFLENSKAMTTQFEEFKDMYLSLQQKLQVEQQKMQEYKHRSETAEKLLFERERQHKEDAEKILQTTQQLKADVLQQQLEKHKFVKDIHEERLAYQEILKKLKEGLEINEQRLKANHIDHQELQAQIENLTIQFHATAEKRREEEERAQQMNEEKLTKNHRQLERCLSELKSRALIAKSHESQLHVKEKVIQAQKKQLIKMQKQVSRSEELLDAYKKCLAALKEEPTSLTNLAQMLLLVEKGLTKEKNRNCESDQQGDPPDTRAEGGPIEERSEQTCYAPSVLNRNETIFDSGEDEELSHKNSTGGCNSGQHQLIDNSQSNCLMDAKVGLGCIGAEEMTGIEFKQRPSRRRGGRGAETAEEAGGGRGRAAEEVRTAM
+>sp|Q8N427|TXND3_HUMAN Thioredoxin domain-containing protein 3 OS=Homo sapiens OX=9606 GN=NME8 PE=2 SV=2
+MASKKREVQLQTVINNQSLWDEMLQNKGLTVIDVYQAWCGPCRAMQPLFRKLKNELNEDEILHFAVAEADNIVTLQPFRDKCEPVFLFSVNGKIIEKIQGANAPLVNKKVINLIDEERKIAAGEMARPQYPEIPLVDSDSEVSEESPCESVQELYSIAIIKPDAVISKKVLEIKRKITKAGFIIEAEHKTVLTEEQVVNFYSRIADQCDFEEFVSFMTSGLSYILVVSQGSKHNPPSEETEPQTDTEPNERSEDQPEVEAQVTPGMMKNKQDSLQEYLERQHLAQLCDIEEDAANVAKFMDAFFPDFKKMKSMKLEKTLALLRPNLFHERKDDVLRIIKDEDFKILEQRQVVLSEKEAQALCKEYENEDYFNKLIENMTSGPSLALVLLRDNGLQYWKQLLGPRTVEEAIEYFPESLCAQFAMDSLPVNQLYGSDSLETAEREIQHFFPLQSTLGLIKPHATSEQREQILKIVKEAGFDLTQVKKMFLTPEQIEKIYPKVTGKDFYKDLLEMLSVGPSMVMILTKWNAVAEWRRLMGPTDPEEAKLLSPDSIRAQFGISKLKNIVHGASNAYEAKEVVNRLFEDPEEN
+>DECOY_sp|Q8N427|TXND3_HUMAN Thioredoxin domain-containing protein 3 OS=Homo sapiens OX=9606 GN=NME8 PE=2 SV=2
+NEEPDEFLRNVVEKAEYANSAGHVINKLKSIGFQARISDPSLLKAEEPDTPGMLRRWEAVANWKTLIMVMSPGVSLMELLDKYFDKGTVKPYIKEIQEPTLFMKKVQTLDFGAEKVIKLIQERQESTAHPKILGLTSQLPFFHQIEREATELSDSGYLQNVPLSDMAFQACLSEPFYEIAEEVTRPGLLQKWYQLGNDRLLVLALSPGSTMNEILKNFYDENEYEKCLAQAEKESLVVQRQELIKFDEDKIIRLVDDKREHFLNPRLLALTKELKMSKMKKFDPFFADMFKAVNAADEEIDCLQALHQRELYEQLSDQKNKMMGPTVQAEVEPQDESRENPETDTQPETEESPPNHKSGQSVVLIYSLGSTMFSVFEEFDCQDAIRSYFNVVQEETLVTKHEAEIIFGAKTIKRKIELVKKSIVADPKIIAISYLEQVSECPSEESVESDSDVLPIEPYQPRAMEGAAIKREEDILNIVKKNVLPANAGQIKEIIKGNVSFLFVPECKDRFPQLTVINDAEAVAFHLIEDENLENKLKRFLPQMARCPGCWAQYVDIVTLGKNQLMEDWLSQNNIVTQLQVERKKSAM
+>sp|Q8NBS9|TXND5_HUMAN Thioredoxin domain-containing protein 5 OS=Homo sapiens OX=9606 GN=TXNDC5 PE=1 SV=2
+MPARPGRLLPLLARPAALTALLLLLLGHGGGGRWGARAQEAAAAAADGPPAADGEDGQDPHSKHLYTADMFTHGIQSAAHFVMFFAPWCGHCQRLQPTWNDLGDKYNSMEDAKVYVAKVDCTAHSDVCSAQGVRGYPTLKLFKPGQEAVKYQGPRDFQTLENWMLQTLNEEPVTPEPEVEPPSAPELKQGLYELSASNFELHVAQGDHFIKFFAPWCGHCKALAPTWEQLALGLEHSETVKIGKVDCTQHYELCSGNQVRGYPTLLWFRDGKKVDQYKGKRDLESLREYVESQLQRTETGATETVTPSEAPVLAAEPEADKGTVLALTENNFDDTIAEGITFIKFYAPWCGHCKTLAPTWEELSKKEFPGLAGVKIAEVDCTAERNICSKYSVRGYPTLLLFRGGKKVSEHSGGRDLDSLHRFVLSQAKDEL
+>DECOY_sp|Q8NBS9|TXND5_HUMAN Thioredoxin domain-containing protein 5 OS=Homo sapiens OX=9606 GN=TXNDC5 PE=1 SV=2
+LEDKAQSLVFRHLSDLDRGGSHESVKKGGRFLLLTPYGRVSYKSCINREATCDVEAIKVGALGPFEKKSLEEWTPALTKCHGCWPAYFKIFTIGEAITDDFNNETLALVTGKDAEPEAALVPAESPTVTETAGTETRQLQSEVYERLSELDRKGKYQDVKKGDRFWLLTPYGRVQNGSCLEYHQTCDVKGIKVTESHELGLALQEWTPALAKCHGCWPAFFKIFHDGQAVHLEFNSASLEYLGQKLEPASPPEVEPEPTVPEENLTQLMWNELTQFDRPGQYKVAEQGPKFLKLTPYGRVGQASCVDSHATCDVKAVYVKADEMSNYKDGLDNWTPQLRQCHGCWPAFFMVFHAASQIGHTFMDATYLHKSHPDQGDEGDAAPPGDAAAAAAEQARAGWRGGGGHGLLLLLLATLAAPRALLPLLRGPRAPM
+>sp|Q6R6M4|U17L2_HUMAN Ubiquitin carboxyl-terminal hydrolase 17 OS=Homo sapiens OX=9606 GN=USP17L2 PE=1 SV=2
+MEDDSLYLGGEWQFNHFSKLTSSRPDAAFAEIQRTSLPEKSPLSSEARVDLCDDLAPVARQLAPRKKLPLSSRRPAAVGAGLQNMGNTCYENASLQCLTYTPPLANYMLSREHSQTCQRPKCCMLCTMQAHITWALHSPGHVIQPSQALAAGFHRGKQEDAHEFLMFTVDAMKKACLPGHKQVDHHSKDTTLIHQIFGGCWRSQIKCLHCHGISDTFDPYLDIALDIQAAQSVKQALEQLVKPEELNGENAYHCGLCLQRAPASKTLTLHTSAKVLILVLKRFSDVTGNKLAKNVQYPECLDMQPYMSQQNTGPLVYVLYAVLVHAGWSCHDGHYFSYVKAQEGQWYKMDDAKVTACSITSVLSQQAYVLFYIQKSEWERHSESVSRGREPRALGAEDTDRRATQGELKRDHPCLQAPELDERLVERATQESTLDHWKFPQEQNKTKPEFNVRKVEGTLPPNVLVIHQSKYKCGMKNHHPEQQSSLLNLSSTTRTDQESVNTGTLASLQGRTRRSKGKNKHSKRALLVCQ
+>DECOY_sp|Q6R6M4|U17L2_HUMAN Ubiquitin carboxyl-terminal hydrolase 17 OS=Homo sapiens OX=9606 GN=USP17L2 PE=1 SV=2
+QCVLLARKSHKNKGKSRRTRGQLSALTGTNVSEQDTRTTSSLNLLSSQQEPHHNKMGCKYKSQHIVLVNPPLTGEVKRVNFEPKTKNQEQPFKWHDLTSEQTAREVLREDLEPAQLCPHDRKLEGQTARRDTDEAGLARPERGRSVSESHREWESKQIYFLVYAQQSLVSTISCATVKADDMKYWQGEQAKVYSFYHGDHCSWGAHVLVAYLVYVLPGTNQQSMYPQMDLCEPYQVNKALKNGTVDSFRKLVLILVKASTHLTLTKSAPARQLCLGCHYANEGNLEEPKVLQELAQKVSQAAQIDLAIDLYPDFTDSIGHCHLCKIQSRWCGGFIQHILTTDKSHHDVQKHGPLCAKKMADVTFMLFEHADEQKGRHFGAALAQSPQIVHGPSHLAWTIHAQMTCLMCCKPRQCTQSHERSLMYNALPPTYTLCQLSANEYCTNGMNQLGAGVAAPRRSSLPLKKRPALQRAVPALDDCLDVRAESSLPSKEPLSTRQIEAFAADPRSSTLKSFHNFQWEGGLYLSDDEM
+>sp|C9JLJ4|U17LD_HUMAN Ubiquitin carboxyl-terminal hydrolase 17-like protein 13 OS=Homo sapiens OX=9606 GN=USP17L13 PE=3 SV=1
+MEEDSLYLGGEWQFNHFSKLTSSRLDAAFAEIQRTSLPEKSPLSCETRVDLCDDLVPEARQLAPREKLPLSSRRPAAVGAGLQNMGNTCYVNASLQCLTYTPPLANYMLSREHSQTCHRHKGCMLCTMQAHITRALHNPGHVIQPSQALAAGFHRGKQEDAHEFLMFTVDAMKKACLPGHKQVDHPSKDTTLIHQIFGGYWRSQIKCLHCHGISDTFDPYLDIALDIQAAQSVQQALEQLVKPEELNGENAYHCGVCLQRAPASKTLTLHTSAKVLILVLKRFSDVTGNKIAKNVQYPECLDMQPYMSQQNTGPLVYVLYAVLVHAGWSCHNGHYFSYVKAQEGQWYKMDDAEVTAASITSVLSQQAYVLFYIQKSEWERHSESVSRGREPRALGAEDTDRRATQGELKRDHPCLQAPELDEHLVERATQESTLDRWKFLQEQNKTKPEFNVRKVEGTLPPDVLVIHQSKYKCGMKNHHPEQQSSLLNLSSSTPTHQESMNTGTLASLRGRARRSKGKNKHSKRALLVCQ
+>DECOY_sp|C9JLJ4|U17LD_HUMAN Ubiquitin carboxyl-terminal hydrolase 17-like protein 13 OS=Homo sapiens OX=9606 GN=USP17L13 PE=3 SV=1
+QCVLLARKSHKNKGKSRRARGRLSALTGTNMSEQHTPTSSSLNLLSSQQEPHHNKMGCKYKSQHIVLVDPPLTGEVKRVNFEPKTKNQEQLFKWRDLTSEQTAREVLHEDLEPAQLCPHDRKLEGQTARRDTDEAGLARPERGRSVSESHREWESKQIYFLVYAQQSLVSTISAATVEADDMKYWQGEQAKVYSFYHGNHCSWGAHVLVAYLVYVLPGTNQQSMYPQMDLCEPYQVNKAIKNGTVDSFRKLVLILVKASTHLTLTKSAPARQLCVGCHYANEGNLEEPKVLQELAQQVSQAAQIDLAIDLYPDFTDSIGHCHLCKIQSRWYGGFIQHILTTDKSPHDVQKHGPLCAKKMADVTFMLFEHADEQKGRHFGAALAQSPQIVHGPNHLARTIHAQMTCLMCGKHRHCTQSHERSLMYNALPPTYTLCQLSANVYCTNGMNQLGAGVAAPRRSSLPLKERPALQRAEPVLDDCLDVRTECSLPSKEPLSTRQIEAFAADLRSSTLKSFHNFQWEGGLYLSDEEM
+>sp|D6RCP7|U17LJ_HUMAN Ubiquitin carboxyl-terminal hydrolase 17-like protein 19 OS=Homo sapiens OX=9606 GN=USP17L19 PE=3 SV=1
+MEEDSLYLGGEWQFNHFSKLTSSRPDAAFAEIQRTSLPEKSPLSCETRVDLCDDLAPVARQLAPREKLPLSSRRPAAVGAGLQNMGNTCYVNASLQCLTYTPPLANYMLSREHSQTCHRHKGCMLCTMQAHITRALHNPGHVIQPSQALAAGFHRGKQEDAHEFLMFTVDAMKKACLPGHKQVDHHSKDTTLIHQIFGGYWRSQIKCLHCHGISDTFDPYLDIALDIQAAQSVQQALEQLVKPEELNGENAYHCGVCLQRAPASKTLTLHTSAKVLILVLKRFSDVTGNKIAKNVQYPECLDMQPYMSQTNTGPLVYVLYAVLVHAGWSCHNGHYFSYVKAQEGQWYKMDDAEVTASSITSVLSQQAYVLFYIQKSEWERHSESVSRGREPRALGAEDTDRRATQGELKRDHPCLQAPELDEHLVERATQESTLDHWKFLQEQNKTKPEFNVRKVEGTLPPDVLVIHQSKYKCGMKNHHPEQQSSLLKLSSTTPTHQESMNTGTLASLRGRARRSKGKNKHSKRALLVCQ
+>DECOY_sp|D6RCP7|U17LJ_HUMAN Ubiquitin carboxyl-terminal hydrolase 17-like protein 19 OS=Homo sapiens OX=9606 GN=USP17L19 PE=3 SV=1
+QCVLLARKSHKNKGKSRRARGRLSALTGTNMSEQHTPTTSSLKLLSSQQEPHHNKMGCKYKSQHIVLVDPPLTGEVKRVNFEPKTKNQEQLFKWHDLTSEQTAREVLHEDLEPAQLCPHDRKLEGQTARRDTDEAGLARPERGRSVSESHREWESKQIYFLVYAQQSLVSTISSATVEADDMKYWQGEQAKVYSFYHGNHCSWGAHVLVAYLVYVLPGTNTQSMYPQMDLCEPYQVNKAIKNGTVDSFRKLVLILVKASTHLTLTKSAPARQLCVGCHYANEGNLEEPKVLQELAQQVSQAAQIDLAIDLYPDFTDSIGHCHLCKIQSRWYGGFIQHILTTDKSHHDVQKHGPLCAKKMADVTFMLFEHADEQKGRHFGAALAQSPQIVHGPNHLARTIHAQMTCLMCGKHRHCTQSHERSLMYNALPPTYTLCQLSANVYCTNGMNQLGAGVAAPRRSSLPLKERPALQRAVPALDDCLDVRTECSLPSKEPLSTRQIEAFAADPRSSTLKSFHNFQWEGGLYLSDEEM
+>sp|H0YL09|U2Q2L_HUMAN Putative ubiquitin-conjugating enzyme E2Q2-like protein OS=Homo sapiens OX=9606 GN=UBE2Q2L PE=5 SV=1
+MGPAVLGQGQEGQPEARACSGLLQPPKRPIVFKEKLTMKTDSLMEEKLECSLWCCLSDPSIPGRCCVLERRIVPWMQQESYSSSSPIWSVDSDEPNLTSVLERLEDTKENSSVRKETKLFSLFLMNIIFRN
+>DECOY_sp|H0YL09|U2Q2L_HUMAN Putative ubiquitin-conjugating enzyme E2Q2-like protein OS=Homo sapiens OX=9606 GN=UBE2Q2L PE=5 SV=1
+NRFIINMLFLSFLKTEKRVSSNEKTDELRELVSTLNPEDSDVSWIPSSSSYSEQQMWPVIRRELVCCRGPISPDSLCCWLSCELKEEMLSDTKMTLKEKFVIPRKPPQLLGSCARAEPQGEQGQGLVAPGM
+>sp|A1L167|U2QL1_HUMAN Ubiquitin-conjugating enzyme E2Q-like protein 1 OS=Homo sapiens OX=9606 GN=UBE2QL1 PE=1 SV=2
+MKELQDIARLSDRFISVELVDESLFDWNVKLHQVDKDSVLWQDMKETNTEFILLNLTFPDNFPFSPPFMRVLSPRLENGYVLDGGAICMELLTPRGWSSAYTVEAVMRQFAASLVKGQGRICRKAGKSKKSFSRKEAEATFKSLVKTHEKYGWVTPPVSDG
+>DECOY_sp|A1L167|U2QL1_HUMAN Ubiquitin-conjugating enzyme E2Q-like protein 1 OS=Homo sapiens OX=9606 GN=UBE2QL1 PE=1 SV=2
+GDSVPPTVWGYKEHTKVLSKFTAEAEKRSFSKKSKGAKRCIRGQGKVLSAAFQRMVAEVTYASSWGRPTLLEMCIAGGDLVYGNELRPSLVRMFPPSFPFNDPFTLNLLIFETNTEKMDQWLVSDKDVQHLKVNWDFLSEDVLEVSIFRDSLRAIDQLEKM
+>sp|Q5VV11|U633B_HUMAN Putative UPF0633 protein ENSP00000303136 OS=Homo sapiens OX=9606 PE=5 SV=1
+MRKLRLRASNPGPSGAPGTRQHFSTSRGGHHCARRWLRRVRRSRSQTPSYQNLDPNPPIVRFPLPLERISEVPRRACLHGRDASSVWPPPERSD
+>DECOY_sp|Q5VV11|U633B_HUMAN Putative UPF0633 protein ENSP00000303136 OS=Homo sapiens OX=9606 PE=5 SV=1
+DSREPPPWVSSADRGHLCARRPVESIRELPLPFRVIPPNPDLNQYSPTQSRSRRVRRLWRRACHHGGRSTSFHQRTGPAGSPGPNSARLRLKRM
+>sp|Q15386|UBE3C_HUMAN Ubiquitin-protein ligase E3C OS=Homo sapiens OX=9606 GN=UBE3C PE=1 SV=3
+MFSFEGDFKTRPKVSLGGASRKEEKASLLHRTQEERRKREEERRRLKNAIIIQSFIRGYRDRKQQYSIQRSAFDRCATLSQSGGAFPIANGPNLTLLVRQLLFFYKQNEDSKRLIWLYQNLIKHSSLFVKQLDGSERLTCLFQIKRLMSLCCRLLQNCNDDSLNVALPMRMLEVFSSENTYLPVLQDASYVVSVIEQILHYMIHNGYYRSLYLLINSKLPSSIEYSDLSRVPIAKILLENVLKPLHFTYNSCPEGARQQVFTAFTEEFLAAPFTDQIFHFIIPALADAQTVFPYEPFLNALLLIESRCSRKSGGAPWLFYFVLTVGENYLGALSEEGLLVYLRVLQTFLSQLPVSPASASCHDSASDSEEESEEADKPSSPEDGRLSVSYITEECLKKLDTKQQTNTLLNLVWRDSASEEVFTTMASVCHTLMVQHRMMVPKVRLLYSLAFNARFLRHLWFLISSMSTRMITGSMVPLLQVISRGSPMSFEDSSRIIPLFYLFSSLFSHSLISIHDNEFFGDPIEVVGQRQSSMMPFTLEELIMLSRCLRDACLGIIKLAYPETKPEVREEYITAFQSIGVTTSSEMQQCIQMEQKRWIQLFKVITNLVKMLKSRDTRRNFCPPNHWLSEQEDIKADKVTQLYVPASRHVWRFRRMGRIGPLQSTLDVGLESPPLSVSEERQLAVLTELPFVVPFEERVKIFQRLIYADKQEVQGDGPFLDGINVTIRRNYIYEDAYDKLSPENEPDLKKRIRVHLLNAHGLDEAGIDGGGIFREFLNELLKSGFNPNQGFFKTTNEGLLYPNPAAQMLVGDSFARHYYFLGRMLGKALYENMLVELPFAGFFLSKLLGTSADVDIHHLASLDPEVYKNLLFLKSYEDDVEELGLNFTVVNNDLGEAQVVELKFGGKDIPVTSANRIAYIHLVADYRLNRQIRQHCLAFRQGLANVVSLEWLRMFDQQEIQVLISGAQVPISLEDLKSFTNYSGGYSADHPVIKVFWRVVEGFTDEEKRKLLKFVTSCSRPPLLGFKELYPAFCIHNGGSDLERLPTASTCMNLLKLPEFYDETLLRSKLLYAIECAAGFELS
+>DECOY_sp|Q15386|UBE3C_HUMAN Ubiquitin-protein ligase E3C OS=Homo sapiens OX=9606 GN=UBE3C PE=1 SV=3
+SLEFGAACEIAYLLKSRLLTEDYFEPLKLLNMCTSATPLRELDSGGNHICFAPYLEKFGLLPPRSCSTVFKLLKRKEEDTFGEVVRWFVKIVPHDASYGGSYNTFSKLDELSIPVQAGSILVQIEQQDFMRLWELSVVNALGQRFALCHQRIQRNLRYDAVLHIYAIRNASTVPIDKGGFKLEVVQAEGLDNNVVTFNLGLEEVDDEYSKLFLLNKYVEPDLSALHHIDVDASTGLLKSLFFGAFPLEVLMNEYLAKGLMRGLFYYHRAFSDGVLMQAAPNPYLLGENTTKFFGQNPNFGSKLLENLFERFIGGGDIGAEDLGHANLLHVRIRKKLDPENEPSLKDYADEYIYNRRITVNIGDLFPGDGQVEQKDAYILRQFIKVREEFPVVFPLETLVALQREESVSLPPSELGVDLTSQLPGIRGMRRFRWVHRSAPVYLQTVKDAKIDEQESLWHNPPCFNRRTDRSKLMKVLNTIVKFLQIWRKQEMQICQQMESSTTVGISQFATIYEERVEPKTEPYALKIIGLCADRLCRSLMILEELTFPMMSSQRQGVVEIPDGFFENDHISILSHSFLSSFLYFLPIIRSSDEFSMPSGRSIVQLLPVMSGTIMRTSMSSILFWLHRLFRANFALSYLLRVKPVMMRHQVMLTHCVSAMTTFVEESASDRWVLNLLTNTQQKTDLKKLCEETIYSVSLRGDEPSSPKDAEESEEESDSASDHCSASAPSVPLQSLFTQLVRLYVLLGEESLAGLYNEGVTLVFYFLWPAGGSKRSCRSEILLLANLFPEYPFVTQADALAPIIFHFIQDTFPAALFEETFATFVQQRAGEPCSNYTFHLPKLVNELLIKAIPVRSLDSYEISSPLKSNILLYLSRYYGNHIMYHLIQEIVSVVYSADQLVPLYTNESSFVELMRMPLAVNLSDDNCNQLLRCCLSMLRKIQFLCTLRESGDLQKVFLSSHKILNQYLWILRKSDENQKYFFLLQRVLLTLNPGNAIPFAGGSQSLTACRDFASRQISYQQKRDRYGRIFSQIIIANKLRRREEERKRREEQTRHLLSAKEEKRSAGGLSVKPRTKFDGEFSFM
+>sp|Q7Z6J8|UBE3D_HUMAN E3 ubiquitin-protein ligase E3D OS=Homo sapiens OX=9606 GN=UBE3D PE=1 SV=2
+MAASAAETRVFLEVRGQLQSALLILGEPKEGGMPMNISIMPSSLQMKTPEGCTEIQLPAEVRLVPSSCRGLQFVVGDGLHLRLQTQAKLGTKLISMFNQSSQTQECCTFYCQSCGEVIIKDRKLLRVLPLPSENWGALVGEWCCHPDPFANKSLHPQENDCFIGDSFFLVNLRTSLWQQRPELSPVEMCCVSSDNHCKLEPKANTKVICKRCKVMLGETVSSETTKFYMTEIIIQSSERSFPIIPRSWFVQSVIAQCLVQLSSARSTFRFTIQGQDDKVYILLWLLNSDSLVIESLRNSKYIKKFPLLENTFKADSSSAWSAVKVLYQPCIKSRNEKLVSLWESDISVHPLTLPSATCLELLLILSKSNANLPSSLRRVNSFQVAFLKM
+>DECOY_sp|Q7Z6J8|UBE3D_HUMAN E3 ubiquitin-protein ligase E3D OS=Homo sapiens OX=9606 GN=UBE3D PE=1 SV=2
+MKLFAVQFSNVRRLSSPLNANSKSLILLLELCTASPLTLPHVSIDSEWLSVLKENRSKICPQYLVKVASWASSSDAKFTNELLPFKKIYKSNRLSEIVLSDSNLLWLLIYVKDDQGQITFRFTSRASSLQVLCQAIVSQVFWSRPIIPFSRESSQIIIETMYFKTTESSVTEGLMVKCRKCIVKTNAKPELKCHNDSSVCCMEVPSLEPRQQWLSTRLNVLFFSDGIFCDNEQPHLSKNAFPDPHCCWEGVLAGWNESPLPLVRLLKRDKIIVEGCSQCYFTCCEQTQSSQNFMSILKTGLKAQTQLRLHLGDGVVFQLGRCSSPVLRVEAPLQIETCGEPTKMQLSSPMISINMPMGGEKPEGLILLASQLQGRVELFVRTEAASAAM
+>sp|P35544|UBIM_HUMAN Ubiquitin-like protein FUBI OS=Homo sapiens OX=9606 GN=FAU PE=1 SV=1
+MQLFVRAQELHTFEVTGQETVAQIKAHVASLEGIAPEDQVVLLAGAPLEDEATLGQCGVEALTTLEVAGRMLGG
+>DECOY_sp|P35544|UBIM_HUMAN Ubiquitin-like protein FUBI OS=Homo sapiens OX=9606 GN=FAU PE=1 SV=1
+GGLMRGAVELTTLAEVGCQGLTAEDELPAGALLVVQDEPAIGELSAVHAKIQAVTEQGTVEFTHLEQARVFLQM
+>sp|O95164|UBL3_HUMAN Ubiquitin-like protein 3 OS=Homo sapiens OX=9606 GN=UBL3 PE=1 SV=1
+MSSNVPADMINLRLILVSGKTKEFLFSPNDSASDIAKHVYDNWPMDWEEEQVSSPNILRLIYQGRFLHGNVTLGALKLPFGKTTVMHLVARETLPEPNSQGQRNREKTGESNCCVIL
+>DECOY_sp|O95164|UBL3_HUMAN Ubiquitin-like protein 3 OS=Homo sapiens OX=9606 GN=UBL3 PE=1 SV=1
+LIVCCNSEGTKERNRQGQSNPEPLTERAVLHMVTTKGFPLKLAGLTVNGHLFRGQYILRLINPSSVQEEEWDMPWNDYVHKAIDSASDNPSFLFEKTKGSVLILRLNIMDAPVNSSM
+>sp|Q9BZL1|UBL5_HUMAN Ubiquitin-like protein 5 OS=Homo sapiens OX=9606 GN=UBL5 PE=1 SV=1
+MIEVVCNDRLGKKVRVKCNTDDTIGDLKKLIAAQTGTRWNKIVLKKWYTIFKDHVSLGDYEIHDGMNLELYYQ
+>DECOY_sp|Q9BZL1|UBL5_HUMAN Ubiquitin-like protein 5 OS=Homo sapiens OX=9606 GN=UBL5 PE=1 SV=1
+QYYLELNMGDHIEYDGLSVHDKFITYWKKLVIKNWRTGTQAAILKKLDGITDDTNCKVRVKKGLRDNCVVEIM
+>sp|Q96S82|UBL7_HUMAN Ubiquitin-like protein 7 OS=Homo sapiens OX=9606 GN=UBL7 PE=1 SV=2
+MSLSDWHLAVKLADQPLTPKSILRLPETELGEYSLGGYSISFLKQLIAGKLQESVPDPELIDLIYCGRKLKDDQTLDFYGIQPGSTVHVLRKSWPEPDQKPEPVDKVAAMREFRVLHTALHSSSSYREAVFKMLSNKESLDQIIVATPGLSSDPIALGVLQDKDLFSVFADPNMLDTLVPAHPALVNAIVLVLHSVAGSAPMPGTDSSSRSMPSSSYRDMPGGFLFEGLSDDEDDFHPNTRSTPSSSTPSSRPASLGYSGAAGPRPITQSELATALALASTPESSSHTPTPGTQGHSSGTSPMSSGVQSGTPITNDLFSQALQHALQASGQPSLQSQWQPQLQQLRDMGIQDDELSLRALQATGGDIQAALELIFAGGAP
+>DECOY_sp|Q96S82|UBL7_HUMAN Ubiquitin-like protein 7 OS=Homo sapiens OX=9606 GN=UBL7 PE=1 SV=2
+PAGGAFILELAAQIDGGTAQLARLSLEDDQIGMDRLQQLQPQWQSQLSPQGSAQLAHQLAQSFLDNTIPTGSQVGSSMPSTGSSHGQTGPTPTHSSSEPTSALALATALESQTIPRPGAAGSYGLSAPRSSPTSSSPTSRTNPHFDDEDDSLGEFLFGGPMDRYSSSPMSRSSSDTGPMPASGAVSHLVLVIANVLAPHAPVLTDLMNPDAFVSFLDKDQLVGLAIPDSSLGPTAVIIQDLSEKNSLMKFVAERYSSSSHLATHLVRFERMAAVKDVPEPKQDPEPWSKRLVHVTSGPQIGYFDLTQDDKLKRGCYILDILEPDPVSEQLKGAILQKLFSISYGGLSYEGLETEPLRLISKPTLPQDALKVALHWDSLSM
+>sp|Q92995|UBP13_HUMAN Ubiquitin carboxyl-terminal hydrolase 13 OS=Homo sapiens OX=9606 GN=USP13 PE=1 SV=2
+MQRRGALFGMPGGSGGRKMAAGDIGELLVPHMPTIRVPRSGDRVYKNECAFSYDSPNSEGGLYVCMNTFLAFGREHVERHFRKTGQSVYMHLKRHVREKVRGASGGALPKRRNSKIFLDLDTDDDLNSDDYEYEDEAKLVIFPDHYEIALPNIEELPALVTIACDAVLSSKSPYRKQDPDTWENELPVSKYANNLTQLDNGVRIPPSGWKCARCDLRENLWLNLTDGSVLCGKWFFDSSGGNGHALEHYRDMGYPLAVKLGTITPDGADVYSFQEEEPVLDPHLAKHLAHFGIDMLHMHGTENGLQDNDIKLRVSEWEVIQESGTKLKPMYGPGYTGLKNLGNSCYLSSVMQAIFSIPEFQRAYVGNLPRIFDYSPLDPTQDFNTQMTKLGHGLLSGQYSKPPVKSELIEQVMKEEHKPQQNGISPRMFKAFVSKSHPEFSSNRQQDAQEFFLHLVNLVERNRIGSENPSDVFRFLVEERIQCCQTRKVRYTERVDYLMQLPVAMEAATNKDELIAYELTRREAEANRRPLPELVRAKIPFSACLQAFSEPENVDDFWSSALQAKSAGVKTSRFASFPEYLVVQIKKFTFGLDWVPKKFDVSIDMPDLLDINHLRARGLQPGEEELPDISPPIVIPDDSKDRLMNQLIDPSDIDESSVMQLAEMGFPLEACRKAVYFTGNMGAEVAFNWIIVHMEEPDFAEPLTMPGYGGAASAGASVFGASGLDNQPPEEIVAIITSMGFQRNQAIQALRATNNNLERALDWIFSHPEFEEDSDFVIEMENNANANIISEAKPEGPRVKDGSGTYELFAFISHMGTSTMSGHYICHIKKEGRWVIYNDHKVCASERPPKDLGYMYFYRRIPS
+>DECOY_sp|Q92995|UBP13_HUMAN Ubiquitin carboxyl-terminal hydrolase 13 OS=Homo sapiens OX=9606 GN=USP13 PE=1 SV=2
+SPIRRYFYMYGLDKPPRESACVKHDNYIVWRGEKKIHCIYHGSMTSTGMHSIFAFLEYTGSGDKVRPGEPKAESIINANANNEMEIVFDSDEEFEPHSFIWDLARELNNNTARLAQIAQNRQFGMSTIIAVIEEPPQNDLGSAGFVSAGASAAGGYGPMTLPEAFDPEEMHVIIWNFAVEAGMNGTFYVAKRCAELPFGMEALQMVSSEDIDSPDILQNMLRDKSDDPIVIPPSIDPLEEEGPQLGRARLHNIDLLDPMDISVDFKKPVWDLGFTFKKIQVVLYEPFSAFRSTKVGASKAQLASSWFDDVNEPESFAQLCASFPIKARVLEPLPRRNAEAERRTLEYAILEDKNTAAEMAVPLQMLYDVRETYRVKRTQCCQIREEVLFRFVDSPNESGIRNREVLNVLHLFFEQADQQRNSSFEPHSKSVFAKFMRPSIGNQQPKHEEKMVQEILESKVPPKSYQGSLLGHGLKTMQTNFDQTPDLPSYDFIRPLNGVYARQFEPISFIAQMVSSLYCSNGLNKLGTYGPGYMPKLKTGSEQIVEWESVRLKIDNDQLGNETGHMHLMDIGFHALHKALHPDLVPEEEQFSYVDAGDPTITGLKVALPYGMDRYHELAHGNGGSSDFFWKGCLVSGDTLNLWLNERLDCRACKWGSPPIRVGNDLQTLNNAYKSVPLENEWTDPDQKRYPSKSSLVADCAITVLAPLEEINPLAIEYHDPFIVLKAEDEYEYDDSNLDDDTDLDLFIKSNRRKPLAGGSAGRVKERVHRKLHMYVSQGTKRFHREVHERGFALFTNMCVYLGGESNPSDYSFACENKYVRDGSRPVRITPMHPVLLEGIDGAAMKRGGSGGPMGFLAGRRQM
+>sp|Q9UMW8|UBP18_HUMAN Ubl carboxyl-terminal hydrolase 18 OS=Homo sapiens OX=9606 GN=USP18 PE=1 SV=1
+MSKAFGLLRQICQSILAESSQSPADLEEKKEEDSNMKREQPRERPRAWDYPHGLVGLHNIGQTCCLNSLIQVFVMNVDFTRILKRITVPRGADEQRRSVPFQMLLLLEKMQDSRQKAVRPLELAYCLQKCNVPLFVQHDAAQLYLKLWNLIKDQITDVHLVERLQALYTIRVKDSLICVDCAMESSRNSSMLTLPLSLFDVDSKPLKTLEDALHCFFQPRELSSKSKCFCENCGKKTRGKQVLKLTHLPQTLTIHLMRFSIRNSQTRKICHSLYFPQSLDFSQILPMKRESCDAEEQSGGQYELFAVIAHVGMADSGHYCVYIRNAVDGKWFCFNDSNICLVSWEDIQCTYGNPNYHWQETAYLLVYMKMEC
+>DECOY_sp|Q9UMW8|UBP18_HUMAN Ubl carboxyl-terminal hydrolase 18 OS=Homo sapiens OX=9606 GN=USP18 PE=1 SV=1
+CEMKMYVLLYATEQWHYNPNGYTCQIDEWSVLCINSDNFCFWKGDVANRIYVCYHGSDAMGVHAIVAFLEYQGGSQEEADCSERKMPLIQSFDLSQPFYLSHCIKRTQSNRISFRMLHITLTQPLHTLKLVQKGRTKKGCNECFCKSKSSLERPQFFCHLADELTKLPKSDVDFLSLPLTLMSSNRSSEMACDVCILSDKVRITYLAQLREVLHVDTIQDKILNWLKLYLQAADHQVFLPVNCKQLCYALELPRVAKQRSDQMKELLLLMQFPVSRRQEDAGRPVTIRKLIRTFDVNMVFVQILSNLCCTQGINHLGVLGHPYDWARPRERPQERKMNSDEEKKEELDAPSQSSEALISQCIQRLLGFAKSM
+>sp|Q7Z392|TPC11_HUMAN Trafficking protein particle complex subunit 11 OS=Homo sapiens OX=9606 GN=TRAPPC11 PE=1 SV=2
+MSPTQWDFPVELCCRPMAFVTLTGLDVVYNAVHRAVWDAFCANRRADRVPISFKVLPGDHEYPKCRPKRTSYEWYIPKGILKTGWMNKHLNLVPALVVVFYELDWDEPQWKEKQSECATRVEIVRQSLQGRNTKVAVVLIQKKTPLPPGEDVIASERAAALCNACELSGKSLFVLPHTDHLVGYIIRLENAFYEHAQTYYYTEIRRVKSHKEFLNKTTHQLLFVRHQFKIAFFSELKQDTQNALKNYRTAYNLVHELRAHETNILEIKTMAGFINYKICRLCFQHNTPLDAIAQFRKHIDLCKKKIGSAELSFEHDAWMSKQFQAFGDLFDEAIKLGLTAIQTQNPGFYYQQAAYYAQERKQLAKTLCNHEASVMYPNPDPLETQTGVLDFYGQRSWRQGILSFDLSDPEKEKVGILAIQLKERNVVHSEIIITLLSNAVAQFKKYKCPRMKSHLMVQMGEEYYYAKDYTKALKLLDYVMCDYRSEGWWTLLTSVLTTALKCSYLMAQLKDYITYSLELLGRASTLKDDQKSRIEKNLINVLMNESPDPEPDCDILAVKTAQKLWADRISLAGSNIFTIGVQDFVPFVQCKAKFHAPSFHVDVPVQFDIYLKADCPHPIRFSKLCVSFNNQEYNQFCVIEEASKANEVLENLTQGKMCLVPGKTRKLLFKFVAKTEDVGKKIEITSVDLALGNETGRCVVLNWQGGGGDAASSQEALQAARSFKRRPKLPDNEVHWDSIIIQASTMIISRVPNISVHLLHEPPALTNEMYCLVVTVQSHEKTQIRDVKLTAGLKPGQDANLTQKTHVTLHGTELCDESYPALLTDIPVGDLHPGEQLEKMLYVRCGTVGSRMFLVYVSYLINTTVEEKEIVCKCHKDETVTIETVFPFDVAVKFVSTKFEHLERVYADIPFLLMTDLLSASPWALTIVSSELQLAPSMTTVDQLESQVDNVILQTGESASECFCLQCPSLGNIEGGVATGHYIISWKRTSAMENIPIITTVITLPHVIVENIPLHVNADLPSFGRVRESLPVKYHLQNKTDLVQDVEISVEPSDAFMFSGLKQIRLRILPGTEQEMLYNFYPLMAGYQQLPSLNINLLRFPNFTNQLLRRFIPTSIFVKPQGRLMDDTSIAAA
+>DECOY_sp|Q7Z392|TPC11_HUMAN Trafficking protein particle complex subunit 11 OS=Homo sapiens OX=9606 GN=TRAPPC11 PE=1 SV=2
+AAAISTDDMLRGQPKVFISTPIFRRLLQNTFNPFRLLNINLSPLQQYGAMLPYFNYLMEQETGPLIRLRIQKLGSFMFADSPEVSIEVDQVLDTKNQLHYKVPLSERVRGFSPLDANVHLPINEVIVHPLTIVTTIIPINEMASTRKWSIIYHGTAVGGEINGLSPCQLCFCESASEGTQLIVNDVQSELQDVTTMSPALQLESSVITLAWPSASLLDTMLLFPIDAYVRELHEFKTSVFKVAVDFPFVTEITVTEDKHCKCVIEKEEVTTNILYSVYVLFMRSGVTGCRVYLMKELQEGPHLDGVPIDTLLAPYSEDCLETGHLTVHTKQTLNADQGPKLGATLKVDRIQTKEHSQVTVVLCYMENTLAPPEHLLHVSINPVRSIIMTSAQIIISDWHVENDPLKPRRKFSRAAQLAEQSSAADGGGGQWNLVVCRGTENGLALDVSTIEIKKGVDETKAVFKFLLKRTKGPVLCMKGQTLNELVENAKSAEEIVCFQNYEQNNFSVCLKSFRIPHPCDAKLYIDFQVPVDVHFSPAHFKAKCQVFPVFDQVGITFINSGALSIRDAWLKQATKVALIDCDPEPDPSENMLVNILNKEIRSKQDDKLTSARGLLELSYTIYDKLQAMLYSCKLATTLVSTLLTWWGESRYDCMVYDLLKLAKTYDKAYYYEEGMQVMLHSKMRPCKYKKFQAVANSLLTIIIESHVVNREKLQIALIGVKEKEPDSLDFSLIGQRWSRQGYFDLVGTQTELPDPNPYMVSAEHNCLTKALQKREQAYYAAQQYYFGPNQTQIATLGLKIAEDFLDGFAQFQKSMWADHEFSLEASGIKKKCLDIHKRFQAIADLPTNHQFCLRCIKYNIFGAMTKIELINTEHARLEHVLNYATRYNKLANQTDQKLESFFAIKFQHRVFLLQHTTKNLFEKHSKVRRIETYYYTQAHEYFANELRIIYGVLHDTHPLVFLSKGSLECANCLAAARESAIVDEGPPLPTKKQILVVAVKTNRGQLSQRVIEVRTACESQKEKWQPEDWDLEYFVVVLAPVLNLHKNMWGTKLIGKPIYWEYSTRKPRCKPYEHDGPLVKFSIPVRDARRNACFADWVARHVANYVVDLGTLTVFAMPRCCLEVPFDWQTPSM
+>sp|P55327|TPD52_HUMAN Tumor protein D52 OS=Homo sapiens OX=9606 GN=TPD52 PE=1 SV=2
+MDCREMDLYEDYQSPFDFDAGVNKSYLYLSPSGNSSPPGSPTLQKFGLLRTDPVPEEGEDVAATISATETLSEEEQEELRRELAKVEEEIQTLSQVLAAKEKHLAEIKRKLGINSLQELKQNIAKGWQDVTATSAYKKTSETLSQAGQKASAAFSSVGSVITKKLEDVKNSPTFKSFEEKVENLKSKVGGTKPAGGDFGEVLNSAANASATTTEPLPEKTQESL
+>DECOY_sp|P55327|TPD52_HUMAN Tumor protein D52 OS=Homo sapiens OX=9606 GN=TPD52 PE=1 SV=2
+LSEQTKEPLPETTTASANAASNLVEGFDGGAPKTGGVKSKLNEVKEEFSKFTPSNKVDELKKTIVSGVSSFAASAKQGAQSLTESTKKYASTATVDQWGKAINQKLEQLSNIGLKRKIEALHKEKAALVQSLTQIEEEVKALERRLEEQEEESLTETASITAAVDEGEEPVPDTRLLGFKQLTPSGPPSSNGSPSLYLYSKNVGADFDFPSQYDEYLDMERCDM
+>sp|O43399|TPD54_HUMAN Tumor protein D54 OS=Homo sapiens OX=9606 GN=TPD52L2 PE=1 SV=2
+MDSAGQDINLNSPNKGLLSDSMTDVPVDTGVAARTPAVEGLTEAEEEELRAELTKVEEEIVTLRQVLAAKERHCGELKRRLGLSTLGELKQNLSRSWHDVQVSSAYVKTSEKLGEWNEKVTQSDLYKKTQETLSQAGQKTSAALSTVGSAISRKLGDMRNSATFKSFEDRVGTIKSKVVGDRENGSDNLPSSAGSGDKPLSDPAPF
+>DECOY_sp|O43399|TPD54_HUMAN Tumor protein D54 OS=Homo sapiens OX=9606 GN=TPD52L2 PE=1 SV=2
+FPAPDSLPKDGSGASSPLNDSGNERDGVVKSKITGVRDEFSKFTASNRMDGLKRSIASGVTSLAASTKQGAQSLTEQTKKYLDSQTVKENWEGLKESTKVYASSVQVDHWSRSLNQKLEGLTSLGLRRKLEGCHREKAALVQRLTVIEEEVKTLEARLEEEEAETLGEVAPTRAAVGTDVPVDTMSDSLLGKNPSNLNIDQGASDM
+>sp|P17752|TPH1_HUMAN Tryptophan 5-hydroxylase 1 OS=Homo sapiens OX=9606 GN=TPH1 PE=1 SV=4
+MIEDNKENKDHSLERGRASLIFSLKNEVGGLIKALKIFQEKHVNLLHIESRKSKRRNSEFEIFVDCDINREQLNDIFHLLKSHTNVLSVNLPDNFTLKEDGMETVPWFPKKISDLDHCANRVLMYGSELDADHPGFKDNVYRKRRKYFADLAMNYKHGDPIPKVEFTEEEIKTWGTVFQELNKLYPTHACREYLKNLPLLSKYCGYREDNIPQLEDVSNFLKERTGFSIRPVAGYLSPRDFLSGLAFRVFHCTQYVRHSSDPFYTPEPDTCHELLGHVPLLAEPSFAQFSQEIGLASLGASEEAVQKLATCYFFTVEFGLCKQDGQLRVFGAGLLSSISELKHALSGHAKVKPFDPKITCKQECLITTFQDVYFVSESFEDAKEKMREFTKTIKRPFGVKYNPYTRSIQILKDTKSITSAMNELQHDLDVVSDALAKVSRKPSI
+>DECOY_sp|P17752|TPH1_HUMAN Tryptophan 5-hydroxylase 1 OS=Homo sapiens OX=9606 GN=TPH1 PE=1 SV=4
+ISPKRSVKALADSVVDLDHQLENMASTISKTDKLIQISRTYPNYKVGFPRKITKTFERMKEKADEFSESVFYVDQFTTILCEQKCTIKPDFPKVKAHGSLAHKLESISSLLGAGFVRLQGDQKCLGFEVTFFYCTALKQVAEESAGLSALGIEQSFQAFSPEALLPVHGLLEHCTDPEPTYFPDSSHRVYQTCHFVRFALGSLFDRPSLYGAVPRISFGTREKLFNSVDELQPINDERYGCYKSLLPLNKLYERCAHTPYLKNLEQFVTGWTKIEEETFEVKPIPDGHKYNMALDAFYKRRKRYVNDKFGPHDADLESGYMLVRNACHDLDSIKKPFWPVTEMGDEKLTFNDPLNVSLVNTHSKLLHFIDNLQERNIDCDVFIEFESNRRKSKRSEIHLLNVHKEQFIKLAKILGGVENKLSFILSARGRELSHDKNEKNDEIM
+>sp|P67936|TPM4_HUMAN Tropomyosin alpha-4 chain OS=Homo sapiens OX=9606 GN=TPM4 PE=1 SV=3
+MAGLNSLEAVKRKIQALQQQADEAEDRAQGLQRELDGERERREKAEGDVAALNRRIQLVEEELDRAQERLATALQKLEEAEKAADESERGMKVIENRAMKDEEKMEIQEMQLKEAKHIAEEADRKYEEVARKLVILEGELERAEERAEVSELKCGDLEEELKNVTNNLKSLEAASEKYSEKEDKYEEEIKLLSDKLKEAETRAEFAERTVAKLEKTIDDLEEKLAQAKEENVGLHQTLDQTLNELNCI
+>DECOY_sp|P67936|TPM4_HUMAN Tropomyosin alpha-4 chain OS=Homo sapiens OX=9606 GN=TPM4 PE=1 SV=3
+ICNLENLTQDLTQHLGVNEEKAQALKEELDDITKELKAVTREAFEARTEAEKLKDSLLKIEEEYKDEKESYKESAAELSKLNNTVNKLEEELDGCKLESVEAREEARELEGELIVLKRAVEEYKRDAEEAIHKAEKLQMEQIEMKEEDKMARNEIVKMGRESEDAAKEAEELKQLATALREQARDLEEEVLQIRRNLAAVDGEAKERREREGDLERQLGQARDEAEDAQQQLAQIKRKVAELSNLGAM
+>sp|P29144|TPP2_HUMAN Tripeptidyl-peptidase 2 OS=Homo sapiens OX=9606 GN=TPP2 PE=1 SV=4
+MATAATEEPFPFHGLLPKKETGAASFLCRYPEYDGRGVLIAVLDTGVDPGAPGMQVTTDGKPKIVDIIDTTGSGDVNTATEVEPKDGEIVGLSGRVLKIPASWTNPSGKYHIGIKNGYDFYPKALKERIQKERKEKIWDPVHRVALAEACRKQEEFDVANNGSSQANKLIKEELQSQVELLNSFEKKYSDPGPVYDCLVWHDGEVWRACIDSNEDGDLSKSTVLRNYKEAQEYGSFGTAEMLNYSVNIYDDGNLLSIVTSGGAHGTHVASIAAGHFPEEPERNGVAPGAQILSIKIGDTRLSTMETGTGLIRAMIEVINHKCDLVNYSYGEATHWPNSGRICEVINEAVWKHNIIYVSSAGNNGPCLSTVGCPGGTTSSVIGVGAYVSPDMMVAEYSLREKLPANQYTWSSRGPSADGALGVSISAPGGAIASVPNWTLRGTQLMNGTSMSSPNACGGIALILSGLKANNIDYTVHSVRRALENTAVKADNIEVFAQGHGIIQVDKAYDYLVQNTSFANKLGFTVTVGNNRGIYLRDPVQVAAPSDHGVGIEPVFPENTENSEKISLQLHLALTSNSSWVQCPSHLELMNQCRHINIRVDPRGLREGLHYTEVCGYDIASPNAGPLFRVPITAVIAAKVNESSHYDLAFTDVHFKPGQIRRHFIEVPEGATWAEVTVCSCSSEVSAKFVLHAVQLVKQRAYRSHEFYKFCSLPEKGTLTEAFPVLGGKAIEFCIARWWASLSDVNIDYTISFHGIVCTAPQLNIHASEGINRFDVQSSLKYEDLAPCITLKNWVQTLRPVSAKTKPLGSRDVLPNNRQLYEMVLTYNFHQPKSGEVTPSCPLLCELLYESEFDSQLWIIFDQNKRQMGSGDAYPHQYSLKLEKGDYTIRLQIRHEQISDLERLKDLPFIVSHRLSNTLSLDIHENHSFALLGKKKSSNLTLPPKYNQPFFVTSLPDDKIPKGAGPGCYLAGSLTLSKTELGKKADVIPVHYYLIPPPTKTKNGSKDKEKDSEKEKDLKEEFTEALRDLKIQWMTKLDSSDIYNELKETYPNYLPLYVARLHQLDAEKERMKRLNEIVDAANAVISHIDQTALAVYIAMKTDPRPDAATIKNDMDKQKSTLVDALCRKGCALADHLLHTQAQDGAISTDAEGKEEEGESPLDSLAETFWETTKWTDLFDNKVLTFAYKHALVNKMYGRGLKFATKLVEEKPTKENWKNCIQLMKLLGWTHCASFTENWLPIMYPPDYCVF
+>DECOY_sp|P29144|TPP2_HUMAN Tripeptidyl-peptidase 2 OS=Homo sapiens OX=9606 GN=TPP2 PE=1 SV=4
+FVCYDPPYMIPLWNETFSACHTWGLLKMLQICNKWNEKTPKEEVLKTAFKLGRGYMKNVLAHKYAFTLVKNDFLDTWKTTEWFTEALSDLPSEGEEEKGEADTSIAGDQAQTHLLHDALACGKRCLADVLTSKQKDMDNKITAADPRPDTKMAIYVALATQDIHSIVANAADVIENLRKMREKEADLQHLRAVYLPLYNPYTEKLENYIDSSDLKTMWQIKLDRLAETFEEKLDKEKESDKEKDKSGNKTKTPPPILYYHVPIVDAKKGLETKSLTLSGALYCGPGAGKPIKDDPLSTVFFPQNYKPPLTLNSSKKKGLLAFSHNEHIDLSLTNSLRHSVIFPLDKLRELDSIQEHRIQLRITYDGKELKLSYQHPYADGSGMQRKNQDFIIWLQSDFESEYLLECLLPCSPTVEGSKPQHFNYTLVMEYLQRNNPLVDRSGLPKTKASVPRLTQVWNKLTICPALDEYKLSSQVDFRNIGESAHINLQPATCVIGHFSITYDINVDSLSAWWRAICFEIAKGGLVPFAETLTGKEPLSCFKYFEHSRYARQKVLQVAHLVFKASVESSCSCVTVEAWTAGEPVEIFHRRIQGPKFHVDTFALDYHSSENVKAAIVATIPVRFLPGANPSAIDYGCVETYHLGERLGRPDVRINIHRCQNMLELHSPCQVWSSNSTLALHLQLSIKESNETNEPFVPEIGVGHDSPAAVQVPDRLYIGRNNGVTVTFGLKNAFSTNQVLYDYAKDVQIIGHGQAFVEINDAKVATNELARRVSHVTYDINNAKLGSLILAIGGCANPSSMSTGNMLQTGRLTWNPVSAIAGGPASISVGLAGDASPGRSSWTYQNAPLKERLSYEAVMMDPSVYAGVGIVSSTTGGPCGVTSLCPGNNGASSVYIINHKWVAENIVECIRGSNPWHTAEGYSYNVLDCKHNIVEIMARILGTGTEMTSLRTDGIKISLIQAGPAVGNREPEEPFHGAAISAVHTGHAGGSTVISLLNGDDYINVSYNLMEATGFSGYEQAEKYNRLVTSKSLDGDENSDICARWVEGDHWVLCDYVPGPDSYKKEFSNLLEVQSQLEEKILKNAQSSGNNAVDFEEQKRCAEALAVRHVPDWIKEKREKQIREKLAKPYFDYGNKIGIHYKGSPNTWSAPIKLVRGSLGVIEGDKPEVETATNVDGSGTTDIIDVIKPKGDTTVQMGPAGPDVGTDLVAILVGRGDYEPYRCLFSAAGTEKKPLLGHFPFPEETAATAM
+>sp|O43617|TPPC3_HUMAN Trafficking protein particle complex subunit 3 OS=Homo sapiens OX=9606 GN=TRAPPC3 PE=1 SV=1
+MSRQANRGTESKKMSSELFTLTYGALVTQLCKDYENDEDVNKQLDKMGFNIGVRLIEDFLARSNVGRCHDFRETADVIAKVAFKMYLGITPSITNWSPAGDEFSLILENNPLVDFVELPDNHSSLIYSNLLCGVLRGALEMVQMAVEAKFVQDTLKGDGVTEIRMRFIRRIEDNLPAGEE
+>DECOY_sp|O43617|TPPC3_HUMAN Trafficking protein particle complex subunit 3 OS=Homo sapiens OX=9606 GN=TRAPPC3 PE=1 SV=1
+EEGAPLNDEIRRIFRMRIETVGDGKLTDQVFKAEVAMQVMELAGRLVGCLLNSYILSSHNDPLEVFDVLPNNELILSFEDGAPSWNTISPTIGLYMKFAVKAIVDATERFDHCRGVNSRALFDEILRVGINFGMKDLQKNVDEDNEYDKCLQTVLAGYTLTFLESSMKKSETGRNAQRSM
+>sp|Q5T6R2|TPT2L_HUMAN Putative phosphatidylinositol 3,4,5-trisphosphate 3-phosphatase TPTE2P1 OS=Homo sapiens OX=9606 GN=TPTE2P1 PE=5 SV=1
+MPAAFPCVFPPQSLQVFPQMIVKVWEKQSLPLPGLRGSPVERLYLPRNELDNPHKQKAWKIYPPEFAVEILFGMVSVDSLLFVLSSPHWWLHLAQGSFWMSEGGFSLCHPGWSVVAQSLLTSTSAFCVRAILLPQPPE
+>DECOY_sp|Q5T6R2|TPT2L_HUMAN Putative phosphatidylinositol 3,4,5-trisphosphate 3-phosphatase TPTE2P1 OS=Homo sapiens OX=9606 GN=TPTE2P1 PE=5 SV=1
+EPPQPLLIARVCFASTSTLLSQAVVSWGPHCLSFGGESMWFSGQALHLWWHPSSLVFLLSDVSVMGFLIEVAFEPPYIKWAKQKHPNDLENRPLYLREVPSGRLGPLPLSQKEWVKVIMQPFVQLSQPPFVCPFAAPM
+>sp|O14798|TR10C_HUMAN Tumor necrosis factor receptor superfamily member 10C OS=Homo sapiens OX=9606 GN=TNFRSF10C PE=1 SV=3
+MARIPKTLKFVVVIVAVLLPVLAYSATTARQEEVPQQTVAPQQQRHSFKGEECPAGSHRSEHTGACNPCTEGVDYTNASNNEPSCFPCTVCKSDQKHKSSCTMTRDTVCQCKEGTFRNENSPEMCRKCSRCPSGEVQVSNCTSWDDIQCVEEFGANATVETPAAEETMNTSPGTPAPAAEETMNTSPGTPAPAAEETMTTSPGTPAPAAEETMTTSPGTPAPAAEETMITSPGTPASSHYLSCTIVGIIVLIVLLIVFV
+>DECOY_sp|O14798|TR10C_HUMAN Tumor necrosis factor receptor superfamily member 10C OS=Homo sapiens OX=9606 GN=TNFRSF10C PE=1 SV=3
+VFVILLVILVIIGVITCSLYHSSAPTGPSTIMTEEAAPAPTGPSTTMTEEAAPAPTGPSTTMTEEAAPAPTGPSTNMTEEAAPAPTGPSTNMTEEAAPTEVTANAGFEEVCQIDDWSTCNSVQVEGSPCRSCKRCMEPSNENRFTGEKCQCVTDRTMTCSSKHKQDSKCVTCPFCSPENNSANTYDVGETCPNCAGTHESRHSGAPCEEGKFSHRQQQPAVTQQPVEEQRATTASYALVPLLVAVIVVVFKLTKPIRAM
+>sp|P0CI26|TR49C_HUMAN Tripartite motif-containing protein 49C OS=Homo sapiens OX=9606 GN=TRIM49C PE=2 SV=1
+MNSGILQVFQGELICPLCMNYFIDPVTIDCGHSFCRPCFYLNWQDIPFLVQCSECTKSTEQINLKTNIHLKKMASLARKVSLWLFLSSEEQMCGTHRETKKIFCEVDRSLLCLLCSSSQEHRYHRHRPIEWAAEEHREKLLQKMQSLWEKACENHRNLNVETTRTRCWKDYVNLRLEAIRAEYQKMPAFHHEEEKHNLEMLKKKGKEIFHRLHLSKAKMAHRMEILRGMYEELNEMCHKPDVELLQAFGDILHRSESVLLHMPQPLNPELSAGPITGLRDRLNQFRVHITLHHEEANSDIFLYEILRSMCIGCDHQDVPYFTATPRSFLAWGVQTFTSGKYYWEVHVGDSWNWAFGVCNMYRKEKNQNEKIDGKEGLFLLGCIKNDIQCSLFTTSPLMLQYIPKPTSRVGLFLDCEAKTVSFVDVNQSSLIYTIPNCSFSPPLRPIFCCIHF
+>DECOY_sp|P0CI26|TR49C_HUMAN Tripartite motif-containing protein 49C OS=Homo sapiens OX=9606 GN=TRIM49C PE=2 SV=1
+FHICCFIPRLPPSFSCNPITYILSSQNVDVFSVTKAECDLFLGVRSTPKPIYQLMLPSTTFLSCQIDNKICGLLFLGEKGDIKENQNKEKRYMNCVGFAWNWSDGVHVEWYYKGSTFTQVGWALFSRPTATFYPVDQHDCGICMSRLIEYLFIDSNAEEHHLTIHVRFQNLRDRLGTIPGASLEPNLPQPMHLLVSESRHLIDGFAQLLEVDPKHCMENLEEYMGRLIEMRHAMKAKSLHLRHFIEKGKKKLMELNHKEEEHHFAPMKQYEARIAELRLNVYDKWCRTRTTEVNLNRHNECAKEWLSQMKQLLKERHEEAAWEIPRHRHYRHEQSSSCLLCLLSRDVECFIKKTERHTGCMQEESSLFLWLSVKRALSAMKKLHINTKLNIQETSKTCESCQVLFPIDQWNLYFCPRCFSHGCDITVPDIFYNMCLPCILEGQFVQLIGSNM
+>sp|Q9BVS5|TR61B_HUMAN tRNA (adenine(58)-N(1))-methyltransferase, mitochondrial OS=Homo sapiens OX=9606 GN=TRMT61B PE=1 SV=2
+MLMAWCRGPVLLCLRQGLGTNSFLHGLGQEPFEGARSLCCRSSPRDLRDGEREHEAAQRKAPGAESCPSLPLSISDIGTGCLSSLENLRLPTLREESSPRELEDSSGDQGRCGPTHQGSEDPSMLSQAQSATEVEERHVSPSCSTSRERPFQAGELILAETGEGETKFKKLFRLNNFGLLNSNWGAVPFGKIVGKFPGQILRSSFGKQYMLRRPALEDYVVLMKRGTAITFPKDINMILSMMDINPGDTVLEAGSGSGGMSLFLSKAVGSQGRVISFEVRKDHHDLAKKNYKHWRDSWKLSHVEEWPDNVDFIHKDISGATEDIKSLTFDAVALDMLNPHVTLPVFYPHLKHGGVCAVYVVNITQVIELLDGIRTCELALSCEKISEVIVRDWLVCLAKQKNGILAQKVESKINTDVQLDSQEKIGVKGELFQEDDHEESHSDFPYGSFPYVARPVHWQPGHTAFLVKLRKVKPQLN
+>DECOY_sp|Q9BVS5|TR61B_HUMAN tRNA (adenine(58)-N(1))-methyltransferase, mitochondrial OS=Homo sapiens OX=9606 GN=TRMT61B PE=1 SV=2
+NLQPKVKRLKVLFATHGPQWHVPRAVYPFSGYPFDSHSEEHDDEQFLEGKVGIKEQSDLQVDTNIKSEVKQALIGNKQKALCVLWDRVIVESIKECSLALECTRIGDLLEIVQTINVVYVACVGGHKLHPYFVPLTVHPNLMDLAVADFTLSKIDETAGSIDKHIFDVNDPWEEVHSLKWSDRWHKYNKKALDHHDKRVEFSIVRGQSGVAKSLFLSMGGSGSGAELVTDGPNIDMMSLIMNIDKPFTIATGRKMLVVYDELAPRRLMYQKGFSSRLIQGPFKGVIKGFPVAGWNSNLLGFNNLRFLKKFKTEGEGTEALILEGAQFPRERSTSCSPSVHREEVETASQAQSLMSPDESGQHTPGCRGQDGSSDELERPSSEERLTPLRLNELSSLCGTGIDSISLPLSPCSEAGPAKRQAAEHEREGDRLDRPSSRCCLSRAGEFPEQGLGHLFSNTGLGQRLCLLVPGRCWAMLM
+>sp|Q9H4I3|TRABD_HUMAN TraB domain-containing protein OS=Homo sapiens OX=9606 GN=TRABD PE=1 SV=1
+MDGEEQQPPHEANVEPVVPSEASEPVPRVLSGDPQNLSDVDAFNLLLEMKLKRRRQRPNLPRTVTQLVAEDGSRVYVVGTAHFSDDSKRDVVKTIREVQPDVVVVELCQYRVSMLKMDESTLLREAQELSLEKLQQAVRQNGLMSGLMQMLLLKVSAHITEQLGMAPGGEFREAFKEASKVPFCKFHLGDRPIPVTFKRAIAALSFWQKVRLAWGLCFLSDPISKDDVERCKQKDLLEQMMAEMIGEFPDLHRTIVSERDVYLTYMLRQAARRLELPRASDAEPRKCVPSVVVGVVGMGHVPGIEKNWSTDLNIQEIMTVPPPSVSGRVSRLAVKAAFFGLLGYSLYWMGRRTASLVLSLPAAQYCLQRVTEARHK
+>DECOY_sp|Q9H4I3|TRABD_HUMAN TraB domain-containing protein OS=Homo sapiens OX=9606 GN=TRABD PE=1 SV=1
+KHRAETVRQLCYQAAPLSLVLSATRRGMWYLSYGLLGFFAAKVALRSVRGSVSPPPVTMIEQINLDTSWNKEIGPVHGMGVVGVVVSPVCKRPEADSARPLELRRAAQRLMYTLYVDRESVITRHLDPFEGIMEAMMQELLDKQKCREVDDKSIPDSLFCLGWALRVKQWFSLAAIARKFTVPIPRDGLHFKCFPVKSAEKFAERFEGGPAMGLQETIHASVKLLLMQMLGSMLGNQRVAQQLKELSLEQAERLLTSEDMKLMSVRYQCLEVVVVDPQVERITKVVDRKSDDSFHATGVVYVRSGDEAVLQTVTRPLNPRQRRRKLKMELLLNFADVDSLNQPDGSLVRPVPESAESPVVPEVNAEHPPQQEEGDM
+>sp|O14545|TRAD1_HUMAN TRAF-type zinc finger domain-containing protein 1 OS=Homo sapiens OX=9606 GN=TRAFD1 PE=1 SV=1
+MAEFLDDQETRLCDNCKKEIPVFNFTIHEIHCQRNIGMCPTCKEPFPKSDMETHMAAEHCQVTCKCNKKLEKRLLKKHEETECPLRLAVCQHCDLELSILKLKEHEDYCGARTELCGNCGRNVLVKDLKTHPEVCGREGEEKRNEVAIPPNAYDESWGQDGIWIASQLLRQIEALDPPMRLPRRPLRAFESDVFHNRTTNQRNITAQVSIQNNLFEEQERQERNRGQQPPKEGGEESANLDFMLALSLQNEGQASSVAEQDFWRAVCEADQSHGGPRSLSDIKGAADEIMLPCEFCEELYPEELLIDHQTSCNPSRALPSLNTGSSSPRGVEEPDVIFQNFLQQAASNQLDSLMGLSNSHPVEESIIIPCEFCGVQLEEEVLFHHQDQCDQRPATATNHVTEGIPRLDSQPQETSPELPRRRVRHQGDLSSGYLDDTKQETANGPTSCLPPSRPINNMTATYNQLSRSTSGPRPGCQPSSPCVPKLSNSDSQDIQGRNRDSQNGAIAPGHVSVIRPPQNLYPENIVPSFSPGPSGRYGASGRSEGGRNSRVTPAAANYRSRTAKAKPSKQQGAGDAEEEEEE
+>DECOY_sp|O14545|TRAD1_HUMAN TRAF-type zinc finger domain-containing protein 1 OS=Homo sapiens OX=9606 GN=TRAFD1 PE=1 SV=1
+EEEEEEADGAGQQKSPKAKATRSRYNAAAPTVRSNRGGESRGSAGYRGSPGPSFSPVINEPYLNQPPRIVSVHGPAIAGNQSDRNRGQIDQSDSNSLKPVCPSSPQCGPRPGSTSRSLQNYTATMNNIPRSPPLCSTPGNATEQKTDDLYGSSLDGQHRVRRRPLEPSTEQPQSDLRPIGETVHNTATAPRQDCQDQHHFLVEEELQVGCFECPIIISEEVPHSNSLGMLSDLQNSAAQQLFNQFIVDPEEVGRPSSSGTNLSPLARSPNCSTQHDILLEEPYLEECFECPLMIEDAAGKIDSLSRPGGHSQDAECVARWFDQEAVSSAQGENQLSLALMFDLNASEEGGEKPPQQGRNREQREQEEFLNNQISVQATINRQNTTRNHFVDSEFARLPRRPLRMPPDLAEIQRLLQSAIWIGDQGWSEDYANPPIAVENRKEEGERGCVEPHTKLDKVLVNRGCNGCLETRAGCYDEHEKLKLISLELDCHQCVALRLPCETEEHKKLLRKELKKNCKCTVQCHEAAMHTEMDSKPFPEKCTPCMGINRQCHIEHITFNFVPIEKKCNDCLRTEQDDLFEAM
+>sp|Q9Y4K3|TRAF6_HUMAN TNF receptor-associated factor 6 OS=Homo sapiens OX=9606 GN=TRAF6 PE=1 SV=1
+MSLLNCENSCGSSQSESDCCVAMASSCSAVTKDDSVGGTASTGNLSSSFMEEIQGYDVEFDPPLESKYECPICLMALREAVQTPCGHRFCKACIIKSIRDAGHKCPVDNEILLENQLFPDNFAKREILSLMVKCPNEGCLHKMELRHLEDHQAHCEFALMDCPQCQRPFQKFHINIHILKDCPRRQVSCDNCAASMAFEDKEIHDQNCPLANVICEYCNTILIREQMPNHYDLDCPTAPIPCTFSTFGCHEKMQRNHLARHLQENTQSHMRMLAQAVHSLSVIPDSGYISEVRNFQETIHQLEGRLVRQDHQIRELTAKMETQSMYVSELKRTIRTLEDKVAEIEAQQCNGIYIWKIGNFGMHLKCQEEEKPVVIHSPGFYTGKPGYKLCMRLHLQLPTAQRCANYISLFVHTMQGEYDSHLPWPFQGTIRLTILDQSEAPVRQNHEEIMDAKPELLAFQRPTIPRNPKGFGYVTFMHLEALRQRTFIKDDTLLVRCEVSTRFDMGSLRREGFQPRSTDAGV
+>DECOY_sp|Q9Y4K3|TRAF6_HUMAN TNF receptor-associated factor 6 OS=Homo sapiens OX=9606 GN=TRAF6 PE=1 SV=1
+VGADTSRPQFGERRLSGMDFRTSVECRVLLTDDKIFTRQRLAELHMFTVYGFGKPNRPITPRQFALLEPKADMIEEHNQRVPAESQDLITLRITGQFPWPLHSDYEGQMTHVFLSIYNACRQATPLQLHLRMCLKYGPKGTYFGPSHIVVPKEEEQCKLHMGFNGIKWIYIGNCQQAEIEAVKDELTRITRKLESVYMSQTEMKATLERIQHDQRVLRGELQHITEQFNRVESIYGSDPIVSLSHVAQALMRMHSQTNEQLHRALHNRQMKEHCGFTSFTCPIPATPCDLDYHNPMQERILITNCYECIVNALPCNQDHIEKDEFAMSAACNDCSVQRRPCDKLIHINIHFKQFPRQCQPCDMLAFECHAQHDELHRLEMKHLCGENPCKVMLSLIERKAFNDPFLQNELLIENDVPCKHGADRISKIICAKCFRHGCPTQVAERLAMLCIPCEYKSELPPDFEVDYGQIEEMFSSSLNGTSATGGVSDDKTVASCSSAMAVCCDSESQSSGCSNECNLLSM
+>sp|Q15629|TRAM1_HUMAN Translocating chain-associated membrane protein 1 OS=Homo sapiens OX=9606 GN=TRAM1 PE=1 SV=3
+MAIRKKSTKSPPVLSHEFVLQNHADIVSCVAMVFLLGLMFEITAKASIIFVTLQYNVTLPATEEQATESVSLYYYGIKDLATVFFYMLVAIIIHAVIQEYMLDKINRRMHFSKTKHSKFNESGQLSAFYLFACVWGTFILISENYISDPTILWRAYPHNLMTFQMKFFYISQLAYWLHAFPELYFQKTKKEDIPRQLVYIGLYLFHIAGAYLLNLNHLGLVLLVLHYFVEFLFHISRLFYFSNEKYQKGFSLWAVLFVLGRLLTLILSVLTVGFGLARAENQKLDFSTGNFNVLAVRIAVLASICVTQAFMMWKFINFQLRRWREHSAFQAPAVKKKPTVTKGRSSKKGTENGVNGTLTSNVADSPRNKKEKSS
+>DECOY_sp|Q15629|TRAM1_HUMAN Translocating chain-associated membrane protein 1 OS=Homo sapiens OX=9606 GN=TRAM1 PE=1 SV=3
+SSKEKKNRPSDAVNSTLTGNVGNETGKKSSRGKTVTPKKKVAPAQFASHERWRRLQFNIFKWMMFAQTVCISALVAIRVALVNFNGTSFDLKQNEARALGFGVTLVSLILTLLRGLVFLVAWLSFGKQYKENSFYFLRSIHFLFEVFYHLVLLVLGLHNLNLLYAGAIHFLYLGIYVLQRPIDEKKTKQFYLEPFAHLWYALQSIYFFKMQFTMLNHPYARWLITPDSIYNESILIFTGWVCAFLYFASLQGSENFKSHKTKSFHMRRNIKDLMYEQIVAHIIIAVLMYFFVTALDKIGYYYLSVSETAQEETAPLTVNYQLTVFIISAKATIEFMLGLLFVMAVCSVIDAHNQLVFEHSLVPPSKTSKKRIAM
+>sp|Q15633|TRBP2_HUMAN RISC-loading complex subunit TARBP2 OS=Homo sapiens OX=9606 GN=TARBP2 PE=1 SV=3
+MSEEEQGSGTTTGCGLPSIEQMLAANPGKTPISLLQEYGTRIGKTPVYDLLKAEGQAHQPNFTFRVTVGDTSCTGQGPSKKAAKHKAAEVALKHLKGGSMLEPALEDSSSFSPLDSSLPEDIPVFTAAAAATPVPSVVLTRSPPMELQPPVSPQQSECNPVGALQELVVQKGWRLPEYTVTQESGPAHRKEFTMTCRVERFIEIGSGTSKKLAKRNAAAKMLLRVHTVPLDARDGNEVEPDDDHFSIGVGSRLDGLRNRGPGCTWDSLRNSVGEKILSLRSCSLGSLGALGPACCRVLSELSEEQAFHVSYLDIEELSLSGLCQCLVELSTQPATVCHGSATTREAARGEAARRALQYLKIMAGSK
+>DECOY_sp|Q15633|TRBP2_HUMAN RISC-loading complex subunit TARBP2 OS=Homo sapiens OX=9606 GN=TARBP2 PE=1 SV=3
+KSGAMIKLYQLARRAAEGRAAERTTASGHCVTAPQTSLEVLCQCLGSLSLEEIDLYSVHFAQEESLESLVRCCAPGLAGLSGLSCSRLSLIKEGVSNRLSDWTCGPGRNRLGDLRSGVGISFHDDDPEVENGDRADLPVTHVRLLMKAAANRKALKKSTGSGIEIFREVRCTMTFEKRHAPGSEQTVTYEPLRWGKQVVLEQLAGVPNCESQQPSVPPQLEMPPSRTLVVSPVPTAAAAATFVPIDEPLSSDLPSFSSSDELAPELMSGGKLHKLAVEAAKHKAAKKSPGQGTCSTDGVTVRFTFNPQHAQGEAKLLDYVPTKGIRTGYEQLLSIPTKGPNAALMQEISPLGCGTTTGSGQEEESM
+>sp|Q96PN7|TREF1_HUMAN Transcriptional-regulating factor 1 OS=Homo sapiens OX=9606 GN=TRERF1 PE=1 SV=1
+MGDQQLYKTNHVAHGSENLFYQQPPLGVHSGLNHNYGNAVTGGGMDAPQASPISPHFPQDTRDGLGLPVGSKNLGQMDTSRQGGWGSHAGPGNHVQLRGNLANSNMMWGAPAQAEPTDGYQYTYSQASEIRTQKLTSGVLHKLDSFTQVFANQNLRIQVNNMAQVLHTQSAVMDGAPDSALRQLLSQKPMEPPAPAIPSRYQQVPQQPHPGFTGGLSKPALQVGQHPTQGHLYYDYQQPLAQVPVQGGQPLQAPQMLSQHMQQMQQHQYYPPQQQQQAGQQRISMQEIQTQPQQIRPSQPQPPPQQQQPQQLQLQQRQGSMQIPQYYQPQPMMQHLQEQQQQQMHLQPPSYHRDPHQYTPEQAHTVQLIPLGSMSQYYYQEPQQPYSHPLYQQSHLSQHQQREDSQLKTYSSDRQAQAMLSSHGDLGPPDTGMGDPASSDLTRVSSTLPHRPLLSPSGIHLNNMGPQHQQLSPSAMWPQMHLPDGRAQPGSPESSGQPKGAFGEQFDAKNKLTCSICLKEFKNLPALNGHMRSHGGMRASPNLKQEEGEKVLPPQPQPPLPPPPPPPPPPQLPPEAESLTPMVMPVSVPVKLLPPKPSSQGFTNSTVAAPSARDKPASSMSDDEMPVLEIPRKHQPSVPKAEEPLKTVQEKKKFRHRPEPLFIPPPPSYNPNPAASYSGATLYQSQLRSPRVLGDHLLLDPTHELPPYTPPPMLSPVRQGSGLFSNVLISGHGPGAHPQLPLTPLTPTPRVLLCRSNSIDGSNVTVTPGPGEQTVDVEPRINIGLRFQAEIPELQDISALAQDTHKATLVWKPWPELENHDLQQRVENLLNLCCSSALPGGGTNSEFALHSLFEAKGDVMVALEMLLLRKPVRLKCHPLANYHYAGSDKWTSLERKLFNKALATYSKDFIFVQKMVKSKTVAQCVEYYYTWKKIMRLGRKHRTRLAEIIDDCVTSEEEEELEEEEEEDPEEDRKSTKEEESEVPKSPEPPPVPVLAPTEGPPLQALGQPSGSFICEMPNCGAVFSSRQALNGHARIHGGTNQVTKARGAIPSGKQKPGGTQSGYCSVKSSPSHSTTSGETDPTTIFPCKECGKVFFKIKSRNAHMKTHRQQEEQQRQKAQKAAFAAEMAATIERTTGPVGAPGLLPLDQLSLIKPIKDVDILDDDVVQQLGGVMEEAEVVDTDLLLDDQDSVLLQGDAEL
+>DECOY_sp|Q96PN7|TREF1_HUMAN Transcriptional-regulating factor 1 OS=Homo sapiens OX=9606 GN=TRERF1 PE=1 SV=1
+LEADGQLLVSDQDDLLLDTDVVEAEEMVGGLQQVVDDDLIDVDKIPKILSLQDLPLLGPAGVPGTTREITAAMEAAFAAKQAKQRQQEEQQRHTKMHANRSKIKFFVKGCEKCPFITTPDTEGSTTSHSPSSKVSCYGSQTGGPKQKGSPIAGRAKTVQNTGGHIRAHGNLAQRSSFVAGCNPMECIFSGSPQGLAQLPPGETPALVPVPPPEPSKPVESEEEKTSKRDEEPDEEEEEELEEEEESTVCDDIIEALRTRHKRGLRMIKKWTYYYEVCQAVTKSKVMKQVFIFDKSYTALAKNFLKRELSTWKDSGAYHYNALPHCKLRVPKRLLLMELAVMVDGKAEFLSHLAFESNTGGGPLASSCCLNLLNEVRQQLDHNELEPWPKWVLTAKHTDQALASIDQLEPIEAQFRLGINIRPEVDVTQEGPGPTVTVNSGDISNSRCLLVRPTPTLPTLPLQPHAGPGHGSILVNSFLGSGQRVPSLMPPPTYPPLEHTPDLLLHDGLVRPSRLQSQYLTAGSYSAAPNPNYSPPPPIFLPEPRHRFKKKEQVTKLPEEAKPVSPQHKRPIELVPMEDDSMSSAPKDRASPAAVTSNTFGQSSPKPPLLKVPVSVPMVMPTLSEAEPPLQPPPPPPPPPPLPPQPQPPLVKEGEEQKLNPSARMGGHSRMHGNLAPLNKFEKLCISCTLKNKADFQEGFAGKPQGSSEPSGPQARGDPLHMQPWMASPSLQQHQPGMNNLHIGSPSLLPRHPLTSSVRTLDSSAPDGMGTDPPGLDGHSSLMAQAQRDSSYTKLQSDERQQHQSLHSQQYLPHSYPQQPEQYYYQSMSGLPILQVTHAQEPTYQHPDRHYSPPQLHMQQQQQEQLHQMMPQPQYYQPIQMSGQRQQLQLQQPQQQQPPPQPQSPRIQQPQTQIEQMSIRQQGAQQQQQPPYYQHQQMQQMHQSLMQPAQLPQGGQVPVQALPQQYDYYLHGQTPHQGVQLAPKSLGGTFGPHPQQPVQQYRSPIAPAPPEMPKQSLLQRLASDPAGDMVASQTHLVQAMNNVQIRLNQNAFVQTFSDLKHLVGSTLKQTRIESAQSYTYQYGDTPEAQAPAGWMMNSNALNGRLQVHNGPGAHSGWGGQRSTDMQGLNKSGVPLGLGDRTDQPFHPSIPSAQPADMGGGTVANGYNHNLGSHVGLPPQQYFLNESGHAVHNTKYLQQDGM
+>sp|Q9UPQ4|TRI35_HUMAN Tripartite motif-containing protein 35 OS=Homo sapiens OX=9606 GN=TRIM35 PE=1 SV=2
+MERSPDVSPGPSRSFKEELLCAVCYDPFRDAVTLRCGHNFCRGCVSRCWEVQVSPTCPVCKDRASPADLRTNHTLNNLVEKLLREEAEGARWTSYRFSRVCRLHRGQLSLFCLEDKELLCCSCQADPRHQGHRVQPVKDTAHDFRAKCRNMEHALREKAKAFWAMRRSYEAIAKHNQVEAAWLEGRIRQEFDKLREFLRVEEQAILDAMAEETRQKQLLADEKMKQLTEETEVLAHEIERLQMEMKEDDVSFLMKHKSRKRRLFCTMEPEPVQPGMLIDVCKYLGSLQYRVWKKMLASVESVPFSFDPNTAAGWLSVSDDLTSVTNHGYRVQVENPERFSSAPCLLGSRVFSQGSHAWEVALGGLQSWRVGVVRVRQDSGAEGHSHSCYHDTRSGFWYVCRTQGVEGDHCVTSDPATSPLVLAIPRRLRVELECEEGELSFYDAERHCHLYTFHARFGEVRPYFYLGGARGAGPPEPLRICPLHISVKEELDG
+>DECOY_sp|Q9UPQ4|TRI35_HUMAN Tripartite motif-containing protein 35 OS=Homo sapiens OX=9606 GN=TRIM35 PE=1 SV=2
+GDLEEKVSIHLPCIRLPEPPGAGRAGGLYFYPRVEGFRAHFTYLHCHREADYFSLEGEECELEVRLRRPIALVLPSTAPDSTVCHDGEVGQTRCVYWFGSRTDHYCSHSHGEAGSDQRVRVVGVRWSQLGGLAVEWAHSGQSFVRSGLLCPASSFREPNEVQVRYGHNTVSTLDDSVSLWGAATNPDFSFPVSEVSALMKKWVRYQLSGLYKCVDILMGPQVPEPEMTCFLRRKRSKHKMLFSVDDEKMEMQLREIEHALVETEETLQKMKEDALLQKQRTEEAMADLIAQEEVRLFERLKDFEQRIRGELWAAEVQNHKAIAEYSRRMAWFAKAKERLAHEMNRCKARFDHATDKVPQVRHGQHRPDAQCSCCLLEKDELCFLSLQGRHLRCVRSFRYSTWRAGEAEERLLKEVLNNLTHNTRLDAPSARDKCVPCTPSVQVEWCRSVCGRCFNHGCRLTVADRFPDYCVACLLEEKFSRSPGPSVDPSREM
+>sp|Q9NQ86|TRI36_HUMAN E3 ubiquitin-protein ligase TRIM36 OS=Homo sapiens OX=9606 GN=TRIM36 PE=1 SV=2
+MSESGEMSEFGYIMELIAKGKVTIKNIERELICPACKELFTHPLILPCQHSICHKCVKELLLTLDDSFNDVGSDNSNQSSPRLRLPSPSMDKIDRINRPGWKRNSLTPRTTVFPCPGCEHDVDLGERGINGLFRNFTLETIVERYRQAARAATAIMCDLCKPPPQESTKSCMDCSASYCNECFKIHHPWGTIKAQHEYVGPTTNFRPKILMCPEHETERINMYCELCRRPVCHLCKLGGNHANHRVTTMSSAYKTLKEKLSKDIDYLIGKESQVKSQISELNLLMKETECNGERAKEEAITHFEKLFEVLEERKSSVLKAIDSSKKLRLDKFQTQMEEYQGLLENNGLVGYAQEVLKETDQSCFVQTAKQLHLRIQKATESLKSFRPAAQTSFEDYVVNTSKQTELLGELSFFSSGIDVPEINEEQSKVYNNALINWHHPEKDKADSYVLEYRKINRDDEMSWNEIEVCGTSKIIQDLENSSTYAFRVRAYKGSICSPCSRELILHTPPAPVFSFLFDEKCGYNNEHLLLNLKRDRVESRAGFNLLLAAERIQVGYYTSLDYIIGDTGITKGKHFWAFRVEPYSYLVKVGVASSDKLQEWLRSPRDAVSPRYEQDSGHDSGSEDACFDSSQPFTLVTIGMQKFFIPKSPTSSNEPENRVLPMPTSIGIFLDCDKGKVDFYDMDQMKCLYERQVDCSHTLYPAFALMGSGGIQLEEPITAKYLEYQEDM
+>DECOY_sp|Q9NQ86|TRI36_HUMAN E3 ubiquitin-protein ligase TRIM36 OS=Homo sapiens OX=9606 GN=TRIM36 PE=1 SV=2
+MDEQYELYKATIPEELQIGGSGMLAFAPYLTHSCDVQREYLCKMQDMDYFDVKGKDCDLFIGISTPMPLVRNEPENSSTPSKPIFFKQMGITVLTFPQSSDFCADESGSDHGSDQEYRPSVADRPSRLWEQLKDSSAVGVKVLYSYPEVRFAWFHKGKTIGTDGIIYDLSTYYGVQIREAALLLNFGARSEVRDRKLNLLLHENNYGCKEDFLFSFVPAPPTHLILERSCPSCISGKYARVRFAYTSSNELDQIIKSTGCVEIENWSMEDDRNIKRYELVYSDAKDKEPHHWNILANNYVKSQEENIEPVDIGSSFFSLEGLLETQKSTNVVYDEFSTQAAPRFSKLSETAKQIRLHLQKATQVFCSQDTEKLVEQAYGVLGNNELLGQYEEMQTQFKDLRLKKSSDIAKLVSSKREELVEFLKEFHTIAEEKAREGNCETEKMLLNLESIQSKVQSEKGILYDIDKSLKEKLTKYASSMTTVRHNAHNGGLKCLHCVPRRCLECYMNIRETEHEPCMLIKPRFNTTPGVYEHQAKITGWPHHIKFCENCYSASCDMCSKTSEQPPPKCLDCMIATAARAAQRYREVITELTFNRFLGNIGREGLDVDHECGPCPFVTTRPTLSNRKWGPRNIRDIKDMSPSPLRLRPSSQNSNDSGVDNFSDDLTLLLEKVCKHCISHQCPLILPHTFLEKCAPCILEREINKITVKGKAILEMIYGFESMEGSESM
+>sp|Q9HCM9|TRI39_HUMAN E3 ubiquitin-protein ligase TRIM39 OS=Homo sapiens OX=9606 GN=TRIM39 PE=1 SV=2
+MAETSLLEAGASAASTAAALENLQVEASCSVCLEYLKEPVIIECGHNFCKACITRWWEDLERDFPCPVCRKTSRYRSLRPNRQLGSMVEIAKQLQAVKRKIRDESLCPQHHEALSLFCYEDQEAVCLICAISHTHRAHTVVPLDDATQEYKEKLQKCLEPLEQKLQEITRCKSSEEKKPGELKRLVESRRQQILREFEELHRRLDEEQQVLLSRLEEEEQDILQRLRENAAHLGDKRRDLAHLAAEVEGKCLQSGFEMLKDVKSTLEKNIPRKFGGSLSTICPRDHKALLGLVKEINRCEKVKTMEVTSVSIELEKNFSNFPRQYFALRKILKQLIADVTLDPETAHPNLVLSEDRKSVKFVETRLRDLPDTPRRFTFYPCVLATEGFTSGRHYWEVEVGDKTHWAVGVCRDSVSRKGELTPLPETGYWRVRLWNGDKYAATTTPFTPLHIKVKPKRVGIFLDYEAGTLSFYNVTDRSHIYTFTDTFTEKLWPLFYPGIRAGRKNAAPLTIRPPTDWE
+>DECOY_sp|Q9HCM9|TRI39_HUMAN E3 ubiquitin-protein ligase TRIM39 OS=Homo sapiens OX=9606 GN=TRIM39 PE=1 SV=2
+EWDTPPRITLPAANKRGARIGPYFLPWLKETFTDTFTYIHSRDTVNYFSLTGAEYDLFIGVRKPKVKIHLPTFPTTTAAYKDGNWLRVRWYGTEPLPTLEGKRSVSDRCVGVAWHTKDGVEVEWYHRGSTFGETALVCPYFTFRRPTDPLDRLRTEVFKVSKRDESLVLNPHATEPDLTVDAILQKLIKRLAFYQRPFNSFNKELEISVSTVEMTKVKECRNIEKVLGLLAKHDRPCITSLSGGFKRPINKELTSKVDKLMEFGSQLCKGEVEAALHALDRRKDGLHAANERLRQLIDQEEEELRSLLVQQEEDLRRHLEEFERLIQQRRSEVLRKLEGPKKEESSKCRTIEQLKQELPELCKQLKEKYEQTADDLPVVTHARHTHSIACILCVAEQDEYCFLSLAEHHQPCLSEDRIKRKVAQLQKAIEVMSGLQRNPRLSRYRSTKRCVPCPFDRELDEWWRTICAKCFNHGCEIIVPEKLYELCVSCSAEVQLNELAAATSAASAGAELLSTEAM
+>sp|Q8IWZ5|TRI42_HUMAN Tripartite motif-containing protein 42 OS=Homo sapiens OX=9606 GN=TRIM42 PE=1 SV=2
+METAMCVCCPCCTWQRCCPQLCSCLCCKFIFTSERNCTCFPCPYKDERNCQFCHCTCSESPNCHWCCCSWANDPNCKCCCTASSNLNCYYYESRCCRNTIITFHKGRLRSIHTSSKTALRTGSSDTQVDEVKSIPANSHLVNHLNCPMCSRLRLHSFMLPCNHSLCEKCLRQLQKHAEVTENFFILICPVCDRSHCMPYSNKMQLPENYLHGRLTKRYMQEHGYLKWRFDRSSGPILCQVCRNKRIAYKRCITCRLNLCNDCLKAFHSDVAMQDHVFVDTSAEEQDEKICIHHPSSRIIEYCRNDNKLLCTFCKFSFHNGHDTISLIDACSERAASLFSAIAKFKAVRYEIDNDLMEFNILKNSFKADKEAKRKEIRNGFLKLRSILQEKEKIIMEQIENLEVSRQKEIEKYVYVTTMKVNEMDGLIAYSKEALKETGQVAFLQSAKILVDQIEDGIQTTYRPDPQLRLHSINYVPLDFVELSSAIHELFPTGPKKVRSSGDSLPSPYPVHSETMIARKVTFSTHSLGNQHIYQRSSSMLSFSNTDKKAKVGLEACGRAQSATPAKPTDGLYTYWSAGADSQSVQNSSSFHNWYSFNDGSVKTPGPIVIYQTLVYPRAAKVYWTCPAEDVDSFEMEFYEVITSPPNNVQMELCGQIRDIMQQNLELHNLTPNTEYVFKVRAINDNGPGQWSDICKVVTPDGHGKNRAKWGLLKNIQSALQKHF
+>DECOY_sp|Q8IWZ5|TRI42_HUMAN Tripartite motif-containing protein 42 OS=Homo sapiens OX=9606 GN=TRIM42 PE=1 SV=2
+FHKQLASQINKLLGWKARNKGHGDPTVVKCIDSWQGPGNDNIARVKFVYETNPTLNHLELNQQMIDRIQGCLEMQVNNPPSTIVEYFEMEFSDVDEAPCTWYVKAARPYVLTQYIVIPGPTKVSGDNFSYWNHFSSSNQVSQSDAGASWYTYLGDTPKAPTASQARGCAELGVKAKKDTNSFSLMSSSRQYIHQNGLSHTSFTVKRAIMTESHVPYPSPLSDGSSRVKKPGTPFLEHIASSLEVFDLPVYNISHLRLQPDPRYTTQIGDEIQDVLIKASQLFAVQGTEKLAEKSYAILGDMENVKMTTVYVYKEIEKQRSVELNEIQEMIIKEKEQLISRLKLFGNRIEKRKAEKDAKFSNKLINFEMLDNDIEYRVAKFKAIASFLSAARESCADILSITDHGNHFSFKCFTCLLKNDNRCYEIIRSSPHHICIKEDQEEASTDVFVHDQMAVDSHFAKLCDNCLNLRCTICRKYAIRKNRCVQCLIPGSSRDFRWKLYGHEQMYRKTLRGHLYNEPLQMKNSYPMCHSRDCVPCILIFFNETVEAHKQLQRLCKECLSHNCPLMFSHLRLRSCMPCNLHNVLHSNAPISKVEDVQTDSSGTRLATKSSTHISRLRGKHFTIITNRCCRSEYYYCNLNSSATCCCKCNPDNAWSCCCWHCNPSESCTCHCFQCNREDKYPCPFCTCNRESTFIFKCCLCSCLQPCCRQWTCCPCCVCMATEM
+>sp|Q96LD4|TRI47_HUMAN Tripartite motif-containing protein 47 OS=Homo sapiens OX=9606 GN=TRIM47 PE=1 SV=2
+MDGSGPFSCPICLEPLREPVTLPCGHNFCLACLGALWPHRGASGAGGPGGAARCPLCQEPFPDGLQLRKNHTLSELLQLRQGSGPGSGPGPAPALAPEPSAPSALPSVPEPSAPCAPEPWPAGEEPVRCDACPEGAALPAALSCLSCLASFCPAHLGPHERSPALRGHRLVPPLRRLEESLCPRHLRPLERYCRAERVCLCEACAAQEHRGHELVPLEQERALQEAEQSKVLSAVEDRMDELGAGIAQSRRTVALIKSAAVAERERVSRLFADAAAALQGFQTQVLGFIEEGEAAMLGRSQGDLRRQEEQRSRLSRARQNLSQVPEADSVSFLQELLALRLALEDGCGPGPGPPRELSFTKSSQAVRAVRDMLAVACVNQWEQLRGPGGNEDGPQKLDSEADAEPQDLESTNLLESEAPRDYFLKFAYIVDLDSDTADKFLQLFGTKGVKRVLCPINYPLSPTRFTHCEQVLGEGALDRGTYYWEVEIIEGWVSMGVMAEDFSPQEPYDRGRLGRNAHSCCLQWNGRSFSVWFHGLEAPLPHPFSPTVGVCLEYADRALAFYAVRDGKMSLLRRLKASRPRRGGIPASPIDPFQSRLDSHFAGLFTHRLKPAFFLESVDAHLQIGPLKKSCISVLKRR
+>DECOY_sp|Q96LD4|TRI47_HUMAN Tripartite motif-containing protein 47 OS=Homo sapiens OX=9606 GN=TRIM47 PE=1 SV=2
+RRKLVSICSKKLPGIQLHADVSELFFAPKLRHTFLGAFHSDLRSQFPDIPSAPIGGRRPRSAKLRRLLSMKGDRVAYFALARDAYELCVGVTPSFPHPLPAELGHFWVSFSRGNWQLCCSHANRGLRGRDYPEQPSFDEAMVGMSVWGEIIEVEWYYTGRDLAGEGLVQECHTFRTPSLPYNIPCLVRKVGKTGFLQLFKDATDSDLDVIYAFKLFYDRPAESELLNTSELDQPEADAESDLKQPGDENGGPGRLQEWQNVCAVALMDRVARVAQSSKTFSLERPPGPGPGCGDELALRLALLEQLFSVSDAEPVQSLNQRARSLRSRQEEQRRLDGQSRGLMAAEGEEIFGLVQTQFGQLAAAADAFLRSVREREAVAASKILAVTRRSQAIGAGLEDMRDEVASLVKSQEAEQLAREQELPVLEHGRHEQAACAECLCVREARCYRELPRLHRPCLSEELRRLPPVLRHGRLAPSREHPGLHAPCFSALCSLCSLAAPLAAGEPCADCRVPEEGAPWPEPACPASPEPVSPLASPASPEPALAPAPGPGSGPGSGQRLQLLESLTHNKRLQLGDPFPEQCLPCRAAGGPGGAGSAGRHPWLAGLCALCFNHGCPLTVPERLPELCIPCSFPGSGDM
+>sp|Q96A61|TRI52_HUMAN Tripartite motif-containing protein 52 OS=Homo sapiens OX=9606 GN=TRIM52 PE=1 SV=1
+MAGYATTPSPMQTLQEEAVCAICLDYFKDPVSISCGHNFCRGCVTQLWSKEDEEDQNEEEDEWEEEEDEEAVGAMDGWDGSIREVLYRGNADEELFQDQDDDELWLGDSGITNWDNVDYMWDEEEEEEEEDQDYYLGGLRPDLRIDVYREEEILEAYDEDEDEELYPDIHPPPSLPLPGQFTCPQCRKSFTRRSFRPNLQLANMVQIIRQMCPTPYRGNRSNDQGMCFKHQEALKLFCEVDKEAICVVCRESRSHKQHSVLPLEEVVQEYQEIKLETTLVGILQIEQESIHSKAYNQ
+>DECOY_sp|Q96A61|TRI52_HUMAN Tripartite motif-containing protein 52 OS=Homo sapiens OX=9606 GN=TRIM52 PE=1 SV=1
+QNYAKSHISEQEIQLIGVLTTELKIEQYEQVVEELPLVSHQKHSRSERCVVCIAEKDVECFLKLAEQHKFCMGQDNSRNGRYPTPCMQRIIQVMNALQLNPRFSRRTFSKRCQPCTFQGPLPLSPPPHIDPYLEEDEDEDYAELIEEERYVDIRLDPRLGGLYYDQDEEEEEEEEDWMYDVNDWNTIGSDGLWLEDDDQDQFLEEDANGRYLVERISGDWGDMAGVAEEDEEEEWEDEEENQDEEDEKSWLQTVCGRCFNHGCSISVPDKFYDLCIACVAEEQLTQMPSPTTAYGAM
+>sp|Q9BYV2|TRI54_HUMAN Tripartite motif-containing protein 54 OS=Homo sapiens OX=9606 GN=TRIM54 PE=1 SV=3
+MNFTVGFKPLLGDAHSMDNLEKQLICPICLEMFSKPVVILPCQHNLCRKCANDVFQASNPLWQSRGSTTVSSGGRFRCPSCRHEVVLDRHGVYGLQRNLLVENIIDIYKQESSRPLHSKAEQHLMCEEHEEEKINIYCLSCEVPTCSLCKVFGAHKDCEVAPLPTIYKRQKSELSDGIAMLVAGNDRVQAVITQMEEVCQTIEDNSRRQKQLLNQRFESLCAVLEERKGELLQALAREQEEKLQRVRGLIRQYGDHLEASSKLVESAIQSMEEPQMALYLQQAKELINKVGAMSKVELAGRPEPGYESMEQFTVRVEHVAEMLRTIDFQPGASGEEEEVAPDGEEGSAGPEEERPDGP
+>DECOY_sp|Q9BYV2|TRI54_HUMAN Tripartite motif-containing protein 54 OS=Homo sapiens OX=9606 GN=TRIM54 PE=1 SV=3
+PGDPREEEPGASGEEGDPAVEEEEGSAGPQFDITRLMEAVHEVRVTFQEMSEYGPEPRGALEVKSMAGVKNILEKAQQLYLAMQPEEMSQIASEVLKSSAELHDGYQRILGRVRQLKEEQERALAQLLEGKREELVACLSEFRQNLLQKQRRSNDEITQCVEEMQTIVAQVRDNGAVLMAIGDSLESKQRKYITPLPAVECDKHAGFVKCLSCTPVECSLCYINIKEEEHEECMLHQEAKSHLPRSSEQKYIDIINEVLLNRQLGYVGHRDLVVEHRCSPCRFRGGSSVTTSGRSQWLPNSAQFVDNACKRCLNHQCPLIVVPKSFMELCIPCILQKELNDMSHADGLLPKFGVTFNM
+>sp|Q5EBN2|TRI61_HUMAN Putative tripartite motif-containing protein 61 OS=Homo sapiens OX=9606 GN=TRIM61 PE=2 SV=1
+MEFVTALADLRAEASCPICLDYLKDPVTISCGHNFCLSCIIMSWKDLHDSFPCPFCHFCCPERKFISNPQLGSLTEIAKQLQIRSKKRKRQEEKHVCKKHNQVLTFFCQKDLELLCPRCSLSTDHQHHCVWPIKKAASYHRKKLEEYNAPWKERVELIEKVITMQTRKSLELKKKMESPSVTRLECSCTISAHFNLRLPGSSDSSASGS
+>DECOY_sp|Q5EBN2|TRI61_HUMAN Putative tripartite motif-containing protein 61 OS=Homo sapiens OX=9606 GN=TRIM61 PE=2 SV=1
+SGSASSDSSGPLRLNFHASITCSCELRTVSPSEMKKKLELSKRTQMTIVKEILEVREKWPANYEELKKRHYSAAKKIPWVCHHQHDTSLSCRPCLLELDKQCFFTLVQNHKKCVHKEEQRKRKKSRIQLQKAIETLSGLQPNSIFKREPCCFHCFPCPFSDHLDKWSMIICSLCFNHGCSITVPDKLYDLCIPCSAEARLDALATVFEM
+>sp|O15016|TRI66_HUMAN Tripartite motif-containing protein 66 OS=Homo sapiens OX=9606 GN=TRIM66 PE=2 SV=4
+MARNCSECKEKRAAHILCTYCNRWLCSSCTEEHRHSPVPGGPFFPRAQKGSPGVNGGPGDFTLYCPLHTQEVLKLFCETCDMLTCHSCLVVEHKEHRCRHVEEVLQNQRMLLEGVTTQVAHKKSSLQTSAKQIEDRIFEVKHQHRKVENQIKMAKMVLMNELNKQANGLIEELEGITNERKRKLEQQLQSIMVLNRQFEHVQNFINWAVCSKTSVPFLFSKELIVFQMQRLLETSCNTDPGSPWSIRFTWEPNFWTKQLASLGCITTEGGQMSRADAPAYGGLQGSSPFYQSHQSPVAQQEALSHPSHKFQSPAVCSSSVCCSHCSPVSPSLKGQVPPPSIHPAHSFRQPPEMVPQQLGSLQCSALLPREKELACSPHPPKLLQPWLETQPPVEQESTSQRLGQQLTSQPVCIVPPQDVQQGAHAQPTLQTPSIQVQFGHHQKLKLSHFQQQPQQQLPPPPPPLPHPPPPLPPPPQQPHPPLPPSQHLASSQHESPPGPACSQNMDIMHHKFELEEMQKDLELLLQAQQPSLQLSQTKSPQHLQQTIVGQINYIVRQPAPVQSQSQEETLQATDEPPASQGSKPALPLDKNTAAALPQASGEETPLSVPPVDSTIQHSSPNVVRKHSTSLSIMGFSNTLEMELSSTRLERPLEPQIQSVSNLTAGAPQAVPSLLSAPPKMVSSLTSVQNQAMPSLTTSHLQTVPSLVHSTFQSMPNLISDSPQAMASLASDHPQAGPSLMSGHTQAVPSLATCPLQSIPPVSDMQPETGSSSSSGRTSGSLCPRDGADPSLENALCKVKLEEPINLSVKKPPLAPVVSTSTALQQYQNPKECENFEQGALELDAKENQSIRAFNSEHKIPYVRLERLKICAASSGEMPVFKLKPQKNDQDGSFLLIIECGTESSSMSIKVSQDRLSEATQAPGLEGRKVTVTSLAGQRPPEVEGTSPEEHRLIPRTPGAKKGPPAPIENEDFCAVCLNGGELLCCDRCPKVFHLSCHVPALLSFPGGEWVCTLCRSLTQPEMEYDCENACYNQPGMRASPGLSMYDQKKCEKLVLSLCCNNLSLPFHEPVSPLARHYYQIIKRPMDLSIIRRKLQKKDPAHYTTPEEVVSDVRLMFWNCAKFNYPDSEVAEAGRCLEVFFEGWLKEIYPEKRFAQPRQEDSDSEEVSSESGCSTPQGFPWPPYMQEGIQPKRRRRHMENERAKRMSFRLANSISQV
+>DECOY_sp|O15016|TRI66_HUMAN Tripartite motif-containing protein 66 OS=Homo sapiens OX=9606 GN=TRIM66 PE=2 SV=4
+VQSISNALRFSMRKARENEMHRRRRKPQIGEQMYPPWPFGQPTSCGSESSVEESDSDEQRPQAFRKEPYIEKLWGEFFVELCRGAEAVESDPYNFKACNWFMLRVDSVVEEPTTYHAPDKKQLKRRIISLDMPRKIIQYYHRALPSVPEHFPLSLNNCCLSLVLKECKKQDYMSLGPSARMGPQNYCANECDYEMEPQTLSRCLTCVWEGGPFSLLAPVHCSLHFVKPCRDCCLLEGGNLCVACFDENEIPAPPGKKAGPTRPILRHEEPSTGEVEPPRQGALSTVTVKRGELGPAQTAESLRDQSVKISMSSSETGCEIILLFSGDQDNKQPKLKFVPMEGSSAACIKLRELRVYPIKHESNFARISQNEKADLELAGQEFNECEKPNQYQQLATSTSVVPALPPKKVSLNIPEELKVKCLANELSPDAGDRPCLSGSTRGSSSSSGTEPQMDSVPPISQLPCTALSPVAQTHGSMLSPGAQPHDSALSAMAQPSDSILNPMSQFTSHVLSPVTQLHSTTLSPMAQNQVSTLSSVMKPPASLLSPVAQPAGATLNSVSQIQPELPRELRTSSLEMELTNSFGMISLSTSHKRVVNPSSHQITSDVPPVSLPTEEGSAQPLAAATNKDLPLAPKSGQSAPPEDTAQLTEEQSQSQVPAPQRVIYNIQGVITQQLHQPSKTQSLQLSPQQAQLLLELDKQMEELEFKHHMIDMNQSCAPGPPSEHQSSALHQSPPLPPHPQQPPPPLPPPPHPLPPPPPPLQQQPQQQFHSLKLKQHHGFQVQISPTQLTPQAHAGQQVDQPPVICVPQSTLQQGLRQSTSEQEVPPQTELWPQLLKPPHPSCALEKERPLLASCQLSGLQQPVMEPPQRFSHAPHISPPPVQGKLSPSVPSCHSCCVSSSCVAPSQFKHSPHSLAEQQAVPSQHSQYFPSSGQLGGYAPADARSMQGGETTICGLSALQKTWFNPEWTFRISWPSGPDTNCSTELLRQMQFVILEKSFLFPVSTKSCVAWNIFNQVHEFQRNLVMISQLQQELKRKRENTIGELEEILGNAQKNLENMLVMKAMKIQNEVKRHQHKVEFIRDEIQKASTQLSSKKHAVQTTVGELLMRQNQLVEEVHRCRHEKHEVVLCSHCTLMDCTECFLKLVEQTHLPCYLTFDGPGGNVGPSGKQARPFFPGGPVPSHRHEETCSSCLWRNCYTCLIHAARKEKCESCNRAM
+>sp|Q6AZZ1|TRI68_HUMAN E3 ubiquitin-protein ligase TRIM68 OS=Homo sapiens OX=9606 GN=TRIM68 PE=1 SV=1
+MDPTALVEAIVEEVACPICMTFLREPMSIDCGHSFCHSCLSGLWEIPGESQNWGYTCPLCRAPVQPRNLRPNWQLANVVEKVRLLRLHPGMGLKGDLCERHGEKLKMFCKEDVLIMCEACSQSPEHEAHSVVPMEDVAWEYKWELHEALEHLKKEQEEAWKLEVGERKRTATWKIQVETRKQSIVWEFEKYQRLLEKKQPPHRQLGAEVAAALASLQREAAETMQKLELNHSELIQQSQVLWRMIAELKERSQRPVRWMLQDIQEVLNRSKSWSLQQPEPISLELKTDCRVLGLREILKTYAADVRLDPDTAYSRLIVSEDRKRVHYGDTNQKLPDNPERFYRYNIVLGSQCISSGRHYWEVEVGDRSEWGLGVCKQNVDRKEVVYLSPHYGFWVIRLRKGNEYRAGTDEYPILSLPVPPRRVGIFVDYEAHDISFYNVTDCGSHIFTFPRYPFPGRLLPYFSPCYSIGTNNTAPLAICSLDGED
+>DECOY_sp|Q6AZZ1|TRI68_HUMAN E3 ubiquitin-protein ligase TRIM68 OS=Homo sapiens OX=9606 GN=TRIM68 PE=1 SV=1
+DEGDLSCIALPATNNTGISYCPSFYPLLRGPFPYRPFTFIHSGCDTVNYFSIDHAEYDVFIGVRRPPVPLSLIPYEDTGARYENGKRLRIVWFGYHPSLYVVEKRDVNQKCVGLGWESRDGVEVEWYHRGSSICQSGLVINYRYFREPNDPLKQNTDGYHVRKRDESVILRSYATDPDLRVDAAYTKLIERLGLVRCDTKLELSIPEPQQLSWSKSRNLVEQIDQLMWRVPRQSREKLEAIMRWLVQSQQILESHNLELKQMTEAAERQLSALAAAVEAGLQRHPPQKKELLRQYKEFEWVISQKRTEVQIKWTATRKREGVELKWAEEQEKKLHELAEHLEWKYEWAVDEMPVVSHAEHEPSQSCAECMILVDEKCFMKLKEGHRECLDGKLGMGPHLRLLRVKEVVNALQWNPRLNRPQVPARCLPCTYGWNQSEGPIEWLGSLCSHCFSHGCDISMPERLFTMCIPCAVEEVIAEVLATPDM
+>sp|Q86UV6|TRI74_HUMAN Tripartite motif-containing protein 74 OS=Homo sapiens OX=9606 GN=TRIM74 PE=1 SV=1
+MAWQVSLLELEDWLQCPICLEVFKESLMLQCGHSYCKGCLVSLSYHLDTKVRCPMCWQVVDGSSSLPNVSLAWVIEALRLPGDPEPKVCVHHRNPLSLFCEKDQELICGLCGLLGSHQHHPVTPVSTVCSRMKEELAALFSELKQEQKKVDELIAKLVKNRTRIVNESDVFSWVIRREFQELRHPVDEEKARCLEGIGGHTRGLVASLDMQLEQAQGTRERLAQAECVLEQFGNEDHHEFIWKFHSMASR
+>DECOY_sp|Q86UV6|TRI74_HUMAN Tripartite motif-containing protein 74 OS=Homo sapiens OX=9606 GN=TRIM74 PE=1 SV=1
+RSAMSHFKWIFEHHDENGFQELVCEAQALRERTGQAQELQMDLSAVLGRTHGGIGELCRAKEEDVPHRLEQFERRIVWSFVDSENVIRTRNKVLKAILEDVKKQEQKLESFLAALEEKMRSCVTSVPTVPHHQHSGLLGCLGCILEQDKECFLSLPNRHHVCVKPEPDGPLRLAEIVWALSVNPLSSSGDVVQWCMPCRVKTDLHYSLSVLCGKCYSHGCQLMLSEKFVELCIPCQLWDELELLSVQWAM
+>sp|Q96RU8|TRIB1_HUMAN Tribbles homolog 1 OS=Homo sapiens OX=9606 GN=TRIB1 PE=1 SV=2
+MRVGPVRSAMSGASQPRGPALLFPATRGVPAKRLLDADDAAAVAAKCPRLSECSSPPDYLSPPGSPCSPQPPPAAPGAGGGSGSAPGPSRIADYLLLPLAEREHVSRALCIHTGRELRCKVFPIKHYQDKIRPYIQLPSHSNITGIVEVILGETKAYVFFEKDFGDMHSYVRSRKRLREEEAARLFKQIVSAVAHCHQSAIVLGDLKLRKFVFSTEERTQLRLESLEDTHIMKGEDDALSDKHGCPAYVSPEILNTTGTYSGKAADVWSLGVMLYTLLVGRYPFHDSDPSALFSKIRRGQFCIPEHISPKARCLIRSLLRREPSERLTAPEILLHPWFESVLEPGYIDSEIGTSDQIVPEYQEDSDISSFFC
+>DECOY_sp|Q96RU8|TRIB1_HUMAN Tribbles homolog 1 OS=Homo sapiens OX=9606 GN=TRIB1 PE=1 SV=2
+CFFSSIDSDEQYEPVIQDSTGIESDIYGPELVSEFWPHLLIEPATLRESPERRLLSRILCRAKPSIHEPICFQGRRIKSFLASPDSDHFPYRGVLLTYLMVGLSWVDAAKGSYTGTTNLIEPSVYAPCGHKDSLADDEGKMIHTDELSELRLQTREETSFVFKRLKLDGLVIASQHCHAVASVIQKFLRAAEEERLRKRSRVYSHMDGFDKEFFVYAKTEGLIVEVIGTINSHSPLQIYPRIKDQYHKIPFVKCRLERGTHICLARSVHEREALPLLLYDAIRSPGPASGSGGGAGPAAPPPQPSCPSGPPSLYDPPSSCESLRPCKAAVAAADDADLLRKAPVGRTAPFLLAPGRPQSAGSMASRVPGVRM
+>sp|Q7L0X0|TRIL_HUMAN TLR4 interactor with leucine rich repeats OS=Homo sapiens OX=9606 GN=TRIL PE=1 SV=2
+MEAARALRLLLVVCGCLALPPLAEPVCPERCDCQHPQHLLCTNRGLRVVPKTSSLPSPHDVLTYSLGGNFITNITAFDFHRLGQLRRLDLQYNQIRSLHPKTFEKLSRLEELYLGNNLLQALAPGTLAPLRKLRILYANGNEISRLSRGSFEGLESLVKLRLDGNALGALPDAVFAPLGNLLYLHLESNRIRFLGKNAFAQLGKLRFLNLSANELQPSLRHAATFAPLRSLSSLILSANNLQHLGPRIFQHLPRLGLLSLRGNQLTHLAPEAFWGLEALRELRLEGNRLSQLPTALLEPLHSLEALDLSGNELSALHPATFGHLGRLRELSLRNNALSALSGDIFAASPALYRLDLDGNGWTCDCRLRGLKRWMGDWHSQGRLLTVFVQCRHPPALRGKYLDYLDDQQLQNGSCADPSPSASLTADRRRQPLPTAAGEEMTPPAGLAEELPPQPQLQQQGRFLAGVAWDGAARELVGNRSALRLSRRGPGLQQPSPSVAAAAGPAPQSLDLHKKPQRGRPTRADPALAEPTPTASPGSAPSPAGDPWQRATKHRLGTEHQERAAQSDGGAGLPPLVSDPCDFNKFILCNLTVEAVGADSASVRWAVREHRSPRPLGGARFRLLFDRFGQQPKFHRFVYLPESSDSATLRELRGDTPYLVCVEGVLGGRVCPVAPRDHCAGLVTLPEAGSRGGVDYQLLTLALLTVNALLVLLALAAWASRWLRRKLRARRKGGAPVHVRHMYSTRRPLRSMGTGVSADFSGFQSHRPRTTVCALSEADLIEFPCDRFMDSAGGGAGGSLRREDRLLQRFAD
+>DECOY_sp|Q7L0X0|TRIL_HUMAN TLR4 interactor with leucine rich repeats OS=Homo sapiens OX=9606 GN=TRIL PE=1 SV=2
+DAFRQLLRDERRLSGGAGGGASDMFRDCPFEILDAESLACVTTRPRHSQFGSFDASVGTGMSRLPRRTSYMHRVHVPAGGKRRARLKRRLWRSAWAALALLVLLANVTLLALTLLQYDVGGRSGAEPLTVLGACHDRPAVPCVRGGLVGEVCVLYPTDGRLERLTASDSSEPLYVFRHFKPQQGFRDFLLRFRAGGLPRPSRHERVAWRVSASDAGVAEVTLNCLIFKNFDCPDSVLPPLGAGGDSQAAREQHETGLRHKTARQWPDGAPSPASGPSATPTPEALAPDARTPRGRQPKKHLDLSQPAPGAAAAVSPSPQQLGPGRRSLRLASRNGVLERAAGDWAVGALFRGQQQLQPQPPLEEALGAPPTMEEGAATPLPQRRRDATLSASPSPDACSGNQLQQDDLYDLYKGRLAPPHRCQVFVTLLRGQSHWDGMWRKLGRLRCDCTWGNGDLDLRYLAPSAAFIDGSLASLANNRLSLERLRGLHGFTAPHLASLENGSLDLAELSHLPELLATPLQSLRNGELRLERLAELGWFAEPALHTLQNGRLSLLGLRPLHQFIRPGLHQLNNASLILSSLSRLPAFTAAHRLSPQLENASLNLFRLKGLQAFANKGLFRIRNSELHLYLLNGLPAFVADPLAGLANGDLRLKVLSELGEFSGRSLRSIENGNAYLIRLKRLPALTGPALAQLLNNGLYLEELRSLKEFTKPHLSRIQNYQLDLRRLQGLRHFDFATINTIFNGGLSYTLVDHPSPLSSTKPVVRLGRNTCLLHQPHQCDCREPCVPEALPPLALCGCVVLLLRLARAAEM
+>sp|Q9UET6|TRM7_HUMAN Putative tRNA (cytidine(32)/guanosine(34)-2'-O)-methyltransferase OS=Homo sapiens OX=9606 GN=FTSJ1 PE=1 SV=2
+MGRTSKDKRDVYYRLAKENGWRARSAFKLLQLDKEFQLFQGVTRAVDLCAAPGSWSQVLSQKIGGQGSGHVVAVDLQAMAPLPGVVQIQGDITQLSTAKEIIQHFKGCPADLVVCDGAPDVTGLHDVDEYMQAQLLLAALNIATHVLKPGGCFVAKIFRGRDVTLLYSQLQVFFSSVLCAKPRSSRNSSIEAFAVCQGYDPPEGFIPDLSKPLLDHSYDPDFNQLDGPTRIIVPFVTCGDLSSYDSDRSYPLDLEGGSEYKYTPPTQPPISPPYQEACTLKRKGQLAKEIRPQDCPISRVDTFPQPLAAPQCHTLLAPEMEDNEMSCSP
+>DECOY_sp|Q9UET6|TRM7_HUMAN Putative tRNA (cytidine(32)/guanosine(34)-2'-O)-methyltransferase OS=Homo sapiens OX=9606 GN=FTSJ1 PE=1 SV=2
+PSCSMENDEMEPALLTHCQPAALPQPFTDVRSIPCDQPRIEKALQGKRKLTCAEQYPPSIPPQTPPTYKYESGGELDLPYSRDSDYSSLDGCTVFPVIIRTPGDLQNFDPDYSHDLLPKSLDPIFGEPPDYGQCVAFAEISSNRSSRPKACLVSSFFVQLQSYLLTVDRGRFIKAVFCGGPKLVHTAINLAALLLQAQMYEDVDHLGTVDPAGDCVVLDAPCGKFHQIIEKATSLQTIDGQIQVVGPLPAMAQLDVAVVHGSGQGGIKQSLVQSWSGPAACLDVARTVGQFLQFEKDLQLLKFASRARWGNEKALRYYVDRKDKSTRGM
+>sp|Q5T2D2|TRML2_HUMAN Trem-like transcript 2 protein OS=Homo sapiens OX=9606 GN=TREML2 PE=1 SV=2
+MAPAFLLLLLLWPQGCVSGPSADSVYTKVRLLEGETLSVQCSYKGYKNRVEGKVWCKIRKKKCEPGFARVWVKGPRYLLQDDAQAKVVNITMVALKLQDSGRYWCMRNTSGILYPLMGFQLDVSPAPQTERNIPFTHLDNILKSGTVTTGQAPTSGPDAPFTTGVMVFTPGLITLPRLLASTRPASKTGYSFTATSTTSQGPRRTMGSQTVTASPSNARDSSAGPESISTKSGDLSTRSPTTGLCLTSRSLLNRLPSMPSIRHQDVYSTVLGVVLTLLVLMLIMVYGFWKKRHMASYSMCSDPSTRDPPGRPEPYVEVYLI
+>DECOY_sp|Q5T2D2|TRML2_HUMAN Trem-like transcript 2 protein OS=Homo sapiens OX=9606 GN=TREML2 PE=1 SV=2
+ILYVEVYPEPRGPPDRTSPDSCMSYSAMHRKKWFGYVMILMLVLLTLVVGLVTSYVDQHRISPMSPLRNLLSRSTLCLGTTPSRTSLDGSKTSISEPGASSDRANSPSATVTQSGMTRRPGQSTTSTATFSYGTKSAPRTSALLRPLTILGPTFVMVGTTFPADPGSTPAQGTTVTGSKLINDLHTFPINRETQPAPSVDLQFGMLPYLIGSTNRMCWYRGSDQLKLAVMTINVVKAQADDQLLYRPGKVWVRAFGPECKKKRIKCWVKGEVRNKYGKYSCQVSLTEGELLRVKTYVSDASPGSVCGQPWLLLLLLFAPAM
+>sp|Q12815|TROAP_HUMAN Tastin OS=Homo sapiens OX=9606 GN=TROAP PE=1 SV=3
+MTTRQATKDPLLRGVSPTPSKIPVRSQKRTPFPTVTSCAVDQENQDPRRWVQKPPLNIQRPLVDSAGPRPKARHQAETSQRLVGISQPRNPLEELRPSPRGQNVGPGPPAQTEAPGTIEFVADPAALATILSGEGVKSCHLGRQPSLAKRVLVRGSQGGTTQRVQGVRASAYLAPRTPTHRLDPARASCFSRLEGPGPRGRTLCPQRLQALISPSGPSFHPSTRPSFQELRRETAGSSRTSVSQASGLLLETPVQPAFSLPKGEREVVTHSDEGGVASLGLAQRVPLRENREMSHTRDSHDSHLMPSPAPVAQPLPGHVVPCPSPFGRAQRVPSPGPPTLTSYSVLRRLTVQPKTRFTPMPSTPRVQQAQWLRGVSPQSCSEDPALPWEQVAVRLFDQESCIRSLEGSGKPPVATPSGPHSNRTPSLQEVKIQRIGILQQLLRQEVEGLVGGQCVPLNGGSSLDMVELQPLLTEISRTLNATEHNSGTSHLPGLLKHSGLPKPCLPEECGEPQPCPPAEPGPPEAFCRSEPEIPEPSLQEQLEVPEPYPPAEPRPLESCCRSEPEIPESSRQEQLEVPEPCPPAEPRPLESYCRIEPEIPESSRQEQLEVPEPCPPAEPGPLQPSTQGQSGPPGPCPRVELGASEPCTLEHRSLESSLPPCCSQWAPATTSLIFSSQHPLCASPPICSLQSLRPPAGQAGLSNLAPRTLALRERLKSCLTAIHCFHEARLDDECAFYTSRAPPSGPTRVCTNPVATLLEWQDALCFIPVGSAAPQGSP
+>DECOY_sp|Q12815|TROAP_HUMAN Tastin OS=Homo sapiens OX=9606 GN=TROAP PE=1 SV=3
+PSGQPAASGVPIFCLADQWELLTAVPNTCVRTPGSPPARSTYFACEDDLRAEHFCHIATLCSKLRERLALTRPALNSLGAQGAPPRLSQLSCIPPSACLPHQSSFILSTTAPAWQSCCPPLSSELSRHELTCPESAGLEVRPCPGPPGSQGQTSPQLPGPEAPPCPEPVELQEQRSSEPIEPEIRCYSELPRPEAPPCPEPVELQEQRSSEPIEPESRCCSELPRPEAPPYPEPVELQEQLSPEPIEPESRCFAEPPGPEAPPCPQPEGCEEPLCPKPLGSHKLLGPLHSTGSNHETANLTRSIETLLPQLEVMDLSSGGNLPVCQGGVLGEVEQRLLQQLIGIRQIKVEQLSPTRNSHPGSPTAVPPKGSGELSRICSEQDFLRVAVQEWPLAPDESCSQPSVGRLWQAQQVRPTSPMPTFRTKPQVTLRRLVSYSTLTPPGPSPVRQARGFPSPCPVVHGPLPQAVPAPSPMLHSDHSDRTHSMERNERLPVRQALGLSAVGGEDSHTVVEREGKPLSFAPQVPTELLLGSAQSVSTRSSGATERRLEQFSPRTSPHFSPGSPSILAQLRQPCLTRGRPGPGELRSFCSARAPDLRHTPTRPALYASARVGQVRQTTGGQSGRVLVRKALSPQRGLHCSKVGEGSLITALAAPDAVFEITGPAETQAPPGPGVNQGRPSPRLEELPNRPQSIGVLRQSTEAQHRAKPRPGASDVLPRQINLPPKQVWRRPDQNEQDVACSTVTPFPTRKQSRVPIKSPTPSVGRLLPDKTAQRTTM
+>sp|Q12816|TROP_HUMAN Trophinin OS=Homo sapiens OX=9606 GN=TRO PE=1 SV=3
+MDRRNDYGYRVPLFQGPLPPPGSLGLPFPPDIQTETTEEDSVLLMHTLLAATKDSLAMDPPVVNRPKKSKTKKAPIKTITKAAPAAPPVPAANEIATNKPKITWQALNLPVITQISQALPTTEVTNTQASSVTAQPKKANKMKRVTAKAAQGSQSPTGHEGGTIQLKSPLQVLKLPVISQNIHAPIANESASSQALITSIKPKKASKAKKAANKAIASATEVSLAATATHTATTQGQITNETASIHTTAASIRTKKASKARKTIAKVINTDTEHIEALNVTDAATRQIEASVVAIRPKKSKGKKAASRGPNSVSEISEAPLATQIVTNQALAATLRVKRGSRARKAATKARATESQTPNADQGAQAKIASAQTNVSALETQVAAAVQALADDYLAQLSLEPTTRTRGKRNRKSKHLNGDERSGSNYRRIPWGRRPAPPRDVAILQERANKLVKYLLVKDQTKIPIKRSDMLRDVIQEYDEYFPEIIERASYTLEKMFRVNLKEIDKQSSLYILISTQESSAGILGTTKDTPKLGLLMVILSVIFMNGNKASEAVIWEVLRKLGLRPGVRHSLFGEVRKLITDEFVKQKYLEYKRVPNSRPPEYEFFWGLRSYHETSKMKVLKFACRVQKKDPKDWAVQYREAVEMEVQAAAVAVAEAEARAEARAQMGIGEEAVAGPWNWDDMDIDCLTREELGDDAQAWSRFSFEIEARAQENADASTNVNFSRGASTRAGFSDGASISFNGAPSSSGGFSGGPGITFGVAPSTSASFSNTASISFGGTLSTSSSFSSAASISFGCAHSTSTSFSSEASISFGGMPCTSASFSGGVSSSFSGPLSTSATFSGGASSGFGGTLSTTAGFSGVLSTSTSFGSAPTTSTVFSSALSTSTGFGGILSTSVCFGGSPSSSGSFGGTLSTSICFGGSPCTSTGFGGTLSTSVSFGGSSSTSANFGGTLSTSICFDGSPSTGAGFGGALNTSASFGSVLNTSTGFGGAMSTSADFGGTLSTSVCFGGSPGTSVSFGSALNTNAGYGGAVSTNTDFGGTLSTSVCFGGSPSTSAGFGGALNTNASFGCAVSTSASFSGAVSTSACFSGAPITNPGFGGAFSTSAGFGGALSTAADFGGTPSNSIGFGAAPSTSVSFGGAHGTSLCFGGAPSTSLCFGSASNTNLCFGGPPSTSACFSGATSPSFCDGPSTSTGFSFGNGLSTNAGFGGGLNTSAGFGGGLGTSAGFSGGLSTSSGFDGGLGTSAGFGGGPGTSTGFGGGLGTSAGFSGGLGTSAGFGGGLVTSDGFGGGLGTNASFGSTLGTSAGFSGGLSTSDGFGSRPNASFDRGLSTIIGFGSGSNTSTGFTGEPSTSTGFSSGPSSIVGFSGGPSTGVGFCSGPSTSGFSGGPSTGAGFGGGPNTGAGFGGGPSTSAGFGSGAASLGACGFSYG
+>DECOY_sp|Q12816|TROP_HUMAN Trophinin OS=Homo sapiens OX=9606 GN=TRO PE=1 SV=3
+GYSFGCAGLSAAGSGFGASTSPGGGFGAGTNPGGGFGAGTSPGGSFGSTSPGSCFGVGTSPGGSFGVISSPGSSFGTSTSPEGTFGTSTNSGSGFGIITSLGRDFSANPRSGFGDSTSLGGSFGASTGLTSGFSANTGLGGGFGDSTVLGGGFGASTGLGGSFGASTGLGGGFGTSTGPGGGFGASTGLGGDFGSSTSLGGSFGASTGLGGGFGASTNLGGGFGANTSLGNGFSFGTSTSPGDCFSPSTAGSFCASTSPPGGFCLNTNSASGFCLSTSPAGGFCLSTGHAGGFSVSTSPAAGFGISNSPTGGFDAATSLAGGFGASTSFAGGFGPNTIPAGSFCASTSVAGSFSASTSVACGFSANTNLAGGFGASTSPSGGFCVSTSLTGGFDTNTSVAGGYGANTNLASGFSVSTGPSGGFCVSTSLTGGFDASTSMAGGFGTSTNLVSGFSASTNLAGGFGAGTSPSGDFCISTSLTGGFNASTSSSGGFSVSTSLTGGFGTSTCPSGGFCISTSLTGGFSGSSSPSGGFCVSTSLIGGFGTSTSLASSFVTSTTPASGFSTSTSLVGSFGATTSLTGGFGSSAGGSFTASTSLPGSFSSSVGGSFSASTCPMGGFSISAESSFSTSTSHACGFSISAASSFSSSTSLTGGFSISATNSFSASTSPAVGFTIGPGGSFGGSSSPAGNFSISAGDSFGARTSAGRSFNVNTSADANEQARAEIEFSFRSWAQADDGLEERTLCDIDMDDWNWPGAVAEEGIGMQARAEARAEAEAVAVAAAQVEMEVAERYQVAWDKPDKKQVRCAFKLVKMKSTEHYSRLGWFFEYEPPRSNPVRKYELYKQKVFEDTILKRVEGFLSHRVGPRLGLKRLVEWIVAESAKNGNMFIVSLIVMLLGLKPTDKTTGLIGASSEQTSILIYLSSQKDIEKLNVRFMKELTYSAREIIEPFYEDYEQIVDRLMDSRKIPIKTQDKVLLYKVLKNAREQLIAVDRPPAPRRGWPIRRYNSGSREDGNLHKSKRNRKGRTRTTPELSLQALYDDALAQVAAAVQTELASVNTQASAIKAQAGQDANPTQSETARAKTAAKRARSGRKVRLTAALAQNTVIQTALPAESIESVSNPGRSAAKKGKSKKPRIAVVSAEIQRTAADTVNLAEIHETDTNIVKAITKRAKSAKKTRISAATTHISATENTIQGQTTATHTATAALSVETASAIAKNAAKKAKSAKKPKISTILAQSSASENAIPAHINQSIVPLKLVQLPSKLQITGGEHGTPSQSGQAAKATVRKMKNAKKPQATVSSAQTNTVETTPLAQSIQTIVPLNLAQWTIKPKNTAIENAAPVPPAAPAAKTITKIPAKKTKSKKPRNVVPPDMALSDKTAALLTHMLLVSDEETTETQIDPPFPLGLSGPPPLPGQFLPVRYGYDNRRDM
+>sp|O75762|TRPA1_HUMAN Transient receptor potential cation channel subfamily A member 1 OS=Homo sapiens OX=9606 GN=TRPA1 PE=1 SV=3
+MKRSLRKMWRPGEKKEPQGVVYEDVPDDTEDFKESLKVVFEGSAYGLQNFNKQKKLKRCDDMDTFFLHYAAAEGQIELMEKITRDSSLEVLHEMDDYGNTPLHCAVEKNQIESVKFLLSRGANPNLRNFNMMAPLHIAVQGMNNEVMKVLLEHRTIDVNLEGENGNTAVIIACTTNNSEALQILLKKGAKPCKSNKWGCFPIHQAAFSGSKECMEIILRFGEEHGYSRQLHINFMNNGKATPLHLAVQNGDLEMIKMCLDNGAQIDPVEKGRCTAIHFAATQGATEIVKLMISSYSGSVDIVNTTDGCHETMLHRASLFDHHELADYLISVGADINKIDSEGRSPLILATASASWNIVNLLLSKGAQVDIKDNFGRNFLHLTVQQPYGLKNLRPEFMQMQQIKELVMDEDNDGCTPLHYACRQGGPGSVNNLLGFNVSIHSKSKDKKSPLHFAASYGRINTCQRLLQDISDTRLLNEGDLHGMTPLHLAAKNGHDKVVQLLLKKGALFLSDHNGWTALHHASMGGYTQTMKVILDTNLKCTDRLDEDGNTALHFAAREGHAKAVALLLSHNADIVLNKQQASFLHLALHNKRKEVVLTIIRSKRWDECLKIFSHNSPGNKCPITEMIEYLPECMKVLLDFCMLHSTEDKSCRDYYIEYNFKYLQCPLEFTKKTPTQDVIYEPLTALNAMVQNNRIELLNHPVCKEYLLMKWLAYGFRAHMMNLGSYCLGLIPMTILVVNIKPGMAFNSTGIINETSDHSEILDTTNSYLIKTCMILVFLSSIFGYCKEAGQIFQQKRNYFMDISNVLEWIIYTTGIIFVLPLFVEIPAHLQWQCGAIAVYFYWMNFLLYLQRFENCGIFIVMLEVILKTLLRSTVVFIFLLLAFGLSFYILLNLQDPFSSPLLSIIQTFSMMLGDINYRESFLEPYLRNELAHPVLSFAQLVSFTIFVPIVLMNLLIGLAVGDIAEVQKHASLKRIAMQVELHTSLEKKLPLWFLRKVDQKSTIVYPNKPRSGGMLFHIFCFLFCTGEIRQEIPNADKSLEMEILKQKYRLKDLTFLLEKQHELIKLIIQKMEIISETEDDDSHCSFQDRFKKEQMEQRNSRWNTVLRAVKAKTHHLEP
+>DECOY_sp|O75762|TRPA1_HUMAN Transient receptor potential cation channel subfamily A member 1 OS=Homo sapiens OX=9606 GN=TRPA1 PE=1 SV=3
+PELHHTKAKVARLVTNWRSNRQEMQEKKFRDQFSCHSDDDETESIIEMKQIILKILEHQKELLFTLDKLRYKQKLIEMELSKDANPIEQRIEGTCFLFCFIHFLMGGSRPKNPYVITSKQDVKRLFWLPLKKELSTHLEVQMAIRKLSAHKQVEAIDGVALGILLNMLVIPVFITFSVLQAFSLVPHALENRLYPELFSERYNIDGLMMSFTQIISLLPSSFPDQLNLLIYFSLGFALLLFIFVVTSRLLTKLIVELMVIFIGCNEFRQLYLLFNMWYFYVAIAGCQWQLHAPIEVFLPLVFIIGTTYIIWELVNSIDMFYNRKQQFIQGAEKCYGFISSLFVLIMCTKILYSNTTDLIESHDSTENIIGTSNFAMGPKINVVLITMPILGLCYSGLNMMHARFGYALWKMLLYEKCVPHNLLEIRNNQVMANLATLPEYIVDQTPTKKTFELPCQLYKFNYEIYYDRCSKDETSHLMCFDLLVKMCEPLYEIMETIPCKNGPSNHSFIKLCEDWRKSRIITLVVEKRKNHLALHLFSAQQKNLVIDANHSLLLAVAKAHGERAAFHLATNGDEDLRDTCKLNTDLIVKMTQTYGGMSAHHLATWGNHDSLFLAGKKLLLQVVKDHGNKAALHLPTMGHLDGENLLRTDSIDQLLRQCTNIRGYSAAFHLPSKKDKSKSHISVNFGLLNNVSGPGGQRCAYHLPTCGDNDEDMVLEKIQQMQMFEPRLNKLGYPQQVTLHLFNRGFNDKIDVQAGKSLLLNVINWSASATALILPSRGESDIKNIDAGVSILYDALEHHDFLSARHLMTEHCGDTTNVIDVSGSYSSIMLKVIETAGQTAAFHIATCRGKEVPDIQAGNDLCMKIMELDGNQVALHLPTAKGNNMFNIHLQRSYGHEEGFRLIIEMCEKSGSFAAQHIPFCGWKNSKCPKAGKKLLIQLAESNNTTCAIIVATNGNEGELNVDITRHELLVKMVENNMGQVAIHLPAMMNFNRLNPNAGRSLLFKVSEIQNKEVACHLPTNGYDDMEHLVELSSDRTIKEMLEIQGEAAAYHLFFTDMDDCRKLKKQKNFNQLGYASGEFVVKLSEKFDETDDPVDEYVVGQPEKKEGPRWMKRLSRKM
+>sp|Q9BZW7|TSG10_HUMAN Testis-specific gene 10 protein OS=Homo sapiens OX=9606 GN=TSGA10 PE=1 SV=1
+MMRSRSKSPRRPSPTARGANCDVELLKTTTRDREELKCMLEKYERHLAEIQGNVKVLKSERDKIFLLYEQAQEEITRLRREMMKSCKSPKSTTAHAILRRVETERDVAFTDLRRMTTERDSLRERLKIAQETAFNEKAHLEQRIEELECTVHNLDDERMEQMSNMTLMKETISTVEKEMKSLARKAMDTESELGRQKAENNSLRLLYENTEKDLSDTQRHLAKKKYELQLTQEKIMCLDEKIDNFTRQNIAQREEISILGGTLNDLAKEKECLQACLDKKSENIASLGESLAMKEKTISGMKNIIAEMEQASRQCTEALIVCEQDVSRMRRQLDETNDELAQIARERDILAHDNDNLQEQFAKAKQENQALSKKLNDTHNELNDIKQKVQDTNLEVNKLKNILKSEESENRQMMEQLRKANEDAENWENKARQSEADNNTLKLELITAEAEGNRLKEKVDSLNREVEQHLNAERSYKSQISTLHKSVVKMEEELQKVQFEKVSALADLSSTRELCIKLDSSKELLNRQLVAKDQEIEMRENELDSAHSEIELLRSQMANERISMQNLEALLVANRDKEYQSQIALQEKESEIQLLKEHLCLAENKMAIQSRDVAQFRNVVTQLEADLDITKRQLGTERFERERAVQELRRQNYSSNAYHMSSTMKPNTKCHSPERAHHRSPDRGLDRSLEENLCYRDF
+>DECOY_sp|Q9BZW7|TSG10_HUMAN Testis-specific gene 10 protein OS=Homo sapiens OX=9606 GN=TSGA10 PE=1 SV=1
+FDRYCLNEELSRDLGRDPSRHHAREPSHCKTNPKMTSSMHYANSSYNQRRLEQVAREREFRETGLQRKTIDLDAELQTVVNRFQAVDRSQIAMKNEALCLHEKLLQIESEKEQLAIQSQYEKDRNAVLLAELNQMSIRENAMQSRLLEIESHASDLENERMEIEQDKAVLQRNLLEKSSDLKICLERTSSLDALASVKEFQVKQLEEEMKVVSKHLTSIQSKYSREANLHQEVERNLSDVKEKLRNGEAEATILELKLTNNDAESQRAKNEWNEADENAKRLQEMMQRNESEESKLINKLKNVELNTDQVKQKIDNLENHTDNLKKSLAQNEQKAKAFQEQLNDNDHALIDRERAIQALEDNTEDLQRRMRSVDQECVILAETCQRSAQEMEAIINKMGSITKEKMALSEGLSAINESKKDLCAQLCEKEKALDNLTGGLISIEERQAINQRTFNDIKEDLCMIKEQTLQLEYKKKALHRQTDSLDKETNEYLLRLSNNEAKQRGLESETDMAKRALSKMEKEVTSITEKMLTMNSMQEMREDDLNHVTCELEEIRQELHAKENFATEQAIKLRERLSDRETTMRRLDTFAVDRETEVRRLIAHATTSKPSKCSKMMERRLRTIEEQAQEYLLFIKDRESKLVKVNGQIEALHREYKELMCKLEERDRTTTKLLEVDCNAGRATPSPRRPSKSRSRMM
+>sp|Q9NRE2|TSH2_HUMAN Teashirt homolog 2 OS=Homo sapiens OX=9606 GN=TSHZ2 PE=1 SV=3
+MPRRKQQAPKRAAGYAQEEQLKEEEEIKEEEEEEDSGSVAQLQGGNDTGTDEELETGPEQKGCFSYQNSPGSHLSNQDAENESLLSDASDQVSDIKSVCGRDASDKKAHTHVRLPNEAHNCMDKMTAVYANILSDSYWSGLGLGFKLSNSERRNCDTRNGSNKSDFDWHQDALSKSLQQNLPSRSVSKPSLFSSVQLYRQSSKMCGTVFTGASRFRCRQCSAAYDTLVELTVHMNETGHYQDDNRKKDKLRPTSYSKPRKRAFQDMDKEDAQKVLKCMFCGDSFDSLQDLSVHMIKTKHYQKVPLKEPVPTISSKMVTPAKKRVFDVNRPCSPDSTTGSFADSFSSQKNANLQLSSNNRYGYQNGASYTWQFEACKSQILKCMECGSSHDTLQQLTTHMMVTGHFLKVTSSASKKGKQLVLDPLAVEKMQSLSEAPNSDSLAPKPSSNSASDCTASTTELKKESKKERPEETSKDEKVVKSEDYEDPLQKPLDPTIKYQYLREEDLEDGSKGGGDILKSLENTVTTAINKAQNGAPSWSAYPSIHAAYQLSEGTKPPLPMGSQVLQIRPNLTNKLRPIAPKWKVMPLVSMPTHLAPYTQVKKESEDKDEAVKECGKESPHEEASSFSHSEGDSFRKSETPPEAKKTELGPLKEEEKLMKEGSEKEKPQPLEPTSALSNGCALANHAPALPCINPLSALQSVLNNHLGKATEPLRSPSCSSPSSSTISMFHKSNLNVMDKPVLSPASTRSASVSRRYLFENSDQPIDLTKSKSKKAESSQAQSCMSPPQKHALSDIADMVKVLPKATTPKPASSSRVPPMKLEMDVRRFEDVSSEVSTLHKRKGRQSNWNPQHLLILQAQFASSLFQTSEGKYLLSDLGPQERMQISKFTGLSMTTISHWLANVKYQLRKTGGTKFLKNMDKGHPIFYCSDCASQFRTPSTYISHLESHLGFQMKDMTRLSVDQQSKVEQEISRVSSAQRSPETIAAEEDTDSKFKCKLCCRTFVSKHAVKLHLSKTHSKSPEHHSQFVTDVDEE
+>DECOY_sp|Q9NRE2|TSH2_HUMAN Teashirt homolog 2 OS=Homo sapiens OX=9606 GN=TSHZ2 PE=1 SV=3
+EEDVDTVFQSHHEPSKSHTKSLHLKVAHKSVFTRCCLKCKFKSDTDEEAAITEPSRQASSVRSIEQEVKSQQDVSLRTMDKMQFGLHSELHSIYTSPTRFQSACDSCYFIPHGKDMNKLFKTGGTKRLQYKVNALWHSITTMSLGTFKSIQMREQPGLDSLLYKGESTQFLSSAFQAQLILLHQPNWNSQRGKRKHLTSVESSVDEFRRVDMELKMPPVRSSSAPKPTTAKPLVKVMDAIDSLAHKQPPSMCSQAQSSEAKKSKSKTLDIPQDSNEFLYRRSVSASRTSAPSLVPKDMVNLNSKHFMSITSSSPSSCSPSRLPETAKGLHNNLVSQLASLPNICPLAPAHNALACGNSLASTPELPQPKEKESGEKMLKEEEKLPGLETKKAEPPTESKRFSDGESHSFSSAEEHPSEKGCEKVAEDKDESEKKVQTYPALHTPMSVLPMVKWKPAIPRLKNTLNPRIQLVQSGMPLPPKTGESLQYAAHISPYASWSPAGNQAKNIATTVTNELSKLIDGGGKSGDELDEERLYQYKITPDLPKQLPDEYDESKVVKEDKSTEEPREKKSEKKLETTSATCDSASNSSPKPALSDSNPAESLSQMKEVALPDLVLQKGKKSASSTVKLFHGTVMMHTTLQQLTDHSSGCEMCKLIQSKCAEFQWTYSAGNQYGYRNNSSLQLNANKQSSFSDAFSGTTSDPSCPRNVDFVRKKAPTVMKSSITPVPEKLPVKQYHKTKIMHVSLDQLSDFSDGCFMCKLVKQADEKDMDQFARKRPKSYSTPRLKDKKRNDDQYHGTENMHVTLEVLTDYAASCQRCRFRSAGTFVTGCMKSSQRYLQVSSFLSPKSVSRSPLNQQLSKSLADQHWDFDSKNSGNRTDCNRRESNSLKFGLGLGSWYSDSLINAYVATMKDMCNHAENPLRVHTHAKKDSADRGCVSKIDSVQDSADSLLSENEADQNSLHSGPSNQYSFCGKQEPGTELEEDTGTDNGGQLQAVSGSDEEEEEEKIEEEEKLQEEQAYGAARKPAQQKRRPM
+>sp|Q9BXA7|TSSK1_HUMAN Testis-specific serine/threonine-protein kinase 1 OS=Homo sapiens OX=9606 GN=TSSK1B PE=1 SV=1
+MDDAAVLKRRGYLLGINLGEGSYAKVKSAYSERLKFNVAIKIIDRKKAPADFLEKFLPREIEILAMLNHCSIIKTYEIFETSHGKVYIVMELAVQGDLLELIKTRGALHEDEARKKFHQLSLAIKYCHDLDVVHRDLKCDNLLLDKDFNIKLSDFSFSKRCLRDDSGRMALSKTFCGSPAYAAPEVLQGIPYQPKVYDIWSLGVILYIMVCGSMPYDDSNIKKMLRIQKEHRVNFPRSKHLTGECKDLIYHMLQPDVNRRLHIDEILSHCWMQPKARGSPSVAINKEGESSRGTEPLWTPEPGSDKKSATKLEPEGEAQPQAQPETKPEGTAMQMSRQSEILGFPSKPSTMETEEGPPQQPPETRAQ
+>DECOY_sp|Q9BXA7|TSSK1_HUMAN Testis-specific serine/threonine-protein kinase 1 OS=Homo sapiens OX=9606 GN=TSSK1B PE=1 SV=1
+QARTEPPQQPPGEETEMTSPKSPFGLIESQRSMQMATGEPKTEPQAQPQAEGEPELKTASKKDSGPEPTWLPETGRSSEGEKNIAVSPSGRAKPQMWCHSLIEDIHLRRNVDPQLMHYILDKCEGTLHKSRPFNVRHEKQIRLMKKINSDDYPMSGCVMIYLIVGLSWIDYVKPQYPIGQLVEPAAYAPSGCFTKSLAMRGSDDRLCRKSFSFDSLKINFDKDLLLNDCKLDRHVVDLDHCYKIALSLQHFKKRAEDEHLAGRTKILELLDGQVALEMVIYVKGHSTEFIEYTKIISCHNLMALIEIERPLFKELFDAPAKKRDIIKIAVNFKLRESYASKVKAYSGEGLNIGLLYGRRKLVAADDM
+>sp|Q96PN8|TSSK3_HUMAN Testis-specific serine/threonine-protein kinase 3 OS=Homo sapiens OX=9606 GN=TSSK3 PE=1 SV=1
+MEDFLLSNGYQLGKTIGEGTYSKVKEAFSKKHQRKVAIKVIDKMGGPEEFIQRFLPRELQIVRTLDHKNIIQVYEMLESADGKICLVMELAEGGDVFDCVLNGGPLPESRAKALFRQMVEAIRYCHGCGVAHRDLKCENALLQGFNLKLTDFGFAKVLPKSHRELSQTFCGSTAYAAPEVLQGIPHDSKKGDVWSMGVVLYVMLCASLPFDDTDIPKMLWQQQKGVSFPTHLSISADCQDLLKRLLEPDMILRPSIEEVSWHPWLAST
+>DECOY_sp|Q96PN8|TSSK3_HUMAN Testis-specific serine/threonine-protein kinase 3 OS=Homo sapiens OX=9606 GN=TSSK3 PE=1 SV=1
+TSALWPHWSVEEISPRLIMDPELLRKLLDQCDASISLHTPFSVGKQQQWLMKPIDTDDFPLSACLMVYLVVGMSWVDGKKSDHPIGQLVEPAAYATSGCFTQSLERHSKPLVKAFGFDTLKLNFGQLLANECKLDRHAVGCGHCYRIAEVMQRFLAKARSEPLPGGNLVCDFVDGGEALEMVLCIKGDASELMEYVQIINKHDLTRVIQLERPLFRQIFEEPGGMKDIVKIAVKRQHKKSFAEKVKSYTGEGITKGLQYGNSLLFDEM
+>sp|Q15695|U2AFL_HUMAN U2 small nuclear ribonucleoprotein auxiliary factor 35 kDa subunit-related protein 1 OS=Homo sapiens OX=9606 GN=ZRSR1 PE=2 SV=2
+MAALEKMTFPKKMTFPEKPSHKKYRAALKKEKRKKRRQELARLRDSGLSQEEEEDTFIEEQQLEEEKLLERERERLHEEWLLREQKAQEEFRIKKEKEEAAKKWLEEQERKLKEQWKEQQRKEREEEEQKQQEKKEKEEAVQKMLDQAENDLENSTTWQNPEPPVDFRVMEKDRANCPFYSKTGACRFGDRCSRKHNFPTSSPTLLIKSMFTTFGMEQCRRDDYDPDASLEYSEEETYQQFLDFYEDVLPEFKNVGKVIQFKVSCNLEPHLRGNVYVQYQSEEECQAALSLFNGRWYAGRQLQCEFCPVTRWKMAICGLFEIQQCPRGKHCNFLHVFRNPNNEFWEANRDIYLSSDQTGSSFGKNSERREKMGHHDHYYSRQRGRRNPSPDHTYKRNGESERKKSSHRGKKSHKRTSKSRERHNSPSRGRNRHRSWDQGRRSQSRRSHRSRSQSSSRCRSRGRRKSGNRDRTVQSPQSK
+>DECOY_sp|Q15695|U2AFL_HUMAN U2 small nuclear ribonucleoprotein auxiliary factor 35 kDa subunit-related protein 1 OS=Homo sapiens OX=9606 GN=ZRSR1 PE=2 SV=2
+KSQPSQVTRDRNGSKRRGRSRCRSSSQSRSRHSRRSQSRRGQDWSRHRNRGRSPSNHRERSKSTRKHSKKGRHSSKKRESEGNRKYTHDPSPNRRGRQRSYYHDHHGMKERRESNKGFSSGTQDSSLYIDRNAEWFENNPNRFVHLFNCHKGRPCQQIEFLGCIAMKWRTVPCFECQLQRGAYWRGNFLSLAAQCEEESQYQVYVNGRLHPELNCSVKFQIVKGVNKFEPLVDEYFDLFQQYTEEESYELSADPDYDDRRCQEMGFTTFMSKILLTPSSTPFNHKRSCRDGFRCAGTKSYFPCNARDKEMVRFDVPPEPNQWTTSNELDNEAQDLMKQVAEEKEKKEQQKQEEEEREKRQQEKWQEKLKREQEELWKKAAEEKEKKIRFEEQAKQERLLWEEHLRERERELLKEEELQQEEIFTDEEEEQSLGSDRLRALEQRRKKRKEKKLAARYKKHSPKEPFTMKKPFTMKELAAM
+>sp|O43818|U3IP2_HUMAN U3 small nucleolar RNA-interacting protein 2 OS=Homo sapiens OX=9606 GN=RRP9 PE=1 SV=1
+MSATAAARKRGKPASGAGAGAGAGKRRRKADSAGDRGKSKGGGKMNEEISSDSESESLAPRKPEEEEEEELEETAQEKKLRLAKLYLEQLRQQEEEKAEARAFEEDQVAGRLKEDVLEQRGRLQKLVAKEIQAPASADIRVLRGHQLSITCLVVTPDDSAIFSAAKDCSIIKWSVESGRKLHVIPRAKKGAEGKPPGHSSHVLCMAISSDGKYLASGDRSKLILIWEAQSCQHLYTFTGHRDAVSGLAFRRGTHQLYSTSHDRSVKVWNVAENSYVETLFGHQDAVAALDALSRECCVTAGGRDGTVRVWKIPEESQLVFYGHQGSIDCIHLINEEHMVSGADDGSVALWGLSKKRPLALQREAHGLRGEPGLEQPFWISSVAALLNTDLVATGSHSSCVRLWQCGEGFRQLDLLCDIPLVGFINSLKFSSSGDFLVAGVGQEHRLGRWWRIKEARNSVCIIPLRRVPVPPAAGS
+>DECOY_sp|O43818|U3IP2_HUMAN U3 small nucleolar RNA-interacting protein 2 OS=Homo sapiens OX=9606 GN=RRP9 PE=1 SV=1
+SGAAPPVPVRRLPIICVSNRAEKIRWWRGLRHEQGVGAVLFDGSSSFKLSNIFGVLPIDCLLDLQRFGEGCQWLRVCSSHSGTAVLDTNLLAAVSSIWFPQELGPEGRLGHAERQLALPRKKSLGWLAVSGDDAGSVMHEENILHICDISGQHGYFVLQSEEPIKWVRVTGDRGGATVCCERSLADLAAVADQHGFLTEVYSNEAVNWVKVSRDHSTSYLQHTGRRFALGSVADRHGTFTYLHQCSQAEWILILKSRDGSALYKGDSSIAMCLVHSSHGPPKGEAGKKARPIVHLKRGSEVSWKIISCDKAASFIASDDPTVVLCTISLQHGRLVRIDASAPAQIEKAVLKQLRGRQELVDEKLRGAVQDEEFARAEAKEEEQQRLQELYLKALRLKKEQATEELEEEEEEEPKRPALSESESDSSIEENMKGGGKSKGRDGASDAKRRRKGAGAGAGAGSAPKGRKRAAATASM
+>sp|Q7Z7E8|UB2Q1_HUMAN Ubiquitin-conjugating enzyme E2 Q1 OS=Homo sapiens OX=9606 GN=UBE2Q1 PE=1 SV=1
+MQQPQPQGQQQPGPGQQLGGQGAAPGAGGGPGGGPGPGPCLRRELKLLESIFHRGHERFRIASACLDELSCEFLLAGAGGAGAGAAPGPHLPPRGSVPGDPVRIHCNITESYPAVPPIWSVESDDPNLAAVLERLVDIKKGNTLLLQHLKRIISDLCKLYNLPQHPDVEMLDQPLPAEQCTQEDVSSEDEDEEMPEDTEDLDHYEMKEEEPAEGKKSEDDGIGKENLAILEKIKKNQRQDYLNGAVSGSVQATDRLMKELRDIYRSQSFKGGNYAVELVNDSLYDWNVKLLKVDQDSALHNDLQILKEKEGADFILLNFSFKDNFPFDPPFVRVVSPVLSGGYVLGGGAICMELLTKQGWSSAYSIESVIMQISATLVKGKARVQFGANKSQYSLTRAQQSYKSLVQIHEKNGWYTPPKEDG
+>DECOY_sp|Q7Z7E8|UB2Q1_HUMAN Ubiquitin-conjugating enzyme E2 Q1 OS=Homo sapiens OX=9606 GN=UBE2Q1 PE=1 SV=1
+GDEKPPTYWGNKEHIQVLSKYSQQARTLSYQSKNAGFQVRAKGKVLTASIQMIVSEISYASSWGQKTLLEMCIAGGGLVYGGSLVPSVVRVFPPDFPFNDKFSFNLLIFDAGEKEKLIQLDNHLASDQDVKLLKVNWDYLSDNVLEVAYNGGKFSQSRYIDRLEKMLRDTAQVSGSVAGNLYDQRQNKKIKELIALNEKGIGDDESKKGEAPEEEKMEYHDLDETDEPMEEDEDESSVDEQTCQEAPLPQDLMEVDPHQPLNYLKCLDSIIRKLHQLLLTNGKKIDVLRELVAALNPDDSEVSWIPPVAPYSETINCHIRVPDGPVSGRPPLHPGPAAGAGAGGAGALLFECSLEDLCASAIRFREHGRHFISELLKLERRLCPGPGPGGGPGGGAGPAAGQGGLQQGPGPQQQGQPQPQQM
+>sp|Q712K3|UB2R2_HUMAN Ubiquitin-conjugating enzyme E2 R2 OS=Homo sapiens OX=9606 GN=UBE2R2 PE=1 SV=1
+MAQQQMTSSQKALMLELKSLQEEPVEGFRITLVDESDLYNWEVAIFGPPNTLYEGGYFKAHIKFPIDYPYSPPTFRFLTKMWHPNIYENGDVCISILHPPVDDPQSGELPSERWNPTQNVRTILLSVISLLNEPNTFSPANVDASVMFRKWRDSKGKDKEYAEIIRKQVSATKAEAEKDGVKVPTTLAEYCIKTKVPSNDNSSDLLYDDLYDDDIDDEDEEEEDADCYDDDDSGNEES
+>DECOY_sp|Q712K3|UB2R2_HUMAN Ubiquitin-conjugating enzyme E2 R2 OS=Homo sapiens OX=9606 GN=UBE2R2 PE=1 SV=1
+SEENGSDDDDYCDADEEEEDEDDIDDDYLDDYLLDSSNDNSPVKTKICYEALTTPVKVGDKEAEAKTASVQKRIIEAYEKDKGKSDRWKRFMVSADVNAPSFTNPENLLSIVSLLITRVNQTPNWRESPLEGSQPDDVPPHLISICVDGNEYINPHWMKTLFRFTPPSYPYDIPFKIHAKFYGGEYLTNPPGFIAVEWNYLDSEDVLTIRFGEVPEEQLSKLELMLAKQSSTMQQQAM
+>sp|Q8IYN6|UBAD2_HUMAN UBA-like domain-containing protein 2 OS=Homo sapiens OX=9606 GN=UBALD2 PE=1 SV=1
+MSVNMDELRHQVMINQFVLAAGCAADQAKQLLQAAHWQFETALSTFFQETNIPNSHHHHQMMCTPSNTPATPPNFPDALAMFSKLRASEGLQSSNSPMTAAACSPPANFSPFWASSPPSHQAPWIPPSSPTTFHHLHRPQPTWPPGAQQGGAQQKAMAAMDGQR
+>DECOY_sp|Q8IYN6|UBAD2_HUMAN UBA-like domain-containing protein 2 OS=Homo sapiens OX=9606 GN=UBALD2 PE=1 SV=1
+RQGDMAAMAKQQAGGQQAGPPWTPQPRHLHHFTTPSSPPIWPAQHSPPSSAWFPSFNAPPSCAAATMPSNSSQLGESARLKSFMALADPFNPPTAPTNSPTCMMQHHHHSNPINTEQFFTSLATEFQWHAAQLLQKAQDAACGAALVFQNIMVQHRLEDMNVSM
+>sp|P0CG47|UBB_HUMAN Polyubiquitin-B OS=Homo sapiens OX=9606 GN=UBB PE=1 SV=1
+MQIFVKTLTGKTITLEVEPSDTIENVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLSDYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVEPSDTIENVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLSDYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVEPSDTIENVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLSDYNIQKESTLHLVLRLRGGC
+>DECOY_sp|P0CG47|UBB_HUMAN Polyubiquitin-B OS=Homo sapiens OX=9606 GN=UBB PE=1 SV=1
+CGGRLRLVLHLTSEKQINYDSLTRGDELQKGAFILRQQDPPIGEKDQIKAKVNEITDSPEVELTITKGTLTKVFIQMGGRLRLVLHLTSEKQINYDSLTRGDELQKGAFILRQQDPPIGEKDQIKAKVNEITDSPEVELTITKGTLTKVFIQMGGRLRLVLHLTSEKQINYDSLTRGDELQKGAFILRQQDPPIGEKDQIKAKVNEITDSPEVELTITKGTLTKVFIQM
+>sp|Q5VVX9|UBE2U_HUMAN Ubiquitin-conjugating enzyme E2 U OS=Homo sapiens OX=9606 GN=UBE2U PE=1 SV=1
+MHGRAYLLLHRDFCDLKENNYKGITAKPVSEDMMEWEVEIEGLQNSVWQGLVFQLTIHFTSEYNYAPPVVKFITIPFHPNVDPHTGQPCIDFLDNPEKWNTNYTLSSILLALQVMLSNPVLENPVNLEAARILVKDESLYRTILRLFNRPLQMKDDSQELPKDPRKCIRPIKTTSFSDYYQTWSRIATSKATEYYRTPLLKVPNFIGQYYKWKKMDLQHQKEWNLKYSVIKCWLARKRMPHEVTHSMEEIKLCPTLIPTTDEIFLESPTAINSITDIYETEEEGWKSDTSLYENDTDEPREEEVEDLISWTNTLNTNTSED
+>DECOY_sp|Q5VVX9|UBE2U_HUMAN Ubiquitin-conjugating enzyme E2 U OS=Homo sapiens OX=9606 GN=UBE2U PE=1 SV=1
+DESTNTNLTNTWSILDEVEEERPEDTDNEYLSTDSKWGEEETEYIDTISNIATPSELFIEDTTPILTPCLKIEEMSHTVEHPMRKRALWCKIVSYKLNWEKQHQLDMKKWKYYQGIFNPVKLLPTRYYETAKSTAIRSWTQYYDSFSTTKIPRICKRPDKPLEQSDDKMQLPRNFLRLITRYLSEDKVLIRAAELNVPNELVPNSLMVQLALLISSLTYNTNWKEPNDLFDICPQGTHPDVNPHFPITIFKVVPPAYNYESTFHITLQFVLGQWVSNQLGEIEVEWEMMDESVPKATIGKYNNEKLDCFDRHLLLYARGHM
+>sp|Q9H832|UBE2Z_HUMAN Ubiquitin-conjugating enzyme E2 Z OS=Homo sapiens OX=9606 GN=UBE2Z PE=1 SV=2
+MAESPTEEAATAGAGAAGPGASSVAGVVGVSGSGGGFGPPFLPDVWAAAAAAGGAGGPGSGLAPLPGLPPSAAAHGAALLSHWDPTLSSDWDGERTAPQCLLRIKRDIMSIYKEPPPGMFVVPDTVDMTKIHALITGPFDTPYEGGFFLFVFRCPPDYPIHPPRVKLMTTGNNTVRFNPNFYRNGKVCLSILGTWTGPAWSPAQSISSVLISIQSLMTENPYHNEPGFEQERHPGDSKNYNECIRHETIRVAVCDMMEGKCPCPEPLRGVMEKSFLEYYDFYEVACKDRLHLQGQTMQDPFGEKRGHFDYQSLLMRLGLIRQKVLERLHNENAEMDSDSSSSGTETDLHGSLRV
+>DECOY_sp|Q9H832|UBE2Z_HUMAN Ubiquitin-conjugating enzyme E2 Z OS=Homo sapiens OX=9606 GN=UBE2Z PE=1 SV=2
+VRLSGHLDTETGSSSSDSDMEANENHLRELVKQRILGLRMLLSQYDFHGRKEGFPDQMTQGQLHLRDKCAVEYFDYYELFSKEMVGRLPEPCPCKGEMMDCVAVRITEHRICENYNKSDGPHREQEFGPENHYPNETMLSQISILVSSISQAPSWAPGTWTGLISLCVKGNRYFNPNFRVTNNGTTMLKVRPPHIPYDPPCRFVFLFFGGEYPTDFPGTILAHIKTMDVTDPVVFMGPPPEKYISMIDRKIRLLCQPATREGDWDSSLTPDWHSLLAAGHAAASPPLGPLPALGSGPGGAGGAAAAAAWVDPLFPPGFGGGSGSVGVVGAVSSAGPGAAGAGATAAEETPSEAM
+>sp|Q05086|UBE3A_HUMAN Ubiquitin-protein ligase E3A OS=Homo sapiens OX=9606 GN=UBE3A PE=1 SV=4
+MEKLHQCYWKSGEPQSDDIEASRMKRAAAKHLIERYYHQLTEGCGNEACTNEFCASCPTFLRMDNNAAAIKALELYKINAKLCDPHPSKKGASSAYLENSKGAPNNSCSEIKMNKKGARIDFKDVTYLTEEKVYEILELCREREDYSPLIRVIGRVFSSAEALVQSFRKVKQHTKEELKSLQAKDEDKDEDEKEKAACSAAAMEEDSEASSSRIGDSSQGDNNLQKLGPDDVSVDIDAIRRVYTRLLSNEKIETAFLNALVYLSPNVECDLTYHNVYSRDPNYLNLFIIVMENRNLHSPEYLEMALPLFCKAMSKLPLAAQGKLIRLWSKYNADQIRRMMETFQQLITYKVISNEFNSRNLVNDDDAIVAASKCLKMVYYANVVGGEVDTNHNEEDDEEPIPESSELTLQELLGEERRNKKGPRVDPLETELGVKTLDCRKPLIPFEEFINEPLNEVLEMDKDYTFFKVETENKFSFMTCPFILNAVTKNLGLYYDNRIRMYSERRITVLYSLVQGQQLNPYLRLKVRRDHIIDDALVRLEMIAMENPADLKKQLYVEFEGEQGVDEGGVSKEFFQLVVEEIFNPDIGMFTYDESTKLFWFNPSSFETEGQFTLIGIVLGLAIYNNCILDVHFPMVVYRKLMGKKGTFRDLGDSHPVLYQSLKDLLEYEGNVEDDMMITFQISQTDLFGNPMMYDLKENGDKIPITNENRKEFVNLYSDYILNKSVEKQFKAFRRGFHMVTNESPLKYLFRPEEIELLICGSRNLDFQALEETTEYDGGYTRDSVLIREFWEIVHSFTDEQKRLFLQFTTGTDRAPVGGLGKLKMIIAKNGPDTERLPTSHTCFNVLLLPEYSSKEKLKERLLKAITYAKGFGML
+>DECOY_sp|Q05086|UBE3A_HUMAN Ubiquitin-protein ligase E3A OS=Homo sapiens OX=9606 GN=UBE3A PE=1 SV=4
+LMGFGKAYTIAKLLREKLKEKSSYEPLLLVNFCTHSTPLRETDPGNKAIIMKLKGLGGVPARDTGTTFQLFLRKQEDTFSHVIEWFERILVSDRTYGGDYETTEELAQFDLNRSGCILLEIEEPRFLYKLPSENTVMHFGRRFAKFQKEVSKNLIYDSYLNVFEKRNENTIPIKDGNEKLDYMMPNGFLDTQSIQFTIMMDDEVNGEYELLDKLSQYLVPHSDGLDRFTGKKGMLKRYVVMPFHVDLICNNYIALGLVIGILTFQGETEFSSPNFWFLKTSEDYTFMGIDPNFIEEVVLQFFEKSVGGEDVGQEGEFEVYLQKKLDAPNEMAIMELRVLADDIIHDRRVKLRLYPNLQQGQVLSYLVTIRRESYMRIRNDYYLGLNKTVANLIFPCTMFSFKNETEVKFFTYDKDMELVENLPENIFEEFPILPKRCDLTKVGLETELPDVRPGKKNRREEGLLEQLTLESSEPIPEEDDEENHNTDVEGGVVNAYYVMKLCKSAAVIADDDNVLNRSNFENSIVKYTILQQFTEMMRRIQDANYKSWLRILKGQAALPLKSMAKCFLPLAMELYEPSHLNRNEMVIIFLNLYNPDRSYVNHYTLDCEVNPSLYVLANLFATEIKENSLLRTYVRRIADIDVSVDDPGLKQLNNDGQSSDGIRSSSAESDEEMAAASCAAKEKEDEDKDEDKAQLSKLEEKTHQKVKRFSQVLAEASSFVRGIVRILPSYDERERCLELIEYVKEETLYTVDKFDIRAGKKNMKIESCSNNPAGKSNELYASSAGKKSPHPDCLKANIKYLELAKIAAANNDMRLFTPCSACFENTCAENGCGETLQHYYREILHKAAARKMRSAEIDDSQPEGSKWYCQHLKEM
+>sp|O94966|UBP19_HUMAN Ubiquitin carboxyl-terminal hydrolase 19 OS=Homo sapiens OX=9606 GN=USP19 PE=1 SV=2
+MSGGASATGPRRGPPGLEDTTSKKKQKDRANQESKDGDPRKETGSRYVAQAGLEPLASGDPSASASHAAGITGSRHRTRLFFPSSSGSASTPQEEQTKEGACEDPHDLLATPTPELLLDWRQSAEEVIVKLRVGVGPLQLEDVDAAFTDTDCVVRFAGGQQWGGVFYAEIKSSCAKVQTRKGSLLHLTLPKKVPMLTWPSLLVEADEQLCIPPLNSQTCLLGSEENLAPLAGEKAVPPGNDPVSPAMVRSRNPGKDDCAKEEMAVAADAATLVDEPESMVNLAFVKNDSYEKGPDSVVVHVYVKEICRDTSRVLFREQDFTLIFQTRDGNFLRLHPGCGPHTTFRWQVKLRNLIEPEQCTFCFTASRIDICLRKRQSQRWGGLEAPAARVGGAKVAVPTGPTPLDSTPPGGAPHPLTGQEEARAVEKDKSKARSEDTGLDSVATRTPMEHVTPKPETHLASPKPTCMVPPMPHSPVSGDSVEEEEEEEKKVCLPGFTGLVNLGNTCFMNSVIQSLSNTRELRDFFHDRSFEAEINYNNPLGTGGRLAIGFAVLLRALWKGTHHAFQPSKLKAIVASKASQFTGYAQHDAQEFMAFLLDGLHEDLNRIQNKPYTETVDSDGRPDEVVAEEAWQRHKMRNDSFIVDLFQGQYKSKLVCPVCAKVSITFDPFLYLPVPLPQKQKVLPVFYFAREPHSKPIKFLVSVSKENSTASEVLDSLSQSVHVKPENLRLAEVIKNRFHRVFLPSHSLDTVSPSDTLLCFELLSSELAKERVVVLEVQQRPQVPSVPISKCAACQRKQQSEDEKLKRCTRCYRVGYCNQLCQKTHWPDHKGLCRPENIGYPFLVSVPASRLTYARLAQLLEGYARYSVSVFQPPFQPGRMALESQSPGCTTLLSTGSLEAGDSERDPIQPPELQLVTPMAEGDTGLPRVWAAPDRGPVPSTSGISSEMLASGPIEVGSLPAGERVSRPEAAVPGYQHPSEAMNAHTPQFFIYKIDSSNREQRLEDKGDTPLELGDDCSLALVWRNNERLQEFVLVASKELECAEDPGSAGEAARAGHFTLDQCLNLFTRPEVLAPEEAWYCPQCKQHREASKQLLLWRLPNVLIVQLKRFSFRSFIWRDKINDLVEFPVRNLDLSKFCIGQKEEQLPSYDLYAVINHYGGMIGGHYTACARLPNDRSSQRSDVGWRLFDDSTVTTVDESQVVTRYAYVLFYRRRNSPVERPPRAGHSEHHPDLGPAAEAAASQASRIWQELEAEEEPVPEGSGPLGPWGPQDWVGPLPRGPTTPDEGCLRYFVLGTVAALVALVLNVFYPLVSQSRWR
+>DECOY_sp|O94966|UBP19_HUMAN Ubiquitin carboxyl-terminal hydrolase 19 OS=Homo sapiens OX=9606 GN=USP19 PE=1 SV=2
+RWRSQSVLPYFVNLVLAVLAAVTGLVFYRLCGEDPTTPGRPLPGVWDQPGWPGLPGSGEPVPEEEAELEQWIRSAQSAAAEAAPGLDPHHESHGARPPREVPSNRRRYFLVYAYRTVVQSEDVTTVTSDDFLRWGVDSRQSSRDNPLRACATYHGGIMGGYHNIVAYLDYSPLQEEKQGICFKSLDLNRVPFEVLDNIKDRWIFSRFSFRKLQVILVNPLRWLLLQKSAERHQKCQPCYWAEEPALVEPRTFLNLCQDLTFHGARAAEGASGPDEACELEKSAVLVFEQLRENNRWVLALSCDDGLELPTDGKDELRQERNSSDIKYIFFQPTHANMAESPHQYGPVAAEPRSVREGAPLSGVEIPGSALMESSIGSTSPVPGRDPAAWVRPLGTDGEAMPTVLQLEPPQIPDRESDGAELSGTSLLTTCGPSQSELAMRGPQFPPQFVSVSYRAYGELLQALRAYTLRSAPVSVLFPYGINEPRCLGKHDPWHTKQCLQNCYGVRYCRTCRKLKEDESQQKRQCAACKSIPVSPVQPRQQVELVVVREKALESSLLEFCLLTDSPSVTDLSHSPLFVRHFRNKIVEALRLNEPKVHVSQSLSDLVESATSNEKSVSVLFKIPKSHPERAFYFVPLVKQKQPLPVPLYLFPDFTISVKACVPCVLKSKYQGQFLDVIFSDNRMKHRQWAEEAVVEDPRGDSDVTETYPKNQIRNLDEHLGDLLFAMFEQADHQAYGTFQSAKSAVIAKLKSPQFAHHTGKWLARLLVAFGIALRGGTGLPNNYNIEAEFSRDHFFDRLERTNSLSQIVSNMFCTNGLNVLGTFGPLCVKKEEEEEEEVSDGSVPSHPMPPVMCTPKPSALHTEPKPTVHEMPTRTAVSDLGTDESRAKSKDKEVARAEEQGTLPHPAGGPPTSDLPTPGTPVAVKAGGVRAAPAELGGWRQSQRKRLCIDIRSATFCFTCQEPEILNRLKVQWRFTTHPGCGPHLRLFNGDRTQFILTFDQERFLVRSTDRCIEKVYVHVVVSDPGKEYSDNKVFALNVMSEPEDVLTAADAAVAMEEKACDDKGPNRSRVMAPSVPDNGPPVAKEGALPALNEESGLLCTQSNLPPICLQEDAEVLLSPWTLMPVKKPLTLHLLSGKRTQVKACSSKIEAYFVGGWQQGGAFRVVCDTDTFAADVDELQLPGVGVRLKVIVEEASQRWDLLLEPTPTALLDHPDECAGEKTQEEQPTSASGSSSPFFLRTRHRSGTIGAAHSASASPDGSALPELGAQAVYRSGTEKRPDGDKSEQNARDKQKKKSTTDELGPPGRRPGTASAGGSM
+>sp|Q8WVF2|UCMA_HUMAN Unique cartilage matrix-associated protein OS=Homo sapiens OX=9606 GN=UCMA PE=2 SV=2
+MTWRQAVLLSCFSAVVLLSMLREGTSVSVGTMQMAGEEASEDAKQKIFMQESDASNFLKRRGKRSPKSRDEVNVENRQKLRVDELRREYYEEQRNEFENFVEEQNDEQEERSREAVEQWRQWHYDGLHPSYLYNRHHT
+>DECOY_sp|Q8WVF2|UCMA_HUMAN Unique cartilage matrix-associated protein OS=Homo sapiens OX=9606 GN=UCMA PE=2 SV=2
+THHRNYLYSPHLGDYHWQRWQEVAERSREEQEDNQEEVFNEFENRQEEYYERRLEDVRLKQRNEVNVEDRSKPSRKGRRKLFNSADSEQMFIKQKADESAEEGAMQMTGVSVSTGERLMSLLVVASFCSLLVAQRWTM
+>sp|P25874|UCP1_HUMAN Mitochondrial brown fat uncoupling protein 1 OS=Homo sapiens OX=9606 GN=UCP1 PE=1 SV=3
+MGGLTASDVHPTLGVQLFSAGIAACLADVITFPLDTAKVRLQVQGECPTSSVIRYKGVLGTITAVVKTEGRMKLYSGLPAGLQRQISSASLRIGLYDTVQEFLTAGKETAPSLGSKILAGLTTGGVAVFIGQPTEVVKVRLQAQSHLHGIKPRYTGTYNAYRIIATTEGLTGLWKGTTPNLMRSVIINCTELVTYDLMKEAFVKNNILADDVPCHLVSALIAGFCATAMSSPVDVVKTRFINSPPGQYKSVPNCAMKVFTNEGPTAFFKGLVPSFLRLGSWNVIMFVCFEQLKRELSKSRQTMDCAT
+>DECOY_sp|P25874|UCP1_HUMAN Mitochondrial brown fat uncoupling protein 1 OS=Homo sapiens OX=9606 GN=UCP1 PE=1 SV=3
+TACDMTQRSKSLERKLQEFCVFMIVNWSGLRLFSPVLGKFFATPGENTFVKMACNPVSKYQGPPSNIFRTKVVDVPSSMATACFGAILASVLHCPVDDALINNKVFAEKMLDYTVLETCNIIVSRMLNPTTGKWLGTLGETTAIIRYANYTGTYRPKIGHLHSQAQLRVKVVETPQGIFVAVGGTTLGALIKSGLSPATEKGATLFEQVTDYLGIRLSASSIQRQLGAPLGSYLKMRGETKVVATITGLVGKYRIVSSTPCEGQVQLRVKATDLPFTIVDALCAAIGASFLQVGLTPHVDSATLGGM
+>sp|P55916|UCP3_HUMAN Mitochondrial uncoupling protein 3 OS=Homo sapiens OX=9606 GN=UCP3 PE=1 SV=1
+MVGLKPSDVPPTMAVKFLGAGTAACFADLVTFPLDTAKVRLQIQGENQAVQTARLVQYRGVLGTILTMVRTEGPCSPYNGLVAGLQRQMSFASIRIGLYDSVKQVYTPKGADNSSLTTRILAGCTTGAMAVTCAQPTDVVKVRFQASIHLGPSRSDRKYSGTMDAYRTIAREEGVRGLWKGTLPNIMRNAIVNCAEVVTYDILKEKLLDYHLLTDNFPCHFVSAFGAGFCATVVASPVDVVKTRYMNSPPGQYFSPLDCMIKMVAQEGPTAFYKGFTPSFLRLGSWNVVMFVTYEQLKRALMKVQMLRESPF
+>DECOY_sp|P55916|UCP3_HUMAN Mitochondrial uncoupling protein 3 OS=Homo sapiens OX=9606 GN=UCP3 PE=1 SV=1
+FPSERLMQVKMLARKLQEYTVFMVVNWSGLRLFSPTFGKYFATPGEQAVMKIMCDLPSFYQGPPSNMYRTKVVDVPSAVVTACFGAGFASVFHCPFNDTLLHYDLLKEKLIDYTVVEACNVIANRMINPLTGKWLGRVGEERAITRYADMTGSYKRDSRSPGLHISAQFRVKVVDTPQACTVAMAGTTCGALIRTTLSSNDAGKPTYVQKVSDYLGIRISAFSMQRQLGAVLGNYPSCPGETRVMTLITGLVGRYQVLRATQVAQNEGQIQLRVKATDLPFTVLDAFCAATGAGLFKVAMTPPVDSPKLGVM
+>sp|O95258|UCP5_HUMAN Brain mitochondrial carrier protein 1 OS=Homo sapiens OX=9606 GN=SLC25A14 PE=2 SV=1
+MGIFPGIILIFLRVKFATAAVIVSGHQKSTTVSHEMSGLNWKPFVYGGLASIVAEFGTFPVDLTKTRLQVQGQSIDARFKEIKYRGMFHALFRICKEEGVLALYSGIAPALLRQASYGTIKIGIYQSLKRLFVERLEDETLLINMICGVVSGVISSTIANPTDVLKIRMQAQGSLFQGSMIGSFIDIYQQEGTRGLWRGVVPTAQRAAIVVGVELPVYDITKKHLILSGMMGDTILTHFVSSFTCGLAGALASNPVDVVRTRMMNQRAIVGHVDLYKGTVDGILKMWKHEGFFALYKGFWPNWLRLGPWNIIFFITYEQLKRLQI
+>DECOY_sp|O95258|UCP5_HUMAN Brain mitochondrial carrier protein 1 OS=Homo sapiens OX=9606 GN=SLC25A14 PE=2 SV=1
+IQLRKLQEYTIFFIINWPGLRLWNPWFGKYLAFFGEHKWMKLIGDVTGKYLDVHGVIARQNMMRTRVVDVPNSALAGALGCTFSSVFHTLITDGMMGSLILHKKTIDYVPLEVGVVIAARQATPVVGRWLGRTGEQQYIDIFSGIMSGQFLSGQAQMRIKLVDTPNAITSSIVGSVVGCIMNILLTEDELREVFLRKLSQYIGIKITGYSAQRLLAPAIGSYLALVGEEKCIRFLAHFMGRYKIEKFRADISQGQVQLRTKTLDVPFTGFEAVISALGGYVFPKWNLGSMEHSVTTSKQHGSVIVAATAFKVRLFILIIGPFIGM
+>sp|P47985|UCRI_HUMAN Cytochrome b-c1 complex subunit Rieske, mitochondrial OS=Homo sapiens OX=9606 GN=UQCRFS1 PE=1 SV=2
+MLSVASRSGPFAPVLSATSRGVAGALRPLVQATVPATPEQPVLDLKRPFLSRESLSGQAVRRPLVASVGLNVPASVCYSHTDIKVPDFSEYRRLEVLDSTKSSRESSEARKGFSYLVTGVTTVGVAYAAKNAVTQFVSSMSASADVLALAKIEIKLSDIPEGKNMAFKWRGKPLFVRHRTQKEIEQEAAVELSQLRDPQHDLDRVKKPEWVILIGVCTHLGCVPIANAGDFGGYYCPCHGSHYDASGRIRLGPAPLNLEVPTYEFTSDDMVIVG
+>DECOY_sp|P47985|UCRI_HUMAN Cytochrome b-c1 complex subunit Rieske, mitochondrial OS=Homo sapiens OX=9606 GN=UQCRFS1 PE=1 SV=2
+GVIVMDDSTFEYTPVELNLPAPGLRIRGSADYHSGHCPCYYGGFDGANAIPVCGLHTCVGILIVWEPKKVRDLDHQPDRLQSLEVAAEQEIEKQTRHRVFLPKGRWKFAMNKGEPIDSLKIEIKALALVDASASMSSVFQTVANKAAYAVGVTTVGTVLYSFGKRAESSERSSKTSDLVELRRYESFDPVKIDTHSYCVSAPVNLGVSAVLPRRVAQGSLSERSLFPRKLDLVPQEPTAPVTAQVLPRLAGAVGRSTASLVPAFPGSRSAVSLM
+>sp|P35504|UD15_HUMAN UDP-glucuronosyltransferase 1-5 OS=Homo sapiens OX=9606 GN=UGT1A5 PE=2 SV=1
+MATGLQVPLPQLATGLLLLLSVQPWAESGKVLVVPTDGSHWLSMREALRDLHARGHQVVVLTLEVNMYIKEENFFTLTTYAISWTQDEFDRLLLGHTQSFFETEHLLMKFSRRMAIMNNMSLIIHRSCVELLHNEALIRHLHATSFDVVLTDPFHLCAAVLAKYLSIPAVFFLRNIPCDLDFKGTQCPNPSSYIPRLLTTNSDHMTFLQRVKNMLYPLALSYLCHAVSAPYASLASELFQREVSVVDLVSHASVWLFRGDFVMDYPRPIMPNMVFIGGINCANGKPLSQEFEAYINASGEHGIVVFSLGSMVSEIPEKKAMAIADALGKIPQTVLWRYTGTRPSNLANNTILVKWLPQNDLLGHPMTRAFITHAGSHGVYESICNGVPMVMMPLFGDQMDNAKRMETKGAGVTLNVLEMTSEDLENALKAVINDKSYKENIMRLSSLHKDRPVEPLDLAVFWVEFVMRHKGAPHLRPAAHDLTWYQYHSLDVIGFLLAVVLTVAFITFKCCAYGYRKCLGKKGRVKKAHKSKTH
+>DECOY_sp|P35504|UD15_HUMAN UDP-glucuronosyltransferase 1-5 OS=Homo sapiens OX=9606 GN=UGT1A5 PE=2 SV=1
+HTKSKHAKKVRGKKGLCKRYGYACCKFTIFAVTLVVALLFGIVDLSHYQYWTLDHAAPRLHPAGKHRMVFEVWFVALDLPEVPRDKHLSSLRMINEKYSKDNIVAKLANELDESTMELVNLTVGAGKTEMRKANDMQDGFLPMMVMPVGNCISEYVGHSGAHTIFARTMPHGLLDNQPLWKVLITNNALNSPRTGTYRWLVTQPIKGLADAIAMAKKEPIESVMSGLSFVVIGHEGSANIYAEFEQSLPKGNACNIGGIFVMNPMIPRPYDMVFDGRFLWVSAHSVLDVVSVERQFLESALSAYPASVAHCLYSLALPYLMNKVRQLFTMHDSNTTLLRPIYSSPNPCQTGKFDLDCPINRLFFVAPISLYKALVAACLHFPDTLVVDFSTAHLHRILAENHLLEVCSRHIILSMNNMIAMRRSFKMLLHETEFFSQTHGLLLRDFEDQTWSIAYTTLTFFNEEKIYMNVELTLVVVQHGRAHLDRLAERMSLWHSGDTPVVLVKGSEAWPQVSLLLLLGTALQPLPVQLGTAM
+>sp|Q9HAW9|UD18_HUMAN UDP-glucuronosyltransferase 1-8 OS=Homo sapiens OX=9606 GN=UGT1A8 PE=1 SV=1
+MARTGWTSPIPLCVSLLLTCGFAEAGKLLVVPMDGSHWFTMQSVVEKLILRGHEVVVVMPEVSWQLGKSLNCTVKTYSTSYTLEDLDREFMDFADAQWKAQVRSLFSLFLSSSNGFFNLFFSHCRSLFNDRKLVEYLKESSFDAVFLDPFDACGLIVAKYFSLPSVVFARGIACHYLEEGAQCPAPLSYVPRILLGFSDAMTFKERVRNHIMHLEEHLFCQYFSKNALEIASEILQTPVTAYDLYSHTSIWLLRTDFVLDYPKPVMPNMIFIGGINCHQGKPLPMEFEAYINASGEHGIVVFSLGSMVSEIPEKKAMAIADALGKIPQTVLWRYTGTRPSNLANNTILVKWLPQNDLLGHPMTRAFITHAGSHGVYESICNGVPMVMMPLFGDQMDNAKRMETKGAGVTLNVLEMTSEDLENALKAVINDKSYKENIMRLSSLHKDRPVEPLDLAVFWVEFVMRHKGAPHLRPAAHDLTWYQYHSLDVIGFLLAVVLTVAFITFKCCAYGYRKCLGKKGRVKKAHKSKTH
+>DECOY_sp|Q9HAW9|UD18_HUMAN UDP-glucuronosyltransferase 1-8 OS=Homo sapiens OX=9606 GN=UGT1A8 PE=1 SV=1
+HTKSKHAKKVRGKKGLCKRYGYACCKFTIFAVTLVVALLFGIVDLSHYQYWTLDHAAPRLHPAGKHRMVFEVWFVALDLPEVPRDKHLSSLRMINEKYSKDNIVAKLANELDESTMELVNLTVGAGKTEMRKANDMQDGFLPMMVMPVGNCISEYVGHSGAHTIFARTMPHGLLDNQPLWKVLITNNALNSPRTGTYRWLVTQPIKGLADAIAMAKKEPIESVMSGLSFVVIGHEGSANIYAEFEMPLPKGQHCNIGGIFIMNPMVPKPYDLVFDTRLLWISTHSYLDYATVPTQLIESAIELANKSFYQCFLHEELHMIHNRVREKFTMADSFGLLIRPVYSLPAPCQAGEELYHCAIGRAFVVSPLSFYKAVILGCADFPDLFVADFSSEKLYEVLKRDNFLSRCHSFFLNFFGNSSSLFLSFLSRVQAKWQADAFDMFERDLDELTYSTSYTKVTCNLSKGLQWSVEPMVVVVEHGRLILKEVVSQMTFWHSGDMPVVLLKGAEAFGCTLLLSVCLPIPSTWGTRAM
+>sp|Q9Y4X1|UD2A1_HUMAN UDP-glucuronosyltransferase 2A1 OS=Homo sapiens OX=9606 GN=UGT2A1 PE=1 SV=2
+MLNNLLLFSLQISLIGTTLGGNVLIWPMEGSHWLNVKIIIDELIKKEHNVTVLVASGALFITPTSNPSLTFEIYRVPFGKERIEGVIKDFVLTWLENRPSPSTIWRFYQEMAKVIKDFHMVSQEICDGVLKNQQLMAKLKKSKFEVLVSDPVFPCGDIVALKLGIPFMYSLRFSPASTVEKHCGKVPYPPSYVPAVLSELTDQMSFTDRIRNFISYHLQDYMFETLWKSWDSYYSKALGRPTTLCETMGKAEIWLIRTYWDFEFPRPYLPNFEFVGGLHCKPAKPLPKEMEEFIQSSGKNGVVVFSLGSMVKNLTEEKANLIASALAQIPQKVLWRYKGKKPATLGNNTQLFDWIPQNDLLGHPKTKAFITHGGTNGIYEAIYHGVPMVGVPMFADQPDNIAHMKAKGAAVEVNLNTMTSVDLLSALRTVINEPSYKENAMRLSRIHHDQPVKPLDRAVFWIEFVMRHKGAKHLRVAAHDLTWFQYHSLDVIGFLLVCVTTAIFLVIQCCLFSCQKFGKIGKKKKRE
+>DECOY_sp|Q9Y4X1|UD2A1_HUMAN UDP-glucuronosyltransferase 2A1 OS=Homo sapiens OX=9606 GN=UGT2A1 PE=1 SV=2
+ERKKKKGIKGFKQCSFLCCQIVLFIATTVCVLLFGIVDLSHYQFWTLDHAAVRLHKAGKHRMVFEIWFVARDLPKVPQDHHIRSLRMANEKYSPENIVTRLASLLDVSTMTNLNVEVAAGKAKMHAINDPQDAFMPVGVMPVGHYIAEYIGNTGGHTIFAKTKPHGLLDNQPIWDFLQTNNGLTAPKKGKYRWLVKQPIQALASAILNAKEETLNKVMSGLSFVVVGNKGSSQIFEEMEKPLPKAPKCHLGGVFEFNPLYPRPFEFDWYTRILWIEAKGMTECLTTPRGLAKSYYSDWSKWLTEFMYDQLHYSIFNRIRDTFSMQDTLESLVAPVYSPPYPVKGCHKEVTSAPSFRLSYMFPIGLKLAVIDGCPFVPDSVLVEFKSKKLKAMLQQNKLVGDCIEQSVMHFDKIVKAMEQYFRWITSPSPRNELWTLVFDKIVGEIREKGFPVRYIEFTLSPNSTPTIFLAGSAVLVTVNHEKKILEDIIIKVNLWHSGEMPWILVNGGLTTGILSIQLSFLLLNNLM
+>sp|P06133|UD2B4_HUMAN UDP-glucuronosyltransferase 2B4 OS=Homo sapiens OX=9606 GN=UGT2B4 PE=1 SV=2
+MSMKWTSALLLIQLSCYFSSGSCGKVLVWPTEFSHWMNIKTILDELVQRGHEVTVLASSASISFDPNSPSTLKFEVYPVSLTKTEFEDIIKQLVKRWAELPKDTFWSYFSQVQEIMWTFNDILRKFCKDIVSNKKLMKKLQESRFDVVLADAVFPFGELLAELLKIPFVYSLRFSPGYAIEKHSGGLLFPPSYVPVVMSELSDQMTFIERVKNMIYVLYFEFWFQIFDMKKWDQFYSEVLGRPTTLSETMAKADIWLIRNYWDFQFPHPLLPNVEFVGGLHCKPAKPLPKEMEEFVQSSGENGVVVFSLGSMVSNTSEERANVIASALAKIPQKVLWRFDGNKPDTLGLNTRLYKWIPQNDLLGHPKTRAFITHGGANGIYEAIYHGIPMVGVPLFADQPDNIAHMKAKGAAVSLDFHTMSSTDLLNALKTVINDPLYKENAMKLSRIHHDQPVKPLDRAVFWIEFVMRHKGAKHLRVAAHDLTWFQYHSLDVTGFLLACVATVIFIITKCLFCVWKFVRTGKKGKRD
+>DECOY_sp|P06133|UD2B4_HUMAN UDP-glucuronosyltransferase 2B4 OS=Homo sapiens OX=9606 GN=UGT2B4 PE=1 SV=2
+DRKGKKGTRVFKWVCFLCKTIIFIVTAVCALLFGTVDLSHYQFWTLDHAAVRLHKAGKHRMVFEIWFVARDLPKVPQDHHIRSLKMANEKYLPDNIVTKLANLLDTSSMTHFDLSVAAGKAKMHAINDPQDAFLPVGVMPIGHYIAEYIGNAGGHTIFARTKPHGLLDNQPIWKYLRTNLGLTDPKNGDFRWLVKQPIKALASAIVNAREESTNSVMSGLSFVVVGNEGSSQVFEEMEKPLPKAPKCHLGGVFEVNPLLPHPFQFDWYNRILWIDAKAMTESLTTPRGLVESYFQDWKKMDFIQFWFEFYLVYIMNKVREIFTMQDSLESMVVPVYSPPFLLGGSHKEIAYGPSFRLSYVFPIKLLEALLEGFPFVADALVVDFRSEQLKKMLKKNSVIDKCFKRLIDNFTWMIEQVQSFYSWFTDKPLEAWRKVLQKIIDEFETKTLSVPYVEFKLTSPSNPDFSISASSALVTVEHGRQVLEDLITKINMWHSFETPWVLVKGCSGSSFYCSLQILLLASTWKMSM
+>sp|Q6NUS8|UD3A1_HUMAN UDP-glucuronosyltransferase 3A1 OS=Homo sapiens OX=9606 GN=UGT3A1 PE=2 SV=1
+MVGQRVLLLVAFLLSGVLLSEAAKILTISTLGGSHYLLLDRVSQILQEHGHNVTMLHQSGKFLIPDIKEEEKSYQVIRWFSPEDHQKRIKKHFDSYIETALDGRKESEALVKLMEIFGTQCSYLLSRKDIMDSLKNENYDLVFVEAFDFCSFLIAEKLVKPFVAILPTTFGSLDFGLPSPLSYVPVFPSLLTDHMDFWGRVKNFLMFFSFSRSQWDMQSTFDNTIKEHFPEGSRPVLSHLLLKAELWFVNSDFAFDFARPLLPNTVYIGGLMEKPIKPVPQDLDNFIANFGDAGFVLVAFGSMLNTHQSQEVLKKMHNAFAHLPQGVIWTCQSSHWPRDVHLATNVKIVDWLPQSDLLAHPSIRLFVTHGGQNSVMEAIRHGVPMVGLPVNGDQHGNMVRVVAKNYGVSIRLNQVTADTLTLTMKQVIEDKRYKSAVVAASVILHSQPLSPAQRLVGWIDHILQTGGATHLKPYAFQQPWHEQYLIDVFVFLLGLTLGTMWLCGKLLGVVARWLRGARKVKKT
+>DECOY_sp|Q6NUS8|UD3A1_HUMAN UDP-glucuronosyltransferase 3A1 OS=Homo sapiens OX=9606 GN=UGT3A1 PE=2 SV=1
+TKKVKRAGRLWRAVVGLLKGCLWMTGLTLGLLFVFVDILYQEHWPQQFAYPKLHTAGGTQLIHDIWGVLRQAPSLPQSHLIVSAAVVASKYRKDEIVQKMTLTLTDATVQNLRISVGYNKAVVRVMNGHQDGNVPLGVMPVGHRIAEMVSNQGGHTVFLRISPHALLDSQPLWDVIKVNTALHVDRPWHSSQCTWIVGQPLHAFANHMKKLVEQSQHTNLMSGFAVLVFGADGFNAIFNDLDQPVPKIPKEMLGGIYVTNPLLPRAFDFAFDSNVFWLEAKLLLHSLVPRSGEPFHEKITNDFTSQMDWQSRSFSFFMLFNKVRGWFDMHDTLLSPFVPVYSLPSPLGFDLSGFTTPLIAVFPKVLKEAILFSCFDFAEVFVLDYNENKLSDMIDKRSLLYSCQTGFIEMLKVLAESEKRGDLATEIYSDFHKKIRKQHDEPSFWRIVQYSKEEEKIDPILFKGSQHLMTVNHGHEQLIQSVRDLLLYHSGGLTSITLIKAAESLLVGSLLFAVLLLVRQGVM
+>sp|P54855|UDB15_HUMAN UDP-glucuronosyltransferase 2B15 OS=Homo sapiens OX=9606 GN=UGT2B15 PE=1 SV=3
+MSLKWTSVFLLIQLSCYFSSGSCGKVLVWPTEYSHWINMKTILEELVQRGHEVTVLTSSASTLVNASKSSAIKLEVYPTSLTKNYLEDSLLKILDRWIYGVSKNTFWSYFSQLQELCWEYYDYSNKLCKDAVLNKKLMMKLQESKFDVILADALNPCGELLAELFNIPFLYSLRFSVGYTFEKNGGGFLFPPSYVPVVMSELSDQMIFMERIKNMIHMLYFDFWFQIYDLKKWDQFYSEVLGRPTTLFETMGKAEMWLIRTYWDFEFPRPFLPNVDFVGGLHCKPAKPLPKEMEEFVQSSGENGIVVFSLGSMISNMSEESANMIASALAQIPQKVLWRFDGKKPNTLGSNTRLYKWLPQNDLLGHPKTKAFITHGGTNGIYEAIYHGIPMVGIPLFADQHDNIAHMKAKGAALSVDIRTMSSRDLLNALKSVINDPVYKENVMKLSRIHHDQPMKPLDRAVFWIEFVMRHKGAKHLRVAAHNLTWIQYHSLDVIAFLLACVATVIFIITKFCLFCFRKLAKKGKKKKRD
+>DECOY_sp|P54855|UDB15_HUMAN UDP-glucuronosyltransferase 2B15 OS=Homo sapiens OX=9606 GN=UGT2B15 PE=1 SV=3
+DRKKKKGKKALKRFCFLCFKTIIFIVTAVCALLFAIVDLSHYQIWTLNHAAVRLHKAGKHRMVFEIWFVARDLPKMPQDHHIRSLKMVNEKYVPDNIVSKLANLLDRSSMTRIDVSLAAGKAKMHAINDHQDAFLPIGVMPIGHYIAEYIGNTGGHTIFAKTKPHGLLDNQPLWKYLRTNSGLTNPKKGDFRWLVKQPIQALASAIMNASEESMNSIMSGLSFVVIGNEGSSQVFEEMEKPLPKAPKCHLGGVFDVNPLFPRPFEFDWYTRILWMEAKGMTEFLTTPRGLVESYFQDWKKLDYIQFWFDFYLMHIMNKIREMFIMQDSLESMVVPVYSPPFLFGGGNKEFTYGVSFRLSYLFPINFLEALLEGCPNLADALIVDFKSEQLKMMLKKNLVADKCLKNSYDYYEWCLEQLQSFYSWFTNKSVGYIWRDLIKLLSDELYNKTLSTPYVELKIASSKSANVLTSASSTLVTVEHGRQVLEELITKMNIWHSYETPWVLVKGCSGSSFYCSLQILLFVSTWKLSM
+>sp|Q9BY64|UDB28_HUMAN UDP-glucuronosyltransferase 2B28 OS=Homo sapiens OX=9606 GN=UGT2B28 PE=1 SV=1
+MALKWTSVLLLIHLGCYFSSGSCGKVLVWTGEYSHWMNMKTILKELVQRGHEVTVLASSASILFDPNDAFTLKLEVYPTSLTKTEFENIIMQQVKRWSDIQKDSFWLYFSQEQEILWEFHDIFRNFCKDVVSNKKVMKKLQESRFDIIFADAFFPCGELLAALLNIPFVYSLCFTPGYTIERHSGGLIFPPSYIPVVMSKLSDQMTFMERVKNMIYVLYFDFWFQMCDMKKWDQFYSEVLGRPTTLFETMGKADIWLMRNSWSFQFPHPFLPNIDFVGGLHCKPAKPLPKEMEEFVQSSGENGVVVFSLGSVISNMTAERANVIATALAKIPQKVLWRFDGNKPDALGLNTRLYKWIPQNDLLGLPKTRAFITHGGANGIYEAIYHGIPMVGIPLFWDQPDNIAHMKAKGAAVRLDFHTMSSTDLLNALKTVINDPSYKENVMKLSIIQHDQPVKPLHRAVFWIEFVMCHKGAKHLRVAARDLTWFQYHSLDVIGFLLACVATVIFVVTKFCLFCFWKFARKGKKGKRD
+>DECOY_sp|Q9BY64|UDB28_HUMAN UDP-glucuronosyltransferase 2B28 OS=Homo sapiens OX=9606 GN=UGT2B28 PE=1 SV=1
+DRKGKKGKRAFKWFCFLCFKTVVFIVTAVCALLFGIVDLSHYQFWTLDRAAVRLHKAGKHCMVFEIWFVARHLPKVPQDHQIISLKMVNEKYSPDNIVTKLANLLDTSSMTHFDLRVAAGKAKMHAINDPQDWFLPIGVMPIGHYIAEYIGNAGGHTIFARTKPLGLLDNQPIWKYLRTNLGLADPKNGDFRWLVKQPIKALATAIVNAREATMNSIVSGLSFVVVGNEGSSQVFEEMEKPLPKAPKCHLGGVFDINPLFPHPFQFSWSNRMLWIDAKGMTEFLTTPRGLVESYFQDWKKMDCMQFWFDFYLVYIMNKVREMFTMQDSLKSMVVPIYSPPFILGGSHREITYGPTFCLSYVFPINLLAALLEGCPFFADAFIIDFRSEQLKKMVKKNSVVDKCFNRFIDHFEWLIEQEQSFYLWFSDKQIDSWRKVQQMIINEFETKTLSTPYVELKLTFADNPDFLISASSALVTVEHGRQVLEKLITKMNMWHSYEGTWVLVKGCSGSSFYCGLHILLLVSTWKLAM
+>sp|Q92890|UFD1_HUMAN Ubiquitin recognition factor in ER-associated degradation protein 1 OS=Homo sapiens OX=9606 GN=UFD1 PE=1 SV=3
+MFSFNMFDHPIPRVFQNRFSTQYRCFSVSMLAGPNDRSDVEKGGKIIMPPSALDQLSRLNITYPMLFKLTNKNSDRMTHCGVLEFVADEGICYLPHWMMQNLLLEEGGLVQVESVNLQVATYSKFQPQSPDFLDITNPKAVLENALRNFACLTTGDVIAINYNEKIYELRVMETKPDKAVSIIECDMNVDFDAPLGYKEPERQVQHEESTEGEADHSGYAGELGFRAFSGSGNRLDGKKKGVEPSPSPIKPGDIKRGIPNYEFKLGKITFIRNSRPLVKKVEEDEAGGRFVAFSGEGQSLRKKGRKP
+>DECOY_sp|Q92890|UFD1_HUMAN Ubiquitin recognition factor in ER-associated degradation protein 1 OS=Homo sapiens OX=9606 GN=UFD1 PE=1 SV=3
+PKRGKKRLSQGEGSFAVFRGGAEDEEVKKVLPRSNRIFTIKGLKFEYNPIGRKIDGPKIPSPSPEVGKKKGDLRNGSGSFARFGLEGAYGSHDAEGETSEEHQVQREPEKYGLPADFDVNMDCEIISVAKDPKTEMVRLEYIKENYNIAIVDGTTLCAFNRLANELVAKPNTIDLFDPSQPQFKSYTAVQLNVSEVQVLGGEELLLNQMMWHPLYCIGEDAVFELVGCHTMRDSNKNTLKFLMPYTINLRSLQDLASPPMIIKGGKEVDSRDNPGALMSVSFCRYQTSFRNQFVRPIPHDFMNFSFM
+>sp|Q6NVU6|UFSP1_HUMAN Inactive Ufm1-specific protease 1 OS=Homo sapiens OX=9606 GN=UFSP1 PE=2 SV=2
+MGDKPPGFRGSRDWIGCVEASLCLAHFGGPQGRLCHVPRGVGLHGELERLYSHFAGGGGPVMVGGDADARSKALLGVCVGSGTEAYVLVLDPHYWGTPKSPSELQAAGWVGWQEVSAAFDPNSFYNLCLTSLSSQQQQRTLD
+>DECOY_sp|Q6NVU6|UFSP1_HUMAN Inactive Ufm1-specific protease 1 OS=Homo sapiens OX=9606 GN=UFSP1 PE=2 SV=2
+DLTRQQQQSSLSTLCLNYFSNPDFAASVEQWGVWGAAQLESPSKPTGWYHPDLVLVYAETGSGVCVGLLAKSRADADGGVMVPGGGGAFHSYLRELEGHLGVGRPVHCLRGQPGGFHALCLSAEVCGIWDRSGRFGPPKDGM
+>sp|A0JNW5|UH1BL_HUMAN UHRF1-binding protein 1-like OS=Homo sapiens OX=9606 GN=UHRF1BP1L PE=1 SV=2
+MAGIIKKQILKHLSRFTKNLSPDKINLSTLKGEGELKNLELDEEVLQNMLDLPTWLAINKVFCNKASIRIPWTKLKTHPICLSLDKVIMEMSTCEEPRSPNGPSPIATASGQSEYGFAEKVVEGISVSVNSIVIRIGAKAFNASFELSQLRIYSVNAHWEHGDLRFTRIQDPQRGEVLTFKEINWQMIRIEADATQSSHLEIMCAPVRLITNQSKIRVTLKRRLKDCNVIATKLVLILDDLLWVLTDSQLKAMVQYAKSLSEAIEKSTEQRKSMAPEPTQSSTVVASAQQVKTTQTSNAPDVNDAIVKLFNDFDVKETSHHLVISHLDLHICDDIHAKEKESNRRITGGAMQLSFTQLTIDYYPYHKAGDSCNHWMYFSDATKTKNGWANELLHEFECNVEMLKQAVKDHNVGSPPKSPTHASPQHTQTEKDYPLKGTCRTPSVLSQQSKAKLMSSSVVVRLADFNIYQVSTAEQCRSSPKSMICCNKKSLYLPQEMSAVYIEFTEYYYPDGKDFPIPSPNLYSQLNALQFTVDERSILWLNQFLLDLKQSLNQFMAVYKLNDNSKSDEHVDVRVDGLMLKFVIPSEVKSECHQDQPRAISIQSSEMIATNTRHCPNCRHSDLEALFQDFKDCDFFSKTYTSFPKSCDNFNLLHPIFQRHAHEQDTKMHEIYKGNITPQLNKNTLKTSAATDVWAVYFSQFWIDYEGMKSGKGRPISFVDSFPLSIWICQPTRYAESQKEPQTCNQVSLNTSQSESSDLAGRLKRKKLLKEYYSTESEPLTNGGQKPSSSDTFFRFSPSSSEADIHLLVHVHKHVSMQINHYQYLLLLFLHESLILLSENLRKDVEAVTGSPASQTSICIGILLRSAELALLLHPVDQANTLKSPVSESVSPVVPDYLPTENGDFLSSKRKQISRDINRIRSVTVNHMSDNRSMSVDLSHIPLKDPLLFKSASDTNLQKGISFMDYLSDKHLGKISEDESSGLVYKSGSGEIGSETSDKKDSFYTDSSSILNYREDSNILSFDSDGNQNILSSTLTSKGNETIESIFKAEDLLPEAASLSENLDISKEETPPVRTLKSQSSLSGKPKERCPPNLAPLCVSYKNMKRSSSQMSLDTISLDSMILEEQLLESDGSDSHMFLEKGNKKNSTTNYRGTAESVNAGANLQNYGETSPDAISTNSEGAQENHDDLMSVVVFKITGVNGEIDIRGEDTEICLQVNQVTPDQLGNISLRHYLCNRPVGSDQKAVIHSKSSPEISLRFESGPGAVIHSLLAEKNGFLQCHIENFSTEFLTSSLMNIQHFLEDETVATVMPMKIQVSNTKINLKDDSPRSSTVSLEPAPVTVHIDHLVVERSDDGSFHIRDSHMLNTGNDLKENVKSDSVLLTSGKYDLKKQRSVTQATQTSPGVPWPSQSANFPEFSFDFTREQLMEENESLKQELAKAKMALAEAHLEKDALLHHIKKMTVE
+>DECOY_sp|A0JNW5|UH1BL_HUMAN UHRF1-binding protein 1-like OS=Homo sapiens OX=9606 GN=UHRF1BP1L PE=1 SV=2
+EVTMKKIHHLLADKELHAEALAMKAKALEQKLSENEEMLQERTFDFSFEPFNASQSPWPVGPSTQTAQTVSRQKKLDYKGSTLLVSDSKVNEKLDNGTNLMHSDRIHFSGDDSREVVLHDIHVTVPAPELSVTSSRPSDDKLNIKTNSVQIKMPMVTAVTEDELFHQINMLSSTLFETSFNEIHCQLFGNKEALLSHIVAGPGSEFRLSIEPSSKSHIVAKQDSGVPRNCLYHRLSINGLQDPTVQNVQLCIETDEGRIDIEGNVGTIKFVVVSMLDDHNEQAGESNTSIADPSTEGYNQLNAGANVSEATGRYNTTSNKKNGKELFMHSDSGDSELLQEELIMSDLSITDLSMQSSSRKMNKYSVCLPALNPPCREKPKGSLSSQSKLTRVPPTEEKSIDLNESLSAAEPLLDEAKFISEITENGKSTLTSSLINQNGDSDFSLINSDERYNLISSSDTYFSDKKDSTESGIEGSGSKYVLGSSEDESIKGLHKDSLYDMFSIGKQLNTDSASKFLLPDKLPIHSLDVSMSRNDSMHNVTVSRIRNIDRSIQKRKSSLFDGNETPLYDPVVPSVSESVPSKLTNAQDVPHLLLALEASRLLIGICISTQSAPSGTVAEVDKRLNESLLILSEHLFLLLLYQYHNIQMSVHKHVHVLLHIDAESSSPSFRFFTDSSSPKQGGNTLPESETSYYEKLLKKRKLRGALDSSESQSTNLSVQNCTQPEKQSEAYRTPQCIWISLPFSDVFSIPRGKGSKMGEYDIWFQSFYVAWVDTAASTKLTNKNLQPTINGKYIEHMKTDQEHAHRQFIPHLLNFNDCSKPFSTYTKSFFDCDKFDQFLAELDSHRCNPCHRTNTAIMESSQISIARPQDQHCESKVESPIVFKLMLGDVRVDVHEDSKSNDNLKYVAMFQNLSQKLDLLFQNLWLISREDVTFQLANLQSYLNPSPIPFDKGDPYYYETFEIYVASMEQPLYLSKKNCCIMSKPSSRCQEATSVQYINFDALRVVVSSSMLKAKSQQSLVSPTRCTGKLPYDKETQTHQPSAHTPSKPPSGVNHDKVAQKLMEVNCEFEHLLENAWGNKTKTADSFYMWHNCSDGAKHYPYYDITLQTFSLQMAGGTIRRNSEKEKAHIDDCIHLDLHSIVLHHSTEKVDFDNFLKVIADNVDPANSTQTTKVQQASAVVTSSQTPEPAMSKRQETSKEIAESLSKAYQVMAKLQSDTLVWLLDDLILVLKTAIVNCDKLRRKLTVRIKSQNTILRVPACMIELHSSQTADAEIRIMQWNIEKFTLVEGRQPDQIRTFRLDGHEWHANVSYIRLQSLEFSANFAKAGIRIVISNVSVSIGEVVKEAFGYESQGSATAIPSPGNPSRPEECTSMEMIVKDLSLCIPHTKLKTWPIRISAKNCFVKNIALWTPLDLMNQLVEEDLELNKLEGEGKLTSLNIKDPSLNKTFRSLHKLIQKKIIGAM
+>sp|Q9BZM5|ULBP2_HUMAN UL16-binding protein 2 OS=Homo sapiens OX=9606 GN=ULBP2 PE=1 SV=1
+MAAAAATKILLCLPLLLLLSGWSRAGRADPHSLCYDITVIPKFRPGPRWCAVQGQVDEKTFLHYDCGNKTVTPVSPLGKKLNVTTAWKAQNPVLREVVDILTEQLRDIQLENYTPKEPLTLQARMSCEQKAEGHSSGSWQFSFDGQIFLLFDSEKRMWTTVHPGARKMKEKWENDKVVAMSFHYFSMGDCIGWLEDFLMGMDSTLEPSAGAPLAMSSGTTQLRATATTLILCCLLIILPCFILPGI
+>DECOY_sp|Q9BZM5|ULBP2_HUMAN UL16-binding protein 2 OS=Homo sapiens OX=9606 GN=ULBP2 PE=1 SV=1
+IGPLIFCPLIILLCCLILTTATARLQTTGSSMALPAGASPELTSDMGMLFDELWGICDGMSFYHFSMAVVKDNEWKEKMKRAGPHVTTWMRKESDFLLFIQGDFSFQWSGSSHGEAKQECSMRAQLTLPEKPTYNELQIDRLQETLIDVVERLVPNQAKWATTVNLKKGLPSVPTVTKNGCDYHLFTKEDVQGQVACWRPGPRFKPIVTIDYCLSHPDARGARSWGSLLLLLPLCLLIKTAAAAAM
+>sp|Q8N2C9|UMAS1_HUMAN Uncharacterized protein UMODL1-AS1 OS=Homo sapiens OX=9606 GN=UMODL1-AS1 PE=2 SV=3
+MAWGLPCHQNTAGANPHLFLGCYSTSSLQGLEYGGQRGDAHGKPGVLHGELEPHDHTSRLERHDLHSQLPTSVQVRHHWWEGALDLAKKRQQQTSINVFTTIKQGSRCDRWMVLGAISLLYNQEEAPDDRPLRARREVRSQHLSWAFPGTAGPGLVCAGDSQ
+>DECOY_sp|Q8N2C9|UMAS1_HUMAN Uncharacterized protein UMODL1-AS1 OS=Homo sapiens OX=9606 GN=UMODL1-AS1 PE=2 SV=3
+QSDGACVLGPGATGPFAWSLHQSRVERRARLPRDDPAEEQNYLLSIAGLVMWRDCRSGQKITTFVNISTQQQRKKALDLAGEWWHHRVQVSTPLQSHLDHRELRSTHDHPELEGHLVGPKGHADGRQGGYELGQLSSTSYCGLFLHPNAGATNQHCPLGWAM
+>sp|Q53HI1|UNC50_HUMAN Protein unc-50 homolog OS=Homo sapiens OX=9606 GN=UNC50 PE=1 SV=2
+MLPSTSVNSLVQGNGVLNSRDAARHTAGAKRYKYLRRLFRFRQMDFEFAAWQMLYLFTSPQRVYRNFHYRKQTKDQWARDDPAFLVLLSIWLCVSTIGFGFVLDMGFFETIKLLLWVVLIDCVGVGLLIATLMWFISNKYLVKRQSRDYDVEWGYAFDVHLNAFYPLLVILHFIQLFFINHVILTDTFIGYLVGNTLWLVAVGYYIYVTFLGYSALPFLKNTVILLYPFAPLILLYGLSLALGWNFTHTLCSFYKYRVK
+>DECOY_sp|Q53HI1|UNC50_HUMAN Protein unc-50 homolog OS=Homo sapiens OX=9606 GN=UNC50 PE=1 SV=2
+KVRYKYFSCLTHTFNWGLALSLGYLLILPAFPYLLIVTNKLFPLASYGLFTVYIYYGVAVLWLTNGVLYGIFTDTLIVHNIFFLQIFHLIVLLPYFANLHVDFAYGWEVDYDRSQRKVLYKNSIFWMLTAILLGVGVCDILVVWLLLKITEFFGMDLVFGFGITSVCLWISLLVLFAPDDRAWQDKTQKRYHFNRYVRQPSTFLYLMQWAAFEFDMQRFRFLRRLYKYRKAGATHRAADRSNLVGNGQVLSNVSTSPLM
+>sp|P13051|UNG_HUMAN Uracil-DNA glycosylase OS=Homo sapiens OX=9606 GN=UNG PE=1 SV=2
+MIGQKTLYSFFSPSPARKRHAPSPEPAVQGTGVAGVPEESGDAAAIPAKKAPAGQEEPGTPPSSPLSAEQLDRIQRNKAAALLRLAARNVPVGFGESWKKHLSGEFGKPYFIKLMGFVAEERKHYTVYPPPHQVFTWTQMCDIKDVKVVILGQDPYHGPNQAHGLCFSVQRPVPPPPSLENIYKELSTDIEDFVHPGHGDLSGWAKQGVLLLNAVLTVRAHQANSHKERGWEQFTDAVVSWLNQNSNGLVFLLWGSYAQKKGSAIDRKRHHVLQTAHPSPLSVYRGFFGCRHFSKTNELLQKSGKKPIDWKEL
+>DECOY_sp|P13051|UNG_HUMAN Uracil-DNA glycosylase OS=Homo sapiens OX=9606 GN=UNG PE=1 SV=2
+LEKWDIPKKGSKQLLENTKSFHRCGFFGRYVSLPSPHATQLVHHRKRDIASGKKQAYSGWLLFVLGNSNQNLWSVVADTFQEWGREKHSNAQHARVTLVANLLLVGQKAWGSLDGHGPHVFDEIDTSLEKYINELSPPPPVPRQVSFCLGHAQNPGHYPDQGLIVVKVDKIDCMQTWTFVQHPPPYVTYHKREEAVFGMLKIFYPKGFEGSLHKKWSEGFGVPVNRAALRLLAAAKNRQIRDLQEASLPSSPPTGPEEQGAPAKKAPIAAADGSEEPVGAVGTGQVAPEPSPAHRKRAPSPSFFSYLTKQGIM
+>sp|Q9C0B0|UNK_HUMAN RING finger protein unkempt homolog OS=Homo sapiens OX=9606 GN=UNK PE=1 SV=2
+MSKGPGPGGSAASSAPPAATAQVLQAQPEKPQHYTYLKEFRTEQCPLFVQHKCTQHRPYTCFHWHFVNQRRRRSIRRRDGTFNYSPDVYCTKYDEATGLCPEGDECPFLHRTTGDTERRYHLRYYKTGICIHETDSKGNCTKNGLHCAFAHGPHDLRSPVYDIRELQAMEALQNGQTTVEGSIEGQSAGAASHAMIEKILSEEPRWQETAYVLGNYKTEPCKKPPRLCRQGYACPYYHNSKDRRRSPRKHKYRSSPCPNVKHGDEWGDPGKCENGDACQYCHTRTEQQFHPEIYKSTKCNDMQQSGSCPRGPFCAFAHVEQPPLSDDLQPSSAVSSPTQPGPVLYMPSAAGDSVPVSPSSPHAPDLSALLCRNSSLGSPSNLCGSPPGSIRKPPNLEGIVFPGESGLAPGSYKKAPGFEREDQVGAEYLKNFKCQAKLKPHSLEPRSQEQPLLQPKQDMLGILPAGSPLTSSISSSITSSLAATPPSPVGTSSVPGMNANALPFYPTSDTVESVIESALDDLDLNEFGVAALEKTFDNSTVPHPGSITIGGSLLQSSAPVNIPGSLGSSASFHSASPSPPVSLSSHFLQQPQGHLSQSENTFLGTSASHGSLGLNGMNSSIWEHFASGSFSPGTSPAFLSGPGAAELARLRQELDEANSTIKQWEESWKQAKQACDAWKKEAEEAGERASAAGAECELAREQRDALEVQVKKLQEELERLHAGPEPQALPAFSDLEALSLSTLYSLQKQLRAHLEQVDKAVFHMQSVKCLKCQEQKRAVLPCQHAALCELCAEGSECPICQPGRAHTLQS
+>DECOY_sp|Q9C0B0|UNK_HUMAN RING finger protein unkempt homolog OS=Homo sapiens OX=9606 GN=UNK PE=1 SV=2
+SQLTHARGPQCIPCESGEACLECLAAHQCPLVARKQEQCKLCKVSQMHFVAKDVQELHARLQKQLSYLTSLSLAELDSFAPLAQPEPGAHLRELEEQLKKVQVELADRQERALECEAGAASAREGAEEAEKKWADCAQKAQKWSEEWQKITSNAEDLEQRLRALEAAGPGSLFAPSTGPSFSGSAFHEWISSNMGNLGLSGHSASTGLFTNESQSLHGQPQQLFHSSLSVPPSPSASHFSASSGLSGPINVPASSQLLSGGITISGPHPVTSNDFTKELAAVGFENLDLDDLASEIVSEVTDSTPYFPLANANMGPVSSTGVPSPPTAALSSTISSSISSTLPSGAPLIGLMDQKPQLLPQEQSRPELSHPKLKAQCKFNKLYEAGVQDEREFGPAKKYSGPALGSEGPFVIGELNPPKRISGPPSGCLNSPSGLSSNRCLLASLDPAHPSSPSVPVSDGAASPMYLVPGPQTPSSVASSPQLDDSLPPQEVHAFACFPGRPCSGSQQMDNCKTSKYIEPHFQQETRTHCYQCADGNECKGPDGWEDGHKVNPCPSSRYKHKRPSRRRDKSNHYYPCAYGQRCLRPPKKCPETKYNGLVYATEQWRPEESLIKEIMAHSAAGASQGEISGEVTTQGNQLAEMAQLERIDYVPSRLDHPGHAFACHLGNKTCNGKSDTEHICIGTKYYRLHYRRETDGTTRHLFPCEDGEPCLGTAEDYKTCYVDPSYNFTGDRRRISRRRRQNVFHWHFCTYPRHQTCKHQVFLPCQETRFEKLYTYHQPKEPQAQLVQATAAPPASSAASGGPGPGKSM
+>sp|O00322|UPK1A_HUMAN Uroplakin-1a OS=Homo sapiens OX=9606 GN=UPK1A PE=1 SV=1
+MASAAAAEAEKGSPVVVGLLVVGNIIILLSGLSLFAETIWVTADQYRVYPLMGVSGKDDVFAGAWIAIFCGFSFFMVASFGVGAALCRRRSMVLTYLVLMLIVYIFECASCITSYTHRDYMVSNPSLITKQMLTFYSADTDQGQELTRLWDRVMIEQECCGTSGPMDWVNFTSAFRAATPEVVFPWPPLCCRRTGNFIPLNEEGCRLGHMDYLFTKGCFEHIGHAIDSYTWGISWFGFAILMWTLPVMLIAMYFYTML
+>DECOY_sp|O00322|UPK1A_HUMAN Uroplakin-1a OS=Homo sapiens OX=9606 GN=UPK1A PE=1 SV=1
+LMTYFYMAILMVPLTWMLIAFGFWSIGWTYSDIAHGIHEFCGKTFLYDMHGLRCGEENLPIFNGTRRCCLPPWPFVVEPTAARFASTFNVWDMPGSTGCCEQEIMVRDWLRTLEQGQDTDASYFTLMQKTILSPNSVMYDRHTYSTICSACEFIYVILMLVLYTLVMSRRRCLAAGVGFSAVMFFSFGCFIAIWAGAFVDDKGSVGMLPYVRYQDATVWITEAFLSLGSLLIIINGVVLLGVVVPSGKEAEAAAASAM
+>sp|O00526|UPK2_HUMAN Uroplakin-2 OS=Homo sapiens OX=9606 GN=UPK2 PE=1 SV=2
+MAPLLPIRTLPLILILLALLSPGAADFNISSLSGLLSPALTESLLVALPPCHLTGGNATLMVRRANDSKVVTSSFVVPPCRGRRELVSVVDSGAGFTVTRLSAYQVTNLVPGTKFYISYLVKKGTATESSREIPMSTLPRRNMESIGLGMARTGGMVVITVLLSVAMFLLVLGFIIALALGSRK
+>DECOY_sp|O00526|UPK2_HUMAN Uroplakin-2 OS=Homo sapiens OX=9606 GN=UPK2 PE=1 SV=2
+KRSGLALAIIFGLVLLFMAVSLLVTIVVMGGTRAMGLGISEMNRRPLTSMPIERSSETATGKKVLYSIYFKTGPVLNTVQYASLRTVTFGAGSDVVSVLERRGRCPPVVFSSTVVKSDNARRVMLTANGGTLHCPPLAVLLSETLAPSLLGSLSSINFDAAGPSLLALLILILPLTRIPLLPAM
+>sp|E5RIL1|UPKL2_HUMAN Uroplakin-3b-like protein 2 OS=Homo sapiens OX=9606 GN=UPK3BL2 PE=3 SV=2
+MDNSWRLGPAIGLSAGQSQLLVSLLLLLTRVQPGTDVAAPEHISYVPQLSNDTLAGRLTLSTFTLEQPLGQFSSHNISDLDTIWLVVALSNATQSFTAPRTNQDIPAPANFSQRGYYLTLRANRVLYQTRGQLHVLRVGNDTHCQPTKIGCNHPLPGPGPYRVKFLVMNDEGPVAETKWSSDTRLQQAQALRAVPGPQSPGTVVIIAILSILLAVLLTVLLAVLIYTCFNSCRSTSLSGPEEAGSVRRYTTHLAFSTPAEGAS
+>DECOY_sp|E5RIL1|UPKL2_HUMAN Uroplakin-3b-like protein 2 OS=Homo sapiens OX=9606 GN=UPK3BL2 PE=3 SV=2
+SAGEAPTSFALHTTYRRVSGAEEPGSLSTSRCSNFCTYILVALLVTLLVALLISLIAIIVVTGPSQPGPVARLAQAQQLRTDSSWKTEAVPGEDNMVLFKVRYPGPGPLPHNCGIKTPQCHTDNGVRLVHLQGRTQYLVRNARLTLYYGRQSFNAPAPIDQNTRPATFSQTANSLAVVLWITDLDSINHSSFQGLPQELTFTSLTLRGALTDNSLQPVYSIHEPAAVDTGPQVRTLLLLLSVLLQSQGASLGIAPGLRWSNDM
+>sp|Q86UX7|URP2_HUMAN Fermitin family homolog 3 OS=Homo sapiens OX=9606 GN=FERMT3 PE=1 SV=1
+MAGMKTASGDYIDSSWELRVFVGEEDPEAESVTLRVTGESHIGGVLLKIVEQINRKQDWSDHAIWWEQKRQWLLQTHWTLDKYGILADARLFFGPQHRPVILRLPNRRALRLRASFSQPLFQAVAAICRLLSIRHPEELSLLRAPEKKEKKKKEKEPEEELYDLSKVVLAGGVAPALFRGMPAHFSDSAQTEACYHMLSRPQPPPDPLLLQRLPRPSSLSDKTQLHSRWLDSSRCLMQQGIKAGDALWLRFKYYSFFDLDPKTDPVRLTQLYEQARWDLLLEEIDCTEEEMMVFAALQYHINKLSQSGEVGEPAGTDPGLDDLDVALSNLEVKLEGSAPTDVLDSLTTIPELKDHLRIFRIPRRPRKLTLKGYRQHWVVFKETTLSYYKSQDEAPGDPIQQLNLKGCEVVPDVNVSGQKFCIKLLVPSPEGMSEIYLRCQDEQQYARWMAGCRLASKGRTMADSSYTSEVQAILAFLSLQRTGSGGPGNHPHGPDASAEGLNPYGLVAPRFQRKFKAKQLTPRILEAHQNVAQLSLAEAQLRFIQAWQSLPDFGISYVMVRFKGSRKDEILGIANNRLIRIDLAVGDVVKTWRFSNMRQWNVNWDIRQVAIEFDEHINVAFSCVSASCRIVHEYIGGYIFLSTRERARGEELDEDLFLQLTGGHEAF
+>DECOY_sp|Q86UX7|URP2_HUMAN Fermitin family homolog 3 OS=Homo sapiens OX=9606 GN=FERMT3 PE=1 SV=1
+FAEHGGTLQLFLDEDLEEGRARERTSLFIYGGIYEHVIRCSASVCSFAVNIHEDFEIAVQRIDWNVNWQRMNSFRWTKVVDGVALDIRILRNNAIGLIEDKRSGKFRVMVYSIGFDPLSQWAQIFRLQAEALSLQAVNQHAELIRPTLQKAKFKRQFRPAVLGYPNLGEASADPGHPHNGPGGSGTRQLSLFALIAQVESTYSSDAMTRGKSALRCGAMWRAYQQEDQCRLYIESMGEPSPVLLKICFKQGSVNVDPVVECGKLNLQQIPDGPAEDQSKYYSLTTEKFVVWHQRYGKLTLKRPRRPIRFIRLHDKLEPITTLSDLVDTPASGELKVELNSLAVDLDDLGPDTGAPEGVEGSQSLKNIHYQLAAFVMMEEETCDIEELLLDWRAQEYLQTLRVPDTKPDLDFFSYYKFRLWLADGAKIGQQMLCRSSDLWRSHLQTKDSLSSPRPLRQLLLPDPPPQPRSLMHYCAETQASDSFHAPMGRFLAPAVGGALVVKSLDYLEEEPEKEKKKKEKKEPARLLSLEEPHRISLLRCIAAVAQFLPQSFSARLRLARRNPLRLIVPRHQPGFFLRADALIGYKDLTWHTQLLWQRKQEWWIAHDSWDQKRNIQEVIKLLVGGIHSEGTVRLTVSEAEPDEEGVFVRLEWSSDIYDGSATKMGAM
+>sp|Q92738|US6NL_HUMAN USP6 N-terminal-like protein OS=Homo sapiens OX=9606 GN=USP6NL PE=1 SV=3
+MNSDQDVALKLAQERAEIVAKYDRGREGAEIEPWEDADYLVYKVTDRFGFLHEEELPDHNVAVERQKHLEIERTTKWLKMLKGWEKYKNTEKFHRRIYKGIPLQLRGEVWALLLEIPKMKEETRDLYSKLKHRARGCSPDIRQIDLDVNRTFRDHIMFRDRYGVKQQSLFHVLAAYSIYNTEVGYCQGMSQITALLLMYMNEEDAFWALVKLFSGPKHAMHGFFVQGFPKLLRFQEHHEKILNKFLSKLKQHLDSQEIYTSFYTMKWFFQCFLDRTPFTLNLRIWDIYIFEGERVLTAMSYTILKLHKKHLMKLSMEELVEFFQETLAKDFFFEDDFVIEQLQISMTELKRAKLDLPEPGKEDEYPKKPLGQLPPELQSWGVHHLSNGQRSVGRPSPLASGRRESGAPHRRHEHSPHPQSRTGTPERAQPPRRKSVEEESKKLKDEADFQRKLPSGPQDSSRQYNHAAANQNSNATSNIRKEFVPKWNKPSDVSATERTAKYTMEGKGRAAHPALAVTVPGPAEVRVSNVRPKMKALDAEDGKRGSTASQYDNVPGPELDSGASVEEALERAYSQSPRHALYPPSPRKHAEPSSSPSKVSNKFTFKVQPPSHARYPSQLDGEARGLAHPPSYSNPPVYHGNSPKHFPTANSSFASPQFSPGTQLNPSRRPHGSTLSVSASPEKSYSRPSPLVLPSSRIEVLPVDTGAGGYSGNSGSPKNGKLIIPPVDYLPDNRTWSEVSYTYRPETQGQSWTRDASRGNLPKYSAFQLAPFQDHGLPAVSVDSPVRYKASPAAEDASPSGYPYSGPPPPAYHYRNRDGLSIQESVLL
+>DECOY_sp|Q92738|US6NL_HUMAN USP6 N-terminal-like protein OS=Homo sapiens OX=9606 GN=USP6NL PE=1 SV=3
+LLVSEQISLGDRNRYHYAPPPPGSYPYGSPSADEAAPSAKYRVPSDVSVAPLGHDQFPALQFASYKPLNGRSADRTWSQGQTEPRYTYSVESWTRNDPLYDVPPIILKGNKPSGSNGSYGGAGTDVPLVEIRSSPLVLPSPRSYSKEPSASVSLTSGHPRRSPNLQTGPSFQPSAFSSNATPFHKPSNGHYVPPNSYSPPHALGRAEGDLQSPYRAHSPPQVKFTFKNSVKSPSSSPEAHKRPSPPYLAHRPSQSYARELAEEVSAGSDLEPGPVNDYQSATSGRKGDEADLAKMKPRVNSVRVEAPGPVTVALAPHAARGKGEMTYKATRETASVDSPKNWKPVFEKRINSTANSNQNAAAHNYQRSSDQPGSPLKRQFDAEDKLKKSEEEVSKRRPPQAREPTGTRSQPHPSHEHRRHPAGSERRGSALPSPRGVSRQGNSLHHVGWSQLEPPLQGLPKKPYEDEKGPEPLDLKARKLETMSIQLQEIVFDDEFFFDKALTEQFFEVLEEMSLKMLHKKHLKLITYSMATLVREGEFIYIDWIRLNLTFPTRDLFCQFFWKMTYFSTYIEQSDLHQKLKSLFKNLIKEHHEQFRLLKPFGQVFFGHMAHKPGSFLKVLAWFADEENMYMLLLATIQSMGQCYGVETNYISYAALVHFLSQQKVGYRDRFMIHDRFTRNVDLDIQRIDPSCGRARHKLKSYLDRTEEKMKPIELLLAWVEGRLQLPIGKYIRRHFKETNKYKEWGKLMKLWKTTREIELHKQREVAVNHDPLEEEHLFGFRDTVKYVLYDADEWPEIEAGERGRDYKAVIEAREQALKLAVDQDSNM
+>sp|Q9NZ43|USE1_HUMAN Vesicle transport protein USE1 OS=Homo sapiens OX=9606 GN=USE1 PE=1 SV=2
+MAASRLELNLVRLLSRCEAMAAEKRDPDEWRLEKYVGALEDMLQALKVHASKPASEVINEYSWKVDFLKGMLQAEKLTSSSEKALANQFLAPGRVPTTARERVPATKTVHLQSRARYTSEMRSELLGTDSAEPEMDVRKRTGVAGSQPVSEKQLAAELDLVLQRHQNLQEKLAEEMLGLARSLKTNTLAAQSVIKKDNQTLSHSLKMADQNLEKLKTESERLEQHTQKSVNWLLWAMLIIVCFIFISMILFIRIMPKLK
+>DECOY_sp|Q9NZ43|USE1_HUMAN Vesicle transport protein USE1 OS=Homo sapiens OX=9606 GN=USE1 PE=1 SV=2
+KLKPMIRIFLIMSIFIFCVIILMAWLLWNVSKQTHQELRESETKLKELNQDAMKLSHSLTQNDKKIVSQAALTNTKLSRALGLMEEALKEQLNQHRQLVLDLEAALQKESVPQSGAVGTRKRVDMEPEASDTGLLESRMESTYRARSQLHVTKTAPVRERATTPVRGPALFQNALAKESSSTLKEAQLMGKLFDVKWSYENIVESAPKSAHVKLAQLMDELAGVYKELRWEDPDRKEAAMAECRSLLRVLNLELRSAAM
+>sp|Q15853|USF2_HUMAN Upstream stimulatory factor 2 OS=Homo sapiens OX=9606 GN=USF2 PE=1 SV=1
+MDMLDPGLDPAASATAAAAASHDKGPEAEEGVELQEGGDGPGAEEQTAVAITSVQQAAFGDHNIQYQFRTETNGGQVTYRVVQVTDGQLDGQGDTAGAVSVVSTAAFAGGQQAVTQVGVDGAAQRPGPAAASVPPGPAAPFPLAVIQNPFSNGGSPAAEAVSGEARFAYFPASSVGDTTAVSVQTTDQSLQAGGQFYVMMTPQDVLQTGTQRTIAPRTHPYSPKIDGTRTPRDERRRAQHNEVERRRRDKINNWIVQLSKIIPDCNADNSKTGASKGGILSKACDYIRELRQTNQRMQETFKEAERLQMDNELLRQQIEELKNENALLRAQLQQHNLEMVGEGTRQ
+>DECOY_sp|Q15853|USF2_HUMAN Upstream stimulatory factor 2 OS=Homo sapiens OX=9606 GN=USF2 PE=1 SV=1
+QRTGEGVMELNHQQLQARLLANENKLEEIQQRLLENDMQLREAEKFTEQMRQNTQRLERIYDCAKSLIGGKSAGTKSNDANCDPIIKSLQVIWNNIKDRRRREVENHQARRREDRPTRTGDIKPSYPHTRPAITRQTGTQLVDQPTMMVYFQGGAQLSQDTTQVSVATTDGVSSAPFYAFRAEGSVAEAAPSGGNSFPNQIVALPFPAAPGPPVSAAAPGPRQAAGDVGVQTVAQQGGAFAATSVVSVAGATDGQGDLQGDTVQVVRYTVQGGNTETRFQYQINHDGFAAQQVSTIAVATQEEAGPGDGGEQLEVGEEAEPGKDHSAAAAATASAAPDLGPDLMDM
+>sp|Q9BRU9|UTP23_HUMAN rRNA-processing protein UTP23 homolog OS=Homo sapiens OX=9606 GN=UTP23 PE=1 SV=2
+MKITRQKHAKKHLGFFRNNFGVREPYQILLDGTFCQAALRGRIQLREQLPRYLMGETQLCTTRCVLKELETLGKDLYGAKLIAQKCQVRNCPHFKNAVSGSECLLSMVEEGNPHHYFVATQDQNLSVKVKKKPGVPLMFIIQNTMVLDKPSPKTIAFVKAVESGQLVSVHEKESIKHLKEEQGLVKNTEQSRRKKRKKISGPNPLSCLKKKKKAPDTQSSASEKKRKRKRIRNRSNPKVLSEKQNAEGE
+>DECOY_sp|Q9BRU9|UTP23_HUMAN rRNA-processing protein UTP23 homolog OS=Homo sapiens OX=9606 GN=UTP23 PE=1 SV=2
+EGEANQKESLVKPNSRNRIRKRKRKKESASSQTDPAKKKKKLCSLPNPGSIKKRKKRRSQETNKVLGQEEKLHKISEKEHVSVLQGSEVAKVFAITKPSPKDLVMTNQIIFMLPVGPKKKVKVSLNQDQTAVFYHHPNGEEVMSLLCESGSVANKFHPCNRVQCKQAILKAGYLDKGLTELEKLVCRTTCLQTEGMLYRPLQERLQIRGRLAAQCFTGDLLIQYPERVGFNNRFFGLHKKAHKQRTIKM
+>sp|Q969X6|UTP4_HUMAN U3 small nucleolar RNA-associated protein 4 homolog OS=Homo sapiens OX=9606 GN=UTP4 PE=1 SV=1
+MGEFKVHRVRFFNYVPSGIRCVAYNNQSNRLAVSRTDGTVEIYNLSANYFQEKFFPGHESRATEALCWAEGQRLFSAGLNGEIMEYDLQALNIKYAMDAFGGPIWSMAASPSGSQLLVGCEDGSVKLFQITPDKIQFERNFDRQKSRILSLSWHPSGTHIAAGSIDYISVFDVKSGSAVHKMIVDRQYMGVSKRKCIVWGVAFLSDGTIISVDSAGKVQFWDSATGTLVKSHLIANADVQSIAVADQEDSFVVGTAEGTVFHFQLVPVTSNSSEKQWVRTKPFQHHTHDVRTVAHSPTALISGGTDTHLVFRPLMEKVEVKNYDAALRKITFPHRCLISCSKKRQLLLFQFAHHLELWRLGSTVATGKNGDTLPLSKNADHLLHLKTKGPENIICSCISPCGSWIAYSTVSRFFLYRLNYEHDNISLKRVSKMPAFLRSALQILFSEDSTKLFVASNQGALHIVQLSGGSFKHLHAFQPQSGTVEAMCLLAVSPDGNWLAASGTSAGVHVYNVKQLKLHCTVPAYNFPVTAMAIAPNTNNLVIAHSDQQVFEYSIPDKQYTDWSRTVQKQGFHHLWLQRDTPITHISFHPKRPMHILLHDAYMFCIIDKSLPLPNDKTLLYNPFPPTNESDVIRRRTAHAFKISKIYKPLLFMDLLDERTLVAVERPLDDIIAQLPPPIKKKKFGT
+>DECOY_sp|Q969X6|UTP4_HUMAN U3 small nucleolar RNA-associated protein 4 homolog OS=Homo sapiens OX=9606 GN=UTP4 PE=1 SV=1
+TGFKKKKIPPPLQAIIDDLPREVAVLTREDLLDMFLLPKYIKSIKFAHATRRRIVDSENTPPFPNYLLTKDNPLPLSKDIICFMYADHLLIHMPRKPHFSIHTIPTDRQLWLHHFGQKQVTRSWDTYQKDPISYEFVQQDSHAIVLNNTNPAIAMATVPFNYAPVTCHLKLQKVNYVHVGASTGSAALWNGDPSVALLCMAEVTGSQPQFAHLHKFSGGSLQVIHLAGQNSAVFLKTSDESFLIQLASRLFAPMKSVRKLSINDHEYNLRYLFFRSVTSYAIWSGCPSICSCIINEPGKTKLHLLHDANKSLPLTDGNKGTAVTSGLRWLELHHAFQFLLLQRKKSCSILCRHPFTIKRLAADYNKVEVKEMLPRFVLHTDTGGSILATPSHAVTRVDHTHHQFPKTRVWQKESSNSTVPVLQFHFVTGEATGVVFSDEQDAVAISQVDANAILHSKVLTGTASDWFQVKGASDVSIITGDSLFAVGWVICKRKSVGMYQRDVIMKHVASGSKVDFVSIYDISGAAIHTGSPHWSLSLIRSKQRDFNREFQIKDPTIQFLKVSGDECGVLLQSGSPSAAMSWIPGGFADMAYKINLAQLDYEMIEGNLGASFLRQGEAWCLAETARSEHGPFFKEQFYNASLNYIEVTGDTRSVALRNSQNNYAVCRIGSPVYNFFRVRHVKFEGM
+>sp|Q765I0|UTS2B_HUMAN Urotensin-2B OS=Homo sapiens OX=9606 GN=UTS2B PE=3 SV=2
+MNKILSSTVCFGLLTLLSVLSFLQSVHGRPYLTQGNEIFPDKKYTNREELLLALLNKNFDFQRPFNTDLALPNKLEELNQLEKLKEQLVEEKDSETSYAVDGLFSSHPSKRACFWKYCV
+>DECOY_sp|Q765I0|UTS2B_HUMAN Urotensin-2B OS=Homo sapiens OX=9606 GN=UTS2B PE=3 SV=2
+VCYKWFCARKSPHSSFLGDVAYSTESDKEEVLQEKLKELQNLEELKNPLALDTNFPRQFDFNKNLLALLLEERNTYKKDPFIENGQTLYPRGHVSQLFSLVSLLTLLGFCVTSSLIKNM
+>sp|Q86WB7|UN93A_HUMAN Protein unc-93 homolog A OS=Homo sapiens OX=9606 GN=UNC93A PE=2 SV=1
+MDRSLRNVLVVSFGFLLLFTAYGGLQSLQSSLYSEEGLGVTALSTLYGGMLLSSMFLPPLLIERLGCKGTIILSMCGYVAFSVGNFFASWYTLIPTSILLGLGAAPLWSAQCTYLTITGNTHAEKAGKRGKDMVNQYFGIFFLIFQSSGVWGNLISSLVFGQTPSQETLPEEQLTSCGASDCLMATTTTNSTQRPSQQLVYTLLGIYTGSGVLAVLMIAAFLQPIRDVQRESEGEKKSVPFWSTLLSTFKLYRDKRLCLLILLPLYSGLQQGFLSSEYTRSYVTCTLGIQFVGYVMICFSATDALCSVLYGKVSQYTGRAVLYVLGAVTHVSCMIALLLWRPRADHLAVFFVFSGLWGVADAVWQTQNNALYGVLFEKSKEAAFANYRLWEALGFVIAFGYSMFLCVHVKLYILLGVLSLTMVAYGLVECVESKNPIRPHAPGQVNQAEDEEIQTKM
+>DECOY_sp|Q86WB7|UN93A_HUMAN Protein unc-93 homolog A OS=Homo sapiens OX=9606 GN=UNC93A PE=2 SV=1
+MKTQIEEDEAQNVQGPAHPRIPNKSEVCEVLGYAVMTLSLVGLLIYLKVHVCLFMSYGFAIVFGLAEWLRYNAFAAEKSKEFLVGYLANNQTQWVADAVGWLGSFVFFVALHDARPRWLLLAIMCSVHTVAGLVYLVARGTYQSVKGYLVSCLADTASFCIMVYGVFQIGLTCTVYSRTYESSLFGQQLGSYLPLLILLCLRKDRYLKFTSLLTSWFPVSKKEGESERQVDRIPQLFAAIMLVALVGSGTYIGLLTYVLQQSPRQTSNTTTTAMLCDSAGCSTLQEEPLTEQSPTQGFVLSSILNGWVGSSQFILFFIGFYQNVMDKGRKGAKEAHTNGTITLYTCQASWLPAAGLGLLISTPILTYWSAFFNGVSFAVYGCMSLIITGKCGLREILLPPLFMSSLLMGGYLTSLATVGLGEESYLSSQLSQLGGYATFLLLFGFSVVLVNRLSRDM
+>sp|Q9H1C4|UN93B_HUMAN Protein unc-93 homolog B1 OS=Homo sapiens OX=9606 GN=UNC93B1 PE=1 SV=2
+MEAEPPLYPMAGAAGPQGDEDLLGVPDGPEAPLDELVGAYPNYNEEEEERRYYRRKRLGVLKNVLAASAGGMLTYGVYLGLLQMQLILHYDETYREVKYGNMGLPDIDSKMLMGINVTPIAALLYTPVLIRFFGTKWMMFLAVGIYALFVSTNYWERYYTLVPSAVALGMAIVPLWASMGNYITRMAQKYHEYSHYKEQDGQGMKQRPPRGSHAPYLLVFQAIFYSFFHLSFACAQLPMIYFLNHYLYDLNHTLYNVQSCGTNSHGILSGFNKTVLRTLPRSGNLIVVESVLMAVAFLAMLLVLGLCGAAYRPTEEIDLRSVGWGNIFQLPFKHVRDYRLRHLVPFFIYSGFEVLFACTGIALGYGVCSVGLERLAYLLVAYSLGASAASLLGLLGLWLPRPVPLVAGAGVHLLLTFILFFWAPVPRVLQHSWILYVAAALWGVGSALNKTGLSTLLGILYEDKERQDFIFTIYHWWQAVAIFTVYLGSSLHMKAKLAVLLVTLVAAAVSYLRMEQKLRRGVAPRQPRIPRPQHKVRGYRYLEEDNSDESDAEGEHGDGAEEEAPPAGPRPGPEPAGLGRRPCPYEQAQGGDGPEEQ
+>DECOY_sp|Q9H1C4|UN93B_HUMAN Protein unc-93 homolog B1 OS=Homo sapiens OX=9606 GN=UNC93B1 PE=1 SV=2
+QEEPGDGGQAQEYPCPRRGLGAPEPGPRPGAPPAEEEAGDGHEGEADSEDSNDEELYRYGRVKHQPRPIRPQRPAVGRRLKQEMRLYSVAAAVLTVLLVALKAKMHLSSGLYVTFIAVAQWWHYITFIFDQREKDEYLIGLLTSLGTKNLASGVGWLAAAVYLIWSHQLVRPVPAWFFLIFTLLLHVGAGAVLPVPRPLWLGLLGLLSAASAGLSYAVLLYALRELGVSCVGYGLAIGTCAFLVEFGSYIFFPVLHRLRYDRVHKFPLQFINGWGVSRLDIEETPRYAAGCLGLVLLMALFAVAMLVSEVVILNGSRPLTRLVTKNFGSLIGHSNTGCSQVNYLTHNLDYLYHNLFYIMPLQACAFSLHFFSYFIAQFVLLYPAHSGRPPRQKMGQGDQEKYHSYEHYKQAMRTIYNGMSAWLPVIAMGLAVASPVLTYYREWYNTSVFLAYIGVALFMMWKTGFFRILVPTYLLAAIPTVNIGMLMKSDIDPLGMNGYKVERYTEDYHLILQMQLLGLYVGYTLMGGASAALVNKLVGLRKRRYYRREEEEENYNPYAGVLEDLPAEPGDPVGLLDEDGQPGAAGAMPYLPPEAEM
+>sp|A6NJT0|UNC4_HUMAN Homeobox protein unc-4 homolog OS=Homo sapiens OX=9606 GN=UNCX PE=3 SV=1
+MMDGRLLEHPHAQFGGSLGGVVGFPYPLGHHHVYELAGHQLQSAAAAASVPFSIDGLLGGSCAAAASVVNPTPLLPAACGVGGDGQPFKLSDSGDPDKESPGCKRRRTRTNFTGWQLEELEKAFNESHYPDVFMREALALRLDLVESRVQVWFQNRRAKWRKKENTKKGPGRPAHNSHPTTCSGEPMDPEEIARKELEKMEKKKRKHEKKLLKSQGRHLHSPGGLSLHSAPSSDSDSGGGGLSPEPPEPPPPAAKGPGAHASGAAGTAPAPPGEPPAPGTCDPAFYPSQRSGAGPQPRPGRPADKDAASCGPGAAVAAVERGAAGLPKASPFSVESLLSDSPPRRKAASNAAAAAAAGLDFAPGLPCAPRTLIGKGHFLLYPITQPLGFLVPQAALKGGAGLEPAPKDAPPAPAVPPAPPAQASFGAFSGPGGAPDSAFARRSPDAVASPGAPAPAPAPFRDLASAAATEGGGGDCADAGTAGPAPPPPAPSPRPGPRPPSPAEEPATCGVPEPGAAAGPSPPEGEELDMD
+>DECOY_sp|A6NJT0|UNC4_HUMAN Homeobox protein unc-4 homolog OS=Homo sapiens OX=9606 GN=UNCX PE=3 SV=1
+DMDLEEGEPPSPGAAAGPEPVGCTAPEEAPSPPRPGPRPSPAPPPPAPGATGADACDGGGGETAAASALDRFPAPAPAPAGPSAVADPSRRAFASDPAGGPGSFAGFSAQAPPAPPVAPAPPADKPAPELGAGGKLAAQPVLFGLPQTIPYLLFHGKGILTRPACPLGPAFDLGAAAAAAANSAAKRRPPSDSLLSEVSFPSAKPLGAAGREVAAVAAGPGCSAADKDAPRGPRPQPGAGSRQSPYFAPDCTGPAPPEGPPAPATGAAGSAHAGPGKAAPPPPEPPEPSLGGGGSDSDSSPASHLSLGGPSHLHRGQSKLLKKEHKRKKKEMKELEKRAIEEPDMPEGSCTTPHSNHAPRGPGKKTNEKKRWKARRNQFWVQVRSEVLDLRLALAERMFVDPYHSENFAKELEELQWGTFNTRTRRRKCGPSEKDPDGSDSLKFPQGDGGVGCAAPLLPTPNVVSAAAACSGGLLGDISFPVSAAAAASQLQHGALEYVHHHGLPYPFGVVGGLSGGFQAHPHELLRGDMM
+>sp|Q8IZJ1|UNC5B_HUMAN Netrin receptor UNC5B OS=Homo sapiens OX=9606 GN=UNC5B PE=1 SV=2
+MGARSGARGALLLALLLCWDPRLSQAGTDSGSEVLPDSFPSAPAEPLPYFLQEPQDAYIVKNKPVELRCRAFPATQIYFKCNGEWVSQNDHVTQEGLDEATGLRVREVQIEVSRQQVEELFGLEDYWCQCVAWSSAGTTKSRRAYVRIAYLRKNFDQEPLGKEVPLDHEVLLQCRPPEGVPVAEVEWLKNEDVIDPTQDTNFLLTIDHNLIIRQARLSDTANYTCVAKNIVAKRRSTTATVIVYVNGGWSSWAEWSPCSNRCGRGWQKRTRTCTNPAPLNGGAFCEGQAFQKTACTTICPVDGAWTEWSKWSACSTECAHWRSRECMAPPPQNGGRDCSGTLLDSKNCTDGLCMQNKKTLSDPNSHLLEASGDAALYAGLVVAIFVVVAILMAVGVVVYRRNCRDFDTDITDSSAALTGGFHPVNFKTARPSNPQLLHPSVPPDLTASAGIYRGPVYALQDSTDKIPMTNSPLLDPLPSLKVKVYSSSTTGSGPGLADGADLLGVLPPGTYPSDFARDTHFLHLRSASLGSQQLLGLPRDPGSSVSGTFGCLGGRLSIPGTGVSLLVPNGAIPQGKFYEMYLLINKAESTLPLSEGTQTVLSPSVTCGPTGLLLCRPVILTMPHCAEVSARDWIFQLKTQAHQGHWEEVVTLDEETLNTPCYCQLEPRACHILLDQLGTYVFTGESYSRSAVKRLQLAVFAPALCTSLEYSLRVYCLEDTPVALKEVLELERTLGGYLVEEPKPLMFKDSYHNLRLSLHDLPHAHWRSKLLAKYQEIPFYHIWSGSQKALHCTFTLERHSLASTELTCKICVRQVEGEGQIFQLHTTLAETPAGSLDTLCSAPGSTVTTQLGPYAFKIPLSIRQKICNSLDAPNSRGNDWRMLAQKLSMDRYLNYFATKASPTGVILDLWEALQQDDGDLNSLASALEEMGKSEMLVAVATDGDC
+>DECOY_sp|Q8IZJ1|UNC5B_HUMAN Netrin receptor UNC5B OS=Homo sapiens OX=9606 GN=UNC5B PE=1 SV=2
+CDGDTAVAVLMESKGMEELASALSNLDGDDQQLAEWLDLIVGTPSAKTAFYNLYRDMSLKQALMRWDNGRSNPADLSNCIKQRISLPIKFAYPGLQTTVTSGPASCLTDLSGAPTEALTTHLQFIQGEGEVQRVCIKCTLETSALSHRELTFTCHLAKQSGSWIHYFPIEQYKALLKSRWHAHPLDHLSLRLNHYSDKFMLPKPEEVLYGGLTRELELVEKLAVPTDELCYVRLSYELSTCLAPAFVALQLRKVASRSYSEGTFVYTGLQDLLIHCARPELQCYCPTNLTEEDLTVVEEWHGQHAQTKLQFIWDRASVEACHPMTLIVPRCLLLGTPGCTVSPSLVTQTGESLPLTSEAKNILLYMEYFKGQPIAGNPVLLSVGTGPISLRGGLCGFTGSVSSGPDRPLGLLQQSGLSASRLHLFHTDRAFDSPYTGPPLVGLLDAGDALGPGSGTTSSSYVKVKLSPLPDLLPSNTMPIKDTSDQLAYVPGRYIGASATLDPPVSPHLLQPNSPRATKFNVPHFGGTLAASSDTIDTDFDRCNRRYVVVGVAMLIAVVVFIAVVLGAYLAADGSAELLHSNPDSLTKKNQMCLGDTCNKSDLLTGSCDRGGNQPPPAMCERSRWHACETSCASWKSWETWAGDVPCITTCATKQFAQGECFAGGNLPAPNTCTRTRKQWGRGCRNSCPSWEAWSSWGGNVYVIVTATTSRRKAVINKAVCTYNATDSLRAQRIILNHDITLLFNTDQTPDIVDENKLWEVEAVPVGEPPRCQLLVEHDLPVEKGLPEQDFNKRLYAIRVYARRSKTTGASSWAVCQCWYDELGFLEEVQQRSVEIQVERVRLGTAEDLGEQTVHDNQSVWEGNCKFYIQTAPFARCRLEVPKNKVIYADQPEQLFYPLPEAPASPFSDPLVESGSDTGAQSLRPDWCLLLALLLAGRAGSRAGM
+>sp|Q6UXZ4|UNC5D_HUMAN Netrin receptor UNC5D OS=Homo sapiens OX=9606 GN=UNC5D PE=1 SV=1
+MGRAAATAGGGGGARRWLPWLGLCFWAAGTAAARGTDNGEALPESIPSAPGTLPHFIEEPDDAYIIKSNPIALRCKARPAMQIFFKCNGEWVHQNEHVSEETLDESSGLKVREVFINVTRQQVEDFHGPEDYWCQCVAWSHLGTSKSRKASVRIAYLRKNFEQDPQGREVPIEGMIVLHCRPPEGVPAAEVEWLKNEEPIDSEQDENIDTRADHNLIIRQARLSDSGNYTCMAANIVAKRRSLSATVVVYVNGGWSSWTEWSACNVRCGRGWQKRSRTCTNPAPLNGGAFCEGMSVQKITCTSLCPVDGSWEVWSEWSVCSPECEHLRIRECTAPPPRNGGKFCEGLSQESENCTDGLCILDKKPLHEIKPQSIENASDIALYSGLGAAVVAVAVLVIGVTLYRRSQSDYGVDVIDSSALTGGFQTFNFKTVRQGNSLLLNSAMQPDLTVSRTYSGPICLQDPLDKELMTESSLFNPLSDIKVKVQSSFMVSLGVSERAEYHGKNHSRTFPHGNNHSFSTMHPRNKMPYIQNLSSLPTRTELRTTGVFGHLGGRLVMPNTGVSLLIPHGAIPEENSWEIYMSINQGEPSLQSDGSEVLLSPEVTCGPPDMIVTTPFALTIPHCADVSSEHWNIHLKKRTQQGKWEEVMSVEDESTSCYCLLDPFACHVLLDSFGTYALTGEPITDCAVKQLKVAVFGCMSCNSLDYNLRVYCVDNTPCAFQEVVSDERHQGGQLLEEPKLLHFKGNTFSLQISVLDIPPFLWRIKPFTACQEVPFSRVWCSNRQPLHCAFSLERYTPTTTQLSCKICIRQLKGHEQILQVQTSILESERETITFFAQEDSTFPAQTGPKAFKIPYSIRQRICATFDTPNAKGKDWQMLAQKNSINRNLSYFATQSSPSAVILNLWEARHQHDGDLDSLACALEEIGRTHTKLSNISESQLDEADFNYSRQNGL
+>DECOY_sp|Q6UXZ4|UNC5D_HUMAN Netrin receptor UNC5D OS=Homo sapiens OX=9606 GN=UNC5D PE=1 SV=1
+LGNQRSYNFDAEDLQSESINSLKTHTRGIEELACALSDLDGDHQHRAEWLNLIVASPSSQTAFYSLNRNISNKQALMQWDKGKANPTDFTACIRQRISYPIKFAKPGTQAPFTSDEQAFFTITERESELISTQVQLIQEHGKLQRICIKCSLQTTTPTYRELSFACHLPQRNSCWVRSFPVEQCATFPKIRWLFPPIDLVSIQLSFTNGKFHLLKPEELLQGGQHREDSVVEQFACPTNDVCYVRLNYDLSNCSMCGFVAVKLQKVACDTIPEGTLAYTGFSDLLVHCAFPDLLCYCSTSEDEVSMVEEWKGQQTRKKLHINWHESSVDACHPITLAFPTTVIMDPPGCTVEPSLLVESGDSQLSPEGQNISMYIEWSNEEPIAGHPILLSVGTNPMVLRGGLHGFVGTTRLETRTPLSSLNQIYPMKNRPHMTSFSHNNGHPFTRSHNKGHYEARESVGLSVMFSSQVKVKIDSLPNFLSSETMLEKDLPDQLCIPGSYTRSVTLDPQMASNLLLSNGQRVTKFNFTQFGGTLASSDIVDVGYDSQSRRYLTVGIVLVAVAVVAAGLGSYLAIDSANEISQPKIEHLPKKDLICLGDTCNESEQSLGECFKGGNRPPPATCERIRLHECEPSCVSWESWVEWSGDVPCLSTCTIKQVSMGECFAGGNLPAPNTCTRSRKQWGRGCRVNCASWETWSSWGGNVYVVVTASLSRRKAVINAAMCTYNGSDSLRAQRIILNHDARTDINEDQESDIPEENKLWEVEAAPVGEPPRCHLVIMGEIPVERGQPDQEFNKRLYAIRVSAKRSKSTGLHSWAVCQCWYDEPGHFDEVQQRTVNIFVERVKLGSSEDLTEESVHENQHVWEGNCKFFIQMAPRAKCRLAIPNSKIIYADDPEEIFHPLTGPASPISEPLAEGNDTGRAAATGAAWFCLGLWPLWRRAGGGGGATAAARGM
+>sp|Q9P2D8|UNC79_HUMAN Protein unc-79 homolog OS=Homo sapiens OX=9606 GN=UNC79 PE=2 SV=4
+MSTKAEQFASKIRYLQEYHNRVLHNIYPVPSGTDIANTLKYFSQTLLSILSRTGKKENQDASNLTVPMTMCLFPVPFPLTPSLRPQVSSINPTVTRSLLYSVLRDAPSERGPQSRDAQLSDYPSLDYQGLYVTLVTLLDLVPLLQHGQHDLGQSIFYTTTCLLPFLNDDILSTLPYTMISTLATFPPFLHKDIIEYLSTSFLPMAILGSSRREGVPAHVNLSASSMLMIAMQYTSNPVYHCQLLECLMKYKQEVWKDLLYVIAYGPSQVKPPAVQMLFHYWPNLKPPGAISEYRGLQYTAWNPIHCQHIECHNAINKPAVKMCIDPSLSVALGDKPPPLYLCEECSERIAGDHSEWLIDVLLPQAEISAICQKKNCSSHVRRAVVTCFSAGCCGRHGNRPVRYCKRCHSNHHSNEVGAAAETHLYQTSPPPINTRECGAEELVCAVEAVISLLKEAEFHAEQREHELNRRRQLGLSSSHHSLDNADFDNKDDDKHDQRLLSQFGIWFLVSLCTPSENTPTESLARLVAMVFQWFHSTAYMMDDEVGSLVEKLKPQFVTKWLKTVCDVRFDVMVMCLLPKPMEFARVGGYWDKSCSTVTQLKEGLNRILCLIPYNVINQSVWECIMPEWLEAIRTEVPDNQLKEFREVLSKMFDIELCPLPFSMEEMFGFISCRFTGYPSSVQEQALLWLHVLSELDIMVPLQLLISMFSDGVNSVKELANQRKSRVSELAGNLASRRVSVASDPGRRVQHNMLSPFHSPFQSPFRSPLRSPFRSPFKNFGHPGGRTIDFDCEDDEMNLNCFILMFDLLLKQMELQDDGITMGLEHSLSKDIISIINNVFQAPWGGSHTCQKDEKAIECNLCQSSILCYQLACELLERLAPKEESRLVEPTDSLEDSLLSSRPEFIIGPEGEEEENPASKHGENPGNCTEPVEHAAVKNDTERKFCYQQLPVTLRLIYTIFQEMAKFEEPDILFNMLNCLKILCLHGECLYIARKDHPQFLAYIQDHMLIASLWRVVKSEFSQLSSLAVPLLLHALSLPHGADIFWTIINGNFNSKDWKMRFEAVEKVAVICRFLDIHSVTKNHLLKYSLAHAFCCFLTAVEDVNPAVATRAGLLLDTIKRPALQGLCLCLDFQFDTVVKDRPTILSKLLLLHFLKQDIPALSWEFFVNRFETLSLEAQLHLDCNKEFPFPTTITAVRTNVANLSDAALWKIKRARFARNRQKSVRSLRDSVKGPVESKRALSLPETLTSKIRQQSPENDNTIKDLLPEDAGIDHQTVHQLITVLMKFMAKDESSAESDISSAKAFNTVKRHLYVLLGYDQQEGCFMIAPQKMRLSTCFNAFIAGIAQVMDYNINLGKHLLPLVVQVLKYCSCPQLRHYFQQPPRCSLWSLKPHIRQMWLKALLVILYKYPYRDCDISKILLHLIHITVNTLNAQYHSCKPHATAGPLYSDNSNISRYSEKEKGEIELAEYRETGALQDSLLHCVREESIPKKKLRSFKQKSLDIGNADSLLFTLDEHRRKSCIDRCDIEKPPTQAAYIAQRPNDPGRSRQNSATRPDNSEIPENPAMEGFPDARRPVIPEVRLNCMETFEVKVDSPVKPAPKEDLDLIDLSSDSTSGPEKHSILSTSDSDSLVFEPLPPLRIVESDEEEETMNQGDDGPSGKNAASSPSVPSHPSVLSLSTAPLVQVSVEDCSKDFSSKDSGNNQSAGNTDSALITLEDPMDAEGSSKPEELPEFSCGSPLTLKQKRDLLQKSFALPEMSLDDHPDPGTEGEKPGELMPSSGAKTVLLKVPEDAENPTESEKPDTSAESDTEQNPERKVEEDGAEESEFKIQIVPRQRKQRKIAVSAIQREYLDISFNILDKLGEQKDPDPSTKGLSTLEMPRESSSAPTLDAGVPETSSHSSISTQYRQMKRGSLGVLTMSQLMKRQLEHQSSAPHNISNWDTEQIQPGKRQCNVPTCLNPDLEGQPLRMRGATKSSLLSAPSIVSMFVPAPEEFTDEQPTVMTDKCHDCGAILEEYDEETLGLAIVVLSTFIHLSPDLAAPLLLDIMQSVGRLASSTTFSNQAESMMVPGNAAGVAKQFLRCIFHQLAPNGIFPQLFQSTIKDGTFLRTLASSLMDFNELSSIAALSQLLEGLNNKKNLPAGGAMIRCLENIATFMEALPMDSPSSLWTTISNQFQTFFAKLPCVLPLKCSLDSSLRIMICLLKIPSTNATRSLLEPFSKLLSFVIQNAVFTLAYLVELCGLCYRAFTKERDKFYLSRSVVLELLQALKLKSPLPDTNLLLLVQFICADAGTKLAESTILSKQMIASVPGCGTAAMECVRQYINEVLDFMADMHTLTKLKSHMKTCSQPLHEDTFGGHLKVGLAQIAAMDISRGNHRDNKAVIRYLPWLYHPPSAMQQGPKEFIECVSHIRLLSWLLLGSLTHNAVCPNASSPCLPIPLDAGSHVADHLIVILIGFPEQSKTSVLHMCSLFHAFIFAQLWTVYCEQSAVATNLQNQNEFSFTAILTALEFWSRVTPSILQLMAHNKVMVEMVCLHVISLMEALQECNSTIFVKLIPMWLPMIQSNIKHLSAGLQLRLQAIQNHVNHHSLRTLPGSGQSSAGLAALRKWLQCTQFKMAQVEIQSSEAASQFYPL
+>DECOY_sp|Q9P2D8|UNC79_HUMAN Protein unc-79 homolog OS=Homo sapiens OX=9606 GN=UNC79 PE=2 SV=4
+LPYFQSAAESSQIEVQAMKFQTCQLWKRLAALGASSQGSGPLTRLSHHNVHNQIAQLRLQLGASLHKINSQIMPLWMPILKVFITSNCEQLAEMLSIVHLCVMEVMVKNHAMLQLISPTVRSWFELATLIATFSFENQNQLNTAVASQECYVTWLQAFIFAHFLSCMHLVSTKSQEPFGILIVILHDAVHSGADLPIPLCPSSANPCVANHTLSGLLLWSLLRIHSVCEIFEKPGQQMASPPHYLWPLYRIVAKNDRHNGRSIDMAAIQALGVKLHGGFTDEHLPQSCTKMHSKLKTLTHMDAMFDLVENIYQRVCEMAATGCGPVSAIMQKSLITSEALKTGADACIFQVLLLLNTDPLPSKLKLAQLLELVVSRSLYFKDREKTFARYCLGCLEVLYALTFVANQIVFSLLKSFPELLSRTANTSPIKLLCIMIRLSSDLSCKLPLVCPLKAFFTQFQNSITTWLSSPSDMPLAEMFTAINELCRIMAGGAPLNKKNNLGELLQSLAAISSLENFDMLSSALTRLFTGDKITSQFLQPFIGNPALQHFICRLFQKAVGAANGPVMMSEAQNSFTTSSALRGVSQMIDLLLPAALDPSLHIFTSLVVIALGLTEEDYEELIAGCDHCKDTMVTPQEDTFEEPAPVFMSVISPASLLSSKTAGRMRLPQGELDPNLCTPVNCQRKGPQIQETDWNSINHPASSQHELQRKMLQSMTLVGLSGRKMQRYQTSISSHSSTEPVGADLTPASSSERPMELTSLGKTSPDPDKQEGLKDLINFSIDLYERQIASVAIKRQKRQRPVIQIKFESEEAGDEEVKREPNQETDSEASTDPKESETPNEADEPVKLLVTKAGSSPMLEGPKEGETGPDPHDDLSMEPLAFSKQLLDRKQKLTLPSGCSFEPLEEPKSSGEADMPDELTILASDTNGASQNNGSDKSSFDKSCDEVSVQVLPATSLSLVSPHSPVSPSSAANKGSPGDDGQNMTEEEEDSEVIRLPPLPEFVLSDSDSTSLISHKEPGSTSDSSLDILDLDEKPAPKVPSDVKVEFTEMCNLRVEPIVPRRADPFGEMAPNEPIESNDPRTASNQRSRGPDNPRQAIYAAQTPPKEIDCRDICSKRRHEDLTFLLSDANGIDLSKQKFSRLKKKPISEERVCHLLSDQLAGTERYEALEIEGKEKESYRSINSNDSYLPGATAHPKCSHYQANLTNVTIHILHLLIKSIDCDRYPYKYLIVLLAKLWMQRIHPKLSWLSCRPPQQFYHRLQPCSCYKLVQVVLPLLHKGLNINYDMVQAIGAIFANFCTSLRMKQPAIMFCGEQQDYGLLVYLHRKVTNFAKASSIDSEASSEDKAMFKMLVTILQHVTQHDIGADEPLLDKITNDNEPSQQRIKSTLTEPLSLARKSEVPGKVSDRLSRVSKQRNRAFRARKIKWLAADSLNAVNTRVATITTPFPFEKNCDLHLQAELSLTEFRNVFFEWSLAPIDQKLFHLLLLKSLITPRDKVVTDFQFDLCLCLGQLAPRKITDLLLGARTAVAPNVDEVATLFCCFAHALSYKLLHNKTVSHIDLFRCIVAVKEVAEFRMKWDKSNFNGNIITWFIDAGHPLSLAHLLLPVALSSLQSFESKVVRWLSAILMHDQIYALFQPHDKRAIYLCEGHLCLIKLCNLMNFLIDPEEFKAMEQFITYILRLTVPLQQYCFKRETDNKVAAHEVPETCNGPNEGHKSAPNEEEEGEPGIIFEPRSSLLSDELSDTPEVLRSEEKPALRELLECALQYCLISSQCLNCEIAKEDKQCTHSGGWPAQFVNNIISIIDKSLSHELGMTIGDDQLEMQKLLLDFMLIFCNLNMEDDECDFDITRGGPHGFNKFPSRFPSRLPSRFPSQFPSHFPSLMNHQVRRGPDSAVSVRRSALNGALESVRSKRQNALEKVSNVGDSFMSILLQLPVMIDLESLVHLWLLAQEQVSSPYGTFRCSIFGFMEEMSFPLPCLEIDFMKSLVERFEKLQNDPVETRIAELWEPMICEWVSQNIVNYPILCLIRNLGEKLQTVTSCSKDWYGGVRAFEMPKPLLCMVMVDFRVDCVTKLWKTVFQPKLKEVLSGVEDDMMYATSHFWQFVMAVLRALSETPTNESPTCLSVLFWIGFQSLLRQDHKDDDKNDFDANDLSHHSSSLGLQRRRNLEHERQEAHFEAEKLLSIVAEVACVLEEAGCERTNIPPPSTQYLHTEAAAGVENSHHNSHCRKCYRVPRNGHRGCCGASFCTVVARRVHSSCNKKQCIASIEAQPLLVDILWESHDGAIRESCEECLYLPPPKDGLAVSLSPDICMKVAPKNIANHCEIHQCHIPNWATYQLGRYESIAGPPKLNPWYHFLMQVAPPKVQSPGYAIVYLLDKWVEQKYKMLCELLQCHYVPNSTYQMAIMLMSSASLNVHAPVGERRSSGLIAMPLFSTSLYEIIDKHLFPPFTALTSIMTYPLTSLIDDNLFPLLCTTTYFISQGLDHQGHQLLPVLDLLTVLTVYLGQYDLSPYDSLQADRSQPGRESPADRLVSYLLSRTVTPNISSVQPRLSPTLPFPVPFLCMTMPVTLNSADQNEKKGTRSLISLLTQSFYKLTNAIDTGSPVPYINHLVRNHYEQLYRIKSAFQEAKTSM
+>sp|Q9BT76|UPK3B_HUMAN Uroplakin-3b OS=Homo sapiens OX=9606 GN=UPK3B PE=1 SV=2
+MGLPWGQPHLGLQMLLLALNCLRPSLSLGEWGSWMDASSQTQGAGGPAGVIGPWAPAPLRLGEAAPGTPTPVSVAHLLSPVATELVPYTPQITAWDLEGKVTATTFSLEQPRCVFDGLASASDTVWLVVAFSNASRGFQNPETLADIPASPQLLTDGHYMTLPLSPDQLPCGDPMAGSGGAPVLRVGHDHGCHQQPFCNAPLPGPGPYREDPRIHRHLARAAKWQHDRHYLHPLFSGRPPTLGLLGSLYHALLQPVVAGGGPGAAADRLLHGQALHDPPHPTQRGRHTAGGLQAWPGPPPQPQPLAWPLCMGLGEMGRWE
+>DECOY_sp|Q9BT76|UPK3B_HUMAN Uroplakin-3b OS=Homo sapiens OX=9606 GN=UPK3B PE=1 SV=2
+EWRGMEGLGMCLPWALPQPQPPPGPWAQLGGATHRGRQTPHPPDHLAQGHLLRDAAAGPGGGAVVPQLLAHYLSGLLGLTPPRGSFLPHLYHRDHQWKAARALHRHIRPDERYPGPGPLPANCFPQQHCGHDHGVRLVPAGGSGAMPDGCPLQDPSLPLTMYHGDTLLQPSAPIDALTEPNQFGRSANSFAVVLWVTDSASALGDFVCRPQELSFTTATVKGELDWATIQPTYPVLETAVPSLLHAVSVPTPTGPAAEGLRLPAPAWPGIVGAPGGAGQTQSSADMWSGWEGLSLSPRLCNLALLLMQLGLHPQGWPLGM
+>sp|Q96HZ7|URAS1_HUMAN Putative uncharacterized protein URB1-AS1 OS=Homo sapiens OX=9606 GN=URB1-AS1 PE=5 SV=1
+MGRADTPRHPPPPAAGFGVHRGAFLIPVALRVLLAGRTPRPFTPGLADPRRLGPRRVQAAQ
+>DECOY_sp|Q96HZ7|URAS1_HUMAN Putative uncharacterized protein URB1-AS1 OS=Homo sapiens OX=9606 GN=URB1-AS1 PE=5 SV=1
+QAAQVRRPGLRRPDALGPTFPRPTRGALLVRLAVPILFAGRHVGFGAAPPPPHRPTDARGM
+>sp|P07911|UROM_HUMAN Uromodulin OS=Homo sapiens OX=9606 GN=UMOD PE=1 SV=1
+MGQPSLTWMLMVVVASWFITTAATDTSEARWCSECHSNATCTEDEAVTTCTCQEGFTGDGLTCVDLDECAIPGAHNCSANSSCVNTPGSFSCVCPEGFRLSPGLGCTDVDECAEPGLSHCHALATCVNVVGSYLCVCPAGYRGDGWHCECSPGSCGPGLDCVPEGDALVCADPCQAHRTLDEYWRSTEYGEGYACDTDLRGWYRFVGQGGARMAETCVPVLRCNTAAPMWLNGTHPSSDEGIVSRKACAHWSGHCCLWDASVQVKACAGGYYVYNLTAPPECHLAYCTDPSSVEGTCEECSIDEDCKSNNGRWHCQCKQDFNITDISLLEHRLECGANDMKVSLGKCQLKSLGFDKVFMYLSDSRCSGFNDRDNRDWVSVVTPARDGPCGTVLTRNETHATYSNTLYLADEIIIRDLNIKINFACSYPLDMKVSLKTALQPMVSALNIRVGGTGMFTVRMALFQTPSYTQPYQGSSVTLSTEAFLYVGTMLDGGDLSRFALLMTNCYATPSSNATDPLKYFIIQDRCPHTRDSTIQVVENGESSQGRFSVQMFRFAGNYDLVYLHCEVYLCDTMNEKCKPTCSGTRFRSGSVIDQSRVLNLGPITRKGVQATVSRAFSSLGLLKVWLPLLLSATLTLTFQ
+>DECOY_sp|P07911|UROM_HUMAN Uromodulin OS=Homo sapiens OX=9606 GN=UMOD PE=1 SV=1
+QFTLTLTASLLLPLWVKLLGLSSFARSVTAQVGKRTIPGLNLVRSQDIVSGSRFRTGSCTPKCKENMTDCLYVECHLYVLDYNGAFRFMQVSFRGQSSEGNEVVQITSDRTHPCRDQIIFYKLPDTANSSPTAYCNTMLLAFRSLDGGDLMTGVYLFAETSLTVSSGQYPQTYSPTQFLAMRVTFMGTGGVRINLASVMPQLATKLSVKMDLPYSCAFNIKINLDRIIIEDALYLTNSYTAHTENRTLVTGCPGDRAPTVVSVWDRNDRDNFGSCRSDSLYMFVKDFGLSKLQCKGLSVKMDNAGCELRHELLSIDTINFDQKCQCHWRGNNSKCDEDISCEECTGEVSSPDTCYALHCEPPATLNYVYYGGACAKVQVSADWLCCHGSWHACAKRSVIGEDSSPHTGNLWMPAATNCRLVPVCTEAMRAGGQGVFRYWGRLDTDCAYGEGYETSRWYEDLTRHAQCPDACVLADGEPVCDLGPGCSGPSCECHWGDGRYGAPCVCLYSGVVNVCTALAHCHSLGPEACEDVDTCGLGPSLRFGEPCVCSFSGPTNVCSSNASCNHAGPIACEDLDVCTLGDGTFGEQCTCTTVAEDETCTANSHCESCWRAESTDTAATTIFWSAVVVMLMWTLSPQGM
+>sp|Q495M9|USH1G_HUMAN Usher syndrome type-1G protein OS=Homo sapiens OX=9606 GN=USH1G PE=1 SV=1
+MNDQYHRAARDGYLELLKEATRKELNAPDEDGMTPTLWAAYHGNLESLRLIVSRGGDPDKCDIWGNTPLHLAASNGHLHCLSFLVSFGANIWCLDNDYHTPLDMAAMKGHMECVRYLDSIAAKQSSLNPKLVGKLKDKAFREAERRIRECAKLQRRHHERMERRYRRELAERSDTLSFSSLTSSTLSRRLQHLALGSHLPYSQATLHGTARGKTKMQKKLERRKQGGEGTFKVSEDGRKSARSLSGLQLGSDVMFVRQGTYANPKEWGRAPLRDMFLSDEDSVSRATLAAEPAHSEVSTDSGHDSLFTRPGLGTMVFRRNYLSSGLHGLGREDGGLDGVGAPRGRLQSSPSLDDDSLGSANSLQDRSCGEELPWDELDLGLDEDLEPETSPLETFLASLHMEDFAALLRQEKIDLEALMLCSDLDLRSISVPLGPRKKILGAVRRRRQAMERPPALEDTEL
+>DECOY_sp|Q495M9|USH1G_HUMAN Usher syndrome type-1G protein OS=Homo sapiens OX=9606 GN=USH1G PE=1 SV=1
+LETDELAPPREMAQRRRRVAGLIKKRPGLPVSISRLDLDSCLMLAELDIKEQRLLAAFDEMHLSALFTELPSTEPELDEDLGLDLEDWPLEEGCSRDQLSNASGLSDDDLSPSSQLRGRPAGVGDLGGDERGLGHLGSSLYNRRFVMTGLGPRTFLSDHGSDTSVESHAPEAALTARSVSDEDSLFMDRLPARGWEKPNAYTGQRVFMVDSGLQLGSLSRASKRGDESVKFTGEGGQKRRELKKQMKTKGRATGHLTAQSYPLHSGLALHQLRRSLTSSTLSSFSLTDSREALERRYRREMREHHRRQLKACERIRREAERFAKDKLKGVLKPNLSSQKAAISDLYRVCEMHGKMAAMDLPTHYDNDLCWINAGFSVLFSLCHLHGNSAALHLPTNGWIDCKDPDGGRSVILRLSELNGHYAAWLTPTMGDEDPANLEKRTAEKLLELYGDRAARHYQDNM
+>sp|Q96IX5|USMG5_HUMAN Up-regulated during skeletal muscle growth protein 5 OS=Homo sapiens OX=9606 GN=USMG5 PE=1 SV=1
+MAGPESDAQYQFTGIKKYFNSYTLTGRMNCVLATYGSIALIVLYFKLRSKKTPAVKAT
+>DECOY_sp|Q96IX5|USMG5_HUMAN Up-regulated during skeletal muscle growth protein 5 OS=Homo sapiens OX=9606 GN=USMG5 PE=1 SV=1
+TAKVAPTKKSRLKFYLVILAISGYTALVCNMRGTLTYSNFYKKIGTFQYQADSEPGAM
+>sp|O60763|USO1_HUMAN General vesicular transport factor p115 OS=Homo sapiens OX=9606 GN=USO1 PE=1 SV=2
+MNFLRGVMGGQSAGPQHTEAETIQKLCDRVASSTLLDDRRNAVRALKSLSKKYRLEVGIQAMEHLIHVLQTDRSDSEIIGYALDTLYNIISNEEEEEVEENSTRQSEDLGSQFTEIFIKQQENVTLLLSLLEEFDFHVRWPGVKLLTSLLKQLGPQVQQIILVSPMGVSRLMDLLADSREVIRNDGVLLLQALTRSNGAIQKIVAFENAFERLLDIISEEGNSDGGIVVEDCLILLQNLLKNNNSNQNFFKEGSYIQRMKPWFEVGDENSGWSAQKVTNLHLMLQLVRVLVSPTNPPGATSSCQKAMFQCGLLQQLCTILMATGVPADILTETINTVSEVIRGCQVNQDYFASVNAPSNPPRPAIVVLLMSMVNERQPFVLRCAVLYCFQCFLYKNQKGQGEIVSTLLPSTIDATGNSVSAGQLLCGGLFSTDSLSNWCAAVALAHALQENATQKEQLLRVQLATSIGNPPVSLLQQCTNILSQGSKIQTRVGLLMLLCTWLSNCPIAVTHFLHNSANVPFLTGQIAENLGEEEQLVQGLCALLLGISIYFNDNSLESYMKEKLKQLIEKRIGKENFIEKLGFISKHELYSRASQKPQPNFPSPEYMIFDHEFTKLVKELEGVITKAIYKSSEEDKKEEEVKKTLEQHDNIVTHYKNMIREQDLQLEELRQQVSTLKCQNEQLQTAVTQQVSQIQQHKDQYNLLKIQLGKDNQHQGSYSEGAQMNGIQPEEIGRLREEIEELKRNQELLQSQLTEKDSMIENMKSSQTSGTNEQSSAIVSARDSEQVAELKQELATLKSQLNSQSVEITKLQTEKQELLQKTEAFAKSVEVQGETETIIATKTTDVEGRLSALLQETKELKNEIKALSEERTAIKEQLDSSNSTIAILQTEKDKLELEITDSKKEQDDLLVLLADQDQKILSLKNKLKDLGHPVEEEDELESGDQEDEDDESEDPGKDLDHI
+>DECOY_sp|O60763|USO1_HUMAN General vesicular transport factor p115 OS=Homo sapiens OX=9606 GN=USO1 PE=1 SV=2
+IHDLDKGPDESEDDEDEQDGSELEDEEEVPHGLDKLKNKLSLIKQDQDALLVLLDDQEKKSDTIELELKDKETQLIAITSNSSDLQEKIATREESLAKIENKLEKTEQLLASLRGEVDTTKTAIITETEGQVEVSKAFAETKQLLEQKETQLKTIEVSQSNLQSKLTALEQKLEAVQESDRASVIASSQENTGSTQSSKMNEIMSDKETLQSQLLEQNRKLEEIEERLRGIEEPQIGNMQAGESYSGQHQNDKGLQIKLLNYQDKHQQIQSVQQTVATQLQENQCKLTSVQQRLEELQLDQERIMNKYHTVINDHQELTKKVEEEKKDEESSKYIAKTIVGELEKVLKTFEHDFIMYEPSPFNPQPKQSARSYLEHKSIFGLKEIFNEKGIRKEILQKLKEKMYSELSNDNFYISIGLLLACLGQVLQEEEGLNEAIQGTLFPVNASNHLFHTVAIPCNSLWTCLLMLLGVRTQIKSGQSLINTCQQLLSVPPNGISTALQVRLLQEKQTANEQLAHALAVAACWNSLSDTSFLGGCLLQGASVSNGTADITSPLLTSVIEGQGKQNKYLFCQFCYLVACRLVFPQRENVMSMLLVVIAPRPPNSPANVSAFYDQNVQCGRIVESVTNITETLIDAPVGTAMLITCLQQLLGCQFMAKQCSSTAGPPNTPSVLVRVLQLMLHLNTVKQASWGSNEDGVEFWPKMRQIYSGEKFFNQNSNNNKLLNQLLILCDEVVIGGDSNGEESIIDLLREFANEFAVIKQIAGNSRTLAQLLLVGDNRIVERSDALLDMLRSVGMPSVLIIQQVQPGLQKLLSTLLKVGPWRVHFDFEELLSLLLTVNEQQKIFIETFQSGLDESQRTSNEEVEEEEENSIINYLTDLAYGIIESDSRDTQLVHILHEMAQIGVELRYKKSLSKLARVANRRDDLLTSSAVRDCLKQITEAETHQPGASQGGMVGRLFNM
+>sp|O95399|UTS2_HUMAN Urotensin-2 OS=Homo sapiens OX=9606 GN=UTS2 PE=1 SV=1
+MYKLASCCLLFIGFLNPLLSLPLLDSREISFQLSAPHEDARLTPEELERASLLQILPEMLGAERGDILRKADSSTNIFNPRGNLRKFQDFSGQDPNILLSHLLARIWKPYKKRETPDCFWKYCV
+>DECOY_sp|O95399|UTS2_HUMAN Urotensin-2 OS=Homo sapiens OX=9606 GN=UTS2 PE=1 SV=1
+VCYKWFCDPTERKKYPKWIRALLHSLLINPDQGSFDQFKRLNGRPNFINTSSDAKRLIDGREAGLMEPLIQLLSARELEEPTLRADEHPASLQFSIERSDLLPLSLLPNLFGIFLLCCSALKYM
+>sp|Q8TAA9|VANG1_HUMAN Vang-like protein 1 OS=Homo sapiens OX=9606 GN=VANGL1 PE=1 SV=1
+MDTESTYSGYSYYSSHSKKSHRQGERTRERHKSPRNKDGRGSEKSVTIQPPTGEPLLGNDSTRTEEVQDDNWGETTTAITGTSEHSISQEDIARISKDMEDSVGLDCKRYLGLTVASFLGLLVFLTPIAFILLPPILWRDELEPCGTICEGLFISMAFKLLILLIGTWALFFRKRRADMPRVFVFRALLLVLIFLFVVSYWLFYGVRILDSRDRNYQGIVQYAVSLVDALLFIHYLAIVLLELRQLQPMFTLQVVRSTDGESRFYSLGHLSIQRAALVVLENYYKDFTIYNPNLLTASKFRAAKHMAGLKVYNVDGPSNNATGQSRAMIAAAARRRDSSHNELYYEEAEHERRVKKRKARLVVAVEEAFIHIQRLQAEEQQKAPGEVMDPREAAQAIFPSMARALQKYLRITRQQNYHSMESILQHLAFCITNGMTPKAFLERYLSAGPTLQYDKDRWLSTQWRLVSDEAVTNGLRDGIVFVLKCLDFSLVVNVKKIPFIILSEEFIDPKSHKFVLRLQSETSV
+>DECOY_sp|Q8TAA9|VANG1_HUMAN Vang-like protein 1 OS=Homo sapiens OX=9606 GN=VANGL1 PE=1 SV=1
+VSTESQLRLVFKHSKPDIFEESLIIFPIKKVNVVLSFDLCKLVFVIGDRLGNTVAEDSVLRWQTSLWRDKDYQLTPGASLYRELFAKPTMGNTICFALHQLISEMSHYNQQRTIRLYKQLARAMSPFIAQAAERPDMVEGPAKQQEEAQLRQIHIFAEEVAVVLRAKRKKVRREHEAEEYYLENHSSDRRRAAAAIMARSQGTANNSPGDVNYVKLGAMHKAARFKSATLLNPNYITFDKYYNELVVLAARQISLHGLSYFRSEGDTSRVVQLTFMPQLQRLELLVIALYHIFLLADVLSVAYQVIGQYNRDRSDLIRVGYFLWYSVVFLFILVLLLARFVFVRPMDARRKRFFLAWTGILLILLKFAMSIFLGECITGCPELEDRWLIPPLLIFAIPTLFVLLGLFSAVTLGLYRKCDLGVSDEMDKSIRAIDEQSISHESTGTIATTTEGWNDDQVEETRTSDNGLLPEGTPPQITVSKESGRGDKNRPSKHRERTREGQRHSKKSHSSYYSYGSYTSETDM
+>sp|Q9ULK5|VANG2_HUMAN Vang-like protein 2 OS=Homo sapiens OX=9606 GN=VANGL2 PE=1 SV=2
+MDTESQYSGYSYKSGHSRSSRKHRDRRDRHRSKSRDGGRGDKSVTIQAPGEPLLDNESTRGDERDDNWGETTTVVTGTSEHSISHDDLTRIAKDMEDSVPLDCSRHLGVAAGATLALLSFLTPLAFLLLPPLLWREELEPCGTACEGLFISVAFKLLILLLGSWALFFRRPKASLPRVFVLRALLMVLVFLLVVSYWLFYGVRILDARERSYQGVVQFAVSLVDALLFVHYLAVVLLELRQLQPQFTLKVVRSTDGASRFYNVGHLSIQRVAVWILEKYYHDFPVYNPALLNLPKSVLAKKVSGFKVYSLGEENSTNNSTGQSRAVIAAAARRRDNSHNEYYYEEAEHERRVRKRRARLVVAVEEAFTHIKRLQEEEQKNPREVMDPREAAQAIFASMARAMQKYLRTTKQQPYHTMESILQHLEFCITHDMTPKAFLERYLAAGPTIQYHKERWLAKQWTLVSEEPVTNGLKDGIVFLLKRQDFSLVVSTKKVPFFKLSEEFVDPKSHKFVMRLQSETSV
+>DECOY_sp|Q9ULK5|VANG2_HUMAN Vang-like protein 2 OS=Homo sapiens OX=9606 GN=VANGL2 PE=1 SV=2
+VSTESQLRMVFKHSKPDVFEESLKFFPVKKTSVVLSFDQRKLLFVIGDKLGNTVPEESVLTWQKALWREKHYQITPGAALYRELFAKPTMDHTICFELHQLISEMTHYPQQKTTRLYKQMARAMSAFIAQAAERPDMVERPNKQEEEQLRKIHTFAEEVAVVLRARRKRVRREHEAEEYYYENHSNDRRRAAAAIVARSQGTSNNTSNEEGLSYVKFGSVKKALVSKPLNLLAPNYVPFDHYYKELIWVAVRQISLHGVNYFRSAGDTSRVVKLTFQPQLQRLELLVVALYHVFLLADVLSVAFQVVGQYSRERADLIRVGYFLWYSVVLLFVLVMLLARLVFVRPLSAKPRRFFLAWSGLLLILLKFAVSIFLGECATGCPELEERWLLPPLLLFALPTLFSLLALTAGAAVGLHRSCDLPVSDEMDKAIRTLDDHSISHESTGTVVTTTEGWNDDREDGRTSENDLLPEGPAQITVSKDGRGGDRSKSRHRDRRDRHKRSSRSHGSKYSYGSYQSETDM
+>sp|Q99536|VAT1_HUMAN Synaptic vesicle membrane protein VAT-1 homolog OS=Homo sapiens OX=9606 GN=VAT1 PE=1 SV=2
+MSDEREVAEAATGEDASSPPPKTEAASDPQHPAASEGAAAAAASPPLLRCLVLTGFGGYDKVKLQSRPAAPPAPGPGQLTLRLRACGLNFADLMARQGLYDRLPPLPVTPGMEGAGVVIAVGEGVSDRKAGDRVMVLNRSGMWQEEVTVPSVQTFLIPEAMTFEEAAALLVNYITAYMVLFDFGNLQPGHSVLVHMAAGGVGMAAVQLCRTVENVTVFGTASASKHEALKENGVTHPIDYHTTDYVDEIKKISPKGVDIVMDPLGGSDTAKGYNLLKPMGKVVTYGMANLLTGPKRNLMALARTWWNQFSVTALQLLQANRAVCGFHLGYLDGEVELVSGVVARLLALYNQGHIKPHIDSVWPFEKVADAMKQMQEKKNVGKVLLVPGPEKEN
+>DECOY_sp|Q99536|VAT1_HUMAN Synaptic vesicle membrane protein VAT-1 homolog OS=Homo sapiens OX=9606 GN=VAT1 PE=1 SV=2
+NEKEPGPVLLVKGVNKKEQMQKMADAVKEFPWVSDIHPKIHGQNYLALLRAVVGSVLEVEGDLYGLHFGCVARNAQLLQLATVSFQNWWTRALAMLNRKPGTLLNAMGYTVVKGMPKLLNYGKATDSGGLPDMVIDVGKPSIKKIEDVYDTTHYDIPHTVGNEKLAEHKSASATGFVTVNEVTRCLQVAAMGVGGAAMHVLVSHGPQLNGFDFLVMYATIYNVLLAAAEEFTMAEPILFTQVSPVTVEEQWMGSRNLVMVRDGAKRDSVGEGVAIVVGAGEMGPTVPLPPLRDYLGQRAMLDAFNLGCARLRLTLQGPGPAPPAAPRSQLKVKDYGGFGTLVLCRLLPPSAAAAAAGESAAPHQPDSAAETKPPPSSADEGTAAEAVEREDSM
+>sp|O75348|VATG1_HUMAN V-type proton ATPase subunit G 1 OS=Homo sapiens OX=9606 GN=ATP6V1G1 PE=1 SV=3
+MASQSQGIQQLLQAEKRAAEKVSEARKRKNRRLKQAKEEAQAEIEQYRLQREKEFKAKEAAALGSRGSCSTEVEKETQEKMTILQTYFRQNRDEVLDNLLAFVCDIRPEIHENYRING
+>DECOY_sp|O75348|VATG1_HUMAN V-type proton ATPase subunit G 1 OS=Homo sapiens OX=9606 GN=ATP6V1G1 PE=1 SV=3
+GNIRYNEHIEPRIDCVFALLNDLVEDRNQRFYTQLITMKEQTEKEVETSCSGRSGLAAAEKAKFEKERQLRYQEIEAQAEEKAQKLRRNKRKRAESVKEAARKEAQLLQQIGQSQSAM
+>sp|O95670|VATG2_HUMAN V-type proton ATPase subunit G 2 OS=Homo sapiens OX=9606 GN=ATP6V1G2 PE=1 SV=1
+MASQSQGIQQLLQAEKRAAEKVADARKRKARRLKQAKEEAQMEVEQYRREREHEFQSKQQAAMGSQGNLSAEVEQATRRQVQGMQSSQQRNRERVLAQLLGMVCDVRPQVHPNYRISA
+>DECOY_sp|O95670|VATG2_HUMAN V-type proton ATPase subunit G 2 OS=Homo sapiens OX=9606 GN=ATP6V1G2 PE=1 SV=1
+ASIRYNPHVQPRVDCVMGLLQALVRERNRQQSSQMGQVQRRTAQEVEASLNGQSGMAAQQKSQFEHERERRYQEVEMQAEEKAQKLRRAKRKRADAVKEAARKEAQLLQQIGQSQSAM
+>sp|Q9UI12|VATH_HUMAN V-type proton ATPase subunit H OS=Homo sapiens OX=9606 GN=ATP6V1H PE=1 SV=1
+MTKMDIRGAVDAAVPTNIIAAKAAEVRANKVNWQSYLQGQMISAEDCEFIQRFEMKRSPEEKQEMLQTEGSQCAKTFINLMTHICKEQTVQYILTMVDDMLQENHQRVSIFFDYARCSKNTAWPYFLPMLNRQDPFTVHMAARIIAKLAAWGKELMEGSDLNYYFNWIKTQLSSQKLRGSGVAVETGTVSSSDSSQYVQCVAGCLQLMLRVNEYRFAWVEADGVNCIMGVLSNKCGFQLQYQMIFSIWLLAFSPQMCEHLRRYNIIPVLSDILQESVKEKVTRIILAAFRNFLEKSTERETRQEYALAMIQCKVLKQLENLEQQKYDDEDISEDIKFLLEKLGESVQDLSSFDEYSSELKSGRLEWSPVHKSEKFWRENAVRLNEKNYELLKILTKLLEVSDDPQVLAVAAHDVGEYVRHYPRGKRVIEQLGGKQLVMNHMHHEDQQVRYNALLAVQKLMVHNWEYLGKQLQSEQPQTAAARS
+>DECOY_sp|Q9UI12|VATH_HUMAN V-type proton ATPase subunit H OS=Homo sapiens OX=9606 GN=ATP6V1H PE=1 SV=1
+SRAAATQPQESQLQKGLYEWNHVMLKQVALLANYRVQQDEHHMHNMVLQKGGLQEIVRKGRPYHRVYEGVDHAAVALVQPDDSVELLKTLIKLLEYNKENLRVANERWFKESKHVPSWELRGSKLESSYEDFSSLDQVSEGLKELLFKIDESIDEDDYKQQELNELQKLVKCQIMALAYEQRTERETSKELFNRFAALIIRTVKEKVSEQLIDSLVPIINYRRLHECMQPSFALLWISFIMQYQLQFGCKNSLVGMICNVGDAEVWAFRYENVRLMLQLCGAVCQVYQSSDSSSVTGTEVAVGSGRLKQSSLQTKIWNFYYNLDSGEMLEKGWAALKAIIRAAMHVTFPDQRNLMPLFYPWATNKSCRAYDFFISVRQHNEQLMDDVMTLIYQVTQEKCIHTMLNIFTKACQSGETQLMEQKEEPSRKMEFRQIFECDEASIMQGQLYSQWNVKNARVEAAKAAIINTPVAADVAGRIDMKTM
+>sp|Q96JH7|VCIP1_HUMAN Deubiquitinating protein VCIP135 OS=Homo sapiens OX=9606 GN=VCPIP1 PE=1 SV=2
+MSQPPPPPPPLPPPPPPPEAPQTPSSLASAAASGGLLKRRDRRILSGSCPDPKCQARLFFPASGSVSIECTECGQRHEQQQLLGVEEVTDPDVVLHNLLRNALLGVTGAPKKNTELVKVMGLSNYHCKLLSPILARYGMDKQTGRAKLLRDMNQGELFDCALLGDRAFLIEPEHVNTVGYGKDRSGSLLYLHDTLEDIKRANKSQECLIPVHVDGDGHCLVHAVSRALVGRELFWHALRENLKQHFQQHLARYQALFHDFIDAAEWEDIINECDPLFVPPEGVPLGLRNIHIFGLANVLHRPIILLDSLSGMRSSGDYSATFLPGLIPAEKCTGKDGHLNKPICIAWSSSGRNHYIPLVGIKGAALPKLPMNLLPKAWGVPQDLIKKYIKLEEDGGCVIGGDRSLQDKYLLRLVAAMEEVFMDKHGIHPSLVADVHQYFYRRTGVIGVQPEEVTAAAKKAVMDNRLHKCLLCGALSELHVPPEWLAPGGKLYNLAKSTHGQLRTDKNYSFPLNNLVCSYDSVKDVLVPDYGMSNLTACNWCHGTSVRKVRGDGSIVYLDGDRTNSRSTGGKCGCGFKHFWDGKEYDNLPEAFPITLEWGGRVVRETVYWFQYESDSSLNSNVYDVAMKLVTKHFPGEFGSEILVQKVVHTILHQTAKKNPDDYTPVNIDGAHAQRVGDVQGQESESQLPTKIILTGQKTKTLHKEELNMSKTERTIQQNITEQASVMQKRKTEKLKQEQKGQPRTVSPSTIRDGPSSAPATPTKAPYSPTTSKEKKIRITTNDGRQSMVTLKSSTTFFELQESIAREFNIPPYLQCIRYGFPPKELMPPQAGMEKEPVPLQHGDRITIEILKSKAEGGQSAAAHSAHTVKQEDIAVTGKLSSKELQEQAEKEMYSLCLLATLMGEDVWSYAKGLPHMFQQGGVFYSIMKKTMGMADGKHCTFPHLPGKTFVYNASEDRLELCVDAAGHFPIGPDVEDLVKEAVSQVRAEATTRSRESSPSHGLLKLGSGGVVKKKSEQLHNVTAFQGKGHSLGTASGNPHLDPRARETSVVRKHNTGTDFSNSSTKTEPSVFTASSSNSELIRIAPGVVTMRDGRQLDPDLVEAQRKKLQEMVSSIQASMDRHLRDQSTEQSPSDLPQRKTEVVSSSAKSGSLQTGLPESFPLTGGTENLNTETTDGCVADALGAAFATRSKAQRGNSVEELEEMDSQDAEMTNTTEPMDHS
+>DECOY_sp|Q96JH7|VCIP1_HUMAN Deubiquitinating protein VCIP135 OS=Homo sapiens OX=9606 GN=VCPIP1 PE=1 SV=2
+SHDMPETTNTMEADQSDMEELEEVSNGRQAKSRTAFAAGLADAVCGDTTETNLNETGGTLPFSEPLGTQLSGSKASSSVVETKRQPLDSPSQETSQDRLHRDMSAQISSVMEQLKKRQAEVLDPDLQRGDRMTVVGPAIRILESNSSSATFVSPETKTSSNSFDTGTNHKRVVSTERARPDLHPNGSATGLSHGKGQFATVNHLQESKKKVVGGSGLKLLGHSPSSERSRTTAEARVQSVAEKVLDEVDPGIPFHGAADVCLELRDESANYVFTKGPLHPFTCHKGDAMGMTKKMISYFVGGQQFMHPLGKAYSWVDEGMLTALLCLSYMEKEAQEQLEKSSLKGTVAIDEQKVTHASHAAASQGGEAKSKLIEITIRDGHQLPVPEKEMGAQPPMLEKPPFGYRICQLYPPINFERAISEQLEFFTTSSKLTVMSQRGDNTTIRIKKEKSTTPSYPAKTPTAPASSPGDRITSPSVTRPQGKQEQKLKETKRKQMVSAQETINQQITRETKSMNLEEKHLTKTKQGTLIIKTPLQSESEQGQVDGVRQAHAGDINVPTYDDPNKKATQHLITHVVKQVLIESGFEGPFHKTVLKMAVDYVNSNLSSDSEYQFWYVTERVVRGGWELTIPFAEPLNDYEKGDWFHKFGCGCKGGTSRSNTRDGDLYVISGDGRVKRVSTGHCWNCATLNSMGYDPVLVDKVSDYSCVLNNLPFSYNKDTRLQGHTSKALNYLKGGPALWEPPVHLESLAGCLLCKHLRNDMVAKKAAATVEEPQVGIVGTRRYFYQHVDAVLSPHIGHKDMFVEEMAAVLRLLYKDQLSRDGGIVCGGDEELKIYKKILDQPVGWAKPLLNMPLKPLAAGKIGVLPIYHNRGSSSWAICIPKNLHGDKGTCKEAPILGPLFTASYDGSSRMGSLSDLLIIPRHLVNALGFIHINRLGLPVGEPPVFLPDCENIIDEWEAADIFDHFLAQYRALHQQFHQKLNERLAHWFLERGVLARSVAHVLCHGDGDVHVPILCEQSKNARKIDELTDHLYLLSGSRDKGYGVTNVHEPEILFARDGLLACDFLEGQNMDRLLKARGTQKDMGYRALIPSLLKCHYNSLGMVKVLETNKKPAGTVGLLANRLLNHLVVDPDTVEEVGLLQQQEHRQGCETCEISVSGSAPFFLRAQCKPDPCSGSLIRRDRRKLLGGSAAASALSSPTQPAEPPPPPPPLPPPPPPPQSM
+>sp|Q9NNX9|VCX3_HUMAN Variable charge X-linked protein 3 OS=Homo sapiens OX=9606 GN=VCX3A PE=2 SV=1
+MSPKPRASGPPAKATEAGKRKSSSQPSPSDPKKKTTKVAKKGKAVRRGRRGKKGAATKMAAVTAPEAESGPAAPGPSDQPSQELPQHELPPEEPVSEGTQHDPLSQESELEEPLSQESEVEEPLSQESQVEEPLSQESEVEEPLSQESQVEEPLSQESEVEEPLSQESQVEEPLSQESEMEELPSV
+>DECOY_sp|Q9NNX9|VCX3_HUMAN Variable charge X-linked protein 3 OS=Homo sapiens OX=9606 GN=VCX3A PE=2 SV=1
+VSPLEEMESEQSLPEEVQSEQSLPEEVESEQSLPEEVQSEQSLPEEVESEQSLPEEVQSEQSLPEEVESEQSLPEELESEQSLPDHQTGESVPEEPPLEHQPLEQSPQDSPGPAAPGSEAEPATVAAMKTAAGKKGRRGRRVAKGKKAVKTTKKKPDSPSPQSSSKRKGAETAKAPPGSARPKPSM
+>sp|Q13641|TPBG_HUMAN Trophoblast glycoprotein OS=Homo sapiens OX=9606 GN=TPBG PE=1 SV=1
+MPGGCSRGPAAGDGRLRLARLALVLLGWVSSSSPTSSASSFSSSAPFLASAVSAQPPLPDQCPALCECSEAARTVKCVNRNLTEVPTDLPAYVRNLFLTGNQLAVLPAGAFARRPPLAELAALNLSGSRLDEVRAGAFEHLPSLRQLDLSHNPLADLSPFAFSGSNASVSAPSPLVELILNHIVPPEDERQNRSFEGMVVAALLAGRALQGLRRLELASNHFLYLPRDVLAQLPSLRHLDLSNNSLVSLTYVSFRNLTHLESLHLEDNALKVLHNGTLAELQGLPHIRVFLDNNPWVCDCHMADMVTWLKETEVVQGKDRLTCAYPEKMRNRVLLELNSADLDCDPILPPSLQTSYVFLGIVLALIGAIFLLVLYLNRKGIKKWMHNIRDACRDHMEGYHYRYEINADPRLTNLSSNSDV
+>DECOY_sp|Q13641|TPBG_HUMAN Trophoblast glycoprotein OS=Homo sapiens OX=9606 GN=TPBG PE=1 SV=1
+VDSNSSLNTLRPDANIEYRYHYGEMHDRCADRINHMWKKIGKRNLYLVLLFIAGILALVIGLFVYSTQLSPPLIPDCDLDASNLELLVRNRMKEPYACTLRDKGQVVETEKLWTVMDAMHCDCVWPNNDLFVRIHPLGQLEALTGNHLVKLANDELHLSELHTLNRFSVYTLSVLSNNSLDLHRLSPLQALVDRPLYLFHNSALELRRLGQLARGALLAAVVMGEFSRNQREDEPPVIHNLILEVLPSPASVSANSGSFAFPSLDALPNHSLDLQRLSPLHEFAGARVEDLRSGSLNLAALEALPPRRAFAGAPLVALQNGTLFLNRVYAPLDTPVETLNRNVCKVTRAAESCECLAPCQDPLPPQASVASALFPASSSFSSASSTPSSSSVWGLLVLALRALRLRGDGAAPGRSCGGPM
+>sp|A5PLN9|TPC13_HUMAN Trafficking protein particle complex subunit 13 OS=Homo sapiens OX=9606 GN=TRAPPC13 PE=1 SV=2
+MEVNPPKQEHLLALKVMRLTKPTLFTNIPVTCEEKDLPGDLFNQLMRDDPSTVNGAEVLMLGEMLTLPQNFGNIFLGETFSSYISVHNDSNQVVKDILVKADLQTSSQRLNLSASNAAVAELKPDCCIDDVIHHEVKEIGTHILVCAVSYTTQAGEKMYFRKFFKFQVLKPLDVKTKFYNAESDLSSVTDEVFLEAQIQNMTTSPMFMEKVSLEPSIMYNVTELNSVSQAGECVSTFGSRAYLQPMDTRQYLYCLKPKNEFAEKAGIIKGVTVIGKLDIVWKTNLGERGRLQTSQLQRMAPGYGDVRLSLEAIPDTVNLEEPFHITCKITNCSERTMDLVLEMCNTNSIHWCGISGRQLGKLHPSSSLCLALTLLSSVQGLQSISGLRLTDTFLKRTYEYDDIAQVCVVSSAIKVES
+>DECOY_sp|A5PLN9|TPC13_HUMAN Trafficking protein particle complex subunit 13 OS=Homo sapiens OX=9606 GN=TRAPPC13 PE=1 SV=2
+SEVKIASSVVCVQAIDDYEYTRKLFTDTLRLGSISQLGQVSSLLTLALCLSSSPHLKGLQRGSIGCWHISNTNCMELVLDMTRESCNTIKCTIHFPEELNVTDPIAELSLRVDGYGPAMRQLQSTQLRGREGLNTKWVIDLKGIVTVGKIIGAKEAFENKPKLCYLYQRTDMPQLYARSGFTSVCEGAQSVSNLETVNYMISPELSVKEMFMPSTTMNQIQAELFVEDTVSSLDSEANYFKTKVDLPKLVQFKFFKRFYMKEGAQTTYSVACVLIHTGIEKVEHHIVDDICCDPKLEAVAANSASLNLRQSSTQLDAKVLIDKVVQNSDNHVSIYSSFTEGLFINGFNQPLTLMEGLMLVEAGNVTSPDDRMLQNFLDGPLDKEECTVPINTFLTPKTLRMVKLALLHEQKPPNVEM
+>sp|Q9UL33|TPC2L_HUMAN Trafficking protein particle complex subunit 2-like protein OS=Homo sapiens OX=9606 GN=TRAPPC2L PE=1 SV=1
+MAVCIAVIAKENYPLYIRSTPTENELKFHYMVHTSLDVVDEKISAMGKALVDQRELYLGLLYPTEDYKVYGYVTNSKVKFVMVVDSSNTALRDNEIRSMFRKLHNSYTDVMCNPFYNPGDRIQSSRAFDNMVTSMMIQVC
+>DECOY_sp|Q9UL33|TPC2L_HUMAN Trafficking protein particle complex subunit 2-like protein OS=Homo sapiens OX=9606 GN=TRAPPC2L PE=1 SV=1
+CVQIMMSTVMNDFARSSQIRDGPNYFPNCMVDTYSNHLKRFMSRIENDRLATNSSDVVMVFKVKSNTVYGYVKYDETPYLLGLYLERQDVLAKGMASIKEDVVDLSTHVMYHFKLENETPTSRIYLPYNEKAIVAICVAM
+>sp|Q5T215|TPC3L_HUMAN Trafficking protein particle complex subunit 3-like protein OS=Homo sapiens OX=9606 GN=TRAPPC3L PE=1 SV=1
+MSRPAHRRPEYHKINKDLFVLTYGALVAQLCKDYEKDEDVNQYLDKMGYGIGTRLVEDFLARSCVGRCHSYSEIIDIIAQVAFKMYLGITPSVTCNNSSKNEFSLILEKNPLVEFVEELPAGRSSLCYCNLLCGIIRGALEMVHLAADVTFLQDRLKGDSVTEIGITFLKKRDEKKYRGKK
+>DECOY_sp|Q5T215|TPC3L_HUMAN Trafficking protein particle complex subunit 3-like protein OS=Homo sapiens OX=9606 GN=TRAPPC3L PE=1 SV=1
+KKGRYKKEDRKKLFTIGIETVSDGKLRDQLFTVDAALHVMELAGRIIGCLLNCYCLSSRGAPLEEVFEVLPNKELILSFENKSSNNCTVSPTIGLYMKFAVQAIIDIIESYSHCRGVCSRALFDEVLRTGIGYGMKDLYQNVDEDKEYDKCLQAVLAGYTLVFLDKNIKHYEPRRHAPRSM
+>sp|Q86SZ2|TPC6B_HUMAN Trafficking protein particle complex subunit 6B OS=Homo sapiens OX=9606 GN=TRAPPC6B PE=1 SV=1
+MADEALFLLLHNEMVSGVYKSAEQGEVENGRCITKLENMGFRVGQGLIERFTKDTARFKDELDIMKFICKDFWTTVFKKQIDNLRTNHQGIYVLQDNKFRLLTQMSAGKQYLEHASKYLAFTCGLIRGGLSNLGIKSIVTAEVSSMPACKFQVMIQKL
+>DECOY_sp|Q86SZ2|TPC6B_HUMAN Trafficking protein particle complex subunit 6B OS=Homo sapiens OX=9606 GN=TRAPPC6B PE=1 SV=1
+LKQIMVQFKCAPMSSVEATVISKIGLNSLGGRILGCTFALYKSAHELYQKGASMQTLLRFKNDQLVYIGQHNTRLNDIQKKFVTTWFDKCIFKMIDLEDKFRATDKTFREILGQGVRFGMNELKTICRGNEVEGQEASKYVGSVMENHLLLFLAEDAM
+>sp|Q9HC21|TPC_HUMAN Mitochondrial thiamine pyrophosphate carrier OS=Homo sapiens OX=9606 GN=SLC25A19 PE=1 SV=1
+MVGYDPKPDGRNNTKFQVAVAGSVSGLVTRALISPFDVIKIRFQLQHERLSRSDPSAKYHGILQASRQILQEEGPTAFWKGHVPAQILSIGYGAVQFLSFEMLTELVHRGSVYDAREFSVHFVCGGLAACMATLTVHPVDVLRTRFAAQGEPKVYNTLRHAVGTMYRSEGPQVFYKGLAPTLIAIFPYAGLQFSCYSSLKHLYKWAIPAEGKKNENLQNLLCGSGAGVISKTLTYPLDLFKKRLQVGGFEHARAAFGQVRRYKGLMDCAKQVLQKEGALGFFKGLSPSLLKAALSTGFMFFSYEFFCNVFHCMNRTASQR
+>DECOY_sp|Q9HC21|TPC_HUMAN Mitochondrial thiamine pyrophosphate carrier OS=Homo sapiens OX=9606 GN=SLC25A19 PE=1 SV=1
+RQSATRNMCHFVNCFFEYSFFMFGTSLAAKLLSPSLGKFFGLAGEKQLVQKACDMLGKYRRVQGFAARAHEFGGVQLRKKFLDLPYTLTKSIVGAGSGCLLNQLNENKKGEAPIAWKYLHKLSSYCSFQLGAYPFIAILTPALGKYFVQPGESRYMTGVAHRLTNYVKPEGQAAFRTRLVDVPHVTLTAMCAALGGCVFHVSFERADYVSGRHVLETLMEFSLFQVAGYGISLIQAPVHGKWFATPGEEQLIQRSAQLIGHYKASPDSRSLREHQLQFRIKIVDFPSILARTVLGSVSGAVAVQFKTNNRGDPKPDYGVM
+>sp|Q16890|TPD53_HUMAN Tumor protein D53 OS=Homo sapiens OX=9606 GN=TPD52L1 PE=1 SV=1
+MEAQAQGLLETEPLQGTDEDAVASADFSSMLSEEEKEELKAELVQLEDEITTLRQVLSAKERHLVEIKQKLGMNLMNELKQNFSKSWHDMQTTTAYKKTHETLSHAGQKATAAFSNVGTAISKKFGDMSYSIRHSISMPAMRNSPTFKSFEERVETTVTSLKTKVGGTNPNGGSFEEVLSSTAHASAQSLAGGSRRTKEEELQC
+>DECOY_sp|Q16890|TPD53_HUMAN Tumor protein D53 OS=Homo sapiens OX=9606 GN=TPD52L1 PE=1 SV=1
+CQLEEEKTRRSGGALSQASAHATSSLVEEFSGGNPNTGGVKTKLSTVTTEVREEFSKFTPSNRMAPMSISHRISYSMDGFKKSIATGVNSFAATAKQGAHSLTEHTKKYATTTQMDHWSKSFNQKLENMLNMGLKQKIEVLHREKASLVQRLTTIEDELQVLEAKLEEKEEESLMSSFDASAVADEDTGQLPETELLGQAQAEM
+>sp|Q8IWU9|TPH2_HUMAN Tryptophan 5-hydroxylase 2 OS=Homo sapiens OX=9606 GN=TPH2 PE=1 SV=1
+MQPAMMMFSSKYWARRGFSLDSAVPEEHQLLGSSTLNKPNSGKNDDKGNKGSSKREAATESGKTAVVFSLKNEVGGLVKALRLFQEKRVNMVHIESRKSRRRSSEVEIFVDCECGKTEFNELIQLLKFQTTIVTLNPPENIWTEEEELEDVPWFPRKISELDKCSHRVLMYGSELDADHPGFKDNVYRQRRKYFVDVAMGYKYGQPIPRVEYTEEETKTWGVVFRELSKLYPTHACREYLKNFPLLTKYCGYREDNVPQLEDVSMFLKERSGFTVRPVAGYLSPRDFLAGLAYRVFHCTQYIRHGSDPLYTPEPDTCHELLGHVPLLADPKFAQFSQEIGLASLGASDEDVQKLATCYFFTIEFGLCKQEGQLRAYGAGLLSSIGELKHALSDKACVKAFDPKTTCLQECLITTFQEAYFVSESFEEAKEKMRDFAKSITRPFSVYFNPYTQSIEILKDTRSIENVVQDLRSDLNTVCDALNKMNQYLGI
+>DECOY_sp|Q8IWU9|TPH2_HUMAN Tryptophan 5-hydroxylase 2 OS=Homo sapiens OX=9606 GN=TPH2 PE=1 SV=1
+IGLYQNMKNLADCVTNLDSRLDQVVNEISRTDKLIEISQTYPNFYVSFPRTISKAFDRMKEKAEEFSESVFYAEQFTTILCEQLCTTKPDFAKVCAKDSLAHKLEGISSLLGAGYARLQGEQKCLGFEITFFYCTALKQVDEDSAGLSALGIEQSFQAFKPDALLPVHGLLEHCTDPEPTYLPDSGHRIYQTCHFVRYALGALFDRPSLYGAVPRVTFGSREKLFMSVDELQPVNDERYGCYKTLLPFNKLYERCAHTPYLKSLERFVVGWTKTEEETYEVRPIPQGYKYGMAVDVFYKRRQRYVNDKFGPHDADLESGYMLVRHSCKDLESIKRPFWPVDELEEEETWINEPPNLTVITTQFKLLQILENFETKGCECDVFIEVESSRRRSKRSEIHVMNVRKEQFLRLAKVLGGVENKLSFVVATKGSETAAERKSSGKNGKDDNKGSNPKNLTSSGLLQHEEPVASDLSFGRRAWYKSSFMMMAPQM
+>sp|Q9HCN2|TPIP1_HUMAN p53-regulated apoptosis-inducing protein 1 OS=Homo sapiens OX=9606 GN=TP53AIP1 PE=2 SV=1
+MGSSSEASFRSAQASCSGARRQGLGRGDQNLSVMPPNGRAQTHTPGWVSDPLVLGAQVHGGCRGIEALSVSSGSWSSATVWILTGLGLGLSRPFLPGATVLRDRPLGSAFELSYDQKKAPLRLQ
+>DECOY_sp|Q9HCN2|TPIP1_HUMAN p53-regulated apoptosis-inducing protein 1 OS=Homo sapiens OX=9606 GN=TP53AIP1 PE=2 SV=1
+QLRLPAKKQDYSLEFASGLPRDRLVTAGPLFPRSLGLGLGTLIWVTASSWSGSSVSLAEIGRCGGHVQAGLVLPDSVWGPTHTQARGNPPMVSLNQDGRGLGQRRAGSCSAQASRFSAESSSGM
+>sp|P40238|TPOR_HUMAN Thrombopoietin receptor OS=Homo sapiens OX=9606 GN=MPL PE=1 SV=1
+MPSWALFMVTSCLLLAPQNLAQVSSQDVSLLASDSEPLKCFSRTFEDLTCFWDEEEAAPSGTYQLLYAYPREKPRACPLSSQSMPHFGTRYVCQFPDQEEVRLFFPLHLWVKNVFLNQTRTQRVLFVDSVGLPAPPSIIKAMGGSQPGELQISWEEPAPEISDFLRYELRYGPRDPKNSTGPTVIQLIATETCCPALQRPHSASALDQSPCAQPTMPWQDGPKQTSPSREASALTAEGGSCLISGLQPGNSYWLQLRSEPDGISLGGSWGSWSLPVTVDLPGDAVALGLQCFTLDLKNVTCQWQQQDHASSQGFFYHSRARCCPRDRYPIWENCEEEEKTNPGLQTPQFSRCHFKSRNDSIIHILVEVTTAPGTVHSYLGSPFWIHQAVRLPTPNLHWREISSGHLELEWQHPSSWAAQETCYQLRYTGEGHQDWKVLEPPLGARGGTLELRPRSRYRLQLRARLNGPTYQGPWSSWSDPTRVETATETAWISLVTALHLVLGLSAVLGLLLLRWQFPAHYRRLRHALWPSLPDLHRVLGQYLRDTAALSPPKATVSDTCEEVEPSLLEILPKSSERTPLPLCSSQAQMDYRRLQPSCLGTMPLSVCPPMAESGSCCTTHIANHSYLPLSYWQQP
+>DECOY_sp|P40238|TPOR_HUMAN Thrombopoietin receptor OS=Homo sapiens OX=9606 GN=MPL PE=1 SV=1
+PQQWYSLPLYSHNAIHTTCCSGSEAMPPCVSLPMTGLCSPQLRRYDMQAQSSCLPLPTRESSKPLIELLSPEVEECTDSVTAKPPSLAATDRLYQGLVRHLDPLSPWLAHRLRRYHAPFQWRLLLLGLVASLGLVLHLATVLSIWATETATEVRTPDSWSSWPGQYTPGNLRARLQLRYRSRPRLELTGGRAGLPPELVKWDQHGEGTYRLQYCTEQAAWSSPHQWELELHGSSIERWHLNPTPLRVAQHIWFPSGLYSHVTGPATTVEVLIHIISDNRSKFHCRSFQPTQLGPNTKEEEECNEWIPYRDRPCCRARSHYFFGQSSAHDQQQWQCTVNKLDLTFCQLGLAVADGPLDVTVPLSWSGWSGGLSIGDPESRLQLWYSNGPQLGSILCSGGEATLASAERSPSTQKPGDQWPMTPQACPSQDLASASHPRQLAPCCTETAILQIVTPGTSNKPDRPGYRLEYRLFDSIEPAPEEWSIQLEGPQSGGMAKIISPPAPLGVSDVFLVRQTRTQNLFVNKVWLHLPFFLRVEEQDPFQCVYRTGFHPMSQSSLPCARPKERPYAYLLQYTGSPAAEEEDWFCTLDEFTRSFCKLPESDSALLSVDQSSVQALNQPALLLCSTVMFLAWSPM
+>sp|Q9Y5R8|TPPC1_HUMAN Trafficking protein particle complex subunit 1 OS=Homo sapiens OX=9606 GN=TRAPPC1 PE=1 SV=1
+MTVHNLYLFDRNGVCLHYSEWHRKKQAGIPKEEEYKLMYGMLFSIRSFVSKMSPLDMKDGFLAFQTSRYKLHYYETPTGIKVVMNTDLGVGPIRDVLHHIYSALYVELVVKNPLCPLGQTVQSELFRSRLDSYVRSLPFFSARAG
+>DECOY_sp|Q9Y5R8|TPPC1_HUMAN Trafficking protein particle complex subunit 1 OS=Homo sapiens OX=9606 GN=TRAPPC1 PE=1 SV=1
+GARASFFPLSRVYSDLRSRFLESQVTQGLPCLPNKVVLEVYLASYIHHLVDRIPGVGLDTNMVVKIGTPTEYYHLKYRSTQFALFGDKMDLPSMKSVFSRISFLMGYMLKYEEEKPIGAQKKRHWESYHLCVGNRDFLYLNHVTM
+>sp|Q9Y296|TPPC4_HUMAN Trafficking protein particle complex subunit 4 OS=Homo sapiens OX=9606 GN=TRAPPC4 PE=1 SV=1
+MAIFSVYVVNKAGGLIYQLDSYAPRAEAEKTFSYPLDLLLKLHDERVLVAFGQRDGIRVGHAVLAINGMDVNGRYTADGKEVLEYLGNPANYPVSIRFGRPRLTSNEKLMLASMFHSLFAIGSQLSPEQGSSGIEMLETDTFKLHCYQTLTGIKFVVLADPRQAGIDSLLRKIYEIYSDFALKNPFYSLEMPIRCELFDQNLKLALEVAEKAGTFGPGS
+>DECOY_sp|Q9Y296|TPPC4_HUMAN Trafficking protein particle complex subunit 4 OS=Homo sapiens OX=9606 GN=TRAPPC4 PE=1 SV=1
+SGPGFTGAKEAVELALKLNQDFLECRIPMELSYFPNKLAFDSYIEYIKRLLSDIGAQRPDALVVFKIGTLTQYCHLKFTDTELMEIGSSGQEPSLQSGIAFLSHFMSALMLKENSTLRPRGFRISVPYNAPNGLYELVEKGDATYRGNVDMGNIALVAHGVRIGDRQGFAVLVREDHLKLLLDLPYSFTKEAEARPAYSDLQYILGGAKNVVYVSFIAM
+>sp|Q96Q05|TPPC9_HUMAN Trafficking protein particle complex subunit 9 OS=Homo sapiens OX=9606 GN=TRAPPC9 PE=1 SV=2
+MSVPDYMQCAEDHQTLLVVVQPVGIVSEENFFRIYKRICSVSQISVRDSQRVLYIRYRHHYPPENNEWGDFQTHRKVVGLITITDCFSAKDWPQTFEKFHVQKEIYGSTLYDSRLFVFGLQGEIVEQPRTDVAFYPNYEDCQTVEKRIEDFIESLFIVLESKRLDRATDKSGDKIPLLCVPFEKKDFVGLDTDSRHYKKRCQGRMRKHVGDLCLQAGMLQDSLVHYHMSVELLRSVNDFLWLGAALEGLCSASVIYHYPGGTGGKSGARRFQGSTLPAEAANRHRPGAQEVLIDPGALTTNGINPDTSTEIGRAKNCLSPEDIIDKYKEAISYYSKYKNAGVIELEACIKAVRVLAIQKRSMEASEFLQNAVYINLRQLSEEEKIQRYSILSELYELIGFHRKSAFFKRVAAMQCVAPSIAEPGWRACYKLLLETLPGYSLSLDPKDFSRGTHRGWAAVQMRLLHELVYASRRMGNPALSVRHLSFLLQTMLDFLSDQEKKDVAQSLENYTSKCPGTMEPIALPGGLTLPPVPFTKLPIVRHVKLLNLPASLRPHKMKSLLGQNVSTKSPFIYSPIIAHNRGEERNKKIDFQWVQGDVCEVQLMVYNPMPFELRVENMGLLTSGVEFESLPAALSLPAESGLYPVTLVGVPQTTGTITVNGYHTTVFGVFSDCLLDNLPGIKTSGSTVEVIPALPRLQISTSLPRSAHSLQPSSGDEISTNVSVQLYNGESQQLIIKLENIGMEPLEKLEVTSKVLTTKEKLYGDFLSWKLEETLAQFPLQPGKVATFTINIKVKLDFSCQENLLQDLSDDGISVSGFPLSSPFRQVVRPRVEGKPVNPPESNKAGDYSHVKTLEAVLNFKYSGGPGHTEGYYRNLSLGLHVEVEPSVFFTRVSTLPATSTRQCHLLLDVFNSTEHELTVSTRSSEALILHAGECQRMAIQVDKFNFESFPESPGEKGQFANPKQLEEERREARGLEIHSKLGICWRIPSLKRSGEASVEGLLNQLVLEHLQLAPLQWDVLVDGQPCDREAVAACQVGDPVRLEVRLTNRSPRSVGPFALTVVPFQDHQNGVHNYDLHDTVSFVGSSTFYLDAVQPSGQSACLGALLFLYTGDFFLHIRFHEDSTSKELPPSWFCLPSVHVCALEAQA
+>DECOY_sp|Q96Q05|TPPC9_HUMAN Trafficking protein particle complex subunit 9 OS=Homo sapiens OX=9606 GN=TRAPPC9 PE=1 SV=2
+AQAELACVHVSPLCFWSPPLEKSTSDEHFRIHLFFDGTYLFLLAGLCASQGSPQVADLYFTSSGVFSVTDHLDYNHVGNQHDQFPVVTLAFPGVSRPSRNTLRVELRVPDGVQCAAVAERDCPQGDVLVDWQLPALQLHELVLQNLLGEVSAEGSRKLSPIRWCIGLKSHIELGRAERREEELQKPNAFQGKEGPSEPFSEFNFKDVQIAMRQCEGAHLILAESSRTSVTLEHETSNFVDLLLHCQRTSTAPLTSVRTFFVSPEVEVHLGLSLNRYYGETHGPGGSYKFNLVAELTKVHSYDGAKNSEPPNVPKGEVRPRVVQRFPSSLPFGSVSIGDDSLDQLLNEQCSFDLKVKINITFTAVKGPQLPFQALTEELKWSLFDGYLKEKTTLVKSTVELKELPEMGINELKIILQQSEGNYLQVSVNTSIEDGSSPQLSHASRPLSTSIQLRPLAPIVEVTSGSTKIGPLNDLLCDSFVGFVTTHYGNVTITGTTQPVGVLTVPYLGSEAPLSLAAPLSEFEVGSTLLGMNEVRLEFPMPNYVMLQVECVDGQVWQFDIKKNREEGRNHAIIPSYIFPSKTSVNQGLLSKMKHPRLSAPLNLLKVHRVIPLKTFPVPPLTLGGPLAIPEMTGPCKSTYNELSQAVDKKEQDSLFDLMTQLLFSLHRVSLAPNGMRRSAYVLEHLLRMQVAAWGRHTGRSFDKPDLSLSYGPLTELLLKYCARWGPEAISPAVCQMAAVRKFFASKRHFGILEYLESLISYRQIKEEESLQRLNIYVANQLFESAEMSRKQIALVRVAKICAELEIVGANKYKSYYSIAEKYKDIIDEPSLCNKARGIETSTDPNIGNTTLAGPDILVEQAGPRHRNAAEAPLTSGQFRRAGSKGGTGGPYHYIVSASCLGELAAGLWLFDNVSRLLEVSMHYHVLSDQLMGAQLCLDGVHKRMRGQCRKKYHRSDTDLGVFDKKEFPVCLLPIKDGSKDTARDLRKSELVIFLSEIFDEIRKEVTQCDEYNPYFAVDTRPQEVIEGQLGFVFLRSDYLTSGYIEKQVHFKEFTQPWDKASFCDTITILGVVKRHTQFDGWENNEPPYHHRYRIYLVRQSDRVSIQSVSCIRKYIRFFNEESVIGVPQVVVLLTQHDEACQMYDPVSM
+>sp|P59282|TPPP2_HUMAN Tubulin polymerization-promoting protein family member 2 OS=Homo sapiens OX=9606 GN=TPPP2 PE=1 SV=2
+MASEAEKTFHRFAAFGESSSSGTEMNNKNFSKLCKDCGIMDGKTVTSTDVDIVFSKVKAKNARTITFQQFKEAVKELGQKRFKGKSPDEVLENIYGLMEGKDPATTGATKATTVGAVDRLTDTSKYTGTHKERFDESGKGKGIAGREEMTDNTGYVSGYKGSGTYDKKTK
+>DECOY_sp|P59282|TPPP2_HUMAN Tubulin polymerization-promoting protein family member 2 OS=Homo sapiens OX=9606 GN=TPPP2 PE=1 SV=2
+KTKKDYTGSGKYGSVYGTNDTMEERGAIGKGKGSEDFREKHTGTYKSTDTLRDVAGVTTAKTAGTTAPDKGEMLGYINELVEDPSKGKFRKQGLEKVAEKFQQFTITRANKAKVKSFVIDVDTSTVTKGDMIGCDKCLKSFNKNNMETGSSSSEGFAAFRHFTKEAESAM
+>sp|Q86W33|TPRA1_HUMAN Transmembrane protein adipocyte-associated 1 OS=Homo sapiens OX=9606 GN=TPRA1 PE=1 SV=1
+MDTLEEVTWANGSTALPPPLAPNISVPHRCLLLLYEDIGTSRVRYWDLLLLIPNVLFLIFLLWKLPSARAKIRITSSPIFITFYILVFVVALVGIARAVVSMTVSTSNAATVADKILWEITRFFLLAIELSVIILGLAFGHLESKSSIKRVLAITTVLSLAYSVTQGTLEILYPDAHLSAEDFNIYGHGGRQFWLVSSCFFFLVYSLVVILPKTPLKERISLPSRRSFYVYAGILALLNLLQGLGSVLLCFDIIEGLCCVDATTFLYFSFFAPLIYVAFLRGFFGSEPKILFSYKCQVDETEEPDVHLPQPYAVARREGLEAAGAAGASAASYSSTQFDSAGGVAYLDDIASMPCHTGSINSTDSERWKAINA
+>DECOY_sp|Q86W33|TPRA1_HUMAN Transmembrane protein adipocyte-associated 1 OS=Homo sapiens OX=9606 GN=TPRA1 PE=1 SV=1
+ANIAKWRESDTSNISGTHCPMSAIDDLYAVGGASDFQTSSYSAASAGAAGAAELGERRAVAYPQPLHVDPEETEDVQCKYSFLIKPESGFFGRLFAVYILPAFFSFYLFTTADVCCLGEIIDFCLLVSGLGQLLNLLALIGAYVYFSRRSPLSIREKLPTKPLIVVLSYVLFFFCSSVLWFQRGGHGYINFDEASLHADPYLIELTGQTVSYALSLVTTIALVRKISSKSELHGFALGLIIVSLEIALLFFRTIEWLIKDAVTAANSTSVTMSVVARAIGVLAVVFVLIYFTIFIPSSTIRIKARASPLKWLLFILFLVNPILLLLDWYRVRSTGIDEYLLLLCRHPVSINPALPPPLATSGNAWTVEELTDM
+>sp|Q5T0D9|TPRGL_HUMAN Tumor protein p63-regulated gene 1-like protein OS=Homo sapiens OX=9606 GN=TPRG1L PE=1 SV=1
+MLQLRDSVDSAGTSPTAVLAAGEEVGAGGGPGGGRPGAGTPLRQTLWPLSIHDPTRRARVKEYFVFRPGSIEQAVEEIRVVVRPVEDGEIQGVWLLTEVDHWNNEKERLVLVTEQSLLICKYDFISLQCQQVVRIALNAVDTISYGEFQFPPKSLNKREGFGIRIQWDKQSRPSFINRWNPWSTNVPYATFTEHPMAGADEKTASLCQLESFKALLIQAVKKAQKESPLPGQANGVLILERPLLIETYVGLMSFINNEAKLGYSMTRGKIGF
+>DECOY_sp|Q5T0D9|TPRGL_HUMAN Tumor protein p63-regulated gene 1-like protein OS=Homo sapiens OX=9606 GN=TPRG1L PE=1 SV=1
+FGIKGRTMSYGLKAENNIFSMLGVYTEILLPRELILVGNAQGPLPSEKQAKKVAQILLAKFSELQCLSATKEDAGAMPHETFTAYPVNTSWPNWRNIFSPRSQKDWQIRIGFGERKNLSKPPFQFEGYSITDVANLAIRVVQQCQLSIFDYKCILLSQETVLVLREKENNWHDVETLLWVGQIEGDEVPRVVVRIEEVAQEISGPRFVFYEKVRARRTPDHISLPWLTQRLPTGAGPRGGGPGGGAGVEEGAALVATPSTGASDVSDRLQLM
+>sp|Q96RJ3|TR13C_HUMAN Tumor necrosis factor receptor superfamily member 13C OS=Homo sapiens OX=9606 GN=TNFRSF13C PE=1 SV=1
+MRRGPRSLRGRDAPAPTPCVPAECFDLLVRHCVACGLLRTPRPKPAGASSPAPRTALQPQESVGAGAGEAALPLPGLLFGAPALLGLALVLALVLVGLVSWRRRQRRLRGASSAEAPDGDKDAPEPLDKVIILSPGISDATAPAWPPPGEDPGTTPPGHSVPVPATELGSTELVTTKTAGPEQQ
+>DECOY_sp|Q96RJ3|TR13C_HUMAN Tumor necrosis factor receptor superfamily member 13C OS=Homo sapiens OX=9606 GN=TNFRSF13C PE=1 SV=1
+QQEPGATKTTVLETSGLETAPVPVSHGPPTTGPDEGPPPWAPATADSIGPSLIIVKDLPEPADKDGDPAEASSAGRLRRQRRRWSVLGVLVLALVLALGLLAPAGFLLGPLPLAAEGAGAGVSEQPQLATRPAPSSAGAPKPRPTRLLGCAVCHRVLLDFCEAPVCPTPAPADRGRLSRPGRRM
+>sp|Q9Y2W1|TR150_HUMAN Thyroid hormone receptor-associated protein 3 OS=Homo sapiens OX=9606 GN=THRAP3 PE=1 SV=2
+MSKTNKSKSGSRSSRSRSASRSRSRSFSKSRSRSRSLSRSRKRRLSSRSRSRSYSPAHNRERNHPRVYQNRDFRGHNRGYRRPYYFRGRNRGFYPWGQYNRGGYGNYRSNWQNYRQAYSPRRGRSRSRSPKRRSPSPRSRSHSRNSDKSSSDRSRRSSSSRSSSNHSRVESSKRKSAKEKKSSSKDSRPSQAAGDNQGDEAKEQTFSGGTSQDTKASESSKPWPDATYGTGSASRASAVSELSPRERSPALKSPLQSVVVRRRSPRPSPVPKPSPPLSSTSQMGSTLPSGAGYQSGTHQGQFDHGSGSLSPSKKSPVGKSPPSTGSTYGSSQKEESAASGGAAYTKRYLEEQKTENGKDKEQKQTNTDKEKIKEKGSFSDTGLGDGKMKSDSFAPKTDSEKPFRGSQSPKRYKLRDDFEKKMADFHKEEMDDQDKDKAKGRKESEFDDEPKFMSKVIGANKNQEEEKSGKWEGLVYAPPGKEKQRKTEELEEESFPERSKKEDRGKRSEGGHRGFVPEKNFRVTAYKAVQEKSSSPPPRKTSESRDKLGAKGDFPTGKSSFSITREAQVNVRMDSFDEDLARPSGLLAQERKLCRDLVHSNKKEQEFRSIFQHIQSAQSQRSPSELFAQHIVTIVHHVKEHHFGSSGMTLHERFTKYLKRGTEQEAAKNKKSPEIHRRIDISPSTFRKHGLAHDEMKSPREPGYKAEGKYKDDPVDLRLDIERRKKHKERDLKRGKSRESVDSRDSSHSRERSAEKTEKTHKGSKKQKKHRRARDRSRSSSSSSQSSHSYKAEEYTEETEEREESTTGFDKSRLGTKDFVGPSERGGGRARGTFQFRARGRGWGRGNYSGNNNNNSNNDFQKRNREEEWDPEYTPKSKKYYLHDDREGEGSDKWVSRGRGRGAFPRGRGRFMFRKSSTSPKWAHDKFSGEEGEIEDDESGTENREEKDNIQPTTE
+>DECOY_sp|Q9Y2W1|TR150_HUMAN Thyroid hormone receptor-associated protein 3 OS=Homo sapiens OX=9606 GN=THRAP3 PE=1 SV=2
+ETTPQINDKEERNETGSEDDEIEGEEGSFKDHAWKPSTSSKRFMFRGRGRPFAGRGRGRSVWKDSGEGERDDHLYYKKSKPTYEPDWEEERNRKQFDNNSNNNNNGSYNGRGWGRGRARFQFTGRARGGGRESPGVFDKTGLRSKDFGTTSEEREETEETYEEAKYSHSSQSSSSSSRSRDRARRHKKQKKSGKHTKETKEASRERSHSSDRSDVSERSKGRKLDREKHKKRREIDLRLDVPDDKYKGEAKYGPERPSKMEDHALGHKRFTSPSIDIRRHIEPSKKNKAAEQETGRKLYKTFREHLTMGSSGFHHEKVHHVITVIHQAFLESPSRQSQASQIHQFISRFEQEKKNSHVLDRCLKREQALLGSPRALDEDFSDMRVNVQAERTISFSSKGTPFDGKAGLKDRSESTKRPPPSSSKEQVAKYATVRFNKEPVFGRHGGESRKGRDEKKSREPFSEEELEETKRQKEKGPPAYVLGEWKGSKEEEQNKNAGIVKSMFKPEDDFESEKRGKAKDKDQDDMEEKHFDAMKKEFDDRLKYRKPSQSGRFPKESDTKPAFSDSKMKGDGLGTDSFSGKEKIKEKDTNTQKQEKDKGNETKQEELYRKTYAAGGSAASEEKQSSGYTSGTSPPSKGVPSKKSPSLSGSGHDFQGQHTGSQYGAGSPLTSGMQSTSSLPPSPKPVPSPRPSRRRVVVSQLPSKLAPSRERPSLESVASARSASGTGYTADPWPKSSESAKTDQSTGGSFTQEKAEDGQNDGAAQSPRSDKSSSKKEKASKRKSSEVRSHNSSSRSSSSRRSRDSSSKDSNRSHSRSRPSPSRRKPSRSRSRGRRPSYAQRYNQWNSRYNGYGGRNYQGWPYFGRNRGRFYYPRRYGRNHGRFDRNQYVRPHNRERNHAPSYSRSRSRSSLRRKRSRSLSRSRSRSKSFSRSRSRSASRSRSSRSGSKSKNTKSM
+>sp|A6NCK2|TR43B_HUMAN Tripartite motif-containing protein 43B OS=Homo sapiens OX=9606 GN=TRIM43B PE=3 SV=3
+MDSDFSHAFQKELTCVICLNYLVDPVTICCGHSFCRPCLCLSWEEAQSPANCPACREPSPKMDFKTNILLKNLVTIARKASLWQFLSSEKQICGTHRQTKKMFCDMDKSLLCLLCSNSQEHGAHKHYPIEEAAEEDREKLLKQMRILWKKIQENQRNLYEERRTAFLLRGDVVLRAQMIRNEYRKLHPVLHKEEKQHLERLNKEYQEIFQQLQRSWVKMDQKSKHLKEMYQELMEMCHKPEVELLQDLGDIVARSESVLLHMPQPVNPELTAGPITGLVYRLNRFRVEISFHFEVTNHNIRLFEDVRSWMFRRGPLNSDRSDYFAAWGARVFSFGKHYWELDVDNSCDWALGVCNNSWIRKNSTMVNSEDIFLLLCLKVDNHFNLLTTSPVFPHYIEKPLGRVGVFLDFESGSVSFLNVTKSSLIWSYPAGSLTFPVRPFFYTGHR
+>DECOY_sp|A6NCK2|TR43B_HUMAN Tripartite motif-containing protein 43B OS=Homo sapiens OX=9606 GN=TRIM43B PE=3 SV=3
+RHGTYFFPRVPFTLSGAPYSWILSSKTVNLFSVSGSEFDLFVGVRGLPKEIYHPFVPSTTLLNFHNDVKLCLLLFIDESNVMTSNKRIWSNNCVGLAWDCSNDVDLEWYHKGFSFVRAGWAAFYDSRDSNLPGRRFMWSRVDEFLRINHNTVEFHFSIEVRFRNLRYVLGTIPGATLEPNVPQPMHLLVSESRAVIDGLDQLLEVEPKHCMEMLEQYMEKLHKSKQDMKVWSRQLQQFIEQYEKNLRELHQKEEKHLVPHLKRYENRIMQARLVVDGRLLFATRREEYLNRQNEQIKKWLIRMQKLLKERDEEAAEEIPYHKHAGHEQSNSCLLCLLSKDMDCFMKKTQRHTGCIQKESSLFQWLSAKRAITVLNKLLINTKFDMKPSPERCAPCNAPSQAEEWSLCLCPRCFSHGCCITVPDVLYNLCIVCTLEKQFAHSFDSDM
+>sp|A6NMA1|TR5OS_HUMAN Putative uncharacterized protein TRPC5OS OS=Homo sapiens OX=9606 GN=TRPC5OS PE=4 SV=1
+MDSVLIHVLIDGLVACVAQLIRIADELLQFILQVQEVPYVEENGRAEETEADAPLPEEPSLPDLPDLSDLDSILTPREDEDLIFDIDQAMLDMDNLYEDTVSGINDDLTGD
+>DECOY_sp|A6NMA1|TR5OS_HUMAN Putative uncharacterized protein TRPC5OS OS=Homo sapiens OX=9606 GN=TRPC5OS PE=4 SV=1
+DGTLDDNIGSVTDEYLNDMDLMAQDIDFILDEDERPTLISDLDSLDPLDPLSPEEPLPADAETEEARGNEEVYPVEQVQLIFQLLEDAIRILQAVCAVLGDILVHILVSDM
+>sp|A6NLI5|TR64C_HUMAN Tripartite motif-containing protein 64C OS=Homo sapiens OX=9606 GN=TRIM64C PE=3 SV=4
+MDSDTLRVFQNELICCICVNYFIDPVTTDCVHSFCRPCLCLCSEEGRAPMRCPLCRKISEKPNFNTNVALKKLASLARQTRPQNINSSDNICVLHEETKELFCEADKRLLCGPCSESPEHMAHSHSPIGWAAEECRVQKLIKEMDYLWKINQETQNNLNQETSKFCSLVDYVSLRKVIITIQYQKMHIFLDEEEQRHLQALEREAKELFQQLQDSQVRMTQHLEGMKDMYRELWETYHMPDVELLQDVGNISARTDLAQMPKPQPVNPELTSWCITGVLDMLNNFRVDNALSTEMTPCYISLSEDVRRVIFGDDHRSAPMDPQGVESFAVWCAQAFTSGKHYWEVDVTHSSNWILGVCRDSRTADTNIVIDSDKTFFSISSKTSNHYSLSTNSPPLIQYVQRPLGWVGVFLDYDNGSVSFFDVSKGSLIYGFPPSSFSSPLRPFFCFGCT
+>DECOY_sp|A6NLI5|TR64C_HUMAN Tripartite motif-containing protein 64C OS=Homo sapiens OX=9606 GN=TRIM64C PE=3 SV=4
+TCGFCFFPRLPSSFSSPPFGYILSGKSVDFFSVSGNDYDLFVGVWGLPRQVYQILPPSNTSLSYHNSTKSSISFFTKDSDIVINTDATRSDRCVGLIWNSSHTVDVEWYHKGSTFAQACWVAFSEVGQPDMPASRHDDGFIVRRVDESLSIYCPTMETSLANDVRFNNLMDLVGTICWSTLEPNVPQPKPMQALDTRASINGVDQLLEVDPMHYTEWLERYMDKMGELHQTMRVQSDQLQQFLEKAERELAQLHRQEEEDLFIHMKQYQITIIVKRLSVYDVLSCFKSTEQNLNNQTEQNIKWLYDMEKILKQVRCEEAAWGIPSHSHAMHEPSESCPGCLLRKDAECFLEKTEEHLVCINDSSNINQPRTQRALSALKKLAVNTNFNPKESIKRCLPCRMPARGEESCLCLCPRCFSHVCDTTVPDIFYNVCICCILENQFVRLTDSDM
+>sp|Q15628|TRADD_HUMAN Tumor necrosis factor receptor type 1-associated DEATH domain protein OS=Homo sapiens OX=9606 GN=TRADD PE=1 SV=2
+MAAGQNGHEEWVGSAYLFVESSLDKVVLSDAYAHPQQKVAVYRALQAALAESGGSPDVLQMLKIHRSDPQLIVQLRFCGRQPCGRFLRAYREGALRAALQRSLAAALAQHSVPLQLELRAGAERLDALLADEERCLSCILAQQPDRLRDEELAELEDALRNLKCGSGARGGDGEVASAPLQPPVPSLSEVKPPPPPPPAQTFLFQGQPVVNRPLSLKDQQTFARSVGLKWRKVGRSLQRGCRALRDPALDSLAYEYEREGLYEQAFQLLRRFVQAEGRRATLQRLVEALEENELTSLAEDLLGLTDPNGGLA
+>DECOY_sp|Q15628|TRADD_HUMAN Tumor necrosis factor receptor type 1-associated DEATH domain protein OS=Homo sapiens OX=9606 GN=TRADD PE=1 SV=2
+ALGGNPDTLGLLDEALSTLENEELAEVLRQLTARRGEAQVFRRLLQFAQEYLGEREYEYALSDLAPDRLARCGRQLSRGVKRWKLGVSRAFTQQDKLSLPRNVVPQGQFLFTQAPPPPPPPKVESLSPVPPQLPASAVEGDGGRAGSGCKLNRLADELEALEEDRLRDPQQALICSLCREEDALLADLREAGARLELQLPVSHQALAAALSRQLAARLAGERYARLFRGCPQRGCFRLQVILQPDSRHIKLMQLVDPSGGSEALAAQLARYVAVKQQPHAYADSLVVKDLSSEVFLYASGVWEEHGNQGAAM
+>sp|Q12933|TRAF2_HUMAN TNF receptor-associated factor 2 OS=Homo sapiens OX=9606 GN=TRAF2 PE=1 SV=2
+MAAASVTPPGSLELLQPGFSKTLLGTKLEAKYLCSACRNVLRRPFQAQCGHRYCSFCLASILSSGPQNCAACVHEGIYEEGISILESSSAFPDNAARREVESLPAVCPSDGCTWKGTLKEYESCHEGRCPLMLTECPACKGLVRLGEKERHLEHECPERSLSCRHCRAPCCGADVKAHHEVCPKFPLTCDGCGKKKIPREKFQDHVKTCGKCRVPCRFHAIGCLETVEGEKQQEHEVQWLREHLAMLLSSVLEAKPLLGDQSHAGSELLQRCESLEKKTATFENIVCVLNREVERVAMTAEACSRQHRLDQDKIEALSSKVQQLERSIGLKDLAMADLEQKVLEMEASTYDGVFIWKISDFARKRQEAVAGRIPAIFSPAFYTSRYGYKMCLRIYLNGDGTGRGTHLSLFFVVMKGPNDALLRWPFNQKVTLMLLDQNNREHVIDAFRPDVTSSSFQRPVNDMNIASGCPLFCPVSKMEAKNSYVRDDAIFIKAIVDLTGL
+>DECOY_sp|Q12933|TRAF2_HUMAN TNF receptor-associated factor 2 OS=Homo sapiens OX=9606 GN=TRAF2 PE=1 SV=2
+LGTLDVIAKIFIADDRVYSNKAEMKSVPCFLPCGSAINMDNVPRQFSSSTVDPRFADIVHERNNQDLLMLTVKQNFPWRLLADNPGKMVVFFLSLHTGRGTGDGNLYIRLCMKYGYRSTYFAPSFIAPIRGAVAEQRKRAFDSIKWIFVGDYTSAEMELVKQELDAMALDKLGISRELQQVKSSLAEIKDQDLRHQRSCAEATMAVREVERNLVCVINEFTATKKELSECRQLLESGAHSQDGLLPKAELVSSLLMALHERLWQVEHEQQKEGEVTELCGIAHFRCPVRCKGCTKVHDQFKERPIKKKGCGDCTLPFKPCVEHHAKVDAGCCPARCHRCSLSREPCEHELHREKEGLRVLGKCAPCETLMLPCRGEHCSEYEKLTGKWTCGDSPCVAPLSEVERRAANDPFASSSELISIGEEYIGEHVCAACNQPGSSLISALCFSCYRHGCQAQFPRRLVNRCASCLYKAELKTGLLTKSFGPQLLELSGPPTVSAAAM
+>sp|Q9BWF2|TRAIP_HUMAN E3 ubiquitin-protein ligase TRAIP OS=Homo sapiens OX=9606 GN=TRAIP PE=1 SV=1
+MPIRALCTICSDFFDHSRDVAAIHCGHTFHLQCLIQWFETAPSRTCPQCRIQVGKRTIINKLFFDLAQEEENVLDAEFLKNELDNVRAQLSQKDKEKRDSQVIIDTLRDTLEERNATVVSLQQALGKAEMLCSTLKKQMKYLEQQQDETKQAQEEARRLRSKMKTMEQIELLLQSQRPEVEEMIRDMGVGQSAVEQLAVYCVSLKKEYENLKEARKASGEVADKLRKDLFSSRSKLQTVYSELDQAKLELKSAQKDLQSADKEIMSLKKKLTMLQETLNLPPVASETVDRLVLESPAPVEVNLKLRRPSFRDDIDLNATFDVDTPPARPSSSQHGYYEKLCLEKSHSPIQDVPKKICKGPRKESQLSLGGQSCAGEPDEELVGAFPIFVRNAILGQKQPKRPRSESSCSKDVVRTGFDGLGGRTKFIQPTDTVMIRPLPVKPKTKVKQRVRVKTVPSLFQAKLDTFLWS
+>DECOY_sp|Q9BWF2|TRAIP_HUMAN E3 ubiquitin-protein ligase TRAIP OS=Homo sapiens OX=9606 GN=TRAIP PE=1 SV=1
+SWLFTDLKAQFLSPVTKVRVRQKVKTKPKVPLPRIMVTDTPQIFKTRGGLGDFGTRVVDKSCSSESRPRKPQKQGLIANRVFIPFAGVLEEDPEGACSQGGLSLQSEKRPGKCIKKPVDQIPSHSKELCLKEYYGHQSSSPRAPPTDVDFTANLDIDDRFSPRRLKLNVEVPAPSELVLRDVTESAVPPLNLTEQLMTLKKKLSMIEKDASQLDKQASKLELKAQDLESYVTQLKSRSSFLDKRLKDAVEGSAKRAEKLNEYEKKLSVCYVALQEVASQGVGMDRIMEEVEPRQSQLLLEIQEMTKMKSRLRRAEEQAQKTEDQQQELYKMQKKLTSCLMEAKGLAQQLSVVTANREELTDRLTDIIVQSDRKEKDKQSLQARVNDLENKLFEADLVNEEEQALDFFLKNIITRKGVQIRCQPCTRSPATEFWQILCQLHFTHGCHIAAVDRSHDFFDSCITCLARIPM
+>sp|Q9UPV9|TRAK1_HUMAN Trafficking kinesin-binding protein 1 OS=Homo sapiens OX=9606 GN=TRAK1 PE=1 SV=1
+MALVFQFGQPVRAQPLPGLCHGKLIRTNACDVCNSTDLPEVEIISLLEEQLPHYKLRADTIYGYDHDDWLHTPLISPDANIDLTTEQIEETLKYFLLCAERVGQMTKTYNDIDAVTRLLEEKERDLELAARIGQSLLKKNKTLTERNELLEEQVEHIREEVSQLRHELSMKDELLQFYTSAAEESEPESVCSTPLKRNESSSSVQNYFHLDSLQKKLKDLEEENVVLRSEASQLKTETITYEEKEQQLVNDCVKELRDANVQIASISEELAKKTEDAARQQEEITHLLSQIVDLQKKAKACAVENEELVQHLGAAKDAQRQLTAELRELEDKYAECMEMLHEAQEELKNLRNKTMPNTTSRRYHSLGLFPMDSLAAEIEGTMRKELQLEEAESPDITHQKRVFETVRNINQVVKQRSLTPSPMNIPGSNQSSAMNSLLSSCVSTPRSSFYGSDIGNVVLDNKTNSIILETEAADLGNDERSKKPGTPGTPGSHDLETALRRLSLRRENYLSERRFFEEEQERKLQELAEKGELRSGSLTPTESIMSLGTHSRFSEFTGFSGMSFSSRSYLPEKLQIVKPLEGSATLHHWQQLAQPHLGGILDPRPGVVTKGFRTLDVDLDEVYCLNDFEEDDTGDHISLPRLATSTPVQHPETSAHHPGKCMSQTNSTFTFTTCRILHPSDELTRVTPSLNSAPTPACGSTSHLKSTPVATPCTPRRLSLAESFTNTRESTTTMSTSLGLVWLLKERGISAAVYDPQSWDRAGRGSLLHSYTPKMAVIPSTPPNSPMQTPTSSPPSFEFKCTSPPYDNFLASKPASSILREVREKNVRSSESQTDVSVSNLNLVDKVRRFGVAKVVNSGRAHVPTLTEEQGPLLCGPPGPAPALVPRGLVPEGLPLRCPTVTSAIGGLQLNSGIRRNRSFPTMVGSSMQMKAPVTLTSGILMGAKLSKQTSLR
+>DECOY_sp|Q9UPV9|TRAK1_HUMAN Trafficking kinesin-binding protein 1 OS=Homo sapiens OX=9606 GN=TRAK1 PE=1 SV=1
+RLSTQKSLKAGMLIGSTLTVPAKMQMSSGVMTPFSRNRRIGSNLQLGGIASTVTPCRLPLGEPVLGRPVLAPAPGPPGCLLPGQEETLTPVHARGSNVVKAVGFRRVKDVLNLNSVSVDTQSESSRVNKERVERLISSAPKSALFNDYPPSTCKFEFSPPSSTPTQMPSNPPTSPIVAMKPTYSHLLSGRGARDWSQPDYVAASIGREKLLWVLGLSTSMTTTSERTNTFSEALSLRRPTCPTAVPTSKLHSTSGCAPTPASNLSPTVRTLEDSPHLIRCTTFTFTSNTQSMCKGPHHASTEPHQVPTSTALRPLSIHDGTDDEEFDNLCYVEDLDVDLTRFGKTVVGPRPDLIGGLHPQALQQWHHLTASGELPKVIQLKEPLYSRSSFSMGSFGTFESFRSHTGLSMISETPTLSGSRLEGKEALEQLKREQEEEFFRRESLYNERRLSLRRLATELDHSGPTGPTGPKKSREDNGLDAAETELIISNTKNDLVVNGIDSGYFSSRPTSVCSSLLSNMASSQNSGPINMPSPTLSRQKVVQNINRVTEFVRKQHTIDPSEAEELQLEKRMTGEIEAALSDMPFLGLSHYRRSTTNPMTKNRLNKLEEQAEHLMEMCEAYKDELERLEATLQRQADKAAGLHQVLEENEVACAKAKKQLDVIQSLLHTIEEQQRAADETKKALEESISAIQVNADRLEKVCDNVLQQEKEEYTITETKLQSAESRLVVNEEELDKLKKQLSDLHFYNQVSSSSENRKLPTSCVSEPESEEAASTYFQLLEDKMSLEHRLQSVEERIHEVQEELLENRETLTKNKKLLSQGIRAALELDREKEELLRTVADIDNYTKTMQGVREACLLFYKLTEEIQETTLDINADPSILPTHLWDDHDYGYITDARLKYHPLQEELLSIIEVEPLDTSNCVDCANTRILKGHCLGPLPQARVPQGFQFVLAM
+>sp|B7Z8K6|TRDC_HUMAN T-cell receptor delta chain C region OS=Homo sapiens OX=9606 GN=TRDC PE=1 SV=2
+SQPHTKPSVFVMKNGTNVACLVKEFYPKDIRINLVSSKKITEFDPAIVISPSGKYNAVKLGKYEDSNSVTCSVQHDNKTVHSTDFEVKTDSTDHVKPKETENTKQPSKSCHKPKAIVHTEKVNMMSLTVLGLRMLFAKTVAVNFLLTAKLFFL
+>DECOY_sp|B7Z8K6|TRDC_HUMAN T-cell receptor delta chain C region OS=Homo sapiens OX=9606 GN=TRDC PE=1 SV=2
+LFFLKATLLFNVAVTKAFLMRLGLVTLSMMNVKETHVIAKPKHCSKSPQKTNETEKPKVHDTSDTKVEFDTSHVTKNDHQVSCTVSNSDEYKGLKVANYKGSPSIVIAPDFETIKKSSVLNIRIDKPYFEKVLCAVNTGNKMVFVSPKTHPQS
+>sp|P34981|TRFR_HUMAN Thyrotropin-releasing hormone receptor OS=Homo sapiens OX=9606 GN=TRHR PE=1 SV=1
+MENETVSELNQTQLQPRAVVALEYQVVTILLVLIICGLGIVGNIMVVLVVMRTKHMRTPTNCYLVSLAVADLMVLVAAGLPNITDSIYGSWVYGYVGCLCITYLQYLGINASSCSITAFTIERYIAICHPIKAQFLCTFSRAKKIIIFVWAFTSLYCMLWFFLLDLNISTYKDAIVISCGYKISRNYYSPIYLMDFGVFYVVPMILATVLYGFIARILFLNPIPSDPKENSKTWKNDSTHQNTNLNVNTSNRCFNSTVSSRKQVTKMLAVVVILFALLWMPYRTLVVVNSFLSSPFQENWFLLFCRICIYLNSAINPVIYNLMSQKFRAAFRKLCNCKQKPTEKPANYSVALNYSVIKESDHFSTELDDITVTDTYLSATKVSFDDTCLASEVSFSQS
+>DECOY_sp|P34981|TRFR_HUMAN Thyrotropin-releasing hormone receptor OS=Homo sapiens OX=9606 GN=TRHR PE=1 SV=1
+SQSFSVESALCTDDFSVKTASLYTDTVTIDDLETSFHDSEKIVSYNLAVSYNAPKETPKQKCNCLKRFAARFKQSMLNYIVPNIASNLYICIRCFLLFWNEQFPSSLFSNVVVLTRYPMWLLAFLIVVVALMKTVQKRSSVTSNFCRNSTNVNLNTNQHTSDNKWTKSNEKPDSPIPNLFLIRAIFGYLVTALIMPVVYFVGFDMLYIPSYYNRSIKYGCSIVIADKYTSINLDLLFFWLMCYLSTFAWVFIIIKKARSFTCLFQAKIPHCIAIYREITFATISCSSANIGLYQLYTICLCGVYGYVWSGYISDTINPLGAAVLVMLDAVALSVLYCNTPTRMHKTRMVVLVVMINGVIGLGCIILVLLITVVQYELAVVARPQLQTQNLESVTENEM
+>sp|P0CF51|TRGC1_HUMAN T-cell receptor gamma chain C region 1 OS=Homo sapiens OX=9606 GN=TRGC1 PE=1 SV=1
+DKQLDADVSPKPTIFLPSIAETKLQKAGTYLCLLEKFFPDVIKIHWQEKKSNTILGSQEGNTMKTNDTYMKFSWLTVPEKSLDKEHRCIVRHENNKNGVDQEIIFPPIKTDVITMDPKDNCSKDANDTLLLQLTNTSAYYMYLLLLLKSVVYFAIITCCLLRRTAFCCNGEKS
+>DECOY_sp|P0CF51|TRGC1_HUMAN T-cell receptor gamma chain C region 1 OS=Homo sapiens OX=9606 GN=TRGC1 PE=1 SV=1
+SKEGNCCFATRRLLCCTIIAFYVVSKLLLLLYMYYASTNTLQLLLTDNADKSCNDKPDMTIVDTKIPPFIIEQDVGNKNNEHRVICRHEKDLSKEPVTLWSFKMYTDNTKMTNGEQSGLITNSKKEQWHIKIVDPFFKELLCLYTGAKQLKTEAISPLFITPKPSVDADLQKD
+>sp|P03979|TRGV3_HUMAN T cell receptor gamma variable 3 (Fragment) OS=Homo sapiens OX=9606 GN=TRGV3 PE=2 SV=2
+MRWALLVLLAFLSPASQKSSNLEGRTKSVTRQTGSSAEITCDLTVTNTFYIHWYLHQEGKAPQRLLYYDVSTARDVLESGLSPGKYYTHTPRRWSWILRLQNLIENDSGVYYCATWDR
+>DECOY_sp|P03979|TRGV3_HUMAN T cell receptor gamma variable 3 (Fragment) OS=Homo sapiens OX=9606 GN=TRGV3 PE=2 SV=2
+RDWTACYYVGSDNEILNQLRLIWSWRRPTHTYYKGPSLGSELVDRATSVDYYLLRQPAKGEQHLYWHIYFTNTVTLDCTIEASSGTQRTVSKTRGELNSSKQSAPSLFALLVLLAWRM
+>sp|Q07283|TRHY_HUMAN Trichohyalin OS=Homo sapiens OX=9606 GN=TCHH PE=1 SV=2
+MSPLLRSICDITEIFNQYVSHDCDGAALTKKDLKNLLEREFGAVLRRPHDPKTVDLILELLDLDSNGRVDFNEFLLFIFKVAQACYYALGQATGLDEEKRARCDGKESLLQDRRQEEDQRRFEPRDRQLEEEPGQRRRQKRQEQERELAEGEEQSEKQERLEQRDRQRRDEELWRQRQEWQEREERRAEEEQLQSCKGHETEEFPDEEQLRRRELLELRRKGREEKQQQRRERQDRVFQEEEEKEWRKRETVLRKEEEKLQEEEPQRQRELQEEEEQLRKLERQELRRERQEEEQQQQRLRREQQLRRKQEEERREQQEERREQQERREQQEERREQQLRREQEERREQQLRREQEEERREQQLRREQEEERREQQLRREQQLRREQQLRREQQLRREQQLRREQQLRREQQLRREQQLRREQQLRREQEEERHEQKHEQERREQRLKREQEERRDWLKREEETERHEQERRKQQLKRDQEEERRERWLKLEEEERREQQERREQQLRREQEERREQRLKRQEEEERLQQRLRSEQQLRREQEERREQLLKREEEKRLEQERREQRLKREQEERRDQLLKREEERRQQRLKREQEERLEQRLKREEVERLEQEERREQRLKREEPEEERRQQLLKSEEQEERRQQQLRREQQERREQRLKREEEEERLEQRLKREHEEERREQELAEEEQEQARERIKSRIPKWQWQLESEADARQSKVYSRPRKQEGQRRRQEQEEKRRRRESELQWQEEERAHRQQQEEEQRRDFTWQWQAEEKSERGRQRLSARPPLREQRERQLRAEERQQREQRFLPEEEEKEQRRRQRREREKELQFLEEEEQLQRRERAQQLQEEEDGLQEDQERRRSQEQRRDQKWRWQLEEERKRRRHTLYAKPALQEQLRKEQQLLQEEEEELQREEREKRRRQEQERQYREEEQLQQEEEQLLREEREKRRRQERERQYRKDKKLQQKEEQLLGEEPEKRRRQEREKKYREEEELQQEEEQLLREEREKRRRQEWERQYRKKDELQQEEEQLLREEREKRRLQERERQYREEEELQQEEEQLLGEERETRRRQELERQYRKEEELQQEEEQLLREEPEKRRRQERERQCREEEELQQEEEQLLREEREKRRRQELERQYREEEEVQQEEEQLLREEPEKRRRQELERQYREEEELQQEEEQLLREEQEKRRQERERQYREEEELQRQKRKQRYRDEDQRSDLKWQWEPEKENAVRDNKVYCKGRENEQFRQLEDSQLRDRQSQQDLQHLLGEQQERDREQERRRWQQRDRHFPEEEQLEREEQKEAKRRDRKSQEEKQLLREEREEKRRRQETDRKFREEEQLLQEREEQPLRRQERDRKFREEELRHQEQGRKFLEEEQRLRRQERERKFLKEEQQLRCQEREQQLRQDRDRKFREEEQQLSRQERDRKFREEEQQVRRQERERKFLEEEQQLRQERHRKFREEEQLLQEREEQQLHRQERDRKFLEEEQQLRRQERDRKFREQELRSQEPERKFLEEEQQLHRQQRQRKFLQEEQQLRRQERGQQRRQDRDRKFREEEQLRQEREEQQLSRQERDRKFRLEEQKVRRQEQERKFMEDEQQLRRQEGQQQLRQERDRKFREDEQLLQEREEQQLHRQERDRKFLEEEPQLRRQEREQQLRHDRDRKFREEEQLLQEGEEQQLRRQERDRKFREEEQQLRRQERERKFLQEEQQLRRQELERKFREEEQLRQETEQEQLRRQERYRKILEEEQLRPEREEQQLRRQERDRKFREEEQLRQEREEQQLRSQESDRKFREEEQLRQEREEQQLRPQQRDGKYRWEEEQLQLEEQEQRLRQERDRQYRAEEQFATQEKSRREEQELWQEEEQKRRQERERKLREEHIRRQQKEEQRHRQVGEIKSQEGKGHGRLLEPGTHQFASVPVRSSPLYEYIQEQRSQYRP
+>DECOY_sp|Q07283|TRHY_HUMAN Trichohyalin OS=Homo sapiens OX=9606 GN=TCHH PE=1 SV=2
+PRYQSRQEQIYEYLPSSRVPVSAFQHTGPELLRGHGKGEQSKIEGVQRHRQEEKQQRRIHEERLKREREQRRKQEEEQWLEQEERRSKEQTAFQEEARYQRDREQRLRQEQEELQLQEEEWRYKGDRQQPRLQQEEREQRLQEEERFKRDSEQSRLQQEEREQRLQEEERFKRDREQRRLQQEEREPRLQEEELIKRYREQRRLQEQETEQRLQEEERFKRELEQRRLQQEEQLFKREREQRRLQQEEERFKRDREQRRLQQEEGEQLLQEEERFKRDRDHRLQQEREQRRLQPEEELFKRDREQRHLQQEEREQLLQEDERFKRDREQRLQQQGEQRRLQQEDEMFKREQEQRRVKQEELRFKRDREQRSLQQEEREQRLQEEERFKRDRDQRRQQGREQRRLQQEEQLFKRQRQQRHLQQEEELFKREPEQSRLEQERFKRDREQRRLQQEEELFKRDREQRHLQQEEREQLLQEEERFKRHREQRLQQEEELFKREREQRRVQQEEERFKRDREQRSLQQEEERFKRDRDQRLQQEREQCRLQQEEKLFKREREQRRLRQEEELFKRGQEQHRLEEERFKRDREQRRLPQEEREQLLQEEERFKRDTEQRRRKEEREERLLQKEEQSKRDRRKAEKQEERELQEEEPFHRDRQQWRRREQERDREQQEGLLHQLDQQSQRDRLQSDELQRFQENERGKCYVKNDRVANEKEPEWQWKLDSRQDEDRYRQKRKQRQLEEEERYQREREQRRKEQEERLLQEEEQQLEEEERYQRELEQRRRKEPEERLLQEEEQQVEEEERYQRELEQRRRKEREERLLQEEEQQLEEEERCQREREQRRRKEPEERLLQEEEQQLEEEKRYQRELEQRRRTEREEGLLQEEEQQLEEEERYQREREQLRRKEREERLLQEEEQQLEDKKRYQREWEQRRRKEREERLLQEEEQQLEEEERYKKEREQRRRKEPEEGLLQEEKQQLKKDKRYQREREQRRRKEREERLLQEEEQQLQEEERYQREQEQRRRKEREERQLEEEEEQLLQQEKRLQEQLAPKAYLTHRRRKREEELQWRWKQDRRQEQSRRREQDEQLGDEEEQLQQARERRQLQEEEELFQLEKERERRQRRRQEKEEEEPLFRQERQQREEARLQRERQERLPPRASLRQRGRESKEEAQWQWTFDRRQEEEQQQRHAREEEQWQLESERRRRKEEQEQRRRQGEQKRPRSYVKSQRADAESELQWQWKPIRSKIRERAQEQEEEALEQERREEEHERKLRQELREEEEERKLRQERREQQERRLQQQRREEQEESKLLQQRREEEPEERKLRQERREEQELREVEERKLRQELREEQERKLRQQRREEERKLLQDRREEQERKLRQERREQELRKEEERKLLQERREEQERRLQQESRLRQQLREEEEQRKLRQERREEQERRLQQERREQQERREEEELKLWRERREEEQDRKLQQKRREQEHRETEEERKLWDRREEQERKLRQERREQEHKQEHREEEQERRLQQERRLQQERRLQQERRLQQERRLQQERRLQQERRLQQERRLQQERRLQQERREEEQERRLQQERREEEQERRLQQERREEQERRLQQERREEQQERREQQERREEQQERREEEQKRRLQQERRLRQQQQEEEQRERRLEQRELKRLQEEEEQLERQRQPEEEQLKEEEKRLVTERKRWEKEEEEQFVRDQRERRQQQKEERGKRRLELLERRRLQEEDPFEETEHGKCSQLQEEEARREEREQWEQRQRWLEEDRRQRDRQELREQKESQEEGEALEREQEQRKQRRRQGPEEELQRDRPEFRRQDEEQRRDQLLSEKGDCRARKEEDLGTAQGLAYYCAQAVKFIFLLFENFDVRGNSDLDLLELILDVTKPDHPRRLVAGFERELLNKLDKKTLAAGDCDHSVYQNFIETIDCISRLLPSM
+>sp|Q12899|TRI26_HUMAN Tripartite motif-containing protein 26 OS=Homo sapiens OX=9606 GN=TRIM26 PE=1 SV=1
+MATSAPLRSLEEEVTCSICLDYLRDPVTIDCGHVFCRSCTTDVRPISGSRPVCPLCKKPFKKENIRPVWQLASLVENIERLKVDKGRQPGEVTREQQDAKLCERHREKLHYYCEDDGKLLCVMCRESREHRPHTAVLMEKAAQPHREKILNHLSTLRRDRDKIQGFQAKGEADILAALKKLQDQRQYIVAEFEQGHQFLREREEHLLEQLAKLEQELTEGREKFKSRGVGELARLALVISELEGKAQQPAAELMQDTRDFLNRYPRKKFWVGKPIARVVKKKTGEFSDKLLSLQRGLREFQGKLLRDLEYKTVSVTLDPQSASGYLQLSEDWKCVTYTSLYKSAYLHPQQFDCEPGVLGSKGFTWGKVYWEVEVEREGWSEDEEEGDEEEEGEEEEEEEEAGYGDGYDDWETDEDEESLGDEEEEEEEEEEEVLESCMVGVARDSVKRKGDLSLRPEDGVWALRLSSSGIWANTSPEAELFPALRPRRVGIALDYEGGTVTFTNAESQELIYTFTATFTRRLVPFLWLKWPGTRLLLRP
+>DECOY_sp|Q12899|TRI26_HUMAN Tripartite motif-containing protein 26 OS=Homo sapiens OX=9606 GN=TRIM26 PE=1 SV=1
+PRLLLRTGPWKLWLFPVLRRTFTATFTYILEQSEANTFTVTGGEYDLAIGVRRPRLAPFLEAEPSTNAWIGSSSLRLAWVGDEPRLSLDGKRKVSDRAVGVMCSELVEEEEEEEEEEEDGLSEEDEDTEWDDYGDGYGAEEEEEEEEGEEEEDGEEEDESWGEREVEVEWYVKGWTFGKSGLVGPECDFQQPHLYASKYLSTYTVCKWDESLQLYGSASQPDLTVSVTKYELDRLLKGQFERLGRQLSLLKDSFEGTKKKVVRAIPKGVWFKKRPYRNLFDRTDQMLEAAPQQAKGELESIVLALRALEGVGRSKFKERGETLEQELKALQELLHEERERLFQHGQEFEAVIYQRQDQLKKLAALIDAEGKAQFGQIKDRDRRLTSLHNLIKERHPQAAKEMLVATHPRHERSERCMVCLLKGDDECYYHLKERHRECLKADQQERTVEGPQRGKDVKLREINEVLSALQWVPRINEKKFPKKCLPCVPRSGSIPRVDTTCSRCFVHGCDITVPDRLYDLCISCTVEEELSRLPASTAM
+>sp|Q13049|TRI32_HUMAN E3 ubiquitin-protein ligase TRIM32 OS=Homo sapiens OX=9606 GN=TRIM32 PE=1 SV=2
+MAAAAASHLNLDALREVLECPICMESFTEEQLRPKLLHCGHTICRQCLEKLLASSINGVRCPFCSKITRITSLTQLTDNLTVLKIIDTAGLSEAVGLLMCRSCGRRLPRQFCRSCGLVLCEPCREADHQPPGHCTLPVKEAAEERRRDFGEKLTRLRELMGELQRRKAALEGVSKDLQARYKAVLQEYGHEERRVQDELARSRKFFTGSLAEVEKSNSQVVEEQSYLLNIAEVQAVSRCDYFLAKIKQADVALLEETADEEEPELTASLPRELTLQDVELLKVGHVGPLQIGQAVKKPRTVNVEDSWAMEATASAASTSVTFREMDMSPEEVVASPRASPAKQRGPEAASNIQQCLFLKKMGAKGSTPGMFNLPVSLYVTSQGEVLVADRGNYRIQVFTRKGFLKEIRRSPSGIDSFVLSFLGADLPNLTPLSVAMNCQGLIGVTDSYDNSLKVYTLDGHCVACHRSQLSKPWGITALPSGQFVVTDVEGGKLWCFTVDRGSGVVKYSCLCSAVRPKFVTCDAEGTVYFTQGLGLNLENRQNEHHLEGGFSIGSVGPDGQLGRQISHFFSENEDFRCIAGMCVDARGDLIVADSSRKEILHFPKGGGYSVLIREGLTCPVGIALTPKGQLLVLDCWDHCIKIYSYHLRRYSTP
+>DECOY_sp|Q13049|TRI32_HUMAN E3 ubiquitin-protein ligase TRIM32 OS=Homo sapiens OX=9606 GN=TRIM32 PE=1 SV=2
+PTSYRRLHYSYIKICHDWCDLVLLQGKPTLAIGVPCTLGERILVSYGGGKPFHLIEKRSSDAVILDGRADVCMGAICRFDENESFFHSIQRGLQGDPGVSGISFGGELHHENQRNELNLGLGQTFYVTGEADCTVFKPRVASCLCSYKVVGSGRDVTFCWLKGGEVDTVVFQGSPLATIGWPKSLQSRHCAVCHGDLTYVKLSNDYSDTVGILGQCNMAVSLPTLNPLDAGLFSLVFSDIGSPSRRIEKLFGKRTFVQIRYNGRDAVLVEGQSTVYLSVPLNFMGPTSGKAGMKKLFLCQQINSAAEPGRQKAPSARPSAVVEEPSMDMERFTVSTSAASATAEMAWSDEVNVTRPKKVAQGIQLPGVHGVKLLEVDQLTLERPLSATLEPEEEDATEELLAVDAQKIKALFYDCRSVAQVEAINLLYSQEEVVQSNSKEVEALSGTFFKRSRALEDQVRREEHGYEQLVAKYRAQLDKSVGELAAKRRQLEGMLERLRTLKEGFDRRREEAAEKVPLTCHGPPQHDAERCPECLVLGCSRCFQRPLRRGCSRCMLLGVAESLGATDIIKLVTLNDTLQTLSTIRTIKSCFPCRVGNISSALLKELCQRCITHGCHLLKPRLQEETFSEMCIPCELVERLADLNLHSAAAAAM
+>sp|Q9BYJ4|TRI34_HUMAN Tripartite motif-containing protein 34 OS=Homo sapiens OX=9606 GN=TRIM34 PE=1 SV=2
+MASKILLNVQEEVTCPICLELLTEPLSLDCGHSLCRACITVSNKEAVTSMGGKSSCPVCGISYSFEHLQANQHLANIVERLKEVKLSPDNGKKRDLCDHHGEKLLLFCKEDRKVICWLCERSQEHRGHHTVLTEEVFKECQEKLQAVLKRLKKEEEEAEKLEADIREEKTSWKYQVQTERQRIQTEFDQLRSILNNEEQRELQRLEEEEKKTLDKFAEAEDELVQQKQLVRELISDVECRSQWSTMELLQDMSGIMKWSEIWRLKKPKMVSKKLKTVFHAPDLSRMLQMFRELTAVRCYWVDVTLNSVNLNLNLVLSEDQRQVISVPIWPFQCYNYGVLGSQYFSSGKHYWEVDVSKKTAWILGVYCRTYSRHMKYVVRRCANRQNLYTKYRPLFGYWVIGLQNKCKYGVFEESLSSDPEVLTLSMAVPPCRVGVFLDYEAGIVSFFNVTSHGSLIYKFSKCCFSQPVYPYFNPWNCPAPMTLCPPSS
+>DECOY_sp|Q9BYJ4|TRI34_HUMAN Tripartite motif-containing protein 34 OS=Homo sapiens OX=9606 GN=TRIM34 PE=1 SV=2
+SSPPCLTMPAPCNWPNFYPYVPQSFCCKSFKYILSGHSTVNFFSVIGAEYDLFVGVRCPPVAMSLTLVEPDSSLSEEFVGYKCKNQLGIVWYGFLPRYKTYLNQRNACRRVVYKMHRSYTRCYVGLIWATKKSVDVEWYHKGSSFYQSGLVGYNYCQFPWIPVSIVQRQDESLVLNLNLNVSNLTVDVWYCRVATLERFMQLMRSLDPAHFVTKLKKSVMKPKKLRWIESWKMIGSMDQLLEMTSWQSRCEVDSILERVLQKQQVLEDEAEAFKDLTKKEEEELRQLERQEENNLISRLQDFETQIRQRETQVQYKWSTKEERIDAELKEAEEEEKKLRKLVAQLKEQCEKFVEETLVTHHGRHEQSRECLWCIVKRDEKCFLLLKEGHHDCLDRKKGNDPSLKVEKLREVINALHQNAQLHEFSYSIGCVPCSSKGGMSTVAEKNSVTICARCLSHGCDLSLPETLLELCIPCTVEEQVNLLIKSAM
+>sp|O94972|TRI37_HUMAN E3 ubiquitin-protein ligase TRIM37 OS=Homo sapiens OX=9606 GN=TRIM37 PE=1 SV=2
+MDEQSVESIAEVFRCFICMEKLRDARLCPHCSKLCCFSCIRRWLTEQRAQCPHCRAPLQLRELVNCRWAEEVTQQLDTLQLCSLTKHEENEKDKCENHHEKLSVFCWTCKKCICHQCALWGGMHGGHTFKPLAEIYEQHVTKVNEEVAKLRRRLMELISLVQEVERNVEAVRNAKDERVREIRNAVEMMIARLDTQLKNKLITLMGQKTSLTQETELLESLLQEVEHQLRSCSKSELISKSSEILMMFQQVHRKPMASFVTTPVPPDFTSELVPSYDSATFVLENFSTLRQRADPVYSPPLQVSGLCWRLKVYPDGNGVVRGYYLSVFLELSAGLPETSKYEYRVEMVHQSCNDPTKNIIREFASDFEVGECWGYNRFFRLDLLANEGYLNPQNDTVILRFQVRSPTFFQKSRDQHWYITQLEAAQTSYIQQINNLKERLTIELSRTQKSRDLSPPDNHLSPQNDDALETRAKKSACSDMLLEGGPTTASVREAKEDEEDEEKIQNEDYHHELSDGDLDLDLVYEDEVNQLDGSSSSASSTATSNTEENDIDEETMSGENDVEYNNMELEEGELMEDAAAAGPAGSSHGYVGSSSRISRRTHLCSAATSSLLDIDPLILIHLLDLKDRSSIENLWGLQPRPPASLLQPTASYSRKDKDQRKQQAMWRVPSDLKMLKRLKTQMAEVRCMKTDVKNTLSEIKSSSAASGDMQTSLFSADQAALAACGTENSGRLQDLGMELLAKSSVANCYIRNSTNKKSNSPKPARSSVAGSLSLRRAVDPGENSRSKGDCQTLSEGSPGSSQSGSRHSSPRALIHGSIGDILPKTEDRQCKALDSDAVVVAVFSGLPAVEKRRKMVTLGANAKGGHLEGLQMTDLENNSETGELQPVLPEGASAAPEEGMSSDSDIECDTENEEQEEHTSVGGFHDSFMVMTQPPDEDTHSSFPDGEQIGPEDLSFNTDENSGR
+>DECOY_sp|O94972|TRI37_HUMAN E3 ubiquitin-protein ligase TRIM37 OS=Homo sapiens OX=9606 GN=TRIM37 PE=1 SV=2
+RGSNEDTNFSLDEPGIQEGDPFSSHTDEDPPQTMVMFSDHFGGVSTHEEQEENETDCEIDSDSSMGEEPAASAGEPLVPQLEGTESNNELDTMQLGELHGGKANAGLTVMKRRKEVAPLGSFVAVVVADSDLAKCQRDETKPLIDGISGHILARPSSHRSGSQSSGPSGESLTQCDGKSRSNEGPDVARRLSLSGAVSSRAPKPSNSKKNTSNRIYCNAVSSKALLEMGLDQLRGSNETGCAALAAQDASFLSTQMDGSAASSSKIESLTNKVDTKMCRVEAMQTKLRKLMKLDSPVRWMAQQKRQDKDKRSYSATPQLLSAPPRPQLGWLNEISSRDKLDLLHILILPDIDLLSSTAASCLHTRRSIRSSSGVYGHSSGAPGAAAADEMLEGEELEMNNYEVDNEGSMTEEDIDNEETNSTATSSASSSSGDLQNVEDEYVLDLDLDGDSLEHHYDENQIKEEDEEDEKAERVSATTPGGELLMDSCASKKARTELADDNQPSLHNDPPSLDRSKQTRSLEITLREKLNNIQQIYSTQAAELQTIYWHQDRSKQFFTPSRVQFRLIVTDNQPNLYGENALLDLRFFRNYGWCEGVEFDSAFERIINKTPDNCSQHVMEVRYEYKSTEPLGASLELFVSLYYGRVVGNGDPYVKLRWCLGSVQLPPSYVPDARQRLTSFNELVFTASDYSPVLESTFDPPVPTTVFSAMPKRHVQQFMMLIESSKSILESKSCSRLQHEVEQLLSELLETEQTLSTKQGMLTILKNKLQTDLRAIMMEVANRIERVREDKANRVAEVNREVEQVLSILEMLRRRLKAVEENVKTVHQEYIEALPKFTHGGHMGGWLACQHCICKKCTWCFVSLKEHHNECKDKENEEHKTLSCLQLTDLQQTVEEAWRCNVLERLQLPARCHPCQARQETLWRRICSFCCLKSCHPCLRADRLKEMCIFCRFVEAISEVSQEDM
+>sp|Q96DX7|TRI44_HUMAN Tripartite motif-containing protein 44 OS=Homo sapiens OX=9606 GN=TRIM44 PE=1 SV=1
+MASGVGAAFEELPHDGTCDECEPDEAPGAEEVCRECGFCYCRRHAEAHRQKFLSHHLAEYVHGSQAWTPPADGEGAGKEEAEVKVEQEREIESEAGEESESEEESESEEESETEEESEDESDEESEEDSEEEMEDEQESEAEEDNQEEGESEAEGETEAESEFDPEIEMEAERVAKRKCPDHGLDLSTYCQEDRQLICVLCPVIGAHQGHQLSTLDEAFEELRSKDSGGLKAAMIELVERLKFKSSDPKVTRDQMKMFIQQEFKKVQKVIADEEQKALHLVDIQEAMATAHVTEILADIQSHMDRLMTQMAQAKEQLDTSNESAEPKAEGDEEGPSGASEEEDT
+>DECOY_sp|Q96DX7|TRI44_HUMAN Tripartite motif-containing protein 44 OS=Homo sapiens OX=9606 GN=TRIM44 PE=1 SV=1
+TDEEESAGSPGEEDGEAKPEASENSTDLQEKAQAMQTMLRDMHSQIDALIETVHATAMAEQIDVLHLAKQEEDAIVKQVKKFEQQIFMKMQDRTVKPDSSKFKLREVLEIMAAKLGGSDKSRLEEFAEDLTSLQHGQHAGIVPCLVCILQRDEQCYTSLDLGHDPCKRKAVREAEMEIEPDFESEAETEGEAESEGEEQNDEEAESEQEDEMEEESDEESEEDSEDESEEETESEEESESEEESESEEGAESEIEREQEVKVEAEEKGAGEGDAPPTWAQSGHVYEALHHSLFKQRHAEAHRRCYCFGCERCVEEAGPAEDPECEDCTGDHPLEEFAAGVGSAM
+>sp|Q9BYV6|TRI55_HUMAN Tripartite motif-containing protein 55 OS=Homo sapiens OX=9606 GN=TRIM55 PE=1 SV=2
+MSASLNYKSFSKEQQTMDNLEKQLICPICLEMFTKPVVILPCQHNLCRKCASDIFQASNPYLPTRGGTTMASGGRFRCPSCRHEVVLDRHGVYGLQRNLLVENIIDIYKQESTRPEKKSDQPMCEEHEEERINIYCLNCEVPTCSLCKVFGAHKDCQVAPLTHVFQRQKSELSDGIAILVGSNDRVQGVISQLEDTCKTIEECCRKQKQELCEKFDYLYGILEERKNEMTQVITRTQEEKLEHVRALIKKYSDHLENVSKLVESGIQFMDEPEMAVFLQNAKTLLKKISEASKAFQMEKIEHGYENMNHFTVNLNREEKIIREIDFYREDEDEEEEEGGEGEKEGEGEVGGEAVEVEEVENVQTEFPGEDENPEKASELSQVELQAAPGALPVSSPEPPPALPPAADAPVTQGEVVPTGSEQTTESETPVPAAAETADPLFYPSWYKGQTRKATTNPPCTPGSEGLGQIGPPGSEDSNVRKAEVAAAAASERAAVSGKETSAPAATSQIGFEAPPLQGQAAAPASGSGADSEPARHIFSFSWLNSLNE
+>DECOY_sp|Q9BYV6|TRI55_HUMAN Tripartite motif-containing protein 55 OS=Homo sapiens OX=9606 GN=TRIM55 PE=1 SV=2
+ENLSNLWSFSFIHRAPESDAGSGSAPAAAQGQLPPAEFGIQSTAAPASTEKGSVAARESAAAAAVEAKRVNSDESGPPGIQGLGESGPTCPPNTTAKRTQGKYWSPYFLPDATEAAAPVPTESETTQESGTPVVEGQTVPADAAPPLAPPPEPSSVPLAGPAAQLEVQSLESAKEPNEDEGPFETQVNEVEEVEVAEGGVEGEGEKEGEGGEEEEEDEDERYFDIERIIKEERNLNVTFHNMNEYGHEIKEMQFAKSAESIKKLLTKANQLFVAMEPEDMFQIGSEVLKSVNELHDSYKKILARVHELKEEQTRTIVQTMENKREELIGYLYDFKECLEQKQKRCCEEITKCTDELQSIVGQVRDNSGVLIAIGDSLESKQRQFVHTLPAVQCDKHAGFVKCLSCTPVECNLCYINIREEEHEECMPQDSKKEPRTSEQKYIDIINEVLLNRQLGYVGHRDLVVEHRCSPCRFRGGSAMTTGGRTPLYPNSAQFIDSACKRCLNHQCPLIVVPKTFMELCIPCILQKELNDMTQQEKSFSKYNLSASM
+>sp|Q9BVG3|TRI62_HUMAN E3 ubiquitin-protein ligase TRIM62 OS=Homo sapiens OX=9606 GN=TRIM62 PE=1 SV=1
+MACSLKDELLCSICLSIYQDPVSLGCEHYFCRRCITEHWVRQEAQGARDCPECRRTFAEPALAPSLKLANIVERYSSFPLDAILNARRAARPCQAHDKVKLFCLTDRALLCFFCDEPALHEQHQVTGIDDAFDELQRELKDQLQALQDSEREHTEALQLLKRQLAETKSSTKSLRTTIGEAFERLHRLLRERQKAMLEELEADTARTLTDIEQKVQRYSQQLRKVQEGAQILQERLAETDRHTFLAGVASLSERLKGKIHETNLTYEDFPTSKYTGPLQYTIWKSLFQDIHPVPAALTLDPGTAHQRLILSDDCTIVAYGNLHPQPLQDSPKRFDVEVSVLGSEAFSSGVHYWEVVVAEKTQWVIGLAHEAASRKGSIQIQPSRGFYCIVMHDGNQYSACTEPWTRLNVRDKLDKVGVFLDYDQGLLIFYNADDMSWLYTFREKFPGKLCSYFSPGQSHANGKNVQPLRINTVRI
+>DECOY_sp|Q9BVG3|TRI62_HUMAN E3 ubiquitin-protein ligase TRIM62 OS=Homo sapiens OX=9606 GN=TRIM62 PE=1 SV=1
+IRVTNIRLPQVNKGNAHSQGPSFYSCLKGPFKERFTYLWSMDDANYFILLGQDYDLFVGVKDLKDRVNLRTWPETCASYQNGDHMVICYFGRSPQIQISGKRSAAEHALGIVWQTKEAVVVEWYHVGSSFAESGLVSVEVDFRKPSDQLPQPHLNGYAVITCDDSLILRQHATGPDLTLAAPVPHIDQFLSKWITYQLPGTYKSTPFDEYTLNTEHIKGKLRESLSAVGALFTHRDTEALREQLIQAGEQVKRLQQSYRQVKQEIDTLTRATDAELEELMAKQRERLLRHLREFAEGITTRLSKTSSKTEALQRKLLQLAETHERESDQLAQLQDKLERQLEDFADDIGTVQHQEHLAPEDCFFCLLARDTLCFLKVKDHAQCPRAARRANLIADLPFSSYREVINALKLSPALAPEAFTRRCEPCDRAGQAEQRVWHETICRRCFYHECGLSVPDQYISLCISCLLEDKLSCAM
+>sp|Q6ZTA4|TRI67_HUMAN Tripartite motif-containing protein 67 OS=Homo sapiens OX=9606 GN=TRIM67 PE=2 SV=3
+MEEELKCPVCGSLFREPIILPCSHNVCLPCARTIAVQTPDGEQHLPQPLLLSRGSGLQAGAAAAASLEHDAAAGPACGGAGGSAAGGLGGGAGGGGDHADKLSLYSETDSGYGSYTPSLKSPNGVRVLPMVPAPPGSSAAAARGAACSSLSSSSSSITCPQCHRSASLDHRGLRGFQRNRLLEAIVQRYQQGRGAVPGTSAAAAVAICQLCDRTPPEPAATLCEQCDVLYCSACQLKCHPSRGPFAKHRLVQPPPPPPPPAEAASGPTGTAQGAPSGGGGCKSPGGAGAGATGGSTARKFPTCPEHEMENYSMYCVSCRTPVCYLCLEEGRHAKHEVKPLGAMWKQHKAQLSQALNGVSDKAKEAKEFLVQLKNILQQIQENGLDYEACLVAQCDALVDALTRQKAKLLTKVTKEREHKLKMVWDQINHCTLKLRQSTGLMEYCLEVIKENDPSGFLQISDALIKRVQVSQEQWVKGALEPKVSAEFDLTLDSEPLLQAIHQLDFIQMKCRVPPVPLLQLEKCCTRNNSVTLAWRMPPFTHSPVDGYILELDDGAGGQFREVYVGKETLCTIDGLHFNSTYNARVKAFNSSGVGPYSKTVVLQTSDVAWFTFDPNSGHRDIILSNDNQTATCSSYDDRVVLGTAAFSKGVHYWELHVDRYDNHPDPAFGVARASVVKDMMLGKDDKAWAMYVDNNRSWFMHCNSHTNRTEGGVCKGATVGVLLDLNKHTLTFFINGQQQGPTAFSHVDGVFMPALSLNRNVQVTLHTGLEVPTNLGRPKLSGN
+>DECOY_sp|Q6ZTA4|TRI67_HUMAN Tripartite motif-containing protein 67 OS=Homo sapiens OX=9606 GN=TRIM67 PE=2 SV=3
+NGSLKPRGLNTPVELGTHLTVQVNRNLSLAPMFVGDVHSFATPGQQQGNIFFTLTHKNLDLLVGVTAGKCVGGETRNTHSNCHMFWSRNNDVYMAWAKDDKGLMMDKVVSARAVGFAPDPHNDYRDVHLEWYHVGKSFAATGLVVRDDYSSCTATQNDNSLIIDRHGSNPDFTFWAVDSTQLVVTKSYPGVGSSNFAKVRANYTSNFHLGDITCLTEKGVYVERFQGGAGDDLELIYGDVPSHTFPPMRWALTVSNNRTCCKELQLLPVPPVRCKMQIFDLQHIAQLLPESDLTLDFEASVKPELAGKVWQEQSVQVRKILADSIQLFGSPDNEKIVELCYEMLGTSQRLKLTCHNIQDWVMKLKHEREKTVKTLLKAKQRTLADVLADCQAVLCAEYDLGNEQIQQLINKLQVLFEKAEKAKDSVGNLAQSLQAKHQKWMAGLPKVEHKAHRGEELCLYCVPTRCSVCYMSYNEMEHEPCTPFKRATSGGTAGAGAGGPSKCGGGGSPAGQATGTPGSAAEAPPPPPPPPQVLRHKAFPGRSPHCKLQCASCYLVDCQECLTAAPEPPTRDCLQCIAVAAAASTGPVAGRGQQYRQVIAELLRNRQFGRLGRHDLSASRHCQPCTISSSSSSLSSCAAGRAAAASSGPPAPVMPLVRVGNPSKLSPTYSGYGSDTESYLSLKDAHDGGGGAGGGLGGAASGGAGGCAPGAAADHELSAAAAAGAQLGSGRSLLLPQPLHQEGDPTQVAITRACPLCVNHSCPLIIPERFLSGCVPCKLEEEM
+>sp|Q86WT6|TRI69_HUMAN E3 ubiquitin-protein ligase TRIM69 OS=Homo sapiens OX=9606 GN=TRIM69 PE=1 SV=2
+MEVSTNPSSNIDPGDYVEMNDSITHLPSKVVIQDITMELHCPLCNDWFRDPLMLSCGHNFCEACIQDFWRLQAKETFCPECKMLCQYNNCTFNPVLDKLVEKIKKLPLLKGHPQCPEHGENLKLFSKPDGKLICFQCKDARLSVGQSKEFLQISDAVHFFTEELAIQQGQLETTLKELQTLRNMQKEAIAAHKENKLHLQQHVSMEFLKLHQFLHSKEKDILTELREEGKALNEEMELNLSQLQEQCLLAKDMLVSIQAKTEQQNSFDFLKDITTLLHSLEQGMKVLATRELISRKLNLGQYKGPIQYMVWREMQDTLCPGLSPLTLDPKTAHPNLVLSKSQTSVWHGDIKKIMPDDPERFDSSVAVLGSRGFTSGKWYWEVEVAKKTKWTVGVVRESIIRKGSCPLTPEQGFWLLRLRNQTDLKALDLPSFSLTLTNNLDKVGIYLDYEGGQLSFYNAKTMTHIYTFSNTFMEKLYPYFCPCLNDGGENKEPLHILHPQ
+>DECOY_sp|Q86WT6|TRI69_HUMAN E3 ubiquitin-protein ligase TRIM69 OS=Homo sapiens OX=9606 GN=TRIM69 PE=1 SV=2
+QPHLIHLPEKNEGGDNLCPCFYPYLKEMFTNSFTYIHTMTKANYFSLQGGEYDLYIGVKDLNNTLTLSFSPLDLAKLDTQNRLRLLWFGQEPTLPCSGKRIISERVVGVTWKTKKAVEVEWYWKGSTFGRSGLVAVSSDFREPDDPMIKKIDGHWVSTQSKSLVLNPHATKPDLTLPSLGPCLTDQMERWVMYQIPGKYQGLNLKRSILERTALVKMGQELSHLLTTIDKLFDFSNQQETKAQISVLMDKALLCQEQLQSLNLEMEENLAKGEERLETLIDKEKSHLFQHLKLFEMSVHQQLHLKNEKHAAIAEKQMNRLTQLEKLTTELQGQQIALEETFFHVADSIQLFEKSQGVSLRADKCQFCILKGDPKSFLKLNEGHEPCQPHGKLLPLKKIKEVLKDLVPNFTCNNYQCLMKCEPCFTEKAQLRWFDQICAECFNHGCSLMLPDRFWDNCLPCHLEMTIDQIVVKSPLHTISDNMEVYDGPDINSSPNTSVEM
+>sp|Q9C029|TRIM7_HUMAN E3 ubiquitin-protein ligase TRIM7 OS=Homo sapiens OX=9606 GN=TRIM7 PE=1 SV=2
+MAAVGPRTGPGTGAEALALAAELQGEATCSICLELFREPVSVECGHSFCRACIGRCWERPGAGSVGAATRAPPFPLPCPQCREPARPSQLRPNRQLAAVATLLRRFSLPAAAPGEHGSQAAAARAAAARCGQHGEPFKLYCQDDGRAICVVCDRAREHREHAVLPLDEAVQEAKELLESRLRVLKKELEDCEVFRSTEKKESKELLKQMAAEQEKVGAEFQALRAFLVEQEGRLLGRLEELSREVAQKQNENLAQLGVEITQLSKLSSQIQETAQKPDLDFLQEFKSTLSRCSNVPGPKPTTVSSEMKNKVWNVSLKTFVLKGMLKKFKEDLRGELEKEEKVELTLDPDTANPRLILSLDLKGVRLGERAQDLPNHPCRFDTNTRVLASCGFSSGRHHWEVEVGSKDGWAFGVARESVRRKGLTPFTPEEGVWALQLNGGQYWAVTSPERSPLSCGHLSRVRVALDLEVGAVSFYAVEDMRHLYTFRVNFQERVFPLFSVCSTGTYLRIWP
+>DECOY_sp|Q9C029|TRIM7_HUMAN E3 ubiquitin-protein ligase TRIM7 OS=Homo sapiens OX=9606 GN=TRIM7 PE=1 SV=2
+PWIRLYTGTSCVSFLPFVREQFNVRFTYLHRMDEVAYFSVAGVELDLAVRVRSLHGCSLPSREPSTVAWYQGGNLQLAWVGEEPTFPTLGKRRVSERAVGFAWGDKSGVEVEWHHRGSSFGCSALVRTNTDFRCPHNPLDQAREGLRVGKLDLSLILRPNATDPDLTLEVKEEKELEGRLDEKFKKLMGKLVFTKLSVNWVKNKMESSVTTPKPGPVNSCRSLTSKFEQLFDLDPKQATEQIQSSLKSLQTIEVGLQALNENQKQAVERSLEELRGLLRGEQEVLFARLAQFEAGVKEQEAAMQKLLEKSEKKETSRFVECDELEKKLVRLRSELLEKAEQVAEDLPLVAHERHERARDCVVCIARGDDQCYLKFPEGHQGCRAAAARAAAAQSGHEGPAAAPLSFRRLLTAVAALQRNPRLQSPRAPERCQPCPLPFPPARTAAGVSGAGPREWCRGICARCFSHGCEVSVPERFLELCISCTAEGQLEAALALAEAGTGPGTRPGVAAM
+>sp|Q9BZR9|TRIM8_HUMAN E3 ubiquitin-protein ligase TRIM8 OS=Homo sapiens OX=9606 GN=TRIM8 PE=1 SV=2
+MAENWKNCFEEELICPICLHVFVEPVQLPCKHNFCRGCIGEAWAKDSGLVRCPECNQAYNQKPGLEKNLKLTNIVEKFNALHVEKPPAALHCVFCRRGPPLPAQKVCLRCEAPCCQSHVQTHLQQPSTARGHLLVEADDVRAWSCPQHNAYRLYHCEAEQVAVCQYCCYYSGAHQGHSVCDVEIRRNEIRKMLMKQQDRLEEREQDIEDQLYKLESDKRLVEEKVNQLKEEVRLQYEKLHQLLDEDLRQTVEVLDKAQAKFCSENAAQALHLGERMQEAKKLLGSLQLLFDKTEDVSFMKNTKSVKILMDRTQTCTSSSLSPTKIGHLNSKLFLNEVAKKEKQLRKMLEGPFSTPVPFLQSVPLYPCGVSSSGAEKRKHSTAFPEASFLETSSGPVGGQYGAAGTASGEGQSGQPLGPCSSTQHLVALPGGAQPVHSSPVFPPSQYPNGSAAQQPMLPQYGGRKILVCSVDNCYCSSVANHGGHQPYPRSGHFPWTVPSQEYSHPLPPTPSVPQSLPSLAVRDWLDASQQPGHQDFYRVYGQPSTKHYVTS
+>DECOY_sp|Q9BZR9|TRIM8_HUMAN E3 ubiquitin-protein ligase TRIM8 OS=Homo sapiens OX=9606 GN=TRIM8 PE=1 SV=2
+STVYHKTSPQGYVRYFDQHGPQQSADLWDRVALSPLSQPVSPTPPLPHSYEQSPVTWPFHGSRPYPQHGGHNAVSSCYCNDVSCVLIKRGGYQPLMPQQAASGNPYQSPPFVPSSHVPQAGGPLAVLHQTSSCPGLPQGSQGEGSATGAAGYQGGVPGSSTELFSAEPFATSHKRKEAGSSSVGCPYLPVSQLFPVPTSFPGELMKRLQKEKKAVENLFLKSNLHGIKTPSLSSSTCTQTRDMLIKVSKTNKMFSVDETKDFLLQLSGLLKKAEQMREGLHLAQAANESCFKAQAKDLVEVTQRLDEDLLQHLKEYQLRVEEKLQNVKEEVLRKDSELKYLQDEIDQEREELRDQQKMLMKRIENRRIEVDCVSHGQHAGSYYCCYQCVAVQEAECHYLRYANHQPCSWARVDDAEVLLHGRATSPQQLHTQVHSQCCPAECRLCVKQAPLPPGRRCFVCHLAAPPKEVHLANFKEVINTLKLNKELGPKQNYAQNCEPCRVLGSDKAWAEGICGRCFNHKCPLQVPEVFVHLCIPCILEEEFCNKWNEAM
+>sp|Q9C026|TRIM9_HUMAN E3 ubiquitin-protein ligase TRIM9 OS=Homo sapiens OX=9606 GN=TRIM9 PE=1 SV=1
+MEEMEEELKCPVCGSFYREPIILPCSHNLCQACARNILVQTPESESPQSHRAAGSGVSDYDYLDLDKMSLYSEADSGYGSYGGFASAPTTPCQKSPNGVRVFPPAMPPPATHLSPALAPVPRNSCITCPQCHRSLILDDRGLRGFPKNRVLEGVIDRYQQSKAAALKCQLCEKAPKEATVMCEQCDVFYCDPCRLRCHPPRGPLAKHRLVPPAQGRVSRRLSPRKVSTCTDHELENHSMYCVQCKMPVCYQCLEEGKHSSHEVKALGAMWKLHKSQLSQALNGLSDRAKEAKEFLVQLRNMVQQIQENSVEFEACLVAQCDALIDALNRRKAQLLARVNKEHEHKLKVVRDQISHCTVKLRQTTGLMEYCLEVIKENDPSGFLQISDALIRRVHLTEDQWGKGTLTPRMTTDFDLSLDNSPLLQSIHQLDFVQVKASSPVPATPILQLEECCTHNNSATLSWKQPPLSTVPADGYILELDDGNGGQFREVYVGKETMCTVDGLHFNSTYNARVKAFNKTGVSPYSKTLVLQTSEVAWFAFDPGSAHSDIILSNDNLTVTCSSYDDRVVLGKTGFSKGIHYWELTVDRYDNHPDPAFGVARMDVMKDVMLGKDDKAWAMYVDNNRSWFMHNNSHTNRTEGGITKGATIGVLLDLNRKNLTFFINDEQQGPIAFDNVEGLFFPAVSLNRNVQVTLHTGLPVPDFYSSRASIA
+>DECOY_sp|Q9C026|TRIM9_HUMAN E3 ubiquitin-protein ligase TRIM9 OS=Homo sapiens OX=9606 GN=TRIM9 PE=1 SV=1
+AISARSSYFDPVPLGTHLTVQVNRNLSVAPFFLGEVNDFAIPGQQEDNIFFTLNKRNLDLLVGITAGKTIGGETRNTHSNNHMFWSRNNDVYMAWAKDDKGLMVDKMVDMRAVGFAPDPHNDYRDVTLEWYHIGKSFGTKGLVVRDDYSSCTVTLNDNSLIIDSHASGPDFAFWAVESTQLVLTKSYPSVGTKNFAKVRANYTSNFHLGDVTCMTEKGVYVERFQGGNGDDLELIYGDAPVTSLPPQKWSLTASNNHTCCEELQLIPTAPVPSSAKVQVFDLQHISQLLPSNDLSLDFDTTMRPTLTGKGWQDETLHVRRILADSIQLFGSPDNEKIVELCYEMLGTTQRLKVTCHSIQDRVVKLKHEHEKNVRALLQAKRRNLADILADCQAVLCAEFEVSNEQIQQVMNRLQVLFEKAEKARDSLGNLAQSLQSKHLKWMAGLAKVEHSSHKGEELCQYCVPMKCQVCYMSHNELEHDTCTSVKRPSLRRSVRGQAPPVLRHKALPGRPPHCRLRCPDCYFVDCQECMVTAEKPAKECLQCKLAAAKSQQYRDIVGELVRNKPFGRLGRDDLILSRHCQPCTICSNRPVPALAPSLHTAPPPMAPPFVRVGNPSKQCPTTPASAFGGYSGYGSDAESYLSMKDLDLYDYDSVGSGAARHSQPSESEPTQVLINRACAQCLNHSCPLIIPERYFSGCVPCKLEEEMEEM
+>sp|Q8N9V2|TRIML_HUMAN Probable E3 ubiquitin-protein ligase TRIML1 OS=Homo sapiens OX=9606 GN=TRIML1 PE=2 SV=1
+MSTADLMENLREELTCFICLDYFSSPVTTECGHSFCLVCLLRSWEEHNTPLSCPECWRTLEGPHFQSNERLGRLASIARQLRSQVLQSEDEQGSYGRMPTTAKALSDDEQGGSAFVAQSHGANRVHLSSEAEEHHREKLQEILNLLRVRRKEAQAVLTHEKERVKLCQEETKTCKQVVVSEYMKMHQFLKEEEQLQLQLLEQEEKENMRKLRNNEIKLTQQIRSLSKMIAQIESSSQSSAFESLEEVRGALERSEPLLLQCPEATTTELSLCRITGMKEMLRKFSTEITLDPATANAYLVLSEDLKSVKYGGSRQQLPDNPERFDQSATVLGTQIFTSGRHYWEVEVGNKTEWEVGICKDSVSRKGNLPKPPGDLFSLIGLKIGDDYSLWVSSPLKGQHVREPVCKVGVFLDYESGHIAFYNGTDESLIYSFPQASFQEALRPIFSPCLPNEGTNTDPLTICSLNSHV
+>DECOY_sp|Q8N9V2|TRIML_HUMAN Probable E3 ubiquitin-protein ligase TRIML1 OS=Homo sapiens OX=9606 GN=TRIML1 PE=2 SV=1
+VHSNLSCITLPDTNTGENPLCPSFIPRLAEQFSAQPFSYILSEDTGNYFAIHGSEYDLFVGVKCVPERVHQGKLPSSVWLSYDDGIKLGILSFLDGPPKPLNGKRSVSDKCIGVEWETKNGVEVEWYHRGSTFIQTGLVTASQDFREPNDPLQQRSGGYKVSKLDESLVLYANATAPDLTIETSFKRLMEKMGTIRCLSLETTTAEPCQLLLPESRELAGRVEELSEFASSQSSSEIQAIMKSLSRIQQTLKIENNRLKRMNEKEEQELLQLQLQEEEKLFQHMKMYESVVVQKCTKTEEQCLKVREKEHTLVAQAEKRRVRLLNLIEQLKERHHEEAESSLHVRNAGHSQAVFASGGQEDDSLAKATTPMRGYSGQEDESQLVQSRLQRAISALRGLRENSQFHPGELTRWCEPCSLPTNHEEWSRLLCVLCFSHGCETTVPSSFYDLCIFCTLEERLNEMLDATSM
+>sp|O75962|TRIO_HUMAN Triple functional domain protein OS=Homo sapiens OX=9606 GN=TRIO PE=1 SV=2
+MSGSSGGAAAPAASSGPAAAASAAGSGCGGGAGEGAEEAAKDLADIAAFFRSGFRKNDEMKAMDVLPILKEKVAYLSGGRDKRGGPILTFPARSNHDRIRQEDLRRLISYLACIPSEEVCKRGFTVIVDMRGSKWDSIKPLLKILQESFPCCIHVALIIKPDNFWQKQRTNFGSSKFEFETNMVSLEGLTKVVDPSQLTPEFDGCLEYNHEEWIEIRVAFEDYISNATHMLSRLEELQDILAKKELPQDLEGARNMIEEHSQLKKKVIKAPIEDLDLEGQKLLQRIQSSESFPKKNSGSGNADLQNLLPKVSTMLDRLHSTRQHLHQMWHVRKLKLDQCFQLRLFEQDAEKMFDWITHNKGLFLNSYTEIGTSHPHAMELQTQHNHFAMNCMNVYVNINRIMSVANRLVESGHYASQQIRQIASQLEQEWKAFAAALDERSTLLDMSSIFHQKAEKYMSNVDSWCKACGEVDLPSELQDLEDAIHHHQGIYEHITLAYSEVSQDGKSLLDKLQRPLTPGSSDSLTASANYSKAVHHVLDVIHEVLHHQRQLENIWQHRKVRLHQRLQLCVFQQDVQQVLDWIENHGEAFLSKHTGVGKSLHRARALQKRHEDFEEVAQNTYTNADKLLEAAEQLAQTGECDPEEIYQAAHQLEDRIQDFVRRVEQRKILLDMSVSFHTHVKELWTWLEELQKELLDDVYAESVEAVQDLIKRFGQQQQTTLQVTVNVIKEGEDLIQQLRDSAISSNKTPHNSSINHIETVLQQLDEAQSQMEELFQERKIKLELFLQLRIFERDAIDIISDLESWNDELSQQMNDFDTEDLTIAEQRLQHHADKALTMNNLTFDVIHQGQDLLQYVNEVQASGVELLCDRDVDMATRVQDLLEFLHEKQQELDLAAEQHRKHLEQCVQLRHLQAEVKQVLGWIRNGESMLNAGLITASSLQEAEQLQREHEQFQHAIEKTHQSALQVQQKAEAMLQANHYDMDMIRDCAEKVASHWQQLMLKMEDRLKLVNASVAFYKTSEQVCSVLESLEQEYKREEDWCGGADKLGPNSETDHVTPMISKHLEQKEAFLKACTLARRNADVFLKYLHRNSVNMPGMVTHIKAPEQQVKNILNELFQRENRVLHYWTMRKRRLDQCQQYVVFERSAKQALEWIHDNGEFYLSTHTSTGSSIQHTQELLKEHEEFQITAKQTKERVKLLIQLADGFCEKGHAHAAEIKKCVTAVDKRYRDFSLRMEKYRTSLEKALGISSDSNKSSKSLQLDIIPASIPGSEVKLRDAAHELNEEKRKSARRKEFIMAELIQTEKAYVRDLRECMDTYLWEMTSGVEEIPPGIVNKELIIFGNMQEIYEFHNNIFLKELEKYEQLPEDVGHCFVTWADKFQMYVTYCKNKPDSTQLILEHAGSYFDEIQQRHGLANSISSYLIKPVQRITKYQLLLKELLTCCEEGKGEIKDGLEVMLSVPKRANDAMHLSMLEGFDENIESQGELILQESFQVWDPKTLIRKGRERHLFLFEMSLVFSKEVKDSSGRSKYLYKSKLFTSELGVTEHVEGDPCKFALWVGRTPTSDNKIVLKASSIENKQDWIKHIREVIQERTIHLKGALKEPIHIPKTAPATRQKGRRDGEDLDSQGDGSSQPDTISIASRTSQNTLDSDKLSGGCELTVVIHDFTACNSNELTIRRGQTVEVLERPHDKPDWCLVRTTDRSPAAEGLVPCGSLCIAHSRSSMEMEGIFNHKDSLSVSSNDASPPASVASLQPHMIGAQSSPGPKRPGNTLRKWLTSPVRRLSSGKADGHVKKLAHKHKKSREVRKSADAGSQKDSDDSAATPQDETVEERGRNEGLSSGTLSKSSSSGMQSCGEEEGEEGADAVPLPPPMAIQQHSLLQPDSQDDKASSRLLVRPTSSETPSAAELVSAIEELVKSKMALEDRPSSLLVDQGDSSSPSFNPSDNSLLSSSSPIDEMEERKSSSLKRRHYVLQELVETERDYVRDLGYVVEGYMALMKEDGVPDDMKGKDKIVFGNIHQIYDWHRDFFLGELEKCLEDPEKLGSLFVKHERRLHMYIAYCQNKPKSEHIVSEYIDTFFEDLKQRLGHRLQLTDLLIKPVQRIMKYQLLLKDFLKYSKKASLDTSELERAVEVMCIVPRRCNDMMNVGRLQGFDGKIVAQGKLLLQDTFLVTDQDAGLLPRCRERRIFLFEQIVIFSEPLDKKKGFSMPGFLFKNSIKVSCLCLEENVENDPCKFALTSRTGDVVETFILHSSSPSVRQTWIHEINQILENQRNFLNALTSPIEYQRNHSGGGGGGGSGGSGGGGGSGGGGAPSGGSGHSGGPSSCGGAPSTSRSRPSRIPQPVRHHPPVLVSSAASSQAEADKMSGTSTPGPSLPPPGAAPEAGPSAPSRRPPGADAEGSEREAEPIPKMKVLESPRKGAANASGSSPDAPAKDARASLGTLPLGKPRAGAASPLNSPLSSAVPSLGKEPFPPSSPLQKGGSFWSSIPASPASRPGSFTFPGDSDSLQRQTPRHAAPGKDTDRMSTCSSASEQSVQSTQSNGSESSSSSNISTMLVTHDYTAVKEDEINVYQGEVVQILASNQQNMFLVFRAATDQCPAAEGWIPGFVLGHTSAVIVENPDGTLKKSTSWHTALRLRKKSEKKDKDGKREGKLENGYRKSREGLSNKVSVKLLNPNYIYDVPPEFVIPLSEVTCETGETVVLRCRVCGRPKASITWKGPEHNTLNNDGHYSISYSDLGEATLKIVGVTTEDDGIYTCIAVNDMGSASSSASLRVLGPGMDGIMVTWKDNFDSFYSEVAELGRGRFSVVKKCDQKGTKRAVATKFVNKKLMKRDQVTHELGILQSLQHPLLVGLLDTFETPTSYILVLEMADQGRLLDCVVRWGSLTEGKIRAHLGEVLEAVRYLHNCRIAHLDLKPENILVDESLAKPTIKLADFGDAVQLNTTYYIHQLLGNPEFAAPEIILGNPVSLTSDTWSVGVLTYVLLSGVSPFLDDSVEETCLNICRLDFSFPDDYFKGVSQKAKEFVCFLLQEDPAKRPSAALALQEQWLQAGNGRSTGVLDTSRLTSFIERRKHQNDVRPIRSIKNFLQSRLLPRV
+>DECOY_sp|O75962|TRIO_HUMAN Triple functional domain protein OS=Homo sapiens OX=9606 GN=TRIO PE=1 SV=2
+VRPLLRSQLFNKISRIPRVDNQHKRREIFSTLRSTDLVGTSRGNGAQLWQEQLALAASPRKAPDEQLLFCVFEKAKQSVGKFYDDPFSFDLRCINLCTEEVSDDLFPSVGSLLVYTLVGVSWTDSTLSVPNGLIIEPAAFEPNGLLQHIYYTTNLQVADGFDALKITPKALSEDVLINEPKLDLHAIRCNHLYRVAELVEGLHARIKGETLSGWRVVCDLLRGQDAMELVLIYSTPTEFTDLLGVLLPHQLSQLIGLEHTVQDRKMLKKNVFKTAVARKTGKQDCKKVVSFRGRGLEAVESYFSDFNDKWTVMIGDMGPGLVRLSASSSASGMDNVAICTYIGDDETTVGVIKLTAEGLDSYSISYHGDNNLTNHEPGKWTISAKPRGCVRCRLVVTEGTECTVESLPIVFEPPVDYIYNPNLLKVSVKNSLGERSKRYGNELKGERKGDKDKKESKKRLRLATHWSTSKKLTGDPNEVIVASTHGLVFGPIWGEAAPCQDTAARFVLFMNQQNSALIQVVEGQYVNIEDEKVATYDHTVLMTSINSSSSSESGNSQTSQVSQESASSCTSMRDTDKGPAAHRPTQRQLSDSDGPFTFSGPRSAPSAPISSWFSGGKQLPSSPPFPEKGLSPVASSLPSNLPSAAGARPKGLPLTGLSARADKAPADPSSGSANAAGKRPSELVKMKPIPEAERESGEADAGPPRRSPASPGAEPAAGPPPLSPGPTSTGSMKDAEAQSSAASSVLVPPHHRVPQPIRSPRSRSTSPAGGCSSPGGSHGSGGSPAGGGGSGGGGGSGGSGGGGGGGSHNRQYEIPSTLANLFNRQNELIQNIEHIWTQRVSPSSSHLIFTEVVDGTRSTLAFKCPDNEVNEELCLCSVKISNKFLFGPMSFGKKKDLPESFIVIQEFLFIRRERCRPLLGADQDTVLFTDQLLLKGQAVIKGDFGQLRGVNMMDNCRRPVICMVEVARELESTDLSAKKSYKLFDKLLLQYKMIRQVPKILLDTLQLRHGLRQKLDEFFTDIYESVIHESKPKNQCYAIYMHLRREHKVFLSGLKEPDELCKELEGLFFDRHWDYIQHINGFVIKDKGKMDDPVGDEKMLAMYGEVVYGLDRVYDRETEVLEQLVYHRRKLSSSKREEMEDIPSSSSLLSNDSPNFSPSSSDGQDVLLSSPRDELAMKSKVLEEIASVLEAASPTESSTPRVLLRSSAKDDQSDPQLLSHQQIAMPPPLPVADAGEEGEEEGCSQMGSSSSKSLTGSSLGENRGREEVTEDQPTAASDDSDKQSGADASKRVERSKKHKHALKKVHGDAKGSSLRRVPSTLWKRLTNGPRKPGPSSQAGIMHPQLSAVSAPPSADNSSVSLSDKHNFIGEMEMSSRSHAICLSGCPVLGEAAPSRDTTRVLCWDPKDHPRELVEVTQGRRITLENSNCATFDHIVVTLECGGSLKDSDLTNQSTRSAISITDPQSSGDGQSDLDEGDRRGKQRTAPATKPIHIPEKLAGKLHITREQIVERIHKIWDQKNEISSAKLVIKNDSTPTRGVWLAFKCPDGEVHETVGLESTFLKSKYLYKSRGSSDKVEKSFVLSMEFLFLHRERGKRILTKPDWVQFSEQLILEGQSEINEDFGELMSLHMADNARKPVSLMVELGDKIEGKGEECCTLLEKLLLQYKTIRQVPKILYSSISNALGHRQQIEDFYSGAHELILQTSDPKNKCYTVYMQFKDAWTVFCHGVDEPLQEYKELEKLFINNHFEYIEQMNGFIILEKNVIGPPIEEVGSTMEWLYTDMCERLDRVYAKETQILEAMIFEKRRASKRKEENLEHAADRLKVESGPISAPIIDLQLSKSSKNSDSSIGLAKELSTRYKEMRLSFDRYRKDVATVCKKIEAAHAHGKECFGDALQILLKVREKTQKATIQFEEHEKLLEQTHQISSGTSTHTSLYFEGNDHIWELAQKASREFVVYQQCQDLRRKRMTWYHLVRNERQFLENLINKVQQEPAKIHTVMGPMNVSNRHLYKLFVDANRRALTCAKLFAEKQELHKSIMPTVHDTESNPGLKDAGGCWDEERKYEQELSELVSCVQESTKYFAVSANVLKLRDEMKLMLQQWHSAVKEACDRIMDMDYHNAQLMAEAKQQVQLASQHTKEIAHQFQEHERQLQEAEQLSSATILGANLMSEGNRIWGLVQKVEAQLHRLQVCQELHKRHQEAALDLEQQKEHLFELLDQVRTAMDVDRDCLLEVGSAQVENVYQLLDQGQHIVDFTLNNMTLAKDAHHQLRQEAITLDETDFDNMQQSLEDNWSELDSIIDIADREFIRLQLFLELKIKREQFLEEMQSQAEDLQQLVTEIHNISSNHPTKNSSIASDRLQQILDEGEKIVNVTVQLTTQQQQGFRKILDQVAEVSEAYVDDLLEKQLEELWTWLEKVHTHFSVSMDLLIKRQEVRRVFDQIRDELQHAAQYIEEPDCEGTQALQEAAELLKDANTYTNQAVEEFDEHRKQLARARHLSKGVGTHKSLFAEGHNEIWDLVQQVDQQFVCLQLRQHLRVKRHQWINELQRQHHLVEHIVDLVHHVAKSYNASATLSDSSGPTLPRQLKDLLSKGDQSVESYALTIHEYIGQHHHIADELDQLESPLDVEGCAKCWSDVNSMYKEAKQHFISSMDLLTSREDLAAAFAKWEQELQSAIQRIQQSAYHGSEVLRNAVSMIRNINVYVNMCNMAFHNHQTQLEMAHPHSTGIETYSNLFLGKNHTIWDFMKEADQEFLRLQFCQDLKLKRVHWMQHLHQRTSHLRDLMTSVKPLLNQLDANGSGSNKKPFSESSQIRQLLKQGELDLDEIPAKIVKKKLQSHEEIMNRAGELDQPLEKKALIDQLEELRSLMHTANSIYDEFAVRIEIWEEHNYELCGDFEPTLQSPDVVKTLGELSVMNTEFEFKSSGFNTRQKQWFNDPKIILAVHICCPFSEQLIKLLPKISDWKSGRMDVIVTFGRKCVEESPICALYSILRRLDEQRIRDHNSRAPFTLIPGGRKDRGGSLYAVKEKLIPLVDMAKMEDNKRFGSRFFAAIDALDKAAEEAGEGAGGGCGSGAASAAAAPGSSAAPAAAGGSSGSM
+>sp|Q15654|TRIP6_HUMAN Thyroid receptor-interacting protein 6 OS=Homo sapiens OX=9606 GN=TRIP6 PE=1 SV=3
+MSGPTWLPPKQPEPARAPQGRAIPRGTPGPPPAHGAALQPHPRVNFCPLPSEQCYQAPGGPEDRGPAWVGSHGVLQHTQGLPADRGGLRPGSLDAEIDLLSSTLAELNGGRGHASRRPDRQAYEPPPPPAYRTGSLKPNPASPLPASPYGGPTPASYTTASTPAGPAFPVQVKVAQPVRGCGPPRRGASQASGPLPGPHFPLPGRGEVWGPGYRSQREPGPGAKEEAAGVSGPAGRGRGGEHGPQVPLSQPPEDELDRLTKKLVHDMNHPPSGEYFGQCGGCGEDVVGDGAGVVALDRVFHVGCFVCSTCRAQLRGQHFYAVERRAYCEGCYVATLEKCATCSQPILDRILRAMGKAYHPGCFTCVVCHRGLDGIPFTVDATSQIHCIEDFHRKFAPRCSVCGGAIMPEPGQEETVRIVALDRSFHIGCYKCEECGLLLSSEGECQGCYPLDGHILCKACSAWRIQELSATVTTDC
+>DECOY_sp|Q15654|TRIP6_HUMAN Thyroid receptor-interacting protein 6 OS=Homo sapiens OX=9606 GN=TRIP6 PE=1 SV=3
+CDTTVTASLEQIRWASCAKCLIHGDLPYCGQCEGESSLLLGCEECKYCGIHFSRDLAVIRVTEEQGPEPMIAGGCVSCRPAFKRHFDEICHIQSTADVTFPIGDLGRHCVVCTFCGPHYAKGMARLIRDLIPQSCTACKELTAVYCGECYARREVAYFHQGRLQARCTSCVFCGVHFVRDLAVVGAGDGVVDEGCGGCQGFYEGSPPHNMDHVLKKTLRDLEDEPPQSLPVQPGHEGGRGRGAPGSVGAAEEKAGPGPERQSRYGPGWVEGRGPLPFHPGPLPGSAQSAGRRPPGCGRVPQAVKVQVPFAPGAPTSATTYSAPTPGGYPSAPLPSAPNPKLSGTRYAPPPPPEYAQRDPRRSAHGRGGNLEALTSSLLDIEADLSGPRLGGRDAPLGQTHQLVGHSGVWAPGRDEPGGPAQYCQESPLPCFNVRPHPQLAAGHAPPPGPTGRPIARGQPARAPEPQKPPLWTPGSM
+>sp|Q8IZ69|TRM2A_HUMAN tRNA (uracil-5-)-methyltransferase homolog A OS=Homo sapiens OX=9606 GN=TRMT2A PE=1 SV=2
+MSENLDNEGPKPMESCGQESSSALSCPTVSVPPAAPAALEEVEKEGAGAATGPGPQPGLYSYIRDDLFTSEIFKLELQNVPRHASFSDVRRFLGRFGLQPHKTKLFGQPPCAFVTFRSAAERDKALRVLHGALWKGRPLSVRLARPKADPMARRRRQEGESEPPVTRVADVVTPLWTVPYAEQLERKQLECEQVLQKLAKEIGSTNRALLPWLLEQRHKHNKACCPLEGVRPSPQQTEYRNKCEFLVGVGVDGEDNTVGCRLGKYKGGTCAVAAPFDTVHIPEATKQVVKAFQEFIRSTPYSAYDPETYTGHWKQLTVRTSRRHQAMAIAYFHPQKLSPEELAELKTSLAQHFTAGPGRASGVTCLYFVEEGQRKTPSQEGLPLEHVAGDRCIHEDLLGLTFRISPHAFFQVNTPAAEVLYTVIQDWAQLDAGSMVLDVCCGTGTIGLALARKVKRVIGVELCPEAVEDARVNAQDNELSNVEFHCGRAEDLVPTLVSRLASQHLVAILDPPRAGLHSKVILAIRRAKNLRRLLYVSCNPRAAMGNFVDLCRAPSNRVKGIPFRPVKAVAVDLFPQTPHCEMLILFERVEHPNGTGVLGPHSPPAQPTPGPPDNTLQETGTFPSS
+>DECOY_sp|Q8IZ69|TRM2A_HUMAN tRNA (uracil-5-)-methyltransferase homolog A OS=Homo sapiens OX=9606 GN=TRMT2A PE=1 SV=2
+SSPFTGTEQLTNDPPGPTPQAPPSHPGLVGTGNPHEVREFLILMECHPTQPFLDVAVAKVPRFPIGKVRNSPARCLDVFNGMAARPNCSVYLLRRLNKARRIALIVKSHLGARPPDLIAVLHQSALRSVLTPVLDEARGCHFEVNSLENDQANVRADEVAEPCLEVGIVRKVKRALALGITGTGCCVDLVMSGADLQAWDQIVTYLVEAAPTNVQFFAHPSIRFTLGLLDEHICRDGAVHELPLGEQSPTKRQGEEVFYLCTVGSARGPGATFHQALSTKLEALEEPSLKQPHFYAIAMAQHRRSTRVTLQKWHGTYTEPDYASYPTSRIFEQFAKVVQKTAEPIHVTDFPAAVACTGGKYKGLRCGVTNDEGDVGVGVLFECKNRYETQQPSPRVGELPCCAKNHKHRQELLWPLLARNTSGIEKALKQLVQECELQKRELQEAYPVTWLPTVVDAVRTVPPESEGEQRRRRAMPDAKPRALRVSLPRGKWLAGHLVRLAKDREAASRFTVFACPPQGFLKTKHPQLGFRGLFRRVDSFSAHRPVNQLELKFIESTFLDDRIYSYLGPQPGPGTAAGAGEKEVEELAAPAAPPVSVTPCSLASSSEQGCSEMPKPGENDLNESM
+>sp|Q96GJ1|TRM2_HUMAN tRNA (uracil(54)-C(5))-methyltransferase homolog OS=Homo sapiens OX=9606 GN=TRMT2B PE=1 SV=1
+MAGLKRRVPLHSLRYFISMVGLFSKPGLLPWYARNPPGWSQLFLGTVCKGDFTRVIATKCQKGQKSQKKPSHLGPLDGSWQERLADVVTPLWRLSYEEQLKVKFAAQKKILQRLESYIQMLNGVSVTTAVPKSERLSCLLHPIIPSPVINGYRNKSTFSVNRGPDGNPKTVGFYLGTWRDGNVVCVQSNHLKNIPEKHSQVAQYYEVFLRQSPLEPCLVFHEGGYWRELTVRTNSQGHTMAIITFHPQKLSQEELHVQKEIVKEFFIRGPGAACGLTSLYFQESTMTRCSHQQSPYQLLFGEPYIFEELLSLKIRISPDAFFQINTAGAEMLYRTVGELTGVNSDTILLDICCGTGVIGLSLAQHTSRVLGIELLEQAVEDARWTAAFNGITNSEFHTGQAEKILPGLLKSKEDGQSIVAVVNPARAGLHYKVIQAIRNFRAIHTLVFVSCKLHGESTRNVIELCCPPDPAKKLLGEPFVLQQAVPVDLFPHTPHCELVLLFTR
+>DECOY_sp|Q96GJ1|TRM2_HUMAN tRNA (uracil(54)-C(5))-methyltransferase homolog OS=Homo sapiens OX=9606 GN=TRMT2B PE=1 SV=1
+RTFLLVLECHPTHPFLDVPVAQQLVFPEGLLKKAPDPPCCLEIVNRTSEGHLKCSVFVLTHIARFNRIAQIVKYHLGARAPNVVAVISQGDEKSKLLGPLIKEAQGTHFESNTIGNFAATWRADEVAQELLEIGLVRSTHQALSLGIVGTGCCIDLLITDSNVGTLEGVTRYLMEAGATNIQFFADPSIRIKLSLLEEFIYPEGFLLQYPSQQHSCRTMTSEQFYLSTLGCAAGPGRIFFEKVIEKQVHLEEQSLKQPHFTIIAMTHGQSNTRVTLERWYGGEHFVLCPELPSQRLFVEYYQAVQSHKEPINKLHNSQVCVVNGDRWTGLYFGVTKPNGDPGRNVSFTSKNRYGNIVPSPIIPHLLCSLRESKPVATTVSVGNLMQIYSELRQLIKKQAAFKVKLQEEYSLRWLPTVVDALREQWSGDLPGLHSPKKQSKQGKQCKTAIVRTFDGKCVTGLFLQSWGPPNRAYWPLLGPKSFLGVMSIFYRLSHLPVRRKLGAM
+>sp|Q8IYL2|TRM44_HUMAN Probable tRNA (uracil-O(2)-)-methyltransferase OS=Homo sapiens OX=9606 GN=TRMT44 PE=1 SV=2
+MAEVGRTGISYPGALLPQGFWAAVEVWLERPQVANKRLCGARLEARWSAALPCAEARGPGTSAGSEQKERGPGPGQGSPGGGPGPRSLSGPEQGTACCELEEAQGQCQQEEAQREAASVPLRDSGHPGHAEGREGDFPAADLDSLWEDFSQSLARGNSELLAFLTSSGAGSQPEAQRELDVVLRTVIPKTSPHCPLTTPRREIVVQDVLNGTITFLPLEEDDEGNLKVKMSNVYQIQLSHSKEEWFISVLIFCPERWHSDGIVYPKPTWLGEELLAKLAKWSVENKKSDFKSTLSLISIMKYSKAYQELKEKYKEMVKVWPEVTDPEKFVYEDVAIAAYLLILWEEERAERRLTARQSFVDLGCGNGLLVHILSSEGHPGRGIDVRRRKIWDMYGPQTQLEEDAITPNDKTLFPDVDWLIGNHSDELTPWIPVIAARSSYNCRFFVLPCCFFDFIGRYSRRQSKKTQYREYLDFIKEVGFTCGFHVDEDCLRIPSTKRVCLVGKSRTYPSSREASVDEKRTQYIKSRRGCPVSPPGWELSPSPRWVAAGSAGHCDGQQALDARVGCVTRAWAAEHGAGPQAEGPWLPGFHPREKAERVRNCAALPRDFIDQVVLQVANLLLGGKQLNTRSSRNGSLKTWNGGESLSLAEVANELDTETLRRLKRECGGLQTLLRNSHQVFQVVNGRVHIRDWREETLWKTKQPEAKQRLLSEACKTRLCWFFMHHPDGCALSTDCCPFAHGPAELRPPRTTPRKKIS
+>DECOY_sp|Q8IYL2|TRM44_HUMAN Probable tRNA (uracil-O(2)-)-methyltransferase OS=Homo sapiens OX=9606 GN=TRMT44 PE=1 SV=2
+SIKKRPTTRPPRLEAPGHAFPCCDTSLACGDPHHMFFWCLRTKCAESLLRQKAEPQKTKWLTEERWDRIHVRGNVVQFVQHSNRLLTQLGGCERKLRRLTETDLENAVEALSLSEGGNWTKLSGNRSSRTNLQKGGLLLNAVQLVVQDIFDRPLAACNRVREAKERPHFGPLWPGEAQPGAGHEAAWARTVCGVRADLAQQGDCHGASGAAVWRPSPSLEWGPPSVPCGRRSKIYQTRKEDVSAERSSPYTRSKGVLCVRKTSPIRLCDEDVHFGCTFGVEKIFDLYERYQTKKSQRRSYRGIFDFFCCPLVFFRCNYSSRAAIVPIWPTLEDSHNGILWDVDPFLTKDNPTIADEELQTQPGYMDWIKRRRVDIGRGPHGESSLIHVLLGNGCGLDVFSQRATLRREAREEEWLILLYAAIAVDEYVFKEPDTVEPWVKVMEKYKEKLEQYAKSYKMISILSLTSKFDSKKNEVSWKALKALLEEGLWTPKPYVIGDSHWREPCFILVSIFWEEKSHSLQIQYVNSMKVKLNGEDDEELPLFTITGNLVDQVVIERRPTTLPCHPSTKPIVTRLVVDLERQAEPQSGAGSSTLFALLESNGRALSQSFDEWLSDLDAAPFDGERGEAHGPHGSDRLPVSAAERQAEEQQCQGQAEELECCATGQEPGSLSRPGPGGGPSGQGPGPGREKQESGASTGPGRAEACPLAASWRAELRAGCLRKNAVQPRELWVEVAAWFGQPLLAGPYSIGTRGVEAM
+>sp|Q6UXN2|TRML4_HUMAN Trem-like transcript 4 protein OS=Homo sapiens OX=9606 GN=TREML4 PE=2 SV=1
+MAWGGVHTCCFHLCCCCSWPQGAVPEELHKHPGQTLLLQCQYSPKRGPYQPKSWCQQTSPSRCTLLVTSSKPWTAVQKSHYTIWDKPNAGFFNITMIQLTQNDSGFYWCGIYNASENIITVLRNISLVVSPAPTTSPMWTLPWLPTSTVLITSPEGTSGHPSINGSETRKSRAPACLGSGGPRFLVLVLCGLLLAKGLML
+>DECOY_sp|Q6UXN2|TRML4_HUMAN Trem-like transcript 4 protein OS=Homo sapiens OX=9606 GN=TREML4 PE=2 SV=1
+LMLGKALLLGCLVLVLFRPGGSGLCAPARSKRTESGNISPHGSTGEPSTILVTSTPLWPLTWMPSTTPAPSVVLSINRLVTIINESANYIGCWYFGSDNQTLQIMTINFFGANPKDWITYHSKQVATWPKSSTVLLTCRSPSTQQCWSKPQYPGRKPSYQCQLLLTQGPHKHLEEPVAGQPWSCCCCLHFCCTHVGGWAM
+>sp|Q96Q11|TRNT1_HUMAN CCA tRNA nucleotidyltransferase 1, mitochondrial OS=Homo sapiens OX=9606 GN=TRNT1 PE=1 SV=2
+MLRCLYHWHRPVLNRRWSRLCLPKQYLFTMKLQSPEFQSLFTEGLKSLTELFVKENHELRIAGGAVRDLLNGVKPQDIDFATTATPTQMKEMFQSAGIRMINNRGEKHGTITARLHEENFEITTLRIDVTTDGRHAEVEFTTDWQKDAERRDLTINSMFLGFDGTLFDYFNGYEDLKNKKVRFVGHAKQRIQEDYLRILRYFRFYGRIVDKPGDHDPETLEAIAENAKGLAGISGERIWVELKKILVGNHVNHLIHLIYDLDVAPYIGLPANASLEEFDKVSKNVDGFSPKPVTLLASLFKVQDDVTKLDLRLKIAKEEKNLGLFIVKNRKDLIKATDSSDPLKPYQDFIIDSREPDATTRVCELLKYQGEHCLLKEMQQWSIPPFPVSGHDIRKVGISSGKEIGALLQQLREQWKKSGYQMEKDELLSYIKKT
+>DECOY_sp|Q96Q11|TRNT1_HUMAN CCA tRNA nucleotidyltransferase 1, mitochondrial OS=Homo sapiens OX=9606 GN=TRNT1 PE=1 SV=2
+TKKIYSLLEDKEMQYGSKKWQERLQQLLAGIEKGSSIGVKRIDHGSVPFPPISWQQMEKLLCHEGQYKLLECVRTTADPERSDIIFDQYPKLPDSSDTAKILDKRNKVIFLGLNKEEKAIKLRLDLKTVDDQVKFLSALLTVPKPSFGDVNKSVKDFEELSANAPLGIYPAVDLDYILHILHNVHNGVLIKKLEVWIREGSIGALGKANEAIAELTEPDHDGPKDVIRGYFRFYRLIRLYDEQIRQKAHGVFRVKKNKLDEYGNFYDFLTGDFGLFMSNITLDRREADKQWDTTFEVEAHRGDTTVDIRLTTIEFNEEHLRATITGHKEGRNNIMRIGASQFMEKMQTPTATTAFDIDQPKVGNLLDRVAGGAIRLEHNEKVFLETLSKLGETFLSQFEPSQLKMTFLYQKPLCLRSWRRNLVPRHWHYLCRLM
+>sp|Q7Z4N2|TRPM1_HUMAN Transient receptor potential cation channel subfamily M member 1 OS=Homo sapiens OX=9606 GN=TRPM1 PE=1 SV=2
+MKDSNRCCCGQFTNQHIPPLPSATPSKNEEESKQVETQPEKWSVAKHTQSYPTDSYGVLEFQGGGYSNKAMYIRVSYDTKPDSLLHLMVKDWQLELPKLLISVHGGLQNFEMQPKLKQVFGKGLIKAAMTTGAWIFTGGVSTGVISHVGDALKDHSSKSRGRVCAIGIAPWGIVENKEDLVGKDVTRVYQTMSNPLSKLSVLNNSHTHFILADNGTLGKYGAEVKLRRLLEKHISLQKINTRLGQGVPLVGLVVEGGPNVVSIVLEYLQEEPPIPVVICDGSGRASDILSFAHKYCEEGGIINESLREQLLVTIQKTFNYNKAQSHQLFAIIMECMKKKELVTVFRMGSEGQQDIEMAILTALLKGTNVSAPDQLSLALAWNRVDIARSQIFVFGPHWPPLGSLAPPTDSKATEKEKKPPMATTKGGRGKGKGKKKGKVKEEVEEETDPRKIELLNWVNALEQAMLDALVLDRVDFVKLLIENGVNMQHFLTIPRLEELYNTRLGPPNTLHLLVRDVKKSNLPPDYHISLIDIGLVLEYLMGGAYRCNYTRKNFRTLYNNLFGPKRPKALKLLGMEDDEPPAKGKKKKKKKKEEEIDIDVDDPAVSRFQYPFHELMVWAVLMKRQKMAVFLWQRGEESMAKALVACKLYKAMAHESSESDLVDDISQDLDNNSKDFGQLALELLDQSYKHDEQIAMKLLTYELKNWSNSTCLKLAVAAKHRDFIAHTCSQMLLTDMWMGRLRMRKNPGLKVIMGILLPPTILFLEFRTYDDFSYQTSKENEDGKEKEEENTDANADAGSRKGDEENEHKKQRSIPIGTKICEFYNAPIVKFWFYTISYLGYLLLFNYVILVRMDGWPSLQEWIVISYIVSLALEKIREILMSEPGKLSQKIKVWLQEYWNITDLVAISTFMIGAILRLQNQPYMGYGRVIYCVDIIFWYIRVLDIFGVNKYLGPYVMMIGKMMIDMLYFVVIMLVVLMSFGVARQAILHPEEKPSWKLARNIFYMPYWMIYGEVFADQIDLYAMEINPPCGENLYDEEGKRLPPCIPGAWLTPALMACYLLVANILLVNLLIAVFNNTFFEVKSISNQVWKFQRYQLIMTFHDRPVLPPPMIILSHIYIIIMRLSGRCRKKREGDQEERDRGLKLFLSDEELKRLHEFEEQCVQEHFREKEDEQQSSSDERIRVTSERVENMSMRLEEINERETFMKTSLQTVDLRLAQLEELSNRMVNALENLAGIDRSDLIQARSRASSECEATYLLRQSSINSADGYSLYRYHFNGEELLFEDTSLSTSPGTGVRKKTCSFRIKEEKDVKTHLVPECQNSLHLSLGTSTSATPDGSHLAVDDLKNAEESKLGPDIGISKEDDERQTDSKKEETISPSLNKTDVIHGQDKSDVQNTQLTVETTNIEGTISYPLEETKITRYFPDETINACKTMKSRSFVYSRGRKLVGGVNQDVEYSSITDQQLTTEWQCQVQKITRSHSTDIPYIVSEAAVQAEHKEQFADMQDEHHVAEAIPRIPRLSLTITDRNGMENLLSVKPDQTLGFPSLRSKSLHGHPRNVKSIQGKLDRSGHASSVSSLVIVSGMTAEEKKVKKEKASTETEC
+>DECOY_sp|Q7Z4N2|TRPM1_HUMAN Transient receptor potential cation channel subfamily M member 1 OS=Homo sapiens OX=9606 GN=TRPM1 PE=1 SV=2
+CETETSAKEKKVKKEEATMGSVIVLSSVSSAHGSRDLKGQISKVNRPHGHLSKSRLSPFGLTQDPKVSLLNEMGNRDTITLSLRPIRPIAEAVHHEDQMDAFQEKHEAQVAAESVIYPIDTSHSRTIKQVQCQWETTLQQDTISSYEVDQNVGGVLKRGRSYVFSRSKMTKCANITEDPFYRTIKTEELPYSITGEINTTEVTLQTNQVDSKDQGHIVDTKNLSPSITEEKKSDTQREDDEKSIGIDPGLKSEEANKLDDVALHSGDPTASTSTGLSLHLSNQCEPVLHTKVDKEEKIRFSCTKKRVGTGPSTSLSTDEFLLEEGNFHYRYLSYGDASNISSQRLLYTAECESSARSRAQILDSRDIGALNELANVMRNSLEELQALRLDVTQLSTKMFTERENIEELRMSMNEVRESTVRIREDSSSQQEDEKERFHEQVCQEEFEHLRKLEEDSLFLKLGRDREEQDGERKKRCRGSLRMIIIYIHSLIIMPPPLVPRDHFTMILQYRQFKWVQNSISKVEFFTNNFVAILLNVLLINAVLLYCAMLAPTLWAGPICPPLRKGEEDYLNEGCPPNIEMAYLDIQDAFVEGYIMWYPMYFINRALKWSPKEEPHLIAQRAVGFSMLVVLMIVVFYLMDIMMKGIMMVYPGLYKNVGFIDLVRIYWFIIDVCYIVRGYGMYPQNQLRLIAGIMFTSIAVLDTINWYEQLWVKIKQSLKGPESMLIERIKELALSVIYSIVIWEQLSPWGDMRVLIVYNFLLLYGLYSITYFWFKVIPANYFECIKTGIPISRQKKHENEEDGKRSGADANADTNEEEKEKGDENEKSTQYSFDDYTRFELFLITPPLLIGMIVKLGPNKRMRLRGMWMDTLLMQSCTHAIFDRHKAAVALKLCTSNSWNKLEYTLLKMAIQEDHKYSQDLLELALQGFDKSNNDLDQSIDDVLDSESSEHAMAKYLKCAVLAKAMSEEGRQWLFVAMKQRKMLVAWVMLEHFPYQFRSVAPDDVDIDIEEEKKKKKKKKGKAPPEDDEMGLLKLAKPRKPGFLNNYLTRFNKRTYNCRYAGGMLYELVLGIDILSIHYDPPLNSKKVDRVLLHLTNPPGLRTNYLEELRPITLFHQMNVGNEILLKVFDVRDLVLADLMAQELANVWNLLEIKRPDTEEEVEEKVKGKKKGKGKGRGGKTTAMPPKKEKETAKSDTPPALSGLPPWHPGFVFIQSRAIDVRNWALALSLQDPASVNTGKLLATLIAMEIDQQGESGMRFVTVLEKKKMCEMIIAFLQHSQAKNYNFTKQITVLLQERLSENIIGGEECYKHAFSLIDSARGSGDCIVVPIPPEEQLYELVISVVNPGGEVVLGVLPVGQGLRTNIKQLSIHKELLRRLKVEAGYKGLTGNDALIFHTHSNNLVSLKSLPNSMTQYVRTVDKGVLDEKNEVIGWPAIGIACVRGRSKSSHDKLADGVHSIVGTSVGGTFIWAGTTMAAKILGKGFVQKLKPQMEFNQLGGHVSILLKPLELQWDKVMLHLLSDPKTDYSVRIYMAKNSYGGGQFELVGYSDTPYSQTHKAVSWKEPQTEVQKSEEENKSPTASPLPPIHQNTFQGCCCRNSDKM
+>sp|Q9NNW7|TRXR2_HUMAN Thioredoxin reductase 2, mitochondrial OS=Homo sapiens OX=9606 GN=TXNRD2 PE=1 SV=3
+MAAMAVALRGLGGRFRWRTQAVAGGVRGAARGAAAGQRDYDLLVVGGGSGGLACAKEAAQLGRKVAVVDYVEPSPQGTRWGLGGTCVNVGCIPKKLMHQAALLGGLIQDAPNYGWEVAQPVPHDWRKMAEAVQNHVKSLNWGHRVQLQDRKVKYFNIKASFVDEHTVCGVAKGGKEILLSADHIIIATGGRPRYPTHIEGALEYGITSDDIFWLKESPGKTLVVGASYVALECAGFLTGIGLDTTIMMRSIPLRGFDQQMSSMVIEHMASHGTRFLRGCAPSRVRRLPDGQLQVTWEDSTTGKEDTGTFDTVLWAIGRVPDTRSLNLEKAGVDTSPDTQKILVDSREATSVPHIYAIGDVVEGRPELTPIAIMAGRLLVQRLFGGSSDLMDYDNVPTTVFTPLEYGCVGLSEEEAVARHGQEHVEVYHAHYKPLEFTVAGRDASQCYVKMVCLREPPQLVLGLHFLGPNAGEVTQGFALGIKCGASYAQVMRTVGIHPTCSEEVVKLRISKRSGLDPTVTGCUG
+>DECOY_sp|Q9NNW7|TRXR2_HUMAN Thioredoxin reductase 2, mitochondrial OS=Homo sapiens OX=9606 GN=TXNRD2 PE=1 SV=3
+GUCGTVTPDLGSRKSIRLKVVEESCTPHIGVTRMVQAYSAGCKIGLAFGQTVEGANPGLFHLGLVLQPPERLCVMKVYCQSADRGAVTFELPKYHAHYVEVHEQGHRAVAEEESLGVCGYELPTFVTTPVNDYDMLDSSGGFLRQVLLRGAMIAIPTLEPRGEVVDGIAYIHPVSTAERSDVLIKQTDPSTDVGAKELNLSRTDPVRGIAWLVTDFTGTDEKGTTSDEWTVQLQGDPLRRVRSPACGRLFRTGHSAMHEIVMSSMQQDFGRLPISRMMITTDLGIGTLFGACELAVYSAGVVLTKGPSEKLWFIDDSTIGYELAGEIHTPYRPRGGTAIIIHDASLLIEKGGKAVGCVTHEDVFSAKINFYKVKRDQLQVRHGWNLSKVHNQVAEAMKRWDHPVPQAVEWGYNPADQILGGLLAAQHMLKKPICGVNVCTGGLGWRTGQPSPEVYDVVAVKRGLQAAEKACALGGSGGGVVLLDYDRQGAAAGRAAGRVGGAVAQTRWRFRGGLGRLAVAMAAM
+>sp|P07477|TRY1_HUMAN Trypsin-1 OS=Homo sapiens OX=9606 GN=PRSS1 PE=1 SV=1
+MNPLLILTFVAAALAAPFDDDDKIVGGYNCEENSVPYQVSLNSGYHFCGGSLINEQWVVSAGHCYKSRIQVRLGEHNIEVLEGNEQFINAAKIIRHPQYDRKTLNNDIMLIKLSSRAVINARVSTISLPTAPPATGTKCLISGWGNTASSGADYPDELQCLDAPVLSQAKCEASYPGKITSNMFCVGFLEGGKDSCQGDSGGPVVCNGQLQGVVSWGDGCAQKNKPGVYTKVYNYVKWIKNTIAANS
+>DECOY_sp|P07477|TRY1_HUMAN Trypsin-1 OS=Homo sapiens OX=9606 GN=PRSS1 PE=1 SV=1
+SNAAITNKIWKVYNYVKTYVGPKNKQACGDGWSVVGQLQGNCVVPGGSDGQCSDKGGELFGVCFMNSTIKGPYSAECKAQSLVPADLCQLEDPYDAGSSATNGWGSILCKTGTAPPATPLSITSVRANIVARSSLKILMIDNNLTKRDYQPHRIIKAANIFQENGELVEINHEGLRVQIRSKYCHGASVVWQENILSGGCFHYGSNLSVQYPVSNEECNYGGVIKDDDDFPAALAAAVFTLILLPNM
+>sp|Q8NHM4|TRY6_HUMAN Putative trypsin-6 OS=Homo sapiens OX=9606 GN=PRSS3P2 PE=5 SV=2
+MNPLLILAFVGAAVAVPFDDDDKIVGGYTCEENSVPYQVSLNSGSHFCGGSLISEQWVVSAGHCYKPHIQVRLGEHNIEVLEGNEQFINAAKIIRHPKYNRIILNNDIMLIKLSTPAVINAHVSTISLPTAPPAAGTECLISGWGNTLSSGADYPDELQCLDAPVLTQAKCKASYPLKITSNMFCVGFLEGGKDSCQGDSGGPVVCNGQLQGIVSWGYGCAQKRRPGVYTKVYNYVDWIKDTIAANS
+>DECOY_sp|Q8NHM4|TRY6_HUMAN Putative trypsin-6 OS=Homo sapiens OX=9606 GN=PRSS3P2 PE=5 SV=2
+SNAAITDKIWDVYNYVKTYVGPRRKQACGYGWSVIGQLQGNCVVPGGSDGQCSDKGGELFGVCFMNSTIKLPYSAKCKAQTLVPADLCQLEDPYDAGSSLTNGWGSILCETGAAPPATPLSITSVHANIVAPTSLKILMIDNNLIIRNYKPHRIIKAANIFQENGELVEINHEGLRVQIHPKYCHGASVVWQESILSGGCFHSGSNLSVQYPVSNEECTYGGVIKDDDDFPVAVAAGVFALILLPNM
+>sp|Q8TE23|TS1R2_HUMAN Taste receptor type 1 member 2 OS=Homo sapiens OX=9606 GN=TAS1R2 PE=3 SV=2
+MGPRAKTISSLFFLLWVLAEPAENSDFYLPGDYLLGGLFSLHANMKGIVHLNFLQVPMCKEYEVKVIGYNLMQAMRFAVEEINNDSSLLPGVLLGYEIVDVCYISNNVQPVLYFLAHEDNLLPIQEDYSNYISRVVAVIGPDNSESVMTVANFLSLFLLPQITYSAISDELRDKVRFPALLRTTPSADHHIEAMVQLMLHFRWNWIIVLVSSDTYGRDNGQLLGERVARRDICIAFQETLPTLQPNQNMTSEERQRLVTIVDKLQQSTARVVVVFSPDLTLYHFFNEVLRQNFTGAVWIASESWAIDPVLHNLTELRHLGTFLGITIQSVPIPGFSEFREWGPQAGPPPLSRTSQSYTCNQECDNCLNATLSFNTILRLSGERVVYSVYSAVYAVAHALHSLLGCDKSTCTKRVVYPWQLLEEIWKVNFTLLDHQIFFDPQGDVALHLEIVQWQWDRSQNPFQSVASYYPLQRQLKNIQDISWHTINNTIPMSMCSKRCQSGQKKKPVGIHVCCFECIDCLPGTFLNHTEDEYECQACPNNEWSYQSETSCFKRQLVFLEWHEAPTIAVALLAALGFLSTLAILVIFWRHFQTPIVRSAGGPMCFLMLTLLLVAYMVVPVYVGPPKVSTCLCRQALFPLCFTICISCIAVRSFQIVCAFKMASRFPRAYSYWVRYQGPYVSMAFITVLKMVIVVIGMLATGLSPTTRTDPDDPKITIVSCNPNYRNSLLFNTSLDLLLSVVGFSFAYMGKELPTNYNEAKFITLSMTFYFTSSVSLCTFMSAYSGVLVTIVDLLVTVLNLLAISLGYFGPKCYMILFYPERNTPAYFNSMIQGYTMRRD
+>DECOY_sp|Q8TE23|TS1R2_HUMAN Taste receptor type 1 member 2 OS=Homo sapiens OX=9606 GN=TAS1R2 PE=3 SV=2
+DRRMTYGQIMSNFYAPTNREPYFLIMYCKPGFYGLSIALLNLVTVLLDVITVLVGSYASMFTCLSVSSTFYFTMSLTIFKAENYNTPLEKGMYAFSFGVVSLLLDLSTNFLLSNRYNPNCSVITIKPDDPDTRTTPSLGTALMGIVVIVMKLVTIFAMSVYPGQYRVWYSYARPFRSAMKFACVIQFSRVAICSICITFCLPFLAQRCLCTSVKPPGVYVPVVMYAVLLLTLMLFCMPGGASRVIPTQFHRWFIVLIALTSLFGLAALLAVAITPAEHWELFVLQRKFCSTESQYSWENNPCAQCEYEDETHNLFTGPLCDICEFCCVHIGVPKKKQGSQCRKSCMSMPITNNITHWSIDQINKLQRQLPYYSAVSQFPNQSRDWQWQVIELHLAVDGQPDFFIQHDLLTFNVKWIEELLQWPYVVRKTCTSKDCGLLSHLAHAVAYVASYVSYVVREGSLRLITNFSLTANLCNDCEQNCTYSQSTRSLPPPGAQPGWERFESFGPIPVSQITIGLFTGLHRLETLNHLVPDIAWSESAIWVAGTFNQRLVENFFHYLTLDPSFVVVVRATSQQLKDVITVLRQREESTMNQNPQLTPLTEQFAICIDRRAVREGLLQGNDRGYTDSSVLVIIWNWRFHLMLQVMAEIHHDASPTTRLLAPFRVKDRLEDSIASYTIQPLLFLSLFNAVTMVSESNDPGIVAVVRSIYNSYDEQIPLLNDEHALFYLVPQVNNSIYCVDVIEYGLLVGPLLSSDNNIEEVAFRMAQMLNYGIVKVEYEKCMPVQLFNLHVIGKMNAHLSFLGGLLYDGPLYFDSNEAPEALVWLLFFLSSITKARPGM
+>sp|Q8WU66|TSEAR_HUMAN Thrombospondin-type laminin G domain and EAR repeat-containing protein OS=Homo sapiens OX=9606 GN=TSPEAR PE=2 SV=2
+MSALLSLCFVLPLAAPGHGTQGWEPCTDLRPLDILAEVVPSDGATSGIRIVQVHGARGLQLSVAAPRTMSFPASRIFSQCDLFPEEFSIVVTLRVPNLPPKRNEYLLTVVAEESDLLLLGLRLSPAQLHFLFLREDTAGAWQTRVSFRSPALVDGRWHTLVLAVSAGVFSLTTDCGLPVDIMADVPFPATLSVKGARFFVGSRRRAKGLFMGLVRQLVLLPGSDATPRLCPSRNAPLAVLSIPRVLQALTGKPEDNEVLKYPYETNIRVTLGPQPPCTEVEDAQFWFDASRKGLYLCVGNEWVSVLAAKERLDYVEEHQNLSTNSETLGIEVFRIPQVGLFVATANRKATSAVYKWTEEKFVSYQNIPTHQAQAWRHFTIGKKIFLAVANFEPDEKGQEFSVIYKWSHRKLKFTPYQSIATHSARDWEAFEVDGEHFLAVANHREGDNHNIDSVIYKWNPATRLFEANQTIATSGAYDWEFFSVGPYSFLVVANTFNGTSTKVHSHLYIRLLGSFQLFQSFPTFGAADWEVFQIGERIFLAVANSHSYDVEMQVQNDSYVINSVIYELNVTAQAFVKFQDILTCSALDWEFFSVGEDYFLVVANSFDGRTFSVNSIIYRWQGYEGFVAVHSLPTVGCRDWEAFSTTAGAYLIYSSAKEPLSRVLRLRTR
+>DECOY_sp|Q8WU66|TSEAR_HUMAN Thrombospondin-type laminin G domain and EAR repeat-containing protein OS=Homo sapiens OX=9606 GN=TSPEAR PE=2 SV=2
+RTRLRLVRSLPEKASSYILYAGATTSFAEWDRCGVTPLSHVAVFGEYGQWRYIISNVSFTRGDFSNAVVLFYDEGVSFFEWDLASCTLIDQFKVFAQATVNLEYIVSNIVYSDNQVQMEVDYSHSNAVALFIREGIQFVEWDAAGFTPFSQFLQFSGLLRIYLHSHVKTSTGNFTNAVVLFSYPGVSFFEWDYAGSTAITQNAEFLRTAPNWKYIVSDINHNDGERHNAVALFHEGDVEFAEWDRASHTAISQYPTFKLKRHSWKYIVSFEQGKEDPEFNAVALFIKKGITFHRWAQAQHTPINQYSVFKEETWKYVASTAKRNATAVFLGVQPIRFVEIGLTESNTSLNQHEEVYDLREKAALVSVWENGVCLYLGKRSADFWFQADEVETCPPQPGLTVRINTEYPYKLVENDEPKGTLAQLVRPISLVALPANRSPCLRPTADSGPLLVLQRVLGMFLGKARRRSGVFFRAGKVSLTAPFPVDAMIDVPLGCDTTLSFVGASVALVLTHWRGDVLAPSRFSVRTQWAGATDERLFLFHLQAPSLRLGLLLLDSEEAVVTLLYENRKPPLNPVRLTVVISFEEPFLDCQSFIRSAPFSMTRPAAVSLQLGRAGHVQVIRIGSTAGDSPVVEALIDLPRLDTCPEWGQTGHGPAALPLVFCLSLLASM
+>sp|Q9UJT2|TSKS_HUMAN Testis-specific serine kinase substrate OS=Homo sapiens OX=9606 GN=TSKS PE=1 SV=3
+MASVVVKTIWQSKEIHEAGDTPTGVESCSQLVPEAPRRVTSRAKGIPKKKKAVSFHGVEPQMSHQPMHWCLNLKRSSACTNVSLLNLAAMEPTDSTGTDSTVEDLSGQLTLAGPPASPTLPWDPDDADITEILSGVNSGLVRAKDSITSLKEKTNRVNQHVQSLQSECSVLSENLERRRQEAEELEGYCIQLKENCWKVTRSVEDAEIKTNVLKQNSALLEEKLRYLQQQLQDETPRRQEAELQEPEEKQEPEEKQEPEEKQKPEAGLSWNSLGPAATSQGCPGPPGSPDKPSRPHGLVPAGWGMGPRAGEGPYVSEQELQKLFTGIEELRREVSSLTARWHQEEGAVQEALRLLGGLGGRVDGFLGQWERAQREQAQTARDLQELRGRADELCTMVERSAVSVASLRSELEGLGPLKPILEEFGRQFQNSRRGPDLSMNLDRSHQGNCARCASQGSQLSTESLQQLLDRALTSLVDEVKQRGLTPACPSCQRLHKKILELERQALAKHVRAEALSSTLRLAQDEALRAKNLLLTDKMKPEEKMATLDHLHLKMCSLHDHLSNLPLEGSTGTMGGGSSAGTPPKQGGSAPEQ
+>DECOY_sp|Q9UJT2|TSKS_HUMAN Testis-specific serine kinase substrate OS=Homo sapiens OX=9606 GN=TSKS PE=1 SV=3
+QEPASGGQKPPTGASSGGGMTGTSGELPLNSLHDHLSCMKLHLHDLTAMKEEPKMKDTLLLNKARLAEDQALRLTSSLAEARVHKALAQRELELIKKHLRQCSPCAPTLGRQKVEDVLSTLARDLLQQLSETSLQSGQSACRACNGQHSRDLNMSLDPGRRSNQFQRGFEELIPKLPGLGELESRLSAVSVASREVMTCLEDARGRLEQLDRATQAQERQAREWQGLFGDVRGGLGGLLRLAEQVAGEEQHWRATLSSVERRLEEIGTFLKQLEQESVYPGEGARPGMGWGAPVLGHPRSPKDPSGPPGPCGQSTAAPGLSNWSLGAEPKQKEEPEQKEEPEQKEEPEQLEAEQRRPTEDQLQQQLYRLKEELLASNQKLVNTKIEADEVSRTVKWCNEKLQICYGELEEAEQRRRELNESLVSCESQLSQVHQNVRNTKEKLSTISDKARVLGSNVGSLIETIDADDPDWPLTPSAPPGALTLQGSLDEVTSDTGTSDTPEMAALNLLSVNTCASSRKLNLCWHMPQHSMQPEVGHFSVAKKKKPIGKARSTVRRPAEPVLQSCSEVGTPTDGAEHIEKSQWITKVVVSAM
+>sp|Q12999|TSN31_HUMAN Tetraspanin-31 OS=Homo sapiens OX=9606 GN=TSPAN31 PE=2 SV=1
+MVCGGFACSKNALCALNVVYMLVSLLLIGVAAWGKGLGLVSSIHIIGGVIAVGVFLLLIAVAGLVGAVNHHQVLLFFYMIILGLVFIFQFVISCSCLAINRSKQTDVINASWWVMSNKTRDELERSFDCCGLFNLTTLYQQDYDFCTAICKSQSPTCQMCGEKFLKHSDEALKILGGVGLFFSFTEILGVWLAMRFRNQKDPRANPSAFL
+>DECOY_sp|Q12999|TSN31_HUMAN Tetraspanin-31 OS=Homo sapiens OX=9606 GN=TSPAN31 PE=2 SV=1
+LFASPNARPDKQNRFRMALWVGLIETFSFFLGVGGLIKLAEDSHKLFKEGCMQCTPSQSKCIATCFDYDQQYLTTLNFLGCCDFSRELEDRTKNSMVWWSANIVDTQKSRNIALCSCSIVFQFIFVLGLIIMYFFLLVQHHNVAGVLGAVAILLLFVGVAIVGGIIHISSVLGLGKGWAAVGILLLSVLMYVVNLACLANKSCAFGGCVM
+>sp|Q96QS1|TSN32_HUMAN Tetraspanin-32 OS=Homo sapiens OX=9606 GN=TSPAN32 PE=2 SV=1
+MGPWSRVRVAKCQMLVTCFFILLLGLSVATMVTLTYFGAHFAVIRRASLEKNPYQAVHQWAFSAGLSLVGLLTLGAVLSAAATVREAQGLMAGGFLCFSLAFCAQVQVVFWRLHSPTQVEDAMLDTYDLVYEQAMKGTSHVRRQELAAIQDVFLCCGKKSPFSRLGSTEADLCQGEEAAREDCLQGIRSFLRTHQQVASSLTSIGLALTVSALLFSSFLWFAIRCGCSLDRKGKYTLTPRACGRQPQEPSLLRCSQGGPTHCLHSEAVAIGPRGCSGSLRWLQESDAAPLPLSCHLAAHRALQGRSRGGLSGCPERGLSD
+>DECOY_sp|Q96QS1|TSN32_HUMAN Tetraspanin-32 OS=Homo sapiens OX=9606 GN=TSPAN32 PE=2 SV=1
+DSLGREPCGSLGGRSRGQLARHAALHCSLPLPAADSEQLWRLSGSCGRPGIAVAESHLCHTPGGQSCRLLSPEQPQRGCARPTLTYKGKRDLSCGCRIAFWLFSSFLLASVTLALGISTLSSAVQQHTRLFSRIGQLCDERAAEEGQCLDAETSGLRSFPSKKGCCLFVDQIAALEQRRVHSTGKMAQEYVLDYTDLMADEVQTPSHLRWFVVQVQACFALSFCLFGGAMLGQAERVTAAASLVAGLTLLGVLSLGASFAWQHVAQYPNKELSARRIVAFHAGFYTLTVMTAVSLGLLLIFFCTVLMQCKAVRVRSWPGM
+>sp|Q86UF1|TSN33_HUMAN Tetraspanin-33 OS=Homo sapiens OX=9606 GN=TSPAN33 PE=1 SV=1
+MARRPRAPAASGEEFSFVSPLVKYLLFFFNMLFWVISMVMVAVGVYARLMKHAEAALACLAVDPAILLIVVGVLMFLLTFCGCIGSLRENICLLQTFSLCLTAVFLLQLAAGILGFVFSDKARGKVSEIINNAIVHYRDDLDLQNLIDFGQKKFSCCGGISYKDWSQNMYFNCSEDNPSRERCSVPYSCCLPTPDQAVINTMCGQGMQAFDYLEASKVIYTNGCIDKLVNWIHSNLFLLGGVALGLAIPQLVGILLSQILVNQIKDQIKLQLYNQQHRADPWY
+>DECOY_sp|Q86UF1|TSN33_HUMAN Tetraspanin-33 OS=Homo sapiens OX=9606 GN=TSPAN33 PE=1 SV=1
+YWPDARHQQNYLQLKIQDKIQNVLIQSLLIGVLQPIALGLAVGGLLFLNSHIWNVLKDICGNTYIVKSAELYDFAQMGQGCMTNIVAQDPTPLCCSYPVSCRERSPNDESCNFYMNQSWDKYSIGGCCSFKKQGFDILNQLDLDDRYHVIANNIIESVKGRAKDSFVFGLIGAALQLLFVATLCLSFTQLLCINERLSGICGCFTLLFMLVGVVILLIAPDVALCALAAEAHKMLRAYVGVAVMVMSIVWFLMNFFFLLYKVLPSVFSFEEGSAAPARPRRAM
+>sp|Q99598|TSNAX_HUMAN Translin-associated protein X OS=Homo sapiens OX=9606 GN=TSNAX PE=1 SV=1
+MSNKEGSGGFRKRKHDNFPHNQRREGKDVNSSSPVMLAFKSFQQELDARHDKYERLVKLSRDITVESKRTIFLLHRITSAPDMEDILTESEIKLDGVRQKIFQVAQELSGEDMHQFHRAITTGLQEYVEAVSFQHFIKTRSLISMDEINKQLIFTTEDNGKENKTPSSDAQDKQFGTWRLRVTPVDYLLGVADLTGELMRMCINSVGNGDIDTPFEVSQFLRQVYDGFSFIGNTGPYEVSKKLYTLKQSLAKVENACYALKVRGSEIPKHMLADVFSVKTEMIDQEEGIS
+>DECOY_sp|Q99598|TSNAX_HUMAN Translin-associated protein X OS=Homo sapiens OX=9606 GN=TSNAX PE=1 SV=1
+SIGEEQDIMETKVSFVDALMHKPIESGRVKLAYCANEVKALSQKLTYLKKSVEYPGTNGIFSFGDYVQRLFQSVEFPTDIDGNGVSNICMRMLEGTLDAVGLLYDVPTVRLRWTGFQKDQADSSPTKNEKGNDETTFILQKNIEDMSILSRTKIFHQFSVAEVYEQLGTTIARHFQHMDEGSLEQAVQFIKQRVGDLKIESETLIDEMDPASTIRHLLFITRKSEVTIDRSLKVLREYKDHRADLEQQFSKFALMVPSSSNVDKGERRQNHPFNDHKRKRFGGSGEKNSM
+>sp|P07996|TSP1_HUMAN Thrombospondin-1 OS=Homo sapiens OX=9606 GN=THBS1 PE=1 SV=2
+MGLAWGLGVLFLMHVCGTNRIPESGGDNSVFDIFELTGAARKGSGRRLVKGPDPSSPAFRIEDANLIPPVPDDKFQDLVDAVRAEKGFLLLASLRQMKKTRGTLLALERKDHSGQVFSVVSNGKAGTLDLSLTVQGKQHVVSVEEALLATGQWKSITLFVQEDRAQLYIDCEKMENAELDVPIQSVFTRDLASIARLRIAKGGVNDNFQGVLQNVRFVFGTTPEDILRNKGCSSSTSVLLTLDNNVVNGSSPAIRTNYIGHKTKDLQAICGISCDELSSMVLELRGLRTIVTTLQDSIRKVTEENKELANELRRPPLCYHNGVQYRNNEEWTVDSCTECHCQNSVTICKKVSCPIMPCSNATVPDGECCPRCWPSDSADDGWSPWSEWTSCSTSCGNGIQQRGRSCDSLNNRCEGSSVQTRTCHIQECDKRFKQDGGWSHWSPWSSCSVTCGDGVITRIRLCNSPSPQMNGKPCEGEARETKACKKDACPINGGWGPWSPWDICSVTCGGGVQKRSRLCNNPTPQFGGKDCVGDVTENQICNKQDCPIDGCLSNPCFAGVKCTSYPDGSWKCGACPPGYSGNGIQCTDVDECKEVPDACFNHNGEHRCENTDPGYNCLPCPPRFTGSQPFGQGVEHATANKQVCKPRNPCTDGTHDCNKNAKCNYLGHYSDPMYRCECKPGYAGNGIICGEDTDLDGWPNENLVCVANATYHCKKDNCPNLPNSGQEDYDKDGIGDACDDDDDNDKIPDDRDNCPFHYNPAQYDYDRDDVGDRCDNCPYNHNPDQADTDNNGEGDACAADIDGDGILNERDNCQYVYNVDQRDTDMDGVGDQCDNCPLEHNPDQLDSDSDRIGDTCDNNQDIDEDGHQNNLDNCPYVPNANQADHDKDGKGDACDHDDDNDGIPDDKDNCRLVPNPDQKDSDGDGRGDACKDDFDHDSVPDIDDICPENVDISETDFRRFQMIPLDPKGTSQNDPNWVVRHQGKELVQTVNCDPGLAVGYDEFNAVDFSGTFFINTERDDDYAGFVFGYQSSSRFYVVMWKQVTQSYWDTNPTRAQGYSGLSVKVVNSTTGPGEHLRNALWHTGNTPGQVRTLWHDPRHIGWKDFTAYRWRLSHRPKTGFIRVVMYEGKKIMADSGPIYDKTYAGGRLGLFVFSQEMVFFSDLKYECRDP
+>DECOY_sp|P07996|TSP1_HUMAN Thrombospondin-1 OS=Homo sapiens OX=9606 GN=THBS1 PE=1 SV=2
+PDRCEYKLDSFFVMEQSFVFLGLRGGAYTKDYIPGSDAMIKKGEYMVVRIFGTKPRHSLRWRYATFDKWGIHRPDHWLTRVQGPTNGTHWLANRLHEGPGTTSNVVKVSLGSYGQARTPNTDWYSQTVQKWMVVYFRSSSQYGFVFGAYDDDRETNIFFTGSFDVANFEDYGVALGPDCNVTQVLEKGQHRVVWNPDNQSTGKPDLPIMQFRRFDTESIDVNEPCIDDIDPVSDHDFDDKCADGRGDGDSDKQDPNPVLRCNDKDDPIGDNDDDHDCADGKGDKDHDAQNANPVYPCNDLNNQHGDEDIDQNNDCTDGIRDSDSDLQDPNHELPCNDCQDGVGDMDTDRQDVNYVYQCNDRENLIGDGDIDAACADGEGNNDTDAQDPNHNYPCNDCRDGVDDRDYDYQAPNYHFPCNDRDDPIKDNDDDDDCADGIGDKDYDEQGSNPLNPCNDKKCHYTANAVCVLNENPWGDLDTDEGCIIGNGAYGPKCECRYMPDSYHGLYNCKANKNCDHTGDTCPNRPKCVQKNATAHEVGQGFPQSGTFRPPCPLCNYGPDTNECRHEGNHNFCADPVEKCEDVDTCQIGNGSYGPPCAGCKWSGDPYSTCKVGAFCPNSLCGDIPCDQKNCIQNETVDGVCDKGGFQPTPNNCLRSRKQVGGGCTVSCIDWPSWPGWGGNIPCADKKCAKTERAEGECPKGNMQPSPSNCLRIRTIVGDGCTVSCSSWPSWHSWGGDQKFRKDCEQIHCTRTQVSSGECRNNLSDCSRGRQQIGNGCSTSCSTWESWPSWGDDASDSPWCRPCCEGDPVTANSCPMIPCSVKKCITVSNQCHCETCSDVTWEENNRYQVGNHYCLPPRRLENALEKNEETVKRISDQLTTVITRLGRLELVMSSLEDCSIGCIAQLDKTKHGIYNTRIAPSSGNVVNNDLTLLVSTSSSCGKNRLIDEPTTGFVFRVNQLVGQFNDNVGGKAIRLRAISALDRTFVSQIPVDLEANEMKECDIYLQARDEQVFLTISKWQGTALLAEEVSVVHQKGQVTLSLDLTGAKGNSVVSFVQGSHDKRELALLTGRTKKMQRLSALLLFGKEARVADVLDQFKDDPVPPILNADEIRFAPSSPDPGKVLRRGSGKRAAGTLEFIDFVSNDGGSEPIRNTGCVHMLFLVGLGWALGM
+>sp|Q9UI38|TSP50_HUMAN Probable threonine protease PRSS50 OS=Homo sapiens OX=9606 GN=PRSS50 PE=1 SV=1
+MGRWCQTVARGQRPRTSAPSRAGALLLLLLLLRSAGCWGAGEAPGALSTADPADQSVQCVPKATCPSSRPRLLWQTPTTQTLPSTTMETQFPVSEGKVDPYRSCGFSYEQDPTLRDPEAVARRWPWMVSVRANGTHICAGTIIASQWVLTVAHCLIWRDVIYSVRVGSPWIDQMTQTASDVPVLQVIMHSRYRAQRFWSWVGQANDIGLLKLKQELKYSNYVRPICLPGTDYVLKDHSRCTVTGWGLSKADGMWPQFRTIQEKEVIILNNKECDNFYHNFTKIPTLVQIIKSQMMCAEDTHREKFCYELTGEPLVCSMEGTWYLVGLVSWGAGCQKSEAPPIYLQVSSYQHWIWDCLNGQALALPAPSRTLLLALPLPLSLLAAL
+>DECOY_sp|Q9UI38|TSP50_HUMAN Probable threonine protease PRSS50 OS=Homo sapiens OX=9606 GN=PRSS50 PE=1 SV=1
+LAALLSLPLPLALLLTRSPAPLALAQGNLCDWIWHQYSSVQLYIPPAESKQCGAGWSVLGVLYWTGEMSCVLPEGTLEYCFKERHTDEACMMQSKIIQVLTPIKTFNHYFNDCEKNNLIIVEKEQITRFQPWMGDAKSLGWGTVTCRSHDKLVYDTGPLCIPRVYNSYKLEQKLKLLGIDNAQGVWSWFRQARYRSHMIVQLVPVDSATQTMQDIWPSGVRVSYIVDRWILCHAVTLVWQSAIITGACIHTGNARVSVMWPWRRAVAEPDRLTPDQEYSFGCSRYPDVKGESVPFQTEMTTSPLTQTTPTQWLLRPRSSPCTAKPVCQVSQDAPDATSLAGPAEGAGWCGASRLLLLLLLLAGARSPASTRPRQGRAVTQCWRGM
+>sp|B1AH88|TSPOB_HUMAN Putative peripheral benzodiazepine receptor-related protein OS=Homo sapiens OX=9606 GN=TSPO PE=5 SV=1
+MAPHLLWCPTNGLGLGGSPAGQWGGGSHYRGLVPGEPAGRPPALPLPGLAGLHDHTQLLRMAGQPWLAWGTAAARVSARPTRDCSCTSRCHHACDVVAVTLS
+>DECOY_sp|B1AH88|TSPOB_HUMAN Putative peripheral benzodiazepine receptor-related protein OS=Homo sapiens OX=9606 GN=TSPO PE=5 SV=1
+SLTVAVVDCAHHCRSTCSCDRTPRASVRAAATGWALWPQGAMRLLQTHDHLGALGPLPLAPPRGAPEGPVLGRYHSGGGWQGAPSGGLGLGNTPCWLLHPAM
+>sp|Q01534|TSPY1_HUMAN Testis-specific Y-encoded protein 1 OS=Homo sapiens OX=9606 GN=TSPY1 PE=1 SV=4
+MRPEGSLTYRVPERLRQGFCGVGRAAQALVCASAKEGTAFRMEAVQEGAAGVESEQAALGEEAVLLLDDIMAEVEVVAEEEGLVERREEAQRAQQAVPGPGPMTPESAPEELLAVQVELEPVNAQARKAFSRQREKMERRRKPHLDRRGAVIQSVPGFWANVIANHPQMSALITDEDEDMLSYMVSLEVGEEKHPVHLCKIMLFFRSNPYFQNKVITKEYLVNITEYRASHSTPIEWYPDYEVEAYRRRHHNSSLNFFNWFSDHNFAGSNKIAEILCKDLWRNPLQYYKRMKPPEEGTETSGDSQLLS
+>DECOY_sp|Q01534|TSPY1_HUMAN Testis-specific Y-encoded protein 1 OS=Homo sapiens OX=9606 GN=TSPY1 PE=1 SV=4
+SLLQSDGSTETGEEPPKMRKYYQLPNRWLDKCLIEAIKNSGAFNHDSFWNFFNLSSNHHRRRYAEVEYDPYWEIPTSHSARYETINVLYEKTIVKNQFYPNSRFFLMIKCLHVPHKEEGVELSVMYSLMDEDEDTILASMQPHNAIVNAWFGPVSQIVAGRRDLHPKRRREMKERQRSFAKRAQANVPELEVQVALLEEPASEPTMPGPGPVAQQARQAEERREVLGEEEAVVEVEAMIDDLLLVAEEGLAAQESEVGAAGEQVAEMRFATGEKASACVLAQAARGVGCFGQRLREPVRYTLSGEPRM
+>sp|H0UI37|TSTD3_HUMAN Thiosulfate sulfurtransferase/rhodanese-like domain-containing protein 3 OS=Homo sapiens OX=9606 GN=TSTD3 PE=3 SV=1
+MKIEKCGWSEGLTSIKGNCHNFYTAISKDVTYKELKNLLNSKNIMLIDVREIWEILEYQKIPESINVPLDEVGEALQMNPRDFKEKYNEVKPSKSDS
+>DECOY_sp|H0UI37|TSTD3_HUMAN Thiosulfate sulfurtransferase/rhodanese-like domain-containing protein 3 OS=Homo sapiens OX=9606 GN=TSTD3 PE=3 SV=1
+SDSKSPKVENYKEKFDRPNMQLAEGVEDLPVNISEPIKQYELIEWIERVDILMINKSNLLNKLEKYTVDKSIATYFNHCNGKISTLGESWGCKEIKM
+>sp|Q9H0U9|TSYL1_HUMAN Testis-specific Y-encoded-like protein 1 OS=Homo sapiens OX=9606 GN=TSPYL1 PE=1 SV=3
+MSGLDGVKRTTPLQTHSIIISDQVPSDQDAHQYLRLRDQSEATQVMAEPGEGGSETVALPPPPPSEEGGVPQDAAGRGGTPQIRVVGGRGHVAIKAGQEEGQPPAEGLAAASVVMAADRSLKKGVQGGEKALEICGAQRSASELTAGAEAEAEEVKTGKCATVSAAVAERESAEVVKEGLAEKEVMEEQMEVEEQPPEGEEIEVAEEDRLEEEAREEEGPWPLHEALRMDPLEAIQLELDTVNAQADRAFQQLEHKFGRMRRHYLERRNYIIQNIPGFWMTAFRNHPQLSAMIRGQDAEMLRYITNLEVKELRHPRTGCKFKFFFRRNPYFRNKLIVKEYEVRSSGRVVSLSTPIIWRRGHEPQSFIRRNQDLICSFFTWFSDHSLPESDKIAEIIKEDLWPNPLQYYLLREGVRRARRRPLREPVEIPRPFGFQSG
+>DECOY_sp|Q9H0U9|TSYL1_HUMAN Testis-specific Y-encoded-like protein 1 OS=Homo sapiens OX=9606 GN=TSPYL1 PE=1 SV=3
+GSQFGFPRPIEVPERLPRRRARRVGERLLYYQLPNPWLDEKIIEAIKDSEPLSHDSFWTFFSCILDQNRRIFSQPEHGRRWIIPTSLSVVRGSSRVEYEKVILKNRFYPNRRFFFKFKCGTRPHRLEKVELNTIYRLMEADQGRIMASLQPHNRFATMWFGPINQIIYNRRELYHRRMRGFKHELQQFARDAQANVTDLELQIAELPDMRLAEHLPWPGEEERAEEELRDEEAVEIEEGEPPQEEVEMQEEMVEKEALGEKVVEASEREAVAASVTACKGTKVEEAEAEAGATLESASRQAGCIELAKEGGQVGKKLSRDAAMVVSAAALGEAPPQGEEQGAKIAVHGRGGVVRIQPTGGRGAADQPVGGEESPPPPPLAVTESGGEGPEAMVQTAESQDRLRLYQHADQDSPVQDSIIISHTQLPTTRKVGDLGSM
+>sp|Q86VY4|TSYL5_HUMAN Testis-specific Y-encoded-like protein 5 OS=Homo sapiens OX=9606 GN=TSPYL5 PE=1 SV=2
+MSGRSRGRKSSRAKNRGKGRAKARVRPAPDDAPRDPDPSQYQSLGEDTQAAQVQAGAGWGGLEAAASAQLLRLGEEAACRLPLDCGLALRARAAGDHGQAAARPGPGKAASLSERLAADTVFVGTAGTVGRPKNAPRVGNRRGPAGKKAPETCSTAGRGPQVIAGGRQKKGAAGENTSVSAGEEKKEERDAGSGPPATEGSMDTLENVQLKLENMNAQADRAYLRLSRKFGQLRLQHLERRNHLIQNIPGFWGQAFQNHPQLASFLNSQEKEVLSYLNSLEVEELGLARLGYKIKFYFDRNPYFQNKVLIKEYGCGPSGQVVSRSTPIQWLPGHDLQSLSQGNPENNRSFFGWFSNHSSIESDKIVEIINEELWPNPLQFYLLSEGARVEKGKEKEGRQGPGKQPMETTQPGVSQSN
+>DECOY_sp|Q86VY4|TSYL5_HUMAN Testis-specific Y-encoded-like protein 5 OS=Homo sapiens OX=9606 GN=TSPYL5 PE=1 SV=2
+NSQSVGPQTTEMPQKGPGQRGEKEKGKEVRAGESLLYFQLPNPWLEENIIEVIKDSEISSHNSFWGFFSRNNEPNGQSLSQLDHGPLWQIPTSRSVVQGSPGCGYEKILVKNQFYPNRDFYFKIKYGLRALGLEEVELSNLYSLVEKEQSNLFSALQPHNQFAQGWFGPINQILHNRRELHQLRLQGFKRSLRLYARDAQANMNELKLQVNELTDMSGETAPPGSGADREEKKEEGASVSTNEGAAGKKQRGGAIVQPGRGATSCTEPAKKGAPGRRNGVRPANKPRGVTGATGVFVTDAALRESLSAAKGPGPRAAAQGHDGAARARLALGCDLPLRCAAEEGLRLLQASAAAELGGWGAGAQVQAAQTDEGLSQYQSPDPDRPADDPAPRVRAKARGKGRNKARSSKRGRSRGSM
+>sp|Q8NDW8|TT21A_HUMAN Tetratricopeptide repeat protein 21A OS=Homo sapiens OX=9606 GN=TTC21A PE=2 SV=3
+MSSNDSSLMAGIIYYSQEKYFHHVQQAAAVGLEKFSNDPVLKFFKAYGVLKEEHIQDAISDLESIRHHPDVSLCSTMALIYAHKRCEIIDREAIQELEYSLKEIRKTVSGTALYYAGLFLWLIGRHDKAKEYIDRMLKISRGFREAYVLRGWVDLTSDKPHTAKKAIEYLEQGIQDTKDVLGLMGKAMYFMMQQNYSEALEVVNQITVTSGSFLPALVLKMQLFLARQDWEQTVEMGHRILEKDESNIDACQILTVHELAREGNMTTVSSLKTQKATNHVRNLIKALETREPENPSLHLKKIIVVSRLCGSHQVILGLVCSFIERTFMATPSYVHVATELGYLFILKNQVKEALLWYSEAMKLDKDGMAGLTGIILCHILEGHLEEAEYRLEFLKEVQKSLGKSEVLIFLQALLMSRKHKGEEETTALLKEAVELHFSSMQGIPLGSEYFEKLDPYFLVCIAKEYLLFCPKQPRLPGQIVSPLLKQVAVILNPVVKAAPALIDPLYLMAQVRYYSELENAQSILQRCLELDPASVDAHLLMCQIYLAQGNFGMCFHCLELGVSHNFQVRDHPLYHLIKARALNKAGDYPEAIKTLKMVIKLPALKKEEGRKFLRPSVQPSQRASILLELVEALRLNGELHEATKVMQDTINEFGGTPEENRITIANVDLVLSKGNVDVALNMLRNILPKQSCYMEAREKMANIYLQTLRDRRLYIRCYRELCEHLPGPHTSLLLGDALMSILEPEKALEVYDEAYRQNPHDASLASRIGHAYVKAHQYTEAIEYYEAAQKINGQDFLCCDLGKLLLKLKKVNKAEKVLKQALEHDIVQDIPSMMNDVKCLLLLAKVYKSHKKEAVIETLNKALDLQSRILKRVPLEQPEMIPSQKQLAASICIQFAEHYLAEKEYDKAVQSYKDVFSYLPTDNKVMLELAQLYLLQGHLDLCEQHCAILLQTEQNHETASVLMADLMFRKQKHEAAINLYHQVLEKAPDNFLVLHKLIDLLRRSGKLEDIPAFFELAKKVSSRVPLEPGFNYCRGIYCWHIGQPNEALKFLNKARKDSTWGQSAIYHMVQICLNPDNEVVGGEAFENQGAESNYMEKKELEQQGVSTAEKLLREFYPHSDSSQTQLRLLQGLCRLATREKANMEAALGSFIQIAQAEKDSVPALLALAQAYVFLKQIPKARMQLKRLAKTPWVLSEAEDLEKSWLLLADIYCQGSKFDLALELLRRCVQYNKSCYKAYEYMGFIMEKEQSYKDAVTNYKLAWKYSHHANPAIGFKLAFNYLKDKKFVEAIEICNDVLREHPDYPKIREEILEKARRSLRP
+>DECOY_sp|Q8NDW8|TT21A_HUMAN Tetratricopeptide repeat protein 21A OS=Homo sapiens OX=9606 GN=TTC21A PE=2 SV=3
+PRLSRRAKELIEERIKPYDPHERLVDNCIEIAEVFKKDKLYNFALKFGIAPNAHHSYKWALKYNTVADKYSQEKEMIFGMYEYAKYCSKNYQVCRRLLELALDFKSGQCYIDALLLWSKELDEAESLVWPTKALRKLQMRAKPIQKLFVYAQALALLAPVSDKEAQAIQIFSGLAAEMNAKERTALRCLGQLLRLQTQSSDSHPYFERLLKEATSVGQQELEKKEMYNSEAGQNEFAEGGVVENDPNLCIQVMHYIASQGWTSDKRAKNLFKLAENPQGIHWCYIGRCYNFGPELPVRSSVKKALEFFAPIDELKGSRRLLDILKHLVLFNDPAKELVQHYLNIAAEHKQKRFMLDAMLVSATEHNQETQLLIACHQECLDLHGQLLYLQALELMVKNDTPLYSFVDKYSQVAKDYEKEALYHEAFQICISAALQKQSPIMEPQELPVRKLIRSQLDLAKNLTEIVAEKKHSKYVKALLLLCKVDNMMSPIDQVIDHELAQKLVKEAKNVKKLKLLLKGLDCCLFDQGNIKQAAEYYEIAETYQHAKVYAHGIRSALSADHPNQRYAEDYVELAKEPELISMLADGLLLSTHPGPLHECLERYCRIYLRRDRLTQLYINAMKERAEMYCSQKPLINRLMNLAVDVNGKSLVLDVNAITIRNEEPTGGFENITDQMVKTAEHLEGNLRLAEVLELLISARQSPQVSPRLFKRGEEKKLAPLKIVMKLTKIAEPYDGAKNLARAKILHYLPHDRVQFNHSVGLELCHFCMGFNGQALYIQCMLLHADVSAPDLELCRQLISQANELESYYRVQAMLYLPDILAPAAKVVPNLIVAVQKLLPSVIQGPLRPQKPCFLLYEKAICVLFYPDLKEFYESGLPIGQMSSFHLEVAEKLLATTEEEGKHKRSMLLAQLFILVESKGLSKQVEKLFELRYEAEELHGELIHCLIIGTLGAMGDKDLKMAESYWLLAEKVQNKLIFLYGLETAVHVYSPTAMFTREIFSCVLGLIVQHSGCLRSVVIIKKLHLSPNEPERTELAKILNRVHNTAKQTKLSSVTTMNGERALEHVTLIQCADINSEDKELIRHGMEVTQEWDQRALFLQMKLVLAPLFSGSTVTIQNVVELAESYNQQMMFYMAKGMLGLVDKTDQIGQELYEIAKKATHPKDSTLDVWGRLVYAERFGRSIKLMRDIYEKAKDHRGILWLFLGAYYLATGSVTKRIEKLSYELEQIAERDIIECRKHAYILAMTSCLSVDPHHRISELDSIADQIHEEKLVGYAKFFKLVPDNSFKELGVAAAQQVHHFYKEQSYYIIGAMLSSDNSSM
+>sp|Q7Z4L5|TT21B_HUMAN Tetratricopeptide repeat protein 21B OS=Homo sapiens OX=9606 GN=TTC21B PE=1 SV=2
+MDSQELKTLINYYCQERYFHHVLLVASEGIKRYGSDPVFRFYHAYGTLMEGKTQEALREFEAIKNKQDVSLCSLLALIYAHKMSPNPDREAILESDARVKEQRKGAGEKALYHAGLFLWHIGRHDKAREYIDRMIKISDGSKQGHVLKAWLDITRGKEPYTKKALKYFEEGLQDGNDTFALLGKAQCLEMRQNYSGALETVNQIIVNFPSFLPAFVKKMKLQLALQDWDQTVETAQRLLLQDSQNVEALRMQALYYVCREGDIEKASTKLENLGNTLDAMEPQNAQLFYNITLAFSRTCGRSQLILQKIQTLLERAFSLNPQQSEFATELGYQMILQGRVKEALKWYKTAMTLDETSVSALVGFIQCQLIEGQLQDADQQLEFLNEIQQSIGKSAELIYLHAVLAMKKNKRQEEVINLLNDVLDTHFSQLEGLPLGIQYFEKLNPDFLLEIVMEYLSFCPMQPASPGQPLCPLLRRCISVLETVVRTVPGLLQTVFLIAKVKYLSGDIEAAFNNLQHCLEHNPSYADAHLLLAQVYLSQEKVKLCSQSLELCLSYDFKVRDYPLYHLIKAQSQKKMGEIADAIKTLHMAMSLPGMKRIGASTKSKDRKTEVDTSHRLSIFLELIDVHRLNGEQHEATKVLQDAIHEFSGTSEEVRVTIANADLALAQGDIERALSILQNVTAEQPYFIEAREKMADIYLKHRKDKMLYITCFREIAERMANPRSFLLLGDAYMNILEPEEAIVAYEQALNQNPKDGTLASKMGKALIKTHNYSMAITYYEAALKTGQKNYLCYDLAELLLKLKWYDKAEKVLQHALAHEPVNELSALMEDGRCQVLLAKVYSKMEKLGDAITALQQARELQARVLKRVQMEQPDAVPAQKHLAAEICAEIAKHSVAQRDYEKAIKFYREALVHCETDNKIMLELARLYLAQDDPDSCLRQCALLLQSDQDNEAATMMMADLMFRKQDYEQAVFHLQQLLERKPDNYMTLSRLIDLLRRCGKLEDVPRFFSMAEKRNSRAKLEPGFQYCKGLYLWYTGEPNDALRHFNKARKDRDWGQNALYNMIEICLNPDNETVGGEVFENLDGDLGNSTEKQESVQLAVRTAEKLLKELKPQTVQGHVQLRIMENYCLMATKQKSNVEQALNTFTEIAASEKEHIPALLGMATAYMILKQTPRARNQLKRIAKMNWNAIDAEEFEKSWLLLADIYIQSAKYDMAEDLLKRCLRHNRSCCKAYEYMGYIMEKEQAYTDAALNYEMAWKYSNRTNPAVGYKLAFNYLKAKRYVDSIDICHQVLEAHPTYPKIRKDILDKARASLRP
+>DECOY_sp|Q7Z4L5|TT21B_HUMAN Tetratricopeptide repeat protein 21B OS=Homo sapiens OX=9606 GN=TTC21B PE=1 SV=2
+PRLSARAKDLIDKRIKPYTPHAELVQHCIDISDVYRKAKLYNFALKYGVAPNTRNSYKWAMEYNLAADTYAQEKEMIYGMYEYAKCCSRNHRLCRKLLDEAMDYKASQIYIDALLLWSKEFEEADIANWNMKAIRKLQNRARPTQKLIMYATAMGLLAPIHEKESAAIETFTNLAQEVNSKQKTAMLCYNEMIRLQVHGQVTQPKLEKLLKEATRVALQVSEQKETSNGLDGDLNEFVEGGVTENDPNLCIEIMNYLANQGWDRDKRAKNFHRLADNPEGTYWLYLGKCYQFGPELKARSNRKEAMSFFRPVDELKGCRRLLDILRSLTMYNDPKRELLQQLHFVAQEYDQKRFMLDAMMMTAAENDQDSQLLLACQRLCSDPDDQALYLRALELMIKNDTECHVLAERYFKIAKEYDRQAVSHKAIEACIEAALHKQAPVADPQEMQVRKLVRAQLERAQQLATIADGLKEMKSYVKALLVQCRGDEMLASLENVPEHALAHQLVKEAKDYWKLKLLLEALDYCLYNKQGTKLAAEYYTIAMSYNHTKILAKGMKSALTGDKPNQNLAQEYAVIAEEPELINMYADGLLLFSRPNAMREAIERFCTIYLMKDKRHKLYIDAMKERAEIFYPQEATVNQLISLAREIDGQALALDANAITVRVEESTGSFEHIADQLVKTAEHQEGNLRHVDILELFISLRHSTDVETKRDKSKTSAGIRKMGPLSMAMHLTKIADAIEGMKKQSQAKILHYLPYDRVKFDYSLCLELSQSCLKVKEQSLYVQALLLHADAYSPNHELCHQLNNFAAEIDGSLYKVKAILFVTQLLGPVTRVVTELVSICRRLLPCLPQGPSAPQMPCFSLYEMVIELLFDPNLKEFYQIGLPLGELQSFHTDLVDNLLNIVEEQRKNKKMALVAHLYILEASKGISQQIENLFELQQDADQLQGEILQCQIFGVLASVSTEDLTMATKYWKLAEKVRGQLIMQYGLETAFESQQPNLSFARELLTQIKQLILQSRGCTRSFALTINYFLQANQPEMADLTNGLNELKTSAKEIDGERCVYYLAQMRLAEVNQSDQLLLRQATEVTQDWDQLALQLKMKKVFAPLFSPFNVIIQNVTELAGSYNQRMELCQAKGLLAFTDNGDQLGEEFYKLAKKTYPEKGRTIDLWAKLVHGQKSGDSIKIMRDIYERAKDHRGIHWLFLGAHYLAKEGAGKRQEKVRADSELIAERDPNPSMKHAYILALLSCLSVDQKNKIAEFERLAEQTKGEMLTGYAHYFRFVPDSGYRKIGESAVLLVHHFYREQCYYNILTKLEQSDM
+>sp|Q5SRH9|TT39A_HUMAN Tetratricopeptide repeat protein 39A OS=Homo sapiens OX=9606 GN=TTC39A PE=2 SV=1
+MGQKGHKDSLYPCGGTPESSLHEALDQCMTALDLFLTNQFSEALSYLKPRTKESMYHSLTYATILEMQAMMTFDPQDILLAGNMMKEAQMLCQRHRRKSSVTDSFSSLVNRPTLGQFTEEEIHAEVCYAECLLQRAALTFLQGSSHGGAVRPRALHDPSHACSCPPGPGRQHLFLLQDENMVSFIKGGIKVRNSYQTYKELDSLVQSSQYCKGENHPHFEGGVKLGVGAFNLTLSMLPTRILRLLEFVGFSGNKDYGLLQLEEGASGHSFRSVLCVMLLLCYHTFLTFVLGTGNVNIEEAEKLLKPYLNRYPKGAIFLFFAGRIEVIKGNIDAAIRRFEECCEAQQHWKQFHHMCYWELMWCFTYKGQWKMSYFYADLLSKENCWSKATYIYMKAAYLSMFGKEDHKPFGDDEVELFRAVPGLKLKIAGKSLPTEKFAIRKSRRYFSSNPISLPVPALEMMYIWNGYAVIGKQPKLTDGILEIITKAEEMLEKGPENEYSVDDECLVKLLKGLCLKYLGRVQEAEENFRSISANEKKIKYDHYLIPNALLELALLLMEQDRNEEAIKLLESAKQNYKNYSMESRTHFRIQAATLQAKSSLENSSRSMVSSVSL
+>DECOY_sp|Q5SRH9|TT39A_HUMAN Tetratricopeptide repeat protein 39A OS=Homo sapiens OX=9606 GN=TTC39A PE=2 SV=1
+LSVSSVMSRSSNELSSKAQLTAAQIRFHTRSEMSYNKYNQKASELLKIAEENRDQEMLLLALELLANPILYHDYKIKKENASISRFNEEAEQVRGLYKLCLGKLLKVLCEDDVSYENEPGKELMEEAKTIIELIGDTLKPQKGIVAYGNWIYMMELAPVPLSIPNSSFYRRSKRIAFKETPLSKGAIKLKLGPVARFLEVEDDGFPKHDEKGFMSLYAAKMYIYTAKSWCNEKSLLDAYFYSMKWQGKYTFCWMLEWYCMHHFQKWHQQAECCEEFRRIAADINGKIVEIRGAFFLFIAGKPYRNLYPKLLKEAEEINVNGTGLVFTLFTHYCLLLMVCLVSRFSHGSAGEELQLLGYDKNGSFGVFELLRLIRTPLMSLTLNFAGVGLKVGGEFHPHNEGKCYQSSQVLSDLEKYTQYSNRVKIGGKIFSVMNEDQLLFLHQRGPGPPCSCAHSPDHLARPRVAGGHSSGQLFTLAARQLLCEAYCVEAHIEEETFQGLTPRNVLSSFSDTVSSKRRHRQCLMQAEKMMNGALLIDQPDFTMMAQMELITAYTLSHYMSEKTRPKLYSLAESFQNTLFLDLATMCQDLAEHLSSEPTGGCPYLSDKHGKQGM
+>sp|Q6IQ55|TTBK2_HUMAN Tau-tubulin kinase 2 OS=Homo sapiens OX=9606 GN=TTBK2 PE=1 SV=2
+MSGGGEQLDILSVGILVKERWKVLRKIGGGGFGEIYDALDMLTRENVALKVESAQQPKQVLKMEVAVLKKLQGKDHVCRFIGCGRNDRFNYVVMQLQGRNLADLRRSQSRGTFTISTTLRLGRQILESIESIHSVGFLHRDIKPSNFAMGRFPSTCRKCYMLDFGLARQFTNSCGDVRPPRAVAGFRGTVRYASINAHRNREMGRHDDLWSLFYMLVEFVVGQLPWRKIKDKEQVGSIKERYDHRLMLKHLPPEFSIFLDHISSLDYFTKPDYQLLTSVFDNSIKTFGVIESDPFDWEKTGNDGSLTTTTTSTTPQLHTRLTPAAIGIANATPIPGDLLRENTDEVFPDEQLSDGENGIPVGVSPDKLPGSLGHPRPQEKDVWEEMDANKNKIKLGICKAATEEENSHGQANGLLNAPSLGSPIRVRSEITQPDRDIPLVRKLRSIHSFELEKRLTLEPKPDTDKFLETCLEKMQKDTSAGKESILPALLHKPCVPAVSRTDHIWHYDEEYLPDASKPASANTPEQADGGGSNGFIAVNLSSCKQEIDSKEWVIVDKEQDLQDFRTNEAVGHKTTGSPSDEEPEVLQVLEASPQDEKLQLGPWAENDHLKKETSGVVLALSAEGPPTAASEQYTDRLELQPGAASQFIAATPTSLMEAQAEGPLTAITIPRPSVASTQSTSGSFHCGQQPEKKDLQPMEPTVELYSPRENFSGLVVTEGEPPSGGSRTDLGLQIDHIGHDMLPNIRESNKSQDLGPKELPDHNRLVVREFENLPGETEEKSILLESDNEDEKLSRGQHCIEISSLPGDLVIVEKDHSATTEPLDVTKTQTFSVVPNQDKNNEIMKLLTVGTSEISSRDIDPHVEGQIGQVAEMQKNKISKDDDIMSEDLPGHQGDLSTFLHQEGKREKITPRNGELFHCVSENEHGAPTRKDMVRSSFVTRHSRIPVLAQEIDSTLESSSPVSAKEKLLQKKAYQPDLVKLLVEKRQFKSFLGDLSSASDKLLEEKLATVPAPFCEEEVLTPFSRLTVDSHLSRSAEDSFLSPIISQSRKSKIPRPVSWVNTDQVNSSTSSQFFPRPPPGKPPTRPGVEARLRRYKVLGSSNSDSDLFSRLAQILQNGSQKPRSTTQCKSPGSPHNPKTPPKSPVVPRRSPSASPRSSSLPRTSSSSPSRAGRPHHDQRSSSPHLGRSKSPPSHSGSSSSRRSCQQEHCKPSKNGLKGSGSLHHHSASTKTPQGKSKPASKLSR
+>DECOY_sp|Q6IQ55|TTBK2_HUMAN Tau-tubulin kinase 2 OS=Homo sapiens OX=9606 GN=TTBK2 PE=1 SV=2
+RSLKSAPKSKGQPTKTSASHHHLSGSGKLGNKSPKCHEQQCSRRSSSSGSHSPPSKSRGLHPSSSRQDHHPRGARSPSSSSTRPLSSSRPSASPSRRPVVPSKPPTKPNHPSGPSKCQTTSRPKQSGNQLIQALRSFLDSDSNSSGLVKYRRLRAEVGPRTPPKGPPPRPFFQSSTSSNVQDTNVWSVPRPIKSKRSQSIIPSLFSDEASRSLHSDVTLRSFPTLVEEECFPAPVTALKEELLKDSASSLDGLFSKFQRKEVLLKVLDPQYAKKQLLKEKASVPSSSELTSDIEQALVPIRSHRTVFSSRVMDKRTPAGHENESVCHFLEGNRPTIKERKGEQHLFTSLDGQHGPLDESMIDDDKSIKNKQMEAVQGIQGEVHPDIDRSSIESTGVTLLKMIENNKDQNPVVSFTQTKTVDLPETTASHDKEVIVLDGPLSSIEICHQGRSLKEDENDSELLISKEETEGPLNEFERVVLRNHDPLEKPGLDQSKNSERINPLMDHGIHDIQLGLDTRSGGSPPEGETVVLGSFNERPSYLEVTPEMPQLDKKEPQQGCHFSGSTSQTSAVSPRPITIATLPGEAQAEMLSTPTAAIFQSAAGPQLELRDTYQESAATPPGEASLALVVGSTEKKLHDNEAWPGLQLKEDQPSAELVQLVEPEEDSPSGTTKHGVAENTRFDQLDQEKDVIVWEKSDIEQKCSSLNVAIFGNSGGGDAQEPTNASAPKSADPLYEEDYHWIHDTRSVAPVCPKHLLAPLISEKGASTDKQMKELCTELFKDTDPKPELTLRKELEFSHISRLKRVLPIDRDPQTIESRVRIPSGLSPANLLGNAQGHSNEEETAAKCIGLKIKNKNADMEEWVDKEQPRPHGLSGPLKDPSVGVPIGNEGDSLQEDPFVEDTNERLLDGPIPTANAIGIAAPTLRTHLQPTTSTTTTTLSGDNGTKEWDFPDSEIVGFTKISNDFVSTLLQYDPKTFYDLSSIHDLFISFEPPLHKLMLRHDYREKISGVQEKDKIKRWPLQGVVFEVLMYFLSWLDDHRGMERNRHANISAYRVTGRFGAVARPPRVDGCSNTFQRALGFDLMYCKRCTSPFRGMAFNSPKIDRHLFGVSHISEISELIQRGLRLTTSITFTGRSQSRRLDALNRGQLQMVVYNFRDNRGCGIFRCVHDKGQLKKLVAVEMKLVQKPQQASEVKLAVNERTLMDLADYIEGFGGGGIKRLVKWREKVLIGVSLIDLQEGGGSM
+>sp|Q6P3X3|TTC27_HUMAN Tetratricopeptide repeat protein 27 OS=Homo sapiens OX=9606 GN=TTC27 PE=1 SV=1
+MWTPELAILRGFPTEAERQQWKQEGVVGSESGSFLQLLLEGNYEAIFLNSMTQNIFNSTTTAEEKIDSYLEKQVVTFLDYSTDLDTTERQQLIFLLGVSSLQLFVQSNWTGPPVDLHPQDFLSSVLFQQFSEVKGLDAFVLSLLTLDGESIYSLTSKPILLLLARIILVNVRHKLTAIQSLPWWTLRCVNIHQHLLEERSPLLFTLAENCIDQVMKLQNLFVDDSGRYLAIQFHLECAYVFLYYYEYRKAKDQLDIAKDISQLQIDLTGALGKRTRFQENYVAQLILDVRREGDVLSNCEFTPAPTPQEHLTKNLELNDDTILNDIKLADCEQFQMPDLCAEEIAIILGICTNFQKNNPVHTLTEVELLAFTSCLLSQPKFWAIQTSALILRTKLEKGSTRRVERAMRQTQALADQFEDKTTSVLERLKIFYCCQVPPHWAIQRQLASLLFELGCTSSALQIFEKLEMWEDVVICYERAGQHGKAEEILRQELEKKETPSLYCLLGDVLGDHSCYDKAWELSRYRSARAQRSKALLHLRNKEFQECVECFERSVKINPMQLGVWFSLGCAYLALEDYQGSAKAFQRCVTLEPDNAEAWNNLSTSYIRLKQKVKAFRTLQEALKCNYEHWQIWENYILTSTDVGEFSEAIKAYHRLLDLRDKYKDVQVLKILVRAVIDGMTDRSGDVATGLKGKLQELFGRVTSRVTNDGEIWRLYAHVYGNGQSEKPDENEKAFQCLSKAYKCDTQSNCWEKDITSFKEVVQRALGLAHVAIKCSKNKSSSQEAVQMLSSVRLNLRGLLSKAKQLFTDVATGEMSRELADDITAMDTLVTELQDLSNQFRNQY
+>DECOY_sp|Q6P3X3|TTC27_HUMAN Tetratricopeptide repeat protein 27 OS=Homo sapiens OX=9606 GN=TTC27 PE=1 SV=1
+YQNRFQNSLDQLETVLTDMATIDDALERSMEGTAVDTFLQKAKSLLGRLNLRVSSLMQVAEQSSSKNKSCKIAVHALGLARQVVEKFSTIDKEWCNSQTDCKYAKSLCQFAKENEDPKESQGNGYVHAYLRWIEGDNTVRSTVRGFLEQLKGKLGTAVDGSRDTMGDIVARVLIKLVQVDKYKDRLDLLRHYAKIAESFEGVDTSTLIYNEWIQWHEYNCKLAEQLTRFAKVKQKLRIYSTSLNNWAEANDPELTVCRQFAKASGQYDELALYACGLSFWVGLQMPNIKVSREFCEVCEQFEKNRLHLLAKSRQARASRYRSLEWAKDYCSHDGLVDGLLCYLSPTEKKELEQRLIEEAKGHQGAREYCIVVDEWMELKEFIQLASSTCGLEFLLSALQRQIAWHPPVQCCYFIKLRELVSTTKDEFQDALAQTQRMAREVRRTSGKELKTRLILASTQIAWFKPQSLLCSTFALLEVETLTHVPNNKQFNTCIGLIIAIEEACLDPMQFQECDALKIDNLITDDNLELNKTLHEQPTPAPTFECNSLVDGERRVDLILQAVYNEQFRTRKGLAGTLDIQLQSIDKAIDLQDKAKRYEYYYLFVYACELHFQIALYRGSDDVFLNQLKMVQDICNEALTFLLPSREELLHQHINVCRLTWWPLSQIATLKHRVNVLIIRALLLLIPKSTLSYISEGDLTLLSLVFADLGKVESFQQFLVSSLFDQPHLDVPPGTWNSQVFLQLSSVGLLFILQQRETTDLDTSYDLFTVVQKELYSDIKEEATTTSNFINQTMSNLFIAEYNGELLLQLFSGSESGVVGEQKWQQREAETPFGRLIALEPTWM
+>sp|Q6PID6|TTC33_HUMAN Tetratricopeptide repeat protein 33 OS=Homo sapiens OX=9606 GN=TTC33 PE=1 SV=2
+MASFGWKRKIGEKVSKVTSQQFEAEAADEKDVVDNDEGNWLHAIKRRKEILLEGCAEKSKQLKDEGASLAENKRYREAIQKWDEALQLTPNDATLYEMKSQVLMSLHEMFPAVHAAEMAVQQNPHSWESWQTLGRAQLGLGEIILAIRSFQVALHIYPMNPEIWKEDLSWARTLQEQQKVAQRIKKSEAPAEVTHFSPKSIPDYDFESDEIVAVCAAIAEKEKTVSANKTMVIVSASGAIETVTEKEDGATPPDGSVFIKAR
+>DECOY_sp|Q6PID6|TTC33_HUMAN Tetratricopeptide repeat protein 33 OS=Homo sapiens OX=9606 GN=TTC33 PE=1 SV=2
+RAKIFVSGDPPTAGDEKETVTEIAGSASVIVMTKNASVTKEKEAIAACVAVIEDSEFDYDPISKPSFHTVEAPAESKKIRQAVKQQEQLTRAWSLDEKWIEPNMPYIHLAVQFSRIALIIEGLGLQARGLTQWSEWSHPNQQVAMEAAHVAPFMEHLSMLVQSKMEYLTADNPTLQLAEDWKQIAERYRKNEALSAGEDKLQKSKEACGELLIEKRRKIAHLWNGEDNDVVDKEDAAEAEFQQSTVKSVKEGIKRKWGFSAM
+>sp|A6NLP5|TTC36_HUMAN Tetratricopeptide repeat protein 36 OS=Homo sapiens OX=9606 GN=TTC36 PE=1 SV=1
+MGTPNDQAVLQAIFNPDTPFGDIVGLDLGEEAEKEEREEDEVFPQAQLEQSKALELQGVMAAEAGDLSTALERFGQAICLLPERASAYNNRAQARRLQGDVAGALEDLERAVELSGGRGRAARQSFVQRGLLARLQGRDDDARRDFERAARLGSPFARRQLVLLNPYAALCNRMLADMMGQLRRPRDSR
+>DECOY_sp|A6NLP5|TTC36_HUMAN Tetratricopeptide repeat protein 36 OS=Homo sapiens OX=9606 GN=TTC36 PE=1 SV=1
+RSDRPRRLQGMMDALMRNCLAAYPNLLVLQRRAFPSGLRAAREFDRRADDDRGQLRALLGRQVFSQRAARGRGGSLEVARELDELAGAVDGQLRRAQARNNYASAREPLLCIAQGFRELATSLDGAEAAMVGQLELAKSQELQAQPFVEDEEREEKEAEEGLDLGVIDGFPTDPNFIAQLVAQDNPTGM
+>sp|A6NH52|TV23A_HUMAN Golgi apparatus membrane protein TVP23 homolog A OS=Homo sapiens OX=9606 GN=TVP23A PE=2 SV=3
+MKQALVDDTEDVSLDFGNEEELAFRKAKIRHPLATFFHLFFRVSAIVTYVSCDWFSKSFVGCFVMVLLLLSLDFWSVKNVTGRLLVGLRWWNQIDEDGKSHWIFEARKVSPNSIAATEAEARIFWLGLIICPMIWIVFFFSTLFSLKLKWLALVVAGISLQAANLYGYILCKMGGNSDIGKVTASFLSQTVFQTACPGDFQKPGLEGLEIHQH
+>DECOY_sp|A6NH52|TV23A_HUMAN Golgi apparatus membrane protein TVP23 homolog A OS=Homo sapiens OX=9606 GN=TVP23A PE=2 SV=3
+HQHIELGELGPKQFDGPCATQFVTQSLFSATVKGIDSNGGMKCLIYGYLNAAQLSIGAVVLALWKLKLSFLTSFFFVIWIMPCIILGLWFIRAEAETAAISNPSVKRAEFIWHSKGDEDIQNWWRLGVLLRGTVNKVSWFDLSLLLLVMVFCGVFSKSFWDCSVYTVIASVRFFLHFFTALPHRIKAKRFALEEENGFDLSVDETDDVLAQKM
+>sp|A0A0A6YYC5|TVA14_HUMAN T cell receptor alpha variable 14/delta variable 4 OS=Homo sapiens OX=9606 GN=TRAV14DV4 PE=3 SV=5
+MSLSSLLKVVTASLWLGPGIAQKITQTQPGMFVQEKEAVTLDCTYDTSDPSYGLFWYKQPSSGEMIFLIYQGSYDQQNATEGRYSLNFQKARKSANLVISASQLGDSAMYFCAMRE
+>DECOY_sp|A0A0A6YYC5|TVA14_HUMAN T cell receptor alpha variable 14/delta variable 4 OS=Homo sapiens OX=9606 GN=TRAV14DV4 PE=3 SV=5
+ERMACFYMASDGLQSASIVLNASKRAKQFNLSYRGETANQQDYSGQYILFIMEGSSPQKYWFLGYSPDSTDYTCDLTVAEKEQVFMGPQTQTIKQAIGPGLWLSATVVKLLSSLSM
+>sp|A0A0B4J274|TVA20_HUMAN T cell receptor alpha variable 20 OS=Homo sapiens OX=9606 GN=TRAV20 PE=1 SV=1
+MEKMLECAFIVLWLQLGWLSGEDQVTQSPEALRLQEGESSSLNCSYTVSGLRGLFWYRQDPGKGPEFLFTLYSAGEEKEKERLKATLTKKESFLHITAPKPEDSATYLCAVQ
+>DECOY_sp|A0A0B4J274|TVA20_HUMAN T cell receptor alpha variable 20 OS=Homo sapiens OX=9606 GN=TRAV20 PE=1 SV=1
+QVACLYTASDEPKPATIHLFSEKKTLTAKLREKEKEEGASYLTFLFEPGKGPDQRYWFLGRLGSVTYSCNLSSSEGEQLRLAEPSQTVQDEGSLWGLQLWLVIFACELMKEM
+>sp|A0A075B6W5|TVA23_HUMAN T cell receptor alpha variable 23/delta variable 6 OS=Homo sapiens OX=9606 GN=TRAV23DV6 PE=3 SV=1
+MDKILGASFLVLWLQLCWVSGQQKEKSDQQQVKQSPQSLIVQKGGISIINCAYENTAFDYFPWYQQFPGKGPALLIAIRPDVSEKKEGRFTISFNKSAKQFSSHIMDSQPGDSATYFCAAS
+>DECOY_sp|A0A075B6W5|TVA23_HUMAN T cell receptor alpha variable 23/delta variable 6 OS=Homo sapiens OX=9606 GN=TRAV23DV6 PE=3 SV=1
+SAACFYTASDGPQSDMIHSSFQKASKNFSITFRGEKKESVDPRIAILLAPGKGPFQQYWPFYDFATNEYACNIISIGGKQVILSQPSQKVQQQDSKEKQQGSVWCLQLWLVLFSAGLIKDM
+>sp|A0A0B4J273|TVA34_HUMAN T cell receptor alpha variable 34 OS=Homo sapiens OX=9606 GN=TRAV34 PE=3 SV=1
+METVLQVLLGILGFQAAWVSSQELEQSPQSLIVQEGKNLTINCTSSKTLYGLYWYKQKYGEGLIFLMMLQKGGEEKSHEKITAKLDEKKQQSSLHITASQPSHAGIYLCGAD
+>DECOY_sp|A0A0B4J273|TVA34_HUMAN T cell receptor alpha variable 34 OS=Homo sapiens OX=9606 GN=TRAV34 PE=3 SV=1
+DAGCLYIGAHSPQSATIHLSSQQKKEDLKATIKEHSKEEGGKQLMMLFILGEGYKQKYWYLGYLTKSSTCNITLNKGEQVILSQPSQELEQSSVWAAQFGLIGLLVQLVTEM
+>sp|A0A0B4J280|TVA40_HUMAN T cell receptor alpha variable 40 OS=Homo sapiens OX=9606 GN=TRAV40 PE=3 SV=1
+MNSSLDFLILILMFGGTSSNSVKQTGQITVSEGASVTMNCTYTSTGYPTLFWYVEYPSKPLQLLQRETMENSKNFGGGNIKDKNSPIVKYSVQVSDSAVYYCLLG
+>DECOY_sp|A0A0B4J280|TVA40_HUMAN T cell receptor alpha variable 40 OS=Homo sapiens OX=9606 GN=TRAV40 PE=3 SV=1
+GLLCYYVASDSVQVSYKVIPSNKDKINGGGFNKSNEMTERQLLQLPKSPYEVYWFLTPYGTSTYTCNMTVSAGESVTIQGTQKVSNSSTGGFMLILILFDLSSNM
+>sp|A0A075B6U4|TVA7_HUMAN T cell receptor alpha variable 7 OS=Homo sapiens OX=9606 GN=TRAV7 PE=3 SV=1
+MEKMRRPVLIIFCLCLGWANGENQVEHSPHFLGPQQGDVASMSCTYSVSRFNNLQWYRQNTGMGPKHLLSMYSAGYEKQKGRLNATLLKNGSSLYITAVQPEDSATYFCAVD
+>DECOY_sp|A0A075B6U4|TVA7_HUMAN T cell receptor alpha variable 7 OS=Homo sapiens OX=9606 GN=TRAV7 PE=3 SV=1
+DVACFYTASDEPQVATIYLSSGNKLLTANLRGKQKEYGASYMSLLHKPGMGTNQRYWQLNNFRSVSYTCSMSAVDGQQPGLFHPSHEVQNEGNAWGLCLCFIILVPRRMKEM
+>sp|P01737|TVA84_HUMAN T cell receptor alpha variable 8-4 OS=Homo sapiens OX=9606 GN=TRAV8-4 PE=2 SV=2
+MLLLLVPVLEVIFTLGGTRAQSVTQLGSHVSVSEGALVLLRCNYSSSVPPYLFWYVQYPNQGLQLLLKYTSAATLVKGINGFEAEFKKSETSFHLTKPSAHMSDAAEYFCAVS
+>DECOY_sp|P01737|TVA84_HUMAN T cell receptor alpha variable 8-4 OS=Homo sapiens OX=9606 GN=TRAV8-4 PE=2 SV=2
+SVACFYEAADSMHASPKTLHFSTESKKFEAEFGNIGKVLTAASTYKLLLQLGQNPYQVYWFLYPPVSSSYNCRLLVLAGESVSVHSGLQTVSQARTGGLTFIVELVPVLLLLM
+>sp|A0A0B4J235|TVAM2_HUMAN T cell receptor alpha variable 13-2 OS=Homo sapiens OX=9606 GN=TRAV13-2 PE=3 SV=1
+MAGIRALFMYLWLQLDWVSRGESVGLHLPTLSVQEGDNSIINCAYSNSASDYFIWYKQESGKGPQFIIDIRSNMDKRQGQRVTVLLNKTVKHLSLQIAATQPGDSAVYFCAEN
+>DECOY_sp|A0A0B4J235|TVAM2_HUMAN T cell receptor alpha variable 13-2 OS=Homo sapiens OX=9606 GN=TRAV13-2 PE=3 SV=1
+NEACFYVASDGPQTAAIQLSLHKVTKNLLVTVRQGQRKDMNSRIDIIFQPGKGSEQKYWIFYDSASNSYACNIISNDGEQVSLTPLHLGVSEGRSVWDLQLWLYMFLARIGAM
+>sp|A0A087X0M5|TVB18_HUMAN T cell receptor beta variable 18 OS=Homo sapiens OX=9606 GN=TRBV18 PE=3 SV=6
+MDTRLLCCAVICLLGAGLSNAGVMQNPRHLVRRRGQEARLRCSPMKGHSHVYWYRQLPEEGLKFMVYLQKENIIDESGMPKERFSAEFPKEGPSILRIQQVVRGDSAAYFCASSP
+>DECOY_sp|A0A087X0M5|TVB18_HUMAN T cell receptor beta variable 18 OS=Homo sapiens OX=9606 GN=TRBV18 PE=3 SV=6
+PSSACFYAASDGRVVQQIRLISPGEKPFEASFREKPMGSEDIINEKQLYVMFKLGEEPLQRYWYVHSHGKMPSCRLRAEQGRRRVLHRPNQMVGANSLGAGLLCIVACCLLRTDM
+>sp|Q6IBS0|TWF2_HUMAN Twinfilin-2 OS=Homo sapiens OX=9606 GN=TWF2 PE=1 SV=2
+MAHQTGIHATEELKEFFAKARAGSVRLIKVVIEDEQLVLGASQEPVGRWDQDYDRAVLPLLDAQQPCYLLYRLDSQNAQGFEWLFLAWSPDNSPVRLKMLYAATRATVKKEFGGGHIKDELFGTVKDDLSFAGYQKHLSSCAAPAPLTSAERELQQIRINEVKTEISVESKHQTLQGLAFPLQPEAQRALQQLKQKMVNYIQMKLDLERETIELVHTEPTDVAQLPSRVPRDAARYHFFLYKHTHEGDPLESVVFIYSMPGYKCSIKERMLYSSCKSRLLDSVEQDFHLEIAKKIEIGDGAELTAEFLYDEVHPKQHAFKQAFAKPKGPGGKRGHKRLIRGPGENGDDS
+>DECOY_sp|Q6IBS0|TWF2_HUMAN Twinfilin-2 OS=Homo sapiens OX=9606 GN=TWF2 PE=1 SV=2
+SDDGNEGPGRILRKHGRKGGPGKPKAFAQKFAHQKPHVEDYLFEATLEAGDGIEIKKAIELHFDQEVSDLLRSKCSSYLMREKISCKYGPMSYIFVVSELPDGEHTHKYLFFHYRAADRPVRSPLQAVDTPETHVLEITERELDLKMQIYNVMKQKLQQLARQAEPQLPFALGQLTQHKSEVSIETKVENIRIQQLEREASTLPAPAACSSLHKQYGAFSLDDKVTGFLEDKIHGGGFEKKVTARTAAYLMKLRVPSNDPSWALFLWEFGQANQSDLRYLLYCPQQADLLPLVARDYDQDWRGVPEQSAGLVLQEDEIVVKILRVSGARAKAFFEKLEETAHIGTQHAM
+>sp|Q9P2K2|TXD16_HUMAN Thioredoxin domain-containing protein 16 OS=Homo sapiens OX=9606 GN=TXNDC16 PE=1 SV=4
+MFSGFNVFRVGISFVIMCIFYMPTVNSLPELSPQKYFSTLQPGKASLAYFCQADSPRTSVFLEELNEAVRPLQDYGISVAKVNCVKEEISRYCGKEKDLMKAYLFKGNILLREFPTDTLFDVNAIVAHVLFALLFSEVKYITNLEDLQNIENALKGKANIIFSYVRAIGIPEHRAVMEAAFVYGTTYQFVLTTEIALLESIGSEDVEYAHLYFFHCKLVLDLTQQCRRTLMEQPLTTLNIHLFIKTMKAPLLTEVAEDPQQVSTVHLQLGLPLVFIVSQQATYEADRRTAEWVAWRLLGKAGVLLLLRDSLEVNIPQDANVVFKRAEEGVPVEFLVLHDVDLIISHVENNMHIEEIQEDEDNDMEGPDIDVQDDEVAETVFRDRKRKLPLELTVELTEETFNATVMASDSIVLFYAGWQAVSMAFLQSYIDVAVKLKGTSTMLLTRINCADWSDVCTKQNVTEFPIIKMYKKGENPVSYAGMLGTEDLLKFIQLNRISYPVNITSIQEAEEYLSGELYKDLILYSSVSVLGLFSPTMKTAKEDFSEAGNYLKGYVITGIYSEEDVLLLSTKYAASLPALLLARHTEGKIESIPLASTHAQDIVQIITDALLEMFPEITVENLPSYFRLQKPLLILFSDGTVNPQYKKAILTLVKQKYLDSFTPCWLNLKNTPVGRGILRAYFDPLPPLPLLVLVNLHSGGQVFAFPSDQAIIEENLVLWLKKLEAGLENHITILPAQEWKPPLPAYDFLSMIDAATSQRGTRKVPKCMKETDVQENDKEQHEDKSAVRKEPIETLRIKHWNRSNWFKEAEKSFRRDKELGCSKVN
+>DECOY_sp|Q9P2K2|TXD16_HUMAN Thioredoxin domain-containing protein 16 OS=Homo sapiens OX=9606 GN=TXNDC16 PE=1 SV=4
+NVKSCGLEKDRRFSKEAEKFWNSRNWHKIRLTEIPEKRVASKDEHQEKDNEQVDTEKMCKPVKRTGRQSTAADIMSLFDYAPLPPKWEQAPLITIHNELGAELKKLWLVLNEEIIAQDSPFAFVQGGSHLNVLVLLPLPPLPDFYARLIGRGVPTNKLNLWCPTFSDLYKQKVLTLIAKKYQPNVTGDSFLILLPKQLRFYSPLNEVTIEPFMELLADTIIQVIDQAHTSALPISEIKGETHRALLLAPLSAAYKTSLLLVDEESYIGTIVYGKLYNGAESFDEKATKMTPSFLGLVSVSSYLILDKYLEGSLYEEAEQISTINVPYSIRNLQIFKLLDETGLMGAYSVPNEGKKYMKIIPFETVNQKTCVDSWDACNIRTLLMTSTGKLKVAVDIYSQLFAMSVAQWGAYFLVISDSAMVTANFTEETLEVTLELPLKRKRDRFVTEAVEDDQVDIDPGEMDNDEDEQIEEIHMNNEVHSIILDVDHLVLFEVPVGEEARKFVVNADQPINVELSDRLLLLVGAKGLLRWAVWEATRRDAEYTAQQSVIFVLPLGLQLHVTSVQQPDEAVETLLPAKMTKIFLHINLTTLPQEMLTRRCQQTLDLVLKCHFFYLHAYEVDESGISELLAIETTLVFQYTTGYVFAAEMVARHEPIGIARVYSFIINAKGKLANEINQLDELNTIYKVESFLLAFLVHAVIANVDFLTDTPFERLLINGKFLYAKMLDKEKGCYRSIEEKVCNVKAVSIGYDQLPRVAENLEELFVSTRPSDAQCFYALSAKGPQLTSFYKQPSLEPLSNVTPMYFICMIVFSIGVRFVNFGSFM
+>sp|P17643|TYRP1_HUMAN 5,6-dihydroxyindole-2-carboxylic acid oxidase OS=Homo sapiens OX=9606 GN=TYRP1 PE=1 SV=2
+MSAPKLLSLGCIFFPLLLFQQARAQFPRQCATVEALRSGMCCPDLSPVSGPGTDRCGSSSGRGRCEAVTADSRPHSPQYPHDGRDDREVWPLRFFNRTCHCNGNFSGHNCGTCRPGWRGAACDQRVLIVRRNLLDLSKEEKNHFVRALDMAKRTTHPLFVIATRRSEEILGPDGNTPQFENISIYNYFVWTHYYSVKKTFLGVGQESFGEVDFSHEGPAFLTWHRYHLLRLEKDMQEMLQEPSFSLPYWNFATGKNVCDICTDDLMGSRSNFDSTLISPNSVFSQWRVVCDSLEDYDTLGTLCNSTEDGPIRRNPAGNVARPMVQRLPEPQDVAQCLEVGLFDTPPFYSNSTNSFRNTVEGYSDPTGKYDPAVRSLHNLAHLFLNGTGGQTHLSPNDPIFVLLHTFTDAVFDEWLRRYNADISTFPLENAPIGHNRQYNMVPFWPPVTNTEMFVTAPDNLGYTYEIQWPSREFSVPEIIAIAVVGALLLVALIFGTASYLIRARRSMDEANQPLLTDQYQCYAEEYEKLQNPNQSVV
+>DECOY_sp|P17643|TYRP1_HUMAN 5,6-dihydroxyindole-2-carboxylic acid oxidase OS=Homo sapiens OX=9606 GN=TYRP1 PE=1 SV=2
+VVSQNPNQLKEYEEAYCQYQDTLLPQNAEDMSRRARILYSATGFILAVLLLAGVVAIAIIEPVSFERSPWQIEYTYGLNDPATVFMETNTVPPWFPVMNYQRNHGIPANELPFTSIDANYRRLWEDFVADTFTHLLVFIPDNPSLHTQGGTGNLFLHALNHLSRVAPDYKGTPDSYGEVTNRFSNTSNSYFPPTDFLGVELCQAVDQPEPLRQVMPRAVNGAPNRRIPGDETSNCLTGLTDYDELSDCVVRWQSFVSNPSILTSDFNSRSGMLDDTCIDCVNKGTAFNWYPLSFSPEQLMEQMDKELRLLHYRHWTLFAPGEHSFDVEGFSEQGVGLFTKKVSYYHTWVFYNYISINEFQPTNGDPGLIEESRRTAIVFLPHTTRKAMDLARVFHNKEEKSLDLLNRRVILVRQDCAAGRWGPRCTGCNHGSFNGNCHCTRNFFRLPWVERDDRGDHPYQPSHPRSDATVAECRGRGSSSGCRDTGPGSVPSLDPCCMGSRLAEVTACQRPFQARAQQFLLLPFFICGLSLLKPASM
+>sp|P04818|TYSY_HUMAN Thymidylate synthase OS=Homo sapiens OX=9606 GN=TYMS PE=1 SV=3
+MPVAGSELPRRPLPPAAQERDAEPRPPHGELQYLGQIQHILRCGVRKDDRTGTGTLSVFGMQARYSLRDEFPLLTTKRVFWKGVLEELLWFIKGSTNAKELSSKGVKIWDANGSRDFLDSLGFSTREEGDLGPVYGFQWRHFGAEYRDMESDYSGQGVDQLQRVIDTIKTNPDDRRIIMCAWNPRDLPLMALPPCHALCQFYVVNSELSCQLYQRSGDMGLGVPFNIASYALLTYMIAHITGLKPGDFIHTLGDAHIYLNHIEPLKIQLQREPRPFPKLRILRKVEKIDDFKAEDFQIEGYNPHPTIKMEMAV
+>DECOY_sp|P04818|TYSY_HUMAN Thymidylate synthase OS=Homo sapiens OX=9606 GN=TYMS PE=1 SV=3
+VAMEMKITPHPNYGEIQFDEAKFDDIKEVKRLIRLKPFPRPERQLQIKLPEIHNLYIHADGLTHIFDGPKLGTIHAIMYTLLAYSAINFPVGLGMDGSRQYLQCSLESNVVYFQCLAHCPPLAMLPLDRPNWACMIIRRDDPNTKITDIVRQLQDVGQGSYDSEMDRYEAGFHRWQFGYVPGLDGEERTSFGLSDLFDRSGNADWIKVGKSSLEKANTSGKIFWLLEELVGKWFVRKTTLLPFEDRLSYRAQMGFVSLTGTGTRDDKRVGCRLIHQIQGLYQLEGHPPRPEADREQAAPPLPRRPLESGAVPM
+>sp|Q6QN14|U17L6_HUMAN Ubiquitin carboxyl-terminal hydrolase 17-like protein 6 OS=Homo sapiens OX=9606 GN=USP17L6P PE=1 SV=2
+MEDDSLYLRGEWQFNHFSKLTSSRPDAAFAEIQRTSLPEKSPLSCETRVDLCDDLAPVARQLAPREKLPLSSRRPAAVGAGLQNMGNTCYVNASLQCLTYTPPLANYMLSREHSQTCHRHKGCMLCTMQAHITRALHNPGHVIQPSQALAAGFHRGKQEDAHEFLMFTVDAMKKACLPGHKQVDHHSKDTTLIHQIFGGYWRSQIKCLHCHGISDTFDPYLDIALDIQAAQSVQQALEQLVKPEELNGENAYHCGVCLQRAPASKTLTLHTSAKVLILVLKRFSDVTGNKIAKNVQYPECLDMQPYMSQQNTGPLVYVLYAVLVHAGWSCHNGHYFSYVKAQEGQWYKMDDAEVTASSITSVLSQQAYVLFYIQKSEWERHSESVSRGREPRALGSED
+>DECOY_sp|Q6QN14|U17L6_HUMAN Ubiquitin carboxyl-terminal hydrolase 17-like protein 6 OS=Homo sapiens OX=9606 GN=USP17L6P PE=1 SV=2
+DESGLARPERGRSVSESHREWESKQIYFLVYAQQSLVSTISSATVEADDMKYWQGEQAKVYSFYHGNHCSWGAHVLVAYLVYVLPGTNQQSMYPQMDLCEPYQVNKAIKNGTVDSFRKLVLILVKASTHLTLTKSAPARQLCVGCHYANEGNLEEPKVLQELAQQVSQAAQIDLAIDLYPDFTDSIGHCHLCKIQSRWYGGFIQHILTTDKSHHDVQKHGPLCAKKMADVTFMLFEHADEQKGRHFGAALAQSPQIVHGPNHLARTIHAQMTCLMCGKHRHCTQSHERSLMYNALPPTYTLCQLSANVYCTNGMNQLGAGVAAPRRSSLPLKERPALQRAVPALDDCLDVRTECSLPSKEPLSTRQIEAFAADPRSSTLKSFHNFQWEGRLYLSDDEM
+>sp|D6RA61|U17LM_HUMAN Ubiquitin carboxyl-terminal hydrolase 17-like protein 22 OS=Homo sapiens OX=9606 GN=USP17L22 PE=3 SV=1
+MEDDSLYLGGEWQFNHFSKLTSSRPDAAFAEIQRTSLPEKSPLSCETRVDLCDDLAPVARQLAPREKLPLSSRRPAAVGAGLQNMGNTCYVNASLQCLTYTPPLANYMLSREHSQTCHRHKGCMLCTMQAHITRALHNPGHVIQPSQALAAGFHRGKQEDAHEFLMFTVDAMKKACLPGHKQVDHHSKDTTLIHQIFGGYWRSQIKCLHCHGISDTFDPYLDIALDIQAAQSVQQALEQLVKPEELNGENAYHCGVCLQRAPASKTLTLHTSAKVLILVLKRFSDVTGNKIAKNVQYPECLDMQPYMSQQNTGPLVYVLYAVLVHAGWSCHNGHYFSYVKAQEGQWYKMDDAEVTASSITSVLSQQAYVLFYIQKSEWERHSESVSRGREPRALGAEDTDRRATQGELKRDHPCLQAPELDEHLVERATQESTLDHWKFLQEQNKTKPEFNVRKVEGTLPPDVLVIHQSKYKCGMKNHHPEQQSSLLKLSSTTPTHQESMNTGTLASLRGRARRSKGKNKHSKRALLVCQ
+>DECOY_sp|D6RA61|U17LM_HUMAN Ubiquitin carboxyl-terminal hydrolase 17-like protein 22 OS=Homo sapiens OX=9606 GN=USP17L22 PE=3 SV=1
+QCVLLARKSHKNKGKSRRARGRLSALTGTNMSEQHTPTTSSLKLLSSQQEPHHNKMGCKYKSQHIVLVDPPLTGEVKRVNFEPKTKNQEQLFKWHDLTSEQTAREVLHEDLEPAQLCPHDRKLEGQTARRDTDEAGLARPERGRSVSESHREWESKQIYFLVYAQQSLVSTISSATVEADDMKYWQGEQAKVYSFYHGNHCSWGAHVLVAYLVYVLPGTNQQSMYPQMDLCEPYQVNKAIKNGTVDSFRKLVLILVKASTHLTLTKSAPARQLCVGCHYANEGNLEEPKVLQELAQQVSQAAQIDLAIDLYPDFTDSIGHCHLCKIQSRWYGGFIQHILTTDKSHHDVQKHGPLCAKKMADVTFMLFEHADEQKGRHFGAALAQSPQIVHGPNHLARTIHAQMTCLMCGKHRHCTQSHERSLMYNALPPTYTLCQLSANVYCTNGMNQLGAGVAAPRRSSLPLKERPALQRAVPALDDCLDVRTECSLPSKEPLSTRQIEAFAADPRSSTLKSFHNFQWEGGLYLSDDEM
+>sp|Q15029|U5S1_HUMAN 116 kDa U5 small nuclear ribonucleoprotein component OS=Homo sapiens OX=9606 GN=EFTUD2 PE=1 SV=1
+MDTDLYDEFGNYIGPELDSDEDDDELGRETKDLDEMDDDDDDDDVGDHDDDHPGMEVVLHEDKKYYPTAEEVYGPEVETIVQEEDTQPLTEPIIKPVKTKKFTLMEQTLPVTVYEMDFLADLMDNSELIRNVTLCGHLHHGKTCFVDCLIEQTHPEIRKRYDQDLCYTDILFTEQERGVGIKSTPVTVVLPDTKGKSYLFNIMDTPGHVNFSDEVTAGLRISDGVVLFIDAAEGVMLNTERLIKHAVQERLAVTVCINKIDRLILELKLPPTDAYYKLRHIVDEVNGLISMYSTDENLILSPLLGNVCFSSSQYSICFTLGSFAKIYADTFGDINYQEFAKRLWGDIYFNPKTRKFTKKAPTSSSQRSFVEFILEPLYKILAQVVGDVDTSLPRTLDELGIHLTKEELKLNIRPLLRLVCKKFFGEFTGFVDMCVQHIPSPKVGAKPKIEHTYTGGVDSDLGEAMSDCDPDGPLMCHTTKMYSTDDGVQFHAFGRVLSGTIHAGQPVKVLGENYTLEDEEDSQICTVGRLWISVARYHIEVNRVPAGNWVLIEGVDQPIVKTATITEPRGNEEAQIFRPLKFNTTSVIKIAVEPVNPSELPKMLDGLRKVNKSYPSLTTKVEESGEHVILGTGELYLDCVMHDLRKMYSEIDIKVADPVVTFCETVVETSSLKCFAETPNKKNKITMIAEPLEKGLAEDIENEVVQITWNRKKLGEFFQTKYDWDLLAARSIWAFGPDATGPNILVDDTLPSEVDKALLGSVKDSIVQGFQWGTREGPLCDELIRNVKFKILDAVVAQEPLHRGGGQIIPTARRVVYSAFLMATPRLMEPYYFVEVQAPADCVSAVYTVLARRRGHVTQDAPIPGSPLYTIKAFIPAIDSFGFETDLRTHTQGQAFSLSVFHHWQIVPGDPLDKSIVIRPLEPQPAPHLAREFMIKTRRRKGLSEDVSISKFFDDPMLLELAKQDVVLNYPM
+>DECOY_sp|Q15029|U5S1_HUMAN 116 kDa U5 small nuclear ribonucleoprotein component OS=Homo sapiens OX=9606 GN=EFTUD2 PE=1 SV=1
+MPYNLVVDQKALELLMPDDFFKSISVDESLGKRRRTKIMFERALHPAPQPELPRIVISKDLPDGPVIQWHHFVSLSFAQGQTHTRLDTEFGFSDIAPIFAKITYLPSGPIPADQTVHGRRRALVTYVASVCDAPAQVEVFYYPEMLRPTAMLFASYVVRRATPIIQGGGRHLPEQAVVADLIKFKVNRILEDCLPGERTGWQFGQVISDKVSGLLAKDVESPLTDDVLINPGTADPGFAWISRAALLDWDYKTQFFEGLKKRNWTIQVVENEIDEALGKELPEAIMTIKNKKNPTEAFCKLSSTEVVTECFTVVPDAVKIDIESYMKRLDHMVCDLYLEGTGLIVHEGSEEVKTTLSPYSKNVKRLGDLMKPLESPNVPEVAIKIVSTTNFKLPRFIQAEENGRPETITATKVIPQDVGEILVWNGAPVRNVEIHYRAVSIWLRGVTCIQSDEEDELTYNEGLVKVPQGAHITGSLVRGFAHFQVGDDTSYMKTTHCMLPGDPDCDSMAEGLDSDVGGTYTHEIKPKAGVKPSPIHQVCMDVFGTFEGFFKKCVLRLLPRINLKLEEKTLHIGLEDLTRPLSTDVDGVVQALIKYLPELIFEVFSRQSSSTPAKKTFKRTKPNFYIDGWLRKAFEQYNIDGFTDAYIKAFSGLTFCISYQSSSFCVNGLLPSLILNEDTSYMSILGNVEDVIHRLKYYADTPPLKLELILRDIKNICVTVALREQVAHKILRETNLMVGEAADIFLVVGDSIRLGATVEDSFNVHGPTDMINFLYSKGKTDPLVVTVPTSKIGVGREQETFLIDTYCLDQDYRKRIEPHTQEILCDVFCTKGHHLHGCLTVNRILESNDMLDALFDMEYVTVPLTQEMLTFKKTKVPKIIPETLPQTDEEQVITEVEPGYVEEATPYYKKDEHLVVEMGPHDDDHDGVDDDDDDDDMEDLDKTERGLEDDDEDSDLEPGIYNGFEDYLDTDM
+>sp|Q9Y2X8|UB2D4_HUMAN Ubiquitin-conjugating enzyme E2 D4 OS=Homo sapiens OX=9606 GN=UBE2D4 PE=1 SV=1
+MALKRIQKELTDLQRDPPAQCSAGPVGDDLFHWQATIMGPNDSPYQGGVFFLTIHFPTDYPFKPPKVAFTTKIYHPNINSNGSICLDILRSQWSPALTVSKVLLSICSLLCDPNPDDPLVPEIAHTYKADREKYNRLAREWTQKYAM
+>DECOY_sp|Q9Y2X8|UB2D4_HUMAN Ubiquitin-conjugating enzyme E2 D4 OS=Homo sapiens OX=9606 GN=UBE2D4 PE=1 SV=1
+MAYKQTWERALRNYKERDAKYTHAIEPVLPDDPNPDCLLSCISLLVKSVTLAPSWQSRLIDLCISGNSNINPHYIKTTFAVKPPKFPYDTPFHITLFFVGGQYPSDNPGMITAQWHFLDDGVPGASCQAPPDRQLDTLEKQIRKLAM
+>sp|P62253|UB2G1_HUMAN Ubiquitin-conjugating enzyme E2 G1 OS=Homo sapiens OX=9606 GN=UBE2G1 PE=1 SV=3
+MTELQSALLLRRQLAELNKNPVEGFSAGLIDDNDLYRWEVLIIGPPDTLYEGGVFKAHLTFPKDYPLRPPKMKFITEIWHPNVDKNGDVCISILHEPGEDKYGYEKPEERWLPIHTVETIMISVISMLADPNGDSPANVDAAKEWREDRNGEFKRKVARCVRKSQETAFE
+>DECOY_sp|P62253|UB2G1_HUMAN Ubiquitin-conjugating enzyme E2 G1 OS=Homo sapiens OX=9606 GN=UBE2G1 PE=1 SV=3
+EFATEQSKRVCRAVKRKFEGNRDERWEKAADVNAPSDGNPDALMSIVSIMITEVTHIPLWREEPKEYGYKDEGPEHLISICVDGNKDVNPHWIETIFKMKPPRLPYDKPFTLHAKFVGGEYLTDPPGIILVEWRYLDNDDILGASFGEVPNKNLEALQRRLLLASQLETM
+>sp|Q8N2K1|UB2J2_HUMAN Ubiquitin-conjugating enzyme E2 J2 OS=Homo sapiens OX=9606 GN=UBE2J2 PE=1 SV=3
+MSSTSSKRAPTTATQRLKQDYLRIKKDPVPYICAEPLPSNILEWHYVVRGPEMTPYEGGYYHGKLIFPREFPFKPPSIYMITPNGRFKCNTRLCLSITDFHPDTWNPAWSVSTILTGLLSFMVEKGPTLGSIETSDFTKRQLAVQSLAFNLKDKVFCELFPEVVEEIKQKQKAQDELSSRPQTLPLPDVVPDGETHLVQNGIQLLNGHAPGAVPNLAGLQQANRHHGLLGGALANLFVIVGFAAFAYTVKYVLRSIAQE
+>DECOY_sp|Q8N2K1|UB2J2_HUMAN Ubiquitin-conjugating enzyme E2 J2 OS=Homo sapiens OX=9606 GN=UBE2J2 PE=1 SV=3
+EQAISRLVYKVTYAFAAFGVIVFLNALAGGLLGHHRNAQQLGALNPVAGPAHGNLLQIGNQVLHTEGDPVVDPLPLTQPRSSLEDQAKQKQKIEEVVEPFLECFVKDKLNFALSQVALQRKTFDSTEISGLTPGKEVMFSLLGTLITSVSWAPNWTDPHFDTISLCLRTNCKFRGNPTIMYISPPKFPFERPFILKGHYYGGEYPTMEPGRVVYHWELINSPLPEACIYPVPDKKIRLYDQKLRQTATTPARKSSTSSM
+>sp|A0A1B0GUS4|UB2L5_HUMAN Ubiquitin-conjugating enzyme E2 L5 OS=Homo sapiens OX=9606 GN=UBE2L5 PE=2 SV=1
+MAASRRLMKELEEIRKCGMENFRNIQVDEANLLTWQGLIVPDNPPYNKGAFRIEINFPAEYPFKPPRITFKTKIYHPNIDEKGQVCLPVISAENWKPATKTDQVIQSLIALVNDPQPEHPLRADLAEEYSNDRKKFCKNAEEFTKKYGEKRPVD
+>DECOY_sp|A0A1B0GUS4|UB2L5_HUMAN Ubiquitin-conjugating enzyme E2 L5 OS=Homo sapiens OX=9606 GN=UBE2L5 PE=2 SV=1
+DVPRKEGYKKTFEEANKCFKKRDNSYEEALDARLPHEPQPDNVLAILSQIVQDTKTAPKWNEASIVPLCVQGKEDINPHYIKTKFTIRPPKFPYEAPFNIEIRFAGKNYPPNDPVILGQWTLLNAEDVQINRFNEMGCKRIEELEKMLRRSAAM
+>sp|Q15819|UB2V2_HUMAN Ubiquitin-conjugating enzyme E2 variant 2 OS=Homo sapiens OX=9606 GN=UBE2V2 PE=1 SV=4
+MAVSTGVKVPRNFRLLEELEEGQKGVGDGTVSWGLEDDEDMTLTRWTGMIIGPPRTNYENRIYSLKVECGPKYPEAPPSVRFVTKINMNGINNSSGMVDARSIPVLAKWQNSYSIKVVLQELRRLMMSKENMKLPQPPEGQTYNN
+>DECOY_sp|Q15819|UB2V2_HUMAN Ubiquitin-conjugating enzyme E2 variant 2 OS=Homo sapiens OX=9606 GN=UBE2V2 PE=1 SV=4
+NNYTQGEPPQPLKMNEKSMMLRRLEQLVVKISYSNQWKALVPISRADVMGSSNNIGNMNIKTVFRVSPPAEPYKPGCEVKLSYIRNEYNTRPPGIIMGTWRTLTMDEDDELGWSVTGDGVGKQGEELEELLRFNRPVKVGTSVAM
+>sp|A0AVT1|UBA6_HUMAN Ubiquitin-like modifier-activating enzyme 6 OS=Homo sapiens OX=9606 GN=UBA6 PE=1 SV=1
+MEGSEPVAAHQGEEASCSSWGTGSTNKNLPIMSTASVEIDDALYSRQRYVLGDTAMQKMAKSHVFLSGMGGLGLEIAKNLVLAGIKAVTIHDTEKCQAWDLGTNFFLSEDDVVNKRNRAEAVLKHIAELNPYVHVTSSSVPFNETTDLSFLDKYQCVVLTEMKLPLQKKINDFCRSQCPPIKFISADVHGIWSRLFCDFGDEFEVLDTTGEEPKEIFISNITQANPGIVTCLENHPHKLETGQFLTFREINGMTGLNGSIQQITVISPFSFSIGDTTELEPYLHGGIAVQVKTPKTVFFESLERQLKHPKCLIVDFSNPEAPLEIHTAMLALDQFQEKYSRKPNVGCQQDSEELLKLATSISETLEEKPDVNADIVHWLSWTAQGFLSPLAAAVGGVASQEVLKAVTGKFSPLCQWLYLEAADIVESLGKPECEEFLPRGDRYDALRACIGDTLCQKLQNLNIFLVGCGAIGCEMLKNFALLGVGTSKEKGMITVTDPDLIEKSNLNRQFLFRPHHIQKPKSYTAADATLKINSQIKIDAHLNKVCPTTETIYNDEFYTKQDVIITALDNVEARRYVDSRCLANLRPLLDSGTMGTKGHTEVIVPHLTESYNSHRDPPEEEIPFCTLKSFPAAIEHTIQWARDKFESSFSHKPSLFNKFWQTYSSAEEVLQKIQSGHSLEGCFQVIKLLSRRPRNWSQCVELARLKFEKYFNHKALQLLHCFPLDIRLKDGSLFWQSPKRPPSPIKFDLNEPLHLSFLQNAAKLYATVYCIPFAEEDLSADALLNILSEVKIQEFKPSNKVVQTDETARKPDHVPISSEDERNAIFQLEKAILSNEATKSDLQMAVLSFEKDDDHNGHIDFITAASNLRAKMYSIEPADRFKTKRIAGKIIPAIATTTATVSGLVALEMIKVTGGYPFEAYKNCFLNLAIPIVVFTETTEVRKTKIRNGISFTIWDRWTVHGKEDFTLLDFINAVKEKYGIEPTMVVQGVKMLYVPVMPGHAKRLKLTMHKLVKPTTEKKYVDLTVSFAPDIDGDEDLPGPPVRYYFSHDTD
+>DECOY_sp|A0AVT1|UBA6_HUMAN Ubiquitin-like modifier-activating enzyme 6 OS=Homo sapiens OX=9606 GN=UBA6 PE=1 SV=1
+DTDHSFYYRVPPGPLDEDGDIDPAFSVTLDVYKKETTPKVLKHMTLKLRKAHGPMVPVYLMKVGQVVMTPEIGYKEKVANIFDLLTFDEKGHVTWRDWITFSIGNRIKTKRVETTETFVVIPIALNLFCNKYAEFPYGGTVKIMELAVLGSVTATTTAIAPIIKGAIRKTKFRDAPEISYMKARLNSAATIFDIHGNHDDDKEFSLVAMQLDSKTAENSLIAKELQFIANREDESSIPVHDPKRATEDTQVVKNSPKFEQIKVESLINLLADASLDEEAFPICYVTAYLKAANQLFSLHLPENLDFKIPSPPRKPSQWFLSGDKLRIDLPFCHLLQLAKHNFYKEFKLRALEVCQSWNRPRRSLLKIVQFCGELSHGSQIKQLVEEASSYTQWFKNFLSPKHSFSSEFKDRAWQITHEIAAPFSKLTCFPIEEEPPDRHSNYSETLHPVIVETHGKTGMTGSDLLPRLNALCRSDVYRRAEVNDLATIIVDQKTYFEDNYITETTPCVKNLHADIKIQSNIKLTADAATYSKPKQIHHPRFLFQRNLNSKEILDPDTVTIMGKEKSTGVGLLAFNKLMECGIAGCGVLFINLNQLKQCLTDGICARLADYRDGRPLFEECEPKGLSEVIDAAELYLWQCLPSFKGTVAKLVEQSAVGGVAAALPSLFGQATWSLWHVIDANVDPKEELTESISTALKLLEESDQQCGVNPKRSYKEQFQDLALMATHIELPAEPNSFDVILCKPHKLQRELSEFFVTKPTKVQVAIGGHLYPELETTDGISFSFPSIVTIQQISGNLGTMGNIERFTLFQGTELKHPHNELCTVIGPNAQTINSIFIEKPEEGTTDLVEFEDGFDCFLRSWIGHVDASIFKIPPCQSRCFDNIKKQLPLKMETLVVCQYKDLFSLDTTENFPVSSSTVHVYPNLEAIHKLVAEARNRKNVVDDESLFFNTGLDWAQCKETDHITVAKIGALVLNKAIELGLGGMGSLFVHSKAMKQMATDGLVYRQRSYLADDIEVSATSMIPLNKNTSGTGWSSCSAEEGQHAAVPESGEM
+>sp|Q16763|UBE2S_HUMAN Ubiquitin-conjugating enzyme E2 S OS=Homo sapiens OX=9606 GN=UBE2S PE=1 SV=2
+MNSNVENLPPHIIRLVYKEVTTLTADPPDGIKVFPNEEDLTDLQVTIEGPEGTPYAGGLFRMKLLLGKDFPASPPKGYFLTKIFHPNVGANGEICVNVLKRDWTAELGIRHVLLTIKCLLIHPNPESALNEEAGRLLLENYEEYAARARLLTEIHGGAGGPSGRAEAGRALASGTEASSTDPGAPGGPGGAEGPMAKKHAGERDKKLAAKKKTDKKRALRRL
+>DECOY_sp|Q16763|UBE2S_HUMAN Ubiquitin-conjugating enzyme E2 S OS=Homo sapiens OX=9606 GN=UBE2S PE=1 SV=2
+LRRLARKKDTKKKAALKKDREGAHKKAMPGEAGGPGGPAGPDTSSAETGSALARGAEARGSPGGAGGHIETLLRARAAYEEYNELLLRGAEENLASEPNPHILLCKITLLVHRIGLEATWDRKLVNVCIEGNAGVNPHFIKTLFYGKPPSAPFDKGLLLKMRFLGGAYPTGEPGEITVQLDTLDEENPFVKIGDPPDATLTTVEKYVLRIIHPPLNEVNSNM
+>sp|Q7Z3V4|UBE3B_HUMAN Ubiquitin-protein ligase E3B OS=Homo sapiens OX=9606 GN=UBE3B PE=1 SV=3
+MFTLSQTSRAWFIDRARQAREERLVQKERERAAVVIQAHVRSFLCRSRLQRDIRREIDDFFKADDPESTKRSALCIFKIARKLLFLFRIKEDNERFEKLCRSILSSMDAENEPKVWYVSLACSKDLTLLWIQQIKNILWYCCDFLKQLKPEILQDSRLITLYLTMLVTFTDTSTWKILRGKGESLRPAMNHICANIMGHLNQHGFYSVLQILLTRGLARPRPCLSKGTLTAAFSLALRPVIAAQFSDNLIRPFLIHIMSVPALVTHLSTVTPERLTVLESHDMLRKFIIFLRDQDRCRDVCESLEGCHTLCLMGNLLHLGSLSPRVLEEETDGFVSLLTQTLCYCRKYVSQKKSNLTHWHPVLGWFSQSVDYGLNESMHLITKQLQFLWGVPLIRIFFCDILSKKLLESQEPAHAQPASPQNVLPVKSLLKRAFQKSASVRNILRPVGGKRVDSAEVQKVCNICVLYQTSLTTLTQIRLQILTGLTYLDDLLPKLWAFICELGPHGGLKLFLECLNNDTEESKQLLAMLMLFCDCSRHLITILDDIEVYEEQISFKLEELVTISSFLNSFVFKMIWDGIVENAKGETLELFQSVHGWLMVLYERDCRRRFTPEDHWLRKDLKPSVLFQELDRDRKRAQLILQYIPHVIPHKNRVLLFRTMVTKEKEKLGLVETSSASPHVTHITIRRSRMLEDGYEQLRQLSQHAMKGVIRVKFVNDLGVDEAGIDQDGVFKEFLEEIIKRVFDPALNLFKTTSGDERLYPSPTSYIHENYLQLFEFVGKMLGKAVYEGIVVDVPFASFFLSQLLGHHHSVFYSSVDELPSLDSEFYKNLTSIKRYDGDITDLGLTLSYDEDVMGQLVCHELIPGGKTIPVTNENKISYIHLMAHFRMHTQIKNQTAALISGFRSIIKPEWIRMFSTPELQRLISGDNAEIDLEDLKKHTVYYGGFHGSHRVIIWLWDILASDFTPDERAMFLKFVTSCSRPPLLGFAYLKPPFSIRCVEVSDDQDTGDTLGSVLRGFFTIRKREPGGRLPTSSTCFNLLKLPNYSKKSVLREKLRYAISMNTGFELS
+>DECOY_sp|Q7Z3V4|UBE3B_HUMAN Ubiquitin-protein ligase E3B OS=Homo sapiens OX=9606 GN=UBE3B PE=1 SV=3
+SLEFGTNMSIAYRLKERLVSKKSYNPLKLLNFCTSSTPLRGGPERKRITFFGRLVSGLTDGTDQDDSVEVCRISFPPKLYAFGLLPPRSCSTVFKLFMAREDPTFDSALIDWLWIIVRHSGHFGGYYVTHKKLDELDIEANDGSILRQLEPTSFMRIWEPKIISRFGSILAATQNKIQTHMRFHAMLHIYSIKNENTVPITKGGPILEHCVLQGMVDEDYSLTLGLDTIDGDYRKISTLNKYFESDLSPLEDVSSYFVSHHHGLLQSLFFSAFPVDVVIGEYVAKGLMKGVFEFLQLYNEHIYSTPSPYLREDGSTTKFLNLAPDFVRKIIEELFEKFVGDQDIGAEDVGLDNVFKVRIVGKMAHQSLQRLQEYGDELMRSRRITIHTVHPSASSTEVLGLKEKEKTVMTRFLLVRNKHPIVHPIYQLILQARKRDRDLEQFLVSPKLDKRLWHDEPTFRRRCDREYLVMLWGHVSQFLELTEGKANEVIGDWIMKFVFSNLFSSITVLEELKFSIQEEYVEIDDLITILHRSCDCFLMLMALLQKSEETDNNLCELFLKLGGHPGLECIFAWLKPLLDDLYTLGTLIQLRIQTLTTLSTQYLVCINCVKQVEASDVRKGGVPRLINRVSASKQFARKLLSKVPLVNQPSAPQAHAPEQSELLKKSLIDCFFIRILPVGWLFQLQKTILHMSENLGYDVSQSFWGLVPHWHTLNSKKQSVYKRCYCLTQTLLSVFGDTEEELVRPSLSGLHLLNGMLCLTHCGELSECVDRCRDQDRLFIIFKRLMDHSELVTLREPTVTSLHTVLAPVSMIHILFPRILNDSFQAAIVPRLALSFAATLTGKSLCPRPRALGRTLLIQLVSYFGHQNLHGMINACIHNMAPRLSEGKGRLIKWTSTDTFTVLMTLYLTILRSDQLIEPKLQKLFDCCYWLINKIQQIWLLTLDKSCALSVYWVKPENEADMSSLISRCLKEFRENDEKIRFLFLLKRAIKFICLASRKTSEPDDAKFFDDIERRIDRQLRSRCLFSRVHAQIVVAAREREKQVLREERAQRARDIFWARSTQSLTFM
+>sp|A6NLU5|VTM2B_HUMAN V-set and transmembrane domain-containing protein 2B OS=Homo sapiens OX=9606 GN=VSTM2B PE=3 SV=2
+MEQRNRLGALGYLPPLLLHALLLFVADAAFTEVPKDVTVREGDDIEMPCAFRASGATSYSLEIQWWYLKEPPRELLHELALSVPGARSKVTNKDATKISTVRVQGNDISHRLRLSAVRLQDEGVYECRVSDYSDDDTQEHKAQAMLRVLSRFAPPNMQAAEAVSHIQSSGPRRHGPASAANANNAGAASRTTSEPGRGDKSPPPGSPPAAIDPAVPEAAAASAAHTPTTTVAAAAAASSASPPSGQAVLLRQRHGSGTGRSYTTDPLLSLLLLALHKFLRLLLGH
+>DECOY_sp|A6NLU5|VTM2B_HUMAN V-set and transmembrane domain-containing protein 2B OS=Homo sapiens OX=9606 GN=VSTM2B PE=3 SV=2
+HGLLLRLFKHLALLLLSLLPDTTYSRGTGSGHRQRLLVAQGSPPSASSAAAAAAVTTTPTHAASAAAAEPVAPDIAAPPSGPPPSKDGRGPESTTRSAAGANNANAASAPGHRRPGSSQIHSVAEAAQMNPPAFRSLVRLMAQAKHEQTDDDSYDSVRCEYVGEDQLRVASLRLRHSIDNGQVRVTSIKTADKNTVKSRAGPVSLALEHLLERPPEKLYWWQIELSYSTAGSARFACPMEIDDGERVTVDKPVETFAADAVFLLLAHLLLPPLYGLAGLRNRQEM
+>sp|Q8IZU2|WDR17_HUMAN WD repeat-containing protein 17 OS=Homo sapiens OX=9606 GN=WDR17 PE=2 SV=2
+MAWMTYISNWFEQDDWYEGLQRANMSQVRQVGLLAAGCQPWNKDVCAASGDRFAYCATLAIYIYQLDHRYNEFKLHAIMSEHKKTITAISWCPHNPDLFASGSTDNLVIIWNVAEQKVIAKLDSTKGIPASLSWCWNAEDVVAFVSHRGPLFIWTISGPDSGVIVHKDAHSFLSDICMFRWHTHQKGKVVFGHIDGSLSIFHPGNKNQKHVLRPESLEGTDEEDPVTALEWDPLSTDYLLVVNLHYGIRLVDSESLSCITTFNLPSAAASVQCLAWVPSAPGMFITGDSQVGVLRIWNVSRTTPIDNLKLKKTGFHCLHVLNSPPRKKFSVQSPTKNHYTSSTSEAVPPPTLTQNQAFSLPPGHAVCCFLDGGVGLYDMGAKKWDFLRDLGHVETIFDCKFKPDDPNLLATASFDGTIKVWDINTLTAVYTSPGNEGVIYSLSWAPGGLNCIAGGTSRNGAFIWNVQKGKIIQRFNEHGTNGIFCIAWSHKDSKRIATCSSDGFCIIRTIDGKVLHKYKHPAAVFGCDWSQNNKDMIATGCEDTNVRVYYVATSSDQPLKVFSGHTAKVFHVKWSPLREGILCSGSDDGTVRIWDYTQDACINILNGHTAPVRGLMWNTEIPYLLISGSWDYTIKVWDTREGTCVDTVYDHGADVYGLTCHPSRPFTMASCSRDSTVRLWSLTALVTPVQINILADRSWEEIIGNTDYAIEPGTPPLLCGKVSRDIRQEIEKLTANSQVKKLRWFSECLSPPGGSDNLWNLVAVIKGQDDSLLPQNYCKGIMHLKHLIKFRTSEAQELTTVKMSKFGGGIGVPAKEERLKEAAEIHLRLGQIQRYCELMVELGEWDKALSIAPGVSVKYWKKLMQRRADQLIQEDKDDVIPYCIAIGDVKKLVHFFMSRGQLKEALLVAQAACEGNMQPLHVSVPKGASYSDDIYKEDFNELLHKVSKELAEWYFQDGRAVLAACCHLAIDNIELAMAYLIRGNELELAVCVGTVLGESAAPATHYALELLARKCMMISVCFPCVGYSVPFCYVNRNLAADLLLMIPDNELHLIKLCAFYPGCTEEINDLHDKCKLPTVEECMQLAETARADDNIFETVKYYLLSQEPEKALPIGISFVKEYISSSDWTLDTIYPVLDLLSYIRTEKLLLHTCTEARNELLILCGYIGALLAIRRQYQSIVPALYEYTSQLLKRREVSVPLKIEYLSEELDAWRACTQSTNRSLEDSPYTPPSDSQRMIYATLLKRLKEESLKGIIGPDYVTGSNLPSHSDIHISCLTGLKIQGPVFFLEDGKSAISLNDALMWAKVNPFSPLGTGIRLNPF
+>DECOY_sp|Q8IZU2|WDR17_HUMAN WD repeat-containing protein 17 OS=Homo sapiens OX=9606 GN=WDR17 PE=2 SV=2
+FPNLRIGTGLPSFPNVKAWMLADNLSIASKGDELFFVPGQIKLGTLCSIHIDSHSPLNSGTVYDPGIIGKLSEEKLRKLLTAYIMRQSDSPPTYPSDELSRNTSQTCARWADLEESLYEIKLPVSVERRKLLQSTYEYLAPVISQYQRRIALLAGIYGCLILLENRAETCTHLLLKETRIYSLLDLVPYITDLTWDSSSIYEKVFSIGIPLAKEPEQSLLYYKVTEFINDDARATEALQMCEEVTPLKCKDHLDNIEETCGPYFACLKILHLENDPIMLLLDAALNRNVYCFPVSYGVCPFCVSIMMCKRALLELAYHTAPAASEGLVTGVCVALELENGRILYAMALEINDIALHCCAALVARGDQFYWEALEKSVKHLLENFDEKYIDDSYSAGKPVSVHLPQMNGECAAQAVLLAEKLQGRSMFFHVLKKVDGIAICYPIVDDKDEQILQDARRQMLKKWYKVSVGPAISLAKDWEGLEVMLECYRQIQGLRLHIEAAEKLREEKAPVGIGGGFKSMKVTTLEQAESTRFKILHKLHMIGKCYNQPLLSDDQGKIVAVLNWLNDSGGPPSLCESFWRLKKVQSNATLKEIEQRIDRSVKGCLLPPTGPEIAYDTNGIIEEWSRDALINIQVPTVLATLSWLRVTSDRSCSAMTFPRSPHCTLGYVDAGHDYVTDVCTGERTDWVKITYDWSGSILLYPIETNWMLGRVPATHGNLINICADQTYDWIRVTGDDSGSCLIGERLPSWKVHFVKATHGSFVKLPQDSSTAVYYVRVNTDECGTAIMDKNNQSWDCGFVAAPHKYKHLVKGDITRIICFGDSSCTAIRKSDKHSWAICFIGNTGHENFRQIIKGKQVNWIFAGNRSTGGAICNLGGPAWSLSYIVGENGPSTYVATLTNIDWVKITGDFSATALLNPDDPKFKCDFITEVHGLDRLFDWKKAGMDYLGVGGDLFCCVAHGPPLSFAQNQTLTPPPVAESTSSTYHNKTPSQVSFKKRPPSNLVHLCHFGTKKLKLNDIPTTRSVNWIRLVGVQSDGTIFMGPASPVWALCQVSAAASPLNFTTICSLSESDVLRIGYHLNVVLLYDTSLPDWELATVPDEEDTGELSEPRLVHKQNKNGPHFISLSGDIHGFVVKGKQHTHWRFMCIDSLFSHADKHVIVGSDPGSITWIFLPGRHSVFAVVDEANWCWSLSAPIGKTSDLKAIVKQEAVNWIIVLNDTSGSAFLDPNHPCWSIATITKKHESMIAHLKFENYRHDLQYIYIALTACYAFRDGSAACVDKNWPQCGAALLGVQRVQSMNARQLGEYWDDQEFWNSIYTMWAM
+>sp|Q8NI36|WDR36_HUMAN WD repeat-containing protein 36 OS=Homo sapiens OX=9606 GN=WDR36 PE=1 SV=1
+MCCTEGSLRKRDSQRAPEAVLCLQLWQRTVPLDTLKGLGTCFPSGPELRGAGIAAAMERASERRTASALFAGFRALGLFSNDIPHVVRFSALKRRFYVTTCVGKSFHTYDVQKLSLVAVSNSVPQDICCMAADGRLVFAAYGNVFSAFARNKEIVHTFKGHKAEIHFLQPFGDHIISVDTDGILIIWHIYSEEEYLQLTFDKSVFKISAILHPSTYLNKILLGSEQGSLQLWNVKSNKLLYTFPGWKVGVTALQQAPAVDVVAIGLMSGQVIIHNIKFNETLMKFRQDWGPITSISFRTDGHPVMAAGSPCGHIGLWDLEDKKLINQMRNAHSTAIAGLTFLHREPLLVTNGADNALRIWIFDGPTGEGRLLRFRMGHSAPLTNIRYYGQNGQQILSASQDGTLQSFSTVHEKFNKSLGHGLINKKRVKRKGLQNTMSVRLPPITKFAAEEARESDWDGIIACHQGKLSCSTWNYQKSTIGAYFLKPKELKKDDITATAVDITSCGNFAVIGLSSGTVDVYNMQSGIHRGSFGKDQAHKGSVRGVAVDGLNQLTVTTGSEGLLKFWNFKNKILIHSVSLSSSPNIMLLHRDSGILGLALDDFSISVLDIETRKIVREFSGHQGQINDMAFSPDGRWLISAAMDCSIRTWDLPSGCLIDCFLLDSAPLNVSMSPTGDFLATSHVDHLGIYLWSNISLYSVVSLRPLPADYVPSIVMLPGTCQTQDVEVSEETVEPSDELIEYDSPEQLNEQLVTLSLLPESRWKNLLNLDVIKKKNKPKEPPKVPKSAPFFIPTIPGLVPRYAAPEQNNDPQQSKVVNLGVLAQKSDFCLKLEEGLVNNKYDTALNLLKESGPSGIETELRSLSPDCGGSIEVMQSFLKMIGMMLDRKRDFELAQAYLALFLKLHLKMLPSEPVLLEEITNLSSQVEENWTHLQSLFNQSMCILNYLKSALL
+>DECOY_sp|Q8NI36|WDR36_HUMAN WD repeat-containing protein 36 OS=Homo sapiens OX=9606 GN=WDR36 PE=1 SV=1
+LLASKLYNLICMSQNFLSQLHTWNEEVQSSLNTIEELLVPESPLMKLHLKLFLALYAQALEFDRKRDLMMGIMKLFSQMVEISGGCDPSLSRLETEIGSPGSEKLLNLATDYKNNVLGEELKLCFDSKQALVGLNVVKSQQPDNNQEPAAYRPVLGPITPIFFPASKPVKPPEKPKNKKKIVDLNLLNKWRSEPLLSLTVLQENLQEPSDYEILEDSPEVTEESVEVDQTQCTGPLMVISPVYDAPLPRLSVVSYLSINSWLYIGLHDVHSTALFDGTPSMSVNLPASDLLFCDILCGSPLDWTRISCDMAASILWRGDPSFAMDNIQGQHGSFERVIKRTEIDLVSISFDDLALGLIGSDRHLLMINPSSSLSVSHILIKNKFNWFKLLGESGTTVTLQNLGDVAVGRVSGKHAQDKGFSGRHIGSQMNYVDVTGSSLGIVAFNGCSTIDVATATIDDKKLEKPKLFYAGITSKQYNWTSCSLKGQHCAIIGDWDSERAEEAAFKTIPPLRVSMTNQLGKRKVRKKNILGHGLSKNFKEHVTSFSQLTGDQSASLIQQGNQGYYRINTLPASHGMRFRLLRGEGTPGDFIWIRLANDAGNTVLLPERHLFTLGAIATSHANRMQNILKKDELDWLGIHGCPSGAAMVPHGDTRFSISTIPGWDQRFKMLTENFKINHIIVQGSMLGIAVVDVAPAQQLATVGVKWGPFTYLLKNSKVNWLQLSGQESGLLIKNLYTSPHLIASIKFVSKDFTLQLYEEESYIHWIILIGDTDVSIIHDGFPQLFHIEAKHGKFTHVIEKNRAFASFVNGYAAFVLRGDAAMCCIDQPVSNSVAVLSLKQVDYTHFSKGVCTTVYFRRKLASFRVVHPIDNSFLGLARFGAFLASATRRESAREMAAAIGAGRLEPGSPFCTGLGKLTDLPVTRQWLQLCLVAEPARQSDRKRLSGETCCM
+>sp|Q5JTN6|WDR38_HUMAN WD repeat-containing protein 38 OS=Homo sapiens OX=9606 GN=WDR38 PE=2 SV=1
+MNSGVPATLAVRRVKFFGQHGGEVNSSAFSPDGQMLLTGSEDGCVYGWETRSGQLLWRLGGHTGPVKFCRFSPDGHLFASASCDCTVRLWDVARAKCLRVLKGHQRSVETVSFSPDSRQLASGGWDKRVMLWDVQSGQMLRLLVGHRDSIQSSDFSPTVNCLATGSWDSTVHIWDLRMVTPAVSHQALEGHSANISCLCYSASGLLASGSWDKTIHIWKPTTSSLLIQLKGHVTWVKSIAFSPDELWLASAGYSRMVKVWDCNTGKCLETLKGVLDVAHTCAFTPDGKILVSGAADQTRRQISRTSKSPRDPQT
+>DECOY_sp|Q5JTN6|WDR38_HUMAN WD repeat-containing protein 38 OS=Homo sapiens OX=9606 GN=WDR38 PE=2 SV=1
+TQPDRPSKSTRSIQRRTQDAAGSVLIKGDPTFACTHAVDLVGKLTELCKGTNCDWVKVMRSYGASALWLEDPSFAISKVWTVHGKLQILLSSTTPKWIHITKDWSGSALLGSASYCLCSINASHGELAQHSVAPTVMRLDWIHVTSDWSGTALCNVTPSFDSSQISDRHGVLLRLMQGSQVDWLMVRKDWGGSALQRSDPSFSVTEVSRQHGKLVRLCKARAVDWLRVTCDCSASAFLHGDPSFRCFKVPGTHGGLRWLLQGSRTEWGYVCGDESGTLLMQGDPSFASSNVEGGHQGFFKVRRVALTAPVGSNM
+>sp|Q9UNX4|WDR3_HUMAN WD repeat-containing protein 3 OS=Homo sapiens OX=9606 GN=WDR3 PE=1 SV=1
+MGLTKQYLRYVASAVFGVIGSQKGNIVFVTLRGEKGRYVAVPACEHVFIWDLRKGEKILILQGLKQEVTCLCPSPDGLHLAVGYEDGSIRIFSLLSGEGNVTFNGHKAAITTLKYDQLGGRLASGSKDTDIIVWDVINESGLYRLKGHKDAITQALFLREKNLLVTSGKDTMVKWWDLDTQHCFKTMVGHRTEVWGLVLLSEEKRLITGASDSELRVWDIAYLQEIEDPEEPDPKKIKGSSPGIQDTLEAEDGAFETDEAPEDRILSCRKAGSIMREGRDRVVNLAVDKTGRILACHGTDSVLELFCILSKKEIQKKMDKKMKKARKKAKLHSSKGEEEDPEVNVEMSLQDEIQRVTNIKTSAKIKSFDLIHSPHGELKAVFLLQNNLVELYSLNPSLPTPQPVRTSRITIGGHRSDVRTLSFSSDNIAVLSAAADSIKIWNRSTLQCIRTMTCEYALCSFFVPGDRQVVIGTKTGKLQLYDLASGNLLETIDAHDGALWSMSLSPDQRGFVTGGADKSVKFWDFELVKDENSTQKRLSVKQTRTLQLDEDVLCVSYSPNQKLLAVSLLDCTVKIFYVDTLKFFLSLYGHKLPVICMDISHDGALIATGSADRNVKIWGLDFGDCHKSLFAHDDSVMYLQFVPKSHLFFTAGKDHKIKQWDADKFEHIQTLEGHHQEIWCLAVSPSGDYVVSSSHDKSLRLWERTREPLILEEEREMEREAEYEESVAKEDQPAVPGETQGDSYFTGKKTIETVKAAERIMEAIELYREETAKMKEHKAICKAAGKEVPLPSNPILMAYGSISPSAYVLEIFKGIKSSELEESLLVLPFSYVPDILKLFNEFIQLGSDVELICRCLFFLLRIHFGQITSNQMLVPVIEKLRETTISKVSQVRDVIGFNMAGLDYLKRECEAKSEVMFFADATSHLEEKKRKRKKREKLILTLT
+>DECOY_sp|Q9UNX4|WDR3_HUMAN WD repeat-containing protein 3 OS=Homo sapiens OX=9606 GN=WDR3 PE=1 SV=1
+TLTLILKERKKRKRKKEELHSTADAFFMVESKAECERKLYDLGAMNFGIVDRVQSVKSITTERLKEIVPVLMQNSTIQGFHIRLLFFLCRCILEVDSGLQIFENFLKLIDPVYSFPLVLLSEELESSKIGKFIELVYASPSISGYAMLIPNSPLPVEKGAAKCIAKHEKMKATEERYLEIAEMIREAAKVTEITKKGTFYSDGQTEGPVAPQDEKAVSEEYEAEREMEREEELILPERTREWLRLSKDHSSSVVYDGSPSVALCWIEQHHGELTQIHEFKDADWQKIKHDKGATFFLHSKPVFQLYMVSDDHAFLSKHCDGFDLGWIKVNRDASGTAILAGDHSIDMCIVPLKHGYLSLFFKLTDVYFIKVTCDLLSVALLKQNPSYSVCLVDEDLQLTRTQKVSLRKQTSNEDKVLEFDWFKVSKDAGGTVFGRQDPSLSMSWLAGDHADITELLNGSALDYLQLKGTKTGIVVQRDGPVFFSCLAYECTMTRICQLTSRNWIKISDAAASLVAINDSSFSLTRVDSRHGGITIRSTRVPQPTPLSPNLSYLEVLNNQLLFVAKLEGHPSHILDFSKIKASTKINTVRQIEDQLSMEVNVEPDEEEGKSSHLKAKKRAKKMKKDMKKQIEKKSLICFLELVSDTGHCALIRGTKDVALNVVRDRGERMISGAKRCSLIRDEPAEDTEFAGDEAELTDQIGPSSGKIKKPDPEEPDEIEQLYAIDWVRLESDSAGTILRKEESLLVLGWVETRHGVMTKFCHQTDLDWWKVMTDKGSTVLLNKERLFLAQTIADKHGKLRYLGSENIVDWVIIDTDKSGSALRGGLQDYKLTTIAAKHGNFTVNGEGSLLSFIRISGDEYGVALHLGDPSPCLCTVEQKLGQLILIKEGKRLDWIFVHECAPVAVYRGKEGRLTVFVINGKQSGIVGFVASAVYRLYQKTLGM
+>sp|O15213|WDR46_HUMAN WD repeat-containing protein 46 OS=Homo sapiens OX=9606 GN=WDR46 PE=1 SV=3
+METAPKPGKDVPPKKDKLQTKRKKPRRYWEEETVPTTAGASPGPPRNKKNRELRPQRPKNAYILKKSRISKKPQVPKKPREWKNPESQRGLSGTQDPFPGPAPVPVEVVQKFCRIDKSRKLPHSKAKTRSRLEVAEAEEEETSIKAARSELLLAEEPGFLEGEDGEDTAKICQADIVEAVDIASAAKHFDLNLRQFGPYRLNYSRTGRHLAFGGRRGHVAALDWVTKKLMCEINVMEAVRDIRFLHSEALLAVAQNRWLHIYDNQGIELHCIRRCDRVTRLEFLPFHFLLATASETGFLTYLDVSVGKIVAALNARAGRLDVMSQNPYNAVIHLGHSNGTVSLWSPAMKEPLAKILCHRGGVRAVAVDSTGTYMATSGLDHQLKIFDLRGTYQPLSTRTLPHGAGHLAFSQRGLLVAGMGDVVNIWAGQGKASPPSLEQPYLTHRLSGPVHGLQFCPFEDVLGVGHTGGITSMLVPGAGEPNFDGLESNPYRSRKQRQEWEVKALLEKVPAELICLDPRALAEVDVISLEQGKKEQIERLGYDPQAKAPFQPKPKQKGRSSTASLVKRKRKVMDEEHRDKVRQSLQQQHHKEAKAKPTGARPSALDRFVR
+>DECOY_sp|O15213|WDR46_HUMAN WD repeat-containing protein 46 OS=Homo sapiens OX=9606 GN=WDR46 PE=1 SV=3
+RVFRDLASPRAGTPKAKAEKHHQQQLSQRVKDRHEEDMVKRKRKVLSATSSRGKQKPKPQFPAKAQPDYGLREIQEKKGQELSIVDVEALARPDLCILEAPVKELLAKVEWEQRQKRSRYPNSELGDFNPEGAGPVLMSTIGGTHGVGLVDEFPCFQLGHVPGSLRHTLYPQELSPPSAKGQGAWINVVDGMGAVLLGRQSFALHGAGHPLTRTSLPQYTGRLDFIKLQHDLGSTAMYTGTSDVAVARVGGRHCLIKALPEKMAPSWLSVTGNSHGLHIVANYPNQSMVDLRGARANLAAVIKGVSVDLYTLFGTESATALLFHFPLFELRTVRDCRRICHLEIGQNDYIHLWRNQAVALLAESHLFRIDRVAEMVNIECMLKKTVWDLAAVHGRRGGFALHRGTRSYNLRYPGFQRLNLDFHKAASAIDVAEVIDAQCIKATDEGDEGELFGPEEALLLESRAAKISTEEEEAEAVELRSRTKAKSHPLKRSKDIRCFKQVVEVPVPAPGPFPDQTGSLGRQSEPNKWERPKKPVQPKKSIRSKKLIYANKPRQPRLERNKKNRPPGPSAGATTPVTEEEWYRRPKKRKTQLKDKKPPVDKGPKPATEM
+>sp|Q9H977|WDR54_HUMAN WD repeat-containing protein 54 OS=Homo sapiens OX=9606 GN=WDR54 PE=1 SV=1
+MFRWERSIPLRGSAAALCNNLSVLQLPARNLTYFGVVHGPSAQLLSAAPEGVPLAQRQLHAKEGAGVSPPLITQVHWCVLPFRVLLVLTSHRGIQMYESNGYTMVYWHALDSGDASPVQAVFARGIAASGHFICVGTWSGRVLVFDIPAKGPNIVLSEELAGHQMPITDIATEPAQGQDCVADMVTADDSGLLCVWRSGPEFTLLTRIPGFGVPCPSVQLWQGIIAAGYGNGQVHLYEATTGNLHVQINAHARAICALDLASEVGKLLSAGEDTFVHIWKLSRNPESGYIEVEHCHGECVADTQLCGARFCDSSGNSFAVTGYDLAEIRRFSSV
+>DECOY_sp|Q9H977|WDR54_HUMAN WD repeat-containing protein 54 OS=Homo sapiens OX=9606 GN=WDR54 PE=1 SV=1
+VSSFRRIEALDYGTVAFSNGSSDCFRAGCLQTDAVCEGHCHEVEIYGSEPNRSLKWIHVFTDEGASLLKGVESALDLACIARAHANIQVHLNGTTAEYLHVQGNGYGAAIIGQWLQVSPCPVGFGPIRTLLTFEPGSRWVCLLGSDDATVMDAVCDQGQAPETAIDTIPMQHGALEESLVINPGKAPIDFVLVRGSWTGVCIFHGSAAIGRAFVAQVPSADGSDLAHWYVMTYGNSEYMQIGRHSTLVLLVRFPLVCWHVQTILPPSVGAGEKAHLQRQALPVGEPAASLLQASPGHVVGFYTLNRAPLQLVSLNNCLAAASGRLPISREWRFM
+>sp|Q9H6Y2|WDR55_HUMAN WD repeat-containing protein 55 OS=Homo sapiens OX=9606 GN=WDR55 PE=1 SV=2
+MDRTCEERPAEDGSDEEDPDSMEAPTRIRDTPEDIVLEAPASGLAFHPARDLLAAGDVDGDVFVFSYSCQEGETKELWSSGHHLKACRAVAFSEDGQKLITVSKDKAIHVLDVEQGQLERRVSKAHGAPINSLLLVDENVLATGDDTGGICLWDQRKEGPLMDMRQHEEYIADMALDPAKKLLLTASGDGCLGIFNIKRRRFELLSEPQSGDLTSVTLMKWGKKVACGSSEGTIYLFNWNGFGATSDRFALRAESIDCMVPVTESLLCTGSTDGVIRAVNILPNRVVGSVGQHTGEPVEELALSHCGRFLASSGHDQRLKFWDMAQLRAVVVDDYRRRKKKGGPLRALSSKTWSTDDFFAGLREEGEDSMAQEEKEETGDDSD
+>DECOY_sp|Q9H6Y2|WDR55_HUMAN WD repeat-containing protein 55 OS=Homo sapiens OX=9606 GN=WDR55 PE=1 SV=2
+DSDDGTEEKEEQAMSDEGEERLGAFFDDTSWTKSSLARLPGGKKKRRRYDDVVVARLQAMDWFKLRQDHGSSALFRGCHSLALEEVPEGTHQGVSGVVRNPLINVARIVGDTSGTCLLSETVPVMCDISEARLAFRDSTAGFGNWNFLYITGESSGCAVKKGWKMLTVSTLDGSQPESLLEFRRRKINFIGLCGDGSATLLLKKAPDLAMDAIYEEHQRMDMLPGEKRQDWLCIGGTDDGTALVNEDVLLLSNIPAGHAKSVRRELQGQEVDLVHIAKDKSVTILKQGDESFAVARCAKLHHGSSWLEKTEGEQCSYSFVFVDGDVDGAALLDRAPHFALGSAPAELVIDEPTDRIRTPAEMSDPDEEDSGDEAPREECTRDM
+>sp|Q86VZ2|WDR5B_HUMAN WD repeat-containing protein 5B OS=Homo sapiens OX=9606 GN=WDR5B PE=2 SV=1
+MATKESRDAKAQLALSSSANQSKEVPENPNYALKCTLVGHTEAVSSVKFSPNGEWLASSSADRLIIIWGAYDGKYEKTLYGHNLEISDVAWSSDSSRLVSASDDKTLKLWDVRSGKCLKTLKGHSNYVFCCNFNPPSNLIISGSFDETVKIWEVKTGKCLKTLSAHSDPVSAVHFNCSGSLIVSGSYDGLCRIWDAASGQCLKTLVDDDNPPVSFVKFSPNGKYILTATLDNTLKLWDYSRGRCLKTYTGHKNEKYCIFANFSVTGGKWIVSGSEDNLVYIWNLQTKEIVQKLQGHTDVVISAACHPTENLIASAALENDKTIKLWMSNH
+>DECOY_sp|Q86VZ2|WDR5B_HUMAN WD repeat-containing protein 5B OS=Homo sapiens OX=9606 GN=WDR5B PE=2 SV=1
+HNSMWLKITKDNELAASAILNETPHCAASIVVDTHGQLKQVIEKTQLNWIYVLNDESGSVIWKGGTVSFNAFICYKENKHGTYTKLCRGRSYDWLKLTNDLTATLIYKGNPSFKVFSVPPNDDDVLTKLCQGSAADWIRCLGDYSGSVILSGSCNFHVASVPDSHASLTKLCKGTKVEWIKVTEDFSGSIILNSPPNFNCCFVYNSHGKLTKLCKGSRVDWLKLTKDDSASVLRSSDSSWAVDSIELNHGYLTKEYKGDYAGWIIILRDASSSALWEGNPSFKVSSVAETHGVLTCKLAYNPNEPVEKSQNASSSLALQAKADRSEKTAM
+>sp|O43379|WDR62_HUMAN WD repeat-containing protein 62 OS=Homo sapiens OX=9606 GN=WDR62 PE=1 SV=4
+MAAVGSGGYARNDAGEKLPSVMAGVPARRGQSSPPPAPPICLRRRTRLSTASEETVQNRVSLEKVLGITAQNSSGLTCDPGTGHVAYLAGCVVVILDPKENKQQHIFNTARKSLSALAFSPDGKYIVTGENGHRPAVRIWDVEEKNQVAEMLGHKYGVACVAFSPNMKHIVSMGYQHDMVLNVWDWKKDIVVASNKVSCRVIALSFSEDSSYFVTVGNRHVRFWFLEVSTETKVTSTVPLVGRSGILGELHNNIFCGVACGRGRMAGSTFCVSYSGLLCQFNEKRVLEKWINLKVSLSSCLCVSQELIFCGCTDGIVRIFQAHSLHYLANLPKPHYLGVDVAQGLEPSFLFHRKAEAVYPDTVALTFDPIHQWLSCVYKDHSIYIWDVKDINRVGKVWSELFHSSYVWNVEVYPEFEDQRACLPSGSFLTCSSDNTIRFWNLDSSPDSHWQKNIFSNTLLKVVYVENDIQHLQDMSHFPDRGSENGTPMDVKAGVRVMQVSPDGQHLASGDRSGNLRIHELHFMDELVKVEAHDAEVLCLEYSKPETGLTLLASASRDRLIHVLNVEKNYNLEQTLDDHSSSITAIKFAGNRDIQMISCGADKSIYFRSAQQGSDGLHFVRTHHVAEKTTLYDMDIDITQKYVAVACQDRNVRVYNTVNGKQKKCYKGSQGDEGSLLKVHVDPSGTFLATSCSDKSISVIDFYSGECIAKMFGHSEIITSMKFTYDCHHLITVSGDSCVFIWHLGPEITNCMKQHLLEIDHRQQQQHTNDKKRSGHPRQDTYVSTPSEIHSLSPGEQTEDDLEEECEPEEMLKTPSKDSLDPDPRCLLTNGKLPLWAKRLLGDDDVADGLAFHAKRSYQPHGRWAERAGQEPLKTILDAQDLDCYFTPMKPESLENSILDSLEPQSLASLLSESESPQEAGRGHPSFLPQQKESSEASELILYSLEAEVTVTGTDSQYCRKEVEAGPGDQQGDSYLRVSSDSPKDQSPPEDSGESEADLECSFAAIHSPAPPPDPAPRFATSLPHFPGCAGPTEDELSLPEGPSVPSSSLPQTPEQEKFLRHHFETLTESPCRALGDVEASEAEDHFFNPRLSISTQFLSSLQKASRFTHTFPPRATQCLVKSPEVKLMDRGGSQPRAGTGYASPDRTHVLAAGKAEETLEAWRPPPPCLTSLASCVPASSVLPTDRNLPTPTSAPTPGLAQGVHAPSTCSYMEATASSRARISRSISLGDSEGPIVATLAQPLRRPSSVGELASLGQELQAITTATTPSLDSEGQEPALRSWGNHEARANLRLTLSSACDGLLQPPVDTQPGVTVPAVSFPAPSPVEESALRLHGSAFRPSLPAPESPGLPAHPSNPQLPEARPGIPGGTASLLEPTSGALGLLQGSPARWSEPWVPVEALPPSPLELSRVGNILHRLQTTFQEALDLYRVLVSSGQVDTGQQQARTELVSTFLWIHSQLEAECLVGTSVAPAQALPSPGPPSPPTLYPLASPDLQALLEHYSELLVQAVRRKARGH
+>DECOY_sp|O43379|WDR62_HUMAN WD repeat-containing protein 62 OS=Homo sapiens OX=9606 GN=WDR62 PE=1 SV=4
+HGRAKRRVAQVLLESYHELLAQLDPSALPYLTPPSPPGPSPLAQAPAVSTGVLCEAELQSHIWLFTSVLETRAQQQGTDVQGSSVLVRYLDLAEQFTTQLRHLINGVRSLELPSPPLAEVPVWPESWRAPSGQLLGLAGSTPELLSATGGPIGPRAEPLQPNSPHAPLGPSEPAPLSPRFASGHLRLASEEVPSPAPFSVAPVTVGPQTDVPPQLLGDCASSLTLRLNARAEHNGWSRLAPEQGESDLSPTTATTIAQLEQGLSALEGVSSPRRLPQALTAVIPGESDGLSISRSIRARSSATAEMYSCTSPAHVGQALGPTPASTPTPLNRDTPLVSSAPVCSALSTLCPPPPRWAELTEEAKGAALVHTRDPSAYGTGARPQSGGRDMLKVEPSKVLCQTARPPFTHTFRSAKQLSSLFQTSISLRPNFFHDEAESAEVDGLARCPSETLTEFHHRLFKEQEPTQPLSSSPVSPGEPLSLEDETPGACGPFHPLSTAFRPAPDPPPAPSHIAAFSCELDAESEGSDEPPSQDKPSDSSVRLYSDGQQDGPGAEVEKRCYQSDTGTVTVEAELSYLILESAESSEKQQPLFSPHGRGAEQPSESESLLSALSQPELSDLISNELSEPKMPTFYCDLDQADLITKLPEQGAREAWRGHPQYSRKAHFALGDAVDDDGLLRKAWLPLKGNTLLCRPDPDLSDKSPTKLMEEPECEEELDDETQEGPSLSHIESPTSVYTDQRPHGSRKKDNTHQQQQRHDIELLHQKMCNTIEPGLHWIFVCSDGSVTILHHCDYTFKMSTIIESHGFMKAICEGSYFDIVSISKDSCSTALFTGSPDVHVKLLSGEDGQSGKYCKKQKGNVTNYVRVNRDQCAVAVYKQTIDIDMDYLTTKEAVHHTRVFHLGDSGQQASRFYISKDAGCSIMQIDRNGAFKIATISSSHDDLTQELNYNKEVNLVHILRDRSASALLTLGTEPKSYELCLVEADHAEVKVLEDMFHLEHIRLNGSRDGSALHQGDPSVQMVRVGAKVDMPTGNESGRDPFHSMDQLHQIDNEVYVVKLLTNSFINKQWHSDPSSDLNWFRITNDSSCTLFSGSPLCARQDEFEPYVEVNWVYSSHFLESWVKGVRNIDKVDWIYISHDKYVCSLWQHIPDFTLAVTDPYVAEAKRHFLFSPELGQAVDVGLYHPKPLNALYHLSHAQFIRVIGDTCGCFILEQSVCLCSSLSVKLNIWKELVRKENFQCLLGSYSVCFTSGAMRGRGCAVGCFINNHLEGLIGSRGVLPVTSTVKTETSVELFWFRVHRNGVTVFYSSDESFSLAIVRCSVKNSAVVIDKKWDWVNLVMDHQYGMSVIHKMNPSFAVCAVGYKHGLMEAVQNKEEVDWIRVAPRHGNEGTVIYKGDPSFALASLSKRATNFIHQQKNEKPDLIVVVCGALYAVHGTGPDCTLGSSNQATIGLVKELSVRNQVTEESATSLRTRRRLCIPPAPPPSSQGRRAPVGAMVSPLKEGADNRAYGGSGVAAM
+>sp|Q9NW82|WDR70_HUMAN WD repeat-containing protein 70 OS=Homo sapiens OX=9606 GN=WDR70 PE=1 SV=1
+MERSGPSEVTGSDASGPDPQLAVTMGFTGFGKKARTFDLEAMFEQTRRTAVERSRKTLEAREKEEEMNREKELRRQNEDIEPTSSRSNVVRDCSKSSSRDTSSSESEQSSDSSDDELIGPPLPPKMVGKPVNFMEEDILGPLPPPLNEEEEEAEEEEEEEEEEENPVHKIPDSHEITLKHGTKTVSALGLDPSGARLVTGGYDYDVKFWDFAGMDASFKAFRSLQPCECHQIKSLQYSNTGDMILVVSGSSQAKVIDRDGFEVMECIKGDQYIVDMANTKGHTAMLHTGSWHPKIKGEFMTCSNDATVRTWEVENPKKQKSVFKPRTMQGKKVIPTTCTYSRDGNLIAAACQNGSIQIWDRNLTVHPKFHYKQAHDSGTDTSCVTFSYDGNVLASRGGDDSLKLWDIRQFNKPLFSASGLPTMFPMTDCCFSPDDKLIVTGTSIQRGCGSGKLVFFERRTFQRVYEIDITDASVVRCLWHPKLNQIMVGTGNGLAKVYYDPNKSQRGAKLCVVKTQRKAKQAETLTQDYIITPHALPMFREPRQRSTRKQLEKDRLDPLKSHKPEPPVAGPGRGGRVGTHGGTLSSYIVKNIALDKTDDSNPREAILRHAKAAEDSPYWVSPAYSKTQPKTMFAQVESDDEEAKNEPEWKKRKI
+>DECOY_sp|Q9NW82|WDR70_HUMAN WD repeat-containing protein 70 OS=Homo sapiens OX=9606 GN=WDR70 PE=1 SV=1
+IKRKKWEPENKAEEDDSEVQAFMTKPQTKSYAPSVWYPSDEAAKAHRLIAERPNSDDTKDLAINKVIYSSLTGGHTGVRGGRGPGAVPPEPKHSKLPDLRDKELQKRTSRQRPERFMPLAHPTIIYDQTLTEAQKAKRQTKVVCLKAGRQSKNPDYYVKALGNGTGVMIQNLKPHWLCRVVSADTIDIEYVRQFTRREFFVLKGSGCGRQISTGTVILKDDPSFCCDTMPFMTPLGSASFLPKNFQRIDWLKLSDDGGRSALVNGDYSFTVCSTDTGSDHAQKYHFKPHVTLNRDWIQISGNQCAAAILNGDRSYTCTTPIVKKGQMTRPKFVSKQKKPNEVEWTRVTADNSCTMFEGKIKPHWSGTHLMATHGKTNAMDVIYQDGKICEMVEFGDRDIVKAQSSGSVVLIMDGTNSYQLSKIQHCECPQLSRFAKFSADMGAFDWFKVDYDYGGTVLRAGSPDLGLASVTKTGHKLTIEHSDPIKHVPNEEEEEEEEEEEAEEEEENLPPPLPGLIDEEMFNVPKGVMKPPLPPGILEDDSSDSSQESESSSTDRSSSKSCDRVVNSRSSTPEIDENQRRLEKERNMEEEKERAELTKRSREVATRRTQEFMAELDFTRAKKGFGTFGMTVALQPDPGSADSGTVESPGSREM
+>sp|Q6RFH5|WDR74_HUMAN WD repeat-containing protein 74 OS=Homo sapiens OX=9606 GN=WDR74 PE=1 SV=1
+MAAAAARWNHVWVGTETGILKGVNLQRKQAANFTAGGQPRREEAVSALCWGTGGETQMLVGCADRTVKHFSTEDGIFQGQRHCPGGEGMFRGLAQADGTLITCVDSGILRVWHDKDKDTSSDPLLELRVGPGVCRMRQDPAHPHVVATGGKENALKIWDLQGSEEPVFRAKNVRNDWLDLRVPIWDQDIQFLPGSQKLVTCTGYHQVRVYDPASPQRRPVLETTYGEYPLTAMTLTPGGNSVIVGNTHGQLAEIDLRQGRLLGCLKGLAGSVRGLQCHPSKPLLASCGLDRVLRIHRIQNPRGLEHKVYLKSQLNCLLLSGRDNWEDEPQEPQEPNKVPLEDTETDELWASLEAAAKRKLSGLEQPQGALQTRRRKKKRPGSTSP
+>DECOY_sp|Q6RFH5|WDR74_HUMAN WD repeat-containing protein 74 OS=Homo sapiens OX=9606 GN=WDR74 PE=1 SV=1
+PSTSGPRKKKRRRTQLAGQPQELGSLKRKAAAELSAWLEDTETDELPVKNPEQPEQPEDEWNDRGSLLLCNLQSKLYVKHELGRPNQIRHIRLVRDLGCSALLPKSPHCQLGRVSGALGKLCGLLRGQRLDIEALQGHTNGVIVSNGGPTLTMATLPYEGYTTELVPRRQPSAPDYVRVQHYGTCTVLKQSGPLFQIDQDWIPVRLDLWDNRVNKARFVPEESGQLDWIKLANEKGGTAVVHPHAPDQRMRCVGPGVRLELLPDSSTDKDKDHWVRLIGSDVCTILTGDAQALGRFMGEGGPCHRQGQFIGDETSFHKVTRDACGVLMQTEGGTGWCLASVAEERRPQGGATFNAAQKRQLNVGKLIGTETGVWVHNWRAAAAAM
+>sp|Q96FK6|WDR89_HUMAN WD repeat-containing protein 89 OS=Homo sapiens OX=9606 GN=WDR89 PE=2 SV=1
+MEKIEEQFANLHIVKCSLGTKEPTYLLGIDTSKTVQAGKENLVAVLCSNGSIRIYDKERLNVLREFSGYPGLLNGVRFANSCDSVYSACTDGTVKCWDARVAREKPVQLFKGYPSNIFISFDINCNDHIICAGTEKVDDDALLVFWDARMNSQNLSTTKDSLGAYSETHSDDVTQVRFHPSNPNMVVSGSSDGLVNVFDINIDNEEDALVTTCNSISSVSCIGWSGKGYKQIYCMTHDEGFYWWDLNHLDTDEPVTRLNIQDVREVVNMKEDALDYLIGGLYHEKTDTLHVIGGTNKGRIHLMNCSMSGLTHVTSLQGGHAATVRSFCWNVQDDSLLTGGEDAQLLLWKPGAIEKTFTKKESMKIASSVHQRVRVHSNDSYKRRKKQ
+>DECOY_sp|Q96FK6|WDR89_HUMAN WD repeat-containing protein 89 OS=Homo sapiens OX=9606 GN=WDR89 PE=2 SV=1
+QKKRRKYSDNSHVRVRQHVSSAIKMSEKKTFTKEIAGPKWLLLQADEGGTLLSDDQVNWCFSRVTAAHGGQLSTVHTLGSMSCNMLHIRGKNTGGIVHLTDTKEHYLGGILYDLADEKMNVVERVDQINLRTVPEDTDLHNLDWWYFGEDHTMCYIQKYGKGSWGICSVSSISNCTTVLADEENDINIDFVNVLGDSSGSVVMNPNSPHFRVQTVDDSHTESYAGLSDKTTSLNQSNMRADWFVLLADDDVKETGACIIHDNCNIDFSIFINSPYGKFLQVPKERAVRADWCKVTGDTCASYVSDCSNAFRVGNLLGPYGSFERLVNLREKDYIRISGNSCLVAVLNEKGAQVTKSTDIGLLYTPEKTGLSCKVIHLNAFQEEIKEM
+>sp|Q96MX6|WDR92_HUMAN WD repeat-containing protein 92 OS=Homo sapiens OX=9606 GN=WDR92 PE=1 SV=1
+MSAFEKPQIIAHIQKGFNYTVFDCKWVPCSAKFVTMGNFARGTGVIQLYEIQHGDLKLLREIEKAKPIKCGTFGATSLQQRYLATGDFGGNLHIWNLEAPEMPVYSVKGHKEIINAIDGIGGLGIGEGAPEIVTGSRDGTVKVWDPRQKDDPVANMEPVQGENKRDCWTVAFGNAYNQEERVVCAGYDNGDIKLFDLRNMALRWETNIKNGVCSLEFDRKDISMNKLVATSLEGKFHVFDMRTQHPTKGFASVSEKAHKSTVWQVRHLPQNRELFLTAGGAGGLHLWKYEYPIQRSKKDSEGIEMGVAGSVSLLQNVTLSTQPISSLDWSPDKRGLCVCSSFDQTVRVLIVTKLNKI
+>DECOY_sp|Q96MX6|WDR92_HUMAN WD repeat-containing protein 92 OS=Homo sapiens OX=9606 GN=WDR92 PE=1 SV=1
+IKNLKTVILVRVTQDFSSCVCLGRKDPSWDLSSIPQTSLTVNQLLSVSGAVGMEIGESDKKSRQIPYEYKWLHLGGAGGATLFLERNQPLHRVQWVTSKHAKESVSAFGKTPHQTRMDFVHFKGELSTAVLKNMSIDKRDFELSCVGNKINTEWRLAMNRLDFLKIDGNDYGACVVREEQNYANGFAVTWCDRKNEGQVPEMNAVPDDKQRPDWVKVTGDRSGTVIEPAGEGIGLGGIGDIANIIEKHGKVSYVPMEPAELNWIHLNGGFDGTALYRQQLSTAGFTGCKIPKAKEIERLLKLDGHQIEYLQIVGTGRAFNGMTVFKASCPVWKCDFVTYNFGKQIHAIIQPKEFASM
+>sp|Q9P202|WHRN_HUMAN Whirlin OS=Homo sapiens OX=9606 GN=WHRN PE=1 SV=4
+MNAPLDGLSVSSSSTGSLGSAAGAGGGGGAGLRLLSANVRQLHQALTALLSEAEREQFTHCLNAYHARRNVFDLVRTLRVLLDSPVKRRLLPMLRLVIPRSDQLLFDQYTAEGLYLPATTPYRQPAWGGPDSAGPGEVRLVSLRRAKAHEGLGFSIRGGSEHGVGIYVSLVEPGSLAEKEGLRVGDQILRVNDKSLARVTHAEAVKALKGSKKLVLSVYSAGRIPGGYVTNHIYTWVDPQGRSISPPSGLPQPHGGALRQQEGDRRSTLHLLQGGDEKKVNLVLGDGRSLGLTIRGGAEYGLGIYITGVDPGSEAEGSGLKVGDQILEVNGRSFLNILHDEAVRLLKSSRHLILTVKDVGRLPHARTTVDETKWIASSRIRETMANSAGFLGDLTTEGINKPGFYKGPAGSQVTLSSLGNQTRVLLEEQARHLLNEQEHATMAYYLDEYRGGSVSVEALVMALFKLLNTHAKFSLLSEVRGTISPQDLERFDHLVLRREIESMKARQPPGPGAGDTYSMVSYSDTGSSTGSHGTSTTVSSARNTLDLEETGEAVQGNINALPDVSVDDVRSTSQGLSSFKPLPRPPPLAQGNDLPLGQPRKLGREDLQPPSSMPSCSGTVFSAPQNRSPPAGTAPTPGTSSAQDLPSSPIYASVSPANPSSKRPLDAHLALVNQHPIGPFPRVQSPPHLKSPSAEATVAGGCLLPPSPSGHPDQTGTNQHFVMVEVHRPDSEPDVNEVRALPQTRTASTLSQLSDSGQTLSEDSGVDAGEAEASAPGRGRQSVSTKSRSSKELPRNERPTDGANKPPGLLEPTSTLVRVKKSAATLGIAIEGGANTRQPLPRIVTIQRGGSAHNCGQLKVGHVILEVNGLTLRGKEHREAARIIAEAFKTKDRDYIDFLVTEFNVML
+>DECOY_sp|Q9P202|WHRN_HUMAN Whirlin OS=Homo sapiens OX=9606 GN=WHRN PE=1 SV=4
+LMVNFETVLFDIYDRDKTKFAEAIIRAAERHEKGRLTLGNVELIVHGVKLQGCNHASGGRQITVIRPLPQRTNAGGEIAIGLTAASKKVRVLTSTPELLGPPKNAGDTPRENRPLEKSSRSKTSVSQRGRGPASAEAEGADVGSDESLTQGSDSLQSLTSATRTQPLARVENVDPESDPRHVEVMVFHQNTGTQDPHGSPSPPLLCGGAVTAEASPSKLHPPSQVRPFPGIPHQNVLALHADLPRKSSPNAPSVSAYIPSSPLDQASSTGPTPATGAPPSRNQPASFVTGSCSPMSSPPQLDERGLKRPQGLPLDNGQALPPPRPLPKFSSLGQSTSRVDDVSVDPLANINGQVAEGTEELDLTNRASSVTTSTGHSGTSSGTDSYSVMSYTDGAGPGPPQRAKMSEIERRLVLHDFRELDQPSITGRVESLLSFKAHTNLLKFLAMVLAEVSVSGGRYEDLYYAMTAHEQENLLHRAQEELLVRTQNGLSSLTVQSGAPGKYFGPKNIGETTLDGLFGASNAMTERIRSSAIWKTEDVTTRAHPLRGVDKVTLILHRSSKLLRVAEDHLINLFSRGNVELIQDGVKLGSGEAESGPDVGTIYIGLGYEAGGRITLGLSRGDGLVLNVKKEDGGQLLHLTSRRDGEQQRLAGGHPQPLGSPPSISRGQPDVWTYIHNTVYGGPIRGASYVSLVLKKSGKLAKVAEAHTVRALSKDNVRLIQDGVRLGEKEALSGPEVLSVYIGVGHESGGRISFGLGEHAKARRLSVLRVEGPGASDPGGWAPQRYPTTAPLYLGEATYQDFLLQDSRPIVLRLMPLLRRKVPSDLLVRLTRVLDFVNRRAHYANLCHTFQEREAESLLATLAQHLQRVNASLLRLGAGGGGGAGAASGLSGTSSSSVSLGDLPANM
+>sp|Q8TF74|WIPF2_HUMAN WAS/WASL-interacting protein family member 2 OS=Homo sapiens OX=9606 GN=WIPF2 PE=1 SV=1
+MPIPPPPPPPPGPPPPPTFHQANTEQPKLSRDEQRGRGALLQDICKGTKLKKVTNINDRSAPILEKPKGSSGGYGSGGAALQPKGGLFQGGVLKLRPVGAKDGSENLAGKPALQIPSSRAAAPRPPVSAASGRPQDDTDSSRASLPELPRMQRPSLPDLSRPNTTSSTGMKHSSSAPPPPPPGRRANAPPTPLPMHSSKAPAYNREKPLPPTPGQRLHPGREGPPAPPPVKPPPSPVNIRTGPSGQSLAPPPPPYRQPPGVPNGPSSPTNESAPELPQRHNSLHRKTPGPVRGLAPPPPTSASPSLLSNRPPPPARDPPSRGAAPPPPPPVIRNGARDAPPPPPPYRMHGSEPPSRGKPPPPPSRTPAGPPPPPPPPLRNGHRDSITTVRSFLDDFESKYSFHPVEDFPAPEEYKHFQRIYPSKTNRAARGAPPLPPILR
+>DECOY_sp|Q8TF74|WIPF2_HUMAN WAS/WASL-interacting protein family member 2 OS=Homo sapiens OX=9606 GN=WIPF2 PE=1 SV=1
+RLIPPLPPAGRAARNTKSPYIRQFHKYEEPAPFDEVPHFSYKSEFDDLFSRVTTISDRHGNRLPPPPPPPPGAPTRSPPPPPKGRSPPESGHMRYPPPPPPADRAGNRIVPPPPPPAAGRSPPDRAPPPPRNSLLSPSASTPPPPALGRVPGPTKRHLSNHRQPLEPASENTPSSPGNPVGPPQRYPPPPPALSQGSPGTRINVPSPPPKVPPPAPPGERGPHLRQGPTPPLPKERNYAPAKSSHMPLPTPPANARRGPPPPPPASSSHKMGTSSTTNPRSLDPLSPRQMRPLEPLSARSSDTDDQPRGSAASVPPRPAAARSSPIQLAPKGALNESGDKAGVPRLKLVGGQFLGGKPQLAAGGSGYGGSSGKPKELIPASRDNINTVKKLKTGKCIDQLLAGRGRQEDRSLKPQETNAQHFTPPPPPGPPPPPPPPIPM
+>sp|Q06250|WIT1_HUMAN Putative Wilms tumor upstream neighbor 1 gene protein OS=Homo sapiens OX=9606 GN=WT1-AS PE=5 SV=2
+MQRRGQPLENHVALIHWQSAGIPASKVHNYCNMKKSRLGRSRAVRISQPLLSPRRCPLHLTERGAGLLQPQPQGPVRTPGPPSGSHPAAADN
+>DECOY_sp|Q06250|WIT1_HUMAN Putative Wilms tumor upstream neighbor 1 gene protein OS=Homo sapiens OX=9606 GN=WT1-AS PE=5 SV=2
+NDAAAPHSGSPPGPTRVPGQPQPQLLGAGRETLHLPCRRPSLLPQSIRVARSRGLRSKKMNCYNHVKSAPIGASQWHILAVHNELPQGRRQM
+>sp|P56706|WNT7B_HUMAN Protein Wnt-7b OS=Homo sapiens OX=9606 GN=WNT7B PE=1 SV=2
+MHRNFRKWIFYVFLCFGVLYVKLGALSSVVALGANIICNKIPGLAPRQRAICQSRPDAIIVIGEGAQMGINECQYQFRFGRWNCSALGEKTVFGQELRVGSREAAFTYAITAAGVAHAVTAACSQGNLSNCGCDREKQGYYNQAEGWKWGGCSADVRYGIDFSRRFVDAREIKKNARRLMNLHNNEAGRKVLEDRMQLECKCHGVSGSCTTKTCWTTLPKFREVGHLLKEKYNAAVQVEVVRASRLRQPTFLRIKQLRSYQKPMETDLVYIEKSPNYCEEDAATGSVGTQGRLCNRTSPGADGCDTMCCGRGYNTHQYTKVWQCNCKFHWCCFVKCNTCSERTEVFTCK
+>DECOY_sp|P56706|WNT7B_HUMAN Protein Wnt-7b OS=Homo sapiens OX=9606 GN=WNT7B PE=1 SV=2
+KCTFVETRESCTNCKVFCCWHFKCNCQWVKTYQHTNYGRGCCMTDCGDAGPSTRNCLRGQTGVSGTAADEECYNPSKEIYVLDTEMPKQYSRLQKIRLFTPQRLRSARVVEVQVAANYKEKLLHGVERFKPLTTWCTKTTCSGSVGHCKCELQMRDELVKRGAENNHLNMLRRANKKIERADVFRRSFDIGYRVDASCGGWKWGEAQNYYGQKERDCGCNSLNGQSCAATVAHAVGAATIAYTFAAERSGVRLEQGFVTKEGLASCNWRGFRFQYQCENIGMQAGEGIVIIADPRSQCIARQRPALGPIKNCIINAGLAVVSSLAGLKVYLVGFCLFVYFIWKRFNRHM
+>sp|O14905|WNT9B_HUMAN Protein Wnt-9b OS=Homo sapiens OX=9606 GN=WNT9B PE=1 SV=3
+MRPPPALALAGLCLLALPAAAASYFGLTGREVLTPFPGLGTAAAPAQGGAHLKQCDLLKLSRRQKQLCRREPGLAETLRDAAHLGLLECQFQFRHERWNCSLEGRMGLLKRGFKETAFLYAVSSAALTHTLARACSAGRMERCTCDDSPGLESRQAWQWGVCGDNLKYSTKFLSNFLGSKRGNKDLRARADAHNTHVGIKAVKSGLRTTCKCHGVSGSCAVRTCWKQLSPFRETGQVLKLRYDSAVKVSSATNEALGRLELWAPARQGSLTKGLAPRSGDLVYMEDSPSFCRPSKYSPGTAGRVCSREASCSSLCCGRGYDTQSRLVAFSCHCQVQWCCYVECQQCVQEELVYTCKH
+>DECOY_sp|O14905|WNT9B_HUMAN Protein Wnt-9b OS=Homo sapiens OX=9606 GN=WNT9B PE=1 SV=3
+HKCTYVLEEQVCQQCEVYCCWQVQCHCSFAVLRSQTDYGRGCCLSSCSAERSCVRGATGPSYKSPRCFSPSDEMYVLDGSRPALGKTLSGQRAPAWLELRGLAENTASSVKVASDYRLKLVQGTERFPSLQKWCTRVACSGSVGHCKCTTRLGSKVAKIGVHTNHADARARLDKNGRKSGLFNSLFKTSYKLNDGCVGWQWAQRSELGPSDDCTCREMRGASCARALTHTLAASSVAYLFATEKFGRKLLGMRGELSCNWREHRFQFQCELLGLHAADRLTEALGPERRCLQKQRRSLKLLDCQKLHAGGQAPAAATGLGPFPTLVERGTLGFYSAAAAPLALLCLGALALAPPPRM
+>sp|Q14191|WRN_HUMAN Werner syndrome ATP-dependent helicase OS=Homo sapiens OX=9606 GN=WRN PE=1 SV=2
+MSEKKLETTAQQRKCPEWMNVQNKRCAVEERKACVRKSVFEDDLPFLEFTGSIVYSYDASDCSFLSEDISMSLSDGDVVGFDMEWPPLYNRGKLGKVALIQLCVSESKCYLFHVSSMSVFPQGLKMLLENKAVKKAGVGIEGDQWKLLRDFDIKLKNFVELTDVANKKLKCTETWSLNSLVKHLLGKQLLKDKSIRCSNWSKFPLTEDQKLYAATDAYAGFIIYRNLEILDDTVQRFAINKEEEILLSDMNKQLTSISEEVMDLAKHLPHAFSKLENPRRVSILLKDISENLYSLRRMIIGSTNIETELRPSNNLNLLSFEDSTTGGVQQKQIREHEVLIHVEDETWDPTLDHLAKHDGEDVLGNKVERKEDGFEDGVEDNKLKENMERACLMSLDITEHELQILEQQSQEEYLSDIAYKSTEHLSPNDNENDTSYVIESDEDLEMEMLKHLSPNDNENDTSYVIESDEDLEMEMLKSLENLNSGTVEPTHSKCLKMERNLGLPTKEEEEDDENEANEGEEDDDKDFLWPAPNEEQVTCLKMYFGHSSFKPVQWKVIHSVLEERRDNVAVMATGYGKSLCFQYPPVYVGKIGLVISPLISLMEDQVLQLKMSNIPACFLGSAQSENVLTDIKLGKYRIVYVTPEYCSGNMGLLQQLEADIGITLIAVDEAHCISEWGHDFRDSFRKLGSLKTALPMVPIVALTATASSSIREDIVRCLNLRNPQITCTGFDRPNLYLEVRRKTGNILQDLQPFLVKTSSHWEFEGPTIIYCPSRKMTQQVTGELRKLNLSCGTYHAGMSFSTRKDIHHRFVRDEIQCVIATIAFGMGINKADIRQVIHYGAPKDMESYYQEIGRAGRDGLQSSCHVLWAPADINLNRHLLTEIRNEKFRLYKLKMMAKMEKYLHSSRCRRQIILSHFEDKQVQKASLGIMGTEKCCDNCRSRLDHCYSMDDSEDTSWDFGPQAFKLLSAVDILGEKFGIGLPILFLRGSNSQRLADQYRRHSLFGTGKDQTESWWKAFSRQLITEGFLVEVSRYNKFMKICALTKKGRNWLHKANTESQSLILQANEELCPKKLLLPSSKTVSSGTKEHCYNQVPVELSTEKKSNLEKLYSYKPCDKISSGSNISKKSIMVQSPEKAYSSSQPVISAQEQETQIVLYGKLVEARQKHANKMDVPPAILATNKILVDMAKMRPTTVENVKRIDGVSEGKAAMLAPLLEVIKHFCQTNSVQTDLFSSTKPQEEQKTSLVAKNKICTLSQSMAITYSLFQEKKMPLKSIAESRILPLMTIGMHLSQAVKAGCPLDLERAGLTPEVQKIIADVIRNPPVNSDMSKISLIRMLVPENIDTYLIHMAIEILKHGPDSGLQPSCDVNKRRCFPGSEEICSSSKRSKEEVGINTETSSAERKRRLPVWFAKGSDTSKKLMDKTKRGGLFS
+>DECOY_sp|Q14191|WRN_HUMAN Werner syndrome ATP-dependent helicase OS=Homo sapiens OX=9606 GN=WRN PE=1 SV=2
+SFLGGRKTKDMLKKSTDSGKAFWVPLRRKREASSTETNIGVEEKSRKSSSCIEESGPFCRRKNVDCSPQLGSDPGHKLIEIAMHILYTDINEPVLMRILSIKSMDSNVPPNRIVDAIIKQVEPTLGARELDLPCGAKVAQSLHMGITMLPLIRSEAISKLPMKKEQFLSYTIAMSQSLTCIKNKAVLSTKQEEQPKTSSFLDTQVSNTQCFHKIVELLPALMAAKGESVGDIRKVNEVTTPRMKAMDVLIKNTALIAPPVDMKNAHKQRAEVLKGYLVIQTEQEQASIVPQSSSYAKEPSQVMISKKSINSGSSIKDCPKYSYLKELNSKKETSLEVPVQNYCHEKTGSSVTKSSPLLLKKPCLEENAQLILSQSETNAKHLWNRGKKTLACIKMFKNYRSVEVLFGETILQRSFAKWWSETQDKGTGFLSHRRYQDALRQSNSGRLFLIPLGIGFKEGLIDVASLLKFAQPGFDWSTDESDDMSYCHDLRSRCNDCCKETGMIGLSAKQVQKDEFHSLIIQRRCRSSHLYKEMKAMMKLKYLRFKENRIETLLHRNLNIDAPAWLVHCSSQLGDRGARGIEQYYSEMDKPAGYHIVQRIDAKNIGMGFAITAIVCQIEDRVFRHHIDKRTSFSMGAHYTGCSLNLKRLEGTVQQTMKRSPCYIITPGEFEWHSSTKVLFPQLDQLINGTKRRVELYLNPRDFGTCTIQPNRLNLCRVIDERISSSATATLAVIPVMPLATKLSGLKRFSDRFDHGWESICHAEDVAILTIGIDAELQQLLGMNGSCYEPTVYVIRYKGLKIDTLVNESQASGLFCAPINSMKLQLVQDEMLSILPSIVLGIKGVYVPPYQFCLSKGYGTAMVAVNDRREELVSHIVKWQVPKFSSHGFYMKLCTVQEENPAPWLFDKDDDEEGENAENEDDEEEEKTPLGLNREMKLCKSHTPEVTGSNLNELSKLMEMELDEDSEIVYSTDNENDNPSLHKLMEMELDEDSEIVYSTDNENDNPSLHETSKYAIDSLYEEQSQQELIQLEHETIDLSMLCAREMNEKLKNDEVGDEFGDEKREVKNGLVDEGDHKALHDLTPDWTEDEVHILVEHERIQKQQVGGTTSDEFSLLNLNNSPRLETEINTSGIIMRRLSYLNESIDKLLISVRRPNELKSFAHPLHKALDMVEESISTLQKNMDSLLIEEEKNIAFRQVTDDLIELNRYIIFGAYADTAAYLKQDETLPFKSWNSCRISKDKLLQKGLLHKVLSNLSWTETCKLKKNAVDTLEVFNKLKIDFDRLLKWQDGEIGVGAKKVAKNELLMKLGQPFVSMSSVHFLYCKSESVCLQILAVKGLKGRNYLPPWEMDFGVVDGDSLSMSIDESLFSCDSADYSYVISGTFELFPLDDEFVSKRVCAKREEVACRKNQVNMWEPCKRQQATTELKKESM
+>sp|Q9HD64|XAGE1_HUMAN X antigen family member 1 OS=Homo sapiens OX=9606 GN=XAGE1A PE=1 SV=3
+MESPKKKNQQLKVGILHLGSRQKKIRIQLRSQCATWKVICKSCISQTPGINLDLGSGVKVKIIPKEEHCKMPEAGEEQPQV
+>DECOY_sp|Q9HD64|XAGE1_HUMAN X antigen family member 1 OS=Homo sapiens OX=9606 GN=XAGE1A PE=1 SV=3
+VQPQEEGAEPMKCHEEKPIIKVKVGSGLDLNIGPTQSICSKCIVKWTACQSRLQIRIKKQRSGLHLIGVKLQQNKKKPSEM
+>sp|P47992|XCL1_HUMAN Lymphotactin OS=Homo sapiens OX=9606 GN=XCL1 PE=1 SV=1
+MRLLILALLGICSLTAYIVEGVGSEVSDKRTCVSLTTQRLPVSRIKTYTITEGSLRAVIFITKRGLKVCADPQATWVRDVVRSMDRKSNTRNNMIQTKPTGTQQSTNTAVTLTG
+>DECOY_sp|P47992|XCL1_HUMAN Lymphotactin OS=Homo sapiens OX=9606 GN=XCL1 PE=1 SV=1
+GTLTVATNTSQQTGTPKTQIMNNRTNSKRDMSRVVDRVWTAQPDACVKLGRKTIFIVARLSGETITYTKIRSVPLRQTTLSVCTRKDSVESGVGEVIYATLSCIGLLALILLRM
+>sp|Q9UBH6|XPR1_HUMAN Xenotropic and polytropic retrovirus receptor 1 OS=Homo sapiens OX=9606 GN=XPR1 PE=1 SV=1
+MKFAEHLSAHITPEWRKQYIQYEAFKDMLYSAQDQAPSVEVTDEDTVKRYFAKFEEKFFQTCEKELAKINTFYSEKLAEAQRRFATLQNELQSSLDAQKESTGVTTLRQRRKPVFHLSHEERVQHRNIKDLKLAFSEFYLSLILLQNYQNLNFTGFRKILKKHDKILETSRGADWRVAHVEVAPFYTCKKINQLISETEAVVTNELEDGDRQKAMKRLRVPPLGAAQPAPAWTTFRVGLFCGIFIVLNITLVLAAVFKLETDRSIWPLIRIYRGGFLLIEFLFLLGINTYGWRQAGVNHVLIFELNPRSNLSHQHLFEIAGFLGILWCLSLLACFFAPISVIPTYVYPLALYGFMVFFLINPTKTFYYKSRFWLLKLLFRVFTAPFHKVGFADFWLADQLNSLSVILMDLEYMICFYSLELKWDESKGLLPNNSEESGICHKYTYGVRAIVQCIPAWLRFIQCLRRYRDTKRAFPHLVNAGKYSTTFFMVTFAALYSTHKERGHSDTMVFFYLWIVFYIISSCYTLIWDLKMDWGLFDKNAGENTFLREEIVYPQKAYYYCAIIEDVILRFAWTIQISITSTTLLPHSGDIIATVFAPLEVFRRFVWNFFRLENEHLNNCGEFRAVRDISVAPLNADDQTLLEQMMDQDDGVRNRQKNRSWKYNQSISLRRPRLASQSKARDTKVLIEDTDDEANT
+>DECOY_sp|Q9UBH6|XPR1_HUMAN Xenotropic and polytropic retrovirus receptor 1 OS=Homo sapiens OX=9606 GN=XPR1 PE=1 SV=1
+TNAEDDTDEILVKTDRAKSQSALRPRRLSISQNYKWSRNKQRNRVGDDQDMMQELLTQDDANLPAVSIDRVARFEGCNNLHENELRFFNWVFRRFVELPAFVTAIIDGSHPLLTTSTISIQITWAFRLIVDEIIACYYYAKQPYVIEERLFTNEGANKDFLGWDMKLDWILTYCSSIIYFVIWLYFFVMTDSHGREKHTSYLAAFTVMFFTTSYKGANVLHPFARKTDRYRRLCQIFRLWAPICQVIARVGYTYKHCIGSEESNNPLLGKSEDWKLELSYFCIMYELDMLIVSLSNLQDALWFDAFGVKHFPATFVRFLLKLLWFRSKYYFTKTPNILFFVMFGYLALPYVYTPIVSIPAFFCALLSLCWLIGLFGAIEFLHQHSLNSRPNLEFILVHNVGAQRWGYTNIGLLFLFEILLFGGRYIRILPWISRDTELKFVAALVLTINLVIFIGCFLGVRFTTWAPAPQAAGLPPVRLRKMAKQRDGDELENTVVAETESILQNIKKCTYFPAVEVHAVRWDAGRSTELIKDHKKLIKRFGTFNLNQYNQLLILSLYFESFALKLDKINRHQVREEHSLHFVPKRRQRLTTVGTSEKQADLSSQLENQLTAFRRQAEALKESYFTNIKALEKECTQFFKEEFKAFYRKVTDEDTVEVSPAQDQASYLMDKFAEYQIYQKRWEPTIHASLHEAFKM
+>sp|A4UGR9|XIRP2_HUMAN Xin actin-binding repeat-containing protein 2 OS=Homo sapiens OX=9606 GN=XIRP2 PE=1 SV=2
+MSPESGHSRIFEATAGPNKPESGFAEDSAARGEGVSDLHEVVSLKERMARYQAAVSRGDCRSFSANMMEESEMCAVPGGLAKVKKQFEDEITSSRNTFAQYQYQHQNRSEQEAIHSSQVGTSRSSQEMARNEQEGSKVQKIDVHGTEMVSHLEKHTEEVNQASQFHQYVQETVIDTPEDEEIPKVSTKLLKEQFEKSAQEKILYSDKEMTTPAKQIKTESEYEETFKPSSVVSTSSTSCVSTSQRKETSTTRYSDHSVTSSTLAQINATSSGMTEEFPPPPPDVLQTSVDVTAFSQSPELPSPPRRLPVPKDVYSKQRNLYELNRLYKHIHPELRKNLEKDYISEVSEIVSSQMNSGSSVSADVQQARYVFENTNDSSQKDLNSEREYLEWDEILKGEVQSIRWIFENQPLDSINNGSPDEGDISRGIADQEIIAGGDVKYTTWMFETQPIDTLGAYSSDTVENAEKIPELARGDVCTARWMFETRPLDSMNKMHQSQEESAVTISKDITGGDVKTVRYMFETQHLDQLGQLHSVDEVHLLQLRSELKEIKGNVKRSIKCFETQPLYVIRDGSGQMLEIKTVHREDVEKGDVRTARWMFETQPLDTINKDITEIKVVRGISMEENVKGGVSKAKWLFETQPLEKIKESEEVIIEKEKIIGTDVSRKCWMFETQPLDILKEVPDADSLQREEIIGGDVQTTKHLFETLPIEALKDSPDIGKLQKITASEEEKGDVRHQKWIFETQPLEDIRKDKKEYTRTVKLEEVDRGDVKNYTHIFESNNLIKFDASHKIEVEGVTRGAVELNKSLFETTPLYAIQDPLGKYHQVKTVQQEEIVRGDVRSCRWLFETRPIDQFDESIHKFQIIRGISAQEIQTGNVKSAKWLFETQPLDSIKYFSDVEETESKTEQTRDIVKGDVKTCKWLFETQPMESLYEKVSLMTSSEEIHKGDVKTCTWLFETQPLDTIKDDSETAVKLQTVKQEEIQGGDVRTACFLFETENLDSIQGEEVKEIKPVEMDIQAGDVSSMRYKFENQSLDSISSSSEEVLKKIKTLKTEDIQKGNVLNCRWLFENQPIDKIKESQEGDECVKTVTDIQGGDVRKGCFIFETFSLDEIKEESDYISTKKTITEEVIQGDVKSYRMLFETQPLYAIQDREGSYHEVTTVKKEEVIHGDVRGTRWLFETKPLDSINKSETVYVIKSVTQEDIQKGDVSSVRYRFETQPLDQISEESHNIMPSIDHIQGGNVKTSRQFFESENFDKNNYIRTVSVNEIQKGNVKTSTWLFETHTMDELRGEGLEYENIKTVTQEDVQKGDVKQAVWLFENRTFDSIMEAHKGITKMTKEEIPPSDVKTTTWLFETTPLHEFNETRVEKIEIIGKSIKETLEDLYSQKVIQAPGIIIEADEIGDVRMAKYKLMNQASPEIQKEEIIRADLRNIMVNLLSKRDCTEREILISEEEKGNVNLTKTQLLNRSTEFHAEKEEIVKGDVQQAIKNLFSEERSVKKGILIQEDEKGDINMTIYCLLHENDGDTIEREEVIGGDVKRTIHNLLSSTSNNKISERAKIDASERGNVQFFTTCIEAGALDYLKQLHTESNETLTAKKQEGEKEIIGGDVEGTKLLLKKRQSLVERTVSETDIIPGDVHNTVKVFMTEPQSTFGKIPKEEIIKGDLTSTLNSLSQAVNQKTVTKTEEIIKGNMLATLKSLKESSHRWKESKQPDAIPGDIEKAIECLEKATNTKTEILKKELLKDDLETSLRSLKEAQRSFKEVHKEGVIKKDAKAVMAGSSGEQKTDIHQVAVQRNKNSLLQPKPGPFEPAAKWQGGADTLSQTMGKSCHGNLVEERTEVNLPKAPKGTVKIVIDREQNNDALEKSLRRLSNSHHKSNVLESGDKTGVWTDTTGEQHLRDEYMSRQLTSTVSVKNNLTTKESDRAVRELKKDDVFNSIQSAGKTVGKQQTYELRNDHQKMEGFHIKSPKKTKNIKILTDTQSSKPSPTQHPVSMPVGGTYDLSGDFQKQTLLKQETKYSNKDIKKKNINLQPMWQLLPVEQDTSNVTEMKVSEKSHNTFKATNKKRETDVHLKSQDFLMKTNTSTGLKMAMERSLNPINFNPENNVKESECPLPPPSPPPPPPSNASSEIEFPLPPPPPLMMFPEKNGFLPSLSTEKIKAEFESFPGLPLPPPPVDEKSERESSSMFLPPPPPPTPSQKPAHLLSSSAPEKHSGDFMQQYSQKEASNSQNSQAKIITGKTGVLPPPTLPKPKLPKHIKDNKNDFSPKVELATSLSDMECKITTSKDQKKVMVMTSSEHTETKQNVISKSLDERKQLSIDSANCLSHTVPGTSAPRKKQIAPLIKSHSFPESSGQQNPKPYMRKFKTPLMIAEEKYRQQKEEIEKQKQESSYYNIVKTQSQNQHITEVEKEMPLQKTNEEVSLSGIDSECTVVQPSPGSQSNARILGVCSDNQLSTTSPETVAAKRLHHVLAASEDKDKMKKEVLQSSRDIMQSKSACEIKQSHQECSTQQTQQKKYLEQLHLPQSKPISPNFKVKTIKLPTLDHTLNETDHSYESHKQQSEIDVQTFTKKQYLKTKKTEASTECSHKQSLAERHYQLPKKEKRVTVQLPTESIQKNQEDKLKMVPRKQREFSGSDRGKLPGSEEKNQGPSMIGRKEERLITERKHEHLKNKSAPKVVKQKVIDAHLDSQTQNFQQTQIQTAESKAEHKKLPQPYNSLQEEKCLEVKGIQEKQVFSNTKDSKQEITQNKSFFSSVKESQRDDGKGALNIVEFLRKREELQQILSRVKQFEAEPNKSGLKTFQTLLNTIPGWLISEDKREYAVHIAMENNLEKVKEEITHIKTQAEDMLVSYENIIQTAMMSSKTGKPGNKPTSLDETSSKVSNVHVSNNKNSEQKENKIAKEKTVQHQVAAHHEATVRSHVKTHQEIKLDDSNIPPPSLKTRPPSPTFITIESTARRTENPTKNELSQSPKKDSYVEPPPRRPMSQKSEIHRANTSPSPPRSRSEQLVRLKDTTAKLSKGAIPCPAATPVPIVEKRSEIIMSPATLRRQIKIETRGRDSPPTITIPVNINHAASGSFRESVDAQEEIRKVEKRATYVHKDGLNSTDHMVPDTESYDAVEIIRKVAVPPRLSEHTQRYEAANRTVQMAENFVNDPENEINRWFREFEHGPVSEAKSNRRVYAKGETNHNIQQESRTFCKEEFGLTSLGNTSFTDFSCKHPRELREKIPVKQPRICSETRSLSEHFSGMDAFESQIVESKMKTSSSHSSEAGKSGCDFKHAPPTYEDVIAGHILDISDSPKEVRKNFQKTWQESGRVFKGLGYATADASATEMRTTFQEESAFISEAAAPRQGNMYTLSKDSLSNGVPSGRQAEFS
+>DECOY_sp|A4UGR9|XIRP2_HUMAN Xin actin-binding repeat-containing protein 2 OS=Homo sapiens OX=9606 GN=XIRP2 PE=1 SV=2
+SFEAQRGSPVGNSLSDKSLTYMNGQRPAAAESIFASEEQFTTRMETASADATAYGLGKFVRGSEQWTKQFNKRVEKPSDSIDLIHGAIVDEYTPPAHKFDCGSKGAESSHSSSTKMKSEVIQSEFADMGSFHESLSRTESCIRPQKVPIKERLERPHKCSFDTFSTNGLSTLGFEEKCFTRSEQQINHNTEGKAYVRRNSKAESVPGHEFERFWRNIENEPDNVFNEAMQVTRNAAEYRQTHESLRPPVAVKRIIEVADYSETDPVMHDTSNLGDKHVYTARKEVKRIEEQADVSERFSGSAAHNINVPITITPPSDRGRTEIKIQRRLTAPSMIIESRKEVIPVPTAAPCPIAGKSLKATTDKLRVLQESRSRPPSPSTNARHIESKQSMPRRPPPEVYSDKKPSQSLENKTPNETRRATSEITIFTPSPPRTKLSPPPINSDDLKIEQHTKVHSRVTAEHHAAVQHQVTKEKAIKNEKQESNKNNSVHVNSVKSSTEDLSTPKNGPKGTKSSMMATQIINEYSVLMDEAQTKIHTIEEKVKELNNEMAIHVAYERKDESILWGPITNLLTQFTKLGSKNPEAEFQKVRSLIQQLEERKRLFEVINLAGKGDDRQSEKVSSFFSKNQTIEQKSDKTNSFVQKEQIGKVELCKEEQLSNYPQPLKKHEAKSEATQIQTQQFNQTQSDLHADIVKQKVVKPASKNKLHEHKRETILREEKRGIMSPGQNKEESGPLKGRDSGSFERQKRPVMKLKDEQNKQISETPLQVTVRKEKKPLQYHREALSQKHSCETSAETKKTKLYQKKTFTQVDIESQQKHSEYSHDTENLTHDLTPLKITKVKFNPSIPKSQPLHLQELYKKQQTQQTSCEQHSQKIECASKSQMIDRSSQLVEKKMKDKDESAALVHHLRKAAVTEPSTTSLQNDSCVGLIRANSQSGPSPQVVTCESDIGSLSVEENTKQLPMEKEVETIHQNQSQTKVINYYSSEQKQKEIEEKQQRYKEEAIMLPTKFKRMYPKPNQQGSSEPFSHSKILPAIQKKRPASTGPVTHSLCNASDISLQKREDLSKSIVNQKTETHESSTMVMVKKQDKSTTIKCEMDSLSTALEVKPSFDNKNDKIHKPLKPKPLTPPPLVGTKGTIIKAQSNQSNSAEKQSYQQMFDGSHKEPASSSLLHAPKQSPTPPPPPPLFMSSSERESKEDVPPPPLPLGPFSEFEAKIKETSLSPLFGNKEPFMMLPPPPPLPFEIESSANSPPPPPPSPPPLPCESEKVNNEPNFNIPNLSREMAMKLGTSTNTKMLFDQSKLHVDTERKKNTAKFTNHSKESVKMETVNSTDQEVPLLQWMPQLNINKKKIDKNSYKTEQKLLTQKQFDGSLDYTGGVPMSVPHQTPSPKSSQTDTLIKINKTKKPSKIHFGEMKQHDNRLEYTQQKGVTKGASQISNFVDDKKLERVARDSEKTTLNNKVSVTSTLQRSMYEDRLHQEGTTDTWVGTKDGSELVNSKHHSNSLRRLSKELADNNQERDIVIKVTGKPAKPLNVETREEVLNGHCSKGMTQSLTDAGGQWKAAPEFPGPKPQLLSNKNRQVAVQHIDTKQEGSSGAMVAKADKKIVGEKHVEKFSRQAEKLSRLSTELDDKLLEKKLIETKTNTAKELCEIAKEIDGPIADPQKSEKWRHSSEKLSKLTALMNGKIIEETKTVTKQNVAQSLSNLTSTLDGKIIEEKPIKGFTSQPETMFVKVTNHVDGPIIDTESVTREVLSQRKKLLLKTGEVDGGIIEKEGEQKKATLTENSETHLQKLYDLAGAEICTTFFQVNGRESADIKARESIKNNSTSSLLNHITRKVDGGIVEEREITDGDNEHLLCYITMNIDGKEDEQILIGKKVSREESFLNKIAQQVDGKVIEEKEAHFETSRNLLQTKTLNVNGKEEESILIERETCDRKSLLNVMINRLDARIIEEKQIEPSAQNMLKYKAMRVDGIEDAEIIIGPAQIVKQSYLDELTEKISKGIIEIKEVRTENFEHLPTTEFLWTTTKVDSPPIEEKTMKTIGKHAEMISDFTRNEFLWVAQKVDGKQVDEQTVTKINEYELGEGRLEDMTHTEFLWTSTKVNGKQIENVSVTRIYNNKDFNESEFFQRSTKVNGGQIHDISPMINHSEESIQDLPQTEFRYRVSSVDGKQIDEQTVSKIVYVTESKNISDLPKTEFLWRTGRVDGHIVEEKKVTTVEHYSGERDQIAYLPQTEFLMRYSKVDGQIVEETITKKTSIYDSEEKIEDLSFTEFIFCGKRVDGGQIDTVTKVCEDGEQSEKIKDIPQNEFLWRCNLVNGKQIDETKLTKIKKLVEESSSSISDLSQNEFKYRMSSVDGAQIDMEVPKIEKVEEGQISDLNETEFLFCATRVDGGQIEEQKVTQLKVATESDDKITDLPQTEFLWTCTKVDGKHIEESSTMLSVKEYLSEMPQTEFLWKCTKVDGKVIDRTQETKSETEEVDSFYKISDLPQTEFLWKASKVNGTQIEQASIGRIIQFKHISEDFQDIPRTEFLWRCSRVDGRVIEEQQVTKVQHYKGLPDQIAYLPTTEFLSKNLEVAGRTVGEVEIKHSADFKILNNSEFIHTYNKVDGRDVEELKVTRTYEKKDKRIDELPQTEFIWKQHRVDGKEEESATIKQLKGIDPSDKLAEIPLTEFLHKTTQVDGGIIEERQLSDADPVEKLIDLPQTEFMWCKRSVDTGIIKEKEIIVEESEKIKELPQTEFLWKAKSVGGKVNEEMSIGRVVKIETIDKNITDLPQTEFMWRATRVDGKEVDERHVTKIELMQGSGDRIVYLPQTEFCKISRKVNGKIEKLESRLQLLHVEDVSHLQGLQDLHQTEFMYRVTKVDGGTIDKSITVASEEQSQHMKNMSDLPRTEFMWRATCVDGRALEPIKEANEVTDSSYAGLTDIPQTEFMWTTYKVDGGAIIEQDAIGRSIDGEDPSGNNISDLPQNEFIWRISQVEGKLIEDWELYERESNLDKQSSDNTNEFVYRAQQVDASVSSGSNMQSSVIESVESIYDKELNKRLEPHIHKYLRNLEYLNRQKSYVDKPVPLRRPPSPLEPSQSFATVDVSTQLVDPPPPPFEETMGSSTANIQALTSSTVSHDSYRTTSTEKRQSTSVCSTSSTSVVSSPKFTEEYESETKIQKAPTTMEKDSYLIKEQASKEFQEKLLKTSVKPIEEDEPTDIVTEQVYQHFQSAQNVEETHKELHSVMETGHVDIKQVKSGEQENRAMEQSSRSTGVQSSHIAEQESRNQHQYQYQAFTNRSSTIEDEFQKKVKALGGPVACMESEEMMNASFSRCDGRSVAAQYRAMREKLSVVEHLDSVGEGRAASDEAFGSEPKNPGATAEFIRSHGSEPSM
+>sp|Q01831|XPC_HUMAN DNA repair protein complementing XP-C cells OS=Homo sapiens OX=9606 GN=XPC PE=1 SV=4
+MARKRAAGGEPRGRELRSQKSKAKSKARREEEEEDAFEDEKPPKKSLLSKVSQGKRKRGCSHPGGSADGPAKKKVAKVTVKSENLKVIKDEALSDGDDLRDFPSDLKKAHHLKRGATMNEDSNEEEEESENDWEEVEELSEPVLGDVRESTAFSRSLLPVKPVEIEIETPEQAKTRERSEKIKLEFETYLRRAMKRFNKGVHEDTHKVHLLCLLANGFYRNNICSQPDLHAIGLSIIPARFTRVLPRDVDTYYLSNLVKWFIGTFTVNAELSASEQDNLQTTLERRFAIYSARDDEELVHIFLLILRALQLLTRLVLSLQPIPLKSATAKGKKPSKERLTADPGGSSETSSQVLENHTKPKTSKGTKQEETFAKGTCRPSAKGKRNKGGRKKRSKPSSSEEDEGPGDKQEKATQRRPHGRERRVASRVSYKEESGSDEAGSGSDFELSSGEASDPSDEDSEPGPPKQRKAPAPQRTKAGSKSASRTHRGSHRKDPSLPAASSSSSSSKRGKKMCSDGEKAEKRSIAGIDQWLEVFCEQEEKWVCVDCVHGVVGQPLTCYKYATKPMTYVVGIDSDGWVRDVTQRYDPVWMTVTRKCRVDAEWWAETLRPYQSPFMDREKKEDLEFQAKHMDQPLPTAIGLYKNHPLYALKRHLLKYEAIYPETAAILGYCRGEAVYSRDCVHTLHSRDTWLKKARVVRLGEVPYKMVKGFSNRARKARLAEPQLREENDLGLFGYWQTEEYQPPVAVDGKVPRNEFGNVYLFLPSMMPIGCVQLNLPNLHRVARKLDIDCVQAITGFDFHGGYSHPVTDGYIVCEEFKDVLLTAWENEQAVIERKEKEKKEKRALGNWKLLAKGLLIRERLKRRYGPKSEAAAPHTDAGGGLSSDEEEGTSSQAEAARILAASWPQNREDEEKQKLKGGPKKTKREKKAAASHLFPFEQL
+>DECOY_sp|Q01831|XPC_HUMAN DNA repair protein complementing XP-C cells OS=Homo sapiens OX=9606 GN=XPC PE=1 SV=4
+LQEFPFLHSAAAKKERKTKKPGGKLKQKEEDERNQPWSAALIRAAEAQSSTGEEEDSSLGGGADTHPAAAESKPGYRRKLRERILLGKALLKWNGLARKEKKEKEKREIVAQENEWATLLVDKFEECVIYGDTVPHSYGGHFDFGTIAQVCDIDLKRAVRHLNPLNLQVCGIPMMSPLFLYVNGFENRPVKGDVAVPPQYEETQWYGFLGLDNEERLQPEALRAKRARNSFGKVMKYPVEGLRVVRAKKLWTDRSHLTHVCDRSYVAEGRCYGLIAATEPYIAEYKLLHRKLAYLPHNKYLGIATPLPQDMHKAQFELDEKKERDMFPSQYPRLTEAWWEADVRCKRTVTMWVPDYRQTVDRVWGDSDIGVVYTMPKTAYKYCTLPQGVVGHVCDVCVWKEEQECFVELWQDIGAISRKEAKEGDSCMKKGRKSSSSSSSAAPLSPDKRHSGRHTRSASKSGAKTRQPAPAKRQKPPGPESDEDSPDSAEGSSLEFDSGSGAEDSGSEEKYSVRSAVRRERGHPRRQTAKEQKDGPGEDEESSSPKSRKKRGGKNRKGKASPRCTGKAFTEEQKTGKSTKPKTHNELVQSSTESSGGPDATLREKSPKKGKATASKLPIPQLSLVLRTLLQLARLILLFIHVLEEDDRASYIAFRRELTTQLNDQESASLEANVTFTGIFWKVLNSLYYTDVDRPLVRTFRAPIISLGIAHLDPQSCINNRYFGNALLCLLHVKHTDEHVGKNFRKMARRLYTEFELKIKESRERTKAQEPTEIEIEVPKVPLLSRSFATSERVDGLVPESLEEVEEWDNESEEEEENSDENMTAGRKLHHAKKLDSPFDRLDDGDSLAEDKIVKLNESKVTVKAVKKKAPGDASGGPHSCGRKRKGQSVKSLLSKKPPKEDEFADEEEEERRAKSKAKSKQSRLERGRPEGGAARKRAM
+>sp|Q92889|XPF_HUMAN DNA repair endonuclease XPF OS=Homo sapiens OX=9606 GN=ERCC4 PE=1 SV=3
+MESGQPARRIAMAPLLEYERQLVLELLDTDGLVVCARGLGADRLLYHFLQLHCHPACLVLVLNTQPAEEEYFINQLKIEGVEHLPRRVTNEITSNSRYEVYTQGGVIFATSRILVVDFLTDRIPSDLITGILVYRAHRIIESCQEAFILRLFRQKNKRGFIKAFTDNAVAFDTGFCHVERVMRNLFVRKLYLWPRFHVAVNSFLEQHKPEVVEIHVSMTPTMLAIQTAILDILNACLKELKCHNPSLEVEDLSLENAIGKPFDKTIRHYLDPLWHQLGAKTKSLVQDLKILRTLLQYLSQYDCVTFLNLLESLRATEKAFGQNSGWLFLDSSTSMFINARARVYHLPDAKMSKKEKISEKMEIKEGEETKKELVLESNPKWEALTEVLKEIEAENKESEALGGPGQVLICASDDRTCSQLRDYITLGAEAFLLRLYRKTFEKDSKAEEVWMKFRKEDSSKRIRKSHKRPKDPQNKERASTKERTLKKKKRKLTLTQMVGKPEELEEEGDVEEGYRREISSSPESCPEEIKHEEFDVNLSSDAAFGILKEPLTIIHPLLGCSDPYALTRVLHEVEPRYVVLYDAELTFVRQLEIYRASRPGKPLRVYFLIYGGSTEEQRYLTALRKEKEAFEKLIREKASMVVPEEREGRDETNLDLVRGTASADVSTDTRKAGGQEQNGTQQSIVVDMREFRSELPSLIHRRGIDIEPVTLEVGDYILTPEMCVERKSISDLIGSLNNGRLYSQCISMSRYYKRPVLLIEFDPSKPFSLTSRGALFQEISSNDISSKLTLLTLHFPRLRILWCPSPHATAELFEELKQSKPQPDAATALAITADSETLPESEKYNPGPQDFLLKMPGVNAKNCRSLMHHVKNIAELAALSQDELTSILGNAANAKQLYDFIHTSFAEVVSKGKGKK
+>DECOY_sp|Q92889|XPF_HUMAN DNA repair endonuclease XPF OS=Homo sapiens OX=9606 GN=ERCC4 PE=1 SV=3
+KKGKGKSVVEAFSTHIFDYLQKANAANGLISTLEDQSLAALEAINKVHHMLSRCNKANVGPMKLLFDQPGPNYKESEPLTESDATIALATAADPQPKSQKLEEFLEATAHPSPCWLIRLRPFHLTLLTLKSSIDNSSIEQFLAGRSTLSFPKSPDFEILLVPRKYYRSMSICQSYLRGNNLSGILDSISKREVCMEPTLIYDGVELTVPEIDIGRRHILSPLESRFERMDVVISQQTGNQEQGGAKRTDTSVDASATGRVLDLNTEDRGEREEPVVMSAKERILKEFAEKEKRLATLYRQEETSGGYILFYVRLPKGPRSARYIELQRVFTLEADYLVVYRPEVEHLVRTLAYPDSCGLLPHIITLPEKLIGFAADSSLNVDFEEHKIEEPCSEPSSSIERRYGEEVDGEEELEEPKGVMQTLTLKRKKKKLTREKTSAREKNQPDKPRKHSKRIRKSSDEKRFKMWVEEAKSDKEFTKRYLRLLFAEAGLTIYDRLQSCTRDDSACILVQGPGGLAESEKNEAEIEKLVETLAEWKPNSELVLEKKTEEGEKIEMKESIKEKKSMKADPLHYVRARANIFMSTSSDLFLWGSNQGFAKETARLSELLNLFTVCDYQSLYQLLTRLIKLDQVLSKTKAGLQHWLPDLYHRITKDFPKGIANELSLDEVELSPNHCKLEKLCANLIDLIATQIALMTPTMSVHIEVVEPKHQELFSNVAVHFRPWLYLKRVFLNRMVREVHCFGTDFAVANDTFAKIFGRKNKQRFLRLIFAEQCSEIIRHARYVLIGTILDSPIRDTLFDVVLIRSTAFIVGGQTYVEYRSNSTIENTVRRPLHEVGEIKLQNIFYEEEAPQTNLVLVLCAPHCHLQLFHYLLRDAGLGRACVVLGDTDLLELVLQREYELLPAMAIRRAPQGSEM
+>sp|Q9HAV4|XPO5_HUMAN Exportin-5 OS=Homo sapiens OX=9606 GN=XPO5 PE=1 SV=1
+MAMDQVNALCEQLVKAVTVMMDPNSTQRYRLEALKFCEEFKEKCPICVPCGLRLAEKTQVAIVRHFGLQILEHVVKFRWNGMSRLEKVYLKNSVMELIANGTLNILEEENHIKDALSRIVVEMIKREWPQHWPDMLIELDTLSKQGETQTELVMFILLRLAEDVVTFQTLPPQRRRDIQQTLTQNMERIFSFLLNTLQENVNKYQQVKTDTSQESKAQANCRVGVAALNTLAGYIDWVSMSHITAENCKLLEILCLLLNEQELQLGAAECLLIAVSRKGKLEDRKPLMVLFGDVAMHYILSAAQTADGGGLVEKHYVFLKRLCQVLCALGNQLCALLGADSDVETPSNFGKYLESFLAFTTHPSQFLRSSTQMTWGALFRHEILSRDPLLLAIIPKYLRASMTNLVKMGFPSKTDSPSCEYSRFDFDSDEDFNAFFNSSRAQQGEVMRLACRLDPKTSFQMAGEWLKYQLSTFLDAGSVNSCSAVGTGEGSLCSVFSPSFVQWEAMTLFLESVITQMFRTLNREEIPVNDGIELLQMVLNFDTKDPLILSCVLTNVSALFPFVTYRPEFLPQVFSKLFSSVTFETVEESKAPRTRAVRNVRRHACSSIIKMCRDYPQLVLPNFDMLYNHVKQLLSNELLLTQMEKCALMEALVLISNQFKNYERQKVFLEELMAPVASIWLSQDMHRVLSDVDAFIAYVGTDQKSCDPGLEDPCGLNRARMSFCVYSILGVVKRTCWPTDLEEAKAGGFVVGYTSSGNPIFRNPCTEQILKLLDNLLALIRTHNTLYAPEMLAKMAEPFTKALDMLDAEKSAILGLPQPLLELNDSPVFKTVLERMQRFFSTLYENCFHILGKAGPSMQQDFYTVEDLATQLLSSAFVNLNNIPDYRLRPMLRVFVKPLVLFCPPEHYEALVSPILGPLFTYLHMRLSQKWQVINQRSLLCGEDEAADENPESQEMLEEQLVRMLTREVMDLITVCCVSKKGADHSSAPPADGDDEEMMATEVTPSAMAELTDLGKCLMKHEDVCTALLITAFNSLAWKDTLSCQRTTSQLCWPLLKQVLSGTLLADAVTWLFTSVLKGLQMHGQHDGCMASLVHLAFQIYEALRPRYLEIRAVMEQIPEIQKDSLDQFDCKLLNPSLQKVADKRRKDQFKRLIAGCIGKPLGEQFRKEVHIKNLPSLFKKTKPMLETEVLDNDGGGLATIFEP
+>DECOY_sp|Q9HAV4|XPO5_HUMAN Exportin-5 OS=Homo sapiens OX=9606 GN=XPO5 PE=1 SV=1
+PEFITALGGGDNDLVETELMPKTKKFLSPLNKIHVEKRFQEGLPKGICGAILRKFQDKRRKDAVKQLSPNLLKCDFQDLSDKQIEPIQEMVARIELYRPRLAEYIQFALHVLSAMCGDHQGHMQLGKLVSTFLWTVADALLTGSLVQKLLPWCLQSTTRQCSLTDKWALSNFATILLATCVDEHKMLCKGLDTLEAMASPTVETAMMEEDDGDAPPASSHDAGKKSVCCVTILDMVERTLMRVLQEELMEQSEPNEDAAEDEGCLLSRQNIVQWKQSLRMHLYTFLPGLIPSVLAEYHEPPCFLVLPKVFVRLMPRLRYDPINNLNVFASSLLQTALDEVTYFDQQMSPGAKGLIHFCNEYLTSFFRQMRELVTKFVPSDNLELLPQPLGLIASKEADLMDLAKTFPEAMKALMEPAYLTNHTRILALLNDLLKLIQETCPNRFIPNGSSTYGVVFGGAKAEELDTPWCTRKVVGLISYVCFSMRARNLGCPDELGPDCSKQDTGVYAIFADVDSLVRHMDQSLWISAVPAMLEELFVKQREYNKFQNSILVLAEMLACKEMQTLLLENSLLQKVHNYLMDFNPLVLQPYDRCMKIISSCAHRRVNRVARTRPAKSEEVTEFTVSSFLKSFVQPLFEPRYTVFPFLASVNTLVCSLILPDKTDFNLVMQLLEIGDNVPIEERNLTRFMQTIVSELFLTMAEWQVFSPSFVSCLSGEGTGVASCSNVSGADLFTSLQYKLWEGAMQFSTKPDLRCALRMVEGQQARSSNFFANFDEDSDFDFRSYECSPSDTKSPFGMKVLNTMSARLYKPIIALLLPDRSLIEHRFLAGWTMQTSSRLFQSPHTTFALFSELYKGFNSPTEVDSDAGLLACLQNGLACLVQCLRKLFVYHKEVLGGGDATQAASLIYHMAVDGFLVMLPKRDELKGKRSVAILLCEAAGLQLEQENLLLCLIELLKCNEATIHSMSVWDIYGALTNLAAVGVRCNAQAKSEQSTDTKVQQYKNVNEQLTNLLFSFIREMNQTLTQQIDRRRQPPLTQFTVVDEALRLLIFMVLETQTEGQKSLTDLEILMDPWHQPWERKIMEVVIRSLADKIHNEEELINLTGNAILEMVSNKLYVKELRSMGNWRFKVVHELIQLGFHRVIAVQTKEALRLGCPVCIPCKEKFEECFKLAELRYRQTSNPDMMVTVAKVLQECLANVQDMAM
+>sp|Q9UIA9|XPO7_HUMAN Exportin-7 OS=Homo sapiens OX=9606 GN=XPO7 PE=1 SV=3
+MADHVQSLAQLENLCKQLYETTDTTTRLQAEKALVEFTNSPDCLSKCQLLLERGSSSYSQLLAATCLTKLVSRTNNPLPLEQRIDIRNYVLNYLATRPKLATFVTQALIQLYARITKLGWFDCQKDDYVFRNAITDVTRFLQDSVEYCIIGVTILSQLTNEINQADTTHPLTKHRKIASSFRDSSLFDIFTLSCNLLKQASGKNLNLNDESQHGLLMQLLKLTHNCLNFDFIGTSTDESSDDLCTVQIPTSWRSAFLDSSTLQLFFDLYHSIPPSFSPLVLSCLVQIASVRRSLFNNAERAKFLSHLVDGVKRILENPQSLSDPNNYHEFCRLLARLKSNYQLGELVKVENYPEVIRLIANFTVTSLQHWEFAPNSVHYLLSLWQRLAASVPYVKATEPHMLETYTPEVTKAYITSRLESVHIILRDGLEDPLEDTGLVQQQLDQLSTIGRCEYEKTCALLVQLFDQSAQSYQELLQSASASPMDIAVQEGRLTWLVYIIGAVIGGRVSFASTDEQDAMDGELVCRVLQLMNLTDSRLAQAGNEKLELAMLSFFEQFRKIYIGDQVQKSSKLYRRLSEVLGLNDETMVLSVFIGKIITNLKYWGRCEPITSKTLQLLNDLSIGYSSVRKLVKLSAVQFMLNNHTSEHFSFLGINNQSNLTDMRCRTTFYTALGRLLMVDLGEDEDQYEQFMLPLTAAFEAVAQMFSTNSFNEQEAKRTLVGLVRDLRGIAFAFNAKTSFMMLFEWIYPSYMPILQRAIELWYHDPACTTPVLKLMAELVHNRSQRLQFDVSSPNGILLFRETSKMITMYGNRILTLGEVPKDQVYALKLKGISICFSMLKAALSGSYVNFGVFRLYGDDALDNALQTFIKLLLSIPHSDLLDYPKLSQSYYSLLEVLTQDHMNFIASLEPHVIMYILSSISEGLTALDTMVCTGCCSCLDHIVTYLFKQLSRSTKKRTTPLNQESDRFLHIMQQHPEMIQQMLSTVLNIIIFEDCRNQWSMSRPLLGLILLNEKYFSDLRNSIVNSQPPEKQQAMHLCFENLMEGIERNLLTKNRDRFTQNLSAFRREVNDSMKNSTYGVNSNDMMS
+>DECOY_sp|Q9UIA9|XPO7_HUMAN Exportin-7 OS=Homo sapiens OX=9606 GN=XPO7 PE=1 SV=3
+SMMDNSNVGYTSNKMSDNVERRFASLNQTFRDRNKTLLNREIGEMLNEFCLHMAQQKEPPQSNVISNRLDSFYKENLLILGLLPRSMSWQNRCDEFIIINLVTSLMQQIMEPHQQMIHLFRDSEQNLPTTRKKTSRSLQKFLYTVIHDLCSCCGTCVMTDLATLGESISSLIYMIVHPELSAIFNMHDQTLVELLSYYSQSLKPYDLLDSHPISLLLKIFTQLANDLADDGYLRFVGFNVYSGSLAAKLMSFCISIGKLKLAYVQDKPVEGLTLIRNGYMTIMKSTERFLLIGNPSSVDFQLRQSRNHVLEAMLKLVPTTCAPDHYWLEIARQLIPMYSPYIWEFLMMFSTKANFAFAIGRLDRVLGVLTRKAEQENFSNTSFMQAVAEFAATLPLMFQEYQDEDEGLDVMLLRGLATYFTTRCRMDTLNSQNNIGLFSFHESTHNNLMFQVASLKVLKRVSSYGISLDNLLQLTKSTIPECRGWYKLNTIIKGIFVSLVMTEDNLGLVESLRRYLKSSKQVQDGIYIKRFQEFFSLMALELKENGAQALRSDTLNMLQLVRCVLEGDMADQEDTSAFSVRGGIVAGIIYVLWTLRGEQVAIDMPSASASQLLEQYSQASQDFLQVLLACTKEYECRGITSLQDLQQQVLGTDELPDELGDRLIIHVSELRSTIYAKTVEPTYTELMHPETAKVYPVSAALRQWLSLLYHVSNPAFEWHQLSTVTFNAILRIVEPYNEVKVLEGLQYNSKLRALLRCFEHYNNPDSLSQPNELIRKVGDVLHSLFKAREANNFLSRRVSAIQVLCSLVLPSFSPPISHYLDFFLQLTSSDLFASRWSTPIQVTCLDDSSEDTSTGIFDFNLCNHTLKLLQMLLGHQSEDNLNLNKGSAQKLLNCSLTFIDFLSSDRFSSAIKRHKTLPHTTDAQNIENTLQSLITVGIICYEVSDQLFRTVDTIANRFVYDDKQCDFWGLKTIRAYLQILAQTVFTALKPRTALYNLVYNRIDIRQELPLPNNTRSVLKTLCTAALLQSYSSSGRELLLQCKSLCDPSNTFEVLAKEAQLRTTTDTTEYLQKCLNELQALSQVHDAM
+>sp|Q9NQW7|XPP1_HUMAN Xaa-Pro aminopeptidase 1 OS=Homo sapiens OX=9606 GN=XPNPEP1 PE=1 SV=3
+MPPKVTSELLRQLRQAMRNSEYVTEPIQAYIIPSGDAHQSEYIAPCDCRRAFVSGFDGSAGTAIITEEHAAMWTDGRYFLQAAKQMDSNWTLMKMGLKDTPTQEDWLVSVLPEGSRVGVDPLIIPTDYWKKMAKVLRSAGHHLIPVKENLVDKIWTDRPERPCKPLLTLGLDYTGISWKDKVADLRLKMAERNVMWFVVTALDEIAWLFNLRGSDVEHNPVFFSYAIIGLETIMLFIDGDRIDAPSVKEHLLLDLGLEAEYRIQVHPYKSILSELKALCADLSPREKVWVSDKASYAVSETIPKDHRCCMPYTPICIAKAVKNSAESEGMRRAHIKDAVALCELFNWLEKEVPKGGVTEISAADKAEEFRRQQADFVDLSFPTISSTGPNGAIIHYAPVPETNRTLSLDEVYLIDSGAQYKDGTTDVTRTMHFGTPTAYEKECFTYVLKGHIAVSAAVFPTGTKGHLLDSFARSALWDSGLDYLHGTGHGVGSFLNVHEGPCGISYKTFSDEPLEAGMIVTDEPGYYEDGAFGIRIENVVLVVPVKTKYNFNNRGSLTFEPLTLVPIQTKMIDVDSLTDKECDWLNNYHLTCRDVIGKELQKQGRQEALEWLIRETQPISKQH
+>DECOY_sp|Q9NQW7|XPP1_HUMAN Xaa-Pro aminopeptidase 1 OS=Homo sapiens OX=9606 GN=XPNPEP1 PE=1 SV=3
+HQKSIPQTERILWELAEQRGQKQLEKGIVDRCTLHYNNLWDCEKDTLSDVDIMKTQIPVLTLPEFTLSGRNNFNYKTKVPVVLVVNEIRIGFAGDEYYGPEDTVIMGAELPEDSFTKYSIGCPGEHVNLFSGVGHGTGHLYDLGSDWLASRAFSDLLHGKTGTPFVAASVAIHGKLVYTFCEKEYATPTGFHMTRTVDTTGDKYQAGSDILYVEDLSLTRNTEPVPAYHIIAGNPGTSSITPFSLDVFDAQQRRFEEAKDAASIETVGGKPVEKELWNFLECLAVADKIHARRMGESEASNKVAKAICIPTYPMCCRHDKPITESVAYSAKDSVWVKERPSLDACLAKLESLISKYPHVQIRYEAELGLDLLLHEKVSPADIRDGDIFLMITELGIIAYSFFVPNHEVDSGRLNFLWAIEDLATVVFWMVNREAMKLRLDAVKDKWSIGTYDLGLTLLPKCPREPRDTWIKDVLNEKVPILHHGASRLVKAMKKWYDTPIILPDVGVRSGEPLVSVLWDEQTPTDKLGMKMLTWNSDMQKAAQLFYRGDTWMAAHEETIIATGASGDFGSVFARRCDCPAIYESQHADGSPIIYAQIPETVYESNRMAQRLQRLLESTVKPPM
+>sp|Q9NQH7|XPP3_HUMAN Xaa-Pro aminopeptidase 3 OS=Homo sapiens OX=9606 GN=XPNPEP3 PE=1 SV=1
+MPWLLSAPKLVPAVANVRGLSGCMLCSQRRYSLQPVPERRIPNRYLGQPSPFTHPHLLRPGEVTPGLSQVEYALRRHKLMSLIQKEAQGQSGTDQTVVVLSNPTYYMSNDIPYTFHQDNNFLYLCGFQEPDSILVLQSLPGKQLPSHKAILFVPRRDPSRELWDGPRSGTDGAIALTGVDEAYTLEEFQHLLPKMKAETNMVWYDWMRPSHAQLHSDYMQPLTEAKAKSKNKVRGVQQLIQRLRLIKSPAEIERMQIAGKLTSQAFIETMFTSKAPVEEAFLYAKFEFECRARGADILAYPPVVAGGNRSNTLHYVKNNQLIKDGEMVLLDGGCESSCYVSDITRTWPVNGRFTAPQAELYEAVLEIQRDCLALCFPGTSLENIYSMMLTLIGQKLKDLGIMKNIKENNAFKAARKYCPHHVGHYLGMDVHDTPDMPRSLPLQPGMVITIEPGIYIPEDDKDAPEKFRGLGVRIEDDVVVTQDSPLILSADCPKEMNDIEQICSQAS
+>DECOY_sp|Q9NQH7|XPP3_HUMAN Xaa-Pro aminopeptidase 3 OS=Homo sapiens OX=9606 GN=XPNPEP3 PE=1 SV=1
+SAQSCIQEIDNMEKPCDASLILPSDQTVVVDDEIRVGLGRFKEPADKDDEPIYIGPEITIVMGPQLPLSRPMDPTDHVDMGLYHGVHHPCYKRAAKFANNEKINKMIGLDKLKQGILTLMMSYINELSTGPFCLALCDRQIELVAEYLEAQPATFRGNVPWTRTIDSVYCSSECGGDLLVMEGDKILQNNKVYHLTNSRNGGAVVPPYALIDAGRARCEFEFKAYLFAEEVPAKSTFMTEIFAQSTLKGAIQMREIEAPSKILRLRQILQQVGRVKNKSKAKAETLPQMYDSHLQAHSPRMWDYWVMNTEAKMKPLLHQFEELTYAEDVGTLAIAGDTGSRPGDWLERSPDRRPVFLIAKHSPLQKGPLSQLVLISDPEQFGCLYLFNNDQHFTYPIDNSMYYTPNSLVVVTQDTGSQGQAEKQILSMLKHRRLAYEVQSLGPTVEGPRLLHPHTFPSPQGLYRNPIRREPVPQLSYRRQSCLMCGSLGRVNAVAPVLKPASLLWPM
+>sp|O43543|XRCC2_HUMAN DNA repair protein XRCC2 OS=Homo sapiens OX=9606 GN=XRCC2 PE=1 SV=1
+MCSAFHRAESGTELLARLEGRSSLKEIEPNLFADEDSPVHGDILEFHGPEGTGKTEMLYHLTARCILPKSEGGLEVEVLFIDTDYHFDMLRLVTILEHRLSQSSEEIIKYCLGRFFLVYCSSSTHLLLTLYSLESMFCSHPSLCLLILDSLSAFYWIDRVNGGESVNLQESTLRKCSQCLEKLVNDYRLVLFATTQTIMQKASSSSEEPSHASRRLCDVDIDYRPYLCKAWQQLVKHRMFFSKQDDSQSSNQFSLVSRCLKSNSLKKHFFIIGESGVEFC
+>DECOY_sp|O43543|XRCC2_HUMAN DNA repair protein XRCC2 OS=Homo sapiens OX=9606 GN=XRCC2 PE=1 SV=1
+CFEVGSEGIIFFHKKLSNSKLCRSVLSFQNSSQSDDQKSFFMRHKVLQQWAKCLYPRYDIDVDCLRRSAHSPEESSSSAKQMITQTTAFLVLRYDNVLKELCQSCKRLTSEQLNVSEGGNVRDIWYFASLSDLILLCLSPHSCFMSELSYLTLLLHTSSSCYVLFFRGLCYKIIEESSQSLRHELITVLRLMDFHYDTDIFLVEVELGGESKPLICRATLHYLMETKGTGEPGHFELIDGHVPSDEDAFLNPEIEKLSSRGELRALLETGSEARHFASCM
+>sp|Q9H0D6|XRN2_HUMAN 5'-3' exoribonuclease 2 OS=Homo sapiens OX=9606 GN=XRN2 PE=1 SV=1
+MGVPAFFRWLSRKYPSIIVNCVEEKPKECNGVKIPVDASKPNPNDVEFDNLYLDMNGIIHPCTHPEDKPAPKNEDEMMVAIFEYIDRLFSIVRPRRLLYMAIDGVAPRAKMNQQRSRRFRASKEGMEAAVEKQRVREEILAKGGFLPPEEIKERFDSNCITPGTEFMDNLAKCLRYYIADRLNNDPGWKNLTVILSDASAPGEGEHKIMDYIRRQRAQPNHDPNTHHCLCGADADLIMLGLATHEPNFTIIREEFKPNKPKPCGLCNQFGHEVKDCEGLPREKKGKHDELADSLPCAEGEFIFLRLNVLREYLERELTMASLPFTFDVERSIDDWVFMCFFVGNDFLPHLPSLEIRENAIDRLVNIYKNVVHKTGGYLTESGYVNLQRVQMIMLAVGEVEDSIFKKRKDDEDSFRRRQKEKRKRMKRDQPAFTPSGILTPHALGSRNSPGSQVASNPRQAAYEMRMQNNSSPSISPNTSFTSDGSPSPLGGIKRKAEDSDSEPEPEDNVRLWEAGWKQRYYKNKFDVDAADEKFRRKVVQSYVEGLCWVLRYYYQGCASWKWYYPFHYAPFASDFEGIADMPSDFEKGTKPFKPLEQLMGVFPAASGNFLPPSWRKLMSDPDSSIIDFYPEDFAIDLNGKKYAWQGVALLPFVDERRLRAALEEVYPDLTPEETRRNSLGGDVLFVGKHHPLHDFILELYQTGSTEPVEVPPELCHGIQGKFSLDEEAILPDQIVCSPVPMLRDLTQNTVVSINFKDPQFAEDYIFKAVMLPGARKPAAVLKPSDWEKSSNGRQWKPQLGFNRDRRPVHLDQAAFRTLGHVMPRGSGTGIYSNAAPPPVTYQGNLYRPLLRGQAQIPKLMSNMRPQDSWRGPPPLFQQQRFDRGVGAEPLLPWNRMLQTQNAAFQPNQYQMLAGPGGYPPRRDDRGGRQGYPREGRKYPLPPPSGRYNWN
+>DECOY_sp|Q9H0D6|XRN2_HUMAN 5'-3' exoribonuclease 2 OS=Homo sapiens OX=9606 GN=XRN2 PE=1 SV=1
+NWNYRGSPPPLPYKRGERPYGQRGGRDDRRPPYGGPGALMQYQNPQFAANQTQLMRNWPLLPEAGVGRDFRQQQFLPPPGRWSDQPRMNSMLKPIQAQGRLLPRYLNGQYTVPPPAANSYIGTGSGRPMVHGLTRFAAQDLHVPRRDRNFGLQPKWQRGNSSKEWDSPKLVAAPKRAGPLMVAKFIYDEAFQPDKFNISVVTNQTLDRLMPVPSCVIQDPLIAEEDLSFKGQIGHCLEPPVEVPETSGTQYLELIFDHLPHHKGVFLVDGGLSNRRTEEPTLDPYVEELAARLRREDVFPLLAVGQWAYKKGNLDIAFDEPYFDIISSDPDSMLKRWSPPLFNGSAAPFVGMLQELPKFPKTGKEFDSPMDAIGEFDSAFPAYHFPYYWKWSACGQYYYRLVWCLGEVYSQVVKRRFKEDAADVDFKNKYYRQKWGAEWLRVNDEPEPESDSDEAKRKIGGLPSPSGDSTFSTNPSISPSSNNQMRMEYAAQRPNSAVQSGPSNRSGLAHPTLIGSPTFAPQDRKMRKRKEKQRRRFSDEDDKRKKFISDEVEGVALMIMQVRQLNVYGSETLYGGTKHVVNKYINVLRDIANERIELSPLHPLFDNGVFFCMFVWDDISREVDFTFPLSAMTLERELYERLVNLRLFIFEGEACPLSDALEDHKGKKERPLGECDKVEHGFQNCLGCPKPKNPKFEERIITFNPEHTALGLMILDADAGCLCHHTNPDHNPQARQRRIYDMIKHEGEGPASADSLIVTLNKWGPDNNLRDAIYYRLCKALNDMFETGPTICNSDFREKIEEPPLFGGKALIEERVRQKEVAAEMGEKSARFRRSRQQNMKARPAVGDIAMYLLRRPRVISFLRDIYEFIAVMMEDENKPAPKDEPHTCPHIIGNMDLYLNDFEVDNPNPKSADVPIKVGNCEKPKEEVCNVIISPYKRSLWRFFAPVGM
+>sp|Q6P2D8|XRRA1_HUMAN X-ray radiation resistance-associated protein 1 OS=Homo sapiens OX=9606 GN=XRRA1 PE=2 SV=2
+MAFSGIYKLDDGKPYLNNCFPARNLLRVPEEGQGHWLVVQKGNLKKKPKGLVGAQAERRESLKATSFEFKGKKESRRENQVDLPGHILDQAFLLKHHCVRKPSDLCTINAKENDFKHFHSVIYINASENLLPLEAFHTFPALKELDLAFNGIKTIYVKYGDFKLLEFLDLSFNSLTVEAICDLGILPHLRVLLLTGNGLTSLPPNLAVAEQEASVTSLTSKRYILRFPALETLMLDDNRLSNPSCFASLAGLRRLKKLSLDENRIIRIPYLQQVQLYDESVDWNGGRGSPHKEPQFMLQSKPRMLEDSDEQLDYTVLPMKKDVDRTEVVFSSYPGFSTSETTKICSLPPIFEILPVKSLKARNQTLAPPFPELRYLSLAYNKIAKEDAVLPVALFPSLCEFVFHNNPLVAHTRGVPPLLKSFLQERLGIHLIRRKIVKPKHHVLMSRKESWKVKSEIPKVPKQPLVLHHPRMTTTKSPSKDMLEPEAELAEDLPTTKSTSVESEMPTENLEGHSPSCRTFVPLPPICSNSTVHSEETLSHLSDTTVRLSPERPSDEDSKSTESIFLTQVSELPSSVIHKDDLELKEKDQKKPPTAPREVKGTRRKLPTAFLPSKYHGYEELLTAKPDPAFIEPKGIQKNAQALQQMLKHPLLCHSSKPKLDTLQKPYVHKEKRAQRIPIPPPKKTRAQLLDDIFIRLRDPRNITEAPLGAVLHQWTERRLVNHKQYLEAKRLLKEFQARYRQLVSGSLRTVFGTTPLPMACPALSESQPKFGHFLEFMDEFCQEPTASDSQG
+>DECOY_sp|Q6P2D8|XRRA1_HUMAN X-ray radiation resistance-associated protein 1 OS=Homo sapiens OX=9606 GN=XRRA1 PE=2 SV=2
+GQSDSATPEQCFEDMFELFHGFKPQSESLAPCAMPLPTTGFVTRLSGSVLQRYRAQFEKLLRKAELYQKHNVLRRETWQHLVAGLPAETINRPDRLRIFIDDLLQARTKKPPPIPIRQARKEKHVYPKQLTDLKPKSSHCLLPHKLMQQLAQANKQIGKPEIFAPDPKATLLEEYGHYKSPLFATPLKRRTGKVERPATPPKKQDKEKLELDDKHIVSSPLESVQTLFISETSKSDEDSPREPSLRVTTDSLHSLTEESHVTSNSCIPPLPVFTRCSPSHGELNETPMESEVSTSKTTPLDEALEAEPELMDKSPSKTTTMRPHHLVLPQKPVKPIESKVKWSEKRSMLVHHKPKVIKRRILHIGLREQLFSKLLPPVGRTHAVLPNNHFVFECLSPFLAVPLVADEKAIKNYALSLYRLEPFPPALTQNRAKLSKVPLIEFIPPLSCIKTTESTSFGPYSSFVVETRDVDKKMPLVTYDLQEDSDELMRPKSQLMFQPEKHPSGRGGNWDVSEDYLQVQQLYPIRIIRNEDLSLKKLRRLGALSAFCSPNSLRNDDLMLTELAPFRLIYRKSTLSTVSAEQEAVALNPPLSTLGNGTLLLVRLHPLIGLDCIAEVTLSNFSLDLFELLKFDGYKVYITKIGNFALDLEKLAPFTHFAELPLLNESANIYIVSHFHKFDNEKANITCLDSPKRVCHHKLLFAQDLIHGPLDVQNERRSEKKGKFEFSTAKLSERREAQAGVLGKPKKKLNGKQVVLWHGQGEEPVRLLNRAPFCNNLYPKGDDLKYIGSFAM
+>sp|Q8NBI6|XXLT1_HUMAN Xyloside xylosyltransferase 1 OS=Homo sapiens OX=9606 GN=XXYLT1 PE=1 SV=1
+MGLLRGGLPCARAMARLGAVRSHYCALLLAAALAVCAFYYLGSGRETFSSATKRLKEARAGAPAAPSPPALELARGSVAPAPGAKAKSLEGGGAGPVDYHLLMMFTKAEHNAALQAKARVALRSLLRLAKFEAHEVLNLHFVSEEASREVAKGLLRELLPPAAGFKCKVIFHDVAVLTDKLFPIVEAMQKHFSAGLGTYYSDSIFFLSVAMHQIMPKEILQIIQLDLDLKFKTNIRELFEEFDSFLPGAIIGIAREMQPVYRHTFWQFRHENPQTRVGGPPPEGLPGFNSGVMLLNLEAMRQSPLYSRLLEPAQVQQLADKYHFRGHLGDQDFFTMIGMEHPKLFHVLDCTWNRQLCTWWRDHGYSDVFEAYFRCEGHVKIYHGNCNTPIPED
+>DECOY_sp|Q8NBI6|XXLT1_HUMAN Xyloside xylosyltransferase 1 OS=Homo sapiens OX=9606 GN=XXYLT1 PE=1 SV=1
+DEPIPTNCNGHYIKVHGECRFYAEFVDSYGHDRWWTCLQRNWTCDLVHFLKPHEMGIMTFFDQDGLHGRFHYKDALQQVQAPELLRSYLPSQRMAELNLLMVGSNFGPLGEPPPGGVRTQPNEHRFQWFTHRYVPQMERAIGIIAGPLFSDFEEFLERINTKFKLDLDLQIIQLIEKPMIQHMAVSLFFISDSYYTGLGASFHKQMAEVIPFLKDTLVAVDHFIVKCKFGAAPPLLERLLGKAVERSAEESVFHLNLVEHAEFKALRLLSRLAVRAKAQLAANHEAKTFMMLLHYDVPGAGGGELSKAKAGPAPAVSGRALELAPPSPAAPAGARAEKLRKTASSFTERGSGLYYFACVALAAALLLACYHSRVAGLRAMARACPLGGRLLGM
+>sp|A8MVM7|YD021_HUMAN Putative uncharacterized protein ENSP00000382790 OS=Homo sapiens OX=9606 PE=5 SV=3
+MDKIRHTEADIFKNGSKRMIATVPLRHSIRDRKPSLHFLHSLASSSSLIYRNALLHKSYKLHLQKNKSQKEKHRHSKMKIAYKDTPRNRLSRNAKKCLEDNKLVPISEVSLDPIISSNPLLRWWATSASNDSLLEELNNRFEQITNAWVQVSGDEAENCIHKKREHIENDHFKVASPLETCLLELEVSPVKMLFQKKYDLNELCTWFMQTTETQSLSLVRKANARNPLEVINTRGIKLGTKYSDFNASPFRKHFKKFALSSPSKSAEKLHILHKVANSPLLNVKSNLAIARLKRTEFKRLHHERWKREGKLHNHGTVDWNSKRRNLRFFCQNQFLNKTEGETNADIPLQGKSIVDNQCVLPPEIRGDLQQRVVMPDFKIHASFENKFKSEAKENGTNCSQKDFQKGPRLENVCPNSWRSKTLKDCRIFLRKLNCLEHRNTFKLNTIIYSPESTDSGNTHQTHMEESKRFTLRSHSARQNSFKKQSKEIENANTNNPSADEFADHLGNSKLSKCVNFDKNPDSFEVLSNLNKRKRPPWKITEMSTKRHKRQSCNSGQMANYFSKSLVSKIFGQPNFLAPSMKLVKLGAAKCTSALPHLLICPGFHTNKNIYSRDIEIIFKIYYFNNVDVISFCIW
+>DECOY_sp|A8MVM7|YD021_HUMAN Putative uncharacterized protein ENSP00000382790 OS=Homo sapiens OX=9606 PE=5 SV=3
+WICFSIVDVNNFYYIKFIIEIDRSYINKNTHFGPCILLHPLASTCKAAGLKVLKMSPALFNPQGFIKSVLSKSFYNAMQGSNCSQRKHRKTSMETIKWPPRKRKNLNSLVEFSDPNKDFNVCKSLKSNGLHDAFEDASPNNTNANEIEKSQKKFSNQRASHSRLTFRKSEEMHTQHTNGSDTSEPSYIITNLKFTNRHELCNLKRLFIRCDKLTKSRWSNPCVNELRPGKQFDKQSCNTGNEKAESKFKNEFSAHIKFDPMVVRQQLDGRIEPPLVCQNDVISKGQLPIDANTEGETKNLFQNQCFFRLNRRKSNWDVTGHNHLKGERKWREHHLRKFETRKLRAIALNSKVNLLPSNAVKHLIHLKEASKSPSSLAFKKFHKRFPSANFDSYKTGLKIGRTNIVELPNRANAKRVLSLSQTETTQMFWTCLENLDYKKQFLMKVPSVELELLCTELPSAVKFHDNEIHERKKHICNEAEDGSVQVWANTIQEFRNNLEELLSDNSASTAWWRLLPNSSIIPDLSVESIPVLKNDELCKKANRSLRNRPTDKYAIKMKSHRHKEKQSKNKQLHLKYSKHLLANRYILSSSSALSHLFHLSPKRDRISHRLPVTAIMRKSGNKFIDAETHRIKDM
+>sp|Q9BSR8|YIPF4_HUMAN Protein YIPF4 OS=Homo sapiens OX=9606 GN=YIPF4 PE=1 SV=1
+MQPPGPPPAYAPTNGDFTFVSSADAEDLSGSIASPDVKLNLGGDFIKESTATTFLRQRGYGWLLEVEDDDPEDNKPLLEELDIDLKDIYYKIRCVLMPMPSLGFNRQVVRDNPDFWGPLAVVLFFSMISLYGQFRVVSWIITIWIFGSLTIFLLARVLGGEVAYGQVLGVIGYSLLPLIVIAPVLLVVGSFEVVSTLIKLFGVFWAAYSAASLLVGEEFKTKKPLLIYPIFLLYIYFLSLYTGV
+>DECOY_sp|Q9BSR8|YIPF4_HUMAN Protein YIPF4 OS=Homo sapiens OX=9606 GN=YIPF4 PE=1 SV=1
+VGTYLSLFYIYLLFIPYILLPKKTKFEEGVLLSAASYAAWFVGFLKILTSVVEFSGVVLLVPAIVILPLLSYGIVGLVQGYAVEGGLVRALLFITLSGFIWITIIWSVVRFQGYLSIMSFFLVVALPGWFDPNDRVVQRNFGLSPMPMLVCRIKYYIDKLDIDLEELLPKNDEPDDDEVELLWGYGRQRLFTTATSEKIFDGGLNLKVDPSAISGSLDEADASSVFTFDGNTPAYAPPPGPPQM
+>sp|Q9H354|YJ001_HUMAN Putative uncharacterized protein PRO1933 OS=Homo sapiens OX=9606 GN=PRO1933 PE=5 SV=1
+MNKHNLRLVQLASELILIEIIPKLFLSQVTTISHIKREKIPPNHRKGILCMFPWQCVVYVFSNFVWLVIHRFSNGFIQFLGEPYRLMTASGTHGRIKFMVDIPIIKNTQVLRIPVLKDPKMLSKKH
+>DECOY_sp|Q9H354|YJ001_HUMAN Putative uncharacterized protein PRO1933 OS=Homo sapiens OX=9606 GN=PRO1933 PE=5 SV=1
+HKKSLMKPDKLVPIRLVQTNKIIPIDVMFKIRGHTGSATMLRYPEGLFQIFGNSFRHIVLWVFNSFVYVVCQWPFMCLIGKRHNPPIKERKIHSITTVQSLFLKPIIEILILESALQVLRLNHKNM
+>sp|Q9UM01|YLAT1_HUMAN Y+L amino acid transporter 1 OS=Homo sapiens OX=9606 GN=SLC7A7 PE=1 SV=2
+MVDSTEYEVASQPEVETSPLGDGASPGPEQVKLKKEISLLNGVCLIVGNMIGSGIFVSPKGVLIYSASFGLSLVIWAVGGLFSVFGALCYAELGTTIKKSGASYAYILEAFGGFLAFIRLWTSLLIIEPTSQAIIAITFANYMVQPLFPSCFAPYAASRLLAAACICLLTFINCAYVKWGTLVQDIFTYAKVLALIAVIVAGIVRLGQGASTHFENSFEGSSFAVGDIALALYSALFSYSGWDTLNYVTEEIKNPERNLPLSIGISMPIVTIIYILTNVAYYTVLDMRDILASDAVAVTFADQIFGIFNWIIPLSVALSCFGGLNASIVAASRLFFVGSREGHLPDAICMIHVERFTPVPSLLFNGIMALIYLCVEDIFQLINYYSFSYWFFVGLSIVGQLYLRWKEPDRPRPLKLSVFFPIVFCLCTIFLVAVPLYSDTINSLIGIAIALSGLPFYFLIIRVPEHKRPLYLRRIVGSATRYLQVLCMSVAAEMDLEDGGEMPKQRDPKSN
+>DECOY_sp|Q9UM01|YLAT1_HUMAN Y+L amino acid transporter 1 OS=Homo sapiens OX=9606 GN=SLC7A7 PE=1 SV=2
+NSKPDRQKPMEGGDELDMEAAVSMCLVQLYRTASGVIRRLYLPRKHEPVRIILFYFPLGSLAIAIGILSNITDSYLPVAVLFITCLCFVIPFFVSLKLPRPRDPEKWRLYLQGVISLGVFFWYSFSYYNILQFIDEVCLYILAMIGNFLLSPVPTFREVHIMCIADPLHGERSGVFFLRSAAVISANLGGFCSLAVSLPIIWNFIGFIQDAFTVAVADSALIDRMDLVTYYAVNTLIYIITVIPMSIGISLPLNREPNKIEETVYNLTDWGSYSFLASYLALAIDGVAFSSGEFSNEFHTSAGQGLRVIGAVIVAILALVKAYTFIDQVLTGWKVYACNIFTLLCICAAALLRSAAYPAFCSPFLPQVMYNAFTIAIIAQSTPEIILLSTWLRIFALFGGFAELIYAYSAGSKKITTGLEAYCLAGFVSFLGGVAWIVLSLGFSASYILVGKPSVFIGSGIMNGVILCVGNLLSIEKKLKVQEPGPSAGDGLPSTEVEPQSAVEYETSDVM
+>sp|Q9UF83|YM012_HUMAN Uncharacterized protein DKFZp434B061 OS=Homo sapiens OX=9606 PE=2 SV=2
+MRRPSTASLTRTPSRASPTRMPSRASLKMTPFRASLTKMESTALLRTLPRASLMRTPTRASLMRTPPRASPTRKPPRASPRTPSRASPTRRLPRASPMGSPHRASPMRTPPRASPTGTPSTASPTGTPSSASPTGTPPRASPTGTPPRAWATRSPSTASLTRTPSRASLTRWPPRASPTRTPPRESPRMSHRASPTRTPPRASPTRRPPRASPTRTPPRESLRTSHRASPTRMPPRASPTRRPPRASPTGSPPRASPMTPPRASPRTPPRASPTTTPSRASLTRTPSWASPTTTPSRASLMKMESTVSITRTPPRASPTGTPSRASPTGTPSRASLTGSPSRASLTGTPSRASLIGTPSRASLIGTPSRASLTGTPPRASLTGTSSTASLTRTPSRASLTRTQSSSSLTRTPSMASLTRTPPRASLTRTPPRASLTRTPPRASLTRTPPRASLTRTPSMVSLKRSPSRASLTRTPSRASLTMTPSRASLTRTPSTASLTGTPPTASLTRTPPTASLTRSPPTASLTRTPSTASLTRMPSTASLTRKSNVNQQCPASTPSSEVIS
+>DECOY_sp|Q9UF83|YM012_HUMAN Uncharacterized protein DKFZp434B061 OS=Homo sapiens OX=9606 PE=2 SV=2
+SIVESSPTSAPCQQNVNSKRTLSATSPMRTLSATSPTRTLSATPPSRTLSATPPTRTLSATPPTGTLSATSPTRTLSARSPTMTLSARSPTRTLSARSPSRKLSVMSPTRTLSARPPTRTLSARPPTRTLSARPPTRTLSARPPTRTLSAMSPTRTLSSSSQTRTLSARSPTRTLSATSSTGTLSARPPTGTLSARSPTGILSARSPTGILSARSPTGTLSARSPSGTLSARSPTGTPSARSPTGTPSARPPTRTISVTSEMKMLSARSPTTTPSAWSPTRTLSARSPTTTPSARPPTRPSARPPTMPSARPPSGTPSARPPRRTPSARPPMRTPSARHSTRLSERPPTRTPSARPPRRTPSARPPTRTPSARHSMRPSERPPTRTPSARPPWRTLSARSPTRTLSATSPSRTAWARPPTGTPSARPPTGTPSASSPTGTPSATSPTGTPSARPPTRMPSARHPSGMPSARPLRRTPSARSPTRPSARPPKRTPSARPPTRMLSARTPTRMLSARPLTRLLATSEMKTLSARFPTMKLSARSPMRTPSARSPTRTLSATSPRRM
+>sp|Q8NAQ8|YP023_HUMAN Putative uncharacterized protein FLJ34945 OS=Homo sapiens OX=9606 PE=2 SV=1
+MYSVLQLLKCLCSCWRKGPEGRRFQTEAAVCARPAWSPHPAGASEALGALPPPRQLVEKRRVSPPRRLDQSGRDGGAVAKCSLSRGLSPPGWTGRSLLRPWGSAAVLGSRAALACVLRPSRGVMPATIQSRR
+>DECOY_sp|Q8NAQ8|YP023_HUMAN Putative uncharacterized protein FLJ34945 OS=Homo sapiens OX=9606 PE=2 SV=1
+RRSQITAPMVGRSPRLVCALAARSGLVAASGWPRLLSRGTWGPPSLGRSLSCKAVAGGDRGSQDLRRPPSVRRKEVLQRPPPLAGLAESAGAPHPSWAPRACVAAETQFRRGEPGKRWCSCLCKLLQLVSYM
+>sp|Q96NS1|YPEL4_HUMAN Protein yippee-like 4 OS=Homo sapiens OX=9606 GN=YPEL4 PE=2 SV=1
+MPSCDPGPGPACLPTKTFRSYLPRCHRTYSCVHCRAHLAKHDELISKSFQGSHGRAYLFNSVVNVGCGPAEQRLLLTGLHSVADIFCESCKTTLGWKYEQAFETSQKYKEGKYIIEMSHMVKDNGWD
+>DECOY_sp|Q96NS1|YPEL4_HUMAN Protein yippee-like 4 OS=Homo sapiens OX=9606 GN=YPEL4 PE=2 SV=1
+DWGNDKVMHSMEIIYKGEKYKQSTEFAQEYKWGLTTKCSECFIDAVSHLGTLLLRQEAPGCGVNVVSNFLYARGHSGQFSKSILEDHKALHARCHVCSYTRHCRPLYSRFTKTPLCAPGPGPDCSPM
+>sp|A8MWP6|YQ019_HUMAN Uncharacterized protein ENSP00000382042 OS=Homo sapiens OX=9606 PE=2 SV=2
+MLCPCIQESTFETCLFMAVSQMSKWRLSRVGGSRSLPAEMEVLGEVWCVRAEEQPMTGLGIVWHSPLLDKALETWSLQQQPDFSLGLGHLGLGTDATWMQSFPGSGGDAQAGTGVSGLLRLYPNLLCDFGPRQGPLWALLLEKRQMAGTGGSPALLAWRSASWRALG
+>DECOY_sp|A8MWP6|YQ019_HUMAN Uncharacterized protein ENSP00000382042 OS=Homo sapiens OX=9606 PE=2 SV=2
+GLARWSASRWALLAPSGGTGAMQRKELLLAWLPGQRPGFDCLLNPYLRLLGSVGTGAQADGGSGPFSQMWTADTGLGLHGLGLSFDPQQQLSWTELAKDLLPSHWVIGLGTMPQEEARVCWVEGLVEMEAPLSRSGGVRSLRWKSMQSVAMFLCTEFTSEQICPCLM
+>sp|A8MZ25|YQ037_HUMAN Putative uncharacterized protein FLJ38767 OS=Homo sapiens OX=9606 PE=5 SV=1
+MGQKKTMGTERSRGGKRGPQPGAERPEEPGATFSKKPPEGARAPRCLSRPTAPKSGACLARRRPPGSPCSIRDAPFHTGDDRFLARENFPNVLQPLPRMFAVQQAADFESQCPRRWDSRKRPSEGLPSAGWGRWRGRPIHLGLWVSGSVRRKVSGSHVSRSLHL
+>DECOY_sp|A8MZ25|YQ037_HUMAN Putative uncharacterized protein FLJ38767 OS=Homo sapiens OX=9606 PE=5 SV=1
+LHLSRSVHSGSVKRRVSGSVWLGLHIPRGRWRGWGASPLGESPRKRSDWRRPCQSEFDAAQQVAFMRPLPQLVNPFNERALFRDDGTHFPADRISCPSGPPRRRALCAGSKPATPRSLCRPARAGEPPKKSFTAGPEEPREAGPQPGRKGGRSRETGMTKKQGM
+>sp|Q8N3U1|YS014_HUMAN Putative uncharacterized protein LOC400692 OS=Homo sapiens OX=9606 PE=2 SV=2
+MPDASLGSLGITWCFLESPLEVSSGRFGLARLLGSQDHGDDPAERGRTATDAWGPSRWGQSPGNGGGYCDASPPSALAPGDRAWALPASPSSGAPASQHCCLEKAGTRTKASPVWGRDGNTWN
+>DECOY_sp|Q8N3U1|YS014_HUMAN Putative uncharacterized protein LOC400692 OS=Homo sapiens OX=9606 PE=2 SV=2
+NWTNGDRGWVPSAKTRTGAKELCCHQSAPAGSSPSAPLAWARDGPALASPPSADCYGGGNGPSQGWRSPGWADTATRGREAPDDGHDQSGLLRALGFRGSSVELPSELFCWTIGLSGLSADPM
+>sp|Q6ZVQ6|YS045_HUMAN Putative uncharacterized protein FLJ42213 OS=Homo sapiens OX=9606 PE=2 SV=1
+MHAGKRSPLTQSISCVCLPELGALWEIESARVNLRVSGREASREMESSPRPHRIAGVKRFLKHAGKWSLRWFLSPRWILQFRRWARKWSRFTRSSFQVRWAAVPAGKCSQHQGLSAVATASPGVFWEMEFDVSSPLTEGAGSPMSSKHAGE
+>DECOY_sp|Q6ZVQ6|YS045_HUMAN Putative uncharacterized protein FLJ42213 OS=Homo sapiens OX=9606 PE=2 SV=1
+EGAHKSSMPSGAGETLPSSVDFEMEWFVGPSATAVASLGQHQSCKGAPVAAWRVQFSSRTFRSWKRAWRRFQLIWRPSLFWRLSWKGAHKLFRKVGAIRHPRPSSEMERSAERGSVRLNVRASEIEWLAGLEPLCVCSISQTLPSRKGAHM
+>sp|A8MWP4|YU008_HUMAN Putative uncharacterized protein ENSP00000401716 OS=Homo sapiens OX=9606 PE=5 SV=1
+MQRPHRRQSQMDAASTRAPPRPSAPQQGRRQPSMPGSAQCHHRPDPHPPAPGKKKSSPVGRFFPASAMAPPWLPGIVSAAQLKPVLSDLPPHCTRAQCQPLTQRPHSLHLQDSRNASSLPHKGWRCNFPLQGPAGLTHKSACVGRMGHCCGSAGNVPELSPRPASPRGQQVTQDGPLQTPELPSECNVGPVMSRQPFPEQSQQGECAIDPRGPPRLELSWGEDPLSGV
+>DECOY_sp|A8MWP4|YU008_HUMAN Putative uncharacterized protein ENSP00000401716 OS=Homo sapiens OX=9606 PE=5 SV=1
+VGSLPDEGWSLELRPPGRPDIACEGQQSQEPFPQRSMVPGVNCESPLEPTQLPGDQTVQQGRPSAPRPSLEPVNGASGCCHGMRGVCASKHTLGAPGQLPFNCRWGKHPLSSANRSDQLHLSHPRQTLPQCQARTCHPPLDSLVPKLQAASVIGPLWPPAMASAPFFRGVPSSKKKGPAPPHPDPRHHCQASGPMSPQRRGQQPASPRPPARTSAADMQSQRRHPRQM
+>sp|Q86YH2|Z280B_HUMAN Zinc finger protein 280B OS=Homo sapiens OX=9606 GN=ZNF280B PE=1 SV=2
+MEQSCEEEKEPEPQKNIQETKQVDDEDAELIFVGVEHVNEDAELIFVGVTSNSKPVVSNILNRVTPGSWSRRKKYDHLRKDTARKLQPKSHETVTSEAVTVLPASQLESRSTDSPIIIEPLSKPDYRNSSPQVVPNNSSELPSPLITFTDSLHHPVSTALSVGGINESPRVSKQLSTFEVNSINPKRAKLRDGIIEGNSSASFPSDTFHTMNTQQSTPSNNVHTSLSHVQNGAPFPAAFPKDNIHFKPINTNLDRENELAKTDILSLTSQNKTFDPKKENPIVLLSDFYYGQHKGEGQPEQKTHTTFKCLSCVKVLKNVKFMNHVKHHLEFEKQRNDSWENHTTCQHCHRQFPTPFQLQCHIENVHTAQEPSTVCKICELSFETDQVLLQHMKDHHKPGEMPYVCQVCHYRSSVFADVETHFRTCHENTKNLLCPFCLKIFKTATPYMCHYRGHWGKSAHQCSKCRLQFLTFKEKMEHKTQCHQMFKKPKQLEGLPPETKVTIQVSLEPLQPGSVDVASITVSTSDSEPSLPRSKSKISKKSH
+>DECOY_sp|Q86YH2|Z280B_HUMAN Zinc finger protein 280B OS=Homo sapiens OX=9606 GN=ZNF280B PE=1 SV=2
+HSKKSIKSKSRPLSPESDSTSVTISAVDVSGPQLPELSVQITVKTEPPLGELQKPKKFMQHCQTKHEMKEKFTLFQLRCKSCQHASKGWHGRYHCMYPTATKFIKLCFPCLLNKTNEHCTRFHTEVDAFVSSRYHCVQCVYPMEGPKHHDKMHQLLVQDTEFSLECIKCVTSPEQATHVNEIHCQLQFPTPFQRHCHQCTTHNEWSDNRQKEFELHHKVHNMFKVNKLVKVCSLCKFTTHTKQEPQGEGKHQGYYFDSLLVIPNEKKPDFTKNQSTLSLIDTKALENERDLNTNIPKFHINDKPFAAPFPAGNQVHSLSTHVNNSPTSQQTNMTHFTDSPFSASSNGEIIGDRLKARKPNISNVEFTSLQKSVRPSENIGGVSLATSVPHHLSDTFTILPSPLESSNNPVVQPSSNRYDPKSLPEIIIPSDTSRSELQSAPLVTVAESTVTEHSKPQLKRATDKRLHDYKKRRSWSGPTVRNLINSVVPKSNSTVGVFILEADENVHEVGVFILEADEDDVQKTEQINKQPEPEKEEECSQEM
+>sp|Q6N043|Z280D_HUMAN Zinc finger protein 280D OS=Homo sapiens OX=9606 GN=ZNF280D PE=1 SV=3
+MGDNPFQPKSNSKMAELFMECEEEELEPWQKKVKEVEDDDDDEPIFVGEISSSKPAISNILNRVNPSSYSRGLKNGALSRGITAAFKPTSQHYTNPTSNPVPASPINFHPESRSSDSSVIVQPFSKPGYITNSSRVVSNKSSELLFDLTQDTGLSHYQGGPTLSMAGMSESSFLSKRPSTSEVNNVNPKKPKPSESVSGANSSAVLPSVKSPSVTSSQAMLAKGTNTSSNQSKNGTPFPRACPKCNIHFNLLDPLKNHMKYCCPDMINNFLGLAKTEFSSTVNKNTTIDSEKGKLIMLVNDFYYGKHEGDVQEEQKTHTTFKCFSCLKILKNNIRFMNHMKHHLELEKQSSESWENHTTCQHCYRQFPTPFQLQCHIESTHTPHEFSTICKICELSFETEHVLLQHMKDNHKPGEMPYVCQVCNYRSSSFSDVETHFRTSHENTKNLLCPFCLKVIKIATPYMHHYMKHQKKGIHRCTKCRLQFLTCKEKMDHKTQHHRTFIKPKQLEGLPPGTKVTIRASVGPLQSGASPTPSISASASTLQLSPPRTKNITAKNPAKSNTSKPNTVKSNASKPNTSKPNGSKSKYKPKISNMQKKQSTLASSNKKSKVNTALRNLRYRRGIHKCIECCSEIKDFANHFPTYVHCSFCRYNTSCSKAYVNHMMSFHSNRPSKRFCIFKKHSENLRGITLVCLNCDFLSDVSGLDNMATHLSQHKTHTCQVVMQKVSVCIPTSEHLSELKKEAPAKEQEPVSKEIARPNMAERETETSNSESKQDKAASSKEKNGCNANSFEGSSTTKSEESITVSDKENETCLADQETGSKNIVSCDSNIGADKVEKKKQIQHVCQEMELKMCQSSENIILSDQIKDHNSSEARFSSKNIKDLRLASDNVSIDQFLRKRHEPESVSSDVSEQGSIHLEPLTPSEVLEYEATEILQKGSGDPSAKTDEVVSDQTDDIPGGNNPSTTEATVDLEDEKERS
+>DECOY_sp|Q6N043|Z280D_HUMAN Zinc finger protein 280D OS=Homo sapiens OX=9606 GN=ZNF280D PE=1 SV=3
+SREKEDELDVTAETTSPNNGGPIDDTQDSVVEDTKASPDGSGKQLIETAEYELVESPTLPELHISGQESVDSSVSEPEHRKRLFQDISVNDSALRLDKINKSSFRAESSNHDKIQDSLIINESSQCMKLEMEQCVHQIQKKKEVKDAGINSDCSVINKSGTEQDALCTENEKDSVTISEESKTTSSGEFSNANCGNKEKSSAAKDQKSESNSTETEREAMNPRAIEKSVPEQEKAPAEKKLESLHESTPICVSVKQMVVQCTHTKHQSLHTAMNDLGSVDSLFDCNLCVLTIGRLNESHKKFICFRKSPRNSHFSMMHNVYAKSCSTNYRCFSCHVYTPFHNAFDKIESCCEICKHIGRRYRLNRLATNVKSKKNSSALTSQKKQMNSIKPKYKSKSGNPKSTNPKSANSKVTNPKSTNSKAPNKATINKTRPPSLQLTSASASISPTPSAGSQLPGVSARITVKTGPPLGELQKPKIFTRHHQTKHDMKEKCTLFQLRCKTCRHIGKKQHKMYHHMYPTAIKIVKLCFPCLLNKTNEHSTRFHTEVDSFSSSRYNCVQCVYPMEGPKHNDKMHQLLVHETEFSLECIKCITSFEHPTHTSEIHCQLQFPTPFQRYCHQCTTHNEWSESSQKELELHHKMHNMFRINNKLIKLCSFCKFTTHTKQEEQVDGEHKGYYFDNVLMILKGKESDITTNKNVTSSFETKALGLFNNIMDPCCYKMHNKLPDLLNFHINCKPCARPFPTGNKSQNSSTNTGKALMAQSSTVSPSKVSPLVASSNAGSVSESPKPKKPNVNNVESTSPRKSLFSSESMGAMSLTPGGQYHSLGTDQTLDFLLESSKNSVVRSSNTIYGPKSFPQVIVSSDSSRSEPHFNIPSAPVPNSTPNTYHQSTPKFAATIGRSLAGNKLGRSYSSPNVRNLINSIAPKSSSIEGVFIPEDDDDDEVEKVKKQWPELEEEECEMFLEAMKSNSKPQFPNDGM
+>sp|O60765|Z354A_HUMAN Zinc finger protein 354A OS=Homo sapiens OX=9606 GN=ZNF354A PE=1 SV=2
+MAAGQREARPQVSLTFEDVAVLFTRDEWRKLAPSQRNLYRDVMLENYRNLVSLGLPFTKPKVISLLQQGEDPWEVEKDGSGVSSLGSKSSHKTTKSTQTQDSSFQGLILKRSNRNVPWDLKLEKPYIYEGRLEKKQDKKGSFQIVSATHKKIPTIERSHKNTELSQNFSPKSVLIRQQILPREKTPPKCEIQGNSLKQNSQLLNQPKITADKRYKCSLCEKTFINTSSLRKHEKNHSGEKLFKCKECSKAFSQSSALIQHQITHTGEKPYICKECGKAFTLSTSLYKHLRTHTVEKSYRCKECGKSFSRRSGLFIHQKIHAEENPCKYNPGRKASSCSTSLSGCQRIHSRKKSYLCNECGNTFKSSSSLRYHQRIHTGEKPFKCSECGRAFSQSASLIQHERIHTGEKPYRCNECGKGFTSISRLNRHRIIHTGEKFYNCNECGKALSSHSTLIIHERIHTGEKPCKCKVCGKAFRQSSALIQHQRMHTGERPYKCNECGKTFRCNSSLSNHQRIHTGEKPYRCEECGISFGQSSALIQHRRIHTGEKPFKCNTCGKTFRQSSSRIAHQRIHTGEKPYECNTCGKLFNHRSSLTNHYKIHIEEDP
+>DECOY_sp|O60765|Z354A_HUMAN Zinc finger protein 354A OS=Homo sapiens OX=9606 GN=ZNF354A PE=1 SV=2
+PDEEIHIKYHNTLSSRHNFLKGCTNCEYPKEGTHIRQHAIRSSSQRFTKGCTNCKFPKEGTHIRRHQILASSQGFSIGCEECRYPKEGTHIRQHNSLSSNCRFTKGCENCKYPREGTHMRQHQILASSQRFAKGCVKCKCPKEGTHIREHIILTSHSSLAKGCENCNYFKEGTHIIRHRNLRSISTFGKGCENCRYPKEGTHIREHQILSASQSFARGCESCKFPKEGTHIRQHYRLSSSSKFTNGCENCLYSKKRSHIRQCGSLSTSCSSAKRGPNYKCPNEEAHIKQHIFLGSRRSFSKGCEKCRYSKEVTHTRLHKYLSTSLTFAKGCEKCIYPKEGTHTIQHQILASSQSFAKSCEKCKFLKEGSHNKEHKRLSSTNIFTKECLSCKYRKDATIKPQNLLQSNQKLSNGQIECKPPTKERPLIQQRILVSKPSFNQSLETNKHSREITPIKKHTASVIQFSGKKDQKKELRGEYIYPKELKLDWPVNRNSRKLILGQFSSDQTQTSKTTKHSSKSGLSSVGSGDKEVEWPDEGQQLLSIVKPKTFPLGLSVLNRYNELMVDRYLNRQSPALKRWEDRTFLVAVDEFTLSVQPRAERQGAAM
+>sp|Q96LW1|Z354B_HUMAN Zinc finger protein 354B OS=Homo sapiens OX=9606 GN=ZNF354B PE=1 SV=1
+MAAGQREARPQVSLTFEDVAVLFTWDEWRKLAPSQRNLYRDVMLENYRNLVSLGLSFTKPKVISLLQQGEDPWEVEKDSSGVSSLGCKSTPKMTKSTQTQDSFQEQIRKRLKRDEPWNFISERSCIYEEKLKKQQDKNENLQIISVAHTKILTVDRSHKNVEFGQNFYLKSVFIKQQRFAKEKTPSKCEIQRNSFKQNSNLLNQSKIKTAEKRYKCSTCEKAFIHNSSLRKHQKNHTGEKLFKCKECLKAFSQSSALIQHQRTHTGEKPYICKECGKAFSHSASLCKHLRTHTVEKCYRCKECGKSFSRRSGLFIHQKIHAQENPHKYNPGRKASSYSTSLSGSQKIHLRKKSYLCNECGNTFKSSSSLRYHQRIHTGEKPFKCSECGRAFSQSASLIQHERIHTGEKPYRCNECGKGFTSISRLNRHRIIHTGEKLYNCNECGKALSSHSTLIIHERIHTGEKPCKCKVCGKAFRQSSALIQHQRMHTGERPYKCNECDKTFRCNSSLSNHQRIHTGEKPYRCLECGMSFGQSAALIQHQRIHTGEKPFKCNTCGKTFRQSSSLIAHQRIHTGEKPYECNACGKLFSQRSSLTNHYKIHIEEDSLKADLHV
+>DECOY_sp|Q96LW1|Z354B_HUMAN Zinc finger protein 354B OS=Homo sapiens OX=9606 GN=ZNF354B PE=1 SV=1
+VHLDAKLSDEEIHIKYHNTLSSRQSFLKGCANCEYPKEGTHIRQHAILSSSQRFTKGCTNCKFPKEGTHIRQHQILAASQGFSMGCELCRYPKEGTHIRQHNSLSSNCRFTKDCENCKYPREGTHMRQHQILASSQRFAKGCVKCKCPKEGTHIREHIILTSHSSLAKGCENCNYLKEGTHIIRHRNLRSISTFGKGCENCRYPKEGTHIREHQILSASQSFARGCESCKFPKEGTHIRQHYRLSSSSKFTNGCENCLYSKKRLHIKQSGSLSTSYSSAKRGPNYKHPNEQAHIKQHIFLGSRRSFSKGCEKCRYCKEVTHTRLHKCLSASHSFAKGCEKCIYPKEGTHTRQHQILASSQSFAKLCEKCKFLKEGTHNKQHKRLSSNHIFAKECTSCKYRKEATKIKSQNLLNSNQKFSNRQIECKSPTKEKAFRQQKIFVSKLYFNQGFEVNKHSRDVTLIKTHAVSIIQLNENKDQQKKLKEEYICSRESIFNWPEDRKLRKRIQEQFSDQTQTSKTMKPTSKCGLSSVGSSDKEVEWPDEGQQLLSIVKPKTFSLGLSVLNRYNELMVDRYLNRQSPALKRWEDWTFLVAVDEFTLSVQPRAERQGAAM
+>sp|Q6P3V2|Z585A_HUMAN Zinc finger protein 585A OS=Homo sapiens OX=9606 GN=ZNF585A PE=2 SV=2
+MPANWTSPQKSSALAPEDHGSSYEGSVSFRDVAIDFSREEWRHLDPSQRNLYRDVMLETYSHLLSVGYQVPEAEVVMLEQGKEPWALQGERPRQSCPGEKLWDHNQCRKILSYKQVSSQPQKMYPGEKAYECAKFEKIFTQKSQLKVHLKVLAGEKLYVCIECGKAFVQKPEFIIHQKTHMREKPFKCNECGKSFFQVSSLFRHQRIHTGEKLYECSQCGKGFSYNSDLSIHEKIHTGERHHECTDCGKAFTQKSTLKMHQKIHTGERSYICIECGQAFIQKTHLIAHRRIHTGEKPYECSNCGKSFISKSQLQVHQRVHTRVKPYICTEYGKVFSNNSNLVTHKKVQSREKSSICTECGKAFTYRSELIIHQRIHTGEKPYECSDCGKAFTQKSALTVHQRIHTGEKSYICMKCGLAFIQKAHLIAHQIIHTGEKPHKCGHCGKLFTSKSQLHVHKRIHTGEKPYMCNKCGKAFTNRSNLITHQKTHTGEKSYICSKCGKAFTQRSDLITHQRIHTGEKPYECNTCGKAFTQKSHLNIHQKIHTGERQYECHECGKAFNQKSILIVHQKIHTGEKPYVCTECGRAFIRKSNFITHQRIHTGEKPYECSDCGKSFTSKSQLLVHQPVHTGEKPYVCAECGKAFSGRSNLSKHQKTHTGEKPYICSECGKTFRQKSELITHHRIHTGEKPYECSDCGKSFTKKSQLQVHQRIHTGEKPYVCAECGKAFTDRSNLNKHQTTHTGDKPYKCGICGKGFVQKSVFSVHQSSHA
+>DECOY_sp|Q6P3V2|Z585A_HUMAN Zinc finger protein 585A OS=Homo sapiens OX=9606 GN=ZNF585A PE=2 SV=2
+AHSSQHVSFVSKQVFGKGCIGCKYPKDGTHTTQHKNLNSRDTFAKGCEACVYPKEGTHIRQHVQLQSKKTFSKGCDSCEYPKEGTHIRHHTILESKQRFTKGCESCIYPKEGTHTKQHKSLNSRGSFAKGCEACVYPKEGTHVPQHVLLQSKSTFSKGCDSCEYPKEGTHIRQHTIFNSKRIFARGCETCVYPKEGTHIKQHVILISKQNFAKGCEHCEYQREGTHIKQHINLHSKQTFAKGCTNCEYPKEGTHIRQHTILDSRQTFAKGCKSCIYSKEGTHTKQHTILNSRNTFAKGCKNCMYPKEGTHIRKHVHLQSKSTFLKGCHGCKHPKEGTHIIQHAILHAKQIFALGCKMCIYSKEGTHIRQHVTLASKQTFAKGCDSCEYPKEGTHIRQHIILESRYTFAKGCETCISSKERSQVKKHTVLNSNNSFVKGYETCIYPKVRTHVRQHVQLQSKSIFSKGCNSCEYPKEGTHIRRHAILHTKQIFAQGCEICIYSREGTHIKQHMKLTSKQTFAKGCDTCEHHREGTHIKEHISLDSNYSFGKGCQSCEYLKEGTHIRQHRFLSSVQFFSKGCENCKFPKERMHTKQHIIFEPKQVFAKGCEICVYLKEGALVKLHVKLQSKQTFIKEFKACEYAKEGPYMKQPQSSVQKYSLIKRCQNHDWLKEGPCSQRPREGQLAWPEKGQELMVVEAEPVQYGVSLLHSYTELMVDRYLNRQSPDLHRWEERSFDIAVDRFSVSGEYSSGHDEPALASSKQPSTWNAPM
+>sp|E7ETH6|Z587B_HUMAN Zinc finger protein 587B OS=Homo sapiens OX=9606 GN=ZNF587B PE=1 SV=1
+MAVVATLRLSAQGTVTFEDVAVKFTQEEWNLLSEAQRCLYRDVTLENLALMSSLGCWCGVEDEAAPSKQSIYIQRETQVRTPVTGVSPKKAHPCEMCGPILGDILHVADHQGTHHKQKLHRCEAWGNKLYDSGNFHQHQNEHIGEKPYRGSVEEALFVKRCKLHVSGESSVFSESGKDFLPRSGLLQQEASHTGEKSNSKTECVSPFQCGGAHYSHGDSMKHFSTKHILSQHQRLLPREECYVCCECGKSFSKYVSFSNHQRVHSGKRPYECGECEKSFSQKSSLIQHQQFHTGGKPYGCEECGKYFSLEGYLRRHQKVHAGKGPYECGECGKSFSSNVNLKSHQRIHTGERPYKCGECEKSFSRKPSLSYHQRFGRPRWVDHKDRKEFKTSLGNIVKSCLF
+>DECOY_sp|E7ETH6|Z587B_HUMAN Zinc finger protein 587B OS=Homo sapiens OX=9606 GN=ZNF587B PE=1 SV=1
+FLCSKVINGLSTKFEKRDKHDVWRPRGFRQHYSLSPKRSFSKECEGCKYPREGTHIRQHSKLNVNSSFSKGCEGCEYPGKGAHVKQHRRLYGELSFYKGCEECGYPKGGTHFQQHQILSSKQSFSKECEGCEYPRKGSHVRQHNSFSVYKSFSKGCECCVYCEERPLLRQHQSLIHKTSFHKMSDGHSYHAGGCQFPSVCETKSNSKEGTHSAEQQLLGSRPLFDKGSESFVSSEGSVHLKCRKVFLAEEVSGRYPKEGIHENQHQHFNGSDYLKNGWAECRHLKQKHHTGQHDAVHLIDGLIPGCMECPHAKKPSVGTVPTRVQTERQIYISQKSPAAEDEVGCWCGLSSMLALNELTVDRYLCRQAESLLNWEEQTFKVAVDEFTVTGQASLRLTAVVAM
+>sp|Q6ZN79|Z705A_HUMAN Zinc finger protein 705A OS=Homo sapiens OX=9606 GN=ZNF705A PE=2 SV=1
+MHSLKKVTFEDVAIDFTQEEWAMMDTSKRKLYRDVMLENISHLVSLGYQISKSYIILQLEQGKELWREGREFLQDQNPDRESALKKKHMISMHPITRKDASTSMTMENSLILEDPFECNDSGEDCTHSSTITQRLLTHSGKKPYVSKQCGKSLRNLFSPKPHKQIHTKGKSYQCNLCEKAYTNCFRLRRHKMTHTGERPYACHLCGKAFTQCSHLRRHEKTHTGERPYKCHQCGKAFIQSFNLRRHERTHLGKKCYECDKSGKAFSQSSGFRGNKIIHTGEKPHACLLCGKAFSLSSDLR
+>DECOY_sp|Q6ZN79|Z705A_HUMAN Zinc finger protein 705A OS=Homo sapiens OX=9606 GN=ZNF705A PE=2 SV=1
+RLDSSLSFAKGCLLCAHPKEGTHIIKNGRFGSSQSFAKGSKDCEYCKKGLHTREHRRLNFSQIFAKGCQHCKYPREGTHTKEHRRLHSCQTFAKGCLHCAYPREGTHTMKHRRLRFCNTYAKECLNCQYSKGKTHIQKHPKPSFLNRLSKGCQKSVYPKKGSHTLLRQTITSSHTCDEGSDNCEFPDELILSNEMTMSTSADKRTIPHMSIMHKKKLASERDPNQDQLFERGERWLEKGQELQLIIYSKSIQYGLSVLHSINELMVDRYLKRKSTDMMAWEEQTFDIAVDEFTVKKLSHM
+>sp|A8MVS1|Z705F_HUMAN Zinc finger protein 705F OS=Homo sapiens OX=9606 GN=ZNF705F PE=3 SV=1
+MHSLEKVTFEDVAIDFTQEEWDMMDTSKRKLYRDVMLENISHLVSLGYQISKSYIILQLEQGKELWREGRVFLQDQNPDRESALKKKHMISMHPIIRKDASTSMTMSKTLILEDPFEYNDSGEDCTHSSTITQCLLTHSRKKPYVSKQCGKSLRNLLSPKPRKQIHTKGKSYQCNLCEKAYTNCFYLRRHKMTHTGERPYACHLCGKAFTQCSHLRRHEKTHTGERPYKCHQCGKAFIQSFNLRRHERTHLGQKCYECDKSGKAFSQSSGFRGNKIIHIGEKPHACLLCGKAFSLSSDLR
+>DECOY_sp|A8MVS1|Z705F_HUMAN Zinc finger protein 705F OS=Homo sapiens OX=9606 GN=ZNF705F PE=3 SV=1
+RLDSSLSFAKGCLLCAHPKEGIHIIKNGRFGSSQSFAKGSKDCEYCKQGLHTREHRRLNFSQIFAKGCQHCKYPREGTHTKEHRRLHSCQTFAKGCLHCAYPREGTHTMKHRRLYFCNTYAKECLNCQYSKGKTHIQKRPKPSLLNRLSKGCQKSVYPKKRSHTLLCQTITSSHTCDEGSDNYEFPDELILTKSMTMSTSADKRIIPHMSIMHKKKLASERDPNQDQLFVRGERWLEKGQELQLIIYSKSIQYGLSVLHSINELMVDRYLKRKSTDMMDWEEQTFDIAVDEFTVKELSHM
+>sp|Q9Y6R6|Z780B_HUMAN Zinc finger protein 780B OS=Homo sapiens OX=9606 GN=ZNF780B PE=2 SV=1
+MVHGSVTFRDVAIDFSQEEWECLQPDQRTLYRDVMLENYSHLISLGSSISKPDVITLLEQEKEPWIVVSKETSRWYPDLESKYGPEKISPENDIFEINLPKHVIKQISKTLGLEAFYFRNDSEYRSRFEGRQGHQEGYINQKIISYEEMPAYTHASPIHNTHKPYECKECGKYFSCGSNLIQHQSIHTGEKPYKCKECGKAFQLHIQLTRHQKFHTGEKTFECKECGKAFNLPTQLNRHKNIHTVKKLFECKECGKSFNRSSNLTQHQSIHAGVKPYQCKECGKAFNRGSNLIQHQKIHSNEKPFVCRECEMAFRYHYQLIEHCRIHTGEKPFECKECRKAFTLLTKLVRHQKIHMGEKPFECRECGKAFSLLNQLNRHKNIHTGEKPFECKECGKSFNRSSNLIQHQSIHADVKPYECKECGKGFNRGANLIQHQKIHSNEKPFVCRECEMAFRYHYQLIQHCQIHTGGKPFECKECGKAFSLLTQLARHKNIHTGEKPFECKDCGKAFNRGSNLVQHQSIHTGEKPYECKECGKAFRLHLQLSQHEKTHTGEKPFECKECGKFFRRGSNLNQHRSIHTGKKPFECKECGKAFRLHMHLIRHQKFHTGEKPFECKECGKAFSLHTQLNHHKNIHTGEKPFKCKECGKSFNRVSNLVQHQSIHAGVKPYECKECGKGFSRVSNLIQHQKTHSSAKPFVCKECRKTFRYHYQLTEHYRIHTGEKPFECKECGKAFGLLTQLAQHQIIHTGEKPFKCKECGKAFNRGSNLVQPQSIHTGEKPYECKECGKAFRLHLQLSLHQKLVQVRNPLNVRNVGQPSDISSNLLNIRKFILG
+>DECOY_sp|Q9Y6R6|Z780B_HUMAN Zinc finger protein 780B OS=Homo sapiens OX=9606 GN=ZNF780B PE=2 SV=1
+GLIFKRINLLNSSIDSPQGVNRVNLPNRVQVLKQHLSLQLHLRFAKGCEKCEYPKEGTHISQPQVLNSGRNFAKGCEKCKFPKEGTHIIQHQALQTLLGFAKGCEKCEFPKEGTHIRYHETLQYHYRFTKRCEKCVFPKASSHTKQHQILNSVRSFGKGCEKCEYPKVGAHISQHQVLNSVRNFSKGCEKCKFPKEGTHINKHHNLQTHLSFAKGCEKCEFPKEGTHFKQHRILHMHLRFAKGCEKCEFPKKGTHISRHQNLNSGRRFFKGCEKCEFPKEGTHTKEHQSLQLHLRFAKGCEKCEYPKEGTHISQHQVLNSGRNFAKGCDKCEFPKEGTHINKHRALQTLLSFAKGCEKCEFPKGGTHIQCHQILQYHYRFAMECERCVFPKENSHIKQHQILNAGRNFGKGCEKCEYPKVDAHISQHQILNSSRNFSKGCEKCEFPKEGTHINKHRNLQNLLSFAKGCERCEFPKEGMHIKQHRVLKTLLTFAKRCEKCEFPKEGTHIRCHEILQYHYRFAMECERCVFPKENSHIKQHQILNSGRNFAKGCEKCQYPKVGAHISQHQTLNSSRNFSKGCEKCEFLKKVTHINKHRNLQTPLNFAKGCEKCEFTKEGTHFKQHRTLQIHLQFAKGCEKCKYPKEGTHISQHQILNSGCSFYKGCEKCEYPKHTNHIPSAHTYAPMEEYSIIKQNIYGEQHGQRGEFRSRYESDNRFYFAELGLTKSIQKIVHKPLNIEFIDNEPSIKEPGYKSELDPYWRSTEKSVVIWPEKEQELLTIVDPKSISSGLSILHSYNELMVDRYLTRQDPQLCEWEEQSFDIAVDRFTVSGHVM
+>sp|Q9Y493|ZAN_HUMAN Zonadhesin OS=Homo sapiens OX=9606 GN=ZAN PE=2 SV=4
+MVPPVWTLLLLVGAALFRKEKPPDQKLVVRSSRDNYVLTQCDFEDDAKPLCDWSQVSADDEDWVRASGPSPTGSTGAPGGYPNGEGSYLHMESNSFHRGGVARLLSPDLWEQGPLCVHFAHHMFGLSWGAQLRLLLLSGEEGRRPDVLWKHWNTQRPSWMLTTVTVPAGFTLPTRLMFEGTRGSTAYLDIALDALSIRRGSCNRVCMMQTCSFDIPNDLCDWTWIPTASGAKWTQKKGSSGKPGVGPDGDFSSPGSGCYMLLDPKNARPGQKAVLLSPVSLSSGCLSFSFHYILRGQSPGAALHIYASVLGSIRKHTLFSGQPGPNWQAVSVNYTAVGRIQFAVVGVFGKTPEPAVAVDATSIAPCGEGFPQCDFEDNAHPFCDWVQTSGDGGHWALGHKNGPVHGMGPAGGFPNAGGHYIYLEADEFSQAGQSVRLVSRPFCAPGDICVEFAYHMYGLGEGTMLELLLGSPAGSPPIPLWKRVGSQRPYWQNTSVTVPSGHQQPMQLIFKGIQGSNTASVVAMGFILINPGTCPVKVLPELPPVSPVSSTGPSETTGLTENPTISTKKPTVSIEKPSVTTEKPTVPKEKPTIPTEKPTISTEKPTIPSEKPNMPSEKPTIPSEKPTILTEKPTIPSEKPTIPSEKPTISTEKPTVPTEEPTTPTEETTTSMEEPVIPTEKPSIPTEKPSIPTEKPTISMEETIISTEKPTISPEKPTIPTEKPTIPTEKSTISPEKPTTPTEKPTIPTEKPTISPEKPTTPTEKPTISPEKLTIPTEKPTIPTEKPTIPTEKPTISTEEPTTPTEETTISTEKPSIPMEKPTLPTEETTTSVEETTISTEKLTIPMEKPTISTEKPTIPTEKPTISPEKLTIPTEKLTIPTEKPTIPIEETTISTEKLTIPTEKPTISPEKPTISTEKPTIPTEKPTIPTEETTISTEKLTIPTEKPTISPEKLTIPTEKPTISTEKPTIPTEKLTIPTEKPTIPTEKPTIPTEKLTALRPPHPSPTATGLAALVMSPHAPSTPMTSVILGTTTTSRSSTERCPPNARYESCACPASCKSPRPSCGPLCREGCVCNPGFLFSDNHCIQASSCNCFYNNDYYEPGAEWFSPNCTEHCRCWPGSRVECQISQCGTHTVCQLKNGQYGCHPYAGTATCLVYGDPHYVTFDGRHFGFMGKCTYILAQPCGNSTDPFFRVTAKNEEQGQEGVSCLSKVYVTLPESTVTLLKGRRTLVGGQQVTLPAIPSKGVFLGASGRFVELQTEFGLRVRWDGDQQLYVTVSSTYSGKLCGLCGNYDGNSDNDHLKLDGSPAGDKEELGNSWQTDQDEDQECQKYQVVNSPSCDSSLQSSMSGPGFCGRLVDTHGPFETCLLHVKAASFFDSCMLDMCGFQGLQHLLCTHMSTMTTTCQDAGHAVKPWREPHFCPMACPPNSKYSLCAKPCPDTCHSGFSGMFCSDRCVEACECNPGFVLSGLECIPRSQCGCLHPAGSYFKVGERWYKPGCKELCVCESNNRIRCQPWRCRAQEFCGQQDGIYGCHAQGAATCTASGDPHYLTFDGALHHFMGTCTYVLTRPCWSRSQDSYFVVSATNENRGGILEVSYIKAVHVTVFDLSISLLRGCKVMLNGHRVALPVWLAQGRVTIRLSSNLVLLYTNFGLQVRYDGSHLVEVTVPSSYGGQLCGLCGNYNNNSLDDNLRPDRKLAGDSMQLGAAWKLPESSEPGCFLVGGKPSSCQENSMADAWNKNCAILINPQGPFSQCHQVVPPQSSFASCVHGQCGTKGDTTALCRSLQAYASLCAQAGQAPAWRNRTFCPMRCPPGSSYSPCSSPCPDTCSSINNPRDCPKALPCAESCECQKGHILSGTSCVPLGQCGCTDPAGSYHPVGERWYTENTCTRLCTCSVHNNITCFQSTCKPNQICWALDGLLRCRASGVGVCQLPGESHYVSFDGSNHSIPDACTLVLVKVCHPAMALPFFKISAKHEKEEGGTEAFRLHEVYIDIYDAQVTLQKGHRVLINSKQVTLPAISQIPGVSVKSSSIYSIVNIKIGVQVKFDGNHLLEIEIPTTYYGKVCGMCGNFNDEEEDELMMPSDEVANSDSEFVNSWKDKDIDPSCQSLLVDEQQIPAEQQENPSGNCRAADLRRAREKCEAALRAPVWAQCASRIDLTPFLVDCANTLCEFGGLYQALCQALQAFGATCQSQGLKPPLWRNSSFCPLECPAYSSYTNCLPSCSPSCWDLDGRCEGAKVPSACAEGCICQPGYVLSEDKCVPRSQCGCKDAHGGSIPLGKSWVSSGCTEKCVCTGGAIQCGDFRCPSGSHCQLTSDNSNSNCVSDKSEQCSVYGDPRYLTFDGFSYRLQGRMTYVLIKTVDVLPEGVEPLLVEGRNKMDPPRSSIFLQEVITTVYGYKVQLQAGLELVVNNQKMAVPYRPNEHLRVTLWGQRLYLVTDFELVVSFGGRKNAVISLPSMYEGLVSGLCGNYDKNRKNDMMLPSGALTQNLNTFGNSWEVKTEDALLRFPRAIPAEEEGQGAELGLRTGLQVSECSPEQLASNSTQACRVLADPQGPFAACHQTVAPEPFQEHCVLDLCSAQDPREQEELRCQVLSGHGVSSRYHISELYDTLPSILCQPGRPRGLRGPLRGRLRQHPRLCLQWHPEPPLADCGCTSNGIYYQLGSSFLTEDCSQRCTCASSRILLCEPFSCRAGEVCTLGNHTQGCFPESPCLQNPCQNDGQCREQGATFTCECEVGYGGGLCMEPRDAPPPRKPASNLVAVLLGLLVPVVVVLLAVTRECIYRTRRKREKTQEGDRLARLVDTDTVLDCAC
+>DECOY_sp|Q9Y493|ZAN_HUMAN Zonadhesin OS=Homo sapiens OX=9606 GN=ZAN PE=2 SV=4
+CACDLVTDTDVLRALRDGEQTKERKRRTRYICERTVALLVVVVPVLLGLLVAVLNSAPKRPPPADRPEMCLGGGYGVECECTFTAGQERCQGDNQCPNQLCPSEPFCGQTHNGLTCVEGARCSFPECLLIRSSACTCRQSCDETLFSSGLQYYIGNSTCGCDALPPEPHWQLCLRPHQRLRGRLPGRLGRPRGPQCLISPLTDYLESIHYRSSVGHGSLVQCRLEEQERPDQASCLDLVCHEQFPEPAVTQHCAAFPGQPDALVRCAQTSNSALQEPSCESVQLGTRLGLEAGQGEEEAPIARPFRLLADETKVEWSNGFTNLNQTLAGSPLMMDNKRNKDYNGCLGSVLGEYMSPLSIVANKRGGFSVVLEFDTVLYLRQGWLTVRLHENPRYPVAMKQNNVVLELGAQLQVKYGYVTTIVEQLFISSRPPDMKNRGEVLLPEVGEPLVDVTKILVYTMRGQLRYSFGDFTLYRPDGYVSCQESKDSVCNSNSNDSTLQCHSGSPCRFDGCQIAGGTCVCKETCGSSVWSKGLPISGGHADKCGCQSRPVCKDESLVYGPQCICGEACASPVKAGECRGDLDWCSPSCSPLCNTYSSYAPCELPCFSSNRWLPPKLGQSQCTAGFAQLAQCLAQYLGGFECLTNACDVLFPTLDIRSACQAWVPARLAAECKERARRLDAARCNGSPNEQQEAPIQQEDVLLSQCSPDIDKDKWSNVFESDSNAVEDSPMMLEDEEEDNFNGCMGCVKGYYTTPIEIELLHNGDFKVQVGIKINVISYISSSKVSVGPIQSIAPLTVQKSNILVRHGKQLTVQADYIDIYVEHLRFAETGGEEKEHKASIKFFPLAMAPHCVKVLVLTCADPISHNSGDFSVYHSEGPLQCVGVGSARCRLLGDLAWCIQNPKCTSQFCTINNHVSCTCLRTCTNETYWREGVPHYSGAPDTCGCQGLPVCSTGSLIHGKQCECSEACPLAKPCDRPNNISSCTDPCPSSCPSYSSGPPCRMPCFTRNRWAPAQGAQACLSAYAQLSRCLATTDGKTGCQGHVCSAFSSQPPVVQHCQSFPGQPNILIACNKNWADAMSNEQCSSPKGGVLFCGPESSEPLKWAAGLQMSDGALKRDPRLNDDLSNNNYNGCLGCLQGGYSSPVTVEVLHSGDYRVQLGFNTYLLVLNSSLRITVRGQALWVPLAVRHGNLMVKCGRLLSISLDFVTVHVAKIYSVELIGGRNENTASVVFYSDQSRSWCPRTLVYTCTGMFHHLAGDFTLYHPDGSATCTAAGQAHCGYIGDQQGCFEQARCRWPQCRIRNNSECVCLEKCGPKYWREGVKFYSGAPHLCGCQSRPICELGSLVFGPNCECAEVCRDSCFMGSFGSHCTDPCPKACLSYKSNPPCAMPCFHPERWPKVAHGADQCTTTMTSMHTCLLHQLGQFGCMDLMCSDFFSAAKVHLLCTEFPGHTDVLRGCFGPGSMSSQLSSDCSPSNVVQYKQCEQDEDQDTQWSNGLEEKDGAPSGDLKLHDNDSNGDYNGCLGCLKGSYTSSVTVYLQQDGDWRVRLGFETQLEVFRGSAGLFVGKSPIAPLTVQQGGVLTRRGKLLTVTSEPLTVYVKSLCSVGEQGQEENKATVRFFPDTSNGCPQALIYTCKGMFGFHRGDFTVYHPDGYVLCTATGAYPHCGYQGNKLQCVTHTGCQSIQCEVRSGPWCRCHETCNPSFWEAGPEYYDNNYFCNCSSAQICHNDSFLFGPNCVCGERCLPGCSPRPSKCSAPCACSEYRANPPCRETSSRSTTTTGLIVSTMPTSPAHPSMVLAALGTATPSPHPPRLATLKETPITPKETPITPKETPITLKETPITPKETSITPKETPITLKEPSITPKETPITLKETSITTEETPITPKETPITPKETSITPKEPSITPKETPITLKETSITTEEIPITPKETPITLKETPITLKEPSITPKETPITPKETSITPKEMPITLKETSITTEEVSTTTEETPLTPKEMPISPKETSITTEETPTTPEETSITPKETPITPKETPITPKETPITLKEPSITPKETPTTPKEPSITPKETPITPKETPTTPKEPSITSKETPITPKETPITPKEPSITPKETSIITEEMSITPKETPISPKETPISPKETPIVPEEMSTTTEETPTTPEETPVTPKETSITPKESPITPKESPITPKETLITPKESPITPKESPMNPKESPITPKETSITPKETPITPKEKPVTPKETTVSPKEISVTPKKTSITPNETLGTTESPGTSSVPSVPPLEPLVKVPCTGPNILIFGMAVVSATNSGQIGKFILQMPQQHGSPVTVSTNQWYPRQSGVRKWLPIPPSGAPSGLLLELMTGEGLGYMHYAFEVCIDGPACFPRSVLRVSQGAQSFEDAELYIYHGGANPFGGAPGMGHVPGNKHGLAWHGGDGSTQVWDCFPHANDEFDCQPFGEGCPAISTADVAVAPEPTKGFVGVVAFQIRGVATYNVSVAQWNPGPQGSFLTHKRISGLVSAYIHLAAGPSQGRLIYHFSFSLCGSSLSVPSLLVAKQGPRANKPDLLMYCGSGPSSFDGDPGVGPKGSSGKKQTWKAGSATPIWTWDCLDNPIDFSCTQMMCVRNCSGRRISLADLAIDLYATSGRTGEFMLRTPLTFGAPVTVTTLMWSPRQTNWHKWLVDPRRGEEGSLLLLRLQAGWSLGFMHHAFHVCLPGQEWLDPSLLRAVGGRHFSNSEMHLYSGEGNPYGGPAGTSGTPSPGSARVWDEDDASVQSWDCLPKADDEFDCQTLVYNDRSSRVVLKQDPPKEKRFLAAGVLLLLTWVPPVM
+>sp|P43403|ZAP70_HUMAN Tyrosine-protein kinase ZAP-70 OS=Homo sapiens OX=9606 GN=ZAP70 PE=1 SV=1
+MPDPAAHLPFFYGSISRAEAEEHLKLAGMADGLFLLRQCLRSLGGYVLSLVHDVRFHHFPIERQLNGTYAIAGGKAHCGPAELCEFYSRDPDGLPCNLRKPCNRPSGLEPQPGVFDCLRDAMVRDYVRQTWKLEGEALEQAIISQAPQVEKLIATTAHERMPWYHSSLTREEAERKLYSGAQTDGKFLLRPRKEQGTYALSLIYGKTVYHYLISQDKAGKYCIPEGTKFDTLWQLVEYLKLKADGLIYCLKEACPNSSASNASGAAAPTLPAHPSTLTHPQRRIDTLNSDGYTPEPARITSPDKPRPMPMDTSVYESPYSDPEELKDKKLFLKRDNLLIADIELGCGNFGSVRQGVYRMRKKQIDVAIKVLKQGTEKADTEEMMREAQIMHQLDNPYIVRLIGVCQAEALMLVMEMAGGGPLHKFLVGKREEIPVSNVAELLHQVSMGMKYLEEKNFVHRDLAARNVLLVNRHYAKISDFGLSKALGADDSYYTARSAGKWPLKWYAPECINFRKFSSRSDVWSYGVTMWEALSYGQKPYKKMKGPEVMAFIEQGKRMECPPECPPELYALMSDCWIYKWEDRPDFLTVEQRMRACYYSLASKVEGPPGSTQKAEAACA
+>DECOY_sp|P43403|ZAP70_HUMAN Tyrosine-protein kinase ZAP-70 OS=Homo sapiens OX=9606 GN=ZAP70 PE=1 SV=1
+ACAAEAKQTSGPPGEVKSALSYYCARMRQEVTLFDPRDEWKYIWCDSMLAYLEPPCEPPCEMRKGQEIFAMVEPGKMKKYPKQGYSLAEWMTVGYSWVDSRSSFKRFNICEPAYWKLPWKGASRATYYSDDAGLAKSLGFDSIKAYHRNVLLVNRAALDRHVFNKEELYKMGMSVQHLLEAVNSVPIEERKGVLFKHLPGGGAMEMVLMLAEAQCVGILRVIYPNDLQHMIQAERMMEETDAKETGQKLVKIAVDIQKKRMRYVGQRVSGFNGCGLEIDAILLNDRKLFLKKDKLEEPDSYPSEYVSTDMPMPRPKDPSTIRAPEPTYGDSNLTDIRRQPHTLTSPHAPLTPAAAGSANSASSNPCAEKLCYILGDAKLKLYEVLQWLTDFKTGEPICYKGAKDQSILYHYVTKGYILSLAYTGQEKRPRLLFKGDTQAGSYLKREAEERTLSSHYWPMREHATTAILKEVQPAQSIIAQELAEGELKWTQRVYDRVMADRLCDFVGPQPELGSPRNCPKRLNCPLGDPDRSYFECLEAPGCHAKGGAIAYTGNLQREIPFHHFRVDHVLSLVYGGLSRLCQRLLFLGDAMGALKLHEEAEARSISGYFFPLHAAPDPM
+>sp|P86452|ZBED6_HUMAN Zinc finger BED domain-containing protein 6 OS=Homo sapiens OX=9606 GN=ZBED6 PE=3 SV=1
+MSVCTLSVPVSSLSPGRRCNTFSDSGILGCVPINSNTDEEDVVEEKMVAEGVNKEAKQPAKKKRKKGLRIKGKRRRKKLILAKKFSKDLGSGRPVADAPALLASNDPEQDEESLFESNIEKQIYLPSTRAKTSIVWHFFHVDPQYTWRAICNLCEKSVSRGKPGSHLGTSTLQRHLQARHSPHWTRANKFGVASGEEDFTLDVSLSPSSGSNGSFEYIPTDPLDDNRMGKKHDKSASDALRAERGRFLIKSNIVKHALIPGTRAKTSAVWNFFYTDPQHISRAVCNICKRSVSRGRPGSHLGTSTLQRHLQATHPIHWAVANKDSGAVANGLDEAETERSDLLSDTLHGEKSTGSQDLTAEDLSDSDSDEPMLEVENRSESPIPVAEQGTLMRAQERETTCCGNPVSSHISQAIIQMIVEDMHPYNYFSTPAFQRFMQIVAPDYRLPSETYFFTKAVPQLYDCVREKIFLTLENVQSQKIHLTVDIWTHDPSTDYFIVTVHWVSLETASFLNNGRIPDFRKWAVLCVTGLAKDCLITNILQELNDQIGLWLSPNFLIPSFIVSDNSSNVVHAIKDGGFTHVPCFLHCLNMVIQDFFCEHKSIENMLVAARKTCHHFSHSVKARQILQEFQNDHQLPWKNLKQDETGHWISTFYMLKWLLEHCYSVHHSLGRASGVVLTSLQWTLMTYVCDILKPFEEATQKVSVKTAGLNQVLPLIHHLLLSLQKLREDFQVRGITQALNLVDSLSLKLETDTLLSAMLKSKPCILATLLDPCFKNSLEDFFPQGADLETYKQFLAEEVCNYMESSPEICQIPTSEASCPSVTVGADSFTSSLKEGTSSSGSVDSSAVDNVALGSKSFMFPSAVAVVDEYFKEKYSEFSGGDDPLIYWQRKISIWPALTQVAIQYLSCPMCSWQSECIFTKNSHFHPKQIMSLDFDNIEQLMFLKMNLKNVNYDYSTLVLSWDPEQNEVVQSSEKEILP
+>DECOY_sp|P86452|ZBED6_HUMAN Zinc finger BED domain-containing protein 6 OS=Homo sapiens OX=9606 GN=ZBED6 PE=3 SV=1
+PLIEKESSQVVENQEPDWSLVLTSYDYNVNKLNMKLFMLQEINDFDLSMIQKPHFHSNKTFICESQWSCMPCSLYQIAVQTLAPWISIKRQWYILPDDGGSFESYKEKFYEDVVAVASPFMFSKSGLAVNDVASSDVSGSSSTGEKLSSTFSDAGVTVSPCSAESTPIQCIEPSSEMYNCVEEALFQKYTELDAGQPFFDELSNKFCPDLLTALICPKSKLMASLLTDTELKLSLSDVLNLAQTIGRVQFDERLKQLSLLLHHILPLVQNLGATKVSVKQTAEEFPKLIDCVYTMLTWQLSTLVVGSARGLSHHVSYCHELLWKLMYFTSIWHGTEDQKLNKWPLQHDNQFEQLIQRAKVSHSFHHCTKRAAVLMNEISKHECFFDQIVMNLCHLFCPVHTFGGDKIAHVVNSSNDSVIFSPILFNPSLWLGIQDNLEQLINTILCDKALGTVCLVAWKRFDPIRGNNLFSATELSVWHVTVIFYDTSPDHTWIDVTLHIKQSQVNELTLFIKERVCDYLQPVAKTFFYTESPLRYDPAVIQMFRQFAPTSFYNYPHMDEVIMQIIAQSIHSSVPNGCCTTEREQARMLTGQEAVPIPSESRNEVELMPEDSDSDSLDEATLDQSGTSKEGHLTDSLLDSRETEAEDLGNAVAGSDKNAVAWHIPHTAQLHRQLTSTGLHSGPRGRSVSRKCINCVARSIHQPDTYFFNWVASTKARTGPILAHKVINSKILFRGREARLADSASKDHKKGMRNDDLPDTPIYEFSGNSGSSPSLSVDLTFDEEGSAVGFKNARTWHPSHRAQLHRQLTSTGLHSGPKGRSVSKECLNCIARWTYQPDVHFFHWVISTKARTSPLYIQKEINSEFLSEEDQEPDNSALLAPADAVPRGSGLDKSFKKALILKKRRRKGKIRLGKKRKKKAPQKAEKNVGEAVMKEEVVDEEDTNSNIPVCGLIGSDSFTNCRRGPSLSSVPVSLTCVSM
+>sp|O95625|ZBT11_HUMAN Zinc finger and BTB domain-containing protein 11 OS=Homo sapiens OX=9606 GN=ZBTB11 PE=1 SV=2
+MSSEESYRAILRYLTNEREPYAPGTEGNVKRKIRKAAACYVVRGGTLYYQRRQRHRKTFAELEVVLQPERRRDLIEAAHLGPGGTHHTRHQTWHYLSKTYWWRGILKQVKDYIKQCSKCQEKLDRSRPISDVSEMLEELGLDLESGEESNESEDDLSNFTSSPTTASKPAKKKPVSKHELVFVDTKGVVKRSSPKHCQAVLKQLNEQRLSNQFCDVTLLIEGEEYKAHKSVLSANSEYFRDLFIEKGAVSSHEAVVDLSGFCKASFLPLLEFAYTSVLSFDFCSMADVAILARHLFMSEVLEICESVHKLMEEKQLTVYKKGEVQTVASTQDLRVQNGGTAPPVASSEGTTTSLPTELGDCEIVLLVNGELPEAEQNGEVGRQPEPQVSSEAESALSSVGCIADSHPEMESVDLITKNNQTELETSNNRENNTVSNIHPKLSKENVISSSPEDSGMGNDISAEDICAEDIPKHRQKVDQPLKDQENLVASTAKTDFGPDDDTYRSRLRQRSVNEGAYIRLHKGMEKKLQKRKAVPKSAVQQVAQKLVQRGKKMKQPKRDAKENTEEASHKCGECGMVFQRRYALIMHKLKHERARDYKCPLCKKQFQYSASLRAHLIRHTRKDAPSSSSSNSTSNEASGTSSEKGRTKREFICSICGRTLPKLYSLRIHMLKHTGVKPHACQVCGKTFIYKHGLKLHQSLHQSQKQFQCELCVKSFVTKRSLQEHMSIHTGESKYLCSVCGKSFHRGSGLSKHFKKHQPKPEVRGYHCTQCEKSFFEARDLRQHMNKHLGVKPFQCQFCDKCYSWKKDWYSHVKSHSVTEPYRCNICGKEFYEKALFRRHVKKATHGKKGRAKQNLERVCEKCGRKFTQLREYRRHMNNHEGVKPFECLTCGVAWADARSLKRHVRTHTGERPYVCPVCSEAYIDARTLRKHMTKFHRDYVPCKIMLEKDTLQFHNQGTQVAHAVSILTAGMQEQESSGPQELETVVVTGETMEALEAVAATEEYPSVSTLSDQSIMQVVNYVLAQQQGQKLSEVAEAIQTVKVEVAHISGGE
+>DECOY_sp|O95625|ZBT11_HUMAN Zinc finger and BTB domain-containing protein 11 OS=Homo sapiens OX=9606 GN=ZBTB11 PE=1 SV=2
+EGGSIHAVEVKVTQIAEAVESLKQGQQQALVYNVVQMISQDSLTSVSPYEETAAVAELAEMTEGTVVVTELEQPGSSEQEQMGATLISVAHAVQTGQNHFQLTDKELMIKCPVYDRHFKTMHKRLTRADIYAESCVPCVYPREGTHTRVHRKLSRADAWAVGCTLCEFPKVGEHNNMHRRYERLQTFKRGCKECVRELNQKARGKKGHTAKKVHRRFLAKEYFEKGCINCRYPETVSHSKVHSYWDKKWSYCKDCFQCQFPKVGLHKNMHQRLDRAEFFSKECQTCHYGRVEPKPQHKKFHKSLGSGRHFSKGCVSCLYKSEGTHISMHEQLSRKTVFSKVCLECQFQKQSQHLSQHLKLGHKYIFTKGCVQCAHPKVGTHKLMHIRLSYLKPLTRGCISCIFERKTRGKESSTGSAENSTSNSSSSSPADKRTHRILHARLSASYQFQKKCLPCKYDRAREHKLKHMILAYRRQFVMGCEGCKHSAEETNEKADRKPQKMKKGRQVLKQAVQQVASKPVAKRKQLKKEMGKHLRIYAGENVSRQRLRSRYTDDDPGFDTKATSAVLNEQDKLPQDVKQRHKPIDEACIDEASIDNGMGSDEPSSSIVNEKSLKPHINSVTNNERNNSTELETQNNKTILDVSEMEPHSDAICGVSSLASEAESSVQPEPQRGVEGNQEAEPLEGNVLLVIECDGLETPLSTTTGESSAVPPATGGNQVRLDQTSAVTQVEGKKYVTLQKEEMLKHVSECIELVESMFLHRALIAVDAMSCFDFSLVSTYAFELLPLFSAKCFGSLDVVAEHSSVAGKEIFLDRFYESNASLVSKHAKYEEGEILLTVDCFQNSLRQENLQKLVAQCHKPSSRKVVGKTDVFVLEHKSVPKKKAPKSATTPSSTFNSLDDESENSEEGSELDLGLEELMESVDSIPRSRDLKEQCKSCQKIYDKVQKLIGRWWYTKSLYHWTQHRTHHTGGPGLHAAEILDRRREPQLVVELEAFTKRHRQRRQYYLTGGRVVYCAAAKRIKRKVNGETGPAYPERENTLYRLIARYSEESSM
+>sp|Q9ULJ3|ZBT21_HUMAN Zinc finger and BTB domain-containing protein 21 OS=Homo sapiens OX=9606 GN=ZBTB21 PE=1 SV=2
+MEGLLHYINPAHAISLLSALNEERLKGQLCDVLLIVGDQKFRAHKNVLAASSEYFQSLFTNKENESQTVFQLDFCEPDAFDNVLNYIYSSSLFVEKSSLAAVQELGYSLGISFLTNIVSKTPQAPFPTCPNRKKVFVEDDENSSQKRSVIVCQSRNEAQGKTVSQNQPDVSHTSRPSPSIAVKANTNKPHVPKPIEPLHNLSLTEKSWPKDSSVVYAKSLEHSGSLDDPNRISLVKRNAVLPSKPLQDREAMDDKPGVSGQLPKGKALELALKRPRPPVLSVCSSSETPYLLKETNKGNGQGEDRNLLYYSKLGLVIPSSGSGSGNQSIDRSGPLVKSLLRRSLSMDSQVPVYSPSIDLKSSQGSSSVSSDAPGNVLCALSQKSSLKDCSEKTALDDRPQVLQPHRLRSFSASQSTDREGASPVTEVRIKTEPSSPLSDPSDIIRVTVGDAATTAAASSSSVTRDLSLKTEDDQKDMSRLPAKRRFQADRRLPFKKLKVNEHGSPVSEDNFEEGSSPTLLDADFPDSDLNKDEFGELEGTRPNKKFKCKHCLKIFRSTAGLHRHVNMYHNPEKPYACDICHKRFHTNFKVWTHCQTQHGIVKNPSPASSSHAVLDEKFQRKLIDIVREREIKKALIIKLRRGKPGFQGQSSSQAQQVIKRNLRSRAKGAYICTYCGKAYRFLSQFKQHIKMHPGEKPLGVNKVAKPKEHAPLASPVENKEVYQCRLCNAKLSSLLEQGSHERLCRNAAVCPYCSLRFFSPELKQEHESKCEYKKLTCLECMRTFKSSFSIWRHQVEVHNQNNMAPTENFSLPVLDHNGDVTGSSRPQSQPEPNKVNHIVTTKDDNVFSDSSEQVNFDSEDSSCLPEDLSLSKQLKIQVKEEPVEEAEEEAPEASTAPKEAGPSKEASLWPCEKCGKMFTVHKQLERHQELLCSVKPFICHVCNKAFRTNFRLWSHFQSHMSQASEESAHKESEVCPVPTNSPSPPPLPPPPPLPKIQPLEPDSPTGLSENPTPATEKLFVPQESDTLFYHAPPLSAITFKRQFMCKLCHRTFKTAFSLWSHEQTHN
+>DECOY_sp|Q9ULJ3|ZBT21_HUMAN Zinc finger and BTB domain-containing protein 21 OS=Homo sapiens OX=9606 GN=ZBTB21 PE=1 SV=2
+NHTQEHSWLSFATKFTRHCLKCMFQRKFTIASLPPAHYFLTDSEQPVFLKETAPTPNESLGTPSDPELPQIKPLPPPPPLPPPSPSNTPVPCVESEKHASEESAQSMHSQFHSWLRFNTRFAKNCVHCIFPKVSCLLEQHRELQKHVTFMKGCKECPWLSAEKSPGAEKPATSAEPAEEEAEEVPEEKVQIKLQKSLSLDEPLCSSDESDFNVQESSDSFVNDDKTTVIHNVKNPEPQSQPRSSGTVDGNHDLVPLSFNETPAMNNQNHVEVQHRWISFSSKFTRMCELCTLKKYECKSEHEQKLEPSFFRLSCYPCVAANRCLREHSGQELLSSLKANCLRCQYVEKNEVPSALPAHEKPKAVKNVGLPKEGPHMKIHQKFQSLFRYAKGCYTCIYAGKARSRLNRKIVQQAQSSSQGQFGPKGRRLKIILAKKIERERVIDILKRQFKEDLVAHSSSAPSPNKVIGHQTQCHTWVKFNTHFRKHCIDCAYPKEPNHYMNVHRHLGATSRFIKLCHKCKFKKNPRTGELEGFEDKNLDSDPFDADLLTPSSGEEFNDESVPSGHENVKLKKFPLRRDAQFRRKAPLRSMDKQDDETKLSLDRTVSSSSAAATTAADGVTVRIIDSPDSLPSSPETKIRVETVPSAGERDTSQSASFSRLRHPQLVQPRDDLATKESCDKLSSKQSLACLVNGPADSSVSSSGQSSKLDISPSYVPVQSDMSLSRRLLSKVLPGSRDISQNGSGSGSSPIVLGLKSYYLLNRDEGQGNGKNTEKLLYPTESSSCVSLVPPRPRKLALELAKGKPLQGSVGPKDDMAERDQLPKSPLVANRKVLSIRNPDDLSGSHELSKAYVVSSDKPWSKETLSLNHLPEIPKPVHPKNTNAKVAISPSPRSTHSVDPQNQSVTKGQAENRSQCVIVSRKQSSNEDDEVFVKKRNPCTPFPAQPTKSVINTLFSIGLSYGLEQVAALSSKEVFLSSSYIYNLVNDFADPECFDLQFVTQSENEKNTFLSQFYESSAALVNKHARFKQDGVILLVDCLQGKLREENLASLLSIAHAPNIYHLLGEM
+>sp|O43167|ZBT24_HUMAN Zinc finger and BTB domain-containing protein 24 OS=Homo sapiens OX=9606 GN=ZBTB24 PE=1 SV=2
+MAETSPEPSGQLVVHSDAHSDTVLASFEDQRKKGFLCDITLIVENVHFRAHKALLAASSEYFSMMFAEEGEIGQSIYMLEGMVADTFGILLEFIYTGYLHASEKSTEQILATAQFLKVYDLVKAYTDFQNNHSSPKPTTLNTAGAPVVVISNKKNDPPKRKRGRPKKVNTLQEEKSELAAEEEIQLRVNNSVQNRQNFVVKGDSGVLNEQIAAKEKEESEPTCEPSREEEMPVEKDENYDPKTEDGQASQSRYSKRRIWRSVKLKDYKLVGDQEDHGSAKRICGRRKRPGGPEARCKDCGKVFKYNHFLAIHQRSHTGERPFKCNECGKGFAQKHSLQVHTRMHTGERPYTCTVCSKALTTKHSLLEHMSLHSGQKSFTCDQCGKYFSQNRQLKSHYRVHTGHSLPECKDCHRKFMDVSQLKKHLRTHTGEKPFTCEICGKSFTAKSSLQTHIRIHRGEKPYSCGICGKSFSDSSAKRRHCILHTGKKPFSCPECNLQFARLDNLKAHLKIHSKEKHASDASSISGSSNTEEVRNILQLQPYQLSTSGEQEIQLLVTDSVHNINFMPGPSQGISIVTAESSQNMTADQAANLTLLTQQPEQLQNLILSAQQEQTEHIQSLNMIESQMGPSQTEPVHVITLSKETLEHLHAHQEQTEELHLATSTSDPAQHLQLTQEPGPPPPTHHVPQPTPLGQEQS
+>DECOY_sp|O43167|ZBT24_HUMAN Zinc finger and BTB domain-containing protein 24 OS=Homo sapiens OX=9606 GN=ZBTB24 PE=1 SV=2
+SQEQGLPTPQPVHHTPPPPGPEQTLQLHQAPDSTSTALHLEETQEQHAHLHELTEKSLTIVHVPETQSPGMQSEIMNLSQIHETQEQQASLILNQLQEPQQTLLTLNAAQDATMNQSSEATVISIGQSPGPMFNINHVSDTVLLQIEQEGSTSLQYPQLQLINRVEETNSSGSISSADSAHKEKSHIKLHAKLNDLRAFQLNCEPCSFPKKGTHLICHRRKASSDSFSKGCIGCSYPKEGRHIRIHTQLSSKATFSKGCIECTFPKEGTHTRLHKKLQSVDMFKRHCDKCEPLSHGTHVRYHSKLQRNQSFYKGCQDCTFSKQGSHLSMHELLSHKTTLAKSCVTCTYPREGTHMRTHVQLSHKQAFGKGCENCKFPREGTHSRQHIALFHNYKFVKGCDKCRAEPGGPRKRRGCIRKASGHDEQDGVLKYDKLKVSRWIRRKSYRSQSAQGDETKPDYNEDKEVPMEEERSPECTPESEEKEKAAIQENLVGSDGKVVFNQRNQVSNNVRLQIEEEAALESKEEQLTNVKKPRGRKRKPPDNKKNSIVVVPAGATNLTTPKPSSHNNQFDTYAKVLDYVKLFQATALIQETSKESAHLYGTYIFELLIGFTDAVMGELMYISQGIEGEEAFMMSFYESSAALLAKHARFHVNEVILTIDCLFGKKRQDEFSALVTDSHADSHVVLQGSPEPSTEAM
+>sp|Q9Y2Y4|ZBT32_HUMAN Zinc finger and BTB domain-containing protein 32 OS=Homo sapiens OX=9606 GN=ZBTB32 PE=1 SV=1
+MSLPPIRLPSPYGSDRLVQLAARLRPALCDTLITVGSQEFPAHSLVLAGVSQQLGRRGQWALGEGISPSTFAQLLNFVYGESVELQPGELRPLQEAARALGVQSLEEACWRARGDRAKKPDPGLKKHQEEPEKPSRNPERELGDPGEKQKPEQVSRTGGREQEMLHKHSPPRGRPEMAGATQEAQQEQTRSKEKRLQAPVGQRGADGKHGVLTWLRENPGGSEESLRKLPGPLPPAGSLQTSVTPRPSWAEAPWLVGGQPALWSILLMPPRYGIPFYHSTPTTGAWQEVWREQRIPLSLNAPKGLWSQNQLASSSPTPGSLPQGPAQLSPGEMEESDQGHTGALATCAGHEDKAGCPPRPHPPPAPPARSRPYACSVCGKRFSLKHQMETHYRVHTGEKPFSCSLCPQRSRDFSAMTKHLRTHGAAPYRCSLCGAGCPSLASMQAHMRGHSPSQLPPGWTIRSTFLYSSSRPSRPSTSPCCPSSSTT
+>DECOY_sp|Q9Y2Y4|ZBT32_HUMAN Zinc finger and BTB domain-containing protein 32 OS=Homo sapiens OX=9606 GN=ZBTB32 PE=1 SV=1
+TTSSSPCCPSTSPRSPRSSSYLFTSRITWGPPLQSPSHGRMHAQMSALSPCGAGCLSCRYPAAGHTRLHKTMASFDRSRQPCLSCSFPKEGTHVRYHTEMQHKLSFRKGCVSCAYPRSRAPPAPPPHPRPPCGAKDEHGACTALAGTHGQDSEEMEGPSLQAPGQPLSGPTPSSSALQNQSWLGKPANLSLPIRQERWVEQWAGTTPTSHYFPIGYRPPMLLISWLAPQGGVLWPAEAWSPRPTVSTQLSGAPPLPGPLKRLSEESGGPNERLWTLVGHKGDAGRQGVPAQLRKEKSRTQEQQAEQTAGAMEPRGRPPSHKHLMEQERGGTRSVQEPKQKEGPDGLEREPNRSPKEPEEQHKKLGPDPKKARDGRARWCAEELSQVGLARAAEQLPRLEGPQLEVSEGYVFNLLQAFTSPSIGEGLAWQGRRGLQQSVGALVLSHAPFEQSGVTILTDCLAPRLRAALQVLRDSGYPSPLRIPPLSM
+>sp|O95365|ZBT7A_HUMAN Zinc finger and BTB domain-containing protein 7A OS=Homo sapiens OX=9606 GN=ZBTB7A PE=1 SV=1
+MAGGVDGPIGIPFPDHSSDILSGLNEQRTQGLLCDVVILVEGREFPTHRSVLAACSQYFKKLFTSGAVVDQQNVYEIDFVSAEALTALMDFAYTATLTVSTANVGDILSAARLLEIPAVSHVCADLLDRQILAADAGADAGQLDLVDQIDQRNLLRAKEYLEFFQSNPMNSLPPAAAAAAASFPWSAFGASDDDLDATKEAVAAAVAAVAAGDCNGLDFYGPGPPAERPPTGDGDEGDSNPGLWPERDEDAPTGGLFPPPVAPPAATQNGHYGRGGEEEAASLSEAAPEPGDSPGFLSGAAEGEDGDGPDVDGLAASTLLQQMMSSVGRAGAAAGDSDEESRADDKGVMDYYLKYFSGAHDGDVYPAWSQKVEKKIRAKAFQKCPICEKVIQGAGKLPRHIRTHTGEKPYECNICKVRFTRQDKLKVHMRKHTGEKPYLCQQCGAAFAHNYDLKNHMRVHTGLRPYQCDSCCKTFVRSDHLHRHLKKDGCNGVPSRRGRKPRVRGGAPDPSPGATATPGAPAQPSSPDARRNGQEKHFKDEDEDEDVASPDGLGRLNVAGAGGGGDSGGGPGAATDGNFTAGLA
+>DECOY_sp|O95365|ZBT7A_HUMAN Zinc finger and BTB domain-containing protein 7A OS=Homo sapiens OX=9606 GN=ZBTB7A PE=1 SV=1
+ALGATFNGDTAAGPGGGSDGGGGAGAVNLRGLGDPSAVDEDEDEDKFHKEQGNRRADPSSPQAPAGPTATAGPSPDPAGGRVRPKRGRRSPVGNCGDKKLHRHLHDSRVFTKCCSDCQYPRLGTHVRMHNKLDYNHAFAAGCQQCLYPKEGTHKRMHVKLKDQRTFRVKCINCEYPKEGTHTRIHRPLKGAGQIVKECIPCKQFAKARIKKEVKQSWAPYVDGDHAGSFYKLYYDMVGKDDARSEEDSDGAAAGARGVSSMMQQLLTSAALGDVDPGDGDEGEAAGSLFGPSDGPEPAAESLSAAEEEGGRGYHGNQTAAPPAVPPPFLGGTPADEDREPWLGPNSDGEDGDGTPPREAPPGPGYFDLGNCDGAAVAAVAAAVAEKTADLDDDSAGFASWPFSAAAAAAAPPLSNMPNSQFFELYEKARLLNRQDIQDVLDLQGADAGADAALIQRDLLDACVHSVAPIELLRAASLIDGVNATSVTLTATYAFDMLATLAEASVFDIEYVNQQDVVAGSTFLKKFYQSCAALVSRHTPFERGEVLIVVDCLLGQTRQENLGSLIDSSHDPFPIGIPGDVGGAM
+>sp|Q96BR9|ZBT8A_HUMAN Zinc finger and BTB domain-containing protein 8A OS=Homo sapiens OX=9606 GN=ZBTB8A PE=1 SV=2
+MEISSHQSHLLQQLNEQRRQDVFCDCSILVEGKVFKAHRNVLFASSGYFKMLLSQNSKETSQPTTATFQAFSPDTFTVILDFVYSGKLSLTGQNVIEVMSAASFLQMTDVISVCKTFIKSSLDISEKEKDRYFSLSDKDANSNGVERSSFYSGGWQEGSSSPRSHLSPEQGTGIISGKSWNKYNYHPASQKNTQQPLAKHEPRKESIKKTKHLRLSQPSEVTHYKSSKREVRTSDSSSHVSQSEEQAQIDAEMDSTPVGYQYGQGSDVTSKSFPDDLPRMRFKCPYCTHVVKRKADLKRHLRCHTGERPYPCQACGKRFSRLDHLSSHFRTIHQACKLICRKCKRHVTDLTGQVVQEGTRRYRLCNECLAEFGIDSLPIDLEAEQHLMSPSDGDKDSRWHLSEDENRSYVEIVEDGSGDLVIQQVDDSEEEEEKEIKPNIR
+>DECOY_sp|Q96BR9|ZBT8A_HUMAN Zinc finger and BTB domain-containing protein 8A OS=Homo sapiens OX=9606 GN=ZBTB8A PE=1 SV=2
+RINPKIEKEEEEESDDVQQIVLDGSGDEVIEVYSRNEDESLHWRSDKDGDSPSMLHQEAELDIPLSDIGFEALCENCLRYRRTGEQVVQGTLDTVHRKCKRCILKCAQHITRFHSSLHDLRSFRKGCAQCPYPREGTHCRLHRKLDAKRKVVHTCYPCKFRMRPLDDPFSKSTVDSGQGYQYGVPTSDMEADIQAQEESQSVHSSSDSTRVERKSSKYHTVESPQSLRLHKTKKISEKRPEHKALPQQTNKQSAPHYNYKNWSKGSIIGTGQEPSLHSRPSSSGEQWGGSYFSSREVGNSNADKDSLSFYRDKEKESIDLSSKIFTKCVSIVDTMQLFSAASMVEIVNQGTLSLKGSYVFDLIVTFTDPSFAQFTATTPQSTEKSNQSLLMKFYGSSAFLVNRHAKFVKGEVLISCDCFVDQRRQENLQQLLHSQHSSIEM
+>sp|Q9H5J0|ZBTB3_HUMAN Zinc finger and BTB domain-containing protein 3 OS=Homo sapiens OX=9606 GN=ZBTB3 PE=1 SV=1
+MLREFSKWGVEASPGKAWERKRSLLRGAVGRYRGATGGDLFWAPFPSWGTMEFPEHSQQLLQSLREQRSQGFLCDCTVMVGSTQFLAHRAVLASCSPFFQLFYKERELDKRDLVCIHNEIVTAPAFGLLLDFMYAGQLTLRGDTPVEDVLAAASYLHMNDIVKVCKRRLQARALAEADSTKKEEETNSQLPSLEFLSSTSRGTQPSLASAETSGHWGKGEWKGSAAPSPTVRPPDEPPMSSGADTTQPGMEVDAPHLRAPHPPVADVSLASPSSSTETIPTNYFSSGISAVSLEPLPSLDVGPESLRVVEPKDPGGPLQGFYPPASAPTSAPAPVSAPVPSQAPAPAEAELVQVKVEAIVISDEETDVSDEQPQGPERAFPSGGAVYGAQPSQPEAFEDPGAAGLEEVGPSDHFLPTDPHLPYHLLPGAGQYHRGLVTSPLPAPASLHEPLYLSSEYEAAPGSFGVFTEDVPTCKTCGKTFSCSYTLRRHATVHTRERPYECRYCLRSYTQSGDLYRHIRKAHNEDLAKRSKPDPEVGPLLGVQPLPGSPTADRQSSSGGGPPKDFVLAPKTNI
+>DECOY_sp|Q9H5J0|ZBTB3_HUMAN Zinc finger and BTB domain-containing protein 3 OS=Homo sapiens OX=9606 GN=ZBTB3 PE=1 SV=1
+INTKPALVFDKPPGGGSSSQRDATPSGPLPQVGLLPGVEPDPKSRKALDENHAKRIHRYLDGSQTYSRLCYRCEYPRERTHVTAHRRLTYSCSFTKGCTKCTPVDETFVGFSGPAAEYESSLYLPEHLSAPAPLPSTVLGRHYQGAGPLLHYPLHPDTPLFHDSPGVEELGAAGPDEFAEPQSPQAGYVAGGSPFAREPGQPQEDSVDTEEDSIVIAEVKVQVLEAEAPAPAQSPVPASVPAPASTPASAPPYFGQLPGGPDKPEVVRLSEPGVDLSPLPELSVASIGSSFYNTPITETSSSPSALSVDAVPPHPARLHPADVEMGPQTTDAGSSMPPEDPPRVTPSPAASGKWEGKGWHGSTEASALSPQTGRSTSSLFELSPLQSNTEEEKKTSDAEALARAQLRRKCVKVIDNMHLYSAAALVDEVPTDGRLTLQGAYMFDLLLGFAPATVIENHICVLDRKDLEREKYFLQFFPSCSALVARHALFQTSGVMVTCDCLFGQSRQERLSQLLQQSHEPFEMTGWSPFPAWFLDGGTAGRYRGVAGRLLSRKREWAKGPSAEVGWKSFERLM
+>sp|Q9P1Z0|ZBTB4_HUMAN Zinc finger and BTB domain-containing protein 4 OS=Homo sapiens OX=9606 GN=ZBTB4 PE=1 SV=3
+MPPPAEVTDPSHAPAVLRQLNEQRLRGLFCDVTLIAGDTKFPAHRSVLAASSPFFREALLTSAPLPLPPATGGAAPNPATTTAASSSSSSSSSSSSSSSSASSSSSSSSSSPPPASPPASSPPRVLELPGVPAAAFSDVLNFIYSARLALPGGGGDGAAVAEIGALGRRLGISRLQGLGEGGDAWVPPTPAPMATSQPEEDSFGPGPRPAGEWEGDRAEAQAPDLQCSLPRRPLPCPQCGKSFIHPKRLQTHEAQCRRGASTRGSTGLGAGGAGPGGPAGVDASALPPPVGFRGGPEHVVKVVGGHVLYVCAACERSYVTLSSLKRHSNVHSWRRKYPCRYCEKVFALAEYRTKHEVWHTGERRYQCIFCWETFVTYYNLKTHQRAFHGISPGLLASEKTPNGGYKPKLNTLKLYRLLPMRAAKRPYKTYSQGAPEAPLSPTLNTPAPVAMPASPPPGPPPAPEPGPPPSVITFAHPAPSVIVHGGSSSGGGGSGTASTGGSQAASVITYTAPPRPPKKREYPPPPPEPAATPTSPATAVSPATAAGPAMATTTEEAKGRNPRAGRTLTYTAKPVGGIGGGGGPPTGAGRGPSQLQAPPPLCQITVRIGEEAIVKRRISETDLRPGELSGEEMEESEEDEEEEDEEEEEEDEEESKAGGEDQLWRPYYSYKPKRKAGAAGGASVGGSGLPRGRRPPRWRQKLERRSWEETPAAESPAGRARTERRHRCGDCAQTFTTLRKLRKHQEAHGGGSHSSRAGRRPSTRFTCPHCAKVCKTAAALSRHGQRHAAERPGGTPTPVIAYSKGSAGTRPGDVKEEAPQEMQVSSSSGEAGGGSTAAEEASETASLQDPIISGGEEPPVVASGGSYVYPPVQEFPLALIGGGREPGGGRGKSGSEGPVGAGEGDRMEGIGAAKVTFYPEPYPLVYGPQLLAAYPYNFSNLAALPVALNMVLPDEKGAGALPFLPGVFGYAVNPQAAPPAPPTPPPPTLPPPIPPKGEGERAGVERTQKGDVG
+>DECOY_sp|Q9P1Z0|ZBTB4_HUMAN Zinc finger and BTB domain-containing protein 4 OS=Homo sapiens OX=9606 GN=ZBTB4 PE=1 SV=3
+GVDGKQTREVGAREGEGKPPIPPPLTPPPPTPPAPPAAQPNVAYGFVGPLFPLAGAGKEDPLVMNLAVPLAALNSFNYPYAALLQPGYVLPYPEPYFTVKAAGIGEMRDGEGAGVPGESGSKGRGGGPERGGGILALPFEQVPPYVYSGGSAVVPPEEGGSIIPDQLSATESAEEAATSGGGAEGSSSSVQMEQPAEEKVDGPRTGASGKSYAIVPTPTGGPREAAHRQGHRSLAAATKCVKACHPCTFRTSPRRGARSSHSGGGHAEQHKRLKRLTTFTQACDGCRHRRETRARGAPSEAAPTEEWSRRELKQRWRPPRRGRPLGSGGVSAGGAAGAKRKPKYSYYPRWLQDEGGAKSEEEDEEEEEEDEEEEDEESEEMEEGSLEGPRLDTESIRRKVIAEEGIRVTIQCLPPPAQLQSPGRGAGTPPGGGGGIGGVPKATYTLTRGARPNRGKAEETTTAMAPGAATAPSVATAPSTPTAAPEPPPPPYERKKPPRPPATYTIVSAAQSGGTSATGSGGGGSSSGGHVIVSPAPHAFTIVSPPPGPEPAPPPGPPPSAPMAVPAPTNLTPSLPAEPAGQSYTKYPRKAARMPLLRYLKLTNLKPKYGGNPTKESALLGPSIGHFARQHTKLNYYTVFTEWCFICQYRREGTHWVEHKTRYEALAFVKECYRCPYKRRWSHVNSHRKLSSLTVYSRECAACVYLVHGGVVKVVHEPGGRFGVPPPLASADVGAPGGPGAGGAGLGTSGRTSAGRRCQAEHTQLRKPHIFSKGCQPCPLPRRPLSCQLDPAQAEARDGEWEGAPRPGPGFSDEEPQSTAMPAPTPPVWADGGEGLGQLRSIGLRRGLAGIEAVAAGDGGGGPLALRASYIFNLVDSFAAAPVGPLELVRPPSSAPPSAPPPSSSSSSSSSSASSSSSSSSSSSSSSSSAATTTAPNPAAGGTAPPLPLPASTLLAERFFPSSAALVSRHAPFKTDGAILTVDCFLGRLRQENLQRLVAPAHSPDTVEAPPPM
+>sp|O75152|ZC11A_HUMAN Zinc finger CCCH domain-containing protein 11A OS=Homo sapiens OX=9606 GN=ZC3H11A PE=1 SV=3
+MPNQGEDCYFFFYSTCTKGDSCPFRHCEAAIGNETVCTLWQEGRCFRQVCRFRHMEIDKKRSEIPCYWENQPTGCQKLNCAFHHNRGRYVDGLFLPPSKTVLPTVPESPEEEVKASQLSVQQNKLSVQSNPSPQLRSVMKVESSENVPSPTHPPVVINAADDDEDDDDQFSEEGDETKTPTLQPTPEVHNGLRVTSVRKPAVNIKQGECLNFGIKTLEEIKSKKMKEKSKKQGEGSSGVSSLLLHPEPVPGPEKENVRTVVRTVTLSTKQGEEPLVRLSLTERLGKRKFSAGGDSDPPLKRSLAQRLGKKVEAPETNIDKTPKKAQVSKSLKERLGMSADPDNEDATDKVNKVGEIHVKTLEEILLERASQKRGELQTKLKTEGPSKTDDSTSGARSSSTIRIKTFSEVLAEKKHRQQEAERQKSKKDTTCIKLKIDSEIKKTVVLPPIVASRGQSEEPAGKTKSMQEVHIKTLEEIKLEKALRVQQSSESSTSSPSQHEATPGARRLLRITKRTGMKEEKNLQEGNEVDSQSSIRTEAKEASGETTGVDITKIQVKRCETMREKHMQKQQEREKSVLTPLRGDVASCNTQVAEKPVLTAVPGITRHLTKRLPTKSSQKVEVETSGIGDSLLNVKCAAQTLEKRGKAKPKVNVKPSVVKVVSSPKLAPKRKAVEMHAAVIAAVKPLSSSSVLQEPPAKKAAVAVVPLVSEDKSVTVPEAENPRDSLVLPPTQSSSDSSPPEVSGPSSSQMSMKTRRLSSASTGKPPLSVEDDFEKLIWEISGGKLEAEIDLDPGKDEDDLLLELSEMIDS
+>DECOY_sp|O75152|ZC11A_HUMAN Zinc finger CCCH domain-containing protein 11A OS=Homo sapiens OX=9606 GN=ZC3H11A PE=1 SV=3
+SDIMESLELLLDDEDKGPDLDIEAELKGGSIEWILKEFDDEVSLPPKGTSASSLRRTKMSMQSSSPGSVEPPSSDSSSQTPPLVLSDRPNEAEPVTVSKDESVLPVVAVAAKKAPPEQLVSSSSLPKVAAIVAAHMEVAKRKPALKPSSVVKVVSPKVNVKPKAKGRKELTQAACKVNLLSDGIGSTEVEVKQSSKTPLRKTLHRTIGPVATLVPKEAVQTNCSAVDGRLPTLVSKEREQQKQMHKERMTECRKVQIKTIDVGTTEGSAEKAETRISSQSDVENGEQLNKEEKMGTRKTIRLLRRAGPTAEHQSPSSTSSESSQQVRLAKELKIEELTKIHVEQMSKTKGAPEESQGRSAVIPPLVVTKKIESDIKLKICTTDKKSKQREAEQQRHKKEALVESFTKIRITSSSRAGSTSDDTKSPGETKLKTQLEGRKQSARELLIEELTKVHIEGVKNVKDTADENDPDASMGLREKLSKSVQAKKPTKDINTEPAEVKKGLRQALSRKLPPDSDGGASFKRKGLRETLSLRVLPEEGQKTSLTVTRVVTRVNEKEPGPVPEPHLLLSSVGSSGEGQKKSKEKMKKSKIEELTKIGFNLCEGQKINVAPKRVSTVRLGNHVEPTPQLTPTKTEDGEESFQDDDDEDDDAANIVVPPHTPSPVNESSEVKMVSRLQPSPNSQVSLKNQQVSLQSAKVEEEPSEPVTPLVTKSPPLFLGDVYRGRNHHFACNLKQCGTPQNEWYCPIESRKKDIEMHRFRCVQRFCRGEQWLTCVTENGIAAECHRFPCSDGKTCTSYFFFYCDEGQNPM
+>sp|Q5HYM0|ZC12B_HUMAN Probable ribonuclease ZC3H12B OS=Homo sapiens OX=9606 GN=ZC3H12B PE=2 SV=3
+MTATAEVETPKMEKSASKEEKQQPKQDSTEQGNADSEEWMSSESDPEQISLKSSDNSKSCQPRDGQLKKKEMHSKPHRQLCRSPCLDRPSFSQSSILQDGKLDLEKEYQAKMEFALKLGYAEEQIQSVLNKLGPESLINDVLAELVRLGNKGDSEGQINLSLLVPRGPSSREIASPELSLEDEIDNSDNLRPVVIDGSNVAMSHGNKEEFSCRGIQLAVDWFLDKGHKDITVFVPAWRKEQSRPDAPITDQDILRKLEKEKILVFTPSRRVQGRRVVCYDDRFIVKLAFDSDGIIVSNDNYRDLQVEKPEWKKFIEERLLMYSFVNDKFMPPDDPLGRHGPSLENFLRKRPIVPEHKKQPCPYGKKCTYGHKCKYYHPERANQPQRSVADELRISAKLSTVKTMSEGTLAKCGTGMSSAKGEITSEVKRVAPKRQSDPSIRSVAMEPEEWLSIARKPEASSVPSLVTALSVPTIPPPKSHAVGALNTRSASSPVPGSSHFPHQKASLEHMASMQYPPILVTNSHGTPISYAEQYPKFESMGDHGYYSMLGDFSKLNINSMHNREYYMAEVDRGVYARNPNLCSDSRVSHTRNDNYSSYNNVYLAVADTHPEGNLKLHRSASQNRLQPFPHGYHEALTRVQSYGPEDSKQGPHKQSVPHLALHAQHPSTGTRSSCPADYPMPPNIHPGATPQPGRALVMTRMDSISDSRLYESNPVRQRRPPLCREQHASWDPLPCTTDSYGYHSYPLSNSLMQPCYEPVMVRSVPEKMEQLWRNPWVGMCNDSREHMIPEHQYQTYKNLCNIFPSNIVLAVMEKNPHTADAQQLAALIVAKLRAAR
+>DECOY_sp|Q5HYM0|ZC12B_HUMAN Probable ribonuclease ZC3H12B OS=Homo sapiens OX=9606 GN=ZC3H12B PE=2 SV=3
+RAARLKAVILAALQQADATHPNKEMVALVINSPFINCLNKYTQYQHEPIMHERSDNCMGVWPNRWLQEMKEPVSRVMVPEYCPQMLSNSLPYSHYGYSDTTCPLPDWSAHQERCLPPRRQRVPNSEYLRSDSISDMRTMVLARGPQPTAGPHINPPMPYDAPCSSRTGTSPHQAHLALHPVSQKHPGQKSDEPGYSQVRTLAEHYGHPFPQLRNQSASRHLKLNGEPHTDAVALYVNNYSSYNDNRTHSVRSDSCLNPNRAYVGRDVEAMYYERNHMSNINLKSFDGLMSYYGHDGMSEFKPYQEAYSIPTGHSNTVLIPPYQMSAMHELSAKQHPFHSSGPVPSSASRTNLAGVAHSKPPPITPVSLATVLSPVSSAEPKRAISLWEEPEMAVSRISPDSQRKPAVRKVESTIEGKASSMGTGCKALTGESMTKVTSLKASIRLEDAVSRQPQNAREPHYYKCKHGYTCKKGYPCPQKKHEPVIPRKRLFNELSPGHRGLPDDPPMFKDNVFSYMLLREEIFKKWEPKEVQLDRYNDNSVIIGDSDFALKVIFRDDYCVVRRGQVRRSPTFVLIKEKELKRLIDQDTIPADPRSQEKRWAPVFVTIDKHGKDLFWDVALQIGRCSFEEKNGHSMAVNSGDIVVPRLNDSNDIEDELSLEPSAIERSSPGRPVLLSLNIQGESDGKNGLRVLEALVDNILSEPGLKNLVSQIQEEAYGLKLAFEMKAQYEKELDLKGDQLISSQSFSPRDLCPSRCLQRHPKSHMEKKKLQGDRPQCSKSNDSSKLSIQEPDSESSMWEESDANGQETSDQKPQQKEEKSASKEMKPTEVEATATM
+>sp|Q5TFG8|ZC21B_HUMAN Zinc finger C2HC domain-containing protein 1B OS=Homo sapiens OX=9606 GN=ZC2HC1B PE=2 SV=2
+MAGAEPFLADGNQELFPCEVCGRRFAADVLERHGPICKKLFNRKRKPFSSLKQRLQGTDIPTVKKTPQSKSPPVRKSNWRQQHEDFINAIRSAKQCMLAIKEGRPLPPPPPPSLNPDYIQRPYCMRRFNESAAERHTNFCKDQSSRRVFNPAQTAAKLASRAQGRAQMGPKKEPTVTSAVGALLQNRVLVATNEVPTKSGLAMDPASGAKLRQGFSKSSKKD
+>DECOY_sp|Q5TFG8|ZC21B_HUMAN Zinc finger C2HC domain-containing protein 1B OS=Homo sapiens OX=9606 GN=ZC2HC1B PE=2 SV=2
+DKKSSKSFGQRLKAGSAPDMALGSKTPVENTAVLVRNQLLAGVASTVTPEKKPGMQARGQARSALKAATQAPNFVRRSSQDKCFNTHREAASENFRRMCYPRQIYDPNLSPPPPPPLPRGEKIALMCQKASRIANIFDEHQQRWNSKRVPPSKSQPTKKVTPIDTGQLRQKLSSFPKRKRNFLKKCIPGHRELVDAAFRRGCVECPFLEQNGDALFPEAGAM
+>sp|Q9NYG2|ZDHC3_HUMAN Palmitoyltransferase ZDHHC3 OS=Homo sapiens OX=9606 GN=ZDHHC3 PE=1 SV=2
+MMLIPTHHFRNIERKPEYLQPEKCVPPPYPGPVGTMWFIRDGCGIACAIVTWFLVLYAEFVVLFVMLIPSRDYVYSIINGIVFNLLAFLALASHCRAMLTDPGAVPKGNATKEFIESLQLKPGQVVYKCPKCCSIKPDRAHHCSVCKRCIRKMDHHCPWVNNCVGENNQKYFVLFTMYIALISLHALIMVGFHFLHCFEEDWTKCSSFSPPTTVILLILLCFEGLLFLIFTSVMFGTQVHSICTDETGIEQLKKEERRWAKKTKWMNMKAVFGHPFSLGWASPFATPDQGKADPYQYVV
+>DECOY_sp|Q9NYG2|ZDHC3_HUMAN Palmitoyltransferase ZDHHC3 OS=Homo sapiens OX=9606 GN=ZDHHC3 PE=1 SV=2
+VVYQYPDAKGQDPTAFPSAWGLSFPHGFVAKMNMWKTKKAWRREEKKLQEIGTEDTCISHVQTGFMVSTFILFLLGEFCLLILLIVTTPPSFSSCKTWDEEFCHLFHFGVMILAHLSILAIYMTFLVFYKQNNEGVCNNVWPCHHDMKRICRKCVSCHHARDPKISCCKPCKYVVQGPKLQLSEIFEKTANGKPVAGPDTLMARCHSALALFALLNFVIGNIISYVYDRSPILMVFLVVFEAYLVLFWTVIACAIGCGDRIFWMTGVPGPYPPPVCKEPQLYEPKREINRFHHTPILMM
+>sp|Q9C0B5|ZDHC5_HUMAN Palmitoyltransferase ZDHHC5 OS=Homo sapiens OX=9606 GN=ZDHHC5 PE=1 SV=2
+MPAESGKRFKPSKYVPVSAAAIFLVGATTLFFAFTCPGLSLYVSPAVPIYNAIMFLFVLANFSMATFMDPGIFPRAEEDEDKEDDFRAPLYKTVEIKGIQVRMKWCATCRFYRPPRCSHCSVCDNCVEEFDHHCPWVNNCIGRRNYRYFFLFLLSLTAHIMGVFGFGLLYVLYHIEELSGVRTAVTMAVMCVAGLFFIPVAGLTGFHVVLVARGRTTNEQVTGKFRGGVNPFTNGCCNNVSRVLCSSPAPRYLGRPKKEKTIVIRPPFLRPEVSDGQITVKIMDNGIQGELRRTKSKGSLEITESQSADAEPPPPPKPDLSRYTGLRTHLGLATNEDSSLLAKDSPPTPTMYKYRPGYSSSSTSAAMPHSSSAKLSRGDSLKEPTSIAESSRHPSYRSEPSLEPESFRSPTFGKSFHFDPLSSGSRSSSLKSAQGTGFELGQLQSIRSEGTTSTSYKSLANQTRNGSLSYDSLLTPSDSPDFESVQAGPEPDPPLGYTSPFLSARLAQQREAERHPRLVPTGPTHREPSPVRYDNLSRHIVASLQEREKLLRQSPPLPGREEEPGLGDSGIQSTPGSGHAPRTSSSSDDSKRSPLGKTPLGRPAVPRFGKPDGLRGRGVGSPEPGPTAPYLGRSMSYSSQKAQPGVSETEEVALQPLLTPKDEVQLKTTYSKSNGQPKSLGSASPGPGQPPLSSPTRGGVKKVSGVGGTTYEISV
+>DECOY_sp|Q9C0B5|ZDHC5_HUMAN Palmitoyltransferase ZDHHC5 OS=Homo sapiens OX=9606 GN=ZDHHC5 PE=1 SV=2
+VSIEYTTGGVGSVKKVGGRTPSSLPPQGPGPSASGLSKPQGNSKSYTTKLQVEDKPTLLPQLAVEETESVGPQAKQSSYSMSRGLYPATPGPEPSGVGRGRLGDPKGFRPVAPRGLPTKGLPSRKSDDSSSSTRPAHGSGPTSQIGSDGLGPEEERGPLPPSQRLLKEREQLSAVIHRSLNDYRVPSPERHTPGTPVLRPHREAERQQALRASLFPSTYGLPPDPEPGAQVSEFDPSDSPTLLSDYSLSGNRTQNALSKYSTSTTGESRISQLQGLEFGTGQASKLSSSRSGSSLPDFHFSKGFTPSRFSEPELSPESRYSPHRSSEAISTPEKLSDGRSLKASSSHPMAASTSSSSYGPRYKYMTPTPPSDKALLSSDENTALGLHTRLGTYRSLDPKPPPPPEADASQSETIELSGKSKTRRLEGQIGNDMIKVTIQGDSVEPRLFPPRIVITKEKKPRGLYRPAPSSCLVRSVNNCCGNTFPNVGGRFKGTVQENTTRGRAVLVVHFGTLGAVPIFFLGAVCMVAMTVATRVGSLEEIHYLVYLLGFGFVGMIHATLSLLFLFFYRYNRRGICNNVWPCHHDFEEVCNDCVSCHSCRPPRYFRCTACWKMRVQIGKIEVTKYLPARFDDEKDEDEEARPFIGPDMFTAMSFNALVFLFMIANYIPVAPSVYLSLGPCTFAFFLTTAGVLFIAAASVPVYKSPKFRKGSEAPM
+>sp|Q86XD8|ZFAN4_HUMAN AN1-type zinc finger protein 4 OS=Homo sapiens OX=9606 GN=ZFAND4 PE=2 SV=2
+MDNRKEPPFFNDDNMGPFYYRLHFCDTMELFIETLTGTCFELRVSPFETVISVKAKIRRLEGIPICRQHLIWNNMELENDYCLNDYNISEGCTLKLVLAMRGGPINTRRVPTDDPLRKMAEYLDSSRVEVWEKTSCSKQVTFLVYQEGDQLNFFPAVDRGDGTLTPLSDSSKKIDFHLHVLRRKGEHRMSGGSMYNSDTDEDEETEPSSSGQQIIENSITMNKMKLLKAKMKNMNLSKKPKKAVKIKPHPPVAPRPSSGSTAPSRHRLLRVLPNIGQSCSPAFGNAYPPEISRNGISSLATQLSAERYISSITGEFLKEDNSWENNTLSHFSSNVKLPPQIPHLELGNDQELADSVLHLGSSLPRQTKHFLGNLPSSNGNIVLPSEECVTEQSLLPKVGSLASFAEGNADEQSSGLEGACKVNLELLLTNADKGLKAPEQHLKHVAGVLNGESVETSVLNYRELSPHKNRLLSPLRCSAPMSLHNSLVKPERQSKCFEFGKLQPSSSQSLDVQNITDSSFSRTTCFQGVKVDSLGKRSDVISKVEARDITEMTNKASKEPVGCVNNISFLASLAGSTSRNRLQSTRGAGRLQNSGTGLSTNLQHFQEENFRKSSPQLEHTGVFLSTHGVGMNGNNAAAGKSVGECTTHHLPPVKAPLQTKKKTTNHCFLCGKKTGLASSYECRCGNNFCASHRYAETHGCTYDYKSAGRRYLHEANPVVNAPKLPKI
+>DECOY_sp|Q86XD8|ZFAN4_HUMAN AN1-type zinc finger protein 4 OS=Homo sapiens OX=9606 GN=ZFAND4 PE=2 SV=2
+IKPLKPANVVPNAEHLYRRGASKYDYTCGHTEAYRHSACFNNGCRCEYSSALGTKKGCLFCHNTTKKKTQLPAKVPPLHHTTCEGVSKGAAANNGNMGVGHTSLFVGTHELQPSSKRFNEEQFHQLNTSLGTGSNQLRGAGRTSQLRNRSTSGALSALFSINNVCGVPEKSAKNTMETIDRAEVKSIVDSRKGLSDVKVGQFCTTRSFSSDTINQVDLSQSSSPQLKGFEFCKSQREPKVLSNHLSMPASCRLPSLLRNKHPSLERYNLVSTEVSEGNLVGAVHKLHQEPAKLGKDANTLLLELNVKCAGELGSSQEDANGEAFSALSGVKPLLSQETVCEESPLVINGNSSPLNGLFHKTQRPLSSGLHLVSDALEQDNGLELHPIQPPLKVNSSFHSLTNNEWSNDEKLFEGTISSIYREASLQTALSSIGNRSIEPPYANGFAPSCSQGINPLVRLLRHRSPATSGSSPRPAVPPHPKIKVAKKPKKSLNMNKMKAKLLKMKNMTISNEIIQQGSSSPETEEDEDTDSNYMSGGSMRHEGKRRLVHLHFDIKKSSDSLPTLTGDGRDVAPFFNLQDGEQYVLFTVQKSCSTKEWVEVRSSDLYEAMKRLPDDTPVRRTNIPGGRMALVLKLTCGESINYDNLCYDNELEMNNWILHQRCIPIGELRRIKAKVSIVTEFPSVRLEFCTGTLTEIFLEMTDCFHLRYYFPGMNDDNFFPPEKRNDM
+>sp|Q8N6M9|ZFN2A_HUMAN AN1-type zinc finger protein 2A OS=Homo sapiens OX=9606 GN=ZFAND2A PE=1 SV=2
+MEFPDLGKHCSEKTCKQLDFLPVKCDACKQDFCKDHFPYAAHKCPFAFQKDVHVPVCPLCNTPIPVKKGQIPDVVVGDHIDRDCDSHPGKKKEKIFTYRCSKEGCKKKEMLQMVCAQCHGNFCIQHRHPLDHSCRHGSRPTIKAG
+>DECOY_sp|Q8N6M9|ZFN2A_HUMAN AN1-type zinc finger protein 2A OS=Homo sapiens OX=9606 GN=ZFAND2A PE=1 SV=2
+GAKITPRSGHRCSHDLPHRHQICFNGHCQACVMQLMEKKKCGEKSCRYTFIKEKKKGPHSDCDRDIHDGVVVDPIQGKKVPIPTNCLPCVPVHVDKQFAFPCKHAAYPFHDKCFDQKCADCKVPLFDLQKCTKESCHKGLDPFEM
+>sp|Q9HCL3|ZFP14_HUMAN Zinc finger protein 14 homolog OS=Homo sapiens OX=9606 GN=ZFP14 PE=2 SV=2
+MAHGSVTFRDVAIDFSQEEWEFLDPAQRDLYRDVMWENYSNFISLGPSISKPDVITLLDEERKEPGMVVREGTRRYCPDLESRYRTNTLSPEKDIYEIYSFQWDIMERIKSYSLQGSIFRNDWECKSKIEGEKEQQEGYFGQVKITSEKMTTYKRHNFLTEYQIVHNGEKVYECKECRKTFIRRSTLSQHLRIHTGEKPYKCKECGQAFRQRAHLIRHHKLHTGEKPYECKECGKAFTVLQELTQHQRLHTGEKPYECKECGKAFRVHQQLARHQRIHTGEKPYECKDCGKTFRQCTHLTRHQRLHTAEKLYECKECGKAFVCGPDLRVHQKIHFGEKPYECKECGKAFRICQQLTVHQSIHTGEKPYECKECGKTFRLRQQLVRHQRIHTREKPYECMECWKTFSSYSQLISHQSIHIGERPYECEECGKAFRLLSQLTQHQSIHTGEKPYECKECRKPFRLLSQLTQHQSIHTGEKPYECKECGKAFRLYSFLTQHQRIHTGEKPYKCKECKKAFRQHSHLTQHQKIHNGI
+>DECOY_sp|Q9HCL3|ZFP14_HUMAN Zinc finger protein 14 homolog OS=Homo sapiens OX=9606 GN=ZFP14 PE=2 SV=2
+IGNHIKQHQTLHSHQRFAKKCEKCKYPKEGTHIRQHQTLFSYLRFAKGCEKCEYPKEGTHISQHQTLQSLLRFPKRCEKCEYPKEGTHISQHQTLQSLLRFAKGCEECEYPREGIHISQHSILQSYSSFTKWCEMCEYPKERTHIRQHRVLQQRLRFTKGCEKCEYPKEGTHISQHVTLQQCIRFAKGCEKCEYPKEGFHIKQHVRLDPGCVFAKGCEKCEYLKEATHLRQHRTLHTCQRFTKGCDKCEYPKEGTHIRQHRALQQHVRFAKGCEKCEYPKEGTHLRQHQTLEQLVTFAKGCEKCEYPKEGTHLKHHRILHARQRFAQGCEKCKYPKEGTHIRLHQSLTSRRIFTKRCEKCEYVKEGNHVIQYETLFNHRKYTTMKESTIKVQGFYGEQQEKEGEIKSKCEWDNRFISGQLSYSKIREMIDWQFSYIEYIDKEPSLTNTRYRSELDPCYRRTGERVVMGPEKREEDLLTIVDPKSISPGLSIFNSYNEWMVDRYLDRQAPDLFEWEEQSFDIAVDRFTVSGHAM
+>sp|Q8NB50|ZFP62_HUMAN Zinc finger protein 62 homolog OS=Homo sapiens OX=9606 GN=ZFP62 PE=1 SV=3
+MSHLKTSTEDEEPTEEYENVGNAASKWPKVEDPMPESKVGDTCVWDSKVENQQKKPVENRMKEDKSSIREAISKAKSTANIKTEQEGEASEKSLHLSPQHITHQTMPIGQRGSEQGKRVENINGTSYPSLQQKTNAVKKLHKCDECGKSFKYNSRLVQHKIMHTGEKRYECDDCGGTFRSSSSLRVHKRIHTGEKPYKCEECGKAYMSYSSLINHKSTHSGEKNCKCDECGKSFNYSSVLDQHKRIHTGEKPYECGECGKAFRNSSGLRVHKRIHTGEKPYECDICGKTFSNSSGLRVHKRIHTGEKPYECDECGKAFITCRTLLNHKSIHFGDKPYKCDECEKSFNYSSLLIQHKVIHTGEKPYECDECGKAFRNSSGLIVHKRIHTGEKPYKCDVCGKAFSYSSGLAVHKSIHPGKKAHECKECGKSFSYNSLLLQHRTIHTGERPYVCDVCGKTFRNNAGLKVHRRLHTGEKPYKCDVCGKAYISRSSLKNHKGIHLGEKPYKCSYCEKSFNYSSALEQHKRIHTREKPFGCDECGKAFRNNSGLKVHKRIHTGERPYKCEECGKAYISLSSLINHKSVHPGEKPFKCDECEKAFITYRTLTNHKKVHLGEKPYKCDVCEKSFNYTSLLSQHRRVHTREKPYECDRCEKVFRNNSSLKVHKRIHTGERPYECDVCGKAYISHSSLINHKSTHPGRTPHTCDECGKAFFSSRTLISHKRVHLGEKPFKCVECGKSFSYSSLLSQHKRIHTGEKPYVCDRCGKAFRNSSGLTVHKRIHTGEKPYECDECGKAYISHSSLINHKSVHQGKQPYNCECGKSFNYRSVLDQHKRIHTGKKPYRCNECGKAFNIRSNLTKHKRTHTGEESLNVIYVGSYSGTSQKRTYEGGNALDGGRMRMPL
+>DECOY_sp|Q8NB50|ZFP62_HUMAN Zinc finger protein 62 homolog OS=Homo sapiens OX=9606 GN=ZFP62 PE=1 SV=3
+LPMRMRGGDLANGGEYTRKQSTGSYSGVYIVNLSEEGTHTRKHKTLNSRINFAKGCENCRYPKKGTHIRKHQDLVSRYNFSKGCECNYPQKGQHVSKHNILSSHSIYAKGCEDCEYPKEGTHIRKHVTLGSSNRFAKGCRDCVYPKEGTHIRKHQSLLSSYSFSKGCEVCKFPKEGLHVRKHSILTRSSFFAKGCEDCTHPTRGPHTSKHNILSSHSIYAKGCVDCEYPREGTHIRKHVKLSSNNRFVKECRDCEYPKERTHVRRHQSLLSTYNFSKECVDCKYPKEGLHVKKHNTLTRYTIFAKECEDCKFPKEGPHVSKHNILSSLSIYAKGCEECKYPREGTHIRKHVKLGSNNRFAKGCEDCGFPKERTHIRKHQELASSYNFSKECYSCKYPKEGLHIGKHNKLSSRSIYAKGCVDCKYPKEGTHLRRHVKLGANNRFTKGCVDCVYPREGTHITRHQLLLSNYSFSKGCEKCEHAKKGPHISKHVALGSSYSFAKGCVDCKYPKEGTHIRKHVILGSSNRFAKGCEDCEYPKEGTHIVKHQILLSSYNFSKECEDCKYPKDGFHISKHNLLTRCTIFAKGCEDCEYPKEGTHIRKHVRLGSSNSFTKGCIDCEYPKEGTHIRKHVRLGSSNRFAKGCEGCEYPKEGTHIRKHQDLVSSYNFSKGCEDCKCNKEGSHTSKHNILSSYSMYAKGCEECKYPKEGTHIRKHVRLSSSSRFTGGCDDCEYRKEGTHMIKHQVLRSNYKFSKGCEDCKHLKKVANTKQQLSPYSTGNINEVRKGQESGRQGIPMTQHTIHQPSLHLSKESAEGEQETKINATSKAKSIAERISSKDEKMRNEVPKKQQNEVKSDWVCTDGVKSEPMPDEVKPWKSAANGVNEYEETPEEDETSTKLHSM
+>sp|Q96JP5|ZFP91_HUMAN E3 ubiquitin-protein ligase ZFP91 OS=Homo sapiens OX=9606 GN=ZFP91 PE=1 SV=1
+MPGETEEPRPPEQQDQEGGEAAKAAPEEPQQRPPEAVAAAPAGTTSSRVLRGGRDRGRAAAAAAAAAVSRRRKAEYPRRRRSSPSARPPDVPGQQPQAAKSPSPVQGKKSPRLLCIEKVTTDKDPKEEKEEEDDSALPQEVSIAASRPSRGWRSSRTSVSRHRDTENTRSSRSKTGSLQLICKSEPNTDQLDYDVGEEHQSPGGISSEEEEEEEEEMLISEEEIPFKDDPRDETYKPHLERETPKPRRKSGKVKEEKEKKEIKVEVEVEVKEEENEIREDEEPPRKRGRRRKDDKSPRLPKRRKKPPIQYVRCEMEGCGTVLAHPRYLQHHIKYQHLLKKKYVCPHPSCGRLFRLQKQLLRHAKHHTDQRDYICEYCARAFKSSHNLAVHRMIHTGEKPLQCEICGFTCRQKASLNWHMKKHDADSFYQFSCNICGKKFEKKDSVVAHKAKSHPEVLIAEALAANAGALITSTDILGTNPESLTQPSDGQGLPLLPEPLGNSTSGECLLLEAEGMSKSYCSGTERVSLMADGKIFVGSGSSGGTEGLVMNSDILGATTEVLIEDSDSAGP
+>DECOY_sp|Q96JP5|ZFP91_HUMAN E3 ubiquitin-protein ligase ZFP91 OS=Homo sapiens OX=9606 GN=ZFP91 PE=1 SV=1
+PGASDSDEILVETTAGLIDSNMVLGETGGSSGSGVFIKGDAMLSVRETGSCYSKSMGEAELLLCEGSTSNGLPEPLLPLGQGDSPQTLSEPNTGLIDTSTILAGANAALAEAILVEPHSKAKHAVVSDKKEFKKGCINCSFQYFSDADHKKMHWNLSAKQRCTFGCIECQLPKEGTHIMRHVALNHSSKFARACYECIYDRQDTHHKAHRLLQKQLRFLRGCSPHPCVYKKKLLHQYKIHHQLYRPHALVTGCGEMECRVYQIPPKKRRKPLRPSKDDKRRRGRKRPPEEDERIENEEEKVEVEVEVKIEKKEKEEKVKGSKRRPKPTERELHPKYTEDRPDDKFPIEEESILMEEEEEEEEESSIGGPSQHEEGVDYDLQDTNPESKCILQLSGTKSRSSRTNETDRHRSVSTRSSRWGRSPRSAAISVEQPLASDDEEEKEEKPDKDTTVKEICLLRPSKKGQVPSPSKAAQPQQGPVDPPRASPSSRRRRPYEAKRRRSVAAAAAAAAARGRDRGGRLVRSSTTGAPAAAVAEPPRQQPEEPAAKAAEGGEQDQQEPPRPEETEGPM
+>sp|A6NM28|ZFP92_HUMAN Zinc finger protein 92 homolog OS=Homo sapiens OX=9606 GN=ZFP92 PE=2 SV=3
+MAAILLTTRPKVPVSFEDVSVYFTKTEWKLLDLRQKVLYKRVMLENYSHLVSLGFSFSKPHLISQLERGEGPWVADIPRTWATAGLHIGDRTQSKTSTSTQKHSGRQLPGADPQGGKEGQAARSSVLQRGAQGLGQSSAAGPQGPKGAEKRYLCQQCGKAFSRSSNLIKHRIIHSGEKPYACPECGKLFRRSFALLEHQRIHSGEKPYACPECSKTFTRSSNLIKHQVIHSGERPFACGDCGKLFRRSFALLEHARVHSGERPYACPECGKAFSRSSNLIEHQRTHRGEKPYACGQCAKAFKGVSQLIHHQRSHSGERPFACRECGKAFRGRSGLSQHRRVHSGEKPYECSDCGKAFGRRANLFKHQAVHGARRPAKAETARRLAGPGSTGPGSAVAATSPPRPSTAARPSRPSRR
+>DECOY_sp|A6NM28|ZFP92_HUMAN Zinc finger protein 92 homolog OS=Homo sapiens OX=9606 GN=ZFP92 PE=2 SV=3
+RRSPRSPRAATSPRPPSTAAVASGPGTSGPGALRRATEAKAPRRAGHVAQHKFLNARRGFAKGCDSCEYPKEGSHVRRHQSLGSRGRFAKGCERCAFPREGSHSRQHHILQSVGKFAKACQGCAYPKEGRHTRQHEILNSSRSFAKGCEPCAYPREGSHVRAHELLAFSRRFLKGCDGCAFPREGSHIVQHKILNSSRTFTKSCEPCAYPKEGSHIRQHELLAFSRRFLKGCEPCAYPKEGSHIIRHKILNSSRSFAKGCQQCLYRKEAGKPGQPGAASSQGLGQAGRQLVSSRAAQGEKGGQPDAGPLQRGSHKQTSTSTKSQTRDGIHLGATAWTRPIDAVWPGEGRELQSILHPKSFSFGLSVLHSYNELMVRKYLVKQRLDLLKWETKTFYVSVDEFSVPVKPRTTLLIAAM
+>sp|O95159|ZFPL1_HUMAN Zinc finger protein-like 1 OS=Homo sapiens OX=9606 GN=ZFPL1 PE=1 SV=2
+MGLCKCPKRKVTNLFCFEHRVNVCEHCLVANHAKCIVQSYLQWLQDSDYNPNCRLCNIPLASRETTRLVCYDLFHWACLNERAAQLPRNTAPAGYQCPSCNGPIFPPTNLAGPVASALREKLATVNWARAGLGLPLIDEVVSPEPEPLNTSDFSDWSSFNASSTPGPEEVDSASAAPAFYSQAPRPPASPGRPEQHTVIHMGNPEPLTHAPRKVYDTRDDDRTPGLHGDCDDDKYRRRPALGWLARLLRSRAGSRKRPLTLLQRAGLLLLLGLLGFLALLALMSRLGRAAADSDPNLDPLMNPHIRVGPS
+>DECOY_sp|O95159|ZFPL1_HUMAN Zinc finger protein-like 1 OS=Homo sapiens OX=9606 GN=ZFPL1 PE=1 SV=2
+SPGVRIHPNMLPDLNPDSDAAARGLRSMLALLALFGLLGLLLLLGARQLLTLPRKRSGARSRLLRALWGLAPRRRYKDDDCDGHLGPTRDDDRTDYVKRPAHTLPEPNGMHIVTHQEPRGPSAPPRPAQSYFAPAASASDVEEPGPTSSANFSSWDSFDSTNLPEPEPSVVEDILPLGLGARAWNVTALKERLASAVPGALNTPPFIPGNCSPCQYGAPATNRPLQAARENLCAWHFLDYCVLRTTERSALPINCLRCNPNYDSDQLWQLYSQVICKAHNAVLCHECVNVRHEFCFLNTVKRKPCKCLGM
+>sp|P17010|ZFX_HUMAN Zinc finger X-chromosomal protein OS=Homo sapiens OX=9606 GN=ZFX PE=2 SV=2
+MDEDGLELQQEPNSFFDATGADGTHMDGDQIVVEVQETVFVSDVVDSDITVHNFVPDDPDSVVIQDVIEDVVIEDVQCPDIMEEADVSETVIIPEQVLDSDVTEEVSLAHCTVPDDVLASDITSASMSMPEHVLTGDSIHVSDVGHVGHVGHVEHVVHDSVVEAEIVTDPLTTDVVSEEVLVADCASEAVIDANGIPVDQQDDDKGNCEDYLMISLDDAGKIEHDGSSGMTMDTESEIDPCKVDGTCPEVIKVYIFKADPGEDDLGGTVDIVESEPENDHGVELLDQNSSIRVPREKMVYMTVNDSQPEDEDLNVAEIADEVYMEVIVGEEDAAAAAAAAAVHEQQMDDNEIKTFMPIAWAAAYGNNSDGIENRNGTASALLHIDESAGLGRLAKQKPKKRRRPDSRQYQTAIIIGPDGHPLTVYPCMICGKKFKSRGFLKRHMKNHPEHLAKKKYRCTDCDYTTNKKISLHNHLESHKLTSKAEKAIECDECGKHFSHAGALFTHKMVHKEKGANKMHKCKFCEYETAEQGLLNRHLLAVHSKNFPHICVECGKGFRHPSELKKHMRIHTGEKPYQCQYCEYRSADSSNLKTHVKTKHSKEMPFKCDICLLTFSDTKEVQQHALIHQESKTHQCLHCDHKSSNSSDLKRHIISVHTKDYPHKCDMCDKGFHRPSELKKHVAAHKGKKMHQCRHCDFKIADPFVLSRHILSVHTKDLPFRCKRCRKGFRQQSELKKHMKTHSGRKVYQCEYCEYSTTDASGFKRHVISIHTKDYPHRCEYCKKGFRRPSEKNQHIMRHHKEVGLP
+>DECOY_sp|P17010|ZFX_HUMAN Zinc finger X-chromosomal protein OS=Homo sapiens OX=9606 GN=ZFX PE=2 SV=2
+PLGVEKHHRMIHQNKESPRRFGKKCYECRHPYDKTHISIVHRKFGSADTTSYECYECQYVKRGSHTKMHKKLESQQRFGKRCRKCRFPLDKTHVSLIHRSLVFPDAIKFDCHRCQHMKKGKHAAVHKKLESPRHFGKDCMDCKHPYDKTHVSIIHRKLDSSNSSKHDCHLCQHTKSEQHILAHQQVEKTDSFTLLCIDCKFPMEKSHKTKVHTKLNSSDASRYECYQCQYPKEGTHIRMHKKLESPHRFGKGCEVCIHPFNKSHVALLHRNLLGQEATEYECFKCKHMKNAGKEKHVMKHTFLAGAHSFHKGCEDCEIAKEAKSTLKHSELHNHLSIKKNTTYDCDTCRYKKKALHEPHNKMHRKLFGRSKFKKGCIMCPYVTLPHGDPGIIIATQYQRSDPRRRKKPKQKALRGLGASEDIHLLASATGNRNEIGDSNNGYAAAWAIPMFTKIENDDMQQEHVAAAAAAAAADEEGVIVEMYVEDAIEAVNLDEDEPQSDNVTMYVMKERPVRISSNQDLLEVGHDNEPESEVIDVTGGLDDEGPDAKFIYVKIVEPCTGDVKCPDIESETDMTMGSSGDHEIKGADDLSIMLYDECNGKDDDQQDVPIGNADIVAESACDAVLVEESVVDTTLPDTVIEAEVVSDHVVHEVHGVHGVHGVDSVHISDGTLVHEPMSMSASTIDSALVDDPVTCHALSVEETVDSDLVQEPIIVTESVDAEEMIDPCQVDEIVVDEIVDQIVVSDPDDPVFNHVTIDSDVVDSVFVTEQVEVVIQDGDMHTGDAGTADFFSNPEQQLELGDEDM
+>sp|P0C6A0|ZGLP1_HUMAN GATA-type zinc finger protein 1 OS=Homo sapiens OX=9606 GN=ZGLP1 PE=2 SV=1
+MTEPQVGCVACPRVHKEPAQVGTPWPAKPRSHPRKRDPTALLPRSLWPACQESVTALCFLQETVERLGQSPAQDTPVLGPCWDPMALGTQGRLLLDRDSKDTQTRISQKGRRLQPPGTPSAPPQRRPRKQLNPCRGTERVDPGFEGVTLKFQIKPDSSLQIIPTYSLPCSSRSQESPADAVGGPAAHPGGTEAHSAGSEALEPRRCASCRTQRTPLWRDAEDGTPLCNACGIRYKKYGTRCSSCWLVPRKNVQPKRLCGRCGVSLDPIQEG
+>DECOY_sp|P0C6A0|ZGLP1_HUMAN GATA-type zinc finger protein 1 OS=Homo sapiens OX=9606 GN=ZGLP1 PE=2 SV=1
+GEQIPDLSVGCRGCLRKPQVNKRPVLWCSSCRTGYKKYRIGCANCLPTGDEADRWLPTRQTRCSACRRPELAESGASHAETGGPHAAPGGVADAPSEQSRSSCPLSYTPIIQLSSDPKIQFKLTVGEFGPDVRETGRCPNLQKRPRRQPPASPTGPPQLRRGKQSIRTQTDKSDRDLLLRGQTGLAMPDWCPGLVPTDQAPSQGLREVTEQLFCLATVSEQCAPWLSRPLLATPDRKRPHSRPKAPWPTGVQAPEKHVRPCAVCGVQPETM
+>sp|Q9NS37|ZHANG_HUMAN CREB/ATF bZIP transcription factor OS=Homo sapiens OX=9606 GN=CREBZF PE=1 SV=2
+MRHSLTKLLAASGSNSPTRSESPEPAATCSLPSDLTRAAAGEEETAAAGSPGRKQQFGDEGELEAGRGSRGGVAVRAPSPEEMEEEAIASLPGEETEDMDFLSGLELADLLDPRQPDWHLDPGLSSPGPLSSSGGGSDSGGLWRGDDDDEAAAAEMQRFSDLLQRLLNGIGGCSSSSDSGSAEKRRRKSPGGGGGGGSGNDNNQAATKSPRKAAAAAARLNRLKKKEYVMGLESRVRGLAAENQELRAENRELGKRVQALQEESRYLRAVLANETGLARLLSRLSGVGLRLTTSLFRDSPAGDHDYALPVGKQKQDLLEEDDSAGGVCLHVDKDKVSVEFCSACARKASSSLKM
+>DECOY_sp|Q9NS37|ZHANG_HUMAN CREB/ATF bZIP transcription factor OS=Homo sapiens OX=9606 GN=CREBZF PE=1 SV=2
+MKLSSSAKRACASCFEVSVKDKDVHLCVGGASDDEELLDQKQKGVPLAYDHDGAPSDRFLSTTLRLGVGSLRSLLRALGTENALVARLYRSEEQLAQVRKGLERNEARLEQNEAALGRVRSELGMVYEKKKLRNLRAAAAAAKRPSKTAAQNNDNGSGGGGGGGPSKRRRKEASGSDSSSSCGGIGNLLRQLLDSFRQMEAAAAEDDDDGRWLGGSDSGGGSSSLPGPSSLGPDLHWDPQRPDLLDALELGSLFDMDETEEGPLSAIAEEEMEEPSPARVAVGGRSGRGAELEGEDGFQQKRGPSGAAATEEEGAAARTLDSPLSCTAAPEPSESRTPSNSGSAALLKTLSHRM
+>sp|Q15915|ZIC1_HUMAN Zinc finger protein ZIC 1 OS=Homo sapiens OX=9606 GN=ZIC1 PE=1 SV=2
+MLLDAGPQYPAIGVTTFGASRHHSAGDVAERDVGLGINPFADGMGAFKLNPSSHELASAGQTAFTSQAPGYAAAAALGHHHHPGHVGSYSSAAFNSTRDFLFRNRGFGDAAAAASAQHSLFAASAGGFGGPHGHTDAAGHLLFPGLHEQAAGHASPNVVNGQMRLGFSGDMYPRPEQYGQVTSPRSEHYAAPQLHGYGPMNVNMAAHHGAGAFFRYMRQPIKQELICKWIEPEQLANPKKSCNKTFSTMHELVTHVTVEHVGGPEQSNHICFWEECPREGKPFKAKYKLVNHIRVHTGEKPFPCPFPGCGKVFARSENLKIHKRTHTGEKPFKCEFEGCDRRFANSSDRKKHMHVHTSDKPYLCKMCDKSYTHPSSLRKHMKVHESSSQGSQPSPAASSGYESSTPPTIVSPSTDNPTTSSLSPSSSAVHHTAGHSALSSNFNEWYV
+>DECOY_sp|Q15915|ZIC1_HUMAN Zinc finger protein ZIC 1 OS=Homo sapiens OX=9606 GN=ZIC1 PE=1 SV=2
+VYWENFNSSLASHGATHHVASSSPSLSSTTPNDTSPSVITPPTSSEYGSSAAPSPQSGQSSSEHVKMHKRLSSPHTYSKDCMKCLYPKDSTHVHMHKKRDSSNAFRRDCGEFECKFPKEGTHTRKHIKLNESRAFVKGCGPFPCPFPKEGTHVRIHNVLKYKAKFPKGERPCEEWFCIHNSQEPGGVHEVTVHTVLEHMTSFTKNCSKKPNALQEPEIWKCILEQKIPQRMYRFFAGAGHHAAMNVNMPGYGHLQPAAYHESRPSTVQGYQEPRPYMDGSFGLRMQGNVVNPSAHGAAQEHLGPFLLHGAADTHGHPGGFGGASAAFLSHQASAAAAADGFGRNRFLFDRTSNFAASSYSGVHGPHHHHGLAAAAAYGPAQSTFATQGASALEHSSPNLKFAGMGDAFPNIGLGVDREAVDGASHHRSAGFTTVGIAPYQPGADLLM
+>sp|Q8N9L1|ZIC4_HUMAN Zinc finger protein ZIC 4 OS=Homo sapiens OX=9606 GN=ZIC4 PE=2 SV=3
+MRYKTSLVMRKRLRLYRNTLKESSSSSGHHGPQLTAASSPSVFPGLHEEPPQASPSRPLNGLLRLGLPGDMYARPEPFPPGPAARSDALAAAAALHGYGGMNLTVNLAAPHGPGAFFRYMRQPIKQELICKWLAADGTATPSLCSKTFSTMHELVTHVTVEHVGGPEQANHICFWEECPRQGKPFKAKYKLVNHIRVHTGEKPFPCPFPGCGKVFARSENLKIHKRTHTGEKPFRCEFEGCERRFANSSDRKKHSHVHTSDKPYTCKVRGCDKCYTHPSSLRKHMKVHGRSPPPSSGYDSATPSALVSPSSDCGHKSQVASSAAVAARTADLSE
+>DECOY_sp|Q8N9L1|ZIC4_HUMAN Zinc finger protein ZIC 4 OS=Homo sapiens OX=9606 GN=ZIC4 PE=2 SV=3
+ESLDATRAAVAASSAVQSKHGCDSSPSVLASPTASDYGSSPPPSRGHVKMHKRLSSPHTYCKDCGRVKCTYPKDSTHVHSHKKRDSSNAFRRECGEFECRFPKEGTHTRKHIKLNESRAFVKGCGPFPCPFPKEGTHVRIHNVLKYKAKFPKGQRPCEEWFCIHNAQEPGGVHEVTVHTVLEHMTSFTKSCLSPTATGDAALWKCILEQKIPQRMYRFFAGPGHPAALNVTLNMGGYGHLAAAAALADSRAAPGPPFPEPRAYMDGPLGLRLLGNLPRSPSAQPPEEHLGPFVSPSSAATLQPGHHGSSSSSEKLTNRYLRLRKRMVLSTKYRM
+>sp|Q9HA38|ZMAT3_HUMAN Zinc finger matrin-type protein 3 OS=Homo sapiens OX=9606 GN=ZMAT3 PE=1 SV=1
+MILLQHAVLPPPKQPSPSPPMSVATRSTGTLQLPPQKPFGQEASLPLAGEEELSKGGEQDCALEELCKPLYCKLCNVTLNSAQQAQAHYQGKNHGKKLRNYYAANSCPPPARMSNVVEPAATPVVPVPPQMGSFKPGGRVILATENDYCKLCDASFSSPAVAQAHYQGKNHAKRLRLAEAQSNSFSESSELGQRRARKEGNEFKMMPNRRNMYTVQNNSAGPYFNPRSRQRIPRDLAMCVTPSGQFYCSMCNVGAGEEMEFRQHLESKQHKSKVSEQRYRNEMENLGYV
+>DECOY_sp|Q9HA38|ZMAT3_HUMAN Zinc finger matrin-type protein 3 OS=Homo sapiens OX=9606 GN=ZMAT3 PE=1 SV=1
+VYGLNEMENRYRQESVKSKHQKSELHQRFEMEEGAGVNCMSCYFQGSPTVCMALDRPIRQRSRPNFYPGASNNQVTYMNRRNPMMKFENGEKRARRQGLESSESFSNSQAEALRLRKAHNKGQYHAQAVAPSSFSADCLKCYDNETALIVRGGPKFSGMQPPVPVVPTAAPEVVNSMRAPPPCSNAAYYNRLKKGHNKGQYHAQAQQASNLTVNCLKCYLPKCLEELACDQEGGKSLEEEGALPLSAEQGFPKQPPLQLTGTSRTAVSMPPSPSPQKPPPLVAHQLLIM
+>sp|Q9UDW3|ZMAT5_HUMAN Zinc finger matrin-type protein 5 OS=Homo sapiens OX=9606 GN=ZMAT5 PE=1 SV=1
+MGKRYFCDYCDRSFQDNLHNRKKHLNGLQHLKAKKVWYDMFRDAAAILLDEQNKRPCRKFLLTGQCDFGSNCRFSHMSERDLQELSIQVEEERRAREWLLDAPELPEGHLEDWLEKRAKRLSSAPSSRAEPIRTTVFQYPVGWPPVQELPPSLRAPPPGGWPLQPRVQWG
+>DECOY_sp|Q9UDW3|ZMAT5_HUMAN Zinc finger matrin-type protein 5 OS=Homo sapiens OX=9606 GN=ZMAT5 PE=1 SV=1
+GWQVRPQLPWGGPPPARLSPPLEQVPPWGVPYQFVTTRIPEARSSPASSLRKARKELWDELHGEPLEPADLLWERARREEEVQISLEQLDRESMHSFRCNSGFDCQGTLLFKRCPRKNQEDLLIAAADRFMDYWVKKAKLHQLGNLHKKRNHLNDQFSRDCYDCFYRKGM
+>sp|Q9ULJ6|ZMIZ1_HUMAN Zinc finger MIZ domain-containing protein 1 OS=Homo sapiens OX=9606 GN=ZMIZ1 PE=1 SV=3
+MNSMDRHIQQTNDRLQCIKQHLQNPANFHNAATELLDWCGDPRAFQRPFEQSLMGCLTVVSRVAAQQGFDLDLGYRLLAVCAANRDKFTPKSAALLSSWCEELGRLLLLRHQKSRQSDPPGKLPMQPPLSSMSSMKPTLSHSDGSFPYDSVPWQQNTNQPPGSLSVVTTVWGVTNTSQSQVLGNPMANANNPMNPGGNPMASGMTTSNPGLNSPQFAGQQQQFSAKAGPAQPYIQQSMYGRPNYPGSGGFGASYPGGPNAPAGMGIPPHTRPPADFTQPAAAAAAAAVAAAAATATATATATVAALQETQNKDINQYGPMGPTQAYNSQFMNQPGPRGPASMGGSMNPASMAAGMTPSGMSGPPMGMNQPRPPGISPFGTHGQRMPQQTYPGPRPQSLPIQNIKRPYPGEPNYGNQQYGPNSQFPTQPGQYPAPNPPRPLTSPNYPGQRMPSQPSSGQYPPPTVNMGQYYKPEQFNGQNNTFSGSSYSNYSQGNVNRPPRPVPVANYPHSPVPGNPTPPMTPGSSIPPYLSPSQDVKPPFPPDIKPNMSALPPPPANHNDELRLTFPVRDGVVLEPFRLEHNLAVSNHVFHLRPTVHQTLMWRSDLELQFKCYHHEDRQMNTNWPASVQVSVNATPLTIERGDNKTSHKPLHLKHVCQPGRNTIQITVTACCCSHLFVLQLVHRPSVRSVLQGLLKKRLLPAEHCITKIKRNFSSVAASSGNTTLNGEDGVEQTAIKVSLKCPITFRRIQLPARGHDCKHVQCFDLESYLQLNCERGTWRCPVCNKTALLEGLEVDQYMWGILNAIQHSEFEEVTIDPTCSWRPVPIKSDLHIKDDPDGIPSKRFKTMSPSQMIMPNVMEMIAALGPGPSPYPLPPPPGGTNSNDYSSQGNNYQGHGNFDFPHGNPGGTSMNDFMHGPPQLSHPPDMPNNMAALEKPLSHPMQETMPHAGSSDQPHPSIQQGLHVPHPSSQSGPPLHHSGAPPPPPSQPPRQPPQAAPSSHPHSDLTFNPSSALEGQAGAQGASDMPEPSLDLLPELTNPDELLSYLDPPDLPSNSNDDLLSLFENN
+>DECOY_sp|Q9ULJ6|ZMIZ1_HUMAN Zinc finger MIZ domain-containing protein 1 OS=Homo sapiens OX=9606 GN=ZMIZ1 PE=1 SV=3
+NNEFLSLLDDNSNSPLDPPDLYSLLEDPNTLEPLLDLSPEPMDSAGQAGAQGELASSPNFTLDSHPHSSPAAQPPQRPPQSPPPPPAGSHHLPPGSQSSPHPVHLGQQISPHPQDSSGAHPMTEQMPHSLPKELAAMNNPMDPPHSLQPPGHMFDNMSTGGPNGHPFDFNGHGQYNNGQSSYDNSNTGGPPPPLPYPSPGPGLAAIMEMVNPMIMQSPSMTKFRKSPIGDPDDKIHLDSKIPVPRWSCTPDITVEEFESHQIANLIGWMYQDVELGELLATKNCVPCRWTGRECNLQLYSELDFCQVHKCDHGRAPLQIRRFTIPCKLSVKIATQEVGDEGNLTTNGSSAAVSSFNRKIKTICHEAPLLRKKLLGQLVSRVSPRHVLQLVFLHSCCCATVTIQITNRGPQCVHKLHLPKHSTKNDGREITLPTANVSVQVSAPWNTNMQRDEHHYCKFQLELDSRWMLTQHVTPRLHFVHNSVALNHELRFPELVVGDRVPFTLRLEDNHNAPPPPLASMNPKIDPPFPPKVDQSPSLYPPISSGPTMPPTPNGPVPSHPYNAVPVPRPPRNVNGQSYNSYSSGSFTNNQGNFQEPKYYQGMNVTPPPYQGSSPQSPMRQGPYNPSTLPRPPNPAPYQGPQTPFQSNPGYQQNGYNPEGPYPRKINQIPLSQPRPGPYTQQPMRQGHTGFPSIGPPRPQNMGMPPGSMGSPTMGAAMSAPNMSGGMSAPGRPGPQNMFQSNYAQTPGMPGYQNIDKNQTEQLAAVTATATATATAAAAAVAAAAAAAAPQTFDAPPRTHPPIGMGAPANPGGPYSAGFGGSGPYNPRGYMSQQIYPQAPGAKASFQQQQGAFQPSNLGPNSTTMGSAMPNGGPNMPNNANAMPNGLVQSQSTNTVGWVTTVVSLSGPPQNTNQQWPVSDYPFSGDSHSLTPKMSSMSSLPPQMPLKGPPDSQRSKQHRLLLLRGLEECWSSLLAASKPTFKDRNAACVALLRYGLDLDFGQQAAVRSVVTLCGMLSQEFPRQFARPDGCWDLLETAANHFNAPNQLHQKICQLRDNTQQIHRDMSNM
+>sp|Q9H0C1|ZMY12_HUMAN Zinc finger MYND domain-containing protein 12 OS=Homo sapiens OX=9606 GN=ZMYND12 PE=1 SV=3
+MNVIYPLAVPKGRRLCCEVCEAPAERVCAACTVTYYCGVVHQKADWDSIHEKICQLLIPLRTSMPFYNSEEERQHGLQQLQQRQKYLIEFCYTIAQKYLFEGKHEDAVPAALQSLRFRVKLYGLSSVELVPAYLLLAEASLGLGRIVQAEEYLFQAQWTVLKSTDCSNATHSLLHRNLGLLYIAKKNYEEARYHLANDIYFASCAFGTEDIRTSGGYFHLANIFYDLKKLDLADTLYTKVSEIWHAYLNNHYQVLSQAHIQQMDLLGKLFENDTGLDEAQEAEAIRILTSILNIRESTSDKAPQKTIFVLKILVMFYYLMMNSSKAQEYGMRALSLAKEQQLDVHEQSTIQELLSLISTEDHPIT
+>DECOY_sp|Q9H0C1|ZMY12_HUMAN Zinc finger MYND domain-containing protein 12 OS=Homo sapiens OX=9606 GN=ZMYND12 PE=1 SV=3
+TIPHDETSILSLLEQITSQEHVDLQQEKALSLARMGYEQAKSSNMMLYYFMVLIKLVFITKQPAKDSTSERINLISTLIRIAEAEQAEDLGTDNEFLKGLLDMQQIHAQSLVQYHNNLYAHWIESVKTYLTDALDLKKLDYFINALHFYGGSTRIDETGFACSAFYIDNALHYRAEEYNKKAIYLLGLNRHLLSHTANSCDTSKLVTWQAQFLYEEAQVIRGLGLSAEALLLYAPVLEVSSLGYLKVRFRLSQLAAPVADEHKGEFLYKQAITYCFEILYKQRQQLQQLGHQREEESNYFPMSTRLPILLQCIKEHISDWDAKQHVVGCYYTVTCAACVREAPAECVECCLRRGKPVALPYIVNM
+>sp|Q96E35|ZMY19_HUMAN Zinc finger MYND domain-containing protein 19 OS=Homo sapiens OX=9606 GN=ZMYND19 PE=1 SV=1
+MTDFKLGIVRLGRVAGKTKYTLIDEQDIPLVESYSFEARMEVDADGNGAKIFAYAFDKNRGRGSGRLLHELLWERHRGGVAPGFQVVHLNAVTVDNRLDNLQLVPWGWRPKAEETSSKQREQSLYWLAIQQLPTDPIEEQFPVLNVTRYYNANGDVVEEEENSCTYYECHYPPCTVIEKQLREFNICGRCQVARYCGSQCQQKDWPAHKKHCRERKRPFQHELEPER
+>DECOY_sp|Q96E35|ZMY19_HUMAN Zinc finger MYND domain-containing protein 19 OS=Homo sapiens OX=9606 GN=ZMYND19 PE=1 SV=1
+REPELEHQFPRKRERCHKKHAPWDKQQCQSGCYRAVQCRGCINFERLQKEIVTCPPYHCEYYTCSNEEEEVVDGNANYYRTVNLVPFQEEIPDTPLQQIALWYLSQERQKSSTEEAKPRWGWPVLQLNDLRNDVTVANLHVVQFGPAVGGRHREWLLEHLLRGSGRGRNKDFAYAFIKAGNGDADVEMRAEFSYSEVLPIDQEDILTYKTKGAVRGLRVIGLKFDTM
+>sp|O95789|ZMYM6_HUMAN Zinc finger MYM-type protein 6 OS=Homo sapiens OX=9606 GN=ZMYM6 PE=1 SV=2
+MKEPLDGECGKAVVPQQELLDKIKEEPDNAQEYGCVQQPKTQESKLKIGGVSSVNERPIAQQLNPGFQLSFASSGPSVLLPSVPAVAIKVFCSGCKKMLYKGQTAYHKTGSTQLFCSTRCITRHSSPACLPPPPKKTCTNCSKDILNPKDVITTRFENSYPSKDFCSQSCLSSYELKKKPVVTIYTKSISTKCSMCQKNADTRFEVKYQNVVHGLCSDACFSKFHSTNNLTMNCCENCGSYCYSSSGPCQSQKVFSSTSVTAYKQNSAQIPPYALGKSLRPSAEMIETTNDSGKTELFCSINCLSAYRVKTVTSSGVQVSCHSCKTSAIPQYHLAMSNGTIYSFCSSSCVVAFQNVFSKPKGTNSSAVPLSQGQVVVSPPSSRSAVSIGGGNTSAVSPSSIRGSAAASLQPLAEQSQQVALTHTVVKLKCQHCNHLFATKPELLFYKGKMFLFCGKNCSDEYKKKNKVVAMCDYCKLQKIIKETVRFSGVDKPFCSEVCKFLSARDFGERWGNYCKMCSYCSQTSPNLVENRLEGKLEEFCCEDCMSKFTVLFYQMAKCDGCKRQGKLSESIKWRGNIKHFCNLFCVLEFCHQQIMNDCLPQNKVNISKAKTAVTELPSARTDTTPVITSVMSLAKIPATLSTGNTNSVLKGAVTKEAAKIIQDESTQEDAMKFPSSQSSQPSRLLKNKGISCKPVTQTKATSCKPHTQHKECQTDLPMPNEKNDAELDSPPSKKKRLGFFQTYDTEYLKVGFIICPGSKESSPRPQCVICGEILSSENMKPANLSHHLKTKHSELENKPVDFFEQKSLEMECQNSSLKKCLLVEKSLVKASYLIAFQTAASKKPFSIAEELIKPYLVEMCSEVLGSSAGDKMKTIPLSNVTIQHRIDELSADIEDQLIQKVRESKWFALQIDESSEISNITLLLCYIRFIDYDCRDVKEELLFCIEMPTQITGFEIFELINKYIDSKSLNWKHCVGLCTDGAASMTGRYSGLKAKIQEVAMNTAAFTHCFIHRERLVAEKLSPCLHKILLQSAQILSFIKSNALNSRMLTILCEEMGSEHVSLPLHAEVRWISRGRMLKRLFELRHEIEIFLSQKHSDLAKYFHDEEWVGKLAYLSDIFSLINELNLSLQGTLTTFFNLCNKIDVFKRKLKMWLKRTQENDYDMFPSFSEFSNSSGLNMTDITRIIFEHLEGLSQVFSDCFPPEQDLRSGNLWIIHPFMNHQNNNLTDFEEEKLTELSSDLGLQALFKSVSVTQFWINAKTSYPELHERAMKFLLPFSTVYLCDAAFSALTESKQKNLLGSGPALRLAVTSLIPRIEKLVKEKE
+>DECOY_sp|O95789|ZMYM6_HUMAN Zinc finger MYM-type protein 6 OS=Homo sapiens OX=9606 GN=ZMYM6 PE=1 SV=2
+EKEKVLKEIRPILSTVALRLAPGSGLLNKQKSETLASFAADCLYVTSFPLLFKMAREHLEPYSTKANIWFQTVSVSKFLAQLGLDSSLETLKEEEFDTLNNNQHNMFPHIIWLNGSRLDQEPPFCDSFVQSLGELHEFIIRTIDTMNLGSSNSFESFSPFMDYDNEQTRKLWMKLKRKFVDIKNCLNFFTTLTGQLSLNLENILSFIDSLYALKGVWEEDHFYKALDSHKQSLFIEIEHRLEFLRKLMRGRSIWRVEAHLPLSVHESGMEECLITLMRSNLANSKIFSLIQASQLLIKHLCPSLKEAVLRERHIFCHTFAATNMAVEQIKAKLGSYRGTMSAAGDTCLGVCHKWNLSKSDIYKNILEFIEFGTIQTPMEICFLLEEKVDRCDYDIFRIYCLLLTINSIESSEDIQLAFWKSERVKQILQDEIDASLEDIRHQITVNSLPITKMKDGASSGLVESCMEVLYPKILEEAISFPKKSAATQFAILYSAKVLSKEVLLCKKLSSNQCEMELSKQEFFDVPKNELESHKTKLHHSLNAPKMNESSLIEGCIVCQPRPSSEKSGPCIIFGVKLYETDYTQFFGLRKKKSPPSDLEADNKENPMPLDTQCEKHQTHPKCSTAKTQTVPKCSIGKNKLLRSPQSSQSSPFKMADEQTSEDQIIKAAEKTVAGKLVSNTNGTSLTAPIKALSMVSTIVPTTDTRASPLETVATKAKSINVKNQPLCDNMIQQHCFELVCFLNCFHKINGRWKISESLKGQRKCGDCKAMQYFLVTFKSMCDECCFEELKGELRNEVLNPSTQSCYSCMKCYNGWREGFDRASLFKCVESCFPKDVGSFRVTEKIIKQLKCYDCMAVVKNKKKYEDSCNKGCFLFMKGKYFLLEPKTAFLHNCHQCKLKVVTHTLAVQQSQEALPQLSAAASGRISSPSVASTNGGGISVASRSSPPSVVVQGQSLPVASSNTGKPKSFVNQFAVVCSSSCFSYITGNSMALHYQPIASTKCSHCSVQVGSSTVTKVRYASLCNISCFLETKGSDNTTEIMEASPRLSKGLAYPPIQASNQKYATVSTSSFVKQSQCPGSSSYCYSGCNECCNMTLNNTSHFKSFCADSCLGHVVNQYKVEFRTDANKQCMSCKTSISKTYITVVPKKKLEYSSLCSQSCFDKSPYSNEFRTTIVDKPNLIDKSCNTCTKKPPPPLCAPSSHRTICRTSCFLQTSGTKHYATQGKYLMKKCGSCFVKIAVAPVSPLLVSPGSSAFSLQFGPNLQQAIPRENVSSVGGIKLKSEQTKPQQVCGYEQANDPEEKIKDLLEQQPVVAKGCEGDLPEKM
+>sp|Q9H2Y7|ZN106_HUMAN Zinc finger protein 106 OS=Homo sapiens OX=9606 GN=ZNF106 PE=1 SV=1
+MPVGRIECPSSPSFPRDISHECRVCGVTEVGLSAYAKHISGQLHKDNVDAQEREDDGKGEEEEEDYFDKELIQLIKQRKEQSRQDEPSNSNQEINSDDRRPQWRREDRIPYQDRESYSQPAWHHRGPPQRDWKWEKDGFNNTRKNSFPHSLRNGGGPRGRSGWHKGVAGGSSTWFHNHSNSGGGWLSNSGAVDWNHNGTGRNSSWLSEGTGGFSSWHMNNSNGNWKSSVRSTNNWNYSGPGDKFQPGRNRNSNCQMEDMTMLWNKKSNKSNKYSHDRYNWQRQENDKLGTVATYRGPSEGFTSDKFPSEGLLDFNFEQLESQTTKQADTATSKVSGKNGSAAREKPRRWTPYPSQKTLDLQSGLKDITGNKSEMIEKPLFDFSLITTGIQEPQTDETRNSPTQKTQKEIHTGSLNHKASSDSAASFEVVRQCPTAEKPEQEHTPNKMPSLKSPLLPCPATKSLSQKQDPKNISKNTKTNFFSPGEHSNPSNKPTVEDNHGPYISKLRSSCPHVLKGNKSTFGSQKQSGDNLNDTLRKAKEVLQCHESLQNPLLSTSKSTRNYAKASRNVEESEKGSLKIEFQVHALEDESDGETSDTEKHGTKIGTLGSATTELLSGSTRTADEKEEDDRILKTSRELSTSPCNPIVRQKESELQMTSAASPHPGLLLDLKTSLEDAQVDDSIKSHVSYETEGFESASLDAELQKSDISQPSGPLLPELSKLGFPASLQRDLTRHISLKSKTGVHLPEPNLNSARRIRNISGHRKSETEKESGLKPTLRQILNASRRNVNWEQVIQQVTKKKQELGKGLPRFGIEMVPLVQNEQEALDLDGEPDLSSLEGFQWEGVSISSSPGLARKRSLSESSVIMDRAPSVYSFFSEEGTGKENEPQQMVSPSNSLRAGQSQKATMHLKQEVTPRAASLRTGERAENVATQRRHSAQLSSDHIIPLMHLAKDLNSQERSIPPSENQNSQESNGEGNCLSSSASSALAISSLADAATDSSCTSGAEQNDGQSIRKKRRATGDGSSPELPSLERKNKRRKIKGKKERSQVDQLLNISLREEELSKSLQCMDNNLLQARAALQTAYVEVQRLLMLKQQITMEMSALRTHRIQILQGLQETYEPSEHPDQVPCSLTRERRNSRSQTSIDAALLPTPFFPLFLEPPSSHVSPSPTGASLQITTSPTFQTHGSVPAPDSSVQIKQEPMSPEQDENVNAVPPSSACNVSKELLEANREISDSCPVYPVITARLSLPESTESFHEPSQELKFSVEQRNTRNRENSPSSQSAGLSSINKEGEEPTKGNSGSEACTSSFLRLSFASETPLEKEPHSPADQPEQQAESTLTSAETRGSKKKKKLRKKKSLRAAHVPENSDTEQDVLTVKPVRKVKAGKLIKGGKVTTSTWEDSRTGREQESVRDEPDSDSSLEVLEIPNPQLEVVAIDSSESGEEKPDSPSKKDIWNSTEQNPLETSRSGCDEVSSTSEIGTRYKDGIPVSVAETQTVISSIKGSKNSSEISSEPGDDDEPTEGSFEGHQAAVNAIQIFGNLLYTCSADKTVRVYNLVSRKCIGVFEGHTSKVNCLLVTQTSGKNAALYTGSSDHTIRCYNVKSRECVEQLQLEDRVLCLHSRWRILYAGLANGTVVTFNIKNNKRLEIFECHGPRAVSCLATAQEGARKLLVVGSYDCTISVRDARNGLLLRTLEGHSKTILCMKVVNDLVFSGSSDQSVHAHNIHTGELVRIYKGHNHAVTVVNILGKVMVTACLDKFVRVYELQSHDRLQVYGGHKDMIMCMTIHKSMIYTGCYDGSIQAVRLNLMQNYRCWWHGCSLIFGVVDHLKQHLLTDHTNPNFQTLKCRWKNCDAFFTARKGSKQDAAGHIERHAEDDSKIDS
+>DECOY_sp|Q9H2Y7|ZN106_HUMAN Zinc finger protein 106 OS=Homo sapiens OX=9606 GN=ZNF106 PE=1 SV=1
+SDIKSDDEAHREIHGAADQKSGKRATFFADCNKWRCKLTQFNPNTHDTLLHQKLHDVVGFILSCGHWWCRYNQMLNLRVAQISGDYCGTYIMSKHITMCMIMDKHGGYVQLRDHSQLEYVRVFKDLCATVMVKGLINVVTVAHNHGKYIRVLEGTHINHAHVSQDSSGSFVLDNVVKMCLITKSHGELTRLLLGNRADRVSITCDYSGVVLLKRAGEQATALCSVARPGHCEFIELRKNNKINFTVVTGNALGAYLIRWRSHLCLVRDELQLQEVCERSKVNYCRITHDSSGTYLAANKGSTQTVLLCNVKSTHGEFVGICKRSVLNYVRVTKDASCTYLLNGFIQIANVAAQHGEFSGETPEDDDGPESSIESSNKSGKISSIVTQTEAVSVPIGDKYRTGIESTSSVEDCGSRSTELPNQETSNWIDKKSPSDPKEEGSESSDIAVVELQPNPIELVELSSDSDPEDRVSEQERGTRSDEWTSTTVKGGKILKGAKVKRVPKVTLVDQETDSNEPVHAARLSKKKRLKKKKKSGRTEASTLTSEAQQEPQDAPSHPEKELPTESAFSLRLFSSTCAESGSNGKTPEEGEKNISSLGASQSSPSNERNRTNRQEVSFKLEQSPEHFSETSEPLSLRATIVPYVPCSDSIERNAELLEKSVNCASSPPVANVNEDQEPSMPEQKIQVSSDPAPVSGHTQFTPSTTIQLSAGTPSPSVHSSPPELFLPFFPTPLLAADISTQSRSNRRERTLSCPVQDPHESPEYTEQLGQLIQIRHTRLASMEMTIQQKLMLLRQVEVYATQLAARAQLLNNDMCQLSKSLEEERLSINLLQDVQSREKKGKIKRRKNKRELSPLEPSSGDGTARRKKRISQGDNQEAGSTCSSDTAADALSSIALASSASSSLCNGEGNSEQSNQNESPPISREQSNLDKALHMLPIIHDSSLQASHRRQTAVNEAREGTRLSAARPTVEQKLHMTAKQSQGARLSNSPSVMQQPENEKGTGEESFFSYVSPARDMIVSSESLSRKRALGPSSSISVGEWQFGELSSLDPEGDLDLAEQENQVLPVMEIGFRPLGKGLEQKKKTVQQIVQEWNVNRRSANLIQRLTPKLGSEKETESKRHGSINRIRRASNLNPEPLHVGTKSKLSIHRTLDRQLSAPFGLKSLEPLLPGSPQSIDSKQLEADLSASEFGETEYSVHSKISDDVQADELSTKLDLLLGPHPSAASTMQLESEKQRVIPNCPSTSLERSTKLIRDDEEKEDATRTSGSLLETTASGLTGIKTGHKETDSTEGDSEDELAHVQFEIKLSGKESEEVNRSAKAYNRTSKSTSLLPNQLSEHCQLVEKAKRLTDNLNDGSQKQSGFTSKNGKLVHPCSSRLKSIYPGHNDEVTPKNSPNSHEGPSFFNTKTNKSINKPDQKQSLSKTAPCPLLPSKLSPMKNPTHEQEPKEATPCQRVVEFSAASDSSAKHNLSGTHIEKQTKQTPSNRTEDTQPEQIGTTILSFDFLPKEIMESKNGTIDKLGSQLDLTKQSPYPTWRRPKERAASGNKGSVKSTATDAQKTTQSELQEFNFDLLGESPFKDSTFGESPGRYTAVTGLKDNEQRQWNYRDHSYKNSKNSKKNWLMTMDEMQCNSNRNRGPQFKDGPGSYNWNNTSRVSSKWNGNSNNMHWSSFGGTGESLWSSNRGTGNHNWDVAGSNSLWGGGSNSHNHFWTSSGGAVGKHWGSRGRPGGGNRLSHPFSNKRTNNFGDKEWKWDRQPPGRHHWAPQSYSERDQYPIRDERRWQPRRDDSNIEQNSNSPEDQRSQEKRQKILQILEKDFYDEEEEEGKGDDEREQADVNDKHLQGSIHKAYASLGVETVGCVRCEHSIDRPFSPSSPCEIRGVPM
+>sp|Q8NC26|ZN114_HUMAN Zinc finger protein 114 OS=Homo sapiens OX=9606 GN=ZNF114 PE=1 SV=1
+MSQDSVTFADVAVNFTKEEWTLLDPAQRNLYRDVMLENSRNLAFIDWATPCKTKDATPQPDILPKRTFPEANRVCLTSISSQHSTLREDWRCPKTEEPHRQGVNNVKPPAVAPEKDESPVSICEDHEMRNHSKPTCRLVPSQGDSIRQCILTRDSSIFKYNPVLNDSQKTHENNEDDGVLGWNIQWVPCGRKTELKSSTWTGSQNTVHHIRDEIDTGANRHQRNPFGKAFREDGSLRAHNTHGREKMYDFTQCENTSRNNSIHAMQMQLYTAETNKKDCQTGATSANAPNSGSHKSHCTGEKTHKCPECGRAFFYQSFLMRHMKIHTGEKPYECGKCGKAFRYSLHLNKHLRKHVVQKKPYECEECGKVIRESSKYTHIRSHTGEKPYKCKTCGKDFAKSSGLKKHLKTHKDEKPCE
+>DECOY_sp|Q8NC26|ZN114_HUMAN Zinc finger protein 114 OS=Homo sapiens OX=9606 GN=ZNF114 PE=1 SV=1
+ECPKEDKHTKLHKKLGSSKAFDKGCTKCKYPKEGTHSRIHTYKSSERIVKGCEECEYPKKQVVHKRLHKNLHLSYRFAKGCKGCEYPKEGTHIKMHRMLFSQYFFARGCEPCKHTKEGTCHSKHSGSNPANASTAGTQCDKKNTEATYLQMQMAHISNNRSTNECQTFDYMKERGHTNHARLSGDERFAKGFPNRQHRNAGTDIEDRIHHVTNQSGTWTSSKLETKRGCPVWQINWGLVGDDENNEHTKQSDNLVPNYKFISSDRTLICQRISDGQSPVLRCTPKSHNRMEHDECISVPSEDKEPAVAPPKVNNVGQRHPEETKPCRWDERLTSHQSSISTLCVRNAEPFTRKPLIDPQPTADKTKCPTAWDIFALNRSNELMVDRYLNRQAPDLLTWEEKTFNVAVDAFTVSDQSM
+>sp|P52740|ZN132_HUMAN Zinc finger protein 132 OS=Homo sapiens OX=9606 GN=ZNF132 PE=2 SV=2
+MALPSPQVLMGLPALLMGPAQHTSWPCGSAVPTLKSMVTFEDVAVYFSQEEWELLDAAQRHLYHSVMLENLELVTSLGSWHGVEGEGAHPKQNVSVEVLQVRIPNADPSTKKANSCDMCGPFLKDILHLAEHQGTQSEEKPYTCGACGRDFWLNANLHQHQKEHSGGKPFRWYKDRDALMKSSKVHLSENPFTCREGGKVILGSCDLLQLQAVDSGQKPYSNLGQLPEVCTTQKLFECSNCGKAFLKSSTLPNHLRTHSEEIPFTCPTGGNFLEEKSILGNKKFHTGEIPHVCKECGKAFSHSSKLRKHQKFHTEVKYYECIACGKTFNHKLTFVHHQRIHSGERPYECDECGKAFSNRSHLIRHEKVHTGERPFECLKCGRAFSQSSNFLRHQKVHTQVRPYECSQCGKSFSRSSALIQHWRVHTGERPYECSECGRAFNNNSNLAQHQKVHTGERPFECSECGRDFSQSSHLLRHQKVHTGERPFECCDCGKAFSNSSTLIQHQKVHTGQRPYECSECRKSFSRSSSLIQHWRIHTGEKPYECSECGKAFAHSSTLIEHWRVHTKERPYECNECGKFFSQNSILIKHQKVHTGEKPYKCSECGKFFSRKSSLICHWRVHTGERPYECSECGRAFSSNSHLVRHQRVHTQERPYECIQCGKAFSERSTLVRHQKVHTRERTYECSQCGKLFSHLCNLAQHKKIHT
+>DECOY_sp|P52740|ZN132_HUMAN Zinc finger protein 132 OS=Homo sapiens OX=9606 GN=ZNF132 PE=2 SV=2
+THIKKHQALNCLHSFLKGCQSCEYTRERTHVKQHRVLTSRESFAKGCQICEYPREQTHVRQHRVLHSNSSFARGCESCEYPREGTHVRWHCILSSKRSFFKGCESCKYPKEGTHVKQHKILISNQSFFKGCENCEYPREKTHVRWHEILTSSHAFAKGCESCEYPKEGTHIRWHQILSSSRSFSKRCESCEYPRQGTHVKQHQILTSSNSFAKGCDCCEFPREGTHVKQHRLLHSSQSFDRGCESCEFPREGTHVKQHQALNSNNNFARGCESCEYPREGTHVRWHQILASSRSFSKGCQSCEYPRVQTHVKQHRLFNSSQSFARGCKLCEFPREGTHVKEHRILHSRNSFAKGCEDCEYPREGSHIRQHHVFTLKHNFTKGCAICEYYKVETHFKQHKRLKSSHSFAKGCEKCVHPIEGTHFKKNGLISKEELFNGGTPCTFPIEESHTRLHNPLTSSKLFAKGCNSCEFLKQTTCVEPLQGLNSYPKQGSDVAQLQLLDCSGLIVKGGERCTFPNESLHVKSSKMLADRDKYWRFPKGGSHEKQHQHLNANLWFDRGCAGCTYPKEESQTGQHEALHLIDKLFPGCMDCSNAKKTSPDANPIRVQLVEVSVNQKPHAGEGEVGHWSGLSTVLELNELMVSHYLHRQAADLLEWEEQSFYVAVDEFTVMSKLTPVASGCPWSTHQAPGMLLAPLGMLVQPSPLAM
+>sp|P52742|ZN135_HUMAN Zinc finger protein 135 OS=Homo sapiens OX=9606 GN=ZNF135 PE=2 SV=3
+MTPGVRVSTDPEQVTFEDVVVGFSQEEWGQLKPAQRTLYRDVMLDTFRLLVSVGHWLPKPNVISLLEQEAELWAVESRLPQGVYPDLETRPKVKLSVLKQGISEEISNSVILVERFLWDGLWYCRGEDTEGHWEWSCESLESLAVPVAFTPVKTPVLEQWQRNGFGENISLNPDLPHQPMTPERQSPHTWGTRGKREKPDLNVLQKTCVKEKPYKCQECGKAFSHSSALIEHHRTHTGERPYECHECLKGFRNSSALTKHQRIHTGEKPYKCTQCGRTFNQIAPLIQHQRTHTGEKPYECSECGKSFSFRSSFSQHERTHTGEKPYECSECGKAFRQSIHLTQHLRIHTGEKPYQCGECGKAFSHSSSLTKHQRIHTGEKPYECHECGKAFTQITPLIQHQRTHTGEKPYECGECGKAFSQSTLLTEHRRIHTGEKPYGCNECGKTFSHSSSLSQHERTHTGEKPYECSQCGKAFRQSTHLTQHQRIHTGEKPYECNDCGKAFSHSSSLTKHQRIHTGEKPYECNQCGRAFSQLAPLIQHQRIHTGEKPYECNQCGRAFSQSSLLIEHQRIHTKEKPYGCNECGKSFSHSSSLSQHERTHTGEKPYECHDCGKSFRQSTHLTQHRRIHTGEKPYACRDCGKAFTHSSSLTKHQRTHTG
+>DECOY_sp|P52742|ZN135_HUMAN Zinc finger protein 135 OS=Homo sapiens OX=9606 GN=ZNF135 PE=2 SV=3
+GTHTRQHKTLSSSHTFAKGCDRCAYPKEGTHIRRHQTLHTSQRFSKGCDHCEYPKEGTHTREHQSLSSSHSFSKGCENCGYPKEKTHIRQHEILLSSQSFARGCQNCEYPKEGTHIRQHQILPALQSFARGCQNCEYPKEGTHIRQHKTLSSSHSFAKGCDNCEYPKEGTHIRQHQTLHTSQRFAKGCQSCEYPKEGTHTREHQSLSSSHSFTKGCENCGYPKEGTHIRRHETLLTSQSFAKGCEGCEYPKEGTHTRQHQILPTIQTFAKGCEHCEYPKEGTHIRQHKTLSSSHSFAKGCEGCQYPKEGTHIRLHQTLHISQRFAKGCESCEYPKEGTHTREHQSFSSRFSFSKGCESCEYPKEGTHTRQHQILPAIQNFTRGCQTCKYPKEGTHIRQHKTLASSNRFGKLCEHCEYPREGTHTRHHEILASSHSFAKGCEQCKYPKEKVCTKQLVNLDPKERKGRTGWTHPSQREPTMPQHPLDPNLSINEGFGNRQWQELVPTKVPTFAVPVALSELSECSWEWHGETDEGRCYWLGDWLFREVLIVSNSIEESIGQKLVSLKVKPRTELDPYVGQPLRSEVAWLEAEQELLSIVNPKPLWHGVSVLLRFTDLMVDRYLTRQAPKLQGWEEQSFGVVVDEFTVQEPDTSVRVGPTM
+>sp|P52746|ZN142_HUMAN Zinc finger protein 142 OS=Homo sapiens OX=9606 GN=ZNF142 PE=1 SV=4
+MTDPLLDSQPASSTGEMDGLCPELLLIPPPLSNRGILGPVQSPCPSRDPAPIPTEPGCLLVEATATEEGPGNMEIIVETVAGTLTPGAPGETPAPKLPPGEREPSQEAGTPLPGQETAEEENVEKEEKSDTQKDSQKAVDKGQGAQRLEGDVVSGTESLFKTHMCPECKRCFKKRTHLVEHLHLHFPDPSLQCPNCQKFFTSKSKLKTHLLRELGEKAHHCPLCHYSAVERNALNRHMASMHEDISNFYSDTYACPVCREEFRLSQALKEHLKSHTAAAAAEPLPLRCFQEGCSYAAPDRKAFIKHLKETHGVRAVECRHHSCPMLFATAEAMEAHHKSHYAFHCPHCDFACSNKHLFRKHKKQGHPGSEELRCTFCPFATFNPVAYQDHVGKMHAHEKIHQCPECNFATAHKRVLIRHMLLHTGEKPHKCELCDFTCRDVSYLSKHMLTHSNTKDYMCTECGYVTKWKHYLRVHMRKHAGDLRYQCNQCSYRCHRADQLSSHKLRHQGKSLMCEVCAFACKRKYELQKHMASQHHPGTPAPLYPCHYCSYQSRHKQAVLSHENCKHTRLREFHCALCDYRTFSNTTLLFHKRKAHGYVPGDQAWQLRYASQEPEGAMQGPTPPPDSEPSNQLSARPEGPGHEPGTVVDPSLDQALPEMSEEVNTGRQEGSEAPHGGDLGGSPSPAEVEEGSCTLHLEALGVELESVTEPPLEEVTETAPMEFRPLGLEGPDGLEGPELSSFEGIGTSDLSAEENPLLEKPVSEPSTNPPSLEEAPNNWVGTFKTTPPAETAPLPPLPESESLLKALRRQDKEQAEALVLEGRVQMVVIQGEGRAFRCPHCPFITRREKALNLHSRTGCQGRREPLLCPECGASFKQQRGLSTHLLKKCPVLLRKNKGLPRPDSPIPLQPVLPGTQASEDTESGKPPPASQEAELLLPKDAPLELPREPEETEEPLATVSGSPVPPAGNSLPTEAPKKHCFDPVPPAGNSSPTEAPKKHHLDPVPPAGNSSPTEALKKHRFEQGKFHCNSCPFLCSRLSSITSHVAEGCRGGRGGGGKRGTPQTQPDVSPLSNGDSAPPKNGSTESSSGDGDTVLVQKQKGARFSCPTCPFSCQQERALRTHQIRGCPLEESGELHCSLCPFTAPAATALRLHQKRRHPTAAPARGPRPHLQCGDCGFTCKQSRCMQQHRRLKHEGVKPHQCPFCDFSTTRRYRLEAHQSRHTGIGRIPCSSCPQTFGTNSKLRLHRLRVHDKTPTHFCPLCDYSGYLRHDITRHVNSCHQGTPAFACSQCEAQFSSETALKQHALRRHPEPAQPAPGSPAETTEGPLHCSRCGLLCPSPASLRGHTRKQHPRLECGACQEAFPSRLALDEHRRQQHFSHRCQLCDFAARERVGLVKHYLEQHEETSAAVAASDGDGDAGQPPLHCPFCDFTCRHQLVLDHHVKGHGGTRLYKCTDCAYSTKNRQKITWHSRIHTGEKPYHCHLCPYACADPSRLKYHMRIHKEERKYLCPECGYKCKWVNQLKYHMTKHTGLKPYQCPECEYCTNRADALRVHQETRHREARAFMCEQCGKAFKTRFLLRTHLRKHSEAKPYVCNVCHRAFRWAAGLRHHALTHTDRHPFFCRLCNYKAKQKFQVVKHVRRHHPDQADPNQGVGKDPTTPTVHLHDVQLEDPSPPAPAAPHTGPEG
+>DECOY_sp|P52746|ZN142_HUMAN Zinc finger protein 142 OS=Homo sapiens OX=9606 GN=ZNF142 PE=1 SV=4
+GEPGTHPAAPAPPSPDELQVDHLHVTPTTPDKGVGQNPDAQDPHHRRVHKVVQFKQKAKYNCLRCFFPHRDTHTLAHHRLGAAWRFARHCVNCVYPKAESHKRLHTRLLFRTKFAKGCQECMFARAERHRTEQHVRLADARNTCYECEPCQYPKLGTHKTMHYKLQNVWKCKYGCEPCLYKREEKHIRMHYKLRSPDACAYPCLHCHYPKEGTHIRSHWTIKQRNKTSYACDTCKYLRTGGHGKVHHDLVLQHRCTFDCFPCHLPPQGADGDGDSAAVAASTEEHQELYHKVLGVRERAAFDCLQCRHSFHQQRRHEDLALRSPFAEQCAGCELRPHQKRTHGRLSAPSPCLLGCRSCHLPGETTEAPSGPAPQAPEPHRRLAHQKLATESSFQAECQSCAFAPTGQHCSNVHRTIDHRLYGSYDCLPCFHTPTKDHVRLRHLRLKSNTGFTQPCSSCPIRGIGTHRSQHAELRYRRTTSFDCFPCQHPKVGEHKLRRHQQMCRSQKCTFGCDGCQLHPRPGRAPAATPHRRKQHLRLATAAPATFPCLSCHLEGSEELPCGRIQHTRLAREQQCSFPCTPCSFRAGKQKQVLVTDGDGSSSETSGNKPPASDGNSLPSVDPQTQPTGRKGGGGRGGRCGEAVHSTISSLRSCLFPCSNCHFKGQEFRHKKLAETPSSNGAPPVPDLHHKKPAETPSSNGAPPVPDFCHKKPAETPLSNGAPPVPSGSVTALPEETEEPERPLELPADKPLLLEAEQSAPPPKGSETDESAQTGPLVPQLPIPSDPRPLGKNKRLLVPCKKLLHTSLGRQQKFSAGCEPCLLPERRGQCGTRSHLNLAKERRTIFPCHPCRFARGEGQIVVMQVRGELVLAEAQEKDQRRLAKLLSESEPLPPLPATEAPPTTKFTGVWNNPAEELSPPNTSPESVPKELLPNEEASLDSTGIGEFSSLEPGELGDPGELGLPRFEMPATETVEELPPETVSELEVGLAELHLTCSGEEVEAPSPSGGLDGGHPAESGEQRGTNVEESMEPLAQDLSPDVVTGPEHGPGEPRASLQNSPESDPPPTPGQMAGEPEQSAYRLQWAQDGPVYGHAKRKHFLLTTNSFTRYDCLACHFERLRTHKCNEHSLVAQKHRSQYSCYHCPYLPAPTGPHHQSAMHKQLEYKRKCAFACVECMLSKGQHRLKHSSLQDARHCRYSCQNCQYRLDGAHKRMHVRLYHKWKTVYGCETCMYDKTNSHTLMHKSLYSVDRCTFDCLECKHPKEGTHLLMHRILVRKHATAFNCEPCQHIKEHAHMKGVHDQYAVPNFTAFPCFTCRLEESGPHGQKKHKRFLHKNSCAFDCHPCHFAYHSKHHAEMAEATAFLMPCSHHRCEVARVGHTEKLHKIFAKRDPAAYSCGEQFCRLPLPEAAAAATHSKLHEKLAQSLRFEERCVPCAYTDSYFNSIDEHMSAMHRNLANREVASYHCLPCHHAKEGLERLLHTKLKSKSTFFKQCNPCQLSPDPFHLHLHEVLHTRKKFCRKCEPCMHTKFLSETGSVVDGELRQAGQGKDVAKQSDKQTDSKEEKEVNEEEATEQGPLPTGAEQSPEREGPPLKPAPTEGPAGPTLTGAVTEVIIEMNGPGEETATAEVLLCGPETPIPAPDRSPCPSQVPGLIGRNSLPPPILLLEPCLGDMEGTSSAPQSDLLPDTM
+>sp|Q13106|ZN154_HUMAN Zinc finger protein 154 OS=Homo sapiens OX=9606 GN=ZNF154 PE=2 SV=3
+MAAATLRTPTQGTVTFEDVAVHFSWEEWGLLDEAQRCLYRDVMLENLALLTSLDVHHQKQHLGEKHFRSNVGRALFVKTCTFHVSGEPSTCREVGKDFLAKLGFLHQQAAHTGEQSNSKSDGGAISHRGKTHYNCGEHTKAFSGKHTLVQQQRTLTTERCYICSECGKSFSKSYSLNDHWRLHTGEKPYECRECGKSFRQSSSLIQHRRVHTAVRPHECDECGKLFSNKSNLIKHRRVHTGERPYECSECGKSFSQRSALLQHRGVHTGERPYECSECGKFFTYHSSLIKHQKVHSGSRPYECSECGKSFSQNSSLIEHHRVHTGERPYKCSECGKSFSQRSALLQHRGVHTGERPYECSECGKFFPYSSSLRKHQRVHTGSRPYECSECGKSFTQNSGLIKHRRVHTGEKPYECTECGKSFSHNSSLIKHQRIHSR
+>DECOY_sp|Q13106|ZN154_HUMAN Zinc finger protein 154 OS=Homo sapiens OX=9606 GN=ZNF154 PE=2 SV=3
+RSHIRQHKILSSNHSFSKGCETCEYPKEGTHVRRHKILGSNQTFSKGCESCEYPRSGTHVRQHKRLSSSYPFFKGCESCEYPREGTHVGRHQLLASRQSFSKGCESCKYPREGTHVRHHEILSSNQSFSKGCESCEYPRSGSHVKQHKILSSHYTFFKGCESCEYPREGTHVGRHQLLASRQSFSKGCESCEYPREGTHVRRHKILNSKNSFLKGCEDCEHPRVATHVRRHQILSSSQRFSKGCERCEYPKEGTHLRWHDNLSYSKSFSKGCESCIYCRETTLTRQQQVLTHKGSFAKTHEGCNYHTKGRHSIAGGDSKSNSQEGTHAAQQHLFGLKALFDKGVERCTSPEGSVHFTCTKVFLARGVNSRFHKEGLHQKQHHVDLSTLLALNELMVDRYLCRQAEDLLGWEEWSFHVAVDEFTVTGQTPTRLTAAAM
+>sp|P49910|ZN165_HUMAN Zinc finger protein 165 OS=Homo sapiens OX=9606 GN=ZNF165 PE=1 SV=1
+MATEPKKAAAQNSPEDEGLLIVKIEEEEFIHGQDTCLQRSELLKQELCRQLFRQFCYQDSPGPREALSRLRELCCQWLKPEIHTKEQILELLVLEQFLTILPGDLQAWVHEHYPESGEEAVTILEDLERGTDEAVLQVQAHEHGQEIFQKKVSPPGPALNVKLQPVETKAHFDSSEPQLLWDCDNESENSRSMPKLEIFEKIESQRIISGRISGYISEASGESQDICKSAGRVKRQWEKESGESQRLSSAQDEGFGKILTHKNTVRGEIISHDGCERRLNLNSNEFTHQKSCKHGTCDQSFKWNSDFINHQIIYAGEKNHQYGKSFKSPKLAKHAAVFSGDKTHQCNECGKAFRHSSKLARHQRIHTGERCYECNECGKSFAESSDLTRHRRIHTGERPFGCKECGRAFNLNSHLIRHQRIHTREKPYECSECGKTFRVSSHLIRHFRIHTGEKPYECSECGRAFSQSSNLSQHQRIHMRENLLM
+>DECOY_sp|P49910|ZN165_HUMAN Zinc finger protein 165 OS=Homo sapiens OX=9606 GN=ZNF165 PE=1 SV=1
+MLLNERMHIRQHQSLNSSQSFARGCESCEYPKEGTHIRFHRILHSSVRFTKGCESCEYPKERTHIRQHRILHSNLNFARGCEKCGFPREGTHIRRHRTLDSSEAFSKGCENCEYCREGTHIRQHRALKSSHRFAKGCENCQHTKDGSFVAAHKALKPSKFSKGYQHNKEGAYIIQHNIFDSNWKFSQDCTGHKCSKQHTFENSNLNLRRECGDHSIIEGRVTNKHTLIKGFGEDQASSLRQSEGSEKEWQRKVRGASKCIDQSEGSAESIYGSIRGSIIRQSEIKEFIELKPMSRSNESENDCDWLLQPESSDFHAKTEVPQLKVNLAPGPPSVKKQFIEQGHEHAQVQLVAEDTGRELDELITVAEEGSEPYHEHVWAQLDGPLITLFQELVLLELIQEKTHIEPKLWQCCLERLRSLAERPGPSDQYCFQRFLQRCLEQKLLESRQLCTDQGHIFEEEEIKVILLGEDEPSNQAAAKKPETAM
+>sp|Q13360|ZN177_HUMAN Zinc finger protein 177 OS=Homo sapiens OX=9606 GN=ZNF177 PE=1 SV=4
+MAAGWLTTWSQNSVTFQEVAVDFSQEEWALLDPAQKNLYKDVMLENFRNLASVGYQLCRHSLISKVDQEQLKTDERGILQGDCADWETQLKPKDTIAMQNIPGGKTSNGINTAENQPGEHSLECNHCGKFRKNTRFICTRYCKGEKCYKYIKYSKVFNHPSTLRSHVSIHIGEKTLEFTDCRKAFNQESSLRKHLRTPTGQKFQEYEQCDMSFSLHSSCSVREQIPTGEKGDECSDYGKISPLSVHTKTGSVEEGLECNEHEKTFTDPLSLQNCVRTHSGEMPYECSDCGKAFIFQSSLKKHMRSHTGEKPYECDHCGKSFSQSSHLNVHKRTHTGEKPYDCKECGKAFTVPSSLQKHVRTHTGEKPYECSDCGKAFIDQSSLKKHTRSHTGEKPYECNQCGKSFSTGSYLIVHKRTHTGEKTYECKECGKAFRNSSCLRVHVRTHTGEKPYKCIQCEKAFSTSTNLIMHKRIHNGQKLHE
+>DECOY_sp|Q13360|ZN177_HUMAN Zinc finger protein 177 OS=Homo sapiens OX=9606 GN=ZNF177 PE=1 SV=4
+EHLKQGNHIRKHMILNTSTSFAKECQICKYPKEGTHTRVHVRLCSSNRFAKGCEKCEYTKEGTHTRKHVILYSGTSFSKGCQNCEYPKEGTHSRTHKKLSSQDIFAKGCDSCEYPKEGTHTRVHKQLSSPVTFAKGCEKCDYPKEGTHTRKHVNLHSSQSFSKGCHDCEYPKEGTHSRMHKKLSSQFIFAKGCDSCEYPMEGSHTRVCNQLSLPDTFTKEHENCELGEEVSGTKTHVSLPSIKGYDSCEDGKEGTPIQERVSCSSHLSFSMDCQEYEQFKQGTPTRLHKRLSSEQNFAKRCDTFELTKEGIHISVHSRLTSPHNFVKSYKIYKYCKEGKCYRTCIFRTNKRFKGCHNCELSHEGPQNEATNIGNSTKGGPINQMAITDKPKLQTEWDACDGQLIGREDTKLQEQDVKSILSHRCLQYGVSALNRFNELMVDKYLNKQAPDLLAWEEQSFDVAVEQFTVSNQSWTTLWGAAM
+>sp|P17025|ZN182_HUMAN Zinc finger protein 182 OS=Homo sapiens OX=9606 GN=ZNF182 PE=2 SV=2
+MTPASASGEDSGSFYSWQKAKREQGLVTFEDVAVDFTQEEWQYLNPPQRTLYRDVMLETYSNLVFVGQQVTKPNLILKLEVEECPAEGKIPFWNFPEVCQVDEQIERQHQDDQDKCLLMQVGFSDKKTIITKSARDCHEFGNILHLSTNLVASIQRPDKHESFGNNMVDNLDLFSRSSAENKYDNGCAKLFFHTEYEKTNPGMKPYGYKECGKGLRRKKGLSLHQRIKNGEKPFECTACRKTFSKKSHLIVHWRTHTGEKPFGCTECGKAFSQKSQLIIHLRTHTGERPFECPECGKAFREKSTVIIHYRTHTGEKPYECNECGKAFTQKSNLIVHQKTHTGEKTYECTKCGESFIQKLDLIIHHSTHTGKKPHECNECKKTFSDKSTLIIHQRTHTGEKPHKCTECGKSFNEKSTLIVHQRTHTGEKPYECDVCGKTFTQKSNLGVHQRTHSGEKPFECNECEKAFSQKSYLMLHQRGHTGEKPYECNECEKAFSQKSYLIIHQRTHTEEKPYKCNECGKAFREKSKLIIHQRIHTGEKPYECPVCWKAFSQKSQLIIHQRTHTGEKPYACTECGKAFREKSTFTVHQRTHTGEKPYKCTECGKAFTQKSNLIVHQRTHAGKKAHGRGHTRKSKFMAH
+>DECOY_sp|P17025|ZN182_HUMAN Zinc finger protein 182 OS=Homo sapiens OX=9606 GN=ZNF182 PE=2 SV=2
+HAMFKSKRTHGRGHAKKGAHTRQHVILNSKQTFAKGCETCKYPKEGTHTRQHVTFTSKERFAKGCETCAYPKEGTHTRQHIILQSKQSFAKWCVPCEYPKEGTHIRQHIILKSKERFAKGCENCKYPKEETHTRQHIILYSKQSFAKECENCEYPKEGTHGRQHLMLYSKQSFAKECENCEFPKEGSHTRQHVGLNSKQTFTKGCVDCEYPKEGTHTRQHVILTSKENFSKGCETCKHPKEGTHTRQHIILTSKDSFTKKCENCEHPKKGTHTSHHIILDLKQIFSEGCKTCEYTKEGTHTKQHVILNSKQTFAKGCENCEYPKEGTHTRYHIIVTSKERFAKGCEPCEFPREGTHTRLHIILQSKQSFAKGCETCGFPKEGTHTRWHVILHSKKSFTKRCATCEFPKEGNKIRQHLSLGKKRRLGKGCEKYGYPKMGPNTKEYETHFFLKACGNDYKNEASSRSFLDLNDVMNNGFSEHKDPRQISAVLNTSLHLINGFEHCDRASKTIITKKDSFGVQMLLCKDQDDQHQREIQEDVQCVEPFNWFPIKGEAPCEEVELKLILNPKTVQQGVFVLNSYTELMVDRYLTRQPPNLYQWEEQTFDVAVDEFTVLGQERKAKQWSYFSGSDEGSASAPTM
+>sp|O75820|ZN189_HUMAN Zinc finger protein 189 OS=Homo sapiens OX=9606 GN=ZNF189 PE=1 SV=2
+MASPSPPPESKGLLTFEDVAVFFTQEEWDYLDPAQRSLYKDVMMENYGNLVSLDVLNRDKDEEPTVKQEIEEIEEEVEPQGVIVTRIKSEIDQDPMGRETFELVGRLDKQRGIFLWEIPRESLTQEQRMFRENTNIIRKRPNSEEKCHKCEECGKGFVRKAHFIQHQRVHTGEKPFQCNECGKSFSRSSFVIEHQRIHTGERPYECNYCGKTFSVSSTLIRHQRIHTGERPYQCNQCKQSFSQRRSLVKHQRIHTGEKPHKCSDCGKAFSWKSHLIEHQRTHTGEKPYHCTKCKKSFSRNSLLVEHQRIHTGERPHKCGECGKAFRLSTYLIQHQKIHTGEKPFLCIECGKSFSRSSFLIEHQRIHTGERPYQCKECGKSFSQLCNLTRHQRIHTGDKPHKCEECGKAFSRSSGLIQHQRIHTREKTYPYNETKESFDPNCSLVIQQEVYPKEKSYKCDECGKTFSVSAHLVQHQRIHTGEKPYLCTVCGKSFSRSSFLIEHQRIHTGERPYLCRQCGKSFSQLCNLIRHQGVHTGNKPHKCDECGKAFSRNSGLIQHQRIHTGEKPYKCEKCDKSFSQQRSLVNHQKIHAEVKTQETHECDACGEAFNCRISLIQHQKLHTAWMQ
+>DECOY_sp|O75820|ZN189_HUMAN Zinc finger protein 189 OS=Homo sapiens OX=9606 GN=ZNF189 PE=1 SV=2
+QMWATHLKQHQILSIRCNFAEGCADCEHTEQTKVEAHIKQHNVLSRQQSFSKDCKECKYPKEGTHIRQHQILGSNRSFAKGCEDCKHPKNGTHVGQHRILNCLQSFSKGCQRCLYPREGTHIRQHEILFSSRSFSKGCVTCLYPKEGTHIRQHQVLHASVSFTKGCEDCKYSKEKPYVEQQIVLSCNPDFSEKTENYPYTKERTHIRQHQILGSSRSFAKGCEECKHPKDGTHIRQHRTLNCLQSFSKGCEKCQYPREGTHIRQHEILFSSRSFSKGCEICLFPKEGTHIKQHQILYTSLRFAKGCEGCKHPREGTHIRQHEVLLSNRSFSKKCKTCHYPKEGTHTRQHEILHSKWSFAKGCDSCKHPKEGTHIRQHKVLSRRQSFSQKCQNCQYPREGTHIRQHRILTSSVSFTKGCYNCEYPREGTHIRQHEIVFSSRSFSKGCENCQFPKEGTHVRQHQIFHAKRVFGKGCEECKHCKEESNPRKRIINTNERFMRQEQTLSERPIEWLFIGRQKDLRGVLEFTERGMPDQDIESKIRTVIVGQPEVEEEIEEIEQKVTPEEDKDRNLVDLSVLNGYNEMMVDKYLSRQAPDLYDWEEQTFFVAVDEFTLLGKSEPPPSPSAM
+>sp|Q9UK12|ZN222_HUMAN Zinc finger protein 222 OS=Homo sapiens OX=9606 GN=ZNF222 PE=2 SV=2
+MAKLYEAVTFKDVAVIFTEEELGLLDPAQRKLYRDVMLENFRNLLSVGGKIQTEMETVPEAGTHEEFSCKQIWEQIASDLTRSQDTTISNSQLFEQDDNPSQIKARLSTVHTREKPFQGENCKQFFSDVSFFDLPQQLYSGEKSHTCDECGKSFCYISALHIHQRVHMGVKCYKCDVCGKEFSQSSRLQTHQRVHTGEKPFKCEQCGKGFRCRSALKVHCKLHMREKPYNCEKCGKAFMHNFQLQKHHRIHTGEKPFKCEICGKSFCLRSSLNRHCMVHTAEKLYKSEKYGRGFIDRLDLHKHQMIHMGQKPYNCKECGKSFKWSSYLLVHQRVHTGEKPYKCEECGKGYISKSGLDFHHRTHTGERSYNCDNCGKSFRHASSILNHKKLHCQRKPLKCEDCGKRLVCRSYCKDQQRDHSGENPSKCEDCGKRYKRRLNLDIILSLFLNDI
+>DECOY_sp|Q9UK12|ZN222_HUMAN Zinc finger protein 222 OS=Homo sapiens OX=9606 GN=ZNF222 PE=2 SV=2
+IDNLFLSLIIDLNLRRKYRKGCDECKSPNEGSHDRQQDKCYSRCVLRKGCDECKLPKRQCHLKKHNLISSAHRFSKGCNDCNYSREGTHTRHHFDLGSKSIYGKGCEECKYPKEGTHVRQHVLLYSSWKFSKGCEKCNYPKQGMHIMQHKHLDLRDIFGRGYKESKYLKEATHVMCHRNLSSRLCFSKGCIECKFPKEGTHIRHHKQLQFNHMFAKGCKECNYPKERMHLKCHVKLASRCRFGKGCQECKFPKEGTHVRQHTQLRSSQSFEKGCVDCKYCKVGMHVRQHIHLASIYCFSKGCEDCTHSKEGSYLQQPLDFFSVDSFFQKCNEGQFPKERTHVTSLRAKIQSPNDDQEFLQSNSITTDQSRTLDSAIQEWIQKCSFEEHTGAEPVTEMETQIKGGVSLLNRFNELMVDRYLKRQAPDLLGLEEETFIVAVDKFTVAEYLKAM
+>sp|Q86WZ6|ZN227_HUMAN Zinc finger protein 227 OS=Homo sapiens OX=9606 GN=ZNF227 PE=1 SV=1
+MPSQNYDLPQKKQEKMTKFQEAVTFKDVAVVFSREELRLLDLTQRKLYRDVMVENFKNLVAVGHLPFQPDMVSQLEAEEKLWMMETETQRSSKHQNKMETLQKFALKYLSNQELSCWQIWKQVASELTRCLQGKSSQLLQGDSIQVSENENNIMNPKGDSSIYIENQEFPFWRTQHSCGNTYLSESQIQSRGKQIDVKNNLQIHEDFMKKSPFHEHIKTDTEPKPCKGNEYGKIISDGSNQKLPLGEKPHPCGECGRGFSYSPRLPLHPNVHTGEKCFSQSSHLRTHQRIHPGEKLNRCHESGDCFNKSSFHSYQSNHTGEKSYRCDSCGKGFSSSTGLIIHYRTHTGEKPYKCEECGKCFSQSSNFQCHQRVHTEEKPYKCEECGKGFGWSVNLRVHQRVHRGEKPYKCEECGKGFTQAAHFHIHQRVHTGEKPYKCDVCGKGFSHNSPLICHRRVHTGEKPYKCEACGKGFTRNTDLHIHFRVHTGEKPYKCKECGKGFSQASNLQVHQNVHTGEKRFKCETCGKGFSQSSKLQTHQRVHTGEKPYRCDVCGKDFSYSSNLKLHQVIHTGEKPYKCEECGKGFSWRSNLHAHQRVHSGEKPYKCEQCDKSFSQAIDFRVHQRVHTGEKPYKCGVCGKGFSQSSGLQSHQRVHTGEKPYKCDVCGKGFRYSSQFIYHQRGHTGEKPYKCEECGKGFGRSLNLRHHQRVHTGEKPHICEECGKAFSLPSNLRVHLGVHTREKLFKCEECGKGFSQSARLEAHQRVHTGEKPYKCDICDKDFRHRSRLTYHQKVHTGKKL
+>DECOY_sp|Q86WZ6|ZN227_HUMAN Zinc finger protein 227 OS=Homo sapiens OX=9606 GN=ZNF227 PE=1 SV=1
+LKKGTHVKQHYTLRSRHRFDKDCIDCKYPKEGTHVRQHAELRASQSFGKGCEECKFLKERTHVGLHVRLNSPLSFAKGCEECIHPKEGTHVRQHHRLNLSRGFGKGCEECKYPKEGTHGRQHYIFQSSYRFGKGCVDCKYPKEGTHVRQHSQLGSSQSFGKGCVGCKYPKEGTHVRQHVRFDIAQSFSKDCQECKYPKEGSHVRQHAHLNSRWSFGKGCEECKYPKEGTHIVQHLKLNSSYSFDKGCVDCRYPKEGTHVRQHTQLKSSQSFGKGCTECKFRKEGTHVNQHVQLNSAQSFGKGCEKCKYPKEGTHVRFHIHLDTNRTFGKGCAECKYPKEGTHVRRHCILPSNHSFGKGCVDCKYPKEGTHVRQHIHFHAAQTFGKGCEECKYPKEGRHVRQHVRLNVSWGFGKGCEECKYPKEETHVRQHCQFNSSQSFCKGCEECKYPKEGTHTRYHIILGTSSSFGKGCSDCRYSKEGTHNSQYSHFSSKNFCDGSEHCRNLKEGPHIRQHTRLHSSQSFCKEGTHVNPHLPLRPSYSFGRGCEGCPHPKEGLPLKQNSGDSIIKGYENGKCPKPETDTKIHEHFPSKKMFDEHIQLNNKVDIQKGRSQIQSESLYTNGCSHQTRWFPFEQNEIYISSDGKPNMINNENESVQISDGQLLQSSKGQLCRTLESAVQKWIQWCSLEQNSLYKLAFKQLTEMKNQHKSSRQTETEMMWLKEEAELQSVMDPQFPLHGVAVLNKFNEVMVDRYLKRQTLDLLRLEERSFVVAVDKFTVAEQFKTMKEQKKQPLDYNQSPM
+>sp|Q14588|ZN234_HUMAN Zinc finger protein 234 OS=Homo sapiens OX=9606 GN=ZNF234 PE=2 SV=3
+MTTFKEGLTFKDVAVVFTEEELGLLDPVQRNLYQDVMLENFRNLLSVGHHPFKHDVFLLEKEKKLDIMKTATQRKGKSADKIQSEVETVPEAGRHEELYWGQIWKQIASDLIKYEDSMISISRFPRQGDLSCQVRAGLYTTHTGQKFYQCDEYKKSFTDVFNFDLHQQLHSGEKSHTCDECGKSFCYISALHIHQRVHMGEKCYKCDVCGKEFSQSSHLQTHQRVHTVEKPFKCVECGKGFSRRSTLTVHCKLHSGEKPYNCEECGRAFIHASHLQEHQRIHTGEKPFKCDTCGKNFRRRSALNNHCMVHTGEKPYKCEDCGKCFTCSSNLRIHQRVHTGEKPYKCEECGKCFIQPSQFQAHRRIHTGEKPYVCKVCGKGFIYSSSFQAHQGVHTGEKPYKCNECGKSFRMKIHYQVHLVVHTGEKPYKCEVCGKAFRQSSYLKIHLKAHSVQKPFKCEECGQGFNQSSRLQIHQLIHTGEKPYKCEECGKGFSRRADLKIHCRIHTGEKPYNCEECGKVFSQASHLLTHQRVHSGEKPFKCEECGKSFSRSAHLQAHQKVHTGEKPYKCGECGKGFKWSLNLDMHQRVHTGEKPYTCGECGKHFSQASSLQLHQSVHTGEKPYKCDVCGKVFSRSSQLQYHRRVHTGEKPYKCEICGKRFSWRSNLVSHHKIHAAGTFYENDENSKNIRELSEGGSSTR
+>DECOY_sp|Q14588|ZN234_HUMAN Zinc finger protein 234 OS=Homo sapiens OX=9606 GN=ZNF234 PE=2 SV=3
+RTSSGGESLERINKSNEDNEYFTGAAHIKHHSVLNSRWSFRKGCIECKYPKEGTHVRRHYQLQSSRSFVKGCVDCKYPKEGTHVSQHLQLSSAQSFHKGCEGCTYPKEGTHVRQHMDLNLSWKFGKGCEGCKYPKEGTHVKQHAQLHASRSFSKGCEECKFPKEGSHVRQHTLLHSAQSFVKGCEECNYPKEGTHIRCHIKLDARRSFGKGCEECKYPKEGTHILQHIQLRSSQNFGQGCEECKFPKQVSHAKLHIKLYSSQRFAKGCVECKYPKEGTHVVLHVQYHIKMRFSKGCENCKYPKEGTHVGQHAQFSSSYIFGKGCVKCVYPKEGTHIRRHAQFQSPQIFCKGCEECKYPKEGTHVRQHIRLNSSCTFCKGCDECKYPKEGTHVMCHNNLASRRRFNKGCTDCKFPKEGTHIRQHEQLHSAHIFARGCEECNYPKEGSHLKCHVTLTSRRSFGKGCEVCKFPKEVTHVRQHTQLHSSQSFEKGCVDCKYCKEGMHVRQHIHLASIYCFSKGCEDCTHSKEGSHLQQHLDFNFVDTFSKKYEDCQYFKQGTHTTYLGARVQCSLDGQRPFRSISIMSDEYKILDSAIQKWIQGWYLEEHRGAEPVTEVESQIKDASKGKRQTATKMIDLKKEKELLFVDHKFPHHGVSLLNRFNELMVDQYLNRQVPDLLGLEEETFVVAVDKFTLGEKFTTM
+>sp|Q9UL36|ZN236_HUMAN Zinc finger protein 236 OS=Homo sapiens OX=9606 GN=ZNF236 PE=2 SV=2
+MGLCGLLERCWLHHDPDGVLTLNAENTNYAYQVPNFHKCEICLLSFPKESQFQRHMRDHERNDKPHRCDQCPQTFNVEFNLTLHKCTHSGEDPTCPVCNKKFSRVASLKAHIMLHEKEENLICSECGDEFTLQSQLAVHMEEHRQELAGTRQHACKACKKEFETSSELKEHMKTHYKIRVSSTRSYNRNIDRSGFTYSCPHCGKTFQKPSQLTRHIRIHTGERPFKCSECGKAFNQKGALQTHMIKHTGEKPHACAFCPAAFSQKGNLQSHVQRVHSEVKNGPTYNCTECSCVFKSLGSLNTHISKMHMGGPQNSTSSTETAHVLTATLFQTLPLQQTEAQATSASSQPSSQAVSDVIQQLLELSEPAPVESGQSPQPGQQLSITVGINQDILQQALENSGLSSIPAAAHPNDSCHAKTSAPHAQNPDVSSVSNEQTDPTDAEQEKEQESPEKLDKKEKKMIKKKSPFLPGSIREENGVRWHVCPYCAKEFRKPSDLVRHIRIHTHEKPFKCPQCFRAFAVKSTLTAHIKTHTGIKAFKCQYCMKSFSTSGSLKVHIRLHTGVRPFACPHCDKKFRTSGHRKTHIASHFKHTELRKMRHQRKPAKVRVGKTNIPVPDIPLQEPILITDLGLIQPIPKNQFFQSYFNNNFVNEADRPYKCFYCHRAYKKSCHLKQHIRSHTGEKPFKCSQCGRGFVSAGVLKAHIRTHTGLKSFKCLICNGAFTTGGSLRRHMGIHNDLRPYMCPYCQKTFKTSLNCKKHMKTHRYELAQQLQQHQQAASIDDSTVDQQSMQASTQMQVEIESDELPQTAEVVAANPEAMLDLEPQHVVGTEEAGLGQQLADQPLEADEDGFVAPQDPLRGHVDQFEEQSPAQQSFEPAGLPQGFTVTDTYHQQPQFPPVQQLQDSSTLESQALSTSFHQQSLLQAPSSDGMNVTTRLIQESSQEELDLQAQGSQFLEDNEDQSRRSYRCDYCNKGFKKSSHLKQHVRSHTGEKPYKCKLCGRGFVSSGVLKSHEKTHTGVKAFSCSVCNASFTTNGSLTRHMATHMSMKPYKCPFCEEGFRTTVHCKKHMKRHQTVPSAVSATGETEGGDICMEEEEEHSDRNASRKSRPEVITFTEEETAQLAKIRPQESATVSEKVLVQSAAEKDRISELRDKQAELQDEPKHANCCTYCPKSFKKPSDLVRHVRIHTGEKPYKCDECGKSFTVKSTLDCHVKTHTGQKLFSCHVCSNAFSTKGSLKVHMRLHTGAKPFKCPHCELRFRTSGRRKTHMQFHYKPDPKKARKPMTRSSSEGLQPVNLLNSSSTDPNVFIMNNSVLTGQFDQNLLQPGLVGQAILPASVSAGGDLTVSLTDGSLATLEGIQLQLAANLVGPNVQISGIDAASINNITLQIDPSILQQTLQQGNLLAQQLTGEPGLAPQNSSLQTSDSTVPASVVIQPISGLSLQPTVTSANLTIGPLSEQDSVLTTNSSGTQDLTQVMTSQGLVSPSGGPHEITLTINNSSLSQVLAQAAGPTATSSSGSPQEITLTISELNTTSGSLPSTTPMSPSAISTQNLVMSSSGVGGDASVTLTLADTQGMLSGGLDTVTLNITSQGQQFPALLTDPSLSGQGGAGSPQVILVSHTPQSASAACEEIAYQVAGVSGNLAPGNQPEKEGRAHQCLECDRAFSSAAVLMHHSKEVHGRERIHGCPVCRKAFKRATHLKEHMQTHQAGPSLSSQKPRVFKCDTCEKAFAKPSQLERHSRIHTGERPFHCTLCEKAFNQKSALQVHMKKHTGERPYKCAYCVMGFTQKSNMKLHMKRAHSYAGALQESAGHPEQDGEELSRTLHLEEVVQEAAGEWQALTHVF
+>DECOY_sp|Q9UL36|ZN236_HUMAN Zinc finger protein 236 OS=Homo sapiens OX=9606 GN=ZNF236 PE=2 SV=2
+FVHTLAQWEGAAEQVVEELHLTRSLEEGDQEPHGASEQLAGAYSHARKMHLKMNSKQTFGMVCYACKYPREGTHKKMHVQLASKQNFAKECLTCHFPREGTHIRSHRELQSPKAFAKECTDCKFVRPKQSSLSPGAQHTQMHEKLHTARKFAKRCVPCGHIRERGHVEKSHHMLVAASSFARDCELCQHARGEKEPQNGPALNGSVGAVQYAIEECAASASQPTHSVLIVQPSGAGGQGSLSPDTLLAPFQQGQSTINLTVTDLGGSLMGQTDALTLTVSADGGVGSSSMVLNQTSIASPSMPTTSPLSGSTTNLESITLTIEQPSGSSSTATPGAAQALVQSLSSNNITLTIEHPGGSPSVLGQSTMVQTLDQTGSSNTTLVSDQESLPGITLNASTVTPQLSLGSIPQIVVSAPVTSDSTQLSSNQPALGPEGTLQQALLNGQQLTQQLISPDIQLTINNISAADIGSIQVNPGVLNAALQLQIGELTALSGDTLSVTLDGGASVSAPLIAQGVLGPQLLNQDFQGTLVSNNMIFVNPDTSSSNLLNVPQLGESSSRTMPKRAKKPDPKYHFQMHTKRRGSTRFRLECHPCKFPKAGTHLRMHVKLSGKTSFANSCVHCSFLKQGTHTKVHCDLTSKVTFSKGCEDCKYPKEGTHIRVHRVLDSPKKFSKPCYTCCNAHKPEDQLEAQKDRLESIRDKEAASQVLVKESVTASEQPRIKALQATEEETFTIVEPRSKRSANRDSHEEEEEMCIDGGETEGTASVASPVTQHRKMHKKCHVTTRFGEECFPCKYPKMSMHTAMHRTLSGNTTFSANCVSCSFAKVGTHTKEHSKLVGSSVFGRGCLKCKYPKEGTHSRVHQKLHSSKKFGKNCYDCRYSRRSQDENDELFQSGQAQLDLEEQSSEQILRTTVNMGDSSPAQLLSQQHFSTSLAQSELTSSDQLQQVPPFQPQQHYTDTVTFGQPLGAPEFSQQAPSQEEFQDVHGRLPDQPAVFGDEDAELPQDALQQGLGAEETGVVHQPELDLMAEPNAAVVEATQPLEDSEIEVQMQTSAQMSQQDVTSDDISAAQQHQQLQQALEYRHTKMHKKCNLSTKFTKQCYPCMYPRLDNHIGMHRRLSGGTTFAGNCILCKFSKLGTHTRIHAKLVGASVFGRGCQSCKFPKEGTHSRIHQKLHCSKKYARHCYFCKYPRDAENVFNNNFYSQFFQNKPIPQILGLDTILIPEQLPIDPVPINTKGVRVKAPKRQHRMKRLETHKFHSAIHTKRHGSTRFKKDCHPCAFPRVGTHLRIHVKLSGSTSFSKMCYQCKFAKIGTHTKIHATLTSKVAFARFCQPCKFPKEHTHIRIHRVLDSPKRFEKACYPCVHWRVGNEERISGPLFPSKKKIMKKEKKDLKEPSEQEKEQEADTPDTQENSVSSVDPNQAHPASTKAHCSDNPHAAAPISSLGSNELAQQLIDQNIGVTISLQQGPQPSQGSEVPAPESLELLQQIVDSVAQSSPQSSASTAQAETQQLPLTQFLTATLVHATETSSTSNQPGGMHMKSIHTNLSGLSKFVCSCETCNYTPGNKVESHVRQVHSQLNGKQSFAAPCFACAHPKEGTHKIMHTQLAGKQNFAKGCESCKFPREGTHIRIHRTLQSPKQFTKGCHPCSYTFGSRDINRNYSRTSSVRIKYHTKMHEKLESSTEFEKKCAKCAHQRTGALEQRHEEMHVALQSQLTFEDGCESCILNEEKEHLMIHAKLSAVRSFKKNCVPCTPDEGSHTCKHLTLNFEVNFTQPCQDCRHPKDNREHDRMHRQFQSEKPFSLLCIECKHFNPVQYAYNTNEANLTLVGDPDHHLWCRELLGCLGM
+>sp|O75346|ZN253_HUMAN Zinc finger protein 253 OS=Homo sapiens OX=9606 GN=ZNF253 PE=2 SV=2
+MGPLQFRDVAIEFSLEEWHCLDTAQRNLYRDVMLENYRNLVFLGIVVSKPDLVTCLEQGKKPLTMERHEMIAKPPVMSSHFAQDLWPENIQNSFQIGMLRRYEECRHDNLQLKKGCKSVGEHKVHKGGYNGLNQCLTTTQKEIFQCDKYGKVFHKFSNSNTYKTRHTGINLFKCIICGKAFKRSSTLTTHKKIHTGEKPYRCEECGKAFNQSANLTTHKRIHTGEKPYRCEECGKAFKQSSNLTTHKKIHTGEKPYKCEECGKAFNRSTDLTTHKIVHTGEKPYKCEECGKAFKHPSHVTTHKKIHTRGKPYNCEECGKSFKHCSNLTIHKRIHTGEKPYKCEECGKAFHLSSHLTTHKILHTGEKPYRCRECGKAFNHSTTLFSHEKIHTGEKPYKCDECGKTFTWPSILSKHKRTHTGEKPYKCEECGKSFTASSTLTTHKRIHTGEKPYKCEECGKAFNWSSDLNKHKKIHIERKPYIVKNVTDLLNVPPLLISIR
+>DECOY_sp|O75346|ZN253_HUMAN Zinc finger protein 253 OS=Homo sapiens OX=9606 GN=ZNF253 PE=2 SV=2
+RISILLPPVNLLDTVNKVIYPKREIHIKKHKNLDSSWNFAKGCEECKYPKEGTHIRKHTTLTSSATFSKGCEECKYPKEGTHTRKHKSLISPWTFTKGCEDCKYPKEGTHIKEHSFLTTSHNFAKGCERCRYPKEGTHLIKHTTLHSSLHFAKGCEECKYPKEGTHIRKHITLNSCHKFSKGCEECNYPKGRTHIKKHTTVHSPHKFAKGCEECKYPKEGTHVIKHTTLDTSRNFAKGCEECKYPKEGTHIKKHTTLNSSQKFAKGCEECRYPKEGTHIRKHTTLNASQNFAKGCEECRYPKEGTHIKKHTTLTSSRKFAKGCIICKFLNIGTHRTKYTNSNSFKHFVKGYKDCQFIEKQTTTLCQNLGNYGGKHVKHEGVSKCGKKLQLNDHRCEEYRRLMGIQFSNQINEPWLDQAFHSSMVPPKAIMEHREMTLPKKGQELCTVLDPKSVVIGLFVLNRYNELMVDRYLNRQATDLCHWEELSFEIAVDRFQLPGM
+>sp|Q9Y2P7|ZN256_HUMAN Zinc finger protein 256 OS=Homo sapiens OX=9606 GN=ZNF256 PE=1 SV=2
+MAAAELTAPAQGIVTFEDVAVYFSWKEWGLLDEAQKCLYHDVMLENLTLTTSLGGSGAGDEEAPYQQSTSPQRVSQVRIPKALPSPQKTNPCEICGPVLRQILHLVEHQGTHHGQKLYTDGACRKQLQFTAYLHQHQKQHVGQKHFRSNGGRDMFLSSCTFEVSGKPFTCKEVGKDFLVRSRFLQQQAAHTRKKSNRTKSAVAFHSVKNHYNWGECVKAFSYKHVRVQHQGDLIRERSYMCSECGKSFSTSCSLSDHLRVHTSEKPYTCGECGKSYRQSSSLITHRRIHTGVRPHQCDECGKLFNRKYDLLIHQRVHTGERPYKCSECGKSFSHSSSLITHQRIHTGMRPYECSECGKSFIHSSSLITHQRVHTGTRPYMCSECGKSFSQSCHLIKHRRLHIGEGPYECSECGKLFTYRSRFFQHQRVHTGVRSHECHECGKLFSRKFDLIVHERVHTGERPYECSECGKSFTCKSYLISHWKVHTGARPYECGECGKSFTHSSTLLQHQRVHTGERPYECNECGKFFSQSSSLIRHRRSHTGERPYECSECWKSFSNHSSLVKHRRVHTGERPYECSECGKSFSQSSNLTNHQRIHSGERPYECSDCGKFFTFNSNLLKHQNVHKG
+>DECOY_sp|Q9Y2P7|ZN256_HUMAN Zinc finger protein 256 OS=Homo sapiens OX=9606 GN=ZNF256 PE=1 SV=2
+GKHVNQHKLLNSNFTFFKGCDSCEYPREGSHIRQHNTLNSSQSFSKGCESCEYPREGTHVRRHKVLSSHNSFSKWCESCEYPREGTHSRRHRILSSSQSFFKGCENCEYPREGTHVRQHQLLTSSHTFSKGCEGCEYPRAGTHVKWHSILYSKCTFSKGCESCEYPREGTHVREHVILDFKRSFLKGCEHCEHSRVGTHVRQHQFFRSRYTFLKGCESCEYPGEGIHLRRHKILHCSQSFSKGCESCMYPRTGTHVRQHTILSSSHIFSKGCESCEYPRMGTHIRQHTILSSSHSFSKGCESCKYPREGTHVRQHILLDYKRNFLKGCEDCQHPRVGTHIRRHTILSSSQRYSKGCEGCTYPKESTHVRLHDSLSCSTSFSKGCESCMYSRERILDGQHQVRVHKYSFAKVCEGWNYHNKVSHFAVASKTRNSKKRTHAAQQQLFRSRVLFDKGVEKCTFPKGSVEFTCSSLFMDRGGNSRFHKQGVHQKQHQHLYATFQLQKRCAGDTYLKQGHHTGQHEVLHLIQRLVPGCIECPNTKQPSPLAKPIRVQSVRQPSTSQQYPAEEDGAGSGGLSTTLTLNELMVDHYLCKQAEDLLGWEKWSFYVAVDEFTVIGQAPATLEAAAM
+>sp|Q96GC6|ZN274_HUMAN Neurotrophin receptor-interacting factor homolog OS=Homo sapiens OX=9606 GN=ZNF274 PE=1 SV=2
+MASRLPTAWSCEPVTFEDVTLGFTPEEWGLLDLKQKSLYREVMLENYRNLVSVEHQLSKPDVVSQLEEAEDFWPVERGIPQDTIPEYPELQLDPKLDPLPAESPLMNIEVVEVLTLNQEVAGPRNAQIQALYAEDGSLSADAPSEQVQQQGKHPGDPEAARQRFRQFRYKDMTGPREALDQLRELCHQWLQPKARSKEQILELLVLEQFLGALPVKLRTWVESQHPENCQEVVALVEGVTWMSEEEVLPAGQPAEGTTCCLEVTAQQEEKQEDAAICPVTVLPEEPVTFQDVAVDFSREEWGLLGPTQRTEYRDVMLETFGHLVSVGWETTLENKELAPNSDIPEEEPAPSLKVQESSRDCALSSTLEDTLQGGVQEVQDTVLKQMESAQEKDLPQKKHFDNRESQANSGALDTNQVSLQKIDNPESQANSGALDTNQVLLHKIPPRKRLRKRDSQVKSMKHNSRVKIHQKSCERQKAKEGNGCRKTFSRSTKQITFIRIHKGSQVCRCSECGKIFRNPRYFSVHKKIHTGERPYVCQDCGKGFVQSSSLTQHQRVHSGERPFECQECGRTFNDRSAISQHLRTHTGAKPYKCQDCGKAFRQSSHLIRHQRTHTGERPYACNKCGKAFTQSSHLIGHQRTHNRTKRKKKQPTS
+>DECOY_sp|Q96GC6|ZN274_HUMAN Neurotrophin receptor-interacting factor homolog OS=Homo sapiens OX=9606 GN=ZNF274 PE=1 SV=2
+STPQKKKRKTRNHTRQHGILHSSQTFAKGCKNCAYPREGTHTRQHRILHSSQRFAKGCDQCKYPKAGTHTRLHQSIASRDNFTRGCEQCEFPREGSHVRQHQTLSSSQVFGKGCDQCVYPREGTHIKKHVSFYRPNRFIKGCESCRCVQSGKHIRIFTIQKTSRSFTKRCGNGEKAKQRECSKQHIKVRSNHKMSKVQSDRKRLRKRPPIKHLLVQNTDLAGSNAQSEPNDIKQLSVQNTDLAGSNAQSERNDFHKKQPLDKEQASEMQKLVTDQVEQVGGQLTDELTSSLACDRSSEQVKLSPAPEEEPIDSNPALEKNELTTEWGVSVLHGFTELMVDRYETRQTPGLLGWEERSFDVAVDQFTVPEEPLVTVPCIAADEQKEEQQATVELCCTTGEAPQGAPLVEEESMWTVGEVLAVVEQCNEPHQSEVWTRLKVPLAGLFQELVLLELIQEKSRAKPQLWQHCLERLQDLAERPGTMDKYRFQRFRQRAAEPDGPHKGQQQVQESPADASLSGDEAYLAQIQANRPGAVEQNLTLVEVVEINMLPSEAPLPDLKPDLQLEPYEPITDQPIGREVPWFDEAEELQSVVDPKSLQHEVSVLNRYNELMVERYLSKQKLDLLGWEEPTFGLTVDEFTVPECSWATPLRSAM
+>sp|Q9NRM2|ZN277_HUMAN Zinc finger protein 277 OS=Homo sapiens OX=9606 GN=ZNF277 PE=1 SV=2
+MAASKTQGAVARMQEDRDGSCSTVGGVGYGDSKDCILEPLSLPESPGGTTTLEGSPSVPCIFCEEHFPVAEQDKLLKHMIIEHKIVIADVKLVADFQRYILYWRKRFTEQPITDFCSVIRINSTAPFEEQENYFLLCDVLPEDRILREELQKQRLREILEQQQQERNDTNFHGVCMFCNEEFLGNRSVILNHMAREHAFNIGLPDNIVNCNEFLCTLQKKLDNLQCLYCEKTFRDKNTLKDHMRKKQHRKINPKNREYDRFYVINYLELGKSWEEVQLEDDRELLDHQEDDWSDWEEHPASAVCLFCEKQAETIEKLYVHMEDAHEFDLLKIKSELGLNFYQQVKLVNFIRRQVHQCRCYGCHVKFKSKADLRTHMEETKHTSLLPDRKTWDQLEYYFPTYENDTLLCTLSDSESDLTAQEQNENVPIISEDTSKLYALKQSSILNQLLL
+>DECOY_sp|Q9NRM2|ZN277_HUMAN Zinc finger protein 277 OS=Homo sapiens OX=9606 GN=ZNF277 PE=1 SV=2
+LLLQNLISSQKLAYLKSTDESIIPVNENQEQATLDSESDSLTCLLTDNEYTPFYYELQDWTKRDPLLSTHKTEEMHTRLDAKSKFKVHCGYCRCQHVQRRIFNVLKVQQYFNLGLESKIKLLDFEHADEMHVYLKEITEAQKECFLCVASAPHEEWDSWDDEQHDLLERDDELQVEEWSKGLELYNIVYFRDYERNKPNIKRHQKKRMHDKLTNKDRFTKECYLCQLNDLKKQLTCLFENCNVINDPLGINFAHERAMHNLIVSRNGLFEENCFMCVGHFNTDNREQQQQELIERLRQKQLEERLIRDEPLVDCLLFYNEQEEFPATSNIRIVSCFDTIPQETFRKRWYLIYRQFDAVLKVDAIVIKHEIIMHKLLKDQEAVPFHEECFICPVSPSGELTTTGGPSEPLSLPELICDKSDGYGVGGVTSCSGDRDEQMRAVAGQTKSAAM
+>sp|Q9Y2X9|ZN281_HUMAN Zinc finger protein 281 OS=Homo sapiens OX=9606 GN=ZNF281 PE=1 SV=1
+MKIGSGFLSGGGGTGSSGGSGSGGGGSGGGGGGGSSGRRAEMEPTFPQGMVMFNHRLPPVTSFTRPAGSAAPPPQCVLSSSTSAAPAAEPPPPPAPDMTFKKEPAASAAAFPSQRTSWGFLQSLVSIKQEKPADPEEQQSHHHHHHHHYGGLFAGAEERSPGLGGGEGGSHGVIQDLSILHQHVQQQPAQHHRDVLLSSSSRTDDHHGTEEPKQDTNVKKAKRPKPESQGIKAKRKPSASSKPSLVGDGEGAILSPSQKPHICDHCSAAFRSSYHLRRHVLIHTGERPFQCSQCSMGFIQKYLLQRHEKIHSREKPFGCDQCSMKFIQKYHMERHKRTHSGEKPYKCDTCQQYFSRTDRLLKHRRTCGEVIVKGATSAEPGSSNHTNMGNLAVLSQGNTSSSRRKTKSKSIAIENKEQKTGKTNESQISNNINMQSYSVEMPTVSSSGGIIGTGIDELQKRVPKLIFKKGSRKNTDKNYLNFVSPLPDIVGQKSLSGKPSGSLGIVSNNSVETIGLLQSTSGKQGQISSNYDDAMQFSKKRRYLPTASSNSAFSINVGHMVSQQSVIQSAGVSVLDNEAPLSLIDSSALNAEIKSCHDKSGIPDEVLQSILDQYSNKSESQKEDPFNIAEPRVDLHTSGEHSELVQEENLSPGTQTPSNDKASMLQEYSKYLQQAFEKSTNASFTLGHGFQFVSLSSPLHNHTLFPEKQIYTTSPLECGFGQSVTSVLPSSLPKPPFGMLFGSQPGLYLSALDATHQQLTPSQELDDLIDSQKNLETSSAFQSSSQKLTSQKEQKNLESSTGFQIPSQELASQIDPQKDIEPRTTYQIENFAQAFGSQFKSGSRVPMTFITNSNGEVDHRVRTSVSDFSGYTNMMSDVSEPCSTRVKTPTSQSYR
+>DECOY_sp|Q9Y2X9|ZN281_HUMAN Zinc finger protein 281 OS=Homo sapiens OX=9606 GN=ZNF281 PE=1 SV=1
+RYSQSTPTKVRTSCPESVDSMMNTYGSFDSVSTRVRHDVEGNSNTIFTMPVRSGSKFQSGFAQAFNEIQYTTRPEIDKQPDIQSALEQSPIQFGTSSELNKQEKQSTLKQSSSQFASSTELNKQSDILDDLEQSPTLQQHTADLASLYLGPQSGFLMGFPPKPLSSPLVSTVSQGFGCELPSTTYIQKEPFLTHNHLPSSLSVFQFGHGLTFSANTSKEFAQQLYKSYEQLMSAKDNSPTQTGPSLNEEQVLESHEGSTHLDVRPEAINFPDEKQSESKNSYQDLISQLVEDPIGSKDHCSKIEANLASSDILSLPAENDLVSVGASQIVSQQSVMHGVNISFASNSSATPLYRRKKSFQMADDYNSSIQGQKGSTSQLLGITEVSNNSVIGLSGSPKGSLSKQGVIDPLPSVFNLYNKDTNKRSGKKFILKPVRKQLEDIGTGIIGGSSSVTPMEVSYSQMNINNSIQSENTKGTKQEKNEIAISKSKTKRRSSSTNGQSLVALNGMNTHNSSGPEASTAGKVIVEGCTRRHKLLRDTRSFYQQCTDCKYPKEGSHTRKHREMHYKQIFKMSCQDCGFPKERSHIKEHRQLLYKQIFGMSCQSCQFPREGTHILVHRRLHYSSRFAASCHDCIHPKQSPSLIAGEGDGVLSPKSSASPKRKAKIGQSEPKPRKAKKVNTDQKPEETGHHDDTRSSSSLLVDRHHQAPQQQVHQHLISLDQIVGHSGGEGGGLGPSREEAGAFLGGYHHHHHHHHSQQEEPDAPKEQKISVLSQLFGWSTRQSPFAAASAAPEKKFTMDPAPPPPPEAAPAASTSSSLVCQPPPAASGAPRTFSTVPPLRHNFMVMGQPFTPEMEARRGSSGGGGGGGSGGGGSGSGGSSGTGGGGSLFGSGIKM
+>sp|A6NFI3|ZN316_HUMAN Zinc finger protein 316 OS=Homo sapiens OX=9606 GN=ZNF316 PE=1 SV=1
+MAALHTTPDSPAAQLERAEDGSECDPDQEEEEEEEEKGEEVQEVEEEEEEIVVEEEEEGVAEVVQDAQVEAVAEVEVEADVEEEDVKEVLAEEECPALGTQERLSRGGDAKSPVLQEKGLQASRAPATPRDEDLEEEEEEEEDEDEDDLLTAGCQELVTFEDVAVYFSLEEWERLEADQRGLYQEVMQENYGILVSLGYPIPKPDLIFRLEQGEEPWVPDSPRPEEGDIVTGVYTGAWFWTDDIEDHEEEDDEDFLAEVAEEENEPPGLWSAAYGVGDVPGTWGPDDSDSAQTPEGWGPDPGGLGVLADGSEAKPFLPGREPGANLLSPWAFPAAVAPPAGRPETTCDVCGKVFPHRSRLAKHQRYHAAVKPFGCEECGKGFVYRSHLAIHQRTHTGEKPFPCPDCGKRFVYKSHLVTHRRIHTGERPYRCAFCGAGFGRRSYLVTHQRTHTGERPYPCSHCGRSFSQSSALARHQAVHTADRPHCCPDCGQAFRLRADFQRHRRGGGCAEAGGDGPRREPGETAAAAGPEDTDPGPEGSEVGEADGEAEAAAEEREEAAVAAPTPSGKVDPAPERRFLELGNGLGEGEGPSSHPLGFHFPVHPKSWLHPDSFPILGLPDFRERLPVDGRPLPAPLGGPLSLVEGTGLACDPFGGGGAAGGGGGLRAFGPAIGGLLAEPAPAALAEEESPWICSDCGKTFGRRAALAKHQRYHAGERPHRCADCGKSFVYGSHLARHRRTHTGERPFPCPECGARFARGSHLAAHVRGHTGEKPFVCGVCGAGFSRRAHLTAHGRAHTGERPYACGECGRRFGQSAALTRHQWAHAEEKPHRCPDCGKGFGHSSDFKRHRRTHTGEKPFRCADCGRGFAQRSNLAKHRRGHTGERPFPCPECGKRFSQRSVLVTHQRTHTGERPYACANCGRRFSQSSHLLTHMKTHRGATAAPGSGSAPAPAPKPEAAAKGPSSAGPGERGSALLEFAGGTSFGSEHQAAFAGPSGAYREGVL
+>DECOY_sp|A6NFI3|ZN316_HUMAN Zinc finger protein 316 OS=Homo sapiens OX=9606 GN=ZNF316 PE=1 SV=1
+LVGERYAGSPGAFAAQHESGFSTGGAFELLASGREGPGASSPGKAAAEPKPAPAPASGSGPAATAGRHTKMHTLLHSSQSFRRGCNACAYPREGTHTRQHTVLVSRQSFRKGCEPCPFPREGTHGRRHKALNSRQAFGRGCDACRFPKEGTHTRRHRKFDSSHGFGKGCDPCRHPKEEAHAWQHRTLAASQGFRRGCEGCAYPREGTHARGHATLHARRSFGAGCVGCVFPKEGTHGRVHAALHSGRAFRAGCEPCPFPREGTHTRRHRALHSGYVFSKGCDACRHPREGAHYRQHKALAARRGFTKGCDSCIWPSEEEALAAPAPEALLGGIAPGFARLGGGGGAAGGGGFPDCALGTGEVLSLPGGLPAPLPRGDVPLRERFDPLGLIPFSDPHLWSKPHVPFHFGLPHSSPGEGEGLGNGLELFRREPAPDVKGSPTPAAVAAEEREEAAAEAEGDAEGVESGEPGPDTDEPGAAAATEGPERRPGDGGAEACGGGRRHRQFDARLRFAQGCDPCCHPRDATHVAQHRALASSQSFSRGCHSCPYPREGTHTRQHTVLYSRRGFGAGCFACRYPREGTHIRRHTVLHSKYVFRKGCDPCPFPKEGTHTRQHIALHSRYVFGKGCEECGFPKVAAHYRQHKALRSRHPFVKGCVDCTTEPRGAPPAVAAPFAWPSLLNAGPERGPLFPKAESGDALVGLGGPDPGWGEPTQASDSDDPGWTGPVDGVGYAASWLGPPENEEEAVEALFDEDDEEEHDEIDDTWFWAGTYVGTVIDGEEPRPSDPVWPEEGQELRFILDPKPIPYGLSVLIGYNEQMVEQYLGRQDAELREWEELSFYVAVDEFTVLEQCGATLLDDEDEDEEEEEEEELDEDRPTAPARSAQLGKEQLVPSKADGGRSLREQTGLAPCEEEALVEKVDEEEVDAEVEVEAVAEVQADQVVEAVGEEEEEVVIEEEEEEVEQVEEGKEEEEEEEEQDPDCESGDEARELQAAPSDPTTHLAAM
+>sp|Q9NW07|ZN358_HUMAN Zinc finger protein 358 OS=Homo sapiens OX=9606 GN=ZNF358 PE=1 SV=2
+MRRSVLVRNPGHKGLRPVYEELDSDSEDLDPNPEDLDPVSEDPEPDPEDLNTVPEDVDPSYEDLEPVSEDLDPDAEAPGSEPQDPDPMSSSFDLDPDVIGPVPLILDPNSDTLSPGDPKVDPISSGLTATPQVLATSPAVLPAPASPPRPFSCPDCGRAFRRSSGLSQHRRTHSGEKPYRCPDCGKSFSHGATLAQHRGIHTGARPYQCAACGKAFGWRSTLLKHRSSHSGEKPHHCPVCGKAFGHGSLLAQHLRTHGGPRPHKCPVCAKGFGQGSALLKHLRTHTGERPYPCPQCGKAFGQSSALLQHQRTHTAERPYRCPHCGKAFGQSSNLQHHLRIHTGERPYACPHCSKAFGQSSALLQHLHVHSGERPYRCQLCGKAFGQASSLTKHKRVHEGAAAAAAAAAAAAAAAAAGLGLGPGLSPASMMRPGQVSLLGPDAVSVLGSGLGLSPGTSSGRNPDPGSGPGTLPDPSSKPLPGSRSTPSPTPVESSDPKAGHDAGPDLVPSPDLDPVPSPDPDPVPSPDPNPVSCPDPCSPTRGTVSPALPTGESPEWVQEQGALLGPDG
+>DECOY_sp|Q9NW07|ZN358_HUMAN Zinc finger protein 358 OS=Homo sapiens OX=9606 GN=ZNF358 PE=1 SV=2
+GDPGLLAGQEQVWEPSEGTPLAPSVTGRTPSCPDPCSVPNPDPSPVPDPDPSPVPDLDPSPVLDPGADHGAKPDSSEVPTPSPTSRSGPLPKSSPDPLTGPGSGPDPNRGSSTGPSLGLGSGLVSVADPGLLSVQGPRMMSAPSLGPGLGLGAAAAAAAAAAAAAAAAAGEHVRKHKTLSSAQGFAKGCLQCRYPREGSHVHLHQLLASSQGFAKSCHPCAYPREGTHIRLHHQLNSSQGFAKGCHPCRYPREATHTRQHQLLASSQGFAKGCQPCPYPREGTHTRLHKLLASGQGFGKACVPCKHPRPGGHTRLHQALLSGHGFAKGCVPCHHPKEGSHSSRHKLLTSRWGFAKGCAACQYPRAGTHIGRHQALTAGHSFSKGCDPCRYPKEGSHTRRHQSLGSSRRFARGCDPCSFPRPPSAPAPLVAPSTALVQPTATLGSSIPDVKPDGPSLTDSNPDLILPVPGIVDPDLDFSSSMPDPDQPESGPAEADPDLDESVPELDEYSPDVDEPVTNLDEPDPEPDESVPDLDEPNPDLDESDSDLEEYVPRLGKHGPNRVLVSRRM
+>sp|P0C879|YJ018_HUMAN Putative uncharacterized protein FLJ43185 OS=Homo sapiens OX=9606 PE=5 SV=1
+MYNPWQVGASLAPARAGPRPFPTPRARPPDCSGGHAPSGFPALGLRTAQRPRPFSSSPRSASGRLRGPRPVARGPAHSSSPTGLPAYLPPAAALDSQTSAPTVSPVTRPRVVARGKTPRVSLAGLETLSSLLHQQQLFD
+>DECOY_sp|P0C879|YJ018_HUMAN Putative uncharacterized protein FLJ43185 OS=Homo sapiens OX=9606 PE=5 SV=1
+DFLQQQHLLSSLTELGALSVRPTKGRAVVRPRTVPSVTPASTQSDLAAAPPLYAPLGTPSSSHAPGRAVPRPGRLRGSASRPSSSFPRPRQATRLGLAPFGSPAHGGSCDPPRARPTPFPRPGARAPALSAGVQWPNYM
+>sp|A6XGL0|YJEN3_HUMAN YjeF N-terminal domain-containing protein 3 OS=Homo sapiens OX=9606 GN=YJEFN3 PE=1 SV=1
+MSSAAGPDPSEAPEERHFLRALELQPPLADMGRAELSSNATTSLVQRRKQAWGRQSWLEQIWNAGPVCQSTAEAAALERELLEDYRFGRQQLVELCGHASAVAVTKAFPLPALSRKQRTVLVVCGPEQNGAVGLVCARHLRVFEYEPTIFYPTRSLDLLHRDLTTQCEKMDIPFLSYLPTEVQLINEAYGLVVDAVLGPGVEPGEVGGPCTRALATLKLLSIPLVSLDIPSGWDAETGSDSEDGLRPDVLVSLAAPKRCAGRFSGRHHFVAGRFVPDDVRRKFALRLPGYTGTDCVAAL
+>DECOY_sp|A6XGL0|YJEN3_HUMAN YjeF N-terminal domain-containing protein 3 OS=Homo sapiens OX=9606 GN=YJEFN3 PE=1 SV=1
+LAAVCDTGTYGPLRLAFKRRVDDPVFRGAVFHHRGSFRGACRKPAALSVLVDPRLGDESDSGTEADWGSPIDLSVLPISLLKLTALARTCPGGVEGPEVGPGLVADVVLGYAENILQVETPLYSLFPIDMKECQTTLDRHLLDLSRTPYFITPEYEFVRLHRACVLGVAGNQEPGCVVLVTRQKRSLAPLPFAKTVAVASAHGCLEVLQQRGFRYDELLERELAAAEATSQCVPGANWIQELWSQRGWAQKRRQVLSTTANSSLEARGMDALPPQLELARLFHREEPAESPDPGAASSM
+>sp|Q9UHU1|YK039_HUMAN Putative uncharacterized protein PRO1716 OS=Homo sapiens OX=9606 GN=PRO1716 PE=5 SV=1
+MLTALGQVNNIQKEFTIKKTKQADHNLVARIDEIQYVQGTINL
+>DECOY_sp|Q9UHU1|YK039_HUMAN Putative uncharacterized protein PRO1716 OS=Homo sapiens OX=9606 GN=PRO1716 PE=5 SV=1
+LNITGQVYQIEDIRAVLNHDAQKTKKITFEKQINNVQGLATLM
+>sp|Q3C1V9|YK041_HUMAN Putative uncharacterized protein ENSP00000334305 OS=Homo sapiens OX=9606 PE=5 SV=2
+MTPPPPSPLLGTQVKEDRADYKEFQDFSSLPDTHNIAWDHSFYPFQEEEEHGVKGVESVLEKGVLDEGVLEAWGCCRRCRHAGWNRSQPSPELAGAVIHARAPAVGCRQRSGHLHVRLKQRLLERPCQEPLGKKYQLELPPLYERARKPEGSKNLPADGQGLRAVRADAALPVWPGGPGRPGPHAPEAGAEGQHQGQWPPADTRHLRTPKWPYKVATEEKPEAEEAEKKRQAKVQEKRLPPWKKRTLNQVHRVPWGQHRACQVMLLVSTKQLQRYLHFEKPAKPANMGQDPDCFGKSEGELATCLCGGESVVGETEAPGVRPDPRPEKDAKPAVSGCQEESWLQSEYDEMHPREEMNVPSLRSGSGCQVSGSPLAKGLHPLQPCHPHYMMWECCLFTLTLGTQACFEVCARRLKLAHFCPDTSLWSCGGQSPPVLCQLLDIISVSRDKVIGSHEKKPSSNPNQDDFHSSEYAYRCGIAEAVGLPSIPVHPIGYYDAEKLLEYESLLLQVSEPVNPELSAGPITGLLDRLSGFRDHGPPGSSCRSQRKGNGVETKDQAHRNRDPRGFQGGVLTARLFKMQVSLELFCGHQEHYLTRPVLAPGYPVHLGKAGTHWEQGPNMPTPEQHGTWGNRHLFAVLPLLFYTSLEIMSIGYSVVMAGRNVWQPTKGQMPHQPEQSCQTLALPMTIRHSWEGGAIRESSGWVSWKCHLKATEASQNPCVRATALRVGCSAVTYGVLGQAQGSAPWTSAFKTFSAGIAGLERHAWETM
+>DECOY_sp|Q3C1V9|YK041_HUMAN Putative uncharacterized protein ENSP00000334305 OS=Homo sapiens OX=9606 PE=5 SV=2
+MTEWAHRELGAIGASFTKFASTWPASGQAQGLVGYTVASCGVRLATARVCPNQSAETAKLHCKWSVWGSSERIAGGEWSHRITMPLALTQCSQEPQHPMQGKTPQWVNRGAMVVSYGISMIELSTYFLLPLVAFLHRNGWTGHQEPTPMNPGQEWHTGAKGLHVPYGPALVPRTLYHEQHGCFLELSVQMKFLRATLVGGQFGRPDRNRHAQDKTEVGNGKRQSRCSSGPPGHDRFGSLRDLLGTIPGASLEPNVPESVQLLLSEYELLKEADYYGIPHVPISPLGVAEAIGCRYAYESSHFDDQNPNSSPKKEHSGIVKDRSVSIIDLLQCLVPPSQGGCSWLSTDPCFHALKLRRACVEFCAQTGLTLTFLCCEWMMYHPHCPQLPHLGKALPSGSVQCGSGSRLSPVNMEERPHMEDYESQLWSEEQCGSVAPKADKEPRPDPRVGPAETEGVVSEGGCLCTALEGESKGFCDPDQGMNAPKAPKEFHLYRQLQKTSVLLMVQCARHQGWPVRHVQNLTRKKWPPLRKEQVKAQRKKEAEEAEPKEETAVKYPWKPTRLHRTDAPPWQGQHQGEAGAEPAHPGPRGPGGPWVPLAADARVARLGQGDAPLNKSGEPKRAREYLPPLELQYKKGLPEQCPRELLRQKLRVHLHGSRQRCGVAPARAHIVAGALEPSPQSRNWGAHRCRRCCGWAELVGEDLVGKELVSEVGKVGHEEEEQFPYFSHDWAINHTDPLSSFDQFEKYDARDEKVQTGLLPSPPPPTM
+>sp|Q6ZRX8|YL004_HUMAN Putative uncharacterized protein FLJ45999 OS=Homo sapiens OX=9606 PE=5 SV=1
+MSPTTGPQPNPRAWECHHTTGPQPNPRAWECHRMKGPQPNPRAWECHLRRVHNLTPEPGNVTIRGVHILTPEPGNVTIRGVHNLTPEPGNVTERGVHNLTPEPGNVTERGVHNLIPEPGNVTVKRGPQPNPRAWECHRTRGPQPNPRAWECHRTRGPQPNPRAWECHR
+>DECOY_sp|Q6ZRX8|YL004_HUMAN Putative uncharacterized protein FLJ45999 OS=Homo sapiens OX=9606 PE=5 SV=1
+RHCEWARPNPQPGRTRHCEWARPNPQPGRTRHCEWARPNPQPGRKVTVNGPEPILNHVGRETVNGPEPTLNHVGRETVNGPEPTLNHVGRITVNGPEPTLIHVGRITVNGPEPTLNHVRRLHCEWARPNPQPGKMRHCEWARPNPQPGTTHHCEWARPNPQPGTTPSM
+>sp|Q6ZUT4|YL014_HUMAN Putative uncharacterized protein FLJ43343 OS=Homo sapiens OX=9606 PE=5 SV=1
+MARVHIWPQHTAVNPRLLENQARAMIHHHLMAATPAVFLVSSGPDGSQAKAAAASYLAEPPGSPTPGPFSYTKASVVLFLPNPRPNIFKLHSKEQLAECHQYLQSNMRWDFSFAIKTRMLFLPCSDNV
+>DECOY_sp|Q6ZUT4|YL014_HUMAN Putative uncharacterized protein FLJ43343 OS=Homo sapiens OX=9606 PE=5 SV=1
+VNDSCPLFLMRTKIAFSFDWRMNSQLYQHCEALQEKSHLKFINPRPNPLFLVVSAKTYSFPGPTPSGPPEALYSAAAAKAQSGDPGSSVLFVAPTAAMLHHHIMARAQNELLRPNVATHQPWIHVRAM
+>sp|P49750|YLPM1_HUMAN YLP motif-containing protein 1 OS=Homo sapiens OX=9606 GN=YLPM1 PE=1 SV=4
+MYPNWGRYGGSSHYPPPPVPPPPPVALPEASPGPGYSSSTTPAAPSSSGFMSFREQHLAQLQQLQQMHQKQMQCVLQPHHLPPPPLPPPPVMPGGGYGDWQPPPPPMPPPPGPALSYQKQQQYKHQMLHHQRDGPPGLVPMELESPPESPPVPPGSYMPPSQSYMPPPQPPPSYYPPTSSQPYLPPAQPSPSQSPPSQSYLAPTPSYSSSSSSSQSYLSHSQSYLPSSQASPSRPSQGHSKSQLLAPPPPSAPPGNKTTVQQEPLESGAKNKSTEQQQAAPEPDPSTMTPQEQQQYWYRQHLLSLQQRTKVHLPGHKKGPVVAKDTPEPVKEEVTVPATSQVPESPSSEEPPLPPPNEEVPPPLPPEEPQSEDPEEDARLKQLQAAAAHWQQHQQHRVGFQYQGIMQKHTQLQQILQQYQQIIQPPPHIQTMSVDMQLRHYEMQQQQFQHLYQEWEREFQLWEEQLHSYPHKDQLQEYEKQWKTWQGHMKATQSYLQEKVNSFQNMKNQYMGNMSMPPPFVPYSQMPPPLPTMPPPVLPPSLPPPVMPPALPATVPPPGMPPPVMPPSLPTSVPPPGMPPSLSSAGPPPVLPPPSLSSAGPPPVLPPPSLSSTAPPPVMPLPPLSSATPPPGIPPPGVPQGIPPQLTAAPVPPASSSQSSQVPEKPRPALLPTPVSFGSAPPTTYHPPLQSAGPSEQVNSKAPLSKSALPYSSFSSDQGLGESSAAPSQPITAVKDMPVRSGGLLPDPPRSSYLESPRGPRFDGPRRFEDLGSRCEGPRPKGPRFEGNRPDGPRPRYEGHPAEGTKSKWGMIPRGPASQFYITPSTSLSPRQSGPQWKGPKPAFGQQHQQQPKSQAEPLSGNKEPLADTSSNQQKNFKMQSAAFSIAADVKDVKAAQSNENLSDSQQEPPKSEVSEGPVEPSNWDQNVQSMETQIDKAQAVTQPVPLANKPVPAQSTFPSKTGGMEGGTAVATSSLTADNDFKPVGIGLPHSENNQDKGLPRPDNRDNRLEGNRGNSSSYRGPGQSRMEDTRDKGLVNRGRGQAISRGPGLVKQEDFRDKMMGRREDSREKMNRGEGSRDRGLVRPGSSREKVPGGLQGSQDRGAAGSRERGPPRRAGSQERGPLRRAGSRERIPPRRAGSRERGPPRGPGSRERGLGRSDFGRDRGPFRPEPGDGGEKMYPYHRDEPPRAPWNHGEERGHEEFPLDGRNAPMERERLDDWDRERYWRECERDYQDDTLELYNREDRFSAPPSRSHDGDRRGPWWDDWERDQDMDEDYNREMERDMDRDVDRISRPMDMYDRSLDNEWDRDYGRPLDEQESQFRERDIPSLPPLPPLPPLPPLDRYRDDRWREERNREHGYDRDFRDRGELRIREYPERGDTWREKRDYVPDRMDWERERLSDRWYPSDVDRHSPMAEHMPSSHHSSEMMGSDASLDSDQGLGGVMVLSQRQHEIILKAAQELKMLREQKEQLQKMKDFGSEPQMADHLPPQESRLQNTSSRPGMYPPPGSYRPPPPMGKPPGSIVRPSAPPARSSVPVTRPPVPIPPPPPPPPLPPPPPVIKPQTSAVEQERWDEDSFYGLWDTNDEQGLNSEFKSETAAIPSAPVLPPPPVHSSIPPPGPVPMGMPPMSKPPPVQQTVDYGHGRDISTNKVEQIPYGERITLRPDPLPERSTFETEHAGQRDRYDRERDREPYFDRQSNVIADHRDFKRDRETHRDRDRDRGVIDYDRDRFDRERRPRDDRAQSYRDKKDHSSSRRGGFDRPSYDRKSDRPVYEGPSMFGGERRTYPEERMPLPAPSLSHQPPPAPRVEKKPESKNVDDILKPPGRESRPERIVVIMRGLPGSGKTHVAKLIRDKEVEFGGPAPRVLSLDDYFITEVEKEEKDPDSGKKVKKKVMEYEYEAEMEETYRTSMFKTFKKTLDDGFFPFIILDAINDRVRHFDQFWSAAKTKGFEVYLAEMSADNQTCGKRNIHGRKLKEINKMADHWETAPRHMMRLDIRSLLQDAAIEEVEMEDFDANIEEQKEEKKDAEEEESELGYIPKSKWEMDTSEAKLDKLDGLRTGTKRKRDWEAIASRMEDYLQLPDDYDTRASEPGKKRVRWADLEEKKDADRKRAIGFVVGQTDWEKITDESGHLAEKALNRTKYI
+>DECOY_sp|P49750|YLPM1_HUMAN YLP motif-containing protein 1 OS=Homo sapiens OX=9606 GN=YLPM1 PE=1 SV=4
+IYKTRNLAKEALHGSEDTIKEWDTQGVVFGIARKRDADKKEELDAWRVRKKGPESARTDYDDPLQLYDEMRSAIAEWDRKRKTGTRLGDLKDLKAESTDMEWKSKPIYGLESEEEEADKKEEKQEEINADFDEMEVEEIAADQLLSRIDLRMMHRPATEWHDAMKNIEKLKRGHINRKGCTQNDASMEALYVEFGKTKAASWFQDFHRVRDNIADLIIFPFFGDDLTKKFTKFMSTRYTEEMEAEYEYEMVKKKVKKGSDPDKEEKEVETIFYDDLSLVRPAPGGFEVEKDRILKAVHTKGSGPLGRMIVVIREPRSERGPPKLIDDVNKSEPKKEVRPAPPPQHSLSPAPLPMREEPYTRREGGFMSPGEYVPRDSKRDYSPRDFGGRRSSSHDKKDRYSQARDDRPRRERDFRDRDYDIVGRDRDRDRHTERDRKFDRHDAIVNSQRDFYPERDRERDYRDRQGAHETEFTSREPLPDPRLTIREGYPIQEVKNTSIDRGHGYDVTQQVPPPKSMPPMGMPVPGPPPISSHVPPPPLVPASPIAATESKFESNLGQEDNTDWLGYFSDEDWREQEVASTQPKIVPPPPPLPPPPPPPPIPVPPRTVPVSSRAPPASPRVISGPPKGMPPPPRYSGPPPYMGPRSSTNQLRSEQPPLHDAMQPESGFDKMKQLQEKQERLMKLEQAAKLIIEHQRQSLVMVGGLGQDSDLSADSGMMESSHHSSPMHEAMPSHRDVDSPYWRDSLREREWDMRDPVYDRKERWTDGREPYERIRLEGRDRFDRDYGHERNREERWRDDRYRDLPPLPPLPPLPPLSPIDRERFQSEQEDLPRGYDRDWENDLSRDYMDMPRSIRDVDRDMDREMERNYDEDMDQDREWDDWWPGRRDGDHSRSPPASFRDERNYLELTDDQYDRECERWYRERDWDDLREREMPANRGDLPFEEHGREEGHNWPARPPEDRHYPYMKEGGDGPEPRFPGRDRGFDSRGLGRERSGPGRPPGRERSGARRPPIRERSGARRLPGREQSGARRPPGRERSGAAGRDQSGQLGGPVKERSSGPRVLGRDRSGEGRNMKERSDERRGMMKDRFDEQKVLGPGRSIAQGRGRNVLGKDRTDEMRSQGPGRYSSSNGRNGELRNDRNDPRPLGKDQNNESHPLGIGVPKFDNDATLSSTAVATGGEMGGTKSPFTSQAPVPKNALPVPQTVAQAKDIQTEMSQVNQDWNSPEVPGESVESKPPEQQSDSLNENSQAAKVDKVDAAISFAASQMKFNKQQNSSTDALPEKNGSLPEAQSKPQQQHQQGFAPKPGKWQPGSQRPSLSTSPTIYFQSAPGRPIMGWKSKTGEAPHGEYRPRPGDPRNGEFRPGKPRPGECRSGLDEFRRPGDFRPGRPSELYSSRPPDPLLGGSRVPMDKVATIPQSPAASSEGLGQDSSFSSYPLASKSLPAKSNVQESPGASQLPPHYTTPPASGFSVPTPLLAPRPKEPVQSSQSSSAPPVPAATLQPPIGQPVGPPPIGPPPTASSLPPLPMVPPPATSSLSPPPLVPPPGASSLSPPPLVPPPGASSLSPPMGPPPVSTPLSPPMVPPPMGPPPVTAPLAPPMVPPPLSPPLVPPPMTPLPPPMQSYPVFPPPMSMNGMYQNKMNQFSNVKEQLYSQTAKMHGQWTKWQKEYEQLQDKHPYSHLQEEWLQFEREWEQYLHQFQQQQMEYHRLQMDVSMTQIHPPPQIIQQYQQLIQQLQTHKQMIGQYQFGVRHQQHQQWHAAAAQLQKLRADEEPDESQPEEPPLPPPVEENPPPLPPEESSPSEPVQSTAPVTVEEKVPEPTDKAVVPGKKHGPLHVKTRQQLSLLHQRYWYQQQEQPTMTSPDPEPAAQQQETSKNKAGSELPEQQVTTKNGPPASPPPPALLQSKSHGQSPRSPSAQSSPLYSQSHSLYSQSSSSSSSYSPTPALYSQSPPSQSPSPQAPPLYPQSSTPPYYSPPPQPPPMYSQSPPMYSGPPVPPSEPPSELEMPVLGPPGDRQHHLMQHKYQQQKQYSLAPGPPPPMPPPPPQWDGYGGGPMVPPPPLPPPPLHHPQLVCQMQKQHMQQLQQLQALHQERFSMFGSSSPAAPTTSSSYGPGPSAEPLAVPPPPPVPPPPYHSSGGYRGWNPYM
+>sp|A8MX80|YM017_HUMAN Putative UPF0607 protein ENSP00000383144 OS=Homo sapiens OX=9606 PE=3 SV=2
+MRLCLIPRNTGTPQRVLPPVVWSTPSRKKPVLSARNSMMFGHLSPMRIPHLRGKFNLQLPSLDEQVIPARLPKTEVRAEEPKEATEVKDQVETQEQEDNKRGPCSNGEAASTSRPLETQGNLTSSWYNPRPLEGNVHLKSLTEKNQTDKAQVHAVSFYSKGHGVASSHSPAGGILPFGRPDSLPTVLPAPVPGCSLWPEKAALKVLGKDYLPSSPGLLMVGEDMQPKDPAALGSSRSSPPKAAGHRSHKRKLSGPPLQLQPTPPLQLRWDRDEGPPPAKLPCLSPEALLVGQASQREGHLQQGNMHKNMRVLSRTSKFRRLRQLLRRRKKRRQGRCGGSRL
+>DECOY_sp|A8MX80|YM017_HUMAN Putative UPF0607 protein ENSP00000383144 OS=Homo sapiens OX=9606 PE=3 SV=2
+LRSGGCRGQRRKKRRRLLQRLRRFKSTRSLVRMNKHMNGQQLHGERQSAQGVLLAEPSLCPLKAPPPGEDRDWRLQLPPTPQLQLPPGSLKRKHSRHGAAKPPSSRSSGLAAPDKPQMDEGVMLLGPSSPLYDKGLVKLAAKEPWLSCGPVPAPLVTPLSDPRGFPLIGGAPSHSSAVGHGKSYFSVAHVQAKDTQNKETLSKLHVNGELPRPNYWSSTLNGQTELPRSTSAAEGNSCPGRKNDEQEQTEVQDKVETAEKPEEARVETKPLRAPIVQEDLSPLQLNFKGRLHPIRMPSLHGFMMSNRASLVPKKRSPTSWVVPPLVRQPTGTNRPILCLRM
+>sp|Q9P1C3|YN010_HUMAN Putative uncharacterized protein PRO2829 OS=Homo sapiens OX=9606 GN=PRO2829 PE=5 SV=1
+MVRPHLLKKKILGRVWWLMPVVLALWEAEVGGSLEVRSLRPAWPTW
+>DECOY_sp|Q9P1C3|YN010_HUMAN Putative uncharacterized protein PRO2829 OS=Homo sapiens OX=9606 GN=PRO2829 PE=5 SV=1
+WTPWAPRLSRVELSGGVEAEWLALVVPMLWWVRGLIKKKLLHPRVM
+>sp|Q9UFV3|YO007_HUMAN Putative uncharacterized protein DKFZp434L187 OS=Homo sapiens OX=9606 PE=5 SV=2
+MAETYRRSRQHEQLPGQRHMDLLTGYSKLIQSRLKLLLHLGSQPPVGKTFFFSCWCHPLFHGSKTTPNVWYKFSETALSILNSCQASQLGVRKMPGDMSSSPRVREFSALVAIKEKIHILPTNAKVGSKFGS
+>DECOY_sp|Q9UFV3|YO007_HUMAN Putative uncharacterized protein DKFZp434L187 OS=Homo sapiens OX=9606 PE=5 SV=2
+SGFKSGVKANTPLIHIKEKIAVLASFERVRPSSSMDGPMKRVGLQSAQCSNLISLATESFKYWVNPTTKSGHFLPHCWCSFFFTKGVPPQSGLHLLLKLRSQILKSYGTLLDMHRQGPLQEHQRSRRYTEAM
+>sp|Q9UI25|YP002_HUMAN Putative uncharacterized protein PRO0461 OS=Homo sapiens OX=9606 GN=PRO0461 PE=5 SV=1
+MEEMSYGENSGTHVGSFSCSPQPSQQMKVLFVGNSFLLTPVLHRQPHLQPCNFGPEVVAPQRL
+>DECOY_sp|Q9UI25|YP002_HUMAN Putative uncharacterized protein PRO0461 OS=Homo sapiens OX=9606 GN=PRO0461 PE=5 SV=1
+LRQPAVVEPGFNCPQLHPQRHLVPTLLFSNGVFLVKMQQSPQPSCSFSGVHTGSNEGYSMEEM
+>sp|P62699|YPEL5_HUMAN Protein yippee-like 5 OS=Homo sapiens OX=9606 GN=YPEL5 PE=1 SV=1
+MGRIFLDHIGGTRLFSCANCDTILTNRSELISTRFTGATGRAFLFNKVVNLQYSEVQDRVMLTGRHMVRDVSCKNCNSKLGWIYEFATEDSQRYKEGRVILERALVRESEGFEEHVPSDNS
+>DECOY_sp|P62699|YPEL5_HUMAN Protein yippee-like 5 OS=Homo sapiens OX=9606 GN=YPEL5 PE=1 SV=1
+SNDSPVHEEFGESERVLARELIVRGEKYRQSDETAFEYIWGLKSNCNKCSVDRVMHRGTLMVRDQVESYQLNVVKNFLFARGTAGTFRTSILESRNTLITDCNACSFLRTGGIHDLFIRGM
+>sp|A8MUN3|YQ048_HUMAN Putative uncharacterized protein ENSP00000381830 OS=Homo sapiens OX=9606 PE=5 SV=1
+MSFEYRHYKREAKICTCRGGWAHVLLCIGVSQGACAEHLPHRPAVEKDVPGAGEVLFMCSWRIFPVASASPSSSISGLAGHSVFLVPGLAAHPGSHSDQPPGVPSRRKSRLERWSPSVSRSTSPPTEAPFCL
+>DECOY_sp|A8MUN3|YQ048_HUMAN Putative uncharacterized protein ENSP00000381830 OS=Homo sapiens OX=9606 PE=5 SV=1
+LCFPAETPPSTSRSVSPSWRELRSKRRSPVGPPQDSHSGPHAALGPVLFVSHGALGSISSSPSASAVPFIRWSCMFLVEGAGPVDKEVAPRHPLHEACAGQSVGICLLVHAWGGRCTCIKAERKYHRYEFSM
+>sp|Q8WZ26|YS006_HUMAN Putative uncharacterized protein PP6455 OS=Homo sapiens OX=9606 GN=PP6455 PE=2 SV=2
+MCEQLVCIFTYAYLKINLTKALGHHNPSYPVARAQPQTMFPSASECNAFPRVSKVPNRSGAAETALLVRVLPKPLKSQASLLSLPFIHITEQLSTHICVVLFKLFHVCLFTINNMNDCSMDAAERNAEGGLQLE
+>DECOY_sp|Q8WZ26|YS006_HUMAN Putative uncharacterized protein PP6455 OS=Homo sapiens OX=9606 GN=PP6455 PE=2 SV=2
+ELQLGGEANREAADMSCDNMNNITFLCVHFLKFLVVCIHTSLQETIHIFPLSLLSAQSKLPKPLVRVLLATEAAGSRNPVKSVRPFANCESASPFMTQPQARAVPYSPNHHGLAKTLNIKLYAYTFICVLQECM
+>sp|Q8WTZ3|YS049_HUMAN Zinc finger protein ENSP00000375192 OS=Homo sapiens OX=9606 PE=2 SV=1
+MKNVANTVTISHILPHIKIIHTEEKPDKCEECNKVFNWSSTHTKYKRIHTEDKFYKYEECDKSFKNISTLITHKIIYVVEKFYKCEECGKVFFFFLKWSLTLSPKLECNGAISVHCNLRLLGSSDSLASTSQAAGIAGACHHAQLIFVFLVETGFHHFDQAGFELLTSSDPPALASQSAPKCWDYKHEPLSPVECGKVFNKLSNHTGEKLYKPKRHDSALENTLNFSKHKRNHSVKKP
+>DECOY_sp|Q8WTZ3|YS049_HUMAN Zinc finger protein ENSP00000375192 OS=Homo sapiens OX=9606 PE=2 SV=1
+PKKVSHNRKHKSFNLTNELASDHRKPKYLKEGTHNSLKNFVKGCEVPSLPEHKYDWCKPASQSALAPPDSSTLLEFGAQDFHHFGTEVLFVFILQAHHCAGAIGAAQSTSALSDSSGLLRLNCHVSIAGNCELKPSLTLSWKLFFFFVKGCEECKYFKEVVYIIKHTILTSINKFSKDCEEYKYFKDETHIRKYKTHTSSWNFVKNCEECKDPKEETHIIKIHPLIHSITVTNAVNKM
+>sp|Q5W150|YT011_HUMAN Putative uncharacterized protein MGC163334 OS=Homo sapiens OX=9606 PE=1 SV=1
+MDCKSPKRANICPHLPGGGLFSTPPSQAAWRTLLTALCFPGPTCTGPMREGPRAVYNPPRAHRNSSDNCVMKHLLCAGDKNGTRRHALPSPLEGSFQPGRQIPPPQTPSTDPQTLPLSFRSLLRCHQLCAASLPPSLKLP
+>DECOY_sp|Q5W150|YT011_HUMAN Putative uncharacterized protein MGC163334 OS=Homo sapiens OX=9606 PE=1 SV=1
+PLKLSPPLSAACLQHCRLLSRFSLPLTQPDTSPTQPPPIQRGPQFSGELPSPLAHRRTGNKDGACLLHKMVCNDSSNRHARPPNYVARPGERMPGTCTPGPFCLATLLTRWAAQSPPTSFLGGGPLHPCINARKPSKCDM
+>sp|Q9H6S0|YTDC2_HUMAN 3'-5' RNA helicase YTHDC2 OS=Homo sapiens OX=9606 GN=YTHDC2 PE=1 SV=2
+MSRPSSVSPRQPAPGGGGGGGPSPCGPGGGGRAKGLKDIRIDEEVKIAVNIALERFRYGDQREMEFPSSLTSTERAFIHRLSQSLGLVSKSKGKGANRYLTVKKKDGSETAHAMMTCNLTHNTKHAVRSLIQRFPVTNKERTELLPKTERGNVFAVEAENREMSKTSGRLNNGIPQIPVKRGESEFDSFRQSLPVFEKQEEIVKIIKENKVVLIVGETGSGKTTQIPQFLLDDCFKNGIPCRIFCTQPRRLAAIAVAERVAAERRERIGQTIGYQIRLESRVSPKTLLTFCTNGVLLRTLMAGDSTLSTVTHVIVDEVHERDRFSDFLLTKLRDLLQKHPTLKLILSSAALDVNLFIRYFGSCPVIYIQGRPFEVKEMFLEDILRTTGYTNKEMLKYKKEKQQEEKQQTTLTEWYSAQENSFKPESQRQRTVLNVTDEYDLLDDGGDAVFSQLTEKDVNCLEPWLIKEMDACLSDIWLHKDIDAFAQVFHLILTENVSVDYRHSETSATALMVAAGRGFASQVEQLISMGANVHSKASNGWMALDWAKHFGQTEIVDLLESYSATLEFGNLDESSLVQTNGSDLSAEDRELLKAYHHSFDDEKVDLDLIMHLLYNICHSCDAGAVLIFLPGYDEIVGLRDRILFDDKRFADSTHRYQVFMLHSNMQTSDQKKVLKNPPAGVRKIILSTNIAETSITVNDVVFVIDSGKVKEKSFDALNFVTMLKMVWISKASAIQRKGRAGRCRPGICFRLFSRLRFQNMLEFQTPELLRMPLQELCLHTKLLAPVNCPIADFLMKAPEPPPALIVRNAVQMLKTIDAMDTWEDLTELGYHLADLPVEPHLGKMVLCAVVLKCLDPILTIACTLAYRDPFVLPTQASQKRAAMLCRKRFTAGAFSDHMALLRAFQAWQKARSDGWERAFCEKNFLSQATMEIIIGMRTQLLGQLRASGFVRARGGGDIRDVNTNSENWAVVKAALVAGMYPNLVHVDRENLVLTGPKEKKVRFHPASVLSQPQYKKIPPANGQAAAIKALPTDWLIYDEMTRAHRIANIRCCSAVTPVTILVFCGPARLASNALQEPSSFRVDGIPNDSSDSEMEDKTTANLAALKLDEWLHFTLEPEAASLLLQLRQKWHSLFLRRMRAPSKPWSQVDEATIRAIIAVLSTEEQSAGLQQPSGIGQRPRPMSSEELPLASSWRSNNSRKSSADTEFSDECTTAERVLMKSPSPALHPPQKYKDRGILHPKRGTEDRSDQSSLKSTDSSSYPSPCASPSPPSSGKGSKSPSPRPNMPVRYFIMKSSNLRNLEISQQKGIWSTTPSNERKLNRAFWESSIVYLVFSVQGSGHFQGFSRMSSEIGREKSQDWGSAGLGGVFKVEWIRKESLPFQFAHHLLNPWNDNKKVQISRDGQELEPLVGEQLLQLWERLPLGEKNTTD
+>DECOY_sp|Q9H6S0|YTDC2_HUMAN 3'-5' RNA helicase YTHDC2 OS=Homo sapiens OX=9606 GN=YTHDC2 PE=1 SV=2
+DTTNKEGLPLREWLQLLQEGVLPELEQGDRSIQVKKNDNWPNLLHHAFQFPLSEKRIWEVKFVGGLGASGWDQSKERGIESSMRSFGQFHGSGQVSFVLYVISSEWFARNLKRENSPTTSWIGKQQSIELNRLNSSKMIFYRVPMNPRPSPSKSGKGSSPPSPSACPSPYSSSDTSKLSSQDSRDETGRKPHLIGRDKYKQPPHLAPSPSKMLVREATTCEDSFETDASSKRSNNSRWSSALPLEESSMPRPRQGIGSPQQLGASQEETSLVAIIARITAEDVQSWPKSPARMRRLFLSHWKQRLQLLLSAAEPELTFHLWEDLKLAALNATTKDEMESDSSDNPIGDVRFSSPEQLANSALRAPGCFVLITVPTVASCCRINAIRHARTMEDYILWDTPLAKIAAAQGNAPPIKKYQPQSLVSAPHFRVKKEKPGTLVLNERDVHVLNPYMGAVLAAKVVAWNESNTNVDRIDGGGRARVFGSARLQGLLQTRMGIIIEMTAQSLFNKECFAREWGDSRAKQWAQFARLLAMHDSFAGATFRKRCLMAARKQSAQTPLVFPDRYALTCAITLIPDLCKLVVACLVMKGLHPEVPLDALHYGLETLDEWTDMADITKLMQVANRVILAPPPEPAKMLFDAIPCNVPALLKTHLCLEQLPMRLLEPTQFELMNQFRLRSFLRFCIGPRCRGARGKRQIASAKSIWVMKLMTVFNLADFSKEKVKGSDIVFVVDNVTISTEAINTSLIIKRVGAPPNKLVKKQDSTQMNSHLMFVQYRHTSDAFRKDDFLIRDRLGVIEDYGPLFILVAGADCSHCINYLLHMILDLDVKEDDFSHHYAKLLERDEASLDSGNTQVLSSEDLNGFELTASYSELLDVIETQGFHKAWDLAMWGNSAKSHVNAGMSILQEVQSAFGRGAAVMLATASTESHRYDVSVNETLILHFVQAFADIDKHLWIDSLCADMEKILWPELCNVDKETLQSFVADGGDDLLDYEDTVNLVTRQRQSEPKFSNEQASYWETLTTQQKEEQQKEKKYKLMEKNTYGTTRLIDELFMEKVEFPRGQIYIVPCSGFYRIFLNVDLAASSLILKLTPHKQLLDRLKTLLFDSFRDREHVEDVIVHTVTSLTSDGAMLTRLLVGNTCFTLLTKPSVRSELRIQYGITQGIRERREAAVREAVAIAALRRPQTCFIRCPIGNKFCDDLLFQPIQTTKGSGTEGVILVVKNEKIIKVIEEQKEFVPLSQRFSDFESEGRKVPIQPIGNNLRGSTKSMERNEAEVAFVNGRETKPLLETREKNTVPFRQILSRVAHKTNHTLNCTMMAHATESGDKKKVTLYRNAGKGKSKSVLGLSQSLRHIFARETSTLSSPFEMERQDGYRFRELAINVAIKVEEDIRIDKLGKARGGGGPGCPSPGGGGGGGPAPQRPSVSSPRSM
+>sp|Q9BYJ9|YTHD1_HUMAN YTH domain-containing family protein 1 OS=Homo sapiens OX=9606 GN=YTHDF1 PE=1 SV=1
+MSATSVDTQRTKGQDNKVQNGSLHQKDTVHDNDFEPYLTGQSNQSNSYPSMSDPYLSSYYPPSIGFPYSLNEAPWSTAGDPPIPYLTTYGQLSNGDHHFMHDAVFGQPGGLGNNIYQHRFNFFPENPAFSAWGTSGSQGQQTQSSAYGSSYTYPPSSLGGTVVDGQPGFHSDTLSKAPGMNSLEQGMVGLKIGDVSSSAVKTVGSVVSSVALTGVLSGNGGTNVNMPVSKPTSWAAIASKPAKPQPKMKTKSGPVMGGGLPPPPIKHNMDIGTWDNKGPVPKAPVPQQAPSPQAAPQPQQVAQPLPAQPPALAQPQYQSPQQPPQTRWVAPRNRNAAFGQSGGAGSDSNSPGNVQPNSAPSVESHPVLEKLKAAHSYNPKEFEWNLKSGRVFIIKSYSEDDIHRSIKYSIWCSTEHGNKRLDSAFRCMSSKGPVYLLFSVNGSGHFCGVAEMKSPVDYGTSAGVWSQDKWKGKFDVQWIFVKDVPNNQLRHIRLENNDNKPVTNSRDTQEVPLEKAKQVLKIISSYKHTTSIFDDFAHYEKRQEEEEVVRKERQSRNKQ
+>DECOY_sp|Q9BYJ9|YTHD1_HUMAN YTH domain-containing family protein 1 OS=Homo sapiens OX=9606 GN=YTHDF1 PE=1 SV=1
+QKNRSQREKRVVEEEEQRKEYHAFDDFISTTHKYSSIIKLVQKAKELPVEQTDRSNTVPKNDNNELRIHRLQNNPVDKVFIWQVDFKGKWKDQSWVGASTGYDVPSKMEAVGCFHGSGNVSFLLYVPGKSSMCRFASDLRKNGHETSCWISYKISRHIDDESYSKIIFVRGSKLNWEFEKPNYSHAAKLKELVPHSEVSPASNPQVNGPSNSDSGAGGSQGFAANRNRPAVWRTQPPQQPSQYQPQALAPPQAPLPQAVQQPQPAAQPSPAQQPVPAKPVPGKNDWTGIDMNHKIPPPPLGGGMVPGSKTKMKPQPKAPKSAIAAWSTPKSVPMNVNTGGNGSLVGTLAVSSVVSGVTKVASSSVDGIKLGVMGQELSNMGPAKSLTDSHFGPQGDVVTGGLSSPPYTYSSGYASSQTQQGQSGSTGWASFAPNEPFFNFRHQYINNGLGGPQGFVADHMFHHDGNSLQGYTTLYPIPPDGATSWPAENLSYPFGISPPYYSSLYPDSMSPYSNSQNSQGTLYPEFDNDHVTDKQHLSGNQVKNDQGKTRQTDVSTASM
+>sp|Q9Y5A9|YTHD2_HUMAN YTH domain-containing family protein 2 OS=Homo sapiens OX=9606 GN=YTHDF2 PE=1 SV=2
+MSASSLLEQRPKGQGNKVQNGSVHQKDGLNDDDFEPYLSPQARPNNAYTAMSDSYLPSYYSPSIGFSYSLGEAAWSTGGDTAMPYLTSYGQLSNGEPHFLPDAMFGQPGALGSTPFLGQHGFNFFPSGIDFSAWGNNSSQGQSTQSSGYSSNYAYAPSSLGGAMIDGQSAFANETLNKAPGMNTIDQGMAALKLGSTEVASNVPKVVGSAVGSGSITSNIVASNSLPPATIAPPKPASWADIASKPAKQQPKLKTKNGIAGSSLPPPPIKHNMDIGTWDNKGPVAKAPSQALVQNIGQPTQGSPQPVGQQANNSPPVAQASVGQQTQPLPPPPPQPAQLSVQQQAAQPTRWVAPRNRGSGFGHNGVDGNGVGQSQAGSGSTPSEPHPVLEKLRSINNYNPKDFDWNLKHGRVFIIKSYSEDDIHRSIKYNIWCSTEHGNKRLDAAYRSMNGKGPVYLLFSVNGSGHFCGVAEMKSAVDYNTCAGVWSQDKWKGRFDVRWIFVKDVPNSQLRHIRLENNENKPVTNSRDTQEVPLEKAKQVLKIIASYKHTTSIFDDFSHYEKRQEEEESVKKERQGRGK
+>DECOY_sp|Q9Y5A9|YTHD2_HUMAN YTH domain-containing family protein 2 OS=Homo sapiens OX=9606 GN=YTHDF2 PE=1 SV=2
+KGRGQREKKVSEEEEQRKEYHSFDDFISTTHKYSAIIKLVQKAKELPVEQTDRSNTVPKNENNELRIHRLQSNPVDKVFIWRVDFRGKWKDQSWVGACTNYDVASKMEAVGCFHGSGNVSFLLYVPGKGNMSRYAADLRKNGHETSCWINYKISRHIDDESYSKIIFVRGHKLNWDFDKPNYNNISRLKELVPHPESPTSGSGAQSQGVGNGDVGNHGFGSGRNRPAVWRTPQAAQQQVSLQAPQPPPPPLPQTQQGVSAQAVPPSNNAQQGVPQPSGQTPQGINQVLAQSPAKAVPGKNDWTGIDMNHKIPPPPLSSGAIGNKTKLKPQQKAPKSAIDAWSAPKPPAITAPPLSNSAVINSTISGSGVASGVVKPVNSAVETSGLKLAAMGQDITNMGPAKNLTENAFASQGDIMAGGLSSPAYAYNSSYGSSQTSQGQSSNNGWASFDIGSPFFNFGHQGLFPTSGLAGPQGFMADPLFHPEGNSLQGYSTLYPMATDGGTSWAAEGLSYSFGISPSYYSPLYSDSMATYANNPRAQPSLYPEFDDDNLGDKQHVSGNQVKNGQGKPRQELLSSASM
+>sp|Q6AW86|Z324B_HUMAN Zinc finger protein 324B OS=Homo sapiens OX=9606 GN=ZNF324B PE=1 SV=1
+MTFEDVAVYFSQEEWGLLDTAQRALYRHVMLENFTLVTSLGLSTSRPRVVIQLERGEEPWVPSGKDMTLARNTYGRLNSGSWSLTEDRDVSGEWPRAFPDTPPGMTTSVFPVADACHSVKSLQRQPGASPSQERKPTGVSVIYWERLLLGSRSDQASISLRLTSPLRPPKSSRPREKTFTEYRVPGRQPRTPERQKPCAQEVPGRAFGNASDLKAASGGRDRRMGAAWQEPHRLLGGQEPSTWDELGEALHAGEKSFECRACSKVFVKSSDLLKHLRTHTGERPYECTQCGKAFSQTSHLTQHQRIHSGETPYACPVCGKAFRHSSSLVRHQRIHTAEKSFRCSECGKAFSHGSNLSQHRKIHAGGRPYACAQCGRRFCRNSHLIQHERTHTGEKPFVCALCGAAFSQGSSLFLHQRVHTGEKPFACAQCGRSFSRSSNLTQHQLLHTGERPFRCVDCGKGFAKGAVLLSHRRIHTGEKPFVCTQCGRAFRERPALLHHQRIHTTEKTNAAAPDCTPGPGFLQGHHRKVRRGGKPSPVLKPAKV
+>DECOY_sp|Q6AW86|Z324B_HUMAN Zinc finger protein 324B OS=Homo sapiens OX=9606 GN=ZNF324B PE=1 SV=1
+VKAPKLVPSPKGGRRVKRHHGQLFGPGPTCDPAAANTKETTHIRQHHLLAPRERFARGCQTCVFPKEGTHIRRHSLLVAGKAFGKGCDVCRFPREGTHLLQHQTLNSSRSFSRGCQACAFPKEGTHVRQHLFLSSGQSFAAGCLACVFPKEGTHTREHQILHSNRCFRRGCQACAYPRGGAHIKRHQSLNSGHSFAKGCESCRFSKEATHIRQHRVLSSSHRFAKGCVPCAYPTEGSHIRQHQTLHSTQSFAKGCQTCEYPREGTHTRLHKLLDSSKVFVKSCARCEFSKEGAHLAEGLEDWTSPEQGGLLRHPEQWAAGMRRDRGGSAAKLDSANGFARGPVEQACPKQREPTRPQRGPVRYETFTKERPRSSKPPRLPSTLRLSISAQDSRSGLLLREWYIVSVGTPKREQSPSAGPQRQLSKVSHCADAVPFVSTTMGPPTDPFARPWEGSVDRDETLSWSGSNLRGYTNRALTMDKGSPVWPEEGRELQIVVRPRSTSLGLSTVLTFNELMVHRYLARQATDLLGWEEQSFYVAVDEFTM
+>sp|Q86Y25|Z354C_HUMAN Zinc finger protein 354C OS=Homo sapiens OX=9606 GN=ZNF354C PE=1 SV=1
+MAVDLLSAQEPVTFRDVAVFFSQDEWLHLDSAQRALYREVMLENYSSLVSLGIPFSMPKLIHQLQQGEDPCMVEREVPSDTRLGFKTWLETEALPHRQDIFIEETSQGMVKKESIKDGHWDINFEEAVEFESEIEEEQEKKPLRQMIDSHEKTISEDGNHTSLELGKSLFTNTALVTQQSVPIERIPNMYYTFGKDFKQNFDLMKCFQIYPGGKPHICNECGKSFKQNLHLIEHQRIHTGEKPYKCNECEKTFSHRSSLLSHQRIHTGEKPYKCNECEKAFSNSSTLIKHLRVHTGEKPYRCRECGKAFSQCSTLTVHQRIHTGEKLYKCGECEKAFNCRAKLHRHQRIHTGEKPYKCSECGKGYSQFTSLAEHQRFHTGEQLYTCLECGRTFTRIVTLIEHQRIHTGQKPYQCNECEKAFNQYSSFNEHRKIHTGEKLYTCEECGKAFGCKSNLYRHQRIHTGEKPYQCNQCGKAFSQYSFLTEHERIHTGEKLYKCMECGKAYSYRSNLCRHKKVHTKEKLYKWKEYGKPFICSSSLTQYQRFFKGDKAYEV
+>DECOY_sp|Q86Y25|Z354C_HUMAN Zinc finger protein 354C OS=Homo sapiens OX=9606 GN=ZNF354C PE=1 SV=1
+VEYAKDGKFFRQYQTLSSSCIFPKGYEKWKYLKEKTHVKKHRCLNSRYSYAKGCEMCKYLKEGTHIREHETLFSYQSFAKGCQNCQYPKEGTHIRQHRYLNSKCGFAKGCEECTYLKEGTHIKRHENFSSYQNFAKECENCQYPKQGTHIRQHEILTVIRTFTRGCELCTYLQEGTHFRQHEALSTFQSYGKGCESCKYPKEGTHIRQHRHLKARCNFAKECEGCKYLKEGTHIRQHVTLTSCQSFAKGCERCRYPKEGTHVRLHKILTSSNSFAKECENCKYPKEGTHIRQHSLLSSRHSFTKECENCKYPKEGTHIRQHEILHLNQKFSKGCENCIHPKGGPYIQFCKMLDFNQKFDKGFTYYMNPIREIPVSQQTVLATNTFLSKGLELSTHNGDESITKEHSDIMQRLPKKEQEEEIESEFEVAEEFNIDWHGDKISEKKVMGQSTEEIFIDQRHPLAETELWTKFGLRTDSPVEREVMCPDEGQQLQHILKPMSFPIGLSVLSSYNELMVERYLARQASDLHLWEDQSFFVAVDRFTVPEQASLLDVAM
+>sp|Q9NSJ1|Z355P_HUMAN Putative zinc finger protein 355P OS=Homo sapiens OX=9606 GN=ZNF355P PE=5 SV=2
+MRDEVAEKEKADINVTLVFQGYENTPIMVCVDGIVFSKPDLVTCLEQRKKPWSMKHPGLTQHNIVHTGDKPYKCKDCGKIFKWSSNLTIHQRIHSGEKPYKCEECGKAFKQSSKLNEHMRAHTGEKFYKCEECGKAFKHPSGLTLHKRIHTGENPYKFEECDKAFYWVLSFTKHMIIHRGEKPYKYQECGKAFKWSSNLTIHKRIHTGEKPCKCEECGKACKQSLGLTIQKRIHTEEKPYKCEECGSSNLTIYKKIHAGEKPYNCEKCGKAFYCSSNLIQNNIVHAEEKHYKCQECGKAFKKSLDLNVHKIIHSGEKPYRYEECGKITHSGEESYKCEECGKGFYCSSSLTKHMIVHTEEKLYKCEECGKAFKWSSELTIHQRIRTEEKPYKCEECVRVFKHSSKLNEHKRNHTGEKPYKCEACGKAF
+>DECOY_sp|Q9NSJ1|Z355P_HUMAN Putative zinc finger protein 355P OS=Homo sapiens OX=9606 GN=ZNF355P PE=5 SV=2
+FAKGCAECKYPKEGTHNRKHENLKSSHKFVRVCEECKYPKEETRIRQHITLESSWKFAKGCEECKYLKEETHVIMHKTLSSSCYFGKGCEECKYSEEGSHTIKGCEEYRYPKEGSHIIKHVNLDLSKKFAKGCEQCKYHKEEAHVINNQILNSSCYFAKGCKECNYPKEGAHIKKYITLNSSGCEECKYPKEETHIRKQITLGLSQKCAKGCEECKCPKEGTHIRKHITLNSSWKFAKGCEQYKYPKEGRHIIMHKTFSLVWYFAKDCEEFKYPNEGTHIRKHLTLGSPHKFAKGCEECKYFKEGTHARMHENLKSSQKFAKGCEECKYPKEGSHIRQHITLNSSWKFIKGCDKCKYPKDGTHVINHQTLGPHKMSWPKKRQELCTVLDPKSFVIGDVCVMIPTNEYGQFVLTVNIDAKEKEAVEDRM
+>sp|Q96PM9|Z385A_HUMAN Zinc finger protein 385A OS=Homo sapiens OX=9606 GN=ZNF385A PE=1 SV=2
+MILGSLSRAGPLPLLRQPPIMQPPLDLKQILPFPLEPAPTLGLFSNYSTMDPVQKAVLSHTFGGPLLKTKRPVISCNICQIRFNSQSQAEAHYKGNRHARRVKGIEAAKTRGREPGVREPGDPAPPGSTPTNGDGVAPRPVSMENGLGPAPGSPEKQPGSPSPPSIPETGQGVTKGEGGTPAPASLPGGSKEEEEKAKRLLYCALCKVAVNSLSQLEAHNKGTKHKTILEARSGLGPIKAYPRLGPPTPGEPEAPAQDRTFHCEICNVKVNSEVQLKQHISSRRHRDGVAGKPNPLLSRHKKSRGAGELAGTLTFSKELPKSLAGGLLPSPLAVAAVMAAAAGSPLSLRPAPAAPLLQGPPITHPLLHPAPGPIRTAHGPILFSPY
+>DECOY_sp|Q96PM9|Z385A_HUMAN Zinc finger protein 385A OS=Homo sapiens OX=9606 GN=ZNF385A PE=1 SV=2
+YPSFLIPGHATRIPGPAPHLLPHTIPPGQLLPAAPAPRLSLPSGAAAAMVAAVALPSPLLGGALSKPLEKSFTLTGALEGAGRSKKHRSLLPNPKGAVGDRHRRSSIHQKLQVESNVKVNCIECHFTRDQAPAEPEGPTPPGLRPYAKIPGLGSRAELITKHKTGKNHAELQSLSNVAVKCLACYLLRKAKEEEEKSGGPLSAPAPTGGEGKTVGQGTEPISPPSPSGPQKEPSGPAPGLGNEMSVPRPAVGDGNTPTSGPPAPDGPERVGPERGRTKAAEIGKVRRAHRNGKYHAEAQSQSNFRIQCINCSIVPRKTKLLPGGFTHSLVAKQVPDMTSYNSFLGLTPAPELPFPLIQKLDLPPQMIPPQRLLPLPGARSLSGLIM
+>sp|Q9UGR2|Z3H7B_HUMAN Zinc finger CCCH domain-containing protein 7B OS=Homo sapiens OX=9606 GN=ZC3H7B PE=1 SV=1
+MERQKRKADIEKGLQFIQSTLPLKQEEYEAFLLKLVQNLFAEGNDLFREKDYKQALVQYMEGLNVADYAASDQVALPRELLCKLHVNRAACYFTMGLYEKALEDSEKALGLDSESIRALFRKARALNELGRHKEAYECSSRCSLALPHDESVTQLGQELAQKLGLRVRKAYKRPQELETFSLLSNGTAAGVADQGTSNGLGSIDDIETGNVPDTREQVEIGAPRDCYVDPRGSPALLPSTPTMPLFPHVLDLLAPLDSSRTLPSTDSLDDFSDGDVFGPELDTLLDSLSLVQGGLSGSGVPSELPQLIPVFPGGTPLLPPVVGGSIPVSSPLPPASFGLVMDPSKKLAASVLDALDPPGPTLDPLDLLPYSETRLDALDSFGSTRGSLDKPDSFMEETNSQDHRPPSGAQKPAPSPEPCMPNTALLIKNPLAATHEFKQACQLCYPKTGPRAGDYTYREGLEHKCKRDILLGRLRSSEDQTWKRIRPRPTKTSFVGSYYLCKDMINKQDCKYGDNCTFAYHQEEIDVWTEERKGTLNRDLLFDPLGGVKRGSLTIAKLLKEHQGIFTFLCEICFDSKPRIISKGTKDSPSVCSNLAAKHSFYNNKCLVHIVRSTSLKYSKIRQFQEHFQFDVCRHEVRYGCLREDSCHFAHSFIELKVWLLQQYSGMTHEDIVQESKKYWQQMEAHAGKASSSMGAPRTHGPSTFDLQMKFVCGQCWRNGQVVEPDKDLKYCSAKARHCWTKERRVLLVMSKAKRKWVSVRPLPSIRNFPQQYDLCIHAQNGRKCQYVGNCSFAHSPEERDMWTFMKENKILDMQQTYDMWLKKHNPGKPGEGTPISSREGEKQIQMPTDYADIMMGYHCWLCGKNSNSKKQWQQHIQSEKHKEKVFTSDSDASGWAFRFPMGEFRLCDRLQKGKACPDGDKCRCAHGQEELNEWLDRREVLKQKLAKARKDMLLCPRDDDFGKYNFLLQEDGDLAGATPEAPAAAATATTGE
+>DECOY_sp|Q9UGR2|Z3H7B_HUMAN Zinc finger CCCH domain-containing protein 7B OS=Homo sapiens OX=9606 GN=ZC3H7B PE=1 SV=1
+EGTTATAAAAPAEPTAGALDGDEQLLFNYKGFDDDRPCLLMDKRAKALKQKLVERRDLWENLEEQGHACRCKDGDPCAKGKQLRDCLRFEGMPFRFAWGSADSDSTFVKEKHKESQIHQQWQKKSNSNKGCLWCHYGMMIDAYDTPMQIQKEGERSSIPTGEGPKGPNHKKLWMDYTQQMDLIKNEKMFTWMDREEPSHAFSCNGVYQCKRGNQAHICLDYQQPFNRISPLPRVSVWKRKAKSMVLLVRREKTWCHRAKASCYKLDKDPEVVQGNRWCQGCVFKMQLDFTSPGHTRPAGMSSSAKGAHAEMQQWYKKSEQVIDEHTMGSYQQLLWVKLEIFSHAFHCSDERLCGYRVEHRCVDFQFHEQFQRIKSYKLSTSRVIHVLCKNNYFSHKAALNSCVSPSDKTGKSIIRPKSDFCIECLFTFIGQHEKLLKAITLSGRKVGGLPDFLLDRNLTGKREETWVDIEEQHYAFTCNDGYKCDQKNIMDKCLYYSGVFSTKTPRPRIRKWTQDESSRLRGLLIDRKCKHELGERYTYDGARPGTKPYCLQCAQKFEHTAALPNKILLATNPMCPEPSPAPKQAGSPPRHDQSNTEEMFSDPKDLSGRTSGFSDLADLRTESYPLLDLPDLTPGPPDLADLVSAALKKSPDMVLGFSAPPLPSSVPISGGVVPPLLPTGGPFVPILQPLESPVGSGSLGGQVLSLSDLLTDLEPGFVDGDSFDDLSDTSPLTRSSDLPALLDLVHPFLPMTPTSPLLAPSGRPDVYCDRPAGIEVQERTDPVNGTEIDDISGLGNSTGQDAVGAATGNSLLSFTELEQPRKYAKRVRLGLKQALEQGLQTVSEDHPLALSCRSSCEYAEKHRGLENLARAKRFLARISESDLGLAKESDELAKEYLGMTFYCAARNVHLKCLLERPLAVQDSAAYDAVNLGEMYQVLAQKYDKERFLDNGEAFLNQVLKLLFAEYEEQKLPLTSQIFQLGKEIDAKRKQREM
+>sp|Q52M93|Z585B_HUMAN Zinc finger protein 585B OS=Homo sapiens OX=9606 GN=ZNF585B PE=2 SV=1
+MPASWTSPQKSSALAPEDHGSSYEGSVSFRDVAIDFSREEWRHLDLSQRNLYRDVMLETYSHLLSVGYQVPKPEVVMLEQGKEPWALQGERPRHSCPGEKLWDHNQHRKIIGYKPASSQDQKIYSGEKSYECAEFGKSFTWKSQFKVHLKVPTGEKLYVCIECGRAFVQKPEFITHQKTHMREKPYKCNECGKSFFQVSSLFRHHRIHTGEKLYECSECGKGFPYNSDLSIHEKIHTGERHHECTDCGKAFTQKSTLKIHQKIHTGERSYICIECGQAFIQKTQLIAHRRIHSGEKPYECNNCGKSFISKSQLQVHQRVHTRVKPYICTEYGKVFSNNSNLITHEKIQSREKSSICTECGKAFTYRSELIIHQRIHTGEKPYECSDCGRAFTQKSALTVHQRIHTGEKSYICMKCGLAFIRKAHLITHQIIHTGEKPYKCGHCGKLFTSKSQLHVHKRIHTGEKPYVCNKCGKAFTNRSNLITHQKTHTGEKSYICSKCGKAFTQRSDLITHQRIHTGEKPYECNTCGKAFTQKSNLNIHQKIHTGERQYECHECGKAFNQKSILIVHQKIHTGEKPYVCTECGRAFIRKSNFITHQRIHTGEKPYECSDCGKSFTSKSQLLVHQPVHTGEKPYVCAECGKAFSGRSNLSKHQKTHTGEKPYICSECGKTFRQKSELITHHRIHTGEKPYECSDCGKSFTKKSQLQVHQRIHTGEKPYVCAECGKAFSNRSNLNKHQTTHTGDKPYKCGICGKGFVQKSVFSVHQSSHA
+>DECOY_sp|Q52M93|Z585B_HUMAN Zinc finger protein 585B OS=Homo sapiens OX=9606 GN=ZNF585B PE=2 SV=1
+AHSSQHVSFVSKQVFGKGCIGCKYPKDGTHTTQHKNLNSRNSFAKGCEACVYPKEGTHIRQHVQLQSKKTFSKGCDSCEYPKEGTHIRHHTILESKQRFTKGCESCIYPKEGTHTKQHKSLNSRGSFAKGCEACVYPKEGTHVPQHVLLQSKSTFSKGCDSCEYPKEGTHIRQHTIFNSKRIFARGCETCVYPKEGTHIKQHVILISKQNFAKGCEHCEYQREGTHIKQHINLNSKQTFAKGCTNCEYPKEGTHIRQHTILDSRQTFAKGCKSCIYSKEGTHTKQHTILNSRNTFAKGCKNCVYPKEGTHIRKHVHLQSKSTFLKGCHGCKYPKEGTHIIQHTILHAKRIFALGCKMCIYSKEGTHIRQHVTLASKQTFARGCDSCEYPKEGTHIRQHIILESRYTFAKGCETCISSKERSQIKEHTILNSNNSFVKGYETCIYPKVRTHVRQHVQLQSKSIFSKGCNNCEYPKEGSHIRRHAILQTKQIFAQGCEICIYSREGTHIKQHIKLTSKQTFAKGCDTCEHHREGTHIKEHISLDSNYPFGKGCESCEYLKEGTHIRHHRFLSSVQFFSKGCENCKYPKERMHTKQHTIFEPKQVFARGCEICVYLKEGTPVKLHVKFQSKWTFSKGFEACEYSKEGSYIKQDQSSAPKYGIIKRHQNHDWLKEGPCSHRPREGQLAWPEKGQELMVVEPKPVQYGVSLLHSYTELMVDRYLNRQSLDLHRWEERSFDIAVDRFSVSGEYSSGHDEPALASSKQPSTWSAPM
+>sp|Q4V348|Z658B_HUMAN Zinc finger protein 658B OS=Homo sapiens OX=9606 GN=ZNF658B PE=2 SV=1
+MMNLEKNFDKTTLFNHMRTDKRGKCSDLNEYGTSCDKTTAVEYNKVHMAMTHYECNERGINFSRKSPLTQSQRTITGWSAFESNKCEENFSQSSAHIVHQKTQAGDKFGEHNECTDALYQKLDFTAHQRIHTDFTAHQKFYLSDEHGKCRKSFYWKAHLIQHERPHSGEKTYQYEECAKSFCSSSHPIQHPGTYVGFKLYECNECGKAFCQNSNLSKHLRIHTKEKPCDNNGCGRSYKSPLIGHQKTDAEMELCGGSEYGKTSHLKGHQRILMGEKPYECIECGKTFSKTSHLRAHQRIHTGEKPYECVECEKTFSHKTHLSVHQRVHTGEKPYECNDCGKSFTYNSALRAHQRIHTGEKPYECSDCEKTFAHNSALRAHHRIHTGEKPYECNECGRSFAHISVLKAHQRIHTREKPYECNECGRSFTYNSALRAHQRIHTGRKPYECSDCEKTFAHNSALKIHQRIHTGEKPYKCNECEKTFAHNSALRAHQNIHTGEKLYECSECGKTFFQKTRLSTHRRIHTGEKPYECSKCGKTFSQKSYLSGHERIHTGEKPYECNVCGKTFVYKAALIVHQRIHTGEKPYECNECGKTFSQRTHLCAHQRIHTGEKPYECNECGKTFADNSALRAHHRIHTGEKPYECNDCGKTFSKTSHLRAHLRTRSGEKPYECSECGKTFSEKSYVSAHQRVHTGEKPYECNVCGKPFAHNSTLRVHQRIHTGEKSYECNDCGKTFSQKSHLSAHQRIHTGEKPYECNECGKAFAQNSTLRVHQRIHTGEKPYECDECGKTFVRKAALRVHHTRMHTREKTLACNGFGKS
+>DECOY_sp|Q4V348|Z658B_HUMAN Zinc finger protein 658B OS=Homo sapiens OX=9606 GN=ZNF658B PE=2 SV=1
+SKGFGNCALTKERTHMRTHHVRLAAKRVFTKGCEDCEYPKEGTHIRQHVRLTSNQAFAKGCENCEYPKEGTHIRQHASLHSKQSFTKGCDNCEYSKEGTHIRQHVRLTSNHAFPKGCVNCEYPKEGTHVRQHASVYSKESFTKGCESCEYPKEGSRTRLHARLHSTKSFTKGCDNCEYPKEGTHIRHHARLASNDAFTKGCENCEYPKEGTHIRQHACLHTRQSFTKGCENCEYPKEGTHIRQHVILAAKYVFTKGCVNCEYPKEGTHIREHGSLYSKQSFTKGCKSCEYPKEGTHIRRHTSLRTKQFFTKGCESCEYLKEGTHINQHARLASNHAFTKECENCKYPKEGTHIRQHIKLASNHAFTKECDSCEYPKRGTHIRQHARLASNYTFSRGCENCEYPKERTHIRQHAKLVSIHAFSRGCENCEYPKEGTHIRHHARLASNHAFTKECDSCEYPKEGTHIRQHARLASNYTFSKGCDNCEYPKEGTHVRQHVSLHTKHSFTKECEVCEYPKEGTHIRQHARLHSTKSFTKGCEICEYPKEGMLIRQHGKLHSTKGYESGGCLEMEADTKQHGILPSKYSRGCGNNDCPKEKTHIRLHKSLNSNQCFAKGCENCEYLKFGVYTGPHQIPHSSSCFSKACEEYQYTKEGSHPREHQILHAKWYFSKRCKGHEDSLYFKQHATFDTHIRQHATFDLKQYLADTCENHEGFKDGAQTKQHVIHASSQSFNEECKNSEFASWGTITRQSQTLPSKRSFNIGRENCEYHTMAMHVKNYEVATTKDCSTGYENLDSCKGRKDTRMHNFLTTKDFNKELNMM
+>sp|P0CI00|Z705B_HUMAN Putative zinc finger protein 705B OS=Homo sapiens OX=9606 GN=ZNF705B PE=5 SV=1
+MHSLEKVTFEDVAIDFTQEEWDMMDTSKRKLYRDVMLENISHLVSLGYQISKSYIILQLEQGKELWWEGRVFLQDQNPDRESALKKKHMISMHPIIRKDTSTSMTMENSLILEDPFEYNDSGEDCTHSSTITQCLLTHSGKKPCVSKQCGKSLRNLLSPKPRKQIHTKGKSYQCNLCEKAYTNCFYLRRHKMTHTGERPYACHLCGKAFTQCSHLRRHEKTHTGERPYKCHQCGKAFIQSFNLRRHERTHLGQKCYECDKSGKAFSQSSGFRGNKIIHIGEKPPACLLCGKAFSLSSDLR
+>DECOY_sp|P0CI00|Z705B_HUMAN Putative zinc finger protein 705B OS=Homo sapiens OX=9606 GN=ZNF705B PE=5 SV=1
+RLDSSLSFAKGCLLCAPPKEGIHIIKNGRFGSSQSFAKGSKDCEYCKQGLHTREHRRLNFSQIFAKGCQHCKYPREGTHTKEHRRLHSCQTFAKGCLHCAYPREGTHTMKHRRLYFCNTYAKECLNCQYSKGKTHIQKRPKPSLLNRLSKGCQKSVCPKKGSHTLLCQTITSSHTCDEGSDNYEFPDELILSNEMTMSTSTDKRIIPHMSIMHKKKLASERDPNQDQLFVRGEWWLEKGQELQLIIYSKSIQYGLSVLHSINELMVDRYLKRKSTDMMDWEEQTFDIAVDEFTVKELSHM
+>sp|Q7Z570|Z804A_HUMAN Zinc finger protein 804A OS=Homo sapiens OX=9606 GN=ZNF804A PE=2 SV=3
+MECYYIVISSTHLSNGHFRNIKGVFRGPLSKNGNKTLDYAEKENTIAKALEDLKANFYCELCDKQYYKHQEFDNHINSYDHAHKQRLKELKQREFARNVASKSRKDERKQEKALQRLHKLAELRKETVCAPGSGPMFKSTTVTVRENCNEISQRVVVDSVNNQQDFKYTLIHSEENTKDATTVAEDPESANNYTAKNNQVGDQAQGIHRHKIGFSFAFPKKASVKLESSAAAFSEYSDDASVGKGFSRKSRFVPSACHLQQSSPTDVLLSSEEKTNSFHPPEAMCRDKETVQTQEIKEVSSEKDALLLPSFCKFQLQLSSDADNCQNSVPLADQIPLESVVINEDIPVSGNSFELLGNKSTVLDMSNDCISVQATTEENVKHNEASTTEVENKNGPETLAPSNTEEVNITIHKKTNFCKRQCEPFVPVLNKHRSTVLQWPSEMLVYTTTKPSISYSCNPLCFDFKSTKVNNNLDKNKPDLKDLCSQQKQEDICMGPLSDYKDVSTEGLTDYEIGSSKNKCSQVTPLLADDILSSSCDSGKNENTGQRYKNISCKIRETEKYNFTKSQIKQDTLDEKYNKIRLKETHEYWFHKSRRKKKRKKLCQHHHMEKTKESETRCKMEAENSYTENAGKYLLEPISEKQYLAAEQLLDSHQLLDKRPKSESISLSDNEEMCKTWNTEYNTYDTISSKNHCKKNTILLNGQSNATMIHSGKHNLTYSRTYCCWKTKMSSCSQDHRSLVLQNDMKHMSQNQAVKRGYNSVMNESERFYRKRRQHSHSYSSDESLNRQNHLPEEFLRPPSTSVAPCKPKKKRRRKRGRFHPGFETLELKENTDYPVKDNSSLNPLDRLISEDKKEKMKPQEVAKIERNSEQTNQLRNKLSFHPNNLLPSETNGETEHLEMETTSGELSDVSNDPTTSVCVASAPTKEAIDNTLLEHKERSENINLNEKQIPFQVPNIERNFRQSQPKSYLCHYELAEALPQGKMNETPTEWLRYNSGILNTQPPLPFKEAHVSGHTFVTAEQILAPLALPEQALLIPLENHDKFKNVPCEVYQHILQPNMLANKVKFTFPPAALPPPSTPLQPLPLQQSLCSTSVTTIHHTVLQQHAAAAAAAAAAAAAGTFKVLQPHQQFLSQIPALTRTSLPQLSVGPVGPRLCPGNQPTFVAPPQMPIIPASVLHPSHLAFPSLPHALFPSLLSPHPTVIPLQPLF
+>DECOY_sp|Q7Z570|Z804A_HUMAN Zinc finger protein 804A OS=Homo sapiens OX=9606 GN=ZNF804A PE=2 SV=3
+FLPQLPIVTPHPSLLSPFLAHPLSPFALHSPHLVSAPIIPMQPPAVFTPQNGPCLRPGVPGVSLQPLSTRTLAPIQSLFQQHPQLVKFTGAAAAAAAAAAAAAHQQLVTHHITTVSTSCLSQQLPLPQLPTSPPPLAAPPFTFKVKNALMNPQLIHQYVECPVNKFKDHNELPILLAQEPLALPALIQEATVFTHGSVHAEKFPLPPQTNLIGSNYRLWETPTENMKGQPLAEALEYHCLYSKPQSQRFNREINPVQFPIQKENLNINESREKHELLTNDIAEKTPASAVCVSTTPDNSVDSLEGSTTEMELHETEGNTESPLLNNPHFSLKNRLQNTQESNREIKAVEQPKMKEKKDESILRDLPNLSSNDKVPYDTNEKLELTEFGPHFRGRKRRRKKKPKCPAVSTSPPRLFEEPLHNQRNLSEDSSYSHSHQRRKRYFRESENMVSNYGRKVAQNQSMHKMDNQLVLSRHDQSCSSMKTKWCCYTRSYTLNHKGSHIMTANSQGNLLITNKKCHNKSSITDYTNYETNWTKCMEENDSLSISESKPRKDLLQHSDLLQEAALYQKESIPELLYKGANETYSNEAEMKCRTESEKTKEMHHHQCLKKRKKKRRSKHFWYEHTEKLRIKNYKEDLTDQKIQSKTFNYKETERIKCSINKYRQGTNENKGSDCSSSLIDDALLPTVQSCKNKSSGIEYDTLGETSVDKYDSLPGMCIDEQKQQSCLDKLDPKNKDLNNNVKTSKFDFCLPNCSYSISPKTTTYVLMESPWQLVTSRHKNLVPVFPECQRKCFNTKKHITINVEETNSPALTEPGNKNEVETTSAENHKVNEETTAQVSICDNSMDLVTSKNGLLEFSNGSVPIDENIVVSELPIQDALPVSNQCNDADSSLQLQFKCFSPLLLADKESSVEKIEQTQVTEKDRCMAEPPHFSNTKEESSLLVDTPSSQQLHCASPVFRSKRSFGKGVSADDSYESFAAASSELKVSAKKPFAFSFGIKHRHIGQAQDGVQNNKATYNNASEPDEAVTTADKTNEESHILTYKFDQQNNVSDVVVRQSIENCNERVTVTTSKFMPGSGPACVTEKRLEALKHLRQLAKEQKREDKRSKSAVNRAFERQKLEKLRQKHAHDYSNIHNDFEQHKYYQKDCLECYFNAKLDELAKAITNEKEAYDLTKNGNKSLPGRFVGKINRFHGNSLHTSSIVIYYCEM
+>sp|Q96GY0|ZC21A_HUMAN Zinc finger C2HC domain-containing protein 1A OS=Homo sapiens OX=9606 GN=ZC2HC1A PE=1 SV=2
+MEGLEENGGVVQVGELLPCKICGRTFFPVALKKHGPICQKTATKKRKTFDSSRQRAEGTDIPTVKPLKPRPEPPKKPSNWRRKHEEFIATIRAAKGLDQALKEGGKLPPPPPPSYDPDYIQCPYCQRRFNENAADRHINFCKEQAARISNKGKFSTDTKGKPTSRTQVYKPPALKKSNSPGTASSGSSRLPQPSGAGKTVVGVPSGKVSSSSSSLGNKLQTLSPSHKGIAAPHAGANVKPRNSTPPSLARNPAPGVLTNKRKTYTESYIARPDGDCASSLNGGNIKGIEGHSPGNLPKFCHECGTKYPVEWAKFCCECGIRRMIL
+>DECOY_sp|Q96GY0|ZC21A_HUMAN Zinc finger C2HC domain-containing protein 1A OS=Homo sapiens OX=9606 GN=ZC2HC1A PE=1 SV=2
+LIMRRIGCECCFKAWEVPYKTGCEHCFKPLNGPSHGEIGKINGGNLSSACDGDPRAIYSETYTKRKNTLVGPAPNRALSPPTSNRPKVNAGAHPAAIGKHSPSLTQLKNGLSSSSSSVKGSPVGVVTKGAGSPQPLRSSGSSATGPSNSKKLAPPKYVQTRSTPKGKTDTSFKGKNSIRAAQEKCFNIHRDAANENFRRQCYPCQIYDPDYSPPPPPPLKGGEKLAQDLGKAARITAIFEEHKRRWNSPKKPPEPRPKLPKVTPIDTGEARQRSSDFTKRKKTATKQCIPGHKKLAVPFFTRGCIKCPLLEGVQVVGGNEELGEM
+>sp|Q7Z7L7|ZER1_HUMAN Protein zer-1 homolog OS=Homo sapiens OX=9606 GN=ZER1 PE=1 SV=1
+MASDTPESLMALCTDFCLRNLDGTLGYLLDKETLRLHPDIFLPSEICDRLVNEYVELVNAACNFEPHESFFSLFSDPRSTRLTRIHLREDLVQDQDLEAIRKQDLVELYLTNCEKLSAKSLQTLRSFSHTLVSLSLFGCTNIFYEEENPGGCEDEYLVNPTCQVLVKDFTFEGFSRLRFLNLGRMIDWVPVESLLRPLNSLAALDLSGIQTSDAAFLTQWKDSLVSLVLYNMDLSDDHIRVIVQLHKLRHLDISRDRLSSYYKFKLTREVLSLFVQKLGNLMSLDISGHMILENCSISKMEEEAGQTSIEPSKSSIIPFRALKRPLQFLGLFENSLCRLTHIPAYKVSGDKNEEQVLNAIEAYTEHRPEITSRAINLLFDIARIERCNQLLRALKLVITALKCHKYDRNIQVTGSAALFYLTNSEYRSEQSVKLRRQVIQVVLNGMESYQEVTVQRNCCLTLCNFSIPEELEFQYRRVNELLLSILNPTRQDESIQRIAVHLCNALVCQVDNDHKEAVGKMGFVVTMLKLIQKKLLDKTCDQVMEFSWSALWNITDETPDNCEMFLNFNGMKLFLDCLKEFPEKQELHRNMLGLLGNVAEVKELRPQLMTSQFISVFSNLLESKADGIEVSYNACGVLSHIMFDGPEAWGVCEPQREEVEERMWAAIQSWDINSRRNINYRSFEPILRLLPQGISPVSQHWATWALYNLVSVYPDKYCPLLIKEGGMPLLRDIIKMATARQETKEMARKVIEHCSNFKEENMDTSR
+>DECOY_sp|Q7Z7L7|ZER1_HUMAN Protein zer-1 homolog OS=Homo sapiens OX=9606 GN=ZER1 PE=1 SV=1
+RSTDMNEEKFNSCHEIVKRAMEKTEQRATAMKIIDRLLPMGGEKILLPCYKDPYVSVLNYLAWTAWHQSVPSIGQPLLRLIPEFSRYNINRRSNIDWSQIAAWMREEVEERQPECVGWAEPGDFMIHSLVGCANYSVEIGDAKSELLNSFVSIFQSTMLQPRLEKVEAVNGLLGLMNRHLEQKEPFEKLCDLFLKMGNFNLFMECNDPTEDTINWLASWSFEMVQDCTKDLLKKQILKLMTVVFGMKGVAEKHDNDVQCVLANCLHVAIRQISEDQRTPNLISLLLENVRRYQFELEEPISFNCLTLCCNRQVTVEQYSEMGNLVVQIVQRRLKVSQESRYESNTLYFLAASGTVQINRDYKHCKLATIVLKLARLLQNCREIRAIDFLLNIARSTIEPRHETYAEIANLVQEENKDGSVKYAPIHTLRCLSNEFLGLFQLPRKLARFPIISSKSPEISTQGAEEEMKSISCNELIMHGSIDLSMLNGLKQVFLSLVERTLKFKYYSSLRDRSIDLHRLKHLQVIVRIHDDSLDMNYLVLSVLSDKWQTLFAADSTQIGSLDLAALSNLPRLLSEVPVWDIMRGLNLFRLRSFGEFTFDKVLVQCTPNVLYEDECGGPNEEEYFINTCGFLSLSVLTHSFSRLTQLSKASLKECNTLYLEVLDQKRIAELDQDQVLDERLHIRTLRTSRPDSFLSFFSEHPEFNCAANVLEVYENVLRDCIESPLFIDPHLRLTEKDLLYGLTGDLNRLCFDTCLAMLSEPTDSAM
+>sp|Q9NTW7|ZF64B_HUMAN Zinc finger protein 64 homolog, isoforms 3 and 4 OS=Homo sapiens OX=9606 GN=ZFP64 PE=1 SV=3
+MNASSEGESFAGSVQIPGGTTVLVELTPDIHICGICKQQFNNLDAFVAHKQSGCQLTGTSAAAPSTVQFVSEETVPATQTQTTTRTITSETQTITVSAPEFVFEHGYQTYLPTESNENQTATVISLPAKSRTKKPTTPPAQKRLNCCYPGCQFKTAYGMKDMERHLKIHTGDKPHKCEVCGKCFSRKDKLKTHMRCHTGVKPYKCKTCDYAAADSSSLNKHLRIHSDERPFKCQICPYASRNSSQLTVHLRSHTASELDDDVPKANCLSTESTDTPKAPVITLPSEAREQMATLGERTFNCCYPGCHFKTVHGMKDLDRHLRIHTGDKPHKCEFCDKCFSRKDNLTMHMRCHTSVKPHKCHLCDYAAVDSSSLKKHLRIHSDERPYKCQLCPYASRNSSQLTVHLRSHTGDTPFQCWLCSAKFKISSDLKRHMIVHSGEKPFKCEFCDVRCTMKANLKSHIRIKHTFKCLHCAFQGRDRADLLEHSRLHQADHPEKCPECSYSCSSAAALRVHSRVHCKDRPFKCDFCSFDTKRPSSLAKHVDKVHRDEAKTENRAPLGKEGLREGSSQHVAKIVTQRAFRCETCGASFVRDDSLRCHKKQHSDQSENKNSDLVTFPPESGASGQLSTLVSVGQLEAPLEPSQDL
+>DECOY_sp|Q9NTW7|ZF64B_HUMAN Zinc finger protein 64 homolog, isoforms 3 and 4 OS=Homo sapiens OX=9606 GN=ZFP64 PE=1 SV=3
+LDQSPELPAELQGVSVLTSLQGSAGSEPPFTVLDSNKNESQDSHQKKHCRLSDDRVFSAGCTECRFARQTVIKAVHQSSGERLGEKGLPARNETKAEDRHVKDVHKALSSPRKTDFSCFDCKFPRDKCHVRSHVRLAAASSCSYSCEPCKEPHDAQHLRSHELLDARDRGQFACHLCKFTHKIRIHSKLNAKMTCRVDCFECKFPKEGSHVIMHRKLDSSIKFKASCLWCQFPTDGTHSRLHVTLQSSNRSAYPCLQCKYPREDSHIRLHKKLSSSDVAAYDCLHCKHPKVSTHCRMHMTLNDKRSFCKDCFECKHPKDGTHIRLHRDLDKMGHVTKFHCGPYCCNFTREGLTAMQERAESPLTIVPAKPTDTSETSLCNAKPVDDDLESATHSRLHVTLQSSNRSAYPCIQCKFPREDSHIRLHKNLSSSDAAAYDCTKCKYPKVGTHCRMHTKLKDKRSFCKGCVECKHPKDGTHIKLHREMDKMGYATKFQCGPYCCNLRKQAPPTTPKKTRSKAPLSIVTATQNENSETPLYTQYGHEFVFEPASVTITQTESTITRTTTQTQTAPVTEESVFQVTSPAAASTGTLQCGSQKHAVFADLNNFQQKCIGCIHIDPTLEVLVTTGGPIQVSGAFSEGESSANM
+>sp|O76080|ZFAN5_HUMAN AN1-type zinc finger protein 5 OS=Homo sapiens OX=9606 GN=ZFAND5 PE=1 SV=1
+MAQETNQTPGPMLCSTGCGFYGNPRTNGMCSVCYKEHLQRQQNSGRMSPMGTASGSNSPTSDSASVQRADTSLNNCEGAAGSTSEKSRNVPVAALPVTQQMTEMSISREDKITTPKTEVSEPVVTQPSPSVSQPSTSQSEEKAPELPKPKKNRCFMCRKKVGLTGFDCRCGNLFCGLHRYSDKHNCPYDYKAEAAAKIRKENPVVVAEKIQRI
+>DECOY_sp|O76080|ZFAN5_HUMAN AN1-type zinc finger protein 5 OS=Homo sapiens OX=9606 GN=ZFAND5 PE=1 SV=1
+IRQIKEAVVVPNEKRIKAAAEAKYDYPCNHKDSYRHLGCFLNGCRCDFGTLGVKKRCMFCRNKKPKPLEPAKEESQSTSPQSVSPSPQTVVPESVETKPTTIKDERSISMETMQQTVPLAAVPVNRSKESTSGAAGECNNLSTDARQVSASDSTPSNSGSATGMPSMRGSNQQRQLHEKYCVSCMGNTRPNGYFGCGTSCLMPGPTQNTEQAM
+>sp|Q9P243|ZFAT_HUMAN Zinc finger protein ZFAT OS=Homo sapiens OX=9606 GN=ZFAT PE=1 SV=2
+METRAAENTAIFMCKCCNLFSPNQSELLSHVSEKHMEEGVNVDEIIIPLRPLSTPEPPNSSKTGDEFLVMKRKRGRPKGSTKKSSTEEELAENIVSPTEDSPLAPEEGNSLPPSSLECSKCCRKFSNTRQLRKHICIIVLNLGEEEGEAGNESDLELEKKCKEDDREKASKRPRSQKTEKVQKISGKEARQLSGAKKPIISVVLTAHEAIPGATKIVPVEAGPPETGATNSETTSADLVPRRGYQEYAIQQTPYEQPMKSSRLGPTQLKIFTCEYCNKVFKFKHSLQAHLRIHTNEKPYKCPQCSYASAIKANLNVHLRKHTGEKFACDYCSFTCLSKGHLKVHIERVHKKIKQHCRFCKKKYSDVKNLIKHIRDAHDPQDKKVKEALDELCLMTREGKRQLLYDCHICERKFKNELDRDRHMLVHGDKWPFACELCGHGATKYQALELHVRKHPFVYVCAVCRKKFVSSIRLRTHIKEVHGAAQEALVFTSSINQSFCLLEPGGDIQQEALGDQLQLVEEEFALQGVNALKEEACPGDTQLEEGRKEPEAPGEMPAPAVHLASPQAESTALPPCELETTVVSSSDLHSQEVVSDDFLLKNDTSSAEAHAAPEKPPDMQHRSSVQTQGEVITLLLSKAQSAGSDQESHGAQSPLGEGQNMAVLSAGDPDPSRCLRSNPAEASDLLPPVAGGGDTITHQPDSCKAAPEHRSGITAFMKVLNSLQKKQMNTSLCERIRKVYGDLECEYCGKLFWYQVHFDMHVRTHTREHLYYCSQCHYSSITKNCLKRHVIQKHSNILLKCPTDGCDYSTPDKYKLQAHLKVHTALDKRSYSCPVCEKSFSEDRLIKSHIKTNHPEVSMSTISEVLGRRVQLKGLIGKRAMKCPYCDFYFMKNGSDLQRHIWAHEGVKPFKCSLCEYATRSKSNLKAHMNRHSTEKTHLCDMCGKKFKSKGTLKSHKLLHTADGKQFKCTVCDYTAAQKPQLLRHMEQHVSFKPFRCAHCHYSCNISGSLKRHYNRKHPNEEYANVGTGELAAEVLIQQGGLKCPVCSFVYGTKWEFNRHLKNKHGLKVVEIDGDPKWETATEAPEEPSTQYLHITEAEEDVQGTQAAVAALQDLRYTSESGDRLDPTAVNILQQIIELGAETHDATALASVVAMAPGTVTVVKQVTEEEPSSNHTVMIQETVQQASVELAEQHHLVVSSDDVEGIETVTVYTQGGEASEFIVYVQEAMQPVEEQAVEQPAQEL
+>DECOY_sp|Q9P243|ZFAT_HUMAN Zinc finger protein ZFAT OS=Homo sapiens OX=9606 GN=ZFAT PE=1 SV=2
+LEQAPQEVAQEEVPQMAEQVYVIFESAEGGQTYVTVTEIGEVDDSSVVLHHQEALEVSAQQVTEQIMVTHNSSPEEETVQKVVTVTGPAMAVVSALATADHTEAGLEIIQQLINVATPDLRDGSESTYRLDQLAAVAAQTGQVDEEAETIHLYQTSPEEPAETATEWKPDGDIEVVKLGHKNKLHRNFEWKTGYVFSCVPCKLGGQQILVEAALEGTGVNAYEENPHKRNYHRKLSGSINCSYHCHACRFPKFSVHQEMHRLLQPKQAATYDCVTCKFQKGDATHLLKHSKLTGKSKFKKGCMDCLHTKETSHRNMHAKLNSKSRTAYECLSCKFPKVGEHAWIHRQLDSGNKMFYFDCYPCKMARKGILGKLQVRRGLVESITSMSVEPHNTKIHSKILRDESFSKECVPCSYSRKDLATHVKLHAQLKYKDPTSYDCGDTPCKLLINSHKQIVHRKLCNKTISSYHCQSCYYLHERTHTRVHMDFHVQYWFLKGCYECELDGYVKRIRECLSTNMQKKQLSNLVKMFATIGSRHEPAAKCSDPQHTITDGGGAVPPLLDSAEAPNSRLCRSPDPDGASLVAMNQGEGLPSQAGHSEQDSGASQAKSLLLTIVEGQTQVSSRHQMDPPKEPAAHAEASSTDNKLLFDDSVVEQSHLDSSSVVTTELECPPLATSEAQPSALHVAPAPMEGPAEPEKRGEELQTDGPCAEEKLANVGQLAFEEEVLQLQDGLAEQQIDGGPELLCFSQNISSTFVLAEQAAGHVEKIHTRLRISSVFKKRCVACVYVFPHKRVHLELAQYKTAGHGCLECAFPWKDGHVLMHRDRDLENKFKRECIHCDYLLQRKGERTMLCLEDLAEKVKKDQPDHADRIHKILNKVDSYKKKCFRCHQKIKKHVREIHVKLHGKSLCTFSCYDCAFKEGTHKRLHVNLNAKIASAYSCQPCKYPKENTHIRLHAQLSHKFKFVKNCYECTFIKLQTPGLRSSKMPQEYPTQQIAYEQYGRRPVLDASTTESNTAGTEPPGAEVPVIKTAGPIAEHATLVVSIIPKKAGSLQRAEKGSIKQVKETKQSRPRKSAKERDDEKCKKELELDSENGAEGEEEGLNLVIICIHKRLQRTNSFKRCCKSCELSSPPLSNGEEPALPSDETPSVINEALEEETSSKKTSGKPRGRKRKMVLFEDGTKSSNPPEPTSLPRLPIIIEDVNVGEEMHKESVHSLLESQNPSFLNCCKCMFIATNEAARTEM
+>sp|Q9C0A1|ZFHX2_HUMAN Zinc finger homeobox protein 2 OS=Homo sapiens OX=9606 GN=ZFHX2 PE=2 SV=3
+MATLNSASTTGTTPSPGHNAPSLPSDTFSSSTPSDPVTKDPPAASSTSENMRSSEPGGQLLESGCGLVPPKEIGEPQEGPDCGHFPPNDPGVEKDKEQEEEEEGLPPMDLSNHLFFTAGGEAYLVAKLSLPGGSELLLPKGFPWGEAGIKEEPSLPFLAYPPPSHLTALHIQHGFDPIQGFSSSDQILSHDTSAPSPAACEERHGAFWSYQLAPNPPGDPKDGPMGNSGGNHVAVFWLCLLCRLGFSKPQAFMDHTQSHGVKLTPAQYQGLSGSPAVLQEGDEGCKALISFLEPKLPARPSSDIPLDNSSTVNMEANVAQTEDGPPEAEVQALILLDEEVMALSPPSPPTATWDPSPTQAKESPVAAGEAGPDWFPEGQEEDGGLCPPLNQSSPTSKEGGTLPAPVGSPEDPSDPPQPYRLADDYTPAPAAFQGLSLSSHMSLLHSRNSCKTLKCPKCNWHYKYQQTLDVHMREKHPESNSHCSYCSAGGAHPRLARGESYNCGYKPYRCDVCNYSTTTKGNLSIHMQSDKHLANLQGFQAGPGGQGSPPEASLPPSAGDKEPKTKSSWQCKVCSYETNISRNLRIHMTSEKHMQNVLMLHQGLPLGLPPGLMGPGPPPPPGATPTSPPELFQYFGPQALGQPQTPLAGPGLRPDKPLEAQLLLNGFHHVGAPARKFPTSAPGSLSPDAHLPPSQLLGSSSDSLPTSPPPDDSLSLKVFRCLVCQAFSTDSLELLLYHCSIGRSLPEAEWKEVAGDTHRCKLCCYGTQLKANFQLHLKTDKHAQKYQLAAHLREGGGAMGTPSPASLGDGAPYGSVSPLHLRCNICDFESNSKEKMQLHARGAAHEENSQIYKFLLDMEGAEAGAELGLYHCLLCAWETPSRLAVLQHLRTPAHRDAQAQRRLQLLQNGPTTEEGLAALQSILSFSHGQLRTPGKAPVTPLAEPPTPEKDAQNKTEQLASEETENKTGPSRDSANQTTVYCCPYCSFLSPESSQVRAHTLSQHAVQPKYRCPLCQEQLVGRPALHFHLSHLHNVVPECVEKLLLVATTVEMTFTTKVLSAPTLSPLDNGQEPPTHGPEPTPSRDQAAEGPNLTPEASPDPLPEPPLASVEVPDKPSGSPGQPPSPAPSPVPEPDAQAEDVAPPPTMAEEEEGTTGELRSAEPAPADSRHPLTYRKTTNFALDKFLDPARPYKCTVCKESFTQKNILLVHYNSVSHLHKMKKAAIDPSAPARGEAGAPPTTTAATDKPFKCTVCRVSYNQSSTLEIHMRSVLHQTRSRGTKTDSKIEGPERSQEEPKEGETEGEVGTEKKGPDTSGFISGLPFLSPPPPPLDLHRFPAPLFTPPVLPPFPLVPESLLKLQQQQLLLPFYLHDLKVGPKLTLAGPAPVLSLPAATPPPPPQPPKAELAEREWERPPMAKEGNEAGPSSPPDPLPNEAARTAAKALLENFGFELVIQYNEGKQAVPPPPTPPPPEALGGGDKLACGACGKLFSNMLILKTHEEHVHRRFLPFEALSRYAAQFRKSYDSLYPPLAEPPKPPDGSLDSPVPHLGPPFLVPEPEAGGTRAPEERSRAGGHWPIEEEESSRGNLPPLVPAGRRFSRTKFTEFQTQALQSFFETSAYPKDGEVERLASLLGLASRVVVVWFQNARQKARKNACEGGSMPTGGGTGGASGCRRCHATFSCVFELVRHLKKCYDDQTLEEEEEEAERGEEEEEVEEEEVEEEQGLEPPAGPEGPLPEPPDGEELSQAEATKAGGKEPEEKATPSPSPAHTCDQCAISFSSQDLLTSHRRLHFLPSLQPSAPPQLLDLPLLVFGERNPLVAATSPMPGPPLKRKHEDGSLSPTGSEAGGGGEGEPPRDKRLRTTILPEQLEILYRWYMQDSNPTRKMLDCISEEVGLKKRVVQVWFQNTRARERKGQFRSTPGGVPSPAVKPPATATPASLPKFNLLLGKVDDGTGREAPKREAPAFPYPTATLASGPQPFLPPGKEATTPTPEPPLPLLPPPPPSEEEGPEEPPKASPESEACSLSAGDLSDSSASSLAEPESPGAGGTSGGPGGGTGVPDGMGQRRYRTQMSSLQLKIMKACYEAYRTPTMQECEVLGEEIGLPKRVIQVWFQNARAKEKKAKLQGTAAGSTGGSSEGLLAAQRTDCPYCDVKYDFYVSCRGHLFSRQHLAKLKEAVRAQLKSESKCYDLAPAPEAPPALKAPPATTPASMPLGAAPTLPRLAPVLLSGPALAQPPLGNLAPFNSGPAASSGLLGLATSVLPTTTVVQTAGPGRPLPQRPMPDQTNTSTAGTTDPVPGPPTEPLGDKVSSERKPVAGPTSSSNDALKNLKALKTTVPALLGGQFLPFPLPPAGGTAPPAVFGPQLQGAYFQQLYGMKKGLFPMNPMIPQTLIGLLPNALLQPPPQPPEPTATAPPKPPELPAPGEGEAGEVDELLTGSTGISTVDVTHRYLCRQCKMAFDGEAPATAHQRSFCFFGRGSGGSMPPPLRVPICTYHCLACEVLLSGREALASHLRSSAHRRKAAPPQGGPPISITNAATAASAAVAFAKEEARLPHTDSNPKTTTTSTLLAL
+>DECOY_sp|Q9C0A1|ZFHX2_HUMAN Zinc finger homeobox protein 2 OS=Homo sapiens OX=9606 GN=ZFHX2 PE=2 SV=3
+LALLTSTTTTKPNSDTHPLRAEEKAFAVAASAATAANTISIPPGGQPPAAKRRHASSRLHSALAERGSLLVECALCHYTCIPVRLPPPMSGGSGRGFFCFSRQHATAPAEGDFAMKCQRCLYRHTVDVTSIGTSGTLLEDVEGAEGEGPAPLEPPKPPATATPEPPQPPPQLLANPLLGILTQPIMPNMPFLGKKMGYLQQFYAGQLQPGFVAPPATGGAPPLPFPLFQGGLLAPVTTKLAKLNKLADNSSSTPGAVPKRESSVKDGLPETPPGPVPDTTGATSTNTQDPMPRQPLPRGPGATQVVTTTPLVSTALGLLGSSAAPGSNFPALNGLPPQALAPGSLLVPALRPLTPAAGLPMSAPTTAPPAKLAPPAEPAPALDYCKSESKLQARVAEKLKALHQRSFLHGRCSVYFDYKVDCYPCDTRQAALLGESSGGTSGAATGQLKAKKEKARANQFWVQIVRKPLGIEEGLVECEQMTPTRYAEYCAKMIKLQLSSMQTRYRRQGMGDPVGTGGGPGGSTGGAGPSEPEALSSASSDSLDGASLSCAESEPSAKPPEEPGEEESPPPPPLLPLPPEPTPTTAEKGPPLFPQPGSALTATPYPFAPAERKPAERGTGDDVKGLLLNFKPLSAPTATAPPKVAPSPVGGPTSRFQGKRERARTNQFWVQVVRKKLGVEESICDLMKRTPNSDQMYWRYLIELQEPLITTRLRKDRPPEGEGGGGAESGTPSLSGDEHKRKLPPGPMPSTAAVLPNREGFVLLPLDLLQPPASPQLSPLFHLRRHSTLLDQSSFSIACQDCTHAPSPSPTAKEEPEKGGAKTAEAQSLEEGDPPEPLPGEPGAPPELGQEEEVEEEEVEEEEEGREAEEEEEELTQDDYCKKLHRVLEFVCSFTAHCRRCGSAGGTGGGTPMSGGECANKRAKQRANQFWVVVVRSALGLLSALREVEGDKPYASTEFFSQLAQTQFETFKTRSFRRGAPVLPPLNGRSSEEEEIPWHGGARSREEPARTGGAEPEPVLFPPGLHPVPSDLSGDPPKPPEALPPYLSDYSKRFQAAYRSLAEFPLFRRHVHEEHTKLILMNSFLKGCAGCALKDGGGLAEPPPPTPPPPVAQKGENYQIVLEFGFNELLAKAATRAAENPLPDPPSSPGAENGEKAMPPREWEREALEAKPPQPPPPPTAAPLSLVPAPGALTLKPGVKLDHLYFPLLLQQQQLKLLSEPVLPFPPLVPPTFLPAPFRHLDLPPPPPSLFPLGSIFGSTDPGKKETGVEGETEGEKPEEQSREPGEIKSDTKTGRSRTQHLVSRMHIELTSSQNYSVRCVTCKFPKDTAATTTPPAGAEGRAPASPDIAAKKMKHLHSVSNYHVLLINKQTFSEKCVTCKYPRAPDLFKDLAFNTTKRYTLPHRSDAPAPEASRLEGTTGEEEEAMTPPPAVDEAQADPEPVPSPAPSPPQGPSGSPKDPVEVSALPPEPLPDPSAEPTLNPGEAAQDRSPTPEPGHTPPEQGNDLPSLTPASLVKTTFTMEVTTAVLLLKEVCEPVVNHLHSLHFHLAPRGVLQEQCLPCRYKPQVAHQSLTHARVQSSEPSLFSCYPCCYVTTQNASDRSPGTKNETEESALQETKNQADKEPTPPEALPTVPAKGPTRLQGHSFSLISQLAALGEETTPGNQLLQLRRQAQADRHAPTRLHQLVALRSPTEWACLLCHYLGLEAGAEAGEMDLLFKYIQSNEEHAAGRAHLQMKEKSNSEFDCINCRLHLPSVSGYPAGDGLSAPSPTGMAGGGERLHAALQYKQAHKDTKLHLQFNAKLQTGYCCLKCRHTDGAVEKWEAEPLSRGISCHYLLLELSDTSFAQCVLCRFVKLSLSDDPPPSTPLSDSSSGLLQSPPLHADPSLSGPASTPFKRAPAGVHHFGNLLLQAELPKDPRLGPGALPTQPQGLAQPGFYQFLEPPSTPTAGPPPPPGPGMLGPPLGLPLGQHLMLVNQMHKESTMHIRLNRSINTEYSCVKCQWSSKTKPEKDGASPPLSAEPPSGQGGPGAQFGQLNALHKDSQMHISLNGKTTTSYNCVDCRYPKYGCNYSEGRALRPHAGGASCYSCHSNSEPHKERMHVDLTQQYKYHWNCKPCKLTKCSNRSHLLSMHSSLSLGQFAAPAPTYDDALRYPQPPDSPDEPSGVPAPLTGGEKSTPSSQNLPPCLGGDEEQGEPFWDPGAEGAAVPSEKAQTPSPDWTATPPSPPSLAMVEEDLLILAQVEAEPPGDETQAVNAEMNVTSSNDLPIDSSPRAPLKPELFSILAKCGEDGEQLVAPSGSLGQYQAPTLKVGHSQTHDMFAQPKSFGLRCLLCLWFVAVHNGGSNGMPGDKPDGPPNPALQYSWFAGHREECAAPSPASTDHSLIQDSSSFGQIPDFGHQIHLATLHSPPPYALFPLSPEEKIGAEGWPFGKPLLLESGGPLSLKAVLYAEGGATFFLHNSLDMPPLGEEEEEQEKDKEVGPDNPPFHGCDPGEQPEGIEKPPVLGCGSELLQGGPESSRMNESTSSAAPPDKTVPDSPTSSSFTDSPLSPANHGPSPTTGTTSASNLTAM
+>sp|Q15911|ZFHX3_HUMAN Zinc finger homeobox protein 3 OS=Homo sapiens OX=9606 GN=ZFHX3 PE=1 SV=2
+MEGCDSPVVSGKDNGCGIPQHQQWTELNSTHLPDKPSSMEQSTGESHGPLDSLRAPFNERLAESTASAGPPSEPASKEVTCNECSASFASLQTYMEHHCPSARPPPPLREESASDTGEEGDEESDVENLAGEIVYQPDGSAYIVESLSQLTQGGGACGSGSGSGPLPSLFLNSLPGAGGKQGDPSCAAPVYPQIINTFHIASSFGKWFEGPDQAFPNTSALAGLSPVLHSFRVFDVRHKSNKDYLNSDGSAKSSCVSKDVPNNVDLSKFDGFVLYGKRKPILMCFLCKLSFGYVRSFVTHAVHDHRMTLSEDERKILSNKNISAIIQGIGKDKEPLVSFLEPKNKNFQHPLVSTANLIGPGHSFYGKFSGIRMEGEEALPAGSAAGPEQPQAGLLTPSTLLNLGGLTSSVLKTPITSVPLGPLASSPTKSSEGKDSGAAEGEKQEVGDGDCFSEKVEPAEEEAEEEEEEEEAEEEEEEEEEEEEEEEDEGCKGLFPSELDEELEDRPHEEPGAAAGSSSKKDLALSNQSISNSPLMPNVLQTLSRGTASTSSNSASSFVVFDGANRRNRLSFNSEGVRANVAEGGRRLDFADESANKDNATAPEPNESTEGDDGGFVPHHQHAGSLCELGVGECPSGSGVECPKCDTVLGSSRSLGGHMTMMHSRNSCKTLKCPKCNWHYKYQQTLEAHMKEKHPEPGGSCVYCKSGQPHPRLARGESYTCGYKPFRCEVCNYSTTTKGNLSIHMQSDKHLNNMQNLQNGGGEQVFSHTAGAAAAAVAAAAAAANISSSCGAPSPTKPKTKPTWRCEVCDYETNVARNLRIHMTSEKHMHNMMLLQQNMTQIQHNRHLGLGSLPSPAEAELYQYYLAQNMNLPNLKMDSAASDAQFMMSGFQLDPAGPMAAMTPALVGGEIPLDMRLGGGQLVSEELMNLGESFIQTNDPSLKLFQCAVCNKFTTDNLDMLGLHMNVERSLSEDEWKAVMGDSYQCKLCRYNTQLKANFQLHCKTDKHVQKYQLVAHIKEGGKANEWRLKCVAIGNPVHLKCNACDYYTNSLEKLRLHTVNSRHEASLKLYKHLQQHESGVEGESCYYHCVLCNYSTKAKLNLIQHVRSMKHQRSESLRKLQRLQKGLPEEDEDLGQIFTIRRCPSTDPEEAIEDVEGPSETAADPEELAKDQEGGASSSQAEKELTDSPATSKRISFPGSSESPLSSKRPKTAEEIKPEQMYQCPYCKYSNADVNRLRVHAMTQHSVQPMLRCPLCQDMLNNKIHLQLHLTHLHSVAPDCVEKLIMTVTTPEMVMPSSMFLPAAVPDRDGNSNLEEAGKQPETSEDLGKNILPSASTEQSGDLKPSPADPGSVREDSGFICWKKGCNQVFKTSAALQTHFNEVHAKRPQLPVSDRHVYKYRCNQCSLAFKTIEKLQLHSQYHVIRAATMCCLCQRSFRTFQALKKHLETSHLELSEADIQQLYGGLLANGDLLAMGDPTLAEDHTIIVEEDKEEESDLEDKQSPTGSDSGSVQEDSGSEPKRALPFRKGPNFTMEKFLDPSRPYKCTVCKESFTQKNILLVHYNSVSHLHKLKRALQESATGQPEPTSSPDNKPFKCNTCNVAYSQSSTLEIHMRSVLHQTKARAAKLEAASGSSNGTGNSSSISLSSSTPSPVSTSGSNTFTTSNPSSAGIAPSSNLLSQVPTESVGMPPLGNPIGANIASPSEPKEANRKKLADMIASRQQQQQQQQQQQQQQQQQQQAQTLAQAQAQVQAHLQQELQQQAALIQSQLFNPTLLPHFPMTTETLLQLQQQQHLLFPFYIPSAEFQLNPEVSLPVTSGALTLTGTGPGLLEDLKAQVQVPQQSHQQILPQQQQNQLSIAQSHSALLQPSQHPEKKNKLVIKEKEKESQRERDSAEGGEGNTGPKETLPDALKAKEKKELAPGGGSEPSMLPPRIASDARGNATKALLENFGFELVIQYNENKQKVQKKNGKTDQGENLEKLECDSCGKLFSNILILKSHQEHVHQNYFPFKQLERFAKQYRDHYDKLYPLRPQTPEPPPPPPPPPPPPLPAAPPQPASTPAIPASAPPITSPTIAPAQPSVPLTQLSMPMELPIFSPLMMQTMPLQTLPAQLPPQLGPVEPLPADLAQLYQHQLNPTLLQQQNKRPRTRITDDQLRVLRQYFDINNSPSEEQIKEMADKSGLPQKVIKHWFRNTLFKERQRNKDSPYNFSNPPITSLEELKIDSRPPSPEPPKQEYWGSKRSSRTRFTDYQLRVLQDFFDANAYPKDDEFEQLSNLLNLPTRVIVVWFQNARQKARKNYENQGEGKDGERRELTNDRYIRTSNLNYQCKKCSLVFQRIFDLIKHQKKLCYKDEDEEGQDDSQNEDSMDAMEILTPTSSSCSTPMPSQAYSAPAPSANNTASSAFLQLTAEAEELATFNSKTEAGDEKPKLAEAPSAQPNQTQEKQGQPKPELQQQEQPEQKTNTPQQKLPQLVSLPSLPQPPPQAPPPQCPLPQSSPSPSQLSHLPLKPLHTSTPQQLANLPPQLIPYQCDQCKLAFPSFEHWQEHQQLHFLSAQNQFIHPQFLDRSLDMPFMLFDPSNPLLASQLLSGAIPQIPASSATSPSTPTSTMNTLKRKLEEKASASPGENDSGTGGEEPQRDKRLRTTITPEQLEILYQKYLLDSNPTRKMLDHIAHEVGLKKRVVQVWFQNTRARERKGQFRAVGPAQAHRRCPFCRALFKAKTALEAHIRSRHWHEAKRAGYNLTLSAMLLDCDGGLQMKGDIFDGTSFSHLPPSSSDGQGVPLSPVSKTMELSPRTLLSPSSIKVEGIEDFESPSMSSVNLNFDQTKLDNDDCSSVNTAITDTTTGDEGNADNDSATGIATETKSSSAPNEGLTKAAMMAMSEYEDRLSSGLVSPAPSFYSKEYDNEGTVDYSETSSLADPCSPSPGASGSAGKSGDSGDRPGQKRFRTQMTNLQLKVLKSCFNDYRTPTMLECEVLGNDIGLPKRVVQVWFQNARAKEKKSKLSMAKHFGINQTSYEGPKTECTLCGIKYSARLSVRDHIFSQQHISKVKDTIGSQLDKEKEYFDPATVRQLMAQQELDRIKKANEVLGLAAQQQGMFDNTPLQALNLPTAYPALQGIPPVLLPGLNSPSLPGFTPSNTALTSPKPNLMGLPSTTVPSPGLPTSGLPNKPSSASLSSPTPAQATMAMGPQQPPQQQQQQQQPQVQQPPPPPAAQPPPTPQLPLQQQQQRKDKDSEKVKEKEKAHKGKGEPLPVPKKEKGEAPTATAATISAPLPTMEYAVDPAQLQALQAALTSDPTALLTSQFLPYFVPGFSPYYAPQIPGALQSGYLQPMYGMEGLFPYSPALSQALMGLSPGSLLQQYQQYQQSLQEAIQQQQQRQLQQQQQQKVQQQQPKASQTPVPPGAPSPDKDPAKESPKPEEQKNTPREVSPLLPKLPEEPEAESKSADSLYDPFIVPKVQYKLVCRKCQAGFSDEEAARSHLKSLCFFGQSVVNLQEMVLHVPTGGGGGGSGGGGGGGGGGGGGGSYHCLACESALCGEEALSQHLESALHKHRTITRAARNAKEHPSLLPHSACFPDPSTASTSQSAAHSNDSPPPPSAAAPSSASPHASRKSWPQVVSRASAAKPPSFPPLSSSSTVTSSSCSTSGVQPSMPTDDYSEESDTDLSQKSDGPASPVEGPKDPSCPKDSGLTSVGTDTFRL
+>DECOY_sp|Q15911|ZFHX3_HUMAN Zinc finger homeobox protein 3 OS=Homo sapiens OX=9606 GN=ZFHX3 PE=1 SV=2
+LRFTDTGVSTLGSDKPCSPDKPGEVPSAPGDSKQSLDTDSEESYDDTPMSPQVGSTSCSSSTVTSSSSLPPFSPPKAASARSVVQPWSKRSAHPSASSPAAASPPPPSDNSHAASQSTSATSPDPFCASHPLLSPHEKANRAARTITRHKHLASELHQSLAEEGCLASECALCHYSGGGGGGGGGGGGGGSGGGGGGTPVHLVMEQLNVVSQGFFCLSKLHSRAAEEDSFGAQCKRCVLKYQVKPVIFPDYLSDASKSEAEPEEPLKPLLPSVERPTNKQEEPKPSEKAPDKDPSPAGPPVPTQSAKPQQQQVKQQQQQQLQRQQQQQIAEQLSQQYQQYQQLLSGPSLGMLAQSLAPSYPFLGEMGYMPQLYGSQLAGPIQPAYYPSFGPVFYPLFQSTLLATPDSTLAAQLAQLQAPDVAYEMTPLPASITAATATPAEGKEKKPVPLPEGKGKHAKEKEKVKESDKDKRQQQQQLPLQPTPPPQAAPPPPPQQVQPQQQQQQQQPPQQPGMAMTAQAPTPSSLSASSPKNPLGSTPLGPSPVTTSPLGMLNPKPSTLATNSPTFGPLSPSNLGPLLVPPIGQLAPYATPLNLAQLPTNDFMGQQQAALGLVENAKKIRDLEQQAMLQRVTAPDFYEKEKDLQSGITDKVKSIHQQSFIHDRVSLRASYKIGCLTCETKPGEYSTQNIGFHKAMSLKSKKEKARANQFWVQVVRKPLGIDNGLVECELMTPTRYDNFCSKLVKLQLNTMQTRFRKQGPRDGSDGSKGASGSAGPSPSCPDALSSTESYDVTGENDYEKSYFSPAPSVLGSSLRDEYESMAMMAAKTLGENPASSSKTETAIGTASDNDANGEDGTTTDTIATNVSSCDDNDLKTQDFNLNVSSMSPSEFDEIGEVKISSPSLLTRPSLEMTKSVPSLPVGQGDSSSPPLHSFSTGDFIDGKMQLGGDCDLLMASLTLNYGARKAEHWHRSRIHAELATKAKFLARCFPCRRHAQAPGVARFQGKRERARTNQFWVQVVRKKLGVEHAIHDLMKRTPNSDLLYKQYLIELQEPTITTRLRKDRQPEEGGTGSDNEGPSASAKEELKRKLTNMTSTPTSPSTASSAPIQPIAGSLLQSALLPNSPDFLMFPMDLSRDLFQPHIFQNQASLFHLQQHEQWHEFSPFALKCQDCQYPILQPPLNALQQPTSTHLPKLPLHSLQSPSPSSQPLPCQPPPAQPPPQPLSPLSVLQPLKQQPTNTKQEPQEQQQLEPKPQGQKEQTQNPQASPAEALKPKEDGAETKSNFTALEEAEATLQLFASSATNNASPAPASYAQSPMPTSCSSSTPTLIEMADMSDENQSDDQGEEDEDKYCLKKQHKILDFIRQFVLSCKKCQYNLNSTRIYRDNTLERREGDKGEGQNEYNKRAKQRANQFWVVIVRTPLNLLNSLQEFEDDKPYANADFFDQLVRLQYDTFRTRSSRKSGWYEQKPPEPSPPRSDIKLEELSTIPPNSFNYPSDKNRQREKFLTNRFWHKIVKQPLGSKDAMEKIQEESPSNNIDFYQRLVRLQDDTIRTRPRKNQQQLLTPNLQHQYLQALDAPLPEVPGLQPPLQAPLTQLPMTQMMLPSFIPLEMPMSLQTLPVSPQAPAITPSTIPPASAPIAPTSAPQPPAAPLPPPPPPPPPPPPEPTQPRLPYLKDYHDRYQKAFRELQKFPFYNQHVHEQHSKLILINSFLKGCSDCELKELNEGQDTKGNKKQVKQKNENYQIVLEFGFNELLAKTANGRADSAIRPPLMSPESGGGPALEKKEKAKLADPLTEKPGTNGEGGEASDRERQSEKEKEKIVLKNKKEPHQSPQLLASHSQAISLQNQQQQPLIQQHSQQPVQVQAKLDELLGPGTGTLTLAGSTVPLSVEPNLQFEASPIYFPFLLHQQQQLQLLTETTMPFHPLLTPNFLQSQILAAQQQLEQQLHAQVQAQAQALTQAQQQQQQQQQQQQQQQQQQQRSAIMDALKKRNAEKPESPSAINAGIPNGLPPMGVSETPVQSLLNSSPAIGASSPNSTTFTNSGSTSVPSPTSSSLSISSSNGTGNSSGSAAELKAARAKTQHLVSRMHIELTSSQSYAVNCTNCKFPKNDPSSTPEPQGTASEQLARKLKHLHSVSNYHVLLINKQTFSEKCVTCKYPRSPDLFKEMTFNPGKRFPLARKPESGSDEQVSGSDSGTPSQKDELDSEEEKDEEVIITHDEALTPDGMALLDGNALLGGYLQQIDAESLELHSTELHKKLAQFTRFSRQCLCCMTAARIVHYQSHLQLKEITKFALSCQNCRYKYVHRDSVPLQPRKAHVENFHTQLAASTKFVQNCGKKWCIFGSDERVSGPDAPSPKLDGSQETSASPLINKGLDESTEPQKGAEELNSNGDRDPVAAPLFMSSPMVMEPTTVTMILKEVCDPAVSHLHTLHLQLHIKNNLMDQCLPCRLMPQVSHQTMAHVRLRNVDANSYKCYPCQYMQEPKIEEATKPRKSSLPSESSGPFSIRKSTAPSDTLEKEAQSSSAGGEQDKALEEPDAATESPGEVDEIAEEPDTSPCRRITFIQGLDEDEEPLGKQLRQLKRLSESRQHKMSRVHQILNLKAKTSYNCLVCHYYCSEGEVGSEHQQLHKYLKLSAEHRSNVTHLRLKELSNTYYDCANCKLHVPNGIAVCKLRWENAKGGEKIHAVLQYKQVHKDTKCHLQFNAKLQTNYRCLKCQYSDGMVAKWEDESLSREVNMHLGLMDLNDTTFKNCVACQFLKLSPDNTQIFSEGLNMLEESVLQGGGLRMDLPIEGGVLAPTMAAMPGAPDLQFGSMMFQADSAASDMKLNPLNMNQALYYQYLEAEAPSPLSGLGLHRNHQIQTMNQQLLMMNHMHKESTMHIRLNRAVNTEYDCVECRWTPKTKPKTPSPAGCSSSINAAAAAAAVAAAAAGATHSFVQEGGGNQLNQMNNLHKDSQMHISLNGKTTTSYNCVECRFPKYGCTYSEGRALRPHPQGSKCYVCSGGPEPHKEKMHAELTQQYKYHWNCKPCKLTKCSNRSHMMTMHGGLSRSSGLVTDCKPCEVGSGSPCEGVGLECLSGAHQHHPVFGGDDGETSENPEPATANDKNASEDAFDLRRGGEAVNARVGESNFSLRNRRNAGDFVVFSSASNSSTSATGRSLTQLVNPMLPSNSISQNSLALDKKSSSGAAAGPEEHPRDELEEDLESPFLGKCGEDEEEEEEEEEEEEEEEAEEEEEEEEAEEEAPEVKESFCDGDGVEQKEGEAAGSDKGESSKTPSSALPGLPVSTIPTKLVSSTLGGLNLLTSPTLLGAQPQEPGAASGAPLAEEGEMRIGSFKGYFSHGPGILNATSVLPHQFNKNKPELFSVLPEKDKGIGQIIASINKNSLIKREDESLTMRHDHVAHTVFSRVYGFSLKCLFCMLIPKRKGYLVFGDFKSLDVNNPVDKSVCSSKASGDSNLYDKNSKHRVDFVRFSHLVPSLGALASTNPFAQDPGEFWKGFSSAIHFTNIIQPYVPAACSPDGQKGGAGPLSNLFLSPLPGSGSGSGCAGGGQTLQSLSEVIYASGDPQYVIEGALNEVDSEEDGEEGTDSASEERLPPPPRASPCHHEMYTQLSAFSASCENCTVEKSAPESPPGASATSEALRENFPARLSDLPGHSEGTSQEMSSPKDPLHTSNLETWQQHQPIGCGNDKGSVVPSDCGEM
+>sp|Q8WV99|ZFN2B_HUMAN AN1-type zinc finger protein 2B OS=Homo sapiens OX=9606 GN=ZFAND2B PE=1 SV=1
+MEFPDLGAHCSEPSCQRLDFLPLKCDACSGIFCADHVAYAQHHCGSAYQKDIQVPVCPLCNVPVPVARGEPPDRAVGEHIDRDCRSDPAQQKRKIFTNKCERAGCRQREMMKLTCERCSRNFCIKHRHPLDHDCSGEGHPTSRAGLAAISRAQAVASTSTVPSPSQTMPSCTSPSRATTRSPSWTAPPVIALQNGLSEDEALQRALEMSLAETKPQVPSCQEEEDLALAQALSASEAEYQRQQAQSRSSKPSNCSLC
+>DECOY_sp|Q8WV99|ZFN2B_HUMAN AN1-type zinc finger protein 2B OS=Homo sapiens OX=9606 GN=ZFAND2B PE=1 SV=1
+CLSCNSPKSSRSQAQQRQYEAESASLAQALALDEEEQCSPVQPKTEALSMELARQLAEDESLGNQLAIVPPATWSPSRTTARSPSTCSPMTQSPSPVTSTSAVAQARSIAALGARSTPHGEGSCDHDLPHRHKICFNRSCRECTLKMMERQRCGARECKNTFIKRKQQAPDSRCDRDIHEGVARDPPEGRAVPVPVNCLPCVPVQIDKQYASGCHHQAYAVHDACFIGSCADCKLPLFDLRQCSPESCHAGLDPFEM
+>sp|Q9Y6Q3|ZFP37_HUMAN Zinc finger protein 37 homolog OS=Homo sapiens OX=9606 GN=ZFP37 PE=2 SV=3
+MSVSSGVQILTKPETVDRRRSAETTKEAGRPLEMAVSEPEASAAEWKQLDPAQSNLYNDVMLENYCNQASMGCQAPKPDMISKLEKGEAPWLGKGKRPSQGCPSKIARPKQKETDGKVQKDDDQLENIQKSQNKLLREVAVKKKTQAKKNGSDCGSLGKKNNLHKKHVPSKKRLLKFESCGKILKQNLDLPDHSRNCVKRKSDAAKEHKKSFNHSLSDTRKGKKQTGKKHEKLSSHSSSDKCNKTGKKHDKLCCHSSSHIKQDKIQTGEKHEKSPSLSSSTKHEKPQACVKPYECNQCGKVLSHKQGLIDHQRVHTGEKPYECNECGIAFSQKSHLVVHQRTHTGEKPYECIQCGKAHGHKHALTDHLRIHTGEKPYECAECGKTFRHSSNLIQHVRSHTGEKPYECKECGKSFRYNSSLTEHVRTHTGEIPYECNECGKAFKYSSSLTKHMRIHTGEKPFECNECGKAFSKKSHLIIHQRTHTKEKPYKCNECGKAFGHSSSLTYHMRTHTGESPFECNQCGKGFKQIEGLTQHQRVHTGEKPYECNECGKAFSQKSHLIVHQRTHTGEKPYECNECEKAFNAKSQLVIHQRSHTGEKPYECNECGKTFKQNASLTKHVKTHSEDKSHE
+>DECOY_sp|Q9Y6Q3|ZFP37_HUMAN Zinc finger protein 37 homolog OS=Homo sapiens OX=9606 GN=ZFP37 PE=2 SV=3
+EHSKDESHTKVHKTLSANQKFTKGCENCEYPKEGTHSRQHIVLQSKANFAKECENCEYPKEGTHTRQHVILHSKQSFAKGCENCEYPKEGTHVRQHQTLGEIQKFGKGCQNCEFPSEGTHTRMHYTLSSSHGFAKGCENCKYPKEKTHTRQHIILHSKKSFAKGCENCEFPKEGTHIRMHKTLSSSYKFAKGCENCEYPIEGTHTRVHETLSSNYRFSKGCEKCEYPKEGTHSRVHQILNSSHRFTKGCEACEYPKEGTHIRLHDTLAHKHGHAKGCQICEYPKEGTHTRQHVVLHSKQSFAIGCENCEYPKEGTHVRQHDILGQKHSLVKGCQNCEYPKVCAQPKEHKTSSSLSPSKEHKEGTQIKDQKIHSSSHCCLKDHKKGTKNCKDSSSHSSLKEHKKGTQKKGKRTDSLSHNFSKKHEKAADSKRKVCNRSHDPLDLNQKLIKGCSEFKLLRKKSPVHKKHLNNKKGLSGCDSGNKKAQTKKKVAVERLLKNQSKQINELQDDDKQVKGDTEKQKPRAIKSPCGQSPRKGKGLWPAEGKELKSIMDPKPAQCGMSAQNCYNELMVDNYLNSQAPDLQKWEAASAEPESVAMELPRGAEKTTEASRRRDVTEPKTLIQVGSSVSM
+>sp|O60844|ZG16_HUMAN Zymogen granule membrane protein 16 OS=Homo sapiens OX=9606 GN=ZG16 PE=1 SV=2
+MLTVALLALLCASASGNAIQARSSSYSGEYGGGGGKRFSHSGNQLDGPITALRVRVNTYYIVGLQVRYGKVWSDYVGGRNGDLEEIFLHPGESVIQVSGKYKWYLKKLLFVTDKGRYLSFGKDSGTSFNAVPLHPNTVLRFISGRSGSLIDAIGLHWDVYPSSCSRC
+>DECOY_sp|O60844|ZG16_HUMAN Zymogen granule membrane protein 16 OS=Homo sapiens OX=9606 GN=ZG16 PE=1 SV=2
+CRSCSSPYVDWHLGIADILSGSRGSIFRLVTNPHLPVANFSTGSDKGFSLYRGKDTVFLLKKLYWKYKGSVQIVSEGPHLFIEELDGNRGGVYDSWVKGYRVQLGVIYYTNVRVRLATIPGDLQNGSHSFRKGGGGGYEGSYSSSRAQIANGSASACLLALLAVTLM
+>sp|Q96EF9|ZHX1R_HUMAN Zinc fingers and homeoboxes protein 1, isoform 2 OS=Homo sapiens OX=9606 GN=ZHX1-C8orf76 PE=2 SV=1
+MLRKLWQWFYEETESSDDVEVLTLKKFKGDLAYRRQEYQKALQEYSSISEKLSSTNFAMKRDVQEGQARCLAHLGRHMEALEIAANLENKATNTDHLTTVLYLQLAICSSLQNLEKTIFCLQKLISLHPFNPWNWGKLAEAYLNLGPALSAALASSQKQHSFTSSDKTIKSFFPHSGKDCLLCFPETLPESSLFSVEANSSNSQKNEKALTNIQNCMAEKRETVLIETQLKACASFIRTRLLLQFTQPQQTSFALERNLRTQQEIEDKMKGFSFKEDTLLLIAEVSVSLGFM
+>DECOY_sp|Q96EF9|ZHX1R_HUMAN Zinc fingers and homeoboxes protein 1, isoform 2 OS=Homo sapiens OX=9606 GN=ZHX1-C8orf76 PE=2 SV=1
+MFGLSVSVEAILLLTDEKFSFGKMKDEIEQQTRLNRELAFSTQQPQTFQLLLRTRIFSACAKLQTEILVTERKEAMCNQINTLAKENKQSNSSNAEVSFLSSEPLTEPFCLLCDKGSHPFFSKITKDSSTFSHQKQSSALAASLAPGLNLYAEALKGWNWPNFPHLSILKQLCFITKELNQLSSCIALQLYLVTTLHDTNTAKNELNAAIELAEMHRGLHALCRAQGEQVDRKMAFNTSSLKESISSYEQLAKQYEQRRYALDGKFKKLTLVEVDDSSETEEYFWQWLKRLM
+>sp|Q9UKY1|ZHX1_HUMAN Zinc fingers and homeoboxes protein 1 OS=Homo sapiens OX=9606 GN=ZHX1 PE=1 SV=1
+MASRRKSTTPCMVLASEQDPDLELISDLDEGPPVLTPVENTRAESISSDEEVHESVDSDNQQNKKVEGGYECKYCTFQTPDLNMFTFHVDSEHPNVVLNSSYVCVECNFLTKRYDALSEHNLKYHPGEENFKLTMVKRNNQTIFEQTINDLTFDGSFVKEENAEQAESTEVSSSGISISKTPIMKMMKNKVENKRIAVHHNSVEDVPEEKENEIKPDREEIVENPSSSASESNTSTSIVNRIHPSTASTVVTPAAVLPGLAQVITAVSAQQNSNLIPKVLIPVNSIPTYNAALDNNPLLLNTYNKFPYPTMSEITVLSAQAKYTEEQIKIWFSAQRLKHGVSWTPEEVEEARRKQFNGTVHTVPQTITVIPTHISTGSNGLPSILQTCQIVGQPGLVLTQVAGTNTLPVTAPIALTVAGVPSQNNIQKSQVPAAQPTAETKPATAAVPTSQSVKHETALVNPDSFGIRAKKTKEQLAELKVSYLKNQFPHDSEIIRLMKITGLTKGEIKKWFSDTRYNQRNSKSNQCLHLNNDSSTTIIIDSSDETTESPTVGTAQPKQSWNPFPDFTPQKFKEKTAEQLRVLQASFLNSSVLTDEELNRLRAQTKLTRREIDAWFTEKKKSKALKEEKMEIDESNAGSSKEEAGETSPADESGAPKSGSTGKICKKTPEQLHMLKSAFVRTQWPSPEEYDKLAKESGLARTDIVSWFGDTRYAWKNGNLKWYYYYQSANSSSMNGLSSLRKRGRGRPKGRGRGRPRGRPRGSKRINNWDRGPSLIKFKTGTAILKDYYLKHKFLNEQDLDELVNKSHMGYEQVREWFAERQRRSELGIELFEENEEEDEVIDDQEEDEEETDDSDTWEPPRHVKRKLSKSDD
+>DECOY_sp|Q9UKY1|ZHX1_HUMAN Zinc fingers and homeoboxes protein 1 OS=Homo sapiens OX=9606 GN=ZHX1 PE=1 SV=1
+DDSKSLKRKVHRPPEWTDSDDTEEEDEEQDDIVEDEEENEEFLEIGLESRRQREAFWERVQEYGMHSKNVLEDLDQENLFKHKLYYDKLIATGTKFKILSPGRDWNNIRKSGRPRGRPRGRGRGKPRGRGRKRLSSLGNMSSSNASQYYYYWKLNGNKWAYRTDGFWSVIDTRALGSEKALKDYEEPSPWQTRVFASKLMHLQEPTKKCIKGTSGSKPAGSEDAPSTEGAEEKSSGANSEDIEMKEEKLAKSKKKETFWADIERRTLKTQARLRNLEEDTLVSSNLFSAQLVRLQEATKEKFKQPTFDPFPNWSQKPQATGVTPSETTEDSSDIIITTSSDNNLHLCQNSKSNRQNYRTDSFWKKIEGKTLGTIKMLRIIESDHPFQNKLYSVKLEALQEKTKKARIGFSDPNVLATEHKVSQSTPVAATAPKTEATPQAAPVQSKQINNQSPVGAVTLAIPATVPLTNTGAVQTLVLGPQGVIQCTQLISPLGNSGTSIHTPIVTITQPVTHVTGNFQKRRAEEVEEPTWSVGHKLRQASFWIKIQEETYKAQASLVTIESMTPYPFKNYTNLLLPNNDLAANYTPISNVPILVKPILNSNQQASVATIVQALGPLVAAPTVVTSATSPHIRNVISTSTNSESASSSPNEVIEERDPKIENEKEEPVDEVSNHHVAIRKNEVKNKMMKMIPTKSISIGSSSVETSEAQEANEEKVFSGDFTLDNITQEFITQNNRKVMTLKFNEEGPHYKLNHESLADYRKTLFNCEVCVYSSNLVVNPHESDVHFTFMNLDPTQFTCYKCEYGGEVKKNQQNDSDVSEHVEEDSSISEARTNEVPTLVPPGEDLDSILELDPDQESALVMCPTTSKRRSAM
+>sp|O60481|ZIC3_HUMAN Zinc finger protein ZIC 3 OS=Homo sapiens OX=9606 GN=ZIC3 PE=1 SV=1
+MTMLLDGGPQFPGLGVGSFGAPRHHEMPNREPAGMGLNPFGDSTHAAAAAAAAAAFKLSPAAAHDLSSGQSSAFTPQGSGYANALGHHHHHHHHHHHTSQVPSYGGAASAAFNSTREFLFRQRSSGLSEAASGGGQHGLFAGSASSLHAPAGIPEPPSYLLFPGLHEQGAGHPSPTGHVDNNQVHLGLRGELFGRADPYRPVASPRTDPYAAGAQFPNYSPMNMNMGVNVAAHHGPGAFFRYMRQPIKQELSCKWIDEAQLSRPKKSCDRTFSTMHELVTHVTMEHVGGPEQNNHVCYWEECPREGKSFKAKYKLVNHIRVHTGEKPFPCPFPGCGKIFARSENLKIHKRTHTGEKPFKCEFEGCDRRFANSSDRKKHMHVHTSDKPYICKVCDKSYTHPSSLRKHMKVHESQGSDSSPAASSGYESSTPPAIASANSKDTTKTPSAVQTSTSHNPGLPPNFNEWYV
+>DECOY_sp|O60481|ZIC3_HUMAN Zinc finger protein ZIC 3 OS=Homo sapiens OX=9606 GN=ZIC3 PE=1 SV=1
+VYWENFNPPLGPNHSTSTQVASPTKTTDKSNASAIAPPTSSEYGSSAAPSSDSGQSEHVKMHKRLSSPHTYSKDCVKCIYPKDSTHVHMHKKRDSSNAFRRDCGEFECKFPKEGTHTRKHIKLNESRAFIKGCGPFPCPFPKEGTHVRIHNVLKYKAKFSKGERPCEEWYCVHNNQEPGGVHEMTVHTVLEHMTSFTRDCSKKPRSLQAEDIWKCSLEQKIPQRMYRFFAGPGHHAAVNVGMNMNMPSYNPFQAGAAYPDTRPSAVPRYPDARGFLEGRLGLHVQNNDVHGTPSPHGAGQEHLGPFLLYSPPEPIGAPAHLSSASGAFLGHQGGGSAAESLGSSRQRFLFERTSNFAASAAGGYSPVQSTHHHHHHHHHHHGLANAYGSGQPTFASSQGSSLDHAAAPSLKFAAAAAAAAAAHTSDGFPNLGMGAPERNPMEHHRPAGFSGVGLGPFQPGGDLLMTM
+>sp|Q3SY52|ZIK1_HUMAN Zinc finger protein interacting with ribonucleoprotein K OS=Homo sapiens OX=9606 GN=ZIK1 PE=2 SV=1
+MAAAALRAPTQVTVSPETHMDLTKGCVTFEDIAIYFSQDEWGLLDEAQRLLYLEVMLENFALVASLGCGHGTEDEETPSDQNVSVGVSQSKAGSSTQKTQSCEMCVPVLKDILHLADLPGQKPYLVGECTNHHQHQKHHSAKKSLKRDMDRASYVKCCLFCMSLKPFRKWEVGKDLPAMLRLLRSLVFPGGKKPGTITECGEDIRSQKSHYKSGECGKASRHKHTPVYHPRVYTGKKLYECSKCGKAFRGKYSLVQHQRVHTGERPWECNECGKFFSQTSHLNDHRRIHTGERPYECSECGKLFRQNSSLVDHQKIHTGARPYECSQCGKSFSQKATLVKHQRVHTGERPYKCGECGNSFSQSAILNQHRRIHTGAKPYECGQCGKSFSQKATLIKHQRVHTGERPYKCGDCGKSFSQSSILIQHRRIHTGARPYECGQCGKSFSQKSGLIQHQVVHTGERPYECNKCGNSFSQCSSLIHHQKCHNT
+>DECOY_sp|Q3SY52|ZIK1_HUMAN Zinc finger protein interacting with ribonucleoprotein K OS=Homo sapiens OX=9606 GN=ZIK1 PE=2 SV=1
+TNHCKQHHILSSCQSFSNGCKNCEYPREGTHVVQHQILGSKQSFSKGCQGCEYPRAGTHIRRHQILISSQSFSKGCDGCKYPREGTHVRQHKILTAKQSFSKGCQGCEYPKAGTHIRRHQNLIASQSFSNGCEGCKYPREGTHVRQHKVLTAKQSFSKGCQSCEYPRAGTHIKQHDVLSSNQRFLKGCESCEYPREGTHIRRHDNLHSTQSFFKGCENCEWPREGTHVRQHQVLSYKGRFAKGCKSCEYLKKGTYVRPHYVPTHKHRSAKGCEGSKYHSKQSRIDEGCETITGPKKGGPFVLSRLLRLMAPLDKGVEWKRFPKLSMCFLCCKVYSARDMDRKLSKKASHHKQHQHHNTCEGVLYPKQGPLDALHLIDKLVPVCMECSQTKQTSSGAKSQSVGVSVNQDSPTEEDETGHGCGLSAVLAFNELMVELYLLRQAEDLLGWEDQSFYIAIDEFTVCGKTLDMHTEPSVTVQTPARLAAAAM
+>sp|P17029|ZKSC1_HUMAN Zinc finger protein with KRAB and SCAN domains 1 OS=Homo sapiens OX=9606 GN=ZKSCAN1 PE=1 SV=3
+MMTAESREATGLSPQAAQEKDGIVIVKVEEEDEEDHMWGQDSTLQDTPPPDPEIFRQRFRRFCYQNTFGPREALSRLKELCHQWLRPEINTKEQILELLVLEQFLSILPKELQVWLQEYRPDSGEEAVTLLEDLELDLSGQQVPGQVHGPEMLARGMVPLDPVQESSSFDLHHEATQSHFKHSSRKPRLLQSRALPAAHIPAPPHEGSPRDQAMASALFTADSQAMVKIEDMAVSLILEEWGCQNLARRNLSRDNRQENYGSAFPQGGENRNENEESTSKAETSEDSASRGETTGRSQKEFGEKRDQEGKTGERQQKNPEEKTRKEKRDSGPAIGKDKKTITGERGPREKGKGLGRSFSLSSNFTTPEEVPTGTKSHRCDECGKCFTRSSSLIRHKIIHTGEKPYECSECGKAFSLNSNLVLHQRIHTGEKPHECNECGKAFSHSSNLILHQRIHSGEKPYECNECGKAFSQSSDLTKHQRIHTGEKPYECSECGKAFNRNSYLILHRRIHTREKPYKCTKCGKAFTRSSTLTLHHRIHARERASEYSPASLDAFGAFLKSCV
+>DECOY_sp|P17029|ZKSC1_HUMAN Zinc finger protein with KRAB and SCAN domains 1 OS=Homo sapiens OX=9606 GN=ZKSCAN1 PE=1 SV=3
+VCSKLFAGFADLSAPSYESARERAHIRHHLTLTSSRTFAKGCKTCKYPKERTHIRRHLILYSNRNFAKGCESCEYPKEGTHIRQHKTLDSSQSFAKGCENCEYPKEGSHIRQHLILNSSHSFAKGCENCEHPKEGTHIRQHLVLNSNLSFAKGCESCEYPKEGTHIIKHRILSSSRTFCKGCEDCRHSKTGTPVEEPTTFNSSLSFSRGLGKGKERPGREGTITKKDKGIAPGSDRKEKRTKEEPNKQQREGTKGEQDRKEGFEKQSRGTTEGRSASDESTEAKSTSEENENRNEGGQPFASGYNEQRNDRSLNRRALNQCGWEELILSVAMDEIKVMAQSDATFLASAMAQDRPSGEHPPAPIHAAPLARSQLLRPKRSSHKFHSQTAEHHLDFSSSEQVPDLPVMGRALMEPGHVQGPVQQGSLDLELDELLTVAEEGSDPRYEQLWVQLEKPLISLFQELVLLELIQEKTNIEPRLWQHCLEKLRSLAERPGFTNQYCFRRFRQRFIEPDPPPTDQLTSDQGWMHDEEDEEEVKVIVIGDKEQAAQPSLGTAERSEATMM
+>sp|Q9BRR0|ZKSC3_HUMAN Zinc finger protein with KRAB and SCAN domains 3 OS=Homo sapiens OX=9606 GN=ZKSCAN3 PE=1 SV=2
+MARELSESTALDAQSTEDQMELLVIKVEEEEAGFPSSPDLGSEGSRERFRGFRYPEAAGPREALSRLRELCRQWLQPEMHSKEQILELLVLEQFLTILPGNLQSWVREQHPESGEEVVVLLEYLERQLDEPAPQVSGVDQGQELLCCKMALLTPAPGSQSSQFQLMKALLKHESVGSQPLQDRVLQVPVLAHGGCCREDKVVASRLTPESQGLLKVEDVALTLTPEWTQQDSSQGNLCRDEKQENHGSLVSLGDEKQTKSRDLPPAEELPEKEHGKISCHLREDIAQIPTCAEAGEQEGRLQRKQKNATGGRRHICHECGKSFAQSSGLSKHRRIHTGEKPYECEECGKAFIGSSALVIHQRVHTGEKPYECEECGKAFSHSSDLIKHQRTHTGEKPYECDDCGKTFSQSCSLLEHHRIHTGEKPYQCSMCGKAFRRSSHLLRHQRIHTGDKNVQEPEQGEAWKSRMESQLENVETPMSYKCNECERSFTQNTGLIEHQKIHTGEKPYQCNACGKGFTRISYLVQHQRSHVGKNILSQ
+>DECOY_sp|Q9BRR0|ZKSC3_HUMAN Zinc finger protein with KRAB and SCAN domains 3 OS=Homo sapiens OX=9606 GN=ZKSCAN3 PE=1 SV=2
+QSLINKGVHSRQHQVLYSIRTFGKGCANCQYPKEGTHIKQHEILGTNQTFSRECENCKYSMPTEVNELQSEMRSKWAEGQEPEQVNKDGTHIRQHRLLHSSRRFAKGCMSCQYPKEGTHIRHHELLSCSQSFTKGCDDCEYPKEGTHTRQHKILDSSHSFAKGCEECEYPKEGTHVRQHIVLASSGIFAKGCEECEYPKEGTHIRRHKSLGSSQAFSKGCEHCIHRRGGTANKQKRQLRGEQEGAEACTPIQAIDERLHCSIKGHEKEPLEEAPPLDRSKTQKEDGLSVLSGHNEQKEDRCLNGQSSDQQTWEPTLTLAVDEVKLLGQSEPTLRSAVVKDERCCGGHALVPVQLVRDQLPQSGVSEHKLLAKMLQFQSSQSGPAPTLLAMKCCLLEQGQDVGSVQPAPEDLQRELYELLVVVEEGSEPHQERVWSQLNGPLITLFQELVLLELIQEKSHMEPQLWQRCLERLRSLAERPGAAEPYRFGRFRERSGESGLDPSSPFGAEEEEVKIVLLEMQDETSQADLATSESLERAM
+>sp|Q8NF64|ZMIZ2_HUMAN Zinc finger MIZ domain-containing protein 2 OS=Homo sapiens OX=9606 GN=ZMIZ2 PE=1 SV=2
+MNSMNPMKPALPPAPHGDGSFAYESVPWQQSATQPAGSLSVVTTVWGVGNATQSQVLGNPMGPAGSPSGSSMMPGVAGGSSALTSPQCLGQQAFAEGGANKGYVQQGVYSRGGYPGAPGFTTGYAGGPGGLGLPSHAARPSTDFTQAAAAAAVAAAAATATATATATVAALQEKQSQELSQYGAMGAGQSFNSQFLQHGGPRGPSVPAGMNPTGIGGVMGPSGLSPLAMNPTRAAGMTPLYAGQRLPQHGYPGPPQAQPLPRQGVKRTYSEVYPGQQYLQGGQYAPSTAQFAPSPGQPPAPSPSYPGHRLPLQQGMTQSLSVPGPTGLHYKPTEQFNGQGASFNGGSVSYSQPGLSGPTRSIPGYPSSPLPGNPTPPMTPSSSVPYMSPNQEVKSPFLPDLKPNLNSLHSSPSGSGPCDELRLTFPVRDGVVLEPFRLQHNLAVSNHVFQLRDSVYKTLIMRPDLELQFKCYHHEDRQMNTNWPASVQVSVNATPLTIERGDNKTSHKPLYLKHVCQPGRNTIQITVTACCCSHLFVLQLVHRPSVRSVLQGLLKKRLLPAEHCITKIKRNFSSGTIPGTPGPNGEDGVEQTAIKVSLKCPITFRRIQLPARGHDCRHIQCFDLESYLQLNCERGTWRCPVCNKTALLEGLEVDQYMLGILIYIQNSDYEEITIDPTCSWKPVPVKPDMHIKEEPDGPALKRCRTVSPAHVLMPSVMEMIAALGPGAAPFAPLQPPSVPAPSDYPGQGSSFLGPGTFPESFPPTTPSTPTLAEFTPGPPPISYQSDIPSSLLTSEKSTACLPSQMAPAGHLDPTHNPGTPGLHTSNLGAPPGPQLHHSNPPPASRQSLGQASLGPTGELAFSPATGVMGPPSMSGAGEAPEPALDLLPELTNPDELLSYLGPPDLPTNNNDDLLSLFENN
+>DECOY_sp|Q8NF64|ZMIZ2_HUMAN Zinc finger MIZ domain-containing protein 2 OS=Homo sapiens OX=9606 GN=ZMIZ2 PE=1 SV=2
+NNEFLSLLDDNNNTPLDPPGLYSLLEDPNTLEPLLDLAPEPAEGAGSMSPPGMVGTAPSFALEGTPGLSAQGLSQRSAPPPNSHHLQPGPPAGLNSTHLGPTGPNHTPDLHGAPAMQSPLCATSKESTLLSSPIDSQYSIPPPGPTFEALTPTSPTTPPFSEPFTGPGLFSSGQGPYDSPAPVSPPQLPAFPAAGPGLAAIMEMVSPMLVHAPSVTRCRKLAPGDPEEKIHMDPKVPVPKWSCTPDITIEEYDSNQIYILIGLMYQDVELGELLATKNCVPCRWTGRECNLQLYSELDFCQIHRCDHGRAPLQIRRFTIPCKLSVKIATQEVGDEGNPGPTGPITGSSFNRKIKTICHEAPLLRKKLLGQLVSRVSPRHVLQLVFLHSCCCATVTIQITNRGPQCVHKLYLPKHSTKNDGREITLPTANVSVQVSAPWNTNMQRDEHHYCKFQLELDPRMILTKYVSDRLQFVHNSVALNHQLRFPELVVGDRVPFTLRLEDCPGSGSPSSHLSNLNPKLDPLFPSKVEQNPSMYPVSSSPTMPPTPNGPLPSSPYGPISRTPGSLGPQSYSVSGGNFSAGQGNFQETPKYHLGTPGPVSLSQTMGQQLPLRHGPYSPSPAPPQGPSPAFQATSPAYQGGQLYQQGPYVESYTRKVGQRPLPQAQPPGPYGHQPLRQGAYLPTMGAARTPNMALPSLGSPGMVGGIGTPNMGAPVSPGRPGGHQLFQSNFSQGAGMAGYQSLEQSQKEQLAAVTATATATATAAAAAVAAAAAAQTFDTSPRAAHSPLGLGGPGGAYGTTFGPAGPYGGRSYVGQQVYGKNAGGEAFAQQGLCQPSTLASSGGAVGPMMSSGSPSGAPGMPNGLVQSQTANGVGWVTTVVSLSGAPQTASQQWPVSEYAFSGDGHPAPPLAPKMPNMSNM
+>sp|O75800|ZMY10_HUMAN Zinc finger MYND domain-containing protein 10 OS=Homo sapiens OX=9606 GN=ZMYND10 PE=1 SV=2
+MGDLELLLPGEAEVLVRGLRSFPLREMGSEGWNQQHENLEKLNMQAILDATVSQGEPIQELLVTHGKVPTLVEELIAVEMWKQKVFPVFCRVEDFKPQNTFPIYMVVHHEASIINLLETVFFHKEVCESAEDTVLDLVDYCHRKLTLLVAQSGCGGPPEGEGSQDSNPMQELQKQAELMEFEIALKALSVLRYITDCVDSLSLSTLSRMLSTHNLPCLLVELLEHSPWSRREGGKLQQFEGSRWHTVAPSEQQKLSKLDGQVWIALYNLLLSPEAQARYCLTSFAKGRLLKLRAFLTDTLLDQLPNLAHLQSFLAHLTLTETQPPKKDLVLEQIPEIWERLERENRGKWQAIAKHQLQHVFSPSEQDLRLQARRWAETYRLDVLEAVAPERPRCAYCSAEASKRCSRCQNEWYCCRECQVKHWEKHGKTCVLAAQGDRAK
+>DECOY_sp|O75800|ZMY10_HUMAN Zinc finger MYND domain-containing protein 10 OS=Homo sapiens OX=9606 GN=ZMYND10 PE=1 SV=2
+KARDGQAALVCTKGHKEWHKVQCERCCYWENQCRSCRKSAEASCYACRPREPAVAELVDLRYTEAWRRAQLRLDQESPSFVHQLQHKAIAQWKGRNERELREWIEPIQELVLDKKPPQTETLTLHALFSQLHALNPLQDLLTDTLFARLKLLRGKAFSTLCYRAQAEPSLLLNYLAIWVQGDLKSLKQQESPAVTHWRSGEFQQLKGGERRSWPSHELLEVLLCPLNHTSLMRSLTSLSLSDVCDTIYRLVSLAKLAIEFEMLEAQKQLEQMPNSDQSGEGEPPGGCGSQAVLLTLKRHCYDVLDLVTDEASECVEKHFFVTELLNIISAEHHVVMYIPFTNQPKFDEVRCFVPFVKQKWMEVAILEEVLTPVKGHTVLLEQIPEGQSVTADLIAQMNLKELNEHQQNWGESGMERLPFSRLGRVLVEAEGPLLLELDGM
+>sp|Q15326|ZMY11_HUMAN Zinc finger MYND domain-containing protein 11 OS=Homo sapiens OX=9606 GN=ZMYND11 PE=1 SV=2
+MARLTKRRQADTKAIQHLWAAIEIIRNQKQIANIDRITKYMSRVHGMHPKETTRQLSLAVKDGLIVETLTVGCKGSKAGIEQEGYWLPGDEIDWETENHDWYCFECHLPGEVLICDLCFRVYHSKCLSDEFRLRDSSSPWQCPVCRSIKKKNTNKQEMGTYLRFIVSRMKERAIDLNKKGKDNKHPMYRRLVHSAVDVPTIQEKVNEGKYRSYEEFKADAQLLLHNTVIFYGADSEQADIARMLYKDTCHELDELQLCKNCFYLSNARPDNWFCYPCIPNHELVWAKMKGFGFWPAKVMQKEDNQVDVRFFGHHHQRAWIPSENIQDITVNIHRLHVKRSMGWKKACDELELHQRFLREGRFWKSKNEDRGEEEAESSISSTSNEQLKVTQEPRAKKGRRNQSVEPKKEEPEPETEAVSSSQEIPTMPQPIEKVSVSTQTKKLSASSPRMLHRSTQTTNDGVCQSMCHDKYTKIFNDFKDRMKSDHKRETERVVREALEKLRSEMEEEKRQAVNKAVANMQGEMDRKCKQVKEKCKEEFVEEIKKLATQHKQLISQTKKKQWCYNCEEEAMYHCCWNTSYCSIKCQQEHWHAEHKRTCRRKR
+>DECOY_sp|Q15326|ZMY11_HUMAN Zinc finger MYND domain-containing protein 11 OS=Homo sapiens OX=9606 GN=ZMYND11 PE=1 SV=2
+RKRRCTRKHEAHWHEQQCKISCYSTNWCCHYMAEEECNYCWQKKKTQSILQKHQTALKKIEEVFEEKCKEKVQKCKRDMEGQMNAVAKNVAQRKEEEMESRLKELAERVVRETERKHDSKMRDKFDNFIKTYKDHCMSQCVGDNTTQTSRHLMRPSSASLKKTQTSVSVKEIPQPMTPIEQSSSVAETEPEPEEKKPEVSQNRRGKKARPEQTVKLQENSTSSISSEAEEEGRDENKSKWFRGERLFRQHLELEDCAKKWGMSRKVHLRHINVTIDQINESPIWARQHHHGFFRVDVQNDEKQMVKAPWFGFGKMKAWVLEHNPICPYCFWNDPRANSLYFCNKCLQLEDLEHCTDKYLMRAIDAQESDAGYFIVTNHLLLQADAKFEEYSRYKGENVKEQITPVDVASHVLRRYMPHKNDKGKKNLDIAREKMRSVIFRLYTGMEQKNTNKKKISRCVPCQWPSSSDRLRFEDSLCKSHYVRFCLDCILVEGPLHCEFCYWDHNETEWDIEDGPLWYGEQEIGAKSGKCGVTLTEVILGDKVALSLQRTTEKPHMGHVRSMYKTIRDINAIQKQNRIIEIAAWLHQIAKTDAQRRKTLRAM
+>sp|Q9UBW7|ZMYM2_HUMAN Zinc finger MYM-type protein 2 OS=Homo sapiens OX=9606 GN=ZMYM2 PE=1 SV=1
+MDTSSVGGLELTDQTPVLLGSTAMATSLTNVGNSFSGPANPLVSRSNKFQNSSVEDDDDVVFIEPVQPPPPSVPVVADQRTITFTSSKNEELQGNDSKITPSSKELASQKGSVSETIVIDDEEDMETNQGQEKNSSNFIERRPPETKNRTNDVDFSTSSFSRSKVNAGMGNSGITTEPDSEIQIANVTTLETGVSSVNDGQLENTDGRDMNLMITHVTSLQNTNLGDVSNGLQSSNFGVNIQTYTPSLTSQTKTGVGPFNPGRMNVAGDVFQNGESATHHNPDSWISQSASFPRNQKQPGVDSLSPVASLPKQIFQPSVQQQPTKPVKVTCANCKKPLQKGQTAYQRKGSAHLFCSTTCLSSFSHKPAPKKLCVMCKKDITTMKGTIVAQVDSSESFQEFCSTSCLSLYEDKQNPTKGALNKSRCTICGKLTEIRHEVSFKNMTHKLCSDHCFNRYRMANGLIMNCCEQCGEYLPSKGAGNNVLVIDGQQKRFCCQSCVSEYKQVGSHPSFLKEVRDHMQDSFLMQPEKYGKLTTCTGCRTQCRFFDMTQCIGPNGYMEPYCSTACMNSHKTKYAKSQSLGIICHFCKRNSLPQYQATMPDGKLYNFCNSSCVAKFQALSMQSSPNGQFVAPSDIQLKCNYCKNSFCSKPEILEWENKVHQFCSKTCSDDYKKLHCIVTYCEYCQEEKTLHETVNFSGVKRPFCSEGCKLLYKQDFARRLGLRCVTCNYCSQLCKKGATKELDGVVRDFCSEDCCKKFQDWYYKAARCDCCKSQGTLKERVQWRGEMKHFCDQHCLLRFYCQQNEPNMTTQKGPENLHYDQGCQTSRTKMTGSAPPPSPTPNKEMKNKAVLCKPLTMTKATYCKPHMQTKSCQTDDTWRTEYVPVPIPVPVYIPVPMHMYSQNIPVPTTVPVPVPVPVFLPAPLDSSEKIPAAIEELKSKVSSDALDTELLTMTDMMSEDEGKTETTNINSVIIETDIIGSDLLKNSDPETQSSMPDVPYEPDLDIEIDFPRAAEELDMENEFLLPPVFGEEYEEQPRPRSKKKGAKRKAVSGYQSHDDSSDNSECSFPFKYTYGVNAWKHWVKTRQLDEDLLVLDELKSSKSVKLKEDLLSHTTAELNYGLAHFVNEIRRPNGENYAPDSIYYLCLGIQEYLCGSNRKDNIFIDPGYQTFEQELNKILRSWQPSILPDGSIFSRVEEDYLWRIKQLGSHSPVALLNTLFYFNTKYFGLKTVEQHLRLSFGTVFRHWKKNPLTMENKACLRYQVSSLCGTDNEDKITTGKRKHEDDEPVFEQIENTANPSRCPVKMFECYLSKSPQNLNQRMDVFYLQPECSSSTDSPVWYTSTSLDRNTLENMLVRVLLVKDIYDKDNYELDEDTD
+>DECOY_sp|Q9UBW7|ZMYM2_HUMAN Zinc finger MYM-type protein 2 OS=Homo sapiens OX=9606 GN=ZMYM2 PE=1 SV=1
+DTDEDLEYNDKDYIDKVLLVRVLMNELTNRDLSTSTYWVPSDTSSSCEPQLYFVDMRQNLNQPSKSLYCEFMKVPCRSPNATNEIQEFVPEDDEHKRKGTTIKDENDTGCLSSVQYRLCAKNEMTLPNKKWHRFVTGFSLRLHQEVTKLGFYKTNFYFLTNLLAVPSHSGLQKIRWLYDEEVRSFISGDPLISPQWSRLIKNLEQEFTQYGPDIFINDKRNSGCLYEQIGLCLYYISDPAYNEGNPRRIENVFHALGYNLEATTHSLLDEKLKVSKSSKLEDLVLLDEDLQRTKVWHKWANVGYTYKFPFSCESNDSSDDHSQYGSVAKRKAGKKKSRPRPQEEYEEGFVPPLLFENEMDLEEAARPFDIEIDLDPEYPVDPMSSQTEPDSNKLLDSGIIDTEIIVSNINTTETKGEDESMMDTMTLLETDLADSSVKSKLEEIAAPIKESSDLPAPLFVPVPVPVPVTTPVPINQSYMHMPVPIYVPVPIPVPVYETRWTDDTQCSKTQMHPKCYTAKTMTLPKCLVAKNKMEKNPTPSPPPASGTMKTRSTQCGQDYHLNEPGKQTTMNPENQQCYFRLLCHQDCFHKMEGRWQVREKLTGQSKCCDCRAAKYYWDQFKKCCDESCFDRVVGDLEKTAGKKCLQSCYNCTVCRLGLRRAFDQKYLLKCGESCFPRKVGSFNVTEHLTKEEQCYECYTVICHLKKYDDSCTKSCFQHVKNEWELIEPKSCFSNKCYNCKLQIDSPAVFQGNPSSQMSLAQFKAVCSSNCFNYLKGDPMTAQYQPLSNRKCFHCIIGLSQSKAYKTKHSNMCATSCYPEMYGNPGICQTMDFFRCQTRCGTCTTLKGYKEPQMLFSDQMHDRVEKLFSPHSGVQKYESVCSQCCFRKQQGDIVLVNNGAGKSPLYEGCQECCNMILGNAMRYRNFCHDSCLKHTMNKFSVEHRIETLKGCITCRSKNLAGKTPNQKDEYLSLCSTSCFEQFSESSDVQAVITGKMTTIDKKCMVCLKKPAPKHSFSSLCTTSCFLHASGKRQYATQGKQLPKKCNACTVKVPKTPQQQVSPQFIQKPLSAVPSLSDVGPQKQNRPFSASQSIWSDPNHHTASEGNQFVDGAVNMRGPNFPGVGTKTQSTLSPTYTQINVGFNSSQLGNSVDGLNTNQLSTVHTIMLNMDRGDTNELQGDNVSSVGTELTTVNAIQIESDPETTIGSNGMGANVKSRSFSSTSFDVDNTRNKTEPPRREIFNSSNKEQGQNTEMDEEDDIVITESVSGKQSALEKSSPTIKSDNGQLEENKSSTFTITRQDAVVPVSPPPPQVPEIFVVDDDDEVSSNQFKNSRSVLPNAPGSFSNGVNTLSTAMATSGLLVPTQDTLELGGVSSTDM
+>sp|Q5VZL5|ZMYM4_HUMAN Zinc finger MYM-type protein 4 OS=Homo sapiens OX=9606 GN=ZMYM4 PE=1 SV=1
+MAEREVESGPRKRFEQKSGAVFDEIVENCGGIMDTEMSEDIDHNLTPTLDSMSYGMPNQTGSENSLLDEDDYFLNSGDLAGIPVVGSDNEDEQDFSSKDNLVSSIHTDDSLEVERRVTQHESDNENEIQIQNKLKKDFPKQFDQVSVFKSIRKDFSLVRENSKETFSGKEKNRDLTYEREKRLDKPHKDLDSRLKSSFFDKAANQVEETLHTHLPQTPETNFRDSSYPFANKESIGSELGNSFASNIRIKEEPLDDEYDKAMAPQQGLLDKIKDEPDNAQEYSHGQQQKTQEGELKISAVFSVSGSPLAPQLTTGFQPSLASSGMNKMLPSVPATAVRVSCSGCKKILQKGQTAYQRKGSTQLFCSTLCLTGYTVPPARPPPPLTKKTCSSCSKDILNPKDVISAQFENTTTSKDFCSQSCLSTYELKKKPIVTINTNSISTKCSMCQKNAVIRHEVNYQNVVHKLCSDACFSKFRSANNLTMNCCENCGGYCYSGSGQCHMLQIEGQSKKFCSSSCITAYKQKSAKITPCALCKSLRSSAEMIENTNSLGKTELFCSVNCLSAYRVKMVTSAGVQVQCNSCKTSAIPQYHLAMSDGSIRNFCSYSCVVAFQNLFNKPTGMNSSVVPLSQGQVIVSIPTGSTVSAGGGSTSAVSPTSISSSAAAGLQRLAAQSQHVGFARSVVKLKCQHCNRLFATKPELLDYKGKMFQFCGKNCSDEYKKINNVMAMCEYCKIEKIVKETVRFSGADKSFCSEGCKLLYKHDLAKRWGNHCKMCSYCLQTSPKLVQNNLGGKVEEFCCEECMSKYTVLFYQMAKCDACKRQGKLSESLKWRGEMKHFCNLLCILMFCNQQSVCDPPSQNNAANISMVQAASAGPPSLRKDSTPVIANVVSLASAPAAQPTVNSNSVLQGAVPTVTAKIIGDASTQTDALKLPPSQPPRLLKNKALLCKPITQTKATSCKPHTQNKECQTEDTPSQPQIIVVPVPVPVFVPIPLHLYTQYAPVPFGIPVPMPVPMLIPSSMDSEDKVTESIEDIKEKLPTHPFEADLLEMAEMIAEDEEKKTLSQGESQTSEHELFLDTKIFEKDQGSTYSGDLESEAVSTPHSWEEELNHYALKSNAVQEADSELKQFSKGETEQDLEADFPSDSFDPLNKGQGIQARSRTRRRHRDGFPQPRRRGRKKSIVAVEPRSLIQGAFQGCSVSGMTLKYMYGVNAWKNWVQWKNAKEEQGDLKCGGVEQASSSPRSDPLGSTQDHALSQESSEPGCRVRSIKLKEDILSCTFAELSLGLCQFIQEVRRPNGEKYDPDSILYLCLGIQQYLFENGRIDNIFTEPYSRFMIELTKLLKIWEPTILPNGYMFSRIEEEHLWECKQLGAYSPIVLLNTLLFFNTKYFQLKNVTEHLKLSFAHVMRRTRTLKYSTKMTYLRFFPPLQKQESEPDKLTVGKRKRNEDDEVPVGVEMAENTDNPLRCPVRLYEFYLSKCSESVKQRNDVFYLQPERSCVPNSPMWYSTFPIDPGTLDTMLTRILMVREVHEELAKAKSEDSDVELSD
+>DECOY_sp|Q5VZL5|ZMYM4_HUMAN Zinc finger MYM-type protein 4 OS=Homo sapiens OX=9606 GN=ZMYM4 PE=1 SV=1
+DSLEVDSDESKAKALEEHVERVMLIRTLMTDLTGPDIPFTSYWMPSNPVCSREPQLYFVDNRQKVSESCKSLYFEYLRVPCRLPNDTNEAMEVGVPVEDDENRKRKGVTLKDPESEQKQLPPFFRLYTMKTSYKLTRTRRMVHAFSLKLHETVNKLQFYKTNFFLLTNLLVIPSYAGLQKCEWLHEEEIRSFMYGNPLITPEWIKLLKTLEIMFRSYPETFINDIRGNEFLYQQIGLCLYLISDPDYKEGNPRRVEQIFQCLGLSLEAFTCSLIDEKLKISRVRCGPESSEQSLAHDQTSGLPDSRPSSSAQEVGGCKLDGQEEKANKWQVWNKWANVGYMYKLTMGSVSCGQFAGQILSRPEVAVISKKRGRRRPQPFGDRHRRRTRSRAQIGQGKNLPDFSDSPFDAELDQETEGKSFQKLESDAEQVANSKLAYHNLEEEWSHPTSVAESELDGSYTSGQDKEFIKTDLFLEHESTQSEGQSLTKKEEDEAIMEAMELLDAEFPHTPLKEKIDEISETVKDESDMSSPILMPVPMPVPIGFPVPAYQTYLHLPIPVFVPVPVPVVIIQPQSPTDETQCEKNQTHPKCSTAKTQTIPKCLLAKNKLLRPPQSPPLKLADTQTSADGIIKATVTPVAGQLVSNSNVTPQAAPASALSVVNAIVPTSDKRLSPPGASAAQVMSINAANNQSPPDCVSQQNCFMLICLLNCFHKMEGRWKLSESLKGQRKCADCKAMQYFLVTYKSMCEECCFEEVKGGLNNQVLKPSTQLCYSCMKCHNGWRKALDHKYLLKCGESCFSKDAGSFRVTEKVIKEIKCYECMAMVNNIKKYEDSCNKGCFQFMKGKYDLLEPKTAFLRNCHQCKLKVVSRAFGVHQSQAALRQLGAAASSSISTPSVASTSGGGASVTSGTPISVIVQGQSLPVVSSNMGTPKNFLNQFAVVCSYSCFNRISGDSMALHYQPIASTKCSNCQVQVGASTVMKVRYASLCNVSCFLETKGLSNTNEIMEASSRLSKCLACPTIKASKQKYATICSSSCFKKSQGEIQLMHCQGSGSYCYGGCNECCNMTLNNASRFKSFCADSCLKHVVNQYNVEHRIVANKQCMSCKTSISNTNITVIPKKKLEYTSLCSQSCFDKSTTTNEFQASIVDKPNLIDKSCSSCTKKTLPPPPRAPPVTYGTLCLTSCFLQTSGKRQYATQGKQLIKKCGSCSVRVATAPVSPLMKNMGSSALSPQFGTTLQPALPSGSVSFVASIKLEGEQTKQQQGHSYEQANDPEDKIKDLLGQQPAMAKDYEDDLPEEKIRINSAFSNGLESGISEKNAFPYSSDRFNTEPTQPLHTHLTEEVQNAAKDFFSSKLRSDLDKHPKDLRKEREYTLDRNKEKGSFTEKSNERVLSFDKRISKFVSVQDFQKPFDKKLKNQIQIENENDSEHQTVRREVELSDDTHISSVLNDKSSFDQEDENDSGVVPIGALDGSNLFYDDEDLLSNESGTQNPMGYSMSDLTPTLNHDIDESMETDMIGGCNEVIEDFVAGSKQEFRKRPGSEVEREAM
+>sp|Q8IYN0|ZN100_HUMAN Zinc finger protein 100 OS=Homo sapiens OX=9606 GN=ZNF100 PE=2 SV=2
+MDDPRYGMCPLKGASGCPGAERSLLVQSYFEKGPLTFRDVAIEFSLEEWQCLDSAQQGLYRKVMLENYRNLVFLAGIALTKPDLITCLEQGKEPWNIKRHEMVAKPPVICSHFPQDLWAEQDIKDSFQEAILKKYGKYGHDNLQLQKGCKSVDECKVHKEHDNKLNQCLITTQSNIFQCDPSAKVFHTFSNSNRHKIRHTRKKPFKCKKCEKSFCMLLHLTQHKRFHITENSYQCKDCGKAFNWFSTLTTHRRIHTGEKPYKCEECGKAFNRSSHLTTHKIIHTGEKPYRCEECGKAFNRSSHLTTHKRIHTGVKPYKCTECGKAFNRSSHLTTHRIIHTGEKPYKCEECGKAFNQSSTLTTHKITHAGEKPYKCEECGKAFYRFSYLTKHKTSHTGEKFYKCEECGKGFNWSSALTKHKRIHTGEKPYKCEECGKAFNESSNLTTHKMIHTGEKPYKCDECGKAFNRSSQLTAHKMIHTGEKPYKCEECGKAFNRSSTLTKHKITHTGEKSYKWEECGKDFNQSLSLIKQNNSYWRETLQM
+>DECOY_sp|Q8IYN0|ZN100_HUMAN Zinc finger protein 100 OS=Homo sapiens OX=9606 GN=ZNF100 PE=2 SV=2
+MQLTERWYSNNQKILSLSQNFDKGCEEWKYSKEGTHTIKHKTLTSSRNFAKGCEECKYPKEGTHIMKHATLQSSRNFAKGCEDCKYPKEGTHIMKHTTLNSSENFAKGCEECKYPKEGTHIRKHKTLASSWNFGKGCEECKYFKEGTHSTKHKTLYSFRYFAKGCEECKYPKEGAHTIKHTTLTSSQNFAKGCEECKYPKEGTHIIRHTTLHSSRNFAKGCETCKYPKVGTHIRKHTTLHSSRNFAKGCEECRYPKEGTHIIKHTTLHSSRNFAKGCEECKYPKEGTHIRRHTTLTSFWNFAKGCDKCQYSNETIHFRKHQTLHLLMCFSKECKKCKFPKKRTHRIKHRNSNSFTHFVKASPDCQFINSQTTILCQNLKNDHEKHVKCEDVSKCGKQLQLNDHGYKGYKKLIAEQFSDKIDQEAWLDQPFHSCIVPPKAVMEHRKINWPEKGQELCTILDPKTLAIGALFVLNRYNELMVKRYLGQQASDLCQWEELSFEIAVDRFTLPGKEFYSQVLLSREAGPCGSAGKLPCMGYRPDDM
+>sp|Q9UJU3|ZN112_HUMAN Zinc finger protein 112 OS=Homo sapiens OX=9606 GN=ZNF112 PE=1 SV=2
+MTKFQEMVTFKDVAVVFTEEELGLLDSVQRKLYRDVMLENFRNLLLVAHQPFKPDLISQLEREEKLLMVETETPRDGCSGRKNQQKMESIQEVTVSYFSPKELSSRQTWQQSAGGLIRCQDFLKVFQGKNSQLQEQGNSLGQVWAGIPVQISEDKNYIFTHIGNGSNYIKSQGYPSWRAHHSWRKMYLKESHNYQCRCQQISMKNHFCKCDSVSWLSHHNDKLEVHRKENYSCHDCGEDIMKVSLLNQESIQTEEKPYPCTGYRKAFSNDSSSEVHQQFHLEGKPYTYSSCGKGCNYSSLLHIHQNIEREDDIENSHLKSYQRVHTEEKPCKCGEYGENFNHCSPLNTYELIHTGEMSYRHNIYEKAFSHSLDLNSIFRVHTRDEPHEYEENENVFNQSSCLQVHQKIHTEEKLYTDIEYGKSFICSSNLDIQHRVHMEENSYNSEECGNGFSLASHFQDLQIVHTKEQPYKRYVCSNSFSHNLYLQGHPKIHIGEKPRKEHGNGFNWSSKLKDHQRVHTGQKPYKCNICGKGFNHRSVLNVHQRVHTGEKPYKCEECDKGFSRSSYLQAHQRVHTGEKPYKCEECGKGFSRNSYLQGHQRVHTGEKPYKCEECGKGFSRSSHLQGHQRVHTGEKPFKCEECGKGFSWSFNLQIHQRVHTGEKPYKCEECGKGFSKASTLLAHQRVHTGEKPYQCDECGKSFSQRSYLQSHQSVHSGERPYICEVCGKGFSQRAYLQGHQRVHTRVKPYKCEMCGKGFSQSSRLEAHRRVHTGGKPYKCEVCTKGFSESSRLQAHQRVHVEGRPYKCEQCGKGFSGYSSLQAHHRVHTGEKPYKCEVCGKGFSQRSNLQAHQRVHTGEKPYKCDACGKGFRWSSGLLIHQRVHSSDKFYKSEDYGKDYPSSENLHRNEDSVLF
+>DECOY_sp|Q9UJU3|ZN112_HUMAN Zinc finger protein 112 OS=Homo sapiens OX=9606 GN=ZNF112 PE=1 SV=2
+FLVSDENRHLNESSPYDKGYDESKYFKDSSHVRQHILLGSSWRFGKGCADCKYPKEGTHVRQHAQLNSRQSFGKGCVECKYPKEGTHVRHHAQLSSYGSFGKGCQECKYPRGEVHVRQHAQLRSSESFGKTCVECKYPKGGTHVRRHAELRSSQSFGKGCMECKYPKVRTHVRQHGQLYARQSFGKGCVECIYPREGSHVSQHSQLYSRQSFSKGCEDCQYPKEGTHVRQHALLTSAKSFGKGCEECKYPKEGTHVRQHIQLNFSWSFGKGCEECKFPKEGTHVRQHGQLHSSRSFGKGCEECKYPKEGTHVRQHGQLYSNRSFGKGCEECKYPKEGTHVRQHAQLYSSRSFGKDCEECKYPKEGTHVRQHVNLVSRHNFGKGCINCKYPKQGTHVRQHDKLKSSWNFGNGHEKRPKEGIHIKPHGQLYLNHSFSNSCVYRKYPQEKTHVIQLDQFHSALSFGNGCEESNYSNEEMHVRHQIDLNSSCIFSKGYEIDTYLKEETHIKQHVQLCSSQNFVNENEEYEHPEDRTHVRFISNLDLSHSFAKEYINHRYSMEGTHILEYTNLPSCHNFNEGYEGCKCPKEETHVRQYSKLHSNEIDDEREINQHIHLLSSYNCGKGCSSYTYPKGELHFQQHVESSSDNSFAKRYGTCPYPKEETQISEQNLLSVKMIDEGCDHCSYNEKRHVELKDNHHSLWSVSDCKCFHNKMSIQQCRCQYNHSEKLYMKRWSHHARWSPYGQSKIYNSGNGIHTFIYNKDESIQVPIGAWVQGLSNGQEQLQSNKGQFVKLFDQCRILGGASQQWTQRSSLEKPSFYSVTVEQISEMKQQNKRGSCGDRPTETEVMLLKEERELQSILDPKFPQHAVLLLNRFNELMVDRYLKRQVSDLLGLEEETFVVAVDKFTVMEQFKTM
+>sp|P52739|ZN131_HUMAN Zinc finger protein 131 OS=Homo sapiens OX=9606 GN=ZNF131 PE=1 SV=2
+MEAEETMECLQEFPEHHKMILDRLNEQREQDRFTDITLIVDGHHFKAHKAVLAACSKFFYKFFQEFTQEPLVEIEGVSKMAFRHLIEFTYTAKLMIQGEEEANDVWKAAEFLQMLEAIKALEVRNKENSAPLEENTTGKNEAKKRKIAETSNVITESLPSAESEPVEIEVEIAEGTIEVEDEGIETLEEVASAKQSVKYIQSTGSSDDSALALLADITSKYRQGDRKGQIKEDGCPSDPTSKQVEGIEIVELQLSHVKDLFHCEKCNRSFKLFYHFKEHMKSHSTESFKCEICNKRYLRESAWKQHLNCYHLEEGGVSKKQRTGKKIHVCQYCEKQFDHFGHFKEHLRKHTGEKPFECPNCHERFARNSTLKCHLTACQTGVGAKKGRKKLYECQVCNSVFNSWDQFKDHLVIHTGDKPNHCTLCDLWFMQGNELRRHLSDAHNISERLVTEEVLSVETRVQTEPVTSMTIIEQVGKVHVLPLLQVQVDSAQVTVEQVHPDLLQDSQVHDSHMSELPEQVQVSYLEVGRIQTEEGTEVHVEELHVERVNQMPVEVQTELLEADLDHVTPEIMNQEERESSQADAAEAAREDHEDAEDLETKPTVDSEAEKAENEDRTALPVLE
+>DECOY_sp|P52739|ZN131_HUMAN Zinc finger protein 131 OS=Homo sapiens OX=9606 GN=ZNF131 PE=1 SV=2
+ELVPLATRDENEAKEAESDVTPKTELDEADEHDERAAEAADAQSSEREEQNMIEPTVHDLDAELLETQVEVPMQNVREVHLEEVHVETGEETQIRGVELYSVQVQEPLESMHSDHVQSDQLLDPHVQEVTVQASDVQVQLLPLVHVKGVQEIITMSTVPETQVRTEVSLVEETVLRESINHADSLHRRLENGQMFWLDCLTCHNPKDGTHIVLHDKFQDWSNFVSNCVQCEYLKKRGKKAGVGTQCATLHCKLTSNRAFREHCNPCEFPKEGTHKRLHEKFHGFHDFQKECYQCVHIKKGTRQKKSVGGEELHYCNLHQKWASERLYRKNCIECKFSETSHSKMHEKFHYFLKFSRNCKECHFLDKVHSLQLEVIEIGEVQKSTPDSPCGDEKIQGKRDGQRYKSTIDALLALASDDSSGTSQIYKVSQKASAVEELTEIGEDEVEITGEAIEVEIEVPESEASPLSETIVNSTEAIKRKKAENKGTTNEELPASNEKNRVELAKIAELMQLFEAAKWVDNAEEEGQIMLKATYTFEILHRFAMKSVGEIEVLPEQTFEQFFKYFFKSCAALVAKHAKFHHGDVILTIDTFRDQERQENLRDLIMKHHEPFEQLCEMTEEAEM
+>sp|P52741|ZN134_HUMAN Zinc finger protein 134 OS=Homo sapiens OX=9606 GN=ZNF134 PE=1 SV=2
+MTLVTAGGAWTGPGCWHEVKDEESSSEQSISIAVSHVNTSKAGLPAQTALPCDICGPILKDILHLDEHQGTHHGLKLHTCGACGRQFWFSANLHQYQKCYSIEQPLRRDKSEASIVKNCTVSKEPHPSEKPFTCKEEQKNFQATLGGCQQKAIHSKRKTHRSTESGDAFHGEQMHYKCSECGKAFSRKDTLVQHQRIHSGEKPYECSECGKAFSRKATLVQHQRIHTGERPYECSECGKTFSRKDNLTQHKRIHTGEMPYKCNECGKYFSHHSNLIVHQRVHNGARPYKCSDCGKVFRHKSTLVQHESIHTGENPYDCSDCGKSFGHKYTLIKHQRIHTESKPFECIECGKFFSRSSDYIAHQRVHTGERPFVCSKCGKDFIRTSHLVRHQRVHTGERPYECSECGKAYSLSSHLNRHQKVHTAGRL
+>DECOY_sp|P52741|ZN134_HUMAN Zinc finger protein 134 OS=Homo sapiens OX=9606 GN=ZNF134 PE=1 SV=2
+LRGATHVKQHRNLHSSLSYAKGCESCEYPREGTHVRQHRVLHSTRIFDKGCKSCVFPREGTHVRQHAIYDSSRSFFKGCEICEFPKSETHIRQHKILTYKHGFSKGCDSCDYPNEGTHISEHQVLTSKHRFVKGCDSCKYPRAGNHVRQHVILNSHHSFYKGCENCKYPMEGTHIRKHQTLNDKRSFTKGCESCEYPREGTHIRQHQVLTAKRSFAKGCESCEYPKEGSHIRQHQVLTDKRSFAKGCESCKYHMQEGHFADGSETSRHTKRKSHIAKQQCGGLTAQFNKQEEKCTFPKESPHPEKSVTCNKVISAESKDRRLPQEISYCKQYQHLNASFWFQRGCAGCTHLKLGHHTGQHEDLHLIDKLIPGCIDCPLATQAPLGAKSTNVHSVAISISQESSSEEDKVEHWCGPGTWAGGATVLTM
+>sp|O14771|ZN213_HUMAN Zinc finger protein 213 OS=Homo sapiens OX=9606 GN=ZNF213 PE=2 SV=2
+MAAPLEAQDQAPGEGEGLLIVKVEDSSWEQESAQHEDGRDSEACRQRFRQFCYGDVHGPHEAFSQLWELCCRWLRPELRTKEQILELLVLEQFLTVLPGEIQGWVREQHPGSGEEAVALVEDLQKQPVKAWRQDVPSEEAEPEAAGRGSQATGPPPTVGARRRPSVPQEQHSHSAQPPALLKEGRPGETTDTCFVSGVHGPVALGDIPFYFSREEWGTLDPAQRDLFWDIKRENSRNTTLGFGLKGQSEKSLLQEMVPVVPGQTGSDVTVSWSPEEAEAWESENRPRAALGPVVGARRGRPPTRRRQFRDLAAEKPHSCGQCGKRFRWGSDLARHQRTHTGEKPHKCPECDKSFRSSSDLVRHQGVHTGEKPFSCSECGKSFSRSAYLADHQRIHTGEKPFGCSDCGKSFSLRSYLLDHRRVHTGERPFGCGECDKSFKQRAHLIAHQSLHAKMAQPVG
+>DECOY_sp|O14771|ZN213_HUMAN Zinc finger protein 213 OS=Homo sapiens OX=9606 GN=ZNF213 PE=2 SV=2
+GVPQAMKAHLSQHAILHARQKFSKDCEGCGFPREGTHVRRHDLLYSRLSFSKGCDSCGFPKEGTHIRQHDALYASRSFSKGCESCSFPKEGTHVGQHRVLDSSSRFSKDCEPCKHPKEGTHTRQHRALDSGWRFRKGCQGCSHPKEAALDRFQRRRTPPRGRRAGVVPGLAARPRNESEWAEAEEPSWSVTVDSGTQGPVVPVMEQLLSKESQGKLGFGLTTNRSNERKIDWFLDRQAPDLTGWEERSFYFPIDGLAVPGHVGSVFCTDTTEGPRGEKLLAPPQASHSHQEQPVSPRRRAGVTPPPGTAQSGRGAAEPEAEESPVDQRWAKVPQKQLDEVLAVAEEGSGPHQERVWGQIEGPLVTLFQELVLLELIQEKTRLEPRLWRCCLEWLQSFAEHPGHVDGYCFQRFRQRCAESDRGDEHQASEQEWSSDEVKVILLGEGEGPAQDQAELPAAM
+>sp|Q9NYT6|ZN226_HUMAN Zinc finger protein 226 OS=Homo sapiens OX=9606 GN=ZNF226 PE=2 SV=2
+MNMFKEAVTFKDVAVAFTEEELGLLGPAQRKLYRDVMVENFRNLLSVGHPPFKQDVSPIERNEQLWIMTTATRRQGNLGEKNQSKLITVQDRESEEELSCWQIWQQIANDLTRCQDSMINNSQCHKQGDFPYQVGTELSIQISEDENYIVNKADGPNNTGNPEFPILRTQDSWRKTFLTESQRLNRDQQISIKNKLCQCKKGVDPIGWISHHDGHRVHKSEKSYRPNDYEKDNMKILTFDHNSMIHTGQKSYQCNECKKPFSDLSSFDLHQQLQSGEKSLTCVERGKGFCYSPVLPVHQKVHVGEKLKCDECGKEFSQGAHLQTHQKVHVIEKPYKCKQCGKGFSRRSALNVHCKVHTAEKPYNCEECGRAFSQASHLQDHQRLHTGEKPFKCDACGKSFSRNSHLQSHQRVHTGEKPYKCEECGKGFICSSNLYIHQRVHTGEKPYKCEECGKGFSRPSSLQAHQGVHTGEKSYICTVCGKGFTLSSNLQAHQRVHTGEKPYKCNECGKSFRRNSHYQVHLVVHTGEKPYKCEICGKGFSQSSYLQIHQKAHSIEKPFKCEECGQGFNQSSRLQIHQLIHTGEKPYKCEECGKGFSRRADLKIHCRIHTGEKPYNCEECGKVFRQASNLLAHQRVHSGEKPFKCEECGKSFGRSAHLQAHQKVHTGDKPYKCDECGKGFKWSLNLDMHQRVHTGEKPYKCGECGKYFSQASSLQLHQSVHTGEKPYKCDVCGKVFSRSSQLQSHQRVHTGEKPYKCEICGKSFSWRSNLTVHHRIHVGDKSYKSNRGGKNIRESTQEKKSIK
+>DECOY_sp|Q9NYT6|ZN226_HUMAN Zinc finger protein 226 OS=Homo sapiens OX=9606 GN=ZNF226 PE=2 SV=2
+KISKKEQTSERINKGGRNSKYSKDGVHIRHHVTLNSRWSFSKGCIECKYPKEGTHVRQHSQLQSSRSFVKGCVDCKYPKEGTHVSQHLQLSSAQSFYKGCEGCKYPKEGTHVRQHMDLNLSWKFGKGCEDCKYPKDGTHVKQHAQLHASRGFSKGCEECKFPKEGSHVRQHALLNSAQRFVKGCEECNYPKEGTHIRCHIKLDARRSFGKGCEECKYPKEGTHILQHIQLRSSQNFGQGCEECKFPKEISHAKQHIQLYSSQSFGKGCIECKYPKEGTHVVLHVQYHSNRRFSKGCENCKYPKEGTHVRQHAQLNSSLTFGKGCVTCIYSKEGTHVGQHAQLSSPRSFGKGCEECKYPKEGTHVRQHIYLNSSCIFGKGCEECKYPKEGTHVRQHSQLHSNRSFSKGCADCKFPKEGTHLRQHDQLHSAQSFARGCEECNYPKEATHVKCHVNLASRRSFGKGCQKCKYPKEIVHVKQHTQLHAGQSFEKGCEDCKLKEGVHVKQHVPLVPSYCFGKGREVCTLSKEGSQLQQHLDFSSLDSFPKKCENCQYSKQGTHIMSNHDFTLIKMNDKEYDNPRYSKESKHVRHGDHHSIWGIPDVGKKCQCLKNKISIQQDRNLRQSETLFTKRWSDQTRLIPFEPNGTNNPGDAKNVIYNEDESIQISLETGVQYPFDGQKHCQSNNIMSDQCRTLDNAIQQWIQWCSLEEESERDQVTILKSQNKEGLNGQRRTATTMIWLQENREIPSVDQKFPPHGVSLLNRFNEVMVDRYLKRQAPGLLGLEEETFAVAVDKFTVAEKFMNM
+>sp|Q9UJW7|ZN229_HUMAN Zinc finger protein 229 OS=Homo sapiens OX=9606 GN=ZNF229 PE=1 SV=3
+METLTSRHEKRALHSQASAISQDREEKIMSQEPLSFKDVAVVFTEEELELLDSTQRQLYQDVMQENFRNLLSVGERNPLGDKNGKDTEYIQDEELRFFSHKELSSCKIWEEVAGELPGSQDCRVNLQGKDFQFSEDAAPHQGWEGASTPCFPIENSLDSLQGDGLIGLENQQFPAWRAIRPIPIQGSWAKAFVNQLGDVQERCKNLDTEDTVYKCNWDDDSFCWISCHVDHRFPEIDKPCGCNKCRKDCIKNSVLHRINPGENGLKSNEYRNGFRDDADLPPHPRVPLKEKLCQYDEFSEGLRHSAHLNRHQRVPTGEKSVKSLERGRGVRQNTHIRNHPRAPVGDMPYRCDVCGKGFRYKSVLLIHQGVHTGRRPYKCEECGKAFGRSSNLLVHQRVHTGEKPYKCSECGKGFSYSSVLQVHQRLHTGEKPYTCSECGKGFCAKSALHKHQHIHPGEKPYSCGECGKGFSCSSHLSSHQKTHTGERPYQCDKCGKGFSHNSYLQAHQRVHMGQHLYKCNVCGKSFSYSSGLLMHQRLHTGEKPYKCECGKSFGRSSDLHIHQRVHTGEKPYKCSECGKGFRRNSDLHSHQRVHTGERPYVCDVCGKGFIYSSDLLIHQRVHTGEKPYKCAECGKGFSYSSGLLIHQRVHTGEKPYRCQECGKGFRCTSSLHKHQRVHTGKKPYTCDQCGKGFSYGSNLRTHQRLHTGEKPYTCCECGKGFRYGSGLLSHKRVHTGEKPYRCHVCGKGYSQSSHLQGHQRVHTGEKPYKCEECGKGFGRNSCLHVHQRVHTGEKPYTCGVCGKGFSYTSGLRNHQRVHLGENPYK
+>DECOY_sp|Q9UJW7|ZN229_HUMAN Zinc finger protein 229 OS=Homo sapiens OX=9606 GN=ZNF229 PE=1 SV=3
+KYPNEGLHVRQHNRLGSTYSFGKGCVGCTYPKEGTHVRQHVHLCSNRGFGKGCEECKYPKEGTHVRQHGQLHSSQSYGKGCVHCRYPKEGTHVRKHSLLGSGYRFGKGCECCTYPKEGTHLRQHTRLNSGYSFGKGCQDCTYPKKGTHVRQHKHLSSTCRFGKGCEQCRYPKEGTHVRQHILLGSSYSFGKGCEACKYPKEGTHVRQHILLDSSYIFGKGCVDCVYPREGTHVRQHSHLDSNRRFGKGCESCKYPKEGTHVRQHIHLDSSRGFSKGCECKYPKEGTHLRQHMLLGSSYSFSKGCVNCKYLHQGMHVRQHAQLYSNHSFGKGCKDCQYPREGTHTKQHSSLHSSCSFGKGCEGCSYPKEGPHIHQHKHLASKACFGKGCESCTYPKEGTHLRQHVQLVSSYSFGKGCESCKYPKEGTHVRQHVLLNSSRGFAKGCEECKYPRRGTHVGQHILLVSKYRFGKGCVDCRYPMDGVPARPHNRIHTNQRVGRGRELSKVSKEGTPVRQHRNLHASHRLGESFEDYQCLKEKLPVRPHPPLDADDRFGNRYENSKLGNEGPNIRHLVSNKICDKRCKNCGCPKDIEPFRHDVHCSIWCFSDDDWNCKYVTDETDLNKCREQVDGLQNVFAKAWSGQIPIPRIARWAPFQQNELGILGDGQLSDLSNEIPFCPTSAGEWGQHPAADESFQFDKGQLNVRCDQSGPLEGAVEEWIKCSSLEKHSFFRLEEDQIYETDKGNKDGLPNREGVSLLNRFNEQMVDQYLQRQTSDLLELEEETFVVAVDKFSLPEQSMIKEERDQSIASAQSHLARKEHRSTLTEM
+>sp|Q9UNY5|ZN232_HUMAN Zinc finger protein 232 OS=Homo sapiens OX=9606 GN=ZNF232 PE=1 SV=1
+MAVSLTAAETLALQGTQGQEKMMMMGPKEEEQSCEYETRLPGNHSTSQEIFRQRFRHLRYQETPGPREALSQLRVLCCEWLRPEKHTKEQILEFLVLEQFLTILPEELQSWVRGHHPKSGEEAVTVLEDLEKGLEPEPQVPGPAHGPAQEEPWEKKESLGAAQEALSIQLQPKETQPFPKSEQVYLHFLSVVTEDGPEPKDKGSLPQPPITEVESQVFSEKLATDTSTFEATSEGTLELQQRNPKAERLRWSPAQEESFRQMVVIHKEIPTGKKDHECSECGKTFIYNSHLVVHQRVHSGEKPYKCSDCGKTFKQSSNLGQHQRIHTGEKPFECNECGKAFRWGAHLVQHQRIHSGEKPYECNECGKAFSQSSYLSQHRRIHSGEKPFICKECGKAYGWCSELIRHRRVHARKEPSH
+>DECOY_sp|Q9UNY5|ZN232_HUMAN Zinc finger protein 232 OS=Homo sapiens OX=9606 GN=ZNF232 PE=1 SV=1
+HSPEKRAHVRRHRILESCWGYAKGCEKCIFPKEGSHIRRHQSLYSSQSFAKGCENCEYPKEGSHIRQHQVLHAGWRFAKGCENCEFPKEGTHIRQHQGLNSSQKFTKGCDSCKYPKEGSHVRQHVVLHSNYIFTKGCESCEHDKKGTPIEKHIVVMQRFSEEQAPSWRLREAKPNRQQLELTGESTAEFTSTDTALKESFVQSEVETIPPQPLSGKDKPEPGDETVVSLFHLYVQESKPFPQTEKPQLQISLAEQAAGLSEKKEWPEEQAPGHAPGPVQPEPELGKELDELVTVAEEGSKPHHGRVWSQLEEPLITLFQELVLFELIQEKTHKEPRLWECCLVRLQSLAERPGPTEQYRLHRFRQRFIEQSTSHNGPLRTEYECSQEEEKPGMMMMKEQGQTGQLALTEAATLSVAM
+>sp|Q14590|ZN235_HUMAN Zinc finger protein 235 OS=Homo sapiens OX=9606 GN=ZNF235 PE=2 SV=3
+MTKFQEAVTFKDVAVAFTEEELGLLDSAQRKLYRDVMLENFRNLVSVGHQSFKPDMISQLEREEKLWMKELQTQRGKHSGDRNQNEMATLHKAGLRCFSLGELSCWQIKRHIASKLARSQDSMINIEGKSSQFPKHHDSPCQVGAGESIQASVDDNCLVNHIGDHSSIIENQEFPTGKVPNSWSKIYLNETQNYQRSCKQTQMKNKLCIFAPYVDIFSCISHHHDDNIVHKRDKVHSNSDCGKDTLKVSPLTQRSIHTGQKTYQGNECEEAFNDSSSLELHKQVHLGKKSPACSTHEKDTSYSSGIPVQQSVRTGKKRYWCHECGKGFSQSSNLQTHQRVHTGEKPYTCHECGKSFNQSSHLYAHLPIHTGEKPYRCDSCGKGFSRSTDLNIHCRVHTGEKPYKCEVCGKGFTQRSHLQAHERIHTGEKPYKCGDCGKRFSCSSNLHTHQRVHTEEKPYKCDECGKCFSLSFNLHSHQRVHTGEKPYKCEECGKGFSSASSFQSHQRVHTGEKPFRCNVCGKGFSQSSYFQAHQRVHTGEKPYKCEVCGKRFNWSLNLHNHQRVHTGEKPYKCEECGKGFSQASNLQAHQSVHTGEKPFKCDACQKRFSQASHLQAHQRVHTGEKPYKCDTCGKAFSQRSNLQVHQIIHTGEKPFKCEECGKEFSWSAGLSAHQRVHTGEKPYTCQQCGKGFSQASHFHTHQRVHTGERPYICDVCCKGFSQRSHLIYHQRVHTGGNL
+>DECOY_sp|Q14590|ZN235_HUMAN Zinc finger protein 235 OS=Homo sapiens OX=9606 GN=ZNF235 PE=2 SV=3
+LNGGTHVRQHYILHSRQSFGKCCVDCIYPREGTHVRQHTHFHSAQSFGKGCQQCTYPKEGTHVRQHASLGASWSFEKGCEECKFPKEGTHIIQHVQLNSRQSFAKGCTDCKYPKEGTHVRQHAQLHSAQSFRKQCADCKFPKEGTHVSQHAQLNSAQSFGKGCEECKYPKEGTHVRQHNHLNLSWNFRKGCVECKYPKEGTHVRQHAQFYSSQSFGKGCVNCRFPKEGTHVRQHSQFSSASSFGKGCEECKYPKEGTHVRQHSHLNFSLSFCKGCEDCKYPKEETHVRQHTHLNSSCSFRKGCDGCKYPKEGTHIREHAQLHSRQTFGKGCVECKYPKEGTHVRCHINLDTSRSFGKGCSDCRYPKEGTHIPLHAYLHSSQNFSKGCEHCTYPKEGTHVRQHTQLNSSQSFGKGCEHCWYRKKGTRVSQQVPIGSSYSTDKEHTSCAPSKKGLHVQKHLELSSSDNFAEECENGQYTKQGTHISRQTLPSVKLTDKGCDSNSHVKDRKHVINDDHHHSICSFIDVYPAFICLKNKMQTQKCSRQYNQTENLYIKSWSNPVKGTPFEQNEIISSHDGIHNVLCNDDVSAQISEGAGVQCPSDHHKPFQSSKGEINIMSDQSRALKSAIHRKIQWCSLEGLSFCRLGAKHLTAMENQNRDGSHKGRQTQLEKMWLKEERELQSIMDPKFSQHGVSVLNRFNELMVDRYLKRQASDLLGLEEETFAVAVDKFTVAEQFKTM
+>sp|Q8NDW4|ZN248_HUMAN Zinc finger protein 248 OS=Homo sapiens OX=9606 GN=ZNF248 PE=1 SV=1
+MNKSQEQVSFKDVCVDFTQEEWYLLDPAQKILYRDVILENYSNLVSVGYCITKPEVIFKIEQGEEPWILEKGFPSQCHPERKWKVDDVLESSQENEDDHFWELLFHNNKTVSVENGDRGSKTFNLGTDPVSLRNYPYKICDSCEMNLKNISGLIISKKNCSRKKPDEFNVCEKLLLDIRHEKIPIGEKSYKYDQKRNAINYHQDLSQPSFGQSFEYSKNGQGFHDEAAFFTNKRSQIGETVCKYNECGRTFIESLKLNISQRPHLEMEPYGCSICGKSFCMNLRFGHQRALTKDNPYEYNEYGEIFCDNSAFIIHQGAYTRKILREYKVSDKTWEKSALLKHQIVHMGGKSYDYNENGSNFSKKSHLTQLRRAHTGEKTFECGECGKTFWEKSNLTQHQRTHTGEKPYECTECGKAFCQKPHLTNHQRTHTGEKPYECKQCGKTFCVKSNLTEHQRTHTGEKPYECNACGKSFCHRSALTVHQRTHTGEKPFICNECGKSFCVKSNLIVHQRTHTGEKPYKCNECGKTFCEKSALTKHQRTHTGEKPYECNACGKTFSQRSVLTKHQRIHTRVKALSTS
+>DECOY_sp|Q8NDW4|ZN248_HUMAN Zinc finger protein 248 OS=Homo sapiens OX=9606 GN=ZNF248 PE=1 SV=1
+STSLAKVRTHIRQHKTLVSRQSFTKGCANCEYPKEGTHTRQHKTLASKECFTKGCENCKYPKEGTHTRQHVILNSKVCFSKGCENCIFPKEGTHTRQHVTLASRHCFSKGCANCEYPKEGTHTRQHETLNSKVCFTKGCQKCEYPKEGTHTRQHNTLHPKQCFAKGCETCEYPKEGTHTRQHQTLNSKEWFTKGCEGCEFTKEGTHARRLQTLHSKKSFNSGNENYDYSKGGMHVIQHKLLASKEWTKDSVKYERLIKRTYAGQHIIFASNDCFIEGYENYEYPNDKTLARQHGFRLNMCFSKGCISCGYPEMELHPRQSINLKLSEIFTRGCENYKCVTEGIQSRKNTFFAAEDHFGQGNKSYEFSQGFSPQSLDQHYNIANRKQDYKYSKEGIPIKEHRIDLLLKECVNFEDPKKRSCNKKSIILGSINKLNMECSDCIKYPYNRLSVPDTGLNFTKSGRDGNEVSVTKNNHFLLEWFHDDENEQSSELVDDVKWKREPHCQSPFGKELIWPEEGQEIKFIVEPKTICYGVSVLNSYNELIVDRYLIKQAPDLLYWEEQTFDVCVDKFSVQEQSKNM
+>sp|Q9BRH9|ZN251_HUMAN Zinc finger protein 251 OS=Homo sapiens OX=9606 GN=ZNF251 PE=1 SV=4
+MAATFQLPGHQEMPLTFQDVAVYFSQAEGRQLGPQQRALYRDVMLENYGNVASLGFPVPKPELISQLEQGKELWVLNLLGAEEPDILKSCQKDSEVGTKKELSILNQKFSEEVKTPEFVSRRLLRDNAQAAEFREAWGREGKLKERVGNSAGQSLNKPNIHKRVLTEATVGRERSLGERTQECSAFDRNLNLDQNVVRLQRNKTGERVFKCDICSKTFKYNSDLSRHQRSHTGEKPYECGRCGRAFTHSSNLVLHHHIHTGNKPFKCDECGKTFGLNSHLRLHRRIHTGEKPFGCGECGKAFSRSSTLIQHRIIHTGEKPYKCNECGRGFSQSPQLTQHQRIHTGEKPHECSHCGKAFSRSSSLIQHERIHTGEKPHKCNQCGKAFSQSSSLFLHHRVHTGEKPYVCNECGRAFGFNSHLTEHVRIHTGEKPYVCNECGKAFRRSSTLVQHRRVHTGEKPYQCVECGKAFSQSSQLTLHQRVHTGEKPYDCGDCGKAFSRRSTLIQHQKVHSGETRKCRKHGPAFVHGSSLTADGQIPTGEKHGRAFNHGANLILRWTVHTGEKSFGCNEYGKAFSPTSRPTEDQIMHAGEKPYKCQECGNAFSGKSTLIQHQVTHTGQKPCHCSVYGKAFSQSSQLTPPQQTRVGEKPALNDGSKRYFIHIKKIFQERHF
+>DECOY_sp|Q9BRH9|ZN251_HUMAN Zinc finger protein 251 OS=Homo sapiens OX=9606 GN=ZNF251 PE=1 SV=4
+FHREQFIKKIHIFYRKSGDNLAPKEGVRTQQPPTLQSSQSFAKGYVSCHCPKQGTHTVQHQILTSKGSFANGCEQCKYPKEGAHMIQDETPRSTPSFAKGYENCGFSKEGTHVTWRLILNAGHNFARGHKEGTPIQGDATLSSGHVFAPGHKRCKRTEGSHVKQHQILTSRRSFAKGCDGCDYPKEGTHVRQHLTLQSSQSFAKGCEVCQYPKEGTHVRRHQVLTSSRRFAKGCENCVYPKEGTHIRVHETLHSNFGFARGCENCVYPKEGTHVRHHLFLSSSQSFAKGCQNCKHPKEGTHIREHQILSSSRSFAKGCHSCEHPKEGTHIRQHQTLQPSQSFGRGCENCKYPKEGTHIIRHQILTSSRSFAKGCEGCGFPKEGTHIRRHLRLHSNLGFTKGCEDCKFPKNGTHIHHHLVLNSSHTFARGCRGCEYPKEGTHSRQHRSLDSNYKFTKSCIDCKFVREGTKNRQLRVVNQDLNLNRDFASCEQTREGLSRERGVTAETLVRKHINPKNLSQGASNGVREKLKGERGWAERFEAAQANDRLLRRSVFEPTKVEESFKQNLISLEKKTGVESDKQCSKLIDPEEAGLLNLVWLEKGQELQSILEPKPVPFGLSAVNGYNELMVDRYLARQQPGLQRGEAQSFYVAVDQFTLPMEQHGPLQFTAAM
+>sp|O75437|ZN254_HUMAN Zinc finger protein 254 OS=Homo sapiens OX=9606 GN=ZNF254 PE=2 SV=3
+MPGPPRSLEMGLLTFRDVAIEFSLEEWQHLDIAQQNLYRNVMLENYRNLAFLGIAVSKPDLITCLEQGKEPWNMKRHEMVDEPPGMCPHFAQDLWPEQGMEDSFQKAILRRYGKYGHENLQLRKGCKSVDEYKVNKEGYNGLNQCFTTAQSKVFQCDKYLKVFYKFLNSNRPKIRHTEKKSFKCKKRVKLFCMLSHKTQHKSIYHREKSYKCKECGKTFNWSSTLTNHRKIYTEEKPYKCEEYNKSPKQLSTLTTHEIIHAGEKLYKCEECGEAFNRSSNLTTHKIIHTGEKPYKCEECGKAFIWSSTLTEHKKIHTRKKPYKCEECGKAFIWSSTLTRHKRMHTGEKPYKCEECGKAFSQSSTLTTHKIIHTGEKRYKCLECGKAFKQLSTLTTHKIIHVGEKLYKCEECGKGFNRSSNLTTHKIIHTGEKPYKCEECGKAFIWSSTLTKHKRIHTREKPYKCEECGKAFIWSSTLTRHKRMHTGEKPYKCEECGKSFSQSSTLTTHKIIHTGEKPYKCEECGKAFNWSSTLTKHKIIHTEEKPYKCEKCGKAFKQSSILTNHKRIHTGEKPYKCEECGKSFNRSSTFTKHKVIHTGVKPYKCEECGKAFFWSSTLTKHKRIHTGEQPYKWEKFGKAFNRSSHLTTDKITHWREILQV
+>DECOY_sp|O75437|ZN254_HUMAN Zinc finger protein 254 OS=Homo sapiens OX=9606 GN=ZNF254 PE=2 SV=3
+VQLIERWHTIKDTTLHSSRNFAKGFKEWKYPQEGTHIRKHKTLTSSWFFAKGCEECKYPKVGTHIVKHKTFTSSRNFSKGCEECKYPKEGTHIRKHNTLISSQKFAKGCKECKYPKEETHIIKHKTLTSSWNFAKGCEECKYPKEGTHIIKHTTLTSSQSFSKGCEECKYPKEGTHMRKHRTLTSSWIFAKGCEECKYPKERTHIRKHKTLTSSWIFAKGCEECKYPKEGTHIIKHTTLNSSRNFGKGCEECKYLKEGVHIIKHTTLTSLQKFAKGCELCKYRKEGTHIIKHTTLTSSQSFAKGCEECKYPKEGTHMRKHRTLTSSWIFAKGCEECKYPKKRTHIKKHETLTSSWIFAKGCEECKYPKEGTHIIKHTTLNSSRNFAEGCEECKYLKEGAHIIEHTTLTSLQKPSKNYEECKYPKEETYIKRHNTLTSSWNFTKGCEKCKYSKERHYISKHQTKHSLMCFLKVRKKCKFSKKETHRIKPRNSNLFKYFVKLYKDCQFVKSQATTFCQNLGNYGEKNVKYEDVSKCGKRLQLNEHGYKGYRRLIAKQFSDEMGQEPWLDQAFHPCMGPPEDVMEHRKMNWPEKGQELCTILDPKSVAIGLFALNRYNELMVNRYLNQQAIDLHQWEELSFEIAVDRFTLLGMELSRPPGPM
+>sp|Q9Y2Q1|ZN257_HUMAN Zinc finger protein 257 OS=Homo sapiens OX=9606 GN=ZNF257 PE=2 SV=2
+MGPLTIRDVTVEFSLEEWHCLDTAQQNLYRDVMLENYRNLVFLGIAVSKPDLITCLEQGKEPCNMKRHEMVAKPPVMCSHIAEDLCPERDIKYFFQKVILRRYDKCEHENLQLRKGCKSVDECKVCKGGYNGLNQCLITTQSKMYQCDKYVKVFYKFSNSDRHKIRHTEKKTCKCKECGKSFCMLSQLTRHKRIHIRENSHKCEECGKAFNQSSALTRHKMTHTGEKPYKCEECGKAFNRSSHLTQHKVIHTREKPYKCEECGKAFNRSSHITQHKRIHNREKPFKYDECCKAFKWSSALTTLTQHKRIHTGEKPYKCEECGKAFNQSSALTRHKMIHTGEKPFQCEECGKAFNRSSHLTQHKIIHTKEKPYKCEECGKAFNRSSHLTKHKRIHTREKAYKCDEYCKAFNWSSALTTLTQHKIIHTGEKPYKCEECGKAFNRSSYLIRHKIIHTGEKPYKCEECGKAFNQSSHLTQHKIIHTGEKPYKCEECGKAFNRSSHLSQHKIIHTGEKPYKCEECGKPFNRFSYLTVHKRIHAGENPNKYEECGKACNHSSNLTKHNS
+>DECOY_sp|Q9Y2Q1|ZN257_HUMAN Zinc finger protein 257 OS=Homo sapiens OX=9606 GN=ZNF257 PE=2 SV=2
+SNHKTLNSSHNCAKGCEEYKNPNEGAHIRKHVTLYSFRNFPKGCEECKYPKEGTHIIKHQSLHSSRNFAKGCEECKYPKEGTHIIKHQTLHSSQNFAKGCEECKYPKEGTHIIKHRILYSSRNFAKGCEECKYPKEGTHIIKHQTLTTLASSWNFAKCYEDCKYAKERTHIRKHKTLHSSRNFAKGCEECKYPKEKTHIIKHQTLHSSRNFAKGCEECQFPKEGTHIMKHRTLASSQNFAKGCEECKYPKEGTHIRKHQTLTTLASSWKFAKCCEDYKFPKERNHIRKHQTIHSSRNFAKGCEECKYPKERTHIVKHQTLHSSRNFAKGCEECKYPKEGTHTMKHRTLASSQNFAKGCEECKHSNERIHIRKHRTLQSLMCFSKGCEKCKCTKKETHRIKHRDSNSFKYFVKVYKDCQYMKSQTTILCQNLGNYGGKCVKCEDVSKCGKRLQLNEHECKDYRRLIVKQFFYKIDREPCLDEAIHSCMVPPKAVMEHRKMNCPEKGQELCTILDPKSVAIGLFVLNRYNELMVDRYLNQQATDLCHWEELSFEVTVDRITLPGM
+>sp|O14978|ZN263_HUMAN Zinc finger protein 263 OS=Homo sapiens OX=9606 GN=ZNF263 PE=1 SV=2
+MASGPGSQEREGLLIVKLEEDCAWSQELPPPDPGPSPEASHLRFRRFRFQEAAGPREALSRLQELCHGWLRPEMRTKEQILELLVLEQFLTILPQEIQSRVQELHPESGEEAVTLVEDMQRELGRLRQQVTNHGRGTEVLLEEPLPLETARESPSFKLEPMETERSPGPRLQELLGPSPQRDPQAVKERALSAPWLSLFPPEGNMEDKEMTGPQLPESLEDVAMYISQEEWGHQDPSKRALSRDTVQESYENVDSLESHIPSQEVPGTQVGQGGKLWDPSVQSCKEGLSPRGPAPGEEKFENLEGVPSVCSENIHPQVLLPDQARGEVPWSPELGRPHDRSQGDWAPPPEGGMEQALAGASSGRELGRPKELQPKKLHLCPLCGKNFSNNSNLIRHQRIHAAERLCMGVDCTEIFGGNPRFLSLHRAHLGEEAHKCLECGKCFSQNTHLTRHQRTHTGEKPYQCNICGKCFSCNSNLHRHQRTHTGEKPYKCPECGEIFAHSSNLLRHQRIHTGERPYKCPECGKSFSRSSHLVIHERTHERERLYPFSECGEAVSDSTPFLTNHGAHKAEKKLFECLTCGKSFRQGMHLTRHQRTHTGEKPYKCTLCGENFSHRSNLIRHQRIHTGEKPYTCHECGDSFSHSSNRIRHLRTHTGERPYKCSECGESFSRSSRLMSHQRTHTG
+>DECOY_sp|O14978|ZN263_HUMAN Zinc finger protein 263 OS=Homo sapiens OX=9606 GN=ZNF263 PE=1 SV=2
+GTHTRQHSMLRSSRSFSEGCESCKYPREGTHTRLHRIRNSSHSFSDGCEHCTYPKEGTHIRQHRILNSRHSFNEGCLTCKYPKEGTHTRQHRTLHMGQRFSKGCTLCEFLKKEAKHAGHNTLFPTSDSVAEGCESFPYLREREHTREHIVLHSSRSFSKGCEPCKYPREGTHIRQHRLLNSSHAFIEGCEPCKYPKEGTHTRQHRHLNSNCSFCKGCINCQYPKEGTHTRQHRTLHTNQSFCKGCELCKHAEEGLHARHLSLFRPNGGFIETCDVGMCLREAAHIRQHRILNSNNSFNKGCLPCLHLKKPQLEKPRGLERGSSAGALAQEMGGEPPPAWDGQSRDHPRGLEPSWPVEGRAQDPLLVQPHINESCVSPVGELNEFKEEGPAPGRPSLGEKCSQVSPDWLKGGQGVQTGPVEQSPIHSELSDVNEYSEQVTDRSLARKSPDQHGWEEQSIYMAVDELSEPLQPGTMEKDEMNGEPPFLSLWPASLAREKVAQPDRQPSPGLLEQLRPGPSRETEMPELKFSPSERATELPLPEELLVETGRGHNTVQQRLRGLERQMDEVLTVAEEGSEPHLEQVRSQIEQPLITLFQELVLLELIQEKTRMEPRLWGHCLEQLRSLAERPGAAEQFRFRRFRLHSAEPSPGPDPPPLEQSWACDEELKVILLGEREQSGPGSAM
+>sp|Q14586|ZN267_HUMAN Zinc finger protein 267 OS=Homo sapiens OX=9606 GN=ZNF267 PE=1 SV=3
+MGLLTFRDVAVEFSLEEWEHLEPAQKNLYQDVMLENYRNLVSLGLVVSKPDLITFLEQRKEPWNVKSEETVAIQPDVFSHYNKDLLTEHCTEASFQKVISRRHGSCDLENLHLRKRWKREECEGHNGCYDEKTFKYDQFDESSVESLFHQQILSSCAKSYNFDQYRKVFTHSSLLNQQEEIDIWGKHHIYDKTSVLFRQVSTLNSYRNVFIGEKNYHCNNSEKTLNQSSSPKNHQENYFLEKQYKCKEFEEVFLQSMHGQEKQEQSYKCNKCVEVCTQSLKHIQHQTIHIRENSYSYNKYDKDLSQSSNLRKQIIHNEEKPYKCEKCGDSLNHSLHLTQHQIIPTEEKPCKWKECGKVFNLNCSLYLTKQQQIDTGENLYKCKACSKSFTRSSNLIVHQRIHTGEKPYKCKECGKAFRCSSYLTKHKRIHTGEKPYKCKECGKAFNRSSCLTQHQTTHTGEKLYKCKVCSKSYARSSNLIMHQRVHTGEKPYKCKECGKVFSRSSCLTQHRKIHTGENLYKCKVCAKPFTCFSNLIVHERIHTGEKPYKCKECGKAFPYSSHLIRHHRIHTGEKPYKCKACSKSFSDSSGLTVHRRTHTGEKPYTCKECGKAFSYSSDVIQHRRIHTGQRPYKCEECGKAFNYRSYLTTHQRSHTGERPYKCEECGKAFNSRSYLTTHRRRHTGERPYKCDECGKAFSYRSYLTTHRRSHSGERPYKCEECGKAFNSRSYLIAHQRSHTREKL
+>DECOY_sp|Q14586|ZN267_HUMAN Zinc finger protein 267 OS=Homo sapiens OX=9606 GN=ZNF267 PE=1 SV=3
+LKERTHSRQHAILYSRSNFAKGCEECKYPREGSHSRRHTTLYSRYSFAKGCEDCKYPREGTHRRRHTTLYSRSNFAKGCEECKYPREGTHSRQHTTLYSRYNFAKGCEECKYPRQGTHIRRHQIVDSSYSFAKGCEKCTYPKEGTHTRRHVTLGSSDSFSKSCAKCKYPKEGTHIRHHRILHSSYPFAKGCEKCKYPKEGTHIREHVILNSFCTFPKACVKCKYLNEGTHIKRHQTLCSSRSFVKGCEKCKYPKEGTHVRQHMILNSSRAYSKSCVKCKYLKEGTHTTQHQTLCSSRNFAKGCEKCKYPKEGTHIRKHKTLYSSCRFAKGCEKCKYPKEGTHIRQHVILNSSRTFSKSCAKCKYLNEGTDIQQQKTLYLSCNLNFVKGCEKWKCPKEETPIIQHQTLHLSHNLSDGCKECKYPKEENHIIQKRLNSSQSLDKDYKNYSYSNERIHITQHQIHKLSQTCVEVCKNCKYSQEQKEQGHMSQLFVEEFEKCKYQKELFYNEQHNKPSSSQNLTKESNNCHYNKEGIFVNRYSNLTSVQRFLVSTKDYIHHKGWIDIEEQQNLLSSHTFVKRYQDFNYSKACSSLIQQHFLSEVSSEDFQDYKFTKEDYCGNHGECEERKWRKRLHLNELDCSGHRRSIVKQFSAETCHETLLDKNYHSFVDPQIAVTEESKVNWPEKRQELFTILDPKSVVLGLSVLNRYNELMVDQYLNKQAPELHEWEELSFEVAVDRFTLLGM
+>sp|Q14587|ZN268_HUMAN Zinc finger protein 268 OS=Homo sapiens OX=9606 GN=ZNF268 PE=1 SV=2
+MATRVRTASIWVPPLQERNSSWDRIRKLQGQESILGQGTPGLQPLPGTPRQKQKSRRIEKVLEWLFISQEQPKITKSWGPLSFMDVFVDFTWEEWQLLDPAQKCLYRSVMLENYSNLVSLGYQHTKPDIIFKLEQGEELCMVQAQVPNQTCPNTVWKIDDLMDWHQENKDKLGSTAKSFECTTFGKLCLLSTKYLSRQKPHKCGTHGKSLKYIDFTSDYARNNPNGFQVHGKSFFHSKHEQTVIGIKYCESIESGKTVNKKSQLMCQQMYMGEKPFGCSCCEKAFSSKSYLLVHQQTHAEEKPYGCNECGKDFSSKSYLIVHQRIHTGEKLHECSECRKTFSFHSQLVIHQRIHTGENPYECCECGKVFSRKDQLVSHQKTHSGQKPYVCNECGKAFGLKSQLIIHERIHTGEKPYECNECQKAFNTKSNLMVHQRTHTGEKPYVCSDCGKAFTFKSQLIVHQGIHTGVKPYGCIQCGKGFSLKSQLIVHQRSHTGMKPYVCNECGKAFRSKSYLIIHTRTHTGEKLHECNNCGKAFSFKSQLIIHQRIHTGENPYECHECGKAFSRKYQLISHQRTHAGEKPYECTDCGKAFGLKSQLIIHQRTHTGEKPFECSECQKAFNTKSNLIVHQRTHTGEKPYSCNECGKAFTFKSQLIVHKGVHTGVKPYGCSQCAKTFSLKSQLIVHQRSHTGVKPYGCSECGKAFRSKSYLIIHMRTHTGEKPHECRECGKSFSFNSQLIVHQRIHTGENPYECSECGKAFNRKDQLISHQRTHAGEKPYGCSECGKAFSSKSYLIIHMRTHSGEKPYECNECGKAFIWKSLLIVHERTHAGVNPYKCSQCEKSFSGKLRLLVHQRMHTREKPYECSECGKAFIRNSQLIVHQRTHSGEKPYGCNECGKTFSQKSILSAHQRTHTGEKPCKCTECGKAFCWKSQLIMHQRTHVDDKH
+>DECOY_sp|Q14587|ZN268_HUMAN Zinc finger protein 268 OS=Homo sapiens OX=9606 GN=ZNF268 PE=1 SV=2
+HKDDVHTRQHMILQSKWCFAKGCETCKCPKEGTHTRQHASLISKQSFTKGCENCGYPKEGSHTRQHVILQSNRIFAKGCESCEYPKERTHMRQHVLLRLKGSFSKECQSCKYPNVGAHTREHVILLSKWIFAKGCENCEYPKEGSHTRMHIILYSKSSFAKGCESCGYPKEGAHTRQHSILQDKRNFAKGCESCEYPNEGTHIRQHVILQSNFSFSKGCERCEHPKEGTHTRMHIILYSKSRFAKGCESCGYPKVGTHSRQHVILQSKLSFTKACQSCGYPKVGTHVGKHVILQSKFTFAKGCENCSYPKEGTHTRQHVILNSKTNFAKQCESCEFPKEGTHTRQHIILQSKLGFAKGCDTCEYPKEGAHTRQHSILQYKRSFAKGCEHCEYPNEGTHIRQHIILQSKFSFAKGCNNCEHLKEGTHTRTHIILYSKSRFAKGCENCVYPKMGTHSRQHVILQSKLSFGKGCQICGYPKVGTHIGQHVILQSKFTFAKGCDSCVYPKEGTHTRQHVMLNSKTNFAKQCENCEYPKEGTHIREHIILQSKLGFAKGCENCVYPKQGSHTKQHSVLQDKRSFVKGCECCEYPNEGTHIRQHIVLQSHFSFTKRCESCEHLKEGTHIRQHVILYSKSSFDKGCENCGYPKEEAHTQQHVLLYSKSSFAKECCSCGFPKEGMYMQQCMLQSKKNVTKGSEISECYKIGIVTQEHKSHFFSKGHVQFGNPNNRAYDSTFDIYKLSKGHTGCKHPKQRSLYKTSLLCLKGFTTCEFSKATSGLKDKNEQHWDMLDDIKWVTNPCTQNPVQAQVMCLEEGQELKFIIDPKTHQYGLSVLNSYNELMVSRYLCKQAPDLLQWEEWTFDVFVDMFSLPGWSKTIKPQEQSIFLWELVKEIRRSKQKQRPTGPLPQLGPTGQGLISEQGQLKRIRDWSSNREQLPPVWISATRVRTAM
+>sp|Q96NJ3|ZN285_HUMAN Zinc finger protein 285 OS=Homo sapiens OX=9606 GN=ZNF285 PE=2 SV=2
+MIKFQERVTFKDVAVVFTKEELALLDKAQINLYQDVMLENFRNLMLVRDGIKNNILNLQAKGLSYLSQEVLHCWQIWKQRIRDLTVSQDYIVNLQEECSPHLEDVSLSEEWAGISLQISENENYVVNAIIKNQDITAWQSLTQVLTPESWRKANIMTEPQNSQGRYKGIYMEEKLYRRAQHDDSLSWTSCDHHESQECKGEDPGRHPNCGKNLGMKSTVEKRNAAHVLPQPFPCNNCGVAFADDTDPHVHHSTHLGEKSYKCDQYGKNFSQSQDLIVHCKTHSGKTPYEFHEWPMGCKQSSDLPRYQKVSSGDKPYKCKECGKGFRRSSSLHNHHRVHTGEMPYKCDECGKGFGFRSLLCIHQGVHTGKKPYKCEECGKGFDQSSNLLVHQRVHTGEKPYKCSECGKCFSSSSVLQVHWRFHTGEKPYRCGECGKGFSQCTHLHIHQRVHTGEKPYKCNVCGKDFAYSSVLHTHQRVHTGEKPYKCEVCGKCFSYSSYFHLHQRDHIREKPYKCDECGKGFSRNSDLNVHLRVHTRERPYKCKACGKGFSRNSYLLAHQRVHIDETQYTHCERGKDLLTHQRLHEQRETL
+>DECOY_sp|Q96NJ3|ZN285_HUMAN Zinc finger protein 285 OS=Homo sapiens OX=9606 GN=ZNF285 PE=2 SV=2
+LTERQEHLRQHTLLDKGRECHTYQTEDIHVRQHALLYSNRSFGKGCAKCKYPRERTHVRLHVNLDSNRSFGKGCEDCKYPKERIHDRQHLHFYSSYSFCKGCVECKYPKEGTHVRQHTHLVSSYAFDKGCVNCKYPKEGTHVRQHIHLHTCQSFGKGCEGCRYPKEGTHFRWHVQLVSSSSFCKGCESCKYPKEGTHVRQHVLLNSSQDFGKGCEECKYPKKGTHVGQHICLLSRFGFGKGCEDCKYPMEGTHVRHHNHLSSSRRFGKGCEKCKYPKDGSSVKQYRPLDSSQKCGMPWEHFEYPTKGSHTKCHVILDQSQSFNKGYQDCKYSKEGLHTSHHVHPDTDDAFAVGCNNCPFPQPLVHAANRKEVTSKMGLNKGCNPHRGPDEGKCEQSEHHDCSTWSLSDDHQARRYLKEEMYIGKYRGQSNQPETMINAKRWSEPTLVQTLSQWATIDQNKIIANVVYNENESIQLSIGAWEESLSVDELHPSCEEQLNVIYDQSVTLDRIRQKWIQWCHLVEQSLYSLGKAQLNLINNKIGDRVLMLNRFNELMVDQYLNIQAKDLLALEEKTFVVAVDKFTVREQFKIM
+>sp|Q06730|ZN33A_HUMAN Zinc finger protein 33A OS=Homo sapiens OX=9606 GN=ZNF33A PE=1 SV=3
+MNKVEQKSQESVSFKDVTVGFTQEEWQHLDPSQRALYRDVMLENYSNLVSVGYCVHKPEVIFRLQQGEEPWKQEEEFPSQSFPVWTADHLKERSQENQSKHLWEVVFINNEMLTKEQGDVIGIPFNVDVSSFPSRKMFCQCDSCGMSFNTVSELVISKINYLGKKSDEFNACGKLLLNIKHDETHTQEKNEVLKNRNTLSHHEETLQHEKIQTLEHNFEYSICQETLLEKAVFNTQKRENAEENNCDYNEFGRTLCDSSSLLFHQISPSRDNHYEFSDCEKFLCVKSTLSKPHGVSMKHYDCGESGNNFRRKLCLSHLQKGDKGEKHFECNECGKAFWEKSHLTRHQRVHTGQKPFQCNECEKAFWDKSNLTKHQRSHTGEKPFECNECGKAFSHKSALTLHQRTHTGEKPYQCNACGKTFCQKSDLTKHQRTHTGLKPYECYECGKSFRVTSHLKVHQRTHTGEKPFECLECGKSFSEKSNLTQHQRIHIGDKSYECNACGKTFYHKSLLTRHQIIHTGWKPYECYECGKTFCLKSDLTVHQRTHTGQKPFACPECGKFFSHKSTLSQHYRTHTGEKPYECHECGKIFYNKSYLTKHNRTHTGEKPYECNECGKAFYQKSQLTQHQRIHIGEKPYKCNECGKAFCHKSALIVHQRTHTQEKPYKCNECGKSFCVKSGLIFHERKHTGEKPYECNECGKFFRHKSSLTVHHRAHTGEKSCQCNECGKIFYRKSELAQHQRSHTGEKPYECNTCRKTFSQKSNLIVHQRRHIGENLMNEMDIRNFQPQVSLHNASEYSHCGESPDDILNVQ
+>DECOY_sp|Q06730|ZN33A_HUMAN Zinc finger protein 33A OS=Homo sapiens OX=9606 GN=ZNF33A PE=1 SV=3
+QVNLIDDPSEGCHSYESANHLSVQPQFNRIDMENMLNEGIHRRQHVILNSKQSFTKRCTNCEYPKEGTHSRQHQALESKRYFIKGCENCQCSKEGTHARHHVTLSSKHRFFKGCENCEYPKEGTHKREHFILGSKVCFSKGCENCKYPKEQTHTRQHVILASKHCFAKGCENCKYPKEGIHIRQHQTLQSKQYFAKGCENCEYPKEGTHTRNHKTLYSKNYFIKGCEHCEYPKEGTHTRYHQSLTSKHSFFKGCEPCAFPKQGTHTRQHVTLDSKLCFTKGCEYCEYPKWGTHIIQHRTLLSKHYFTKGCANCEYSKDGIHIRQHQTLNSKESFSKGCELCEFPKEGTHTRQHVKLHSTVRFSKGCEYCEYPKLGTHTRQHKTLDSKQCFTKGCANCQYPKEGTHTRQHLTLASKHSFAKGCENCEFPKEGTHSRQHKTLNSKDWFAKECENCQFPKQGTHVRQHRTLHSKEWFAKGCENCEFHKEGKDGKQLHSLCLKRRFNNGSEGCDYHKMSVGHPKSLTSKVCLFKECDSFEYHNDRSPSIQHFLLSSSDCLTRGFENYDCNNEEANERKQTNFVAKELLTEQCISYEFNHELTQIKEHQLTEEHHSLTNRNKLVENKEQTHTEDHKINLLLKGCANFEDSKKGLYNIKSIVLESVTNFSMGCSDCQCFMKRSPFSSVDVNFPIGIVDGQEKTLMENNIFVVEWLHKSQNEQSREKLHDATWVPFSQSPFEEEQKWPEEGQQLRFIVEPKHVCYGVSVLNSYNELMVDRYLARQSPDLHQWEEQTFGVTVDKFSVSEQSKQEVKNM
+>sp|Q8N895|ZN366_HUMAN Zinc finger protein 366 OS=Homo sapiens OX=9606 GN=ZNF366 PE=1 SV=1
+MQKEMKMIKDEDVHFDLAVKKTPSFPHCLQPVASRGKAPQRHPFPEALRGPFSQFRYEPPPGDLDGFPGVFEGAGSRKRKSMPTKMPYNHPAEEVTLALHSEENKNHGLPNLPLLFPQPPRPKYDSQMIDLCNVGFQFYRSLEHFGGKPVKQEPIKPSAVWPQPTPTPFLPTPYPYYPKVHPGLMFPFFVPSSSPFPFSRHTFLPKQPPEPLLPRKAEPQESEETKQKVERVDVNVQIDDSYYVDVGGSQKRWQCPTCEKSYTSKYNLVTHILGHSGIKPHACTHCGKLFKQLSHLHTHMLTHQGTRPHKCQVCHKAFTQTSHLKRHMMQHSEVKPHNCRVCGRGFAYPSELKAHEAKHASGRENICVECGLDFPTLAQLKRHLTTHRGPIQYNCSECDKTFQYPSQLQNHMMKHKDIRPYICSECGMEFVQPHHLKQHSLTHKGVKEHKCGICGREFTLLANMKRHVLIHTNIRAYQCHLCYKSFVQKQTLKAHMIVHSDVKPFKCKLCGKEFNRMHNLMGHMHLHSDSKPFKCLYCPSKFTLKGNLTRHMKVKHGVMERGLHSQGLGRGRIALAQTAGVLRSLEQEEPFDLSQKRRAKVPVFQSDGESAQGSHCHEEEEEDNCYEVEPYSPGLAPQSQQLCTPEDLSTKSEHAPEVLEEACKEEKEDASKGEWEKRSKGDLGAEGGQERDCAGRDECLSLRAFQSTRRGPSFSDYLYFKHRDESLKELLERKMEKQAVLLGI
+>DECOY_sp|Q8N895|ZN366_HUMAN Zinc finger protein 366 OS=Homo sapiens OX=9606 GN=ZNF366 PE=1 SV=1
+IGLLVAQKEMKRELLEKLSEDRHKFYLYDSFSPGRRTSQFARLSLCEDRGACDREQGGEAGLDGKSRKEWEGKSADEKEEKCAEELVEPAHESKTSLDEPTCLQQSQPALGPSYPEVEYCNDEEEEEHCHSGQASEGDSQFVPVKARRKQSLDFPEEQELSRLVGATQALAIRGRGLGQSHLGREMVGHKVKMHRTLNGKLTFKSPCYLCKFPKSDSHLHMHGMLNHMRNFEKGCLKCKFPKVDSHVIMHAKLTQKQVFSKYCLHCQYARINTHILVHRKMNALLTFERGCIGCKHEKVGKHTLSHQKLHHPQVFEMGCESCIYPRIDKHKMMHNQLQSPYQFTKDCESCNYQIPGRHTTLHRKLQALTPFDLGCEVCINERGSAHKAEHAKLESPYAFGRGCVRCNHPKVESHQMMHRKLHSTQTFAKHCVQCKHPRTGQHTLMHTHLHSLQKFLKGCHTCAHPKIGSHGLIHTVLNYKSTYSKECTPCQWRKQSGGVDVYYSDDIQVNVDVREVKQKTEESEQPEAKRPLLPEPPQKPLFTHRSFPFPSSSPVFFPFMLGPHVKPYYPYPTPLFPTPTPQPWVASPKIPEQKVPKGGFHELSRYFQFGVNCLDIMQSDYKPRPPQPFLLPLNPLGHNKNEESHLALTVEEAPHNYPMKTPMSKRKRSGAGEFVGPFGDLDGPPPEYRFQSFPGRLAEPFPHRQPAKGRSAVPQLCHPFSPTKKVALDFHVDEDKIMKMEKQM
+>sp|Q8NA42|ZN383_HUMAN Zinc finger protein 383 OS=Homo sapiens OX=9606 GN=ZNF383 PE=2 SV=1
+MAEGSVMFSDVSIDFSQEEWDCLDPVQRDLYRDVMLENYGNLVSMGLYTPKPQVISLLEQGKEPWMVGRELTRGLCSDLESMCETKLLSLKKEVYEIELCQREIMGLTKHGLEYSSFGDVLEYRSHLAKQLGYPNGHFSQEIFTPEYMPTFIQQTFLTLHQIINNEDRPYECKKCGKAFSQNSQFIQHQRIHIGEKSYECKECGKFFSCGSHVTRHLKIHTGEKPFECKECGKAFSCSSYLSQHQRIHTGKKPYECKECGKAFSYCSNLIDHQRIHTGEKPYECKVCGKAFTKSSQLFQHARIHTGEKPYECKECGKAFTQSSKLVQHQRIHTGEKPYECKECGKAFSSGSALTNHQRIHTGEKPYDCKECGKAFTQSSQLRQHQRIHAGEKPFECLECGKAFTQNSQLFQHQRIHTDEKPYECNECGKAFNKCSNLTRHLRIHTGEKPYNCKECGKAFSSGSDLIRHQGIHTNK
+>DECOY_sp|Q8NA42|ZN383_HUMAN Zinc finger protein 383 OS=Homo sapiens OX=9606 GN=ZNF383 PE=2 SV=1
+KNTHIGQHRILDSGSSFAKGCEKCNYPKEGTHIRLHRTLNSCKNFAKGCENCEYPKEDTHIRQHQFLQSNQTFAKGCELCEFPKEGAHIRQHQRLQSSQTFAKGCEKCDYPKEGTHIRQHNTLASGSSFAKGCEKCEYPKEGTHIRQHQVLKSSQTFAKGCEKCEYPKEGTHIRAHQFLQSSKTFAKGCVKCEYPKEGTHIRQHDILNSCYSFAKGCEKCEYPKKGTHIRQHQSLYSSCSFAKGCEKCEFPKEGTHIKLHRTVHSGCSFFKGCEKCEYSKEGIHIRQHQIFQSNQSFAKGCKKCEYPRDENNIIQHLTLFTQQIFTPMYEPTFIEQSFHGNPYGLQKALHSRYELVDGFSSYELGHKTLGMIERQCLEIEYVEKKLSLLKTECMSELDSCLGRTLERGVMWPEKGQELLSIVQPKPTYLGMSVLNGYNELMVDRYLDRQVPDLCDWEEQSFDISVDSFMVSGEAM
+>sp|Q8TF68|ZN384_HUMAN Zinc finger protein 384 OS=Homo sapiens OX=9606 GN=ZNF384 PE=1 SV=2
+MEESHFNSNPYFWPSIPTVSGQIENTMFINKMKDQLLPEKGCGLAPPHYPTLLTVPASVSLPSGISMDTESKSDQLTPHSQASVTQNITVVPVPSTGLMTAGVSCSQRWRREGSQSRGPGLVITSPSGSLVTTASSAQTFPISAPMIVSALPPGSQALQVVPDLSKKVASTLTEEGGGGGGGGGSVAPKPPRGRKKKRMLESGLPEMNDPYVLSPEDDDDHQKDGKTYRCRMCSLTFYSKSEMQIHSKSHTETKPHKCPHCSKTFANSSYLAQHIRIHSGAKPYSCNFCEKSFRQLSHLQQHTRIHSKMHTETIKPHKCPHCSKTFANTSYLAQHLRIHSGAKPYNCSYCQKAFRQLSHLQQHTRIHTGDRPYKCAHPGCEKAFTQLSNLQSHRRQHNKDKPFKCHNCHRAYTDAASLEVHLSTHTVKHAKVYTCTICSRAYTSETYLMKHMRKHNPPDLQQQVQAAAAAAAVAQAQAQAQAQAQAQAQAQAQAQASQASQQQQQQQQQQQQQQQQPPPHFQSPGAAPQGGGGGDSNPNPPPQCSFDLTPYKTAEHHKDICLTVTTSTIQVEHLASS
+>DECOY_sp|Q8TF68|ZN384_HUMAN Zinc finger protein 384 OS=Homo sapiens OX=9606 GN=ZNF384 PE=1 SV=2
+SSALHEVQITSTTVTLCIDKHHEATKYPTLDFSCQPPPNPNSDGGGGGQPAAGPSQFHPPPQQQQQQQQQQQQQQQQSAQSAQAQAQAQAQAQAQAQAQAQAQAVAAAAAAAQVQQQLDPPNHKRMHKMLYTESTYARSCITCTYVKAHKVTHTSLHVELSAADTYARHCNHCKFPKDKNHQRRHSQLNSLQTFAKECGPHACKYPRDGTHIRTHQQLHSLQRFAKQCYSCNYPKAGSHIRLHQALYSTNAFTKSCHPCKHPKITETHMKSHIRTHQQLHSLQRFSKECFNCSYPKAGSHIRIHQALYSSNAFTKSCHPCKHPKTETHSKSHIQMESKSYFTLSCMRCRYTKGDKQHDDDDEPSLVYPDNMEPLGSELMRKKKRGRPPKPAVSGGGGGGGGGEETLTSAVKKSLDPVVQLAQSGPPLASVIMPASIPFTQASSATTVLSGSPSTIVLGPGRSQSGERRWRQSCSVGATMLGTSPVPVVTINQTVSAQSHPTLQDSKSETDMSIGSPLSVSAPVTLLTPYHPPALGCGKEPLLQDKMKNIFMTNEIQGSVTPISPWFYPNSNFHSEEM
+>sp|Q494X3|ZN404_HUMAN Zinc finger protein 404 OS=Homo sapiens OX=9606 GN=ZNF404 PE=1 SV=2
+MARVPLTFSDVAIDFSQEEWEYLNSDQRDLYRDVMLENYTNLVSLDFNFTTESNKLSSEKRNYEVNAYHQETWKRNKTFNLMRFIFRTDPQYTIEFGRQQRPKVGCFSQMIFKKHKSLPLHKRNNTREKSYECKEYKKGFRKYLHLTEHLRDHTGVIPYECNECGKAFVVFQHFIRHRKIHTDLKPYECNGCEKAFRFYSQLIQHQIIHTGMKPYECKQCGKAFRRHSHLTEHQKIHVGLKPFECKECGETFRLYRHMCLHQKIHHGVKPYKCKECGKAFGHRSSLYQHKKIHSGEKPYKCEQCEKAFVRSYLLVEHQRSHTGEKPHECMECGKAFGKGSSLLKHKRIHSSEKLYDCKDCGKAFCRGSQLTQHQRIHTGEKPHECKECGKTFKLHSYLIQHQIIHTDLKPYECKQCGKAFSRVGDLKTHQSIHAGEKPYECKECGKTFRLNSQLIYHQTIHTGLKPYVCKECKKAFRSISGLSQHKRIHTGEKPYECKECDKAFNRSDRLTQHETIHTGVKPQKCKECGKAFSHCYQLSQHQRFHHGERLLM
+>DECOY_sp|Q494X3|ZN404_HUMAN Zinc finger protein 404 OS=Homo sapiens OX=9606 GN=ZNF404 PE=1 SV=2
+MLLREGHHFRQHQSLQYCHSFAKGCEKCKQPKVGTHITEHQTLRDSRNFAKDCEKCEYPKEGTHIRKHQSLGSISRFAKKCEKCVYPKLGTHITQHYILQSNLRFTKGCEKCEYPKEGAHISQHTKLDGVRSFAKGCQKCEYPKLDTHIIQHQILYSHLKFTKGCEKCEHPKEGTHIRQHQTLQSGRCFAKGCDKCDYLKESSHIRKHKLLSSGKGFAKGCEMCEHPKEGTHSRQHEVLLYSRVFAKECQECKYPKEGSHIKKHQYLSSRHGFAKGCEKCKYPKVGHHIKQHLCMHRYLRFTEGCEKCEFPKLGVHIKQHETLHSHRRFAKGCQKCEYPKMGTHIIQHQILQSYFRFAKECGNCEYPKLDTHIKRHRIFHQFVVFAKGCENCEYPIVGTHDRLHETLHLYKRFGKKYEKCEYSKERTNNRKHLPLSKHKKFIMQSFCGVKPRQQRGFEITYQPDTRFIFRMLNFTKNRKWTEQHYANVEYNRKESSLKNSETTFNFDLSVLNTYNELMVDRYLDRQDSNLYEWEEQSFDIAVDSFTLPVRAM
+>sp|Q9C0G0|ZN407_HUMAN Zinc finger protein 407 OS=Homo sapiens OX=9606 GN=ZNF407 PE=1 SV=2
+MMDSENKPENDEDEKINKEAQDLTKLSSHNEDGGPVSDVIASFPENSMGKRGFSESSNSDSVVIGEDRNKHASKRRKLDEAEPLKSGKQGICRLETSESSVTEGGIALDETGKETFLSDCTVGGTCLPNALSPSCNFSTIDVVSLKTDTEKTSAQEMVSLDLERESPFPPKEISVSCTIGNVDTVLKCSICGHLFSSCSDLEKHAESHMQQPKEHTCCHCSHKAESSSALHMHIKQAHGPQKVFSCDLCGFQCSEENLLNAHYLGKTHLRRQNLAARGGFVQILTKQPFPKKSRTMATKNVHSKPRTSKSIAKNSDSKGLRNVGSTFKDFRGSISKQSGSSSELLVEMMPSRNTLSQEVEIVEEHVTSLGLAQNPENQSRKLDTLVTSEGLLEKLESTKNTLQAAHGNSVTSRPRPERNILVLGNSFRRRSSTFTLKGQAKKRFNLLGIKRGTSETQRMYMKHLRTQMKTHDAESVLKHLEACSSVQRVCVTTSETQEAEQGQGSARPPDSGLHSLTVKPASGSQTLCACTDCGQVATNRTDLEIHVKRCHAREMKFYCRTCDFSSMSRRDLDEHLHSNQHQQTASVLSCQCCSFISLDEINLRDHMKEKHNMHFLCTPCNLFFLSEKDVEEHKATEKHINSLVQPKTLQSSNSDLVLQTLPLSTLESENAKESMDDSGKASQEEPLKSRVSHGNEVRHSSKPQFQCKKCFYKTRSSTVLTRHIKLRHGQDYHFLCKACNLYSLSKEGMEKHIKRSKHLENAKKNNIGLSFEECIERVCIGANDKKEEFDVSGNGRIEGHIGVQLQEHSYLEKGMLASEELSQSGGSTKDDELASTTTPKRGRPKGNISRTCSHCGLLASSITNLTVHIRRKHSHQYSYLCKVCKYYTVTKGDMERHCATKKHKGRVEIEASGKHSSDIIVGPEGGSLEAGKKNAGSAVTMSDEHANKPAESPTSVLEKPDRGNSIEAEVENVFHSLDGEVNSHLLDKKEQISSEPEDFAQPGDVYSQRDVTGTGENKCLHCEFSAHSSASLELHVKRKHTKEFEFYCMACDYYAVTRREMTRHAATEKHKMKRQSYLNSANVEAGSADMSKNIIMPEEEHQQNSEEFQIISGQPSDTLKSRNAADCSILNENTNLDMSKVLCAADSVEVETEEESNFNEDHSFCETFQQAPVKDKVRKPEEMMSLTMSSNYGSPSRFQNENSGSSALNCETAKKNHEISNDAGELRVHCEGEGGNAGDGGGVVPHRHLCPVTLDGERSAESPVLVVTRITREQGNLESGGQNRVARGHGLEDLKGVQEDPVLGNKEILMNSQHETEFILEEDGPASDSTVESSDVYETIISIDDKGQAMYSFGRFDSSIIRIKNPEDGELIDQSEEGLIATGVRISELPLKDCAQGVKKKKSEGSSIGESTRIRCDDCGFLADGLSGLNVHIAMKHPTKEKHFHCLLCGKSFYTESNLHQHLASAGHMRNEQASVEELPEGGATFKCVKCTEPFDSEQNLFLHIKGQHEELLREVNKYIVEDTEQINREREENQGNVCKYCGKMCRSSNSMAFLAHIRTHTGSKPFKCKICHFATAQLGDARNHVKRHLGMREYKCHVCGVAFVMKKHLNTHLLGKHGVGTPKERKFTCHLCDRSFTEKWALNNHMKLHTGEKPFKCTWPTCHYSFLTASAMKDHYRTHTGEKSFLCDLCGFAGGTRHALTKHRRQHTGEKPFKCDECNFASTTQSHLTRHKRVHTGEKPYRCPWCDYRSNCAENIRKHILHTGKHEGVKMYNCPKCDYGTNVPVEFRNHLKEQHPDIENPDLAYLHAGIVSKSYECRLKGQGATFVETDSPFTAAALAEEPLVKEKPLRSSRRPAPPPEQVQQVIIFQGYDGEFALDPSVEETAAATLQTLAMAGQVARVVHITEDGQVIATSQSGAHVGSVVPGPILPEQLADGATQVVVVGGSMEGHGMDESLSPGGAVIQQVTKQEILNLSEAGVAPPEASSALDALLCAVTELGEVEGRAGLEEQGRPGAKDVLIQLPGQEVSHVAADPEAPEIQMFPQAQESPAAVEVLTQVVHPSAAMASQERAQVAFKKMVQGVLQFAVCDTAAAGQLVKDGVTQVVVSEEGAVHMVAGEGAQIIMQEAQGEHMDLVESDGEISQIIVTEELVQAMVQESSGGFSEGTTHYILTELPPGVQDEPGLYSHTVLETADSQELLQAGATLGTEAGAPSRAEQLASVVIYTQEGSSAAAAIQSQRESSELQEA
+>DECOY_sp|Q9C0G0|ZN407_HUMAN Zinc finger protein 407 OS=Homo sapiens OX=9606 GN=ZNF407 PE=1 SV=2
+AEQLESSERQSQIAAAASSGEQTYIVVSALQEARSPAGAETGLTAGAQLLEQSDATELVTHSYLGPEDQVGPPLETLIYHTTGESFGGSSEQVMAQVLEETVIIQSIEGDSEVLDMHEGQAEQMIIQAGEGAVMHVAGEESVVVQTVGDKVLQGAAATDCVAFQLVGQVMKKFAVQAREQSAMAASPHVVQTLVEVAAPSEQAQPFMQIEPAEPDAAVHSVEQGPLQILVDKAGPRGQEELGARGEVEGLETVACLLADLASSAEPPAVGAESLNLIEQKTVQQIVAGGPSLSEDMGHGEMSGGVVVVQTAGDALQEPLIPGPVVSGVHAGSQSTAIVQGDETIHVVRAVQGAMALTQLTAAATEEVSPDLAFEGDYGQFIIVQQVQEPPPAPRRSSRLPKEKVLPEEALAAATFPSDTEVFTAGQGKLRCEYSKSVIGAHLYALDPNEIDPHQEKLHNRFEVPVNTGYDCKPCNYMKVGEHKGTHLIHKRINEACNSRYDCWPCRYPKEGTHVRKHRTLHSQTTSAFNCEDCKFPKEGTHQRRHKTLAHRTGGAFGCLDCLFSKEGTHTRYHDKMASATLFSYHCTPWTCKFPKEGTHLKMHNNLAWKETFSRDCLHCTFKREKPTGVGHKGLLHTNLHKKMVFAVGCVHCKYERMGLHRKVHNRADGLQATAFHCIKCKFPKSGTHTRIHALFAMSNSSRCMKGCYKCVNGQNEERERNIQETDEVIYKNVERLLEEHQGKIHLFLNQESDFPETCKVCKFTAGGEPLEEVSAQENRMHGASALHQHLNSETYFSKGCLLCHFHKEKTPHKMAIHVNLGSLGDALFGCDDCRIRTSEGISSGESKKKKVGQACDKLPLESIRVGTAILGEESQDILEGDEPNKIRIISSDFRGFSYMAQGKDDISIITEYVDSSEVTSDSAPGDEELIFETEHQSNMLIEKNGLVPDEQVGKLDELGHGRAVRNQGGSELNGQERTIRTVVLVPSEASREGDLTVPCLHRHPVVGGGDGANGGEGECHVRLEGADNSIEHNKKATECNLASSGSNENQFRSPSGYNSSMTLSMMEEPKRVKDKVPAQQFTECFSHDENFNSEEETEVEVSDAACLVKSMDLNTNENLISCDAANRSKLTDSPQGSIIQFEESNQQHEEEPMIINKSMDASGAEVNASNLYSQRKMKHKETAAHRTMERRTVAYYDCAMCYFEFEKTHKRKVHLELSASSHASFECHLCKNEGTGTVDRQSYVDGPQAFDEPESSIQEKKDLLHSNVEGDLSHFVNEVEAEISNGRDPKELVSTPSEAPKNAHEDSMTVASGANKKGAELSGGEPGVIIDSSHKGSAEIEVRGKHKKTACHREMDGKTVTYYKCVKCLYSYQHSHKRRIHVTLNTISSALLGCHSCTRSINGKPRGRKPTTTSALEDDKTSGGSQSLEESALMGKELYSHEQLQVGIHGEIRGNGSVDFEEKKDNAGICVREICEEFSLGINNKKANELHKSRKIHKEMGEKSLSYLNCAKCLFHYDQGHRLKIHRTLVTSSRTKYFCKKCQFQPKSSHRVENGHSVRSKLPEEQSAKGSDDMSEKANESELTSLPLTQLVLDSNSSQLTKPQVLSNIHKETAKHEEVDKESLFFLNCPTCLFHMNHKEKMHDRLNIEDLSIFSCCQCSLVSATQQHQNSHLHEDLDRRSMSSFDCTRCYFKMERAHCRKVHIELDTRNTAVQGCDTCACLTQSGSAPKVTLSHLGSDPPRASGQGQEAEQTESTTVCVRQVSSCAELHKLVSEADHTKMQTRLHKMYMRQTESTGRKIGLLNFRKKAQGKLTFTSSRRRFSNGLVLINREPRPRSTVSNGHAAQLTNKTSELKELLGESTVLTDLKRSQNEPNQALGLSTVHEEVIEVEQSLTNRSPMMEVLLESSSGSQKSISGRFDKFTSGVNRLGKSDSNKAISKSTRPKSHVNKTAMTRSKKPFPQKTLIQVFGGRAALNQRRLHTKGLYHANLLNEESCQFGCLDCSFVKQPGHAQKIHMHLASSSEAKHSCHCCTHEKPQQMHSEAHKELDSCSSFLHGCISCKLVTDVNGITCSVSIEKPPFPSERELDLSVMEQASTKETDTKLSVVDITSFNCSPSLANPLCTGGVTCDSLFTEKGTEDLAIGGETVSSESTELRCIGQKGSKLPEAEDLKRRKSAHKNRDEGIVVSDSNSSESFGRKGMSNEPFSAIVDSVPGGDENHSSLKTLDQAEKNIKEDEDNEPKNESDMM
+>sp|Q86VK4|ZN410_HUMAN Zinc finger protein 410 OS=Homo sapiens OX=9606 GN=ZNF410 PE=1 SV=2
+MLSDELESKPELLVQFVQNTSIPLGQGLVESEAKDITCLSLLPVTEASECSRLMLPDDTTNHSNSSKEVPSSAVLRSLRVNVGPDGEETRAQTVQKSPEFLSTSESSSLLQDLQPSDSTSFILLNLTRAGLGSSAEHLVFVQDEAEDSGNDFLSSESTDSSIPWFLRVQELAHDSLIAATRAQLAKNAKTSSNGENVHLGSGDGQSKDSGPLPQVEKKLKCTVEGCDRTFVWPAHFKYHLKTHRNDRSFICPAEGCGKSFYVLQRLKVHMRTHNGEKPFMCHESGCGKQFTTAGNLKNHRRIHTGEKPFLCEAQGCGRSFAEYSSLRKHLVVHSGEKPHQCQVCGKTFSQSGSRNVHMRKHHLQLGAAGSQEQEQTAEPLMGSSLLEEASVPSKNLVSMNSQPSLGGESLNLPNTNSILGVDDEVLAEGSPRSLSSVPDVTHHLVTMQSGRQSYEVSVLTAVNPQELLNQGDLTERRT
+>DECOY_sp|Q86VK4|ZN410_HUMAN Zinc finger protein 410 OS=Homo sapiens OX=9606 GN=ZNF410 PE=1 SV=2
+TRRETLDGQNLLEQPNVATLVSVEYSQRGSQMTVLHHTVDPVSSLSRPSGEALVEDDVGLISNTNPLNLSEGGLSPQSNMSVLNKSPVSAEELLSSGMLPEATQEQEQSGAAGLQLHHKRMHVNRSGSQSFTKGCVQCQHPKEGSHVVLHKRLSSYEAFSRGCGQAECLFPKEGTHIRRHNKLNGATTFQKGCGSEHCMFPKEGNHTRMHVKLRQLVYFSKGCGEAPCIFSRDNRHTKLHYKFHAPWVFTRDCGEVTCKLKKEVQPLPGSDKSQGDGSGLHVNEGNSSTKANKALQARTAAILSDHALEQVRLFWPISSDTSESSLFDNGSDEAEDQVFVLHEASSGLGARTLNLLIFSTSDSPQLDQLLSSSESTSLFEPSKQVTQARTEEGDPGVNVRLSRLVASSPVEKSSNSHNTTDDPLMLRSCESAETVPLLSLCTIDKAESEVLGQGLPISTNQVFQVLLEPKSELEDSLM
+>sp|Q96B54|ZN428_HUMAN Zinc finger protein 428 OS=Homo sapiens OX=9606 GN=ZNF428 PE=1 SV=2
+MTETREPAETGGYASLEEDDEDLSPGPEHSSDSEYTLSEPDSEEEEDEEEEEEETTDDPEYDPGYKVKQRLGGGRGGPSRRAPRAAQPPAQPCQLCGRSPLGEAPPGTPPCRLCCPATAPQEAPAPEGRALGEEEEEPPRAGEGRPAGREEEEEEEEEGTYHCTECEDSFDNLGELHGHFMLHARGEV
+>DECOY_sp|Q96B54|ZN428_HUMAN Zinc finger protein 428 OS=Homo sapiens OX=9606 GN=ZNF428 PE=1 SV=2
+VEGRAHLMFHGHLEGLNDFSDECETCHYTGEEEEEEEEERGAPRGEGARPPEEEEEGLARGEPAPAEQPATAPCCLRCPPTGPPAEGLPSRGCLQCPQAPPQAARPARRSPGGRGGGLRQKVKYGPDYEPDDTTEEEEEEEDEEEESDPESLTYESDSSHEPGPSLDEDDEELSAYGGTEAPERTETM
+>sp|Q9H8G1|ZN430_HUMAN Zinc finger protein 430 OS=Homo sapiens OX=9606 GN=ZNF430 PE=1 SV=3
+MENLKSGVYPLKEASGCPGADRNLLVYSFYEKGPLTFRDVAIEFSLEEWQCLDTAQQDLYRKVMLENYRNLVFLAGIAVSKPDLITCLEQGKEPWNMKRHAMVDQPPVTYSHFAQDLWPEQGIKDSFQEVILRRYGKCGHEDLQLRTGCKSVDECNLHKECYDELNQCLTTTQSEIFQYDKYVNVFYKFSNPNIQKIRHTGKKPFKCKKCDKSFCMLLHLTQHKRIHIRENSYQCEECGKVFNWFSTLTRHRRIHTGEKPYKCEQCGKAFKQSSTLTTHKIIHTGEKPYRCEECGKTFNRSSHLTTHKRIHTGEKPYRCEECGRAFNRSSHLTTHKIIHTGEKPYKCEECGKAFNQSSTLTTHKIIHAGEKPYKCEECGKAFYRFSYLTKHKIIHTGEKFYKCEECGKGFNWSSTLTKHKRIHTGEKPYKCEQCGKAFNESSNLTAHKIIHTGEKPYKCEECGKAFNRSPKLTAHKVIHSGEKPYKCEECGKAFNQFSNLTKHKITHIGDTSYKYLECDKAFSQSSTLTKHKVIHTGEKPYNCEEYGKAFNQSSNLIEQSNSYWRETLQM
+>DECOY_sp|Q9H8G1|ZN430_HUMAN Zinc finger protein 430 OS=Homo sapiens OX=9606 GN=ZNF430 PE=1 SV=3
+MQLTERWYSNSQEILNSSQNFAKGYEECNYPKEGTHIVKHKTLTSSQSFAKDCELYKYSTDGIHTIKHKTLNSFQNFAKGCEECKYPKEGSHIVKHATLKPSRNFAKGCEECKYPKEGTHIIKHATLNSSENFAKGCQECKYPKEGTHIRKHKTLTSSWNFGKGCEECKYFKEGTHIIKHKTLYSFRYFAKGCEECKYPKEGAHIIKHTTLTSSQNFAKGCEECKYPKEGTHIIKHTTLHSSRNFARGCEECRYPKEGTHIRKHTTLHSSRNFTKGCEECRYPKEGTHIIKHTTLTSSQKFAKGCQECKYPKEGTHIRRHRTLTSFWNFVKGCEECQYSNERIHIRKHQTLHLLMCFSKDCKKCKFPKKGTHRIKQINPNSFKYFVNVYKDYQFIESQTTTLCQNLEDYCEKHLNCEDVSKCGTRLQLDEHGCKGYRRLIVEQFSDKIGQEPWLDQAFHSYTVPPQDVMAHRKMNWPEKGQELCTILDPKSVAIGALFVLNRYNELMVKRYLDQQATDLCQWEELSFEIAVDRFTLPGKEYFSYVLLNRDAGPCGSAEKLPYVGSKLNEM
+>sp|O94892|ZN432_HUMAN Zinc finger protein 432 OS=Homo sapiens OX=9606 GN=ZNF432 PE=1 SV=1
+MINAQELLTLEDVTVEFTWEEWQLLGPFQKDLYRDVMLEIYSNLLSMGYQVSKPDALSKLERGEEPWTMEDERHSRICPENNEVDDHLQDHLENQRMLKSVEQYHEHNAFGNTASQTKSLCLFRENHDTFELYIKTLKSNLSLVNQNKSCEINNSTKFSGDGKSFLHGNYEELYSAAKFSVSTKANSTKSQVSKHQRTHEIEKNHVCSECGKAFVKKSQLTDHERVHTGEKPYGCTLCAKVFSRKSRLNEHQRIHKREKSFICSECGKVFTMKSRLIEHQRTHTGEKPYICNECGKGFPGKRNLIVHQRNHTGEKSYICSECGKGFTGKSMLIIHQRTHTGEKPYICSECGKGFTTKHYVIIHQRNHTGEKPYICNECGKGFTMKSRMIEHQRTHTGEKPYICSECGKGFPRKSNLIVHQRNHTVEKSYLCSECGKGFTVKSMLIIHQRTHTGEKPYTCSECGKGFPLKSRLIVHQRTHTGEKPYRCSECGKGFIVNSGLMLHQRTHTGEKPYICNECGKGFAFKSNLVVHQRTHTGEKPFMCSECGKGFTMKRYLIVHQQIHTEEKSCICSECGRGFAKETELALHKQVHTGEKPYGCNECGKGFTMKSRLIVHQRTHTGEKPFVCSECRKAFSSKRNLIVHQRTHNGNKP
+>DECOY_sp|O94892|ZN432_HUMAN Zinc finger protein 432 OS=Homo sapiens OX=9606 GN=ZNF432 PE=1 SV=1
+PKNGNHTRQHVILNRKSSFAKRCESCVFPKEGTHTRQHVILRSKMTFGKGCENCGYPKEGTHVQKHLALETEKAFGRGCESCICSKEETHIQQHVILYRKMTFGKGCESCMFPKEGTHTRQHVVLNSKFAFGKGCENCIYPKEGTHTRQHLMLGSNVIFGKGCESCRYPKEGTHTRQHVILRSKLPFGKGCESCTYPKEGTHTRQHIILMSKVTFGKGCESCLYSKEVTHNRQHVILNSKRPFGKGCESCIYPKEGTHTRQHEIMRSKMTFGKGCENCIYPKEGTHNRQHIIVYHKTTFGKGCESCIYPKEGTHTRQHIILMSKGTFGKGCESCIYSKEGTHNRQHVILNRKGPFGKGCENCIYPKEGTHTRQHEILRSKMTFVKGCESCIFSKERKHIRQHENLRSKRSFVKACLTCGYPKEGTHVREHDTLQSKKVFAKGCESCVHNKEIEHTRQHKSVQSKTSNAKTSVSFKAASYLEEYNGHLFSKGDGSFKTSNNIECSKNQNVLSLNSKLTKIYLEFTDHNERFLCLSKTQSATNGFANHEHYQEVSKLMRQNELHDQLHDDVENNEPCIRSHREDEMTWPEEGRELKSLADPKSVQYGMSLLNSYIELMVDRYLDKQFPGLLQWEEWTFEVTVDELTLLEQANIM
+>sp|Q7Z4V0|ZN438_HUMAN Zinc finger protein 438 OS=Homo sapiens OX=9606 GN=ZNF438 PE=1 SV=1
+MQNSVSVPPKDEGESNIPSGTIQSRKGLQNKSQFRTIAPKIVPKVLTSRMLPCHSPSRSDQVNLGPSINSKLLGMSTQNYALMQVAGQEGTFSLVALPHVASAQPIQKPRMSLPENLKLPIPRYQPPRNSKASRKKPILIFPKSGCSKAPAQTQMCPQMSPSPPHHPELLYKPSPFEEVPSLEQAPASISTAALTNGSDHGDLRPPVTNTHGSLNPPATPASSTPEEPAKQDLTALSGKAHFVSKITSSKPSAVASEKFKEQVDLAKTMTNLSPTILGNAVQLISSVPKGKLPIPPYSRMKTMEVYKIKSDANIAGFSLPGPKADCDKIPSTTEGFNAATKVASRLPVPQVSQQSACESAFCPPTKLDLNHKTKLNSGAAKRKGRKRKVPDEILAFQGKRRKYIINKCRDGKERVKNDPQEFRDQKLGTLKKYRSIMPKPIMVIPTLASLASPTTLQSQMLGGLGQDVLLNNSLTPKYLGCKQDNSSSPKPSSVFRNGFSGIKKPWHRCHVCNHHFQFKQHLRDHMNTHTNRRPYSCRICRKSYVRPGSLSTHMKLHHGENRLKKLMCCEFCAKVFGHIRVYFGHLKEVHRVVISTEPAPSELQPGDIPKNRDMSVRGMEGSLERENKSNLEEDFLLNQADEVKLQIKCGRCQITAQSFAEIKFHLLDVHGEEIEGRLQEGTFPGSKGTQEELVQHASPDWKRHPERGKPEKVHSSSEESHACPRLKRQLHLHQNGVEMLMENEGPQSGTNKPRETCQGPECPGLHTFLLWSHSGFNCLLCAEMLGRKEDLLHHWKHQHNCEDPSKLWAILNTVSNQGVIELSSEAEK
+>DECOY_sp|Q7Z4V0|ZN438_HUMAN Zinc finger protein 438 OS=Homo sapiens OX=9606 GN=ZNF438 PE=1 SV=1
+KEAESSLEIVGQNSVTNLIAWLKSPDECNHQHKWHHLLDEKRGLMEACLLCNFGSHSWLLFTHLGPCEPGQCTERPKNTGSQPGENEMLMEVGNQHLHLQRKLRPCAHSEESSSHVKEPKGREPHRKWDPSAHQVLEEQTGKSGPFTGEQLRGEIEEGHVDLLHFKIEAFSQATIQCRGCKIQLKVEDAQNLLFDEELNSKNERELSGEMGRVSMDRNKPIDGPQLESPAPETSIVVRHVEKLHGFYVRIHGFVKACFECCMLKKLRNEGHHLKMHTSLSGPRVYSKRCIRCSYPRRNTHTNMHDRLHQKFQFHHNCVHCRHWPKKIGSFGNRFVSSPKPSSSNDQKCGLYKPTLSNNLLVDQGLGGLMQSQLTTPSALSALTPIVMIPKPMISRYKKLTGLKQDRFEQPDNKVREKGDRCKNIIYKRRKGQFALIEDPVKRKRGKRKAAGSNLKTKHNLDLKTPPCFASECASQQSVQPVPLRSAVKTAANFGETTSPIKDCDAKPGPLSFGAINADSKIKYVEMTKMRSYPPIPLKGKPVSSILQVANGLITPSLNTMTKALDVQEKFKESAVASPKSSTIKSVFHAKGSLATLDQKAPEEPTSSAPTAPPNLSGHTNTVPPRLDGHDSGNTLAATSISAPAQELSPVEEFPSPKYLLEPHHPPSPSMQPCMQTQAPAKSCGSKPFILIPKKRSAKSNRPPQYRPIPLKLNEPLSMRPKQIPQASAVHPLAVLSFTGEQGAVQMLAYNQTSMGLLKSNISPGLNVQDSRSPSHCPLMRSTLVKPVIKPAITRFQSKNQLGKRSQITGSPINSEGEDKPPVSVSNQM
+>sp|Q8IYI8|ZN440_HUMAN Zinc finger protein 440 OS=Homo sapiens OX=9606 GN=ZNF440 PE=1 SV=1
+MDPVAFKDVAVNFTQEEWALLDISQRKLYREVMLETFRNLTSLGKRWKDQNIEYEHQNPRRNFRSLIEEKVNEIKDDSHCGETFTPVPDDRLNFQEKKASPEVKSCESFVCGEVGLGNSSFNMNIRGDIGHKAYEYQEYGPKPCKCQQPKKAFRYRPSFRTQERDHTGEKPNACKVCGKTFISHSSVRRHMVMHSGDGPYKCKFCGKAFHCLRLYLIHERIHTGEKPCECKQCGKSFSYSATHRIHKRTHTGEKPYEYQECGKAFHSPRSYRRHERIHMGEKAYQCKECGKAFTCPRYVRIHERTHSRKNLYECKQCGKALSSLTSFQTHVRLHSGERPYECKICGKDFCSVNSFQRHEKIHSGEKPYKCKQCGKAFPHSSSLRYHERTHTGEKPYECKQCGKAFRSASHLRVHGRTHTGEKPYECKECGKAFRYVNNLQSHERTQTHIRIHSGERRYKCKICGKGFYCPKSFQRHEKTHTGEKLYECKQRSVVPSVVPVPFDIMKGLTLERSPINASNVGKPSELCQSFECMVGLTLKRNPMSVSNDGKPSDLPHTFEYVVGHTMERSPMHVRNVGNPSDLPRTFEFMKGHKHT
+>DECOY_sp|Q8IYI8|ZN440_HUMAN Zinc finger protein 440 OS=Homo sapiens OX=9606 GN=ZNF440 PE=1 SV=1
+THKHGKMFEFTRPLDSPNGVNRVHMPSREMTHGVVYEFTHPLDSPKGDNSVSMPNRKLTLGVMCEFSQCLESPKGVNSANIPSRELTLGKMIDFPVPVVSPVVSRQKCEYLKEGTHTKEHRQFSKPCYFGKGCIKCKYRREGSHIRIHTQTREHSQLNNVYRFAKGCEKCEYPKEGTHTRGHVRLHSASRFAKGCQKCEYPKEGTHTREHYRLSSSHPFAKGCQKCKYPKEGSHIKEHRQFSNVSCFDKGCIKCEYPREGSHLRVHTQFSTLSSLAKGCQKCEYLNKRSHTREHIRVYRPCTFAKGCEKCQYAKEGMHIREHRRYSRPSHFAKGCEQYEYPKEGTHTRKHIRHTASYSFSKGCQKCECPKEGTHIREHILYLRLCHFAKGCFKCKYPGDGSHMVMHRRVSSHSIFTKGCVKCANPKEGTHDREQTRFSPRYRFAKKPQQCKCPKPGYEQYEYAKHGIDGRINMNFSSNGLGVEGCVFSECSKVEPSAKKEQFNLRDDPVPTFTEGCHSDDKIENVKEEILSRFNRRPNQHEYEINQDKWRKGLSTLNRFTELMVERYLKRQSIDLLAWEEQTFNVAVDKFAVPDM
+>sp|Q9H7R0|ZN442_HUMAN Zinc finger protein 442 OS=Homo sapiens OX=9606 GN=ZNF442 PE=2 SV=1
+MIVFGGEDRSDLFLPDSQTNEERKQYDSVAFEDVAVNFTQEEWALLGPSQKSLYRDVMWETIRNLDCIGMKWEDTNIEDQHRNPRRSLRCHIIERFSESRQPDSTVNEKPPGVDPCKSSVCGEIMGCSFLNCYITFDAGHKPDECQEYGEKPHTHKQCGTAFNYHHSFQTQERPHTGKKRYDCKECGKTFSSSGNLRRHIIVQRGGGPYICKLCGKAFFWPSLFRMHERTHTGEKPYECKQCCKAFPIYSSYLRHERTHTGEKPYECKHCSKAFPDYSSYVRHERTHTGEKPYKCKRCGRAFSVSSSLRIHERTHTGEKPYECKQCGKAFHHLGSFQRHMIRHTGDGPHKCKICGKGFDCPSSLQSHERTHTGEKPYECKQCGKALSHHSSFRSHMIMHTGDGPHKCKVCGKAFIYPSVFQGHERTHTGEKPYECKECGKAFRISSSLRRHETTHTGEKPYKCKCGKAFIDFYSFQNHETTHTGEKPYECKECGKAFSCFTYLSQHRRTHMAEKPYECKTCKKAFSHFGNLKVHERIHTGEKPYECKECRKAFSWLTCLLRHERIHTGKKSYECQQCGKAFTRSRFLRGHEKTHTGEKMHECKECGKALSSLSSLHRHKRTHWRDTL
+>DECOY_sp|Q9H7R0|ZN442_HUMAN Zinc finger protein 442 OS=Homo sapiens OX=9606 GN=ZNF442 PE=2 SV=1
+LTDRWHTRKHRHLSSLSSLAKGCEKCEHMKEGTHTKEHGRLFRSRTFAKGCQQCEYSKKGTHIREHRLLCTLWSFAKRCEKCEYPKEGTHIREHVKLNGFHSFAKKCTKCEYPKEAMHTRRHQSLYTFCSFAKGCEKCEYPKEGTHTTEHNQFSYFDIFAKGCKCKYPKEGTHTTEHRRLSSSIRFAKGCEKCEYPKEGTHTREHGQFVSPYIFAKGCVKCKHPGDGTHMIMHSRFSSHHSLAKGCQKCEYPKEGTHTREHSQLSSPCDFGKGCIKCKHPGDGTHRIMHRQFSGLHHFAKGCQKCEYPKEGTHTREHIRLSSSVSFARGCRKCKYPKEGTHTREHRVYSSYDPFAKSCHKCEYPKEGTHTREHRLYSSYIPFAKCCQKCEYPKEGTHTREHMRFLSPWFFAKGCLKCIYPGGGRQVIIHRRLNGSSSFTKGCEKCDYRKKGTHPREQTQFSHHYNFATGCQKHTHPKEGYEQCEDPKHGADFTIYCNLFSCGMIEGCVSSKCPDVGPPKENVTSDPQRSESFREIIHCRLSRRPNRHQDEINTDEWKMGICDLNRITEWMVDRYLSKQSPGLLAWEEQTFNVAVDEFAVSDYQKREENTQSDPLFLDSRDEGGFVIM
+>sp|Q8N0Y2|ZN444_HUMAN Zinc finger protein 444 OS=Homo sapiens OX=9606 GN=ZNF444 PE=1 SV=1
+MEVAVPVKQEAEGLALDSPWHRFRRFHLGDAPGPREALGLLRALCRDWLRPEVHTKEQMLELLVLEQFLSALPADTQAWVCSRQPQSGEEAVALLEELWGPAASPDGSSATRVPQDVTQGPGATGGKEDSGMIPLAGTAPGAEGPAPGDSQAVRPYKQEPSSPPLAPGLPAFLAAPGTTSCPECGKTSLKPAHLLRHRQSHSGEKPHACPECGKAFRRKEHLRRHRDTHPGSPGSPGPALRPLPAREKPHACCECGKTFYWREHLVRHRKTHSGARPFACWECGKGFGRREHVLRHQRIHGRAAASAQGAVAPGPDGGGPFPPWPLG
+>DECOY_sp|Q8N0Y2|ZN444_HUMAN Zinc finger protein 444 OS=Homo sapiens OX=9606 GN=ZNF444 PE=1 SV=1
+GLPWPPFPGGGDPGPAVAGQASAAARGHIRQHRLVHERRGFGKGCEWCAFPRAGSHTKRHRVLHERWYFTKGCECCAHPKERAPLPRLAPGPSGPSGPHTDRHRRLHEKRRFAKGCEPCAHPKEGSHSQRHRLLHAPKLSTKGCEPCSTTGPAALFAPLGPALPPSSPEQKYPRVAQSDGPAPGEAGPATGALPIMGSDEKGGTAGPGQTVDQPVRTASSGDPSAAPGWLEELLAVAEEGSQPQRSCVWAQTDAPLASLFQELVLLELMQEKTHVEPRLWDRCLARLLGLAERPGPADGLHFRRFRHWPSDLALGEAEQKVPVAVEM
+>sp|Q6P9G9|ZN449_HUMAN Zinc finger protein 449 OS=Homo sapiens OX=9606 GN=ZNF449 PE=1 SV=3
+MAVALGCAIQASLNQGSVFQEYDTDCEVFRQRFRQFQYREAAGPHEAFNKLWELCCQWLKPKMRSKEQILELLVLEQFLTILPTEIETWVREHCPENRERVVSLIEDLQRELEIPEQQVDMHDMLLEELAPVGTAHIPPTMHLESPALQVMGPAQEAPVAEAWIPQAGPPELNYGATGECQNFLDPGYPLPKLDMNFSLENREEPWVKELQDSKEMKQLLDSKIGFEIGIENEEDTSKQKKMETMYPFIVTLEGNALQGPILQKDYVQLENQWETPPEDLQTDLAKLVDQQNPTLGETPENSNLEEPLNPKPHKKKSPGEKPHRCPQCGKCFARKSQLTGHQRIHSGEEPHKCPECGKRFLRSSDLYRHQRLHTGERPYECTVCKKRFTRRSHLIGHQRTHSEEETYKCLECGKSFCHGSSLKRHLKTHTGEKPHRCHNCGKSFSRLTALTLHQRTHTEERPFKCNYCGKSFRQRPSLVIHLRIHTGEKPYKCTHCSKSFRQRAGLIMHQVTHFRGLI
+>DECOY_sp|Q6P9G9|ZN449_HUMAN Zinc finger protein 449 OS=Homo sapiens OX=9606 GN=ZNF449 PE=1 SV=3
+ILGRFHTVQHMILGARQRFSKSCHTCKYPKEGTHIRLHIVLSPRQRFSKGCYNCKFPREETHTRQHLTLATLRSFSKGCNHCRHPKEGTHTKLHRKLSSGHCFSKGCELCKYTEEESHTRQHGILHSRRTFRKKCVTCEYPREGTHLRQHRYLDSSRLFRKGCEPCKHPEEGSHIRQHGTLQSKRAFCKGCQPCRHPKEGPSKKKHPKPNLPEELNSNEPTEGLTPNQQDVLKALDTQLDEPPTEWQNELQVYDKQLIPGQLANGELTVIFPYMTEMKKQKSTDEENEIGIEFGIKSDLLQKMEKSDQLEKVWPEERNELSFNMDLKPLPYGPDLFNQCEGTAGYNLEPPGAQPIWAEAVPAEQAPGMVQLAPSELHMTPPIHATGVPALEELLMDHMDVQQEPIELERQLDEILSVVRERNEPCHERVWTEIETPLITLFQELVLLELIQEKSRMKPKLWQCCLEWLKNFAEHPGAAERYQFQRFRQRFVECDTDYEQFVSGQNLSAQIACGLAVAM
+>sp|Q9Y4E5|ZN451_HUMAN E3 SUMO-protein ligase ZNF451 OS=Homo sapiens OX=9606 GN=ZNF451 PE=1 SV=2
+MGDPGSEIIESVPPAGPEASESTTDENEDDIQFVSEGPLRPVLEYIDLVSSDDEEPSTSYTDENIKRKDHIDYQKDKVALTLARLARHVEVEKQQKEEKNRAFREKIDFQHAHGLQELEFIRGHSDTEAARLCVDQWLKMPGLKTGTINCGTKSSFRRGGHTWVSGKPILCPIMHCNKEFDNGHLLLGHLKRFDHSPCDPTITLHGPFFSSFACVVCYKKFVTQQQYRDHLFDKEATDDGHNNNLLPQIIQCFACPNCFLLFSRKEECSKHMSGKNHFHQSFKLGDNKGIAHPISFPSFAKKLLISLCKDVPFQVKCVACHKTLRSHMELTAHFRVHCRNAGPVAVAEKSITQVAEKFILRGYCPDCNQVFVDETSTQNHKQNSGHKVRVINSVEESVLLYCHSSEGNKDPSSDLHLLLDQSKFSSLKRTMSIKESSSLECIAIPKKKMNLKDKSHEGVACVQKEKSVVKTWFCECNQRFPSEDAVEKHVFSANTMGYKCVVCGKVCDDSGVIRLHMSRIHGGAHLNNFLFWCRTCKKELTRKDTIMAHVTEFHNGHRYFYEMDEVEGETLPSSSTTLDNLTANKPSSAITVIDHSPANSSPRGKWQCRICEDMFDSQEYVKQHCMSLASHKFHRYSCAHCRKPFHKIETLYRHCQDEHDNEIKIKYFCGLCDLIFNVEEAFLSHYEEHHSIDYVFVSEKTETSIKTEDDFPVIETSNQLTCGCRESYICKVNRKEDYSRCLQIMLDKGKLWFRCSLCSATAQNLTDMNTHIHQVHKEKSDEEEQQYVIKCGTCTKAFHDPESAQQHFHRKHCFLQKPSVAHFGSEKSNLYKFTASASHTERKLKQAINYSKSLDMEKGVENDLSYQNIEEEIVELPDLDYLRTMTHIVFVDFDNWSNFFGHLPGHLNQGTFIWGFQGGNTNWKPPLNCKIYNYLNRIGCFFLHPRCSKRKDAADFAICMHAGRLDEQLPKQIPFTILSGDQGFLELENQFKKTQRPAHILNPHHLEGDMMCALLNSISDTTKECDSDDNMGAKNTSIGEEFISTEDVELEEAIRRSLEEM
+>DECOY_sp|Q9Y4E5|ZN451_HUMAN E3 SUMO-protein ligase ZNF451 OS=Homo sapiens OX=9606 GN=ZNF451 PE=1 SV=2
+MEELSRRIAEELEVDETSIFEEGISTNKAGMNDDSDCEKTTDSISNLLACMMDGELHHPNLIHAPRQTKKFQNELELFGQDGSLITFPIQKPLQEDLRGAHMCIAFDAADKRKSCRPHLFFCGIRNLYNYIKCNLPPKWNTNGGQFGWIFTGQNLHGPLHGFFNSWNDFDVFVIHTMTRLYDLDPLEVIEEEINQYSLDNEVGKEMDLSKSYNIAQKLKRETHSASATFKYLNSKESGFHAVSPKQLFCHKRHFHQQASEPDHFAKTCTGCKIVYQQEEEDSKEKHVQHIHTNMDTLNQATASCLSCRFWLKGKDLMIQLCRSYDEKRNVKCIYSERCGCTLQNSTEIVPFDDETKISTETKESVFVYDISHHEEYHSLFAEEVNFILDCLGCFYKIKIENDHEDQCHRYLTEIKHFPKRCHACSYRHFKHSALSMCHQKVYEQSDFMDECIRCQWKGRPSSNAPSHDIVTIASSPKNATLNDLTTSSSPLTEGEVEDMEYFYRHGNHFETVHAMITDKRTLEKKCTRCWFLFNNLHAGGHIRSMHLRIVGSDDCVKGCVVCKYGMTNASFVHKEVADESPFRQNCECFWTKVVSKEKQVCAVGEHSKDKLNMKKKPIAICELSSSEKISMTRKLSSFKSQDLLLHLDSSPDKNGESSHCYLLVSEEVSNIVRVKHGSNQKHNQTSTEDVFVQNCDPCYGRLIFKEAVQTISKEAVAVPGANRCHVRFHATLEMHSRLTKHCAVCKVQFPVDKCLSILLKKAFSPFSIPHAIGKNDGLKFSQHFHNKGSMHKSCEEKRSFLLFCNPCAFCQIIQPLLNNNHGDDTAEKDFLHDRYQQQTVFKKYCVVCAFSSFFPGHLTITPDCPSHDFRKLHGLLLHGNDFEKNCHMIPCLIPKGSVWTHGGRRFSSKTGCNITGTKLGPMKLWQDVCLRAAETDSHGRIFELEQLGHAHQFDIKERFARNKEEKQQKEVEVHRALRALTLAVKDKQYDIHDKRKINEDTYSTSPEEDDSSVLDIYELVPRLPGESVFQIDDENEDTTSESAEPGAPPVSEIIESGPDGM
+>sp|Q6ECI4|ZN470_HUMAN Zinc finger protein 470 OS=Homo sapiens OX=9606 GN=ZNF470 PE=2 SV=3
+MKSQEEVEVAGIKLCKAMSLGSVTFTDVAIDFSQDEWEWLNLAQRSLYKKVMLENYRNLVSVGLCISKPDVISLLEQEKDPWVIKGGMNRGLCPDLECVWVTKSLSLNQDIYEEKLPPAIIMERLKSYDLECSTLGKNWKCEDLFERELVNQKTHFRQETITHIDTLIEKRDHSNKSGTVFHLNTLSYIKQIFPMEERIFNFHTDKKSLKTHSVVKKHKQDRGEKKLLKCNDCEKIFSKISTLTLHQRIHTGEKPYECIECGKAFSQSAHLAQHQRIHTGEKPFECTECGKAFSQNAHLVQHQRVHTGEKPYQCKQCNKAFSQLAHLAQHQRVHTGEKPYECIECGKAFSDCSSLAHHRRIHTGKRPYECIDCGKAFRQNASLIRHRRYYHTGEKPFDCIDCGKAFTDHIGLIQHKRTHTGERPYKCNVCGKAFSHGSSLTVHQRIHTGEKPYECNICEKAFSHRGSLTLHQRVHTGEKPYECKECGKAFRQSTHLAHHQRIHTGEKPYECKECSKTFSQNAHLAQHQKIHTGEKPYECKECGKAFSQIAHLVQHQRVHTGEKPYECIECGKAFSDGSYLVQHQRLHSGKRPYECLECGKAFRQRASLICHQRCHTGEKPYECNVCGKAFSHRKSLTLHQRIHTGEKPYECKECSKAFSQVAHLTLHKRIHTGERPYECKECGKAFRQSVHLAHHQRIHTGESSVILSSALPYHQVL
+>DECOY_sp|Q6ECI4|ZN470_HUMAN Zinc finger protein 470 OS=Homo sapiens OX=9606 GN=ZNF470 PE=2 SV=3
+LVQHYPLASSLIVSSEGTHIRQHHALHVSQRFAKGCEKCEYPREGTHIRKHLTLHAVQSFAKSCEKCEYPKEGTHIRQHLTLSKRHSFAKGCVNCEYPKEGTHCRQHCILSARQRFAKGCELCEYPRKGSHLRQHQVLYSGDSFAKGCEICEYPKEGTHVRQHQVLHAIQSFAKGCEKCEYPKEGTHIKQHQALHANQSFTKSCEKCEYPKEGTHIRQHHALHTSQRFAKGCEKCEYPKEGTHVRQHLTLSGRHSFAKECINCEYPKEGTHIRQHVTLSSGHSFAKGCVNCKYPREGTHTRKHQILGIHDTFAKGCDICDFPKEGTHYYRRHRILSANQRFAKGCDICEYPRKGTHIRRHHALSSCDSFAKGCEICEYPKEGTHVRQHQALHALQSFAKNCQKCQYPKEGTHVRQHQVLHANQSFAKGCETCEFPKEGTHIRQHQALHASQSFAKGCEICEYPKEGTHIRQHLTLTSIKSFIKECDNCKLLKKEGRDQKHKKVVSHTKLSKKDTHFNFIREEMPFIQKIYSLTNLHFVTGSKNSHDRKEILTDIHTITEQRFHTKQNVLEREFLDECKWNKGLTSCELDYSKLREMIIAPPLKEEYIDQNLSLSKTVWVCELDPCLGRNMGGKIVWPDKEQELLSIVDPKSICLGVSVLNRYNELMVKKYLSRQALNLWEWEDQSFDIAVDTFTVSGLSMAKCLKIGAVEVEEQSKM
+>sp|Q96JC4|ZN479_HUMAN Zinc finger protein 479 OS=Homo sapiens OX=9606 GN=ZNF479 PE=2 SV=1
+MAKRPGPPGSREMGLLTFRDIAIEFSLEEWQCLDCAQRNLYRDVMLENYRNLVSLGIAVSKPDLITCLEQNKESQNIKRNEMVAKHPVTRSHFTQDLQPEQGIKDSLQKVIPRTYGKCGHEKLQFKKCCKSVGEYEVHKGGYSEVNQCLSTTQNKIFQTHKYVKVFGKFSNSNRDKTRYTGNKHFKCNKYGKSFCMLSHLNQHQVIHTREKSYKCKECGKSFNCSSNHTTHKIIHTGEKPYRCEECGKAFSWSANLTRHKRTHTGEKPYTCEECGQAFRRSSALTNHKRIHTGERPYKCEECGKAFSVSSTLTDHKRIHTGEKPCRCEECGKAFSWSSNLTRHKRIHTREKPYACEECGQAFSLSSNLMRHRRIHTGEKPYTCEECGQDFRRSSALTIHKRIHTGERPYKCEECGKVFSLSSTLTDHKRIHTGERPYKCEECGKAFSLSSTLTDHKRIHTGERPYTCEECGKAFNCSSTLMQHKRIHTGEKPYKCEECEQAFKWHSSLAKHKIIHTGEKPYKCE
+>DECOY_sp|Q96JC4|ZN479_HUMAN Zinc finger protein 479 OS=Homo sapiens OX=9606 GN=ZNF479 PE=2 SV=1
+ECKYPKEGTHIIKHKALSSHWKFAQECEECKYPKEGTHIRKHQMLTSSCNFAKGCEECTYPREGTHIRKHDTLTSSLSFAKGCEECKYPREGTHIRKHDTLTSSLSFVKGCEECKYPREGTHIRKHITLASSRRFDQGCEECTYPKEGTHIRRHRMLNSSLSFAQGCEECAYPKERTHIRKHRTLNSSWSFAKGCEECRCPKEGTHIRKHDTLTSSVSFAKGCEECKYPREGTHIRKHNTLASSRRFAQGCEECTYPKEGTHTRKHRTLNASWSFAKGCEECRYPKEGTHIIKHTTHNSSCNFSKGCEKCKYSKERTHIVQHQNLHSLMCFSKGYKNCKFHKNGTYRTKDRNSNSFKGFVKVYKHTQFIKNQTTSLCQNVESYGGKHVEYEGVSKCCKKFQLKEHGCKGYTRPIVKQLSDKIGQEPQLDQTFHSRTVPHKAVMENRKINQSEKNQELCTILDPKSVAIGLSVLNRYNELMVDRYLNRQACDLCQWEELSFEIAIDRFTLLGMERSGPPGPRKAM
+>sp|Q8WV37|ZN480_HUMAN Zinc finger protein 480 OS=Homo sapiens OX=9606 GN=ZNF480 PE=1 SV=2
+MLCDEKAQKRRKRKAKESGMALPQGHLTFRDVAIEFSQAEWKCLDPAQRALYKDVMLENYRNLVSLGISLPDLNINSMLEQRREPWSGESEVKIAKNSDGRECIKGVNTGSSYALGSNAEDKPIKKQLGVSFHLHLSELELFPDERVINGCNQVENFINHSSSVSCLQEMSSSVKTPIFNRNDFDDSSFLPQEQKVHLREKPYECNEHSKVFRVSSSLTKHQVIHTVEKPYKCNSCGKVFSRNSHLAEHCRIHTGEKPYKCNVCGKVFSYNSNFARHQRIHTREKPYECNECGKVFSNNSYLARHQRIHAEEKPYKCNECGKGFSHKSSLANHWRIYTGEKPYKCDECGKAFYRIALLVRHQKIHTGEKPYKCNECGKVFIQNSHLAQHWRIHTGEKPYKCNECGKVFNQLSNLARHRRIHTGEKPYKCNECGKAFSEYSGLSAHLVIHTGEKPYKCSECGKAFRHKLSLTNHQRIHTGERPYKCNECGKVFNRIAHLARHRKIHTGEKPYKCNECGKAFSRISYLAQHWTIHMG
+>DECOY_sp|Q8WV37|ZN480_HUMAN Zinc finger protein 480 OS=Homo sapiens OX=9606 GN=ZNF480 PE=1 SV=2
+GMHITWHQALYSIRSFAKGCENCKYPKEGTHIKRHRALHAIRNFVKGCENCKYPREGTHIRQHNTLSLKHRFAKGCESCKYPKEGTHIVLHASLGSYESFAKGCENCKYPKEGTHIRRHRALNSLQNFVKGCENCKYPKEGTHIRWHQALHSNQIFVKGCENCKYPKEGTHIKQHRVLLAIRYFAKGCEDCKYPKEGTYIRWHNALSSKHSFGKGCENCKYPKEEAHIRQHRALYSNNSFVKGCENCEYPKERTHIRQHRAFNSNYSFVKGCVNCKYPKEGTHIRCHEALHSNRSFVKGCSNCKYPKEVTHIVQHKTLSSSVRFVKSHENCEYPKERLHVKQEQPLFSSDDFDNRNFIPTKVSSSMEQLCSVSSSHNIFNEVQNCGNIVREDPFLELESLHLHFSVGLQKKIPKDEANSGLAYSSGTNVGKICERGDSNKAIKVESEGSWPERRQELMSNINLDPLSIGLSVLNRYNELMVDKYLARQAPDLCKWEAQSFEIAVDRFTLHGQPLAMGSEKAKRKRRKQAKEDCLM
+>sp|Q5JVG2|ZN484_HUMAN Zinc finger protein 484 OS=Homo sapiens OX=9606 GN=ZNF484 PE=1 SV=1
+MTKSLESVSFKDVTVDFSRDEWQQLDLAQKSLYREVMLENYFNLISVGCQVPKPEVIFSLEQEEPCMLDGEIPSQSRPDGDIGFGPLQQRMSEEVSFQSEININLFTRDDPYSILEELWKDDEHTRKCGENQNKPLSRVVFINKKTLANDSIFEYKDIGEIVHVNTHLVSSRKRPHNCNSCGKNLEPIITLYNRNNATENSDKTIGDGDIFTHLNSHTEVTACECNQCGKPLHHKQALIQQQKIHTRESLYLFSDYVNVFSPKSHAFAHESICAEEKQHECHECEAVFTQKSQLDGSQRVYAGICTEYEKDFSLKSNRQKTPYEGNYYKCSDYGRAFIQKSDLFRCQRIHSGEKPYEYSECEKNLPQNSNLNIHKKIHTGGKHFECTECGKAFTRKSTLSMHQKIHTGEKPYVCTECGKAFIRKSHFITHERIHTGEKPYECSDCGKSFIKKSQLHVHQRIHTGENPFICSECGKVFTHKTNLIIHQKIHTGERPYICTVCGKAFTDRSNLIKHQKIHTGEKPYKCSDCGKSFTWKSRLRIHQKCHTGERHYECSECGKAFIQKSTLSMHQRIHRGEKPYVCTECGKAFFHKSHFITHERIHTGEKPYECSICGKSFTKKSQLHVHQQIHTGEKPYRCAECGKAFTDRSNLFTHQKIHTGEKPYKCSDCGKAFTRKSGLHIHQQSHTGERHYECSECGKAFARKSTLIMHQRIHTGEKPYICNECGKSFIQKSHLNRHRRIHTGEKPYECSDCGKSFIKKSQLHEHHRIHTGEKPYICAECGKAFTIRSNLIKHQKIHTKQKPYKCSDLGKALNWKPQLSMPQKSDNGEVECSMPQLWCGDSEGDQGQLSSI
+>DECOY_sp|Q5JVG2|ZN484_HUMAN Zinc finger protein 484 OS=Homo sapiens OX=9606 GN=ZNF484 PE=1 SV=1
+ISSLQGQDGESDGCWLQPMSCEVEGNDSKQPMSLQPKWNLAKGLDSCKYPKQKTHIKQHKILNSRITFAKGCEACIYPKEGTHIRHHEHLQSKKIFSKGCDSCEYPKEGTHIRRHRNLHSKQIFSKGCENCIYPKEGTHIRQHMILTSKRAFAKGCESCEYHREGTHSQQHIHLGSKRTFAKGCDSCKYPKEGTHIKQHTFLNSRDTFAKGCEACRYPKEGTHIQQHVHLQSKKTFSKGCISCEYPKEGTHIREHTIFHSKHFFAKGCETCVYPKEGRHIRQHMSLTSKQIFAKGCESCEYHREGTHCKQHIRLRSKWTFSKGCDSCKYPKEGTHIKQHKILNSRDTFAKGCVTCIYPREGTHIKQHIILNTKHTFVKGCESCIFPNEGTHIRQHVHLQSKKIFSKGCDSCEYPKEGTHIREHTIFHSKRIFAKGCETCVYPKEGTHIKQHMSLTSKRTFAKGCETCEFHKGGTHIKKHINLNSNQPLNKECESYEYPKEGSHIRQCRFLDSKQIFARGYDSCKYYNGEYPTKQRNSKLSFDKEYETCIGAYVRQSGDLQSKQTFVAECEHCEHQKEEACISEHAFAHSKPSFVNVYDSFLYLSERTHIKQQQILAQKHHLPKGCQNCECATVETHSNLHTFIDGDGITKDSNETANNRNYLTIIPELNKGCSNCNHPRKRSSVLHTNVHVIEGIDKYEFISDNALTKKNIFVVRSLPKNQNEGCKRTHEDDKWLEELISYPDDRTFLNINIESQFSVEESMRQQLPGFGIDGDPRSQSPIEGDLMCPEEQELSFIVEPKPVQCGVSILNFYNELMVERYLSKQALDLQQWEDRSFDVTVDKFSVSELSKTM
+>sp|Q96F45|ZN503_HUMAN Zinc finger protein 503 OS=Homo sapiens OX=9606 GN=ZNF503 PE=1 SV=1
+MSTAPSLSALRSSKHSGGGGGGGGGGGADPAWTSALSGNSSGPGPGSSPAGSTKPFVHAVPPSDPLRQANRLPIKVLKMLTARTGHILHPEYLQPLPSTPVSPIELDAKKSPLALLAQTCSQIGKPDPSPSSKLSSVASNGGGAGGAGGGAAGDKDTKSGPLKLSDIGVEDKSSFKPYSKPGSDKKEPGGGGGGGGGGGGGGGGVSSEKSGFRVPSATCQPFTPRTGSPSSSASACSPGGMLSSAGGAPEGKDDKKDTDVGGGGKGTGGASAEGGPTGLAHGRISCGGGINVDVNQHPDGGPGGKALGSDCGGSSGSSSGSGPSAPTSSSVLGSGLVAPVSPYKPGQTVFPLPPAGMTYPGSLAGAYAGYPPQFLPHGVALDPTKPGSLVGAQLAAAAAGSLGCSKPAGSSPLAGASPPSVMTASLCRDPYCLSYHCASHLAGAAAASASCAHDPAAAAAALKSGYPLVYPTHPLHGVHSSLTAAAAAGATPPSLAGHPLYPYGFMLPNDPLPHICNWVSANGPCDKRFATSEELLSHLRTHTAFPGTDKLLSGYPSSSSLASAAAAAMACHMHIPTSGAPGSPGTLALRSPHHALGLSSRYHPYSKSPLPTPGAPVPVPAATGPYYSPYALYGQRLTTASALGYQ
+>DECOY_sp|Q96F45|ZN503_HUMAN Zinc finger protein 503 OS=Homo sapiens OX=9606 GN=ZNF503 PE=1 SV=1
+QYGLASATTLRQGYLAYPSYYPGTAAPVPVPAGPTPLPSKSYPHYRSSLGLAHHPSRLALTGPSGPAGSTPIHMHCAMAAAAASALSSSSPYGSLLKDTGPFATHTRLHSLLEESTAFRKDCPGNASVWNCIHPLPDNPLMFGYPYLPHGALSPPTAGAAAAATLSSHVGHLPHTPYVLPYGSKLAAAAAAPDHACSASAAAAGALHSACHYSLCYPDRCLSATMVSPPSAGALPSSGAPKSCGLSGAAAAALQAGVLSGPKTPDLAVGHPLFQPPYGAYAGALSGPYTMGAPPLPFVTQGPKYPSVPAVLGSGLVSSSTPASPGSGSSSGSSGGCDSGLAKGGPGGDPHQNVDVNIGGGCSIRGHALGTPGGEASAGGTGKGGGGVDTDKKDDKGEPAGGASSLMGGPSCASASSSPSGTRPTFPQCTASPVRFGSKESSVGGGGGGGGGGGGGGGGPEKKDSGPKSYPKFSSKDEVGIDSLKLPGSKTDKDGAAGGGAGGAGGGNSAVSSLKSSPSPDPKGIQSCTQALLALPSKKADLEIPSVPTSPLPQLYEPHLIHGTRATLMKLVKIPLRNAQRLPDSPPVAHVFPKTSGAPSSGPGPGSSNGSLASTWAPDAGGGGGGGGGGGSHKSSRLASLSPATSM
+>sp|Q8TCN5|ZN507_HUMAN Zinc finger protein 507 OS=Homo sapiens OX=9606 GN=ZNF507 PE=1 SV=2
+MEESSSVAMLVPDIGEQEAILTAESIISPSLEIDEQRKTKPDPLIHVIQKLSKIVENEKSQKCLLIGKKRPRSSAATHSLETQELCEIPAKVIQSPAADTRRAEMSQTNFTPDTLAQNEGKAMSYQCSLCKFLSSSFSVLKDHIKQHGQQNEVILMCSECHITSRSQEELEAHVVNDHDNDANIHTQSKAQQCVSPSSSLCRKTTERNETIPDIPVSVDNLQTHTVQTASVAEMGRRKWYAYEQYGMYRCLFCSYTCGQQRMLKTHAWKHAGEVDCSYPIFENENEPLGLLDSSAAAAPGGVDAVVIAIGESELSIHNGPSVQVQICSSEQLSSSSPLEQSAERGVHLSQSVTLDPNEEEMLEVISDAEENLIPDSLLTSAQKIISSSPNKKGHVNVIVERLPSAEETLSQKRFLMNTEMEEGKDLSLTEAQIGREGMDDVYRADKCTVDIGGLIIGWSSSEKKDELMNKGLATDENAPPGRRRTNSESLRLHSLAAEALVTMPIRAAELTRANLGHYGDINLLDPDTSQRQVDSTLAAYSKMMSPLKNSSDGLTSLNQSNSTLVALPEGRQELSDGQVKTGISMSLLTVIEKLRERTDQNASDDDILKELQDNAQCQPNSDTSLSGNNVVEYIPNAERPYRCRLCHYTSGNKGYIKQHLRVHRQRQPYQCPICEHIADNSKDLESHMIHHCKTRIYQCKQCEESFHYKSQLRNHEREQHSLPDTLSIATSNEPRISSDTADGKCVQEGNKSSVQKQYRCDVCDYTSTTYVGVRNHRRIHNSDKPYRCSLCGYVCSHPPSLKSHMWKHASDQNYNYEQVNKAINDAISQSGRVLGKSPGKTQLKSSEESADPVTGSSENAVSSSELMSQTPSEVLGTNENEKLSPTSNTSYSLEKISSLAPPSMEYCVLLFCCCICGFESTSKENLLDHMKEHEGEIVNIILNKDHNTALNTN
+>DECOY_sp|Q8TCN5|ZN507_HUMAN Zinc finger protein 507 OS=Homo sapiens OX=9606 GN=ZNF507 PE=1 SV=2
+NTNLATNHDKNLIINVIEGEHEKMHDLLNEKSTSEFGCICCCFLLVCYEMSPPALSSIKELSYSTNSTPSLKENENTGLVESPTQSMLESSSVANESSGTVPDASEESSKLQTKGPSKGLVRGSQSIADNIAKNVQEYNYNQDSAHKWMHSKLSPPHSCVYGCLSCRYPKDSNHIRRHNRVGVYTTSTYDCVDCRYQKQVSSKNGEQVCKGDATDSSIRPENSTAISLTDPLSHQEREHNRLQSKYHFSEECQKCQYIRTKCHHIMHSELDKSNDAIHECIPCQYPQRQRHVRLHQKIYGKNGSTYHCLRCRYPREANPIYEVVNNGSLSTDSNPQCQANDQLEKLIDDDSANQDTRERLKEIVTLLSMSIGTKVQGDSLEQRGEPLAVLTSNSQNLSTLGDSSNKLPSMMKSYAALTSDVQRQSTDPDLLNIDGYHGLNARTLEAARIPMTVLAEAALSHLRLSESNTRRRGPPANEDTALGKNMLEDKKESSSWGIILGGIDVTCKDARYVDDMGERGIQAETLSLDKGEEMETNMLFRKQSLTEEASPLREVIVNVHGKKNPSSSIIKQASTLLSDPILNEEADSIVELMEEENPDLTVSQSLHVGREASQELPSSSSLQESSCIQVQVSPGNHISLESEGIAIVVADVGGPAAAASSDLLGLPENENEFIPYSCDVEGAHKWAHTKLMRQQGCTYSCFLCRYMGYQEYAYWKRRGMEAVSATQVTHTQLNDVSVPIDPITENRETTKRCLSSSPSVCQQAKSQTHINADNDHDNVVHAELEEQSRSTIHCESCMLIVENQQGHQKIHDKLVSFSSSLFKCLSCQYSMAKGENQALTDPTFNTQSMEARRTDAAPSQIVKAPIECLEQTELSHTAASSRPRKKGILLCKQSKENEVIKSLKQIVHILPDPKTKRQEDIELSPSIISEATLIAEQEGIDPVLMAVSSSEEM
+>sp|Q8TF50|ZN526_HUMAN Zinc finger protein 526 OS=Homo sapiens OX=9606 GN=ZNF526 PE=1 SV=2
+MAEVVAEVAEMPTQMSPGAVEMSTPMSAEMMEMSTEVTEMTPGEALASSLFFQHHQFMCSECGSLYNTLEEVLSHQEQHMLAVSEEEALTTQNVGLEPELVPGAEGPFQCGECSQLILSPGELLAHQDAHLRESANQIQYQCWDCQELFPSPELWVAHRKAQHLSATVAEPPVPPPLPPPTPLPPPSPPSEVKMEPYECPECSTLCATPEEFLEHQGTHFDSLEKEERNGLEEEEEDDEEDEEDDEEMEDEEAMAEVGDDAVGGDESTAGWAQGCGDCPQHQPSAGARRQHRRTAHSPASATHPFHCSQCQRSFSSANRLQAHGRAHVGGTHECTTCSKVFKKAASLEQHLRLHRGEARYLCVDCGRGFGTELTLVAHRRAHTANPLHRCRCGKTFSNMTKFLYHRRTHAGKSGAPPTGATAPPAPAEPTPPPPPPAPPAQLPCPQCSKSFASASRLSRHRRAVHGPPERRHRCGVCGKGFKKLIHVRNHLRTHTGERPFQCHSCGKTFASLANLSRHQLTHTGARPYQCLDCGKRFTQSSNLQQHRRLHLRPVAFARAPRLPITGLYNKSPYYCGTCGRWFRAMAGLRLHQRVHARARTLTLQPPRSPSPAPPPPPEPQQTIMCTELGETIAIIETSQPLALEDTLQLCQAALGASEAGGLLQLDTAFV
+>DECOY_sp|Q8TF50|ZN526_HUMAN Zinc finger protein 526 OS=Homo sapiens OX=9606 GN=ZNF526 PE=1 SV=2
+VFATDLQLLGGAESAGLAAQCLQLTDELALPQSTEIIAITEGLETCMITQQPEPPPPPAPSPSRPPQLTLTRARAHVRQHLRLGAMARFWRGCTGCYYPSKNYLGTIPLRPARAFAVPRLHLRRHQQLNSSQTFRKGCDLCQYPRAGTHTLQHRSLNALSAFTKGCSHCQFPREGTHTRLHNRVHILKKFGKGCVGCRHRREPPGHVARRHRSLRSASAFSKSCQPCPLQAPPAPPPPPPTPEAPAPPATAGTPPAGSKGAHTRRHYLFKTMNSFTKGCRCRHLPNATHARRHAVLTLETGFGRGCDVCLYRAEGRHLRLHQELSAAKKFVKSCTTCEHTGGVHARGHAQLRNASSFSRQCQSCHFPHTASAPSHATRRHQRRAGASPQHQPCDGCGQAWGATSEDGGVADDGVEAMAEEDEMEEDDEEDEEDDEEEEELGNREEKELSDFHTGQHELFEEPTACLTSCEPCEYPEMKVESPPSPPPLPTPPPLPPPVPPEAVTASLHQAKRHAVWLEPSPFLEQCDWCQYQIQNASERLHADQHALLEGPSLILQSCEGCQFPGEAGPVLEPELGVNQTTLAEEESVALMHQEQHSLVEELTNYLSGCESCMFQHHQFFLSSALAEGPTMETVETSMEMMEASMPTSMEVAGPSMQTPMEAVEAVVEAM
+>sp|Q3MIS6|ZN528_HUMAN Zinc finger protein 528 OS=Homo sapiens OX=9606 GN=ZNF528 PE=2 SV=1
+MALTQGPLKFMDVAIEFSQEEWKCLDPAQRTLYRDVMLENYRNLVSLGICLPDLSVTSMLEQKRDPWTLQSEEKIANDPDGRECIKGVNTERSSKLGSNAGNKPCKNQLGFTFQLHLSDLQLFQAERKISGCKHFEKPVSDNSSVSPLEKISSSVKSHLLNKYRNNFDHAPLLPQEQKAHIREKAYKCNEHGQVFRASASLTNQVIHNADNPYKCSECGKVFSCSSKLVIHRRMHTGEKPYKCHECGKLFSSNSNLSQHQRIHTGEKPYKCHECDKVFRSSSKLAQHQRIHTGEKPYKCHECDKVFNQIAHLVRHQKIHTGEKPYSCNKCGKVFSRHSYLAEHQTVHTGEKPYKCEECGKAFSVRSSLITHQLIHTGRKPYKCKECDKVFGRKCFLTSHQRIHTRERPYGCSQCGKIFSQKSDLIRHRKTHTDEKPYKCNKCGTAFREFSDLTAHFLIHSGEKPYECKECGKVFRYKSSLTSHHRIHTGEKPYKCNRCGKVFSRSSNLVCHQKIHTGEKPYKCNQCGKVFNQASYLTRHQIIHTGERPYRCSKCGKAFRGCSGLTAHLAIHTEKKSHECKECGKIFTQKSSLTNHHRIHIGEKPYKCTLCSKVFSHNSDLAQHQRVHS
+>DECOY_sp|Q3MIS6|ZN528_HUMAN Zinc finger protein 528 OS=Homo sapiens OX=9606 GN=ZNF528 PE=2 SV=1
+SHVRQHQALDSNHSFVKSCLTCKYPKEGIHIRHHNTLSSKQTFIKGCEKCEHSKKETHIALHATLGSCGRFAKGCKSCRYPREGTHIIQHRTLYSAQNFVKGCQNCKYPKEGTHIKQHCVLNSSRSFVKGCRNCKYPKEGTHIRHHSTLSSKYRFVKGCEKCEYPKEGSHILFHATLDSFERFATGCKNCKYPKEDTHTKRHRILDSKQSFIKGCQSCGYPRERTHIRQHSTLFCKRGFVKDCEKCKYPKRGTHILQHTILSSRVSFAKGCEECKYPKEGTHVTQHEALYSHRSFVKGCKNCSYPKEGTHIKQHRVLHAIQNFVKDCEHCKYPKEGTHIRQHQALKSSSRFVKDCEHCKYPKEGTHIRQHQSLNSNSSFLKGCEHCKYPKEGTHMRRHIVLKSSCSFVKGCESCKYPNDANHIVQNTLSASARFVQGHENCKYAKERIHAKQEQPLLPAHDFNNRYKNLLHSKVSSSIKELPSVSSNDSVPKEFHKCGSIKREAQFLQLDSLHLQFTFGLQNKCPKNGANSGLKSSRETNVGKICERGDPDNAIKEESQLTWPDRKQELMSTVSLDPLCIGLSVLNRYNELMVDRYLTRQAPDLCKWEEQSFEIAVDMFKLPGQTLAM
+>sp|O15090|ZN536_HUMAN Zinc finger protein 536 OS=Homo sapiens OX=9606 GN=ZNF536 PE=1 SV=3
+MEEASLCLGVSSAEPEAEPHLSGPVLNGQYAMSQKLHQITSQLSHAFPELHPRPNPEEKPPASLEEKAHVPMSGQPMGSQMALLANQLGREVDTSLNGRVDLQQFLNGQNLGIMSQMSDIEDDARKNRKYPCPLCGKRFRFNSILSLHMRTHTGEKPFKCPYCDHRAAQKGNLKIHLRTHKLGNLGKGRGRVREENRLLHELEERAILRDKQLKGSLLQPRPDLKPPPHAQQAPLAACTLALQANHSVPDVAHPVPSPKPASVQEDAVAPAAGFRCTFCKGKFKKREELDRHIRILHKPYKCTLCDFAASQEEELISHVEKAHITAESAQGQGPNGGGEQSANEFRCEVCGQVFSQAWFLKGHMRKHKDSFEHCCQICGRRFKEPWFLKNHMKVHLNKLSVKNKSPSDPEVPVPMGGMSQEAHANLYSRYLSCLQSGFMTPDKAGLSEPSQLYGKGELPMKEKEALGKLLSPISSMAHGVPEGDKHSLLGCLNLVPPLKSSCIERLQAAAKAAEMDPVNSYQAWQLMARGMAMEHGFLSKEHPLQRNHEDTLANAGVLFDKEKREYVLVGADGSKQKMPADLVHSTKVGSQRDLPSKLDPLESSRDFLSHGLNQTLEYNLQGPGNMKEKPTECPDCGRVFRTYHQVVVHSRVHKRDRKGEEDGLHVGLDERRGSGSDQESQSVSRSTTPGSSNVTEESGVGGGLSQTGSAQEDSPHPSSPSSSDIGEEAGRSAGVQQPALLRDRSLGSAMKDCPYCGKTFRTSHHLKVHLRIHTGEKPYKCPHCDYAGTQSASLKYHLERHHRERQNGAGPLSGQPPNQDHKDEMSSKASLFIRPDILRGAFKGLPGIDFRGGPASQQWTSGVLSSGDHSGQATGMSSEVPSDALKGTDLPSKSTHFSEIGRAYQSIVSNGVNFQGSLQAFMDSFVLSSLKKEKDMKDKALADPPSMKVHGVDGGEEKPSGKSSQRKSEKSQYEPLDLSVRPDAASLPGSSVTVQDSIAWHGCLFCAFTTSSMELMALHLQANHLGKAKRKDNTIGVTVNCKDQAREASKMALLPSLQSNKDLGLSNMISSLDSASEKMAQGQLKETLGEQKSGAWTGHVDPAFCNFPSDFYKQFGVYPGMVGSGASSSCPNKEPDGKAHSEEDVPILIPETTSKNTTDDLSDIASSEDMDSSKGENNDEEDVETEPEMMTKPLSALSKDSSSDGGDSLQPTGTSQPVQGLVSPLSQAPEKQWHSQGLLQAQDPLAGLPKPERGPQSLDKPMNMLSVLRAYSSDGLAAFNGLASSTANSGCIKRPDLCGK
+>DECOY_sp|O15090|ZN536_HUMAN Zinc finger protein 536 OS=Homo sapiens OX=9606 GN=ZNF536 PE=1 SV=3
+KGCLDPRKICGSNATSSALGNFAALGDSSYARLVSLMNMPKDLSQPGREPKPLGALPDQAQLLGQSHWQKEPAQSLPSVLGQVPQSTGTPQLSDGGDSSSDKSLASLPKTMMEPETEVDEEDNNEGKSSDMDESSAIDSLDDTTNKSTTEPILIPVDEESHAKGDPEKNPCSSSAGSGVMGPYVGFQKYFDSPFNCFAPDVHGTWAGSKQEGLTEKLQGQAMKESASDLSSIMNSLGLDKNSQLSPLLAMKSAERAQDKCNVTVGITNDKRKAKGLHNAQLHLAMLEMSSTTFACFLCGHWAISDQVTVSSGPLSAADPRVSLDLPEYQSKESKRQSSKGSPKEEGGDVGHVKMSPPDALAKDKMDKEKKLSSLVFSDMFAQLSGQFNVGNSVISQYARGIESFHTSKSPLDTGKLADSPVESSMGTAQGSHDGSSLVGSTWQQSAPGGRFDIGPLGKFAGRLIDPRIFLSAKSSMEDKHDQNPPQGSLPGAGNQRERHHRELHYKLSASQTGAYDCHPCKYPKEGTHIRLHVKLHHSTRFTKGCYPCDKMASGLSRDRLLAPQQVGASRGAEEGIDSSSPSSPHPSDEQASGTQSLGGGVGSEETVNSSGPTTSRSVSQSEQDSGSGRREDLGVHLGDEEGKRDRKHVRSHVVVQHYTRFVRGCDPCETPKEKMNGPGQLNYELTQNLGHSLFDRSSELPDLKSPLDRQSGVKTSHVLDAPMKQKSGDAGVLVYERKEKDFLVGANALTDEHNRQLPHEKSLFGHEMAMGRAMLQWAQYSNVPDMEAAKAAAQLREICSSKLPPVLNLCGLLSHKDGEPVGHAMSSIPSLLKGLAEKEKMPLEGKGYLQSPESLGAKDPTMFGSQLCSLYRSYLNAHAEQSMGGMPVPVEPDSPSKNKVSLKNLHVKMHNKLFWPEKFRRGCIQCCHEFSDKHKRMHGKLFWAQSFVQGCVECRFENASQEGGGNPGQGQASEATIHAKEVHSILEEEQSAAFDCLTCKYPKHLIRIHRDLEERKKFKGKCFTCRFGAAPAVADEQVSAPKPSPVPHAVDPVSHNAQLALTCAALPAQQAHPPPKLDPRPQLLSGKLQKDRLIAREELEHLLRNEERVRGRGKGLNGLKHTRLHIKLNGKQAARHDCYPCKFPKEGTHTRMHLSLISNFRFRKGCLPCPYKRNKRADDEIDSMQSMIGLNQGNLFQQLDVRGNLSTDVERGLQNALLAMQSGMPQGSMPVHAKEELSAPPKEEPNPRPHLEPFAHSLQSTIQHLKQSMAYQGNLVPGSLHPEAEPEASSVGLCLSAEEM
+>sp|Q08ER8|ZN543_HUMAN Zinc finger protein 543 OS=Homo sapiens OX=9606 GN=ZNF543 PE=1 SV=3
+MAASAQVSVTFEDVAVTFTQEEWGQLDAAQRTLYQEVMLETCGLLMSLGCPLFKPELIYQLDHRQELWMATKDLSQSSYPGDNTKPKTTEPTFSHLALPEEVLLQEQLTQGASKNSQLGQSKDQDGPSEMQEVHLKIGIGPQRGKLLEKMSSERDGLGSDDGVCTKITQKQVSTEGDLYECDSHGPVTDALIREEKNSYKCEECGKVFKKNALLVQHERIHTQVKPYECTECGKTFSKSTHLLQHLIIHTGEKPYKCMECGKAFNRRSHLTRHQRIHSGEKPYKCSECGKAFTHRSTFVLHHRSHTGEKPFVCKECGKAFRDRPGFIRHYIIHTGEKPYECIECGKAFNRRSYLTWHQQIHTGVKPFECNECGKAFCESADLIQHYIIHTGEKPYKCMECGKAFNRRSHLKQHQRIHTGEKPYECSECGKAFTHCSTFVLHKRTHTGEKPYECKECGKAFSDRADLIRHFSIHTGEKPYECVECGKAFNRSSHLTRHQQIHTGEKPYECIQCGKAFCRSANLIRHSIIHTGEKPYECSECGKAFNRGSSLTHHQRIHTGRNPTIVTDVGRPFMTAQTSVNIQELLLGKEFLNITTEENLW
+>DECOY_sp|Q08ER8|ZN543_HUMAN Zinc finger protein 543 OS=Homo sapiens OX=9606 GN=ZNF543 PE=1 SV=3
+WLNEETTINLFEKGLLLEQINVSTQATMFPRGVDTVITPNRGTHIRQHHTLSSGRNFAKGCESCEYPKEGTHIISHRILNASRCFAKGCQICEYPKEGTHIQQHRTLHSSRNFAKGCEVCEYPKEGTHISFHRILDARDSFAKGCEKCEYPKEGTHTRKHLVFTSCHTFAKGCESCEYPKEGTHIRQHQKLHSRRNFAKGCEMCKYPKEGTHIIYHQILDASECFAKGCENCEFPKVGTHIQQHWTLYSRRNFAKGCEICEYPKEGTHIIYHRIFGPRDRFAKGCEKCVFPKEGTHSRHHLVFTSRHTFAKGCESCKYPKEGSHIRQHRTLHSRRNFAKGCEMCKYPKEGTHIILHQLLHTSKSFTKGCETCEYPKVQTHIREHQVLLANKKFVKGCEECKYSNKEERILADTVPGHSDCEYLDGETSVQKQTIKTCVGDDSGLGDRESSMKELLKGRQPGIGIKLHVEQMESPGDQDKSQGLQSNKSAGQTLQEQLLVEEPLALHSFTPETTKPKTNDGPYSSQSLDKTAMWLEQRHDLQYILEPKFLPCGLSMLLGCTELMVEQYLTRQAADLQGWEEQTFTVAVDEFTVSVQASAAM
+>sp|Q8IVP9|ZN547_HUMAN Zinc finger protein 547 OS=Homo sapiens OX=9606 GN=ZNF547 PE=2 SV=2
+MAEMNPAQGHVVFEDVAIYFSQEEWGHLDEAQRLLYRDVMLENLALLSSLGCCHGAEDEEAPLEPGVSVGVSQVMAPKPCLSTQNTQPCETCSSLLKDILRLAEHDGTHPEQGLYTCPAHLHQHQKEQIREKLSRGDGGRPTFVKNHRVHMAGKTFLCSECGKAFSHKHKLSDHQKIHTGERTYKCSKCGILFMERSTLNRHQRTHTGERPYECNECGKAFLCKSHLVRHQTIHSGERPYECSECGKLFMWSSTLITHQRVHTGKRPYGCSECGKFFKCNSNLFRHYRIHTGKRSYGCSECGKFFMERSTLSRHQRVHTGERPYECNECGKFFSLKSVLIQHQRVHTGERPYECSECGKAFLTKSHLICHQTVHTAAKQCSECGKFFRYNSTLLRHQKVHTG
+>DECOY_sp|Q8IVP9|ZN547_HUMAN Zinc finger protein 547 OS=Homo sapiens OX=9606 GN=ZNF547 PE=2 SV=2
+GTHVKQHRLLTSNYRFFKGCESCQKAATHVTQHCILHSKTLFAKGCESCEYPREGTHVRQHQILVSKLSFFKGCENCEYPREGTHVRQHRSLTSREMFFKGCESCGYSRKGTHIRYHRFLNSNCKFFKGCESCGYPRKGTHVRQHTILTSSWMFLKGCESCEYPREGSHITQHRVLHSKCLFAKGCENCEYPREGTHTRQHRNLTSREMFLIGCKSCKYTREGTHIKQHDSLKHKHSFAKGCESCLFTKGAMHVRHNKVFTPRGGDGRSLKERIQEKQHQHLHAPCTYLGQEPHTGDHEALRLIDKLLSSCTECPQTNQTSLCPKPAMVQSVGVSVGPELPAEEDEAGHCCGLSSLLALNELMVDRYLLRQAEDLHGWEEQSFYIAVDEFVVHGQAPNMEAM
+>sp|Q8NEP9|ZN555_HUMAN Zinc finger protein 555 OS=Homo sapiens OX=9606 GN=ZNF555 PE=1 SV=4
+MDSVVFEDVAVDFTLEEWALLDSAQRDLYRDVMLETFQNLASVDDETQFKASGSVSQQDIYGEKIPKESKIATFTRNVSWASVLGKIWDSLSIEDQTTNQGRNLSRNHGLERLCESNDQCGEALSQIPHLNLYKKIPPGVKQYEYNTYGKVFMHRRTSLKSPITVHTGHKPYQCQECGQAYSCRSHLRMHVRTHNGERPYVCKLCGKTFPRTSSLNRHVRIHTAEKTYECKQCGKAFIDFSSLTSHLRSHTGEKPYKCKECGKAFSYSSTFRRHTITHTGEKPYKCKECAEAFSYSSTFRRHMISHTGEKPHKCKECGEAFSYSSAFRRHMITHTGEKPYECKQCGKTFIYLQSFRRHERIHTGEKPYECKQCGKTFIYPQSFRRHERTHGGEKPYECNQCGKAFSHPSSFRGHMRVHTGEKPYECKQCGKTFNWPISLRKHMRTHTREKPYECKQCGKAFSLSACFREHVRMHPEDKSYECKLCGKAFYCHISLQKHMRRHTAEKLYKCKQCGKAFSWPELLQQHVRTHTVEKPYECKECGKVFKWPSSLPIHMRLHTGEKPYQCKHCGKAFNCSSSLRRHVRIHTTEKQYKCNVGHPPANEFMCSASEKSHQERDLIKVVNMVLPL
+>DECOY_sp|Q8NEP9|ZN555_HUMAN Zinc finger protein 555 OS=Homo sapiens OX=9606 GN=ZNF555 PE=1 SV=4
+LPLVMNVVKILDREQHSKESASCMFENAPPHGVNCKYQKETTHIRVHRRLSSSCNFAKGCHKCQYPKEGTHLRMHIPLSSPWKFVKGCEKCEYPKEVTHTRVHQQLLEPWSFAKGCQKCKYLKEATHRRMHKQLSIHCYFAKGCLKCEYSKDEPHMRVHERFCASLSFAKGCQKCEYPKERTHTRMHKRLSIPWNFTKGCQKCEYPKEGTHVRMHGRFSSPHSFAKGCQNCEYPKEGGHTREHRRFSQPYIFTKGCQKCEYPKEGTHIREHRRFSQLYIFTKGCQKCEYPKEGTHTIMHRRFASSYSFAEGCEKCKHPKEGTHSIMHRRFTSSYSFAEACEKCKYPKEGTHTITHRRFTSSYSFAKGCEKCKYPKEGTHSRLHSTLSSFDIFAKGCQKCEYTKEATHIRVHRNLSSTRPFTKGCLKCVYPREGNHTRVHMRLHSRCSYAQGCEQCQYPKHGTHVTIPSKLSTRRHMFVKGYTNYEYQKVGPPIKKYLNLHPIQSLAEGCQDNSECLRELGHNRSLNRGQNTTQDEISLSDWIKGLVSAWSVNRTFTAIKSEKPIKEGYIDQQSVSGSAKFQTEDDVSALNQFTELMVDRYLDRQASDLLAWEELTFDVAVDEFVVSDM
+>sp|Q8N587|ZN561_HUMAN Zinc finger protein 561 OS=Homo sapiens OX=9606 GN=ZNF561 PE=1 SV=2
+MAAIYLSRGFFSREPICPFEEKTKVERMVEDYLASGYQDSVTFDDVAVDFTPEEWALLDTTEKYLYRDVMLENYMNLASVEWEIQPRTKRSSLQQGFLKNQIFSGIQMTRGYSGWKLCDCKNCGEVFREQFCLKTHMRVQNGGNTSEGNCYGKDTLSVHKEASTGQELSKFNPCGKVFTLTPGLAVHLEVLNARQPYKCKECGKGFKYFASLDNHMGIHTDEKLCEFQEYGRAVTASSHLKQCVAVHTGKKSKKTKKCGKSFTNFSQLYAPVKTHKGEKSFECKECGRSFRNSSCLNDHIQIHTGIKPHKCTYCGKAFTRSTQLTEHVRTHTGIKPYECKECGQAFAQYSGLSIHIRSHSGKKPYQCKECGKAFTTSTSLIQHTRIHTGEKPYECVECGKTFITSSRRSKHLKTHSGEKPFVCKICGKAFLYSSRLNVHLRTHTGEKPFVCKECGKAFAVSSRLSRHERIHTGEKPYECKDMSVTI
+>DECOY_sp|Q8N587|ZN561_HUMAN Zinc finger protein 561 OS=Homo sapiens OX=9606 GN=ZNF561 PE=1 SV=2
+ITVSMDKCEYPKEGTHIREHRSLRSSVAFAKGCEKCVFPKEGTHTRLHVNLRSSYLFAKGCIKCVFPKEGSHTKLHKSRRSSTIFTKGCEVCEYPKEGTHIRTHQILSTSTTFAKGCEKCQYPKKGSHSRIHISLGSYQAFAQGCEKCEYPKIGTHTRVHETLQTSRTFAKGCYTCKHPKIGTHIQIHDNLCSSNRFSRGCEKCEFSKEGKHTKVPAYLQSFNTFSKGCKKTKKSKKGTHVAVCQKLHSSATVARGYEQFECLKEDTHIGMHNDLSAFYKFGKGCEKCKYPQRANLVELHVALGPTLTFVKGCPNFKSLEQGTSAEKHVSLTDKGYCNGESTNGGNQVRMHTKLCFQERFVEGCNKCDCLKWGSYGRTMQIGSFIQNKLFGQQLSSRKTRPQIEWEVSALNMYNELMVDRYLYKETTDLLAWEEPTFDVAVDDFTVSDQYGSALYDEVMREVKTKEEFPCIPERSFFGRSLYIAAM
+>sp|Q8TA94|ZN563_HUMAN Zinc finger protein 563 OS=Homo sapiens OX=9606 GN=ZNF563 PE=2 SV=1
+MDAVAFEDVAVNFTQEEWALLGPSQKNLYRYVMQETIRNLDCIRMIWEEQNTEDQYKNPRRNLRCHMVERFSESKDSSQCGETFSLIRDSIVNNSICPGEDPCQSAECEEVIMGHLSLNSHIRVDSGHKPHEYQEYGEKPHTHKQRGKAFSYHHSFQSRGRPHTGKKRYECKECGKTFSSRRNLRRHMVVQGGNRPYKCKLCGKAFFWPSLLRMHERTHTGEKPYECKQCSKAFPFYSSYRRHERMHTGEKPYECKQCSKALPDSSSYIRHERTHTGEKPYTCKQCGKAFSVSSSLRRHETTHSAEKPYECKQCGKTFHHLGSFQIHMKRHTGDRPHKCKICGKGFDRPSLVRYHERIHTGEKPYECKQCGKTLSHSSSFRRHMIMHTGGGPHKCKICGKAFVYPSVCQRHEKSHSGEKPYECKQCGKALSHSSSFRRHMVMHTGDGPNKCKVCGKAFVYPSVCQRHEKTHWRETI
+>DECOY_sp|Q8TA94|ZN563_HUMAN Zinc finger protein 563 OS=Homo sapiens OX=9606 GN=ZNF563 PE=2 SV=1
+ITERWHTKEHRQCVSPYVFAKGCVKCKNPGDGTHMVMHRRFSSSHSLAKGCQKCEYPKEGSHSKEHRQCVSPYVFAKGCIKCKHPGGGTHMIMHRRFSSSHSLTKGCQKCEYPKEGTHIREHYRVLSPRDFGKGCIKCKHPRDGTHRKMHIQFSGLHHFTKGCQKCEYPKEASHTTEHRRLSSSVSFAKGCQKCTYPKEGTHTREHRIYSSSDPLAKSCQKCEYPKEGTHMREHRRYSSYFPFAKSCQKCEYPKEGTHTREHMRLLSPWFFAKGCLKCKYPRNGGQVVMHRRLNRRSSFTKGCEKCEYRKKGTHPRGRSQFSHHYSFAKGRQKHTHPKEGYEQYEHPKHGSDVRIHSNLSLHGMIVEECEASQCPDEGPCISNNVISDRILSFTEGCQSSDKSESFREVMHCRLNRRPNKYQDETNQEEWIMRICDLNRITEQMVYRYLNKQSPGLLAWEEQTFNVAVDEFAVADM
+>sp|Q8N9K5|ZN565_HUMAN Zinc finger protein 565 OS=Homo sapiens OX=9606 GN=ZNF565 PE=2 SV=2
+MRRGPWERWSLASHRLDAGLCTCPREESREIRAGQIVLKAMAQGLVTFRDVAIEFSLEEWKCLEPAQRDLYREVTLENFGHLASLGLSISKPDVVSLLEQGKEPWMIANDVTGPWCPDLESRCEKFLQKDIFEIGAFNWEIMESLKCSDLEGSDFRADWECEGQFERQVNEECYFKQVNVTYGHMPVFQHHTSHTVRQSRETGEKLMECHECGKAFSRGSHLIQHQKIHTGEKPFGCKECGKAFSRASHLVQHQRIHTGEKPYDCKDCGKAFGRTSELILHQRLHTGVKPYECKECGKTFRQHSQLILHQRTHTGEKPYVCKDCGKAFIRGSQLTVHRRIHTGARPYECKECGKAFRQHSQLTVHQRIHTGEKPYECKECGKGFIHSSEVTRHQRIHSGEKPYECKECGKAFRQHAQLTRHQRVHTGDRPYECKDCGKAFSRSSYLIQHQRIHTGDKPYECKECGKAFIRVSQLTHHQRIHTCEKPYECRECGMAFIRSSQLTEHQRIHPGIKPYECRECGQAFILGSQLIEHYRIHTG
+>DECOY_sp|Q8N9K5|ZN565_HUMAN Zinc finger protein 565 OS=Homo sapiens OX=9606 GN=ZNF565 PE=2 SV=2
+GTHIRYHEILQSGLIFAQGCERCEYPKIGPHIRQHETLQSSRIFAMGCERCEYPKECTHIRQHHTLQSVRIFAKGCEKCEYPKDGTHIRQHQILYSSRSFAKGCDKCEYPRDGTHVRQHRTLQAHQRFAKGCEKCEYPKEGSHIRQHRTVESSHIFGKGCEKCEYPKEGTHIRQHVTLQSHQRFAKGCEKCEYPRAGTHIRRHVTLQSGRIFAKGCDKCVYPKEGTHTRQHLILQSHQRFTKGCEKCEYPKVGTHLRQHLILESTRGFAKGCDKCDYPKEGTHIRQHQVLHSARSFAKGCEKCGFPKEGTHIKQHQILHSGRSFAKGCEHCEMLKEGTERSQRVTHSTHHQFVPMHGYTVNVQKFYCEENVQREFQGECEWDARFDSGELDSCKLSEMIEWNFAGIEFIDKQLFKECRSELDPCWPGTVDNAIMWPEKGQELLSVVDPKSISLGLSALHGFNELTVERYLDRQAPELCKWEELSFEIAVDRFTVLGQAMAKLVIQGARIERSEERPCTCLGADLRHSALSWREWPGRRM
+>sp|Q8N184|ZN567_HUMAN Zinc finger protein 567 OS=Homo sapiens OX=9606 GN=ZNF567 PE=1 SV=3
+MAQGSVSFNDVTVDFTQEEWQHLDHAQKTLYMDVMLENYCHLISVGCHMTKPDVILKLERGEEPWTSFAGHTCLEENWKAEDFLVKFKEHQEKYSRSVVSINHKKLVKEKSKIYEKTFTLGKNPVNSKNLPPEYDTHGRILKNVSELIISNLNPARKRLSEYNGYGKSLLSTKQETTHPEVKSHNQSARAFSHNEVLMQYQKTETPAQSFGYNDCEKSFLQRGGLITHSRPYKGENPSVYNKKRRATNIEKKHTCNECGKSFCRKSVLILHQGIHSEEKPYQCHQCGNAFRRKSYLIDHQRTHTGEKPFVCNECGKSFRLKTALTDHQRTHTGEKSYECLQCRNAFRLKSHLIRHQRTHTGEKPYECNDCGKSFRQKTTLSLHQRIHTGEKPYICKECGKSFHQKANLTVHQRTHTGEKPYICNECGKSFSQKTTLALHEKTHNEEKPYICSECGKSFRQKTTLVAHQRTHTGEKSYECPHCGKAFRMKSYLIDHHRTHTGEKPYECNECGKSFSQKTNLNLHQRIHTGEKPYVCNECGKSFRQKATLTVHQKIHTGQKSYECPQCGKAFSRKSYLIHHQRTHTGEKPYKCSECGKCFRQKTNLIVHQRTHTGEKPYVCNECGKSFSYKRNLIVHQRTHKGENIEMQ
+>DECOY_sp|Q8N184|ZN567_HUMAN Zinc finger protein 567 OS=Homo sapiens OX=9606 GN=ZNF567 PE=1 SV=3
+QMEINEGKHTRQHVILNRKYSFSKGCENCVYPKEGTHTRQHVILNTKQRFCKGCESCKYPKEGTHTRQHHILYSKRSFAKGCQPCEYSKQGTHIKQHVTLTAKQRFSKGCENCVYPKEGTHIRQHLNLNTKQSFSKGCENCEYPKEGTHTRHHDILYSKMRFAKGCHPCEYSKEGTHTRQHAVLTTKQRFSKGCESCIYPKEENHTKEHLALTTKQSFSKGCENCIYPKEGTHTRQHVTLNAKQHFSKGCEKCIYPKEGTHIRQHLSLTTKQRFSKGCDNCEYPKEGTHTRQHRILHSKLRFANRCQLCEYSKEGTHTRQHDTLATKLRFSKGCENCVFPKEGTHTRQHDILYSKRRFANGCQHCQYPKEESHIGQHLILVSKRCFSKGCENCTHKKEINTARRKKNYVSPNEGKYPRSHTILGGRQLFSKECDNYGFSQAPTETKQYQMLVENHSFARASQNHSKVEPHTTEQKTSLLSKGYGNYESLRKRAPNLNSIILESVNKLIRGHTDYEPPLNKSNVPNKGLTFTKEYIKSKEKVLKKHNISVVSRSYKEQHEKFKVLFDEAKWNEELCTHGAFSTWPEEGRELKLIVDPKTMHCGVSILHCYNELMVDMYLTKQAHDLHQWEEQTFDVTVDNFSVSGQAM
+>sp|Q9BSK1|ZN577_HUMAN Zinc finger protein 577 OS=Homo sapiens OX=9606 GN=ZNF577 PE=2 SV=3
+MKNATIVMSVRREQGSSSGEGSLSFEDVAVGFTREEWQFLDQSQKVLYKEVMLENYINLVSIGYRGTKPDSLFKLEQGEPPGIAEGAAHSQICPGFVIQSRRYAGKDSDAFGGYGRSCLHIKRDKTLTGVKYHRCVKPSSPKSQLNDLQKICAGGKPHECSVCGRAFSRKAQLIQHQRTERGEKPHGCGECGKTFMRKIQLTEHQRTHTGEKPHECSECGKAFSRKSQLMVHQRTHTGEKPYRCSKCGKAFSRKCRLNRHQRSHTGEKLYGCSVCGKAFSQKAYLTAHQRLHTGDKPYKCSDCGRTFYFKSDLTRHQRIHTGEKPYECSECEKAFRSKSKLIQHQRTHTGERPYSCRECGKAFAHMSVLIKHEKTHIRETAINSLTVEKPSSRSHTSLYMSELIQEQKTVNTVPIEMPSSGTPPLLNKSERLVGRNVVIVEQPFPRNQAFVVNQEFEQRISLTNEVNVAPSVINYILYLTDIVSE
+>DECOY_sp|Q9BSK1|ZN577_HUMAN Zinc finger protein 577 OS=Homo sapiens OX=9606 GN=ZNF577 PE=2 SV=3
+ESVIDTLYLIYNIVSPAVNVENTLSIRQEFEQNVVFAQNRPFPQEVIVVNRGVLRESKNLLPPTGSSPMEIPVTNVTKQEQILESMYLSTHSRSSPKEVTLSNIATERIHTKEHKILVSMHAFAKGCERCSYPREGTHTRQHQILKSKSRFAKECESCEYPKEGTHIRQHRTLDSKFYFTRGCDSCKYPKDGTHLRQHATLYAKQSFAKGCVSCGYLKEGTHSRQHRNLRCKRSFAKGCKSCRYPKEGTHTRQHVMLQSKRSFAKGCESCEHPKEGTHTRQHETLQIKRMFTKGCEGCGHPKEGRETRQHQILQAKRSFARGCVSCEHPKGGACIKQLDNLQSKPSSPKVCRHYKVGTLTKDRKIHLCSRGYGGFADSDKGAYRRSQIVFGPCIQSHAAGEAIGPPEGQELKFLSDPKTGRYGISVLNIYNELMVEKYLVKQSQDLFQWEERTFGVAVDEFSLSGEGSSSGQERRVSMVITANKM
+>sp|Q96NG8|ZN582_HUMAN Zinc finger protein 582 OS=Homo sapiens OX=9606 GN=ZNF582 PE=2 SV=1
+MSLGSELFRDVAIVFSQEEWQWLAPAQRDLYRDVMLETYSNLVSLGLAVSKPDVISFLEQGKEPWMVERVVSGGLCPVLESRYDTKELFPKQHVYEVESPQWEIMESLTSYGLECSSFQDDWECRNQFDRQQGNPDRHFHQMIIRHEEMPTFDQHASLTFYQKIHTREKPFGYNKCRKDFWQKELLINHQGIYTNEKPYKCKECGKAFKYGSRLIQHENIHSGKKPYECKECGKAFNSGSNFIQHQRVHTGEKPYECKDCEKAFSRSSQLIEHQRTHTGEKPYQCKECGKAFNRISHLKVHYRIHTGEKPYACKECGKTFSHRSQLIQHQTVHTGRKLYECKECGKAFNQGSTLIRHQRIHTGEKPYECKVCGKAFRVSSQLKQHQRIHTGEKPYQCKVCGRAFKRVSHLTVHYRIHTGEKPYECKECGKAFSHCSQLIHHQVIHTEKKPYEYKECEKTLSHDSTTVQPQRMHNRETHVNIINVEKPSISSYPLLIIREFMLASNHMNGSNGESPLA
+>DECOY_sp|Q96NG8|ZN582_HUMAN Zinc finger protein 582 OS=Homo sapiens OX=9606 GN=ZNF582 PE=2 SV=1
+ALPSEGNSGNMHNSALMFERIILLPYSSISPKEVNIINVHTERNHMRQPQVTTSDHSLTKECEKYEYPKKETHIVQHHILQSCHSFAKGCEKCEYPKEGTHIRYHVTLHSVRKFARGCVKCQYPKEGTHIRQHQKLQSSVRFAKGCVKCEYPKEGTHIRQHRILTSGQNFAKGCEKCEYLKRGTHVTQHQILQSRHSFTKGCEKCAYPKEGTHIRYHVKLHSIRNFAKGCEKCQYPKEGTHTRQHEILQSSRSFAKECDKCEYPKEGTHVRQHQIFNSGSNFAKGCEKCEYPKKGSHINEHQILRSGYKFAKGCEKCKYPKENTYIGQHNILLEKQWFDKRCKNYGFPKERTHIKQYFTLSAHQDFTPMEEHRIIMQHFHRDPNGQQRDFQNRCEWDDQFSSCELGYSTLSEMIEWQPSEVEYVHQKPFLEKTDYRSELVPCLGGSVVREVMWPEKGQELFSIVDPKSVALGLSVLNSYTELMVDRYLDRQAPALWQWEEQSFVIAVDRFLESGLSM
+>sp|Q92610|ZN592_HUMAN Zinc finger protein 592 OS=Homo sapiens OX=9606 GN=ZNF592 PE=1 SV=2
+MGDMKTPDFDDLLAAFDIPDPTSLDAKEAIQTPSEENESPLKPPGICMDESVSLSHSGSAPDVPAVSVIVKNTSRQESFEAEKDHITPSLLHNGFRGSDLPPDPHNCGKFDSTFMNGDSARSFPGKLEPPKSEPLPTFNQFSPISSPEPEDPIKDNGFGIKPKHSDSYFPPPLGCGAVGGPVLEALAKFPVPELHMFDHFCKKEPKPEPLPLGSQQEHEQSGQNTVEPHKDPDATRFFGEALEFNSHPSNSIGESKGLARELGTCSSVPPRQRLKPAHSKLSSCVAALVALQAKRVASVTKEDQPGHTKDLSGPTKESSKGSPKMPKSPKSPRSPLEATRKSIKPSDSPRSICSDSSSKGSPSVAASSPPAIPKVRIKTIKTSSGEIKRTVTRILPDPDDPSKSPVGSPLGSAIAEAPSEMPGDEVPVEEHFPEAGTNSGSPQGARKGDESMTKASDSSSPSCSSGPRVPKGAAPGSQTGKKQQSTALQASTLAPANLLPKAVHLANLNLVPHSVAASVTAKSSVQRRSQPQLTQMSVPLVHQVKKAAPLIVEVFNKVLHSSNPVPLYAPNLSPPADSRIHVPASGYCCLECGDAFALEKSLSQHYGRRSVHIEVLCTLCSKTLLFFNKCSLLRHARDHKSKGLVMQCSQLLVKPISADQMFVSAPVNSTAPAAPAPSSSPKHGLTSGSASPPPPALPLYPDPVRLIRYSIKCLECHKQMRDYMVLAAHFQRTTEETEGLTCQVCQMLLPNQCSFCAHQRIHAHKSPYCCPECGVLCRSAYFQTHVKENCLHYARKVGYRCIHCGVVHLTLALLKSHIQERHCQVFHKCAFCPMAFKTASSTADHSATQHPTQPHRPSQLIYKCSCEMVFNKKRHIQQHFYQNVSKTQVGVFKCPECPLLFVQKPELMQHVKSTHGVPRNVDELSSLQSSADTSSSRPGSRVPTEPPATSVAARSSSLPSGRWGRPEAHRRVEARPRLRNTGWTCQECQEWVPDRESYVSHMKKSHGRTLKRYPCRQCEQSFHTPNSLRKHIRNNHDTVKKFYTCGYCTEDSPSFPRPSLLESHISLMHGIRNPDLSQTSKVKPPGGHSPQVNHLKRPVSGVGDAPGTSNGATVSSTKRHKSLFQCAKCSFATDSGLEFQSHIPQHQVDSSTAQCLLCGLCYTSASSLSRHLFIVHKVRDQEEEEEEEAAAAEMAVEVAEPEEGSGEEVPMETRENGLEECAGEPLSADPEARRLLGPAPEDDGGHNDHSQPQASQDQDSHTLSPQV
+>DECOY_sp|Q92610|ZN592_HUMAN Zinc finger protein 592 OS=Homo sapiens OX=9606 GN=ZNF592 PE=1 SV=2
+VQPSLTHSDQDQSAQPQSHDNHGGDDEPAPGLLRRAEPDASLPEGACEELGNERTEMPVEEGSGEEPEAVEVAMEAAAAEEEEEEEQDRVKHVIFLHRSLSSASTYCLGCLLCQATSSDVQHQPIHSQFELGSDTAFSCKACQFLSKHRKTSSVTAGNSTGPADGVGSVPRKLHNVQPSHGGPPKVKSTQSLDPNRIGHMLSIHSELLSPRPFSPSDETCYGCTYFKKVTDHNNRIHKRLSNPTHFSQECQRCPYRKLTRGHSKKMHSVYSERDPVWEQCEQCTWGTNRLRPRAEVRRHAEPRGWRGSPLSSSRAAVSTAPPETPVRSGPRSSSTDASSQLSSLEDVNRPVGHTSKVHQMLEPKQVFLLPCEPCKFVGVQTKSVNQYFHQQIHRKKNFVMECSCKYILQSPRHPQTPHQTASHDATSSATKFAMPCFACKHFVQCHREQIHSKLLALTLHVVGCHICRYGVKRAYHLCNEKVHTQFYASRCLVGCEPCCYPSKHAHIRQHACFSCQNPLLMQCVQCTLGETEETTRQFHAALVMYDRMQKHCELCKISYRILRVPDPYLPLAPPPPSASGSTLGHKPSSSPAPAAPATSNVPASVFMQDASIPKVLLQSCQMVLGKSKHDRAHRLLSCKNFFLLTKSCLTCLVEIHVSRRGYHQSLSKELAFADGCELCCYGSAPVHIRSDAPPSLNPAYLPVPNSSHLVKNFVEVILPAAKKVQHVLPVSMQTLQPQSRRQVSSKATVSAAVSHPVLNLNALHVAKPLLNAPALTSAQLATSQQKKGTQSGPAAGKPVRPGSSCSPSSSDSAKTMSEDGKRAGQPSGSNTGAEPFHEEVPVEDGPMESPAEAIASGLPSGVPSKSPDDPDPLIRTVTRKIEGSSTKITKIRVKPIAPPSSAAVSPSGKSSSDSCISRPSDSPKISKRTAELPSRPSKPSKPMKPSGKSSEKTPGSLDKTHGPQDEKTVSAVRKAQLAVLAAVCSSLKSHAPKLRQRPPVSSCTGLERALGKSEGISNSPHSNFELAEGFFRTADPDKHPEVTNQGSQEHEQQSGLPLPEPKPEKKCFHDFMHLEPVPFKALAELVPGGVAGCGLPPPFYSDSHKPKIGFGNDKIPDEPEPSSIPSFQNFTPLPESKPPELKGPFSRASDGNMFTSDFKGCNHPDPPLDSGRFGNHLLSPTIHDKEAEFSEQRSTNKVIVSVAPVDPASGSHSLSVSEDMCIGPPKLPSENEESPTQIAEKADLSTPDPIDFAALLDDFDPTKMDGM
+>sp|Q8N720|ZN655_HUMAN Zinc finger protein 655 OS=Homo sapiens OX=9606 GN=ZNF655 PE=1 SV=3
+MEEIPAQEAAGSPRVQFQSLETQSECLSPEPQFVQDTDMEQGLTGDGETREENKLLIPKQKISEEVHSYKVRVGRLKHDITQVPETREVYKSEDRLERLQEILRKFLYLEREFRQITISKETFTSEKNNECHEPEKSFSLDSTIDADQRVLRIQNTDDNDKYDMSFNQNSASGKHEHLNLTEDFQSSECKESLMDLSHLNKWESIPNTEKSYKCDVCGKIFHQSSALTRHQRIHTREKPYKCKECEKSFSQSSSLSRHKRIHTREKPYKCEASDKSCEASDKSCSPSSGIIQHKKIHTRAKSYKCSSCERVFSRSVHLTQHQKIHKEMPCKCTVCGSDFCHTSYLLEHQRVHHEEKAYEYDEYGLAYIKQQGIHFREKPYTCSECGKDFRLNSHLIQHQRIHTGEKAHECNECGKAFSQTSCLIQHHKMHRKEKSYECNEYEGSFSHSSDLILQQEVLTRQKAFDCDVWEKNSSQRAHLVQHQSIHTKENS
+>DECOY_sp|Q8N720|ZN655_HUMAN Zinc finger protein 655 OS=Homo sapiens OX=9606 GN=ZNF655 PE=1 SV=3
+SNEKTHISQHQVLHARQSSNKEWVDCDFAKQRTLVEQQLILDSSHSFSGEYENCEYSKEKRHMKHHQILCSTQSFAKGCENCEHAKEGTHIRQHQILHSNLRFDKGCESCTYPKERFHIGQQKIYALGYEDYEYAKEEHHVRQHELLYSTHCFDSGCVTCKCPMEKHIKQHQTLHVSRSFVRECSSCKYSKARTHIKKHQIIGSSPSCSKDSAECSKDSAECKYPKERTHIRKHRSLSSSQSFSKECEKCKYPKERTHIRQHRTLASSQHFIKGCVDCKYSKETNPISEWKNLHSLDMLSEKCESSQFDETLNLHEHKGSASNQNFSMDYKDNDDTNQIRLVRQDADITSDLSFSKEPEHCENNKESTFTEKSITIQRFERELYLFKRLIEQLRELRDESKYVERTEPVQTIDHKLRGVRVKYSHVEESIKQKPILLKNEERTEGDGTLGQEMDTDQVFQPEPSLCESQTELSQFQVRPSGAAEQAPIEEM
+>sp|Q9H7R5|ZN665_HUMAN Zinc finger protein 665 OS=Homo sapiens OX=9606 GN=ZNF665 PE=2 SV=2
+MGEAFYTVKLERLESCDTVGLSFQEVQKNTYDFECQWKDDEGNYKTVLMLQKENLPGRRAQRDRRAAGNRHIENQLGVSFQSHLPELQQFQHEGKIYEYNQVEKSPNNRGKHYKCDECGKVFSQNSRLTSHKRIHTGEKPYQCNKCGKAFTVRSNLTIHQVIHTGEKPYKCNECGKVFSQPSNLAGHQRIHTGEKPYKCNECGKAFRAHSKLTTHQVIHTGEKPYKCKECGKCFTQNSHLASHRRIHTGEKPYKCNECGKAFSVRSSLTTHQTIHTGEKPYKCNECGKVFRHNSYLAKHRRIHTGEKPYKCNECGKAFSMHSNLTKHQIIHTGEKPFKCNECVKVFTQYSHLANHRRIHTGEKPYRCDECGKAFSVRSSLTTHQAIHTGEKPYKCNDCGKVFTQNSHLASHRGIHSGEKPYKCDECGKAFSQTSQLARHWRVHTGEKPYKCNECGKAFSVHSSLTIHQTIHTGQKPYKCNDCGKVFRHNSYLAIHQRIHTGEKPYKCNECGKAFSVHSNLATHQVIHTGEKPYKCNECGKVFTQNSHLANHRRIHTGEKPYRCNECGKAFSVRSTLTTHMAVHTGDKPYKCNQCGKVFTQNSNLAKHRRIHSG
+>DECOY_sp|Q9H7R5|ZN665_HUMAN Zinc finger protein 665 OS=Homo sapiens OX=9606 GN=ZNF665 PE=2 SV=2
+GSHIRRHKALNSNQTFVKGCQNCKYPKDGTHVAMHTTLTSRVSFAKGCENCRYPKEGTHIRRHNALHSNQTFVKGCENCKYPKEGTHIVQHTALNSHVSFAKGCENCKYPKEGTHIRQHIALYSNHRFVKGCDNCKYPKQGTHITQHITLSSHVSFAKGCENCKYPKEGTHVRWHRALQSTQSFAKGCEDCKYPKEGSHIGRHSALHSNQTFVKGCDNCKYPKEGTHIAQHTTLSSRVSFAKGCEDCRYPKEGTHIRRHNALHSYQTFVKVCENCKFPKEGTHIIQHKTLNSHMSFAKGCENCKYPKEGTHIRRHKALYSNHRFVKGCENCKYPKEGTHITQHTTLSSRVSFAKGCENCKYPKEGTHIRRHSALHSNQTFCKGCEKCKYPKEGTHIVQHTTLKSHARFAKGCENCKYPKEGTHIRQHGALNSPQSFVKGCENCKYPKEGTHIVQHITLNSRVTFAKGCKNCQYPKEGTHIRKHSTLRSNQSFVKGCEDCKYHKGRNNPSKEVQNYEYIKGEHQFQQLEPLHSQFSVGLQNEIHRNGAARRDRQARRGPLNEKQLMLVTKYNGEDDKWQCEFDYTNKQVEQFSLGVTDCSELRELKVTYFAEGM
+>sp|Q2M3X9|ZN674_HUMAN Zinc finger protein 674 OS=Homo sapiens OX=9606 GN=ZNF674 PE=2 SV=1
+MAMSQESLTFKDVFVDFTLEEWQQLDSAQKNLYRDVMLENYSHLVSVGHLVGKPDVIFRLGPGDESWMADGGTPVRTCAGEDRPEVWEVDEQIDHYKESQDKFLWQAAFIGKETLKDESGQECKICRKIIYLNTDFVSVKQRLPKYYSWERCSKHHLNFLGQNRSYVRKKDDGCKAYWKVCLHYNLHKAQPAERFFDPNQRGKALHQKQALRKSQRSQTGEKLYKCTECGKVFIQKANLVVHQRTHTGEKPYECCECAKAFSQKSTLIAHQRTHTGEKPYECSECGKTFIQKSTLIKHQRTHTGEKPFVCDKCPKAFKSSYHLIRHEKTHIRQAFYKGIKCTTSSLIYQRIHTSEKPQCSEHGKASDEKPSPTKHWRTHTKENIYECSKCGKSFRGKSHLSVHQRIHTGEKPYECSICGKTFSGKSHLSVHHRTHTGEKPYECRRCGKAFGEKSTLIVHQRMHTGEKPYKCNECGKAFSEKSPLIKHQRIHTGERPYECTDCKKAFSRKSTLIKHQRIHTGEKPYKCSECGKAFSVKSTLIVHHRTHTGEKPYECRDCGKAFSGKSTLIKHQRSHTGDKNL
+>DECOY_sp|Q2M3X9|ZN674_HUMAN Zinc finger protein 674 OS=Homo sapiens OX=9606 GN=ZNF674 PE=2 SV=1
+LNKDGTHSRQHKILTSKGSFAKGCDRCEYPKEGTHTRHHVILTSKVSFAKGCESCKYPKEGTHIRQHKILTSKRSFAKKCDTCEYPREGTHIRQHKILPSKESFAKGCENCKYPKEGTHMRQHVILTSKEGFAKGCRRCEYPKEGTHTRHHVSLHSKGSFTKGCISCEYPKEGTHIRQHVSLHSKGRFSKGCKSCEYINEKTHTRWHKTPSPKEDSAKGHESCQPKESTHIRQYILSSTTCKIGKYFAQRIHTKEHRILHYSSKFAKPCKDCVFPKEGTHTRQHKILTSKQIFTKGCESCEYPKEGTHTRQHAILTSKQSFAKACECCEYPKEGTHTRQHVVLNAKQIFVKGCETCKYLKEGTQSRQSKRLAQKQHLAKGRQNPDFFREAPQAKHLNYHLCVKWYAKCGDDKKRVYSRNQGLFNLHHKSCREWSYYKPLRQKVSVFDTNLYIIKRCIKCEQGSEDKLTEKGIFAAQWLFKDQSEKYHDIQEDVEWVEPRDEGACTRVPTGGDAMWSEDGPGLRFIVDPKGVLHGVSVLHSYNELMVDRYLNKQASDLQQWEELTFDVFVDKFTLSEQSMAM
+>sp|Q8TD23|ZN675_HUMAN Zinc finger protein 675 OS=Homo sapiens OX=9606 GN=ZNF675 PE=1 SV=3
+MGLLTFRDVAIEFSLEEWQCLDTAQRNLYKNVILENYRNLVFLGIAVSKQDLITCLEQEKEPLTVKRHEMVNEPPVMCSHFAQEFWPEQNIKDSFEKVTLRRYEKCGNDNFQLKGCKSVDECKLHKGGYNGLNQCLPTMQSKMFQCDKYVKVFNKFSHSDRHKIKHMENKPFKCKECGRSFCMLSHLTRHERNYTKVNFCKCEECEKAVNQSSKLTKHKRIYTCEKLYKCQECDRTFNQFSNLTEYKKDYAREKPYKCEECGKAFNQSSHLTTHKIIHTGEKPYKCEECGKAFNQFSNLTTHKKIHTGEQPYICEECGKAFTQSSTLTTHKRIHTGEKPYKCEECGKAFNRSSKLTEHKNIHTGEQPYKCEECGKAFNRSSNLTEHRKIHTEEKPYKCKECGKAFKHSSALTTHKRIHTGEKPYKCEECGKAFNRSSKLTEHKKLHTGKKPYKCEECGKAFIQSSKLTEHKKIHSGEIPYKCEECGKAFKHSSSLTTHKRIHTGEKPYKCEECGKAFSRSSKLTEHKIIHTGEKPYKCERCDKAFNQSANLTKHKKIHTGEKLQNWNV
+>DECOY_sp|Q8TD23|ZN675_HUMAN Zinc finger protein 675 OS=Homo sapiens OX=9606 GN=ZNF675 PE=1 SV=3
+VNWNQLKEGTHIKKHKTLNASQNFAKDCRECKYPKEGTHIIKHETLKSSRSFAKGCEECKYPKEGTHIRKHTTLSSSHKFAKGCEECKYPIEGSHIKKHETLKSSQIFAKGCEECKYPKKGTHLKKHETLKSSRNFAKGCEECKYPKEGTHIRKHTTLASSHKFAKGCEKCKYPKEETHIKRHETLNSSRNFAKGCEECKYPQEGTHINKHETLKSSRNFAKGCEECKYPKEGTHIRKHTTLTSSQTFAKGCEECIYPQEGTHIKKHTTLNSFQNFAKGCEECKYPKEGTHIIKHTTLHSSQNFAKGCEECKYPKERAYDKKYETLNSFQNFTRDCEQCKYLKECTYIRKHKTLKSSQNVAKECEECKCFNVKTYNREHRTLHSLMCFSRGCEKCKFPKNEMHKIKHRDSHSFKNFVKVYKDCQFMKSQMTPLCQNLGNYGGKHLKCEDVSKCGKLQFNDNGCKEYRRLTVKEFSDKINQEPWFEQAFHSCMVPPENVMEHRKVTLPEKEQELCTILDQKSVAIGLFVLNRYNELIVNKYLNRQATDLCQWEELSFEIAVDRFTLLGM
+>sp|Q8N1G0|ZN687_HUMAN Zinc finger protein 687 OS=Homo sapiens OX=9606 GN=ZNF687 PE=1 SV=1
+MGDMKTPDFDDLLAAFDIPDIDANEAIHSGPEENEGPGGPGKPEPGVGSESEDTAAASAGDGPGVPAQASDHGLPPPDISVVSVIVKNTVCPEQSEALAGGSAGDGAQAAGVTKEGPVGPHRMQNGFGSPEPSLPGTPHSPAPPSGGTWKEKGMEGKTPLDLFAHFGPEPGDHSDPLPPSAPSPTREGALTPPPFPSSFELAQENGPGMQPPVSSPPLGALKQESCSPHHPQVLAQQGSGSSPKATDIPASASPPPVAGVPFFKQSPGHQSPLASPKVPVCQPLKEEDDDEGPVDKSSPGSPQSPSSGAEAADEDSNDSPASSSSRPLKVRIKTIKTSCGNITRTVTQVPSDPDPPAPLAEGAFLAEASLLKLSPATPTSEGPKVVSVQLGDGTRLKGTVLPVATIQNASTAMLMAASVARKAVVLPGGTATSPKMIAKNVLGLVPQALPKADGRAGLGTGGQKVNGASVVMVQPSKTATGPSTGGGTVISRTQSSLVEAFNKILNSKNLLPAYRPNLSPPAEAGLALPPTGYRCLECGDAFSLEKSLARHYDRRSMRIEVTCNHCARRLVFFNKCSLLLHAREHKDKGLVMQCSHLVMRPVALDQMVGQPDITPLLPVAVPPVSGPLALPALGKGEGAITSSAITTVAAEAPVLPLSTEPPAAPATSAYTCFRCLECKEQCRDKAGMAAHFQQLGPPAPGATSNVCPTCPMMLPNRCSFSAHQRMHKNRPPHVCPECGGNFLQANFQTHLREACLHVSRRVGYRCPSCSVVFGGVNSIKSHIQTSHCEVFHKCPICPMAFKSGPSAHAHLYSQHPSFQTQQAKLIYKCAMCDTVFTHKPLLSSHFDQHLLPQRVSVFKCPSCPLLFAQKRTMLEHLKNTHQSGRLEETAGKGAGGALLTPKTEPEELAVSQGGAAPATEESSSSSEEEEVPSSPEPPRPAKRPRRELGSKGLKGGGGGPGGWTCGLCHSWFPERDEYVAHMKKEHGKSVKKFPCRLCERSFCSAPSLRRHVRVNHEGIKRVYPCRYCTEGKRTFSSRLILEKHVQVRHGLQLGAQSPGRGTTLARGSSARAQGPGRKRRQSSDSCSEEPDSTTPPAKSPRGGPGSGGHGPLRYRSSSSTEQSLMMGLRVEDGAQQCLDCGLCFASPGSLSRHRFISHKKRRGVGKASALGLGDGEEEAPPSRSDPDGGDSPLPASGGPLTCKVCGKSCDSPLNLKTHFRTHGMAFIRARQGAVGDN
+>DECOY_sp|Q8N1G0|ZN687_HUMAN Zinc finger protein 687 OS=Homo sapiens OX=9606 GN=ZNF687 PE=1 SV=1
+NDGVAGQRARIFAMGHTRFHTKLNLPSDCSKGCVKCTLPGGSAPLPSDGGDPDSRSPPAEEEGDGLGLASAKGVGRRKKHSIFRHRSLSGPSAFCLGCDLCQQAGDEVRLGMMLSQETSSSSRYRLPGHGGSGPGGRPSKAPPTTSDPEESCSDSSQRRKRGPGQARASSGRALTTGRGPSQAGLQLGHRVQVHKELILRSSFTRKGETCYRCPYVRKIGEHNVRVHRRLSPASCFSRECLRCPFKKVSKGHEKKMHAVYEDREPFWSHCLGCTWGGPGGGGGKLGKSGLERRPRKAPRPPEPSSPVEEEESSSSSEETAPAAGGQSVALEEPETKPTLLAGGAGKGATEELRGSQHTNKLHELMTRKQAFLLPCSPCKFVSVRQPLLHQDFHSSLLPKHTFVTDCMACKYILKAQQTQFSPHQSYLHAHASPGSKFAMPCIPCKHFVECHSTQIHSKISNVGGFVVSCSPCRYGVRRSVHLCAERLHTQFNAQLFNGGCEPCVHPPRNKHMRQHASFSCRNPLMMPCTPCVNSTAGPAPPGLQQFHAAMGAKDRCQEKCELCRFCTYASTAPAAPPETSLPLVPAEAAVTTIASSTIAGEGKGLAPLALPGSVPPVAVPLLPTIDPQGVMQDLAVPRMVLHSCQMVLGKDKHERAHLLLSCKNFFVLRRACHNCTVEIRMSRRDYHRALSKELSFADGCELCRYGTPPLALGAEAPPSLNPRYAPLLNKSNLIKNFAEVLSSQTRSIVTGGGTSPGTATKSPQVMVVSAGNVKQGGTGLGARGDAKPLAQPVLGLVNKAIMKPSTATGGPLVVAKRAVSAAMLMATSANQITAVPLVTGKLRTGDGLQVSVVKPGESTPTAPSLKLLSAEALFAGEALPAPPDPDSPVQTVTRTINGCSTKITKIRVKLPRSSSSAPSDNSDEDAAEAGSSPSQPSGPSSKDVPGEDDDEEKLPQCVPVKPSALPSQHGPSQKFFPVGAVPPPSASAPIDTAKPSSGSGQQALVQPHHPSCSEQKLAGLPPSSVPPQMGPGNEQALEFSSPFPPPTLAGERTPSPASPPLPDSHDGPEPGFHAFLDLPTKGEMGKEKWTGGSPPAPSHPTGPLSPEPSGFGNQMRHPGVPGEKTVGAAQAGDGASGGALAESQEPCVTNKVIVSVVSIDPPPLGHDSAQAPVGPGDGASAAATDESESGVGPEPKGPGGPGENEEPGSHIAENADIDPIDFAALLDDFDPTKMDGM
+>sp|Q9BU19|ZN692_HUMAN Zinc finger protein 692 OS=Homo sapiens OX=9606 GN=ZNF692 PE=1 SV=1
+MASSPAVDVSCRRREKRRQLDARRSKCRIRLGGHMEQWCLLKERLGFSLHSQLAKFLLDRYTSSGCVLCAGPEPLPPKGLQYLVLLSHAHSRECSLVPGLRGPGGQDGGLVWECSAGHTFSWGPSLSPTPSEAPKPASLPHTTRRSWCSEATSGQELADLESEHDERTQEARLPRRVGPPPETFPPPGEEEGEEEEDNDEDEEEMLSDASLWTYSSSPDDSEPDAPRLLPSPVTCTPKEGETPPAPAALSSPLAVPALSASSLSSRAPPPAEVRVQPQLSRTPQAAQQTEALASTGSQAQSAPTPAWDEDTAQIGPKRIRKAAKRELMPCDFPGCGRIFSNRQYLNHHKKYQHIHQKSFSCPEPACGKSFNFKKHLKEHMKLHSDTRDYICEFCARSFRTSSNLVIHRRIHTGEKPLQCEICGFTCRQKASLNWHQRKHAETVAALRFPCEFCGKRFEKPDSVAAHRSKSHPALLLAPQESPSGPLEPCPSISAPGPLGSSEGSRPSASPQAPTLLPQQ
+>DECOY_sp|Q9BU19|ZN692_HUMAN Zinc finger protein 692 OS=Homo sapiens OX=9606 GN=ZNF692 PE=1 SV=1
+QQPLLTPAQPSASPRSGESSGLPGPASISPCPELPGSPSEQPALLLAPHSKSRHAAVSDPKEFRKGCFECPFRLAAVTEAHKRQHWNLSAKQRCTFGCIECQLPKEGTHIRRHIVLNSSTRFSRACFECIYDRTDSHLKMHEKLHKKFNFSKGCAPEPCSFSKQHIHQYKKHHNLYQRNSFIRGCGPFDCPMLERKAAKRIRKPGIQATDEDWAPTPASQAQSGTSALAETQQAAQPTRSLQPQVRVEAPPPARSSLSSASLAPVALPSSLAAPAPPTEGEKPTCTVPSPLLRPADPESDDPSSSYTWLSADSLMEEEDEDNDEEEEGEEEGPPPFTEPPPGVRRPLRAEQTREDHESELDALEQGSTAESCWSRRTTHPLSAPKPAESPTPSLSPGWSFTHGASCEWVLGGDQGGPGRLGPVLSCERSHAHSLLVLYQLGKPPLPEPGACLVCGSSTYRDLLFKALQSHLSFGLREKLLCWQEMHGGLRIRCKSRRADLQRRKERRRCSVDVAPSSAM
+>sp|Q32M78|ZN699_HUMAN Zinc finger protein 699 OS=Homo sapiens OX=9606 GN=ZNF699 PE=1 SV=1
+MEEERKTAELQKNRIQDSVVFEDVAVDFTQEEWALLDLAQRNLYRDVMLENFQNLASLGYPLHTPHLISQWEQEEDLQTVKRELIQGIFMGEHREGFETQLKTNESVASQDICGEKISNEQKIVRFKRNDSWFSSLHENQESCGIDYQNKSHERHLRNHMVENIYECYEENQDGQTFSQVPNLDSLKRNTEVKSCECHECGKAFVDHSSLKSHIRSHTGSKPYQCKECGKAFHFLACFKKHMKTPTEEKPYECKECTKAFSCSSFFRAHMKIHIGKTNYECKECGKGFSCSSSLTEHKRIHSGDKPYECKECGKAFSCSSSLSKHKRIHSGDKPYECKECGKAFSSSSHLIIHIRIHTGEKPYECKECGKAFSESSKLTVHGRTHTGEKPYKCKECGKAYNCPSSLSIHMRKHTGEKPYECLECGKAFYLPTSLNTHVKNQSREKPYECKECGKAFSCPSSFRAHVRDHTGKIQYECKECGKTFSRSSSLTEHLRTHSGEKPYECKECGKAFISSSHLTVHIRTHTGEKPYECKKCGKAFIYPSALRIHMRTHTGEKPYECKECGKAFRHSSYLTVHARMHTGEKPFECLECGKAFSCPSSFRRHVRSHTGEKPYECKECGKAFVCPAYFRRHVKTHTRENI
+>DECOY_sp|Q32M78|ZN699_HUMAN Zinc finger protein 699 OS=Homo sapiens OX=9606 GN=ZNF699 PE=1 SV=1
+INERTHTKVHRRFYAPCVFAKGCEKCEYPKEGTHSRVHRRFSSPCSFAKGCELCEFPKEGTHMRAHVTLYSSHRFAKGCEKCEYPKEGTHTRMHIRLASPYIFAKGCKKCEYPKEGTHTRIHVTLHSSSIFAKGCEKCEYPKEGSHTRLHETLSSSRSFTKGCEKCEYQIKGTHDRVHARFSSPCSFAKGCEKCEYPKERSQNKVHTNLSTPLYFAKGCELCEYPKEGTHKRMHISLSSPCNYAKGCEKCKYPKEGTHTRGHVTLKSSESFAKGCEKCEYPKEGTHIRIHIILHSSSSFAKGCEKCEYPKDGSHIRKHKSLSSSCSFAKGCEKCEYPKDGSHIRKHETLSSSCSFGKGCEKCEYNTKGIHIKMHARFFSSCSFAKTCEKCEYPKEETPTKMHKKFCALFHFAKGCEKCQYPKSGTHSRIHSKLSSHDVFAKGCEHCECSKVETNRKLSDLNPVQSFTQGDQNEEYCEYINEVMHNRLHREHSKNQYDIGCSEQNEHLSSFWSDNRKFRVIKQENSIKEGCIDQSAVSENTKLQTEFGERHEGMFIGQILERKVTQLDEEQEWQSILHPTHLPYGLSALNQFNELMVDRYLNRQALDLLAWEEQTFDVAVDEFVVSDQIRNKQLEATKREEEM
+>sp|Q9H963|ZN702_HUMAN Putative zinc finger protein 702 OS=Homo sapiens OX=9606 GN=ZNF702P PE=5 SV=2
+MREKSFQCNESGKAFNCSSLLKKCQIIHLGEKKYKCDICGKVFNQKRYLAYHHRCHTGEKPYKCNQCGKTFSYKSSLVIHKAIHTGEKPHKCNECGKVFNQKAYLASHHRLHTGEKPYKCEECDKVFSR
+>DECOY_sp|Q9H963|ZN702_HUMAN Putative zinc finger protein 702 OS=Homo sapiens OX=9606 GN=ZNF702P PE=5 SV=2
+RSFVKDCEECKYPKEGTHLRHHSALYAKQNFVKGCENCKHPKEGTHIAKHIVLSSKYSFTKGCQNCKYPKEGTHCRHHYALYRKQNFVKGCIDCKYKKEGLHIIQCKKLLSSCNFAKGSENCQFSKERM
+>sp|Q9H7S9|ZN703_HUMAN Zinc finger protein 703 OS=Homo sapiens OX=9606 GN=ZNF703 PE=1 SV=1
+MSDSPAGSNPRTPESSGSGSGGGGKRPAVPAAVSLLPPADPLRQANRLPIRVLKMLSAHTGHLLHPEYLQPLSSTPVSPIELDAKKSPLALLAQTCSQIGKPDPPPSSKLNSVAAAANGLGAEKDPGRSAPGAASAAAALKQLGDSPAEDKSSFKPYSKGSGGGDSRKDSGSSSVSSTSSSSSSSPGDKAGFRVPSAACPPFPPHGAPVSASSSSSSPGGSRGGSPHHSDCKNGGGVGGGELDKKDQEPKPSPEPAAVSRGGGGEPGAHGGAESGASGRKSEPPSALVGAGHVAPVSPYKPGHSVFPLPPSSIGYHGSIVGAYAGYPSQFVPGLDPSKSGLVGGQLSGGLGLPPGKPPSSSPLTGASPPSFLQGLCRDPYCLGGYHGASHLGGSSCSTCSAHDPAGPSLKAGGYPLVYPGHPLQPAALSSSAAQAALPGHPLYTYGFMLQNEPLPHSCNWVAASGPCDKRFATSEELLSHLRTHTALPGAEKLLAAYPGASGLGSAAAAAAAAASCHLHLPPPAAPGSPGSLSLRNPHTLGLSRYHPYGKSHLSTAGGLAVPSLPTAGPYYSPYALYGQRLASASALGYQ
+>DECOY_sp|Q9H7S9|ZN703_HUMAN Zinc finger protein 703 OS=Homo sapiens OX=9606 GN=ZNF703 PE=1 SV=1
+QYGLASASALRQGYLAYPSYYPGATPLSPVALGGATSLHSKGYPHYRSLGLTHPNRLSLSGPSGPAAPPPLHLHCSAAAAAAAAASGLGSAGPYAALLKEAGPLATHTRLHSLLEESTAFRKDCPGSAAVWNCSHPLPENQLMFGYTYLPHGPLAAQAASSSLAAPQLPHGPYVLPYGGAKLSPGAPDHASCTSCSSGGLHSAGHYGGLCYPDRCLGQLFSPPSAGTLPSSSPPKGPPLGLGGSLQGGVLGSKSPDLGPVFQSPYGAYAGVISGHYGISSPPLPFVSHGPKYPSVPAVHGAGVLASPPESKRGSAGSEAGGHAGPEGGGGRSVAAPEPSPKPEQDKKDLEGGGVGGGNKCDSHHPSGGRSGGPSSSSSSASVPAGHPPFPPCAASPVRFGAKDGPSSSSSSSTSSVSSSGSDKRSDGGGSGKSYPKFSSKDEAPSDGLQKLAAAASAAGPASRGPDKEAGLGNAAAAVSNLKSSPPPDPKGIQSCTQALLALPSKKADLEIPSVPTSSLPQLYEPHLLHGTHASLMKLVRIPLRNAQRLPDAPPLLSVAAPVAPRKGGGGSGSGSSEPTRPNSGAPSDSM
+>sp|P17019|ZN708_HUMAN Zinc finger protein 708 OS=Homo sapiens OX=9606 GN=ZNF708 PE=1 SV=5
+MKRHEMAAKPPAMCSHFAKDLRPEQYIKNSFQQVILRRYGKCGYQKGCKSVDEHKLHKGGHKGLNRCVTTTQSKIVQCDKYVKVFHKYSNAKRHKIRHTGKNPFKCKECGKSFCMLSQLTQHEIIHTGEKPYKCEECGKAFKKSSNLTNHKIIHTGEKPYKCEECGKAFNQSSTLTRHKIIHTGEKLYKCEECGKAFNRSSNLTKHKIVHTGEKPYKCEECGKAFKQSSNLTNHKKIHTGEKPYKCGECGKAFTLSSHLTTHKRIHTGEKPYKCEECGKAFSVFSTLTKHKIIHTEEKPYKCEECGKAFNRSSHLTNHKVIHTGEKPYKCEECGKAFTKSSTLTYHKVIHTGKKPYKCEECGKAFSIFSILTKHKVIHTEDKPYKCEECGKTFNYSSNFTNHKKIHTGEKPYKCEECGKSFILSSHLTTHKIIHTGEKPYKCKECGKAFNQSSTLMKHKIIHTGEKPYKCEECGKAFNQSPNLTKHKRIHTKEKPYKCK
+>DECOY_sp|P17019|ZN708_HUMAN Zinc finger protein 708 OS=Homo sapiens OX=9606 GN=ZNF708 PE=1 SV=5
+KCKYPKEKTHIRKHKTLNPSQNFAKGCEECKYPKEGTHIIKHKMLTSSQNFAKGCEKCKYPKEGTHIIKHTTLHSSLIFSKGCEECKYPKEGTHIKKHNTFNSSYNFTKGCEECKYPKDETHIVKHKTLISFISFAKGCEECKYPKKGTHIVKHYTLTSSKTFAKGCEECKYPKEGTHIVKHNTLHSSRNFAKGCEECKYPKEETHIIKHKTLTSFVSFAKGCEECKYPKEGTHIRKHTTLHSSLTFAKGCEGCKYPKEGTHIKKHNTLNSSQKFAKGCEECKYPKEGTHVIKHKTLNSSRNFAKGCEECKYLKEGTHIIKHRTLTSSQNFAKGCEECKYPKEGTHIIKHNTLNSSKKFAKGCEECKYPKEGTHIIEHQTLQSLMCFSKGCEKCKFPNKGTHRIKHRKANSYKHFVKVYKDCQVIKSQTTTVCRNLGKHGGKHLKHEDVSKCGKQYGCKGYRRLIVQQFSNKIYQEPRLDKAFHSCMAPPKAAMEHRKM
+>sp|Q8N859|ZN713_HUMAN Zinc finger protein 713 OS=Homo sapiens OX=9606 GN=ZNF713 PE=2 SV=1
+MEEEEMNDGSQMVRSQESLTFQDVAVDFTREEWDQLYPAQKNLYRDVMLENYRNLVALGYQLCKPEVIAQLELEEEWVIERDSLLDTHPDGENRPEIKKSTTSQNISDENQTHEMIMERLAGDSFWYSILGGLWDFDYHPEFNQENHKRYLGQVTLTHKKITQERSLECNKFAENCNLNSNLMQQRIPSIKIPLNSDTQGNSIKHNSDLIYYQGNYVRETPYEYSECGKIFNQHILLTDHIHTAEKPSECGKAFSHTSSLSQPQMLLTGEKPYKCDECGKRFSQRIHLIQHQRIHTGEKPFICNGCGKAFRQHSSFTQHLRIHTGEKPYKCNQCGKAFSRITSLTEHHRLHTGEKPYECGFCGKAFSQRTHLNQHERTHTGEKPYKCNECGKAFSQSAHLNQHRKIHTREKLCEYKCEQTVRHSPSFSST
+>DECOY_sp|Q8N859|ZN713_HUMAN Zinc finger protein 713 OS=Homo sapiens OX=9606 GN=ZNF713 PE=2 SV=1
+TSSFSPSHRVTQECKYECLKERTHIKRHQNLHASQSFAKGCENCKYPKEGTHTREHQNLHTRQSFAKGCFGCEYPKEGTHLRHHETLSTIRSFAKGCQNCKYPKEGTHIRLHQTFSSHQRFAKGCGNCIFPKEGTHIRQHQILHIRQSFRKGCEDCKYPKEGTLLMQPQSLSSTHSFAKGCESPKEATHIHDTLLIHQNFIKGCESYEYPTERVYNGQYYILDSNHKISNGQTDSNLPIKISPIRQQMLNSNLNCNEAFKNCELSREQTIKKHTLTVQGLYRKHNEQNFEPHYDFDWLGGLISYWFSDGALREMIMEHTQNEDSINQSTTSKKIEPRNEGDPHTDLLSDREIVWEEELELQAIVEPKCLQYGLAVLNRYNELMVDRYLNKQAPYLQDWEERTFDVAVDQFTLSEQSRVMQSGDNMEEEEM
+>sp|Q3SXZ3|ZN718_HUMAN Zinc finger protein 718 OS=Homo sapiens OX=9606 GN=ZNF718 PE=2 SV=2
+MELLTFKDVAIEFSPEEWKCLDTSQQNLYRDVMLENYRNLVSLGVSISNPDLVTSLEQRKEPYNLKIHETAARPPAVCSHFTQNLWTVQGIEDSFHKLIPKGHEKRGHENLRKTCKSINECKVQKGGYNRINQCLLTTQKKTIQSNICVKVFHKFSNSNKDKIRYTGDKTFKCKECGKSFHVLSRLTQHKRIHTGENPYTCEECGKAFNWSSILTKHKRIHAREKFYKCEECGKGFTRSSHLTKHKRIHTGEKPYICEKCGKAFNQSSTLNLHKRIHSAQKYYKCEECGKAFKWSSSLNEHKRIHAGEKPFSCEECGNVFTTSSDFAKHKRIHTGEKPYKCEECGKSFNRSTTLTTHKRIHTGEKPYTCEECGKAFNWSSTLNVHKRIHSGKNPYKCEDCGKAFKVFANLHNHKKIHTGEKPYICKQCGKAFKQSSHLNKHKKIHTVDKPYKCKECGKAFKQYSNLPQHKRTHTGGKF
+>DECOY_sp|Q3SXZ3|ZN718_HUMAN Zinc finger protein 718 OS=Homo sapiens OX=9606 GN=ZNF718 PE=2 SV=2
+FKGGTHTRKHQPLNSYQKFAKGCEKCKYPKDVTHIKKHKNLHSSQKFAKGCQKCIYPKEGTHIKKHNHLNAFVKFAKGCDECKYPNKGSHIRKHVNLTSSWNFAKGCEECTYPKEGTHIRKHTTLTTSRNFSKGCEECKYPKEGTHIRKHKAFDSSTTFVNGCEECSFPKEGAHIRKHENLSSSWKFAKGCEECKYYKQASHIRKHLNLTSSQNFAKGCKECIYPKEGTHIRKHKTLHSSRTFGKGCEECKYFKERAHIRKHKTLISSWNFAKGCEECTYPNEGTHIRKHQTLRSLVHFSKGCEKCKFTKDGTYRIKDKNSNSFKHFVKVCINSQITKKQTTLLCQNIRNYGGKQVKCENISKCTKRLNEHGRKEHGKPILKHFSDEIGQVTWLNQTFHSCVAPPRAATEHIKLNYPEKRQELSTVLDPNSISVGLSVLNRYNELMVDRYLNQQSTDLCKWEEPSFEIAVDKFTLLEM
+>sp|Q8TF20|ZN721_HUMAN Zinc finger protein 721 OS=Homo sapiens OX=9606 GN=ZNF721 PE=1 SV=2
+MCSHFTQDFLPVQGIEDSFHKLILRRYEKCGHDNLQLRKGCKSMNVCKVQKGVYNGINKCLSNTQSKIFQCNARVKVFSKFANSNKDKTRHTGEKHFKCNECGKSFQKFSDLTQHKGIHAGEKPYTCEERGKDFGWYTDLNQHKKIHTGEKPYKCEECGKAFNRSTNLTAHKRIHNREKAYTGEDRDRAFGWSTNLNEYKKIHTGDKPYKCKECGKAFMHSSHLNKHEKIHTGEKPYKCKECGKVISSSSSFAKHKRIHTGEKPFKCLECGKAFNISTTLTKHRRIHTGEKPYTCEVCGKAFRQSANLYVHRRIHTGEKPYTCGECGKTFRQSANLYVHRRIHTGEKPYKCEDCGKAFGRYTALNQHKKIHTGEKPYKCEECGKAFNSSTNLTAHKRIHTREKPYTCEDRGRAFGLSTNLNEYKKIHTGDKPYKCKECGKAFIHSLHLNKHEKIHTGKKPYKCKQCGKVITSSSSFAKHKRIHTGEKPFECLECGKAFTSSTTLTKHRRIHTGEKPYTCEVCGKAFRQSAILYVHRRIHTGEKPYTCEECGKTFRQSANLYVHRRIHTGEKPYKCEECGKAFGRYTDLNQHKKIHTGEKLYKCEECGKDFVWYTDLNQQKKIYTGEKPYKCEECGKAFAPSTDLNQHTKILTGEQSYKCEECGKAFGWSIALNQHKKIHTGEKPYKCEECGKAFSRSRNLTTHRRVHTREKPYKCEDRGRSFGWSTNLNEYKKIHTGDKLYKCKECGKVFKQSSHLNRHEKIHTGKKPYKCKECGKVITSSSSFAKHKRIHTGEKPFKCLECGKAFTSSTTLTKHRRIHTGEKPYTCEECGKAFRQSAILYVHRRIHTGEKPYTCGECGKTFRQSANLYAHKKIHTGEKPYTCGDCGKTFRQSANLYAHKKIHTGDKTIQV
+>DECOY_sp|Q8TF20|ZN721_HUMAN Zinc finger protein 721 OS=Homo sapiens OX=9606 GN=ZNF721 PE=1 SV=2
+VQITKDGTHIKKHAYLNASQRFTKGCDGCTYPKEGTHIKKHAYLNASQRFTKGCEGCTYPKEGTHIRRHVYLIASQRFAKGCEECTYPKEGTHIRRHKTLTTSSTFAKGCELCKFPKEGTHIRKHKAFSSSSTIVKGCEKCKYPKKGTHIKEHRNLHSSQKFVKGCEKCKYLKDGTHIKKYENLNTSWGFSRGRDECKYPKERTHVRRHTTLNRSRSFAKGCEECKYPKEGTHIKKHQNLAISWGFAKGCEECKYSQEGTLIKTHQNLDTSPAFAKGCEECKYPKEGTYIKKQQNLDTYWVFDKGCEECKYLKEGTHIKKHQNLDTYRGFAKGCEECKYPKEGTHIRRHVYLNASQRFTKGCEECTYPKEGTHIRRHVYLIASQRFAKGCVECTYPKEGTHIRRHKTLTTSSTFAKGCELCEFPKEGTHIRKHKAFSSSSTIVKGCQKCKYPKKGTHIKEHKNLHLSHIFAKGCEKCKYPKDGTHIKKYENLNTSLGFARGRDECTYPKERTHIRKHATLNTSSNFAKGCEECKYPKEGTHIKKHQNLATYRGFAKGCDECKYPKEGTHIRRHVYLNASQRFTKGCEGCTYPKEGTHIRRHVYLNASQRFAKGCVECTYPKEGTHIRRHKTLTTSINFAKGCELCKFPKEGTHIRKHKAFSSSSSIVKGCEKCKYPKEGTHIKEHKNLHSSHMFAKGCEKCKYPKDGTHIKKYENLNTSWGFARDRDEGTYAKERNHIRKHATLNTSRNFAKGCEECKYPKEGTHIKKHQNLDTYWGFDKGREECTYPKEGAHIGKHQTLDSFKQFSKGCENCKFHKEGTHRTKDKNSNAFKSFVKVRANCQFIKSQTNSLCKNIGNYVGKQVKCVNMSKCGKRLQLNDHGCKEYRRLILKHFSDEIGQVPLFDQTFHSCM
+>sp|B4DXR9|ZN732_HUMAN Zinc finger protein 732 OS=Homo sapiens OX=9606 GN=ZNF732 PE=2 SV=1
+MELLTFRDVAIEFSPEEWKCLDPAQQNLYRDVMLENYRNLISLGVAISNPDLVIYLEQRKEPYKVKIHETVAKHPAVCSHFTQDFLPVQGIEDSFHKLILRRYEKCGHENLELRKSCKRKVQKGGYNEFNQCLSTIQSKIFQCNVHVKVFSTFSNSNQRRIRHTGEKHFKECGKSFQKFSDLTQHQGIHAGEKPYTCEECGKDFKWYLIFNEYEIIHTGEKPFTCEECGNIFTTSSNFAKHKVHTGEKSYKYEECGKAFNRSSTLTKHKRIHAEEKPFTCEECGKIITSSSNVAKHKKIHTGEKLYKCQECGKVFNRSTTLTKHNRIHTGEKPYTCEECGKAFSRSSVLNEHKRIHTGEKPYKCEQCGKAFRQSATLNKHKSIHTGEKPYTCEECGKAFSRFTTLNEHKRIHTGERPHKCEECGKAFGWSTDLNKHKIIHTGEKPYKCEECGKAFGWSAYLSKHKKIHTGEKPYRCEECGKAFLCSRALNKHKTIHTGEKPYECEECGKAFGWSTYLSKHKKIHTGEKPYRCEECGKAFRRSRVLNKYKTIHTGDKTPKCKGCGKAFKWSSYLNQHNKIYTGEKL
+>DECOY_sp|B4DXR9|ZN732_HUMAN Zinc finger protein 732 OS=Homo sapiens OX=9606 GN=ZNF732 PE=2 SV=1
+LKEGTYIKNHQNLYSSWKFAKGCGKCKPTKDGTHITKYKNLVRSRRFAKGCEECRYPKEGTHIKKHKSLYTSWGFAKGCEECEYPKEGTHITKHKNLARSCLFAKGCEECRYPKEGTHIKKHKSLYASWGFAKGCEECKYPKEGTHIIKHKNLDTSWGFAKGCEECKHPREGTHIRKHENLTTFRSFAKGCEECTYPKEGTHISKHKNLTASQRFAKGCQECKYPKEGTHIRKHENLVSSRSFAKGCEECTYPKEGTHIRNHKTLTTSRNFVKGCEQCKYLKEGTHIKKHKAVNSSSTIIKGCEECTFPKEEAHIRKHKTLTSSRNFAKGCEEYKYSKEGTHVKHKAFNSSTTFINGCEECTFPKEGTHIIEYENFILYWKFDKGCEECTYPKEGAHIGQHQTLDSFKQFSKGCEKFHKEGTHRIRRQNSNSFTSFVKVHVNCQFIKSQITSLCQNFENYGGKQVKRKCSKRLELNEHGCKEYRRLILKHFSDEIGQVPLFDQTFHSCVAPHKAVTEHIKVKYPEKRQELYIVLDPNSIAVGLSILNRYNELMVDRYLNQQAPDLCKWEEPSFEIAVDRFTLLEM
+>sp|O75373|ZN737_HUMAN Zinc finger protein 737 OS=Homo sapiens OX=9606 GN=ZNF737 PE=2 SV=3
+MGPLQFRDVAIEFSLEEWHCLDTAQRNLYRNVMLENYRNLVFLGIVVSKPDLITCLEQGKKPLTMKKHEMVANPSVTCSHFARDLWPEQSIKDSFQKVTLRRYENYGHDNLQFKKGCESVDECKVHKRGYNGLNQYLTTTQSKIFQCDKYVKVIHKFSNSNRHKIRHTGKKPFKCIECGKAFNQSSTLTTHKKIHTGEKPFKCEECGKAFNWSSHLTTHKRIHTGEKRYKCEDCGKAFSRFSYLTAHKIIHSGEKPYKCEECGKAFKRSSNLTTHKIIHTGEKPYKCEECGKAFKRSSILTAHKIIHSGEKPYKCEECGKAFKHPSVLTTHKRIHTGEKPYKCEECGRAFKYFSSLTTHKIIHSGEKPYKCEECGKAFNWSSHLTTHKRIHTGEKPYKCEECGEAFKYSSSLTTHKIIHTGQQPFKCEECGKAFKCFSILTTHKRIHTGEKPYKCEECGKAFNSSSHLTAHKRIHTGEKPYKCERCGKAFKRSFILTRHKRIHTGEKPYKCEECGKGFKCPSTLTTHKVIHTGEKL
+>DECOY_sp|O75373|ZN737_HUMAN Zinc finger protein 737 OS=Homo sapiens OX=9606 GN=ZNF737 PE=2 SV=3
+LKEGTHIVKHTTLTSPCKFGKGCEECKYPKEGTHIRKHRTLIFSRKFAKGCRECKYPKEGTHIRKHATLHSSSNFAKGCEECKYPKEGTHIRKHTTLISFCKFAKGCEECKFPQQGTHIIKHTTLSSSYKFAEGCEECKYPKEGTHIRKHTTLHSSWNFAKGCEECKYPKEGSHIIKHTTLSSFYKFARGCEECKYPKEGTHIRKHTTLVSPHKFAKGCEECKYPKEGSHIIKHATLISSRKFAKGCEECKYPKEGTHIIKHTTLNSSRKFAKGCEECKYPKEGSHIIKHATLYSFRSFAKGCDECKYRKEGTHIRKHTTLHSSWNFAKGCEECKFPKEGTHIKKHTTLTSSQNFAKGCEICKFPKKGTHRIKHRNSNSFKHIVKVYKDCQFIKSQTTTLYQNLGNYGRKHVKCEDVSECGKKFQLNDHGYNEYRRLTVKQFSDKISQEPWLDRAFHSCTVSPNAVMEHKKMTLPKKGQELCTILDPKSVVIGLFVLNRYNELMVNRYLNRQATDLCHWEELSFEIAVDRFQLPGM
+>sp|O43361|ZN749_HUMAN Zinc finger protein 749 OS=Homo sapiens OX=9606 GN=ZNF749 PE=1 SV=2
+MNLTEDCMVFEDVAIYFSQEEWGILNDAQRHLHSNVMLENFALLSSVGCWHGAKDEEVPSKQCVSVRVLQVTIPKPALSTLKAQPCKMCSSILKDILHLAEHDGTHPEQGLYTCAAEHDLHQKEQIREKLTRSDEWRPSFVNHSAHVGERNFTCTQGGKDFTASSDLLQQQVLNSGWKLYRDTQDGEAFQGEQNDFNSSQGGKDFCHQHGLFEHQKTHNGERPYEFSECGELFRYNSNLIKYQQNHAGERPYEGTEYGKTFIRKSNLVQHQKIHSEGFLSKRSDPIEHQEILSRPTPYECTQCGKAFLTQAHLVGHQKTHTGEQPYECNKCGKFFMYNSKLIRHQKVHTGERRYECSECGKLFMDSFTLGRHQRVHTGERPFECSICGKFFSHRSTLNMHQRVHAGKRLYKCSECGKAFSLKHNVVQHLKIHTGERPYECTECEKAFVRKSHLVQHQKIHTDAFSKRSDLIQHKRIDIRPRPYTCSECGKAFLTQAHLVGHQKIHTGERPYECTQCAKAFVRKSHLVQHEKIHTDAFSKRSDLIQHKRIDLRPRPYVCSECGKAFLTQAHLDGHQKIQTGERRYECNECGKFFLDSYKLVIHQRIHTGEKPYKCSKCGKFFRYRCTLSRHQKVHTGERPYECSECGKFFRDSYKLIIHQRVHTGEKPYECSNCGKFLRYRSTFIKHHKVCTGEKPHECSKCRELFRTKSSLIIHQQSHTGESPFKLRECGKDFNKCNTGQRQKTHTGERSYECGESSKVFKYNSSLIKHQIIHTGKRP
+>DECOY_sp|O43361|ZN749_HUMAN Zinc finger protein 749 OS=Homo sapiens OX=9606 GN=ZNF749 PE=1 SV=2
+PRKGTHIIQHKILSSNYKFVKSSEGCEYSREGTHTKQRQGTNCKNFDKGCERLKFPSEGTHSQQHIILSSKTRFLERCKSCEHPKEGTCVKHHKIFTSRYRLFKGCNSCEYPKEGTHVRQHIILKYSDRFFKGCESCEYPREGTHVKQHRSLTCRYRFFKGCKSCKYPKEGTHIRQHIVLKYSDLFFKGCENCEYRREGTQIKQHGDLHAQTLFAKGCESCVYPRPRLDIRKHQILDSRKSFADTHIKEHQVLHSKRVFAKACQTCEYPREGTHIKQHGVLHAQTLFAKGCESCTYPRPRIDIRKHQILDSRKSFADTHIKQHQVLHSKRVFAKECETCEYPREGTHIKLHQVVNHKLSFAKGCESCKYLRKGAHVRQHMNLTSRHSFFKGCISCEFPREGTHVRQHRGLTFSDMFLKGCESCEYRREGTHVKQHRILKSNYMFFKGCKNCEYPQEGTHTKQHGVLHAQTLFAKGCQTCEYPTPRSLIEQHEIPDSRKSLFGESHIKQHQVLNSKRIFTKGYETGEYPREGAHNQQYKILNSNYRFLEGCESFEYPREGNHTKQHEFLGHQHCFDKGGQSSNFDNQEGQFAEGDQTDRYLKWGSNLVQQQLLDSSATFDKGGQTCTFNREGVHASHNVFSPRWEDSRTLKERIQEKQHLDHEAACTYLGQEPHTGDHEALHLIDKLISSCMKCPQAKLTSLAPKPITVQLVRVSVCQKSPVEEDKAGHWCGVSSLLAFNELMVNSHLHRQADNLIGWEEQSFYIAVDEFVMCDETLNM
+>sp|Q92670|ZN75C_HUMAN Putative zinc finger protein 75C OS=Homo sapiens OX=9606 GN=ZNF75CP PE=5 SV=2
+MIMRELKADACLNSHMGAMWETNRSVKENSSQSKKYSTQIECLSPGSACRHFRSFHYHEATEPLEAINQLQKLCHQWLRPEIHSKKHILEMLVLEHFLTILPKGTQNWVQKHHPQLAKQALVLVERLQREPGGTKNEVTAHELGEEAVLLRGTTVAPGFKWKPAELEPMERILEHIQILALSEHKSTKDWKMAPKLIWPESQSLLTFEDMAVYFSEEEWQLLGPLEKTLYNDVMQDIYETAISLGKQRTGKIMGIEMASSFSKEEKKLTTCKQELPKLMDLHGKGHTGEKPFKCQDCGKIFRVSSDLIKHQRIHTEEKLYKCQQCDRRFRWSSGLNKHFMTHQGINPYRCSWYGKSISYDTNLQTHQRIHTGEKPFKCHECGKIFIHKSNLIKYQRTHTGEQPYTCSICRRNFSRQLSLLRHQKLH
+>DECOY_sp|Q92670|ZN75C_HUMAN Putative zinc finger protein 75C OS=Homo sapiens OX=9606 GN=ZNF75CP PE=5 SV=2
+HLKQHRLLSLQRSFNRRCISCTYPQEGTHTRQYKILNSKHIFIKGCEHCKFPKEGTHIRQHTQLNTDYSISKGYWSCRYPNIGQHTMFHKNLGSSWRFRRDCQQCKYLKEETHIRQHKILDSSVRFIKGCDQCKFPKEGTHGKGHLDMLKPLEQKCTTLKKEEKSFSSAMEIGMIKGTRQKGLSIATEYIDQMVDNYLTKELPGLLQWEEESFYVAMDEFTLLSQSEPWILKPAMKWDKTSKHESLALIQIHELIREMPELEAPKWKFGPAVTTGRLLVAEEGLEHATVENKTGGPERQLREVLVLAQKALQPHHKQVWNQTGKPLITLFHELVLMELIHKKSHIEPRLWQHCLKQLQNIAELPETAEHYHFSRFHRCASGPSLCEIQTSYKKSQSSNEKVSRNTEWMAGMHSNLCADAKLERMIM
+>sp|P51815|ZN75D_HUMAN Zinc finger protein 75D OS=Homo sapiens OX=9606 GN=ZNF75D PE=2 SV=2
+MAMRELNADSCSSPQMGAMWETSGSVKENSSQSKKYSTKIENLGPESACRHFWSFRYHEATGPLETISQLQKLCHQWLRPEIHSKEQILEMLVLEQFLSILPKETQNWVQKHHPQNVKQALVLVEFLQREPDGTKNEVTAHELGKEAVLLGGTAVAPGFKWKPAEPQPMGVFQKEYWNTYRVLQEQLGWNTHKETQPVYERAVHDQQMLALSEQKRIKHWKMASKLILPESLSLLTFEDVAVYFSEEEWQLLNPLEKTLYNDVMQDIYETVISLGLKLKNDTGNDHPISVSTSEIQTSGCEVSKKTRMKIAQKTMGRENPGDTHSVQKWHRAFPRKKRKKPATCKQELPKLMDLHGKGPTGEKPFKCQECGKSFRVSSDLIKHHRIHTGEKPYKCQQCDRRFRWSSDLNKHFMTHQGIKPYRCSWCGKSFSHNTNLHTHQRIHTGEKPFKCDECGKRFIQNSHLIKHQRTHTGEQPYTCSLCKRNFSRRSSLLRHQKLHRRREACLVSPN
+>DECOY_sp|P51815|ZN75D_HUMAN Zinc finger protein 75D OS=Homo sapiens OX=9606 GN=ZNF75D PE=2 SV=2
+NPSVLCAERRRHLKQHRLLSSRRSFNRKCLSCTYPQEGTHTRQHKILHSNQIFRKGCEDCKFPKEGTHIRQHTHLNTNHSFSKGCWSCRYPKIGQHTMFHKNLDSSWRFRRDCQQCKYPKEGTHIRHHKILDSSVRFSKGCEQCKFPKEGTPGKGHLDMLKPLEQKCTAPKKRKKRPFARHWKQVSHTDGPNERGMTKQAIKMRTKKSVECGSTQIESTSVSIPHDNGTDNKLKLGLSIVTEYIDQMVDNYLTKELPNLLQWEEESFYVAVDEFTLLSLSEPLILKSAMKWHKIRKQESLALMQQDHVAREYVPQTEKHTNWGLQEQLVRYTNWYEKQFVGMPQPEAPKWKFGPAVATGGLLVAEKGLEHATVENKTGDPERQLFEVLVLAQKVNQPHHKQVWNQTEKPLISLFQELVLMELIQEKSHIEPRLWQHCLKQLQSITELPGTAEHYRFSWFHRCASEPGLNEIKTSYKKSQSSNEKVSGSTEWMAGMQPSSCSDANLERMAM
+>sp|Q9H5H4|ZN768_HUMAN Zinc finger protein 768 OS=Homo sapiens OX=9606 GN=ZNF768 PE=1 SV=2
+MEREALPWGLEPQDVQSSDEMRSPEGYLRGNMSENEEEEISQQEGSGDYEVEEIPFGLEPQSPGFEPQSPEFEPQSPRFEPESPGFESRSPGLVPPSPEFAPRSPESDSQSPEFESQSPRYEPQSPGYEPRSPGYEPRSPGYESESSRYESQNTELKTQSPEFEAQSSKFQEGAEMLLNPEEKSPLNISVGVHPLDSFTQGFGEQPTGDLPIGPPFEMPTGALLSTPQFEMLQNPLGLTGALRGPGRRGGRARGGQGPRPNICGICGKSFGRGSTLIQHQRIHTGEKPYKCEVCSKAFSQSSDLIKHQRTHTGERPYKCPRCGKAFADSSYLLRHQRTHSGQKPYKCPHCGKAFGDSSYLLRHQRTHSHERPYSCTECGKCYSQNSSLRSHQRVHTGQRPFSCGICGKSFSQRSALIPHARSHAREKPFKCPECGKRFGQSSVLAIHARTHLPGRTYSCPDCGKTFNRSSTLIQHQRSHTGERPYRCAVCGKGFCRSSTLLQHHRVHSGERPYKCDDCGKAFSQSSDLIRHQRTHAAGRR
+>DECOY_sp|Q9H5H4|ZN768_HUMAN Zinc finger protein 768 OS=Homo sapiens OX=9606 GN=ZNF768 PE=1 SV=2
+RRGAAHTRQHRILDSSQSFAKGCDDCKYPREGSHVRHHQLLTSSRCFGKGCVACRYPREGTHSRQHQILTSSRNFTKGCDPCSYTRGPLHTRAHIALVSSQGFRKGCEPCKFPKERAHSRAHPILASRQSFSKGCIGCSFPRQGTHVRQHSRLSSNQSYCKGCETCSYPREHSHTRQHRLLYSSDGFAKGCHPCKYPKQGSHTRQHRLLYSSDAFAKGCRPCKYPREGTHTRQHKILDSSQSFAKSCVECKYPKEGTHIRQHQILTSGRGFSKGCIGCINPRPGQGGRARGGRRGPGRLAGTLGLPNQLMEFQPTSLLAGTPMEFPPGIPLDGTPQEGFGQTFSDLPHVGVSINLPSKEEPNLLMEAGEQFKSSQAEFEPSQTKLETNQSEYRSSESEYGPSRPEYGPSRPEYGPSQPEYRPSQSEFEPSQSDSEPSRPAFEPSPPVLGPSRSEFGPSEPEFRPSQPEFEPSQPEFGPSQPELGFPIEEVEYDGSGEQQSIEEEENESMNGRLYGEPSRMEDSSQVDQPELGWPLAEREM
+>sp|Q6IQ21|ZN770_HUMAN Zinc finger protein 770 OS=Homo sapiens OX=9606 GN=ZNF770 PE=1 SV=1
+MMAENNLKMLKIQQCVVANKLPRNRPYVCNICFKHFETPSKLARHYLIHTGQKPFECDVCHKTFRQLVHLERHQLTHSLPFKCSICQRHFKNLKTFVKHQQLHNETYQNNVKQVRRLLEAKQEKSMYGVYNTFTTEERWALHPCSKSDPMYSMKRRKNIHACTICGKMFPSQSKLDRHVLIHTGQRPFKCVLCTKSFRQSTHLKIHQLTHSEERPFQCCFCQKGFKIQSKLLKHKQIHTRNKAFRALLLKKRRTESRPLPNKLNANQGGFENGEIGESEENNPLDVHSIYIVPFQCPKCEKCFESEQILNEHSCFAARSGKIPSRFKRSYNYKTIVKKILAKLKRARSKKLDNFQSEKKVFKKSFLRNCDLISGEQSSEQTQRTFVGSLGKHGTYKTIGNRKKKTLTLPFSWQNMGKNLKGILTTENILSIDNSVNKKDLSICGSSGEEFFNNCEVLQCGFSVPRENIRTRHKICPCDKCEKVFPSISKLKRHYLIHTGQRPFGCNICGKSFRQSAHLKRHEQTHNEKSPYASLCQVEFGNFNNLSNHSGNNVNYNASQQCQAPGVQKYEVSESDQMSGVKAESQDFIPGSTGQPCLPNVLLESEQSNPFCSYSEHQEKNDVFLYRCSVCAKSFRSPSKLERHYLIHAGQKPFECSVCGKTFRQAPHWKRHQLTHFKERPQGKVVALDSVM
+>DECOY_sp|Q6IQ21|ZN770_HUMAN Zinc finger protein 770 OS=Homo sapiens OX=9606 GN=ZNF770 PE=1 SV=1
+MVSDLAVVKGQPREKFHTLQHRKWHPAQRFTKGCVSCEFPKQGAHILYHRELKSPSRFSKACVSCRYLFVDNKEQHESYSCFPNSQESELLVNPLCPQGTSGPIFDQSEAKVGSMQDSESVEYKQVGPAQCQQSANYNVNNGSHNSLNNFNGFEVQCLSAYPSKENHTQEHRKLHASQRFSKGCINCGFPRQGTHILYHRKLKSISPFVKECKDCPCIKHRTRINERPVSFGCQLVECNNFFEEGSSGCISLDKKNVSNDISLINETTLIGKLNKGMNQWSFPLTLTKKKRNGITKYTGHKGLSGVFTRQTQESSQEGSILDCNRLFSKKFVKKESQFNDLKKSRARKLKALIKKVITKYNYSRKFRSPIKGSRAAFCSHENLIQESEFCKECKPCQFPVIYISHVDLPNNEESEGIEGNEFGGQNANLKNPLPRSETRRKKLLLARFAKNRTHIQKHKLLKSQIKFGKQCFCCQFPREESHTLQHIKLHTSQRFSKTCLVCKFPRQGTHILVHRDLKSQSPFMKGCITCAHINKRRKMSYMPDSKSCPHLAWREETTFTNYVGYMSKEQKAELLRRVQKVNNQYTENHLQQHKVFTKLNKFHRQCISCKFPLSHTLQHRELHVLQRFTKHCVDCEFPKQGTHILYHRALKSPTEFHKFCINCVYPRNRPLKNAVVCQQIKLMKLNNEAMM
+>sp|Q6NX45|ZN774_HUMAN Zinc finger protein 774 OS=Homo sapiens OX=9606 GN=ZNF774 PE=1 SV=2
+MWLGTSGKSGLPGHCLENPLQECHPAQLEEWALKGISRPSVISQPEQKEEPWVLPLQNFEARKIPRESHTDCEHQVAKLNQDNSETAEQCGTSSERTNKDLSHTLSWGGNWEQGLELEGQHGTLPGEGQLESFSQERDLNKLLDGYVGEKPMCAECGKSFNQSSYLIRHLRTHTGERPYTCIECGKGFKQSSDLVTHRRTHTGEKPYQCKGCEKKFSDSSTLIKHQRTHTGERPYECPECGKTFGRKPHLIMHQRTHTGEKPYACLECHKSFSRSSNFITHQRTHTGVKPYRCNDCGESFSQSSDLIKHQRTHTGERPFKCPECGKGFRDSSHFVAHMSTHSGERPFSCPDCHKSFSQSSHLVTHQRTHTGERPFKCENCGKGFADSSALIKHQRIHTGERPYKCGECGKSFNQSSHFITHQRIHLGDRPYRCPECGKTFNQRSHFLTHQRTHTGEKPFHCSKCNKSFRQKAHLLCHQNTHLI
+>DECOY_sp|Q6NX45|ZN774_HUMAN Zinc finger protein 774 OS=Homo sapiens OX=9606 GN=ZNF774 PE=1 SV=2
+ILHTNQHCLLHAKQRFSKNCKSCHFPKEGTHTRQHTLFHSRQNFTKGCEPCRYPRDGLHIRQHTIFHSSQNFSKGCEGCKYPREGTHIRQHKILASSDAFGKGCNECKFPREGTHTRQHTVLHSSQSFSKHCDPCSFPREGSHTSMHAVFHSSDRFGKGCEPCKFPREGTHTRQHKILDSSQSFSEGCDNCRYPKVGTHTRQHTIFNSSRSFSKHCELCAYPKEGTHTRQHMILHPKRGFTKGCEPCEYPREGTHTRQHKILTSSDSFKKECGKCQYPKEGTHTRRHTVLDSSQKFGKGCEICTYPREGTHTRLHRILYSSQNFSKGCEACMPKEGVYGDLLKNLDREQSFSELQGEGPLTGHQGELELGQEWNGGWSLTHSLDKNTRESSTGCQEATESNDQNLKAVQHECDTHSERPIKRAEFNQLPLVWPEEKQEPQSIVSPRSIGKLAWEELQAPHCEQLPNELCHGPLGSKGSTGLWM
+>sp|Q9ULD5|ZN777_HUMAN Zinc finger protein 777 OS=Homo sapiens OX=9606 GN=ZNF777 PE=1 SV=2
+MENQRSSPLSFPSVPQEETLRQAPAGLPRETLFQSRVLPPKEIPSLSPTIPRQGSLPQTSSAPKQETSGRMPHVLQKGPSLLCSAASEQETSLQGPLASQEGTQYPPPAAAEQEVSLLSHSPHHQEAPVHSPEAPEKDPLTLSPTVPETDMDPLLQSPVSQKDTPFQISSAVQKEQPLPTAEITRLAVWAAVQAVERKLEAQAMRLLTLEGRTGTNEKKIADCEKTAVEFANHLESKWVVLGTLLQEYGLLQRRLENMENLLKNRNFWILRLPPGSNGEVPKVPVTFDDVAVHFSEQEWGNLSEWQKELYKNVMRGNYESLVSMDYAISKPDLMSQMERGERPTMQEQEDSEEGETPTDPSAAHDGIVIKIEVQTNDEGSESLETPEPLMGQVEEHGFQDSELGDPCGEQPDLDMQEPENTLEESTEGSSEFSELKQMLVQQRNCTEGIVIKTEEQDEEEEEEEEDELPQHLQSLGQLSGRYEASMYQTPLPGEMSPEGEESPPPLQLGNPAVKRLAPSVHGERHLSENRGASSQQQRNRRGERPFTCMECGKSFRLKINLIIHQRNHIKEGPYECAECEISFRHKQQLTLHQRIHRVRGGCVSPERGPTFNPKHALKPRPKSPSSGSGGGGPKPYKCPECDSSFSHKSSLTKHQITHTGERPYTCPECKKSFRLHISLVIHQRVHAGKHEVSFICSLCGKSFSRPSHLLRHQRTHTGERPFKCPECEKSFSEKSKLTNHCRVHSRERPPPRWSSSFCSL
+>DECOY_sp|Q9ULD5|ZN777_HUMAN Zinc finger protein 777 OS=Homo sapiens OX=9606 GN=ZNF777 PE=1 SV=2
+LSCFSSSWRPPPRERSHVRCHNTLKSKESFSKECEPCKFPREGTHTRQHRLLHSPRSFSKGCLSCIFSVEHKGAHVRQHIVLSIHLRFSKKCEPCTYPREGTHTIQHKTLSSKHSFSSDCEPCKYPKPGGGGSGSSPSKPRPKLAHKPNFTPGREPSVCGGRVRHIRQHLTLQQKHRFSIECEACEYPGEKIHNRQHIILNIKLRFSKGCEMCTFPREGRRNRQQQSSAGRNESLHREGHVSPALRKVAPNGLQLPPPSEEGEPSMEGPLPTQYMSAEYRGSLQGLSQLHQPLEDEEEEEEEEDQEETKIVIGETCNRQQVLMQKLESFESSGETSEELTNEPEQMDLDPQEGCPDGLESDQFGHEEVQGMLPEPTELSESGEDNTQVEIKIVIGDHAASPDTPTEGEESDEQEQMTPREGREMQSMLDPKSIAYDMSVLSEYNGRMVNKYLEKQWESLNGWEQESFHVAVDDFTVPVKPVEGNSGPPLRLIWFNRNKLLNEMNELRRQLLGYEQLLTGLVVWKSELHNAFEVATKECDAIKKENTGTRGELTLLRMAQAELKREVAQVAAWVALRTIEATPLPQEKQVASSIQFPTDKQSVPSQLLPDMDTEPVTPSLTLPDKEPAEPSHVPAEQHHPSHSLLSVEQEAAAPPPYQTGEQSALPGQLSTEQESAASCLLSPGKQLVHPMRGSTEQKPASSTQPLSGQRPITPSLSPIEKPPLVRSQFLTERPLGAPAQRLTEEQPVSPFSLPSSRQNEM
+>sp|Q6ZMS7|ZN783_HUMAN Protein ZNF783 OS=Homo sapiens OX=9606 GN=ZNF783 PE=1 SV=1
+MAEAAPARDPETDKHTEDQSPSTPLPQPAAEKNSYLYSTEITLWTVVAAIQALEKKVDSCLTRLLTLEGRTGTAEKKLADCEKTAVEFGNQLEGKWAVLGTLLQEYGLLQRRLENVENLLRNRNFWILRLPPGSKGEAPKVPVTFDDVAVYFSELEWGKLEDWQKELYKHVMRGNYETLVSLDYAISKPDILTRIERGEEPCLDRWGQEKGNEVEVGRPRMMGTGLPPYPEHLTSPLSPAQEELKEGQAPKQQQDSEARVAPAGPEAGLALRTDLQGEAQI
+>DECOY_sp|Q6ZMS7|ZN783_HUMAN Protein ZNF783 OS=Homo sapiens OX=9606 GN=ZNF783 PE=1 SV=1
+IQAEGQLDTRLALGAEPGAPAVRAESDQQQKPAQGEKLEEQAPSLPSTLHEPYPPLGTGMMRPRGVEVENGKEQGWRDLCPEEGREIRTLIDPKSIAYDLSVLTEYNGRMVHKYLEKQWDELKGWELESFYVAVDDFTVPVKPAEGKSGPPLRLIWFNRNRLLNEVNELRRQLLGYEQLLTGLVAWKGELQNGFEVATKECDALKKEATGTRGELTLLRTLCSDVKKELAQIAAVVTWLTIETSYLYSNKEAAPQPLPTSPSQDETHKDTEPDRAPAAEAM
+>sp|A8K8V0|ZN785_HUMAN Zinc finger protein 785 OS=Homo sapiens OX=9606 GN=ZNF785 PE=1 SV=1
+MGPPLAPRPAHVPGEAGPRRTRESRPGAVSFADVAVYFSPEEWECLRPAQRALYRDVMRETFGHLGALGFSVPKPAFISWVEGEVEAWSPEAQDPDGESSAAFSRGQGQEAGSRDGNEEKERLKKCPKQKEVAHEVAVKEWWPSVACPEFCNPRQSPMNPWLKDTLTRRLPHSCPDCGRNFSYPSLLASHQRVHSGERPFSCGQCQARFSQRRYLLQHQFIHTGEKPYPCPDCGRRFRQRGSLAIHRRAHTGEKPYACSDCKSRFTYPYLLAIHQRKHTGEKPYSCPDCSLRFAYTSLLAIHRRIHTGEKPYPCPDCGRRFTYSSLLLSHRRIHSDSRPFPCVECGKGFKRKTALEAHRWIHRSCSERRAWQQAVVGRSEPIPVLGGKDPPVHFRHFPDIFQECG
+>DECOY_sp|A8K8V0|ZN785_HUMAN Zinc finger protein 785 OS=Homo sapiens OX=9606 GN=ZNF785 PE=1 SV=1
+GCEQFIDPFHRFHVPPDKGGLVPIPESRGVVAQQWARRESCSRHIWRHAELATKRKFGKGCEVCPFPRSDSHIRRHSLLLSSYTFRRGCDPCPYPKEGTHIRRHIALLSTYAFRLSCDPCSYPKEGTHKRQHIALLYPYTFRSKCDSCAYPKEGTHARRHIALSGRQRFRRGCDPCPYPKEGTHIFQHQLLYRRQSFRAQCQGCSFPREGSHVRQHSALLSPYSFNRGCDPCSHPLRRTLTDKLWPNMPSQRPNCFEPCAVSPWWEKVAVEHAVEKQKPCKKLREKEENGDRSGAEQGQGRSFAASSEGDPDQAEPSWAEVEGEVWSIFAPKPVSFGLAGLHGFTERMVDRYLARQAPRLCEWEEPSFYVAVDAFSVAGPRSERTRRPGAEGPVHAPRPALPPGM
+>sp|Q6ZQV5|ZN788_HUMAN KRAB domain-containing protein ZNF788 OS=Homo sapiens OX=9606 GN=ZNF788 PE=4 SV=3
+MRNMIPQDNENPPQQGEANQNDSVAFEDVAVNFTPDEWALLDPSQKNLYREVMQETLRNLASIEVLWKRDSLKVKVISMEKF
+>DECOY_sp|Q6ZQV5|ZN788_HUMAN KRAB domain-containing protein ZNF788 OS=Homo sapiens OX=9606 GN=ZNF788 PE=4 SV=3
+FKEMSIVKVKLSDRKWLVEISALNRLTEQMVERYLNKQSPDLLAWEDPTFNVAVDEFAVSDNQNAEGQQPPNENDQPIMNRM
+>sp|Q6PG37|ZN790_HUMAN Zinc finger protein 790 OS=Homo sapiens OX=9606 GN=ZNF790 PE=2 SV=2
+MAHLMMFRDVAVDFSQEEWECLDLEQRDLYRDVMLENYSNMVSLGFCIYQPEAFSLLEKGKEPWKILRDETRGPCPDMQSRCQTKKLLPKNGIFEREIAQLEIMRICKNHSLDCLCFRGDWEGNTQFQTLQDNQEECFKQVIRTCEKRPTFNQHTVFNLHQRLNTGDKLNEFKELGKAFISGSDHTQHQLIHTSEKFCGDKECGNTFLPDSEVIQYQTVHTVKKTYECKECGKSFSLRSSLTGHKRIHTGEKPFKCKDCGKAFRFHSQLSVHKRIHTGEKSYECKECGKAFSCGSDLTRHQRIHTGEKPYECNECRKAFSQRSHLIKHQRIHTGEKPYECKECGKAFTRGSHLTQHQRIHTGEKSHECKECGKAFIRGSNLAQHQNVHVGRKPYKCEKCGKAYIWSSHLARHQRIHTGRKPYECKQCGKTFTWASYLAQHEKIHNERKSYECKECGKTFLHGSEFNRHQKIHTGERNYECKECGKTFFRGSELNRHQKIHTGKRPYECEECGKAFLWGSQLTRHQRMHTGEEPYVCKECGKSFIWGSQLTRHKKIHTDAEPYGCKKSSHIFSHHSYFTEQKIHNSANLCEWTDYGNTFSHESNFAQHQNIYTFEKSYEFKDFEKAFSSSSHFISLL
+>DECOY_sp|Q6PG37|ZN790_HUMAN Zinc finger protein 790 OS=Homo sapiens OX=9606 GN=ZNF790 PE=2 SV=2
+LLSIFHSSSSFAKEFDKFEYSKEFTYINQHQAFNSEHSFTNGYDTWECLNASNHIKQETFYSHHSFIHSSKKCGYPEADTHIKKHRTLQSGWIFSKGCEKCVYPEEGTHMRQHRTLQSGWLFAKGCEECEYPRKGTHIKQHRNLESGRFFTKGCEKCEYNREGTHIKQHRNFESGHLFTKGCEKCEYSKRENHIKEHQALYSAWTFTKGCQKCEYPKRGTHIRQHRALHSSWIYAKGCKECKYPKRGVHVNQHQALNSGRIFAKGCEKCEHSKEGTHIRQHQTLHSGRTFAKGCEKCEYPKEGTHIRQHKILHSRQSFAKRCENCEYPKEGTHIRQHRTLDSGCSFAKGCEKCEYSKEGTHIRKHVSLQSHFRFAKGCDKCKFPKEGTHIRKHGTLSSRLSFSKGCEKCEYTKKVTHVTQYQIVESDPLFTNGCEKDGCFKESTHILQHQTHDSGSIFAKGLEKFENLKDGTNLRQHLNFVTHQNFTPRKECTRIVQKFCEEQNDQLTQFQTNGEWDGRFCLCDLSHNKCIRMIELQAIEREFIGNKPLLKKTQCRSQMDPCPGRTEDRLIKWPEKGKELLSFAEPQYICFGLSVMNSYNELMVDRYLDRQELDLCEWEEQSFDVAVDRFMMLHAM
+>sp|Q3KP31|ZN791_HUMAN Zinc finger protein 791 OS=Homo sapiens OX=9606 GN=ZNF791 PE=2 SV=1
+MDSVAFEDVSVSFSQEEWALLAPSQKKLYRDVMQETFKNLASIGEKWEDPNVEDQHKNQGRNLRSHTGERLCEGKEGSQCAENFSPNLSVTKKTAGVKPYECTICGKAFMRLSSLTRHMRSHTGYELFEKPYKCKECEKAFSYLKSFQRHERSHTGEKPYKCKQCGKTFIYHQPFQRHERTHIGEKPYECKQCGKALSCSSSLRVHERIHTGEKPYECKQCGKAFSCSSSIRVHERTHTGEKPYACKECGKAFISHTSVLTHMITHNGDRPYKCKECGKAFIFPSFLRVHERIHTGEKPYKCKQCGKAFRCSTSIQIHERIHTGEKPYKCKECGKSFSARPAFRVHVRVHTGEKPYKCKECGKAFSRISYFRIHERTHTGEKPYECKKCGKTFNYPLDLKIHKRNHTGEKPYECKECAKTFISLENFRRHMITHTGDGPYKCRDCGKVFIFPSALRTHERTHTGEKPYECKQCGKAFSCSSYIRIHKRTHTGEKPYECKECGKAFIYPTSFQGHMRMHTGEKPYKCKECGKAFSLHSSFQRHTRIHNYEKPLECKQCGKAFSVSTSLKKHMRMHNR
+>DECOY_sp|Q3KP31|ZN791_HUMAN Zinc finger protein 791 OS=Homo sapiens OX=9606 GN=ZNF791 PE=2 SV=1
+RNHMRMHKKLSTSVSFAKGCQKCELPKEYNHIRTHRQFSSHLSFAKGCEKCKYPKEGTHMRMHGQFSTPYIFAKGCEKCEYPKEGTHTRKHIRIYSSCSFAKGCQKCEYPKEGTHTREHTRLASPFIFVKGCDRCKYPGDGTHTIMHRRFNELSIFTKACEKCEYPKEGTHNRKHIKLDLPYNFTKGCKKCEYPKEGTHTREHIRFYSIRSFAKGCEKCKYPKEGTHVRVHVRFAPRASFSKGCEKCKYPKEGTHIREHIQISTSCRFAKGCQKCKYPKEGTHIREHVRLFSPFIFAKGCEKCKYPRDGNHTIMHTLVSTHSIFAKGCEKCAYPKEGTHTREHVRISSSCSFAKGCQKCEYPKEGTHIREHVRLSSSCSLAKGCQKCEYPKEGIHTREHRQFPQHYIFTKGCQKCKYPKEGTHSREHRQFSKLYSFAKECEKCKYPKEFLEYGTHSRMHRTLSSLRMFAKGCITCEYPKVGATKKTVSLNPSFNEACQSGEKGECLREGTHSRLNRGQNKHQDEVNPDEWKEGISALNKFTEQMVDRYLKKQSPALLAWEEQSFSVSVDEFAVSDM
+>sp|Q6ZN11|ZN793_HUMAN Zinc finger protein 793 OS=Homo sapiens OX=9606 GN=ZNF793 PE=2 SV=2
+MIEYQIPVSFKDVVVGFTQEEWHRLSPAQRALYRDVMLETYSNLVSVGYEGTKPDVILRLEQEEAPWIGEAACPGCHCWEDIWRVNIQRKRRQDMLLRPGAAISKKTLPKEKSCEYNKFGKISLLSTDLFSSIQSPSNWNPCGKNLNHNLDLIGFKRNCAKKQDECYAYGKLLQRINHGRRPNGEKPRGCSHCEKAFTQNPALMYKPAVSDSLLYKRKRVPPTEKPHVCSECGKAFCYKSEFIRHQRSHTGEKPYGCTDCGKAFSHKSTLIKHQRIHTGVRPFECFFCGKAFTQKSHRTEHQRTHTGERPFVCSECGKSFGEKSYLNVHRKMHTGERPYRCRECGKSFSQKSCLNKHWRTHTGEKPYGCNECGKAFYQKPNLSRHQKIHARKNAYRNENLIIVGNT
+>DECOY_sp|Q6ZN11|ZN793_HUMAN Zinc finger protein 793 OS=Homo sapiens OX=9606 GN=ZNF793 PE=2 SV=2
+TNGVIILNENRYANKRAHIKQHRSLNPKQYFAKGCENCGYPKEGTHTRWHKNLCSKQSFSKGCERCRYPREGTHMKRHVNLYSKEGFSKGCESCVFPREGTHTRQHETRHSKQTFAKGCFFCEFPRVGTHIRQHKILTSKHSFAKGCDTCGYPKEGTHSRQHRIFESKYCFAKGCESCVHPKETPPVRKRKYLLSDSVAPKYMLAPNQTFAKECHSCGRPKEGNPRRGHNIRQLLKGYAYCEDQKKACNRKFGILDLNHNLNKGCPNWNSPSQISSFLDTSLLSIKGFKNYECSKEKPLTKKSIAAGPRLLMDQRRKRQINVRWIDEWCHCGPCAAEGIWPAEEQELRLIVDPKTGEYGVSVLNSYTELMVDRYLARQAPSLRHWEEQTFGVVVDKFSVPIQYEIM
+>sp|Q2TB10|ZN800_HUMAN Zinc finger protein 800 OS=Homo sapiens OX=9606 GN=ZNF800 PE=1 SV=1
+MPLRDKYCQTDHHHHGCCEPVYILEPGDPPLLQQPLQTSKSGIQQIIECFRSGTKQLKHILLKDVDTIFECKLCRSLFRGLPNLITHKKFYCPPSLQMDDNLPDVNDKQSQAINDLLEAIYPSVDKREYIIKLEPIETNQNAVFQYISRTDNPIEVTESSSTPEQTEVQIQETSTEQSKTVPVTDTEVETVEPPPVEIVTDEVAPTSDEQPQESQADLETSDNSDFGHQLICCLCRKEFNSRRGVRRHIRKVHKKKMEELKKYIETRKNPNQSSKGRSKNVLVPLSRSCPVCCKSFATKANVRRHFDEVHRGLRRDSITPDIATKPGQPLFLDSISPKKSFKTRKQKSSSKAEYNLTACKCLLCKRKYSSQIMLKRHMQIVHKITLSGTNSKREKGPNNTANSSEIKVKVEPADSVESSPPSITHSPQNELKGTNHSNEKKNTPAAQKNKVKQDSESPKSTSPSAAGGQQKTRKPKLSAGFDFKQLYCKLCKRQFTSKQNLTKHIELHTDGNNIYVKFYKCPLCTYETRRKRDVIRHITVVHKKSSRYLGKITASLEIRAIKKPIDFVLNKVAKRGPSRDEAKHSDSKHDGTSNSPSKKYEVADVGIEVKVTKNFSLHRCNKCGKAFAKKTYLEHHKKTHKANASNSPEGNKTKGRSTRSKALV
+>DECOY_sp|Q2TB10|ZN800_HUMAN Zinc finger protein 800 OS=Homo sapiens OX=9606 GN=ZNF800 PE=1 SV=1
+VLAKSRTSRGKTKNGEPSNSANAKHTKKHHELYTKKAFAKGCKNCRHLSFNKTVKVEIGVDAVEYKKSPSNSTGDHKSDSHKAEDRSPGRKAVKNLVFDIPKKIARIELSATIKGLYRSSKKHVVTIHRIVDRKRRTEYTCLPCKYFKVYINNGDTHLEIHKTLNQKSTFQRKCLKCYLQKFDFGASLKPKRTKQQGGAASPSTSKPSESDQKVKNKQAAPTNKKENSHNTGKLENQPSHTISPPSSEVSDAPEVKVKIESSNATNNPGKERKSNTGSLTIKHVIQMHRKLMIQSSYKRKCLLCKCATLNYEAKSSSKQKRTKFSKKPSISDLFLPQGPKTAIDPTISDRRLGRHVEDFHRRVNAKTAFSKCCVPCSRSLPVLVNKSRGKSSQNPNKRTEIYKKLEEMKKKHVKRIHRRVGRRSNFEKRCLCCILQHGFDSNDSTELDAQSEQPQEDSTPAVEDTVIEVPPPEVTEVETDTVPVTKSQETSTEQIQVETQEPTSSSETVEIPNDTRSIYQFVANQNTEIPELKIIYERKDVSPYIAELLDNIAQSQKDNVDPLNDDMQLSPPCYFKKHTILNPLGRFLSRCLKCEFITDVDKLLIHKLQKTGSRFCEIIQQIGSKSTQLPQQLLPPDGPELIYVPECCGHHHHDTQCYKDRLPM
+>sp|Q6ZN06|ZN813_HUMAN Zinc finger protein 813 OS=Homo sapiens OX=9606 GN=ZNF813 PE=2 SV=2
+MALPQGLLTFRDVAIEFSQEEWKCLDPAQRTLYRDVMLENYRNLVSLDISSKCMMKEFSSTAQGNREVIHTGTLQRHESHHTGDFRFQEIDKDIHNLEFQWQEDERNSHEAPMTEIKKLTGSADRYDQRHAGNKPIKDQLGSSFHSHLPELHMFQTQGKIGNQVEKSINDASSISTSQRISCRPKTHISNNYGNNFRNSSLLTQKQEVHMREKSFQCNESGKAFNYSSLLRKHQIIHLGEKQYKCDVCGKVFNRKRNLVCHRRCHTGEKPYRCNECGKTFSQTYSLTCHRRLHTGEKPYKCEECDKAFSFKSNLKRHRRIHAGEKPYKCNECGKTFSQTSSLTCHRRLHTGEKPFKCNECGKTFSRKSSLTCHHRLHTGEKPYKCNECGKTFSQELTLKCHRRLHTGEKPYKCNECGKVFNKKANLARHHRLHSGEKPYKCTECVKTFSRNSALVIHKAIHIGEKRYKCNECGKTFSRISALVIHTAIHTGEKPYKCNECGKGFNRKTHLACHHRLHTGEKPYKCNECGKVFNRKTHLAHHHRLHTGDKPYKCNECGKVFNQKAHLARHHRLHTGEKPYKCNECGKVFNQKANLARHHRLHTGEKPYKFNECGKAFN
+>DECOY_sp|Q6ZN06|ZN813_HUMAN Zinc finger protein 813 OS=Homo sapiens OX=9606 GN=ZNF813 PE=2 SV=2
+NFAKGCENFKYPKEGTHLRHHRALNAKQNFVKGCENCKYPKEGTHLRHHRALHAKQNFVKGCENCKYPKDGTHLRHHHALHTKRNFVKGCENCKYPKEGTHLRHHCALHTKRNFGKGCENCKYPKEGTHIATHIVLASIRSFTKGCENCKYRKEGIHIAKHIVLASNRSFTKVCETCKYPKEGSHLRHHRALNAKKNFVKGCENCKYPKEGTHLRRHCKLTLEQSFTKGCENCKYPKEGTHLRHHCTLSSKRSFTKGCENCKFPKEGTHLRRHCTLSSTQSFTKGCENCKYPKEGAHIRRHRKLNSKFSFAKDCEECKYPKEGTHLRRHCTLSYTQSFTKGCENCRYPKEGTHCRRHCVLNRKRNFVKGCVDCKYQKEGLHIIQHKRLLSSYNFAKGSENCQFSKERMHVEQKQTLLSSNRFNNGYNNSIHTKPRCSIRQSTSISSADNISKEVQNGIKGQTQFMHLEPLHSHFSSGLQDKIPKNGAHRQDYRDASGTLKKIETMPAEHSNREDEQWQFELNHIDKDIEQFRFDGTHHSEHRQLTGTHIVERNGQATSSFEKMMCKSSIDLSVLNRYNELMVDRYLTRQAPDLCKWEEQSFEIAVDRFTLLGQPLAM
+>sp|Q6ZRF7|ZN818_HUMAN Putative zinc finger protein 818 OS=Homo sapiens OX=9606 GN=ZNF818P PE=5 SV=1
+MLERNLTSMMSVEEPLPRPLTSLYIRLSILERNHMNMTYMAKSSVKIHISKVIIGFVLKRSLTNVCGKVLSQNSHLVNHQRIHTGEKSYRCHECGKAFTQGSRFINHQIVHTGENFPNVLNVARLLRMALNSGLTK
+>DECOY_sp|Q6ZRF7|ZN818_HUMAN Putative zinc finger protein 818 OS=Homo sapiens OX=9606 GN=ZNF818P PE=5 SV=1
+KTLGSNLAMRLLRAVNLVNPFNEGTHVIQHNIFRSGQTFAKGCEHCRYSKEGTHIRQHNVLHSNQSLVKGCVNTLSRKLVFGIIVKSIHIKVSSKAMYTMNMHNRELISLRIYLSTLPRPLPEEVSMMSTLNRELM
+>sp|Q9Y2P0|ZN835_HUMAN Zinc finger protein 835 OS=Homo sapiens OX=9606 GN=ZNF835 PE=2 SV=2
+MEGLLSVALQGAELEGNWKHEGQVEDLQENQESCPEPEAVACKGDPAGDSMQERDEFSRIPRTISSPAATQASVPDDSSSRRCSAPGESPKERHPDSRQRERGGGPKKPWKCGDCGKAFSYCSAFILHQRIHTGEKPFACPECGKAFSQSVHLTLHQRTHTGEKPYACHECGKAFSQGSYLASHWRTHTGEKPHRCADCGKAFTRVTHLTQHRRVHTGERPYACAQCAKAFRNRSSLIEHQRIHTGEKPYECSACAKAFRFSSALIRHQRIHTEEKPYRCGQCAKAFAQIAHLTQHRRVHTGEKPYTCQDCGALFSQSASLAEHRRIHTGEKPYACGQCAKAFTQVSHLTQHQRTHTGERPYPCHDCGKRFSNRSHLLQHRLVHTGERPYRCLQCGAAFSHVSSLIEHQKIHTGERPYKCGECGKAFSQGSSLALHQRTHTGERPYTCPECGKAFSNRSYLIQHHIVHTGEKPYECSGCGKAFSFSSALIRHQRTHADSSGRLCPAPTPDSTPGLSQGGETCQQGCPGRNPRGPAED
+>DECOY_sp|Q9Y2P0|ZN835_HUMAN Zinc finger protein 835 OS=Homo sapiens OX=9606 GN=ZNF835 PE=2 SV=2
+DEAPGRPNRGPCGQQCTEGGQSLGPTSDPTPAPCLRGSSDAHTRQHRILASSFSFAKGCGSCEYPKEGTHVIHHQILYSRNSFAKGCEPCTYPREGTHTRQHLALSSGQSFAKGCEGCKYPREGTHIKQHEILSSVHSFAAGCQLCRYPREGTHVLRHQLLHSRNSFRKGCDHCPYPREGTHTRQHQTLHSVQTFAKACQGCAYPKEGTHIRRHEALSASQSFLAGCDQCTYPKEGTHVRRHQTLHAIQAFAKACQGCRYPKEETHIRQHRILASSFRFAKACASCEYPKEGTHIRQHEILSSRNRFAKACQACAYPREGTHVRRHQTLHTVRTFAKGCDACRHPKEGTHTRWHSALYSGQSFAKGCEHCAYPKEGTHTRQHLTLHVSQSFAKGCEPCAFPKEGTHIRQHLIFASCYSFAKGCDGCKWPKKPGGGRERQRSDPHREKPSEGPASCRRSSSDDPVSAQTAAPSSITRPIRSFEDREQMSDGAPDGKCAVAEPEPCSEQNEQLDEVQGEHKWNGELEAGQLAVSLLGEM
+>sp|Q96EG3|ZN837_HUMAN Zinc finger protein 837 OS=Homo sapiens OX=9606 GN=ZNF837 PE=1 SV=2
+MEAPAQKAGQGGLPKADAQGASGAREKRPEEPRPLEEDRAGSRPTQKGDLRGAAGGRTTPPGGGSRGCSLGVSPGPGTRHSAGTRPLVREPCGPTSSQNPELVIPEGLQAREGPCRSPARGGDCSRNSCLAWHRGAPAGETPPVCDPCPERIQNHPRTQLCEVHTDCWPCQPGTGAPTCPRTPKPTSRGRNPLVEQPRACACGEAFAWRALRIPQERLQATEEPRPCARCGKRFRPNQQQQAGKSPPVCPECGQTSRPRPIVPDPPAQRLYACDECGKAFTRTSSLLQHQRIHTGERPYECAECGKAFVRCSGLYRHQKTHSAERHRRGPVLARRAFRLGCPPCGDYSERSPRRGSGAGEKPYECADCAKAFGLFSHLVEHRRVHTGEKPYACPECGKAFNQRSNLSRHQRTHSSAKPYACPLCEKAFKGRSGLVQHQRAHTGERPYGCSECGKTFRGCSELRQHERLHSGEKPYICRDCGKAFVRNCSLVRHLRTHTGERPYACGDCGRAFSQRSNLNEHRKRHGGRAAP
+>DECOY_sp|Q96EG3|ZN837_HUMAN Zinc finger protein 837 OS=Homo sapiens OX=9606 GN=ZNF837 PE=1 SV=2
+PAARGGHRKRHENLNSRQSFARGCDGCAYPREGTHTRLHRVLSCNRVFAKGCDRCIYPKEGSHLREHQRLESCGRFTKGCESCGYPREGTHARQHQVLGSRGKFAKECLPCAYPKASSHTRQHRSLNSRQNFAKGCEPCAYPKEGTHVRRHEVLHSFLGFAKACDACEYPKEGAGSGRRPSRESYDGCPPCGLRFARRALVPGRRHREASHTKQHRYLGSCRVFAKGCEACEYPREGTHIRQHQLLSSTRTFAKGCEDCAYLRQAPPDPVIPRPRSTQGCEPCVPPSKGAQQQQNPRFRKGCRACPRPEETAQLREQPIRLARWAFAEGCACARPQEVLPNRGRSTPKPTRPCTPAGTGPQCPWCDTHVECLQTRPHNQIREPCPDCVPPTEGAPAGRHWALCSNRSCDGGRAPSRCPGERAQLGEPIVLEPNQSSTPGCPERVLPRTGASHRTGPGPSVGLSCGRSGGGPPTTRGGAAGRLDGKQTPRSGARDEELPRPEEPRKERAGSAGQADAKPLGGQGAKQAPAEM
+>sp|A6NDX5|ZN840_HUMAN Putative zinc finger protein 840 OS=Homo sapiens OX=9606 GN=ZNF840P PE=5 SV=5
+MSLMGHGKVEMLLYAVPLLKAPNCLSSSMQLPHGGGRHQELVRFRDVAVVFSPEEWDHLTPEQRNLYKDVMLDNCKYLASLGNWTYKAHVMSSLKQGKEPWMMEREVTGDPCPACQPALATFRALNESGNAFRQSFHHGEYRTHRTFVQHYECDDCGMAFGHVSQLTGHQKIHKVGETHEYGENTRGFRHRSSFTMLQRICTLYKHFECNQCGETFNRPSKVIQHQSMHSGLKPYKCDVCQKAFRFLSSLSIHQRFHVGNRVNLTRHQKTHTQRKPFSCNFCGKTFHRFSEKTQHLLIHTRKKYYTCNYCKKEFNPYSKFILHQRTHTGEKPHKCDVCEKSFKSISNLNKHQKTHTGEKPFSCNECKKTFAQRTDLARHQQIHTGKKSFICSSCKKTFVRLSDLTQHKGTHTGERPYQCTTCEKAFKYRSNFTKHQKTHSIGRPFACNECGKTYRLNWELNQHKKIHTGEKPYECGECGKRFNNNSNLNKHKKIHTGEKHFVCNQCGKAFSLNSKLSRHQRTHNKKENSSKSVSNLNKHQKTHAGEKPFPCNECKKAFAQRMDLARHQQIHTGRKPFICSSCKKTFVRLSDLTQHKGTHTGERPYQCTTCEKAFKYQSNFTKHQKTHSIGRPFTCNECGKTFRLNWKLNQHKKIHTGEKPYECGECGKCFNNNSNLSKHKKIHTGEKHFVCNQCGKAFSLNSKLSRHQITHNKKKP
+>DECOY_sp|A6NDX5|ZN840_HUMAN Putative zinc finger protein 840 OS=Homo sapiens OX=9606 GN=ZNF840P PE=5 SV=5
+PKKKNHTIQHRSLKSNLSFAKGCQNCVFHKEGTHIKKHKSLNSNNNFCKGCEGCEYPKEGTHIKKHQNLKWNLRFTKGCENCTFPRGISHTKQHKTFNSQYKFAKECTTCQYPREGTHTGKHQTLDSLRVFTKKCSSCIFPKRGTHIQQHRALDMRQAFAKKCENCPFPKEGAHTKQHKNLNSVSKSSNEKKNHTRQHRSLKSNLSFAKGCQNCVFHKEGTHIKKHKNLNSNNNFRKGCEGCEYPKEGTHIKKHQNLEWNLRYTKGCENCAFPRGISHTKQHKTFNSRYKFAKECTTCQYPREGTHTGKHQTLDSLRVFTKKCSSCIFSKKGTHIQQHRALDTRQAFTKKCENCSFPKEGTHTKQHKNLNSISKFSKECVDCKHPKEGTHTRQHLIFKSYPNFEKKCYNCTYYKKRTHILLHQTKESFRHFTKGCFNCSFPKRQTHTKQHRTLNVRNGVHFRQHISLSSLFRFAKQCVDCKYPKLGSHMSQHQIVKSPRNFTEGCQNCEFHKYLTCIRQLMTFSSRHRFGRTNEGYEHTEGVKHIKQHGTLQSVHGFAMGCDDCEYHQVFTRHTRYEGHHFSQRFANGSENLARFTALAPQCAPCPDGTVEREMMWPEKGQKLSSMVHAKYTWNGLSALYKCNDLMVDKYLNRQEPTLHDWEEPSFVVAVDRFRVLEQHRGGGHPLQMSSSLCNPAKLLPVAYLLMEVKGHGMLSM
+>sp|Q08AG5|ZN844_HUMAN Zinc finger protein 844 OS=Homo sapiens OX=9606 GN=ZNF844 PE=1 SV=1
+MDLVAFEDVAVNFTQEEWSLLDPSQKNLYREVMQETLRNLASIGEKWKDQNIEDQYKNPRNNLRSLLGERVDENTEENHCGETSSQIPDDTLNKKTSPGVKSCESSVCGEVFVGHSSLNRHIRADTAHKPSEYQEYGQEPYKCQQRKKAFRCHPSFQMQEKAHTGEKLYDCKECGKTFISHSSIQRHMIMHNGDGTYKCKFCGKACPCLSIYLIHERVHTGEKPYKCKQCGKAFSYSTSLQIHERTHTGEKPYECKECGKAFGSPNSLYEHRRTHTGEKPYECKQCGKAFRWFHSFQIHERTHSEEKAYECTKCGKAFKCPSYLCRHEVTHSGKKPCECKQCGKALSYLNFQRHMKMHTRMRPYKCKTVEKPLILPVRFEDMKELTLERNLMNASTVVKPSIVPVPFTIMKGLTLERNPMNVSSVVKPSFLPLPFDIMKGLTLERNRMSVSNVGKPSDLPHTFKCMEGLTLKRNPMNVSSVVKPSFFPLPFDIMKGLTLERNPMSVSNVGKPSHLPHTFKCMKGLTLESNCMNLNNVKKPLDLSETFKFMKRHTLERNPIRNMEKHSTISLPFKYMQQCTEDRMPMNVKSVTKHSYLPRSFEYMQEHTLERNPMNVRNAEKRSIIFLLCVYTKGCTLERNHINVRIVGKHSVCLVPFVDIKGLTLE
+>DECOY_sp|Q08AG5|ZN844_HUMAN Zinc finger protein 844 OS=Homo sapiens OX=9606 GN=ZNF844 PE=1 SV=1
+ELTLGKIDVFPVLCVSHKGVIRVNIHNRELTCGKTYVCLLFIISRKEANRVNMPNRELTHEQMYEFSRPLYSHKTVSKVNMPMRDETCQQMYKFPLSITSHKEMNRIPNRELTHRKMFKFTESLDLPKKVNNLNMCNSELTLGKMCKFTHPLHSPKGVNSVSMPNRELTLGKMIDFPLPFFSPKVVSSVNMPNRKLTLGEMCKFTHPLDSPKGVNSVSMRNRELTLGKMIDFPLPLFSPKVVSSVNMPNRELTLGKMITFPVPVISPKVVTSANMLNRELTLEKMDEFRVPLILPKEVTKCKYPRMRTHMKMHRQFNLYSLAKGCQKCECPKKGSHTVEHRCLYSPCKFAKGCKTCEYAKEESHTREHIQFSHFWRFAKGCQKCEYPKEGTHTRRHEYLSNPSGFAKGCEKCEYPKEGTHTREHIQLSTSYSFAKGCQKCKYPKEGTHVREHILYISLCPCAKGCFKCKYTGDGNHMIMHRQISSHSIFTKGCEKCDYLKEGTHAKEQMQFSPHCRFAKKRQQCKYPEQGYEQYESPKHATDARIHRNLSSHGVFVEGCVSSECSKVGPSTKKNLTDDPIQSSTEGCHNEETNEDVREGLLSRLNNRPNKYQDEINQDKWKEGISALNRLTEQMVERYLNKQSPDLLSWEEQTFNVAVDEFAVLDM
+>sp|Q147U1|ZN846_HUMAN Zinc finger protein 846 OS=Homo sapiens OX=9606 GN=ZNF846 PE=1 SV=2
+MDSSQHLVTFEDVAVDFTQEEWTLLDQAQRDLYRDVMLENYKNLIILAGSELFKRSLMSGLEQMEELRTGVTGVLQELDLQLKTKGSPLLQDISAERSPNGVQLERSNTAEKLYDSNHSGKVFNEHPFLMTHMITHIGEKTSEDNQSGKALRKNFPHSFYKKSHAEGKMPKCVKHEKAFNQFPNLTRQNKTHTQEKLCECKDCWRTFLNQSSLKLHIRSHNGDKHYVCKECGKAFSNSSHLIGHGRIHSGEKPYVCKECGKAFTQSTGLKLHIRTHSGEKPYKCKECGKAFTHSSYLTDHTRIHSGKKPYVCMECGKAFTRSTGLILHMRIHTGEKPYECKECGKAFIHSSYLTKHVRIHSGEKLYLCKACGKAFTRSSGLVLHMRTHTGEKPYECKECGKAFNNSSMLSQHVRIHTGEKPYECKECGKAFTQSSGLSTHLRTHTGEKACECKECGKAFARSTNLNMHMRTHTGEKPYACKECGKAFRYSTYLNVHTRTHTGAKPYECKKCGKNFTQSSALAKHLRTKACEKT
+>DECOY_sp|Q147U1|ZN846_HUMAN Zinc finger protein 846 OS=Homo sapiens OX=9606 GN=ZNF846 PE=1 SV=2
+TKECAKTRLHKALASSQTFNKGCKKCEYPKAGTHTRTHVNLYTSYRFAKGCEKCAYPKEGTHTRMHMNLNTSRAFAKGCEKCECAKEGTHTRLHTSLGSSQTFAKGCEKCEYPKEGTHIRVHQSLMSSNNFAKGCEKCEYPKEGTHTRMHLVLGSSRTFAKGCAKCLYLKEGSHIRVHKTLYSSHIFAKGCEKCEYPKEGTHIRMHLILGTSRTFAKGCEMCVYPKKGSHIRTHDTLYSSHTFAKGCEKCKYPKEGSHTRIHLKLGTSQTFAKGCEKCVYPKEGSHIRGHGILHSSNSFAKGCEKCVYHKDGNHSRIHLKLSSQNLFTRWCDKCECLKEQTHTKNQRTLNPFQNFAKEHKVCKPMKGEAHSKKYFSHPFNKRLAKGSQNDESTKEGIHTIMHTMLFPHENFVKGSHNSDYLKEATNSRELQVGNPSREASIDQLLPSGKTKLQLDLEQLVGTVGTRLEEMQELGSMLSRKFLESGALIILNKYNELMVDRYLDRQAQDLLTWEEQTFDVAVDEFTVLHQSSDM
+>sp|P0CG23|ZN853_HUMAN Zinc finger protein 853 OS=Homo sapiens OX=9606 GN=ZNF853 PE=2 SV=1
+MLHQPTPGNRGLTARMEVGPATETFVLELQCLEDGGPGPDTLSGGSGGSESQEEEEPQERNSSPQRPAVSAPVGASEIAEETRPGQRELQLQQLEQQPEPQQQPQHEQLQQPQPHLELQQQPQQDGQQQLSQLQQEKHQSVHHQELKPELQLMHQQQQLQPQQVQEQQRLQQQQEQLQTQQAQEQQVLQQQEQLQQQVQEQQLLQQQQEQLQQQQLLQQQEQLQQQQFQQQQEQLQQQQQLLLLQQQGQLQQQLLQQQQAQLQQQLLEQQQAQLQQQLLLQQQEQLQQQQQQQLLQQQQEQLQQQQLQPPPLEPEEEEEVELELMPVDLGSEQELEQQRQELERQQELERQQEQRQLQLKLQEELQQLEQQLEQQQQQLEQQEVQLELTPVELGAQQQEVQLELTPVQPELQLELVPAAGGGGAAVPGAPAAVVVAPPGYVVVQELMVLPAVAAPAVVAIPGPAGSAALTPARQRRRRRARDRPTICGECGKGFSRSTDLVRHQATHTGERPHRCGECGKGFSQHSNLVTHQRIHTGEKPYACSYCAKRFSESSALVQHQRTHTGERPYACGDCGKRFSVSSNLLRHRRTHSGERPYVCEDCGERFRHKVQIRRHERQLHGAGRSRGLGLLRASRPAALGGPARAEQAATATAPADKAL
+>DECOY_sp|P0CG23|ZN853_HUMAN Zinc finger protein 853 OS=Homo sapiens OX=9606 GN=ZNF853 PE=2 SV=1
+LAKDAPATATAAQEARAPGGLAAPRSARLLGLGRSRGAGHLQREHRRIQVKHRFREGCDECVYPREGSHTRRHRLLNSSVSFRKGCDGCAYPREGTHTRQHQVLASSESFRKACYSCAYPKEGTHIRQHTVLNSHQSFGKGCEGCRHPREGTHTAQHRVLDTSRSFGKGCEGCITPRDRARRRRRQRAPTLAASGAPGPIAVVAPAAVAPLVMLEQVVVYGPPAVVVAAPAGPVAAGGGGAAPVLELQLEPQVPTLELQVEQQQAGLEVPTLELQVEQQELQQQQQELQQELQQLEEQLKLQLQRQEQQRELEQQRELEQRQQELEQESGLDVPMLELEVEEEEEPELPPPQLQQQQLQEQQQQLLQQQQQQQLQEQQQLLLQQQLQAQQQELLQQQLQAQQQQLLQQQLQGQQQLLLLQQQQQLQEQQQQFQQQQLQEQQQLLQQQQLQEQQQQLLQQEQVQQQLQEQQQLVQQEQAQQTQLQEQQQQLRQQEQVQQPQLQQQQHMLQLEPKLEQHHVSQHKEQQLQSLQQQGDQQPQQQLELHPQPQQLQEHQPQQQPEPQQELQQLQLERQGPRTEEAIESAGVPASVAPRQPSSNREQPEEEEQSESGGSGGSLTDPGPGGDELCQLELVFTETAPGVEMRATLGRNGPTPQHLM
+>sp|A6NHJ4|ZN860_HUMAN Zinc finger protein 860 OS=Homo sapiens OX=9606 GN=ZNF860 PE=1 SV=3
+MLREEAAQKRKEKEPGMALPQGHLTFRDVAIEFSLEEWKCLDPTQRALYRAMMLENYRNLHSVDISSKCMMKKFSSTAQGNTEVDTGTLERHESHHIGDFCFQKIGKDIHDFEFQWQEDKRNSHEATMTQIKKLTGSTDRYDRRHPGNKPIKDQLGLSFHSHLPELHIFQTKGKVGNQVEKSINDASSVLTSQRISSRPKIHISNNYENNFFHSSLLTLKQEVHIREKSFQCNESGKAFNCSSLLRKHQIIYLGGKQYKCDVCGKVFNQKRYLACHHRCHTGEKPYKCNECGKVFNQQSNLASHHRLHTGEKPYKCEECDKVFSRKSNLERHRRIHTGEKPYKCKVCEKAFRRDSHLTQHTRIHTGEKPYKCNECGKAFSGQSTLIHHQAIHGIGKLYKCNDCHKVFSNATTIANHWRIHNEERSYKCNKCGKFFRRRSYLVVHWRTHTGEKPYKCNECGKTFHHNSALVIHKAIHTGEKPYKCNECGKTFRHNSALVIHKAIHTGEKPYKCNECGKVFNQQATLARHHRLHTGEKPYKCEECDTVFSRKSHHETHKRIHTGEKPYKCDDFDEAFSQASSYAKQRRIHMGEKHHKCDDCGKAFTSHSHRIRHQRIHTGQKSYKCHKRGKVFS
+>DECOY_sp|A6NHJ4|ZN860_HUMAN Zinc finger protein 860 OS=Homo sapiens OX=9606 GN=ZNF860 PE=1 SV=3
+SFVKGRKHCKYSKQGTHIRQHRIRHSHSTFAKGCDDCKHHKEGMHIRRQKAYSSAQSFAEDFDDCKYPKEGTHIRKHTEHHSKRSFVTDCEECKYPKEGTHLRHHRALTAQQNFVKGCENCKYPKEGTHIAKHIVLASNHRFTKGCENCKYPKEGTHIAKHIVLASNHHFTKGCENCKYPKEGTHTRWHVVLYSRRRFFKGCKNCKYSREENHIRWHNAITTANSFVKHCDNCKYLKGIGHIAQHHILTSQGSFAKGCENCKYPKEGTHIRTHQTLHSDRRFAKECVKCKYPKEGTHIRRHRELNSKRSFVKDCEECKYPKEGTHLRHHSALNSQQNFVKGCENCKYPKEGTHCRHHCALYRKQNFVKGCVDCKYQKGGLYIIQHKRLLSSCNFAKGSENCQFSKERIHVEQKLTLLSSHFFNNEYNNSIHIKPRSSIRQSTLVSSADNISKEVQNGVKGKTQFIHLEPLHSHFSLGLQDKIPKNGPHRRDYRDTSGTLKKIQTMTAEHSNRKDEQWQFEFDHIDKGIKQFCFDGIHHSEHRELTGTDVETNGQATSSFKKMMCKSSIDVSHLNRYNELMMARYLARQTPDLCKWEELSFEIAVDRFTLHGQPLAMGPEKEKRKQAAEERLM
+>sp|P0CJ78|ZN865_HUMAN Zinc finger protein 865 OS=Homo sapiens OX=9606 GN=ZNF865 PE=1 SV=1
+MEANPAGSGAGGGGSSGIGGEDGVHFQSYPFDFLEFLNHQRFEPMELYGEHAKAVAALPCAPGPPPQPPPQPPPPQYDYPPQSTFKPKAEVPSSSSSSSSSSSSSSSSSSSSSSSSSQAKKPDPPLPPAFGAPPPPLFDAAFPTPQWGIVDLSGHQHLFGNLKRGGPASGPGVTPGLGAPAGAPGPLPAPSQTPPGPPAAAACDPTKDDKGYFRRLKYLMERRFPCGVCQKSFKQSSHLVQHMLVHSGERPYECGVCGRTYNHVSSLIRHRRCHKDVPPAAGGPPQPGPHLPPLGLPAPAASAATAAAPSTVSSGPPATPVAPAPSADGSAAPAGVGVPPPATGGGDGPFACPLCWKVFKKPSHLHQHQIIHTGEKPFSCSVCSKSFNRRESLKRHVKTHSADLLRLPCGICGKAFRDASYLLKHQAAHAGAGAGGPRPVYPCDLCGKSYSAPQSLLRHKAAHAPPAAAAEAPKDGAASAPQPPPTFPPGPYLLPPDPPTTDSEKAAAAAAAVVYGAVPVPLLGAHPLLLGGAGTSGAGGSGASVPGKTFCCGICGRGFGRRETLKRHERIHTGEKPHQCPVCGKRFRESFHLSKHHVVHTRERPYKCELCGKVFGYPQSLTRHRQVHRLQLPCALAGAAGLPSTQGTPGACGPGASGTSAGPTDGLSYACSDCGEHFPDLFHVMSHKEVHMAEKPYGCDACGKTFGFIENLMWHKLVHQAAPERLLPPAPGGLQPPDGSSGTDAASVLDNGLAGEVGAAVAALAGVSGGEDAGGAAVAGAGGGASSGPERFSCATCGQSFKHFLGLVTHKYVHLVRRTLGCGLCGQSFAGAYDLLLHRRSHRQKRGFRCPVCGKRFWEAALLMRHQRCHTEQRPYRCGVCGRGFLRSWYLRQHRVVHTGERAFKCGVCAKRFAQSSSLAEHRRLHAVARPQRCSACGKTFRYRSNLLEHQRLHLGERAYRCEHCGKGFFYLSSVLRHQRAHEPPRPELRCPACLKAFKDPGYFRKHLAAHQGGRPFRCSSCGEGFANTYGLKKHRLAHKAENLGGPGAGAGTLAGKDA
+>DECOY_sp|P0CJ78|ZN865_HUMAN Zinc finger protein 865 OS=Homo sapiens OX=9606 GN=ZNF865 PE=1 SV=1
+ADKGALTGAGAGPGGLNEAKHALRHKKLGYTNAFGEGCSSCRFPRGGQHAALHKRFYGPDKFAKLCAPCRLEPRPPEHARQHRLVSSLYFFGKGCHECRYAREGLHLRQHELLNSRYRFTKGCASCRQPRAVAHLRRHEALSSSQAFRKACVGCKFAREGTHVVRHQRLYWSRLFGRGCVGCRYPRQETHCRQHRMLLAAEWFRKGCVPCRFGRKQRHSRRHLLLDYAGAFSQGCLGCGLTRRVLHVYKHTVLGLFHKFSQGCTACSFREPGSSAGGGAGAVAAGGADEGGSVGALAAVAAGVEGALGNDLVSAADTGSSGDPPQLGGPAPPLLREPAAQHVLKHWMLNEIFGFTKGCADCGYPKEAMHVEKHSMVHFLDPFHEGCDSCAYSLGDTPGASTGSAGPGCAGPTGQTSPLGAAGALACPLQLRHVQRHRTLSQPYGFVKGCLECKYPRERTHVVHHKSLHFSERFRKGCVPCQHPKEGTHIREHRKLTERRGFGRGCIGCCFTKGPVSAGSGGAGSTGAGGLLLPHAGLLPVPVAGYVVAAAAAAAKESDTTPPDPPLLYPGPPFTPPPQPASAAGDKPAEAAAAPPAHAAKHRLLSQPASYSKGCLDCPYVPRPGGAGAGAHAAQHKLLYSADRFAKGCIGCPLRLLDASHTKVHRKLSERRNFSKSCVSCSFPKEGTHIIQHQHLHSPKKFVKWCLPCAFPGDGGGTAPPPVGVGAPAASGDASPAPAVPTAPPGSSVTSPAAATAASAAPAPLGLPPLHPGPQPPGGAAPPVDKHCRRHRILSSVHNYTRGCVGCEYPREGSHVLMHQVLHSSQKFSKQCVGCPFRREMLYKLRRFYGKDDKTPDCAAAAPPGPPTQSPAPLPGPAGAPAGLGPTVGPGSAPGGRKLNGFLHQHGSLDVIGWQPTPFAADFLPPPPAGFAPPLPPDPKKAQSSSSSSSSSSSSSSSSSSSSSSSSSPVEAKPKFTSQPPYDYQPPPPQPPPQPPPGPACPLAAVAKAHEGYLEMPEFRQHNLFELFDFPYSQFHVGDEGGIGSSGGGGAGSGAPNAEM
+>sp|C9JN71|ZN878_HUMAN Zinc finger protein 878 OS=Homo sapiens OX=9606 GN=ZNF878 PE=3 SV=2
+MDSVAFEDVAVNFTQEEWALLDPSQKNLYREVMQETLRNLTSIGKKWNNQYIEDEHQNPRRNLRRLIGERLSESKESHQHGEVLTQVPDDTLKKKTPGVQSYESSVCGEIGIGLSSLNRHLRAFSYSSSLAIHGRTHTGEKPYECKECGKAFRFPSSVRRHERIHSAKKPYECKQCGKAFSFPSSVRRHERIHSAKKPYECKQCGKALSYLVSFQTHMRMHTGERPHKCNICGKAFFSPSSLKRHEKSHTGEKRYKCKQCDKAFNCPSSFQYHERTHSGEKPYECTQCRKAFRSVKYLRVHERKHTGEKPYECKLCGKGFISSTSFRYHEKTHTGEKPYECKKCVKAFSFVKDLRIHERTHTGEKPFECKQCGKTFTSSNSFHYHERTHTGEKPYECKQCGKAFRSASVLQKHIRTHTGEKPYGCKQCGKVFRVASQLKMHERTHTGEKPYECKQCGKAFISSNSIRYHKRTHTGEKPYKCKQCGKAFISSNSFLYHERIHTGEKPYECKQCGKAFRSASILQKHVRTHAG
+>DECOY_sp|C9JN71|ZN878_HUMAN Zinc finger protein 878 OS=Homo sapiens OX=9606 GN=ZNF878 PE=3 SV=2
+GAHTRVHKQLISASRFAKGCQKCEYPKEGTHIREHYLFSNSSIFAKGCQKCKYPKEGTHTRKHYRISNSSIFAKGCQKCEYPKEGTHTREHMKLQSAVRFVKGCQKCGYPKEGTHTRIHKQLVSASRFAKGCQKCEYPKEGTHTREHYHFSNSSTFTKGCQKCEFPKEGTHTREHIRLDKVFSFAKVCKKCEYPKEGTHTKEHYRFSTSSIFGKGCLKCEYPKEGTHKREHVRLYKVSRFAKRCQTCEYPKEGSHTREHYQFSSPCNFAKDCQKCKYRKEGTHSKEHRKLSSPSFFAKGCINCKHPREGTHMRMHTQFSVLYSLAKGCQKCEYPKKASHIREHRRVSSPFSFAKGCQKCEYPKKASHIREHRRVSSPFRFAKGCEKCEYPKEGTHTRGHIALSSSYSFARLHRNLSSLGIGIEGCVSSEYSQVGPTKKKLTDDPVQTLVEGHQHSEKSESLREGILRRLNRRPNQHEDEIYQNNWKKGISTLNRLTEQMVERYLNKQSPDLLAWEEQTFNVAVDEFAVSDM
+>sp|B4DU55|ZN879_HUMAN Zinc finger protein 879 OS=Homo sapiens OX=9606 GN=ZNF879 PE=2 SV=2
+MARRLLPAHVQESVTFRDVAVFFSQDEWLHLDSAQRALYREVMLENYSILVSLGILFSKPKVISQLEQGEDPWMVESGVPQGAHLGWESLFGTIVSKEENQEVMKKLIIDGTFDFKLEKTYINEDKLEKQQGKKNRLFSKVLVTIKKVYMKERSFKGVEFGKNLGLKSSLIRKPRIVSRGRRPRSQQYSVLFKQLGVNTVRKCYKCNICGKIFLHSSSLSKHQRIHTGEKLYKCKECRKAFSQSSSLTQHLRVHTGEKPYICSECGKAFSFTTSLIGHQRMHTGERPYKCKECGKTFKGSSSLNNHQRIHTGEKPYKCNECGRAFSQCSSLIQHHRIHTGEKPYECTQCGKAFTSISRLSRHHRIHTGEKPFHCNECGKVFSYHSALIIHQRIHTGEKPYACKECGKAFSQSSALIQHQRIHTGEKPYKCNECGKAFSWISRLNIHHRIHTGEKPYNCKECGKAFSSHSGVNTHRKIHTGEKPYKCNDCEKAFNQSSALIQHQRIHTGEKPYNCKVCGKAFRQSSSLMTHMRIHTGEKPYKCKECGKAFSQSSSLTNHQRTHN
+>DECOY_sp|B4DU55|ZN879_HUMAN Zinc finger protein 879 OS=Homo sapiens OX=9606 GN=ZNF879 PE=2 SV=2
+NHTRQHNTLSSSQSFAKGCEKCKYPKEGTHIRMHTMLSSSQRFAKGCVKCNYPKEGTHIRQHQILASSQNFAKECDNCKYPKEGTHIKRHTNVGSHSSFAKGCEKCNYPKEGTHIRHHINLRSIWSFAKGCENCKYPKEGTHIRQHQILASSQSFAKGCEKCAYPKEGTHIRQHIILASHYSFVKGCENCHFPKEGTHIRHHRSLRSISTFAKGCQTCEYPKEGTHIRHHQILSSCQSFARGCENCKYPKEGTHIRQHNNLSSSGKFTKGCEKCKYPREGTHMRQHGILSTTFSFAKGCESCIYPKEGTHVRLHQTLSSSQSFAKRCEKCKYLKEGTHIRQHKSLSSSHLFIKGCINCKYCKRVTNVGLQKFLVSYQQSRPRRGRSVIRPKRILSSKLGLNKGFEVGKFSREKMYVKKITVLVKSFLRNKKGQQKELKDENIYTKELKFDFTGDIILKKMVEQNEEKSVITGFLSEWGLHAGQPVGSEVMWPDEGQELQSIVKPKSFLIGLSVLISYNELMVERYLARQASDLHLWEDQSFFVAVDRFTVSEQVHAPLLRRAM
+>sp|P0CJ79|ZN888_HUMAN Zinc finger protein 888 OS=Homo sapiens OX=9606 GN=ZNF888 PE=3 SV=2
+MALPQGLLTFRDVAIEFSQEEWKCLDPAQRTLYRDVMLENYRNLVSLDISSKCMMEFSSIGKGNTEVIHTGTLQRLASHHIGECCFQEIEKDIHDFVFQWQEDETNGHEAPMTEIKELTGSTDQYDQRHAGNKPIKYQLGSSFHSHLPELHIFQPEGKIGNQLEKSINNASSVSTSQRISCRPKTHISNNYGNNFFHSSLLTQKQDVHRKEKSFQFNESGKSFNCSSLFKKHQIIHLGEKQYKCDVCGKDFNQKRYLAHHRRCHTGEKPYMCNKCGKVFNKKAYLARHYRRHTGEKPYKCNECGKTFSDKSALLVHKTIHTGEKPYKCNECGKVFNQQSNLARHHRVHTGEKPYQCKECDKVFSRKSYLERHRRIHTGEKPYKCKVCDKAFRHDSHLAQHIVIHTREKPYKCNECGKTFGENSALLVHKTIHTGEKPYKCNECGKVFNQQSNLARHHRLHTGEKPYKCKECDKVFSRKSHLERHRRIHTGEKPYKCKVCDKAFRRDSHLAQHTVIHTGEKPYKCNECGKTFVQNSSLVMHKVIHTGEKRYKCNECGKSFNHKSSLAYHHRLHTGEKPYKCNECGKVFRTQSQLACHHRLHTGEKPYKCEECDKVFNIKSHLEIHRRVHTGEKPYKCRVCDKAFGRDSYLAQHQRVHTGEKPYKCKVCDKAFKCYSHLAQHTRIHTGEKPFKCSECGKAFRAQSTLIHHQAIHGVGKLD
+>DECOY_sp|P0CJ79|ZN888_HUMAN Zinc finger protein 888 OS=Homo sapiens OX=9606 GN=ZNF888 PE=3 SV=2
+DLKGVGHIAQHHILTSQARFAKGCESCKFPKEGTHIRTHQALHSYCKFAKDCVKCKYPKEGTHVRQHQALYSDRGFAKDCVRCKYPKEGTHVRRHIELHSKINFVKDCEECKYPKEGTHLRHHCALQSQTRFVKGCENCKYPKEGTHLRHHYALSSKHNFSKGCENCKYRKEGTHIVKHMVLSSNQVFTKGCENCKYPKEGTHIVTHQALHSDRRFAKDCVKCKYPKEGTHIRRHRELHSKRSFVKDCEKCKYPKEGTHLRHHRALNSQQNFVKGCENCKYPKEGTHITKHVLLASNEGFTKGCENCKYPKERTHIVIHQALHSDHRFAKDCVKCKYPKEGTHIRRHRELYSKRSFVKDCEKCQYPKEGTHVRHHRALNSQQNFVKGCENCKYPKEGTHITKHVLLASKDSFTKGCENCKYPKEGTHRRYHRALYAKKNFVKGCKNCMYPKEGTHCRRHHALYRKQNFDKGCVDCKYQKEGLHIIQHKKFLSSCNFSKGSENFQFSKEKRHVDQKQTLLSSHFFNNGYNNSIHTKPRCSIRQSTSVSSANNISKELQNGIKGEPQFIHLEPLHSHFSSGLQYKIPKNGAHRQDYQDTSGTLEKIETMPAEHGNTEDEQWQFVFDHIDKEIEQFCCEGIHHSALRQLTGTHIVETNGKGISSFEMMCKSSIDLSVLNRYNELMVDRYLTRQAPDLCKWEEQSFEIAVDRFTLLGQPLAM
+>sp|P17022|ZNF18_HUMAN Zinc finger protein 18 OS=Homo sapiens OX=9606 GN=ZNF18 PE=1 SV=2
+MPVDLGQALGLLPSLAKAEDSQFSESDAALQEELSSPETARQLFRQFRYQVMSGPHETLKQLRKLCFQWLQPEVHTKEQILEILMLEQFLTILPGEIQMWVRKQCPGSGEEAVTLVESLKGDPQRLWQWISIQVLGQDILSEKMESPSCQVGEVEPHLEVVPQELGLENSSSGPGELLSHIVKEESDTEAELALAASQPARLEERLIRDQDLGASLLPAAPQEQWRQLDSTQKEQYWDLMLETYGKMVSGAGISHPKSDLTNSIEFGEELAGIYLHVNEKIPRPTCIGDRQENDKENLNLENHRDQELLHASCQASGEVPSQASLRGFFTEDEPGCFGEGENLPEALQNIQDEGTGEQLSPQERISEKQLGQHLPNPHSGEMSTMWLEEKRETSQKGQPRAPMAQKLPTCRECGKTFYRNSQLIFHQRTHTGETYFQCTICKKAFLRSSDFVKHQRTHTGEKPCKCDYCGKGFSDFSGLRHHEKIHTGEKPYKCPICEKSFIQRSNFNRHQRVHTGEKPYKCSHCGKSFSWSSSLDKHQRSHLGKKPFQ
+>DECOY_sp|P17022|ZNF18_HUMAN Zinc finger protein 18 OS=Homo sapiens OX=9606 GN=ZNF18 PE=1 SV=2
+QFPKKGLHSRQHKDLSSSWSFSKGCHSCKYPKEGTHVRQHRNFNSRQIFSKECIPCKYPKEGTHIKEHHRLGSFDSFGKGCYDCKCPKEGTHTRQHKVFDSSRLFAKKCITCQFYTEGTHTRQHFILQSNRYFTKGCERCTPLKQAMPARPQGKQSTERKEELWMTSMEGSHPNPLHQGLQKESIREQPSLQEGTGEDQINQLAEPLNEGEGFCGPEDETFFGRLSAQSPVEGSAQCSAHLLEQDRHNELNLNEKDNEQRDGICTPRPIKENVHLYIGALEEGFEISNTLDSKPHSIGAGSVMKGYTELMLDWYQEKQTSDLQRWQEQPAAPLLSAGLDQDRILREELRAPQSAALALEAETDSEEKVIHSLLEGPGSSSNELGLEQPVVELHPEVEGVQCSPSEMKESLIDQGLVQISIWQWLRQPDGKLSEVLTVAEEGSGPCQKRVWMQIEGPLITLFQELMLIELIQEKTHVEPQLWQFCLKRLQKLTEHPGSMVQYRFQRFLQRATEPSSLEEQLAADSESFQSDEAKALSPLLGLAQGLDVPM
+>sp|P17027|ZNF23_HUMAN Zinc finger protein 23 OS=Homo sapiens OX=9606 GN=ZNF23 PE=1 SV=3
+MLENYGNVASLGFPLLKPAVISQLEGGSELGGSSPLAAGTGLQGLQTDIQTDNDLTKEMYEGKENVSFELQRDFSQETDFSEASLLEKQQEVHSAGNIKKEKSNTIDGTVKDETSPVEECFFSQSSNSYQCHTITGEQPSGCTGLGKSISFDTKLVKHEIINSEERPFKCEELVEPFRCDSQLIQHQENNTEEKPYQCSECGKAFSINEKLIWHQRLHSGEKPFKCVECGKSFSYSSHYITHQTIHSGEKPYQCKMCGKAFSVNGSLSRHQRIHTGEKPYQCKECGNGFSCSSAYITHQRVHTGEKPYECNDCGKAFNVNAKLIQHQRIHTGEKPYECNECGKGFRCSSQLRQHQSIHTGEKPYQCKECGKGFNNNTKLIQHQRIHTGEKPYECTECGKAFSVKGKLIQHQRIHTGEKPYECNECGKAFRCNSQFRQHLRIHTGEKPYECNECGKAFSVNGKLMRHQRIHTGEKPFECNECGRCFTSKRNLLDHHRIHTGEKPYQCKECGKAFSINAKLTRHQRIHTGEKPFKCMECEKAFSCSSNYIVHQRIHTGEKPFQCKECGKAFHVNAHLIRHQRSHTGEKPFRCVECGKGFSFSSDYIIHQTVHTWKKPYMCSVCGKAFRFSFQLSQHQSVHSEGKS
+>DECOY_sp|P17027|ZNF23_HUMAN Zinc finger protein 23 OS=Homo sapiens OX=9606 GN=ZNF23 PE=1 SV=3
+SKGESHVSQHQSLQFSFRFAKGCVSCMYPKKWTHVTQHIIYDSSFSFGKGCEVCRFPKEGTHSRQHRILHANVHFAKGCEKCQFPKEGTHIRQHVIYNSSCSFAKECEMCKFPKEGTHIRQHRTLKANISFAKGCEKCQYPKEGTHIRHHDLLNRKSTFCRGCENCEFPKEGTHIRQHRMLKGNVSFAKGCENCEYPKEGTHIRLHQRFQSNCRFAKGCENCEYPKEGTHIRQHQILKGKVSFAKGCETCEYPKEGTHIRQHQILKTNNNFGKGCEKCQYPKEGTHISQHQRLQSSCRFGKGCENCEYPKEGTHIRQHQILKANVNFAKGCDNCEYPKEGTHVRQHTIYASSCSFGNGCEKCQYPKEGTHIRQHRSLSGNVSFAKGCMKCQYPKEGSHITQHTIYHSSYSFSKGCEVCKFPKEGSHLRQHWILKENISFAKGCESCQYPKEETNNEQHQILQSDCRFPEVLEECKFPREESNIIEHKVLKTDFSISKGLGTCGSPQEGTITHCQYSNSSQSFFCEEVPSTEDKVTGDITNSKEKKINGASHVEQQKELLSAESFDTEQSFDRQLEFSVNEKGEYMEKTLDNDTQIDTQLGQLGTGAALPSSGGLESGGELQSIVAPKLLPFGLSAVNGYNELM
+>sp|P17031|ZNF26_HUMAN Zinc finger protein 26 OS=Homo sapiens OX=9606 GN=ZNF26 PE=1 SV=3
+MATSFRTASCWGLLSFKDISMEFTWDEWQLLDSTQKYLYRDVILENYHNLISVGYHGTKPDLIFKLEQGEDPWIINAKISRQSCPDGWEEWYQNNQDELESIERSYACSVLGRLNLSKTHDSSRQRLYNTRGKSLTQNSAPSRSYLRKNPDKFHGYEEPYFLKHQRAHSIEKNCVCSECGKAFRCKSQLIVHLRIHTGERPYECSKCERAFSAKSNLNAHQRVHTGEKPYSCSECEKVFSFRSQLIVHQEIHTGGKPYGCSECGKAYSWKSQLLLHQRSHTGVKPYECSECGKAFSLKSPFVVHQRTHTGVKPHKCSECGKAFRSKSYLLVHIRMHTGEKPYQCSDCGKAFNMKTQLIVHQGVHTGNNPYQCGECGKAFGRKEQLTAHLRAHAGEKPYGCSECGKAFSSKSYLVIHRRTHTGERPYECSLCERAFCGKSQLIIHQRTHSTEKPYECNECEKAYPRKASLQIHQKTHSGEKPFKCSECGKAFTQKSSLSEHQRVHTGEKPWKCSECGKSFCWNSGLRIHRKTHK
+>DECOY_sp|P17031|ZNF26_HUMAN Zinc finger protein 26 OS=Homo sapiens OX=9606 GN=ZNF26 PE=1 SV=3
+KHTKRHIRLGSNWCFSKGCESCKWPKEGTHVRQHESLSSKQTFAKGCESCKFPKEGSHTKQHIQLSAKRPYAKECENCEYPKETSHTRQHIILQSKGCFARECLSCEYPREGTHTRRHIVLYSKSSFAKGCESCGYPKEGAHARLHATLQEKRGFAKGCEGCQYPNNGTHVGQHVILQTKMNFAKGCDSCQYPKEGTHMRIHVLLYSKSRFAKGCESCKHPKVGTHTRQHVVFPSKLSFAKGCESCEYPKVGTHSRQHLLLQSKWSYAKGCESCGYPKGGTHIEQHVILQSRFSFVKECESCSYPKEGTHVRQHANLNSKASFARECKSCEYPREGTHIRLHVILQSKCRFAKGCESCVCNKEISHARQHKLFYPEEYGHFKDPNKRLYSRSPASNQTLSKGRTNYLRQRSSDHTKSLNLRGLVSCAYSREISELEDQNNQYWEEWGDPCSQRSIKANIIWPDEGQELKFILDPKTGHYGVSILNHYNELIVDRYLYKQTSDLLQWEDWTFEMSIDKFSLLGWCSATRFSTAM
+>sp|Q96MX3|ZNF48_HUMAN Zinc finger protein 48 OS=Homo sapiens OX=9606 GN=ZNF48 PE=1 SV=2
+MERAVEPWGPDLHRPEEREPQRGARTGLGSENVISQPNEFEHTPQEDDLGFKEEDLAPDHEVGNASLKPEGIQNWDDLWVQREGLGKPQPRDRGPRLLGEPRWGQASSDRAAVCGECGKSFRQMSDLVKHQRTHTGEKPYKCGVCGKGFGDSSARIKHQRTHSGEKPYRARPPAQGPPKIPRSRIPAGERPTICGECGKSFRQSSDLVKHQRTHTGEKPYKCGICGKGFGDSSARIKHQRTHRGEQPPRPVVPRRQPSRAATAATQGPKAQDKPYICTDCGKRFVLSCSLLSHQRSHLGPKPFGCDVCGKEFARGSDLVKHLRVHTGEKPYLCPECGKGFADSSARVKHLRTHSGERPHACPECDRTFSLSSTLLRHRLTHMEPQDFSFPGYPLPALIPSPPPPPLGTSPPLTPRSPSHSGEPFGLPGLEPEPGGPQAGEPPPPLAGDKPHKCPECGKGFRRSSDLVKHHRVHTGEKPYLCPECGKGFADSSARVKHLRTHRGERARPPPPSTLLRPHNPPGPVPMAPRPRVRAQPSGPSQPHVCGFCGKEFPRSSDLVKHRRTHTGEKPYKCAECGKGFGDSSARIKHQRGHLVLTPFGIGDGRARPLKQEAATGLE
+>DECOY_sp|Q96MX3|ZNF48_HUMAN Zinc finger protein 48 OS=Homo sapiens OX=9606 GN=ZNF48 PE=1 SV=2
+ELGTAAEQKLPRARGDGIGFPTLVLHGRQHKIRASSDGFGKGCEACKYPKEGTHTRRHKVLDSSRPFEKGCFGCVHPQSPGSPQARVRPRPAMPVPGPPNHPRLLTSPPPPRAREGRHTRLHKVRASSDAFGKGCEPCLYPKEGTHVRHHKVLDSSRRFGKGCEPCKHPKDGALPPPPEGAQPGGPEPELGPLGFPEGSHSPSRPTLPPSTGLPPPPPSPILAPLPYGPFSFDQPEMHTLRHRLLTSSLSFTRDCEPCAHPREGSHTRLHKVRASSDAFGKGCEPCLYPKEGTHVRLHKVLDSGRAFEKGCVDCGFPKPGLHSRQHSLLSCSLVFRKGCDTCIYPKDQAKPGQTAATAARSPQRRPVVPRPPQEGRHTRQHKIRASSDGFGKGCIGCKYPKEGTHTRQHKVLDSSQRFSKGCEGCITPREGAPIRSRPIKPPGQAPPRARYPKEGSHTRQHKIRASSDGFGKGCVGCKYPKEGTHTRQHKVLDSMQRFSKGCEGCVAARDSSAQGWRPEGLLRPGRDRPQPKGLGERQVWLDDWNQIGEPKLSANGVEHDPALDEEKFGLDDEQPTHEFENPQSIVNESGLGTRAGRQPEREEPRHLDPGWPEVAREM
+>sp|Q8NHG8|ZNRF2_HUMAN E3 ubiquitin-protein ligase ZNRF2 OS=Homo sapiens OX=9606 GN=ZNRF2 PE=1 SV=1
+MGAKQSGPAAANGRTRAYSGSDLPSSSSGGANGTAGGGGGARAAAAGRFPAQVPSAHQPSASGGAAAAAAAPAAPAAPRSRSLGGAVGSVASGARAAQSPFSIPNSSSGPYGSQDSVHSSPEDGGGGRDRPVGGSPGGPRLVIGSLPAHLSPHMFGGFKCPVCSKFVSSDEMDLHLVMCLTKPRITYNEDVLSKDAGECAICLEELQQGDTIARLPCLCIYHKGCIDEWFEVNRSCPEHPSD
+>DECOY_sp|Q8NHG8|ZNRF2_HUMAN E3 ubiquitin-protein ligase ZNRF2 OS=Homo sapiens OX=9606 GN=ZNRF2 PE=1 SV=1
+DSPHEPCSRNVEFWEDICGKHYICLCPLRAITDGQQLEELCIACEGADKSLVDENYTIRPKTLCMVLHLDMEDSSVFKSCVPCKFGGFMHPSLHAPLSGIVLRPGGPSGGVPRDRGGGGDEPSSHVSDQSGYPGSSSNPISFPSQAARAGSAVSGVAGGLSRSRPAAPAAPAAAAAAAGGSASPQHASPVQAPFRGAAAARAGGGGGATGNAGGSSSSPLDSGSYARTRGNAAAPGSQKAGM
+>sp|Q9Y6M5|ZNT1_HUMAN Zinc transporter 1 OS=Homo sapiens OX=9606 GN=SLC30A1 PE=1 SV=3
+MGCWGRNRGRLLCMLALTFMFMVLEVVVSRVTSSLAMLSDSFHMLSDVLALVVALVAERFARRTHATQKNTFGWIRAEVMGALVNAIFLTGLCFAILLEAIERFIEPHEMQQPLVVLGVGVAGLLVNVLGLCLFHHHSGFSQDSGHGHSHGGHGHGHGLPKGPRVKSTRPGSSDINVAPGEQGPDQEETNTLVANTSNSNGLKLDPADPENPRSGDTVEVQVNGNLVREPDHMELEEDRAGQLNMRGVFLHVLGDALGSVIVVVNALVFYFSWKGCSEGDFCVNPCFPDPCKAFVEIINSTHASVYEAGPCWVLYLDPTLCVVMVCILLYTTYPLLKESALILLQTVPKQIDIRNLIKELRNVEGVEEVHELHVWQLAGSRIIATAHIKCEDPTSYMEVAKTIKDVFHNHGIHATTIQPEFASVGSKSSVVPCELACRTQCALKQCCGTLPQAPSGKDAEKTPAVSISCLELSNNLEKKPRRTKAENIPAVVIEIKNMPNKQPESSL
+>DECOY_sp|Q9Y6M5|ZNT1_HUMAN Zinc transporter 1 OS=Homo sapiens OX=9606 GN=SLC30A1 PE=1 SV=3
+LSSEPQKNPMNKIEIVVAPINEAKTRRPKKELNNSLELCSISVAPTKEADKGSPAQPLTGCCQKLACQTRCALECPVVSSKSGVSAFEPQITTAHIGHNHFVDKITKAVEMYSTPDECKIHATAIIRSGALQWVHLEHVEEVGEVNRLEKILNRIDIQKPVTQLLILASEKLLPYTTYLLICVMVVCLTPDLYLVWCPGAEYVSAHTSNIIEVFAKCPDPFCPNVCFDGESCGKWSFYFVLANVVVIVSGLADGLVHLFVGRMNLQGARDEELEMHDPERVLNGNVQVEVTDGSRPNEPDAPDLKLGNSNSTNAVLTNTEEQDPGQEGPAVNIDSSGPRTSKVRPGKPLGHGHGHGGHSHGHGSDQSFGSHHHFLCLGLVNVLLGAVGVGLVVLPQQMEHPEIFREIAELLIAFCLGTLFIANVLAGMVEARIWGFTNKQTAHTRRAFREAVLAVVLALVDSLMHFSDSLMALSSTVRSVVVELVMFMFTLALMCLLRGRNRGWCGM
+>sp|Q9BRI3|ZNT2_HUMAN Zinc transporter 2 OS=Homo sapiens OX=9606 GN=SLC30A2 PE=1 SV=1
+MEAKEKQHLLDARPAIRSYTGSLWQEGAGWIPLPRPGLDLQAIELAAQSNHHCHAQKGPDSHCDPKKGKAQRQLYVASAICLLFMIGEVVEILGALVSVLSIWVVTGVLVYLAVERLISGDYEIDGGTMLITSGCAVAVNIIMGLTLHQSGHGHSHGTTNQQEENPSVRAAFIHVIGDFMQSMGVLVAAYILYFKPEYKYVDPICTFVFSILVLGTTLTILRDVILVLMEGTPKGVDFTAVRDLLLSVEGVEALHSLHIWALTVAQPVLSVHIAIAQNTDAQAVLKTASSRLQGKFHFHTVTIQIEDYSEDMKDCQACQGPSD
+>DECOY_sp|Q9BRI3|ZNT2_HUMAN Zinc transporter 2 OS=Homo sapiens OX=9606 GN=SLC30A2 PE=1 SV=1
+DSPGQCAQCDKMDESYDEIQITVTHFHFKGQLRSSATKLVAQADTNQAIAIHVSLVPQAVTLAWIHLSHLAEVGEVSLLLDRVATFDVGKPTGEMLVLIVDRLITLTTGLVLISFVFTCIPDVYKYEPKFYLIYAAVLVGMSQMFDGIVHIFAARVSPNEEQQNTTGHSHGHGSQHLTLGMIINVAVACGSTILMTGGDIEYDGSILREVALYVLVGTVVWISLVSVLAGLIEVVEGIMFLLCIASAVYLQRQAKGKKPDCHSDPGKQAHCHHNSQAALEIAQLDLGPRPLPIWGAGEQWLSGTYSRIAPRADLLHQKEKAEM
+>sp|Q07157|ZO1_HUMAN Tight junction protein ZO-1 OS=Homo sapiens OX=9606 GN=TJP1 PE=1 SV=3
+MSARAAAAKSTAMEETAIWEQHTVTLHRAPGFGFGIAISGGRDNPHFQSGETSIVISDVLKGGPAEGQLQENDRVAMVNGVSMDNVEHAFAVQQLRKSGKNAKITIRRKKKVQIPVSRPDPEPVSDNEEDSYDEEIHDPRSGRSGVVNRRSEKIWPRDRSASRERSLSPRSDRRSVASSQPAKPTKVTLVKSRKNEEYGLRLASHIFVKEISQDSLAARDGNIQEGDVVLKINGTVTENMSLTDAKTLIERSKGKLKMVVQRDERATLLNVPDLSDSIHSANASERDDISEIQSLASDHSGRSHDRPPRRSRSRSPDQRSEPSDHSRHSPQQPSNGSLRSRDEERISKPGAVSTPVKHADDHTPKTVEEVTVERNEKQTPSLPEPKPVYAQVGQPDVDLPVSPSDGVLPNSTHEDGILRPSMKLVKFRKGDSVGLRLAGGNDVGIFVAGVLEDSPAAKEGLEEGDQILRVNNVDFTNIIREEAVLFLLDLPKGEEVTILAQKKKDVYRRIVESDVGDSFYIRTHFEYEKESPYGLSFNKGEVFRVVDTLYNGKLGSWLAIRIGKNHKEVERGIIPNKNRAEQLASVQYTLPKTAGGDRADFWRFRGLRSSKRNLRKSREDLSAQPVQTKFPAYERVVLREAGFLRPVTIFGPIADVAREKLAREEPDIYQIAKSEPRDAGTDQRSSGIIRLHTIKQIIDQDKHALLDVTPNAVDRLNYAQWYPIVVFLNPDSKQGVKTMRMRLCPESRKSARKLYERSHKLRKNNHHLFTTTINLNSMNDGWYGALKEAIQQQQNQLVWVSEGKADGATSDDLDLHDDRLSYLSAPGSEYSMYSTDSRHTSDYEDTDTEGGAYTDQELDETLNDEVGTPPESAITRSSEPVREDSSGMHHENQTYPPYSPQAQPQPIHRIDSPGFKPASQQKAEASSPVPYLSPETNPASSTSAVNHNVNLTNVRLEEPTPAPSTSYSPQADSLRTPSTEAAHIMLRDQEPSLSSHVDPTKVYRKDPYPEEMMRQNHVLKQPAVSHPGHRPDKEPNLTYEPQLPYVEKQASRDLEQPTYRYESSSYTDQFSRNYEHRLRYEDRVPMYEEQWSYYDDKQPYPSRPPFDNQHSQDLDSRQHPEESSERGYFPRFEEPAPLSYDSRPRYEQAPRASALRHEEQPAPGYDTHGRLRPEAQPHPSAGPKPAESKQYFEQYSRSYEQVPPQGFTSRAGHFEPLHGAAAVPPLIPSSQHKPEALPSNTKPLPPPPTQTEEEEDPAMKPQSVLTRVKMFENKRSASLETKKDVNDTGSFKPPEVASKPSGAPIIGPKPTSQNQFSEHDKTLYRIPEPQKPQLKPPEDIVRSNHYDPEEDEEYYRKQLSYFDRRSFENKPPAHIAASHLSEPAKPAHSQNQSNFSSYSSKGKPPEADGVDRSFGEKRYEPIQATPPPPPLPSQYAQPSQPVTSASLHIHSKGAHGEGNSVSLDFQNSLVSKPDPPPSQNKPATFRPPNREDTAQAAFYPQKSFPDKAPVNGTEQTQKTVTPAYNRFTPKPYTSSARPFERKFESPKFNHNLLPSETAHKPDLSSKTPTSPKTLVKSHSLAQPPEFDSGVETFSIHAEKPKYQINNISTVPKAIPVSPSAVEEDEDEDGHTVVATARGIFNSNGGVLSSIETGVSIIIPQGAIPEGVEQEIYFKVCRDNSILPPLDKEKGETLLSPLVMCGPHGLKFLKPVELRLPHCDPKTWQNKCLPGDPNYLVGANCVSVLIDHF
+>DECOY_sp|Q07157|ZO1_HUMAN Tight junction protein ZO-1 OS=Homo sapiens OX=9606 GN=TJP1 PE=1 SV=3
+FHDILVSVCNAGVLYNPDGPLCKNQWTKPDCHPLRLEVPKLFKLGHPGCMVLPSLLTEGKEKDLPPLISNDRCVKFYIEQEVGEPIAGQPIIISVGTEISSLVGGNSNFIGRATAVVTHGDEDEDEEVASPSVPIAKPVTSINNIQYKPKEAHISFTEVGSDFEPPQALSHSKVLTKPSTPTKSSLDPKHATESPLLNHNFKPSEFKREFPRASSTYPKPTFRNYAPTVTKQTQETGNVPAKDPFSKQPYFAAQATDERNPPRFTAPKNQSPPPDPKSVLSNQFDLSVSNGEGHAGKSHIHLSASTVPQSPQAYQSPLPPPPPTAQIPEYRKEGFSRDVGDAEPPKGKSSYSSFNSQNQSHAPKAPESLHSAAIHAPPKNEFSRRDFYSLQKRYYEEDEEPDYHNSRVIDEPPKLQPKQPEPIRYLTKDHESFQNQSTPKPGIIPAGSPKSAVEPPKFSGTDNVDKKTELSASRKNEFMKVRTLVSQPKMAPDEEEETQTPPPPLPKTNSPLAEPKHQSSPILPPVAAAGHLPEFHGARSTFGQPPVQEYSRSYQEFYQKSEAPKPGASPHPQAEPRLRGHTDYGPAPQEEHRLASARPAQEYRPRSDYSLPAPEEFRPFYGRESSEEPHQRSDLDQSHQNDFPPRSPYPQKDDYYSWQEEYMPVRDEYRLRHEYNRSFQDTYSSSEYRYTPQELDRSAQKEVYPLQPEYTLNPEKDPRHGPHSVAPQKLVHNQRMMEEPYPDKRYVKTPDVHSSLSPEQDRLMIHAAETSPTRLSDAQPSYSTSPAPTPEELRVNTLNVNHNVASTSSAPNTEPSLYPVPSSAEAKQQSAPKFGPSDIRHIPQPQAQPSYPPYTQNEHHMGSSDERVPESSRTIASEPPTGVEDNLTEDLEQDTYAGGETDTDEYDSTHRSDTSYMSYESGPASLYSLRDDHLDLDDSTAGDAKGESVWVLQNQQQQIAEKLAGYWGDNMSNLNITTTFLHHNNKRLKHSREYLKRASKRSEPCLRMRMTKVGQKSDPNLFVVIPYWQAYNLRDVANPTVDLLAHKDQDIIQKITHLRIIGSSRQDTGADRPESKAIQYIDPEERALKERAVDAIPGFITVPRLFGAERLVVREYAPFKTQVPQASLDERSKRLNRKSSRLGRFRWFDARDGGATKPLTYQVSALQEARNKNPIIGREVEKHNKGIRIALWSGLKGNYLTDVVRFVEGKNFSLGYPSEKEYEFHTRIYFSDGVDSEVIRRYVDKKKQALITVEEGKPLDLLFLVAEERIINTFDVNNVRLIQDGEELGEKAAPSDELVGAVFIGVDNGGALRLGVSDGKRFKVLKMSPRLIGDEHTSNPLVGDSPSVPLDVDPQGVQAYVPKPEPLSPTQKENREVTVEEVTKPTHDDAHKVPTSVAGPKSIREEDRSRLSGNSPQQPSHRSHDSPESRQDPSRSRSRRPPRDHSRGSHDSALSQIESIDDRESANASHISDSLDPVNLLTAREDRQVVMKLKGKSREILTKADTLSMNETVTGNIKLVVDGEQINGDRAALSDQSIEKVFIHSALRLGYEENKRSKVLTVKTPKAPQSSAVSRRDSRPSLSRERSASRDRPWIKESRRNVVGSRGSRPDHIEEDYSDEENDSVPEPDPRSVPIQVKKKRRITIKANKGSKRLQQVAFAHEVNDMSVGNVMAVRDNEQLQGEAPGGKLVDSIVISTEGSQFHPNDRGGSIAIGFGFGPARHLTVTHQEWIATEEMATSKAAAARASM
+>sp|Q9UDY2|ZO2_HUMAN Tight junction protein ZO-2 OS=Homo sapiens OX=9606 GN=TJP2 PE=1 SV=2
+MPVRGDRGFPPRRELSGWLRAPGMEELIWEQYTVTLQKDSKRGFGIAVSGGRDNPHFENGETSIVISDVLPGGPADGLLQENDRVVMVNGTPMEDVLHSFAVQQLRKSGKVAAIVVKRPRKVQVAALQASPPLDQDDRAFEVMDEFDGRSFRSGYSERSRLNSHGGRSRSWEDSPERGRPHERARSRERDLSRDRSRGRSLERGLDQDHARTRDRSRGRSLERGLDHDFGPSRDRDRDRSRGRSIDQDYERAYHRAYDPDYERAYSPEYRRGARHDARSRGPRSRSREHPHSRSPSPEPRGRPGPIGVLLMKSRANEEYGLRLGSQIFVKEMTRTGLATKDGNLHEGDIILKINGTVTENMSLTDARKLIEKSRGKLQLVVLRDSQQTLINIPSLNDSDSEIEDISEIESNRSFSPEERRHQYSDYDYHSSSEKLKERPSSREDTPSRLSRMGATPTPFKSTGDIAGTVVPETNKEPRYQEDPPAPQPKAAPRTFLRPSPEDEAIYGPNTKMVRFKKGDSVGLRLAGGNDVGIFVAGIQEGTSAEQEGLQEGDQILKVNTQDFRGLVREDAVLYLLEIPKGEMVTILAQSRADVYRDILACGRGDSFFIRSHFECEKETPQSLAFTRGEVFRVVDTLYDGKLGNWLAVRIGNELEKGLIPNKSRAEQMASVQNAQRDNAGDRADFWRMRGQRSGVKKNLRKSREDLTAVVSVSTKFPAYERVLLREAGFKRPVVLFGPIADIAMEKLANELPDWFQTAKTEPKDAGSEKSTGVVRLNTVRQIIEQDKHALLDVTPKAVDLLNYTQWFPIVIFFNPDSRQGVKTMRQRLNPTSNKSSRKLFDQANKLKKTCAHLFTATINLNSANDSWFGSLKDTIQHQQGEAVWVSEGKMEGMDDDPEDRMSYLTAMGADYLSCDSRLISDFEDTDGEGGAYTDNELDEPAEEPLVSSITRSSEPVQHEESIRKPSPEPRAQMRRAASSDQLRDNSPPPAFKPEPPKAKTQNKEESYDFSKSYEYKSNPSAVAGNETPGASTKGYPPPVAAKPTFGRSILKPSTPIPPQEGEEVGESSEEQDNAPKSVLGKVKIFEKMDHKARLQRMQELQEAQNARIEIAQKHPDIYAVPIKTHKPDPGTPQHTSSRPPEPQKAPSRPYQDTRGSYGSDAEEEEYRQQLSEHSKRGYYGQSARYRDTEL
+>DECOY_sp|Q9UDY2|ZO2_HUMAN Tight junction protein ZO-2 OS=Homo sapiens OX=9606 GN=TJP2 PE=1 SV=2
+LETDRYRASQGYYGRKSHESLQQRYEEEEADSGYSGRTDQYPRSPAKQPEPPRSSTHQPTGPDPKHTKIPVAYIDPHKQAIEIRANQAEQLEQMRQLRAKHDMKEFIKVKGLVSKPANDQEESSEGVEEGEQPPIPTSPKLISRGFTPKAAVPPPYGKTSAGPTENGAVASPNSKYEYSKSFDYSEEKNQTKAKPPEPKFAPPPSNDRLQDSSAARRMQARPEPSPKRISEEHQVPESSRTISSVLPEEAPEDLENDTYAGGEGDTDEFDSILRSDCSLYDAGMATLYSMRDEPDDDMGEMKGESVWVAEGQQHQITDKLSGFWSDNASNLNITATFLHACTKKLKNAQDFLKRSSKNSTPNLRQRMTKVGQRSDPNFFIVIPFWQTYNLLDVAKPTVDLLAHKDQEIIQRVTNLRVVGTSKESGADKPETKATQFWDPLENALKEMAIDAIPGFLVVPRKFGAERLLVREYAPFKTSVSVVATLDERSKRLNKKVGSRQGRMRWFDARDGANDRQANQVSAMQEARSKNPILGKELENGIRVALWNGLKGDYLTDVVRFVEGRTFALSQPTEKECEFHSRIFFSDGRGCALIDRYVDARSQALITVMEGKPIELLYLVADERVLGRFDQTNVKLIQDGEQLGEQEASTGEQIGAVFIGVDNGGALRLGVSDGKKFRVMKTNPGYIAEDEPSPRLFTRPAAKPQPAPPDEQYRPEKNTEPVVTGAIDGTSKFPTPTAGMRSLRSPTDERSSPREKLKESSSHYDYDSYQHRREEPSFSRNSEIESIDEIESDSDNLSPINILTQQSDRLVVLQLKGRSKEILKRADTLSMNETVTGNIKLIIDGEHLNGDKTALGTRTMEKVFIQSGLRLGYEENARSKMLLVGIPGPRGRPEPSPSRSHPHERSRSRPGRSRADHRAGRRYEPSYAREYDPDYARHYAREYDQDISRGRSRDRDRDRSPGFDHDLGRELSRGRSRDRTRAHDQDLGRELSRGRSRDRSLDRERSRAREHPRGREPSDEWSRSRGGHSNLRSRESYGSRFSRGDFEDMVEFARDDQDLPPSAQLAAVQVKRPRKVVIAAVKGSKRLQQVAFSHLVDEMPTGNVMVVRDNEQLLGDAPGGPLVDSIVISTEGNEFHPNDRGGSVAIGFGRKSDKQLTVTYQEWILEEMGPARLWGSLERRPPFGRDGRVPM
+>sp|O95218|ZRAB2_HUMAN Zinc finger Ran-binding domain-containing protein 2 OS=Homo sapiens OX=9606 GN=ZRANB2 PE=1 SV=2
+MSTKNFRVSDGDWICPDKKCGNVNFARRTSCNRCGREKTTEAKMMKAGGTEIGKTLAEKSRGLFSANDWQCKTCSNVNWARRSECNMCNTPKYAKLEERTGYGGGFNERENVEYIEREESDGEYDEFGRKKKKYRGKAVGPASILKEVEDKESEGEEEDEDEDLSKYKLDEDEDEDDADLSKYNLDASEEEDSNKKKSNRRSRSKSRSSHSRSSSRSSSPSSSRSRSRSRSRSSSSSQSRSRSSSRERSRSRGSKSRSSSRSHRGSSSPRKRSYSSSSSSPERNRKRSRSRSSSSGDRKKRRTRSRSPERRHRSSSGSSHSGSRSSSKKK
+>DECOY_sp|O95218|ZRAB2_HUMAN Zinc finger Ran-binding domain-containing protein 2 OS=Homo sapiens OX=9606 GN=ZRANB2 PE=1 SV=2
+KKKSSSRSGSHSSGSSSRHRREPSRSRTRRKKRDGSSSSRSRSRKRNREPSSSSSSYSRKRPSSSGRHSRSSSRSKSGRSRSRERSSSRSRSQSSSSSRSRSRSRSRSSSPSSSRSSSRSHSSRSKSRSRRNSKKKNSDEEESADLNYKSLDADDEDEDEDLKYKSLDEDEDEEEGESEKDEVEKLISAPGVAKGRYKKKKRGFEDYEGDSEEREIYEVNERENFGGGYGTREELKAYKPTNCMNCESRRAWNVNSCTKCQWDNASFLGRSKEALTKGIETGGAKMMKAETTKERGCRNCSTRRAFNVNGCKKDPCIWDGDSVRFNKTSM
+>sp|P63127|VPK9_HUMAN Endogenous retrovirus group K member 9 Pro protein OS=Homo sapiens OX=9606 GN=ERVK-9 PE=3 SV=1
+WASQVSENRPVCKAIIQGKQFEGLVDTGADVSIIALNQWPKNWPKQKAVTGLVGIGTASEVYQSMEILHCLGPDNQESTVQPMITSIPLNLWGRDLLQQWGAEITMPAPLYSPTSQKIMTKRGYIPGKGLGKNEDGIKIPFEAKINQKREGIGYPF
+>DECOY_sp|P63127|VPK9_HUMAN Endogenous retrovirus group K member 9 Pro protein OS=Homo sapiens OX=9606 GN=ERVK-9 PE=3 SV=1
+FPYGIGERKQNIKAEFPIKIGDENKGLGKGPIYGRKTMIKQSTPSYLPAPMTIEAGWQQLLDRGWLNLPISTIMPQVTSEQNDPGLCHLIEMSQYVESATGIGVLGTVAKQKPWNKPWQNLAIISVDAGTDVLGEFQKGQIIAKCVPRNESVQSAW
+>sp|Q9UKI3|VPRE3_HUMAN Pre-B lymphocyte protein 3 OS=Homo sapiens OX=9606 GN=VPREB3 PE=2 SV=1
+MACRCLSFLLMGTFLSVSQTVLAQLDALLVFPGQVAQLSCTLSPQHVTIRDYGVSWYQQRAGSAPRYLLYYRSEEDHHRPADIPDRFSAAKDEAHNACVLTISPVQPEDDADYYCSVGYGFSP
+>DECOY_sp|Q9UKI3|VPRE3_HUMAN Pre-B lymphocyte protein 3 OS=Homo sapiens OX=9606 GN=VPREB3 PE=2 SV=1
+PSFGYGVSCYYDADDEPQVPSITLVCANHAEDKAASFRDPIDAPRHHDEESRYYLLYRPASGARQQYWSVGYDRITVHQPSLTCSLQAVQGPFVLLADLQALVTQSVSLFTGMLLFSLCRCAM
+>sp|Q5TIE3|VW5B1_HUMAN von Willebrand factor A domain-containing protein 5B1 OS=Homo sapiens OX=9606 GN=VWA5B1 PE=1 SV=2
+MPGLLNWITGAALPLTASDVTSCVSGYALGLTASLTYGNLEAQPFQGLFVYPLDECTTVIGFEAVIADRVVTVQIKDKAKLESGHFDASHVRSPTVTGNILQDGVSIAPHSCTPGKVTLDEDLERILFVANLGTIAPMENVTIFISTSSELPTLPSGAVRVLLPAVCAPTVPQFCTKSTGTSNQQAQGKDRHCFGAWAPGSWNKLCLATLLNTEVSNPMEYEFNFQLEIRGPCLLAGVESPTHEIRADAAPSARSAKSIIITLANKHTFDRPVEILIHPSEPHMPHVLIEKGDMTLGEFDQHLKGRTDFIKGMKKKSRAERKTEIIRKRLHKDIPHHSVIMLNFCPDLQSVQPCLRKAHGEFIFLIDRSSSMSGISMHRVKDAMLVALKSLMPACLFNIIGFGSTFKSLFPSSQTYSEDSLAMACDDIQRMKADMGGTNILSPLKWVIRQPVHRGHPRLLFVITDGAVNNTGKVLELVRNHAFSTRCYSFGIGPNVCHRLVKGLASVSEGSAELLMEGERLQPKMVKSLKKAMAPVLSDVTVEWIFPETTEVLVSPVSASSLFPGERLVGYGIVCDASLHISNPRSDKRRRYSMLHSQESGSSVFYHSQDDGPGLEGGDCAKNSGAPFILGQAKNARLASGDSTTKHDLNLSQRRRAYSTNQITNHKPLPRATMASDPMPAAKRYPLRKARLQDLTNQTSLDVQRWQIDLQPLLNSGQDLNQGPKLRGPGARRPSLLPQGCQPFLPWGQETQAWSPVRERTSDSRSPGDLEPSHHPSAFETETSSDWDPPAESQERASPSRPATPAPVLGKALVKGLHDSQRLQWEVSFELGTPGPERGGAQDADLWSETFHHLAARAIIRDFEQLAEREGEIEQGSNRRYQVSALHTSKACNIISKYTAFVPVDVSKSRYLPTVVEYPNSAALRMLGSRALAQQWRGTSSGFGRPQTMLGEDSAPGNGKFQALNMEASPTALFSEARSPGREKHGASEGPQRSLATNTLSSMKASENLFGSWLNLNKSRLLTRAAKGFLSKPLIKAVESTSGNQSFDYIPLVSLQLASGAFLLNEAFCEATHIPMEKLKWTSPFTCHRVSLTTRPSESKTPSPQLCTSSPPRHPSCDSFSLEPLAKGKLGLEPRAVVEHTGKLWATVVGLAWLEHSSASYFTEWELVAAKANSWLEQQEVPEGRTQGTLKAAARQLFVLLRHWDENLEFNMLCYNPNYV
+>DECOY_sp|Q5TIE3|VW5B1_HUMAN von Willebrand factor A domain-containing protein 5B1 OS=Homo sapiens OX=9606 GN=VWA5B1 PE=1 SV=2
+VYNPNYCLMNFELNEDWHRLLVFLQRAAAKLTGQTRGEPVEQQELWSNAKAAVLEWETFYSASSHELWALGVVTAWLKGTHEVVARPELGLKGKALPELSFSDCSPHRPPSSTCLQPSPTKSESPRTTLSVRHCTFPSTWKLKEMPIHTAECFAENLLFAGSALQLSVLPIYDFSQNGSTSEVAKILPKSLFGKAARTLLRSKNLNLWSGFLNESAKMSSLTNTALSRQPGESAGHKERGPSRAESFLATPSAEMNLAQFKGNGPASDEGLMTQPRGFGSSTGRWQQALARSGLMRLAASNPYEVVTPLYRSKSVDVPVFATYKSIINCAKSTHLASVQYRRNSGQEIEGEREALQEFDRIIARAALHHFTESWLDADQAGGREPGPTGLEFSVEWQLRQSDHLGKVLAKGLVPAPTAPRSPSAREQSEAPPDWDSSTETEFASPHHSPELDGPSRSDSTRERVPSWAQTEQGWPLFPQCGQPLLSPRRAGPGRLKPGQNLDQGSNLLPQLDIQWRQVDLSTQNTLDQLRAKRLPYRKAAPMPDSAMTARPLPKHNTIQNTSYARRRQSLNLDHKTTSDGSALRANKAQGLIFPAGSNKACDGGELGPGDDQSHYFVSSGSEQSHLMSYRRRKDSRPNSIHLSADCVIGYGVLREGPFLSSASVPSVLVETTEPFIWEVTVDSLVPAMAKKLSKVMKPQLREGEMLLEASGESVSALGKVLRHCVNPGIGFSYCRTSFAHNRVLELVKGTNNVAGDTIVFLLRPHGRHVPQRIVWKLPSLINTGGMDAKMRQIDDCAMALSDESYTQSSPFLSKFTSGFGIINFLCAPMLSKLAVLMADKVRHMSIGSMSSSRDILFIFEGHAKRLCPQVSQLDPCFNLMIVSHHPIDKHLRKRIIETKREARSKKKMGKIFDTRGKLHQDFEGLTMDGKEILVHPMHPESPHILIEVPRDFTHKNALTIIISKASRASPAADARIEHTPSEVGALLCPGRIELQFNFEYEMPNSVETNLLTALCLKNWSGPAWAGFCHRDKGQAQQNSTGTSKTCFQPVTPACVAPLLVRVAGSPLTPLESSTSIFITVNEMPAITGLNAVFLIRELDEDLTVKGPTCSHPAISVGDQLINGTVTPSRVHSADFHGSELKAKDKIQVTVVRDAIVAEFGIVTTCEDLPYVFLGQFPQAELNGYTLSATLGLAYGSVCSTVDSATLPLAAGTIWNLLGPM
+>sp|Q5GFL6|VWA2_HUMAN von Willebrand factor A domain-containing protein 2 OS=Homo sapiens OX=9606 GN=VWA2 PE=1 SV=1
+MPPFLLLEAVCVFLFSRVPPSLPLQEVHVSKETIGKISAASKMMWCSAAVDIMFLLDGSNSVGKGSFERSKHFAITVCDGLDISPERVRVGAFQFSSTPHLEFPLDSFSTQQEVKARIKRMVFKGGRTETELALKYLLHRGLPGGRNASVPQILIIVTDGKSQGDVALPSKQLKERGVTVFAVGVRFPRWEELHALASEPRGQHVLLAEQVEDATNGLFSTLSSSAICSSATPDCRVEAHPCEHRTLEMVREFAGNAPCWRGSRRTLAVLAAHCPFYSWKRVFLTHPATCYRTTCPGPCDSQPCQNGGTCVPEGLDGYQCLCPLAFGGEANCALKLSLECRVDLLFLLDSSAGTTLDGFLRAKVFVKRFVRAVLSEDSRARVGVATYSRELLVAVPVGEYQDVPDLVWSLDGIPFRGGPTLTGSALRQAAERGFGSATRTGQDRPRRVVVLLTESHSEDEVAGPARHARARELLLLGVGSEAVRAELEEITGSPKHVMVYSDPQDLFNQIPELQGKLCSRQRPGCRTQALDLVFMLDTSASVGPENFAQMQSFVRSCALQFEVNPDVTQVGLVVYGSQVQTAFGLDTKPTRAAMLRAISQAPYLGGVGSAGTALLHIYDKVMTVQRGARPGVPKAVVVLTGGRGAEDAAVPAQKLRNNGISVLVVGVGPVLSEGLRRLAGPRDSLIHVAAYADLRYHQDVLIEWLCGEAKQPVNLCKPSPCMNEGSCVLQNGSYRCKCRDGWEGPHCENRFLRRP
+>DECOY_sp|Q5GFL6|VWA2_HUMAN von Willebrand factor A domain-containing protein 2 OS=Homo sapiens OX=9606 GN=VWA2 PE=1 SV=1
+PRRLFRNECHPGEWGDRCKCRYSGNQLVCSGENMCPSPKCLNVPQKAEGCLWEILVDQHYRLDAYAAVHILSDRPGALRRLGESLVPGVGVVLVSIGNNRLKQAPVAADEAGRGGTLVVVAKPVGPRAGRQVTMVKDYIHLLATGASGVGGLYPAQSIARLMAARTPKTDLGFATQVQSGYVVLGVQTVDPNVEFQLACSRVFSQMQAFNEPGVSASTDLMFVLDLAQTRCGPRQRSCLKGQLEPIQNFLDQPDSYVMVHKPSGTIEELEARVAESGVGLLLLERARAHRAPGAVEDESHSETLLVVVRRPRDQGTRTASGFGREAAQRLASGTLTPGGRFPIGDLSWVLDPVDQYEGVPVAVLLERSYTAVGVRARSDESLVARVFRKVFVKARLFGDLTTGASSDLLFLLDVRCELSLKLACNAEGGFALPCLCQYGDLGEPVCTGGNQCPQSDCPGPCTTRYCTAPHTLFVRKWSYFPCHAALVALTRRSGRWCPANGAFERVMELTRHECPHAEVRCDPTASSCIASSSLTSFLGNTADEVQEALLVHQGRPESALAHLEEWRPFRVGVAFVTVGREKLQKSPLAVDGQSKGDTVIILIQPVSANRGGPLGRHLLYKLALETETRGGKFVMRKIRAKVEQQTSFSDLPFELHPTSSFQFAGVRVREPSIDLGDCVTIAFHKSREFSGKGVSNSGDLLFMIDVAASCWMMKSAASIKGITEKSVHVEQLPLSPPVRSFLFVCVAELLLFPPM
+>sp|A6NCI4|VWA3A_HUMAN von Willebrand factor A domain-containing protein 3A OS=Homo sapiens OX=9606 GN=VWA3A PE=2 SV=3
+MKKYRKISIGCFAMATQTSHVFHGQENMFLENHCIRRNTGRDSKKPLKQKNMNGLGQNSDNGLLVTHVNQTQDLLRLQGSETQSSDWEDSEDWLSAHSLKCQKLTLADLISQGTEVLEEGTNVVQKICFSTQIIRHFESKLSDTIEVYQERIQWLTENSKKAFGLIKGARVSILIDVSAISSGPQKEEFQKDLMSLIDEQLSHKEKLFVLSFGTNAGSLWPDPMEVSASTLQELKLWVKTLQPDGGSNLLQALKKIFTLKGLDSLVAIMRSCPDQPSEILSDYIQQSTMGRDLIIHFITYRCDDQMPPAVLKNLAEAVRGYYHCYSPKMEHYTSRDMDELLAEIQKAQSLLSHVQALQHSSPCEALTCTMEEISTEITNGPLISLLPKPPKHDAPLTIEFPNLDKTSAEWLKVNGLKAKKLSLYQVLAPNAFSPVEEFVPILQKTVSSTIHEKAMIQFEWHDGTVKNIHVDPPFLYKYQQQLSRAMRMYERRIEWLSLASRRIWGTVCEKRVVVLLDISATNSMYIIHIQHSLRLLLEEQLSNKDCFNLIAFGSTIESWRPEMVPVSHNNLQSAWRWALNLRCRGSRNVLSALRKAVEVDFKDKDKHQSQGIYLFTGGIPDQDMPTLSAYMAEACGGCDLQLNVCLFYVGEPKMDTTPPARYASHTDTAAAYKEVTRAAGGRFHWFGDTGIYESDDINSIMSEMEKALNYSQKCAFLMASLKNHSGKVLGSSALPKEKPKTLQLRSQPKKLCPPRPTVPLGARMSIKDDPDREKSPPLKSLKWRPLSSRVGISPAAAQPTKEGMMELRRKTKSREAETSLLLFYTEKGNDVGSVYKKYPQGRGLRRTSSSIDLPRKDTVCSSQEWVAKYGLKKLKLEISRCMGPNCTHQKSGQRSASAKHCSIFPSVEIHGVVRHIQWTPREMEVYIRHLEKVLRRYVQRLQWLLSGSRRLFGTVLESKVCILLDTSGSMGPYLQQVKTELVLLIWEQLRKCCDSFNLLSFAESFQSWQDTLVETTDAACHEAMQWVTHLQAQGSTSILQALLKAFSFHDLEGLYLLTDGKPDTSCSLVLNEVQKLREKRDVKVHTISLNCSDRAAVEFLRKLASFTGGRYHCPVGEDTLSKIHSLLTKGFINEKDPTLPPFEGDDLRILAQEITKARSFLWQAQSFRSQLQKKNDAEPKVTLS
+>DECOY_sp|A6NCI4|VWA3A_HUMAN von Willebrand factor A domain-containing protein 3A OS=Homo sapiens OX=9606 GN=VWA3A PE=2 SV=3
+SLTVKPEADNKKQLQSRFSQAQWLFSRAKTIEQALIRLDDGEFPPLTPDKENIFGKTLLSHIKSLTDEGVPCHYRGGTFSALKRLFEVAARDSCNLSITHVKVDRKERLKQVENLVLSCSTDPKGDTLLYLGELDHFSFAKLLAQLISTSGQAQLHTVWQMAEHCAADTTEVLTDQWSQFSEAFSLLNFSDCCKRLQEWILLVLETKVQQLYPGMSGSTDLLICVKSELVTGFLRRSGSLLWQLRQVYRRLVKELHRIYVEMERPTWQIHRVVGHIEVSPFISCHKASASRQGSKQHTCNPGMCRSIELKLKKLGYKAVWEQSSCVTDKRPLDISSSTRRLGRGQPYKKYVSGVDNGKETYFLLLSTEAERSKTKRRLEMMGEKTPQAAAPSIGVRSSLPRWKLSKLPPSKERDPDDKISMRAGLPVTPRPPCLKKPQSRLQLTKPKEKPLASSGLVKGSHNKLSAMLFACKQSYNLAKEMESMISNIDDSEYIGTDGFWHFRGGAARTVEKYAAATDTHSAYRAPPTTDMKPEGVYFLCVNLQLDCGGCAEAMYASLTPMDQDPIGGTFLYIGQSQHKDKDKFDVEVAKRLASLVNRSGRCRLNLAWRWASQLNNHSVPVMEPRWSEITSGFAILNFCDKNSLQEELLLRLSHQIHIIYMSNTASIDLLVVVRKECVTGWIRRSALSLWEIRREYMRMARSLQQQYKYLFPPDVHINKVTGDHWEFQIMAKEHITSSVTKQLIPVFEEVPSFANPALVQYLSLKKAKLGNVKLWEASTKDLNPFEITLPADHKPPKPLLSILPGNTIETSIEEMTCTLAECPSSHQLAQVHSLLSQAKQIEALLEDMDRSTYHEMKPSYCHYYGRVAEALNKLVAPPMQDDCRYTIFHIILDRGMTSQQIYDSLIESPQDPCSRMIAVLSDLGKLTFIKKLAQLLNSGGDPQLTKVWLKLEQLTSASVEMPDPWLSGANTGFSLVFLKEKHSLQEDILSMLDKQFEEKQPGSSIASVDILISVRAGKILGFAKKSNETLWQIREQYVEITDSLKSEFHRIIQTSFCIKQVVNTGEELVETGQSILDALTLKQCKLSHASLWDESDEWDSSQTESGQLRLLDQTQNVHTVLLGNDSNQGLGNMNKQKLPKKSDRGTNRRICHNELFMNEQGHFVHSTQTAMAFCGISIKRYKKM
+>sp|Q9BUR4|WAP53_HUMAN Telomerase Cajal body protein 1 OS=Homo sapiens OX=9606 GN=WRAP53 PE=1 SV=1
+MKTLETQPLAPDCCPSDQDPAPAHPSPHASPMNKNADSELMPPPPERGDPPRLSPDPVAGSAVSQELREGDPVSLSTPLETEFGSPSELSPRIEEQELSENTSLPAEEANGSLSEEEANGPELGSGKAMEDTSGEPAAEDEGDTAWNYSFSQLPRFLSGSWSEFSTQPENFLKGCKWAPDGSCILTNSADNILRIYNLPPELYHEGEQVEYAEMVPVLRMVEGDTIYDYCWYSLMSSAQPDTSYVASSSRENPIHIWDAFTGELRASFRAYNHLDELTAAHSLCFSPDGSQLFCGFNRTVRVFSTARPGRDCEVRATFAKKQGQSGIISCIAFSPAQPLYACGSYGRSLGLYAWDDGSPLALLGGHQGGITHLCFHPDGNRFFSGARKDAELLCWDLRQSGYPLWSLGREVTTNQRIYFDLDPTGQFLVSGSTSGAVSVWDTDGPGNDGKPEPVLSFLPQKDCTNGVSLHPSLPLLATASGQRVFPEPTESGDEGEELGLPLLSTRHVHLECRLQLWWCGGAPDSSIPDDHQGEKGQGGTEGGVGELI
+>DECOY_sp|Q9BUR4|WAP53_HUMAN Telomerase Cajal body protein 1 OS=Homo sapiens OX=9606 GN=WRAP53 PE=1 SV=1
+ILEGVGGETGGQGKEGQHDDPISSDPAGGCWWLQLRCELHVHRTSLLPLGLEEGEDGSETPEPFVRQGSATALLPLSPHLSVGNTCDKQPLFSLVPEPKGDNGPGDTDWVSVAGSTSGSVLFQGTPDLDFYIRQNTTVERGLSWLPYGSQRLDWCLLEADKRAGSFFRNGDPHFCLHTIGGQHGGLLALPSGDDWAYLGLSRGYSGCAYLPQAPSFAICSIIGSQGQKKAFTARVECDRGPRATSFVRVTRNFGCFLQSGDPSFCLSHAATLEDLHNYARFSARLEGTFADWIHIPNERSSSAVYSTDPQASSMLSYWCYDYITDGEVMRLVPVMEAYEVQEGEHYLEPPLNYIRLINDASNTLICSGDPAWKCGKLFNEPQTSFESWSGSLFRPLQSFSYNWATDGEDEAAPEGSTDEMAKGSGLEPGNAEEESLSGNAEEAPLSTNESLEQEEIRPSLESPSGFETELPTSLSVPDGERLEQSVASGAVPDPSLRPPDGREPPPPMLESDANKNMPSAHPSPHAPAPDQDSPCCDPALPQTELTKM
+>sp|Q9Y3C0|WASC3_HUMAN WASH complex subunit 3 OS=Homo sapiens OX=9606 GN=WASHC3 PE=1 SV=1
+MDEDGLPLMGSGIDLTKVPAIQQKRTVAFLNQFVVHTVQFLNRFSTVCEEKLADLSLRIQQIETTLNILDAKLSSIPGLDDVTVEVSPLNVTSVTNGAHPEATSEQPQQNSTQDSGLQESEVSAENILTVAKDPRYARYLKMVQVGVPVMAIRNKMISEGLDPDLLERPDAPVPDGESEKTVEESSDSESSFSD
+>DECOY_sp|Q9Y3C0|WASC3_HUMAN WASH complex subunit 3 OS=Homo sapiens OX=9606 GN=WASHC3 PE=1 SV=1
+DSFSSESDSSEEVTKESEGDPVPADPRELLDPDLGESIMKNRIAMVPVGVQVMKLYRAYRPDKAVTLINEASVESEQLGSDQTSNQQPQESTAEPHAGNTVSTVNLPSVEVTVDDLGPISSLKADLINLTTEIQQIRLSLDALKEECVTSFRNLFQVTHVVFQNLFAVTRKQQIAPVKTLDIGSGMLPLGDEDM
+>sp|Q9NQA3|WASH6_HUMAN WAS protein family homolog 6 OS=Homo sapiens OX=9606 GN=WASH6P PE=1 SV=3
+MAFHEMQAHKNALGTSGEQQAADITGPTPHQGGWKQVEQSRSQVQAIGEKVSLAQAKIEKIKGSKKAIKVFSSAKYPAPERLQEYGSIFTGAQDPGLQRRPRHRIQSKHRPLDERALQEKLKDFPVCVSTKPEPEDDAEEGLGGLPSNISSVSSLLLFNTTENLYKKYVFLDPLAGAVTKTHVMLGAETEEKLFDAPLSISKREQLEQQVPENYFYVPDLGQVPEIDVPSYLPDLPSIANDLMYSADLGPGIAPSAPGTIPELPTFHTEVAEPLKADLQDGVLTPPPPPPPPPPAPEVLASAPPLPPSTAAPVGQGARQDDGSSSASPSVQGAPREVVDPSGGWATLLESIRQAGGIGKAKLRSMKERKLEKKKQKEQEQVRATSQGGHLMSDLFNKLVMRRKGISGKGPGAGEGPGGAFARVSDSIPPVPPPQQPQAEEDEDDWES
+>DECOY_sp|Q9NQA3|WASH6_HUMAN WAS protein family homolog 6 OS=Homo sapiens OX=9606 GN=WASH6P PE=1 SV=3
+SEWDDEDEEAQPQQPPPVPPISDSVRAFAGGPGEGAGPGKGSIGKRRMVLKNFLDSMLHGGQSTARVQEQEKQKKKELKREKMSRLKAKGIGGAQRISELLTAWGGSPDVVERPAGQVSPSASSSGDDQRAGQGVPAATSPPLPPASALVEPAPPPPPPPPPPTLVGDQLDAKLPEAVETHFTPLEPITGPASPAIGPGLDASYMLDNAISPLDPLYSPVDIEPVQGLDPVYFYNEPVQQELQERKSISLPADFLKEETEAGLMVHTKTVAGALPDLFVYKKYLNETTNFLLLSSVSSINSPLGGLGEEADDEPEPKTSVCVPFDKLKEQLAREDLPRHKSQIRHRPRRQLGPDQAGTFISGYEQLREPAPYKASSFVKIAKKSGKIKEIKAQALSVKEGIAQVQSRSQEVQKWGGQHPTPGTIDAAQQEGSTGLANKHAQMEHFAM
+>sp|O00401|WASL_HUMAN Neural Wiskott-Aldrich syndrome protein OS=Homo sapiens OX=9606 GN=WASL PE=1 SV=2
+MSSVQQQPPPPRRVTNVGSLLLTPQENESLFTFLGKKCVTMSSAVVQLYAADRNCMWSKKCSGVACLVKDNPQRSYFLRIFDIKDGKLLWEQELYNNFVYNSPRGYFHTFAGDTCQVALNFANEEEAKKFRKAVTDLLGRRQRKSEKRRDPPNGPNLPMATVDIKNPEITTNRFYGPQVNNISHTKEKKKGKAKKKRLTKADIGTPSNFQHIGHVGWDPNTGFDLNNLDPELKNLFDMCGISEAQLKDRETSKVIYDFIEKTGGVEAVKNELRRQAPPPPPPSRGGPPPPPPPPHNSGPPPPPARGRGAPPPPPSRAPTAAPPPPPPSRPSVAVPPPPPNRMYPPPPPALPSSAPSGPPPPPPSVLGVGPVAPPPPPPPPPPPGPPPPPGLPSDGDHQVPTTAGNKAALLDQIREGAQLKKVEQNSRPVSCSGRDALLDQIRQGIQLKSVADGQESTPPTPAPTSGIVGALMEVMQKRSKAIHSSDEDEDEDDEEDFEDDDEWED
+>DECOY_sp|O00401|WASL_HUMAN Neural Wiskott-Aldrich syndrome protein OS=Homo sapiens OX=9606 GN=WASL PE=1 SV=2
+DEWEDDDEFDEEDDEDEDEDSSHIAKSRKQMVEMLAGVIGSTPAPTPPTSEQGDAVSKLQIGQRIQDLLADRGSCSVPRSNQEVKKLQAGERIQDLLAAKNGATTPVQHDGDSPLGPPPPPGPPPPPPPPPPPAVPGVGLVSPPPPPPGSPASSPLAPPPPPYMRNPPPPPVAVSPRSPPPPPPAATPARSPPPPPAGRGRAPPPPPGSNHPPPPPPPPGGRSPPPPPPAQRRLENKVAEVGGTKEIFDYIVKSTERDKLQAESIGCMDFLNKLEPDLNNLDFGTNPDWGVHGIHQFNSPTGIDAKTLRKKKAKGKKKEKTHSINNVQPGYFRNTTIEPNKIDVTAMPLNPGNPPDRRKESKRQRRGLLDTVAKRFKKAEEENAFNLAVQCTDGAFTHFYGRPSNYVFNNYLEQEWLLKGDKIDFIRLFYSRQPNDKVLCAVGSCKKSWMCNRDAAYLQVVASSMTVCKKGLFTFLSENEQPTLLLSGVNTVRRPPPPQQQVSSM
+>sp|P42768|WASP_HUMAN Wiskott-Aldrich syndrome protein OS=Homo sapiens OX=9606 GN=WAS PE=1 SV=4
+MSGGPMGGRPGGRGAPAVQQNIPSTLLQDHENQRLFEMLGRKCLTLATAVVQLYLALPPGAEHWTKEHCGAVCFVKDNPQKSYFIRLYGLQAGRLLWEQELYSQLVYSTPTPFFHTFAGDDCQAGLNFADEDEAQAFRALVQEKIQKRNQRQSGDRRQLPPPPTPANEERRGGLPPLPLHPGGDQGGPPVGPLSLGLATVDIQNPDITSSRYRGLPAPGPSPADKKRSGKKKISKADIGAPSGFKHVSHVGWDPQNGFDVNNLDPDLRSLFSRAGISEAQLTDAETSKLIYDFIEDQGGLEAVRQEMRRQEPLPPPPPPSRGGNQLPRPPIVGGNKGRSGPLPPVPLGIAPPPPTPRGPPPPGRGGPPPPPPPATGRSGPLPPPPPGAGGPPMPPPPPPPPPPPSSGNGPAPPPLPPALVPAGGLAPGGGRGALLDQIRQGIQLNKTPGAPESSALQPPPQSSEGLVGALMHVMQKRSRAIHSSDEGEDQAGDEDEDDEWDD
+>DECOY_sp|P42768|WASP_HUMAN Wiskott-Aldrich syndrome protein OS=Homo sapiens OX=9606 GN=WAS PE=1 SV=4
+DDWEDDEDEDGAQDEGEDSSHIARSRKQMVHMLAGVLGESSQPPPQLASSEPAGPTKNLQIGQRIQDLLAGRGGGPALGGAPVLAPPLPPPAPGNGSSPPPPPPPPPPPMPPGGAGPPPPPLPGSRGTAPPPPPPPGGRGPPPPGRPTPPPPAIGLPVPPLPGSRGKNGGVIPPRPLQNGGRSPPPPPPLPEQRRMEQRVAELGGQDEIFDYILKSTEADTLQAESIGARSFLSRLDPDLNNVDFGNQPDWGVHSVHKFGSPAGIDAKSIKKKGSRKKDAPSPGPAPLGRYRSSTIDPNQIDVTALGLSLPGVPPGGQDGGPHLPLPPLGGRREENAPTPPPPLQRRDGSQRQNRKQIKEQVLARFAQAEDEDAFNLGAQCDDGAFTHFFPTPTSYVLQSYLEQEWLLRGAQLGYLRIFYSKQPNDKVFCVAGCHEKTWHEAGPPLALYLQVVATALTLCKRGLMEFLRQNEHDQLLTSPINQQVAPAGRGGPRGGMPGGSM
+>sp|Q9Y2W2|WBP11_HUMAN WW domain-binding protein 11 OS=Homo sapiens OX=9606 GN=WBP11 PE=1 SV=1
+MGRRSTSSTKSGKFMNPTDQARKEARKRELKKNKKQRMMVRAAVLKMKDPKQIIRDMEKLDEMEFNPVQQPQLNEKVLKDKRKKLRETFERILRLYEKENPDIYKELRKLEVEYEQKRAQLSQYFDAVKNAQHVEVESIPLPDMPHAPSNILIQDIPLPGAQPPSILKKTSAYGPPTRAVSILPLLGHGVPRLPPGRKPPGPPPGPPPPQVVQMYGRKVGFALDLPPRRRDEDMLYSPELAQRGHDDDVSSTSEDDGYPEDMDQDKHDDSTDDSDTDKSDGESDGDEFVHRDNGERDNNEEKKSGLSVRFADMPGKSRKKKKNMKELTPLQAMMLRMAGQEIPEEGREVEEFSEDDDEDDSDDSEAEKQSQKQHKEESHSDGTSTASSQQQAPPQSVPPSQIQAPPMPGPPPLGPPPAPPLRPPGPPTGLPPGPPPGAPPFLRPPGMPGLRGPLPRLLPPGPPPGRPPGPPPGPPPGLPPGPPPRGPPPRLPPPAPPGIPPPRPGMMRPPLVPPLGPAPPGLFPPAPLPNPGVLSAPPNLIQRPKADDTSAATIEKKATATISAKPQITNPKAEITRFVPTALRVRRENKGATAAPQRKSEDDSAVPLAKAAPKSGPSVPVSVQTKDDVYEAFMKEMEGLL
+>DECOY_sp|Q9Y2W2|WBP11_HUMAN WW domain-binding protein 11 OS=Homo sapiens OX=9606 GN=WBP11 PE=1 SV=1
+LLGEMEKMFAEYVDDKTQVSVPVSPGSKPAAKALPVASDDESKRQPAATAGKNERRVRLATPVFRTIEAKPNTIQPKASITATAKKEITAASTDDAKPRQILNPPASLVGPNPLPAPPFLGPPAPGLPPVLPPRMMGPRPPPIGPPAPPPLRPPPGRPPPGPPLGPPPGPPPGPPRGPPPGPPLLRPLPGRLGPMGPPRLFPPAGPPPGPPLGTPPGPPRLPPAPPPGLPPPGPMPPAQIQSPPVSQPPAQQQSSATSTGDSHSEEKHQKQSQKEAESDDSDDEDDDESFEEVERGEEPIEQGAMRLMMAQLPTLEKMNKKKKRSKGPMDAFRVSLGSKKEENNDREGNDRHVFEDGDSEGDSKDTDSDDTSDDHKDQDMDEPYGDDESTSSVDDDHGRQALEPSYLMDEDRRRPPLDLAFGVKRGYMQVVQPPPPGPPPGPPKRGPPLRPVGHGLLPLISVARTPPGYASTKKLISPPQAGPLPIDQILINSPAHPMDPLPISEVEVHQANKVADFYQSLQARKQEYEVELKRLEKYIDPNEKEYLRLIREFTERLKKRKDKLVKENLQPQQVPNFEMEDLKEMDRIIQKPDKMKLVAARVMMRQKKNKKLERKRAEKRAQDTPNMFKGSKTSSTSRRGM
+>sp|O75083|WDR1_HUMAN WD repeat-containing protein 1 OS=Homo sapiens OX=9606 GN=WDR1 PE=1 SV=4
+MPYEIKKVFASLPQVERGVSKIIGGDPKGNNFLYTNGKCVILRNIDNPALADIYTEHAHQVVVAKYAPSGFYIASGDVSGKLRIWDTTQKEHLLKYEYQPFAGKIKDIAWTEDSKRIAVVGEGREKFGAVFLWDSGSSVGEITGHNKVINSVDIKQSRPYRLATGSDDNCAAFFEGPPFKFKFTIGDHSRFVNCVRFSPDGNRFATASADGQIYIYDGKTGEKVCALGGSKAHDGGIYAISWSPDSTHLLSASGDKTSKIWDVSVNSVVSTFPMGSTVLDQQLGCLWQKDHLLSVSLSGYINYLDRNNPSKPLHVIKGHSKSIQCLTVHKNGGKSYIYSGSHDGHINYWDSETGENDSFAGKGHTNQVSRMTVDESGQLISCSMDDTVRYTSLMLRDYSGQGVVKLDVQPKCVAVGPGGYAVVVCIGQIVLLKDQRKCFSIDNPGYEPEVVAVHPGGDTVAIGGVDGNVRLYSILGTTLKDEGKLLEAKGPVTDVAYSHDGAFLAVCDASKVVTVFSVADGYSENNVFYGHHAKIVCLAWSPDNEHFASGGMDMMVYVWTLSDPETRVKIQDAHRLHHVSSLAWLDEHTLVTTSHDASVKEWTITY
+>DECOY_sp|O75083|WDR1_HUMAN WD repeat-containing protein 1 OS=Homo sapiens OX=9606 GN=WDR1 PE=1 SV=4
+YTITWEKVSADHSTTVLTHEDLWALSSVHHLRHADQIKVRTEPDSLTWVYVMMDMGGSAFHENDPSWALCVIKAHHGYFVNNESYGDAVSFVTVVKSADCVALFAGDHSYAVDTVPGKAELLKGEDKLTTGLISYLRVNGDVGGIAVTDGGPHVAVVEPEYGPNDISFCKRQDKLLVIQGICVVVAYGGPGVAVCKPQVDLKVVGQGSYDRLMLSTYRVTDDMSCSILQGSEDVTMRSVQNTHGKGAFSDNEGTESDWYNIHGDHSGSYIYSKGGNKHVTLCQISKSHGKIVHLPKSPNNRDLYNIYGSLSVSLLHDKQWLCGLQQDLVTSGMPFTSVVSNVSVDWIKSTKDGSASLLHTSDPSWSIAYIGGDHAKSGGLACVKEGTKGDYIYIQGDASATAFRNGDPSFRVCNVFRSHDGITFKFKFPPGEFFAACNDDSGTALRYPRSQKIDVSNIVKNHGTIEGVSSGSDWLFVAGFKERGEGVVAIRKSDETWAIDKIKGAFPQYEYKLLHEKQTTDWIRLKGSVDGSAIYFGSPAYKAVVVQHAHETYIDALAPNDINRLIVCKGNTYLFNNGKPDGGIIKSVGREVQPLSAFVKKIEYPM
+>sp|Q96S15|WDR24_HUMAN GATOR complex protein WDR24 OS=Homo sapiens OX=9606 GN=WDR24 PE=1 SV=1
+MGKKRTTSGEGRERQRLPARRFRTTSPAALRADSVDGGSLLAPLLGLTDRAFSDCPDLADGAMEKMSRVTTALGGSVLTGRTMHCHLDAPANAISVCRDAAQVVVAGRSIFKIYAIEEEQFVEKLNLRVGRKPSLNLSCADVVWHQMDENLLATAATNGVVVTWNLGRPSRNKQDQLFTEHKRTVNKVCFHPTEAHVLLSGSQDGFMKCFDLRRKDSVSTFSGEATEAGPREWAMAGCVPILPVLSCRILRLHHSFAHGPMQDAESTANDARESWGCPLYPLGLCSGPQAGQSESVRDVQFSIRDYFTFASTFENGNVQLWDIRRPDRCERMFTAHNGPVFCCDWHPEDRGWLATGGRDKMVKVWDMTTHRAKEMHCVQTIASVARVKWRPECRHHLATCSMMVDHNIYVWDVRRPFVPAAMFEEHRDVTTGIAWRHPHDPSFLLSGSKDSSLCQHLFRDASQPVERANPEGLCYGLFGDLAFAAKESLVAAESGRKPYTGDRRHPIFFKRKLDPAEPFAGLASSALSVFETEPGGGGMRWFVDTAERYALAGRPLAELCDHNAKVARELGRNQVAQTWTMLRIIYCSPGLVPTANLNHSVGKGGSCGLPLMNSFNLKDMAPGLGSETRLDRSKGDARSDTVLLDSSATLITNEDNEETEGSDVPADYLLGDVEGEEDELYLLDPEHAHPEDPECVLPQEAFPLRHEIVDTPPGPEHLQDKADSPHVSGSEADVASLAPVDSSFSLLSVSHALYDSRLPPDFFGVLVRDMLHFYAEQGDVQMAVSVLIVLGERVRKDIDEQTQEHWYTSYIDLLQRFRLWNVSNEVVKLSTSRAVSCLNQASTTLHVNCSHCKRPMSSRGWVCDRCHRCASMCAVCHHVVKGLFVWCQGCSHGGHLQHIMKWLEGSSHCPAGCGHLCEYS
+>DECOY_sp|Q96S15|WDR24_HUMAN GATOR complex protein WDR24 OS=Homo sapiens OX=9606 GN=WDR24 PE=1 SV=1
+SYECLHGCGAPCHSSGELWKMIHQLHGGHSCGQCWVFLGKVVHHCVACMSACRHCRDCVWGRSSMPRKCHSCNVHLTTSAQNLCSVARSTSLKVVENSVNWLRFRQLLDIYSTYWHEQTQEDIDKRVREGLVILVSVAMQVDGQEAYFHLMDRVLVGFFDPPLRSDYLAHSVSLLSFSSDVPALSAVDAESGSVHPSDAKDQLHEPGPPTDVIEHRLPFAEQPLVCEPDEPHAHEPDLLYLEDEEGEVDGLLYDAPVDSGETEENDENTILTASSDLLVTDSRADGKSRDLRTESGLGPAMDKLNFSNMLPLGCSGGKGVSHNLNATPVLGPSCYIIRLMTWTQAVQNRGLERAVKANHDCLEALPRGALAYREATDVFWRMGGGGPETEFVSLASSALGAFPEAPDLKRKFFIPHRRDGTYPKRGSEAAVLSEKAAFALDGFLGYCLGEPNAREVPQSADRFLHQCLSSDKSGSLLFSPDHPHRWAIGTTVDRHEEFMAAPVFPRRVDWVYINHDVMMSCTALHHRCEPRWKVRAVSAITQVCHMEKARHTTMDWVKVMKDRGGTALWGRDEPHWDCCFVPGNHATFMRECRDPRRIDWLQVNGNEFTSAFTFYDRISFQVDRVSESQGAQPGSCLGLPYLPCGWSERADNATSEADQMPGHAFSHHLRLIRCSLVPLIPVCGAMAWERPGAETAEGSFTSVSDKRRLDFCKMFGDQSGSLLVHAETPHFCVKNVTRKHETFLQDQKNRSPRGLNWTVVVGNTAATALLNEDMQHWVVDACSLNLSPKRGVRLNLKEVFQEEEIAYIKFISRGAVVVQAADRCVSIANAPADLHCHMTRGTLVSGGLATTVRSMKEMAGDALDPCDSFARDTLGLLPALLSGGDVSDARLAAPSTTRFRRAPLRQRERGEGSTTRKKGM
+>sp|Q9H7D7|WDR26_HUMAN WD repeat-containing protein 26 OS=Homo sapiens OX=9606 GN=WDR26 PE=1 SV=3
+MQANGAGGGGGGGGGGGGGGGGGGGQGQTPELACLSAQNGESSPSSSSSAGDLAHANGLLPSAPSAASNNSNSLNVNNGVPGGAAAASSATVAAASATTAASSSLATPELGSSLKKKKRLSQSDEDVIRLIGQHLNGLGLNQTVDLLMQESGCRLEHPSATKFRNHVMEGDWDKAENDLNELKPLVHSPHAIVVRGALEISQTLLGIIVRMKFLLLQQKYLEYLEDGKVLEALQVLRCELTPLKYNTERIHVLSGYLMCSHAEDLRAKAEWEGKGTASRSKLLDKLQTYLPPSVMLPPRRLQTLLRQAVELQRDRCLYHNTKLDNNLDSVSLLIDHVCSRRQFPCYTQQILTEHCNEVWFCKFSNDGTKLATGSKDTTVIIWQVDPDTHLLKLLKTLEGHAYGVSYIAWSPDDNYLVACGPDDCSELWLWNVQTGELRTKMSQSHEDSLTSVAWNPDGKRFVTGGQRGQFYQCDLDGNLLDSWEGVRVQCLWCLSDGKTVLASDTHQRIRGYNFEDLTDRNIVQEDHPIMSFTISKNGRLALLNVATQGVHLWDLQDRVLVRKYQGVTQGFYTIHSCFGGHNEDFIASGSEDHKVYIWHKRSELPIAELTGHTRTVNCVSWNPQIPSMMASASDDGTVRIWGPAPFIDHQNIEEECSSMDS
+>DECOY_sp|Q9H7D7|WDR26_HUMAN WD repeat-containing protein 26 OS=Homo sapiens OX=9606 GN=WDR26 PE=1 SV=3
+SDMSSCEEEINQHDIFPAPGWIRVTGDDSASAMMSPIQPNWSVCNVTRTHGTLEAIPLESRKHWIYVKHDESGSAIFDENHGGFCSHITYFGQTVGQYKRVLVRDQLDWLHVGQTAVNLLALRGNKSITFSMIPHDEQVINRDTLDEFNYGRIRQHTDSALVTKGDSLCWLCQVRVGEWSDLLNGDLDCQYFQGRQGGTVFRKGDPNWAVSTLSDEHSQSMKTRLEGTQVNWLWLESCDDPGCAVLYNDDPSWAIYSVGYAHGELTKLLKLLHTDPDVQWIIVTTDKSGTALKTGDNSFKCFWVENCHETLIQQTYCPFQRRSCVHDILLSVSDLNNDLKTNHYLCRDRQLEVAQRLLTQLRRPPLMVSPPLYTQLKDLLKSRSATGKGEWEAKARLDEAHSCMLYGSLVHIRETNYKLPTLECRLVQLAELVKGDELYELYKQQLLLFKMRVIIGLLTQSIELAGRVVIAHPSHVLPKLENLDNEAKDWDGEMVHNRFKTASPHELRCGSEQMLLDVTQNLGLGNLHQGILRIVDEDSQSLRKKKKLSSGLEPTALSSSAATTASAAAVTASSAAAAGGPVGNNVNLSNSNNSAASPASPLLGNAHALDGASSSSSPSSEGNQASLCALEPTQGQGGGGGGGGGGGGGGGGGGGAGNAQM
+>sp|Q8NA23|WDR31_HUMAN WD repeat-containing protein 31 OS=Homo sapiens OX=9606 GN=WDR31 PE=2 SV=1
+MLLLRCQLKQAPPQKVSFRFCVVMGKQQSKLKHSTYKYGRPDEIIEERIQTKAFQEYSPAHMDTVSVVAALNSDLCVSGGKDKTVVAYNWKTGNVVKRFKGHEHEITKVACIPKSSQFFSASRDRMVMMWDLHGSSQPRQQLCGHAMVVTGLAVSPDSSQLCTGSRDNTLLLWDVVTGQSVERASVSRNVVTHLCWVPREPYILQTSEDKTLRLWDSRGLQVAHMFPAKQHIQTYCEVSVDGHKCISCSNGFGGEGCEATLWDLRQTRNRICEYKGHFQTVASCVFLPRALALMPLIATSSHDCKVKIWNQDTGACLFTLSLDGSGPLTSLAVGDAISLLCASFNRGIHLLRMDHSQGLELQEVAAF
+>DECOY_sp|Q8NA23|WDR31_HUMAN WD repeat-containing protein 31 OS=Homo sapiens OX=9606 GN=WDR31 PE=2 SV=1
+FAAVEQLELGQSHDMRLLHIGRNFSACLLSIADGVALSTLPGSGDLSLTFLCAGTDQNWIKVKCDHSSTAILPMLALARPLFVCSAVTQFHGKYECIRNRTQRLDWLTAECGEGGFGNSCSICKHGDVSVECYTQIHQKAPFMHAVQLGRSDWLRLTKDESTQLIYPERPVWCLHTVVNRSVSAREVSQGTVVDWLLLTNDRSGTCLQSSDPSVALGTVVMAHGCLQQRPQSSGHLDWMMVMRDRSASFFQSSKPICAVKTIEHEHGKFRKVVNGTKWNYAVVTKDKGGSVCLDSNLAAVVSVTDMHAPSYEQFAKTQIREEIIEDPRGYKYTSHKLKSQQKGMVVCFRFSVKQPPAQKLQCRLLLM
+>sp|Q9C0J8|WDR33_HUMAN pre-mRNA 3' end processing protein WDR33 OS=Homo sapiens OX=9606 GN=WDR33 PE=1 SV=2
+MATEIGSPPRFFHMPRFQHQAPRQLFYKRPDFAQQQAMQQLTFDGKRMRKAVNRKTIDYNPSVIKYLENRIWQRDQRDMRAIQPDAGYYNDLVPPIGMLNNPMNAVTTKFVRTSTNKVKCPVFVVRWTPEGRRLVTGASSGEFTLWNGLTFNFETILQAHDSPVRAMTWSHNDMWMLTADHGGYVKYWQSNMNNVKMFQAHKEAIREASFSPTDNKFATCSDDGTVRIWDFLRCHEERILRGHGADVKCVDWHPTKGLVVSGSKDSQQPIKFWDPKTGQSLATLHAHKNTVMEVKLNLNGNWLLTASRDHLCKLFDIRNLKEELQVFRGHKKEATAVAWHPVHEGLFASGGSDGSLLFWHVGVEKEVGGMEMAHEGMIWSLAWHPLGHILCSGSNDHTSKFWTRNRPGDKMRDRYNLNLLPGMSEDGVEYDDLEPNSLAVIPGMGIPEQLKLAMEQEQMGKDESNEIEMTIPGLDWGMEEVMQKDQKKVPQKKVPYAKPIPAQFQQAWMQNKVPIPAPNEVLNDRKEDIKLEEKKKTQAEIEQEMATLQYTNPQLLEQLKIERLAQKQVEQIQPPPSSGTPLLGPQPFPGQGPMSQIPQGFQQPHPSQQMPMNMAQMGPPGPQGQFRPPGPQGQMGPQGPPLHQGGGGPQGFMGPQGPQGPPQGLPRPQDMHGPQGMQRHPGPHGPLGPQGPPGPQGSSGPQGHMGPQGPPGPQGHIGPQGPPGPQGHLGPQGPPGTQGMQGPPGPRGMQGPPHPHGIQGGPGSQGIQGPVSQGPLMGLNPRGMQGPPGPRENQGPAPQGMIMGHPPQEMRGPHPPGGLLGHGPQEMRGPQEIRGMQGPPPQGSMLGPPQELRGPPGSQSQQGPPQGSLGPPPQGGMQGPPGPQGQQNPARGPHPSQGPIPFQQQKTPLLGDGPRAPFNQEGQSTGPPPLIPGLGQQGAQGRIPPLNPGQGPGPNKGDSRGPPNHHMGPMSERRHEQSGGPEHGPERGPFRGGQDCRGPPDRRGPHPDFPDDFSRPDDFHPDKRFGHRLREFEGRGGPLPQEEKWRRGGPGPPFPPDHREFSEGDGRGAARGPPGAWEGRRPGDERFPRDPEDPRFRGRREESFRRGAPPRHEGRAPPRGRDGFPGPEDFGPEENFDASEEAARGRDLRGRGRGTPRGGRKGLLPTPDEFPRFEGGRKPDSWDGNREPGPGHEHFRDTPRPDHPPHDGHSPASRERSSSLQGMDMASLPPRKRPWHDGPGTSEHREMEAPGGPSEDRGGKGRGGPGPAQRVPKSGRSSSLDGEHHDGYHRDEPFGGPPGSGTPSRGGRSGSNWGRGSNMNSGPPRRGASRGGGRGR
+>DECOY_sp|Q9C0J8|WDR33_HUMAN pre-mRNA 3' end processing protein WDR33 OS=Homo sapiens OX=9606 GN=WDR33 PE=1 SV=2
+RGRGGGRSAGRRPPGSNMNSGRGWNSGSRGGRSPTGSGPPGGFPEDRHYGDHHEGDLSSSRGSKPVRQAPGPGGRGKGGRDESPGGPAEMERHESTGPGDHWPRKRPPLSAMDMGQLSSSRERSAPSHGDHPPHDPRPTDRFHEHGPGPERNGDWSDPKRGGEFRPFEDPTPLLGKRGGRPTGRGRGRLDRGRAAEESADFNEEPGFDEPGPFGDRGRPPARGEHRPPAGRRFSEERRGRFRPDEPDRPFREDGPRRGEWAGPPGRAAGRGDGESFERHDPPFPPGPGGRRWKEEQPLPGGRGEFERLRHGFRKDPHFDDPRSFDDPFDPHPGRRDPPGRCDQGGRFPGREPGHEPGGSQEHRRESMPGMHHNPPGRSDGKNPGPGQGPNLPPIRGQAGQQGLGPILPPPGTSQGEQNFPARPGDGLLPTKQQQFPIPGQSPHPGRAPNQQGQPGPPGQMGGQPPPGLSGQPPGQQSQSGPPGRLEQPPGLMSGQPPPGQMGRIEQPGRMEQPGHGLLGGPPHPGRMEQPPHGMIMGQPAPGQNERPGPPGQMGRPNLGMLPGQSVPGQIGQSGPGGQIGHPHPPGQMGRPGPPGQMGQTGPPGQPGLHGQPGPPGQPGIHGQPGPPGQPGMHGQPGSSGQPGPPGQPGLPGHPGPHRQMGQPGHMDQPRPLGQPPGQPGQPGMFGQPGGGGQHLPPGQPGMQGQPGPPRFQGQPGPPGMQAMNMPMQQSPHPQQFGQPIQSMPGQGPFPQPGLLPTGSSPPPQIQEVQKQALREIKLQELLQPNTYQLTAMEQEIEAQTKKKEELKIDEKRDNLVENPAPIPVKNQMWAQQFQAPIPKAYPVKKQPVKKQDKQMVEEMGWDLGPITMEIENSEDKGMQEQEMALKLQEPIGMGPIVALSNPELDDYEVGDESMGPLLNLNYRDRMKDGPRNRTWFKSTHDNSGSCLIHGLPHWALSWIMGEHAMEMGGVEKEVGVHWFLLSGDSGGSAFLGEHVPHWAVATAEKKHGRFVQLEEKLNRIDFLKCLHDRSATLLWNGNLNLKVEMVTNKHAHLTALSQGTKPDWFKIPQQSDKSGSVVLGKTPHWDVCKVDAGHGRLIREEHCRLFDWIRVTGDDSCTAFKNDTPSFSAERIAEKHAQFMKVNNMNSQWYKVYGGHDATLMWMDNHSWTMARVPSDHAQLITEFNFTLGNWLTFEGSSAGTVLRRGEPTWRVVFVPCKVKNTSTRVFKTTVANMPNNLMGIPPVLDNYYGADPQIARMDRQDRQWIRNELYKIVSPNYDITKRNVAKRMRKGDFTLQQMAQQQAFDPRKYFLQRPAQHQFRPMHFFRPPSGIETAM
+>sp|Q15061|WDR43_HUMAN WD repeat-containing protein 43 OS=Homo sapiens OX=9606 GN=WDR43 PE=1 SV=3
+MAAGGGGSCDPLAPAGVPCAFSPHSQAYFALASTDGHLRVWETANNRLHQEYVPSAHLSGTCTCLAWAPARLQAKESPQRKKRKSEAVGMSNQTDLLALGTAVGSILLYSTVKGELHSKLISGGHDNRVNCIQWHQDSGCLYSCSDDKHIVEWNVQTCKVKCKWKGDNSSVSSLCISPDGKMLLSAGRTIKLWVLETKEVYRHFTGHATPVSSLMFTTIRPPNESQPFDGITGLYFLSGAVHDRLLNVWQVRSENKEKSAVMSFTVTDEPVYIDLTLSENKEEPVKLAVVCRDGQVHLFEHILNGYCKKPLTSNCTIQIATPGKGKKSTPKPIPILAAGFCSDKMSLLLVYGSWFQPTIERVALNSREPHMCLVRDISNCWAPKVETAITKVRTPVMNSEAKVLVPGIPGHHAAIKPAPPQTEQVESKRKSGGNEVSIEERLGAMDIDTHKKGKEDLQTNSFPVLLTQGLESNDFEMLNKVLQTRNVNLIKKTVLRMPLHTIIPLLQELTKRLQGHPNSAVLMVQWLKCVLTVHASYLSTLPDLVPQLGTLYQLMESRVKTFQKLSHLHGKLILLITQVTASEKTKGATSPGQKAKLVYEEESSEEESDDEIADKDSEDNWDEDEEESESEKDEDVEEEDEDAEGKDEENGEDRDTASEKELNGDSDLDPENESEEE
+>DECOY_sp|Q15061|WDR43_HUMAN WD repeat-containing protein 43 OS=Homo sapiens OX=9606 GN=WDR43 PE=1 SV=3
+EEESENEPDLDSDGNLEKESATDRDEGNEEDKGEADEDEEEVDEDKESESEEEDEDWNDESDKDAIEDDSEEESSEEEYVLKAKQGPSTAGKTKESATVQTILLILKGHLHSLKQFTKVRSEMLQYLTGLQPVLDPLTSLYSAHVTLVCKLWQVMLVASNPHGQLRKTLEQLLPIITHLPMRLVTKKILNVNRTQLVKNLMEFDNSELGQTLLVPFSNTQLDEKGKKHTDIDMAGLREEISVENGGSKRKSEVQETQPPAPKIAAHHGPIGPVLVKAESNMVPTRVKTIATEVKPAWCNSIDRVLCMHPERSNLAVREITPQFWSGYVLLLSMKDSCFGAALIPIPKPTSKKGKGPTAIQITCNSTLPKKCYGNLIHEFLHVQGDRCVVALKVPEEKNESLTLDIYVPEDTVTFSMVASKEKNESRVQWVNLLRDHVAGSLFYLGTIGDFPQSENPPRITTFMLSSVPTAHGTFHRYVEKTELVWLKITRGASLLMKGDPSICLSSVSSNDGKWKCKVKCTQVNWEVIHKDDSCSYLCGSDQHWQICNVRNDHGGSILKSHLEGKVTSYLLISGVATGLALLDTQNSMGVAESKRKKRQPSEKAQLRAPAWALCTCTGSLHASPVYEQHLRNNATEWVRLHGDTSALAFYAQSHPSFACPVGAPALPDCSGGGGAAM
+>sp|Q8IV35|WDR49_HUMAN WD repeat-containing protein 49 OS=Homo sapiens OX=9606 GN=WDR49 PE=2 SV=1
+MAWREKSKKRLNMTSFNIAQGIHAFDYHSRLNLIATAGINNKVCLWNPYVVSKPVGVLWGHSASVIAVQFFVERKQLFSFSKDKVLRLWDIQHQLSIQRIACSFPKSQDFRCLFHFDEAHGRLFISFNNQLALLAMKSEASKRVKSHEKAVTCVLYNSILKQVISSDTGSTVSFWMIDTGQKIKQFTGCHGNAEISTMALDANETRLLTGSTDGTVKIWDFNGYCHHTLNVGQDGAVDISQILILKKKILVTGWERAITVFRPQNFNQFFIQPEEWKGGIQHHDDILCAAFLPPQTLVTGSYDGEIVLWNNSTENAHHVLHPDYQRLLKSKLDTKPQKLLSAGRSQPSHPMADHSTTGVRNFEIDTEGKNAVMRLCFLKARKNTAVTGGANLVSCGGSGYVRFWDIYKKQLLAEFLAHSGVGSIIMSTDKMNRYLTTGDLDGWLKIWNIEEYCLNSSKNKITKAPTLIRSFQPHEDRISSLEMCEPGGQLLIISSSADCSICVTGVCNAPVWIFGQAKHWHIENCLFLPKRDTNLVESEIQKEISLFSKEESCLDPTEHSLLNKKNKDDSTYNVRPSEDINLDIKYKERSTCMKETQKPYYGEVIKKSFSTFRSLNIGALEELPEVNKPAFLLDPEKYFRKEPEEERPQILEAPSLFKTLKAVFDEKNLFPKEILHHERKAKQLCQEKSCEVKKNKK
+>DECOY_sp|Q8IV35|WDR49_HUMAN WD repeat-containing protein 49 OS=Homo sapiens OX=9606 GN=WDR49 PE=2 SV=1
+KKNKKVECSKEQCLQKAKREHHLIEKPFLNKEDFVAKLTKFLSPAELIQPREEEPEKRFYKEPDLLFAPKNVEPLEELAGINLSRFTSFSKKIVEGYYPKQTEKMCTSREKYKIDLNIDESPRVNYTSDDKNKKNLLSHETPDLCSEEKSFLSIEKQIESEVLNTDRKPLFLCNEIHWHKAQGFIWVPANCVGTVCISCDASSSIILLQGGPECMELSSIRDEHPQFSRILTPAKTIKNKSSNLCYEEINWIKLWGDLDGTTLYRNMKDTSMIISGVGSHALFEALLQKKYIDWFRVYGSGGCSVLNAGGTVATNKRAKLFCLRMVANKGETDIEFNRVGTTSHDAMPHSPQSRGASLLKQPKTDLKSKLLRQYDPHLVHHANETSNNWLVIEGDYSGTVLTQPPLFAACLIDDHHQIGGKWEEPQIFFQNFNQPRFVTIAREWGTVLIKKKLILIQSIDVAGDQGVNLTHHCYGNFDWIKVTGDTSGTLLRTENADLAMTSIEANGHCGTFQKIKQGTDIMWFSVTSGTDSSIVQKLISNYLVCTVAKEHSKVRKSAESKMALLALQNNFSIFLRGHAEDFHFLCRFDQSKPFSCAIRQISLQHQIDWLRLVKDKSFSFLQKREVFFQVAIVSASHGWLVGVPKSVVYPNWLCVKNNIGATAILNLRSHYDFAHIGQAINFSTMNLRKKSKERWAM
+>sp|P57081|WDR4_HUMAN tRNA (guanine-N(7)-)-methyltransferase non-catalytic subunit WDR4 OS=Homo sapiens OX=9606 GN=WDR4 PE=1 SV=2
+MAGSVGLALCGQTLVVRGGSRFLATSIASSDDDSLFIYDCSAAEKKSQENKGEDAPLDQGSGAILASTFSKSGSYFALTDDSKRLILFRTKPWQCLSVRTVARRCTALTFIASEEKVLVADKSGDVYSFSVLEPHGCGRLELGHLSMLLDVAVSPDDRFILTADRDEKIRVSWAAAPHSIESFCLGHTEFVSRISVVPTQPGLLLSSSGDGTLRLWEYRSGRQLHCCHLASLQELVDPQAPQKFAASRIAFWCQENCVALLCDGTPVVYIFQLDARRQQLVYRQQLAFQHQVWDVAFEETQGLWVLQDCQEAPLVLYRPVGDQWQSVPESTVLKKVSGVLRGNWAMLEGSAGADASFSSLYKATFDNVTSYLKKKEERLQQQLEKKQRRRSPPPGPDGHAKKMRPGEATLSC
+>DECOY_sp|P57081|WDR4_HUMAN tRNA (guanine-N(7)-)-methyltransferase non-catalytic subunit WDR4 OS=Homo sapiens OX=9606 GN=WDR4 PE=1 SV=2
+CSLTAEGPRMKKAHGDPGPPPSRRRQKKELQQQLREEKKKLYSTVNDFTAKYLSSFSADAGASGELMAWNGRLVGSVKKLVTSEPVSQWQDGVPRYLVLPAEQCDQLVWLGQTEEFAVDWVQHQFALQQRYVLQQRRADLQFIYVVPTGDCLLAVCNEQCWFAIRSAAFKQPAQPDVLEQLSALHCCHLQRGSRYEWLRLTGDGSSSLLLGPQTPVVSIRSVFETHGLCFSEISHPAAAWSVRIKEDRDATLIFRDDPSVAVDLLMSLHGLELRGCGHPELVSFSYVDGSKDAVLVKEESAIFTLATCRRAVTRVSLCQWPKTRFLILRKSDDTLAFYSGSKSFTSALIAGSGQDLPADEGKNEQSKKEAASCDYIFLSDDDSSAISTALFRSGGRVVLTQGCLALGVSGAM
+>sp|P61964|WDR5_HUMAN WD repeat-containing protein 5 OS=Homo sapiens OX=9606 GN=WDR5 PE=1 SV=1
+MATEEKKPETEAARAQPTPSSSATQSKPTPVKPNYALKFTLAGHTKAVSSVKFSPNGEWLASSSADKLIKIWGAYDGKFEKTISGHKLGISDVAWSSDSNLLVSASDDKTLKIWDVSSGKCLKTLKGHSNYVFCCNFNPQSNLIVSGSFDESVRIWDVKTGKCLKTLPAHSDPVSAVHFNRDGSLIVSSSYDGLCRIWDTASGQCLKTLIDDDNPPVSFVKFSPNGKYILAATLDNTLKLWDYSKGKCLKTYTGHKNEKYCIFANFSVTGGKWIVSGSEDNLVYIWNLQTKEIVQKLQGHTDVVISTACHPTENIIASAALENDKTIKLWKSDC
+>DECOY_sp|P61964|WDR5_HUMAN WD repeat-containing protein 5 OS=Homo sapiens OX=9606 GN=WDR5 PE=1 SV=1
+CDSKWLKITKDNELAASAIINETPHCATSIVVDTHGQLKQVIEKTQLNWIYVLNDESGSVIWKGGTVSFNAFICYKENKHGTYTKLCKGKSYDWLKLTNDLTAALIYKGNPSFKVFSVPPNDDDILTKLCQGSATDWIRCLGDYSSSVILSGDRNFHVASVPDSHAPLTKLCKGTKVDWIRVSEDFSGSVILNSQPNFNCCFVYNSHGKLTKLCKGSSVDWIKLTKDDSASVLLNSDSSWAVDSIGLKHGSITKEFKGDYAGWIKILKDASSSALWEGNPSFKVSSVAKTHGALTFKLAYNPKVPTPKSQTASSSPTPQARAAETEPKKEETAM
+>sp|Q6P4I2|WDR73_HUMAN WD repeat-containing protein 73 OS=Homo sapiens OX=9606 GN=WDR73 PE=1 SV=1
+MDPGDDWLVESLRLYQDFYAFDLSGATRVLEWIDDKGVFVAGYESLKKNEILHLKLPLRLSVKENKGLFPERDFKVRHGGFSDRSIFDLKHVPHTRLLVTSGLPGCYLQVWQVAEDSDVIKAVSTIAVHEKEESLWPRVAVFSTLAPGVLHGARLRSLQVVDLESRKTTYTSDVSDSEELSSLQVLDADTFAFCCASGRLGLVDTRQKWAPLENRSPGPGSGGERWCAEVGSWGQGPGPSIASLGSDGRLCLLDPRDLCHPVSSVQCPVSVPSPDPELLRVTWAPGLKNCLAISGFDGTVQVYDATSWDGTRSQDGTRSQVEPLFTHRGHIFLDGNGMDPAPLVTTHTWHPCRPRTLLSATNDASLHVWDWVDLCAPR
+>DECOY_sp|Q6P4I2|WDR73_HUMAN WD repeat-containing protein 73 OS=Homo sapiens OX=9606 GN=WDR73 PE=1 SV=1
+RPACLDVWDWVHLSADNTASLLTRPRCPHWTHTTVLPAPDMGNGDLFIHGRHTFLPEVQSRTGDQSRTGDWSTADYVQVTGDFGSIALCNKLGPAWTVRLLEPDPSPVSVPCQVSSVPHCLDRPDLLCLRGDSGLSAISPGPGQGWSGVEACWREGGSGPGPSRNELPAWKQRTDVLGLRGSACCFAFTDADLVQLSSLEESDSVDSTYTTKRSELDVVQLSRLRAGHLVGPALTSFVAVRPWLSEEKEHVAITSVAKIVDSDEAVQWVQLYCGPLGSTVLLRTHPVHKLDFISRDSFGGHRVKFDREPFLGKNEKVSLRLPLKLHLIENKKLSEYGAVFVGKDDIWELVRTAGSLDFAYFDQYLRLSEVLWDDGPDM
+>sp|Q5VTH9|WDR78_HUMAN WD repeat-containing protein 78 OS=Homo sapiens OX=9606 GN=WDR78 PE=2 SV=1
+MTPGKHSGASARAANGGAWGYRDFRGGQKKGWCTTPQLVATMPVSPAGSHKQQNFGLNNATQPKKSISFFATMKATSVKGYTGANQSRMAVSKTVLIPPELKTVEKPNPNIKTTQVFDINGTDVTPRPLYHPDPLTGTAKPSKLLTSQEGSLGSEFISSYSLYQNTINPSTLGQFTRSVLGSSTVSKSSVSASESIAEDLEEPSYKRERLTSFTDLQVIRAAPEKIVTKEDLEKNIEIILTETETLRFFDLPTVMVSVESEEAEKVTQRNKNYEVLCRNRLGNDLYVERMMQTFNGAPKNKDVQCDKIIMEDKGIMSTAWDLYDSYNAMELVSLSVKQSVVESSSKANVLPKDQDQRLPGSTTEKNSETSSLMDIENVILAKIHEDEEDHSDAILKSDKFHQDLFFMERVLMENIFQPKLAAYRQLPVLKEPEPEEPEDVLESAKHEEVEEESKKEEEEEIHAEESTIPANLERLWSFSCDLTKGLNVSSLAWNKTNPDLLAVGYGHFGFKEQKRGLACCWSIKNPMWPERIYQSPYGVTAVDFSIGAPNLLAVGYHNGTIAIYNVRSNSNVPVLDSSESPQKHLGPVWQLQWIEQDRGTTGDGKREILVSISADGRISKWVIRKGLDCYDLMRLKRTTAASNKKGGEKEKKDEALISRQAPGMCFAFHPKDTNIYLAGTEEGHIHKCSCSYNEQYLDTYRGHKGPVYKVTWNPFCHDVFLSCSADWGVIIWQQENVKPSLSFYPATSVVYDVAWSPKSSYIFAAANENRVEIWDLHISTLDPLIVNTANPGIKFTTILFAKQTDCLLVGDSDGQVSVYELRNMPTVLETGRGDIMDTLLGSKSNQSA
+>DECOY_sp|Q5VTH9|WDR78_HUMAN WD repeat-containing protein 78 OS=Homo sapiens OX=9606 GN=WDR78 PE=2 SV=1
+ASQNSKSGLLTDMIDGRGTELVTPMNRLEYVSVQGDSDGVLLCDTQKAFLITTFKIGPNATNVILPDLTSIHLDWIEVRNENAAAFIYSSKPSWAVDYVVSTAPYFSLSPKVNEQQWIIVGWDASCSLFVDHCFPNWTVKYVPGKHGRYTDLYQENYSCSCKHIHGEETGALYINTDKPHFAFCMGPAQRSILAEDKKEKEGGKKNSAATTRKLRMLDYCDLGKRIVWKSIRGDASISVLIERKGDGTTGRDQEIWQLQWVPGLHKQPSESSDLVPVNSNSRVNYIAITGNHYGVALLNPAGISFDVATVGYPSQYIREPWMPNKISWCCALGRKQEKFGFHGYGVALLDPNTKNWALSSVNLGKTLDCSFSWLRELNAPITSEEAHIEEEEEKKSEEEVEEHKASELVDEPEEPEPEKLVPLQRYAALKPQFINEMLVREMFFLDQHFKDSKLIADSHDEEDEHIKALIVNEIDMLSSTESNKETTSGPLRQDQDKPLVNAKSSSEVVSQKVSLSVLEMANYSDYLDWATSMIGKDEMIIKDCQVDKNKPAGNFTQMMREVYLDNGLRNRCLVEYNKNRQTVKEAEESEVSVMVTPLDFFRLTETETLIIEINKELDEKTVIKEPAARIVQLDTFSTLRERKYSPEELDEAISESASVSSKSVTSSGLVSRTFQGLTSPNITNQYLSYSSIFESGLSGEQSTLLKSPKATGTLPDPHYLPRPTVDTGNIDFVQTTKINPNPKEVTKLEPPILVTKSVAMRSQNAGTYGKVSTAKMTAFFSISKKPQTANNLGFNQQKHSGAPSVPMTAVLQPTTCWGKKQGGRFDRYGWAGGNAARASAGSHKGPTM
+>sp|Q9Y4E6|WDR7_HUMAN WD repeat-containing protein 7 OS=Homo sapiens OX=9606 GN=WDR7 PE=1 SV=2
+MAGNSLVLPIVLWGRKAPTHCISAVLLTDDGATIVTGCHDGQICLWDLSVELQINPRALLFGHTASITCLSKACASSDKQYIVSASESGEMCLWDVSDGRCIEFTKLACTHTGIQFYQFSVGNQREGRLLCHGHYPEILVVDATSLEVLYSLVSKISPDWISSMSIIRSHRTQEDTVVALSVTGILKVWIVTSEISDMQDTEPIFEEESKPIYCQNCQSISFCAFTQRSLLVVCSKYWRVFDAGDYSLLCSGPSENGQTWTGGDFVSSDKVIIWTENGQSYIYKLPASCLPASDSFRSDVGKAVENLIPPVQHILLDRKDKELLICPPVTRFFYGCREYFHKLLIQGDSSGRLNIWNISDTADKQGSEEGLAMTTSISLQEAFDKLNPCPAGIIDQLSVIPNSNEPLKVTASVYIPAHGRLVCGREDGSIVIVPATQTAIVQLLQGEHMLRRGWPPHRTLRGHRNKVTCLLYPHQVSARYDQRYLISGGVDFSVIIWDIFSGEMKHIFCVHGGEITQLLVPPENCSARVQHCICSVASDHSVGLLSLREKKCIMLASRHLFPIQVIKWRPSDDYLVVGCSDGSVYVWQMDTGALDRCVMGITAVEILNACDEAVPAAVDSLSHPAVNLKQAMTRRSLAALKNMAHHKLQTLATNLLASEASDKGNLPKYSHNSLMVQAIKTNLTDPDIHVLFFDVEALIIQLLTEEASRPNTALISPENLQKASGSSDKGGSFLTGKRAAVLFQQVKETIKENIKEHLLDDEEEDEEIMRQRREESDPEYRSSKSKPLTLLEYNLTMDTAKLFMSCLHAWGLNEVLDEVCLDRLGMLKPHCTVSFGLLSRGGHMSLMLPGYNQPACKLSHGKTEVGRKLPASEGVGKGTYGVSRAVTTQHLLSIISLANTLMSMTNATFIGDHMKKGPTRPPRPSTPDLSKARGSPPTSSNIVQGQIKQVAAPVVSARSDADHSGSDPPSAPALHTCFLVNEGWSQLAAMHCVMLPDLLGLDKFRPPLLEMLARRWQDRCLEVREAAQALLLAELRRIEQAGRKEAIDAWAPYLPQYIDHVISPGVTSEAAQTITTAPDASGPEAKVQEEEHDLVDDDITTGCLSSVPQMKKISTSYEERRKQATAIVLLGVIGAEFGAEIEPPKLLTRPRSSSQIPEGFGLTSGGSNYSLARHTCKALTFLLLQPPSPKLPPHSTIRRTAIDLIGRGFTVWEPYMDVSAVLMGLLELCADAEKQLANITMGLPLSPAADSARSARHALSLIATARPPAFITTIAKEVHRHTALAANTQSQQNMHTTTLARAKGEILRVIEILIEKMPTDVVDLLVEVMDIIMYCLEGSLVKKKGLQECFPAICRFYMVSYYERNHRIAVGARHGSVALYDIRTGKCQTIHGHKGPITAVAFAPDGRYLATYSNTDSHISFWQMNTSLLGSIGMLNSAPQLRCIKTYQVPPVQPASPGSHNALKLARLIWTSNRNVILMAHDGKEHRFMV
+>DECOY_sp|Q9Y4E6|WDR7_HUMAN WD repeat-containing protein 7 OS=Homo sapiens OX=9606 GN=WDR7 PE=1 SV=2
+VMFRHEKGDHAMLIVNRNSTWILRALKLANHSGPSAPQVPPVQYTKICRLQPASNLMGISGLLSTNMQWFSIHSDTNSYTALYRGDPAFAVATIPGKHGHITQCKGTRIDYLAVSGHRAGVAIRHNREYYSVMYFRCIAPFCEQLGKKKVLSGELCYMIIDMVEVLLDVVDTPMKEILIEIVRLIEGKARALTTTHMNQQSQTNAALATHRHVEKAITTIFAPPRATAILSLAHRASRASDAAPSLPLGMTINALQKEADACLELLGMLVASVDMYPEWVTFGRGILDIATRRITSHPPLKPSPPQLLLFTLAKCTHRALSYNSGGSTLGFGEPIQSSSRPRTLLKPPEIEAGFEAGIVGLLVIATAQKRREEYSTSIKKMQPVSSLCGTTIDDDVLDHEEEQVKAEPGSADPATTITQAAESTVGPSIVHDIYQPLYPAWADIAEKRGAQEIRRLEALLLAQAAERVELCRDQWRRALMELLPPRFKDLGLLDPLMVCHMAALQSWGENVLFCTHLAPASPPDSGSHDADSRASVVPAAVQKIQGQVINSSTPPSGRAKSLDPTSPRPPRTPGKKMHDGIFTANTMSMLTNALSIISLLHQTTVARSVGYTGKGVGESAPLKRGVETKGHSLKCAPQNYGPLMLSMHGGRSLLGFSVTCHPKLMGLRDLCVEDLVENLGWAHLCSMFLKATDMTLNYELLTLPKSKSSRYEPDSEERRQRMIEEDEEEDDLLHEKINEKITEKVQQFLVAARKGTLFSGGKDSSGSAKQLNEPSILATNPRSAEETLLQIILAEVDFFLVHIDPDTLNTKIAQVMLSNHSYKPLNGKDSAESALLNTALTQLKHHAMNKLAALSRRTMAQKLNVAPHSLSDVAAPVAEDCANLIEVATIGMVCRDLAGTDMQWVYVSGDSCGVVLYDDSPRWKIVQIPFLHRSALMICKKERLSLLGVSHDSAVSCICHQVRASCNEPPVLLQTIEGGHVCFIHKMEGSFIDWIIVSFDVGGSILYRQDYRASVQHPYLLCTVKNRHGRLTRHPPWGRRLMHEGQLLQVIATQTAPVIVISGDERGCVLRGHAPIYVSATVKLPENSNPIVSLQDIIGAPCPNLKDFAEQLSISTTMALGEESGQKDATDSINWINLRGSSDGQILLKHFYERCGYFFRTVPPCILLEKDKRDLLIHQVPPILNEVAKGVDSRFSDSAPLCSAPLKYIYSQGNETWIIVKDSSVFDGGTWTQGNESPGSCLLSYDGADFVRWYKSCVVLLSRQTFACFSISQCNQCYIPKSEEEFIPETDQMDSIESTVIWVKLIGTVSLAVVTDEQTRHSRIISMSSIWDPSIKSVLSYLVELSTADVVLIEPYHGHCLLRGERQNGVSFQYFQIGTHTCALKTFEICRGDSVDWLCMEGSESASVIYQKDSSACAKSLCTISATHGFLLARPNIQLEVSLDWLCIQGDHCGTVITAGDDTLLVASICHTPAKRGWLVIPLVLSNGAM
+>sp|Q562E7|WDR81_HUMAN WD repeat-containing protein 81 OS=Homo sapiens OX=9606 GN=WDR81 PE=1 SV=2
+MAQGSGGREGALRTPAGGWHSPPSPDMQELLRSVERDLSIDPRQLAPAPGGTHVVALVPARWLASLRDRRLPLGPCPRAEGLGEAEVRTLLQRSVQRLPAGWTRVEVHGLRKRRLSYPLGGGLPFEDGSCGPETLTRFMQEVAAQNYRNLWRHAYHTYGQPYSHSPAPSAVPALDSVRQALQRVYGCSFLPVGETTQCPSYAREGPCPPRGSPACPSLLRAEALLESPEMLYVVHPYVQFSLHDVVTFSPAKLTNSQAKVLFILFRVLRAMDACHRQGLACGALSLYHIAVDEKLCSELRLDLSAYERPEEDENEEAPVARDEAGIVSQEEQGGQPGQPTGQEELRSLVLDWVHGRISNFHYLMQLNRLAGRRQGDPNYHPVLPWVVDFTTPHGRFRDLRKSKFRLNKGDKQLDFTYEMTRQAFVAGGAGGGEPPHVPHHISDVLSDITYYVYKARRTPRSVLCGHVRAQWEPHEYPASMERMQNWTPDECIPEFYTDPSIFRSIHPDMPDLDVPAWCSSSQEFVAAHRALLESREVSRDLHHWIDLTFGYKLQGKEAVKEKNVCLHLVDAHTHLASYGVVQLFDQPHPQRLAGAPALAPEPPLIPKLLVQTIQETTGREDFTENPGQLPNGVGRPVLEATPCEASWTRDRPVAGEDDLEQATEALDSISLAGKAGDQLGSSSQASPGLLSFSVASASRPGRRNKAAGADPGEGEEGRILLPEGFNPMQALEELEKTGNFLAKGLGGLLEVPEQPRVQPAVPLQCLLHRDMQALGVLLAEMVFATRVRTLQPDAPLWVRFQAVRGLCTRHPKEVPVSLQPVLDTLLQMSGPEVPMGAERGKLDQLFEYRPVSQGLPPPCPSQLLSPFSSVVPFPPYFPALHRFILLYQARRVEDEAQGRELVFALWQQLGAVLKDITPEGLEILLPFVLSLMSEEHTAVYTAWYLFEPVAKALGPKNANKYLLKPLIGAYESPCQLHGRFYLYTDCFVAQLMVRLGLQAFLTHLLPHVLQVLAGAEASQEESKDLAGAAEEEESGLPGAGPGSCAFGEEIPMDGEPPASSGLGLPDYTSGVSFHDQADLPETEDFQAGLYVTESPQPQEAEAVSLGRLSDKSSTSETSLGEERAPDEGGAPVDKSSLRSGDSSQDLKQSEGSEEEEEEEDSCVVLEEEEGEQEEVTGASELTLSDTVLSMETVVAGGSGGDGEEEEEALPEQSEGKEQKILLDTACKMVRWLSAKLGPTVASRHVARNLLRLLTSCYVGPTRQQFTVSSGESPPLSAGNIYQKRPVLGDIVSGPVLSCLLHIARLYGEPVLTYQYLPYISYLVAPGSASGPSRLNSRKEAGLLAAVTLTQKIIVYLSDTTLMDILPRISHEVLLPVLSFLTSLVTGFPSGAQARTILCVKTISLIALICLRIGQEMVQQHLSEPVATFFQVFSQLHELRQQDLKLDPAGRGEGQLPQVVFSDGQQRPVDPALLDELQKVFTLEMAYTIYVPFSCLLGDIIRKIIPNHELVGELAALYLESISPSSRNPASVEPTMPGTGPEWDPHGGGCPQDDGHSGTFGSVLVGNRIQIPNDSRPENPGPLGPISGVGGGGLGSGSDDNALKQELPRSVHGLSGNWLAYWQYEIGVSQQDAHFHFHQIRLQSFPGHSGAVKCVAPLSSEDFFLSGSKDRTVRLWPLYNYGDGTSETAPRLVYTQHRKSVFFVGQLEAPQHVVSCDGAVHVWDPFTGKTLRTVEPLDSRVPLTAVAVMPAPHTSITMASSDSTLRFVDCRKPGLQHEFRLGGGLNPGLVRALAISPSGRSVVAGFSSGFMVLLDTRTGLVLRGWPAHEGDILQIKAVEGSVLVSSSSDHSLTVWKELEQKPTHHYKSASDPIHTFDLYGSEVVTGTVSNKIGVCSLLEPPSQATTKLSSENFRGTLTSLALLPTKRHLLLGSDNGVIRLLA
+>DECOY_sp|Q562E7|WDR81_HUMAN WD repeat-containing protein 81 OS=Homo sapiens OX=9606 GN=WDR81 PE=1 SV=2
+ALLRIVGNDSGLLLHRKTPLLALSTLTGRFNESSLKTTAQSPPELLSCVGIKNSVTGTVVESGYLDFTHIPDSASKYHHTPKQELEKWVTLSHDSSSSVLVSGEVAKIQLIDGEHAPWGRLVLGTRTDLLVMFGSSFGAVVSRGSPSIALARVLGPNLGGGLRFEHQLGPKRCDVFRLTSDSSAMTISTHPAPMVAVATLPVRSDLPEVTRLTKGTFPDWVHVAGDCSVVHQPAELQGVFFVSKRHQTYVLRPATESTGDGYNYLPWLRVTRDKSGSLFFDESSLPAVCKVAGSHGPFSQLRIQHFHFHADQQSVGIEYQWYALWNGSLGHVSRPLEQKLANDDSGSGLGGGGVGSIPGLPGPNEPRSDNPIQIRNGVLVSGFTGSHGDDQPCGGGHPDWEPGTGPMTPEVSAPNRSSPSISELYLAALEGVLEHNPIIKRIIDGLLCSFPVYITYAMELTFVKQLEDLLAPDVPRQQGDSFVVQPLQGEGRGAPDLKLDQQRLEHLQSFVQFFTAVPESLHQQVMEQGIRLCILAILSITKVCLITRAQAGSPFGTVLSTLFSLVPLLVEHSIRPLIDMLTTDSLYVIIKQTLTVAALLGAEKRSNLRSPGSASGPAVLYSIYPLYQYTLVPEGYLRAIHLLCSLVPGSVIDGLVPRKQYINGASLPPSEGSSVTFQQRTPGVYCSTLLRLLNRAVHRSAVTPGLKASLWRVMKCATDLLIKQEKGESQEPLAEEEEEGDGGSGGAVVTEMSLVTDSLTLESAGTVEEQEGEEEELVVCSDEEEEEEESGESQKLDQSSDGSRLSSKDVPAGGEDPAREEGLSTESTSSKDSLRGLSVAEAEQPQPSETVYLGAQFDETEPLDAQDHFSVGSTYDPLGLGSSAPPEGDMPIEEGFACSGPGAGPLGSEEEEAAGALDKSEEQSAEAGALVQLVHPLLHTLFAQLGLRVMLQAVFCDTYLYFRGHLQCPSEYAGILPKLLYKNANKPGLAKAVPEFLYWATYVATHEESMLSLVFPLLIELGEPTIDKLVAGLQQWLAFVLERGQAEDEVRRAQYLLIFRHLAPFYPPFPVVSSFPSLLQSPCPPPLGQSVPRYEFLQDLKGREAGMPVEPGSMQLLTDLVPQLSVPVEKPHRTCLGRVAQFRVWLPADPQLTRVRTAFVMEALLVGLAQMDRHLLCQLPVAPQVRPQEPVELLGGLGKALFNGTKELEELAQMPNFGEPLLIRGEEGEGPDAGAAKNRRGPRSASAVSFSLLGPSAQSSSGLQDGAKGALSISDLAETAQELDDEGAVPRDRTWSAECPTAELVPRGVGNPLQGPNETFDERGTTEQITQVLLKPILPPEPALAPAGALRQPHPQDFLQVVGYSALHTHADVLHLCVNKEKVAEKGQLKYGFTLDIWHHLDRSVERSELLARHAAVFEQSSSCWAPVDLDPMDPHISRFISPDTYFEPICEDPTWNQMREMSAPYEHPEWQARVHGCLVSRPTRRAKYVYYTIDSLVDSIHHPVHPPEGGGAGGAVFAQRTMEYTFDLQKDGKNLRFKSKRLDRFRGHPTTFDVVWPLVPHYNPDGQRRGALRNLQMLYHFNSIRGHVWDLVLSRLEEQGTPQGPQGGQEEQSVIGAEDRAVPAEENEDEEPREYASLDLRLESCLKEDVAIHYLSLAGCALGQRHCADMARLVRFLIFLVKAQSNTLKAPSFTVVDHLSFQVYPHVVYLMEPSELLAEARLLSPCAPSGRPPCPGERAYSPCQTTEGVPLFSCGYVRQLAQRVSDLAPVASPAPSHSYPQGYTHYAHRWLNRYNQAAVEQMFRTLTEPGCSGDEFPLGGGLPYSLRRKRLGHVEVRTWGAPLRQVSRQLLTRVEAEGLGEARPCPGLPLRRDRLSALWRAPVLAVVHTGGPAPALQRPDISLDREVSRLLEQMDPSPPSHWGGAPTRLAGERGGSGQAM
+>sp|Q6UXN9|WDR82_HUMAN WD repeat-containing protein 82 OS=Homo sapiens OX=9606 GN=WDR82 PE=1 SV=1
+MKLTDSVLRSFRVAKVFRENSDKINCFDFSPNGETVISSSDDDSIVLYDCQEGKPKRTLYSKKYGVDLIRYTHAANTVVYSSNKIDDTIRYLSLHDNKYIRYFPGHSKRVVALSMSPVDDTFISGSLDKTIRLWDLRSPNCQGLMHLQGKPVCSFDPEGLIFAAGVNSEMVKLYDLRSFDKGPFATFKMQYDRTCEWTGLKFSNDGKLILISTNGSFIRLIDAFKGVVMHTFGGYANSKAVTLEASFTPDSQFIMIGSEDGKIHVWNGESGIKVAVLDGKHTGPITCLQFNPKFMTFASACSNMAFWLPTIDD
+>DECOY_sp|Q6UXN9|WDR82_HUMAN WD repeat-containing protein 82 OS=Homo sapiens OX=9606 GN=WDR82 PE=1 SV=1
+DDITPLWFAMNSCASAFTMFKPNFQLCTIPGTHKGDLVAVKIGSEGNWVHIKGDESGIMIFQSDPTFSAELTVAKSNAYGGFTHMVVGKFADILRIFSGNTSILILKGDNSFKLGTWECTRDYQMKFTAFPGKDFSRLDYLKVMESNVGAAFILGEPDFSCVPKGQLHMLGQCNPSRLDWLRITKDLSGSIFTDDVPSMSLAVVRKSHGPFYRIYKNDHLSLYRITDDIKNSSYVVTNAAHTYRILDVGYKKSYLTRKPKGEQCDYLVISDDDSSSIVTEGNPSFDFCNIKDSNERFVKAVRFSRLVSDTLKM
+>sp|Q86TI4|WDR86_HUMAN WD repeat-containing protein 86 OS=Homo sapiens OX=9606 GN=WDR86 PE=2 SV=3
+MGGGGSALRVCADHRGGINWLSLSPDGQRLLTGSEDGTARLWSTADGQCCALLQGHESYVTFCQLEDEAAFTCSADCTIRRWDVLTGQCLQVYRGHTSIVNRILVANNQLFSSSYDRTARVWSVDKGQMSREFRGHRNCVLTLAYSAPWDLPSTPCAEEAAAGGLLVTGSTDGTAKVWQVASGCCHQTLRGHTGAVLCLVLDTPGHTAFTGSTDATIRAWDILSGEQLRVFREHRGSVICLELVNRLVYSGSADRTVKCWLADTGECVRTFTAHRRNVSALKYHAGTLFTGSGDACARAFDAQSGELRRVFRGHTFIINCIQVHGQVLYTASHDGALRLWDVRGLRGAPRPPPPMRSLSRLFSNKVGCAAAPLQPA
+>DECOY_sp|Q86TI4|WDR86_HUMAN WD repeat-containing protein 86 OS=Homo sapiens OX=9606 GN=WDR86 PE=2 SV=3
+APQLPAAACGVKNSFLRSLSRMPPPPRPAGRLGRVDWLRLAGDHSATYLVQGHVQICNIIFTHGRFVRRLEGSQADFARACADGSGTFLTGAHYKLASVNRRHATFTRVCEGTDALWCKVTRDASGSYVLRNVLELCIVSGRHERFVRLQEGSLIDWARITADTSGTFATHGPTDLVLCLVAGTHGRLTQHCCGSAVQWVKATGDTSGTVLLGGAAAEEACPTSPLDWPASYALTLVCNRHGRFERSMQGKDVSWVRATRDYSSSFLQNNAVLIRNVISTHGRYVQLCQGTLVDWRRITCDASCTFAAEDELQCFTVYSEHGQLLACCQGDATSWLRATGDESGTLLRQGDPSLSLWNIGGRHDACVRLASGGGGM
+>sp|Q6ZMY6|WDR88_HUMAN WD repeat-containing protein 88 OS=Homo sapiens OX=9606 GN=WDR88 PE=2 SV=2
+MASPPRCSPTAHDRECKLPPPSAPASEYCPGKLSWGTMARALGRFKLSIPHTHLLATLDPLALDREPPPHLLPEKHQVPEKLIWGDQDPLSKIPFKILSGHEHAVSTCHFCVDDTKLLSGSYDCTVKLWDPVDGSVVRDFEHRPKAPVVECSITGDSSRVIAASYDKTVRAWDLETGKLLWKVRYDTFIVSCKFSPDGKYVVSGFDVDHGICIMDAENITTVSVIKDHHTRSITSCCFDPDSQRVASVSLDRCIKIWDVTSQATLLTITKAHSNAISNCCFTFSGHFLCTSSWDKNLKIWNVHTGEFRNCGACVTLMQGHEGSVSSCHFARDSSFLISGGFDRTVAIWDVAEGYRKLSLKGHNDWVMDVAISNNKKWILSASKDRTMRLWNIEEIDEIPLVIKYKKAVGLKLKQCERCDRPFSIFKSDTSSEMFTQCVFCRIDTRGLPADTSSSSSSSERENSPPPRGSKDD
+>DECOY_sp|Q6ZMY6|WDR88_HUMAN WD repeat-containing protein 88 OS=Homo sapiens OX=9606 GN=WDR88 PE=2 SV=2
+DDKSGRPPPSNERESSSSSSSTDAPLGRTDIRCFVCQTFMESSTDSKFISFPRDCRECQKLKLGVAKKYKIVLPIEDIEEINWLRMTRDKSASLIWKKNNSIAVDMVWDNHGKLSLKRYGEAVDWIAVTRDFGGSILFSSDRAFHCSSVSGEHGQMLTVCAGCNRFEGTHVNWIKLNKDWSSTCLFHGSFTFCCNSIANSHAKTITLLTAQSTVDWIKICRDLSVSAVRQSDPDFCCSTISRTHHDKIVSVTTINEADMICIGHDVDFGSVVYKGDPSFKCSVIFTDYRVKWLLKGTELDWARVTKDYSAAIVRSSDGTISCEVVPAKPRHEFDRVVSGDVPDWLKVTCDYSGSLLKTDDVCFHCTSVAHEHGSLIKFPIKSLPDQDGWILKEPVQHKEPLLHPPPERDLALPDLTALLHTHPISLKFRGLARAMTGWSLKGPCYESAPASPPPLKCERDHATPSCRPPSAM
+>sp|A4D1P6|WDR91_HUMAN WD repeat-containing protein 91 OS=Homo sapiens OX=9606 GN=WDR91 PE=1 SV=2
+MAEAVERTDELVREYLLFRGFTHTLRQLDAEIKADKEKGFRVDKIVDQLQQLMQVYDLAALRDYWSYLERRLFSRLEDIYRPTIHKLKTSLFRFYLVYTIQTNRNDKAQEFFAKQATELQNQAEWKDWFVLPFLPSPDTNPTFATYFSRQWADTFIVSLHNFLSVLFQCMPVPVILNFDAECQRTNQVQEENEVLRQKLFALQAEIHRLKKEEQQPEEEEALVQHKLPPYVSNMDRLGDSELAMVCSQRNASLSQSPRVGFLSSLLPQSKKSPSRLSPAQGPPQPQSSAKKESFGGQGTKGKDPTSGAKDGKSLLSGLATGESGWSQHRQRRLQDHGKERKELFSTTTSQCAEKKPEASGPEAEPCPELHTEPVEPLTRASSAGPEGGGVRPEQPFIVLGQEEYGEHHSSIMHCRVDCSGRRVASLDVDGVIKVWSFNPIMQTKASSISKSPLLSLEWATKRDRLLLLGSGVGTVRLYDTEAKKNLCEININDNMPRILSLACSPNGASFVCSAAAPSLTSQVDFSAPDIGSKGMNQVPGRLLLWDTKTMKQQLQFSLDPEPIAINCTAFNHNGNLLVTGAADGVIRLFDMQQHECAMSWRAHYGEVYSVEFSYDENTVYSIGEDGKFIQWNIHKSGLKVSEYSLPSDATGPFVLSGYSGYKQVQVPRGRLFAFDSEGNYMLTCSATGGVIYKLGGDEKVLESCLSLGGHRAPVVTVDWSTAMDCGTCLTASMDGKIKLTTLLAHKA
+>DECOY_sp|A4D1P6|WDR91_HUMAN WD repeat-containing protein 91 OS=Homo sapiens OX=9606 GN=WDR91 PE=1 SV=2
+AKHALLTTLKIKGDMSATLCTGCDMATSWDVTVVPARHGGLSLCSELVKEDGGLKYIVGGTASCTLMYNGESDFAFLRGRPVQVQKYGSYGSLVFPGTADSPLSYESVKLGSKHINWQIFKGDEGISYVTNEDYSFEVSYVEGYHARWSMACEHQQMDFLRIVGDAAGTVLLNGNHNFATCNIAIPEPDLSFQLQQKMTKTDWLLLRGPVQNMGKSGIDPASFDVQSTLSPAAASCVFSAGNPSCALSLIRPMNDNINIECLNKKAETDYLRVTGVGSGLLLLRDRKTAWELSLLPSKSISSAKTQMIPNFSWVKIVGDVDLSAVRRGSCDVRCHMISSHHEGYEEQGLVIFPQEPRVGGGEPGASSARTLPEVPETHLEPCPEAEPGSAEPKKEACQSTTTSFLEKREKGHDQLRRQRHQSWGSEGTALGSLLSKGDKAGSTPDKGKTGQGGFSEKKASSQPQPPGQAPSLRSPSKKSQPLLSSLFGVRPSQSLSANRQSCVMALESDGLRDMNSVYPPLKHQVLAEEEEPQQEEKKLRHIEAQLAFLKQRLVENEEQVQNTRQCEADFNLIVPVPMCQFLVSLFNHLSVIFTDAWQRSFYTAFTPNTDPSPLFPLVFWDKWEAQNQLETAQKAFFEQAKDNRNTQITYVLYFRFLSTKLKHITPRYIDELRSFLRRELYSWYDRLAALDYVQMLQQLQDVIKDVRFGKEKDAKIEADLQRLTHTFGRFLLYERVLEDTREVAEAM
+>sp|A6NE52|WDR97_HUMAN WD repeat-containing protein 97 OS=Homo sapiens OX=9606 GN=WDR97 PE=2 SV=2
+MEAEVWEAEGYNLVLDSDLYDADGYDVPDPGLLTEKNELTFTEPSQVLPFLTSSQQWQSLTPRARARRLWLLLRTSLHEVVEKEKRAELRAARLTHGLEPLRRLEVAAGLRSVAQDPVGGRFVVLDGAGRLHLHKEDGWAQETLLAPVRLTGLVTVLGPLGAVGRFVGWGPAGLAILRPNLSLLWLSEQGVGRAPGWAPTCCLPVPDLRLLLVAEMNSSLALWQFRSGGRRLVLRGSALHPPPSPTGRLMRLAVAPVPPHHVLRCFAAYGSAVLTFDLHAWTLVDVRRDLHKTTISDLAYCEEVEAMVTASRDSTVKVWEADWQIRMVFVGHTGPVTAMTVLPNTTLVLSASQDGTLRTWDLQAAAQVGEVALGFWGQDKLSRRVGRLLAPVRPGWPVLSLCASSMQLWRVRELYSPLAQLPAKVLHVQVAPALPAPAHQSLPTRLVCACADGSVYLLSAATGRIVSSLLLEPEDCAAAVAYCLPREALWLLTRAGHLVRANAARCPMSVLHRVCPPPPPAPQPCCLHLYSHLTDLEGAFSSWEIVRQHWGELRCSSVACAWKNKNRYLPVVGHTDGTLSVLEWLSSKTVFQTEAHSPGPVVAIASTWNSIVSSGGDLTVKMWRVFPYAEESLSLLRTFSCCYPAVALCALGRRVTAGFEDPDSATYGLVQFGLGDSPRLDHRPQDDPTDHITGLCCCPTLKLYACSSLDCTVRIWTAENRLLRLLQLNGAPQALAFCSNSGDLVLALGSRLCLVSHRLYLPTSYLVKKMCRKAPDVVDDPPLPLMSQESLTSAQLQRLTNLHGAASLSEALSLIHRRRATSQHLVPKEDLDAIVARDRDLQQLRLGLVVPAAQPPPSWQQRQEGFDNYLRLIYGSGLLGMQSGRGSQQWSAGTLRVERETRDVCAVPQAAHCLARAEVSTAAQTVPTALSPQDLGALGQHFSQSPRVTVPIPPTHRRVHSKASQLLARSSLSHYLGISLDLQLQLEQLRGRTTMALDLPSSHLQCRIPLLPKRWDKEPLSSLRGFFPATVQPHKHCLRPICFPGYVPNSAVLQQMWLNAEPGASQDALWLWRPRPSQTQWQRKLLQWMGEKPGEEGEEDKKEEEEEKEDEELDWALASLSPHSNQQLDSWELEDQSAVDWTQEPRRRSCKVARTHPHPWHRHGSLLLDEHYGHLPKFLHFFIYQTWFKKLFPIFSLQAYPEAGTIEGLASLLVALLEKTTWVDRVHILQVLLRLLPNMSSDLQGQLQGLLVHLLNLDQPPSLQDQTQKKFVILALQLLLACSLESRDVVLELMSYFLYSPVHCRPELKKLLHGLGLQDPEGFLFKEMMTWVQGPDLDSKAGLRTCCHQKLEDMIQELQETPSQTSVVSGAPTRASVIPSGTSWSASGIFGRLSQVSEVPLMVVSPAEPHSLAPELQAQRMLAPKRSWGTPQLRLRVLSETLKSFCLEPEARLHPAGPAQLPGEPPPLEETDWSHSQLLDLGPIDALNFFCEQLRAQQRSSLQEKAAHPHPPEPYTVAPVPDMVVPPPREHWYHPILRLQEAKPQRSARSAMRLRGPMRSRLCAGRTLDGPIRTLKLPLPRVEPQPFPLDWPMPPRPLPPRLLQPALQRYFLPADADPDTYS
+>DECOY_sp|A6NE52|WDR97_HUMAN WD repeat-containing protein 97 OS=Homo sapiens OX=9606 GN=WDR97 PE=2 SV=2
+SYTDPDADAPLFYRQLAPQLLRPPLPRPPMPWDLPFPQPEVRPLPLKLTRIPGDLTRGACLRSRMPGRLRMASRASRQPKAEQLRLIPHYWHERPPPVVMDPVPAVTYPEPPHPHAAKEQLSSRQQARLQECFFNLADIPGLDLLQSHSWDTEELPPPEGPLQAPGAPHLRAEPELCFSKLTESLVRLRLQPTGWSRKPALMRQAQLEPALSHPEAPSVVMLPVESVQSLRGFIGSASWSTGSPIVSARTPAGSVVSTQSPTEQLEQIMDELKQHCCTRLGAKSDLDPGQVWTMMEKFLFGEPDQLGLGHLLKKLEPRCHVPSYLFYSMLELVVDRSELSCALLLQLALIVFKKQTQDQLSPPQDLNLLHVLLGQLQGQLDSSMNPLLRLLVQLIHVRDVWTTKELLAVLLSALGEITGAEPYAQLSFIPFLKKFWTQYIFFHLFKPLHGYHEDLLLSGHRHWPHPHTRAVKCSRRRPEQTWDVASQDELEWSDLQQNSHPSLSALAWDLEEDEKEEEEEKKDEEGEEGPKEGMWQLLKRQWQTQSPRPRWLWLADQSAGPEANLWMQQLVASNPVYGPFCIPRLCHKHPQVTAPFFGRLSSLPEKDWRKPLLPIRCQLHSSPLDLAMTTRGRLQELQLQLDLSIGLYHSLSSRALLQSAKSHVRRHTPPIPVTVRPSQSFHQGLAGLDQPSLATPVTQAATSVEARALCHAAQPVACVDRTEREVRLTGASWQQSGRGSQMGLLGSGYILRLYNDFGEQRQQWSPPPQAAPVVLGLRLQQLDRDRAVIADLDEKPVLHQSTARRRHILSLAESLSAAGHLNTLRQLQASTLSEQSMLPLPPDDVVDPAKRCMKKVLYSTPLYLRHSVLCLRSGLALVLDGSNSCFALAQPAGNLQLLRLLRNEATWIRVTCDLSSCAYLKLTPCCCLGTIHDTPDDQPRHDLRPSDGLGFQVLGYTASDPDEFGATVRRGLACLAVAPYCCSFTRLLSLSEEAYPFVRWMKVTLDGGSSVISNWTSAIAVVPGPSHAETQFVTKSSLWELVSLTGDTHGVVPLYRNKNKWACAVSSCRLEGWHQRVIEWSSFAGELDTLHSYLHLCCPQPAPPPPPCVRHLVSMPCRAANARVLHGARTLLWLAERPLCYAVAAACDEPELLLSSVIRGTAASLLYVSGDACACVLRTPLSQHAPAPLAPAVQVHLVKAPLQALPSYLERVRWLQMSSACLSLVPWGPRVPALLRGVRRSLKDQGWFGLAVEGVQAAAQLDWTRLTGDQSASLVLTTNPLVTMATVPGTHGVFVMRIQWDAEWVKVTSDRSATVMAEVEECYALDSITTKHLDRRVDVLTWAHLDFTLVASGYAAFCRLVHHPPVPAVALRMLRGTPSPPPHLASGRLVLRRGGSRFQWLALSSNMEAVLLLRLDPVPLCCTPAWGPARGVGQESLWLLSLNPRLIALGAPGWGVFRGVAGLPGLVTVLGTLRVPALLTEQAWGDEKHLHLRGAGDLVVFRGGVPDQAVSRLGAAVELRRLPELGHTLRAARLEARKEKEVVEHLSTRLLLWLRRARARPTLSQWQQSSTLFPLVQSPETFTLENKETLLGPDPVDYGDADYLDSDLVLNYGEAEWVEAEM
+>sp|P0C1S8|WEE2_HUMAN Wee1-like protein kinase 2 OS=Homo sapiens OX=9606 GN=WEE2 PE=1 SV=2
+MDDKDIDKELRQKLNFSYCEETEIEGQKKVEESREASSQTPEKGEVQDSEAKGTPPWTPLSNVHELDTSSEKDKESPDQILRTPVSHPLKCPETPAQPDSRSKLLPSDSPSTPKTMLSRLVISPTGKLPSRGPKHLKLTPAPLKDEMTSLALVNINPFTPESYKKLFLQSGGKRKIRGDLEEAGPEEGKGGLPAKRCVLRETNMASRYEKEFLEVEKIGVGEFGTVYKCIKRLDGCVYAIKRSMKTFTELSNENSALHEVYAHAVLGHHPHVVRYYSSWAEDDHMIIQNEYCNGGSLQAAISENTKSGNHFEEPKLKDILLQISLGLNYIHNSSMVHLDIKPSNIFICHKMQSESSGVIEEVENEADWFLSANVMYKIGDLGHATSINKPKVEEGDSRFLANEILQEDYRHLPKADIFALGLTIAVAAGAESLPTNGAAWHHIRKGNFPDVPQELSESFSSLLKNMIQPDAEQRPSAAALARNTVLRPSLGKTEELQQQLNLEKFKTATLERELREAQQAQSPQGYTHHGDTGVSGTHTGSRSTKRLVGGKSARSSSFTSGEREPLH
+>DECOY_sp|P0C1S8|WEE2_HUMAN Wee1-like protein kinase 2 OS=Homo sapiens OX=9606 GN=WEE2 PE=1 SV=2
+HLPEREGSTFSSSRASKGGVLRKTSRSGTHTGSVGTDGHHTYGQPSQAQQAERLERELTATKFKELNLQQQLEETKGLSPRLVTNRALAAASPRQEADPQIMNKLLSSFSESLEQPVDPFNGKRIHHWAAGNTPLSEAGAAVAITLGLAFIDAKPLHRYDEQLIENALFRSDGEEVKPKNISTAHGLDGIKYMVNASLFWDAENEVEEIVGSSESQMKHCIFINSPKIDLHVMSSNHIYNLGLSIQLLIDKLKPEEFHNGSKTNESIAAQLSGGNCYENQIIMHDDEAWSSYYRVVHPHHGLVAHAYVEHLASNENSLETFTKMSRKIAYVCGDLRKICKYVTGFEGVGIKEVELFEKEYRSAMNTERLVCRKAPLGGKGEEPGAEELDGRIKRKGGSQLFLKKYSEPTFPNINVLALSTMEDKLPAPTLKLHKPGRSPLKGTPSIVLRSLMTKPTSPSDSPLLKSRSDPQAPTEPCKLPHSVPTRLIQDPSEKDKESSTDLEHVNSLPTWPPTGKAESDQVEGKEPTQSSAERSEEVKKQGEIETEECYSFNLKQRLEKDIDKDDM
+>sp|Q8NEX6|WFD11_HUMAN Protein WFDC11 OS=Homo sapiens OX=9606 GN=WFDC11 PE=3 SV=1
+MVSLMKLWIPMLMTFFCTVLLSVLGEMRKKRYDRKELLLEECWGKPNVKECTNKCSKAFRCKDKNYTCCWTYCGNICWINVETSGDY
+>DECOY_sp|Q8NEX6|WFD11_HUMAN Protein WFDC11 OS=Homo sapiens OX=9606 GN=WFDC11 PE=3 SV=1
+YDGSTEVNIWCINGCYTWCCTYNKDKCRFAKSCKNTCEKVNPKGWCEELLLEKRDYRKKRMEGLVSLLVTCFFTMLMPIWLKMLSVM
+>sp|Q14508|WFDC2_HUMAN WAP four-disulfide core domain protein 2 OS=Homo sapiens OX=9606 GN=WFDC2 PE=1 SV=2
+MPACRLGPLAAALLLSLLLFGFTLVSGTGAEKTGVCPELQADQNCTQECVSDSECADNLKCCSAGCATFCSLPNDKEGSCPQVNINFPQLGLCRDQCQVDSQCPGQMKCCRNGCGKVSCVTPNF
+>DECOY_sp|Q14508|WFDC2_HUMAN WAP four-disulfide core domain protein 2 OS=Homo sapiens OX=9606 GN=WFDC2 PE=1 SV=2
+FNPTVCSVKGCGNRCCKMQGPCQSDVQCQDRCLGLQPFNINVQPCSGEKDNPLSCFTACGASCCKLNDACESDSVCEQTCNQDAQLEPCVGTKEAGTGSVLTFGFLLLSLLLAAALPGLRCAPM
+>sp|Q9BQY6|WFDC6_HUMAN WAP four-disulfide core domain protein 6 OS=Homo sapiens OX=9606 GN=WFDC6 PE=2 SV=1
+MGLSGLLPILVPFILLGDIQEPGHAEGILGKPCPKIKVECEVEEIDQCTKPRDCPENMKCCPFSRGKKCLDFRKIYAVCHRRLAPAWPPYHTGGTIKKTKICSEFIYGGSQGNNNNFQTEAICLVTCKKYH
+>DECOY_sp|Q9BQY6|WFDC6_HUMAN WAP four-disulfide core domain protein 6 OS=Homo sapiens OX=9606 GN=WFDC6 PE=2 SV=1
+HYKKCTVLCIAETQFNNNNGQSGGYIFESCIKTKKITGGTHYPPWAPALRRHCVAYIKRFDLCKKGRSFPCCKMNEPCDRPKTCQDIEEVECEVKIKPCPKGLIGEAHGPEQIDGLLIFPVLIPLLGSLGM
+>sp|Q9Y5W5|WIF1_HUMAN Wnt inhibitory factor 1 OS=Homo sapiens OX=9606 GN=WIF1 PE=1 SV=3
+MARRSAFPAAALWLWSILLCLLALRAEAGPPQEESLYLWIDAHQARVLIGFEEDILIVSEGKMAPFTHDFRKAQQRMPAIPVNIHSMNFTWQAAGQAEYFYEFLSLRSLDKGIMADPTVNVPLLGTVPHKASVVQVGFPCLGKQDGVAAFEVDVIVMNSEGNTILQTPQNAIFFKTCQQAECPGGCRNGGFCNERRICECPDGFHGPHCEKALCTPRCMNGGLCVTPGFCICPPGFYGVNCDKANCSTTCFNGGTCFYPGKCICPPGLEGEQCEISKCPQPCRNGGKCIGKSKCKCSKGYQGDLCSKPVCEPGCGAHGTCHEPNKCQCQEGWHGRHCNKRYEASLIHALRPAGAQLRQHTPSLKKAEERRDPPESNYIW
+>DECOY_sp|Q9Y5W5|WIF1_HUMAN Wnt inhibitory factor 1 OS=Homo sapiens OX=9606 GN=WIF1 PE=1 SV=3
+WIYNSEPPDRREEAKKLSPTHQRLQAGAPRLAHILSAEYRKNCHRGHWGEQCQCKNPEHCTGHAGCGPECVPKSCLDGQYGKSCKCKSKGICKGGNRCPQPCKSIECQEGELGPPCICKGPYFCTGGNFCTTSCNAKDCNVGYFGPPCICFGPTVCLGGNMCRPTCLAKECHPGHFGDPCECIRRENCFGGNRCGGPCEAQQCTKFFIANQPTQLITNGESNMVIVDVEFAAVGDQKGLCPFGVQVVSAKHPVTGLLPVNVTPDAMIGKDLSRLSLFEYFYEAQGAAQWTFNMSHINVPIAPMRQQAKRFDHTFPAMKGESVILIDEEFGILVRAQHADIWLYLSEEQPPGAEARLALLCLLISWLWLAAAPFASRRAM
+>sp|P56704|WNT3A_HUMAN Protein Wnt-3a OS=Homo sapiens OX=9606 GN=WNT3A PE=1 SV=2
+MAPLGYFLLLCSLKQALGSYPIWWSLAVGPQYSSLGSQPILCASIPGLVPKQLRFCRNYVEIMPSVAEGIKIGIQECQHQFRGRRWNCTTVHDSLAIFGPVLDKATRESAFVHAIASAGVAFAVTRSCAEGTAAICGCSSRHQGSPGKGWKWGGCSEDIEFGGMVSREFADARENRPDARSAMNRHNNEAGRQAIASHMHLKCKCHGLSGSCEVKTCWWSQPDFRAIGDFLKDKYDSASEMVVEKHRESRGWVETLRPRYTYFKVPTERDLVYYEASPNFCEPNPETGSFGTRDRTCNVSSHGIDGCDLLCCGRGHNARAERRREKCRCVFHWCCYVSCQECTRVYDVHTCK
+>DECOY_sp|P56704|WNT3A_HUMAN Protein Wnt-3a OS=Homo sapiens OX=9606 GN=WNT3A PE=1 SV=2
+KCTHVDYVRTCEQCSVYCCWHFVCRCKERRREARANHGRGCCLLDCGDIGHSSVNCTRDRTGFSGTEPNPECFNPSAEYYVLDRETPVKFYTYRPRLTEVWGRSERHKEVVMESASDYKDKLFDGIARFDPQSWWCTKVECSGSLGHCKCKLHMHSAIAQRGAENNHRNMASRADPRNERADAFERSVMGGFEIDESCGGWKWGKGPSGQHRSSCGCIAATGEACSRTVAFAVGASAIAHVFASERTAKDLVPGFIALSDHVTTCNWRRGRFQHQCEQIGIKIGEAVSPMIEVYNRCFRLQKPVLGPISACLIPQSGLSSYQPGVALSWWIPYSGLAQKLSCLLLFYGLPAM
+>sp|Q6AWC2|WWC2_HUMAN Protein WWC2 OS=Homo sapiens OX=9606 GN=WWC2 PE=1 SV=2
+MPRRAGSGQLPLPRGWEEARDYDGKVFYIDHNTRRTSWIDPRDRLTKPLSFADCVGDELPWGWEAGFDPQIGVYYIDHINKTTQIEDPRKQWRGEQEKMLKDYLSVAQDALRTQKELYHVKEQRLALALDEYVRLNDAYKEKSSSHTSLFSGSSSSTKYDPDILKAEISTTRLRVKKLKRELSQMKQELLYKEQGFETLQQIDKKMSGGQSGYELSEAKAILTELKSIRKAISSGEKEKQDLMQSLAKLQERFHLDQNIGRSEPDLRCSPVNSHLCLSRQTLDAGSQTSISGDIGVRSRSNLAEKVRLSLQYEEAKRSMANLKIELSKLDSEAWPGALDIEKEKLMLINEKEELLKELQFVTPQKRTQDELERLEAERQRLEEELLSVRGTPSRALAERLRLEERRKELLQKLEETTKLTTYLHSQLKSLSASTLSMSSGSSLGSLASSRGSLNTSSRGSLNSLSSTELYYSSQSDQIDVDYQYKLDFLLQEKSGYIPSGPITTIHENEVVKSPSQPGQSGLCGVAAAATGHTPPLAEAPKSVASLSSRSSLSSLSPPGSPLVLEGTFPMSSSHDASLHQFTADFEDCELSSHFADISLIENQILLDSDSGGASQSLSEDKDLNECAREPLYEGTADVEKSLPKRRVIHLLGEKTTCVSAAVSDESVAGDSGVYEAFVKQPSEMEDVTYSEEDVAIVETAQVQIGLRYNAKSSSFMVIIAQLRNLHAFLIPHTSKVYFRVAVLPSSTDVSCLFRTKVHPPTESILFNDVFRVAISQTALQQKTLRVDLCSVSKHRREECLAGTQISLADLPFSSEVFTLWYNLLPSKQMPCKKNEENEDSVFQPNQPLVDSIDLDAVSALLARTSAELLAVEQELAQEEEEESGQEEPRGPDGDWLTMLREASDEIVAEKEAEVKLPEDSSCTEDLSSCTSVPEMNEDGNRKESNCAKDLRSQPPTRIPTLVDKETNTDEAANDNMAVRPKERSSLSSRQHPFVRSSVIVRSQTFSPGERNQYICRLNRSDSDSSTLAKKSLFVRNSTERRSLRVKRTVCQSVLRRTTQECPVRTSLDLELDLQASLTRQSRLNDELQALRDLRQKLEELKAQGETDLPPGVLEDERFQRLLKQAEKQAEQSKEEQKQGLNAEKLMRQVSKDVCRLREQSQKVPRQVQSFREKIAYFTRAKISIPSLPADDV
+>DECOY_sp|Q6AWC2|WWC2_HUMAN Protein WWC2 OS=Homo sapiens OX=9606 GN=WWC2 PE=1 SV=2
+VDDAPLSPISIKARTFYAIKERFSQVQRPVKQSQERLRCVDKSVQRMLKEANLGQKQEEKSQEAQKEAQKLLRQFREDELVGPPLDTEGQAKLEELKQRLDRLAQLEDNLRSQRTLSAQLDLELDLSTRVPCEQTTRRLVSQCVTRKVRLSRRETSNRVFLSKKALTSSDSDSRNLRCIYQNREGPSFTQSRVIVSSRVFPHQRSSLSSREKPRVAMNDNAAEDTNTEKDVLTPIRTPPQSRLDKACNSEKRNGDENMEPVSTCSSLDETCSSDEPLKVEAEKEAVIEDSAERLMTLWDGDPGRPEEQGSEEEEEQALEQEVALLEASTRALLASVADLDISDVLPQNPQFVSDENEENKKCPMQKSPLLNYWLTFVESSFPLDALSIQTGALCEERRHKSVSCLDVRLTKQQLATQSIAVRFVDNFLISETPPHVKTRFLCSVDTSSPLVAVRFYVKSTHPILFAHLNRLQAIIVMFSSSKANYRLGIQVQATEVIAVDEESYTVDEMESPQKVFAEYVGSDGAVSEDSVAASVCTTKEGLLHIVRRKPLSKEVDATGEYLPERACENLDKDESLSQSAGGSDSDLLIQNEILSIDAFHSSLECDEFDATFQHLSADHSSSMPFTGELVLPSGPPSLSSLSSRSSLSAVSKPAEALPPTHGTAAAAVGCLGSQGPQSPSKVVENEHITTIPGSPIYGSKEQLLFDLKYQYDVDIQDSQSSYYLETSSLSNLSGRSSTNLSGRSSALSGLSSGSSMSLTSASLSKLQSHLYTTLKTTEELKQLLEKRREELRLREALARSPTGRVSLLEEELRQREAELRELEDQTRKQPTVFQLEKLLEEKENILMLKEKEIDLAGPWAESDLKSLEIKLNAMSRKAEEYQLSLRVKEALNSRSRVGIDGSISTQSGADLTQRSLCLHSNVPSCRLDPESRGINQDLHFREQLKALSQMLDQKEKEGSSIAKRISKLETLIAKAESLEYGSQGGSMKKDIQQLTEFGQEKYLLEQKMQSLERKLKKVRLRTTSIEAKLIDPDYKTSSSSGSFLSTHSSSKEKYADNLRVYEDLALALRQEKVHYLEKQTRLADQAVSLYDKLMKEQEGRWQKRPDEIQTTKNIHDIYYVGIQPDFGAEWGWPLEDGVCDAFSLPKTLRDRPDIWSTRRTNHDIYFVKGDYDRAEEWGRPLPLQGSGARRPM
+>sp|Q9H0M0|WWP1_HUMAN NEDD4-like E3 ubiquitin-protein ligase WWP1 OS=Homo sapiens OX=9606 GN=WWP1 PE=1 SV=1
+MATASPRSDTSNNHSGRLQLQVTVSSAKLKRKKNWFGTAIYTEVVVDGEITKTAKSSSSSNPKWDEQLTVNVTPQTTLEFQVWSHRTLKADALLGKATIDLKQALLIHNRKLERVKEQLKLSLENKNGIAQTGELTVVLDGLVIEQENITNCSSSPTIEIQENGDALHENGEPSARTTARLAVEGTNGIDNHVPTSTLVQNSCCSYVVNGDNTPSSPSQVAARPKNTPAPKPLASEPADDTVNGESSSFAPTDNASVTGTPVVSEENALSPNCTSTTVEDPPVQEILTSSENNECIPSTSAELESEARSILEPDTSNSRSSSAFEAAKSRQPDGCMDPVRQQSGNANTETLPSGWEQRKDPHGRTYYVDHNTRTTTWERPQPLPPGWERRVDDRRRVYYVDHNTRTTTWQRPTMESVRNFEQWQSQRNQLQGAMQQFNQRYLYSASMLAAENDPYGPLPPGWEKRVDSTDRVYFVNHNTKTTQWEDPRTQGLQNEEPLPEGWEIRYTREGVRYFVDHNTRTTTFKDPRNGKSSVTKGGPQIAYERGFRWKLAHFRYLCQSNALPSHVKINVSRQTLFEDSFQQIMALKPYDLRRRLYVIFRGEEGLDYGGLAREWFFLLSHEVLNPMYCLFEYAGKNNYCLQINPASTINPDHLSYFCFIGRFIAMALFHGKFIDTGFSLPFYKRMLSKKLTIKDLESIDTEFYNSLIWIRDNNIEECGLEMYFSVDMEILGKVTSHDLKLGGSNILVTEENKDEYIGLMTEWRFSRGVQEQTKAFLDGFNEVVPLQWLQYFDEKELEVMLCGMQEVDLADWQRNTVYRHYTRNSKQIIWFWQFVKETDNEVRMRLLQFVTGTCRLPLGGFAELMGSNGPQKFCIEKVGKDTWLPRSHTCFNRLDLPPYKSYEQLKEKLLFAIEETEGFGQE
+>DECOY_sp|Q9H0M0|WWP1_HUMAN NEDD4-like E3 ubiquitin-protein ligase WWP1 OS=Homo sapiens OX=9606 GN=WWP1 PE=1 SV=1
+EQGFGETEEIAFLLKEKLQEYSKYPPLDLRNFCTHSRPLWTDKGVKEICFKQPGNSGMLEAFGGLPLRCTGTVFQLLRMRVENDTEKVFQWFWIIQKSNRTYHRYVTNRQWDALDVEQMGCLMVELEKEDFYQLWQLPVVENFGDLFAKTQEQVGRSFRWETMLGIYEDKNEETVLINSGGLKLDHSTVKGLIEMDVSFYMELGCEEINNDRIWILSNYFETDISELDKITLKKSLMRKYFPLSFGTDIFKGHFLAMAIFRGIFCFYSLHDPNITSAPNIQLCYNNKGAYEFLCYMPNLVEHSLLFFWERALGGYDLGEEGRFIVYLRRRLDYPKLAMIQQFSDEFLTQRSVNIKVHSPLANSQCLYRFHALKWRFGREYAIQPGGKTVSSKGNRPDKFTTTRTNHDVFYRVGERTYRIEWGEPLPEENQLGQTRPDEWQTTKTNHNVFYVRDTSDVRKEWGPPLPGYPDNEAALMSASYLYRQNFQQMAGQLQNRQSQWQEFNRVSEMTPRQWTTTRTNHDVYYVRRRDDVRREWGPPLPQPREWTTTRTNHDVYYTRGHPDKRQEWGSPLTETNANGSQQRVPDMCGDPQRSKAAEFASSSRSNSTDPELISRAESELEASTSPICENNESSTLIEQVPPDEVTTSTCNPSLANEESVVPTGTVSANDTPAFSSSEGNVTDDAPESALPKPAPTNKPRAAVQSPSSPTNDGNVVYSCCSNQVLTSTPVHNDIGNTGEVALRATTRASPEGNEHLADGNEQIEITPSSSCNTINEQEIVLGDLVVTLEGTQAIGNKNELSLKLQEKVRELKRNHILLAQKLDITAKGLLADAKLTRHSWVQFELTTQPTVNVTLQEDWKPNSSSSSKATKTIEGDVVVETYIATGFWNKKRKLKASSVTVQLQLRGSHNNSTDSRPSATAM
+>sp|Q9GZV5|WWTR1_HUMAN WW domain-containing transcription regulator protein 1 OS=Homo sapiens OX=9606 GN=WWTR1 PE=1 SV=1
+MNPASAPPPLPPPGQQVIHVTQDLDTDLEALFNSVMNPKPSSWRKKILPESFFKEPDSGSHSRQSSTDSSGGHPGPRLAGGAQHVRSHSSPASLQLGTGAGAAGSPAQQHAHLRQQSYDVTDELPLPPGWEMTFTATGQRYFLNHIEKITTWQDPRKAMNQPLNHMNLHPAVSSTPVPQRSMAVSQPNLVMNHQHQQQMAPSTLSQQNHPTQNPPAGLMSMPNALTTQQQQQQKLRLQRIQMERERIRMRQEELMRQEAALCRQLPMEAETLAPVQAAVNPPTMTPDMRSITNNSSDPFLNGGPYHSREQSTDSGLGLGCYSVPTTPEDFLSNVDEMDTGENAGQTPMNINPQQTRFPDFLDCLPGTNVDLGTLESEDLIPLFNDVESALNKSEPFLTWL
+>DECOY_sp|Q9GZV5|WWTR1_HUMAN WW domain-containing transcription regulator protein 1 OS=Homo sapiens OX=9606 GN=WWTR1 PE=1 SV=1
+LWTLFPESKNLASEVDNFLPILDESELTGLDVNTGPLCDLFDPFRTQQPNINMPTQGANEGTDMEDVNSLFDEPTTPVSYCGLGLGSDTSQERSHYPGGNLFPDSSNNTISRMDPTMTPPNVAAQVPALTEAEMPLQRCLAAEQRMLEEQRMRIREREMQIRQLRLKQQQQQQTTLANPMSMLGAPPNQTPHNQQSLTSPAMQQQHQHNMVLNPQSVAMSRQPVPTSSVAPHLNMHNLPQNMAKRPDQWTTIKEIHNLFYRQGTATFTMEWGPPLPLEDTVDYSQQRLHAHQQAPSGAAGAGTGLQLSAPSSHSRVHQAGGALRPGPHGGSSDTSSQRSHSGSDPEKFFSEPLIKKRWSSPKPNMVSNFLAELDTDLDQTVHIVQQGPPPLPPPASAPNM
+>sp|Q8WTP9|XAGE3_HUMAN X antigen family member 3 OS=Homo sapiens OX=9606 GN=XAGE3 PE=1 SV=1
+MIWRGRSTYRPRPRRSVPPPELIGPMLEPGDEEPQQEEPPTESRDPAPGQEREEDQGAAETQVPDLEADLQELSQSKTGGECGNGPDDQGKILPKSEQFKMPEGGDRQPQV
+>DECOY_sp|Q8WTP9|XAGE3_HUMAN X antigen family member 3 OS=Homo sapiens OX=9606 GN=XAGE3 PE=1 SV=1
+VQPQRDGGEPMKFQESKPLIKGQDDPGNGCEGGTKSQSLEQLDAELDPVQTEAAGQDEEREQGPAPDRSETPPEEQQPEEDGPELMPGILEPPPVSRRPRPRYTSRGRWIM
+>sp|P17861|XBP1_HUMAN X-box-binding protein 1 OS=Homo sapiens OX=9606 GN=XBP1 PE=1 SV=2
+MVVVAAAPNPADGTPKVLLLSGQPASAAGAPAGQALPLMVPAQRGASPEAASGGLPQARKRQRLTHLSPEEKALRRKLKNRVAAQTARDRKKARMSELEQQVVDLEEENQKLLLENQLLREKTHGLVVENQELRQRLGMDALVAEEEAEAKGNEVRPVAGSAESAALRLRAPLQQVQAQLSPLQNISPWILAVLTLQIQSLISCWAFWTTWTQSCSSNALPQSLPAWRSSQRSTQKDPVPYQPPFLCQWGRHQPSWKPLMN
+>DECOY_sp|P17861|XBP1_HUMAN X-box-binding protein 1 OS=Homo sapiens OX=9606 GN=XBP1 PE=1 SV=2
+NMLPKWSPQHRGWQCLFPPQYPVPDKQTSRQSSRWAPLSQPLANSSCSQTWTTWFAWCSILSQIQLTLVALIWPSINQLPSLQAQVQQLPARLRLAASEASGAVPRVENGKAEAEEEAVLADMGLRQRLEQNEVVLGHTKERLLQNELLLKQNEEELDVVQQELESMRAKKRDRATQAAVRNKLKRRLAKEEPSLHTLRQRKRAQPLGGSAAEPSAGRQAPVMLPLAQGAPAGAASAPQGSLLLVKPTGDAPNPAAAVVVM
+>sp|Q5GH76|XKR4_HUMAN XK-related protein 4 OS=Homo sapiens OX=9606 GN=XKR4 PE=2 SV=1
+MAAKSDGRLKMKKSSDVAFTPLQNSDHSGSVQGLAPGLPSGSGAEDEEAAGGGCCPDGGGCSRCCCCCAGSGGSAGSGGSGGVAGPGGGGAGSAALCLRLGREQRRYSLWDCLWILAAVAVYFADVGTDVWLAVDYYLRGQRWWFGLTLFFVVLGSLSVQVFSFRWFVHDFSTEDSATAAAASSCPQPGADCKTVVGGGSAAGEGEARPSTPQRQASNASKSNIAAANSGSNSSGATRASGKHRSASCSFCIWLLQSLIHILQLGQIWRYFHTIYLGIRSRQSGENDRWRFYWKMVYEYADVSMLHLLATFLESAPQLVLQLCIIVQTHSLQALQGFTAAASLVSLAWALASYQKALRDSRDDKKPISYMAVIIQFCWHFFTIAARVITFALFASVFQLYFGIFIVLHWCIMTFWIVHCETEFCITKWEEIVFDMVVGIIYIFSWFNVKEGRTRCRLFIYYFVILLENTALSALWYLYKAPQIADAFAIPALCVVFSSFLTGVVFMLMYYAFFHPNGPRFGQSPSCACEDPAAAFTLPPDVATSTLRSISNNRSVVSDRDQKFAERDGCVPVFQVRPTAPSTPSSRPPRIEESVIKIDLFRNRYPAWERHVLDRSLRKAILAFECSPSPPRLQYKDDALIQERLEYETTL
+>DECOY_sp|Q5GH76|XKR4_HUMAN XK-related protein 4 OS=Homo sapiens OX=9606 GN=XKR4 PE=2 SV=1
+LTTEYELREQILADDKYQLRPPSPSCEFALIAKRLSRDLVHREWAPYRNRFLDIKIVSEEIRPPRSSPTSPATPRVQFVPVCGDREAFKQDRDSVVSRNNSISRLTSTAVDPPLTFAAAPDECACSPSQGFRPGNPHFFAYYMLMFVVGTLFSSFVVCLAPIAFADAIQPAKYLYWLASLATNELLIVFYYIFLRCRTRGEKVNFWSFIYIIGVVMDFVIEEWKTICFETECHVIWFTMICWHLVIFIGFYLQFVSAFLAFTIVRAAITFFHWCFQIIVAMYSIPKKDDRSDRLAKQYSALAWALSVLSAAATFGQLAQLSHTQVIICLQLVLQPASELFTALLHLMSVDAYEYVMKWYFRWRDNEGSQRSRIGLYITHFYRWIQGLQLIHILSQLLWICFSCSASRHKGSARTAGSSNSGSNAAAINSKSANSAQRQPTSPRAEGEGAASGGGVVTKCDAGPQPCSSAAAATASDETSFDHVFWRFSFVQVSLSGLVVFFLTLGFWWRQGRLYYDVALWVDTGVDAFYVAVAALIWLCDWLSYRRQERGLRLCLAASGAGGGGPGAVGGSGGSGASGGSGACCCCCRSCGGGDPCCGGGAAEEDEAGSGSPLGPALGQVSGSHDSNQLPTFAVDSSKKMKLRGDSKAAM
+>sp|Q6UX68|XKR5_HUMAN XK-related protein 5 OS=Homo sapiens OX=9606 GN=XKR5 PE=2 SV=2
+MHARLLGLSALLQAAEQSARLYTVAYYFTTGRLLWGWLALAVLLPGFLVQALSYLWFRADGHPGHCSLMMLHLLQLGVWKRHWDAALTSLQKELEAPHRGWLQLQEADLSALRLLEALLQTGPHLLLQTYVFLASDFTDIVPGVSTLFSWSSLSWALVSYTRFMGFMKPGHLAMPWAALFCQQLWRMGMLGTRVLSLVLFYKAYHFWVFVVAGAHWLVMTFWLVAQQSDIIDSTCHWRLFNLLVGAVYILCYLSFWDSPSRNRMVTFYMVMLLENIILLLLATDFLQGASWTSLQTIAGVLSGFLIGSVSLVIYYSLLHPKSTDIWQGCLRKSCGIAGGDKTERRDSPRATDLAGKRTESSGSCQGASYEPTILGKPPTPEQVPPEAGLGTQVAVEDSFLSHHHWLWVKLALKTGNVSKINAAFGDNSPAYCPPAWGLSQQDYLQRKALSAQQELPSSSRDPSTLENSSAFEGVPKAEADPLETSSYVSFASDQQDEAPTQNPAATQGEGTPKEGADAVSGTQGKGTGGQQRGGEGQQSSTLYFSATAEVATSSQQEGSPATLQTAHSGRRLGKSSPAQPASPHPVGLAPFPDTMADISPILGTGPCRGFCPSAGFPGRTLSISELEEPLEPKRELSHHAAVGVWVSLPQLRTAHEPCLTSTPKSESIQTDCSCREQMKQEPSFFI
+>DECOY_sp|Q6UX68|XKR5_HUMAN XK-related protein 5 OS=Homo sapiens OX=9606 GN=XKR5 PE=2 SV=2
+IFFSPEQKMQERCSCDTQISESKPTSTLCPEHATRLQPLSVWVGVAAHHSLERKPELPEELESISLTRGPFGASPCFGRCPGTGLIPSIDAMTDPFPALGVPHPSAPQAPSSKGLRRGSHATQLTAPSGEQQSSTAVEATASFYLTSSQQGEGGRQQGGTGKGQTGSVADAGEKPTGEGQTAAPNQTPAEDQQDSAFSVYSSTELPDAEAKPVGEFASSNELTSPDRSSSPLEQQASLAKRQLYDQQSLGWAPPCYAPSNDGFAANIKSVNGTKLALKVWLWHHHSLFSDEVAVQTGLGAEPPVQEPTPPKGLITPEYSAGQCSGSSETRKGALDTARPSDRRETKDGGAIGCSKRLCGQWIDTSKPHLLSYYIVLSVSGILFGSLVGAITQLSTWSAGQLFDTALLLLIINELLMVMYFTVMRNRSPSDWFSLYCLIYVAGVLLNFLRWHCTSDIIDSQQAVLWFTMVLWHAGAVVFVWFHYAKYFLVLSLVRTGLMGMRWLQQCFLAAWPMALHGPKMFGMFRTYSVLAWSLSSWSFLTSVGPVIDTFDSALFVYTQLLLHPGTQLLAELLRLASLDAEQLQLWGRHPAELEKQLSTLAADWHRKWVGLQLLHLMMLSCHGPHGDARFWLYSLAQVLFGPLLVALALWGWLLRGTTFYYAVTYLRASQEAAQLLASLGLLRAHM
+>sp|Q5GH72|XKR7_HUMAN XK-related protein 7 OS=Homo sapiens OX=9606 GN=XKR7 PE=2 SV=1
+MAAKSDGAAASASPDPEGAAGGARGSAGGRGEAAAAAGPPGVVGAGGPGPRYELRDCCWVLCALLVFFSDGATDLWLAASYYLQNQHTYFSLTLLFVLLPSLVVQLLSFRWFVYDYSEPAGSPGPAVSTKDSVAGGAAISTKDSAGAFRTKEGSPEPGPQPAPSSASAYRRRCCRLCIWLLQTLVHLLQLGQVWRYLRALYLGLQSRWRGERLRRHFYWQMLFESADVSMLRLLETFLRSAPQLVLQLSLLVHRGGAPDLLPALSTSASLVSLAWTLASYQKVLRDSRDDKRPLSYKGAVAQVLWHLFSIAARGLAFALFASVYKLYFGIFIVAHWCVMTFWVIQGETDFCMSKWEEIIYNMVVGIIYIFCWFNVKEGRSRRRMTLYHCIVLLENAALTGFWYSSRNFSTDFYSLIMVCVVASSFALGIFFMCVYYCLLHPNGPMLGPQAPGCIFRKASEPCGPPADAITSPPRSLPRTTGAERDGASAGERAGTPTPPVFQVRPGLPPTPVARTLRTEGPVIRIDLPRKKYPAWDAHFIDRRLRKTILALEYSSPATPRLQYRSVGTSQELLEYETTV
+>DECOY_sp|Q5GH72|XKR7_HUMAN XK-related protein 7 OS=Homo sapiens OX=9606 GN=XKR7 PE=2 SV=1
+VTTEYELLEQSTGVSRYQLRPTAPSSYELALITKRLRRDIFHADWAPYKKRPLDIRIVPGETRLTRAVPTPPLGPRVQFVPPTPTGAREGASAGDREAGTTRPLSRPPSTIADAPPGCPESAKRFICGPAQPGLMPGNPHLLCYYVCMFFIGLAFSSAVVCVMILSYFDTSFNRSSYWFGTLAANELLVICHYLTMRRRSRGEKVNFWCFIYIIGVVMNYIIEEWKSMCFDTEGQIVWFTMVCWHAVIFIGFYLKYVSAFLAFALGRAAISFLHWLVQAVAGKYSLPRKDDRSDRLVKQYSALTWALSVLSASTSLAPLLDPAGGRHVLLSLQLVLQPASRLFTELLRLMSVDASEFLMQWYFHRRLREGRWRSQLGLYLARLYRWVQGLQLLHVLTQLLWICLRCCRRRYASASSPAPQPGPEPSGEKTRFAGASDKTSIAAGGAVSDKTSVAPGPSGAPESYDYVFWRFSLLQVVLSPLLVFLLTLSFYTHQNQLYYSAALWLDTAGDSFFVLLACLVWCCDRLEYRPGPGGAGVVGPPGAAAAAEGRGGASGRAGGAAGEPDPSASAAAGDSKAAM
+>sp|P51811|XK_HUMAN Membrane transport protein XK OS=Homo sapiens OX=9606 GN=XK PE=1 SV=5
+MKFPASVLASVFLFVAETTAALSLSSTYRSGGDRMWQALTLLFSLLPCALVQLTLLFVHRDLSRDRPLVLLLHLLQLGPLFRCFEVFCIYFQSGNNEEPYVSITKKRQMPKNGLSEEIEKEVGQAEGKLITHRSAFSRASVIQAFLGSAPQLTLQLYISVMQQDVTVGRSLLMTISLLSIVYGALRCNILAIKIKYDEYEVKVKPLAYVCIFLWRSFEIATRVVVLVLFTSVLKTWVVVIILINFFSFFLYPWILFWCSGSPFPENIEKALSRVGTTIVLCFLTLLYTGINMFCWSAVQLKIDSPDLISKSHNWYQLLVYYMIRFIENAILLLLWYLFKTDIYMYVCAPLLVLQLLIGYCTAILFMLVFYQFFHPCKKLFSSSVSEGFQRWLRCFCWACRQQKPCEPIGKEDLQSSRDRDETPSSSKTSPEPGQFLNAEDLCSA
+>DECOY_sp|P51811|XK_HUMAN Membrane transport protein XK OS=Homo sapiens OX=9606 GN=XK PE=1 SV=5
+ASCLDEANLFQGPEPSTKSSSPTEDRDRSSQLDEKGIPECPKQQRCAWCFCRLWRQFGESVSSSFLKKCPHFFQYFVLMFLIATCYGILLQLVLLPACVYMYIDTKFLYWLLLLIANEIFRIMYYVLLQYWNHSKSILDPSDIKLQVASWCFMNIGTYLLTLFCLVITTGVRSLAKEINEPFPSGSCWFLIWPYLFFSFFNILIIVVVWTKLVSTFLVLVVVRTAIEFSRWLFICVYALPKVKVEYEDYKIKIALINCRLAGYVISLLSITMLLSRGVTVDQQMVSIYLQLTLQPASGLFAQIVSARSFASRHTILKGEAQGVEKEIEESLGNKPMQRKKTISVYPEENNGSQFYICFVEFCRFLPGLQLLHLLLVLPRDRSLDRHVFLLTLQVLACPLLSFLLTLAQWMRDGGSRYTSSLSLAATTEAVFLFVSALVSAPFKM
+>sp|Q5T750|XP32_HUMAN Skin-specific protein 32 OS=Homo sapiens OX=9606 GN=XP32 PE=1 SV=1
+MCDQQKQPQFPPSCVKGSGLGAGQGSNGASVKCPVPCQTQTVCVTGPAPCPTQTYVKYQVPCQTQTYVKCPAPCQRTYVKYPTPCQTYVKCPAPCQTTYVKCPTPCQTYVKCPAPCQMTYIKSPAPCQTQTCYVQGASPCQSYYVQAPASGSTSQYCVTDPCSAPCSTSYCCLAPRTFGVSPLRRWIQRPQNCNTGSSGCCENSGSSGCCGSGGCGCSCGCGSSGCCCLGIIPMRSRGPACCDHEDDCCC
+>DECOY_sp|Q5T750|XP32_HUMAN Skin-specific protein 32 OS=Homo sapiens OX=9606 GN=XP32 PE=1 SV=1
+CCCDDEHDCCAPGRSRMPIIGLCCCGSSGCGCSCGCGGSGCCGSSGSNECCGSSGTNCNQPRQIWRRLPSVGFTRPALCCYSTSCPASCPDTVCYQSTSGSAPAQVYYSQCPSAGQVYCTQTQCPAPSKIYTMQCPAPCKVYTQCPTPCKVYTTQCPAPCKVYTQCPTPYKVYTRQCPAPCKVYTQTQCPVQYKVYTQTPCPAPGTVCVTQTQCPVPCKVSAGNSGQGAGLGSGKVCSPPFQPQKQQDCM
+>sp|P18887|XRCC1_HUMAN DNA repair protein XRCC1 OS=Homo sapiens OX=9606 GN=XRCC1 PE=1 SV=2
+MPEIRLRHVVSCSSQDSTHCAENLLKADTYRKWRAAKAGEKTISVVLQLEKEEQIHSVDIGNDGSAFVEVLVGSSAGGAGEQDYEVLLVTSSFMSPSESRSGSNPNRVRMFGPDKLVRAAAEKRWDRVKIVCSQPYSKDSPFGLSFVRFHSPPDKDEAEAPSQKVTVTKLGQFRVKEEDESANSLRPGALFFSRINKTSPVTASDPAGPSYAAATLQASSAASSASPVSRAIGSTSKPQESPKGKRKLDLNQEEKKTPSKPPAQLSPSVPKRPKLPAPTRTPATAPVPARAQGAVTGKPRGEGTEPRRPRAGPEELGKILQGVVVVLSGFQNPFRSELRDKALELGAKYRPDWTRDSTHLICAFANTPKYSQVLGLGGRIVRKEWVLDCHRMRRRLPSRRYLMAGPGSSSEEDEASHSGGSGDEAPKLPQKQPQTKTKPTQAAGPSSPQKPPTPEETKAASPVLQEDIDIEGVQSEGQDNGAEDSGDTEDELRRVAEQKEHRLPPGQEENGEDPYAGSTDENTDSEEHQEPPDLPVPELPDFFQGKHFFLYGEFPGDERRKLIRYVTAFNGELEDNMSDRVQFVITAQEWDPSFEEALMDNPSLAFVRPRWIYSCNEKQKLLPHQLYGVVPQA
+>DECOY_sp|P18887|XRCC1_HUMAN DNA repair protein XRCC1 OS=Homo sapiens OX=9606 GN=XRCC1 PE=1 SV=2
+AQPVVGYLQHPLLKQKENCSYIWRPRVFALSPNDMLAEEFSPDWEQATIVFQVRDSMNDELEGNFATVYRILKRREDGPFEGYLFFHKGQFFDPLEPVPLDPPEQHEESDTNEDTSGAYPDEGNEEQGPPLRHEKQEAVRRLEDETDGSDEAGNDQGESQVGEIDIDEQLVPSAAKTEEPTPPKQPSSPGAAQTPKTKTQPQKQPLKPAEDGSGGSHSAEDEESSSGPGAMLYRRSPLRRRMRHCDLVWEKRVIRGGLGLVQSYKPTNAFACILHTSDRTWDPRYKAGLELAKDRLESRFPNQFGSLVVVVGQLIKGLEEPGARPRRPETGEGRPKGTVAGQARAPVPATAPTRTPAPLKPRKPVSPSLQAPPKSPTKKEEQNLDLKRKGKPSEQPKSTSGIARSVPSASSAASSAQLTAAAYSPGAPDSATVPSTKNIRSFFLAGPRLSNASEDEEKVRFQGLKTVTVKQSPAEAEDKDPPSHFRVFSLGFPSDKSYPQSCVIKVRDWRKEAAARVLKDPGFMRVRNPNSGSRSESPSMFSSTVLLVEYDQEGAGGASSGVLVEVFASGDNGIDVSHIQEEKELQLVVSITKEGAKAARWKRYTDAKLLNEACHTSDQSSCSVVHRLRIEPM
+>sp|Q8IZH2|XRN1_HUMAN 5'-3' exoribonuclease 1 OS=Homo sapiens OX=9606 GN=XRN1 PE=1 SV=1
+MGVPKFYRWISERYPCLSEVVKEHQIPEFDNLYLDMNGIIHQCSHPNDDDVHFRISDDKIFTDIFHYLEVLFRIIKPRKVFFMAVDGVAPRAKMNQQRGRRFRSAKEAEDKIKKAIEKGETLPTEARFDSNCITPGTEFMARLHEHLKYFVNMKISTDKSWQGVTIYFSGHETPGEGEHKIMEFIRSEKAKPDHDPNTRHCLYGLDADLIMLGLTSHEAHFSLLREEVRFGGKKTQRVCAPEETTFHLLHLSLMREYIDYEFSVLKEKITFKYDIERIIDDWILMGFLVGNDFIPHLPHLHINHDALPLLYGTYVTILPELGGYINESGHLNLPRFEKYLVKLSDFDREHFSEVFVDLKWFESKVGNKYLNEAAGVAAEEARNYKEKKKLKGQENSLCWTALDKNEGEMITSKDNLEDETEDDDLFETEFRQYKRTYYMTKMGVDVVSDDFLADQAACYVQAIQWILHYYYHGVQSWSWYYPYHYAPFLSDIHNISTLKIHFELGKPFKPFEQLLAVLPAASKNLLPACYQHLMTNEDSPIIEYYPPDFKTDLNGKQQEWEAVVLIPFIDEKRLLEAMETCNHSLKKEERKRNQHSECLMCWYDRDTEFIYPSPWPEKFPAIERCCTRYKIISLDAWRVDINKNKITRIDQKALYFCGFPTLKHIRHKFFLKKSGVQVFQQSSRGENMMLEILVDAESDELTVENVASSVLGKSVFVNWPHLEEARVVAVSDGETKFYLEEPPGTQKLYSGRTAPPSKVVHLGDKEQSNWAKEVQGISEHYLRRKGIIINETSAVVYAQLLTGRKYQINQNGEVRLEKQWSKQVVPFVYQTIVKDIRAFDSRFSNIKTLDDLFPLRSMVFMLGTPYYGCTGEVQDSGDVITEGRIRVIFSIPCEPNLDALIQNQHKYSIKYNPGYVLASRLGVSGYLVSRFTGSIFIGRGSRRNPHGDHKANVGLNLKFNKKNEEVPGYTKKVGSEWMYSSAAEQLLAEYLERAPELFSYIAKNSQEDVFYEDDIWPGENENGAEKVQEIITWLKGHPVSTLSRSSCDLQILDAAIVEKIEEEVEKCKQRKNNKKVRVTVKPHLLYRPLEQQHGVIPDRDAEFCLFDRVVNVRENFSVPVGLRGTIIGIKGANREADVLFEVLFDEEFPGGLTIRCSPGRGYRLPTSALVNLSHGSRSETGNQKLTAIVKPQPAVHQHSSSSSVSSGHLGALNHSPQSLFVPTQVPTKDDDEFCNIWQSLQGSGKMQYFQPTIQEKGAVLPQEISQVNQHHKSGFNDNSVKYQQRKHDPHRKFKEECKSPKAECWSQKMSNKQPNSGIENFLASLNISKENEVQSSHHGEPPSEEHLSPQSFAMGTRMLKEILKIDGSNTVDHKNEIKQIANEIPVSSNRRDEYGLPSQPKQNKKLASYMNKPHSANEYHNVQSMDNMCWPAPSQIPPVSTPVTELSRICSLVGMPQPDFSFLRMPQTMTVCQVKLSNGLLVHGPQCHSENEAKEKAALFALQQLGSLGMNFPLPSQVFANYPSAVPPGTIPPAFPPPTGWDHYGSNYALGAANIMPSSSHLFGSMPWGPSVPVPGKPFHHTLYSGTMPMAGGIPGGVHNQFIPLQVTKKRVANKKNFENKEAQSSQATPVQTSQPDSSNIVKVSPRESSSASLKSSPIAQPASSFQVETASQGHSISHHKSTPISSSRRKSRKLAVNFGVSKPSE
+>DECOY_sp|Q8IZH2|XRN1_HUMAN 5'-3' exoribonuclease 1 OS=Homo sapiens OX=9606 GN=XRN1 PE=1 SV=1
+ESPKSVGFNVALKRSKRRSSSIPTSKHHSISHGQSATEVQFSSAPQAIPSSKLSASSSERPSVKVINSSDPQSTQVPTAQSSQAEKNEFNKKNAVRKKTVQLPIFQNHVGGPIGGAMPMTGSYLTHHFPKGPVPVSPGWPMSGFLHSSSPMINAAGLAYNSGYHDWGTPPPFAPPITGPPVASPYNAFVQSPLPFNMGLSGLQQLAFLAAKEKAENESHCQPGHVLLGNSLKVQCVTMTQPMRLFSFDPQPMGVLSCIRSLETVPTSVPPIQSPAPWCMNDMSQVNHYENASHPKNMYSALKKNQKPQSPLGYEDRRNSSVPIENAIQKIENKHDVTNSGDIKLIEKLMRTGMAFSQPSLHEESPPEGHHSSQVENEKSINLSALFNEIGSNPQKNSMKQSWCEAKPSKCEEKFKRHPDHKRQQYKVSNDNFGSKHHQNVQSIEQPLVAGKEQITPQFYQMKGSGQLSQWINCFEDDDKTPVQTPVFLSQPSHNLAGLHGSSVSSSSSHQHVAPQPKVIATLKQNGTESRSGHSLNVLASTPLRYGRGPSCRITLGGPFEEDFLVEFLVDAERNAGKIGIITGRLGVPVSFNERVNVVRDFLCFEADRDPIVGHQQELPRYLLHPKVTVRVKKNNKRQKCKEVEEEIKEVIAADLIQLDCSSRSLTSVPHGKLWTIIEQVKEAGNENEGPWIDDEYFVDEQSNKAIYSFLEPARELYEALLQEAASSYMWESGVKKTYGPVEENKKNFKLNLGVNAKHDGHPNRRSGRGIFISGTFRSVLYGSVGLRSALVYGPNYKISYKHQNQILADLNPECPISFIVRIRGETIVDGSDQVEGTCGYYPTGLMFVMSRLPFLDDLTKINSFRSDFARIDKVITQYVFPVVQKSWQKELRVEGNQNIQYKRGTLLQAYVVASTENIIIGKRRLYHESIGQVEKAWNSQEKDGLHVVKSPPATRGSYLKQTGPPEELYFKTEGDSVAVVRAEELHPWNVFVSKGLVSSAVNEVTLEDSEADVLIELMMNEGRSSQQFVQVGSKKLFFKHRIHKLTPFGCFYLAKQDIRTIKNKNIDVRWADLSIIKYRTCCREIAPFKEPWPSPYIFETDRDYWCMLCESHQNRKREEKKLSHNCTEMAELLRKEDIFPILVVAEWEQQKGNLDTKFDPPYYEIIPSDENTMLHQYCAPLLNKSAAPLVALLQEFPKFPKGLEFHIKLTSINHIDSLFPAYHYPYYWSWSQVGHYYYHLIWQIAQVYCAAQDALFDDSVVDVGMKTMYYTRKYQRFETEFLDDDETEDELNDKSTIMEGENKDLATWCLSNEQGKLKKKEKYNRAEEAAVGAAENLYKNGVKSEFWKLDVFVESFHERDFDSLKVLYKEFRPLNLHGSENIYGGLEPLITVYTGYLLPLADHNIHLHPLHPIFDNGVLFGMLIWDDIIREIDYKFTIKEKLVSFEYDIYERMLSLHLLHFTTEEPACVRQTKKGGFRVEERLLSFHAEHSTLGLMILDADLGYLCHRTNPDHDPKAKESRIFEMIKHEGEGPTEHGSFYITVGQWSKDTSIKMNVFYKLHEHLRAMFETGPTICNSDFRAETPLTEGKEIAKKIKDEAEKASRFRRGRQQNMKARPAVGDVAMFFVKRPKIIRFLVELYHFIDTFIKDDSIRFHVDDDNPHSCQHIIGNMDLYLNDFEPIQHEKVVESLCPYRESIWRYFKPVGM
+>sp|O75063|XYLK_HUMAN Glycosaminoglycan xylosylkinase OS=Homo sapiens OX=9606 GN=FAM20B PE=1 SV=1
+MKLKQRVVLLAILLVIFIFTKVFLIDNLDTSAANREDQRAFHRMMTGLRVELAPKLDHTLQSPWEIAAQWVVPREVYPEETPELGAVMHAMATKKIIKADVGYKGTQLKALLILEGGQKVVFKPKRYSRDHVVEGEPYAGYDRHNAEVAAFHLDRILGFHRAPLVVGRFVNLRTEIKPVATEQLLSTFLTVGNNTCFYGKCYYCRETEPACADGDIMEGSVTLWLPDVWPLQKHRHPWGRTYREGKLARWEYDESYCDAVKKTSPYDSGPRLLDIIDTAVFDYLIGNADRHHYESFQDDEGASMLILLDNAKSFGNPSLDERSILAPLYQCCIIRVSTWNRLNYLKNGVLKSALKSAMAHDPISPVLSDPHLDAVDQRLLSVLATVKQCTDQFGMDTVLVEDRMPLSHL
+>DECOY_sp|O75063|XYLK_HUMAN Glycosaminoglycan xylosylkinase OS=Homo sapiens OX=9606 GN=FAM20B PE=1 SV=1
+LHSLPMRDEVLVTDMGFQDTCQKVTALVSLLRQDVADLHPDSLVPSIPDHAMASKLASKLVGNKLYNLRNWTSVRIICCQYLPALISREDLSPNGFSKANDLLILMSAGEDDQFSEYHHRDANGILYDFVATDIIDLLRPGSDYPSTKKVADCYSEDYEWRALKGERYTRGWPHRHKQLPWVDPLWLTVSGEMIDGDACAPETERCYYCKGYFCTNNGVTLFTSLLQETAVPKIETRLNVFRGVVLPARHFGLIRDLHFAAVEANHRDYGAYPEGEVVHDRSYRKPKFVVKQGGELILLAKLQTGKYGVDAKIIKKTAMAHMVAGLEPTEEPYVERPVVWQAAIEWPSQLTHDLKPALEVRLGTMMRHFARQDERNAASTDLNDILFVKTFIFIVLLIALLVVRQKLKM
+>sp|Q86Y38|XYLT1_HUMAN Xylosyltransferase 1 OS=Homo sapiens OX=9606 GN=XYLT1 PE=1 SV=1
+MVAAPCARRLARRSHSALLAALTVLLLQTLVVWNFSSLDSGAGERRGGAAVGGGEQPPPAPAPRRERRDLPAEPAAARGGGGGGGGGGGGRGPQARARGGGPGEPRGQQPASRGALPARALDPHPSPLITLETQDGYFSHRPKEKVRTDSNNENSVPKDFENVDNSNFAPRTQKQKHQPELAKKPPSRQKELLKRKLEQQEKGKGHTFPGKGPGEVLPPGDRAAANSSHGKDVSRPPHARKTGGSSPETKYDQPPKCDISGKEAISALSRAKSKHCRQEIGETYCRHKLGLLMPEKVTRFCPLEGKANKNVQWDEDSVEYMPANPVRIAFVLVVHGRASRQLQRMFKAIYHKDHFYYIHVDKRSNYLHRQVLQVSRQYSNVRVTPWRMATIWGGASLLSTYLQSMRDLLEMTDWPWDFFINLSAADYPIRTNDQLVAFLSRYRDMNFLKSHGRDNARFIRKQGLDRLFLECDAHMWRLGDRRIPEGIAVDGGSDWFLLNRRFVEYVTFSTDDLVTKMKQFYSYTLLPAESFFHTVLENSPHCDTMVDNNLRITNWNRKLGCKCQYKHIVDWCGCSPNDFKPQDFHRFQQTARPTFFARKFEAVVNQEIIGQLDYYLYGNYPAGTPGLRSYWENVYDEPDGIHSLSDVTLTLYHSFARLGLRRAETSLHTDGENSCRYYPMGHPASVHLYFLADRFQGFLIKHHATNLAVSKLETLETWVMPKKVFKIASPPSDFGRLQFSEVGTDWDAKERLFRNFGGLLGPMDEPVGMQKWGKGPNVTVTVIWVDPVNVIAATYDILIESTAEFTHYKPPLNLPLRPGVWTVKILHHWVPVAETKFLVAPLTFSNRQPIKPEEALKLHNGPLRNAYMEQSFQSLNPVLSLPINPAQVEQARRNAASTGTALEGWLDSLVGGMWTAMDICATGPTACPVMQTCSQTAWSSFSPDPKSELGAVKPDGRLR
+>DECOY_sp|Q86Y38|XYLT1_HUMAN Xylosyltransferase 1 OS=Homo sapiens OX=9606 GN=XYLT1 PE=1 SV=1
+RLRGDPKVAGLESKPDPSFSSWATQSCTQMVPCATPGTACIDMATWMGGVLSDLWGELATGTSAANRRAQEVQAPNIPLSLVPNLSQFSQEMYANRLPGNHLKLAEEPKIPQRNSFTLPAVLFKTEAVPVWHHLIKVTWVGPRLPLNLPPKYHTFEATSEILIDYTAAIVNVPDVWIVTVTVNPGKGWKQMGVPEDMPGLLGGFNRFLREKADWDTGVESFQLRGFDSPPSAIKFVKKPMVWTELTELKSVALNTAHHKILFGQFRDALFYLHVSAPHGMPYYRCSNEGDTHLSTEARRLGLRAFSHYLTLTVDSLSHIGDPEDYVNEWYSRLGPTGAPYNGYLYYDLQGIIEQNVVAEFKRAFFTPRATQQFRHFDQPKFDNPSCGCWDVIHKYQCKCGLKRNWNTIRLNNDVMTDCHPSNELVTHFFSEAPLLTYSYFQKMKTVLDDTSFTVYEVFRRNLLFWDSGGDVAIGEPIRRDGLRWMHADCELFLRDLGQKRIFRANDRGHSKLFNMDRYRSLFAVLQDNTRIPYDAASLNIFFDWPWDTMELLDRMSQLYTSLLSAGGWITAMRWPTVRVNSYQRSVQLVQRHLYNSRKDVHIYYFHDKHYIAKFMRQLQRSARGHVVLVFAIRVPNAPMYEVSDEDWQVNKNAKGELPCFRTVKEPMLLGLKHRCYTEGIEQRCHKSKARSLASIAEKGSIDCKPPQDYKTEPSSGGTKRAHPPRSVDKGHSSNAAARDGPPLVEGPGKGPFTHGKGKEQQELKRKLLEKQRSPPKKALEPQHKQKQTRPAFNSNDVNEFDKPVSNENNSDTRVKEKPRHSFYGDQTELTILPSPHPDLARAPLAGRSAPQQGRPEGPGGGRARAQPGRGGGGGGGGGGGGRAAAPEAPLDRRERRPAPAPPPQEGGGVAAGGRREGAGSDLSSFNWVVLTQLLLVTLAALLASHSRRALRRACPAAVM
+>sp|A6NC05|YD286_HUMAN Glutaredoxin-like protein C5orf63 OS=Homo sapiens OX=9606 GN=C5orf63 PE=2 SV=3
+MLWFQGNSMQLARSSFGLFLRNCSASKTTLPVLTLFTKDPCPLCDEAKEVLKPYENRQPYKDQKLPGTRRRRSPSSPSHPHMASQSGKRYNLTLNQVLSFDYDMGLDAPKTISSDCGAFYCLRMFKSPDMTCCFYPKQ
+>DECOY_sp|A6NC05|YD286_HUMAN Glutaredoxin-like protein C5orf63 OS=Homo sapiens OX=9606 GN=C5orf63 PE=2 SV=3
+QKPYFCCTMDPSKFMRLCYFAGCDSSITKPADLGMDYDFSLVQNLTLNYRKGSQSAMHPHSPSSPSRRRRTGPLKQDKYPQRNEYPKLVEKAEDCLPCPDKTFLTLVPLTTKSASCNRLFLGFSSRALQMSNGQFWLM
+>sp|Q6ZRM9|YG024_HUMAN Putative uncharacterized protein FLJ46235 OS=Homo sapiens OX=9606 PE=2 SV=1
+MASGRWASPGPAWASRRPLQAQVVLKSASPGPAPASQQASSFGSAPAQLPPAFVDPELSPAMLLSPTCLPVACTGPGLAGEQPLQAPLLPPRGISRPSSGLTAASRDQVPACLPAACVRPSSSVTVACSGPTHASGTLSRGVSPCLTLASLTLREFSVGPCLTLASLTLREVSMSPCLTLVSLTLRAILPHAGLLRPSSCLCWPFQAQPLPVGGL
+>DECOY_sp|Q6ZRM9|YG024_HUMAN Putative uncharacterized protein FLJ46235 OS=Homo sapiens OX=9606 PE=2 SV=1
+LGGVPLPQAQFPWCLCSSPRLLGAHPLIARLTLSVLTLCPSMSVERLTLSALTLCPGVSFERLTLSALTLCPSVGRSLTGSAHTPGSCAVTVSSSPRVCAAPLCAPVQDRSAATLGSSPRSIGRPPLLPAQLPQEGALGPGTCAVPLCTPSLLMAPSLEPDVFAPPLQAPASGFSSAQQSAPAPGPSASKLVVQAQLPRRSAWAPGPSAWRGSAM
+>sp|Q96NJ1|YI001_HUMAN Uncharacterized protein FLJ30774 OS=Homo sapiens OX=9606 PE=2 SV=1
+MRRERPELRDAEGRLRLRAGCLVTAWPRAPSGAGSWSMAAASPWPASWGFPDASSTVPSLCTEARAGRGGPATARSRVSADSQGGRAGSSSPSSALRLCCAGPSQAHPGPSPAVLPGRCGLLGSFPRPPAPQGRWGPSLG
+>DECOY_sp|Q96NJ1|YI001_HUMAN Uncharacterized protein FLJ30774 OS=Homo sapiens OX=9606 PE=2 SV=1
+GLSPGWRGQPAPPRPFSGLLGCRGPLVAPSPGPHAQSPGACCLRLASSPSSSGARGGQSDASVRSRATAPGGRGARAETCLSPVTSSADPFGWSAPWPSAAAMSWSGAGSPARPWATVLCGARLRLRGEADRLEPRERRM
+>sp|A8MXE2|YI036_HUMAN Putative UDP-GlcNAc:betaGal beta-1,3-N-acetylglucosaminyltransferase LOC100288842 OS=Homo sapiens OX=9606 PE=5 SV=2
+MQVTFCRLRTHQWCFILFNVILFHALLFGTDFVEEYFLHSLPYIDVKVLEIKNKARKLNIEPLRSNLSKYYVLSQSEICKGKNIFLLSLIFSSPGNGTRRDLIRKTWGNVTSVQGHPILTLFALGMPVSVTTQKEINKESCKNNDIIEGIFLDSSENQTLKIIAMIQWAVAFCPNALFILKVDEETFVNLPSLVDYLLNLKEHLEDIYVGRVLHQVTPNRDPQNRDFVPLSEYPEKYYPDYCSGEAFIMSQDVARMMYVVFKEVPMMVPADVFVGICAKFIGLIPIHSSRFSGKRHIRYNRCCYKFIFTSSEIADPEMPLAWKEINDGKECTLFETSYELISCKLLTYLDSFKRFHMGTIKNNLMYFAD
+>DECOY_sp|A8MXE2|YI036_HUMAN Putative UDP-GlcNAc:betaGal beta-1,3-N-acetylglucosaminyltransferase LOC100288842 OS=Homo sapiens OX=9606 PE=5 SV=2
+DAFYMLNNKITGMHFRKFSDLYTLLKCSILEYSTEFLTCEKGDNIEKWALPMEPDAIESSTFIFKYCCRNYRIHRKGSFRSSHIPILGIFKACIGVFVDAPVMMPVEKFVVYMMRAVDQSMIFAEGSCYDPYYKEPYESLPVFDRNQPDRNPTVQHLVRGVYIDELHEKLNLLYDVLSPLNVFTEEDVKLIFLANPCFAVAWQIMAIIKLTQNESSDLFIGEIIDNNKCSEKNIEKQTTVSVPMGLAFLTLIPHGQVSTVNGWTKRILDRRTGNGPSSFILSLLFINKGKCIESQSLVYYKSLNSRLPEINLKRAKNKIELVKVDIYPLSHLFYEEVFDTGFLLAHFLIVNFLIFCWQHTRLRCFTVQM
+>sp|O95070|YIF1A_HUMAN Protein YIF1A OS=Homo sapiens OX=9606 GN=YIF1A PE=1 SV=2
+MAYHSGYGAHGSKHRARAAPDPPPLFDDTSGGYSSQPGGYPATGADVAFSVNHLLGDPMANVAMAYGSSIASHGKDMVHKELHRFVSVSKLKYFFAVDTAYVAKKLGLLVFPYTHQNWEVQYSRDAPLPPRQDLNAPDLYIPTMAFITYVLLAGMALGIQKRFSPEVLGLCASTALVWVVMEVLALLLGLYLATVRSDLSTFHLLAYSGYKYVGMILSVLTGLLFGSDGYYVALAWTSSALMYFIVRSLRTAALGPDSMGGPVPRQRLQLYLTLGAAAFQPLIIYWLTFHLVR
+>DECOY_sp|O95070|YIF1A_HUMAN Protein YIF1A OS=Homo sapiens OX=9606 GN=YIF1A PE=1 SV=2
+RVLHFTLWYIILPQFAAAGLTLYLQLRQRPVPGGMSDPGLAATRLSRVIFYMLASSTWALAVYYGDSGFLLGTLVSLIMGVYKYGSYALLHFTSLDSRVTALYLGLLLALVEMVVWVLATSACLGLVEPSFRKQIGLAMGALLVYTIFAMTPIYLDPANLDQRPPLPADRSYQVEWNQHTYPFVLLGLKKAVYATDVAFFYKLKSVSVFRHLEKHVMDKGHSAISSGYAMAVNAMPDGLLHNVSFAVDAGTAPYGGPQSSYGGSTDDFLPPPDPAARARHKSGHAGYGSHYAM
+>sp|Q5BJH7|YIF1B_HUMAN Protein YIF1B OS=Homo sapiens OX=9606 GN=YIF1B PE=1 SV=1
+MHPAGLAAAAAGTPRLRKWPSKRRIPVSQPGMADPHQLFDDTSSAQSRGYGAQRAPGGLSYPAASPTPHAAFLADPVSNMAMAYGSSLAAQGKELVDKNIDRFIPITKLKYYFAVDTMYVGRKLGLLFFPYLHQDWEVQYQQDTPVAPRFDVNAPDLYIPAMAFITYVLVAGLALGTQDRFSPDLLGLQASSALAWLTLEVLAILLSLYLVTVNTDLTTIDLVAFLGYKYVGMIGGVLMGLLFGKIGYYLVLGWCCVAIFVFMIRTLRLKILADAAAEGVPVRGARNQLRMYLTMAVAAAQPMLMYWLTFHLVR
+>DECOY_sp|Q5BJH7|YIF1B_HUMAN Protein YIF1B OS=Homo sapiens OX=9606 GN=YIF1B PE=1 SV=1
+RVLHFTLWYMLMPQAAAVAMTLYMRLQNRAGRVPVGEAAADALIKLRLTRIMFVFIAVCCWGLVLYYGIKGFLLGMLVGGIMGVYKYGLFAVLDITTLDTNVTVLYLSLLIALVELTLWALASSAQLGLLDPSFRDQTGLALGAVLVYTIFAMAPIYLDPANVDFRPAVPTDQQYQVEWDQHLYPFFLLGLKRGVYMTDVAFYYKLKTIPIFRDINKDVLEKGQAALSSGYAMAMNSVPDALFAAHPTPSAAPYSLGGPARQAGYGRSQASSTDDFLQHPDAMGPQSVPIRRKSPWKRLRPTGAAAAALGAPHM
+>sp|Q6ZQT7|YJ013_HUMAN Putative uncharacterized protein FLJ44672 OS=Homo sapiens OX=9606 PE=2 SV=1
+MQPGGTAGPEEAPMREAEAGPPQVGLSRPTCSLPASSPGPALPPGCVSRPDSGLPTTSLDSAPAQLPAALVDPQLPEAKLPRPSSGLTVASPGSAPALRWHLQAPNGLRSVGSSRPSLGLPAASAGPKRPEVGLSRPSSGLPAAFAGPSRPQVGLELGLEEQQVSLSGPSSILSAASPGAKLPRVSLSRPSSSCLPLASFSPAQPSSWLSAAFPGPAFDFWRPLQAQNLPSSGPLQARPRPRPHSGLSTPS
+>DECOY_sp|Q6ZQT7|YJ013_HUMAN Putative uncharacterized protein FLJ44672 OS=Homo sapiens OX=9606 PE=2 SV=1
+SPTSLGSHPRPRPRAQLPGSSPLNQAQLPRWFDFAPGPFAASLWSSPQAPSFSALPLCSSSPRSLSVRPLKAGPSAASLISSPGSLSVQQEELGLELGVQPRSPGAFAAPLGSSPRSLGVEPRKPGASAAPLGLSPRSSGVSRLGNPAQLHWRLAPASGPSAVTLGSSPRPLKAEPLQPDVLAAPLQAPASDLSTTPLGSDPRSVCGPPLAPGPSSAPLSCTPRSLGVQPPGAEAERMPAEEPGATGGPQM
+>sp|Q9H521|YM006_HUMAN Putative uncharacterized protein LOC645739 OS=Homo sapiens OX=9606 PE=5 SV=1
+MVWQENEDLRKQLVEASELLKSQAKELKDAHQQQKLALQDFLELCELVAELCSQKQKVWDKEGEMEVAMQKVNTMWQES
+>DECOY_sp|Q9H521|YM006_HUMAN Putative uncharacterized protein LOC645739 OS=Homo sapiens OX=9606 PE=5 SV=1
+SEQWMTNVKQMAVEMEGEKDWVKQKQSCLEAVLECLELFDQLALKQQQHADKLEKAQSKLLESAEVLQKRLDENEQWVM
+>sp|Q6UXP9|YO001_HUMAN Putative uncharacterized protein UNQ9370/PRO34162 OS=Homo sapiens OX=9606 GN=UNQ9370/PRO34162 PE=5 SV=1
+MIFMQILEPQEVPSFLMICQRRSPAMHRTCTDHAPLAIAQVWLWVSLAKAGSNRRGPGRAEGTFFSLLAALHAAQHFPNLPTAPGGASQSNIVSPELTPKPTTALKHAECLLDLNSHSLYRKPRPKAAVYLNLSLPLKSVHRLSLKKSFGFGKRDFENNSVFIVDSGGTCAGLLPGYIGWC
+>DECOY_sp|Q6UXP9|YO001_HUMAN Putative uncharacterized protein UNQ9370/PRO34162 OS=Homo sapiens OX=9606 GN=UNQ9370/PRO34162 PE=5 SV=1
+CWGIYGPLLGACTGGSDVIFVSNNEFDRKGFGFSKKLSLRHVSKLPLSLNLYVAAKPRPKRYLSHSNLDLLCEAHKLATTPKPTLEPSVINSQSAGGPATPLNPFHQAAHLAALLSFFTGEARGPGRRNSGAKALSVWLWVQAIALPAHDTCTRHMAPSRRQCIMLFSPVEQPELIQMFIM
+>sp|Q96MF0|YO028_HUMAN Putative uncharacterized protein LOC100506887 OS=Homo sapiens OX=9606 PE=5 SV=2
+MPFKTKYPNGFHFAYLPTGSTQFRSLLQGQDSASQGVCPCRLCGAVPVRDQARIQSRRITLANCQGQPSALRQVNELANSAQIESFLCFSQLPNAVCFGGHLSCEFRECGYCNINPHHSKQLKLNSVTREES
+>DECOY_sp|Q96MF0|YO028_HUMAN Putative uncharacterized protein LOC100506887 OS=Homo sapiens OX=9606 PE=5 SV=2
+SEERTVSNLKLQKSHHPNINCYGCERFECSLHGGFCVANPLQSFCLFSEIQASNALENVQRLASPQGQCNALTIRRSQIRAQDRVPVAGCLRCPCVGQSASDQGQLLSRFQTSGTPLYAFHFGNPYKTKFPM
+>sp|A6NJY4|YQ041_HUMAN Putative transmembrane protein LOC100289255 OS=Homo sapiens OX=9606 PE=5 SV=2
+MLLGSLWGRCHPGCCALFLILALLLDAVGLVLLLLGILAPLSSWDFFIYTGALILALSLLLWIIWYSLNIEVSPEKLDL
+>DECOY_sp|A6NJY4|YQ041_HUMAN Putative transmembrane protein LOC100289255 OS=Homo sapiens OX=9606 PE=5 SV=2
+LDLKEPSVEINLSYWIIWLLLSLALILAGTYIFFDWSSLPALIGLLLLVLGVADLLLALILFLACCGPHCRGWLSGLLM
+>sp|Q6ZSA8|YS025_HUMAN Putative uncharacterized protein FLJ45684 OS=Homo sapiens OX=9606 PE=5 SV=2
+METANGEEPPAGPPVSLHGRRLLRVGGACPTPLPVLQRLPPSALHHTLHCVPRRVCVSPLGQVTRIPPVRTVRRAPSGLLPQRRGGPSWWPPSGVARGGPSWWPPSGVVRGGPSSWPPSGVAEPREALGLP
+>DECOY_sp|Q6ZSA8|YS025_HUMAN Putative uncharacterized protein FLJ45684 OS=Homo sapiens OX=9606 PE=5 SV=2
+PLGLAERPEAVGSPPWSSPGGRVVGSPPWWSPGGRAVGSPPWWSPGGRRQPLLGSPARRVTRVPPIRTVQGLPSVCVRRPVCHLTHHLASPPLRQLVPLPTPCAGGVRLLRRGHLSVPPGAPPEEGNATEM
+>sp|P0C880|YT014_HUMAN Putative uncharacterized protein FLJ40606 OS=Homo sapiens OX=9606 PE=5 SV=1
+MAAATETGQAAVPSRKRRRGRRPPASDPQTLARLAAGPWLPGTLTCPERTGGDAATRSARPPVLPPPPRPPQRRCRHLVSRAGTPRCACAGTASEGPRRGRAAILSVAGSAGSSHPACFRPPPLLPIRPCCSLWR
+>DECOY_sp|P0C880|YT014_HUMAN Putative uncharacterized protein FLJ40606 OS=Homo sapiens OX=9606 PE=5 SV=1
+RWLSCCPRIPLLPPPRFCAPHSSGASGAVSLIAARGRRPGESATGACACRPTGARSVLHRCRRQPPRPPPPLVPPRASRTAADGGTREPCTLTGPLWPGAALRALTQPDSAPPRRGRRRKRSPVAAQGTETAAAM
+>sp|Q96IR3|YV007_HUMAN Putative uncharacterized protein MGC15705 OS=Homo sapiens OX=9606 PE=5 SV=1
+MTRNVVRQEFEAPGKPQDSSQQDACLILVKGNWTTNEMEVK
+>DECOY_sp|Q96IR3|YV007_HUMAN Putative uncharacterized protein MGC15705 OS=Homo sapiens OX=9606 PE=5 SV=1
+KVEMENTTWNGKVLILCADQQSSDQPKGPAEFEQRVVNRTM
+>sp|Q6UXV3|YV010_HUMAN Uncharacterized protein UNQ6126/PRO20091 OS=Homo sapiens OX=9606 GN=UNQ6126/PRO20091 PE=2 SV=1
+MLPEQGPQPSTMPLWCLLAACTSLPRQAATMLEEAASPNEAVHASTSGSGALTDQTFTDLSAAEASSEEVPDFMEVPHSVHHKINCFFYLEKQLCQLPSPLCLSSLLTLKLKTTVPAPGRWWSFQPHKAFPLLVGTPGSWQSTIDPAWAAPSQPSPG
+>DECOY_sp|Q6UXV3|YV010_HUMAN Uncharacterized protein UNQ6126/PRO20091 OS=Homo sapiens OX=9606 GN=UNQ6126/PRO20091 PE=2 SV=1
+GPSPQSPAAWAPDITSQWSGPTGVLLPFAKHPQFSWWRGPAPVTTKLKLTLLSSLCLPSPLQCLQKELYFFCNIKHHVSHPVEMFDPVEESSAEAASLDTFTQDTLAGSGSTSAHVAENPSAAEELMTAAQRPLSTCAALLCWLPMTSPQPGQEPLM
+>sp|A8MUU9|YV023_HUMAN Putative uncharacterized protein ENSP00000383309 OS=Homo sapiens OX=9606 PE=5 SV=3
+MPPTASLTRSPPTASQTRTLPRASRTRTPPRASLTRSPPTASLRRTPSRASRTRTPPRASLKRTPSRASLTRTLSRASLTRLKSRASHTRTPSRASLTRTPPTASRTRSLPRASRTRTPPRTSQRRMPPRTSQTRTPPRASLRRTPSRASRTRTPPRASLRRTPSRASLTRTPSRASLTRLKSRASHTRTPSRASLTRTPPTASLTRASRTRTPPRTSQTRTPPRASLRRTPSRASLTRTPSRASLTRTPSRASLTRLKSRASHTRTPSRASLTRTPPTASLTRTPPTASLTRTPPRASLTRSPPRASLTRTPPTASLTRSPPTASLTRTPPRASLTRSPPRASLTRTPSTASLTRTPSRASLTRSKSRASHTRTPSRASLTRTPPRASLTRTPPRASLTRSPPTASLTRMPPTASLTRSPPRASLTRTPPRASLTRSPSTASLTRTPPGTWLRRTPPRTSLTRTPPTASLTRTPYTASLTRTPYTASLMRMPYMTSLMTPYKAR
+>DECOY_sp|A8MUU9|YV023_HUMAN Putative uncharacterized protein ENSP00000383309 OS=Homo sapiens OX=9606 PE=5 SV=3
+RAKYPTMLSTMYPMRMLSATYPTRTLSATYPTRTLSATPPTRTLSTRPPTRRLWTGPPTRTLSATSPSRTLSARPPTRTLSARPPSRTLSATPPMRTLSATPPSRTLSARPPTRTLSARPPTRTLSARSPTRTHSARSKSRTLSARSPTRTLSATSPTRTLSARPPSRTLSARPPTRTLSATPPSRTLSATPPTRTLSARPPSRTLSARPPTRTLSATPPTRTLSATPPTRTLSARSPTRTHSARSKLRTLSARSPTRTLSARSPTRTLSARSPTRRLSARPPTRTQSTRPPTRTRSARTLSATPPTRTLSARSPTRTHSARSKLRTLSARSPTRTLSARSPTRRLSARPPTRTRSARSPTRRLSARPPTRTQSTRPPMRRQSTRPPTRTRSARPLSRTRSATPPTRTLSARSPTRTHSARSKLRTLSARSLTRTLSARSPTRKLSARPPTRTRSARSPTRRLSATPPSRTLSARPPTRTRSARPLTRTQSATPPSRTLSATPPM
+>sp|Q86SH2|ZAR1_HUMAN Zygote arrest protein 1 OS=Homo sapiens OX=9606 GN=ZAR1 PE=2 SV=1
+MAALGDEVLDGYVFPACPPCSYRYPYPAATKGKGAAGGSWQQRGRGCLPASSPCSAGAASLSFPGCGRLTAAEYFDSYQRERLMALLAQVGPGLGPRARRAGSCDVAVQVSPRIDAAVQCSLGRRTLQRRARDPESPAGPGAEGTTGGGSFSQQPSRRGLEQGSPQNGAPRPMRFPRTVAVYSPLALRRLTAFLEGPGPAAGEQRSGASDGERGPPPARLQGPEEGEVWTKKAPRRPQSDDDGEAQAAVRASWEQPADGPELPPREAQEGEAAPRSALRSPGQPPSAGRARDGGDGREAAVAGEGPSPRSPELGKERLRFQFLEQKYGYYHCKDCNIRWESAYVWCVQGTNKVYFKQFCRTCQKSYNPYRVEDITCQSCKQTRCSCPVKLRHVDPKRPHRQDLCGRCKGKRLSCDSTFSFKYII
+>DECOY_sp|Q86SH2|ZAR1_HUMAN Zygote arrest protein 1 OS=Homo sapiens OX=9606 GN=ZAR1 PE=2 SV=1
+IIYKFSFTSDCSLRKGKCRGCLDQRHPRKPDVHRLKVPCSCRTQKCSQCTIDEVRYPNYSKQCTRCFQKFYVKNTGQVCWVYASEWRINCDKCHYYGYKQELFQFRLREKGLEPSRPSPGEGAVAAERGDGGDRARGASPPQGPSRLASRPAAEGEQAERPPLEPGDAPQEWSARVAAQAEGDDDSQPRRPAKKTWVEGEEPGQLRAPPPGREGDSAGSRQEGAAPGPGELFATLRRLALPSYVAVTRPFRMPRPAGNQPSGQELGRRSPQQSFSGGGTTGEAGPGAPSEPDRARRQLTRRGLSCQVAADIRPSVQVAVDCSGARRARPGLGPGVQALLAMLRERQYSDFYEAATLRGCGPFSLSAAGASCPSSAPLCGRGRQQWSGGAAGKGKTAAPYPYRYSCPPCAPFVYGDLVEDGLAAM
+>sp|Q49AG3|ZBED5_HUMAN Zinc finger BED domain-containing protein 5 OS=Homo sapiens OX=9606 GN=ZBED5 PE=2 SV=2
+MIAPLLCILSYNFNTFAILNVYSKLTMFCTTNSLPMDLLLKQGSLKQEVESFCYQIVSESNDQKVGILQSEDKQLQPSVSKKSEGELSRVKFISNSNKITFSKKPKRRKYDESYLSFGFTYFGNRDAPHAQCVLCKKILSNSSLAPSKLRRHLETKHAAYKDKDISFFKQHLDSPENNKPPTPKIVNTDNESATEASYNVSYHIALSGEAHTIGELLIKPCAKDVVMRMFDEQYSKKIDAVQLSNSTVARRIKDLAADIEEELVCRLKICDGFSLQLDESADVSGLAVLLVFVRYRFNKSIEEDLLLCESLQSNATGEEIFNCINSFMQKHEIEWEKCVDVCSDASRAVDGKIAEAVTLIKYVAPESTSSHCLLYRHALAVKIMPTSLKNVLDQAVQIINYIKARPHQSRLLKILCEEMGAQHTALLLNTEVRWLSRGKVLVRLFELRRELLVFMDSAFRLSDCLTNSSWLLRLAYLADIFTKLNEVNLSMQGKNVTVFTVFDKMSSLLRKLEFWASSVEEENFDCFPTLSDFLTEINSTVDKDICSAIVQHLRGLRATLLKYFPVTNDNNAWVRNPFTVTVKPASLVARDYESLIDLTSDSQVKQNFSELSLNDFWSSLIQEYPSIARRAVRVLLPFATMHLCETGFSYYAATKTKYRKRLDAAPHMRIRLSNITPNIKRICDKKTQKHCSH
+>DECOY_sp|Q49AG3|ZBED5_HUMAN Zinc finger BED domain-containing protein 5 OS=Homo sapiens OX=9606 GN=ZBED5 PE=2 SV=2
+HSCHKQTKKDCIRKINPTINSLRIRMHPAADLRKRYKTKTAAYYSFGTECLHMTAFPLLVRVARRAISPYEQILSSWFDNLSLESFNQKVQSDSTLDILSEYDRAVLSAPKVTVTFPNRVWANNDNTVPFYKLLTARLGRLHQVIASCIDKDVTSNIETLFDSLTPFCDFNEEEVSSAWFELKRLLSSMKDFVTFVTVNKGQMSLNVENLKTFIDALYALRLLWSSNTLCDSLRFASDMFVLLERRLEFLRVLVKGRSLWRVETNLLLATHQAGMEECLIKLLRSQHPRAKIYNIIQVAQDLVNKLSTPMIKVALAHRYLLCHSSTSEPAVYKILTVAEAIKGDVARSADSCVDVCKEWEIEHKQMFSNICNFIEEGTANSQLSECLLLDEEISKNFRYRVFVLLVALGSVDASEDLQLSFGDCIKLRCVLEEEIDAALDKIRRAVTSNSLQVADIKKSYQEDFMRMVVDKACPKILLEGITHAEGSLAIHYSVNYSAETASENDTNVIKPTPPKNNEPSDLHQKFFSIDKDKYAAHKTELHRRLKSPALSSNSLIKKCLVCQAHPADRNGFYTFGFSLYSEDYKRRKPKKSFTIKNSNSIFKVRSLEGESKKSVSPQLQKDESQLIGVKQDNSESVIQYCFSEVEQKLSGQKLLLDMPLSNTTCFMTLKSYVNLIAFTNFNYSLICLLPAIM
+>sp|B2RXF5|ZBT42_HUMAN Zinc finger and BTB domain-containing protein 42 OS=Homo sapiens OX=9606 GN=ZBTB42 PE=1 SV=2
+MEFPEHGGRLLGRLRQQRELGFLCDCTVLVGDARFPAHRAVLAACSVYFHLFYRDRPAGSRDTVRLNGDIVTAPAFGRLLDFMYEGRLDLRSLPVEDVLAAASYLHMYDIVKVCKGRLQEKDRSLDPGNPAPGAEPAQPPCPWPVWTADLCPAARKAKLPPFGVKAALPPRASGPPPCQVPEESDQALDLSLKSGPRQERVHPPCVLQTPLCSQRQPGAQPLVKDERDSLSEQEESSSSRSPHSPPKPPPVPAAKGLVVGLQPLPLSGEGSRELELGAGRLASEDELGPGGPLCICPLCSKLFPSSHVLQLHLSAHFRERDSTRARLSPDGVAPTCPLCGKTFSCTYTLKRHERTHSGEKPYTCVQCGKSFQYSHNLSRHTVVHTREKPHACRWCERRFTQSGDLYRHVRKFHCGLVKSLLV
+>DECOY_sp|B2RXF5|ZBT42_HUMAN Zinc finger and BTB domain-containing protein 42 OS=Homo sapiens OX=9606 GN=ZBTB42 PE=1 SV=2
+VLLSKVLGCHFKRVHRYLDGSQTFRRECWRCAHPKERTHVVTHRSLNHSYQFSKGCQVCTYPKEGSHTREHRKLTYTCSFTKGCLPCTPAVGDPSLRARTSDRERFHASLHLQLVHSSPFLKSCLPCICLPGGPGLEDESALRGAGLELERSGEGSLPLPQLGVVLGKAAPVPPPKPPSHPSRSSSSEEQESLSDREDKVLPQAGPQRQSCLPTQLVCPPHVREQRPGSKLSLDLAQDSEEPVQCPPPGSARPPLAAKVGFPPLKAKRAAPCLDATWVPWPCPPQAPEAGPAPNGPDLSRDKEQLRGKCVKVIDYMHLYSAAALVDEVPLSRLDLRGEYMFDLLRGFAPATVIDGNLRVTDRSGAPRDRYFLHFYVSCAALVARHAPFRADGVLVTCDCLFGLERQQRLRGLLRGGHEPFEM
+>sp|Q8NCP5|ZBT44_HUMAN Zinc finger and BTB domain-containing protein 44 OS=Homo sapiens OX=9606 GN=ZBTB44 PE=1 SV=1
+MGVKTFTHSSSSHSQEMLGKLNMLRNDGHFCDITIRVQDKIFRAHKVVLAACSDFFRTKLVGQAEDENKNVLDLHHVTVTGFIPLLEYAYTATLSINTENIIDVLAAASYMQMFSVASTCSEFMKSSILWNTPNSQPEKGLDAGQENNSNCNFTSRDGSISPVSSECSVVERTIPVCRESRRKRKSYIVMSPESPVKCGTQTSSPQVLNSSASYSENRNQPVDSSLAFPWTFPFGIDRRIQPEKVKQAENTRTLELPGPSETGRRMADYVTCESTKTTLPLGTEEDVRVKVERLSDEEVHEEVSQPVSASQSSLSDQQTVPGSEQVQEDLLISPQSSSIGSVDEGVSEGLPTLQSTSSTNAPPDDDDRLENVQYPYQLYIAPSTSSTERPSPNGPDRPFQCPTCGVRFTRIQNLKQHMLIHSGIKPFQCDRCGKKFTRAYSLKMHRLKHEGKRCFRCQICSATFTSFGEYKHHMRVSRHIIRKPRIYECKTCGAMLTNSGNLIVHLRSLNHEASELANYFQSSDFLVPDYLNQEQEETLVQYDLGEHGFESNSSVQMPVISQYHSKGKEP
+>DECOY_sp|Q8NCP5|ZBT44_HUMAN Zinc finger and BTB domain-containing protein 44 OS=Homo sapiens OX=9606 GN=ZBTB44 PE=1 SV=1
+PEKGKSHYQSIVPMQVSSNSEFGHEGLDYQVLTEEQEQNLYDPVLFDSSQFYNALESAEHNLSRLHVILNGSNTLMAGCTKCEYIRPKRIIHRSVRMHHKYEGFSTFTASCIQCRFCRKGEHKLRHMKLSYARTFKKGCRDCQFPKIGSHILMHQKLNQIRTFRVGCTPCQFPRDPGNPSPRETSSTSPAIYLQYPYQVNELRDDDDPPANTSSTSQLTPLGESVGEDVSGISSSQPSILLDEQVQESGPVTQQDSLSSQSASVPQSVEEHVEEDSLREVKVRVDEETGLPLTTKTSECTVYDAMRRGTESPGPLELTRTNEAQKVKEPQIRRDIGFPFTWPFALSSDVPQNRNESYSASSNLVQPSSTQTGCKVPSEPSMVIYSKRKRRSERCVPITREVVSCESSVPSISGDRSTFNCNSNNEQGADLGKEPQSNPTNWLISSKMFESCTSAVSFMQMYSAAALVDIINETNISLTATYAYELLPIFGTVTVHHLDLVNKNEDEAQGVLKTRFFDSCAALVVKHARFIKDQVRITIDCFHGDNRLMNLKGLMEQSHSSSSHTFTKVGM
+>sp|Q96K62|ZBT45_HUMAN Zinc finger and BTB domain-containing protein 45 OS=Homo sapiens OX=9606 GN=ZBTB45 PE=2 SV=1
+MAAAEAVHHIHLQNFSRSLLETLNGQRLGGHFCDVTVRIREASLRAHRCVLAAGSPFFQDKLLLGHSEIRVPPVVPAQTVRQLVEFLYSGSLVVAQGEALQVLTAASVLRIQTVIDECTQIIARARAPGTSAPTPLPTPVPPPLAPAQLRHRLRHLLAARPPGHPGAAHSRKQRQPARLQLPAPPTPAKAEGPDADPSLSAAPDDRGDEDDEESDDETDGEDGEGGGPGEGQAPPSFPDCAAGFLTAAADSACEEPPAPTGLADYSGAGRDFLRGAGSAEDVFPDSYVSTWHDEDGAVPEGCPTETPVQPDCILSGSRPPGVKTPGPPVALFPFHLGAPGPPAPPPSAPSGPAPAPPPAFYPTLQPEAAPSTQLGEVPAPSAAPTTAPSGTPARTPGAEPPTYECSHCRKTFSSRKNYTKHMFIHSGEKPHQCAVCWRSFSLRDYLLKHMVTHTGVRAFQCAVCAKRFTQKSSLNVHMRTHRPERAPCPACGKVFSHRALLERHLAAHPAP
+>DECOY_sp|Q96K62|ZBT45_HUMAN Zinc finger and BTB domain-containing protein 45 OS=Homo sapiens OX=9606 GN=ZBTB45 PE=2 SV=1
+PAPHAALHRELLARHSFVKGCAPCPAREPRHTRMHVNLSSKQTFRKACVACQFARVGTHTVMHKLLYDRLSFSRWCVACQHPKEGSHIFMHKTYNKRSSFTKRCHSCEYTPPEAGPTRAPTGSPATTPAASPAPVEGLQTSPAAEPQLTPYFAPPPAPAPGSPASPPPAPPGPAGLHFPFLAVPPGPTKVGPPRSGSLICDPQVPTETPCGEPVAGDEDHWTSVYSDPFVDEASGAGRLFDRGAGSYDALGTPAPPEECASDAAATLFGAACDPFSPPAQGEGPGGGEGDEGDTEDDSEEDDEDGRDDPAASLSPDADPGEAKAPTPPAPLQLRAPQRQKRSHAAGPHGPPRAALLHRLRHRLQAPALPPPVPTPLPTPASTGPARARAIIQTCEDIVTQIRLVSAATLVQLAEGQAVVLSGSYLFEVLQRVTQAPVVPPVRIESHGLLLKDQFFPSGAALVCRHARLSAERIRVTVDCFHGGLRQGNLTELLSRSFNQLHIHHVAEAAAM
+>sp|Q86UZ6|ZBT46_HUMAN Zinc finger and BTB domain-containing protein 46 OS=Homo sapiens OX=9606 GN=ZBTB46 PE=1 SV=2
+MNNRKEDMEITSHYRHLLRELNEQRQHGVLCDVCVVVEGKVFKAHKNVLLGSSRYFKTLYCQVQKTSEQATVTHLDIVTAQGFKAIIDFMYSAHLALTSRNVIEVMSAASFLQMTDIVQACHDFIKAALDISIKSDASDELAEFEIGASSSSSTEALISAVMAGRSISPWLARRTSPANSSGDSAIASCHDGGSSYGKEDQEPKADGPDDVSSQPLWPGDVGYGPLRIKEEQVSPSQYGGSELPSAKDGAVQNSFSEQSAGDAWQPTGRRKNRKNKETVRHITQQVEDDSRASSPVPSFLPTSGWPFSSRDSNADLSVTEASSSDSRGERAELYAQVEEGLLGGEASYLGPPLTPEKDDALHQATAVANLRAALMSKNSLLSLKADVLGDDGSLLFEYLPRGAHSLSLNEFTVIRKKFKCPYCSFSAMHQCILKRHMRSHTGERPYPCEICGKKFTRREHMKRHTLVHSKDKKYVCKVCSRVFMSAASVGIRHGSRRHGVCTDCAGRGMAGPLDHGGGGGEGSPEALFPGDGPYLEDPEDPRGEAEELGEDDEGLAPEDALLADDKDEEDSPRPRSPPGGPDKDFAWLS
+>DECOY_sp|Q86UZ6|ZBT46_HUMAN Zinc finger and BTB domain-containing protein 46 OS=Homo sapiens OX=9606 GN=ZBTB46 PE=1 SV=2
+SLWAFDKDPGGPPSRPRPSDEEDKDDALLADEPALGEDDEGLEEAEGRPDEPDELYPGDGPFLAEPSGEGGGGGHDLPGAMGRGACDTCVGHRRSGHRIGVSAASMFVRSCVKCVYKKDKSHVLTHRKMHERRTFKKGCIECPYPREGTHSRMHRKLICQHMASFSCYPCKFKKRIVTFENLSLSHAGRPLYEFLLSGDDGLVDAKLSLLSNKSMLAARLNAVATAQHLADDKEPTLPPGLYSAEGGLLGEEVQAYLEAREGRSDSSSAETVSLDANSDRSSFPWGSTPLFSPVPSSARSDDEVQQTIHRVTEKNKRNKRRGTPQWADGASQESFSNQVAGDKASPLESGGYQSPSVQEEKIRLPGYGVDGPWLPQSSVDDPGDAKPEQDEKGYSSGGDHCSAIASDGSSNAPSTRRALWPSISRGAMVASILAETSSSSSAGIEFEALEDSADSKISIDLAAKIFDHCAQVIDTMQLFSAASMVEIVNRSTLALHASYMFDIIAKFGQATVIDLHTVTAQESTKQVQCYLTKFYRSSGLLVNKHAKFVKGEVVVCVDCLVGHQRQENLERLLHRYHSTIEMDEKRNNM
+>sp|Q6ZSB9|ZBT49_HUMAN Zinc finger and BTB domain-containing protein 49 OS=Homo sapiens OX=9606 GN=ZBTB49 PE=1 SV=3
+MDPVATHSCHLLQQLHEQRIQGLLCDCMLVVKGVCFKAHKNVLAAFSQYFRSLFQNSSSQKNDVFHLDVKNVSGIGQILDFMYTSHLDLNQDNIQVMLDTAQCLQVQNVLSLCHTFLKSATVVQPPGMPCNSTLSLQSTLTPDATCVISENYPPHLLQECSADAQQNKTLDESHPHASPSVNRHHSAGEISKQAPDTSDGSCTELPFKQPNYYYKLRNFYSKQYHKHAAGPSQERVVEQPFAFSTSTDLTTVESQPCAVSHSECILESPEHLPSNFLAQPVNDSAPHPESDATCQQPVKQMRLKKAIHLKKLNFLKSQKYAEQVSEPKSDDGLTKRLESASKNTLEKASSQSAEEKESEEVVSCENFNCISETERPEDPAALEDQSQTLQSQRQYACELCGKPFKHPSNLELHKRSHTGEKPFECNICGKHFSQAGNLQTHLRRHSGEKPYICEICGKRFAASGDVQRHIIIHSGEKPHLCDICGRGFSNFSNLKEHKKTHTADKVFTCDECGKSFNMQRKLVKHRIRHTGERPYSCSACGKCFGGSGDLRRHVRTHTGEKPYTCEICNKCFTRSAVLRRHKKMHCKAGDESPDVLEELSQAIETSDLEKSQSSDSFSQDTSVTLMPVSVKLPVHPVENSVAEFDSHSGGSYCKLRSMIQPHGVSDQEKLSLDPGKLAKPQMQQTQPQAYAYSDVDTPAGGEPLQADGMAMIRSSLAALDNHGGDPLGSRASSTTYRNSEGQFFSSMTLWGLAMKTLQNENELDQ
+>DECOY_sp|Q6ZSB9|ZBT49_HUMAN Zinc finger and BTB domain-containing protein 49 OS=Homo sapiens OX=9606 GN=ZBTB49 PE=1 SV=3
+QDLENENQLTKMALGWLTMSSFFQGESNRYTTSSARSGLPDGGHNDLAALSSRIMAMGDAQLPEGGAPTDVDSYAYAQPQTQQMQPKALKGPDLSLKEQDSVGHPQIMSRLKCYSGGSHSDFEAVSNEVPHVPLKVSVPMLTVSTDQSFSDSSQSKELDSTEIAQSLEELVDPSEDGAKCHMKKHRRLVASRTFCKNCIECTYPKEGTHTRVHRRLDGSGGFCKGCASCSYPREGTHRIRHKVLKRQMNFSKGCEDCTFVKDATHTKKHEKLNSFNSFGRGCIDCLHPKEGSHIIIHRQVDGSAAFRKGCIECIYPKEGSHRRLHTQLNGAQSFHKGCINCEFPKEGTHSRKHLELNSPHKFPKGCLECAYQRQSQLTQSQDELAAPDEPRETESICNFNECSVVEESEKEEASQSSAKELTNKSASELRKTLGDDSKPESVQEAYKQSKLFNLKKLHIAKKLRMQKVPQQCTADSEPHPASDNVPQALFNSPLHEPSELICESHSVACPQSEVTTLDTSTSFAFPQEVVREQSPGAAHKHYQKSYFNRLKYYYNPQKFPLETCSGDSTDPAQKSIEGASHHRNVSPSAHPHSEDLTKNQQADASCEQLLHPPYNESIVCTADPTLTSQLSLTSNCPMGPPQVVTASKLFTHCLSLVNQVQLCQATDLMVQINDQNLDLHSTYMFDLIQGIGSVNKVDLHFVDNKQSSSNQFLSRFYQSFAALVNKHAKFCVGKVVLMCDCLLGQIRQEHLQQLLHCSHTAVPDM
+>sp|O15156|ZBT7B_HUMAN Zinc finger and BTB domain-containing protein 7B OS=Homo sapiens OX=9606 GN=ZBTB7B PE=1 SV=2
+MGSPEDDLIGIPFPDHSSELLSCLNEQRQLGHLCDLTIRTQGLEYRTHRAVLAACSHYFKKLFTEGGGGAVMGAGGSGTATGGAGAGVCELDFVGPEALGALLEFAYTATLTTSSANMPAVLQAARLLEIPCVIAACMEILQGSGLEAPSPDEDDCERARQYLEAFATATASGVPNGEDSPPQVPLPPPPPPPPRPVARRSRKPRKAFLQTKGARANHLVPEVPTVPAHPLTYEEEEVAGRVGSSGGSGPGDSYSPPTGTASPPEGPQSYEPYEGEEEEEELVYPPAYGLAQGGGPPLSPEELGSDEDAIDPDLMAYLSSLHQDNLAPGLDSQDKLVRKRRSQMPQECPVCHKIIHGAGKLPRHMRTHTGEKPFACEVCGVRFTRNDKLKIHMRKHTGERPYSCPHCPARFLHSYDLKNHMHLHTGDRPYECHLCHKAFAKEDHLQRHLKGQNCLEVRTRRRRKDDAPPHYPPPSTAAASPAGLDLSNGHLDTFRLSLARFWEQSAPTGPPVSTPGPPDDDEEEGAPTTPQAEGAMESS
+>DECOY_sp|O15156|ZBT7B_HUMAN Zinc finger and BTB domain-containing protein 7B OS=Homo sapiens OX=9606 GN=ZBTB7B PE=1 SV=2
+SSEMAGEAQPTTPAGEEEDDDPPGPTSVPPGTPASQEWFRALSLRFTDLHGNSLDLGAPSAAATSPPPYHPPADDKRRRRTRVELCNQGKLHRQLHDEKAFAKHCLHCEYPRDGTHLHMHNKLDYSHLFRAPCHPCSYPREGTHKRMHIKLKDNRTFRVGCVECAFPKEGTHTRMHRPLKGAGHIIKHCVPCEQPMQSRRKRVLKDQSDLGPALNDQHLSSLYAMLDPDIADEDSGLEEPSLPPGGGQALGYAPPYVLEEEEEEGEYPEYSQPGEPPSATGTPPSYSDGPGSGGSSGVRGAVEEEEYTLPHAPVTPVEPVLHNARAGKTQLFAKRPKRSRRAVPRPPPPPPPPLPVQPPSDEGNPVGSATATAFAELYQRARECDDEDPSPAELGSGQLIEMCAAIVCPIELLRAAQLVAPMNASSTTLTATYAFELLAGLAEPGVFDLECVGAGAGGTATGSGGAGMVAGGGGETFLKKFYHSCAALVARHTRYELGQTRITLDCLHGLQRQENLCSLLESSHDPFPIGILDDEPSGM
+>sp|Q15916|ZBTB6_HUMAN Zinc finger and BTB domain-containing protein 6 OS=Homo sapiens OX=9606 GN=ZBTB6 PE=1 SV=1
+MAAESDVLHFQFEQQGDVVLQKMNLLRQQNLFCDVSIYINDTEFQGHKVILAACSTFMRDQFLLTQSKHVRITILQSAEVGRKLLLSCYTGALEVKRKELLKYLTAASYLQMVHIVEKCTEALSKYLEIDLSMKNNNQHTDLCQSSDPDVKNEDENSDKDCEIIEISEDSPVNIDFHVKEEESNALQSTVESLTSERKEMKSPELSTVDIGFKDNEICILHVESISTAGVENGQFSQPCTSSKASMYFSETQHSLINSTVESRVAEVPGNQDQGLFCENTEGSYGTVSEIQNLEEGYSLRHQCPRCPRGFLHVENYLRHLKMHKLFLCLQCGKTFTQKKNLNRHIRGHMGIRPFQCTVCLKTFTAKSTLQDHLNIHSGDRPYKCHCCDMDFKHKSALKKHLTSVHGRSSGEKLSRPDLKRQSLL
+>DECOY_sp|Q15916|ZBTB6_HUMAN Zinc finger and BTB domain-containing protein 6 OS=Homo sapiens OX=9606 GN=ZBTB6 PE=1 SV=1
+LLSQRKLDPRSLKEGSSRGHVSTLHKKLASKHKFDMDCCHCKYPRDGSHINLHDQLTSKATFTKLCVTCQFPRIGMHGRIHRNLNKKQTFTKGCQLCLFLKHMKLHRLYNEVHLFGRPCRPCQHRLSYGEELNQIESVTGYSGETNECFLGQDQNGPVEAVRSEVTSNILSHQTESFYMSAKSSTCPQSFQGNEVGATSISEVHLICIENDKFGIDVTSLEPSKMEKRESTLSEVTSQLANSEEEKVHFDINVPSDESIEIIECDKDSNEDENKVDPDSSQCLDTHQNNNKMSLDIELYKSLAETCKEVIHVMQLYSAATLYKLLEKRKVELAGTYCSLLLKRGVEASQLITIRVHKSQTLLFQDRMFTSCAALIVKHGQFETDNIYISVDCFLNQQRLLNMKQLVVDGQQEFQFHLVDSEAAM
+>sp|A0A1B0GTU1|ZC11B_HUMAN Zinc finger CCCH domain-containing protein 11B OS=Homo sapiens OX=9606 GN=ZC3H11B PE=4 SV=1
+MPNQGEDCYFFFYSTCTKGDSCPFRHCEAALGNETVCTLWQEGRCFRRVCRFRHMEIDKKRSEIPCYWENQPTGCQKLNCVFHHNRGRYVDGLFLPPSKSVLPTVPESPEEEVKASQLSVQQNKLSVQSNTSPQLRSVMKVESSENVPSPKHPPVVINAADDDEDDDDQFSEEGDETKTPTLQPTPEVHNGLRVTSVRKPAVNIKQGECLHFGIKTLEEIKSKKMKEKSEEQGEGSSGVSSLLLHPEPVPGPEKENVRTVVRTVTLSTKQGEEPLVRLGLTETLGKRKFSTGGDSDPPLKRSLAQRLGKKVEAPETNTDETPKKAQVSKSLKERLGMSADPNNEDATDKVNKVGEIHVKTLEEMLLERASQKHGESQTKLKTEGPSKTDDSTSGARSSSTIRIKTFSEVLAEEEHRQQEAERQKSKKDTTCIKLKTDSEIKKTVVLPPIVASKGQSEEPAGKTKSMQEVHMKTVEEIKLEKALRVQQSSESSTSSPSQHEATPGARLLLRITKRTWRKEEKKLQEGNEVDFLSRVRMEATEASVETTGVDITKIQVKRCEIMRETRMQKQQEREKSVLTPLQGDVASCNTQVAEKPVLTAVPGITWHLTKQLPTKSSQKVEVETSGIADSLLNVKWSAQTLEKRGEAKPTVNVKQSVVKVVSSPKLAPKRKAVEMHPAVTAAVKPLSSSSVLQEPPAKKAAVDAVVLLDSEDKSVTVPEAENPRDSLVLPPTQSSSDSSPPEVSGPSSSQMSMKTRRLSSASTGKPPLSVEDDFEKLTWEISGGKLEAEIDLDPGKDEDDLPLEL
+>DECOY_sp|A0A1B0GTU1|ZC11B_HUMAN Zinc finger CCCH domain-containing protein 11B OS=Homo sapiens OX=9606 GN=ZC3H11B PE=4 SV=1
+LELPLDDEDKGPDLDIEAELKGGSIEWTLKEFDDEVSLPPKGTSASSLRRTKMSMQSSSPGSVEPPSSDSSSQTPPLVLSDRPNEAEPVTVSKDESDLLVVADVAAKKAPPEQLVSSSSLPKVAATVAPHMEVAKRKPALKPSSVVKVVSQKVNVTPKAEGRKELTQASWKVNLLSDAIGSTEVEVKQSSKTPLQKTLHWTIGPVATLVPKEAVQTNCSAVDGQLPTLVSKEREQQKQMRTERMIECRKVQIKTIDVGTTEVSAETAEMRVRSLFDVENGEQLKKEEKRWTRKTIRLLLRAGPTAEHQSPSSTSSESSQQVRLAKELKIEEVTKMHVEQMSKTKGAPEESQGKSAVIPPLVVTKKIESDTKLKICTTDKKSKQREAEQQRHEEEALVESFTKIRITSSSRAGSTSDDTKSPGETKLKTQSEGHKQSARELLMEELTKVHIEGVKNVKDTADENNPDASMGLREKLSKSVQAKKPTEDTNTEPAEVKKGLRQALSRKLPPDSDGGTSFKRKGLTETLGLRVLPEEGQKTSLTVTRVVTRVNEKEPGPVPEPHLLLSSVGSSGEGQEESKEKMKKSKIEELTKIGFHLCEGQKINVAPKRVSTVRLGNHVEPTPQLTPTKTEDGEESFQDDDDEDDDAANIVVPPHKPSPVNESSEVKMVSRLQPSTNSQVSLKNQQVSLQSAKVEEEPSEPVTPLVSKSPPLFLGDVYRGRNHHFVCNLKQCGTPQNEWYCPIESRKKDIEMHRFRCVRRFCRGEQWLTCVTENGLAAECHRFPCSDGKTCTSYFFFYCDEGQNPM
+>sp|Q9C0D7|ZC12C_HUMAN Probable ribonuclease ZC3H12C OS=Homo sapiens OX=9606 GN=ZC3H12C PE=1 SV=2
+MPGGGSQEYGVLCIQEYRKNSKVESSTRNNFMGLKDHLGHDLGHLYVESTDPQLSPAVPWSTVENPSMDTVNVGKDEKEASEENASSGDSEENTNSDHESEQLGSISVEPGLITKTHRQLCRSPCLEPHILKRNEILQDFKPEESQTTSKEAKKPPDVVREYQTKLEFALKLGYSEEQVQLVLNKLGTDALINDILGELVKLGNKSEADQTVSTINTITRETSSLESQRSESPMQEIVTDDGENLRPIVIDGSNVAMSHGNKEVFSCRGIKLAVDWFLERGHKDITVFVPAWRKEQSRPDALITDQEILRKLEKEKILVFTPSRRVQGRRVVCYDDRFIVKLAFESDGIIVSNDNYRDLANEKPEWKKFIDERLLMYSFVNDKFMPPDDPLGRHGPSLDNFLRKKPIVPEHKKQPCPYGKKCTYGHKCKYYHPERGSQPQRSVADELRAMSRNTAAKTANEGGLVKSNSVPCSTKADSTSDVKRGAPKRQSDPSIRTQVYQDLEEKLPTKNKLETRSVPSLVSIPATSTAKPQSTTSLSNGLPSGVHFPPQDQRPQGQYPSMMMATKNHGTPMPYEQYPKCDSPVDIGYYSMLNAYSNLSLSGPRSPERRFSLDTDYRISSVASDCSSEGSMSCGSSDSYVGYNDRSYVSSPDPQLEENLKCQHMHPHSRLNPQPFLQNFHDPLTRGQSYSHEEPKFHHKPPLPHLALHLPHSAVGARSSCPGDYPSPPSSAHSKAPHLGRSLVATRIDSISDSRLYDSSPSRQRKPYSRQEGLGSWERPGYGIDAYGYRQTYSLPDNSTQPCYEQFTFQSLPEQQEPAWRIPYCGMPQDPPRYQDNREKIYINLCNIFPPDLVRIVMKRNPHMTDAQQLAAAILVEKSQLGY
+>DECOY_sp|Q9C0D7|ZC12C_HUMAN Probable ribonuclease ZC3H12C OS=Homo sapiens OX=9606 GN=ZC3H12C PE=1 SV=2
+YGLQSKEVLIAAALQQADTMHPNRKMVIRVLDPPFINCLNIYIKERNDQYRPPDQPMGCYPIRWAPEQQEPLSQFTFQEYCPQTSNDPLSYTQRYGYADIGYGPREWSGLGEQRSYPKRQRSPSSDYLRSDSISDIRTAVLSRGLHPAKSHASSPPSPYDGPCSSRAGVASHPLHLALHPLPPKHHFKPEEHSYSQGRTLPDHFNQLFPQPNLRSHPHMHQCKLNEELQPDPSSVYSRDNYGVYSDSSGCSMSGESSCDSAVSSIRYDTDLSFRREPSRPGSLSLNSYANLMSYYGIDVPSDCKPYQEYPMPTGHNKTAMMMSPYQGQPRQDQPPFHVGSPLGNSLSTTSQPKATSTAPISVLSPVSRTELKNKTPLKEELDQYVQTRISPDSQRKPAGRKVDSTSDAKTSCPVSNSKVLGGENATKAATNRSMARLEDAVSRQPQSGREPHYYKCKHGYTCKKGYPCPQKKHEPVIPKKRLFNDLSPGHRGLPDDPPMFKDNVFSYMLLREDIFKKWEPKENALDRYNDNSVIIGDSEFALKVIFRDDYCVVRRGQVRRSPTFVLIKEKELKRLIEQDTILADPRSQEKRWAPVFVTIDKHGRELFWDVALKIGRCSFVEKNGHSMAVNSGDIVIPRLNEGDDTVIEQMPSESRQSELSSTERTITNITSVTQDAESKNGLKVLEGLIDNILADTGLKNLVLQVQEESYGLKLAFELKTQYERVVDPPKKAEKSTTQSEEPKFDQLIENRKLIHPELCPSRCLQRHTKTILGPEVSISGLQESEHDSNTNEESDGSSANEESAEKEDKGVNVTDMSPNEVTSWPVAPSLQPDTSEVYLHGLDHGLHDKLGMFNNRTSSEVKSNKRYEQICLVGYEQSGGGPM
+>sp|Q8N2G6|ZCH24_HUMAN Zinc finger CCHC domain-containing protein 24 OS=Homo sapiens OX=9606 GN=ZCCHC24 PE=1 SV=1
+MSLLSAIDTSAASVYQPAQLLNWVYLSLQDTHQASAFDAFRPEPTAGAAPPELAFGKGRPEQLGSPLHSSYLNSFFQLQRGEALSNSVYKGASPYGSLNNIADGLSSLTEHFSDLTLTSEARKPSKRPPPNYLCHLCFNKGHYIKDCPQARPKGEGLTPYQGKKRCFGEYKCPKCKRKWMSGNSWANMGQECIKCHINVYPHKQRPLEKPDGLDVSDQSKEHPQHLCEKCKVLGYYCRRVQ
+>DECOY_sp|Q8N2G6|ZCH24_HUMAN Zinc finger CCHC domain-containing protein 24 OS=Homo sapiens OX=9606 GN=ZCCHC24 PE=1 SV=1
+QVRRCYYGLVKCKECLHQPHEKSQDSVDLGDPKELPRQKHPYVNIHCKICEQGMNAWSNGSMWKRKCKPCKYEGFCRKKGQYPTLGEGKPRAQPCDKIYHGKNFCLHCLYNPPPRKSPKRAESTLTLDSFHETLSSLGDAINNLSGYPSAGKYVSNSLAEGRQLQFFSNLYSSHLPSGLQEPRGKGFALEPPAAGATPEPRFADFASAQHTDQLSLYVWNLLQAPQYVSAASTDIASLLSM
+>sp|Q8N3Z6|ZCHC7_HUMAN Zinc finger CCHC domain-containing protein 7 OS=Homo sapiens OX=9606 GN=ZCCHC7 PE=1 SV=2
+MMFGGYETIEAYEDDLYRDESSSELSVDSEVEFQLYSQIHYAQDLDDVIREEEHEEKNSGNSESSSSKPNQKKLIVLSDSEVIQLSDGSEVITLSDEDSIYRCKGKNVRVQAQENAHGLSSSLQSNELVDKKCKSDIEKPKSEERSGVIREVMIIEVSSSEEEESTISEGDNVESWMLLGCEVDDKDDDILLNLVGCENSVTEGEDGINWSISDKDIEAQIANNRTPGRWTQRYYSANKNIICRNCDKRGHLSKNCPLPRKVRRCFLCSRRGHLLYSCPAPLCEYCPVPKMLDHSCLFRHSWDKQCDRCHMLGHYTDACTEIWRQYHLTTKPGPPKKPKTPSRPSALAYCYHCAQKGHYGHECPEREVYDPSPVSPFICYYDDKYEIQEREKRLKQKIKVLKKNGVIPEPSKLPYIKAANENPHHDIRKGRASWKSNRWPQENKETQKEMKNKNRNWEKHRKADRHREVDEDFPRGPKTYSSPGSFKTQKPSKPFHRSSHYHTSREDKSPKEGKRGKQKKKERCWEDDDNDNLFLIKQRKKKS
+>DECOY_sp|Q8N3Z6|ZCHC7_HUMAN Zinc finger CCHC domain-containing protein 7 OS=Homo sapiens OX=9606 GN=ZCCHC7 PE=1 SV=2
+SKKKRQKILFLNDNDDDEWCREKKKQKGRKGEKPSKDERSTHYHSSRHFPKSPKQTKFSGPSSYTKPGRPFDEDVERHRDAKRHKEWNRNKNKMEKQTEKNEQPWRNSKWSARGKRIDHHPNENAAKIYPLKSPEPIVGNKKLVKIKQKLRKEREQIEYKDDYYCIFPSVPSPDYVEREPCEHGYHGKQACHYCYALASPRSPTKPKKPPGPKTTLHYQRWIETCADTYHGLMHCRDCQKDWSHRFLCSHDLMKPVPCYECLPAPCSYLLHGRRSCLFCRRVKRPLPCNKSLHGRKDCNRCIINKNASYYRQTWRGPTRNNAIQAEIDKDSISWNIGDEGETVSNECGVLNLLIDDDKDDVECGLLMWSEVNDGESITSEEEESSSVEIIMVERIVGSREESKPKEIDSKCKKDVLENSQLSSSLGHANEQAQVRVNKGKCRYISDEDSLTIVESGDSLQIVESDSLVILKKQNPKSSSSESNGSNKEEHEEERIVDDLDQAYHIQSYLQFEVESDVSLESSSEDRYLDDEYAEITEYGGFMM
+>sp|Q9H8X9|ZDH11_HUMAN Probable palmitoyltransferase ZDHHC11 OS=Homo sapiens OX=9606 GN=ZDHHC11 PE=2 SV=1
+MDTRSGSQCSVTPEAILNNEKLVLPPRISRVNGWSLPLHYFQVVTWAVFVGLSSATFGIFIPFLPHAWKYIAYVVTGGIFSFHLVVHLIASCIDPADSNVRLMKNYSQPMPLFDRSKHAHVIQNQFCHLCKVTVNKKTKHCISCNKCVSGFDHHCKWINNCVGSRNYWFFFSTVASATAGMLCLIAILLYVLVQYLVNPGVLRTDPRYEDVKNMNTWLLFLPLFPVQVQTLIVVIIGMLVLLLDFLGLVHLGQLLIFHIYLKAKKMTTFEYLINNRKEESSKHQAVRKDPYVQMDKGVLQQGAGALGSSAQGVKAKSSLLIHKHLCHFCTSVNQDGDSTAREGDEDPCPSALGAKARNSRLICRRLCQFSTRVHPDGGSMAQEADDAPSISTLGLQQETTEPMKTDSAESED
+>DECOY_sp|Q9H8X9|ZDH11_HUMAN Probable palmitoyltransferase ZDHHC11 OS=Homo sapiens OX=9606 GN=ZDHHC11 PE=2 SV=1
+DESEASDTKMPETTEQQLGLTSISPADDAEQAMSGGDPHVRTSFQCLRRCILRSNRAKAGLASPCPDEDGERATSDGDQNVSTCFHCLHKHILLSSKAKVGQASSGLAGAGQQLVGKDMQVYPDKRVAQHKSSEEKRNNILYEFTTMKKAKLYIHFILLQGLHVLGLFDLLLVLMGIIVVILTQVQVPFLPLFLLWTNMNKVDEYRPDTRLVGPNVLYQVLVYLLIAILCLMGATASAVTSFFFWYNRSGVCNNIWKCHHDFGSVCKNCSICHKTKKNVTVKCLHCFQNQIVHAHKSRDFLPMPQSYNKMLRVNSDAPDICSAILHVVLHFSFIGGTVVYAIYKWAHPLFPIFIGFTASSLGVFVAWTVVQFYHLPLSWGNVRSIRPPLVLKENNLIAEPTVSCQSGSRTDM
+>sp|Q8WVZ1|ZDH19_HUMAN Probable palmitoyltransferase ZDHHC19 OS=Homo sapiens OX=9606 GN=ZDHHC19 PE=2 SV=2
+MTLLTDATPLVKEPHPLPLVPRPWFLPSLFAAFNVVLLVFFSGLFFAFPCRWLAQNGEWAFPVITGSLFVLTFFSLVSLNFSDPGILHQGSAEQGPLTVHVVWVNHGAFRLQWCPKCCFHRPPRTYHCPWCNICVEDFDHHCKWVNNCIGHRNFRFFMLLVLSLCLYSGAMLVTCLIFLVRTTHLPFSTDKAIAIVVAVSAAGLLVPLSLLLLIQALSVSSADRTYKGKCRHLQGYNPFDQGCASNWYLTICAPLGPKYMAEAVQLQRVVGPDWTSMPNLHPPMSPSALNPPAPTSGSLQSREGTPGAW
+>DECOY_sp|Q8WVZ1|ZDH19_HUMAN Probable palmitoyltransferase ZDHHC19 OS=Homo sapiens OX=9606 GN=ZDHHC19 PE=2 SV=2
+WAGPTGERSQLSGSTPAPPNLASPSMPPHLNPMSTWDPGVVRQLQVAEAMYKPGLPACITLYWNSACGQDFPNYGQLHRCKGKYTRDASSVSLAQILLLLSLPVLLGAASVAVVIAIAKDTSFPLHTTRVLFILCTVLMAGSYLCLSLVLLMFFRFNRHGICNNVWKCHHDFDEVCINCWPCHYTRPPRHFCCKPCWQLRFAGHNVWVVHVTLPGQEASGQHLIGPDSFNLSVLSFFTLVFLSGTIVPFAWEGNQALWRCPFAFFLGSFFVLLVVNFAAFLSPLFWPRPVLPLPHPEKVLPTADTLLTM
+>sp|Q5W0Z9|ZDH20_HUMAN Palmitoyltransferase ZDHHC20 OS=Homo sapiens OX=9606 GN=ZDHHC20 PE=1 SV=1
+MAPWTLWRCCQRVVGWVPVLFITFVVVWSYYAYVVELCVFTIFGNEENGKTVVYLVAFHLFFVMFVWSYWMTIFTSPASPSKEFYLSNSEKERYEKEFSQERQQEILRRAARALPIYTTSASKTIRYCEKCQLIKPDRAHHCSACDSCILKMDHHCPWVNNCVGFSNYKFFLLFLLYSLLYCLFVAATVLEYFIKFWTNELTDTRAKFHVLFLFFVSAMFFISVLSLFSYHCWLVGKNRTTIESFRAPTFSYGPDGNGFSLGCSKNWRQVFGDEKKYWLLPIFSSLGDGCSFPTRLVGMDPEQASVTNQNEYARSSGSNQPFPIKPLSESKNRLLDSESQWLENGAEEGIVKSGTNNHVTVAIEN
+>DECOY_sp|Q5W0Z9|ZDH20_HUMAN Palmitoyltransferase ZDHHC20 OS=Homo sapiens OX=9606 GN=ZDHHC20 PE=1 SV=1
+NEIAVTVHNNTGSKVIGEEAGNELWQSESDLLRNKSESLPKIPFPQNSGSSRAYENQNTVSAQEPDMGVLRTPFSCGDGLSSFIPLLWYKKEDGFVQRWNKSCGLSFGNGDPGYSFTPARFSEITTRNKGVLWCHYSFLSLVSIFFMASVFFLFLVHFKARTDTLENTWFKIFYELVTAAVFLCYLLSYLLFLLFFKYNSFGVCNNVWPCHHDMKLICSDCASCHHARDPKILQCKECYRITKSASTTYIPLARAARRLIEQQREQSFEKEYREKESNSLYFEKSPSAPSTFITMWYSWVFMVFFLHFAVLYVVTKGNEENGFITFVCLEVVYAYYSWVVVFTIFLVPVWGVVRQCCRWLTWPAM
+>sp|Q8N966|ZDH22_HUMAN Palmitoyltransferase ZDHHC22 OS=Homo sapiens OX=9606 GN=ZDHHC22 PE=1 SV=2
+MLALRLLNVVAPAYFLCISLVTFVLQLFLFLPSMREDPAAARLFSPALLHGALFLFLSANALGNYVLVIQNSPDDLGACQGASARKTPCPSPSTHFCRVCARVTLRHDHHCFFTGNCIGSRNMRNFVLFCLYTSLACLYSMVAGVAYISAVLSISFAHPLAFLTLLPTSISQFFSGAVLGSEMFVILMLYLWFAIGLACAGFCCHQLLLILRGQTRHQVRKGVAVRARPWRKNLQEVFGKRWLLGLLVPMFNVGSESSKQQDK
+>DECOY_sp|Q8N966|ZDH22_HUMAN Palmitoyltransferase ZDHHC22 OS=Homo sapiens OX=9606 GN=ZDHHC22 PE=1 SV=2
+KDQQKSSESGVNFMPVLLGLLWRKGFVEQLNKRWPRARVAVGKRVQHRTQGRLILLLQHCCFGACALGIAFWLYLMLIVFMESGLVAGSFFQSISTPLLTLFALPHAFSISLVASIYAVGAVMSYLCALSTYLCFLVFNRMNRSGICNGTFFCHHDHRLTVRACVRCFHTSPSPCPTKRASAGQCAGLDDPSNQIVLVYNGLANASLFLFLAGHLLAPSFLRAAAPDERMSPLFLFLQLVFTVLSICLFYAPAVVNLLRLALM
+>sp|Q8IYP9|ZDH23_HUMAN Palmitoyltransferase ZDHHC23 OS=Homo sapiens OX=9606 GN=ZDHHC23 PE=1 SV=3
+MTQKGSMKPVKKKKTEEPELEPLCCCEYIDRNGEKNHVATCLCDCQDLDEGCDRWITCKSLQPETCERIMDTISDRLRIPWLRGAKKVNISIIPPLVLLPVFLHVASWHFLLGVVVLTSLPVLALWYYYLTHRRKEQTLFFLSLGLFSLGYMYYVFLQEVVPKGRVGPVQLAVLTCGLFLILLALHRAKKNPGYLSNPASGDRSLSSSQLECLSRKGQEKTKGFPGADMSGSLNNRTTKDDPKGSSKMPAGSPTKAKEDWCAKCQLVRPARAWHCRICGICVRRMDHHCVWINSCVGESNHQAFILALLIFLLTSVYGITLTLDTICRDRSVFTALFYCPGVYANYSSALSFTCVWYSVIITAGMAYIFLIQLINISYNVTEREVQQALRQKTGRRLLCGLIVDTGLLG
+>DECOY_sp|Q8IYP9|ZDH23_HUMAN Palmitoyltransferase ZDHHC23 OS=Homo sapiens OX=9606 GN=ZDHHC23 PE=1 SV=3
+GLLGTDVILGCLLRRGTKQRLAQQVERETVNYSINILQILFIYAMGATIIVSYWVCTFSLASSYNAYVGPCYFLATFVSRDRCITDLTLTIGYVSTLLFILLALIFAQHNSEGVCSNIWVCHHDMRRVCIGCIRCHWARAPRVLQCKACWDEKAKTPSGAPMKSSGKPDDKTTRNNLSGSMDAGPFGKTKEQGKRSLCELQSSSLSRDGSAPNSLYGPNKKARHLALLILFLGCTLVALQVPGVRGKPVVEQLFVYYMYGLSFLGLSLFFLTQEKRRHTLYYYWLALVPLSTLVVVGLLFHWSAVHLFVPLLVLPPIISINVKKAGRLWPIRLRDSITDMIRECTEPQLSKCTIWRDCGEDLDQCDCLCTAVHNKEGNRDIYECCCLPELEPEETKKKKVPKMSGKQTM
+>sp|Q6UX98|ZDH24_HUMAN Probable palmitoyltransferase ZDHHC24 OS=Homo sapiens OX=9606 GN=ZDHHC24 PE=1 SV=1
+MGQPWAAGSTDGAPAQLPLVLTALWAAAVGLELAYVLVLGPGPPPLGPLARALQLALAAFQLLNLLGNVGLFLRSDPSIRGVMLAGRGLGQGWAYCYQCQSQVPPRSGHCSACRVCILRRDHHCRLLGRCVGFGNYRPFLCLLLHAAGVLLHVSVLLGPALSALLRAHTPLHMAALLLLPWLMLLTGRVSLAQFALAFVTDTCVAGALLCGAGLLFHGMLLLRGQTTWEWARGQHSYDLGPCHNLQAALGPRWALVWLWPFLASPLPGDGITFQTTADVGHTAS
+>DECOY_sp|Q6UX98|ZDH24_HUMAN Probable palmitoyltransferase ZDHHC24 OS=Homo sapiens OX=9606 GN=ZDHHC24 PE=1 SV=1
+SATHGVDATTQFTIGDGPLPSALFPWLWVLAWRPGLAAQLNHCPGLDYSHQGRAWEWTTQGRLLLMGHFLLGAGCLLAGAVCTDTVFALAFQALSVRGTLLMLWPLLLLAAMHLPTHARLLASLAPGLLVSVHLLVGAAHLLLCLFPRYNGFGVCRGLLRCHHDRRLICVRCASCHGSRPPVQSQCQYCYAWGQGLGRGALMVGRISPDSRLFLGVNGLLNLLQFAALALQLARALPGLPPPGPGLVLVYALELGVAAAWLATLVLPLQAPAGDTSGAAWPQGM
+>sp|Q9UIJ5|ZDHC2_HUMAN Palmitoyltransferase ZDHHC2 OS=Homo sapiens OX=9606 GN=ZDHHC2 PE=1 SV=1
+MAPSGPGSSARRRCRRVLYWIPVVFITLLLGWSYYAYAIQLCIVSMENTGEQVVCLMAYHLLFAMFVWSYWKTIFTLPMNPSKEFHLSYAEKDLLEREPRGEAHQEVLRRAAKDLPIYTRTMSGAIRYCDRCQLIKPDRCHHCSVCDKCILKMDHHCPWVNNCVGFSNYKFFLLFLAYSLLYCLFIAATDLQYFIKFWTNGLPDTQAKFHIMFLFFAAAMFSVSLSSLFGYHCWLVSKNKSTLEAFRSPVFRHGTDKNGFSLGFSKNMRQVFGDEKKYWLLPIFSSLGDGCSFPTCLVNQDPEQASTPAGLNSTAKNLENHQFPAKPLRESQSHLLTDSQSWTESSINPGKCKAGMSNPALTMENET
+>DECOY_sp|Q9UIJ5|ZDHC2_HUMAN Palmitoyltransferase ZDHHC2 OS=Homo sapiens OX=9606 GN=ZDHHC2 PE=1 SV=1
+TENEMTLAPNSMGAKCKGPNISSETWSQSDTLLHSQSERLPKAPFQHNELNKATSNLGAPTSAQEPDQNVLCTPFSCGDGLSSFIPLLWYKKEDGFVQRMNKSFGLSFGNKDTGHRFVPSRFAELTSKNKSVLWCHYGFLSSLSVSFMAAAFFLFMIHFKAQTDPLGNTWFKIFYQLDTAAIFLCYLLSYALFLLFFKYNSFGVCNNVWPCHHDMKLICKDCVSCHHCRDPKILQCRDCYRIAGSMTRTYIPLDKAARRLVEQHAEGRPERELLDKEAYSLHFEKSPNMPLTFITKWYSWVFMAFLLHYAMLCVVQEGTNEMSVICLQIAYAYYSWGLLLTIFVVPIWYLVRRCRRRASSGPGSPAM
+>sp|Q9NXF8|ZDHC7_HUMAN Palmitoyltransferase ZDHHC7 OS=Homo sapiens OX=9606 GN=ZDHHC7 PE=1 SV=2
+MQPSGHRLRDVEHHPLLAENDNYDSSSSSSSEADVADRVWFIRDGCGMICAVMTWLLVAYADFVVTFVMLLPSKDFWYSVVNGVIFNCLAVLALSSHLRTMLTDPGAVPKGNATKEYMESLQLKPGEVIYKCPKCCCIKPERAHHCSICKRCIRKMDHHCPWVNNCVGEKNQRFFVLFTMYIALSSVHALILCGFQFISCVRGQWTECSDFSPPITVILLIFLCLEGLLFFTFTAVMFGTQIHSICNDETEIERLKSEKPTWERRLRWEGMKSVFGGPPSLLWMNPFVGFRFRRLPTRPRKGGPEFSV
+>DECOY_sp|Q9NXF8|ZDHC7_HUMAN Palmitoyltransferase ZDHHC7 OS=Homo sapiens OX=9606 GN=ZDHHC7 PE=1 SV=2
+VSFEPGGKRPRTPLRRFRFGVFPNMWLLSPPGGFVSKMGEWRLRREWTPKESKLREIETEDNCISHIQTGFMVATFTFFLLGELCLFILLIVTIPPSFDSCETWQGRVCSIFQFGCLILAHVSSLAIYMTFLVFFRQNKEGVCNNVWPCHHDMKRICRKCISCHHAREPKICCCKPCKYIVEGPKLQLSEMYEKTANGKPVAGPDTLMTRLHSSLALVALCNFIVGNVVSYWFDKSPLLMVFTVVFDAYAVLLWTMVACIMGCGDRIFWVRDAVDAESSSSSSSDYNDNEALLPHHEVDRLRHGSPQM
+>sp|Q9ULC8|ZDHC8_HUMAN Probable palmitoyltransferase ZDHHC8 OS=Homo sapiens OX=9606 GN=ZDHHC8 PE=1 SV=3
+MPRSPGTRLKPAKYIPVATAAALLVGSSTLFFVFTCPWLTRAVSPAVPVYNGIIFLFVLANFSMATFMDPGVFPRADEDEDKEDDFRAPLYKNVDVRGIQVRMKWCATCHFYRPPRCSHCSVCDNCVEDFDHHCPWVNNCIGRRNYRYFFLFLLSLSAHMVGVVAFGLVYVLNHAEGLGAAHTTITMAVMCVAGLFFIPVIGLTGFHVVLVTRGRTTNEQVTGKFRGGVNPFTRGCCGNVEHVLCSPLAPRYVVEPPRLPLAVSLKPPFLRPELLDRAAPLKVKLSDNGLKAGLGRSKSKGSLDRLDEKPLDLGPPLPPKIEAGTFSSDLQTPRPGSAESALSVQRTSPPTPAMYKFRPAFPTGPKVPFCGPGEQVPGPDSLTLGDDSIRSLDFVSEPSLDLPDYGPGGLHAAYPPSPPLSASDAFSGALRSLSLKASSRRGGDHVALQPLRSEGGPPTPHRSIFAPHALPNRNGSLSYDSLLNPGSPGGHACPAHPAVGVAGYHSPYLHPGATGDPPRPLPRSFSPVLGPRPREPSPVRYDNLSRTIMASIQERKDREERERLLRSQADSLFGDSGVYDAPSSYSLQQASVLSEGPRGPALRYGSRDDLVAGPGFGGARNPALQTSLSSLSSSVSRAPRTSSSSLQADQASSNAPGPRPSSGSHRSPARQGLPSPPGTPHSPSYAGPKAVAFIHTDLPEPPPSLTVQRDHPQLKTPPSKLNGQSPGLARLGPATGPPGPSASPTRHTLVKKVSGVGGTTYEISV
+>DECOY_sp|Q9ULC8|ZDHC8_HUMAN Probable palmitoyltransferase ZDHHC8 OS=Homo sapiens OX=9606 GN=ZDHHC8 PE=1 SV=3
+VSIEYTTGGVGSVKKVLTHRTPSASPGPPGTAPGLRALGPSQGNLKSPPTKLQPHDRQVTLSPPPEPLDTHIFAVAKPGAYSPSHPTGPPSPLGQRAPSRHSGSSPRPGPANSSAQDAQLSSSSTRPARSVSSSLSSLSTQLAPNRAGGFGPGAVLDDRSGYRLAPGRPGESLVSAQQLSYSSPADYVGSDGFLSDAQSRLLREREERDKREQISAMITRSLNDYRVPSPERPRPGLVPSFSRPLPRPPDGTAGPHLYPSHYGAVGVAPHAPCAHGGPSGPNLLSDYSLSGNRNPLAHPAFISRHPTPPGGESRLPQLAVHDGGRRSSAKLSLSRLAGSFADSASLPPSPPYAAHLGGPGYDPLDLSPESVFDLSRISDDGLTLSDPGPVQEGPGCFPVKPGTPFAPRFKYMAPTPPSTRQVSLASEASGPRPTQLDSSFTGAEIKPPLPPGLDLPKEDLRDLSGKSKSRGLGAKLGNDSLKVKLPAARDLLEPRLFPPKLSVALPLRPPEVVYRPALPSCLVHEVNGCCGRTFPNVGGRFKGTVQENTTRGRTVLVVHFGTLGIVPIFFLGAVCMVAMTITTHAAGLGEAHNLVYVLGFAVVGVMHASLSLLFLFFYRYNRRGICNNVWPCHHDFDEVCNDCVSCHSCRPPRYFHCTACWKMRVQIGRVDVNKYLPARFDDEKDEDEDARPFVGPDMFTAMSFNALVFLFIIGNYVPVAPSVARTLWPCTFVFFLTSSGVLLAAATAVPIYKAPKLRTGPSRPM
+>sp|Q7L0L9|YA043_HUMAN Transmembrane protein LOC653160 OS=Homo sapiens OX=9606 PE=2 SV=2
+MKGLRVAKAFQPSQGCSRQALGHLSGRGPSPRSEMNSSVGDLGVGGCSLWDDPARFIVVPAAYALALGLGLPANVAALAMFIRSGGRLGQALLLYLFNLALVDEFFTLTLQLWLTYYLGLARRPPATRPGPPTTCPPMRRWSSPRSSACAAAASYAVPGPGRLPAWPGAYGAPRALPAPSPGWRAWPLPAWSTAGQARGWPPPRWPSRPPSCWCSRPT
+>DECOY_sp|Q7L0L9|YA043_HUMAN Transmembrane protein LOC653160 OS=Homo sapiens OX=9606 PE=2 SV=2
+TPRSCWCSPPRSPWRPPPWGRAQGATSWAPLPWARWGPSPAPLARPAGYAGPWAPLRGPGPVAYSAAAACASSRPSSWRRMPPCTTPPGPRTAPPRRALGLYYTLWLQLTLTFFEDVLALNFLYLLLAQGLRGGSRIFMALAAVNAPLGLGLALAYAAPVVIFRAPDDWLSCGGVGLDGVSSNMESRPSPGRGSLHGLAQRSCGQSPQFAKAVRLGKM
+>sp|Q6UWF5|YF002_HUMAN Putative uncharacterized protein UNQ5815/PRO19632 OS=Homo sapiens OX=9606 GN=UNQ5815/PRO19632 PE=4 SV=1
+MQIQNNLFFCCYTVMSAIFKWLLLYSLPALCFLLGTQESESFHSKAEILVTLSQVIISPAGPHALTWTTHFSPSVIIILVPCWWHAVIVTQHPVANCYVTNHLNIQWLELKAGS
+>DECOY_sp|Q6UWF5|YF002_HUMAN Putative uncharacterized protein UNQ5815/PRO19632 OS=Homo sapiens OX=9606 GN=UNQ5815/PRO19632 PE=4 SV=1
+SGAKLELWQINLHNTVYCNAVPHQTVIVAHWWCPVLIIIVSPSFHTTWTLAHPGAPSIIVQSLTVLIEAKSHFSESEQTGLLFCLAPLSYLLLWKFIASMVTYCCFFLNNQIQM
+>sp|A8MXQ7|YH010_HUMAN Putative IQ motif and ankyrin repeat domain-containing protein LOC642574 OS=Homo sapiens OX=9606 PE=5 SV=2
+MQEGPSAFREGCPAGDSTPSSPFLGAPELRARRGSQDPRRRGAGEVPADLGPLRVPGSRAASSCGLCGREPRLGRAGGFESRPAEDRAARAIQGAFRQLRARRELARRREERREYLEQMETPQKEAYLAPVRREQEAARRLREQEEAAQRERREELQRRRRLLDAAFDGDVGEIRAVLKEVEQLLTREGVGHDEAGEARRLQRRVALAECEDSYGNTPLSEAAAGGQPLAIQLRAELGASPNSKGAFGPTPLYRAAFGGHLAAVEVLLKLGADPRVYAEDGSTPERVASLDTVVSVLRSWDLSLTEAMLQNMEAEQQRRAQEAQRHKEAEAERMTLKVQQLTREQQQCHKELQQAYCELSRRISEHDQCEWRCMDKTKLTLQAIKDTEAQVDRLRQEAQKAEEALAMARLELREQTQEGEEEAPGLKCQVTELHDVLMKDVGNRIRADGRSVLRARRGRFGVWTDSSDLMGVPRWPLVIDPLGQAATFLRYQDTNYVDTVNPEPLRPETMWLALLGALRYGKPLVFDLREEDLFPVVQRQLEAVQERYLSLLRPTDGPEYSPTQFQEQRLEHFRLFFVTKVQWPPAEQLQVLLPVRVQLPGTGL
+>DECOY_sp|A8MXQ7|YH010_HUMAN Putative IQ motif and ankyrin repeat domain-containing protein LOC642574 OS=Homo sapiens OX=9606 PE=5 SV=2
+LGTGPLQVRVPLLVQLQEAPPWQVKTVFFLRFHELRQEQFQTPSYEPGDTPRLLSLYREQVAELQRQVVPFLDEERLDFVLPKGYRLAGLLALWMTEPRLPEPNVTDVYNTDQYRLFTAAQGLPDIVLPWRPVGMLDSSDTWVGFRGRRARLVSRGDARIRNGVDKMLVDHLETVQCKLGPAEEEGEQTQERLELRAMALAEEAKQAEQRLRDVQAETDKIAQLTLKTKDMCRWECQDHESIRRSLECYAQQLEKHCQQQERTLQQVKLTMREAEAEKHRQAEQARRQQEAEMNQLMAETLSLDWSRLVSVVTDLSAVREPTSGDEAYVRPDAGLKLLVEVAALHGGFAARYLPTPGFAGKSNPSAGLEARLQIALPQGGAAAESLPTNGYSDECEALAVRRQLRRAEGAEDHGVGERTLLQEVEKLVARIEGVDGDFAADLLRRRRQLEERRERQAAEEQERLRRAAEQERRVPALYAEKQPTEMQELYERREERRRALERRARLQRFAGQIARAARDEAPRSEFGGARGLRPERGCLGCSSAARSGPVRLPGLDAPVEGAGRRRPDQSGRRARLEPAGLFPSSPTSDGAPCGERFASPGEQM
+>sp|Q6ZVU0|YK022_HUMAN Putative uncharacterized protein FLJ42102 OS=Homo sapiens OX=9606 PE=5 SV=1
+MVTKWWGQDPPVQLRSQLMLWIMELILWKFQSSVVGSSVVTWKISDVAGPHGDHSCSLQHLEQIIGSVSNYPRYTGSRSCDRGENPVSLSKRIKCTWMLGASGFPPRCPSGRDHSRDHPGKSQVPALELANQLAAWGLWSSVVKSWGFRAGETEAPISALSSAHA
+>DECOY_sp|Q6ZVU0|YK022_HUMAN Putative uncharacterized protein FLJ42102 OS=Homo sapiens OX=9606 PE=5 SV=1
+AHASSLASIPAETEGARFGWSKVVSSWLGWAALQNALELAPVQSKGPHDRSHDRGSPCRPPFGSAGLMWTCKIRKSLSVPNEGRDCSRSGTYRPYNSVSGIIQELHQLSCSHDGHPGAVDSIKWTVVSSGVVSSQFKWLILEMIWLMLQSRLQVPPDQGWWKTVM
+>sp|Q6ZTI0|YK032_HUMAN Putative uncharacterized protein FLJ44636 OS=Homo sapiens OX=9606 PE=5 SV=1
+MPLPGTPGPVTTSPQTPTPRPLTTDWRILSGKGSGGSARAVSKLRSSSSGNSLLRIRDLGVRKSQEEAAPPSPRPQSRAHAQTTNPYWADTNTRPGAHPPEHGGVAALPAQVVGQCHQEATED
+>DECOY_sp|Q6ZTI0|YK032_HUMAN Putative uncharacterized protein FLJ44636 OS=Homo sapiens OX=9606 PE=5 SV=1
+DETAEQHCQGVVQAPLAAVGGHEPPHAGPRTNTDAWYPNTTQAHARSQPRPSPPAAEEQSKRVGLDRIRLLSNGSSSSRLKSVARASGGSGKGSLIRWDTTLPRPTPTQPSTTVPGPTGPLPM
+>sp|Q0VFX4|YL016_HUMAN Putative uncharacterized protein LOC100128554 OS=Homo sapiens OX=9606 PE=2 SV=1
+MLKKPSSLEQWEILGTSSGEFRCISRDCPGAGNNNREPSISTRGRTSSSKMVLPHPKVAEEAVGGPQSCKWLSCGLQGTGGGHLEGHPPRVSQESAPAGHTGISPSSSGVHLIQAKTAGWPQRVSSAEQCLLPIQHVPGADFLHVFTLRLHCGPARNAKLVEALFNSNSSC
+>DECOY_sp|Q0VFX4|YL016_HUMAN Putative uncharacterized protein LOC100128554 OS=Homo sapiens OX=9606 PE=2 SV=1
+CSSNSNFLAEVLKANRAPGCHLRLTFVHLFDAGPVHQIPLLCQEASSVRQPWGATKAQILHVGSSSPSIGTHGAPASEQSVRPPHGELHGGGTGQLGCSLWKCSQPGGVAEEAVKPHPLVMKSSSTRGRTSISPERNNNGAGPCDRSICRFEGSSTGLIEWQELSSPKKLM
+>sp|Q96TA2|YMEL1_HUMAN ATP-dependent zinc metalloprotease YME1L1 OS=Homo sapiens OX=9606 GN=YME1L1 PE=1 SV=2
+MFSLSSTVQPQVTVPLSHLINAFHTPKNTSVSLSGVSVSQNQHRDVVPEHEAPSSECMFSDFLTKLNIVSIGKGKIFEGYRSMFMEPAKRMKKSLDTTDNWHIRPEPFSLSIPPSLNLRDLGLSELKIGQIDQLVENLLPGFCKGKNISSHWHTSHVSAQSFFENKYGNLDIFSTLRSSCLYRHHSRALQSICSDLQYWPVFIQSRGFKTLKSRTRRLQSTSERLAETQNIAPSFVKGFLLRDRGSDVESLDKLMKTKNIPEAHQDAFKTGFAEGFLKAQALTQKTNDSLRRTRLILFVLLLFGIYGLLKNPFLSVRFRTTTGLDSAVDPVQMKNVTFEHVKGVEEAKQELQEVVEFLKNPQKFTILGGKLPKGILLVGPPGTGKTLLARAVAGEADVPFYYASGSEFDEMFVGVGASRIRNLFREAKANAPCVIFIDELDSVGGKRIESPMHPYSRQTINQLLAEMDGFKPNEGVIIIGATNFPEALDNALIRPGRFDMQVTVPRPDVKGRTEILKWYLNKIKFDQSVDPEIIARGTVGFSGAELENLVNQAALKAAVDGKEMVTMKELEFSKDKILMGPERRSVEIDNKNKTITAYHESGHAIIAYYTKDAMPINKATIMPRGPTLGHVSLLPENDRWNETRAQLLAQMDVSMGGRVAEELIFGTDHITTGASSDFDNATKIAKRMVTKFGMSEKLGVMTYSDTGKLSPETQSAIEQEIRILLRDSYERAKHILKTHAKEHKNLAEALLTYETLDAKEIQIVLEGKKLEVR
+>DECOY_sp|Q96TA2|YMEL1_HUMAN ATP-dependent zinc metalloprotease YME1L1 OS=Homo sapiens OX=9606 GN=YME1L1 PE=1 SV=2
+RVELKKGELVIQIEKADLTEYTLLAEALNKHEKAHTKLIHKAREYSDRLLIRIEQEIASQTEPSLKGTDSYTMVGLKESMGFKTVMRKAIKTANDFDSSAGTTIHDTGFILEEAVRGGMSVDMQALLQARTENWRDNEPLLSVHGLTPGRPMITAKNIPMADKTYYAIIAHGSEHYATITKNKNDIEVSRREPGMLIKDKSFELEKMTVMEKGDVAAKLAAQNVLNELEAGSFGVTGRAIIEPDVSQDFKIKNLYWKLIETRGKVDPRPVTVQMDFRGPRILANDLAEPFNTAGIIIVGENPKFGDMEALLQNITQRSYPHMPSEIRKGGVSDLEDIFIVCPANAKAERFLNRIRSAGVGVFMEDFESGSAYYFPVDAEGAVARALLTKGTGPPGVLLIGKPLKGGLITFKQPNKLFEVVEQLEQKAEEVGKVHEFTVNKMQVPDVASDLGTTTRFRVSLFPNKLLGYIGFLLLVFLILRTRRLSDNTKQTLAQAKLFGEAFGTKFADQHAEPINKTKMLKDLSEVDSGRDRLLFGKVFSPAINQTEALRESTSQLRRTRSKLTKFGRSQIFVPWYQLDSCISQLARSHHRYLCSSRLTSFIDLNGYKNEFFSQASVHSTHWHSSINKGKCFGPLLNEVLQDIQGIKLESLGLDRLNLSPPISLSFPEPRIHWNDTTDLSKKMRKAPEMFMSRYGEFIKGKGISVINLKTLFDSFMCESSPAEHEPVVDRHQNQSVSVGSLSVSTNKPTHFANILHSLPVTVQPQVTSSLSFM
+>sp|Q6ZTK2|YP015_HUMAN Putative uncharacterized protein LOC400499 OS=Homo sapiens OX=9606 PE=2 SV=1
+MGWSDLQPAMGGEAERFQAQLEVKLVTGGSPVVFTGNLTRQVGSKLAFSASLSHLLSDQANVTALLERKEENGRRVAALGAELFVPGLVGLRALGLLQQQGQLWTNSLRIQYSLLGQAKQAAHECSTSQKLRADSGSDGAYRLELRHELHCTQILAFSHKVQLWHEEDSGHLHSQLEVSYGKQWDKNSNKRHLRVSQTFKNDSGPALSNHFMEFVLQVPERQVDCRVQLYHLSLRLPYVESSSHLKVQYNGRPLFVAGGQWKDTSRATLWKWEGVLNLDSPWLMVSAAHRLYWPHRAVFQAVLELTLGKAWTLKDLVVSVGCRSQGPNREGKIQVYTAATTYLRVSTVTVLAQSLFHSWSELESAWNTAVQGEIHAENSRDRKILNCWLKGPQQELNLTAAYRHLEWPRKTQVSLTAVWIGAQGQPRGLQLEGELEELRQDRTLYRKRGALLLRHPLHLPIPQSLLLQETFTADRRHQRYSLETRVVLNGREETLQTMVLGCQAGHPYVCAGLMHPYDGKVIPRNTEGCLVTWNQHTSLALLSGLESGVQ
+>DECOY_sp|Q6ZTK2|YP015_HUMAN Putative uncharacterized protein LOC400499 OS=Homo sapiens OX=9606 PE=2 SV=1
+QVGSELGSLLALSTHQNWTVLCGETNRPIVKGDYPHMLGACVYPHGAQCGLVMTQLTEERGNLVVRTELSYRQHRRDATFTEQLLLSQPIPLHLPHRLLLAGRKRYLTRDQRLEELEGELQLGRPQGQAGIWVATLSVQTKRPWELHRYAATLNLEQQPGKLWCNLIKRDRSNEAHIEGQVATNWASELESWSHFLSQALVTVTSVRLYTTAATYVQIKGERNPGQSRCGVSVVLDKLTWAKGLTLELVAQFVARHPWYLRHAASVMLWPSDLNLVGEWKWLTARSTDKWQGGAVFLPRGNYQVKLHSSSEVYPLRLSLHYLQVRCDVQREPVQLVFEMFHNSLAPGSDNKFTQSVRLHRKNSNKDWQKGYSVELQSHLHGSDEEHWLQVKHSFALIQTCHLEHRLELRYAGDSGSDARLKQSTSCEHAAQKAQGLLSYQIRLSNTWLQGQQQLLGLARLGVLGPVFLEAGLAAVRRGNEEKRELLATVNAQDSLLHSLSASFALKSGVQRTLNGTFVVPSGGTVLKVELQAQFREAEGGMAPQLDSWGM
+>sp|Q8N6K4|YP021_HUMAN Putative uncharacterized protein MGC34800 OS=Homo sapiens OX=9606 PE=2 SV=1
+MGDLPWAPPEAQAPSTAGAGDVAEHQVAPARFLQGAWRQAAGWLCRETGAAPGSAQAGPPETAHAADPQPRGPQAPPRLPPSLSPERVHPGQPAAPAEPAPGAPALRSGPSQPRGLRLPVPVPACAGSSAPGSPAALPDSYPWPPPARNRPATLPPTSRVSPLAAFLASAPQR
+>DECOY_sp|Q8N6K4|YP021_HUMAN Putative uncharacterized protein MGC34800 OS=Homo sapiens OX=9606 PE=2 SV=1
+RQPASALFAALPSVRSTPPLTAPRNRAPPPWPYSDPLAAPSGPASSGACAPVPVPLRLGRPQSPGSRLAPAGPAPEAPAAPQGPHVREPSLSPPLRPPAQPGRPQPDAAHATEPPGAQASGPAAGTERCLWGAAQRWAGQLFRAPAVQHEAVDGAGATSPAQAEPPAWPLDGM
+>sp|A8MXK9|YQ018_HUMAN Uncharacterized protein ENSP00000382033 OS=Homo sapiens OX=9606 PE=2 SV=2
+MLCPCIQESTFETCLFMAVSRMSKWRLSRVGGSRSLPAEMEVLGEVWCVRAEEQPMTGLGIVWHSPLDKALETWSLQQQPDFSLGLGHLGLGTDATWMQSFPGSGGDAQAGTGVSGPLRLYPNLLCDFGPRQGPLWALLLEKRQMAGTGGSPALLAWRSASWRALG
+>DECOY_sp|A8MXK9|YQ018_HUMAN Uncharacterized protein ENSP00000382033 OS=Homo sapiens OX=9606 PE=2 SV=2
+GLARWSASRWALLAPSGGTGAMQRKELLLAWLPGQRPGFDCLLNPYLRLPGSVGTGAQADGGSGPFSQMWTADTGLGLHGLGLSFDPQQQLSWTELAKDLPSHWVIGLGTMPQEEARVCWVEGLVEMEAPLSRSGGVRSLRWKSMRSVAMFLCTEFTSEQICPCLM
+>sp|Q6ZRU5|YQ032_HUMAN Putative uncharacterized protein FLJ46089 OS=Homo sapiens OX=9606 PE=5 SV=2
+MRCVTRTRNWWRRAARMPRAGSSAWWVAVCKQVCTRVGTYAVCWCSWNTGRFTGCPSWKDFWKPVGPALHVFNVKCEAQRGLKLTQPFTVACKIDPVLCKSGLGFPPQLFTGCVYLSTYTYPWQAQAECVRCPRDSNRCKRITPSACL
+>DECOY_sp|Q6ZRU5|YQ032_HUMAN Putative uncharacterized protein FLJ46089 OS=Homo sapiens OX=9606 PE=5 SV=2
+LCASPTIRKCRNSDRPCRVCEAQAQWPYTYTSLYVCGTFLQPPFGLGSKCLVPDIKCAVTFPQTLKLGRQAECKVNFVHLAPGVPKWFDKWSPCGTFRGTNWSCWCVAYTGVRTCVQKCVAVWWASSGARPMRAARRWWNRTRTVCRM
+>sp|Q9ULM3|YETS2_HUMAN YEATS domain-containing protein 2 OS=Homo sapiens OX=9606 GN=YEATS2 PE=1 SV=2
+MSGIKRTIKETDPDYEDVSVALPNKRHKAIENSARDAAVQKIETIIKEQFALEMKNKEHEIEVIDQRLIEARRMMDKLRACIVANYYASAGLLKVSEGSKTCDTMVFNHPAIKKFLESPSRSSSPANQRAETPSANHSESDSLSQHNDFLSDKDNNSNMDIEERLSNNMEQRPSRNTGRDTSRITGSHKTEQRNADLTDETSRLFVKKTIVVGNVSKYIPPDKREENDQSTHKWMVYVRGSRREPSINHFVKKVWFFLHPSYKPNDLVEVREPPFHLTRRGWGEFPVRVQVHFKDSQNKRIDIIHNLKLDRTYTGLQTLGAETVVDVELHRHSLGEDCIYPQSSESDISDAPPSLPLTIPAPVKASSPIKQSHEPVPDTSVEKGFPASTEAERHTPFYALPSSLERTPTKMTTSQKVTFCSHGNSAFQPIASSCKIVPQSQVPNPESPGKSFQPITMSCKIVSGSPISTPSPSPLPRTPTSTPVHVKQGTAGSVINNPYVIMDKQPGQVIGATTPSTGSPTNKISTASQVSQGTGSPVPKIHGSSFVTSTVKQEDSLFASMPPLCPIGSHPKVQSPKPITGGLGAFTKVIIKQEPGEAPHVPATGAASQSPLPQYVTVKGGHMIAVSPQKQVITPGEGIAQSAKVQPSKVVGVPVGSALPSTVKQAVAISGGQILVAKASSSVSKAVGPKQVVTQGVAKAIVSGGGGTIVAQPVQTLTKAQVTAAGPQKSGSQGSVMATLQLPATNLANLANLPPGTKLYLTTNSKNPSGKGKLLLIPQGAILRATNNANLQSGSAASGGSGAGGGGGGGGGGGSGSGGGGSTGGGGGTAGGGTQSTAGPGGISQHLTYTSYILKQTPQGTFLVGQPSPQTSGKQLTTGSVVQGTLGVSTSSAQGQQTLKVISGQKTTLFTQAAHGGQASLMKISDSTLKTVPATSQLSKPGTTMLRVAGGVITTATSPAVALSANGPAQQSEGMAPVSSSTVSSVTKTSGQQQVCVSQATVGTCKAATPTVVSATSLVPTPNPISGKATVSGLLKIHSSQSSPQQAVLTIPSQLKPLSVNTSGGVQTILMPVNKVVQSFSTSKPPAILPVAAPTPVVPSSAPAAVAKVKTEPETPGPSCLSQEGQTAVKTEESSELGNYVIKIDHLETIQQLLTAVVKKIPLITAKSEDASCFSAKSVEQYYGWNIGKRRAAEWQRAMTMRKVLQEILEKNPRFHHLTPLKTKHIAHWCRCHGYTPPDPESLRNDGDSIEDVLTQIDSEPECPSSFSSADNLCRKLEDLQQFQKREPENEEEVDILSLSEPVKINIKKEQEEKQEEVKFYLPPTPGSEFIGDVTQKIGITLQPVALHRNVYASVVEDMILKATEQLVNDILRQALAVGYQTASHNRIPKEITVSNIHQAICNIPFLDFLTNKHMGILNEDQ
+>DECOY_sp|Q9ULM3|YETS2_HUMAN YEATS domain-containing protein 2 OS=Homo sapiens OX=9606 GN=YEATS2 PE=1 SV=2
+QDENLIGMHKNTLFDLFPINCIAQHINSVTIEKPIRNHSATQYGVALAQRLIDNVLQETAKLIMDEVVSAYVNRHLAVPQLTIGIKQTVDGIFESGPTPPLYFKVEEQKEEQEKKINIKVPESLSLIDVEEENEPERKQFQQLDELKRCLNDASSFSSPCEPESDIQTLVDEISDGDNRLSEPDPPTYGHCRCWHAIHKTKLPTLHHFRPNKELIEQLVKRMTMARQWEAARRKGINWGYYQEVSKASFCSADESKATILPIKKVVATLLQQITELHDIKIVYNGLESSEETKVATQGEQSLCSPGPTEPETKVKAVAAPASSPVVPTPAAVPLIAPPKSTSFSQVVKNVPMLITQVGGSTNVSLPKLQSPITLVAQQPSSQSSHIKLLGSVTAKGSIPNPTPVLSTASVVTPTAAKCTGVTAQSVCVQQQGSTKTVSSVTSSSVPAMGESQQAPGNASLAVAPSTATTIVGGAVRLMTTGPKSLQSTAPVTKLTSDSIKMLSAQGGHAAQTFLTTKQGSIVKLTQQGQASSTSVGLTGQVVSGTTLQKGSTQPSPQGVLFTGQPTQKLIYSTYTLHQSIGGPGATSQTGGGATGGGGGTSGGGGSGSGGGGGGGGGGGAGSGGSAASGSQLNANNTARLIAGQPILLLKGKGSPNKSNTTLYLKTGPPLNALNALNTAPLQLTAMVSGQSGSKQPGAATVQAKTLTQVPQAVITGGGGSVIAKAVGQTVVQKPGVAKSVSSSAKAVLIQGGSIAVAQKVTSPLASGVPVGVVKSPQVKASQAIGEGPTIVQKQPSVAIMHGGKVTVYQPLPSQSAAGTAPVHPAEGPEQKIIVKTFAGLGGTIPKPSQVKPHSGIPCLPPMSAFLSDEQKVTSTVFSSGHIKPVPSGTGQSVQSATSIKNTPSGTSPTTAGIVQGPQKDMIVYPNNIVSGATGQKVHVPTSTPTRPLPSPSPTSIPSGSVIKCSMTIPQFSKGPSEPNPVQSQPVIKCSSAIPQFASNGHSCFTVKQSTTMKTPTRELSSPLAYFPTHREAETSAPFGKEVSTDPVPEHSQKIPSSAKVPAPITLPLSPPADSIDSESSQPYICDEGLSHRHLEVDVVTEAGLTQLGTYTRDLKLNHIIDIRKNQSDKFHVQVRVPFEGWGRRTLHFPPERVEVLDNPKYSPHLFFWVKKVFHNISPERRSGRVYVMWKHTSQDNEERKDPPIYKSVNGVVITKKVFLRSTEDTLDANRQETKHSGTIRSTDRGTNRSPRQEMNNSLREEIDMNSNNDKDSLFDNHQSLSDSESHNASPTEARQNAPSSSRSPSELFKKIAPHNFVMTDCTKSGESVKLLGASAYYNAVICARLKDMMRRAEILRQDIVEIEHEKNKMELAFQEKIITEIKQVAADRASNEIAKHRKNPLAVSVDEYDPDTEKITRKIGSM
+>sp|A6NL46|YF016_HUMAN Putative UPF0607 protein ENSP00000332738 OS=Homo sapiens OX=9606 PE=3 SV=3
+MRLCLIPWNTTPHRVLPPVVWSAPSRKKPVLSARNSMMFGHLSPVRNPRLRGKFNLQLPSLDEQVIPTRLPKMEVRAEEPKEATEVKDQVETQGQEDNKTGPCSNGKAASTSRPLETQGNLTSSWYNPRPLEGNVHLKSLTEKNQTDKAQVHAVSFYSKGHGVTSSHSPAGGILPFGKPDPLPAVLPAPVPDCSLWPEKAALKVLGKDHLPSSPGLLMVGEDMQPKDPAALRSSRSSPPRAAGHRPRKRKLSGPPLQLQQTPPLQLRWDRDEGPPPAKLPCLSPEALLVGKASQREGRLQQGNMRKNVRVLSRTSKFRRLRQLLRRRKKRWQGRRGGSRL
+>DECOY_sp|A6NL46|YF016_HUMAN Putative UPF0607 protein ENSP00000332738 OS=Homo sapiens OX=9606 PE=3 SV=3
+LRSGGRRGQWRKKRRRLLQRLRRFKSTRSLVRVNKRMNGQQLRGERQSAKGVLLAEPSLCPLKAPPPGEDRDWRLQLPPTQQLQLPPGSLKRKRPRHGAARPPSSRSSRLAAPDKPQMDEGVMLLGPSSPLHDKGLVKLAAKEPWLSCDPVPAPLVAPLPDPKGFPLIGGAPSHSSTVGHGKSYFSVAHVQAKDTQNKETLSKLHVNGELPRPNYWSSTLNGQTELPRSTSAAKGNSCPGTKNDEQGQTEVQDKVETAEKPEEARVEMKPLRTPIVQEDLSPLQLNFKGRLRPNRVPSLHGFMMSNRASLVPKKRSPASWVVPPLVRHPTTNWPILCLRM
+>sp|Q8NBF4|YG006_HUMAN Putative uncharacterized protein FLJ33307 OS=Homo sapiens OX=9606 PE=5 SV=1
+MQLLQVRTTNTLRRLPGTPLMPSAGAVRVVASVQSGHSWWRMWDGPTGAAEAGSCAEMLRTSAPGTSRPNLSFLLGQVIPLAHTGSVETLPSEESWGCRQRASPLPPSTAPMAVSASHRGGTGTRTWLVDPTLSRDTSPLGGQSWGSPQPSRGA
+>DECOY_sp|Q8NBF4|YG006_HUMAN Putative uncharacterized protein FLJ33307 OS=Homo sapiens OX=9606 PE=5 SV=1
+AGRSPQPSGWSQGGLPSTDRSLTPDVLWTRTGTGGRHSASVAMPATSPPLPSARQRCGWSEESPLTEVSGTHALPIVQGLLFSLNPRSTGPASTRLMEACSGAEAAGTPGDWMRWWSHGSQVSAVVRVAGASPMLPTGPLRRLTNTTRVQLLQM
+>sp|Q8N814|YG045_HUMAN Putative uncharacterized protein FLJ40140 OS=Homo sapiens OX=9606 PE=5 SV=1
+MGWVIPEWPGAQSCPTAAQVAQPVPFMCNAPASPINDKEKDKAGGRLPSGSEPRARAFCEAGADGEQGDPSPADTIKANQGHIPAAPGETGSVICWCDQSVAPPRPAGLSVSGRQSYLVGCFRWVLTFFFSVFYLTP
+>DECOY_sp|Q8N814|YG045_HUMAN Putative uncharacterized protein FLJ40140 OS=Homo sapiens OX=9606 PE=5 SV=1
+PTLYFVSFFFTLVWRFCGVLYSQRGSVSLGAPRPPAVSQDCWCIVSGTEGPAAPIHGQNAKITDAPSPDGQEGDAGAECFARARPESGSPLRGGAKDKEKDNIPSAPANCMFPVPQAVQAATPCSQAGPWEPIVWGM
+>sp|Q71RG6|YH006_HUMAN Putative chemokine-related protein FP248 OS=Homo sapiens OX=9606 GN=FP248 PE=5 SV=1
+MGTGGSLLCGCSLVLSCLCPSASLPDPGNSTWPPGAQAGLPAALALPLPRLPRILFPMAGRPARPSSDFVGCAQGMCCHGRQGTVHIHTSSVSCWTPCPVTGTGGTAVSRKDRVLPHRRQVSLACVCAVGERAGQLWSQKPVQMARPSARHLLPRGSSPNSQAVLLPSVCPVPWPPVGPSPGQGEGLSPAFPGVGTDRGDSWALVLQV
+>DECOY_sp|Q71RG6|YH006_HUMAN Putative chemokine-related protein FP248 OS=Homo sapiens OX=9606 GN=FP248 PE=5 SV=1
+VQLVLAWSDGRDTGVGPFAPSLGEGQGPSPGVPPWPVPCVSPLLVAQSNPSSGRPLLHRASPRAMQVPKQSWLQGAREGVACVCALSVQRRHPLVRDKRSVATGGTGTVPCPTWCSVSSTHIHVTGQRGHCCMGQACGVFDSSPRAPRGAMPFLIRPLRPLPLALAAPLGAQAGPPWTSNGPDPLSASPCLCSLVLSCGCLLSGGTGM
+>sp|A8MV72|YH009_HUMAN Putative UPF0607 protein ENSP00000382826 OS=Homo sapiens OX=9606 PE=5 SV=2
+MLSARNSMMFGHLSPVRIPRLRGKFNLQLPSLDEQVIPARLLKMEVRAEEPKEATEVKDQVETQGQEDNKMGPCSNGEAASTSRPLETQGNLTSSWYNPRPLEGNVHLKSLTEKNQTDKAQVHAVSFYSKGHGVASSHSPAGGILPFGKPDPLPTVLPAPVPGCSLWPEKAALKVLGKDHLPSSPGLLMVGEDMQPKDPAVLGSSRSSPPRAAGHRSRKRKLSGPPLQLQLTPPLQLRWDRDGGPPPAKLPCLSPEALLVGQASQREGRLQQGNMRKNMRVLSRTSKFRRRKQLLRRRKKTRQGRRGGSCL
+>DECOY_sp|A8MV72|YH009_HUMAN Putative UPF0607 protein ENSP00000382826 OS=Homo sapiens OX=9606 PE=5 SV=2
+LCSGGRRGQRTKKRRRLLQKRRRFKSTRSLVRMNKRMNGQQLRGERQSAQGVLLAEPSLCPLKAPPPGGDRDWRLQLPPTLQLQLPPGSLKRKRSRHGAARPPSSRSSGLVAPDKPQMDEGVMLLGPSSPLHDKGLVKLAAKEPWLSCGPVPAPLVTPLPDPKGFPLIGGAPSHSSAVGHGKSYFSVAHVQAKDTQNKETLSKLHVNGELPRPNYWSSTLNGQTELPRSTSAAEGNSCPGMKNDEQGQTEVQDKVETAEKPEEARVEMKLLRAPIVQEDLSPLQLNFKGRLRPIRVPSLHGFMMSNRASLM
+>sp|Q9H379|YI012_HUMAN Putative uncharacterized protein PRO3102 OS=Homo sapiens OX=9606 GN=PRO3102 PE=5 SV=1
+MPLASPIQHHEVTRGVAPSMALRDGVCRIPLSAEFTAQCTDSQAPQMKRAPRCCCLAVVAQCPHHCPVLGCWSGCRCCCYCVFELHWLYCIQE
+>DECOY_sp|Q9H379|YI012_HUMAN Putative uncharacterized protein PRO3102 OS=Homo sapiens OX=9606 GN=PRO3102 PE=5 SV=1
+EQICYLWHLEFVCYCCCRCGSWCGLVPCHHPCQAVVALCCCRPARKMQPAQSDTCQATFEASLPIRCVGDRLAMSPAVGRTVEHHQIPSALPM
+>sp|Q96EC8|YIPF6_HUMAN Protein YIPF6 OS=Homo sapiens OX=9606 GN=YIPF6 PE=1 SV=2
+MAEAEESPGDPGTASPRPLFAGLSDISISQDIPVEGEITIPMRSRIREFDSSTLNESVRNTIMRDLKAVGKKFMHVLYPRKSNTLLRDWDLWGPLILCVTLALMLQRDSADSEKDGGPQFAEVFVIVWFGAVTITLNSKLLGGNISFFQSLCVLGYCILPLTVAMLICRLVLLADPGPVNFMVRLFVVIVMFAWSIVASTAFLADSQPPNRRALAVYPVFLFYFVISWMILTFTPQ
+>DECOY_sp|Q96EC8|YIPF6_HUMAN Protein YIPF6 OS=Homo sapiens OX=9606 GN=YIPF6 PE=1 SV=2
+QPTFTLIMWSIVFYFLFVPYVALARRNPPQSDALFATSAVISWAFMVIVVFLRVMFNVPGPDALLVLRCILMAVTLPLICYGLVCLSQFFSINGGLLKSNLTITVAGFWVIVFVEAFQPGGDKESDASDRQLMLALTVCLILPGWLDWDRLLTNSKRPYLVHMFKKGVAKLDRMITNRVSENLTSSDFERIRSRMPITIEGEVPIDQSISIDSLGAFLPRPSATGPDGPSEEAEAM
+>sp|Q8NDZ9|YJ017_HUMAN Putative uncharacterized protein LOC439951 OS=Homo sapiens OX=9606 PE=5 SV=1
+MAASGSPGPTPSPGPGRTPAEPAAAGPSRRELRNGSYLSTVPTARLWAADRAGGPGRPSRSGAARRGGGGGYRSALRPIVSLPRGSRRSRAREPGSSPARHLLPPLWKRPPPPRAARPGPRLRASGPARLTGRRQPSPQRPQPPPYWRGPFPAARALRSRTPAVRAPARLGDARPGLQVPGAPRREAGAGSPGPKAGLRGTQTSDIGVSSRQVRG
+>DECOY_sp|Q8NDZ9|YJ017_HUMAN Putative uncharacterized protein LOC439951 OS=Homo sapiens OX=9606 PE=5 SV=1
+GRVQRSSVGIDSTQTGRLGAKPGPSGAGAERRPAGPVQLGPRADGLRAPARVAPTRSRLARAAPFPGRWYPPPQPRQPSPQRRGTLRAPGSARLRPGPRAARPPPPRKWLPPLLHRAPSSGPERARSRRSGRPLSVIPRLASRYGGGGGRRAAGSRSPRGPGGARDAAWLRATPVTSLYSGNRLERRSPGAAAPEAPTRGPGPSPTPGPSGSAAM
+>sp|Q6ZR54|YN009_HUMAN Putative uncharacterized protein FLJ46641 OS=Homo sapiens OX=9606 PE=5 SV=1
+MGKLRPSRGRGFADVSQQIRVRNRPSWACRRGGPLGTLLANAGPSTVPVTPTAGSCQPSPLSPGGSDPPPPPRAHVSPQEAPLGQVPGAEWLPPTRGLLCKDVSSSPGPSFHLGGPGLPGHAGPCGPRARPAQGLAGRGGNVGEGSESPLGTLPCSVPASQQLLRGRSHLQGSLAALGEARGGGAAFSWGQEGP
+>DECOY_sp|Q6ZR54|YN009_HUMAN Putative uncharacterized protein FLJ46641 OS=Homo sapiens OX=9606 PE=5 SV=1
+PGEQGWSFAAGGGRAEGLAALSGQLHSRGRLLQQSAPVSCPLTGLPSESGEGVNGGRGALGQAPRARPGCPGAHGPLGPGGLHFSPGPSSSVDKCLLGRTPPLWEAGPVQGLPAEQPSVHARPPPPPDSGGPSLPSPQCSGATPTVPVTSPGANALLTGLPGGRRCAWSPRNRVRIQQSVDAFGRGRSPRLKGM
+>sp|O60688|YPEL1_HUMAN Protein yippee-like 1 OS=Homo sapiens OX=9606 GN=YPEL1 PE=3 SV=1
+MVKMTKSKTFQAYLPNCHRTYSCIHCRAHLANHDELISKSFQGSQGRAYLFNSVVNVGCGPAEERVLLTGLHAVADIYCENCKTTLGWKYEHAFESSQKYKEGKFIIELAHMIKDNGWE
+>DECOY_sp|O60688|YPEL1_HUMAN Protein yippee-like 1 OS=Homo sapiens OX=9606 GN=YPEL1 PE=3 SV=1
+EWGNDKIMHALEIIFKGEKYKQSSEFAHEYKWGLTTKCNECYIDAVAHLGTLLVREEAPGCGVNVVSNFLYARGQSGQFSKSILEDHNALHARCHICSYTRHCNPLYAQFTKSKTMKVM
+>sp|Q96QA6|YPEL2_HUMAN Protein yippee-like 2 OS=Homo sapiens OX=9606 GN=YPEL2 PE=1 SV=1
+MVKMTRSKTFQAYLPSCHRTYSCIHCRAHLANHDELISKSFQGSQGRAYLFNSVVNVGCGPAEERVLLTGLHAVADIYCENCKTTLGWKYEHAFESSQKYKEGKYIIELAHMIKDNGWD
+>DECOY_sp|Q96QA6|YPEL2_HUMAN Protein yippee-like 2 OS=Homo sapiens OX=9606 GN=YPEL2 PE=1 SV=1
+DWGNDKIMHALEIIYKGEKYKQSSEFAHEYKWGLTTKCNECYIDAVAHLGTLLVREEAPGCGVNVVSNFLYARGQSGQFSKSILEDHNALHARCHICSYTRHCSPLYAQFTKSRTMKVM
+>sp|Q6ZRG5|YQ015_HUMAN Putative uncharacterized protein FLJ43944 OS=Homo sapiens OX=9606 PE=5 SV=1
+MMRWNFSPEDLSSIFRNNSTLPKITVKNVDIEFTIPTAVTIEVEPSPVQQDNPPISSEQADFSLAQPDSPSLPLESPEESESSAQQEATAQTPNPPKEVEPSPVQQEFPAEPTEPAKEVEPSATQQEASGHPLKSTKEVNPPPKQEIPAQPSEPPEKVELSPVLQQAPTQLLEPLKKVECSPVQQAVPAQSSEPSIVVEPSPVQQIAHLCLQSSLRKWNPL
+>DECOY_sp|Q6ZRG5|YQ015_HUMAN Putative uncharacterized protein FLJ43944 OS=Homo sapiens OX=9606 PE=5 SV=1
+LPNWKRLSSQLCLHAIQQVPSPEVVISPESSQAPVAQQVPSCEVKKLPELLQTPAQQLVPSLEVKEPPESPQAPIEQKPPPNVEKTSKLPHGSAEQQTASPEVEKAPETPEAPFEQQVPSPEVEKPPNPTQATAEQQASSESEEPSELPLSPSDPQALSFDAQESSIPPNDQQVPSPEVEITVATPITFEIDVNKVTIKPLTSNNRFISSLDEPSFNWRMM
+>sp|A8MU10|YQ047_HUMAN Putative uncharacterized protein ENSP00000381562 OS=Homo sapiens OX=9606 PE=4 SV=1
+MGSIPSKPCNNPEGPLLQGMEAADWTGIGVCLPPGGARGHIYCCTRLCHQRAASAHRSLLLPRTVQTGGTEREKPGPGQRKRGAHCSACKRSSTRPS
+>DECOY_sp|A8MU10|YQ047_HUMAN Putative uncharacterized protein ENSP00000381562 OS=Homo sapiens OX=9606 PE=4 SV=1
+SPRTSSRKCASCHAGRKRQGPGPKERETGGTQVTRPLLLSRHASAARQHCLRTCCYIHGRAGGPPLCVGIGTWDAAEMGQLLPGEPNNCPKSPISGM
+>sp|Q86U90|YRDC_HUMAN YrdC domain-containing protein, mitochondrial OS=Homo sapiens OX=9606 GN=YRDC PE=1 SV=1
+MSPARRCRGMRAAVAASVGLSEGPAGSRSGRLFRPPSPAPAAPGARLLRLPGSGAVQAASPERAGWTEALRAAVAELRAGAVVAVPTDTLYGLACAASCSAALRAVYRLKGRSEAKPLAVCLGRVADVYRYCRVRVPEGLLKDLLPGPVTLVMERSEELNKDLNPFTPLVGIRIPDHAFMQDLAQMFEGPLALTSANLSSQASSLNVEEFQDLWPQLSLVIDGGQIGDGQSPECRLGSTVVDLSVPGKFGIIRPGCALESTTAILQQKYGLLPSHASYL
+>DECOY_sp|Q86U90|YRDC_HUMAN YrdC domain-containing protein, mitochondrial OS=Homo sapiens OX=9606 GN=YRDC PE=1 SV=1
+LYSAHSPLLGYKQQLIATTSELACGPRIIGFKGPVSLDVVTSGLRCEPSQGDGIQGGDIVLSLQPWLDQFEEVNLSSAQSSLNASTLALPGEFMQALDQMFAHDPIRIGVLPTFPNLDKNLEESREMVLTVPGPLLDKLLGEPVRVRCYRYVDAVRGLCVALPKAESRGKLRYVARLAASCSAACALGYLTDTPVAVVAGARLEAVAARLAETWGAREPSAAQVAGSGPLRLLRAGPAAPAPSPPRFLRGSRSGAPGESLGVSAAVAARMGRCRRAPSM
+>sp|Q6UXR8|YS001_HUMAN Putative uncharacterized protein UNQ6493/PRO21345 OS=Homo sapiens OX=9606 GN=UNQ6493/PRO21345 PE=5 SV=1
+MEPWWPRGTGANAPWVLVAVPPGLFPSLLGACCTLTSSSWLQPRFWGLGWRVEVGLEGAGGSSQNYQAALPSFFCLAASPASRPAIFGILAAEPPSASPQAPWPKPGCASPHGSHWPSILIC
+>DECOY_sp|Q6UXR8|YS001_HUMAN Putative uncharacterized protein UNQ6493/PRO21345 OS=Homo sapiens OX=9606 GN=UNQ6493/PRO21345 PE=5 SV=1
+CILISPWHSGHPSACGPKPWPAQPSASPPEAALIGFIAPRSAPSAALCFFSPLAAQYNQSSGGAGELGVEVRWGLGWFRPQLWSSSTLTCCAGLLSPFLGPPVAVLVWPANAGTGRPWWPEM
+>sp|I3L1I5|YS060_HUMAN Putative uncharacterized protein LOC100996504 OS=Homo sapiens OX=9606 PE=5 SV=1
+MGDDQEDDFPRRLSESMEDLSLDLGALQGSEYLQDLGLGAPSHSQPGETPDSRPTGEEPGRDSLFSSLAGSQDLSRRRSWERSRSCSESWRRLSLDASAVDEEPCLPRTLASLALNLPGGGLKTWTQGCLSGGGTPAESPGKECDSPKKRGRSRSVPVSFYEIRSPEISPGLEVPTPPVQGLEPPVLECMEKDHVEPDHVLIVQQVLQELRQYHGARQRACMSASPGGAHSNLTWFEFLSESEDGAGKNEKSDKSTSVKRRLSCLRSRVTRQKEKGKSPAHLKDKGQDARERRECVNGHQLLQGTFSGPSSCPLCGKPFLSSGKSGGPIHPPGGQPLSLLHVLSIILLGSLLMCASSFCFALSSAAPWREPGISPWAQGPLG
+>DECOY_sp|I3L1I5|YS060_HUMAN Putative uncharacterized protein LOC100996504 OS=Homo sapiens OX=9606 PE=5 SV=1
+GLPGQAWPSIGPERWPAASSLAFCFSSACMLLSGLLIISLVHLLSLPQGGPPHIPGGSKGSSLFPKGCLPCSSPGSFTGQLLQHGNVCERRERADQGKDKLHAPSKGKEKQRTVRSRLCSLRRKVSTSKDSKENKGAGDESESLFEFWTLNSHAGGPSASMCARQRAGHYQRLEQLVQQVILVHDPEVHDKEMCELVPPELGQVPPTPVELGPSIEPSRIEYFSVPVSRSRGRKKPSDCEKGPSEAPTGGGSLCGQTWTKLGGGPLNLALSALTRPLCPEEDVASADLSLRRWSESCSRSREWSRRRSLDQSGALSSFLSDRGPEEGTPRSDPTEGPQSHSPAGLGLDQLYESGQLAGLDLSLDEMSESLRRPFDDEQDDGM
+>sp|Q6ZR03|YU004_HUMAN Uncharacterized protein FLJ46757 OS=Homo sapiens OX=9606 PE=2 SV=1
+MPCRLLHQRETRSGGPRGPRHSAPTGPGWHNAPTLQSWEESHAPSRDPRDHQGSVEDTSLGGDAPADGVSPSVPPLQGLGKAAGPGGTGQAECQVVVATHRANLKPWDGRAAPLGKRTEEGRLSTSSCASVSRNKPDSVPQGDPVWPPESTGPALCAGEETEPQSSEGLAWGPWAQPWAPSLCPSQTGTASTASPQRASRLALQGPPGTILSLSSSSPCLPPSHCDPGAASSWAGLQSLKLLLQVSFQGAADGCSLRDANTNRKGPMHGSDFPPRLCLHSMSLWGSWGSRPPSPAHSREVAS
+>DECOY_sp|Q6ZR03|YU004_HUMAN Uncharacterized protein FLJ46757 OS=Homo sapiens OX=9606 PE=2 SV=1
+SAVERSHAPSPPRSGWSGWLSMSHLCLRPPFDSGHMPGKRNTNADRLSCGDAAGQFSVQLLLKLSQLGAWSSAAGPDCHSPPLCPSSSSLSLITGPPGQLALRSARQPSATSATGTQSPCLSPAWPQAWPGWALGESSQPETEEGACLAPGTSEPPWVPDGQPVSDPKNRSVSACSSTSLRGEETRKGLPAARGDWPKLNARHTAVVVQCEAQGTGGPGAAKGLGQLPPVSPSVGDAPADGGLSTDEVSGQHDRPDRSPAHSEEWSQLTPANHWGPGTPASHRPGRPGGSRTERQHLLRCPM
+>sp|Q8IWR0|Z3H7A_HUMAN Zinc finger CCCH domain-containing protein 7A OS=Homo sapiens OX=9606 GN=ZC3H7A PE=1 SV=1
+MSNVSEERRKRQQNIKEGLQFIQSPLSYPGTQEQYAVYLRALVRNLFNEGNDVYREHDWNNSISQYTEALNIADYAKSEEILIPKEIIEKLYINRIACYSNMGFHDKVLEDCNIVLSLNASNCKALYRKSKALSDLGRYKKAYDAVAKCSLAVPQDEHVIKLTQELAQKLGFKIRKAYVRAELSLKSVPGDGATKALNHSVEDIEPDLLTPRQEAVPVVSLPAPSFSHEVGSELASVPVMPLTSILPLQVEESALPSAVLANGGKMPFTMPEAFLDDGDMVLGDELDDLLDSAPETNETVMPSALVRGPLQTASVSPSMPFSASLLGTLPIGARYAPPPSFSEFYPPLTSSLEDFCSSLNSFSMSESKRDLSTSTSREGTPLNNSNSSLLLMNGPGSLFASENFLGISSQPRNDFGNFFGSAVTKPSSSVTPRHPLEGTHELRQACQICFVKSGPKLMDFTYHANIDHKCKKDILIGRIKNVEDKSWKKIRPRPTKTNYEGPYYICKDVAAEEECRYSGHCTFAYCQEEIDVWTLERKGAFSREAFFGGNGKINLTVFKLLQEHLGEFIFLCEKCFDHKPRMISKRNKDNSTACSHPVTKHEFEDNKCLVHILRETTVKYSKIRSFHGQCQLDLCRHEVRYGCLREDECFYAHSLVELKVWIMQNETGISHDAIAQESKRYWQNLEANVPGAQVLGNQIMPGFLNMKIKFVCAQCLRNGQVIEPDKNRKYCSAKARHSWTKDRRAMRVMSIERKKWMNIRPLPTKKQMPLQFDLCNHIASGKKCQYVGNCSFAHSPEEREVWTYMKENGIQDMEQFYELWLKSQKNEKSEDIASQSNKENGKQIHMPTDYAEVTVDFHCWMCGKNCNSEKQWQGHISSEKHKEKVFHTEDDQYCWQHRFPTGYFSICDRYMNGTCPEGNSCKFAHGNAELHEWEERRDALKMKLNKARKDHLIGPNDNDFGKYSFLFKDLN
+>DECOY_sp|Q8IWR0|Z3H7A_HUMAN Zinc finger CCCH domain-containing protein 7A OS=Homo sapiens OX=9606 GN=ZC3H7A PE=1 SV=1
+NLDKFLFSYKGFDNDNPGILHDKRAKNLKMKLADRREEWEHLEANGHAFKCSNGEPCTGNMYRDCISFYGTPFRHQWCYQDDETHFVKEKHKESSIHGQWQKESNCNKGCMWCHFDVTVEAYDTPMHIQKGNEKNSQSAIDESKENKQSKLWLEYFQEMDQIGNEKMYTWVEREEPSHAFSCNGVYQCKKGSAIHNCLDFQLPMQKKTPLPRINMWKKREISMVRMARRDKTWSHRAKASCYKRNKDPEIVQGNRLCQACVFKIKMNLFGPMIQNGLVQAGPVNAELNQWYRKSEQAIADHSIGTENQMIWVKLEVLSHAYFCEDERLCGYRVEHRCLDLQCQGHFSRIKSYKVTTERLIHVLCKNDEFEHKTVPHSCATSNDKNRKSIMRPKHDFCKECLFIFEGLHEQLLKFVTLNIKGNGGFFAERSFAGKRELTWVDIEEQCYAFTCHGSYRCEEEAAVDKCIYYPGEYNTKTPRPRIKKWSKDEVNKIRGILIDKKCKHDINAHYTFDMLKPGSKVFCIQCAQRLEHTGELPHRPTVSSSPKTVASGFFNGFDNRPQSSIGLFNESAFLSGPGNMLLLSSNSNNLPTGERSTSTSLDRKSESMSFSNLSSCFDELSSTLPPYFESFSPPPAYRAGIPLTGLLSASFPMSPSVSATQLPGRVLASPMVTENTEPASDLLDDLEDGLVMDGDDLFAEPMTFPMKGGNALVASPLASEEVQLPLISTLPMVPVSALESGVEHSFSPAPLSVVPVAEQRPTLLDPEIDEVSHNLAKTAGDGPVSKLSLEARVYAKRIKFGLKQALEQTLKIVHEDQPVALSCKAVADYAKKYRGLDSLAKSKRYLAKCNSANLSLVINCDELVKDHFGMNSYCAIRNIYLKEIIEKPILIEESKAYDAINLAETYQSISNNWDHERYVDNGENFLNRVLARLYVAYQEQTGPYSLPSQIFQLGEKINQQRKRREESVNSM
+>sp|Q6AHZ1|Z518A_HUMAN Zinc finger protein 518A OS=Homo sapiens OX=9606 GN=ZNF518A PE=1 SV=2
+MPSEQKQLFCDEKQTTLKKDYDVKNEIVDRSAPKPKISGSIHYALKNVKIDLPKINIPNEVLLKHEVDKYRKLFQSKQQTARKSISIKTVSCVEECTLLHKSERAEEEGVKMSAKILNFSCLKCRDNTRYSPNDLQKHFQMWHHGELPSYPCEMCNFSANDFQVFKQHRRTHRSTLVKCDICNNESVYTLLNLTKHFTSTHCVNGNFQCEKCKFSTQDVGTFVQHIHRHNEIHYKCGKCHHVCFTKGELQKHLHIHSGTFPFTCQYCSYGATRREHLVRHVITLHKEHLYAKEKLEKDKYEKRMAKTSAGLKLILKRYKIGASRKTFWKRKKINSGSDRSIEKNTQVLKKMNKTQTKSEDQSHVVQEHLSEEKDERLHCENNDKAPESESEKPTPLSTGQGNRAEEGPNASSGFMKTAVLGPTLKNVMMKNNKLAVSPNYNATFMGFKMMDGKQHIVLKLVPIKQNVCSPGSQSGAAKDGTANLQPQTLDTNGFLTGVTTELNDTVYMKAATPFSCSSSILSGKASSEKEMTLISQRNNMLQTMDYEKSVSSLSATSELVTASVNLTTKFETRDNVDFWGNHLTQSHPEVLGTTIKSPDKVNCVAKPNAYNSGDMHNYCINYGNCELPVESSNQGSLPFHNYSKVNNSNKRRRFSGTAVYENPQRESSSSKTVVQQPISESFLSLVRQESSKPDSLLASISLLNDKDGTLKAKSEIEEQYVLEKGQNIDGQNLYSNENQNLECATEKSKWEDFSNVDSPMMPRITSVFSLQSQQASEFLPPEVNQLLQDVLKIKPDVKQDSSNTPNKGLPLHCDQSFQKHEREGKIVESSKDFKVQGIFPVPPGSVGINVPTNDLNLKFGKEKQVSSIPQDVRDSEKMPRISGFGTLLKTQSDAIITQQLVKDKLRATTQNLGSFYMQSPLLNSEQKKTIIVQTSKGFLIPLNITNKPGLPVIPGNALPLVNSQGIPASLFVNKKPGMVLTLNNGKLEGVSAVKTEGAPARGTVTKEPCKTPILKVEPNNNCLTPGLCSSIGSCLSMKSSSENTLPLKGPYILKPTSSVKAVLIPNMLSEQQSTKLNISDSVKQQNEIFPKPPLYTFLPDGKQAVFLKCVMPNKTELLKPKLVQNSTYQNIQPKKPEGTPQRILLKIFNPVLNVTAANNLSVSNSASSLQKDNVPSNQIIGGEQKEPESRDALPFLLDDLMPANEIVITSTATCPESSEEPICVSDCSESRVLRCKTNCRIERNFNRKKTSKKIFSKTKTHGSKDSETAFVSRNRNCKRKCRDSYQEPPRRKATLHRKCKEKAKPEDVRETFGFSRPRLSKDSIRTLRLFPFSSKQLVKCPRRNQPVVVLNHPDADAPEVVSVMKTIAKFNGHVLKVSLSKRTINALLKPVCYNPPKTTYDDFSKRHKTFKPVSSVKERFVLKLTLKKTSKNNYQIVKTTSENILKAKFNCWFCGRVFDNQDTWAGHGQRHLMEATRDWNMLE
+>DECOY_sp|Q6AHZ1|Z518A_HUMAN Zinc finger protein 518A OS=Homo sapiens OX=9606 GN=ZNF518A PE=1 SV=2
+ELMNWDRTAEMLHRQGHGAWTDQNDFVRGCFWCNFKAKLINESTTKVIQYNNKSTKKLTLKLVFREKVSSVPKFTKHRKSFDDYTTKPPNYCVPKLLANITRKSLSVKLVHGNFKAITKMVSVVEPADADPHNLVVVPQNRRPCKVLQKSSFPFLRLTRISDKSLRPRSFGFTERVDEPKAKEKCKRHLTAKRRPPEQYSDRCKRKCNRNRSVFATESDKSGHTKTKSFIKKSTKKRNFNREIRCNTKCRLVRSESCDSVCIPEESSEPCTATSTIVIENAPMLDDLLFPLADRSEPEKQEGGIIQNSPVNDKQLSSASNSVSLNNAATVNLVPNFIKLLIRQPTGEPKKPQINQYTSNQVLKPKLLETKNPMVCKLFVAQKGDPLFTYLPPKPFIENQQKVSDSINLKTSQQESLMNPILVAKVSSTPKLIYPGKLPLTNESSSKMSLCSGISSCLGPTLCNNNPEVKLIPTKCPEKTVTGRAPAGETKVASVGELKGNNLTLVMGPKKNVFLSAPIGQSNVLPLANGPIVPLGPKNTINLPILFGKSTQVIITKKQESNLLPSQMYFSGLNQTTARLKDKVLQQTIIADSQTKLLTGFGSIRPMKESDRVDQPISSVQKEKGFKLNLDNTPVNIGVSGPPVPFIGQVKFDKSSEVIKGEREHKQFSQDCHLPLGKNPTNSSDQKVDPKIKLVDQLLQNVEPPLFESAQQSQLSFVSTIRPMMPSDVNSFDEWKSKETACELNQNENSYLNQGDINQGKELVYQEEIESKAKLTGDKDNLLSISALLSDPKSSEQRVLSLFSESIPQQVVTKSSSSERQPNEYVATGSFRRRKNSNNVKSYNHFPLSGQNSSEVPLECNGYNICYNHMDGSNYANPKAVCNVKDPSKITTGLVEPHSQTLHNGWFDVNDRTEFKTTLNVSATVLESTASLSSVSKEYDMTQLMNNRQSILTMEKESSAKGSLISSSCSFPTAAKMYVTDNLETTVGTLFGNTDLTQPQLNATGDKAAGSQSGPSCVNQKIPVLKLVIHQKGDMMKFGMFTANYNPSVALKNNKMMVNKLTPGLVATKMFGSSANPGEEARNGQGTSLPTPKESESEPAKDNNECHLREDKEESLHEQVVHSQDESKTQTKNMKKLVQTNKEISRDSGSNIKKRKWFTKRSAGIKYRKLILKLGASTKAMRKEYKDKELKEKAYLHEKHLTIVHRVLHERRTAGYSCYQCTFPFTGSHIHLHKQLEGKTFCVHHCKGCKYHIENHRHIHQVFTGVDQTSFKCKECQFNGNVCHTSTFHKTLNLLTYVSENNCIDCKVLTSRHTRRHQKFVQFDNASFNCMECPYSPLEGHHWMQFHKQLDNPSYRTNDRCKLCSFNLIKASMKVGEEEARESKHLLTCEEVCSVTKISISKRATQQKSQFLKRYKDVEHKLLVENPINIKPLDIKVNKLAYHISGSIKPKPASRDVIENKVDYDKKLTTQKEDCFLQKQESPM
+>sp|A8MWA4|Z705E_HUMAN Putative zinc finger protein 705E OS=Homo sapiens OX=9606 GN=ZNF705E PE=3 SV=2
+MHSLKKVTFEDVAIDFTQEEWAMMDTSKRKLYRDVMLENISHLVSLGYQISKSYIILQLEQGKELWQEGREFLQDQNPDRESALKKTHMISMHPIIRKDAPTSMTMENSLILEDPFECNDSGEDCTHSSTIIQCLLTHSGKKPYVSKQCGKSLSNLLSPKPHKQIHTKGKSYQCNLCEKAYTNCFHLRRPKMTHTGERPYTCHLCRKAFTQCSHLRRHEKTHTGERPYKCHQCGKAFIQSFNLRRHERTHLGEKWYECDNSGKAFSQSSGFRGNKIIHTGEKPHACLLCGKAFSLSSDLR
+>DECOY_sp|A8MWA4|Z705E_HUMAN Putative zinc finger protein 705E OS=Homo sapiens OX=9606 GN=ZNF705E PE=3 SV=2
+RLDSSLSFAKGCLLCAHPKEGTHIIKNGRFGSSQSFAKGSNDCEYWKEGLHTREHRRLNFSQIFAKGCQHCKYPREGTHTKEHRRLHSCQTFAKRCLHCTYPREGTHTMKPRRLHFCNTYAKECLNCQYSKGKTHIQKHPKPSLLNSLSKGCQKSVYPKKGSHTLLCQIITSSHTCDEGSDNCEFPDELILSNEMTMSTPADKRIIPHMSIMHTKKLASERDPNQDQLFERGEQWLEKGQELQLIIYSKSIQYGLSVLHSINELMVDRYLKRKSTDMMAWEEQTFDIAVDEFTVKKLSHM
+>sp|Q0P140|YA037_HUMAN Putative uncharacterized protein HSD52 OS=Homo sapiens OX=9606 GN=HSD52 PE=5 SV=1
+MQDVHTKSIACDGDLLPVLQENSISFQMLSLEMSGSFHSSPALENATITILHVSLLSFFRGIQAPCRGSPLLVTDSPGG
+>DECOY_sp|Q0P140|YA037_HUMAN Putative uncharacterized protein HSD52 OS=Homo sapiens OX=9606 GN=HSD52 PE=5 SV=1
+GGPSDTVLLPSGRCPAQIGRFFSLLSVHLITITANELAPSSHFSGSMELSLMQFSISNEQLVPLLDGDCAISKTHVDQM
+>sp|Q9NRH1|YAED1_HUMAN Yae1 domain-containing protein 1 OS=Homo sapiens OX=9606 GN=YAE1D1 PE=1 SV=1
+MSWVQAASLIQGPGDKGDVFDEEADESLLAQREWQSNMQRRVKEGYRDGIDAGKAVTLQQGFNQGYKKGAEVILNYGRLRGTLSALLSWCHLHNNNSTLINKINNLLDAVGQCEEYVLKHLKSITPPSHVVDLLDSIEDMDLCHVVPAEKKIDEAKDERLCENNAEFNKNCSKSHSGIDCSYVECCRTQEHAHSENPSPTWILEQTASLVKQLGLSVDVLQHLKQL
+>DECOY_sp|Q9NRH1|YAED1_HUMAN Yae1 domain-containing protein 1 OS=Homo sapiens OX=9606 GN=YAE1D1 PE=1 SV=1
+LQKLHQLVDVSLGLQKVLSATQELIWTPSPNESHAHEQTRCCEVYSCDIGSHSKSCNKNFEANNECLREDKAEDIKKEAPVVHCLDMDEISDLLDVVHSPPTISKLHKLVYEECQGVADLLNNIKNILTSNNNHLHCWSLLASLTGRLRGYNLIVEAGKKYGQNFGQQLTVAKGADIGDRYGEKVRRQMNSQWERQALLSEDAEEDFVDGKDGPGQILSAAQVWSM
+>sp|Q6ZQT0|YD023_HUMAN Putative uncharacterized protein FLJ45035 OS=Homo sapiens OX=9606 PE=5 SV=1
+MFARLCPVSETFGRLCPVSETFARLCPVSETFARLCPVSETFARLCPVSETFGRLCPVSEMFGRLSPVSETFGRLCPVSETFGRLCPVSEMFARLCPVSETFGRLSPVSEMFGRLCPVSEMFGRLCPVSEMFGRLCPVIT
+>DECOY_sp|Q6ZQT0|YD023_HUMAN Putative uncharacterized protein FLJ45035 OS=Homo sapiens OX=9606 PE=5 SV=1
+TIVPCLRGFMESVPCLRGFMESVPCLRGFMESVPSLRGFTESVPCLRAFMESVPCLRGFTESVPCLRGFTESVPSLRGFMESVPCLRGFTESVPCLRAFTESVPCLRAFTESVPCLRAFTESVPCLRGFTESVPCLRAFM
+>sp|A8MPS7|YDJC_HUMAN Carbohydrate deacetylase OS=Homo sapiens OX=9606 GN=YDJC PE=1 SV=1
+MSRPRMRLVVTADDFGYCPRRDEGIVEAFLAGAVTSVSLLVNGAATESAAELARRHSIPTGLHANLSEGRPVGPARRGASSLLGPEGFFLGKMGFREAVAAGDVDLPQVREELEAQLSCFRELLGRAPTHADGHQHVHVLPGVCQVFAEALQAYGVRFTRLPLERGVGGCTWLEAPARAFACAVERDARAAVGPFSRHGLRWTDAFVGLSTCGRHMSAHRVSGALARVLEGTLAGHTLTAELMAHPGYPSVPPTGGCGEGPDAFSCSWERLHELRVLTAPTLRAQLAQDGVQLCALDDLDSKRPGEEVPCEPTLEPFLEPSLL
+>DECOY_sp|A8MPS7|YDJC_HUMAN Carbohydrate deacetylase OS=Homo sapiens OX=9606 GN=YDJC PE=1 SV=1
+LLSPELFPELTPECPVEEGPRKSDLDDLACLQVGDQALQARLTPATLVRLEHLREWSCSFADPGEGCGGTPPVSPYGPHAMLEATLTHGALTGELVRALAGSVRHASMHRGCTSLGVFADTWRLGHRSFPGVAARADREVACAFARAPAELWTCGGVGRELPLRTFRVGYAQLAEAFVQCVGPLVHVHQHGDAHTPARGLLERFCSLQAELEERVQPLDVDGAAVAERFGMKGLFFGEPGLLSSAGRRAPGVPRGESLNAHLGTPISHRRALEAASETAAGNVLLSVSTVAGALFAEVIGEDRRPCYGFDDATVVLRMRPRSM
+>sp|Q9Y548|YIPF1_HUMAN Protein YIPF1 OS=Homo sapiens OX=9606 GN=YIPF1 PE=1 SV=1
+MAAVDDLQFEEFGNAATSLTANPDATTVNIEDPGETPKHQPGSPRGSGREEDDELLGNDDSDKTELLAGQKKSSPFWTFEYYQTFFDVDTYQVFDRIKGSLLPIPGKNFVRLYIRSNPDLYGPFWICATLVFAIAISGNLSNFLIHLGEKTYHYVPEFRKVSIAATIIYAYAWLVPLALWGFLMWRNSKVMNIVSYSFLEIVCVYGYSLFIYIPTAILWIIPQKAVRWILVMIALGISGSLLAMTFWPAVREDNRRVALATIVTIVLLHMLLSVGCLAYFFDAPEMDHLPTTTATPNQTVAAAKSS
+>DECOY_sp|Q9Y548|YIPF1_HUMAN Protein YIPF1 OS=Homo sapiens OX=9606 GN=YIPF1 PE=1 SV=1
+SSKAAAVTQNPTATTTPLHDMEPADFFYALCGVSLLMHLLVITVITALAVRRNDERVAPWFTMALLSGSIGLAIMVLIWRVAKQPIIWLIATPIYIFLSYGYVCVIELFSYSVINMVKSNRWMLFGWLALPVLWAYAYIITAAISVKRFEPVYHYTKEGLHILFNSLNGSIAIAFVLTACIWFPGYLDPNSRIYLRVFNKGPIPLLSGKIRDFVQYTDVDFFTQYYEFTWFPSSKKQGALLETKDSDDNGLLEDDEERGSGRPSGPQHKPTEGPDEINVTTADPNATLSTAANGFEEFQLDDVAAM
+>sp|Q9BWQ6|YIPF2_HUMAN Protein YIPF2 OS=Homo sapiens OX=9606 GN=YIPF2 PE=1 SV=1
+MASADELTFHEFEEATNLLADTPDAATTSRSDQLTPQGHVAVAVGSGGSYGAEDEVEEESDKAALLQEQQQQQQPGFWTFSYYQSFFDVDTSQVLDRIKGSLLPRPGHNFVRHHLRNRPDLYGPFWICATLAFVLAVTGNLTLVLAQRRDPSIHYSPQFHKVTVAGISIYCYAWLVPLALWGFLRWRKGVQERMGPYTFLETVCIYGYSLFVFIPMVVLWLIPVPWLQWLFGALALGLSAAGLVFTLWPVVREDTRLVATVLLSVVVLLHALLAMGCKLYFFQSLPPENVAPPPQITSLPSNIALSPTLPQSLAPS
+>DECOY_sp|Q9BWQ6|YIPF2_HUMAN Protein YIPF2 OS=Homo sapiens OX=9606 GN=YIPF2 PE=1 SV=1
+SPALSQPLTPSLAINSPLSTIQPPPAVNEPPLSQFFYLKCGMALLAHLLVVVSLLVTAVLRTDERVVPWLTFVLGAASLGLALAGFLWQLWPVPILWLVVMPIFVFLSYGYICVTELFTYPGMREQVGKRWRLFGWLALPVLWAYCYISIGAVTVKHFQPSYHISPDRRQALVLTLNGTVALVFALTACIWFPGYLDPRNRLHHRVFNHGPRPLLSGKIRDLVQSTDVDFFSQYYSFTWFGPQQQQQQEQLLAAKDSEEEVEDEAGYSGGSGVAVAVHGQPTLQDSRSTTAADPTDALLNTAEEFEHFTLEDASAM
+>sp|Q9GZM5|YIPF3_HUMAN Protein YIPF3 OS=Homo sapiens OX=9606 GN=YIPF3 PE=1 SV=1
+MATTAAPAGGARNGAGPEWGGFEENIQGGGSAVIDMENMDDTSGSSFEDMGELHQRLREEEVDADAADAAAAEEEDGEFLGMKGFKGQLSRQVADQMWQAGKRQASRAFSLYANIDILRPYFDVEPAQVRSRLLESMIPIKMVNFPQKIAGELYGPLMLVFTLVAILLHGMKTSDTIIREGTLMGTAIGTCFGYWLGVSSFIYFLAYLCNAQITMLQMLALLGYGLFGHCIVLFITYNIHLHALFYLFWLLVGGLSTLRMVAVLVSRTVGPTQRLLLCGTLAALHMLFLLYLHFAYHKVVEGILDTLEGPNIPPIQRVPRDIPAMLPAARLPTTVLNATAKAVAVTLQSH
+>DECOY_sp|Q9GZM5|YIPF3_HUMAN Protein YIPF3 OS=Homo sapiens OX=9606 GN=YIPF3 PE=1 SV=1
+HSQLTVAVAKATANLVTTPLRAAPLMAPIDRPVRQIPPINPGELTDLIGEVVKHYAFHLYLLFLMHLAALTGCLLLRQTPGVTRSVLVAVMRLTSLGGVLLWFLYFLAHLHINYTIFLVICHGFLGYGLLALMQLMTIQANCLYALFYIFSSVGLWYGFCTGIATGMLTGERIITDSTKMGHLLIAVLTFVLMLPGYLEGAIKQPFNVMKIPIMSELLRSRVQAPEVDFYPRLIDINAYLSFARSAQRKGAQWMQDAVQRSLQGKFGKMGLFEGDEEEAAAADAADADVEEERLRQHLEGMDEFSSGSTDDMNEMDIVASGGGQINEEFGGWEPGAGNRAGGAPAATTAM
+>sp|Q969M3|YIPF5_HUMAN Protein YIPF5 OS=Homo sapiens OX=9606 GN=YIPF5 PE=1 SV=1
+MSGFENLNTDFYQTSYSIDDQSQQSYDYGGSGGPYSKQYAGYDYSQQGRFVPPDMMQPQQPYTGQIYQPTQAYTPASPQPFYGNNFEDEPPLLEELGINFDHIWQKTLTVLHPLKVADGSIMNETDLAGPMVFCLAFGATLLLAGKIQFGYVYGISAIGCLGMFCLLNLMSMTGVSFGCVASVLGYCLLPMILLSSFAVIFSLQGMVGIILTAGIIGWCSFSASKIFISALAMEGQQLLVAYPCALLYGVFALISVF
+>DECOY_sp|Q969M3|YIPF5_HUMAN Protein YIPF5 OS=Homo sapiens OX=9606 GN=YIPF5 PE=1 SV=1
+FVSILAFVGYLLACPYAVLLQQGEMALASIFIKSASFSCWGIIGATLIIGVMGQLSFIVAFSSLLIMPLLCYGLVSAVCGFSVGTMSMLNLLCFMGLCGIASIGYVYGFQIKGALLLTAGFALCFVMPGALDTENMISGDAVKLPHLVTLTKQWIHDFNIGLEELLPPEDEFNNGYFPQPSAPTYAQTPQYIQGTYPQQPQMMDPPVFRGQQSYDYGAYQKSYPGGSGGYDYSQQSQDDISYSTQYFDTNLNEFGSM
+>sp|Q499Y3|YJ016_HUMAN Putative uncharacterized protein C10orf88-like OS=Homo sapiens OX=9606 PE=2 SV=2
+MRAVSANYSTGSPAVKSRIELDRIQTIMESMGSKLSPGAQQLINMVRFQQWNCIPIEEQLQLVLGNAGYKQMTGLQCSSALGALDKLSSTPFPFRTGLTSGNVTENLQAYIDKSTQASSGENSTKLDECKIVPQNHSLLENDLKNATSPFLPKKANDNSNIPNSELLPFLQNLCSQVNYLLVGRKAE
+>DECOY_sp|Q499Y3|YJ016_HUMAN Putative uncharacterized protein C10orf88-like OS=Homo sapiens OX=9606 PE=2 SV=2
+EAKRGVLLYNVQSCLNQLFPLLESNPINSNDNAKKPLFPSTANKLDNELLSHNQPVIKCEDLKTSNEGSSAQTSKDIYAQLNETVNGSTLGTRFPFPTSSLKDLAGLASSCQLGTMQKYGANGLVLQLQEEIPICNWQQFRVMNILQQAGPSLKSGMSEMITQIRDLEIRSKVAPSGTSYNASVARM
+>sp|A1L4Q6|YK033_HUMAN Putative uncharacterized protein FLJ41423 OS=Homo sapiens OX=9606 PE=5 SV=1
+MAALSSRCPRSAAGPAYLQEAARSAHWASPPLVPLRTFQSSLFSSGSFHSREEEEEGVSLLRTALVGQGPVPLFLGSLFCAGCRQGPSVWSCGEPVPRRIWVTASVTPSPRQALHPCSDSLDILKALHLLPAAFSPFIWVQVFAEPSNKESRGENDGGEERESANIY
+>DECOY_sp|A1L4Q6|YK033_HUMAN Putative uncharacterized protein FLJ41423 OS=Homo sapiens OX=9606 PE=5 SV=1
+YINASEREEGGDNEGRSEKNSPEAFVQVWIFPSFAAPLLHLAKLIDLSDSCPHLAQRPSPTVSATVWIRRPVPEGCSWVSPGQRCGACFLSGLFLPVPGQGVLATRLLSVGEEEEERSHFSGSSFLSSQFTRLPVLPPSAWHASRAAEQLYAPGAASRPCRSSLAAM
+>sp|O15498|YKT6_HUMAN Synaptobrevin homolog YKT6 OS=Homo sapiens OX=9606 GN=YKT6 PE=1 SV=1
+MKLYSLSVLYKGEAKVVLLKAAYDVSSFSFFQRSSVQEFMTFTSQLIVERSSKGTRASVKEQDYLCHVYVRNDSLAGVVIADNEYPSRVAFTLLEKVLDEFSKQVDRIDWPVGSPATIHYPALDGHLSRYQNPREADPMTKVQAELDETKIILHNTMESLLERGEKLDDLVSKSEVLGTQSKAFYKTARKQNSCCAIM
+>DECOY_sp|O15498|YKT6_HUMAN Synaptobrevin homolog YKT6 OS=Homo sapiens OX=9606 GN=YKT6 PE=1 SV=1
+MIACCSNQKRATKYFAKSQTGLVESKSVLDDLKEGRELLSEMTNHLIIKTEDLEAQVKTMPDAERPNQYRSLHGDLAPYHITAPSGVPWDIRDVQKSFEDLVKELLTFAVRSPYENDAIVVGALSDNRVYVHCLYDQEKVSARTGKSSREVILQSTFTMFEQVSSRQFFSFSSVDYAAKLLVVKAEGKYLVSLSYLKM
+>sp|Q6ZV60|YL023_HUMAN Putative uncharacterized protein encoded by LINC00173 OS=Homo sapiens OX=9606 GN=LINC00173 PE=5 SV=1
+MSFSPYSTMITVCVCFNSRVQLTVPSFTAWLRSRYSKALFMVLRRAAQEKDKGVCQGWHCVKKWACKGRIPGQPLQPQPLGPYLRSLSQHPATQTPRPQARASSRYLELHRSQNRGGSEFKFWFCYCLIACCRDSISSSGKWE
+>DECOY_sp|Q6ZV60|YL023_HUMAN Putative uncharacterized protein encoded by LINC00173 OS=Homo sapiens OX=9606 GN=LINC00173 PE=5 SV=1
+EWKGSSSISDRCCAILCYCFWFKFESGGRNQSRHLELYRSSARAQPRPTQTAPHQSLSRLYPGLPQPQLPQGPIRGKCAWKKVCHWGQCVGKDKEQAARRLVMFLAKSYRSRLWATFSPVTLQVRSNFCVCVTIMTSYPSFSM
+>sp|Q8N5A5|ZGPAT_HUMAN Zinc finger CCCH-type with G patch domain-containing protein OS=Homo sapiens OX=9606 GN=ZGPAT PE=1 SV=3
+MDEESLESALQTYRAQLQQVELALGAGLDSSEQADLRQLQGDLKELIELTEASLVSVRKSSLLAALDEERPGRQEDAEYQAFREAITEAVEAPAAARGSGSETVPKAEAGPESAAGGQEEEEGEDEEELSGTKVSAPYYSSWGTLEYHNAMVVGTEEAEDGSAGVRVLYLYPTHKSLKPCPFFLEGKCRFKENCRFSHGQVVSLDELRPFQDPDLSSLQAGSACLAKHQDGLWHAARITDVDNGYYTVKFDSLLLREAVVEGDGILPPLRTEATESDSDSDGTGDSSYARVVGSDAVDSAQSSALCPSLAVVGSDAVDSGTCSSAFAGWEVHTRGIGSRLLTKMGYEFGKGLGRHAEGRVEPIHAVVLPRGKSLDQCVETLQKQTRVGKAGTNKPPRCRGRGARPGGRPAPRNVFDFLNEKLQGQAPGALEAGAAPAGRRSKDMYHASKSAKRALSLRLFQTEEKIERTQRDIRSIQEALARNAGRHSVASAQLQEKLAGAQRQLGQLRAQEAGLQQEQRKADTHKKMTEF
+>DECOY_sp|Q8N5A5|ZGPAT_HUMAN Zinc finger CCCH-type with G patch domain-containing protein OS=Homo sapiens OX=9606 GN=ZGPAT PE=1 SV=3
+FETMKKHTDAKRQEQQLGAEQARLQGLQRQAGALKEQLQASAVSHRGANRALAEQISRIDRQTREIKEETQFLRLSLARKASKSAHYMDKSRRGAPAAGAELAGPAQGQLKENLFDFVNRPAPRGGPRAGRGRCRPPKNTGAKGVRTQKQLTEVCQDLSKGRPLVVAHIPEVRGEAHRGLGKGFEYGMKTLLRSGIGRTHVEWGAFASSCTGSDVADSGVVALSPCLASSQASDVADSGVVRAYSSDGTGDSDSDSETAETRLPPLIGDGEVVAERLLLSDFKVTYYGNDVDTIRAAHWLGDQHKALCASGAQLSSLDPDQFPRLEDLSVVQGHSFRCNEKFRCKGELFFPCPKLSKHTPYLYLVRVGASGDEAEETGVVMANHYELTGWSSYYPASVKTGSLEEEDEGEEEEQGGAASEPGAEAKPVTESGSGRAAAPAEVAETIAERFAQYEADEQRGPREEDLAALLSSKRVSVLSAETLEILEKLDGQLQRLDAQESSDLGAGLALEVQQLQARYTQLASELSEEDM
+>sp|Q9NZV7|ZIM2_HUMAN Zinc finger imprinted 2 OS=Homo sapiens OX=9606 GN=ZIM2 PE=1 SV=1
+MYQPEDDNNSDVTSDDDMTRNRRESSPPHSVHSFSGDRDWDRRGRSRDMEPRDRWSHTRNPRSRMPPRDLSLPVVAKTSFEMDREDDRDSRAYESRSQDAESYQNVVDLAEDRKPHNTIQDNMENYRKLLSLGFLAQDSVPAEKRNTEMLDNLPSAGSQFPDFKHLGTFLVFEELVTFEDVLVDFSPEELSSLSAAQRNLYREVMLENYRNLVSLGHQFSKPDIISRLEEEESYAMETDSRHTVICQGESHDDPLEPHQGNQEKLLTPITMNDPKTLTPERSYGSDEFERSSNLSKQSKDPLGKDPQEGTAPGICTSPQSASQENKHNRCEFCKRTFSTQVALRRHERIHTGKKPYECKQCAEAFYLMPHLNRHQKTHSGRKTSGCNEGRKPSVQCANLCERVRIHSQEDYFECFQCGKAFLQNVHLLQHLKAHEAARVLPPGLSHSKTYLIRYQRKHDYVGERACQCCDCGRVFSRNSYLIQHYRTHTQERPYQCQLCGKCFGRPSYLTQHYQLHSQEKTVECDHC
+>DECOY_sp|Q9NZV7|ZIM2_HUMAN Zinc finger imprinted 2 OS=Homo sapiens OX=9606 GN=ZIM2 PE=1 SV=1
+CHDCEVTKEQSHLQYHQTLYSPRGFCKGCLQCQYPREQTHTRYHQILYSNRSFVRGCDCCQCAREGVYDHKRQYRILYTKSHSLGPPLVRAAEHAKLHQLLHVNQLFAKGCQFCEFYDEQSHIRVRECLNACQVSPKRGENCGSTKRGSHTKQHRNLHPMLYFAEACQKCEYPKKGTHIREHRRLAVQTSFTRKCFECRNHKNEQSASQPSTCIGPATGEQPDKGLPDKSQKSLNSSREFEDSGYSREPTLTKPDNMTIPTLLKEQNGQHPELPDDHSEGQCIVTHRSDTEMAYSEEEELRSIIDPKSFQHGLSVLNRYNELMVERYLNRQAASLSSLEEPSFDVLVDEFTVLEEFVLFTGLHKFDPFQSGASPLNDLMETNRKEAPVSDQALFGLSLLKRYNEMNDQITNHPKRDEALDVVNQYSEADQSRSEYARSDRDDERDMEFSTKAVVPLSLDRPPMRSRPNRTHSWRDRPEMDRSRGRRDWDRDGSFSHVSHPPSSERRNRTMDDDSTVDSNNDDEPQYM
+>sp|Q63HK3|ZKSC2_HUMAN Zinc finger protein with KRAB and SCAN domains 2 OS=Homo sapiens OX=9606 GN=ZKSCAN2 PE=1 SV=2
+MAVALDSQIDAPLEVEGCLIMKVEKDPEWASEPILEGSDSSETFRKCFRQFCYEDVTGPHEAFSKLWELCCRWLKPEMRSKEQILELLVIEQFLTILPEKIQAWAQKQCPQSGEEAVALVVHLEKETGRLRQQVSSPVHREKHSPLGAAWEVADFQPEQVETQPRAVSREEPGSLHSGHQEQLNRKRERRPLPKNARPSPWVPALADEWNTLDQEVTTTRLPAGSQEPVKDVHVARGFSYRKSVHQIPAQRDLYRDFRKENVGNVVSLGSAVSTSNKITRLEQRKEPWTLGLHSSNKRSILRSNYVKEKSVHAIQVPARSAGKTWREQQQWGLEDEKIAGVHWSYEETKTFLAILKESRFYETLQACPRNSQVYGAVAEWLRECGFLRTPEQCRTKFKSLQKSYRKVRNGHMLEPCAFFEDMDALLNPAARAPSTDKPKEMIPVPRLKRIAISAKEHISLVEEEEAAEDSDDDEIGIEFIRKSEIHGAPVLFQNLSGVHWGYEETKTFLDILRETRFYEALQACHRKSKLYGAVAEQLRECGFLRTPEQCRTKFKSLQKSYRKVKNGHVLESCAFYKEMDALINSRASAPSPSTPEEVPSPSRQERGGIEVEPQEPTGWEPEETSQEAVIEDSCSERMSEEEIVQEPEFQGPPGLLQSPNDFEIGSSIKEDPTQIVYKDMEQHRALIEKSKRVVSQSTDPSKYRKRECISGRQWENLQGIRQGKPMSQPRDLGKAVVHQRPFVGKRPYRLLKYGESFGRSTRLMCRMTHHKENPYKCGVCGKCFGRSRSLIRHQRIHTGEKPFKCLDCGKSFNDSSNFGAHQRIHTGEKPYRCGECGKCFSQSSSLIIHQRTHTGEKPYQCGECGKSFTNSSHFSAHRRVHTGENPYKCVDCEKSFNNCTRFREHRRIHTGEKPYGCAQCGKRFSKSSVLTKHREVHVREKPLPHPPSLYCPENPHKGKTDEFRKTF
+>DECOY_sp|Q63HK3|ZKSC2_HUMAN Zinc finger protein with KRAB and SCAN domains 2 OS=Homo sapiens OX=9606 GN=ZKSCAN2 PE=1 SV=2
+FTKRFEDTKGKHPNEPCYLSPPHPLPKERVHVERHKTLVSSKSFRKGCQACGYPKEGTHIRRHERFRTCNNFSKECDVCKYPNEGTHVRRHASFHSSNTFSKGCEGCQYPKEGTHTRQHIILSSSQSFCKGCEGCRYPKEGTHIRQHAGFNSSDNFSKGCDLCKFPKEGTHIRQHRILSRSRGFCKGCVGCKYPNEKHHTMRCMLRTSRGFSEGYKLLRYPRKGVFPRQHVVAKGLDRPQSMPKGQRIGQLNEWQRGSICERKRYKSPDTSQSVVRKSKEILARHQEMDKYVIQTPDEKISSGIEFDNPSQLLGPPGQFEPEQVIEEESMRESCSDEIVAEQSTEEPEWGTPEQPEVEIGGREQRSPSPVEEPTSPSPASARSNILADMEKYFACSELVHGNKVKRYSKQLSKFKTRCQEPTRLFGCERLQEAVAGYLKSKRHCAQLAEYFRTERLIDLFTKTEEYGWHVGSLNQFLVPAGHIESKRIFEIGIEDDDSDEAAEEEEVLSIHEKASIAIRKLRPVPIMEKPKDTSPARAAPNLLADMDEFFACPELMHGNRVKRYSKQLSKFKTRCQEPTRLFGCERLWEAVAGYVQSNRPCAQLTEYFRSEKLIALFTKTEEYSWHVGAIKEDELGWQQQERWTKGASRAPVQIAHVSKEKVYNSRLISRKNSSHLGLTWPEKRQELRTIKNSTSVASGLSVVNGVNEKRFDRYLDRQAPIQHVSKRYSFGRAVHVDKVPEQSGAPLRTTTVEQDLTNWEDALAPVWPSPRANKPLPRRERKRNLQEQHGSHLSGPEERSVARPQTEVQEPQFDAVEWAAGLPSHKERHVPSSVQQRLRGTEKELHVVLAVAEEGSQPCQKQAWAQIKEPLITLFQEIVLLELIQEKSRMEPKLWRCCLEWLKSFAEHPGTVDEYCFQRFCKRFTESSDSGELIPESAWEPDKEVKMILCGEVELPADIQSDLAVAM
+>sp|Q9Y2L8|ZKSC5_HUMAN Zinc finger protein with KRAB and SCAN domains 5 OS=Homo sapiens OX=9606 GN=ZKSCAN5 PE=1 SV=1
+MIMTESREVIDLDPPAETSQEQEDLFIVKVEEEDCTWMQEYNPPTFETFYQRFRHFQYHEASGPREALSQLRVLCCEWLRPELHTKEQILELLVLEQFLTILPEEFQPWVREHHPESGEEAVAVIENIQRELEERRQQIVACPDVLPRKMATPGAVQESCSPHPLTVDTQPEQAPQKPRLLEENALPVLQVPSLPLKDSQELTASLLSTGSQKLVKIEEVADVAVSFILEEWGHLDQSQKSLYRDDRKENYGSITSMGYESRDNMELIVKQISDDSESHWVAPEHTERSVPQDPDFAEVSDLKGMVQRWQVNPTVGKSRQNPSQKRDLDAITDISPKQSTHGERGHRCSDCGKFFLQASNFIQHRRIHTGEKPFKCGECGKSYNQRVHLTQHQRVHTGEKPYKCQVCGKAFRVSSHLVQHHSVHSGERPYGCNECGKNFGRHSHLIEHLKRHFREKSQRCSDKRSKNTKLSVKKKISEYSEADMELSGKTQRNVSQVQDFGEGCEFQGKLDRKQGIPMKEILGQPSSKRMNYSEVPYVHKKSSTGERPHKCNECGKSFIQSAHLIQHQRIHTGEKPFRCEECGKSYNQRVHLTQHQRVHTGEKPYTCPLCGKAFRVRSHLVQHQSVHSGERPFKCNECGKGFGRRSHLAGHLRLHSREKSHQCRECGEIFFQYVSLIEHQVLHMGQKNEKNGICEEAYSWNLTVIEDKKIELQEQPYQCDICGKAFGYSSDLIQHYRTHTAEKPYQCDICRENVGQCSHTKQHQKIYSSTKSHQCHECGRGFTLKSHLNQHQRIHTGEKPFQCKECGMNFSWSCSLFKHLRSHERTDPINTLSVEGSLL
+>DECOY_sp|Q9Y2L8|ZKSC5_HUMAN Zinc finger protein with KRAB and SCAN domains 5 OS=Homo sapiens OX=9606 GN=ZKSCAN5 PE=1 SV=1
+LLSGEVSLTNIPDTREHSRLHKFLSCSWSFNMGCEKCQFPKEGTHIRQHQNLHSKLTFGRGCEHCQHSKTSSYIKQHQKTHSCQGVNERCIDCQYPKEATHTRYHQILDSSYGFAKGCIDCQYPQEQLEIKKDEIVTLNWSYAEECIGNKENKQGMHLVQHEILSVYQFFIEGCERCQHSKERSHLRLHGALHSRRGFGKGCENCKFPREGSHVSQHQVLHSRVRFAKGCLPCTYPKEGTHVRQHQTLHVRQNYSKGCEECRFPKEGTHIRQHQILHASQIFSKGCENCKHPREGTSSKKHVYPVESYNMRKSSPQGLIEKMPIGQKRDLKGQFECGEGFDQVQSVNRQTKGSLEMDAESYESIKKKVSLKTNKSRKDSCRQSKERFHRKLHEILHSHRGFNKGCENCGYPREGSHVSHHQVLHSSVRFAKGCVQCKYPKEGTHVRQHQTLHVRQNYSKGCEGCKFPKEGTHIRRHQIFNSAQLFFKGCDSCRHGREGHTSQKPSIDTIADLDRKQSPNQRSKGVTPNVQWRQVMGKLDSVEAFDPDQPVSRETHEPAVWHSESDDSIQKVILEMNDRSEYGMSTISGYNEKRDDRYLSKQSQDLHGWEELIFSVAVDAVEEIKVLKQSGTSLLSATLEQSDKLPLSPVQLVPLANEELLRPKQPAQEPQTDVTLPHPSCSEQVAGPTAMKRPLVDPCAVIQQRREELERQINEIVAVAEEGSEPHHERVWPQFEEPLITLFQELVLLELIQEKTHLEPRLWECCLVRLQSLAERPGSAEHYQFHRFRQYFTEFTPPNYEQMWTCDEEEVKVIFLDEQEQSTEAPPDLDIVERSETMIM
+>sp|Q9P0L1|ZKSC7_HUMAN Zinc finger protein with KRAB and SCAN domains 7 OS=Homo sapiens OX=9606 GN=ZKSCAN7 PE=1 SV=2
+MTTAGRGNLGLIPRSTAFQKQEGRLTVKQEPANQTWGQGSSLQKNYPPVCEIFRLHFRQLCYHEMSGPQEALSRLRELCRWWLMPEVHTKEQILELLVLEQFLSILPGELRTWVQLHHPESGEEAVAVVEDFQRHLSGSEEVSAPAQKQEMHFEETTALGTTKESPPTSPLSGGSAPGAHLEPPYDPGTHHLPSGDFAQCTSPVPTLPQVGNSGDQAGATVLRMVRPQDTVAYEDLSVDYTQKKWKSLTLSQRALQWNMMPENHHSMASLAGENMMKGSELTPKQEFFKGSESSNRTSGGLFGVVPGAAETGDVCEDTFKELEGQTSDEEGSRLENDFLEITDEDKKKSTKDRYDKYKEVGEHPPLSSSPVEHEGVLKGQKSYRCDECGKAFNRSSHLIGHQRIHTGEKPYECNECGKTFRQTSQLIVHLRTHTGEKPYECSECGKAYRHSSHLIQHQRLHNGEKPYKCNECAKAFTQSSRLTDHQRTHTGEKPYECNECGEAFIRSKSLARHQVLHTGKKPYKCNECGRAFCSNRNLIDHQRIHTGEKPYECSECGKAFSRSKCLIRHQSLHTGEKPYKCSECGKAFNQNSQLIEHERIHTGEKPFECSECGKAFGLSKCLIRHQRLHTGEKPYKCNECGKSFNQNSHLIIHQRIHTGEKPYECNECGKVFSYSSSLMVHQRTHTGEKPYKCNDCGKAFSDSSQLIVHQRVHTGEKPYECSECGKAFSQRSTFNHHQRTHTGEKSSGLAWSVS
+>DECOY_sp|Q9P0L1|ZKSC7_HUMAN Zinc finger protein with KRAB and SCAN domains 7 OS=Homo sapiens OX=9606 GN=ZKSCAN7 PE=1 SV=2
+SVSWALGSSKEGTHTRQHHNFTSRQSFAKGCESCEYPKEGTHVRQHVILQSSDSFAKGCDNCKYPKEGTHTRQHVMLSSSYSFVKGCENCEYPKEGTHIRQHIILHSNQNFSKGCENCKYPKEGTHLRQHRILCKSLGFAKGCESCEFPKEGTHIREHEILQSNQNFAKGCESCKYPKEGTHLSQHRILCKSRSFAKGCESCEYPKEGTHIRQHDILNRNSCFARGCENCKYPKKGTHLVQHRALSKSRIFAEGCENCEYPKEGTHTRQHDTLRSSQTFAKACENCKYPKEGNHLRQHQILHSSHRYAKGCESCEYPKEGTHTRLHVILQSTQRFTKGCENCEYPKEGTHIRQHGILHSSRNFAKGCEDCRYSKQGKLVGEHEVPSSSLPPHEGVEKYKDYRDKTSKKKDEDTIELFDNELRSGEEDSTQGELEKFTDECVDGTEAAGPVVGFLGGSTRNSSESGKFFEQKPTLESGKMMNEGALSAMSHHNEPMMNWQLARQSLTLSKWKKQTYDVSLDEYAVTDQPRVMRLVTAGAQDGSNGVQPLTPVPSTCQAFDGSPLHHTGPDYPPELHAGPASGGSLPSTPPSEKTTGLATTEEFHMEQKQAPASVEESGSLHRQFDEVVAVAEEGSEPHHLQVWTRLEGPLISLFQELVLLELIQEKTHVEPMLWWRCLERLRSLAEQPGSMEHYCLQRFHLRFIECVPPYNKQLSSGQGWTQNAPEQKVTLRGEQKQFATSRPILGLNGRGATTM
+>sp|Q5H9K5|ZMAT1_HUMAN Zinc finger matrin-type protein 1 OS=Homo sapiens OX=9606 GN=ZMAT1 PE=2 SV=1
+MESCSVTRLECSGAISAHCSLHLPGSSDSPASASQIAGTTDAIWNEQEKAELFTDKFCQVCGVMLQFESQRISHYEGEKHAQNVSFYFQMHGEQNEVPGKKMKMHVENFQVHRYEGVDKNKFCDLCNMMFSSPLIAQSHYVGKVHAKKLKQLMEEHDQASPSGFQPEMAFSMRTYVCHICSIAFTSLDMFRSHMQGSEHQIKESIVINLVKNSRKTQDSYQNECADYINVQKARGLEAKTCFRKMEESSLETRRYREVVDSRPRHRMFEQRLPFETFRTYAAPYNISQAMEKQLPHSKKTYDSFQDELEDYIKVQKARGLDPKTCFRKMRENSVDTHGYREMVDSGPRSRMCEQRFSHEASQTYQRPYHISPVESQLPQWLPTHSKRTYDSFQDELEDYIKVQKARGLEPKTCFRKIGDSSVETHRNREMVDVRPRHRMLEQKLPCETFQTYSGPYSISQVVENQLPHCLPAHDSKQRLDSISYCQLTRDCFPEKPVPLSLNQQENNSGSYSVESEVYKHLSSENNTADHQAGHKQKHQKRKRHLEEGKERPEKEQSKHKRKKSYEDTDLDKDKSIRQRKREEDRVKVSSGKLKHRKKKKSHDVPSEKEERKHRKEKKKSVEERTEEEMLWDESILGF
+>DECOY_sp|Q5H9K5|ZMAT1_HUMAN Zinc finger matrin-type protein 1 OS=Homo sapiens OX=9606 GN=ZMAT1 PE=2 SV=1
+FGLISEDWLMEEETREEVSKKKEKRHKREEKESPVDHSKKKKRHKLKGSSVKVRDEERKRQRISKDKDLDTDEYSKKRKHKSQEKEPREKGEELHRKRKQHKQKHGAQHDATNNESSLHKYVESEVSYSGSNNEQQNLSLPVPKEPFCDRTLQCYSISDLRQKSDHAPLCHPLQNEVVQSISYPGSYTQFTECPLKQELMRHRPRVDVMERNRHTEVSSDGIKRFCTKPELGRAKQVKIYDELEDQFSDYTRKSHTPLWQPLQSEVPSIHYPRQYTQSAEHSFRQECMRSRPGSDVMERYGHTDVSNERMKRFCTKPDLGRAKQVKIYDELEDQFSDYTKKSHPLQKEMAQSINYPAAYTRFTEFPLRQEFMRHRPRSDVVERYRRTELSSEEMKRFCTKAELGRAKQVNIYDACENQYSDQTKRSNKVLNIVISEKIQHESGQMHSRFMDLSTFAISCIHCVYTRMSFAMEPQFGSPSAQDHEEMLQKLKKAHVKGVYHSQAILPSSFMMNCLDCFKNKDVGEYRHVQFNEVHMKMKKGPVENQEGHMQFYFSVNQAHKEGEYHSIRQSEFQLMVGCVQCFKDTFLEAKEQENWIADTTGAIQSASAPSDSSGPLHLSCHASIAGSCELRTVSCSEM
+>sp|Q96NC0|ZMAT2_HUMAN Zinc finger matrin-type protein 2 OS=Homo sapiens OX=9606 GN=ZMAT2 PE=1 SV=1
+MASGSGTKNLDFRRKWDKDEYEKLAEKRLTEEREKKDGKPVQPVKRELLRHRDYKVDLESKLGKTIVITKTTPQSEMGGYYCNVCDCVVKDSINFLDHINGKKHQRNLGMSMRVERSTLDQVKKRFEVNKKKMEEKQKDYDFEERMKELREEEEKAKAYKKEKQKEKKRRAEEDLTFEEDDEMAAVMGFSGFGSTKKSY
+>DECOY_sp|Q96NC0|ZMAT2_HUMAN Zinc finger matrin-type protein 2 OS=Homo sapiens OX=9606 GN=ZMAT2 PE=1 SV=1
+YSKKTSGFGSFGMVAAMEDDEEFTLDEEARRKKEKQKEKKYAKAKEEEERLEKMREEFDYDKQKEEMKKKNVEFRKKVQDLTSREVRMSMGLNRQHKKGNIHDLFNISDKVVCDCVNCYYGGMESQPTTKTIVITKGLKSELDVKYDRHRLLERKVPQVPKGDKKEREETLRKEALKEYEDKDWKRRFDLNKTGSGSAM
+>sp|Q14202|ZMYM3_HUMAN Zinc finger MYM-type protein 3 OS=Homo sapiens OX=9606 GN=ZMYM3 PE=1 SV=2
+MDPSDFPSPFDPLTLPEKPLAGDLPVDMEFGEDLLESQTAPTRGWAPPGPSPSSGALDLLDTPAGLEKDPGVLDGATELLGLGGLLYKAPSPPEVDHGPEGTLAWDAGDQTLEPGPGGQTPEVVPPDPGAGANSCSPEGLLEPLAPDSPITLQSPHIEEEETTSIATARRGSPGQEEELPQGQPQSPNAPPSPSVGETLGDGINSSQTKPGGSSPPAHPSLPGDGLTAKASEKPPERKRSERVRRAEPPKPEVVDSTESIPVSDEDSDAMVDDPNDEDFVPFRPRRSPRMSLRSSVSQRAGRSAVGTKMTCAHCRTPLQKGQTAYQRKGLPQLFCSSSCLTTFSKKPSGKKTCTFCKKEIWNTKDSVVAQTGSGGSFHEFCTSVCLSLYEAQQQRPIPQSGDPADATRCSICQKTGEVLHEVSNGSVVHRLCSDSCFSKFRANKGLKTNCCDQCGAYIYTKTGSPGPELLFHEGQQKRFCNTTCLGAYKKKNTRVYPCVWCKTLCKNFEMLSHVDRNGKTSLFCSLCCTTSYKVKQAGLTGPPRPCSFCRRSLSDPCYYNKVDRTVYQFCSPSCWTKFQRTSPEGGIHLSCHYCHSLFSGKPEVLDWQDQVFQFCCRDCCEDFKRLRGVVSQCEHCRQEKLLHEKLRFSGVEKSFCSEGCVLLYKQDFTKKLGLCCITCTYCSQTCQRGVTEQLDGSTWDFCSEDCKSKYLLWYCKAARCHACKRQGKLLETIHWRGQIRHFCNQQCLLRFYSQQNQPNLDTQSGPESLLNSQSPESKPQTPSQTKVENSNTVRTPEENGNLGKIPVKTRSAPTAPTPPPPPPPATPRKNKAAMCKPLMQNRGVSCKVEMKSKGSQTEEWKPQVIVLPIPVPIFVPVPMHLYCQKVPVPFSMPIPVPVPMFLPTTLESTDKIVETIEELKVKIPSNPLEADILAMAEMIAEAEELDKASSDLCDLVSNQSAEGLLEDCDLFGPARDDVLAMAVKMANVLDEPGQDLEADFPKNPLDINPSVDFLFDCGLVGPEDVSTEQDLPRTMRKGQKRLVLSESCSRDSMSSQPSCTGLNYSYGVNAWKCWVQSKYANGETSKGDELRFGPKPMRIKEDILACSAAELNYGLAQFVREITRPNGERYEPDSIYYLCLGIQQYLLENNRMVNIFTDLYYLTFVQELNKSLSTWQPTLLPNNTVFSRVEEEHLWECKQLGVYSPFVLLNTLMFFNTKFFGLQTAEEHMQLSFTNVVRQSRKCTTPRGTTKVVSIRYYAPVRQRKGRDTGPGKRKREDEAPILEQRENRMNPLRCPVKFYEFYLSKCPESLRTRNDVFYLQPERSCIAESPLWYSVIPMDRSMLESMLNRILAVREIYEELGRPGEEDLD
+>DECOY_sp|Q14202|ZMYM3_HUMAN Zinc finger MYM-type protein 3 OS=Homo sapiens OX=9606 GN=ZMYM3 PE=1 SV=2
+DLDEEGPRGLEEYIERVALIRNLMSELMSRDMPIVSYWLPSEAICSREPQLYFVDNRTRLSEPCKSLYFEYFKVPCRLPNMRNERQELIPAEDERKRKGPGTDRGKRQRVPAYYRISVVKTTGRPTTCKRSQRVVNTFSLQMHEEATQLGFFKTNFFMLTNLLVFPSYVGLQKCEWLHEEEVRSFVTNNPLLTPQWTSLSKNLEQVFTLYYLDTFINVMRNNELLYQQIGLCLYYISDPEYREGNPRTIERVFQALGYNLEAASCALIDEKIRMPKPGFRLEDGKSTEGNAYKSQVWCKWANVGYSYNLGTCSPQSSMSDRSCSESLVLRKQGKRMTRPLDQETSVDEPGVLGCDFLFDVSPNIDLPNKPFDAELDQGPEDLVNAMKVAMALVDDRAPGFLDCDELLGEASQNSVLDCLDSSAKDLEEAEAIMEAMALIDAELPNSPIKVKLEEITEVIKDTSELTTPLFMPVPVPIPMSFPVPVKQCYLHMPVPVFIPVPIPLVIVQPKWEETQSGKSKMEVKCSVGRNQMLPKCMAAKNKRPTAPPPPPPPTPATPASRTKVPIKGLNGNEEPTRVTNSNEVKTQSPTQPKSEPSQSNLLSEPGSQTDLNPQNQQSYFRLLCQQNCFHRIQGRWHITELLKGQRKCAHCRAAKCYWLLYKSKCDESCFDWTSGDLQETVGRQCTQSCYTCTICCLGLKKTFDQKYLLVCGESCFSKEVGSFRLKEHLLKEQRCHECQSVVGRLRKFDECCDRCCFQFVQDQWDLVEPKGSFLSHCYHCSLHIGGEPSTRQFKTWCSPSCFQYVTRDVKNYYCPDSLSRRCFSCPRPPGTLGAQKVKYSTTCCLSCFLSTKGNRDVHSLMEFNKCLTKCWVCPYVRTNKKKYAGLCTTNCFRKQQGEHFLLEPGPSGTKTYIYAGCQDCCNTKLGKNARFKSFCSDSCLRHVVSGNSVEHLVEGTKQCISCRTADAPDGSQPIPRQQQAEYLSLCVSTCFEHFSGGSGTQAVVSDKTNWIEKKCFTCTKKGSPKKSFTTLCSSSCFLQPLGKRQYATQGKQLPTRCHACTMKTGVASRGARQSVSSRLSMRPSRRPRFPVFDEDNPDDVMADSDEDSVPISETSDVVEPKPPEARRVRESRKREPPKESAKATLGDGPLSPHAPPSSGGPKTQSSNIGDGLTEGVSPSPPANPSQPQGQPLEEEQGPSGRRATAISTTEEEEIHPSQLTIPSDPALPELLGEPSCSNAGAGPDPPVVEPTQGGPGPELTQDGADWALTGEPGHDVEPPSPAKYLLGGLGLLETAGDLVGPDKELGAPTDLLDLAGSSPSPGPPAWGRTPATQSELLDEGFEMDVPLDGALPKEPLTLPDFPSPFDSPDM
+>sp|Q9UJ78|ZMYM5_HUMAN Zinc finger MYM-type protein 5 OS=Homo sapiens OX=9606 GN=ZMYM5 PE=1 SV=4
+MEKCSVGGLELTEQTPALLGNMAMATSLMDIGDSFGHPACPLVSRSRNSPVEDDDDDDDVVFIESIQPPSISAPAIADQRNFIFASSKNEKPQGNYSVIPPSSRDLASQKGNISETIVIDDEEDIETNGGAEKKSSCFIEWGLPGTKNKTNDLDFSTSSLSRSKTKTGVRPFNPGRMNVAGDLFQNGEFATHHSPDSWISQSASFPSNQKQPGVDSLSPVALLRKQNFQPTAQQQLTKPAKITCANCKKPLQKGQTAYQRKGSAHLFCSTTCLSSFSHKRTQNTRSIICKKDASTKKANVILPVESSKSFQEFYSTSCLSPCENNWNLKKGVFNKSRCTICSKLAEIRHEVSVNNVTHKLCSNHCFNKYRLANGLIMNCCEHCGEYMPSKSTGNNILVIGGQQKRFCCQSCINEYKQMMETKSKKLTASENRKRNAFREENEKQLYGSSNTLLKKIEGIPEKKEKTSQLQLSVECGTDTLLIQENVNLPPSSTSTIADTFQEQLEEKNFEDSIVPVVLSADPGTWPRILNIKQRDTLVENVPPQVRNFNFPKDNTGRKFSETYYTRILPNGEKTTRSWLLYSTSKDSVFCLYCKLFGEGKNQLKNENGCKDWQHLSHILSKHEESEMHVNNSVKYSKLKSDLKKNKAIDAAEHRLYENEKNDGVLLLYT
+>DECOY_sp|Q9UJ78|ZMYM5_HUMAN Zinc finger MYM-type protein 5 OS=Homo sapiens OX=9606 GN=ZMYM5 PE=1 SV=4
+TYLLLVGDNKENEYLRHEAADIAKNKKLDSKLKSYKVSNNVHMESEEHKSLIHSLHQWDKCGNENKLQNKGEGFLKCYLCFVSDKSTSYLLWSRTTKEGNPLIRTYYTESFKRGTNDKPFNFNRVQPPVNEVLTDRQKINLIRPWTGPDASLVVPVISDEFNKEELQEQFTDAITSTSSPPLNVNEQILLTDTGCEVSLQLQSTKEKKEPIGEIKKLLTNSSGYLQKENEERFANRKRNESATLKKSKTEMMQKYENICSQCCFRKQQGGIVLINNGTSKSPMYEGCHECCNMILGNALRYKNFCHNSCLKHTVNNVSVEHRIEALKSCITCRSKNFVGKKLNWNNECPSLCSTSYFEQFSKSSEVPLIVNAKKTSADKKCIISRTNQTRKHSFSSLCTTSCFLHASGKRQYATQGKQLPKKCNACTIKAPKTLQQQATPQFNQKRLLAVPSLSDVGPQKQNSPFSASQSIWSDPSHHTAFEGNQFLDGAVNMRGPNFPRVGTKTKSRSLSSTSFDLDNTKNKTGPLGWEIFCSSKKEAGGNTEIDEEDDIVITESINGKQSALDRSSPPIVSYNGQPKENKSSAFIFNRQDAIAPASISPPQISEIFVVDDDDDDDEVPSNRSRSVLPCAPHGFSDGIDMLSTAMAMNGLLAPTQETLELGGVSCKEM
+>sp|Q9UII5|ZN107_HUMAN Zinc finger protein 107 OS=Homo sapiens OX=9606 GN=ZNF107 PE=1 SV=1
+MVAKPPVMSFHFAQDLWPEQNIKDSFQKVTLRRYGKCEYENLQLRKGCKHVDECTGHKGGHNTVNQCLTATPSKIFQCNKYVKVFDKFSNSNRYKRRHTGNKHFKCKECSKSFCVLSQLTQHRRIHTRVNSYKCEECGKAFNWFSTLTKHKRIHTGEKPYKCEECGKAFNQSSQLTRHKIIHTEEKPNKCEECGKAFKQASHLTIHKIIHTGEKPYKYEECGKVFSQSSHLTTQKILHTGENLYKCKECGKAFNLFSNLTNHKRIHAGEKPYKCKECGRAFNISSNLNKQEKIHTGGKLNKCEECDKAFNRSLKLTAHKKILMEEKPYKCEECGKVFNQFSTLTRHKIIHTGEKPYKCKECGKAFNQSSNLTEHKKIHTAEKSYKCEECGKAFNQHSNLINHRKIYSGEKPYKCEECGKAFNRSSTLTRHKKIHTGEKPYKCEECDRAFSQSSNLTEHKKIHTGEKPYKCEECGKAFNRFSTLTKHKRIHTGEKPYKCEECGKAFNQSYQLTRHKIVHTKEKLNKCEEFGKAFKQSSHRTIHKIIHTGEKPYKCEEHGKVFNQSSNLTTQKIIHTGENLYKFEEHGKAFNLFSNITNHKIIYTGEKPHKCEECGKAYNRFSNLTIHKRIHTGEKPYQCAECGKAFNCSSTLNRHKIIHTGEKPYKCKECGKAFNLSSTLTAHKKIHTGEKPYKCEECGKAFNQSSNLTTHKKIHTSEKPYKCEECGKSFNQFSSLNIHKIIHTGEKPYKCGDYGRAFNLSSNLTTHKKIHTGEKPYKCEYGKT
+>DECOY_sp|Q9UII5|ZN107_HUMAN Zinc finger protein 107 OS=Homo sapiens OX=9606 GN=ZNF107 PE=1 SV=1
+TKGYECKYPKEGTHIKKHTTLNSSLNFARGYDGCKYPKEGTHIIKHINLSSFQNFSKGCEECKYPKESTHIKKHTTLNSSQNFAKGCEECKYPKEGTHIKKHATLTSSLNFAKGCEKCKYPKEGTHIIKHRNLTSSCNFAKGCEACQYPKEGTHIRKHITLNSFRNYAKGCEECKHPKEGTYIIKHNTINSFLNFAKGHEEFKYLNEGTHIIKQTTLNSSQNFVKGHEECKYPKEGTHIIKHITRHSSQKFAKGFEECKNLKEKTHVIKHRTLQYSQNFAKGCEECKYPKEGTHIRKHKTLTSFRNFAKGCEECKYPKEGTHIKKHETLNSSQSFARDCEECKYPKEGTHIKKHRTLTSSRNFAKGCEECKYPKEGSYIKRHNILNSHQNFAKGCEECKYSKEATHIKKHETLNSSQNFAKGCEKCKYPKEGTHIIKHRTLTSFQNFVKGCEECKYPKEEMLIKKHATLKLSRNFAKDCEECKNLKGGTHIKEQKNLNSSINFARGCEKCKYPKEGAHIRKHNTLNSFLNFAKGCEKCKYLNEGTHLIKQTTLHSSQSFVKGCEEYKYPKEGTHIIKHITLHSAQKFAKGCEECKNPKEETHIIKHRTLQSSQNFAKGCEECKYPKEGTHIRKHKTLTSFWNFAKGCEECKYSNVRTHIRRHQTLQSLVCFSKSCEKCKFHKNGTHRRKYRNSNSFKDFVKVYKNCQFIKSPTATLCQNVTNHGGKHGTCEDVHKCGKRLQLNEYECKGYRRLTVKQFSDKINQEPWLDQAFHFSMVPPKAVM
+>sp|Q03924|ZN117_HUMAN Zinc finger protein 117 OS=Homo sapiens OX=9606 GN=ZNF117 PE=2 SV=5
+MKRHEMVAKHLVMFYYFAQHLWPEQNIRDSFQKVTLRRYRKCGYENLQLRKGCKSVVECKQHKGDYSGLNQCLKTTLSKIFQCNKYVEVFHKISNSNRHKMRHTENKHFKCKECRKTFCMLSHLTQHKRIQTRVNFYKCEAYGRAFNWSSTLNKHKRIHTGEKPYKCKECGKAFNQTSHLIRHKRIHTEEKPYKCEECGKAFNQSSTLTTHNIIHTGEIPYKCEKCVRAFNQASKLTEHKLIHTGEKRYECEECGKAFNRSSKLTEHKYIHTGEKLYKCEECGKAFNQSSTLTTHKRIHSGEKPYKCEECGKAFKQFSNLTDHKKIHTGEKPYKCEECGKAFNQLSNLTRHKVIHTGEKPYKCGECGKAFNQSSALNTHKIIHTGENPHKCRESGKVFHLSSKLSTCKKIHTGEKLYKCEECGKAFNRSSTLIGHKRIHTGEKPYKCEECGKAFNQSSTLTTHKIIHTEEKQYKCDECGKAST
+>DECOY_sp|Q03924|ZN117_HUMAN Zinc finger protein 117 OS=Homo sapiens OX=9606 GN=ZNF117 PE=2 SV=5
+TSAKGCEDCKYQKEETHIIKHTTLTSSQNFAKGCEECKYPKEGTHIRKHGILTSSRNFAKGCEECKYLKEGTHIKKCTSLKSSLHFVKGSERCKHPNEGTHIIKHTNLASSQNFAKGCEGCKYPKEGTHIVKHRTLNSLQNFAKGCEECKYPKEGTHIKKHDTLNSFQKFAKGCEECKYPKEGSHIRKHTTLTSSQNFAKGCEECKYLKEGTHIYKHETLKSSRNFAKGCEECEYRKEGTHILKHETLKSAQNFARVCKECKYPIEGTHIINHTTLTSSQNFAKGCEECKYPKEETHIRKHRILHSTQNFAKGCEKCKYPKEGTHIRKHKNLTSSWNFARGYAECKYFNVRTQIRKHQTLHSLMCFTKRCEKCKFHKNETHRMKHRNSNSIKHFVEVYKNCQFIKSLTTKLCQNLGSYDGKHQKCEVVSKCGKRLQLNEYGCKRYRRLTVKQFSDRINQEPWLHQAFYYFMVLHKAVMEHRKM
+>sp|Q15973|ZN124_HUMAN Zinc finger protein 124 OS=Homo sapiens OX=9606 GN=ZNF124 PE=1 SV=2
+MSGHPGSWEMNSVAFEDVAVNFTQEEWALLDPSQKNLYRDVMQETFRNLASIGNKGEDQSIEDQYKNSSRNLRHIISHSGNNPYGCEECGKKPCTCKQCQKTSLSVTRVHRDTVMHTGNGHYGCTICEKVFNIPSSFQIHQRNHTGEKPYECMECGKALGFSRSLNRHKRIHTGEKRYECKQCGKAFSRSSHLRDHERTHTGEKPYECKHCGKAFRYSNCLHYHERTHTGEKPYVCMECGKAFSCLSSLQGHIKAHAGEEPYPCKQCGKAFRYASSLQKHEKTHIAQKPYVCNNCGKGFRCSSSLRDHERTHTGEKPYECQKCGKAFSRASTLWKHKKTHTGEKPYKCKKM
+>DECOY_sp|Q15973|ZN124_HUMAN Zinc finger protein 124 OS=Homo sapiens OX=9606 GN=ZNF124 PE=1 SV=2
+MKKCKYPKEGTHTKKHKWLTSARSFAKGCKQCEYPKEGTHTREHDRLSSSCRFGKGCNNCVYPKQAIHTKEHKQLSSAYRFAKGCQKCPYPEEGAHAKIHGQLSSLCSFAKGCEMCVYPKEGTHTREHYHLCNSYRFAKGCHKCEYPKEGTHTREHDRLHSSRSFAKGCQKCEYRKEGTHIRKHRNLSRSFGLAKGCEMCEYPKEGTHNRQHIQFSSPINFVKECITCGYHGNGTHMVTDRHVRTVSLSTKQCQKCTCPKKGCEECGYPNNGSHSIIHRLNRSSNKYQDEISQDEGKNGISALNRFTEQMVDRYLNKQSPDLLAWEEQTFNVAVDEFAVSNMEWSGPHGSM
+>sp|P52736|ZN133_HUMAN Zinc finger protein 133 OS=Homo sapiens OX=9606 GN=ZNF133 PE=1 SV=2
+MAFRDVAVDFTQDEWRLLSPAQRTLYREVMLENYSNLVSLGISFSKPELITQLEQGKETWREEKKCSPATCPADPEPELYLDPFCPPGFSSQKFPMQHVLCNHPPWIFTCLCAEGNIQPGDPGPGDQEKQQQASEGRPWSDQAEGPEGEGAMPLFGRTKKRTLGAFSRPPQRQPVSSRNGLRGVELEASPAQSGNPEETDKLLKRIEVLGFGTVNCGECGLSFSKMTNLLSHQRIHSGEKPYVCGVCEKGFSLKKSLARHQKAHSGEKPIVCRECGRGFNRKSTLIIHERTHSGEKPYMCSECGRGFSQKSNLIIHQRTHSGEKPYVCRECGKGFSQKSAVVRHQRTHLEEKTIVCSDCGLGFSDRSNLISHQRTHSGEKPYACKECGRCFRQRTTLVNHQRTHSKEKPYVCGVCGHSFSQNSTLISHRRTHTGEKPYVCGVCGRGFSLKSHLNRHQNIHSGEKPIVCKDCGRGFSQQSNLIRHQRTHSGEKPMVCGECGRGFSQKSNLVAHQRTHSGERPYVCRECGRGFSHQAGLIRHKRKHSREKPYMCRQCGLGFGNKSALITHKRAHSEEKPCVCRECGQGFLQKSHLTLHQMTHTGEKPYVCKTCGRGFSLKSHLSRHRKTTSVHHRLPVQPDPEPCAGQPSDSLYSL
+>DECOY_sp|P52736|ZN133_HUMAN Zinc finger protein 133 OS=Homo sapiens OX=9606 GN=ZNF133 PE=1 SV=2
+LSYLSDSPQGACPEPDPQVPLRHHVSTTKRHRSLHSKLSFGRGCTKCVYPKEGTHTMQHLTLHSKQLFGQGCERCVCPKEESHARKHTILASKNGFGLGCQRCMYPKERSHKRKHRILGAQHSFGRGCERCVYPREGSHTRQHAVLNSKQSFGRGCEGCVMPKEGSHTRQHRILNSQQSFGRGCDKCVIPKEGSHINQHRNLHSKLSFGRGCVGCVYPKEGTHTRRHSILTSNQSFSHGCVGCVYPKEKSHTRQHNVLTTRQRFCRGCEKCAYPKEGSHTRQHSILNSRDSFGLGCDSCVITKEELHTRQHRVVASKQSFGKGCERCVYPKEGSHTRQHIILNSKQSFGRGCESCMYPKEGSHTREHIILTSKRNFGRGCERCVIPKEGSHAKQHRALSKKLSFGKECVGCVYPKEGSHIRQHSLLNTMKSFSLGCEGCNVTGFGLVEIRKLLKDTEEPNGSQAPSAELEVGRLGNRSSVPQRQPPRSFAGLTRKKTRGFLPMAGEGEPGEAQDSWPRGESAQQQKEQDGPGPDGPQINGEACLCTFIWPPHNCLVHQMPFKQSSFGPPCFPDLYLEPEPDAPCTAPSCKKEERWTEKGQELQTILEPKSFSIGLSVLNSYNELMVERYLTRQAPSLLRWEDQTFDVAVDRFAM
+>sp|P52747|ZN143_HUMAN Zinc finger protein 143 OS=Homo sapiens OX=9606 GN=ZNF143 PE=1 SV=2
+MLLAQINRDSQGMTEFPGGGMEAQHVTLCLTEAVTVADGDNLENMEGVSLQAVTLADGSTAYIQHNSKDAKLIDGQVIQLEDGSAAYVQHVPIPKSTGDSLRLEDGQAVQLEDGTTAFIHHTSKDSYDQSALQAVQLEDGTTAYIHHAVQVPQSDTILAIQADGTVAGLHTGDATIDPDTISALEQYAAKVSIDGSESVAGTGMIGENEQEKKMQIVLQGHATRVTAKSQQSGEKAFRCEYDGCGKLYTTAHHLKVHERSHTGDRPYQCEHAGCGKAFATGYGLKSHVRTHTGEKPYRCSEDNCTKSFKTSGDLQKHIRTHTGERPFKCPFEGCGRSFTTSNIRKVHVRTHTGERPYYCTEPGCGRAFASATNYKNHVRIHTGEKPYVCTVPGCDKRFTEYSSLYKHHVVHTHSKPYNCNHCGKTYKQISTLAMHKRTAHNDTEPIEEEQEAFFEPPPGQGEDVLKGSQITYVTGVEGDDVVSTQVATVTQSGLSQQVTLISQDGTQHVNISQADMQAIGNTITMVTQDGTPITVPAHDAVISSAGTHSVAMVTAEGTEGEQVAIVAQDLAAFHTASSEMGHQQHSHHLVTTETRPLTLVATSNGTQIAVQLGEQPSLEEAIRIASRIQQGETPGLDD
+>DECOY_sp|P52747|ZN143_HUMAN Zinc finger protein 143 OS=Homo sapiens OX=9606 GN=ZNF143 PE=1 SV=2
+DDLGPTEGQQIRSAIRIAEELSPQEGLQVAIQTGNSTAVLTLPRTETTVLHHSHQQHGMESSATHFAALDQAVIAVQEGETGEATVMAVSHTGASSIVADHAPVTIPTGDQTVMTITNGIAQMDAQSINVHQTGDQSILTVQQSLGSQTVTAVQTSVVDDGEVGTVYTIQSGKLVDEGQGPPPEFFAEQEEEIPETDNHATRKHMALTSIQKYTKGCHNCNYPKSHTHVVHHKYLSSYETFRKDCGPVTCVYPKEGTHIRVHNKYNTASAFARGCGPETCYYPREGTHTRVHVKRINSTTFSRGCGEFPCKFPREGTHTRIHKQLDGSTKFSKTCNDESCRYPKEGTHTRVHSKLGYGTAFAKGCGAHECQYPRDGTHSREHVKLHHATTYLKGCGDYECRFAKEGSQQSKATVRTAHGQLVIQMKKEQENEGIMGTGAVSESGDISVKAAYQELASITDPDITADGTHLGAVTGDAQIALITDSQPVQVAHHIYATTGDELQVAQLASQDYSDKSTHHIFATTGDELQVAQGDELRLSDGTSKPIPVHQVYAASGDELQIVQGDILKADKSNHQIYATSGDALTVAQLSVGEMNELNDGDAVTVAETLCLTVHQAEMGGGPFETMGQSDRNIQALLM
+>sp|P51786|ZN157_HUMAN Zinc finger protein 157 OS=Homo sapiens OX=9606 GN=ZNF157 PE=2 SV=2
+MPANGTSPQRFPALIPGEPGRSFEGSVSFEDVAVDFTRQEWHRLDPAQRTMHKDVMLETYSNLASVGLCVAKPEMIFKLERGEELWILEEESSGHGYSGSLSLLCGNGSVGDNALRHDNDLLHHQKIQTLDQNVEYNGCRKAFHEKTGFVRRKRTPRGDKNFECHECGKAYCRKSNLVEHLRIHTGERPYECGECAKTFSARSYLIAHQKTHTGERPFECNECGKSFGRKSQLILHTRTHTGERPYECTECGKTFSEKATLTIHQRTHTGEKPYECSECGKTFRVKISLTQHHRTHTGEKPYECGECGKNFRAKKSLNQHQRIHTGEKPYECGECGKFFRMKMTLNNHQRTHTGEKPYQCNECGKSFRVHSSLGIHQRIHTGEKPYECNECGNAFYVKARLIEHQRMHSGEKPYECSECGKIFSMKKSLCQHRRTHTGEKPYECSECGNAFYVKVRLIEHQRIHTGERPFECQECGKAFCRKAHLTEHQRTHIGWSWRCTMKKASH
+>DECOY_sp|P51786|ZN157_HUMAN Zinc finger protein 157 OS=Homo sapiens OX=9606 GN=ZNF157 PE=2 SV=2
+HSAKKMTCRWSWGIHTRQHETLHAKRCFAKGCEQCEFPREGTHIRQHEILRVKVYFANGCESCEYPKEGTHTRRHQCLSKKMSFIKGCESCEYPKEGSHMRQHEILRAKVYFANGCENCEYPKEGTHIRQHIGLSSHVRFSKGCENCQYPKEGTHTRQHNNLTMKMRFFKGCEGCEYPKEGTHIRQHQNLSKKARFNKGCEGCEYPKEGTHTRHHQTLSIKVRFTKGCESCEYPKEGTHTRQHITLTAKESFTKGCETCEYPREGTHTRTHLILQSKRGFSKGCENCEFPREGTHTKQHAILYSRASFTKACEGCEYPREGTHIRLHEVLNSKRCYAKGCEHCEFNKDGRPTRKRRVFGTKEHFAKRCGNYEVNQDLTQIKQHHLLDNDHRLANDGVSGNGCLLSLSGSYGHGSSEEELIWLEEGRELKFIMEPKAVCLGVSALNSYTELMVDKHMTRQAPDLRHWEQRTFDVAVDEFSVSGEFSRGPEGPILAPFRQPSTGNAPM
+>sp|Q2M3W8|ZN181_HUMAN Zinc finger protein 181 OS=Homo sapiens OX=9606 GN=ZNF181 PE=1 SV=1
+MPQVTFNDVAIDFTHEEWGWLSSAQRDLYKDVMVQNYENLVSVAGLSVTKPYVITLLEDGKEPWMMEKKLSKGMIPDWESRWENKELSTKKDNYDEDSPQTVIIEKVVKQSYEFSNSKKNLEYIEKLEGKHGSQVDHFRPAILTSRESPTADSVYKYNIFRSTFHSKSTLSEPQKISAEGNSHKYDILKKNLPKKSVIKNEKVNGGKKLLNSNKSGAAFSQGKSLTLPQTCNREKIYTCSECGKAFGKQSILNRHWRIHTGEKPYECRECGKTFSHGSSLTRHLISHSGEKPYKCIECGKAFSHVSSLTNHQSTHTGEKPYECMNCGKSFSRVSHLIEHLRIHTQEKLYECRICGKAFIHRSSLIHHQKIHTGEKPYECRECGKAFCCSSHLTRHQRIHTMEKQYECNKCLKVFSSLSFLVQHQSIHTEEKPFECQKCRKSFNQLESLNMHLRNHIRLKPYECSICGKAFSHRSSLLQHHRIHTGEKPYECIKCGKTFSCSSNLTVHQRIHTGEKPYKCNECGKAFSKGSNLTAHQRVHNGEKPNSVVSVEKPLDYMNHYTCEKSYRRETV
+>DECOY_sp|Q2M3W8|ZN181_HUMAN Zinc finger protein 181 OS=Homo sapiens OX=9606 GN=ZNF181 PE=1 SV=1
+VTERRYSKECTYHNMYDLPKEVSVVSNPKEGNHVRQHATLNSGKSFAKGCENCKYPKEGTHIRQHVTLNSSCSFTKGCKICEYPKEGTHIRHHQLLSSRHSFAKGCISCEYPKLRIHNRLHMNLSELQNFSKRCKQCEFPKEETHISQHQVLFSLSSFVKLCKNCEYQKEMTHIRQHRTLHSSCCFAKGCERCEYPKEGTHIKQHHILSSRHIFAKGCIRCEYLKEQTHIRLHEILHSVRSFSKGCNMCEYPKEGTHTSQHNTLSSVHSFAKGCEICKYPKEGSHSILHRTLSSGHSFTKGCERCEYPKEGTHIRWHRNLISQKGFAKGCESCTYIKERNCTQPLTLSKGQSFAAGSKNSNLLKKGGNVKENKIVSKKPLNKKLIDYKHSNGEASIKQPESLTSKSHFTSRFINYKYVSDATPSERSTLIAPRFHDVQSGHKGELKEIYELNKKSNSFEYSQKVVKEIIVTQPSDEDYNDKKTSLEKNEWRSEWDPIMGKSLKKEMMWPEKGDELLTIVYPKTVSLGAVSVLNEYNQVMVDKYLDRQASSLWGWEEHTFDIAVDNFTVQPM
+>sp|O15231|ZN185_HUMAN Zinc finger protein 185 OS=Homo sapiens OX=9606 GN=ZNF185 PE=1 SV=3
+MSISALGGRTKGKPLPPGEEERNNVLKQMKVRTTLKGDKSWITKQDESEGRTIELPSGRSRATSFSSAGEVPKPRPPSTRAPTGYIIRGVFTKPIDSSSQPQQQFPKANGTPKSAASLVRTANAGPPRPSSSGYKMTTEDYKKLAPYNIRRSSTSGDTEEEEEEEVVPFSSDEQKRRSEAASGVLRRTAPREHSYVLSAAKKSTGPTQETQAPFIAKRVEVVEEDGPSEKSQDPPALARSTPGSNSADGGRTKASRAIWIECLPSMPSPAGSQELSSRGEEIVRLQILTPRAGLRLVAPDVEGMRSSPGNKDKEAPCSRELQRDLAGEEAFRAPNTDAARSSAQLSDGNVGSGATGSRPEGLAAVDIGSERGSSSATSVSAVPADRKSNSTAAQEDAKADPKGALADYEGKDVATRVGEAWQERPGAPRGGQGDPAVPAQQPADPSTPERQSSPSGSEQLVRRESCGSSVLTDFEGKDVATKVGEAWQDRPGAPRGGQGDPAVPTQQPADPSTPEQQNSPSGSEQFVRRESCTSRVRSPSSCMVTVTVTATSEQPHIYIPAPASELDSSSTTKGILFVKEYVNASEVSSGKPVSARYSNVSSIEDSFAMEKKPPCGSTPYSERTTGGICTYCNREIRDCPKITLEHLGICCHEYCFKCGICSKPMGDLLDQIFIHRDTIHCGKCYEKLF
+>DECOY_sp|O15231|ZN185_HUMAN Zinc finger protein 185 OS=Homo sapiens OX=9606 GN=ZNF185 PE=1 SV=3
+FLKEYCKGCHITDRHIFIQDLLDGMPKSCIGCKFCYEHCCIGLHELTIKPCDRIERNCYTCIGGTTRESYPTSGCPPKKEMAFSDEISSVNSYRASVPKGSSVESANVYEKVFLIGKTTSSSDLESAPAPIYIHPQESTATVTVTVMCSSPSRVRSTCSERRVFQESGSPSNQQEPTSPDAPQQTPVAPDGQGGRPAGPRDQWAEGVKTAVDKGEFDTLVSSGCSERRVLQESGSPSSQREPTSPDAPQQAPVAPDGQGGRPAGPREQWAEGVRTAVDKGEYDALAGKPDAKADEQAATSNSKRDAPVASVSTASSSGRESGIDVAALGEPRSGTAGSGVNGDSLQASSRAADTNPARFAEEGALDRQLERSCPAEKDKNGPSSRMGEVDPAVLRLGARPTLIQLRVIEEGRSSLEQSGAPSPMSPLCEIWIARSAKTRGGDASNSGPTSRALAPPDQSKESPGDEEVVEVRKAIFPAQTEQTPGTSKKAASLVYSHERPATRRLVGSAAESRRKQEDSSFPVVEEEEEEETDGSTSSRRINYPALKKYDETTMKYGSSSPRPPGANATRVLSAASKPTGNAKPFQQQPQSSSDIPKTFVGRIIYGTPARTSPPRPKPVEGASSFSTARSRGSPLEITRGESEDQKTIWSKDGKLTTRVKMQKLVNNREEEGPPLPKGKTRGGLASISM
+>sp|O14709|ZN197_HUMAN Zinc finger protein 197 OS=Homo sapiens OX=9606 GN=ZNF197 PE=2 SV=1
+MTRENVAHNALRQEGLVKGKDDTWKWGTSFQGSSSSVWETSHLHFRQLRYHETSGPQEALSRLRELCRRWLRPEARTKAQILELLVLEQFLSILPGEIRTWVQLHHPGSGEEAVALVEELQKDLDGPAIQVPVLVKDQDTLQKVVSAPGTTLPPVLPGSHIAAEICPHPPTDLVAFNLQDPQHDSPAPEASALSQEENPRNQLMALMLLTAQPQELVMFEEVSVCFTSEEWACLGPIQRALYWDVMLENYGNVTSLEWETMTENEEVTSKPSSSQRADSHKGTSKRLQGSVPQVLDFEEECEWQVLASQWGNETDERADTVKKVSLCERDKKKRTPPEKQGQKWKELGDSLTFGSAISESLIGTEGKKFYKCDMCCKHFNKISHLINHRRIHTGEKPHKCKECGKGFIQRSSLLMHLRNHSGEKPYKCNECGKAFSQSAYLLNHQRIHTGEKPYKCKECGKGFYRHSGLIIHLRRHSGERPYKCNECGKVFSQNAYLIDHQRLHKGEEPYKCNKCQKAFILKKSLILHQRIHSGEKPYKCDECGKTFAQTTYLIDHQRLHSAENPYKCKECGKVFIRSKSLLLHQRVHTEKKTFGCKKCGKIFSSKSNFIDHKRMHSREKPYKCTECGKAFTQSAYLFDHQRLHNGEKPYECNECGKVFILKKSLILHQRFHTGENLYECKDCGKVFGSNRNLIDHERLHNGEKPYECRECGKTFIMSKSFMVHQKLHTQEKAYKCEDCGKAFSYNSSLLVHRRIHTGEKPFECSECGRAFSSNRNLIEHKRIHSGEKPYECDECGKCFILKKSLIGHQRIHTREKSYKCNDCGKVFSYRSNLIAHQRIHTGEKPYACSECGKGFTYNRNLIEHQRIHSGEKTYECHVCRKVLTSSRNLMVHQRIHTGEKPYKCNECGKDFSQNKNLVVHQRMHTGEKPYECDKCRKSFTSKRNLVGHQRIHTGEKPYGCNDCSKVFRQRKNLTVHQKIHTDEKPCECDVSEKEFSQTSNLHLQQKIHTIEEFSWLQNTNESKIEIQKI
+>DECOY_sp|O14709|ZN197_HUMAN Zinc finger protein 197 OS=Homo sapiens OX=9606 GN=ZNF197 PE=2 SV=1
+IKQIEIKSENTNQLWSFEEITHIKQQLHLNSTQSFEKESVDCECPKEDTHIKQHVTLNKRQRFVKSCDNCGYPKEGTHIRQHGVLNRKSTFSKRCKDCEYPKEGTHMRQHVVLNKNQSFDKGCENCKYPKEGTHIRQHVMLNRSSTLVKRCVHCEYTKEGSHIRQHEILNRNYTFGKGCESCAYPKEGTHIRQHAILNSRYSFVKGCDNCKYSKERTHIRQHGILSKKLIFCKGCEDCEYPKEGSHIRKHEILNRNSSFARGCESCEFPKEGTHIRRHVLLSSNYSFAKGCDECKYAKEQTHLKQHVMFSKSMIFTKGCERCEYPKEGNHLREHDILNRNSGFVKGCDKCEYLNEGTHFRQHLILSKKLIFVKGCENCEYPKEGNHLRQHDFLYASQTFAKGCETCKYPKERSHMRKHDIFNSKSSFIKGCKKCGFTKKETHVRQHLLLSKSRIFVKGCEKCKYPNEASHLRQHDILYTTQAFTKGCEDCKYPKEGSHIRQHLILSKKLIFAKQCKNCKYPEEGKHLRQHDILYANQSFVKGCENCKYPREGSHRRLHIILGSHRYFGKGCEKCKYPKEGTHIRQHNLLYASQSFAKGCENCKYPKEGSHNRLHMLLSSRQIFGKGCEKCKHPKEGTHIRRHNILHSIKNFHKCCMDCKYFKKGETGILSESIASGFTLSDGLEKWKQGQKEPPTRKKKDRECLSVKKVTDAREDTENGWQSALVQWECEEEFDLVQPVSGQLRKSTGKHSDARQSSSPKSTVEENETMTEWELSTVNGYNELMVDWYLARQIPGLCAWEESTFCVSVEEFMVLEQPQATLLMLAMLQNRPNEEQSLASAEPAPSDHQPDQLNFAVLDTPPHPCIEAAIHSGPLVPPLTTGPASVVKQLTDQDKVLVPVQIAPGDLDKQLEEVLAVAEEGSGPHHLQVWTRIEGPLISLFQELVLLELIQAKTRAEPRLWRRCLERLRSLAEQPGSTEHYRLQRFHLHSTEWVSSSSGQFSTGWKWTDDKGKVLGEQRLANHAVNERTM
+>sp|O43670|ZN207_HUMAN BUB3-interacting and GLEBS motif-containing protein ZNF207 OS=Homo sapiens OX=9606 GN=ZNF207 PE=1 SV=1
+MGRKKKKQLKPWCWYCNRDFDDEKILIQHQKAKHFKCHICHKKLYTGPGLAIHCMQVHKETIDAVPNAIPGRTDIELEIYGMEGIPEKDMDERRRLLEQKTQESQKKKQQDDSDEYDDDDSAASTSFQPQPVQPQQGYIPPMAQPGLPPVPGAPGMPPGIPPLMPGVPPLMPGMPPVMPGMPPGMMPMGGMMPPGPGIPPLMPGMPPGMPPPVPRPGIPPMTQAQAVSAPGILNRPPAPTATVPAPQPPVTKPLFPSAGQMGTPVTSSSTASSNSESLSASSKALFPSTAQAQAAVQGPVGTDFKPLNSTPATTTEPPKPTFPAYTQSTASTTSTTNSTAAKPAASITSKPATLTTTSATSKLIHPDEDISLEERRAQLPKYQRNLPRPGQAPIGNPPVGPIGGMMPPQPGIPQQQGMRPPMPPHGQYGGHHQGMPGYLPGAMPPYGQGPPMVPPYQGGPPRPPMGMRPPVMSQGGRY
+>DECOY_sp|O43670|ZN207_HUMAN BUB3-interacting and GLEBS motif-containing protein ZNF207 OS=Homo sapiens OX=9606 GN=ZNF207 PE=1 SV=1
+YRGGQSMVPPRMGMPPRPPGGQYPPVMPPGQGYPPMAGPLYGPMGQHHGGYQGHPPMPPRMGQQQPIGPQPPMMGGIPGVPPNGIPAQGPRPLNRQYKPLQARREELSIDEDPHILKSTASTTTLTAPKSTISAAPKAATSNTTSTTSATSQTYAPFTPKPPETTTAPTSNLPKFDTGVPGQVAAQAQATSPFLAKSSASLSESNSSATSSSTVPTGMQGASPFLPKTVPPQPAPVTATPAPPRNLIGPASVAQAQTMPPIGPRPVPPPMGPPMGPMLPPIGPGPPMMGGMPMMGPPMGPMVPPMGPMLPPVGPMLPPIGPPMGPAGPVPPLGPQAMPPIYGQQPQVPQPQFSTSAASDDDDYEDSDDQQKKKQSEQTKQELLRRREDMDKEPIGEMGYIELEIDTRGPIANPVADITEKHVQMCHIALGPGTYLKKHCIHCKFHKAKQHQILIKEDDFDRNCYWCWPKLQKKKKRGM
+>sp|Q9UL59|ZN214_HUMAN Zinc finger protein 214 OS=Homo sapiens OX=9606 GN=ZNF214 PE=2 SV=2
+MAVTFEDVTIIFTWEEWKFLDSSQKRLYREVMWENYTNVMSVENWNESYKSQEEKFRYLEYENFSYWQGWWNAGAQMYENQNYGETVQGTDSKDLTQQDRSQCQEWLILSTQVPGYGNYELTFESKSLRNLKYKNFMPWQSLETKTTQDYGREIYMSGSHGFQGGRYRLGISRKNLSMEKEQKLIVQHSYIPVEEALPQYVGVICQEDLLRDSMEEKYCGCNKCKGIYYWNSRCVFHKRNQPGENLCQCSICKACFSQRSDLYRHPRNHIGKKLYGCDEVDGNFHQSSGVHFHQRVHIGEVPYSCNACGKSFSQISSLHNHQRVHTEEKFYKIECDKDLSRNSLLHIHQRLHIGEKPFKCNQCGKSFNRSSVLHVHQRVHTGEKPYKCDECGKGFSQSSNLRIHQLVHTGEKSYKCEDCGKGFTQRSNLQIHQRVHTGEKPYKCDDCGKDFSHSSDLRIHQRVHTGEKPYTCPECGKGFSKSSKLHTHQRVHTGEKPYKCEECGKGFSQRSHLLIHQRVHTGEKPYKCHDCGKGFSHSSNLHIHQRVHTGEKPYQCAKCGKGFSHSSALRIHQRVHAGEKPYKCREYYKGFDHNSHLHNNHRRGNL
+>DECOY_sp|Q9UL59|ZN214_HUMAN Zinc finger protein 214 OS=Homo sapiens OX=9606 GN=ZNF214 PE=2 SV=2
+LNGRRHNNHLHSNHDFGKYYERCKYPKEGAHVRQHIRLASSHSFGKGCKACQYPKEGTHVRQHIHLNSSHSFGKGCDHCKYPKEGTHVRQHILLHSRQSFGKGCEECKYPKEGTHVRQHTHLKSSKSFGKGCEPCTYPKEGTHVRQHIRLDSSHSFDKGCDDCKYPKEGTHVRQHIQLNSRQTFGKGCDECKYSKEGTHVLQHIRLNSSQSFGKGCEDCKYPKEGTHVRQHVHLVSSRNFSKGCQNCKFPKEGIHLRQHIHLLSNRSLDKDCEIKYFKEETHVRQHNHLSSIQSFSKGCANCSYPVEGIHVRQHFHVGSSQHFNGDVEDCGYLKKGIHNRPHRYLDSRQSFCAKCISCQCLNEGPQNRKHFVCRSNWYYIGKCKNCGCYKEEMSDRLLDEQCIVGVYQPLAEEVPIYSHQVILKQEKEMSLNKRSIGLRYRGGQFGHSGSMYIERGYDQTTKTELSQWPMFNKYKLNRLSKSEFTLEYNGYGPVQTSLILWEQCQSRDQQTLDKSDTGQVTEGYNQNEYMQAGANWWGQWYSFNEYELYRFKEEQSKYSENWNEVSMVNTYNEWMVERYLRKQSSDLFKWEEWTFIITVDEFTVAM
+>sp|Q9P2Y4|ZN219_HUMAN Zinc finger protein 219 OS=Homo sapiens OX=9606 GN=ZNF219 PE=1 SV=2
+MEGSRPRAPSGHLAPSPPAFDGELDLQRYSNGPAVSAGSLGMGAVSWSESRAGERRFPCPVCGKRFRFNSILALHLRAHPGAQAFQCPHCGHRAAQRALLRSHLRTHQPERPRSPAARLLLELEERALLREARLGRARSSGGMQATPATEGLARPQAPSSSAFRCPYCKGKFRTSAERERHLHILHRPWKCGLCSFGSSQEEELLHHSLTAHGAPERPLAATSAAPPPQPQPQPPPQPEPRSVPQPEPEPEPEREATPTPAPAAPEEPPAPPEFRCQVCGQSFTQSWFLKGHMRKHKASFDHACPVCGRCFKEPWFLKNHMKVHASKLGPLRAPGPASGPARAPQPPDLGLLAYEPLGPALLLAPAPTPAERREPPSLLGYLSLRAGEGRPNGEGAEPGPGRSFGGFRPLSSALPARARRHRAEEPEEEEEVVEAEEETWARGRSLGSLASLHPRPGEGPGHSASAAGAQARSTATQEENGLLVGGTRPEGGRGATGKDCPFCGKSFRSAHHLKVHLRVHTGERPYKCPHCDYAGTQSGSLKYHLQRHHREQRSGAGPGPPPEPPPPSQRGSAPQSGAKPSPQPATWVEGASSPRPPSSGAGPGSRRKPASPGRTLRNGRGGEAEPLDLSLRAGPGGEAGPGGALHRCLFCPFATGAPELMALHLQVHHSRRARGRRPPQADASPPYARVPSGETPPSPSQEGEEGSGLSRPGEAGLGGQER
+>DECOY_sp|Q9P2Y4|ZN219_HUMAN Zinc finger protein 219 OS=Homo sapiens OX=9606 GN=ZNF219 PE=1 SV=2
+REQGGLGAEGPRSLGSGEEGEQSPSPPTEGSPVRAYPPSADAQPPRRGRARRSHHVQLHLAMLEPAGTAFPCFLCRHLAGGPGAEGGPGARLSLDLPEAEGGRGNRLTRGPSAPKRRSGPGAGSSPPRPSSAGEVWTAPQPSPKAGSQPASGRQSPPPPEPPPGPGAGSRQERHHRQLHYKLSGSQTGAYDCHPCKYPREGTHVRLHVKLHHASRFSKGCFPCDKGTAGRGGEPRTGGVLLGNEEQTATSRAQAGAASASHGPGEGPRPHLSALSGLSRGRAWTEEEAEVVEEEEEPEEARHRRARAPLASSLPRFGGFSRGPGPEAGEGNPRGEGARLSLYGLLSPPERREAPTPAPALLLAPGLPEYALLGLDPPQPARAPGSAPGPARLPGLKSAHVKMHNKLFWPEKFCRGCVPCAHDFSAKHKRMHGKLFWSQTFSQGCVQCRFEPPAPPEEPAAPAPTPTAEREPEPEPEPQPVSRPEPQPPPQPQPQPPPAASTAALPREPAGHATLSHHLLEEEQSSGFSCLGCKWPRHLIHLHREREASTRFKGKCYPCRFASSSPAQPRALGETAPTAQMGGSSRARGLRAERLLAREELELLLRAAPSRPREPQHTRLHSRLLARQAARHGCHPCQFAQAGPHARLHLALISNFRFRKGCVPCPFRREGARSESWSVAGMGLSGASVAPGNSYRQLDLEGDFAPPSPALHGSPARPRSGEM
+>sp|Q2VY69|ZN284_HUMAN Zinc finger protein 284 OS=Homo sapiens OX=9606 GN=ZNF284 PE=2 SV=1
+MTMFKEAVTFKDVAVVFTEEELGLLDVSQRKLYRDVMLENFRNLLSVGHQLSHRDTFHFQREEKFWIMETATQREGNSGGKIQTELESVPETGPHEEWSCQQIWEQTASELTRPQDSISSSQFSTQGDVPSQVDAGLSIIHIGETPSEHGKCKKFFSDVSILDLHQQLHSGKISHTCNEYRKRFCYSSALCLHQKVHMGEKRYKCDVCSKAFSQNSQLQTHQRIHTGEKPFKCEQCGKSFSRRSGMYVHCKLHTGEKPHICEECGKAFIHNSQLREHQRIHTGEKPFKCYICGKSFHSRSNLNRHSMVHMQEKSFRCDTCSNSFGQRSALNSHCMDHTKEKLYKCEECGRSFTCRQDLCKHQMDHTGDKPYNCNVCGKGFRWSSCLSRHQRVHNGETTFKCDGCGKRFYMNSQGHSHQRAYREEELYKCQKCGKGYISKFNLDLHQRVHTGERPYNCKECGKSFRWASGILRHKRLHTGEKPFKCEECGKRFTENSKLRFHQRIHTGEKPYKCEECGKGFRWASTHLTHQRLHSREKLFQCEDCGKSSEHSSCLQDQQSDHSGEKTSKCEDCGKRYERRLNLDMILSLFLNDI
+>DECOY_sp|Q2VY69|ZN284_HUMAN Zinc finger protein 284 OS=Homo sapiens OX=9606 GN=ZNF284 PE=2 SV=1
+IDNLFLSLIMDLNLRREYRKGCDECKSTKEGSHDSQQDQLCSSHESSKGCDECQFLKERSHLRQHTLHTSAWRFGKGCEECKYPKEGTHIRQHFRLKSNETFRKGCEECKFPKEGTHLRKHRLIGSAWRFSKGCEKCNYPREGTHVRQHLDLNFKSIYGKGCKQCKYLEEERYARQHSHGQSNMYFRKGCGDCKFTTEGNHVRQHRSLCSSWRFGKGCVNCNYPKDGTHDMQHKCLDQRCTFSRGCEECKYLKEKTHDMCHSNLASRQGFSNSCTDCRFSKEQMHVMSHRNLNSRSHFSKGCIYCKFPKEGTHIRQHERLQSNHIFAKGCEECIHPKEGTHLKCHVYMGSRRSFSKGCQECKFPKEGTHIRQHTQLQSNQSFAKSCVDCKYRKEGMHVKQHLCLASSYCFRKRYENCTHSIKGSHLQQHLDLISVDSFFKKCKGHESPTEGIHIISLGADVQSPVDGQTSFQSSSISDQPRTLESATQEWIQQCSWEEHPGTEPVSELETQIKGGSNGERQTATEMIWFKEERQFHFTDRHSLQHGVSLLNRFNELMVDRYLKRQSVDLLGLEEETFVVAVDKFTVAEKFMTM
+>sp|Q9HBT7|ZN287_HUMAN Zinc finger protein 287 OS=Homo sapiens OX=9606 GN=ZNF287 PE=2 SV=1
+MNSSSRSQILLRWKSDKAQSGPYNVEKEILTSRFLRDTETCRQNFRNFPYPDLAGPRKALSQLRELCLKWLRPEIHSKEQILELLVLEQFLTILPGEVRTWVKSQYPESSEEAVTLVEDLTQILEEEAPQNSTLSQDTPEEDPRGKHAFQTGWLNDLVTKESMTFKDVAVDITQEDWELMRPVQKELYKTVTLQNYWNMVSLGLTVYRPTVIPILEEPWMVIKEILEGPSPEWETKAQACTPVEDMSKLTKEETHTIKLEDSYDYDDRLERRGKGGFWKIHTDERGFSLKSVLSQEYDPTEECLSKYDIYRNNFEKHSNLIVQFDTQLDNKTSVYNEGRATFNHVSYGIVHRKILPGEKPYKCNVCGKKFRKYPSLLKHQSTHAKEKSYECEECGKEFRHISSLIAHQRMHTGEKPYECHQCGKAFSQRAHLTIHQRIHTGEKPYKCDDCGKDFSQRAHLTIHQRTHTGEKPYKCLECGKTFSHSSSLINHQRVHTGEKPYICNECGKTFSQSTHLLQHQKIHTGKKPYKCNECWKVFSQSTYLIRHQRIHSGEKCYKCNECGKAFAHSSTLIQHQTTHTGEKSYICNICGKAFSQSANLTQHHRTHTGEKPYKCSVCGKAFSQSVHLTQHQRIHNGEKPFKCNICGKAYRQGANLTQHQRIHTGEKPYKCNECGKAFIYSSSLNQHQRTHTGERPYKCNECDKDFSQRTCLIQHQRIHTGEKPYACRICGKTFTQSTNLIQHQRVHTGAKHRN
+>DECOY_sp|Q9HBT7|ZN287_HUMAN Zinc finger protein 287 OS=Homo sapiens OX=9606 GN=ZNF287 PE=2 SV=1
+NRHKAGTHVRQHQILNTSQTFTKGCIRCAYPKEGTHIRQHQILCTRQSFDKDCENCKYPREGTHTRQHQNLSSSYIFAKGCENCKYPKEGTHIRQHQTLNAGQRYAKGCINCKFPKEGNHIRQHQTLHVSQSFAKGCVSCKYPKEGTHTRHHQTLNASQSFAKGCINCIYSKEGTHTTQHQILTSSHAFAKGCENCKYCKEGSHIRQHRILYTSQSFVKWCENCKYPKKGTHIKQHQLLHTSQSFTKGCENCIYPKEGTHVRQHNILSSSHSFTKGCELCKYPKEGTHTRQHITLHARQSFDKGCDDCKYPKEGTHIRQHITLHARQSFAKGCQHCEYPKEGTHMRQHAILSSIHRFEKGCEECEYSKEKAHTSQHKLLSPYKRFKKGCVNCKYPKEGPLIKRHVIGYSVHNFTARGENYVSTKNDLQTDFQVILNSHKEFNNRYIDYKSLCEETPDYEQSLVSKLSFGREDTHIKWFGGKGRRELRDDYDYSDELKITHTEEKTLKSMDEVPTCAQAKTEWEPSPGELIEKIVMWPEELIPIVTPRYVTLGLSVMNWYNQLTVTKYLEKQVPRMLEWDEQTIDVAVDKFTMSEKTVLDNLWGTQFAHKGRPDEEPTDQSLTSNQPAEEELIQTLDEVLTVAEESSEPYQSKVWTRVEGPLITLFQELVLLELIQEKSHIEPRLWKLCLERLQSLAKRPGALDPYPFNRFNQRCTETDRLFRSTLIEKEVNYPGSQAKDSKWRLLIQSRSSSNM
+>sp|O60281|ZN292_HUMAN Zinc finger protein 292 OS=Homo sapiens OX=9606 GN=ZNF292 PE=1 SV=3
+MADEEAEQERLSCGEGGCVAELQRLGERLQELELQLRESRVPAVEAATDYCQQLCQTLLEYAEKWKTSEDPLPLLEVYTVAIQSYVKARPYLTSECENVALVLERLALSCVELLLCLPVELSDKQWEQFQTLVQVAHEKLMENGSCELHFLATLAQETGVWKNPVLCTILSQEPLDKDKVNEFLAFEGPILLDMRIKHLIKTNQLSQATALAKLCSDHPEIGIKGSFKQTYLVCLCTSSPNGKLIEEISEVDCKDALEMICNLESEGDEKSALVLCTAFLSRQLQQGDMYCAWELTLFWSKLQQRVEPSIQVYLERCRQLSLLTKTVYHIFFLIKVINSETEGAGLATCIELCVKALRLESTENTEVKISICKTISCLLPDDLEVKRACQLSEFLIEPTVDAYYAVEMLYNQPDQKYDEENLPIPNSLRCELLLVLKTQWPFDPEFWDWKTLKRQCLALMGEEASIVSSIDELNDSEVYEKVVDYQEESKETSMNGLSGGVGANSGLLKDIGDEKQKKREIKQLRERGFISARFRNWQAYMQYCVLCDKEFLGHRIVRHAQKHYKDGIYSCPICAKNFNSKETFVPHVTLHVKQSSKERLAAMKPLRRLGRPPKITTTNENQKTNTVAKQEQRPIKKNSLYSTDFIVFNDNDGSDDENDDKDKSYEPEVIPVQKPVPVNEFNCPVTFCKKGFKYFKNLIAHVKGHKDNEDAKRFLEMQSKKVICQYCRRHFVSVTHLNDHLQMHCGSKPYICIQMKCKAGFNSYAELLTHRKEHQVFRAKCMFPKCGRIFSEAYLLYDHEAQHYNTYTCKFTGCGKVYRSQGELEKHLDDHSTPPEKVLPPEAQLNSSGDSIQPSEVNQNTAENIEKERSMLPSENNIENSLLADRSDAWDKSKAESAVTKQDQISASELRQANGPLSNGLENPATTPLLQSSEVAVSIKVSLNQGIEDNFGKQENSTVEGSGEALVTDLHTPVEDTCNDLCHPGFQERKEQDCFNDAHVTQNSLVNSETLKIGDLTPQNLERQVNNLMTFSVQNQAAFQNNLPTSKFECGDNVKTSSNLYNLPLKTLESIAFVPPQSDLSNSLGTPSVPPKAPVQKFSCQVEGCTRTYNSSQSIGKHMKTAHPDQYAAFKMQRKSKKGQKANNLNTPNNGKFVYFLPSPVNSSNPFFTSQTKANGNPACSAQLQHVSPPIFPAHLASVSTPLLSSMESVINPNITSQDKNEQGGMLCSQMENLPSTALPAQMEDLTKTVLPLNIDSGSDPFLPLPAESSSMSLFPSPADSGTNSVFSQLENNTNHYSSQIEGNTNSSFLKGGNGENAVFPSQVNVANNFSSTNAQQSAPEKVKKDRGRGPNGKERKPKHNKRAKWPAIIRDGKFICSRCYRAFTNPRSLGGHLSKRSYCKPLDGAEIAQELLQSNGQPSLLASMILSTNAVNLQQPQQSTFNPEACFKDPSFLQLLAENRSPAFLPNTFPRSGVTNFNTSVSQEGSEIIKQALETAGIPSTFEGAEMLSHVSTGCVSDASQVNATVMPNPTVPPLLHTVCHPNTLLTNQNRTSNSKTSSIEECSSLPVFPTNDLLLKTVENGLCSSSFPNSGGPSQNFTSNSSRVSVISGPQNTRSSHLNKKGNSASKRRKKVAPPLIAPNASQNLVTSDLTTMGLIAKSVEIPTTNLHSNVIPTCEPQSLVENLTQKLNNVNNQLFMTDVKENFKTSLESHTVLAPLTLKTENGDSQMMALNSCTTSINSDLQISEDNVIQNFEKTLEIIKTAMNSQILEVKSGSQGAGETSQNAQINYNIQLPSVNTVQNNKLPDSSPFSSFISVMPTKSNIPQSEVSHKEDQIQEILEGLQKLKLENDLSTPASQCVLINTSVTLTPTPVKSTADITVIQPVSEMINIQFNDKVNKPFVCQNQGCNYSAMTKDALFKHYGKIHQYTPEMILEIKKNQLKFAPFKCVVPTCTKTFTRNSNLRAHCQLVHHFTTEEMVKLKIKRPYGRKSQSENVPASRSTQVKKQLAMTEENKKESQPALELRAETQNTHSNVAVIPEKQLVEKKSPDKTESSLQVITVTSEQCNTNALTNTQTKGRKIRRHKKEKEEKKRKKPVSQSLEFPTRYSPYRPYRCVHQGCFAAFTIQQNLILHYQAVHKSDLPAFSAEVEEESEAGKESEETETKQTLKEFRCQVSDCSRIFQAITGLIQHYMKLHEMTPEEIESMTASVDVGKFPCDQLECKSSFTTYLNYVVHLEADHGIGLRASKTEEDGVYKCDCEGCDRIYATRSNLLRHIFNKHNDKHKAHLIRPRRLTPGQENMSSKANQEKSKSKHRGTKHSRCGKEGIKMPKTKRKKKNNLENKNAKIVQIEENKPYSLKRGKHVYSIKARNDALSECTSRFVTQYPCMIKGCTSVVTSESNIIRHYKCHKLSKAFTSQHRNLLIVFKRCCNSQVKETSEQEGAKNDVKDSDTCVSESNDNSRTTATVSQKEVEKNEKDEMDELTELFITKLINEDSTSVETQANTSSNVSNDFQEDNLCQSERQKASNLKRVNKEKNVSQNKKRKVEKAEPASAAELSSVRKEEETAVAIQTIEEHPASFDWSSFKPMGFEVSFLKFLEESAVKQKKNTDKDHPNTGNKKGSHSNSRKNIDKTAVTSGNHVCPCKESETFVQFANPSQLQCSDNVKIVLDKNLKDCTELVLKQLQEMKPTVSLKKLEVHSNDPDMSVMKDISIGKATGRGQY
+>DECOY_sp|O60281|ZN292_HUMAN Zinc finger protein 292 OS=Homo sapiens OX=9606 GN=ZNF292 PE=1 SV=3
+YQGRGTAKGISIDKMVSMDPDNSHVELKKLSVTPKMEQLQKLVLETCDKLNKDLVIKVNDSCQLQSPNAFQVFTESEKCPCVHNGSTVATKDINKRSNSHSGKKNGTNPHDKDTNKKQKVASEELFKLFSVEFGMPKFSSWDFSAPHEEITQIAVATEEEKRVSSLEAASAPEAKEVKRKKNQSVNKEKNVRKLNSAKQRESQCLNDEQFDNSVNSSTNAQTEVSTSDENILKTIFLETLEDMEDKENKEVEKQSVTATTRSNDNSESVCTDSDKVDNKAGEQESTEKVQSNCCRKFVILLNRHQSTFAKSLKHCKYHRIINSESTVVSTCGKIMCPYQTVFRSTCESLADNRAKISYVHKGRKLSYPKNEEIQVIKANKNELNNKKKRKTKPMKIGEKGCRSHKTGRHKSKSKEQNAKSSMNEQGPTLRRPRILHAKHKDNHKNFIHRLLNSRTAYIRDCGECDCKYVGDEETKSARLGIGHDAELHVVYNLYTTFSSKCELQDCPFKGVDVSATMSEIEEPTMEHLKMYHQILGTIAQFIRSCDSVQCRFEKLTQKTETEESEKGAESEEEVEASFAPLDSKHVAQYHLILNQQITFAAFCGQHVCRYPRYPSYRTPFELSQSVPKKRKKEEKEKKHRRIKRGKTQTNTLANTNCQESTVTIVQLSSETKDPSKKEVLQKEPIVAVNSHTNQTEARLELAPQSEKKNEETMALQKKVQTSRSAPVNESQSKRGYPRKIKLKVMEETTFHHVLQCHARLNSNRTFTKTCTPVVCKFPAFKLQNKKIELIMEPTYQHIKGYHKFLADKTMASYNCGQNQCVFPKNVKDNFQINIMESVPQIVTIDATSKVPTPTLTVSTNILVCQSAPTSLDNELKLKQLGELIEQIQDEKHSVESQPINSKTPMVSIFSSFPSSDPLKNNQVTNVSPLQINYNIQANQSTEGAGQSGSKVELIQSNMATKIIELTKEFNQIVNDESIQLDSNISTTCSNLAMMQSDGNETKLTLPALVTHSELSTKFNEKVDTMFLQNNVNNLKQTLNEVLSQPECTPIVNSHLNTTPIEVSKAILGMTTLDSTVLNQSANPAILPPAVKKRRKSASNGKKNLHSSRTNQPGSIVSVRSSNSTFNQSPGGSNPFSSSCLGNEVTKLLLDNTPFVPLSSCEEISSTKSNSTRNQNTLLTNPHCVTHLLPPVTPNPMVTANVQSADSVCGTSVHSLMEAGEFTSPIGATELAQKIIESGEQSVSTNFNTVGSRPFTNPLFAPSRNEALLQLFSPDKFCAEPNFTSQQPQQLNVANTSLIMSALLSPQGNSQLLEQAIEAGDLPKCYSRKSLHGGLSRPNTFARYCRSCIFKGDRIIAPWKARKNHKPKREKGNPGRGRDKKVKEPASQQANTSSFNNAVNVQSPFVANEGNGGKLFSSNTNGEIQSSYHNTNNELQSFVSNTGSDAPSPFLSMSSSEAPLPLFPDSGSDINLPLVTKTLDEMQAPLATSPLNEMQSCLMGGQENKDQSTINPNIVSEMSSLLPTSVSALHAPFIPPSVHQLQASCAPNGNAKTQSTFFPNSSNVPSPLFYVFKGNNPTNLNNAKQGKKSKRQMKFAAYQDPHATKMHKGISQSSNYTRTCGEVQCSFKQVPAKPPVSPTGLSNSLDSQPPVFAISELTKLPLNYLNSSTKVNDGCEFKSTPLNNQFAAQNQVSFTMLNNVQRELNQPTLDGIKLTESNVLSNQTVHADNFCDQEKREQFGPHCLDNCTDEVPTHLDTVLAEGSGEVTSNEQKGFNDEIGQNLSVKISVAVESSQLLPTTAPNELGNSLPGNAQRLESASIQDQKTVASEAKSKDWADSRDALLSNEINNESPLMSREKEINEATNQNVESPQISDGSSNLQAEPPLVKEPPTSHDDLHKELEGQSRYVKGCGTFKCTYTNYHQAEHDYLLYAESFIRGCKPFMCKARFVQHEKRHTLLEAYSNFGAKCKMQICIYPKSGCHMQLHDNLHTVSVFHRRCYQCIVKKSQMELFRKADENDKHGKVHAILNKFYKFGKKCFTVPCNFENVPVPKQVPIVEPEYSKDKDDNEDDSGDNDNFVIFDTSYLSNKKIPRQEQKAVTNTKQNENTTTIKPPRGLRRLPKMAALREKSSQKVHLTVHPVFTEKSNFNKACIPCSYIGDKYHKQAHRVIRHGLFEKDCLVCYQMYAQWNRFRASIFGRERLQKIERKKQKEDGIDKLLGSNAGVGGSLGNMSTEKSEEQYDVVKEYVESDNLEDISSVISAEEGMLALCQRKLTKWDWFEPDFPWQTKLVLLLECRLSNPIPLNEEDYKQDPQNYLMEVAYYADVTPEILFESLQCARKVELDDPLLCSITKCISIKVETNETSELRLAKVCLEICTALGAGETESNIVKILFFIHYVTKTLLSLQRCRELYVQISPEVRQQLKSWFLTLEWACYMDGQQLQRSLFATCLVLASKEDGESELNCIMELADKCDVESIEEILKGNPSSTCLCVLYTQKFSGKIGIEPHDSCLKALATAQSLQNTKILHKIRMDLLIPGEFALFENVKDKDLPEQSLITCLVPNKWVGTEQALTALFHLECSGNEMLKEHAVQVLTQFQEWQKDSLEVPLCLLLEVCSLALRELVLAVNECESTLYPRAKVYSQIAVTYVELLPLPDESTKWKEAYELLTQCLQQCYDTAAEVAPVRSERLQLELEQLREGLRQLEAVCGGEGCSLREQEAEEDAM
+>sp|Q8WUU4|ZN296_HUMAN Zinc finger protein 296 OS=Homo sapiens OX=9606 GN=ZNF296 PE=1 SV=1
+MSRRKAGSAPRRVEPAPAANPDDEMEMQDLVIELKPEPDAQPQQAPRLGPFSPKEVSSAGRFGGEPHHSPGPMPAGAALLALGPRNPWTLWTPLTPNYPDRQPWTDKHPDLLTCGRCLQTFPLEAITAFMDHKKLGCQLFRGPSRGQGSEREELKALSCLRCGKQFTVAWKLLRHAQWDHGLSIYQTESEAPEAPLLGLAEVAAAVSAVVGPAAEAKSPRASGSGLTRRSPTCPVCKKTLSSFSNLKVHMRSHTGERPYACDQCPYACAQSSKLNRHKKTHRQVPPQSPLMADTSQEQASAAPPEPAVHAAAPTSTLPCSGGEGAGAAATAGVQEPGAPGSGAQAGPGGDTWGAITTEQRTDPANSQKASPKKMPKSGGKSRGPGGSCEFCGKHFTNSSNLTVHRRSHTGERPYTCEFCNYACAQSSKLNRHRRMHGMTPGSTRFECPHCHVPFGLRATLDKHLRQKHPEAAGEA
+>DECOY_sp|Q8WUU4|ZN296_HUMAN Zinc finger protein 296 OS=Homo sapiens OX=9606 GN=ZNF296 PE=1 SV=1
+AEGAAEPHKQRLHKDLTARLGFPVHCHPCEFRTSGPTMGHMRRHRNLKSSQACAYNCFECTYPREGTHSRRHVTLNSSNTFHKGCFECSGGPGRSKGGSKPMKKPSAKQSNAPDTRQETTIAGWTDGGPGAQAGSGPAGPEQVGATAAAGAGEGGSCPLTSTPAAAHVAPEPPAASAQEQSTDAMLPSQPPVQRHTKKHRNLKSSQACAYPCQDCAYPREGTHSRMHVKLNSFSSLTKKCVPCTPSRRTLGSGSARPSKAEAAPGVVASVAAAVEALGLLPAEPAESETQYISLGHDWQAHRLLKWAVTFQKGCRLCSLAKLEERESGQGRSPGRFLQCGLKKHDMFATIAELPFTQLCRGCTLLDPHKDTWPQRDPYNPTLPTWLTWPNRPGLALLAAGAPMPGPSHHPEGGFRGASSVEKPSFPGLRPAQQPQADPEPKLEIVLDQMEMEDDPNAAPAPEVRRPASGAKRRSM
+>sp|Q9NR11|ZN302_HUMAN Zinc finger protein 302 OS=Homo sapiens OX=9606 GN=ZNF302 PE=1 SV=1
+MSQVTFSDVAIDFSHEEWACLDSAQRDLYKDVMVQNYENLVSVGLSVTKPYVIMLLEDGKEPWMMEKKLSKAYPFPLSHSVPASVNFGFSALFEHCSEVTEIFELSELCVFWVLHFLSNSPNSTVEAFSRSKKKKKKKKKRQCFAFLIYFRLGIKMGKQGIINKEGYLYEDSPQPVTMEKVVKQSYEFSNSNKNLEYTECDTFRSTFHSKSTLSEPQNNSAEGNSHKYDILKKNLSKKSVIKSERINGGKKLLNSNKSGAAFNQSKSLTLPQTCNREKIYTCSECGKAFGKQSILSRHWRIHTGEKPYECRECGKTFSHGSSLTRHQISHSGEKPYKCIECGKAFSHGSSLTNHQSTHTGEKPYECMNCGKSFSRVSLLIQHLRIHTQEKRYECRICGKAFIHSSSLIHHQKSHTGEKPYECRECGKAFCCSSHLTQHQRIHSMKKKYECNKCLKVFSSFSFLVQHQSIHTEEKPFEV
+>DECOY_sp|Q9NR11|ZN302_HUMAN Zinc finger protein 302 OS=Homo sapiens OX=9606 GN=ZNF302 PE=1 SV=1
+VEFPKEETHISQHQVLFSFSSFVKLCKNCEYKKKMSHIRQHQTLHSSCCFAKGCERCEYPKEGTHSKQHHILSSSHIFAKGCIRCEYRKEQTHIRLHQILLSVRSFSKGCNMCEYPKEGTHTSQHNTLSSGHSFAKGCEICKYPKEGSHSIQHRTLSSGHSFTKGCERCEYPKEGTHIRWHRSLISQKGFAKGCESCTYIKERNCTQPLTLSKSQNFAAGSKNSNLLKKGGNIRESKIVSKKSLNKKLIDYKHSNGEASNNQPESLTSKSHFTSRFTDCETYELNKNSNSFEYSQKVVKEMTVPQPSDEYLYGEKNIIGQKGMKIGLRFYILFAFCQRKKKKKKKKKSRSFAEVTSNPSNSLFHLVWFVCLESLEFIETVESCHEFLASFGFNVSAPVSHSLPFPYAKSLKKEMMWPEKGDELLMIVYPKTVSLGVSVLNEYNQVMVDKYLDRQASDLCAWEEHSFDIAVDSFTVQSM
+>sp|Q9HCX3|ZN304_HUMAN Zinc finger protein 304 OS=Homo sapiens OX=9606 GN=ZNF304 PE=1 SV=2
+MAAAVLMDRVQSCVTFEDVFVYFSREEWELLEEAQRFLYRDVMLENFALVATLGFWCEAEHEAPSEQSVSVEGVSQVRTAESGLFQKAHPCEMCDPLLKDILHLAEHQGSHLTQKLCTRGLCRRRFSFSANFYQHQKQHNGENCFRGDDGGASFVKSCTVHMLGRSFTCREEGMDLPDSSGLFQHQTTYNRVSPCRRTECMESFPHSSSLRQHQGDYDGQMLFSCGDEGKAFLDTFTLLDSQMTHAEVRPFRCLPCGNVFKEKSALINHRKIHSGEISHVCKECGKAFIHLHHLKMHQKFHTGKRHYTCSECGKAFSRKDTLVQHQRVHTGERSYDCSECGKAYSRSSHLVQHQRIHTGERPYKCNKCGKAFSRKDTLVQHQRFHTGERPYECSECGKFFSQSSHLIEHWRIHTGARPYECIECGKFFSHNSSLIKHRRVHTGARSYVCSKCGKAFGCKDTLVQHQIIHTGARPYECSECGKAFSRKDTLVQHQKIHTGERPYECGECGKFFSHSSNLIVHQRIHTGAKPYECNECGKCFSHNSSLILHQRVHTGARPYVCSECGKAYISSSHLVQHKKVHTGARPYECSECGKFFSRNSGLILHQRVHTGEKPYVCSECGKAYSRSSHLVRHQKAHTGERAHECNSFGGPLAASLKLV
+>DECOY_sp|Q9HCX3|ZN304_HUMAN Zinc finger protein 304 OS=Homo sapiens OX=9606 GN=ZNF304 PE=1 SV=2
+VLKLSAALPGGFSNCEHAREGTHAKQHRVLHSSRSYAKGCESCVYPKEGTHVRQHLILGSNRSFFKGCESCEYPRAGTHVKKHQVLHSSSIYAKGCESCVYPRAGTHVRQHLILSSNHSFCKGCENCEYPKAGTHIRQHVILNSSHSFFKGCEGCEYPREGTHIKQHQVLTDKRSFAKGCESCEYPRAGTHIIQHQVLTDKCGFAKGCKSCVYSRAGTHVRRHKILSSNHSFFKGCEICEYPRAGTHIRWHEILHSSQSFFKGCESCEYPREGTHFRQHQVLTDKRSFAKGCKNCKYPREGTHIRQHQVLHSSRSYAKGCESCDYSREGTHVRQHQVLTDKRSFAKGCESCTYHRKGTHFKQHMKLHHLHIFAKGCEKCVHSIEGSHIKRHNILASKEKFVNGCPLCRFPRVEAHTMQSDLLTFTDLFAKGEDGCSFLMQGDYDGQHQRLSSSHPFSEMCETRRCPSVRNYTTQHQFLGSSDPLDMGEERCTFSRGLMHVTCSKVFSAGGDDGRFCNEGNHQKQHQYFNASFSFRRRCLGRTCLKQTLHSGQHEALHLIDKLLPDCMECPHAKQFLGSEATRVQSVGEVSVSQESPAEHEAECWFGLTAVLAFNELMVDRYLFRQAEELLEWEERSFYVFVDEFTVCSQVRDMLVAAAM
+>sp|Q9P2F9|ZN319_HUMAN Zinc finger protein 319 OS=Homo sapiens OX=9606 GN=ZNF319 PE=1 SV=2
+MSESWQQPPQTQPQQPQPPQPQHHAEPPPALAEHTLPPGTAENPLGCAVYGILLQPDPGLQPPQHAPLQAAGEPGPKCGVCGHDLAHLSSPHEHQCLAGHDRSFQCTQCLKIFHQATDLLEHQCVQAEQKPFVCGVCKMGFSLLTSLAQHHSSHSGLVKCSICEKTYKPAEAAEPATTAAPSLPAAPAPSTVTPAEQADKPYSCPICQKPFKHLSELSRHERIHTGEKPYKCTLCDKSFSQSSHLVHHKRTHSSERPYKCAVCEKTFKHRSHLVRHMYAHSGEHHLFRCNVCELHFKESSELLQHPCTPSGERPFRCGECQKAFKRPSDLRQHERTHSAERPFKCDLCPMGFKQQYALMRHRRTHKTEEPFKCGLCEKGFGQPSHLLYHQHVHTLETLFKCPVCQKGFDQSAELLRHKCLPGAAERPFKCPVCNKAYKRASALQKHQLAHCAAAEKPLRCTLCERRFFSSSEFVQHRCDPAREKPLKCPDCEKRFKYASDLQRHRRVHTGEKPYKCPNCDKAFKQREHLNKHQGVHAREQQFKCVWCGERFLDVALLQEHSAQHSAAAAAAEGAYQVAACLP
+>DECOY_sp|Q9P2F9|ZN319_HUMAN Zinc finger protein 319 OS=Homo sapiens OX=9606 GN=ZNF319 PE=1 SV=2
+PLCAAVQYAGEAAAAAASHQASHEQLLAVDLFREGCWVCKFQQERAHVGQHKNLHERQKFAKDCNPCKYPKEGTHVRRHRQLDSAYKFRKECDPCKLPKERAPDCRHQVFESSSFFRRECLTCRLPKEAAACHALQHKQLASARKYAKNCVPCKFPREAAGPLCKHRLLEASQDFGKQCVPCKFLTELTHVHQHYLLHSPQGFGKECLGCKFPEETKHTRRHRMLAYQQKFGMPCLDCKFPREASHTREHQRLDSPRKFAKQCEGCRFPREGSPTCPHQLLESSEKFHLECVNCRFLHHEGSHAYMHRVLHSRHKFTKECVACKYPRESSHTRKHHVLHSSQSFSKDCLTCKYPKEGTHIREHRSLESLHKFPKQCIPCSYPKDAQEAPTVTSPAPAAPLSPAATTAPEAAEAPKYTKECISCKVLGSHSSHHQALSTLLSFGMKCVGCVFPKQEAQVCQHELLDTAQHFIKLCQTCQFSRDHGALCQHEHPSSLHALDHGCVGCKPGPEGAAQLPAHQPPQLGPDPQLLIGYVACGLPNEATGPPLTHEALAPPPEAHHQPQPPQPQQPQTQPPQQWSESM
+>sp|Q8N8H1|ZN321_HUMAN Putative protein ZNF321 OS=Homo sapiens OX=9606 GN=ZNF321P PE=5 SV=3
+MMKEFSSTAQGNTEVIHTGTLQRHESHHIRDFCFQEIEKDIHNFEFQWQEEERNGHEAPMTEIKELTGSTDRHDQRHAGNKPIKDQLGSSFHSHLPELHIFQPEWKIGNQVEKSIINASLILTSQRISCSPKTRISNNYGNNSLHSSLPIQKLGSTHERKIFPM
+>DECOY_sp|Q8N8H1|ZN321_HUMAN Putative protein ZNF321 OS=Homo sapiens OX=9606 GN=ZNF321P PE=5 SV=3
+MPFIKREHTSGLKQIPLSSHLSNNGYNNSIRTKPSCSIRQSTLILSANIISKEVQNGIKWEPQFIHLEPLHSHFSSGLQDKIPKNGAHRQDHRDTSGTLEKIETMPAEHGNREEEQWQFEFNHIDKEIEQFCFDRIHHSEHRQLTGTHIVETNGQATSSFEKMM
+>sp|Q86UD4|ZN329_HUMAN Zinc finger protein 329 OS=Homo sapiens OX=9606 GN=ZNF329 PE=1 SV=2
+MRLKMTTRNFPEREVPCDVEVERFTREVPCLSSLGDGWDCENQEGHLRQSALTLEKPGTQEAICEYPGFGEHLIASSDLPPSQRVLATNGFHAPDSNVSGLDCDPALPSYPKSYADKRTGDSDACGKGFNHSMEVIHGRNPVREKPYKYPESVKSFNHFTSLGHQKIMKRGKKSYEGKNFENIFTLSSSLNENQRNLPGEKQYRCTECGKCFKRNSSLVLHHRTHTGEKPYTCNECGKSFSKNYNLIVHQRIHTGEKPYECSKCGKAFSDGSALTQHQRIHTGEKPYECLECGKTFNRNSSLILHQRTHTGEKPYRCNECGKPFTDISHLTVHLRIHTGEKPYECSKCGKAFRDGSYLTQHERTHTGEKPFECAECGKSFNRNSHLIVHQKIHSGEKPYECKECGKTFIESAYLIRHQRIHTGEKPYGCNQCQKLFRNIAGLIRHQRTHTGEKPYECNQCGKAFRDSSCLTKHQRIHTKETPYQCPECGKSFKQNSHLAVHQRLHSREGPSRCPQCGKMFQKSSSLVRHQRAHLGEQPMET
+>DECOY_sp|Q86UD4|ZN329_HUMAN Zinc finger protein 329 OS=Homo sapiens OX=9606 GN=ZNF329 PE=1 SV=2
+TEMPQEGLHARQHRVLSSSKQFMKGCQPCRSPGERSHLRQHVALHSNQKFSKGCEPCQYPTEKTHIRQHKTLCSSDRFAKGCQNCEYPKEGTHTRQHRILGAINRFLKQCQNCGYPKEGTHIRQHRILYASEIFTKGCEKCEYPKEGSHIKQHVILHSNRNFSKGCEACEFPKEGTHTREHQTLYSGDRFAKGCKSCEYPKEGTHIRLHVTLHSIDTFPKGCENCRYPKEGTHTRQHLILSSNRNFTKGCELCEYPKEGTHIRQHQTLASGDSFAKGCKSCEYPKEGTHIRQHVILNYNKSFSKGCENCTYPKEGTHTRHHLVLSSNRKFCKGCETCRYQKEGPLNRQNENLSSSLTFINEFNKGEYSKKGRKMIKQHGLSTFHNFSKVSEPYKYPKERVPNRGHIVEMSHNFGKGCADSDGTRKDAYSKPYSPLAPDCDLGSVNSDPAHFGNTALVRQSPPLDSSAILHEGFGPYECIAEQTGPKELTLASQRLHGEQNECDWGDGLSSLCPVERTFREVEVDCPVEREPFNRTTMKLRM
+>sp|Q9Y3S2|ZN330_HUMAN Zinc finger protein 330 OS=Homo sapiens OX=9606 GN=ZNF330 PE=1 SV=1
+MPKKKTGARKKAENRREREKQLRASRSTIDLAKHPCNASMECDKCQRRQKNRAFCYFCNSVQKLPICAQCGKTKCMMKSSDCVIKHAGVYSTGLAMVGAICDFCEAWVCHGRKCLSTHACACPLTDAECVECERGVWDHGGRIFSCSFCHNFLCEDDQFEHQASCQVLEAETFKCVSCNRLGQHSCLRCKACFCDDHTRSKVFKQEKGKQPPCPKCGHETQETKDLSMSTRSLKFGRQTGGEEGDGASGYDAYWKNLSSDKYGDTSYHDEEEDEYEAEDDEEEEDEGRKDSDTESSDLFTNLNLGRTYASGYAHYEEQEN
+>DECOY_sp|Q9Y3S2|ZN330_HUMAN Zinc finger protein 330 OS=Homo sapiens OX=9606 GN=ZNF330 PE=1 SV=1
+NEQEEYHAYGSAYTRGLNLNTFLDSSETDSDKRGEDEEEEDDEAEYEDEEEDHYSTDGYKDSSLNKWYADYGSAGDGEEGGTQRGFKLSRTSMSLDKTEQTEHGCKPCPPQKGKEQKFVKSRTHDDCFCAKCRLCSHQGLRNCSVCKFTEAELVQCSAQHEFQDDECLFNHCFSCSFIRGGHDWVGRECEVCEADTLPCACAHTSLCKRGHCVWAECFDCIAGVMALGTSYVGAHKIVCDSSKMMCKTKGCQACIPLKQVSNCFYCFARNKQRRQCKDCEMSANCPHKALDITSRSARLQKERERRNEAKKRAGTKKKPM
+>sp|Q9NQX6|ZN331_HUMAN Zinc finger protein 331 OS=Homo sapiens OX=9606 GN=ZNF331 PE=1 SV=1
+MAQGLVTFADVAIDFSQEEWACLNSAQRDLYWDVMLENYSNLVSLDLESAYENKSLPTEKNIHEIRASKRNSDRRSKSLGRNWICEGTLERPQRSRGRYVNQMIINYVKRPATREGTPPRTHQRHHKENSFECKDCGKAFSRGYQLSQHQKIHTGEKPYECKECKKAFRWGNQLTQHQKIHTGEKPYECKDCGKAFRWGSSLVIHKRIHTGEKPYECKDCGKAFRRGDELTQHQRFHTGEKDYECKDCGKTFSRVYKLIQHKRIHSGEKPYECKDCGKAFICGSSLIQHKRIHTGEKPYECQECGKAFTRVNYLTQHQKIHTGEKPHECKECGKAFRWGSSLVKHERIHTGEKPYKCTECGKAFNCGYHLTQHERIHTGETPYKCKECGKAFIYGSSLVKHERIHTGVKPYGCTECGKSFSHGHQLTQHQKTHSGAKSYECKECGKACNHLNHLREHQRIHNS
+>DECOY_sp|Q9NQX6|ZN331_HUMAN Zinc finger protein 331 OS=Homo sapiens OX=9606 GN=ZNF331 PE=1 SV=1
+SNHIRQHERLHNLHNCAKGCEKCEYSKAGSHTKQHQTLQHGHSFSKGCETCGYPKVGTHIREHKVLSSGYIFAKGCEKCKYPTEGTHIREHQTLHYGCNFAKGCETCKYPKEGTHIREHKVLSSGWRFAKGCEKCEHPKEGTHIKQHQTLYNVRTFAKGCEQCEYPKEGTHIRKHQILSSGCIFAKGCDKCEYPKEGSHIRKHQILKYVRSFTKGCDKCEYDKEGTHFRQHQTLEDGRRFAKGCDKCEYPKEGTHIRKHIVLSSGWRFAKGCDKCEYPKEGTHIKQHQTLQNGWRFAKKCEKCEYPKEGTHIKQHQSLQYGRSFAKGCDKCEFSNEKHHRQHTRPPTGERTAPRKVYNIIMQNVYRGRSRQPRELTGECIWNRGLSKSRRDSNRKSARIEHINKETPLSKNEYASELDLSVLNSYNELMVDWYLDRQASNLCAWEEQSFDIAVDAFTVLGQAM
+>sp|Q9HCZ1|ZN334_HUMAN Zinc finger protein 334 OS=Homo sapiens OX=9606 GN=ZNF334 PE=1 SV=2
+MKMKKFQIPVSFQDLTVNFTQEEWQQLDPAQRLLYRDVMLENYSNLVSVGYHVSKPDVIFKLEQGEEPWIVEEFSNQNYPDIDDALEKNKEIQDKHLTQTVFFSNKTLITERENVFGKTLNLGMNSVPSRKMPYKCNPGGNSLKTNSEVIVAKKSKENRKIPDGYSGFGKHEKSHLGMKKYRYNPMRKASNQNENLILHQNIQILKQPFDYNKCGKTFFKRAILITQKGRQTERKPNECNECRKTFSKRSTLIVHQRIHTGEKPYVCSDCRKTFRVKTSLTRHRRIHTGERPYECSECRKTFIDKSALIVHQKIHGGEKSYECNECGKTFFRKSALAEHFRSHTGEKPYECKECGNAFSKKSYLVVHQRTHRGEKPNECKECGKTFFCQSALTAHQRIHTGEKPYECSECEKTFFCQSALNVHRRSHTGEKPYECSQCGKFLCTKSALIAHQITHRGKKSYECNECGKFFCHKSTLTIHQRTHTGEKHGVFNKCGRISIVKSNCSQCKRMNTKENLYECSEHGHAVSKNSHLIVHQRTIWERPYECNECGRTYCRKSALTHHQRTHTGQRPYECNECGKTFCQKFSFVEHQRTHTGEKPYECNECGKSFCHKSAFRVHRRIHTGEKPYECNQCGKTYRRLWTLTEHQKIHTGEKPYECNKCEKTFRHKSNFLLHQKSHKE
+>DECOY_sp|Q9HCZ1|ZN334_HUMAN Zinc finger protein 334 OS=Homo sapiens OX=9606 GN=ZNF334 PE=1 SV=2
+EKHSKQHLLFNSKHRFTKECKNCEYPKEGTHIKQHETLTWLRRYTKGCQNCEYPKEGTHIRRHVRFASKHCFSKGCENCEYPKEGTHTRQHEVFSFKQCFTKGCENCEYPRQGTHTRQHHTLASKRCYTRGCENCEYPREWITRQHVILHSNKSVAHGHESCEYLNEKTNMRKCQSCNSKVISIRGCKNFVGHKEGTHTRQHITLTSKHCFFKGCENCEYSKKGRHTIQHAILASKTCLFKGCQSCEYPKEGTHSRRHVNLASQCFFTKECESCEYPKEGTHIRQHATLASQCFFTKGCEKCENPKEGRHTRQHVVLYSKKSFANGCEKCEYPKEGTHSRFHEALASKRFFTKGCENCEYSKEGGHIKQHVILASKDIFTKRCESCEYPREGTHIRRHRTLSTKVRFTKRCDSCVYPKEGTHIRQHVILTSRKSFTKRCENCENPKRETQRGKQTILIARKFFTKGCKNYDFPQKLIQINQHLILNENQNSAKRMPNYRYKKMGLHSKEHKGFGSYGDPIKRNEKSKKAVIVESNTKLSNGGPNCKYPMKRSPVSNMGLNLTKGFVNERETILTKNSFFVTQTLHKDQIEKNKELADDIDPYNQNSFEEVIWPEEGQELKFIVDPKSVHYGVSVLNSYNELMVDRYLLRQAPDLQQWEEQTFNVTLDQFSVPIQFKKMKM
+>sp|Q06732|ZN33B_HUMAN Zinc finger protein 33B OS=Homo sapiens OX=9606 GN=ZNF33B PE=1 SV=2
+MNKVDQKFQGSVSFKDVTVGFTQEEWQHLDPSQRALYRDVMLENYSNLVSVGYCAHKPEVIFRLEQGEEPWRLEEEFPSQSFPEVWTADHLKERSQENQSKHLWEVVFINNEMLTKEQGNVIGIPFNMDVSSFPSRKMFCQYDSRGMSFNTVSELVISKINYLGKKSDEFNACGKLLLNIKHDETHTREKNEVLKNRNTLSHRENTLQHEKIQTLDHNFEYSICQETLLEKAVFNTRKRENAEENNCDYNEFGRTFCDSSSLLFHQIPPSKDSHYEFSDCEKFLCVKSTLSKHDGVPVKHYDCGESGNNFRRKLCLSQLQKGDKGEKHFECNECGKAFWEKSHLTRHQRVHTGEKHFQCNQCGKTFWEKSNLTKHQRSHTGEKPFECNECGKAFSHKSALTLHQRTHTGEKPYQCNACGKTFYQKSDLTKHQRTHTGQKPYECYECGKSFCMNSHLTVHQRTHTGEKPFECLECGKSFCQKSHLTQHQRTHIGDKPYECNACGKTFYHKSVLTRHQIIHTGLKPYECYECGKTFCLKSDLTIHQRTHTGEKPFACPECGKFFSHKSTLSQHYRTHTGEKPYECHECGKIFYNKSYLTKHNRTHTGEKPYECNECGKTFCQKSQLTQHQRIHIGEKPYECNECGKAFCHKSALIVHQRTHTQEKPYKCNECGKSFCVKSGLILHERKHTGEKPYECNECGKSFSHKSSLTVHHRAHTGEKSCQCNECGKIFYRKSDLAKHQRSHTGEKPYECNTCRKTFSQKSNLIVHQRTHIGEKPYE
+>DECOY_sp|Q06732|ZN33B_HUMAN Zinc finger protein 33B OS=Homo sapiens OX=9606 GN=ZNF33B PE=1 SV=2
+EYPKEGIHTRQHVILNSKQSFTKRCTNCEYPKEGTHSRQHKALDSKRYFIKGCENCQCSKEGTHARHHVTLSSKHSFSKGCENCEYPKEGTHKREHLILGSKVCFSKGCENCKYPKEQTHTRQHVILASKHCFAKGCENCEYPKEGIHIRQHQTLQSKQCFTKGCENCEYPKEGTHTRNHKTLYSKNYFIKGCEHCEYPKEGTHTRYHQSLTSKHSFFKGCEPCAFPKEGTHTRQHITLDSKLCFTKGCEYCEYPKLGTHIIQHRTLVSKHYFTKGCANCEYPKDGIHTRQHQTLHSKQCFSKGCELCEFPKEGTHTRQHVTLHSNMCFSKGCEYCEYPKQGTHTRQHKTLDSKQYFTKGCANCQYPKEGTHTRQHLTLASKHSFAKGCENCEFPKEGTHSRQHKTLNSKEWFTKGCQNCQFHKEGTHVRQHRTLHSKEWFAKGCENCEFHKEGKDGKQLQSLCLKRRFNNGSEGCDYHKVPVGDHKSLTSKVCLFKECDSFEYHSDKSPPIQHFLLSSSDCFTRGFENYDCNNEEANERKRTNFVAKELLTEQCISYEFNHDLTQIKEHQLTNERHSLTNRNKLVENKERTHTEDHKINLLLKGCANFEDSKKGLYNIKSIVLESVTNFSMGRSDYQCFMKRSPFSSVDMNFPIGIVNGQEKTLMENNIFVVEWLHKSQNEQSREKLHDATWVEPFSQSPFEEELRWPEEGQELRFIVEPKHACYGVSVLNSYNELMVDRYLARQSPDLHQWEEQTFGVTVDKFSVSGQFKQDVKNM
+>sp|Q14585|ZN345_HUMAN Zinc finger protein 345 OS=Homo sapiens OX=9606 GN=ZNF345 PE=2 SV=1
+MENLTKHSIECSSFRGDWECKNQFERKQGSQEGHFSEMIFTPEDMPTFSIQHQRIHTDEKLLECKECGKDFSFVSVLVRHQRIHTGEKPYECKECGKAFGSGANLAYHQRIHTGEKPFECKECGKAFGSGSNLTHHQRIHTGEKPYECKECGKAFSFGSGLIRHQIIHSGEKPYECKECGKSFSFESALIRHHRIHTGEKPYECIDCGKAFGSGSNLTQHRRIHTGEKPYECKACGMAFSSGSALTRHQRIHTGEKPYICNECGKAFSFGSALTRHQRIHTGEKPYVCKECGKAFNSGSDLTQHQRIHTGEKPYECKECEKAFRSGSKLIQHQRMHTGEKPYECKECGKTFSSGSDLTQHHRIHTGEKPYECKECGKAFGSGSKLIQHQLIHTGERPYECKECGKSFSSGSALNRHQRIHTGEKPYECKECGKAFYSGSSLTQHQRIHTGEKLYECKNCGKAYGRDSEFQQHKKSHNGKKLCELETIN
+>DECOY_sp|Q14585|ZN345_HUMAN Zinc finger protein 345 OS=Homo sapiens OX=9606 GN=ZNF345 PE=2 SV=1
+NITELECLKKGNHSKKHQQFESDRGYAKGCNKCEYLKEGTHIRQHQTLSSGSYFAKGCEKCEYPKEGTHIRQHRNLASGSSFSKGCEKCEYPREGTHILQHQILKSGSGFAKGCEKCEYPKEGTHIRHHQTLDSGSSFTKGCEKCEYPKEGTHMRQHQILKSGSRFAKECEKCEYPKEGTHIRQHQTLDSGSNFAKGCEKCVYPKEGTHIRQHRTLASGFSFAKGCENCIYPKEGTHIRQHRTLASGSSFAMGCAKCEYPKEGTHIRRHQTLNSGSGFAKGCDICEYPKEGTHIRHHRILASEFSFSKGCEKCEYPKEGSHIIQHRILGSGFSFAKGCEKCEYPKEGTHIRQHHTLNSGSGFAKGCEKCEFPKEGTHIRQHYALNAGSGFAKGCEKCEYPKEGTHIRQHRVLVSVFSFDKGCEKCELLKEDTHIRQHQISFTPMDEPTFIMESFHGEQSGQKREFQNKCEWDGRFSSCEISHKTLNEM
+>sp|Q9UL40|ZN346_HUMAN Zinc finger protein 346 OS=Homo sapiens OX=9606 GN=ZNF346 PE=1 SV=1
+MEYPAPATVQAADGGAAGPYSSSELLEGQEPDGVRFDRERARRLWEAVSGAQPVGREEVEHMIQKNQCLFTNTQCKVCCALLISESQKLAHYQSKKHANKVKRYLAIHGMETLKGETKKLDSDQKSSRSKDKNQCCPICNMTFSSPVVAQSHYLGKTHAKNLKLKQQSTKVEALHQNREMIDPDKFCSLCHATFNDPVMAQQHYVGKKHRKQETKLKLMARYGRLADPAVTDFPAGKGYPCKTCKIVLNSIEQYQAHVSGFKHKNQSPKTVASSLGQIPMQRQPIQKDSTTLED
+>DECOY_sp|Q9UL40|ZN346_HUMAN Zinc finger protein 346 OS=Homo sapiens OX=9606 GN=ZNF346 PE=1 SV=1
+DELTTSDKQIPQRQMPIQGLSSAVTKPSQNKHKFGSVHAQYQEISNLVIKCTKCPYGKGAPFDTVAPDALRGYRAMLKLKTEQKRHKKGVYHQQAMVPDNFTAHCLSCFKDPDIMERNQHLAEVKTSQQKLKLNKAHTKGLYHSQAVVPSSFTMNCIPCCQNKDKSRSSKQDSDLKKTEGKLTEMGHIALYRKVKNAHKKSQYHALKQSESILLACCVKCQTNTFLCQNKQIMHEVEERGVPQAGSVAEWLRRARERDFRVGDPEQGELLESSSYPGAAGGDAAQVTAPAPYEM
+>sp|Q96SE7|ZN347_HUMAN Zinc finger protein 347 OS=Homo sapiens OX=9606 GN=ZNF347 PE=1 SV=2
+MALTQGQVTFRDVAIEFSQEEWTCLDPAQRTLYRDVMLENYRNLASLGISCFDLSIISMLEQGKEPFTLESQVQIAGNPDGWEWIKAVITALSSEFVMKDLLHKGKSNTGEVFQTVMLERQESQDIEGCSFREVQKNTHGLEYQCRDAEGNYKGVLLTQEGNLTHGRDEHDKRDARNKLIKNQLGLSLQSHLPELQLFQYEGKIYECNQVEKSFNNNSSVSPPQQMPYNVKTHISKKYLKDFISSLLLTQGQKANNWGSPYKSNGCGMVFPQNSHLASHQRSHTKEKPYKCYECGKAFRTRSNLTTHQVIHTGEKRYKCNECGKVFSRNSQLSQHQKIHTGEKPYKCNECGKVFTQNSHLVRHRGIHTGEKPYKCNECGKAFRARSSLAIHQATHSGEKPYKCNECGKVFTQNSHLTNHWRIHTGEKPYKCNECGKAFGVRSSLAIHLVIHTGEKPYKCHECGKVFRRNSHLARHQLIHTGEKPYKCNECGKAFRAHSNLTTHQVIHTGEKPYKCNECGKVFTQNSHLANHQRIHTGVKPYMCNECGKAFSVYSSLTTHQVIHTGEKPYKCNECGKVFTQNSHLARHRGIHTGEKPYKCNECGKVFRHNSYLSRHQRIHTGEKPYKYNEYGKAFSEHSNLTTHQVIHTGEKPYKCNECGKVFTQNSHLARHRRVHTGGKPYQCNECGKAFSQTSKLARHQRVHTGEKPYECNQCGKAFSVRSSLTTHQAIHTGKKPYKCNECGKVFTQNSHLARHRGIHTGEKPYKCNECGKAFSQTSKLARHQRIHTGEKPYECGKPFSICSSLTTHQTIHTGGKPYKCNVWKVLKSEFKPCKPSQNS
+>DECOY_sp|Q96SE7|ZN347_HUMAN Zinc finger protein 347 OS=Homo sapiens OX=9606 GN=ZNF347 PE=1 SV=2
+SNQSPKCPKFESKLVKWVNCKYPKGGTHITQHTTLSSCISFPKGCEYPKEGTHIRQHRALKSTQSFAKGCENCKYPKEGTHIGRHRALHSNQTFVKGCENCKYPKKGTHIAQHTTLSSRVSFAKGCQNCEYPKEGTHVRQHRALKSTQSFAKGCENCQYPKGGTHVRRHRALHSNQTFVKGCENCKYPKEGTHIVQHTTLNSHESFAKGYENYKYPKEGTHIRQHRSLYSNHRFVKGCENCKYPKEGTHIGRHRALHSNQTFVKGCENCKYPKEGTHIVQHTTLSSYVSFAKGCENCMYPKVGTHIRQHNALHSNQTFVKGCENCKYPKEGTHIVQHTTLNSHARFAKGCENCKYPKEGTHILQHRALHSNRRFVKGCEHCKYPKEGTHIVLHIALSSRVGFAKGCENCKYPKEGTHIRWHNTLHSNQTFVKGCENCKYPKEGSHTAQHIALSSRARFAKGCENCKYPKEGTHIGRHRVLHSNQTFVKGCENCKYPKEGTHIKQHQSLQSNRSFVKGCENCKYRKEGTHIVQHTTLNSRTRFAKGCEYCKYPKEKTHSRQHSALHSNQPFVMGCGNSKYPSGWNNAKQGQTLLLSSIFDKLYKKSIHTKVNYPMQQPPSVSSNNNFSKEVQNCEYIKGEYQFLQLEPLHSQLSLGLQNKILKNRADRKDHEDRGHTLNGEQTLLVGKYNGEADRCQYELGHTNKQVERFSCGEIDQSEQRELMVTQFVEGTNSKGKHLLDKMVFESSLATIVAKIWEWGDPNGAIQVQSELTFPEKGQELMSIISLDFCSIGLSALNRYNELMVDRYLTRQAPDLCTWEEQSFEIAVDRFTVQGQTLAM
+>sp|Q9H8N7|ZN395_HUMAN Zinc finger protein 395 OS=Homo sapiens OX=9606 GN=ZNF395 PE=1 SV=2
+MASVLSRRLGKRSLLGARVLGPSASEGPSAAPPSEPLLEGAAPQPFTTSDDTPCQEQPKEVLKAPSTSGLQQVAFQPGQKVYVWYGGQECTGLVEQHSWMEGQVTVWLLEQKLQVCCRVEEVWLAELQGPCPQAPPLEPGAQALAYRPVSRNIDVPKRKSDAVEMDEMMAAMVLTSLSCSPVVQSPPGTEANFSASRAACDPWKESGDISDSGSSTTSGHWSGSSGVSTPSPPHPQASPKYLGDAFGSPQTDHGFETDPDPFLLDEPAPRKRKNSVKVMYKCLWPNCGKVLRSIVGIKRHVKALHLGDTVDSDQFKREEDFYYTEVQLKEESAAAAAAAAAGTPVPGTPTSEPAPTPSMTGLPLSALPPPLHKAQSSGPEHPGPESSLPSGALSKSAPGSFWHIQADHAYQALPSFQIPVSPHIYTSVSWAAAPSAACSLSPVRSRSLSFSEPQQPAPAMKSHLIVTSPPRAQSGARKARGEAKKCRKVYGIEHRDQWCTACRWKKACQRFLD
+>DECOY_sp|Q9H8N7|ZN395_HUMAN Zinc finger protein 395 OS=Homo sapiens OX=9606 GN=ZNF395 PE=1 SV=2
+DLFRQCAKKWRCATCWQDRHEIGYVKRCKKAEGRAKRAGSQARPPSTVILHSKMAPAPQQPESFSLSRSRVPSLSCAASPAAAWSVSTYIHPSVPIQFSPLAQYAHDAQIHWFSGPASKSLAGSPLSSEPGPHEPGSSQAKHLPPPLASLPLGTMSPTPAPESTPTGPVPTGAAAAAAAAASEEKLQVETYYFDEERKFQDSDVTDGLHLAKVHRKIGVISRLVKGCNPWLCKYMVKVSNKRKRPAPEDLLFPDPDTEFGHDTQPSGFADGLYKPSAQPHPPSPTSVGSSGSWHGSTTSSGSDSIDGSEKWPDCAARSASFNAETGPPSQVVPSCSLSTLVMAAMMEDMEVADSKRKPVDINRSVPRYALAQAGPELPPAQPCPGQLEALWVEEVRCCVQLKQELLWVTVQGEMWSHQEVLGTCEQGGYWVYVKQGPQFAVQQLGSTSPAKLVEKPQEQCPTDDSTTFPQPAAGELLPESPPAASPGESASPGLVRAGLLSRKGLRRSLVSAM
+>sp|Q96IQ9|ZN414_HUMAN Zinc finger protein 414 OS=Homo sapiens OX=9606 GN=ZNF414 PE=1 SV=2
+MEEKPSGPIPDMLATAEPSSSETDKEVLSPAVPAAAPSSSMSEEPGPEQAATPPVWERGGAGGMQQGSSPAPDSCQPGPGPSPGLTSIVSGTSEDLRPPRRRPPPGKQIPCSSPGCCLSFPSVRDLAQHLRTHCPPTQSLEGKLFRCSALSCTETFPSMQELVAHSKLHYKPNRYFKCENCLLRFRTHRSLFKHLHVCAEHAQSPAPPPPPALDREPPAPERPPEVDPASAPGLPFPLLEPFTTPAPAPTGPFLPYLNPAPFGLSPPRLRPFLAAAPGPPASSAAVWKKSQGAGSSPRRPQGGSDAPSGACR
+>DECOY_sp|Q96IQ9|ZN414_HUMAN Zinc finger protein 414 OS=Homo sapiens OX=9606 GN=ZNF414 PE=1 SV=2
+RCAGSPADSGGQPRRPSSGAGQSKKWVAASSAPPGPAAALFPRLRPPSLGFPAPNLYPLFPGTPAPAPTTFPELLPFPLGPASAPDVEPPREPAPPERDLAPPPPPAPSQAHEACVHLHKFLSRHTRFRLLCNECKFYRNPKYHLKSHAVLEQMSPFTETCSLASCRFLKGELSQTPPCHTRLHQALDRVSPFSLCCGPSSCPIQKGPPPRRRPPRLDESTGSVISTLGPSPGPGPQCSDPAPSSGQQMGGAGGREWVPPTAAQEPGPEESMSSSPAAAPVAPSLVEKDTESSSPEATALMDPIPGSPKEEM
+>sp|Q9BWM5|ZN416_HUMAN Zinc finger protein 416 OS=Homo sapiens OX=9606 GN=ZNF416 PE=2 SV=1
+MAAAVLRDSTSVPVTAEAKLMGFTQGCVTFEDVAIYFSQEEWGLLDEAQRLLYRDVMLENFALITALVCWHGMEDEETPEQSVSVEGVPQVRTPEASPSTQKIQSCDMCVPFLTDILHLTDLPGQELYLTGACAVFHQDQKHHSAEKPLESDMDKASFVQCCLFHESGMPFTSSEVGKDFLAPLGILQPQAIANYEKPNKISKCEEAFHVGISHYKWSQCRRESSHKHTFFHPRVCTGKRLYESSKCGKACCCECSLVQLQRVHPGERPYECSECGKSFSQTSHLNDHRRIHTGERPYVCGQCGKSFSQRATLIKHHRVHTGERPYECGECGKSFSQSSNLIEHCRIHTGERPYECDECGKAFGSKSTLVRHQRTHTGEKPYECGECGKLFRQSFSLVVHQRIHTTARPYECGQCGKSFSLKCGLIQHQLIHSGARPFECDECGKSFSQRTTLNKHHKVHTAERPYVCGECGKAFMFKSKLVRHQRTHTGERPFECSECGKFFRQSYTLVEHQKIHTGLRPYDCGQCGKSFIQKSSLIQHQVVHTGERPYECGKCGKSFTQHSGLILHRKSHTVERPRDSSKCGKPYSPRSNIV
+>DECOY_sp|Q9BWM5|ZN416_HUMAN Zinc finger protein 416 OS=Homo sapiens OX=9606 GN=ZNF416 PE=2 SV=1
+VINSRPSYPKGCKSSDRPREVTHSKRHLILGSHQTFSKGCKGCEYPREGTHVVQHQILSSKQIFSKGCQGCDYPRLGTHIKQHEVLTYSQRFFKGCESCEFPREGTHTRQHRVLKSKFMFAKGCEGCVYPREATHVKHHKNLTTRQSFSKGCEDCEFPRAGSHILQHQILGCKLSFSKGCQGCEYPRATTHIRQHVVLSFSQRFLKGCEGCEYPKEGTHTRQHRVLTSKSGFAKGCEDCEYPREGTHIRCHEILNSSQSFSKGCEGCEYPREGTHVRHHKILTARQSFSKGCQGCVYPREGTHIRRHDNLHSTQSFSKGCESCEYPREGPHVRQLQVLSCECCCAKGCKSSEYLRKGTCVRPHFFTHKHSSERRCQSWKYHSIGVHFAEECKSIKNPKEYNAIAQPQLIGLPALFDKGVESSTFPMGSEHFLCCQVFSAKDMDSELPKEASHHKQDQHFVACAGTLYLEQGPLDTLHLIDTLFPVCMDCSQIKQTSPSAEPTRVQPVGEVSVSQEPTEEDEMGHWCVLATILAFNELMVDRYLLRQAEDLLGWEEQSFYIAVDEFTVCGQTFGMLKAEATVPVSTSDRLVAAAM
+>sp|Q8TAU3|ZN417_HUMAN Zinc finger protein 417 OS=Homo sapiens OX=9606 GN=ZNF417 PE=1 SV=2
+MAAAAPRRPTQQGTVTFEDVAVNFSQEEWCLLSEAQRCLYRDVMLENLALISSLGCWCGSKDEEAPCKQRISVQRESQSRTPRAGVSPKKAHPCEMCGLILEDVFHFADHQETHHKQKLNRSGACGKNLDDTAYLHQHQKQHIGEKFYRKSVREASFVKKRKLRVSQEPFVFREFGKDVLPSSGLCQEAAAVEKTDSETMHGPPFQEGKTNYSCGKRTKAFSTKHSVIPHQKLFTRDGCYVCSDCGKSFSRYVSFSNHQRDHTAKGPYDCGECGKSYSRKSSLIQHQRVHTGKTAYPCEECGKSFSQKGSLISHQRVHTGERPYECREYGKSFGQKGNLIQHQQGHTGERAYHCGECGKSFRQKFCFINHQRVHTGERPYKCGECGKSFGQKGNLVQHQRGHTGERPYECKECGKSFRYRSHLTEHQRLHTGERPYNCRECGKLFNRKYHLLVHERVHTGERPYACEVCGKLFGNKNCVTIHQRIHTGERPYECNECGKSFLSSSALHVHKRVHSGQKPYKCSECGKSFAECSSLIKHRRIHTGERPYECTKCGKTFQRSSTLLHHQSSHRRKAL
+>DECOY_sp|Q8TAU3|ZN417_HUMAN Zinc finger protein 417 OS=Homo sapiens OX=9606 GN=ZNF417 PE=1 SV=2
+LAKRRHSSQHHLLTSSRQFTKGCKTCEYPREGTHIRRHKILSSCEAFSKGCESCKYPKQGSHVRKHVHLASSSLFSKGCENCEYPREGTHIRQHITVCNKNGFLKGCVECAYPREGTHVREHVLLHYKRNFLKGCERCNYPREGTHLRQHETLHSRYRFSKGCEKCEYPREGTHGRQHQVLNGKQGFSKGCEGCKYPREGTHVRQHNIFCFKQRFSKGCEGCHYAREGTHGQQHQILNGKQGFSKGYERCEYPREGTHVRQHSILSGKQSFSKGCEECPYATKGTHVRQHQILSSKRSYSKGCEGCDYPGKATHDRQHNSFSVYRSFSKGCDSCVYCGDRTFLKQHPIVSHKTSFAKTRKGCSYNTKGEQFPPGHMTESDTKEVAAAEQCLGSSPLVDKGFERFVFPEQSVRLKRKKVFSAERVSKRYFKEGIHQKQHQHLYATDDLNKGCAGSRNLKQKHHTEQHDAFHFVDELILGCMECPHAKKPSVGARPTRSQSERQVSIRQKCPAEEDKSGCWCGLSSILALNELMVDRYLCRQAESLLCWEEQSFNVAVDEFTVTGQQTPRRPAAAAM
+>sp|Q6IV72|ZN425_HUMAN Zinc finger protein 425 OS=Homo sapiens OX=9606 GN=ZNF425 PE=1 SV=1
+MAEPASVTVTFDDVALYFSEQEWEILEKWQKQMYKQEMKTNYETLDSLGYAFSKPDLITWMEQGRMLLISEQGCLDKTRRTTSPPTDEQLNMKNTGKLLCFDDEGTPRTKEEDCRLNGPQKQDLCAALRGKERKILLAQTATFQSPSLRETEILNKKVSITAYDPDKKDLRHKPRETPGRLEIPTGPRCYSCYVCRKVFQVRRDLLKHKRSHSKSQLCRYPKYKNSSRGKSELRRTQRLLCQKKRFQCSECEKSYFLKGSLVTHQVVHTGQRPYPCPECDKTFRYRANLKKHLCLHRGERPFCCGECGRAFVQQCELTEHLRLHSGEKPFQCPQCDRCFRLKRGMKVHLTQHSGKRPFHCPECGRSFSRKAALKTHQRTHSEEKPFSCGECGRKFIYKIKLDEHIRVHTGEKPFSCPECNKSFRLKRSLKAHGLQHIGKRPFQCPECSRGFFWRNAMRAHQRLHSEQKPFPCAECGKRFTRPSKLACHTRVHDRQKEFPCGECKKTFSQQSRLTQHLKVHTTEKPFSCAECGRSFRRRAHLTEHTRLHSGEEPFQCPECDKSFSWKASMKFHQRMHRDEKPFACGECDKTYTHQSQLTEHLRLHSGEKPYQCPECEKTFRLKGNLKSHLLQHSGQKPFSCVMCGKSFTQQYRLTEHIRVHSGEKPFQCPECDKSYCIRGSLKVHLYKHSGERPFQCPECGKGFLQKRSLKAHLCLHSGERPFSCDECGRSFTYVGALKTHIAVHAKEKPSSL
+>DECOY_sp|Q6IV72|ZN425_HUMAN Zinc finger protein 425 OS=Homo sapiens OX=9606 GN=ZNF425 PE=1 SV=1
+LSSPKEKAHVAIHTKLAGVYTFSRGCEDCSFPREGSHLCLHAKLSRKQLFGKGCEPCQFPREGSHKYLHVKLSGRICYSKDCEPCQFPKEGSHVRIHETLRYQQTFSKGCMVCSFPKQGSHQLLHSKLNGKLRFTKECEPCQYPKEGSHLRLHETLQSQHTYTKDCEGCAFPKEDRHMRQHFKMSAKWSFSKDCEPCQFPEEGSHLRTHETLHARRRFSRGCEACSFPKETTHVKLHQTLRSQQSFTKKCEGCPFEKQRDHVRTHCALKSPRTFRKGCEACPFPKQESHLRQHARMANRWFFGRSCEPCQFPRKGIHQLGHAKLSRKLRFSKNCEPCSFPKEGTHVRIHEDLKIKYIFKRGCEGCSFPKEESHTRQHTKLAAKRSFSRGCEPCHFPRKGSHQTLHVKMGRKLRFCRDCQPCQFPKEGSHLRLHETLECQQVFARGCEGCCFPREGRHLCLHKKLNARYRFTKDCEPCPYPRQGTHVVQHTVLSGKLFYSKECESCQFRKKQCLLRQTRRLESKGRSSNKYKPYRCLQSKSHSRKHKLLDRRVQFVKRCVYCSYCRPGTPIELRGPTERPKHRLDKKDPDYATISVKKNLIETERLSPSQFTATQALLIKREKGRLAACLDQKQPGNLRCDEEKTRPTGEDDFCLLKGTNKMNLQEDTPPSTTRRTKDLCGQESILLMRGQEMWTILDPKSFAYGLSDLTEYNTKMEQKYMQKQWKELIEWEQESFYLAVDDFTVTVSAPEAM
+>sp|Q8TAF7|ZN461_HUMAN Zinc finger protein 461 OS=Homo sapiens OX=9606 GN=ZNF461 PE=2 SV=2
+MAHELVMFRDVAIDVSQEEWECLNPAQRNLYKEVMLENYSNLVSLGLSVSKPAVISSLEQGKEPWMVVREETGRWCPGTWKTWGFHNNFLDNNEATDINADLASRDEPQKLSPKRDIYETELSQWVNMEEFKSHSPERSIFSAIWEGNCHFEQHQGQEEGYFRQLMINHENMPIFSQHTLLTQEFYDREKISECKKCRKIFSYHLFFSHHKRTHSKELSECKECTEIVNTPCLFKQQTIQNGDKCNECKECWKAFVHCSQLKHLRIHNGEKRYECNECGKAFNYGSELTLHQRIHTGEKPYECKECGKAFRQRSQLTQHQRLHTGEKPYECKQCGKAFIRGFQLTEHLRLHTGEKPYECKECGKTFRHRSHLTIHQRIHTGEKPYECRECGKAFSYHSSFSHHQKIHSGKKPYECHECGKAFCDGLQLTLHQRIHTGEKPYECKECGKTFRQCSHLKRHQRIHTGEKPHECMICGKAFRLHSHLIQHQRIHTGEKPYECKECGKAFSYHSSFSHHQRIHSGKKPYQCGKAFNHRLQLNLHQTLHTGEKPVRFPLLPPHPSLAS
+>DECOY_sp|Q8TAF7|ZN461_HUMAN Zinc finger protein 461 OS=Homo sapiens OX=9606 GN=ZNF461 PE=2 SV=2
+SALSPHPPLLPFRVPKEGTHLTQHLNLQLRHNFAKGCQYPKKGSHIRQHHSFSSHYSFAKGCEKCEYPKEGTHIRQHQILHSHLRFAKGCIMCEHPKEGTHIRQHRKLHSCQRFTKGCEKCEYPKEGTHIRQHLTLQLGDCFAKGCEHCEYPKKGSHIKQHHSFSSHYSFAKGCERCEYPKEGTHIRQHITLHSRHRFTKGCEKCEYPKEGTHLRLHETLQFGRIFAKGCQKCEYPKEGTHLRQHQTLQSRQRFAKGCEKCEYPKEGTHIRQHLTLESGYNFAKGCENCEYRKEGNHIRLHKLQSCHVFAKWCEKCENCKDGNQITQQKFLCPTNVIETCEKCESLEKSHTRKHHSFFLHYSFIKRCKKCESIKERDYFEQTLLTHQSFIPMNEHNIMLQRFYGEEQGQHQEFHCNGEWIASFISREPSHSKFEEMNVWQSLETEYIDRKPSLKQPEDRSALDANIDTAENNDLFNNHFGWTKWTGPCWRGTEERVVMWPEKGQELSSIVAPKSVSLGLSVLNSYNELMVEKYLNRQAPNLCEWEEQSVDIAVDRFMVLEHAM
+>sp|Q7Z7K2|ZN467_HUMAN Zinc finger protein 467 OS=Homo sapiens OX=9606 GN=ZNF467 PE=1 SV=1
+MRETLEALSSLGFSVGQPEMAPQSEPREGSHNAQEQMSSSREERALGVCSGHEAPTPEEGAHTEQAEAPCRGQACSAQKAQPVGTCPGEEWMIRKVKVEDEDQEAEEEVEWPQHLSLLPSPFPAPDLGHLAAAYKLEPGAPGALSGLALSGWGPMPEKPYGCGECERRFRDQLTLRLHQRLHRGEGPCACPDCGRSFTQRAHMLLHQRSHRGERPFPCSECDKRFSKKAHLTRHLRTHTGERPYPCAECGKRFSQKIHLGSHQKTHTGERPFPCTECEKRFRKKTHLIRHQRIHTGERPYQCAQCARSFTHKQHLVRHQRVHQTAGPARPSPDSSASPHSTAPSPTPSFPGPKPFACSDCGLSFGWKKNLATHQCLHRSEGRPFGCDECALGATVDAPAAKPLASAPGGPGCGPGSDPVVPQRAPSGERSFFCPDCGRGFSHGQHLARHPRVHTGERPFACTQCDRRFGSRPNLVAHSRAHSGARPFACAQCGRRFSRKSHLGRHQAVHTGSRPHACAVCARSFSSKTNLVRHQAIHTGSRPFSCPQCGKSFSRKTHLVRHQLIHGEAAHAAPDAALAAPAWSAPPEVAPPPLFF
+>DECOY_sp|Q7Z7K2|ZN467_HUMAN Zinc finger protein 467 OS=Homo sapiens OX=9606 GN=ZNF467 PE=1 SV=1
+FFLPPPAVEPPASWAPAALAADPAAHAAEGHILQHRVLHTKRSFSKGCQPCSFPRSGTHIAQHRVLNTKSSFSRACVACAHPRSGTHVAQHRGLHSKRSFRRGCQACAFPRAGSHARSHAVLNPRSGFRRDCQTCAFPREGTHVRPHRALHQGHSFGRGCDPCFFSREGSPARQPVVPDSGPGCGPGGPASALPKAAPADVTAGLACEDCGFPRGESRHLCQHTALNKKWGFSLGCDSCAFPKPGPFSPTPSPATSHPSASSDPSPRAPGATQHVRQHRVLHQKHTFSRACQACQYPREGTHIRQHRILHTKKRFRKECETCPFPREGTHTKQHSGLHIKQSFRKGCEACPYPREGTHTRLHRTLHAKKSFRKDCESCPFPREGRHSRQHLLMHARQTFSRGCDPCACPGEGRHLRQHLRLTLQDRFRRECEGCGYPKEPMPGWGSLALGSLAGPAGPELKYAAALHGLDPAPFPSPLLSLHQPWEVEEEAEQDEDEVKVKRIMWEEGPCTGVPQAKQASCAQGRCPAEAQETHAGEEPTPAEHGSCVGLAREERSSSMQEQANHSGERPESQPAMEPQGVSFGLSSLAELTERM
+>sp|Q96JG9|ZN469_HUMAN Zinc finger protein 469 OS=Homo sapiens OX=9606 GN=ZNF469 PE=2 SV=3
+MPGERPRGAPPPTMTGDLQPRQVASSPGHPSQPPLEDNTPATRTTKGAREAGGQAQAMELPEAQPRQARDGELKPPSLRGQAPSSTPGKRGSPQTPPGRSPLQAPSRLAGRAEGSPPQRYILGIASSRTKPTLDETPENPQLEAAQLPEVDTPQGPGTGAPLRPGLPRTEAQPAAEELGFHRCFQEPPSSFTSTNYTSPSATPRPPAPGPPQSRGTSPLQPGSYPEYQASGADSWPPAAENSFPGANFGVPPAEPEPIPKGSRPGGSPRGVSFQFPFPALHGASTKPFPADVAGHAFTNGPLVFAFHQPQGAWPEEAVGTGPAYPLPTQPAPSPLPCYQGQPGGLNRHSDLSGALSSPGAAHSAPRPFSDSLHKSLTKILPERPPSAQDGLGSTRGPPSSLPQRHFPGQAYRASGVDTSPGPPDTELAAPGPPPARLPQLWDPTAAPYPTPPGGPLAATRSMFFNGQPSPGQRLCLPQSAPLPWPQVLPTARPSPHGMEMLSRLPFPAGGPEWQGGSQGALGTAGKTPGPREKLPAVRSSQGGSPALFTYNGMTDPGAQPLFFGVAQPQVSPHGTPSLPPPRVVGASPSESPLPSPATNTAGSTCSSLSPMSSSPANPSSEESQLPGPLGPSAFFHPPTHPQETGSPFPSPEPPHSLPTHYQPEPAKAFPFPADGLGAEGAFQCLEETPFPHEGPEVGRGGLQGFPRAPPPYPTHHFSLSSASLDQLDVLLTCRQCDRNYSSLAAFLAHRQFCGLLLARAKDGHQRSPGPPGLPSPPAAPRVPADAHAGLLSHAKTFLLAGDAQAEGKDDPLRTGFLPSLAATPFPLPASDLDMEDDAKLDSLITEALNGMEYQSDNPEIDSSFIDVFADEEPSGPRGPSSGHPLKSKAGVTPESKAPPPLPAATPDPQTPRPGDRGCPARGRPKTRSLGLAPTEADAPSQGRQQRRGKQLKLFRKDLDSGGAAEGSGSGGGGRASGLRPRRNDGLGERPPPRPRRPRTQAPGSRADPAPRVPRAAALPEETRSSRRRRLPPRKDPRKRKARGGAWGKELILKIVQQKNRLREYDFASESEEDEQPPPRGPGFRGRRGRGEKRKEVELTQGPREDEPQKPRKAARQEAGGDGAPANPEEPGGSRPGPGRSPQARGPSRSLETGAAAREGGPKCADRPSVAPKDPLQVPTNTETSEETRPSLDFPQEAKEPETAEESAPDSTEFTEALRSPPAACAGEMGASPGLLIPEQPPPSRHDTGTPKPSGSLANTAPHGSSPTPGVGSLLGGPGGTQAPVSHNSKDPPARQPGEFLAPVANPSSTACPKPSVLSSKISSFGCDPAGFNRDPLGVPVAKKGPQPYSSPHSELFLGPKDLAGCFLEELHPKPSARDAPPASSSCLCQDGEDAGSLEPQLPRSPPGTAETEPGRAASPPTLESSSLFPDLPVDRFDPPLYGSLSANRDSGLPFACADPPQKTVPSDPPYPSFLLLEEVSPMLPSHFPDLSGGKVLSKTCPPERTVVPGAAPSLPGKGSGCSVALMSHLSEDELEIQKLVTELESQLQRSKDTRGAPRELAEAESVGRVELGTGTEPPSQRRTCQATVPHEDTFSAADLTRVGESTAHREGAESAVATVEAVQGRPGGTWPCPASFHPGHAALLPCAQEDLVSGAPFSPRGANFHFQPVQKAGASKTGLCQAEGDSRPPQDVCLPEPSKQPGPQLDAGSLAKCSPDQELSFPKNKEAASSQESEDSLRLLPCEQRGGFLPEPGTADQPHRGAPAPEAFGSPAVHLAPDLAFQGDGAPPLDATWPFGASPSHAAQGHSAGRAGGHLHPTAGRPGFEGNEFAPAGASSLTAPRGREAWLVPVPSPACVSNTHPSRRSQDPALSPPIRQLQLPGPGVAKSKDGILGLQELTPAAQSPPRVNPSGLEGGTVEGGKVACGPAQGSPGGVQVTTLPAVAGHQLGLEADGHWGLLGQAEKTQGQGTANQLQPENGVSPGGTDNHASVNASPKTALTGPTEGAVLLEKCKGSRAAMSLQEEAEPTPSPPSPNRESLALALTAAHSRSGSEGRTPERASSPGLNKPLLATGDSPAPSVGDLAACAPSPTSAAHMPCSLGPLPREDPLTSPSRAQGGLGGQLPASPSCRDPPGPQQLLACSPAWAPLEEADGVQATTDTGAEDSPVAPPSLTTSPCDPKEALAGCLLQGEGSPLEDPSSWPPGSVSAVTCTHSGDTPKDSTLRIPEDSRKEKLWESPGRATSPPLAGAVSPSVAVRATGLSSTPTGDEAQAGRGLPGPDPQSRGAPPHTNPDRMPRGHSSYSPSNTARLGHREGQAVTAVPTEPPTLQGAGPDSPACLEGEMGTSSKEPEDPGTPETGRSGATKMPRVTCPSTGLGLGRTTAPSSTASDFQSDSPQSHRNASHQTPQGDPLGPQDLKQRSRGYKKKPASTENGQWKGQAPHGPVTCEVCAASFRSGPGLSRHKARKHRPHPGAPAEPSPAALPAQQPLEPLAQKCQPPRKKSHRVSGKERPNHSRGDPSHVTQPPPAQGSKEVLRAPGSPHSQQLHPPSPTEHEVDVKTPASKPRPDQAREDELHPKQAEKREGRRWRREPTVDSPSHSEGKSNKKRGKLRGRRLREESILPVSADVISDGRGSRPSPAMASYAASPSHCLSVEGGPEADGEQPPRLATLGPGVMEGAAETDQEALCAGETGAQKPPGDRMLCPGRMDGAALGEQPTGQKGASARGFWGPRETKALGVCKESGSEPAEDSSRAHSRSEEGVWEENTPPLGPLGFPETSSSPADSTTSSCLQGLPDNPDTQGGVQGPEGPTPDASGSSAKDPPSLFDDEVSFSQLFPPGGRLTRKRNPHVYGKRCEKPVLPLPTQPSFEEGGDPTLGPARLPTDLSDSSSLCLCHEDPWEDEDPAGLPESFLLDGFLNSRVPGIDPWAPGLSLWALEPSREAGAEKLPSHCPEDDRPEAIPELHMVPAAWRGLEMPAPADDSSSSLGDVSPEPPSLERERCDGGLPGNTHLLPLRATDFEVLSTKFEMQDLCFLGPFEDPVGLPGPSFLDFEGTASSQGPQSRRTEEAAGAGRAQGRGRPAKGRRASYKCKVCFQRFRSLGELDLHKLAHTPAPPPTCYMCVERRFGSRELLRGHLQERHAQSKAGPWACGMCLKEVADVWMYNEHLREHAVRFARRGQARRSLGDLPGGLEGSSAVAHLLNSITEPAPKHHRGKRSAGKAAGSPGDPWGQEGEAKKDSPGERAKPRARSTPSNPDGAATPDSASATALADAGSPGPPRTTPSPSPDPWAGGEPLLQATPVHEACKDPSRDCHHCGKRFPKPFKLQRHLAVHSPQRVYLCPRCPRVYPEHGELLAHLGGAHGLLERPELQHTPLYACELCATVMRIIKKSFACSSCNYTFAKKEQFDRHMNKHLRGGRQPFAFRGVRRPGAPGQKARALEGTLPSKRRRVAMPGSAPGPGEDRPPPRGSSPILSEGSLPALLHLCSEVAPSTTKGWPETLERPVDPVTHPIRGCELPSNHQECPPPSLSPFPAALADGRGDCALDGALERPENEASPGSPGPLLQQALPLGASLPRPGARGQDAEGKRAPLVFSGKRRAPGARGRCAPDHFQEDHLLQKEKEVSSSHMVSEGGPRGTFHKGSATKPAGCQSSSKDRSAASTPSKALKFPVHPRKAVGSLAPGELARGTENGMKPATPKAKPGPSSQGSGSPRPGTKTGGGSQPQPASGQLQSETATTPAKPSFPSRSPAPERLPARAQAKSCTKGPREAGEQGPHGSLGPKEKGESSTKRKKGQVPGPARSESVGSFGRAPSAPDKPPRTPRKQATPSRVLPTKPKPNSQNKPRPPPSEQRKAEPGHTQRKDRLGKAFPQGRPLLRPPKRGTAVHGAEPAEPHTHRTAEAQSDLLSQLFGQRLTGFKIPLKKDASE
+>DECOY_sp|Q96JG9|ZN469_HUMAN Zinc finger protein 469 OS=Homo sapiens OX=9606 GN=ZNF469 PE=2 SV=3
+ESADKKLPIKFGTLRQGFLQSLLDSQAEATRHTHPEAPEAGHVATGRKPPRLLPRGQPFAKGLRDKRQTHGPEAKRQESPPPRPKNQSNPKPKTPLVRSPTAQKRPTRPPKDPASPARGFSGVSESRAPGPVQGKKRKTSSEGKEKPGLSGHPGQEGAERPGKTCSKAQARAPLREPAPSRSPFSPKAPTTATESQLQGSAPQPQSGGGTKTGPRPSGSGQSSPGPKAKPTAPKMGNETGRALEGPALSGVAKRPHVPFKLAKSPTSAASRDKSSSQCGAPKTASGKHFTGRPGGESVMHSSSVEKEKQLLHDEQFHDPACRGRAGPARRKGSFVLPARKGEADQGRAGPRPLSAGLPLAQQLLPGPSGPSAENEPRELAGDLACDGRGDALAAPFPSLSPPPCEQHNSPLECGRIPHTVPDVPRELTEPWGKTTSPAVESCLHLLAPLSGESLIPSSGRPPPRDEGPGPASGPMAVRRRKSPLTGELARAKQGPAGPRRVGRFAFPQRGGRLHKNMHRDFQEKKAFTYNCSSCAFSKKIIRMVTACLECAYLPTHQLEPRELLGHAGGLHALLEGHEPYVRPCRPCLYVRQPSHVALHRQLKFPKPFRKGCHHCDRSPDKCAEHVPTAQLLPEGGAWPDPSPSPTTRPPGPSGADALATASASDPTAAGDPNSPTSRARPKAREGPSDKKAEGEQGWPDGPSGAAKGASRKGRHHKPAPETISNLLHAVASSGELGGPLDGLSRRAQGRRAFRVAHERLHENYMWVDAVEKLCMGCAWPGAKSQAHREQLHGRLLERSGFRREVCMYCTPPPAPTHALKHLDLEGLSRFRQFCVKCKYSARRGKAPRGRGQARGAGAAEETRRSQPGQSSATGEFDLFSPGPLGVPDEFPGLFCLDQMEFKTSLVEFDTARLPLLHTNGPLGGDCRERELSPPEPSVDGLSSSSDDAPAPMELGRWAAPVMHLEPIAEPRDDEPCHSPLKEAGAERSPELAWLSLGPAWPDIGPVRSNLFGDLLFSEPLGAPDEDEWPDEHCLCLSSSDSLDTPLRAPGLTPDGGEEFSPQTPLPLVPKECRKGYVHPNRKRTLRGGPPFLQSFSVEDDFLSPPDKASSGSADPTPGEPGQVGGQTDPNDPLGQLCSSTTSDAPSSSTEPFGLPGLPPTNEEWVGEESRSHARSSDEAPESGSEKCVGLAKTERPGWFGRASAGKQGTPQEGLAAGDMRGPCLMRDGPPKQAGTEGACLAEQDTEAAGEMVGPGLTALRPPQEGDAEPGGEVSLCHSPSAAYSAMAPSPRSGRGDSIVDASVPLISEERLRRGRLKGRKKNSKGESHSPSDVTPERRWRRGERKEAQKPHLEDERAQDPRPKSAPTKVDVEHETPSPPHLQQSHPSGPARLVEKSGQAPPPQTVHSPDGRSHNPREKGSVRHSKKRPPQCKQALPELPQQAPLAAPSPEAPAGPHPRHKRAKHRSLGPGSRFSAACVECTVPGHPAQGKWQGNETSAPKKKYGRSRQKLDQPGLPDGQPTQHSANRHSQPSDSQFDSATSSPATTRGLGLGTSPCTVRPMKTAGSRGTEPTGPDEPEKSSTGMEGELCAPSDPGAGQLTPPETPVATVAQGERHGLRATNSPSYSSHGRPMRDPNTHPPAGRSQPDPGPLGRGAQAEDGTPTSSLGTARVAVSPSVAGALPPSTARGPSEWLKEKRSDEPIRLTSDKPTDGSHTCTVASVSGPPWSSPDELPSGEGQLLCGALAEKPDCPSTTLSPPAVPSDEAGTDTTAQVGDAEELPAWAPSCALLQQPGPPDRCSPSAPLQGGLGGQARSPSTLPDERPLPGLSCPMHAASTPSPACAALDGVSPAPSDGTALLPKNLGPSSAREPTRGESGSRSHAATLALALSERNPSPPSPTPEAEEQLSMAARSGKCKELLVAGETPGTLATKPSANVSAHNDTGGPSVGNEPQLQNATGQGQTKEAQGLLGWHGDAELGLQHGAVAPLTTVQVGGPSGQAPGCAVKGGEVTGGELGSPNVRPPSQAAPTLEQLGLIGDKSKAVGPGPLQLQRIPPSLAPDQSRRSPHTNSVCAPSPVPVLWAERGRPATLSSAGAPAFENGEFGPRGATPHLHGGARGASHGQAAHSPSAGFPWTADLPPAGDGQFALDPALHVAPSGFAEPAPAGRHPQDATGPEPLFGGRQECPLLRLSDESEQSSAAEKNKPFSLEQDPSCKALSGADLQPGPQKSPEPLCVDQPPRSDGEAQCLGTKSAGAKQVPQFHFNAGRPSFPAGSVLDEQACPLLAAHGPHFSAPCPWTGGPRGQVAEVTAVASEAGERHATSEGVRTLDAASFTDEHPVTAQCTRRQSPPETGTGLEVRGVSEAEALERPAGRTDKSRQLQSELETVLKQIELEDESLHSMLAVSCGSGKGPLSPAAGPVVTREPPCTKSLVKGGSLDPFHSPLMPSVEELLLFSPYPPDSPVTKQPPDACAFPLGSDRNASLSGYLPPDFRDVPLDPFLSSSELTPPSAARGPETEATGPPSRPLQPELSGADEGDQCLCSSSAPPADRASPKPHLEELFCGALDKPGLFLESHPSSYPQPGKKAVPVGLPDRNFGAPDCGFSSIKSSLVSPKPCATSSPNAVPALFEGPQRAPPDKSNHSVPAQTGGPGGLLSGVGPTPSSGHPATNALSGSPKPTGTDHRSPPPQEPILLGPSAGMEGACAAPPSRLAETFETSDPASEEATEPEKAEQPFDLSPRTEESTETNTPVQLPDKPAVSPRDACKPGGERAAAGTELSRSPGRAQPSRGPGPRSGGPEEPNAPAGDGGAEQRAAKRPKQPEDERPGQTLEVEKRKEGRGRRGRFGPGRPPPQEDEESESAFDYERLRNKQQVIKLILEKGWAGGRAKRKRPDKRPPLRRRRSSRTEEPLAAARPVRPAPDARSGPAQTRPRRPRPPPREGLGDNRRPRLGSARGGGGSGSGEAAGGSDLDKRFLKLQKGRRQQRGQSPADAETPALGLSRTKPRGRAPCGRDGPRPTQPDPTAAPLPPPAKSEPTVGAKSKLPHGSSPGRPGSPEEDAFVDIFSSDIEPNDSQYEMGNLAETILSDLKADDEMDLDSAPLPFPTAALSPLFGTRLPDDKGEAQADGALLFTKAHSLLGAHADAPVRPAAPPSPLGPPGPSRQHGDKARALLLGCFQRHALFAALSSYNRDCQRCTLLVDLQDLSASSLSFHHTPYPPPARPFGQLGGRGVEPGEHPFPTEELCQFAGEAGLGDAPFPFAKAPEPQYHTPLSHPPEPSPFPSGTEQPHTPPHFFASPGLPGPLQSEESSPNAPSSSMPSLSSCTSGATNTAPSPLPSESPSAGVVRPPPLSPTGHPSVQPQAVGFFLPQAGPDTMGNYTFLAPSGGQSSRVAPLKERPGPTKGATGLAGQSGGQWEPGGAPFPLRSLMEMGHPSPRATPLVQPWPLPASQPLCLRQGPSPQGNFFMSRTAALPGGPPTPYPAATPDWLQPLRAPPPGPAALETDPPGPSTDVGSARYAQGPFHRQPLSSPPGRTSGLGDQASPPREPLIKTLSKHLSDSFPRPASHAAGPSSLAGSLDSHRNLGGPQGQYCPLPSPAPQTPLPYAPGTGVAEEPWAGQPQHFAFVLPGNTFAHGAVDAPFPKTSAGHLAPFPFQFSVGRPSGGPRSGKPIPEPEAPPVGFNAGPFSNEAAPPWSDAGSAQYEPYSGPQLPSTGRSQPPGPAPPRPTASPSTYNTSTFSSPPEQFCRHFGLEEAAPQAETRPLGPRLPAGTGPGQPTDVEPLQAAELQPNEPTEDLTPKTRSSAIGLIYRQPPSGEARGALRSPAQLPSRGPPTQPSGRKGPTSSPAQGRLSPPKLEGDRAQRPQAEPLEMAQAQGGAERAGKTTRTAPTNDELPPQSPHGPSSAVQRPQLDGTMTPPPAGRPREGPM
+>sp|Q96MN9|ZN488_HUMAN Zinc finger protein 488 OS=Homo sapiens OX=9606 GN=ZNF488 PE=1 SV=1
+MPEWPPCLSVAPALVITMAAGKGAPLSPSAENRWRLSEPELGRGCKPVLLEKTNRLGPEAAVGRAGRDVGSAELALLVAPGKPRPGKPLPPKTRGEQRQSAFTELPRMKDRQVDAQAQEREHDDPTGQPGAPQLTQNIPRGPAGSKVFSVWPSGARSEQRSAFSKPTKRPAERPELTSVFPAGESADALGELSGLLNTTDLACWGRLSTPKLLVGDLWNLQALPQNAPLCSTFLGAPTLWLEHTQAQVPPPSSSSTTSWALLPPTLTSLGLSTQNWCAKCNLSFRLTSDLVFHMRSHHKKEHAGPDPHSQKRREEALACPVCQEHFRERHHLSRHMTSHS
+>DECOY_sp|Q96MN9|ZN488_HUMAN Zinc finger protein 488 OS=Homo sapiens OX=9606 GN=ZNF488 PE=1 SV=1
+SHSTMHRSLHHRERFHEQCVPCALAEERRKQSHPDPGAHEKKHHSRMHFVLDSTLRFSLNCKACWNQTSLGLSTLTPPLLAWSTTSSSSPPPVQAQTHELWLTPAGLFTSCLPANQPLAQLNWLDGVLLKPTSLRGWCALDTTNLLGSLEGLADASEGAPFVSTLEPREAPRKTPKSFASRQESRAGSPWVSFVKSGAPGRPINQTLQPAGPQGTPDDHEREQAQADVQRDKMRPLETFASQRQEGRTKPPLPKGPRPKGPAVLLALEASGVDRGARGVAAEPGLRNTKELLVPKCGRGLEPESLRWRNEASPSLPAGKGAAMTIVLAPAVSLCPPWEPM
+>sp|Q8N8L2|ZN491_HUMAN Zinc finger protein 491 OS=Homo sapiens OX=9606 GN=ZNF491 PE=1 SV=1
+MGERLFESAEGSQCGETFTQVPEDMLNKKTLPGVKSCESGTCGEIFMGYSSFNRNIRTDTGHQPHKCQKFLEKPYKHKQRRKALSHSHCFRTHERPHTREKPFDCKECEKSFISPASIRRYMVTHSGDGPYKCKFCGKALDCLSLYLTHERTHTGEKRYECKQCGKAFSWHSSVRIHERTHTGEKPYECKECGKSFNFSSSFRRHERTHTGEKPYKCKECGKAFNCPSSFHRHERTHTGEKPYECKLYGKALSRLISFRRHMRMHTGERPHKCKICGKAFYSPSSFQRHERSHTGEKPYKCKQCGKAFTCSTSFQYHERTHTGEKPDGCKQCGKAFRSAKYIRIHGRTHTGEKPYECKQCGKAFHCVSSFHRHERTHAGEKPYECKHCGKAFTCSIYIRIHERIHTGEKPYQCKECGKAFIRSSYCRKHERTHTINI
+>DECOY_sp|Q8N8L2|ZN491_HUMAN Zinc finger protein 491 OS=Homo sapiens OX=9606 GN=ZNF491 PE=1 SV=1
+INITHTREHKRCYSSRIFAKGCEKCQYPKEGTHIREHIRIYISCTFAKGCHKCEYPKEGAHTREHRHFSSVCHFAKGCQKCEYPKEGTHTRGHIRIYKASRFAKGCQKCGDPKEGTHTREHYQFSTSCTFAKGCQKCKYPKEGTHSREHRQFSSPSYFAKGCIKCKHPREGTHMRMHRRFSILRSLAKGYLKCEYPKEGTHTREHRHFSSPCNFAKGCEKCKYPKEGTHTREHRRFSSSFNFSKGCEKCEYPKEGTHTREHIRVSSHWSFAKGCQKCEYRKEGTHTREHTLYLSLCDLAKGCFKCKYPGDGSHTVMYRRISAPSIFSKECEKCDFPKERTHPREHTRFCHSHSLAKRRQKHKYPKELFKQCKHPQHGTDTRINRNFSSYGMFIEGCTGSECSKVGPLTKKNLMDEPVQTFTEGCQSGEASEFLREGM
+>sp|Q96IT1|ZN496_HUMAN Zinc finger protein 496 OS=Homo sapiens OX=9606 GN=ZNF496 PE=1 SV=1
+MPTALCPRVLAPKESEEPRKMRSPPGENPSPQGELPSPESSRRLFRRFRYQEAAGPREALQRLWDLCGGWLRPERHTKEQILELLVLEQFLAILPREIQSWVRAQEPESGEQAVAAVEALEREPGRPWQWLKHCEDPVVIDDGDSPLDQEQEQLPVEPHSDLAKNQDAQPITLAQCLGLPSRPPSQLSGDPVLQDAFLLQEENVRDTQQVTTLQLPPSRVSPFKDMILCFSEEDWSLLDPAQTGFYGEFIIGEDYGVSMPPNDLAAQPDLSQGEENEPRVPELQDLQGKEVPQVSYLDSPSLQPFQVEERRKREELQVPEFQACPQTVVPQNTYPAGGNPRSLENSLDEEVTIEIVLSSSGDEDSQHGPYCTEELGSPTEKQRSLPASHRSSTEAGGEVQTSKKSYVCPNCGKIFRWRVNFIRHLRSRREQEKPHECSVCGELFSDSEDLDGHLESHEAQKPYRCGACGKSFRLNSHLLSHRRIHLQPDRLQPVEKREQAASEDADKGPKEPLENGKAKLSFQCCECGKAFQRHDHLARHRSHFHLKDKARPFQCRYCVKSFTQNYDLLRHERLHMKRRSKQALNSY
+>DECOY_sp|Q96IT1|ZN496_HUMAN Zinc finger protein 496 OS=Homo sapiens OX=9606 GN=ZNF496 PE=1 SV=1
+YSNLAQKSRRKMHLREHRLLDYNQTFSKVCYRCQFPRAKDKLHFHSRHRALHDHRQFAKGCECCQFSLKAKGNELPEKPGKDADESAAQERKEVPQLRDPQLHIRRHSLLHSNLRFSKGCAGCRYPKQAEHSELHGDLDESDSFLEGCVSCEHPKEQERRSRLHRIFNVRWRFIKGCNPCVYSKKSTQVEGGAETSSRHSAPLSRQKETPSGLEETCYPGHQSDEDGSSSLVIEITVEEDLSNELSRPNGGAPYTNQPVVTQPCAQFEPVQLEERKRREEVQFPQLSPSDLYSVQPVEKGQLDQLEPVRPENEEGQSLDPQAALDNPPMSVGYDEGIIFEGYFGTQAPDLLSWDEESFCLIMDKFPSVRSPPLQLTTVQQTDRVNEEQLLFADQLVPDGSLQSPPRSPLGLCQALTIPQADQNKALDSHPEVPLQEQEQDLPSDGDDIVVPDECHKLWQWPRGPERELAEVAAVAQEGSEPEQARVWSQIERPLIALFQELVLLELIQEKTHREPRLWGGCLDWLRQLAERPGAAEQYRFRRFLRRSSEPSPLEGQPSPNEGPPSRMKRPEESEKPALVRPCLATPM
+>sp|Q9NXT0|ZN586_HUMAN Zinc finger protein 586 OS=Homo sapiens OX=9606 GN=ZNF586 PE=2 SV=2
+MAAAAALRAPAQSSVTFEDVAVNFSLEEWSLLNEAQRCLYRDVMLETLTLISSLGCWHGGEDEAAPSKQSTCIHIYKDQGGHSGERPYECGEYRKLFKNKSCLTEPRRDHKHRNVRTGERPYECSKYGKLFHQKPTLHIHERFHTGQKTYECSECGKSFHQSSSLLQRQTLHTRERPYECIECGKAFAEKSSLINHRKVHSGAKRYECNECGKSFAYTSSLIKHRRIHTGERPYECSECGRSFAENSSLIKHLRVHTGERPYECVECGKSFRRSSSLLQHQRVHTRERPYECSECGKSFSLRSNLIHHQRVHTGERHECGQCGKSFSRKSSLIIHLRVHTGERPYECSDCGKSFAENSSLIKHLRVHTGERPYECIDCGKSFRHSSSFRRHQRVHTGMRPYK
+>DECOY_sp|Q9NXT0|ZN586_HUMAN Zinc finger protein 586 OS=Homo sapiens OX=9606 GN=ZNF586 PE=2 SV=2
+KYPRMGTHVRQHRRFSSSHRFSKGCDICEYPREGTHVRLHKILSSNEAFSKGCDSCEYPREGTHVRLHIILSSKRSFSKGCQGCEHREGTHVRQHHILNSRLSFSKGCESCEYPRERTHVRQHQLLSSSRRFSKGCEVCEYPREGTHVRLHKILSSNEAFSRGCESCEYPREGTHIRRHKILSSTYAFSKGCENCEYRKAGSHVKRHNILSSKEAFAKGCEICEYPRERTHLTQRQLLSSSQHFSKGCESCEYTKQGTHFREHIHLTPKQHFLKGYKSCEYPREGTRVNRHKHDRRPETLCSKNKFLKRYEGCEYPREGSHGGQDKYIHICTSQKSPAAEDEGGHWCGLSSILTLTELMVDRYLCRQAENLLSWEELSFNVAVDEFTVSSQAPARLAAAAAM
+>sp|Q86UQ0|ZN589_HUMAN Zinc finger protein 589 OS=Homo sapiens OX=9606 GN=ZNF589 PE=1 SV=1
+MWAPREQLLGWTAEALPAKDSAWPWEEKPRYLGPVTFEDVAVLFTEAEWKRLSLEQRNLYKEVMLENLRNLVSLAESKPEVHTCPSCPLAFGSQQFLSQDELHNHPIPGFHAGNQLHPGNPCPEDQPQSQHPSDKNHRGAEAEDQRVEGGVRPLFWSTNERGALVGFSSLFQRPPISSWGGNRILEIQLSPAQNASSEEVDRISKRAETPGFGAVTFGECALAFNQKSNLFRQKAVTAEKSSDKRQSQVCRECGRGFSRKSQLIIHQRTHTGEKPYVCGECGRGFIVESVLRNHLSTHSGEKPYVCSHCGRGFSCKPYLIRHQRTHTREKSFMCTVCGRGFREKSELIKHQRIHTGDKPYVCRD
+>DECOY_sp|Q86UQ0|ZN589_HUMAN Zinc finger protein 589 OS=Homo sapiens OX=9606 GN=ZNF589 PE=1 SV=1
+DRCVYPKDGTHIRQHKILESKERFGRGCVTCMFSKERTHTRQHRILYPKCSFGRGCHSCVYPKEGSHTSLHNRLVSEVIFGRGCEGCVYPKEGTHTRQHIILQSKRSFGRGCERCVQSQRKDSSKEATVAKQRFLNSKQNFALACEGFTVAGFGPTEARKSIRDVEESSANQAPSLQIELIRNGGWSSIPPRQFLSSFGVLAGRENTSWFLPRVGGEVRQDEAEAGRHNKDSPHQSQPQDEPCPNGPHLQNGAHFGPIPHNHLEDQSLFQQSGFALPCSPCTHVEPKSEALSVLNRLNELMVEKYLNRQELSLRKWEAETFLVAVDEFTVPGLYRPKEEWPWASDKAPLAEATWGLLQERPAWM
+>sp|Q96JF6|ZN594_HUMAN Zinc finger protein 594 OS=Homo sapiens OX=9606 GN=ZNF594 PE=2 SV=3
+MKEWKSKMEISEEKKSARAASEKLQRQITQECELVETSNSEDRLLKHWVSPLKDAMRHLPSQESGIREMHIIPQKAIVGEIGHGCNEGEKILSAGESSHRYEVSGQNFKQKSGLTEHQKIHNINKTYECKECEKTFNRSSNLIIHQRIHTGNKPYVCNECGKDSNQSSNLIIHQRIHTGKKPYICHECGKDFNQSSNLVRHKQIHSGGNPYECKECGKAFKGSSNLVLHQRIHSRGKPYLCNKCGKAFSQSTDLIIHHRIHTGEKPYECYDCGQMFSQSSHLVPHQRIHTGEKPLKCNECEKAFRQHSHLTEHQRLHSGEKPYECHRCGKTFSGRTAFLKHQRLHAGEKIEECEKTFSKDEELREEQRIHQEEKAYWCNQCGRNFQGTSDLIRHQVTHTGEKPYECKECGKTFNQSSDLLRHHRIHSGEKPCVCSKCGKSFRGSSDLIRHHRVHTGEKPYECSECGKAFSQRSHLVTHQKIHTGEKPYQCTECGKAFRRRSLLIQHRRIHSGEKPYECKECGKLFIWRTAFLKHQSLHTGEKLECEKTFSQDEELRGEQKIHQEAKAYWCNQCGRAFQGSSDLIRHQVTHTREKPYECKECGKTFNQSSDLLRHHRIHSGEKPYVCNKCGKSFRGSSDLIKHHRIHTGEKPYECSECGKAFSQRSHLATHQKIHTGEKPYQCSECGNAFRRRSLLIQHRRLHSGEKPYECKECGKLFMWHTAFLKHQRLHAGEKLEECEKTFSKDEELRKEQRTHQEKKVYWCNQCSRTFQGSSDLIRHQVTHTREKPYECKECGKTQSELRPSETS
+>DECOY_sp|Q96JF6|ZN594_HUMAN Zinc finger protein 594 OS=Homo sapiens OX=9606 GN=ZNF594 PE=2 SV=3
+STESPRLESQTKGCEKCEYPKERTHTVQHRILDSSGQFTRSCQNCWYVKKEQHTRQEKRLEEDKSFTKECEELKEGAHLRQHKLFATHWMFLKGCEKCEYPKEGSHLRRHQILLSRRRFANGCESCQYPKEGTHIKQHTALHSRQSFAKGCESCEYPKEGTHIRHHKILDSSGRFSKGCKNCVYPKEGSHIRHHRLLDSSQNFTKGCEKCEYPKERTHTVQHRILDSSGQFARGCQNCWYAKAEQHIKQEGRLEEDQSFTKECELKEGTHLSQHKLFATRWIFLKGCEKCEYPKEGSHIRRHQILLSRRRFAKGCETCQYPKEGTHIKQHTVLHSRQSFAKGCESCEYPKEGTHVRHHRILDSSGRFSKGCKSCVCPKEGSHIRHHRLLDSSQNFTKGCEKCEYPKEGTHTVQHRILDSTGQFNRGCQNCWYAKEEQHIRQEERLEEDKSFTKECEEIKEGAHLRQHKLFATRGSFTKGCRHCEYPKEGSHLRQHETLHSHQRFAKECENCKLPKEGTHIRQHPVLHSSQSFMQGCDYCEYPKEGTHIRHHIILDTSQSFAKGCKNCLYPKGRSHIRQHLVLNSSGKFAKGCEKCEYPNGGSHIQKHRVLNSSQNFDKGCEHCIYPKKGTHIRQHIILNSSQNSDKGCENCVYPKNGTHIRQHIILNSSRNFTKECEKCEYTKNINHIKQHETLGSKQKFNQGSVEYRHSSEGASLIKEGENCGHGIEGVIAKQPIIHMERIGSEQSPLHRMADKLPSVWHKLLRDESNSTEVLECEQTIQRQLKESAARASKKEESIEMKSKWEKM
+>sp|Q8TC21|ZN596_HUMAN Zinc finger protein 596 OS=Homo sapiens OX=9606 GN=ZNF596 PE=2 SV=2
+MPSPDSMTFEDIIVDFTQEEWALLDTSQRKLFQDVMLENISHLVSIGKQLCKSVVLSQLEQVEKLSTQRISLLQGREVGIKHQEIPFIQHIYQKGTSTISTMRSHTQEDPFLCNDLGEDFTQHIALTQNVITYMRTKHFVSKKFGKIFSDWLSFNQHKEIHTKCKSYGSHLFDYAFIQNSALRPHSVTHTREITLECRVCGKTFSKNSNLRRHEMIHTGEKPHGCHLCGKAFTHCSDLRKHERTHTGEKPYGCHLCGKAFSKSSNLRRHEMIHTREKAQICHLCGKAFTHCSDLRKHERTHLGDKPYGCLLCGKAFSKCSYLRQHERTHNGEKPYECHLCGKAFSHCSHLRQHERSHNGEKPHGCHLCGKAFTESSVLKRHERIHTGEKPYECHVCGKAFTESSDLRRHERTHTGEKPYECHLCGKAFNHSSVLRRHERTHTGEKPYECNICGKAFNRSYNFRLHRRVHTGEKPYVCPLCGKAFSKFFNLRQHERTHTKKAMNM
+>DECOY_sp|Q8TC21|ZN596_HUMAN Zinc finger protein 596 OS=Homo sapiens OX=9606 GN=ZNF596 PE=2 SV=2
+MNMAKKTHTREHQRLNFFKSFAKGCLPCVYPKEGTHVRRHLRFNYSRNFAKGCINCEYPKEGTHTREHRRLVSSHNFAKGCLHCEYPKEGTHTREHRRLDSSETFAKGCVHCEYPKEGTHIREHRKLVSSETFAKGCLHCGHPKEGNHSREHQRLHSCHSFAKGCLHCEYPKEGNHTREHQRLYSCKSFAKGCLLCGYPKDGLHTREHKRLDSCHTFAKGCLHCIQAKERTHIMEHRRLNSSKSFAKGCLHCGYPKEGTHTREHKRLDSCHTFAKGCLHCGHPKEGTHIMEHRRLNSNKSFTKGCVRCELTIERTHTVSHPRLASNQIFAYDFLHSGYSKCKTHIEKHQNFSLWDSFIKGFKKSVFHKTRMYTIVNQTLAIHQTFDEGLDNCLFPDEQTHSRMTSITSTGKQYIHQIFPIEQHKIGVERGQLLSIRQTSLKEVQELQSLVVSKCLQKGISVLHSINELMVDQFLKRQSTDLLAWEEQTFDVIIDEFTMSDPSPM
+>sp|Q96NL3|ZN599_HUMAN Zinc finger protein 599 OS=Homo sapiens OX=9606 GN=ZNF599 PE=1 SV=1
+MAAPALALVSFEDVVVTFTGEEWGHLDLAQRTLYQEVMLETCRLLVSLGHPVPKPELIYLLEHGQELWTVKRGLSQSTCAGEKAKPKITEPTASQLAFSEESSFQELLAQRSSRDSRLGQARDEEKLIKIQEGNLRPGTNPHKEICPEKLSYKHDDLEPDDSLGLRVLQERVTPQDALHECDSQGPGKDPMTDARNNPYTCTECGKGFSKKWALVRHQQIHAGVKPYECNECGKACRYMADVIRHMRLHTGEKPYKCIECGKAFKRRFHLTEHQRIHTGDKPYECKECGKAFTHRSSFIQHNMTHTREKPFLCKECGKAFYYSSSFAQHMRIHTGKKLYECGECGKAFTHRSTFIQHNVTHTGEKPFLCKECGKTFCLNSSFTQHMRIHTGEKPYECGECGKAFTHRSTFIRHKRTHTGEKPFECKECGKAFCDSSSLIQHMRIHTGEKPYECSECGKAFTHHSVFIRHNRTHSGQKPLECKECAKAFYYSSSFTRHMRIHTGEKPYVCRECGKAFTQPANFVRHNRIHTGEKPFECKECEKAFCDNFALTQHMRTHTGEKPFECNECGKTFSHSSSFTHHRKIHTRV
+>DECOY_sp|Q96NL3|ZN599_HUMAN Zinc finger protein 599 OS=Homo sapiens OX=9606 GN=ZNF599 PE=1 SV=1
+VRTHIKRHHTFSSSHSFTKGCENCEFPKEGTHTRMHQTLAFNDCFAKECEKCEFPKEGTHIRNHRVFNAPQTFAKGCERCVYPKEGTHIRMHRTFSSSYYFAKACEKCELPKQGSHTRNHRIFVSHHTFAKGCESCEYPKEGTHIRMHQILSSSDCFAKGCEKCEFPKEGTHTRKHRIFTSRHTFAKGCEGCEYPKEGTHIRMHQTFSSNLCFTKGCEKCLFPKEGTHTVNHQIFTSRHTFAKGCEGCEYLKKGTHIRMHQAFSSSYYFAKGCEKCLFPKERTHTMNHQIFSSRHTFAKGCEKCEYPKDGTHIRQHETLHFRRKFAKGCEICKYPKEGTHLRMHRIVDAMYRCAKGCENCEYPKVGAHIQQHRVLAWKKSFGKGCETCTYPNNRADTMPDKGPGQSDCEHLADQPTVREQLVRLGLSDDPELDDHKYSLKEPCIEKHPNTGPRLNGEQIKILKEEDRAQGLRSDRSSRQALLEQFSSEESFALQSATPETIKPKAKEGACTSQSLGRKVTWLEQGHELLYILEPKPVPHGLSVLLRCTELMVEQYLTRQALDLHGWEEGTFTVVVDEFSVLALAPAAM
+>sp|Q86T29|ZN605_HUMAN Zinc finger protein 605 OS=Homo sapiens OX=9606 GN=ZNF605 PE=2 SV=1
+MIQSQISFEDVAVDFTLEEWQLLNPTQKNLYRDVMLENYSNLVFLEVWLDNPKMWLRDNQDNLKSMERGHKYDVFGKIFNSSINIVHVGLRSHKCGTGEKSLKCPFDLLIPKNNCERKKIDELNKKLLFCIKPGRTHGGIKYCDCSTCRKSSNEEPWLTANHITHTGVYLCMECGRFFNKKSQLVIHQRTHTGEKPYQCSECGKAFSQKSLLTVHQRTHSGEKPHGCSECQKAFSRKSLLILHQRIHTGEKPYGCSECGKAFSRKSQLKRHQITHTIEKPYSCSECGKAFSQKLKLITHQRAHTGEKPYPCSHCGKAFFWKSQLITHQRTHTGKKPYGCGECQKAFSRNSLLIRHQRIHTGEKPYECNECGEAFIRKPQLIKHQITHTGEKNYRCSDCEEAFFKKSELIRHQKIHLGEKPYGCIQCGKTFFGKSQLLTHHRTHTGEKPYECSECGKAFTQKSSLISHQRTHTGEKPYECSECRKTFSEKSSLIHHQRTHTGEKPFECSECRKAFAWKPQLLRHQRIHTGEKPYECSECGKAFVQKVQLIKHQRNHTGEKTYGCSDCAKAFFEKAQLIIHQRIHTGERPYKCGECGKSFTRKSHLMRHQRIHTGDKYYGCNECGTTFNRKSQLMIHQRNHII
+>DECOY_sp|Q86T29|ZN605_HUMAN Zinc finger protein 605 OS=Homo sapiens OX=9606 GN=ZNF605 PE=2 SV=1
+IIHNRQHIMLQSKRNFTTGCENCGYYKDGTHIRQHRMLHSKRTFSKGCEGCKYPREGTHIRQHIILQAKEFFAKACDSCGYTKEGTHNRQHKILQVKQVFAKGCESCEYPKEGTHIRQHRLLQPKWAFAKRCESCEFPKEGTHTRQHHILSSKESFTKRCESCEYPKEGTHTRQHSILSSKQTFAKGCESCEYPKEGTHTRHHTLLQSKGFFTKGCQICGYPKEGLHIKQHRILESKKFFAEECDSCRYNKEGTHTIQHKILQPKRIFAEGCENCEYPKEGTHIRQHRILLSNRSFAKQCEGCGYPKKGTHTRQHTILQSKWFFAKGCHSCPYPKEGTHARQHTILKLKQSFAKGCESCSYPKEITHTIQHRKLQSKRSFAKGCESCGYPKEGTHIRQHLILLSKRSFAKQCESCGHPKEGSHTRQHVTLLSKQSFAKGCESCQYPKEGTHTRQHIVLQSKKNFFRGCEMCLYVGTHTIHNATLWPEENSSKRCTSCDCYKIGGHTRGPKICFLLKKNLEDIKKRECNNKPILLDFPCKLSKEGTGCKHSRLGVHVINISSNFIKGFVDYKHGREMSKLNDQNDRLWMKPNDLWVELFVLNSYNELMVDRYLNKQTPNLLQWEELTFDVAVDEFSIQSQIM
+>sp|Q6PF04|ZN613_HUMAN Zinc finger protein 613 OS=Homo sapiens OX=9606 GN=ZNF613 PE=2 SV=2
+MIKSQESLTLEDVAVEFTWEEWQLLGPAQKDLYRDVMLENYSNLVSVGYQASKPDALFKLEQGEPWTVENEIHSQICPEIKKVDNHLQMHSQKQRCLKRVEQCHKHNAFGNIIHQRKSDFPLRQNHDTFDLHGKILKSNLSLVNQNKRYEIKNSVGVNGDGKSFLHAKHEQFHNEMNFPEGGNSVNTNSQFIKHQRTQNIDKPHVCTECGKAFLKKSRLIYHQRVHTGEKPHGCSICGKAFSRKSGLTEHQRNHTGEKPYECTECDKAFRWKSQLNAHQKIHTGEKSYICSDCGKGFIKKSRLINHQRVHTGEKPHGCSLCGKAFSKRSRLTEHQRTHTGEKPYECTECDKAFRWKSQLNAHQKAHTGEKSYICRDCGKGFIQKGNLIVHQRIHTGEKPYICNECGKGFIQKGNLLIHRRTHTGEKPYVCNECGKGFSQKTCLISHQRFHTGKTPFVCTECGKSCSHKSGLINHQRIHTGEKPYTCSDCGKAFRDKSCLNRHRRTHTGERPYGCSDCGKAFSHLSCLVYHKGMLHAREKCVGSVKLENPCSESHSLSHTRDLIQDKDSVNMVTLQMPSVAAQTSLTNSAFQAESKVAIVSQPVARSSVSADSRICTE
+>DECOY_sp|Q6PF04|ZN613_HUMAN Zinc finger protein 613 OS=Homo sapiens OX=9606 GN=ZNF613 PE=2 SV=2
+ETCIRSDASVSSRAVPQSVIAVKSEAQFASNTLSTQAAVSPMQLTVMNVSDKDQILDRTHSLSHSESCPNELKVSGVCKERAHLMGKHYVLCSLHSFAKGCDSCGYPREGTHTRRHRNLCSKDRFAKGCDSCTYPKEGTHIRQHNILGSKHSCSKGCETCVFPTKGTHFRQHSILCTKQSFGKGCENCVYPKEGTHTRRHILLNGKQIFGKGCENCIYPKEGTHIRQHVILNGKQIFGKGCDRCIYSKEGTHAKQHANLQSKWRFAKDCETCEYPKEGTHTRQHETLRSRKSFAKGCLSCGHPKEGTHVRQHNILRSKKIFGKGCDSCIYSKEGTHIKQHANLQSKWRFAKDCETCEYPKEGTHNRQHETLGSKRSFAKGCISCGHPKEGTHVRQHYILRSKKLFAKGCETCVHPKDINQTRQHKIFQSNTNVSNGGEPFNMENHFQEHKAHLFSKGDGNVGVSNKIEYRKNQNVLSLNSKLIKGHLDFTDHNQRLPFDSKRQHIINGFANHKHCQEVRKLCRQKQSHMQLHNDVKKIEPCIQSHIENEVTWPEGQELKFLADPKSAQYGVSVLNSYNELMVDRYLDKQAPGLLQWEEWTFEVAVDELTLSEQSKIM
+>sp|Q8N883|ZN614_HUMAN Zinc finger protein 614 OS=Homo sapiens OX=9606 GN=ZNF614 PE=1 SV=2
+MIKTQESLTLEDVAVEFSWEEWQLLDTAQKNLYRDVMVENYNHLVSLGYQTSKPDVLSKLAHGQEPWTTDAKIQNKNCPGIGKVDSHLQEHSPNQRLLKSVQQCNGQNTLRNIVHLSKTHFPIVQNHDTFDLYRKNLKSSLSLINQKRRHGINNPVEFIGGEKTLLHGKHERTHTKTRFSENAKCIHTKFQVFKHQRTQKIEKPHACIECEQTFLRKSQLIYHENICIQENPGSGQCEKLSRSVLFTKHLKTNTTDKICIPNEYRKGSTVKSSLITHQQTHTEEKSYMCSECGKGFTMKRYLIAHQRTHSGEKPYVCKECGKGFTVKSNLIVHQRTHTGEKPYICSECGKGFTMKRYLVVHQRTHTGEKPYMCSECGKGFTVKSNLIVHQRSHTGEKSYICSECGKGFTVKRTLVIHQRTHTGEKSYICNECGKGFTTKRTLIIHQRTHTGEKPYECNECGKAFSQKICLIQHERCHTGKTPFVCTECGKSYSHKYGLITHQRIHTGEKPYECNECGKAFTTKSVLNVHQRTHTGERPYGCSDCEKAFSHLSNLVKHKKMHTREMGRISQVENSCNGESQLLPYK
+>DECOY_sp|Q8N883|ZN614_HUMAN Zinc finger protein 614 OS=Homo sapiens OX=9606 GN=ZNF614 PE=1 SV=2
+KYPLLQSEGNCSNEVQSIRGMERTHMKKHKVLNSLHSFAKECDSCGYPREGTHTRQHVNLVSKTTFAKGCENCEYPKEGTHIRQHTILGYKHSYSKGCETCVFPTKGTHCREHQILCIKQSFAKGCENCEYPKEGTHTRQHIILTRKTTFGKGCENCIYSKEGTHTRQHIVLTRKVTFGKGCESCIYSKEGTHSRQHVILNSKVTFGKGCESCMYPKEGTHTRQHVVLYRKMTFGKGCESCIYPKEGTHTRQHVILNSKVTFGKGCEKCVYPKEGSHTRQHAILYRKMTFGKGCESCMYSKEETHTQQHTILSSKVTSGKRYENPICIKDTTNTKLHKTFLVSRSLKECQGSGPNEQICINEHYILQSKRLFTQECEICAHPKEIKQTRQHKFVQFKTHICKANESFRTKTHTREHKGHLLTKEGGIFEVPNNIGHRRKQNILSLSSKLNKRYLDFTDHNQVIPFHTKSLHVINRLTNQGNCQQVSKLLRQNPSHEQLHSDVKGIGPCNKNQIKADTTWPEQGHALKSLVDPKSTQYGLSVLHNYNEVMVDRYLNKQATDLLQWEEWSFEVAVDELTLSEQTKIM
+>sp|Q08AN1|ZN616_HUMAN Zinc finger protein 616 OS=Homo sapiens OX=9606 GN=ZNF616 PE=2 SV=2
+MATQGHLTFKDVAIEFSQEEWKCLEPVQKALYKDVMLENYRNLVFLGISPKCVIKELPPTENSNTGERFQTVALERHQSYDIENLYFREIQKHLHDLEFQWKDGETNDKEVPVPHENNLTGKRDQHSQGDVENNHIENQLTSNFESRLAELQKVQTEGRLYECNETEKTGNNGCLVSPHIREKTYVCNECGKAFKASSSLINHQRIHTTEKPYKCNECGKAFHRASLLTVHKVVHTRGKSYQCDVCGKIFRKNSYFVRHQRSHTGQKPYICNECGKSFSKSSHLAVHQRIHTGEKPYKCNLCGKSFSQRVHLRLHQTVHTGERPFKCNECGKTFKRSSNLTVHQVIHAGKKPYKCDVCGKAFRHRSNLVCHRRIHSGEKQYKCNECGKVFSKRSSLAVHRRIHTVEKPCKCNECGKVFSKRSSLAVHQRIHTGQKTYKCNKCGKVYSKHSHLAVHWRIHTGEKAYKCNECGKVFSIHSRLAAHQRIHTGEKPYKCNECGKVFSQHSRLAVHRRIHTGEKPYKCKECGKVFSDRSAFARHRRIHTGEKPYKCKECGKVFSQCSRLTVHRRIHSGEKPYKCNECGKVYSQYSHLVGHRRVHTGEKPYKCHECGKAFNQGSTLNRHQRIHTGEKPYKCNQCGNSFSQRVHLRLHQTVHTGDRPYKCNECGKTFKRSSNLTAHQIIHAGKKPYKCDECGKVFRHSSHLVSHQRIHTGEKRYKCIECGKAFGRLFSLSKHQRIHSGKKPYKCNECGKSFICRSGLTKHRIRHTGESLTTKLNVTRP
+>DECOY_sp|Q08AN1|ZN616_HUMAN Zinc finger protein 616 OS=Homo sapiens OX=9606 GN=ZNF616 PE=2 SV=2
+PRTVNLKTTLSEGTHRIRHKTLGSRCIFSKGCENCKYPKKGSHIRQHKSLSFLRGFAKGCEICKYRKEGTHIRQHSVLHSSHRFVKGCEDCKYPKKGAHIIQHATLNSSRKFTKGCENCKYPRDGTHVTQHLRLHVRQSFSNGCQNCKYPKEGTHIRQHRNLTSGQNFAKGCEHCKYPKEGTHVRRHGVLHSYQSYVKGCENCKYPKEGSHIRRHVTLRSCQSFVKGCEKCKYPKEGTHIRRHRAFASRDSFVKGCEKCKYPKEGTHIRRHVALRSHQSFVKGCENCKYPKEGTHIRQHAALRSHISFVKGCENCKYAKEGTHIRWHVALHSHKSYVKGCKNCKYTKQGTHIRQHVALSSRKSFVKGCENCKCPKEVTHIRRHVALSSRKSFVKGCENCKYQKEGSHIRRHCVLNSRHRFAKGCVDCKYPKKGAHIVQHVTLNSSRKFTKGCENCKFPREGTHVTQHLRLHVRQSFSKGCLNCKYPKEGTHIRQHVALHSSKSFSKGCENCIYPKQGTHSRQHRVFYSNKRFIKGCVDCQYSKGRTHVVKHVTLLSARHFAKGCENCKYPKETTHIRQHNILSSSAKFAKGCENCVYTKERIHPSVLCGNNGTKETENCEYLRGETQVKQLEALRSEFNSTLQNEIHNNEVDGQSHQDRKGTLNNEHPVPVEKDNTEGDKWQFELDHLHKQIERFYLNEIDYSQHRELAVTQFREGTNSNETPPLEKIVCKPSIGLFVLNRYNELMVDKYLAKQVPELCKWEEQSFEIAVDKFTLHGQTAM
+>sp|Q8N2I2|ZN619_HUMAN Zinc finger protein 619 OS=Homo sapiens OX=9606 GN=ZNF619 PE=2 SV=1
+MGQPAPYAEGPIQGGDAGELCKCDFLVSISIPQTRSDIPAGARRSSMGPRSLDTCWGRGPERHVHRLECNGVIFTHRNLCLPGGKTKTENEEKTAQLNISKESESHRLIVEGLLMDVPQHPDFKDRLEKSQLHDTGNKTKIGDCTDLTVQDHESSTTEREEIARKLEESSVSTHLITKQGFAKEQVFYKCGECGSYYNPHSDFHLHQRVHTNEKPYTCKECGKTFRYNSKLSRHQKIHTGEKPYSCEECGQAFSQNSHLLQHQKLHGGQRPYECTDCGKTFSYNSKLIRHQRIHTGEKPFKCKECGKAFSCSYDCIIHERIHNGEKPYECKECGKSLSSNSVLIQHQRIHTGEKPYECKECGKAFHRSSVFLQHQRFHTGEQLYKCNECWKTFSCSSRFIVHQRIHNGEKPYECQECGKTFSQKITLVQHQRVHTGEKPYECKECGKAFRWNASFIQHQKWHTRKKLINGTGLSAVKPYCPCAILSPLPPQHTCSALAPPGPPLSSSHAVVLPPSVPFFLLLPSSEKANPSPVQIAHFFQDLAFPGKSSLQSPNPLSHSL
+>DECOY_sp|Q8N2I2|ZN619_HUMAN Zinc finger protein 619 OS=Homo sapiens OX=9606 GN=ZNF619 PE=2 SV=1
+LSHSLPNPSQLSSKGPFALDQFFHAIQVPSPNAKESSPLLLFFPVSPPLVVAHSSSLPPGPPALASCTHQPPLPSLIACPCYPKVASLGTGNILKKRTHWKQHQIFSANWRFAKGCEKCEYPKEGTHVRQHQVLTIKQSFTKGCEQCEYPKEGNHIRQHVIFRSSCSFTKWCENCKYLQEGTHFRQHQLFVSSRHFAKGCEKCEYPKEGTHIRQHQILVSNSSLSKGCEKCEYPKEGNHIREHIICDYSCSFAKGCEKCKFPKEGTHIRQHRILKSNYSFTKGCDTCEYPRQGGHLKQHQLLHSNQSFAQGCEECSYPKEGTHIKQHRSLKSNYRFTKGCEKCTYPKENTHVRQHLHFDSHPNYYSGCEGCKYFVQEKAFGQKTILHTSVSSEELKRAIEERETTSSEHDQVTLDTCDGIKTKNGTDHLQSKELRDKFDPHQPVDMLLGEVILRHSESEKSINLQATKEENETKTKGGPLCLNRHTFIVGNCELRHVHREPGRGWCTDLSRPGMSSRRAGAPIDSRTQPISISVLFDCKCLEGADGGQIPGEAYPAPQGM
+>sp|O75123|ZN623_HUMAN Zinc finger protein 623 OS=Homo sapiens OX=9606 GN=ZNF623 PE=1 SV=2
+MILLSFVSDSNVGTGEKKVTEAWISEDENSHRTTSDRLTVMELPSPESEEVHEPRLGELLGNPEGQSLGSSPSQDRGCKQVTVTHWKIQTGETAQVCTKSGRNHILNSDLLLLQRELIEGEANPCNICGKTFTFNSDLVRHRISHAGEKPYTCDQCGKGFGQSSHLMEHQRIHTGERLYVCNVCGKDFIHYSGLIEHQRVHSGEKPFKCAQCGKAFCHSSDLIRHQRVHTRERPFECKECGKGFSQSSLLIRHQRIHTGERPYECNECGKSFIRSSSLIRHYQIHTEVKQYECKECGKAFRHRSDLIEHQRIHTGERPFECNECGKAFIRSSKLIQHQRIHTGERPYVCNECGKRFSQTSNFTQHQRIHTGEKLYECNECGKAFFLSSYLIRHQKIHTGERVYECKECGKAFLQKAHLTEHQKIHSGDRPFECKDCGKAFIQSSKLLLHQIIHTGEKPYVCSYCGKGFIQRSNFLQHQKIHTEEKLYECSQYGRDFNSTTNVKNNQRVHQEGLSLSKAPIHLGERSVDKGEHTGNL
+>DECOY_sp|O75123|ZN623_HUMAN Zinc finger protein 623 OS=Homo sapiens OX=9606 GN=ZNF623 PE=1 SV=2
+LNGTHEGKDVSREGLHIPAKSLSLGEQHVRQNNKVNTTSNFDRGYQSCEYLKEETHIKQHQLFNSRQIFGKGCYSCVYPKEGTHIIQHLLLKSSQIFAKGCDKCEFPRDGSHIKQHETLHAKQLFAKGCEKCEYVREGTHIKQHRILYSSLFFAKGCENCEYLKEGTHIRQHQTFNSTQSFRKGCENCVYPREGTHIRQHQILKSSRIFAKGCENCEFPREGTHIRQHEILDSRHRFAKGCEKCEYQKVETHIQYHRILSSSRIFSKGCENCEYPREGTHIRQHRILLSSQSFGKGCEKCEFPRERTHVRQHRILDSSHCFAKGCQACKFPKEGSHVRQHEILGSYHIFDKGCVNCVYLREGTHIRQHEMLHSSQGFGKGCQDCTYPKEGAHSIRHRVLDSNFTFTKGCINCPNAEGEILERQLLLLDSNLIHNRGSKTCVQATEGTQIKWHTVTVQKCGRDQSPSSGLSQGEPNGLLEGLRPEHVEESEPSPLEMVTLRDSTTRHSNEDESIWAETVKKEGTGVNSDSVFSLLIM
+>sp|Q96I27|ZN625_HUMAN Zinc finger protein 625 OS=Homo sapiens OX=9606 GN=ZNF625 PE=1 SV=1
+MGERLLESKKDHQHGEILTQVPDDMLKKKTPRVKSCGEVSVGHASLNRHHRADTGHKPYEYQEYGQKPYKCTYCKKAFSDLPYFRTHEWAHTGGKPYDCEECGKSFISRSSIRRHRIMHSGDGPYKCNFCGKALMCLSLYLIHKRTHTGEKPYECKQCGKAFSHSGSLRIHERTHTGEKPYECSECGKAFHSSTCLHAHKITHTGEKPYECKQCGKAFVSFNSVRYHERTHTGEKPYECKQCGKAFRSASHLRTHGRTHTGEKPYECKQCGKAFGCASSVKIHERTHTGEKPCSSNTSKGQGEKIA
+>DECOY_sp|Q96I27|ZN625_HUMAN Zinc finger protein 625 OS=Homo sapiens OX=9606 GN=ZNF625 PE=1 SV=1
+AIKEGQGKSTNSSCPKEGTHTREHIKVSSACGFAKGCQKCEYPKEGTHTRGHTRLHSASRFAKGCQKCEYPKEGTHTREHYRVSNFSVFAKGCQKCEYPKEGTHTIKHAHLCTSSHFAKGCESCEYPKEGTHTREHIRLSGSHSFAKGCQKCEYPKEGTHTRKHILYLSLCMLAKGCFNCKYPGDGSHMIRHRRISSRSIFSKGCEECDYPKGGTHAWEHTRFYPLDSFAKKCYTCKYPKQGYEQYEYPKHGTDARHHRNLSAHGVSVEGCSKVRPTKKKLMDDPVQTLIEGHQHDKKSELLREGM
+>sp|Q5EBL2|ZN628_HUMAN Zinc finger protein 628 OS=Homo sapiens OX=9606 GN=ZNF628 PE=1 SV=3
+MSGVMVGSHADMAPASTAEGAGEKPGPAAPAPAAQYECGECGKSFRWSSRLLHHQRTHTGERPYKCPDCPKAFKGSSALLYHQRGHTGERPYQCPDCPKAFKRSSLLQIHRSVHTGLRAFICGQCGLAFKWSSHYQYHLRQHTGERPYPCPDCPKAFKNSSSLRRHRHVHTGERPYTCGVCGKSFTQSTNLRQHQRVHTGERPFRCPLCPKTFTHSSNLLLHQRTHGAAPAPGTASAAPPPQSREPGKVFVCDAYLQRHLQPHSPPAPPAPPPPPPPVVPELFLAAAETTVELVYRCDGCEQGFSSEELLLEHQPCPGPDAAPQPQEAPAEAPKADQPPSPLPQPPPPAAAPAPGFACLPCGKSFRTVAGLSRHQHSHGAAGGQAFRCGSCDGSFPQLASLLAHQQCHVEEAAAGRPPPQAEAAEVTCPQEPLAPAAPVPPPPPSAPASAERPYKCAECGKSFKGSSGLRYHLRDHTGERPYQCGECGKAFKRSSLLAIHQRVHTGLRAFTCGQCGLTFKWSSHYQYHLRLHSGERPYACGECGKAFRNTSCLRRHRHVHTGERPHACGVCGKSFAQTSNLRQHQRVHTGERPFRCPLCPKTFTHSSNLLLHQRTHSAERPFTCPICGRGFVMAAYLQRHLRTHAPANTPPSTTAPAAGPQPPAPLAAARAPPATQDVHVLPHLQATLSLEVAGGTAQAPSLGPAAPNSQTFLLVQTAQGLQLIPSSVQPPTPPPPPAPPKLILLPSSSAGAGGGRARQGPRAVGKAGQGAGVVWLPGPGGLGVQGAASAGASGTGQSLIVLQNVGGGEAGPQEMSGVQLQPLRPAPEVTTVQLQPAQEVTTVQLQPAQEVTTVQLQPAQEVTTVQLQPVAGQLSNSSGGAVATEAPNLLVVQSGAAEELLTGPGPGEAGDGEASTGVVQDVLFETLQTDEGLQSVLVLSGADGEQTRLCVQEVETLPPGLTEPPATGPPGQKLLIIRSAPATELLDSSNTGGGTATLQLLAPPPSGPASGPAGLPGAPASQMVQVVPAGAGPGVMTPQGLPSIQIVQTLPAVQLVHTF
+>DECOY_sp|Q5EBL2|ZN628_HUMAN Zinc finger protein 628 OS=Homo sapiens OX=9606 GN=ZNF628 PE=1 SV=3
+FTHVLQVAPLTQVIQISPLGQPTMVGPGAGAPVVQVMQSAPAGPLGAPGSAPGSPPPALLQLTATGGGTNSSDLLETAPASRIILLKQGPPGTAPPETLGPPLTEVEQVCLRTQEGDAGSLVLVSQLGEDTQLTEFLVDQVVGTSAEGDGAEGPGPGTLLEEAAGSQVVLLNPAETAVAGGSSNSLQGAVPQLQVTTVEQAPQLQVTTVEQAPQLQVTTVEQAPQLQVTTVEPAPRLPQLQVGSMEQPGAEGGGVNQLVILSQGTGSAGASAAGQVGLGGPGPLWVVGAGQGAKGVARPGQRARGGGAGASSSPLLILKPPAPPPPPTPPQVSSPILQLGQATQVLLFTQSNPAAPGLSPAQATGGAVELSLTAQLHPLVHVDQTAPPARAAALPAPPQPGAAPATTSPPTNAPAHTRLHRQLYAAMVFGRGCIPCTFPREASHTRQHLLLNSSHTFTKPCLPCRFPREGTHVRQHQRLNSTQAFSKGCVGCAHPREGTHVHRHRRLCSTNRFAKGCEGCAYPREGSHLRLHYQYHSSWKFTLGCQGCTFARLGTHVRQHIALLSSRKFAKGCEGCQYPREGTHDRLHYRLGSSGKFSKGCEACKYPREASAPASPPPPPVPAAPALPEQPCTVEAAEAQPPPRGAAAEEVHCQQHALLSALQPFSGDCSGCRFAQGGAAGHSHQHRSLGAVTRFSKGCPLCAFGPAPAAAPPPPQPLPSPPQDAKPAEAPAEQPQPAADPGPCPQHELLLEESSFGQECGDCRYVLEVTTEAAALFLEPVVPPPPPPPAPPAPPSHPQLHRQLYADCVFVKGPERSQPPPAASATGPAPAAGHTRQHLLLNSSHTFTKPCLPCRFPREGTHVRQHQRLNTSQTFSKGCVGCTYPREGTHVHRHRRLSSSNKFAKPCDPCPYPREGTHQRLHYQYHSSWKFALGCQGCIFARLGTHVSRHIQLLSSRKFAKPCDPCQYPREGTHGRQHYLLASSGKFAKPCDPCKYPREGTHTRQHHLLRSSWRFSKGCEGCEYQAAPAPAAPGPKEGAGEATSAPAMDAHSGVMVGSM
+>sp|Q9UEG4|ZN629_HUMAN Zinc finger protein 629 OS=Homo sapiens OX=9606 GN=ZNF629 PE=1 SV=2
+MEPETALWGPDLQGPEQSPNDAHRGAESENEEESPRQESSGEEIIMGDPAQSPESKDSTEMSLERSSQDPSVPQNPPTPLGHSNPLDHQIPLDPPAPEVVPTPSDWTKACEASWQWGALTTWNSPPVVPANEPSLRELVQGRPAGAEKPYICNECGKSFSQWSKLLRHQRIHTGERPNTCSECGKSFTQSSHLVQHQRTHTGEKPYKCPDCGKCFSWSSNLVQHQRTHTGEKPYKCTECEKAFTQSTNLIKHQRSHTGEKPYKCGECRRAFYRSSDLIQHQATHTGEKPYKCPECGKRFGQNHNLLKHQKIHAGEKPYRCTECGKSFIQSSELTQHQRTHTGEKPYECLECGKSFGHSSTLIKHQRTHLREDPFKCPVCGKTFTLSATLLRHQRTHTGERPYKCPECGKSFSVSSNLINHQRIHRGERPYICADCGKSFIMSSTLIRHQRIHTGEKPYKCSDCGKSFIRSSHLIQHRRTHTGEKPYKCPECGKSFSQSSNLITHVRTHMDENLFVCSDCGKAFLEAHELEQHRVIHERGKTPARRAQGDSLLGLGDPSLLTPPPGAKPHKCLVCGKGFNDEGIFMQHQRIHIGENPYKNADGLIAHAAPKPPQLRSPRLPFRGNSYPGAAEGRAEAPGQPLKPPEGQEGFSQRRGLLSSKTYICSHCGESFLDRSVLLQHQLTHGNEKPFLFPDYRIGLGEGAGPSPFLSGKPFKCPECKQSFGLSSELLLHQKVHAGGKSSQKSPELGKSSSVLLEHLRSPLGARPYRCSDCRASFLDRVALTRHQETHTQEKPPNPEDPPPEAVTLSTDQEGEGETPTPTESSSHGEGQNPKTLVEEKPYLCPECGAGFTEVAALLLHRSCHPGVSL
+>DECOY_sp|Q9UEG4|ZN629_HUMAN Zinc finger protein 629 OS=Homo sapiens OX=9606 GN=ZNF629 PE=1 SV=2
+LSVGPHCSRHLLLAAVETFGAGCEPCLYPKEEVLTKPNQGEGHSSSETPTPTEGEGEQDTSLTVAEPPPDEPNPPKEQTHTEQHRTLAVRDLFSARCDSCRYPRAGLPSRLHELLVSSSKGLEPSKQSSKGGAHVKQHLLLESSLGFSQKCEPCKFPKGSLFPSPGAGEGLGIRYDPFLFPKENGHTLQHQLLVSRDLFSEGCHSCIYTKSSLLGRRQSFGEQGEPPKLPQGPAEARGEAAGPYSNGRFPLRPSRLQPPKPAAHAILGDANKYPNEGIHIRQHQMFIGEDNFGKGCVLCKHPKAGPPPTLLSPDGLGLLSDGQARRAPTKGREHIVRHQELEHAELFAKGCDSCVFLNEDMHTRVHTILNSSQSFSKGCEPCKYPKEGTHTRRHQILHSSRIFSKGCDSCKYPKEGTHIRQHRILTSSMIFSKGCDACIYPREGRHIRQHNILNSSVSFSKGCEPCKYPREGTHTRQHRLLTASLTFTKGCVPCKFPDERLHTRQHKILTSSHGFSKGCELCEYPKEGTHTRQHQTLESSQIFSKGCETCRYPKEGAHIKQHKLLNHNQGFRKGCEPCKYPKEGTHTAQHQILDSSRYFARRCEGCKYPKEGTHSRQHKILNTSQTFAKECETCKYPKEGTHTRQHQVLNSSWSFCKGCDPCKYPKEGTHTRQHQVLHSSQTFSKGCESCTNPREGTHIRQHRLLKSWQSFSKGCENCIYPKEAGAPRGQVLERLSPENAPVVPPSNWTTLAGWQWSAECAKTWDSPTPVVEPAPPDLPIQHDLPNSHGLPTPPNQPVSPDQSSRELSMETSDKSEPSQAPDGMIIEEGSSEQRPSEEENESEAGRHADNPSQEPGQLDPGWLATEPEM
+>sp|Q2M218|ZN630_HUMAN Zinc finger protein 630 OS=Homo sapiens OX=9606 GN=ZNF630 PE=2 SV=1
+MIESQEPVTFEDVAVDFTQEEWQQLNPAQKTLHRDVMLETYNHLVSVGCSGIKPDVIFKLEHGKDPWIIESELSRWIYPDRVKGLESSQQIISGELLFQREILERAPKDNSLYSVLKIWHIDNQMDRYQGNQDRVLRQVTVISRETLTDEMGSKYSAFGKMFNRCTDLAPLSQKFHKFDSCENSLKSNSDLLNYNRSYARKNPTKRFRCGRPPKYNASCSVPEKEGFIHTGMEPYGDSQCEKVLSHKQAHVQYKKFQAREKPNVCSMCGKAFIKKSQLIIHQRIHTGEKPYVCGDCRKAFSEKSHLIVHQRIHTGEKPYECTKYGRAFSRKSPFTVHQRVHTGEKPYECFECPKAFSQKSHLIIHQRVHTREKPFECSECRKAFCEMSHLFIHQITHTGKKPYECTECGKTFPRKTQLIIHQRTHTGEKPYKCGECGKTFCQQSHLIGHQRIHTGEKPYVCTDCGKAFSQKSHLTGHQRLHTGEKPYMCTECGKSFSQKSPLIIHQRIHTGEKPYQCGECGKTFSQKSLLIIHLRVHTGEKPYECTECGRAFSLKSHLILHQRGHTGEKPYECSECGKAFCGKSPLIIHQKTHPREKTPECAESGMTFFWKSQMITYQRRHTGEKPSRCSDCGKAFCQHVYFTGHQNPYRKDTLYIC
+>DECOY_sp|Q2M218|ZN630_HUMAN Zinc finger protein 630 OS=Homo sapiens OX=9606 GN=ZNF630 PE=2 SV=1
+CIYLTDKRYPNQHGTFYVHQCFAKGCDSCRSPKEGTHRRQYTIMQSKWFFTMGSEACEPTKERPHTKQHIILPSKGCFAKGCESCEYPKEGTHGRQHLILHSKLSFARGCETCEYPKEGTHVRLHIILLSKQSFTKGCEGCQYPKEGTHIRQHIILPSKQSFSKGCETCMYPKEGTHLRQHGTLHSKQSFAKGCDTCVYPKEGTHIRQHGILHSQQCFTKGCEGCKYPKEGTHTRQHIILQTKRPFTKGCETCEYPKKGTHTIQHIFLHSMECFAKRCESCEFPKERTHVRQHIILHSKQSFAKPCEFCEYPKEGTHVRQHVTFPSKRSFARGYKTCEYPKEGTHIRQHVILHSKESFAKRCDGCVYPKEGTHIRQHIILQSKKIFAKGCMSCVNPKERAQFKKYQVHAQKHSLVKECQSDGYPEMGTHIFGEKEPVSCSANYKPPRGCRFRKTPNKRAYSRNYNLLDSNSKLSNECSDFKHFKQSLPALDTCRNFMKGFASYKSGMEDTLTERSIVTVQRLVRDQNGQYRDMQNDIHWIKLVSYLSNDKPARELIERQFLLEGSIIQQSSELGKVRDPYIWRSLESEIIWPDKGHELKFIVDPKIGSCGVSVLHNYTELMVDRHLTKQAPNLQQWEEQTFDVAVDEFTVPEQSEIM
+>sp|Q96N77|ZN641_HUMAN Zinc finger protein 641 OS=Homo sapiens OX=9606 GN=ZNF641 PE=1 SV=2
+MQAEDRSQFGSAAEMLSEQTAALGTGWESMNVQLDGAEPQVERGSQEERPWRTVPGPLEHLCCDLEEEPQSLQEKAQSAPWVPAIPQEGNTGDWEMAAALLAAGSQGLVTIKDVSLCFSQEEWRSLDPSQTDFYGEYVMQENCGIVVSLRFPIPKLDMLSQLEGGEEQWVPDPQDLEERDILRVTYTGDGSEHEGDTPELEAEPPRMLSSVSEDTVLWNPEHDESWDSMPSSSRGMLLGPPFLQEDSFSNLLCSTEMDSLLRPHTCPQCGKQFVWGSHLARHQQTHTGERPYSCLKCEKTFGRRHHLIRHQKTHLHDKTSRCSECGKNFRCNSHLASHQRVHAEGKSCKGQEVGESPGTRKRQRAPPVPKCHVCTECGKSFGRRHHLVRHWLTHTGEKPFQCPRCEKSFGRKHHLDRHLLTHQGQSPRNSWDRGTSVF
+>DECOY_sp|Q96N77|ZN641_HUMAN Zinc finger protein 641 OS=Homo sapiens OX=9606 GN=ZNF641 PE=1 SV=2
+FVSTGRDWSNRPSQGQHTLLHRDLHHKRGFSKECRPCQFPKEGTHTLWHRVLHHRRGFSKGCETCVHCKPVPPARQRKRTGPSEGVEQGKCSKGEAHVRQHSALHSNCRFNKGCESCRSTKDHLHTKQHRILHHRRGFTKECKLCSYPREGTHTQQHRALHSGWVFQKGCQPCTHPRLLSDMETSCLLNSFSDEQLFPPGLLMGRSSSPMSDWSEDHEPNWLVTDESVSSLMRPPEAELEPTDGEHESGDGTYTVRLIDREELDQPDPVWQEEGGELQSLMDLKPIPFRLSVVIGCNEQMVYEGYFDTQSPDLSRWEEQSFCLSVDKITVLGQSGAALLAAAMEWDGTNGEQPIAPVWPASQAKEQLSQPEEELDCCLHELPGPVTRWPREEQSGREVQPEAGDLQVNMSEWGTGLAATQESLMEAASGFQSRDEAQM
+>sp|Q5T619|ZN648_HUMAN Zinc finger protein 648 OS=Homo sapiens OX=9606 GN=ZNF648 PE=1 SV=1
+MAQVDSQDRWGEASPLSSLTEEAHDTQMLSMNLESDDEDGGEAEKEGTADPVACPRGSSPVTHENPDLPWPHPLGKEEEKFSDSSSAGGMGQKPVEMSGKASWSRDVTKINETQGSPGASRALGSLPSGLAHKLLGQMQPLGDRLPAGDDGYSGANQDAVLDVPPSFPSNGKYLCAHKSVDTSAGNSSLLCFPRPGSNWDLPTQETHTPAQASATPASLAAAVLAKARNSRKVQNQAGRREGGEAEARPYRCLRGGRAFQKPSKPLSPAETRGGAAKRYACELCGKAYSHRGTLQQHRRLHTGERPYQCSFCDKAYTWSSDHRKHIRTHTGEKPYPCPDCGKAFVRSSDLRKHQRNMHSNNKPFPCSECGLTFNKPLSLLRHQRTHLGAKPFRCPACDREFAVASRMVEHQRVHSGERPFPCPTCGKCFTKSSNLSEHQTLHTGQRPFKCADCGVAFAQPSRLVRHQRIHTGERPFPCTQCGQAFARSSTLKRHQQIHSGEKGFLCAECGRAFRIASELAQHIRMHNGERPYQCEDCGQAFTRSNHLQRHRAKHGTCKKEPIPSSSDE
+>DECOY_sp|Q5T619|ZN648_HUMAN Zinc finger protein 648 OS=Homo sapiens OX=9606 GN=ZNF648 PE=1 SV=1
+EDSSSPIPEKKCTGHKARHRQLHNSRTFAQGCDECQYPREGNHMRIHQALESAIRFARGCEACLFGKEGSHIQQHRKLTSSRAFAQGCQTCPFPREGTHIRQHRVLRSPQAFAVGCDACKFPRQGTHLTQHESLNSSKTFCKGCTPCPFPREGSHVRQHEVMRSAVAFERDCAPCRFPKAGLHTRQHRLLSLPKNFTLGCESCPFPKNNSHMNRQHKRLDSSRVFAKGCDPCPYPKEGTHTRIHKRHDSSWTYAKDCFSCQYPREGTHLRRHQQLTGRHSYAKGCLECAYRKAAGGRTEAPSLPKSPKQFARGGRLCRYPRAEAEGGERRGAQNQVKRSNRAKALVAAALSAPTASAQAPTHTEQTPLDWNSGPRPFCLLSSNGASTDVSKHACLYKGNSPFSPPVDLVADQNAGSYGDDGAPLRDGLPQMQGLLKHALGSPLSGLARSAGPSGQTENIKTVDRSWSAKGSMEVPKQGMGGASSSDSFKEEEKGLPHPWPLDPNEHTVPSSGRPCAVPDATGEKEAEGGDEDDSELNMSLMQTDHAEETLSSLPSAEGWRDQSDVQAM
+>sp|Q9Y2D9|ZN652_HUMAN Zinc finger protein 652 OS=Homo sapiens OX=9606 GN=ZNF652 PE=1 SV=3
+MSHTASSCQELVENCAVHVAGMAQEDSRRGQVPSSFYHGANQELDLSTKVYKRESGSPYSVLVDTKMSKPHLHETEEQPYFRETRAVSDVHAVKEDRENSDDTEEEEEEVSYKREQIIVEVNLNNQTLNVSKGEKGVSSQSKETPVLKTSSEEEEEESEEEATDDSNDYGENEKQKKKEKIVEKVSVTQRRTRRAASVAAATTSPTPRTTRGRRKSVEPPKRKKRATKEPKAPVQKAKCEEKETLTCEKCPRVFNTRWYLEKHMNVTHRRMQICDKCGKKFVLESELSLHQQTDCEKNIQCVSCNKSFKKLWSLHEHIKIVHGYAEKKFSCEICEKKFYTMAHVRKHMVAHTKDMPFTCETCGKSFKRSMSLKVHSLQHSGEKPFRCENCDERFQYKYQLRSHMSIHIGHKQFMCQWCGKDFNMKQYFDEHMKTHTGEKPFICEICGKSFTSRPNMKRHRRTHTGEKPYPCDVCGQRFRFSNMLKAHKEKCFRVTSPVNVPPAVQIPLTTSPATPVPSVVNTATTPTPPINMNPVSTLPPRPIPHPFSHLHIHPHPHHPHHLPIPPVPHLPPPPALFKSEPLNHRGQSEDNFLRHLAEKNSSAQHH
+>DECOY_sp|Q9Y2D9|ZN652_HUMAN Zinc finger protein 652 OS=Homo sapiens OX=9606 GN=ZNF652 PE=1 SV=3
+HHQASSNKEALHRLFNDESQGRHNLPESKFLAPPPPLHPVPPIPLHHPHHPHPHIHLHSFPHPIPRPPLTSVPNMNIPPTPTTATNVVSPVPTAPSTTLPIQVAPPVNVPSTVRFCKEKHAKLMNSFRFRQGCVDCPYPKEGTHTRRHRKMNPRSTFSKGCIECIFPKEGTHTKMHEDFYQKMNFDKGCWQCMFQKHGIHISMHSRLQYKYQFREDCNECRFPKEGSHQLSHVKLSMSRKFSKGCTECTFPMDKTHAVMHKRVHAMTYFKKECIECSFKKEAYGHVIKIHEHLSWLKKFSKNCSVCQINKECDTQQHLSLESELVFKKGCKDCIQMRRHTVNMHKELYWRTNFVRPCKECTLTEKEECKAKQVPAKPEKTARKKRKPPEVSKRRGRTTRPTPSTTAAAVSAARRTRRQTVSVKEVIKEKKKQKENEGYDNSDDTAEEESEEEEEESSTKLVPTEKSQSSVGKEGKSVNLTQNNLNVEVIIQERKYSVEEEEEETDDSNERDEKVAHVDSVARTERFYPQEETEHLHPKSMKTDVLVSYPSGSERKYVKTSLDLEQNAGHYFSSPVQGRRSDEQAMGAVHVACNEVLEQCSSATHSM
+>sp|Q6ZS27|ZN662_HUMAN Zinc finger protein 662 OS=Homo sapiens OX=9606 GN=ZNF662 PE=1 SV=1
+MLENYGAVASLAAFPFPKPALISQLERGETPWCSVPRGALDGEAPRGISSGYPFLKPAGISHPEQVEEPLNLKLQGEGPSLICPEGVLKRKKEDFILKEEIIEEAQDLMVLSSGPQWCGSQELWFGKTCEEKSRLGRWPGYLNGGRMESSTNDIIEVIVKDEMISVEESSGNTDVNNLLGIHHKILNEQIFYICEECGKCFDQNEDFDQHQKTHNGEKVYGCKECGKAFSFRSHCIAHQRIHSGVKPYECQECAKAFVWKSNLIRHQRIHTGEKPFECKECGKGFSQNTSLTQHQRIHTGEKPYTCKECGKSFTRNPALLRHQRMHTGEKPYECKDCGKGFMWNSDLSQHQRVHTGDKPHECTDCGKSFFCKAHLIRHQRIHTGERPYKCNDCGKAFSQNSVLIKHQRRHARDKPYNCQISHLLEH
+>DECOY_sp|Q6ZS27|ZN662_HUMAN Zinc finger protein 662 OS=Homo sapiens OX=9606 GN=ZNF662 PE=1 SV=1
+HELLHSIQCNYPKDRAHRRQHKILVSNQSFAKGCDNCKYPREGTHIRQHRILHAKCFFSKGCDTCEHPKDGTHVRQHQSLDSNWMFGKGCDKCEYPKEGTHMRQHRLLAPNRTFSKGCEKCTYPKEGTHIRQHQTLSTNQSFGKGCEKCEFPKEGTHIRQHRILNSKWVFAKACEQCEYPKVGSHIRQHAICHSRFSFAKGCEKCGYVKEGNHTKQHQDFDENQDFCKGCEECIYFIQENLIKHHIGLLNNVDTNGSSEEVSIMEDKVIVEIIDNTSSEMRGGNLYGPWRGLRSKEECTKGFWLEQSGCWQPGSSLVMLDQAEEIIEEKLIFDEKKRKLVGEPCILSPGEGQLKLNLPEEVQEPHSIGAPKLFPYGSSIGRPAEGDLAGRPVSCWPTEGRELQSILAPKPFPFAALSAVAGYNELM
+>sp|Q8TAW3|ZN671_HUMAN Zinc finger protein 671 OS=Homo sapiens OX=9606 GN=ZNF671 PE=2 SV=2
+MLSPVSRDASDALQGRKCLRPRSRRLPLPAAVRAHGPMAELTDSARGCVVFEDVFVYFSREEWELLDDAQRLLYHDVMLENFALLASLGIAFSRSRAVMKLERGEEPWVYDQVDMTSATEREAQRGLRPGCWHGVEDEEVSSEQSIFVAGVSEVRTLMAELESHPCDICGPILKDTLHLAKYHGGKARQKPYLCGACGKQFWFSTDFDQHQNQPNGGKLFPRKEGRDSVKSCRVHVPEKTLTCGKGRRDFSATSGLLQHQASLSSMKPHKSTKLVSGFLMGQRYHRCGECGKAFTRKDTLARHQRIHTGERPYECNECGKFFSQSYDLFKHQTVHTGERPYECSECGKFFRQISGLIEHRRVHTGERLYQCGKCGKFFSSKSNLIRHQEVHTGARPYVCSECGKEFSRKHTLVLHQRTHTGERPYECSECGKAFSQSSHLNVHWRIHSSDYECSRCGKAFSCISKLIQHQKVHSGEKPYECSKCGKAFTQRPNLIRHWKVHTGERPYVCSECGREFIRKQTLVLHQRVHAGEKL
+>DECOY_sp|Q8TAW3|ZN671_HUMAN Zinc finger protein 671 OS=Homo sapiens OX=9606 GN=ZNF671 PE=2 SV=2
+LKEGAHVRQHLVLTQKRIFERGCESCVYPREGTHVKWHRILNPRQTFAKGCKSCEYPKEGSHVKQHQILKSICSFAKGCRSCEYDSSHIRWHVNLHSSQSFAKGCESCEYPREGTHTRQHLVLTHKRSFEKGCESCVYPRAGTHVEQHRILNSKSSFFKGCKGCQYLREGTHVRRHEILGSIQRFFKGCESCEYPREGTHVTQHKFLDYSQSFFKGCENCEYPREGTHIRQHRALTDKRTFAKGCEGCRHYRQGMLFGSVLKTSKHPKMSSLSAQHQLLGSTASFDRRGKGCTLTKEPVHVRCSKVSDRGEKRPFLKGGNPQNQHQDFDTSFWFQKGCAGCLYPKQRAKGGHYKALHLTDKLIPGCIDCPHSELEAMLTRVESVGAVFISQESSVEEDEVGHWCGPRLGRQAERETASTMDVQDYVWPEEGRELKMVARSRSFAIGLSALLAFNELMVDHYLLRQADDLLEWEERSFYVFVDEFVVCGRASDTLEAMPGHARVAAPLPLRRSRPRLCKRGQLADSADRSVPSLM
+>sp|Q8N7Q3|ZN676_HUMAN Zinc finger protein 676 OS=Homo sapiens OX=9606 GN=ZNF676 PE=2 SV=2
+MLENYRNLVFLGIAAFKPDLIIFLEQGKEPWNMKRHEMVEEPPVICSHFSQEFWPEQGIEDSFQKMILRRYDKCGHENLHLKISCTNVDECNVHKEGYNKLNQSLTTTQSKVFQCGKYANVFHKCSNSNRHKIRHTGEKGLKCKEYVRSFCMLSHLSQHERIYTRENSYKCEENGKAFNWSSTLTYYKSIHTGEKPYKCEECGKAFSKFSILTKHKVIHTGEKPYKCEECGKAFNRSSILTKHKIIHTGEKPYKCEECGKGFSSVSTLNTHKAIHAEEKPYKCEECGKASNSSSKLMEHKRIHTGEKPYKCEECGKAFSWSSSLTEHKRIHAGEKPYKCEECGKAFNRSSILTKHKIIHTGEKPYKCEGCGKAFSKVSTLNTHKAIHAEEKPYKCEECGKASNSSSKLMEHKRIHTGEKPYKCEECGKAFSWSSSLTEHKRIHAGEKPYKCEECGKAFTWSSSFTKHKRIHAAEKPYKCEECGKGFSTFSILTKHKIIHTGEKRYKCEECGKAFSWSSILTEHKIIHTGEKPYKCEECGKAFSRSSSLTRHKRIHTGEKPYKCEECGKAFKSSSTVSYHKKIHTGENP
+>DECOY_sp|Q8N7Q3|ZN676_HUMAN Zinc finger protein 676 OS=Homo sapiens OX=9606 GN=ZNF676 PE=2 SV=2
+PNEGTHIKKHYSVTSSSKFAKGCEECKYPKEGTHIRKHRTLSSSRSFAKGCEECKYPKEGTHIIKHETLISSWSFAKGCEECKYRKEGTHIIKHKTLISFTSFGKGCEECKYPKEAAHIRKHKTFSSSWTFAKGCEECKYPKEGAHIRKHETLSSSWSFAKGCEECKYPKEGTHIRKHEMLKSSSNSAKGCEECKYPKEEAHIAKHTNLTSVKSFAKGCGECKYPKEGTHIIKHKTLISSRNFAKGCEECKYPKEGAHIRKHETLSSSWSFAKGCEECKYPKEGTHIRKHEMLKSSSNSAKGCEECKYPKEEAHIAKHTNLTSVSSFGKGCEECKYPKEGTHIIKHKTLISSRNFAKGCEECKYPKEGTHIVKHKTLISFKSFAKGCEECKYPKEGTHISKYYTLTSSWNFAKGNEECKYSNERTYIREHQSLHSLMCFSRVYEKCKLGKEGTHRIKHRNSNSCKHFVNAYKGCQFVKSQTTTLSQNLKNYGEKHVNCEDVNTCSIKLHLNEHGCKDYRRLIMKQFSDEIGQEPWFEQSFHSCIVPPEEVMEHRKMNWPEKGQELFIILDPKFAAIGLFVLNRYNELM
+>sp|Q8IYX0|ZN679_HUMAN Zinc finger protein 679 OS=Homo sapiens OX=9606 GN=ZNF679 PE=1 SV=2
+MAKRPGSPGSREMGLLTFRDVVIEFSLEEWQCLDHAQQNLYRDVMLENYRNLVSLGIAVSKPDLITCLEQNKEPWNIKRNEMVTKHPVMCSHFTQDLPPELGIKDSLQKVIPRRYGKSGHDNLQVKTCKSMGECEVQKGGCNEVNQCLSTTQNKIFQTHKCVKVFGKFSNSNRHKTRHTGKKHFKCKKYGKSFCMVSQLHQHQIIHTRENSYQCEECGKPFNCSSTLSKHKRIHTGEKPYRCEECGKAFTWSSTLTKHRRIHTGEKPYTCEECGQAFSRSSTLANHKRIHTGEKPYTCEECGKAFSLSSSLTYHKRIHTGEKPYTCEECGKAFNCSSTLKKHKIIHTGEKPYKCKECGKAFAFSSTLNTHKRIHTGEEPYKCEECDKAFKWSSSLANHKSMHTGEKPYKCE
+>DECOY_sp|Q8IYX0|ZN679_HUMAN Zinc finger protein 679 OS=Homo sapiens OX=9606 GN=ZNF679 PE=1 SV=2
+ECKYPKEGTHMSKHNALSSSWKFAKDCEECKYPEEGTHIRKHTNLTSSFAFAKGCEKCKYPKEGTHIIKHKKLTSSCNFAKGCEECTYPKEGTHIRKHYTLSSSLSFAKGCEECTYPKEGTHIRKHNALTSSRSFAQGCEECTYPKEGTHIRRHKTLTSSWTFAKGCEECRYPKEGTHIRKHKSLTSSCNFPKGCEECQYSNERTHIIQHQHLQSVMCFSKGYKKCKFHKKGTHRTKHRNSNSFKGFVKVCKHTQFIKNQTTSLCQNVENCGGKQVECEGMSKCTKVQLNDHGSKGYRRPIVKQLSDKIGLEPPLDQTFHSCMVPHKTVMENRKINWPEKNQELCTILDPKSVAIGLSVLNRYNELMVDRYLNQQAHDLCQWEELSFEIVVDRFTLLGMERSGPSGPRKAM
+>sp|Q96N22|ZN681_HUMAN Zinc finger protein 681 OS=Homo sapiens OX=9606 GN=ZNF681 PE=2 SV=2
+MEPLKFRDVAIEFSLEEWQCLDTIQQNLYRNVMLENYRNLVFLGIVVSKPDLITCLEQEKEPWTRKRHRMVAEPPVICSHFAQDFSPEQNIKDSFQKVTPRRYGKCEHENLQLSKSVDECKVQKGGYNGLNQCLPTTQSKIFQCDKYMKIFHKFSNLNGHKVRHTRKKPFKYKEFGKSFCIFSNLTQHKIICTRVNFYKCEDCGKAFNGSSIFTKHKRIHIGEKSYICEECGKACNQFTNLTTHKIIYTRDKLYKREECSKAFNLSSHITTHTIIHTGENPYKREECDKAFNQSLTLTTHKIIHTREKLNEYKECGKAFNQSSHLTRHKIIHTGEKPYKCEECGKAFNQSSHLTRHKIIHTGEKPYRCEECGKAFRQSSHLTTHKIIHTGEKPYKCEECGKAFNKSSHLTRHKSIHTGEKPYQCEKCGKASNQSSNLTEHKNIHTEEKPYKCEECGKAFNQFSNLTTHKRIHTGEKPYKCEECGKAFNQSSILTTHKRIHTGEKSYKCEECGKAFYRSSKLTEHKKIHTGEKPYTCEECGKAFNHSSHLATHKVIHTGEKPYQCEECGKAFNQSSHLTRHKRIHTGEKPYQCEKCGKAFNQSSNLTGHKKIHTGEKLYKPKRCNSDFENTSKFSKHKRNYAGEKS
+>DECOY_sp|Q96N22|ZN681_HUMAN Zinc finger protein 681 OS=Homo sapiens OX=9606 GN=ZNF681 PE=2 SV=2
+SKEGAYNRKHKSFKSTNEFDSNCRKPKYLKEGTHIKKHGTLNSSQNFAKGCKECQYPKEGTHIRKHRTLHSSQNFAKGCEECQYPKEGTHIVKHTALHSSHNFAKGCEECTYPKEGTHIKKHETLKSSRYFAKGCEECKYSKEGTHIRKHTTLISSQNFAKGCEECKYPKEGTHIRKHTTLNSFQNFAKGCEECKYPKEETHINKHETLNSSQNSAKGCKECQYPKEGTHISKHRTLHSSKNFAKGCEECKYPKEGTHIIKHTTLHSSQRFAKGCEECRYPKEGTHIIKHRTLHSSQNFAKGCEECKYPKEGTHIIKHRTLHSSQNFAKGCEKYENLKERTHIIKHTTLTLSQNFAKDCEERKYPNEGTHIITHTTIHSSLNFAKSCEERKYLKDRTYIIKHTTLNTFQNCAKGCEECIYSKEGIHIRKHKTFISSGNFAKGCDECKYFNVRTCIIKHQTLNSFICFSKGFEKYKFPKKRTHRVKHGNLNSFKHFIKMYKDCQFIKSQTTPLCQNLGNYGGKQVKCEDVSKSLQLNEHECKGYRRPTVKQFSDKINQEPSFDQAFHSCIVPPEAVMRHRKRTWPEKEQELCTILDPKSVVIGLFVLNRYNELMVNRYLNQQITDLCQWEELSFEIAVDRFKLPEM
+>sp|Q8IZ20|ZN683_HUMAN Tissue-resident T-cell transcription regulator protein ZNF683 OS=Homo sapiens OX=9606 GN=ZNF683 PE=1 SV=3
+MKEESAAQLGCCHRPMALGGTGGSLSPSLDFQLFRGDQVFSACRPLPDMVDAHGPSCASWLCPLPLAPGRSALLACLQDLDLNLCTPQPAPLGTDLQGLQEDALSMKHEPPGLQASSTDDKKFTVKYPQNKDKLGKQPERAGEGAPCPAFSSHNSSSPPPLQNRKSPSPLAFCPCPPVNSISKELPFLLHAFYPGYPLLLPPPHLFTYGALPSDQCPHLLMLPQDPSYPTMAMPSLLMMVNELGHPSARWETLLPYPGAFQASGQALPSQARNPGAGAAPTDSPGLERGGMASPAKRVPLSSQTGTAALPYPLKKKNGKILYECNICGKSFGQLSNLKVHLRVHSGERPFQCALCQKSFTQLAHLQKHHLVHTGERPHKCSIPWVPGRNHWKSFQAWREREVCHKRFSSSSNLKTHLRLHSGARPFQCSVCRSRFTQHIHLKLHHRLHAPQPCGLVHTQLPLASLACLAQWHQGALDLMAVASEKHMGYDIDEVKVSSTSQGKARAVSLSSAGTPLVMGQDQNN
+>DECOY_sp|Q8IZ20|ZN683_HUMAN Tissue-resident T-cell transcription regulator protein ZNF683 OS=Homo sapiens OX=9606 GN=ZNF683 PE=1 SV=3
+NNQDQGMVLPTGASSLSVARAKGQSTSSVKVEDIDYGMHKESAVAMLDLAGQHWQALCALSALPLQTHVLGCPQPAHLRHHLKLHIHQTFRSRCVSCQFPRAGSHLRLHTKLNSSSSFRKHCVERERWAQFSKWHNRGPVWPISCKHPREGTHVLHHKQLHALQTFSKQCLACQFPREGSHVRLHVKLNSLQGFSKGCINCEYLIKGNKKKLPYPLAATGTQSSLPVRKAPSAMGGRELGPSDTPAAGAGPNRAQSPLAQGSAQFAGPYPLLTEWRASPHGLENVMMLLSPMAMTPYSPDQPLMLLHPCQDSPLAGYTFLHPPPLLLPYGPYFAHLLFPLEKSISNVPPCPCFALPSPSKRNQLPPPSSSNHSSFAPCPAGEGAREPQKGLKDKNQPYKVTFKKDDTSSAQLGPPEHKMSLADEQLGQLDTGLPAPQPTCLNLDLDQLCALLASRGPALPLPCLWSACSPGHADVMDPLPRCASFVQDGRFLQFDLSPSLSGGTGGLAMPRHCCGLQAASEEKM
+>sp|Q96C28|ZN707_HUMAN Zinc finger protein 707 OS=Homo sapiens OX=9606 GN=ZNF707 PE=1 SV=2
+MDMAQEPVTFRDVAIYFSREEWACLEPSQRALYRDVMLDNFSSVAALGFCSPRPDLVSRLEQWEEPWVEDRERPEFQAVQRGPRPGARKSADPKRPCDHPAWAHKKTHVRRERAREGSSFRKGFRLDTDDGQLPRAAPERTDAKPTAFPCQVLTQRCGRRPGRRERRKQRAVELSFICGTCGKALSCHSRLLAHQTVHTGTKAFECPECGQTFRWASNLQRHQKNHTREKPFCCEACGQAFSLKDRLAQHRKVHTEHRPYSCGDCGKAFKQKSNLLRHQLVHTGERPFYCADCGKAFRTKENLSHHQRVHSGEKPYTCAECGKSFRWPKGFSIHRRLHLTKRFYECGHCGKGFRHLGFFTRHQRTHRHGEV
+>DECOY_sp|Q96C28|ZN707_HUMAN Zinc finger protein 707 OS=Homo sapiens OX=9606 GN=ZNF707 PE=1 SV=2
+VEGHRHTRQHRTFFGLHRFGKGCHGCEYFRKTLHLRRHISFGKPWRFSKGCEACTYPKEGSHVRQHHSLNEKTRFAKGCDACYFPREGTHVLQHRLLNSKQKFAKGCDGCSYPRHETHVKRHQALRDKLSFAQGCAECCFPKERTHNKQHRQLNSAWRFTQGCEPCEFAKTGTHVTQHALLRSHCSLAKGCTGCIFSLEVARQKRRERRGPRRGCRQTLVQCPFATPKADTREPAARPLQGDDTDLRFGKRFSSGERARERRVHTKKHAWAPHDCPRKPDASKRAGPRPGRQVAQFEPRERDEVWPEEWQELRSVLDPRPSCFGLAAVSSFNDLMVDRYLARQSPELCAWEERSFYIAVDRFTVPEQAMDM
+>sp|A6NP11|ZN716_HUMAN Zinc finger protein 716 OS=Homo sapiens OX=9606 GN=ZNF716 PE=2 SV=4
+MAKRPGPPGSREMGLLTFRDIAIEFSLAEWQCLDHAQQNLYRDVMLENYRNLVSLGIAVSKPDLITCLEQNKEPQNIKRNEMVAKHPVTCSHFTQDLQSEQGIKDSLQKVILRRYGKCGQEDLQVKKCCKSVGECEVHKGGYNYVNQCLSATQNKTFQTHKCVKVFGKFSNSNRHKTRHTGKKHFKCKNDGKSFCMLSRLNQHQIIHTREKSYKCEECGKSFNCSSTLTRHKRIHTGEKPYRCEECGKAFSWSASLTKHKRIHTGEKPYTCEERGKVFSRSTLTNYKRIHTGEKPYTCEECGKAFSRSSTLTNHKRIHTGERPYKCEECGKAFSLSSTLKKHKIVHTGEKLYTCEECGKAFTFSSTLNTHKRIHTGEKPYTCEECGKAFSLPSTFTYHKRTHTGEKPYKCEECGKAFNCSSTLKKHKIIHTGEKLYKCKECGKAFTFSSTLNTHKRIHTGEKPYKCEECDQTFKWHSSLANHKNMHTGEKPYKYE
+>DECOY_sp|A6NP11|ZN716_HUMAN Zinc finger protein 716 OS=Homo sapiens OX=9606 GN=ZNF716 PE=2 SV=4
+EYKYPKEGTHMNKHNALSSHWKFTQDCEECKYPKEGTHIRKHTNLTSSFTFAKGCEKCKYLKEGTHIIKHKKLTSSCNFAKGCEECKYPKEGTHTRKHYTFTSPLSFAKGCEECTYPKEGTHIRKHTNLTSSFTFAKGCEECTYLKEGTHVIKHKKLTSSLSFAKGCEECKYPREGTHIRKHNTLTSSRSFAKGCEECTYPKEGTHIRKYNTLTSRSFVKGREECTYPKEGTHIRKHKTLSASWSFAKGCEECRYPKEGTHIRKHRTLTSSCNFSKGCEECKYSKERTHIIQHQNLRSLMCFSKGDNKCKFHKKGTHRTKHRNSNSFKGFVKVCKHTQFTKNQTASLCQNVYNYGGKHVECEGVSKCCKKVQLDEQGCKGYRRLIVKQLSDKIGQESQLDQTFHSCTVPHKAVMENRKINQPEKNQELCTILDPKSVAIGLSVLNRYNELMVDRYLNQQAHDLCQWEALSFEIAIDRFTLLGMERSGPPGPRKAM
+>sp|Q7Z2F6|ZN720_HUMAN Putative protein ZNF720 OS=Homo sapiens OX=9606 GN=ZNF720 PE=2 SV=1
+MGLLTFRDVAIEFSREEWEHLDSDQKLLYGDVMLENYGNLVSLGLAVSKPDLITFLEQRKEPWNVKSAETVAIQPDIFSHDTQGLLRKKLIEASFQKVILDGYGSCGPQNLNLRKEWESEGKIILW
+>DECOY_sp|Q7Z2F6|ZN720_HUMAN Putative protein ZNF720 OS=Homo sapiens OX=9606 GN=ZNF720 PE=2 SV=1
+WLIIKGESEWEKRLNLNQPGCSGYGDLIVKQFSAEILKKRLLGQTDHSFIDPQIAVTEASKVNWPEKRQELFTILDPKSVALGLSVLNGYNELMVDGYLLKQDSDLHEWEERSFEIAVDRFTLLGM
+>sp|P0DPD5|ZN723_HUMAN Zinc finger protein 723 OS=Homo sapiens OX=9606 GN=ZNF723 PE=3 SV=1
+MGPLTFTDVAIKFSLEEWQFLDTAQQNLYRDVMLENYRNLVFLGVGVSKPDLITCLEQGKEPWNMKRHKMVAKPPVVCSHFAQDLWPEQGIKDSFQKVILRSYGKYGHDNLQLRKGCESVDECKMHKGGYDELKQCLTTTPSKIFQCDKYVKVFHKFSSSNSQKIRHTGNNSFKCKECGKSFCMLSHLTKHERNHTRVNCYKCEECGKAFSVPSKLNNHKRIHTGEKPYKCEECGKAFNVSSSLNNHKRIHTGEKPYKCEECGKTFNMFSSLNNHKRIHTGEKPYKCKECGKAFNVFSSLNNHKRIHTGEKPYKCEECGKAFNQPSHLATHKRIHTGEKLYKCEECGKAFSQSSHITTHKRIHTGEKPYKCEECGKAFKVSVHLTTHKRIHTGEKPYKCEECGKAFNQSSALTTHKIIHTGERPYKCKQCGKGFSQSSTLTKHKIIHTKEKPYKCEECGKAFNQYSTLNKHKIIHAREKPYKCEECGKAFNKSSILNRHKIIHTKEKSQTLKM
+>DECOY_sp|P0DPD5|ZN723_HUMAN Zinc finger protein 723 OS=Homo sapiens OX=9606 GN=ZNF723 PE=3 SV=1
+MKLTQSKEKTHIIKHRNLISSKNFAKGCEECKYPKERAHIIKHKNLTSYQNFAKGCEECKYPKEKTHIIKHKTLTSSQSFGKGCQKCKYPREGTHIIKHTTLASSQNFAKGCEECKYPKEGTHIRKHTTLHVSVKFAKGCEECKYPKEGTHIRKHTTIHSSQSFAKGCEECKYLKEGTHIRKHTALHSPQNFAKGCEECKYPKEGTHIRKHNNLSSFVNFAKGCEKCKYPKEGTHIRKHNNLSSFMNFTKGCEECKYPKEGTHIRKHNNLSSSVNFAKGCEECKYPKEGTHIRKHNNLKSPVSFAKGCEECKYCNVRTHNREHKTLHSLMCFSKGCEKCKFSNNGTHRIKQSNSSSFKHFVKVYKDCQFIKSPTTTLCQKLEDYGGKHMKCEDVSECGKRLQLNDHGYKGYSRLIVKQFSDKIGQEPWLDQAFHSCVVPPKAVMKHRKMNWPEKGQELCTILDPKSVGVGLFVLNRYNELMVDRYLNQQATDLFQWEELSFKIAVDTFTLPGM
+>sp|A6NNF4|ZN726_HUMAN Zinc finger protein 726 OS=Homo sapiens OX=9606 GN=ZNF726 PE=2 SV=4
+MGLLTFRDVAIEFSLEEWQCLDTAQKNLYRNVMLENYRNLAFLGIAVSKPDLIICLEKEKEPWNMKRDEMVDEPPGICPHFAQDIWPEQGVEDSFQKVILRRFEKCGHENLQLRKGCKSVDECKVHKEGYNGLNQCFTTTQGKASQCGKYLKVFYKFINLNRYKIRHTRKKPFKCKNCVKSFCMFSHKTQHKSIYTTEKSYKCKECGKTFNWSSTLTNHKKTHTEEKPYKCEEYGKAFNQSSNYTTHKVTHTGEKPYKCEECGKAFSQSSTLTIHKRIHTGEKPCKCEECGKAFSQPSALTIHKRMHIGEKPYKCEECGKAFVWSSTLTRHKRLHSGEKPYKCEECAKAFSQFGHLTTHRIIHTGEKPYKCEECGKAFIWPSTLTKHKRIHTGEKPYKCEECGKAFHRSSNLTKHKIIHTGEKPYKCEECGKAFIWSSNLTEHKKIHTREKPYKCEECSKAFSRSSALTTHKRMHTGEKPYKCEECGKAFSQSSTLTAHKIIHTGEKPYKCEECGKAFILSSTLSKHKRIHTGEKPYKCEECGKTFNQSSNLSTHKIIHTGEKPYKCEECGKAFNRSSNLSTHKIIHTGEKPYKCDECGKSFIWSSTLFKHKRIHTGEKPYKCEECGKAFNHSQILLHIRHKRMHTGEKPYKCEECGKSFNLSSTFIKHKVIHTGVKLYKCEECGKVFFWSSALTRHKKIHAGQQPYKWEKIGKAFNQSSHLTTDKITHIGEKSYKCE
+>DECOY_sp|A6NNF4|ZN726_HUMAN Zinc finger protein 726 OS=Homo sapiens OX=9606 GN=ZNF726 PE=2 SV=4
+ECKYSKEGIHTIKDTTLHSSQNFAKGIKEWKYPQQGAHIKKHRTLASSWFFVKGCEECKYLKVGTHIVKHKIFTSSLNFSKGCEECKYPKEGTHMRKHRIHLLIQSHNFAKGCEECKYPKEGTHIRKHKFLTSSWIFSKGCEDCKYPKEGTHIIKHTSLNSSRNFAKGCEECKYPKEGTHIIKHTSLNSSQNFTKGCEECKYPKEGTHIRKHKSLTSSLIFAKGCEECKYPKEGTHIIKHATLTSSQSFAKGCEECKYPKEGTHMRKHTTLASSRSFAKSCEECKYPKERTHIKKHETLNSSWIFAKGCEECKYPKEGTHIIKHKTLNSSRHFAKGCEECKYPKEGTHIRKHKTLTSPWIFAKGCEECKYPKEGTHIIRHTTLHGFQSFAKACEECKYPKEGSHLRKHRTLTSSWVFAKGCEECKYPKEGIHMRKHITLASPQSFAKGCEECKCPKEGTHIRKHITLTSSQSFAKGCEECKYPKEGTHTVKHTTYNSSQNFAKGYEECKYPKEETHTKKHNTLTSSWNFTKGCEKCKYSKETTYISKHQTKHSFMCFSKVCNKCKFPKKRTHRIKYRNLNIFKYFVKLYKGCQSAKGQTTTFCQNLGNYGEKHVKCEDVSKCGKRLQLNEHGCKEFRRLIVKQFSDEVGQEPWIDQAFHPCIGPPEDVMEDRKMNWPEKEKELCIILDPKSVAIGLFALNRYNELMVNRYLNKQATDLCQWEELSFEIAVDRFTLLGM
+>sp|P0DKX0|ZN728_HUMAN Zinc finger protein 728 OS=Homo sapiens OX=9606 GN=ZNF728 PE=4 SV=1
+MGSLTFRDVAIQFSLEEWQCLDTAQQNLYRNVMLENYRNLVFLGIAAPKPDLIIFLEQGKEPWNMKRHELVKEPPVICSHFAQDLWPEQGREDSFQKVILRRYEKCGHENLQLKIGCTNVDECKVHKKGYNKLNQSLTTTQSKVFQCGKYANIFHKCSNSKRHKIRHTGKKLLKCKEYVRSFCMLSHLSQHKRIYTRENSYKSEEHGKAFNWSSALTYKRIHTGEKPCKCEECGKAFSKFSILTKHKVIHTGEKHYKCEECGKAFTRSSSLIEHKRSHAGEKPYKCEECGKAFSKASTLTAHKTIHAGEKPYKCEECGKAFNRSSNLMEHKRIHTGEKPCKCEECGKAFGNFSTLTKHKVIHTGEKPYKCEECGKAFSWPSSLTEHKRIHAGDKPYKCEECGKTFKWSSTLTKHKIIHTGEKPYKCEECGKAFTTFSSLTKHKVIHTGEKHYKCEECGKVFSWSSSLTTHKAIHAGEKLYKCEECGKAFKWSSNLMEHKRIHTGEKPYKCEECGKAFSKVANLTKHKVIHTGEKQYKCEECGKAFIWSSRLSEHKRIHTGEKPYKCEECGKAFSWVSVLNKHKKIHAGKKFYKCEECGKDFNQSSHLTTHKRIHTGGKTLQM
+>DECOY_sp|P0DKX0|ZN728_HUMAN Zinc finger protein 728 OS=Homo sapiens OX=9606 GN=ZNF728 PE=4 SV=1
+MQLTKGGTHIRKHTTLHSSQNFDKGCEECKYFKKGAHIKKHKNLVSVWSFAKGCEECKYPKEGTHIRKHESLRSSWIFAKGCEECKYQKEGTHIVKHKTLNAVKSFAKGCEECKYPKEGTHIRKHEMLNSSWKFAKGCEECKYLKEGAHIAKHTTLSSSWSFVKGCEECKYHKEGTHIVKHKTLSSFTTFAKGCEECKYPKEGTHIIKHKTLTSSWKFTKGCEECKYPKDGAHIRKHETLSSPWSFAKGCEECKYPKEGTHIVKHKTLTSFNGFAKGCEECKCPKEGTHIRKHEMLNSSRNFAKGCEECKYPKEGAHITKHATLTSAKSFAKGCEECKYPKEGAHSRKHEILSSSRTFAKGCEECKYHKEGTHIVKHKTLISFKSFAKGCEECKCPKEGTHIRKYTLASSWNFAKGHEESKYSNERTYIRKHQSLHSLMCFSRVYEKCKLLKKGTHRIKHRKSNSCKHFINAYKGCQFVKSQTTTLSQNLKNYGKKHVKCEDVNTCGIKLQLNEHGCKEYRRLIVKQFSDERGQEPWLDQAFHSCIVPPEKVLEHRKMNWPEKGQELFIILDPKPAAIGLFVLNRYNELMVNRYLNQQATDLCQWEELSFQIAVDRFTLSGM
+>sp|Q6ZMV8|ZN730_HUMAN Putative zinc finger protein 730 OS=Homo sapiens OX=9606 GN=ZNF730 PE=2 SV=1
+MGALTFRDVAIEFSLEEWQCLDTEQQNLYRNVMLDNYRNLVFLGIAVSKPDLITCLEQEKEPWNLKTHDMVAKPPVICSHIAQDLWPEQGIKDYFQEVILRQYKKCRHENLLLRKGCKNVDEFKMHKKGYNRHNQCLTTSHSKIFQCDKYVKVFHKFSNSNRHKIRHTSKKPFKCKECGKLFCILSHLAQHKKIHTGEKSYKCEEYGKAFNESSNCTTHKRITEKKPYKCKECGKAFNWFSHFTTHKRIHTGEKPYQCEKCGKFFNQSTNLTTHKRIHTGEKPYKCEECGKAFNQSSNLTEHKKIHTKEQPYKCEKCGKAFKWSSTLTKHKRIHNGEKPYKCEECGKAFNRSSTLNRHKITHTGGKPYKYKECGKAFNQSSTLTIHKIIHTVEKFYKCEECGKAFSRISHLTTHKRIHTGEKPYKCEECGRAFNQSSTLTTHKRIHTGEKPYECEECGKAFNRSSTLTTHKIIHSGEKIYKCKECGKAFRRFSHLTRHKTIHT
+>DECOY_sp|Q6ZMV8|ZN730_HUMAN Putative zinc finger protein 730 OS=Homo sapiens OX=9606 GN=ZNF730 PE=2 SV=1
+THITKHRTLHSFRRFAKGCEKCKYIKEGSHIIKHTTLTSSRNFAKGCEECEYPKEGTHIRKHTTLTSSQNFARGCEECKYPKEGTHIRKHTTLHSIRSFAKGCEECKYFKEVTHIIKHITLTSSQNFAKGCEKYKYPKGGTHTIKHRNLTSSRNFAKGCEECKYPKEGNHIRKHKTLTSSWKFAKGCKECKYPQEKTHIKKHETLNSSQNFAKGCEECKYPKEGTHIRKHTTLNTSQNFFKGCKECQYPKEGTHIRKHTTFHSFWNFAKGCEKCKYPKKETIRKHTTCNSSENFAKGYEECKYSKEGTHIKKHQALHSLICFLKGCEKCKFPKKSTHRIKHRNSNSFKHFVKVYKDCQFIKSHSTTLCQNHRNYGKKHMKFEDVNKCGKRLLLNEHRCKKYQRLIVEQFYDKIGQEPWLDQAIHSCIVPPKAVMDHTKLNWPEKEQELCTILDPKSVAIGLFVLNRYNDLMVNRYLNQQETDLCQWEELSFEIAVDRFTLAGM
+>sp|P0CB33|ZN735_HUMAN Putative zinc finger protein 735 OS=Homo sapiens OX=9606 GN=ZNF735 PE=5 SV=1
+MAKRPGPPGSREMGLLTFRDIAIEFSLAEWQCLDHAQQNLYRDVMLENYRNLFSLGMTVSKPDLIACLEQNKEPQNIKRNEMAAKHPVTCSHFNQDLQPEQSIKDSLQKVIPRTYGKCGHENLQLKKCCKRVDECEVHKGGYNDLNQCLSNTQNKIFQTHKCVKVFSKFSNSNRHNARYTGKKHLKCKKYGKSFCMFSHLNQHQIIHTKEKSYKCEECGKSFNHSSSGTTHKRILTGEKPYRCEECGKAFRWPSNLTRHKRIHTGEKPYACEECGQAFRRSSTLTNHKRIHTGERPYKCEECGKAFSVSSALIYHKRIHTGEKPYTCEECGKAFNCSSTLKTHKIIHTGEKPYTCEECGRTFNCSSTVKAHKRIHTGEKPYKCEECDKAFKWHSSLAKHKIIHTGEKPYKCK
+>DECOY_sp|P0CB33|ZN735_HUMAN Putative zinc finger protein 735 OS=Homo sapiens OX=9606 GN=ZNF735 PE=5 SV=1
+KCKYPKEGTHIIKHKALSSHWKFAKDCEECKYPKEGTHIRKHAKVTSSCNFTRGCEECTYPKEGTHIIKHTKLTSSCNFAKGCEECTYPKEGTHIRKHYILASSVSFAKGCEECKYPREGTHIRKHNTLTSSRRFAQGCEECAYPKEGTHIRKHRTLNSPWRFAKGCEECRYPKEGTLIRKHTTGSSSHNFSKGCEECKYSKEKTHIIQHQNLHSFMCFSKGYKKCKLHKKGTYRANHRNSNSFKSFVKVCKHTQFIKNQTNSLCQNLDNYGGKHVECEDVRKCCKKLQLNEHGCKGYTRPIVKQLSDKISQEPQLDQNFHSCTVPHKAAMENRKINQPEKNQELCAILDPKSVTMGLSFLNRYNELMVDRYLNQQAHDLCQWEALSFEIAIDRFTLLGMERSGPPGPRKAM
+>sp|Q8NE65|ZN738_HUMAN Protein ZNF738 OS=Homo sapiens OX=9606 GN=ZNF738 PE=2 SV=1
+MDDLRYGVYPVKGASGYPGAERNLLEYSYFEKGPLTFRDVVIEFSQEEWQCLDTAQQDLYRKVMLENFRNLVFLGIDVSKPDLITCLEQGKDPWNMKRHSMVATPPESGVLKFPTIIILLSRCFFQSVNICFIYLEP
+>DECOY_sp|Q8NE65|ZN738_HUMAN Protein ZNF738 OS=Homo sapiens OX=9606 GN=ZNF738 PE=2 SV=1
+PELYIFCINVSQFFCRSLLIIITPFKLVGSEPPTAVMSHRKMNWPDKGQELCTILDPKSVDIGLFVLNRFNELMVKRYLDQQATDLCQWEEQSFEIVVDRFTLPGKEFYSYELLNREAGPYGSAGKVPYVGYRLDDM
+>sp|Q9BV97|ZN747_HUMAN KRAB domain-containing protein ZNF747 OS=Homo sapiens OX=9606 GN=ZNF747 PE=1 SV=1
+MTDPSLGLTVPMAPPLAPLPPRDPNGAGSEWRKPGAVSFADVAVYFSREEWGCLRPAQRALYRDVMRETYGHLGALGESPTCLPGPCASTGPAAPLGAACGVGGPGAGQAASSQRGVCVLLPQESEAASRRSSPGWRRRPNCGIRLPRIRRWRSVRQKRTQQIPETRKRKDKGKGREPWRSPTLWPPGLLG
+>DECOY_sp|Q9BV97|ZN747_HUMAN KRAB domain-containing protein ZNF747 OS=Homo sapiens OX=9606 GN=ZNF747 PE=1 SV=1
+GLLGPPWLTPSRWPERGKGKDKRKRTEPIQQTRKQRVSRWRRIRPLRIGCNPRRRWGPSSRRSAAESEQPLLVCVGRQSSAAQGAGPGGVGCAAGLPAAPGTSACPGPLCTPSEGLAGLHGYTERMVDRYLARQAPRLCGWEERSFYVAVDAFSVAGPKRWESGAGNPDRPPLPALPPAMPVTLGLSPDTM
+>sp|Q32MQ0|ZN750_HUMAN Zinc finger protein 750 OS=Homo sapiens OX=9606 GN=ZNF750 PE=1 SV=1
+MSLLKERKPKKPHYIPRPPGKPFKYKCFQCPFTCNEKSHLFNHMKYGLCKNSITLVSEQDRVPKCPKSNSLDPKQTNQPDATAKPASSKSVANGLSAFDSKLQHSSAREDIKENLELQARGTHRCLGQKPALHRASPCKSPAPEAALGAQPALEGAARPSAFVPVGEHRLKGPDNAEAPETLALHNPTAKAVSFHTKSAFHTPGYPWKAGSPFLPPEFPHKISSTKGLGAISPYMHPTIPEYPPHFYTEHGLATIYSPYLLAGSSPECDAPLLSVYGTQDPRHFLPHPGPIPKHLAPSPATYDHYRFFQQYPSNLPIPYGFYRPESAFSSYGLRLPPVTGLTRDQSSHLLEEATLVYPASSPSRLNPSDPNRKHVEFESPIPEAKDSSKAGQRDTEGSKMSPRAGSAATGSPGRPSPTDFMQTSQTCEGLYDLSNKAASSALGRLYPPEQSLTAFRPVKKSTECLPAQAAETTAESPVSLNVVNGDPPAPTGSASLVSEAAPSSPDDSSGMGPLNLSKKSEINLAATHEPTYQGSPQAETASFSELQDLPLNLSVKDPCNTQAPRPAFPGRPRAAEPAAAVPQKTGTEGSEDGPSHPETKPGSLDGDGAPPTGPGEEAPDACAVDSSEEQKQTAAVALCQLAAYSPRNIRVGDGDAAAPEPACRQDTPTLSSMESQEAQCDLRPKGQKRTSLRDAGKSQQGAKKAKLQDTARVFTLRRRARVS
+>DECOY_sp|Q32MQ0|ZN750_HUMAN Zinc finger protein 750 OS=Homo sapiens OX=9606 GN=ZNF750 PE=1 SV=1
+SVRARRRLTFVRATDQLKAKKAGQQSKGADRLSTRKQGKPRLDCQAEQSEMSSLTPTDQRCAPEPAAADGDGVRINRPSYAALQCLAVAATQKQEESSDVACADPAEEGPGTPPAGDGDLSGPKTEPHSPGDESGETGTKQPVAAAPEAARPRGPFAPRPAQTNCPDKVSLNLPLDQLESFSATEAQPSGQYTPEHTAALNIESKKSLNLPGMGSSDDPSSPAAESVLSASGTPAPPDGNVVNLSVPSEATTEAAQAPLCETSKKVPRFATLSQEPPYLRGLASSAAKNSLDYLGECTQSTQMFDTPSPRGPSGTAASGARPSMKSGETDRQGAKSSDKAEPIPSEFEVHKRNPDSPNLRSPSSAPYVLTAEELLHSSQDRTLGTVPPLRLGYSSFASEPRYFGYPIPLNSPYQQFFRYHDYTAPSPALHKPIPGPHPLFHRPDQTGYVSLLPADCEPSSGALLYPSYITALGHETYFHPPYEPITPHMYPSIAGLGKTSSIKHPFEPPLFPSGAKWPYGPTHFASKTHFSVAKATPNHLALTEPAEANDPGKLRHEGVPVFASPRAAGELAPQAGLAAEPAPSKCPSARHLAPKQGLCRHTGRAQLELNEKIDERASSHQLKSDFASLGNAVSKSSAPKATADPQNTQKPDLSNSKPCKPVRDQESVLTISNKCLGYKMHNFLHSKENCTFPCQFCKYKFPKGPPRPIYHPKKPKREKLLSM
+>sp|Q0D2J5|ZN763_HUMAN Zinc finger protein 763 OS=Homo sapiens OX=9606 GN=ZNF763 PE=2 SV=2
+MDPVACEDVAVNFTQEEWALLDISQRKLYREVMLETFRNLTSIGKKWKDQNIEYEYQNPRRNFRSLIEGNVNEIKEDSHCGETFTQVPDDRLNFQEKKASPEAKSCDNFVCGEVGIGNSSFNMNIRGDIGHKAYEYQDYAPKPYKCQQPKKAFRYHPSFRTQERNHTGEKPYACKECGKTFISHSGIRRRMVMHSGDGPYKCKFCGKAVHCLRLYLIHERTHTGEKPYECKQCVKSFSYSATHRIHERTHTGEKPYECQQCGKAFHSSSSFQAHKRTHTGGKPYECKQCGKSFSWCHSFQIHERTHTGEKPCECSKCNKAFRSYRSYLRHKRSHTGEKPYQCKECRKAFTYPSSLRRHERTHSAKKPYECKQCGKALSYKFSNTPKNALWRKTL
+>DECOY_sp|Q0D2J5|ZN763_HUMAN Zinc finger protein 763 OS=Homo sapiens OX=9606 GN=ZNF763 PE=2 SV=2
+LTKRWLANKPTNSFKYSLAKGCQKCEYPKKASHTREHRRLSSPYTFAKRCEKCQYPKEGTHSRKHRLYSRYSRFAKNCKSCECPKEGTHTREHIQFSHCWSFSKGCQKCEYPKGGTHTRKHAQFSSSSHFAKGCQQCEYPKEGTHTREHIRHTASYSFSKVCQKCEYPKEGTHTREHILYLRLCHVAKGCFKCKYPGDGSHMVMRRRIGSHSIFTKGCEKCAYPKEGTHNREQTRFSPHYRFAKKPQQCKYPKPAYDQYEYAKHGIDGRINMNFSSNGIGVEGCVFNDCSKAEPSAKKEQFNLRDDPVQTFTEGCHSDEKIENVNGEILSRFNRRPNQYEYEINQDKWKKGISTLNRFTELMVERYLKRQSIDLLAWEEQTFNVAVDECAVPDM
+>sp|Q7L2R6|ZN765_HUMAN Zinc finger protein 765 OS=Homo sapiens OX=9606 GN=ZNF765 PE=1 SV=2
+MALPQGLLTFRDVAIEFSQEEWKCLDPAQRTLYRDVMLENYRNLVSLDISSKCMMKEFSSTAQGNREVFHAGTSQRHESHHNGDFCFQDIDKDIHDIEFQWQEDERNGHEALMTKIKKLTGSTERYDQNYAGNKPVKYQLGFSFHSHLPELHIFHTEEKIDNQVVKSIHDASLVSTAQRISCRPETHISNDYGNNFLNSSLFTQKQEVHMREKSFQCNDSGKAYNCSSLLRKHQLIHLGEKQYKCDICGKVFNSKRYVARHRRCHTGEKPYKCNECGKTFSQTYYLTCHRRLHTGEKPYKCEECDKAFHFKSKLQIHRRIHTGEKPYKCNECGKTFSQKSYLTCHRRLHTGEKPYKCNECGKTFSRKSHFTCHHRVHTGEKPYKCNECSKTFSHKSSLTYHRRLHTEEKPYKCNECGKTFNQQLTLNICRLHSGEKPYKCEECDKAYSFKSNLEIHQKIHTEENPYKCNECGKTFSRTSSLTYHHRLHTGQKPYKCEDCDEAFSFKSNLERHRRIYTGEKLHV
+>DECOY_sp|Q7L2R6|ZN765_HUMAN Zinc finger protein 765 OS=Homo sapiens OX=9606 GN=ZNF765 PE=1 SV=2
+VHLKEGTYIRRHRELNSKFSFAEDCDECKYPKQGTHLRHHYTLSSTRSFTKGCENCKYPNEETHIKQHIELNSKFSYAKDCEECKYPKEGSHLRCINLTLQQNFTKGCENCKYPKEETHLRRHYTLSSKHSFTKSCENCKYPKEGTHVRHHCTFHSKRSFTKGCENCKYPKEGTHLRRHCTLYSKQSFTKGCENCKYPKEGTHIRRHIQLKSKFHFAKDCEECKYPKEGTHLRRHCTLYYTQSFTKGCENCKYPKEGTHCRRHRAVYRKSNFVKGCIDCKYQKEGLHILQHKRLLSSCNYAKGSDNCQFSKERMHVEQKQTFLSSNLFNNGYDNSIHTEPRCSIRQATSVLSADHISKVVQNDIKEETHFIHLEPLHSHFSFGLQYKVPKNGAYNQDYRETSGTLKKIKTMLAEHGNREDEQWQFEIDHIDKDIDQFCFDGNHHSEHRQSTGAHFVERNGQATSSFEKMMCKSSIDLSVLNRYNELMVDRYLTRQAPDLCKWEEQSFEIAVDRFTLLGQPLAM
+>sp|Q7L3S4|ZN771_HUMAN Zinc finger protein 771 OS=Homo sapiens OX=9606 GN=ZNF771 PE=1 SV=1
+MPGEQQAEEEEEEEMQEEMVLLVKGEEDEGEEKYEVVKLKIPMDNKEVPGEAPAPSADPARPHACPDCGRAFARRSTLAKHARTHTGERPFGCTECGRRFSQKSALTKHGRTHTGERPYECPECDKRFSAASNLRQHRRRHTGEKPYACAHCGRRFAQSSNYAQHLRVHTGEKPYACPDCGRAFGGSSCLARHRRTHTGERPYACADCGTRFAQSSALAKHRRVHTGEKPHRCAVCGRRFGHRSNLAEHARTHTGERPYPCAECGRRFRLSSHFIRHRRAHMRRRLYICAGCGRDFKLPPGATAATATERCPECEGS
+>DECOY_sp|Q7L3S4|ZN771_HUMAN Zinc finger protein 771 OS=Homo sapiens OX=9606 GN=ZNF771 PE=1 SV=1
+SGECEPCRETATAATAGPPLKFDRGCGACIYLRRRMHARRHRIFHSSLRFRRGCEACPYPREGTHTRAHEALNSRHGFRRGCVACRHPKEGTHVRRHKALASSQAFRTGCDACAYPREGTHTRRHRALCSSGGFARGCDPCAYPKEGTHVRLHQAYNSSQAFRRGCHACAYPKEGTHRRRHQRLNSAASFRKDCEPCEYPREGTHTRGHKTLASKQSFRRGCETCGFPREGTHTRAHKALTSRRAFARGCDPCAHPRAPDASPAPAEGPVEKNDMPIKLKVVEYKEEGEDEEGKVLLVMEEQMEEEEEEEAQQEGPM
+>sp|Q68DY9|ZN772_HUMAN Zinc finger protein 772 OS=Homo sapiens OX=9606 GN=ZNF772 PE=1 SV=2
+MAAAEPMGPAQVPMNSEVIVDPIQGQVNFEDVFVYFSQEEWVLLDEAQRLLYRDVMLENFALMASLGHTSFMSHIVASLVMGSEPWVPDWVDMTLAVATETPGGSDPGCWHGMEDEEIPFEQSFSIGMSQIRIPKGGPSTQKAYPCGTCGLVLKDILHLAEHQETHPGQKPYMCVLCGKQFCFSANLHQHQKQHSGEKPFRSDKSRPFLLNNCAVQSMEMSFVTGEACKDFLASSSIFEHHAPHNEWKPHSNTKCEEASHCGKRHYKCSECGKTFSRKDSLVQHQRVHTGERPYECGECGKTFSRKPILAQHQRIHTGEMPYECGICGKVFNHSSNLIVHQRVHTGARPYKCSECGKAYSHKSTLVQHESIHTGERPYECSECGKYFGHKYRLIKHWSVHTGARPYECIACGKFFSQSSDLIAHQRVHNGEKPYVCSECGKAFSHKHVLVQHHRIHTGERPYKCSECGKAFRQRASLIRHWKIHTGERP
+>DECOY_sp|Q68DY9|ZN772_HUMAN Zinc finger protein 772 OS=Homo sapiens OX=9606 GN=ZNF772 PE=1 SV=2
+PREGTHIKWHRILSARQRFAKGCESCKYPREGTHIRHHQVLVHKHSFAKGCESCVYPKEGNHVRQHAILDSSQSFFKGCAICEYPRAGTHVSWHKILRYKHGFYKGCESCEYPREGTHISEHQVLTSKHSYAKGCESCKYPRAGTHVRQHVILNSSHNFVKGCIGCEYPMEGTHIRQHQALIPKRSFTKGCEGCEYPREGTHVRQHQVLSDKRSFTKGCESCKYHRKGCHSAEECKTNSHPKWENHPAHHEFISSSALFDKCAEGTVFSMEMSQVACNNLLFPRSKDSRFPKEGSHQKQHQHLNASFCFQKGCLVCMYPKQGPHTEQHEALHLIDKLVLGCTGCPYAKQTSPGGKPIRIQSMGISFSQEFPIEEDEMGHWCGPDSGGPTETAVALTMDVWDPVWPESGMVLSAVIHSMFSTHGLSAMLAFNELMVDRYLLRQAEDLLVWEEQSFYVFVDEFNVQGQIPDVIVESNMPVQAPGMPEAAAM
+>sp|Q68DI1|ZN776_HUMAN Zinc finger protein 776 OS=Homo sapiens OX=9606 GN=ZNF776 PE=1 SV=2
+MAAAALRPPAQGTVTFEDVAVNFSQEEWSLLSEAQRCLYHDVMLENLTLISSLGCWYGAKDETPSKQTLSIQQESPLRTHWTGVCTKKVHLWGMCGPLLGDILHQGTQHNQKLNGFGAYEKKLDDDANHHQDQKQHIGEKSYRSNAKGTSFVKNCKFHMSHEPFIFHEVGKDFLSSLRLLQQEDIHTSGKSNFETKHGIPLQGGKTHYICGESTIPFSNKHSLVLHQRLLPREGPYVCSDSGKFTSKSNSFNNHQGVRTGKRPYQCGQCDESFWYKAHLTEHQRVHTGERPYECGECDKSFSHKHSLVDHQRVHTGERPYECDECGKSFSHKRSLVHHQRVHTGERPYQCGECGKSFNHKCNLIQHQRVHTGERPFECTACGKLFRSNSHLKEHQRVHTGERPYECKECRKSFRYKSHLTEHQRVHTGERPYECRECGKCFHQKGSLIQHQQIHSGERPHECGECGKCFHQKGSLIRHQQIHSGERPHECGECGKCFRQKGNLIKHQRVHTGERHHEC
+>DECOY_sp|Q68DI1|ZN776_HUMAN Zinc finger protein 776 OS=Homo sapiens OX=9606 GN=ZNF776 PE=1 SV=2
+CEHHREGTHVRQHKILNGKQRFCKGCEGCEHPREGSHIQQHRILSGKQHFCKGCEGCEHPREGSHIQQHQILSGKQHFCKGCERCEYPREGTHVRQHETLHSKYRFSKRCEKCEYPREGTHVRQHEKLHSNSRFLKGCATCEFPREGTHVRQHQILNCKHNFSKGCEGCQYPREGTHVRQHHVLSRKHSFSKGCEDCEYPREGTHVRQHDVLSHKHSFSKDCEGCEYPREGTHVRQHETLHAKYWFSEDCQGCQYPRKGTRVGQHNNFSNSKSTFKGSDSCVYPGERPLLRQHLVLSHKNSFPITSEGCIYHTKGGQLPIGHKTEFNSKGSTHIDEQQLLRLSSLFDKGVEHFIFPEHSMHFKCNKVFSTGKANSRYSKEGIHQKQDQHHNADDDLKKEYAGFGNLKQNHQTGQHLIDGLLPGCMGWLHVKKTCVGTWHTRLPSEQQISLTQKSPTEDKAGYWCGLSSILTLNELMVDHYLCRQAESLLSWEEQSFNVAVDEFTVTGQAPPRLAAAAM
+>sp|Q8N8C0|ZN781_HUMAN Zinc finger protein 781 OS=Homo sapiens OX=9606 GN=ZNF781 PE=2 SV=1
+MQRNAMYLKNVAETACNFQLTQYQISHANQKPYECQICGKPFRKRAHLTQHNRIHTGGKPYECKECGKVFICCSTLIQHKRTHTSEKPYECLECRKTFRRSAHLIRHQRIHTGEKPYKCKQCWKAFASVSDLIDIGKFTLMRDFTNVQNVGRHLTIAQLLFSIREFTLVRSPLNVRNVAKHSIIAQHLLNTRELILMRNLMNVRNVKRLLGKVHILLNIKEFILVRNHMSVSNVGRLSLVFLILIDIREFTLVKNPMNVKNVVELLTIVQLLFNTREFTLVRRLMNISSVGRFLSPVQHLFNIREHILMKNLMNVSNARRPSSIMHILFDIKEFILVRNLINVSNVGRPLLLFLI
+>DECOY_sp|Q8N8C0|ZN781_HUMAN Zinc finger protein 781 OS=Homo sapiens OX=9606 GN=ZNF781 PE=2 SV=1
+ILFLLLPRGVNSVNILNRVLIFEKIDFLIHMISSPRRANSVNMLNKMLIHERINFLHQVPSLFRGVSSINMLRRVLTFERTNFLLQVITLLEVVNKVNMPNKVLTFERIDILILFVLSLRGVNSVSMHNRVLIFEKINLLIHVKGLLRKVNRVNMLNRMLILERTNLLHQAIISHKAVNRVNLPSRVLTFERISFLLQAITLHRGVNQVNTFDRMLTFKGIDILDSVSAFAKWCQKCKYPKEGTHIRQHRILHASRRFTKRCELCEYPKESTHTRKHQILTSCCIFVKGCEKCEYPKGGTHIRNHQTLHARKRFPKGCIQCEYPKQNAHSIQYQTLQFNCATEAVNKLYMANRQM
+>sp|Q8NCA9|ZN784_HUMAN Zinc finger protein 784 OS=Homo sapiens OX=9606 GN=ZNF784 PE=1 SV=1
+MAAARPEAQSRSSPTPESRSQEPLDLVLVPDDCRPGTPPSDLIEIQVVKVTDTTLVPEPPEPGSFHCALCPAAFRLVSELLFHEHGHLAGAEGGGQGGDPSRCHVCGHSCPGPASLRAHYSLHTGERPYRCALCPRAFKALAPLLRHQHRHGVEPGTSRRPPDTAAVAEQRPGVAPERAEVVMAAAAAGAAVGKPFACRFCAKPFRRSSDMRDHERVHTGERPYHCGICGKGFTQSSVLSGHARIHTGERPFRCTLCDRTFNNSSNFRKHQRTHFHGPGPGLGDSGGQLGSSAAEGSGSGCGVGDPAEEGRGETAKVKVEADQ
+>DECOY_sp|Q8NCA9|ZN784_HUMAN Zinc finger protein 784 OS=Homo sapiens OX=9606 GN=ZNF784 PE=1 SV=1
+QDAEVKVKATEGRGEEAPDGVGCGSGSGEAASSGLQGGSDGLGPGPGHFHTRQHKRFNSSNNFTRDCLTCRFPREGTHIRAHGSLVSSQTFGKGCIGCHYPREGTHVREHDRMDSSRRFPKACFRCAFPKGVAAGAAAAAMVVEAREPAVGPRQEAVAATDPPRRSTGPEVGHRHQHRLLPALAKFARPCLACRYPREGTHLSYHARLSAPGPCSHGCVHCRSPDGGQGGGEAGALHGHEHFLLESVLRFAAPCLACHFSGPEPPEPVLTTDTVKVVQIEILDSPPTGPRCDDPVLVLDLPEQSRSEPTPSSRSQAEPRAAAM
+>sp|Q5FWF6|ZN789_HUMAN Zinc finger protein 789 OS=Homo sapiens OX=9606 GN=ZNF789 PE=2 SV=3
+MFPPARGKELLSFEDVAMYFTREEWGHLNWGQKDLYRDVMLENYRNMVLLGFQFPKPEMICQLENWDEQWILDLPRTGNRKASGSACPGSEARHKMKKLTPKQKFSEDLESYKISVVMQESAEKLSEKLHKCKEFVDSCRLTFPTSGDEYSRGFLQNLNLIQDQNAQTRWKQGRYDEDGKPFNQRSLLLGHERILTRAKSYECSECGKVIRRKAWFDQHQRIHFLENPFECKVCGQAFRQRSALTVHKQCHLQNKPYRCHDCGKCFRQLAYLVEHKRIHTKEKPYKCSKCEKTFSQNSTLIRHQVIHSGEKRHKCLECGKAFGRHSTLLCHQQIHSKPNTHKCSECGQSFGRNVDLIQHQRIHTKEEFFQCGECGKTFSFKRNLFRHQVIHTGSQPYQCVICGKSFKWHTSFIKHQGTHKGQIST
+>DECOY_sp|Q5FWF6|ZN789_HUMAN Zinc finger protein 789 OS=Homo sapiens OX=9606 GN=ZNF789 PE=2 SV=3
+TSIQGKHTGQHKIFSTHWKFSKGCIVCQYPQSGTHIVQHRFLNRKFSFTKGCEGCQFFEEKTHIRQHQILDVNRGFSQGCESCKHTNPKSHIQQHCLLTSHRGFAKGCELCKHRKEGSHIVQHRILTSNQSFTKECKSCKYPKEKTHIRKHEVLYALQRFCKGCDHCRYPKNQLHCQKHVTLASRQRFAQGCVKCEFPNELFHIRQHQDFWAKRRIVKGCESCEYSKARTLIREHGLLLSRQNFPKGDEDYRGQKWRTQANQDQILNLNQLFGRSYEDGSTPFTLRCSDVFEKCKHLKESLKEASEQMVVSIKYSELDESFKQKPTLKKMKHRAESGPCASGSAKRNGTRPLDLIWQEDWNELQCIMEPKPFQFGLLVMNRYNELMVDRYLDKQGWNLHGWEERTFYMAVDEFSLLEKGRAPPFM
+>sp|Q3KQV3|ZN792_HUMAN Zinc finger protein 792 OS=Homo sapiens OX=9606 GN=ZNF792 PE=1 SV=2
+MAAAALRDPAQGCVTFEDVTIYFSQEEWVLLDEAQRLLYCDVMLENFALIASLGLISFRSHIVSQLEMGKEPWVPDSVDMTSAMARGAYGRPGSDFCHGTEGKDLPSEHNVSVEGVAQDRSPEATLCPQKTCPCDICGLRLKDILHLAEHQTTHPRQKPFVCEAYVKGSEFSANLPRKQVQQNVHNPIRTEEGQASPVKTCRDHTSDQLSTCREGGKDFVATAGFLQCEVTPSDGEPHEATEGVVDFHIALRHNKCCESGDAFNNKSTLVQHQRIHSRERPYECSKCGIFFTYAADLTQHQKVHNRGKPYECCECGKFFSQHSSLVKHRRVHTGESPHVCGDCGKFFSRSSNLIQHKRVHTGEKPYECSDCGKFFSQRSNLIHHKRVHTGRSAHECSECGKSFNCNSSLIKHWRVHTGERPYKCNECGKFFSHIASLIQHQIVHTGERPHGCGECGKAFSRSSDLMKHQRVHTGERPYECNECGKLFSQSSSLNSHRRLHTGERPYQCSECGKFFNQSSSLNNHRRLHTGERPYECSECGKTFRQRSNLRQHLKVHKPDRPYECSECGKAFNQRPTLIRHQKIHIRERSMENVLLPCSQHTPEISSENRPYQGAVNYKLKLVHPSTHPGEVP
+>DECOY_sp|Q3KQV3|ZN792_HUMAN Zinc finger protein 792 OS=Homo sapiens OX=9606 GN=ZNF792 PE=1 SV=2
+PVEGPHTSPHVLKLKYNVAGQYPRNESSIEPTHQSCPLLVNEMSRERIHIKQHRILTPRQNFAKGCESCEYPRDPKHVKLHQRLNSRQRFTKGCESCEYPREGTHLRRHNNLSSSQNFFKGCESCQYPREGTHLRRHSNLSSSQSFLKGCENCEYPREGTHVRQHKMLDSSRSFAKGCEGCGHPREGTHVIQHQILSAIHSFFKGCENCKYPREGTHVRWHKILSSNCNFSKGCESCEHASRGTHVRKHHILNSRQSFFKGCDSCEYPKEGTHVRKHQILNSSRSFFKGCDGCVHPSEGTHVRRHKVLSSHQSFFKGCECCEYPKGRNHVKQHQTLDAAYTFFIGCKSCEYPRERSHIRQHQVLTSKNNFADGSECCKNHRLAIHFDVVGETAEHPEGDSPTVECQLFGATAVFDKGGERCTSLQDSTHDRCTKVPSAQGEETRIPNHVNQQVQKRPLNASFESGKVYAECVFPKQRPHTTQHEALHLIDKLRLGCIDCPCTKQPCLTAEPSRDQAVGEVSVNHESPLDKGETGHCFDSGPRGYAGRAMASTMDVSDPVWPEKGMELQSVIHSRFSILGLSAILAFNELMVDCYLLRQAEDLLVWEEQSFYITVDEFTVCGQAPDRLAAAAM
+>sp|Q5CZA5|ZN805_HUMAN Zinc finger protein 805 OS=Homo sapiens OX=9606 GN=ZNF805 PE=2 SV=3
+MAMALTDPAQVSVTFDDVAVTFTQEEWGQLDLAQRTLYQEVMLENCGLLVSLGCPVPRPELIYHLEHGQEPWTRKEDLSQGTCPGDKGKPKSTEPTTCELALSEGISFWGQLTQGASGDSQLGQPKDQDGFSEMQGERLRPGLDSQKEKLPGKMSPKHDGLGTADSVCSRIIQDRVSLGDDVHDCDSHGSGKNPVIQEEENIFKCNECEKVFNKKRLLARHERIHSGVKPYECTECGKTFSKSTYLLQHHMVHTGEKPYKCMECGKAFNRKSHLTQHQRIHSGEKPYKCSECGKAFTHRSTFVLHNRSHTGEKPFVCKECGKAFRDRPGFIRHYIIHSGENPYECFECGKVFKHRSYLMWHQQTHTGEKPYECSECGKAFCESAALIHHYVIHTGEKPFECLECGKAFNHRSYLKRHQRIHTGEKPYVCSECGKAFTHCSTFILHKRAHTGEKPFECKECGKAFSNRADLIRHFSIHTGEKPYECMECGKAFNRRSGLTRHQRIHSGEKPYECIECGKTFCWSTNLIRHSIIHTGEKPYECSECGKAFSRSSSLTQHQRMHTGRNPISVTDVGRPFTSGQTSVNIQELLLGKNFLNVTTEENLLQEEASYMASDRTYQRETPQVSSL
+>DECOY_sp|Q5CZA5|ZN805_HUMAN Zinc finger protein 805 OS=Homo sapiens OX=9606 GN=ZNF805 PE=2 SV=3
+LSSVQPTERQYTRDSAMYSAEEQLLNEETTVNLFNKGLLLEQINVSTQGSTFPRGVDTVSIPNRGTHMRQHQTLSSSRSFAKGCESCEYPKEGTHIISHRILNTSWCFTKGCEICEYPKEGSHIRQHRTLGSRRNFAKGCEMCEYPKEGTHISFHRILDARNSFAKGCEKCEFPKEGTHARKHLIFTSCHTFAKGCESCVYPKEGTHIRQHRKLYSRHNFAKGCELCEFPKEGTHIVYHHILAASECFAKGCESCEYPKEGTHTQQHWMLYSRHKFVKGCEFCEYPNEGSHIIYHRIFGPRDRFAKGCEKCVFPKEGTHSRNHLVFTSRHTFAKGCESCKYPKEGSHIRQHQTLHSKRNFAKGCEMCKYPKEGTHVMHHQLLYTSKSFTKGCETCEYPKVGSHIREHRALLRKKNFVKECENCKFINEEEQIVPNKGSGHSDCDHVDDGLSVRDQIIRSCVSDATGLGDHKPSMKGPLKEKQSDLGPRLREGQMESFGDQDKPQGLQSDGSAGQTLQGWFSIGESLALECTTPETSKPKGKDGPCTGQSLDEKRTWPEQGHELHYILEPRPVPCGLSVLLGCNELMVEQYLTRQALDLQGWEEQTFTVAVDDFTVSVQAPDTLAMAM
+>sp|Q8N4W9|ZN808_HUMAN Zinc finger protein 808 OS=Homo sapiens OX=9606 GN=ZNF808 PE=1 SV=2
+MLREEAAQKRKGKESGMALPQGRLTFRDVAIEFSLAEWKFLNPAQRALYREVMLENYRNLEAVDISSKHMMKEVLSTGQGNREVIHTGTLQRHQSYHIGDFCFQEIEKEIHNIEFQCQEDERNGHEAPTTKIKKLTGSTDQHDHRHAGNKPIKDQLGSSFYSHLPELHIFQIKGEIANQLEKSTSDASSVSTSQRISCRPQIHISNNYGNNPLNSSLLPQKQEVHMREKSFPCNESGKAFNCSSLLRKHQIPHLGDKQYKCDVCGKLFNHKQYLACHRRCHTGEKPYKCKECGKSFSYKSSLTCHHRLHTGVKPYKCNECGKVFRQNSALVIHKAIHTGEKPYKCNECGKAFNQQSHLSRHQRLHTGVKPYKCKICEKAFACHSYLANHTRIHSGEKTYKCNECGKAFNHQSSLARHHILHTGEKPYKCEECDKVFSQKSTLERHKRIHTGEKPYKCKVCDTAFTCNSQLARHRRIHTGEKTYKCNECRKTFSRRSSLLCHRRLHSGEKPYKCNQCGNTFRHRASLVYHRRLHTLEKSYKCTVCNKVFMRNSVLAVHTRIHTAKKPYKCNECGKAFNQQSHLSRHRRLHTGEKPYKCEACDKVFGQKSALESHKRIHTGEKPYRCQVCDTAFTWNSQLARHTRIHTGEKTYKCNECGKTFSYKSSLVWHRRLHGGEKSYKCKVCDKAFVCRSYVAKHTRIHSGMKPYKCNECSKTFSNRSSLVCHRRIHSGEKPYKCSECSKTFSQKATLLCHRRLHSGEKPYKCNDCGNTFRHWSSLVYHRRLHTGEKSYKCTVCDKAFVRNSYLARHIRIHTAEKPYKCNECGKAFNEQSHLSRHHRIHTGEKPYKCEACDKVFSRKSHLKRHRIIHTGEKPYKCNECGKAFSDRSTLIHHQAIHGIGKFD
+>DECOY_sp|Q8N4W9|ZN808_HUMAN Zinc finger protein 808 OS=Homo sapiens OX=9606 GN=ZNF808 PE=1 SV=2
+DFKGIGHIAQHHILTSRDSFAKGCENCKYPKEGTHIIRHRKLHSKRSFVKDCAECKYPKEGTHIRHHRSLHSQENFAKGCENCKYPKEATHIRIHRALYSNRVFAKDCVTCKYSKEGTHLRRHYVLSSWHRFTNGCDNCKYPKEGSHLRRHCLLTAKQSFTKSCESCKYPKEGSHIRRHCVLSSRNSFTKSCENCKYPKMGSHIRTHKAVYSRCVFAKDCVKCKYSKEGGHLRRHWVLSSKYSFTKGCENCKYTKEGTHIRTHRALQSNWTFATDCVQCRYPKEGTHIRKHSELASKQGFVKDCAECKYPKEGTHLRRHRSLHSQQNFAKGCENCKYPKKATHIRTHVALVSNRMFVKNCVTCKYSKELTHLRRHYVLSARHRFTNGCQNCKYPKEGSHLRRHCLLSSRRSFTKRCENCKYTKEGTHIRRHRALQSNCTFATDCVKCKYPKEGTHIRKHRELTSKQSFVKDCEECKYPKEGTHLIHHRALSSQHNFAKGCENCKYTKEGSHIRTHNALYSHCAFAKECIKCKYPKVGTHLRQHRSLHSQQNFAKGCENCKYPKEGTHIAKHIVLASNQRFVKGCENCKYPKVGTHLRHHCTLSSKYSFSKGCEKCKYPKEGTHCRRHCALYQKHNFLKGCVDCKYQKDGLHPIQHKRLLSSCNFAKGSENCPFSKERMHVEQKQPLLSSNLPNNGYNNSIHIQPRCSIRQSTSVSSADSTSKELQNAIEGKIQFIHLEPLHSYFSSGLQDKIPKNGAHRHDHQDTSGTLKKIKTTPAEHGNREDEQCQFEINHIEKEIEQFCFDGIHYSQHRQLTGTHIVERNGQGTSLVEKMMHKSSIDVAELNRYNELMVERYLARQAPNLFKWEALSFEIAVDRFTLRGQPLAMGSEKGKRKQAAEERLM
+>sp|B7Z6K7|ZN814_HUMAN Putative uncharacterized zinc finger protein 814 OS=Homo sapiens OX=9606 GN=ZNF814 PE=1 SV=2
+MAAAATLRLSAQGTVTFEDVAVNFTWEEWNLLSEAQRCLYRDVTLENLALISSLGCWCGVEDEAAPSKQSIYIQRETQVRTPMAGVSPKKAHPCEMCGPILGDILHVADHQGTHHKQKLHRCEAWGNKLYDSGNFHQHQNEHIGEKPYRGSVEEALFAKRCKLHVSGESSVFSESGKDFLPRSGLLQQEASHTGEKSNSKTECVSPIQCGGAHYSCGESMKHFSTKHILSQHQRLLTREECYVCCECGKSFSKYASLSNHQRVHTEKKHECGECGKSFSKYVSFSNHQRVHTEKKHECGECGKSFSKYVSFSNHQRVHTGKRPYECGECGKSFSKYASFSNHQRVHTEKKHYECGECGKSFSKYVSFSNHQRVHTGKRPYECGECGKSFSKYASFSNHQRVHTDKKHYECGECGKSFSQKSSLIQHQRFHTGEKPYGCEECGKSFSSEGHLRSHQRVHAGERPFKCGECVKSFSHKRSLVHHQRVHSGERPYQCGECGKSFSQKGNLVLHQRVHTGARPYECGECGKSFSSKGHLRNHQQIHTGDRLYECGECGKSFSHKGTLILHQRVHPRERSYGCGECGKSFSSIGHLRSHQRVHTGERPYECGECGKSFSHKRSLVHHQRMHTGERPYKCGDCGKSFNEKGHLRNHQRVHTTERPFKCGECGKCFSHKGNLILHQHGHTGERPYVCRECGKLFKKKSHLLVHQRIHNGEKPYACEACQKFFRNKYQLIAHQRVHTGERPYECNDCGKSFTHSSTFCVHKRIHTGEKPYECSECGKSFAESSSFTKHKRVHTGEKPYECSECGKSFAESSSLTKHKRVHTGEKPYKCEKCGKLFNKKSHLLVHQSSHWRKAI
+>DECOY_sp|B7Z6K7|ZN814_HUMAN Putative uncharacterized zinc finger protein 814 OS=Homo sapiens OX=9606 GN=ZNF814 PE=1 SV=2
+IAKRWHSSQHVLLHSKKNFLKGCKECKYPKEGTHVRKHKTLSSSEAFSKGCESCEYPKEGTHVRKHKTFSSSEAFSKGCESCEYPKEGTHIRKHVCFTSSHTFSKGCDNCEYPREGTHVRQHAILQYKNRFFKQCAECAYPKEGNHIRQHVLLHSKKKFLKGCERCVYPREGTHGHQHLILNGKHSFCKGCEGCKFPRETTHVRQHNRLHGKENFSKGCDGCKYPREGTHMRQHHVLSRKHSFSKGCEGCEYPREGTHVRQHSRLHGISSFSKGCEGCGYSRERPHVRQHLILTGKHSFSKGCEGCEYLRDGTHIQQHNRLHGKSSFSKGCEGCEYPRAGTHVRQHLVLNGKQSFSKGCEGCQYPREGSHVRQHHVLSRKHSFSKVCEGCKFPREGAHVRQHSRLHGESSFSKGCEECGYPKEGTHFRQHQILSSKQSFSKGCEGCEYHKKDTHVRQHNSFSAYKSFSKGCEGCEYPRKGTHVRQHNSFSVYKSFSKGCEGCEYHKKETHVRQHNSFSAYKSFSKGCEGCEYPRKGTHVRQHNSFSVYKSFSKGCEGCEHKKETHVRQHNSFSVYKSFSKGCEGCEHKKETHVRQHNSLSAYKSFSKGCECCVYCEERTLLRQHQSLIHKTSFHKMSEGCSYHAGGCQIPSVCETKSNSKEGTHSAEQQLLGSRPLFDKGSESFVSSEGSVHLKCRKAFLAEEVSGRYPKEGIHENQHQHFNGSDYLKNGWAECRHLKQKHHTGQHDAVHLIDGLIPGCMECPHAKKPSVGAMPTRVQTERQIYISQKSPAAEDEVGCWCGLSSILALNELTVDRYLCRQAESLLNWEEWTFNVAVDEFTVTGQASLRLTAAAAM
+>sp|Q6ZT77|ZN826_HUMAN Putative zinc finger protein 826 OS=Homo sapiens OX=9606 GN=ZNF826P PE=5 SV=2
+MRRFILERNPTNVKNMAKLSPIPHTLLGIRKFMLERNHTSVINVAQPLFYPQPLVNMRRFILERNSTNVKNVAKPSTIFHTLLYIRQFILERNAINGIKTFTWSSSPHKHRRTHTGEKPYKCEECGKAFTASSTLSEYKTIHTGEKPCKCEECGKAFNWSSDFNKHKRIHSGQKPIL
+>DECOY_sp|Q6ZT77|ZN826_HUMAN Putative zinc finger protein 826 OS=Homo sapiens OX=9606 GN=ZNF826P PE=5 SV=2
+LIPKQGSHIRKHKNFDSSWNFAKGCEECKCPKEGTHITKYESLTSSATFAKGCEECKYPKEGTHTRRHKHPSSSWTFTKIGNIANRELIFQRIYLLTHFITSPKAVNKVNTSNRELIFRRMNVLPQPYFLPQAVNIVSTHNRELMFKRIGLLTHPIPSLKAMNKVNTPNRELIFRRM
+>sp|Q5JPB2|ZN831_HUMAN Zinc finger protein 831 OS=Homo sapiens OX=9606 GN=ZNF831 PE=2 SV=4
+MEVPEPTCPAPPARDQPAPTPGPPGAPGGQASPHLTLGPVLLPPEQGLAPPTVFLKALPIPLYHTVPPGGLQPRAPLVTGSLDGGNVPFILSPVLQPEGPGPTQVGKPAAPTLTVNIVGTLPVLSPGLGPTLGSPGKVRNAGKYLCPHCGRDCLKPSVLEKHIRSHTGERPFPCATCGIAFKTQSNLYKHRRTQTHLNNSRLSSESEGAGGGLLEEGDKAGEPPRPEGRGESRCQGMHEGASERPLSPGAHVPLLAKNLDVRTEAAPCPGSAFADREAPWDSAPMASPGLPAASTQPWRKLPEQKSPTAGKPCALQRQQATAAEKPWDAKAPEGRLRKCESTDSGYLSRSDSAEQPHAPCSPLHSLSEHSAESEGEGGPGPGPGVAGAEPGAREAGLELEKKRLEERIAQLISHNQAVVDDAQLDNVRPRKTGLSKQGSIDLPTPYTYKDSFHFDIRALEPGRRRAPGPVRSTWTPPDKSRPLFFHSVPTQLSTTVECVPVTRSNSLPFVEGSRTWLEPREPRDPWSRTQKPLSPRPGPARLGCRSGLSSTDVPSGHPRALVRQAAVEDLPGTPIGDALVPAEDTDAKRTAAREAMAGKGRAGGRKCGQRRLKMFSQEKWQVYGDETFKRIYQKMKASPHGGKKAREVGMGSGAELGFPLQKEAAGSSGTVPTQDRRTPVHEDISAGATPEPWGNPPALEASLVTEPTKHGETVARRGDSDRPRVEEAVSSPALGGRDSPCSGSRSPLVSPNGRLELGWQMPPAPGPLKGGDVEAPRPVWPDPKLEGGARGVGDVQETCLWAQTVLRWPSRGSGEDKLPSERKKLKVEDLHSWKQPEPVSAETPGGPTQPASLSSQKQDADPGEVPGGSKESARQVGEPLESSGASLAAASVALKRVGPRDKATPLHPAAPAPAEHPSLATPPQAPRVLSALADNAFSPKYLLRLPQAETPLPLPIPWGPRHSQDSLCSSGWPEERASFVGSGLGTPLSPSPASGPSPGEADSILEDPSCSRPQDGRKGAQLGGDKGDRMATSRPAARELPISAPGAPREATSSPPTPTCEAHLVQDMEGDSHRIHRLCMGSTLARARLSGDVLNPWVPNWELGEPPGNAPEDPSSGPLVGPDPCSPLQPGSFLTALTRPQGVPPGWPELALSSHSGTSRSHSTRSPHSTQNPFPSLKAEPRLTWCCLSRSVPLPAEQKAKAASVYLAVHFPGSSLRDEGPNGPPGSNGGWTWTSPGEGGPAQMSKFSYPTVPGVMPQHQVSEPEWKKGLPWRAKMSRGNSKQRKLKINPKRYKGNFLQSCVQLRASRLRTPTWVRRRSRHPPALEGLKPCRTPGQTSSEIAGLNLQEEPSCATSESPPCCGKEEKKEGDCRQTLGTLSLGTSSRIVREMDKRTVKDISPSAGEHGDCTTHSTAATSGLSLQSDTCLAVVNDVPLPPGKGLDLGLLETQLLASQDSVSTDPKPYIFSDAQRPSSFGSKGTFPHHDIATSVAAVCISLPVRTDHIAQEIHSAESRDHSQTAGRTLTSSSPDSKVTEEGRAQTLLPGRPSSGQRISDSVPLESTEKTHLEIPASGPSSASSHHKEGRHKTFFPSRGQYGCGEMTVPCPSLGSDGRKRQVSGLITRKDSVVPSKPEQPIEIPEAPSKSLKKRSLEGMRKQTRVEFSDTSSDDEDRLVIEI
+>DECOY_sp|Q5JPB2|ZN831_HUMAN Zinc finger protein 831 OS=Homo sapiens OX=9606 GN=ZNF831 PE=2 SV=4
+IEIVLRDEDDSSTDSFEVRTQKRMGELSRKKLSKSPAEPIEIPQEPKSPVVSDKRTILGSVQRKRGDSGLSPCPVTMEGCGYQGRSPFFTKHRGEKHHSSASSPGSAPIELHTKETSELPVSDSIRQGSSPRGPLLTQARGEETVKSDPSSSTLTRGATQSHDRSEASHIEQAIHDTRVPLSICVAAVSTAIDHHPFTGKSGFSSPRQADSFIYPKPDTSVSDQSALLQTELLGLDLGKGPPLPVDNVVALCTDSQLSLGSTAATSHTTCDGHEGASPSIDKVTRKDMERVIRSSTGLSLTGLTQRCDGEKKEEKGCCPPSESTACSPEEQLNLGAIESSTQGPTRCPKLGELAPPHRSRRRVWTPTRLRSARLQVCSQLFNGKYRKPNIKLKRQKSNGRSMKARWPLGKKWEPESVQHQPMVGPVTPYSFKSMQAPGGEGPSTWTWGGNSGPPGNPGEDRLSSGPFHVALYVSAAKAKQEAPLPVSRSLCCWTLRPEAKLSPFPNQTSHPSRTSHSRSTGSHSSLALEPWGPPVGQPRTLATLFSGPQLPSCPDPGVLPGSSPDEPANGPPEGLEWNPVWPNLVDGSLRARALTSGMCLRHIRHSDGEMDQVLHAECTPTPPSSTAERPAGPASIPLERAAPRSTAMRDGKDGGLQAGKRGDQPRSCSPDELISDAEGPSPGSAPSPSLPTGLGSGVFSAREEPWGSSCLSDQSHRPGWPIPLPLPTEAQPLRLLYKPSFANDALASLVRPAQPPTALSPHEAPAPAAPHLPTAKDRPGVRKLAVSAAALSAGSSELPEGVQRASEKSGGPVEGPDADQKQSSLSAPQTPGGPTEASVPEPQKWSHLDEVKLKKRESPLKDEGSGRSPWRLVTQAWLCTEQVDGVGRAGGELKPDPWVPRPAEVDGGKLPGPAPPMQWGLELRGNPSVLPSRSGSCPSDRGGLAPSSVAEEVRPRDSDGRRAVTEGHKTPETVLSAELAPPNGWPEPTAGASIDEHVPTRRDQTPVTGSSGAAEKQLPFGLEAGSGMGVERAKKGGHPSAKMKQYIRKFTEDGYVQWKEQSFMKLRRQGCKRGGARGKGAMAERAATRKADTDEAPVLADGIPTGPLDEVAAQRVLARPHGSPVDTSSLGSRCGLRAPGPRPSLPKQTRSWPDRPERPELWTRSGEVFPLSNSRTVPVCEVTTSLQTPVSHFFLPRSKDPPTWTSRVPGPARRRGPELARIDFHFSDKYTYPTPLDISGQKSLGTKRPRVNDLQADDVVAQNHSILQAIREELRKKELELGAERAGPEAGAVGPGPGPGGEGESEASHESLSHLPSCPAHPQEASDSRSLYGSDTSECKRLRGEPAKADWPKEAATAQQRQLACPKGATPSKQEPLKRWPQTSAAPLGPSAMPASDWPAERDAFASGPCPAAETRVDLNKALLPVHAGPSLPRESAGEHMGQCRSEGRGEPRPPEGAKDGEELLGGGAGESESSLRSNNLHTQTRRHKYLNSQTKFAIGCTACPFPREGTHSRIHKELVSPKLCDRGCHPCLYKGANRVKGPSGLTPGLGPSLVPLTGVINVTLTPAAPKGVQTPGPGEPQLVPSLIFPVNGGDLSGTVLPARPQLGGPPVTHYLPIPLAKLFVTPPALGQEPPLLVPGLTLHPSAQGGPAGPPGPTPAPQDRAPPAPCTPEPVEM
+>sp|A6NEH8|ZNAS2_HUMAN Putative uncharacterized protein encoded by ZNF503-AS2 OS=Homo sapiens OX=9606 GN=ZNF503-AS2 PE=5 SV=1
+MGVWGKKHLRLTHAPSPTFSHLPPSPQAGEPGIDGISLAVVCAAFIRIHPARRHPARNGSRLACTPTPRPNAGLEVVSSARVAASLPSEGGWTSGAPRSSGLSLPGSAWQPPPLPVLRKPAWPGSPAVKNESKFPNRGSRNFPRRRLPPAPVSGEPPERCKLAREIRWRLWKAHEGWGGGAKRPLGDPAWSGVKR
+>DECOY_sp|A6NEH8|ZNAS2_HUMAN Putative uncharacterized protein encoded by ZNF503-AS2 OS=Homo sapiens OX=9606 GN=ZNF503-AS2 PE=5 SV=1
+RKVGSWAPDGLPRKAGGGWGEHAKWLRWRIERALKCREPPEGSVPAPPLRRRPFNRSGRNPFKSENKVAPSGPWAPKRLVPLPPPQWASGPLSLGSSRPAGSTWGGESPLSAAVRASSVVELGANPRPTPTCALRSGNRAPHRRAPHIRIFAACVVALSIGDIGPEGAQPSPPLHSFTPSPAHTLRLHKKGWVGM
+>sp|P17014|ZNF12_HUMAN Zinc finger protein 12 OS=Homo sapiens OX=9606 GN=ZNF12 PE=1 SV=3
+MNKSLGPVSFKDVAVDFTQEEWQQLDPEQKITYRDVMLENYSNLVSVGYHIIKPDVISKLEQGEEPWIVEGEFLLQSYPDEVWQTDDLIERIQEEENKPSRQTVFIETLIEERGNVPGKTFDVETNPVPSRKIAYKNSLCDSCEKCLTSVSEYISSDGSYARMKADECSGCGKSLLHIKLEKTHPGDQAYEFNQNGEPYTLNEESLYQKIRILEKPFEYIECQKAFQKDTVFVNHMEEKPYKWNGSEIAFLQMSDLTVHQTSHMEMKPYECSECGKSFCKKSKFIIHQRTHTGEKPYECNQCGKSFCQKGTLTVHQRTHTGEKPYECNECGKNFYQKLHLIQHQRTHSGEKPYECSYCGKSFCQKTHLTQHQRTHSGERPYVCHDCGKTFSQKSALNDHQKIHTGVKLYKCSECGKCFCRKSTLTTHLRTHTGEKPYECNECGKFFSRLSYLTVHYRTHSGEKPYECNECGKTFYLNSALMRHQRVHTGEKPYECNECGKLFSQLSYLTIHHRTHSGVKPYECSECGKTFYQNSALCRHRRIHKGEKPYECYICGKFFSQMSYLTIHHRIHSGEKPYECSECGKTFCQNSALNRHQRTHTGEKAYECYECGKCFSQMSYLTIHHRIHSGEKPFECNECGKAFSRMSYLTVHYRTHSGEKPYECTECGKKFYHKSAFNSHQRIHRRGNMNVIDVGRLL
+>DECOY_sp|P17014|ZNF12_HUMAN Zinc finger protein 12 OS=Homo sapiens OX=9606 GN=ZNF12 PE=1 SV=3
+LLRGVDIVNMNGRRHIRQHSNFASKHYFKKGCETCEYPKEGSHTRYHVTLYSMRSFAKGCENCEFPKEGSHIRHHITLYSMQSFCKGCEYCEYAKEGTHTRQHRNLASNQCFTKGCESCEYPKEGSHIRHHITLYSMQSFFKGCIYCEYPKEGKHIRRHRCLASNQYFTKGCESCEYPKVGSHTRHHITLYSLQSFLKGCENCEYPKEGTHVRQHRMLASNLYFTKGCENCEYPKEGSHTRYHVTLYSLRSFFKGCENCEYPKEGTHTRLHTTLTSKRCFCKGCESCKYLKVGTHIKQHDNLASKQSFTKGCDHCVYPREGSHTRQHQTLHTKQCFSKGCYSCEYPKEGSHTRQHQILHLKQYFNKGCENCEYPKEGTHTRQHVTLTGKQCFSKGCQNCEYPKEGTHTRQHIIFKSKKCFSKGCESCEYPKMEMHSTQHVTLDSMQLFAIESGNWKYPKEEMHNVFVTDKQFAKQCEIYEFPKELIRIKQYLSEENLTYPEGNQNFEYAQDGPHTKELKIHLLSKGCGSCEDAKMRAYSGDSSIYESVSTLCKECSDCLSNKYAIKRSPVPNTEVDFTKGPVNGREEILTEIFVTQRSPKNEEEQIREILDDTQWVEDPYSQLLFEGEVIWPEEGQELKSIVDPKIIHYGVSVLNSYNELMVDRYTIKQEPDLQQWEEQTFDVAVDKFSVPGLSKNM
+>sp|P17020|ZNF16_HUMAN Zinc finger protein 16 OS=Homo sapiens OX=9606 GN=ZNF16 PE=1 SV=3
+MPSLRTRREEAEMELSVPGPSPWTPAAQARVRDAPAVTHPGSAACGTPCCSDTELEAICPHYQQPDCDTRTEDKEFLHKEDIHEDLESQAEISENYAGDVSQVPELGDLCDDVSERDWGVPEGRRLPQSLSQEGDFTPAAMGLLRGPLGEKDLDCNGFDSRFSLSPNLMACQEIPTEERPHPYDMGGQSFQHSVDLTGHEGVPTAESPLICNECGKTFQGNPDLIQRQIVHTGEASFMCDDCGKTFSQNSVLKNRHRSHMSEKAYQCSECGKAFRGHSDFSRHQSHHSSERPYMCNECGKAFSQNSSLKKHQKSHMSEKPYECNECGKAFRRSSNLIQHQRIHSGEKPYVCSECGKAFRRSSNLIKHHRTHTGEKPFECGECGKAFSQSAHLRKHQRVHTGEKPYECNDCGKPFSRVSNLIKHHRVHTGEKPYKCSDCGKAFSQSSSLIQHRRIHTGEKPHVCNVCGKAFSYSSVLRKHQIIHTGEKPYRCSVCGKAFSHSSALIQHQGVHTGDKPYACHECGKTFGRSSNLILHQRVHTGEKPYECTECGKTFSQSSTLIQHQRIHNGLKPHECNQCGKAFNRSSNLIHHQKVHTGEKPYTCVECGKGFSQSSHLIQHQIIHTGERPYKCSECGKAFSQRSVLIQHQRIHTGVKPYDCAACGKAFSQRSKLIKHQLIHTRE
+>DECOY_sp|P17020|ZNF16_HUMAN Zinc finger protein 16 OS=Homo sapiens OX=9606 GN=ZNF16 PE=1 SV=3
+ERTHILQHKILKSRQSFAKGCAACDYPKVGTHIRQHQILVSRQSFAKGCESCKYPREGTHIIQHQILHSSQSFGKGCEVCTYPKEGTHVKQHHILNSSRNFAKGCQNCEHPKLGNHIRQHQILTSSQSFTKGCETCEYPKEGTHVRQHLILNSSRGFTKGCEHCAYPKDGTHVGQHQILASSHSFAKGCVSCRYPKEGTHIIQHKRLVSSYSFAKGCVNCVHPKEGTHIRRHQILSSSQSFAKGCDSCKYPKEGTHVRHHKILNSVRSFPKGCDNCEYPKEGTHVRQHKRLHASQSFAKGCEGCEFPKEGTHTRHHKILNSSRRFAKGCESCVYPKEGSHIRQHQILNSSRRFAKGCENCEYPKESMHSKQHKKLSSNQSFAKGCENCMYPRESSHHSQHRSFDSHGRFAKGCESCQYAKESMHSRHRNKLVSNQSFTKGCDDCMFSAEGTHVIQRQILDPNGQFTKGCENCILPSEATPVGEHGTLDVSHQFSQGGMDYPHPREETPIEQCAMLNPSLSFRSDFGNCDLDKEGLPGRLLGMAAPTFDGEQSLSQPLRRGEPVGWDRESVDDCLDGLEPVQSVDGAYNESIEAQSELDEHIDEKHLFEKDETRTDCDPQQYHPCIAELETDSCCPTGCAASGPHTVAPADRVRAQAAPTWPSPGPVSLEMEAEERRTRLSPM
+>sp|P17035|ZNF28_HUMAN Zinc finger protein 28 OS=Homo sapiens OX=9606 GN=ZNF28 PE=1 SV=5
+MALPQGLLTFRDVAIEFSQEEWKCLDPAQRTLYRDVMLENYRNLVSLDISSKCMMKTFFSTGQGNTEAFHTGTLQRQASHHIGDFCFQKIEKDIHGFQFQWKEDETNDHAAPMTEIKELTGSTGQHDQRHAGNKHIKDQLGLSFHSHLPELHIFQPEGKIGNQVEKSINNASSVSTSQRICCRPKTHISNKYGNNSLHSSLLTQKRNVHMREKSFQCIESGKSFNCSSLLKKHQITHLEEKQCKCDVYGKVFNQKRYLACHRRSHIDEKPYKCNECGKIFGHNTSLFLHKALHTADKPYECEECDKVFSRKSHLETHKIIYTGGKPYKCKVCDKAFTCNSYLAKHTIIHTGEKPYKCNECGKVFNRLSTLARHRRLHTGEKPYECEECEKVFSRKSHLERHKRIHTGEKPYKCKVCDKAFAYNSYLAKHSIIHTGEKPYKCNECGKVFNQQSTLARHHRLHTAEKPYKCEECDKVFRCKSHLERHRRIHTGEKPYKCKVCDKAFRSDSCLTEHQRVHTGEKPYMCNECGKVFSTKANLACHHKLHTAEKPYKCEECEKVFSRKSHMERHRRIHTGEKPYKCKVCDKAFRRDSHLAQHQRVHTGEKPYKCNECGKTFRQTSSLIIHRRLHTGEKPYKCNECGKTFSQMSSLVYHHRLHSGEKPYKCNECGKVFNQQAHLAQHQRVHTGEKPYKCNECGKTFSQMSNLVYHHRLHSGEKP
+>DECOY_sp|P17035|ZNF28_HUMAN Zinc finger protein 28 OS=Homo sapiens OX=9606 GN=ZNF28 PE=1 SV=5
+PKEGSHLRHHYVLNSMQSFTKGCENCKYPKEGTHVRQHQALHAQQNFVKGCENCKYPKEGSHLRHHYVLSSMQSFTKGCENCKYPKEGTHLRRHIILSSTQRFTKGCENCKYPKEGTHVRQHQALHSDRRFAKDCVKCKYPKEGTHIRRHREMHSKRSFVKECEECKYPKEATHLKHHCALNAKTSFVKGCENCMYPKEGTHVRQHETLCSDSRFAKDCVKCKYPKEGTHIRRHRELHSKCRFVKDCEECKYPKEATHLRHHRALTSQQNFVKGCENCKYPKEGTHIISHKALYSNYAFAKDCVKCKYPKEGTHIRKHRELHSKRSFVKECEECEYPKEGTHLRRHRALTSLRNFVKGCENCKYPKEGTHIITHKALYSNCTFAKDCVKCKYPKGGTYIIKHTELHSKRSFVKDCEECEYPKDATHLAKHLFLSTNHGFIKGCENCKYPKEDIHSRRHCALYRKQNFVKGYVDCKCQKEELHTIQHKKLLSSCNFSKGSEICQFSKERMHVNRKQTLLSSHLSNNGYKNSIHTKPRCCIRQSTSVSSANNISKEVQNGIKGEPQFIHLEPLHSHFSLGLQDKIHKNGAHRQDHQGTSGTLEKIETMPAAHDNTEDEKWQFQFGHIDKEIKQFCFDGIHHSAQRQLTGTHFAETNGQGTSFFTKMMCKSSIDLSVLNRYNELMVDRYLTRQAPDLCKWEEQSFEIAVDRFTLLGQPLAM
+>sp|P17041|ZNF32_HUMAN Zinc finger protein 32 OS=Homo sapiens OX=9606 GN=ZNF32 PE=1 SV=2
+MFGFPTATLLDCHGRYAQNVAFFNVMTEAHHKYDHSEATGSSSWDIQNSFRREKLEQKSPDSKTLQEDSPGVRQRVYECQECGKSFRQKGSLTLHERIHTGQKPFECTHCGKSFRAKGNLVTHQRIHTGEKPYQCKECGKSFSQRGSLAVHERLHTGQKPYECAICQRSFRNQSNLAVHRRVHSGEKPYRCDQCGKAFSQKGSLIVHIRVHTGLKPYACTQCRKSFHTRGNCILHGKIHTGETPYLCGQCGKSFTQRGSLAVHQRSCSQRLTL
+>DECOY_sp|P17041|ZNF32_HUMAN Zinc finger protein 32 OS=Homo sapiens OX=9606 GN=ZNF32 PE=1 SV=2
+LTLRQSCSRQHVALSGRQTFSKGCQGCLYPTEGTHIKGHLICNGRTHFSKRCQTCAYPKLGTHVRIHVILSGKQSFAKGCQDCRYPKEGSHVRRHVALNSQNRFSRQCIACEYPKQGTHLREHVALSGRQSFSKGCEKCQYPKEGTHIRQHTVLNGKARFSKGCHTCEFPKQGTHIREHLTLSGKQRFSKGCEQCEYVRQRVGPSDEQLTKSDPSKQELKERRFSNQIDWSSSGTAESHDYKHHAETMVNFFAVNQAYRGHCDLLTATPFGFM
+>sp|Q02386|ZNF45_HUMAN Zinc finger protein 45 OS=Homo sapiens OX=9606 GN=ZNF45 PE=1 SV=2
+MTKSKEAVTFKDVAVVFSEEELQLLDLAQRKLYRDVMLENFRNVVSVGHQSTPDGLPQLEREEKLWMMKMATQRDNSSGAKNLKEMETLQEVGLRYLPHEELFCSQIWQQITRELIKYQDSVVNIQRTGCQLEKRDDLHYKDEGFSNQSSHLQVHRVHTGEKPYKGEHCVKSFSWSSHLQINQRAHAGEKPYKCEKCDNAFRRFSSLQAHQRVHSRAKSYTNDASYRSFSQRSHLPHHQRVPTGENPYKYEECGRNVGKSSHCQAPLIVHTGEKPYKCEECGVGFSQRSYLQVHLKVHTGKKPYKCEECGKSFSWRSRLQAHERIHTGEKPYKCNACGKSFSYSSHLNIHCRIHTGEKPYKCEECGKGFSVGSHLQAHQISHTGEKPYKCEECGKGFCRASNLLDHQRGHTGEKPYQCDACGKGFSRSSDFNIHFRVHTGEKPYKCEECGKGFSQASNLLAHQRGHTGEKPYKCGTCGKGFSRSSDLNVHCRIHTGEKPYKCERCGKAFSQFSSLQVHQRVHTGEKPYQCAECGKGFSVGSQLQAHQRCHTGEKPYQCEECGKGFCRASNFLAHRGVHTGEKPYRCDVCGKRFRQRSYLQAHQRVHTGERPYKCEECGKVFSWSSYLQAHQRVHTGEKPYKCEECGKGFSWSSSLIIHQRVHADDEGDKDFPSSEDSHRKTR
+>DECOY_sp|Q02386|ZNF45_HUMAN Zinc finger protein 45 OS=Homo sapiens OX=9606 GN=ZNF45 PE=1 SV=2
+RTKRHSDESSPFDKDGEDDAHVRQHIILSSSWSFGKGCEECKYPKEGTHVRQHAQLYSSWSFVKGCEECKYPREGTHVRQHAQLYSRQRFRKGCVDCRYPKEGTHVGRHALFNSARCFGKGCEECQYPKEGTHCRQHAQLQSGVSFGKGCEACQYPKEGTHVRQHVQLSSFQSFAKGCRECKYPKEGTHIRCHVNLDSSRSFGKGCTGCKYPKEGTHGRQHALLNSAQSFGKGCEECKYPKEGTHVRFHINFDSSRSFGKGCADCQYPKEGTHGRQHDLLNSARCFGKGCEECKYPKEGTHSIQHAQLHSGVSFGKGCEECKYPKEGTHIRCHINLHSSYSFSKGCANCKYPKEGTHIREHAQLRSRWSFSKGCEECKYPKKGTHVKLHVQLYSRQSFGVGCEECKYPKEGTHVILPAQCHSSKGVNRGCEEYKYPNEGTPVRQHHPLHSRQSFSRYSADNTYSKARSHVRQHAQLSSFRRFANDCKECKYPKEGAHARQNIQLHSSWSFSKVCHEGKYPKEGTHVRHVQLHSSQNSFGEDKYHLDDRKELQCGTRQINVVSDQYKILERTIQQWIQSCFLEEHPLYRLGVEQLTEMEKLNKAGSSNDRQTAMKMMWLKEERELQPLGDPTSQHGVSVVNRFNELMVDRYLKRQALDLLQLEEESFVVAVDKFTVAEKSKTM
+>sp|O43830|ZNF73_HUMAN Zinc finger protein 73 OS=Homo sapiens OX=9606 GN=ZNF73 PE=3 SV=1
+MFFIHKKVHIGQTFGKYNEYEKACNNLAIIVQGITQVRQPTCCRKSDFSKHQQTHTGEKPHECVECEKPSISKSDLMIQHKMPTEEKPYACNCCEKLFSYKSSLTIHQRIHTGEKPYGCNECGKTVRCKSFLTLHQRTHTGDKPYKCIECGKTFTVNQLLTLHHRTHSGEKPYQCSECGKTFSQKSYLTIHHRTHTWQKPYACDHCEKAFSHKSKLTVHQRTHTGEKPYEYNECRKPFINKSNLRIHQRTHIREKPYECNECGKTFHQKSFLTVHQRTHRRKKPYECNELGKTFHCKSFLTVHQKTHTGEKPYACNKCGKTYSHKS
+>DECOY_sp|O43830|ZNF73_HUMAN Zinc finger protein 73 OS=Homo sapiens OX=9606 GN=ZNF73 PE=3 SV=1
+SKHSYTKGCKNCAYPKEGTHTKQHVTLFSKCHFTKGLENCEYPKKRRHTRQHVTLFSKQHFTKGCENCEYPKERIHTRQHIRLNSKNIFPKRCENYEYPKEGTHTRQHVTLKSKHSFAKECHDCAYPKQWTHTRHHITLYSKQSFTKGCESCQYPKEGSHTRHHLTLLQNVTFTKGCEICKYPKDGTHTRQHLTLFSKCRVTKGCENCGYPKEGTHIRQHITLSSKYSFLKECCNCAYPKEETPMKHQIMLDSKSISPKECEVCEHPKEGTHTQQHKSFDSKRCCTPQRVQTIGQVIIALNNCAKEYENYKGFTQGIHVKKHIFFM
+>sp|P36508|ZNF76_HUMAN Zinc finger protein 76 OS=Homo sapiens OX=9606 GN=ZNF76 PE=1 SV=2
+MESLGLHTVTLSDGTTAYVQQAVKGEKLLEGQVIQLEDGTTAYIHQVTVQKEALSFEDGQPVQLEDGSMAYIHRTPREGYDPSTLEAVQLEDGSTAYIHHPVAVPSESTILAVQTEVGLEDLAAEDDEGFSADAVVALEQYASKVLHDSQIPRNGKGQQVGDRAFRCGYKGCGRLYTTAHHLKVHERAHTGDRPYRCDFPSCGKAFATGYGLKSHVRTHTGEKPYKCPEELCSKAFKTSGDLQKHVRTHTGERPFQCPFEGCGRSFTTSNIRKVHVRTHTGERPYTCPEPHCGRGFTSATNYKNHVRIHTGEKPYVCTVPGCGKRFTEYSSLYKHHVVHTHCKPYTCSTCGKTYRQTSTLAMHKRSAHGELEATEESEQALYEQQQLEAASAAEESPPPKRPRIAYLSEVKEERDDIPAQVAMVTEEDGAPQVALITQDGAQQVSLSPEDLQALGSAISMVTQHGSTTLTIPSPDADLATSGTHTVTMVSADGTQTQPVTIITSGAVVAEDSSVASLRHQQVALLATANGTHIAVQLEEQQTLEEAINVATAAMQQGAVTLETTVSESGC
+>DECOY_sp|P36508|ZNF76_HUMAN Zinc finger protein 76 OS=Homo sapiens OX=9606 GN=ZNF76 PE=1 SV=2
+CGSESVTTELTVAGQQMAATAVNIAEELTQQEELQVAIHTGNATALLAVQQHRLSAVSSDEAVVAGSTIITVPQTQTGDASVMTVTHTGSTALDADPSPITLTTSGHQTVMSIASGLAQLDEPSLSVQQAGDQTILAVQPAGDEETVMAVQAPIDDREEKVESLYAIRPRKPPPSEEAASAAELQQQEYLAQESEETAELEGHASRKHMALTSTQRYTKGCTSCTYPKCHTHVVHHKYLSSYETFRKGCGPVTCVYPKEGTHIRVHNKYNTASTFGRGCHPEPCTYPREGTHTRVHVKRINSTTFSRGCGEFPCQFPREGTHTRVHKQLDGSTKFAKSCLEEPCKYPKEGTHTRVHSKLGYGTAFAKGCSPFDCRYPRDGTHAREHVKLHHATTYLRGCGKYGCRFARDGVQQGKGNRPIQSDHLVKSAYQELAVVADASFGEDDEAALDELGVETQVALITSESPVAVPHHIYATSGDELQVAELTSPDYGERPTRHIYAMSGDELQVPQGDEFSLAEKQVTVQHIYATTGDELQIVQGELLKEGKVAQQVYATTGDSLTVTHLGLSEM
+>sp|A6NK75|ZNF98_HUMAN Zinc finger protein 98 OS=Homo sapiens OX=9606 GN=ZNF98 PE=2 SV=4
+MPGPLGSLEMGVLTFRDVALEFSLEEWQCLDTAQQNLYRNVMLENYRNLVFVGIAASKPDLITCLEQGKEPWNVKRHEMVTEPPVVYSYFAQDLWPKQGKKNYFQKVILRTYKKCGRENLQLRKYCKSMDECKVHKECYNGLNQCLTTTQNKIFQYDKYVKVFHKFSNSNRHKIGHTGKKSFKCKECEKSFCMLSHLAQHKRIHSGEKPYKCKECGKAYNEASNLSTHKRIHTGKKPYKCEECGKAFNRLSHLTTHKIIHTGKKPYKCEECGKAFNQSANLTTHKRIHTGEKPYKCEECGRAFSQSSTLTAHKIIHAGEKPYKCEECGKAFSQSSTLTTHKIIHTGEKFYKCEECGKAFSRLSHLTTHKRIHSGEKPYKCEECGKAFKQSSTLTTHKRIHAGEKFYKCEVCSKAFSRFSHLTTHKRIHTGEKPYKCEECGKAFNLSSQLTTHKIIHTGEKPYKCEECGKAFNQSSTLSKHKVIHTGEKPYKCEECGKAFNQSSHLTTHKMIHTGEKPYKCEECGKAFNNSSILNRHKMIHTGEKLYKPESCNNACDNIAKISKYKRNCAGEK
+>DECOY_sp|A6NK75|ZNF98_HUMAN Zinc finger protein 98 OS=Homo sapiens OX=9606 GN=ZNF98 PE=2 SV=4
+KEGACNRKYKSIKAINDCANNCSEPKYLKEGTHIMKHRNLISSNNFAKGCEECKYPKEGTHIMKHTTLHSSQNFAKGCEECKYPKEGTHIVKHKSLTSSQNFAKGCEECKYPKEGTHIIKHTTLQSSLNFAKGCEECKYPKEGTHIRKHTTLHSFRSFAKSCVECKYFKEGAHIRKHTTLTSSQKFAKGCEECKYPKEGSHIRKHTTLHSLRSFAKGCEECKYFKEGTHIIKHTTLTSSQSFAKGCEECKYPKEGAHIIKHATLTSSQSFARGCEECKYPKEGTHIRKHTTLNASQNFAKGCEECKYPKKGTHIIKHTTLHSLRNFAKGCEECKYPKKGTHIRKHTSLNSAENYAKGCEKCKYPKEGSHIRKHQALHSLMCFSKECEKCKFSKKGTHGIKHRNSNSFKHFVKVYKDYQFIKNQTTTLCQNLGNYCEKHVKCEDMSKCYKRLQLNERGCKKYTRLIVKQFYNKKGQKPWLDQAFYSYVVPPETVMEHRKVNWPEKGQELCTILDPKSAAIGVFVLNRYNELMVNRYLNQQATDLCQWEELSFELAVDRFTLVGMELSGLPGPM
+>sp|Q8ND25|ZNRF1_HUMAN E3 ubiquitin-protein ligase ZNRF1 OS=Homo sapiens OX=9606 GN=ZNRF1 PE=1 SV=2
+MGGKQSTAARSRGPFPGVSTDDSAVPPPGGAPHFGHYRTGGGAMGLRSRSVSSVAGMGMDPSTAGGVPFGLYTPASRGTGDSERAPGGGGSASDSTYAHGNGYQETGGGHHRDGMLYLGSRASLADALPLHIAPRWFSSHSGFKCPICSKSVASDEMEMHFIMCLSKPRLSYNDDVLTKDAGECVICLEELLQGDTIARLPCLCIYHKSCIDSWFEVNRSCPEHPAD
+>DECOY_sp|Q8ND25|ZNRF1_HUMAN E3 ubiquitin-protein ligase ZNRF1 OS=Homo sapiens OX=9606 GN=ZNRF1 PE=1 SV=2
+DAPHEPCSRNVEFWSDICSKHYICLCPLRAITDGQLLEELCIVCEGADKTLVDDNYSLRPKSLCMIFHMEMEDSAVSKSCIPCKFGSHSSFWRPAIHLPLADALSARSGLYLMGDRHHGGGTEQYGNGHAYTSDSASGGGGPARESDGTGRSAPTYLGFPVGGATSPDMGMGAVSSVSRSRLGMAGGGTRYHGFHPAGGPPPVASDDTSVGPFPGRSRAATSQKGGM
+>sp|Q8IWU4|ZNT8_HUMAN Zinc transporter 8 OS=Homo sapiens OX=9606 GN=SLC30A8 PE=1 SV=2
+MEFLERTYLVNDKAAKMYAFTLESVELQQKPVNKDQCPRERPEELESGGMYHCHSGSKPTEKGANEYAYAKWKLCSASAICFIFMIAEVVGGHIAGSLAVVTDAAHLLIDLTSFLLSLFSLWLSSKPPSKRLTFGWHRAEILGALLSILCIWVVTGVLVYLACERLLYPDYQIQATVMIIVSSCAVAANIVLTVVLHQRCLGHNHKEVQANASVRAAFVHALGDLFQSISVLISALIIYFKPEYKIADPICTFIFSILVLASTITILKDFSILLMEGVPKSLNYSGVKELILAVDGVLSVHSLHIWSLTMNQVILSAHVATAASRDSQVVRREIAKALSKSFTMHSLTIQMESPVDQDPDCLFCEDPCD
+>DECOY_sp|Q8IWU4|ZNT8_HUMAN Zinc transporter 8 OS=Homo sapiens OX=9606 GN=SLC30A8 PE=1 SV=2
+DCPDECFLCDPDQDVPSEMQITLSHMTFSKSLAKAIERRVVQSDRSAATAVHASLIVQNMTLSWIHLSHVSLVGDVALILEKVGSYNLSKPVGEMLLISFDKLITITSALVLISFIFTCIPDAIKYEPKFYIILASILVSISQFLDGLAHVFAARVSANAQVEKHNHGLCRQHLVVTLVINAAVACSSVIIMVTAQIQYDPYLLRECALYVLVGTVVWICLISLLAGLIEARHWGFTLRKSPPKSSLWLSFLSLLFSTLDILLHAADTVVALSGAIHGGVVEAIMFIFCIASASCLKWKAYAYENAGKETPKSGSHCHYMGGSELEEPRERPCQDKNVPKQQLEVSELTFAYMKAAKDNVLYTRELFEM
+>sp|O95049|ZO3_HUMAN Tight junction protein ZO-3 OS=Homo sapiens OX=9606 GN=TJP3 PE=1 SV=3
+MEELTIWEQHTATLSKDPRRGFGIAISGGRDRPGGSMVVSDVVPGGPAEGRLQTGDHIVMVNGVSMENATSAFAIQILKTCTKMANITVKRPRRIHLPATKASPSSPGRQDSDEDDGPQRVEEVDQGRGYDGDSSSGSGRSWDERSRRPRPGRRGRAGSHGRRSPGGGSEANGLALVSGFKRLPRQDVQMKPVKSVLVKRRDSEEFGVKLGSQIFIKHITDSGLAARHRGLQEGDLILQINGVSSQNLSLNDTRRLIEKSEGKLSLLVLRDRGQFLVNIPPAVSDSDSSPLEDISDLASELSQAPPSHIPPPPRHAQRSPEASQTDSPVESPRLRRESSVDSRTISEPDEQRSELPRESSYDIYRVPSSQSMEDRGYSPDTRVVRFLKGKSIGLRLAGGNDVGIFVSGVQAGSPADGQGIQEGDQILQVNDVPFQNLTREEAVQFLLGLPPGEEMELVTQRKQDIFWKMVQSRVGDSFYIRTHFELEPSPPSGLGFTRGDVFHVLDTLHPGPGQSHARGGHWLAVRMGRDLREQERGIIPNQSRAEQLASLEAAQRAVGVGPGSSAGSNARAEFWRLRGLRRGAKKTTQRSREDLSALTRQGRYPPYERVVLREASFKRPVVILGPVADIAMQKLTAEMPDQFEIAETVSRTDSPSKIIKLDTVRVIAEKDKHALLDVTPSAIERLNYVQYYPIVVFFIPESRPALKALRQWLAPASRRSTRRLYAQAQKLRKHSSHLFTATIPLNGTSDTWYQELKAIIREQQTRPIWTAEDQLDGSLEDNLDLPHHGLADSSADLSCDSRVNSDYETDGEGGAYTDGEGYTDGEGGPYTDVDDEPPAPALARSSEPVQADESQSPRDRGRISAHQGAQVDSRHPQGQWRQDSMRTYEREALKKKFMRVHDAESSDEDGYDWGPATDL
+>DECOY_sp|O95049|ZO3_HUMAN Tight junction protein ZO-3 OS=Homo sapiens OX=9606 GN=TJP3 PE=1 SV=3
+LDTAPGWDYGDEDSSEADHVRMFKKKLAEREYTRMSDQRWQGQPHRSDVQAGQHASIRGRDRPSQSEDAQVPESSRALAPAPPEDDVDTYPGGEGDTYGEGDTYAGGEGDTEYDSNVRSDCSLDASSDALGHHPLDLNDELSGDLQDEATWIPRTQQERIIAKLEQYWTDSTGNLPITATFLHSSHKRLKQAQAYLRRTSRRSAPALWQRLAKLAPRSEPIFFVVIPYYQVYNLREIASPTVDLLAHKDKEAIVRVTDLKIIKSPSDTRSVTEAIEFQDPMEATLKQMAIDAVPGLIVVPRKFSAERLVVREYPPYRGQRTLASLDERSRQTTKKAGRRLGRLRWFEARANSGASSGPGVGVARQAAELSALQEARSQNPIIGREQERLDRGMRVALWHGGRAHSQGPGPHLTDLVHFVDGRTFGLGSPPSPELEFHTRIYFSDGVRSQVMKWFIDQKRQTVLEMEEGPPLGLLFQVAEERTLNQFPVDNVQLIQDGEQIGQGDAPSGAQVGSVFIGVDNGGALRLGISKGKLFRVVRTDPSYGRDEMSQSSPVRYIDYSSERPLESRQEDPESITRSDVSSERRLRPSEVPSDTQSAEPSRQAHRPPPPIHSPPAQSLESALDSIDELPSSDSDSVAPPINVLFQGRDRLVLLSLKGESKEILRRTDNLSLNQSSVGNIQLILDGEQLGRHRAALGSDTIHKIFIQSGLKVGFEESDRRKVLVSKVPKMQVDQRPLRKFGSVLALGNAESGGGPSRRGHSGARGRRGPRPRRSREDWSRGSGSSSDGDYGRGQDVEEVRQPGDDEDSDQRGPSSPSAKTAPLHIRRPRKVTINAMKTCTKLIQIAFASTANEMSVGNVMVIHDGTQLRGEAPGGPVVDSVVMSGGPRDRGGSIAIGFGRRPDKSLTATHQEWITLEEM
+>sp|P21754|ZP3_HUMAN Zona pellucida sperm-binding protein 3 OS=Homo sapiens OX=9606 GN=ZP3 PE=1 SV=2
+MELSYRLFICLLLWGSTELCYPQPLWLLQGGASHPETSVQPVLVECQEATLMVMVSKDLFGTGKLIRAADLTLGPEACEPLVSMDTEDVVRFEVGLHECGNSMQVTDDALVYSTFLLHDPRPVGNLSIVRTNRAEIPIECRYPRQGNVSSQAILPTWLPFRTTVFSEEKLTFSLRLMEENWNAEKRSPTFHLGDAAHLQAEIHTGSHVPLRLFVDHCVATPTPDQNASPYHTIVDFHGCLVDGLTDASSAFKVPRPGPDTLQFTVDVFHFANDSRNMIYITCHLKVTLAEQDPDELNKACSFSKPSNSWFPVEGSADICQCCNKGDCGTPSHSRRQPHVMSQWSRSASRNRRHVTEEADVTVGPLIFLDRRGDHEVEQWALPSDTSVVLLGVGLAVVVSLTLTAVILVLTRRCRTASHPVSASE
+>DECOY_sp|P21754|ZP3_HUMAN Zona pellucida sperm-binding protein 3 OS=Homo sapiens OX=9606 GN=ZP3 PE=1 SV=2
+ESASVPHSATRCRRTLVLIVATLTLSVVVALGVGLLVVSTDSPLAWQEVEHDGRRDLFILPGVTVDAEETVHRRNRSASRSWQSMVHPQRRSHSPTGCDGKNCCQCIDASGEVPFWSNSPKSFSCAKNLEDPDQEALTVKLHCTIYIMNRSDNAFHFVDVTFQLTDPGPRPVKFASSADTLGDVLCGHFDVITHYPSANQDPTPTAVCHDVFLRLPVHSGTHIEAQLHAADGLHFTPSRKEANWNEEMLRLSFTLKEESFVTTRFPLWTPLIAQSSVNGQRPYRCEIPIEARNTRVISLNGVPRPDHLLFTSYVLADDTVQMSNGCEHLGVEFRVVDETDMSVLPECAEPGLTLDAARILKGTGFLDKSVMVMLTAEQCEVLVPQVSTEPHSAGGQLLWLPQPYCLETSGWLLLCIFLRYSLEM
+>sp|Q12836|ZP4_HUMAN Zona pellucida sperm-binding protein 4 OS=Homo sapiens OX=9606 GN=ZP4 PE=1 SV=1
+MWLLRCVLLCVSLSLAVSGQHKPEAPDYSSVLHCGPWSFQFAVNLNQEATSPPVLIAWDNQGLLHELQNDSDCGTWIRKGPGSSVVLEATYSSCYVTEWDSHYIMPVGVEGAGAAEHKVVTERKLLKCPMDLLARDAPDTDWCDSIPARDRLPCAPSPISRGDCEGLGCCYSSEEVNSCYYGNTVTLHCTREGHFSIAVSRNVTSPPLLLDSVRLALRNDSACNPVMATQAFVLFQFPFTSCGTTRQITGDRAVYENELVATRDVKNGSRGSVTRDSIFRLHVSCSYSVSSNSLPINVQVFTLPPPFPETQPGPLTLELQIAKDKNYGSYYGVGDYPVVKLLRDPIYVEVSILHRTDPYLGLLLQQCWATPSTDPLSQPQWPILVKGCPYIGDNYQTQLIPVQKALDLPFPSHHQRFSIFTFSFVNPTVEKQALRGPVHLHCSVSVCQPAETPSCVVTCPDLSRRRNFDNSSQNTTASVSSKGPMILLQATKDPPEKLRVPVDSKVLWVAGLSGTLILGALLVSYLAVKKQKSCPDQMCQ
+>DECOY_sp|Q12836|ZP4_HUMAN Zona pellucida sperm-binding protein 4 OS=Homo sapiens OX=9606 GN=ZP4 PE=1 SV=1
+QCMQDPCSKQKKVALYSVLLAGLILTGSLGAVWLVKSDVPVRLKEPPDKTAQLLIMPGKSSVSATTNQSSNDFNRRRSLDPCTVVCSPTEAPQCVSVSCHLHVPGRLAQKEVTPNVFSFTFISFRQHHSPFPLDLAKQVPILQTQYNDGIYPCGKVLIPWQPQSLPDTSPTAWCQQLLLGLYPDTRHLISVEVYIPDRLLKVVPYDGVGYYSGYNKDKAIQLELTLPGPQTEPFPPPLTFVQVNIPLSNSSVSYSCSVHLRFISDRTVSGRSGNKVDRTAVLENEYVARDGTIQRTTGCSTFPFQFLVFAQTAMVPNCASDNRLALRVSDLLLPPSTVNRSVAISFHGERTCHLTVTNGYYCSNVEESSYCCGLGECDGRSIPSPACPLRDRAPISDCWDTDPADRALLDMPCKLLKRETVVKHEAAGAGEVGVPMIYHSDWETVYCSSYTAELVVSSGPGKRIWTGCDSDNQLEHLLGQNDWAILVPPSTAEQNLNVAFQFSWPGCHLVSSYDPAEPKHQGSVALSLSVCLLVCRLLWM
+>sp|Q9BS86|ZPBP1_HUMAN Zona pellucida-binding protein 1 OS=Homo sapiens OX=9606 GN=ZPBP PE=2 SV=1
+MEAFALGPARRGRRRTRAAGSLLSRAAILLFISAFLVRVPSSVGHLVRLPRAFRLTKDSVKIVGSTSFPVKAYVMLHQKSPHVLCVTQQLRNAELIDPSFQWYGPKGKVVSVENRTAQITSTGSLVFQNFEESMSGIYTCFLEYKPTVEEIVKRLQLKYAIYAYREPHYYYQFTARYHAAPCNSIYNISFEKKLLQILSKLLLDLSCEISLLKSECHRVKMQRAGLQNELFFAFSVSSLDTEKGPKRCTDHNCEPYKRLFKAKNLIERFFNQQVEILGRRAEQLPQIYYIEGTLQMVWINRCFPGYGMNVQQHPKCPECCVICSPGSYNPRDGIHCLQCNSSLVYGAKTCL
+>DECOY_sp|Q9BS86|ZPBP1_HUMAN Zona pellucida-binding protein 1 OS=Homo sapiens OX=9606 GN=ZPBP PE=2 SV=1
+LCTKAGYVLSSNCQLCHIGDRPNYSGPSCIVCCEPCKPHQQVNMGYGPFCRNIWVMQLTGEIYYIQPLQEARRGLIEVQQNFFREILNKAKFLRKYPECNHDTCRKPGKETDLSSVSFAFFLENQLGARQMKVRHCESKLLSIECSLDLLLKSLIQLLKKEFSINYISNCPAAHYRATFQYYYHPERYAYIAYKLQLRKVIEEVTPKYELFCTYIGSMSEEFNQFVLSGTSTIQATRNEVSVVKGKPGYWQFSPDILEANRLQQTVCLVHPSKQHLMVYAKVPFSTSGVIKVSDKTLRFARPLRVLHGVSSPVRVLFASIFLLIAARSLLSGAARTRRRGRRAPGLAFAEM
+>sp|O75312|ZPR1_HUMAN Zinc finger protein ZPR1 OS=Homo sapiens OX=9606 GN=ZPR1 PE=1 SV=1
+MAASGAVEPGPPGAAVAPSPAPAPPPAPDHLFRPISAEDEEQQPTEIESLCMNCYCNGMTRLLLTKIPFFREIIVSSFSCEHCGWNNTEIQSAGRIQDQGVRYTLSVRALEDMNREVVKTDSAATRIPELDFEIPAFSQKGALTTVEGLITRAISGLEQDQPARRANKDATAERIDEFIVKLKELKQVASPFTLIIDDPSGNSFVENPHAPQKDDALVITHYNRTRQQEEMLGLQEEAPAEKPEEEDLRNEVLQFSTNCPECNAPAQTNMKLVQIPHFKEVIIMATNCENCGHRTNEVKSGGAVEPLGTRITLHITDASDMTRDLLKSETCSVEIPELEFELGMAVLGGKFTTLEGLLKDIRELVTKNPFTLGDSSNPGQTERLQEFSQKMDQIIEGNMKAHFIMDDPAGNSYLQNVYAPEDDPEMKVERYKRTFDQNEELGLNDMKTEGYEAGLAPQR
+>DECOY_sp|O75312|ZPR1_HUMAN Zinc finger protein ZPR1 OS=Homo sapiens OX=9606 GN=ZPR1 PE=1 SV=1
+RQPALGAEYGETKMDNLGLEENQDFTRKYREVKMEPDDEPAYVNQLYSNGAPDDMIFHAKMNGEIIQDMKQSFEQLRETQGPNSSDGLTFPNKTVLERIDKLLGELTTFKGGLVAMGLEFELEPIEVSCTESKLLDRTMDSADTIHLTIRTGLPEVAGGSKVENTRHGCNECNTAMIIVEKFHPIQVLKMNTQAPANCEPCNTSFQLVENRLDEEEPKEAPAEEQLGLMEEQQRTRNYHTIVLADDKQPAHPNEVFSNGSPDDIILTFPSAVQKLEKLKVIFEDIREATADKNARRAPQDQELGSIARTILGEVTTLAGKQSFAPIEFDLEPIRTAASDTKVVERNMDELARVSLTYRVGQDQIRGASQIETNNWGCHECSFSSVIIERFFPIKTLLLRTMGNCYCNMCLSEIETPQQEEDEASIPRFLHDPAPPPAPAPSPAVAAGPPGPEVAGSAAM
+>sp|A6NJL1|ZSA5B_HUMAN Zinc finger and SCAN domain-containing protein 5B OS=Homo sapiens OX=9606 GN=ZSCAN5B PE=3 SV=1
+MAANWTLSWGQGGPCNSPGSDTPRSVASPETQLGNHDRNPETWHMNFRMFSCPEESDPIQALRKLTELCHLWLRPDLHTKEQILDMLVMEQFMISMPQELQVLVKVNGVQSCKDLEDLLRNNRRPKKWSIVNLLGKEYLMLNSDVEMAEAPASVRDDPRDVSSQWASSVNQMHPGTGQARREQQILPRVAALSRRQGEDFLLHKSIDVTGDPNSPRPKQTLEKDLKENREENPGLSSPEPQLPKSPNLVRAKEGKEPQKRASVENVDADTPSACVVEREALTHSGNRGDALNLSSPKRSKPDASSISQEEPQGEATPVGNRESPGQAEINPVHSPGPAGPVSHPDGQEAKALPPFACDVCNKSFKYFSQLSIHRRSHTGDRPFQCDLCRKRFLQPSDLRVHQRVHTGERPYMCDVCQKRFAHESTLQGHKRIHTGERPFKCKYCSKVFSHKGNLNVHQRTHSGEKPYKCPTCQKAFRQLGTFKRHLKTHRETTSQ
+>DECOY_sp|A6NJL1|ZSA5B_HUMAN Zinc finger and SCAN domain-containing protein 5B OS=Homo sapiens OX=9606 GN=ZSCAN5B PE=3 SV=1
+QSTTERHTKLHRKFTGLQRFAKQCTPCKYPKEGSHTRQHVNLNGKHSFVKSCYKCKFPREGTHIRKHGQLTSEHAFRKQCVDCMYPREGTHVRQHVRLDSPQLFRKRCLDCQFPRDGTHSRRHISLQSFYKFSKNCVDCAFPPLAKAEQGDPHSVPGAPGPSHVPNIEAQGPSERNGVPTAEGQPEEQSISSADPKSRKPSSLNLADGRNGSHTLAEREVVCASPTDADVNEVSARKQPEKGEKARVLNPSKPLQPEPSSLGPNEERNEKLDKELTQKPRPSNPDGTVDISKHLLFDEGQRRSLAAVRPLIQQERRAQGTGPHMQNVSSAWQSSVDRPDDRVSAPAEAMEVDSNLMLYEKGLLNVISWKKPRRNNRLLDELDKCSQVGNVKVLVQLEQPMSIMFQEMVLMDLIQEKTHLDPRLWLHCLETLKRLAQIPDSEEPCSFMRFNMHWTEPNRDHNGLQTEPSAVSRPTDSGPSNCPGGQGWSLTWNAAM
+>sp|O43309|ZSC12_HUMAN Zinc finger and SCAN domain-containing protein 12 OS=Homo sapiens OX=9606 GN=ZSCAN12 PE=1 SV=1
+MASTWAIQAHMDQDEPLEVKIEEEKYTTRQDWDLRKNNTHSREVFRQYFRQFCYQETSGPREALSRLRELCHQWLRPETHTKEQILELLVLEQFLTILPEELQAWVQEQHPESGEEVVTVLEDLERELDEPGEQVSVHTGEQEMFLQETVRLRKEGEPSMSLQSMKAQPKYESPELESQQEQVLDVETGNEYGNLKQEVSEEMEPHGKTSSKFENDMSKSARCGETREPEEITEEPSACSREDKQPTCDENGVSLTENSDHTEHQRICPGEESYGCDDCGKAFSQHSHLIEHQRIHTGDRPYKCEECGKAFRGRTVLIRHKIIHTGEKPYKCNECGKAFGRWSALNQHQRLHTGEKHYHCNDCGKAFSQKAGLFHHIKIHTRDKPYQCTQCNKSFSRRSILTQHQGVHTGAKPYECNECGKAFVYNSSLVSHQEIHHKEKCYQCKECGKSFSQSGLIQHQRIHTGEKPYKCDVCEKAFIQRTSLTEHQRIHTGERPYKCDKCGKAFTQRSVLTEHQRIHTGERPYKCDECGNAFRGITSLIQHQRIHTGEKPYQCDECGKAFRQRKKTSYKEILLKNHSEPQAGVNLLLSSLIPEWQSCFRRDL
+>DECOY_sp|O43309|ZSC12_HUMAN Zinc finger and SCAN domain-containing protein 12 OS=Homo sapiens OX=9606 GN=ZSCAN12 PE=1 SV=1
+LDRRFCSQWEPILSSLLLNVGAQPESHNKLLIEKYSTKKRQRFAKGCEDCQYPKEGTHIRQHQILSTIGRFANGCEDCKYPREGTHIRQHETLVSRQTFAKGCKDCKYPREGTHIRQHETLSTRQIFAKECVDCKYPKEGTHIRQHQILGSQSFSKGCEKCQYCKEKHHIEQHSVLSSNYVFAKGCENCEYPKAGTHVGQHQTLISRRSFSKNCQTCQYPKDRTHIKIHHFLGAKQSFAKGCDNCHYHKEGTHLRQHQNLASWRGFAKGCENCKYPKEGTHIIKHRILVTRGRFAKGCEECKYPRDGTHIRQHEILHSHQSFAKGCDDCGYSEEGPCIRQHETHDSNETLSVGNEDCTPQKDERSCASPEETIEEPERTEGCRASKSMDNEFKSSTKGHPEMEESVEQKLNGYENGTEVDLVQEQQSELEPSEYKPQAKMSQLSMSPEGEKRLRVTEQLFMEQEGTHVSVQEGPEDLERELDELVTVVEEGSEPHQEQVWAQLEEPLITLFQELVLLELIQEKTHTEPRLWQHCLERLRSLAERPGSTEQYCFQRFYQRFVERSHTNNKRLDWDQRTTYKEEEIKVELPEDQDMHAQIAWTSAM
+>sp|A7E2V4|ZSWM8_HUMAN Zinc finger SWIM domain-containing protein 8 OS=Homo sapiens OX=9606 GN=ZSWIM8 PE=1 SV=1
+MELMFAEWEDGERFSFEDSDRFEEDSLCSFISEAESLCQNWRGWRKQSAGPNSPTGGGGGGGSGGTRMRDGLVIPLVELSAKQVAFHIPFEVVEKVYPPVPEQLQLRIAFWSFPENEEDIRLYSCLANGSADEFQRGDQLFRMRAVKDPLQIGFHLSATVVPPQMVPPKGAYNVAVMFDRCRVTSCSCTCGAGAKWCTHVVALCLFRIHNASAVCLRAPVSESLSRLQRDQLQKFAQYLISELPQQILPTAQRLLDELLSSQSTAINTVCGAPDPTAGPSASDQSTWYLDESTLTDNIKKTLHKFCGPSPVVFSDVNSMYLSSTEPPAAAEWACLLRPLRGREPEGVWNLLSIVREMFKRRDSNAAPLLEILTDQCLTYEQITGWWYSVRTSASHSSASGHTGRSNGQSEVAAHACASMCDEMVTLWRLAVLDPALSPQRRRELCTQLRQWQLKVIENVKRGQHKKTLERLFPGFRPAVEACYFNWEEAYPLPGVTYSGTDRKLALCWARALPSRPGASRSGGLEESRDRPRPLPTEPAVRPKEPGTKRKGLGEGVPSSQRGPRRLSAEGGDKALHKMGPGGGKAKALGGAGSGSKGSAGGGSKRRLSSEDSSLEPDLAEMSLDDSSLALGAEASTFGGFPESPPPCPLHGGSRGPSTFLPEPPDTYEEDGGVYFSEGPEPPTASVGPPGLLPGDVCTQDDLPSTDESGNGLPKTKEAAPAVGEEDDDYQAYYLNAQDGAGGEEEKAEGGAGEEHDLFAGLKPLEQESRMEVLFACAEALHAHGYSSEASRLTVELAQDLLANPPDLKVEPPPAKGKKNKVSTSRQTWVATNTLSKAAFLLTVLSERPEHHNLAFRVGMFALELQRPPASTKALEVKLAYQESEVAALLKKIPLGPSEMSTMRCRAEELREGTLCDYRPVLPLMLASFIFDVLCAPGSRPPSRNWNSETPGDEELGFEAAVAALGMKTTVSEAEHPLLCEGTRREKGDLALALMITYKDDQAKLKKILDKLLDRESQTHKPQTLSSFYSSSRPTTASQRSPSKHGGPSAPGALQPLTSGSAGPAQPGSVAGAGPGPTEGFTEKNVPESSPHSPCEGLPSEAALTPRPEGKVPSRLALGSRGGYNGRGWGSPGRPKKKHTGMASIDSSAPETTSDSSPTLSRRPLRGGWAPTSWGRGQDSDSISSSSSDSLGSSSSSGSRRASASGGARAKTVEVGRYKGRRPESHAPHVPNQPSEAAAHFYFELAKTVLIKAGGNSSTSIFTHPSSSGGHQGPHRNLHLCAFEIGLYALGLHNFVSPNWLSRTYSSHVSWITGQAMEIGSAALTILVECWDGHLTPPEVASLADRASRARDSNMVRAAAELALSCLPHAHALNPNEIQRALVQCKEQDNLMLEKACMAVEEAAKGGGVYPEVLFEVAHQWFWLYEQTAGGSSTAREGATSCSASGIRAGGEAGRGMPEGRGGPGTEPVTVAAAAVTAAATVVPVISVGSSLYPGPGLGHGHSPGLHPYTALQPHLPCSPQYLTHPAHPAHPMPHMPRPAVFPVPSSAYPQGVHPAFLGAQYPYSVTPPSLAATAVSFPVPSMAPITVHPYHTEPGLPLPTSVACELWGQGTVSSVHPASTFPAIQGASLPALTTQPSPLVSGGFPPPEEETHSQPVNPHSLHHLHAAYRVGMLALEMLGRRAHNDHPNNFSRSPPYTDDVKWLLGLAAKLGVNYVHQFCVGAAKGVLSPFVLQEIVMETLQRLSPAHAHNHLRAPAFHQLVQRCQQAYMQYIHHRLIHLTPADYDDFVNAIRSARSAFCLTPMGMMQFNDILQNLKRSKQTKELWQRVSLEMATFSP
+>DECOY_sp|A7E2V4|ZSWM8_HUMAN Zinc finger SWIM domain-containing protein 8 OS=Homo sapiens OX=9606 GN=ZSWIM8 PE=1 SV=1
+PSFTAMELSVRQWLEKTQKSRKLNQLIDNFQMMGMPTLCFASRASRIANVFDDYDAPTLHILRHHIYQMYAQQCRQVLQHFAPARLHNHAHAPSLRQLTEMVIEQLVFPSLVGKAAGVCFQHVYNVGLKAALGLLWKVDDTYPPSRSFNNPHDNHARRGLMELALMGVRYAAHLHHLSHPNVPQSHTEEEPPPFGGSVLPSPQTTLAPLSAGQIAPFTSAPHVSSVTGQGWLECAVSTPLPLGPETHYPHVTIPAMSPVPFSVATAALSPPTVSYPYQAGLFAPHVGQPYASSPVPFVAPRPMHPMPHAPHAPHTLYQPSCPLHPQLATYPHLGPSHGHGLGPGPYLSSGVSIVPVVTAAATVAAAAVTVPETGPGGRGEPMGRGAEGGARIGSASCSTAGERATSSGGATQEYLWFWQHAVEFLVEPYVGGGKAAEEVAMCAKELMLNDQEKCQVLARQIENPNLAHAHPLCSLALEAAARVMNSDRARSARDALSAVEPPTLHGDWCEVLITLAASGIEMAQGTIWSVHSSYTRSLWNPSVFNHLGLAYLGIEFACLHLNRHPGQHGGSSSPHTFISTSSNGGAKILVTKALEFYFHAAAESPQNPVHPAHSEPRRGKYRGVEVTKARAGGSASARRSGSSSSSGLSDSSSSSISDSDQGRGWSTPAWGGRLPRRSLTPSSDSTTEPASSDISAMGTHKKKPRGPSGWGRGNYGGRSGLALRSPVKGEPRPTLAAESPLGECPSHPSSEPVNKETFGETPGPGAGAVSGPQAPGASGSTLPQLAGPASPGGHKSPSRQSATTPRSSSYFSSLTQPKHTQSERDLLKDLIKKLKAQDDKYTIMLALALDGKERRTGECLLPHEAESVTTKMGLAAVAAEFGLEEDGPTESNWNRSPPRSGPACLVDFIFSALMLPLVPRYDCLTGERLEEARCRMTSMESPGLPIKKLLAAVESEQYALKVELAKTSAPPRQLELAFMGVRFALNHHEPRESLVTLLFAAKSLTNTAVWTQRSTSVKNKKGKAPPPEVKLDPPNALLDQALEVTLRSAESSYGHAHLAEACAFLVEMRSEQELPKLGAFLDHEEGAGGEAKEEEGGAGDQANLYYAQYDDDEEGVAPAAEKTKPLGNGSEDTSPLDDQTCVDGPLLGPPGVSATPPEPGESFYVGGDEEYTDPPEPLFTSPGRSGGHLPCPPPSEPFGGFTSAEAGLALSSDDLSMEALDPELSSDESSLRRKSGGGASGKSGSGAGGLAKAKGGGPGMKHLAKDGGEASLRRPGRQSSPVGEGLGKRKTGPEKPRVAPETPLPRPRDRSEELGGSRSAGPRSPLARAWCLALKRDTGSYTVGPLPYAEEWNFYCAEVAPRFGPFLRELTKKHQGRKVNEIVKLQWQRLQTCLERRRQPSLAPDLVALRWLTVMEDCMSACAHAAVESQGNSRGTHGSASSHSASTRVSYWWGTIQEYTLCQDTLIELLPAANSDRRKFMERVISLLNWVGEPERGRLPRLLCAWEAAAPPETSSLYMSNVDSFVVPSPGCFKHLTKKINDTLTSEDLYWTSQDSASPGATPDPAGCVTNIATSQSSLLEDLLRQATPLIQQPLESILYQAFKQLQDRQLRSLSESVPARLCVASANHIRFLCLAVVHTCWKAGAGCTCSCSTVRCRDFMVAVNYAGKPPVMQPPVVTASLHFGIQLPDKVARMRFLQDGRQFEDASGNALCSYLRIDEENEPFSWFAIRLQLQEPVPPYVKEVVEFPIHFAVQKASLEVLPIVLGDRMRTGGSGGGGGGGTPSNPGASQKRWGRWNQCLSEAESIFSCLSDEEFRDSDEFSFREGDEWEAFMLEM
+>sp|Q9C0D3|ZY11B_HUMAN Protein zyg-11 homolog B OS=Homo sapiens OX=9606 GN=ZYG11B PE=1 SV=2
+MPEDQAGAAMEEASPYSLLDICLNFLTTHLEKFCSARQDGTLCLQEPGVFPQEVADRLLRTMAFHGLLNDGTVGIFRGNQMRLKRACIRKAKISAVAFRKAFCHHKLVELDATGVNADITITDIISGLGSNKWIQQNLQCLVLNSLTLSLEDPYERCFSRLSGLRALSITNVLFYNEDLAEVASLPRLESLDISNTSITDITALLACKDRLKSLTMHHLKCLKMTTTQILDVVRELKHLNHLDISDDKQFTSDIALRLLEQKDILPNLVSLDVSGRKHVTDKAVEAFIQQRPSMQFVGLLATDAGYSEFLTGEGHLKVSGEANETQIAEALKRYSERAFFVREALFHLFSLTHVMEKTKPEILKLVVTGMRNHPMNLPVQLAASACVFNLTKQDLAAGMPVRLLADVTHLLLKAMEHFPNHQQLQKNCLLSLCSDRILQDVPFNRFEAAKLVMQWLCNHEDQNMQRMAVAIISILAAKLSTEQTAQLGTELFIVRQLLQIVKQKTNQNSVDTTLKFTLSALWNLTDESPTTCRHFIENQGLELFMRVLESFPTESSIQQKVLGLLNNIAEVQELHSELMWKDFIDHISSLLHSVEVEVSYFAAGIIAHLISRGEQAWTLSRSQRNSLLDDLHSAILKWPTPECEMVAYRSFNPFFPLLGCFTTPGVQLWAVWAMQHVCSKNPSRYCSMLIEEGGLQHLYNIKDHEHTDPHVQQIAVAILDSLEKHIVRHGRPPPCKKQPQARLN
+>DECOY_sp|Q9C0D3|ZY11B_HUMAN Protein zyg-11 homolog B OS=Homo sapiens OX=9606 GN=ZYG11B PE=1 SV=2
+NLRAQPQKKCPPPRGHRVIHKELSDLIAVAIQQVHPDTHEHDKINYLHQLGGEEILMSCYRSPNKSCVHQMAWVAWLQVGPTTFCGLLPFFPNFSRYAVMECEPTPWKLIASHLDDLLSNRQSRSLTWAQEGRSILHAIIGAAFYSVEVEVSHLLSSIHDIFDKWMLESHLEQVEAINNLLGLVKQQISSETPFSELVRMFLELGQNEIFHRCTTPSEDTLNWLASLTFKLTTDVSNQNTKQKVIQLLQRVIFLETGLQATQETSLKAALISIIAVAMRQMNQDEHNCLWQMVLKAAEFRNFPVDQLIRDSCLSLLCNKQLQQHNPFHEMAKLLLHTVDALLRVPMGAALDQKTLNFVCASAALQVPLNMPHNRMGTVVLKLIEPKTKEMVHTLSFLHFLAERVFFARESYRKLAEAIQTENAEGSVKLHGEGTLFESYGADTALLGVFQMSPRQQIFAEVAKDTVHKRGSVDLSVLNPLIDKQELLRLAIDSTFQKDDSIDLHNLHKLERVVDLIQTTTMKLCKLHHMTLSKLRDKCALLATIDTISTNSIDLSELRPLSAVEALDENYFLVNTISLARLGSLRSFCREYPDELSLTLSNLVLCQLNQQIWKNSGLGSIIDTITIDANVGTADLEVLKHHCFAKRFAVASIKAKRICARKLRMQNGRFIGVTGDNLLGHFAMTRLLRDAVEQPFVGPEQLCLTGDQRASCFKELHTTLFNLCIDLLSYPSAEEMAAGAQDEPM
+>sp|O43149|ZZEF1_HUMAN Zinc finger ZZ-type and EF-hand domain-containing protein 1 OS=Homo sapiens OX=9606 GN=ZZEF1 PE=1 SV=6
+MGNAPSHSSEDEAAAAGGEGWGPHQDWAAVSGTTPGPGVAAPALPPAAALLEPARLREAAAALLPTPPCESLVSRHRGALFRWLEERLGRGEESVTLEQFRELLEARGAGCSSEQFEEAFAQFDAEGDGTVDAENMLEALKNSSGANLQGELSHIIRQLQACSLVPGFTDIFSESKEGLDIHSSMILRFLHRNRLSSAVMPYPMLEHCNNMCTMRSSVLKESLDQLVQKEKESPGDLTRSPEMDKLKSVAKCYAYIETSSNSADIDKMTNGETSSYWQSDGSACSHWIRLKMKPDVVLRHLSIAVAATDQSYMPQQVTVAVGRNASDLQEVRDVHIPSNVTGYVTLLENANVSQLYVQINIKRCLSDGCDTRIHGLRAVGFQRVKKSGVSVSDASAIWYWSLLTSLVTASMETNPAFVQTVLHNTQKALRHMPPLSLSPGSTDFSTFLSPNVLEEVDSFLIRITSCCSTPEVELTLLAFALARGSVAKVMSSLCTITDHLDTQYDASSLILSMASVRQNLLLKYGKPLQLTLQACDVKGKEDKSGPENLLVEPWTRDGFLTETGKTRASTIFSTGTESAFQVTQIRIMVRRGGIGAQCGLVFAYNSSSDKFCAEEHFKRFEKYDKWKLQELRQFVKSRIGCSSDDLGEDDPIGWFELEEEWDEADVKLQQCRVAKYLMVKFLCTRQESAERLGVQGLTISGYLRPARAEAEQSVTCAHCRKDTEESVCGATLLLRTLQFIQQLAHDLVQQKESGLKYKSFLDFAGLDLQIFWNFYSKLKQNPREECVSAQTLLLQLLQSCFSVLQGDVLAASEEEKAPIQSPKGVEAAKELYTHLCDVVDKVDGDSVPMEILKQEVRNTLLNGAAIFFPNRQTRRNHLFTMMNVTEQEHKQSLQLTFRSLCTYFSDKDPGGLLLLPEKNDLAKMNISEVLAVMDTLVSVAARECELLMLSGAPGEVGSVLFSLFWSVQGSLLSWCYLQLKSTDSGAKDLAVDLIEKYVGQFLASMRAILESLFSQYSGKTIVERLCNSVFSMAARQLVIFLLDFCTLDIPHCVLLREFSVLTELLKKLCSGPEGGLRKLDVETWQQEQPVVLHTWTKESAHNYENNCHEVSVFVSPGATYFEVEFDDRCETEKRYDYLEFTDARGRKTRYDTKVGTDKWPKKVTFKAGPRLQFLFHSDSSHNEWGYKFTVTACGLPDVAVSWGLDLQLLVSRLMGRLASQCMALKSVRQLGSNMVVPQAKMALVLSSPLWKPVFRHQVCPELELEASWPTHPHRNSKEVKNIPDDPCRHFLLDFAQSEPAQNFCGPYSELFKGFIQACRKQAPKTDIVAGSTIDQAVNATFAALVYRTPDLYEKLQKYVNSGGKIALSEEFAQVYSLADGIRIWMLEMKQKSLMSLGNEAEEKHSSEATEVNPESLAKECIEKSLLLLKFLPTGISSKESCEKLETADETSHLQPLNKRQRTSSVVEEHFQASVSPTEAAPPATGDQSPGLGTQPKLPSSSGLPAADVSPATAEEPLSPSTPTRRPPFTRGRLRLLSFRSMEEARLVPTVKEKYPVLKDVMDFIKDQSLSHRSVVKVLSLRKAQAQSILEVLKITQHCAESLGQPHCFHPPFILFLLELLTCQKDFTNYFGHLEGCGADLHKEIRDTYYQLVLFLVKAVKGFSSLNDRSLLPALSCVQTALLHLLDMGWEPNDLAFFVDIQLPDLLMKMSQENISVHDSVISQWSEEDELADAKQNSEWMDECQDGMFEAWYEKIAQEDPEKQRKMHMFIARYCDLLNVDISCDGCDEIAPWHRYRCLQCSDMDLCKTCFLGGVKPEGHGDDHEMVNMEFTCDHCQGLIIGRRMNCNVCDDFDLCYGCYAAKKYSYGHLPTHSITAHPMVTIRISDRQRLIQPYIHNYSWLLFAALALYSAHLASAEDVDGEKLDPQTRSSATTLRSQCMQLVGDCLMKAHQGKGLKALALLGVLPDGDSSLEDQALPVTVPTGASEEQLEKKAVQGAELSEAGNGKRAVHEEIRPVDFKQRNKADKGVSLSKDPSCQTQISDSPADASPPTGLPDAEDSEVSSQKPIEEKAVTPSPEQVFAECSQKRILGLLAAMLPPLKSGPTVPLIDLEHVLPLMFQVVISNAGHLNETYHLTLGLLGQLIIRLLPAEVDAAVIKVLSAKHNLFAAGDSSIVPDGWKTTHLLFSLGAVCLDSRVGLDWACSMAEILRSLNSAPLWRDVIATFTDHCIKQLPFQLKHTNIFTLLVLVGFPQVLCVGTRCVYMDNANEPHNVIILKHFTEKNRAVIVDVKTRKRKTVKDYQLVQKGGGQECGDSRAQLSQYSQHFAFIASHLLQSSMDSHCPEAVEATWVLSLALKGLYKTLKAHGFEEIRATFLQTDLLKLLVKKCSKGTGFSKTWLLRDLEILSIMLYSSKKEINALAEHGDLELDERGDREEEVERPVSSPGDPEQKKLDPLEGLDEPTRICFLMAHDALNAPLHILRAIYELQMKKTDYFFLEVQKRFDGDELTTDERIRSLAQRWQPSKSLRLEEQSAKAVDTDMIILPCLSRPARCDQATAESNPVTQKLISSTESELQQSYAKQRRSKSAALLHKELNCKSKRAVRDYLFRVNEATAVLYARHVLASLLAEWPSHVPVSEDILELSGPAHMTYILDMFMQLEEKHEWEKILQKVLQGCREDMLGTMALAACQFMEEPGMEVQVRESKHPYNNNTNFEDKVHIPGAIYLSIKFDSQCNTEEGCDELAMSSSSDFQQDRHSFSGSQQKWKDFELPGDTLYYRFTSDMSNTEWGYRFTVTAGHLGRFQTGFEILKQMLSEERVVPHLPLAKIWEWLVGVACRQTGHQRLKAIHLLLRIVRCCGHSDLCDLALLKPLWQLFTHMEYGLFEDVTQPGILLPLHRALTELFFVTENRAQELGVLQDYLLALTTDDHLLRCAAQALQNIAAISLAINYPNKATRLWNVEC
+>DECOY_sp|O43149|ZZEF1_HUMAN Zinc finger ZZ-type and EF-hand domain-containing protein 1 OS=Homo sapiens OX=9606 GN=ZZEF1 PE=1 SV=6
+CEVNWLRTAKNPYNIALSIAAINQLAQAACRLLHDDTTLALLYDQLVGLEQARNETVFFLETLARHLPLLIGPQTVDEFLGYEMHTFLQWLPKLLALDCLDSHGCCRVIRLLLHIAKLRQHGTQRCAVGVLWEWIKALPLHPVVREESLMQKLIEFGTQFRGLHGATVTFRYGWETNSMDSTFRYYLTDGPLEFDKWKQQSGSFSHRDQQFDSSSSMALEDCGEETNCQSDFKISLYIAGPIHVKDEFNTNNNYPHKSERVQVEMGPEEMFQCAALAMTGLMDERCGQLVKQLIKEWEHKEELQMFMDLIYTMHAPGSLELIDESVPVHSPWEALLSALVHRAYLVATAENVRFLYDRVARKSKCNLEKHLLAASKSRRQKAYSQQLESETSSILKQTVPNSEATAQDCRAPRSLCPLIIMDTDVAKASQEELRLSKSPQWRQALSRIREDTTLEDGDFRKQVELFFYDTKKMQLEYIARLIHLPANLADHAMLFCIRTPEDLGELPDLKKQEPDGPSSVPREVEEERDGREDLELDGHEALANIEKKSSYLMISLIELDRLLWTKSFGTGKSCKKVLLKLLDTQLFTARIEEFGHAKLTKYLGKLALSLVWTAEVAEPCHSDMSSQLLHSAIFAFHQSYQSLQARSDGCEQGGGKQVLQYDKVTKRKRTKVDVIVARNKETFHKLIIVNHPENANDMYVCRTGVCLVQPFGVLVLLTFINTHKLQFPLQKICHDTFTAIVDRWLPASNLSRLIEAMSCAWDLGVRSDLCVAGLSFLLHTTKWGDPVISSDGAAFLNHKASLVKIVAADVEAPLLRIILQGLLGLTLHYTENLHGANSIVVQFMLPLVHELDILPVTPGSKLPPLMAALLGLIRKQSCEAFVQEPSPTVAKEEIPKQSSVESDEADPLGTPPSADAPSDSIQTQCSPDKSLSVGKDAKNRQKFDVPRIEEHVARKGNGAESLEAGQVAKKELQEESAGTPVTVPLAQDELSSDGDPLVGLLALAKLGKGQHAKMLCDGVLQMCQSRLTTASSRTQPDLKEGDVDEASALHASYLALAAFLLWSYNHIYPQILRQRDSIRITVMPHATISHTPLHGYSYKKAAYCGYCLDFDDCVNCNMRRGIILGQCHDCTFEMNVMEHDDGHGEPKVGGLFCTKCLDMDSCQLCRYRHWPAIEDCGDCSIDVNLLDCYRAIFMHMKRQKEPDEQAIKEYWAEFMGDQCEDMWESNQKADALEDEESWQSIVSDHVSINEQSMKMLLDPLQIDVFFALDNPEWGMDLLHLLATQVCSLAPLLSRDNLSSFGKVAKVLFLVLQYYTDRIEKHLDAGCGELHGFYNTFDKQCTLLELLFLIFPPHFCHPQGLSEACHQTIKLVELISQAQAKRLSLVKVVSRHSLSQDKIFDMVDKLVPYKEKVTPVLRAEEMSRFSLLRLRGRTFPPRRTPTSPSLPEEATAPSVDAAPLGSSSPLKPQTGLGPSQDGTAPPAAETPSVSAQFHEEVVSSTRQRKNLPQLHSTEDATELKECSEKSSIGTPLFKLLLLSKEICEKALSEPNVETAESSHKEEAENGLSMLSKQKMELMWIRIGDALSYVQAFEESLAIKGGSNVYKQLKEYLDPTRYVLAAFTANVAQDITSGAVIDTKPAQKRCAQIFGKFLESYPGCFNQAPESQAFDLLFHRCPDDPINKVEKSNRHPHTPWSAELELEPCVQHRFVPKWLPSSLVLAMKAQPVVMNSGLQRVSKLAMCQSALRGMLRSVLLQLDLGWSVAVDPLGCATVTFKYGWENHSSDSHFLFQLRPGAKFTVKKPWKDTGVKTDYRTKRGRADTFELYDYRKETECRDDFEVEFYTAGPSVFVSVEHCNNEYNHASEKTWTHLVVPQEQQWTEVDLKRLGGEPGSCLKKLLETLVSFERLLVCHPIDLTCFDLLFIVLQRAAMSFVSNCLREVITKGSYQSFLSELIARMSALFQGVYKEILDVALDKAGSDTSKLQLYCWSLLSGQVSWFLSFLVSGVEGPAGSLMLLECERAAVSVLTDMVALVESINMKALDNKEPLLLLGGPDKDSFYTCLSRFTLQLSQKHEQETVNMMTFLHNRRTQRNPFFIAAGNLLTNRVEQKLIEMPVSDGDVKDVVDCLHTYLEKAAEVGKPSQIPAKEEESAALVDGQLVSFCSQLLQLLLTQASVCEERPNQKLKSYFNWFIQLDLGAFDLFSKYKLGSEKQQVLDHALQQIFQLTRLLLTAGCVSEETDKRCHACTVSQEAEARAPRLYGSITLGQVGLREASEQRTCLFKVMLYKAVRCQQLKVDAEDWEEELEFWGIPDDEGLDDSSCGIRSKVFQRLEQLKWKDYKEFRKFHEEACFKDSSSNYAFVLGCQAGIGGRRVMIRIQTVQFASETGTSFITSARTKGTETLFGDRTWPEVLLNEPGSKDEKGKVDCAQLTLQLPKGYKLLLNQRVSAMSLILSSADYQTDLHDTITCLSSMVKAVSGRALAFALLTLEVEPTSCCSTIRILFSDVEELVNPSLFTSFDTSGPSLSLPPMHRLAKQTNHLVTQVFAPNTEMSATVLSTLLSWYWIASADSVSVGSKKVRQFGVARLGHIRTDCGDSLCRKINIQVYLQSVNANELLTVYGTVNSPIHVDRVEQLDSANRGVAVTVQQPMYSQDTAAVAISLHRLVVDPKMKLRIWHSCASGDSQWYSSTEGNTMKDIDASNSSTEIYAYCKAVSKLKDMEPSRTLDGPSEKEKQVLQDLSEKLVSSRMTCMNNCHELMPYPMVASSLRNRHLFRLIMSSHIDLGEKSESFIDTFGPVLSCAQLQRIIHSLEGQLNAGSSNKLAELMNEADVTGDGEADFQAFAEEFQESSCGAGRAELLERFQELTVSEEGRGLREELWRFLAGRHRSVLSECPPTPLLAAAAERLRAPELLAAAPPLAPAAVGPGPTTGSVAAWDQHPGWGEGGAAAAEDESSHSPANGM
+>sp|Q9BTK2|YX002_HUMAN Putative uncharacterized protein LOC642776 OS=Homo sapiens OX=9606 PE=5 SV=2
+MEGGMAAYPVATRESRCRRGRIGVQPSPERRSEVVGPFPLARSLS
+>DECOY_sp|Q9BTK2|YX002_HUMAN Putative uncharacterized protein LOC642776 OS=Homo sapiens OX=9606 PE=5 SV=2
+SLSRALPFPGVVESRREPSPQVGIRGRRCRSERTAVPYAAMGGEM
+>sp|Q9HBT8|Z286A_HUMAN Zinc finger protein 286A OS=Homo sapiens OX=9606 GN=ZNF286A PE=1 SV=1
+METDLAEMPEKGALSSQDSPHFQEKSTEEGEVAALRLTARSQETVTFKDVAMDFTPEEWGKLDPAQRDVMLENYRNLVSLWLPVSKPESYNLENGKEPLKLERKAPKSSYSDMETRPQSKDSTSVQDFSKAESCKVAIIDRLTRNSVYDSNLEAALECENWLENQQGNQERHLREMFTHMNSLSEETDHKHDVYWKSFNQKSVLITEDRVPKGSYAFHTLEKSLKQKSNLMKKQRTYKEKKPHKCNDCGELFTYHSVLIRHQRVHTGEKPYTCNECGKSFSHRANLTKHQRTHTRILFECSECKKTFTESSSLATHQRIHVGERPYECNECGKGFNRSTHLVQHQLIHTGVKPYECNECDKAFIHSSALIKHQRTHTGEKPYKCQECGKAFSHCSSLTKHQRVHTGEKPYECSECGKTFSQSTHLVQHQRIHTGEKPYECNECGKTFSRSSNFAKHQRIHIGKKPYKCSECGKAFIHSSALIQHQRTHTGEKPFRCNECGKSFKCSSSLIRHQRVHTEEQP
+>DECOY_sp|Q9HBT8|Z286A_HUMAN Zinc finger protein 286A OS=Homo sapiens OX=9606 GN=ZNF286A PE=1 SV=1
+PQEETHVRQHRILSSSCKFSKGCENCRFPKEGTHTRQHQILASSHIFAKGCESCKYPKKGIHIRQHKAFNSSRSFTKGCENCEYPKEGTHIRQHQVLHTSQSFTKGCESCEYPKEGTHVRQHKTLSSCHSFAKGCEQCKYPKEGTHTRQHKILASSHIFAKDCENCEYPKVGTHILQHQVLHTSRNFGKGCENCEYPREGVHIRQHTALSSSETFTKKCESCEFLIRTHTRQHKTLNARHSFSKGCENCTYPKEGTHVRQHRILVSHYTFLEGCDNCKHPKKEKYTRQKKMLNSKQKLSKELTHFAYSGKPVRDETILVSKQNFSKWYVDHKHDTEESLSNMHTFMERLHREQNGQQNELWNECELAAELNSDYVSNRTLRDIIAVKCSEAKSFDQVSTSDKSQPRTEMDSYSSKPAKRELKLPEKGNELNYSEPKSVPLWLSVLNRYNELMVDRQAPDLKGWEEPTFDMAVDKFTVTEQSRATLRLAAVEGEETSKEQFHPSDQSSLAGKEPMEALDTEM
+>sp|Q66K41|Z385C_HUMAN Zinc finger protein 385C OS=Homo sapiens OX=9606 GN=ZNF385C PE=1 SV=3
+MGLAAGPASGAPSPLLASLPLPTRPLQPPLDFKHLLAFHFNGAAPLSLFPNFSTMDPVQKAVISHTFGVPSPLKKKLFISCNICHLRFNSANQAEAHYKGHKHARKLKAVEAAKSKQRPHTQAQDGAVVSPIPTLASGAPGEPQSKVPAAPPLGPPLQPPPTPDPTCREPAHSELLDAASSSSSSSCPPCSPEPGREAPGPEPAAAAVGSSMSGEGRSEKGHLYCPTCKVTVNSASQLQAHNTGAKHRWMMEGQRGAPRRSRGRPVSRGGAGHKAKRVTGGRGGRQGPSPAFHCALCQLQVNSETQLKQHMSSRRHKDRLAGKTPKPSSQHSKLQKHAALAVSILKSKLALQKQLTKTLAARFLPSPLPTAATAICALPGPLALRPAPTAATTLFPAPILGPALFRTPAGAVRPATGPIVLAPY
+>DECOY_sp|Q66K41|Z385C_HUMAN Zinc finger protein 385C OS=Homo sapiens OX=9606 GN=ZNF385C PE=1 SV=3
+YPALVIPGTAPRVAGAPTRFLAPGLIPAPFLTTAATPAPRLALPGPLACIATAATPLPSPLFRAALTKTLQKQLALKSKLISVALAAHKQLKSHQSSPKPTKGALRDKHRRSSMHQKLQTESNVQLQCLACHFAPSPGQRGGRGGTVRKAKHGAGGRSVPRGRSRRPAGRQGEMMWRHKAGTNHAQLQSASNVTVKCTPCYLHGKESRGEGSMSSGVAAAAPEPGPAERGPEPSCPPCSSSSSSSAADLLESHAPERCTPDPTPPPQLPPGLPPAAPVKSQPEGPAGSALTPIPSVVAGDQAQTHPRQKSKAAEVAKLKRAHKHGKYHAEAQNASNFRLHCINCSIFLKKKLPSPVGFTHSIVAKQVPDMTSFNPFLSLPAAGNFHFALLHKFDLPPQLPRTPLPLSALLPSPAGSAPGAALGM
+>sp|A8MUZ8|Z705G_HUMAN Putative zinc finger protein 705G OS=Homo sapiens OX=9606 GN=ZNF705G PE=2 SV=2
+MHSLKKLTFEDVAIDFTQEEWAMMDTSKRKLYRDVMLENISHLVSLGYQISKSYIILQLEQGKELWREGRVFLQDQNPNRESALKKTHMISMHPITRKDASTSMTMENSLILEDPFECNDSGEDCTRSSTITQCLLTHSGKKPYVSKQCGKSLRNLLSTEPHKQIHTKGKSYQCNLCEKAYTNCFHLRRHKMTHTGERPYACHLCRKAFTQCSHLRRHEKTHTGQRPYKCHQYGKVFIQSFNLQRHERTHLGKKCYECDKSGKAFSQSSGFRGNKIIHTGEKPHACLLCGKAFSLSSNLR
+>DECOY_sp|A8MUZ8|Z705G_HUMAN Putative zinc finger protein 705G OS=Homo sapiens OX=9606 GN=ZNF705G PE=2 SV=2
+RLNSSLSFAKGCLLCAHPKEGTHIIKNGRFGSSQSFAKGSKDCEYCKKGLHTREHRQLNFSQIFVKGYQHCKYPRQGTHTKEHRRLHSCQTFAKRCLHCAYPREGTHTMKHRRLHFCNTYAKECLNCQYSKGKTHIQKHPETSLLNRLSKGCQKSVYPKKGSHTLLCQTITSSRTCDEGSDNCEFPDELILSNEMTMSTSADKRTIPHMSIMHTKKLASERNPNQDQLFVRGERWLEKGQELQLIIYSKSIQYGLSVLHSINELMVDRYLKRKSTDMMAWEEQTFDIAVDEFTLKKLSHM
+>sp|O75290|Z780A_HUMAN Zinc finger protein 780A OS=Homo sapiens OX=9606 GN=ZNF780A PE=2 SV=3
+MVHGSVTFRDVAIDFSQEEWECLQPDQRTLYRDVMLENYSHLISLGSSISKPDVITLLEQEKEPWMVVRKETSRRYPDLELKYGPEKVSPENDTSEVNLPKQVIKQISTTLGIEAFYFRNDSEYRQFEGLQGYQEGNINQKMISYEKLPTHTPHASLICNTHKPYECKECGKYFSRSANLIQHQSIHTGEKPFECKECGKAFRLHIQFTRHQKFHTGEKPFECNECGKAFSLLTLLNRHKNIHTGEKLFECKECGKSFNRSSNLVQHQSIHSGVKPYECKECGKGFNRGAHLIQHQKIHSNEKPFVCKECGMAFRYHYQLIEHCQIHTGEKPFECKECGKAFTLLTKLVRHQKIHTGEKPFECRECGKAFSLLNQLNRHKNIHTGEKPFECKECGKSFNRSSNLVQHQSIHAGIKPYECKECGKGFNRGAHLIQHQKIHSNEKPFVCRECEMAFRYHCQLIEHSRIHTGDKPFECQDCGKAFNRGSSLVQHQSIHTGEKPYECKECGKAFRLYLQLSQHQKTHTGEKPFECKECGKFFRRGSNLNQHRSIHTGKKPFECKECGKAFRLHMHLIRHQKLHTGEKPFECKECGKAFRLHMQLIRHQKLHTGEKPFECKECGKVFSLPTQLNRHKNIHTGEKAS
+>DECOY_sp|O75290|Z780A_HUMAN Zinc finger protein 780A OS=Homo sapiens OX=9606 GN=ZNF780A PE=2 SV=3
+SAKEGTHINKHRNLQTPLSFVKGCEKCEFPKEGTHLKQHRILQMHLRFAKGCEKCEFPKEGTHLKQHRILHMHLRFAKGCEKCEFPKKGTHISRHQNLNSGRRFFKGCEKCEFPKEGTHTKQHQSLQLYLRFAKGCEKCEYPKEGTHISQHQVLSSGRNFAKGCDQCEFPKDGTHIRSHEILQCHYRFAMECERCVFPKENSHIKQHQILHAGRNFGKGCEKCEYPKIGAHISQHQVLNSSRNFSKGCEKCEFPKEGTHINKHRNLQNLLSFAKGCERCEFPKEGTHIKQHRVLKTLLTFAKGCEKCEFPKEGTHIQCHEILQYHYRFAMGCEKCVFPKENSHIKQHQILHAGRNFGKGCEKCEYPKVGSHISQHQVLNSSRNFSKGCEKCEFLKEGTHINKHRNLLTLLSFAKGCENCEFPKEGTHFKQHRTFQIHLRFAKGCEKCEFPKEGTHISQHQILNASRSFYKGCEKCEYPKHTNCILSAHPTHTPLKEYSIMKQNINGEQYGQLGEFQRYESDNRFYFAEIGLTTSIQKIVQKPLNVESTDNEPSVKEPGYKLELDPYRRSTEKRVVMWPEKEQELLTIVDPKSISSGLSILHSYNELMVDRYLTRQDPQLCEWEEQSFDIAVDRFTVSGHVM
+>sp|A4D1E1|Z804B_HUMAN Zinc finger protein 804B OS=Homo sapiens OX=9606 GN=ZNF804B PE=2 SV=2
+MACYLVISSRHLSNGHYRGIKGVFRGPLCKNGSPSPDFAEKKSTAKALEDVKANFYCELCDKQYHKHQEFDNHINSYDHAHKQRLKELKQREFARNVASKSWKDEKKQEKALKRLHQLAELRQQSECVSGNGPAYKAPRVAIEKQLQQGIFPIKNGRKVSCMKSALLLKGKNLPRIISDKQRSTMPNRHQLQSDRRCLFGNQVLQTSSDLSNANHRTGVSFTFSKKVHLKLESSASVFSENTEETHDCNKSPIYKTKQTADKCKCCRFANKDTHLTKEKEVNISPSHLESVLHNTISINSKILQDKHDSIDETLEDSIGIHASFSKSNIHLSDVDFTPTSREKETRNTLKNTLENCVNHPCQANASFSPPNIYNHSDARISECLDEFSSLEPSEQKSTVHLNPNSRIENREKSLDKTERVSKNVQRLVKEACTHNVASKPLPFLHVQSKDGHTTLQWPTELLLFTKTEPCISYGCNPLYFDFKLSRNTKEDHNLEDLKTELGKKPLELKTKRESQVSGLTEDQQKLIQEDYQYPKPKTMIANPDWEKFQRKYNLDYSDSEPNKSEYTFSANDLEMKNPKVPLYLNTSLKDCAGKNNSSENKLKEASRAHWQGCRKAVLNDIDEDLSFPSYISRFKKHKLIPCSPHLEFEDERQFNCKSSPCTVGGHSDHGKDFSVILKSNHISMTSKVSGCGNQRYKRYSPQSCLSRYSSSLDTSPSSMSSLRSTCSSHRFNGNSRGNLLCFHKREHHSVERHKRKCLKHNCFYLSDDITKSSQMQSEPQKERNCKLWESFKNEKYSKRRYCHCRERQKLGKNQQQFSGLKSTRIIYCDSNSQISCTGSSKKPPNCQGTQHDRLDSYSIEKMYYLNKSKRNQESLGSPHICDLGKVRPMKCNSGNISCLLKNCSSGPSETTESNTAEGERTPLTAKILLERVQAKKCQEQSSNVEISSNSCKSELEAPSQVPCTIQLAPSGCNRQALPLSEKIQYASESRNDQDSAIPRTTEKDKSKSSHTNNFTILADTDCDNHLSKGIIHLVTESQSLNIKRDATTKEQSKPLISEIQPFIQSCDPVPNEFPGAFPSNKYTGVTDSTETQEDQINLDLQDVSMHINHVEGNINSYYDRTMQKPDKVEDGLEMCHKSISPPLIQQPITFSPDEIDKYKILQLQAQQHMQKQLLSKHLRVLPAAGPTAFSPASTVQTVPVHQHTSITTIHHTFLQHFAVSASLSSHSSHLPIAHLHPLSQAHFSPISFSTLTPTIIPAHPTFLAGHPLHLVAATPFHPSHITLQPLPPTAFIPTLFGPHLNPATTSIIHLNPLIQPVFQGQDFCHHSCSSQMQQLNEVKEALNVSTHLN
+>DECOY_sp|A4D1E1|Z804B_HUMAN Zinc finger protein 804B OS=Homo sapiens OX=9606 GN=ZNF804B PE=2 SV=2
+NLHTSVNLAEKVENLQQMQSSCSHHCFDQGQFVPQILPNLHIISTTAPNLHPGFLTPIFATPPLPQLTIHSPHFPTAAVLHLPHGALFTPHAPIITPTLTSFSIPSFHAQSLPHLHAIPLHSSHSSLSASVAFHQLFTHHITTISTHQHVPVTQVTSAPSFATPGAAPLVRLHKSLLQKQMHQQAQLQLIKYKDIEDPSFTIPQQILPPSISKHCMELGDEVKDPKQMTRDYYSNINGEVHNIHMSVDQLDLNIQDEQTETSDTVGTYKNSPFAGPFENPVPDCSQIFPQIESILPKSQEKTTADRKINLSQSETVLHIIGKSLHNDCDTDALITFNNTHSSKSKDKETTRPIASDQDNRSESAYQIKESLPLAQRNCGSPALQITCPVQSPAELESKCSNSSIEVNSSQEQCKKAQVRELLIKATLPTREGEATNSETTESPGSSCNKLLCSINGSNCKMPRVKGLDCIHPSGLSEQNRKSKNLYYMKEISYSDLRDHQTGQCNPPKKSSGTCSIQSNSDCYIIRTSKLGSFQQQNKGLKQRERCHCYRRKSYKENKFSEWLKCNREKQPESQMQSSKTIDDSLYFCNHKLCKRKHREVSHHERKHFCLLNGRSNGNFRHSSCTSRLSSMSSPSTDLSSSYRSLCSQPSYRKYRQNGCGSVKSTMSIHNSKLIVSFDKGHDSHGGVTCPSSKCNFQREDEFELHPSCPILKHKKFRSIYSPFSLDEDIDNLVAKRCGQWHARSAEKLKNESSNNKGACDKLSTNLYLPVKPNKMELDNASFTYESKNPESDSYDLNYKRQFKEWDPNAIMTKPKPYQYDEQILKQQDETLGSVQSERKTKLELPKKGLETKLDELNHDEKTNRSLKFDFYLPNCGYSICPETKTFLLLETPWQLTTHGDKSQVHLFPLPKSAVNHTCAEKVLRQVNKSVRETKDLSKERNEIRSNPNLHVTSKQESPELSSFEDLCESIRADSHNYINPPSFSANAQCPHNVCNELTNKLTNRTEKERSTPTFDVDSLHINSKSFSAHIGISDELTEDISDHKDQLIKSNISITNHLVSELHSPSINVEKEKTLHTDKNAFRCCKCKDATQKTKYIPSKNCDHTEETNESFVSASSELKLHVKKSFTFSVGTRHNANSLDSSTQLVQNGFLCRRDSQLQHRNPMTSRQKDSIIRPLNKGKLLLASKMCSVKRGNKIPFIGQQLQKEIAVRPAKYAPGNGSVCESQQRLEALQHLRKLAKEQKKEDKWSKSAVNRAFERQKLEKLRQKHAHDYSNIHNDFEQHKHYQKDCLECYFNAKVDELAKATSKKEAFDPSPSGNKCLPGRFVGKIGRYHGNSLHRSSIVLYCAM
+>sp|P25311|ZA2G_HUMAN Zinc-alpha-2-glycoprotein OS=Homo sapiens OX=9606 GN=AZGP1 PE=1 SV=2
+MVRMVPVLLSLLLLLGPAVPQENQDGRYSLTYIYTGLSKHVEDVPAFQALGSLNDLQFFRYNSKDRKSQPMGLWRQVEGMEDWKQDSQLQKAREDIFMETLKDIVEYYNDSNGSHVLQGRFGCEIENNRSSGAFWKYYYDGKDYIEFNKEIPAWVPFDPAAQITKQKWEAEPVYVQRAKAYLEEECPATLRKYLKYSKNILDRQDPPSVVVTSHQAPGEKKKLKCLAYDFYPGKIDVHWTRAGEVQEPELRGDVLHNGNGTYQSWVVVAVPPQDTAPYSCHVQHSSLAQPLVVPWEAS
+>DECOY_sp|P25311|ZA2G_HUMAN Zinc-alpha-2-glycoprotein OS=Homo sapiens OX=9606 GN=AZGP1 PE=1 SV=2
+SAEWPVVLPQALSSHQVHCSYPATDQPPVAVVVWSQYTGNGNHLVDGRLEPEQVEGARTWHVDIKGPYFDYALCKLKKKEGPAQHSTVVVSPPDQRDLINKSYKLYKRLTAPCEEELYAKARQVYVPEAEWKQKTIQAAPDFPVWAPIEKNFEIYDKGDYYYKWFAGSSRNNEIECGFRGQLVHSGNSDNYYEVIDKLTEMFIDERAKQLQSDQKWDEMGEVQRWLGMPQSKRDKSNYRFFQLDNLSGLAQFAPVDEVHKSLGTYIYTLSYRGDQNEQPVAPGLLLLLSLLVPVMRVM
+>sp|Q9UPT8|ZC3H4_HUMAN Zinc finger CCCH domain-containing protein 4 OS=Homo sapiens OX=9606 GN=ZC3H4 PE=1 SV=3
+MEAAPGTPPPPPSESPPPPSPPPPSTPSPPPCSPDARPATPHLLHHRLPLPDDREDGELEEGELEDDGAEETQDTSGGPERSRKEKGEKHHSDSDEEKSHRRLKRKRKKEREKEKRRSKKRRKSKHKRHASSSDDFSDFSDDSDFSPSEKGHRKYREYSPPYAPSHQQYPPSHATPLPKKAYSKMDSKSYGMYEDYENEQYGEYEGDEEEDMGKEDYDDFTKELNQYRRAKEGSSRGRGSRGRGRGYRGRGSRGGSRGRGMGRGSRGRGRGSMGGDHPEDEEDFYEEEMDYGESEEPMGDDDYDEYSKELNQYRRSKDSRGRGLSRGRGRGSRGRGKGMGRGRGRGGSRGGMNKGGMNDDEDFYDEDMGDGGGGSYRSRDHDKPHQQSDKKGKVICKYFVEGRCTWGDHCNFSHDIELPKKRELCKFYITGFCARAENCPYMHGDFPCKLYHTTGNCINGDDCMFSHDPLTEETRELLDKMLADDAEAGAEDEKEVEELKKQGINPLPKPPPGVGLLPTPPRPPGPQAPTSPNGRPMQGGPPPPPPPPPPPPGPPQMPMPVHEPLSPQQLQQQDMYNKKIPSLFEIVVRPTGQLAEKLGVRFPGPGGPPGPMGPGPNMGPPGPMGGPMHPDMHPDMHPDMHPDMHADMHADMPMGPGMNPGPPMGPGGPPMMPYGPGDSPHSGMMPPIPPAQNFYENFYQQQEGMEMEPGLLGDAEDYGHYEELPGEPGEHLFPEHPLEPDSFSEGGPPGRPKPGAGVPDFLPSAQRALYLRIQQKQQEEEERARRLAESSKQDRENEEGDTGNWYSSDEDEGGSSVTSILKTLRQQTSSRPPASVGELSSSGLGDPRLQKGHPTGSRLADPRLSRDPRLTRHVEASGGSGPGDSGPSDPRLARALPTSKPEGSLHSSPVGPSSSKGSGPPPTEEEEGERALREKAVNIPLDPLPGHPLRDPRSQLQQFSHIKKDVTLSKPSFARTVLWNPEDLIPLPIPKQDAVPPVPAALQSMPTLDPRLHRAATAGPPNARQRPGASTDSSTQGANLPDFELLSRILKTVNATGSSAAPGSSDKPSDPRVRKAPTDPRLQKPTDSTASSRAAKPGPAEAPSPTASPSGDASPPATAPYDPRVLAAGGLGQGGGGGQSSVLSGISLYDPRTPNAGGKATEPAADTGAQPKGAEGNGKSSASKAKEPPFVRKSALEQPETGKAGADGGTPTDRYNSYNRPRPKAAAAPAATTATPPPEGAPPQPGVHNLPVPTLFGTVKQTPKTGSGSPFAGNSPAREGEQDAASLKDVFKGFDPTASPFCQ
+>DECOY_sp|Q9UPT8|ZC3H4_HUMAN Zinc finger CCCH domain-containing protein 4 OS=Homo sapiens OX=9606 GN=ZC3H4 PE=1 SV=3
+QCFPSATPDFGKFVDKLSAADQEGERAPSNGAFPSGSGTKPTQKVTGFLTPVPLNHVGPQPPAGEPPPTATTAAPAAAAKPRPRNYSNYRDTPTGGDAGAKGTEPQELASKRVFPPEKAKSASSKGNGEAGKPQAGTDAAPETAKGGANPTRPDYLSIGSLVSSQGGGGGQGLGGAALVRPDYPATAPPSADGSPSATPSPAEAPGPKAARSSATSDTPKQLRPDTPAKRVRPDSPKDSSGPAASSGTANVTKLIRSLLEFDPLNAGQTSSDTSAGPRQRANPPGATAARHLRPDLTPMSQLAAPVPPVADQKPIPLPILDEPNWLVTRAFSPKSLTVDKKIHSFQQLQSRPDRLPHGPLPDLPINVAKERLAREGEEEETPPPGSGKSSSPGVPSSHLSGEPKSTPLARALRPDSPGSDGPGSGGSAEVHRTLRPDRSLRPDALRSGTPHGKQLRPDGLGSSSLEGVSAPPRSSTQQRLTKLISTVSSGGEDEDSSYWNGTDGEENERDQKSSEALRRAREEEEQQKQQIRLYLARQASPLFDPVGAGPKPRGPPGGESFSDPELPHEPFLHEGPEGPLEEYHGYDEADGLLGPEMEMGEQQQYFNEYFNQAPPIPPMMGSHPSDGPGYPMMPPGGPGMPPGPNMGPGMPMDAHMDAHMDPHMDPHMDPHMDPHMPGGMPGPPGMNPGPGMPGPPGGPGPFRVGLKEALQGTPRVVIEFLSPIKKNYMDQQQLQQPSLPEHVPMPMQPPGPPPPPPPPPPPPGGQMPRGNPSTPAQPGPPRPPTPLLGVGPPPKPLPNIGQKKLEEVEKEDEAGAEADDALMKDLLERTEETLPDHSFMCDDGNICNGTTHYLKCPFDGHMYPCNEARACFGTIYFKCLERKKPLEIDHSFNCHDGWTCRGEVFYKCIVKGKKDSQQHPKDHDRSRYSGGGGDGMDEDYFDEDDNMGGKNMGGRSGGRGRGRGMGKGRGRSGRGRGRSLGRGRSDKSRRYQNLEKSYEDYDDDGMPEESEGYDMEEEYFDEEDEPHDGGMSGRGRGRSGRGMGRGRSGGRSGRGRYGRGRGRSGRGRSSGEKARRYQNLEKTFDDYDEKGMDEEEDGEYEGYQENEYDEYMGYSKSDMKSYAKKPLPTAHSPPYQQHSPAYPPSYERYKRHGKESPSFDSDDSFDSFDDSSSAHRKHKSKRRKKSRRKEKEREKKRKRKLRRHSKEEDSDSHHKEGKEKRSREPGGSTDQTEEAGDDELEGEELEGDERDDPLPLRHHLLHPTAPRADPSCPPPSPTSPPPPSPPPPSESPPPPPTGPAAEM
+>sp|Q8N5P1|ZC3H8_HUMAN Zinc finger CCCH domain-containing protein 8 OS=Homo sapiens OX=9606 GN=ZC3H8 PE=1 SV=2
+MDFENLFSKPPNPALGKTATDSDERIDDEIDTEVEETQEEKIKLECEQIPKKFRHSAISPKSSLHRKSRSKDYDVYSDNDICSQESEDNFAKELQQYIQAREMANAAQPEESTKKEGVKDTPQAAKQKNKNLKAGHKNGKQKKMKRKWPGPGNKGSNALLRNSGSQEEDGKPKEKQQHLSQAFINQHTVERKGKQICKYFLERKCIKGDQCKFDHDAEIEKKKEMCKFYVQGYCTRGENCLYLHNEYPCKFYHTGTKCYQGEYCKFSHAPLTPETQELLAKVLDTEKKSCK
+>DECOY_sp|Q8N5P1|ZC3H8_HUMAN Zinc finger CCCH domain-containing protein 8 OS=Homo sapiens OX=9606 GN=ZC3H8 PE=1 SV=2
+KCSKKETDLVKALLEQTEPTLPAHSFKCYEGQYCKTGTHYFKCPYENHLYLCNEGRTCYGQVYFKCMEKKKEIEADHDFKCQDGKICKRELFYKCIQKGKREVTHQNIFAQSLHQQKEKPKGDEEQSGSNRLLANSGKNGPGPWKRKMKKQKGNKHGAKLNKNKQKAAQPTDKVGEKKTSEEPQAANAMERAQIYQQLEKAFNDESEQSCIDNDSYVDYDKSRSKRHLSSKPSIASHRFKKPIQECELKIKEEQTEEVETDIEDDIREDSDTATKGLAPNPPKSFLNEFDM
+>sp|Q9NQZ6|ZC4H2_HUMAN Zinc finger C4H2 domain-containing protein OS=Homo sapiens OX=9606 GN=ZC4H2 PE=1 SV=1
+MADEQEIMCKLESIKEIRNKTLQMEKIKARLKAEFEALESEERHLKEYKQEMDLLLQEKMAHVEELRLIHADINVMENTIKQSENDLNKLLESTRRLHDEYKPLKEHVDALRMTLGLQRLPDLCEEEEKLSLDYFEKQKAEWQTEPQEPPIPESLAAAAAAAQQLQVARKQDTRQTATFRQQPPPMKACLSCHQQIHRNAPICPLCKAKSRSRNPKKPKRKQDE
+>DECOY_sp|Q9NQZ6|ZC4H2_HUMAN Zinc finger C4H2 domain-containing protein OS=Homo sapiens OX=9606 GN=ZC4H2 PE=1 SV=1
+EDQKRKPKKPNRSRSKAKCLPCIPANRHIQQHCSLCAKMPPPQQRFTATQRTDQKRAVQLQQAAAAAAALSEPIPPEQPETQWEAKQKEFYDLSLKEEEECLDPLRQLGLTMRLADVHEKLPKYEDHLRRTSELLKNLDNESQKITNEMVNIDAHILRLEEVHAMKEQLLLDMEQKYEKLHREESELAEFEAKLRAKIKEMQLTKNRIEKISELKCMIEQEDAM
+>sp|Q7Z2W4|ZCCHV_HUMAN Zinc finger CCCH-type antiviral protein 1 OS=Homo sapiens OX=9606 GN=ZC3HAV1 PE=1 SV=3
+MADPEVCCFITKILCAHGGRMALDALLQEIALSEPQLCEVLQVAGPDRFVVLETGGEAGITRSVVATTRARVCRRKYCQRPCDNLHLCKLNLLGRCNYSQSERNLCKYSHEVLSEENFKVLKNHELSGLNKEELAVLLLQSDPFFMPEICKSYKGEGRQQICNQQPPCSRLHICDHFTRGNCRFPNCLRSHNLMDRKVLAIMREHGLNPDVVQNIQDICNSKHMQKNPPGPRAPSSHRRNMAYRARSKSRDRFFQGSQEFLASASASAERSCTPSPDQISHRASLEDAPVDDLTRKFTYLGSQDRARPPSGSSKATDLGGTSQAGTSQRFLENGSQEDLLHGNPGSTYLASNSTSAPNWKSLTSWTNDQGARRKTVFSPTLPAARSSLGSLQTPEAVTTRKGTGLLSSDYRIINGKSGTQDIQPGPLFNNNADGVATDITSTRSLNYKSTSSGHREISSPRIQDAGPASRDVQATGRIADDADPRVALVNDSLSDVTSTTSSRVDDHDSEEICLDHLCKGCPLNGSCSKVHFHLPYRWQMLIGKTWTDFEHMETIEKGYCNPGIHLCSVGSYTINFRVMSCDSFPIRRLSTPSSVTKPANSVFTTKWIWYWKNESGTWIQYGEEKDKRKNSNVDSSYLESLYQSCPRGVVPFQAGSRNYELSFQGMIQTNIASKTQKDVIRRPTFVPQWYVQQMKRGPDHQPAKTSSVSLTATFRPQEDFCFLSSKKYKLSEIHHLHPEYVRVSEHFKASMKNFKIEKIKKIENSELLDKFTWKKSQMKEEGKLLFYATSRAYVESICSNNFDSFLHETHENKYGKGIYFAKDAIYSHKNCPYDAKNVVMFVAQVLVGKFTEGNITYTSPPPQFDSCVDTRSNPSVFVIFQKDQVYPQYVIEYTEDKACVIS
+>DECOY_sp|Q7Z2W4|ZCCHV_HUMAN Zinc finger CCCH-type antiviral protein 1 OS=Homo sapiens OX=9606 GN=ZC3HAV1 PE=1 SV=3
+SIVCAKDETYEIVYQPYVQDKQFIVFVSPNSRTDVCSDFQPPPSTYTINGETFKGVLVQAVFMVVNKADYPCNKHSYIADKAFYIGKGYKNEHTEHLFSDFNNSCISEVYARSTAYFLLKGEEKMQSKKWTFKDLLESNEIKKIKEIKFNKMSAKFHESVRVYEPHLHHIESLKYKKSSLFCFDEQPRFTATLSVSSTKAPQHDPGRKMQQVYWQPVFTPRRIVDKQTKSAINTQIMGQFSLEYNRSGAQFPVVGRPCSQYLSELYSSDVNSNKRKDKEEGYQIWTGSENKWYWIWKTTFVSNAPKTVSSPTSLRRIPFSDCSMVRFNITYSGVSCLHIGPNCYGKEITEMHEFDTWTKGILMQWRYPLHFHVKSCSGNLPCGKCLHDLCIEESDHDDVRSSTTSTVDSLSDNVLAVRPDADDAIRGTAQVDRSAPGADQIRPSSIERHGSSTSKYNLSRTSTIDTAVGDANNNFLPGPQIDQTGSKGNIIRYDSSLLGTGKRTTVAEPTQLSGLSSRAAPLTPSFVTKRRAGQDNTWSTLSKWNPASTSNSALYTSGPNGHLLDEQSGNELFRQSTGAQSTGGLDTAKSSGSPPRARDQSGLYTFKRTLDDVPADELSARHSIQDPSPTCSREASASASALFEQSGQFFRDRSKSRARYAMNRRHSSPARPGPPNKQMHKSNCIDQINQVVDPNLGHERMIALVKRDMLNHSRLCNPFRCNGRTFHDCIHLRSCPPQQNCIQQRGEGKYSKCIEPMFFPDSQLLLVALEEKNLGSLEHNKLVKFNEESLVEHSYKCLNRESQSYNCRGLLNLKCLHLNDCPRQCYKRRCVRARTTAVVSRTIGAEGGTELVVFRDPGAVQLVECLQPESLAIEQLLADLAMRGGHACLIKTIFCCVEPDAM
+>sp|Q6PEW1|ZCH12_HUMAN Zinc finger CCHC domain-containing protein 12 OS=Homo sapiens OX=9606 GN=ZCCHC12 PE=1 SV=2
+MASIIARVGNSRRLNAPLPPWAHSMLRSLGRSLGPIMASMADRNMKLFSGRVVPAQGEETFENWLTQVNGVLPDWNMSEEEKLKRLMKTLRGPAREVMRVLQATNPNLSVADFLRAMKLVFGESESSVTAHGKFFNTLQAQGEKASLYVIRLEVQLQNAIQAGIIAEKDANRTRLQQLLLGGELSRDLRLRLKDFLRMYANEQERLPNFLELIRMVREEEDWDDAFIKRKRPKRSESMVERAVSPVAFQGSPPIVIGSADCNVIEIDDTLDDSDEDVILVESQDPPLPSWGAPPLRDRARPQDEVLVIDSPHNSRAQFPSTSGGSGYKNNGPGEMRRARKRKHTIRCSYCGEEGHSKETCDNESDKAQVFENLIITLQELTHTEMERSRVAPGEYNDFSEPL
+>DECOY_sp|Q6PEW1|ZCH12_HUMAN Zinc finger CCHC domain-containing protein 12 OS=Homo sapiens OX=9606 GN=ZCCHC12 PE=1 SV=2
+LPESFDNYEGPAVRSREMETHTLEQLTIILNEFVQAKDSENDCTEKSHGEEGCYSCRITHKRKRARRMEGPGNNKYGSGGSTSPFQARSNHPSDIVLVEDQPRARDRLPPAGWSPLPPDQSEVLIVDEDSDDLTDDIEIVNCDASGIVIPPSGQFAVPSVAREVMSESRKPRKRKIFADDWDEEERVMRILELFNPLREQENAYMRLFDKLRLRLDRSLEGGLLLQQLRTRNADKEAIIGAQIANQLQVELRIVYLSAKEGQAQLTNFFKGHATVSSESEGFVLKMARLFDAVSLNPNTAQLVRMVERAPGRLTKMLRKLKEEESMNWDPLVGNVQTLWNEFTEEGQAPVVRGSFLKMNRDAMSAMIPGLSRGLSRLMSHAWPPLPANLRRSNGVRAIISAM
+>sp|Q8WYQ9|ZCH14_HUMAN Zinc finger CCHC domain-containing protein 14 OS=Homo sapiens OX=9606 GN=ZCCHC14 PE=1 SV=1
+MASNHPAFSFHQKQVLRQELTQIQSSLNGGGGHGGKGAPGPGGALPTCPACHKITPRTEAPVSSVSNSLENALHTSAHSTEESLPKRPLGKHSKVSVEKIDLKGLSHTKNDRNVECSFEVLWSDSSITSVTKSSSEVTEFISKLCQLYPEENLEKLIPCLAGPDAFYVERNHVDLDSGLRYLASLPSHVLKNDHVRRFLSTSSPPQQLQSPSPGNPSLSKVGTVMGVSGRPVCGVAGIPSSQSGAQHHGQHPAGSAAPLPHCSHAGSAGSALAYRTQMDTSPAILMPSSLQTPQTQEQNGILDWLRKLRLHKYYPVFKQLSMEKFLSLTEEDLNKFESLTMGAKKKLKTQLELEKEKSERRCLNPSAPPLVTSSGVARVPPTSHVGPVQSGRGSHAAELRVEVEQPHHQLPREGSSSEYSSSSSSPMGVQAREESSDSAEENDRRVEIHLESSDKEKPVMLLNHFTSSSARPTAQVLPVQNEASSNPSGHHPLPPQMLSAASHITPIRMLNSVHKPERGSADMKLLSSSVHSLLSLEERNKGSGPRSSMKVDKSFGSAMMDVLPASAPHQPVQVLSGLSESSSMSPTVSFGPRTKVVHASTLDRVLKTAQQPALVVETSTAATGTPSTVLHAARPPIKLLLSSSVPADSAISGQTSCPNNVQISVPPAIINPRTALYTANTKVAFSAMSSMPVGPLQGGFCANSNTASPSSHPSTSFANMATLPSCPAPSSSPALSSVPESSFYSSSGGGGSTGNIPASNPNHHHHHHHQQPPAPPQPAPPPPGCIVCTSCGCSGSCGSSGLTVSYANYFQHPFSGPSVFTFPFLPFSPMCSSGYVSAQQYGGGSTFPVVHAPYSSSGTPDPVLSGQSTFAVPPMQNFMAGTAGVYQTQGLVGSSNGSSHKKSGNLSCYNCGATGHRAQDCKQPSMDFNRPGTFRLKYAPPAESLDSTD
+>DECOY_sp|Q8WYQ9|ZCH14_HUMAN Zinc finger CCHC domain-containing protein 14 OS=Homo sapiens OX=9606 GN=ZCCHC14 PE=1 SV=1
+DTSDLSEAPPAYKLRFTGPRNFDMSPQKCDQARHGTAGCNYCSLNGSKKHSSGNSSGVLGQTQYVGATGAMFNQMPPVAFTSQGSLVPDPTGSSSYPAHVVPFTSGGGYQQASVYGSSCMPSFPLFPFTFVSPGSFPHQFYNAYSVTLGSSGCSGSCGCSTCVICGPPPPAPQPPAPPQQHHHHHHHNPNSAPINGTSGGGGSSSYFSSEPVSSLAPSSSPAPCSPLTAMNAFSTSPHSSPSATNSNACFGGQLPGVPMSSMASFAVKTNATYLATRPNIIAPPVSIQVNNPCSTQGSIASDAPVSSSLLLKIPPRAAHLVTSPTGTAATSTEVVLAPQQATKLVRDLTSAHVVKTRPGFSVTPSMSSSESLGSLVQVPQHPASAPLVDMMASGFSKDVKMSSRPGSGKNREELSLLSHVSSSLLKMDASGREPKHVSNLMRIPTIHSAASLMQPPLPHHGSPNSSAENQVPLVQATPRASSSTFHNLLMVPKEKDSSELHIEVRRDNEEASDSSEERAQVGMPSSSSSSYESSSGERPLQHHPQEVEVRLEAAHSGRGSQVPGVHSTPPVRAVGSSTVLPPASPNLCRRESKEKELELQTKLKKKAGMTLSEFKNLDEETLSLFKEMSLQKFVPYYKHLRLKRLWDLIGNQEQTQPTQLSSPMLIAPSTDMQTRYALASGASGAHSCHPLPAASGAPHQGHHQAGSQSSPIGAVGCVPRGSVGMVTGVKSLSPNGPSPSQLQQPPSSTSLFRRVHDNKLVHSPLSALYRLGSDLDVHNREVYFADPGALCPILKELNEEPYLQCLKSIFETVESSSKTVSTISSDSWLVEFSCEVNRDNKTHSLGKLDIKEVSVKSHKGLPRKPLSEETSHASTHLANELSNSVSSVPAETRPTIKHCAPCTPLAGGPGPAGKGGHGGGGNLSSQIQTLEQRLVQKQHFSFAPHNSAM
+>sp|Q9NPG8|ZDHC4_HUMAN Probable palmitoyltransferase ZDHHC4 OS=Homo sapiens OX=9606 GN=ZDHHC4 PE=1 SV=1
+MDFLVLFLFYLASVLMGLVLICVCSKTHSLKGLARGGAQIFSCIIPECLQRAVHGLLHYLFHTRNHTFIVLHLVLQGMVYTEYTWEVFGYCQELELSLHYLLLPYLLLGVNLFFFTLTCGTNPGIITKANELLFLHVYEFDEVMFPKNVRCSTCDLRKPARSKHCSVCNWCVHRFDHHCVWVNNCIGAWNIRYFLIYVLTLTASAATVAIVSTTFLVHLVVMSDLYQETYIDDLGHLHVMDTVFLIQYLFLTFPRIVFMLGFVVVLSFLLGGYLLFVLYLAATNQTTNEWYRGDWAWCQRCPLVAWPPSAEPQVHRNIHSHGLRSNLQEIFLPAFPCHERKKQE
+>DECOY_sp|Q9NPG8|ZDHC4_HUMAN Probable palmitoyltransferase ZDHHC4 OS=Homo sapiens OX=9606 GN=ZDHHC4 PE=1 SV=1
+EQKKREHCPFAPLFIEQLNSRLGHSHINRHVQPEASPPWAVLPCRQCWAWDGRYWENTTQNTAALYLVFLLYGGLLFSLVVVFGLMFVIRPFTLFLYQILFVTDMVHLHGLDDIYTEQYLDSMVVLHVLFTTSVIAVTAASATLTLVYILFYRINWAGICNNVWVCHHDFRHVCWNCVSCHKSRAPKRLDCTSCRVNKPFMVEDFEYVHLFLLENAKTIIGPNTGCTLTFFFLNVGLLLYPLLLYHLSLELEQCYGFVEWTYETYVMGQLVLHLVIFTHNRTHFLYHLLGHVARQLCEPIICSFIQAGGRALGKLSHTKSCVCILVLGMLVSALYFLFLVLFDM
+>sp|Q9Y397|ZDHC9_HUMAN Palmitoyltransferase ZDHHC9 OS=Homo sapiens OX=9606 GN=ZDHHC9 PE=1 SV=2
+MSVMVVRKKVTRKWEKLPGRNTFCCDGRVMMARQKGIFYLTLFLILGTCTLFFAFECRYLAVQLSPAIPVFAAMLFLFSMATLLRTSFSDPGVIPRALPDEAAFIEMEIEATNGAVPQGQRPPPRIKNFQINNQIVKLKYCYTCKIFRPPRASHCSICDNCVERFDHHCPWVGNCVGKRNYRYFYLFILSLSLLTIYVFAFNIVYVALKSLKIGFLETLKETPGTVLEVLICFFTLWSVVGLTGFHTFLVALNQTTNEDIKGSWTGKNRVQNPYSHGNIVKNCCEVLCGPLPPSVLDRRGILPLEESGSRPPSTQETSSSLLPQSPAPTEHLNSNEMPEDSSTPEEMPPPEPPEPPQEAAEAEK
+>DECOY_sp|Q9Y397|ZDHC9_HUMAN Palmitoyltransferase ZDHHC9 OS=Homo sapiens OX=9606 GN=ZDHHC9 PE=1 SV=2
+KEAEAAEQPPEPPEPPPMEEPTSSDEPMENSNLHETPAPSQPLLSSSTEQTSPPRSGSEELPLIGRRDLVSPPLPGCLVECCNKVINGHSYPNQVRNKGTWSGKIDENTTQNLAVLFTHFGTLGVVSWLTFFCILVELVTGPTEKLTELFGIKLSKLAVYVINFAFVYITLLSLSLIFLYFYRYNRKGVCNGVWPCHHDFREVCNDCISCHSARPPRFIKCTYCYKLKVIQNNIQFNKIRPPPRQGQPVAGNTAEIEMEIFAAEDPLARPIVGPDSFSTRLLTAMSFLFLMAAFVPIAPSLQVALYRCEFAFFLTCTGLILFLTLYFIGKQRAMMVRGDCCFTNRGPLKEWKRTVKKRVVMVSM
+>sp|P37275|ZEB1_HUMAN Zinc finger E-box-binding homeobox 1 OS=Homo sapiens OX=9606 GN=ZEB1 PE=1 SV=2
+MADGPRCKRRKQANPRRNNVTNYNTVVETNSDSDDEDKLHIVEEESVTDAADCEGVPEDDLPTDQTVLPGRSSEREGNAKNCWEDDRKEGQEILGPEAQADEAGCTVKDDECESDAENEQNHDPNVEEFLQQQDTAVIFPEAPEEDQRQGTPEASGHDENGTPDAFSQLLTCPYCDRGYKRFTSLKEHIKYRHEKNEDNFSCSLCSYTFAYRTQLERHMTSHKSGRDQRHVTQSGCNRKFKCTECGKAFKYKHHLKEHLRIHSGEKPYECPNCKKRFSHSGSYSSHISSKKCISLIPVNGRPRTGLKTSQCSSPSLSASPGSPTRPQIRQKIENKPLQEQLSVNQIKTEPVDYEFKPIVVASGINCSTPLQNGVFTGGGPLQATSSPQGMVQAVVLPTVGLVSPISINLSDIQNVLKVAVDGNVIRQVLENNQANLASKEQETINASPIQQGGHSVISAISLPLVDQDGTTKIIINYSLEQPSQLQVVPQNLKKENPVATNSCKSEKLPEDLTVKSEKDKSFEGGVNDSTCLLCDDCPGDINALPELKHYDLKQPTQPPPLPAAEAEKPESSVSSATGDGNLSPSQPPLKNLLSLLKAYYALNAQPSAEELSKIADSVNLPLDVVKKWFEKMQAGQISVQSSEPSSPEPGKVNIPAKNNDQPQSANANEPQDSTVNLQSPLKMTNSPVLPVGSTTNGSRSSTPSPSPLNLSSSRNTQGYLYTAEGAQEEPQVEPLDLSLPKQQGELLERSTITSVYQNSVYSVQEEPLNLSCAKKEPQKDSCVTDSEPVVNVIPPSANPINIAIPTVTAQLPTIVAIADQNSVPCLRALAANKQTILIPQVAYTYSTTVSPAVQEPPLKVIQPNGNQDERQDTSSEGVSNVEDQNDSDSTPPKKKMRKTENGMYACDLCDKIFQKSSSLLRHKYEHTGKRPHECGICKKAFKHKHHLIEHMRLHSGEKPYQCDKCGKRFSHSGSYSQHMNHRYSYCKREAEERDSTEQEEAGPEILSNEHVGARASPSQGDSDERESLTREEDEDSEKEEEEEDKEMEELQEEKECEKPQGDEEEEEEEEEVEEEEVEEAENEGEEAKTEGLMKDDRAESQASSLGQKVGESSEQVSEEKTNEA
+>DECOY_sp|P37275|ZEB1_HUMAN Zinc finger E-box-binding homeobox 1 OS=Homo sapiens OX=9606 GN=ZEB1 PE=1 SV=2
+AENTKEESVQESSEGVKQGLSSAQSEARDDKMLGETKAEEGENEAEEVEEEEVEEEEEEEEEDGQPKECEKEEQLEEMEKDEEEEEKESDEDEERTLSEREDSDGQSPSARAGVHENSLIEPGAEEQETSDREEAERKCYSYRHNMHQSYSGSHSFRKGCKDCQYPKEGSHLRMHEILHHKHKFAKKCIGCEHPRKGTHEYKHRLLSSSKQFIKDCLDCAYMGNETKRMKKKPPTSDSDNQDEVNSVGESSTDQREDQNGNPQIVKLPPEQVAPSVTTSYTYAVQPILITQKNAALARLCPVSNQDAIAVITPLQATVTPIAINIPNASPPIVNVVPESDTVCSDKQPEKKACSLNLPEEQVSYVSNQYVSTITSRELLEGQQKPLSLDLPEVQPEEQAGEATYLYGQTNRSSSLNLPSPSPTSSRSGNTTSGVPLVPSNTMKLPSQLNVTSDQPENANASQPQDNNKAPINVKGPEPSSPESSQVSIQGAQMKEFWKKVVDLPLNVSDAIKSLEEASPQANLAYYAKLLSLLNKLPPQSPSLNGDGTASSVSSEPKEAEAAPLPPPQTPQKLDYHKLEPLANIDGPCDDCLLCTSDNVGGEFSKDKESKVTLDEPLKESKCSNTAVPNEKKLNQPVVQLQSPQELSYNIIIKTTGDQDVLPLSIASIVSHGGQQIPSANITEQEKSALNAQNNELVQRIVNGDVAVKLVNQIDSLNISIPSVLGVTPLVVAQVMGQPSSTAQLPGGGTFVGNQLPTSCNIGSAVVIPKFEYDVPETKIQNVSLQEQLPKNEIKQRIQPRTPSGPSASLSPSSCQSTKLGTRPRGNVPILSICKKSSIHSSYSGSHSFRKKCNPCEYPKEGSHIRLHEKLHHKYKFAKGCETCKFKRNCGSQTVHRQDRGSKHSTMHRELQTRYAFTYSCLSCSFNDENKEHRYKIHEKLSTFRKYGRDCYPCTLLQSFADPTGNEDHGSAEPTGQRQDEEPAEPFIVATDQQQLFEEVNPDHNQENEADSECEDDKVTCGAEDAQAEPGLIEQGEKRDDEWCNKANGERESSRGPLVTQDTPLDDEPVGECDAADTVSEEEVIHLKDEDDSDSNTEVVTNYNTVNNRRPNAQKRRKCRPGDAM
+>sp|Q5T1R4|ZEP3_HUMAN Transcription factor HIVEP3 OS=Homo sapiens OX=9606 GN=HIVEP3 PE=2 SV=1
+MDPEQSVKGTKKAEGSPRKRLTKGEAIQTSVSSSVPYPGSGTAATQESPAQELLAPQPFPGPSSVLREGSQEKTGQQQKPPKRPPIEASVHISQLPQHPLTPAFMSPGKPEHLLEGSTWQLVDPMRPGPSGSFVAPGLHPQSQLLPSHASIIPPEDLPGVPKVFVPRPSQVSLKPTEEAHKKERKPQKPGKYICQYCSRPCAKPSVLQKHIRSHTGERPYPCGPCGFSFKTKSNLYKHRKSHAHRIKAGLASGMGGEMYPHGLEMERIPGEEFEEPTEGESTDSEEETSATSGHPAELSPRPKQPLLSSGLYSSGSHSSSHERCSLSQSSTAQSLEDPPPFVEPSSEHPLSHKPEDTHTIKQKLALRLSERKKVIDEQAFLSPGSKGSTESGYFSRSESAEQQVSPPNTNAKSYAEIIFGKCGRIGQRTAMLTATSTQPLLPLSTEDKPSLVPLSVPRTQVIEHITKLITINEAVVDTSEIDSVKPRRSSLSRRSSMESPKSSLYREPLSSHSEKTKPEQSLLSLQHPPSTAPPVPLLRSHSMPSAACTISTPHHPFRGSYSFDDHITDSEALSHSSHVFTSHPRMLKRQPAIELPLGGEYSSEEPGPSSKDTASKPSDEVEPKESELTKKTKKGLKTKGVIYECNICGARYKKRDNYEAHKKYYCSELQIAKPISAGTHTSPEAEKSQIEHEPWSQMMHYKLGTTLELTPLRKRRKEKSLGDEEEPPAFESTKSQFGSPGPSDAARNLPLESTKSPAEPSKSVPSLEGPTGFQPRTPKPGSGSESGKERRTTSKEISVIQHTSSFEKSDSLEQPSGLEGEDKPLAQFPSPPPAPHGRSAHSLQPKLVRQPNIQVPEILVTEEPDRPDTEPEPPPKEPEKTEEFQWPQRSQTLAQLPAEKLPPKKKRLRLAEMAQSSGESSFESSVPLSRSPSQESNVSLSGSSRSASFERDDHGKAEAPSPSSDMRPKPLGTHMLTVPSHHPHAREMRRSASEQSPNVSHSAHMTETRSKSFDYGSLSLTGPSAPAPVAPPARVAPPERRKCFLVRQASLSRPPESELEVAPKGRQESEEPQPSSSKPSAKSSLSQISSAATSHGGPPGGKGPGQDRPPLGPTVPYTEALQVFHHPVAQTPLHEKPYLPPPVSLFSFQHLVQHEPGQSPEFFSTQAMSSLLSSPYSMPPLPPSLFQAPPLPLQPTVLHPGQLHLPQLMPHPANIPFRQPPSFLPMPYPTSSALSSGFFLPLQSQFALQLPGDVESHLPQIKTSLAPLATGSAGLSPSTEYSSDIRLPPVAPPASSSAPTSAPPLALPACPDTMVSLVVPVRVQTNMPSYGSAMYTTLSQILVTQSQGSSATVALPKFEEPPSKGTTVCGADVHEVGPGPSGLSEEQSRAFPTPYLRVPVTLPERKGTSLSSESILSLEGSSSTAGGSKRVLSPAGSLELTMETQQQKRVKEEEASKADEKLELVKPCSVVLTSTEDGKRPEKSHLGNQGQGRRELEMLSSLSSDPSDTKEIPPLPHPALSHGTAPGSEALKEYPQPSGKPHRRGLTPLSVKKEDSKEQPDLPSLAPPSSLPLSETSSRPAKSQEGTDSKKVLQFPSLHTTTNVSWCYLNYIKPNHIQHADRRSSVYAGWCISLYNPNLPGVSTKAALSLLRSKQKVSKETYTMATAPHPEAGRLVPSSSRKPRMTEVHLPSLVSPEGQKDLARVEKEEERRGEPEEDAPASQRGEPARIKIFEGGYKSNEEYVYVRGRGRGKYVCEECGIRCKKPSMLKKHIRTHTDVRPYVCKHCHFAFKTKGNLTKHMKSKAHSKKCQETGVLEELEAEEGTSDDLFQDSEGREGSEAVEEHQFSDLEDSDSDSDLDEDEDEDEEESQDELSRPSSEAPPPGPPHALRADSSPILGPQPPDAPASGTEATRGSSVSEAERLTASSCSMSSQSMPGLPWLGPAPLGSVEKDTGSALSYKPVSPRRPWSPSKEAGSRPPLARKHSLTKNDSSPQRCSPAREPQASAPSPPGLHVDPGRGMGALPCGSPRLQLSPLTLCPLGRELAPRAHVLSKLEGTTDPGLPRYSPTRRWSPGQAESPPRSAPPGKWALAGPGSPSAGEHGPGLGLDPRVLFPPAPLPHKLLSRSPETCASPWQKAESRSPSCSPGPAHPLSSRPFSALHDFHGHILARTEENIFSHLPLHSQHLTRAPCPLIPIGGIQMVQARPGAHPTLLPGPTAAWVSGFSGGGSDLTGAREAQERGRWSPTESSSASVSPVAKVSKFTLSSELEGGDYPKERERTGGGPGRPPDWTPHGTGAPAEPTPTHSPCTPPDTLPRPPQGRRAAQSWSPRLESPRAPTNPEPSATPPLDRSSSVGCLAEASARFPARTRNLSGEPRTRQDSPKPSGSGEPRAHPHQPEDRVPPNA
+>DECOY_sp|Q5T1R4|ZEP3_HUMAN Transcription factor HIVEP3 OS=Homo sapiens OX=9606 GN=HIVEP3 PE=2 SV=1
+ANPPVRDEPQHPHARPEGSGSPKPSDQRTRPEGSLNRTRAPFRASAEALCGVSSSRDLPPTASPEPNTPARPSELRPSWSQAARRGQPPRPLTDPPTCPSHTPTPEAPAGTGHPTWDPPRGPGGGTREREKPYDGGELESSLTFKSVKAVPSVSASSSETPSWRGREQAERAGTLDSGGGSFGSVWAATPGPLLTPHAGPRAQVMQIGGIPILPCPARTLHQSHLPLHSFINEETRALIHGHFDHLASFPRSSLPHAPGPSCSPSRSEAKQWPSACTEPSRSLLKHPLPAPPFLVRPDLGLGPGHEGASPSGPGALAWKGPPASRPPSEAQGPSWRRTPSYRPLGPDTTGELKSLVHARPALERGLPCLTLPSLQLRPSGCPLAGMGRGPDVHLGPPSPASAQPERAPSCRQPSSDNKTLSHKRALPPRSGAEKSPSWPRRPSVPKYSLASGTDKEVSGLPAPGLWPLGPMSQSSMSCSSATLREAESVSSGRTAETGSAPADPPQPGLIPSSDARLAHPPGPPPAESSPRSLEDQSEEEDEDEDEDLDSDSDSDELDSFQHEEVAESGERGESDQFLDDSTGEEAELEELVGTEQCKKSHAKSKMHKTLNGKTKFAFHCHKCVYPRVDTHTRIHKKLMSPKKCRIGCEECVYKGRGRGRVYVYEENSKYGGEFIKIRAPEGRQSAPADEEPEGRREEEKEVRALDKQGEPSVLSPLHVETMRPKRSSSPVLRGAEPHPATAMTYTEKSVKQKSRLLSLAAKTSVGPLNPNYLSICWGAYVSSRRDAHQIHNPKIYNLYCWSVNTTTHLSPFQLVKKSDTGEQSKAPRSSTESLPLSSPPALSPLDPQEKSDEKKVSLPTLGRRHPKGSPQPYEKLAESGPATGHSLAPHPLPPIEKTDSPDSSLSSLMELERRGQGQNGLHSKEPRKGDETSTLVVSCPKVLELKEDAKSAEEEKVRKQQQTEMTLELSGAPSLVRKSGGATSSSGELSLISESSLSTGKREPLTVPVRLYPTPFARSQEESLGSPGPGVEHVDAGCVTTGKSPPEEFKPLAVTASSGQSQTVLIQSLTTYMASGYSPMNTQVRVPVVLSVMTDPCAPLALPPASTPASSSAPPAVPPLRIDSSYETSPSLGASGTALPALSTKIQPLHSEVDGPLQLAFQSQLPLFFGSSLASSTPYPMPLFSPPQRFPINAPHPMLQPLHLQGPHLVTPQLPLPPAQFLSPPLPPMSYPSSLLSSMAQTSFFEPSQGPEHQVLHQFSFLSVPPPLYPKEHLPTQAVPHHFVQLAETYPVTPGLPPRDQGPGKGGPPGGHSTAASSIQSLSSKASPKSSSPQPEESEQRGKPAVELESEPPRSLSAQRVLFCKRREPPAVRAPPAVPAPASPGTLSLSGYDFSKSRTETMHASHSVNPSQESASRRMERAHPHHSPVTLMHTGLPKPRMDSSPSPAEAKGHDDREFSASRSSGSLSVNSEQSPSRSLPVSSEFSSEGSSQAMEALRLRKKKPPLKEAPLQALTQSRQPWQFEETKEPEKPPPEPETDPRDPEETVLIEPVQINPQRVLKPQLSHASRGHPAPPPSPFQALPKDEGELGSPQELSDSKEFSSTHQIVSIEKSTTRREKGSESGSGPKPTRPQFGTPGELSPVSKSPEAPSKTSELPLNRAADSPGPSGFQSKTSEFAPPEEEDGLSKEKRRKRLPTLELTTGLKYHMMQSWPEHEIQSKEAEPSTHTGASIPKAIQLESCYYKKHAEYNDRKKYRAGCINCEYIVGKTKLGKKTKKTLESEKPEVEDSPKSATDKSSPGPEESSYEGGLPLEIAPQRKLMRPHSTFVHSSHSLAESDTIHDDFSYSGRFPHHPTSITCAASPMSHSRLLPVPPATSPPHQLSLLSQEPKTKESHSSLPERYLSSKPSEMSSRRSLSSRRPKVSDIESTDVVAENITILKTIHEIVQTRPVSLPVLSPKDETSLPLLPQTSTATLMATRQGIRGCKGFIIEAYSKANTNPPSVQQEASESRSFYGSETSGKSGPSLFAQEDIVKKRESLRLALKQKITHTDEPKHSLPHESSPEVFPPPDELSQATSSQSLSCREHSSSHSGSSYLGSSLLPQKPRPSLEAPHGSTASTEEESDTSEGETPEEFEEGPIREMELGHPYMEGGMGSALGAKIRHAHSKRHKYLNSKTKFSFGCPGCPYPREGTHSRIHKQLVSPKACPRSCYQCIYKGPKQPKREKKHAEETPKLSVQSPRPVFVKPVGPLDEPPIISAHSPLLQSQPHLGPAVFSGSPGPRMPDVLQWTSGELLHEPKGPSMFAPTLPHQPLQSIHVSAEIPPRKPPKQQQGTKEQSGERLVSSPGPFPQPALLEQAPSEQTAATGSGPYPVSSSVSTQIAEGKTLRKRPSGEAKKTGKVSQEPDM
+>sp|Q9H8U3|ZFAN3_HUMAN AN1-type zinc finger protein 3 OS=Homo sapiens OX=9606 GN=ZFAND3 PE=1 SV=1
+MGDAGSERSKAPSLPPRCPCGFWGSSKTMNLCSKCFADFQKKQPDDDSAPSTSNSQSDLFSEETTSDNNNTSITTPTLSPSQQPLPTELNVTSPSKEECGPCTDTAHVSLITPTKRSCGTDSQSENEASPVKRPRLLENTERSEETSRSKQKSRRRCFQCQTKLELVQQELGSCRCGYVFCMLHRLPEQHDCTFDHMGRGREEAIMKMVKLDRKVGRSCQRIGEGCS
+>DECOY_sp|Q9H8U3|ZFAN3_HUMAN AN1-type zinc finger protein 3 OS=Homo sapiens OX=9606 GN=ZFAND3 PE=1 SV=1
+SCGEGIRQCSRGVKRDLKVMKMIAEERGRGMHDFTCDHQEPLRHLMCFVYGCRCSGLEQQVLELKTQCQFCRRRSKQKSRSTEESRETNELLRPRKVPSAENESQSDTGCSRKTPTILSVHATDTCPGCEEKSPSTVNLETPLPQQSPSLTPTTISTNNNDSTTEESFLDSQSNSTSPASDDDPQKKQFDAFCKSCLNMTKSSGWFGCPCRPPLSPAKSRESGADGM
+>sp|Q6FIF0|ZFAN6_HUMAN AN1-type zinc finger protein 6 OS=Homo sapiens OX=9606 GN=ZFAND6 PE=1 SV=2
+MAQETNHSQVPMLCSTGCGFYGNPRTNGMCSVCYKEHLQRQNSSNGRISPPATSVSSLSESLPVQCTDGSVPEAQSALDSTSSSMQPSPVSNQSLLSESVASSQLDSTSVDKAVPETEDVQASVSDTAQQPSEEQSKSLEKPKQKKNRCFMCRKKVGLTGFECRCGNVYCGVHRYSDVHNCSYNYKADAAEKIRKENPVVVGEKIQKI
+>DECOY_sp|Q6FIF0|ZFAN6_HUMAN AN1-type zinc finger protein 6 OS=Homo sapiens OX=9606 GN=ZFAND6 PE=1 SV=2
+IKQIKEGVVVPNEKRIKEAADAKYNYSCNHVDSYRHVGCYVNGCRCEFGTLGVKKRCMFCRNKKQKPKELSKSQEESPQQATDSVSAQVDETEPVAKDVSTSDLQSSAVSESLLSQNSVPSPQMSSSTSDLASQAEPVSGDTCQVPLSESLSSVSTAPPSIRGNSSNQRQLHEKYCVSCMGNTRPNGYFGCGTSCLMPVQSHNTEQAM
+>sp|Q86UP3|ZFHX4_HUMAN Zinc finger homeobox protein 4 OS=Homo sapiens OX=9606 GN=ZFHX4 PE=1 SV=1
+METCDSPPISRQENGQSTSKLCGTTQLDNEVPEKVAGMEPDRENSSTDDNLKTDERKSEALLGFSVENAAATQVTSAKEIPCNECATSFPSLQKYMEHHCPNARLPVLKDDNESEISELEDSDVENLTGEIVYQPDGSAYIIEDSKESGQNAQTGANSKLFSTAMFLDSLASAGEKSDQSASAPMSFYPQIINTFHIASSLGKPFTADQAFPNTSALAGVGPVLHSFRVYDLRHKREKDYLTSDGSAKNSCVSKDVPNNVDLSKFDGCVSDGKRKPVLMCFLCKLSFGYIRSFVTHAVHDHRMTLNDEEQKLLSNKCVSAIIQGIGKDKEPLISFLEPKKSTSVYPHFSTTNLIGPDPTFRGLWSAFHVENGDSLPAGFAFLKGSASTSSSAEQPLGITQMPKAEVNLGGLSSLVVNTPITSVSLSHSSSESSKMSESKDQENNCERPKESNVLHPNGECPVKSEPTEPGDEDEEDAYSNELDDEEVLGELTDSIGNKDFPLLNQSISPLSSSVLKFIEKGTSSSSATVSDDTEKKKQTAAVRASGSVASNYGISGKDFADASASKDSATAAHPSEIARGDEDSSATPHQHGFTPSTPGTPGPGGDGSPGSGIECPKCDTVLGSSRSLGGHMTMMHSRNSCKTLKCPKCNWHYKYQQTLEAHMKEKHPEPGGSCVYCKTGQPHPRLARGESYTCGYKPFRCEVCNYSTTTKGNLSIHMQSDKHLNNVQNLQNGNGEQVFGHSAPAPNTSLSGCGTPSPSKPKQKPTWRCEVCDYETNVARNLRIHMTSEKHMHNMMLLQQNMKQIQHNLHLGLAPAEAELYQYYLAQNIGLTGMKLENPADPQLMINPFQLDPATAAALAPGLGELSPYISDPALKLFQCAVCNKFTSDSLEALSVHVSSERSLPEEEWRAVIGDIYQCKLCNYNTQLKANFQLHCKTDKHMQKYQLVAHIKEGGKSNEWRLKCIAIGNPVHLKCNACDYYTNSVDKLRLHTTNHRHEAALKLYKHLQKQEGAVNPESCYYYCAVCDYTTKVKLNLVQHVRSVKHQQTEGLRKLQLHQQGLAPEEDNLSEIFFVKDCPPNELETASLGARTCDDDLTEQHEEAEGAIKPTAVAEDDEKDTSERDNSEGKNSNKDSVSVAGGTQPLLLAKEEDVATKRSKPTEDNKFCHEQFYQCPYCNYNSRDQSRIQMHVLSQHSVQPVICCPLCQDVLSNKMHLQLHLTHLHSVSPDCVEKLLMTVPVPDVMMPNSMLLPAAASEKSERDTPAAVTAEGSGKYSGESPMDDKSMAGLEDSKANVEVKNEEQKPTKEPLEVSEWNKNSSKDVKIPDTLQDQLNEQQKRQPLSVSDRHVYKYRCNHCSLAFKTMQKLQIHSQYHAIRAATMCNLCQRSFRTFQALKKHLEAGHPELSEAELQQLYASLPVNGELWAESETMSQDDHGLEQEMEREYEVDHEGKASPVGSDSSSIPDDMGSEPKRTLPFRKGPNFTMEKFLDPSRPYKCTVCKESFTQKNILLVHYNSVSHLHKLKKVLQEASSPVPQETNSNTDNKPYKCSICNVAYSQSSTLEIHMRSVLHQTKARAAKLEPSGHVAGGHSIAANVNSPGQGMLDSMSLAAVNSKDTHLDAKELNKKQTPDLISAQPAHHPPQSPAQIQMQLQHELQQQAAFFQPQFLNPAFLPHFPMTPEALLQFQQPQFLFPFYIPGTEFSLGPDLGLPGSATFGMPGMTGMAGSLLEDLKQQIQTQHHVGQTQLQILQQQAQQYQATQPQLQPQKQQQQPPPPQQQQQQQASKLLKQEQSNIVSADCQIMKDVPSYKEAEDISEKPEKPKQEFISEGEGLKEGKDTKKQKSLEPSIPPPRIASGARGNAAKALLENFGFELVIQYNENRQKVQKKGKSGEGENTDKLECGTCGKLFSNVLILKSHQEHVHGQFFPYAALEKFARQYREAYDKLYPISPSSPETPPPPPPPPPLPPAPPQPSSMGPVKIPNTVSTPLQAPPPTPPPPPPPPPPPPPPPPPPPPSAPPQVQLPVSLDLPLFPSIMMQPVQHPALPPQLALQLPQMDALSADLTQLCQQQLGLDPNFLRHSQFKRPRTRITDDQLKILRAYFDINNSPSEEQIQEMAEKSGLSQKVIKHWFRNTLFKERQRNKDSPYNFSNPPITVLEDIRIDPQPTSLEHYKSDASFSKRSSRTRFTDYQLRVLQDFFDTNAYPKDDEIEQLSTVLNLPTRVIVVWFQNARQKARKSYENQAETKDNEKRELTNERYIRTSNMQYQCKKCNVVFPRIFDLITHQKKQCYKDEDDDAQDESQTEDSMDATDQVVYKHCTVSGQTDAAKNAAAPAASSGSGTSTPLIPSPKPEPEKTSPKPEYPAEKPKQSDPSPPSQGTKPALPLASTSSDPPQASTAQPQPQPQPPKQPQLIGRPPSASQTPVPSSPLQISMTSLQNSLPPQLLQYQCDQCTVAFPTLELWQEHQHMHFLAAQNQFLHSPFLERPMDMPYMIFDPNNPLMTGQLLGSSLTQMPPQASSSHTTAPTTVAASLKRKLDDKEDNNCSEKEGGNSGEDQHRDKRLRTTITPEQLEILYEKYLLDSNPTRKMLDHIAREVGLKKRVVQVWFQNTRARERKGQFRAVGPAQSHKRCPFCRALFKAKSALESHIRSRHWNEGKQAGYSLPPSPLISTEDGGESPQKYIYFDYPSLPLTKIDLSSENELASTVSTPVSKTAELSPKNLLSPSSFKAECSEDVENLNAPPAEAGYDQNKTDFDETSSINTAISDATTGDEGNTEMESTTGSSGDVKPALSPKEPKTLDTLPKPATTPTTEVCDDKFLFSLTSPSIHFNDKDGDHDQSFYITDDPDDNADRSETSSIADPSSPNPFGSSNPFKSKSNDRPGHKRFRTQMSNLQLKVLKACFSDYRTPTMQECEMLGNEIGLPKRVVQVWFQNARAKEKKFKINIGKPFMINQGGTEGTKPECTLCGVKYSARLSIRDHIFSKQHISKVRETVGSQLDREKDYLAPTTVRQLMAQQELDRIKKASDVLGLTVQQPGMMDSSSLHGISLPTAYPGLPGLPPVLLPGMNGPSSLPGFPQNSNISAGMLGFPTSATSSPALSLSSAPTKPLLQTPPPPPPPPPPPPSSSLSGQQTEQQNKESEKKQTKPNKVKKIKEEELEATKPEKHPKKEEKISSALSVLGKVVGETHVDPIQLQALQNAIAGDPASFIGGQFLPYFIPGFASYFTPQLPGTVQGGYFPPVCGMESLFPYGPTMPQTLAGLSPGALLQQYQQYQQNLQESLQKQQKQQQEQQQKPVQAKTSKVESDQPQNSNDASETKEDKSTATESTKEEPQLESKSADFSDTYVVPFVKYEFICRKCQMMFTDEDAAVNHQKSFCYFGQPLIDPQETVLRVPVSKYQCLACDVAISGNEALSQHLQSSLHKEKTIKQAMRNAKEHVRLLPHSVCSPNPNTTSTSQSAASSNNTYPHLSCFSMKSWPNILFQASARRAASPPSSPPSLSLPSTVTSSLCSTSGVQTSLPTESCSDESDSELSQKLEDLDNSLEVKAKPASGLDGNFNSIRMDMFSV
+>DECOY_sp|Q86UP3|ZFHX4_HUMAN Zinc finger homeobox protein 4 OS=Homo sapiens OX=9606 GN=ZFHX4 PE=1 SV=1
+VSFMDMRISNFNGDLGSAPKAKVELSNDLDELKQSLESDSEDSCSETPLSTQVGSTSCLSSTVTSPLSLSPPSSPPSAARRASAQFLINPWSKMSFCSLHPYTNNSSAASQSTSTTNPNPSCVSHPLLRVHEKANRMAQKITKEKHLSSQLHQSLAENGSIAVDCALCQYKSVPVRLVTEQPDILPQGFYCFSKQHNVAADEDTFMMQCKRCIFEYKVFPVVYTDSFDASKSELQPEEKTSETATSKDEKTESADNSNQPQDSEVKSTKAQVPKQQQEQQQKQQKQLSEQLNQQYQQYQQLLAGPSLGALTQPMTPGYPFLSEMGCVPPFYGGQVTGPLQPTFYSAFGPIFYPLFQGGIFSAPDGAIANQLAQLQIPDVHTEGVVKGLVSLASSIKEEKKPHKEPKTAELEEEKIKKVKNPKTQKKESEKNQQETQQGSLSSSPPPPPPPPPPPPTQLLPKTPASSLSLAPSSTASTPFGLMGASINSNQPFGPLSSPGNMGPLLVPPLGPLGPYATPLSIGHLSSSDMMGPQQVTLGLVDSAKKIRDLEQQAMLQRVTTPALYDKERDLQSGVTERVKSIHQKSFIHDRISLRASYKVGCLTCEPKTGETGGQNIMFPKGINIKFKKEKARANQFWVQVVRKPLGIENGLMECEQMTPTRYDSFCAKLVKLQLNSMQTRFRKHGPRDNSKSKFPNSSGFPNPSSPDAISSTESRDANDDPDDTIYFSQDHDGDKDNFHISPSTLSFLFKDDCVETTPTTAPKPLTDLTKPEKPSLAPKVDGSSGTTSEMETNGEDGTTADSIATNISSTEDFDTKNQDYGAEAPPANLNEVDESCEAKFSSPSLLNKPSLEATKSVPTSVTSALENESSLDIKTLPLSPYDFYIYKQPSEGGDETSILPSPPLSYGAQKGENWHRSRIHSELASKAKFLARCFPCRKHSQAPGVARFQGKRERARTNQFWVQVVRKKLGVERAIHDLMKRTPNSDLLYKEYLIELQEPTITTRLRKDRHQDEGSNGGEKESCNNDEKDDLKRKLSAAVTTPATTHSSSAQPPMQTLSSGLLQGTMLPNNPDFIMYPMDMPRELFPSHLFQNQAALFHMHQHEQWLELTPFAVTCQDCQYQLLQPPLSNQLSTMSIQLPSSPVPTQSASPPRGILQPQKPPQPQPQPQATSAQPPDSSTSALPLAPKTGQSPPSPDSQKPKEAPYEPKPSTKEPEPKPSPILPTSTGSGSSAAPAAANKAADTQGSVTCHKYVVQDTADMSDETQSEDQADDDEDKYCQKKQHTILDFIRPFVVNCKKCQYQMNSTRIYRENTLERKENDKTEAQNEYSKRAKQRANQFWVVIVRTPLNLVTSLQEIEDDKPYANTDFFDQLVRLQYDTFRTRSSRKSFSADSKYHELSTPQPDIRIDELVTIPPNSFNYPSDKNRQREKFLTNRFWHKIVKQSLGSKEAMEQIQEESPSNNIDFYARLIKLQDDTIRTRPRKFQSHRLFNPDLGLQQQCLQTLDASLADMQPLQLALQPPLAPHQVPQMMISPFLPLDLSVPLQVQPPASPPPPPPPPPPPPPPPPPPPPTPPPAQLPTSVTNPIKVPGMSSPQPPAPPLPPPPPPPPPTEPSSPSIPYLKDYAERYQRAFKELAAYPFFQGHVHEQHSKLILVNSFLKGCTGCELKDTNEGEGSKGKKQVKQRNENYQIVLEFGFNELLAKAANGRAGSAIRPPPISPELSKQKKTDKGEKLGEGESIFEQKPKEPKESIDEAEKYSPVDKMIQCDASVINSQEQKLLKSAQQQQQQQPPPPQQQQKQPQLQPQTAQYQQAQQQLIQLQTQGVHHQTQIQQKLDELLSGAMGTMGPMGFTASGPLGLDPGLSFETGPIYFPFLFQPQQFQLLAEPTMPFHPLFAPNLFQPQFFAAQQQLEHQLQMQIQAPSQPPHHAPQASILDPTQKKNLEKADLHTDKSNVAALSMSDLMGQGPSNVNAAISHGGAVHGSPELKAARAKTQHLVSRMHIELTSSQSYAVNCISCKYPKNDTNSNTEQPVPSSAEQLVKKLKHLHSVSNYHVLLINKQTFSEKCVTCKYPRSPDLFKEMTFNPGKRFPLTRKPESGMDDPISSSDSGVPSAKGEHDVEYEREMEQELGHDDQSMTESEAWLEGNVPLSAYLQQLEAESLEPHGAELHKKLAQFTRFSRQCLNCMTAARIAHYQSHIQLKQMTKFALSCHNCRYKYVHRDSVSLPQRKQQENLQDQLTDPIKVDKSSNKNWESVELPEKTPKQEENKVEVNAKSDELGAMSKDDMPSEGSYKGSGEATVAAPTDRESKESAAAPLLMSNPMMVDPVPVTMLLKEVCDPSVSHLHTLHLQLHMKNSLVDQCLPCCIVPQVSHQSLVHMQIRSQDRSNYNCYPCQYFQEHCFKNDETPKSRKTAVDEEKALLLPQTGGAVSVSDKNSNKGESNDRESTDKEDDEAVATPKIAGEAEEHQETLDDDCTRAGLSATELENPPCDKVFFIESLNDEEPALGQQHLQLKRLGETQQHKVSRVHQVLNLKVKTTYDCVACYYYCSEPNVAGEQKQLHKYLKLAAEHRHNTTHLRLKDVSNTYYDCANCKLHVPNGIAICKLRWENSKGGEKIHAVLQYKQMHKDTKCHLQFNAKLQTNYNCLKCQYIDGIVARWEEEPLSRESSVHVSLAELSDSTFKNCVACQFLKLAPDSIYPSLEGLGPALAAATAPDLQFPNIMLQPDAPNELKMGTLGINQALYYQYLEAEAPALGLHLNHQIQKMNQQLLMMNHMHKESTMHIRLNRAVNTEYDCVECRWTPKQKPKSPSPTGCGSLSTNPAPASHGFVQEGNGNQLNQVNNLHKDSQMHISLNGKTTTSYNCVECRFPKYGCTYSEGRALRPHPQGTKCYVCSGGPEPHKEKMHAELTQQYKYHWNCKPCKLTKCSNRSHMMTMHGGLSRSSGLVTDCKPCEIGSGPSGDGGPGPTGPTSPTFGHQHPTASSDEDGRAIESPHAATASDKSASADAFDKGSIGYNSAVSGSARVAATQKKKETDDSVTASSSSTGKEIFKLVSSSLPSISQNLLPFDKNGISDTLEGLVEEDDLENSYADEEDEDGPETPESKVPCEGNPHLVNSEKPRECNNEQDKSESMKSSESSSHSLSVSTIPTNVVLSSLGGLNVEAKPMQTIGLPQEASSSTSASGKLFAFGAPLSDGNEVHFASWLGRFTPDPGILNTTSFHPYVSTSKKPELFSILPEKDKGIGQIIASVCKNSLLKQEEDNLTMRHDHVAHTVFSRIYGFSLKCLFCMLVPKRKGDSVCGDFKSLDVNNPVDKSVCSNKASGDSTLYDKERKHRLDYVRFSHLVPGVGALASTNPFAQDATFPKGLSSAIHFTNIIQPYFSMPASASQDSKEGASALSDLFMATSFLKSNAGTQANQGSEKSDEIIYASGDPQYVIEGTLNEVDSDELESIESENDDKLVPLRANPCHHEMYKQLSPFSTACENCPIEKASTVQTAAANEVSFGLLAESKREDTKLNDDTSSNERDPEMGAVKEPVENDLQTTGCLKSTSQGNEQRSIPPSDCTEM
+>sp|Q96NJ6|ZFP3_HUMAN Zinc finger protein 3 homolog OS=Homo sapiens OX=9606 GN=ZFP3 PE=1 SV=1
+MGTENKEVIPKEEISEESEPHGSLLEKFPKVVYQGHEFGAGCEEDMLEGHSRESMEEVIEQMSPQERDFPSGLMIFKKSPSSEKDRENNESERGCSPSPNLVTHQGDTTEGVSAFATSGQNFLEILESNKTQRSSVGEKPHTCKECGKAFNQNSHLIQHMRVHSGEKPFECKECGKTFGTNSSLRRHLRIHAGEKPFACNECGKAFIQSSHLIHHHRIHTGERPYKCEECGKAFSQNSALILHQRIHTGEKPYECNECGKTFRVSSQLIQHQRIHTEERYHECNECGKAFKHSSGLIRHQKIHTGEKPYLCNECGKGFGQSSELIRHQRIHTGDKPYECNECGKTFGQNSEIIRHIRIHTGEKPYVCKECGKAFRGNSELLRHERIHTGEKPYECFECGKAFRRTSHLIVHQRIHTGEKPHQCNECARTFWDNSELLLHQKIHIGEKPYECSECEKTFSQHSQLIIHQRIHTGEKPYECQECQKTFSRSSHLLRHQSVHCME
+>DECOY_sp|Q96NJ6|ZFP3_HUMAN Zinc finger protein 3 homolog OS=Homo sapiens OX=9606 GN=ZFP3 PE=1 SV=1
+EMCHVSQHRLLHSSRSFTKQCEQCEYPKEGTHIRQHIILQSHQSFTKECESCEYPKEGIHIKQHLLLESNDWFTRACENCQHPKEGTHIRQHVILHSTRRFAKGCEFCEYPKEGTHIREHRLLESNGRFAKGCEKCVYPKEGTHIRIHRIIESNQGFTKGCENCEYPKDGTHIRQHRILESSQGFGKGCENCLYPKEGTHIKQHRILGSSHKFAKGCENCEHYREETHIRQHQILQSSVRFTKGCENCEYPKEGTHIRQHLILASNQSFAKGCEECKYPREGTHIRHHHILHSSQIFAKGCENCAFPKEGAHIRLHRRLSSNTGFTKGCEKCEFPKEGSHVRMHQILHSNQNFAKGCEKCTHPKEGVSSRQTKNSELIELFNQGSTAFASVGETTDGQHTVLNPSPSCGRESENNERDKESSPSKKFIMLGSPFDREQPSMQEIVEEMSERSHGELMDEECGAGFEHGQYVVKPFKELLSGHPESEESIEEKPIVEKNETGM
+>sp|Q9NU63|ZFP57_HUMAN Zinc finger protein 57 homolog OS=Homo sapiens OX=9606 GN=ZFP57 PE=1 SV=2
+MAAGEPRSLLFFQKPVTFEDVAVNFTQEEWDCLDASQRVLYQDVMSETFKNLTSVARIFLHKPELITKLEQEEEQWRETRVLQASQAGPPFFCYTCGKCFSRRSYLYSHQFVHNPKLTNSCSQCGKLFRSPKSLSYHRRMHLGERPFCCTLCDKTYCDASGLSRHRRVHLGYRPHSCSVCGKSFRDQSELKRHQKIHQNQEPVDGNQECTLRIPGTQAEFQTPIARSQRSIQGLLDVNHAPVARSQEPIFRTEGPMAQNQASVLKNQAPVTRTQAPITGTLCQDARSNSHPVKPSRLNVFCCPHCSLTFSKKSYLSRHQKAHLTEPPNYCFHCSKSFSSFSRLVRHQQTHWKQKSYLCPICDLSFGEKEGLMDHWRGYKGKDLCQSSHHKCRVILGQWLGFSHDVPTMAGEEWKHGGDQSPPRIHTPRRRGLREKACKGDKTKEAVSILKHK
+>DECOY_sp|Q9NU63|ZFP57_HUMAN Zinc finger protein 57 homolog OS=Homo sapiens OX=9606 GN=ZFP57 PE=1 SV=2
+KHKLISVAEKTKDGKCAKERLGRRRPTHIRPPSQDGGHKWEEGAMTPVDHSFGLWQGLIVRCKHHSSQCLDKGKYGRWHDMLGEKEGFSLDCIPCLYSKQKWHTQQHRVLRSFSSFSKSCHFCYNPPETLHAKQHRSLYSKKSFTLSCHPCCFVNLRSPKVPHSNSRADQCLTGTIPAQTRTVPAQNKLVSAQNQAMPGETRFIPEQSRAVPAHNVDLLGQISRQSRAIPTQFEAQTGPIRLTCEQNGDVPEQNQHIKQHRKLESQDRFSKGCVSCSHPRYGLHVRRHRSLGSADCYTKDCLTCCFPREGLHMRRHYSLSKPSRFLKGCQSCSNTLKPNHVFQHSYLYSRRSFCKGCTYCFFPPGAQSAQLVRTERWQEEEQELKTILEPKHLFIRAVSTLNKFTESMVDQYLVRQSADLCDWEEQTFNVAVDEFTVPKQFFLLSRPEGAAM
+>sp|Q9BQ24|ZFY21_HUMAN Zinc finger FYVE domain-containing protein 21 OS=Homo sapiens OX=9606 GN=ZFYVE21 PE=1 SV=1
+MSSEVSARRDAKKLVRSPSGLRMVPEHRAFGSPFGLEEPQWVPDKECRRCMQCDAKFDFLTRKHHCRRCGKCFCDRCCSQKVPLRRMCFVDPVRQCAECALVSLKEAEFYDKQLKVLLSGATFLVTFGNSEKPETMTCRLSNNQRYLFLDGDSHYEIEIVHISTVQILTEGFPPGGGNARATGMFLQYTVPGTEGVTQLKLTVVEDVTVGRRQAVAWLVAMHKAAKLLYESRDQ
+>DECOY_sp|Q9BQ24|ZFY21_HUMAN Zinc finger FYVE domain-containing protein 21 OS=Homo sapiens OX=9606 GN=ZFYVE21 PE=1 SV=1
+QDRSEYLLKAAKHMAVLWAVAQRRGVTVDEVVTLKLQTVGETGPVTYQLFMGTARANGGGPPFGETLIQVTSIHVIEIEYHSDGDLFLYRQNNSLRCTMTEPKESNGFTVLFTAGSLLVKLQKDYFEAEKLSVLACEACQRVPDVFCMRRLPVKQSCCRDCFCKGCRRCHHKRTLFDFKADCQMCRRCEKDPVWQPEELGFPSGFARHEPVMRLGSPSRVLKKADRRASVESSM
+>sp|Q9HBF4|ZFYV1_HUMAN Zinc finger FYVE domain-containing protein 1 OS=Homo sapiens OX=9606 GN=ZFYVE1 PE=1 SV=1
+MSAQTSPAEKGLNPGLMCQESYACSGTDEAIFECDECCSLQCLRCEEELHRQERLRNHERIRLKPGHVPYCDLCKGLSGHLPGVRQRAIVRCQTCKINLCLECQKRTHSGGNKRRHPVTVYNVSNLQESLEAEEMDEETKRKKMTEKVVSFLLVDENEEIQVTNEEDFIRKLDCKPDQHLKVVSIFGNTGDGKSHTLNHTFFYGREVFKTSPTQESCTVGVWAAYDPVHKVAVIDTEGLLGATVNLSQRTRLLLKVLAISDLVIYRTHADRLHNDLFKFLGDASEAYLKHFTKELKATTARCGLDVPLSTLGPAVIIFHETVHTQLLGSDHPSEVPEKLIQDRFRKLGRFPEAFSSIHYKGTRTYNPPTDFSGLRRALEQLLENNTTRSPRHPGVIFKALKALSDRFSGEIPDDQMAHSSFFPDEYFTCSSLCLSCGVGCKKSMNHGKEGVPHEAKSRCRYSHQYDNRVYTCKACYERGEEVSVVPKTSASTDSPWMGLAKYAWSGYVIECPNCGVVYRSRQYWFGNQDPVDTVVRTEIVHVWPGTDGFLKDNNNAAQRLLDGMNFMAQSVSELSLGPTKAVTSWLTDQIAPAYWRPNSQILSCNKCATSFKDNDTKHHCRACGEGFCDSCSSKTRPVPERGWGPAPVRVCDNCYEARNVQLAVTEAQVDDEGGTLIARKVGEAVQNTLGAVVTAIDIPLGLVKDAARPAYWVPDHEILHCHNCRKEFSIKLSKHHCRACGQGFCDECSHDRRAVPSRGWDHPVRVCFNCNKKPGDL
+>DECOY_sp|Q9HBF4|ZFYV1_HUMAN Zinc finger FYVE domain-containing protein 1 OS=Homo sapiens OX=9606 GN=ZFYVE1 PE=1 SV=1
+LDGPKKNCNFCVRVPHDWGRSPVARRDHSCEDCFGQGCARCHHKSLKISFEKRCNHCHLIEHDPVWYAPRAADKVLGLPIDIATVVAGLTNQVAEGVKRAILTGGEDDVQAETVALQVNRAEYCNDCVRVPAPGWGREPVPRTKSSCSDCFGEGCARCHHKTDNDKFSTACKNCSLIQSNPRWYAPAIQDTLWSTVAKTPGLSLESVSQAMFNMGDLLRQAANNNDKLFGDTGPWVHVIETRVVTDVPDQNGFWYQRSRYVVGCNPCEIVYGSWAYKALGMWPSDTSASTKPVVSVEEGREYCAKCTYVRNDYQHSYRCRSKAEHPVGEKGHNMSKKCGVGCSLCLSSCTFYEDPFFSSHAMQDDPIEGSFRDSLAKLAKFIVGPHRPSRTTNNELLQELARRLGSFDTPPNYTRTGKYHISSFAEPFRGLKRFRDQILKEPVESPHDSGLLQTHVTEHFIIVAPGLTSLPVDLGCRATTAKLEKTFHKLYAESADGLFKFLDNHLRDAHTRYIVLDSIALVKLLLRTRQSLNVTAGLLGETDIVAVKHVPDYAAWVGVTCSEQTPSTKFVERGYFFTHNLTHSKGDGTNGFISVVKLHQDPKCDLKRIFDEENTVQIEENEDVLLFSVVKETMKKRKTEEDMEEAELSEQLNSVNYVTVPHRRKNGGSHTRKQCELCLNIKCTQCRVIARQRVGPLHGSLGKCLDCYPVHGPKLRIREHNRLREQRHLEEECRLCQLSCCEDCEFIAEDTGSCAYSEQCMLGPNLGKEAPSTQASM
+>sp|P08048|ZFY_HUMAN Zinc finger Y-chromosomal protein OS=Homo sapiens OX=9606 GN=ZFY PE=1 SV=3
+MDEDEFELQPQEPNSFFDGIGADATHMDGDQIVVEIQEAVFVSNIVDSDITVHNFVPDDPDSVVIQDVVEDVVIEEDVQCSDILEEADVSENVIIPEQVLDSDVTEEVSLPHCTVPDDVLASDITSTSMSMPEHVLTSESMHVCDIGHVEHMVHDSVVEAEIITDPLTSDIVSEEVLVADCAPEAVIDASGISVDQQDNDKASCEDYLMISLDDAGKIEHDGSTGVTIDAESEMDPCKVDSTCPEVIKVYIFKADPGEDDLGGTVDIVESEPENDHGVELLDQNSSIRVPREKMVYMTVNDSQQEDEDLNVAEIADEVYMEVIVGEEDAAVAAAAAAVHEQQIDEDEMKTFVPIAWAAAYGNNSDGIENRNGTASALLHIDESAGLGRLAKQKPKKKRRPDSRQYQTAIIIGPDGHPLTVYPCMICGKKFKSRGFLKRHMKNHPEHLAKKKYHCTDCDYTTNKKISLHNHLESHKLTSKAEKAIECDECGKHFSHAGALFTHKMVHKEKGANKMHKCKFCEYETAEQGLLNRHLLAVHSKNFPHICVECGKGFRHPSELRKHMRIHTGEKPYQCQYCEYRSADSSNLKTHIKTKHSKEMPFKCDICLLTFSDTKEVQQHTLVHQESKTHQCLHCDHKSSNSSDLKRHVISVHTKDYPHKCEMCEKGFHRPSELKKHVAVHKGKKMHQCRHCDFKIADPFVLSRHILSVHTKDLPFRCKRCRKGFRQQNELKKHMKTHSGRKVYQCEYCEYSTTDASGFKRHVISIHTKDYPHRCEYCKKGFRRPSEKNQHIMRHHKEVGLP
+>DECOY_sp|P08048|ZFY_HUMAN Zinc finger Y-chromosomal protein OS=Homo sapiens OX=9606 GN=ZFY PE=1 SV=3
+PLGVEKHHRMIHQNKESPRRFGKKCYECRHPYDKTHISIVHRKFGSADTTSYECYECQYVKRGSHTKMHKKLENQQRFGKRCRKCRFPLDKTHVSLIHRSLVFPDAIKFDCHRCQHMKKGKHVAVHKKLESPRHFGKECMECKHPYDKTHVSIVHRKLDSSNSSKHDCHLCQHTKSEQHVLTHQQVEKTDSFTLLCIDCKFPMEKSHKTKIHTKLNSSDASRYECYQCQYPKEGTHIRMHKRLESPHRFGKGCEVCIHPFNKSHVALLHRNLLGQEATEYECFKCKHMKNAGKEKHVMKHTFLAGAHSFHKGCEDCEIAKEAKSTLKHSELHNHLSIKKNTTYDCDTCHYKKKALHEPHNKMHRKLFGRSKFKKGCIMCPYVTLPHGDPGIIIATQYQRSDPRRKKKPKQKALRGLGASEDIHLLASATGNRNEIGDSNNGYAAAWAIPVFTKMEDEDIQQEHVAAAAAAVAADEEGVIVEMYVEDAIEAVNLDEDEQQSDNVTMYVMKERPVRISSNQDLLEVGHDNEPESEVIDVTGGLDDEGPDAKFIYVKIVEPCTSDVKCPDMESEADITVGTSGDHEIKGADDLSIMLYDECSAKDNDQQDVSIGSADIVAEPACDAVLVEESVIDSTLPDTIIEAEVVSDHVMHEVHGIDCVHMSESTLVHEPMSMSTSTIDSALVDDPVTCHPLSVEETVDSDLVQEPIIVNESVDAEELIDSCQVDEEIVVDEVVDQIVVSDPDDPVFNHVTIDSDVINSVFVAEQIEVVIQDGDMHTADAGIGDFFSNPEQPQLEFEDEDM
+>sp|Q8N1W2|ZN710_HUMAN Zinc finger protein 710 OS=Homo sapiens OX=9606 GN=ZNF710 PE=1 SV=2
+MEGFMDSGTQTDAVVVLSLAQAAVLGLVSENELFGATISAEAFYPDLGPELSGAAMGEPEPPGPDVYQLACNGRALEEPAEEEVLEVEAACEKHTRRKTRPPVRLVPKVKFEKVEEEEQEVYEVSVPGDDKDAGPAEAPAEAASGGCDALVQSSAVKMIDLSAFSRKPRTLRHLPRTPRPELNVAPYDPHFPAPARDGFPEPSMALPGPEALPTECGFEPPHLAPLSDPEAPSMESPEPVKPEQGFVWQEASEFEADTAGSTVERHKKAQLDRLDINVQIDDSYLVEAGDRQKRWQCRMCEKSYTSKYNLVTHILGHNGIKPHSCPHCSKLFKQPSHLQTHLLTHQGTRPHKCQVCHKAFTQTSHLKRHMLLHSEVKPYSCHFCGRGFAYPSELKAHEVKHESGRCHVCVECGLDFSTLTQLKRHLASHQGPTLYQCLECDKSFHYRSQLQNHMLKHQNVRPFVCTECGMEFSQIHHLKQHSLTHKGVKEFKCEVCGREFTLQANMKRHMLIHTSVRPYQCHICFKTFVQKQTLKTHMIVHSPVKPFKCKVCGKSFNRMYNLLGHMHLHAGSKPFKCPYCSSKFNLKGNLSRHMKVKHGVMDIGLDSQDPMMELTGTDPSELDGQQEMEDFEENAYSYASVDSSAEASVLTEQAMKEMAYYNVL
+>DECOY_sp|Q8N1W2|ZN710_HUMAN Zinc finger protein 710 OS=Homo sapiens OX=9606 GN=ZNF710 PE=1 SV=2
+LVNYYAMEKMAQETLVSAEASSDVSAYSYANEEFDEMEQQGDLESPDTGTLEMMPDQSDLGIDMVGHKVKMHRSLNGKLNFKSSCYPCKFPKSGAHLHMHGLLNYMRNFSKGCVKCKFPKVPSHVIMHTKLTQKQVFTKFCIHCQYPRVSTHILMHRKMNAQLTFERGCVECKFEKVGKHTLSHQKLHHIQSFEMGCETCVFPRVNQHKLMHNQLQSRYHFSKDCELCQYLTPGQHSALHRKLQTLTSFDLGCEVCVHCRGSEHKVEHAKLESPYAFGRGCFHCSYPKVESHLLMHRKLHSTQTFAKHCVQCKHPRTGQHTLLHTQLHSPQKFLKSCHPCSHPKIGNHGLIHTVLNYKSTYSKECMRCQWRKQRDGAEVLYSDDIQVNIDLRDLQAKKHREVTSGATDAEFESAEQWVFGQEPKVPEPSEMSPAEPDSLPALHPPEFGCETPLAEPGPLAMSPEPFGDRAPAPFHPDYPAVNLEPRPTRPLHRLTRPKRSFASLDIMKVASSQVLADCGGSAAEAPAEAPGADKDDGPVSVEYVEQEEEEVKEFKVKPVLRVPPRTKRRTHKECAAEVELVEEEAPEELARGNCALQYVDPGPPEPEGMAAGSLEPGLDPYFAEASITAGFLENESVLGLVAAQALSLVVVADTQTGSDMFGEM
+>sp|Q96N38|ZN714_HUMAN Zinc finger protein 714 OS=Homo sapiens OX=9606 GN=ZNF714 PE=2 SV=3
+MNVMLENYKNLVFLAGIAVSKQDPITSLEQEKEPWNMKICEMVDESPAMCSSFTRDLWPEQDIKDSFQQVILRRHGKCEHENLQLRKGSANVVECKVYKKGYELNQCLTTTQSKIFPCDKYIKVFHKIFNSNRHKTRHTGEKPFKCKKCDESFCMLLHLHQHKRIHIRENSYQCEECDKVFKRFSTLTRHKRVHTGEKPFKCEECGKAFKHSSTLTTHKMIHTGEKPYRCEECGKAFYHSSHLTTHKVIHTGEKPFKCEECGKAFNHPSALTTHKFIHVKEKPYKCEECDKAFNRFSYLTKHKIIHSGEKSYKCEQCGKGFNWSSTLTKHKRIHTGEKPYKCEECGKAFNVSSHLTTHKMIHTGEKPYKCEECGKAFNHSSKLTIHKIIHTGEKPYKCEECGKAFNQSSNLTKHKIIHTGEKLYKCEECGKAFNRSSNLTTHKRIHTGEKPYKCEECGKAFNRSSNLTKHNIIHTGEKSYKCEECGKAFNQSSTLTKHRKIQQGMVAHACNPNTLRGLGEQIARSGVQDQPGQHGKTPSLLKIQKFAGCGGRRL
+>DECOY_sp|Q96N38|ZN714_HUMAN Zinc finger protein 714 OS=Homo sapiens OX=9606 GN=ZNF714 PE=2 SV=3
+LRRGGCGAFKQIKLLSPTKGHQGPQDQVGSRAIQEGLGRLTNPNCAHAVMGQQIKRHKTLTSSQNFAKGCEECKYSKEGTHIINHKTLNSSRNFAKGCEECKYPKEGTHIRKHTTLNSSRNFAKGCEECKYLKEGTHIIKHKTLNSSQNFAKGCEECKYPKEGTHIIKHITLKSSHNFAKGCEECKYPKEGTHIMKHTTLHSSVNFAKGCEECKYPKEGTHIRKHKTLTSSWNFGKGCQECKYSKEGSHIIKHKTLYSFRNFAKDCEECKYPKEKVHIFKHTTLASPHNFAKGCEECKFPKEGTHIVKHTTLHSSHYFAKGCEECRYPKEGTHIMKHTTLTSSHKFAKGCEECKFPKEGTHVRKHRTLTSFRKFVKDCEECQYSNERIHIRKHQHLHLLMCFSEDCKKCKFPKEGTHRTKHRNSNFIKHFVKIYKDCPFIKSQTTTLCQNLEYGKKYVKCEVVNASGKRLQLNEHECKGHRRLIVQQFSDKIDQEPWLDRTFSSCMAPSEDVMECIKMNWPEKEQELSTIPDQKSVAIGALFVLNKYNELMVNM
+>sp|Q9BY31|ZN717_HUMAN Zinc finger protein 717 OS=Homo sapiens OX=9606 GN=ZNF717 PE=2 SV=2
+MLETYNSLVSLQELVSFEEVAVHFTWEEWQDLDDAQRTLYRDVMLETYSSLVSLGHCITKPEMIFKLEQGAEPWIVEETPNLRLSAVQIIDDLIERSHESHDRFFWQIVITNSNTSTQERVELGKTFNLNSNHVLNLIINNGNSSGMKPGQFNDCQNMLFPIKPGETQSGEKPHVCDITRRSHRHHEHLTQHHKIQTLLQTFQCNEQGKTFNTEAMFFIHKRVHIVQTFGKYNEYEKACNNSAVIVQVITQVGQPTCCRKSDFTKHQQTHTGEKPYECVECEKPSISKSDLMLQCKMPTEEKPYACNWCEKLFSYKSSLIIHQRIHTGEKPYGCNECGKTFRRKSFLTLHERTHTGDKPYKCIECGKTFHCKSLLTLHHRTHSGEKPYQCSECGKTFSQKSYLTIHHRTHTGEKPYACDHCEEAFSHKSRLTVHQRTHTGEKPYECNECGKPFINKSNLRLHQRTHTGEKPYECNECGKTFHRKSFLTIHQWTHTGEKPYECNECGKTFRCKSFLTVHQRTHAGEKPYACNECGKTYSHKSYLTVHHRTHTGEKPYECNECGKSFHCKSFLTIHQRTHAGKKPYECNECEKTFINKLNLGIHKITHTGERPYECNECGKTFRQKSNLSTHQGTHTGEKPYVCGKTFHRKSFLTIHQRTHTGKNRMDVMNVEKLFVRNHTLLYIRELTPGKSPMNVMNVENPFIRRQIFRSIKVFTRGRNPMNVANVEKPCQKSVLTVHHRTHTGEKPYECNECGKTFCHKSNLSTHQGTHSGEKPYECDECRKTFYDKTVLTIHQRTHTGEKPFECKECRKTFSQKSKLFVHHRTHTGEKPFRCNECRKTFSQKSGLSIHQRTHTGEKPYECKECGKTFCQKSHLSRHQQTHIGEKSDVAEAGYVFPQNHSFFP
+>DECOY_sp|Q9BY31|ZN717_HUMAN Zinc finger protein 717 OS=Homo sapiens OX=9606 GN=ZNF717 PE=2 SV=2
+PFFSHNQPFVYGAEAVDSKEGIHTQQHRSLHSKQCFTKGCEKCEYPKEGTHTRQHISLGSKQSFTKRCENCRFPKEGTHTRHHVFLKSKQSFTKRCEKCEFPKEGTHTRQHITLVTKDYFTKRCEDCEYPKEGSHTGQHTSLNSKHCFTKGCENCEYPKEGTHTRHHVTLVSKQCPKEVNAVNMPNRGRTFVKISRFIQRRIFPNEVNMVNMPSKGPTLERIYLLTHNRVFLKEVNMVDMRNKGTHTRQHITLFSKRHFTKGCVYPKEGTHTGQHTSLNSKQRFTKGCENCEYPREGTHTIKHIGLNLKNIFTKECENCEYPKKGAHTRQHITLFSKCHFSKGCENCEYPKEGTHTRHHVTLYSKHSYTKGCENCAYPKEGAHTRQHVTLFSKCRFTKGCENCEYPKEGTHTWQHITLFSKRHFTKGCENCEYPKEGTHTRQHLRLNSKNIFPKGCENCEYPKEGTHTRQHVTLRSKHSFAEECHDCAYPKEGTHTRHHITLYSKQSFTKGCESCQYPKEGSHTRHHLTLLSKCHFTKGCEICKYPKDGTHTREHLTLFSKRRFTKGCENCGYPKEGTHIRQHIILSSKYSFLKECWNCAYPKEETPMKCQLMLDSKSISPKECEVCEYPKEGTHTQQHKTFDSKRCCTPQGVQTIVQVIVASNNCAKEYENYKGFTQVIHVRKHIFFMAETNFTKGQENCQFTQLLTQIKHHQTLHEHHRHSRRTIDCVHPKEGSQTEGPKIPFLMNQCDNFQGPKMGSSNGNNIILNLVHNSNLNFTKGLEVREQTSTNSNTIVIQWFFRDHSEHSREILDDIIQVASLRLNPTEEVIWPEAGQELKFIMEPKTICHGLSVLSSYTELMVDRYLTRQADDLDQWEEWTFHVAVEEFSVLEQLSVLSNYTELM
+>sp|A8MTY0|ZN724_HUMAN Zinc finger protein 724 OS=Homo sapiens OX=9606 GN=ZNF724 PE=2 SV=3
+MGPLTFMDVAIEFSVEEWQCLDTAQQNLYRNVMLENYRNLVFLGIAVSKPDLITCLEQGKEPWNMERHEMVAKPPGMCCYFAQDLRPEQSIKASLQRIILRKYEKCGHHNLQLKKGYKSVDEYKVHKGSYNGFNQCLTTTQSKIFQCDKYVKDFHKFSNSNRHKTEKNPFKCKECGKSFCVLSHLTQHKRIHTTVNSYKLEECGKAFNVSSTLSQHKRIHTGQKHYKCEECGIAFNKSSHLNTHKIIHTGEKSYKREECGKAFNISSHLTTHKIIHTGENAYKCKECGKAFNQSSTLTRHKIIHAGEKPYICEHCGRAFNQSSNLTKHKRIHTGDKPYKCEECGKAFNVSSTLTQHKRIHTGEKPYKCEECGKAFNVSSTLTQHKRIHTGEKPYKCEECGKAFNTSSHLTTHKRIHTGEKPYKCEECGKAFNQFSQLTTHKIIHTGEKPYKCKECGKAFKRSSNLTEHRIIHTGEKPYKCEECGKAFNLSSHLTTHKKIHTGEKPYKCKECGKAFNQSSTLARHKIIHAGEKPYKCEECGKAFYQYSNLTQHKIIHTGEKPYKCEECGKAFNWSSTLTKHKVIHTGEKPYKCKECGKAFNQCSNLTTHKKIHAVEKSDK
+>DECOY_sp|A8MTY0|ZN724_HUMAN Zinc finger protein 724 OS=Homo sapiens OX=9606 GN=ZNF724 PE=2 SV=3
+KDSKEVAHIKKHTTLNSCQNFAKGCEKCKYPKEGTHIVKHKTLTSSWNFAKGCEECKYPKEGTHIIKHQTLNSYQYFAKGCEECKYPKEGAHIIKHRALTSSQNFAKGCEKCKYPKEGTHIKKHTTLHSSLNFAKGCEECKYPKEGTHIIRHETLNSSRKFAKGCEKCKYPKEGTHIIKHTTLQSFQNFAKGCEECKYPKEGTHIRKHTTLHSSTNFAKGCEECKYPKEGTHIRKHQTLTSSVNFAKGCEECKYPKEGTHIRKHQTLTSSVNFAKGCEECKYPKDGTHIRKHKTLNSSQNFARGCHECIYPKEGAHIIKHRTLTSSQNFAKGCEKCKYANEGTHIIKHTTLHSSINFAKGCEERKYSKEGTHIIKHTNLHSSKNFAIGCEECKYHKQGTHIRKHQSLTSSVNFAKGCEELKYSNVTTHIRKHQTLHSLVCFSKGCEKCKFPNKETKHRNSNSFKHFDKVYKDCQFIKSQTTTLCQNFGNYSGKHVKYEDVSKYGKKLQLNHHGCKEYKRLIIRQLSAKISQEPRLDQAFYCCMGPPKAVMEHREMNWPEKGQELCTILDPKSVAIGLFVLNRYNELMVNRYLNQQATDLCQWEEVSFEIAVDMFTLPGM
+>sp|A8MUV8|ZN727_HUMAN Putative zinc finger protein 727 OS=Homo sapiens OX=9606 GN=ZNF727 PE=5 SV=3
+MRVLTFRDVAVEFSPEEWECLDSAQQRLYRDVMLENYGNLFSLGLAIFKPDLITYLEQRKEPWNARRQKTVAKHPAGSLHFTAEILLEHDINDSFQKVILRKSGSCDLNTLRLKKDYQRVGNCKGQKSSYNGIHQCLSATRSKTCQYNKCGKAFGLCSIFTEHKKIFSREKCYKCEECGKDCRLSDFTIQKRIHTADRSYKCEECGKACKKFSNLTEHNRVHTGKKPYKCEECGKTFTCSSALTKHKRNHTGDRPYKCEECHKAFRCCSDLTKHKRIHTGEKPYKCKECHKAFRCCSDLTKHKRIHTGEKPYKCNECGKAFMWISALSQHNRIHTGEKPYICEECGKAFTYSSTLISHKRIHMELRPYKCEECGKTFKWFSDLTNHKRIHTGEKPYKCEECGKSFTCSSNLIKHKRIHMEVRPYKCEECGKTFKWFPDLTNHKRIHTGEKPYKCEECGKTFTCSSSLIKHKRSHTGDRPTSAKNVAKPLGGSQTLLNIR
+>DECOY_sp|A8MUV8|ZN727_HUMAN Putative zinc finger protein 727 OS=Homo sapiens OX=9606 GN=ZNF727 PE=5 SV=3
+RINLLTQSGGLPKAVNKASTPRDGTHSRKHKILSSSCTFTKGCEECKYPKEGTHIRKHNTLDPFWKFTKGCEECKYPRVEMHIRKHKILNSSCTFSKGCEECKYPKEGTHIRKHNTLDSFWKFTKGCEECKYPRLEMHIRKHSILTSSYTFAKGCEECIYPKEGTHIRNHQSLASIWMFAKGCENCKYPKEGTHIRKHKTLDSCCRFAKHCEKCKYPKEGTHIRKHKTLDSCCRFAKHCEECKYPRDGTHNRKHKTLASSCTFTKGCEECKYPKKGTHVRNHETLNSFKKCAKGCEECKYSRDATHIRKQITFDSLRCDKGCEECKYCKERSFIKKHETFISCLGFAKGCKNYQCTKSRTASLCQHIGNYSSKQGKCNGVRQYDKKLRLTNLDCSGSKRLIVKQFSDNIDHELLIEATFHLSGAPHKAVTKQRRANWPEKRQELYTILDPKFIALGLSFLNGYNELMVDRYLRQQASDLCEWEEPSFEVAVDRFTLVRM
+>sp|A6NN14|ZN729_HUMAN Zinc finger protein 729 OS=Homo sapiens OX=9606 GN=ZNF729 PE=2 SV=4
+MPGAPGSLEMGPLTFRDVTIEFSLEEWQCLDTVQQNLYRDVMLENYRNLVFLGMAVFKPDLITCLKQGKEPWNMKRHEMVTKPPVMRSHFTQDLWPDQSTKDSFQEVILRTYARCGHKNLRLRKDCKSANEGKMHKEGYNKLNQCRTATQRKIFQCNKHMKVFHKYSNRNKVRHTKKKTFKCIKCSKSFFMLSCLIRHKRIHIRQNIYKCEERGKAFKSFSTLTKHKIIHTEDKPYKYKKCGNAFKFSSTFTKHKRIHTGETPFRCEECGKAFNQSSNLTDHKRIHTGEKTYKCEECGKAFKGSSNFNAHKVIHTAEKPYKCEDCGKTFNHFSALRKHKIIHTGKKPYKREECGKAFSQSSTLRKHEIIHTGEKPYKCEECGKAFKWSSKLTVHKVVHTGEKPYKCEECGKAFSQFSTLKKHKIIHTGKKPYKCEECGKAFNSSSTLMKHKIIHTGEKPYKCEECGKAFRQSSHLTRHKAIHTGEKPYKCEECGKAFNHFSDLRRHKIIHTGKKPYKCEECGKAFSQSSTLRNHQIIHTGEKPYKCEECGKAFKWSSKLTVHKVIHTGEKPCKCEECGKAFKHFSALRKHKVIHTREKLYKCEECGKAFNNSSILAKHKIIHTGKKPYKCEECGKAFRQSSHLTRHKAIHTGEKPYKCEECGKAFSHFSALRRHKIIHTGKKPYKCEECGKAFSHFSALRRHKIIHTGEKPYKCEECGKAFKWSSKLTVHKVIHTAEKPCKCEECGKSFKHFSALRKHKVIHTREKLYKCEECVKAFNSFSALMKHKVIHTGEKPYKCEECGKAFKWSSKLTVHKVIHTGEKPCKCEECGKAFKHFSALRKHKVIHTGKKPYKCEECGKAFSQSSSLRKHEIIHSGEKPYKCEECGKAFKWLSKLTVHKVIHTAEKPCKCEECGKAFKHFSALRKHKIIHTGKKPYKCEECGKAFNDSSTLMKHKIIHTGKKPYKCAECGKAFKQSSHLTRHKAIHTGEKPYKCEECGKDFNNSSTLKKHKLIHTREKLYKCEECVKAFNNFSALMKHKIIHTGEKPYKCEECGKAFKWSSKLTEHKVIHTGEKPCKCEECDKAFKHFSALRKHKVIHTGKKPYQCDECGKAFNNSSTLTKHKIIHTGEKPYKCEECGKAFSQSSILTKHKIIHSVEKPYKCEECGKAFNQSSHLTRHKTIHTGEKPYKCEECGKAFIQCSYLIRHKTIHTREKPTNVKKVPKLLSNPHTLLDKTIHTGEKPYKCEECAKAF
+>DECOY_sp|A6NN14|ZN729_HUMAN Zinc finger protein 729 OS=Homo sapiens OX=9606 GN=ZNF729 PE=2 SV=4
+FAKACEECKYPKEGTHITKDLLTHPNSLLKPVKKVNTPKERTHITKHRILYSCQIFAKGCEECKYPKEGTHITKHRTLHSSQNFAKGCEECKYPKEVSHIIKHKTLISSQSFAKGCEECKYPKEGTHIIKHKTLTSSNNFAKGCEDCQYPKKGTHIVKHKRLASFHKFAKDCEECKCPKEGTHIVKHETLKSSWKFAKGCEECKYPKEGTHIIKHKMLASFNNFAKVCEECKYLKERTHILKHKKLTSSNNFDKGCEECKYPKEGTHIAKHRTLHSSQKFAKGCEACKYPKKGTHIIKHKMLTSSDNFAKGCEECKYPKKGTHIIKHKRLASFHKFAKGCEECKCPKEATHIVKHVTLKSLWKFAKGCEECKYPKEGSHIIEHKRLSSSQSFAKGCEECKYPKKGTHIVKHKRLASFHKFAKGCEECKCPKEGTHIVKHVTLKSSWKFAKGCEECKYPKEGTHIVKHKMLASFSNFAKVCEECKYLKERTHIVKHKRLASFHKFSKGCEECKCPKEATHIVKHVTLKSSWKFAKGCEECKYPKEGTHIIKHRRLASFHSFAKGCEECKYPKKGTHIIKHRRLASFHSFAKGCEECKYPKEGTHIAKHRTLHSSQRFAKGCEECKYPKKGTHIIKHKALISSNNFAKGCEECKYLKERTHIVKHKRLASFHKFAKGCEECKCPKEGTHIVKHVTLKSSWKFAKGCEECKYPKEGTHIIQHNRLTSSQSFAKGCEECKYPKKGTHIIKHRRLDSFHNFAKGCEECKYPKEGTHIAKHRTLHSSQRFAKGCEECKYPKEGTHIIKHKMLTSSSNFAKGCEECKYPKKGTHIIKHKKLTSFQSFAKGCEECKYPKEGTHVVKHVTLKSSWKFAKGCEECKYPKEGTHIIEHKRLTSSQSFAKGCEERKYPKKGTHIIKHKRLASFHNFTKGCDECKYPKEATHIVKHANFNSSGKFAKGCEECKYTKEGTHIRKHDTLNSSQNFAKGCEECRFPTEGTHIRKHKTFTSSFKFANGCKKYKYPKDETHIIKHKTLTSFSKFAKGREECKYINQRIHIRKHRILCSLMFFSKSCKICKFTKKKTHRVKNRNSYKHFVKMHKNCQFIKRQTATRCQNLKNYGEKHMKGENASKCDKRLRLNKHGCRAYTRLIVEQFSDKTSQDPWLDQTFHSRMVPPKTVMEHRKMNWPEKGQKLCTILDPKFVAMGLFVLNRYNELMVDRYLNQQVTDLCQWEELSFEITVDRFTLPGMELSGPAGPM
+>sp|B4DX44|ZN736_HUMAN Zinc finger protein 736 OS=Homo sapiens OX=9606 GN=ZNF736 PE=2 SV=2
+MGVLTFRDVAVEFSPEEWECLDSAQQRLYRDVMLENYGNLVSLGLAIFKPDLMTCLEQRKEPWKVKRQEAVAKHPAGSFHFTAEILPDHDIKDSFQKVILRKYGSCDLNNLHLKKDYQSVGNCKGQKSSYNGLHQCLSATHSKTCQCNKCGRGFQLCSIFTEHKDIFSREKCHKCEECGKDCRLFSDFTRHKKIHTVERCYKCEECGKAFKKFSNLTEHKRVHTGEKPYKCEGCGKTFTCSSTLVKHKRNHTGDRPYKCEECGKAFKCFSDLTNHKRIHTGEKPYKCEECNKAYRWFSDLAKHKIIHTGDKPYTCNECGKAFKWFSALSKHKRIHTGEKPYICEECGKAFTRSSTLFNHKRIHMEERPYKCEECSKTFKCFSDLTNHKRIHTGEKPYKCEECGKASSWFSHLIRHKRIHTREKLHKC
+>DECOY_sp|B4DX44|ZN736_HUMAN Zinc finger protein 736 OS=Homo sapiens OX=9606 GN=ZNF736 PE=2 SV=2
+CKHLKERTHIRKHRILHSFWSSAKGCEECKYPKEGTHIRKHNTLDSFCKFTKSCEECKYPREEMHIRKHNFLTSSRTFAKGCEECIYPKEGTHIRKHKSLASFWKFAKGCENCTYPKDGTHIIKHKALDSFWRYAKNCEECKYPKEGTHIRKHNTLDSFCKFAKGCEECKYPRDGTHNRKHKVLTSSCTFTKGCGECKYPKEGTHVRKHETLNSFKKFAKGCEECKYCREVTHIKKHRTFDSFLRCDKGCEECKHCKERSFIDKHETFISCLQFGRGCKNCQCTKSHTASLCQHLGNYSSKQGKCNGVSQYDKKLHLNNLDCSGYKRLIVKQFSDKIDHDPLIEATFHFSGAPHKAVAEQRKVKWPEKRQELCTMLDPKFIALGLSVLNGYNELMVDRYLRQQASDLCEWEEPSFEVAVDRFTLVGM
+>sp|Q6NUN9|ZN746_HUMAN Zinc finger protein 746 OS=Homo sapiens OX=9606 GN=ZNF746 PE=1 SV=1
+MAEAVAAPISPWTMAATIQAMERKIESQAARLLSLEGRTGMAEKKLADCEKTAVEFGNQLEGKWAVLGTLLQEYGLLQRRLENVENLLRNRNFWILRLPPGSKGESPKEWGKLEDWQKELYKHVMRGNYETLVSLDYAISKPEVLSQIEQGKEPCNWRRPGPKIPDVPVDPSPGSGPPVPAPDLLMQIKQEGELQLQEQQALGVEAWAAGQPDIGEEPWGLSQLDSGAGDISTDATSGVHSNFSTTIPPTSWQTDLPPHHPSSACSDGTLKLNTAASTEDVKIVIKTEVQEEEVVATPVHPTDLEAHGTLFGPGQATRFFPSPAQEGAWESQGSSFPSQDPVLGLREPARPERDMGELSPAVAQEETPPGDWLFGGVRWGWNFRCKPPVGLNPRTGPEGLPYSSPDNGEAILDPSQAPRPFNEPCKYPGRTKGFGHKPGLKKHPAAPPGGRPFTCATCGKSFQLQVSLSAHQRSCGAPDGSGPGTGGGGSGSGGGGGGSGGGSARDGSALRCGECGRCFTRPAHLIRHRMLHTGERPFPCTECEKRFTERSKLIDHYRTHTGVRPFTCTVCGKSFIRKDHLRKHQRNHAAGAKTPARGQPLPTPPAPPDPFKSPASKGPLASTDLVTDWTCGLSVLGPTDGGDM
+>DECOY_sp|Q6NUN9|ZN746_HUMAN Zinc finger protein 746 OS=Homo sapiens OX=9606 GN=ZNF746 PE=1 SV=1
+MDGGDTPGLVSLGCTWDTVLDTSALPGKSAPSKFPDPPAPPTPLPQGRAPTKAGAAHNRQHKRLHDKRIFSKGCVTCTFPRVGTHTRYHDILKSRETFRKECETCPFPREGTHLMRHRILHAPRTFCRGCEGCRLASGDRASGGGSGGGGGGSGSGGGGTGPGSGDPAGCSRQHASLSVQLQFSKGCTACTFPRGGPPAAPHKKLGPKHGFGKTRGPYKCPENFPRPAQSPDLIAEGNDPSSYPLGEPGTRPNLGVPPKCRFNWGWRVGGFLWDGPPTEEQAVAPSLEGMDREPRAPERLGLVPDQSPFSSGQSEWAGEQAPSPFFRTAQGPGFLTGHAELDTPHVPTAVVEEEQVETKIVIKVDETSAATNLKLTGDSCASSPHHPPLDTQWSTPPITTSFNSHVGSTADTSIDGAGSDLQSLGWPEEGIDPQGAAWAEVGLAQQEQLQLEGEQKIQMLLDPAPVPPGSGPSPDVPVDPIKPGPRRWNCPEKGQEIQSLVEPKSIAYDLSVLTEYNGRMVHKYLEKQWDELKGWEKPSEGKSGPPLRLIWFNRNRLLNEVNELRRQLLGYEQLLTGLVAWKGELQNGFEVATKECDALKKEAMGTRGELSLLRAAQSEIKREMAQITAAMTWPSIPAAVAEAM
+>sp|Q6ZTB9|ZN833_HUMAN Putative zinc finger protein 833 OS=Homo sapiens OX=9606 GN=ZNF833P PE=5 SV=1
+MVMHSEDEPYKCKFCGKAFDNLHLYLTHERTHTGEKPYECNKCGKAFSCSSSIRKHARIHTGEKPYICKQCGKAFRYSSSIRNHENTHTGEKPCECKQCGKAFSYSSYFRIHERIHTGEQVYKCKECGKTFTYPSAFHKHKSTHTSQKLYECKECGKAFDCFSSFHSHEGVHTGEKPYECRTWKSLQ
+>DECOY_sp|Q6ZTB9|ZN833_HUMAN Putative zinc finger protein 833 OS=Homo sapiens OX=9606 GN=ZNF833P PE=5 SV=1
+QLSKWTRCEYPKEGTHVGEHSHFSSFCDFAKGCEKCEYLKQSTHTSKHKHFASPYTFTKGCEKCKYVQEGTHIREHIRFYSSYSFAKGCQKCECPKEGTHTNEHNRISSSYRFAKGCQKCIYPKEGTHIRAHKRISSSCSFAKGCKNCEYPKEGTHTREHTLYLHLNDFAKGCFKCKYPEDESHMVM
+>sp|Q8N446|ZN843_HUMAN Zinc finger protein 843 OS=Homo sapiens OX=9606 GN=ZNF843 PE=1 SV=1
+MRSLPFALTVESVSARAPTCCSTGRFTQGRQPCKCKACGRGFTQSASLLQHWRVHSDWRETLSLSPVRQDLLWPLQPHQAPASPLGRSHSSAGVRQGFSGQLCCWLTKEHTLAEALRLSPVPAGFWGPVEADRPPANSHRRVCPFCCCSCGDSVNEKTSLSQRVLPHPGEKTCRGGSVESVSLAPSSVAPDSTSGLRPCGSPGSFLQHLPPSTLLPRPPFLYPGPPLSLQPLVPSGLPAVPAVPLGGLEVAQVPPATQPAAQQEGAMGPRSCASAGRDSREAVQAPGYPEPARKASQHRAAGPLGEARARLQRQCRAPPPPSNPHWRGALPVFPVWKASSRRSNLARH
+>DECOY_sp|Q8N446|ZN843_HUMAN Zinc finger protein 843 OS=Homo sapiens OX=9606 GN=ZNF843 PE=1 SV=1
+HRALNSRRSSAKWVPFVPLAGRWHPNSPPPPARCQRQLRARAEGLPGAARHQSAKRAPEPYGPAQVAERSDRGASACSRPGMAGEQQAAPQTAPPVQAVELGGLPVAPVAPLGSPVLPQLSLPPGPYLFPPRPLLTSPPLHQLFSGPSGCPRLGSTSDPAVSSPALSVSEVSGGRCTKEGPHPLVRQSLSTKENVSDGCSCCCFPCVRRHSNAPPRDAEVPGWFGAPVPSLRLAEALTHEKTLWCCLQGSFGQRVGASSHSRGLPSAPAQHPQLPWLLDQRVPSLSLTERWDSHVRWHQLLSASQTFGRGCAKCKCPQRGQTFRGTSCCTPARASVSEVTLAFPLSRM
+>sp|A8MQ14|ZN850_HUMAN Zinc finger protein 850 OS=Homo sapiens OX=9606 GN=ZNF850 PE=3 SV=2
+MNMEGLVMFQDLSIDFSQEEWECLDAAQKDLYRDVMMENYSSLVSLGLSIPKPDVISLLEQGKEPWMVSRDVLGGWCRDSEFRCKTKDSCLPKEIYEVTSSQWVRMEKCHSLVGSSVRDDWECKGQFQHQDINQERYLEKAIMTYETTPTFCLQTSLTLHHRIHPGEKLYKSTECMAFKYGSELTQQQETHTGEKLYKCKECGKAFHHFSYLVKHQRIHTGEKPCACKEYGKAFISGSHLIQHQKMYTDERPHECQESVKAFRPSAHLIQHWRIHTGDKPYECKECGKSFTSGSTLNQHQQIHTGEKPYHCKQCGKSFTVGSTLIRHQQIHTGEKPYDCKECGKSFASGSALIRHQRIHTGEKPYDCKECGKSFTFHSALIRHQRIHTGEKPYDCKECGKSFTFRSGLIGHQAIHTGEKPYDCKECGKSFTAGSTLIQHQRIHTGEKPYDCKECGKSFASGSALLQHQRIHTGEKPYCCKECGKSFTFRSTRNRHQRIHTGEKPYNCKECGKSFASGSALLQHQRIHTGEKPYHCKECGKSFTFRSGLIGHQAVHTGEKPYDCKECGKSFTSRSALIQHQRIHTGEKPYHCKECGKSFTVGSTLLQHQQIHTGEKPYDCKECGKAFRLRLRLTQHQQIHTGEKPYQCQECGKAFVSVSGLTQHHRIHTGEKPYECPDCGKAFRQRTYLNQHRRIHTGEKPYECKECGKSFTFCSGLIQHQQNHTDEKPYDGKECGKSFTSHSTLIQHQQIHTGEKPYDCKECGKSFTSHSTLIQHQQIHTGEKLYDCKECGKSFTSHSTLIQHQPLHTGEKPYHCKECGKSFTLRSALIQHRPVHTGEKRYSCKECGKSFTSRSTLIEHQRIHTGEKPYHCKECGKSFAFRSAIIQHRRIHTGEKPYDCKECGKAFRRRSKLTQHQRIHTGEKPYRCHECGKAFVRFSGLTKHHSIHTGEKPYECKTCGKSFRQRTHLTLHQRIHTGDRPYECKECGKSFTCGSELIRHQRTHTGEKPYDCKECGKAFRCPSQLSQHKRIHTGEKTYQCPECGKAFFYASGLSRHQSVHTGEKPYECKTCGKAFKQLTQLTRHQRIHDLT
+>DECOY_sp|A8MQ14|ZN850_HUMAN Zinc finger protein 850 OS=Homo sapiens OX=9606 GN=ZNF850 PE=3 SV=2
+TLDHIRQHRTLQTLQKFAKGCTKCEYPKEGTHVSQHRSLGSAYFFAKGCEPCQYTKEGTHIRKHQSLQSPCRFAKGCEKCDYPKEGTHTRQHRILESGCTFSKGCEKCEYPRDGTHIRQHLTLHTRQRFSKGCTKCEYPKEGTHISHHKTLGSFRVFAKGCEHCRYPKEGTHIRQHQTLKSRRRFAKGCEKCDYPKEGTHIRRHQIIASRFAFSKGCEKCHYPKEGTHIRQHEILTSRSTFSKGCEKCSYRKEGTHVPRHQILASRLTFSKGCEKCHYPKEGTHLPQHQILTSHSTFSKGCEKCDYLKEGTHIQQHQILTSHSTFSKGCEKCDYPKEGTHIQQHQILTSHSTFSKGCEKGDYPKEDTHNQQHQILGSCFTFSKGCEKCEYPKEGTHIRRHQNLYTRQRFAKGCDPCEYPKEGTHIRHHQTLGSVSVFAKGCEQCQYPKEGTHIQQHQTLRLRLRFAKGCEKCDYPKEGTHIQQHQLLTSGVTFSKGCEKCHYPKEGTHIRQHQILASRSTFSKGCEKCDYPKEGTHVAQHGILGSRFTFSKGCEKCHYPKEGTHIRQHQLLASGSAFSKGCEKCNYPKEGTHIRQHRNRTSRFTFSKGCEKCCYPKEGTHIRQHQLLASGSAFSKGCEKCDYPKEGTHIRQHQILTSGATFSKGCEKCDYPKEGTHIAQHGILGSRFTFSKGCEKCDYPKEGTHIRQHRILASHFTFSKGCEKCDYPKEGTHIRQHRILASGSAFSKGCEKCDYPKEGTHIQQHRILTSGVTFSKGCQKCHYPKEGTHIQQHQNLTSGSTFSKGCEKCEYPKDGTHIRWHQILHASPRFAKVSEQCEHPREDTYMKQHQILHSGSIFAKGYEKCACPKEGTHIRQHKVLYSFHHFAKGCEKCKYLKEGTHTEQQQTLESGYKFAMCETSKYLKEGPHIRHHLTLSTQLCFTPTTEYTMIAKELYREQNIDQHQFQGKCEWDDRVSSGVLSHCKEMRVWQSSTVEYIEKPLCSDKTKCRFESDRCWGGLVDRSVMWPEKGQELLSIVDPKPISLGLSVLSSYNEMMVDRYLDKQAADLCEWEEQSFDISLDQFMVLGEMNM
+>sp|Q6ZMS4|ZN852_HUMAN Zinc finger protein 852 OS=Homo sapiens OX=9606 GN=ZNF852 PE=1 SV=4
+MVRPQDTVAYEDLSEDYTQKKWKGLALSQRALHWNMMLENDRSMASLGRNMMESSELTPKQEIFKGSESSNSTSGGLFGVVPGGTETGDVCEDTFKELEGQPSNEEGSRLESDFLEIIDEDKKKSTKDRYEEYKEVEEHPPLSSSPVEHEGVLKGQKSYRCDECGKAFYWSSHLIGHRRIHTGEKPYECNECGKTFRQTSQLIVHLRTHTGEKPYECSECGKAYRHSSHLIQHQRLHNGEKPYKCNECAKAFNQSSKLFDHQRTHTGEKPYECKECGAAFSRSKNLVRHQFLHTGKKPYKCNECGRAFCSNRNLIDHQRTHTGEKPYKCNECGKAFSRSKCLIRHQSLHTGEKPYKCSECGKAFNQISQLVEHERIHTGEKPFKCSECGKAFGLSKCLIRHQRLHTSEKPYKCNECGKSFNQNSYLIIHQRIHTGEKPYECNECGKVFSYNSSLMVHQRTHTGEKPYKCNSCGKAFSDSSQLTVHQRVHTGEKNLMNVLSVGKPLVSVPLLITTSELMLERSPQVWLGHLLKAWFSETDSKDL
+>DECOY_sp|Q6ZMS4|ZN852_HUMAN Zinc finger protein 852 OS=Homo sapiens OX=9606 GN=ZNF852 PE=1 SV=4
+LDKSDTESFWAKLLHGLWVQPSRELMLESTTILLPVSVLPKGVSLVNMLNKEGTHVRQHVTLQSSDSFAKGCSNCKYPKEGTHTRQHVMLSSNYSFVKGCENCEYPKEGTHIRQHIILYSNQNFSKGCENCKYPKESTHLRQHRILCKSLGFAKGCESCKFPKEGTHIREHEVLQSIQNFAKGCESCKYPKEGTHLSQHRILCKSRSFAKGCENCKYPKEGTHTRQHDILNRNSCFARGCENCKYPKKGTHLFQHRVLNKSRSFAAGCEKCEYPKEGTHTRQHDFLKSSQNFAKACENCKYPKEGNHLRQHQILHSSHRYAKGCESCEYPKEGTHTRLHVILQSTQRFTKGCENCEYPKEGTHIRRHGILHSSWYFAKGCEDCRYSKQGKLVGEHEVPSSSLPPHEEVEKYEEYRDKTSKKKDEDIIELFDSELRSGEENSPQGELEKFTDECVDGTETGGPVVGFLGGSTSNSSESGKFIEQKPTLESSEMMNRGLSAMSRDNELMMNWHLARQSLALGKWKKQTYDESLDEYAVTDQPRVM
+>sp|P0CG24|ZN883_HUMAN Zinc finger protein 883 OS=Homo sapiens OX=9606 GN=ZNF883 PE=2 SV=1
+MESEKIYMTANPYLCTECGKGYTCLASLTQHQKTHIGEKPYECKICGKSFTRNSNLVQHQRIHTGEKPYECNECGKAFSQSTNLIQHQRVHTGEKPYECNECEKTFSHRSSLRNHERIHTGEKPYPCNECGKAFSHISALTQHHRIHTGKKPYECTECGKTFSRSTHLIEHQGIHSEEKSYQCKQCRKVFCHSTSLIRHQRTHTGEKPYECNECGKAFSHTPAFIQHQRIHTGEKPYECNACGKAFNRSAHLTEHQRTHTGEKPYVCKECGKTFSRSTHLTEHLKIHSCVKPYQCNECQKLFCYRTSLIRHQRTHTGEKPYQCNECGKSFSLSSALTKHKRIHTRERPYQCTKCGDVFCHSTSLIRHQKTHFRKETLAE
+>DECOY_sp|P0CG24|ZN883_HUMAN Zinc finger protein 883 OS=Homo sapiens OX=9606 GN=ZNF883 PE=2 SV=1
+EALTEKRFHTKQHRILSTSHCFVDGCKTCQYPRERTHIRKHKTLASSLSFSKGCENCQYPKEGTHTRQHRILSTRYCFLKQCENCQYPKVCSHIKLHETLHTSRSFTKGCEKCVYPKEGTHTRQHETLHASRNFAKGCANCEYPKEGTHIRQHQIFAPTHSFAKGCENCEYPKEGTHTRQHRILSTSHCFVKRCQKCQYSKEESHIGQHEILHTSRSFTKGCETCEYPKKGTHIRHHQTLASIHSFAKGCENCPYPKEGTHIREHNRLSSRHSFTKECENCEYPKEGTHVRQHQILNTSQSFAKGCENCEYPKEGTHIRQHQVLNSNRTFSKGCIKCEYPKEGIHTKQHQTLSALCTYGKGCETCLYPNATMYIKESEM
+>sp|P17017|ZNF14_HUMAN Zinc finger protein 14 OS=Homo sapiens OX=9606 GN=ZNF14 PE=2 SV=3
+MDSVSFEDVAVNFTLEEWALLDSSQKKLYEDVMQETFKNLVCLGKKWEDQDIEDDHRNQGKNRRCHMVERLCESRRGSKCGETTSQMPNVNINKETFTGAKPHECSFCGRDFIHHSSLNRHMRSHTGQKPNEYQEYEKQPCKCKAVGKTFSYHHCFRKHERTHTGVKPYECKQCGKAFIYYQPFQRHERTHAGQKPYECKQCGKTFIYYQSFQKHAHTGKKPYECKQCGKAFICYQSFQRHKRTHTGEKPYECKQCGKAFSCPTYFRTHERTHTGEKPYKCKECGKAFSFLSSFRRHKRTHSGEKPYECKECGKAFFYSASFRAHVIIHTGARPYKCKECGKAFNSSNSCRVHERTHIGEKPYECKRCGKSFSWSISLRLHERTHTGEKPYECKQCHKTFSFSSSLREHETTHTGEKPYECKQCGKTFSFSSSLQRHERTHNAEKPYECKQCGKAFRCSSYFRIHERSHTGEKPYECKQCGKVFIRSSSFRLHERTHTGEKPYECKLCGKTFSFSSSLREHEKIHTGNKPFECKQCGKAFLRSSQIRLHERTHTGEKPYQCKQCGKAFISSSKFRMHERTHTGEKPYRCKQCGKAFRFSSSVRIHERSHTGEKPYECKQCGKAFISSSHFRLHERTHMGEKV
+>DECOY_sp|P17017|ZNF14_HUMAN Zinc finger protein 14 OS=Homo sapiens OX=9606 GN=ZNF14 PE=2 SV=3
+VKEGMHTREHLRFHSSSIFAKGCQKCEYPKEGTHSREHIRVSSSFRFAKGCQKCRYPKEGTHTREHMRFKSSSIFAKGCQKCQYPKEGTHTREHLRIQSSRLFAKGCQKCEFPKNGTHIKEHERLSSSFSFTKGCLKCEYPKEGTHTREHLRFSSSRIFVKGCQKCEYPKEGTHSREHIRFYSSCRFAKGCQKCEYPKEANHTREHRQLSSSFSFTKGCQKCEYPKEGTHTTEHERLSSSFSFTKHCQKCEYPKEGTHTREHLRLSISWSFSKGCRKCEYPKEGIHTREHVRCSNSSNFAKGCEKCKYPRAGTHIIVHARFSASYFFAKGCEKCEYPKEGSHTRKHRRFSSLFSFAKGCEKCKYPKEGTHTREHTRFYTPCSFAKGCQKCEYPKEGTHTRKHRQFSQYCIFAKGCQKCEYPKKGTHAHKQFSQYYIFTKGCQKCEYPKQGAHTREHRQFPQYYIFAKGCQKCEYPKVGTHTREHKRFCHHYSFTKGVAKCKCPQKEYEQYENPKQGTHSRMHRNLSSHHIFDRGCFSCEHPKAGTFTEKNINVNPMQSTTEGCKSGRRSECLREVMHCRRNKGQNRHDDEIDQDEWKKGLCVLNKFTEQMVDEYLKKQSSDLLAWEELTFNVAVDEFSVSDM
+>sp|P17024|ZNF20_HUMAN Zinc finger protein 20 OS=Homo sapiens OX=9606 GN=ZNF20 PE=1 SV=2
+MMFQDSVAFEDVAVSFTQEEWALLDPSQKNLYRDVMQETFKNLTSVGKTWKVQNIEDEYKNPRRNLSLMREKLCESKESHHCGESFNQIADDMLNRKTLPGITPCESSVCGEVGTGHSSLNTHIRADTGHKSSEYQEYGENPYRNKECKKAFSYLDSFQSHDKACTKEKPYDGKECTETFISHSCIQRHRVMHSGDGPYKCKFCGKAFYFLNLCLIHERIHTGVKPYKCKQCGKAFTRSTTLPVHERTHTGVNADECKECGNAFSFPSEIRRHKRSHTGEKPYECKQCGKVFISFSSIQYHKMTHTGEKPYECKQCGKAFRCGSHLQKHGRTHTGEKPYECRQCGKAFRCTSDLQRHEKTHTEDKPYGCKQCGKGFRCASQLQIHERTHSGEKPHECKECGKVFKYFSSLRIHERTHTGEKPHECKQCGKAFRYFSSLHIHERTHTGDKPYECKVCGKAFTCSSSIRYHERTHTGEKPYECKHCGKAFISNYIRYHERTHTGEKPYQCKQCGKAFIRASSCREHERTHTINR
+>DECOY_sp|P17024|ZNF20_HUMAN Zinc finger protein 20 OS=Homo sapiens OX=9606 GN=ZNF20 PE=1 SV=2
+RNITHTREHERCSSARIFAKGCQKCQYPKEGTHTREHYRIYNSIFAKGCHKCEYPKEGTHTREHYRISSSCTFAKGCVKCEYPKDGTHTREHIHLSSFYRFAKGCQKCEHPKEGTHTREHIRLSSFYKFVKGCEKCEHPKEGSHTREHIQLQSACRFGKGCQKCGYPKDETHTKEHRQLDSTCRFAKGCQRCEYPKEGTHTRGHKQLHSGCRFAKGCQKCEYPKEGTHTMKHYQISSFSIFVKGCQKCEYPKEGTHSRKHRRIESPFSFANGCEKCEDANVGTHTREHVPLTTSRTFAKGCQKCKYPKVGTHIREHILCLNLFYFAKGCFKCKYPGDGSHMVRHRQICSHSIFTETCEKGDYPKEKTCAKDHSQFSDLYSFAKKCEKNRYPNEGYEQYESSKHGTDARIHTNLSSHGTGVEGCVSSECPTIGPLTKRNLMDDAIQNFSEGCHHSEKSECLKERMLSLNRRPNKYEDEINQVKWTKGVSTLNKFTEQMVDRYLNKQSPDLLAWEEQTFSVAVDEFAVSDQFMM
+>sp|Q6ZN08|ZNF66_HUMAN Putative zinc finger protein 66 OS=Homo sapiens OX=9606 GN=ZNF66 PE=5 SV=3
+MGPLQFRDVAIEFSLEEWHCLDMAQRNLYRDVMLENYRNLVFLGIVVSKPDLITHLEQGKKPSTMQRHEMVANPSVLCSHFNQDLWPEQSIKDSFQKLILRRHKKCGHDNLQLKKGCESVDKCKVHKRGYNGLNQCLTTTQSKMFQCDKHGKVFHQFSNTNRHKIRHTGKNPCKFTECGKAFNRSSTFTTHKKIHTGEKPYKCIECGKAFNRSSHLTTHKIIHTGEKRYKCEDCGKAFNRSSNLTTHKKIHTGEKPYKCEECGKAFKRSSILTTHKRIHTGEKPYKCEECGKVFKYLSSLSTHKIIHTGEKPYKCEECGKAFNWSSHLTTHKRIHTGEKPYKCEECGKGFKYSSTLTKHKIIHTGEKPYKCEECGEAFKYSCSLTAHKIIHTGKKPYKCEECGKVFKHSSPLSKHKRIHTGEKPYKCEECGKAFSRSSILTTHKIIHTGEKPYECEDCGKAFNRSSNLTKHKKIHTGEKPYKCEECGKAFKCSSILTTHKRIHTADKPYKCEECGKDFKYSSTLTRHKKIHTGGKPHKCNKCGKAFISSSNLSRHEIIHMGGNPYKCENVAKP
+>DECOY_sp|Q6ZN08|ZNF66_HUMAN Putative zinc finger protein 66 OS=Homo sapiens OX=9606 GN=ZNF66 PE=5 SV=3
+PKAVNECKYPNGGMHIIEHRSLNSSSIFAKGCKNCKHPKGGTHIKKHRTLTSSYKFDKGCEECKYPKDATHIRKHTTLISSCKFAKGCEECKYPKEGTHIKKHKTLNSSRNFAKGCDECEYPKEGTHIIKHTTLISSRSFAKGCEECKYPKEGTHIRKHKSLPSSHKFVKGCEECKYPKKGTHIIKHATLSCSYKFAEGCEECKYPKEGTHIIKHKTLTSSYKFGKGCEECKYPKEGTHIRKHTTLHSSWNFAKGCEECKYPKEGTHIIKHTSLSSLYKFVKGCEECKYPKEGTHIRKHTTLISSRKFAKGCEECKYPKEGTHIKKHTTLNSSRNFAKGCDECKYRKEGTHIIKHTTLHSSRNFAKGCEICKYPKEGTHIKKHTTFTSSRNFAKGCETFKCPNKGTHRIKHRNTNSFQHFVKGHKDCQFMKSQTTTLCQNLGNYGRKHVKCKDVSECGKKLQLNDHGCKKHRRLILKQFSDKISQEPWLDQNFHSCLVSPNAVMEHRQMTSPKKGQELHTILDPKSVVIGLFVLNRYNELMVDRYLNRQAMDLCHWEELSFEIAVDRFQLPGM
+>sp|Q9UC06|ZNF70_HUMAN Zinc finger protein 70 OS=Homo sapiens OX=9606 GN=ZNF70 PE=2 SV=2
+MEVPPATKFGETFAFENRLESQQGLFPGEDLGDPFLQERGLEQMAVIYKEIPLGEQDEENDDYEGNFSLCSSPVQHQSIPPGTRPQDDELFGQTFLQKSDLSMCQIIHSEEPSPCDCAETDRGDSGPNAPHRTPQPAKPYACRECGKAFSQSSHLLRHLVIHTGEKPYECCECGKAFSQSSHLLRHQIIHTGEKPYECRECGKAFRQSSALTQHQKIHTGKRPYECRECGKDFSRSSSLRKHERIHTGERPYQCKECGKSFNQSSGLSQHRKIHTLKKPHECDLCGKAFCHRSHLIRHQRIHTGKKPYKCDECGKAFSQSSNLIEHRKTHTGEKPYKCQKCGKAFSQSSSLIEHQRIHTGEKPYECCQCGKAFCHSSALIQHQRIHTGKKPYTCECGKAFRHRSALIEHYKTHTREKPYVCNLCGKSFRGSSHLIRHQKIHSGEKL
+>DECOY_sp|Q9UC06|ZNF70_HUMAN Zinc finger protein 70 OS=Homo sapiens OX=9606 GN=ZNF70 PE=2 SV=2
+LKEGSHIKQHRILHSSGRFSKGCLNCVYPKERTHTKYHEILASRHRFAKGCECTYPKKGTHIRQHQILASSHCFAKGCQCCEYPKEGTHIRQHEILSSSQSFAKGCKQCKYPKEGTHTKRHEILNSSQSFAKGCEDCKYPKKGTHIRQHRILHSRHCFAKGCLDCEHPKKLTHIKRHQSLGSSQNFSKGCEKCQYPREGTHIREHKRLSSSRSFDKGCERCEYPRKGTHIKQHQTLASSQRFAKGCERCEYPKEGTHIIQHRLLHSSQSFAKGCECCEYPKEGTHIVLHRLLHSSQSFAKGCERCAYPKAPQPTRHPANPGSDGRDTEACDCPSPEESHIIQCMSLDSKQLFTQGFLEDDQPRTGPPISQHQVPSSCLSFNGEYDDNEEDQEGLPIEKYIVAMQELGREQLFPDGLDEGPFLGQQSELRNEFAFTEGFKTAPPVEM
+>sp|Q15937|ZNF79_HUMAN Zinc finger protein 79 OS=Homo sapiens OX=9606 GN=ZNF79 PE=1 SV=2
+MLEEGVLPSPGPALPQEENTGEEGMAAGLLTAGPRGSTFFSSVTVAFAQERWRCLVSTPRDRFKEGIPGKSRSLVLLGLPVSQPGMNSQLEQREGAWMLEGEDLRSPSPGWKIISGSPPEQALSEASFQDPCVEMPPGDSDHGTSDLEKSFNLRPVLSPQQRVPVEARPRKCETHTESFKNSEILKPHRAKPYACNECGKAFSYCSSLSQHQKSHTGEKPYECSECGKAFSQSSSLIQHQRIHTGEKPYKCSECGRAFSQNANLTKHQRTHTGEKPYRCSECEKAFSDCSALVQHQRIHTGEKPYECSDCGKAFRHSANLTNHQRTHTGEKPYKCSECGKAFSYCAAFIQHQRIHTGEKPYRCAACGKAFSQSANLTNHQRTHTGEKPYKCSECGKAFSQSTNLIIHQKTHTGEKPYKCNECGKFFSESSALIRHHIIHTGEKPYECNECGKAFNQSSSLSQHQRIHTGVKPYECSECGKAFRCSSAFVRHQRLHAGE
+>DECOY_sp|Q15937|ZNF79_HUMAN Zinc finger protein 79 OS=Homo sapiens OX=9606 GN=ZNF79 PE=1 SV=2
+EGAHLRQHRVFASSCRFAKGCESCEYPKVGTHIRQHQSLSSSQNFAKGCENCEYPKEGTHIIHHRILASSESFFKGCENCKYPKEGTHTKQHIILNTSQSFAKGCESCKYPKEGTHTRQHNTLNASQSFAKGCAACRYPKEGTHIRQHQIFAACYSFAKGCESCKYPKEGTHTRQHNTLNASHRFAKGCDSCEYPKEGTHIRQHQVLASCDSFAKECESCRYPKEGTHTRQHKTLNANQSFARGCESCKYPKEGTHIRQHQILSSSQSFAKGCESCEYPKEGTHSKQHQSLSSCYSFAKGCENCAYPKARHPKLIESNKFSETHTECKRPRAEVPVRQQPSLVPRLNFSKELDSTGHDSDGPPMEVCPDQFSAESLAQEPPSGSIIKWGPSPSRLDEGELMWAGERQELQSNMGPQSVPLGLLVLSRSKGPIGEKFRDRPTSVLCRWREQAFAVTVSSFFTSGRPGATLLGAAMGEEGTNEEQPLAPGPSPLVGEELM
+>sp|P17098|ZNF8_HUMAN Zinc finger protein 8 OS=Homo sapiens OX=9606 GN=ZNF8 PE=1 SV=2
+MDPEDEGVAGVMSVGPPAARLQEPVTFRDVAVDFTQEEWGQLDPTQRILYRDVMLETFGHLLSIGPELPKPEVISQLEQGTELWVAERGTTQGCHPAWEPRSESQASRKEEGLPEEEPSHVTGREGFPTDAPYPTTLGKDRECQSQSLALKEQNNLKQLEFGLKEAPVQDQGYKTLRLRENCVLSSSPNPFPEISRGEYLYTYDSQITDSEHNSSLVSQQTGSPGKQPGENSDCHRDSSQAIPITELTKSQVQDKPYKCTDCGKSFNHNAHLTVHKRIHTGERPYMCKECGKAFSQNSSLVQHERIHTGDKPYKCAECGKSFCHSTHLTVHRRIHTGEKPYECQDCGRAFNQNSSLGRHKRTHTGEKPYTCSVCGKSFSRTTCLFLHLRTHTEERPYECNHCGKGFRHSSSLAQHQRKHAGEKPFECRQRLIFEQTPALTKHEWTEALGCDPPLSQDERTHRSDRPFKCNQCGKCFIQSSHLIRHQITHTREEQPHGRSRRREQSSSRNSHLVQHQHPNSRKSSAGGAKAGQPESRALALFDIQKIMQEKNPVHVIGVEEPSVGASMLFDIREST
+>DECOY_sp|P17098|ZNF8_HUMAN Zinc finger protein 8 OS=Homo sapiens OX=9606 GN=ZNF8 PE=1 SV=2
+TSERIDFLMSAGVSPEEVGIVHVPNKEQMIKQIDFLALARSEPQGAKAGGASSKRSNPHQHQVLHSNRSSSQERRRSRGHPQEERTHTIQHRILHSSQIFCKGCQNCKFPRDSRHTREDQSLPPDCGLAETWEHKTLAPTQEFILRQRCEFPKEGAHKRQHQALSSSHRFGKGCHNCEYPREETHTRLHLFLCTTRSFSKGCVSCTYPKEGTHTRKHRGLSSNQNFARGCDQCEYPKEGTHIRRHVTLHTSHCFSKGCEACKYPKDGTHIREHQVLSSNQSFAKGCEKCMYPREGTHIRKHVTLHANHNFSKGCDTCKYPKDQVQSKTLETIPIAQSSDRHCDSNEGPQKGPSGTQQSVLSSNHESDTIQSDYTYLYEGRSIEPFPNPSSSLVCNERLRLTKYGQDQVPAEKLGFELQKLNNQEKLALSQSQCERDKGLTTPYPADTPFGERGTVHSPEEEPLGEEKRSAQSESRPEWAPHCGQTTGREAVWLETGQELQSIVEPKPLEPGISLLHGFTELMVDRYLIRQTPDLQGWEEQTFDVAVDRFTVPEQLRAAPPGVSMVGAVGEDEPDM
+>sp|Q03936|ZNF92_HUMAN Zinc finger protein 92 OS=Homo sapiens OX=9606 GN=ZNF92 PE=2 SV=2
+MGPLTFRDVKIEFSLEEWQCLDTAQRNLYRDVMLENYRNLVFLGIAVSKPDLITWLEQGKEPWNLKRHEMVDKTPVMCSHFAQDVWPEHSIKDSFQKVILRTYGKYGHENLQLRKDHKSVDACKVYKGGYNGLNQCLTTTDSKIFQCDKYVKVFHKFPNVNRNKIRHTGKKPFKCKNRGKSFCMLSQLTQHKKIHTREYSYKCEECGKAFNWSSTLTKHKIIHTGEKPYKCEECGKAFNRSSNLTKHKIIHTGEKPYKCEECGKAFNRSSTLTKHKRIHTEEKPYKCEECGKAFNQFSILNKHKRIHMEDKPYKCEECGKAFRVFSILKKHKIIHTGEKPYKCEECGKAFNQFSNLTKHKIIHTGEKPYKCDECGKAFNQSSTLTKHKRIHTGEKPYKCEECGKAFKQSSTLTEHKIIHTGEKPYKCEKCGKAFSWSSAFTKHKRNHMEDKPYKCEECGKAFSVFSTLTKHKIIHTREKPYKCEECGKAFNQSSIFTKHKIIHTEGKSYKCEKCGNAFNQSSNLTARKIIYTGEKPYKYEECDKAFNKFSTLITHQIIYTGEKPCKHECGRAFNKSSNYTKEKLQT
+>DECOY_sp|Q03936|ZNF92_HUMAN Zinc finger protein 92 OS=Homo sapiens OX=9606 GN=ZNF92 PE=2 SV=2
+TQLKEKTYNSSKNFARGCEHKCPKEGTYIIQHTILTSFKNFAKDCEEYKYPKEGTYIIKRATLNSSQNFANGCKECKYSKGETHIIKHKTFISSQNFAKGCEECKYPKERTHIIKHKTLTSFVSFAKGCEECKYPKDEMHNRKHKTFASSWSFAKGCKECKYPKEGTHIIKHETLTSSQKFAKGCEECKYPKEGTHIRKHKTLTSSQNFAKGCEDCKYPKEGTHIIKHKTLNSFQNFAKGCEECKYPKEGTHIIKHKKLISFVRFAKGCEECKYPKDEMHIRKHKNLISFQNFAKGCEECKYPKEETHIRKHKTLTSSRNFAKGCEECKYPKEGTHIIKHKTLNSSRNFAKGCEECKYPKEGTHIIKHKTLTSSWNFAKGCEECKYSYERTHIKKHQTLQSLMCFSKGRNKCKFPKKGTHRIKNRNVNPFKHFVKVYKDCQFIKSDTTTLCQNLGNYGGKYVKCADVSKHDKRLQLNEHGYKGYTRLIVKQFSDKISHEPWVDQAFHSCMVPTKDVMEHRKLNWPEKGQELWTILDPKSVAIGLFVLNRYNELMVDRYLNRQATDLCQWEELSFEIKVDRFTLPGM
+>sp|P35789|ZNF93_HUMAN Zinc finger protein 93 OS=Homo sapiens OX=9606 GN=ZNF93 PE=2 SV=4
+MGPLQFRDVAIEFSLEEWHCLDTAQRNLYRNVMLENYSNLVFLGIVVSKPDLIAHLEQGKKPLTMKRHEMVANPSVICSHFAQDLWPEQNIKDSFQKVILRRYEKRGHGNLQLIKRCESVDECKVHTGGYNGLNQCSTTTQSKVFQCDKYGKVFHKFSNSNRHNIRHTEKKPFKCIECGKAFNQFSTLITHKKIHTGEKPYICEECGKAFKYSSALNTHKRIHTGEKPYKCDKCDKAFIASSTLSKHEIIHTGKKPYKCEECGKAFNQSSTLTKHKKIHTGEKPYKCEECGKAFNQSSTLTKHKKIHTGEKPYVCEECGKAFKYSRILTTHKRIHTGEKPYKCNKCGKAFIASSTLSRHEFIHMGKKHYKCEECGKAFIWSSVLTRHKRVHTGEKPYKCEECGKAFKYSSTLSSHKRSHTGEKPYKCEECGKAFVASSTLSKHEIIHTGKKPYKCEECGKAFNQSSSLTKHKKIHTGEKPYKCEECGKAFNQSSSLTKHKKIHTGEKPYKCEECGKAFNQSSTLIKHKKIHTREKPYKCEECGKAFHLSTHLTTHKILHTGEKPYRCRECGKAFNHSATLSSHKKIHSGEKPYECDKCGKAFISPSSLSRHEIIHTGEKP
+>DECOY_sp|P35789|ZNF93_HUMAN Zinc finger protein 93 OS=Homo sapiens OX=9606 GN=ZNF93 PE=2 SV=4
+PKEGTHIIEHRSLSSPSIFAKGCKDCEYPKEGSHIKKHSSLTASHNFAKGCERCRYPKEGTHLIKHTTLHTSLHFAKGCEECKYPKERTHIKKHKILTSSQNFAKGCEECKYPKEGTHIKKHKTLSSSQNFAKGCEECKYPKEGTHIKKHKTLSSSQNFAKGCEECKYPKKGTHIIEHKSLTSSAVFAKGCEECKYPKEGTHSRKHSSLTSSYKFAKGCEECKYPKEGTHVRKHRTLVSSWIFAKGCEECKYHKKGMHIFEHRSLTSSAIFAKGCKNCKYPKEGTHIRKHTTLIRSYKFAKGCEECVYPKEGTHIKKHKTLTSSQNFAKGCEECKYPKEGTHIKKHKTLTSSQNFAKGCEECKYPKKGTHIIEHKSLTSSAIFAKDCKDCKYPKEGTHIRKHTNLASSYKFAKGCEECIYPKEGTHIKKHTILTSFQNFAKGCEICKFPKKETHRINHRNSNSFKHFVKGYKDCQFVKSQTTTSCQNLGNYGGTHVKCEDVSECRKILQLNGHGRKEYRRLIVKQFSDKINQEPWLDQAFHSCIVSPNAVMEHRKMTLPKKGQELHAILDPKSVVIGLFVLNSYNELMVNRYLNRQATDLCHWEELSFEIAVDRFQLPGM
+>sp|Q15649|ZNHI3_HUMAN Zinc finger HIT domain-containing protein 3 OS=Homo sapiens OX=9606 GN=ZNHIT3 PE=1 SV=2
+MASLKCSTVVCVICLEKPKYRCPACRVPYCSVVCFRKHKEQCNPETRPVEKKIRSALPTKTVKPVENKDDDDSIADFLNSDEEEDRVSLQNLKNLGESATLRSLLLNPHLRQLMVNLDQGEDKAKLMRAYMQEPLFVEFADCCLGIVEPSQNEES
+>DECOY_sp|Q15649|ZNHI3_HUMAN Zinc finger HIT domain-containing protein 3 OS=Homo sapiens OX=9606 GN=ZNHIT3 PE=1 SV=2
+SEENQSPEVIGLCCDAFEVFLPEQMYARMLKAKDEGQDLNVMLQRLHPNLLLSRLTASEGLNKLNQLSVRDEEEDSNLFDAISDDDDKNEVPKVTKTPLASRIKKEVPRTEPNCQEKHKRFCVVSCYPVRCAPCRYKPKELCIVCVVTSCKLSAM
+>sp|Q99726|ZNT3_HUMAN Zinc transporter 3 OS=Homo sapiens OX=9606 GN=SLC30A3 PE=1 SV=2
+MEPSPAAGGLETTRLVSPRDRGGAGGSLRLKSLFTEPSEPLPEESKPVEMPFHHCHRDPLPPPGLTPERLHARRQLYAACAVCFVFMAGEVVGGYLAHSLAIMTDAAHLLADVGSMMGSLFSLWLSTRPATRTMTFGWHRSETLGALASVVSLWMVTGILLYLAFVRLLHSDYHIEGGAMLLTASIAVCANLLMAFVLHQAGPPHSHGSRGAEYAPLEEGPEEPLPLGNTSVRAAFVHVLGDLLQSFGVLAASILIYFKPQYKAADPISTFLFSICALGSTAPTLRDVLRILMEGTPRNVGFEPVRDTLLSVPGVRATHELHLWALTLTYHVASAHLAIDSTADPEAVLAEASSRLYSRFGFSSCTLQVEQYQPEMAQCLRCQEPPQA
+>DECOY_sp|Q99726|ZNT3_HUMAN Zinc transporter 3 OS=Homo sapiens OX=9606 GN=SLC30A3 PE=1 SV=2
+AQPPEQCRLCQAMEPQYQEVQLTCSSFGFRSYLRSSAEALVAEPDATSDIALHASAVHYTLTLAWLHLEHTARVGPVSLLTDRVPEFGVNRPTGEMLIRLVDRLTPATSGLACISFLFTSIPDAAKYQPKFYILISAALVGFSQLLDGLVHVFAARVSTNGLPLPEEPGEELPAYEAGRSGHSHPPGAQHLVFAMLLNACVAISATLLMAGGEIHYDSHLLRVFALYLLIGTVMWLSVVSALAGLTESRHWGFTMTRTAPRTSLWLSFLSGMMSGVDALLHAADTMIALSHALYGGVVEGAMFVFCVACAAYLQRRAHLREPTLGPPPLPDRHCHHFPMEVPKSEEPLPESPETFLSKLRLSGGAGGRDRPSVLRTTELGGAAPSPEM
+>sp|O14863|ZNT4_HUMAN Zinc transporter 4 OS=Homo sapiens OX=9606 GN=SLC30A4 PE=1 SV=2
+MAGSGAWKRLKSMLRKDDAPLFLNDTSAFDFSDEAGDEGLSRFNKLRVVVADDGSEAPERPVNGAHPTLQADDDSLLDQDLPLTNSQLSLKVDSCDNCSKQREILKQRKVKARLTIAAVLYLLFMIGELVGGYIANSLAIMTDALHMLTDLSAIILTLLALWLSSKSPTKRFTFGFHRLEVLSAMISVLLVYILMGFLLYEAVQRTIHMNYEINGDIMLITAAVGVAVNVIMGFLLNQSGHRHSHSHSLPSNSPTRGSGCERNHGQDSLAVRAAFVHALGDLVQSVGVLIAAYIIRFKPEYKIADPICTYVFSLLVAFTTFRIIWDTVVIILEGVPSHLNVDYIKEALMKIEDVYSVEDLNIWSLTSGKSTAIVHIQLIPGSSSKWEEVQSKANHLLLNTFGMYRCTIQLQSYRQEVDRTCANCQSSSP
+>DECOY_sp|O14863|ZNT4_HUMAN Zinc transporter 4 OS=Homo sapiens OX=9606 GN=SLC30A4 PE=1 SV=2
+PSSSQCNACTRDVEQRYSQLQITCRYMGFTNLLLHNAKSQVEEWKSSSGPILQIHVIATSKGSTLSWINLDEVSYVDEIKMLAEKIYDVNLHSPVGELIIVVTDWIIRFTTFAVLLSFVYTCIPDAIKYEPKFRIIYAAILVGVSQVLDGLAHVFAARVALSDQGHNRECGSGRTPSNSPLSHSHSHRHGSQNLLFGMIVNVAVGVAATILMIDGNIEYNMHITRQVAEYLLFGMLIYVLLVSIMASLVELRHFGFTFRKTPSKSSLWLALLTLIIASLDTLMHLADTMIALSNAIYGGVLEGIMFLLYLVAAITLRAKVKRQKLIERQKSCNDCSDVKLSLQSNTLPLDQDLLSDDDAQLTPHAGNVPREPAESGDDAVVVRLKNFRSLGEDGAEDSFDFASTDNLFLPADDKRLMSKLRKWAGSGAM
+>sp|Q8TAD4|ZNT5_HUMAN Zinc transporter 5 OS=Homo sapiens OX=9606 GN=SLC30A5 PE=1 SV=1
+MEEKYGGDVLAGPGGGGGLGPVDVPSARLTKYIVLLCFTKFLKAVGLFESYDLLKAVHIVQFIFILKLGTAFFMVLFQKPFSSGKTITKHQWIKIFKHAVAGCIISLLWFFGLTLCGPLRTLLLFEHSDIVVISLLSVLFTSSGGGPAKTRGAAFFIIAVICLLLFDNDDLMAKMAEHPEGHHDSALTHMLYTAIAFLGVADHKGGVLLLVLALCCKVGFHTASRKLSVDVGGAKRLQALSHLVSVLLLCPWVIVLSVTTESKVESWFSLIMPFATVIFFVMILDFYVDSICSVKMEVSKCARYGSFPIFISALLFGNFWTHPITDQLRAMNKAAHQESTEHVLSGGVVVSAIFFILSANILSSPSKRGQKGTLIGYSPEGTPLYNFMGDAFQHSSQSIPRFIKESLKQILEESDSRQIFYFLCLNLLFTFVELFYGVLTNSLGLISDGFHMLFDCSALVMGLFAALMSRWKATRIFSYGYGRIEILSGFINGLFLIVIAFFVFMESVARLIDPPELDTHMLTPVSVGGLIVNLIGICAFSHAHSHAHGASQGSCHSSDHSHSHHMHGHSDHGHGHSHGSAGGGMNANMRGVFLHVLADTLGSIGVIVSTVLIEQFGWFIADPLCSLFIAILIFLSVVPLIKDACQVLLLRLPPEYEKELHIALEKIQKIEGLISYRDPHFWRHSASIVAGTIHIQVTSDVLEQRIVQQVTGILKDAGVNNLTIQVEKEAYFQHMSGLSTGFHDVLAMTKQMESMKYCKDGTYIM
+>DECOY_sp|Q8TAD4|ZNT5_HUMAN Zinc transporter 5 OS=Homo sapiens OX=9606 GN=SLC30A5 PE=1 SV=1
+MIYTGDKCYKMSEMQKTMALVDHFGTSLGSMHQFYAEKEVQITLNNVGADKLIGTVQQVIRQELVDSTVQIHITGAVISASHRWFHPDRYSILGEIKQIKELAIHLEKEYEPPLRLLLVQCADKILPVVSLFILIAIFLSCLPDAIFWGFQEILVTSVIVGISGLTDALVHLFVGRMNANMGGGASGHSHGHGHDSHGHMHHSHSHDSSHCSGQSAGHAHSHAHSFACIGILNVILGGVSVPTLMHTDLEPPDILRAVSEMFVFFAIVILFLGNIFGSLIEIRGYGYSFIRTAKWRSMLAAFLGMVLASCDFLMHFGDSILGLSNTLVGYFLEVFTFLLNLCLFYFIQRSDSEELIQKLSEKIFRPISQSSHQFADGMFNYLPTGEPSYGILTGKQGRKSPSSLINASLIFFIASVVVGGSLVHETSEQHAAKNMARLQDTIPHTWFNGFLLASIFIPFSGYRACKSVEMKVSCISDVYFDLIMVFFIVTAFPMILSFWSEVKSETTVSLVIVWPCLLLVSVLHSLAQLRKAGGVDVSLKRSATHFGVKCCLALVLLLVGGKHDAVGLFAIATYLMHTLASDHHGEPHEAMKAMLDDNDFLLLCIVAIIFFAAGRTKAPGGGSSTFLVSLLSIVVIDSHEFLLLTRLPGCLTLGFFWLLSIICGAVAHKFIKIWQHKTITKGSSFPKQFLVMFFATGLKLIFIFQVIHVAKLLDYSEFLGVAKLFKTFCLLVIYKTLRASPVDVPGLGGGGGPGALVDGGYKEEM
+>sp|Q6PML9|ZNT9_HUMAN Zinc transporter 9 OS=Homo sapiens OX=9606 GN=SLC30A9 PE=1 SV=1
+MLPGLAAAAAHRCSWSSLCRLRLRCRAAACNPSDRQEWQNLVTFGSFSNMVPCSHPYIGTLSQVKLYSTNVQKEGQGSQTLRVEKVPSFETAEGIGTELKAPLKQEPLQVRVKAVLKKREYGSKYTQNNFITGVRAINEFCLKSSDLEQLRKIRRRSPHEDTESFTVYLRSDVEAKSLEVWGSPEALAREKKLRKEAEIEYRERLFRNQKILREYRDFLGNTKPRSRTASVFFKGPGKVVMVAICINGLNCFFKFLAWIYTGSASMFSEAIHSLSDTCNQGLLALGISKSVQTPDPSHPYGFSNMRYISSLISGVGIFMMGAGLSWYHGVMGLLHPQPIESLLWAYCILAGSLVSEGATLLVAVNELRRNARAKGMSFYKYVMESRDPSTNVILLEDTAAVLGVIIAATCMGLTSITGNPLYDSLGSLGVGTLLGMVSAFLIYTNTEALLGRSIQPEQVQRLTELLENDPSVRAIHDVKATDLGLGKVRFKAEVDFDGRVVTRSYLEKQDFDQMLQEIQEVKTPEELETFMLKHGENIIDTLGAEVDRLEKELKKRNPEVRHVDLEIL
+>DECOY_sp|Q6PML9|ZNT9_HUMAN Zinc transporter 9 OS=Homo sapiens OX=9606 GN=SLC30A9 PE=1 SV=1
+LIELDVHRVEPNRKKLEKELRDVEAGLTDIINEGHKLMFTELEEPTKVEQIEQLMQDFDQKELYSRTVVRGDFDVEAKFRVKGLGLDTAKVDHIARVSPDNELLETLRQVQEPQISRGLLAETNTYILFASVMGLLTGVGLSGLSDYLPNGTISTLGMCTAAIIVGLVAATDELLIVNTSPDRSEMVYKYFSMGKARANRRLENVAVLLTAGESVLSGALICYAWLLSEIPQPHLLGMVGHYWSLGAGMMFIGVGSILSSIYRMNSFGYPHSPDPTQVSKSIGLALLGQNCTDSLSHIAESFMSASGTYIWALFKFFCNLGNICIAVMVVKGPGKFFVSATRSRPKTNGLFDRYERLIKQNRFLRERYEIEAEKRLKKERALAEPSGWVELSKAEVDSRLYVTFSETDEHPSRRRIKRLQELDSSKLCFENIARVGTIFNNQTYKSGYERKKLVAKVRVQLPEQKLPAKLETGIGEATEFSPVKEVRLTQSGQGEKQVNTSYLKVQSLTGIYPHSCPVMNSFSGFTVLNQWEQRDSPNCAAARCRLRLRCLSSWSCRHAAAAALGPLM
+>sp|Q9UGI0|ZRAN1_HUMAN Ubiquitin thioesterase ZRANB1 OS=Homo sapiens OX=9606 GN=ZRANB1 PE=1 SV=2
+MSERGIKWACEYCTYENWPSAIKCTMCRAQRPSGTIITEDPFKSGSSDVGRDWDPSSTEGGSSPLICPDSSARPRVKSSYSMENANKWSCHMCTYLNWPRAIRCTQCLSQRRTRSPTESPQSSGSGSRPVAFSVDPCEEYNDRNKLNTRTQHWTCSVCTYENWAKAKRCVVCDHPRPNNIEAIELAETEEASSIINEQDRARWRGSCSSGNSQRRSPPATKRDSEVKMDFQRIELAGAVGSKEELEVDFKKLKQIKNRMKKTDWLFLNACVGVVEGDLAAIEAYKSSGGDIARQLTADEVRLLNRPSAFDVGYTLVHLAIRFQRQDMLAILLTEVSQQAAKCIPAMVCPELTEQIRREIAASLHQRKGDFACYFLTDLVTFTLPADIEDLPPTVQEKLFDEVLDRDVQKELEEESPIINWSLELATRLDSRLYALWNRTAGDCLLDSVLQATWGIYDKDSVLRKALHDSLHDCSHWFYTRWKDWESWYSQSFGLHFSLREEQWQEDWAFILSLASQPGASLEQTHIFVLAHILRRPIIVYGVKYYKSFRGETLGYTRFQGVYLPLLWEQSFCWKSPIALGYTRGHFSALVAMENDGYGNRGAGANLNTDDDVTITFLPLVDSERKLLHVHFLSAQELGNEEQQEKLLREWLDCCVTEGGVLVAMQKSSRRRNHPLVTQMVEKWLDRYRQIRPCTSLSDGEEDEDDEDE
+>DECOY_sp|Q9UGI0|ZRAN1_HUMAN Ubiquitin thioesterase ZRANB1 OS=Homo sapiens OX=9606 GN=ZRANB1 PE=1 SV=2
+EDEDDEDEEGDSLSTCPRIQRYRDLWKEVMQTVLPHNRRRSSKQMAVLVGGETVCCDLWERLLKEQQEENGLEQASLFHVHLLKRESDVLPLFTITVDDDTNLNAGAGRNGYGDNEMAVLASFHGRTYGLAIPSKWCFSQEWLLPLYVGQFRTYGLTEGRFSKYYKVGYVIIPRRLIHALVFIHTQELSAGPQSALSLIFAWDEQWQEERLSFHLGFSQSYWSEWDKWRTYFWHSCDHLSDHLAKRLVSDKDYIGWTAQLVSDLLCDGATRNWLAYLRSDLRTALELSWNIIPSEEELEKQVDRDLVEDFLKEQVTPPLDEIDAPLTFTVLDTLFYCAFDGKRQHLSAAIERRIQETLEPCVMAPICKAAQQSVETLLIALMDQRQFRIALHVLTYGVDFASPRNLLRVEDATLQRAIDGGSSKYAEIAALDGEVVGVCANLFLWDTKKMRNKIQKLKKFDVELEEKSGVAGALEIRQFDMKVESDRKTAPPSRRQSNGSSCSGRWRARDQENIISSAEETEALEIAEINNPRPHDCVVCRKAKAWNEYTCVSCTWHQTRTNLKNRDNYEECPDVSFAVPRSGSGSSQPSETPSRTRRQSLCQTCRIARPWNLYTCMHCSWKNANEMSYSSKVRPRASSDPCILPSSGGETSSPDWDRGVDSSGSKFPDETIITGSPRQARCMTCKIASPWNEYTCYECAWKIGRESM
+>sp|P0CG00|ZSA5D_HUMAN Putative zinc finger and SCAN domain-containing protein 5D OS=Homo sapiens OX=9606 GN=ZSCAN5DP PE=5 SV=1
+MGQCRNWKWGLESFDFSINRRSSAPGQLESASQMRESWRHKAGRRMFSCPEESDPIQALRKLTELCHLWLRPDLHTKEQILDMLVMEQFMISMPQELQVLVKVNGVQSCKDLEDLLRNNRRPKKWSVVNFLGKEYLMQESDVEMAEIPASVRDDPRGVSSQRASSVNEMRPGEGQASQELQTLPRVPALSRRQEEDFLLPETTVMKSAPKALRPKPTLEKDLNVDREENTGLTSPEPQLPNGPSVVGAKEGKEPKKRASVENVDADTPSACVVEREASTHSGSRGDALNLRCPKRSKPDATSISQEGPQGGATPVGNSESPGKPEINSVYSPGPAGAVSHPNGQEAKELLPFACGVCNKRFTCNSKLAIHMRSHTGERPFQCNFCERCFTQLSDLRVHQRIHTGEKPYTCDICHKRFNRMFSLKCHKRSHTGEKPYKCKDCNQVFTYRKNLNEHKLIHSGEKPYKCPKCLRAFRRPETLKYHQKTHQETTAPRECEG
+>DECOY_sp|P0CG00|ZSA5D_HUMAN Putative zinc finger and SCAN domain-containing protein 5D OS=Homo sapiens OX=9606 GN=ZSCAN5DP PE=5 SV=1
+GECERPATTEQHTKQHYKLTEPRRFARLCKPCKYPKEGSHILKHENLNKRYTFVQNCDKCKYPKEGTHSRKHCKLSFMRNFRKHCIDCTYPKEGTHIRQHVRLDSLQTFCRECFNCQFPREGTHSRMHIALKSNCTFRKNCVGCAFPLLEKAEQGNPHSVAGAPGPSYVSNIEPKGPSESNGVPTAGGQPGEQSISTADPKSRKPCRLNLADGRSGSHTSAEREVVCASPTDADVNEVSARKKPEKGEKAGVVSPGNPLQPEPSTLGTNEERDVNLDKELTPKPRLAKPASKMVTTEPLLFDEEQRRSLAPVRPLTQLEQSAQGEGPRMENVSSARQSSVGRPDDRVSAPIEAMEVDSEQMLYEKGLFNVVSWKKPRRNNRLLDELDKCSQVGNVKVLVQLEQPMSIMFQEMVLMDLIQEKTHLDPRLWLHCLETLKRLAQIPDSEEPCSFMRRGAKHRWSERMQSASELQGPASSRRNISFDFSELGWKWNRCQGM
+>sp|Q8IWY8|ZSC29_HUMAN Zinc finger and SCAN domain-containing protein 29 OS=Homo sapiens OX=9606 GN=ZSCAN29 PE=1 SV=2
+MMAKSALRENGTNSETFRQRFRRFHYQEVAGPREAFSQLWELCCRWLRPEVRTKEQIVELLVLEQFLTVLPGEIQNWVQEQCPENGEEAVTLVEDLEREPGRPRSSVTVSVKGQEVRLEKMTPPKSSQELLSVRQESVEPQPRGVPKKERARSPDLGPQEQMNPKEKLKPFQRSGLPFPKSGVVSRLEQGEPWIPDLLGSKEKELPSGSHIGDRRVHADLLPSKKDRRSWVEQDHWSFEDEKVAGVHWGYEETRTLLAILSQTEFYEALRNCHRNSQVYGAVAERLREYGFLRTLEQCRTKFKGLQKSYRKVKSGHPPETCPFFEEMEALMSAQVIALPSNGLEAAASHSGLVGSDAETEEPGQRGWQHEEGAEEAVAQESDSDDMDLEATPQDPNSAAPVVFRSPGGVHWGYEETKTYLAILSETQFYEALRNCHRNSQLYGAVAERLWEYGFLRTPEQCRTKFKSLQTSYRKVKNGQAPETCPFFEEMDALVSVRVAAPPNDGQEETASCPVQGTSEAEAQKQAEEADEATEEDSDDDEEDTEIPPGAVITRAPVLFQSPRGFEAGFENEDNSKRDISEEVQLHRTLLARSERKIPRYLHQGKGNESDCRSGRQWAKTSGEKRGKLTLPEKSLSEVLSQQRPCLGERPYKYLKYSKSFGPNSLLMHQVSHQVENPYKCADCGKSFSRSARLIRHRRIHTGEKPYKCLDCGKSFRDSSNFITHRRIHTGEKPYQCGECGKCFNQSSSLIIHQRTHTGEKPYQCEECGKSFNNSSHFSAHRRIHTGERPHVCPDCGKSFSKSSDLRAHHRTHTGEKPYGCHDCGKCFSKSSALNKHGEIHAREKLLTQSAPK
+>DECOY_sp|Q8IWY8|ZSC29_HUMAN Zinc finger and SCAN domain-containing protein 29 OS=Homo sapiens OX=9606 GN=ZSCAN29 PE=1 SV=2
+KPASQTLLKERAHIEGHKNLASSKSFCKGCDHCGYPKEGTHTRHHARLDSSKSFSKGCDPCVHPREGTHIRRHASFHSSNNFSKGCEECQYPKEGTHTRQHIILSSSQNFCKGCEGCQYPKEGTHIRRHTIFNSSDRFSKGCDLCKYPKEGTHIRRHRILRASRSFSKGCDACKYPNEVQHSVQHMLLSNPGFSKSYKLYKYPREGLCPRQQSLVESLSKEPLTLKGRKEGSTKAWQRGSRCDSENGKGQHLYRPIKRESRALLTRHLQVEESIDRKSNDENEFGAEFGRPSQFLVPARTIVAGPPIETDEEDDDSDEETAEDAEEAQKQAEAESTGQVPCSATEEQGDNPPAAVRVSVLADMEEFFPCTEPAQGNKVKRYSTQLSKFKTRCQEPTRLFGYEWLREAVAGYLQSNRHCNRLAEYFQTESLIALYTKTEEYGWHVGGPSRFVVPAASNPDQPTAELDMDDSDSEQAVAEEAGEEHQWGRQGPEETEADSGVLGSHSAAAELGNSPLAIVQASMLAEMEEFFPCTEPPHGSKVKRYSKQLGKFKTRCQELTRLFGYERLREAVAGYVQSNRHCNRLAEYFETQSLIALLTRTEEYGWHVGAVKEDEFSWHDQEVWSRRDKKSPLLDAHVRRDGIHSGSPLEKEKSGLLDPIWPEGQELRSVVGSKPFPLGSRQFPKLKEKPNMQEQPGLDPSRAREKKPVGRPQPEVSEQRVSLLEQSSKPPTMKELRVEQGKVSVTVSSRPRGPERELDEVLTVAEEGNEPCQEQVWNQIEGPLVTLFQELVLLEVIQEKTRVEPRLWRCCLEWLQSFAERPGAVEQYHFRRFRQRFTESNTGNERLASKAMM
+>sp|Q96LW9|ZSC31_HUMAN Zinc finger and SCAN domain-containing protein 31 OS=Homo sapiens OX=9606 GN=ZSCAN31 PE=1 SV=2
+MASTEEQYDLKIVKVEEDPIWDQETHLRGNNFSGQEASRQLFRQFCYQETPGPREALSRLRELCHQWLRPEIHTKEQILELLVLEQFLTILPEELQAWVREHHPESGEEAVAVVEDLEQELSEPGNQAPDHEHGHSEVLLEDVEHLKVKQEPTDIQLQPMVTQLRYESFCLHQFQEQDGESIPENQELASKQEILKEMEHLGDSKLQRDVSLDSKYRETCKRDSKAEKQQAHSTGERRHRCNECGKSFTKSSVLIEHQRIHTGEKPYECEECGKAFSRRSSLNEHRRSHTGEKPYQCKECGKAFSASNGLTRHRRIHTGEKPYECKVCGKAFLLSSCLVQHQRIHTGEKRYQCRECGKAFIQNAGLFQHLRVHTGEKPYQCSQCSKLFSKRTLLKKHQKIHTGERP
+>DECOY_sp|Q96LW9|ZSC31_HUMAN Zinc finger and SCAN domain-containing protein 31 OS=Homo sapiens OX=9606 GN=ZSCAN31 PE=1 SV=2
+PREGTHIKQHKKLLTRKSFLKSCQSCQYPKEGTHVRLHQFLGANQIFAKGCERCQYRKEGTHIRQHQVLCSSLLFAKGCVKCEYPKEGTHIRRHRTLGNSASFAKGCEKCQYPKEGTHSRRHENLSSRRSFAKGCEECEYPKEGTHIRQHEILVSSKTFSKGCENCRHRREGTSHAQQKEAKSDRKCTERYKSDLSVDRQLKSDGLHEMEKLIEQKSALEQNEPISEGDQEQFQHLCFSEYRLQTVMPQLQIDTPEQKVKLHEVDELLVESHGHEHDPAQNGPESLEQELDEVVAVAEEGSEPHHERVWAQLEEPLITLFQELVLLELIQEKTHIEPRLWQHCLERLRSLAERPGPTEQYCFQRFLQRSAEQGSFNNGRLHTEQDWIPDEEVKVIKLDYQEETSAM
+>sp|Q7Z7L9|ZSCA2_HUMAN Zinc finger and SCAN domain-containing protein 2 OS=Homo sapiens OX=9606 GN=ZSCAN2 PE=2 SV=2
+MMAADIPRVTTPLSSLVQVPQEEDRQEEEVTTMILEDDSWVQEAVLQEDGPESEPFPQSAGKGGPQEEVTRGPQGALGRLRELCRRWLRPEVHTKEQMLTMLPKEIQAWLQEHRPESSEEAAALVEDLTQTLQDSDFEIQSENGENCNQDMFENESRKIFSEMPEGESAQHSDGESDFERDAGIQRLQGHSPGEDHGEVVSQDREVGQLIGLQGTYLGEKPYECPQCGKTFSRKSHLITHERTHTGEKYYKCDECGKSFSDGSNFSRHQTTHTGEKPYKCRDCGKSFSRSANLITHQRIHTGEKPFQCAECGKSFSRSPNLIAHQRTHTGEKPYSCPECGKSFGNRSSLNTHQGIHTGEKPYECKECGESFSYNSNLIRHQRIHTGEKPYKCTDCGQRFSQSSALITHRRTHTGEKPYQCSECGKSFSRSSNLATHRRTHMVEKPYKCGVCGKSFSQSSSLIAHQGMHTGEKPYECLTCGESFSWSSNLLKHQRIHTGEKPYKCSECGKCFSQRSQLVVHQRTHTGEKPYKCLMCGKSFSRGSILVMHQRAHLGDKPYRCPECGKGFSWNSVLIIHQRIHTGEKPYKCPECGKGFSNSSNFITHQRTHMKEKLY
+>DECOY_sp|Q7Z7L9|ZSCA2_HUMAN Zinc finger and SCAN domain-containing protein 2 OS=Homo sapiens OX=9606 GN=ZSCAN2 PE=2 SV=2
+YLKEKMHTRQHTIFNSSNSFGKGCEPCKYPKEGTHIRQHIILVSNWSFGKGCEPCRYPKDGLHARQHMVLISGRSFSKGCMLCKYPKEGTHTRQHVVLQSRQSFCKGCESCKYPKEGTHIRQHKLLNSSWSFSEGCTLCEYPKEGTHMGQHAILSSSQSFSKGCVGCKYPKEVMHTRRHTALNSSRSFSKGCESCQYPKEGTHTRRHTILASSQSFRQGCDTCKYPKEGTHIRQHRILNSNYSFSEGCEKCEYPKEGTHIGQHTNLSSRNGFSKGCEPCSYPKEGTHTRQHAILNPSRSFSKGCEACQFPKEGTHIRQHTILNASRSFSKGCDRCKYPKEGTHTTQHRSFNSGDSFSKGCEDCKYYKEGTHTREHTILHSKRSFTKGCQPCEYPKEGLYTGQLGILQGVERDQSVVEGHDEGPSHGQLRQIGADREFDSEGDSHQASEGEPMESFIKRSENEFMDQNCNEGNESQIEFDSDQLTQTLDEVLAAAEESSEPRHEQLWAQIEKPLMTLMQEKTHVEPRLWRRCLERLRGLAGQPGRTVEEQPGGKGASQPFPESEPGDEQLVAEQVWSDDELIMTTVEEEQRDEEQPVQVLSSLPTTVRPIDAAMM
+>sp|Q9BR11|ZSWM1_HUMAN Zinc finger SWIM domain-containing protein 1 OS=Homo sapiens OX=9606 GN=ZSWIM1 PE=2 SV=2
+MLERLKAPWSAALQRKYFDLGIWTAPISPMALTMLNGLLIKDSSPPMLLHQVNKTAQLDTFNYQSCFMQSVFDHFPEILFIHRTYNPRGKVLYTFLVDGPRVQLEGHLARAVYFAIPAKEDTEGLAQMFQVFKKFNPAWERVCTILVDPHFLPLPILAMEFPTAEVLLSAFHICKFLQAKFYQLSLERPVERLLLTSLQSTMCSATAGNLRKLYTLLSNCIPPAKLPELHSHWLLNDRIWLAHRWRSRAESSHYFQSLEVTTHILSQFFGTTPSEKQGMASLFRYMQQNSADKANFNQGLCAQNNHAPSDTIPESPKLEQLVESHIQHSLNAICTGPAAQLCLGELAVVQKSTHLIGSGSEKMNIQILEDTHKVQPQPPASCSCYFNQAFHLPCRHILAMLSARRQVLQPDMLPAQWTAGCATSLDSILGSKWSETLDKHLAVTHLTEEVGQLLQHCTKEEFERRYSTLRELADSWIGPYEQVQL
+>DECOY_sp|Q9BR11|ZSWM1_HUMAN Zinc finger SWIM domain-containing protein 1 OS=Homo sapiens OX=9606 GN=ZSWIM1 PE=2 SV=2
+LQVQEYPGIWSDALERLTSYRREFEEKTCHQLLQGVEETLHTVALHKDLTESWKSGLISDLSTACGATWQAPLMDPQLVQRRASLMALIHRCPLHFAQNFYCSCSAPPQPQVKHTDELIQINMKESGSGILHTSKQVVALEGLCLQAAPGTCIANLSHQIHSEVLQELKPSEPITDSPAHNNQACLGQNFNAKDASNQQMYRFLSAMGQKESPTTGFFQSLIHTTVELSQFYHSSEARSRWRHALWIRDNLLWHSHLEPLKAPPICNSLLTYLKRLNGATASCMTSQLSTLLLREVPRELSLQYFKAQLFKCIHFASLLVEATPFEMALIPLPLFHPDVLITCVREWAPNFKKFVQFMQALGETDEKAPIAFYVARALHGELQVRPGDVLFTYLVKGRPNYTRHIFLIEPFHDFVSQMFCSQYNFTDLQATKNVQHLLMPPSSDKILLGNLMTLAMPSIPATWIGLDFYKRQLAASWPAKLRELM
+>sp|Q8NEG5|ZSWM2_HUMAN E3 ubiquitin-protein ligase ZSWIM2 OS=Homo sapiens OX=9606 GN=ZSWIM2 PE=1 SV=2
+MLRRGYKASERRRHLSERLSWHQDQALSSSIYLLREMGPTGFLLREEEPEYMDFRVFLGNPHVCNCSTFPKGGELCKHICWVLLKKFKLPRNHESALQLGLGEREISDLLRGIHRVQTPQPGTNDENEHVEEDGYIKQKEIDSEDICSICQELLLEKKLPVTFCRFGCGNSIHIKCMKILANYQSTSNTSMLKCPLCRKEFAPLKLILEEFKNSSKLVAAAEKERLDKHLGIPCNNCKQFPIEGKCYKCTECIEYHLCQECFDSCCHLSHTFTFREKRNQKWRSLEKRADEVVKYIDTKNEIEEKMSHFQEKQGQVYTPKHIVRSLPLQLITKNSKLLAPGYQCLLCLKAFHLGQHTRLLPCTHKFHRKCIDNWLFHKCNSCPIDGQVIYNPLTWKNSAVNGQAHQSVSNRDIIHLSKQKEPDLFIPGTGLVLKQNRLGILPSIPQCNFDELNTPQSPKDAYENTTIDNLCSIKLDNSNSKKLTYDYKISQHFPRYLQDLPTVSFGKIPSQTLLPPIVHKNIVCPTAMESPCISGKFHTSLSRMTKGCKCNNHNLKKTPATKIREDNKRSTLLPEDFNLIVNWSTAKLSLSKRYSNCMGEITRKCSHLSRQPVSHSVNTKSTELSLIIEGVQL
+>DECOY_sp|Q8NEG5|ZSWM2_HUMAN E3 ubiquitin-protein ligase ZSWIM2 OS=Homo sapiens OX=9606 GN=ZSWIM2 PE=1 SV=2
+LQVGEIILSLETSKTNVSHSVPQRSLHSCKRTIEGMCNSYRKSLSLKATSWNVILNFDEPLLTSRKNDERIKTAPTKKLNHNNCKCGKTMRSLSTHFKGSICPSEMATPCVINKHVIPPLLTQSPIKGFSVTPLDQLYRPFHQSIKYDYTLKKSNSNDLKISCLNDITTNEYADKPSQPTNLEDFNCQPISPLIGLRNQKLVLGTGPIFLDPEKQKSLHIIDRNSVSQHAQGNVASNKWTLPNYIVQGDIPCSNCKHFLWNDICKRHFKHTCPLLRTHQGLHFAKLCLLCQYGPALLKSNKTILQLPLSRVIHKPTYVQGQKEQFHSMKEEIENKTDIYKVVEDARKELSRWKQNRKERFTFTHSLHCCSDFCEQCLHYEICETCKYCKGEIPFQKCNNCPIGLHKDLREKEAAAVLKSSNKFEELILKLPAFEKRCLPCKLMSTNSTSQYNALIKMCKIHISNGCGFRCFTVPLKKELLLEQCISCIDESDIEKQKIYGDEEVHENEDNTGPQPTQVRHIGRLLDSIEREGLGLQLASEHNRPLKFKKLLVWCIHKCLEGGKPFTSCNCVHPNGLFVRFDMYEPEEERLLFGTPGMERLLYISSSLAQDQHWSLRESLHRRRESAKYGRRLM
+>sp|Q8N9W7|YO010_HUMAN Putative transmembrane protein FLJ36131 OS=Homo sapiens OX=9606 PE=5 SV=1
+MYVSISFLLGLSHLVLCCLLTFIVNFYLPPESIDFEFMAHNWSKGRSPSSTLGLSWFKAGFRFSDGWSMFYSFGLPGVALPGSPPRSHLLPGTQILIRSFQPCESAKHSARLSSLLTTTSYSVS
+>DECOY_sp|Q8N9W7|YO010_HUMAN Putative transmembrane protein FLJ36131 OS=Homo sapiens OX=9606 PE=5 SV=1
+SVSYSTTTLLSSLRASHKASECPQFSRILIQTGPLLHSRPPSGPLAVGPLGFSYFMSWGDSFRFGAKFWSLGLTSSPSRGKSWNHAMFEFDISEPPLYFNVIFTLLCCLVLHSLGLLFSISVYM
+>sp|Q6ZQY7|YO026_HUMAN Putative uncharacterized protein FLJ46792 OS=Homo sapiens OX=9606 PE=2 SV=1
+MISFHVIFLSLGRGKLFLPVNFCFLKLKNSQVRIPKDFTCNLHVLFRTVQGEDRNTMFRGHWSIYSRNFPLAVVPAYVTEDGKNTSHRASGQFCNALSQGEIPSSLQLVNSYALEPRTDMPCNFLT
+>DECOY_sp|Q6ZQY7|YO026_HUMAN Putative uncharacterized protein FLJ46792 OS=Homo sapiens OX=9606 PE=2 SV=1
+TLFNCPMDTRPELAYSNVLQLSSPIEGQSLANCFQGSARHSTNKGDETVYAPVVALPFNRSYISWHGRFMTNRDEGQVTRFLVHLNCTFDKPIRVQSNKLKLFCFNVPLFLKGRGLSLFIVHFSIM
+>sp|Q6ZSR6|YP007_HUMAN Putative uncharacterized protein FLJ45256 OS=Homo sapiens OX=9606 PE=2 SV=3
+MLVETGFCRVGQAGLELLSSSDKAAGLDLPKCWDYRHEPPRLAPLLIFNPHPSTVLSCNCEYNSFFEFCDSLQQIVIPERVLGTPRHIFPLPLLFSHFLWSKLKEAPACLLQGSSEHTEIICDLISSSKQFIKKFLSNKPSALHGGDADENDFLQLITRLQKLLFKSLSMYVCVHIHQHTHACPQLSCLHQNQDEELFYCQN
+>DECOY_sp|Q6ZSR6|YP007_HUMAN Putative uncharacterized protein FLJ45256 OS=Homo sapiens OX=9606 PE=2 SV=3
+NQCYFLEEDQNQHLCSLQPCAHTHQHIHVCVYMSLSKFLLKQLRTILQLFDNEDADGGHLASPKNSLFKKIFQKSSSILDCIIETHESSGQLLCAPAEKLKSWLFHSFLLPLPFIHRPTGLVREPIVIQQLSDCFEFFSNYECNCSLVTSPHPNFILLPALRPPEHRYDWCKPLDLGAAKDSSSLLELGAQGVRCFGTEVLM
+>sp|A8MU76|YP034_HUMAN Putative UPF0607 protein ENSP00000381418 OS=Homo sapiens OX=9606 PE=3 SV=2
+MRLCLSPQNTGTPQRVLPPVVWSPPSRKKPALSARNSTMFGHLRPLRIPRLRGKFNLQLPSFDEQVIPARLPKTEMRAEEPKEATEVKDQVETQGQEDNKRGPCSNGEAASTSRPLETQGNLTSSWYNPRTLEGNVHLKSLTENNQTDKAQVHAVSFYSKGHGVASSHSPAGGILPFGKPDPLPTVLPAPVPGCSLWPEKGALKVLGKDHLPSSPGLLMVGEDMQPKDPAALGSSRSSPPRAAGHSSRKRKLSGPLLQLQLTPPLQLRWDRDEGPPPAKLPCLSPEALLVGQASQREGRLQQGNMHKNMRVLSRTSKFRRLRELLRRRKERRQGRRGGPRL
+>DECOY_sp|A8MU76|YP034_HUMAN Putative UPF0607 protein ENSP00000381418 OS=Homo sapiens OX=9606 PE=3 SV=2
+LRPGGRRGQRREKRRRLLERLRRFKSTRSLVRMNKHMNGQQLRGERQSAQGVLLAEPSLCPLKAPPPGEDRDWRLQLPPTLQLQLLPGSLKRKRSSHGAARPPSSRSSGLAAPDKPQMDEGVMLLGPSSPLHDKGLVKLAGKEPWLSCGPVPAPLVTPLPDPKGFPLIGGAPSHSSAVGHGKSYFSVAHVQAKDTQNNETLSKLHVNGELTRPNYWSSTLNGQTELPRSTSAAEGNSCPGRKNDEQGQTEVQDKVETAEKPEEARMETKPLRAPIVQEDFSPLQLNFKGRLRPIRLPRLHGFMTSNRASLAPKKRSPPSWVVPPLVRQPTGTNQPSLCLRM
+>sp|A6NIN4|YQ014_HUMAN Putative uncharacterized protein FLJ38447 OS=Homo sapiens OX=9606 PE=5 SV=3
+MALDSDLWSRLEEKARAKCERDEAGNPAKESSDADGEAEEEGESEKGAGPRSAGWRALRRLWDRVLGPARRWRRPLPSNVLYCAEIKDIGHLTRCTL
+>DECOY_sp|A6NIN4|YQ014_HUMAN Putative uncharacterized protein FLJ38447 OS=Homo sapiens OX=9606 PE=5 SV=3
+LTCRTLHGIDKIEACYLVNSPLPRRWRRAPGLVRDWLRRLARWGASRPGAGKESEGEEEAEGDADSSEKAPNGAEDRECKARAKEELRSWLDSDLAM
+>sp|Q6ZS49|YQ050_HUMAN Putative uncharacterized protein FLJ45831 OS=Homo sapiens OX=9606 PE=5 SV=1
+MEISCTSQGFFFLKEHSSGVSSVKAAQMPGRTLYRSLCSCVFPLHSPTRPPSPASAPKGFLLLSPTSSNASKLMPYYLFHRSRGVDNSKISVLILLGCELEQTKKKKLGPATALGNSGRVE
+>DECOY_sp|Q6ZS49|YQ050_HUMAN Putative uncharacterized protein FLJ45831 OS=Homo sapiens OX=9606 PE=5 SV=1
+EVRGSNGLATAPGLKKKKTQELECGLLILVSIKSNDVGRSRHFLYYPMLKSANSSTPSLLLFGKPASAPSPPRTPSHLPFVCSCLSRYLTRGPMQAAKVSSVGSSHEKLFFFGQSTCSIEM
+>sp|Q8N402|YV020_HUMAN Putative uncharacterized protein LOC388882 OS=Homo sapiens OX=9606 PE=2 SV=1
+MGIHFSCIRGDLKKPSKKRVKREPYSTTMLQVTSLSPINEILRRYSLYTNQHWRHHGFWRKKIQPQEASEEPPAHKDRGGGERPVNARVVRVAPLRPGFALCGYAVQDISKEDTVYDICNEDAVDISDEDTVDISNEASVHDISNEAAVCDISNDAVNISNEAAVRDISNDAVDICNEAAVHDISNEDTIEDISYEDTVYDITNEDAVRYLCKKDATKEPLTLENDLIVESMSDDEDFAA
+>DECOY_sp|Q8N402|YV020_HUMAN Putative uncharacterized protein LOC388882 OS=Homo sapiens OX=9606 PE=2 SV=1
+AAFDEDDSMSEVILDNELTLPEKTADKKCLYRVADENTIDYVTDEYSIDEITDENSIDHVAAENCIDVADNSIDRVAAENSINVADNSIDCVAAENSIDHVSAENSIDVTDEDSIDVADENCIDYVTDEKSIDQVAYGCLAFGPRLPAVRVVRANVPREGGGRDKHAPPEESAEQPQIKKRWFGHHRWHQNTYLSYRRLIENIPSLSTVQLMTTSYPERKVRKKSPKKLDGRICSFHIGM
+>sp|Q8ND82|Z280C_HUMAN Zinc finger protein 280C OS=Homo sapiens OX=9606 GN=ZNF280C PE=1 SV=1
+MDDDKPFQPKNISKMAELFMECEEEELEPWQKKVEETQDEDDDELIFVGEISSSKPAISNILNRGHSSSSSKGIKSEPHSPGIPEIFRTASQRCRDPPSNPVAASPRFHLVSKSSQSSVTVENASKPDFTKNSQVGSDNSSILLFDSTQESLPPSQDIPAIFREGMKNTSYVLKHPSTSKVNSVTPKKPKTSEDVPQINPSTSLPLIGSPPVTSSQVMLSKGTNTSSPYDAGADYLRACPKCNVQFNLLDPLKYHMKHCCPDMITKFLGVIVKSERPCDEDKTDSETGKLIMLVNEFYYGRHEGVTEKEPKTYTTFKCFSCSKVLKNNIRFMNHMKHHLELEKQNNESWENHTTCQHCYRQYPTPFQLQCHIESTHTPHEFSTICKICELSFETEHILLQHMKDTHKPGEMPYVCQVCQFRSSTFSDVEAHFRAAHENTKNLLCPFCLKVSKMATPYMNHYMKHQKKGVHRCPKCRLQFLTSKEKAEHKAQHRTFIKPKELEGLPPGAKVTIRASLGPLQSKLPTAPFGCAPGTSFLQVTPPTSQNTTARNPRKSNASRSKTSKLHATTSTASKVNTSKPRGRIAKSKAKPSYKQKRQRNRKNKMSLALKNIRCRRGIHKCIECHSKIKDFASHFSIYIHCSFCKYNTNCNKAFVNHMMSSHSNHPGKRFCIFKKHSGTLRGITLVCLKCDFLADSSGLDRMAKHLSQRKTHTCQVIIENVSKSTSTSEPTTGCSLK
+>DECOY_sp|Q8ND82|Z280C_HUMAN Zinc finger protein 280C OS=Homo sapiens OX=9606 GN=ZNF280C PE=1 SV=1
+KLSCGTTPESTSTSKSVNEIIVQCTHTKRQSLHKAMRDLGSSDALFDCKLCVLTIGRLTGSHKKFICFRKGPHNSHSSMMHNVFAKNCNTNYKCFSCHIYISFHSAFDKIKSHCEICKHIGRRCRINKLALSMKNKRNRQRKQKYSPKAKSKAIRGRPKSTNVKSATSTTAHLKSTKSRSANSKRPNRATTNQSTPPTVQLFSTGPACGFPATPLKSQLPGLSARITVKAGPPLGELEKPKIFTRHQAKHEAKEKSTLFQLRCKPCRHVGKKQHKMYHNMYPTAMKSVKLCFPCLLNKTNEHAARFHAEVDSFTSSRFQCVQCVYPMEGPKHTDKMHQLLIHETEFSLECIKCITSFEHPTHTSEIHCQLQFPTPYQRYCHQCTTHNEWSENNQKELELHHKMHNMFRINNKLVKSCSFCKFTTYTKPEKETVGEHRGYYFENVLMILKGTESDTKDEDCPRESKVIVGLFKTIMDPCCHKMHYKLPDLLNFQVNCKPCARLYDAGADYPSSTNTGKSLMVQSSTVPPSGILPLSTSPNIQPVDESTKPKKPTVSNVKSTSPHKLVYSTNKMGERFIAPIDQSPPLSEQTSDFLLISSNDSGVQSNKTFDPKSANEVTVSSQSSKSVLHFRPSAAVPNSPPDRCRQSATRFIEPIGPSHPESKIGKSSSSSHGRNLINSIAPKSSSIEGVFILEDDDEDQTEEVKKQWPELEEEECEMFLEAMKSINKPQFPKDDDM
+>sp|O75467|Z324A_HUMAN Zinc finger protein 324A OS=Homo sapiens OX=9606 GN=ZNF324 PE=2 SV=1
+MAFEDVAVYFSQEEWGLLDTAQRALYRRVMLDNFALVASLGLSTSRPRVVIQLERGEEPWVPSGTDTTLSRTTYRRRNPGSWSLTEDRDVSGEWPRAFPDTPPGMTTSVFPVAGACHSVKSLQRQRGASPSRERKPTGVSVIYWERLLLGSGSGQASVSLRLTSPLRPPEGVRLREKTLTEHALLGRQPRTPERQKPCAQEVPGRTFGSAQDLEAAGGRGHHRMGAVWQEPHRLLGGQEPSTWDELGEALHAGEKSFECRACSKVFVKSSDLLKHLRTHTGERPYECAQCGKAFSQTSHLTQHQRIHSGETPYACPVCGKAFRHSSSLVRHQRIHTAEKSFRCSECGKAFSHGSNLSQHRKIHAGGRPYACAQCGRRFCRNSHLIQHERTHTGEKPFVCALCGAAFSQGSSLFKHQRVHTGEKPFACPQCGRAFSHSSNLTQHQLLHTGERPFRCVDCGKAFAKGAVLLSHRRIHTGEKPFVCTQCGRAFRERPALFHHQRIHTGEKTVRRSRASLHPQARSVAGASSEGAPAKETEPTPASGPAAVSQPAEV
+>DECOY_sp|O75467|Z324A_HUMAN Zinc finger protein 324A OS=Homo sapiens OX=9606 GN=ZNF324 PE=2 SV=1
+VEAPQSVAAPGSAPTPETEKAPAGESSAGAVSRAQPHLSARSRRVTKEGTHIRQHHFLAPRERFARGCQTCVFPKEGTHIRRHSLLVAGKAFAKGCDVCRFPREGTHLLQHQTLNSSHSFARGCQPCAFPKEGTHVRQHKFLSSGQSFAAGCLACVFPKEGTHTREHQILHSNRCFRRGCQACAYPRGGAHIKRHQSLNSGHSFAKGCESCRFSKEATHIRQHRVLSSSHRFAKGCVPCAYPTEGSHIRQHQTLHSTQSFAKGCQACEYPREGTHTRLHKLLDSSKVFVKSCARCEFSKEGAHLAEGLEDWTSPEQGGLLRHPEQWVAGMRHHGRGGAAELDQASGFTRGPVEQACPKQREPTRPQRGLLAHETLTKERLRVGEPPRLPSTLRLSVSAQGSGSGLLLREWYIVSVGTPKRERSPSAGRQRQLSKVSHCAGAVPFVSTTMGPPTDPFARPWEGSVDRDETLSWSGPNRRRYTTRSLTTDTGSPVWPEEGRELQIVVRPRSTSLGLSAVLAFNDLMVRRYLARQATDLLGWEEQSFYVAVDEFAM
+>sp|A8MT70|ZBBX_HUMAN Zinc finger B-box domain-containing protein 1 OS=Homo sapiens OX=9606 GN=ZBBX PE=2 SV=3
+MNRKDFVVLPWGKPGNSVKLKYRNAQELRMEKVQLEFENQEMEKKLQEFRSTRNKEKEDRESSEYYWKSGKVGKLVNQSYMMSQNKGNVVKFSAGKVKLKLLKEQIQEPVKPTVNYKMANSSECEKPKINGKVCGQCENKAALLVCLECGEDYCSGCFAKVHQKGALKLHRTTLLQAKSQILFNVLDVAHQFIKDVNPDEPKEENNSTKETSKIQHKPKSVLLQRSSSEVEITTMKRAQRTKPRKSLLCEGSFDEEASAQSFQEVLSQWRTGNHDDNKKQNLHAAVKDSLEECEVQTNLKIWREPLNIELKEDILSYMEKLWLKKHRRTPQEQLFKMLPDTFPHPHETTGDAQCSQNENDEDSDGEETKVQHTALLLPVETLNIERPEPSLKIVELDDTYEEEFEEAENIVPYKVKLADADSQRSCAFHDCQKNSFPYENGIHQHHVFDKGKRDFLNLCLRNSSTYYKDNSKAETSNTDFDNIVDPDVYSSDIEKIEESTSFERNLKEKNIGLESNQKSDDSCVSLESKDTLLGRDLEKAPIEEKLSQDIKESLELSNLYKRPSFEESKTTKSSLLLQEIACRSKPITKQYQGLERFFIFDTNERLNLLPSHRLECNNSSTRITLAGQKSQRPSTANFPLSNSVKESSSCLSSSHPRSRSAAAQSSSRAASEISEIEYIDITDQNELSLDDTTDQHTLDNLEKELQVLRSLADTSEKLYSLTSEEFPDFSSQSLNISQISTDFLKTSHVRGPCGVEELSCSGRDTKIQSLLSLSESSTDEEEEDFLNKQHVITLPWSKST
+>DECOY_sp|A8MT70|ZBBX_HUMAN Zinc finger B-box domain-containing protein 1 OS=Homo sapiens OX=9606 GN=ZBBX PE=2 SV=3
+TSKSWPLTIVHQKNLFDEEEEDTSSESLSLLSQIKTDRGSCSLEEVGCPGRVHSTKLFDTSIQSINLSQSSFDPFEESTLSYLKESTDALSRLVQLEKELNDLTHQDTTDDLSLENQDTIDIYEIESIESAARSSSQAAASRSRPHSSSLCSSSEKVSNSLPFNATSPRQSKQGALTIRTSSNNCELRHSPLLNLRENTDFIFFRELGQYQKTIPKSRCAIEQLLLSSKTTKSEEFSPRKYLNSLELSEKIDQSLKEEIPAKELDRGLLTDKSELSVCSDDSKQNSELGINKEKLNREFSTSEEIKEIDSSYVDPDVINDFDTNSTEAKSNDKYYTSSNRLCLNLFDRKGKDFVHHQHIGNEYPFSNKQCDHFACSRQSDADALKVKYPVINEAEEFEEEYTDDLEVIKLSPEPREINLTEVPLLLATHQVKTEEGDSDEDNENQSCQADGTTEHPHPFTDPLMKFLQEQPTRRHKKLWLKEMYSLIDEKLEINLPERWIKLNTQVECEELSDKVAAHLNQKKNDDHNGTRWQSLVEQFSQASAEEDFSGECLLSKRPKTRQARKMTTIEVESSSRQLLVSKPKHQIKSTEKTSNNEEKPEDPNVDKIFQHAVDLVNFLIQSKAQLLTTRHLKLAGKQHVKAFCGSCYDEGCELCVLLAAKNECQGCVKGNIKPKECESSNAMKYNVTPKVPEQIQEKLLKLKVKGASFKVVNGKNQSMMYSQNVLKGVKGSKWYYESSERDEKEKNRTSRFEQLKKEMEQNEFELQVKEMRLEQANRYKLKVSNGPKGWPLVVFDKRNM
+>sp|O96006|ZBED1_HUMAN Zinc finger BED domain-containing protein 1 OS=Homo sapiens OX=9606 GN=ZBED1 PE=1 SV=1
+MENKSLESSQTDLKLVAHPRAKSKVWKYFGFDTNAEGCILQWKKIYCRICMAQIAYSGNTSNLSYHLEKNHPEEFCEFVKSNTEQMREAFATAFSKLKPESSQQPGQDALAVKAGHGYDSKKQQELTAAVLGLICEGLYPASIVDEPTFKVLLKTADPRYELPSRKYISTKAIPEKYGAVREVILKELAEATWCGISTDMWRSENQNRAYVTLAAHFLGLGAPNCLSMGSRCLKTFEVPEENTAETITRVLYEVFIEWGISAKVFGATTNYGKDIVKACSLLDVAVHMPCLGHTFNAGIQQAFQLPKLGALLSRCRKLVEYFQQSAVAMYMLYEKQKQQNVAHCMLVSNRVSWWGSTLAMLQRLKEQQFVIAGVLVEDSNNHHLMLEASEWATIEGLVELLQPFKQVAEMLSASRYPTISMVKPLLHMLLNTTLNIKETDSKELSMAKEVIAKELSKTYQETPEIDMFLNVATFLDPRYKRLPFLSAFERQQVENRVVEEAKGLLDKVKDGGYRPAEDKIFPVPEEPPVKKLMRTSTPPPASVINNMLAEIFCQTGGVEDQEEWHAQVVEELSNFKSQKVLGLNEDPLKWWSDRLALFPLLPKVLQKYWCVTATRVAPERLFGSAANVVSAKRNRLAPAHVDEQVFLYENARSGAEAEPEDQDEGEWGLDQEQVFSLGDGVSGGFFGIRDSSFL
+>DECOY_sp|O96006|ZBED1_HUMAN Zinc finger BED domain-containing protein 1 OS=Homo sapiens OX=9606 GN=ZBED1 PE=1 SV=1
+LFSSDRIGFFGGSVGDGLSFVQEQDLGWEGEDQDEPEAEAGSRANEYLFVQEDVHAPALRNRKASVVNAASGFLREPAVRTATVCWYKQLVKPLLPFLALRDSWWKLPDENLGLVKQSKFNSLEEVVQAHWEEQDEVGGTQCFIEALMNNIVSAPPPTSTRMLKKVPPEEPVPFIKDEAPRYGGDKVKDLLGKAEEVVRNEVQQREFASLFPLRKYRPDLFTAVNLFMDIEPTEQYTKSLEKAIVEKAMSLEKSDTEKINLTTNLLMHLLPKVMSITPYRSASLMEAVQKFPQLLEVLGEITAWESAELMLHHNNSDEVLVGAIVFQQEKLRQLMALTSGWWSVRNSVLMCHAVNQQKQKEYLMYMAVASQQFYEVLKRCRSLLAGLKPLQFAQQIGANFTHGLCPMHVAVDLLSCAKVIDKGYNTTAGFVKASIGWEIFVEYLVRTITEATNEEPVEFTKLCRSGMSLCNPAGLGLFHAALTVYARNQNESRWMDTSIGCWTAEALEKLIVERVAGYKEPIAKTSIYKRSPLEYRPDATKLLVKFTPEDVISAPYLGECILGLVAATLEQQKKSDYGHGAKVALADQGPQQSSEPKLKSFATAFAERMQETNSKVFECFEEPHNKELHYSLNSTNGSYAIQAMCIRCYIKKWQLICGEANTDFGFYKWVKSKARPHAVLKLDTQSSELSKNEM
+>sp|Q8IZ13|ZBED8_HUMAN Protein ZBED8 OS=Homo sapiens OX=9606 GN=ZBED8 PE=1 SV=1
+MSKKRKWDDDYVRYWFTCTTEVDGTQRPQCVLCNSVFSNADLRPSKLSDHFNRQHGGVAGHDLNSLKHMPAPSDQSETLKAFGVASHEDTLLQASYQFAYLCAKEKNPHTVAEKLVKPCALEIAQIVLGPDAQKKLQQVPLSDDVIHSRIDEMSQDILQQVLEDIKASPLKVGIQLAETTDMDDCSQLMAFVRYIKEREIVEEFLFCEPLQLSMKGIDVFNLFRDFFLKHKIALDVCGSVCTDGASSMLGENSEFVAYVKKEIPHIVVTHCLLNPHALVIKTLPTKLRDALFTVVRVINFIKGRAPNHRLFQAFFEEIGIEYSVLLFHTEMRWLSRGQILTHIFEMYEEINQFLHHKSSNLVDGFENKEFKIHLAYLADLFKHLNELSASMQRTGMNTVSAREKLSAFVRKFPFWQKRIEKRNFTNFPFLEEIIVSDNEGIFIAAEITLHLQQLSNFFHGYFSIGDLNEASKWILDPFLFNIDFVDDSYLMKNDLAELRASGQILMEFETMKLEDFWCAQFTAFPNLAKTALEILMPFATTYLCELGFSSLLHFKTKSRSCFNLSDDIRVAISKKVPRFSDIIEQKLQLQQKSL
+>DECOY_sp|Q8IZ13|ZBED8_HUMAN Protein ZBED8 OS=Homo sapiens OX=9606 GN=ZBED8 PE=1 SV=1
+LSKQQLQLKQEIIDSFRPVKKSIAVRIDDSLNFCSRSKTKFHLLSSFGLECLYTTAFPMLIELATKALNPFATFQACWFDELKMTEFEMLIQGSARLEALDNKMLYSDDVFDINFLFPDLIWKSAENLDGISFYGHFFNSLQQLHLTIEAAIFIGENDSVIIEELFPFNTFNRKEIRKQWFPFKRVFASLKERASVTNMGTRQMSASLENLHKFLDALYALHIKFEKNEFGDVLNSSKHHLFQNIEEYMEFIHTLIQGRSLWRMETHFLLVSYEIGIEEFFAQFLRHNPARGKIFNIVRVVTFLADRLKTPLTKIVLAHPNLLCHTVVIHPIEKKVYAVFESNEGLMSSAGDTCVSGCVDLAIKHKLFFDRFLNFVDIGKMSLQLPECFLFEEVIEREKIYRVFAMLQSCDDMDTTEALQIGVKLPSAKIDELVQQLIDQSMEDIRSHIVDDSLPVQQLKKQADPGLVIQAIELACPKVLKEAVTHPNKEKACLYAFQYSAQLLTDEHSAVGFAKLTESQDSPAPMHKLSNLDHGAVGGHQRNFHDSLKSPRLDANSFVSNCLVCQPRQTGDVETTCTFWYRVYDDDWKRKKSM
+>sp|Q9H171|ZBP1_HUMAN Z-DNA-binding protein 1 OS=Homo sapiens OX=9606 GN=ZBP1 PE=1 SV=2
+MAQAPADPGREGHLEQRILQVLTEAGSPVKLAQLVKECQAPKRELNQVLYRMKKELKVSLTSPATWCLGGTDPEGEGPAELALSSPAERPQQHAATIPETPGPQFSQQREEDIYRFLKDNGPQRALVIAQALGMRTAKDVNRDLYRMKSRHLLDMDEQSKAWTIYRPEDSGRRAKSASIIYQHNPINMICQNGPNSWISIANSEAIQIGHGNIITRQTVSREDGSAGPRHLPSMAPGDSSTWGTLVDPWGPQDIHMEQSILRRVQLGHSNEMRLHGVPSEGPAHIPPGSPPVSATAAGPEASFEARIPSPGTHPEGEAAQRIHMKSCFLEDATIGNSNKMSISPGVAGPGGVAGSGEGEPGEDAGRRPADTQSRSHFPRDIGQPITPSHSKLTPKLETMTLGNRSHKAAEGSHYVDEASHEGSWWGGGI
+>DECOY_sp|Q9H171|ZBP1_HUMAN Z-DNA-binding protein 1 OS=Homo sapiens OX=9606 GN=ZBP1 PE=1 SV=2
+IGGGWWSGEHSAEDVYHSGEAAKHSRNGLTMTELKPTLKSHSPTIPQGIDRPFHSRSQTDAPRRGADEGPEGEGSGAVGGPGAVGPSISMKNSNGITADELFCSKMHIRQAAEGEPHTGPSPIRAEFSAEPGAATASVPPSGPPIHAPGESPVGHLRMENSHGLQVRRLISQEMHIDQPGWPDVLTGWTSSDGPAMSPLHRPGASGDERSVTQRTIINGHGIQIAESNAISIWSNPGNQCIMNIPNHQYIISASKARRGSDEPRYITWAKSQEDMDLLHRSKMRYLDRNVDKATRMGLAQAIVLARQPGNDKLFRYIDEERQQSFQPGPTEPITAAHQQPREAPSSLALEAPGEGEPDTGGLCWTAPSTLSVKLEKKMRYLVQNLERKPAQCEKVLQALKVPSGAETLVQLIRQELHGERGPDAPAQAM
+>sp|Q9Y330|ZBT12_HUMAN Zinc finger and BTB domain-containing protein 12 OS=Homo sapiens OX=9606 GN=ZBTB12 PE=1 SV=1
+MASGVEVLRFQLPGHEAATLRNMNQLRAEERFCDVTIVADSLKFRGHKVILAACSPFLRDQFLLNPSSELQVSLMHSARIVADLLLSCYTGALEFAVRDIVNYLTAASYLQMEHVVEKCRNALSQFIEPKIGLKEDGVSEASLVSSISATKSLLPPARTPKPAPKPPPPPPLPPPLLRPVKLEFPLDEDLELKAEEEDEDEDEDVSDICIVKVESALEVAHRLKPPGGLGGGLGIGGSVGGHLGELAQSSVPPSTVAPPQGVVKACYSLSEDAEGEGLLLIPGGRASVGATSGLVEAAAVAMAARGAGGSLGAGGSRGPLPGGFSGGNPLKNIKCTKCPEVFQGVEKLVFHMRAQHFIFMCPRCGKQFNHSSNLNRHMNVHRGVKSHSCGICGKCFTQKSTLHDHLNLHSGARPYRCSYCDVRFAHKPAIRRHLKEQHGKTTAENVLEASVAEINVLIR
+>DECOY_sp|Q9Y330|ZBT12_HUMAN Zinc finger and BTB domain-containing protein 12 OS=Homo sapiens OX=9606 GN=ZBTB12 PE=1 SV=1
+RILVNIEAVSAELVNEATTKGHQEKLHRRIAPKHAFRVDCYSCRYPRAGSHLNLHDHLTSKQTFCKGCIGCSHSKVGRHVNMHRNLNSSHNFQKGCRPCMFIFHQARMHFVLKEVGQFVEPCKTCKINKLPNGGSFGGPLPGRSGGAGLSGGAGRAAMAVAAAEVLGSTAGVSARGGPILLLGEGEADESLSYCAKVVGQPPAVTSPPVSSQALEGLHGGVSGGIGLGGGLGGPPKLRHAVELASEVKVICIDSVDEDEDEDEEEAKLELDEDLPFELKVPRLLPPPLPPPPPPKPAPKPTRAPPLLSKTASISSVLSAESVGDEKLGIKPEIFQSLANRCKEVVHEMQLYSAATLYNVIDRVAFELAGTYCSLLLDAVIRASHMLSVQLESSPNLLFQDRLFPSCAALIVKHGRFKLSDAVITVDCFREEARLQNMNRLTAAEHGPLQFRLVEVGSAM
+>sp|O43829|ZBT14_HUMAN Zinc finger and BTB domain-containing protein 14 OS=Homo sapiens OX=9606 GN=ZBTB14 PE=1 SV=2
+MEFFISMSETIKYNDDDHKTLFLKTLNEQRLEGEFCDIAIVVEDVKFRAHRCVLAACSTYFKKLFKKLEVDSSSVIEIDFLRSDIFEEVLNYMYTAKISVKKEDVNLMMSSGQILGIRFLDKLCSQKRDVSSPDENNGQSKSKYCLKINRPIGDAADTQDDDVEEIGDQDDSPSDDTVEGTPPSQEDGKSPTTTLRVQEAILKELGSEEVRKVNCYGQEVESMETPESKDLGSQTPQALTFNDGMSEVKDEQTPGWTTAASDMKFEYLLYGHHREQIACQACGKTFSDEGRLRKHEKLHTADRPFVCEMCTKGFTTQAHLKEHLKIHTGYKPYSCEVCGKSFIRAPDLKKHERVHSNERPFACHMCDKAFKHKSHLKDHERRHRGEKPFVCGSCTKAFAKASDLKRHENNMHSERKQVTPSAIQSETEQLQAAAMAAEAEQQLETIACS
+>DECOY_sp|O43829|ZBT14_HUMAN Zinc finger and BTB domain-containing protein 14 OS=Homo sapiens OX=9606 GN=ZBTB14 PE=1 SV=2
+SCAITELQQEAEAAMAAAQLQETESQIASPTVQKRESHMNNEHRKLDSAKAFAKTCSGCVFPKEGRHRREHDKLHSKHKFAKDCMHCAFPRENSHVREHKKLDPARIFSKGCVECSYPKYGTHIKLHEKLHAQTTFGKTCMECVFPRDATHLKEHKRLRGEDSFTKGCAQCAIQERHHGYLLYEFKMDSAATTWGPTQEDKVESMGDNFTLAQPTQSGLDKSEPTEMSEVEQGYCNVKRVEESGLEKLIAEQVRLTTTPSKGDEQSPPTGEVTDDSPSDDQDGIEEVDDDQTDAADGIPRNIKLCYKSKSQGNNEDPSSVDRKQSCLKDLFRIGLIQGSSMMLNVDEKKVSIKATYMYNLVEEFIDSRLFDIEIVSSSDVELKKFLKKFYTSCAALVCRHARFKVDEVVIAIDCFEGELRQENLTKLFLTKHDDDNYKITESMSIFFEM
+>sp|Q13105|ZBT17_HUMAN Zinc finger and BTB domain-containing protein 17 OS=Homo sapiens OX=9606 GN=ZBTB17 PE=1 SV=3
+MDFPQHSQHVLEQLNQQRQLGLLCDCTFVVDGVHFKAHKAVLAACSEYFKMLFVDQKDVVHLDISNAAGLGQVLEFMYTAKLSLSPENVDDVLAVATFLQMQDIITACHALKSLAEPATSPGGNAEALATEGGDKRAKEEKVATSTLSRLEQAGRSTPIGPSRDLKEERGGQAQSAASGAEQTEKADAPREPPPVELKPDPTSGMAAAEAEAALSESSEQEMEVEPARKGEEEQKEQEEQEEEGAGPAEVKEEGSQLENGEAPEENENEESAGTDSGQELGSEARGLRSGTYGDRTESKAYGSVIHKCEDCGKEFTHTGNFKRHIRIHTGEKPFSCRECSKAFSDPAACKAHEKTHSPLKPYGCEECGKSYRLISLLNLHKKRHSGEARYRCEDCGKLFTTSGNLKRHQLVHSGEKPYQCDYCGRSFSDPTSKMRHLETHDTDKEHKCPHCDKKFNQVGNLKAHLKIHIADGPLKCRECGKQFTTSGNLKRHLRIHSGEKPYVCIHCQRQFADPGALQRHVRIHTGEKPCQCVMCGKAFTQASSLIAHVRQHTGEKPYVCERCGKRFVQSSQLANHIRHHDNIRPHKCSVCSKAFVNVGDLSKHIIIHTGEKPYLCDKCGRGFNRVDNLRSHVKTVHQGKAGIKILEPEEGSEVSVVTVDDMVTLATEALAATAVTQLTVVPVGAAVTADETEVLKAEISKAVKQVQEEDPNTHILYACDSCGDKFLDANSLAQHVRIHTAQALVMFQTDADFYQQYGPGGTWPAGQVLQAGELVFRPRDGAEGQPALAETSPTAPECPPPAE
+>DECOY_sp|Q13105|ZBT17_HUMAN Zinc finger and BTB domain-containing protein 17 OS=Homo sapiens OX=9606 GN=ZBTB17 PE=1 SV=3
+EAPPPCEPATPSTEALAPQGEAGDRPRFVLEGAQLVQGAPWTGGPGYQQYFDADTQFMVLAQATHIRVHQALSNADLFKDGCSDCAYLIHTNPDEEQVQKVAKSIEAKLVETEDATVAAGVPVVTLQTVATAALAETALTVMDDVTVVSVESGEEPELIKIGAKGQHVTKVHSRLNDVRNFGRGCKDCLYPKEGTHIIIHKSLDGVNVFAKSCVSCKHPRINDHHRIHNALQSSQVFRKGCRECVYPKEGTHQRVHAILSSAQTFAKGCMVCQCPKEGTHIRVHRQLAGPDAFQRQCHICVYPKEGSHIRLHRKLNGSTTFQKGCERCKLPGDAIHIKLHAKLNGVQNFKKDCHPCKHEKDTDHTELHRMKSTPDSFSRGCYDCQYPKEGSHVLQHRKLNGSTTFLKGCDECRYRAEGSHRKKHLNLLSILRYSKGCEECGYPKLPSHTKEHAKCAAPDSFAKSCERCSFPKEGTHIRIHRKFNGTHTFEKGCDECKHIVSGYAKSETRDGYTGSRLGRAESGLEQGSDTGASEENENEEPAEGNELQSGEEKVEAPGAGEEEQEEQEKQEEEGKRAPEVEMEQESSESLAAEAEAAAMGSTPDPKLEVPPPERPADAKETQEAGSAASQAQGGREEKLDRSPGIPTSRGAQELRSLTSTAVKEEKARKDGGETALAEANGGPSTAPEALSKLAHCATIIDQMQLFTAVALVDDVNEPSLSLKATYMFELVQGLGAANSIDLHVVDKQDVFLMKFYESCAALVAKHAKFHVGDVVFTCDCLLGLQRQQNLQELVHQSHQPFDM
+>sp|Q9HCK0|ZBT26_HUMAN Zinc finger and BTB domain-containing protein 26 OS=Homo sapiens OX=9606 GN=ZBTB26 PE=1 SV=2
+MSERSDLLHFKFENYGDSMLQKMNKLREENKFCDVTVLIDDIEVQGHKIVFAAGSPFLRDQFLLNDSREVKISILQSSEVGRQLLLSCYSGVLEFPEMELVNYLTAASFLQMSHIVERCTQALWKFIKPKQPMDSKEGCEPQSASPQSKEQQGDARGSPKQDSPCIHPSEDSMDMEDSDIQIVKVESIGDVSEVRSKKDQNQFISSEPTALHSSEPQHSLINSTVENRVSEIEQNHLHNYALSYTGSDNIIMASKDVFGPNIRGVDKGLQWHHQCPKCTRVFRHLENYANHLKMHKLFMCLLCGKTFTQKGNLHRHMRVHAGIKPFQCKICGKTFSQKCSLQDHLNLHSGDKPHKCNYCDMVFAHKPVLRKHLKQLHGKNSFDNANERNVQDLTVDFDSFACTTVTDSKGCQPQPDATQVLDAGKLAQAVLNLRNDSTCVN
+>DECOY_sp|Q9HCK0|ZBT26_HUMAN Zinc finger and BTB domain-containing protein 26 OS=Homo sapiens OX=9606 GN=ZBTB26 PE=1 SV=2
+NVCTSDNRLNLVAQALKGADLVQTADPQPQCGKSDTVTTCAFSDFDVTLDQVNRENANDFSNKGHLQKLHKRLVPKHAFVMDCYNCKHPKDGSHLNLHDQLSCKQSFTKGCIKCQFPKIGAHVRMHRHLNGKQTFTKGCLLCMFLKHMKLHNAYNELHRFVRTCKPCQHHWQLGKDVGRINPGFVDKSAMIINDSGTYSLAYNHLHNQEIESVRNEVTSNILSHQPESSHLATPESSIFQNQDKKSRVESVDGISEVKVIQIDSDEMDMSDESPHICPSDQKPSGRADGQQEKSQPSASQPECGEKSDMPQKPKIFKWLAQTCREVIHSMQLFSAATLYNVLEMEPFELVGSYCSLLLQRGVESSQLISIKVERSDNLLFQDRLFPSGAAFVIKHGQVEIDDILVTVDCFKNEERLKNMKQLMSDGYNEFKFHLLDSRESM
+>sp|Q5TC79|ZBT37_HUMAN Zinc finger and BTB domain-containing protein 37 OS=Homo sapiens OX=9606 GN=ZBTB37 PE=2 SV=1
+MEKGGNIQLEIPDFSNSVLSHLNQLRMQGRLCDIVVNVQGQAFRAHKVVLAASSPYFRDHMSLNEMSTVSISVIKNPTVFEQLLSFCYTGRICLQLADIISYLTAASFLQMQHIIDKCTQILEGIHFKINVAEVEAELSQTRTKHQERPPESHRVTPNLNRSLSPRHNTPKGNRRGQVSAVLDIRELSPPEESTSPQIIEPSSDVESREPILRINRAGQWYVETGVADRGGRSDDEVRVLGAVHIKTENLEEWLGPENQPSGEDGSSAEEVTAMVIDTTGHGSVGQENYTLGSSGAKVARPTSSEVDRFSPSGSVVPLTERHRARSESPGRMDEPKQPSSQVEESAMMGVSGYVEYLREQEVSERWFRYNPRLTCIYCAKSFNQKGSLDRHMRLHMGITPFVCRMCGKKYTRKDQLEYHIRKHTGNKPFHCHVCGKSFPFQAILNQHFRKNHPGCIPLEGPHSISPETTVTSRGQAEEESPSQEETVAPGEAVQGSVSTTGPD
+>DECOY_sp|Q5TC79|ZBT37_HUMAN Zinc finger and BTB domain-containing protein 37 OS=Homo sapiens OX=9606 GN=ZBTB37 PE=2 SV=1
+DPGTTSVSGQVAEGPAVTEEQSPSEEEAQGRSTVTTEPSISHPGELPICGPHNKRFHQNLIAQFPFSKGCVHCHFPKNGTHKRIHYELQDKRTYKKGCMRCVFPTIGMHLRMHRDLSGKQNFSKACYICTLRPNYRFWRESVEQERLYEVYGSVGMMASEEVQSSPQKPEDMRGPSESRARHRETLPVVSGSPSFRDVESSTPRAVKAGSSGLTYNEQGVSGHGTTDIVMATVEEASSGDEGSPQNEPGLWEELNETKIHVAGLVRVEDDSRGGRDAVGTEVYWQGARNIRLIPERSEVDSSPEIIQPSTSEEPPSLERIDLVASVQGRRNGKPTNHRPSLSRNLNPTVRHSEPPREQHKTRTQSLEAEVEAVNIKFHIGELIQTCKDIIHQMQLFSAATLYSIIDALQLCIRGTYCFSLLQEFVTPNKIVSISVTSMENLSMHDRFYPSSAALVVKHARFAQGQVNVVIDCLRGQMRLQNLHSLVSNSFDPIELQINGGKEM
+>sp|Q8NAP3|ZBT38_HUMAN Zinc finger and BTB domain-containing protein 38 OS=Homo sapiens OX=9606 GN=ZBTB38 PE=1 SV=2
+MTVMSLSRDLKDDFHSDTVLSILNEQRIRGILCDVTIIVEDTKFKAHSNVLAASSLYFKNIFWSHTICISSHVLELDDLKAEVFTEILNYIYSSTVVVKRQETVTDLAAAGKKLGISFLEDLTDRNFSNSPGPYVFCITEKGVVKEEKNEKRHEEPAITNGPRITNAFSIIETENSNNMFSPLDLRASFKKVSDSMRTASLCLERTDVCHEAEPVRTLAEHSYAVSSVAEAYRSQPVREHDGSSPGNTGKENCEALAAKPKTCRKPKTFSIPQDSDSATENIPPPPVSNLEVNQERSPQPAAVLTRSKSPNNEGDVHFSREDENQSSDVPGPPAAEVPPLVYNCSCCSKAFDSSTLLSAHMQLHKPTQEPLVCKYCNKQFTTLNRLDRHEQICMRSSHMPIPGGNQRFLENYPTIGQNGGSFTGPEPLLSENRIGEFSSTGSTLPDTDHMVKFVNGQMLYSCVVCKRSYVTLSSLRRHANVHSWRRTYPCHYCNKVFALAEYRTRHEIWHTGERRYQCIFCLETFMTYYILKNHQKSFHAIDHRLSISKKTANGGLKPSVYPYKLYRLLPMKCKRAPYKSYRNSSYENARENSQMNESAPGTYVVQNPHSSELPTLNFQDTVNTLTNSPAIPLETSACQDIPTSANVQNAEGTKWGEEALKMDLDNNFYSTEVSVSSTENAVSSDLRAGDVPVLSLSNSSENAASVISYSGSAPSVIVHSSQFSSVIMHSNAIAAMTSSNHRAFSDPAVSQSLKDDSKPEPDKVGRFASRPKSIKEKKKTTSHTRGEIPEESNYVADPGGSLSKTTNIAEETSKIETYIAKPALPGTSTNSNVAPLCQITVKIGNEAIVKRHILGSKLFYKRGRRPKYQMQEEPLPQGNDPEPSGDSPLGLCQSECMEMSEVFDDASDQDSTDKPWRPYYNYKPKKKSRQLKKMRKVNWRKEHGNRSPSHKCKYPAELDCAVGKAPQDKPFEEEETKEMPKLQCELCDGDKAVGAGNQGRPHRHLTSRPYACELCAKQFQSPSTLKMHMRCHTGEKPYQCKTCGRCFSVQGNLQKHERIHLGLKEFVCQYCNKAFTLNETLKIHERIHTGEKRYHCQFCFQRFLYLSTKRNHEQRHIREHNGKGYACFQCPKICKTAAALGMHQKKHLFKSPSQQEKIGDVCHENSNPLENQHFIGSEDNDQKDNIQTGVENVVL
+>DECOY_sp|Q8NAP3|ZBT38_HUMAN Zinc finger and BTB domain-containing protein 38 OS=Homo sapiens OX=9606 GN=ZBTB38 PE=1 SV=2
+LVVNEVGTQINDKQDNDESGIFHQNELPNSNEHCVDGIKEQQSPSKFLHKKQHMGLAAATKCIKPCQFCAYGKGNHERIHRQEHNRKTSLYLFRQFCFQCHYRKEGTHIREHIKLTENLTFAKNCYQCVFEKLGLHIREHKQLNGQVSFCRGCTKCQYPKEGTHCRMHMKLTSPSQFQKACLECAYPRSTLHRHPRGQNGAGVAKDGDCLECQLKPMEKTEEEEFPKDQPAKGVACDLEAPYKCKHSPSRNGHEKRWNVKRMKKLQRSKKKPKYNYYPRWPKDTSDQDSADDFVESMEMCESQCLGLPSDGSPEPDNGQPLPEEQMQYKPRRGRKYFLKSGLIHRKVIAENGIKVTIQCLPAVNSNTSTGPLAPKAIYTEIKSTEEAINTTKSLSGGPDAVYNSEEPIEGRTHSTTKKKEKISKPRSAFRGVKDPEPKSDDKLSQSVAPDSFARHNSSTMAAIANSHMIVSSFQSSHVIVSPASGSYSIVSAANESSNSLSLVPVDGARLDSSVANETSSVSVETSYFNNDLDMKLAEEGWKTGEANQVNASTPIDQCASTELPIAPSNTLTNVTDQFNLTPLESSHPNQVVYTGPASENMQSNERANEYSSNRYSKYPARKCKMPLLRYLKYPYVSPKLGGNATKKSISLRHDIAHFSKQHNKLIYYTMFTELCFICQYRREGTHWIEHRTRYEALAFVKNCYHCPYTRRWSHVNAHRRLSSLTVYSRKCVVCSYLMQGNVFKVMHDTDPLTSGTSSFEGIRNESLLPEPGTFSGGNQGITPYNELFRQNGGPIPMHSSRMCIQEHRDLRNLTTFQKNCYKCVLPEQTPKHLQMHASLLTSSDFAKSCCSCNYVLPPVEAAPPGPVDSSQNEDERSFHVDGENNPSKSRTLVAAPQPSREQNVELNSVPPPPINETASDSDQPISFTKPKRCTKPKAALAECNEKGTNGPSSGDHERVPQSRYAEAVSSVAYSHEALTRVPEAEHCVDTRELCLSATRMSDSVKKFSARLDLPSFMNNSNETEIISFANTIRPGNTIAPEEHRKENKEEKVVGKETICFVYPGPSNSFNRDTLDELFSIGLKKGAAALDTVTEQRKVVVTSSYIYNLIETFVEAKLDDLELVHSSICITHSWFINKFYLSSAALVNSHAKFKTDEVIITVDCLIGRIRQENLISLVTDSHFDDKLDRSLSMVTM
+>sp|O43298|ZBT43_HUMAN Zinc finger and BTB domain-containing protein 43 OS=Homo sapiens OX=9606 GN=ZBTB43 PE=1 SV=1
+MEPGTNSFRVEFPDFSSTILQKLNQQRQQGQLCDVSIVVQGHIFRAHKAVLAASSPYFCDQVLLKNSRRIVLPDVMNPRVFENILLSSYTGRLVMPAPEIVSYLTAASFLQMWHVVDKCTEVLEGNPTVLCQKLNHGSDHQSPSSSSYNGLVESFELGSGGHTDFPKAQELRDGENEEESTKDELSSQLTEHEYLPSNSSTEHDRLSTEMASQDGEEGASDSAEFHYTRPMYSKPSIMAHKRWIHVKPERLEQACEGMDVHATYDEHQVTESINTVQTEHTVQPSGVEEDFHIGEKKVEAEFDEQADESNYDEQVDFYGSSMEEFSGERSDGNLIGHRQEAALAAGYSENIEMVTGIKEEASHLGFSATDKLYPCQCGKSFTHKSQRDRHMSMHLGLRPYGCGVCGKKFKMKHHLVGHMKIHTGIKPYECNICAKRFMWRDSFHRHVTSCTKSYEAAKAEQNTTEAN
+>DECOY_sp|O43298|ZBT43_HUMAN Zinc finger and BTB domain-containing protein 43 OS=Homo sapiens OX=9606 GN=ZBTB43 PE=1 SV=1
+NAETTNQEAKAAEYSKTCSTVHRHFSDRWMFRKACINCEYPKIGTHIKMHGVLHHKMKFKKGCVGCGYPRLGLHMSMHRDRQSKHTFSKGCQCPYLKDTASFGLHSAEEKIGTVMEINESYGAALAAEQRHGILNGDSREGSFEEMSSGYFDVQEDYNSEDAQEDFEAEVKKEGIHFDEEVGSPQVTHETQVTNISETVQHEDYTAHVDMGECAQELREPKVHIWRKHAMISPKSYMPRTYHFEASDSAGEEGDQSAMETSLRDHETSSNSPLYEHETLQSSLEDKTSEEENEGDRLEQAKPFDTHGGSGLEFSEVLGNYSSSSPSQHDSGHNLKQCLVTPNGELVETCKDVVHWMQLFSAATLYSVIEPAPMVLRGTYSSLLINEFVRPNMVDPLVIRRSNKLLVQDCFYPSSAALVAKHARFIHGQVVISVDCLQGQQRQQNLKQLITSSFDPFEVRFSNTGPEM
+>sp|Q53FD0|ZC21C_HUMAN Zinc finger C2HC domain-containing protein 1C OS=Homo sapiens OX=9606 GN=ZC2HC1C PE=1 SV=3
+MAGLQRLASHLPVGVMLPHNTTEAPGPHSAKQDSYEQGDSSQQSLKGHLRNNFQKQLLSNKELILDKVYTHPKWNTQTKARSYSYPHCTGISQQDPESDSQGQGNGLFYSSGPQSWYPKANNQDFIPFTKKRVGVDRAFPLKPMVHRKSCSTGEAGTDGDHNVYPRPPEPREFSSRNFGVRNQGNFSVVGTVLAATQAEKAVANFDRTEWVQIRRLEAAGESLEEEIRRKQILLRGKLKKTEEELRRIQTQKEQAKENENGELQKIILPRSRVKGNKSNTMYKPIFSPEFEFEEEFSRDRREDETWGRSQQNSGPFQFSDYRIQRLKRERLVASNNKIRDPVSEPSVEKFSPPSETPVGALQGSARNSSLSMAPDSSGSSGSIEEPQLGECSHCGRKFLSFRLERHSNICSRMRGSKRKVFDSSRARAKGTELEQYLNWKGPASAKAEPPQKSNWR
+>DECOY_sp|Q53FD0|ZC21C_HUMAN Zinc finger C2HC domain-containing protein 1C OS=Homo sapiens OX=9606 GN=ZC2HC1C PE=1 SV=3
+RWNSKQPPEAKASAPGKWNLYQELETGKARARSSDFVKRKSGRMRSCINSHRELRFSLFKRGCHSCEGLQPEEISGSSGSSDPAMSLSSNRASGQLAGVPTESPPSFKEVSPESVPDRIKNNSAVLRERKLRQIRYDSFQFPGSNQQSRGWTEDERRDRSFEEEFEFEPSFIPKYMTNSKNGKVRSRPLIIKQLEGNENEKAQEKQTQIRRLEEETKKLKGRLLIQKRRIEEELSEGAAELRRIQVWETRDFNAVAKEAQTAALVTGVVSFNGQNRVGFNRSSFERPEPPRPYVNHDGDTGAEGTSCSKRHVMPKLPFARDVGVRKKTFPIFDQNNAKPYWSQPGSSYFLGNGQGQSDSEPDQQSIGTCHPYSYSRAKTQTNWKPHTYVKDLILEKNSLLQKQFNNRLHGKLSQQSSDGQEYSDQKASHPGPAETTNHPLMVGVPLHSALRQLGAM
+>sp|Q8IXZ2|ZC3H3_HUMAN Zinc finger CCCH domain-containing protein 3 OS=Homo sapiens OX=9606 GN=ZC3H3 PE=1 SV=3
+MEEKEILRRQIRLLQGLIDDYKTLHGNAPAPGTPAASGWQPPTYHSGRAFSARYPRPSRRGYSSHHGPSWRKKYSLVNRPPGPSDPPADHAVRPLHGARGGQPPVPQQHVLERQVQLSQGQNVVIKVKPPSKSGSASASGAQRGSLEEFEETPWSDQRPREGEGEPPRGQLQPSRPTRARGTCSVEDPLLVCQKEPGKPRMVKSVGSVGDSPREPRRTVSESVIAVKASFPSSALPPRTGVALGRKLGSHSVASCAPQLLGDRRVDAGHTDQPVPSGSVGGPARPASGPRQAREASLVVTCRTNKFRKNNYKWVAASSKSPRVARRALSPRVAAENVCKASAGMANKVEKPQLIADPEPKPRKPATSSKPGSAPSKYKWKASSPSASSSSSFRWQSEASSKDHASQLSPVLSRSPSGDRPAVGHSGLKPLSGETPLSAYKVKSRTKIIRRRSSTSLPGDKKSGTSPAATAKSHLSLRRRQALRGKSSPVLKKTPNKGLVQVTTHRLCRLPPSRAHLPTKEASSLHAVRTAPTSKVIKTRYRIVKKTPASPLSAPPFPLSLPSWRARRLSLSRSLVLNRLRPVASGGGKAQPGSPWWRSKGYRCIGGVLYKVSANKLSKTSGQPSDAGSRPLLRTGRLDPAGSCSRSLASRAVQRSLAIIRQARQRREKRKEYCMYYNRFGRCNRGERCPYIHDPEKVAVCTRFVRGTCKKTDGTCPFSHHVSKEKMPVCSYFLKGICSNSNCPYSHVYVSRKAEVCSDFLKGYCPLGAKCKKKHTLLCPDFARRGACPRGAQCQLLHRTQKRHSRRAATSPAPGPSDATARSRVSASHGPRKPSASQRPTRQTPSSAALTAAAVAAPPHCPGGSASPSSSKASSSSSSSSSPPASLDHEAPSLQEAALAAACSNRLCKLPSFISLQSSPSPGAQPRVRAPRAPLTKDSGKPLHIKPRL
+>DECOY_sp|Q8IXZ2|ZC3H3_HUMAN Zinc finger CCCH domain-containing protein 3 OS=Homo sapiens OX=9606 GN=ZC3H3 PE=1 SV=3
+LRPKIHLPKGSDKTLPARPARVRPQAGPSPSSQLSIFSPLKCLRNSCAAALAAEQLSPAEHDLSAPPSSSSSSSSSAKSSSPSASGGPCHPPAAVAAATLAASSPTQRTPRQSASPKRPGHSASVRSRATADSPGPAPSTAARRSHRKQTRHLLQCQAGRPCAGRRAFDPCLLTHKKKCKAGLPCYGKLFDSCVEAKRSVYVHSYPCNSNSCIGKLFYSCVPMKEKSVHHSFPCTGDTKKCTGRVFRTCVAVKEPDHIYPCREGRNCRGFRNYYMCYEKRKERRQRAQRIIALSRQVARSALSRSCSGAPDLRGTRLLPRSGADSPQGSTKSLKNASVKYLVGGICRYGKSRWWPSGPQAKGGGSAVPRLRNLVLSRSLSLRRARWSPLSLPFPPASLPSAPTKKVIRYRTKIVKSTPATRVAHLSSAEKTPLHARSPPLRCLRHTTVQVLGKNPTKKLVPSSKGRLAQRRRLSLHSKATAAPSTGSKKDGPLSTSSRRRIIKTRSKVKYASLPTEGSLPKLGSHGVAPRDGSPSRSLVPSLQSAHDKSSAESQWRFSSSSSASPSSAKWKYKSPASGPKSSTAPKRPKPEPDAILQPKEVKNAMGASAKCVNEAAVRPSLARRAVRPSKSSAAVWKYNNKRFKNTRCTVVLSAERAQRPGSAPRAPGGVSGSPVPQDTHGADVRRDGLLQPACSAVSHSGLKRGLAVGTRPPLASSPFSAKVAIVSESVTRRPERPSDGVSGVSKVMRPKGPEKQCVLLPDEVSCTGRARTPRSPQLQGRPPEGEGERPRQDSWPTEEFEELSGRQAGSASASGSKSPPKVKIVVNQGQSLQVQRELVHQQPVPPQGGRAGHLPRVAHDAPPDSPGPPRNVLSYKKRWSPGHHSSYGRRSPRPYRASFARGSHYTPPQWGSAAPTGPAPANGHLTKYDDILGQLLRIQRRLIEKEEM
+>sp|Q5T200|ZC3HD_HUMAN Zinc finger CCCH domain-containing protein 13 OS=Homo sapiens OX=9606 GN=ZC3H13 PE=1 SV=1
+MSKIRRKVTVENTKTISDSTSRRPSVFERLGPSTGSTAETQCRNWLKTGNCLYGNTCRFVHGPSPRGKGYSSNYRRSPERPTGDLRERMKNKRQDVDTEPQKRNTEESSSPVRKESSRGRHREKEDIKITKERTPESEEENVEWETNRDDSDNGDINYDYVHELSLEMKRQKIQRELMKLEQENMEKREEIIIKKEVSPEVVRSKLSPSPSLRKSSKSPKRKSSPKSSSASKKDRKTSAVSSPLLDQQRNSKTNQSKKKGPRTPSPPPPIPEDIALGKKYKEKYKVKDRIEEKTRDGKDRGRDFERQREKRDKPRSTSPAGQHHSPISSRHHSSSSQSGSSIQRHSPSPRRKRTPSPSYQRTLTPPLRRSASPYPSHSLSSPQRKQSPPRHRSPMREKGRHDHERTSQSHDRRHERREDTRGKRDREKDSREEREYEQDQSSSRDHRDDREPRDGRDRRDARDTRDRRELRDSRDMRDSREMRDYSRDTKESRDPRDSRSTRDAHDYRDREGRDTHRKEDTYPEESRSYGRNHLREESSRTEIRNESRNESRSEIRNDRMGRSRGRVPELPEKGSRGSRGSQIDSHSSNSNYHDSWETRSSYPERDRYPERDNRDQARDSSFERRHGERDRRDNRERDQRPSSPIRHQGRNDELERDERREERRVDRVDDRRDERARERDRERERDRERERERERERDREREKERELERERAREREREREKERDRERDRDRDHDRERERERERDREKEREREREERERERERERERERERERERERARERDKERERQRDWEDKDKGRDDRREKREEIREDRNPRDGHDERKSKKRYRNEGSPSPRQSPKRRREHSPDSDAYNSGDDKNEKHRLLSQVVRPQESRSLSPSHLTEDRQGRWKEEDRKPERKESSRRYEEQELKEKVSSVDKQREQTEILESSRMRAQDIIGHHQSEDRETSDRAHDENKKKAKIQKKPIKKKKEDDVGIERGNIETTSEDGQVFSPKKGQKKKSIEKKRKKSKGDSDISDEEAAQQSKKKRGPRTPPITTKEELVEMCNGKNGILEDSQKKEDTAFSDWSDEDVPDRTEVTEAEHTATATTPGSTPSPLSSLLPPPPPVATATATTVPATLAATTAAAATSFSTSAITISTSATPTNTTNNTFANEDSHRKCHRTRVEKVETPHVTIEDAQHRKPMDQKRSSSLGSNRSNRSHTSGRLRSPSNDSAHRSGDDQSGRKRVLHSGSRDREKTKSLEITGERKSRIDQLKRGEPSRSTSSDRQDSRSHSSRRSSPESDRQVHSRSGSFDSRDRLQERDRYEHDRERERERRDTRQREWDRDADKDWPRNRDRDRLRERERERERDKRRDLDRERERLISDSVERDRDRDRDRTFESSQIESVKRCEAKLEGEHERDLESTSRDSLALDKERMDKDLGSVQGFEETNKSERTESLEGDDESKLDDAHSLGSGAGEGYEPISDDELDEILAGDAEKREDQQDEEKMPDPLDVIDVDWSGLMPKHPKEPREPGAALLKFTPGAVMLRVGISKKLAGSELFAKVKETCQRLLEKPKDADNLFEHELGALNMAALLRKEERASLLSNLGPCCKALCFRRDSAIRKQLVKNEKGTIKQAYTSAPMVDNELLRLSLRLFKRKTTCHAPGHEKTEDNKLSQSSIQQELCVS
+>DECOY_sp|Q5T200|ZC3HD_HUMAN Zinc finger CCCH domain-containing protein 13 OS=Homo sapiens OX=9606 GN=ZC3H13 PE=1 SV=1
+SVCLEQQISSQSLKNDETKEHGPAHCTTKRKFLRLSLRLLENDVMPASTYAQKITGKENKVLQKRIASDRRFCLAKCCPGLNSLLSAREEKRLLAAMNLAGLEHEFLNDADKPKELLRQCTEKVKAFLESGALKKSIGVRLMVAGPTFKLLAAGPERPEKPHKPMLGSWDVDIVDLPDPMKEEDQQDERKEADGALIEDLEDDSIPEYGEGAGSGLSHADDLKSEDDGELSETRESKNTEEFGQVSGLDKDMREKDLALSDRSTSELDREHEGELKAECRKVSEIQSSEFTRDRDRDRDREVSDSILRERERDLDRRKDRERERERERLRDRDRNRPWDKDADRDWERQRTDRRERERERDHEYRDREQLRDRSDFSGSRSHVQRDSEPSSRRSSHSRSDQRDSSTSRSPEGRKLQDIRSKREGTIELSKTKERDRSGSHLVRKRGSQDDGSRHASDNSPSRLRGSTHSRNSRNSGLSSSRKQDMPKRHQADEITVHPTEVKEVRTRHCKRHSDENAFTNNTTNTPTASTSITIASTSFSTAAAATTAALTAPVTTATATAVPPPPPLLSSLPSPTSGPTTATATHEAETVETRDPVDEDSWDSFATDEKKQSDELIGNKGNCMEVLEEKTTIPPTRPGRKKKSQQAAEEDSIDSDGKSKKRKKEISKKKQGKKPSFVQGDESTTEINGREIGVDDEKKKKIPKKQIKAKKKNEDHARDSTERDESQHHGIIDQARMRSSELIETQERQKDVSSVKEKLEQEEYRRSSEKREPKRDEEKWRGQRDETLHSPSLSRSEQPRVVQSLLRHKENKDDGSNYADSDPSHERRRKPSQRPSPSGENRYRKKSKREDHGDRPNRDERIEERKERRDDRGKDKDEWDRQREREKDRERAREREREREREREREREREREREEREREREKERDRERERERERDHDRDRDRERDREKERERERERARERELEREKERERDRERERERERERDRERERDRERAREDRRDDVRDVRREERREDRELEDNRGQHRIPSSPRQDRERNDRRDREGHRREFSSDRAQDRNDREPYRDREPYSSRTEWSDHYNSNSSHSDIQSGRSGRSGKEPLEPVRGRSRGMRDNRIESRSENRSENRIETRSSEERLHNRGYSRSEEPYTDEKRHTDRGERDRYDHADRTSRSDRPDRSEKTDRSYDRMERSDRMDRSDRLERRDRTDRADRRDRGDRPERDDRHDRSSSQDQEYEREERSDKERDRKGRTDERREHRRDHSQSTREHDHRGKERMPSRHRPPSQKRQPSSLSHSPYPSASRRLPPTLTRQYSPSPTRKRRPSPSHRQISSGSQSSSSHHRSSIPSHHQGAPSTSRPKDRKERQREFDRGRDKGDRTKEEIRDKVKYKEKYKKGLAIDEPIPPPPSPTRPGKKKSQNTKSNRQQDLLPSSVASTKRDKKSASSSKPSSKRKPSKSSKRLSPSPSLKSRVVEPSVEKKIIIEERKEMNEQELKMLERQIKQRKMELSLEHVYDYNIDGNDSDDRNTEWEVNEEESEPTREKTIKIDEKERHRGRSSEKRVPSSSEETNRKQPETDVDQRKNKMRERLDGTPREPSRRYNSSYGKGRPSPGHVFRCTNGYLCNGTKLWNRCQTEATSGTSPGLREFVSPRRSTSDSITKTNEVTVKRRIKSM
+>sp|Q6PJT7|ZC3HE_HUMAN Zinc finger CCCH domain-containing protein 14 OS=Homo sapiens OX=9606 GN=ZC3H14 PE=1 SV=1
+MEIGTEISRKIRSAIKGKLQELGAYVDEELPDYIMVMVANKKSQDQMTEDLSLFLGNNTIRFTVWLHGVLDKLRSVTTEPSSLKSSDTNIFDSNVPSNKSNFSRGDERRHEAAVPPLAIPSARPEKRDSRVSTSSQESKTTNVRQTYDDGAATRLMSTVKPLREPAPSEDVIDIKPEPDDLIDEDLNFVQENPLSQKKPTVTLTYGSSRPSIEIYRPPASRNADSGVHLNRLQFQQQQNSIHAAKQLDMQSSWVYETGRLCEPEVLNSLEETYSPFFRNNSEKMSMEDENFRKRKLPVVSSVVKVKKFNHDGEEEEEDDDYGSRTGSISSSVSVPAKPERRPSLPPSKQANKNLILKAISEAQESVTKTTNYSTVPQKQTLPVAPRTRTSQEELLAEVVQGQSRTPRISPPIKEEETKGDSVEKNQGTQQRQLLSRLQIDPVMAETLQMSQDYYDMESMVHADTRSFILKKPKLSEEVVVAPNQESGMKTADSLRVLSGHLMQTRDLVQPDKPASPKFIVTLDGVPSPPGYMSDQEEDMCFEGMKPVNQTAASNKGLRGLLHPQQLHLLSRQLEDPNGSFSNAEMSELSVAQKPEKLLERCKYWPACKNGDECAYHHPISPCKAFPNCKFAEKCLFVHPNCKYDAKCTKPDCPFTHVSRRIPVLSPKPAVAPPAPPSSSQLCRYFPACKKMECPFYHPKHCRFNTQCTRPDCTFYHPTINVPPRHALKWIRPQTSE
+>DECOY_sp|Q6PJT7|ZC3HE_HUMAN Zinc finger CCCH domain-containing protein 14 OS=Homo sapiens OX=9606 GN=ZC3H14 PE=1 SV=1
+ESTQPRIWKLAHRPPVNITPHYFTCDPRTCQTNFRCHKPHYFPCEMKKCAPFYRCLQSSSPPAPPAVAPKPSLVPIRRSVHTFPCDPKTCKADYKCNPHVFLCKEAFKCNPFAKCPSIPHHYACEDGNKCAPWYKCRELLKEPKQAVSLESMEANSFSGNPDELQRSLLHLQQPHLLGRLGKNSAATQNVPKMGEFCMDEEQDSMYGPPSPVGDLTVIFKPSAPKDPQVLDRTQMLHGSLVRLSDATKMGSEQNPAVVVEESLKPKKLIFSRTDAHVMSEMDYYDQSMQLTEAMVPDIQLRSLLQRQQTGQNKEVSDGKTEEEKIPPSIRPTRSQGQVVEALLEEQSTRTRPAVPLTQKQPVTSYNTTKTVSEQAESIAKLILNKNAQKSPPLSPRREPKAPVSVSSSISGTRSGYDDDEEEEEGDHNFKKVKVVSSVVPLKRKRFNEDEMSMKESNNRFFPSYTEELSNLVEPECLRGTEYVWSSQMDLQKAAHISNQQQQFQLRNLHVGSDANRSAPPRYIEISPRSSGYTLTVTPKKQSLPNEQVFNLDEDILDDPEPKIDIVDESPAPERLPKVTSMLRTAAGDDYTQRVNTTKSEQSSTSVRSDRKEPRASPIALPPVAAEHRREDGRSFNSKNSPVNSDFINTDSSKLSSPETTVSRLKDLVGHLWVTFRITNNGLFLSLDETMQDQSKKNAVMVMIYDPLEEDVYAGLEQLKGKIASRIKRSIETGIEM
+>sp|Q8WU90|ZC3HF_HUMAN Zinc finger CCCH domain-containing protein 15 OS=Homo sapiens OX=9606 GN=ZC3H15 PE=1 SV=1
+MPPKKQAQAGGSKKAEQKKKEKIIEDKTFGLKNKKGAKQQKFIKAVTHQVKFGQQNPRQVAQSEAEKKLKKDDKKKELQELNELFKPVVAAQKISKGADPKSVVCAFFKQGQCTKGDKCKFSHDLTLERKCEKRSVYIDARDEELEKDTMDNWDEKKLEEVVNKKHGEAEKKKPKTQIVCKHFLEAIENNKYGWFWVCPGGGDICMYRHALPPGFVLKKDKKKEEKEDEISLEDLIERERSALGPNVTKITLESFLAWKKRKRQEKIDKLEQDMERRKADFKAGKALVISGREVFEFRPELVNDDDEEADDTRYTQGTGGDEVDDSVSVNDIDLSLYIPRDVDETGITVASLERFSTYTSDKDENKLSEASGGRAENGERSDLEEDNEREGTENGAIDAVPVDENLFTGEDLDELEEELNTLDLEE
+>DECOY_sp|Q8WU90|ZC3HF_HUMAN Zinc finger CCCH domain-containing protein 15 OS=Homo sapiens OX=9606 GN=ZC3H15 PE=1 SV=1
+EELDLTNLEEELEDLDEGTFLNEDVPVADIAGNETGERENDEELDSREGNEARGGSAESLKNEDKDSTYTSFRELSAVTIGTEDVDRPIYLSLDIDNVSVSDDVEDGGTGQTYRTDDAEEDDDNVLEPRFEFVERGSIVLAKGAKFDAKRREMDQELKDIKEQRKRKKWALFSELTIKTVNPGLASREREILDELSIEDEKEEKKKDKKLVFGPPLAHRYMCIDGGGPCVWFWGYKNNEIAELFHKCVIQTKPKKKEAEGHKKNVVEELKKEDWNDMTDKELEEDRADIYVSRKECKRELTLDHSFKCKDGKTCQGQKFFACVVSKPDAGKSIKQAAVVPKFLENLEQLEKKKDDKKLKKEAESQAVQRPNQQGFKVQHTVAKIFKQQKAGKKNKLGFTKDEIIKEKKKQEAKKSGGAQAQKKPPM
+>sp|Q8TBK6|ZCH10_HUMAN Zinc finger CCHC domain-containing protein 10 OS=Homo sapiens OX=9606 GN=ZCCHC10 PE=1 SV=1
+MATPMHRLIARRQAFDTELQPVKTFWILIQPSIVISEANKQHVRCQKCLEFGHWTYECTGKRKYLHRPSRTAELKKALKEKENRLLLQQSIGETNVERKAKKKRSKSVTSSSSSSSDSSASDSSSESEETSTSSSSEDSDTDESSSSSSSSASSTTSSSSSDSDSDSSSSSSSSTSTDSSSDDEPPKKKKKK
+>DECOY_sp|Q8TBK6|ZCH10_HUMAN Zinc finger CCHC domain-containing protein 10 OS=Homo sapiens OX=9606 GN=ZCCHC10 PE=1 SV=1
+KKKKKKPPEDDSSSDTSTSSSSSSSSDSDSDSSSSSTTSSASSSSSSSSEDTDSDESSSSTSTEESESSSDSASSDSSSSSSSTVSKSRKKKAKREVNTEGISQQLLLRNEKEKLAKKLEATRSPRHLYKRKGTCEYTWHGFELCKQCRVHQKNAESIVISPQILIWFTKVPQLETDFAQRRAILRHMPTAM
+>sp|Q8WW36|ZCH13_HUMAN Zinc finger CCHC domain-containing protein 13 OS=Homo sapiens OX=9606 GN=ZCCHC13 PE=1 SV=1
+MSSKDFFACGHSGHWARGCPRGGAGGRRGGGHGRGSQCGSTTLSYTCYCCGESGRNAKNCVLLGNICYNCGRSGHIAKDCKDPKRERRQHCYTCGRLGHLARDCDRQKEQKCYSCGKLGHIQKDCAQVKCYRCGEIGHVAINCSKARPGQLLPLRQIPTSSQGMSQ
+>DECOY_sp|Q8WW36|ZCH13_HUMAN Zinc finger CCHC domain-containing protein 13 OS=Homo sapiens OX=9606 GN=ZCCHC13 PE=1 SV=1
+QSMGQSSTPIQRLPLLQGPRAKSCNIAVHGIEGCRYCKVQACDKQIHGLKGCSYCKQEKQRDCDRALHGLRGCTYCHQRRERKPDKCDKAIHGSRGCNYCINGLLVCNKANRGSEGCCYCTYSLTTSGCQSGRGHGGGRRGGAGGRPCGRAWHGSHGCAFFDKSSM
+>sp|Q6ZST2|ZCH23_HUMAN Zinc finger CCHC domain-containing protein 23 OS=Homo sapiens OX=9606 GN=ZCCHC23 PE=2 SV=1
+MLLLNQTLATTEKQTALQAAEKFVDELCISYSAKKGRGYARKRQKNLLATLQAYKPQNPKDAPVNCDKCGKPGNVKNDCPGSMRKPARPCPICGGDHWRVDCPQRCRSLGPKPVSQVSNRIDGPQGSCPWL
+>DECOY_sp|Q6ZST2|ZCH23_HUMAN Zinc finger CCHC domain-containing protein 23 OS=Homo sapiens OX=9606 GN=ZCCHC23 PE=2 SV=1
+LWPCSGQPGDIRNSVQSVPKPGLSRCRQPCDVRWHDGGCIPCPRAPKRMSGPCDNKVNGPKGCKDCNVPADKPNQPKYAQLTALLNKQRKRAYGRGKKASYSICLEDVFKEAAQLATQKETTALTQNLLLM
+>sp|Q9NUE0|ZDH18_HUMAN Palmitoyltransferase ZDHHC18 OS=Homo sapiens OX=9606 GN=ZDHHC18 PE=1 SV=2
+MKDCEYQQISPGAAPLPASPGARRPGPAASPTPGPGPAPPAAPAPPRWSSSGSGSGSGSGSLGRRPRRKWEVFPGRNRFYCGGRLMLAGHGGVFALTLLLILTTTGLFFVFDCPYLARKLTLAIPIIAAILFFFVMSCLLQTSFTDPGILPRATVCEAAALEKQIDNTGSSTYRPPPRTREVLINGQMVKLKYCFTCKMFRPPRTSHCSVCDNCVERFDHHCPWVGNCVGRRNYRFFYAFILSLSFLTAFIFACVVTHLTLRAQGSNFLSTLKETPASVLELVICFFSIWSILGLSGFHTYLVASNLTTNEDIKGSWSSKRGGEASVNPYSHKSIITNCCAVLCGPLPPSLIDRRGFVQSDTVLPSPIRSDEPACRAKPDASMVGGHP
+>DECOY_sp|Q9NUE0|ZDH18_HUMAN Palmitoyltransferase ZDHHC18 OS=Homo sapiens OX=9606 GN=ZDHHC18 PE=1 SV=2
+PHGGVMSADPKARCAPEDSRIPSPLVTDSQVFGRRDILSPPLPGCLVACCNTIISKHSYPNVSAEGGRKSSWSGKIDENTTLNSAVLYTHFGSLGLISWISFFCIVLELVSAPTEKLTSLFNSGQARLTLHTVVCAFIFATLFSLSLIFAYFFRYNRRGVCNGVWPCHHDFREVCNDCVSCHSTRPPRFMKCTFCYKLKVMQGNILVERTRPPPRYTSSGTNDIQKELAAAECVTARPLIGPDTFSTQLLCSMVFFFLIAAIIPIALTLKRALYPCDFVFFLGTTTLILLLTLAFVGGHGALMLRGGCYFRNRGPFVEWKRRPRRGLSGSGSGSGSGSSSWRPPAPAAPPAPGPGPTPSAAPGPRRAGPSAPLPAAGPSIQQYECDKM
+>sp|P10073|ZSC22_HUMAN Zinc finger and SCAN domain-containing protein 22 OS=Homo sapiens OX=9606 GN=ZSCAN22 PE=1 SV=2
+MAIPKHSLSPVPWEEDSFLQVKVEEEEEASLSQGGESSHDHIAHSEAARLRFRHFRYEEASGPHEALAHLRALCCQWLQPEAHSKEQILELLVLEQFLGALPPEIQAWVGAQSPKSGEEAAVLVEDLTQVLDKRGWDPGAEPTEASCKQSDLGESEPSNVTETLMGGVSLGPAFVKACEPEGSSERSGLSGEIWTKSVTQQIHFKKTSGPYKDVPTDQRGRESGASRNSSSAWPNLTSQEKPPSEDKFDLVDAYGTEPPYTYSGKRSSKCRECRKMFQSASALEAHQKTHSRKTPYACSECGKAFSRSTHLAQHQVVHTGAKPHECKECGKAFSRVTHLTQHQRIHTGEKPYKCGECGKTFSRSTHLTQHQRVHTGERPYECDACGKAFSQSTHLTQHQRIHTGEKPYKCDACGRAFSDCSALIRHLRIHSGEKPYQCKVCPKAFAQSSSLIEHQRIHTGEKPYKCSDCGKAFSRSSALMVHLRIHITVLQ
+>DECOY_sp|P10073|ZSC22_HUMAN Zinc finger and SCAN domain-containing protein 22 OS=Homo sapiens OX=9606 GN=ZSCAN22 PE=1 SV=2
+QLVTIHIRLHVMLASSRSFAKGCDSCKYPKEGTHIRQHEILSSSQAFAKPCVKCQYPKEGSHIRLHRILASCDSFARGCADCKYPKEGTHIRQHQTLHTSQSFAKGCADCEYPREGTHVRQHQTLHTSRSFTKGCEGCKYPKEGTHIRQHQTLHTVRSFAKGCEKCEHPKAGTHVVQHQALHTSRSFAKGCESCAYPTKRSHTKQHAELASASQFMKRCERCKSSRKGSYTYPPETGYADVLDFKDESPPKEQSTLNPWASSSNRSAGSERGRQDTPVDKYPGSTKKFHIQQTVSKTWIEGSLGSRESSGEPECAKVFAPGLSVGGMLTETVNSPESEGLDSQKCSAETPEAGPDWGRKDLVQTLDEVLVAAEEGSKPSQAGVWAQIEPPLAGLFQELVLLELIQEKSHAEPQLWQCCLARLHALAEHPGSAEEYRFHRFRLRAAESHAIHDHSSEGGQSLSAEEEEEVKVQLFSDEEWPVPSLSHKPIAM
+>sp|O15535|ZSC9_HUMAN Zinc finger and SCAN domain-containing protein 9 OS=Homo sapiens OX=9606 GN=ZSCAN9 PE=1 SV=1
+MNTNSKEVLSLGVQVPEAWEELLTMKVEAKSHLQWQESRLKRSNPLAREIFRRHFRQLCYQETPGPREALTRLQELCYQWLRPHVSTKEQILDLLVLEQFLSILPKELQGWVREHCPESGEEAVILLEDLERELDEPQHEMVAHRHRQEVLCKEMVPLAEQTPLTLQSQPKEPQLTCDSAQKCHSIGETDEVTKTEDRELVLRKDCPKIVEPHGKMFNEQTWEVSQQDPSHGEVGEHKDRIERQWGNLLGEGQHKCDECGKSFTQSSGLIRHQRIHTGERPYECNECGKAFSRSSGLFNHRGIHNIQKRYHCKECGKVFSQSAGLIQHQRIHKGEKPYQCSQCSKSYSRRSFLIEHQRSHTGERPHQCIECGKSFNRHCNLIRHQKIHTVAELV
+>DECOY_sp|O15535|ZSC9_HUMAN Zinc finger and SCAN domain-containing protein 9 OS=Homo sapiens OX=9606 GN=ZSCAN9 PE=1 SV=1
+VLEAVTHIKQHRILNCHRNFSKGCEICQHPREGTHSRQHEILFSRRSYSKSCQSCQYPKEGKHIRQHQILGASQSFVKGCEKCHYRKQINHIGRHNFLGSSRSFAKGCENCEYPREGTHIRQHRILGSSQTFSKGCEDCKHQGEGLLNGWQREIRDKHEGVEGHSPDQQSVEWTQENFMKGHPEVIKPCDKRLVLERDETKTVEDTEGISHCKQASDCTLQPEKPQSQLTLPTQEALPVMEKCLVEQRHRHAVMEHQPEDLERELDELLIVAEEGSEPCHERVWGQLEKPLISLFQELVLLDLIQEKTSVHPRLWQYCLEQLRTLAERPGPTEQYCLQRFHRRFIERALPNSRKLRSEQWQLHSKAEVKMTLLEEWAEPVQVGLSLVEKSNTNM
+>sp|Q9H7M6|ZSWM4_HUMAN Zinc finger SWIM domain-containing protein 4 OS=Homo sapiens OX=9606 GN=ZSWIM4 PE=2 SV=3
+MEPPAAKRSRGCPAGPEERDAGAGAARGRGRPEALLDLSAKRVAESWAFEQVEERFSRVPEPVQKRIVFWSFPRSEREICMYSSLGYPPPEGEHDARVPFTRGLHLLQSGAVDRVLQVGFHLSGNIREPGSPGEPERLYHVSISFDRCKITSVSCGCDNRDLFYCAHVVALSLYRIRHAHQVELRLPISETLSQMNRDQLQKFVQYLISAHHTEVLPTAQRLADEILLLGSEINLVNGAPDPTAGAGIEDANCWHLDEEQIQEQVKQLLSNGGYYGASQQLRSMFSKVREMLRMRDSNGARMLILMTEQFLQDTRLALWRQQGAGMTDKCRQLWDELGALWVCVVLSPHCKPEERAGWLQLLSRWDKLDVCPLEEGNYSFDGPSLQPTMAPAPELLQKGSTCITNTEGWVGHPLDPIGCLCRALLEACRLEEETLTLYPDSGPEKRKVAYQHVPVPGSPGESYLVLALEVALLGLGQQRALPEGLYAQDKVVRNEEQLLALLEEVELDERLVQVLRKQAGLLLEGGPFSGFGEVLFRESVPMHTCARYLFTALLPHDPDLAYRLALRAMRLPILETAFPAGEPHPSPLDSIMSNRFPRWFILGHLETRQCELASTMLTAAKGDPKWLHTVLGSIQQNIHSPALLFKLAQDACKTATPVSAPPDTTLLGIALELGLQVMRMTLNVMTWRRREMVRWLVSCATEIGPQALMNIMQNWYSLFTPVEAATIVAVTGTTHATLLRLQLDTSRREELWACARTLALQCAMKDPQNCALPALTLCEKNHSAFEAAYQIVLDAAAGGLGHAHLFTVARYMEHRGLPLRAYKLATLALAQLSIAFNQDSHPAVNDVLWACSLSHSLGRHELSAIVPLIIRSIHCAPMLSDILRRWTLSAPGLGPLGARRAAKPLGADRAPLCQLLDAAVTAYITTSHSRLTHISPRHYGDFIEFLGKARETFLLAPDGHLQFSQFLENLKQTYKGKKKLMLLVRERFG
+>DECOY_sp|Q9H7M6|ZSWM4_HUMAN Zinc finger SWIM domain-containing protein 4 OS=Homo sapiens OX=9606 GN=ZSWIM4 PE=2 SV=3
+GFRERVLLMLKKKGKYTQKLNELFQSFQLHGDPALLFTERAKGLFEIFDGYHRPSIHTLRSHSTTIYATVAADLLQCLPARDAGLPKAARRAGLPGLGPASLTWRRLIDSLMPACHISRIILPVIASLEHRGLSHSLSCAWLVDNVAPHSDQNFAISLQALALTALKYARLPLGRHEMYRAVTFLHAHGLGGAAADLVIQYAAEFASHNKECLTLAPLACNQPDKMACQLALTRACAWLEERRSTDLQLRLLTAHTTGTVAVITAAEVPTFLSYWNQMINMLAQPGIETACSVLWRVMERRRWTMVNLTMRMVQLGLELAIGLLTTDPPASVPTATKCADQALKFLLAPSHINQQISGLVTHLWKPDGKAATLMTSALECQRTELHGLIFWRPFRNSMISDLPSPHPEGAPFATELIPLRMARLALRYALDPDHPLLATFLYRACTHMPVSERFLVEGFGSFPGGELLLGAQKRLVQVLREDLEVEELLALLQEENRVVKDQAYLGEPLARQQGLGLLAVELALVLYSEGPSGPVPVHQYAVKRKEPGSDPYLTLTEEELRCAELLARCLCGIPDLPHGVWGETNTICTSGKQLLEPAPAMTPQLSPGDFSYNGEELPCVDLKDWRSLLQLWGAREEPKCHPSLVVCVWLAGLEDWLQRCKDTMGAGQQRWLALRTDQLFQETMLILMRAGNSDRMRLMERVKSFMSRLQQSAGYYGGNSLLQKVQEQIQEEDLHWCNADEIGAGATPDPAGNVLNIESGLLLIEDALRQATPLVETHHASILYQVFKQLQDRNMQSLTESIPLRLEVQHAHRIRYLSLAVVHACYFLDRNDCGCSVSTIKCRDFSISVHYLREPEGPSGPERINGSLHFGVQLVRDVAGSQLLHLGRTFPVRADHEGEPPPYGLSSYMCIERESRPFSWFVIRKQVPEPVRSFREEVQEFAWSEAVRKASLDLLAEPRGRGRAAGAGADREEPGAPCGRSRKAAPPEM
+>sp|Q9HCJ5|ZSWM6_HUMAN Zinc finger SWIM domain-containing protein 6 OS=Homo sapiens OX=9606 GN=ZSWIM6 PE=1 SV=2
+MAERGQQPPPAKRLCCRPGGGGGGGGSSGGGGGAGGGYSSACRPGPRAGGAAAAAACGGGAALGLLPPGKTQSPESLLDIAARRVAEKWPFQRVEERFERIPEPVQRRIVYWSFPRSEREICMYSSFNTGGGAAGGPGDDSGGGGGAGGGGGGGSSSSPAATSAAATSAAAAAAAAAAAAAAAAGAGAPSVGAAGAADGGDETRLPFRRGIALLESGCVDNVLQVGFHLSGTVTEPAIQSEPETVCNVAISFDRCKITSVTCSCGNKDIFYCAHVVALSLYRIRKPDQVKLHLPISETLFQMNRDQLQKFVQYLITVHHTEVLPTAQKLADEILSQNSEINQVHGAPDPTAGASIDDENCWHLDEEQVQEQVKLFLSQGGYHGSGKQLNLLFAKVREMLKMRDSNGARMLTLITEQFMADPRLSLWRQQGTAMTDKYRQLWDELGALWMCIVLNPHCKLEQKASWLKQLKKWNSVDVCPWEDGNHGSELPNLTNALPQGANANQDSSNRPHRTVFTRAIEACDLHWQDSHLQHIISSDLYTNYCYHDDTENSLFDSRGWPLWHEHVPTACARVDALRSHGYPREALRLAIAIVNTLRRQQQKQLEMFRTQKKELPHKNITSITNLEGWVGHPLDPVGTLFSSLMEACRIDDENLSGFSDFTENMGQCKSLEYQHLPAHKFLEEGESYLTLAVEVALIGLGQQRIMPDGLYTQEKVCRNEEQLISKLQEIELDDTLVKIFRKQAVFLLEAGPYSGLGEIIHRESVPMHTFAKYLFTSLLPHDAELAYKIALRAMRLLVLESTAPSGDLTRPHHIASVVPNRYPRWFTLSHIESQQCELASTMLTAAKGDVRRLETVLESIQKNIHSSSHIFKLAQDAFKIATLMDSLPDITLLKVSLELGLQVMRMTLSTLNWRRREMVRWLVTCATEVGVYALDSIMQTWFTLFTPTEATSIVATTVMSNSTIVRLHLDCHQQEKLASSARTLALQCAMKDPQNCALSALTLCEKDHIAFETAYQIVLDAATTGMSYTQLFTIARYMEHRGYPMRAYKLATLAMTHLNLSYNQDTHPAINDVLWACALSHSLGKNELAAIIPLVVKSVKCATVLSDILRRCTLTTPGMVGLHGRRNSGKLMSLDKAPLRQLLDATIGAYINTTHSRLTHISPRHYSEFIEFLSKARETFLMAHDGHIQFTQFIDNLKQIYKGKKKLMMLVRERFG
+>DECOY_sp|Q9HCJ5|ZSWM6_HUMAN Zinc finger SWIM domain-containing protein 6 OS=Homo sapiens OX=9606 GN=ZSWIM6 PE=1 SV=2
+GFRERVLMMLKKKGKYIQKLNDIFQTFQIHGDHAMLFTERAKSLFEIFESYHRPSIHTLRSHTTNIYAGITADLLQRLPAKDLSMLKGSNRRGHLGVMGPTTLTCRRLIDSLVTACKVSKVVLPIIAALENKGLSHSLACAWLVDNIAPHTDQNYSLNLHTMALTALKYARMPYGRHEMYRAITFLQTYSMGTTAADLVIQYATEFAIHDKECLTLASLACNQPDKMACQLALTRASSALKEQQHCDLHLRVITSNSMVTTAVISTAETPTFLTFWTQMISDLAYVGVETACTVLWRVMERRRWNLTSLTMRMVQLGLELSVKLLTIDPLSDMLTAIKFADQALKFIHSSSHINKQISELVTELRRVDGKAATLMTSALECQQSEIHSLTFWRPYRNPVVSAIHHPRTLDGSPATSELVLLRMARLAIKYALEADHPLLSTFLYKAFTHMPVSERHIIEGLGSYPGAELLFVAQKRFIKVLTDDLEIEQLKSILQEENRCVKEQTYLGDPMIRQQGLGILAVEVALTLYSEGEELFKHAPLHQYELSKCQGMNETFDSFGSLNEDDIRCAEMLSSFLTGVPDLPHGVWGELNTISTINKHPLEKKQTRFMELQKQQQRRLTNVIAIALRLAERPYGHSRLADVRACATPVHEHWLPWGRSDFLSNETDDHYCYNTYLDSSIIHQLHSDQWHLDCAEIARTFVTRHPRNSSDQNANAGQPLANTLNPLESGHNGDEWPCVDVSNWKKLQKLWSAKQELKCHPNLVICMWLAGLEDWLQRYKDTMATGQQRWLSLRPDAMFQETILTLMRAGNSDRMKLMERVKAFLLNLQKGSGHYGGQSLFLKVQEQVQEEDLHWCNEDDISAGATPDPAGHVQNIESNQSLIEDALKQATPLVETHHVTILYQVFKQLQDRNMQFLTESIPLHLKVQDPKRIRYLSLAVVHACYFIDKNGCSCTVSTIKCRDFSIAVNCVTEPESQIAPETVTGSLHFGVQLVNDVCGSELLAIGRRFPLRTEDGGDAAGAAGVSPAGAGAAAAAAAAAAAAAAAASTAAASTAAPSSSSGGGGGGGAGGGGGSDDGPGGAAGGGTNFSSYMCIERESRPFSWYVIRRQVPEPIREFREEVRQFPWKEAVRRAAIDLLSEPSQTKGPPLLGLAAGGGCAAAAAAGGARPGPRCASSYGGGAGGGGGSSGGGGGGGGPRCCLRKAPPPQQGREAM
+>sp|Q9H900|ZWILC_HUMAN Protein zwilch homolog OS=Homo sapiens OX=9606 GN=ZWILCH PE=1 SV=2
+MWERLNCAAEDFYSRLLQKFNEEKKGIRKDPFLYEADVQVQLISKGQPNPLKNILNENDIVFIVEKVPLEKEETSHIEELQSEETAISDFSTGENVGPLALPVGKARQLIGLYTMAHNPNMTHLKINLPVTALPPLWVRCDSSDPEGTCWLGAELITTNNSITGIVLYVVSCKADKNYSVNLENLKNLHKKRHHLSTVTSKGFAQYELFKSSALDDTITASQTAIALDISWSPVDEILQIPPLSSTATLNIKVESGEPRGPLNHLYRELKFLLVLADGLRTGVTEWLEPLEAKSAVELVQEFLNDLNKLDGFGDSTKKDTEVETLKHDTAAVDRSVKRLFKVRSDLDFAEQLWCKMSSSVISYQDLVKCFTLIIQSLQRGDIQPWLHSGSNSLLSKLIHQSYHGTMDTVSLSGTIPVQMLLEIGLDKLKKDYISFFIGQELASLNHLEYFIAPSVDIQEQVYRVQKLHHILEILVSCMPFIKSQHELLFSLTQICIKYYKQNPLDEQHIFQLPVRPTAVKNLYQSEKPQKWRVEIYSGQKKIKTVWQLSDSSPIDHLNFHKPDFSELTLNGSLEERIFFTNMVTCSQVHFK
+>DECOY_sp|Q9H900|ZWILC_HUMAN Protein zwilch homolog OS=Homo sapiens OX=9606 GN=ZWILCH PE=1 SV=2
+KFHVQSCTVMNTFFIREELSGNLTLESFDPKHFNLHDIPSSDSLQWVTKIKKQGSYIEVRWKQPKESQYLNKVATPRVPLQFIHQEDLPNQKYYKICIQTLSFLLEHQSKIFPMCSVLIELIHHLKQVRYVQEQIDVSPAIFYELHNLSALEQGIFFSIYDKKLKDLGIELLMQVPITGSLSVTDMTGHYSQHILKSLLSNSGSHLWPQIDGRQLSQIILTFCKVLDQYSIVSSSMKCWLQEAFDLDSRVKFLRKVSRDVAATDHKLTEVETDKKTSDGFGDLKNLDNLFEQVLEVASKAELPELWETVGTRLGDALVLLFKLERYLHNLPGRPEGSEVKINLTATSSLPPIQLIEDVPSWSIDLAIATQSATITDDLASSKFLEYQAFGKSTVTSLHHRKKHLNKLNELNVSYNKDAKCSVVYLVIGTISNNTTILEAGLWCTGEPDSSDCRVWLPPLATVPLNIKLHTMNPNHAMTYLGILQRAKGVPLALPGVNEGTSFDSIATEESQLEEIHSTEEKELPVKEVIFVIDNENLINKLPNPQGKSILQVQVDAEYLFPDKRIGKKEENFKQLLRSYFDEAACNLREWM
+>sp|P98169|ZXDB_HUMAN Zinc finger X-linked protein ZXDB OS=Homo sapiens OX=9606 GN=ZXDB PE=2 SV=2
+MEIPKLLPARGTLQGGGGGGIPAGGGRVHRGPDSPAGQVPTRRLLLLRGPQDGGPGRRREEASTASRGPGPSLLAPRTDQPSGGGGGGGDDFFLVLLDPVGGDVETAGSGQAAGPVLREEAEEGPGLQGGESGANPAGPTALGPRCLSAVPTPAPISAPGPAAAFAGTVTIHNQDLLLRFENGVLTLATPPPHAWEPGAAPAQQPGCLIAPQAGFPHAAHPGDCPELPPDLLLAEPAEPAPAPAPEEEAEGPAAALGPRGPLGSGPGVVLYLCPEAQCGQTFAKKHQLKVHLLTHSSSQGQRPFKCPLGGCGWTFTTSYKLKRHLQSHDKLRPFGCPAEGCGKSFTTVYNLKAHMKGHEQENSFKCEVCEESFPTQAKLSAHQRSHFEPERPYQCAFSGCKKTFITVSALFSHNRAHFREQELFSCSFPGCSKQYDKACRLKIHLRSHTGERPFLCDFDGCGWNFTSMSKLLRHKRKHDDDRRFMCPVEGCGKSFTRAEHLKGHSITHLGTKPFVCPVAGCCARFSARSSLYIHSKKHLQDVDTWKSRCPISSCNKLFTSKHSMKTHMVKRHKVGQDLLAQLEAANSLTPSSELTSQRQNDLSDAEIVSLFSDVPDSTSAALLDTALVNSGILTIDVASVSSTLAGHLPANNNNSVGQAVDPPSLMATSDPPQSLDTSLFFGTAATGFQQSSLNMDEVSSVSVGPLGSLDSLAMKNSSPEPQALTPSSKLTVDTDALTPSSTLCENSVSELLTPTKAEWNVHPDSDFFGQEGETQFGFPNAAGNHGSQKETDLITVTGSSFLV
+>DECOY_sp|P98169|ZXDB_HUMAN Zinc finger X-linked protein ZXDB OS=Homo sapiens OX=9606 GN=ZXDB PE=2 SV=2
+VLFSSGTVTILDTEKQSGHNGAANPFGFQTEGEQGFFDSDPHVNWEAKTPTLLESVSNECLTSSPTLADTDVTLKSSPTLAQPEPSSNKMALSDLSGLPGVSVSSVEDMNLSSQQFGTAATGFFLSTDLSQPPDSTAMLSPPDVAQGVSNNNNAPLHGALTSSVSAVDITLIGSNVLATDLLAASTSDPVDSFLSVIEADSLDNQRQSTLESSPTLSNAAELQALLDQGVKHRKVMHTKMSHKSTFLKNCSSIPCRSKWTDVDQLHKKSHIYLSSRASFRACCGAVPCVFPKTGLHTISHGKLHEARTFSKGCGEVPCMFRRDDDHKRKHRLLKSMSTFNWGCGDFDCLFPREGTHSRLHIKLRCAKDYQKSCGPFSCSFLEQERFHARNHSFLASVTIFTKKCGSFACQYPREPEFHSRQHASLKAQTPFSEECVECKFSNEQEHGKMHAKLNYVTTFSKGCGEAPCGFPRLKDHSQLHRKLKYSTTFTWGCGGLPCKFPRQGQSSSHTLLHVKLQHKKAFTQGCQAEPCLYLVVGPGSGLPGRPGLAAAPGEAEEEPAPAPAPEAPEALLLDPPLEPCDGPHAAHPFGAQPAILCGPQQAPAAGPEWAHPPPTALTLVGNEFRLLLDQNHITVTGAFAAAPGPASIPAPTPVASLCRPGLATPGAPNAGSEGGQLGPGEEAEERLVPGAAQGSGATEVDGGVPDLLVLFFDDGGGGGGGSPQDTRPALLSPGPGRSATSAEERRRGPGGDQPGRLLLLRRTPVQGAPSDPGRHVRGGGAPIGGGGGGQLTGRAPLLKPIEM
+>sp|Q2QGD7|ZXDC_HUMAN Zinc finger protein ZXDC OS=Homo sapiens OX=9606 GN=ZXDC PE=1 SV=2
+MDLPALLPAPTARGGQHGGGPGPLRRAPAPLGASPARRRLLLVRGPEDGGPGARPGEASGPSPPPAEDDSDGDSFLVLLEVPHGGAAAEAAGSQEAEPGSRVNLASRPEQGPSGPAAPPGPGVAPAGAVTISSQDLLVRLDRGVLALSAPPGPATAGAAAPRRAPQASGPSTPGYRCPEPQCALAFAKKHQLKVHLLTHGGGQGRRPFKCPLEGCGWAFTTSYKLKRHLQSHDKLRPFGCPVGGCGKKFTTVYNLKAHMKGHEQESLFKCEVCAERFPTHAKLSSHQRSHFEPERPYKCDFPGCEKTFITVSALFSHNRAHFREQELFSCSFPGCSKQYDKACRLKIHLRSHTGERPFICDSDSCGWTFTSMSKLLRHRRKHDDDRRFTCPVEGCGKSFTRAEHLKGHSITHLGTKPFECPVEGCCARFSARSSLYIHSKKHVQDVGAPKSRCPVSTCNRLFTSKHSMKAHMVRQHSRRQDLLPQLEAPSSLTPSSELSSPGQSELTNMDLAALFSDTPANASGSAGGSDEALNSGILTIDVTSVSSSLGGNLPANNSSLGPMEPLVLVAHSDIPPSLDSPLVLGTAATVLQQGSFSVDDVQTVSAGALGCLVALPMKNLSDDPLALTSNSNLAAHITTPTSSSTPRENASVPELLAPIKVEPDSPSRPGAVGQQEGSHGLPQSTLPSPAEQHGAQDTELSAGTGNFYLESGGSARTDYRAIQLAKEKKQRGAGSNAGASQSTQRKIKEGKMSPPHFHASQNSWLCGSLVVPSGGRPGPAPAAGVQCGAQGVQVQLVQDDPSGEGVLPSARGPATFLPFLTVDLPVYVLQEVLPSSGGPAGPEATQFPGSTINLQDLQ
+>DECOY_sp|Q2QGD7|ZXDC_HUMAN Zinc finger protein ZXDC OS=Homo sapiens OX=9606 GN=ZXDC PE=1 SV=2
+QLDQLNITSGPFQTAEPGAPGGSSPLVEQLVYVPLDVTLFPLFTAPGRASPLVGEGSPDDQVLQVQVGQAGCQVGAAPAPGPRGGSPVVLSGCLWSNQSAHFHPPSMKGEKIKRQTSQSAGANSGAGRQKKEKALQIARYDTRASGGSELYFNGTGASLETDQAGHQEAPSPLTSQPLGHSGEQQGVAGPRSPSDPEVKIPALLEPVSANERPTSSSTPTTIHAALNSNSTLALPDDSLNKMPLAVLCGLAGASVTQVDDVSFSGQQLVTAATGLVLPSDLSPPIDSHAVLVLPEMPGLSSNNAPLNGGLSSSVSTVDITLIGSNLAEDSGGASGSANAPTDSFLAALDMNTLESQGPSSLESSPTLSSPAELQPLLDQRRSHQRVMHAKMSHKSTFLRNCTSVPCRSKPAGVDQVHKKSHIYLSSRASFRACCGEVPCEFPKTGLHTISHGKLHEARTFSKGCGEVPCTFRRDDDHKRRHRLLKSMSTFTWGCSDSDCIFPREGTHSRLHIKLRCAKDYQKSCGPFSCSFLEQERFHARNHSFLASVTIFTKECGPFDCKYPREPEFHSRQHSSLKAHTPFREACVECKFLSEQEHGKMHAKLNYVTTFKKGCGGVPCGFPRLKDHSQLHRKLKYSTTFAWGCGELPCKFPRRGQGGGHTLLHVKLQHKKAFALACQPEPCRYGPTSPGSAQPARRPAAAGATAPGPPASLALVGRDLRVLLDQSSITVAGAPAVGPGPPAAPGSPGQEPRSALNVRSGPEAEQSGAAEAAAGGHPVELLVLFSDGDSDDEAPPPSPGSAEGPRAGPGGDEPGRVLLLRRRAPSAGLPAPARRLPGPGGGHQGGRATPAPLLAPLDM
+>sp|Q6ZTC4|YT009_HUMAN Putative uncharacterized protein FLJ44790 OS=Homo sapiens OX=9606 PE=2 SV=1
+MQLGEHTHPQKNPKSLAGCLLPNPHPQLQLRGKRAAGLLLRRNPWCHPQAPGGSSTWAPSLPPILAPQAYLNFAPPTLVPGGSPGTEAQPVAPANALGSRSKLNLTQPSCLSSGSHLPLPFPAGMCPHPANPTWALRKGAEVPQGPPLSHTRKALCLAASGVGALLLEVPRHPGWGQQSAAGFQQVFQEGAGTHFPSVRVQPGRGKLQGQR
+>DECOY_sp|Q6ZTC4|YT009_HUMAN Putative uncharacterized protein FLJ44790 OS=Homo sapiens OX=9606 PE=2 SV=1
+RQGQLKGRGPQVRVSPFHTGAGEQFVQQFGAASQQGWGPHRPVELLLAGVGSAALCLAKRTHSLPPGQPVEAGKRLAWTPNAPHPCMGAPFPLPLHSGSSLCSPQTLNLKSRSGLANAPAVPQAETGPSGGPVLTPPAFNLYAQPALIPPLSPAWTSSGGPAQPHCWPNRRLLLGAARKGRLQLQPHPNPLLCGALSKPNKQPHTHEGLQM
+>sp|Q96MU7|YTDC1_HUMAN YTH domain-containing protein 1 OS=Homo sapiens OX=9606 GN=YTHDC1 PE=1 SV=3
+MAADSREEKDGELNVLDDILTEVPEQDDELYNPESEQDKNEKKGSKRKSDRMESTDTKRQKPSVHSRQLVSKPLSSSVSNNKRIVSTKGKSATEYKNEEYQRSERNKRLDADRKIRLSSSASREPYKNQPEKTCVRKRDPERRAKSPTPDGSERIGLEVDRRASRSSQSSKEEVNSEEYGSDHETGSSGSSDEQGNNTENEEEGVEEDVEEDEEVEEDAEEDEEVDEDGEEEEEEEEEEEEEEEEEEEEYEQDERDQKEEGNDYDTRSEASDSGSESVSFTDGSVRSGSGTDGSDEKKKERKRARGISPIVFDRSGSSASESYAGSEKKHEKLSSSVRAVRKDQTSKLKYVLQDARFFLIKSNNHENVSLAKAKGVWSTLPVNEKKLNLAFRSARSVILIFSVRESGKFQGFARLSSESHHGGSPIHWVLPAGMSAKMLGGVFKIDWICRRELPFTKSAHLTNPWNEHKPVKIGRDGQEIELECGTQLCLLFPPDESIDLYQVIHKMRHKRRMHSQPRSRGRPSRREPVRDVGRRRPEDYDIHNSRKKPRIDYPPEFHQRPGYLKDPRYQEVDRRFSGVRRDVFLNGSYNDYVREFHNMGPPPPWQGMPPYPGMEQPPHHPYYQHHAPPPQAHPPYSGHHPVPHEARYRDKRVHDYDMRVDDFLRRTQAVVSGRRSRPRERDRERERDRPRDNRRDRERDRGRDRERERERLCDRDRDRGERGRYRR
+>DECOY_sp|Q96MU7|YTDC1_HUMAN YTH domain-containing protein 1 OS=Homo sapiens OX=9606 GN=YTHDC1 PE=1 SV=3
+RRYRGREGRDRDRDCLRERERERDRGRDRERDRRNDRPRDRERERDRERPRSRRGSVVAQTRRLFDDVRMDYDHVRKDRYRAEHPVPHHGSYPPHAQPPPAHHQYYPHHPPQEMGPYPPMGQWPPPPGMNHFERVYDNYSGNLFVDRRVGSFRRDVEQYRPDKLYGPRQHFEPPYDIRPKKRSNHIDYDEPRRRGVDRVPERRSPRGRSRPQSHMRRKHRMKHIVQYLDISEDPPFLLCLQTGCELEIEQGDRGIKVPKHENWPNTLHASKTFPLERRCIWDIKFVGGLMKASMGAPLVWHIPSGGHHSESSLRAFGQFKGSERVSFILIVSRASRFALNLKKENVPLTSWVGKAKALSVNEHNNSKILFFRADQLVYKLKSTQDKRVARVSSSLKEHKKESGAYSESASSGSRDFVIPSIGRARKREKKKEDSGDTGSGSRVSGDTFSVSESGSDSAESRTDYDNGEEKQDREDQEYEEEEEEEEEEEEEEEEEEEEGDEDVEEDEEADEEVEEDEEVDEEVGEEENETNNGQEDSSGSSGTEHDSGYEESNVEEKSSQSSRSARRDVELGIRESGDPTPSKARREPDRKRVCTKEPQNKYPERSASSSLRIKRDADLRKNRESRQYEENKYETASKGKTSVIRKNNSVSSSLPKSVLQRSHVSPKQRKTDTSEMRDSKRKSGKKENKDQESEPNYLEDDQEPVETLIDDLVNLEGDKEERSDAAM
+>sp|Q7Z739|YTHD3_HUMAN YTH domain-containing family protein 3 OS=Homo sapiens OX=9606 GN=YTHDF3 PE=1 SV=1
+MSATSVDQRPKGQGNKVSVQNGSIHQKDAVNDDDFEPYLSSQTNQSNSYPPMSDPYMPSYYAPSIGFPYSLGEAAWSTAGDQPMPYLTTYGQMSNGEHHYIPDGVFSQPGALGNTPPFLGQHGFNFFPGNADFSTWGTSGSQGQSTQSSAYSSSYGYPPSSLGRAITDGQAGFGNDTLSKVPGISSIEQGMTGLKIGGDLTAAVTKTVGTALSSSGMTSIATNSVPPVSSAAPKPTSWAAIARKPAKPQPKLKPKGNVGIGGSAVPPPPIKHNMNIGTWDEKGSVVKAPPTQPVLPPQTIIQQPQPLIQPPPLVQSQLPQQQPQPPQPQQQQGPQPQAQPHQVQPQQQQLQNRWVAPRNRGAGFNQNNGAGSENFGLGVVPVSASPSSVEVHPVLEKLKAINNYNPKDFDWNLKNGRVFIIKSYSEDDIHRSIKYSIWCSTEHGNKRLDAAYRSLNGKGPLYLLFSVNGSGHFCGVAEMKSVVDYNAYAGVWSQDKWKGKFEVKWIFVKDVPNNQLRHIRLENNDNKPVTNSRDTQEVPLEKAKQVLKIIATFKHTTSIFDDFAHYEKRQEEEEAMRRERNRNKQ
+>DECOY_sp|Q7Z739|YTHD3_HUMAN YTH domain-containing family protein 3 OS=Homo sapiens OX=9606 GN=YTHDF3 PE=1 SV=1
+QKNRNRERRMAEEEEQRKEYHAFDDFISTTHKFTAIIKLVQKAKELPVEQTDRSNTVPKNDNNELRIHRLQNNPVDKVFIWKVEFKGKWKDQSWVGAYANYDVVSKMEAVGCFHGSGNVSFLLYLPGKGNLSRYAADLRKNGHETSCWISYKISRHIDDESYSKIIFVRGNKLNWDFDKPNYNNIAKLKELVPHVEVSSPSASVPVVGLGFNESGAGNNQNFGAGRNRPAVWRNQLQQQQPQVQHPQAQPQPGQQQQPQPPQPQQQPLQSQVLPPPQILPQPQQIITQPPLVPQTPPAKVVSGKEDWTGINMNHKIPPPPVASGGIGVNGKPKLKPQPKAPKRAIAAWSTPKPAASSVPPVSNTAISTMGSSSLATGVTKTVAATLDGGIKLGTMGQEISSIGPVKSLTDNGFGAQGDTIARGLSSPPYGYSSSYASSQTSQGQSGSTGWTSFDANGPFFNFGHQGLFPPTNGLAGPQSFVGDPIYHHEGNSMQGYTTLYPMPQDGATSWAAEGLSYPFGISPAYYSPMYPDSMPPYSNSQNTQSSLYPEFDDDNVADKQHISGNQVSVKNGQGKPRQDVSTASM
+>sp|Q96M85|YV008_HUMAN Putative uncharacterized protein FLJ32756 OS=Homo sapiens OX=9606 PE=2 SV=1
+MSHSRRAAPTQDQCHTPGFPTSRETSGSIWQARICGSLQALDTWRTHIPRKSPAPTQASQICLLLPESPWRNPTPRGFLKPLINWDAILYFKEKRNIQVTTQAHPQNQASCSSQEVATPGLVPQAAAPKVYERSHDNLNAEAQGLAGAQVSKPQNPITRLCSLKEQSILKIFTKQSI
+>DECOY_sp|Q96M85|YV008_HUMAN Putative uncharacterized protein FLJ32756 OS=Homo sapiens OX=9606 PE=2 SV=1
+ISQKTFIKLISQEKLSCLRTIPNQPKSVQAGALGQAEANLNDHSREYVKPAAAQPVLGPTAVEQSSCSAQNQPHAQTTVQINRKEKFYLIADWNILPKLFGRPTPNRWPSEPLLLCIQSAQTPAPSKRPIHTRWTDLAQLSGCIRAQWISGSTERSTPFGPTHCQDQTPAARRSHSM
+>sp|Q9C0D4|Z518B_HUMAN Zinc finger protein 518B OS=Homo sapiens OX=9606 GN=ZNF518B PE=1 SV=2
+MKDIGQQLYTTHLNGGHNSLTMSPKQPDANGAPRPNRQEAQTLLYQGSEAEAAMMTIATCAKCKSVHKISLQDLQKGTGKDGMYVCFQCSLGAAPPNFHFVSNNSSATHVGNKTENFSSSVNSKFKVRNFKPGKYYCDKCRFSTKDPLQYKKHTLQHEEIKFICSHCSYISYTKGEFQRHLVKHTGIFPYQCEYCDYGAIRNDYIVKHTKRVHERAGAKRPVKAVAKLEPKRTGTSKQNPELLKASNPRTTFQNKWSDQLSGFSLHANKDKMHNIMLLPEPKEYQKDVVCIPNKMTLSEPNEVNLFENKNVEVEVLSPAKEPVQPGMPLTVVAPAELVVPANCLAQLIDVKVVNGTQQLVLKLFPLEENNCLEAGRDNGGNSERMVKEKGSNEQEKVLSAEKTKSLTVDGNVGKLVGIDSFQPSVQKQLKNVKWVRSYDFIMPNSSVHNNGKSFINSETIEDFQKKNNLYPHRTAFPSVALKGHSLASVFKNSVLRSLGAASNPFPYKAAVCFAESGRNLHSSSQQLLPFAASPATCSFSGEKGLLPVSENDLESTSKVNIPVKVVSSNRKQEDNQTEEHKAVSTVGQISSQHKSEYLHINITGEDRSQQPGDKPLELKNSERTNNTNDGPVISSVFSLSSGSENVPEGIKWNSSTSKIKSIELLRRKIAQLIESCGKPSSLASNSAHRRSVGQASKGTSKATSEGIQEINVSLTGLGHSTGTLQKPPNDGGITGNRQLTHQQIYPHFADGSNRKTKSRVARKAHVATPVLIPKGAVLRVLNSSENAHIIEATCEAPVSIPCSERQLIKPVPFCPVRQADSDLQPLRSERGPIDMSPNIETPLRPKLRKESAVCSTIHRKTGLLYGQQGSSELNKQGRLLSRSLSISRNKTKQVHLSRKKNKIQAEPSRCLKDPSIFQVARQLRLIAAKPDQLIKCPRRNQPVIVLNHPDVDSPEVTNVMKVINKYKGNVLKVVLSERTRCQLGIRRHHVRLTYQNAEEASQIKRQMMLKMKLKKVHKNNYQVVDSLPDDSSQCVFKCWFCGRLYEDQEEWMSHGQRHLIEATRDWDVLSSKGK
+>DECOY_sp|Q9C0D4|Z518B_HUMAN Zinc finger protein 518B OS=Homo sapiens OX=9606 GN=ZNF518B PE=1 SV=2
+KGKSSLVDWDRTAEILHRQGHSMWEEQDEYLRGCFWCKFVCQSSDDPLSDVVQYNNKHVKKLKMKLMMQRKIQSAEEANQYTLRVHHRRIGLQCRTRESLVVKLVNGKYKNIVKMVNTVEPSDVDPHNLVIVPQNRRPCKILQDPKAAILRLQRAVQFISPDKLCRSPEAQIKNKKRSLHVQKTKNRSISLSRSLLRGQKNLESSGQQGYLLGTKRHITSCVASEKRLKPRLPTEINPSMDIPGRESRLPQLDSDAQRVPCFPVPKILQRESCPISVPAECTAEIIHANESSNLVRLVAGKPILVPTAVHAKRAVRSKTKRNSGDAFHPYIQQHTLQRNGTIGGDNPPKQLTGTSHGLGTLSVNIEQIGESTAKSTGKSAQGVSRRHASNSALSSPKGCSEILQAIKRRLLEISKIKSTSSNWKIGEPVNESGSSLSFVSSIVPGDNTNNTRESNKLELPKDGPQQSRDEGTINIHLYESKHQSSIQGVTSVAKHEETQNDEQKRNSSVVKVPINVKSTSELDNESVPLLGKEGSFSCTAPSAAFPLLQQSSSHLNRGSEAFCVAAKYPFPNSAAGLSRLVSNKFVSALSHGKLAVSPFATRHPYLNNKKQFDEITESNIFSKGNNHVSSNPMIFDYSRVWKVNKLQKQVSPQFSDIGVLKGVNGDVTLSKTKEASLVKEQENSGKEKVMRESNGGNDRGAELCNNEELPFLKLVLQQTGNVVKVDILQALCNAPVVLEAPAVVTLPMGPQVPEKAPSLVEVEVNKNEFLNVENPESLTMKNPICVVDKQYEKPEPLLMINHMKDKNAHLSFGSLQDSWKNQFTTRPNSAKLLEPNQKSTGTRKPELKAVAKVPRKAGAREHVRKTHKVIYDNRIAGYDCYECQYPFIGTHKVLHRQFEGKTYSIYSCHSCIFKIEEHQLTHKKYQLPDKTSFRCKDCYYKGPKFNRVKFKSNVSSSFNETKNGVHTASSNNSVFHFNPPAAGLSCQFCVYMGDKGTGKQLDQLSIKHVSKCKACTAITMMAAEAESGQYLLTQAEQRNPRPAGNADPQKPSMTLSNHGGNLHTTYLQQGIDKM
+>sp|P0CH99|Z705D_HUMAN Zinc finger protein 705D OS=Homo sapiens OX=9606 GN=ZNF705D PE=2 SV=1
+MHSLEKVTFEDVAIDFTQEEWDMMDTSKRKLYRDVMLENISHLVSLGYQISKSYIILQLEQGKELWREGRVFLQDQNPDRESALKKKHMISMHPIIRKDASTSMTMENSLILEDPFEYNDSGEDCTHSSTITQCLLTHSGKKPCVSKQCGKSLRNLLSPKPRKQIHTKGKSYQCNLCEKAYTNCFYLRRHKMTHTGERPYACHLCGKAFTQCSHLRRHEKTHTGERPYKCHQCGKAFIQSFNLRRHERTHLGQKCYECDKSGKAFSQSSGFRGNKIIHIGEKPHACLLCGKAFSLSSDLR
+>DECOY_sp|P0CH99|Z705D_HUMAN Zinc finger protein 705D OS=Homo sapiens OX=9606 GN=ZNF705D PE=2 SV=1
+RLDSSLSFAKGCLLCAHPKEGIHIIKNGRFGSSQSFAKGSKDCEYCKQGLHTREHRRLNFSQIFAKGCQHCKYPREGTHTKEHRRLHSCQTFAKGCLHCAYPREGTHTMKHRRLYFCNTYAKECLNCQYSKGKTHIQKRPKPSLLNRLSKGCQKSVCPKKGSHTLLCQTITSSHTCDEGSDNYEFPDELILSNEMTMSTSADKRIIPHMSIMHKKKLASERDPNQDQLFVRGERWLEKGQELQLIIYSKSIQYGLSVLHSINELMVDRYLKRKSTDMMDWEEQTFDIAVDEFTVKELSHM
+>sp|Q401N2|ZACN_HUMAN Zinc-activated ligand-gated ion channel OS=Homo sapiens OX=9606 GN=ZACN PE=1 SV=2
+MMALWSLLHLTFLGFSITLLLVHGQGFQGTAAIWPSLFNVNLSKKVQESIQIPNNGSAPLLVDVRVFVSNVFNVDILRYTMSSMLLLRLSWLDTRLAWNTSAHPRHAITLPWESLWTPRLTILEALWVDWRDQSPQARVDQDGHVKLNLALATETNCNFELLHFPRDHSNCSLSFYALSNTAMELEFQAHVVNEIVSVKREYVVYDLKTQVPPQQLVPCFQVTLRLKNTALKSIIALLVPAEALLLADVCGGLLPLRAIERIGYKVTLLLSYLVLHSSLVQALPSSSSCNPLLIYYFTILLLLLFLSTIETVLLAGLLARGNLGAKSGPSPAPRGEQREHGNPGPHPAEEPSRGVKGSQRSWPETADRIFFLVYVVGVLCTQFVFAGIWMWAACKSDAAPGEAAPHGRRPRL
+>DECOY_sp|Q401N2|ZACN_HUMAN Zinc-activated ligand-gated ion channel OS=Homo sapiens OX=9606 GN=ZACN PE=1 SV=2
+LRPRRGHPAAEGPAADSKCAAWMWIGAFVFQTCLVGVVYVLFFIRDATEPWSRQSGKVGRSPEEAPHPGPNGHERQEGRPAPSPGSKAGLNGRALLGALLVTEITSLFLLLLLITFYYILLPNCSSSSPLAQVLSSHLVLYSLLLTVKYGIREIARLPLLGGCVDALLLAEAPVLLAIISKLATNKLRLTVQFCPVLQQPPVQTKLDYVVYERKVSVIENVVHAQFELEMATNSLAYFSLSCNSHDRPFHLLEFNCNTETALALNLKVHGDQDVRAQPSQDRWDVWLAELITLRPTWLSEWPLTIAHRPHASTNWALRTDLWSLRLLLMSSMTYRLIDVNFVNSVFVRVDVLLPASGNNPIQISEQVKKSLNVNFLSPWIAATGQFGQGHVLLLTISFGLFTLHLLSWLAMM
+>sp|A6NP61|ZAR1L_HUMAN ZAR1-like protein OS=Homo sapiens OX=9606 GN=ZAR1L PE=2 SV=2
+MERFVRVPYGLYQGYGSTVPLGQPGLSGHKQPDWRQNMGPPTFLARPGLLVPANAPDYCIDPYKRAQLKAILSQMNPSLSPRLCKPNTKEVGVQVSPRVDKAVQCSLGPRTLSSCSPWDGRDPQEPLPACGVTSPATGRRGLIRLRRDGDEAESKALPGPAEASQPQPPSRRSGADRQEEPGQLEESGEKDAPCPQETKSKQVPGDAASEPLRRPNFQFLEPKYGYFHCKDCKTRWESAYVWCISGTNKVYFKQLCCKCQKSFNPYRVEAIQCQTCSKSHCSCPQKKRHIDLRRPHRQELCGRCKDKRFSCGNIYSFKYVM
+>DECOY_sp|A6NP61|ZAR1L_HUMAN ZAR1-like protein OS=Homo sapiens OX=9606 GN=ZAR1L PE=2 SV=2
+MVYKFSYINGCSFRKDKCRGCLEQRHPRRLDIHRKKQPCSCHSKSCTQCQIAEVRYPNFSKQCKCCLQKFYVKNTGSICWVYASEWRTKCDKCHFYGYKPELFQFNPRRLPESAADGPVQKSKTEQPCPADKEGSEELQGPEEQRDAGSRRSPPQPQSAEAPGPLAKSEAEDGDRRLRILGRRGTAPSTVGCAPLPEQPDRGDWPSCSSLTRPGLSCQVAKDVRPSVQVGVEKTNPKCLRPSLSPNMQSLIAKLQARKYPDICYDPANAPVLLGPRALFTPPGMNQRWDPQKHGSLGPQGLPVTSGYGQYLGYPVRVFREM
+>sp|Q96DT7|ZBT10_HUMAN Zinc finger and BTB domain-containing protein 10 OS=Homo sapiens OX=9606 GN=ZBTB10 PE=1 SV=2
+MSFSEMNRRTLAFRGGGLVTASGGGSTNNNAGGEASAWPPQPQPRQPPPPAPPALQPPNGRGADEEVELEGLEPQDLEASAGPAAGAAEEAKELLLPQDAGGPTSLGGGAGGPLLAERNRRTLAFRGGGGGGLGNNGSSRGRPETSVWPLRHFNGRGPATVDLELDALEGKELMQDGASLSDSTEDEEEGASLGDGSGAEGGSCSSSRRSGGDGGDEVEGSGVGAGEGETVQHFPLARPKSLMQKLQCSFQTSWLKDFPWLRYSKDTGLMSCGWCQKTPADGGSVDLPPVGHDELSRGTRNYKKTLLLRHHVSTEHKLHEANAQESEIPSEEGYCDFNSRPNENSYCYQLLRQLNEQRKKGILCDVSIVVSGKIFKAHKNILVAGSRFFKTLYCFSNKESPNQNNTTHLDIAAVQGFSVILDFLYSGNLVLTSQNAIEVMTVASYLQMSEVVQTCRNFIKDALNISIKSEAPESVVVDYNNRKPVNRDGLSSSRDQKIASFWATRNLTNLASNVKIENDGCNVDEGQIENYQMNDSSWVQDGSPEMAENESEGQTKVFIWNNMGSQGIQETGKTRRKNQTTKRFIYNIPPNNETNLEDCSVMQPPVAYPEENTLLIKEEPDLDGALLSGPDGDRNVNANLLAEAGTSQDGGDAGTSHDFKYGLMPGPSNDFKYGLIPGTSNDFKYGLIPGASNDFKYGLLPESWPKQETWENGESSLIMNKLKCPHCSYVAKYRRTLKRHLLIHTGVRSFSCDICGKLFTRREHVKRHSLVHKKDKKYKCMVCKKIFMLAASVGIRHGSRRYGVCVDCADKSQPGGQEGVDQGQDTEFPRDEEYEENEVGEADEELVDDGEDQNDPSRWDESGEVCMSLDD
+>DECOY_sp|Q96DT7|ZBT10_HUMAN Zinc finger and BTB domain-containing protein 10 OS=Homo sapiens OX=9606 GN=ZBTB10 PE=1 SV=2
+DDLSMCVEGSEDWRSPDNQDEGDDVLEEDAEGVENEEYEEDRPFETDQGQDVGEQGGPQSKDACDVCVGYRRSGHRIGVSAALMFIKKCVMCKYKKDKKHVLSHRKVHERRTFLKGCIDCSFSRVGTHILLHRKLTRRYKAVYSCHPCKLKNMILSSEGNEWTEQKPWSEPLLGYKFDNSAGPILGYKFDNSTGPILGYKFDNSPGPMLGYKFDHSTGADGGDQSTGAEALLNANVNRDGDPGSLLAGDLDPEEKILLTNEEPYAVPPQMVSCDELNTENNPPINYIFRKTTQNKRRTKGTEQIGQSGMNNWIFVKTQGESENEAMEPSGDQVWSSDNMQYNEIQGEDVNCGDNEIKVNSALNTLNRTAWFSAIKQDRSSSLGDRNVPKRNNYDVVVSEPAESKISINLADKIFNRCTQVVESMQLYSAVTMVEIANQSTLVLNGSYLFDLIVSFGQVAAIDLHTTNNQNPSEKNSFCYLTKFFRSGAVLINKHAKFIKGSVVISVDCLIGKKRQENLQRLLQYCYSNENPRSNFDCYGEESPIESEQANAEHLKHETSVHHRLLLTKKYNRTGRSLEDHGVPPLDVSGGDAPTKQCWGCSMLGTDKSYRLWPFDKLWSTQFSCQLKQMLSKPRALPFHQVTEGEGAGVGSGEVEDGGDGGSRRSSSCSGGEAGSGDGLSAGEEEDETSDSLSAGDQMLEKGELADLELDVTAPGRGNFHRLPWVSTEPRGRSSGNNGLGGGGGGRFALTRRNREALLPGGAGGGLSTPGGADQPLLLEKAEEAAGAAPGASAELDQPELGELEVEEDAGRGNPPQLAPPAPPPPQRPQPQPPWASAEGGANNNTSGGGSATVLGGGRFALTRRNMESFSM
+>sp|Q8N680|ZBTB2_HUMAN Zinc finger and BTB domain-containing protein 2 OS=Homo sapiens OX=9606 GN=ZBTB2 PE=1 SV=1
+MDLANHGLILLQQLNAQREFGFLCDCTVAIGDVYFKAHKSVLASFSNYFKMLFVHQTSECVRLKPTDIQPDIFSYLLHLMYTGKMAPQLIDPVRLEQGIKFLHAYPLIQEASLASQGAFSHPDQVFPLASSLYGIQIADHQLRQATKIASAPEKLGRDPRPQTSRISQEQVPEASQLSQLTSNLAQVNRTNMTPSDPLQTSLSPELVSTPVPPPPPGEETNLEASSSDEQPASLTIAHVKPSIMKRNGSFPKYYACHLCGRRFTLRSSLREHLQIHTGVPFTSSQQGESRVPLTLCSNAADLGKDAMEVPEAGMISDSELQHISDSPIIDGQQQSETPPPSDIADIDNLEQADQEREVKRRKYECTICGRKFIQKSHWREHMYIHTGKPFKCSTCDKSFCRANQAARHVCLNQSIDTYTMVDKQTLELCTFEEGSQMDNMLVQTNKPYKCNLCDKTFSTPNEVVKHSCQNQNSDVFALDEGRSILLGSGDSEVTEPDHPVLASIKKEQETVLLD
+>DECOY_sp|Q8N680|ZBTB2_HUMAN Zinc finger and BTB domain-containing protein 2 OS=Homo sapiens OX=9606 GN=ZBTB2 PE=1 SV=1
+DLLVTEQEKKISALVPHDPETVESDGSGLLISRGEDLAFVDSNQNQCSHKVVENPTSFTKDCLNCKYPKNTQVLMNDMQSGEEFTCLELTQKDVMTYTDISQNLCVHRAAQNARCFSKDCTSCKFPKGTHIYMHERWHSKQIFKRGCITCEYKRRKVEREQDAQELNDIDAIDSPPPTESQQQGDIIPSDSIHQLESDSIMGAEPVEMADKGLDAANSCLTLPVRSEGQQSSTFPVGTHIQLHERLSSRLTFRRGCLHCAYYKPFSGNRKMISPKVHAITLSAPQEDSSSAELNTEEGPPPPPVPTSVLEPSLSTQLPDSPTMNTRNVQALNSTLQSLQSAEPVQEQSIRSTQPRPDRGLKEPASAIKTAQRLQHDAIQIGYLSSALPFVQDPHSFAGQSALSAEQILPYAHLFKIGQELRVPDILQPAMKGTYMLHLLYSFIDPQIDTPKLRVCESTQHVFLMKFYNSFSALVSKHAKFYVDGIAVTCDCLFGFERQANLQQLLILGHNALDM
+>sp|O60293|ZC3H1_HUMAN Zinc finger C3H1 domain-containing protein OS=Homo sapiens OX=9606 GN=ZFC3H1 PE=1 SV=3
+MATADTPAPASSGLSPKEEGELEDGEISDDDNNSQIRSRSSSSSSGGGLLPYPRRRPPHSARGGGSGGGGGSSSSSSSSQQQLRNFSRSRHASERGHLRGPSSYRPKEPFRSHPPSVRMPSSSLSESSPRPSFWERSHLALDRFRFRGRPYRGGSRWSRGRGVGERGGKPGCRPPLGGGAGSGFSSSQSWREPSPPRKSSKSFGRSPSRKQNYSSKNENCVEETFEDLLLKYKQIQLELECINKDEKLALSSKEENVQEDPKTLNFEDQTSTDNVSITKDSSKEVAPEEKTQVKTFQAFELKPLRQKLTLPGDKNRLKKVKDGAKPLSLKSDTTDSSQGLQDKEQNLTRRISTSDILSEKKLGEDEEELSELQLRLLALQSASKKWQQKEQQVMKESKEKLTKTKTVQQKVKTSTKTHSAKKVSTTAKQALRKQQTKAWKKLQQQKEQERQKEEDQRKQAEEEERRKREEEIRKIRDLSNQEEQYNRFMKLVGGKRRSRSKSSDPDLRRSLDKQPTDSGGGIYQYDNYEEVAMDTDSETSSPAPSPVQPPFFSECSLGYFSPAPSLSLPPPPQVSSLPPLSQPYVEGLCVSLEPLPPLPPLPPLPPEDPEQPPKPPFADEEEEEEMLLREELLKSLANKRAFKPEETSSNSDPPSPPVLNNSHPVPRSNLSIVSINTVSQPRIQNPKFHRGPRLPRTVISLPKHKSVVVTLNDSDDSESDGEASKSTNSVFGGLESMIKEARRTAEQASKPKVPPKSEKENDPLRTPEALPEEKKIEYRLLKEEIANREKQRLIKSDQLKTSSSSPANSDVEIDGIGRIAMVTKQVTDAESKLKKHRILLMKDESVLKNLVQQEAKKKESVRNAEAKITKLTEQLQATEKILNVNRMFLKKLQEQIHRVQQRVTIKKALTLKYGEELARAKAVASKEIGKRKLEQDRFGPNKMMRLDSSPVSSPRKHSAELIAMEKRRLQKLEYEYALKIQKLKEARALKAKEQQNISPVVEEEPEFSLPQPSLHDLTQDKLTLDTEENDVDDEILSGSSRERRRSFLESNYFTKPNLKHTDTANKECINKLNKNTVEKPELFLGLKIGELQKLYSKADSLKQLILKTTTGITEKVLHGQEISVDVDFVTAQSKTMEVKPCPFRPYHSPLLVFKSYRFSPYYRTKEKLPLSSVSYSNMIEPDQCFCRFDLTGTCNDDDCQWQHIQDYTLSRKQLFQDILSYNLSLIGCAETSTNEEITASAEKYVEKLFGVNKDRMSMDQMAVLLVSNINESKGHTPPFTTYKDKRKWKPKFWRKPISDNSFSSDEEQSTGPIKYAFQPENQINVPALDTVVTPDDVRYFTNETDDIANLEASVLENPSHVQLWLKLAYKYLNQNEGECSESLDSALNVLARALENNKDNPEIWCHYLRLFSKRGTKDEVQEMCETAVEYAPDYQSFWTFLHLESTFEEKDYVCERMLEFLMGAAKQETSNILSFQLLEALLFRVQLHIFTGRCQSALAILQNALKSANDGIVAEYLKTSDRCLAWLAYIHLIEFNILPSKFYDPSNDNPSRIVNTESFVMPWQAVQDVKTNPDMLLAVFEDAVKACTDESLAVEERIEACLPLYTNMIALHQLLERYEAAMELCKSLLESCPINCQLLEALVALYLQTNQHDKARAVWLTAFEKNPQNAEVFYHMCKFFILQNRGDNLLPFLRKFIASFFKPGFEKYNNLDLFRYLLNIPGPIDIPSRLCKGNFDDDMFNHQVPYLWLIYCLCHPLQSSIKETVEAYEAALGVAMRCDIVQKIWMDYLVFANNRAAGSRNKVQEFKFFTDLVNRCLVTVPARYPIPFSSADYWSNYEFHNRVIFFYLSCVPKTQHSKTLERFCSVMPANSGLALRLLQHEWEESNVQILKLQAKMFTYNIPTCLATWKIAIAAEIVLKGQREVHRLYQRALQKLPLCASLWKDQLLFEASEGGKTDNLRKLVSKCQEIGVSLNELLNLNSNKTESKNH
+>DECOY_sp|O60293|ZC3H1_HUMAN Zinc finger C3H1 domain-containing protein OS=Homo sapiens OX=9606 GN=ZFC3H1 PE=1 SV=3
+HNKSETKNSNLNLLENLSVGIEQCKSVLKRLNDTKGGESAEFLLQDKWLSACLPLKQLARQYLRHVERQGKLVIEAAIAIKWTALCTPINYTFMKAQLKLIQVNSEEWEHQLLRLALGSNAPMVSCFRELTKSHQTKPVCSLYFFIVRNHFEYNSWYDASSFPIPYRAPVTVLCRNVLDTFFKFEQVKNRSGAARNNAFVLYDMWIKQVIDCRMAVGLAAEYAEVTEKISSQLPHCLCYILWLYPVQHNFMDDDFNGKCLRSPIDIPGPINLLYRFLDLNNYKEFGPKFFSAIFKRLFPLLNDGRNQLIFFKCMHYFVEANQPNKEFATLWVARAKDHQNTQLYLAVLAELLQCNIPCSELLSKCLEMAAEYRELLQHLAIMNTYLPLCAEIREEVALSEDTCAKVADEFVALLMDPNTKVDQVAQWPMVFSETNVIRSPNDNSPDYFKSPLINFEILHIYALWALCRDSTKLYEAVIGDNASKLANQLIALASQCRGTFIHLQVRFLLAELLQFSLINSTEQKAAGMLFELMRECVYDKEEFTSELHLFTWFSQYDPAYEVATECMEQVEDKTGRKSFLRLYHCWIEPNDKNNELARALVNLASDLSESCEGENQNLYKYALKLWLQVHSPNELVSAELNAIDDTENTFYRVDDPTVVTDLAPVNIQNEPQFAYKIPGTSQEEDSSFSNDSIPKRWFKPKWKRKDKYTTFPPTHGKSENINSVLLVAMQDMSMRDKNVGFLKEVYKEASATIEENTSTEACGILSLNYSLIDQFLQKRSLTYDQIHQWQCDDDNCTGTLDFRCFCQDPEIMNSYSVSSLPLKEKTRYYPSFRYSKFVLLPSHYPRFPCPKVEMTKSQATVFDVDVSIEQGHLVKETIGTTTKLILQKLSDAKSYLKQLEGIKLGLFLEPKEVTNKNLKNICEKNATDTHKLNPKTFYNSELFSRRRERSSGSLIEDDVDNEETDLTLKDQTLDHLSPQPLSFEPEEEVVPSINQQEKAKLARAEKLKQIKLAYEYELKQLRRKEMAILEASHKRPSSVPSSDLRMMKNPGFRDQELKRKGIEKSAVAKARALEEGYKLTLAKKITVRQQVRHIQEQLKKLFMRNVNLIKETAQLQETLKTIKAEANRVSEKKKAEQQVLNKLVSEDKMLLIRHKKLKSEADTVQKTVMAIRGIGDIEVDSNAPSSSSTKLQDSKILRQKERNAIEEKLLRYEIKKEEPLAEPTRLPDNEKESKPPVKPKSAQEATRRAEKIMSELGGFVSNTSKSAEGDSESDDSDNLTVVVSKHKPLSIVTRPLRPGRHFKPNQIRPQSVTNISVISLNSRPVPHSNNLVPPSPPDSNSSTEEPKFARKNALSKLLEERLLMEEEEEEDAFPPKPPQEPDEPPLPPLPPLPPLPELSVCLGEVYPQSLPPLSSVQPPPPLSLSPAPSFYGLSCESFFPPQVPSPAPSSTESDTDMAVEEYNDYQYIGGGSDTPQKDLSRRLDPDSSKSRSRRKGGVLKMFRNYQEEQNSLDRIKRIEEERKRREEEEAQKRQDEEKQREQEKQQQLKKWAKTQQKRLAQKATTSVKKASHTKTSTKVKQQVTKTKTLKEKSEKMVQQEKQQWKKSASQLALLRLQLESLEEEDEGLKKESLIDSTSIRRTLNQEKDQLGQSSDTTDSKLSLPKAGDKVKKLRNKDGPLTLKQRLPKLEFAQFTKVQTKEEPAVEKSSDKTISVNDTSTQDEFNLTKPDEQVNEEKSSLALKEDKNICELELQIQKYKLLLDEFTEEVCNENKSSYNQKRSPSRGFSKSSKRPPSPERWSQSSSFGSGAGGGLPPRCGPKGGREGVGRGRSWRSGGRYPRGRFRFRDLALHSREWFSPRPSSESLSSSPMRVSPPHSRFPEKPRYSSPGRLHGRESAHRSRSFNRLQQQSSSSSSSSGGGGGSGGGRASHPPRRRPYPLLGGGSSSSSSRSRIQSNNDDDSIEGDELEGEEKPSLGSSAPAPTDATAM
+>sp|Q86VM9|ZCH18_HUMAN Zinc finger CCCH domain-containing protein 18 OS=Homo sapiens OX=9606 GN=ZC3H18 PE=1 SV=2
+MDVAESPERDPHSPEDEEQPQGLSDDDILRDSGSDQDLDGAGVRASDLEDEESAARGPSQEEEDNHSDEEDRASEPKSQDQDSEVNELSRGPTSSPCEEEGDEGEEDRTSDLRDEASSVTRELDEHELDYDEEVPEEPAPAVQEDEAEKAGAEDDEEKGEGTPREEGKAGVQSVGEKESLEAAKEKKKEDDDGEIDDGEIDDDDLEEGEVKDPSDRKVRPRPTCRFFMKGNCTWGMNCRFIHPGVNDKGNYSLITKADPFPPNGAPPLGPHPLMPANPWGGPVVDEILPPPPPEPPTESAWERGLRHAKEVLKKATIRKEQEPDFEEKRFTVTIGEDEREFDKENEVFRDWNSRIPRDVRDTVLEPYADPYYDYEIERFWRGGQYENFRVQYTETEPYHNYRERERERERENRQRERERERERDRERERRQRERERERERERDKERQRRKEEWERERAKRDEKDRQHRDRDREKEREKEKGKPKPRSPQPPSRQAEPPKKEAATTGPQVKRADEWKDPWRRSKSPKKKLGVSVSPSRARRRRKTSASSASASNSSRSSSRSSSYSGSGSSRSRSRSSSYSSYSSRSSRHSSFSGSRSRSRSFSSSPSPSPTPSPHRPSIRTKGEPAPPPGKAGEKSVKKPAPPPAPPQATKTTAPVPEPTKPGDPREARRKERPARTPPRRRTLSGSGSGSGSSYSGSSSRSRSLSVSSVSSVSSATSSSSSAHSVDSEDMYADLASPVSSASSRSPAPAQTRKEKGKSKKEDGVKEEKRKRDSSTQPPKSAKPPAGGKSSQQPSTPQQAPPGQPQQGTFVAHKEIKLTLLNKAADKGSRKRYEPSDKDRQSPPPAKRPNTSPDRGSRDRKSGGRLGSPKPERQRGQNSKAPAAPADRKRQLSPQSKSSSKVTSVPGKASDPGAASTKSGKASTLSRREELLKQLKAVEDAIARKRAKIPGKA
+>DECOY_sp|Q86VM9|ZCH18_HUMAN Zinc finger CCCH domain-containing protein 18 OS=Homo sapiens OX=9606 GN=ZC3H18 PE=1 SV=2
+AKGPIKARKRAIADEVAKLQKLLEERRSLTSAKGSKTSAAGPDSAKGPVSTVKSSSKSQPSLQRKRDAPAAPAKSNQGRQREPKPSGLRGGSKRDRSGRDPSTNPRKAPPPSQRDKDSPEYRKRSGKDAAKNLLTLKIEKHAVFTGQQPQGPPAQQPTSPQQSSKGGAPPKASKPPQTSSDRKRKEEKVGDEKKSKGKEKRTQAPAPSRSSASSVPSALDAYMDESDVSHASSSSSTASSVSSVSSVSLSRSRSSSGSYSSGSGSGSGSLTRRRPPTRAPREKRRAERPDGPKTPEPVPATTKTAQPPAPPPAPKKVSKEGAKGPPPAPEGKTRISPRHPSPTPSPSPSSSFSRSRSRSGSFSSHRSSRSSYSSYSSSRSRSRSSGSGSYSSSRSSSRSSNSASASSASTKRRRRARSPSVSVGLKKKPSKSRRWPDKWEDARKVQPGTTAAEKKPPEAQRSPPQPSRPKPKGKEKEREKERDRDRHQRDKEDRKAREREWEEKRRQREKDRERERERERERQRRERERDRERERERERQRNERERERERERYNHYPETETYQVRFNEYQGGRWFREIEYDYYPDAYPELVTDRVDRPIRSNWDRFVENEKDFEREDEGITVTFRKEEFDPEQEKRITAKKLVEKAHRLGREWASETPPEPPPPPLIEDVVPGGWPNAPMLPHPGLPPAGNPPFPDAKTILSYNGKDNVGPHIFRCNMGWTCNGKMFFRCTPRPRVKRDSPDKVEGEELDDDDIEGDDIEGDDDEKKKEKAAELSEKEGVSQVGAKGEERPTGEGKEEDDEAGAKEAEDEQVAPAPEEPVEEDYDLEHEDLERTVSSAEDRLDSTRDEEGEDGEEECPSSTPGRSLENVESDQDQSKPESARDEEDSHNDEEEQSPGRAASEEDELDSARVGAGDLDQDSGSDRLIDDDSLGQPQEEDEPSHPDREPSEAVDM
+>sp|Q9NUD5|ZCHC3_HUMAN Zinc finger CCHC domain-containing protein 3 OS=Homo sapiens OX=9606 GN=ZCCHC3 PE=1 SV=1
+MATGGGAEEERKRGRPQLLPPARPAARGEEADGGREKMGWAQVVKNLAEKKGEFREPRPPRREEESGGGGGSAGLGGPAGLAAPDLGDFPPAGRGDPKGRRRDPAGEAVDPRKKKGAAEAGRRKKAEAAAAAMATPARPGEAEDAAERPLQDEPAAAAAGPGKGRFLVRICFQGDEGACPTRDFVVGALILRSIGMDPSDIYAVIQIPGSREFDVSFRSAEKLALFLRVYEEKREQEDCWENFVVLGRSKSSLKTLFILFRNETVDVEDIVTWLKRHCDVLAVPVKVTDRFGIWTGEYKCEIELRQGEGGVRHLPGAFFLGAERGYSWYKGQPKTCFKCGSRTHMSGSCTQDRCFRCGEEGHLSPYCRKGIVCNLCGKRGHAFAQCPKAVHNSVAAQLTGVAGH
+>DECOY_sp|Q9NUD5|ZCHC3_HUMAN Zinc finger CCHC domain-containing protein 3 OS=Homo sapiens OX=9606 GN=ZCCHC3 PE=1 SV=1
+HGAVGTLQAAVSNHVAKPCQAFAHGRKGCLNCVIGKRCYPSLHGEEGCRFCRDQTCSGSMHTRSGCKFCTKPQGKYWSYGREAGLFFAGPLHRVGGEGQRLEIECKYEGTWIGFRDTVKVPVALVDCHRKLWTVIDEVDVTENRFLIFLTKLSSKSRGLVVFNEWCDEQERKEEYVRLFLALKEASRFSVDFERSGPIQIVAYIDSPDMGISRLILAGVVFDRTPCAGEDGQFCIRVLFRGKGPGAAAAAPEDQLPREAADEAEGPRAPTAMAAAAAEAKKRRGAEAAGKKKRPDVAEGAPDRRRGKPDGRGAPPFDGLDPAALGAPGGLGASGGGGGSEEERRPPRPERFEGKKEALNKVVQAWGMKERGGDAEEGRAAPRAPPLLQPRGRKREEEAGGGTAM
+>sp|Q8N567|ZCHC9_HUMAN Zinc finger CCHC domain-containing protein 9 OS=Homo sapiens OX=9606 GN=ZCCHC9 PE=1 SV=2
+MTRWARVSTTYNKRPLPATSWEDMKKGSFEGTSQNLPKRKQLEANRLSLKNDAPQAKHKKNKKKKEYLNEDVNGFMEYLRQNSQMVHNGQIIATDSEEVREEIAVALKKDSRREGRRLKRQAAKKNAMVCFHCRKPGHGIADCPAALENQDMGTGICYRCGSTEHEITKCKAKVDPALGEFPFAKCFVCGEMGHLSRSCPDNPKGLYADGGGCKLCGSVEHLKKDCPESQNSERMVTVGRWAKGMSADYEEILDVPKPQKPKTKIPKVVNF
+>DECOY_sp|Q8N567|ZCHC9_HUMAN Zinc finger CCHC domain-containing protein 9 OS=Homo sapiens OX=9606 GN=ZCCHC9 PE=1 SV=2
+FNVVKPIKTKPKQPKPVDLIEEYDASMGKAWRGVTVMRESNQSEPCDKKLHEVSGCLKCGGGDAYLGKPNDPCSRSLHGMEGCVFCKAFPFEGLAPDVKAKCKTIEHETSGCRYCIGTGMDQNELAAPCDAIGHGPKRCHFCVMANKKAAQRKLRRGERRSDKKLAVAIEERVEESDTAIIQGNHVMQSNQRLYEMFGNVDENLYEKKKKNKKHKAQPADNKLSLRNAELQKRKPLNQSTGEFSGKKMDEWSTAPLPRKNYTTSVRAWRTM
+>sp|Q96GR4|ZDH12_HUMAN Probable palmitoyltransferase ZDHHC12 OS=Homo sapiens OX=9606 GN=ZDHHC12 PE=2 SV=2
+MAPWALLSPGVLVRTGHTVLTWGITLVLFLHDTELRQWEEQGELLLPLTFLLLVLGSLLLYLAVSLMDPGYVNVQPQPQEELKEEQTAMVPPAIPLRRCRYCLVLQPLRARHCRECRRCVRRYDHHCPWMENCVGERNHPLFVVYLALQLVVLLWGLYLAWSGLRFFQPWGQWLRSSGLLFATFLLLSLFSLVASLLLVSHLYLVASNTTTWEFISSHRIAYLRQRPSNPFDRGLTRNLAHFFCGWPSGSWETLWAEEEEEGSSPAV
+>DECOY_sp|Q96GR4|ZDH12_HUMAN Probable palmitoyltransferase ZDHHC12 OS=Homo sapiens OX=9606 GN=ZDHHC12 PE=2 SV=2
+VAPSSGEEEEEAWLTEWSGSPWGCFFHALNRTLGRDFPNSPRQRLYAIRHSSIFEWTTTNSAVLYLHSVLLLSAVLSFLSLLLFTAFLLGSSRLWQGWPQFFRLGSWALYLGWLLVVLQLALYVVFLPHNREGVCNEMWPCHHDYRRVCRRCERCHRARLPQLVLCYRCRRLPIAPPVMATQEEKLEEQPQPQVNVYGPDMLSVALYLLLSGLVLLLFTLPLLLEGQEEWQRLETDHLFLVLTIGWTLVTHGTRVLVGPSLLAWPAM
+>sp|Q96MV8|ZDH15_HUMAN Palmitoyltransferase ZDHHC15 OS=Homo sapiens OX=9606 GN=ZDHHC15 PE=2 SV=1
+MRRGWKMALSGGLRCCRRVLSWVPVLVIVLVVLWSYYAYVFELCLVTVLSPAEKVIYLILYHAIFVFFTWTYWKSIFTLPQQPNQKFHLSYTDKERYENEERPEVQKQMLVDMAKKLPVYTRTGSGAVRFCDRCHLIKPDRCHHCSVCAMCVLKMDHHCPWVNNCIGFSNYKFFLQFLAYSVLYCLYIATTVFSYFIKYWRGELPSVRSKFHVLFLLFVACMFFVSLVILFGYHCWLVSRNKTTLEAFCTPVFTSGPEKNGFNLGFIKNIQQVFGDKKKFWLIPIGSSPGDGHSFPMRSMNESQNPLLANEETWEDNEDDNQDYPEGSSSLAVETET
+>DECOY_sp|Q96MV8|ZDH15_HUMAN Palmitoyltransferase ZDHHC15 OS=Homo sapiens OX=9606 GN=ZDHHC15 PE=2 SV=1
+TETEVALSSSGEPYDQNDDENDEWTEENALLPNQSENMSRMPFSHGDGPSSGIPILWFKKKDGFVQQINKIFGLNFGNKEPGSTFVPTCFAELTTKNRSVLWCHYGFLIVLSVFFMCAVFLLFLVHFKSRVSPLEGRWYKIFYSFVTTAIYLCYLVSYALFQLFFKYNSFGICNNVWPCHHDMKLVCMACVSCHHCRDPKILHCRDCFRVAGSGTRTYVPLKKAMDVLMQKQVEPREENEYREKDTYSLHFKQNPQQPLTFISKWYTWTFFVFIAHYLILYIVKEAPSLVTVLCLEFVYAYYSWLVVLVIVLVPVWSLVRRCCRLGGSLAMKWGRRM
+>sp|Q8IUH5|ZDH17_HUMAN Palmitoyltransferase ZDHHC17 OS=Homo sapiens OX=9606 GN=ZDHHC17 PE=1 SV=2
+MQREEGFNTKMADGPDEYDTEAGCVPLLHPEEIKPQSHYNHGYGEPLGRKTHIDDYSTWDIVKATQYGIYERCRELVEAGYDVRQPDKENVTLLHWAAINNRIDLVKYYISKGAIVDQLGGDLNSTPLHWATRQGHLSMVVQLMKYGADPSLIDGEGCSCIHLAAQFGHTSIVAYLIAKGQDVDMMDQNGMTPLMWAAYRTHSVDPTRLLLTFNVSVNLGDKYHKNTALHWAVLAGNTTVISLLLEAGANVDAQNIKGESALDLAKQRKNVWMINHLQEARQAKGYDNPSFLRKLKADKEFRQKVMLGTPFLVIWLVGFIADLNIDSWLIKGLMYGGVWATVQFLSKSFFDHSMHSALPLGIYLATKFWMYVTWFFWFWNDLNFLFIHLPFLANSVALFYNFGKSWKSDPGIIKATEEQKKKTIVELAETGSLDLSIFCSTCLIRKPVRSKHCGVCNRCIAKFDHHCPWVGNCVGAGNHRYFMGYLFFLLFMICWMIYGCISYWGLHCETTYTKDGFWTYITQIATCSPWMFWMFLNSVFHFMWVAVLLMCQMYQISCLGITTNERMNARRYKHFKVTTTSIESPFNHGCVRNIIDFFEFRCCGLFRPVIVDWTRQYTIEYDQISGSGYQLV
+>DECOY_sp|Q8IUH5|ZDH17_HUMAN Palmitoyltransferase ZDHHC17 OS=Homo sapiens OX=9606 GN=ZDHHC17 PE=1 SV=2
+VLQYGSGSIQDYEITYQRTWDVIVPRFLGCCRFEFFDIINRVCGHNFPSEISTTTVKFHKYRRANMRENTTIGLCSIQYMQCMLLVAVWMFHFVSNLFMWFMWPSCTAIQTIYTWFGDKTYTTECHLGWYSICGYIMWCIMFLLFFLYGMFYRHNGAGVCNGVWPCHHDFKAICRNCVGCHKSRVPKRILCTSCFISLDLSGTEALEVITKKKQEETAKIIGPDSKWSKGFNYFLAVSNALFPLHIFLFNLDNWFWFFWTVYMWFKTALYIGLPLASHMSHDFFSKSLFQVTAWVGGYMLGKILWSDINLDAIFGVLWIVLFPTGLMVKQRFEKDAKLKRLFSPNDYGKAQRAEQLHNIMWVNKRQKALDLASEGKINQADVNAGAELLLSIVTTNGALVAWHLATNKHYKDGLNVSVNFTLLLRTPDVSHTRYAAWMLPTMGNQDMMDVDQGKAILYAVISTHGFQAALHICSCGEGDILSPDAGYKMLQVVMSLHGQRTAWHLPTSNLDGGLQDVIAGKSIYYKVLDIRNNIAAWHLLTVNEKDPQRVDYGAEVLERCREYIGYQTAKVIDWTSYDDIHTKRGLPEGYGHNYHSQPKIEEPHLLPVCGAETDYEDPGDAMKTNFGEERQM
+>sp|Q8IVQ6|ZDH21_HUMAN Palmitoyltransferase ZDHHC21 OS=Homo sapiens OX=9606 GN=ZDHHC21 PE=2 SV=1
+MGLRIHFVVDPHGWCCMGLIVFVWLYNIVLIPKIVLFPHYEEGHIPGILIIIFYGISIFCLVALVRASITDPGRLPENPKIPHGEREFWELCNKCNLMRPKRSHHCSRCGHCVRRMDHHCPWINNCVGEDNHWLFLQLCFYTELLTCYALMFSFCHYYYFLPLKKRNLDLFVFRHELAIMRLAAFMGITMLVGITGLFYTQLIGIITDTTSIEKMSNCCEDISRPRKPWQQTFSEVFGTRWKILWFIPFRQRQPLRVPYHFANHV
+>DECOY_sp|Q8IVQ6|ZDH21_HUMAN Palmitoyltransferase ZDHHC21 OS=Homo sapiens OX=9606 GN=ZDHHC21 PE=2 SV=1
+VHNAFHYPVRLPQRQRFPIFWLIKWRTGFVESFTQQWPKRPRSIDECCNSMKEISTTDTIIGILQTYFLGTIGVLMTIGMFAALRMIALEHRFVFLDLNRKKLPLFYYYHCFSFMLAYCTLLETYFCLQLFLWHNDEGVCNNIWPCHHDMRRVCHGCRSCHHSRKPRMLNCKNCLEWFEREGHPIKPNEPLRGPDTISARVLAVLCFISIGYFIIILIGPIHGEEYHPFLVIKPILVINYLWVFVILGMCCWGHPDVVFHIRLGM
+>sp|Q9H6R6|ZDHC6_HUMAN Palmitoyltransferase ZDHHC6 OS=Homo sapiens OX=9606 GN=ZDHHC6 PE=1 SV=1
+MGTFCSVIKFENLQELKRLCHWGPIIALGVIAICSTMAMIDSVLWYWPLHTTGGSVNFIMLINWTVMILYNYFNAMFVGPGFVPLGWKPEISQDTMYLQYCKVCQAYKAPRSHHCRKCNRCVMKMDHHCPWINNCCGYQNHASFTLFLLLAPLGCIHAAFIFVMTMYTQLYHRLSFGWNTVKIDMSAARRDPLPIVPFGLAAFATTLFALGLALGTTIAVGMLFFIQMKIILRNKTSIESWIEEKAKDRIQYYQLDEVFVFPYDMGSRWRNFKQVFTWSGVPEGDGLEWPVREGCHQYSLTIEQLKQKADKRVRSVRYKVIEDYSGACCPLNKGIKTFFTSPCTEEPRIQLQKGEFILATRGLRYWLYGDKILDDSFIEGVSRIRGWFPRKCVEKCPCDAETDQAPEGEKKNR
+>DECOY_sp|Q9H6R6|ZDHC6_HUMAN Palmitoyltransferase ZDHHC6 OS=Homo sapiens OX=9606 GN=ZDHHC6 PE=1 SV=1
+RNKKEGEPAQDTEADCPCKEVCKRPFWGRIRSVGEIFSDDLIKDGYLWYRLGRTALIFEGKQLQIRPEETCPSTFFTKIGKNLPCCAGSYDEIVKYRVSRVRKDAKQKLQEITLSYQHCGERVPWELGDGEPVGSWTFVQKFNRWRSGMDYPFVFVEDLQYYQIRDKAKEEIWSEISTKNRLIIKMQIFFLMGVAITTGLALGLAFLTTAFAALGFPVIPLPDRRAASMDIKVTNWGFSLRHYLQTYMTMVFIFAAHICGLPALLLFLTFSAHNQYGCCNNIWPCHHDMKMVCRNCKRCHHSRPAKYAQCVKCYQLYMTDQSIEPKWGLPVFGPGVFMANFYNYLIMVTWNILMIFNVSGGTTHLPWYWLVSDIMAMTSCIAIVGLAIIPGWHCLRKLEQLNEFKIVSCFTGM
+>sp|P15822|ZEP1_HUMAN Zinc finger protein 40 OS=Homo sapiens OX=9606 GN=HIVEP1 PE=1 SV=3
+MPRTKQIHPRNLRDKIEEAQKELNGAEVSKKEILQAGVKGTSESLKGVKRKKIVAENHLKKIPKSPLRNPLQAKHKQNTEESSFAVLHSASESHKKQNYIPVKNGKQFTKQNGETPGIIAEASKSEESVSPKKPLFLQQPSELRRWRSEGADPAKFSDLDEQCDSSSLSSKTRTDNSECISSHCGTTSPSYTNTAFDVLLKAMEPELSTLSQKGSPCAIKTEKLRPNKTARSPPKLKNSSMDAPNQTSQELVAESQSSCTSYTVHMSAAQKNEQGAMQSASHLYHQHEHFVPKSNQHNQQLPGCSGFTGSLTNLQNQENAKLEQVYNIAVTSSVGLTSPSSRSQVTPQNQQMDSASPLSISPANSTQSPPMPIYNSTHVASVVNQSVEQMCNLLLKDQKPKKQGKYICEYCNRACAKPSVLLKHIRSHTGERPYPCVTCGFSFKTKSNLYKHKKSHAHTIKLGLVLQPDAGGLFLSHESPKALSIHSDVEDSGESEEEGATDERQHDLGAMELQPVHIIKRMSNAETLLKSSFTPSSPENVIGDFLLQDRSAESQAVTELPKVVVHHVTVSPLRTDSPKAMDPKPELSSAQKQKDLQVTNVQPLSANMSQGGVSRLETNENSHQKGDMNPLEGKQDSHVGTVHAQLQRQQATDYSQEQQGKLLSPRSLGSTDSGYFSRSESADQTVSPPTPFARRLPSTEQDSGRSNGPSAALVTTSTPSALPTGEKALLLPGQMRPPLATKTLEERISKLISDNEALVDDKQLDSVKPRRTSLSRRGSIDSPKSYIFKDSFQFDLKPVGRRTSSSSDIPKSPFTPTEKSKQVFLLSVPSLDCLPITRSNSMPTTGYSAVPANIIPPPHPLRGSQSFDDKIGTFYDDVFVSGPNAPVPQSGHPRTLVRQAAIEDSSANESHVLGTGQSLDESHQGCHAAGEAMSVRSKALAQGPHIEKKKSHQGRGTMFECETCRNRYRKLENFENHKKFYCSELHGPKTKVAMREPEHSPVPGGLQPQILHYRVAGSSGIWEQTPQIRKRRKMKSVGDDEELQQNESGTSPKSSEGLQFQNALGCNPSLPKHNVTIRSDQQHKNIQLQNSHIHLVARGPEQTMDPKLSTIMEQQISSAAQDKIELQRHGTGISVIQHTNSLSRPNSFDKPEPFERASPVSFQELNRTGKSGSLKVIGISQEESHPSRDGSHPHQLALSDALRGELQESSRKSPSERHVLGQPSRLVRQHNIQVPEILVTEEPDRDLEAQCHDQEKSEKFSWPQRSETLSKLPTEKLPPKKKRLRLAEIEHSSTESSFDSTLSRSLSRESSLSHTSSFSASLDIEDVSKTEASPKIDFLNKAEFLMIPAGLNTLNVPGCHREMRRTASEQINCTQTSMEVSDLRSKSFDCGSITPPQTTPLTELQPPSSPSRVGVTGHVPLLERRRGPLVRQISLNIAPDSHLSPVHPTSFQNTALPSVNAVPYQGPQLTSTSLAEFSANTLHSQTQVKDLQAETSNSSSTNVFPVQQLCDINLLNQIHAPPSHQSTQLSLQVSTQGSKPDKNSVLSGSSKSEDCFAPKYQLHCQVFTSGPSCSSNPVHSLPNQVISDPVGTDHCVTSATLPTKLIDSMSNSHPLLPPELRPLGSQVQKVPSSFMLPIRLQSSVPAYCFATLTSLPQILVTQDLPNQPICQTNHSVVPISEEQNSVPTLQKGHQNALPNPEKEFLCENVFSEMSQNSSLSESLPITQKISVGRLSPQQESSASSKRMLSPANSLDIAMEKHQKRAKDENGAVCATDVRPLEALSSRVNEASKQKKPILVRQVCTTEPLDGVMLEKDVFSQPEISNEAVNLTNVLPADNSSTGCSKFVVIEPISELQEFENIKSSTSLTLTVRSSPAPSENTHISPLKCTDNNQERKSPGVKNQGDKVNIQEQSQQPVTSLSLFNIKDTQQLAFPSLKTTTNFTWCYLLRQKSLHLPQKDQKTSAYTDWTVSASNPNPLGLPTKVALALLNSKQNTGKSLYCQAITTHSKSDLLVYSSKWKSSLSKRALGNQKSTVVEFSNKDASEINSEQDKENSLIKSEPRRIKIFDGGYKSNEEYVYVRGRGRGKYICEECGIRCKKPSMLKKHIRTHTDVRPYHCTYCNFSFKTKGNLTKHMKSKAHSKKCVDLGVSVGLIDEQDTEESDEKQRFSYERSGYDLEESDGPDEDDNENEDDDEDSQAESVLSATPSVTASPQHLPSRSSLQDPVSTDEDVRITDCFSGVHTDPMDVLPRALLTRMTVLSTAQSDYNRKTLSPGKARQRAARDENDTIPSVDTSRSPCHQMSVDYPESEEILRSSMAGKAVAITQSPSSVRLPPAAAEHSPQTAAGMPSVASPHPDPQEQKQQITLQPTPGLPSPHTHLFSHLPLHSQQQSRTPYNMVPVGGIHVVPAGLTYSTFVPLQAGPVQLTIPAVSVVHRTLGTHRNTVTEVSGTTNPAGVAELSSVVPCIPIGQIRVPGLQNLSTPGLQSLPSLSMETVNIVGLANTNMAPQVHPPGLALNAVGLQVLTANPSSQSSPAPQAHIPGLQILNIALPTLIPSVSQVAVDAQGAPEMPASQSKACETQPKQTSVASANQVSRTESPQGLPTVQRENAKKVLNPPAPAGDHARLDGLSKMDTEKAASANHVKPKPELTSIQGQPASTSQPLLKAHSEVFTKPSGQQTLSPDRQVPRPTALPRRQPTVHFSDVSSDDDEDRLVIAT
+>DECOY_sp|P15822|ZEP1_HUMAN Zinc finger protein 40 OS=Homo sapiens OX=9606 GN=HIVEP1 PE=1 SV=3
+TAIVLRDEDDDSSVDSFHVTPQRRPLATPRPVQRDPSLTQQGSPKTFVESHAKLLPQSTSAPQGQISTLEPKPKVHNASAAKETDMKSLGDLRAHDGAPAPPNLVKKANERQVTPLGQPSETRSVQNASAVSTQKPQTECAKSQSAPMEPAGQADVAVQSVSPILTPLAINLIQLGPIHAQPAPSSQSSPNATLVQLGVANLALGPPHVQPAMNTNALGVINVTEMSLSPLSQLGPTSLNQLGPVRIQGIPICPVVSSLEAVGAPNTTGSVETVTNRHTGLTRHVVSVAPITLQVPGAQLPVFTSYTLGAPVVHIGGVPVMNYPTRSQQQSHLPLHSFLHTHPSPLGPTPQLTIQQKQEQPDPHPSAVSPMGAATQPSHEAAAPPLRVSSPSQTIAVAKGAMSSRLIEESEPYDVSMQHCPSRSTDVSPITDNEDRAARQRAKGPSLTKRNYDSQATSLVTMRTLLARPLVDMPDTHVGSFCDTIRVDEDTSVPDQLSSRSPLHQPSATVSPTASLVSEAQSDEDDDENENDDEDPGDSEELDYGSREYSFRQKEDSEETDQEDILGVSVGLDVCKKSHAKSKMHKTLNGKTKFSFNCYTCHYPRVDTHTRIHKKLMSPKKCRIGCEECIYKGRGRGRVYVYEENSKYGGDFIKIRRPESKILSNEKDQESNIESADKNSFEVVTSKQNGLARKSLSSKWKSSYVLLDSKSHTTIAQCYLSKGTNQKSNLLALAVKTPLGLPNPNSASVTWDTYASTKQDKQPLHLSKQRLLYCWTFNTTTKLSPFALQQTDKINFLSLSTVPQQSQEQINVKDGQNKVGPSKREQNNDTCKLPSIHTNESPAPSSRVTLTLSTSSKINEFEQLESIPEIVVFKSCGTSSNDAPLVNTLNVAENSIEPQSFVDKELMVGDLPETTCVQRVLIPKKQKSAENVRSSLAELPRVDTACVAGNEDKARKQHKEMAIDLSNAPSLMRKSSASSEQQPSLRGVSIKQTIPLSESLSSNQSMESFVNECLFEKEPNPLANQHGKQLTPVSNQEESIPVVSHNTQCIPQNPLDQTVLIQPLSTLTAFCYAPVSSQLRIPLMFSSPVKQVQSGLPRLEPPLLPHSNSMSDILKTPLTASTVCHDTGVPDSIVQNPLSHVPNSSCSPGSTFVQCHLQYKPAFCDESKSSGSLVSNKDPKSGQTSVQLSLQTSQHSPPAHIQNLLNIDCLQQVPFVNTSSSNSTEAQLDKVQTQSHLTNASFEALSTSTLQPGQYPVANVSPLATNQFSTPHVPSLHSDPAINLSIQRVLPGRRRELLPVHGTVGVRSPSSPPQLETLPTTQPPTISGCDFSKSRLDSVEMSTQTCNIQESATRRMERHCGPVNLTNLGAPIMLFEAKNLFDIKPSAETKSVDEIDLSASFSSTHSLSSERSLSRSLTSDFSSETSSHEIEALRLRKKKPPLKETPLKSLTESRQPWSFKESKEQDHCQAELDRDPEETVLIEPVQINHQRVLRSPQGLVHRESPSKRSSEQLEGRLADSLALQHPHSGDRSPHSEEQSIGIVKLSGSKGTRNLEQFSVPSAREFPEPKDFSNPRSLSNTHQIVSIGTGHRQLEIKDQAASSIQQEMITSLKPDMTQEPGRAVLHIHSNQLQINKHQQDSRITVNHKPLSPNCGLANQFQLGESSKPSTGSENQQLEEDDGVSKMKRRKRIQPTQEWIGSSGAVRYHLIQPQLGGPVPSHEPERMAVKTKPGHLESCYFKKHNEFNELKRYRNRCTECEFMTGRGQHSKKKEIHPGQALAKSRVSMAEGAAHCGQHSEDLSQGTGLVHSENASSDEIAAQRVLTRPHGSQPVPANPGSVFVDDYFTGIKDDFSQSGRLPHPPPIINAPVASYGTTPMSNSRTIPLCDLSPVSLLFVQKSKETPTFPSKPIDSSSSTRRGVPKLDFQFSDKFIYSKPSDISGRRSLSTRRPKVSDLQKDDVLAENDSILKSIREELTKTALPPRMQGPLLLAKEGTPLASPTSTTVLAASPGNSRGSDQETSPLRRAFPTPPSVTQDASESRSFYGSDTSGLSRPSLLKGQQEQSYDTAQQRQLQAHVTGVHSDQKGELPNMDGKQHSNENTELRSVGGQSMNASLPQVNTVQLDKQKQASSLEPKPDMAKPSDTRLPSVTVHHVVVKPLETVAQSEASRDQLLFDGIVNEPSSPTFSSKLLTEANSMRKIIHVPQLEMAGLDHQREDTAGEEESEGSDEVDSHISLAKPSEHSLFLGGADPQLVLGLKITHAHSKKHKYLNSKTKFSFGCTVCPYPREGTHSRIHKLLVSPKACARNCYECIYKGQKKPKQDKLLLNCMQEVSQNVVSAVHTSNYIPMPPSQTSNAPSISLPSASDMQQNQPTVQSRSSPSTLGVSSTVAINYVQELKANEQNQLNTLSGTFGSCGPLQQNHQNSKPVFHEHQHYLHSASQMAGQENKQAASMHVTYSTCSSQSEAVLEQSTQNPADMSSNKLKPPSRATKNPRLKETKIACPSGKQSLTSLEPEMAKLLVDFATNTYSPSTTGCHSSICESNDTRTKSSLSSSDCQEDLDSFKAPDAGESRWRRLESPQQLFLPKKPSVSEESKSAEAIIGPTEGNQKTFQKGNKVPIYNQKKHSESASHLVAFSSEETNQKHKAQLPNRLPSKPIKKLHNEAVIKKRKVGKLSESTGKVGAQLIEKKSVEAGNLEKQAEEIKDRLNRPHIQKTRPM
+>sp|Q8TCF1|ZFAN1_HUMAN AN1-type zinc finger protein 1 OS=Homo sapiens OX=9606 GN=ZFAND1 PE=1 SV=1
+MAELDIGQHCQVEHCRQRDFLPFVCDDCSGIFCLEHRSRESHGCPEVTVINERLKTDQHTSYPCSFKDCAERELVAVICPYCEKNFCLRHRHQSDHECEKLEIPKPRMAATQKLVKDIIDSKTGETASKRWKGAKNSETAAKVALMKLKMHADGDKSLPQTERIYFQVFLPKGSKEKSKPMFFCHRWSIGKAIDFAASLARLKNDNNKFTAKKLRLCHITSGEALPLDHTLETWIAKEDCPLYNGGNIILEYLNDEEQFCKNVESYLE
+>DECOY_sp|Q8TCF1|ZFAN1_HUMAN AN1-type zinc finger protein 1 OS=Homo sapiens OX=9606 GN=ZFAND1 PE=1 SV=1
+ELYSEVNKCFQEEDNLYELIINGGNYLPCDEKAIWTELTHDLPLAEGSTIHCLRLKKATFKNNDNKLRALSAAFDIAKGISWRHCFFMPKSKEKSGKPLFVQFYIRETQPLSKDGDAHMKLKMLAVKAATESNKAGKWRKSATEGTKSDIIDKVLKQTAAMRPKPIELKECEHDSQHRHRLCFNKECYPCIVAVLEREACDKFSCPYSTHQDTKLRENIVTVEPCGHSERSRHELCFIGSCDDCVFPLFDRQRCHEVQCHQGIDLEAM
+>sp|Q6P2D0|ZFP1_HUMAN Zinc finger protein 1 homolog OS=Homo sapiens OX=9606 GN=ZFP1 PE=1 SV=2
+MNKSQGSVSFTDVTVDFTQEEWEQLDPSQRILYMDVMLENYSNLLSVEVWKADDQMERDHRNPDEQARQFLILKNQTPIEERGDLFGKALNLNTDFVSLRQVPYKYDLYEKTLKYNSDLLNSNRSYAGKQTDECNEFGKALLYLKQEKTHSGVEYSEYNKSGKALSHKAAIFKHQKIKNLVQPFICTYCDKAFSFKSLLISHKRIHTGEKPYECNVCKKTFSHKANLIKHQRIHTGEKPFECPECGKAFTHQSNLIVHQRAHMEKKPYECSECGKTFAQKFELTTHQRIHTGERPYECNECAKTFFKKSNLIIHQKIHTGEKRYECSECGKSFIQNSQLIIHMRTHTGEKPYECTECGKTFSQRSTLRLHLRIHTGEKPYECSECGKAFSRKSRLSVHQRVHIGEKP
+>DECOY_sp|Q6P2D0|ZFP1_HUMAN Zinc finger protein 1 homolog OS=Homo sapiens OX=9606 GN=ZFP1 PE=1 SV=2
+PKEGIHVRQHVSLRSKRSFAKGCESCEYPKEGTHIRLHLRLTSRQSFTKGCETCEYPKEGTHTRMHIILQSNQIFSKGCESCEYRKEGTHIKQHIILNSKKFFTKACENCEYPREGTHIRQHTTLEFKQAFTKGCESCEYPKKEMHARQHVILNSQHTFAKGCEPCEFPKEGTHIRQHKILNAKHSFTKKCVNCEYPKEGTHIRKHSILLSKFSFAKDCYTCIFPQVLNKIKQHKFIAAKHSLAKGSKNYESYEVGSHTKEQKLYLLAKGFENCEDTQKGAYSRNSNLLDSNYKLTKEYLDYKYPVQRLSVFDTNLNLAKGFLDGREEIPTQNKLILFQRAQEDPNRHDREMQDDAKWVEVSLLNSYNELMVDMYLIRQSPDLQEWEEQTFDVTVDTFSVSGQSKNM
+>sp|Q8N141|ZFP82_HUMAN Zinc finger protein 82 homolog OS=Homo sapiens OX=9606 GN=ZFP82 PE=1 SV=1
+MALRSVMFSDVSIDFSPEEWEYLDLEQKDLYRDVMLENYSNLVSLGCFISKPDVISSLEQGKEPWKVVRKGRRQYPDLETKYETKKLSLENDIYEINLSQWKIMERIENHGLKGLILKNDWESTGKIEGQERPQEGYFSSVKMPSEKVSSYQKRTSVTPHQRLHFVDKPYECKECGKAFRVRQQLTFHHRIHTGEKPYECKECGMAFRQTAHLTRHQRLHSGEKLYECKECGEAFICGADLRVHQKMHIGEKPYECKECGKAFRVRGQLTLHQRIHTGEKPYVCKECGKAFRQYAHLTRHQKLNSADRLYECKECGKAFLCGSGLRVHHKLHTGEKPYECKECGKAFRVRQQLTLHQRIHTGEKPYECKECGKTFSRGYHLILHHRIHTGEKPYECKECWKAFSRYSQLISHQSIHIGVKPYDCKECGKAFRLLSQLTQHQSIHIGEKPYKCKECGKAFRLRQKLTLHQSIHTGEKPFECKECRKAFRLNSSLIQHLRIHSGEKPYECKECKKAFRQHSHLTHHLKIHNVKI
+>DECOY_sp|Q8N141|ZFP82_HUMAN Zinc finger protein 82 homolog OS=Homo sapiens OX=9606 GN=ZFP82 PE=1 SV=1
+IKVNHIKLHHTLHSHQRFAKKCEKCEYPKEGSHIRLHQILSSNLRFAKRCEKCEFPKEGTHISQHLTLKQRLRFAKGCEKCKYPKEGIHISQHQTLQSLLRFAKGCEKCDYPKVGIHISQHSILQSYRSFAKWCEKCEYPKEGTHIRHHLILHYGRSFTKGCEKCEYPKEGTHIRQHLTLQQRVRFAKGCEKCEYPKEGTHLKHHVRLGSGCLFAKGCEKCEYLRDASNLKQHRTLHAYQRFAKGCEKCVYPKEGTHIRQHLTLQGRVRFAKGCEKCEYPKEGIHMKQHVRLDAGCIFAEGCEKCEYLKEGSHLRQHRTLHATQRFAMGCEKCEYPKEGTHIRHHFTLQQRVRFAKGCEKCEYPKDVFHLRQHPTVSTRKQYSSVKESPMKVSSFYGEQPREQGEIKGTSEWDNKLILGKLGHNEIREMIKWQSLNIEYIDNELSLKKTEYKTELDPYQRRGKRVVKWPEKGQELSSIVDPKSIFCGLSVLNSYNELMVDRYLDKQELDLYEWEEPSFDISVDSFMVSRLAM
+>sp|Q8TF47|ZFP90_HUMAN Zinc finger protein 90 homolog OS=Homo sapiens OX=9606 GN=ZFP90 PE=1 SV=2
+MAPRPPTAAPQESVTFKDVSVDFTQEEWYHVDPAQRSLYRDVMLENYSHLVSLGYQVSKPEVIFKLEQGEEPWISEGEIQRPFYPDWKTRPEVKSSHLQQDVSEVSHCTHDLLHATLEDSWDVSSQLDRQQENWKRHLGSEASTQKKIITPQENFEQNKFGENSRLNTNLVTQLNIPARIRPSECETLGSNLGHNADLLNENNILAKKKPYKCDKCRKAFIHRSSLTKHEKTHKGEGAFPNGTDQGIYPGKKHHECTDCGKTFLWKTQLTEHQRIHTGEKPFECNVCGKAFRHSSSLGQHENAHTGEKPYQCSLCGKAFQRSSSLVQHQRIHTGEKPYRCNLCGRSFRHGTSLTQHEVTHSGEKPFQCKECGKAFSRCSSLVQHERTHTGEKPFECSICGRAFGQSPSLYKHMRIHKRGKPYQSSNYSIDFKHSTSLTQDESTLTEVKSYHCNDCGEDFSHITDFTDHQRIHTAENPYDCEQAFSQQAISHPGEKPYQCNVCGKAFKRSTSFIEHHRIHTGEKPYECNECGEAFSRRSSLTQHERTHTGEKPYECIDCGKAFSQSSSLIQHERTHTGEKPYECNECGRAFRKKTNLHDHQRIHTGEKPYSCKECGKNFSRSSALTKHQRIHTRNKL
+>DECOY_sp|Q8TF47|ZFP90_HUMAN Zinc finger protein 90 homolog OS=Homo sapiens OX=9606 GN=ZFP90 PE=1 SV=2
+LKNRTHIRQHKTLASSRSFNKGCEKCSYPKEGTHIRQHDHLNTKKRFARGCENCEYPKEGTHTREHQILSSSQSFAKGCDICEYPKEGTHTREHQTLSSRRSFAEGCENCEYPKEGTHIRHHEIFSTSRKFAKGCVNCQYPKEGPHSIAQQSFAQECDYPNEATHIRQHDTFDTIHSFDEGCDNCHYSKVETLTSEDQTLSTSHKFDISYNSSQYPKGRKHIRMHKYLSPSQGFARGCISCEFPKEGTHTREHQVLSSCRSFAKGCEKCQFPKEGSHTVEHQTLSTGHRFSRGCLNCRYPKEGTHIRQHQVLSSSRQFAKGCLSCQYPKEGTHANEHQGLSSSHRFAKGCVNCEFPKEGTHIRQHETLQTKWLFTKGCDTCEHHKKGPYIGQDTGNPFAGEGKHTKEHKTLSSRHIFAKRCKDCKYPKKKALINNENLLDANHGLNSGLTECESPRIRAPINLQTVLNTNLRSNEGFKNQEFNEQPTIIKKQTSAESGLHRKWNEQQRDLQSSVDWSDELTAHLLDHTCHSVESVDQQLHSSKVEPRTKWDPYFPRQIEGESIWPEEGQELKFIVEPKSVQYGLSVLHSYNELMVDRYLSRQAPDVHYWEEQTFDVSVDKFTVSEQPAATPPRPAM
+>sp|Q9UPR6|ZFR2_HUMAN Zinc finger RNA-binding protein 2 OS=Homo sapiens OX=9606 GN=ZFR2 PE=2 SV=3
+MATSQYFDFAQGGGPQYSAQPPTLPLPTVGASYTAQPTPGMDPAVNPAFPPAAPAGYGGYQPHSGQDFAYGSRPQEPVPTATTMATYQDSYSYGQSAAARSYEDRPYFQSAALQSGRMTAADSGQPGTQEACGQPSPHGSHSHAQPPQQAPIVESGQPASTLSSGYTYPTATGVQPESSASIVTSYPPPSYNPTCTAYTAPSYPNYDASVYSAASPFYPPAQPPPPPGPPQQLPPPPAPAGSGSSPRADSKPPLPSKLPRPKAGPRQLQLHYCDICKISCAGPQTYREHLGGQKHRKKEAAQKTGVQPNGSPRGVQAQLHCDLCAVSCTGADAYAAHIRGSKHQKVFKLHAKLGKPIPTLEPALATESPPGAEAKPTSPTGPSVCASSRPALAKRPVASKALCEGPPEPQAAGCRPQWGKPAQPKLEGPGAPTQGGSKEAPAGCSDAQPVGPEYVEEVFSDEGRVLRFHCKLCECSFNDLNAKDLHVRGRRHRLQYRKKVNPDLPIATEPSSRARKVLEERMRKQRHLAEERLEQLRRWHAERRRLEEEPPQDVPPHAPPDWAQPLLMGRPESPASAPLQPGRRPASSDDRHVMCKHATIYPTEQELLAVQRAVSHAERALKLVSDTLAEEDRGRREEEGDKRSSVAPQTRVLKGVMRVGILAKGLLLRGDRNVRLALLCSEKPTHSLLRRIAQQLPRQLQMVTEDEYEVSSDPEANIVISSCEEPRMQVTISVTSPLMREDPSTDPGVEEPQADAGDVLSPKKCLESLAALRHARWFQARASGLQPCVIVIRVLRDLCRRVPTWGALPAWAMELLVEKAVSSAAGPLGPGDAVRRVLECVATGTLLTDGPGLQDPCERDQTDALEPMTLQEREDVTASAQHALRMLAFRQTHKVLGMDLLPPRHRLGARFRKRQRGPGEGEEGAGEKKRGRRGGEGLV
+>DECOY_sp|Q9UPR6|ZFR2_HUMAN Zinc finger RNA-binding protein 2 OS=Homo sapiens OX=9606 GN=ZFR2 PE=2 SV=3
+VLGEGGRRGRKKEGAGEEGEGPGRQRKRFRAGLRHRPPLLDMGLVKHTQRFALMRLAHQASATVDEREQLTMPELADTQDRECPDQLGPGDTLLTGTAVCELVRRVADGPGLPGAASSVAKEVLLEMAWAPLAGWTPVRRCLDRLVRIVIVCPQLGSARAQFWRAHRLAALSELCKKPSLVDGADAQPEEVGPDTSPDERMLPSTVSITVQMRPEECSSIVINAEPDSSVEYEDETVMQLQRPLQQAIRRLLSHTPKESCLLALRVNRDGRLLLGKALIGVRMVGKLVRTQPAVSSRKDGEEERRGRDEEALTDSVLKLAREAHSVARQVALLEQETPYITAHKCMVHRDDSSAPRRGPQLPASAPSEPRGMLLPQAWDPPAHPPVDQPPEEELRRREAHWRRLQELREEALHRQKRMREELVKRARSSPETAIPLDPNVKKRYQLRHRRGRVHLDKANLDNFSCECLKCHFRLVRGEDSFVEEVYEPGVPQADSCGAPAEKSGGQTPAGPGELKPQAPKGWQPRCGAAQPEPPGECLAKSAVPRKALAPRSSACVSPGTPSTPKAEAGPPSETALAPELTPIPKGLKAHLKFVKQHKSGRIHAAYADAGTCSVACLDCHLQAQVGRPSGNPQVGTKQAAEKKRHKQGGLHERYTQPGACSIKCIDCYHLQLQRPGAKPRPLKSPLPPKSDARPSSGSGAPAPPPPLQQPPGPPPPPQAPPYFPSAASYVSADYNPYSPATYATCTPNYSPPPYSTVISASSEPQVGTATPYTYGSSLTSAPQGSEVIPAQQPPQAHSHSGHPSPQGCAEQTGPQGSDAATMRGSQLAASQFYPRDEYSRAAASQGYSYSDQYTAMTTATPVPEQPRSGYAFDQGSHPQYGGYGAPAAPPFAPNVAPDMGPTPQATYSAGVTPLPLTPPQASYQPGGGQAFDFYQSTAM
+>sp|Q96KR1|ZFR_HUMAN Zinc finger RNA-binding protein OS=Homo sapiens OX=9606 GN=ZFR PE=1 SV=2
+MIPICPVVSFTYVPSRLGEDAKMATGNYFGFTHSGAAAAAAAAQYSQQPASGVAYSHPTTVASYTVHQAPVAAHTVTAAYAPAAATVAVARPAPVAVAAAATAAAYGGYPTAHTATDYGYTQRQQEAPPPPPPATTQNYQDSYSYVRSTAPAVAYDSKQYYQQPTATAAAVAAAAQPQPSVAETYYQTAPKAGYSQGATQYTQAQQTRQVTAIKPATPSPATTTFSIYPVSSTVQPVAAAATVVPSYTQSATYSTTAVTYSGTSYSGYEAAVYSAASSYYQQQQQQQKQAAAAAAAAAATAAWTGTTFTKKAPFQNKQLKPKQPPKPPQIHYCDVCKISCAGPQTYKEHLEGQKHKKKEAALKASQNTSSSNSSTRGTQNQLRCELCDVSCTGADAYAAHIRGAKHQKVVKLHTKLGKPIPSTEPNVVSQATSSTAVSASKPTASPSSIAANNCTVNTSSVATSSMKGLTTTGNSSLNSTSNTKVSAVPTNMAAKKTSTPKINFVGGNKLQSTGNKAEDIKGTECVKSTPVTSAVQIPEVKQDTVSEPVTPASLAALQSDVQPVGHDYVEEVRNDEGKVIRFHCKLCECSFNDPNAKEMHLKGRRHRLQYKKKVNPDLQVEVKPSIRARKIQEEKMRKQMQKEEYWRRREEEERWRMEMRRYEEDMYWRRMEEEQHHWDDRRRMPDGGYPHGPPGPLGLLGVRPGMPPQPQGPAPLRRPDSSDDRYVMTKHATIYPTEEELQAVQKIVSITERALKLVSDSLSEHEKNKNKEGDDKKEGGKDRALKGVLRVGVLAKGLLLRGDRNVNLVLLCSEKPSKTLLSRIAENLPKQLAVISPEKYDIKCAVSEAAIILNSCVEPKMQVTITLTSPIIREENMREGDVTSGMVKDPPDVLDRQKCLDALAALRHAKWFQARANGLQSCVIIIRILRDLCQRVPTWSDFPSWAMELLVEKAISSASSPQSPGDALRRVFECISSGIILKGSPGLLDPCEKDPFDTLATMTDQQREDITSSAQFALRLLAFRQIHKVLGMDPLPQMSQRFNIHNNRKRRRDSDGVDGFEAEGKKDKKDYDNF
+>DECOY_sp|Q96KR1|ZFR_HUMAN Zinc finger RNA-binding protein OS=Homo sapiens OX=9606 GN=ZFR PE=1 SV=2
+FNDYDKKDKKGEAEFGDVGDSDRRRKRNNHINFRQSMQPLPDMGLVKHIQRFALLRLAFQASSTIDERQQDTMTALTDFPDKECPDLLGPSGKLIIGSSICEFVRRLADGPSQPSSASSIAKEVLLEMAWSPFDSWTPVRQCLDRLIRIIIVCSQLGNARAQFWKAHRLAALADLCKQRDLVDPPDKVMGSTVDGERMNEERIIPSTLTITVQMKPEVCSNLIIAAESVACKIDYKEPSIVALQKPLNEAIRSLLTKSPKESCLLVLNVNRDGRLLLGKALVGVRLVGKLARDKGGEKKDDGEKNKNKEHESLSDSVLKLARETISVIKQVAQLEEETPYITAHKTMVYRDDSSDPRRLPAPGQPQPPMGPRVGLLGLPGPPGHPYGGDPMRRRDDWHHQEEEMRRWYMDEEYRRMEMRWREEEERRRWYEEKQMQKRMKEEQIKRARISPKVEVQLDPNVKKKYQLRHRRGKLHMEKANPDNFSCECLKCHFRIVKGEDNRVEEVYDHGVPQVDSQLAALSAPTVPESVTDQKVEPIQVASTVPTSKVCETGKIDEAKNGTSQLKNGGVFNIKPTSTKKAAMNTPVASVKTNSTSNLSSNGTTTLGKMSSTAVSSTNVTCNNAAISSPSATPKSASVATSSTAQSVVNPETSPIPKGLKTHLKVVKQHKAGRIHAAYADAGTCSVDCLECRLQNQTGRTSSNSSSTNQSAKLAAEKKKHKQGELHEKYTQPGACSIKCVDCYHIQPPKPPQKPKLQKNQFPAKKTFTTGTWAATAAAAAAAAAAQKQQQQQQQYYSSAASYVAAEYGSYSTGSYTVATTSYTASQTYSPVVTAAAAVPQVTSSVPYISFTTTAPSPTAPKIATVQRTQQAQTYQTAGQSYGAKPATQYYTEAVSPQPQAAAAVAAATATPQQYYQKSDYAVAPATSRVYSYSDQYNQTTAPPPPPPAEQQRQTYGYDTATHATPYGGYAAATAAAAVAVPAPRAVAVTAAAPAYAATVTHAAVPAQHVTYSAVTTPHSYAVGSAPQQSYQAAAAAAAAGSHTFGFYNGTAMKADEGLRSPVYTFSVVPCIPIM
+>sp|Q68DK2|ZFY26_HUMAN Zinc finger FYVE domain-containing protein 26 OS=Homo sapiens OX=9606 GN=ZFYVE26 PE=1 SV=3
+MNHPFGKEEAASQKQLFGFFCECLRRGEWELAQACVPQLQEGQGDIPKRVEDILQALVVCPNLLRCGQDINPQRVAWVWLLVLEKWLAREKKLLPVVFRRKLEFLLLSEDLQGDIPENILEELYETLTQGAVGHVPDGNPRRESWTPRLSSEAVSVLWDLLRQSPQPAQALLELLLEEDDGTGLCHWPLQNALVDLIRKALRALQGPDSVPPGVVDAIYGALRTLRCPAEPLGVELHLLCEELLEACRTEGSPLREERLLSCLLHKASRGLLSLYGHTYAEKVTEKPPRATASGKVSPDHLDPERAMLALFSNPNPAEAWKVAYFYCLSNNKHFLEQILVTALTLLKEEDFPNLGCLLDREFRPLSCLLVLLGWTHCQSLESAKRLLQTLHRTQGPGCDELLRDACDGLWAHLEVLEWCIQQSSNPIPKRDLLYHLHGGDSHSVLYTLHHLTNLPALREEDVLKLLQKVPAKDPQQEPDAVDAPVPEHLSQCQNLTLYQGFCAMKYAIYALCVNSHQHSQCQDCKDSLSEDLASATEPANDSLSSPGAANLFSTYLARCQQYLCSIPDSLCLELLENIFSLLLITSADLHPEPHLPEDYAEDDDIEGKSPSGLRSPSESPQHIAHPERKSERGSLGVPKTLAYTMPSHVKAEPKDSYPGPHRHSFLDLKHFTSGISGFLADEFAIGAFLRLLQEQLDEISSRSPPEKPKQESQSCSGSRDGLQSRLHRLSKVVSEAQWRHKVVTSNHRSEEQPSRRYQPATRHPSLRRGRRTRRSQADGRDRGSNPSLESTSSELSTSTSEGSLSAMSGRNELHSRLHPHPQSSLIPMMFSPPESLLASCILRGNFAEAHQVLFTFNLKSSPSSGELMFMERYQEVIQELAQVEHKIENQNSDAGSSTIRRTGSGRSTLQAIGSAAAAGMVFYSISDVTDKLLNTSGDPIPMLQEDFWISTALVEPTAPLREVLEDLSPPAMAAFDLACSQCQLWKTCKQLLETAERRLNSSLERRGRRIDHVLLNADGIRGFPVVLQQISKSLNYLLMSASQTKSESVEEKGGGPPRCSITELLQMCWPSLSEDCVASHTTLSQQLDQVLQSLREALELPEPRTPPLSSLVEQAAQKAPEAEAHPVQIQTQLLQKNLGKQTPSGSRQMDYLGTFFSYCSTLAAVLLQSLSSEPDHVEVKVGNPFVLLQQSSSQLVSHLLFERQVPPERLAALLAQENLSLSVPQVIVSCCCEPLALCSSRQSQQTSSLLTRLGTLAQLHASHCLDDLPLSTPSSPRTTENPTLERKPYSSPRDSSLPALTSSALAFLKSRSKLLATVACLGASPRLKVSKPSLSWKELRGRREVPLAAEQVARECERLLEQFPLFEAFLLAAWEPLRGSLQQGQSLAVNLCGWASLSTVLLGLHSPIALDVLSEAFEESLVARDWSRALQLTEVYGRDVDDLSSIKDAVLSCAVACDKEGWQYLFPVKDASLRSRLALQFVDRWPLESCLEILAYCISDTAVQEGLKCELQRKLAELQVYQKILGLQSPPVWCDWQTLRSCCVEDPSTVMNMILEAQEYELCEEWGCLYPIPREHLISLHQKHLLHLLERRDHDKALQLLRRIPDPTMCLEVTEQSLDQHTSLATSHFLANYLTTHFYGQLTAVRHREIQALYVGSKILLTLPEQHRASYSHLSSNPLFMLEQLLMNMKVDWATVAVQTLQQLLVGQEIGFTMDEVDSLLSRYAEKALDFPYPQREKRSDSVIHLQEIVHQAADPETLPRSPSAEFSPAAPPGISSIHSPSLRERSFPPTQPSQEFVPPATPPARHQWVPDETESICMVCCREHFTMFNRRHHCRRCGRLVCSSCSTKKMVVEGCRENPARVCDQCYSYCNKDVPEEPSEKPEALDSSKSESPPYSFVVRVPKADEVEWILDLKEEENELVRSEFYYEQAPSASLCIAILNLHRDSIACGHQLIEHCCRLSKGLTNPEVDAGLLTDIMKQLLFSAKMMFVKAGQSQDLALCDSYISKVDVLNILVAAAYRHVPSLDQILQPAAVTRLRNQLLEAEYYQLGVEVSTKTGLDTTGAWHAWGMACLKAGNLTAAREKFSRCLKPPFDLNQLNHGSRLVQDVVEYLESTVRPFVSLQDDDYFATLRELEATLRTQSLSLAVIPEGKIMNNTYYQECLFYLHNYSTNLAIISFYVRHSCLREALLHLLNKESPPEVFIEGIFQPSYKSGKLHTLENLLESIDPTLESWGKYLIAACQHLQKKNYYHILYELQQFMKDQVRAAMTCIRFFSHKAKSYTELGEKLSWLLKAKDHLKIYLQETSRSSGRKKTTFFRKKMTAADVSRHMNTLQLQMEVTRFLHRCESAGTSQITTLPLPTLFGNNHMKMDVACKVMLGGKNVEDGFGIAFRVLQDFQLDAAMTYCRAARQLVEKEKYSEIQQLLKCVSESGMAAKSDGDTILLNCLEAFKRIPPQELEGLIQAIHNDDNKVRAYLICCKLRSAYLIAVKQEHSRATALVQQVQQAAKSSGDAVVQDICAQWLLTSHPRGAHGPGSRK
+>DECOY_sp|Q68DK2|ZFY26_HUMAN Zinc finger FYVE domain-containing protein 26 OS=Homo sapiens OX=9606 GN=ZFYVE26 PE=1 SV=3
+KRSGPGHAGRPHSTLLWQACIDQVVADGSSKAAQQVQQVLATARSHEQKVAILYASRLKCCILYARVKNDDNHIAQILGELEQPPIRKFAELCNLLITDGDSKAAMGSESVCKLLQQIESYKEKEVLQRAARCYTMAADLQFDQLVRFAIGFGDEVNKGGLMVKCAVDMKMHNNGFLTPLPLTTIQSTGASECRHLFRTVEMQLQLTNMHRSVDAATMKKRFFTTKKRGSSRSTEQLYIKLHDKAKLLWSLKEGLETYSKAKHSFFRICTMAARVQDKMFQQLEYLIHYYNKKQLHQCAAILYKGWSELTPDISELLNELTHLKGSKYSPQFIGEIFVEPPSEKNLLHLLAERLCSHRVYFSIIALNTSYNHLYFLCEQYYTNNMIKGEPIVALSLSQTRLTAELERLTAFYDDDQLSVFPRVTSELYEVVDQVLRSGHNLQNLDFPPKLCRSFKERAATLNGAKLCAMGWAHWAGTTDLGTKTSVEVGLQYYEAELLQNRLRTVAAPQLIQDLSPVHRYAAAVLINLVDVKSIYSDCLALDQSQGAKVFMMKASFLLQKMIDTLLGADVEPNTLGKSLRCCHEILQHGCAISDRHLNLIAICLSASPAQEYYFESRVLENEEEKLDLIWEVEDAKPVRVVFSYPPSESKSSDLAEPKESPEEPVDKNCYSYCQDCVRAPNERCGEVVMKKTSCSSCVLRGCRRCHHRRNFMTFHERCCVMCISETEDPVWQHRAPPTAPPVFEQSPQTPPFSRERLSPSHISSIGPPAAPSFEASPSRPLTEPDAAQHVIEQLHIVSDSRKERQPYPFDLAKEAYRSLLSDVEDMTFGIEQGVLLQQLTQVAVTAWDVKMNMLLQELMFLPNSSLHSYSARHQEPLTLLIKSGVYLAQIERHRVATLQGYFHTTLYNALFHSTALSTHQDLSQETVELCMTPDPIRRLLQLAKDHDRRELLHLLHKQHLSILHERPIPYLCGWEECLEYEQAELIMNMVTSPDEVCCSRLTQWDCWVPPSQLGLIKQYVQLEALKRQLECKLGEQVATDSICYALIELCSELPWRDVFQLALRSRLSADKVPFLYQWGEKDCAVACSLVADKISSLDDVDRGYVETLQLARSWDRAVLSEEFAESLVDLAIPSHLGLLVTSLSAWGCLNVALSQGQQLSGRLPEWAALLFAEFLPFQELLRECERAVQEAALPVERRGRLEKWSLSPKSVKLRPSAGLCAVTALLKSRSKLFALASSTLAPLSSDRPSSYPKRELTPNETTRPSSPTSLPLDDLCHSAHLQALTGLRTLLSSTQQSQRSSCLALPECCCSVIVQPVSLSLNEQALLAALREPPVQREFLLHSVLQSSSQQLLVFPNGVKVEVHDPESSLSQLLVAALTSCYSFFTGLYDMQRSGSPTQKGLNKQLLQTQIQVPHAEAEPAKQAAQEVLSSLPPTRPEPLELAERLSQLVQDLQQSLTTHSAVCDESLSPWCMQLLETISCRPPGGGKEEVSESKTQSASMLLYNLSKSIQQLVVPFGRIGDANLLVHDIRRGRRELSSNLRREATELLQKCTKWLQCQSCALDFAAMAPPSLDELVERLPATPEVLATSIWFDEQLMPIPDGSTNLLKDTVDSISYFVMGAAAASGIAQLTSRGSGTRRITSSGADSNQNEIKHEVQALEQIVEQYREMFMLEGSSPSSKLNFTFLVQHAEAFNGRLICSALLSEPPSFMMPILSSQPHPHLRSHLENRGSMASLSGESTSTSLESSTSELSPNSGRDRGDAQSRRTRRGRRLSPHRTAPQYRRSPQEESRHNSTVVKHRWQAESVVKSLRHLRSQLGDRSGSCSQSEQKPKEPPSRSSIEDLQEQLLRLFAGIAFEDALFGSIGSTFHKLDLFSHRHPGPYSDKPEAKVHSPMTYALTKPVGLSGRESKREPHAIHQPSESPSRLGSPSKGEIDDDEAYDEPLHPEPHLDASTILLLSFINELLELCLSDPISCLYQQCRALYTSFLNAAGPSSLSDNAPETASALDESLSDKCDQCQSHQHSNVCLAYIAYKMACFGQYLTLNQCQSLHEPVPADVADPEQQPDKAPVKQLLKLVDEERLAPLNTLHHLTYLVSHSDGGHLHYLLDRKPIPNSSQQICWELVELHAWLGDCADRLLEDCGPGQTRHLTQLLRKASELSQCHTWGLLVLLCSLPRFERDLLCGLNPFDEEKLLTLATVLIQELFHKNNSLCYFYAVKWAEAPNPNSFLALMAREPDLHDPSVKGSATARPPKETVKEAYTHGYLSLLGRSAKHLLCSLLREERLPSGETRCAELLEECLLHLEVGLPEAPCRLTRLAGYIADVVGPPVSDPGQLARLAKRILDVLANQLPWHCLGTGDDEELLLELLAQAPQPSQRLLDWLVSVAESSLRPTWSERRPNGDPVHGVAGQTLTEYLEELINEPIDGQLDESLLLFELKRRFVVPLLKKERALWKELVLLWVWAVRQPNIDQGCRLLNPCVVLAQLIDEVRKPIDGQGEQLQPVCAQALEWEGRRLCECFFGFLQKQSAAEEKGFPHNM
+>sp|Q96T25|ZIC5_HUMAN Zinc finger protein ZIC 5 OS=Homo sapiens OX=9606 GN=ZIC5 PE=1 SV=2
+MFLKAGRGNKVPPVRVYGPDCVVLMEPPLSKRNPPALRLADLATAQVQPLQNMTGFPALAGPPAHSQLRAAVAHLRLRDLGADPGVATTPLGPEHMAQASTLGLSPPSQAFPAHPEAPAAAARAAALVAHPGAGSYPCGGGSSGAQPSAPPPPAPPLPPTPSPPPPPPPPPPPALSGYTTTNSGGGGSSGKGHSRDFVLRRDLSATAPAAAMHGAPLGGEQRSGTGSPQHPAPPPHSAGMFISASGTYAGPDGSGGPALFPALHDTPGAPGGHPHPLNGQMRLGLAAAAAAAAAELYGRAEPPFAPRSGDAHYGAVAAAAAAALHGYGAVNLNLNLAAAAAAAAAGPGPHLQHHAPPPAPPPPPAPAQHPHQHHPHLPGAAGAFLRYMRQPIKQELICKWIDPDELAGLPPPPPPPPPPPPPPPAGGAKPCSKTFGTMHELVNHVTVEHVGGPEQSSHVCFWEDCPREGKPFKAKYKLINHIRVHTGEKPFPCPFPGCGKVFARSENLKIHKRTHTGEKPFKCEFDGCDRKFANSSDRKKHSHVHTSDKPYYCKIRGCDKSYTHPSSLRKHMKIHCKSPPPSPGPLGYSSVGTPVGAPLSPVLDPARSHSSTLSPQVTNLNEWYVCQASGAPSHLHTPSSNGTTSETEDEEIYGNPEVVRTIH
+>DECOY_sp|Q96T25|ZIC5_HUMAN Zinc finger protein ZIC 5 OS=Homo sapiens OX=9606 GN=ZIC5 PE=1 SV=2
+HITRVVEPNGYIEEDETESTTGNSSPTHLHSPAGSAQCVYWENLNTVQPSLTSSHSRAPDLVPSLPAGVPTGVSSYGLPGPSPPPSKCHIKMHKRLSSPHTYSKDCGRIKCYYPKDSTHVHSHKKRDSSNAFKRDCGDFECKFPKEGTHTRKHIKLNESRAFVKGCGPFPCPFPKEGTHVRIHNILKYKAKFPKGERPCDEWFCVHSSQEPGGVHEVTVHNVLEHMTGFTKSCPKAGGAPPPPPPPPPPPPPPPLGALEDPDIWKCILEQKIPQRMYRLFAGAAGPLHPHHQHPHQAPAPPPPPAPPPAHHQLHPGPGAAAAAAAAALNLNLNVAGYGHLAAAAAAAVAGYHADGSRPAFPPEARGYLEAAAAAAAAALGLRMQGNLPHPHGGPAGPTDHLAPFLAPGGSGDPGAYTGSASIFMGASHPPPAPHQPSGTGSRQEGGLPAGHMAAAPATASLDRRLVFDRSHGKGSSGGGGSNTTTYGSLAPPPPPPPPPPPSPTPPLPPAPPPPASPQAGSSGGGCPYSGAGPHAVLAAARAAAAPAEPHAPFAQSPPSLGLTSAQAMHEPGLPTTAVGPDAGLDRLRLHAVAARLQSHAPPGALAPFGTMNQLPQVQATALDALRLAPPNRKSLPPEMLVVCDPGYVRVPPVKNGRGAKLFM
+>sp|Q96PE6|ZIM3_HUMAN Zinc finger imprinted 3 OS=Homo sapiens OX=9606 GN=ZIM3 PE=2 SV=1
+MNNSQGRVTFEDVTVNFTQGEWQRLNPEQRNLYRDVMLENYSNLVSVGQGETTKPDVILRLEQGKEPWLEEEEVLGSGRAEKNGDIGGQIWKPKDVKESLAREVPSINKETLTTQKGVECDGSKKILPLGIDDVSSLQHYVQNNSHDDNGYRKLVGNNPSKFVGQQLKCNACRKLFSSKSRLQSHLRRHACQKPFECHSCGRAFGEKWKLDKHQKTHAEERPYKCENCGNAYKQKSNLFQHQKMHTKEKPYQCKTCGKAFSWKSSCINHEKIHNAKKSYQCNECEKSFRQNSTLIQHKKVHTGQKPFQCTDCGKAFIYKSDLVKHQRIHTGEKPYKCSICEKAFSQKSNVIDHEKIHTGKRAYECDLCGNTFIQKKNLIQHKKIHTGEKPYECNRCGKAFFQKSNLHSHQKTHSGERTYRCSECGKTFIRKLNLSLHKKTHTGQKPYGCSECGKAFADRSYLVRHQKRIHSR
+>DECOY_sp|Q96PE6|ZIM3_HUMAN Zinc finger imprinted 3 OS=Homo sapiens OX=9606 GN=ZIM3 PE=2 SV=1
+RSHIRKQHRVLYSRDAFAKGCESCGYPKQGTHTKKHLSLNLKRIFTKGCESCRYTREGSHTKQHSHLNSKQFFAKGCRNCEYPKEGTHIKKHQILNKKQIFTNGCLDCEYARKGTHIKEHDIVNSKQSFAKECISCKYPKEGTHIRQHKVLDSKYIFAKGCDTCQFPKQGTHVKKHQILTSNQRFSKECENCQYSKKANHIKEHNICSSKWSFAKGCTKCQYPKEKTHMKQHQFLNSKQKYANGCNECKYPREEAHTKQHKDLKWKEGFARGCSHCEFPKQCAHRRLHSQLRSKSSFLKRCANCKLQQGVFKSPNNGVLKRYGNDDHSNNQVYHQLSSVDDIGLPLIKKSGDCEVGKQTTLTEKNISPVERALSEKVDKPKWIQGGIDGNKEARGSGLVEEEELWPEKGQELRLIVDPKTTEGQGVSVLNSYNELMVDRYLNRQEPNLRQWEGQTFNVTVDEFTVRGQSNNM
+>sp|Q9H091|ZMY15_HUMAN Zinc finger MYND domain-containing protein 15 OS=Homo sapiens OX=9606 GN=ZMYND15 PE=2 SV=2
+MEFVSGYRDEFLDFTALLFGWFRKFVAERGAVGTSLEGRCRQLEAQIRRLPQDPALWVLHVLPNHSVGISLGQGAEPGPGPGLGTAWLLGDNPPLHLRDLSPYISFVSLEDGEEGEEEEEEDEEEEKREDGGAGSTEKVEPEEDRELAPTSRESPQETNPPGESEEAAREAGGGKDGCREDRVENETRPQKRKGQRSEAAPLHVSCLLLVTDEHGTILGIDLLVDGAQGTASWGSGTKDLAPWAYALLCHSMACPMGSGDPRKPRQLTVGDARLHRELESLVPRLGVKLAKTPMRTWGPRPGFTFASLRARTCHVCHRHSFEAKLTPCPQCSAVLYCGEACLRADWQRCPDDVSHRFWCPRLAAFMERAGELATLPFTYTAEVTSETFNKEAFLASRGLTRGYWTQLSMLIPGPGFSRHPRGNTPSLSLLRGGDPYQLLQGDGTALMPPVPPHPPRGVFGSWQDYYTWRGLSLDSPIAVLLTYPLTVYYVITHLVPQSFPELNIQNKQSLKIHVVEAGKEFDLVMVFWELLVLLPHVALELQFVGDGLPPESDEQHFTLQRDSLEVSVRPGSGISARPSSGTKEKGGRRDLQIKVSARPYHLFQGPKPDLVIGFNSGFALKDTWLRSLPRLQSLRVPAFFTESSEYSCVMDGQTMAVATGGGTSPPQPNPFRSPFRLRAADNCMSWYCNAFIFHLVYKPAQGSGARPAPGPPPPSPTPSAPPAPTRRRRGEKKPGRGARRRK
+>DECOY_sp|Q9H091|ZMY15_HUMAN Zinc finger MYND domain-containing protein 15 OS=Homo sapiens OX=9606 GN=ZMYND15 PE=2 SV=2
+KRRRAGRGPKKEGRRRRTPAPPASPTPSPPPPGPAPRAGSGQAPKYVLHFIFANCYWSMCNDAARLRFPSRFPNPQPPSTGGGTAVAMTQGDMVCSYESSETFFAPVRLSQLRPLSRLWTDKLAFGSNFGIVLDPKPGQFLHYPRASVKIQLDRRGGKEKTGSSPRASIGSGPRVSVELSDRQLTFHQEDSEPPLGDGVFQLELAVHPLLVLLEWFVMVLDFEKGAEVVHIKLSQKNQINLEPFSQPVLHTIVYYVTLPYTLLVAIPSDLSLGRWTYYDQWSGFVGRPPHPPVPPMLATGDGQLLQYPDGGRLLSLSPTNGRPHRSFGPGPILMSLQTWYGRTLGRSALFAEKNFTESTVEATYTFPLTALEGAREMFAALRPCWFRHSVDDPCRQWDARLCAEGCYLVASCQPCPTLKAEFSHRHCVHCTRARLSAFTFGPRPGWTRMPTKALKVGLRPVLSELERHLRADGVTLQRPKRPDGSGMPCAMSHCLLAYAWPALDKTGSGWSATGQAGDVLLDIGLITGHEDTVLLLCSVHLPAAESRQGKRKQPRTENEVRDERCGDKGGGAERAAEESEGPPNTEQPSERSTPALERDEEPEVKETSGAGGDERKEEEEDEEEEEEGEEGDELSVFSIYPSLDRLHLPPNDGLLWATGLGPGPGPEAGQGLSIGVSHNPLVHLVWLAPDQPLRRIQAELQRCRGELSTGVAGREAVFKRFWGFLLATFDLFEDRYGSVFEM
+>sp|Q5SVZ6|ZMYM1_HUMAN Zinc finger MYM-type protein 1 OS=Homo sapiens OX=9606 GN=ZMYM1 PE=1 SV=1
+MKEPLLGGECDKAVASQLGLLDEIKTEPDNAQEYCHRQQSRTQENELKINAVFSESASQLTAGIQLSLASSGVNKMLPSVSTTAIQVSCAGCKKILQKGQTAYQRKGSAQLFCSIPCITEYISSASSPVPSKRTCSNCSKDILNPKDVISVQLEDTTSCKTFCSLSCLSSYEEKRKPFVTICTNSILTKCSMCQKTAIIQYEVKYQNVKHNLCSNACLSKFHSANNFIMNCCENCGTYCYTSSSLSHILQMEGQSHYFNSSKSITAYKQKPAKPLISVPCKPLKPSDEMIETTSDLGKTELFCSINCFSAYSKAKMESSSVSVVSVVHDTSTELLSPKKDTTPVISNIVSLADTDVALPIMNTDVLQDTVSSVTATADVIVDLSKSSPSEPSNAVASSSTEQPSVSPSSSVFSQHAIGSSTEVQKDNMKSMKISDELCHPKCTSKVQKVKGKSRSIKKSCCADFECLENSKKDVAFCYSCQLFCQKYFSCGRESFATHGTSNWKKTLEKFRKHEKSEMHLKSLEFWREYQFCDGAVSDDLSIHSKQIEGNKKYLKLIIENILFLGKQCLPLRGNDQSVSSVNKGNFLELLEMRAKDKGEETFRLMNSQVDFYNSTQIQSDIIEIIKTEMLQDIVNEINDSSAFSIICDETINSAMKEQLSICVRYPQKSSKAILIKERFLGFVDTEEMTGTHLHRTIKTYLQQIGVDMDKIHGQAYDSTTNLKIKFNKIAAEFKKEEPRALYIHCYAHFLDLSIIRFCKEVKELRSALKTLSSLFNTICMSGEMLANFRNIYRLSQNKTCKKHISQSCWTVHDRTLLSVIDSLPEIIETLEVIASHSSNTSFADELSHLLTLVSKFEFVFCLKFLYRVLSVTGILSKELQNKTIDIFSLSSKIEAILECLSSERNDVYFKTIWDGTEEICQKITCKGFKVEKPSLQKRRKIQKSVDLGNSDNMFFPTSTEEQYKINIYYQGLDTILQNLKLCFSEFDYCKIKQISELLFKWNEPLNETTAKHVQEFYKLDEDIIPELRFYRHYAKLNFVIDDSCINFVSLGCLFIQHGLHSNIPCLSKLLYIALSWPITSASTENSFSTLPRLKTYLCNTMGQEKLTGPALMAVEQELVNKLMEPERLNEIVEKFISQMKEI
+>DECOY_sp|Q5SVZ6|ZMYM1_HUMAN Zinc finger MYM-type protein 1 OS=Homo sapiens OX=9606 GN=ZMYM1 PE=1 SV=1
+IEKMQSIFKEVIENLREPEMLKNVLEQEVAMLAPGTLKEQGMTNCLYTKLRPLTSFSNETSASTIPWSLAIYLLKSLCPINSHLGHQIFLCGLSVFNICSDDIVFNLKAYHRYFRLEPIIDEDLKYFEQVHKATTENLPENWKFLLESIQKIKCYDFESFCLKLNQLITDLGQYYINIKYQEETSTPFFMNDSNGLDVSKQIKRRKQLSPKEVKFGKCTIKQCIEETGDWITKFYVDNRESSLCELIAEIKSSLSFIDITKNQLEKSLIGTVSLVRYLFKLCFVFEFKSVLTLLHSLEDAFSTNSSHSAIVELTEIIEPLSDIVSLLTRDHVTWCSQSIHKKCTKNQSLRYINRFNALMEGSMCITNFLSSLTKLASRLEKVEKCFRIISLDLFHAYCHIYLARPEEKKFEAAIKNFKIKLNTTSDYAQGHIKDMDVGIQQLYTKITRHLHTGTMEETDVFGLFREKILIAKSSKQPYRVCISLQEKMASNITEDCIISFASSDNIENVIDQLMETKIIEIIDSQIQTSNYFDVQSNMLRFTEEGKDKARMELLELFNGKNVSSVSQDNGRLPLCQKGLFLINEIILKLYKKNGEIQKSHISLDDSVAGDCFQYERWFELSKLHMESKEHKRFKELTKKWNSTGHTAFSERGCSFYKQCFLQCSYCFAVDKKSNELCEFDACCSKKISRSKGKVKQVKSTCKPHCLEDSIKMSKMNDKQVETSSGIAHQSFVSSSPSVSPQETSSSAVANSPESPSSKSLDVIVDATATVSSVTDQLVDTNMIPLAVDTDALSVINSIVPTTDKKPSLLETSTDHVVSVVSVSSSEMKAKSYASFCNISCFLETKGLDSTTEIMEDSPKLPKCPVSILPKAPKQKYATISKSSNFYHSQGEMQLIHSLSSSTYCYTGCNECCNMIFNNASHFKSLCANSCLNHKVNQYKVEYQIIATKQCMSCKTLISNTCITVFPKRKEEYSSLCSLSCFTKCSTTDELQVSIVDKPNLIDKSCNSCTRKSPVPSSASSIYETICPISCFLQASGKRQYATQGKQLIKKCGACSVQIATTSVSPLMKNVGSSALSLQIGATLQSASESFVANIKLENEQTRSQQRHCYEQANDPETKIEDLLGLQSAVAKDCEGGLLPEKM
+>sp|P52743|ZN137_HUMAN Putative zinc finger protein 137 OS=Homo sapiens OX=9606 GN=ZNF137P PE=5 SV=1
+MNVARFLVEKHTLHVIIDFILSKVSNQQSNLAQHQRVYTGEKPYKCNEWGKALSGKSSLFYHQAIHGVGKLCKCNDCHKVFSNATTIANHWRIHNEDRSYKCNKCGKIFRHRSYLAVYQRTHTGEKPYKYHDCGKVFSQASSYAKHRRIHTGEKPHKCDDCGKVLTSRSHLIRHQRIHTGQKSYKCLKCGKVFSLWALHAEHQKIHF
+>DECOY_sp|P52743|ZN137_HUMAN Putative zinc finger protein 137 OS=Homo sapiens OX=9606 GN=ZNF137P PE=5 SV=1
+FHIKQHEAHLAWLSFVKGCKLCKYSKQGTHIRQHRILHSRSTLVKGCDDCKHPKEGTHIRRHKAYSSAQSFVKGCDHYKYPKEGTHTRQYVALYSRHRFIKGCKNCKYSRDENHIRWHNAITTANSFVKHCDNCKCLKGVGHIAQHYFLSSKGSLAKGWENCKYPKEGTYVRQHQALNSQQNSVKSLIFDIIVHLTHKEVLFRAVNM
+>sp|P52738|ZN140_HUMAN Zinc finger protein 140 OS=Homo sapiens OX=9606 GN=ZNF140 PE=2 SV=2
+MSQGSVTFRDVAIDFSQEEWKWLQPAQRDLYRCVMLENYGHLVSLGLSISKPDVVSLLEQGKEPWLGKREVKRDLFSVSESSGEIKDFSPKNVIYDDSSQYLIMERILSQGPVYSSFKGGWKCKDHTEMLQENQGCIRKVTVSHQEALAQHMNISTVERPYGCHECGKTFGRRFSLVLHQRTHTGEKPYACKECGKTFSQISNLVKHQMIHTGKKPHECKDCNKTFSYLSFLIEHQRTHTGEKPYECTECGKAFSRASNLTRHQRIHIGKKQYICRKCGKAFSSGSELIRHQITHTGEKPYECIECGKAFRRFSHLTRHQSIHTTKTPYECNECRKAFRCHSFLIKHQRIHAGEKLYECDECGKVFTWHASLIQHTKSHTGEKPYACAECDKAFSRSFSLILHQRTHTGEKPYVCKVCNKSFSWSSNLAKHQRTHTLDNPYEYENSFNYHSFLTEHQ
+>DECOY_sp|P52738|ZN140_HUMAN Zinc finger protein 140 OS=Homo sapiens OX=9606 GN=ZNF140 PE=2 SV=2
+QHETLFSHYNFSNEYEYPNDLTHTRQHKALNSSWSFSKNCVKCVYPKEGTHTRQHLILSFSRSFAKDCEACAYPKEGTHSKTHQILSAHWTFVKGCEDCEYLKEGAHIRQHKILFSHCRFAKRCENCEYPTKTTHISQHRTLHSFRRFAKGCEICEYPKEGTHTIQHRILESGSSFAKGCKRCIYQKKGIHIRQHRTLNSARSFAKGCETCEYPKEGTHTRQHEILFSLYSFTKNCDKCEHPKKGTHIMQHKVLNSIQSFTKGCEKCAYPKEGTHTRQHLVLSFRRGFTKGCEHCGYPREVTSINMHQALAEQHSVTVKRICGQNEQLMETHDKCKWGGKFSSYVPGQSLIREMILYQSSDDYIVNKPSFDKIEGSSESVSFLDRKVERKGLWPEKGQELLSVVDPKSISLGLSVLHGYNELMVCRYLDRQAPQLWKWEEQSFDIAVDRFTVSGQSM
+>sp|Q9UQR1|ZN148_HUMAN Zinc finger protein 148 OS=Homo sapiens OX=9606 GN=ZNF148 PE=1 SV=2
+MNIDDKLEGLFLKCGGIDEMQSSRTMVVMGGVSGQSTVSGELQDSVLQDRSMPHQEILAADEVLQESEMRQQDMISHDELMVHEETVKNDEEQMETHERLPQGLQYALNVPISVKQEITFTDVSEQLMRDKKQIREPVDLQKKKKRKQRSPAKILTINEDGSLGLKTPKSHVCEHCNAAFRTNYHLQRHVFIHTGEKPFQCSQCDMRFIQKYLLQRHEKIHTGEKPFRCDECGMRFIQKYHMERHKRTHSGEKPYQCEYCLQYFSRTDRVLKHKRMCHENHDKKLNRCAIKGGLLTSEEDSGFSTSPKDNSLPKKKRQKTEKKSSGMDKESALDKSDLKKDKNDYLPLYSSSTKVKDEYMVAEYAVEMPHSSVGGSHLEDASGEIHPPKLVLKKINSKRSLKQPLEQNQTISPLSTYEESKVSKYAFELVDKQALLDSEGNADIDQVDNLQEGPSKPVHSSTNYDDAMQFLKKKRYLQAASNNSREYALNVGTIASQPSVTQAAVASVIDESTTASILESQALNVEIKSNHDKNVIPDEVLQTLLDHYSHKANGQHEISFSVADTEVTSSISINSSEVPEVTPSENVGSSSQASSSDKANMLQEYSKFLQQALDRTSQNDAYLNSPSLNFVTDNQTLPNQPAFSSIDKQVYATMPINSFRSGMNSPLRTTPDKSHFGLIVGDSQHSFPFSGDETNHASATSTQDFLDQVTSQKKAEAQPVHQAYQMSSFEQPFRAPYHGSRAGIATQFSTANGQVNLRGPGTSAEFSEFPLVNVNDNRAGMTSSPDATTGQTFG
+>DECOY_sp|Q9UQR1|ZN148_HUMAN Zinc finger protein 148 OS=Homo sapiens OX=9606 GN=ZNF148 PE=1 SV=2
+GFTQGTTADPSSTMGARNDNVNVLPFESFEASTGPGRLNVQGNATSFQTAIGARSGHYPARFPQEFSSMQYAQHVPQAEAKKQSTVQDLFDQTSTASAHNTEDGSFPFSHQSDGVILGFHSKDPTTRLPSNMGSRFSNIPMTAYVQKDISSFAPQNPLTQNDTVFNLSPSNLYADNQSTRDLAQQLFKSYEQLMNAKDSSSAQSSSGVNESPTVEPVESSNISISSTVETDAVSFSIEHQGNAKHSYHDLLTQLVEDPIVNKDHNSKIEVNLAQSELISATTSEDIVSAVAAQTVSPQSAITGVNLAYERSNNSAAQLYRKKKLFQMADDYNTSSHVPKSPGEQLNDVQDIDANGESDLLAQKDVLEFAYKSVKSEEYTSLPSITQNQELPQKLSRKSNIKKLVLKPPHIEGSADELHSGGVSSHPMEVAYEAVMYEDKVKTSSSYLPLYDNKDKKLDSKDLASEKDMGSSKKETKQRKKKPLSNDKPSTSFGSDEESTLLGGKIACRNLKKDHNEHCMRKHKLVRDTRSFYQLCYECQYPKEGSHTRKHREMHYKQIFRMGCEDCRFPKEGTHIKEHRQLLYKQIFRMDCQSCQFPKEGTHIFVHRQLHYNTRFAANCHECVHSKPTKLGLSGDENITLIKAPSRQKRKKKKQLDVPERIQKKDRMLQESVDTFTIEQKVSIPVNLAYQLGQPLREHTEMQEEDNKVTEEHVMLEDHSIMDQQRMESEQLVEDAALIEQHPMSRDQLVSDQLEGSVTSQGSVGGMVVMTRSSQMEDIGGCKLFLGELKDDINM
+>sp|Q9HCG1|ZN160_HUMAN Zinc finger protein 160 OS=Homo sapiens OX=9606 GN=ZNF160 PE=2 SV=3
+MALTQVRLTFRDVAIEFSQEEWKCLDPAQRILYRDVMLENYWNLVSLGLCHFDMNIISMLEEGKEPWTVKSCVKIARKPRTPECVKGVVTDIPPKCTIKDLLPKEKSSTEAVFHTVVLERHESPDIEDFSFKEPQKNVHDFECQWRDDTGNYKGVLMAQKEGKRDQRDRRDIENKLMNNQLGVSFHSHLPELQLFQGEGKMYECNQVEKSTNNGSSVSPLQQIPSSVQTHRSKKYHELNHFSLLTQRRKANSCGKPYKCNECGKAFTQNSNLTSHRRIHSGEKPYKCSECGKTFTVRSNLTIHQVIHTGEKPYKCHECGKVFRHNSYLATHRRIHTGEKPYKCNECGKAFRGHSNLTTHQLIHTGEKPFKCNECGKLFTQNSHLISHWRIHTGEKPYKCNECGKAFSVRSSLAIHQTIHTGEKPYKCNECGKVFRYNSYLGRHRRVHTGEKPYKCNECGKAFSMHSNLATHQVIHTGTKPFKCNECSKVFTQNSQLANHRRIHTGEKPYKCNECGKAFSVRSSLTTHQAIHSGEKPYKCIECGKSFTQKSHLRSHRGIHSGEKPYKCNECGKVFAQTSQLARHWRVHTGEKPYKCNDCGRAFSDRSSLTFHQAIHTGEKPYKCHECGKVFRHNSYLATHRRIHTGEKPYKCNECGKAFSMHSNLTTHKVIHTGEKPYKCNQCGKVFTQNSHLANHQRTHTGEKPYRCNECGKAFSVRSSLTTHQAIHTGKKPYKCNECGKVFTQNAHLANHRRIHTGEKPYRCTECGKAFRVRSSLTTHMAIHTGEKRYKCNECGKVFRQSSNLASHHRMHTGEKPYK
+>DECOY_sp|Q9HCG1|ZN160_HUMAN Zinc finger protein 160 OS=Homo sapiens OX=9606 GN=ZNF160 PE=2 SV=3
+KYPKEGTHMRHHSALNSSQRFVKGCENCKYRKEGTHIAMHTTLSSRVRFAKGCETCRYPKEGTHIRRHNALHANQTFVKGCENCKYPKKGTHIAQHTTLSSRVSFAKGCENCRYPKEGTHTRQHNALHSNQTFVKGCQNCKYPKEGTHIVKHTTLNSHMSFAKGCENCKYPKEGTHIRRHTALYSNHRFVKGCEHCKYPKEGTHIAQHFTLSSRDSFARGCDNCKYPKEGTHVRWHRALQSTQAFVKGCENCKYPKEGSHIGRHSRLHSKQTFSKGCEICKYPKEGSHIAQHTTLSSRVSFAKGCENCKYPKEGTHIRRHNALQSNQTFVKSCENCKFPKTGTHIVQHTALNSHMSFAKGCENCKYPKEGTHVRRHRGLYSNYRFVKGCENCKYPKEGTHITQHIALSSRVSFAKGCENCKYPKEGTHIRWHSILHSNQTFLKGCENCKFPKEGTHILQHTTLNSHGRFAKGCENCKYPKEGTHIRRHTALYSNHRFVKGCEHCKYPKEGTHIVQHITLNSRVTFTKGCESCKYPKEGSHIRRHSTLNSNQTFAKGCENCKYPKGCSNAKRRQTLLSFHNLEHYKKSRHTQVSSPIQQLPSVSSGNNTSKEVQNCEYMKGEGQFLQLEPLHSHFSVGLQNNMLKNEIDRRDRQDRKGEKQAMLVGKYNGTDDRWQCEFDHVNKQPEKFSFDEIDPSEHRELVVTHFVAETSSKEKPLLDKITCKPPIDTVVGKVCEPTRPKRAIKVCSKVTWPEKGEELMSIINMDFHCLGLSVLNWYNELMVDRYLIRQAPDLCKWEEQSFEIAVDRFTLRVQTLAM
+>sp|Q15697|ZN174_HUMAN Zinc finger protein 174 OS=Homo sapiens OX=9606 GN=ZNF174 PE=1 SV=1
+MAAKMEITLSSNTEASSKQERHIIAKLEEKRGPPLQKNCPDPELCRQSFRRFCYQEVSGPQEALSQLRQLCRQWLQPELHTKEQILELLVMEQFLTILPPEIQARVRHRCPMSSKEIVTLVEDFHRASKKPKQWVAVCMQGQKVLLEKTGSQLGEQELPDFQPQTPRRDLRESSPAEPSQAGAYDRLSPHHWEKSPLLQEPTPKLAGTEAPRMRSDNKENPQQEGAKGAKPCAVSAGRSKGNGLQNPEPRGANMSEPRLSRRQVSSPNAQKPFAHYQRHCRVEYISSPLKSHPLRELKKSKGGKRSLSNRLQHLGHQPTRSAKKPYKCDDCGKSFTWNSELKRHKRVHTGERPYTCGECGNCFGRQSTLKLHQRIHTGEKPYQCGQCGKSFRQSSNLHQHHRLHHGD
+>DECOY_sp|Q15697|ZN174_HUMAN Zinc finger protein 174 OS=Homo sapiens OX=9606 GN=ZNF174 PE=1 SV=1
+DGHHLRHHQHLNSSQRFSKGCQGCQYPKEGTHIRQHLKLTSQRGFCNGCEGCTYPREGTHVRKHRKLESNWTFSKGCDDCKYPKKASRTPQHGLHQLRNSLSRKGGKSKKLERLPHSKLPSSIYEVRCHRQYHAFPKQANPSSVQRRSLRPESMNAGRPEPNQLGNGKSRGASVACPKAGKAGEQQPNEKNDSRMRPAETGALKPTPEQLLPSKEWHHPSLRDYAGAQSPEAPSSERLDRRPTQPQFDPLEQEGLQSGTKELLVKQGQMCVAVWQKPKKSARHFDEVLTVIEKSSMPCRHRVRAQIEPPLITLFQEMVLLELIQEKTHLEPQLWQRCLQRLQSLAEQPGSVEQYCFRRFSQRCLEPDPCNKQLPPGRKEELKAIIHREQKSSAETNSSLTIEMKAAM
+>sp|Q9UJW8|ZN180_HUMAN Zinc finger protein 180 OS=Homo sapiens OX=9606 GN=ZNF180 PE=1 SV=2
+MRACAGSTREAGSGAQDLSTLLCLEESMEEQDEKPPEPPKACAQDSFLPQEIIIKVEGEDTGSLTIPSQEGVNFKIVTVDFTREEQGTWNPAQRTLDRDVILENHRDLVSWDLATAVGKKDSTSKQRIFDEEPANGVKIERFTRDDPWLSSCEEVDDCKDQLEKQQEKQEILLQEVAFTQRKAVIHERVCKSDETGEKSGLNSSLFSSPVIPIRNHFHKHVSHAKKWHLNAAVNSHQKINENETLYENNECGKPPQSIHLIQFTRTQTKDKCYGFSDRIQSFCHGTPLHIHEKIHGGGKTFDFKECGQVLNPKISHNEQQRIPFEESQYKCSETSHSSSLTQNMRNNSEEKPFECNQCGKSFSWSSHLVAHQRTHTGEKPYECSECGKSFSRSSHLVSHQRTHTGEKPYRCNQCGKSFSQSYVLVVHQRTHTGEKPYECNQCGKSFRQSYKLIAHQRTHTGEKPYECNQCGKSFIQSYKLIAHQRIHTGEKPYECNQCGKSFSQSYKLVAHQRTHTGEKPFECNQCGKSFSWSSQLVAHQRTHTGEKPYECSECGKSFNRSSHLVMHQRIHTGEKPYECNQCGKSFSQSYVLVVHQRTHTGEKPYECSQCGKSFRQSSCLTQHQRTHTGEKPFECNQCGKTFSLSARLIVHQRTHTGEKPFTCIQCGKAFINSYKLIRHQATHTEEKLYECN
+>DECOY_sp|Q9UJW8|ZN180_HUMAN Zinc finger protein 180 OS=Homo sapiens OX=9606 GN=ZNF180 PE=1 SV=2
+NCEYLKEETHTAQHRILKYSNIFAKGCQICTFPKEGTHTRQHVILRASLSFTKGCQNCEFPKEGTHTRQHQTLCSSQRFSKGCQSCEYPKEGTHTRQHVVLVYSQSFSKGCQNCEYPKEGTHIRQHMVLHSSRNFSKGCESCEYPKEGTHTRQHAVLQSSWSFSKGCQNCEFPKEGTHTRQHAVLKYSQSFSKGCQNCEYPKEGTHIRQHAILKYSQIFSKGCQNCEYPKEGTHTRQHAILKYSQRFSKGCQNCEYPKEGTHTRQHVVLVYSQSFSKGCQNCRYPKEGTHTRQHSVLHSSRSFSKGCESCEYPKEGTHTRQHAVLHSSWSFSKGCQNCEFPKEESNNRMNQTLSSSHSTESCKYQSEEFPIRQQENHSIKPNLVQGCEKFDFTKGGGHIKEHIHLPTGHCFSQIRDSFGYCKDKTQTRTFQILHISQPPKGCENNEYLTENENIKQHSNVAANLHWKKAHSVHKHFHNRIPIVPSSFLSSNLGSKEGTEDSKCVREHIVAKRQTFAVEQLLIEQKEQQKELQDKCDDVEECSSLWPDDRTFREIKVGNAPEEDFIRQKSTSDKKGVATALDWSVLDRHNELIVDRDLTRQAPNWTGQEERTFDVTVIKFNVGEQSPITLSGTDEGEVKIIIEQPLFSDQACAKPPEPPKEDQEEMSEELCLLTSLDQAGSGAERTSGACARM
+>sp|P98182|ZN200_HUMAN Zinc finger protein 200 OS=Homo sapiens OX=9606 GN=ZNF200 PE=2 SV=2
+MMAAKVVPMPPKPKQSFILRVPPDSKLGQDLLRDATNGPKTIHQLVLEHFLTFLPKPSLVQPSQKVKETLVIMKDVSSSLQNRVHPRPLVKLLPKGVQKEQETVSLYLKANPEELVVFEDLNVFHCQEECVSLDPTQQLTSEKEDDSSVGEMMLLAVNGSNPEGEDPEREPVENEDYREKSSDDDEMDSSLVSQQPPDNQEKERLNTSIPQKRKMRNLLVTIENDTPLEELSKYVDISIIALTRNRRTRRWYTCPLCGKQFNESSYLISHQRTHTGEKPYDCNHCGKSFNHKTNLNKHERIHTGEKPYSCSQCGKNFRQNSHRSRHEGIHIREKIFKCPECGKTFPKNEEFVLHLQSHEAERPYGCKKCGRRFGRLSNCTRHEKTHSACKTRKQK
+>DECOY_sp|P98182|ZN200_HUMAN Zinc finger protein 200 OS=Homo sapiens OX=9606 GN=ZNF200 PE=2 SV=2
+KQKRTKCASHTKEHRTCNSLRGFRRGCKKCGYPREAEHSQLHLVFEENKPFTKGCEPCKFIKERIHIGEHRSRHSNQRFNKGCQSCSYPKEGTHIREHKNLNTKHNFSKGCHNCDYPKEGTHTRQHSILYSSENFQKGCLPCTYWRRTRRNRTLAIISIDVYKSLEELPTDNEITVLLNRMKRKQPISTNLREKEQNDPPQQSVLSSDMEDDDSSKERYDENEVPEREPDEGEPNSGNVALLMMEGVSSDDEKESTLQQTPDLSVCEEQCHFVNLDEFVVLEEPNAKLYLSVTEQEKQVGKPLLKVLPRPHVRNQLSSSVDKMIVLTEKVKQSPQVLSPKPLFTLFHELVLQHITKPGNTADRLLDQGLKSDPPVRLIFSQKPKPPMPVVKAAMM
+>sp|O95201|ZN205_HUMAN Zinc finger protein 205 OS=Homo sapiens OX=9606 GN=ZNF205 PE=1 SV=2
+MSADGGGIQDTQDKETPPEVPDRGHPHQEMPSKLGEAVPSGDTQESLHIKMEPEEPHSEGASQEDGAQGAWGWAPLSHGSKEKALFLPGGALPSPRIPVLSREGRTRDRQMAAALLTAWSQMPVTFEDVALYLSREEWGRLDHTQQNFYRDVLQKKNGLSLGFPFSRPFWAPQAHGKGEASGSSRQAGDEKEWRGACTGAVEVGQRVQTSSVAALGNVKPFRTRAGRVQWGVPQCAQEAACGRSSGPAKDSGQPAEPDRTPDAAPPDPSPTEPQEYRVPEKPNEEEKGAPESGEEGLAPDSEVGRKSYRCEQCGKGFSWHSHLVTHRRTHTGEKPYACTDCGKRFGRSSHLIQHQIIHTGEKPYTCPACRKSFSHHSTLIQHQRIHTGEKPYVCDRCAKRFTRRSDLVTHQGTHTGAKPHKCPICAKCFTQSSALVTHQRTHTGVKPYPCPECGKCFSQRSNLIAHNRTHTGEKPYHCLDCGKSFSHSSHLTAHQRTHRGVRPYACPLCGKSFSRRSNLHRHEKIHTTGPKALAMLMLGAAAAGALATPPPAPT
+>DECOY_sp|O95201|ZN205_HUMAN Zinc finger protein 205 OS=Homo sapiens OX=9606 GN=ZNF205 PE=1 SV=2
+TPAPPPTALAGAAAAGLMLMALAKPGTTHIKEHRHLNSRRSFSKGCLPCAYPRVGRHTRQHATLHSSHSFSKGCDLCHYPKEGTHTRNHAILNSRQSFCKGCEPCPYPKVGTHTRQHTVLASSQTFCKACIPCKHPKAGTHTGQHTVLDSRRTFRKACRDCVYPKEGTHIRQHQILTSHHSFSKRCAPCTYPKEGTHIIQHQILHSSRGFRKGCDTCAYPKEGTHTRRHTVLHSHWSFGKGCQECRYSKRGVESDPALGEEGSEPAGKEEENPKEPVRYEQPETPSPDPPAADPTRDPEAPQGSDKAPGSSRGCAAEQACQPVGWQVRGARTRFPKVNGLAAVSSTQVRQGVEVAGTCAGRWEKEDGAQRSSGSAEGKGHAQPAWFPRSFPFGLSLGNKKQLVDRYFNQQTHDLRGWEERSLYLAVDEFTVPMQSWATLLAAAMQRDRTRGERSLVPIRPSPLAGGPLFLAKEKSGHSLPAWGWAGQAGDEQSAGESHPEEPEMKIHLSEQTDGSPVAEGLKSPMEQHPHGRDPVEPPTEKDQTDQIGGGDASM
+>sp|O43345|ZN208_HUMAN Zinc finger protein 208 OS=Homo sapiens OX=9606 GN=ZNF208 PE=2 SV=2
+MGSLTFRDVAIEFSLEEWQCLDTAQQNLYRNVMLENYRNLVFLGIAAFKPDLIIFLEEGKESWNMKRHEMVEESPVICSHFAQDLWPEQGIEDSFQKVILRRYEKCGHENLHLKIGYTNVDECKVHKEGYNKLNQSLTTTQSKVFQRGKYANVFHKCSNSNRHKIRHTGKKHLQCKEYVRSFCMLSHLSQHKRIYTRENSYKCEEGGKAFNWSSTLTYYKSAHTGEKPYRCKECGKAFSKFSILTKHKVIHTGEKSYKCEECGKAFNQSAILTKHKIIHTGEKPNKCEECGKAFSKVSTLTTHKAIHAGEKPYKCKECGKAFSKVSTLITHKAIHAGEKPYKCKECGKAFSKFSILTKHKVIHTGEKPYKCEECGKAYKWPSTLSYHKKIHTGEKPYKCEECGKGFSMFSILTKHEVIHTGEKPYKCEECGKAFNWSSNLMEHKKIHTGETPYKCEECGKGFSMFSILTKHKVIHNGEKPYKCEECDKATHAGEKPYKCEECGKAFNWSSNLMEHKRIHTGEKPYKCEECGKSFSTFSILTKHKVIHTGEKPYKCEECGKAYKWSSTLSYHKKIHTVEKPYKCEECGKAFNQSAILIKHKRIHTGEKPYKCEECGKTFSKVSTLTTHKAIHAGEKPYKCKECGKTFIKVSTLTTHKAIHAGEKPYKCKECGKAFSKFSILTKHKVIHTGEKPYKCEECGKAFNWSSNLMEHKRIHTGEKPYKCEECGKSFSTFSVLTKHKVIHTGEKPYKCEECGKAYKWSSTLSYHKKIHTVEKPYKCEECGKAFNRSAILIKHKRIHTDEKPYKCEECGKTFSKVSTLTTHKAIHAGEKPYKCKECGKAFSKFSILTKHKVIHTGEKPYKCEECGKAYKWPSTLSYHKKIHTGEKPYKCEECGKGFSMFSILTKHEVIHTGEKPYKCEECGKAFSWLSVFSKHKKTHAGEKFYKCEACGKAYKSSSTLSYHKKIHTEEKPYKYEECGKGFSTFSILTKHKVIHTGEKPYKCEECGKAFNWSSNLMEHKKIHTGETPYKCEECDKAFSWPSSLTEHKATHAGEKPYKCEECGKAFSWPSRLTEHKATHAGEEPYKCEECGKAFNWSSNLMEHKRIHTGEKPYKCEECGKSFSTFSILTKHKVIHTGEKPYKCEECGKAYKWSSTLSYHKKIHTVEKPYKCEECGKGFVMFSILAKHKVIHTGEKLYKCEECGKAYKWPSTLRYHKKIHTGEKPYKCEECGKAFSTFSILTKHKVIHTGEKPYKCEECGKAFSWLSVFSKHKKIHTGEKL
+>DECOY_sp|O43345|ZN208_HUMAN Zinc finger protein 208 OS=Homo sapiens OX=9606 GN=ZNF208 PE=2 SV=2
+LKEGTHIKKHKSFVSLWSFAKGCEECKYPKEGTHIVKHKTLISFTSFAKGCEECKYPKEGTHIKKHYRLTSPWKYAKGCEECKYLKEGTHIVKHKALISFMVFGKGCEECKYPKEVTHIKKHYSLTSSWKYAKGCEECKYPKEGTHIVKHKTLISFTSFSKGCEECKYPKEGTHIRKHEMLNSSWNFAKGCEECKYPEEGAHTAKHETLRSPWSFAKGCEECKYPKEGAHTAKHETLSSPWSFAKDCEECKYPTEGTHIKKHEMLNSSWNFAKGCEECKYPKEGTHIVKHKTLISFTSFGKGCEEYKYPKEETHIKKHYSLTSSSKYAKGCAECKYFKEGAHTKKHKSFVSLWSFAKGCEECKYPKEGTHIVEHKTLISFMSFGKGCEECKYPKEGTHIKKHYSLTSPWKYAKGCEECKYPKEGTHIVKHKTLISFKSFAKGCEKCKYPKEGAHIAKHTTLTSVKSFTKGCEECKYPKEDTHIRKHKILIASRNFAKGCEECKYPKEVTHIKKHYSLTSSWKYAKGCEECKYPKEGTHIVKHKTLVSFTSFSKGCEECKYPKEGTHIRKHEMLNSSWNFAKGCEECKYPKEGTHIVKHKTLISFKSFAKGCEKCKYPKEGAHIAKHTTLTSVKIFTKGCEKCKYPKEGAHIAKHTTLTSVKSFTKGCEECKYPKEGTHIRKHKILIASQNFAKGCEECKYPKEVTHIKKHYSLTSSWKYAKGCEECKYPKEGTHIVKHKTLISFTSFSKGCEECKYPKEGTHIRKHEMLNSSWNFAKGCEECKYPKEGAHTAKDCEECKYPKEGNHIVKHKTLISFMSFGKGCEECKYPTEGTHIKKHEMLNSSWNFAKGCEECKYPKEGTHIVEHKTLISFMSFGKGCEECKYPKEGTHIKKHYSLTSPWKYAKGCEECKYPKEGTHIVKHKTLISFKSFAKGCEKCKYPKEGAHIAKHTILTSVKSFAKGCEKCKYPKEGAHIAKHTTLTSVKSFAKGCEECKNPKEGTHIIKHKTLIASQNFAKGCEECKYSKEGTHIVKHKTLISFKSFAKGCEKCRYPKEGTHASKYYTLTSSWNFAKGGEECKYSNERTYIRKHQSLHSLMCFSRVYEKCQLHKKGTHRIKHRNSNSCKHFVNAYKGRQFVKSQTTTLSQNLKNYGEKHVKCEDVNTYGIKLHLNEHGCKEYRRLIVKQFSDEIGQEPWLDQAFHSCIVPSEEVMEHRKMNWSEKGEELFIILDPKFAAIGLFVLNRYNELMVNRYLNQQATDLCQWEELSFEIAVDRFTLSGM
+>sp|Q9UDV6|ZN212_HUMAN Zinc finger protein 212 OS=Homo sapiens OX=9606 GN=ZNF212 PE=1 SV=3
+MAESAPARHRRKRRSTPLTSSTLPSQATEKSSYFQTTEISLWTVVAAIQAVEKKMESQAARLQSLEGRTGTAEKKLADCEKMAVEFGNQLEGKWAVLGTLLQEYGLLQRRLENVENLLRNRNFWILRLPPGSKGEAPKVSRSLENDGVCFTEQEWENLEDWQKELYRNVMESNYETLVSLKVLGQTEGEAELGTEMLGDLEEEGPGGAHPAGGVMIKQELQYTQEGPADLPGEFSCIAEEQAFLSPEQTELWGGQGSSVLLETGPGDSTLEEPVGSRVPSSSRTVGCPKQKSHRQVQLDQECGQGLKLKKDTSRPYECSECEITFRYKQQLATHLRSHSGWGSCTPEEPEESLRPRPRLKPQTKKAKLHQCDVCLRSFSCKVSLVTHQRCHLQEGPSAGQHVQERFSPNSLVALPGHIPWRKSRSSLICGYCGKSFSHPSDLVRHQRIHTGERPYSCTECEKSFVQKQHLLQHQKIHQRERGGLALEPGRPNGLL
+>DECOY_sp|Q9UDV6|ZN212_HUMAN Zinc finger protein 212 OS=Homo sapiens OX=9606 GN=ZNF212 PE=1 SV=3
+LLGNPRGPELALGGRERQHIKQHQLLHQKQVFSKECETCSYPREGTHIRQHRVLDSPHSFSKGCYGCILSSRSKRWPIHGPLAVLSNPSFREQVHQGASPGEQLHCRQHTVLSVKCSFSRLCVDCQHLKAKKTQPKLRPRPRLSEEPEEPTCSGWGSHSRLHTALQQKYRFTIECESCEYPRSTDKKLKLGQGCEQDLQVQRHSKQKPCGVTRSSSPVRSGVPEELTSDGPGTELLVSSGQGGWLETQEPSLFAQEEAICSFEGPLDAPGEQTYQLEQKIMVGGAPHAGGPGEEELDGLMETGLEAEGETQGLVKLSVLTEYNSEMVNRYLEKQWDELNEWEQETFCVGDNELSRSVKPAEGKSGPPLRLIWFNRNRLLNEVNELRRQLLGYEQLLTGLVAWKGELQNGFEVAMKECDALKKEATGTRGELSQLRAAQSEMKKEVAQIAAVVTWLSIETTQFYSSKETAQSPLTSSTLPTSRRKRRHRAPASEAM
+>sp|Q9UL58|ZN215_HUMAN Zinc finger protein 215 OS=Homo sapiens OX=9606 GN=ZNF215 PE=2 SV=2
+MQPLSKLMAISKPRNLSLREQREVLRADMSWQQETNPVVETHDSEASRQKFRHFQYLKVSGPHEALSQLWELCLQWLRPEIHTKKQIIELLVLEQFLAILPEEVRTWVNLQHPNNSKDMVTLIEDVIEMLEDEDMPCKDSALQMGSIKEKMKAGSRTGKPQEPVTFKDVVVEFSKEEWGQLDSAVKNLYRNVMLENFRNLNSLRKAHLLSKPFESLKLESKKKRWIMEKEIPRKTIFDMKSISGEESSHGVIMTRLTESGHPSSDAWKGENWLYRNQKKWDINLPQEAFIPETIYTEEEDFECSENKKSFDINSVSSICAIQVGIPSRKGSPKCDKFKTYFKFNLDSVGKQHSEYEYGNDLSLSTDIRHQKSHTTMNSYECYQCGKAFCRSSSLIRHQIIHTGEKPYKCSECGRFFNRRTNLTKHQKLHAEAKACTSNKCGKAFSKSEDSNNPTLHFGNNFYQCVNCGKSFNRSSSLIRHQMIHTGEKPFKCKECSKAFNRSSNLVKHQKLHTRDKS
+>DECOY_sp|Q9UL58|ZN215_HUMAN Zinc finger protein 215 OS=Homo sapiens OX=9606 GN=ZNF215 PE=2 SV=2
+SKDRTHLKQHKVLNSSRNFAKSCEKCKFPKEGTHIMQHRILSSSRNFSKGCNVCQYFNNGFHLTPNNSDESKSFAKGCKNSTCAKAEAHLKQHKTLNTRRNFFRGCESCKYPKEGTHIIQHRILSSSRCFAKGCQYCEYSNMTTHSKQHRIDTSLSLDNGYEYESHQKGVSDLNFKFYTKFKDCKPSGKRSPIGVQIACISSVSNIDFSKKNESCEFDEEETYITEPIFAEQPLNIDWKKQNRYLWNEGKWADSSPHGSETLRTMIVGHSSEEGSISKMDFITKRPIEKEMIWRKKKSELKLSEFPKSLLHAKRLSNLNRFNELMVNRYLNKVASDLQGWEEKSFEVVVDKFTVPEQPKGTRSGAKMKEKISGMQLASDKCPMDEDELMEIVDEILTVMDKSNNPHQLNVWTRVEEPLIALFQELVLLEIIQKKTHIEPRLWQLCLEWLQSLAEHPGSVKLYQFHRFKQRSAESDHTEVVPNTEQQWSMDARLVERQERLSLNRPKSIAMLKSLPQM
+>sp|O75362|ZN217_HUMAN Zinc finger protein 217 OS=Homo sapiens OX=9606 GN=ZNF217 PE=1 SV=1
+MQSKVTGNMPTQSLLMYMDGPEVIGSSLGSPMEMEDALSMKGTAVVPFRATQEKNVIQIEGYMPLDCMFCSQTFTHSEDLNKHVLMQHRPTLCEPAVLRVEAEYLSPLDKSQVRTEPPKEKNCKENEFSCEVCGQTFRVAFDVEIHMRTHKDSFTYGCNMCGRRFKEPWFLKNHMRTHNGKSGARSKLQQGLESSPATINEVVQVHAAESISSPYKICMVCGFLFPNKESLIEHRKVHTKKTAFGTSSAQTDSPQGGMPSSREDFLQLFNLRPKSHPETGKKPVRCIPQLDPFTTFQAWQLATKGKVAICQEVKESGQEGSTDNDDSSSEKELGETNKGSCAGLSQEKEKCKHSHGEAPSVDADPKLPSSKEKPTHCSECGKAFRTYHQLVLHSRVHKKDRRAGAESPTMSVDGRQPGTCSPDLAAPLDENGAVDRGEGGSEDGSEDGLPEGIHLDKNDDGGKIKHLTSSRECSYCGKFFRSNYYLNIHLRTHTGEKPYKCEFCEYAAAQKTSLRYHLERHHKEKQTDVAAEVKNDGKNQDTEDALLTADSAQTKNLKRFFDGAKDVTGSPPAKQLKEMPSVFQNVLGSAVLSPAHKDTQDFHKNAADDSADKVNKNPTPAYLDLLKKRSAVETQANNLICRTKADVTPPPDGSTTHNLEVSPKEKQTETAADCRYRPSVDCHEKPLNLSVGALHNCPAISLSKSLIPSITCPFCTFKTFYPEVLMMHQRLEHKYNPDVHKNCRNKSLLRSRRTGCPPALLGKDVPPLSSFCKPKPKSAFPAQSKSLPSAKGKQSPPGPGKAPLTSGIDSSTLAPSNLKSHRPQQNVGVQGAATRQQQSEMFPKTSVSPAPDKTKRPETKLKPLPVAPSQPTLGSSNINGSIDYPAKNDSPWAPPGRDYFCNRSASNTAAEFGEPLPKRLKSSVVALDVDQPGANYRRGYDLPKYHMVRGITSLLPQDCVYPSQALPPKPRFLSSSEVDSPNVLTVQKPYGGSGPLYTCVPAGSPASSSTLEGKRPVSYQHLSNSMAQKRNYENFIGNAHYRPNDKKT
+>DECOY_sp|O75362|ZN217_HUMAN Zinc finger protein 217 OS=Homo sapiens OX=9606 GN=ZNF217 PE=1 SV=1
+TKKDNPRYHANGIFNEYNRKQAMSNSLHQYSVPRKGELTSSSAPSGAPVCTYLPGSGGYPKQVTLVNPSDVESSSLFRPKPPLAQSPYVCDQPLLSTIGRVMHYKPLDYGRRYNAGPQDVDLAVVSSKLRKPLPEGFEAATNSASRNCFYDRGPPAWPSDNKAPYDISGNINSSGLTPQSPAVPLPKLKTEPRKTKDPAPSVSTKPFMESQQQRTAAGQVGVNQQPRHSKLNSPALTSSDIGSTLPAKGPGPPSQKGKASPLSKSQAPFASKPKPKCFSSLPPVDKGLLAPPCGTRRSRLLSKNRCNKHVDPNYKHELRQHMMLVEPYFTKFTCFPCTISPILSKSLSIAPCNHLAGVSLNLPKEHCDVSPRYRCDAATETQKEKPSVELNHTTSGDPPPTVDAKTRCILNNAQTEVASRKKLLDLYAPTPNKNVKDASDDAANKHFDQTDKHAPSLVASGLVNQFVSPMEKLQKAPPSGTVDKAGDFFRKLNKTQASDATLLADETDQNKGDNKVEAAVDTQKEKHHRELHYRLSTKQAAAYECFECKYPKEGTHTRLHINLYYNSRFFKGCYSCERSSTLHKIKGGDDNKDLHIGEPLGDESGDESGGEGRDVAGNEDLPAALDPSCTGPQRGDVSMTPSEAGARRDKKHVRSHLVLQHYTRFAKGCESCHTPKEKSSPLKPDADVSPAEGHSHKCKEKEQSLGACSGKNTEGLEKESSSDDNDTSGEQGSEKVEQCIAVKGKTALQWAQFTTFPDLQPICRVPKKGTEPHSKPRLNFLQLFDERSSPMGGQPSDTQASSTGFATKKTHVKRHEILSEKNPFLFGCVMCIKYPSSISEAAHVQVVENITAPSSELGQQLKSRAGSKGNHTRMHNKLFWPEKFRRGCMNCGYTFSDKHTRMHIEVDFAVRFTQGCVECSFENEKCNKEKPPETRVQSKDLPSLYEAEVRLVAPECLTPRHQMLVHKNLDESHTFTQSCFMCDLPMYGEIQIVNKEQTARFPVVATGKMSLADEMEMPSGLSSGIVEPGDMYMLLSQTPMNGTVKSQM
+>sp|Q9UK11|ZN223_HUMAN Zinc finger protein 223 OS=Homo sapiens OX=9606 GN=ZNF223 PE=1 SV=2
+MTMSKEAVTFKDVAVVFTEEELGLLDLAQRKLYRDVMLENFRNLLSVGHQPFHRDTFHFLREEKFWMMDIATQREGNSGGKIQPEMKTFPEAGPHEGWSCQQIWEEIASDLTRPQDSTIKSSQFFEQGDAHSQVEEGLSIMHTGQKPSNCGKCKQSFSDMSIFDLPQQIRSAEKSHSCDECGKSFCYISALHIHQRVHLGEKLFKCDVCGKEFSQSLHLQTHQRVHTGEKPFKCEQCGRGFRCRSALTVHCKLHMGEKHYNCEACGRAFIHDFQLQKHQRIHTGEKPFKCEICSVSFRLRSSLNRHCVVHTGKKPNSTGEYGKGFIRRLDLCKHQTIHTGEKPYNCKECGKSFRRSSYLLIHQRVHTGEKPYKCDKCGKSYITKSGLDLHHRAHTGERPYNCDDCGKSFRQASSILNHKRLHCRKKPFKCEDCGKKLVYRSYRKDQQKNHSGENPSKCEDCGKRYKRRLNLDIILSLFLNDT
+>DECOY_sp|Q9UK11|ZN223_HUMAN Zinc finger protein 223 OS=Homo sapiens OX=9606 GN=ZNF223 PE=1 SV=2
+TDNLFLSLIIDLNLRRKYRKGCDECKSPNEGSHNKQQDKRYSRYVLKKGCDECKFPKKRCHLRKHNLISSAQRFSKGCDDCNYPREGTHARHHLDLGSKTIYSKGCKDCKYPKEGTHVRQHILLYSSRRFSKGCEKCNYPKEGTHITQHKCLDLRRIFGKGYEGTSNPKKGTHVVCHRNLSSRLRFSVSCIECKFPKEGTHIRQHKQLQFDHIFARGCAECNYHKEGMHLKCHVTLASRCRFGRGCQECKFPKEGTHVRQHTQLHLSQSFEKGCVDCKFLKEGLHVRQHIHLASIYCFSKGCEDCSHSKEASRIQQPLDFISMDSFSQKCKGCNSPKQGTHMISLGEEVQSHADGQEFFQSSKITSDQPRTLDSAIEEWIQQCSWGEHPGAEPFTKMEPQIKGGSNGERQTAIDMMWFKEERLFHFTDRHFPQHGVSLLNRFNELMVDRYLKRQALDLLGLEEETFVVAVDKFTVAEKSMTM
+>sp|Q9NZL3|ZN224_HUMAN Zinc finger protein 224 OS=Homo sapiens OX=9606 GN=ZNF224 PE=1 SV=3
+MTTFKEAMTFKDVAVVFTEEELGLLDLAQRKLYRDVMLENFRNLLSVGHQAFHRDTFHFLREEKIWMMKTAIQREGNSGDKIQTEMETVSEAGTHQEWSFQQIWEKIASDLTRSQDLMINSSQFSKEGDFPCQTEAGLSVIHTRQKSSQGNGYKPSFSDVSHFDFHQQLHSGEKSHTCDECGKNFCYISALRIHQRVHMGEKCYKCDVCGKEFSQSSHLQTHQRVHTGEKPFKCVECGKGFSRRSALNVHHKLHTGEKPYNCEECGKAFIHDSQLQEHQRIHTGEKPFKCDICGKSFCGRSRLNRHSMVHTAEKPFRCDTCDKSFRQRSALNSHRMIHTGEKPYKCEECGKGFICRRDLYTHHMVHTGEKPYNCKECGKSFRWASCLLKHQRVHSGEKPFKCEECGKGFYTNSQCYSHQRSHSGEKPYKCVECGKGYKRRLDLDFHQRVHTGEKLYNCKECGKSFSRAPCLLKHERLHSGEKPFQCEECGKRFTQNSHLHSHQRVHTGEKPYKCEKCGKGYNSKFNLDMHQKVHTGERPYNCKECGKSFGWASCLLKHQRLHSGEKPFKCEECGKRFTQNSQLHSHQRVHTGEKPYKCDECGKGFSWSSTRLTHQRRHSRETPLKCEQHGKNIVQNSFSKVQEKVHSVEKPYKCEDCGKGYNRRLNLDMHQRVHMGEKTWKCRECDMCFSQASSLRLHQNVHVGEKP
+>DECOY_sp|Q9NZL3|ZN224_HUMAN Zinc finger protein 224 OS=Homo sapiens OX=9606 GN=ZNF224 PE=1 SV=3
+PKEGVHVNQHLRLSSAQSFCMDCERCKWTKEGMHVRQHMDLNLRRNYGKGCDECKYPKEVSHVKEQVKSFSNQVINKGHQECKLPTERSHRRQHTLRTSSWSFGKGCEDCKYPKEGTHVRQHSHLQSNQTFRKGCEECKFPKEGSHLRQHKLLCSAWGFSKGCEKCNYPREGTHVKQHMDLNFKSNYGKGCKECKYPKEGTHVRQHSHLHSNQTFRKGCEECQFPKEGSHLREHKLLCPARSFSKGCEKCNYLKEGTHVRQHFDLDLRRKYGKGCEVCKYPKEGSHSRQHSYCQSNTYFGKGCEECKFPKEGSHVRQHKLLCSAWRFSKGCEKCNYPKEGTHVMHHTYLDRRCIFGKGCEECKYPKEGTHIMRHSNLASRQRFSKDCTDCRFPKEATHVMSHRNLRSRGCFSKGCIDCKFPKEGTHIRQHEQLQSDHIFAKGCEECNYPKEGTHLKHHVNLASRRSFGKGCEVCKFPKEGTHVRQHTQLHSSQSFEKGCVDCKYCKEGMHVRQHIRLASIYCFNKGCEDCTHSKEGSHLQQHFDFHSVDSFSPKYGNGQSSKQRTHIVSLGAETQCPFDGEKSFQSSNIMLDQSRTLDSAIKEWIQQFSWEQHTGAESVTEMETQIKDGSNGERQIATKMMWIKEERLFHFTDRHFAQHGVSLLNRFNELMVDRYLKRQALDLLGLEEETFVVAVDKFTMAEKFTTM
+>sp|Q9UIE0|ZN230_HUMAN Zinc finger protein 230 OS=Homo sapiens OX=9606 GN=ZNF230 PE=1 SV=3
+MTTFKEAVTFKDVAVFFTEEELGLLDPAQRKLYQDVMLENFTNLLSVGHQPFHPFHFLREEKFWMMETATQREGNSGGKTIAEAGPHEDCPCQQIWEQTASDLTQSQDSIINNSHFFEQGDVPSQVEAGLSIIHTGQKPSQNGKCKQSFSDVAIFDPPQQFHSGEKSHTCNECGKSFCYISALRIHQRVHLREKLSKCDMRGKEFSQSSCLQTRERVHTGEKPFKCEQCGKGFRCRAILQVHCKLHTGEKPYICEKCGRAFIHDFQLQKHQIIHTGEKPFKCEICGKSFCLRSSLNRHCMVHTAEKLYKSEECGKGFTDSLDLHKHQIIHTGQKPYNCKECGKSFRWSSYLLIHQRIHSGEKPYRCEECGKGYISKSGLNLHQRVHTGERPYNCKECGKSFSRASSILNHKKLHCRKKPFKCEDCGKRLVHRSFCKDQQGDHNGENSSKCEDCGKRYKRRLNLDIILSLFLNDM
+>DECOY_sp|Q9UIE0|ZN230_HUMAN Zinc finger protein 230 OS=Homo sapiens OX=9606 GN=ZNF230 PE=1 SV=3
+MDNLFLSLIIDLNLRRKYRKGCDECKSSNEGNHDGQQDKCFSRHVLRKGCDECKFPKKRCHLKKHNLISSARSFSKGCEKCNYPREGTHVRQHLNLGSKSIYGKGCEECRYPKEGSHIRQHILLYSSWRFSKGCEKCNYPKQGTHIIQHKHLDLSDTFGKGCEESKYLKEATHVMCHRNLSSRLCFSKGCIECKFPKEGTHIIQHKQLQFDHIFARGCKECIYPKEGTHLKCHVQLIARCRFGKGCQECKFPKEGTHVRERTQLCSSQSFEKGRMDCKSLKERLHVRQHIRLASIYCFSKGCENCTHSKEGSHFQQPPDFIAVDSFSQKCKGNQSPKQGTHIISLGAEVQSPVDGQEFFHSNNIISDQSQTLDSATQEWIQQCPCDEHPGAEAITKGGSNGERQTATEMMWFKEERLFHFPHFPQHGVSLLNTFNELMVDQYLKRQAPDLLGLEEETFFVAVDKFTVAEKFTTM
+>sp|A6NK53|ZN233_HUMAN Zinc finger protein 233 OS=Homo sapiens OX=9606 GN=ZNF233 PE=2 SV=3
+MTKFQEMVTFKDVAVVFTREELGLLDLAQRKLYQDVMLENFRNLLSVGYQPFKLDVILQLGKEDKLRMMETEIQGDGCSGHKNQNEIDTLQEVRLRFLSYEDLICWQIWEQFTSKLTSNQDLIINLQGKRSKLLKQGDSPCQVWTGESSQVSEDENYVIKLQGESSNSIKNQELPLRTTWDFWRKMYLREPQNYQSRCQQIDVKNKLCKCDHCVRQRIAHQHDDHGVHKREKAFSHNNCGKDCVKESSQHSIIQSGEQTSDENGKGLSVGSNLELHQQLHLRDKPHVNVEYGKGIGYSSGLPRHQCFHIGEKCYRNGDSGEGFSQGSHLQPHQRVSTGENLYRCQVYARSSNQNSCLPSHELTHPGEKLCTCGRCGKGFHHSLDFDIHCVDSAGERACKCDVYDKGFSQTSQLQAHQRGHSRDKTYKWEVSDRIFNRNSGLHQRVHTGEKPYKCEVCDKGFSKASNLQAHQRIHTGEKPYKCDVCDKNFSRNSHLQAHQRVHTGEKPYKCDTCGKDFSQISHLQAHQRVHKGEKPYKCETCGKGFSQSSHLQDHQQVHTGENPYKCDVCGKGFSWSSHLQAHQRVHTGEKPYKCEECRKGFIWNSYLHVHQRIHTGEKPYKCGMCGKSFSQTSHLQAHQRVHTGEKPYKCFVCGKGFSKSSLSSDSSESP
+>DECOY_sp|A6NK53|ZN233_HUMAN Zinc finger protein 233 OS=Homo sapiens OX=9606 GN=ZNF233 PE=2 SV=3
+PSESSDSSLSSKSFGKGCVFCKYPKEGTHVRQHAQLHSTQSFSKGCMGCKYPKEGTHIRQHVHLYSNWIFGKRCEECKYPKEGTHVRQHAQLHSSWSFGKGCVDCKYPNEGTHVQQHDQLHSSQSFGKGCTECKYPKEGKHVRQHAQLHSIQSFDKGCTDCKYPKEGTHVRQHAQLHSNRSFNKDCVDCKYPKEGTHIRQHAQLNSAKSFGKDCVECKYPKEGTHVRQHLGSNRNFIRDSVEWKYTKDRSHGRQHAQLQSTQSFGKDYVDCKCAREGASDVCHIDFDLSHHFGKGCRGCTCLKEGPHTLEHSPLCSNQNSSRAYVQCRYLNEGTSVRQHPQLHSGQSFGEGSDGNRYCKEGIHFCQHRPLGSSYGIGKGYEVNVHPKDRLHLQQHLELNSGVSLGKGNEDSTQEGSQIISHQSSEKVCDKGCNNHSFAKERKHVGHDDHQHAIRQRVCHDCKCLKNKVDIQQCRSQYNQPERLYMKRWFDWTTRLPLEQNKISNSSEGQLKIVYNEDESVQSSEGTWVQCPSDGQKLLKSRKGQLNIILDQNSTLKSTFQEWIQWCILDEYSLFRLRVEQLTDIENQNKHGSCGDGQIETEMMRLKDEKGLQLIVDLKFPQYGVSLLNRFNELMVDQYLKRQALDLLGLEERTFVVAVDKFTVMEQFKTM
+>sp|Q16600|ZN239_HUMAN Zinc finger protein 239 OS=Homo sapiens OX=9606 GN=ZNF239 PE=1 SV=3
+MASTITGSQDCIVNHRGEVDGEPELDISPCQQWGEASSPISRNRDSVMTLQSGCFENIESETYLPLKVSSQIDTQDSSVKFCKNEPQDHQESRRLFVMEESTERKVIKGESCSENLQVKLVSDGQELASPLLNGEATCQNGQLKESLDPIDCNCKDIHGWKSQVVSCSQQRAHTEEKPCDHNNCGKILNTSPDGHPYEKIHTAEKQYECSQCGKNFSQSSELLLHQRDHTEEKPYKCEQCGKGFTRSSSLLIHQAVHTDEKPYKCDKCGKGFTRSSSLLIHHAVHTGEKPYKCDKCGKGFSQSSKLHIHQRVHTGEKPYECEECGMSFSQRSNLHIHQRVHTGERPYKCGECGKGFSQSSNLHIHRCIHTGEKPYQCYECGKGFSQSSDLRIHLRVHTGEKPYHCGKCGKGFSQSSKLLIHQRVHTGEKPYECSKCGKGFSQSSNLHIHQRVHKKDPR
+>DECOY_sp|Q16600|ZN239_HUMAN Zinc finger protein 239 OS=Homo sapiens OX=9606 GN=ZNF239 PE=1 SV=3
+RPDKKHVRQHIHLNSSQSFGKGCKSCEYPKEGTHVRQHILLKSSQSFGKGCKGCHYPKEGTHVRLHIRLDSSQSFGKGCEYCQYPKEGTHICRHIHLNSSQSFGKGCEGCKYPREGTHVRQHIHLNSRQSFSMGCEECEYPKEGTHVRQHIHLKSSQSFGKGCKDCKYPKEGTHVAHHILLSSSRTFGKGCKDCKYPKEDTHVAQHILLSSSRTFGKGCQECKYPKEETHDRQHLLLESSQSFNKGCQSCEYQKEATHIKEYPHGDPSTNLIKGCNNHDCPKEETHARQQSCSVVQSKWGHIDKCNCDIPDLSEKLQGNQCTAEGNLLPSALEQGDSVLKVQLNESCSEGKIVKRETSEEMVFLRRSEQHDQPENKCFKVSSDQTDIQSSVKLPLYTESEINEFCGSQLTMVSDRNRSIPSSAEGWQQCPSIDLEPEGDVEGRHNVICDQSGTITSAM
+>sp|P15622|ZN250_HUMAN Zinc finger protein 250 OS=Homo sapiens OX=9606 GN=ZNF250 PE=1 SV=3
+MAAARLLPVPAGPQPLSFQAKLTFEDVAVLLSQDEWDRLCPAQRGLYRNVMMETYGNVVSLGLPGSKPDIISQLERGEDPWVLDRKGAKKSQGLWSDYSDNLKYDHTTACTQQDSLSCPWECETKGESQNTDLSPKPLISEQTVILGKTPLGRIDQENNETKQSFCLSPNSVDHREVQVLSQSMPLTPHQAVPSGERPYMCVECGKCFGRSSHLLQHQRIHTGEKPYVCSVCGKAFSQSSVLSKHRRIHTGEKPYECNECGKAFRVSSDLAQHHKIHTGEKPHECLECRKAFTQLSHLIQHQRIHTGERPYVCPLCGKAFNHSTVLRSHQRVHTGEKPHRCNECGKTFSVKRTLLQHQRIHTGEKPYTCSECGKAFSDRSVLIQHHNVHTGEKPYECSECGKTFSHRSTLMNHERIHTEEKPYACYECGKAFVQHSHLIQHQRVHTGEKPYVCGECGHAFSARRSLIQHERIHTGEKPFQCTECGKAFSLKATLIVHLRTHTGEKPYECNSCGKAFSQYSVLIQHQRIHTGEKPYECGECGRAFNQHGHLIQHQKVHRKL
+>DECOY_sp|P15622|ZN250_HUMAN Zinc finger protein 250 OS=Homo sapiens OX=9606 GN=ZNF250 PE=1 SV=3
+LKRHVKQHQILHGHQNFARGCEGCEYPKEGTHIRQHQILVSYQSFAKGCSNCEYPKEGTHTRLHVILTAKLSFAKGCETCQFPKEGTHIREHQILSRRASFAHGCEGCVYPKEGTHVRQHQILHSHQVFAKGCEYCAYPKEETHIREHNMLTSRHSFTKGCESCEYPKEGTHVNHHQILVSRDSFAKGCESCTYPKEGTHIRQHQLLTRKVSFTKGCENCRHPKEGTHVRQHSRLVTSHNFAKGCLPCVYPREGTHIRQHQILHSLQTFAKRCELCEHPKEGTHIKHHQALDSSVRFAKGCENCEYPKEGTHIRRHKSLVSSQSFAKGCVSCVYPKEGTHIRQHQLLHSSRGFCKGCEVCMYPREGSPVAQHPTLPMSQSLVQVERHDVSNPSLCFSQKTENNEQDIRGLPTKGLIVTQESILPKPSLDTNQSEGKTECEWPCSLSDQQTCATTHDYKLNDSYDSWLGQSKKAGKRDLVWPDEGRELQSIIDPKSGPLGLSVVNGYTEMMVNRYLGRQAPCLRDWEDQSLLVAVDEFTLKAQFSLPQPGAPVPLLRAAAM
+>sp|Q14584|ZN266_HUMAN Zinc finger protein 266 OS=Homo sapiens OX=9606 GN=ZNF266 PE=1 SV=2
+MLENYKNLATVGYQLFKPSLISWLEQEESRTVQRGDFQASEWKVQLKTKELALQQDVLGEPTSSGIQMIGSHNGGEVSDVKQCGDVSSEHSCLKTHVRTQNSENTFECYLYGVDFLTLHKKTSTGEQRSVFSQCGKAFSLNPDVVCQRTCTGEKAFDCSDSGKSFINHSHLQGHLRTHNGESLHEWKECGRGFIHSTDLAVRIQTHRSEKPYKCKECGKGFRYSAYLNIHMGTHTGDNPYECKECGKAFTRSCQLTQHRKTHTGEKPYKCKDCGRAFTVSSCLSQHMKIHVGEKPYECKECGIAFTRSSQLTEHLKTHTAKDPFECKICGKSFRNSSCLSDHFRIHTGIKPYKCKDCGKAFTQNSDLTKHARTHSGERPYECKECGKAFARSSRLSEHTRTHTGEKPFECVKCGKAFAISSNLSGHLRIHTGEKPFECLECGKAFTHSSSLNNHMRTHSAKKPFTCMECGKAFKFPTCVNLHMRIHTGEKPYKCKQCGKSFSYSNSFQLHERTHTGEKPYECKECGKAFSSSSSFRNHERRHADERLSA
+>DECOY_sp|Q14584|ZN266_HUMAN Zinc finger protein 266 OS=Homo sapiens OX=9606 GN=ZNF266 PE=1 SV=2
+ASLREDAHRREHNRFSSSSSFAKGCEKCEYPKEGTHTREHLQFSNSYSFSKGCQKCKYPKEGTHIRMHLNVCTPFKFAKGCEMCTFPKKASHTRMHNNLSSSHTFAKGCELCEFPKEGTHIRLHGSLNSSIAFAKGCKVCEFPKEGTHTRTHESLRSSRAFAKGCEKCEYPREGSHTRAHKTLDSNQTFAKGCDKCKYPKIGTHIRFHDSLCSSNRFSKGCIKCEFPDKATHTKLHETLQSSRTFAIGCEKCEYPKEGVHIKMHQSLCSSVTFARGCDKCKYPKEGTHTKRHQTLQCSRTFAKGCEKCEYPNDGTHTGMHINLYASYRFGKGCEKCKYPKESRHTQIRVALDTSHIFGRGCEKWEHLSEGNHTRLHGQLHSHNIFSKGSDSCDFAKEGTCTRQCVVDPNLSFAKGCQSFVSRQEGTSTKKHLTLFDVGYLYCEFTNESNQTRVHTKLCSHESSVDGCQKVDSVEGGNHSGIMQIGSSTPEGLVDQQLALEKTKLQVKWESAQFDGRQVTRSEEQELWSILSPKFLQYGVTALNKYNELM
+>sp|Q14593|ZN273_HUMAN Zinc finger protein 273 OS=Homo sapiens OX=9606 GN=ZNF273 PE=1 SV=3
+MSSAPRGPPSVAPLPAGIGRSTAKTPGLPGSLEMGPLTFRDVAIEFSLEEWQCLDTSQQNLYRNVMLDNYRNLVFLGIAVSKPDLITCLEQGKEPCNMKRHAMVAKPPVVCSHFAQDLWPKQGLKDSFQKVILRRYGKYGHENLQLRKGCKSADEHKVHKRGYNGLNQCLTTTQSKIFQCDKYVKVLHKFSNSNIHKKRQTGKKPFKCKECGKSCCILSQLTQHKKTATRVNFYKCKTCGKAFNQFSNLTKHKIIHPEVNPYKCEECGKAFNQSLTLTKHKKIHTEEKPYKCEDCGKVFSVFSVLTKHKIIHTGTKPYNCEECGKGFSIFSTLTKHKIIHTGEKPYKCNECGKAFNWSSTLTKHKRIHTGEKPYKCEECGKAFNQSSTLTRHKIVHTGEKPYKCEECGKAFKRSTTLTKHKRIYTKEKPYKCEECGKAFSVFSTLTKHKIIHTGAKPYKCEECGSAFRAFSTLTEHKRVHTGEKPYKCNECGKAFNWSSTLTKHKRIHTGEKPYKCEECGKAFNRSSNLTRHKKIHTGEKPYKPKRCDSAFDNTPNFSRHKRNHMGEKS
+>DECOY_sp|Q14593|ZN273_HUMAN Zinc finger protein 273 OS=Homo sapiens OX=9606 GN=ZNF273 PE=1 SV=3
+SKEGMHNRKHRSFNPTNDFASDCRKPKYPKEGTHIKKHRTLNSSRNFAKGCEECKYPKEGTHIRKHKTLTSSWNFAKGCENCKYPKEGTHVRKHETLTSFARFASGCEECKYPKAGTHIIKHKTLTSFVSFAKGCEECKYPKEKTYIRKHKTLTTSRKFAKGCEECKYPKEGTHVIKHRTLTSSQNFAKGCEECKYPKEGTHIRKHKTLTSSWNFAKGCENCKYPKEGTHIIKHKTLTSFISFGKGCEECNYPKTGTHIIKHKTLVSFVSFVKGCDECKYPKEETHIKKHKTLTLSQNFAKGCEECKYPNVEPHIIKHKTLNSFQNFAKGCTKCKYFNVRTATKKHQTLQSLICCSKGCEKCKFPKKGTQRKKHINSNSFKHLVKVYKDCQFIKSQTTTLCQNLGNYGRKHVKHEDASKCGKRLQLNEHGYKGYRRLIVKQFSDKLGQKPWLDQAFHSCVVPPKAVMAHRKMNCPEKGQELCTILDPKSVAIGLFVLNRYNDLMVNRYLNQQSTDLCQWEELSFEIAVDRFTLPGMELSGPLGPTKATSRGIGAPLPAVSPPGRPASSM
+>sp|Q8N554|ZN276_HUMAN Zinc finger protein 276 OS=Homo sapiens OX=9606 GN=ZNF276 PE=1 SV=4
+MKRDRLGRFLSPGSSRQCGASDGGGGVSRTRGRPSLSGGPRVDGATARRAWGPVGSCGDAGEDGADEAGAGRALAMGHCRLCHGKFSSRSLRSISERAPGASMERPSAEERVLVRDFQRLLGVAVRQDPTLSPFVCKSCHAQFYQCHSLLKSFLQRVNASPAGRRKPCAKVGAQPPTGAEEGACLVDLITSSPQCLHGLVGWVHGHAASCGALPHLQRTLSSEYCGVIQVVWGCDQGHDYTMDTSSSCKAFLLDSALAVKWPWDKETAPRLPQHRGWNPGDAPQTSQGRGTGTPVGAETKTLPSTDVAQPPSDSDAVGPRSGFPPQPSLPLCRAPGQLGEKQLPSSTSDDRVKDEFSDLSEGDVLSEDENDKKQNAQSSDESFEPYPERKVSGKKSESKEAKKSEEPRIRKKPGPKPGWKKKLRCEREELPTIYKCPYQGCTAVYRGADGMKKHIKEHHEEVRERPCPHPGCNKVFMIDRYLQRHVKLIHTEVRNYICDECGQTFKQRKHLLVHQMRHSGAKPLQCEVCGFQCRQRASLKYHMTKHKAETELDFACDQCGRRFEKAHNLNVHMSMVHPLTQTQDKALPLEAEPPPGPPSPSVTTEGQAVKPEPT
+>DECOY_sp|Q8N554|ZN276_HUMAN Zinc finger protein 276 OS=Homo sapiens OX=9606 GN=ZNF276 PE=1 SV=4
+TPEPKVAQGETTVSPSPPGPPPEAELPLAKDQTQTLPHVMSMHVNLNHAKEFRRGCQDCAFDLETEAKHKTMHYKLSARQRCQFGCVECQLPKAGSHRMQHVLLHKRQKFTQGCEDCIYNRVETHILKVHRQLYRDIMFVKNCGPHPCPRERVEEHHEKIHKKMGDAGRYVATCGQYPCKYITPLEERECRLKKKWGPKPGPKKRIRPEESKKAEKSESKKGSVKREPYPEFSEDSSQANQKKDNEDESLVDGESLDSFEDKVRDDSTSSPLQKEGLQGPARCLPLSPQPPFGSRPGVADSDSPPQAVDTSPLTKTEAGVPTGTGRGQSTQPADGPNWGRHQPLRPATEKDWPWKVALASDLLFAKCSSSTDMTYDHGQDCGWVVQIVGCYESSLTRQLHPLAGCSAAHGHVWGVLGHLCQPSSTILDVLCAGEEAGTPPQAGVKACPKRRGAPSANVRQLFSKLLSHCQYFQAHCSKCVFPSLTPDQRVAVGLLRQFDRVLVREEASPREMSAGPARESISRLSRSSFKGHCLRCHGMALARGAGAEDAGDEGADGCSGVPGWARRATAGDVRPGGSLSPRGRTRSVGGGGDSAGCQRSSGPSLFRGLRDRKM
+>sp|Q9UDV7|ZN282_HUMAN Zinc finger protein 282 OS=Homo sapiens OX=9606 GN=ZNF282 PE=1 SV=3
+MQFVSTRPQPQQLGIQGLGLDSGSWSWAQALPPEEVCHQEPALRGEMAEGMPPMQAQEWDMDARRPMPFQFPPFPDRAPVFPDRMMREPQLPTAEISLWTVVAAIQAVERKVDAQASQLLNLEGRTGTAEKKLADCEKTAVEFGNHMESKWAVLGTLLQEYGLLQRRLENLENLLRNRNFWVLRLPPGSKGEAPKVPVTFVDIAVYFSEDEWKNLDEWQKELYNNLVKENYKTLMSLDAEGSVPKPDAPVQAEPREEPCVWEQRHPEEREIPMDPEAGAEPLVPAQDASSQVKREDTLCVRGQRGLEERAIPTESITDSPISAQDLLSRIKQEEHQCVWDQQDLADRDIPTDPNSESLISAHDILSWIKQEEQPYPWGPRDSMDGELGLDSGPSDSLLMVKNPPPAPPQPQPQPQPPQPQLQSQPQPQSLPPIAVAENPGGPPSRGLLDDGFQVLPGERGSGEAPPGGDRSTGGGGGDGGGGGGGAEAGTGAGGGCGSCCPGGLRRSLLLHGARSKPYSCPECGKSFGVRKSLIIHHRSHTKERPYECAECEKSFNCHSGLIRHQMTHRGERPYKCSECEKTYSRKEHLQNHQRLHTGERPFQCALCGKSFIRKQNLLKHQRIHTGERPYTCGECGKSFRYKESLKDHLRVHSGGPGPGAPRQLPPPPERD
+>DECOY_sp|Q9UDV7|ZN282_HUMAN Zinc finger protein 282 OS=Homo sapiens OX=9606 GN=ZNF282 PE=1 SV=3
+DREPPPPLQRPAGPGPGGSHVRLHDKLSEKYRFSKGCEGCTYPREGTHIRQHKLLNQKRIFSKGCLACQFPREGTHLRQHNQLHEKRSYTKECESCKYPREGRHTMQHRILGSHCNFSKECEACEYPREKTHSRHHIILSKRVGFSKGCEPCSYPKSRAGHLLLSRRLGGPCCSGCGGGAGTGAEAGGGGGGGDGGGGGTSRDGGPPAEGSGREGPLVQFGDDLLGRSPPGGPNEAVAIPPLSQPQPQSQLQPQPPQPQPQPQPPAPPPNKVMLLSDSPGSDLGLEGDMSDRPGWPYPQEEQKIWSLIDHASILSESNPDTPIDRDALDQQDWVCQHEEQKIRSLLDQASIPSDTISETPIAREELGRQGRVCLTDERKVQSSADQAPVLPEAGAEPDMPIEREEPHRQEWVCPEERPEAQVPADPKPVSGEADLSMLTKYNEKVLNNYLEKQWEDLNKWEDESFYVAIDVFTVPVKPAEGKSGPPLRLVWFNRNRLLNELNELRRQLLGYEQLLTGLVAWKSEMHNGFEVATKECDALKKEATGTRGELNLLQSAQADVKREVAQIAAVVTWLSIEATPLQPERMMRDPFVPARDPFPPFQFPMPRRADMDWEQAQMPPMGEAMEGRLAPEQHCVEEPPLAQAWSWSGSDLGLGQIGLQQPQPRTSVFQM
+>sp|Q96RE9|ZN300_HUMAN Zinc finger protein 300 OS=Homo sapiens OX=9606 GN=ZNF300 PE=1 SV=1
+MMKSQGLVSFKDVAVDFTQEEWQQLDPSQRTLYRDVMLENYSHLVSMGYPVSKPDVISKLEQGEEPWIIKGDISNWIYPDEYQADGRQDRKSNLHNSQSCILGTVSFHHKILKGVTRDGSLCSILKVCQGDGQLQRFLENQDKLFRQVTFVNSKTVTEASGHKYNPLGKIFQECIETDISIQRFHKYDAFKKNLKPNIDLPSCYKSNSRKKPDQSFGGGKSSSQSEPNSNLEKIHNGVIPFDDNQCGNVFRNTQSLIQYQNVETKEKSCVCVTCGKAFAKKSQLIVHQRIHTGKKPYDCGACGKAFSEKFHLVVHQRTHTGEKPYDCSECGKAFSQKSSLIIHQRVHTGEKPYECSECGKAFSQKSPLIIHQRIHTGEKPYECRECGKAFSQKSQLIIHHRAHTGEKPYECTECGKAFCEKSHLIIHKRIHTGEKPYKCAQCEEAFSRKTELITHQLVHTGEKPYECTECGKTFSRKSQLIIHQRTHTGEKPYKCSECGKAFCQKSHLIGHQRIHTGEKPYICTECGKAFSQKSHLPGHQRIHTGEKPYICAECGKAFSQKSDLVLHQRIHTGERPYQCAICGKAFIQKSQLTVHQRIHTVVKS
+>DECOY_sp|Q96RE9|ZN300_HUMAN Zinc finger protein 300 OS=Homo sapiens OX=9606 GN=ZNF300 PE=1 SV=1
+SKVVTHIRQHVTLQSKQIFAKGCIACQYPREGTHIRQHLVLDSKQSFAKGCEACIYPKEGTHIRQHGPLHSKQSFAKGCETCIYPKEGTHIRQHGILHSKQCFAKGCESCKYPKEGTHTRQHIILQSKRSFTKGCETCEYPKEGTHVLQHTILETKRSFAEECQACKYPKEGTHIRKHIILHSKECFAKGCETCEYPKEGTHARHHIILQSKQSFAKGCERCEYPKEGTHIRQHIILPSKQSFAKGCESCEYPKEGTHVRQHIILSSKQSFAKGCESCDYPKEGTHTRQHVVLHFKESFAKGCAGCDYPKKGTHIRQHVILQSKKAFAKGCTVCVCSKEKTEVNQYQILSQTNRFVNGCQNDDFPIVGNHIKELNSNPESQSSSKGGGFSQDPKKRSNSKYCSPLDINPKLNKKFADYKHFRQISIDTEICEQFIKGLPNYKHGSAETVTKSNVFTVQRFLKDQNELFRQLQGDGQCVKLISCLSGDRTVGKLIKHHFSVTGLICSQSNHLNSKRDQRGDAQYEDPYIWNSIDGKIIWPEEGQELKSIVDPKSVPYGMSVLHSYNELMVDRYLTRQSPDLQQWEEQTFDVAVDKFSVLGQSKMM
+>sp|Q5JNZ3|ZN311_HUMAN Zinc finger protein 311 OS=Homo sapiens OX=9606 GN=ZNF311 PE=2 SV=2
+MQEVVLLDESSGPPSQLLWTRQDTQLPQESALLPAPYPAFTKDGSQGNLPQADITLMSQAQESVTFEDVAVNFTNREWQCLTYAQRHLYKDVMLENYGNMVSLGFPFPKPPLISHLEREVDPCVQDPQDRESLSCSYPVSADKMWPENEKASSQQEIFENGEAYWMKFNSLLKVDSRDPKVREVCVQDVKLENQWETSIREKLREEKEGSEEVTCKKGKNQKVLSKNLNPNSKHSQCNKVLIAQKLHECARCGKNFSWHSDLILHEQIHSGEKPHVCNECGKAFKTRNQLSMHRIIHTGEKPFNCTQCGKAFNSRSALCRHKKTHSGEKPHECRDCGKAFKTRNRLCMHQLIHTGEKPYKCNCCGKAFQFKHSLTIHGRIHTGEKPYECEECGKAFSGSSDLTKHIRIHTGERPYECSKCGRAFSRSSDLSKHKRIHTREKHYGCPQCGKDFSIKAELTKHRRIHTEEKRYRCEECGKAFRHNCKRRAHEREHTGEKPYQCRDCGKTFQDKHCLTIHQRIHTGEKPYKCLECGKAFSGKSNLTNHRRIHTGEKPHKCEVCGMAFHHSSVLRQHKRIHTGEKPYTCSECGTSFRQGSALIGHKRVHTGEKPYECEECGKAFRVSSNLTGHKKRKHQVWSTHELDGSRKSLSPVTVSQTSVVSILTSA
+>DECOY_sp|Q5JNZ3|ZN311_HUMAN Zinc finger protein 311 OS=Homo sapiens OX=9606 GN=ZNF311 PE=2 SV=2
+ASTLISVVSTQSVTVPSLSKRSGDLEHTSWVQHKRKKHGTLNSSVRFAKGCEECEYPKEGTHVRKHGILASGQRFSTGCESCTYPKEGTHIRKHQRLVSSHHFAMGCVECKHPKEGTHIRRHNTLNSKGSFAKGCELCKYPKEGTHIRQHITLCHKDQFTKGCDRCQYPKEGTHEREHARRKCNHRFAKGCEECRYRKEETHIRRHKTLEAKISFDKGCQPCGYHKERTHIRKHKSLDSSRSFARGCKSCEYPREGTHIRIHKTLDSSGSFAKGCEECEYPKEGTHIRGHITLSHKFQFAKGCCNCKYPKEGTHILQHMCLRNRTKFAKGCDRCEHPKEGSHTKKHRCLASRSNFAKGCQTCNFPKEGTHIIRHMSLQNRTKFAKGCENCVHPKEGSHIQEHLILDSHWSFNKGCRACEHLKQAILVKNCQSHKSNPNLNKSLVKQNKGKKCTVEESGEKEERLKERISTEWQNELKVDQVCVERVKPDRSDVKLLSNFKMWYAEGNEFIEQQSSAKENEPWMKDASVPYSCSLSERDQPDQVCPDVERELHSILPPKPFPFGLSVMNGYNELMVDKYLHRQAYTLCQWERNTFNVAVDEFTVSEQAQSMLTIDAQPLNGQSGDKTFAPYPAPLLASEQPLQTDQRTWLLQSPPGSSEDLLVVEQM
+>sp|Q96PQ6|ZN317_HUMAN Zinc finger protein 317 OS=Homo sapiens OX=9606 GN=ZNF317 PE=1 SV=2
+MAALSPTFATSTQDSTCLQDSEFPVSSKDHSCPQNLDLFVCSGLEPHTPSVGSQESVTFQDVAVDFTEKEWPLLDSSQRKLYKDVMLENYSNLTSLGYQVGKPSLISHLEQEEEPRTEERGAHQGACADWETPSKTKWSLLMEDIFGKETPSGVTMERAGLGEKSTEYAHLFEVFGMDPHLTQPMGRHAGKRPYHRRDYGVAFKGRPHLTQHMSMYDGRKMHECHQCQKAFTTSASLTRHRRIHTGEKPYECSDCGKAFNDPSALRSHARTHLKEKPFDCSQCGNAFRTLSALKIHMRVHTGERPYKCDQCGKAYGRSCHLIAHKRTHTGERPYECHDCGKAFQHPSHLKEHVRNHTGEKPYACTQCGKAFRWKSNFNLHKKNHMVEKTYECKECGKSFGDLVSRRKHMRIHIVKKPVECRQCGKTFRNQSILKTHMNSHTGEKPYGCDLCGKAFSASSNLTAHRKIHTQERRYECAACGKVFGDYLSRRRHMSVHLVKKRVECRQCGKAFRNQSTLKTHMRSHTGEKPYECDHCGKAFSIGSNLNVHRRIHTGEKPYECLVCGKAFSDHSSLRSHVKTHRGEKLFVSSVWKRLQ
+>DECOY_sp|Q96PQ6|ZN317_HUMAN Zinc finger protein 317 OS=Homo sapiens OX=9606 GN=ZNF317 PE=1 SV=2
+QLRKWVSSVFLKEGRHTKVHSRLSSHDSFAKGCVLCEYPKEGTHIRRHVNLNSGISFAKGCHDCEYPKEGTHSRMHTKLTSQNRFAKGCQRCEVRKKVLHVSMHRRRSLYDGFVKGCAACEYRREQTHIKRHATLNSSASFAKGCLDCGYPKEGTHSNMHTKLISQNRFTKGCQRCEVPKKVIHIRMHKRRSVLDGFSKGCEKCEYTKEVMHNKKHLNFNSKWRFAKGCQTCAYPKEGTHNRVHEKLHSPHQFAKGCDHCEYPREGTHTRKHAILHCSRGYAKGCQDCKYPREGTHVRMHIKLASLTRFANGCQSCDFPKEKLHTRAHSRLASPDNFAKGCDSCEYPKEGTHIRRHRTLSASTTFAKQCQHCEHMKRGDYMSMHQTLHPRGKFAVGYDRRHYPRKGAHRGMPQTLHPDMGFVEFLHAYETSKEGLGAREMTVGSPTEKGFIDEMLLSWKTKSPTEWDACAGQHAGREETRPEEEQELHSILSPKGVQYGLSTLNSYNELMVDKYLKRQSSDLLPWEKETFDVAVDQFTVSEQSGVSPTHPELGSCVFLDLNQPCSHDKSSVPFESDQLCTSDQTSTAFTPSLAAM
+>sp|Q5VUA4|ZN318_HUMAN Zinc finger protein 318 OS=Homo sapiens OX=9606 GN=ZNF318 PE=1 SV=2
+MYRSSARSSVSSHRPKDDGGGGPRSGRSSGSSSGPARRSSPPPPPSGSSSRTPARRPRSPSGHRGRRASPSPPRGRRVSPSPPRARRGSPSPPRGRRLFPPGPAGFRGSSRGESRADYARDGRGDHPGDSGSRRRSPGLCSDSLEKSLRITVGNDHFCVSTPERRRLSDRLGSPVDNLEDMDRDDLTDDSVFTRSSQCSRGLERYISQEEGPLSPFLGQLDEDYRTKETFLHRSDYSPHISCHDELLRGTERNREKLKGYSIRSEERSREAKRPRYDDTVKINSMGGDHPSFTSGTRNYRQRRRSPSPRFLDPEFRELDLARRKREEEEERSRSLSQELVGVDGGGTGCSIPGLSGVLTASEPGYSLHRPEEVSVMPKKSILKKRIEVDIMEPSMQLESFSSSTSSSQDHPLYSGHPSLPLSGAIAAFASEIENKGTMVETALKEPQGNLYQWGPLPGIPKDNSPLREKFGSFLCHKDNLDLKAEGPERHTDFLLPHERASQDGSGFSRILSMLADSTSTQEKRRRSFPDIEDEEKFLYGDEEEDLKAESVPKPLGSSESEVMRQKASSLPSSAPAVKLESLEETNPEYAKIHDLLKTIGLDIGVAEISQLAARTQERLHGKKPSLRSSADRRSSVDRYFSADHCSSVDHRFSADRCSSVDHCFSADRRSSDPHRLESREAHHSNTHSPEVSHPHPPSPVDPYLLTKNSPPFLKSDHPVGHISGPEVVGSGFQSSVAVRCMLPSAPSAPIRLPHTAALSQFHMPRASQFAAARIPPNYQGPAIPPASFDAYRHYMAYAASRWPMYPTSQPSNHPVPEPHRIMPITKQATRSRPNLRVIPTVTPDKPKQKESLRGSIPAAQVPVQVSIPSLIRYNPEKISDEKNRASQKQKVIEEREKLKNDREARQKKMYYLRTELERLHKQQGEMLRKKRREKDGHKDPLLVEVSRLQDNIMKDIAELRQEAEEAEKKQSELDKVAQILGINIFDKSQKSLSDSREPTEKPGKAEKSKSPEKVSSFSNSSSNKESKVNNEKFRTKSPKPAESPQSATKQLDQPTAAYEYYDAGNHWCKDCNTICGTMFDFFTHMHNKKHTQTLDPYNRPWASKTQSEAKQDAIKRTDKITVPAKGSEFLVPISGFYCQLCEEFLGDPISGEQHVKGHQHNEKYKKYVDENPLYEERRNLDRQAGLAVVLETERRRQSELKRKLSEKPKEEKKEKKAKAVKEVKEDDKVSEKLEDQLSEGRNSPEKAENKRNTGIKLQLKEEVKKESPTSSSFGKFSWKKPEKEEEKSSLVTPSISKEEILESSKDKEDGKTEAGKAKPIKIKLSGKTVVAHTSPWMPVVTTSTQTKIRPNLPIPSTVLRKSCSATMSKPAPLNTFLSIKSSGTTAKPLPVVKESSADLLLPPDIISKAFGGEEVILKGSPEEKVVLAEKSEPSHLPEQILPPPPPPPPPPPPPPPVIPHPAAPSAAQANAILAPVKSNPVVSQTLSPGFVGPNILNPVLPVAIMASAQPAAIPSDETAPGVSESDRDQTLFSVLVRPPPPLSSVFSEQAKKLEKRNSCLATANAKDLYDIFYSSGGKGAPETKGAPETKLSGGPLANGENSNLSRTKSSDTSSTSPLNSSASQEELHQDEGLVAAPIVSNSEKPIAKTLVALGKWSVVEHVGPKSTGSTYGFLQPLTRLCQSRPYETITPKTDTLAIWTSSSFQSDTSRDISPEKSELDLGEPGPPGVEPPPQLLDIQCKESQKLVEIHLRESVNQDKESQELRKSEDCRESEIETNTELKERVKELSEGIVDEGVSTSIGPHSIDDSNLNHGNRYMWEGEVKQPNLLMIDKEAEQSNKLMTGSETPSKVVIKLSPQACSFTKAKLDSFLSEARSLLNPQDTPVKISAPELLLHSPARSAMCLTGSPQEQGVSVVSEEGLENSAPESASRTSRYRSLKLKRERSKDFQVKKIYELAVWDENKKRPETWESPEKPKTEALELQDVHPELTVTIESKALEDFEATDLKVEELTALGNLGDMPVDFCTTRVSPAHRSPTVLCQKVCEENSVSPIGCNSSDPADFEPIPSFSGFPLDSPKTLVLDFETEGERNSPNPRSVRIPSPNILKTGLTENVDRGLGGLEGTHQALDLLAGGMMPEEVKESSQLDKQESLGLELKTINSAGLGPSPCLPDLVDFVTRTSGVQKDKLCSPLSEPGDPSKCSSLELGPLQLEISNASTTEVAILQVDDDSGDPLNLVKAPVSRSPPREQVIEDNMVPQGMPEQETTVGAIQDHTESSVHN
+>DECOY_sp|Q5VUA4|ZN318_HUMAN Zinc finger protein 318 OS=Homo sapiens OX=9606 GN=ZNF318 PE=1 SV=2
+NHVSSETHDQIAGVTTEQEPMGQPVMNDEIVQERPPSRSVPAKVLNLPDGSDDDVQLIAVETTSANSIELQLPGLELSSCKSPDGPESLPSCLKDKQVGSTRTVFDVLDPLCPSPGLGASNITKLELGLSEQKDLQSSEKVEEPMMGGALLDLAQHTGELGGLGRDVNETLGTKLINPSPIRVSRPNPSNREGETEFDLVLTKPSDLPFGSFSPIPEFDAPDSSNCGIPSVSNEECVKQCLVTPSRHAPSVRTTCFDVPMDGLNGLATLEEVKLDTAEFDELAKSEITVTLEPHVDQLELAETKPKEPSEWTEPRKKNEDWVALEYIKKVQFDKSRERKLKLSRYRSTRSASEPASNELGEESVVSVGQEQPSGTLCMASRAPSHLLLEPASIKVPTDQPNLLSRAESLFSDLKAKTFSCAQPSLKIVVKSPTESGTMLKNSQEAEKDIMLLNPQKVEGEWMYRNGHNLNSDDISHPGISTSVGEDVIGESLEKVREKLETNTEIESERCDESKRLEQSEKDQNVSERLHIEVLKQSEKCQIDLLQPPPEVGPPGPEGLDLESKEPSIDRSTDSQFSSSTWIALTDTKPTITEYPRSQCLRTLPQLFGYTSGTSKPGVHEVVSWKGLAVLTKAIPKESNSVIPAAVLGEDQHLEEQSASSNLPSTSSTDSSKTRSLNSNEGNALPGGSLKTEPAGKTEPAGKGGSSYFIDYLDKANATALCSNRKELKKAQESFVSSLPPPPRVLVSFLTQDRDSESVGPATEDSPIAAPQASAMIAVPLVPNLINPGVFGPSLTQSVVPNSKVPALIANAQAASPAAPHPIVPPPPPPPPPPPPPPPLIQEPLHSPESKEALVVKEEPSGKLIVEEGGFAKSIIDPPLLLDASSEKVVPLPKATTGSSKISLFTNLPAPKSMTASCSKRLVTSPIPLNPRIKTQTSTTVVPMWPSTHAVVTKGSLKIKIPKAKGAETKGDEKDKSSELIEEKSISPTVLSSKEEEKEPKKWSFKGFSSSTPSEKKVEEKLQLKIGTNRKNEAKEPSNRGESLQDELKESVKDDEKVEKVAKAKKEKKEEKPKESLKRKLESQRRRETELVVALGAQRDLNRREEYLPNEDVYKKYKENHQHGKVHQEGSIPDGLFEECLQCYFGSIPVLFESGKAPVTIKDTRKIADQKAESQTKSAWPRNYPDLTQTHKKNHMHTFFDFMTGCITNCDKCWHNGADYYEYAATPQDLQKTASQPSEAPKPSKTRFKENNVKSEKNSSSNSFSSVKEPSKSKEAKGPKETPERSDSLSKQSKDFINIGLIQAVKDLESQKKEAEEAEQRLEAIDKMINDQLRSVEVLLPDKHGDKERRKKRLMEGQQKHLRELETRLYYMKKQRAERDNKLKEREEIVKQKQSARNKEDSIKEPNYRILSPISVQVPVQAAPISGRLSEKQKPKDPTVTPIVRLNPRSRTAQKTIPMIRHPEPVPHNSPQSTPYMPWRSAAYAMYHRYADFSAPPIAPGQYNPPIRAAAFQSARPMHFQSLAATHPLRIPASPASPLMCRVAVSSQFGSGVVEPGSIHGVPHDSKLFPPSNKTLLYPDVPSPPHPHSVEPSHTNSHHAERSELRHPDSSRRDASFCHDVSSCRDASFRHDVSSCHDASFYRDVSSRRDASSRLSPKKGHLREQTRAALQSIEAVGIDLGITKLLDHIKAYEPNTEELSELKVAPASSPLSSAKQRMVESESSGLPKPVSEAKLDEEEDGYLFKEEDEIDPFSRRRKEQTSTSDALMSLIRSFGSGDQSAREHPLLFDTHREPGEAKLDLNDKHCLFSGFKERLPSNDKPIGPLPGWQYLNGQPEKLATEVMTGKNEIESAFAAIAGSLPLSPHGSYLPHDQSSSTSSSFSELQMSPEMIDVEIRKKLISKKPMVSVEEPRHLSYGPESATLVGSLGPISCGTGGGDVGVLEQSLSRSREEEEERKRRALDLERFEPDLFRPSPSRRRQRYNRTGSTFSPHDGGMSNIKVTDDYRPRKAERSREESRISYGKLKERNRETGRLLEDHCSIHPSYDSRHLFTEKTRYDEDLQGLFPSLPGEEQSIYRELGRSCQSSRTFVSDDTLDDRDMDELNDVPSGLRDSLRRREPTSVCFHDNGVTIRLSKELSDSCLGPSRRRSGSDGPHDGRGDRAYDARSEGRSSGRFGAPGPPFLRRGRPPSPSGRRARPPSPSVRRGRPPSPSARRGRHGSPSRPRRAPTRSSSGSPPPPPSSRRAPGSSSGSSRGSRPGGGGDDKPRHSSVSSRASSRYM
+>sp|Q5BKZ1|ZN326_HUMAN DBIRD complex subunit ZNF326 OS=Homo sapiens OX=9606 GN=ZNF326 PE=1 SV=2
+MDFEDDYTHSACRNTYQGFNGMDRDYGPGSYGGMDRDYGHGSYGGQRSMDSYLNQSYGMDNHSGGGGGSRFGPYESYDSRSSLGGRDLYRSGYGFNEPEQSRFGGSYGGRFESSYRNSLDSFGGRNQGGSSWEAPYSRSKLRPGFMEDRGRENYSSYSSFSSPHMKPAPVGSRGRGTPAYPESTFGSRNYDAFGGPSTGRGRGRGHMGDFGSIHRPGIVVDYQNKSTNVTVAAARGIKRKMMQPFNKPSGTFIKKPKLAKPMEKISLSKSPTKTDPKNEEEEKRRIEARREKQRRRREKNSEKYGDGYRMAFTCSFCKFRTFEEKDIELHLESSSHQETLDHIQKQTKFDKVVMEFLHECMVNKFKKTSIRKQQTNNQTEVVKIIEKDVMEGVTVDDHMMKVETVHCSACSVYIPALHSSVQQHLKSPDHIKGKQAYKEQIKRESVLTATSILNNPIVKARYERFVKGENPFEIQDHSQDQQIEGDEEDEEKIDEPIEEEEDEDEEEEAEEVGEVEEVEEVEEVREGGIEGEGNIQGVGEGGEVGVVGEVEGVGEVEEVEELEEETAKEEPADFPVEQPEEN
+>DECOY_sp|Q5BKZ1|ZN326_HUMAN DBIRD complex subunit ZNF326 OS=Homo sapiens OX=9606 GN=ZNF326 PE=1 SV=2
+NEEPQEVPFDAPEEKATEEELEEVEEVEGVGEVEGVVGVEGGEGVGQINGEGEIGGERVEEVEEVEEVEGVEEAEEEEDEDEEEEIPEDIKEEDEEDGEIQQDQSHDQIEFPNEGKVFREYRAKVIPNNLISTATLVSERKIQEKYAQKGKIHDPSKLHQQVSSHLAPIYVSCASCHVTEVKMMHDDVTVGEMVDKEIIKVVETQNNTQQKRISTKKFKNVMCEHLFEMVVKDFKTQKQIHDLTEQHSSSELHLEIDKEEFTRFKCFSCTFAMRYGDGYKESNKERRRRQKERRAEIRRKEEEENKPDTKTPSKSLSIKEMPKALKPKKIFTGSPKNFPQMMKRKIGRAAAVTVNTSKNQYDVVIGPRHISGFDGMHGRGRGRGTSPGGFADYNRSGFTSEPYAPTGRGRSGVPAPKMHPSSFSSYSSYNERGRDEMFGPRLKSRSYPAEWSSGGQNRGGFSDLSNRYSSEFRGGYSGGFRSQEPENFGYGSRYLDRGGLSSRSDYSEYPGFRSGGGGGSHNDMGYSQNLYSDMSRQGGYSGHGYDRDMGGYSGPGYDRDMGNFGQYTNRCASHTYDDEFDM
+>sp|Q9Y3M9|ZN337_HUMAN Zinc finger protein 337 OS=Homo sapiens OX=9606 GN=ZNF337 PE=1 SV=2
+MGPQGARRQAFLAFGDVTVDFTQKEWRLLSPAQRALYREVTLENYSHLVSLGILHSKPELIRRLEQGEVPWGEERRRRPGPCAGIYAEHVLRPKNLGLAHQRQQQLQFSDQSFQSDTAEGQEKEKSTKPMAFSSPPLRHAVSSRRRNSVVEIESSQGQRENPTEIDKVLKGIENSRWGAFKCAERGQDFSRKMMVIIHKKAHSRQKLFTCRECHQGFRDESALLLHQNTHTGEKSYVCSVCGRGFSLKANLLRHQRTHSGEKPFLCKVCGRGYTSKSYLTVHERTHTGEKPYECQECGRRFNDKSSYNKHLKAHSGEKPFVCKECGRGYTNKSYFVVHKRIHSGEKPYRCQECGRGFSNKSHLITHQRTHSGEKPFACRQCKQSFSVKGSLLRHQRTHSGEKPFVCKDCERSFSQKSTLVYHQRTHSGEKPFVCRECGQGFIQKSTLVKHQITHSEEKPFVCKDCGRGFIQKSTFTLHQRTHSEEKPYGCRECGRRFRDKSSYNKHLRAHLGEKRFFCRDCGRGFTLKPNLTIHQRTHSGEKPFMCKQCEKSFSLKANLLRHQWTHSGERPFNCKDCGRGFILKSTLLFHQKTHSGEKPFICSECGQGFIWKSNLVKHQLAHSGKQPFVCKECGRGFNWKGNLLTHQRTHSGEKPFVCNVCGQGFSWKRSLTRHHWRIHSKEKPFVCQECKRGYTSKSDLTVHERIHTGERPYECQECGRKFSNKSYYSKHLKRHLREKRFCTGSVGEASS
+>DECOY_sp|Q9Y3M9|ZN337_HUMAN Zinc finger protein 337 OS=Homo sapiens OX=9606 GN=ZNF337 PE=1 SV=2
+SSAEGVSGTCFRKERLHRKLHKSYYSKNSFKRGCEQCEYPREGTHIREHVTLDSKSTYGRKCEQCVFPKEKSHIRWHHRTLSRKWSFGQGCVNCVFPKEGSHTRQHTLLNGKWNFGRGCEKCVFPQKGSHALQHKVLNSKWIFGQGCESCIFPKEGSHTKQHFLLTSKLIFGRGCDKCNFPREGSHTWQHRLLNAKLSFSKECQKCMFPKEGSHTRQHITLNPKLTFGRGCDRCFFRKEGLHARLHKNYSSKDRFRRGCERCGYPKEESHTRQHLTFTSKQIFGRGCDKCVFPKEESHTIQHKVLTSKQIFGQGCERCVFPKEGSHTRQHYVLTSKQSFSRECDKCVFPKEGSHTRQHRLLSGKVSFSQKCQRCAFPKEGSHTRQHTILHSKNSFGRGCEQCRYPKEGSHIRKHVVFYSKNTYGRGCEKCVFPKEGSHAKLHKNYSSKDNFRRGCEQCEYPKEGTHTREHVTLYSKSTYGRGCVKCLFPKEGSHTRQHRLLNAKLSFGRGCVSCVYSKEGTHTNQHLLLASEDRFGQHCERCTFLKQRSHAKKHIIVMMKRSFDQGREACKFAGWRSNEIGKLVKDIETPNERQGQSSEIEVVSNRRRSSVAHRLPPSSFAMPKTSKEKEQGEATDSQFSQDSFQLQQQRQHALGLNKPRLVHEAYIGACPGPRRRREEGWPVEGQELRRILEPKSHLIGLSVLHSYNELTVERYLARQAPSLLRWEKQTFDVTVDGFALFAQRRAGQPGM
+>sp|Q9BYN7|ZN341_HUMAN Zinc finger protein 341 OS=Homo sapiens OX=9606 GN=ZNF341 PE=1 SV=2
+MAQAIFEALEGMDNQTVLAVQSLLDGQGAVPDPTGQSVNAPPAIQPLDDEDVFLCGKCKKQFNSLPAFMTHKREQCQGNAPALATVSLATNSIYPPSAAPTAVQQAPTPANRQISTYITVPPSPLIQTLVQGNILVSDDVLMSAMSAFTSLDQPMPQGPPPVQSSLNMHSVPSYLTQPPPPPPPPPPLPPPPPPQPPPPPPQSLGPPGRPNPGGNGVVEVYSAAAPLAGSGTVEIQALGMQPYPPLEVPNQCVEPPVYPTPTVYSPGKQGFKPKGPNPAAPMTSATGGTVATFDSPATLKTRRAKGARGLPEAAGKPKAQKLKCSYCDKSFTKNFDLQQHIRSHTGEKPFQCIACGRAFAQKSNVKKHMQTHKVWPPGHSGGTVSRNSVTVQVMALNPSRQEDEESTGLGQPLPGAPQPQALSTAGEEEGDKPESKQVVLIDSSYLCQFCPSKFSTYFQLKSHMTQHKNEQVYKCVVKSCAQTFPKLDTFLEHIKSHQEELSYRCHLCGKDFPSLYDLGVHQYSHSLLPQHSPKKDNAVYKCVKCVNKYSTPEALEHHLQTATHNFPCPHCQKVFPCERYLRRHLPTHGSGGRFKCQVCKKFFRREHYLKLHAHIHSGEKPYKCSVCESAFNRKDKLKRHMLIHEPFKKYKCPFSTHTGCSKEFNRPDKLKAHILSHSGMKLHKCALCSKSFSRRAHLAEHQRAHTGNYKFRCAGCAKGFSRHKYLKDHRCRLGPQKDKDLQTRRPPQRRAAPRSCGSGGRKVLTPLPDPLGLEELKDTGAGLVPEAVPGKPPFAEPDAVLSIVVGGAVGAETELVVPGHAEGLGSNLALAELQAGAEGPCAMLAVPVYIQASE
+>DECOY_sp|Q9BYN7|ZN341_HUMAN Zinc finger protein 341 OS=Homo sapiens OX=9606 GN=ZNF341 PE=1 SV=2
+ESAQIYVPVALMACPGEAGAQLEALALNSGLGEAHGPVVLETEAGVAGGVVISLVADPEAFPPKGPVAEPVLGAGTDKLEELGLPDPLPTLVKRGGSGCSRPAARRQPPRRTQLDKDKQPGLRCRHDKLYKHRSFGKACGACRFKYNGTHARQHEALHARRSFSKSCLACKHLKMGSHSLIHAKLKDPRNFEKSCGTHTSFPCKYKKFPEHILMHRKLKDKRNFASECVSCKYPKEGSHIHAHLKLYHERRFFKKCVQCKFRGGSGHTPLHRRLYRECPFVKQCHPCPFNHTATQLHHELAEPTSYKNVCKVCKYVANDKKPSHQPLLSHSYQHVGLDYLSPFDKGCLHCRYSLEEQHSKIHELFTDLKPFTQACSKVVCKYVQENKHQTMHSKLQFYTSFKSPCFQCLYSSDILVVQKSEPKDGEEEGATSLAQPQPAGPLPQGLGTSEEDEQRSPNLAMVQVTVSNRSVTGGSHGPPWVKHTQMHKKVNSKQAFARGCAICQFPKEGTHSRIHQQLDFNKTFSKDCYSCKLKQAKPKGAAEPLGRAGKARRTKLTAPSDFTAVTGGTASTMPAAPNPGKPKFGQKGPSYVTPTPYVPPEVCQNPVELPPYPQMGLAQIEVTGSGALPAAASYVEVVGNGGPNPRGPPGLSQPPPPPPQPPPPPPLPPPPPPPPPPQTLYSPVSHMNLSSQVPPPGQPMPQDLSTFASMASMLVDDSVLINGQVLTQILPSPPVTIYTSIQRNAPTPAQQVATPAASPPYISNTALSVTALAPANGQCQERKHTMFAPLSNFQKKCKGCLFVDEDDLPQIAPPANVSQGTPDPVAGQGDLLSQVALVTQNDMGELAEFIAQAM
+>sp|Q5T0B9|ZN362_HUMAN Zinc finger protein 362 OS=Homo sapiens OX=9606 GN=ZNF362 PE=1 SV=1
+MSRSSPSGKGHSRMAEPRFNNPYFWPPPPTMPSQLDNLVLINKIKEQLMAEKIRPPHLPPTSASSQQPLLVPPAPAESSQAVMSLPKLQQVPGLHPQAVPQPDVALHARPATSTVTGLGLSTRTPSVSTSESSAGAGTGTGTSTPSTPTTTSQSRLIASSPTLISGITSPPLLDSIKTIQGHGLLGPPKSERGRKKIKAENPGGPPVLVVPYPILASGETAKEGKTYRCKVCPLTFFTKSEMQIHSKSHTEAKPHKCPHCSKSFANASYLAQHLRIHLGVKPYHCSYCDKSFRQLSHLQQHTRIHTGDRPYKCPHPGCEKAFTQLSNLQSHQRQHNKDKPYKCPNCYRAYSDSASLQIHLSAHAIKHAKAYCCSMCGRAYTSETYLMKHMSKHTVVEHLVSHHSPQRTESPGIPVRISLI
+>DECOY_sp|Q5T0B9|ZN362_HUMAN Zinc finger protein 362 OS=Homo sapiens OX=9606 GN=ZNF362 PE=1 SV=1
+ILSIRVPIGPSETRQPSHHSVLHEVVTHKSMHKMLYTESTYARGCMSCCYAKAHKIAHASLHIQLSASDSYARYCNPCKYPKDKNHQRQHSQLNSLQTFAKECGPHPCKYPRDGTHIRTHQQLHSLQRFSKDCYSCHYPKVGLHIRLHQALYSANAFSKSCHPCKHPKAETHSKSHIQMESKTFFTLPCVKCRYTKGEKATEGSALIPYPVVLVPPGGPNEAKIKKRGRESKPPGLLGHGQITKISDLLPPSTIGSILTPSSAILRSQSTTTPTSPTSTGTGTGAGASSESTSVSPTRTSLGLGTVTSTAPRAHLAVDPQPVAQPHLGPVQQLKPLSMVAQSSEAPAPPVLLPQQSSASTPPLHPPRIKEAMLQEKIKNILVLNDLQSPMTPPPPWFYPNNFRPEAMRSHGKGSPSSRSM
+>sp|Q70YC5|ZN365_HUMAN Protein ZNF365 OS=Homo sapiens OX=9606 GN=ZNF365 PE=1 SV=3
+MQQKAFEESRYPWQESFENVAVCLPLRCPRCGDHTRFRSLSSLRAHLEFSHSYEERTLLTKCSLFPSLKDTDLVTSSELLKPGKLQSSGNVVKQKPSYVNLYSISHEHSKDRKPFEVVAERPVSYVQTYTAMDLHADSLDGTRSGPGLPTSDTKASFEAHVREKFNRMVEAVDRTIEKRIDKLTKELAQKTAELLEVRAAFVQLTQKKQEVQRRERALNRQVDVAVEMIAVLRQRLTESEEELLRKEEEVVTFNHFLEAAAEKEVQGKARLQDFIENLLQRVELAEKQLEYYQSQQASGFVRDLSGHVLTDISSNRKPKCLSRGHPHSVCNHPDLKAHFHPKGRNHLKKAKDDRASMQPAKAIHEQAESSRDLCRPPKKGELLGFGRKGNIRPKMAKKKPTAIVNII
+>DECOY_sp|Q70YC5|ZN365_HUMAN Protein ZNF365 OS=Homo sapiens OX=9606 GN=ZNF365 PE=1 SV=3
+IINVIATPKKKAMKPRINGKRGFGLLEGKKPPRCLDRSSEAQEHIAKAPQMSARDDKAKKLHNRGKPHFHAKLDPHNCVSHPHGRSLCKPKRNSSIDTLVHGSLDRVFGSAQQSQYYELQKEALEVRQLLNEIFDQLRAKGQVEKEAAAELFHNFTVVEEEKRLLEEESETLRQRLVAIMEVAVDVQRNLARERRQVEQKKQTLQVFAARVELLEATKQALEKTLKDIRKEITRDVAEVMRNFKERVHAEFSAKTDSTPLGPGSRTGDLSDAHLDMATYTQVYSVPREAVVEFPKRDKSHEHSISYLNVYSPKQKVVNGSSQLKGPKLLESSTVLDTDKLSPFLSCKTLLTREEYSHSFELHARLSSLSRFRTHDGCRPCRLPLCVAVNEFSEQWPYRSEEFAKQQM
+>sp|Q53GI3|ZN394_HUMAN Zinc finger protein 394 OS=Homo sapiens OX=9606 GN=ZNF394 PE=1 SV=2
+MNSSLTAQRRGSDAELGPWVMAARSKDAAPSQRDGLLPVKVEEDSPGSWEPNYPAASPDPETSRLHFRQLRYQEVAGPEEALSRLRELCRRWLRPELLSKEQILELLVLEQFLTILPEELQAWVREHCPESGEEAVAVVRALQRALDGTSSQGMVTFEDTAVSLTWEEWERLDPARRDFCRESAQKDSGSTVPPSLESRVENKELIPMQQILEEAEPQGQLQEAFQGKRPLFSKCGSTHEDRVEKQSGDPLPLKLENSPEAEGLNSISDVNKNGSIEGEDSKNNELQNSARCSNLVLCQHIPKAERPTDSEEHGNKCKQSFHMVTWHVLKPHKSDSGDSFHHSSLFETQRQLHEERPYKCGNCGKSFKQRSDLFRHQRIHTGEKPYGCQECGKSFSQSAALTKHQRTHTGEKPYTCLKCGERFRQNSHLNRHQSTHSRDKHFKCEECGETCHISNLFRHQRLHKGERPYKCEECEKSFKQRSDLFKHHRIHTGEKPYGCSVCGKRFNQSATLIKHQRIHTGEKPYKCLECGERFRQSTHLIRHQRIHQNKVLSAGRGGSRL
+>DECOY_sp|Q53GI3|ZN394_HUMAN Zinc finger protein 394 OS=Homo sapiens OX=9606 GN=ZNF394 PE=1 SV=2
+LRSGGRGASLVKNQHIRQHRILHTSQRFREGCELCKYPKEGTHIRQHKILTASQNFRKGCVSCGYPKEGTHIRHHKFLDSRQKFSKECEECKYPREGKHLRQHRFLNSIHCTEGCEECKFHKDRSHTSQHRNLHSNQRFREGCKLCTYPKEGTHTRQHKTLAASQSFSKGCEQCGYPKEGTHIRQHRFLDSRQKFSKGCNGCKYPREEHLQRQTEFLSSHHFSDGSDSKHPKLVHWTVMHFSQKCKNGHEESDTPREAKPIHQCLVLNSCRASNQLENNKSDEGEISGNKNVDSISNLGEAEPSNELKLPLPDGSQKEVRDEHTSGCKSFLPRKGQFAEQLQGQPEAEELIQQMPILEKNEVRSELSPPVTSGSDKQASERCFDRRAPDLREWEEWTLSVATDEFTVMGQSSTGDLARQLARVVAVAEEGSEPCHERVWAQLEEPLITLFQELVLLELIQEKSLLEPRLWRRCLERLRSLAEEPGAVEQYRLQRFHLRSTEPDPSAAPYNPEWSGPSDEEVKVPLLGDRQSPAADKSRAAMVWPGLEADSGRRQATLSSNM
+>sp|Q8NF99|ZN397_HUMAN Zinc finger protein 397 OS=Homo sapiens OX=9606 GN=ZNF397 PE=1 SV=2
+MAVESGVISTLIPQDPPEQELILVKVEDNFSWDEKFKQNGSTQSCQELFRQQFRKFCYQETPGPREALSRLQELCYQWLMPELHTKEQILELLVLEQFLSILPEELQIWVQQHNPESGEEAVTLLEDLEREFDDPGQQVPASPQGPAVPWKDLTCLRASQESTDIHLQPLKTQLKSWKPCLSPKSDCENSETATKEGISEEKSQGLPQEPSFRGISEHESNLVWKQGSATGEKLRSPSQGGSFSQVIFTNKSLGKRDLYDEAERCLILTTDSIMCQKVPPEERPYRCDVCGHSFKQHSSLTQHQRIHTGEKPYKCNQCGKAFSLRSYLIIHQRIHSGEKAYECSECGKAFNQSSALIRHRKIHTGEKACKCNECGKAFSQSSYLIIHQRIHTGEKPYECNECGKTFSQSSKLIRHQRIHTGERPYECNECGKAFRQSSELITHQRIHSGEKPYECSECGKAFSLSSNLIRHQRIHSGEEPYQCNECGKTFKRSSALVQHQRIHSGDEAYICNECGKAFRHRSVLMRHQRVHTIK
+>DECOY_sp|Q8NF99|ZN397_HUMAN Zinc finger protein 397 OS=Homo sapiens OX=9606 GN=ZNF397 PE=1 SV=2
+KITHVRQHRMLVSRHRFAKGCENCIYAEDGSHIRQHQVLASSRKFTKGCENCQYPEEGSHIRQHRILNSSLSFAKGCESCEYPKEGSHIRQHTILESSQRFAKGCENCEYPREGTHIRQHRILKSSQSFTKGCENCEYPKEGTHIRQHIILYSSQSFAKGCENCKCAKEGTHIKRHRILASSQNFAKGCESCEYAKEGSHIRQHIILYSRLSFAKGCQNCKYPKEGTHIRQHQTLSSHQKFSHGCVDCRYPREEPPVKQCMISDTTLILCREAEDYLDRKGLSKNTFIVQSFSGGQSPSRLKEGTASGQKWVLNSEHESIGRFSPEQPLGQSKEESIGEKTATESNECDSKPSLCPKWSKLQTKLPQLHIDTSEQSARLCTLDKWPVAPGQPSAPVQQGPDDFERELDELLTVAEEGSEPNHQQVWIQLEEPLISLFQELVLLELIQEKTHLEPMLWQYCLEQLRSLAERPGPTEQYCFKRFQQRFLEQCSQTSGNQKFKEDWSFNDEVKVLILEQEPPDQPILTSIVGSEVAM
+>sp|Q9H9D4|ZN408_HUMAN Zinc finger protein 408 OS=Homo sapiens OX=9606 GN=ZNF408 PE=1 SV=1
+MEEAEELLLEGKKALQLAREPRLGLDLGWNPSGEGCTQGLKDVPPEPTRDILALKSLPRGLALGPSLAKEQRLGVWCVGDPLQPGLLWGPLEEESASKEKGEGVKPRQEENLSLGPWGDVCACEQSSGWTSLVQRGRLESEGNVAPVRISERLHLQVYQLVLPGSELLLWPQPSSEGPSLTQPGLDKEAAVAVVTEVESAVQQEVASPGEDAAEPCIDPGSQSPSGIQAENMVSPGLKFPTQDRISKDSQPLGPLLQDGDVDEECPAQAQMPPELQSNSATQQDPDGSGASFSSSARGTQPHGYLAKKLHSPSDQCPPRAKTPEPGAQQSGFPTLSRSPPGPAGSSPKQGRRYRCGECGKAFLQLCHLKKHAFVHTGHKPFLCTECGKSYSSEESFKAHMLGHRGVRPFPCPQCDKAYGTQRDLKEHQVVHSGARPFACDQCGKAFARRPSLRLHRKTHQVPAAPAPCPCPVCGRPLANQGSLRNHMRLHTGEKPFLCPHCGRAFRQRGNLRGHLRLHTGERPYRCPHCADAFPQLPELRRHLISHTGEAHLCPVCGKALRDPHTLRAHERLHSGERPFPCPQCGRAYTLATKLRRHLKSHLEDKPYRCPTCGMGYTLPQSLRRHQLSHRPEAPCSPPSVPSAASEPTVVLLQAEPQLLDTHREEEVSPARDVVEVTISESQEKCFVVPEEPDAAPSLVLIHKDMGLGAWAEVVEVEMGT
+>DECOY_sp|Q9H9D4|ZN408_HUMAN Zinc finger protein 408 OS=Homo sapiens OX=9606 GN=ZNF408 PE=1 SV=1
+TGMEVEVVEAWAGLGMDKHILVLSPAADPEEPVVFCKEQSESITVEVVDRAPSVEEERHTDLLQPEAQLLVVTPESAASPVSPPSCPAEPRHSLQHRRLSQPLTYGMGCTPCRYPKDELHSKLHRRLKTALTYARGCQPCPFPREGSHLREHARLTHPDRLAKGCVPCLHAEGTHSILHRRLEPLQPFADACHPCRYPREGTHLRLHGRLNGRQRFARGCHPCLFPKEGTHLRMHNRLSGQNALPRGCVPCPCPAPAAPVQHTKRHLRLSPRRAFAKGCQDCAFPRAGSHVVQHEKLDRQTGYAKDCQPCPFPRVGRHGLMHAKFSEESSYSKGCETCLFPKHGTHVFAHKKLHCLQLFAKGCEGCRYRRGQKPSSGAPGPPSRSLTPFGSQQAGPEPTKARPPCQDSPSHLKKALYGHPQTGRASSSFSAGSGDPDQQTASNSQLEPPMQAQAPCEEDVDGDQLLPGLPQSDKSIRDQTPFKLGPSVMNEAQIGSPSQSGPDICPEAADEGPSAVEQQVASEVETVVAVAAEKDLGPQTLSPGESSPQPWLLLESGPLVLQYVQLHLRESIRVPAVNGESELRGRQVLSTWGSSQECACVDGWPGLSLNEEQRPKVGEGKEKSASEEELPGWLLGPQLPDGVCWVGLRQEKALSPGLALGRPLSKLALIDRTPEPPVDKLGQTCGEGSPNWGLDLGLRPERALQLAKKGELLLEEAEEM
+>sp|Q8N7K0|ZN433_HUMAN Zinc finger protein 433 OS=Homo sapiens OX=9606 GN=ZNF433 PE=1 SV=1
+MMFQDSVAFEDVAVTFTQEEWALLDPSQKNLCRDVMQETFRNLASIGKKWKPQNIYVEYENLRRNLRIVGERLFESKEGHQHGEILTQVPDDMLKKTTTGVKSCESSVYGEVGSAHSSLNRHIRDDTGHKAYEYQEYGQKPYKCKYCKKPFNCLSSVQTHERAHSGRKLYVCEECGKTFISHSNLQRHRIMHRGDGPYKCKFCGKALMFLSLYLIHKRTHTGEKPYQCKQCGKAFSHSSSLRIHERTHTGEKPYKCNECGKAFHSSTCLHAHKRTHTGEKPYECKQCGKAFSSSHSFQIHERTHTGEKPYECKECGKAFKCPSSVRRHERTHSRKKPYECKHCGKVLSYLTSFQNHLGMHTGEISHKCKICGKAFYSPSSLQTHEKTHTGEKPYKCNQCGKAFNSSSSFRYHERTHTGEKPYECKQCGKAFRSASLLQTHGRTHTGEKPYACKECGKPFSNFSFFQIHERMHREEKPYECKGYGKTFSLPSLFHRHERTHTGGKTYECKQCGRSFNCSSSFRYHGRTHTGEKPYECKQCGKAFRSASQLQIHGRTHTGEKPYECKQCGKAFGSASHLQMHGRTHTGEKPYECKQCGKSFGCASRLQMHGRTHTGEKPYKCKQCGKAFGCPSNLRRHGRTHTGEKPYKCNQCGKVFRCSSQLQVHGRAHCIDTP
+>DECOY_sp|Q8N7K0|ZN433_HUMAN Zinc finger protein 433 OS=Homo sapiens OX=9606 GN=ZNF433 PE=1 SV=1
+PTDICHARGHVQLQSSCRFVKGCQNCKYPKEGTHTRGHRRLNSPCGFAKGCQKCKYPKEGTHTRGHMQLRSACGFSKGCQKCEYPKEGTHTRGHMQLHSASGFAKGCQKCEYPKEGTHTRGHIQLQSASRFAKGCQKCEYPKEGTHTRGHYRFSSSCNFSRGCQKCEYTKGGTHTREHRHFLSPLSFTKGYGKCEYPKEERHMREHIQFFSFNSFPKGCEKCAYPKEGTHTRGHTQLLSASRFAKGCQKCEYPKEGTHTREHYRFSSSSNFAKGCQNCKYPKEGTHTKEHTQLSSPSYFAKGCIKCKHSIEGTHMGLHNQFSTLYSLVKGCHKCEYPKKRSHTREHRRVSSPCKFAKGCEKCEYPKEGTHTREHIQFSHSSSFAKGCQKCEYPKEGTHTRKHAHLCTSSHFAKGCENCKYPKEGTHTREHIRLSSSHSFAKGCQKCQYPKEGTHTRKHILYLSLFMLAKGCFKCKYPGDGRHMIRHRQLNSHSIFTKGCEECVYLKRGSHAREHTQVSSLCNFPKKCYKCKYPKQGYEQYEYAKHGTDDRIHRNLSSHASGVEGYVSSECSKVGTTTKKLMDDPVQTLIEGHQHGEKSEFLREGVIRLNRRLNEYEVYINQPKWKKGISALNRFTEQMVDRCLNKQSPDLLAWEEQTFTVAVDEFAVSDQFMM
+>sp|Q8NDP4|ZN439_HUMAN Zinc finger protein 439 OS=Homo sapiens OX=9606 GN=ZNF439 PE=1 SV=1
+MLSLSPILLYTCEMFQDPVAFKDVAVNFTQEEWALLDISQKNLYREVMLETFWNLTSIGKKWKDQNIEYEYQNPRRNFRSVTEEKVNEIKEDSHCGETFTPVPDDRLNFQKKKASPEVKSCDSFVCEVGLGNSSSNMNIRGDTGHKACECQEYGPKPWKSQQPKKAFRYHPSLRTQERDHTGKKPYACKECGKNIIYHSSIQRHMVVHSGDGPYKCKFCGKAFHCLSLYLIHERTHTGEKPYECKQCGKSFSYSATHRIHERTHIGEKPYECQECGKAFHSPRSCHRHERSHMGEKAYQCKECGKAFMCPRYVRRHERTHSRKKLYECKQCGKALSSLTSFQTHIRMHSGERPYECKTCGKGFYSAKSFQRHEKTHSGEKPYKCKQCGKAFTRSGSFRYHERTHTGEKPYECKQCGKAFRSAPNLQLHGRTHTGEKPYQCKECGKAFRSASQLRIHRRIHTGEKPYECKKCGKAFRYVQNFRFHERTQTHKNALWRKTL
+>DECOY_sp|Q8NDP4|ZN439_HUMAN Zinc finger protein 439 OS=Homo sapiens OX=9606 GN=ZNF439 PE=1 SV=1
+LTKRWLANKHTQTREHFRFNQVYRFAKGCKKCEYPKEGTHIRRHIRLQSASRFAKGCEKCQYPKEGTHTRGHLQLNPASRFAKGCQKCEYPKEGTHTREHYRFSGSRTFAKGCQKCKYPKEGSHTKEHRQFSKASYFGKGCTKCEYPREGSHMRIHTQFSTLSSLAKGCQKCEYLKKRSHTREHRRVYRPCMFAKGCEKCQYAKEGMHSREHRHCSRPSHFAKGCEQCEYPKEGIHTREHIRHTASYSFSKGCQKCEYPKEGTHTREHILYLSLCHFAKGCFKCKYPGDGSHVVMHRQISSHYIINKGCEKCAYPKKGTHDREQTRLSPHYRFAKKPQQSKWPKPGYEQCECAKHGTDGRINMNSSSNGLGVECVFSDCSKVEPSAKKKQFNLRDDPVPTFTEGCHSDEKIENVKEETVSRFNRRPNQYEYEINQDKWKKGISTLNWFTELMVERYLNKQSIDLLAWEEQTFNVAVDKFAVPDQFMECTYLLIPSLSLM
+>sp|Q8N8Z8|ZN441_HUMAN Zinc finger protein 441 OS=Homo sapiens OX=9606 GN=ZNF441 PE=2 SV=2
+MDSVAFEDVAINFTCEEWALLGPSQKSLYRDVMQETIRNLDCIGMIWQNHDIEEDQYKDLRRNLRCHMVERACEIKDNSQCGGPFTQTQDSIVNEKIPGVDPWESSECTDVLMGRSSLNCYVRVDSEHKPCEYQEYGEKPYTHTQCGTAFSYQPCFQIHERPQHGKKLYDCKECASFSSLENLQRHMAAHHGDGPRICKLCGNAFIWPSLFHMLRRTHTEEKPYEYEQCSTAFPAYSSTLRHERTHSGEKPYQCKQCGKAFSCSCYTQLYERTHTGEQSYECKQCGKAFYHLGSFQRHMIVHTGDGPHKCKICGKGFLSPSSVRRHKRTHTGEKPYECKYCGKAFSDCTGFRRHMITHTGDGPHKCKVCGKAFDSPSLCRRHETTHTGEKPYKCECGKAFSDFYYFRNHETTHTGEKPYKCKQCGKAFICCTYLQIHERIHTGERPYKCKQCGKAFRSSNYIRVHEKTHTGEKPYECKQCGKALSHLKSFQRHMIMHTGDGPHKCKICGKSFDSPSSFRRHERIHTGERPYKCKLCGKGFRSSSYIQLHERTHTGEKPYGCQQCGKALSDLSSFRRHMITHTGNGPHKCKICGKGFDYPSSVQRHERTHTGEKPYECKECGKAFSHSSYLRIHERVHTGEKPYKCKECGKPFHCPSAFHKHERTHSMEKPYKCKECGEAFHCISSFHKHEMTH
+>DECOY_sp|Q8N8Z8|ZN441_HUMAN Zinc finger protein 441 OS=Homo sapiens OX=9606 GN=ZNF441 PE=2 SV=2
+HTMEHKHFSSICHFAEGCEKCKYPKEMSHTREHKHFASPCHFPKGCEKCKYPKEGTHVREHIRLYSSHSFAKGCEKCEYPKEGTHTREHRQVSSPYDFGKGCIKCKHPGNGTHTIMHRRFSSLDSLAKGCQQCGYPKEGTHTREHLQIYSSSRFGKGCLKCKYPREGTHIREHRRFSSPSDFSKGCIKCKHPGDGTHMIMHRQFSKLHSLAKGCQKCEYPKEGTHTKEHVRIYNSSRFAKGCQKCKYPREGTHIREHIQLYTCCIFAKGCQKCKYPKEGTHTTEHNRFYYFDSFAKGCECKYPKEGTHTTEHRRCLSPSDFAKGCVKCKHPGDGTHTIMHRRFGTCDSFAKGCYKCEYPKEGTHTRKHRRVSSPSLFGKGCIKCKHPGDGTHVIMHRQFSGLHYFAKGCQKCEYSQEGTHTREYLQTYCSCSFAKGCQKCQYPKEGSHTREHRLTSSYAPFATSCQEYEYPKEETHTRRLMHFLSPWIFANGCLKCIRPGDGHHAAMHRQLNELSSFSACEKCDYLKKGHQPREHIQFCPQYSFATGCQTHTYPKEGYEQYECPKHESDVRVYCNLSSRGMLVDTCESSEWPDVGPIKENVISDQTQTFPGGCQSNDKIECAREVMHCRLNRRLDKYQDEEIDHNQWIMGICDLNRITEQMVDRYLSKQSPGLLAWEECTFNIAVDEFAVSDM
+>sp|Q9Y2A4|ZN443_HUMAN Zinc finger protein 443 OS=Homo sapiens OX=9606 GN=ZNF443 PE=2 SV=2
+MASVALEDVAVNFTREEWALLGPCQKNLYKDVMQETIRNLDCVVMKWKDQNIEDQYRYPRKNLRCRMLERFVESKDGTQCGETSSQIQDSIVTKNTLPGVGPCESSMRGEKVMGHSSLNCYIRVGAGHKPHEYHECGEKPDTHKQRGKAFSYHNSFQTHERLHTGKKPYDCKECGKSFSSLGNLQRHMAVQRGDGPYKCKLCGKAFFWPSLLHMHERTHTGEKPYECKQCSKAFSFYSSYLRHERTHTGEKPYECKQCSKAFPFYSSYLRHERTHTGEKPYKCKQCSKAFPDSSSCLIHERTHTGEKPYTCKQCGKAFSVSGSLQRHETTHSAEKPYACQQCGKAFHHLGSFQRHMIRHTGNGPHKCKICGKGFDCPSSLQSHERTHTGEKPYECKQCGKALSHRSSFRSHMIMHTGDGPHKCKVCGKAFVYPSVFQRHERTHTAEKPYKCKQCGKAYRISSSLRRHETTHTGEKPYKCKLGKACIDFCSFQNHKTTHTGEKPYECKECGKAFSRFRYLSRHKRTHTGEKPYECKTCRKAFGHYDNLKVHERIHSGEKPYECKECGKAFSWLTCFLRHERIHMREKSYECPQCGKAFTHSRFLQGHEKTHTGENPYECKECGKAFASLSSLHRHKKTHWKKTHTGENPYECKECGKAFASLSSLHRHKKTH
+>DECOY_sp|Q9Y2A4|ZN443_HUMAN Zinc finger protein 443 OS=Homo sapiens OX=9606 GN=ZNF443 PE=2 SV=2
+HTKKHRHLSSLSAFAKGCEKCEYPNEGTHTKKWHTKKHRHLSSLSAFAKGCEKCEYPNEGTHTKEHGQLFRSHTFAKGCQPCEYSKERMHIREHRLFCTLWSFAKGCEKCEYPKEGSHIREHVKLNDYHGFAKRCTKCEYPKEGTHTRKHRSLYRFRSFAKGCEKCEYPKEGTHTTKHNQFSCFDICAKGLKCKYPKEGTHTTEHRRLSSSIRYAKGCQKCKYPKEATHTREHRQFVSPYVFAKGCVKCKHPGDGTHMIMHSRFSSRHSLAKGCQKCEYPKEGTHTREHSQLSSPCDFGKGCIKCKHPGNGTHRIMHRQFSGLHHFAKGCQQCAYPKEASHTTEHRQLSGSVSFAKGCQKCTYPKEGTHTREHILCSSSDPFAKSCQKCKYPKEGTHTREHRLYSSYFPFAKSCQKCEYPKEGTHTREHRLYSSYFSFAKSCQKCEYPKEGTHTREHMHLLSPWFFAKGCLKCKYPGDGRQVAMHRQLNGLSSFSKGCEKCDYPKKGTHLREHTQFSNHYSFAKGRQKHTDPKEGCEHYEHPKHGAGVRIYCNLSSHGMVKEGRMSSECPGVGPLTNKTVISDQIQSSTEGCQTGDKSEVFRELMRCRLNKRPYRYQDEINQDKWKMVVCDLNRITEQMVDKYLNKQCPGLLAWEERTFNVAVDELAVSAM
+>sp|P59923|ZN445_HUMAN Zinc finger protein 445 OS=Homo sapiens OX=9606 GN=ZNF445 PE=1 SV=1
+MPPGRWHAAYPAQAQSSRERGRLQTVKKEEEDESYTPVQAARPQTLNRPGQELFRQLFRQLRYHESSGPLETLSRLRELCRWWLRPDVLSKAQILELLVLEQFLSILPGELRVWVQLHNPESGEEAVALLEELQRDLDGTSWRDPGPAQSPDVHWMGTGALRSAQIWSLASPLRSSSALGDHLEPPYEIEARDFLAGQSDTPAAQMPALFPREGCPGDQVTPTRSLTAQLQETMTFKDVEVTFSQDEWGWLDSAQRNLYRDVMLENYRNMASLVGPFTKPALISWLEAREPWGLNMQAAQPKGNPVAAPTGDDLQSKTNKFILNQEPLEEAETLAVSSGCPATSVSEGIGLRESFQQKSRQKDQCENPIQVRVKKEETNFSHRTGKDSEVSGSNSLDLKHVTYLRVSGRKESLKHGCGKHFRMSSHHYDYKKYGKGLRHMIGGFSLHQRIHSGLKGNKKDVCGKDFSLSSHHQRGQSLHTVGVSFKCSDCGRTFSHSSHLAYHQRLHTQEKAFKCRVCGKAFRWSSNCARHEKIHTGVKPYKCDLCEKAFRRLSAYRLHRETHAKKKFLELNQYRAALTYSSGFDHHLGDQSGEKLFDCSQCRKSFHCKSYVLEHQRIHTQEKPYKCTKCRKTFRWRSNFTRHMRLHEEEKFYKQDECREGFRQSPDCSQPQGAPAVEKTFLCQQCGKTFTRKKTLVDHQRIHTGEKPYQCSDCGKDFAYRSAFIVHKKKHAMKRKPEGGPSFSQDTVFQVPQSSHSKEEPYKCSQCGKAFRNHSFLLIHQRVHTGEKPYKCRECGKAFRWSSNLYRHQRIHSLQKQYDCHESEKTPNVEPKILTGEKRFWCQECGKTFTRKRTLLDHKGIHSGEKRYKCNLCGKSYDRNYRLVNHQRIHSTERPFKCQWCGKEFIGRHTLSSHQRKHTRAAQAERSPPARSSSQDTKLRLQKLKPSEEMPLEDCKEACSQSSRLTGLQDISIGKKCHKCSICGKTFNKSSQLISHKRFHTRERPFKCSKCGKTFRWSSNLARHMKNHIRD
+>DECOY_sp|P59923|ZN445_HUMAN Zinc finger protein 445 OS=Homo sapiens OX=9606 GN=ZNF445 PE=1 SV=1
+DRIHNKMHRALNSSWRFTKGCKSCKFPRERTHFRKHSILQSSKNFTKGCISCKHCKKGISIDQLGTLRSSQSCAEKCDELPMEESPKLKQLRLKTDQSSSRAPPSREAQAARTHKRQHSSLTHRGIFEKGCWQCKFPRETSHIRQHNVLRYNRDYSKGCLNCKYRKEGSHIGKHDLLTRKRTFTKGCEQCWFRKEGTLIKPEVNPTKESEHCDYQKQLSHIRQHRYLNSSWRFAKGCERCKYPKEGTHVRQHILLFSHNRFAKGCQSCKYPEEKSHSSQPVQFVTDQSFSPGGEPKRKMAHKKKHVIFASRYAFDKGCDSCQYPKEGTHIRQHDVLTKKRTFTKGCQQCLFTKEVAPAGQPQSCDPSQRFGERCEDQKYFKEEEHLRMHRTFNSRWRFTKRCKTCKYPKEQTHIRQHELVYSKCHFSKRCQSCDFLKEGSQDGLHHDFGSSYTLAARYQNLELFKKKAHTERHLRYASLRRFAKECLDCKYPKVGTHIKEHRACNSSWRFAKGCVRCKFAKEQTHLRQHYALHSSHSFTRGCDSCKFSVGVTHLSQGRQHHSSLSFDKGCVDKKNGKLGSHIRQHLSFGGIMHRLGKGYKKYDYHHSSMRFHKGCGHKLSEKRGSVRLYTVHKLDLSNSGSVESDKGTRHSFNTEEKKVRVQIPNECQDKQRSKQQFSERLGIGESVSTAPCGSSVALTEAEELPEQNLIFKNTKSQLDDGTPAAVPNGKPQAAQMNLGWPERAELWSILAPKTFPGVLSAMNRYNELMVDRYLNRQASDLWGWEDQSFTVEVDKFTMTEQLQATLSRTPTVQDGPCGERPFLAPMQAAPTDSQGALFDRAEIEYPPELHDGLASSSRLPSALSWIQASRLAGTGMWHVDPSQAPGPDRWSTGDLDRQLEELLAVAEEGSEPNHLQVWVRLEGPLISLFQELVLLELIQAKSLVDPRLWWRCLERLRSLTELPGSSEHYRLQRFLQRFLEQGPRNLTQPRAAQVPTYSEDEEEKKVTQLRGRERSSQAQAPYAAHWRGPPM
+>sp|Q14592|ZN460_HUMAN Zinc finger protein 460 OS=Homo sapiens OX=9606 GN=ZNF460 PE=1 SV=2
+MAAAWMAPAQESVTFEDVAVTFTQEEWGQLDVTQRALYVEVMLETCGLLVALGDSTKPETVEPIPSHLALPEEVSLQEQLAQGVPRYSYLGQAMDQDGPSEMQEYFLRPGTDPQSEKLHGKMSLEHEGLATADGICSMMIQNQVSPEDALYGFDSYGPVTDSLIHEGENSYKFEEMFNENCFLVQHEQILPRVKPYDCPECGKAFGKSKHLLQHHIIHTGEKPYKCLECGKDFNRRSHLTRHQRTHNGDKPFVCSECGRTFNRGSHLTRHQRVHSGEKPFVCNECGKAFTYRSNFVLHNKSHNEKKPFACSECGKGFYESTALIQHFIIHTGERPFKCLECGKAFNCRSHLKQHERIHTGEKPFVCSQCGKAFTHYSTYVLHERAHTGEKPFECKECGKAFSIRKDLIRHFNIHTGEKPYECLQCGKAFTRMSGLTRHQWIHTGEKPYVCIQCGKAFCRTTNLIRHFSIHTGEKPYECVECGKAFNRRSPLTRHQRIHTAEKSHEPIQSGNVSCESTDLIQHSIIHTESSPVSAVNMETPSIAAHSSSLDINGFIVEETLPL
+>DECOY_sp|Q14592|ZN460_HUMAN Zinc finger protein 460 OS=Homo sapiens OX=9606 GN=ZNF460 PE=1 SV=2
+LPLTEEVIFGNIDLSSSHAAISPTEMNVASVPSSETHIISHQILDTSECSVNGSQIPEHSKEATHIRQHRTLPSRRNFAKGCEVCEYPKEGTHISFHRILNTTRCFAKGCQICVYPKEGTHIWQHRTLGSMRTFAKGCQLCEYPKEGTHINFHRILDKRISFAKGCEKCEFPKEGTHAREHLVYTSYHTFAKGCQSCVFPKEGTHIREHQKLHSRCNFAKGCELCKFPREGTHIIFHQILATSEYFGKGCESCAFPKKENHSKNHLVFNSRYTFAKGCENCVFPKEGSHVRQHRTLHSGRNFTRGCESCVFPKDGNHTRQHRTLHSRRNFDKGCELCKYPKEGTHIIHHQLLHKSKGFAKGCEPCDYPKVRPLIQEHQVLFCNENFMEEFKYSNEGEHILSDTVPGYSDFGYLADEPSVQNQIMMSCIGDATALGEHELSMKGHLKESQPDTGPRLFYEQMESPGDQDMAQGLYSYRPVGQALQEQLSVEEPLALHSPIPEVTEPKTSDGLAVLLGCTELMVEVYLARQTVDLQGWEEQTFTVAVDEFTVSEQAPAMWAAAM
+>sp|Q96JM2|ZN462_HUMAN Zinc finger protein 462 OS=Homo sapiens OX=9606 GN=ZNF462 PE=1 SV=3
+MEVLQCDGCDFRAPSYEDLKAHIQDVHTAFLQPTDVAEDNVNELRCGSVNASNQTEVEFSSIKDEFAIAEDLSGQNATSLGTGGYYGHSPGYYGQHIAANPKPTNKFFQCKFCVRYFRSKNLLIEHTRKVHGAQAEGSSSGPPVPGSLNYNIMMHEGFGKVFSCQFCTYKSPRRARIIKHQKMYHKNNLKETTAPPPAPAPMPDPVVPPVSLQDPCKELPAEVVERSILESMVKPLTKSRGNFCCEWCSYQTPRRERWCDHMMKKHRSMVKILSSLRQQQEGTNLPDVPNKSAPSPTSNSTYLTMNAASREIPNTTVSNFRGSMGNSIMRPNSSASKFSPMSYPQMKPKSPHNSGLVNLTERSRYGMTDMTNSSADLETNSMLNDSSSDEELNEIDSENGLSAMDHQTSGLSAEQLMGSDGNKLLETKGIPFRRFMNRFQCPFCPFLTMHRRSISRHIENIHLSGKTAVYKCDECPFTCKSSLKLGAHKQCHTGTTSDWDAVNSQSESISSSLNEGVVSYESSSINGRKSGVMLDPLQQQQPPQPPPPPPPPPPSQPQPLQQPQPPQLQPPHQVPPQPQTQPPPTQQPQPPTQAAPLHPYKCTMCNYSTTTLKGLRVHQQHKHSFCDNLPKFEGQPSSLPLENETDSHPSSSNTVKKSQTSILGLSSKNNFVAKASRKLANDFPLDLSPVKKRTRIDEIASNLQSKINQTKQQEDAVINVEDDEEEEEDNEVEIEVELDREEEPTEPIIEVPTSFSAQQIWVRDTSEPQKEPNFRNITHDYNATNGAEIELTLSEDEEDYYGSSTNLKDHQVSNTALLNTQTPIYGTEHNSENTDFGDSGRLYYCKHCDFNNKSARSVSTHYQRMHPYIKFSFRYILDPNDHSAVYRCLECYIDYTNFEDLQQHYGEHHPEAMNVLNFDHSDLIYRCRFCSYTSPNVRSLMPHYQRMHPTVKINNAMIFSSYVVEQQEGLNTESQTLREILNSAPKNMATSTPVARGGGLPATFNKNTPKTFTPECENQKDPLVNTVVVYDCDVCSFASPNMHSVLVHYQKKHPEEKASYFRIQKTMRMVSVDRGSALSQLSFEVGAPMSPKMSNMGSPPPPQPPPPDLSTELYYCKHCSYSNRSVVGVLVHYQKRHPEIKVTAKYIRQAPPTAAMMRGVEGPQGSPRPPAPIQQLNRSSSERDGPPVENEMFFCQHCDYGNRTVKGVLIHYQKKHRDFKANADVIRQHTATIRSLCDRNQKKPASCVLVSPSNLERDKTKLRALKCRQCSYTSPYFYALRKHIKKDHPALKATVTSIMRWAFLDGLIEAGYHCEWCIYSHTEPNGLLLHYQRRHPEHYVDYTYMATKLWAGPDPSPPSLTMPAEAKTYRCRDCVFEAVSIWDITNHYQAFHPWAMNGDESVLLDIIKEKDAVEKPILSSEELAGPVNCENSIPTPFPEQEAECPEDARLSPEKSLQLASANPAISSTPYQCTVCQSEYNNLHGLLTHYGKKHPGMKVKAADFAQDIDINPGAVYKCRHCPYINTRIHGVLTHYQKRHPSIKVTAEDFVHDVEQSADISQNDVEETSRIFKQGYGAYRCKLCPYTHGTLEKLKIHYEKYHNQPEFDVFSQSPPKLPVPLEPEMTTEVSPSQVSITEEEVGEEPVSTSHFSTSHLVSHTVFRCQLCKYFCSTRKGIARHYRIKHNNVRAQPEGKNNLFKCALCAYTNPIRKGLAAHYQKRHDIDAYYTHCLAASRTISDKPNKVIIPSPPKDDSPQLSEELRRAVEKKKCSLCSFQSFSKKGIVSHYMKRHPGVFPKKQHASKLGGYFTAVYADEHEKPTLMEEEERGNFEKAEVEGEAQEIEWLPFRCIKCFKLSFSTAELLCMHYTDHHSRDLKRDFIILGNGPRLQNSTYQCKHCDSKLQSTAELTSHLNIHNEEFQKRAKRQERRKQLLSKQKYADGAFADFKQERPFGHLEEVPKIKERKVVGYKCKFCVEVHPTLRAICNHLRKHVQYGNVPAVSAAVKGLRSHERSHLALAMFTREDKYSCQYCSFVSAFRHNLDRHMQTHHGHHKPFRCKLCSFKSSYNSRLKTHILKAHAGEHAYKCSWCSFSTMTISQLKEHSLKVHGKALTLPRPRIVSLLSSHSHHSSQKATPAEEVEDSNDSSYSEPPDVQQQLNHYQSAALARNNSRVSPVPLSGAAAGTEQKTEAVLHCEFCEFSSGYIQSIRRHYRDKHGGKKLFKCKDCSFYTGFKSAFTMHVEAGHSAVPEEGPKDLRCPLCLYHTKYKRNMIDHIVLHREERVVPIEVCRSKLSKYLQGVVFRCDKCTFTCSSDESLQQHIEKHNELKPYKCQLCYYETKHTEELDSHLRDEHKVSRNFELVGRVNLDQLEQMKEKMESSSSDDEDKEEEMNSKAEDRELMRFSDHGAALNTEKRFPCEFCGRAFSQGSEWERHVLRHGMALNDTKQVSREEIHPKEIMENSVKMPSIEEKEDDEAIGIDFSLKNETVAICVVTADKSLLENAEAKKE
+>DECOY_sp|Q96JM2|ZN462_HUMAN Zinc finger protein 462 OS=Homo sapiens OX=9606 GN=ZNF462 PE=1 SV=3
+EKKAEANELLSKDATVVCIAVTENKLSFDIGIAEDDEKEEISPMKVSNEMIEKPHIEERSVQKTDNLAMGHRLVHREWESGQSFARGCFECPFRKETNLAAGHDSFRMLERDEAKSNMEEEKDEDDSSSSEMKEKMQELQDLNVRGVLEFNRSVKHEDRLHSDLEETHKTEYYCLQCKYPKLENHKEIHQQLSEDSSCTFTCKDCRFVVGQLYKSLKSRCVEIPVVREERHLVIHDIMNRKYKTHYLCLPCRLDKPGEEPVASHGAEVHMTFASKFGTYFSCDKCKFLKKGGHKDRYHRRISQIYGSSFECFECHLVAETKQETGAAAGSLPVPSVRSNNRALAASQYHNLQQQVDPPESYSSDNSDEVEEAPTAKQSSHHSHSSLLSVIRPRPLTLAKGHVKLSHEKLQSITMTSFSCWSCKYAHEGAHAKLIHTKLRSNYSSKFSCLKCRFPKHHGHHTQMHRDLNHRFASVFSCYQCSYKDERTFMALALHSREHSRLGKVAASVAPVNGYQVHKRLHNCIARLTPHVEVCFKCKYGVVKREKIKPVEELHGFPREQKFDAFAGDAYKQKSLLQKRREQRKARKQFEENHINLHSTLEATSQLKSDCHKCQYTSNQLRPGNGLIIFDRKLDRSHHDTYHMCLLEATSFSLKFCKICRFPLWEIEQAEGEVEAKEFNGREEEEMLTPKEHEDAYVATFYGGLKSAHQKKPFVGPHRKMYHSVIGKKSFSQFSCLSCKKKEVARRLEESLQPSDDKPPSPIIVKNPKDSITRSAALCHTYYADIDHRKQYHAALGKRIPNTYACLACKFLNNKGEPQARVNNHKIRYHRAIGKRTSCFYKCLQCRFVTHSVLHSTSFHSTSVPEEGVEEETISVQSPSVETTMEPELPVPLKPPSQSFVDFEPQNHYKEYHIKLKELTGHTYPCLKCRYAGYGQKFIRSTEEVDNQSIDASQEVDHVFDEATVKISPHRKQYHTLVGHIRTNIYPCHRCKYVAGPNIDIDQAFDAAKVKMGPHKKGYHTLLGHLNNYESQCVTCQYPTSSIAPNASALQLSKEPSLRADEPCEAEQEPFPTPISNECNVPGALEESSLIPKEVADKEKIIDLLVSEDGNMAWPHFAQYHNTIDWISVAEFVCDRCRYTKAEAPMTLSPPSPDPGAWLKTAMYTYDVYHEPHRRQYHLLLGNPETHSYICWECHYGAEILGDLFAWRMISTVTAKLAPHDKKIHKRLAYFYPSTYSCQRCKLARLKTKDRELNSPSVLVCSAPKKQNRDCLSRITATHQRIVDANAKFDRHKKQYHILVGKVTRNGYDCHQCFFMENEVPPGDRESSSRNLQQIPAPPRPSGQPGEVGRMMAATPPAQRIYKATVKIEPHRKQYHVLVGVVSRNSYSCHKCYYLETSLDPPPPQPPPPSGMNSMKPSMPAGVEFSLQSLASGRDVSVMRMTKQIRFYSAKEEPHKKQYHVLVSHMNPSAFSCVDCDYVVVTNVLPDKQNECEPTFTKPTNKNFTAPLGGGRAVPTSTAMNKPASNLIERLTQSETNLGEQQEVVYSSFIMANNIKVTPHMRQYHPMLSRVNPSTYSCFRCRYILDSHDFNLVNMAEPHHEGYHQQLDEFNTYDIYCELCRYVASHDNPDLIYRFSFKIYPHMRQYHTSVSRASKNNFDCHKCYYLRGSDGFDTNESNHETGYIPTQTNLLATNSVQHDKLNTSSGYYDEEDESLTLEIEAGNTANYDHTINRFNPEKQPESTDRVWIQQASFSTPVEIIPETPEEERDLEVEIEVENDEEEEEDDEVNIVADEQQKTQNIKSQLNSAIEDIRTRKKVPSLDLPFDNALKRSAKAVFNNKSSLGLISTQSKKVTNSSSPHSDTENELPLSSPQGEFKPLNDCFSHKHQQHVRLGKLTTTSYNCMTCKYPHLPAAQTPPQPQQTPPPQTQPQPPVQHPPQLQPPQPQQLPQPQSPPPPPPPPPPQPPQQQQLPDLMVGSKRGNISSSEYSVVGENLSSSISESQSNVADWDSTTGTHCQKHAGLKLSSKCTFPCEDCKYVATKGSLHINEIHRSISRRHMTLFPCFPCQFRNMFRRFPIGKTELLKNGDSGMLQEASLGSTQHDMASLGNESDIENLEEDSSSDNLMSNTELDASSNTMDTMGYRSRETLNVLGSNHPSKPKMQPYSMPSFKSASSNPRMISNGMSGRFNSVTTNPIERSAANMTLYTSNSTPSPASKNPVDPLNTGEQQQRLSSLIKVMSRHKKMMHDCWRERRPTQYSCWECCFNGRSKTLPKVMSELISREVVEAPLEKCPDQLSVPPVVPDPMPAPAPPPATTEKLNNKHYMKQHKIIRARRPSKYTCFQCSFVKGFGEHMMINYNLSGPVPPGSSSGEAQAGHVKRTHEILLNKSRFYRVCFKCQFFKNTPKPNAAIHQGYYGPSHGYYGGTGLSTANQGSLDEAIAFEDKISSFEVETQNSANVSGCRLENVNDEAVDTPQLFATHVDQIHAKLDEYSPARFDCGDCQLVEM
+>sp|Q6S9Z5|ZN474_HUMAN Zinc finger protein 474 OS=Homo sapiens OX=9606 GN=ZNF474 PE=2 SV=1
+MERGKKKRISNKLQQTFHHSKEPTFLINQAGLLSSDSYSSLSPETESVNPGENIKTDTQKKRPGTVILSKLSSRRIISESQLSPPVIPARRPGFRVCYICGREFGSQSIAIHEPQCLQKWHIENSKLPKHLRRPEPSKPQSLSSSGSYSLQATNEAAFQSAQAQLLPCESCGRTFLPDHLLVHHRSCKPKGEGPRAPHSNSSDHLTGLKKACSGTPARPRTVICYICGKEFGTLSLPIHEPKCLEKWKMENDRLPVELHQPLPQKPQPLPNAQSSQAGPNQAQLVFCPHCSRIFTSDRLLVHQRSCKTHPYGPKYQNLNLGSKGGLKEYTNSKQQRNRAAPSVTDKVIHATQDALGEPGGALCL
+>DECOY_sp|Q6S9Z5|ZN474_HUMAN Zinc finger protein 474 OS=Homo sapiens OX=9606 GN=ZNF474 PE=2 SV=1
+LCLAGGPEGLADQTAHIVKDTVSPAARNRQQKSNTYEKLGGKSGLNLNQYKPGYPHTKCSRQHVLLRDSTFIRSCHPCFVLQAQNPGAQSSQANPLPQPKQPLPQHLEVPLRDNEMKWKELCKPEHIPLSLTGFEKGCIYCIVTRPRAPTGSCAKKLGTLHDSSNSHPARPGEGKPKCSRHHVLLHDPLFTRGCSECPLLQAQASQFAAENTAQLSYSGSSSLSQPKSPEPRRLHKPLKSNEIHWKQLCQPEHIAISQSGFERGCIYCVRFGPRRAPIVPPSLQSESIIRRSSLKSLIVTGPRKKQTDTKINEGPNVSETEPSLSSYSDSSLLGAQNILFTPEKSHHFTQQLKNSIRKKKGREM
+>sp|B1APH4|ZN487_HUMAN Putative zinc finger protein 487 OS=Homo sapiens OX=9606 GN=ZNF487 PE=5 SV=3
+MASRPRPRTPSRGPSDLRFRGEAGLRRVFLKKAGGSVSFSDVAVGFTQEEWQHLDSAQRTPYRDMMLENYSLLLSVGYCITKPEVVCKLEHGQVLWILEEESPSQSHLDCCIDDDLMEKRQENQDQHLQKVDFVNNKTLTMDRNGVLGKTFSLDTNPILSRKIRGNCDSSGMNLNNISELIISNRSSFVRNPAECNVRGKFLLCMKRENPYARGKPLEYDGNGKAVSQNEDLFRHQYIQTLKQCFEYNHRGYTEERNPMNALNVGKLLDIGHALQYIKEHTRDKTYECNECGKNFCEKSNLHVHQRTHTGEKPYGCNECQKAFGDRSALKVHQRIHTGEKPYELHQRTHTGEKPYACSECGKTFYQKSSLTTHQRTHTREQPYEYNESFYQNPNFTKCQRDNIEETLVNILKAQKPSPSWTRSIAETTQVGGSVSLKDVTVDFTQEEW
+>DECOY_sp|B1APH4|ZN487_HUMAN Putative zinc finger protein 487 OS=Homo sapiens OX=9606 GN=ZNF487 PE=5 SV=3
+WEEQTFDVTVDKLSVSGGVQTTEAISRTWSPSPKQAKLINVLTEEINDRQCKTFNPNQYFSENYEYPQERTHTRQHTTLSSKQYFTKGCESCAYPKEGTHTRQHLEYPKEGTHIRQHVKLASRDGFAKQCENCGYPKEGTHTRQHVHLNSKECFNKGCENCEYTKDRTHEKIYQLAHGIDLLKGVNLANMPNREETYGRHNYEFCQKLTQIYQHRFLDENQSVAKGNGDYELPKGRAYPNERKMCLLFKGRVNCEAPNRVFSSRNSIILESINNLNMGSSDCNGRIKRSLIPNTDLSFTKGLVGNRDMTLTKNNVFDVKQLHQDQNEQRKEMLDDDICCDLHSQSPSEEELIWLVQGHELKCVVEPKTICYGVSLLLSYNELMMDRYPTRQASDLHQWEEQTFGVAVDSFSVSGGAKKLFVRRLGAEGRFRLDSPGRSPTRPRPRSAM
+>sp|Q96ME7|ZN512_HUMAN Zinc finger protein 512 OS=Homo sapiens OX=9606 GN=ZNF512 PE=1 SV=2
+MSSRLGAVPATSGPTTFKQQRSTRIVGAKNSRTQCSIKDNSFQYTIPHDDSLSGSSSASSCEPVSDFPASFRKSTYWMKMRRIKPAATSHVEGSGGVSAKGKRKPRQEEDEDYREFPQKKHKLYGRKQRPKTQPNPKSQARRIRKEPPVYAAGSLEEQWYLEIVDKGSVSCPTCQAVGRKTIEGLKKHMENCKQEMFTCHHCGKQLRSLAGMKYHVMANHNSLPILKAGDEIDEPSERERLRTVLKRLGKLRCMRESCSSSFTSIMGYLYHVRKCGKGAAELEKMTLKCHHCGKPYRSKAGLAYHLRSEHGPISFFPESGQPECLKEMNLESKSGGRVQRRSAKIAVYHLQELASAELAKEWPKRKVLQDLVPDDRKLKYTRPGLPTFSQEVLHKWKTDIKKYHRIQCPNQGCEAVYSSVSGLKAHLGSCTLGNFVAGKYKCLLCQKEFVSESGVKYHINSVHAEDWFVVNPTTTKSFEKLMKIKQRQQEEEKRRQQHRSRRSLRRRQQPGIELPETELSLRVGKDQRRNNEELVVSASCKEPEQEPVPAQFQKVKPPKTNHKRGRK
+>DECOY_sp|Q96ME7|ZN512_HUMAN Zinc finger protein 512 OS=Homo sapiens OX=9606 GN=ZNF512 PE=1 SV=2
+KRGRKHNTKPPKVKQFQAPVPEQEPEKCSASVVLEENNRRQDKGVRLSLETEPLEIGPQQRRRLSRRSRHQQRRKEEEQQRQKIKMLKEFSKTTTPNVVFWDEAHVSNIHYKVGSESVFEKQCLLCKYKGAVFNGLTCSGLHAKLGSVSSYVAECGQNPCQIRHYKKIDTKWKHLVEQSFTPLGPRTYKLKRDDPVLDQLVKRKPWEKALEASALEQLHYVAIKASRRQVRGGSKSELNMEKLCEPQGSEPFFSIPGHESRLHYALGAKSRYPKGCHHCKLTMKELEAAGKGCKRVHYLYGMISTFSSSCSERMCRLKGLRKLVTRLRERESPEDIEDGAKLIPLSNHNAMVHYKMGALSRLQKGCHHCTFMEQKCNEMHKKLGEITKRGVAQCTPCSVSGKDVIELYWQEELSGAAYVPPEKRIRRAQSKPNPQTKPRQKRGYLKHKKQPFERYDEDEEQRPKRKGKASVGGSGEVHSTAAPKIRRMKMWYTSKRFSAPFDSVPECSSASSSGSLSDDHPITYQFSNDKISCQTRSNKAGVIRTSRQQKFTTPGSTAPVAGLRSSM
+>sp|Q8N782|ZN525_HUMAN Zinc finger protein 525 OS=Homo sapiens OX=9606 GN=ZNF525 PE=2 SV=2
+MSSLTYHHRLHTGEKPYKCEECDKAFRHNSALQRHRRIHTGEKPHKCNECGKTFSQKSYLACHRSIHTGKKPYECEECDKAFSFKSNLESHRITHTGEKPYKCNDCGKTFSHMSTLTCHRRLHTGEKPYKCEECDEAFRFKSSLERHRRIHNGEKLYKCNECGKTFSQELSLTCHCRLHSGEKPCKCGECDKAYSFK
+>DECOY_sp|Q8N782|ZN525_HUMAN Zinc finger protein 525 OS=Homo sapiens OX=9606 GN=ZNF525 PE=2 SV=2
+KFSYAKDCEGCKCPKEGSHLRCHCTLSLEQSFTKGCENCKYLKEGNHIRRHRELSSKFRFAEDCEECKYPKEGTHLRRHCTLTSMHSFTKGCDNCKYPKEGTHTIRHSELNSKFSFAKDCEECEYPKKGTHISRHCALYSKQSFTKGCENCKHPKEGTHIRRHRQLASNHRFAKDCEECKYPKEGTHLRHHYTLSSM
+>sp|Q8NB42|ZN527_HUMAN Zinc finger protein 527 OS=Homo sapiens OX=9606 GN=ZNF527 PE=2 SV=2
+MAVGLCKAMSQGLVTFRDVALDFSQEEWEWLKPSQKDLYRDVMLENYRNLVWLGLSISKPNMISLLEQGKEPWMVERKMSQGHCADWESWCEIEELSPKWFIDEDEISQEMVMERLASHGLECSSFREAWKYKGEFELHQGNAERHFMQVTAVKEISTGKRDNEFSNSGRSIPLKSVFLTQQKVPTIQQVHKFDIYDKLFPQNSVIIEYKRLHAEKESLIGNECEEFNQSTYLSKDIGIPPGEKPYESHDFSKLLSFHSLFTQHQTTHFGKLPHGYDECGDAFSCYSFFTQPQRIHSGEKPYACNDCGKAFSHDFFLSEHQRTHIGEKPYECKECNKAFRQSAHLAQHQRIHTGEKPFACNECGKAFSRYAFLVEHQRIHTGEKPYECKECNKAFRQSAHLNQHQRIHTGEKPYECNQCGKAFSRRIALTLHQRIHTGEKPFKCSECGKTFGYRSHLNQHQRIHTGEKPYECIKCGKFFRTDSQLNRHHRIHTGERPFECSKCGKAFSDALVLIHHKRSHAGEKPYECNKCGKAFSCGSYLNQHQRIHTGEKPYECSECGKAFHQILSLRLHQRIHAGEKPYKCNECGNNFSCVSALRRHQRIHNRETL
+>DECOY_sp|Q8NB42|ZN527_HUMAN Zinc finger protein 527 OS=Homo sapiens OX=9606 GN=ZNF527 PE=2 SV=2
+LTERNHIRQHRRLASVCSFNNGCENCKYPKEGAHIRQHLRLSLIQHFAKGCESCEYPKEGTHIRQHQNLYSGCSFAKGCKNCEYPKEGAHSRKHHILVLADSFAKGCKSCEFPREGTHIRHHRNLQSDTRFFKGCKICEYPKEGTHIRQHQNLHSRYGFTKGCESCKFPKEGTHIRQHLTLAIRRSFAKGCQNCEYPKEGTHIRQHQNLHASQRFAKNCEKCEYPKEGTHIRQHEVLFAYRSFAKGCENCAFPKEGTHIRQHQALHASQRFAKNCEKCEYPKEGIHTRQHESLFFDHSFAKGCDNCAYPKEGSHIRQPQTFFSYCSFADGCEDYGHPLKGFHTTQHQTFLSHFSLLKSFDHSEYPKEGPPIGIDKSLYTSQNFEECENGILSEKEAHLRKYEIIVSNQPFLKDYIDFKHVQQITPVKQQTLFVSKLPISRGSNSFENDRKGTSIEKVATVQMFHREANGQHLEFEGKYKWAERFSSCELGHSALREMVMEQSIEDEDIFWKPSLEEIECWSEWDACHGQSMKREVMWPEKGQELLSIMNPKSISLGLWVLNRYNELMVDRYLDKQSPKLWEWEEQSFDLAVDRFTVLGQSMAKCLGVAM
+>sp|Q6P280|ZN529_HUMAN Zinc finger protein 529 OS=Homo sapiens OX=9606 GN=ZNF529 PE=1 SV=2
+MANSSFIGDHVHGAPHAVMPEVEFPDQFFTVLTMDHELVTLRDVVINFSQEEWEYLDSAQRNLYWDVMMENYSNLLSLDLESRNETKHLSVGKDIIQNTGSQWEVMESSKLCGLEGSIFRNDWQSKSKIDLQGPEVGYFSQMKIISENVPSYKTHESLTLPRRTHDSEKPYEYKEYEKVFSCDLEFDEYQKIHTGGKNYECNQCWKTFGIDNSSMLQLNIHTGVKPCKYMEYGNTCSFYKDFNVYQKIHNEKFYKCKEYRRTFERVGKVTPLQRVHDGEKHFECSFCGKSFRVHAQLTRHQKIHTDEKTYKCMECGKDFRFHSQLTEHQRIHTGEKPYKCMHCEKVFRISSQLIEHQRIHTGEKPYACKECGKAFGVCRELARHQRIHTGKKPYECKACGKVFRNSSSLTRHQRIHTGEKPYKCKECEKAFGVGSELTRHERIHSGQKPYECKECGKFFRLTSALIQHQRIHSGEKPYECKVCGKAFRHSSALTEHQRIHTGEKPYECKACGKAFRHSSSFTKHQRIHTDDKPYECKECGNSFSVVGHLTCQPKIYTGEKSFD
+>DECOY_sp|Q6P280|ZN529_HUMAN Zinc finger protein 529 OS=Homo sapiens OX=9606 GN=ZNF529 PE=1 SV=2
+DFSKEGTYIKPQCTLHGVVSFSNGCEKCEYPKDDTHIRQHKTFSSSHRFAKGCAKCEYPKEGTHIRQHETLASSHRFAKGCVKCEYPKEGSHIRQHQILASTLRFFKGCEKCEYPKQGSHIREHRTLESGVGFAKECEKCKYPKEGTHIRQHRTLSSSNRFVKGCAKCEYPKKGTHIRQHRALERCVGFAKGCEKCAYPKEGTHIRQHEILQSSIRFVKECHMCKYPKEGTHIRQHETLQSHFRFDKGCEMCKYTKEDTHIKQHRTLQAHVRFSKGCFSCEFHKEGDHVRQLPTVKGVREFTRRYEKCKYFKENHIKQYVNFDKYFSCTNGYEMYKCPKVGTHINLQLMSSNDIGFTKWCQNCEYNKGGTHIKQYEDFELDCSFVKEYEKYEYPKESDHTRRPLTLSEHTKYSPVNESIIKMQSFYGVEPGQLDIKSKSQWDNRFISGELGCLKSSEMVEWQSGTNQIIDKGVSLHKTENRSELDLSLLNSYNEMMVDWYLNRQASDLYEWEEQSFNIVVDRLTVLEHDMTLVTFFQDPFEVEPMVAHPAGHVHDGIFSSNAM
+>sp|Q76KX8|ZN534_HUMAN Zinc finger protein 534 OS=Homo sapiens OX=9606 GN=ZNF534 PE=2 SV=1
+MALTQGQLSFSDVAIEFSQEEWKCLDPGQKALYRDVMLENYRNLVSLGEDNVRPEACICSGICLPDLSVTSMLEQKRDPWTLQSEVKIINNPDGRECIKGVNTEKSSKLGSSAGNKSLKNQHGLTLQLHLTEWQPFQAVRNIYGCKHVEKSISDNSSVSPVQISFFSVKTHIFNNYRNDFLFSTLLPQEQKVHIREKPYGCNEHGKVFRVSSSLTNRQVIHIADKTYKCSDCGEIFSSNSNFAQHQRIHTGEKPYKYNECGKVFNQNSHLAQHQKIHTGQKPYNNKECGKVFSHHAYLAQHRKIHTGEKPYKCSECGKAFSVCSSLTAHLVIHTGEKPYDCKECGKVFRHKSSLTTHQTVHTGERPYKCNECGKGFSRIAFLARHRKVHTGEKPYKCNECGKVFIGNSRLARHRKIHTGGRRYKCNECGKAFRTCSDLTAHLLIHTGEKPYECIDCGKVFRHKSSLTYHCRIHTGEKPYKCNECGKVFSQNSNLQRHRKIHTGEKLYKCNECGKVFRQNSHLAQHRDIHTGEKPYSCNECGKVFRRNSHLVRHRNVHTGEKPYSCNECGKVFSRNSHLARHRNIHTGEKPHSCNECGKVFSRNSHLARHRKIHTGEKLYKCNECSKVFSRNSRLAQHRNIHTGVKPYSCNECGKVFSKNSILVQHCSIHTREKP
+>DECOY_sp|Q76KX8|ZN534_HUMAN Zinc finger protein 534 OS=Homo sapiens OX=9606 GN=ZNF534 PE=2 SV=1
+PKERTHISCHQVLISNKSFVKGCENCSYPKVGTHINRHQALRSNRSFVKSCENCKYLKEGTHIKRHRALHSNRSFVKGCENCSHPKEGTHINRHRALHSNRSFVKGCENCSYPKEGTHVNRHRVLHSNRRFVKGCENCSYPKEGTHIDRHQALHSNQRFVKGCENCKYLKEGTHIKRHRQLNSNQSFVKGCENCKYPKEGTHIRCHYTLSSKHRFVKGCDICEYPKEGTHILLHATLDSCTRFAKGCENCKYRRGGTHIKRHRALRSNGIFVKGCENCKYPKEGTHVKRHRALFAIRSFGKGCENCKYPREGTHVTQHTTLSSKHRFVKGCEKCDYPKEGTHIVLHATLSSCVSFAKGCESCKYPKEGTHIKRHQALYAHHSFVKGCEKNNYPKQGTHIKQHQALHSNQNFVKGCENYKYPKEGTHIRQHQAFNSNSSFIEGCDSCKYTKDAIHIVQRNTLSSSVRFVKGHENCGYPKERIHVKQEQPLLTSFLFDNRYNNFIHTKVSFFSIQVPSVSSNDSISKEVHKCGYINRVAQFPQWETLHLQLTLGHQNKLSKNGASSGLKSSKETNVGKICERGDPNNIIKVESQLTWPDRKQELMSTVSLDPLCIGSCICAEPRVNDEGLSVLNRYNELMVDRYLAKQGPDLCKWEEQSFEIAVDSFSLQGQTLAM
+>sp|Q5EBM4|ZN542_HUMAN Putative zinc finger protein 542 OS=Homo sapiens OX=9606 GN=ZNF542P PE=5 SV=2
+MLENYQNLVWLGLSISKSVISLLEKRKLPWIMAKEEIRGPLPGYFKVSEMTISQEPKAKTRTLFGKDVPGAEIKELSAKRAINEVLSQFDTVIKCTRNVCKECGNLYCHNMQLTLHKRNHTQKKCNQCLDCGKYFTRQSTLIQHQRIHTGERPYKCNECIKTFNQRAHLT
+>DECOY_sp|Q5EBM4|ZN542_HUMAN Putative zinc finger protein 542 OS=Homo sapiens OX=9606 GN=ZNF542P PE=5 SV=2
+TLHARQNFTKICENCKYPREGTHIRQHQILTSQRTFYKGCDLCQNCKKQTHNRKHLTLQMNHCYLNGCEKCVNRTCKIVTDFQSLVENIARKASLEKIEAGPVDKGFLTRTKAKPEQSITMESVKFYGPLPGRIEEKAMIWPLKRKELLSIVSKSISLGLWVLNQYNELM
+>sp|Q86UE3|ZN546_HUMAN Zinc finger protein 546 OS=Homo sapiens OX=9606 GN=ZNF546 PE=1 SV=2
+MQVDPPLHGPPNDFLIFQIIPLHSLSIMPRFLWILCFSMEETQGELTSSCGSKTMANVSLAFRDVSIDLSQEEWECLDAVQRDLYKDVMLENYSNLVSLGYTIPKPDVITLLEQEKEPWIVMREGTRNWFTDLEYKYITKNLLSEKNVCKIYLSQLQTGEKSKNTIHEDTIFRNGLQCKHEFERQERHQMGCVSQMLIQKQISHPLHPKIHAREKSYECKECRKAFRQQSYLIQHLRIHTGERPYKCMECGKAFCRVGDLRVHHTIHAGERPYECKECGKAFRLHYHLTEHQRIHSGVKPYECKECGKAFSRVRDLRVHQTIHAGERPYECKECGKAFRLHYQLTEHQRIHTGERPYECKVCGKTFRVQRHISQHQKIHTGVKPYKCNECGKAFSHGSYLVQHQKIHTGEKPYECKECGKSFSFHAELARHRRIHTGEKPYECRECGKAFRLQTELTRHHRTHTGEKPYECKECGKAFICGYQLTLHLRTHTGEIPYECKECGKTFSSRYHLTQHYRIHTGEKPYICNECGKAFRLQGELTRHHRIHTCEKPYECKECGKAFIHSNQFISHQRIHTSESTYICKECGKIFSRRYNLTQHFKIHTGEKPYICNECGKAFRFQTELTQHHRIHTGEKPYKCTECGKAFIRSTHLTQHHRIHTGEKPYECTECGKTFSRHYHLTQHHRGHTGEKPYICNECGNAFICSYRLTLHQRIHTGELPYECKECGKTFSRRYHLTQHFRLHTGEKPYSCKECGNAFRLQAELTRHHIVHTGEKPYKCKECGKAFSVNSELTRHHRIHTGEKPYQCKECGKAFIRSDQLTLHQRNHISEEVLCIM
+>DECOY_sp|Q86UE3|ZN546_HUMAN Zinc finger protein 546 OS=Homo sapiens OX=9606 GN=ZNF546 PE=1 SV=2
+MICLVEESIHNRQHLTLQDSRIFAKGCEKCQYPKEGTHIRHHRTLESNVSFAKGCEKCKYPKEGTHVIHHRTLEAQLRFANGCEKCSYPKEGTHLRFHQTLHYRRSFTKGCEKCEYPLEGTHIRQHLTLRYSCIFANGCENCIYPKEGTHGRHHQTLHYHRSFTKGCETCEYPKEGTHIRHHQTLHTSRIFAKGCETCKYPKEGTHIRHHQTLETQFRFAKGCENCIYPKEGTHIKFHQTLNYRRSFIKGCEKCIYTSESTHIRQHSIFQNSHIFAKGCEKCEYPKECTHIRHHRTLEGQLRFAKGCENCIYPKEGTHIRYHQTLHYRSSFTKGCEKCEYPIEGTHTRLHLTLQYGCIFAKGCEKCEYPKEGTHTRHHRTLETQLRFAKGCERCEYPKEGTHIRRHRALEAHFSFSKGCEKCEYPKEGTHIKQHQVLYSGHSFAKGCENCKYPKVGTHIKQHQSIHRQVRFTKGCVKCEYPREGTHIRQHETLQYHLRFAKGCEKCEYPREGAHITQHVRLDRVRSFAKGCEKCEYPKVGSHIRQHETLHYHLRFAKGCEKCEYPREGAHITHHVRLDGVRCFAKGCEMCKYPREGTHIRLHQILYSQQRFAKRCEKCEYSKERAHIKPHLPHSIQKQILMQSVCGMQHREQREFEHKCQLGNRFITDEHITNKSKEGTQLQSLYIKCVNKESLLNKTIYKYELDTFWNRTGERMVIWPEKEQELLTIVDPKPITYGLSVLNSYNELMVDKYLDRQVADLCEWEEQSLDISVDRFALSVNAMTKSGCSSTLEGQTEEMSFCLIWLFRPMISLSHLPIIQFILFDNPPGHLPPDVQM
+>sp|Q86TJ5|ZN554_HUMAN Zinc finger protein 554 OS=Homo sapiens OX=9606 GN=ZNF554 PE=1 SV=1
+MVTCAHLGRRARLPAAQPSACPGTCFSQEERMAAGYLPRWSQELVTFEDVSMDFSQEEWELLEPAQKNLYREVMLENYRNVVSLEALKNQCTDVGIKEGPLSPAQTSQVTSLSSWTGYLLFQPVASSHLEQREALWIEEKGTPQASCSDWMTVLRNQDSTYKKVALQEEPASGINMIKLIREDGGWKQLEDSHEDPQGLLSQKASLHVVAVPQEKATAWHGFGENGNLSPALVLSQGSSKGNHLCGSELDITSLASDSVLNHHQLGYADRRPCESNECGNAIRQNSHFIQHGGKMFVYLENGQSLNHGMALTIHNKINTAEKPFECHQCGKVFNRRHSLSEHQRIHTGEKPYECQECGRAFTHSSTLTRHLRTHTGEKPYGCGECGKAFNRISSLTQHQRIHTGEKPYKCEDCGKSFCQSSYLILHKRTHTGEKPYECSECGKAFSDRSSLNQHERTHTGENPYECKQCGRAFSQRSSLVRHERTHTGEKPYRCQECGKAFSQSSSLVTHQKTHSSQKTYKIIDCGKAFYQNRHLIGY
+>DECOY_sp|Q86TJ5|ZN554_HUMAN Zinc finger protein 554 OS=Homo sapiens OX=9606 GN=ZNF554 PE=1 SV=1
+YGILHRNQYFAKGCDIIKYTKQSSHTKQHTVLSSSQSFAKGCEQCRYPKEGTHTREHRVLSSRQSFARGCQKCEYPNEGTHTREHQNLSSRDSFAKGCESCEYPKEGTHTRKHLILYSSQCFSKGCDECKYPKEGTHIRQHQTLSSIRNFAKGCEGCGYPKEGTHTRLHRTLTSSHTFARGCEQCEYPKEGTHIRQHESLSHRRNFVKGCQHCEFPKEATNIKNHITLAMGHNLSQGNELYVFMKGGHQIFHSNQRIANGCENSECPRRDAYGLQHHNLVSDSALSTIDLESGCLHNGKSSGQSLVLAPSLNGNEGFGHWATAKEQPVAVVHLSAKQSLLGQPDEHSDELQKWGGDERILKIMNIGSAPEEQLAVKKYTSDQNRLVTMWDSCSAQPTGKEEIWLAERQELHSSAVPQFLLYGTWSSLSTVQSTQAPSLPGEKIGVDTCQNKLAELSVVNRYNELMVERYLNKQAPELLEWEEQSFDMSVDEFTVLEQSWRPLYGAAMREEQSFCTGPCASPQAAPLRARRGLHACTVM
+>sp|Q8N988|ZN557_HUMAN Zinc finger protein 557 OS=Homo sapiens OX=9606 GN=ZNF557 PE=2 SV=2
+MAAVVLPPTAASQREGHTEGGELVNELLKSWLKGLVTFEDVAVEFTQEEWALLDPAQRTLYRDVMLENCRNLASLGNQVDKPRLISQLEQEDKVMTEERGILSGTCPDVENPFKAKGLTPKLHVFRKEQSRNMKMERNHLGATLNECNQCFKVFSTKSSLTRHRKIHTGERPYGCSECGKSYSSRSYLAVHKRIHNGEKPYECNDCGKTFSSRSYLTVHKRIHNGEKPYECSDCGKTFSNSSYLRPHLRIHTGEKPYKCNQCFREFRTQSIFTRHKRVHTGEGHYVCNQCGKAFGTRSSLSSHYSIHTGEYPYECHDCGRTFRRRSNLTQHIRTHTGEKPYTCNECGKSFTNSFSLTIHRRIHNGEKSYECSDCGKSFNVLSSVKKHMRTHTGKKPYECNYCGKSFTSNSYLSVHTRMHNRQM
+>DECOY_sp|Q8N988|ZN557_HUMAN Zinc finger protein 557 OS=Homo sapiens OX=9606 GN=ZNF557 PE=2 SV=2
+MQRNHMRTHVSLYSNSTFSKGCYNCEYPKKGTHTRMHKKVSSLVNFSKGCDSCEYSKEGNHIRRHITLSFSNTFSKGCENCTYPKEGTHTRIHQTLNSRRRFTRGCDHCEYPYEGTHISYHSSLSSRTGFAKGCQNCVYHGEGTHVRKHRTFISQTRFERFCQNCKYPKEGTHIRLHPRLYSSNSFTKGCDSCEYPKEGNHIRKHVTLYSRSSFTKGCDNCEYPKEGNHIRKHVALYSRSSYSKGCESCGYPREGTHIKRHRTLSSKTSFVKFCQNCENLTAGLHNREMKMNRSQEKRFVHLKPTLGKAKFPNEVDPCTGSLIGREETMVKDEQELQSILRPKDVQNGLSALNRCNELMVDRYLTRQAPDLLAWEEQTFEVAVDEFTVLGKLWSKLLENVLEGGETHGERQSAATPPLVVAAM
+>sp|Q9BR84|ZN559_HUMAN Zinc finger protein 559 OS=Homo sapiens OX=9606 GN=ZNF559 PE=1 SV=1
+MVAGWLTNYSQDSVTFEDVAVDFTQEEWTLLDQTQRNLYRDVMLENYKNLVAVDWESHINTKWSAPQQNFLQGKTSSVVEMERNHFGEELFDFNQCEKALSEHSCLKTHRRTYFRKKTCECNQCEKAFRKPSIFTLHKKTDIGEELPNCNQCETAFSQHLHLVCKKTSQNLHLVCKKTHTQEKPYKCSDCEKGLPSSSHLRECVRIYGGERPYTHKEYVETFSHSTALFVHMQTQDGEKFYECKACGKPFTESSYLTQHLRTHSRVLPIEHKKFGKAFAFSPDLAKHIRLRTRGKHYVCNECGKEFTCFSKLNIHIRVHTGEKPYECNKCGKAFTDSSGLIKHRRTHTGEKPYECKECGKAFANSSHLTVHMRTHTGEKPYQCKECGKAFINSSSFKSHMQTHPGVKPYDCQQCGKAFIRSSFLIRHLRSHSAERPFECEECGKAFRYSSHLSQHKRIHTGERPYKCQKCGQAFSISSGLTVHMRTHTGERPFECQECGKAFTRSTYLIRHLRSHSVEKPYKECGQTFSNSSCLTECV
+>DECOY_sp|Q9BR84|ZN559_HUMAN Zinc finger protein 559 OS=Homo sapiens OX=9606 GN=ZNF559 PE=1 SV=1
+VCETLCSSNSFTQGCEKYPKEVSHSRLHRILYTSRTFAKGCEQCEFPREGTHTRMHVTLGSSISFAQGCKQCKYPREGTHIRKHQSLHSSYRFAKGCEECEFPREASHSRLHRILFSSRIFAKGCQQCDYPKVGPHTQMHSKFSSSNIFAKGCEKCQYPKEGTHTRMHVTLHSSNAFAKGCEKCEYPKEGTHTRRHKILGSSDTFAKGCKNCEYPKEGTHVRIHINLKSFCTFEKGCENCVYHKGRTRLRIHKALDPSFAFAKGFKKHEIPLVRSHTRLHQTLYSSETFPKGCAKCEYFKEGDQTQMHVFLATSHSFTEVYEKHTYPREGGYIRVCERLHSSSPLGKECDSCKYPKEQTHTKKCVLHLNQSTKKCVLHLHQSFATECQNCNPLEEGIDTKKHLTFISPKRFAKECQNCECTKKRFYTRRHTKLCSHESLAKECQNFDFLEEGFHNREMEVVSSTKGQLFNQQPASWKTNIHSEWDVAVLNKYNELMVDRYLNRQTQDLLTWEEQTFDVAVDEFTVSDQSYNTLWGAVM
+>sp|Q6V9R5|ZN562_HUMAN Zinc finger protein 562 OS=Homo sapiens OX=9606 GN=ZNF562 PE=2 SV=2
+MSAFDMSHGFFPREPICPFEEKTKIGTMVEDHRSNSYQDSVTFDDVAVEFTPEEWALLDTTQKYLYRDVMLENYMNLASVDFFFCLTSEWEIQPRTKRSSLQQGFLKNQIFTGIQMQTRSYSGWKLCENCGEVFSEQFCLKTHMRAQNGGNTFEGNCYGKDSISVHKEASIGQELSKFNPCGKVFTLTPGLAVHLEILNGRQPYKCKECGKGFKYFASLDNHMGIHIGEKLCEFQECERAITTSSHLKQCVAVHTGKKSEKTKNCGKSFTNFSQLSAHAKTHKGEKSFECKECGRSFRNSSSFNVHIQIHTGIKPHKCTECGKAFTRSTHLTQHVRTHTGIKPYECKECGQAFTQYTGLAIHIRNHTGEKPYQCKECGKAFNRSSTLTQHRRIHTGEKPYECVECGKTFITSSHRSKHLKTHSGER
+>DECOY_sp|Q6V9R5|ZN562_HUMAN Zinc finger protein 562 OS=Homo sapiens OX=9606 GN=ZNF562 PE=2 SV=2
+REGSHTKLHKSRHSSTIFTKGCEVCEYPKEGTHIRRHQTLTSSRNFAKGCEKCQYPKEGTHNRIHIALGTYQTFAQGCEKCEYPKIGTHTRVHQTLHTSRTFAKGCETCKHPKIGTHIQIHVNFSSSNRFSRGCEKCEFSKEGKHTKAHASLQSFNTFSKGCNKTKESKKGTHVAVCQKLHSSTTIARECEQFECLKEGIHIGMHNDLSAFYKFGKGCEKCKYPQRGNLIELHVALGPTLTFVKGCPNFKSLEQGISAEKHVSISDKGYCNGEFTNGGNQARMHTKLCFQESFVEGCNECLKWGSYSRTQMQIGTFIQNKLFGQQLSSRKTRPQIEWESTLCFFFDVSALNMYNELMVDRYLYKQTTDLLAWEEPTFEVAVDDFTVSDQYSNSRHDEVMTGIKTKEEFPCIPERPFFGHSMDFASM
+>sp|Q8TBZ8|ZN564_HUMAN Zinc finger protein 564 OS=Homo sapiens OX=9606 GN=ZNF564 PE=1 SV=1
+MDSVASEDVAVNFTLEEWALLDPSQKKLYRDVMRETFRNLACVGKKWEDQSIEDWYKNQGRILRNHMEEGLSESKEYDQCGEAFSQILNLNLNKKIPTIVRPCECSLCGKVFMHHSSLSRHIRSHLGHKPYDYQEYGEKPYKCKQCGKAFSSCQSFRRHERTHTGEKPYACPECGKAFISLPSVRRHMIKHTGDGPYKCQECGKAFDRPSLFQIHERTHTGEKPYECQECAKAFISLPSFQRHMIRHTGDGPYKCQECGKAFDRPSLFRIHERTHTGEKPHECKQCGKAFISFTNFQSHMIRHTGDGPYKCKVCGRAFIFPSYVRKHERTHTGEKPYECNKCGKTFSSSSNVRTHERTHTGEKPYECKECGKAFISLPSVRRHMIKHTGDGPYKCQVCGRAFDCPSSFQIHERTHTGEKPYECQVCGKAFISLKRIRKHMILHTGDGPYKCQVCGKAFDCPSSVRTHERTHTGEKPYECKECGKAFNYASSIRIHERTHTGEKPYECKQCGKTFSYSSSFQRHERAHNGDKPYVKNVGKLSFITQPSNTCENE
+>DECOY_sp|Q8TBZ8|ZN564_HUMAN Zinc finger protein 564 OS=Homo sapiens OX=9606 GN=ZNF564 PE=1 SV=1
+ENECTNSPQTIFSLKGVNKVYPKDGNHAREHRQFSSSYSFTKGCQKCEYPKEGTHTREHIRISSAYNFAKGCEKCEYPKEGTHTREHTRVSSPCDFAKGCVQCKYPGDGTHLIMHKRIRKLSIFAKGCVQCEYPKEGTHTREHIQFSSPCDFARGCVQCKYPGDGTHKIMHRRVSPLSIFAKGCEKCEYPKEGTHTREHTRVNSSSSFTKGCKNCEYPKEGTHTREHKRVYSPFIFARGCVKCKYPGDGTHRIMHSQFNTFSIFAKGCQKCEHPKEGTHTREHIRFLSPRDFAKGCEQCKYPGDGTHRIMHRQFSPLSIFAKACEQCEYPKEGTHTREHIQFLSPRDFAKGCEQCKYPGDGTHKIMHRRVSPLSIFAKGCEPCAYPKEGTHTREHRRFSQCSSFAKGCQKCKYPKEGYEQYDYPKHGLHSRIHRSLSSHHMFVKGCLSCECPRVITPIKKNLNLNLIQSFAEGCQDYEKSESLGEEMHNRLIRGQNKYWDEISQDEWKKGVCALNRFTERMVDRYLKKQSPDLLAWEELTFNVAVDESAVSDM
+>sp|Q3ZCX4|ZN568_HUMAN Zinc finger protein 568 OS=Homo sapiens OX=9606 GN=ZNF568 PE=2 SV=2
+MTSQSSVISNSCVTMERLSHMMERKAWCSQESALSEEEEDTTRPLETVTFKDVAVDLTQEEWEQMKPAQRNLYRDVMLENYSNLVTVGCQVTKPDVIFKLEQEEEPWVMEEEMFGRHCPEVWEVDEQIKKQQETLVRKVTSISKKILIKEKVIECKKVAKIFPLSSDIVTSRQSFYDCDSLDKGLEHNLDLLRYEKGCVREKQSNEFGKPFYHCASYVVTPFKCNQCGQDFSHKFDLIRHERIHAGEKPYECKECGKAFSRKENLITHQKIHTGEKPYKCNECGKAFIQMSNLIRHHRIHTGEKPYACKDCWKAFSQKSNLIEHERIHTGEKPYECKECGKSFSQKQNLIEHEKIHTGEKPYACNECGRAFSRMSSVTLHMRSHTGEKPYKCNKCGKAFSQCSVFIIHMRSHTGEKPYVCSECGKAFSQSSSLTVHMRNHTAEKPYECKECGKAFSRKENLITHQKIHTGEKPYECSECGKAFIQMSNLIRHQRIHTGEKPYACTVCGKAFSQKSNLTEHEKIHTGEKPYHCNQCGKAFSQRQNLLEHEKIHTGEKPFKCNECGKAFSRISSLTLHVRSHTGEKPYECNKCGKAFSQCSLLIIHMRSHTGEKPFECNECGKAFSQRASLSIHKRGHTGERHQVY
+>DECOY_sp|Q3ZCX4|ZN568_HUMAN Zinc finger protein 568 OS=Homo sapiens OX=9606 GN=ZNF568 PE=2 SV=2
+YVQHREGTHGRKHISLSARQSFAKGCENCEFPKEGTHSRMHIILLSCQSFAKGCKNCEYPKEGTHSRVHLTLSSIRSFAKGCENCKFPKEGTHIKEHELLNQRQSFAKGCQNCHYPKEGTHIKEHETLNSKQSFAKGCVTCAYPKEGTHIRQHRILNSMQIFAKGCESCEYPKEGTHIKQHTILNEKRSFAKGCEKCEYPKEATHNRMHVTLSSSQSFAKGCESCVYPKEGTHSRMHIIFVSCQSFAKGCKNCKYPKEGTHSRMHLTVSSMRSFARGCENCAYPKEGTHIKEHEILNQKQSFSKGCEKCEYPKEGTHIREHEILNSKQSFAKWCDKCAYPKEGTHIRHHRILNSMQIFAKGCENCKYPKEGTHIKQHTILNEKRSFAKGCEKCEYPKEGAHIREHRILDFKHSFDQGCQNCKFPTVVYSACHYFPKGFENSQKERVCGKEYRLLDLNHELGKDLSDCDYFSQRSTVIDSSLPFIKAVKKCEIVKEKILIKKSISTVKRVLTEQQKKIQEDVEWVEPCHRGFMEEEMVWPEEEQELKFIVDPKTVQCGVTVLNSYNELMVDRYLNRQAPKMQEWEEQTLDVAVDKFTVTELPRTTDEEEESLASEQSCWAKREMMHSLREMTVCSNSIVSSQSTM
+>sp|Q96LX8|ZN597_HUMAN Zinc finger protein 597 OS=Homo sapiens OX=9606 GN=ZNF597 PE=1 SV=1
+MASMPPTPEAQGPILFEDLAVYFSQEECVTLHPAQRSLSKDGTKESLEDAALMGEEGKPEINQQLSLESMELDELALEKYPIAAPLVPYPEKSSEDGVGNPEAKILSGTPTYKRRVISLLVTIENHTPLVELSEYLGTNTLSEILDSPWEGAKNVYKCPECDQNFSDHSYLVLHQKIHSGEKKHKCGDCGKIFNHRANLRTHRRIHTGEKPYKCAKCSASFRQHSHLSRHMNSHVKEKPYTCSICGRGFMWLPGLAQHQKSHSAENTYESTNCDKHFNEKPNLALPEETFVSGPQYQHTKCMKSFRQSLYPALSEKSHDEDSERCSDDGDNFFSFSKFKPLQCPDCDMTFPCFSELISHQNIHTEERPHKCKTCEESFALDSELACHQKSHMLAEPFKCTVCGKTFKSNLHLITHKRTHIKNTT
+>DECOY_sp|Q96LX8|ZN597_HUMAN Zinc finger protein 597 OS=Homo sapiens OX=9606 GN=ZNF597 PE=1 SV=1
+TTNKIHTRKHTILHLNSKFTKGCVTCKFPEALMHSKQHCALESDLAFSEECTKCKHPREETHINQHSILESFCPFTMDCDPCQLPKFKSFSFFNDGDDSCRESDEDHSKESLAPYLSQRFSKMCKTHQYQPGSVFTEEPLALNPKENFHKDCNTSEYTNEASHSKQHQALGPLWMFGRGCISCTYPKEKVHSNMHRSLHSHQRFSASCKACKYPKEGTHIRRHTRLNARHNFIKGCDGCKHKKEGSHIKQHLVLYSHDSFNQDCEPCKYVNKAGEWPSDLIESLTNTGLYESLEVLPTHNEITVLLSIVRRKYTPTGSLIKAEPNGVGDESSKEPYPVLPAAIPYKELALEDLEMSELSLQQNIEPKGEEGMLAADELSEKTGDKSLSRQAPHLTVCEEQSFYVALDEFLIPGQAEPTPPMSAM
+>sp|Q6ZNG1|ZN600_HUMAN Zinc finger protein 600 OS=Homo sapiens OX=9606 GN=ZNF600 PE=1 SV=2
+MMKEVLSTGQGNTEVIHTGTLQRYQSYHIGDFCFQEIEKEIHDIEFQCQEDERNGHEAPMTKIKKLTGSTDQHDHRHAGNKPIKDQLGSSFYSHLPELHIIQIKGKIGNQFEKSTSDAPSVSTSQRISPRPQIHISNNYGNNSPNSSLLPQKQEVYMREKSFQCNESGKAFNCSSLLRKHQIPHLGDKQYKCDVCGKLFNHKQYLTCHCRCHTGEKPYKCNECGKSFSQVSSLTCHRRLHTAVKSHKCNECGKIFGQNSALVIHKAIHTGEKPYKCNECDKAFNQQSNLARHRRIHTGEKPYKCEECDKVFSRKSTLESHKRIHTGEKPYKCKVCDTAFTWNSQLARHKRIHTGEKTYKCNECGKTFSHKSSLVCHHRLHGGEKSYKCKVCDKAFAWNSHLVRHTRIHSGGKPYKCNECGKTFGQNSDLLIHKSIHTGEQPYKYEECEKVFSCGSTLETHKIIHTGEKPYKCKVCDKAFACHSYLAKHTRIHSGEKPYKCNECSKTFRLRSYLASHRRVHSGEKPYKCNECSKTFSQRSYLHCHRRLHSGEKPYKCNECGKTFSHKPSLVHHRRLHTGEKSYKCTVCDKAFVRNSYLARHTRIHTAEKPYKCNECGKAFNQQSQLSLHHRIHAGEKLYKCETCDKVFSRKSHLKRHRRIHPGKKPYKCKVCDKTFGSDSHLKQHTGLHTGEKPYKCNECGKAFSKQSTLIHHQAVHGVGKLD
+>DECOY_sp|Q6ZNG1|ZN600_HUMAN Zinc finger protein 600 OS=Homo sapiens OX=9606 GN=ZNF600 PE=1 SV=2
+DLKGVGHVAQHHILTSQKSFAKGCENCKYPKEGTHLGTHQKLHSDSGFTKDCVKCKYPKKGPHIRRHRKLHSKRSFVKDCTECKYLKEGAHIRHHLSLQSQQNFAKGCENCKYPKEATHIRTHRALYSNRVFAKDCVTCKYSKEGTHLRRHHVLSPKHSFTKGCENCKYPKEGSHLRRHCHLYSRQSFTKSCENCKYPKEGSHVRRHSALYSRLRFTKSCENCKYPKEGSHIRTHKALYSHCAFAKDCVKCKYPKEGTHIIKHTELTSGCSFVKECEEYKYPQEGTHISKHILLDSNQGFTKGCENCKYPKGGSHIRTHRVLHSNWAFAKDCVKCKYSKEGGHLRHHCVLSSKHSFTKGCENCKYTKEGTHIRKHRALQSNWTFATDCVKCKYPKEGTHIRKHSELTSKRSFVKDCEECKYPKEGTHIRRHRALNSQQNFAKDCENCKYPKEGTHIAKHIVLASNQGFIKGCENCKHSKVATHLRRHCTLSSVQSFSKGCENCKYPKEGTHCRCHCTLYQKHNFLKGCVDCKYQKDGLHPIQHKRLLSSCNFAKGSENCQFSKERMYVEQKQPLLSSNPSNNGYNNSIHIQPRPSIRQSTSVSPADSTSKEFQNGIKGKIQIIHLEPLHSYFSSGLQDKIPKNGAHRHDHQDTSGTLKKIKTMPAEHGNREDEQCQFEIDHIEKEIEQFCFDGIHYSQYRQLTGTHIVETNGQGTSLVEKMM
+>sp|Q8WXB4|ZN606_HUMAN Zinc finger protein 606 OS=Homo sapiens OX=9606 GN=ZNF606 PE=2 SV=1
+MAAINPWASWGALTDQSWGMTAVDPWASWALCPQYPAWHVEGSLEEGRRATGLPAAQVQEPVTFKDVAVDFTQEEWGQLDLVQRTLYRDVMLETYGHLLSVGNQIAKPEVISLLEQGEEPWSVEQACPQRTCPEWVRNLESKALIPAQSIFEEEQSHGMKLERYIWDDPWFSRLEVLGCKDQLEMYHMNQSTAMRQMVFMQKQVLSQRSSEFCGLGAEFSQNLNFVPSQRVSQIEHFYKPDTHAQSWRCDSAIMYADKVTCENNDYDKTVYQSIQPIYPARIQTGDNLFKCTDAVKSFNHIIHFGDHKGIHTGEKLYEYKECHQIFNQSPSFNEHPRLHVGENQYNYKEYENIFYFSSFMEHQKIGTVEKAYKYNEWEKVFGYDSFLTQHTSTYTAEKPYDYNECGTSFIWSSYLIQHKKTHTGEKPYECDKCGKVFRNRSALTKHERTHTGIKPYECNKCGKAFSWNSHLIVHKRIHTGEKPYVCNECGKSFNWNSHLIGHQRTHTGEKPFECTECGKSFSWSSHLIAHMRMHTGEKPFKCDECEKAFRDYSALSKHERTHSGAKPYKCTECGKSFSWSSHLIAHQRTHTGEKPYNCQECGKAFRERSALTKHEIIHSGIKPYECNKCGKSCSQMAHLVRHQRTHTGEKPYECNKCGKSFSQSCHLVAHRRIHTGEKPYKCNQCERSFNCSSHLIAHRRTHTGEKPYRCNECGKAFNESSSLIVHLRNHTGEKPYKCNHCEKAFCKNSSLIIHQRMHSGEKRFICSECGKAFSGHSALLQHQRNHSEEKLN
+>DECOY_sp|Q8WXB4|ZN606_HUMAN Zinc finger protein 606 OS=Homo sapiens OX=9606 GN=ZNF606 PE=2 SV=1
+NLKEESHNRQHQLLASHGSFAKGCESCIFRKEGSHMRQHIILSSNKCFAKECHNCKYPKEGTHNRLHVILSSSENFAKGCENCRYPKEGTHTRRHAILHSSCNFSRECQNCKYPKEGTHIRRHAVLHCSQSFSKGCKNCEYPKEGTHTRQHRVLHAMQSCSKGCKNCEYPKIGSHIIEHKTLASRERFAKGCEQCNYPKEGTHTRQHAILHSSWSFSKGCETCKYPKAGSHTREHKSLASYDRFAKECEDCKFPKEGTHMRMHAILHSSWSFSKGCETCEFPKEGTHTRQHGILHSNWNFSKGCENCVYPKEGTHIRKHVILHSNWSFAKGCKNCEYPKIGTHTREHKTLASRNRFVKGCKDCEYPKEGTHTKKHQILYSSWIFSTGCENYDYPKEATYTSTHQTLFSDYGFVKEWENYKYAKEVTGIKQHEMFSSFYFINEYEKYNYQNEGVHLRPHENFSPSQNFIQHCEKYEYLKEGTHIGKHDGFHIIHNFSKVADTCKFLNDGTQIRAPYIPQISQYVTKDYDNNECTVKDAYMIASDCRWSQAHTDPKYFHEIQSVRQSPVFNLNQSFEAGLGCFESSRQSLVQKQMFVMQRMATSQNMHYMELQDKCGLVELRSFWPDDWIYRELKMGHSQEEEFISQAPILAKSELNRVWEPCTRQPCAQEVSWPEEGQELLSIVEPKAIQNGVSLLHGYTELMVDRYLTRQVLDLQGWEEQTFDVAVDKFTVPEQVQAAPLGTARRGEELSGEVHWAPYQPCLAWSAWPDVATMGWSQDTLAGWSAWPNIAAM
+>sp|Q9ULD9|ZN608_HUMAN Zinc finger protein 608 OS=Homo sapiens OX=9606 GN=ZNF608 PE=1 SV=4
+MSVNISTAGKGVDPNTVDTYDSGDDWEIGVGNLIIDLDADLEKDRQKFEMNNSTTTTSSSNSKDCGGPASSGAGATAALADGLKFASVQASAPQGNSHKETSKSKVKRSKTSKDANKSLPSAALYGIPEISSTGKRQEVQGRPGEATGMNSALGQSVSSGGSGNPNSNSTSTSTSAATAGAGSCGKSKEEKPGKSQSSRGAKRDKDAGKSRKDKHDLLQGHQNGSGSQAPSGGHLYGFGAKSNGGGASPFHCGGTGSGSVAAAGEVSKSAPDSGLMGNSMLVKKEEEEEESHRRIKKLKTEKVDPLFTVPAPPPPISSSLTPQILPSYFSPSSSNIAAPVEQLLVRTRSVGVNTCEVGVVTEPECLGPCEPGTSVNLEGIVWHETEEGVLVVNVTWRNKTYVGTLLDCTKHDWAPPRFCESPTSDLEMRGGRGRGKRARSAAAAPGSEASFTESRGLQNKNRGGANGKGRRGSLNASGRRTPPNCAAEDIKASPSSTNKRKNKPPMELDLNSSSEDNKPGKRVRTNSRSTPTTPQGKPETTFLDQGCSSPVLIDCPHPNCNKKYKHINGLRYHQAHAHLDPENKLEFEPDSEDKISDCEEGLSNVALECSEPSTSVSAYDQLKAPASPGAGNPPGTPKGKRELMSNGPGSIIGAKAGKNSGKKKGLNNELNNLPVISNMTAALDSCSAADGSLAAEMPKLEAEGLIDKKNLGDKEKGKKATNCKTDKNLSKLKSARPIAPAPAPTPPQLIAIPTATFTTTTTGTIPGLPSLTTTVVQATPKSPPLKPIQPKPTIMGEPITVNPALVSLKDKKKKEKRKLKDKEGKETGSPKMDAKLGKLEDSKGASKDLPGHFLKDHLNKNEGLANGLSESQESRMASIKAEADKVYTFTDNAPSPSIGSASRLECSTLVNGQAPMAPLHVLTQNGAESSAAKTSSPAYSDISDAADDGGSDSRSEGMRSKASSPSDIISSKDSVVKGHSSTTAQSSQLKESHSPYYHSYDPYYSPSYMHPGQVGAPAAGNSGSTQGMKIKKESEEDAEKKDKAEQLDSKKVDHNSASLQPQHQSVITQRHPALAQSLYYGQYAYGLYMDQKSLMATSPAYRQQYEKYYEDQRLAEQKMAQTGRGDCERKSELPLKELGKEETKQKNMPSATISKAPSTPEPNKNHSKLGPSVPNKTEETGKSQLLSNHQQQLQADSFKAKQMENHQLIKEAVEMKSVMDSMKQTGVDPTSRFKQDPDSRTWHHYVYQPKYLDQQKSEELDREKKLKEDSPRKTPNKESGVPSLPVSLTSIKEEPKEAKHPDSQSMEESKLKNDDRKTPVNWKDSRGTRVAVSSPMSQHQSYIQYLHAYPYPQMYDPSHPAYRAVSPVLMHSYPGAYLSPGFHYPVYGKMSGREETEKVNTSPSVNTKTTTESKALDLLQQHANQYRSKSPAPVEKATAEREREAERERDRHSPFGQRHLHTHHHTHVGMGYPLIPGQYDPFQGLTSAALVASQQVAAQASASGMFPGQRRE
+>DECOY_sp|Q9ULD9|ZN608_HUMAN Zinc finger protein 608 OS=Homo sapiens OX=9606 GN=ZNF608 PE=1 SV=4
+ERRQGPFMGSASAQAAVQQSAVLAASTLGQFPDYQGPILPYGMGVHTHHHTHLHRQGFPSHRDREREAEREREATAKEVPAPSKSRYQNAHQQLLDLAKSETTTKTNVSPSTNVKETEERGSMKGYVPYHFGPSLYAGPYSHMLVPSVARYAPHSPDYMQPYPYAHLYQIYSQHQSMPSSVAVRTGRSDKWNVPTKRDDNKLKSEEMSQSDPHKAEKPEEKISTLSVPLSPVGSEKNPTKRPSDEKLKKERDLEESKQQDLYKPQYVYHHWTRSDPDQKFRSTPDVGTQKMSDMVSKMEVAEKILQHNEMQKAKFSDAQLQQQHNSLLQSKGTEETKNPVSPGLKSHNKNPEPTSPAKSITASPMNKQKTEEKGLEKLPLESKRECDGRGTQAMKQEALRQDEYYKEYQQRYAPSTAMLSKQDMYLGYAYQGYYLSQALAPHRQTIVSQHQPQLSASNHDVKKSDLQEAKDKKEADEESEKKIKMGQTSGSNGAAPAGVQGPHMYSPSYYPDYSHYYPSHSEKLQSSQATTSSHGKVVSDKSSIIDSPSSAKSRMGESRSDSGGDDAADSIDSYAPSSTKAASSEAGNQTLVHLPAMPAQGNVLTSCELRSASGISPSPANDTFTYVKDAEAKISAMRSEQSESLGNALGENKNLHDKLFHGPLDKSAGKSDELKGLKADMKPSGTEKGEKDKLKRKEKKKKDKLSVLAPNVTIPEGMITPKPQIPKLPPSKPTAQVVTTTLSPLGPITGTTTTTFTATPIAILQPPTPAPAPAIPRASKLKSLNKDTKCNTAKKGKEKDGLNKKDILGEAELKPMEAALSGDAASCSDLAATMNSIVPLNNLENNLGKKKGSNKGAKAGIISGPGNSMLERKGKPTGPPNGAGPSAPAKLQDYASVSTSPESCELAVNSLGEECDSIKDESDPEFELKNEPDLHAHAQHYRLGNIHKYKKNCNPHPCDILVPSSCGQDLFTTEPKGQPTTPTSRSNTRVRKGPKNDESSSNLDLEMPPKNKRKNTSSPSAKIDEAACNPPTRRGSANLSGRRGKGNAGGRNKNQLGRSETFSAESGPAAAASRARKGRGRGGRMELDSTPSECFRPPAWDHKTCDLLTGVYTKNRWTVNVVLVGEETEHWVIGELNVSTGPECPGLCEPETVVGVECTNVGVSRTRVLLQEVPAAINSSSPSFYSPLIQPTLSSSIPPPPAPVTFLPDVKETKLKKIRRHSEEEEEEKKVLMSNGMLGSDPASKSVEGAAAVSGSGTGGCHFPSAGGGNSKAGFGYLHGGSPAQSGSGNQHGQLLDHKDKRSKGADKDRKAGRSSQSKGPKEEKSKGCSGAGATAASTSTSTSNSNPNGSGGSSVSQGLASNMGTAEGPRGQVEQRKGTSSIEPIGYLAASPLSKNADKSTKSRKVKSKSTEKHSNGQPASAQVSAFKLGDALAATAGAGSSAPGGCDKSNSSSTTTTSNNMEFKQRDKELDADLDIILNGVGIEWDDGSDYTDVTNPDVGKGATSINVSM
+>sp|Q8N9Z0|ZN610_HUMAN Zinc finger protein 610 OS=Homo sapiens OX=9606 GN=ZNF610 PE=2 SV=2
+MLCDEEAQKRKAKESGMALPQGRLTFMDVAIEFSQEEWKSLDPGQRALYRDVMLENYRNLVFLGICLPDLSIISMLKQRREPLILQSQVKIVKNTDGRECVRSVNTGRSCVLGSNAENKPIKNQLGLTLEAHLSELQLFQAGRKIYRSNQVEKFTNHRSSVSPLQKISSSFTTHIFNKYRNDLIDFPLLPQEEKAYIRGKSYEYECSEDGEVFRVRASLTNHQVIHTAEKPYKCTECGKVFSRNSHLVEHWRIHTGQKPYKCSECDKVFNRNSNLARHQRIHTGEKPHKCNECGKAFRECSGLTTHLVIHTGEKPYKCNECGKNFRHKFSLTNHQRSHTAEKPYKCNECGKVFSLLSYLARHQIIHSTEKPYKCNECGRAFHKRPGLMAHLLIHTGEKPYKCNECDKVFGRKLYLTNHQRIHTGERPYKCNACGKVFNQNPHLSRHRKIHAGENSLRTLQME
+>DECOY_sp|Q8N9Z0|ZN610_HUMAN Zinc finger protein 610 OS=Homo sapiens OX=9606 GN=ZNF610 PE=2 SV=2
+EMQLTRLSNEGAHIKRHRSLHPNQNFVKGCANCKYPREGTHIRQHNTLYLKRGFVKDCENCKYPKEGTHILLHAMLGPRKHFARGCENCKYPKETSHIIQHRALYSLLSFVKGCENCKYPKEATHSRQHNTLSFKHRFNKGCENCKYPKEGTHIVLHTTLGSCERFAKGCENCKHPKEGTHIRQHRALNSNRNFVKDCESCKYPKQGTHIRWHEVLHSNRSFVKGCETCKYPKEATHIVQHNTLSARVRFVEGDESCEYEYSKGRIYAKEEQPLLPFDILDNRYKNFIHTTFSSSIKQLPSVSSRHNTFKEVQNSRYIKRGAQFLQLESLHAELTLGLQNKIPKNEANSGLVCSRGTNVSRVCERGDTNKVIKVQSQLILPERRQKLMSIISLDPLCIGLFVLNRYNELMVDRYLARQGPDLSKWEEQSFEIAVDMFTLRGQPLAMGSEKAKRKQAEEDCLM
+>sp|Q6ZNG0|ZN620_HUMAN Zinc finger protein 620 OS=Homo sapiens OX=9606 GN=ZNF620 PE=2 SV=1
+MFQTAWRQEPVTFEDVAVYFTQNEWASLDSVQRALYREVMLENYANVASLAFPFTTPVLVSQLEQGELPWGLDPWEPMGREALRGICPGDEARTEKEGLTPKDHVSKETESFRLMVGGLPGNVSQHLDFGSSLEQPQGHWIIKTKSKRRHFTDTSARHHEAYEVKNGEKFEKLGKNISVSTQLTTNQTNPSGQISYECGQCGRYFIQMADFHRHEKCHTGEKSFECKECGKYFRYNSLLIRHQIIHTGKKPFKCKECGKGLSSDTALIQHQRIHTGEKPYECKECGKAFSSSSVFLQHQRFHTGEKLYECNECWKTFSCSSSFTVHQRMHTGEKPYECKECGKRLSSNTALTQHQRIHTGEKPFECKECGKAFNQKITLIQHQRVHTGEKPYECKVCGKTFSWCGRFILHQKLHTQKTPVQA
+>DECOY_sp|Q6ZNG0|ZN620_HUMAN Zinc finger protein 620 OS=Homo sapiens OX=9606 GN=ZNF620 PE=2 SV=1
+AQVPTKQTHLKQHLIFRGCWSFTKGCVKCEYPKEGTHVRQHQILTIKQNFAKGCEKCEFPKEGTHIRQHQTLATNSSLRKGCEKCEYPKEGTHMRQHVTFSSSCSFTKWCENCEYLKEGTHFRQHQLFVSSSSFAKGCEKCEYPKEGTHIRQHQILATDSSLGKGCEKCKFPKKGTHIIQHRILLSNYRFYKGCEKCEFSKEGTHCKEHRHFDAMQIFYRGCQGCEYSIQGSPNTQNTTLQTSVSINKGLKEFKEGNKVEYAEHHRASTDTFHRRKSKTKIIWHGQPQELSSGFDLHQSVNGPLGGVMLRFSETEKSVHDKPTLGEKETRAEDGPCIGRLAERGMPEWPDLGWPLEGQELQSVLVPTTFPFALSAVNAYNELMVERYLARQVSDLSAWENQTFYVAVDEFTVPEQRWATQFM
+>sp|Q6ZSS3|ZN621_HUMAN Zinc finger protein 621 OS=Homo sapiens OX=9606 GN=ZNF621 PE=2 SV=1
+MLQTTWPQESVTFEDVAVYFTQNQWASLDPAQRALYGEVMLENYANVASLVAFPFPKPALISHLERGEAPWGPDPWDTEILRGISQGGESWIKNEGLVIKQEASEETELHRMPVGGLLRNVSQHFDFKRKALKQTFNLNPNLILRGGMKFYECKECGKIFRYNSKLIRHQMSHTGEKPFKCKECGKAFKSSYDCIVHEKNHIGEGPYECKECGKGLSSNTALTQHQRIHTGEKPYECKECGKAFRRSAAYLQHQRLHTGEKLYKCKECWKAFGCRSLFIVHQRIHTGEKPYQCKECGKAFTQKIASIQHQRVHTGEKPYECKVCGKAFKWYGSFVQHQKLHPVEKKPVKVLGPSLVSPQCSSPAIPPVLLQGSCSASAVAVPSLTFPHAVLIPTSGNFFMLLPTSGIPSSSAQIVRVFQGLTPTVKPSPVILTPSSHSS
+>DECOY_sp|Q6ZSS3|ZN621_HUMAN Zinc finger protein 621 OS=Homo sapiens OX=9606 GN=ZNF621 PE=2 SV=1
+SSHSSPTLIVPSPKVTPTLGQFVRVIQASSSPIGSTPLLMFFNGSTPILVAHPFTLSPVAVASASCSGQLLVPPIAPSSCQPSVLSPGLVKVPKKEVPHLKQHQVFSGYWKFAKGCVKCEYPKEGTHVRQHQISAIKQTFAKGCEKCQYPKEGTHIRQHVIFLSRCGFAKWCEKCKYLKEGTHLRQHQLYAASRRFAKGCEKCEYPKEGTHIRQHQTLATNSSLGKGCEKCEYPGEGIHNKEHVICDYSSKFAKGCEKCKFPKEGTHSMQHRILKSNYRFIKGCEKCEYFKMGGRLILNPNLNFTQKLAKRKFDFHQSVNRLLGGVPMRHLETEESAEQKIVLGENKIWSEGGQSIGRLIETDWPDPGWPAEGRELHSILAPKPFPFAVLSAVNAYNELMVEGYLARQAPDLSAWQNQTFYVAVDEFTVSEQPWTTQLM
+>sp|Q969S3|ZN622_HUMAN Zinc finger protein 622 OS=Homo sapiens OX=9606 GN=ZNF622 PE=1 SV=1
+MATYTCITCRVAFRDADMQRAHYKTDWHRYNLRRKVASMAPVTAEGFQERVRAQRAVAEEESKGSATYCTVCSKKFASFNAYENHLKSRRHVELEKKAVQAVNRKVEMMNEKNLEKGLGVDSVDKDAMNAAIQQAIKAQPSMSPKKAPPAPAKEARNVVAVGTGGRGTHDRDPSEKPPRLQWFEQQAKKLAKQQEEDSEEEEEDLDGDDWEDIDSDEELECEDTEAMDDVVEQDAEEEEAEEGPPLGAIPITDCLFCSHHSSSLMKNVAHMTKDHSFFIPDIEYLSDIKGLIKYLGEKVGVGKICLWCNEKGKSFYSTEAVQAHMNDKSHCKLFTDGDAALEFADFYDFRSSYPDHKEGEDPNKAEELPSEKNLEYDDETMELILPSGARVGHRSLMRYYKQRFGLSRAVAVAKNRKAVGRVLQQYRALGWTGSTGAALMRERDMQYVQRMKSKWMLKTGMKNNATKQMHFRVQVRF
+>DECOY_sp|Q969S3|ZN622_HUMAN Zinc finger protein 622 OS=Homo sapiens OX=9606 GN=ZNF622 PE=1 SV=1
+FRVQVRFHMQKTANNKMGTKLMWKSKMRQVYQMDRERMLAAGTSGTWGLARYQQLVRGVAKRNKAVAVARSLGFRQKYYRMLSRHGVRAGSPLILEMTEDDYELNKESPLEEAKNPDEGEKHDPYSSRFDYFDAFELAADGDTFLKCHSKDNMHAQVAETSYFSKGKENCWLCIKGVGVKEGLYKILGKIDSLYEIDPIFFSHDKTMHAVNKMLSSSHHSCFLCDTIPIAGLPPGEEAEEEEADQEVVDDMAETDECELEEDSDIDEWDDGDLDEEEEESDEEQQKALKKAQQEFWQLRPPKESPDRDHTGRGGTGVAVVNRAEKAPAPPAKKPSMSPQAKIAQQIAANMADKDVSDVGLGKELNKENMMEVKRNVAQVAKKELEVHRRSKLHNEYANFSAFKKSCVTCYTASGKSEEEAVARQARVREQFGEATVPAMSAVKRRLNYRHWDTKYHARQMDADRFAVRCTICTYTAM
+>sp|Q9P2J8|ZN624_HUMAN Zinc finger protein 624 OS=Homo sapiens OX=9606 GN=ZNF624 PE=1 SV=3
+MSLQDSTLSREGKPEGEIMAAVFFSVGRLSPEVTQPDEDLHLQAEETQLVKESVTFKDVAIDFTLEEWRLMDPTQRNLHKDVMLENYRNLVSLGLAVSKPDMISHLENGKGPWVTVREISRIPYPDMEPKPATKKATRTKAISEDLSQEAILEKLTENGLWDSRMEGLWKWNDRILRLQNNQENHLSQRIIPLKKTPTSQRGFRFESILIPEPGIATEELHSRCQTQEENFTENLNLITDTHLGKIICKEMKGSKAIRQTSELTLGKKSNNKEKPYKCSTCEKAFHYRSLLIQHQRTHTKEKPYECNECGKTFSQPSYLSQHKKIHTGEKPYKCNECGKAFIASSSLMVHQRIHTKEKPYQCNVCGKSFSQCARLNQHQRIQTGEKPYKCSECGKAFSDKSKLARHQETHNGEKPYKCDDCGKAFRNKSYLSVHQKTHTEEKPYQCNECGKSFKNTTIFNVHQRIHTGEKPFRCNECGKAYRSNSSLIVHIRTHTGEKPYECNECGKAFNRIANFTEHQRIHTGEKPYKCNECGKAFINYSCLTVHHRMHTGEKPYKCTECGKAFMRSSSLIIHQRIHTEEKPYLCNECGESFRIKSHLTVHQRIHTGEKPYKCTDCERAFTKMVNLKEHQKIHTGVKPYKCYDCGKSFRTKSYLIVHQRTHTGEKPYKCNECEKAFTNTSQLTVHQRRHTGEKPYKCNECGKVFTSNSGFNTHQRTHTGEKPFKCNDCGKAFSQMVHVTEHQKIHSGEKPYKCDVCGKAFRRGSYLTVHWRTHTGEKPYTCKECGKGCITLSQLTLHQRIHTGERPYKCEECGKAFRTNSDFTVHLRMHTGEKPYKCNECGKAFRSSSSLTVHQRIHQRETQLI
+>DECOY_sp|Q9P2J8|ZN624_HUMAN Zinc finger protein 624 OS=Homo sapiens OX=9606 GN=ZNF624 PE=1 SV=3
+ILQTERQHIRQHVTLSSSSRFAKGCENCKYPKEGTHMRLHVTFDSNTRFAKGCEECKYPREGTHIRQHLTLQSLTICGKGCEKCTYPKEGTHTRWHVTLYSGRRFAKGCVDCKYPKEGSHIKQHETVHVMQSFAKGCDNCKFPKEGTHTRQHTNFGSNSTFVKGCENCKYPKEGTHRRQHVTLQSTNTFAKECENCKYPKEGTHTRQHVILYSKTRFSKGCDYCKYPKVGTHIKQHEKLNVMKTFARECDTCKYPKEGTHIRQHVTLHSKIRFSEGCENCLYPKEETHIRQHIILSSSRMFAKGCETCKYPKEGTHMRHHVTLCSYNIFAKGCENCKYPKEGTHIRQHETFNAIRNFAKGCENCEYPKEGTHTRIHVILSSNSRYAKGCENCRFPKEGTHIRQHVNFITTNKFSKGCENCQYPKEETHTKQHVSLYSKNRFAKGCDDCKYPKEGNHTEQHRALKSKDSFAKGCESCKYPKEGTQIRQHQNLRACQSFSKGCVNCQYPKEKTHIRQHVMLSSSAIFAKGCENCKYPKEGTHIKKHQSLYSPQSFTKGCENCEYPKEKTHTRQHQILLSRYHFAKECTSCKYPKEKNNSKKGLTLESTQRIAKSGKMEKCIIKGLHTDTILNLNETFNEEQTQCRSHLEETAIGPEPILISEFRFGRQSTPTKKLPIIRQSLHNEQNNQLRLIRDNWKWLGEMRSDWLGNETLKELIAEQSLDESIAKTRTAKKTAPKPEMDPYPIRSIERVTVWPGKGNELHSIMDPKSVALGLSVLNRYNELMVDKHLNRQTPDMLRWEELTFDIAVDKFTVSEKVLQTEEAQLHLDEDPQTVEPSLRGVSFFVAAMIEGEPKGERSLTSDQLSM
+>sp|Q68DY1|ZN626_HUMAN Zinc finger protein 626 OS=Homo sapiens OX=9606 GN=ZNF626 PE=2 SV=2
+MGPLQFRDVAIEFSLEEWHCLDTAQRNLYRNVMLENYSNLVFLGITVSKPDLITCLEQGRKPLTMKRNEMIAKPSVMCSHFAQDLWPEQSMKDSFQKVVLRRYEKCEHDNLQLKKGCISVDECKVHKEGYNELNQCLTTTPRKICQCDKYVKVLHQFPNSNGQKRGHTGKKPFKYIECGKAFKQFSTLTTHKKIHTGGKPYKCEECGKAFNHSCSLTRHKKIHTGEKPYKCEECGKAFKHSSTLTTHKRNHTGEKPYKCDKCGKAFMSSSTLSKHEIIHTEKKPYKCEECGKAFNRSSTLTTHKIIHTGEKPYKCEECDKAFKYSYTLTTHKRIHTEDKPYKCEECGKAFKYSSTLTTHKRIHTGEKPYKCEECGKAFKRSSDLTTHKIIHTGEKPYKCEECGKAFKYSSNLTTHKKIHTGERPYKCEECGKAFNQSSILTTHRRIHTGEKFYKCEECGKAFKCSSNLTTHKKIHTGERPYKCEECGKAFNQSSILTTHERIILERNSTNVKNVAKPSSGPHTLLHIR
+>DECOY_sp|Q68DY1|ZN626_HUMAN Zinc finger protein 626 OS=Homo sapiens OX=9606 GN=ZNF626 PE=2 SV=2
+RIHLLTHPGSSPKAVNKVNTSNRELIIREHTTLISSQNFAKGCEECKYPREGTHIKKHTTLNSSCKFAKGCEECKYFKEGTHIRRHTTLISSQNFAKGCEECKYPREGTHIKKHTTLNSSYKFAKGCEECKYPKEGTHIIKHTTLDSSRKFAKGCEECKYPKEGTHIRKHTTLTSSYKFAKGCEECKYPKDETHIRKHTTLTYSYKFAKDCEECKYPKEGTHIIKHTTLTSSRNFAKGCEECKYPKKETHIIEHKSLTSSSMFAKGCKDCKYPKEGTHNRKHTTLTSSHKFAKGCEECKYPKEGTHIKKHRTLSCSHNFAKGCEECKYPKGGTHIKKHTTLTSFQKFAKGCEIYKFPKKGTHGRKQGNSNPFQHLVKVYKDCQCIKRPTTTLCQNLENYGEKHVKCEDVSICGKKLQLNDHECKEYRRLVVKQFSDKMSQEPWLDQAFHSCMVSPKAIMENRKMTLPKRGQELCTILDPKSVTIGLFVLNSYNELMVNRYLNRQATDLCHWEELSFEIAVDRFQLPGM
+>sp|Q7L945|ZN627_HUMAN Zinc finger protein 627 OS=Homo sapiens OX=9606 GN=ZNF627 PE=1 SV=1
+MDSVAFEDVAVNFTLEEWALLDPSQKNLYRDVMRETFRNLASVGKQWEDQNIEDPFKIPRRNISHIPERLCESKEGGQGEETFSQIPDGILNKKTPGVKPCESSVCGEVGMGPSSLNRHIRDHTGREPNEYQEYGKKSYTRNQCGRALSYHRSFPVRERTHPGGKPYDCKECGETFISLVSIRRHMLTHRGGVPYKCKVCGKAFDYPSLFRIHERSHTGEKPYECKQCGKAFSCSSYIRIHERTHTGDKPYECKQCGKAFSCSKYIRIHERTHTGEKPYECKQCGKAFRCASSVRSHERTHTGEKLFECKECGKALTCLASVRRHMIKHTGNGPYKCKVCGKAFDFPSSFRIHERTHTGEKPYDCKQCGKAFSCSSSFRKHERIHTGEKPYKCTKCGKAFSRSSYFRIHERTHTGEKPYECKQCGKAFSRSTYFRVHEKIHTGEKPYENPNPNASVVPVLS
+>DECOY_sp|Q7L945|ZN627_HUMAN Zinc finger protein 627 OS=Homo sapiens OX=9606 GN=ZNF627 PE=1 SV=1
+SLVPVVSANPNPNEYPKEGTHIKEHVRFYTSRSFAKGCQKCEYPKEGTHTREHIRFYSSRSFAKGCKTCKYPKEGTHIREHKRFSSSCSFAKGCQKCDYPKEGTHTREHIRFSSPFDFAKGCVKCKYPGNGTHKIMHRRVSALCTLAKGCEKCEFLKEGTHTREHSRVSSACRFAKGCQKCEYPKEGTHTREHIRIYKSCSFAKGCQKCEYPKDGTHTREHIRIYSSCSFAKGCQKCEYPKEGTHSREHIRFLSPYDFAKGCVKCKYPVGGRHTLMHRRISVLSIFTEGCEKCDYPKGGPHTRERVPFSRHYSLARGCQNRTYSKKGYEQYENPERGTHDRIHRNLSSPGMGVEGCVSSECPKVGPTKKNLIGDPIQSFTEEGQGGEKSECLREPIHSINRRPIKFPDEINQDEWQKGVSALNRFTERMVDRYLNKQSPDLLAWEELTFNVAVDEFAVSDM
+>sp|Q9UID6|ZN639_HUMAN Zinc finger protein 639 OS=Homo sapiens OX=9606 GN=ZNF639 PE=1 SV=1
+MNEYPKKRKRKTLHPSRYSDSSGISRIADGFNGIFSDHCYSVCSMRQPDLKYFDNKDDDSDTETSNDLPKFADGIKARNRNQNYLVPSPVLRILDHTAFSTEKSADIVICDEECDSPESVNQQTQEESPIEVHTAEDVPIAVEVHAISEDYDIETENNSSESLQDQTDEEPPAKLCKILDKSQALNVTAQQKWPLLRANSSGLYKCELCEFNSKYFSDLKQHMILKHKRTDSNVCRVCKESFSTNMLLIEHAKLHEEDPYICKYCDYKTVIFENLSQHIADTHFSDHLYWCEQCDVQFSSSSELYLHFQEHSCDEQYLCQFCEHETNDPEDLHSHVVNEHACKLIELSDKYNNGEHGQYSLLSKITFDKCKNFFVCQVCGFRSRLHTNVNRHVAIEHTKIFPHVCDDCGKGFSSMLEYCKHLNSHLSEGIYLCQYCEYSTGQIEDLKIHLDFKHSADLPHKCSDCLMRFGNERELISHLPVHETT
+>DECOY_sp|Q9UID6|ZN639_HUMAN Zinc finger protein 639 OS=Homo sapiens OX=9606 GN=ZNF639 PE=1 SV=1
+TTEHVPLHSILERENGFRMLCDSCKHPLDASHKFDLHIKLDEIQGTSYECYQCLYIGESLHSNLHKCYELMSSFGKGCDDCVHPFIKTHEIAVHRNVNTHLRSRFGCVQCVFFNKCKDFTIKSLLSYQGHEGNNYKDSLEILKCAHENVVHSHLDEPDNTEHECFQCLYQEDCSHEQFHLYLESSSSFQVDCQECWYLHDSFHTDAIHQSLNEFIVTKYDCYKCIYPDEEHLKAHEILLMNTSFSEKCVRCVNSDTRKHKLIMHQKLDSFYKSNFECLECKYLGSSNARLLPWKQQATVNLAQSKDLIKCLKAPPEEDTQDQLSESSNNETEIDYDESIAHVEVAIPVDEATHVEIPSEEQTQQNVSEPSDCEEDCIVIDASKETSFATHDLIRLVPSPVLYNQNRNRAKIGDAFKPLDNSTETDSDDDKNDFYKLDPQRMSCVSYCHDSFIGNFGDAIRSIGSSDSYRSPHLTKRKRKKPYENM
+>sp|Q96CK0|ZN653_HUMAN Zinc finger protein 653 OS=Homo sapiens OX=9606 GN=ZNF653 PE=1 SV=1
+MAERALEPEAEAEAEAGAGGEAAAEEGAAGRKARGRPRLTESDRARRRLESRKKYDVRRVYLGEAHGPWVDLRRRSGWSDAKLAAYLISLERGQRSGRHGKPWEQVPKKPKRKKRRRRNVNCLKNVVIWYEDHKHRCPYEPHLAELDPTFGLYTTAVWQCEAGHRYFQDLHSPLKPLSDSDPDSDKVGNGLVAGSSDSSSSGSASDSEESPEGQPVKAAAAAAAATPTSPVGSSGLITQEGVHIPFDVHHVESLAEQGTPLCSNPAGNGPEALETVVCVPVPVQVGAGPSALFENVPQEALGEVVASCPMPGMVPGSQVIIIAGPGYDALTAEGIHLNMAAGSGVPGSGLGEEVPCAMMEGVAAYTQTEPEGSQPSTMDATAVAGIETKKEKEDLCLLKKEEKEEPVAPELATTVPESAEPEAEADGEELDGSDMSAIIYEIPKEPEKRRRSKRSRVMDADGLLEMFHCPYEGCSQVYVALSSFQNHVNLVHRKGKTKVCPHPGCGKKFYLSNHLRRHMIIHSGVREFTCETCGKSFKRKNHLEVHRRTHTGETPLQCEICGYQCRQRASLNWHMKKHTAEVQYNFTCDRCGKRFEKLDSVKFHTLKSHPDHKPT
+>DECOY_sp|Q96CK0|ZN653_HUMAN Zinc finger protein 653 OS=Homo sapiens OX=9606 GN=ZNF653 PE=1 SV=1
+TPKHDPHSKLTHFKVSDLKEFRKGCRDCTFNYQVEATHKKMHWNLSARQRCQYGCIECQLPTEGTHTRRHVELHNKRKFSKGCTECTFERVGSHIIMHRRLHNSLYFKKGCGPHPCVKTKGKRHVLNVHNQFSSLAVYVQSCGEYPCHFMELLGDADMVRSRKSRRRKEPEKPIEYIIASMDSGDLEEGDAEAEPEASEPVTTALEPAVPEEKEEKKLLCLDEKEKKTEIGAVATADMTSPQSGEPETQTYAAVGEMMACPVEEGLGSGPVGSGAAMNLHIGEATLADYGPGAIIIVQSGPVMGPMPCSAVVEGLAEQPVNEFLASPGAGVQVPVPVCVVTELAEPGNGAPNSCLPTGQEALSEVHHVDFPIHVGEQTILGSSGVPSTPTAAAAAAAAKVPQGEPSEESDSASGSSSSDSSGAVLGNGVKDSDPDSDSLPKLPSHLDQFYRHGAECQWVATTYLGFTPDLEALHPEYPCRHKHDEYWIVVNKLCNVNRRRRKKRKPKKPVQEWPKGHRGSRQGRELSILYAALKADSWGSRRRLDVWPGHAEGLYVRRVDYKKRSELRRRARDSETLRPRGRAKRGAAGEEAAAEGGAGAEAEAEAEPELAREAM
+>sp|Q5TEC3|ZN697_HUMAN Zinc finger protein 697 OS=Homo sapiens OX=9606 GN=ZNF697 PE=1 SV=2
+MKQEDNQGVCAHQDSEDKGMGSDFEDSEDREGDPEEREMGSNPHDTNKREGHPEPEMGSNPQDSRHREAVPDICTEGQLSEEEGVSVRGEEDDQSGVADMAMFPGLSESDSISRSLREDDDESAGENRLEEEEEQPAPPVLPWRRHLSLGSRHRGDKPAHRRFHRLHHPMAVDLGELDSLVASIMDAPTICPDCGESFSPGAAFLQHQRIHRLAEAAAAASLEPFGLAGECDAMVGMMGVGVAGGFGAGPPLARPPREKPFRCGECGKGFSRNTYLTNHLRLHTGERPNLCADCGKSFSWRADLLKHRRLHTGEKPYPCPECGEAFSLSSHLLSHRRAHAAASGAGAAALRPFACGECGKGFVRRSHLANHQRIHTGEKPHGCGECGKRFSWRSDLVKHQRVHTGEKPYMCSECGETFSVSSHLFTHKRTHSGERPYVCRECGKGFGRNSHLVNHLRVHTGEKPFRCGQCEKRFSDFSTLTQHQRTHTGEKPYTCIECGKSFIQSSHLIRHRRIHTGNKPHKCAGCGKGFRYKTHLAQHQKLHLC
+>DECOY_sp|Q5TEC3|ZN697_HUMAN Zinc finger protein 697 OS=Homo sapiens OX=9606 GN=ZNF697 PE=1 SV=2
+CLHLKQHQALHTKYRFGKGCGACKHPKNGTHIRRHRILHSSQIFSKGCEICTYPKEGTHTRQHQTLTSFDSFRKECQGCRFPKEGTHVRLHNVLHSNRGFGKGCERCVYPREGSHTRKHTFLHSSVSFTEGCESCMYPKEGTHVRQHKVLDSRWSFRKGCEGCGHPKEGTHIRQHNALHSRRVFGKGCEGCAFPRLAAAGAGSAAAHARRHSLLHSSLSFAEGCEPCPYPKEGTHLRRHKLLDARWSFSKGCDACLNPREGTHLRLHNTLYTNRSFGKGCEGCRFPKERPPRALPPGAGFGGAVGVGMMGVMADCEGALGFPELSAAAAAEALRHIRQHQLFAAGPSFSEGCDPCITPADMISAVLSDLEGLDVAMPHHLRHFRRHAPKDGRHRSGLSLHRRWPLVPPAPQEEEEELRNEGASEDDDERLSRSISDSESLGPFMAMDAVGSQDDEEGRVSVGEEESLQGETCIDPVAERHRSDQPNSGMEPEPHGERKNTDHPNSGMEREEPDGERDESDEFDSGMGKDESDQHACVGQNDEQKM
+>sp|Q9H0M5|ZN700_HUMAN Zinc finger protein 700 OS=Homo sapiens OX=9606 GN=ZNF700 PE=2 SV=1
+MPCCSHRSCREDPGTSESREMDPVAFEDVAVNFTQEEWTLLDISQKNLFREVMLETFRNLTSIGKKWSDQNIEYEYQNPRRSFRSLIEEKVNEIKEDSHCGETFTQVPDDRLNFQEKKASPEVKSCDSFVCAEVGIGNSSFNMSIRGDTGHKAYEYQEYGPKPYKCQQPKNKKAFRYRPSIRTQERDHTGEKPYACKVCGKTFIFHSSIRRHMVMHSGDGTYKCKFCGKAFHSFSLYLIHERTHTGEKPYECKQCGKSFTYSATLQIHERTHTGEKPYECSKCDKAFHSSSSYHRHERSHMGEKPYQCKECGKAFAYTSSLRRHERTHSGKKPYECKQYGEGLSYLISFQTHIRMNSGERPYKCKICGKGFYSAKSFQTHEKTHTGEKRYKCKQCGKAFNLSSSFRYHERIHTGEKPYECKQCGKAFRSASQLRVHGGTHTGEKPYECKECGKAFRSTSHLRVHGRTHTGEKPYECKECGKAFRYVKHLQIHERTEKHIRMPSGERPYKCSICEKGFYSAKSFQTHEKTHTGEKPYECNQCGKAFRCCNSLRYHERTHTGEKPYECKQCGKAFRSASHLRMHERTHTGEKPYECKQCGKAFSCASNLRKHGRTHTGEKPYECKQCGKAFRSASNLQMHERTHTGEKPYECKECEKAFCKFSSFQIHERKHRGEKPYECKHCGNGFTSAKILQIHARTHIGEKHYECKECGKAFNYFSSLHIHARTHMGEKPYECKDCGKAFS
+>DECOY_sp|Q9H0M5|ZN700_HUMAN Zinc finger protein 700 OS=Homo sapiens OX=9606 GN=ZNF700 PE=2 SV=1
+SFAKGCDKCEYPKEGMHTRAHIHLSSFYNFAKGCEKCEYHKEGIHTRAHIQLIKASTFGNGCHKCEYPKEGRHKREHIQFSSFKCFAKECEKCEYPKEGTHTREHMQLNSASRFAKGCQKCEYPKEGTHTRGHKRLNSACSFAKGCQKCEYPKEGTHTREHMRLHSASRFAKGCQKCEYPKEGTHTREHYRLSNCCRFAKGCQNCEYPKEGTHTKEHTQFSKASYFGKECISCKYPREGSPMRIHKETREHIQLHKVYRFAKGCEKCEYPKEGTHTRGHVRLHSTSRFAKGCEKCEYPKEGTHTGGHVRLQSASRFAKGCQKCEYPKEGTHIREHYRFSSSLNFAKGCQKCKYRKEGTHTKEHTQFSKASYFGKGCIKCKYPREGSNMRIHTQFSILYSLGEGYQKCEYPKKGSHTREHRRLSSTYAFAKGCEKCQYPKEGMHSREHRHYSSSSHFAKDCKSCEYPKEGTHTREHIQLTASYTFSKGCQKCEYPKEGTHTREHILYLSFSHFAKGCFKCKYTGDGSHMVMHRRISSHFIFTKGCVKCAYPKEGTHDREQTRISPRYRFAKKNKPQQCKYPKPGYEQYEYAKHGTDGRISMNFSSNGIGVEACVFSDCSKVEPSAKKEQFNLRDDPVQTFTEGCHSDEKIENVKEEILSRFSRRPNQYEYEINQDSWKKGISTLNRFTELMVERFLNKQSIDLLTWEEQTFNVAVDEFAVPDMERSESTGPDERCSRHSCCPM
+>sp|Q96N20|ZN75A_HUMAN Zinc finger protein 75A OS=Homo sapiens OX=9606 GN=ZNF75A PE=2 SV=1
+MYFSQEEWELLDPTQKALYNDVMQENYETVISLALFVLPKPKVISCLEQGEEPWVQVSPEFKDSAGKSPTGLKLKNDTENHQPVSLSDLEIQASAGVISKKAKVKVPQKTAGKENHFDMHRVGKWHQDFPVKKRKKLSTWKQELLKLMDRHKKDCAREKPFKCQECGKTFRVSSDLIKHQRIHTEEKPYKCQQCDKRFRWSSDLNKHLTTHQGIKPYKCSWCGKSFSQNTNLHTHQRTHTGEKPFTCHECGKKFSQNSHLIKHRRTHTGEQPYTCSICRRNFSRRSSLLRHQKLHL
+>DECOY_sp|Q96N20|ZN75A_HUMAN Zinc finger protein 75A OS=Homo sapiens OX=9606 GN=ZNF75A PE=2 SV=1
+LHLKQHRLLSSRRSFNRRCISCTYPQEGTHTRRHKILHSNQSFKKGCEHCTFPKEGTHTRQHTHLNTNQSFSKGCWSCKYPKIGQHTTLHKNLDSSWRFRKDCQQCKYPKEETHIRQHKILDSSVRFTKGCEQCKFPKERACDKKHRDMLKLLEQKWTSLKKRKKVPFDQHWKGVRHMDFHNEKGATKQPVKVKAKKSIVGASAQIELDSLSVPQHNETDNKLKLGTPSKGASDKFEPSVQVWPEEGQELCSIVKPKPLVFLALSIVTEYNEQMVDNYLAKQTPDLLEWEEQSFYM
+>sp|Q86XN6|ZN761_HUMAN Zinc finger protein 761 OS=Homo sapiens OX=9606 GN=ZNF761 PE=1 SV=3
+MAFSQGLLTFRDVAIEFSQEEWKCLDPAQRTLYRDVMLENYRNLVSLDISSKCTMKEFLSTAQGNREVFHAGTLQIHESHHNGDFCYQDVDKDIHDYEFQWQEDERNGHEAPMTKIKKLTGITERYDQSHARNKPIKDQLGSSFHSHLPEMHIFQTEEKIDNQVVKSVHDASLVSTAQRISCRPKTHISNNHGNNFWNSSLLTQKQEVHMREKSFQCNESGKAFNYSSLLRKHQIIHLADKYKCDVCGKLFNQKRNLACHRRCHTGENPYKCNECGKTFSQTSSLTCHRRLHTGEKPYKCEECDKAFHFKSILERHRIIHTEEKPYKCNECGKTFRQKSILTRHHRLHTGEKPYKCNECGKTFSHKSSLTCHHRLHTGEKPYKCNECGKTFSHKSSLTCHRRLHTGEKPYKCEECDKAYSFRSNFEIHRKIHTEDNAYKCNECGKTFSRTSSLTCHRRRHTGEQPYKCEECDKAFRFKSNLERHRRIHTGEKPYKCNECGKTFSRKSYLTCHHRLHTGEKAYKCNECGKTFSWKSSLTCHRRLHSGEKPYKCKECGKTFNQQLTLKRHRRLHSGENPYKCEDSDKAYSFKSNLEIHQKIHTEENPYKCNECGKTFSRTSSLTCHRRLHTGEKPYKCEECDKAFRVKSNLEGHRRIHTGEKPYKCNECGKTFSRKSYFICHHRLHTGEKPYKCNECGKNFSQKSSLICHHRLHTGEKPYKCNECGKTFSQKSNLTCHRRLHTGEKQV
+>DECOY_sp|Q86XN6|ZN761_HUMAN Zinc finger protein 761 OS=Homo sapiens OX=9606 GN=ZNF761 PE=1 SV=3
+VQKEGTHLRRHCTLNSKQSFTKGCENCKYPKEGTHLRHHCILSSKQSFNKGCENCKYPKEGTHLRHHCIFYSKRSFTKGCENCKYPKEGTHIRRHGELNSKVRFAKDCEECKYPKEGTHLRRHCTLSSTRSFTKGCENCKYPNEETHIKQHIELNSKFSYAKDSDECKYPNEGSHLRRHRKLTLQQNFTKGCEKCKYPKEGSHLRRHCTLSSKWSFTKGCENCKYAKEGTHLRHHCTLYSKRSFTKGCENCKYPKEGTHIRRHRELNSKFRFAKDCEECKYPQEGTHRRRHCTLSSTRSFTKGCENCKYANDETHIKRHIEFNSRFSYAKDCEECKYPKEGTHLRRHCTLSSKHSFTKGCENCKYPKEGTHLRHHCTLSSKHSFTKGCENCKYPKEGTHLRHHRTLISKQRFTKGCENCKYPKEETHIIRHRELISKFHFAKDCEECKYPKEGTHLRRHCTLSSTQSFTKGCENCKYPNEGTHCRRHCALNRKQNFLKGCVDCKYKDALHIIQHKRLLSSYNFAKGSENCQFSKERMHVEQKQTLLSSNWFNNGHNNSIHTKPRCSIRQATSVLSADHVSKVVQNDIKEETQFIHMEPLHSHFSSGLQDKIPKNRAHSQDYRETIGTLKKIKTMPAEHGNREDEQWQFEYDHIDKDVDQYCFDGNHHSEHIQLTGAHFVERNGQATSLFEKMTCKSSIDLSVLNRYNELMVDRYLTRQAPDLCKWEEQSFEIAVDRFTLLGQSFAM
+>sp|Q5HY98|ZN766_HUMAN Zinc finger protein 766 OS=Homo sapiens OX=9606 GN=ZNF766 PE=1 SV=1
+MAQLRRGHLTFRDVAIEFSQEEWKCLDPVQKALYRDVMLENYRNLVSLGICLPDLSIISMMKQRTEPWTVENEMKVAKNPDRWEGIKDINTGRSCAVRSKAGNKPITNQLGLTFQLPLPELEIFQGEGKIYECNQVQKFISHSSSVSPLQRIYSGVKTHIFNKHRNDFVDFPLLSQEQKAHIRRKPYECNEQGKVFRVSSSLPNHQVIHTADKPNRCHECGKTVRDKSGLAEHWRIRTGEKPYKCKECGKLFNRIAYLARHEKVHTGESPYKCNECGKVFSRITYLVRHQKIHTREKPHKCNKCGKVYSSSSYLAQHWRIHTGEKLYKCNKCGKEFSGHSSLTTHLLIHTGEKPYKCKECDKAFRHKFSLTVHQRNHNGEKPYKCHECGKVFTQVSHLARHQKIHTGEKPYKCNECGKVFTQNSHLANHQRIHTGEKPYKCHVCGKVFRHSSWFVQHQRSVHERVLTN
+>DECOY_sp|Q5HY98|ZN766_HUMAN Zinc finger protein 766 OS=Homo sapiens OX=9606 GN=ZNF766 PE=1 SV=1
+NTLVREHVSRQHQVFWSSHRFVKGCVHCKYPKEGTHIRQHNALHSNQTFVKGCENCKYPKEGTHIKQHRALHSVQTFVKGCEHCKYPKEGNHNRQHVTLSFKHRFAKDCEKCKYPKEGTHILLHTTLSSHGSFEKGCKNCKYLKEGTHIRWHQALYSSSSYVKGCKNCKHPKERTHIKQHRVLYTIRSFVKGCENCKYPSEGTHVKEHRALYAIRNFLKGCEKCKYPKEGTRIRWHEALGSKDRVTKGCEHCRNPKDATHIVQHNPLSSSVRFVKGQENCEYPKRRIHAKQEQSLLPFDVFDNRHKNFIHTKVGSYIRQLPSVSSSHSIFKQVQNCEYIKGEGQFIELEPLPLQFTLGLQNTIPKNGAKSRVACSRGTNIDKIGEWRDPNKAVKMENEVTWPETRQKMMSIISLDPLCIGLSVLNRYNELMVDRYLAKQVPDLCKWEEQSFEIAVDRFTLHGRRLQAM
+>sp|Q6ZMW2|ZN782_HUMAN Zinc finger protein 782 OS=Homo sapiens OX=9606 GN=ZNF782 PE=2 SV=1
+MNTFQASVSFQDVTVEFSQEEWQHMGPVERTLYRDVMLENYSHLVSVGYCFTKPELIFTLEQGEDPWLLEKEKGFLSRNSPEDSQPDEISEKSPENQGKHLLQVLFTNKLLTTEQEISGKPHNRDINIFRARMMPCKCDIAGSACQGLSLMAPHCQYSKEKAHERNVCDKWLISIKDGRTNTQEKSFAYSKIVKTLHHKEEVIQHQTIQTLGQDFEYNESRKAFLEKAALVTSNSTHPKGKSYNFNKFGENKYDKSTFIIPQNMNPEKSHYEFNDTGNCFCRITHKTLTGGKSFSQKSHIREHHRVHIGVKPFEYGKSFNRNSTLPVHQRTHATDKYSDYHPCTETFSYQSTFSVHQKVHIRAKPYEYNECGKSCSMNSHLIWPQKSHTGEKPYECPECGKAFSEKSRLRKHQRTHTGEKPYKCDGCDKAFSAKSGLRIHQRTHTGEKPFECHECGKSFNYKSILIVHQRTHTGEKPFECNECGKSFSHMSGLRNHRRTHTGERPYKCDECGKAFKLKSGLRKHHRTHTGEKPYKCNQCGKAFGQKSQLRGHHRIHTGEKPYKCNHCGEAFSQKSNLRVHHRTHTGEKPYQCEECGKTFRQKSNLRGHQRTHTGEKPYECNECGKAFSEKSVLRKHQRTHTGEKPYNCNQCGEAFSQKSNLRVHQRTHTGEKPYKCDKCGRTFSQKSSLREHQKAHPGD
+>DECOY_sp|Q6ZMW2|ZN782_HUMAN Zinc finger protein 782 OS=Homo sapiens OX=9606 GN=ZNF782 PE=2 SV=1
+DGPHAKQHERLSSKQSFTRGCKDCKYPKEGTHTRQHVRLNSKQSFAEGCQNCNYPKEGTHTRQHKRLVSKESFAKGCENCEYPKEGTHTRQHGRLNSKQRFTKGCEECQYPKEGTHTRHHVRLNSKQSFAEGCHNCKYPKEGTHIRHHGRLQSKQGFAKGCQNCKYPKEGTHTRHHKRLGSKLKFAKGCEDCKYPREGTHTRRHNRLGSMHSFSKGCENCEFPKEGTHTRQHVILISKYNFSKGCEHCEFPKEGTHTRQHIRLGSKASFAKDCGDCKYPKEGTHTRQHKRLRSKESFAKGCEPCEYPKEGTHSKQPWILHSNMSCSKGCENYEYPKARIHVKQHVSFTSQYSFTETCPHYDSYKDTAHTRQHVPLTSNRNFSKGYEFPKVGIHVRHHERIHSKQSFSKGGTLTKHTIRCFCNGTDNFEYHSKEPNMNQPIIFTSKDYKNEGFKNFNYSKGKPHTSNSTVLAAKELFAKRSENYEFDQGLTQITQHQIVEEKHHLTKVIKSYAFSKEQTNTRGDKISILWKDCVNREHAKEKSYQCHPAMLSLGQCASGAIDCKCPMMRARFINIDRNHPKGSIEQETTLLKNTFLVQLLHKGQNEPSKESIEDPQSDEPSNRSLFGKEKELLWPDEGQELTFILEPKTFCYGVSVLHSYNELMVDRYLTREVPGMHQWEEQSFEVTVDQFSVSAQFTNM
+>sp|Q8N393|ZN786_HUMAN Zinc finger protein 786 OS=Homo sapiens OX=9606 GN=ZNF786 PE=2 SV=2
+MAEPPRLPLTFEDVAIYFSEQEWQDLEAWQKELYKHVMRSNYETLVSLDDGLPKPELISWIEHGGEPFRKWRESQKSGNIICSSVDMHFDPGFEEQLFWGSQQAMNSGKTKSHFQLDPESQCSFGSFVSFRPDQGITLGSPQRHDARAPPPLACGPSESTLKEGIPGPRNLDLPGLWDVPAWESTQHPWPVCGESCWENNHLVMHQRGHSKDRTRRAWEKFNKRAETQMPWSSPRVQRHFRCGVCGKSFRRKLCLLRHLAAHTGRGPFRNADGEMCFRHELTHPSHRLPQQGEKPAQCTPCGKRSLPVDSTQARRCQHSREGPASWREGRGASSSVHSGQKPGSRLPQEGNSHQEGDTEALQHGAEGPCSCSECGERSPMSARLASPCRAHTGEKPFQCAHCTKRFRLRRLLQVHQHAHGGERPFSCRKCGKGFAKQCKLTEHIRVHSGEKPFRCAKCGRNFRQRGQLLRHQRLHTDEKPFQCPECGLSFRLESMLRAHRLRHGGERPFSCSECGRGFTHQCKLREHLRVHSGERPFQCLKCDKRFRLKGILKAHQHTHSKERPFSCGECGKGFTRQSKLTEHLRVHSGERPFQCPECNRSFRLKGQLLSHQRLHTGERPFQCPECDKRYRVKADMKAHQLLHSGEMPFSCECGKGFVKHSKLIEHIRTHTGEKPFQCPKCDKSFRLKAQLLSHQGLHTGERPFHCPECDKNFRERGHMLRHQRIHRPERPFACGDCGKGFIYKSKLAEHIRVHTKSCPAPNELDIKKRLSQLFAMIEADWS
+>DECOY_sp|Q8N393|ZN786_HUMAN Zinc finger protein 786 OS=Homo sapiens OX=9606 GN=ZNF786 PE=2 SV=2
+SWDAEIMAFLQSLRKKIDLENPAPCSKTHVRIHEALKSKYIFGKGCDGCAFPREPRHIRQHRLMHGRERFNKDCEPCHFPREGTHLGQHSLLQAKLRFSKDCKPCQFPKEGTHTRIHEILKSHKVFGKGCECSFPMEGSHLLQHAKMDAKVRYRKDCEPCQFPREGTHLRQHSLLQGKLRFSRNCEPCQFPREGSHVRLHETLKSQRTFGKGCEGCSFPREKSHTHQHAKLIGKLRFRKDCKLCQFPREGSHVRLHERLKCQHTFGRGCESCSFPREGGHRLRHARLMSELRFSLGCEPCQFPKEDTHLRQHRLLQGRQRFNRGCKACRFPKEGSHVRIHETLKCQKAFGKGCKRCSFPREGGHAHQHVQLLRRLRFRKTCHACQFPKEGTHARCPSALRASMPSREGCESCSCPGEAGHQLAETDGEQHSNGEQPLRSGPKQGSHVSSSAGRGERWSAPGERSHQCRRAQTSDVPLSRKGCPTCQAPKEGQQPLRHSPHTLEHRFCMEGDANRFPGRGTHAALHRLLCLKRRFSKGCVGCRFHRQVRPSSWPMQTEARKNFKEWARRTRDKSHGRQHMVLHNNEWCSEGCVPWPHQTSEWAPVDWLGPLDLNRPGPIGEKLTSESPGCALPPPARADHRQPSGLTIGQDPRFSVFSGFSCQSEPDLQFHSKTKGSNMAQQSGWFLQEEFGPDFHMDVSSCIINGSKQSERWKRFPEGGHEIWSILEPKPLGDDLSVLTEYNSRMVHKYLEKQWAELDQWEQESFYIAVDEFTLPLRPPEAM
+>sp|Q6DD87|ZN787_HUMAN Zinc finger protein 787 OS=Homo sapiens OX=9606 GN=ZNF787 PE=1 SV=4
+MELREEAWSPGPLDSEDQQMASHENPVDILIMDDDDVPSWPPTKLSPPQSAPPAGPPPRPRPPAPYICNECGKSFSHWSKLTRHQRTHTGERPNACADCGKTFSQSSHLVQHRRIHTGEKPYACLECGKRFSWSSNLMQHQRIHTGEKPYTCPDCGRSFTQSKSLAKHRRSHSGLKPFVCPRCGRGFSQPKSLARHLRLHPELSGPGVAAKVLAASVRRAKGPEEAVAADGEIAIPVGDGEGIIVVGAPGEGAAAAAAMAGAGAKAAGPRSRRAPAPKPYVCLECGKGFGHGAGLLAHQRAQHGDGLGAAGGEEPAHICVECGEGFVQGAALRRHKKIHAVGAPSVCSSCGQSYYRAGGEEEDDDDEAAGGRCPECRGGEGR
+>DECOY_sp|Q6DD87|ZN787_HUMAN Zinc finger protein 787 OS=Homo sapiens OX=9606 GN=ZNF787 PE=1 SV=4
+RGEGGRCEPCRGGAAEDDDDEEEGGARYYSQGCSSCVSPAGVAHIKKHRRLAAGQVFGEGCEVCIHAPEEGGAAGLGDGHQARQHALLGAGHGFGKGCELCVYPKPAPARRSRPGAAKAGAGAMAAAAAAGEGPAGVVIIGEGDGVPIAIEGDAAVAEEPGKARRVSAALVKAAVGPGSLEPHLRLHRALSKPQSFGRGCRPCVFPKLGSHSRRHKALSKSQTFSRGCDPCTYPKEGTHIRQHQMLNSSWSFRKGCELCAYPKEGTHIRRHQVLHSSQSFTKGCDACANPREGTHTRQHRTLKSWHSFSKGCENCIYPAPPRPRPPPGAPPASQPPSLKTPPWSPVDDDDMILIDVPNEHSAMQQDESDLPGPSWAEERLEM
+>sp|Q96GE5|ZN799_HUMAN Zinc finger protein 799 OS=Homo sapiens OX=9606 GN=ZNF799 PE=2 SV=4
+MASVALEDVAVNFTREEWALLGPCQKNLYKDVMQETIRNLDCVGMKWKDQNIEDQYRYPRKNLRCRMLERFVESKDGTQCGETSSQIQDSIVTKNTLPGVGPYESRMSGEVIMGHSSLNCYIRVGAGHKPYEYHECGEKPDTHKQRGKAFSYHNSLQTHERLHTGKKPYNCKECGKSFSSLGNLQRHMAVQRGDGPYKCKLCGKAFFWPSLLHMHERTHTGEKPYECKQCSKAFSFYSSYLRHERTHTGEKLYECKQCSKAFPDYSSCLRHERTHTGKKPYTCKQCGKAFSASTSLRRHETTHTDEKPYACQQCGKAFHHLGSFQRHMVMHTRDGPHKCKICGKGFDCPSSLKSHERTHTGEKLYECKQCGKALSHSSSFRRHMTMHTGDGPHKCKICGKAFVYPSVFQRHEKTHTAEKPYKCKQCGKAYRISSSLRRHETTHTGEKPYKCKCGKAFIDFYSFQNHKTTHAGEKPYECKECGKAFSCFQYLSQHRRTHTGEKPYECNTCKKAFSHFGNLKVHERIHSGEKPYECKECGKAFSWLTCFLRHERIHMREKPYECQQCGKAFTHSRFLQGHEKTHTGENPYECKECGKAFASLSSLHRHKKTHWKKTHTGENPYGCKECGKAFASLSSLHRHKKTH
+>DECOY_sp|Q96GE5|ZN799_HUMAN Zinc finger protein 799 OS=Homo sapiens OX=9606 GN=ZNF799 PE=2 SV=4
+HTKKHRHLSSLSAFAKGCEKCGYPNEGTHTKKWHTKKHRHLSSLSAFAKGCEKCEYPNEGTHTKEHGQLFRSHTFAKGCQQCEYPKERMHIREHRLFCTLWSFAKGCEKCEYPKEGSHIREHVKLNGFHSFAKKCTNCEYPKEGTHTRRHQSLYQFCSFAKGCEKCEYPKEGAHTTKHNQFSYFDIFAKGCKCKYPKEGTHTTEHRRLSSSIRYAKGCQKCKYPKEATHTKEHRQFVSPYVFAKGCIKCKHPGDGTHMTMHRRFSSSHSLAKGCQKCEYLKEGTHTREHSKLSSPCDFGKGCIKCKHPGDRTHMVMHRQFSGLHHFAKGCQQCAYPKEDTHTTEHRRLSTSASFAKGCQKCTYPKKGTHTREHRLCSSYDPFAKSCQKCEYLKEGTHTREHRLYSSYFSFAKSCQKCEYPKEGTHTREHMHLLSPWFFAKGCLKCKYPGDGRQVAMHRQLNGLSSFSKGCEKCNYPKKGTHLREHTQLSNHYSFAKGRQKHTDPKEGCEHYEYPKHGAGVRIYCNLSSHGMIVEGSMRSEYPGVGPLTNKTVISDQIQSSTEGCQTGDKSEVFRELMRCRLNKRPYRYQDEINQDKWKMGVCDLNRITEQMVDKYLNKQCPGLLAWEERTFNVAVDELAVSAM
+>sp|P0C7X5|ZN806_HUMAN Zinc finger protein 806 OS=Homo sapiens OX=9606 GN=ZNF806 PE=3 SV=1
+MIKFQERVTFKDIAVIFTKEELAVLDKAQINLYQDVMLENFRNFISVDGIKNNILNLQGKGLIYLSQEELHCWKIWKQRIRDLSVSQDYIMNLQEQCSPHLEDVSLCEEWAGMSLQISENENYVVNAIIKNQDITAWQSLTQVLTPESWRKANIMTEPQKSQGRYKGIYVEEKLYRHARHDESLNWTSRDHHESQECKGEDPGRHPNCGKNLGMKSTVEQHHAVHVLPQPFTCNNCGVAFADDTDPRVHHSTHLGEKSYKCDQYGKNLSQSQYLIVHCKTHSGETPYEFHEWPTGCKQSSDLPRCQKVPSGDNPYKCKECGKGFRCNSSLHNHHRVHTGEMPYKCHVCGKAFGFRSLPCIHQGVHTGKKPYKCEDCGKGFEQSSNLLIHQRVHTGEKPYKSSECGKCFSSSSVLQVHWRFHTGEKPYRCGECGKGFSQSTHLHIHQRVHTGEKQYNAMCVERILGIVLFFTLIREFTLQKNHINAKCVESALVTVHIFTSIKAITQERNHINVMSVVKASVGIQIFNVHLRVHRGQRPCKCKACGKGFSRNSHLLAQQRVRIDKTQYTHCEHGKDLLTHQRLHEQRETL
+>DECOY_sp|P0C7X5|ZN806_HUMAN Zinc finger protein 806 OS=Homo sapiens OX=9606 GN=ZNF806 PE=3 SV=1
+LTERQEHLRQHTLLDKGHECHTYQTKDIRVRQQALLHSNRSFGKGCAKCKCPRQGRHVRLHVNFIQIGVSAKVVSMVNIHNREQTIAKISTFIHVTVLASEVCKANIHNKQLTFERILTFFLVIGLIREVCMANYQKEGTHVRQHIHLHTSQSFGKGCEGCRYPKEGTHFRWHVQLVSSSSFCKGCESSKYPKEGTHVRQHILLNSSQEFGKGCDECKYPKKGTHVGQHICPLSRFGFAKGCVHCKYPMEGTHVRHHNHLSSNCRFGKGCEKCKYPNDGSPVKQCRPLDSSQKCGTPWEHFEYPTEGSHTKCHVILYQSQSLNKGYQDCKYSKEGLHTSHHVRPDTDDAFAVGCNNCTFPQPLVHVAHHQEVTSKMGLNKGCNPHRGPDEGKCEQSEHHDRSTWNLSEDHRAHRYLKEEVYIGKYRGQSKQPETMINAKRWSEPTLVQTLSQWATIDQNKIIANVVYNENESIQLSMGAWEECLSVDELHPSCQEQLNMIYDQSVSLDRIRQKWIKWCHLEEQSLYILGKGQLNLINNKIGDVSIFNRFNELMVDQYLNIQAKDLVALEEKTFIVAIDKFTVREQFKIM
+>sp|A8K554|ZN815_HUMAN Putative protein ZNF815 OS=Homo sapiens OX=9606 GN=ZNF815P PE=5 SV=1
+MEEEEIRTWSFPEEVWQVATQPDSQQQHEDQHLSHTFLDKKDWTGNELHECNELGKKLHQNPNLLPSKQQVRTRDLCRKSLMCNLDFTPNAYLARRRFQCDGHGNFFSVRNLKLHLQERIHAEVTSVEVL
+>DECOY_sp|A8K554|ZN815_HUMAN Putative protein ZNF815 OS=Homo sapiens OX=9606 GN=ZNF815P PE=5 SV=1
+LVEVSTVEAHIREQLHLKLNRVSFFNGHGDCQFRRRALYANPTFDLNCMLSKRCLDRTRVQQKSPLLNPNQHLKKGLENCEHLENGTWDKKDLFTHSLHQDEHQQQSDPQTAVQWVEEPFSWTRIEEEEM
+>sp|Q0VGE8|ZN816_HUMAN Zinc finger protein 816 OS=Homo sapiens OX=9606 GN=ZNF816 PE=2 SV=2
+MLREEATKKSKEKEPGMALPQGRLTFRDVAIEFSLEEWKCLNPAQRALYRAVMLENYRNLEFVDSSLKSMMEFSSTRHSITGEVIHTGTLQRHKSHHIGDFCFPEMKKDIHHFEFQWQEVERNGHEAPMTKIKKLTGSTDRSDHRHAGNKPIKDQLGLSFHSHLPELHMFQTKGKISNQLDKSIGASSASESQRISCRLKTHISNKYGKNFLHSSFTQIQEICMREKPCQSNECGKAFNYSSLLRRHHITHSREREYKCDVCGKIFNQKQYIVYHHRCHTGEKTYKCNECGKTFTQMSSLVCHRRLHTGEKPYKCNECGKTFSEKSSLRCHRRLHTGEKPYKCNECGKTFGRNSALVIHKAIHTGEKPYKCNECGKTFSQKSSLQCHHILHTGEKPYKCEECDNVYIRRSHLERHRKIHTGEGSYKCKVCDKVFRSDSYLAEHQRVHTGEKPYKCNKCGRSFSRKSSLQYHHTLHTGEKPYTCNECGKVFSRRENLARHHRLHAGEKPYKCEECDKVFSRRSHLERHRRIHTGEKPYKCKVCDKAFRSDSCLANHTRVHTGEKPYKCNKCAKVFNQKGILAQHQRVHTGEKPYKCNECGKVFNQKASLAKHQRVHTAEKPYKCNECGKAFTGQSTLIHHQAIHGCRETLQM
+>DECOY_sp|Q0VGE8|ZN816_HUMAN Zinc finger protein 816 OS=Homo sapiens OX=9606 GN=ZNF816 PE=2 SV=2
+MQLTERCGHIAQHHILTSQGTFAKGCENCKYPKEATHVRQHKALSAKQNFVKGCENCKYPKEGTHVRQHQALIGKQNFVKACKNCKYPKEGTHVRTHNALCSDSRFAKDCVKCKYPKEGTHIRRHRELHSRRSFVKDCEECKYPKEGAHLRHHRALNERRSFVKGCENCTYPKEGTHLTHHYQLSSKRSFSRGCKNCKYPKEGTHVRQHEALYSDSRFVKDCVKCKYSGEGTHIKRHRELHSRRIYVNDCEECKYPKEGTHLIHHCQLSSKQSFTKGCENCKYPKEGTHIAKHIVLASNRGFTKGCENCKYPKEGTHLRRHCRLSSKESFTKGCENCKYPKEGTHLRRHCVLSSMQTFTKGCENCKYTKEGTHCRHHYVIYQKQNFIKGCVDCKYERERSHTIHHRRLLSSYNFAKGCENSQCPKERMCIEQIQTFSSHLFNKGYKNSIHTKLRCSIRQSESASSAGISKDLQNSIKGKTQFMHLEPLHSHFSLGLQDKIPKNGAHRHDSRDTSGTLKKIKTMPAEHGNREVEQWQFEFHHIDKKMEPFCFDGIHHSKHRQLTGTHIVEGTISHRTSSFEMMSKLSSDVFELNRYNELMVARYLARQAPNLCKWEELSFEIAVDRFTLRGQPLAMGPEKEKSKKTAEERLM
+>sp|P16415|ZN823_HUMAN Zinc finger protein 823 OS=Homo sapiens OX=9606 GN=ZNF823 PE=2 SV=2
+MDSVAFEDVAVNFTQEEWALLGPSQKSLYRNVMQETIRNLDCIEMKWEDQNIGDQCQNAKRNLRSHTCEIKDDSQCGETFGQIPDSIVNKNTPRVNPCDSGECGEVVLGHSSLNCNIRVDTGHKSCEHQEYGEKPYTHKQRGKAISHQHSFQTHERPPTGKKPFDCKECAKTFSSLGNLRRHMAAHHGDGPYKCKLCGKAFVWPSLFHLHERTHTGEKPYECKQCSKAFPFYSSYLRHERIHTGEKAYECKQCSKAFPDYSTYLRHERTHTGEKPYKCTQCGKAFSCYYYTRLHERTHTGEQPYACKQCGKTFYHHTSFRRHMIRHTGDGPHKCKICGKGFDCPSSVRNHETTHTGEKPYECKQCGKVLSHSSSFRSHMITHTGDGPQKCKICGKAFGCPSLFQRHERTHTGEKPYQCKQCGKAFSLAGSLRRHEATHTGVKPYKCQCGKAFSDLSSFQNHETTHTGEKPYECKECGKAFSCFKYLSQHKRTHTVEKPYECKTCRKAFSHFSNLKVHERIHSGEKPYECKECGKAFSWLTCLLRHERIHTGEKPYECLQCGKAFTRSRFLRGHEKTHTGEKLYECKECGKALSSLRSLHRHKRTHWKDTL
+>DECOY_sp|P16415|ZN823_HUMAN Zinc finger protein 823 OS=Homo sapiens OX=9606 GN=ZNF823 PE=2 SV=2
+LTDKWHTRKHRHLSRLSSLAKGCEKCEYLKEGTHTKEHGRLFRSRTFAKGCQLCEYPKEGTHIREHRLLCTLWSFAKGCEKCEYPKEGSHIREHVKLNSFHSFAKRCTKCEYPKEVTHTRKHQSLYKFCSFAKGCEKCEYPKEGTHTTEHNQFSSLDSFAKGCQCKYPKVGTHTAEHRRLSGALSFAKGCQKCQYPKEGTHTREHRQFLSPCGFAKGCIKCKQPGDGTHTIMHSRFSSSHSLVKGCQKCEYPKEGTHTTEHNRVSSPCDFGKGCIKCKHPGDGTHRIMHRRFSTHHYFTKGCQKCAYPQEGTHTREHLRTYYYCSFAKGCQTCKYPKEGTHTREHRLYTSYDPFAKSCQKCEYAKEGTHIREHRLYSSYFPFAKSCQKCEYPKEGTHTREHLHFLSPWVFAKGCLKCKYPGDGHHAAMHRRLNGLSSFTKACEKCDFPKKGTPPREHTQFSHQHSIAKGRQKHTYPKEGYEQHECSKHGTDVRINCNLSSHGLVVEGCEGSDCPNVRPTNKNVISDPIQGFTEGCQSDDKIECTHSRLNRKANQCQDGINQDEWKMEICDLNRITEQMVNRYLSKQSPGLLAWEEQTFNVAVDEFAVSDM
+>sp|Q17R98|ZN827_HUMAN Zinc finger protein 827 OS=Homo sapiens OX=9606 GN=ZNF827 PE=1 SV=1
+MPRRKQEQPKRLPSHVSRQEEAEGELSEGEHWYGNSSETPSEASYGEVQENYKLSLEDRIQEQSTSPDTSLGSTTPSSHTLELVALDSEVLRDSLQCQDHLSPGVSSLCDDDPGSNKPLSSNLRRLLEAGSLKLDAAATANGRVESPVNVGSNLSFSPPSHHAQQLSVLARKLAEKQEQNDQYTPSNRFIWNQGKWLPNSTTTCSLSPDSAILKLKAAANAVLQDKSLTRTEETMRFESFSSPFSSQSASSTLAALSKKVSERSLTPGQEHPPPASSFLSLASMTSSAALLKEVAARAAGSLLAEKSSLLPEDPLPPPPSEKKPEKVTPPPPPPPPPPPPPPPQSLELLLLPVPKGRVSKPSNSASEEESGKPFQCPICGLVIKRKSYWKRHMVIHTGLKSHQCPLCPFRCARKDNLKSHMKVHQHQDRGETFQCQLCPFTSSRHFSLKLHMRCHQHFLRTEAKVKEEIPDPDVKGSPHLSDSACLGQQREGGGTELVGTMMTSNTPERTSQGGAGVSPLLVKEEPKEDNGLPTSFTLNAADRPANHTKLKDPSEYVANSASALFSQDISVKMASDFLMKLSAANQKEPMNLNFKVKEEPKEGESLSTTLPRSSYVFSPESEVSAPGVSEDALKPQEGKGSVLRRDVSVKAASELLMKLSAESYKETQMVKIKEEPMEVDIQDSHVSISPSRNVGYSTLIGREKTEPLQKMPEGRVPPERNLFSQDISVKMASELLFQLSEKVSKEHNHTKENTIRTTTSPFFSEDTFRQSPFTSNSKELLPSDSVLHGRISAPETEKIVLEAGNGLPSWKFNDQLFPCDVCGKVFGRQQTLSRHLSLHTEERKYKCHLCPYAAKCRANLNQHLTVHSVKLVSTDTEDIVSAVTSEGSDGKKHPYYYSCHVCGFETELNVQFVSHMSLHVDKEQWMFSICCTACDFVTMEEAEIKTHIGTKHTGEDRKTPSESNSPSSSSLSALSDSANSKDDSDGSQKNKGGNNLLVISVMPGSQPSLNSEEKPEKGFECVFCNFVCKTKNMFERHLQIHLITRMFECDVCHKFMKTPEQLLEHKKCHTVPTGGLNSGQW
+>DECOY_sp|Q17R98|ZN827_HUMAN Zinc finger protein 827 OS=Homo sapiens OX=9606 GN=ZNF827 PE=1 SV=1
+WQGSNLGGTPVTHCKKHELLQEPTKMFKHCVDCEFMRTILHIQLHREFMNKTKCVFNCFVCEFGKEPKEESNLSPQSGPMVSIVLLNNGGKNKQSGDSDDKSNASDSLASLSSSSPSNSESPTKRDEGTHKTGIHTKIEAEEMTVFDCATCCISFMWQEKDVHLSMHSVFQVNLETEFGCVHCSYYYPHKKGDSGESTVASVIDETDTSVLKVSHVTLHQNLNARCKAAYPCLHCKYKREETHLSLHRSLTQQRGFVKGCVDCPFLQDNFKWSPLGNGAELVIKETEPASIRGHLVSDSPLLEKSNSTFPSQRFTDESFFPSTTTRITNEKTHNHEKSVKESLQFLLESAMKVSIDQSFLNREPPVRGEPMKQLPETKERGILTSYGVNRSPSISVHSDQIDVEMPEEKIKVMQTEKYSEASLKMLLESAAKVSVDRRLVSGKGEQPKLADESVGPASVESEPSFVYSSRPLTTSLSEGEKPEEKVKFNLNMPEKQNAASLKMLFDSAMKVSIDQSFLASASNAVYESPDKLKTHNAPRDAANLTFSTPLGNDEKPEEKVLLPSVGAGGQSTREPTNSTMMTGVLETGGGERQQGLCASDSLHPSGKVDPDPIEEKVKAETRLFHQHCRMHLKLSFHRSSTFPCLQCQFTEGRDQHQHVKMHSKLNDKRACRFPCLPCQHSKLGTHIVMHRKWYSKRKIVLGCIPCQFPKGSEEESASNSPKSVRGKPVPLLLLELSQPPPPPPPPPPPPPPPTVKEPKKESPPPPLPDEPLLSSKEALLSGAARAAVEKLLAASSTMSALSLFSSAPPPHEQGPTLSRESVKKSLAALTSSASQSSFPSSFSEFRMTEETRTLSKDQLVANAAAKLKLIASDPSLSCTTTSNPLWKGQNWIFRNSPTYQDNQEQKEALKRALVSLQQAHHSPPSFSLNSGVNVPSEVRGNATAAADLKLSGAELLRRLNSSLPKNSGPDDDCLSSVGPSLHDQCQLSDRLVESDLAVLELTHSSPTTSGLSTDPSTSQEQIRDELSLKYNEQVEGYSAESPTESSNGYWHEGESLEGEAEEQRSVHSPLRKPQEQKRRPM
+>sp|Q3KNS6|ZN829_HUMAN Zinc finger protein 829 OS=Homo sapiens OX=9606 GN=ZNF829 PE=2 SV=1
+MPHSPLISIPHVWCHPEEEERMHDELLQAVSKGPVMFRDVSIDFSQEEWECLDADQMNLYKEVMLENFSNLVSVGLSNSKPAVISLLEQGKEPWMVDRELTRGLCSDLESMCETKILSLKKRHFSQVIITREDMSTFIQPTFLIPPQKTMSEEKPWECKICGKTFNQNSQFIQHQRIHFGEKHYESKEYGKSFSRGSLVTRHQRIHTGKKPYECKECGKAFSCSSYFSQHQRIHTGEKPYECKECGKAFKYCSNLNDHQRIHTGEKPYECKVCGKAFTKSSQLFLHLRIHTGEKPYECKECGKAFTQHSRLIQHQRMHTGEKPYECKQCGKAFNSASTLTNHHRIHAGEKLYECEECRKAFIQSSELIQHQRIHTDEKPYECNECGKAFNKGSNLTRHQRIHTGEKPYDCKECGKAFGSRSDLIRHEGIHTG
+>DECOY_sp|Q3KNS6|ZN829_HUMAN Zinc finger protein 829 OS=Homo sapiens OX=9606 GN=ZNF829 PE=2 SV=1
+GTHIGEHRILDSRSGFAKGCEKCDYPKEGTHIRQHRTLNSGKNFAKGCENCEYPKEDTHIRQHQILESSQIFAKRCEECEYLKEGAHIRHHNTLTSASNFAKGCQKCEYPKEGTHMRQHQILRSHQTFAKGCEKCEYPKEGTHIRLHLFLQSSKTFAKGCVKCEYPKEGTHIRQHDNLNSCYKFAKGCEKCEYPKEGTHIRQHQSFYSSCSFAKGCEKCEYPKKGTHIRQHRTVLSGRSFSKGYEKSEYHKEGFHIRQHQIFQSNQNFTKGCIKCEWPKEESMTKQPPILFTPQIFTSMDERTIIVQSFHRKKLSLIKTECMSELDSCLGRTLERDVMWPEKGQELLSIVAPKSNSLGVSVLNSFNELMVEKYLNMQDADLCEWEEQSFDISVDRFMVPGKSVAQLLEDHMREEEEPHCWVHPISILPSHPM
+>sp|Q96NB3|ZN830_HUMAN Zinc finger protein 830 OS=Homo sapiens OX=9606 GN=ZNF830 PE=1 SV=2
+MASSASARTPAGKRVINQEELRRLMKEKQRLSTSRKRIESPFAKYNRLGQLSCALCNTPVKSELLWQTHVLGKQHREKVAELKGAKEASQGSSASSAPHSVKRKAPDADDQDVKRAKATLVPQVQPSTSAWTTNFDKIGKEFIRATPSKPSGLSLLPDYEDEEEEEEEEEGDGERKRGDASKPLSDAQGKEHSVSSSREVTSSVLPNDFFSTNPPKAPIIPHSGSIEKAEIHEKVVERRENTAEALPEGFFDDPEVDARVRKVDAPKDQMDKEWDEFQKAMRQVNTISEAIVAEEDEEGRLDRQIGEIDEQIECYRRVEKLRNRQDEIKNKLKEILTIKELQKKEEENADSDDEGELQDLLSQDWRVKGALL
+>DECOY_sp|Q96NB3|ZN830_HUMAN Zinc finger protein 830 OS=Homo sapiens OX=9606 GN=ZNF830 PE=1 SV=2
+LLAGKVRWDQSLLDQLEGEDDSDANEEEKKQLEKITLIEKLKNKIEDQRNRLKEVRRYCEIQEDIEGIQRDLRGEEDEEAVIAESITNVQRMAKQFEDWEKDMQDKPADVKRVRADVEPDDFFGEPLAEATNERREVVKEHIEAKEISGSHPIIPAKPPNTSFFDNPLVSSTVERSSSVSHEKGQADSLPKSADGRKREGDGEEEEEEEEEDEYDPLLSLGSPKSPTARIFEKGIKDFNTTWASTSPQVQPVLTAKARKVDQDDADPAKRKVSHPASSASSGQSAEKAGKLEAVKERHQKGLVHTQWLLESKVPTNCLACSLQGLRNYKAFPSEIRKRSTSLRQKEKMLRRLEEQNIVRKGAPTRASASSAM
+>sp|Q6ZNA1|ZN836_HUMAN Zinc finger protein 836 OS=Homo sapiens OX=9606 GN=ZNF836 PE=2 SV=2
+MALTQGPLTFRDVAIEFSQEEWKSLDPVQKALYWDVMLENYRNLVFLGILPKCMTKELPPIGNSNTGEKCQTVTLERHECYDVENFYLREIQKNLQDLEFQWKDGEINYKEVPMTYKNNLNGKRGQHSQEDVENKCIENQLTLSFQSRLTELQKFQTEGKIYECNQSEKTVNNSSLVSPLQRILPSVQTNISKKYENEFLQLSLPTQLEKTHIREKPYMCKGCGKAFRVSSSLINHQMVHTTEKPYKCNECGKAFHRGSLLTIHQIVHTRGKPYQCGVCGKIFRQNSDLVNHRRSHTGEKPYKCNECGKSFSQSYNLAIHQRIHTGEKPYKCNECGKTFKQGSCLTTHQIIHTGEKPYQCDICGKVFRQNSNLVNHQRIHTGEKPYKCNICGKSFSQSSNLATHQTVHSGNKPYKCDECGKTFKRSSSLTTHQIIHTGEKPYTCDVCDKVFSQRSQLARHQRSHTGEKPYKCNECGKVFSQTSHLVGHRRIHTGEKPYKCDKCGKAFKQGSLLTRHKIIHTREKRYQCGECGKVFSENSCLVRHLRIHTGEQPYKCNVCGKVFNYSGNLSIHKRIHTGEKPFQCNECGTVFRNYSCLARHLRIHTGQKPYKCNVCGKVFNDSGNLSNHKRIHTGEKPFQCNECGKVFSYYSCLARHRKIHTGEKPYKCNDCGKAYTQRSSLTKHLIIHTGEKPYNCNEFGGAFIQSSKLARYHRNPTGEKPHKCSHCGRTFSHITGLTYHQRRHTGEMPYKCIECGQVFNSTSNLARHRRIHTGEKPYKCNECGKVFRHQSTLARHRSIHTGEKPYVCNECGKAFRVRSILVNHQKMHTGDKPYKCNECGKAFIERSKLVYHQRNHTGEKPYKCIECGKAFGRFSCLNKHQMIHSGEKPYKCNECGKSFISRSGLTKHQTKHTAESLKTKFNVEKPLDVLLTSGFK
+>DECOY_sp|Q6ZNA1|ZN836_HUMAN Zinc finger protein 836 OS=Homo sapiens OX=9606 GN=ZNF836 PE=2 SV=2
+KFGSTLLVDLPKEVNFKTKLSEATHKTQHKTLGSRSIFSKGCENCKYPKEGSHIMQHKNLCSFRGFAKGCEICKYPKEGTHNRQHYVLKSREIFAKGCENCKYPKDGTHMKQHNVLISRVRFAKGCENCVYPKEGTHISRHRALTSQHRFVKGCENCKYPKEGTHIRRHRALNSTSNFVQGCEICKYPMEGTHRRQHYTLGTIHSFTRGCHSCKHPKEGTPNRHYRALKSSQIFAGGFENCNYPKEGTHIILHKTLSSRQTYAKGCDNCKYPKEGTHIKRHRALCSYYSFVKGCENCQFPKEGTHIRKHNSLNGSDNFVKGCVNCKYPKQGTHIRLHRALCSYNRFVTGCENCQFPKEGTHIRKHISLNGSYNFVKGCVNCKYPQEGTHIRLHRVLCSNESFVKGCEGCQYRKERTHIIKHRTLLSGQKFAKGCKDCKYPKEGTHIRRHGVLHSTQSFVKGCENCKYPKEGTHSRQHRALQSRQSFVKDCVDCTYPKEGTHIIQHTTLSSSRKFTKGCEDCKYPKNGSHVTQHTALNSSQSFSKGCINCKYPKEGTHIRQHNVLNSNQRFVKGCIDCQYPKEGTHIIQHTTLCSGQKFTKGCENCKYPKEGTHIRQHIALNYSQSFSKGCENCKYPKEGTHSRRHNVLDSNQRFIKGCVGCQYPKGRTHVIQHITLLSGRHFAKGCENCKYPKETTHVMQHNILSSSVRFAKGCGKCMYPKERIHTKELQTPLSLQLFENEYKKSINTQVSPLIRQLPSVLSSNNVTKESQNCEYIKGETQFKQLETLRSQFSLTLQNEICKNEVDEQSHQGRKGNLNNKYTMPVEKYNIEGDKWQFELDQLNKQIERLYFNEVDYCEHRELTVTQCKEGTNSNGIPPLEKTMCKPLIGLFVLNRYNELMVDWYLAKQVPDLSKWEEQSFEIAVDRFTLPGQTLAM
+>sp|Q6ZN19|ZN841_HUMAN Zinc finger protein 841 OS=Homo sapiens OX=9606 GN=ZNF841 PE=1 SV=1
+MLEGHESYDTENFYFREIRKNLQEVDFQWKDGEINYKEGPMTHKNNLTGQRVRHSQGDVENKHMENQLILRFQSGLGELQKFQTAEKIYGCNQIERTVNNCFLASPLQRIFPGVQTNISRKYGNDFLQLSLPTQDEKTHIREKPYIGNECGKAFRVSSSLINHQMIHTTEKPYRCNESGKAFHRGSLLTVHQIVHTRGKPYQCDVCGRIFRQNSDLVNHRRSHTGDKPYICNECGKSFSKSSHLAVHQRIHTGEKPYKCNRCGKCFSQSSSLATHQTVHTGDKPYKCNECGKTFKRNSSLTAHHIIHAGKKPYTCDVCGKVFYQNSQLVRHQIIHTGETPYKCNECGKVFFQRSRLAGHRRIHTGEKPYKCNECGKVFSQHSHLAVHQRVHTGEKPYKCNECGKAFNWGSLLTVHQRIHTGEKPYKCNVCGKVFNYGGYLSVHMRCHTGEKPLHCNKCGMVFTYYSCLARHQRMHTGEKPYKCNVCGKVFIDSGNLSIHRRSHTGEKPFQCNECGKVFSYYSCLARHRKIHTGEKPYKCNDCGKAYTQRSSLTKHLVIHTGENPYHCNEFGEAFIQSSKLARYHRNPTGEKPHKCSECGRTFSHKTSLVYHQRRHTGEMPYKCIECGKVFNSTTTLARHRRIHTGEKPYKCNECGKVFRYRSGLARHWSIHTGEKPYKCNECGKAFRVRSILLNHQMMHTGEKPYKCNECGKAFIERSNLVYHQRNHTGEKPYKCMECGKAFGRRSCLTKHQRIHSSEKPYKCNECGKSYISRSGLTKHQIKHAGENLTTKLNVERPLDVVLTSGIPK
+>DECOY_sp|Q6ZN19|ZN841_HUMAN Zinc finger protein 841 OS=Homo sapiens OX=9606 GN=ZNF841 PE=1 SV=1
+KPIGSTLVVDLPREVNLKTTLNEGAHKIQHKTLGSRSIYSKGCENCKYPKESSHIRQHKTLCSRRGFAKGCEMCKYPKEGTHNRQHYVLNSREIFAKGCENCKYPKEGTHMMQHNLLISRVRFAKGCENCKYPKEGTHISWHRALGSRYRFVKGCENCKYPKEGTHIRRHRALTTTSNFVKGCEICKYPMEGTHRRQHYVLSTKHSFTRGCESCKHPKEGTPNRHYRALKSSQIFAEGFENCHYPNEGTHIVLHKTLSSRQTYAKGCDNCKYPKEGTHIKRHRALCSYYSFVKGCENCQFPKEGTHSRRHISLNGSDIFVKGCVNCKYPKEGTHMRQHRALCSYYTFVMGCKNCHLPKEGTHCRMHVSLYGGYNFVKGCVNCKYPKEGTHIRQHVTLLSGWNFAKGCENCKYPKEGTHVRQHVALHSHQSFVKGCENCKYPKEGTHIRRHGALRSRQFFVKGCENCKYPTEGTHIIQHRVLQSNQYFVKGCVDCTYPKKGAHIIHHATLSSNRKFTKGCENCKYPKDGTHVTQHTALSSSQSFCKGCRNCKYPKEGTHIRQHVALHSSKSFSKGCENCIYPKDGTHSRRHNVLDSNQRFIRGCVDCQYPKGRTHVIQHVTLLSGRHFAKGSENCRYPKETTHIMQHNILSSSVRFAKGCENGIYPKERIHTKEDQTPLSLQLFDNGYKRSINTQVGPFIRQLPSALFCNNVTREIQNCGYIKEATQFKQLEGLGSQFRLILQNEMHKNEVDGQSHRVRQGTLNNKHTMPGEKYNIEGDKWQFDVEQLNKRIERFYFNETDYSEHGELM
+>sp|Q96IR2|ZN845_HUMAN Zinc finger protein 845 OS=Homo sapiens OX=9606 GN=ZNF845 PE=1 SV=3
+MALSQGLLTFRDVAIEFSQEEWKCLDPAQRTLYRDVMLENYRNLVSLDISSKCMMKEFSSTAQGNTEVIHTGTLQRHERHHIGDFCFQEMEKDIHDFEFQWKEDERNSHEAPMTEIKQLTGSTNRHDQRHAGNKPIKDQLGSSFHSHLPELHMFQTEGKIGNQVEKSINSASLVSTSQRISCRPKTHISKNYGNNFLNSSLLTQKQEVHMREKSFQCNESGKAFNYSSVLRKHQIIHLGAKQYKCDVCGKVFNQKRYLACHRRCHTGKKPYKCNDCGKTFSQELTLTCHHRLHTGEKHYKCSECGKTFSRNSALVIHKAIHTGEKSYKCNECGKTFSQTSYLVYHRRLHTGEKPYKCEECDKAFSFKSNLERHRKIHTGEKPYKCNECSRTFSRKSSLTRHRRLHTGEKPYKCNDCGKTFSQMSSLVYHRRLHTGEKPYKCEECDEAFSFKSNLERHRRIHTGEKPYKCNDCGKTFSQTSSLVYHRRLHTGEKPYKCEECDEAFSFKSNLERHRIIHTGEKLYKCNECGKTFSRKSSLTRHCRLHTGEKPYQCNECGKAFRGQSALIYHQAIHGIGKLYKCNDCHQVFSNATTIANHWRIHNEERSYKCNRCGKFFRHRSYLAVHWRTHSGEKPYKCEECDEAFSFKSNLQRHRRIHTGEKPYRCNECGKTFSRKSYLTCHRRLHTGEKPYKCNECGKTFGRNSALIIHKAIHTGEKPYKCNECGKAFSQKSSLTCHLRLHTGEKPYKCEECDKVFSRKSSLEKHRRIHTGEKPYKCKVCDKAFGRDSHLAQHTRIHTGEKPYKCNECGKNFRHNSALVIHKAIHSGEKPYKCNECGKTFRHNSALEIHKAIHTGEKPYKCSECGKVFNRKANLSRHHRLHTGEKPYKCNKCGKVFNQQAHLACHHRIHTGEKPYKCNECGKTFRHNSVLVIHKTIHTGEKPYKCNECGKVFNRKAKLARHHRIHTGKKH
+>DECOY_sp|Q96IR2|ZN845_HUMAN Zinc finger protein 845 OS=Homo sapiens OX=9606 GN=ZNF845 PE=1 SV=3
+HKKGTHIRHHRALKAKRNFVKGCENCKYPKEGTHITKHIVLVSNHRFTKGCENCKYPKEGTHIRHHCALHAQQNFVKGCKNCKYPKEGTHLRHHRSLNAKRNFVKGCESCKYPKEGTHIAKHIELASNHRFTKGCENCKYPKEGSHIAKHIVLASNHRFNKGCENCKYPKEGTHIRTHQALHSDRGFAKDCVKCKYPKEGTHIRRHKELSSKRSFVKDCEECKYPKEGTHLRLHCTLSSKQSFAKGCENCKYPKEGTHIAKHIILASNRGFTKGCENCKYPKEGTHLRRHCTLYSKRSFTKGCENCRYPKEGTHIRRHRQLNSKFSFAEDCEECKYPKEGSHTRWHVALYSRHRFFKGCRNCKYSREENHIRWHNAITTANSFVQHCDNCKYLKGIGHIAQHYILASQGRFAKGCENCQYPKEGTHLRCHRTLSSKRSFTKGCENCKYLKEGTHIIRHRELNSKFSFAEDCEECKYPKEGTHLRRHYVLSSTQSFTKGCDNCKYPKEGTHIRRHRELNSKFSFAEDCEECKYPKEGTHLRRHYVLSSMQSFTKGCDNCKYPKEGTHLRRHRTLSSKRSFTRSCENCKYPKEGTHIKRHRELNSKFSFAKDCEECKYPKEGTHLRRHYVLYSTQSFTKGCENCKYSKEGTHIAKHIVLASNRSFTKGCESCKYHKEGTHLRHHCTLTLEQSFTKGCDNCKYPKKGTHCRRHCALYRKQNFVKGCVDCKYQKAGLHIIQHKRLVSSYNFAKGSENCQFSKERMHVEQKQTLLSSNLFNNGYNKSIHTKPRCSIRQSTSVLSASNISKEVQNGIKGETQFMHLEPLHSHFSSGLQDKIPKNGAHRQDHRNTSGTLQKIETMPAEHSNREDEKWQFEFDHIDKEMEQFCFDGIHHREHRQLTGTHIVETNGQATSSFEKMMCKSSIDLSVLNRYNELMVDRYLTRQAPDLCKWEEQSFEIAVDRFTLLGQSLAM
+>sp|O60384|ZN861_HUMAN Putative zinc finger protein 861 OS=Homo sapiens OX=9606 GN=ZNF861P PE=5 SV=1
+MWLSTSPYRKGSQCGEAFSQIPGHNLNKKTPPGVKPPESHVCGEVGVGYPSTERHIRDRLGRKPCEYQECRQKAYTCKPCGNAFRFHHSFHIHERPHSGENLYEC
+>DECOY_sp|O60384|ZN861_HUMAN Putative zinc finger protein 861 OS=Homo sapiens OX=9606 GN=ZNF861P PE=5 SV=1
+CEYLNEGSHPREHIHFSHHFRFANGCPKCTYAKQRCEQYECPKRGLRDRIHRETSPYGVGVEGCVHSEPPKVGPPTKKNLNHGPIQSFAEGCQSGKRYPSTSLWM
+>sp|O60290|ZN862_HUMAN Zinc finger protein 862 OS=Homo sapiens OX=9606 GN=ZNF862 PE=2 SV=2
+MEPRESGKAPVTFDDITVYLLQEEWVLLSQQQKELCGSNKLVAPLGPTVANPELFRKFGRGPEPWLGSVQGQRSLLEHHPGKKQMGYMGEMEVQGPTRESGQSLPPQKKAYLSHLSTGSGHIEGDWAGRNRKLLKPRSIQKSWFVQFPWLIMNEEQTALFCSACREYPSIRDKRSRLIEGYTGPFKVETLKYHAKSKAHMFCVNALAARDPIWAARFRSIRDPPGDVLASPEPLFTADCPIFYPPGPLGGFDSMAELLPSSRAELEDPGGDGAIPAMYLDCISDLRQKEITDGIHSSSDINILYNDAVESCIQDPSAEGLSEEVPVVFEELPVVFEDVAVYFTREEWGMLDKRQKELYRDVMRMNYELLASLGPAAAKPDLISKLERRAAPWIKDPNGPKWGKGRPPGNKKMVAVREADTQASAADSALLPGSPVEARASCCSSSICEEGDGPRRIKRTYRPRSIQRSWFGQFPWLVIDPKETKLFCSACIERPNLHDKSSRLVRGYTGPFKVETLKYHEVSKAHRLCVNTVEIKEDTPHTALVPEISSDLMANMEHFFNAAYSIAYHSRPLNDFEKILQLLQSTGTVILGKYRNRTACTQFIKYISETLKREILEDVRNSPCVSVLLDSSTDASEQACVGIYIRYFKQMEVKESYITLAPLYSETADGYFETIVSALDELDIPFRKPGWVVGLGTDGSAMLSCRGGLVEKFQEVIPQLLPVHCVAHRLHLAVVDACGSIDLVKKCDRHIRTVFKFYQSSNKRLNELQEGAAPLEQEIIRLKDLNAVRWVASRRRTLHALLVSWPALARHLQRVAEAGGQIGHRAKGMLKLMRGFHFVKFCHFLLDFLSIYRPLSEVCQKEIVLITEVNATLGRAYVALESLRHQAGPKEEEFNASFKDGRLHGICLDKLEVAEQRFQADRERTVLTGIEYLQQRFDADRPPQLKNMEVFDTMAWPSGIELASFGNDDILNLARYFECSLPTGYSEEALLEEWLGLKTIAQHLPFSMLCKNALAQHCRFPLLSKLMAVVVCVPISTSCCERGFKAMNRIRTDERTKLSNEVLNMLMMTAVNGVAVTEYDPQPAIQHWYLTSSGRRFSHVYTCAQVPARSPASARLRKEEMGALYVEEPRTQKPPILPSREAAEVLKDCIMEPPERLLYPHTSQEAPGMS
+>DECOY_sp|O60290|ZN862_HUMAN Zinc finger protein 862 OS=Homo sapiens OX=9606 GN=ZNF862 PE=2 SV=2
+SMGPAEQSTHPYLLREPPEMICDKLVEAAERSPLIPPKQTRPEEVYLAGMEEKRLRASAPSRAPVQACTYVHSFRRGSSTLYWHQIAPQPDYETVAVGNVATMMLMNLVENSLKTREDTRIRNMAKFGRECCSTSIPVCVVVAMLKSLLPFRCHQALANKCLMSFPLHQAITKLGLWEELLAEESYGTPLSCEFYRALNLIDDNGFSALEIGSPWAMTDFVEMNKLQPPRDADFRQQLYEIGTLVTRERDAQFRQEAVELKDLCIGHLRGDKFSANFEEEKPGAQHRLSELAVYARGLTANVETILVIEKQCVESLPRYISLFDLLFHCFKVFHFGRMLKLMGKARHGIQGGAEAVRQLHRALAPWSVLLAHLTRRRSAVWRVANLDKLRIIEQELPAAGEQLENLRKNSSQYFKFVTRIHRDCKKVLDISGCADVVALHLRHAVCHVPLLQPIVEQFKEVLGGRCSLMASGDTGLGVVWGPKRFPIDLEDLASVITEFYGDATESYLPALTIYSEKVEMQKFYRIYIGVCAQESADTSSDLLVSVCPSNRVDELIERKLTESIYKIFQTCATRNRYKGLIVTGTSQLLQLIKEFDNLPRSHYAISYAANFFHEMNAMLDSSIEPVLATHPTDEKIEVTNVCLRHAKSVEHYKLTEVKFPGTYGRVLRSSKDHLNPREICASCFLKTEKPDIVLWPFQGFWSRQISRPRYTRKIRRPGDGEECISSSCCSARAEVPSGPLLASDAASAQTDAERVAVMKKNGPPRGKGWKPGNPDKIWPAARRELKSILDPKAAAPGLSALLEYNMRMVDRYLEKQRKDLMGWEERTFYVAVDEFVVPLEEFVVPVEESLGEASPDQICSEVADNYLINIDSSSHIGDTIEKQRLDSICDLYMAPIAGDGGPDELEARSSPLLEAMSDFGGLPGPPYFIPCDATFLPEPSALVDGPPDRISRFRAAWIPDRAALANVCFMHAKSKAHYKLTEVKFPGTYGEILRSRKDRISPYERCASCFLATQEENMILWPFQVFWSKQISRPKLLKRNRGAWDGEIHGSGTSLHSLYAKKQPPLSQGSERTPGQVEMEGMYGMQKKGPHHELLSRQGQVSGLWPEPGRGFKRFLEPNAVTPGLPAVLKNSGCLEKQQQSLLVWEEQLLYVTIDDFTVPAKGSERPEM
+>sp|Q6PDB4|ZN880_HUMAN Zinc finger protein 880 OS=Homo sapiens OX=9606 GN=ZNF880 PE=2 SV=2
+MLRRGHLAFRDVAIEFPQEEWKCLDPAQRTLYREVMVENYRNLVFLGICLPDLSVISMLEQRRDPRNLQSEVKIANNPGGRECIKGVNAESSSKLGSNAGNKSLKNQLGLTFQLHLSELQLFQAERNISGCKHVEKPINNSLVSPLQKIYSSVKSHILNKYRNDFDDSPFLPQEQKAQIREKPCECNEHGKAFRVSSRLANNQVIHTADNPYKCNECDKVFSNSSNLVQHQRIHTGEKPYKCHECGKLFNRISLLARHQRIHTGEKPYKCHECGKVFTQNSHLANHHRIHTGEKPYKCNECGKVFNRNAHLARHQKIHSGEKPYKCKECGKAFSGGSGLTAHLVIHTGEKLYKCNKCGKVFNRNAHLTRHQRIHTGEKPYECKECGKVFRHKFCLTNHHRMHTGEQPYKCNECGKAFRDCSGLTAHLLIHTGEKPYKCKECAKVFRHRLSLSNHQRFHTGEKPYRCDECGKDFTRNSNLANHHRIHTGEKPYKCSECHKVFSHNSHLARHRQIHTGEKSYKCNECGKVFSHKLYLKKHERIHTGEKPYRCHECGKDFTRNSNLANHHRIHTGEKPYR
+>DECOY_sp|Q6PDB4|ZN880_HUMAN Zinc finger protein 880 OS=Homo sapiens OX=9606 GN=ZNF880 PE=2 SV=2
+RYPKEGTHIRHHNALNSNRTFDKGCEHCRYPKEGTHIREHKKLYLKHSFVKGCENCKYSKEGTHIQRHRALHSNHSFVKHCESCKYPKEGTHIRHHNALNSNRTFDKGCEDCRYPKEGTHFRQHNSLSLRHRFVKACEKCKYPKEGTHILLHATLGSCDRFAKGCENCKYPQEGTHMRHHNTLCFKHRFVKGCEKCEYPKEGTHIRQHRTLHANRNFVKGCKNCKYLKEGTHIVLHATLGSGGSFAKGCEKCKYPKEGSHIKQHRALHANRNFVKGCENCKYPKEGTHIRHHNALHSNQTFVKGCEHCKYPKEGTHIRQHRALLSIRNFLKGCEHCKYPKEGTHIRQHQVLNSSNSFVKDCENCKYPNDATHIVQNNALRSSVRFAKGHENCECPKERIQAKQEQPLFPSDDFDNRYKNLIHSKVSSYIKQLPSVLSNNIPKEVHKCGSINREAQFLQLESLHLQFTLGLQNKLSKNGANSGLKSSSEANVGKICERGGPNNAIKVESQLNRPDRRQELMSIVSLDPLCIGLFVLNRYNEVMVERYLTRQAPDLCKWEEQPFEIAVDRFALHGRRLM
+>sp|Q8N0V1|ZNAS1_HUMAN Putative uncharacterized protein ZNF295-AS1 OS=Homo sapiens OX=9606 GN=ZNF295-AS1 PE=5 SV=2
+MKDKMWCEDTAQPHRRLPAPPSSSSPTVVFQSHRRLLAPPSSSWTLWVAPRCGCCSPLCPKRVCASLCRVLAVTWSLTKLPFPLSPILLSRPGWLPQPSSPGPACAEPSSQEGGDTDLRSYGCFVCGWAWPTLSPRP
+>DECOY_sp|Q8N0V1|ZNAS1_HUMAN Putative uncharacterized protein ZNF295-AS1 OS=Homo sapiens OX=9606 GN=ZNF295-AS1 PE=5 SV=2
+PRPSLTPWAWGCVFCGYSRLDTDGGEQSSPEACAPGPSSPQPLWGPRSLLIPSLPFPLKTLSWTVALVRCLSACVRKPCLPSCCGCRPAVWLTWSSSPPALLRRHSQFVVTPSSSSPPAPLRRHPQATDECWMKDKM
+>sp|P17030|ZNF25_HUMAN Zinc finger protein 25 OS=Homo sapiens OX=9606 GN=ZNF25 PE=2 SV=2
+MNKFQGPVTLKDVIVEFTKEEWKLLTPAQRTLYKDVMLENYSHLVSVGYHVNKPNAVFKLKQGKEPWILEVEFPHRGFPEDLWSIHDLEARYQESQAGNSRNGELTKHQKTHTTEKACECKECGKFFCQKSALIVHQHTHSKGKSYDCDKCGKSFSKNEDLIRHQKIHTRDKTYECKECKKIFYHLSSLSRHLRTHAGEKPYECNQCEKSFYQKPHLTEHQKTHTGEKPFECTECGKFFYVKAYLMVHQKTHTGEKPYECKECGKAFSQKSHLTVHQRMHTGEKPYKCKECGKFFSRNSHLKTHQRSHTGEKPYECKECRKCFYQKSALTVHQRTHTGEKPFECNKCGKTFYYKSDLTKHQRKHTGEKPYECTECGKSFAVNSVLRLHQRTHTGEKPYACKECGKSFSQKSHFIIHQRKHTGEKPYECQECGETFIQKSQLTAHQKTHTKKRNAEK
+>DECOY_sp|P17030|ZNF25_HUMAN Zinc finger protein 25 OS=Homo sapiens OX=9606 GN=ZNF25 PE=2 SV=2
+KEANRKKTHTKQHATLQSKQIFTEGCEQCEYPKEGTHKRQHIIFHSKQSFSKGCEKCAYPKEGTHTRQHLRLVSNVAFSKGCETCEYPKEGTHKRQHKTLDSKYYFTKGCKNCEFPKEGTHTRQHVTLASKQYFCKRCEKCEYPKEGTHSRQHTKLHSNRSFFKGCEKCKYPKEGTHMRQHVTLHSKQSFAKGCEKCEYPKEGTHTKQHVMLYAKVYFFKGCETCEFPKEGTHTKQHETLHPKQYFSKECQNCEYPKEGAHTRLHRSLSSLHYFIKKCEKCEYTKDRTHIKQHRILDENKSFSKGCKDCDYSKGKSHTHQHVILASKQCFFKGCEKCECAKETTHTKQHKTLEGNRSNGAQSEQYRAELDHISWLDEPFGRHPFEVELIWPEKGQKLKFVANPKNVHYGVSVLHSYNELMVDKYLTRQAPTLLKWEEKTFEVIVDKLTVPGQFKNM
+>sp|Q8IZ26|ZNF34_HUMAN Zinc finger protein 34 OS=Homo sapiens OX=9606 GN=ZNF34 PE=1 SV=3
+MLLLLSDQLLLTALRKPNPQAMAALFLSAPPQAEVTFEDVAVYLSREEWGRLGPAQRGLYRDVMLETYGNLVSLGVGPAGPKPGVISQLERGDEPWVLDVQGTSGKEHLRVNSPALGTRTEYKELTSQETFGEEDPQGSEPVEACDHISKSEGSLEKLVEQRGPRAVTLTNGESSRESGGNLRLLSRPVPDQRPHKCDICEQSFEQRSYLNNHKRVHRSKKTNTVRNSGEIFSANLVVKEDQKIPTGKKLHYCSYCGKTFRYSANLVKHQRLHTEEKPYKCDECGKAFSQSCEFINHRRMHSGEIPYRCDECGKTFTRRPNLMKHQRIHTGEKPYKCGECGKHFSAYSSLIYHQRIHTGEKPYKCNDCGKAFSDGSILIRHRRTHTGEKPFECKECGKGFTQSSNLIQHQRIHTGEKPYKCNECEKAFIQKTKLVEHQRSHTGEKPYECNDCGKVFSQSTHLIQHQRIHTGEKPYKCSECGKAFHNSSRLIHHQRLHHGEKPYRCSDCKKAFSQSTYLIQHRRIHTGEKPYKCSECGKAFRHSSNMCQHQRIHLREDFSM
+>DECOY_sp|Q8IZ26|ZNF34_HUMAN Zinc finger protein 34 OS=Homo sapiens OX=9606 GN=ZNF34 PE=1 SV=3
+MSFDERLHIRQHQCMNSSHRFAKGCESCKYPKEGTHIRRHQILYTSQSFAKKCDSCRYPKEGHHLRQHHILRSSNHFAKGCESCKYPKEGTHIRQHQILHTSQSFVKGCDNCEYPKEGTHSRQHEVLKTKQIFAKECENCKYPKEGTHIRQHQILNSSQTFGKGCEKCEFPKEGTHTRRHRILISGDSFAKGCDNCKYPKEGTHIRQHYILSSYASFHKGCEGCKYPKEGTHIRQHKMLNPRRTFTKGCEDCRYPIEGSHMRRHNIFECSQSFAKGCEDCKYPKEETHLRQHKVLNASYRFTKGCYSCYHLKKGTPIKQDEKVVLNASFIEGSNRVTNTKKSRHVRKHNNLYSRQEFSQECIDCKHPRQDPVPRSLLRLNGGSERSSEGNTLTVARPGRQEVLKELSGESKSIHDCAEVPESGQPDEEGFTEQSTLEKYETRTGLAPSNVRLHEKGSTGQVDLVWPEDGRELQSIVGPKPGAPGVGLSVLNGYTELMVDRYLGRQAPGLRGWEERSLYVAVDEFTVEAQPPASLFLAAMAQPNPKRLATLLLQDSLLLLM
+>sp|P17036|ZNF3_HUMAN Zinc finger protein 3 OS=Homo sapiens OX=9606 GN=ZNF3 PE=1 SV=3
+METQADLVSQEPQALLDSALPSKVPAFSDKDSLGDEMLAAALLKAKSQELVTFEDVAVYFIRKEWKRLEPAQRDLYRDVMLENYGNVFSLDRETRTENDQEISEDTRSHGVLLGRFQKDISQGLKFKEAYEREVSLKRPLGNSPGERLNRKMPDFGQVTVEEKLTPRGERSEKYNDFGNSFTVNSNLISHQRLPVGDRPHKCDECSKSFNRTSDLIQHQRIHTGEKPYECNECGKAFSQSSHLIQHQRIHTGEKPYECSDCGKTFSCSSALILHRRIHTGEKPYECNECGKTFSWSSTLTHHQRIHTGEKPYACNECGKAFSRSSTLIHHQRIHTGEKPYECNECGKAFSQSSHLYQHQRIHTGEKPYECMECGGKFTYSSGLIQHQRIHTGENPYECSECGKAFRYSSALVRHQRIHTGEKPLNGIGMSKSSLRVTTELNIREST
+>DECOY_sp|P17036|ZNF3_HUMAN Zinc finger protein 3 OS=Homo sapiens OX=9606 GN=ZNF3 PE=1 SV=3
+TSERINLETTVRLSSKSMGIGNLPKEGTHIRQHRVLASSYRFAKGCESCEYPNEGTHIRQHQILGSSYTFKGGCEMCEYPKEGTHIRQHQYLHSSQSFAKGCENCEYPKEGTHIRQHHILTSSRSFAKGCENCAYPKEGTHIRQHHTLTSSWSFTKGCENCEYPKEGTHIRRHLILASSCSFTKGCDSCEYPKEGTHIRQHQILHSSQSFAKGCENCEYPKEGTHIRQHQILDSTRNFSKSCEDCKHPRDGVPLRQHSILNSNVTFSNGFDNYKESREGRPTLKEEVTVQGFDPMKRNLREGPSNGLPRKLSVEREYAEKFKLGQSIDKQFRGLLVGHSRTDESIEQDNETRTERDLSFVNGYNELMVDRYLDRQAPELRKWEKRIFYVAVDEFTVLEQSKAKLLAAALMEDGLSDKDSFAPVKSPLASDLLAQPEQSVLDAQTEM
+>sp|P15621|ZNF44_HUMAN Zinc finger protein 44 OS=Homo sapiens OX=9606 GN=ZNF44 PE=2 SV=3
+MALCYGTFWGYPKMLEAANLMEGLVDIGPWVTLPRGQPEVLEWGLPKDQDSVAFEDVAVNFTHEEWALLGPSQKNLYRDVMRETIRNLNCIGMKWENQNIDDQHQNLRRNPRCDVVERFGKSKDGSQCGETLSQIRNSIVNKNTPARVDACGSSVNGEVIMGHSSLNCYIRVDTGHKHRECHEYAEKSYTHKQCGKGLSYRHSFQTCERPHTGKKPYDCKECGKTFSSPGNLRRHMVVKGGDGPYKCELCGKAFFWPSLLRMHERTHTGEKPYECKQCSKAFPVYSSYLRHEKIHTGEKPYECKQCSKAFPDYSSYLRHERTHTGEKPYKCKQCGKAFSVSGSLRVHERIHTGEKPYTCKQCGKAFCHLGSFQRHMIMHSGDGPHKCKICGKGFDFPGSARIHEGTHTLEKPYECKQCGKLLSHRSSFRRHMMAHTGDGPHKCTVCGKAFDSPSVFQRHERTHTGEKPYECKQCGKAFRTSSSLRKHETTHTGEQPYKCKCGKAFSDLFSFQSHETTHSEEEPYECKECGKAFSSFKYFCRHERTHSEEKSYECQICGKAFSRFSYLKTHERTHTAEKPYECKQCRKAFFWPSFLLRHERTHTGERPYECKHCGKAFSRSSFCREHERTHTGEKPYECKECGKAFSSLSSFNRHKRTHWKDIL
+>DECOY_sp|P15621|ZNF44_HUMAN Zinc finger protein 44 OS=Homo sapiens OX=9606 GN=ZNF44 PE=2 SV=3
+LIDKWHTRKHRNFSSLSSFAKGCEKCEYPKEGTHTREHERCFSSRSFAKGCHKCEYPREGTHTREHRLLFSPWFFAKRCQKCEYPKEATHTREHTKLYSFRSFAKGCIQCEYSKEESHTREHRCFYKFSSFAKGCEKCEYPEEESHTTEHSQFSFLDSFAKGCKCKYPQEGTHTTEHKRLSSSTRFAKGCQKCEYPKEGTHTREHRQFVSPSDFAKGCVTCKHPGDGTHAMMHRRFSSRHSLLKGCQKCEYPKELTHTGEHIRASGPFDFGKGCIKCKHPGDGSHMIMHRQFSGLHCFAKGCQKCTYPKEGTHIREHVRLSGSVSFAKGCQKCKYPKEGTHTREHRLYSSYDPFAKSCQKCEYPKEGTHIKEHRLYSSYVPFAKSCQKCEYPKEGTHTREHMRLLSPWFFAKGCLECKYPGDGGKVVMHRRLNGPSSFTKGCEKCDYPKKGTHPRECTQFSHRYSLGKGCQKHTYSKEAYEHCERHKHGTDVRIYCNLSSHGMIVEGNVSSGCADVRAPTNKNVISNRIQSLTEGCQSGDKSKGFREVVDCRPNRRLNQHQDDINQNEWKMGICNLNRITERMVDRYLNKQSPGLLAWEEHTFNVAVDEFAVSDQDKPLGWELVEPQGRPLTVWPGIDVLGEMLNAAELMKPYGWFTGYCLAM
+>sp|Q68EA5|ZNF57_HUMAN Zinc finger protein 57 OS=Homo sapiens OX=9606 GN=ZNF57 PE=2 SV=3
+MDSVVFEDVAVDFTLEEWALLDSAQRDLYRDVMLETFRNLASVDDGTQFKANGSVSLQDMYGQEKSKEQTIPNFTGNNSCAYTLEKNCEGYGTEDHHKNLRNHMVDRFCTHNEGNQYGEAIHQMPDLTLHKKVSAGEKPYECTKCRTVFTHLSSLKRHVKSHCGRKAPPGEECKQACICPSHLHSHGRTDTEEKPYKCQACGQTFQHPRYLSHHVKTHTAEKTYKCEQCRMAFNGFASFTRHVRTHTKDRPYKCQECGRAFIYPSTFQRHMTTHTGEKPYKCQHCGKAFTYPQAFQRHEKTHTGEKPYECKQCGKTFSWSETLRVHMRIHTGDKLYKCEHCGKAFTSSRSFQGHLRTHTGEKPYECKQCGKAFTWSSTFREHVRIHTQEQLYKCEQCGKAFTSSRSFRGHLRTHTGEKPYECKQCGKTFTWSSTFREHVRIHTQEQLHKCEHCGKAFTSSRAFQGHLRMHTGEKPYECKQCGKTFTWSSTLHNHVRMHTGEKPHKCKQCGMSFKWHSSFRNHLRMHTGQKSHECQSYSKAFSCQVILSKTSESTH
+>DECOY_sp|Q68EA5|ZNF57_HUMAN Zinc finger protein 57 OS=Homo sapiens OX=9606 GN=ZNF57 PE=2 SV=3
+HTSESTKSLIVQCSFAKSYSQCEHSKQGTHMRLHNRFSSHWKFSMGCQKCKHPKEGTHMRVHNHLTSSWTFTKGCQKCEYPKEGTHMRLHGQFARSSTFAKGCHECKHLQEQTHIRVHERFTSSWTFTKGCQKCEYPKEGTHTRLHGRFSRSSTFAKGCQECKYLQEQTHIRVHERFTSSWTFAKGCQKCEYPKEGTHTRLHGQFSRSSTFAKGCHECKYLKDGTHIRMHVRLTESWSFTKGCQKCEYPKEGTHTKEHRQFAQPYTFAKGCHQCKYPKEGTHTTMHRQFTSPYIFARGCEQCKYPRDKTHTRVHRTFSAFGNFAMRCQECKYTKEATHTKVHHSLYRPHQFTQGCAQCKYPKEETDTRGHSHLHSPCICAQKCEEGPPAKRGCHSKVHRKLSSLHTFVTRCKTCEYPKEGASVKKHLTLDPMQHIAEGYQNGENHTCFRDVMHNRLNKHHDETGYGECNKELTYACSNNGTFNPITQEKSKEQGYMDQLSVSGNAKFQTGDDVSALNRFTELMVDRYLDRQASDLLAWEELTFDVAVDEFVVSDM
+>sp|Q9NQZ8|ZNF71_HUMAN Endothelial zinc finger protein induced by tumor necrosis factor alpha OS=Homo sapiens OX=9606 GN=ZNF71 PE=1 SV=1
+MKELDPKNDISEDKLSVVGEATGGPTRNGARGPGSEGVWEPGSWPERPRGDAGAEWEPLGIPQGNKLLGGSVPACHELKAFANQGCVLVPPRLDDPTEKGACPPVRRGKNFSSTSDLSKPPMPCEEKKTYDCSECGKAFSRSSSLIKHQRIHTGEKPFECDTCGKHFIERSSLTIHQRVHTGEKPYACGDCGKAFSQRMNLTVHQRTHTGEKPYVCDVCGKAFRKTSSLTQHERIHTGEKPYACGDCGKAFSQNMHLIVHQRTHTGEKPYVCPECGRAFSQNMHLTEHQRTHTGEKPYACKECGKAFNKSSSLTLHQRNHTGEKPYVCGECGKAFSQSSYLIQHQRFHIGVKPFECSECGKAFSKNSSLTQHQRIHTGEKPYECYICKKHFTGRSSLIVHQIVHTGEKPYVCGECGKAFSQSAYLIEHQRIHTGEKPYRCGQCGKSFIKNSSLTVHQRIHTGEKPYRCGECGKTFSRNTNLTRHLRIHT
+>DECOY_sp|Q9NQZ8|ZNF71_HUMAN Endothelial zinc finger protein induced by tumor necrosis factor alpha OS=Homo sapiens OX=9606 GN=ZNF71 PE=1 SV=1
+THIRLHRTLNTNRSFTKGCEGCRYPKEGTHIRQHVTLSSNKIFSKGCQGCRYPKEGTHIRQHEILYASQSFAKGCEGCVYPKEGTHVIQHVILSSRGTFHKKCIYCEYPKEGTHIRQHQTLSSNKSFAKGCESCEFPKVGIHFRQHQILYSSQSFAKGCEGCVYPKEGTHNRQHLTLSSSKNFAKGCEKCAYPKEGTHTRQHETLHMNQSFARGCEPCVYPKEGTHTRQHVILHMNQSFAKGCDGCAYPKEGTHIREHQTLSSTKRFAKGCVDCVYPKEGTHTRQHVTLNMRQSFAKGCDGCAYPKEGTHVRQHITLSSREIFHKGCTDCEFPKEGTHIRQHKILSSSRSFAKGCESCDYTKKEECPMPPKSLDSTSSFNKGRRVPPCAGKETPDDLRPPVLVCGQNAFAKLEHCAPVSGGLLKNGQPIGLPEWEAGADGRPREPWSGPEWVGESGPGRAGNRTPGGTAEGVVSLKDESIDNKPDLEKM
+>sp|P17097|ZNF7_HUMAN Zinc finger protein 7 OS=Homo sapiens OX=9606 GN=ZNF7 PE=1 SV=1
+MEVVTFGDVAVHFSREEWQCLDPGQRALYREVMLENHSSVAGLAGFLVFKPELISRLEQGEEPWVLDLQGAEGTEAPRTSKTDSTIRTENEQACEDMDILKSESYGTVVRISPQDFPQNPGFGDVSDSEVWLDSHLGSPGLKVTGFTFQNNCLNEETVVPKTFTKDAPQGCKELGSSGLDCQPLESQGESAEGMSQRCEECGKGIRATSDIALHWEINTQKISRCQECQKKLSDCLQGKHTNNCHGEKPYECAECGKVFRLCSQLNQHQRIHTGEKPFKCTECGKAFRLSSKLIQHQRIHTGEKPYRCEECGKAFGQSSSLIHHQRIHTGERPYGCRECGKAFSQQSQLVRHQRTHTGERPYPCKECGKAFSQSSTLAQHQRMHTGEKAQILKASDSPSLVAHQRIHAVEKPFKCDECGKAFRWISRLSQHQLIHTGEKPYKCNKCTKAFGCSSRLIRHQRTHTGEKPFKCDECGKGFVQGSHLIQHQRIHTGEKPYVCNDCGKAFSQSSSLIYHQRIHKGEKPYECLQCGKAFSMSTQLTIHQRVHTGERPYKCNECGKAFSQNSTLFQHQIIHAGVKPYECSECGKAFSRSSYLIEHQRIHTRAQWFYEYGNALEGSTFVSRKKVNTIKKLHQCEDCEKIFRWRSHLIIHQRIHTGEKPYKCNDCGKAFNRSSRLTQHQKIHMG
+>DECOY_sp|P17097|ZNF7_HUMAN Zinc finger protein 7 OS=Homo sapiens OX=9606 GN=ZNF7 PE=1 SV=1
+GMHIKQHQTLRSSRNFAKGCDNCKYPKEGTHIRQHIILHSRWRFIKECDECQHLKKITNVKKRSVFTSGELANGYEYFWQARTHIRQHEILYSSRSFAKGCESCEYPKVGAHIIQHQFLTSNQSFAKGCENCKYPREGTHVRQHITLQTSMSFAKGCQLCEYPKEGKHIRQHYILSSSQSFAKGCDNCVYPKEGTHIRQHQILHSGQVFGKGCEDCKFPKEGTHTRQHRILRSSCGFAKTCKNCKYPKEGTHILQHQSLRSIWRFAKGCEDCKFPKEVAHIRQHAVLSPSDSAKLIQAKEGTHMRQHQALTSSQSFAKGCEKCPYPREGTHTRQHRVLQSQQSFAKGCERCGYPREGTHIRQHHILSSSQGFAKGCEECRYPKEGTHIRQHQILKSSLRFAKGCETCKFPKEGTHIRQHQNLQSCLRFVKGCEACEYPKEGHCNNTHKGQLCDSLKKQCEQCRSIKQTNIEWHLAIDSTARIGKGCEECRQSMGEASEGQSELPQCDLGSSGLEKCGQPADKTFTKPVVTEENLCNNQFTFGTVKLGPSGLHSDLWVESDSVDGFGPNQPFDQPSIRVVTGYSESKLIDMDECAQENETRITSDTKSTRPAETGEAGQLDLVWPEEGQELRSILEPKFVLFGALGAVSSHNELMVERYLARQGPDLCQWEERSFHVAVDGFTVVEM
+>sp|P51522|ZNF83_HUMAN Zinc finger protein 83 OS=Homo sapiens OX=9606 GN=ZNF83 PE=2 SV=3
+MHGRKDDAQKQPVKNQLGLNPQSHLPELQLFQAEGKIYKYDHMEKSVNSSSLVSPPQRISSTVKTHISHTYECNFVDSLFTQKEKANIGTEHYKCSERGKAFHQGLHFTIHQIIHTKETQFKCDICGKIFNKKSNLASHQRIHTGEKPYKCNECGKVFHNMSHLAQHRRIHTGEKPYKCNECGKVFNQISHLAQHQRIHTGEKPYKCNECGKVFHQISHLAQHRTIHTGEKPYECNKCGKVFSRNSYLVQHLIIHTGEKPYRCNVCGKVFHHISHLAQHQRIHTGEKPYKCNECGKVFSHKSSLVNHWRIHTGEKPYKCNECGKVFSHKSSLVNHWRIHTGEKPYKCNECGKVFSRNSYLAQHLIIHAGEKPYKCDECDKAFSQNSHLVQHHRIHTGEKPYKCDECGKVFSQNSYLAYHWRIHTGEKAYKCNECGKVFGLNSSLAHHRKIHTGEKPFKCNECGKAFSMRSSLTNHHAIHTGEKHFKCNECGKLFRDNSYLVRHQRFHAGKKSNTCN
+>DECOY_sp|P51522|ZNF83_HUMAN Zinc finger protein 83 OS=Homo sapiens OX=9606 GN=ZNF83 PE=2 SV=3
+NCTNSKKGAHFRQHRVLYSNDRFLKGCENCKFHKEGTHIAHHNTLSSRMSFAKGCENCKFPKEGTHIKRHHALSSNLGFVKGCENCKYAKEGTHIRWHYALYSNQSFVKGCEDCKYPKEGTHIRHHQVLHSNQSFAKDCEDCKYPKEGAHIILHQALYSNRSFVKGCENCKYPKEGTHIRWHNVLSSKHSFVKGCENCKYPKEGTHIRWHNVLSSKHSFVKGCENCKYPKEGTHIRQHQALHSIHHFVKGCVNCRYPKEGTHIILHQVLYSNRSFVKGCKNCEYPKEGTHITRHQALHSIQHFVKGCENCKYPKEGTHIRQHQALHSIQNFVKGCENCKYPKEGTHIRRHQALHSMNHFVKGCENCKYPKEGTHIRQHSALNSKKNFIKGCIDCKFQTEKTHIIQHITFHLGQHFAKGRESCKYHETGINAKEKQTFLSDVFNCEYTHSIHTKVTSSIRQPPSVLSSSNVSKEMHDYKYIKGEAQFLQLEPLHSQPNLGLQNKVPQKQADDKRGHM
+>sp|Q03938|ZNF90_HUMAN Zinc finger protein 90 OS=Homo sapiens OX=9606 GN=ZNF90 PE=2 SV=3
+MGPLEFRDVAIEFSLEEWHCLDTAQQNLYRDVMLENYRHLVFLGIVVTKPDLITCLEQGKKPFTVKRHEMIAKSPVMCFHFAQDLCPEQSLKDSFQKVIVTRYEKREYGNLELKKGCESVDEGKVHKRGYNGLNQCLTATQSKVFQCDTYVKVSHIFSNSNRHKIRDTGKKPFKCIECGKAFNQSSTLATHKKIHTGEITCKCEECGKAFNRSSHLTSHKRIHTGEKRYKCEDCGKELKYSSTLTAHKRIHTGEKRYKCEDCGKELKYSSTLTAHKRIHTGEKPYKCDKCGRAFISSSILYVHKISHTEEKPYKCEECGKAFKLSSILSTHKRIHTGEKPYKCEECGKAFRRSLVLRTHKRIHTGEKPYKCDKCGKAFISSSLLYKHKISHSEKKPYKCEECGKAFKRSSTLTIHKISHTEEKPYKCQECDKVFKRSSALSTHKIIHSGEKPYKCEECGKAFKRSSNLTTHKISHTEEKLYKCQECDKAFKYSSALSTHKIIHSGENPYKCEECGKAFKRSSVLSKHKIIHTGAKPYKCEECGKAFKRSSQLTSHKISHTGEKPYKCEECGKAFNLSSDLNTHKRIHIGQKAYIVKNMANL
+>DECOY_sp|Q03938|ZNF90_HUMAN Zinc finger protein 90 OS=Homo sapiens OX=9606 GN=ZNF90 PE=2 SV=3
+LNAMNKVIYAKQGIHIRKHTNLDSSLNFAKGCEECKYPKEGTHSIKHSTLQSSRKFAKGCEECKYPKAGTHIIKHKSLVSSRKFAKGCEECKYPNEGSHIIKHTSLASSYKFAKDCEQCKYLKEETHSIKHTTLNSSRKFAKGCEECKYPKEGSHIIKHTSLASSRKFVKDCEQCKYPKEETHSIKHITLTSSRKFAKGCEECKYPKKESHSIKHKYLLSSSIFAKGCKDCKYPKEGTHIRKHTRLVLSRRFAKGCEECKYPKEGTHIRKHTSLISSLKFAKGCEECKYPKEETHSIKHVYLISSSIFARGCKDCKYPKEGTHIRKHATLTSSYKLEKGCDECKYRKEGTHIRKHATLTSSYKLEKGCDECKYRKEGTHIRKHSTLHSSRNFAKGCEECKCTIEGTHIKKHTALTSSQNFAKGCEICKFPKKGTDRIKHRNSNSFIHSVKVYTDCQFVKSQTATLCQNLGNYGRKHVKGEDVSECGKKLELNGYERKEYRTVIVKQFSDKLSQEPCLDQAFHFCMVPSKAIMEHRKVTFPKKGQELCTILDPKTVVIGLFVLHRYNELMVDRYLNQQATDLCHWEELSFEIAVDRFELPGM
+>sp|Q05481|ZNF91_HUMAN Zinc finger protein 91 OS=Homo sapiens OX=9606 GN=ZNF91 PE=2 SV=2
+MPGTPGSLEMGLLTFRDVAIEFSPEEWQCLDTAQQNLYRNVMLENYRNLAFLGIALSKPDLITYLEQGKEPWNMKQHEMVDEPTGICPHFPQDFWPEQSMEDSFQKVLLRKYEKCGHENLQLRKGCKSVDECKVHKEGYNKLNQCLTTAQSKVFQCGKYLKVFYKFLNSNRHTIRHTGKKCFKCKKCVKSFCIRLHKTQHKCVYITEKSCKCKECEKTFHWSSTLTNHKEIHTEDKPYKCEECGKAFKQLSTLTTHKIICAKEKIYKCEECGKAFLWSSTLTRHKRIHTGEKPYKCEECGKAFSHSSTLAKHKRIHTGEKPYKCEECGKAFSRSSTLAKHKRIHTGEKPYKCKECGKAFSNSSTLANHKITHTEEKPYKCKECDKAFKRLSTLTKHKIIHAGEKLYKCEECGKAFNRSSNLTIHKFIHTGEKPYKCEECGKAFNWSSSLTKHKRFHTREKPFKCKECGKAFIWSSTLTRHKRIHTGEKPYKCEECGKAFRQSSTLTKHKIIHTGEKPYKFEECGKAFRQSLTLNKHKIIHSREKPYKCKECGKAFKQFSTLTTHKIIHAGKKLYKCEECGKAFNHSSSLSTHKIIHTGEKSYKCEECGKAFLWSSTLRRHKRIHTGEKPYKCEECGKAFSHSSALAKHKRIHTGEKPYKCKECGKAFSNSSTLANHKITHTEEKPYKCKECDKTFKRLSTLTKHKIIHAGEKLYKCEECGKAFNRSSNLTIHKFIHTGEKPYKCEECGKAFNWSSSLTKHKRIHTREKPFKCKECGKAFIWSSTLTRHKRIHTGEKPYKCEECGKAFSRSSTLTKHKTIHTGEKPYKCKECGKAFKHSSALAKHKIIHAGEKLYKCEECGKAFNQSSNLTTHKIIHTKEKPSKSEECDKAFIWSSTLTEHKRIHTREKTYKCEECGKAFSQPSHLTTHKRMHTGEKPYKCEECGKAFSQSSTLTTHKIIHTGEKPYKCEECGKAFRKSSTLTEHKIIHTGEKPYKCEECGKAFSQSSTLTRHTRMHTGEKPYKCEECGKAFNRSSKLTTHKIIHTGEKPYKCEECGKAFISSSTLNGHKRIHTREKPYKCEECGKAFSQSSTLTRHKRLHTGEKPYKCGECGKAFKESSALTKHKIIHTGEKPYKCEKCGKAFNQSSILTNHKKIHTITPVIPLLWEAEAGGSRGQEMETILANTVKPLLY
+>DECOY_sp|Q05481|ZNF91_HUMAN Zinc finger protein 91 OS=Homo sapiens OX=9606 GN=ZNF91 PE=2 SV=2
+YLLPKVTNALITEMEQGRSGGAEAEWLLPIVPTITHIKKHNTLISSQNFAKGCKECKYPKEGTHIIKHKTLASSEKFAKGCEGCKYPKEGTHLRKHRTLTSSQSFAKGCEECKYPKERTHIRKHGNLTSSSIFAKGCEECKYPKEGTHIIKHTTLKSSRNFAKGCEECKYPKEGTHMRTHRTLTSSQSFAKGCEECKYPKEGTHIIKHETLTSSKRFAKGCEECKYPKEGTHIIKHTTLTSSQSFAKGCEECKYPKEGTHMRKHTTLHSPQSFAKGCEECKYTKERTHIRKHETLTSSWIFAKDCEESKSPKEKTHIIKHTTLNSSQNFAKGCEECKYLKEGAHIIKHKALASSHKFAKGCEKCKYPKEGTHITKHKTLTSSRSFAKGCEECKYPKEGTHIRKHRTLTSSWIFAKGCEKCKFPKERTHIRKHKTLSSSWNFAKGCEECKYPKEGTHIFKHITLNSSRNFAKGCEECKYLKEGAHIIKHKTLTSLRKFTKDCEKCKYPKEETHTIKHNALTSSNSFAKGCEKCKYPKEGTHIRKHKALASSHSFAKGCEECKYPKEGTHIRKHRRLTSSWLFAKGCEECKYSKEGTHIIKHTSLSSSHNFAKGCEECKYLKKGAHIIKHTTLTSFQKFAKGCEKCKYPKERSHIIKHKNLTLSQRFAKGCEEFKYPKEGTHIIKHKTLTSSQRFAKGCEECKYPKEGTHIRKHRTLTSSWIFAKGCEKCKFPKERTHFRKHKTLSSSWNFAKGCEECKYPKEGTHIFKHITLNSSRNFAKGCEECKYLKEGAHIIKHKTLTSLRKFAKDCEKCKYPKEETHTIKHNALTSSNSFAKGCEKCKYPKEGTHIRKHKALTSSRSFAKGCEECKYPKEGTHIRKHKALTSSHSFAKGCEECKYPKEGTHIRKHRTLTSSWLFAKGCEECKYIKEKACIIKHTTLTSLQKFAKGCEECKYPKDETHIEKHNTLTSSWHFTKECEKCKCSKETIYVCKHQTKHLRICFSKVCKKCKFCKKGTHRITHRNSNLFKYFVKLYKGCQFVKSQATTLCQNLKNYGEKHVKCEDVSKCGKRLQLNEHGCKEYKRLLVKQFSDEMSQEPWFDQPFHPCIGTPEDVMEHQKMNWPEKGQELYTILDPKSLAIGLFALNRYNELMVNRYLNQQATDLCQWEEPSFEIAVDRFTLLGMELSGPTGPM
+>sp|A8MXY4|ZNF99_HUMAN Zinc finger protein 99 OS=Homo sapiens OX=9606 GN=ZNF99 PE=2 SV=3
+MGSLTFWDVTIEFALEEWQCLDMAQQNLYRNVMLENYRNLVFLGIAVSKLDLITCLKQGKEPWNMKRHEMVTKPPVISSHFTQDFWPDQSIKDSFQEIILRTYARCGHKNLRLRKDCESVNEGKMHEEAYNKLNQCWTTTQGKIFQCNKYVKVFHKYSNSNRYKIRHTKKKTFKCMKCSKSFFMLSHLIQHKRIHTRENIYKCEERGKAFKWFSTLIKHKIIHTEDKPYKYKKCGKAFNISSMFTKCKIIHTGKKPCKCEECGKVFNNSSTLMKHKIIHTGKKPYKCEECGKAFKQSSHLTRHKAIHTGEKPYKCEECGKAFNHFSALRKHQIIHTGKKPYKCEECGKAFSQSSTLRKHEIIHTEEKPYKYEECGKAFSNLSALRKHEIIHTGQKPYKCEECGKAFKWSSKLTVHKVIHTAEKPCKCEECGKAFKRFSALRKHKIIHTGKQPYKCEECSKAFSNFSALRKHEIIHTGEKPYKCEECGKAFKWSSKLTVHKVIHMEEKPCKCEECGKAFKHFSALRKHKIIHTGKKPYKCEECGKAFNNSSTLMKHKIIHTGKKPYKCEECGKAFKQSSHLTRHKAIHTGEKPYKCEECGKAFNHFSALRKHQIIHTGKKPYKCEECGKAFSQSSTLRKHEIIHTGEKPYKCEECGKAFKWSSHLTRHKVIHTEEKPYKCEECGKAFNHFSALRKHKIIHTGKKPYKCEECGKAFSQSSTLRKHEIIHTGEKPYKCEECGKAFKWSSKLTVHKVIHTAEKPCKCEECGKAFKHFSALRKHKIIHTGKKPYKCEECGKAFNNSSTLRKHEIIHTGEKSYKCEECGKAFQWSSKLTLHKVIHMERNPANVKNVAKLLNISQPLENMR
+>DECOY_sp|A8MXY4|ZNF99_HUMAN Zinc finger protein 99 OS=Homo sapiens OX=9606 GN=ZNF99 PE=2 SV=3
+RMNELPQSINLLKAVNKVNAPNREMHIVKHLTLKSSWQFAKGCEECKYSKEGTHIIEHKRLTSSNNFAKGCEECKYPKKGTHIIKHKRLASFHKFAKGCEECKCPKEATHIVKHVTLKSSWKFAKGCEECKYPKEGTHIIEHKRLTSSQSFAKGCEECKYPKKGTHIIKHKRLASFHNFAKGCEECKYPKEETHIVKHRTLHSSWKFAKGCEECKYPKEGTHIIEHKRLTSSQSFAKGCEECKYPKKGTHIIQHKRLASFHNFAKGCEECKYPKEGTHIAKHRTLHSSQKFAKGCEECKYPKKGTHIIKHKMLTSSNNFAKGCEECKYPKKGTHIIKHKRLASFHKFAKGCEECKCPKEEMHIVKHVTLKSSWKFAKGCEECKYPKEGTHIIEHKRLASFNSFAKSCEECKYPQKGTHIIKHKRLASFRKFAKGCEECKCPKEATHIVKHVTLKSSWKFAKGCEECKYPKQGTHIIEHKRLASLNSFAKGCEEYKYPKEETHIIEHKRLTSSQSFAKGCEECKYPKKGTHIIQHKRLASFHNFAKGCEECKYPKEGTHIAKHRTLHSSQKFAKGCEECKYPKKGTHIIKHKMLTSSNNFVKGCEECKCPKKGTHIIKCKTFMSSINFAKGCKKYKYPKDETHIIKHKILTSFWKFAKGREECKYINERTHIRKHQILHSLMFFSKSCKMCKFTKKKTHRIKYRNSNSYKHFVKVYKNCQFIKGQTTTWCQNLKNYAEEHMKGENVSECDKRLRLNKHGCRAYTRLIIEQFSDKISQDPWFDQTFHSSIVPPKTVMEHRKMNWPEKGQKLCTILDLKSVAIGLFVLNRYNELMVNRYLNQQAMDLCQWEELAFEITVDWFTLSGM
+>sp|O43257|ZNHI1_HUMAN Zinc finger HIT domain-containing protein 1 OS=Homo sapiens OX=9606 GN=ZNHIT1 PE=1 SV=1
+MVEKKTSVRSQDPGQRRVLDRAARQRRINRQLEALENDNFQDDPHAGLPQLGKRLPQFDDDADTGKKKKKTRGDHFKLRFRKNFQALLEEQNLSVAEGPNYLTACAGPPSRPQRPFCAVCGFPSPYTCVSCGARYCTVRCLGTHQETRCLKWTV
+>DECOY_sp|O43257|ZNHI1_HUMAN Zinc finger HIT domain-containing protein 1 OS=Homo sapiens OX=9606 GN=ZNHIT1 PE=1 SV=1
+VTWKLCRTEQHTGLCRVTCYRAGCSVCTYPSPFGCVACFPRQPRSPPGACATLYNPGEAVSLNQEELLAQFNKRFRLKFHDGRTKKKKKGTDADDDFQPLRKGLQPLGAHPDDQFNDNELAELQRNIRRQRAARDLVRRQGPDQSRVSTKKEVM
+>sp|Q8TBC5|ZSC18_HUMAN Zinc finger and SCAN domain-containing protein 18 OS=Homo sapiens OX=9606 GN=ZSCAN18 PE=2 SV=2
+MLPLEKAFASPRSSPAPPDLPTPGSAAGVQQEEPETIPERTPADLEFSRLRFREFVYQEAAGPHQTLARLHELCRQWLMPEARSKEQMLELLVLEQFLGILPDKVRPWVVAQYPESCKKAASLVEGLADVLEEPGMLLGSPAGSSSILSDGVYERHMDPLLLPGELASPSQALGAGEIPAPSETPWLSPDPLFLEQRRVREAKTEEDGPANTEQKLKSFPEDPQHLGEWGHLDPAEENLKSYRKLLLWGYQLSQPDAASRLDTEELRLVERDPQGSSLPEGGRRQESAGCACEEAAPAGVLPELPTEAPPGDALADPPSGTTEEEEEQPGKAPDPQDPQDAESDSATGSQRQSVIQQPAPDRGTAKLGTKRPHPEDGDGQSLEGVSSSGDSAGLEAGQGPGADEPGLSRGKPYACGECGEAFAWLSHLMEHHSSHGGRKRYACQGCWKTFHFSLALAEHQKTHEKEKSYALGGARGPQPSTREAQAGARAGGPPESVEGEAPPAPPEAQR
+>DECOY_sp|Q8TBC5|ZSC18_HUMAN Zinc finger and SCAN domain-containing protein 18 OS=Homo sapiens OX=9606 GN=ZSCAN18 PE=2 SV=2
+RQAEPPAPPAEGEVSEPPGGARAGAQAERTSPQPGRAGGLAYSKEKEHTKQHEALALSFHFTKWCGQCAYRKRGGHSSHHEMLHSLWAFAEGCEGCAYPKGRSLGPEDAGPGQGAELGASDGSSSVGELSQGDGDEPHPRKTGLKATGRDPAPQQIVSQRQSGTASDSEADQPDQPDPAKGPQEEEEETTGSPPDALADGPPAETPLEPLVGAPAAEECACGASEQRRGGEPLSSGQPDREVLRLEETDLRSAADPQSLQYGWLLLKRYSKLNEEAPDLHGWEGLHQPDEPFSKLKQETNAPGDEETKAERVRRQELFLPDPSLWPTESPAPIEGAGLAQSPSALEGPLLLPDMHREYVGDSLISSSGAPSGLLMGPEELVDALGEVLSAAKKCSEPYQAVVWPRVKDPLIGLFQELVLLELMQEKSRAEPMLWQRCLEHLRALTQHPGAAEQYVFERFRLRSFELDAPTREPITEPEEQQVGAASGPTPLDPPAPSSRPSAFAKELPLM
+>sp|Q3MJ62|ZSC23_HUMAN Zinc finger and SCAN domain-containing protein 23 OS=Homo sapiens OX=9606 GN=ZSCAN23 PE=1 SV=1
+MAITLTLQTAEMQEGLLAVKVKEEEEEHSCGPESGLSRNNPHTREIFRRRFRQFCYQESPGPREALQRLQELCHQWLRPEMHTKEQILELLVLEQFLTILPEELQAWVRQHRPVSGEEAVTVLEDLERELDDPGEQVLSHAHEQEEFVKEKATPGAAQESSNDQFQTLEEQLGYNLREVCPVQEIDGKAGTWNVELAPKREISQEVKSLIQVLGKQNGNITQIPEYGDTCDREGRLEKQRVSSSVERPYICSECGKSFTQNSILIEHQRTHTGEKPYECDECGRAFSQRSGLFQHQRLHTGEKRYQCSVCGKAFSQNAGLFHHLRIHTGEKPYQCNQCNKSFSRRSVLIKHQRIHTGERPYECEECGKNFIYHCNLIQHRKVHPVAESS
+>DECOY_sp|Q3MJ62|ZSC23_HUMAN Zinc finger and SCAN domain-containing protein 23 OS=Homo sapiens OX=9606 GN=ZSCAN23 PE=1 SV=1
+SSEAVPHVKRHQILNCHYIFNKGCEECEYPREGTHIRQHKILVSRRSFSKNCQNCQYPKEGTHIRLHHFLGANQSFAKGCVSCQYRKEGTHLRQHQFLGSRQSFARGCEDCEYPKEGTHTRQHEILISNQTFSKGCESCIYPREVSSSVRQKELRGERDCTDGYEPIQTINGNQKGLVQILSKVEQSIERKPALEVNWTGAKGDIEQVPCVERLNYGLQEELTQFQDNSSEQAAGPTAKEKVFEEQEHAHSLVQEGPDDLERELDELVTVAEEGSVPRHQRVWAQLEEPLITLFQELVLLELIQEKTHMEPRLWQHCLEQLRQLAERPGPSEQYCFQRFRRRFIERTHPNNRSLGSEPGCSHEEEEEKVKVALLGEQMEATQLTLTIAM
+>sp|Q86W11|ZSC30_HUMAN Zinc finger and SCAN domain-containing protein 30 OS=Homo sapiens OX=9606 GN=ZSCAN30 PE=1 SV=1
+MSGEATVLAYHAPEEQEGLLVVKVEEENYVLDQDFGLQENPWSQEVFRQKFRQFSYSDSTGPREALSRLRELCCQWLRPEVHSKEQILELLMLEQFLAILPEELQAWLREHRPENGEEAVTMLEELEKELEEPRQQDTTHGQEMFWQEMTSTGALKSLSLNSPVQPLENQCKTETQESQAFQERDGRMVAGKVLMAKQEIVECVASAAMISPGKLPGETHSQRIAEEALGGLDNSKKQKGNAAGNKISQLPSQDRHFSLATFNRRIPTEHSVLESHESEGSFSMNSNDITQQSVDTREKLYECFDCGKAFCQSSKLIRHQRIHTGERPYACKECGKAFSLSSDLVRHQRIHSGEKPYECCECGKAFRGSSELIRHRRIHTGEKPYECGECGKAFSRSSALIQHKKIHTGDKSYECIACGKAFGRSSILIEHQRIHTGEKPYECNECGKSFNQSSALTQHQRIHTGEKPYECSECRKTFRHRSGLMQHQRTHTRV
+>DECOY_sp|Q86W11|ZSC30_HUMAN Zinc finger and SCAN domain-containing protein 30 OS=Homo sapiens OX=9606 GN=ZSCAN30 PE=1 SV=1
+VRTHTRQHQMLGSRHRFTKRCESCEYPKEGTHIRQHQTLASSQNFSKGCENCEYPKEGTHIRQHEILISSRGFAKGCAICEYSKDGTHIKKHQILASSRSFAKGCEGCEYPKEGTHIRRHRILESSGRFAKGCECCEYPKEGSHIRQHRVLDSSLSFAKGCEKCAYPREGTHIRQHRILKSSQCFAKGCDFCEYLKERTDVSQQTIDNSNMSFSGESEHSELVSHETPIRRNFTALSFHRDQSPLQSIKNGAANGKQKKSNDLGGLAEEAIRQSHTEGPLKGPSIMAASAVCEVIEQKAMLVKGAVMRGDREQFAQSEQTETKCQNELPQVPSNLSLSKLAGTSTMEQWFMEQGHTTDQQRPEELEKELEELMTVAEEGNEPRHERLWAQLEEPLIALFQELMLLELIQEKSHVEPRLWQCCLERLRSLAERPGTSDSYSFQRFKQRFVEQSWPNEQLGFDQDLVYNEEEVKVVLLGEQEEPAHYALVTAEGSM
+>sp|Q8NBB4|ZSCA1_HUMAN Zinc finger and SCAN domain-containing protein 1 OS=Homo sapiens OX=9606 GN=ZSCAN1 PE=1 SV=2
+MLPRPKAPASPRRPQTPTPSEQDADPGPASPRDTEAQRLRFRQFQYHVASGPHLALGQLWTLCRQWLRPEARSKEQMLELLVLEQFLGALPSKMRTWVQSQGPRSCREAASLVEDLTQMCQQEVLVSLDSVEPQDWSFGEEEDGKSPRSQKEPSQASELILDAVAAAPALPEESEWLETTQLQQSLHTRAEAEAPRAPGLLGSRARLPLKPSIWDEPEDLLAGPSSDLRAEGTVISSPKGPSAQRISPRRRNRNTDQSGRHQPSLKHTKGGTQEAVAGISVVPRGPRGGRPFQCADCGMVFTWVTHFIEHQKTHREEGPFPCPECGKVFLHNSVLTEHGKIHLLEPPRKKAPRSKGPRESVPPRDGAQGPVAPRSPKRPFQCSVCGKAFPWMVHLIDHQKLHTAHGHM
+>DECOY_sp|Q8NBB4|ZSCA1_HUMAN Zinc finger and SCAN domain-containing protein 1 OS=Homo sapiens OX=9606 GN=ZSCAN1 PE=1 SV=2
+MHGHATHLKQHDILHVMWPFAKGCVSCQFPRKPSRPAVPGQAGDRPPVSERPGKSRPAKKRPPELLHIKGHETLVSNHLFVKGCEPCPFPGEERHTKQHEIFHTVWTFVMGCDACQFPRGGRPGRPVVSIGAVAEQTGGKTHKLSPQHRGSQDTNRNRRRPSIRQASPGKPSSIVTGEARLDSSPGALLDEPEDWISPKLPLRARSGLLGPARPAEAEARTHLSQQLQTTELWESEEPLAPAAAVADLILESAQSPEKQSRPSKGDEEEGFSWDQPEVSDLSVLVEQQCMQTLDEVLSAAERCSRPGQSQVWTRMKSPLAGLFQELVLLELMQEKSRAEPRLWQRCLTWLQGLALHPGSAVHYQFQRFRLRQAETDRPSAPGPDADQESPTPTQPRRPSAPAKPRPLM
+>sp|Q6ZVH6|YK004_HUMAN Putative uncharacterized protein FLJ42569 OS=Homo sapiens OX=9606 PE=2 SV=1
+MGPWPRDWLGKGWRLGSCEARAGAKEVSVIRHGAPNPAQSHLHVQARAQVHSEDGHSLPPVVDGEDEVLSLLVFVQDSQECCRQAVQGRQGRGVTWGLGLPSYHLRTLLSPVCVPARDQRAPRKCCEAVLACPLVETLVTTLLTR
+>DECOY_sp|Q6ZVH6|YK004_HUMAN Putative uncharacterized protein FLJ42569 OS=Homo sapiens OX=9606 PE=2 SV=1
+RTLLTTVLTEVLPCALVAECCKRPARQDRAPVCVPSLLTRLHYSPLGLGWTVGRGQRGQVAQRCCEQSDQVFVLLSLVEDEGDVVPPLSHGDESHVQARAQVHLHSQAPNPAGHRIVSVEKAGARAECSGLRWGKGLWDRPWPGM
+>sp|Q8IYB0|YK038_HUMAN Putative uncharacterized protein MGC39545 OS=Homo sapiens OX=9606 PE=5 SV=2
+MPSGEERRDRQKGRRAGCDTSSTPSNTAPRIPEPGAHPTAARPATAQPPRSLLPPVCSKTIALPASAAAASGSDTAGMRGLGKAPHSGEGHERGRGNSKMKACNNYQYGYLAKPDTSFWIKCRRWLLADAGRHTQRPFWTFRVSHSPLLEAEAGRPSDVSQLQLGSDLKPKMRRPAGELFSPKDAGWELDPAEKSE
+>DECOY_sp|Q8IYB0|YK038_HUMAN Putative uncharacterized protein MGC39545 OS=Homo sapiens OX=9606 PE=5 SV=2
+ESKEAPDLEWGADKPSFLEGAPRRMKPKLDSGLQLQSVDSPRGAEAELLPSHSVRFTWFPRQTHRGADALLWRRCKIWFSTDPKALYGYQYNNCAKMKSNGRGREHGEGSHPAKGLGRMGATDSGSAAAASAPLAITKSCVPPLLSRPPQATAPRAATPHAGPEPIRPATNSPTSSTDCGARRGKQRDRREEGSPM
+>sp|Q92536|YLAT2_HUMAN Y+L amino acid transporter 2 OS=Homo sapiens OX=9606 GN=SLC7A6 PE=1 SV=3
+MEAREPGRPTPTYHLVPNTSQSQVEEDVSSPPQRSSETMQLKKEISLLNGVSLVVGNMIGSGIFVSPKGVLVHTASYGMSLIVWAIGGLFSVVGALCYAELGTTITKSGASYAYILEAFGGFIAFIRLWVSLLVVEPTGQAIIAITFANYIIQPSFPSCDPPYLACRLLAAACICLLTFVNCAYVKWGTRVQDTFTYAKVVALIAIIVMGLVKLCQGHSEHFQDAFEGSSWDMGNLSLALYSALFSYSGWDTLNFVTEEIKNPERNLPLAIGISMPIVTLIYILTNVAYYTVLNISDVLSSDAVAVTFADQTFGMFSWTIPIAVALSCFGGLNASIFASSRLFFVGSREGHLPDLLSMIHIERFTPIPALLFNCTMALIYLIVEDVFQLINYFSFSYWFFVGLSVVGQLYLRWKEPKRPRPLKLSVFFPIVFCICSVFLVIVPLFTDTINSLIGIGIALSGVPFYFMGVYLPESRRPLFIRNVLAAITRGTQQLCFCVLTELDVAEEKKDERKTD
+>DECOY_sp|Q92536|YLAT2_HUMAN Y+L amino acid transporter 2 OS=Homo sapiens OX=9606 GN=SLC7A6 PE=1 SV=3
+DTKREDKKEEAVDLETLVCFCLQQTGRTIAALVNRIFLPRRSEPLYVGMFYFPVGSLAIGIGILSNITDTFLPVIVLFVSCICFVIPFFVSLKLPRPRKPEKWRLYLQGVVSLGVFFWYSFSFYNILQFVDEVILYILAMTCNFLLAPIPTFREIHIMSLLDPLHGERSGVFFLRSSAFISANLGGFCSLAVAIPITWSFMGFTQDAFTVAVADSSLVDSINLVTYYAVNTLIYILTVIPMSIGIALPLNREPNKIEETVFNLTDWGSYSFLASYLALSLNGMDWSSGEFADQFHESHGQCLKVLGMVIIAILAVVKAYTFTDQVRTGWKVYACNVFTLLCICAAALLRCALYPPDCSPFSPQIIYNAFTIAIIAQGTPEVVLLSVWLRIFAIFGGFAELIYAYSAGSKTITTGLEAYCLAGVVSFLGGIAWVILSMGYSATHVLVGKPSVFIGSGIMNGVVLSVGNLLSIEKKLQMTESSRQPPSSVDEEVQSQSTNPVLHYTPTPRGPERAEM
+>sp|Q6UXQ8|YO002_HUMAN Putative uncharacterized protein UNQ6190/PRO20217 OS=Homo sapiens OX=9606 GN=UNQ6190/PRO20217 PE=5 SV=1
+MAGVRARAPLPLALLLSLPAAPGGRDPSASRARFPQRLGRAPCFEVGLRKPPPPPLLSPPSFSSGSSRPLQRPRGPKDGAGRKVCAKLVKRLPGESGSCEDGQSAPAQPPRRRTGTRACPPRAPLWR
+>DECOY_sp|Q6UXQ8|YO002_HUMAN Putative uncharacterized protein UNQ6190/PRO20217 OS=Homo sapiens OX=9606 GN=UNQ6190/PRO20217 PE=5 SV=1
+RWLPARPPCARTGTRRRPPQAPASQGDECSGSEGPLRKVLKACVKRGAGDKPGRPRQLPRSSGSSFSPPSLLPPPPPKRLGVEFCPARGLRQPFRARSASPDRGGPAAPLSLLLALPLPARARVGAM
+>sp|A6NDX4|YO011_HUMAN Putative transmembrane protein ENSP00000320207 OS=Homo sapiens OX=9606 PE=5 SV=1
+MYVSISFLLGLSHLVLCCLLTFIVNFYLPPESIDFEFMAHNWSKGRSPSSTLGLSWFKAGFRFSDGWSMFYSSGLPGVALPGSPPRSHLLPGTQILIRSFQPCESAKHSARLSSLLTTTSYSVS
+>DECOY_sp|A6NDX4|YO011_HUMAN Putative transmembrane protein ENSP00000320207 OS=Homo sapiens OX=9606 PE=5 SV=1
+SVSYSTTTLLSSLRASHKASECPQFSRILIQTGPLLHSRPPSGPLAVGPLGSSYFMSWGDSFRFGAKFWSLGLTSSPSRGKSWNHAMFEFDISEPPLYFNVIFTLLCCLVLHSLGLLFSISVYM
+>sp|Q9P1D8|YP008_HUMAN Putative uncharacterized protein PRO2289 OS=Homo sapiens OX=9606 GN=PRO2289 PE=5 SV=1
+MMITRGWEGWGRRGARGAGTGTGLGGPGTPESSVTPPEFPLPPATRITPNFPNTLDPAISRSSS
+>DECOY_sp|Q9P1D8|YP008_HUMAN Putative uncharacterized protein PRO2289 OS=Homo sapiens OX=9606 GN=PRO2289 PE=5 SV=1
+SSSRSIAPDLTNPFNPTIRTAPPLPFEPPTVSSEPTGPGGLGTGTGAGRAGRRGWGEWGRTIMM
+>sp|Q6ZVL8|YP033_HUMAN Putative uncharacterized protein FLJ42384 OS=Homo sapiens OX=9606 PE=2 SV=1
+MWPFRLRCPIYFKTRLLYSSSQDGFLSSSTNYYNHRTYPGLVNWLFVLTEPELTGELGDDDRKGMHTGGIIRWLGRPSSQLKPIFHAEERRVPPPPERLVGRASPREQATVFKRICAPLHAEVFCRAGLCACHPDCTAAG
+>DECOY_sp|Q6ZVL8|YP033_HUMAN Putative uncharacterized protein FLJ42384 OS=Homo sapiens OX=9606 PE=2 SV=1
+GAATCDPHCACLGARCFVEAHLPACIRKFVTAQERPSARGVLREPPPPVRREEAHFIPKLQSSPRGLWRIIGGTHMGKRDDDGLEGTLEPETLVFLWNVLGPYTRHNYYNTSSSLFGDQSSSYLLRTKFYIPCRLRFPWM
+>sp|P61236|YPEL3_HUMAN Protein yippee-like 3 OS=Homo sapiens OX=9606 GN=YPEL3 PE=2 SV=1
+MVRISKPKTFQAYLDDCHRRYSCAHCRAHLANHDDLISKSFQGSQGRAYLFNSVVNVGCGPAEERVLLTGLHAVADIHCENCKTTLGWKYEQAFESSQKYKEGKYIIELNHMIKDNGWD
+>DECOY_sp|P61236|YPEL3_HUMAN Protein yippee-like 3 OS=Homo sapiens OX=9606 GN=YPEL3 PE=2 SV=1
+DWGNDKIMHNLEIIYKGEKYKQSSEFAQEYKWGLTTKCNECHIDAVAHLGTLLVREEAPGCGVNVVSNFLYARGQSGQFSKSILDDHNALHARCHACSYRRHCDDLYAQFTKPKSIRVM
+>sp|P59817|Z280A_HUMAN Zinc finger protein 280A OS=Homo sapiens OX=9606 GN=ZNF280A PE=2 SV=3
+MGDIFLCKKVESPKKNLRESKQREEDDEDPDLIYVGVEHVHRDAEVLFVGMISNSKPVVSNILNRVTPGSKSRRKKGHFRQYPAHVSQPANHVTSMAKAIMPVSLSEGRSTDSPVTMKSSSEPGYKMSSPQVVSPNYSDSLPPGTQCLVGAMVSGGGRNESSPDSKRLSTSDINSRDSKRVKLRDGIPGVPSLAVVPSDMSSTISTNTPSQGICNSSNHVQNGVTFPWPDANGKAHFNLTDPERANESGLAMTDISSLASQNKTFDPKKENPIVLLSNFYYGQHKGDGQPEQKTHTTFKCLSCVKVLKNIKFMNHMKHHLEFEKQRNDSWEDHTTCQHCHRQFPTPFQLQCHIDSVHIAMGPSAVCKICELSFETDQVLLQHMKDHHKPGEMPYVCQVCHYRSSVFADVETHFRTCHENTKNLLCLFCLKLFKTAIPYMNHCWRHSRRRVLQCSKCRLQFLTLKEEIEHKTKDHQTFKKPEQLQGFPRETKVIIQTSVQPGSSGMASVIVSNTDPQSSPVKTKKKTAMNTRDSRLPCSKDSS
+>DECOY_sp|P59817|Z280A_HUMAN Zinc finger protein 280A OS=Homo sapiens OX=9606 GN=ZNF280A PE=2 SV=3
+SSDKSCPLRSDRTNMATKKKTKVPSSQPDTNSVIVSAMGSSGPQVSTQIIVKTERPFGQLQEPKKFTQHDKTKHEIEEKLTLFQLRCKSCQLVRRRSHRWCHNMYPIATKFLKLCFLCLLNKTNEHCTRFHTEVDAFVSSRYHCVQCVYPMEGPKHHDKMHQLLVQDTEFSLECIKCVASPGMAIHVSDIHCQLQFPTPFQRHCHQCTTHDEWSDNRQKEFELHHKMHNMFKINKLVKVCSLCKFTTHTKQEPQGDGKHQGYYFNSLLVIPNEKKPDFTKNQSALSSIDTMALGSENAREPDTLNFHAKGNADPWPFTVGNQVHNSSNCIGQSPTNTSITSSMDSPVVALSPVGPIGDRLKVRKSDRSNIDSTSLRKSDPSSENRGGGSVMAGVLCQTGPPLSDSYNPSVVQPSSMKYGPESSSKMTVPSDTSRGESLSVPMIAKAMSTVHNAPQSVHAPYQRFHGKKRRSKSGPTVRNLINSVVPKSNSIMGVFLVEADRHVHEVGVYILDPDEDDEERQKSERLNKKPSEVKKCLFIDGM
+>sp|P0CG31|Z286B_HUMAN Putative zinc finger protein 286B OS=Homo sapiens OX=9606 GN=ZNF286B PE=5 SV=1
+METDLAEMPEKGVLSSQDSPHFQEKSTEEGEVAALRLTARSQAAAAAAAPGSRSLRGVHVPPPLHPAPAREEIKSTCSLKACFSLSLTLTYYRTAFLLSTENEGNLHFQCPSDVETRPQSKDSTSVQDFSKAESCKVAIIDRLTRNSVYDSNLEAALECENWLEKQQGNQERHLREMFTHMNSLSEETDHEHDVYWKSFNQKSVLITEDRVPKGSYAFHTLEKSLKQKSNLMKKQRTYKEKKPHKCNDCGELFTCHSVHIQHQRVHTGEKPYTCNECGKSFSHRANLTKHQRTHTRILFECRECKKTFTESSSLATHQRIHVGERPYECNECGKGFNRSTHLVQHQLIHTGVRPYECNECDKAFIHSSALIKHQRTHTGEKPYKCQECGKAFSHCSSLTKHQRVHTGEKPYECSECGKTFSQSTHLVQHQRIHTGEKPYECSECGKTFSQSSNFAKHQRIHIGKKPYKCSECGKAFIHSSALIQHQRTHTGEKPFRCNECGKSFKCSSSLIRHQRVHTEEQP
+>DECOY_sp|P0CG31|Z286B_HUMAN Putative zinc finger protein 286B OS=Homo sapiens OX=9606 GN=ZNF286B PE=5 SV=1
+PQEETHVRQHRILSSSCKFSKGCENCRFPKEGTHTRQHQILASSHIFAKGCESCKYPKKGIHIRQHKAFNSSQSFTKGCESCEYPKEGTHIRQHQVLHTSQSFTKGCESCEYPKEGTHVRQHKTLSSCHSFAKGCEQCKYPKEGTHTRQHKILASSHIFAKDCENCEYPRVGTHILQHQVLHTSRNFGKGCENCEYPREGVHIRQHTALSSSETFTKKCERCEFLIRTHTRQHKTLNARHSFSKGCENCTYPKEGTHVRQHQIHVSHCTFLEGCDNCKHPKKEKYTRQKKMLNSKQKLSKELTHFAYSGKPVRDETILVSKQNFSKWYVDHEHDTEESLSNMHTFMERLHREQNGQQKELWNECELAAELNSDYVSNRTLRDIIAVKCSEAKSFDQVSTSDKSQPRTEVDSPCQFHLNGENETSLLFATRYYTLTLSLSFCAKLSCTSKIEERAPAPHLPPPVHVGRLSRSGPAAAAAAAQSRATLRLAAVEGEETSKEQFHPSDQSSLVGKEPMEALDTEM
+>sp|Q569K4|Z385B_HUMAN Zinc finger protein 385B OS=Homo sapiens OX=9606 GN=ZNF385B PE=1 SV=1
+MNMANFLRGFEEKGIKNDRPEDQLSKEKKKILFSFCEVCNIQLNSAAQAQVHSNGKSHRKRVKQLSDGQPPPPAQASPSSNSSTGSTCHTTTLPALVRTPTLMMQPSLDIKPFMSFPVDSSSAVGLFPNFNTMDPVQKAVINHTFGVSIPPKKKQVISCNVCQLRFNSDSQAEAHYKGSKHAKKVKALDATKNKPKMVPSKDSAKANPSCSITPITGNNSDKSEDKGKLKASSSSQPSSSESGSFLLKSGTTPLPPGAATSPSKSTNGAPGTVVESEEEKAKKLLYCSLCKVAVNSLSQLEAHNTGSKHKTMVEARNGAGPIKSYPRPGSRLKMQNGSKGSGLQNKTFHCEICDVHVNSEIQLKQHISSRRHKDRVAGKPLKPKYSPYNKLQRSPSILAAKLAFQKDMMKPLAPAFLSSPLAAAAAVSSALSLPPRPSASLFQAPAIPPALLRPGHGPIRATPASILFAPY
+>DECOY_sp|Q569K4|Z385B_HUMAN Zinc finger protein 385B OS=Homo sapiens OX=9606 GN=ZNF385B PE=1 SV=1
+YPAFLISAPTARIPGHGPRLLAPPIAPAQFLSASPRPPLSLASSVAAAAALPSSLFAPALPKMMDKQFALKAALISPSRQLKNYPSYKPKLPKGAVRDKHRRSSIHQKLQIESNVHVDCIECHFTKNQLGSGKSGNQMKLRSGPRPYSKIPGAGNRAEVMTKHKSGTNHAELQSLSNVAVKCLSCYLLKKAKEEESEVVTGPAGNTSKSPSTAAGPPLPTTGSKLLFSGSESSSPQSSSSAKLKGKDESKDSNNGTIPTISCSPNAKASDKSPVMKPKNKTADLAKVKKAHKSGKYHAEAQSDSNFRLQCVNCSIVQKKKPPISVGFTHNIVAKQVPDMTNFNPFLGVASSSDVPFSMFPKIDLSPQMMLTPTRVLAPLTTTHCTSGTSSNSSPSAQAPPPPQGDSLQKVRKRHSKGNSHVQAQAASNLQINCVECFSFLIKKKEKSLQDEPRDNKIGKEEFGRLFNAMNM
+>sp|Q96IU2|ZBED3_HUMAN Zinc finger BED domain-containing protein 3 OS=Homo sapiens OX=9606 GN=ZBED3 PE=1 SV=1
+MRSGEPACTMDQARGLDDAAARGGQCPGLGPAPTPTPPGRLGAPYSEAWGYFHLAPGRPGHPSGHWATCRLCGEQVGRGPGFHAGTSALWRHLRSAHRRELESSGAGSSPPAAPCPPPPGPAAAPEGDWARLLEQMGALAVRGSRRERELERRELAVEQGERALERRRRALQEEERAAAQARRELQAEREALQARLRDVSRREGALGWAPAAPPPLKDDPEGDRDGCVITKVLL
+>DECOY_sp|Q96IU2|ZBED3_HUMAN Zinc finger BED domain-containing protein 3 OS=Homo sapiens OX=9606 GN=ZBED3 PE=1 SV=1
+LLVKTIVCGDRDGEPDDKLPPPAAPAWGLAGERRSVDRLRAQLAEREAQLERRAQAAAREEEQLARRRRELAREGQEVALERRELERERRSGRVALAGMQELLRAWDGEPAAAPGPPPPCPAAPPSSGAGSSELERRHASRLHRWLASTGAHFGPGRGVQEGCLRCTAWHGSPHGPRGPALHFYGWAESYPAGLRGPPTPTPAPGLGPCQGGRAAADDLGRAQDMTCAPEGSRM
+>sp|Q9HC78|ZBT20_HUMAN Zinc finger and BTB domain-containing protein 20 OS=Homo sapiens OX=9606 GN=ZBTB20 PE=1 SV=3
+MLERKKPKTAENQKASEENEITQPGGSSAKPGLPCLNFEAVLSPDPALIHSTHSLTNSHAHTGSSDCDISCKGMTERIHSINLHNFSNSVLETLNEQRNRGHFCDVTVRIHGSMLRAHRCVLAAGSPFFQDKLLLGYSDIEIPSVVSVQSVQKLIDFMYSGVLRVSQSEALQILTAASILQIKTVIDECTRIVSQNVGDVFPGIQDSGQDTPRGTPESGTSGQSSDTESGYLQSHPQHSVDRIYSALYACSMQNGSGERSFYSGAVVSHHETALGLPRDHHMEDPSWITRIHERSQQMERYLSTTPETTHCRKQPRPVRIQTLVGNIHIKQEMEDDYDYYGQQRVQILERNESEECTEDTDQAEGTESEPKGESFDSGVSSSIGTEPDSVEQQFGPGAARDSQAEPTQPEQAAEAPAEGGPQTNQLETGASSPERSNEVEMDSTVITVSNSSDKSVLQQPSVNTSIGQPLPSTQLYLRQTETLTSNLRMPLTLTSNTQVIGTAGNTYLPALFTTQPAGSGPKPFLFSLPQPLAGQQTQFVTVSQPGLSTFTAQLPAPQPLASSAGHSTASGQGEKKPYECTLCNKTFTAKQNYVKHMFVHTGEKPHQCSICWRSFSLKDYLIKHMVTHTGVRAYQCSICNKRFTQKSSLNVHMRLHRGEKSYECYICKKKFSHKTLLERHVALHSASNGTPPAGTPPGARAGPPGVVACTEGTTYVCSVCPAKFDQIEQFNDHMRMHVSDG
+>DECOY_sp|Q9HC78|ZBT20_HUMAN Zinc finger and BTB domain-containing protein 20 OS=Homo sapiens OX=9606 GN=ZBTB20 PE=1 SV=3
+GDSVHMRMHDNFQEIQDFKAPCVSCVYTTGETCAVVGPPGARAGPPTGAPPTGNSASHLAVHRELLTKHSFKKKCIYCEYSKEGRHLRMHVNLSSKQTFRKNCISCQYARVGTHTVMHKILYDKLSFSRWCISCQHPKEGTHVFMHKVYNQKATFTKNCLTCEYPKKEGQGSATSHGASSALPQPAPLQATFTSLGPQSVTVFQTQQGALPQPLSFLFPKPGSGAPQTTFLAPLYTNGATGIVQTNSTLTLPMRLNSTLTETQRLYLQTSPLPQGISTNVSPQQLVSKDSSNSVTIVTSDMEVENSREPSSAGTELQNTQPGGEAPAEAAQEPQTPEAQSDRAAGPGFQQEVSDPETGISSSVGSDFSEGKPESETGEAQDTDETCEESENRELIQVRQQGYYDYDDEMEQKIHINGVLTQIRVPRPQKRCHTTEPTTSLYREMQQSREHIRTIWSPDEMHHDRPLGLATEHHSVVAGSYFSREGSGNQMSCAYLASYIRDVSHQPHSQLYGSETDSSQGSTGSEPTGRPTDQGSDQIGPFVDGVNQSVIRTCEDIVTKIQLISAATLIQLAESQSVRLVGSYMFDILKQVSQVSVVSPIEIDSYGLLLKDQFFPSGAALVCRHARLMSGHIRVTVDCFHGRNRQENLTELVSNSFNHLNISHIRETMGKCSIDCDSSGTHAHSNTLSHTSHILAPDPSLVAEFNLCPLGPKASSGGPQTIENEESAKQNEATKPKKRELM
+>sp|O15209|ZBT22_HUMAN Zinc finger and BTB domain-containing protein 22 OS=Homo sapiens OX=9606 GN=ZBTB22 PE=1 SV=1
+MEPSPLSPSGAALPLPLSLAPPPLPLPAAAVVHVSFPEVTSALLESLNQQRLQGQLCDVSIRVQGREFRAHRAVLAASSPYFHDQVLLKGMTSISLPSVMDPGAFETVLASAYTGRLSMAAADIVNFLTVGSVLQMWHIVDKCTELLREGRASATTTITTAAATSVTVPGAGVPSGSGGTVAPATMGSARSHASSRASENQSPSSSNYFSPRESTDFSSSSQEAFAASAVGSGERRGGGPVFPAPVVGSGGATSGKLLLEADELCDDGGDGRGAVVPGAGLRRPTYTPPSIMPQKHWVYVKRGGNCPAPTPLVPQDPDLEEEEEEEDLVLTCEDDEDEELGGSSRVPVGGGPEATLSISDVRTLSEPPDKGEEQVNFCESSNDFGPYEGGGPVAGLDDSGGPTPSSYAPSHPPRPLLPLDMQGNQILVFPSSSSSSSSQAPGQPPGNQAEHGAVTVGGTSVGSLGVPGSVGGVPGGTGSGDGNKIFLCHCGKAFSHKSMRDRHVNMHLNLRPFDCPVCNKKFKMKHHLTEHMKTHTGLKPYECGVCAKKFMWRDSFMRHRGHCERRHRLGGVGAVPGPGTPTGPSLPSKRESPGVGGGSGDEASAATPPSSRRVWSPPRVHKVEMGFGGGGGAN
+>DECOY_sp|O15209|ZBT22_HUMAN Zinc finger and BTB domain-containing protein 22 OS=Homo sapiens OX=9606 GN=ZBTB22 PE=1 SV=1
+NAGGGGGFGMEVKHVRPPSWVRRSSPPTAASAEDGSGGGVGPSERKSPLSPGTPTGPGPVAGVGGLRHRRECHGRHRMFSDRWMFKKACVGCEYPKLGTHTKMHETLHHKMKFKKNCVPCDFPRLNLHMNVHRDRMSKHSFAKGCHCLFIKNGDGSGTGGPVGGVSGPVGLSGVSTGGVTVAGHEAQNGPPQGPAQSSSSSSSSPFVLIQNGQMDLPLLPRPPHSPAYSSPTPGGSDDLGAVPGGGEYPGFDNSSECFNVQEEGKDPPESLTRVDSISLTAEPGGGVPVRSSGGLEEDEDDECTLVLDEEEEEEELDPDQPVLPTPAPCNGGRKVYVWHKQPMISPPTYTPRRLGAGPVVAGRGDGGDDCLEDAELLLKGSTAGGSGVVPAPFVPGGGRREGSGVASAAFAEQSSSSFDTSERPSFYNSSSPSQNESARSSAHSRASGMTAPAVTGGSGSPVGAGPVTVSTAAATTITTTASARGERLLETCKDVIHWMQLVSGVTLFNVIDAAAMSLRGTYASALVTEFAGPDMVSPLSISTMGKLLVQDHFYPSSAALVARHARFERGQVRISVDCLQGQLRQQNLSELLASTVEPFSVHVVAAAPLPLPPPALSLPLPLAAGSPSLPSPEM
+>sp|P24278|ZBT25_HUMAN Zinc finger and BTB domain-containing protein 25 OS=Homo sapiens OX=9606 GN=ZBTB25 PE=1 SV=2
+MDTASHSLVLLQQLNMQREFGFLCDCTVAIGDVYFKAHRAVLAAFSNYFKMIFIHQTSECIKIQPTDIQPDIFSYLLHIMYTGKGPKQIVDHSRLEEGIRFLHADYLSHIATEMNQVFSPETVQSSNLYGIQISTTQKTVVKQGLEVKEAPSSNSGNRAAVQGDHPQLQLSLAIGLDDGTADQQRACPATQALEEHQKPPVSIKQERCDPESVISQSHPSPSSEVTGPTFTENSVKIHLCHYCGERFDSRSNLRQHLHTHVSGSLPFGVPASILESNDLGEVHPLNENSEALECRRLSSFIVKENEQQPDHTNRGTTEPLQISQVSLISKDTEPVELNCNFSFSRKRKMSCTICGHKFPRKSQLLEHMYTHKGKSYRYNRCQRFGNALAQRFQPYCDSWSDVSLKSSRLSQEHLDLPCALESELTQENVDTILVE
+>DECOY_sp|P24278|ZBT25_HUMAN Zinc finger and BTB domain-containing protein 25 OS=Homo sapiens OX=9606 GN=ZBTB25 PE=1 SV=2
+EVLITDVNEQTLESELACPLDLHEQSLRSSKLSVDSWSDCYPQFRQALANGFRQCRNYRYSKGKHTYMHELLQSKRPFKHGCITCSMKRKRSFSFNCNLEVPETDKSILSVQSIQLPETTGRNTHDPQQENEKVIFSSLRRCELAESNENLPHVEGLDNSELISAPVGFPLSGSVHTHLHQRLNSRSDFREGCYHCLHIKVSNETFTPGTVESSPSPHSQSIVSEPDCREQKISVPPKQHEELAQTAPCARQQDATGDDLGIALSLQLQPHDGQVAARNGSNSSPAEKVELGQKVVTKQTTSIQIGYLNSSQVTEPSFVQNMETAIHSLYDAHLFRIGEELRSHDVIQKPGKGTYMIHLLYSFIDPQIDTPQIKICESTQHIFIMKFYNSFAALVARHAKFYVDGIAVTCDCLFGFERQMNLQQLLVLSHSATDM
+>sp|Q8NCN2|ZBT34_HUMAN Zinc finger and BTB domain-containing protein 34 OS=Homo sapiens OX=9606 GN=ZBTB34 PE=1 SV=4
+MDSSSFIQFDVPEYSSTVLSQLNELRLQGKLCDIIVHIQGQPFRAHKAVLAASSPYFRDHSALSTMSGLSISVIKNPNVFEQLLSFCYTGRMSLQLKDVVSFLTAASFLQMQCVIDKCTQILESIHSKISVGDVDSVTVGAEENPESRNGVKDSSFFANPVEISPPYCSQGRQPTASSDLRMETTPSKALRSRLQEEGHSDRGSSGSVSEYEIQIEGDHEQGDLLVRESQITEVKVKMEKSDRPSCSDSSSLGDDGYHTEMVDGEQVVAVNVGSYGSVLQHAYSYSQAASQPTNVSEAFGSLSNSSPSRSMLSCFRGGRARQKRALSVHLHSDLQGLVQGSDSEAMMNNPGYESSPRERSARGHWYPYNERLICIYCGKSFNQKGSLDRHMRLHMGITPFVCKFCGKKYTRKDQLEYHIRGHTDDKPFRCEICGKCFPFQGTLNQHLRKNHPGVAEVRSRIESPERTDVYVEQKLENDASASEMGLDSRMEIHTVSDAPD
+>DECOY_sp|Q8NCN2|ZBT34_HUMAN Zinc finger and BTB domain-containing protein 34 OS=Homo sapiens OX=9606 GN=ZBTB34 PE=1 SV=4
+DPADSVTHIEMRSDLGMESASADNELKQEVYVDTREPSEIRSRVEAVGPHNKRLHQNLTGQFPFCKGCIECRFPKDDTHGRIHYELQDKRTYKKGCFKCVFPTIGMHLRMHRDLSGKQNFSKGCYICILRENYPYWHGRASRERPSSEYGPNNMMAESDSGQVLGQLDSHLHVSLARKQRARGGRFCSLMSRSPSSNSLSGFAESVNTPQSAAQSYSYAHQLVSGYSGVNVAVVQEGDVMETHYGDDGLSSSDSCSPRDSKEMKVKVETIQSERVLLDGQEHDGEIQIEYESVSGSSGRDSHGEEQLRSRLAKSPTTEMRLDSSATPQRGQSCYPPSIEVPNAFFSSDKVGNRSEPNEEAGVTVSDVDGVSIKSHISELIQTCKDIVCQMQLFSAATLFSVVDKLQLSMRGTYCFSLLQEFVNPNKIVSISLGSMTSLASHDRFYPSSAALVAKHARFPQGQIHVIIDCLKGQLRLENLQSLVTSSYEPVDFQIFSSSDM
+>sp|Q9NUA8|ZBT40_HUMAN Zinc finger and BTB domain-containing protein 40 OS=Homo sapiens OX=9606 GN=ZBTB40 PE=1 SV=4
+MELPNYSRQLLQQLYTLCKEQQFCDCTISIGTIYFRAHKLVLAAASLLFKTLLDNTDTISIDASVVSPEEFALLLEMMYTGKLPVGKHNFSKIISLADSLQMFDVAVSCKNLLTSLVNCSVQGQVVRDVSAPSSETFRKEPEKPQVEILSSEGAGEPHSSPELAATPGGPVKAETEEAAHSVSQEMSVNSPTAQESQRNAETPAETPTTAEACSPSPAVQTFSEAKKTSTEPGCERKHYQLNFLLENEGVFSDALMVTQDVLKKLEMCSEIKGPQKEMIVKCFEGEGGHSAFQRILGKVREESLDVQTVVSLLRLYQYSNPAVKTALLDRKPEDVDTVQPKGSTEEGKTLSVLLLEHKEDLIQCVTQLRPIMESLETAKEEFLTGTEKRVILNCCEGRTPKETIENLLHRMTEEKTLTAEGLVKLLQAVKTTFPNLGLLLEKLQKSATLPSTTVQPSPDDYGTELLRRYHENLSEIFTDNQILLKMISHMTSLAPGEREVMEKLVKRDSGSGGFNSLISAVLEKQTLSATAIWQLLLVVQETKTCPLDLLMEEIRREPGADAFFRAVTTPEHATLETILRHNQLILEAIQQKIEYKLFTSEEEHLAETVKEILSIPSETASPEASLRAVLSRAMEKSVPAIEICHLLCSVHKSFPGLQPVMQELAYIGVLTKEDGEKETWKVSNKFHLEANNKEDEKAAKEDSQPGEQNDQGETGSLPGQQEKEASASPDPAKKSFICKACDKSFHFYCRLKVHMKRCRVAKSKQVQCKECSETKDSKKELDKHQLEAHGAGGEPDAPKKKKKRLPVTCDLCGREFAHASGMQYHKLTEHFDEKPFSCEECGAKFAANSTLKNHLRLHTGDRPFMCKHCLMTFTQASALAYHTKKKHSEGKMYACQYCDAVFAQSIELSRHVRTHTGDKPYVCRDCGKGFRQANGLSIHLHTFHNIEDPYDCKKCRMSFPTLQDHRKHIHEVHSKEYHPCPTCGKIFSAPSMLERHVVTHVGGKPFSCGICNKAYQQLSGLWYHNRTHHPDVFAAQNHRSSKFSSLQCSSCDKTFPNTIEHKKHIKAEHADMKFHECDQCKELFPTPALLQVHVKCQHSGSQPFRCLYCAATFRFPGALQHHVTTEHFKQSETTFPCELCGELFTSQAQLDSHLESEHPKVMSTETQAAASQMAQVIQTPEPVAPTEQVITLEETQLAGSQVFVTLPDSQASQASSELVAVTVEDLLDGTVTLICGEAK
+>DECOY_sp|Q9NUA8|ZBT40_HUMAN Zinc finger and BTB domain-containing protein 40 OS=Homo sapiens OX=9606 GN=ZBTB40 PE=1 SV=4
+KAEGCILTVTGDLLDEVTVAVLESSAQSAQSDPLTVFVQSGALQTEELTIVQETPAVPEPTQIVQAMQSAAAQTETSMVKPHESELHSDLQAQSTFLEGCLECPFTTESQKFHETTVHHQLAGPFRFTAACYLCRFPQSGSHQCKVHVQLLAPTPFLEKCQDCEHFKMDAHEAKIHKKHEITNPFTKDCSSCQLSSFKSSRHNQAAFVDPHHTRNHYWLGSLQQYAKNCIGCSFPKGGVHTVVHRELMSPASFIKGCTPCPHYEKSHVEHIHKRHDQLTPFSMRCKKCDYPDEINHFTHLHISLGNAQRFGKGCDRCVYPKDGTHTRVHRSLEISQAFVADCYQCAYMKGESHKKKTHYALASAQTFTMLCHKCMFPRDGTHLRLHNKLTSNAAFKAGCEECSFPKEDFHETLKHYQMGSAHAFERGCLDCTVPLRKKKKKPADPEGGAGHAELQHKDLEKKSDKTESCEKCQVQKSKAVRCRKMHVKLRCYFHFSKDCAKCIFSKKAPDPSASAEKEQQGPLSGTEGQDNQEGPQSDEKAAKEDEKNNAELHFKNSVKWTEKEGDEKTLVGIYALEQMVPQLGPFSKHVSCLLHCIEIAPVSKEMARSLVARLSAEPSATESPISLIEKVTEALHEEESTFLKYEIKQQIAELILQNHRLITELTAHEPTTVARFFADAGPERRIEEMLLDLPCTKTEQVVLLLQWIATASLTQKELVASILSNFGGSGSDRKVLKEMVEREGPALSTMHSIMKLLIQNDTFIESLNEHYRRLLETGYDDPSPQVTTSPLTASKQLKELLLGLNPFTTKVAQLLKVLGEATLTKEETMRHLLNEITEKPTRGECCNLIVRKETGTLFEEKATELSEMIPRLQTVCQILDEKHELLLVSLTKGEETSGKPQVTDVDEPKRDLLATKVAPNSYQYLRLLSVVTQVDLSEERVKGLIRQFASHGGEGEFCKVIMEKQPGKIESCMELKKLVDQTVMLADSFVGENELLFNLQYHKRECGPETSTKKAESFTQVAPSPSCAEATTPTEAPTEANRQSEQATPSNVSMEQSVSHAAEETEAKVPGGPTAALEPSSHPEGAGESSLIEVQPKEPEKRFTESSPASVDRVVQGQVSCNVLSTLLNKCSVAVDFMQLSDALSIIKSFNHKGVPLKGTYMMELLLAFEEPSVVSADISITDTNDLLTKFLLSAAALVLKHARFYITGISITCDCFQQEKCLTYLQQLLQRSYNPLEM
+>sp|A1YPR0|ZBT7C_HUMAN Zinc finger and BTB domain-containing protein 7C OS=Homo sapiens OX=9606 GN=ZBTB7C PE=2 SV=1
+MANDIDELIGIPFPNHSSEVLCSLNEQRHDGLLCDVLLVVQEQEYRTHRSVLAACSKYFKKLFTAGTLASQPYVYEIDFVQPEALAAILEFAYTSTLTITAGNVKHILNAARMLEIQCIVNVCLEIMEPGGDGGEEDDKEDDDDDEDDDDEEDEEEEEEEEEDDDDDTEDFADQENLPDPQDISCHQSPSKTDHLTEKAYSDTPRDFPDSFQAGSPGHLGVIRDFSIESLLRENLYPKANIPDRRPSLSPFAPDFFPHLWPGDFGAFAQLPEQPMDSGPLDLVIKNRKIKEEEKEELPPPPPPPFPNDFFKDMFPDLPGGPLGPIKAENDYGAYLNFLSATHLGGLFPPWPLVEERKLKPKASQQCPICHKVIMGAGKLPRHMRTHTGEKPYMCTICEVRFTRQDKLKIHMRKHTGERPYLCIHCNAKFVHNYDLKNHMRIHTGVRPYQCEFCYKSFTRSDHLHRHIKRQSCRMARPRRGRKPAAWRAASLLFGPGGPAPDKAAFVMPPALGEVGGHLGGAAVCLPGPSPAKHFLAAPKGALSLQELERQFEETQMKLFGRAQLEAERNAGGLLAFALAENVAAARPYFPLPDPWAAGLAGLPGLAGLNHVASMSEANN
+>DECOY_sp|A1YPR0|ZBT7C_HUMAN Zinc finger and BTB domain-containing protein 7C OS=Homo sapiens OX=9606 GN=ZBTB7C PE=2 SV=1
+NNAESMSAVHNLGALGPLGALGAAWPDPLPFYPRAAAVNEALAFALLGGANREAELQARGFLKMQTEEFQRELEQLSLAGKPAALFHKAPSPGPLCVAAGGLHGGVEGLAPPMVFAAKDPAPGGPGFLLSAARWAAPKRGRRPRAMRCSQRKIHRHLHDSRTFSKYCFECQYPRVGTHIRMHNKLDYNHVFKANCHICLYPREGTHKRMHIKLKDQRTFRVECITCMYPKEGTHTRMHRPLKGAGMIVKHCIPCQQSAKPKLKREEVLPWPPFLGGLHTASLFNLYAGYDNEAKIPGLPGGPLDPFMDKFFDNPFPPPPPPPLEEKEEEKIKRNKIVLDLPGSDMPQEPLQAFAGFDGPWLHPFFDPAFPSLSPRRDPINAKPYLNERLLSEISFDRIVGLHGPSGAQFSDPFDRPTDSYAKETLHDTKSPSQHCSIDQPDPLNEQDAFDETDDDDDEEEEEEEEEDEEDDDDEDDDDDEKDDEEGGDGGPEMIELCVNVICQIELMRAANLIHKVNGATITLTSTYAFELIAALAEPQVFDIEYVYPQSALTGATFLKKFYKSCAALVSRHTRYEQEQVVLLVDCLLGDHRQENLSCLVESSHNPFPIGILEDIDNAM
+>sp|O15062|ZBTB5_HUMAN Zinc finger and BTB domain-containing protein 5 OS=Homo sapiens OX=9606 GN=ZBTB5 PE=1 SV=1
+MDFPGHFEQIFQQLNYQRLHGQLCDCVIVVGNRHFKAHRSVLAACSTHFRALFSVAEGDQTMNMIQLDSEVVTAEAFAALIDMMYTSTLMLGESNVMDVLLAASHLHLNSVVKACKHYLTTRTLPMSPPSERVQEQSARMQRSFMLQQLGLSIVSSALNSSQNGEEQPAPMSSSMRSNLDQRTPFPMRRLHKRKQSAEERARQRLRPSIDESAISDVTPENGPSGVHSREEFFSPDSLKIVDNPKADGMTDNQEDSAIMFDQSFGTQEDAQVPSQSDNSAGNMAQLSMASRATQVETSFDQEAAPEKSSFQCENPEVGLGEKEHMRVVVKSEPLSSPEPQDEVSDVTSQAEGSESVEVEGVVVSAEKIDLSPESSDRSFSDPQSSTDRVGDIHILEVTNNLEHKSTFSISNFLNKSRGNNFTANQNNDDNIPNTTSDCRLESEAPYLLSPEAGPAGGPSSAPGSHVENPFSEPADSHFVRPMQEVMGLPCVQTSGYQGGEQFGMDFSRSGLGLHSSFSRVMIGSPRGGASNFPYYRRIAPKMPVVTSVRSSQIPENSTSSQLMMNGATSSFENGHPSQPGPPQLTRASADVLSKCKKALSEHNVLVVEGARKYACKICCKTFLTLTDCKKHIRVHTGEKPYACLKCGKRFSQSSHLYKHSKTTCLRWQSSNLPSTLL
+>DECOY_sp|O15062|ZBTB5_HUMAN Zinc finger and BTB domain-containing protein 5 OS=Homo sapiens OX=9606 GN=ZBTB5 PE=1 SV=1
+LLTSPLNSSQWRLCTTKSHKYLHSSQSFRKGCKLCAYPKEGTHVRIHKKCDTLTLFTKCCIKCAYKRAGEVVLVNHESLAKKCKSLVDASARTLQPPGPQSPHGNEFSSTAGNMMLQSSTSNEPIQSSRVSTVVPMKPAIRRYYPFNSAGGRPSGIMVRSFSSHLGLGSRSFDMGFQEGGQYGSTQVCPLGMVEQMPRVFHSDAPESFPNEVHSGPASSPGGAPGAEPSLLYPAESELRCDSTTNPINDDNNQNATFNNGRSKNLFNSISFTSKHELNNTVELIHIDGVRDTSSQPDSFSRDSSEPSLDIKEASVVVGEVEVSESGEAQSTVDSVEDQPEPSSLPESKVVVRMHEKEGLGVEPNECQFSSKEPAAEQDFSTEVQTARSAMSLQAMNGASNDSQSPVQADEQTGFSQDFMIASDEQNDTMGDAKPNDVIKLSDPSFFEERSHVGSPGNEPTVDSIASEDISPRLRQRAREEASQKRKHLRRMPFPTRQDLNSRMSSSMPAPQEEGNQSSNLASSVISLGLQQLMFSRQMRASQEQVRESPPSMPLTRTTLYHKCAKVVSNLHLHSAALLVDMVNSEGLMLTSTYMMDILAAFAEATVVESDLQIMNMTQDGEAVSFLARFHTSCAALVSRHAKFHRNGVVIVCDCLQGHLRQYNLQQFIQEFHGPFDM
+>sp|A2A288|ZC12D_HUMAN Probable ribonuclease ZC3H12D OS=Homo sapiens OX=9606 GN=ZC3H12D PE=1 SV=3
+MEHPSKMEFFQKLGYDREDVLRVLGKLGEGALVNDVLQELIRTGSRPGALEHPAAPRLVPRGSCGVPDSAQRGPGTALEEDFRTLASSLRPIVIDGSNVAMSHGNKETFSCRGIKLAVDWFRDRGHTYIKVFVPSWRKDPPRADTPIREQHVLAELERQAVLVYTPSRKVHGKRLVCYDDRYIVKVAYEQDGVIVSNDNYRDLQSENPEWKWFIEQRLLMFSFVNDRFMPPDDPLGRHGPSLSNFLSRKPKPPEPSWQHCPYGKKCTYGIKCKFYHPERPHHAQLAVADELRAKTGARPGAGAEEQRPPRAPGGSAGARAAPREPFAHSLPPARGSPDLAALRGSFSRLAFSDDLGPLGPPLPVPACSLTPRLGGPDWVSAGGRVPGPLSLPSPESQFSPGDLPPPPGLQLQPRGEHRPRDLHGDLLSPRRPPDDPWARPPRSDRFPGRSVWAEPAWGDGATGGLSVYATEDDEGDARARARIALYSVFPRDQVDRVMAAFPELSDLARLILLVQRCQSAGAPLGKP
+>DECOY_sp|A2A288|ZC12D_HUMAN Probable ribonuclease ZC3H12D OS=Homo sapiens OX=9606 GN=ZC3H12D PE=1 SV=3
+PKGLPAGASQCRQVLLILRALDSLEPFAAMVRDVQDRPFVSYLAIRARARADGEDDETAYVSLGGTAGDGWAPEAWVSRGPFRDSRPPRAWPDDPPRRPSLLDGHLDRPRHEGRPQLQLGPPPPLDGPSFQSEPSPLSLPGPVRGGASVWDPGGLRPTLSCAPVPLPPGLPGLDDSFALRSFSGRLAALDPSGRAPPLSHAFPERPAARAGASGGPARPPRQEEAGAGPRAGTKARLEDAVALQAHHPREPHYFKCKIGYTCKKGYPCHQWSPEPPKPKRSLFNSLSPGHRGLPDDPPMFRDNVFSFMLLRQEIFWKWEPNESQLDRYNDNSVIVGDQEYAVKVIYRDDYCVLRKGHVKRSPTYVLVAQRELEALVHQERIPTDARPPDKRWSPVFVKIYTHGRDRFWDVALKIGRCSFTEKNGHSMAVNSGDIVIPRLSSALTRFDEELATGPGRQASDPVGCSGRPVLRPAAPHELAGPRSGTRILEQLVDNVLAGEGLKGLVRLVDERDYGLKQFFEMKSPHEM
+>sp|P0CG32|ZCC18_HUMAN Zinc finger CCHC domain-containing protein 18 OS=Homo sapiens OX=9606 GN=ZCCHC18 PE=3 SV=1
+MASITACVGNSRQQNAPLPPWAHSMLRSLGRSLCPLVVKMAERNMKLFSGRVVPAQGKETFENWLIQVNEVLPDWSMSEEEKLKRLMKTLRGPAREVMRLLQAANPNLSVADFLRAMKLVFGESESSVTAHGKFFNTLQAQGEKASLYVIRLEVQLQNAIQAGILAEKDANQTRLQQLLLGAELNRDLRFRLKHLLRMYANKQERLPNFLELIKMIREEEDWDDAFIKRKRPKRSEPIMERAASPVAFQGAQPIAISSADCNCNVIEIDDTLDDSDEDVILVVSLYPSLTPTGAPPFRGRARPLDQVLVIDSPNNSGAQSLSTSGGSGYKNDGPGNIRRARKRKYTTRCSYCGEEGHSKETCDNESNKAQVFENLIITLQELTHTEERSKEVPGEHSDASEPQ
+>DECOY_sp|P0CG32|ZCC18_HUMAN Zinc finger CCHC domain-containing protein 18 OS=Homo sapiens OX=9606 GN=ZCCHC18 PE=3 SV=1
+QPESADSHEGPVEKSREETHTLEQLTIILNEFVQAKNSENDCTEKSHGEEGCYSCRTTYKRKRARRINGPGDNKYGSGGSTSLSQAGSNNPSDIVLVQDLPRARGRFPPAGTPTLSPYLSVVLIVDEDSDDLTDDIEIVNCNCDASSIAIPQAGQFAVPSAAREMIPESRKPRKRKIFADDWDEEERIMKILELFNPLREQKNAYMRLLHKLRFRLDRNLEAGLLLQQLRTQNADKEALIGAQIANQLQVELRIVYLSAKEGQAQLTNFFKGHATVSSESEGFVLKMARLFDAVSLNPNAAQLLRMVERAPGRLTKMLRKLKEEESMSWDPLVENVQILWNEFTEKGQAPVVRGSFLKMNREAMKVVLPCLSRGLSRLMSHAWPPLPANQQRSNGVCATISAM
+>sp|Q9C0B9|ZCHC2_HUMAN Zinc finger CCHC domain-containing protein 2 OS=Homo sapiens OX=9606 GN=ZCCHC2 PE=1 SV=6
+MLRMKLPLKPTHPAEPPPEAEEPEADARPGAKAPSRRRRDCRPPPPPPPPAGPSRGPLPPPPPPRGLGPPVAGGAAAGAGMPGGGGGPSAALREQERVYEWFGLVLGSAQRLEFMCGLLDLCNPLELRFLGSCLEDLARKDYHYLRDSEAKANGLSDPGPLADFREPAVRSRLIVYLALLGSENREAAGRLHRLLPQVDSVLKSLRAARGEGSRGGAEDERGEDGDGEQDAEKDGSGPEGGIVEPRVGGGLGSRAQEELLLLFTMASLHPAFSFHQRVTLREHLERLRAALRGGPEDAEVEVEPCKFAGPRAQNNSAHGDYMQNNESSLIEQAPIPQDGLTVAPHRAQREAVHIEKIMLKGVQRKRADKYWEYTFKVNWSDLSVTTVTKTHQELQEFLLKLPKELSSETFDKTILRALNQGSLKREERRHPDLEPILRQLFSSSSQAFLQSQKVHSFFQSISSDSLHSINNLQSSLKTSKILEHLKEDSSEASSQEEDVLQHAIIHKKHTGKSPIVNNIGTSCSPLDGLTMQYSEQNGIVDWRKQSCTTIQHPEHCVTSADQHSAEKRSLSSINKKKGKPQTEKEKIKKTDNRLNSRINGIRLSTPQHAHGGTVKDVNLDIGSGHDTCGETSSESYSSPSSPRHDGRESFESEEEKDRDTDSNSEDSGNPSTTRFTGYGSVNQTVTVKPPVQIASLGNENGNLLEDPLNSPKYQHISFMPTLHCVMHNGAQKSEVVVPAPKPADGKTIGMLVPSPVAISAIRESANSTPVGILGPTACTGESEKHLELLASPLPIPSTFLPHSSTPALHLTVQRLKLPPPQGSSESCTVNIPQQPPGSLSIASPNTAFIPIHNPGSFPGSPVATTDPITKSASQVVGLNQMVPQIEGNTGTVPQPTNVKVVLPAAGLSAAQPPASYPLPGSPLAAGVLPSQNSSVLSTAATSPQPASAGISQAQATVPPAVPTHTPGPAPSPSPALTHSTAQSDSTSYISAVGNTNANGTVVPPQQMGSGPCGSCGRRCSCGTNGNLQLNSYYYPNPMPGPMYRVPSFFTLPSICNGSYLNQAHQSNGNQLPFFLPQTPYANGLVHDPVMGSQANYGMQQMAGFGRFYPVYPAPNVVANTSGSGPKKNGNVSCYNCGVSGHYAQDCKQSSMEANQQGTYRLRYAPPLPPSNDTLDSAD
+>DECOY_sp|Q9C0B9|ZCHC2_HUMAN Zinc finger CCHC domain-containing protein 2 OS=Homo sapiens OX=9606 GN=ZCCHC2 PE=1 SV=6
+DASDLTDNSPPLPPAYRLRYTGQQNAEMSSQKCDQAYHGSVGCNYCSVNGNKKPGSGSTNAVVNPAPYVPYFRGFGAMQQMGYNAQSGMVPDHVLGNAYPTQPLFFPLQNGNSQHAQNLYSGNCISPLTFFSPVRYMPGPMPNPYYYSNLQLNGNTGCSCRRGCSGCPGSGMQQPPVVTGNANTNGVASIYSTSDSQATSHTLAPSPSPAPGPTHTPVAPPVTAQAQSIGASAPQPSTAATSLVSSNQSPLVGAALPSGPLPYSAPPQAASLGAAPLVVKVNTPQPVTGTNGEIQPVMQNLGVVQSASKTIPDTTAVPSGPFSGPNHIPIFATNPSAISLSGPPQQPINVTCSESSGQPPPLKLRQVTLHLAPTSSHPLFTSPIPLPSALLELHKESEGTCATPGLIGVPTSNASERIASIAVPSPVLMGITKGDAPKPAPVVVESKQAGNHMVCHLTPMFSIHQYKPSNLPDELLNGNENGLSAIQVPPKVTVTQNVSGYGTFRTTSPNGSDESNSDTDRDKEEESEFSERGDHRPSSPSSYSESSTEGCTDHGSGIDLNVDKVTGGHAHQPTSLRIGNIRSNLRNDTKKIKEKETQPKGKKKNISSLSRKEASHQDASTVCHEPHQITTCSQKRWDVIGNQESYQMTLGDLPSCSTGINNVIPSKGTHKKHIIAHQLVDEEQSSAESSDEKLHELIKSTKLSSQLNNISHLSDSSISQFFSHVKQSQLFAQSSSSFLQRLIPELDPHRREERKLSGQNLARLITKDFTESSLEKPLKLLFEQLEQHTKTVTTVSLDSWNVKFTYEWYKDARKRQVGKLMIKEIHVAERQARHPAVTLGDQPIPAQEILSSENNQMYDGHASNNQARPGAFKCPEVEVEADEPGGRLAARLRELHERLTVRQHFSFAPHLSAMTFLLLLEEQARSGLGGGVRPEVIGGEPGSGDKEADQEGDGDEGREDEAGGRSGEGRAARLSKLVSDVQPLLRHLRGAAERNESGLLALYVILRSRVAPERFDALPGPDSLGNAKAESDRLYHYDKRALDELCSGLFRLELPNCLDLLGCMFELRQASGLVLGFWEYVREQERLAASPGGGGGPMGAGAAAGGAVPPGLGRPPPPPPLPGRSPGAPPPPPPPPRCDRRRRSPAKAGPRADAEPEEAEPPPEAPHTPKLPLKMRLM
+>sp|Q9H5U6|ZCHC4_HUMAN Zinc finger CCHC domain-containing protein 4 OS=Homo sapiens OX=9606 GN=ZCCHC4 PE=1 SV=3
+MAASRNGFEAVEAEGSAGCRGSSGMEVVLPLDPAVPAPLCPHGPTLLFVKVTQGKEETRRFYACSACRDRKDCNFFQWEDEKLSGARLAAREAHNRRCQPPLSRTQCVERYLKFIELPLTQRKFCQTCQQLLLPDDWGQHSEHQVLGNVSITQLRRPSQLLYPLENKKTNAQYLFADRSCQFLVDLLSALGFRRVLCVGTPRLHELIKLTASGDKKSNIKSLLLDIDFRYSQFYMEDSFCHYNMFNHHFFDGKTALEVCRAFLQEDKGEGIIMVTDPPFGGLVEPLAITFKKLIAMWKEGQSQDDSHKELPIFWIFPYFFESRICQFFPSFQMLDYQVDYDNHALYKHGKTGRKQSPVRIFTNIPPNKIILPTEEGYRFCSPCQRYVSLENQHCELCNSCTSKDGRKWNHCFLCKKCVKPSWIHCSICNHCAVPDHSCEGPKHGCFICGELDHKRSTCPNIATSKRANKAVRKQKQRKSNKMKMETTKGQSMNHTSATRRKKRRERAHQYLGS
+>DECOY_sp|Q9H5U6|ZCHC4_HUMAN Zinc finger CCHC domain-containing protein 4 OS=Homo sapiens OX=9606 GN=ZCCHC4 PE=1 SV=3
+SGLYQHARERRKKRRTASTHNMSQGKTTEMKMKNSKRQKQKRVAKNARKSTAINPCTSRKHDLEGCIFCGHKPGECSHDPVACHNCISCHIWSPKVCKKCLFCHNWKRGDKSTCSNCLECHQNELSVYRQCPSCFRYGEETPLIIKNPPINTFIRVPSQKRGTKGHKYLAHNDYDVQYDLMQFSPFFQCIRSEFFYPFIWFIPLEKHSDDQSQGEKWMAILKKFTIALPEVLGGFPPDTVMIIGEGKDEQLFARCVELATKGDFFHHNFMNYHCFSDEMYFQSYRFDIDLLLSKINSKKDGSATLKILEHLRPTGVCLVRRFGLASLLDVLFQCSRDAFLYQANTKKNELPYLLQSPRRLQTISVNGLVQHESHQGWDDPLLLQQCTQCFKRQTLPLEIFKLYREVCQTRSLPPQCRRNHAERAALRAGSLKEDEWQFFNCDKRDRCASCAYFRRTEEKGQTVKVFLLTPGHPCLPAPVAPDLPLVVEMGSSGRCGASGEAEVAEFGNRSAAM
+>sp|Q6NZY4|ZCHC8_HUMAN Zinc finger CCHC domain-containing protein 8 OS=Homo sapiens OX=9606 GN=ZCCHC8 PE=1 SV=2
+MAAEVYFGDLELFEPFDHPEESIPKPVHTRFKDDDGDEEDENGVGDAELRERLRQCEETIEQLRAENQELKRKLNILTRPSGILVNDTKLDGPILQILFMNNAISKQYHQEIEEFVSNLVKRFEEQQKNDVEKTSFNLLPQPSSIVLEEDHKVEESCAIKNNKEAFSVVGSVLYFTNFCLDKLGQPLLNENPQLSEGWEIPKYHQVFSHIVSLEGQEIQVKAKRPKPHCFNCGSEEHQMKDCPMPRNAARISEKRKEYMDACGEANNQNFQQRYHAEEVEERFGRFKPGVISEELQDALGVTDKSLPPFIYRMRQLGYPPGWLKEAELENSGLALYDGKDGTDGETEVGEIQQNKSVTYDLSKLVNYPGFNISTPRGIPDEWRIFGSIPMQACQQKDVFANYLTSNFQAPGVKSGNKRSSSHSSPGSPKKQKNESNSAGSPADMELDSDMEVPHGSQSSESFQFQPPLPPDTPPLPRGTPPPVFTPPLPKGTPPLTPSDSPQTRTASGAVDEDALTLEELEEQQRRIWAALEQAESVNSDSDVPVDTPLTGNSVASSPCPNELDLPVPEGKTSEKQTLDEPEVPEIFTKKSEAGHASSPDSEVTSLCQKEKAELAPVNTEGALLDNGSVVPNCDISNGGSQKLFPADTSPSTATKIHSPIPDMSKFATGITPFEFENMAESTGMYLRIRSLLKNSPRNQQKNKKASE
+>DECOY_sp|Q6NZY4|ZCHC8_HUMAN Zinc finger CCHC domain-containing protein 8 OS=Homo sapiens OX=9606 GN=ZCCHC8 PE=1 SV=2
+ESAKKNKQQNRPSNKLLSRIRLYMGTSEAMNEFEFPTIGTAFKSMDPIPSHIKTATSPSTDAPFLKQSGGNSIDCNPVVSGNDLLAGETNVPALEAKEKQCLSTVESDPSSAHGAESKKTFIEPVEPEDLTQKESTKGEPVPLDLENPCPSSAVSNGTLPTDVPVDSDSNVSEAQELAAWIRRQQEELEELTLADEDVAGSATRTQPSDSPTLPPTGKPLPPTFVPPPTGRPLPPTDPPLPPQFQFSESSQSGHPVEMDSDLEMDAPSGASNSENKQKKPSGPSSHSSSRKNGSKVGPAQFNSTLYNAFVDKQQCAQMPISGFIRWEDPIGRPTSINFGPYNVLKSLDYTVSKNQQIEGVETEGDTGDKGDYLALGSNELEAEKLWGPPYGLQRMRYIFPPLSKDTVGLADQLEESIVGPKFRGFREEVEEAHYRQQFNQNNAEGCADMYEKRKESIRAANRPMPCDKMQHEESGCNFCHPKPRKAKVQIEQGELSVIHSFVQHYKPIEWGESLQPNENLLPQGLKDLCFNTFYLVSGVVSFAEKNNKIACSEEVKHDEELVISSPQPLLNFSTKEVDNKQQEEFRKVLNSVFEEIEQHYQKSIANNMFLIQLIPGDLKTDNVLIGSPRTLINLKRKLEQNEARLQEITEECQRLRERLEADGVGNEDEEDGDDDKFRTHVPKPISEEPHDFPEFLELDGFYVEAAM
+>sp|Q9H0M4|ZCPW1_HUMAN Zinc finger CW-type PWWP domain protein 1 OS=Homo sapiens OX=9606 GN=ZCWPW1 PE=1 SV=2
+MMTTLQNKEECGKGPKRIFAPPAQKSYSLLPCSPNSPKEETPGISSPETEARISLPKASLKKKEEKATMKNVPSREQEKKRKAQINKQAEKKEKEKSSLTNAEFEEIVQIVLQKSLQECLGMGSGLDFAETSCAQPVVSTQSDKEPGITASATDTDNANGEEVPHTQEISVSWEGEAAPEIRTSKLGQPDPAPSKKKSNRLTLSKRKKEAHEKVEKTQGGHEHRQEDRLKKTVQDHSQIRDQQKGEISGFGQCLVWVQCSFPNCGKWRRLCGNIDPSVLPDNWSCDQNTDVQYNRCDIPEETWTGLESDVAYASYIPGSIIWAKQYGYPWWPGMIESDPDLGEYFLFTSHLDSLPSKYHVTFFGETVSRAWIPVNMLKNFQELSLELSVMKKRRNDCSQKLGVALMMAQEAEQISIQERVNLFGFWSRFNGSNSNGERKDLQLSGLNSPGSCLEKKEKEEELEKEEGEKTDPILPIRKRVKIQTQKTKPRGLGGDAGTADGRGRTLQRKIMKRSLGRKSTAPPAPRMGRKEGQGNSDSDQPGPKKKFKAPQSKALAASFSEGKEVRTVPKNLGLSACKGACPSSAKEEPRHREPLTQEAGSVPLEDEASSDLDLEQLMEDVGRELGQSGELQHSNSDGEDFPVALFGK
+>DECOY_sp|Q9H0M4|ZCPW1_HUMAN Zinc finger CW-type PWWP domain protein 1 OS=Homo sapiens OX=9606 GN=ZCWPW1 PE=1 SV=2
+KGFLAVPFDEGDSNSHQLEGSQGLERGVDEMLQELDLDSSAEDELPVSGAEQTLPERHRPEEKASSPCAGKCASLGLNKPVTRVEKGESFSAALAKSQPAKFKKKPGPQDSDSNGQGEKRGMRPAPPATSKRGLSRKMIKRQLTRGRGDATGADGGLGRPKTKQTQIKVRKRIPLIPDTKEGEEKELEEEKEKKELCSGPSNLGSLQLDKREGNSNSGNFRSWFGFLNVREQISIQEAEQAMMLAVGLKQSCDNRRKKMVSLELSLEQFNKLMNVPIWARSVTEGFFTVHYKSPLSDLHSTFLFYEGLDPDSEIMGPWWPYGYQKAWIISGPIYSAYAVDSELGTWTEEPIDCRNYQVDTNQDCSWNDPLVSPDINGCLRRWKGCNPFSCQVWVLCQGFGSIEGKQQDRIQSHDQVTKKLRDEQRHEHGGQTKEVKEHAEKKRKSLTLRNSKKKSPAPDPQGLKSTRIEPAAEGEWSVSIEQTHPVEEGNANDTDTASATIGPEKDSQTSVVPQACSTEAFDLGSGMGLCEQLSKQLVIQVIEEFEANTLSSKEKEKKEAQKNIQAKRKKEQERSPVNKMTAKEEKKKLSAKPLSIRAETEPSSIGPTEEKPSNPSCPLLSYSKQAPPAFIRKPGKGCEEKNQLTTMM
+>sp|Q8TBF4|ZCRB1_HUMAN Zinc finger CCHC-type and RNA-binding motif-containing protein 1 OS=Homo sapiens OX=9606 GN=ZCRB1 PE=1 SV=2
+MSGGLAPSKSTVYVSNLPFSLTNNDLYRIFSKYGKVVKVTIMKDKDTRKSKGVAFILFLDKDSAQNCTRAINNKQLFGRVIKASIAIDNGRAAEFIRRRNYFDKSKCYECGESGHLSYACPKNMLGEREPPKKKEKKKKKKAPEPEEEIEEVEESEDEGEDPALDSLSQAIAFQQAKIEEEQKKWKPSSGVPSTSDDSRRPRIKKSTYFSDEEELSD
+>DECOY_sp|Q8TBF4|ZCRB1_HUMAN Zinc finger CCHC-type and RNA-binding motif-containing protein 1 OS=Homo sapiens OX=9606 GN=ZCRB1 PE=1 SV=2
+DSLEEEDSFYTSKKIRPRRSDDSTSPVGSSPKWKKQEEEIKAQQFAIAQSLSDLAPDEGEDESEEVEEIEEEPEPAKKKKKKEKKKPPEREGLMNKPCAYSLHGSEGCEYCKSKDFYNRRRIFEAARGNDIAISAKIVRGFLQKNNIARTCNQASDKDLFLIFAVGKSKRTDKDKMITVKVVKGYKSFIRYLDNNTLSFPLNSVYVTSKSPALGGSM
+>sp|Q9HCK1|ZDBF2_HUMAN DBF4-type zinc finger-containing protein 2 OS=Homo sapiens OX=9606 GN=ZDBF2 PE=1 SV=3
+MQKRQGYCSYCRVQYNNLEQHLFSAQHRSLTRQSRRQICTSSLMERFLQDVLQHHPYHCQESSSTQDETHVNTGSSSEVVHLDDAFSEEEEEDEDKVEDEDATEERPSEVSEPIEELHSRPHKSQEGTQEVSVRPSVIQKLEKGQQQPLEFVHKIGASVRKCNLVDIGQATNNRSNLVRPPVICNAPASCLPESSNDRPVTANTTSLPPAAHLDSVSKCDPNKVEKYLEQPDGASRNPVPSSHVETTSFSYQKHKESNRKSLRMNSDKLVLWKDVKSQGKTLSAGLKFHERMGTKGSLRVKSPSKLAVNPNKTDMPSNKGIFEDTIAKNHEEFFSNMDCTQEEKHLVFNKTAFWEQKCSVSSEMKFDCISLQSASDQPQETAQDLSLWKEEQIDQEDNYESRGSEMSFDCSSSFHSLTDQSKVSAKEVNLSKEVRTDVQYKNNKSYVSKISSDCDDILHLVTNQSQMIVKEISLQNARHISLVDQSYESSSSETNFDCDASPQSTSDYPQQSVTEVNLPKEVHIGLVDKNYGSSSSEVSADSVFPLQSVVDRPPVAVTETKLRKKAHTSLVDNYGSSCSETSFDCDVSLESVVDHPQLTVKGRNLKGRQVHLKHKKRKPSSAKAHLDCDVSLGTVADESQRAVEKINLLKEKNADLMDMNCESHGPEMGFQADAQLADQSQVAEIERQKVDVDLENKSVQSSRSSLSSDSPASLYHSAHDEPQEALDEVNLKELNIDMEVRSYDCSSSELTFDSDPPLLSVTEQSHLDAEGKERHIDLEDESCESDSSEITFDSDIPLYSVIDQPEVAVYEEETVDLESKSNESCVSEITFDSDIPLHSGNDHPEVAVKEVIQKEEYIHLERKNDEPSGSEISSDSHAPLHSVTNSPEVAVKKLNPQKEEQVHLENKENEPIDSEVSLDYNIIFHSVTGRSEDPIKEISLHTKEHMYLENKSVFETSLDSDVPLQAATHKPEVIVKETWLQREKHAEFQGRSTEFSGSKTSLDSGVPHYSVTEPQVAVNKINRKKQYVLENKNDKCSGSEIILDSNVPPQSMTDQPQLAFLKEKHVNLKDKNSKSGDSKITFDSEQLQEAVKKIDQWKEEVIGLKNKINEPSTYKLIHHPDVSVQSVADQPKVAIKHVNLGNENHMYLEVKNSQYSCSEMNLDSGFLGQSIVNRPQITILEQEHIELEGKHNQCCGSEVSFDSDDPLQSVADRLRETVKEISLWKDEEVDTEDRRNEAKGFEIMYDSDVLQPVAGQPEEVVKEVSLWKEHVDLENKIVKPTDSRINFDSHEPLQSVTNKIPGANKEINLLREEHVCLDDKGYVPSDSEIIYVSNIPLQSVIKQPHILEEEHASLEDKSSNSYSPEESSDSNDSFQAAADELQKPVKEINLWKEDHIYLEDKSYKLGDFDVSYASHIPVQFVTDQSSVPVKEINLQKKDHNDLENKNCEVCGSEIKCHSCVHLQSEVDQPQVSYKEADLQKEEHVVMEEKTDQPSDSEMMYDSDVPFQIVVNQFPGSVKETHLPKVVLVDLVPGDSDYEVISDDIPLQLVTDPPQLTVKDISCINTECIDIEDKSCDFFGSEVRCNCKASTPSMTNQCKETFKIINRKKDYIILGEPSCQSCGSEMNFNVDASDQSMTYESQGPDEKMVKYIDSEDKSCGYNGSKGKFNLEDTSHRTTHRLQKAHKEASLRKDPRNAGLKGKSCQSSASAVDFGASSKSALHRRADKKKRSKLKHRDLEVSCEPDGFEMNFQCAPPLPSDTDQPQETVKKRHPCKKVSSDLKEKNHDSQSSSVLKVDSVRNLKKAKDVIEDNPDEPVLEALPHVPPSFVGKTWSQIMREDDIKINALVKEFREGRFHCYFDDDCETKKVSSKGKKKVTWADLQGKEDTAPTQAVSESDDIVCGISDIDDLSVALDKPCHRHPPAERPPKQKGRVASQCQTAKISHSTQTSCKNYPVMKRKIIRQEEDPPKSKCSRLQDDRKTKKKVKIGTVEFPASCTKVLKPMQPKALVCVLSSLNIKLKEGEGLPFPKMRHHSWDNDIRFICKYKRNIFDYYEPLIKQIVISPPLSVIVPEFERRNWVKIHFNRSNQNSSAGDNDADGQGSASAPLMAVPARYGFNSHQGTSDSSLFLEESKVLHARELPKKRNFQLTFLNHDVVKISPKSVRNKLLESQSKKKIHGKRVTTSSNKLGFPKKVYKPIILQQKPRKASEKQSIWIRTKPSDIIRKYISKYSVFLRHRYQSRSAFLGRYLKKKKSVVSRLKKAKRTAKVLLNSSVPPAGAEELSSAMANPPPKRPVRASCRVARRRKKTDESYHGRQKGPSTPVRAYDLRSSSCLQQRERMMTRLANKLRGNEVK
+>DECOY_sp|Q9HCK1|ZDBF2_HUMAN DBF4-type zinc finger-containing protein 2 OS=Homo sapiens OX=9606 GN=ZDBF2 PE=1 SV=3
+KVENGRLKNALRTMMRERQQLCSSSRLDYARVPTSPGKQRGHYSEDTKKRRRAVRCSARVPRKPPPNAMASSLEEAGAPPVSSNLLVKATRKAKKLRSVVSKKKKLYRGLFASRSQYRHRLFVSYKSIYKRIIDSPKTRIWISQKESAKRPKQQLIIPKYVKKPFGLKNSSTTVRKGHIKKKSQSELLKNRVSKPSIKVVDHNLFTLQFNRKKPLERAHLVKSEELFLSSDSTGQHSNFGYRAPVAMLPASASGQGDADNDGASSNQNSRNFHIKVWNRREFEPVIVSLPPSIVIQKILPEYYDFINRKYKCIFRIDNDWSHHRMKPFPLGEGEKLKINLSSLVCVLAKPQMPKLVKTCSAPFEVTGIKVKKKTKRDDQLRSCKSKPPDEEQRIIKRKMVPYNKCSTQTSHSIKATQCQSAVRGKQKPPREAPPHRHCPKDLAVSLDDIDSIGCVIDDSESVAQTPATDEKGQLDAWTVKKKGKSSVKKTECDDDFYCHFRGERFEKVLANIKIDDERMIQSWTKGVFSPPVHPLAELVPEDPNDEIVDKAKKLNRVSDVKLVSSSQSDHNKEKLDSSVKKCPHRKKVTEQPQDTDSPLPPACQFNMEFGDPECSVELDRHKLKSRKKKDARRHLASKSSAGFDVASASSQCSKGKLGANRPDKRLSAEKHAKQLRHTTRHSTDELNFKGKSGNYGCSKDESDIYKVMKEDPGQSEYTMSQDSADVNFNMESGCSQCSPEGLIIYDKKRNIIKFTEKCQNTMSPTSAKCNCRVESGFFDCSKDEIDICETNICSIDKVTLQPPDTVLQLPIDDSIVEYDSDGPVLDVLVVKPLHTEKVSGPFQNVVIQFPVDSDYMMESDSPQDTKEEMVVHEEKQLDAEKYSVQPQDVESQLHVCSHCKIESGCVECNKNELDNHDKKQLNIEKVPVSSQDTVFQVPIHSAYSVDFDGLKYSKDELYIHDEKWLNIEKVPKQLEDAAAQFSDNSDSSEEPSYSNSSKDELSAHEEELIHPQKIVSQLPINSVYIIESDSPVYGKDDLCVHEERLLNIEKNAGPIKNTVSQLPEHSDFNIRSDTPKVIKNELDVHEKWLSVEKVVEEPQGAVPQLVDSDYMIEFGKAENRRDETDVEEDKWLSIEKVTERLRDAVSQLPDDSDFSVESGCCQNHKGELEIHEQELITIQPRNVISQGLFGSDLNMESCSYQSNKVELYMHNENGLNVHKIAVKPQDAVSQVSVDPHHILKYTSPENIKNKLGIVEEKWQDIKKVAEQLQESDFTIKSDGSKSNKDKLNVHKEKLFALQPQDTMSQPPVNSDLIIESGSCKDNKNELVYQKKRNIKNVAVQPETVSYHPVGSDLSTKSGSFETSRGQFEAHKERQLWTEKVIVEPKHTAAQLPVDSDLSTEFVSKNELYMHEKTHLSIEKIPDESRGTVSHFIINYDLSVESDIPENEKNELHVQEEKQPNLKKVAVEPSNTVSHLPAHSDSSIESGSPEDNKRELHIYEEKQIVEKVAVEPHDNGSHLPIDSDFTIESVCSENSKSELDVTEEEYVAVEPQDIVSYLPIDSDFTIESSDSECSEDELDIHREKGEADLHSQETVSLLPPDSDFTLESSSCDYSRVEMDINLEKLNVEDLAEQPEDHASHYLSAPSDSSLSSRSSQVSKNELDVDVKQREIEAVQSQDALQADAQFGMEPGHSECNMDMLDANKEKLLNIKEVARQSEDAVTGLSVDCDLHAKASSPKRKKHKLHVQRGKLNRGKVTLQPHDVVSELSVDCDFSTESCSSGYNDVLSTHAKKRLKTETVAVPPRDVVSQLPFVSDASVESSSSGYNKDVLGIHVEKPLNVETVSQQPYDSTSQPSADCDFNTESSSSEYSQDVLSIHRANQLSIEKVIMQSQNTVLHLIDDCDSSIKSVYSKNNKYQVDTRVEKSLNVEKASVKSQDTLSHFSSSCDFSMESGRSEYNDEQDIQEEKWLSLDQATEQPQDSASQLSICDFKMESSVSCKQEWFATKNFVLHKEEQTCDMNSFFEEHNKAITDEFIGKNSPMDTKNPNVALKSPSKVRLSGKTGMREHFKLGASLTKGQSKVDKWLVLKDSNMRLSKRNSEKHKQYSFSTTEVHSSPVPNRSAGDPQELYKEVKNPDCKSVSDLHAAPPLSTTNATVPRDNSSEPLCSAPANCIVPPRVLNSRNNTAQGIDVLNCKRVSAGIKHVFELPQQQGKELKQIVSPRVSVEQTGEQSKHPRSHLEEIPESVESPREETADEDEVKDEDEEEEESFADDLHVVESSSGTNVHTEDQTSSSEQCHYPHHQLVDQLFREMLSSTCIQRRSQRTLSRHQASFLHQELNNYQVRCYSCYGQRKQM
+>sp|Q8IZN3|ZDH14_HUMAN Probable palmitoyltransferase ZDHHC14 OS=Homo sapiens OX=9606 GN=ZDHHC14 PE=1 SV=1
+MPPGGGGPMKDCEYSQISTHSSSPMESPHKKKKIAARRKWEVFPGRNKFFCNGRIMMARQTGVFYLTLVLILVTSGLFFAFDCPYLAVKITPAIPAVAGILFFFVMGTLLRTSFSDPGVLPRATPDEAADLERQIDIANGTSSGGYRPPPRTKEVIINGQTVKLKYCFTCKIFRPPRASHCSLCDNCVERFDHHCPWVGNCVGKRNYRFFYMFILSLSFLTVFIFAFVITHVILRSQQTGFLNALKDSPASVLEAVVCFFSVWSIVGLSGFHTYLISSNQTTNEDIKGSWSNKRGKENYNPYSYGNIFTNCCVALCGPISPSLIDRRGYIQPDTPQPAAPSNGITMYGATQSQSDMCDQDQCIQSTKFVLQAAATPLLQSEPSLTSDELHLPGKPGLGTPCASLTLGPPTPPASMPNLAEATLADVMPRKDEHMGHQFLTPDEAPSPPRLLAAGSPLAHSRTMHVLGLASQDSLHEDSVRGLVKLSSV
+>DECOY_sp|Q8IZN3|ZDH14_HUMAN Probable palmitoyltransferase ZDHHC14 OS=Homo sapiens OX=9606 GN=ZDHHC14 PE=1 SV=1
+VSSLKVLGRVSDEHLSDQSALGLVHMTRSHALPSGAALLRPPSPAEDPTLFQHGMHEDKRPMVDALTAEALNPMSAPPTPPGLTLSACPTGLGPKGPLHLEDSTLSPESQLLPTAAAQLVFKTSQICQDQDCMDSQSQTAGYMTIGNSPAAPQPTDPQIYGRRDILSPSIPGCLAVCCNTFINGYSYPNYNEKGRKNSWSGKIDENTTQNSSILYTHFGSLGVISWVSFFCVVAELVSAPSDKLANLFGTQQSRLIVHTIVFAFIFVTLFSLSLIFMYFFRYNRKGVCNGVWPCHHDFREVCNDCLSCHSARPPRFIKCTFCYKLKVTQGNIIVEKTRPPPRYGGSSTGNAIDIQRELDAAEDPTARPLVGPDSFSTRLLTGMVFFFLIGAVAPIAPTIKVALYPCDFAFFLGSTVLILVLTLYFVGTQRAMMIRGNCFFKNRGPFVEWKRRAAIKKKKHPSEMPSSSHTSIQSYECDKMPGGGGPPM
+>sp|Q8WTX9|ZDHC1_HUMAN Probable palmitoyltransferase ZDHHC1 OS=Homo sapiens OX=9606 GN=ZDHHC1 PE=2 SV=1
+MYKMNICNKPSNKTAPEKSVWTAPAQPSGPSPELQGQRSRRNGWSWPPHPLQIVAWLLYLFFAVIGFGILVPLLPHHWVPAGYACMGAIFAGHLVVHLTAVSIDPADANVRDKSYAGPLPIFNRSQHAHVIEDLHCNLCNVDVSARSKHCSACNKCVCGFDHHCKWLNNCVGERNYRLFLHSVASALLGVLLLVLVATYVFVEFFVNPMRLRTNRHFEVLKNHTDVWFVFLPAAPVETQAPAILALAALLILLGLLSTALLGHLLCFHIYLMWHKLTTYEYIVQHRPPQEAKGVHRELESCPPKMRPIQEMEFYMRTFRHMRPEPPGQAGPAAVNAKHSRPASPDPTPGRRDCAGPPVQVEWDRKKPLPWRSPLLLLAMWGPQAPPCLCRKRGRGACIKCERLRPRIRRRGLGPPAAAPARRRIPRTPALCTPLALPAPTTRRRQSPWTRFQWRRRAWAAPLWPPRGAGADSPRWRGRRVRPPFS
+>DECOY_sp|Q8WTX9|ZDHC1_HUMAN Probable palmitoyltransferase ZDHHC1 OS=Homo sapiens OX=9606 GN=ZDHHC1 PE=2 SV=1
+SFPPRVRRGRWRPSDAGAGRPPWLPAAWARRRWQFRTWPSQRRRTTPAPLALPTCLAPTRPIRRRAPAAAPPGLGRRRIRPRLRECKICAGRGRKRCLCPPAQPGWMALLLLPSRWPLPKKRDWEVQVPPGACDRRGPTPDPSAPRSHKANVAAPGAQGPPEPRMHRFTRMYFEMEQIPRMKPPCSELERHVGKAEQPPRHQVIYEYTTLKHWMLYIHFCLLHGLLATSLLGLLILLAALALIAPAQTEVPAAPLFVFWVDTHNKLVEFHRNTRLRMPNVFFEVFVYTAVLVLLLVGLLASAVSHLFLRYNREGVCNNLWKCHHDFGCVCKNCASCHKSRASVDVNCLNCHLDEIVHAHQSRNFIPLPGAYSKDRVNADAPDISVATLHVVLHGAFIAGMCAYGAPVWHHPLLPVLIGFGIVAFFLYLLWAVIQLPHPPWSWGNRRSRQGQLEPSPGSPQAPATWVSKEPATKNSPKNCINMKYM
+>sp|P0C7U3|ZH11B_HUMAN Probable palmitoyltransferase ZDHHC11B OS=Homo sapiens OX=9606 GN=ZDHHC11B PE=3 SV=1
+MDTRSGSQCSVTPEAIRNNEELVLPPRISRVNGWSLPLHYFRVVTWAVFVGLSLATFRIFIPLLPHSWKYIAYVVTGGIFSFHLVVHLIASCIDPADSNVRLMKNYSQPMPLFDRSKHAHVIQNQFCHLCKVTVNKKTKHCISCNKCVSGFDHHCKWINNCVGSRNYWFFFSTVASATAGMLCLIAILLYVLVQYLVNPRVLRTDPRYEDVKNMNTWLLFLPLFPVQVQTLIVVIIRMLVLLLDLLGLVQLGQLLIFHIYLKAKKMTTFEYLINTRKEESSKHQAVRKDPYVQMDKGFLQQGAGALGSSAQGVKAKSSLLIYKCPCHFCTSVNQDGDSKAQEADDAPSTSTLGLQQETTEPMKTDSAESED
+>DECOY_sp|P0C7U3|ZH11B_HUMAN Probable palmitoyltransferase ZDHHC11B OS=Homo sapiens OX=9606 GN=ZDHHC11B PE=3 SV=1
+DESEASDTKMPETTEQQLGLTSTSPADDAEQAKSDGDQNVSTCFHCPCKYILLSSKAKVGQASSGLAGAGQQLFGKDMQVYPDKRVAQHKSSEEKRTNILYEFTTMKKAKLYIHFILLQGLQVLGLLDLLLVLMRIIVVILTQVQVPFLPLFLLWTNMNKVDEYRPDTRLVRPNVLYQVLVYLLIAILCLMGATASAVTSFFFWYNRSGVCNNIWKCHHDFGSVCKNCSICHKTKKNVTVKCLHCFQNQIVHAHKSRDFLPMPQSYNKMLRVNSDAPDICSAILHVVLHFSFIGGTVVYAIYKWSHPLLPIFIRFTALSLGVFVAWTVVRFYHLPLSWGNVRSIRPPLVLEENNRIAEPTVSCQSGSRTDM
+>sp|Q9H4I2|ZHX3_HUMAN Zinc fingers and homeoboxes protein 3 OS=Homo sapiens OX=9606 GN=ZHX3 PE=1 SV=3
+MASKRKSTTPCMIPVKTVVLQDASMEAQPAETLPEGPQQDLPPEASAASSEAAQNPSSTDGSTLANGHRSTLDGYLYSCKYCDFRSHDMTQFVGHMNSEHTDFNKDPTFVCSGCSFLAKTPEGLSLHNATCHSGEASFVWNVAKPDNHVVVEQSIPESTSTPDLAGEPSAEGADGQAEIIITKTPIMKIMKGKAEAKKIHTLKENVPSQPVGEALPKLSTGEMEVREGDHSFINGAVPVSQASASSAKNPHAANGPLIGTVPVLPAGIAQFLSLQQQPPVHAQHHVHQPLPTAKALPKVMIPLSSIPTYNAAMDSNSFLKNSFHKFPYPTKAELCYLTVVTKYPEEQLKIWFTAQRLKQGISWSPEEIEDARKKMFNTVIQSVPQPTITVLNTPLVASAGNVQHLIQAALPGHVVGQPEGTGGGLLVTQPLMANGLQATSSPLPLTVTSVPKQPGVAPINTVCSNTTSAVKVVNAAQSLLTACPSITSQAFLDASIYKNKKSHEQLSALKGSFCRNQFPGQSEVEHLTKVTGLSTREVRKWFSDRRYHCRNLKGSRAMIPGDHSSIIIDSVPEVSFSPSSKVPEVTCIPTTATLATHPSAKRQSWHQTPDFTPTKYKERAPEQLRALESSFAQNPLPLDEELDRLRSETKMTRREIDSWFSERRKKVNAEETKKAEENASQEEEEAAEDEGGEEDLASELRVSGENGSLEMPSSHILAERKVSPIKINLKNLRVTEANGRNEIPGLGACDPEDDESNKLAEQLPGKVSCKKTAQQRHLLRQLFVQTQWPSNQDYDSIMAQTGLPRPEVVRWFGDSRYALKNGQLKWYEDYKRGNFPPGLLVIAPGNRELLQDYYMTHKMLYEEDLQNLCDKTQMSSQQVKQWFAEKMGEETRAVADTGSEDQGPGTGELTAVHKGMGDTYSEVSENSESWEPRVPEASSEPFDTSSPQAGRQLETD
+>DECOY_sp|Q9H4I2|ZHX3_HUMAN Zinc fingers and homeoboxes protein 3 OS=Homo sapiens OX=9606 GN=ZHX3 PE=1 SV=3
+DTELQRGAQPSSTDFPESSAEPVRPEWSESNESVESYTDGMGKHVATLEGTGPGQDESGTDAVARTEEGMKEAFWQKVQQSSMQTKDCLNQLDEEYLMKHTMYYDQLLERNGPAIVLLGPPFNGRKYDEYWKLQGNKLAYRSDGFWRVVEPRPLGTQAMISDYDQNSPWQTQVFLQRLLHRQQATKKCSVKGPLQEALKNSEDDEPDCAGLGPIENRGNAETVRLNKLNIKIPSVKREALIHSSPMELSGNEGSVRLESALDEEGGEDEAAEEEEQSANEEAKKTEEANVKKRRESFWSDIERRTMKTESRLRDLEEDLPLPNQAFSSELARLQEPAREKYKTPTFDPTQHWSQRKASPHTALTATTPICTVEPVKSSPSFSVEPVSDIIISSHDGPIMARSGKLNRCHYRRDSFWKRVERTSLGTVKTLHEVESQGPFQNRCFSGKLASLQEHSKKNKYISADLFAQSTISPCATLLSQAANVVKVASTTNSCVTNIPAVGPQKPVSTVTLPLPSSTAQLGNAMLPQTVLLGGGTGEPQGVVHGPLAAQILHQVNGASAVLPTNLVTITPQPVSQIVTNFMKKRADEIEEPSWSIGQKLRQATFWIKLQEEPYKTVVTLYCLEAKTPYPFKHFSNKLFSNSDMAANYTPISSLPIMVKPLAKATPLPQHVHHQAHVPPQQQLSLFQAIGAPLVPVTGILPGNAAHPNKASSASAQSVPVAGNIFSHDGERVEMEGTSLKPLAEGVPQSPVNEKLTHIKKAEAKGKMIKMIPTKTIIIEAQGDAGEASPEGALDPTSTSEPISQEVVVHNDPKAVNWVFSAEGSHCTANHLSLGEPTKALFSCGSCVFTPDKNFDTHESNMHGVFQTMDHSRFDCYKCSYLYGDLTSRHGNALTSGDTSSPNQAAESSAASAEPPLDQQPGEPLTEAPQAEMSADQLVVTKVPIMCPTTSKRKSAM
+>sp|O95409|ZIC2_HUMAN Zinc finger protein ZIC 2 OS=Homo sapiens OX=9606 GN=ZIC2 PE=1 SV=2
+MLLDAGPQFPAIGVGSFARHHHHSAAAAAAAAAEMQDRELSLAAAQNGFVDSAAAHMGAFKLNPGAHELSPGQSSAFTSQGPGAYPGSAAAAAAAAALGPHAAHVGSYSGPPFNSTRDFLFRSRGFGDSAPGGGQHGLFGPGAGGLHHAHSDAQGHLLFPGLPEQHGPHGSQNVLNGQMRLGLPGEVFGRSEQYRQVASPRTDPYSAAQLHNQYGPMNMNMGMNMAAAAAHHHHHHHHHPGAFFRYMRQQCIKQELICKWIDPEQLSNPKKSCNKTFSTMHELVTHVSVEHVGGPEQSNHVCFWEECPREGKPFKAKYKLVNHIRVHTGEKPFPCPFPGCGKVFARSENLKIHKRTHTGEKPFQCEFEGCDRRFANSSDRKKHMHVHTSDKPYLCKMCDKSYTHPSSLRKHMKVHESSPQGSESSPAASSGYESSTPPGLVSPSAEPQSSSNLSPAAAAAAAAAAAAAAAVSAVHRGGGSGSGGAGGGSGGGSGSGGGGGGAGGGGGGSSGGGSGTAGGHSGLSSNFNEWYV
+>DECOY_sp|O95409|ZIC2_HUMAN Zinc finger protein ZIC 2 OS=Homo sapiens OX=9606 GN=ZIC2 PE=1 SV=2
+VYWENFNSSLGSHGGATGSGGGSSGGGGGGAGGGGGGSGSGGGSGGGAGGSGSGGGRHVASVAAAAAAAAAAAAAAAPSLNSSSQPEASPSVLGPPTSSEYGSSAAPSSESGQPSSEHVKMHKRLSSPHTYSKDCMKCLYPKDSTHVHMHKKRDSSNAFRRDCGEFECQFPKEGTHTRKHIKLNESRAFVKGCGPFPCPFPKEGTHVRIHNVLKYKAKFPKGERPCEEWFCVHNSQEPGGVHEVSVHTVLEHMTSFTKNCSKKPNSLQEPDIWKCILEQKICQQRMYRFFAGPHHHHHHHHHAAAAAMNMGMNMNMPGYQNHLQAASYPDTRPSAVQRYQESRGFVEGPLGLRMQGNLVNQSGHPGHQEPLGPFLLHGQADSHAHHLGGAGPGFLGHQGGGPASDGFGRSRFLFDRTSNFPPGSYSGVHAAHPGLAAAAAAAAASGPYAGPGQSTFASSQGPSLEHAGPNLKFAGMHAAASDVFGNQAAALSLERDQMEAAAAAAAAASHHHHRAFSGVGIAPFQPGADLLM
+>sp|P52744|ZN138_HUMAN Zinc finger protein 138 OS=Homo sapiens OX=9606 GN=ZNF138 PE=1 SV=2
+MKRHEMVVAKHSALCSRFAQDLWLEQNIKDSFQKVTLSRYGKYGHKNLQLRKGCKSVDECKGHQGGFNGLNQCLKITTSKIFQCNKYVKVMHKFSNSNRHKIRHTENKHFRCKECDKSLCMLSRLTQHKKIHTRENFYKCEECGKTFNWSTNLSKPKKIHTGEKPYKCEVCGKAFHQSSILTKHKIIRTGEKPYKCAHCGKAFKQSSHLTRHKIIHTEEKPYKCEQCGKVFKQSPTLTKHQIIYTGEEPYKCEECGKAFNLS
+>DECOY_sp|P52744|ZN138_HUMAN Zinc finger protein 138 OS=Homo sapiens OX=9606 GN=ZNF138 PE=1 SV=2
+SLNFAKGCEECKYPEEGTYIIQHKTLTPSQKFVKGCQECKYPKEETHIIKHRTLHSSQKFAKGCHACKYPKEGTRIIKHKTLISSQHFAKGCVECKYPKEGTHIKKPKSLNTSWNFTKGCEECKYFNERTHIKKHQTLRSLMCLSKDCEKCRFHKNETHRIKHRNSNSFKHMVKVYKNCQFIKSTTIKLCQNLGNFGGQHGKCEDVSKCGKRLQLNKHGYKGYRSLTVKQFSDKINQELWLDQAFRSCLASHKAVVMEHRKM
+>sp|Q15928|ZN141_HUMAN Zinc finger protein 141 OS=Homo sapiens OX=9606 GN=ZNF141 PE=1 SV=1
+MELLTFRDVAIEFSPEEWKCLDPDQQNLYRDVMLENYRNLVSLGVAISNPDLVTCLEQRKEPYNVKIHKIVARPPAMCSHFTQDHWPVQGIEDSFHKLILRRYEKCGHDNLQLRKGCKSLNECKLQKGGYNEFNECLSTTQSKILQCKASVKVVSKFSNSNKRKTRHTGEKHFKECGKSFQKFSHLTQHKVIHAGEKPYTCEECGKAFKWSLIFNEHKRIHTGEKPFTCEECGSIFTTSSHFAKHKIIHTGEKPYKCEECGKAFNRFTTLTKHKRIHAGEKPITCEECRKIFTSSSNFAKHKRIHTGEKPYKCEECGKAFNRSTTLTKHKRIHTGEKPYTCEECGKAFRQSSKLNEHKKVHTGERPYKCDECGKAFGRSRVLNEHKKIHTGEKPYKCEECGKAFRRSTDRSQHKKIHSADKPYKCKECDKAFKQFSLLSQHKKIHTVDKPYKCKDCDKAFKRFSHLNKHKKIHT
+>DECOY_sp|Q15928|ZN141_HUMAN Zinc finger protein 141 OS=Homo sapiens OX=9606 GN=ZNF141 PE=1 SV=1
+THIKKHKNLHSFRKFAKDCDKCKYPKDVTHIKKHQSLLSFQKFAKDCEKCKYPKDASHIKKHQSRDTSRRFAKGCEECKYPKEGTHIKKHENLVRSRGFAKGCEDCKYPREGTHVKKHENLKSSQRFAKGCEECTYPKEGTHIRKHKTLTTSRNFAKGCEECKYPKEGTHIRKHKAFNSSSTFIKRCEECTIPKEGAHIRKHKTLTTFRNFAKGCEECKYPKEGTHIIKHKAFHSSTTFISGCEECTFPKEGTHIRKHENFILSWKFAKGCEECTYPKEGAHIVKHQTLHSFKQFSKGCEKFHKEGTHRTKRKNSNSFKSVVKVSAKCQLIKSQTTSLCENFENYGGKQLKCENLSKCGKRLQLNDHGCKEYRRLILKHFSDEIGQVPWHDQTFHSCMAPPRAVIKHIKVNYPEKRQELCTVLDPNSIAVGLSVLNRYNELMVDRYLNQQDPDLCKWEEPSFEIAVDRFTLLEM
+>sp|Q12901|ZN155_HUMAN Zinc finger protein 155 OS=Homo sapiens OX=9606 GN=ZNF155 PE=1 SV=4
+MTTFKEAVTFKDVAVVFTEEELGLLDPAQRKLYRDVMLENFRNLLSVGHQPFHQDTCHFLREEKFWMMGTATQREGNSGGKIQTELESVPEAGAHEEWSCQQIWEQIAKDLTRSQDSIINNSQFFENGDVPSQVEAGLPTIHTGQKPSQGGKCKQSISDVPIFDLPQQLYSEEKSYTCDECGKSICYISALHVHQRVHVGEKLFMCDVCGKEFSQSSHLQTHQRVHTGEKPFKCEQCGKGFSRRSALNVHRKLHTGEKPYICEACGKAFIHDSQLKEHKRIHTGEKPFKCDICGKTFYFRSRLKSHSMVHTGEKPFRCDTCDKSFHQRSALNRHCMVHTGEKPYRCEQCGKGFIGRLDFYKHQVVHTGEKPYNCKECGKSFRWSSCLLNHQRVHSGEKSFKCEECGKGFYTNSQLSSHQRSHSGEKPYKCEECGKGYVTKFNLDLHQRVHTGERPYNCKECGKNFSRASSILNHKRLHCQKKPFKCEDCGKRLVHRTYRKDQPRDYSGENPSKCEDCGRRYKRRLNLDILLSLFLNDT
+>DECOY_sp|Q12901|ZN155_HUMAN Zinc finger protein 155 OS=Homo sapiens OX=9606 GN=ZNF155 PE=1 SV=4
+TDNLFLSLLIDLNLRRKYRRGCDECKSPNEGSYDRPQDKRYTRHVLRKGCDECKFPKKQCHLRKHNLISSARSFNKGCEKCNYPREGTHVRQHLDLNFKTVYGKGCEECKYPKEGSHSRQHSSLQSNTYFGKGCEECKFSKEGSHVRQHNLLCSSWRFSKGCEKCNYPKEGTHVVQHKYFDLRGIFGKGCQECRYPKEGTHVMCHRNLASRQHFSKDCTDCRFPKEGTHVMSHSKLRSRFYFTKGCIDCKFPKEGTHIRKHEKLQSDHIFAKGCAECIYPKEGTHLKRHVNLASRRSFGKGCQECKFPKEGTHVRQHTQLHSSQSFEKGCVDCMFLKEGVHVRQHVHLASIYCISKGCEDCTYSKEESYLQQPLDFIPVDSISQKCKGGQSPKQGTHITPLGAEVQSPVDGNEFFQSNNIISDQSRTLDKAIQEWIQQCSWEEHAGAEPVSELETQIKGGSNGERQTATGMMWFKEERLFHCTDQHFPQHGVSLLNRFNELMVDRYLKRQAPDLLGLEEETFVVAVDKFTVAEKFTTM
+>sp|Q14929|ZN169_HUMAN Zinc finger protein 169 OS=Homo sapiens OX=9606 GN=ZNF169 PE=1 SV=3
+MSPGLLTTRKEALMAFRDVAVAFTQKEWKLLSSAQRTLYREVMLENYSHLVSLGIAFSKPKLIEQLEQGDEPWREENEHLLDLCPEPRTEFQPSFPHLVAFSSSQLLRQYALSGHPTQIFPSSSAGGDFQLEAPRCSSEKGESGETEGPDSSLRKRPSRISRTFFSPHQGDPVEWVEGNREGGTDLRLAQRMSLGGSDTMLKGADTSESGAVIRGNYRLGLSKKSSLFSHQKHHVCPECGRGFCQRSDLIKHQRTHTGEKPYLCPECGRRFSQKASLSIHQRKHSGEKPYVCRECGRHFRYTSSLTNHKRIHSGERPFVCQECGRGFRQKIALLLHQRTHLEEKPFVCPECGRGFCQKASLLQHQSSHTGERPFLCLECGRSFRQQSLLLSHQVTHSGEKPYVCAECGHSFRQKVTLIRHQRTHTGEKPYLCPQCGRGFSQKVTLIGHQRTHTGEKPYLCPDCGRGFGQKVTLIRHQRTHTGEKPYLCPKCGRAFGFKSLLTRHQRTHSEEELYVDRVCGQGLGQKSHLISDQRTHSGEKPCICDECGRGFGFKSALIRHQRTHSGEKPYVCRECGRGFSQKSHLHRHRRTKSGHQLLPQEVF
+>DECOY_sp|Q14929|ZN169_HUMAN Zinc finger protein 169 OS=Homo sapiens OX=9606 GN=ZNF169 PE=1 SV=3
+FVEQPLLQHGSKTRRHRHLHSKQSFGRGCERCVYPKEGSHTRQHRILASKFGFGRGCEDCICPKEGSHTRQDSILHSKQGLGQGCVRDVYLEEESHTRQHRTLLSKFGFARGCKPCLYPKEGTHTRQHRILTVKQGFGRGCDPCLYPKEGTHTRQHGILTVKQSFGRGCQPCLYPKEGTHTRQHRILTVKQRFSHGCEACVYPKEGSHTVQHSLLLSQQRFSRGCELCLFPREGTHSSQHQLLSAKQCFGRGCEPCVFPKEELHTRQHLLLAIKQRFGRGCEQCVFPREGSHIRKHNTLSSTYRFHRGCERCVYPKEGSHKRQHISLSAKQSFRRGCEPCLYPKEGTHTRQHKILDSRQCFGRGCEPCVHHKQHSFLSSKKSLGLRYNGRIVAGSESTDAGKLMTDSGGLSMRQALRLDTGGERNGEVWEVPDGQHPSFFTRSIRSPRKRLSSDPGETEGSEGKESSCRPAELQFDGGASSSPFIQTPHGSLAYQRLLQSSSFAVLHPFSPQFETRPEPCLDLLHENEERWPEDGQELQEILKPKSFAIGLSVLHSYNELMVERYLTRQASSLLKWEKQTFAVAVDRFAMLAEKRTTLLGPSM
+>sp|Q9Y473|ZN175_HUMAN Zinc finger protein 175 OS=Homo sapiens OX=9606 GN=ZNF175 PE=1 SV=1
+MPADVNLSQKPQVLGPEKQDGSCEASVSFEDVTVDFSREEWQQLDPAQRCLYRDVMLELYSHLFAVGYHIPNPEVIFRMLKEKEPRVEEAEVSHQRCQEREFGLEIPQKEISKKASFQKDMVGEFTRDGSWCSILEELRLDADRTKKDEQNQIQPMSHSAFFNKKTLNTESNCEYKDPGKMIRTRPHLASSQKQPQKCCLFTESLKLNLEVNGQNESNDTEQLDDVVGSGQLFSHSSSDACSKNIHTGETFCKGNQCRKVCGHKQSLKQHQIHTQKKPDGCSECGGSFTQKSHLFAQQRIHSVGNLHECGKCGKAFMPQLKLSVYLTDHTGDIPCICKECGKVFIQRSELLTHQKTHTRKKPYKCHDCGKAFFQMLSLFRHQRTHSREKLYECSECGKGFSQNSTLIIHQKIHTGERQYACSECGKAFTQKSTLSLHQRIHSGQKSYVCIECGQAFIQKAHLIVHQRSHTGEKPYQCHNCGKSFISKSQLDIHHRIHTGEKPYECSDCGKTFTQKSHLNIHQKIHTGERHHVCSECGKAFNQKSILSMHQRIHTGEKPYKCSECGKAFTSKSQFKEHQRIHTGEKPYVCTECGKAFNGRSNFHKHQITHTRERPFVCYKCGKAFVQKSELITHQRTHMGEKPYECLDCGKSFSKKPQLKVHQRIHTGERPYVCSECGKAFNNRSNFNKHQTTHTRDKSYKCSYSVKGFTKQ
+>DECOY_sp|Q9Y473|ZN175_HUMAN Zinc finger protein 175 OS=Homo sapiens OX=9606 GN=ZNF175 PE=1 SV=1
+QKTFGKVSYSCKYSKDRTHTTQHKNFNSRNNFAKGCESCVYPREGTHIRQHVKLQPKKSFSKGCDLCEYPKEGMHTRQHTILESKQVFAKGCKYCVFPRERTHTIQHKHFNSRGNFAKGCETCVYPKEGTHIRQHEKFQSKSTFAKGCESCKYPKEGTHIRQHMSLISKQNFAKGCESCVHHREGTHIKQHINLHSKQTFTKGCDSCEYPKEGTHIRHHIDLQSKSIFSKGCNHCQYPKEGTHSRQHVILHAKQIFAQGCEICVYSKQGSHIRQHLSLTSKQTFAKGCESCAYQREGTHIKQHIILTSNQSFGKGCESCEYLKERSHTRQHRFLSLMQFFAKGCDHCKYPKKRTHTKQHTLLESRQIFVKGCEKCICPIDGTHDTLYVSLKLQPMFAKGCKGCEHLNGVSHIRQQAFLHSKQTFSGGCESCGDPKKQTHIQHQKLSQKHGCVKRCQNGKCFTEGTHINKSCADSSSHSFLQGSGVVDDLQETDNSENQGNVELNLKLSETFLCCKQPQKQSSALHPRTRIMKGPDKYECNSETNLTKKNFFASHSMPQIQNQEDKKTRDADLRLEELISCWSGDRTFEGVMDKQFSAKKSIEKQPIELGFEREQCRQHSVEAEEVRPEKEKLMRFIVEPNPIHYGVAFLHSYLELMVDRYLCRQAPDLQQWEERSFDVTVDEFSVSAECSGDQKEPGLVQPKQSLNVDAPM
+>sp|Q99676|ZN184_HUMAN Zinc finger protein 184 OS=Homo sapiens OX=9606 GN=ZNF184 PE=1 SV=4
+MEDLSSPDSTLLQGGHNLLSSASFQEAVTFKDVIVDFTQEEWKQLDPGQRDLFRDVTLENYTHLVSIGLQVSKPDVISQLEQGTEPWIMEPSIPVGTCADWETRLENSVSAPEPDISEEELSPEVIVEKHKRDDSWSSNLLESWEYEGSLERQQANQQTLPKEIKVTEKTIPSWEKGPVNNEFGKSVNVSSNLVTQEPSPEETSTKRSIKQNSNPVKKEKSCKCNECGKAFSYCSALIRHQRTHTGEKPYKCNECEKAFSRSENLINHQRIHTGDKPYKCDQCGKGFIEGPSLTQHQRIHTGEKPYKCDECGKAFSQRTHLVQHQRIHTGEKPYTCNECGKAFSQRGHFMEHQKIHTGEKPFKCDECDKTFTRSTHLTQHQKIHTGEKTYKCNECGKAFNGPSTFIRHHMIHTGEKPYECNECGKAFSQHSNLTQHQKTHTGEKPYDCAECGKSFSYWSSLAQHLKIHTGEKPYKCNECGKAFSYCSSLTQHRRIHTREKPFECSECGKAFSYLSNLNQHQKTHTQEKAYECKECGKAFIRSSSLAKHERIHTGEKPYQCHECGKTFSYGSSLIQHRKIHTGERPYKCNECGRAFNQNIHLTQHKRIHTGAKPYECAECGKAFRHCSSLAQHQKTHTEEKPYQCNKCEKTFSQSSHLTQHQRIHTGEKPYKCNECDKAFSRSTHLTEHQNTHTGEKPYNCNECRKTFSQSTYLIQHQRIHSGEKPFGCNDCGKSFRYRSALNKHQRLHPGI
+>DECOY_sp|Q99676|ZN184_HUMAN Zinc finger protein 184 OS=Homo sapiens OX=9606 GN=ZNF184 PE=1 SV=4
+IGPHLRQHKNLASRYRFSKGCDNCGFPKEGSHIRQHQILYTSQSFTKRCENCNYPKEGTHTNQHETLHTSRSFAKDCENCKYPKEGTHIRQHQTLHSSQSFTKECKNCQYPKEETHTKQHQALSSCHRFAKGCEACEYPKAGTHIRKHQTLHINQNFARGCENCKYPREGTHIKRHQILSSGYSFTKGCEHCQYPKEGTHIREHKALSSSRIFAKGCEKCEYAKEQTHTKQHQNLNSLYSFAKGCESCEFPKERTHIRRHQTLSSCYSFAKGCENCKYPKEGTHIKLHQALSSWYSFSKGCEACDYPKEGTHTKQHQTLNSHQSFAKGCENCEYPKEGTHIMHHRIFTSPGNFAKGCENCKYTKEGTHIKQHQTLHTSRTFTKDCEDCKFPKEGTHIKQHEMFHGRQSFAKGCENCTYPKEGTHIRQHQVLHTRQSFAKGCEDCKYPKEGTHIRQHQTLSPGEIFGKGCQDCKYPKDGTHIRQHNILNESRSFAKECENCKYPKEGTHTRQHRILASCYSFAKGCENCKCSKEKKVPNSNQKISRKTSTEEPSPEQTVLNSSVNVSKGFENNVPGKEWSPITKETVKIEKPLTQQNAQQRELSGEYEWSELLNSSWSDDRKHKEVIVEPSLEEESIDPEPASVSNELRTEWDACTGVPISPEMIWPETGQELQSIVDPKSVQLGISVLHTYNELTVDRFLDRQGPDLQKWEEQTFDVIVDKFTVAEQFSASSLLNHGGQLLTSDPSSLDEM
+>sp|O14628|ZN195_HUMAN Zinc finger protein 195 OS=Homo sapiens OX=9606 GN=ZNF195 PE=1 SV=2
+MTLLTFRDVAIEFSLEEWKCLDLAQQNLYRDVMLENYRNLFSVGLTVCKPGLITCLEQRKEPWNVKRQEAADGHPEMGFHHATQACLELLGSSDLPASASQSAGITGVNHRAQPGLNVSVDKFTALCSPGVLQTVKWFLEFRCIFSLAMSSHFTQDLLPEQGIQDAFPKRILRGYGNCGLDNLYLRKDWESLDECKLQKDYNGLNQCSSTTHSKIFQYNKYVKIFDNFSNLHRRNISNTGEKPFKCQECGKSFQMLSFLTEHQKIHTGKKFQKCGECGKTFIQCSHFTEPENIDTGEKPYKCQECNNVIKTCSVLTKNRIYAGGEHYRCEEFGKVFNQCSHLTEHEHGTEEKPCKYEECSSVFISCSSLSNQQMILAGEKLSKCETWYKGFNHSPNPSKHQRNEIGGKPFKCEECDSIFKWFSDLTKHKRIHTGEKPYKCDECGKAYTQSSHLSEHRRIHTGEKPYQCEECGKVFRTCSSLSNHKRTHSEEKPYTCEECGNIFKQLSDLTKHKKTHTGEKPYKCDECGKNFTQSSNLIVHKRIHTGEKPYKCEECGRVFMWFSDITKHKKTHTGEKPYKCDECGKNFTQSSNLIVHKRIHTGEKPYKCEKCGKAFTQFSHLTVHESIHT
+>DECOY_sp|O14628|ZN195_HUMAN Zinc finger protein 195 OS=Homo sapiens OX=9606 GN=ZNF195 PE=1 SV=2
+THISEHVTLHSFQTFAKGCKECKYPKEGTHIRKHVILNSSQTFNKGCEDCKYPKEGTHTKKHKTIDSFWMFVRGCEECKYPKEGTHIRKHVILNSSQTFNKGCEDCKYPKEGTHTKKHKTLDSLQKFINGCEECTYPKEESHTRKHNSLSSCTRFVKGCEECQYPKEGTHIRRHESLHSSQTYAKGCEDCKYPKEGTHIRKHKTLDSFWKFISDCEECKFPKGGIENRQHKSPNPSHNFGKYWTECKSLKEGALIMQQNSLSSCSIFVSSCEEYKCPKEETGHEHETLHSCQNFVKGFEECRYHEGGAYIRNKTLVSCTKIVNNCEQCKYPKEGTDINEPETFHSCQIFTKGCEGCKQFKKGTHIKQHETLFSLMQFSKGCEQCKFPKEGTNSINRRHLNSFNDFIKVYKNYQFIKSHTTSSCQNLGNYDKQLKCEDLSEWDKRLYLNDLGCNGYGRLIRKPFADQIGQEPLLDQTFHSSMALSFICRFELFWKVTQLVGPSCLATFKDVSVNLGPQARHNVGTIGASQSASAPLDSSGLLELCAQTAHHFGMEPHGDAAEQRKVNWPEKRQELCTILGPKCVTLGVSFLNRYNELMVDRYLNQQALDLCKWEELSFEIAVDRFTLLTM
+>sp|O95125|ZN202_HUMAN Zinc finger protein 202 OS=Homo sapiens OX=9606 GN=ZNF202 PE=1 SV=4
+MATAVEPEDQDLWEEEGILMVKLEDDFTCRPESVLQRDDPVLETSHQNFRRFRYQEAASPREALIRLRELCHQWLRPERRTKEQILELLVLEQFLTVLPGELQSWVRGQRPESGEEAVTLVEGLQKQPRRPRRWVTVHVHGQEVLSEETVHLGVEPESPNELQDPVQSSTPEQSPEETTQSPDLGAPAEQRPHQEEELQTLQESEVPVPEDPDLPAERSSGDSEMVALLTALSQGLVTFKDVAVCFSQDQWSDLDPTQKEFYGEYVLEEDCGIVVSLSFPIPRPDEISQVREEEPWVPDIQEPQETQEPEILSFTYTGDRSKDEEECLEQEDLSLEDIHRPVLGEPEIHQTPDWEIVFEDNPGRLNERRFGTNISQVNSFVNLRETTPVHPLLGRHHDCSVCGKSFTCNSHLVRHLRTHTGEKPYKCMECGKSYTRSSHLARHQKVHKMNAPYKYPLNRKNLEETSPVTQAERTPSVEKPYRCDDCGKHFRWTSDLVRHQRTHTGEKPFFCTICGKSFSQKSVLTTHQRIHLGGKPYLCGECGEDFSEHRRYLAHRKTHAAEELYLCSECGRCFTHSAAFAKHLRGHASVRPCRCNECGKSFSRRDHLVRHQRTHTGEKPFTCPTCGKSFSRGYHLIRHQRTHSEKTS
+>DECOY_sp|O95125|ZN202_HUMAN Zinc finger protein 202 OS=Homo sapiens OX=9606 GN=ZNF202 PE=1 SV=4
+STKESHTRQHRILHYGRSFSKGCTPCTFPKEGTHTRQHRVLHDRRSFSKGCENCRCPRVSAHGRLHKAFAASHTFCRGCESCLYLEEAAHTKRHALYRRHESFDEGCEGCLYPKGGLHIRQHTTLVSKQSFSKGCITCFFPKEGTHTRQHRVLDSTWRFHKGCDDCRYPKEVSPTREAQTVPSTEELNKRNLPYKYPANMKHVKQHRALHSSRTYSKGCEMCKYPKEGTHTRLHRVLHSNCTFSKGCVSCDHHRGLLPHVPTTERLNVFSNVQSINTGFRRENLRGPNDEFVIEWDPTQHIEPEGLVPRHIDELSLDEQELCEEEDKSRDGTYTFSLIEPEQTEQPEQIDPVWPEEERVQSIEDPRPIPFSLSVVIGCDEELVYEGYFEKQTPDLDSWQDQSFCVAVDKFTVLGQSLATLLAVMESDGSSREAPLDPDEPVPVESEQLTQLEEEQHPRQEAPAGLDPSQTTEEPSQEPTSSQVPDQLENPSEPEVGLHVTEESLVEQGHVHVTVWRRPRRPQKQLGEVLTVAEEGSEPRQGRVWSQLEGPLVTLFQELVLLELIQEKTRREPRLWQHCLERLRILAERPSAAEQYRFRRFNQHSTELVPDDRQLVSEPRCTFDDELKVMLIGEEEWLDQDEPEVATAM
+>sp|Q13398|ZN211_HUMAN Zinc finger protein 211 OS=Homo sapiens OX=9606 GN=ZNF211 PE=2 SV=2
+MLGFPPGRPQLPVQLRPQTRMATALRDPASGSVTFEDVAVYFSWEEWDLLDEAQKHLYFDVMLENFALTSSLGCWCGVEHEETPSEQRISGERVPQFRTSKEGSSSQNADSCEICCLVLRDILHLAEHQGTNCGQKLHTCGKQFYISANLQQHQRQHITEAPFRSYVDTASFTQSCIVHVSEKPFTCREIRKDFLANMRFLHQDATQTGEKPNNSNKCAVAFYSGKSHHNWGKCSKAFSHIDTLVQDQRILTREGLFECSKCGKACTRRCNLIQHQKVHSEERPYECNECGKFFTYYSSFIIHQRVHTGERPYACPECGKSFSQIYSLNSHRKVHTGERPYECGECGKSFSQRSNLMQHRRVHTGERPYECSECGKSFSQNFSLIYHQRVHTGERPHECNECGKSFSRSSSLIHHRRLHTGERPYECSKCGKSFKQSSSFSSHRKVHTGERPYVCGECGKSFSHSSNLKNHQRVHTGERPVECSECSKSFSCKSNLIKHLRVHTGERPYECSECGKSFSQSSSLIQHRRVHTGKRPYQCSQCGKSFGCKSVLIQHQRVHIGEKP
+>DECOY_sp|Q13398|ZN211_HUMAN Zinc finger protein 211 OS=Homo sapiens OX=9606 GN=ZNF211 PE=2 SV=2
+PKEGIHVRQHQILVSKCGFSKGCQSCQYPRKGTHVRRHQILSSSQSFSKGCESCEYPREGTHVRLHKILNSKCSFSKSCESCEVPREGTHVRQHNKLNSSHSFSKGCEGCVYPREGTHVKRHSSFSSSQKFSKGCKSCEYPREGTHLRRHHILSSSRSFSKGCENCEHPREGTHVRQHYILSFNQSFSKGCESCEYPREGTHVRRHQMLNSRQSFSKGCEGCEYPREGTHVKRHSNLSYIQSFSKGCEPCAYPREGTHVRQHIIFSSYYTFFKGCENCEYPREESHVKQHQILNCRRTCAKGCKSCEFLGERTLIRQDQVLTDIHSFAKSCKGWNHHSKGSYFAVACKNSNNPKEGTQTADQHLFRMNALFDKRIERCTFPKESVHVICSQTFSATDVYSRFPAETIHQRQHQQLNASIYFQKGCTHLKQGCNTGQHEALHLIDRLVLCCIECSDANQSSSGEKSTRFQPVREGSIRQESPTEEHEVGCWCGLSSTLAFNELMVDFYLHKQAEDLLDWEEWSFYVAVDEFTVSGSAPDRLATAMRTQPRLQVPLQPRGPPFGLM
+>sp|Q9UK13|ZN221_HUMAN Zinc finger protein 221 OS=Homo sapiens OX=9606 GN=ZNF221 PE=2 SV=4
+MISPSLELLHSGLCKFPEVEGKMTTFKEAVTFKDVAVVFTEEELGLLDPAQRKLYRDVMLENFRNLLSVGNQPFHQDTFHFLGKEKFWKMKTTSQREGNSGGKIQIEMETVPEAGPHEEWSCQQIWEQIASDLTRSQNSIRNSSQFFKEGDVPCQIEARLSISHVQQKPYRCNECKQSFSDVSVFDLHQQSHSGEKSHTCGECGKSFCYSPALHIHQRVHMGEKCYKCDVCGKEFNQSSHLQTHQRVHTGEKPFKCGQCGKGFHSRSALNVHCKLHTGEKPYNCEECGKAFIHDSQLQEHQRIHTGEKPFKCDICGKSFRVRSRLNRHSMVHTGEKPFRCDTCGKNFRQRSALNSHSMVHIEEKPYKCEQCGKGFICRRDFCKHQMVHTGEKPYNCKECGKTFRWSSCLLNHQQVHSGQKSFKCEECGKGFYTNSRRSSHQRSHNGEKPYNCEECGKDYKRRLDLEFHQRVHTGERPYNCKECGKSFGWASCLLKHQRLHSGEKPFKCEECGKRFTQSSQLHSHQTCHTGEKLYKCEQCEKGYNSKFNLDMHQRVHGGERPYNCKECGKSFGWASCLLKHQRLHSGEKPLKSGVWEEIYSEFTASFTSVSLCGRKAI
+>DECOY_sp|Q9UK13|ZN221_HUMAN Zinc finger protein 221 OS=Homo sapiens OX=9606 GN=ZNF221 PE=2 SV=4
+IAKRGCLSVSTFSATFESYIEEWVGSKLPKEGSHLRQHKLLCSAWGFSKGCEKCNYPREGGHVRQHMDLNFKSNYGKECQECKYLKEGTHCTQHSHLQSSQTFRKGCEECKFPKEGSHLRQHKLLCSAWGFSKGCEKCNYPREGTHVRQHFELDLRRKYDKGCEECNYPKEGNHSRQHSSRRSNTYFGKGCEECKFSKQGSHVQQHNLLCSSWRFTKGCEKCNYPKEGTHVMQHKCFDRRCIFGKGCQECKYPKEEIHVMSHSNLASRQRFNKGCTDCRFPKEGTHVMSHRNLRSRVRFSKGCIDCKFPKEGTHIRQHEQLQSDHIFAKGCEECNYPKEGTHLKCHVNLASRSHFGKGCQGCKFPKEGTHVRQHTQLHSSQNFEKGCVDCKYCKEGMHVRQHIHLAPSYCFSKGCEGCTHSKEGSHSQQHLDFVSVDSFSQKCENCRYPKQQVHSISLRAEIQCPVDGEKFFQSSNRISNQSRTLDSAIQEWIQQCSWEEHPGAEPVTEMEIQIKGGSNGERQSTTKMKWFKEKGLFHFTDQHFPQNGVSLLNRFNELMVDRYLKRQAPDLLGLEEETFVVAVDKFTVAEKFTTMKGEVEPFKCLGSHLLELSPSIM
+>sp|Q9UK10|ZN225_HUMAN Zinc finger protein 225 OS=Homo sapiens OX=9606 GN=ZNF225 PE=1 SV=2
+MTTLKEAVTFKDVAVVFTEEELRLLDLAQRKLYREVMLENFRNLLSVGHQSLHRDTFHFLKEEKFWMMETATQREGNLGGKIQMEMETVSESGTHEGLFSHQTWEQISSDLTRFQDSMVNSFQFSKQDDMPCQVDAGLSIIHVRQKPSEGRTCKKSFSDVSVLDLHQQLQSREKSHTCDECGKSFCYSSALRIHQRVHMGEKLYNCDVCGKEFNQSSHLQIHQRIHTGEKPFKCEQCGKGFSRRSGLYVHRKLHTGVKPHICEKCGKAFIHDSQLQEHQRIHTGEKPFKCDICCKSFRSRANLNRHSMVHMREKPFRCDTCGKSFGLKSALNSHRMVHTGEKRYKCEECGKRFIYRQDLYKHQIDHTGEKPYNCKECGKSFRWASGLSRHVRVHSGETTFKCEECGKGFYTNSQRYSHQRAHSGEKPYRCEECGKGYKRRLDLDFHQRVHRGEKPYNCKECGKSFGWASCLLNHQRIHSGEKPFKCEECGKRFTQNSQLYTHRRVHSGEKPFKCEECGKRFTQNSQLYSHRRVHTGVKPYKCEECGKGFNSKFNLDMHQRVHTGERPYNCKECGKSFSRASSILNHKRLHGDEKPFKCEECGKRFTENSQLHSHQRVHTGEKPYKCEKCGKSFRWASTHLTHQRLHSREKLLQCEDCGKSIVHSSCLKDQQRDQSGEKTSKCEDCGKRYKRRLNLDTLLSLFLNDT
+>DECOY_sp|Q9UK10|ZN225_HUMAN Zinc finger protein 225 OS=Homo sapiens OX=9606 GN=ZNF225 PE=1 SV=2
+TDNLFLSLLTDLNLRRKYRKGCDECKSTKEGSQDRQQDKLCSSHVISKGCDECQLLKERSHLRQHTLHTSAWRFSKGCKECKYPKEGTHVRQHSHLQSNETFRKGCEECKFPKEDGHLRKHNLISSARSFSKGCEKCNYPREGTHVRQHMDLNFKSNFGKGCEECKYPKVGTHVRRHSYLQSNQTFRKGCEECKFPKEGSHVRRHTYLQSNQTFRKGCEECKFPKEGSHIRQHNLLCSAWGFSKGCEKCNYPKEGRHVRQHFDLDLRRKYGKGCEECRYPKEGSHARQHSYRQSNTYFGKGCEECKFTTEGSHVRVHRSLGSAWRFSKGCEKCNYPKEGTHDIQHKYLDQRYIFRKGCEECKYRKEGTHVMRHSNLASKLGFSKGCTDCRFPKERMHVMSHRNLNARSRFSKCCIDCKFPKEGTHIRQHEQLQSDHIFAKGCKECIHPKVGTHLKRHVYLGSRRSFGKGCQECKFPKEGTHIRQHIQLHSSQNFEKGCVDCNYLKEGMHVRQHIRLASSYCFSKGCEDCTHSKERSQLQQHLDLVSVDSFSKKCTRGESPKQRVHIISLGADVQCPMDDQKSFQFSNVMSDQFRTLDSSIQEWTQHSFLGEHTGSESVTEMEMQIKGGLNGERQTATEMMWFKEEKLFHFTDRHLSQHGVSLLNRFNELMVERYLKRQALDLLRLEEETFVVAVDKFTVAEKLTTM
+>sp|Q3ZCT1|ZN260_HUMAN Zinc finger protein 260 OS=Homo sapiens OX=9606 GN=ZNF260 PE=1 SV=3
+MIGMLESLQHESDLLQHDQIHTGEKPYECNECRKTFSLKQNLVEHKKMHTGEKSHECTECGKVCSRVSSLTLHLRSHTGKKAYKCNKCGKAFSQKENFLSHQKHHTGEKPYECEKVSIQMPTIIRHQKNHTGTKPYACKECGKAFNGKAYLTEHEKIHTGEKPFECNQCGRAFSQKQYLIKHQNIHTGKKPFKCSECGKAFSQKENLIIHQRIHTGEKPYECKGCGKAFIQKSSLIRHQRSHTGEKPYTCKECGKAFSGKSNLTEHEKIHIGEKPYKCNECGTIFRQKQYLIKHHNIHTGEKPYECNKCGKAFSRITSLIVHVRIHTGDKPYECKVCGKAFCQSSSLTVHMRSHTGEKPYGCNECGKAFSQFSTLALHMRIHTGEKPYQCSECGKAFSQKSHHIRHQRIHTH
+>DECOY_sp|Q3ZCT1|ZN260_HUMAN Zinc finger protein 260 OS=Homo sapiens OX=9606 GN=ZNF260 PE=1 SV=3
+HTHIRQHRIHHSKQSFAKGCESCQYPKEGTHIRMHLALTSFQSFAKGCENCGYPKEGTHSRMHVTLSSSQCFAKGCVKCEYPKDGTHIRVHVILSTIRSFAKGCKNCEYPKEGTHINHHKILYQKQRFITGCENCKYPKEGIHIKEHETLNSKGSFAKGCEKCTYPKEGTHSRQHRILSSKQIFAKGCGKCEYPKEGTHIRQHIILNEKQSFAKGCESCKFPKKGTHINQHKILYQKQSFARGCQNCEFPKEGTHIKEHETLYAKGNFAKGCEKCAYPKTGTHNKQHRIITPMQISVKECEYPKEGTHHKQHSLFNEKQSFAKGCKNCKYAKKGTHSRLHLTLSSVRSCVKGCETCEHSKEGTHMKKHEVLNQKLSFTKRCENCEYPKEGTHIQDHQLLDSEHQLSELMGIM
+>sp|O43296|ZN264_HUMAN Zinc finger protein 264 OS=Homo sapiens OX=9606 GN=ZNF264 PE=1 SV=1
+MAAAVLTDRAQVSVTFDDVAVTFTKEEWGQLDLAQRTLYQEVMLENCGLLVSLGCPVPKAELICHLEHGQEPWTRKEDLSQDTCPGDKGKPKTTEPTTCEPALSEGISLQGQVTQGNSVDSQLGQAEDQDGLSEMQEGHFRPGIDPQEKSPGKMSPECDGLGTADGVCSRIGQEQVSPGDRVRSHNSCESGKDPMIQEEENNFKCSECGKVFNKKHLLAGHEKIHSGVKPYECTECGKTFIKSTHLLQHHMIHTGERPYECMECGKAFNRKSYLTQHQRIHSGEKPYKCNECGKAFTHRSNFVLHNRRHTGEKSFVCTECGQVFRHRPGFLRHYVVHSGENPYECLECGKVFKHRSYLMWHQQTHTGEKPYECSECGKVFLESAALIHHYVIHTGEKPFECLECGKAFNHRSYLKRHQRIHTGEKPFVCSECGKAFTHCSTFILHKRAHTGEKPFECKECGKAFSNRKDLIRHFSIHTGEKPYECVECGKAFTRMSGLTRHKRIHSGEKPYECVECGKSFCWSTNLIRHAIIHTGEKPYKCSECGKAFSRSSSLTQHQRMHTGKNPISVTDVGRPFTSGQTSVTLRELLLGKDFLNVTTEANILPEETSSSASDQPYQRETPQVSSL
+>DECOY_sp|O43296|ZN264_HUMAN Zinc finger protein 264 OS=Homo sapiens OX=9606 GN=ZNF264 PE=1 SV=1
+LSSVQPTERQYPQDSASSSTEEPLINAETTVNLFDKGLLLERLTVSTQGSTFPRGVDTVSIPNKGTHMRQHQTLSSSRSFAKGCESCKYPKEGTHIIAHRILNTSWCFSKGCEVCEYPKEGSHIRKHRTLGSMRTFAKGCEVCEYPKEGTHISFHRILDKRNSFAKGCEKCEFPKEGTHARKHLIFTSCHTFAKGCESCVFPKEGTHIRQHRKLYSRHNFAKGCELCEFPKEGTHIVYHHILAASELFVKGCESCEYPKEGTHTQQHWMLYSRHKFVKGCELCEYPNEGSHVVYHRLFGPRHRFVQGCETCVFSKEGTHRRNHLVFNSRHTFAKGCENCKYPKEGSHIRQHQTLYSKRNFAKGCEMCEYPREGTHIMHHQLLHTSKIFTKGCETCEYPKVGSHIKEHGALLHKKNFVKGCESCKFNNEEEQIMPDKGSECSNHSRVRDGPSVQEQGIRSCVGDATGLGDCEPSMKGPSKEQPDIGPRFHGEQMESLGDQDEAQGLQSDVSNGQTVQGQLSIGESLAPECTTPETTKPKGKDGPCTDQSLDEKRTWPEQGHELHCILEAKPVPCGLSVLLGCNELMVEQYLTRQALDLQGWEEKTFTVAVDDFTVSVQARDTLVAAAM
+>sp|Q9NSD4|ZN275_HUMAN Zinc finger protein 275 OS=Homo sapiens OX=9606 GN=ZNF275 PE=1 SV=2
+MMSHPCVSLLGVPVLNPALVPHLAQGQVLLVSDPSPNTDPAKYSESTSATRHQMKGEDAQPQEMASTSFPRASGPSPEFRQHGDSDGKRGSPQNLPIEHHFACKECGDTFRLKVLLVQHQRVHSEEKGWECGDCGKVFRGVAEFNEHRKSHVAAEPQPGPSRALENAAEKREQMEREAKPFECEECGKRFKKNAGLSQHLRVHSREKPFDCEECGRSFKVNTHLFRHQKLHTSEKPFACKACSRDFLDRQELLKHQRMHTGHLPFDCDDCGKSFRGVNGLAEHQRIHSGAKPYGCPHCGKLFRRSSELTKHRRIHTGEKPYACGQCGKAFRQSSSLLEHARIHSGERPYACGECGKAFRGPSDLIKHRRIHSGLKPYECDKCGKAFRRSSGLSRHRRIHSGARRCECSQCGRVFKRRSALQKHQPTHHE
+>DECOY_sp|Q9NSD4|ZN275_HUMAN Zinc finger protein 275 OS=Homo sapiens OX=9606 GN=ZNF275 PE=1 SV=2
+EHHTPQHKQLASRRKFVRGCQSCECRRAGSHIRRHRSLGSSRRFAKGCKDCEYPKLGSHIRRHKILDSPGRFAKGCEGCAYPREGSHIRAHELLSSSQRFAKGCQGCAYPKEGTHIRRHKTLESSRRFLKGCHPCGYPKAGSHIRQHEALGNVGRFSKGCDDCDFPLHGTHMRQHKLLEQRDLFDRSCAKCAFPKESTHLKQHRFLHTNVKFSRGCEECDFPKERSHVRLHQSLGANKKFRKGCEECEFPKAEREMQERKEAANELARSPGPQPEAAVHSKRHENFEAVGRFVKGCDGCEWGKEESHVRQHQVLLVKLRFTDGCEKCAFHHEIPLNQPSGRKGDSDGHQRFEPSPGSARPFSTSAMEQPQADEGKMQHRTASTSESYKAPDTNPSPDSVLLVQGQALHPVLAPNLVPVGLLSVCPHSMM
+>sp|Q8N7M2|ZN283_HUMAN Zinc finger protein 283 OS=Homo sapiens OX=9606 GN=ZNF283 PE=1 SV=4
+MESRSVAQAGVQWCDLGSLQAPPPGFTLFSCLSLLSSWDYSSGFSGFCASPIEESHGALISSCNSRTMTDGLVTFRDVAIDFSQEEWECLDPAQRDLYVDVMLENYSNLVSLDLESKTYETKKIFSENDIFEINFSQWEMKDKSKTLGLEASIFRNNWKCKSIFEGLKGHQEGYFSQMIISYEKIPSYRKSKSLTPHQRIHNTEKSYVCKECGKACSHGSKLVQHERTHTAEKHFECKECGKNYLSAYQLNVHQRFHTGEKPYECKECGKTFSWGSSLVKHERIHTGEKPYECKECGKAFSRGYHLTQHQKIHTGVKSYKCKECGKAFFWGSSLAKHEIIHTGEKPYKCKECGKAFSRGYQLTQHQKIHTGKKPYECKICGKAFCWGYQLTRHQIFHTGEKPYECKECGKAFNCGSSLIQHERIHTGEKPYECKECGKAFSRGYHLSQHQKIHTGEKPFECKECGKAFSWGSSLVKHERVHTGEKSHECKECGKTFCSGYQLTRHQVFHTGEKPYECKECGKAFNCGSSLVQHERIHTGEKPYECKECGKAFSRGYHLTQHQKIHTGEKPFKCKECGKAFSWGSSLVKHERVHTNEKSYECKDCGKAFGSGYQLSVHQRFHTGEKLYQRKEFGKTFTCGSKLVHERTHSNDKPYKYNECGEAFLWTTYSNEKIDTDETL
+>DECOY_sp|Q8N7M2|ZN283_HUMAN Zinc finger protein 283 OS=Homo sapiens OX=9606 GN=ZNF283 PE=1 SV=4
+LTEDTDIKENSYTTWLFAEGCENYKYPKDNSHTREHVLKSGCTFTKGFEKRQYLKEGTHFRQHVSLQYGSGFAKGCDKCEYSKENTHVREHKVLSSGWSFAKGCEKCKFPKEGTHIKQHQTLHYGRSFAKGCEKCEYPKEGTHIREHQVLSSGCNFAKGCEKCEYPKEGTHFVQHRTLQYGSCFTKGCEKCEHSKEGTHVREHKVLSSGWSFAKGCEKCEFPKEGTHIKQHQSLHYGRSFAKGCEKCEYPKEGTHIREHQILSSGCNFAKGCEKCEYPKEGTHFIQHRTLQYGWCFAKGCIKCEYPKKGTHIKQHQTLQYGRSFAKGCEKCKYPKEGTHIIEHKALSSGWFFAKGCEKCKYSKVGTHIKQHQTLHYGRSFAKGCEKCEYPKEGTHIREHKVLSSGWSFTKGCEKCEYPKEGTHFRQHVNLQYASLYNKGCEKCEFHKEATHTREHQVLKSGHSCAKGCEKCVYSKETNHIRQHPTLSKSKRYSPIKEYSIIMQSFYGEQHGKLGEFISKCKWNNRFISAELGLTKSKDKMEWQSFNIEFIDNESFIKKTEYTKSELDLSVLNSYNELMVDVYLDRQAPDLCEWEEQSFDIAVDRFTVLGDTMTRSNCSSILAGHSEEIPSACFGSFGSSYDWSSLLSLCSFLTFGPPPAQLSGLDCWQVGAQAVSRSEM
+>sp|A2RRD8|ZN320_HUMAN Zinc finger protein 320 OS=Homo sapiens OX=9606 GN=ZNF320 PE=1 SV=1
+MALSQGLLTFRDVAIEFSQEEWKCLDPAQRTLYRDVMLENYRNLVSLDISSKCMMNTLSSTGQGNTEVIHTGTLQRQASYHIGAFCSQEIEKDIHDFVFQWQEDETNDHEAPMTEIKKLTSSTDRYDQRHAGNKPIKGQLESRFHLHLRRHRRIHTGEKPYKCEECEKVFSCKSHLEIHRIIHTGEKPYKCKVCDKAFKHDSHLAKHTRIHRGDKHYTCNECGKVFDQKATLACHHRSHTGEKPYKCNECGKTFSQTSHLVYHHRLHTGEKPYKCNECGKTFARNSVLVIHKAVHTAEKPYKCNECGKVFKQRATLAGHRRVHTGEKPYRCEECDKVFSRKSHLERHRRIHTGEKPYKCKVCDKAFRSDSRLAEHQRVHTGERPYTCNECGKVFSTKAYLACHQKLHTGEKLYECEECDKVYIRKSHLERHRRIHTGEKPHKCGDCGKAFNSPSHLIRHQRIHTGQKSYKCHQCGKVFSLRSLLAEHQKIPFGDNCFKCNEYSKPSSIN
+>DECOY_sp|A2RRD8|ZN320_HUMAN Zinc finger protein 320 OS=Homo sapiens OX=9606 GN=ZNF320 PE=1 SV=1
+NISSPKSYENCKFCNDGFPIKQHEALLSRLSFVKGCQHCKYSKQGTHIRQHRILHSPSNFAKGCDGCKHPKEGTHIRRHRELHSKRIYVKDCEECEYLKEGTHLKQHCALYAKTSFVKGCENCTYPREGTHVRQHEALRSDSRFAKDCVKCKYPKEGTHIRRHRELHSKRSFVKDCEECRYPKEGTHVRRHGALTARQKFVKGCENCKYPKEATHVAKHIVLVSNRAFTKGCENCKYPKEGTHLRHHYVLHSTQSFTKGCENCKYPKEGTHSRHHCALTAKQDFVKGCENCTYHKDGRHIRTHKALHSDHKFAKDCVKCKYPKEGTHIIRHIELHSKCSFVKECEECKYPKEGTHIRRHRRLHLHFRSELQGKIPKNGAHRQDYRDTSSTLKKIETMPAEHDNTEDEQWQFVFDHIDKEIEQSCFAGIHYSAQRQLTGTHIVETNGQGTSSLTNMMCKSSIDLSVLNRYNELMVDRYLTRQAPDLCKWEEQSFEIAVDRFTLLGQSLAM
+>sp|Q6U7Q0|ZN322_HUMAN Zinc finger protein 322 OS=Homo sapiens OX=9606 GN=ZNF322 PE=1 SV=2
+MYTSEEKCNQRTQKRKIYNVCPRKGKKIFIHMHEIIQIDGHIYQCLECKQNFCENLALIMCERTHTGEKPYKCDMCEKTFVQSSDLTSHQRIHNYEKPYKCSKCEKSFWHHLALSGHQRTHAGKKFYTCDICGKNFGQSSDLLVHQRSHTGEKPYLCSECDKCFSRSTNLIRHRRTHTGEKPFKCLECEKAFSGKSDLISHQRTHTGERPYKCNKCEKSYRHRSAFIVHKRVHTGEKPYKCGACEKCFGQKSDLIVHQRVHTGEKPYKCLECMRSFTRSANLIRHQATHTHTFKCLEYEKSFNCSSDLIVHQRIHMEEKPHQWSACESGFLLGMDFVAQQKMRTQTEELHYKYTVCDKSFHQSSALLQHQTVHIGEKPFVCNVSEKGLELSPPHASEASQMS
+>DECOY_sp|Q6U7Q0|ZN322_HUMAN Zinc finger protein 322 OS=Homo sapiens OX=9606 GN=ZNF322 PE=1 SV=2
+SMQSAESAHPPSLELGKESVNCVFPKEGIHVTQHQLLASSQHFSKDCVTYKYHLEETQTRMKQQAVFDMGLLFGSECASWQHPKEEMHIRQHVILDSSCNFSKEYELCKFTHTHTAQHRILNASRTFSRMCELCKYPKEGTHVRQHVILDSKQGFCKECAGCKYPKEGTHVRKHVIFASRHRYSKECKNCKYPREGTHTRQHSILDSKGSFAKECELCKFPKEGTHTRRHRILNTSRSFCKDCESCLYPKEGTHSRQHVLLDSSQGFNKGCIDCTYFKKGAHTRQHGSLALHHWFSKECKSCKYPKEYNHIRQHSTLDSSQVFTKECMDCKYPKEGTHTRECMILALNECFNQKCELCQYIHGDIQIIEHMHIFIKKGKRPCVNYIKRKQTRQNCKEESTYM
+>sp|Q96JL9|ZN333_HUMAN Zinc finger protein 333 OS=Homo sapiens OX=9606 GN=ZNF333 PE=2 SV=3
+MESVTFEDVAVEFIQEWALLDSARRSLCKYRMLDQCRTLASRGTPPCKPSCVSQLGQRAEPKATERGILRATGVAWESQLKPEELPSMQDLLEEASSRDMQMGPGLFLRMQLVPSIEERETPLTREDRPALQEPPWSLGCTGLKAAMQIQRVVIPVPTLGHRNPWVARDSAVPARDPAWLQEDKVEEEAMAPGLPTACSQEPVTFADVAVVFTPEEWVFLDSTQRSLYRDVMLENYRNLASVADQLCKPNALSYLEERGEQWTTDRGVLSDTCAEPQCQPQEAIPSQDTFTEILSIDVKGEQPQPGEKLYKYNELEKPFNSIEPLFQYQRIHAGEASCECQEIRNSFFQSAHLIVPEKIRSGDKSYACNKCEKSFRYSSDLIRHEKTHTAEKCFDCQECGQAFKYSSNLRRHMRTHTGEKPFECSQCGKTFTRNFNLILHQRNHTGEKPYECKDCGKAFNQPSSLRSHVRTHTGEKPFECSQCGKAFREHSSLKTHLRTHTREKPYECNQCGKPFRTSTHLNVHKRIHTGEKLYECATCGQVLSRLSTLKSHMRTHTGEKPYVCQECGRAFSEPSSLRKHARTHSGKKPYACQECGRAFGQSSHLIVHVRTHSAGRPYQCNQCEKAFRHSSSLTVHKRTHVGRETIRNGSLPLSMSHPYCGPLAN
+>DECOY_sp|Q96JL9|ZN333_HUMAN Zinc finger protein 333 OS=Homo sapiens OX=9606 GN=ZNF333 PE=2 SV=3
+NALPGCYPHSMSLPLSGNRITERGVHTRKHVTLSSSHRFAKECQNCQYPRGASHTRVHVILHSSQGFARGCEQCAYPKKGSHTRAHKRLSSPESFARGCEQCVYPKEGTHTRMHSKLTSLRSLVQGCTACEYLKEGTHIRKHVNLHTSTRFPKGCQNCEYPKERTHTRLHTKLSSHERFAKGCQSCEFPKEGTHTRVHSRLSSPQNFAKGCDKCEYPKEGTHNRQHLILNFNRTFTKGCQSCEFPKEGTHTRMHRRLNSSYKFAQGCEQCDFCKEATHTKEHRILDSSYRFSKECKNCAYSKDGSRIKEPVILHASQFFSNRIEQCECSAEGAHIRQYQFLPEISNFPKELENYKYLKEGPQPQEGKVDISLIETFTDQSPIAEQPQCQPEACTDSLVGRDTTWQEGREELYSLANPKCLQDAVSALNRYNELMVDRYLSRQTSDLFVWEEPTFVVAVDAFTVPEQSCATPLGPAMAEEEVKDEQLWAPDRAPVASDRAVWPNRHGLTPVPIVVRQIQMAAKLGTCGLSWPPEQLAPRDERTLPTEREEISPVLQMRLFLGPGMQMDRSSAEELLDQMSPLEEPKLQSEWAVGTARLIGRETAKPEARQGLQSVCSPKCPPTGRSALTRCQDLMRYKCLSRRASDLLAWEQIFEVAVDEFTVSEM
+>sp|Q9H4Z2|ZN335_HUMAN Zinc finger protein 335 OS=Homo sapiens OX=9606 GN=ZNF335 PE=1 SV=1
+MEENEVESSSDAAPGPGRPEEPSESGLGVGTSEAVSADSSDAAAAPGQAEADDSGVGQSSDRGSRSQEEVSESSSSADPLPNSYLPDSSSVSHGPVAGVTGGPPALVHSSALPDPNMLVSDCTASSSDLGSAIDKIIESTIGPDLIQNCITVTSAEDGGAETTRYLILQGPDDGAPMTSPMSSSTLAHSLAAIEALADGPTSTSTCLEAQGGPSSPVQLPPASGAEEPDLQSLEAMMEVVVVQQFKCKMCQYRSSTKATLLRHMRERHFRPVAAAAAAAGKKGRLRKWSTSTKSQEEEGPEEEDDDDIVDAGAIDDLEEDSDYNPAEDEPRGRQLRLQRPTPSTPRPRRRPGRPRKLPRLEISDLPDGVEGEPLVSSQSGQSPPEPQDPEAPSSSGPGHLVAMGKVSRTPVEAGVSQSDAENAAPSCPDEHDTLPRRRGRPSRRFLGKKYRKYYYKSPKPLLRPFLCRICGSRFLSHEDLRFHVNSHEAGDPQLFKCLQCSYRSRRWSSLKEHMFNHVGSKPYKCDECSYTSVYRKDVIRHAAVHSRDRKKRPDPTPKLSSFPCPVCGRVYPMQKRLTQHMKTHSTEKPHMCDKCGKSFKKRYTFKMHLLTHIQAVANRRFKCEFCEFVCEDKKALLNHQLSHVSDKPFKCSFCPYRTFREDFLLSHVAVKHTGAKPFACEYCHFSTRHKKNLRLHVRCRHASSFEEWGRRHPEEPPSRRRPFFSLQQIEELKQQHSAAPGPPPSSPGPPEIPPEATTFQSSEAPSLLCSDTLGGATIIYQQGAEESTAMATQTALDLLLNMSAQRELGGTALQVAVVKSEDVEAGLASPGGQPSPEGATPQVVTLHVAEPGGGAAAESQLGPPDLPQITLAPGPFGGTGYSVITAPPMEEGTSAPGTPYSEEPAGEAAQAVVVSDTLKEAGTHYIMATDGTQLHHIELTADGSISFPSPDALASGAKWPLLQCGGLPRDGPEPPSPAKTHCVGDSQSSASSPPATSKALGLAVPPSPPSAATAASKKFSCKICAEAFPGRAEMESHKRAHAGPGAFKCPDCPFSARQWPEVRAHMAQHSSLRPHQCSQCSFASKNKKDLRRHMLTHTKEKPFACHLCGQRFNRNGHLKFHIQRLHSPDGRKSGTPTARAPTQTPTQTIILNSDDETLATLHTALQSSHGVLGPERLQQALSQEHIIVAQEQTVTNQEEAAYIQEITTADGQTVQHLVTSDNQVQYIISQDGVQHLLPQEYVVVPEGHHIQVQEGQITHIQYEQGAPFLQESQIQYVPVSPGQQLVTQAQLEAAAHSAVTAVADAAMAQAQGLFGTDETVPEHIQQLQHQGIEYDVITLADD
+>DECOY_sp|Q9H4Z2|ZN335_HUMAN Zinc finger protein 335 OS=Homo sapiens OX=9606 GN=ZNF335 PE=1 SV=1
+DDALTIVDYEIGQHQLQQIHEPVTEDTGFLGQAQAMAADAVATVASHAAAELQAQTVLQQGPSVPVYQIQSEQLFPAGQEYQIHTIQGEQVQIHHGEPVVVYEQPLLHQVGDQSIIYQVQNDSTVLHQVTQGDATTIEQIYAAEEQNTVTQEQAVIIHEQSLAQQLREPGLVGHSSQLATHLTALTEDDSNLIITQTPTQTPARATPTGSKRGDPSHLRQIHFKLHGNRNFRQGCLHCAFPKEKTHTLMHRRLDKKNKSAFSCQSCQHPRLSSHQAMHARVEPWQRASFPCDPCKFAGPGAHARKHSEMEARGPFAEACIKCSFKKSAATAASPPSPPVALGLAKSTAPPSSASSQSDGVCHTKAPSPPEPGDRPLGGCQLLPWKAGSALADPSPFSISGDATLEIHHLQTGDTAMIYHTGAEKLTDSVVVAQAAEGAPEESYPTGPASTGEEMPPATIVSYGTGGFPGPALTIQPLDPPGLQSEAAAGGGPEAVHLTVVQPTAGEPSPQGGPSALGAEVDESKVVAVQLATGGLERQASMNLLLDLATQTAMATSEEAGQQYIITAGGLTDSCLLSPAESSQFTTAEPPIEPPGPSSPPPGPAASHQQKLEEIQQLSFFPRRRSPPEEPHRRGWEEFSSAHRCRVHLRLNKKHRTSFHCYECAFPKAGTHKVAVHSLLFDERFTRYPCFSCKFPKDSVHSLQHNLLAKKDECVFECFECKFRRNAVAQIHTLLHMKFTYRKKFSKGCKDCMHPKETSHTKMHQTLRKQMPYVRGCVPCPFSSLKPTPDPRKKRDRSHVAAHRIVDKRYVSTYSCEDCKYPKSGVHNFMHEKLSSWRRSRYSCQLCKFLQPDGAEHSNVHFRLDEHSLFRSGCIRCLFPRLLPKPSKYYYKRYKKGLFRRSPRGRRRPLTDHEDPCSPAANEADSQSVGAEVPTRSVKGMAVLHGPGSSSPAEPDQPEPPSQGSQSSVLPEGEVGDPLDSIELRPLKRPRGPRRRPRPTSPTPRQLRLQRGRPEDEAPNYDSDEELDDIAGADVIDDDDEEEPGEEEQSKTSTSWKRLRGKKGAAAAAAAVPRFHRERMHRLLTAKTSSRYQCMKCKFQQVVVVEMMAELSQLDPEEAGSAPPLQVPSSPGGQAELCTSTSTPGDALAEIAALSHALTSSSMPSTMPAGDDPGQLILYRTTEAGGDEASTVTICNQILDPGITSEIIKDIASGLDSSSATCDSVLMNPDPLASSHVLAPPGGTVGAVPGHSVSSSDPLYSNPLPDASSSSESVEEQSRSGRDSSQGVGSDDAEAQGPAAAADSSDASVAESTGVGLGSESPEEPRGPGPAADSSSEVENEEM
+>sp|Q6P1L6|ZN343_HUMAN Zinc finger protein 343 OS=Homo sapiens OX=9606 GN=ZNF343 PE=1 SV=1
+MMLPYPSALGDQYWEEILLPKNGENVETMKKLTQNHKAKGLPSNDTDCPQKKEGKAQIVVPVTFRDVTVIFTEAEWKRLSPEQRNLYKEVMLENYRNLLSLAEPKPEIYTCSSCLLAFSCQQFLSQHVLQIFLGLCAENHFHPGNSSPGHWKQQGQQYSHVSCWFENAEGQERGGGSKPWSARTEERETSRAFPSPLQRQSASPRKGNMVVETEPSSAQRPNPVQLDKGLKELETLRFGAINCREYEPDHNLESNFITNPRTLLGKKPYICSDCGRSFKDRSTLIRHHRIHSMEKPYVCSECGRGFSQKSNLSRHQRTHSEEKPYLCRECGQSFRSKSILNRHQWTHSEEKPYVCSECGRGFSEKSSFIRHQRTHSGEKPYVCLECGRSFCDKSTLRKHQRIHSGEKPYVCRECGRGFSQNSDLIKHQRTHLDEKPYVCRECGRGFCDKSTLIIHERTHSGEKPYVCGECGRGFSRKSLLLVHQRTHSGEKHYVCRECRRGFSQKSNLIRHQRTHSNEKPYICRECGRGFCDKSTLIVHERTHSGEKPYVCSECGRGFSRKSLLLVHQRTHSGEKHYVCRECGRGFSHKSNLIRHQRTH
+>DECOY_sp|Q6P1L6|ZN343_HUMAN Zinc finger protein 343 OS=Homo sapiens OX=9606 GN=ZNF343 PE=1 SV=1
+HTRQHRILNSKHSFGRGCERCVYHKEGSHTRQHVLLLSKRSFGRGCESCVYPKEGSHTREHVILTSKDCFGRGCERCIYPKENSHTRQHRILNSKQSFGRRCERCVYHKEGSHTRQHVLLLSKRSFGRGCEGCVYPKEGSHTREHIILTSKDCFGRGCERCVYPKEDLHTRQHKILDSNQSFGRGCERCVYPKEGSHIRQHKRLTSKDCFSRGCELCVYPKEGSHTRQHRIFSSKESFGRGCESCVYPKEESHTWQHRNLISKSRFSQGCERCLYPKEESHTRQHRSLNSKQSFGRGCESCVYPKEMSHIRHHRILTSRDKFSRGCDSCIYPKKGLLTRPNTIFNSELNHDPEYERCNIAGFRLTELEKLGKDLQVPNPRQASSPETEVVMNGKRPSASQRQLPSPFARSTEREETRASWPKSGGGREQGEANEFWCSVHSYQQGQQKWHGPSSNGPHFHNEACLGLFIQLVHQSLFQQCSFALLCSSCTYIEPKPEALSLLNRYNELMVEKYLNRQEPSLRKWEAETFIVTVDRFTVPVVIQAKGEKKQPCDTDNSPLGKAKHNQTLKKMTEVNEGNKPLLIEEWYQDGLASPYPLMM
+>sp|Q9GZX5|ZN350_HUMAN Zinc finger protein 350 OS=Homo sapiens OX=9606 GN=ZNF350 PE=1 SV=3
+MIQAQESITLEDVAVDFTWEEWQLLGAAQKDLYRDVMLENYSNLVAVGYQASKPDALFKLEQGEQLWTIEDGIHSGACSDIWKVDHVLERLQSESLVNRRKPCHEHDAFENIVHCSKSQFLLGQNHDIFDLRGKSLKSNLTLVNQSKGYEIKNSVEFTGNGDSFLHANHERLHTAIKFPASQKLISTKSQFISPKHQKTRKLEKHHVCSECGKAFIKKSWLTDHQVMHTGEKPHRCSLCEKAFSRKFMLTEHQRTHTGEKPYECPECGKAFLKKSRLNIHQKTHTGEKPYICSECGKGFIQKGNLIVHQRIHTGEKPYICNECGKGFIQKTCLIAHQRFHTGKTPFVCSECGKSCSQKSGLIKHQRIHTGEKPFECSECGKAFSTKQKLIVHQRTHTGERPYGCNECGKAFAYMSCLVKHKRIHTREKQEAAKVENPPAERHSSLHTSDVMQEKNSANGATTQVPSVAPQTSLNISGLLANRNVVLVGQPVVRCAASGDNRGFAQDRNLVNAVNVVVPSVINYVLFYVTENP
+>DECOY_sp|Q9GZX5|ZN350_HUMAN Zinc finger protein 350 OS=Homo sapiens OX=9606 GN=ZNF350 PE=1 SV=3
+PNETVYFLVYNIVSPVVVNVANVLNRDQAFGRNDGSAACRVVPQGVLVVNRNALLGSINLSTQPAVSPVQTTAGNASNKEQMVDSTHLSSHREAPPNEVKAAEQKERTHIRKHKVLCSMYAFAKGCENCGYPREGTHTRQHVILKQKTSFAKGCESCEFPKEGTHIRQHKILGSKQSCSKGCESCVFPTKGTHFRQHAILCTKQIFGKGCENCIYPKEGTHIRQHVILNGKQIFGKGCESCIYPKEGTHTKQHINLRSKKLFAKGCEPCEYPKEGTHTRQHETLMFKRSFAKECLSCRHPKEGTHMVQHDTLWSKKIFAKGCESCVHHKELKRTKQHKPSIFQSKTSILKQSAPFKIATHLREHNAHLFSDGNGTFEVSNKIEYGKSQNVLTLNSKLSKGRLDFIDHNQGLLFQSKSCHVINEFADHEHCPKRRNVLSESQLRELVHDVKWIDSCAGSHIGDEITWLQEGQELKFLADPKSAQYGVAVLNSYNELMVDRYLDKQAAGLLQWEEWTFDVAVDELTISEQAQIM
+>sp|Q96PM5|ZN363_HUMAN RING finger and CHY zinc finger domain-containing protein 1 OS=Homo sapiens OX=9606 GN=RCHY1 PE=1 SV=1
+MAATAREDGASGQERGQRGCEHYDRGCLLKAPCCDKLYTCRLCHDNNEDHQLDRFKVKEVQCINCEKIQHAQQTCEECSTLFGEYYCDICHLFDKDKKQYHCENCGICRIGPKEDFFHCLKCNLCLAMNLQGRHKCIENVSRQNCPICLEDIHTSRVVAHVLPCGHLLHRTCYEEMLKEGYRCPLCMHSALDMTRYWRQLDDEVAQTPMPSEYQNMTVDILCNDCNGRSTVQFHILGMKCKICESYNTAQAGGRRISLDQQ
+>DECOY_sp|Q96PM5|ZN363_HUMAN RING finger and CHY zinc finger domain-containing protein 1 OS=Homo sapiens OX=9606 GN=RCHY1 PE=1 SV=1
+QQDLSIRRGGAQATNYSECIKCKMGLIHFQVTSRGNCDNCLIDVTMNQYESPMPTQAVEDDLQRWYRTMDLASHMCLPCRYGEKLMEEYCTRHLLHGCPLVHAVVRSTHIDELCIPCNQRSVNEICKHRGQLNMALCLNCKLCHFFDEKPGIRCIGCNECHYQKKDKDFLHCIDCYYEGFLTSCEECTQQAHQIKECNICQVEKVKFRDLQHDENNDHCLRCTYLKDCCPAKLLCGRDYHECGRQGREQGSAGDERATAAM
+>sp|Q96SR6|ZN382_HUMAN Zinc finger protein 382 OS=Homo sapiens OX=9606 GN=ZNF382 PE=2 SV=3
+MPLQGSVSFKDVTVDFTQEEWQQLDPAQKALYRDVMLENYCHFVSVGFHMAKPDMIRKLEQGEELWTQRIFPSYSYLEEDGKTEDVLVKFKEYQDRHSRPLIFINHKKLIKERSNIYGKTFTLGKNRISKTILCEYKPDGKVLKNISELVIRNISPIKEKFGDSTGWEKSLLNTKHEKIHPAVNLHKQTERVLSGKQELIQHQKVQAPEQPFDHNECEKSFLMKGMLFTHTRAHRGERTFEYNKDGIAFIEKSSLSVHPSNLMEKKPSAYNKYGKFLCRKPVFIMPQRPQTEEKPFHCPYCGNNFRRKSYLIEHQRIHTGEKPYVCNQCGKAFRQKTALTLHEKTHIEGKPFICIDCGKSFRQKATLTRHHKTHTGEKAYECPQCGSAFRKKSYLIDHQRTHTGEKPYQCNECGKAFIQKTTLTVHQRTHTGEKPYICNECGKSFCQKTTLTLHQRIHTGEKPYICNECGKSFRQKAILTVHHRIHTGEKSNGCPQCGKAFSRKSNLIRHQKTHTGEKPYECKQCGKFFSCKSNLIVHQKTHKVETTGIQ
+>DECOY_sp|Q96SR6|ZN382_HUMAN Zinc finger protein 382 OS=Homo sapiens OX=9606 GN=ZNF382 PE=2 SV=3
+QIGTTEVKHTKQHVILNSKCSFFKGCQKCEYPKEGTHTKQHRILNSKRSFAKGCQPCGNSKEGTHIRHHVTLIAKQRFSKGCENCIYPKEGTHIRQHLTLTTKQCFSKGCENCIYPKEGTHTRQHVTLTTKQIFAKGCENCQYPKEGTHTRQHDILYSKKRFASGCQPCEYAKEGTHTKHHRTLTAKQRFSKGCDICIFPKGEIHTKEHLTLATKQRFAKGCQNCVYPKEGTHIRQHEILYSKRRFNNGCYPCHFPKEETQPRQPMIFVPKRCLFKGYKNYASPKKEMLNSPHVSLSSKEIFAIGDKNYEFTREGRHARTHTFLMGKMLFSKECENHDFPQEPAQVKQHQILEQKGSLVRETQKHLNVAPHIKEHKTNLLSKEWGTSDGFKEKIPSINRIVLESINKLVKGDPKYECLITKSIRNKGLTFTKGYINSREKILKKHNIFILPRSHRDQYEKFKVLVDETKGDEELYSYSPFIRQTWLEEGQELKRIMDPKAMHFGVSVFHCYNELMVDRYLAKQAPDLQQWEEQTFDVTVDKFSVSGQLPM
+>sp|Q96N95|ZN396_HUMAN Zinc finger protein 396 OS=Homo sapiens OX=9606 GN=ZNF396 PE=1 SV=2
+MSAKLGKSSSLLTQTSEECNGILTEKMEEEEQTCDPDSSLHWSSSYSPETFRQQFRQFGYQDSPGPHEALSRLWELCHLWLRPEVHTKEQILELLVLEQFLAILPKELQAWVQKHHPENGEETVTMLEDVERELDGPKQIFFGRRKDMIAEKLAPSEITEELPSSQLMPVKKQLQGASWELQSLRPHDEDIKTTNVKSASRQKTSLGIELHCNVSNILHMNGSQSSTYRGTYEQDGRFEKRQGNPSWKKQQKCDECGKIFSQSSALILHQRIHSGKKPYACDECAKAFSRSAILIQHRRTHTGEKPYKCHDCGKAFSQSSNLFRHRKRHIRKKVP
+>DECOY_sp|Q96N95|ZN396_HUMAN Zinc finger protein 396 OS=Homo sapiens OX=9606 GN=ZNF396 PE=1 SV=2
+PVKKRIHRKRHRFLNSSQSFAKGCDHCKYPKEGTHTRRHQILIASRSFAKACEDCAYPKKGSHIRQHLILASSQSFIKGCEDCKQQKKWSPNGQRKEFRGDQEYTGRYTSSQSGNMHLINSVNCHLEIGLSTKQRSASKVNTTKIDEDHPRLSQLEWSAGQLQKKVPMLQSSPLEETIESPALKEAIMDKRRGFFIQKPGDLEREVDELMTVTEEGNEPHHKQVWAQLEKPLIALFQELVLLELIQEKTHVEPRLWLHCLEWLRSLAEHPGPSDQYGFQRFQQRFTEPSYSSSWHLSSDPDCTQEEEEMKETLIGNCEESTQTLLSSSKGLKASM
+>sp|Q8TD17|ZN398_HUMAN Zinc finger protein 398 OS=Homo sapiens OX=9606 GN=ZNF398 PE=1 SV=1
+MAEAAPAPTSEWDSECLTSLQPLPLPTPPAANEAHLQTAAISLWTVVAAVQAIERKVEIHSRRLLHLEGRTGTAEKKLASCEKTVTELGNQLEGKWAVLGTLLQEYGLLQRRLENLENLLRNRNFWILRLPPGIKGDIPKVPVAFDDVSIYFSTPEWEKLEEWQKELYKNIMKGNYESLISMDYAINQPDVLSQIQPEGEHNTEDQAGPEESEIPTDPSEEPGISTSDILSWIKQEEEPQVGAPPESKESDVYKSTYADEELVIKAEGLARSSLCPEVPVPFSSPPAAAKDAFSDVAFKSQQSTSMTPFGRPATDLPEASEGQVTFTQLGSYPLPPPVGEQVFSCHHCGKNLSQDMLLTHQCSHATEHPLPCAQCPKHFTPQADLSSTSQDHASETPPTCPHCARTFTHPSRLTYHLRVHNSTERPFPCPDCPKRFADQARLTSHRRAHASERPFRCAQCGRSFSLKISLLLHQRGHAQERPFSCPQCGIDFNGHSALIRHQMIHTGERPYPCTDCSKSFMRKEHLLNHRRLHTGERPFSCPHCGKSFIRKHHLMKHQRIHTGERPYPCSYCGRSFRYKQTLKDHLRSGHNGGCGGDSDPSGQPPNPPGPLITGLETSGLGVNTEGLETNQWYGEGSGGGVL
+>DECOY_sp|Q8TD17|ZN398_HUMAN Zinc finger protein 398 OS=Homo sapiens OX=9606 GN=ZNF398 PE=1 SV=1
+LVGGGSGEGYWQNTELGETNVGLGSTELGTILPGPPNPPQGSPDSDGGCGGNHGSRLHDKLTQKYRFSRGCYSCPYPREGTHIRQHKMLHHKRIFSKGCHPCSFPREGTHLRRHNLLHEKRMFSKSCDTCPYPREGTHIMQHRILASHGNFDIGCQPCSFPREQAHGRQHLLLSIKLSFSRGCQACRFPRESAHARRHSTLRAQDAFRKPCDPCPFPRETSNHVRLHYTLRSPHTFTRACHPCTPPTESAHDQSTSSLDAQPTFHKPCQACPLPHETAHSCQHTLLMDQSLNKGCHHCSFVQEGVPPPLPYSGLQTFTVQGESAEPLDTAPRGFPTMSTSQQSKFAVDSFADKAAAPPSSFPVPVEPCLSSRALGEAKIVLEEDAYTSKYVDSEKSEPPAGVQPEEEQKIWSLIDSTSIGPEESPDTPIESEEPGAQDETNHEGEPQIQSLVDPQNIAYDMSILSEYNGKMINKYLEKQWEELKEWEPTSFYISVDDFAVPVKPIDGKIGPPLRLIWFNRNRLLNELNELRRQLLGYEQLLTGLVAWKGELQNGLETVTKECSALKKEATGTRGELHLLRRSHIEVKREIAQVAAVVTWLSIAATQLHAENAAPPTPLPLPQLSTLCESDWESTPAPAAEAM
+>sp|Q96HQ0|ZN419_HUMAN Zinc finger protein 419 OS=Homo sapiens OX=9606 GN=ZNF419 PE=1 SV=2
+MAAAALRDPAQVPVAADLLTDHEEGYVTFEDVAVYFSQEEWRLLDDAQRLLYRNVMLENFTLLASLGLASSKTHEITQLESWEEPFMPAWEVVTSAIPRGCWHGAEAEEAPEQIASVGLLSSNIQQHQKQHCGEKPLKRQEGRVPVLRSCKVHLSEKSLQSREVGKALLISSGVLKHQVTHTGEKSHRSSKSREAFHAGKRHYKCSECGKAFGQKYLLVQHQRLHAGKKTYECSECGKLFRDMSNLFIHQIVHTGERPYGCSNCGKSFSRNAHLIEHQRVHTGEKPFTCSECGKAFRHNSTLVQHHKIHTGVRPYECSECGKLFSFNSSLMKHQRIHTGERPYKCSECGKFYSHKSNLIKHWRVHTGERPYKCSDCGKFFTQCSSLMQHQKVHTGEKPFKCNECGRFFRENSTLVRHQRVHTGAKPYECRECGKFFSQSSTLMQHRKVHIGEKPFKCNECGRLFRENSSLVKHQRVHTGAKPYECRECGKFFRHNSSLFKHRRIHTGEMQ
+>DECOY_sp|Q96HQ0|ZN419_HUMAN Zinc finger protein 419 OS=Homo sapiens OX=9606 GN=ZNF419 PE=1 SV=2
+QMEGTHIRRHKFLSSNHRFFKGCERCEYPKAGTHVRQHKVLSSNERFLRGCENCKFPKEGIHVKRHQMLTSSQSFFKGCERCEYPKAGTHVRQHRVLTSNERFFRGCENCKFPKEGTHVKQHQMLSSCQTFFKGCDSCKYPREGTHVRWHKILNSKHSYFKGCESCKYPREGTHIRQHKMLSSNFSFLKGCESCEYPRVGTHIKHHQVLTSNHRFAKGCESCTFPKEGTHVRQHEILHANRSFSKGCNSCGYPREGTHVIQHIFLNSMDRFLKGCESCEYTKKGAHLRQHQVLLYKQGFAKGCESCKYHRKGAHFAERSKSSRHSKEGTHTVQHKLVGSSILLAKGVERSQLSKESLHVKCSRLVPVRGEQRKLPKEGCHQKQHQQINSSLLGVSAIQEPAEEAEAGHWCGRPIASTVVEWAPMFPEEWSELQTIEHTKSSALGLSALLTFNELMVNRYLLRQADDLLRWEEQSFYVAVDEFTVYGEEHDTLLDAAVPVQAPDRLAAAAM
+>sp|Q8TBZ5|ZN502_HUMAN Zinc finger protein 502 OS=Homo sapiens OX=9606 GN=ZNF502 PE=1 SV=1
+MLNMQGAEERDIRRETCPGWVNKNKPALEQDVCKIDSSGIVVKRFQEDEYQDSTFEEKYACEGMKENSPREIAESCLFQEGGFGRITFIHKEAPPEIISQGYNFEKSLLLTSSLVTRLRVSTEESLHQWETSNIQTNDISDQSKCPTLCTQKKSWKCNECGKTFTQSSSLTQHQRTHTGERPYTCEECGKAFSRSSFLVQHQRIHTGVKPYGCEQCGKTFRCRSFLTQHQRIHTGEKPYKCNECGNSFRNHSHLTEHQRIHTGEKPYKCNRCGKAFNQNTHLIHHQRIHTGEKPYICSECGSSFRKHSNLTQHQRIHTGEKPHKCDECGKTFQTKANLSQHQRIHSGEKPYKCKECGKAFCQSPSLIKHQRIHTGEKPYKCKECGKAFTQSTPLTKHQRIHTGERPYKCSECGKAFIQSICLIRHQRSHTGEKPYKCNECGKGFNQNTCLTQHMRIHTGEKPYKCKECGKAFAHSSSLTEHHRTHTGEKLYKCSECEKTFRKYAHLSEHYRIHTGEKPYECIECGKFFRHSSVLFRHQKLHSGD
+>DECOY_sp|Q8TBZ5|ZN502_HUMAN Zinc finger protein 502 OS=Homo sapiens OX=9606 GN=ZNF502 PE=1 SV=1
+DGSHLKQHRFLVSSHRFFKGCEICEYPKEGTHIRYHESLHAYKRFTKECESCKYLKEGTHTRHHETLSSSHAFAKGCEKCKYPKEGTHIRMHQTLCTNQNFGKGCENCKYPKEGTHSRQHRILCISQIFAKGCESCKYPREGTHIRQHKTLPTSQTFAKGCEKCKYPKEGTHIRQHKILSPSQCFAKGCEKCKYPKEGSHIRQHQSLNAKTQFTKGCEDCKHPKEGTHIRQHQTLNSHKRFSSGCESCIYPKEGTHIRQHHILHTNQNFAKGCRNCKYPKEGTHIRQHETLHSHNRFSNGCENCKYPKEGTHIRQHQTLFSRCRFTKGCQECGYPKVGTHIRQHQVLFSSRSFAKGCEECTYPREGTHTRQHQTLSSSQTFTKGCENCKWSKKQTCLTPCKSQDSIDNTQINSTEWQHLSEETSVRLRTVLSSTLLLSKEFNYGQSIIEPPAEKHIFTIRGFGGEQFLCSEAIERPSNEKMGECAYKEEFTSDQYEDEQFRKVVIGSSDIKCVDQELAPKNKNVWGPCTERRIDREEAGQMNLM
+>sp|Q5JVG8|ZN506_HUMAN Zinc finger protein 506 OS=Homo sapiens OX=9606 GN=ZNF506 PE=2 SV=2
+MGPLQFRDVAIEFSLEEWHCLDAAQRNLYRDVMLENYRNLIFLGIVVSKPNLITCLEQGKKPLTMKRHEMIAKPPVMYSHFAQDLWSEQSIKDSFQKVILRRYEKCRHDNLQLKKGCESVDECPVHKRGYNGLKQCLATTQRKIFQCDEYVKFLHKFSNSNKHKIRDTGKKSFKCIEYGKTFNQSSTRTTYKKIDAGEKRYKCEECGKAYKQSSHLTTHKKIHTGEKPYKCEECGKAYKQSCNLTTHKIIHTGEKPYRCRECGKAFNHPATLFSHKKIHTGEKPYKCDKCGKAFISSSTLTKHEIIHTGEKPYKCEECGKAFNRSSNLTKHKRIHTGDVPYKCDECGKTFTWYSSLSKHKRAHTGEKPYKCEECGKAFTAFSTLTEHKIIHTGEKPYKCEECGKAFNWSSALNKHKKIHIRQKPCIVKNVENLLNVPQPLISIR
+>DECOY_sp|Q5JVG8|ZN506_HUMAN Zinc finger protein 506 OS=Homo sapiens OX=9606 GN=ZNF506 PE=2 SV=2
+RISILPQPVNLLNEVNKVICPKQRIHIKKHKNLASSWNFAKGCEECKYPKEGTHIIKHETLTSFATFAKGCEECKYPKEGTHARKHKSLSSYWTFTKGCEDCKYPVDGTHIRKHKTLNSSRNFAKGCEECKYPKEGTHIIEHKTLTSSSIFAKGCKDCKYPKEGTHIKKHSFLTAPHNFAKGCERCRYPKEGTHIIKHTTLNCSQKYAKGCEECKYPKEGTHIKKHTTLHSSQKYAKGCEECKYRKEGADIKKYTTRTSSQNFTKGYEICKFSKKGTDRIKHKNSNSFKHLFKVYEDCQFIKRQTTALCQKLGNYGRKHVPCEDVSECGKKLQLNDHRCKEYRRLIVKQFSDKISQESWLDQAFHSYMVPPKAIMEHRKMTLPKKGQELCTILNPKSVVIGLFILNRYNELMVDRYLNRQAADLCHWEELSFEIAVDRFQLPGM
+>sp|Q8N8E2|ZN513_HUMAN Zinc finger protein 513 OS=Homo sapiens OX=9606 GN=ZNF513 PE=1 SV=2
+MPRRKQSHPQPVKCEGVKVDTEDSLDEGPGALVLESDLLLGQDLEFEEEEEEEEGDGNSDQLMGFERDSEGDSLGARPGLPYGLSDDESGGGRALSAESEVEEPARGPGEARGERPGPACQLCGGPTGEGPCCGAGGPGGGPLLPPRLLYSCRLCTFVSHYSSHLKRHMQTHSGEKPFRCGRCPYASAQLVNLTRHTRTHTGEKPYRCPHCPFACSSLGNLRRHQRTHAGPPTPPCPTCGFRCCTPRPARPPSPTEQEGAVPRRPEDALLLPDLSLHVPPGGASFLPDCGQLRGEGEGLCGTGSEPLPELLFPWTCRGCGQELEEGEGSRLGAAMCGRCMRGEAGGGASGGPQGPSDKGFACSLCPFATHYPNHLARHMKTHSGEKPFRCARCPYASAHLDNLKRHQRVHTGEKPYKCPLCPYACGNLANLKRHGRIHSGDKPFRCSLCNYSCNQSMNLKRHMLRHTGEKPFRCATCAYTTGHWDNYKRHQKVHGHGGAGGPGLSASEGWAPPHSPPSVLSSRGPPALGTAGSRAVHTDSS
+>DECOY_sp|Q8N8E2|ZN513_HUMAN Zinc finger protein 513 OS=Homo sapiens OX=9606 GN=ZNF513 PE=1 SV=2
+SSDTHVARSGATGLAPPGRSSLVSPPSHPPAWGESASLGPGGAGGHGHVKQHRKYNDWHGTTYACTACRFPKEGTHRLMHRKLNMSQNCSYNCLSCRFPKDGSHIRGHRKLNALNGCAYPCLPCKYPKEGTHVRQHRKLNDLHASAYPCRACRFPKEGSHTKMHRALHNPYHTAFPCLSCAFGKDSPGQPGGSAGGGAEGRMCRGCMAAGLRSGEGEELEQGCGRCTWPFLLEPLPESGTGCLGEGEGRLQGCDPLFSAGGPPVHLSLDPLLLADEPRRPVAGEQETPSPPRAPRPTCCRFGCTPCPPTPPGAHTRQHRRLNGLSSCAFPCHPCRYPKEGTHTRTHRTLNVLQASAYPCRGCRFPKEGSHTQMHRKLHSSYHSVFTCLRCSYLLRPPLLPGGGPGGAGCCPGEGTPGGCLQCAPGPREGRAEGPGRAPEEVESEASLARGGGSEDDSLGYPLGPRAGLSDGESDREFGMLQDSNGDGEEEEEEEEFELDQGLLLDSELVLAGPGEDLSDETDVKVGECKVPQPHSQKRRPM
+>sp|Q8TB69|ZN519_HUMAN Zinc finger protein 519 OS=Homo sapiens OX=9606 GN=ZNF519 PE=2 SV=2
+MELLTFRDVAIEFSPEEWKCLDPAQQNLYRDVMLENYRNLVSLAVYSYYNQGILPEQGIQDSFKKATLGRYGSCGLENICLWKNWESIGEGEGQKECYNLCSQYLTTSHNKHLTVKGDKEYRIFQKKPQFLSAAPTEPCIPMNKYQHKFLKSVFCNKNQINFNHDSNISKHHSTHFLENYYNCNECEKVFYQSSKLIFPENIHIQKKPYNSNECGETSDPFSKLTQHQRIYIGESSQRCNKKCIIVFSQSHLKGHKIINTGEKSVKYKERGKAFTRGLHLGHQKIHTGEKPYKCKKCDKAFNKSSHLAQHQRIHTGEKPFKCKECGKAFNRGSYLTQHQRIHTGERAFKCEECGKAFNRGSYLTQHQRIHTGEKPFRCKECGKAFNRSSYVTQHQRMHTGEKPFKCKECGKAFNRASHLTQHQRIHTGEKHFKCKECGKAFNRGSHLTRHQRIHTGEKSFKCEECGKAFIWGSHLTQHQRVHTGEKFFKCKECGKAFTRSSHLTQHQRIHTGEKPFKCKECGKAFNRRSTLTQHQIIHTR
+>DECOY_sp|Q8TB69|ZN519_HUMAN Zinc finger protein 519 OS=Homo sapiens OX=9606 GN=ZNF519 PE=2 SV=2
+RTHIIQHQTLTSRRNFAKGCEKCKFPKEGTHIRQHQTLHSSRTFAKGCEKCKFFKEGTHVRQHQTLHSGWIFAKGCEECKFSKEGTHIRQHRTLHSGRNFAKGCEKCKFHKEGTHIRQHQTLHSARNFAKGCEKCKFPKEGTHMRQHQTVYSSRNFAKGCEKCRFPKEGTHIRQHQTLYSGRNFAKGCEECKFAREGTHIRQHQTLYSGRNFAKGCEKCKFPKEGTHIRQHQALHSSKNFAKDCKKCKYPKEGTHIKQHGLHLGRTFAKGREKYKVSKEGTNIIKHGKLHSQSFVIICKKNCRQSSEGIYIRQHQTLKSFPDSTEGCENSNYPKKQIHINEPFILKSSQYFVKECENCNYYNELFHTSHHKSINSDHNFNIQNKNCFVSKLFKHQYKNMPICPETPAASLFQPKKQFIRYEKDGKVTLHKNHSTTLYQSCLNYCEKQGEGEGISEWNKWLCINELGCSGYRGLTAKKFSDQIGQEPLIGQNYYSYVALSVLNRYNELMVDRYLNQQAPDLCKWEEPSFEIAVDRFTLLEM
+>sp|Q96K83|ZN521_HUMAN Zinc finger protein 521 OS=Homo sapiens OX=9606 GN=ZNF521 PE=1 SV=1
+MSRRKQAKPRSLKDPNCKLEDKTEDGEALDCKKRPEDGEELEDEAVHSCDSCLQVFESLSDITEHKINQCQLTDGVDVEDDPTCSWPASSPSSKDQTSPSHGEGCDFGEEEGGPGLPYPCQFCDKSFSRLSYLKHHEQSHSDKLPFKCTYCSRLFKHKRSRDRHIKLHTGDKKYHCSECDAAFSRSDHLKIHLKTHTSNKPYKCAICRRGFLSSSSLHGHMQVHERNKDGSQSGSRMEDWKMKDTQKCSQCEEGFDFPEDLQKHIAECHPECSPNEDRAALQCVYCHELFVEETSLMNHMEQVHSGEKKNSCSICSESFHTVEELYSHMDSHQQPESCNHSNSPSLVTVGYTSVSSTTPDSNLSVDSSTMVEAAPPIPKSRGRKRAAQQTPDMTGPSSKQAKVTYSCIYCNKQLFSSLAVLQIHLKTMHLDKPEQAHICQYCLEVLPSLYNLNEHLKQVHEAQDPGLIVSAMPAIVYQCNFCSEVVNDLNTLQEHIRCSHGFANPAAKDSNAFFCPHCYMGFLTDSSLEEHIRQVHCDLSGSRFGSPVLGTPKEPVVEVYSCSYCTNSPIFNSVLKLNKHIKENHKNIPLALNYIHNGKKSRALSPLSPVAIEQTSLKMMQAVGGAPARPTGEYICNQCGAKYTSLDSFQTHLKTHLDTVLPKLTCPQCNKEFPNQESLLKHVTIHFMITSTYYICESCDKQFTSVDDLQKHLLDMHTFVFFRCTLCQEVFDSKVSIQLHLAVKHSNEKKVYRCTSCNWDFRNETDLQLHVKHNHLENQGKVHKCIFCGESFGTEVELQCHITTHSKKYNCKFCSKAFHAIILLEKHLREKHCVFETKTPNCGTNGASEQVQKEEVELQTLLTNSQESHNSHDGSEEDVDTSEPMYGCDICGAAYTMETLLQNHQLRDHNIRPGESAIVKKKAELIKGNYKCNVCSRTFFSENGLREHMQTHLGPVKHYMCPICGERFPSLLTLTEHKVTHSKSLDTGNCRICKMPLQSEEEFLEHCQMHPDLRNSLTGFRCVVCMQTVTSTLELKIHGTFHMQKTGNGSAVQTTGRGQHVQKLYKCASCLKEFRSKQDLVKLDINGLPYGLCAGCVNLSKSASPGINVPPGTNRPGLGQNENLSAIEGKGKVGGLKTRCSSCNVKFESESELQNHIQTIHRELVPDSNSTQLKTPQVSPMPRISPSQSDEKKTYQCIKCQMVFYNEWDIQVHVANHMIDEGLNHECKLCSQTFDSPAKLQCHLIEHSFEGMGGTFKCPVCFTVFVQANKLQQHIFSAHGQEDKIYDCTQCPQKFFFQTELQNHTMTQHSS
+>DECOY_sp|Q96K83|ZN521_HUMAN Zinc finger protein 521 OS=Homo sapiens OX=9606 GN=ZNF521 PE=1 SV=1
+SSHQTMTHNQLETQFFFKQPCQTCDYIKDEQGHASFIHQQLKNAQVFVTFCVPCKFTGGMGEFSHEILHCQLKAPSDFTQSCLKCEHNLGEDIMHNAVHVQIDWENYFVMQCKICQYTKKEDSQSPSIRPMPSVQPTKLQTSNSDPVLERHITQIHNQLESESEFKVNCSSCRTKLGGVKGKGEIASLNENQGLGPRNTGPPVNIGPSASKSLNVCGACLGYPLGNIDLKVLDQKSRFEKLCSACKYLKQVHQGRGTTQVASGNGTKQMHFTGHIKLELTSTVTQMCVVCRFGTLSNRLDPHMQCHELFEEESQLPMKCIRCNGTDLSKSHTVKHETLTLLSPFREGCIPCMYHKVPGLHTQMHERLGNESFFTRSCVNCKYNGKILEAKKKVIASEGPRINHDRLQHNQLLTEMTYAAGCIDCGYMPESTDVDEESGDHSNHSEQSNTLLTQLEVEEKQVQESAGNTGCNPTKTEFVCHKERLHKELLIIAHFAKSCFKCNYKKSHTTIHCQLEVETGFSEGCFICKHVKGQNELHNHKVHLQLDTENRFDWNCSTCRYVKKENSHKVALHLQISVKSDFVEQCLTCRFFVFTHMDLLHKQLDDVSTFQKDCSECIYYTSTIMFHITVHKLLSEQNPFEKNCQPCTLKPLVTDLHTKLHTQFSDLSTYKAGCQNCIYEGTPRAPAGGVAQMMKLSTQEIAVPSLPSLARSKKGNHIYNLALPINKHNEKIHKNLKLVSNFIPSNTCYSCSYVEVVPEKPTGLVPSGFRSGSLDCHVQRIHEELSSDTLFGMYCHPCFFANSDKAAPNAFGHSCRIHEQLTNLDNVVESCFNCQYVIAPMASVILGPDQAEHVQKLHENLNYLSPLVELCYQCIHAQEPKDLHMTKLHIQLVALSSFLQKNCYICSYTVKAQKSSPGTMDPTQQAARKRGRSKPIPPAAEVMTSSDVSLNSDPTTSSVSTYGVTVLSPSNSHNCSEPQQHSDMHSYLEEVTHFSESCISCSNKKEGSHVQEMHNMLSTEEVFLEHCYVCQLAARDENPSCEPHCEAIHKQLDEPFDFGEECQSCKQTDKMKWDEMRSGSQSGDKNREHVQMHGHLSSSSLFGRRCIACKYPKNSTHTKLHIKLHDSRSFAADCESCHYKKDGTHLKIHRDRSRKHKFLRSCYTCKFPLKDSHSQEHHKLYSLRSFSKDCFQCPYPLGPGGEEEGFDCGEGHSPSTQDKSSPSSAPWSCTPDDEVDVGDTLQCQNIKHETIDSLSEFVQLCSDCSHVAEDELEEGDEPRKKCDLAEGDETKDELKCNPDKLSRPKAQKRRSM
+>sp|Q96NG5|ZN558_HUMAN Zinc finger protein 558 OS=Homo sapiens OX=9606 GN=ZNF558 PE=1 SV=1
+MAAVILPSTAAPSSLFPASQQKGHTQGGELVNELLTSWLRGLVTFEDVAVEFTQEEWALLDPAQRTLYRDVMLENCRNLASLGCRVNKPSLISQLEQDKKVVTEERGILPSTCPDLETLLKAKWLTPKKNVFRKEQSKGVKTERSHRGVKLNECNQCFKVFSTKSNLTQHKRIHTGEKPYDCSQCGKSFSSRSYLTIHKRIHNGEKPYECNHCGKAFSDPSSLRLHLRIHTGEKPYECNQCFHVFRTSCNLKSHKRIHTGENHHECNQCGKAFSTRSSLTGHNSIHTGEKPYECHDCGKTFRKSSYLTQHVRTHTGEKPYECNECGKSFSSSFSLTVHKRIHTGEKPYECSDCGKAFNNLSAVKKHLRTHTGEKPYECNHCGKSFTSNSYLSVHKRIHNRWI
+>DECOY_sp|Q96NG5|ZN558_HUMAN Zinc finger protein 558 OS=Homo sapiens OX=9606 GN=ZNF558 PE=1 SV=1
+IWRNHIRKHVSLYSNSTFSKGCHNCEYPKEGTHTRLHKKVASLNNFAKGCDSCEYPKEGTHIRKHVTLSFSSSFSKGCENCEYPKEGTHTRVHQTLYSSKRFTKGCDHCEYPKEGTHISNHGTLSSRTSFAKGCQNCEHHNEGTHIRKHSKLNCSTRFVHFCQNCEYPKEGTHIRLHLRLSSPDSFAKGCHNCEYPKEGNHIRKHITLYSRSSFSKGCQSCDYPKEGTHIRKHQTLNSKTSFVKFCQNCENLKVGRHSRETKVGKSQEKRFVNKKPTLWKAKLLTELDPCTSPLIGREETVVKKDQELQSILSPKNVRCGLSALNRCNELMVDRYLTRQAPDLLAWEEQTFEVAVDEFTVLGRLWSTLLENVLEGGQTHGKQQSAPFLSSPAATSPLIVAAM
+>sp|Q96MR9|ZN560_HUMAN Zinc finger protein 560 OS=Homo sapiens OX=9606 GN=ZNF560 PE=2 SV=2
+MAYCLTNCYQYSVTFEDTAVDFTQEEWILLDPVQRNLYRDVMLENYENVAKVGFQLFKPSVISWLEEEELRTLQQGVLQDWAIKHQTSVSALQQEFWKIQTSNGIQMDLVTFDSVAVEFTQEEWTLLDPAQRNLYSDVMLENYKNLSSVGYQLFKPSLISWLEEEEELSTLPRVLQEWKMCLKTKGPALWQDNFCLKTLNGIQLARNQNGEELYDCKQCEDVFCKHPCLKTNMSTQNRGNTSECIQYAKDLLSLYNKTSTIRKVSVFSKHGKSFRLILNVQVQRKCTQDKSFEGTDYGKAFIYQSYLEAHRKTQSGEKLNEWKQCGEAFTHSTSHAVNVETHIIKNPYECKECGKDFRYPTHLNNHMQTHIGIKPYKCKHCGKTFTVPSGFLEHVRTHTGEKPYGCKECGKAFGTSAGLIEHIRCHAREKTFKCDHCGKAFISYPSLFGHLRVHNGEKPYEHKEYGKAFGTSSGVIEDRRSNTGQKRFDCDQCGKVFVSFSSLFAHLRTHTGEKPFKCYKCGKPFTSSACLRIHMRTHTEERLYQCKKCGKAFTKCSYLTKHLRTHAGEKPYECMKCGKAFTERSYLTKHLRRHSGEKPYECKKCGKAFTERSDLTKHLRRHTGDKPYEYKDCGKAFVVSSSLVDHLRTHTGYKPYKCNACEKAYSRSCVLTQHLKTHAAEKTSECNACGNSFRNSMCFHDRLKTLTKIKPYKCKDCGKAFTCHSDLTNHVRIHTGEKPYKCKECGKAFRTSSGRIQHLRTHMGEKPFECDQCGKAFASFSARIAHLKTH
+>DECOY_sp|Q96MR9|ZN560_HUMAN Zinc finger protein 560 OS=Homo sapiens OX=9606 GN=ZNF560 PE=2 SV=2
+HTKLHAIRASFSAFAKGCQDCEFPKEGMHTRLHQIRGSSTRFAKGCEKCKYPKEGTHIRVHNTLDSHCTFAKGCDKCKYPKIKTLTKLRDHFCMSNRFSNGCANCESTKEAAHTKLHQTLVCSRSYAKECANCKYPKYGTHTRLHDVLSSSVVFAKGCDKYEYPKDGTHRRLHKTLDSRETFAKGCKKCEYPKEGSHRRLHKTLYSRETFAKGCKMCEYPKEGAHTRLHKTLYSCKTFAKGCKKCQYLREETHTRMHIRLCASSTFPKGCKYCKFPKEGTHTRLHAFLSSFSVFVKGCQDCDFRKQGTNSRRDEIVGSSTGFAKGYEKHEYPKEGNHVRLHGFLSPYSIFAKGCHDCKFTKERAHCRIHEILGASTGFAKGCEKCGYPKEGTHTRVHELFGSPVTFTKGCHKCKYPKIGIHTQMHNNLHTPYRFDKGCEKCEYPNKIIHTEVNVAHSTSHTFAEGCQKWENLKEGSQTKRHAELYSQYIFAKGYDTGEFSKDQTCKRQVQVNLILRFSKGHKSFVSVKRITSTKNYLSLLDKAYQICESTNGRNQTSMNTKLCPHKCFVDECQKCDYLEEGNQNRALQIGNLTKLCFNDQWLAPGKTKLCMKWEQLVRPLTSLEEEEELWSILSPKFLQYGVSSLNKYNELMVDSYLNRQAPDLLTWEEQTFEVAVSDFTVLDMQIGNSTQIKWFEQQLASVSTQHKIAWDQLVGQQLTRLEEEELWSIVSPKFLQFGVKAVNEYNELMVDRYLNRQVPDLLIWEEQTFDVATDEFTVSYQYCNTLCYAM
+>sp|Q969W8|ZN566_HUMAN Zinc finger protein 566 OS=Homo sapiens OX=9606 GN=ZNF566 PE=1 SV=1
+MAQESVMFSDVSVDFSQEEWECLNDDQRDLYRDVMLENYSNLVSMGHSISKPNVISYLEQGKEPWLADRELTRGQWPVLESRCETKKLFLKKEIYEIESTQWEIMEKLTRRDFQCSSFRDDWECNRQFKKELGSQGGHFNQLVFTHEDLPTLSHHPSFTLQQIINSKKKFCASKEYRKTFRHGSQFATHEIIHTIEKPYECKECGKSFRHPSRLTHHQKIHTGKKPFECKECGKTFICGSDLTRHHRIHTGEKPYECKECGKAFSSGSNFTRHQRIHTGEKPYECKECGKAFSSGSNFTQHQRIHTGEKPYECKECGNAFSQSSQLIKHQRIHTGEKPYECKECEKAFRSGSDLTRHQRIHTGEKPYECKICGKAYSQSSQLISHHRIHTSEKPYEYRECGKNFNYDPQLIQHQNLYW
+>DECOY_sp|Q969W8|ZN566_HUMAN Zinc finger protein 566 OS=Homo sapiens OX=9606 GN=ZNF566 PE=1 SV=1
+WYLNQHQILQPDYNFNKGCERYEYPKESTHIRHHSILQSSQSYAKGCIKCEYPKEGTHIRQHRTLDSGSRFAKECEKCEYPKEGTHIRQHKILQSSQSFANGCEKCEYPKEGTHIRQHQTFNSGSSFAKGCEKCEYPKEGTHIRQHRTFNSGSSFAKGCEKCEYPKEGTHIRHHRTLDSGCIFTKGCEKCEFPKKGTHIKQHHTLRSPHRFSKGCEKCEYPKEITHIIEHTAFQSGHRFTKRYEKSACFKKKSNIIQQLTFSPHHSLTPLDEHTFVLQNFHGGQSGLEKKFQRNCEWDDRFSSCQFDRRTLKEMIEWQTSEIEYIEKKLFLKKTECRSELVPWQGRTLERDALWPEKGQELYSIVNPKSISHGMSVLNSYNELMVDRYLDRQDDNLCEWEEQSFDVSVDSFMVSEQAM
+>sp|Q5MCW4|ZN569_HUMAN Zinc finger protein 569 OS=Homo sapiens OX=9606 GN=ZNF569 PE=1 SV=1
+MTESQGTVTFKDVAIDFTQEEWKRLDPAQRKLYRNVMLENYNNLITVGYPFTKPDVIFKLEQEEEPWVMEEEVLRRHWQGEIWGVDEHQKNQDRLLRQVEVKFQKTLTEEKGNECQKKFANVFPLNSDFFPSRHNLYEYDLFGKCLEHNFDCHNNVKCLMRKEHCEYNEPVKSYGNSSSHFVITPFKCNHCGKGFNQTLDLIRHLRIHTGEKPYECSNCRKAFSHKEKLIKHYKIHSREQSYKCNECGKAFIKMSNLIRHQRIHTGEKPYACKECEKSFSQKSNLIDHEKIHTGEKPYECNECGKAFSQKQSLIAHQKVHTGEKPYACNECGKAFPRIASLALHMRSHTGEKPYKCDKCGKAFSQFSMLIIHVRIHTGEKPYECNECGKAFSQSSALTVHMRSHTGEKPYECKECRKAFSHKKNFITHQKIHTREKPYECNECGKAFIQMSNLVRHQRIHTGEKPYICKECGKAFSQKSNLIAHEKIHSGEKPYECNECGKAFSQKQNFITHQKVHTGEKPYDCNECGKAFSQIASLTLHLRSHTGEKPYECDKCGKAFSQCSLLNLHMRSHTGEKPYVCNECGKAFSQRTSLIVHMRGHTGEKPYECNKCGKAFSQSSSLTIHIRGHTGEKPFDCSKCGKAFSQISSLTLHMRKHTGEKPYHCIECGKAFSQKSHLVRHQRIHTH
+>DECOY_sp|Q5MCW4|ZN569_HUMAN Zinc finger protein 569 OS=Homo sapiens OX=9606 GN=ZNF569 PE=1 SV=1
+HTHIRQHRVLHSKQSFAKGCEICHYPKEGTHKRMHLTLSSIQSFAKGCKSCDFPKEGTHGRIHITLSSSQSFAKGCKNCEYPKEGTHGRMHVILSTRQSFAKGCENCVYPKEGTHSRMHLNLLSCQSFAKGCKDCEYPKEGTHSRLHLTLSAIQSFAKGCENCDYPKEGTHVKQHTIFNQKQSFAKGCENCEYPKEGSHIKEHAILNSKQSFAKGCEKCIYPKEGTHIRQHRVLNSMQIFAKGCENCEYPKERTHIKQHTIFNKKHSFAKRCEKCEYPKEGTHSRMHVTLASSQSFAKGCENCEYPKEGTHIRVHIILMSFQSFAKGCKDCKYPKEGTHSRMHLALSAIRPFAKGCENCAYPKEGTHVKQHAILSQKQSFAKGCENCEYPKEGTHIKEHDILNSKQSFSKECEKCAYPKEGTHIRQHRILNSMKIFAKGCENCKYSQERSHIKYHKILKEKHSFAKRCNSCEYPKEGTHIRLHRILDLTQNFGKGCHNCKFPTIVFHSSSNGYSKVPENYECHEKRMLCKVNNHCDFNHELCKGFLDYEYLNHRSPFFDSNLPFVNAFKKQCENGKEETLTKQFKVEVQRLLRDQNKQHEDVGWIEGQWHRRLVEEEMVWPEEEQELKFIVDPKTFPYGVTILNNYNELMVNRYLKRQAPDLRKWEEQTFDIAVDKFTVTGQSETM
+>sp|Q6ZN55|ZN574_HUMAN Zinc finger protein 574 OS=Homo sapiens OX=9606 GN=ZNF574 PE=1 SV=2
+MTEESEETVLYIEHRYVCSECNQLYGSLEEVLMHQNSHVPQQHFELVGVADPGVTVATDTASGTGLYQTLVQESQYQCLECGQLLMSPSQLLEHQELHLKMMAPQEAVPAEPSPKAPPLSSSTIHYECVDCKALFASQELWLNHRQTHLRATPTKAPAPVVLGSPVVLGPPVGQARVAVEHSYRKAEEGGEGATVPSAAATTTEVVTEVELLLYKCSECSQLFQLPADFLEHQATHFPAPVPESQEPALQQEVQASSPAEVPVSQPDPLPASDHSYELRNGEAIGRDRRGRRARRNNSGEAGGAATQELFCSACDQLFLSPHQLQQHLRSHREGVFKCPLCSRVFPSPSSLDQHLGDHSSESHFLCVDCGLAFGTEALLLAHRRAHTPNPLHSCPCGKTFVNLTKFLYHRRTHGVGGVPLPTTPVPPEEPVIGFPEPAPAETGEPEAPEPPVSEETSAGPAAPGTYRCLLCSREFGKALQLTRHQRFVHRLERRHKCSICGKMFKKKSHVRNHLRTHTGERPFPCPDCSKPFNSPANLARHRLTHTGERPYRCGDCGKAFTQSSTLRQHRLVHAQHFPYRCQECGVRFHRPYRLLMHRYHHTGEYPYKCRECPRSFLLRRLLEVHQLVVHAGRQPHRCPSCGAAFPSSLRLREHRCAAAAAQAPRRFECGTCGKKVGSAARLQAHEAAHAAAGPGEVLAKEPPAPRAPRATRAPVASPAALGSTATASPAAPARRRGLECSECKKLFSTETSLQVHRRIHTGERPYPCPDCGKAFRQSTHLKDHRRLHTGERPFACEVCGKAFAISMRLAEHRRIHTGERPYSCPDCGKSYRSFSNLWKHRKTHQQQHQAAVRQQLAEAEAAVGLAVMETAVEALPLVEAIEIYPLAEAEGVQISG
+>DECOY_sp|Q6ZN55|ZN574_HUMAN Zinc finger protein 574 OS=Homo sapiens OX=9606 GN=ZNF574 PE=1 SV=2
+GSIQVGEAEALPYIEIAEVLPLAEVATEMVALGVAAEAEALQQRVAAQHQQQHTKRHKWLNSFSRYSKGCDPCSYPREGTHIRRHEALRMSIAFAKGCVECAFPREGTHLRRHDKLHTSQRFAKGCDPCPYPREGTHIRRHVQLSTETSFLKKCESCELGRRRAPAAPSATATSGLAAPSAVPARTARPARPAPPEKALVEGPGAAAHAAEHAQLRAASGVKKGCTGCEFRRPAQAAAAACRHERLRLSSPFAAGCSPCRHPQRGAHVVLQHVELLRRLLFSRPCERCKYPYEGTHHYRHMLLRYPRHFRVGCEQCRYPFHQAHVLRHQRLTSSQTFAKGCDGCRYPREGTHTLRHRALNAPSNFPKSCDPCPFPREGTHTRLHNRVHSKKKFMKGCISCKHRRELRHVFRQHRTLQLAKGFERSCLLCRYTGPAAPGASTEESVPPEPAEPEGTEAPAPEPFGIVPEEPPVPTTPLPVGGVGHTRRHYLFKTLNVFTKGCPCSHLPNPTHARRHALLLAETGFALGCDVCLFHSESSHDGLHQDLSSPSPFVRSCLPCKFVGERHSRLHQQLQHPSLFLQDCASCFLEQTAAGGAEGSNNRRARRGRRDRGIAEGNRLEYSHDSAPLPDPQSVPVEAPSSAQVEQQLAPEQSEPVPAPFHTAQHELFDAPLQFLQSCESCKYLLLEVETVVETTTAAASPVTAGEGGEEAKRYSHEVAVRAQGVPPGLVVPSGLVVPAPAKTPTARLHTQRHNLWLEQSAFLAKCDVCEYHITSSSLPPAKPSPEAPVAEQPAMMKLHLEQHELLQSPSMLLQGCELCQYQSEQVLTQYLGTGSATDTAVTVGPDAVGVLEFHQQPVHSNQHMLVEELSGYLQNCESCVYRHEIYLVTEESEETM
+>sp|Q86XF7|ZN575_HUMAN Zinc finger protein 575 OS=Homo sapiens OX=9606 GN=ZNF575 PE=1 SV=1
+MLERGAESAAGATDPSPTGKEPVTKEAPHQGPPQKPSQSAPGPTASAGSPPRPRRRPPPQRPHRCPDCDKAFSYPSKLATHRLAHGGARPHPCPDCPKAFSYPSKLAAHRLTHSGARPHPCPHCPKSFGHRSKLAAHLWTHAPTRPYPCPDCPKSFCYPSKLAAHRHTHHATDARPYPCPHCPKAFSFPSKLAAHRLCHDPPTAPGSQATAWHRCSSCGQAFGQRRLLLLHQRSHHQVEHKGERD
+>DECOY_sp|Q86XF7|ZN575_HUMAN Zinc finger protein 575 OS=Homo sapiens OX=9606 GN=ZNF575 PE=1 SV=1
+DREGKHEVQHHSRQHLLLLRRQGFAQGCSSCRHWATAQSGPATPPDHCLRHAALKSPFSFAKPCHPCPYPRADTAHHTHRHAALKSPYCFSKPCDPCPYPRTPAHTWLHAALKSRHGFSKPCHPCPHPRAGSHTLRHAALKSPYSFAKPCDPCPHPRAGGHALRHTALKSPYSFAKDCDPCRHPRQPPPRRRPRPPSGASATPGPASQSPKQPPGQHPAEKTVPEKGTPSPDTAGAASEAGRELM
+>sp|Q96N58|ZN578_HUMAN Zinc finger protein 578 OS=Homo sapiens OX=9606 GN=ZNF578 PE=1 SV=2
+MLHEEAAQKRKGKEPGMALPQGRLTFRDVAIEFSLAEWKFLNPAQRALYREVMLENYRNLEAVDISSKRMMKEVLSTGQGNTEVIHTGMLQRHESYHTGDFCFQEIEKDIHDFEFQSQKDERNGHEASMPKIKELMGSTDRHDQRHAGNKPIKDQLGLSFHLHLPELHIFQPEEKIANQVEKSVNDASSISTSQRISCRPETHTPNNYGNNFFHSSLLTQKQEVHMREKSFQCNETGEAFNCSSFVRKHQIIHLGEKQYKFDICGKVFNEKRYLARHRRCHTSEKPYKCNECGKSFSYKSSLTCHRRCHTGEKPYKCNECGKSFSYKSSLTCHHRCHTGEKPYKCNECGKSFSYKSSLRCHRRLHTGIKPYKCNECGKMFGQNSTLVIHKAIHTGEKPYKCNECGKAFNQQSHLSRHHRLHTGEKPYKCNDCGKAFIHQSSLARHHRLHTGEKSYKCEECDRVFSQKSNLERHKIIHTGEKPYKCNECHKTFSHRSSLPCHRRLHSGEKPYKCNECGKTFNVQSHLSRHHRLHTGEKPYKCKVCDKAFMCHSYLANHTRIHSGEKPYKCNECGKAHNHLIDSSIKPCMSS
+>DECOY_sp|Q96N58|ZN578_HUMAN Zinc finger protein 578 OS=Homo sapiens OX=9606 GN=ZNF578 PE=1 SV=2
+SSMCPKISSDILHNHAKGCENCKYPKEGSHIRTHNALYSHCMFAKDCVKCKYPKEGTHLRHHRSLHSQVNFTKGCENCKYPKEGSHLRRHCPLSSRHSFTKHCENCKYPKEGTHIIKHRELNSKQSFVRDCEECKYSKEGTHLRHHRALSSQHIFAKGCDNCKYPKEGTHLRHHRSLHSQQNFAKGCENCKYPKEGTHIAKHIVLTSNQGFMKGCENCKYPKIGTHLRRHCRLSSKYSFSKGCENCKYPKEGTHCRHHCTLSSKYSFSKGCENCKYPKEGTHCRRHCTLSSKYSFSKGCENCKYPKESTHCRRHRALYRKENFVKGCIDFKYQKEGLHIIQHKRVFSSCNFAEGTENCQFSKERMHVEQKQTLLSSHFFNNGYNNPTHTEPRCSIRQSTSISSADNVSKEVQNAIKEEPQFIHLEPLHLHFSLGLQDKIPKNGAHRQDHRDTSGMLEKIKPMSAEHGNREDKQSQFEFDHIDKEIEQFCFDGTHYSEHRQLMGTHIVETNGQGTSLVEKMMRKSSIDVAELNRYNELMVERYLARQAPNLFKWEALSFEIAVDRFTLRGQPLAMGPEKGKRKQAAEEHLM
+>sp|Q9UK33|ZN580_HUMAN Zinc finger protein 580 OS=Homo sapiens OX=9606 GN=ZNF580 PE=1 SV=1
+MLLLPPRPPHPRSSSPEAMDPPPPKAPPFPKAEGPSSTPSSAAGPRPPRLGRHLLIDANGVPYTYTVQLEEEPRGPPQREAPPGEPGPRKGYSCPECARVFASPLRLQSHRVSHSDLKPFTCGACGKAFKRSSHLSRHRATHRARAGPPHTCPLCPRRFQDAAELAQHVRLH
+>DECOY_sp|Q9UK33|ZN580_HUMAN Zinc finger protein 580 OS=Homo sapiens OX=9606 GN=ZNF580 PE=1 SV=1
+HLRVHQALEAADQFRRPCLPCTHPPGARARHTARHRSLHSSRKFAKGCAGCTFPKLDSHSVRHSQLRLPSAFVRACEPCSYGKRPGPEGPPAERQPPGRPEEELQVTYTYPVGNADILLHRGLRPPRPGAASSPTSSPGEAKPFPPAKPPPPDMAEPSSSRPHPPRPPLLLM
+>sp|Q8IYB9|ZN595_HUMAN Zinc finger protein 595 OS=Homo sapiens OX=9606 GN=ZNF595 PE=2 SV=2
+MELVTFRDVAIEFSPEEWKCLDPAQQNLYRDVMLENYRNLVSLGFVISNPDLVTCLEQIKEPCNLKIHETAAKPPAICSPFSQDLSPVQGIEDSFHKLILKRYEKCGHENLQLRKGCKRVNECKVQKGVNNGVYQCLSTTQSKIFQCNTCVKVFSKFSNSNKHKIRHTGEKPFKCTECGRSFYMSHLTQHTGIHAGEKPYKCEKCGKAFNRSTSLSKHKRIHTGEKPYTCEECGKAFRRSTVLNEHKKIHTGEKPYKCEECGKAFTRSTTLNEHKKIHTGEKPYKCKECGKAFRWSTSLNEHKNIHTGEKPYKCKECGKAFRQSRSLNEHKNIHTGEKPYTCEKCGKAFNQSSSLIIHRSIHSEQKLYKCEECGKAFTWSSSLNKHKRIHTGEKPYTCEECGKAFYRSSHLAKHKRIHTGEKPYTCEECGKAFNQSSTLILHKRIHSGQKPYKCEECGKAFTRSTTLNEHKKIHTGEKPYKCEECGKAFIWSASLNEHKNIHTGEKPYKCKECGKAFNQSSGLIIHRSIHSEQKLYKCEECGKAFTRSTALNEHKKIHSGEKPYKCKECGKAYNLSSTLTKHKRIHTGEKPFTCEECGKAFNWSSSLTKHKIIHTGEKSYKCEECGKAFNRPSTLTVHKRIHTGKEHS
+>DECOY_sp|Q8IYB9|ZN595_HUMAN Zinc finger protein 595 OS=Homo sapiens OX=9606 GN=ZNF595 PE=2 SV=2
+SHEKGTHIRKHVTLTSPRNFAKGCEECKYSKEGTHIIKHKTLSSSWNFAKGCEECTFPKEGTHIRKHKTLTSSLNYAKGCEKCKYPKEGSHIKKHENLATSRTFAKGCEECKYLKQESHISRHIILGSSQNFAKGCEKCKYPKEGTHINKHENLSASWIFAKGCEECKYPKEGTHIKKHENLTTSRTFAKGCEECKYPKQGSHIRKHLILTSSQNFAKGCEECTYPKEGTHIRKHKALHSSRYFAKGCEECTYPKEGTHIRKHKNLSSSWTFAKGCEECKYLKQESHISRHIILSSSQNFAKGCKECTYPKEGTHINKHENLSRSQRFAKGCEKCKYPKEGTHINKHENLSTSWRFAKGCEKCKYPKEGTHIKKHENLTTSRTFAKGCEECKYPKEGTHIKKHENLVTSRRFAKGCEECTYPKEGTHIRKHKSLSTSRNFAKGCKECKYPKEGAHIGTHQTLHSMYFSRGCETCKFPKEGTHRIKHKNSNSFKSFVKVCTNCQFIKSQTTSLCQYVGNNVGKQVKCENVRKCGKRLQLNEHGCKEYRKLILKHFSDEIGQVPSLDQSFPSCIAPPKAATEHIKLNCPEKIQELCTVLDPNSIVFGLSVLNRYNELMVDRYLNQQAPDLCKWEEPSFEIAVDRFTVLEM
+>sp|Q8N823|ZN611_HUMAN Zinc finger protein 611 OS=Homo sapiens OX=9606 GN=ZNF611 PE=1 SV=2
+MLREEAAQKRKGKEPGMALPQGRLTFRDVAIEFSLAEWKCLNPSQRALYREVMLENYRNLEAVDISSKCMMKEVLSTGQGNTEVIHTGTLQRHESHHIGDFCFQEIEKEIHDIEFQCQEDERNGLEAPMTKIKKLTGSTDQHDHRHAGNKPIKDQLGSSFYSHLPELHIFQIKGEIGNQLEKSTNDAPSVSTFQRISCRPQTQISNNYGNNPLNSSLLPQKQEVHMREKSFQCNKSGKAFNCSSLLRKHQIPHLGDKQYKCDVCGKLFNHEQYLACHDRCHTVEKPYKCKECGKTFSQESSLTCHRRLHTGVKRYNCNECGKIFGQNSALLIDKAIDTGENPYKCNECDKAFNQQSQLSHHRIHTGEKPYKCEECDKVFSRKSTIETHKRIHTGEKPYRCKVCDTAFTWHSQLARHRRIHTAKKTYKCNECGKTFSHKSSLVCHHRLHGGEKSYKCKVCDKAFVWSSQLAKHTRIDCGEKPYKCNECGKTFGQNSDLLIHKSIHTGEQPYKCDECEKVFSRKSSLETHKIGHTGEKPYKCKVCDKAFACHSYLAKHTRIHSGEKPYKCNECSKTFSHRSYLVCHHRVHSGEKPYKCNECSKTFSRRSSLHCHRRLHSGEKPYKCNECGNTFRHCSSLIYHRRLHTGEKSYKCTICDKAFVRNSLLSRHTRIHTAEKPYKCNECGKAFNQQSHLSRHHRIHTGEKP
+>DECOY_sp|Q8N823|ZN611_HUMAN Zinc finger protein 611 OS=Homo sapiens OX=9606 GN=ZNF611 PE=1 SV=2
+PKEGTHIRHHRSLHSQQNFAKGCENCKYPKEATHIRTHRSLLSNRVFAKDCITCKYSKEGTHLRRHYILSSCHRFTNGCENCKYPKEGSHLRRHCHLSSRRSFTKSCENCKYPKEGSHVRHHCVLYSRHSFTKSCENCKYPKEGSHIRTHKALYSHCAFAKDCVKCKYPKEGTHGIKHTELSSKRSFVKECEDCKYPQEGTHISKHILLDSNQGFTKGCENCKYPKEGCDIRTHKALQSSWVFAKDCVKCKYSKEGGHLRHHCVLSSKHSFTKGCENCKYTKKATHIRRHRALQSHWTFATDCVKCRYPKEGTHIRKHTEITSKRSFVKDCEECKYPKEGTHIRHHSLQSQQNFAKDCENCKYPNEGTDIAKDILLASNQGFIKGCENCNYRKVGTHLRRHCTLSSEQSFTKGCEKCKYPKEVTHCRDHCALYQEHNFLKGCVDCKYQKDGLHPIQHKRLLSSCNFAKGSKNCQFSKERMHVEQKQPLLSSNLPNNGYNNSIQTQPRCSIRQFTSVSPADNTSKELQNGIEGKIQFIHLEPLHSYFSSGLQDKIPKNGAHRHDHQDTSGTLKKIKTMPAELGNREDEQCQFEIDHIEKEIEQFCFDGIHHSEHRQLTGTHIVETNGQGTSLVEKMMCKSSIDVAELNRYNELMVERYLARQSPNLCKWEALSFEIAVDRFTLRGQPLAMGPEKGKRKQAAEERLM
+>sp|Q8N8J6|ZN615_HUMAN Zinc finger protein 615 OS=Homo sapiens OX=9606 GN=ZNF615 PE=2 SV=2
+MMQAQESLTLEDVAVDFTWEEWQFLSPAQKDLYRDVMLENYSNLVAVGYQASKPDALSKLERGEETCTTEDEIYSRICSEIRKIDDPLQHHLQNQSIQKSVKQCHEQNMFGNIVNQNKGHFLLKQDCDTFDLHEKPLKSNLSFENQKRSSGLKNSAEFNRDGKSLFHANHKQFYTEMKFPAIAKPINKSQFIKQQRTHNIENAHVCSECGKAFLKLSQFIDHQRVHTGEKPHVCSMCGKAFSRKSRLMDHQRTHTELKHYECTECDKTFLKKSQLNIHQKTHMGGKPYTCSQCGKAFIKKCRLIYHQRTHTGEKPHGCSVCGKAFSTKFSLTTHQKTHTGEKPYICSECGKGFIEKRRLTAHHRTHTGEKPFICNKCGKGFTLKNSLITHQQTHTGEKLYTCSECGKGFSMKHCLMVHQRTHTGEKPYKCNECGKGFALKSPLIRHQRTHTGEKPYVCTECRKGFTMKSDLIVHQRTHTAEKPYICNDCGKGFTVKSRLIVHQRTHTGEKPYVCGECGKGFPAKIRLMGHQRTHTGEKPYICNECGKGFTEKSHLNVHRRTHTGEKPYVCSECGKGLTGKSMLIAHQRTHTGEKPYICNECGKGFTMKSTLSIHQQTHTGEKPYKCNECDKTFRKKTCLIQHQRFHTGKTSFACTECGKFSLRKNDLITHQRIHTGEKPYKCSDCGKAFTTKSGLNVHQRKHTGERPYGCSDCGKAFAHLSILVKHRRIHR
+>DECOY_sp|Q8N8J6|ZN615_HUMAN Zinc finger protein 615 OS=Homo sapiens OX=9606 GN=ZNF615 PE=2 SV=2
+RHIRRHKVLISLHAFAKGCDSCGYPREGTHKRQHVNLGSKTTFAKGCDSCKYPKEGTHIRQHTILDNKRLSFKGCETCAFSTKGTHFRQHQILCTKKRFTKDCENCKYPKEGTHTQQHISLTSKMTFGKGCENCIYPKEGTHTRQHAILMSKGTLGKGCESCVYPKEGTHTRRHVNLHSKETFGKGCENCIYPKEGTHTRQHGMLRIKAPFGKGCEGCVYPKEGTHTRQHVILRSKVTFGKGCDNCIYPKEATHTRQHVILDSKMTFGKRCETCVYPKEGTHTRQHRILPSKLAFGKGCENCKYPKEGTHTRQHVMLCHKMSFGKGCESCTYLKEGTHTQQHTILSNKLTFGKGCKNCIFPKEGTHTRHHATLRRKEIFGKGCESCIYPKEGTHTKQHTTLSFKTSFAKGCVSCGHPKEGTHTRQHYILRCKKIFAKGCQSCTYPKGGMHTKQHINLQSKKLFTKDCETCEYHKLETHTRQHDMLRSKRSFAKGCMSCVHPKEGTHVRQHDIFQSLKLFAKGCESCVHANEINHTRQQKIFQSKNIPKAIAPFKMETYFQKHNAHFLSKGDRNFEASNKLGSSRKQNEFSLNSKLPKEHLDFTDCDQKLLFHGKNQNVINGFMNQEHCQKVSKQISQNQLHHQLPDDIKRIESCIRSYIEDETTCTEEGRELKSLADPKSAQYGVAVLNSYNELMVDRYLDKQAPSLFQWEEWTFDVAVDELTLSEQAQMM
+>sp|Q5T7W0|ZN618_HUMAN Zinc finger protein 618 OS=Homo sapiens OX=9606 GN=ZNF618 PE=1 SV=1
+MNQPGGAAAPQADGASAAGRKSTASRERLKRSQKSTKVEGPEPVPAEASLSAEQGTMTEVKVKTELPDDYIQEVIWQGEAKEEKKAVSKDGTSDVPAEICVVIGGVRNQQTLDGKAPEGSPHGGSVRSRYSGTWIFDQALRYASGSYECGICGKKYKYYNCFQTHVRAHRDTEATSGEGASQSNNFRYTCDICGKKYKYYSCFQEHRDLHAVDVFSVEGAPENRADPFDQGVVATDEVKEEPPEPFQKIGPKTGNYTCEFCGKQYKYYTPYQEHVALHAPISTAPGWEPPDDPDTGSECSHPEVSPSPRFVAAKTQTNQSGKKAPASVVRCATLLHRTPPATQTQTFRTPNSGSPASKATAAESAFSRRVEGKAQNHFEETNSSSQNSSEPYTCGACGIQFQFYNNLLEHMQSHAADNENNIASNQSRSPPAVVEEKWKPQAQRNSANNTTTSGLTPNSMIPEKERQNIAERLLRVMCADLGALSVVSGKEFLKLAQTLVDSGARYGAFSVTEILGNFNTLALKHLPRMYNQVKVKVTCALGSNACLGIGVTCHSQSVGPDSCYILTAYQAEGNHIKSYVLGVKGADIRDSGDLVHHWVQNVLSEFVMSEIRTVYVTDCRVSTSAFSKAGMCLRCSACALNSVVQSVLSKRTLQARSMHEVIELLNVCEDLAGSTGLAKETFGSLEETSPPPCWNSVTDSLLLVHERYEQICEFYSRAKKMNLIQSLNKHLLSNLAAILTPVKQAVIELSNESQPTLQLVLPTYVRLEKLFTAKANDAGTVSKLCHLFLEALKENFKVHPAHKVAMILDPQQKLRPVPPYQHEEIIGKVCELINEVKESWAEEADFEPAAKKPRSAAVENPAAQEDDRLGKNEVYDYLQEPLFQATPDLFQYWSCVTQKHTKLAKLAFWLLAVPAVGARSGCVNMCEQALLIKRRRLLSPEDMNKLMFLKSNML
+>DECOY_sp|Q5T7W0|ZN618_HUMAN Zinc finger protein 618 OS=Homo sapiens OX=9606 GN=ZNF618 PE=1 SV=1
+LMNSKLFMLKNMDEPSLLRRRKILLAQECMNVCGSRAGVAPVALLWFALKALKTHKQTVCSWYQFLDPTAQFLPEQLYDYVENKGLRDDEQAAPNEVAASRPKKAAPEFDAEEAWSEKVENILECVKGIIEEHQYPPVPRLKQQPDLIMAVKHAPHVKFNEKLAELFLHCLKSVTGADNAKATFLKELRVYTPLVLQLTPQSENSLEIVAQKVPTLIAALNSLLHKNLSQILNMKKARSYFECIQEYREHVLLLSDTVSNWCPPPSTEELSGFTEKALGTSGALDECVNLLEIVEHMSRAQLTRKSLVSQVVSNLACASCRLCMGAKSFASTSVRCDTVYVTRIESMVFESLVNQVWHHVLDGSDRIDAGKVGLVYSKIHNGEAQYATLIYCSDPGVSQSHCTVGIGLCANSGLACTVKVKVQNYMRPLHKLALTNFNGLIETVSFAGYRAGSDVLTQALKLFEKGSVVSLAGLDACMVRLLREAINQREKEPIMSNPTLGSTTTNNASNRQAQPKWKEEVVAPPSRSQNSAINNENDAAHSQMHELLNNYFQFQIGCAGCTYPESSNQSSSNTEEFHNQAKGEVRRSFASEAATAKSAPSGSNPTRFTQTQTAPPTRHLLTACRVVSAPAKKGSQNTQTKAAVFRPSPSVEPHSCESGTDPDDPPEWGPATSIPAHLAVHEQYPTYYKYQKGCFECTYNGTKPGIKQFPEPPEEKVEDTAVVGQDFPDARNEPAGEVSFVDVAHLDRHEQFCSYYKYKKGCIDCTYRFNNSQSAGEGSTAETDRHARVHTQFCNYYKYKKGCIGCEYSGSAYRLAQDFIWTGSYRSRVSGGHPSGEPAKGDLTQQNRVGGIVVCIEAPVDSTGDKSVAKKEEKAEGQWIVEQIYDDPLETKVKVETMTGQEASLSAEAPVPEPGEVKTSKQSRKLRERSATSKRGAASAGDAQPAAAGGPQNM
+>sp|Q14966|ZN638_HUMAN Zinc finger protein 638 OS=Homo sapiens OX=9606 GN=ZNF638 PE=1 SV=2
+MSRPRFNPRGDFPLQRPRAPNPSGMRPPGPFMRPGSMGLPRFYPAGRARGIPHRFAGHESYQNMGPQRMNVQVTQHRTDPRLTKEKLDFHEAQQKKGKPHGSRWDDEPHISASVAVKQSSVTQVTEQSPKVQSRYTKESASSILASFGLSNEDLEELSRYPDEQLTPENMPLILRDIRMRKMGRRLPNLPSQSRNKETLGSEAVSSNVIDYGHASKYGYTEDPLEVRIYDPEIPTDEVENEFQSQQNISASVPNPNVICNSMFPVEDVFRQMDFPGESSNNRSFFSVESGTKMSGLHISGGQSVLEPIKSVNQSINQTVSQTMSQSLIPPSMNQQPFSSELISSVSQQERIPHEPVINSSNVHVGSRGSKKNYQSQADIPIRSPFGIVKASWLPKFSHADAQKMKRLPTPSMMNDYYAASPRIFPHLCSLCNVECSHLKDWIQHQNTSTHIESCRQLRQQYPDWNPEILPSRRNEGNRKENETPRRRSHSPSPRRSRRSSSSHRFRRSRSPMHYMYRPRSRSPRICHRFISRYRSRSRSRSPYRIRNPFRGSPKCFRSVSPERMSRRSVRSSDRKKALEDVVQRSGHGTEFNKQKHLEAADKGHSPAQKPKTSSGTKPSVKPTSATKSDSNLGGHSIRCKSKNLEDDTLSECKQVSDKAVSLQRKLRKEQSLHYGSVLLITELPEDGCTEEDVRKLFQPFGKVNDVLIVPYRKEAYLEMEFKEAITAIMKYIETTPLTIKGKSVKICVPGKKKAQNKEVKKKTLESKKVSASTLKRDADASKAVEIVTSTSAAKTGQAKASVAKVNKSTGKSASSVKSVVTVAVKGNKASIKTAKSGGKKSLEAKKTGNVKNKDSNKPVTIPENSEIKTSIEVKATENCAKEAISDAALEATENEPLNKETEEMCVMLVSNLPNKGYSVEEVYDLAKPFGGLKDILILSSHKKAYIEINRKAAESMVKFYTCFPVLMDGNQLSISMAPENMNIKDEEAIFITLVKENDPEANIDTIYDRFVHLDNLPEDGLQCVLCVGLQFGKVDHHVFISNRNKAILQLDSPESAQSMYSFLKQNPQNIGDHMLTCSLSPKIDLPEVQIEHDPELEKESPGLKNSPIDESEVQTATDSPSVKPNELEEESTPSIQTETLVQQEEPCEEEAEKATCDSDFAVETLELETQGEEVKEEIPLVASASVSIEQFTENAEECALNQQMFNSDLEKKGAEIINPKTALLPSDSVFAEERNLKGILEESPSEAEDFISGITQTMVEAVAEVEKNETVSEILPSTCIVTLVPGIPTGDEKTVDKKNISEKKGNMDEKEEKEFNTKETRMDLQIGTEKAEKNEGRMDAEKVEKMAAMKEKPAENTLFKAYPNKGVGQANKPDETSKTSILAVSDVSSSKPSIKAVIVSSPKAKATVSKTENQKSFPKSVPRDQINAEKKLSAKEFGLLKPTSARSGLAESSSKFKPTQSSLTRGGSGRISALQGKLSKLDYRDITKQSQETEARPSIMKRDDSNNKTLAEQNTKNPKSTTGRSSKSKEEPLFPFNLDEFVTVDEVIEEVNPSQAKQNPLKGKRKETLKNVPFSELNLKKKKGKTSTPRGVEGELSFVTLDEIGEEEDAAAHLAQALVTVDEVIDEEELNMEEMVKNSNSLFTLDELIDQDDCISHSEPKDVTVLSVAEEQDLLKQERLVTVDEIGEVEELPLNESADITFATLNTKGNEGDTVRDSIGFISSQVPEDPSTLVTVDEIQDDSSDLHLVTLDEVTEEDEDSLADFNNLKEELNFVTVDEVGEEEDGDNDLKVELAQSKNDHPTDKKGNRKKRAVDTKKTKLESLSQVGPVNENVMEEDLKTMIERHLTAKTPTKRVRIGKTLPSEKAVVTEPAKGEEAFQMSEVDEESGLKDSEPERKRKKTEDSSSGKSVASDVPEELDFLVPKAGFFCPICSLFYSGEKAMTNHCKSTRHKQNTEKFMAKQRKEKEQNEAEERSSR
+>DECOY_sp|Q14966|ZN638_HUMAN Zinc finger protein 638 OS=Homo sapiens OX=9606 GN=ZNF638 PE=1 SV=2
+RSSREEAENQEKEKRQKAMFKETNQKHRTSKCHNTMAKEGSYFLSCIPCFFGAKPVLFDLEEPVDSAVSKGSSSDETKKRKREPESDKLGSEEDVESMQFAEEGKAPETVVAKESPLTKGIRVRKTPTKATLHREIMTKLDEEMVNENVPGVQSLSELKTKKTDVARKKRNGKKDTPHDNKSQALEVKLDNDGDEEEGVEDVTVFNLEEKLNNFDALSDEDEETVEDLTVLHLDSSDDQIEDVTVLTSPDEPVQSSIFGISDRVTDGENGKTNLTAFTIDASENLPLEEVEGIEDVTVLREQKLLDQEEAVSLVTVDKPESHSICDDQDILEDLTFLSNSNKVMEEMNLEEEDIVEDVTVLAQALHAAADEEEGIEDLTVFSLEGEVGRPTSTKGKKKKLNLESFPVNKLTEKRKGKLPNQKAQSPNVEEIVEDVTVFEDLNFPFLPEEKSKSSRGTTSKPNKTNQEALTKNNSDDRKMISPRAETEQSQKTIDRYDLKSLKGQLASIRGSGGRTLSSQTPKFKSSSEALGSRASTPKLLGFEKASLKKEANIQDRPVSKPFSKQNETKSVTAKAKPSSVIVAKISPKSSSVDSVALISTKSTEDPKNAQGVGKNPYAKFLTNEAPKEKMAAMKEVKEADMRGENKEAKETGIQLDMRTEKTNFEKEEKEDMNGKKESINKKDVTKEDGTPIGPVLTVICTSPLIESVTENKEVEAVAEVMTQTIGSIFDEAESPSEELIGKLNREEAFVSDSPLLATKPNIIEAGKKELDSNFMQQNLACEEANETFQEISVSASAVLPIEEKVEEGQTELELTEVAFDSDCTAKEAEEECPEEQQVLTETQISPTSEEELENPKVSPSDTATQVESEDIPSNKLGPSEKELEPDHEIQVEPLDIKPSLSCTLMHDGINQPNQKLFSYMSQASEPSDLQLIAKNRNSIFVHHDVKGFQLGVCLVCQLGDEPLNDLHVFRDYITDINAEPDNEKVLTIFIAEEDKINMNEPAMSISLQNGDMLVPFCTYFKVMSEAAKRNIEIYAKKHSSLILIDKLGGFPKALDYVEEVSYGKNPLNSVLMVCMEETEKNLPENETAELAADSIAEKACNETAKVEISTKIESNEPITVPKNSDKNKVNGTKKAELSKKGGSKATKISAKNGKVAVTVVSKVSSASKGTSKNVKAVSAKAQGTKAASTSTVIEVAKSADADRKLTSASVKKSELTKKKVEKNQAKKKGPVCIKVSKGKITLPTTEIYKMIATIAEKFEMELYAEKRYPVILVDNVKGFPQFLKRVDEETCGDEPLETILLVSGYHLSQEKRLKRQLSVAKDSVQKCESLTDDELNKSKCRISHGGLNSDSKTASTPKVSPKTGSSTKPKQAPSHGKDAAELHKQKNFETGHGSRQVVDELAKKRDSSRVSRRSMREPSVSRFCKPSGRFPNRIRYPSRSRSRSRYRSIFRHCIRPSRSRPRYMYHMPSRSRRFRHSSSSRRSRRPSPSHSRRRPTENEKRNGENRRSPLIEPNWDPYQQRLQRCSEIHTSTNQHQIWDKLHSCEVNCLSCLHPFIRPSAAYYDNMMSPTPLRKMKQADAHSFKPLWSAKVIGFPSRIPIDAQSQYNKKSGRSGVHVNSSNIVPEHPIREQQSVSSILESSFPQQNMSPPILSQSMTQSVTQNISQNVSKIPELVSQGGSIHLGSMKTGSEVSFFSRNNSSEGPFDMQRFVDEVPFMSNCIVNPNPVSASINQQSQFENEVEDTPIEPDYIRVELPDETYGYKSAHGYDIVNSSVAESGLTEKNRSQSPLNPLRRGMKRMRIDRLILPMNEPTLQEDPYRSLEELDENSLGFSALISSASEKTYRSQVKPSQETVQTVSSQKVAVSASIHPEDDWRSGHPKGKKQQAEHFDLKEKTLRPDTRHQTVQVNMRQPGMNQYSEHGAFRHPIGRARGAPYFRPLGMSGPRMFPGPPRMGSPNPARPRQLPFDGRPNFRPRSM
+>sp|Q9H582|ZN644_HUMAN Zinc finger protein 644 OS=Homo sapiens OX=9606 GN=ZNF644 PE=1 SV=2
+MRSFLQQDVNKTKSRLNVLNGLANNMDDLKINTDITGAKEELLDDNNFISDKESGVHKPKDCQTSFQKNNTLTLPEELSKDKSENALSGGQSSLFIHAGAPTVSSENFILPKGAAVNGPVSHSSLTKTSNMNKGSVSLTTGQPVDQPTTESCSTLKVAADLQLSTPQKASQHQVLFLLSDVAHAKNPTHSNKKLPTSASVGCDIQNSVGSNIKSDGTLINQVEVGEDGEDLLVKDDCVNTVTGISSGTDGFRSENDTNWDPQKEFIQFLMTNEETVDKAPPHSKIGLEKKRKRKMDVSKITRYTEDCFSDSNCVPNKSKMQEVDFLEQNEELQAVDSQKYALSKVKPESTDEDLESVDAFQHLIYNPDKCGEESSPVHTSTFLSNTLKKKCEESDSESPATFSTEEPSFYPCTKCNVNFREKKHLHRHMMYHLDGNSHFRHLNVPRPYACRECGRTFRDRNSLLKHMIIHQERRQKLMEEIRELKELQDEGRSARLQCPQCVFGTNCPKTFVQHAKTHEKDKRYYCCEECNFMAVTENELECHRGIAHGAVVKCPMVTSDIAQRKTQKKTFMKDSVVGSSKKSATYICKMCPFTTSAKSVLKKHTEYLHSSSCVDSFGSPLGLDKRKNDILEEPVDSDSTKTLTKQQSTTFPKNSALKQDVKRTFGSTSQSSSFSKIHKRPHRIQKARKSIAQSGVNMCNQNSSPHKNVTIKSSVDQKPKYFHQAAKEKSNAKANSHYLYRHKYENYRMIKKSGESYPVHFKKEEASSLNSLHLFSSSSNSHNNFISDPHKPDAKRPESFKDHRRVAVKRVIKESKKESSVGGEDLDSYPDFLHKMTVVVLQKLNSAEKKDSYETEDESSWDNVELGDYTTQAIEDETYSDINQEHVNLFPLFKSKVEGQEPGENATLSYDQNDGFYFEYYEDTGSNNFLHEIHDPQHLETADASLSKHSSVFHWTDLSLEKKSCPYCPATFETGVGLSNHVRGHLHRAGLSYEARHVVSPEQIATSDKMQHFKRTGTGTPVKRVRKAIEKSETTSEHTCQLCGGWFDTKIGLSNHVRGHLKRLGKTKWDAHKSPICVLNEMMQNEEKYEKILKALNSRRIIPRPFVAQKLASSDDFISQNVIPLEAYRNGLKTEALSVSASEEEGLNFLNEYDETKPELPSGKKNQSLTLIELLKNKRMGEERNSAISPQKIHNQTARKRFVQKCVLPLNEDSPLMYQPQKMDLTMHSALDCKQKKSRSRSGSKKKMLTLPHGADEVYILRCRFCGLVFRGPLSVQEDWIKHLQRHIVNANLPRTGAGMVEVTSLLKKPASITETSFSLLMAEAAS
+>DECOY_sp|Q9H582|ZN644_HUMAN Zinc finger protein 644 OS=Homo sapiens OX=9606 GN=ZNF644 PE=1 SV=2
+SAAEAMLLSFSTETISAPKKLLSTVEVMGAGTRPLNANVIHRQLHKIWDEQVSLPGRFVLGCFRCRLIYVEDAGHPLTLMKKKSGSRSRSKKQKCDLASHMTLDMKQPQYMLPSDENLPLVCKQVFRKRATQNHIKQPSIASNREEGMRKNKLLEILTLSQNKKGSPLEPKTEDYENLFNLGEEESASVSLAETKLGNRYAELPIVNQSIFDDSSALKQAVFPRPIIRRSNLAKLIKEYKEENQMMENLVCIPSKHADWKTKGLRKLHGRVHNSLGIKTDFWGGCLQCTHESTTESKEIAKRVRKVPTGTGTRKFHQMKDSTAIQEPSVVHRAEYSLGARHLHGRVHNSLGVGTEFTAPCYPCSKKELSLDTWHFVSSHKSLSADATELHQPDHIEHLFNNSGTDEYYEFYFGDNQDYSLTANEGPEQGEVKSKFLPFLNVHEQNIDSYTEDEIAQTTYDGLEVNDWSSEDETEYSDKKEASNLKQLVVVTMKHLFDPYSDLDEGGVSSEKKSEKIVRKVAVRRHDKFSEPRKADPKHPDSIFNNHSNSSSSFLHLSNLSSAEEKKFHVPYSEGSKKIMRYNEYKHRYLYHSNAKANSKEKAAQHFYKPKQDVSSKITVNKHPSSNQNCMNVGSQAISKRAKQIRHPRKHIKSFSSSQSTSGFTRKVDQKLASNKPFTTSQQKTLTKTSDSDVPEELIDNKRKDLGLPSGFSDVCSSSHLYETHKKLVSKASTTFPCMKCIYTASKKSSGVVSDKMFTKKQTKRQAIDSTVMPCKVVAGHAIGRHCELENETVAMFNCEECCYYRKDKEHTKAHQVFTKPCNTGFVCQPCQLRASRGEDQLEKLERIEEMLKQRREQHIIMHKLLSNRDRFTRGCERCAYPRPVNLHRFHSNGDLHYMMHRHLHKKERFNVNCKTCPYFSPEETSFTAPSESDSEECKKKLTNSLFTSTHVPSSEEGCKDPNYILHQFADVSELDEDTSEPKVKSLAYKQSDVAQLEENQELFDVEQMKSKNPVCNSDSFCDETYRTIKSVDMKRKRKKELGIKSHPPAKDVTEENTMLFQIFEKQPDWNTDNESRFGDTGSSIGTVTNVCDDKVLLDEGDEGVEVQNILTGDSKINSGVSNQIDCGVSASTPLKKNSHTPNKAHAVDSLLFLVQHQSAKQPTSLQLDAAVKLTSCSETTPQDVPQGTTLSVSGKNMNSTKTLSSHSVPGNVAAGKPLIFNESSVTPAGAHIFLSSQGGSLANESKDKSLEEPLTLTNNKQFSTQCDKPKHVGSEKDSIFNNDDLLEEKAGTIDTNIKLDDMNNALGNLVNLRSKTKNVDQQLFSRM
+>sp|Q9BS31|ZN649_HUMAN Zinc finger protein 649 OS=Homo sapiens OX=9606 GN=ZNF649 PE=1 SV=1
+MTKAQESLTLEDVAVDFTWEEWQFLSPAQKDLYRDVMLENYSNLVSVGYQAGKPDALTKLEQGEPLWTLEDEIHSPAHPEIEKADDHLQQPLQNQKILKRTGQRYEHGRTLKSYLGLTNQSRRYNRKEPAEFNGDGAFLHDNHEQMPTEIEFPESRKPISTKSQFLKHQQTHNIEKAHECTDCGKAFLKKSQLTEHKRIHTGKKPHVCSLCGKAFYKKYRLTEHERAHRGEKPHGCSLCGKAFYKRYRLTEHERAHKGEKPYGCSECGKAFPRKSELTEHQRIHTGIKPHQCSECGRAFSRKSLLVVHQRTHTGEKPHTCSECGKGFIQKGNLNIHQRTHTGEKPYGCIDCGKAFSQKSCLVAHQRYHTGKTPFVCPECGQPCSQKSGLIRHQKIHSGEKPYKCSDCGKAFLTKTMLIVHHRTHTGERPYGCDECEKAYFYMSCLVKHKRIHSREKRGDSVKVENPSTASHSLSPSEHVQGKSPVNMVTVAMVAGQCEFAHILHS
+>DECOY_sp|Q9BS31|ZN649_HUMAN Zinc finger protein 649 OS=Homo sapiens OX=9606 GN=ZNF649 PE=1 SV=1
+SHLIHAFECQGAVMAVTVMNVPSKGQVHESPSLSHSATSPNEVKVSDGRKERSHIRKHKVLCSMYFYAKECEDCGYPREGTHTRHHVILMTKTLFAKGCDSCKYPKEGSHIKQHRILGSKQSCPQGCEPCVFPTKGTHYRQHAVLCSKQSFAKGCDICGYPKEGTHTRQHINLNGKQIFGKGCESCTHPKEGTHTRQHVVLLSKRSFARGCESCQHPKIGTHIRQHETLESKRPFAKGCESCGYPKEGKHAREHETLRYRKYFAKGCLSCGHPKEGRHAREHETLRYKKYFAKGCLSCVHPKKGTHIRKHETLQSKKLFAKGCDTCEHAKEINHTQQHKLFQSKTSIPKRSEPFEIETPMQEHNDHLFAGDGNFEAPEKRNYRRSQNTLGLYSKLTRGHEYRQGTRKLIKQNQLPQQLHDDAKEIEPHAPSHIEDELTWLPEGQELKTLADPKGAQYGVSVLNSYNELMVDRYLDKQAPSLFQWEEWTFDVAVDELTLSEQAKTM
+>sp|Q5TYW1|ZN658_HUMAN Zinc finger protein 658 OS=Homo sapiens OX=9606 GN=ZNF658 PE=1 SV=2
+MNMSQASVSFQDVTVEFTREEWQHLGPVERTLYRDVMLENYSHLISVGYCITKPKVISKLEKGEEPWSLEDEFLNQRYPGYFKVDHIKGIREKQEKPLWQEIFISDADKTLSKEGQKVLEKPFNLEIAPELSEKISCKCDSHRMNLPVASQLIISERKYSRKKTEYMNVCEKLQLDIKHEKAHAEEKSYEHGENAKAFSYKKDQHWKFQTLEESFECDGSGQGLYDKTICITPQSFLTGEKSCKDDEFRKNFDKITLFNHMRTDTRGKCSDLNEYGTSCDKTTAVEYNKVHMAMTHYECNERGINFSRKSPLTQSQRTITGWSAFESNKCEENFSQSSAHIVHQKTQAGDKFGEHNECTDALYQKLDFTAHQRIHTEDKFYLSDEHGKCRKSFYRKAHLIQHQRPHSGEKTYQYEECAKSFCSSSHPIQHPGTYVGFKLYECNECGKAFCQNSNLSKHLRIHTKEKPCDNNGCGRSYKSPLIGHQKTDAEMELCGGSEYGKTSHLKGHQRILMGEKPYECIECGKTFSKTSHLRAHQRIHTGEKPYECVECEKTFSHKTHLSVHQRVHTGEKPYECNDCGKSFTYNSALRAHQRIHTGEKPYECSDCEKTFAHNSALRAHHRIHTGEKPYECNECGRSFAHISVLKAHQRIHTGEKPYECNECGRSFTYNSALRAHQRIHTGRKPYECSDCEKTFAHNSALKIHQRIHTGEKPYECNECEKTFAHNSALRAHQNIHTGEKLYECSECGKTFFQKTRLSTHRRIHTGEKPYECSKCGKTFSQKSYLSGHERIHTGEKPYECNVCGKTFVYKAALIVHQRIHTGEKPYECNQCGKTFSQRTHLCAHQRIHTGEKPYECNECGKTFADNSALRAHHRIHTGEKPYECNDCGKTFSKTSHLRAHLRTRSGEKPYECSECGKTFSEKSYVSAHQRVHTGEKPYECNVCGKPFAHNSTLRVHQRIHTGEKSYECNDCGKTFSQKSHLSAHQRIHTGEKPYECNECGKAFAQNSTLRVHQRIHTGEKPYECDECGKTFVRKAALRVHHTRMHTREKTLACNGFGKS
+>DECOY_sp|Q5TYW1|ZN658_HUMAN Zinc finger protein 658 OS=Homo sapiens OX=9606 GN=ZNF658 PE=1 SV=2
+SKGFGNCALTKERTHMRTHHVRLAAKRVFTKGCEDCEYPKEGTHIRQHVRLTSNQAFAKGCENCEYPKEGTHIRQHASLHSKQSFTKGCDNCEYSKEGTHIRQHVRLTSNHAFPKGCVNCEYPKEGTHVRQHASVYSKESFTKGCESCEYPKEGSRTRLHARLHSTKSFTKGCDNCEYPKEGTHIRHHARLASNDAFTKGCENCEYPKEGTHIRQHACLHTRQSFTKGCQNCEYPKEGTHIRQHVILAAKYVFTKGCVNCEYPKEGTHIREHGSLYSKQSFTKGCKSCEYPKEGTHIRRHTSLRTKQFFTKGCESCEYLKEGTHINQHARLASNHAFTKECENCEYPKEGTHIRQHIKLASNHAFTKECDSCEYPKRGTHIRQHARLASNYTFSRGCENCEYPKEGTHIRQHAKLVSIHAFSRGCENCEYPKEGTHIRHHARLASNHAFTKECDSCEYPKEGTHIRQHARLASNYTFSKGCDNCEYPKEGTHVRQHVSLHTKHSFTKECEVCEYPKEGTHIRQHARLHSTKSFTKGCEICEYPKEGMLIRQHGKLHSTKGYESGGCLEMEADTKQHGILPSKYSRGCGNNDCPKEKTHIRLHKSLNSNQCFAKGCENCEYLKFGVYTGPHQIPHSSSCFSKACEEYQYTKEGSHPRQHQILHAKRYFSKRCKGHEDSLYFKDETHIRQHATFDLKQYLADTCENHEGFKDGAQTKQHVIHASSQSFNEECKNSEFASWGTITRQSQTLPSKRSFNIGRENCEYHTMAMHVKNYEVATTKDCSTGYENLDSCKGRTDTRMHNFLTIKDFNKRFEDDKCSKEGTLFSQPTICITKDYLGQGSGDCEFSEELTQFKWHQDKKYSFAKANEGHEYSKEEAHAKEHKIDLQLKECVNMYETKKRSYKRESIILQSAVPLNMRHSDCKCSIKESLEPAIELNFPKELVKQGEKSLTKDADSIFIEQWLPKEQKERIGKIHDVKFYGPYRQNLFEDELSWPEEGKELKSIVKPKTICYGVSILHSYNELMVDRYLTREVPGLHQWEERTFEVTVDQFSVSAQSMNM
+>sp|Q5HYK9|ZN667_HUMAN Zinc finger protein 667 OS=Homo sapiens OX=9606 GN=ZNF667 PE=2 SV=2
+MPSARGKSKSKAPITFGDLAIYFSQEEWEWLSPIQKDLYEDVMLENYRNLVSLGLSFRRPNVITLLEKGKAPWMVEPVRRRRAPDSGSKCETKKLPPNQCNKSGQSICQKLVSAQQKAPTRKSGCNKNSVLVKPKKGHSGKKPLKCNDCGKTFSRSFSLKLHQNIHTGEKPFECSNCRKAFRQISSILLHQRIHSGKKSHECNKCGESFNQRTTLILHMRIHDGKEILDCGKALSQCQSFNIHQKIHVVGNVCQCRKCGKAFNQMSSLLLHKKIHNGKKTHKYNKCGRGFKKKSVFVVHKRIHAGEKIPENAKALSQSLQQRSHHLENPFKCRKCGKLFNRISPLMLHQRIHTSEKPYKCDKCDKFFRRLSTLILHLRIHNGEKLYRCNKCEKVCNRHSSLIQHQKVHTKKKKLFECKECGKMFSGTANLKIHQNIHSEEKPFKCNKCSKVFGRQSFLIEHQRIHTGEKPYQCEECGKAFSHRISLTRHKRIHTEDRPYECDQCGKAFSQSAHLAQHERIHTGEKPYTCKTCGKAFSQRTSLILHERSHTGEKPYECNECGKAFSSGSDLIRHQRSHSSEKPYECSKCGKAYSRSSSLIRHQNTHSEEKA
+>DECOY_sp|Q5HYK9|ZN667_HUMAN Zinc finger protein 667 OS=Homo sapiens OX=9606 GN=ZNF667 PE=2 SV=2
+AKEESHTNQHRILSSSRSYAKGCKSCEYPKESSHSRQHRILDSGSSFAKGCENCEYPKEGTHSREHLILSTRQSFAKGCTKCTYPKEGTHIREHQALHASQSFAKGCQDCEYPRDETHIRKHRTLSIRHSFAKGCEECQYPKEGTHIRQHEILFSQRGFVKSCKNCKFPKEESHINQHIKLNATGSFMKGCEKCEFLKKKKTHVKQHQILSSHRNCVKECKNCRYLKEGNHIRLHLILTSLRRFFKDCKDCKYPKESTHIRQHLMLPSIRNFLKGCKRCKFPNELHHSRQQLSQSLAKANEPIKEGAHIRKHVVFVSKKKFGRGCKNYKHTKKGNHIKKHLLLSSMQNFAKGCKRCQCVNGVVHIKQHINFSQCQSLAKGCDLIEKGDHIRMHLILTTRQNFSEGCKNCEHSKKGSHIRQHLLISSIQRFAKRCNSCEFPKEGTHINQHLKLSFSRSFTKGCDNCKLPKKGSHGKKPKVLVSNKNCGSKRTPAKQQASVLKQCISQGSKNCQNPPLKKTECKSGSDPARRRRVPEVMWPAKGKELLTIVNPRRFSLGLSVLNRYNELMVDEYLDKQIPSLWEWEEQSFYIALDGFTIPAKSKSKGRASPM
+>sp|Q96K58|ZN668_HUMAN Zinc finger protein 668 OS=Homo sapiens OX=9606 GN=ZNF668 PE=1 SV=3
+MEVEAAEARSPAPGYKRSGRRYKCVSCTKTFPNAPRAARHAATHGPADCSEEVAEVKPKPETEAKAEEASGEKVSGSAAKPRPYACPLCPKAYKTAPELRSHGRSHTGEKPFPCPECGRRFMQPVCLRVHLASHAGELPFRCAHCPKAYGALSKLKIHQRGHTGERPYACADCGKSFADPSVFRKHRRTHAGLRPYSCERCGKAYAELKDLRNHERSHTGERPFLCSECGKSFSRSSSLTCHQRIHAAQKPYRCPACGKGFTQLSSYQSHERTHSGEKPFLCPRCGRMFSDPSSFRRHQRAHEGVKPYHCEKCGKDFRQPADLAMHRRVHTGDRPFKCLQCDKTFVASWDLKRHALVHSGQRPFRCEECGRAFAERASLTKHSRVHSGERPFHCNACGKSFVVSSSLRKHERTHRSSEAAGVPPAQELVVGLALPVGVAGESSAAPAAGAGLGDPPAGLLGLPPESGGVMATQWQVVGMTVEHVECQDAGVREAPGPLEGAGEAGGEEADEKPPQFVCRECKETFSTMTLLRRHERSHPELRPFPCTQCGKSFSDRAGLRKHSRTHSSVRPYTCPHCPKAFLSASDLRKHERTHPVPMGTPTPLEPLVALLGMPEEGPA
+>DECOY_sp|Q96K58|ZN668_HUMAN Zinc finger protein 668 OS=Homo sapiens OX=9606 GN=ZNF668 PE=1 SV=3
+APGEEPMGLLAVLPELPTPTGMPVPHTREHKRLDSASLFAKPCHPCTYPRVSSHTRSHKRLGARDSFSKGCQTCPFPRLEPHSREHRRLLTMTSFTEKCERCVFQPPKEDAEEGGAEGAGELPGPAERVGADQCEVHEVTMGVVQWQTAMVGGSEPPLGLLGAPPDGLGAGAAPAASSEGAVGVPLALGVVLEQAPPVGAAESSRHTREHKRLSSSVVFSKGCANCHFPREGSHVRSHKTLSAREAFARGCEECRFPRQGSHVLAHRKLDWSAVFTKDCQLCKFPRDGTHVRRHMALDAPQRFDKGCKECHYPKVGEHARQHRRFSSPDSFMRGCRPCLFPKEGSHTREHSQYSSLQTFGKGCAPCRYPKQAAHIRQHCTLSSSRSFSKGCESCLFPREGTHSREHNRLDKLEAYAKGCRECSYPRLGAHTRRHKRFVSPDAFSKGCDACAYPREGTHGRQHIKLKSLAGYAKPCHACRFPLEGAHSALHVRLCVPQMFRRGCEPCPFPKEGTHSRGHSRLEPATKYAKPCLPCAYPRPKAASGSVKEGSAEEAKAETEPKPKVEAVEESCDAPGHTAAHRAARPANPFTKTCSVCKYRRGSRKYGPAPSRAEAAEVEM
+>sp|Q9BS34|ZN670_HUMAN Zinc finger protein 670 OS=Homo sapiens OX=9606 GN=ZNF670 PE=1 SV=1
+MDSVSFEDVAVAFTQEEWALLDPSQKNLYRDVMQEIFRNLASVGNKSEDQNIQDDFKNPGRNLSSHVVERLFEIKEGSQYGETFSQDSNLNLNKKVSTGVKPCECSVCGKVFICHSALHRHILSHIGNKLFECEECPEKLYHCKQCGKAFISLTSVDRHMVTHTSNGPYKGPVYEKPFDFPSVFQMPQSTYTGEKTYKCKHCDKAFNYSSYLREHERTHTGEKPYACKKCGKSFTFSSSLRQHERSHTGEKPYECKECGKAFSRSTYLGIHERTHTGEKPYECIKCGKAFRCSRVLRVHERTHSGEKPYECKQCGKAFKYSSNLCEHERTHTGVKPYGCKECGKSFTSSSALRSHERTHTGEKPYECKKCGKAFSCSSSLRKHERAYMW
+>DECOY_sp|Q9BS34|ZN670_HUMAN Zinc finger protein 670 OS=Homo sapiens OX=9606 GN=ZNF670 PE=1 SV=1
+WMYAREHKRLSSSCSFAKGCKKCEYPKEGTHTREHSRLASSSTFSKGCEKCGYPKVGTHTREHECLNSSYKFAKGCQKCEYPKEGSHTREHVRLVRSCRFAKGCKICEYPKEGTHTREHIGLYTSRSFAKGCEKCEYPKEGTHSREHQRLSSSFTFSKGCKKCAYPKEGTHTREHERLYSSYNFAKDCHKCKYTKEGTYTSQPMQFVSPFDFPKEYVPGKYPGNSTHTVMHRDVSTLSIFAKGCQKCHYLKEPCEECEFLKNGIHSLIHRHLASHCIFVKGCVSCECPKVGTSVKKNLNLNSDQSFTEGYQSGEKIEFLREVVHSSLNRGPNKFDDQINQDESKNGVSALNRFIEQMVDRYLNKQSPDLLAWEEQTFAVAVDEFSVSDM
+>sp|O95780|ZN682_HUMAN Zinc finger protein 682 OS=Homo sapiens OX=9606 GN=ZNF682 PE=2 SV=1
+MELLTFRDVTIEFSLEEWEFLNPAQQSLYRKVMLENYRNLVSLGLTVSKPELISRLEQRQEPWNVKRHETIAKPPAMSSHYTEDLLPEQCMQDSFQKVILRRYGSCGLEDLHLRKDGENVGECKDQKEIYNGLNQCLSTLPSKIFPYNKCVKVFSKSSNLNRENIRHTTEKLFKCMQCGKVFKSHSGLSYHKIIHTEEKLCICEECGKTFKWFSYLTKHKRIHTGEKPYKCEECGKAFNWCSSLTKHKRIHTGEKPYKCEECGKAFHWCSPFVRHKKIHTGEKPYTCEDCGRAFNRHSHLTKHKTIHTGKKPYKCKECGKAFNHCSLLTIHERTHTGEKPYKCEECGKAFNSSSILTEHKVIHSGEKPYKCEKCDKVFKRFSYLTKHKRIHTGEKPYKCEECGKAFNWSSILTEHKRIHTGEKPYNCEECGKAFNRCSHLTRHKKIHTAVKRYKCEECGKAFKRCSHLNEHKRVQRGEKSCKYKKCGEAFNHCSNLTT
+>DECOY_sp|O95780|ZN682_HUMAN Zinc finger protein 682 OS=Homo sapiens OX=9606 GN=ZNF682 PE=2 SV=1
+TTLNSCHNFAEGCKKYKCSKEGRQVRKHENLHSCRKFAKGCEECKYRKVATHIKKHRTLHSCRNFAKGCEECNYPKEGTHIRKHETLISSWNFAKGCEECKYPKEGTHIRKHKTLYSFRKFVKDCKECKYPKEGSHIVKHETLISSSNFAKGCEECKYPKEGTHTREHITLLSCHNFAKGCEKCKYPKKGTHITKHKTLHSHRNFARGCDECTYPKEGTHIKKHRVFPSCWHFAKGCEECKYPKEGTHIRKHKTLSSCWNFAKGCEECKYPKEGTHIRKHKTLYSFWKFTKGCEECICLKEETHIIKHYSLGSHSKFVKGCQMCKFLKETTHRINERNLNSSKSFVKVCKNYPFIKSPLTSLCQNLGNYIEKQDKCEGVNEGDKRLHLDELGCSGYRRLIVKQFSDQMCQEPLLDETYHSSMAPPKAITEHRKVNWPEQRQELRSILEPKSVTLGLSVLNRYNELMVKRYLSQQAPNLFEWEELSFEITVDRFTLLEM
+>sp|Q96CS4|ZN689_HUMAN Zinc finger protein 689 OS=Homo sapiens OX=9606 GN=ZNF689 PE=1 SV=1
+MAPPSAPLPAQGPGKARPSRKRGRRPRALKFVDVAVYFSPEEWGCLRPAQRALYRDVMRETYGHLGALGCAGPKPALISWLERNTDDWEPAALDPQEYPRGLTVQRKSRTRKKNGEKEVFPPKEAPRKGKRGRRPSKPRLIPRQTSGGPICPDCGCTFPDHQALESHKCAQNLKKPYPCPDCGRRFSYPSLLVSHRRAHSGECPYVCDQCGKRFSQRKNLSQHQVIHTGEKPYHCPDCGRCFRRSRSLANHRTTHTGEKPHQCPSCGRRFAYPSLLAIHQRTHTGEKPYTCLECNRRFRQRTALVIHQRIHTGEKPYPCPDCERRFSSSSRLVSHRRVHSGERPYACEHCEARFSQRSTLLQHQLLHTGEKPYPCPDCGRAFRRSGSLAIHRSTHTEEKLHACDDCGRRFAYPSLLASHRRVHSGERPYACDLCSKRFAQWSHLAQHQLLHTGEKPFPCLECGRCFRQRWSLAVHKCSPKAPNCSPRSAIGGSSQRGNAH
+>DECOY_sp|Q96CS4|ZN689_HUMAN Zinc finger protein 689 OS=Homo sapiens OX=9606 GN=ZNF689 PE=1 SV=1
+HANGRQSSGGIASRPSCNPAKPSCKHVALSWRQRFCRGCELCPFPKEGTHLLQHQALHSWQAFRKSCLDCAYPREGSHVRRHSALLSPYAFRRGCDDCAHLKEETHTSRHIALSGSRRFARGCDPCPYPKEGTHLLQHQLLTSRQSFRAECHECAYPREGSHVRRHSVLRSSSSFRRECDPCPYPKEGTHIRQHIVLATRQRFRRNCELCTYPKEGTHTRQHIALLSPYAFRRGCSPCQHPKEGTHTTRHNALSRSRRFCRGCDPCHYPKEGTHIVQHQSLNKRQSFRKGCQDCVYPCEGSHARRHSVLLSPYSFRRGCDPCPYPKKLNQACKHSELAQHDPFTCGCDPCIPGGSTQRPILRPKSPRRGRKGKRPAEKPPFVEKEGNKKRTRSKRQVTLGRPYEQPDLAAPEWDDTNRELWSILAPKPGACGLAGLHGYTERMVDRYLARQAPRLCGWEEPSFYVAVDVFKLARPRRGRKRSPRAKGPGQAPLPASPPAM
+>sp|Q8IW36|ZN695_HUMAN Zinc finger protein 695 OS=Homo sapiens OX=9606 GN=ZNF695 PE=1 SV=4
+MGLLAFRDVALEFSPEEWECLDPAQRSLYRDVMLENYRNLISLGEDSFNMQFLFHSLAMSKPELIICLEARKEPWNVNTEKTARHSVLSSYLTEDILPEQGLQVSFQKVMLRRYERCCLEKLRLRNDWEIVGEWKGQKASYNGLDLCSATTHSKNFQCNKCVKGFSKFANLNKCKISHTGEKPFKCKECGNVSCMSLIMTQQQRIHIGENPYQCKKCGKAFNECSCFTDCKRIHVGEKHCKCEECNNIFKSCSSLAVVEKNHTEKKTYRCEECGKAFNLCSVLTKHKKIHTGEKPYKCEECGKSFKLFPYLTQHKRIHSREKPYKCEECGKVFKLLSYLTQHRRIHTGEKTFRCEECGKAFNQSSHLTEHRRIHTGEKPYKCEECGKAFTWFSYLIQHKRIHTGQKPYKCEECGKAFTWFSYLTQHKRIHTGEKPYKCDECGKAFNWFSYLTNHKRIHTGEKPYKCEECGKAFGQSSHLSKHKTIHTREKPYKCEECGKAFNHSAQLAVHEKTHT
+>DECOY_sp|Q8IW36|ZN695_HUMAN Zinc finger protein 695 OS=Homo sapiens OX=9606 GN=ZNF695 PE=1 SV=4
+THTKEHVALQASHNFAKGCEECKYPKERTHITKHKSLHSSQGFAKGCEECKYPKEGTHIRKHNTLYSFWNFAKGCEDCKYPKEGTHIRKHQTLYSFWTFAKGCEECKYPKQGTHIRKHQILYSFWTFAKGCEECKYPKEGTHIRRHETLHSSQNFAKGCEECRFTKEGTHIRRHQTLYSLLKFVKGCEECKYPKERSHIRKHQTLYPFLKFSKGCEECKYPKEGTHIKKHKTLVSCLNFAKGCEECRYTKKETHNKEVVALSSCSKFINNCEECKCHKEGVHIRKCDTFCSCENFAKGCKKCQYPNEGIHIRQQQTMILSMCSVNGCEKCKFPKEGTHSIKCKNLNAFKSFGKVCKNCQFNKSHTTASCLDLGNYSAKQGKWEGVIEWDNRLRLKELCCREYRRLMVKQFSVQLGQEPLIDETLYSSLVSHRATKETNVNWPEKRAELCIILEPKSMALSHFLFQMNFSDEGLSILNRYNELMVDRYLSRQAPDLCEWEEPSFELAVDRFALLGM
+>sp|Q9Y5V0|ZN706_HUMAN Zinc finger protein 706 OS=Homo sapiens OX=9606 GN=ZNF706 PE=1 SV=1
+MARGQQKIQSQQKNAKKQAGQKKKQGHDQKAAAKAALIYTCTVCRTQMPDPKTFKQHFESKHPKTPLPPELADVQA
+>DECOY_sp|Q9Y5V0|ZN706_HUMAN Zinc finger protein 706 OS=Homo sapiens OX=9606 GN=ZNF706 PE=1 SV=1
+AQVDALEPPLPTKPHKSEFHQKFTKPDPMQTRCVTCTYILAAKAAAKQDHGQKKKQGAQKKANKQQSQIKQQGRAM
+>sp|Q8N972|ZN709_HUMAN Zinc finger protein 709 OS=Homo sapiens OX=9606 GN=ZNF709 PE=2 SV=1
+MDSVVFEDVAVNFTQEEWALLGPSQKKLYRDVMQETFVNLASIGENWEEKNIEDHKNQGRKLRSHMVERLCERKEGSQFGETISQTPNPKPNKKTFTRVKPYECSVCGKDYMCHSSLNRHMRSHTEHRSYEYHKYGEKSYECKECGKRFSFRSSFRIHERTHTGEKPYKCKQCGKAFSWPSSFQIHERTHTGEKPYECKECGKAFIYHTTFRGHMRMHTGEKPYKCKECGKTFSHPSSFRNHERTHSGEKPYECKQCGKAFRYYQTFQIHERTHTGEKPYQCKQCGKALSCPTSFRSHERIHTGEKPYKCKKCGKAFSFPSSFRKHERIHTGEKPYDCKECGKAFISLPSYRRHMIMHTGNGPYKCKECGKAFDCPSSFQIHERTHTGEKPYECKQCGKAFSCSSSFRMHERTHTGEKPHECKQCGKAFSCSSSVRIHERTHTGEKPYECKQCGKAFSCSSSFRMHERIHTGEKPYECKQCGKAFSFSSSFRMHERTHTGEKPYECKQCGKAFSCSSSFRMHERTHTGEKPYECKQCGKAFSCSSSIRIHERTHTGEKPYECKQCGKAFSCSSSVRMHERTHTGVKPYECKQCDKAFSCSRSFRIHERTHTGEKPYACQQCGKAFKCSRSFRIHERVHSGE
+>DECOY_sp|Q8N972|ZN709_HUMAN Zinc finger protein 709 OS=Homo sapiens OX=9606 GN=ZNF709 PE=2 SV=1
+EGSHVREHIRFSRSCKFAKGCQQCAYPKEGTHTREHIRFSRSCSFAKDCQKCEYPKVGTHTREHMRVSSSCSFAKGCQKCEYPKEGTHTREHIRISSSCSFAKGCQKCEYPKEGTHTREHMRFSSSCSFAKGCQKCEYPKEGTHTREHMRFSSSFSFAKGCQKCEYPKEGTHIREHMRFSSSCSFAKGCQKCEYPKEGTHTREHIRVSSSCSFAKGCQKCEHPKEGTHTREHMRFSSSCSFAKGCQKCEYPKEGTHTREHIQFSSPCDFAKGCEKCKYPGNGTHMIMHRRYSPLSIFAKGCEKCDYPKEGTHIREHKRFSSPFSFAKGCKKCKYPKEGTHIREHSRFSTPCSLAKGCQKCQYPKEGTHTREHIQFTQYYRFAKGCQKCEYPKEGSHTREHNRFSSPHSFTKGCEKCKYPKEGTHMRMHGRFTTHYIFAKGCEKCEYPKEGTHTREHIQFSSPWSFAKGCQKCKYPKEGTHTREHIRFSSRFSFRKGCEKCEYSKEGYKHYEYSRHETHSRMHRNLSSHCMYDKGCVSCEYPKVRTFTKKNPKPNPTQSITEGFQSGEKRECLREVMHSRLKRGQNKHDEINKEEWNEGISALNVFTEQMVDRYLKKQSPGLLAWEEQTFNVAVDEFVVSDM
+>sp|Q9Y462|ZN711_HUMAN Zinc finger protein 711 OS=Homo sapiens OX=9606 GN=ZNF711 PE=1 SV=2
+MDSGGGSLGLHTPDSRMAHTMIMQDFVAGMAGTAHIDGDHIVVSVPEAVLVSDVVTDDGITLDHGLAAEVVHGPDIITETDVVTEGVIVPEAVLEADVAIEEDLEEDDGDHILTSELITETVRVPEQVFVADLVTGPNGHLEHVVQDCVSGVDSPTMVSEEVLVTNSDTETVIQAAGGVPGSTVTIKTEDDDDDDVKSTSEDYLMISLDDVGEKLEHMGNTPLKIGSDGSQEDAKEDGFGSEVIKVYIFKAEAEDDVEIGGTEIVTESEYTSGHSVAGVLDQSRMQREKMVYMAVKDSSQEEDDIRDERRVSRRYEDCQASGNTLDSALESRSSTAAQYLQICDGINTNKVLKQKAKKRRRGETRQWQTAVIIGPDGQPLTVYPCHICTKKFKSRGFLKRHMKNHPDHLMRKKYQCTDCDFTTNKKVSFHNHLESHKLINKVDKTHEFTEYTRRYREASPLSSNKLILRDKEPKMHKCKYCDYETAEQGLLNRHLLAVHSKNFPHVCVECGKGFRHPSELKKHMRTHTGEKPYQCQYCIFRCADQSNLKTHIKSKHGNNLPYKCEHCPQAFGDERELQRHLDLFQGHKTHQCPHCDHKSTNSSDLKRHIISVHTKDFPHKCEVCDKGFHRPSELKKHSDIHKGRKIHQCRHCDFKTSDPFILSGHILSVHTKDQPLKCKRCKRGFRQQNELKKHMKTHTGRKIYQCEYCEYSTTDASGFKRHVISIHTKDYPHRCEFCKKGFRRPSEKNQHIMRHHKEALM
+>DECOY_sp|Q9Y462|ZN711_HUMAN Zinc finger protein 711 OS=Homo sapiens OX=9606 GN=ZNF711 PE=1 SV=2
+MLAEKHHRMIHQNKESPRRFGKKCFECRHPYDKTHISIVHRKFGSADTTSYECYECQYIKRGTHTKMHKKLENQQRFGRKCRKCKLPQDKTHVSLIHGSLIFPDSTKFDCHRCQHIKRGKHIDSHKKLESPRHFGKDCVECKHPFDKTHVSIIHRKLDSSNTSKHDCHPCQHTKHGQFLDLHRQLEREDGFAQPCHECKYPLNNGHKSKIHTKLNSQDACRFICYQCQYPKEGTHTRMHKKLESPHRFGKGCEVCVHPFNKSHVALLHRNLLGQEATEYDCYKCKHMKPEKDRLILKNSSLPSAERYRRTYETFEHTKDVKNILKHSELHNHFSVKKNTTFDCDTCQYKKRMLHDPHNKMHRKLFGRSKFKKTCIHCPYVTLPQGDPGIIVATQWQRTEGRRRKKAKQKLVKNTNIGDCIQLYQAATSSRSELASDLTNGSAQCDEYRRSVRREDRIDDEEQSSDKVAMYVMKERQMRSQDLVGAVSHGSTYESETVIETGGIEVDDEAEAKFIYVKIVESGFGDEKADEQSGDSGIKLPTNGMHELKEGVDDLSIMLYDESTSKVDDDDDDETKITVTSGPVGGAAQIVTETDSNTVLVEESVMTPSDVGSVCDQVVHELHGNPGTVLDAVFVQEPVRVTETILESTLIHDGDDEELDEEIAVDAELVAEPVIVGETVVDTETIIDPGHVVEAALGHDLTIGDDTVVDSVLVAEPVSVVIHDGDIHATGAMGAVFDQMIMTHAMRSDPTHLGLSGGGSDM
+>sp|Q8NDX6|ZN740_HUMAN Zinc finger protein 740 OS=Homo sapiens OX=9606 GN=ZNF740 PE=1 SV=1
+MAQASLLACEGLAGVSLVPTAASKKMMLSQIASKQAENGERAGSPDVLRCSSQGHRKDSDKSRSRKDDDSLSEASHSKKTVKKVVVVEQNGSFQVKIPKNFVCEHCFGAFRSSYHLKRHILIHTGEKPFECDICDMRFIQKYHLERHKRVHSGEKPYQCERCHQCFSRTDRLLRHKRMCQGCQSKTSDGQFSL
+>DECOY_sp|Q8NDX6|ZN740_HUMAN Zinc finger protein 740 OS=Homo sapiens OX=9606 GN=ZNF740 PE=1 SV=1
+LSFQGDSTKSQCGQCMRKHRLLRDTRSFCQHCRECQYPKEGSHVRKHRELHYKQIFRMDCIDCEFPKEGTHILIHRKLHYSSRFAGFCHECVFNKPIKVQFSGNQEVVVVKKVTKKSHSAESLSDDDKRSRSKDSDKRHGQSSCRLVDPSGAREGNEAQKSAIQSLMMKKSAATPVLSVGALGECALLSAQAM
+>sp|Q96H86|ZN764_HUMAN Zinc finger protein 764 OS=Homo sapiens OX=9606 GN=ZNF764 PE=1 SV=2
+MAPPLAPLPPRDPNGAGPEWREPGAVSFADVAVYFCREEWGCLRPAQRALYRDVMRETYGHLSALGIGGNKPALISWVEEEAELWGPAAQDPEVAKCQTQTDPADSRNKKKERQREGTGALEKPDPVAAGSPGLKSPQAPSAGPPYGWEQLSKAPHRGRPSLCAHPPVPRADQRHGCYVCGKSFAWRSTLVEHVYSHTGEKPFHCTDCGKGFGHASSLSKHRAIHRGERPHRCLECGRAFTQRSALTSHLRVHTGEKPYGCADCGRRFSQSSALYQHRRVHSGETPFPCPDCGRAFAYPSDLRRHVRTHTGEKPYPCPDCGRCFRQSSEMAAHRRTHSGEKPYPCPQCGRRFGQKSAVAKHQWVHRPGAGGHRGRVAGRLSVTLTPGHGDLDPPVGFQLYPEIFQECG
+>DECOY_sp|Q96H86|ZN764_HUMAN Zinc finger protein 764 OS=Homo sapiens OX=9606 GN=ZNF764 PE=1 SV=2
+GCEQFIEPYLQFGVPPDLDGHGPTLTVSLRGAVRGRHGGAGPRHVWQHKAVASKQGFRRGCQPCPYPKEGSHTRRHAAMESSQRFCRGCDPCPYPKEGTHTRVHRRLDSPYAFARGCDPCPFPTEGSHVRRHQYLASSQSFRRGCDACGYPKEGTHVRLHSTLASRQTFARGCELCRHPREGRHIARHKSLSSAHGFGKGCDTCHFPKEGTHSYVHEVLTSRWAFSKGCVYCGHRQDARPVPPHACLSPRGRHPAKSLQEWGYPPGASPAQPSKLGPSGAAVPDPKELAGTGERQREKKKNRSDAPDTQTQCKAVEPDQAAPGWLEAEEEVWSILAPKNGGIGLASLHGYTERMVDRYLARQAPRLCGWEERCFYVAVDAFSVAGPERWEPGAGNPDRPPLPALPPAM
+>sp|Q75MW2|ZN767_HUMAN Protein ZNF767 OS=Homo sapiens OX=9606 GN=ZNF767P PE=5 SV=1
+MEEAAAAPISPWTMAATIQAMERKIESQAAHLLSLEGQTGMAEKKLADCEKTAVEFGNQLEGKWAVLGTLLQEYGLLQRRLENVENLLHNRNFWILRLPPGSKGESPKTTPSPSPRSSPRLNKGRSPATGAALTPRFQMFLWTPVQMQKLRPSRD
+>DECOY_sp|Q75MW2|ZN767_HUMAN Protein ZNF767 OS=Homo sapiens OX=9606 GN=ZNF767P PE=5 SV=1
+DRSPRLKQMQVPTWLFMQFRPTLAAGTAPSRGKNLRPSSRPSPSPTTKPSEGKSGPPLRLIWFNRNHLLNEVNELRRQLLGYEQLLTGLVAWKGELQNGFEVATKECDALKKEAMGTQGELSLLHAAQSEIKREMAQITAAMTWPSIPAAAAEEM
+>sp|Q6PK81|ZN773_HUMAN Zinc finger protein 773 OS=Homo sapiens OX=9606 GN=ZNF773 PE=2 SV=1
+MAAATLRDPAQQGYVTFEDVAVYFSQEEWRLLDDAQRLLYRNVMLENFTLLASLGLASSKTHEITQLESWEEPFMPAWEVVTSAILRGSWQGAKAEAAAEQSASVEVPSSNVQQHQKQHCGEKPLKRQEGRVPVLRSCRVHLSEKSLQSREVGKDLLTSSGVLKHQVTHTGEKSHRSSKSREAFHAGKRHYKCSECGKAFGQKYLLVQHQRLHTGEKPYECSECGKLFSHKSNLFIHQIVHTGERPYGCSDCGKSFSRNADLIQHQRVHTGEKPFTCSECGKAFRHNSTLVQHHRIHTGVRPYECSECGKLFSFNSSLMKHQRVHTGERPYKCSECGKFYSHKSSLINHWRVHTGERPYECSECGKFFSQSSSLMQHRKVHTGEKPFKCNECGRFFSENSSLVKHQRVHTGAKPYECRECGKFFRHSSSLVKHRRIHTGEIQ
+>DECOY_sp|Q6PK81|ZN773_HUMAN Zinc finger protein 773 OS=Homo sapiens OX=9606 GN=ZNF773 PE=2 SV=1
+QIEGTHIRRHKVLSSSHRFFKGCERCEYPKAGTHVRQHKVLSSNESFFRGCENCKFPKEGTHVKRHQMLSSSQSFFKGCESCEYPREGTHVRWHNILSSKHSYFKGCESCKYPREGTHVRQHKMLSSNFSFLKGCESCEYPRVGTHIRHHQVLTSNHRFAKGCESCTFPKEGTHVRQHQILDANRSFSKGCDSCGYPREGTHVIQHIFLNSKHSFLKGCESCEYPKEGTHLRQHQVLLYKQGFAKGCESCKYHRKGAHFAERSKSSRHSKEGTHTVQHKLVGSSTLLDKGVERSQLSKESLHVRCSRLVPVRGEQRKLPKEGCHQKQHQQVNSSPVEVSASQEAAAEAKAGQWSGRLIASTVVEWAPMFPEEWSELQTIEHTKSSALGLSALLTFNELMVNRYLLRQADDLLRWEEQSFYVAVDEFTVYGQQAPDRLTAAAM
+>sp|Q96BV0|ZN775_HUMAN Zinc finger protein 775 OS=Homo sapiens OX=9606 GN=ZNF775 PE=1 SV=2
+MESGLAGNGTGAGLVMKVKQEKPERLLQTLAPQAMLVEKDKENIFQQHRGLPPRQTMGRPRALGGQEESGSPRWAPPTEQDAGLAGRAPGSASGPLSPSLSSGEGHFVCLDCGKRFSWWSSLKIHQRTHTGEKPYLCGKCGKSFSQKPNLARHQRHHTGERPFCCPECARRFSQKQHLLKHQKTHSRPATHSCPECERCFRHQVGLRIHQRAHARDRQGSRAGLHELIQDAAARRACRLQPGPPRGRPEWAWLGLCQGWWGQPGARAAVSGPEGPGEPRQFICNECGKSFTWWSSLNIHQRIHTGERPYACPECGRRFSQKPNLTRHLRNHTGERPHPCPHCGRGFRQKQHLLKHLRTHLPGAQAAPCPSCGKSCRSRAALRAHQRAHAVAEPAVPAGEPGDQPQAEAIPGLAARPRSSQRSPGARDTLWGRGQAGLAGPGEPRQFICNECGKSFSWWSALTIHQRIHTGERPYPCPECGRRFSQKPNLTRHRRNHTGERPYLCPACGRGFSQKQHLLKHQRVHRAAPACSPKEEAR
+>DECOY_sp|Q96BV0|ZN775_HUMAN Zinc finger protein 775 OS=Homo sapiens OX=9606 GN=ZNF775 PE=1 SV=2
+RAEEKPSCAPAARHVRQHKLLHQKQSFGRGCAPCLYPREGTHNRRHRTLNPKQSFRRGCEPCPYPREGTHIRQHITLASWWSFSKGCENCIFQRPEGPGALGAQGRGWLTDRAGPSRQSSRPRAALGPIAEAQPQDGPEGAPVAPEAVAHARQHARLAARSRCSKGCSPCPAAQAGPLHTRLHKLLHQKQRFGRGCHPCPHPREGTHNRLHRTLNPKQSFRRGCEPCAYPREGTHIRQHINLSSWWTFSKGCENCIFQRPEGPGEPGSVAARAGPQGWWGQCLGLWAWEPRGRPPGPQLRCARRAAADQILEHLGARSGQRDRAHARQHIRLGVQHRFCRECEPCSHTAPRSHTKQHKLLHQKQSFRRACEPCCFPREGTHHRQHRALNPKQSFSKGCKGCLYPKEGTHTRQHIKLSSWWSFRKGCDLCVFHGEGSSLSPSLPGSASGPARGALGADQETPPAWRPSGSEEQGGLARPRGMTQRPPLGRHQQFINEKDKEVLMAQPALTQLLREPKEQKVKMVLGAGTGNGALGSEM
+>sp|Q96MU6|ZN778_HUMAN Zinc finger protein 778 OS=Homo sapiens OX=9606 GN=ZNF778 PE=1 SV=3
+MAAPDLAHGGHVSRDSVCLHEEQTQAAGMVAGWLINCYQDAVTFDDVAVDFTQEEWTLLDPSQRDLYRDVMLENYENLASVEWRLKTKGPALRQDRSWFRASNETQTARSHNGGQLCDRTQCGEAFSEHSGLSTHVRTQNTGDSCVSNHYERDFFIPCQKTLFKIGEQFSVLGQCGKAFSSTPNVVSQQACTRDRSLDYSSCGEVFLNQSYLQARAGSHNGEETWKWKPCGKALTHSMGCATPVEMHAVRNPHVCRECGKAFRYTAYLTGRVQVHPGEKPCELEECGKASPVSSSLTQHVRIHAAEKPCECKECGKAFTGLSGLSKHVQTDPGQKPYECKDCGKACGGFYLLNEHGKTHTREKPFACVVCGKYFRNSSCLNNHVRIHTGIKPYTCSYCGKAFTVRCGLTRHVRTHTGEKPYTCKDCGKAFCTSSGLTEHVRTHTGEKPYECKDCGKSFTVSSSLTEHARIHTGEKPYECKQCGKAFTGRSGLTKHMRTHTGEKPYECKDCGKAYNRVYLLNEHVKTHTEEKPFICTVCRKSFRNSSCLNKHIQIHTGIKPYECKDCGKTFTVSSSLTEHIRTHTGEKPYECKVCGKAFTTSSHLIVHIRTHTGEKPYICKECGKAFASSSHLIEHRRTHTGEKPYICNECGKAFRASSHLHKHGRIHTGQKPYKCKECGKAYNRFYLLKEHLKTYTEEQVFVCKDCGKSFKNSSCLNHHTQIHTDEKPF
+>DECOY_sp|Q96MU6|ZN778_HUMAN Zinc finger protein 778 OS=Homo sapiens OX=9606 GN=ZNF778 PE=1 SV=3
+FPKEDTHIQTHHNLCSSNKFSKGCDKCVFVQEETYTKLHEKLLYFRNYAKGCEKCKYPKQGTHIRGHKHLHSSARFAKGCENCIYPKEGTHTRRHEILHSSSAFAKGCEKCIYPKEGTHTRIHVILHSSTTFAKGCVKCEYPKEGTHTRIHETLSSSVTFTKGCDKCEYPKIGTHIQIHKNLCSSNRFSKRCVTCIFPKEETHTKVHENLLYVRNYAKGCDKCEYPKEGTHTRMHKTLGSRGTFAKGCQKCEYPKEGTHIRAHETLSSSVTFSKGCDKCEYPKEGTHTRVHETLGSSTCFAKGCDKCTYPKEGTHTRVHRTLGCRVTFAKGCYSCTYPKIGTHIRVHNNLCSSNRFYKGCVVCAFPKERTHTKGHENLLYFGGCAKGCDKCEYPKQGPDTQVHKSLGSLGTFAKGCEKCECPKEAAHIRVHQTLSSSVPSAKGCEELECPKEGPHVQVRGTLYATYRFAKGCERCVHPNRVAHMEVPTACGMSHTLAKGCPKWKWTEEGNHSGARAQLYSQNLFVEGCSSYDLSRDRTCAQQSVVNPTSSFAKGCQGLVSFQEGIKFLTKQCPIFFDREYHNSVCSDGTNQTRVHTSLGSHESFAEGCQTRDCLQGGNHSRATQTENSARFWSRDQRLAPGKTKLRWEVSALNEYNELMVDRYLDRQSPDLLTWEEQTFDVAVDDFTVADQYCNILWGAVMGAAQTQEEHLCVSDRSVHGGHALDPAAM
+>sp|O75541|ZN821_HUMAN Zinc finger protein 821 OS=Homo sapiens OX=9606 GN=ZNF821 PE=1 SV=3
+MSRRKQTNPNKVHWDQVFAGLEEQARQAMMKTDFPGDLGSQRQAIQQLRDQDSSSSDSEGDEEETTQDEVSSHTSEEDGGVVKVEKELENTEQPVGGNEVVEHEVTGNLNSDPLLELCQCPLCQLDCGSREQLIAHVYQHTAAVVSAKSYMCPVCGRALSSPGSLGRHLLIHSEDQRSNCAVCGARFTSHATFNSEKLPEVLNMESLPTVHNEGPSSAEGKDIAFSPPVYPAGILLVCNNCAAYRKLLEAQTPSVRKWALRRQNEPLEVRLQRLERERTAKKSRRDNETPEEREVRRMRDREAKRLQRMQETDEQRARRLQRDREAMRLKRANETPEKRQARLIREREAKRLKRRLEKMDMMLRAQFGQDPSAMAALAAEMNFFQLPVSGVELDSQLLGKMAFEEQNSSSLH
+>DECOY_sp|O75541|ZN821_HUMAN Zinc finger protein 821 OS=Homo sapiens OX=9606 GN=ZNF821 PE=1 SV=3
+HLSSSNQEEFAMKGLLQSDLEVGSVPLQFFNMEAALAAMASPDQGFQARLMMDMKELRRKLRKAERERILRAQRKEPTENARKLRMAERDRQLRRARQEDTEQMRQLRKAERDRMRRVEREEPTENDRRSKKATRERELRQLRVELPENQRRLAWKRVSPTQAELLKRYAACNNCVLLIGAPYVPPSFAIDKGEASSPGENHVTPLSEMNLVEPLKESNFTAHSTFRAGCVACNSRQDESHILLHRGLSGPSSLARGCVPCMYSKASVVAATHQYVHAILQERSGCDLQCLPCQCLELLPDSNLNGTVEHEVVENGGVPQETNELEKEVKVVGGDEESTHSSVEDQTTEEEDGESDSSSSDQDRLQQIAQRQSGLDGPFDTKMMAQRAQEELGAFVQDWHVKNPNTQKRRSM
+>sp|A8K0R7|ZN839_HUMAN Zinc finger protein 839 OS=Homo sapiens OX=9606 GN=ZNF839 PE=2 SV=1
+MLLPTTIQPQTARKSQLPRGNSCLVGLHIASPQLLRVQPLVRTEPQSCFLSDLCQPPAQGFVQRPLPALQVVPAKRVPAPKAPDEQGSMLTPLSASDPLAVTSLSSSSAHPFISNLHTRHTEKLKKSLKVKTRSGRVSRPPKYKAKDYKFIKTEDLADGHLSDSDDYSELCVEEDEDQRERHALFDLSSCSLRPKSFKCQTCEKSYIGKGGLARHFKLNPGHGQLDPEMVLSEKASGSTLRGCTEERTLSLTSLGLSMPADPCEGGARSCLVTESARGGLQNGQSVDVEETLPSEPENGALLRSERYQGPRRRACSETLAESRTAVLQQRRAAQLPGGPAAAGEQRASPSKARLKEFLQQCDREDLVELALPQLAQVVTVYEFLLMKVEKDHLAKPFFPAIYKEFEELHKMVKKMCQDYLSSSGLCSQETLEINNDKVAESLGITEFLRKKEIHPDNLGPKHLSRDMDGEQLEGASSEKREREAAEEGLASVKRPRREALSNDTTESLAANSRGREKPRPLHALAAGFSPPVNVTVSPRSEESHTTTVSGGNGSVFQAGPQLQALANLEARRGSIGAALSSRDVSGLPVYAQSGEPRRLTQAQVAAFPGENALEHSSDQDTWDSLRSPGFCSPLSSGGGAESLPPGGPGHAEAGHLGKVCDFHLNHQQPSPTSVLPTEVAAPPLEKILSVDSVAVDCAYRTVPKPGPQPGPHGSLLTEGCLRSLSGDLNRFPCGMEVHSGQRELESVVAVGEAMAFEISNGSHELLSQGQKQIFIQTSDGLILSPPGTIVSQEEDIVTVTDAEGRACGWAR
+>DECOY_sp|A8K0R7|ZN839_HUMAN Zinc finger protein 839 OS=Homo sapiens OX=9606 GN=ZNF839 PE=2 SV=1
+RAWGCARGEADTVTVIDEEQSVITGPPSLILGDSTQIFIQKQGQSLLEHSGNSIEFAMAEGVAVVSELERQGSHVEMGCPFRNLDGSLSRLCGETLLSGHPGPQPGPKPVTRYACDVAVSDVSLIKELPPAAVETPLVSTPSPQQHNLHFDCVKGLHGAEAHGPGGPPLSEAGGGSSLPSCFGPSRLSDWTDQDSSHELANEGPFAAVQAQTLRRPEGSQAYVPLGSVDRSSLAAGISGRRAELNALAQLQPGAQFVSGNGGSVTTTHSEESRPSVTVNVPPSFGAALAHLPRPKERGRSNAALSETTDNSLAERRPRKVSALGEEAAERERKESSAGELQEGDMDRSLHKPGLNDPHIEKKRLFETIGLSEAVKDNNIELTEQSCLGSSSLYDQCMKKVMKHLEEFEKYIAPFFPKALHDKEVKMLLFEYVTVVQALQPLALEVLDERDCQQLFEKLRAKSPSARQEGAAAPGGPLQAARRQQLVATRSEALTESCARRRPGQYRESRLLAGNEPESPLTEEVDVSQGNQLGGRASETVLCSRAGGECPDAPMSLGLSTLSLTREETCGRLTSGSAKESLVMEPDLQGHGPNLKFHRALGGKGIYSKECTQCKFSKPRLSCSSLDFLAHRERQDEDEEVCLESYDDSDSLHGDALDETKIFKYDKAKYKPPRSVRGSRTKVKLSKKLKETHRTHLNSIFPHASSSSLSTVALPDSASLPTLMSGQEDPAKPAPVRKAPVVQLAPLPRQVFGQAPPQCLDSLFCSQPETRVLPQVRLLQPSAIHLGVLCSNGRPLQSKRATQPQITTPLLM
+>sp|A8MT65|ZN891_HUMAN Zinc finger protein 891 OS=Homo sapiens OX=9606 GN=ZNF891 PE=2 SV=2
+MAVMDLSSPWALTKQDSACFHLRNAEEERMIAVFLTTWLQEPMTFKDVAVEFTQEEWMMLDSAQRSLYRDVMLENYRNLTSVEYQLYRLTVISPLDQEEIRNMKKRIPQAICPDQKIQPKTKESTVQKILWEEPSNAVKMIKLTMHNWSSTLREDWECHKIRKQHKIPGGHWRQMIYAPKKTVPQELFRDYHELEENSKLGSKLIFSQSIFTSKHCQKCYSEIGCLKHNSIINNYVKNSISEKLYESHECDTTLWHFQRNQTVQKEYTYSKHGMHFTHNMFPVPNNLHMAQNACECNKDETLCHQSSLKKQGQTHTEKKHECNQCGKAFKRISNLTLYKKSHMGEKQYECKECGKVFNDSSTLRRHVRTHTGEKPYECNQCGKAFSQKTSLKAHMRTHTGEKPYECNQCGKSFGTSSYLIVHKRIHTGEKLYECSECGKAFNTSSHLKVHKKIHTGENVYECSDCGKVFSGVSSLRMHIRTHTGEKPYECKECRKAFSVSSSLRRHVRIHTGEKPYECIQCGKAFSQSSSLIIHKRIHTERETL
+>DECOY_sp|A8MT65|ZN891_HUMAN Zinc finger protein 891 OS=Homo sapiens OX=9606 GN=ZNF891 PE=2 SV=2
+LTERETHIRKHIILSSSQSFAKGCQICEYPKEGTHIRVHRRLSSSVSFAKRCEKCEYPKEGTHTRIHMRLSSVGSFVKGCDSCEYVNEGTHIKKHVKLHSSTNFAKGCESCEYLKEGTHIRKHVILYSSTGFSKGCQNCEYPKEGTHTRMHAKLSTKQSFAKGCQNCEYPKEGTHTRVHRRLTSSDNFVKGCEKCEYQKEGMHSKKYLTLNSIRKFAKGCQNCEHKKETHTQGQKKLSSQHCLTEDKNCECANQAMHLNNPVPFMNHTFHMGHKSYTYEKQVTQNRQFHWLTTDCEHSEYLKESISNKVYNNIISNHKLCGIESYCKQCHKSTFISQSFILKSGLKSNEELEHYDRFLEQPVTKKPAYIMQRWHGGPIKHQKRIKHCEWDERLTSSWNHMTLKIMKVANSPEEWLIKQVTSEKTKPQIKQDPCIAQPIRKKMNRIEEQDLPSIVTLRYLQYEVSTLNRYNELMVDRYLSRQASDLMMWEEQTFEVAVDKFTMPEQLWTTLFVAIMREEEANRLHFCASDQKTLAWPSSLDMVAM
+>sp|P21506|ZNF10_HUMAN Zinc finger protein 10 OS=Homo sapiens OX=9606 GN=ZNF10 PE=1 SV=3
+MDAKSLTAWSRTLVTFKDVFVDFTREEWKLLDTAQQIVYRNVMLENYKNLVSLGYQLTKPDVILRLEKGEEPWLVEREIHQETHPDSETAFEIKSSVSSRSIFKDKQSCDIKMEGMARNDLWYLSLEEVWKCRDQLDKYQENPERHLRQVAFTQKKVLTQERVSESGKYGGNCLLPAQLVLREYFHKRDSHTKSLKHDLVLNGHQDSCASNSNECGQTFCQNIHLIQFARTHTGDKSYKCPDNDNSLTHGSSLGISKGIHREKPYECKECGKFFSWRSNLTRHQLIHTGEKPYECKECGKSFSRSSHLIGHQKTHTGEEPYECKECGKSFSWFSHLVTHQRTHTGDKLYTCNQCGKSFVHSSRLIRHQRTHTGEKPYECPECGKSFRQSTHLILHQRTHVRVRPYECNECGKSYSQRSHLVVHHRIHTGLKPFECKDCGKCFSRSSHLYSHQRTHTGEKPYECHDCGKSFSQSSALIVHQRIHTGEKPYECCQCGKAFIRKNDLIKHQRIHVGEETYKCNQCGIIFSQNSPFIVHQIAHTGEQFLTCNQCGTALVNTSNLIGYQTNHIRENAY
+>DECOY_sp|P21506|ZNF10_HUMAN Zinc finger protein 10 OS=Homo sapiens OX=9606 GN=ZNF10 PE=1 SV=3
+YANERIHNTQYGILNSTNVLATGCQNCTLFQEGTHAIQHVIFPSNQSFIIGCQNCKYTEEGVHIRQHKILDNKRIFAKGCQCCEYPKEGTHIRQHVILASSQSFSKGCDHCEYPKEGTHTRQHSYLHSSRSFCKGCDKCEFPKLGTHIRHHVVLHSRQSYSKGCENCEYPRVRVHTRQHLILHTSQRFSKGCEPCEYPKEGTHTRQHRILRSSHVFSKGCQNCTYLKDGTHTRQHTVLHSFWSFSKGCEKCEYPEEGTHTKQHGILHSSRSFSKGCEKCEYPKEGTHILQHRTLNSRWSFFKGCEKCEYPKERHIGKSIGLSSGHTLSNDNDPCKYSKDGTHTRAFQILHINQCFTQGCENSNSACSDQHGNLVLDHKLSKTHSDRKHFYERLVLQAPLLCNGGYKGSESVREQTLVKKQTFAVQRLHREPNEQYKDLQDRCKWVEELSLYWLDNRAMGEMKIDCSQKDKFISRSSVSSKIEFATESDPHTEQHIEREVLWPEEGKELRLIVDPKTLQYGLSVLNKYNELMVNRYVIQQATDLLKWEERTFDVFVDKFTVLTRSWATLSKADM
+>sp|P17021|ZNF17_HUMAN Zinc finger protein 17 OS=Homo sapiens OX=9606 GN=ZNF17 PE=1 SV=3
+MNLTEDYMVFEDVAIHFSQEEWGILNDVQRHLHSDVMLENFALLSSVGCWHGAKDEEAPSKQCVSVGVSQVTTLKPALSTQKAQPCETCSSLLKDILHLAEHDGTHPKRTAKLYLHQKEHLREKLTRSDEGRPSFVNDSVHLAKRNLTCMQGGKDFTGDSDLQQQALHSGWKPHRDTHGVEAFQSGQNNYSCTQCGKDFCHQHTLFEHQKIHTEERPYECSECGKLFRYNSDLIKHQRNHTGERPYKCSECGKAFSLKYNVVQHQKIHTGERPYECSECGKAFLRKSHLLQHQRIHTRPRPYVCSECGKAFLTQAHLVGHQKIHTGERPYGCNECGKYFMYSSALIRHQKVHTGERPFYCCECGKFFMDSCTLIIHQRVHTGEKPYECNECGKFFRYRSTLIRHQKVHTGEKPYECSECGKFFMDTSTLIIHQRVHTGEKPYECNKCGKFFRYCFTLNRHQRVHSGERPYECSECGKFFVDSCTLKSHQRVHTGERPFECSICGKSFRCRSTLDTHQRIHTGERPYECSECGKFFRHNSNHIRHRRNHFGERSFECTECGRVFSQNSHLIRHQKVHTRERTYKCSKCGKFFMDSSTLISHERVHTGEKPYECSECGKVFRYNSSLIKHRRIHTGERPYQCSECGRVFNQNSHLIQHQKVHTR
+>DECOY_sp|P17021|ZNF17_HUMAN Zinc finger protein 17 OS=Homo sapiens OX=9606 GN=ZNF17 PE=1 SV=3
+RTHVKQHQILHSNQNFVRGCESCQYPREGTHIRRHKILSSNYRFVKGCESCEYPKEGTHVREHSILTSSDMFFKGCKSCKYTRERTHVKQHRILHSNQSFVRGCETCEFSREGFHNRRHRIHNSNHRFFKGCESCEYPREGTHIRQHTDLTSRCRFSKGCISCEFPREGTHVRQHSKLTCSDVFFKGCESCEYPREGSHVRQHRNLTFCYRFFKGCKNCEYPKEGTHVRQHIILTSTDMFFKGCESCEYPKEGTHVKQHRILTSRYRFFKGCENCEYPKEGTHVRQHIILTCSDMFFKGCECCYFPREGTHVKQHRILASSYMFYKGCENCGYPREGTHIKQHGVLHAQTLFAKGCESCVYPRPRTHIRQHQLLHSKRLFAKGCESCEYPREGTHIKQHQVVNYKLSFAKGCESCKYPREGTHNRQHKILDSNYRFLKGCESCEYPREETHIKQHEFLTHQHCFDKGCQTCSYNNQGSQFAEVGHTDRHPKWGSHLAQQQLDSDGTFDKGGQMCTLNRKALHVSDNVFSPRGEDSRTLKERLHEKQHLYLKATRKPHTGDHEALHLIDKLLSSCTECPQAKQTSLAPKLTTVQSVGVSVCQKSPAEEDKAGHWCGVSSLLAFNELMVDSHLHRQVDNLIGWEEQSFHIAVDEFVMYDETLNM
+>sp|P17023|ZNF19_HUMAN Zinc finger protein 19 OS=Homo sapiens OX=9606 GN=ZNF19 PE=2 SV=4
+MAAMPLKAQYQEMVTFEDVAVHFTKTEWTGLSPAQRALYRSVMLENFGNLTALGYPVPKPALISLLERGDMAWGLEAQDDPPAERTKNVCKDVETNIDSESTLIQGISEERDGMMSHGQLKSVPQRTDFPETRNVEKHQDIPTVKNIQGKVPRIPCARKPFICEECGKSFSYFSYYARHQRIHTGEKPFECSECGKAFNGNSSLIRHQRIHTGERPYQCEECGRAFNDNANLIRHQRIHSGDRPYYCTECGNSFTSSSEFVIHQRIHTGEKPYECNECGKAFVGNSPLLRHQKIHTGEKPYECNECGKSFGRTSHLSQHQRIHTGEKPYSCKVCGQAFNFHTKLTRHQRIHSEEKPFDCVDCGKAFSAQEQLKRHLRIHTQESSYVCDECGKALTSKRNLHQHQRIHTGEKPYECSKYEKAFGTSSQLGHLEHVYSGEKPVLDICRFGLPEFFTPFYW
+>DECOY_sp|P17023|ZNF19_HUMAN Zinc finger protein 19 OS=Homo sapiens OX=9606 GN=ZNF19 PE=2 SV=4
+WYFPTFFEPLGFRCIDLVPKEGSYVHELHGLQSSTGFAKEYKSCEYPKEGTHIRQHQHLNRKSTLAKGCEDCVYSSEQTHIRLHRKLQEQASFAKGCDVCDFPKEESHIRQHRTLKTHFNFAQGCVKCSYPKEGTHIRQHQSLHSTRGFSKGCENCEYPKEGTHIKQHRLLPSNGVFAKGCENCEYPKEGTHIRQHIVFESSSTFSNGCETCYYPRDGSHIRQHRILNANDNFARGCEECQYPREGTHIRQHRILSSNGNFAKGCESCEFPKEGTHIRQHRAYYSFYSFSKGCEECIFPKRACPIRPVKGQINKVTPIDQHKEVNRTEPFDTRQPVSKLQGHSMMGDREESIGQILTSESDINTEVDKCVNKTREAPPDDQAELGWAMDGRELLSILAPKPVPYGLATLNGFNELMVSRYLARQAPSLGTWETKTFHVAVDEFTVMEQYQAKLPMAAM
+>sp|P17026|ZNF22_HUMAN Zinc finger protein 22 OS=Homo sapiens OX=9606 GN=ZNF22 PE=1 SV=3
+MRLAKPKAGISRSSSQGKAYENKRKTGRQRQKWGMTIRFDSSFSRLRRSLDDKPYKCTECEKSFSQSSTLFQHQKIHTGKKSHKCADCGKSFFQSSNLIQHRRIHTGEKPYKCDECGESFKQSSNLIQHQRIHTGEKPYQCDECGRCFSQSSHLIQHQRTHTGEKPYQCSECGKCFSQSSHLRQHMKVHKEEKPRKTRGKNIRVKTHLPSWKAGTGRKSVAGLR
+>DECOY_sp|P17026|ZNF22_HUMAN Zinc finger protein 22 OS=Homo sapiens OX=9606 GN=ZNF22 PE=1 SV=3
+RLGAVSKRGTGAKWSPLHTKVRINKGRTKRPKEEKHVKMHQRLHSSQSFCKGCESCQYPKEGTHTRQHQILHSSQSFCRGCEDCQYPKEGTHIRQHQILNSSQKFSEGCEDCKYPKEGTHIRRHQILNSSQFFSKGCDACKHSKKGTHIKQHQFLTSSQSFSKECETCKYPKDDLSRRLRSFSSDFRITMGWKQRQRGTKRKNEYAKGQSSSRSIGAKPKALRM
+>sp|P17028|ZNF24_HUMAN Zinc finger protein 24 OS=Homo sapiens OX=9606 GN=ZNF24 PE=1 SV=4
+MSAQSVEEDSILIIPTPDEEEKILRVKLEEDPDGEEGSSIPWNHLPDPEIFRQRFRQFGYQDSPGPREAVSQLRELCRLWLRPETHTKEQILELVVLEQFVAILPKELQTWVRDHHPENGEEAVTVLEDLESELDDPGQPVSLRRRKREVLVEDMVSQEEAQGLPSSELDAVENQLKWASWELHSLRHCDDDGRTENGALAPKQELPSALESHEVPGTLNMGVPQIFKYGETCFPKGRFERKRNPSRKKQHICDECGKHFSQGSALILHQRIHSGEKPYGCVECGKAFSRSSILVQHQRVHTGEKPYKCLECGKAFSQNSGLINHQRIHTGEKPYECVQCGKSYSQSSNLFRHQRRHNAEKLLNVVKV
+>DECOY_sp|P17028|ZNF24_HUMAN Zinc finger protein 24 OS=Homo sapiens OX=9606 GN=ZNF24 PE=1 SV=4
+VKVVNLLKEANHRRQHRFLNSSQSYSKGCQVCEYPKEGTHIRQHNILGSNQSFAKGCELCKYPKEGTHVRQHQVLISSRSFAKGCEVCGYPKEGSHIRQHLILASGQSFHKGCEDCIHQKKRSPNRKREFRGKPFCTEGYKFIQPVGMNLTGPVEHSELASPLEQKPALAGNETRGDDDCHRLSHLEWSAWKLQNEVADLESSPLGQAEEQSVMDEVLVERKRRRLSVPQGPDDLESELDELVTVAEEGNEPHHDRVWTQLEKPLIAVFQELVVLELIQEKTHTEPRLWLRCLERLQSVAERPGPSDQYGFQRFRQRFIEPDPLHNWPISSGEEGDPDEELKVRLIKEEEDPTPIILISDEEVSQASM
+>sp|Q9BSG1|ZNF2_HUMAN Zinc finger protein 2 OS=Homo sapiens OX=9606 GN=ZNF2 PE=1 SV=4
+MAAVSPTTRCQESVTFEDVAVVFTDEEWSRLVPIQRDLYKEVMLENYNSIVSLGLPVPQPDVIFQLKRGDKPWMVDLHGSEEREWPESVSLDWETKPEIHDASDKKSEGSLRECLGRQSPLCPKFEVHTPNGRMGTEKQSPSGETRKKSLSRDKGLRRRRSALSREILTKERHQECSDCGKTFFDHSSLTRHQRTHTGEKPYDCRECGKAFSHRSSLSRHLMSHTGESPYECSVCSKAFFDRSSLTVHQRIHTGEKPFQCNECGKAFFDRSSLTRHQRIHTGESPYECHQCGKAFSQKSILTRHQLIHTGRKPYECNECGKAFYGVSSLNRHQKAHAGDPRYQCNECGKAFFDRSSLTQHQKIHTGDKPYECSECGKAFSQRCRLTRHQRVHTGEKPFECTVCGKVFSSKSSVIQHQRRYAKQGID
+>DECOY_sp|Q9BSG1|ZNF2_HUMAN Zinc finger protein 2 OS=Homo sapiens OX=9606 GN=ZNF2 PE=1 SV=4
+DIGQKAYRRQHQIVSSKSSFVKGCVTCEFPKEGTHVRQHRTLRCRQSFAKGCESCEYPKDGTHIKQHQTLSSRDFFAKGCENCQYRPDGAHAKQHRNLSSVGYFAKGCENCEYPKRGTHILQHRTLISKQSFAKGCQHCEYPSEGTHIRQHRTLSSRDFFAKGCENCQFPKEGTHIRQHVTLSSRDFFAKSCVSCEYPSEGTHSMLHRSLSSRHSFAKGCERCDYPKEGTHTRQHRTLSSHDFFTKGCDSCEQHREKTLIERSLASRRRRLGKDRSLSKKRTEGSPSQKETGMRGNPTHVEFKPCLPSQRGLCERLSGESKKDSADHIEPKTEWDLSVSEPWEREESGHLDVMWPKDGRKLQFIVDPQPVPLGLSVISNYNELMVEKYLDRQIPVLRSWEEDTFVVAVDEFTVSEQCRTTPSVAAM
+>sp|P17038|ZNF43_HUMAN Zinc finger protein 43 OS=Homo sapiens OX=9606 GN=ZNF43 PE=2 SV=4
+MGPLTFMDVAIEFCLEEWQCLDIAQQNLYRNVMLENYRNLVFLGIAVSKPDLITCLEQEKEPWEPMRRHEMVAKPPVMCSHFTQDFWPEQHIKDPFQKATLRRYKNCEHKNVHLKKDHKSVDECKVHRGGYNGFNQCLPATQSKIFLFDKCVKAFHKFSNSNRHKISHTEKKLFKCKECGKSFCMLPHLAQHKIIHTRVNFCKCEKCGKAFNCPSIITKHKRINTGEKPYTCEECGKVFNWSSRLTTHKKNYTRYKLYKCEECGKAFNKSSILTTHKIIRTGEKFYKCKECAKAFNQSSNLTEHKKIHPGEKPYKCEECGKAFNWPSTLTKHKRIHTGEKPYTCEECGKAFNQFSNLTTHKRIHTAEKFYKCTECGEAFSRSSNLTKHKKIHTEKKPYKCEECGKAFKWSSKLTEHKLTHTGEKPYKCEECGKAFNWPSTLTKHNRIHTGEKPYKCEVCGKAFNQFSNLTTHKRIHTAEKPYKCEECGKAFSRSSNLTKHKKIHIEKKPYKCEECGKAFKWSSKLTEHKITHTGEKPYKCEECGKAFNHFSILTKHKRIHTGEKPYKCEECGKAFTQSSNLTTHKKIHTGEKFYKCEECGKAFTQSSNLTTHKKIHTGGKPYKCEECGKAFNQFSTLTKHKIIHTEEKPYKCEECGKAFKWSSTLTKHKIIHTGEKPYKCEECGKAFKLSSTLSTHKIIHTGEKPYKCEKCGKAFNRSSNLIEHKKIHTGEQPYKCEECGKAFNYSSHLNTHKRIHTKEQPYKCKECGKAFNQYSNLTTHNKIHTGEKLYKPEDVTVILTTPQTFSNIK
+>DECOY_sp|P17038|ZNF43_HUMAN Zinc finger protein 43 OS=Homo sapiens OX=9606 GN=ZNF43 PE=2 SV=4
+KINSFTQPTTLIVTVDEPKYLKEGTHIKNHTTLNSYQNFAKGCEKCKYPQEKTHIRKHTNLHSSYNFAKGCEECKYPQEGTHIKKHEILNSSRNFAKGCKECKYPKEGTHIIKHTSLTSSLKFAKGCEECKYPKEGTHIIKHKTLTSSWKFAKGCEECKYPKEETHIIKHKTLTSFQNFAKGCEECKYPKGGTHIKKHTTLNSSQTFAKGCEECKYFKEGTHIKKHTTLNSSQTFAKGCEECKYPKEGTHIRKHKTLISFHNFAKGCEECKYPKEGTHTIKHETLKSSWKFAKGCEECKYPKKEIHIKKHKTLNSSRSFAKGCEECKYPKEATHIRKHTTLNSFQNFAKGCVECKYPKEGTHIRNHKTLTSPWNFAKGCEECKYPKEGTHTLKHETLKSSWKFAKGCEECKYPKKETHIKKHKTLNSSRSFAEGCETCKYFKEATHIRKHTTLNSFQNFAKGCEECTYPKEGTHIRKHKTLTSPWNFAKGCEECKYPKEGPHIKKHETLNSSQNFAKACEKCKYFKEGTRIIKHTTLISSKNFAKGCEECKYLKYRTYNKKHTTLRSSWNFVKGCEECTYPKEGTNIRKHKTIISPCNFAKGCKECKCFNVRTHIIKHQALHPLMCFSKGCEKCKFLKKETHSIKHRNSNSFKHFAKVCKDFLFIKSQTAPLCQNFGNYGGRHVKCEDVSKHDKKLHVNKHECNKYRRLTAKQFPDKIHQEPWFDQTFHSCMVPPKAVMEHRRMPEWPEKEQELCTILDPKSVAIGLFVLNRYNELMVNRYLNQQAIDLCQWEELCFEIAVDMFTLPGM
+>sp|Q15929|ZNF56_HUMAN Putative zinc finger protein 56 OS=Homo sapiens OX=9606 GN=ZNF56 PE=5 SV=2
+MGPLQFRDVAIEFSLEEWHCLDTAQWNLYRDVMLENYRHLVFLVCGKAFNSSSNLTTHKKIHTGEKPYRCEECGKAFKRSSHLTVHKIVHTGEKSYKCEECGKAFKHPSHVTAHKKIHTGGKPYKCEECGKDFKYTSTLIAHKRIHTGEKPYKCEECGKDF
+>DECOY_sp|Q15929|ZNF56_HUMAN Putative zinc finger protein 56 OS=Homo sapiens OX=9606 GN=ZNF56 PE=5 SV=2
+FDKGCEECKYPKEGTHIRKHAILTSTYKFDKGCEECKYPKGGTHIKKHATVHSPHKFAKGCEECKYSKEGTHVIKHVTLHSSRKFAKGCEECRYPKEGTHIKKHTTLNSSSNFAKGCVLFVLHRYNELMVDRYLNWQATDLCHWEELSFEIAVDRFQLPGM
+>sp|Q15940|ZNF67_HUMAN Putative zinc finger protein 726P1 OS=Homo sapiens OX=9606 GN=ZNF726P1 PE=5 SV=2
+MLSHKTQHKSIYTREKSYKCKKCGKTFNWSSILTNNKKIHTEQKPYKCEECGKAFKQHSTLTTHKIICAEEKLYRCEECGKAFCQPSTLTRYKRMHRRKKLYKCEECGKAFTQFSTLTKHKRIHTRGKHYKCEESGKAFIWSSGLTEHRRVHTRQKPYKCEECGKALIQFSTLTRHKRIHTGEKPNKSMWQTF
+>DECOY_sp|Q15940|ZNF67_HUMAN Putative zinc finger protein 726P1 OS=Homo sapiens OX=9606 GN=ZNF726P1 PE=5 SV=2
+FTQWMSKNPKEGTHIRKHRTLTSFQILAKGCEECKYPKQRTHVRRHETLGSSWIFAKGSEECKYHKGRTHIRKHKTLTSFQTFAKGCEECKYLKKRRHMRKYRTLTSPQCFAKGCEECRYLKEEACIIKHTTLTSHQKFAKGCEECKYPKQETHIKKNNTLISSWNFTKGCKKCKYSKERTYISKHQTKHSLM
+>sp|Q9UC07|ZNF69_HUMAN Zinc finger protein 69 OS=Homo sapiens OX=9606 GN=ZNF69 PE=1 SV=2
+MPCCSHRRCREDPGTSESQEMDPVAFDDVAVNFTQEEWALLDISQRKLYKEVMLETFRNLTSVGKSWKDQNIEYEYQNPRRNFRSLIEKKVNEIKDDSHCGETFTQVPDDRLNFQEKKASPEIKSCDSFVCGEVGLGNSSFNMNIRGDIGHKAYEYQEYGPKPCKCQQPKKAFRYHPSFRTPQRDHTGEKPYACKECGKTFISHSSIQRHVVMHSGDGPYKCKFCGKAFHCLSLYLIHERIHTGEKPYECKQCGKSFSYSATLRIHERTHTGEKPYECQQCGKAFHSPRCYRRHERIHTGEKAYQCKECGKAFTCPQYVRIHERTHSRKKPYECTQCGKALSSLTSFQTHIRMHSGERPYECKICGKGFCSANSFQRHEKTHSGEKPYKCKQCGKAFIHSSSLRYHERIHTGEKPYECKQCGKAFRSSSHLQLHGRTHTGEKPYECQECGKAFRSMKNLQSHERTQTHVRIHSGERPYKCKLCGKGFYCPKSLQRHEKTHTGEKLYECKQCGEAFSSSSSFRYHERTHTGEKPYKCKQCGKAFRAASVLRMHGRTHPEDKPYECKQ
+>DECOY_sp|Q9UC07|ZNF69_HUMAN Zinc finger protein 69 OS=Homo sapiens OX=9606 GN=ZNF69 PE=1 SV=2
+QKCEYPKDEPHTRGHMRLVSAARFAKGCQKCKYPKEGTHTREHYRFSSSSSFAEGCQKCEYLKEGTHTKEHRQLSKPCYFGKGCLKCKYPREGSHIRVHTQTREHSQLNKMSRFAKGCEQCEYPKEGTHTRGHLQLHSSSRFAKGCQKCEYPKEGTHIREHYRLSSSHIFAKGCQKCKYPKEGSHTKEHRQFSNASCFGKGCIKCEYPREGSHMRIHTQFSTLSSLAKGCQTCEYPKKRSHTREHIRVYQPCTFAKGCEKCQYAKEGTHIREHRRYCRPSHFAKGCQQCEYPKEGTHTREHIRLTASYSFSKGCQKCEYPKEGTHIREHILYLSLCHFAKGCFKCKYPGDGSHMVVHRQISSHSIFTKGCEKCAYPKEGTHDRQPTRFSPHYRFAKKPQQCKCPKPGYEQYEYAKHGIDGRINMNFSSNGLGVEGCVFSDCSKIEPSAKKEQFNLRDDPVQTFTEGCHSDDKIENVKKEILSRFNRRPNQYEYEINQDKWSKGVSTLNRFTELMVEKYLKRQSIDLLAWEEQTFNVAVDDFAVPDMEQSESTGPDERCRRHSCCPM
+>sp|Q16587|ZNF74_HUMAN Zinc finger protein 74 OS=Homo sapiens OX=9606 GN=ZNF74 PE=1 SV=3
+MEIPAPEPEKTALSSQDPALSLKENLEDISGWGLPEARSKESVSFKDVAVDFTQEEWGQLDSPQRALYRDVMLENYQNLLALGPPLHKPDVISHLERGEEPWSMQREVPRGPCPEWELKAVPSQQQGICKEEPAQEPIMERPLGGAQAWGRQAGALQRSQAAPWAPAPAMVWDVPVEEFPLRCPLFAQQRVPEGGPLLDTRKNVQATEGRTKAPARLCAGENASTPSEPEKFPQVRRQRGAGAGEGEFVCGECGKAFRQSSSLTLHRRWHSREKAYKCDECGKAFTWSTNLLEHRRIHTGEKPFFCGECGKAFSCHSSLNVHQRIHTGERPYKCSACEKAFSCSSLLSMHLRVHTGEKPYRCGECGKAFNQRTHLTRHHRIHTGEKPYQCGSCGKAFTCHSSLTVHEKIHSGDKPFKCSDCEKAFNSRSRLTLHQRTHTGEKPFKCADCGKGFSCHAYLLVHRRIHSGEKPFKCNECGKAFSSHAYLIVHRRIHTGEKPFDCSQCWKAFSCHSSLIVHQRIHTGEKPYKCSECGRAFSQNHCLIKHQKIHSGEKSFKCEKCGEMFNWSSHLTEHQRLHSEGKPLAIQFNKHLLSTYYVPGSLLGAGDAGLRDVDPIDALDVAKLLCVVPPRAGRNFSLGSKPRN
+>DECOY_sp|Q16587|ZNF74_HUMAN Zinc finger protein 74 OS=Homo sapiens OX=9606 GN=ZNF74 PE=1 SV=3
+NRPKSGLSFNRGARPPVVCLLKAVDLADIPDVDRLGADGAGLLSGPVYYTSLLHKNFQIALPKGESHLRQHETLHSSWNFMEGCKECKFSKEGSHIKQHKILCHNQSFARGCESCKYPKEGTHIRQHVILSSHCSFAKWCQSCDFPKEGTHIRRHVILYAHSSFAKGCENCKFPKEGSHIRRHVLLYAHCSFGKGCDACKFPKEGTHTRQHLTLRSRSNFAKECDSCKFPKDGSHIKEHVTLSSHCTFAKGCSGCQYPKEGTHIRHHRTLHTRQNFAKGCEGCRYPKEGTHVRLHMSLLSSCSFAKECASCKYPREGTHIRQHVNLSSHCSFAKGCEGCFFPKEGTHIRRHELLNTSWTFAKGCEDCKYAKERSHWRRHLTLSSSQRFAKGCEGCVFEGEGAGAGRQRRVQPFKEPESPTSANEGACLRAPAKTRGETAQVNKRTDLLPGGEPVRQQAFLPCRLPFEEVPVDWVMAPAPAWPAAQSRQLAGAQRGWAQAGGLPREMIPEQAPEEKCIGQQQSPVAKLEWEPCPGRPVERQMSWPEEGRELHSIVDPKHLPPGLALLNQYNELMVDRYLARQPSDLQGWEEQTFDVAVDKFSVSEKSRAEPLGWGSIDELNEKLSLAPDQSSLATKEPEPAPIEM
+>sp|P51504|ZNF80_HUMAN Zinc finger protein 80 OS=Homo sapiens OX=9606 GN=ZNF80 PE=1 SV=2
+MSPKRDGLGTGDGLHSQVLQEQVSTGDNLHECDSQGPSKDTLVREGKTYKCKECGSVFNKNSLLVRHQQIHTGVKPYECQECGKAFPEKVDFVRPMRIHTGEKPCKCVECGKVFNRRSHLLCYRQIHTGEKPYECSECGKTFSYHSVFIQHRVTHTGEKLFGCKECGKTFYYNSSLTRHMKIHTGEKPCKCSECGKTFTYRSVFFRHSMTHTAGKPYECKECGKGFYYSYSLTRHTRSHTGEKPYECLEHRKDFGYHSAFAQQSKIHSGGKNL
+>DECOY_sp|P51504|ZNF80_HUMAN Zinc finger protein 80 OS=Homo sapiens OX=9606 GN=ZNF80 PE=1 SV=2
+LNKGGSHIKSQQAFASHYGFDKRHELCEYPKEGTHSRTHRTLSYSYYFGKGCEKCEYPKGATHTMSHRFFVSRYTFTKGCESCKCPKEGTHIKMHRTLSSNYYFTKGCEKCGFLKEGTHTVRHQIFVSHYSFTKGCESCEYPKEGTHIQRYCLLHSRRNFVKGCEVCKCPKEGTHIRMPRVFDVKEPFAKGCEQCEYPKVGTHIQQHRVLLSNKNFVSGCEKCKYTKGERVLTDKSPGQSDCEHLNDGTSVQEQLVQSHLGDGTGLGDRKPSM
+>sp|P51523|ZNF84_HUMAN Zinc finger protein 84 OS=Homo sapiens OX=9606 GN=ZNF84 PE=1 SV=2
+MTMLQESFSFDDLSVDFTQKEWQLLDPSQKNLYKDVMLENYSSLVSLGYEVMKPDVIFKLEQGEEPWVGDGEIPSSDSPEVWKVDGNMMWHQDNQDKLKIIKRGHECDAFGKNFNLNMNFVPLRKSNSEGDLDGLILKHHLDLLIPKGDYGKAESDDFNVFDNFFLHSKPEDTDTWLKYYDCDKYKESYKKSQIIIYHRNRLGEKLYECSECRKRFSKKPSLIKHQSRHIRDIAFGCGNCGKTFPQKSQFITHHRTHTGEKPYNCSQCGKAFSQKSQLTSHQRTHTGEKPYECGECGKAFSRKSHLISHWRTHTGEKPYGCNECGRAFSEKSNLINHQRIHTGEKPFECRECGKAFSRKSQLVTHHRTHTGTKPFGCSDCRKAFFEKSELIRHQTIHTGEKPYECSECRKAFRERSSLINHQRTHTGEKPHGCIQCGKAFSQKSHLISHQMTHTGEKPFICSKCGKAFSRKSQLVRHQRTHTGEKPYECSECGKAFSEKLSLTNHQRIHTGEKPYVCSECGKAFCQKSHLISHQRTHTGEKPYECSECGKAFGEKSSLATHQRTHTGEKPYECRDCEKAFSQKSQLNTHQRIHTGEKPYECSLCRKAFFEKSELIRHLRTHTGEKPYECNECRKAFREKSSLINHQRIHTGEKPFECSECGKAFSRKSHLIPHQRTHTGEKPYGCSECRKAFSQKSQLVNHQRIHTGEKPYRCIECGKAFSQKSQLINHQRTHTVKKS
+>DECOY_sp|P51523|ZNF84_HUMAN Zinc finger protein 84 OS=Homo sapiens OX=9606 GN=ZNF84 PE=1 SV=2
+SKKVTHTRQHNILQSKQSFAKGCEICRYPKEGTHIRQHNVLQSKQSFAKRCESCGYPKEGTHTRQHPILHSKRSFAKGCESCEFPKEGTHIRQHNILSSKERFAKRCENCEYPKEGTHTRLHRILESKEFFAKRCLSCEYPKEGTHIRQHTNLQSKQSFAKECDRCEYPKEGTHTRQHTALSSKEGFAKGCESCEYPKEGTHTRQHSILHSKQCFAKGCESCVYPKEGTHIRQHNTLSLKESFAKGCESCEYPKEGTHTRQHRVLQSKRSFAKGCKSCIFPKEGTHTMQHSILHSKQSFAKGCQICGHPKEGTHTRQHNILSSRERFAKRCESCEYPKEGTHITQHRILESKEFFAKRCDSCGFPKTGTHTRHHTVLQSKRSFAKGCERCEFPKEGTHIRQHNILNSKESFARGCENCGYPKEGTHTRWHSILHSKRSFAKGCEGCEYPKEGTHTRQHSTLQSKQSFAKGCQSCNYPKEGTHTRHHTIFQSKQPFTKGCNGCGFAIDRIHRSQHKILSPKKSFRKRCESCEYLKEGLRNRHYIIIQSKKYSEKYKDCDYYKLWTDTDEPKSHLFFNDFVNFDDSEAKGYDGKPILLDLHHKLILGDLDGESNSKRLPVFNMNLNFNKGFADCEHGRKIIKLKDQNDQHWMMNGDVKWVEPSDSSPIEGDGVWPEEGQELKFIVDPKMVEYGLSVLSSYNELMVDKYLNKQSPDLLQWEKQTFDVSLDDFSFSEQLMTM
+>sp|Q9P2E3|ZNFX1_HUMAN NFX1-type zinc finger-containing protein 1 OS=Homo sapiens OX=9606 GN=ZNFX1 PE=2 SV=2
+MEERRPHLDARPRNSHTNHRGPVDGELPPRARNQANNPPANALRGGASHPGRHPRANNHPAAYWQREERFRAMGRNPHQGRRNQEGHASDEARDQRHDQENDTRWRNGNQDCRNRRPPWSNDNFQQWRTPHQKPTEQPQQAKKLGYKFLESLLQKDPSEVVITLATSLGLKELLSHSSMKSNFLELICQVLRKACSSKMDRQSVLHVLGILKNSKFLKVCLPAYVVGMITEPIPDIRNQYPEHISNIISLLQDLVSVFPASSVQETSMLVSLLPTSLNALRASGVDIEEETEKNLEKVQTIIEHLQEKRREGTLRVDTYTLVQPEAEDHVESYRTMPIYPTYNEVHLDERPFLRPNIISGKYDSTAIYLDTHFRLLREDFVRPLREGILELLQSFEDQGLRKRKFDDIRIYFDTRIITPMCSSSGIVYKVQFDTKPLKFVRWQNSKRLLYGSLVCMSKDNFETFLFATVSNREQEDLCRGIVQLCFNEQSQQLLAEVQPSDSFLMVETTAYFEAYRHVLEGLQEVQEEDVPFQRNIVECNSHVKEPRYLLMGGRYDFTPLIENPSATGEFLRNVEGLRHPRINVLDPGQWPSKEALKLDDSQMEALQFALTRELAIIQGPPGTGKTYVGLKIVQALLTNESVWQISLQKFPILVVCYTNHALDQFLEGIYNCQKTSIVRVGGRSNSEILKQFTLRELRNKREFRRNLPMHLRRAYMSIMTQMKESEQELHEGAKTLECTMRGVLREQYLQKYISPQHWESLMNGPVQDSEWICFQHWKHSMMLEWLGLGVGSFTQSVSPAGPENTAQAEGDEEEEGEEESSLIEIAEEADLIQADRVIEEEEVVRPQRRKKEESGADQELAKMLLAMRLDHCGTGTAAGQEQATGEWQTQRNQKKKMKKRVKDELRKLNTMTAAEANEIEDVWQLDLSSRWQLYRLWLQLYQADTRRKILSYERQYRTSAERMAELRLQEDLHILKDAQVVGMTTTGAAKYRQILQKVEPRIVIVEEAAEVLEAHTIATLSKACQHLILIGDHQQLRPSANVYDLAKNFNLEVSLFERLVKVNIPFVRLNYQHRMCPEIARLLTPHIYQDLENHPSVLKYEKIKGVSSNLFFVEHNFPEQEIQEGKSHQNQHEAHFVVELCKYFLCQEYLPSQITILTTYTGQLFCLRKLMPAKTFAGVRVHVVDKYQGEENDIILLSLVRSNQEGKVGFLQISNRICVALSRAKKGMYCIGNMQMLAKVPLWSKIIHTLRENNQIGPMLRLCCQNHPETHTLVSKASDFQKVPEGGCSLPCEFRLGCGHVCTRACHPYDSSHKEFQCMKPCQKVICQEGHRCPLVCFQECQPCQVKVPKTIPRCGHEQMVPCSVPESDFCCQEPCSKSLRCGHRCSHPCGEDCVQLCSEMVTIKLKCGHSQPVKCGHVEGLLYGGLLVKCTTKCGTILDCGHPCPGSCHSCFEGRFHERCQQPCKRLLICSHKCQEPCIGECPPCQRTCQNRCVHSQCKKKCGELCSPCVEPCVWRCQHYQCTKLCSEPCNRPPCYVPCTKLLVCGHPCIGLCGEPCPKKCRICHMDEVTQIFFGFEDEPDARFVQLEDCSHIFEVQALDRYMNEQKDDEVAIRLKVCPICQVPIRKNLRYGTSIKQRLEEIEIIKEKIQGSAGEIATSQERLKALLERKSLLHQLLPEDFLMLKEKLAQKNLSVKDLGLVENYISFYDHLASLWDSLKKMHVLEEKRVRTRLEQVHEWLAKKRLSFTSQELSDLRSEIQRLTYLVNLLTRYKIAEKKVKDSIAVEVYSVQNILEKTCKFTQEDEQLVQEKMEALKATLPCSGLGISEEERVQIVSAIGYPRGHWFKCRNGHIYVIGDCGGAMERGTCPDCKEVIGGTNHTLERSNQLASEMDGAQHAAWSDTANNLMNFEEIQGMM
+>DECOY_sp|Q9P2E3|ZNFX1_HUMAN NFX1-type zinc finger-containing protein 1 OS=Homo sapiens OX=9606 GN=ZNFX1 PE=2 SV=2
+MMGQIEEFNMLNNATDSWAAHQAGDMESALQNSRELTHNTGGIVEKCDPCTGREMAGGCDGIVYIHGNRCKFWHGRPYGIASVIQVREEESIGLGSCPLTAKLAEMKEQVLQEDEQTFKCTKELINQVSYVEVAISDKVKKEAIKYRTLLNVLYTLRQIESRLDSLEQSTFSLRKKALWEHVQELRTRVRKEELVHMKKLSDWLSALHDYFSIYNEVLGLDKVSLNKQALKEKLMLFDEPLLQHLLSKRELLAKLREQSTAIEGASGQIKEKIIEIEELRQKISTGYRLNKRIPVQCIPCVKLRIAVEDDKQENMYRDLAQVEFIHSCDELQVFRADPEDEFGFFIQTVEDMHCIRCKKPCPEGCLGICPHGCVLLKTCPVYCPPRNCPESCLKTCQYHQCRWVCPEVCPSCLEGCKKKCQSHVCRNQCTRQCPPCEGICPEQCKHSCILLRKCPQQCREHFRGEFCSHCSGPCPHGCDLITGCKTTCKVLLGGYLLGEVHGCKVPQSHGCKLKITVMESCLQVCDEGCPHSCRHGCRLSKSCPEQCCFDSEPVSCPVMQEHGCRPITKPVKVQCPQCEQFCVLPCRHGEQCIVKQCPKMCQFEKHSSDYPHCARTCVHGCGLRFECPLSCGGEPVKQFDSAKSVLTHTEPHNQCCLRLMPGIQNNERLTHIIKSWLPVKALMQMNGICYMGKKARSLAVCIRNSIQLFGVKGEQNSRVLSLLIIDNEEGQYKDVVHVRVGAFTKAPMLKRLCFLQGTYTTLITIQSPLYEQCLFYKCLEVVFHAEHQNQHSKGEQIEQEPFNHEVFFLNSSVGKIKEYKLVSPHNELDQYIHPTLLRAIEPCMRHQYNLRVFPINVKVLREFLSVELNFNKALDYVNASPRLQQHDGILILHQCAKSLTAITHAELVEAAEEVIVIRPEVKQLIQRYKAAGTTTMGVVQADKLIHLDEQLRLEAMREASTRYQREYSLIKRRTDAQYLQLWLRYLQWRSSLDLQWVDEIENAEAATMTNLKRLEDKVRKKMKKKQNRQTQWEGTAQEQGAATGTGCHDLRMALLMKALEQDAGSEEKKRRQPRVVEEEEIVRDAQILDAEEAIEILSSEEEGEEEEDGEAQATNEPGAPSVSQTFSGVGLGLWELMMSHKWHQFCIWESDQVPGNMLSEWHQPSIYKQLYQERLVGRMTCELTKAGEHLEQESEKMQTMISMYARRLHMPLNRRFERKNRLERLTFQKLIESNSRGGVRVISTKQCNYIGELFQDLAHNTYCVVLIPFKQLSIQWVSENTLLAQVIKLGVYTKGTGPPGQIIALERTLAFQLAEMQSDDLKLAEKSPWQGPDLVNIRPHRLGEVNRLFEGTASPNEILPTFDYRGGMLLYRPEKVHSNCEVINRQFPVDEEQVEQLGELVHRYAEFYATTEVMLFSDSPQVEALLQQSQENFCLQVIGRCLDEQERNSVTAFLFTEFNDKSMCVLSGYLLRKSNQWRVFKLPKTDFQVKYVIGSSSCMPTIIRTDFYIRIDDFKRKRLGQDEFSQLLELIGERLPRVFDERLLRFHTDLYIATSDYKGSIINPRLFPREDLHVENYTPYIPMTRYSEVHDEAEPQVLTYTDVRLTGERRKEQLHEIITQVKELNKETEEEIDVGSARLANLSTPLLSVLMSTEQVSSAPFVSVLDQLLSIINSIHEPYQNRIDPIPETIMGVVYAPLCVKLFKSNKLIGLVHLVSQRDMKSSCAKRLVQCILELFNSKMSSHSLLEKLGLSTALTIVVESPDKQLLSELFKYGLKKAQQPQETPKQHPTRWQQFNDNSWPPRRNRCDQNGNRWRTDNEQDHRQDRAEDSAHGEQNRRGQHPNRGMARFREERQWYAAPHNNARPHRGPHSAGGRLANAPPNNAQNRARPPLEGDVPGRHNTHSNRPRADLHPRREEM
+>sp|Q9ULT6|ZNRF3_HUMAN E3 ubiquitin-protein ligase ZNRF3 OS=Homo sapiens OX=9606 GN=ZNRF3 PE=1 SV=3
+MRPRSGGRPGATGRRRRRLRRRPRGLRCSRLPPPPPLPLLLGLLLAAAGPGAARAKETAFVEVVLFESSPSGDYTTYTTGLTGRFSRAGATLSAEGEIVQMHPLGLCNNNDEEDLYEYGWVGVVKLEQPELDPKPCLTVLGKAKRAVQRGATAVIFDVSENPEAIDQLNQGSEDPLKRPVVYVKGADAIKLMNIVNKQKVARARIQHRPPRQPTEYFDMGIFLAFFVVVSLVCLILLVKIKLKQRRSQNSMNRLAVQALEKMETRKFNSKSKGRREGSCGALDTLSSSSTSDCAICLEKYIDGEELRVIPCTHRFHRKCVDPWLLQHHTCPHCRHNIIEQKGNPSAVCVETSNLSRGRQQRVTLPVHYPGRVHRTNAIPAYPTRTSMDSHGNPVTLLTMDRHGEQSLYSPQTPAYIRSYPPLHLDHSLAAHRCGLEHRAYSPAHPFRRPKLSGRSFSKAACFSQYETMYQHYYFQGLSYPEQEGQSPPSLAPRGPARAFPPSGSGSLLFPTVVHVAPPSHLESGSTSSFSCYHGHRSVCSGYLADCPGSDSSSSSSSGQCHCSSSDSVVDCTEVSNQGVYGSCSTFRSSLSSDYDPFIYRSRSPCRASEAGGSGSSGRGPALCFEGSPPPEELPAVHSHGAGRGEPWPGPASPSGDQVSTCSLEMNYSSNSSLEHRGPNSSTSEVGLEASPGAAPDLRRTWKGGHELPSCACCCEPQPSPAGPSAGAAGSSTLFLGPHLYEGSGPAGGEPQSGSSQGLYGLHPDHLPRTDGVKYEGLPCCFYEEKQVARGGGGGSGCYTEDYSVSVQYTLTEEPPPGCYPGARDLSQRIPIIPEDVDCDLGLPSDCQGTHSLGSWGGTRGPDTPRPHRGLGATREEERALCCQARALLRPGCPPEEAGAVRANFPSALQDTQESSTTATEAAGPRSHSADSSSPGA
+>DECOY_sp|Q9ULT6|ZNRF3_HUMAN E3 ubiquitin-protein ligase ZNRF3 OS=Homo sapiens OX=9606 GN=ZNRF3 PE=1 SV=3
+AGPSSSDASHSRPGAAETATTSSEQTDQLASPFNARVAGAEEPPCGPRLLARAQCCLAREEERTAGLGRHPRPTDPGRTGGWSGLSHTGQCDSPLGLDCDVDEPIIPIRQSLDRAGPYCGPPPEETLTYQVSVSYDETYCGSGGGGGRAVQKEEYFCCPLGEYKVGDTRPLHDPHLGYLGQSSGSQPEGGAPGSGEYLHPGLFLTSSGAAGASPGAPSPQPECCCACSPLEHGGKWTRRLDPAAGPSAELGVESTSSNPGRHELSSNSSYNMELSCTSVQDGSPSAPGPWPEGRGAGHSHVAPLEEPPPSGEFCLAPGRGSSGSGGAESARCPSRSRYIFPDYDSSLSSRFTSCSGYVGQNSVETCDVVSDSSSCHCQGSSSSSSSDSGPCDALYGSCVSRHGHYCSFSSTSGSELHSPPAVHVVTPFLLSGSGSPPFARAPGRPALSPPSQGEQEPYSLGQFYYHQYMTEYQSFCAAKSFSRGSLKPRRFPHAPSYARHELGCRHAALSHDLHLPPYSRIYAPTQPSYLSQEGHRDMTLLTVPNGHSDMSTRTPYAPIANTRHVRGPYHVPLTVRQQRGRSLNSTEVCVASPNGKQEIINHRCHPCTHHQLLWPDVCKRHFRHTCPIVRLEEGDIYKELCIACDSTSSSSLTDLAGCSGERRGKSKSNFKRTEMKELAQVALRNMSNQSRRQKLKIKVLLILCVLSVVVFFALFIGMDFYETPQRPPRHQIRARAVKQKNVINMLKIADAGKVYVVPRKLPDESGQNLQDIAEPNESVDFIVATAGRQVARKAKGLVTLCPKPDLEPQELKVVGVWGYEYLDEEDNNNCLGLPHMQVIEGEASLTAGARSFRGTLGTTYTTYDGSPSSEFLVVEVFATEKARAAGPGAAALLLGLLLPLPPPPPLRSCRLGRPRRRLRRRRRGTAGPRGGSRPRM
+>sp|Q6NXT4|ZNT6_HUMAN Zinc transporter 6 OS=Homo sapiens OX=9606 GN=SLC30A6 PE=1 SV=2
+MGTIHLFRKPQRSFFGKLLREFRLVAADRRSWKILLFGVINLICTGFLLMWCSSTNSIALTAYTYLTIFDLFSLMTCLISYWVTLRKPSPVYSFGFERLEVLAVFASTVLAQLGALFILKESAERFLEQPEIHTGRLLVGTFVALCFNLFTMLSIRNKPFAYVSEAASTSWLQEHVADLSRSLCGIIPGLSSIFLPRMNPFVLIDLAGAFALCITYMLIEINNYFAVDTASAIAIALMTFGTMYPMSVYSGKVLLQTTPPHVIGQLDKLIREVSTLDGVLEVRNEHFWTLGFGSLAGSVHVRIRRDANEQMVLAHVTNRLYTLVSTLTVQIFKDDWIRPALLSGPVAANVLNFSDHHVIPMPLLKGTDDLNPVTSTPAKPSSPPPEFSFNTPGKNVNPVILLNTQTRPYGFGLNHGHTPYSSMLNQGLGVPGIGATQGLRTGFTNIPSRYGTNNRIGQPRP
+>DECOY_sp|Q6NXT4|ZNT6_HUMAN Zinc transporter 6 OS=Homo sapiens OX=9606 GN=SLC30A6 PE=1 SV=2
+PRPQGIRNNTGYRSPINTFGTRLGQTAGIGPVGLGQNLMSSYPTHGHNLGFGYPRTQTNLLIVPNVNKGPTNFSFEPPPSSPKAPTSTVPNLDDTGKLLPMPIVHHDSFNLVNAAVPGSLLAPRIWDDKFIQVTLTSVLTYLRNTVHALVMQENADRRIRVHVSGALSGFGLTWFHENRVELVGDLTSVERILKDLQGIVHPPTTQLLVKGSYVSMPYMTGFTMLAIAIASATDVAFYNNIEILMYTICLAFAGALDILVFPNMRPLFISSLGPIIGCLSRSLDAVHEQLWSTSAAESVYAFPKNRISLMTFLNFCLAVFTGVLLRGTHIEPQELFREASEKLIFLAGLQALVTSAFVALVELREFGFSYVPSPKRLTVWYSILCTMLSFLDFITLYTYATLAISNTSSCWMLLFGTCILNIVGFLLIKWSRRDAAVLRFERLLKGFFSRQPKRFLHITGM
+>sp|Q8NEW0|ZNT7_HUMAN Zinc transporter 7 OS=Homo sapiens OX=9606 GN=SLC30A7 PE=2 SV=1
+MLPLSIKDDEYKPPKFNLFGKISGWFRSILSDKTSRNLFFFLCLNLSFAFVELLYGIWSNCLGLISDSFHMFFDSTAILAGLAASVISKWRDNDAFSYGYVRAEVLAGFVNGLFLIFTAFFIFSEGVERALAPPDVHHERLLLVSILGFVVNLIGIFVFKHGGHGHSHGSGHGHSHSLFNGALDQAHGHVDHCHSHEVKHGAAHSHDHAHGHGHFHSHDGPSLKETTGPSRQILQGVFLHILADTLGSIGVIASAIMMQNFGLMIADPICSILIAILIVVSVIPLLRESVGILMQRTPPLLENSLPQCYQRVQQLQGVYSLQEQHFWTLCSDVYVGTLKLIVAPDADARWILSQTHNIFTQAGVRQLYVQIDFAAM
+>DECOY_sp|Q8NEW0|ZNT7_HUMAN Zinc transporter 7 OS=Homo sapiens OX=9606 GN=SLC30A7 PE=2 SV=1
+MAAFDIQVYLQRVGAQTFINHTQSLIWRADADPAVILKLTGVYVDSCLTWFHQEQLSYVGQLQQVRQYCQPLSNELLPPTRQMLIGVSERLLPIVSVVILIAILISCIPDAIMLGFNQMMIASAIVGISGLTDALIHLFVGQLIQRSPGTTEKLSPGDHSHFHGHGHAHDHSHAAGHKVEHSHCHDVHGHAQDLAGNFLSHSHGHGSGHSHGHGGHKFVFIGILNVVFGLISVLLLREHHVDPPALAREVGESFIFFATFILFLGNVFGALVEARVYGYSFADNDRWKSIVSAALGALIATSDFFMHFSDSILGLCNSWIGYLLEVFAFSLNLCLFFFLNRSTKDSLISRFWGSIKGFLNFKPPKYEDDKISLPLM
+>sp|Q05996|ZP2_HUMAN Zona pellucida sperm-binding protein 2 OS=Homo sapiens OX=9606 GN=ZP2 PE=1 SV=1
+MACRQRGGSWSPSGWFNAGWSTYRSISLFFALVTSGNSIDVSQLVNPAFPGTVTCDEREITVEFPSSPGTKKWHASVVDPLGLDMPNCTYILDPEKLTLRATYDNCTRRVHGGHQMTIRVMNNSAALRHGAVMYQFFCPAMQVEETQGLSASTICQKDFMSFSLPRVFSGLADDSKGTKVQMGWSIEVGDGARAKTLTLPEAMKEGFSLLIDNHRMTFHVPFNATGVTHYVQGNSHLYMVSLKLTFISPGQKVIFSSQAICAPDPVTCNATHMTLTIPEFPGKLKSVSFENQNIDVSQLHDNGIDLEATNGMKLHFSKTLLKTKLSEKCLLHQFYLASLKLTFLLRPETVSMVIYPECLCESPVSIVTGELCTQDGFMDVEVYSYQTQPALDLGTLRVGNSSCQPVFEAQSQGLVRFHIPLNGCGTRYKFEDDKVVYENEIHALWTDFPPSKISRDSEFRMTVKCSYSRNDMLLNINVESLTPPVASVKLGPFTLILQSYPDNSYQQPYGENEYPLVRFLRQPIYMEVRVLNRDDPNIKLVLDDCWATSTMDPDSFPQWNVVVDGCAYDLDNYQTTFHPVGSSVTHPDHYQRFDMKAFAFVSEAHVLSSLVYFHCSALICNRLSPDSPLCSVTCPVSSRHRRATGATEAEKMTVSLPGPILLLSDDSSFRGVGSSDLKASGSSGEKSRSETGEEVGSRGAMDTKGHKTAGDVGSKAVAAVAAFAGVVATLGFIYYLYEKRTVSNH
+>DECOY_sp|Q05996|ZP2_HUMAN Zona pellucida sperm-binding protein 2 OS=Homo sapiens OX=9606 GN=ZP2 PE=1 SV=1
+HNSVTRKEYLYYIFGLTAVVGAFAAVAAVAKSGVDGATKHGKTDMAGRSGVEEGTESRSKEGSSGSAKLDSSGVGRFSSDDSLLLIPGPLSVTMKEAETAGTARRHRSSVPCTVSCLPSDPSLRNCILASCHFYVLSSLVHAESVFAFAKMDFRQYHDPHTVSSGVPHFTTQYNDLDYACGDVVVNWQPFSDPDMTSTAWCDDLVLKINPDDRNLVRVEMYIPQRLFRVLPYENEGYPQQYSNDPYSQLILTFPGLKVSAVPPTLSEVNINLLMDNRSYSCKVTMRFESDRSIKSPPFDTWLAHIENEYVVKDDEFKYRTGCGNLPIHFRVLGQSQAEFVPQCSSNGVRLTGLDLAPQTQYSYVEVDMFGDQTCLEGTVISVPSECLCEPYIVMSVTEPRLLFTLKLSALYFQHLLCKESLKTKLLTKSFHLKMGNTAELDIGNDHLQSVDINQNEFSVSKLKGPFEPITLTMHTANCTVPDPACIAQSSFIVKQGPSIFTLKLSVMYLHSNGQVYHTVGTANFPVHFTMRHNDILLSFGEKMAEPLTLTKARAGDGVEISWGMQVKTGKSDDALGSFVRPLSFSMFDKQCITSASLGQTEEVQMAPCFFQYMVAGHRLAASNNMVRITMQHGGHVRRTCNDYTARLTLKEPDLIYTCNPMDLGLPDVVSAHWKKTGPSSPFEVTIEREDCTVTGPFAPNVLQSVDISNGSTVLAFFLSISRYTSWGANFWGSPSWSGGRQRCAM
+>sp|Q9UK55|ZPI_HUMAN Protein Z-dependent protease inhibitor OS=Homo sapiens OX=9606 GN=SERPINA10 PE=1 SV=1
+MKVVPSLLLSVLLAQVWLVPGLAPSPQSPETPAPQNQTSRVVQAPKEEEEDEQEASEEKASEEEKAWLMASRQQLAKETSNFGFSLLRKISMRHDGNMVFSPFGMSLAMTGLMLGATGPTETQIKRGLHLQALKPTKPGLLPSLFKGLRETLSRNLELGLTQGSFAFIHKDFDVKETFFNLSKRYFDTECVPMNFRNASQAKRLMNHYINKETRGKIPKLFDEINPETKLILVDYILFKGKWLTPFDPVFTEVDTFHLDKYKTIKVPMMYGAGKFASTFDKNFRCHVLKLPYQGNATMLVVLMEKMGDHLALEDYLTTDLVETWLRNMKTRNMEVFFPKFKLDQKYEMHELLRQMGIRRIFSPFADLSELSATGRNLQVSRVLQRTVIEVDERGTEAVAGILSEITAYSMPPVIKVDRPFHFMIYEETSGMLLFLGRVVNPTLL
+>DECOY_sp|Q9UK55|ZPI_HUMAN Protein Z-dependent protease inhibitor OS=Homo sapiens OX=9606 GN=SERPINA10 PE=1 SV=1
+LLTPNVVRGLFLLMGSTEEYIMFHFPRDVKIVPPMSYATIESLIGAVAETGREDVEIVTRQLVRSVQLNRGTASLESLDAFPSFIRRIGMQRLLEHMEYKQDLKFKPFFVEMNRTKMNRLWTEVLDTTLYDELALHDGMKEMLVVLMTANGQYPLKLVHCRFNKDFTSAFKGAGYMMPVKITKYKDLHFTDVETFVPDFPTLWKGKFLIYDVLILKTEPNIEDFLKPIKGRTEKNIYHNMLRKAQSANRFNMPVCETDFYRKSLNFFTEKVDFDKHIFAFSGQTLGLELNRSLTERLGKFLSPLLGPKTPKLAQLHLGRKIQTETPGTAGLMLGTMALSMGFPSFVMNGDHRMSIKRLLSFGFNSTEKALQQRSAMLWAKEEESAKEESAEQEDEEEEKPAQVVRSTQNQPAPTEPSQPSPALGPVLWVQALLVSLLLSPVVKM
+>sp|Q8TCW7|ZPLD1_HUMAN Zona pellucida-like domain-containing protein 1 OS=Homo sapiens OX=9606 GN=ZPLD1 PE=2 SV=2
+MEQIWLLLLLTIRVLPGSAQFNGYNCDANLHSRFPAERDISVYCGVQAITMKINFCTVLFSGYSETDLALNGRHGDSHCRGFINNNTFPAVVIFIINLSTLEGCGNNLVVSTIPGVSAYGNATSVQVGNISGYIDTPDPPTIISYLPGLLYKFSCSYPLEYLVNNTQLASSSAAISVRENNGTFVSTLNLLLYNDSTYNQQLIIPSIGLPLKTKVFAAVQATNLDGRWNVLMDYCYTTPSGNPNDDIRYDLFLSCDKDPQTTVIENGRSQRGRFSFEVFRFVKHKNQKMSTVFLHCVTKLCRADDCPFLMPICSHRERRDAGRRTTWSPQSSSGSAVLSAGPIITRSDETPTNNSQLGSPSMPPFQLNAITSALISGMVILGVTSFSLLLCSLALLHRKGPTSLVLNGIRNPVFD
+>DECOY_sp|Q8TCW7|ZPLD1_HUMAN Zona pellucida-like domain-containing protein 1 OS=Homo sapiens OX=9606 GN=ZPLD1 PE=2 SV=2
+DFVPNRIGNLVLSTPGKRHLLALSCLLLSFSTVGLIVMGSILASTIANLQFPPMSPSGLQSNNTPTEDSRTIIPGASLVASGSSSQPSWTTRRGADRRERHSCIPMLFPCDDARCLKTVCHLFVTSMKQNKHKVFRFVEFSFRGRQSRGNEIVTTQPDKDCSLFLDYRIDDNPNGSPTTYCYDMLVNWRGDLNTAQVAAFVKTKLPLGISPIILQQNYTSDNYLLLNLTSVFTGNNERVSIAASSSALQTNNVLYELPYSCSFKYLLGPLYSIITPPDPTDIYGSINGVQVSTANGYASVGPITSVVLNNGCGELTSLNIIFIVVAPFTNNNIFGRCHSDGHRGNLALDTESYGSFLVTCFNIKMTIAQVGCYVSIDREAPFRSHLNADCNYGNFQASGPLVRITLLLLLWIQEM
+>sp|Q5FWF4|ZRAB3_HUMAN DNA annealing helicase and endonuclease ZRANB3 OS=Homo sapiens OX=9606 GN=ZRANB3 PE=1 SV=2
+MPRVHNIKKSLTPHISCVTNESDNLLDFLPDRLRAKLLPFQKDGIIFALKRNGRCMVADEMGLGKTIQAIGITYFYKEEWPLLIVVPSSLRYPWTEEIEKWIPELSPEEINVIQNKTDVRRMSTSKVTVLGYGLLTADAKTLIDALNNQNFKVVIVDESHYMKSRNATRSRILLPIVQKARRAILLTGTPALGRPEELFMQIEALFPQKFGRWTDYAKRYCNAHIRYFGKRPQWDCRGASNLNELHQLLSDIMIRRLKTEVLTQLPPKVRQRIPFDLPSAAAKELNTSFEEWEKIMRTPNSGAMETVMGLITRMFKQTAIAKAGAVKDYIKMMLQNDSLKFLVFAHHLSMLQACTEAVIENKTRYIRIDGSVSSSERIHLVNQFQKDPDTRVAILSIQAAGQGLTFTAASHVVFAELYWDPGHIKQAEDRAHRIGQCSSVNIHYLIANGTLDTLMWGMLNRKAQVTGSTLNGRKEKIQAEEGDKEKWDFLQFAEAWTPNDSSEELRKEALFTHFEKEKQHDIRSFFVPQPKKRQLMTSCDESKRFREENTVVSSDPTKTAARDIIDYESDVEPETKRLKLAASEDHCSPSEETPSQSKQIRTPLVESVQEAKAQLTTPAFPVEGWQCSLCTYINNSELPYCEMCETPQGSAVMQIDSLNHIQDKNEKDDSQKDTSKKVQTISDCEKQALAQSEPGQLADSKEETPKIEKEDGLTSQPGNEQWKSSDTLPVYDTLMFCASRNTDRIHIYTKDGKQMSCNFIPLDIKLDLWEDLPASFQLKQYRSLILRFVREWSSLTAMKQRIIRKSGQLFCSPILALEEITKQQTKQNCTKRYITKEDVAVASMDKVKNVGGHVRLITKESRPRDPFTKKLLEDGACVPFLNPYTVQADLTVKPSTSKGYLQAVDNEGNPLCLRCQQPTCQTKQACKANSWDSRFCSLKCQEEFWIRSNNSYLRAKVFETEHGVCQLCNVNAQELFLRLRDAPKSQRKNLLYATWTSKLPLEQLNEMIRNPGEGHFWQVDHIKPVYGGGGQCSLDNLQTLCTVCHKERTARQAKERSQVRRQSLASKHGSDITRFLVKK
+>DECOY_sp|Q5FWF4|ZRAB3_HUMAN DNA annealing helicase and endonuclease ZRANB3 OS=Homo sapiens OX=9606 GN=ZRANB3 PE=1 SV=2
+KKVLFRTIDSGHKSALSQRRVQSREKAQRATREKHCVTCLTQLNDLSCQGGGGYVPKIHDVQWFHGEGPNRIMENLQELPLKSTWTAYLLNKRQSKPADRLRLFLEQANVNCLQCVGHETEFVKARLYSNNSRIWFEEQCKLSCFRSDWSNAKCAQKTQCTPQQCRLCLPNGENDVAQLYGKSTSPKVTLDAQVTYPNLFPVCAGDELLKKTFPDRPRSEKTILRVHGGVNKVKDMSAVAVDEKTIYRKTCNQKTQQKTIEELALIPSCFLQGSKRIIRQKMATLSSWERVFRLILSRYQKLQFSAPLDEWLDLKIDLPIFNCSMQKGDKTYIHIRDTNRSACFMLTDYVPLTDSSKWQENGPQSTLGDEKEIKPTEEKSDALQGPESQALAQKECDSITQVKKSTDKQSDDKENKDQIHNLSDIQMVASGQPTECMECYPLESNNIYTCLSCQWGEVPFAPTTLQAKAEQVSEVLPTRIQKSQSPTEESPSCHDESAALKLRKTEPEVDSEYDIIDRAATKTPDSSVVTNEERFRKSEDCSTMLQRKKPQPVFFSRIDHQKEKEFHTFLAEKRLEESSDNPTWAEAFQLFDWKEKDGEEAQIKEKRGNLTSGTVQAKRNLMGWMLTDLTGNAILYHINVSSCQGIRHARDEAQKIHGPDWYLEAFVVHSAATFTLGQGAAQISLIAVRTDPDKQFQNVLHIRESSSVSGDIRIYRTKNEIVAETCAQLMSLHHAFVLFKLSDNQLMMKIYDKVAGAKAIATQKFMRTILGMVTEMAGSNPTRMIKEWEEFSTNLEKAAASPLDFPIRQRVKPPLQTLVETKLRRIMIDSLLQHLENLNSAGRCDWQPRKGFYRIHANCYRKAYDTWRGFKQPFLAEIQMFLEEPRGLAPTGTLLIARRAKQVIPLLIRSRTANRSKMYHSEDVIVVKFNQNNLADILTKADATLLGYGLVTVKSTSMRRVDTKNQIVNIEEPSLEPIWKEIEETWPYRLSSPVVILLPWEEKYFYTIGIAQITKGLGMEDAVMCRGNRKLAFIIGDKQFPLLKARLRDPLFDLLNDSENTVCSIHPTLSKKINHVRPM
+>sp|P58557|YBEY_HUMAN Endoribonuclease YbeY OS=Homo sapiens OX=9606 GN=YBEY PE=1 SV=2
+MSLVIRNLQRVIPIRRAPLRSKIEIVRRILGVQKFDLGIICVDNKNIQHINRIYRDRNVPTDVLSFPFHEHLKAGEFPQPDFPDDYNLGDIFLGVEYIFHQCKENEDYNDVLTVTATHGLCHLLGFTHGTEAEWQQMFQKEKAVLDELGRRTGTRLQPLTRGLFGGS
+>DECOY_sp|P58557|YBEY_HUMAN Endoribonuclease YbeY OS=Homo sapiens OX=9606 GN=YBEY PE=1 SV=2
+SGGFLGRTLPQLRTGTRRGLEDLVAKEKQFMQQWEAETGHTFGLLHCLGHTATVTLVDNYDENEKCQHFIYEVGLFIDGLNYDDPFDPQPFEGAKLHEHFPFSLVDTPVNRDRYIRNIHQINKNDVCIIGLDFKQVGLIRRVIEIKSRLPARRIPIVRQLNRIVLSM
+>sp|Q0VG73|YC023_HUMAN Putative uncharacterized protein LOC152225 OS=Homo sapiens OX=9606 PE=5 SV=1
+MNNSFNKEDRMSSDTMVGSCDRQTKNGAKWHGGVSSLLDFTLIYIQLSTSFQNAGHSFKKQHICSDFEVMDELSCAVYGNKFYYLLPTLTHPSIQ
+>DECOY_sp|Q0VG73|YC023_HUMAN Putative uncharacterized protein LOC152225 OS=Homo sapiens OX=9606 PE=5 SV=1
+QISPHTLTPLLYYFKNGYVACSLEDMVEFDSCIHQKKFSHGANQFSTSLQIYILTFDLLSSVGGHWKAGNKTQRDCSGVMTDSSMRDEKNFSNNM
+>sp|Q6UXR6|YI004_HUMAN Putative uncharacterized protein UNQ6494/PRO21346 OS=Homo sapiens OX=9606 GN=UNQ6494/PRO21346 PE=5 SV=1
+MQCWQQPFLRFLQQPFFLATASLAGSSSSFNVLIPKRDEDGDGEGPGDVTAGVSRAAGSPSGWEAPWVQQPRCCRRATPVCCAGQGPPRSLQQGGSEVLLGQLCSPEPDWLPSSGPKVAKQVFQVAAELLQHPEHFVPSSVPEGCVHKPGSTCDGSLKGRAYPSCVPKRDPEHSREESHPLSG
+>DECOY_sp|Q6UXR6|YI004_HUMAN Putative uncharacterized protein UNQ6494/PRO21346 OS=Homo sapiens OX=9606 GN=UNQ6494/PRO21346 PE=5 SV=1
+GSLPHSEERSHEPDRKPVCSPYARGKLSGDCTSGPKHVCGEPVSSPVFHEPHQLLEAAVQFVQKAVKPGSSPLWDPEPSCLQGLLVESGGQQLSRPPGQGACCVPTARRCCRPQQVWPAEWGSPSGAARSVGATVDGPGEGDGDEDRKPILVNFSSSSGALSATALFFPQQLFRLFPQQWCQM
+>sp|Q8NFD4|YI018_HUMAN Uncharacterized protein FLJ76381 OS=Homo sapiens OX=9606 PE=2 SV=1
+MGGFGSRFWQEGVWDRDLEKSTRLEEDAMESEPLAGTKTRGRGRRRWEARHGWTLPAHASQPSPRTVVATATGAEVSACAGRSAGTRVARPESQLSHLYGWDKYSNPRPSRRARAVARVHALEQAPILCRALRWGLTQFLRGTSPVTQSVPFS
+>DECOY_sp|Q8NFD4|YI018_HUMAN Uncharacterized protein FLJ76381 OS=Homo sapiens OX=9606 PE=2 SV=1
+SFPVSQTVPSTGRLFQTLGWRLARCLIPAQELAHVRAVARARRSPRPNSYKDWGYLHSLQSEPRAVRTGASRGACASVEAGTATAVVTRPSPQSAHAPLTWGHRAEWRRRGRGRTKTGALPESEMADEELRTSKELDRDWVGEQWFRSGFGGM
+>sp|Q5PR19|YI024_HUMAN Putative UPF0607 protein LOC392364 OS=Homo sapiens OX=9606 PE=2 SV=3
+MAPAPVPALGTLGCYRFLFNPRQHLGPSFPARRYGAPRRLCFLPQNTGTPLRVLPSVFWSPPSRKKPVLSARNSRMFGHLSPVRIPHLRGKFNLRLPSLDEQVIPARLPKMEVRAEEPKEATEVKDQVETQEQEDNKRGPCSNGEAASTSRPLETQGNPTSPRYNPRPLEGNVQLKSLTENNQTDKAQVHAVSFYSKGHGVASSHSPAGGFPRGRTPPARQHG
+>DECOY_sp|Q5PR19|YI024_HUMAN Putative UPF0607 protein LOC392364 OS=Homo sapiens OX=9606 PE=2 SV=3
+GHQRAPPTRGRPFGGAPSHSSAVGHGKSYFSVAHVQAKDTQNNETLSKLQVNGELPRPNYRPSTPNGQTELPRSTSAAEGNSCPGRKNDEQEQTEVQDKVETAEKPEEARVEMKPLRAPIVQEDLSPLRLNFKGRLHPIRVPSLHGFMRSNRASLVPKKRSPPSWFVSPLVRLPTGTNQPLFCLRRPAGYRRAPFSPGLHQRPNFLFRYCGLTGLAPVPAPAM
+>sp|Q8N9G6|YJ012_HUMAN Putative UPF0607 protein FLJ37424 OS=Homo sapiens OX=9606 PE=2 SV=1
+MRLCLIPRNTGTPQRVLRPVVWSPPSRKKPVLSPHNSIMFGHLSPVRIPCLRGKFNLQLPSLDDQVIPARLPKTEVSAEEPKEATEVKDQVETQGQEDNKRGPCSNGEAASTSRPLETQGNLTSSWYNPRPLEGNVHLKSLTEKNQTDKAQVHAVSFYSKGHGVASSHSPAGGILPFGKPDPLPTVLPAPVPGCSLWPEKAALKVLGEDHLPSSPGLLMVGEDMQPKDPAALGSSRSSPPRAAGHRSHKRKLSGPPLQLQPTPPLQLRCDRDERPPPAKLPCLSPEALLVGQASQREGRLQHGNMRKNMRVLSRISKFRRLRQLLRRRKKTRQGRRGGSCL
+>DECOY_sp|Q8N9G6|YJ012_HUMAN Putative UPF0607 protein FLJ37424 OS=Homo sapiens OX=9606 PE=2 SV=1
+LCSGGRRGQRTKKRRRLLQRLRRFKSIRSLVRMNKRMNGHQLRGERQSAQGVLLAEPSLCPLKAPPPREDRDCRLQLPPTPQLQLPPGSLKRKHSRHGAARPPSSRSSGLAAPDKPQMDEGVMLLGPSSPLHDEGLVKLAAKEPWLSCGPVPAPLVTPLPDPKGFPLIGGAPSHSSAVGHGKSYFSVAHVQAKDTQNKETLSKLHVNGELPRPNYWSSTLNGQTELPRSTSAAEGNSCPGRKNDEQGQTEVQDKVETAEKPEEASVETKPLRAPIVQDDLSPLQLNFKGRLCPIRVPSLHGFMISNHPSLVPKKRSPPSWVVPRLVRQPTGTNRPILCLRM
+>sp|A6NHS1|YK042_HUMAN Putative uncharacterized protein ENSP00000347057 OS=Homo sapiens OX=9606 PE=5 SV=3
+MVLLAGTRPQGGEARCMIPPPPSPLLGAQVEEDRTEFKEFQDFSSLPDTRSVASDDSLYPFQDEEEHGVEGVESVPEEGILEAWGSCGRWCGVG
+>DECOY_sp|A6NHS1|YK042_HUMAN Putative uncharacterized protein ENSP00000347057 OS=Homo sapiens OX=9606 PE=5 SV=3
+GVGCWRGCSGWAELIGEEPVSEVGEVGHEEEDQFPYLSDDSAVSRTDPLSSFDQFEKFETRDEEVQAGLLPSPPPPIMCRAEGGQPRTGALLVM
+>sp|Q96M66|YP010_HUMAN Putative uncharacterized protein FLJ32790 OS=Homo sapiens OX=9606 PE=2 SV=2
+MAAKSTQDSLPRDTGEPSALPVQGRAEGRSSEGRKERTAECALRGKQASEPALRKRNFLPGPNSDTVRPAAETELVPCSLRHPRQDLCGEHPSFPVMQPSLETQAKPERDRAVLIPPKGPWPPAQGLAMRTHCPTGPPSKAYCRGGSSSTSRNQVASLAYRTQNTAASQPRQPGGRGKEDTAGYGSCSPRSLAV
+>DECOY_sp|Q96M66|YP010_HUMAN Putative uncharacterized protein FLJ32790 OS=Homo sapiens OX=9606 PE=2 SV=2
+VALSRPSCSGYGATDEKGRGGPQRPQSAATNQTRYALSAVQNRSTSSSGGRCYAKSPPGTPCHTRMALGQAPPWPGKPPILVARDREPKAQTELSPQMVPFSPHEGCLDQRPHRLSCPVLETEAAPRVTDSNPGPLFNRKRLAPESAQKGRLACEATREKRGESSRGEARGQVPLASPEGTDRPLSDQTSKAAM
+>sp|Q6ZRN7|YP029_HUMAN Putative uncharacterized protein FLJ46214 OS=Homo sapiens OX=9606 PE=2 SV=1
+MSPTKDSHPSPHFPRDSGIHAPTPPDSGALTLSPPVSQGPGVGPRTGRGNRLCRPPGRSAARSFCLLPGPPLGTGALGSPRAAQGLGFRGSGQRARHNSFTSPSPPGAHHPLGTHTRALPPPLARCPCAALLAGRECHGGPSPAAPRPLPGTSLTWPSRAPLPRPPPRERQGPGDRSPSPSAPSCPGVGASSPRRRKPREAAGLTPDG
+>DECOY_sp|Q6ZRN7|YP029_HUMAN Putative uncharacterized protein FLJ46214 OS=Homo sapiens OX=9606 PE=2 SV=1
+GDPTLGAAERPKRRRPSSAGVGPCSPASPSPSRDGPGQRERPPPRPLPARSPWTLSTGPLPRPAAPSPGGHCERGALLAACPCRALPPPLARTHTGLPHHAGPPSPSTFSNHRARQGSGRFGLGQAARPSGLAGTGLPPGPLLCFSRAASRGPPRCLRNGRGTRPGVGPGQSVPPSLTLAGSDPPTPAHIGSDRPFHPSPHSDKTPSM
+>sp|Q6ZT83|YR005_HUMAN Uncharacterized protein FLJ44881 OS=Homo sapiens OX=9606 PE=2 SV=1
+MGALNSISLETNKSSFSMSWTPSVEHSSGTARTLRSCKLALVGFSSMSEFLFRASKTQPVTLFVPNVNSALCDQPVVRGVGIHEQRKRNISSLISVFNHWLPPLQLHLGRALICRFNSRGNFTCLMTAVK
+>DECOY_sp|Q6ZT83|YR005_HUMAN Uncharacterized protein FLJ44881 OS=Homo sapiens OX=9606 PE=2 SV=1
+KVATMLCTFNGRSNFRCILARGLHLQLPPLWHNFVSILSSINRKRQEHIGVGRVVPQDCLASNVNPVFLTVPQTKSARFLFESMSSFGVLALKCSRLTRATGSSHEVSPTWSMSFSSKNTELSISNLAGM
+>sp|Q6ZPA2|YS039_HUMAN Putative uncharacterized protein FLJ26174 OS=Homo sapiens OX=9606 PE=2 SV=1
+MVPLFITSDSALTYSPLPEPPPTPALGGQRGPPTCQCPDFPYPVPPSEGCPSGRLLLSLHWDWGRGAGGSWSGGAWPGSPGWRLARGPAHGASAESLGPLGKALVTGWEGGWRGGQGGACSPWYFPIPAAL
+>DECOY_sp|Q6ZPA2|YS039_HUMAN Putative uncharacterized protein FLJ26174 OS=Homo sapiens OX=9606 PE=2 SV=1
+LAAPIPFYWPSCAGGQGGRWGGEWGTVLAKGLPGLSEASAGHAPGRALRWGPSGPWAGGSWSGGAGRGWDWHLSLLLRGSPCGESPPVPYPFDPCQCTPPGRQGGLAPTPPPEPLPSYTLASDSTIFLPVM
+>sp|Q6UXU0|YS002_HUMAN Putative uncharacterized protein UNQ9165/PRO28630 OS=Homo sapiens OX=9606 GN=UNQ9165/PRO28630 PE=2 SV=1
+MAALSRALGPLRTPAPPLWIGLFLVATGSQQSLAQPLPGNTTEATPRSLRASGSLCGPHAKAPYLCEATHEPAAARIRAQVPDTRWSRVGGQRFYSRVLSPLHRGPSGHTEASAQRSHMGKLKEPQPQDHKPGLGAS
+>DECOY_sp|Q6UXU0|YS002_HUMAN Putative uncharacterized protein UNQ9165/PRO28630 OS=Homo sapiens OX=9606 GN=UNQ9165/PRO28630 PE=2 SV=1
+SAGLGPKHDQPQPEKLKGMHSRQASAETHGSPGRHLPSLVRSYFRQGGVRSWRTDPVQARIRAAAPEHTAECLYPAKAHPGCLSGSARLSRPTAETTNGPLPQALSQQSGTAVLFLGIWLPPAPTRLPGLARSLAAM
+>sp|Q6ZWC4|YS043_HUMAN Putative uncharacterized protein LOC100128429 OS=Homo sapiens OX=9606 PE=5 SV=1
+MCWGKHWPRPEGRNLACSKGSPGWRTAGQGSGSYGAATLGKGTEQRGVGALRRGLLSFTCFCLSNWTSGAGFSEMGRSRFEEGRLGYSSDVLSARPTMSPTEMLRSRALNLGAATVLRRHRAPQGTSSYQEGRRAHEATSAESDDDNGVQVLASLAVSCAQHSRHKDPVGTQLQQACAQVPTSRAPLWPCPSHRLMHSTDGPLDPEPLSTLLPAA
+>DECOY_sp|Q6ZWC4|YS043_HUMAN Putative uncharacterized protein LOC100128429 OS=Homo sapiens OX=9606 PE=5 SV=1
+AAPLLTSLPEPDLPGDTSHMLRHSPCPWLPARSTPVQACAQQLQTGVPDKHRSHQACSVALSALVQVGNDDDSEASTAEHARRGEQYSSTGQPARHRRLVTAAGLNLARSRLMETPSMTPRASLVDSSYGLRGEEFRSRGMESFGAGSTWNSLCFCTFSLLGRRLAGVGRQETGKGLTAAGYSGSGQGATRWGPSGKSCALNRGEPRPWHKGWCM
+>sp|Q9UI54|YT001_HUMAN Putative uncharacterized protein PRO0628 OS=Homo sapiens OX=9606 GN=PRO0628 PE=5 SV=1
+MESPKCLYSRITVNTAFGTKFSHISFIILFKVFLFPRITISKKTKLVTLSNYLNK
+>DECOY_sp|Q9UI54|YT001_HUMAN Putative uncharacterized protein PRO0628 OS=Homo sapiens OX=9606 GN=PRO0628 PE=5 SV=1
+KNLYNSLTVLKTKKSITIRPFLFVKFLIIFSIHSFKTGFATNVTIRSYLCKPSEM
+>sp|Q8TCH9|YV004_HUMAN Putative uncharacterized protein FLJ23865 OS=Homo sapiens OX=9606 PE=2 SV=1
+MPSTEGFGGLDRLVLPPPPQVLPLLSPARGRIQFSGRKSTGSWVTQMENLLLAQPLPDDCEILCGWRSLLHLTFLIGFAPHFPHWFCNHHCHQHPPSHLTEVLQSSGELAHQLAPSRCSMRAEGTHRG
+>DECOY_sp|Q8TCH9|YV004_HUMAN Putative uncharacterized protein FLJ23865 OS=Homo sapiens OX=9606 PE=2 SV=1
+GRHTGEARMSCRSPALQHALEGSSQLVETLHSPPHQHCHHNCFWHPFHPAFGILFTLHLLSRWGCLIECDDPLPQALLLNEMQTVWSGTSKRGSFQIRGRAPSLLPLVQPPPPLVLRDLGGFGETSPM
+>sp|Q8N8P6|YX004_HUMAN Putative uncharacterized protein FLJ39060 OS=Homo sapiens OX=9606 PE=2 SV=1
+MVDGRTRTIINDIFFTEPTPEMSSLPVRSHSSLSLNLVSLMVICRGIIKLVIHFRMYCPPRLKAKHIEPTLRPVPLKELRISHWPNECIRHSASVPMATGANGLETKDETKRNAEKCACSVFL
+>DECOY_sp|Q8N8P6|YX004_HUMAN Putative uncharacterized protein FLJ39060 OS=Homo sapiens OX=9606 PE=2 SV=1
+LFVSCACKEANRKTEDKTELGNAGTAMPVSASHRICENPWHSIRLEKLPVPRLTPEIHKAKLRPPCYMRFHIVLKIIGRCIVMLSVLNLSLSSHSRVPLSSMEPTPETFFIDNIITRTRGDVM
+>sp|Q9H869|YYAP1_HUMAN YY1-associated protein 1 OS=Homo sapiens OX=9606 GN=YY1AP1 PE=1 SV=2
+MEEEASRSAAATNPGSRLTRWPPPDKREGSAVDPGKRRSLAATPSSSLPCTLIALGLRHEKEANELMEDLFETFQDEMGFSNMEDDGPEEEERVAEPQANFNTPQALRFEELLANLLNEQHQIAKELFEQLKMKKPSAKQQKEVEKVKPQCKEVHQTLILDPAQRKRLQQQMQQHVQLLTQIHLLATCNPNLNPEASSTRICLKELGTFAQSSIALHHQYNPKFQTLFQPCNLMGAMQLIEDFSTHVSIDCSPHKTVKKTANEFPCLPKQVAWILATSKVFMYPELLPVCSLKAKNPQDKILFTKAEDNKYLLTCKTARQLTVRIKNLNMNRAPDNIIKFYKKTKQLPVLGKCCEEIQPHQWKPPIEREEHRLPFWLKASLPSIQEELRHMADGAREVGNMTGTTEINSDQGLEKDNSELGSETRYPLLLPKGVVLKLKPVADRFPKKAWRQKRSSVLKPLLIQPSPSLQPSFNPGKTPAQSTHSEAPPSKMVLRIPHPIQPATVLQTVPGVPPLGVSGGESFESPAALPAMPPEARTSFPLSESQTLLSSAPVPKVMMPSPASSMFRKPYVRRRPSKRRGARAFRCIKPAPVIHPASVIFTVPATTVKIVSLGGGCNMIQPVNAAVAQSPQTIPIATLLVNPTSFPCPLNQPLVASSVSPLIVSGNSVNLPIPSTPEDKAHMNVDIACAVADGENAFQGLEPKLEPQELSPLSATVFPKVEHSPGPPPVDKQCQEGLSENSAYRWTVVKTEEGRQALEPLPQGIQESLNNSSPGDLEEVVKMEPEDATEEISGFL
+>DECOY_sp|Q9H869|YYAP1_HUMAN YY1-associated protein 1 OS=Homo sapiens OX=9606 GN=YY1AP1 PE=1 SV=2
+LFGSIEETADEPEMKVVEELDGPSSNNLSEQIGQPLPELAQRGEETKVVTWRYASNESLGEQCQKDVPPPGPSHEVKPFVTASLPSLEQPELKPELGQFANEGDAVACAIDVNMHAKDEPTSPIPLNVSNGSVILPSVSSAVLPQNLPCPFSTPNVLLTAIPITQPSQAVAANVPQIMNCGGGLSVIKVTTAPVTFIVSAPHIVPAPKICRFARAGRRKSPRRRVYPKRFMSSAPSPMMVKPVPASSLLTQSESLPFSTRAEPPMAPLAAPSEFSEGGSVGLPPVGPVTQLVTAPQIPHPIRLVMKSPPAESHTSQAPTKGPNFSPQLSPSPQILLPKLVSSRKQRWAKKPFRDAVPKLKLVVGKPLLLPYRTESGLESNDKELGQDSNIETTGTMNGVERAGDAMHRLEEQISPLSAKLWFPLRHEEREIPPKWQHPQIEECCKGLVPLQKTKKYFKIINDPARNMNLNKIRVTLQRATKCTLLYKNDEAKTFLIKDQPNKAKLSCVPLLEPYMFVKSTALIWAVQKPLCPFENATKKVTKHPSCDISVHTSFDEILQMAGMLNCPQFLTQFKPNYQHHLAISSQAFTGLEKLCIRTSSAEPNLNPNCTALLHIQTLLQVHQQMQQQLRKRQAPDLILTQHVEKCQPKVKEVEKQQKASPKKMKLQEFLEKAIQHQENLLNALLEEFRLAQPTNFNAQPEAVREEEEPGDDEMNSFGMEDQFTEFLDEMLENAEKEHRLGLAILTCPLSSSPTAALSRRKGPDVASGERKDPPPWRTLRSGPNTAAASRSAEEEM
+>sp|Q9Y6X8|ZHX2_HUMAN Zinc fingers and homeoboxes protein 2 OS=Homo sapiens OX=9606 GN=ZHX2 PE=1 SV=1
+MASKRKSTTPCMVRTSQVVEQDVPEEVDRAKEKGIGTPQPDVAKDSWAAELENSSKENEVIEVKSMGESQSKKLQGGYECKYCPYSTQNLNEFTEHVDMQHPNVILNPLYVCAECNFTTKKYDSLSDHNSKFHPGEANFKLKLIKRNNQTVLEQSIETTNHVVSITTSGPGTGDSDSGISVSKTPIMKPGKPKADAKKVPKKPEEITPENHVEGTARLVTDTAEILSRLGGVELLQDTLGHVMPSVQLPPNINLVPKVPVPLNTTKYNSALDTNATMINSFNKFPYPTQAELSWLTAASKHPEEHIRIWFATQRLKHGISWSPEEVEEARKKMFNGTIQSVPPTITVLPAQLAPTKVTQPILQTALPCQILGQTSLVLTQVTSGSTTVSCSPITLAVAGVTNHGQKRPLVTPQAAPEPKRPHIAQVPEPPPKVANPPLTPASDRKKTKEQIAHLKASFLQSQFPDDAEVYRLIEVTGLARSEIKKWFSDHRYRCQRGIVHITSESLAKDQLAIAASRHGRTYHAYPDFAPQKFKEKTQGQVKILEDSFLKSSFPTQAELDRLRVETKLSRREIDSWFSERRKLRDSMEQAVLDSMGSGKKGQDVGAPNGALSRLDQLSGAQLTSSLPSPSPAIAKSQEQVHLLRSTFARTQWPTPQEYDQLAAKTGLVRTEIVRWFKENRCLLKTGTVKWMEQYQHQPMADDHGYDAVARKATKPMAESPKNGGDVVPQYYKDPKKLCEEDLEKLVTRVKVGSEPAKDCLPAKPSEATSDRSEGSSRDGQGSDENEESSVVDYVEVTVGEEDAISDRSDSWSQAAAEGVSELAESDSDCVPAEAGQA
+>DECOY_sp|Q9Y6X8|ZHX2_HUMAN Zinc fingers and homeoboxes protein 2 OS=Homo sapiens OX=9606 GN=ZHX2 PE=1 SV=1
+AQGAEAPVCDSDSEALESVGEAAAQSWSDSRDSIADEEGVTVEVYDVVSSEENEDSGQGDRSSGESRDSTAESPKAPLCDKAPESGVKVRTVLKELDEECLKKPDKYYQPVVDGGNKPSEAMPKTAKRAVADYGHDDAMPQHQYQEMWKVTGTKLLCRNEKFWRVIETRVLGTKAALQDYEQPTPWQTRAFTSRLLHVQEQSKAIAPSPSPLSSTLQAGSLQDLRSLAGNPAGVDQGKKGSGMSDLVAQEMSDRLKRRESFWSDIERRSLKTEVRLRDLEAQTPFSSKLFSDELIKVQGQTKEKFKQPAFDPYAHYTRGHRSAAIALQDKALSESTIHVIGRQCRYRHDSFWKKIESRALGTVEILRYVEADDPFQSQLFSAKLHAIQEKTKKRDSAPTLPPNAVKPPPEPVQAIHPRKPEPAAQPTVLPRKQGHNTVGAVALTIPSCSVTTSGSTVQTLVLSTQGLIQCPLATQLIPQTVKTPALQAPLVTITPPVSQITGNFMKKRAEEVEEPSWSIGHKLRQTAFWIRIHEEPHKSAATLWSLEAQTPYPFKNFSNIMTANTDLASNYKTTNLPVPVKPVLNINPPLQVSPMVHGLTDQLLEVGGLRSLIEATDTVLRATGEVHNEPTIEEPKKPVKKADAKPKGPKMIPTKSVSIGSDSDGTGPGSTTISVVHNTTEISQELVTQNNRKILKLKFNAEGPHFKSNHDSLSDYKKTTFNCEACVYLPNLIVNPHQMDVHETFENLNQTSYPCYKCEYGGQLKKSQSEGMSKVEIVENEKSSNELEAAWSDKAVDPQPTGIGKEKARDVEEPVDQEVVQSTRVMCPTTSKRKSAM
+>sp|Q969J2|ZKSC4_HUMAN Zinc finger protein with KRAB and SCAN domains 4 OS=Homo sapiens OX=9606 GN=ZKSCAN4 PE=1 SV=1
+MAREPRKNAALDAQSAEDQTGLLTVKVEKEEASALTAEVRAPCSPARGPERSRQRFRGFRYPEAAGPREALSRLRELCGQWLQPEMHSKEQILELLVLEQFLTILPGNLQSWVREQHPESGEEVVVLLEYLERQLDEPAPQVPVGDQGQELLCCKMALLTQTQGSQSSQCQPMKALFKHESLGSQPLHDRVLQVPGLAQGGCCREDAMVASRLTPGSQGLLKMEDVALTLTPGWTQLDSSQVNLYRDEKQENHSSLVSLGGEIQTKSRDLPPVKKLPEKEHGKICHLREDIAQIPTHAEAGEQEGRLQRKQKNAIGSRRHYCHECGKSFAQSSGLTKHRRIHTGEKPYECEDCGKTFIGSSALVIHQRVHTGEKPYECEECGKVFSHSSNLIKHQRTHTGEKPYECDDCGKTFSQSCSLLEHHKIHTGEKPYQCNMCGKAFRRNSHLLRHQRIHGDKNVQNPEHGESWESQGRTESQWENTEAPVSYKCNECERSFTRNRSLIEHQKIHTGEKPYQCDTCGKGFTRTSYLVQHQRSHVGKKTLSQ
+>DECOY_sp|Q969J2|ZKSC4_HUMAN Zinc finger protein with KRAB and SCAN domains 4 OS=Homo sapiens OX=9606 GN=ZKSCAN4 PE=1 SV=1
+QSLTKKGVHSRQHQVLYSTRTFGKGCTDCQYPKEGTHIKQHEILSRNRTFSRECENCKYSVPAETNEWQSETRGQSEWSEGHEPNQVNKDGHIRQHRLLHSNRRFAKGCMNCQYPKEGTHIKHHELLSCSQSFTKGCDDCEYPKEGTHTRQHKILNSSHSFVKGCEECEYPKEGTHVRQHIVLASSGIFTKGCDECEYPKEGTHIRRHKTLGSSQAFSKGCEHCYHRRSGIANKQKRQLRGEQEGAEAHTPIQAIDERLHCIKGHEKEPLKKVPPLDRSKTQIEGGLSVLSSHNEQKEDRYLNVQSSDLQTWGPTLTLAVDEMKLLGQSGPTLRSAVMADERCCGGQALGPVQLVRDHLPQSGLSEHKFLAKMPQCQSSQSGQTQTLLAMKCCLLEQGQDGVPVQPAPEDLQRELYELLVVVEEGSEPHQERVWSQLNGPLITLFQELVLLELIQEKSHMEPQLWQGCLERLRSLAERPGAAEPYRFGRFRQRSREPGRAPSCPARVEATLASAEEKEVKVTLLGTQDEASQADLAANKRPERAM
+>sp|Q15776|ZKSC8_HUMAN Zinc finger protein with KRAB and SCAN domains 8 OS=Homo sapiens OX=9606 GN=ZKSCAN8 PE=1 SV=2
+MAEESRKPSAPSPPDQTPEEDLVIVKVEEDHGWDQESSLHESNPLGQEVFRLRFRQLRYQETLGPREALIQLRALCHQWLRPDLNTKEQILELLVLEQFLTILPEELQTLVKEHQLENGEEVVTLLEDLERQIDILGRPVSARVHGHRVLWEEVVHSASAPEPPNTQLQSEATQHKSPVPQESQERAMSTSQSPTRSQKGSSGDQEMTATLLTAGFQTLEKIEDMAVSLIREEWLLDPSQKDLCRDNRPENFRNMFSLGGETRSENRELASKQVISTGIQPHGETAAKCNGDVIRGLEHEEARDLLGRLERQRGNPTQERRHKCDECGKSFAQSSGLVRHWRIHTGEKPYQCNVCGKAFSYRSALLSHQDIHNKVKRYHCKECGKAFSQNTGLILHQRIHTGEKPYQCNQCGKAFSQSAGLILHQRIHSGERPYECNECGKAFSHSSHLIGHQRIHTGEKPYECDECGKTFRRSSHLIGHQRSHTGEKPYKCNECGRAFSQKSGLIEHQRIHTGERPYKCKECGKAFNGNTGLIQHLRIHTGEKPYQCNECGKAFIQRSSLIRHQRIHSGEKSESISV
+>DECOY_sp|Q15776|ZKSC8_HUMAN Zinc finger protein with KRAB and SCAN domains 8 OS=Homo sapiens OX=9606 GN=ZKSCAN8 PE=1 SV=2
+VSISESKEGSHIRQHRILSSRQIFAKGCENCQYPKEGTHIRLHQILGTNGNFAKGCEKCKYPREGTHIRQHEILGSKQSFARGCENCKYPKEGTHSRQHGILHSSRRFTKGCEDCEYPKEGTHIRQHGILHSSHSFAKGCENCEYPREGSHIRQHLILGASQSFAKGCQNCQYPKEGTHIRQHLILGTNQSFAKGCEKCHYRKVKNHIDQHSLLASRYSFAKGCVNCQYPKEGTHIRWHRVLGSSQAFSKGCEDCKHRREQTPNGRQRELRGLLDRAEEHELGRIVDGNCKAATEGHPQIGTSIVQKSALERNESRTEGGLSFMNRFNEPRNDRCLDKQSPDLLWEERILSVAMDEIKELTQFGATLLTATMEQDGSSGKQSRTPSQSTSMAREQSEQPVPSKHQTAESQLQTNPPEPASASHVVEEWLVRHGHVRASVPRGLIDIQRELDELLTVVEEGNELQHEKVLTQLEEPLITLFQELVLLELIQEKTNLDPRLWQHCLARLQILAERPGLTEQYRLQRFRLRFVEQGLPNSEHLSSEQDWGHDEEVKVIVLDEEPTQDPPSPASPKRSEEAM
+>sp|Q9H898|ZMAT4_HUMAN Zinc finger matrin-type protein 4 OS=Homo sapiens OX=9606 GN=ZMAT4 PE=1 SV=1
+MKSSDIDQDLFTDSYCKVCSAQLISESQRVAHYESRKHASKVRLYYMLHPRDGGCPAKRLRSENGSDADMVDKNKCCTLCNMSFTSAVVADSHYQGKIHAKRLKLLLGEKTPLKTTATPLSPLKPPRMDTAPVVASPYQRRDSDRYCGLCAAWFNNPLMAQQHYDGKKHKKNAARVALLEQLGTTLDMGELRGLRRNYRCTICSVSLNSIEQYHAHLKGSKHQTNLKNK
+>DECOY_sp|Q9H898|ZMAT4_HUMAN Zinc finger matrin-type protein 4 OS=Homo sapiens OX=9606 GN=ZMAT4 PE=1 SV=1
+KNKLNTQHKSGKLHAHYQEISNLSVSCITCRYNRRLGRLEGMDLTTGLQELLAVRAANKKHKKGDYHQQAMLPNNFWAACLGCYRDSDRRQYPSAVVPATDMRPPKLPSLPTATTKLPTKEGLLLKLRKAHIKGQYHSDAVVASTFSMNCLTCCKNKDVMDADSGNESRLRKAPCGGDRPHLMYYLRVKSAHKRSEYHAVRQSESILQASCVKCYSDTFLDQDIDSSKM
+>sp|Q8IZC7|ZN101_HUMAN Zinc finger protein 101 OS=Homo sapiens OX=9606 GN=ZNF101 PE=1 SV=1
+MDSVAFEDVAVNFTQEEWALLSPSQKNLYRDVTLETFRNLASVGIQWKDQDIENLYQNLGIKLRSLVERLCGRKEGNEHRETFSQIPDCHLNKKSQTGVKPCKCSVCGKVFLRHSFLDRHMRAHAGHKRSECGGEWRETPRKQKQHGKASISPSSGARRTVTPTRKRPYECKVCGKAFNSPNLFQIHQRTHTGKRSYKCREIVRAFTVSSFFRKHGKMHTGEKRYECKYCGKPIDYPSLFQIHVRTHTGEKPYKCKQCGKAFISAGYLRTHEIRSHALEKSHQCQECGKKLSCSSSLHRHERTHSGGKLYECQKCAKVFRCPTSLQAHERAHTGERPYECNKCGKTFNYPSCFRRHKKTHSGEKPYECTRCGKAFGWCSSLRRHEMTHTGEKPFDCKQCGKVFTFSNYLRLHERTHLAGRSQCFGRRQGDHLSPGV
+>DECOY_sp|Q8IZC7|ZN101_HUMAN Zinc finger protein 101 OS=Homo sapiens OX=9606 GN=ZNF101 PE=1 SV=1
+VGPSLHDGQRRGFCQSRGALHTREHLRLYNSFTFVKGCQKCDFPKEGTHTMEHRRLSSCWGFAKGCRTCEYPKEGSHTKKHRRFCSPYNFTKGCKNCEYPREGTHAREHAQLSTPCRFVKACKQCEYLKGGSHTREHRHLSSSCSLKKGCEQCQHSKELAHSRIEHTRLYGASIFAKGCQKCKYPKEGTHTRVHIQFLSPYDIPKGCYKCEYRKEGTHMKGHKRFFSSVTFARVIERCKYSRKGTHTRQHIQFLNPSNFAKGCVKCEYPRKRTPTVTRRAGSSPSISAKGHQKQKRPTERWEGGCESRKHGAHARMHRDLFSHRLFVKGCVSCKCPKVGTQSKKNLHCDPIQSFTERHENGEKRGCLREVLSRLKIGLNQYLNEIDQDKWQIGVSALNRFTELTVDRYLNKQSPSLLAWEEQTFNVAVDEFAVSDM
+>sp|P58317|ZN121_HUMAN Zinc finger protein 121 OS=Homo sapiens OX=9606 GN=ZNF121 PE=3 SV=2
+MAEIHNGGELCDFMENGEIFSEHSCLNAHMGTENTGDTYDCDEYGENFPMLHNSAPAGETLSVLNQCRKAFSLPPNVHQRTWIGDKSFEYSDCEEAFVDQSHLQANRITHNGETLYEQKQCGRAFTYSTSHAVSVKMHTVEKPYECKECGKFFRYSSYLNSHMRTHTGEKPYECKECGKCFTVSSHLVEHVRIHTGEKPYQCKECGRAFAGRSGLTKHVRIHTGEKPYECNECGKAYNRFYLLTEHFKTHTEEKPFECKVCGKSFRSSSCLKNHFRIHTGIKPYKCKECGKAFTVSSSLHNHVKIHTGEKPYECKDCGKAFATSSQLIEHIRTHTGEKPYICKECGKTFRASSHLQKHVRIHTGEKPYICNECGKAYNRFYLLTKHLKTH
+>DECOY_sp|P58317|ZN121_HUMAN Zinc finger protein 121 OS=Homo sapiens OX=9606 GN=ZNF121 PE=3 SV=2
+HTKLHKTLLYFRNYAKGCENCIYPKEGTHIRVHKQLHSSARFTKGCEKCIYPKEGTHTRIHEILQSSTAFAKGCDKCEYPKEGTHIKVHNHLSSSVTFAKGCEKCKYPKIGTHIRFHNKLCSSSRFSKGCVKCEFPKEETHTKFHETLLYFRNYAKGCENCEYPKEGTHIRVHKTLGSRGAFARGCEKCQYPKEGTHIRVHEVLHSSVTFCKGCEKCEYPKEGTHTRMHSNLYSSYRFFKGCEKCEYPKEVTHMKVSVAHSTSYTFARGCQKQEYLTEGNHTIRNAQLHSQDVFAEECDSYEFSKDGIWTRQHVNPPLSFAKRCQNLVSLTEGAPASNHLMPFNEGYEDCDYTDGTNETGMHANLCSHESFIEGNEMFDCLEGGNHIEAM
+>sp|P52737|ZN136_HUMAN Zinc finger protein 136 OS=Homo sapiens OX=9606 GN=ZNF136 PE=1 SV=1
+MDSVAFEDVDVNFTQEEWALLDPSQKNLYRDVMWETMRNLASIGKKWKDQNIKDHYKHRGRNLRSHMLERLYQTKDGSQRGGIFSQFANQNLSKKIPGVKLCESIVYGEVSMGQSSLNRHIKDHSGHEPKEYQEYGEKPDTRNQCWKPFSSHHSFRTHEIIHTGEKLYDCKECGKTFFSLKRIRRHIITHSGYTPYKCKVCGKAFDYPSRFRTHERSHTGEKPYECQECGKAFTCITSVRRHMIKHTGDGPYKCKVCGKPFHSLSSFQVHERIHTGEKPFKCKQCGKAFSCSPTLRIHERTHTGEKPYECKQCGKAFSYLPSLRLHERIHTGEKPFVCKQCGKAFRSASTFQIHERTHTGEKPYECKECGEAFSCIPSMRRHMIKHTGEGPYKCKVCGKPFHSLSPFRIHERTHTGEKPYVCKHCGKAFVSSTSIRIHERTHTGEKPYECKQCGKAFSYLNSFRTHEMIHTGEKPFECKRCGKAFRSSSSFRLHERTHTGQKPYHCKECGKAYSCRASFQRHMLTHAEDGPPYKCMWESL
+>DECOY_sp|P52737|ZN136_HUMAN Zinc finger protein 136 OS=Homo sapiens OX=9606 GN=ZNF136 PE=1 SV=1
+LSEWMCKYPPGDEAHTLMHRQFSARCSYAKGCEKCHYPKQGTHTREHLRFSSSSRFAKGCRKCEFPKEGTHIMEHTRFSNLYSFAKGCQKCEYPKEGTHTREHIRISTSSVFAKGCHKCVYPKEGTHTREHIRFPSLSHFPKGCVKCKYPGEGTHKIMHRRMSPICSFAEGCEKCEYPKEGTHTREHIQFTSASRFAKGCQKCVFPKEGTHIREHLRLSPLYSFAKGCQKCEYPKEGTHTREHIRLTPSCSFAKGCQKCKFPKEGTHIREHVQFSSLSHFPKGCVKCKYPGDGTHKIMHRRVSTICTFAKGCEQCEYPKEGTHSREHTRFRSPYDFAKGCVKCKYPTYGSHTIIHRRIRKLSFFTKGCEKCDYLKEGTHIIEHTRFSHHSSFPKWCQNRTDPKEGYEQYEKPEHGSHDKIHRNLSSQGMSVEGYVISECLKVGPIKKSLNQNAFQSFIGGRQSGDKTQYLRELMHSRLNRGRHKYHDKINQDKWKKGISALNRMTEWMVDRYLNKQSPDLLAWEEQTFNVDVDEFAVSDM
+>sp|Q7RTV3|ZN367_HUMAN Zinc finger protein 367 OS=Homo sapiens OX=9606 GN=ZNF367 PE=1 SV=1
+MIRGFEAPMAENPPPPPPPVIFCHDSPKRVLVSVIRTTPIKPTCGGGGEPEPPPPLIPTSPGFSDFMVYPWRWGENAHNVTLSPGAAGAAASAALPAAAAAEHSGLRGRGAPPPAASASAAASGGEDEEEASSPDSGHLKDGIRRGRPRADTVRDLINEGEHSSSRIRCNICNRVFPREKSLQAHKRTHTGERPYLCDYPDCGKAFVQSGQLKTHQRLHTGEKPFVCSENGCLSRFTHANRHCPKHPYARLKREEPTDTLSKHQAADNKAAAEWLARYWEMREQRTPTLKGKLVQKADQEQQDPLEYLQSDEEDDEKRGAQRRLQEQRERLHGALALIELANLTGAPLRQ
+>DECOY_sp|Q7RTV3|ZN367_HUMAN Zinc finger protein 367 OS=Homo sapiens OX=9606 GN=ZNF367 PE=1 SV=1
+QRLPAGTLNALEILALAGHLRERQEQLRRQAGRKEDDEEDSQLYELPDQQEQDAKQVLKGKLTPTRQERMEWYRALWEAAAKNDAAQHKSLTDTPEERKLRAYPHKPCHRNAHTFRSLCGNESCVFPKEGTHLRQHTKLQGSQVFAKGCDPYDCLYPREGTHTRKHAQLSKERPFVRNCINCRIRSSSHEGENILDRVTDARPRGRRIGDKLHGSDPSSAEEEDEGGSAAASASAAPPPAGRGRLGSHEAAAAAPLAASAAAGAAGPSLTVNHANEGWRWPYVMFDSFGPSTPILPPPPEPEGGGGCTPKIPTTRIVSVLVRKPSDHCFIVPPPPPPPNEAMPAEFGRIM
+>sp|P17032|ZN37A_HUMAN Zinc finger protein 37A OS=Homo sapiens OX=9606 GN=ZNF37A PE=2 SV=3
+MITSQGSVSFRDVTVGFTQEEWQHLDPAQRTLYRDVMLENYSHLVSVGYCIPKPEVILKLEKGEEPWILEEKFPSQSHLELINTSRNYSIMKFNEFNKGGKCFCDEKHEIIHSEEEPSEYNKNGNSFWLNEDLIWHQKIKNWEQSFEYNECGKAFPENSLFLVHKRGYTGQKTCKYTEHGKTCDMSFFITHQQTHPRENHYGNECGENIFEESILLEHQSVYPFSQKLNLTPIQRTHSINNIIEYNECGTFFSEKLVLHLQQRTHTGEKPYECHECGKTFTQKSAHTRHQRTHTGGKPYECHECGKTFYKNSDLIKHQRIHTGERPYGCHECGKSFSEKSTLTQHQRTHTGEKPYECHECGKTFSFKSVLTVHQKTHTGEKPYECYACGKAFLRKSDLIKHQRIHTGEKPYECNECGKSFSEKSTLTKHLRTHTGEKPYECIQCGKFFCYYSGFTEHLRRHTGEKPFGCNECGKTFRQKSALIVHQRTHIRQKPYGCNQCGKSFCVKSKLIAHHRTHTGEKPYECNVCGKSFYVKSKLTVHQRIHLGRNPINVVNEGNYSG
+>DECOY_sp|P17032|ZN37A_HUMAN Zinc finger protein 37A OS=Homo sapiens OX=9606 GN=ZNF37A PE=2 SV=3
+GSYNGENVVNIPNRGLHIRQHVTLKSKVYFSKGCVNCEYPKEGTHTRHHAILKSKVCFSKGCQNCGYPKQRIHTRQHVILASKQRFTKGCENCGFPKEGTHRRLHETFGSYYCFFKGCQICEYPKEGTHTRLHKTLTSKESFSKGCENCEYPKEGTHIRQHKILDSKRLFAKGCAYCEYPKEGTHTKQHVTLVSKFSFTKGCEHCEYPKEGTHTRQHQTLTSKESFSKGCEHCGYPREGTHIRQHKILDSNKYFTKGCEHCEYPKGGTHTRQHRTHASKQTFTKGCEHCEYPKEGTHTRQQLHLVLKESFFTGCENYEIINNISHTRQIPTLNLKQSFPYVSQHELLISEEFINEGCENGYHNERPHTQQHTIFFSMDCTKGHETYKCTKQGTYGRKHVLFLSNEPFAKGCENYEFSQEWNKIKQHWILDENLWFSNGNKNYESPEEESHIIEHKEDCFCKGGKNFENFKMISYNRSTNILELHSQSPFKEELIWPEEGKELKLIVEPKPICYGVSVLHSYNELMVDRYLTRQAPDLHQWEEQTFGVTVDRFSVSGQSTIM
+>sp|Q09FC8|ZN415_HUMAN Zinc finger protein 415 OS=Homo sapiens OX=9606 GN=ZNF415 PE=1 SV=2
+MPELYTEDFIQGCDVGELQEPGLPGVLSYVGAQERALDHRKPSTSSKKTKRVEIDQRCENRLECNGAISAHCNLRLPDSNDSPASASRVAGITDLSRNCVIKELAPQQEGNPGEVFHTVTLEQHEKHDIEEFCFREIKKKIHDFDCQWRDDERNCNKVTTAPKENLTCRRDQRDRRGIGNKSIKHQLGLSFLPHPHELQQFQAEGKIYECNHVEKSVNHGSSVSPPQIISSTIKTHVSNKYGTDFICSSLLTQEQKSCIREKPYRYIECDKALNHGSHMTVRQVSHSGEKGYKCDLCGKVFSQKSNLARHWRVHTGEKPYKCNECDRSFSRNSCLALHRRVHTGEKPYKCYECDKVFSRNSCLALHQKTHIGEKPYTCKECGKAFSVRSTLTNHQVIHSGKKPYKCNECGKVFSQTSSLATHQRIHTGEKPYKCNECGKVFSQTSSLARHWRIHTGEKPYKCNECGKVFSYNSHLASHRRVHTGEKPYKCNECGKAFSVHSNLTTHQVIHTGEKPYKCNQCGKGFSVHSSLTTHQVIHTGEKPYKCNECGKSFSVRPNLTRHQIIHTGKKPYKCSDCGKSFSVRPNLFRHQIIHTKEKPYKRN
+>DECOY_sp|Q09FC8|ZN415_HUMAN Zinc finger protein 415 OS=Homo sapiens OX=9606 GN=ZNF415 PE=1 SV=2
+NRKYPKEKTHIIQHRFLNPRVSFSKGCDSCKYPKKGTHIIQHRTLNPRVSFSKGCENCKYPKEGTHIVQHTTLSSHVSFGKGCQNCKYPKEGTHIVQHTTLNSHVSFAKGCENCKYPKEGTHVRRHSALHSNYSFVKGCENCKYPKEGTHIRWHRALSSTQSFVKGCENCKYPKEGTHIRQHTALSSTQSFVKGCENCKYPKKGSHIVQHNTLTSRVSFAKGCEKCTYPKEGIHTKQHLALCSNRSFVKDCEYCKYPKEGTHVRRHLALCSNRSFSRDCENCKYPKEGTHVRWHRALNSKQSFVKGCLDCKYGKEGSHSVQRVTMHSGHNLAKDCEIYRYPKERICSKQEQTLLSSCIFDTGYKNSVHTKITSSIIQPPSVSSGHNVSKEVHNCEYIKGEAQFQQLEHPHPLFSLGLQHKISKNGIGRRDRQDRRCTLNEKPATTVKNCNREDDRWQCDFDHIKKKIERFCFEEIDHKEHQELTVTHFVEGPNGEQQPALEKIVCNRSLDTIGAVRSASAPSDNSDPLRLNCHASIAGNCELRNECRQDIEVRKTKKSSTSPKRHDLAREQAGVYSLVGPLGPEQLEGVDCGQIFDETYLEPM
+>sp|Q8TF45|ZN418_HUMAN Zinc finger protein 418 OS=Homo sapiens OX=9606 GN=ZNF418 PE=1 SV=2
+MQGTVAFEDVAVNFSQEEWSLLSEVQRCLYHDVMLENWVLISSLGCWCGSEDEEAPSKKSISIQRVSQVSTPGAGVSPKKAHSCEMCGAILGDILHLADHQGTHHKQKLHRCEAWGNKLYDSSNRPHQNQYLGEKPYRSSVEEALFVKRCKFHVSEESSIFIQSGKDFLPSSGLLLQEATHTGEKSNSKPECESPFQWGDTHYSCGECMKHSSTKHVFVQQQRLPSREECYCWECGKSFSKYDSVSNHQRVHTGKRPYECGECGKSFSHKGSLVQHQRVHTGKRPYECGECGKSFSHKGSLVQHQRVHTGERPYECGECGKSFSQNGTLIKHQRVHTGERPYECEECGKCFTQKGNLIQHQRGHTSERPYECEECGKCFSQKGTLTEHHRVHTRERPYECGECGKSFSRKGHLRNHQRGHTGERPYECGECGKSFSRKGNLIQHQRSHTGERPYECRECRKLFRGKSHLIEHQRVHTGERPYECNECGKSFQDSSGFRVHQRVHTGEKPFECSECGKSFPQSCSLLRHRRVHTGERPYECGECGKSFHQSSSLLRHQKTHTAERPYECRECGKFFSSLLEHRRVHTGERPYECRECGKTFTRRSAHFKHQRLHTRGKPYECSECGKSFAETFSLTEHRRVHTGERPYECSECGKSFHRSSSLLRHQRVHTERSPYK
+>DECOY_sp|Q8TF45|ZN418_HUMAN Zinc finger protein 418 OS=Homo sapiens OX=9606 GN=ZNF418 PE=1 SV=2
+KYPSRETHVRQHRLLSSSRHFSKGCESCEYPREGTHVRRHETLSFTEAFSKGCESCEYPKGRTHLRQHKFHASRRTFTKGCERCEYPREGTHVRRHELLSSFFKGCERCEYPREATHTKQHRLLSSSQHFSKGCEGCEYPREGTHVRRHRLLSCSQPFSKGCESCEFPKEGTHVRQHVRFGSSDQFSKGCENCEYPREGTHVRQHEILHSKGRFLKRCERCEYPREGTHSRQHQILNGKRSFSKGCEGCEYPREGTHGRQHNRLHGKRSFSKGCEGCEYPRERTHVRHHETLTGKQSFCKGCEECEYPRESTHGRQHQILNGKQTFCKGCEECEYPREGTHVRQHKILTGNQSFSKGCEGCEYPREGTHVRQHQVLSGKHSFSKGCEGCEYPRKGTHVRQHQVLSGKHSFSKGCEGCEYPRKGTHVRQHNSVSDYKSFSKGCEWCYCEERSPLRQQQVFVHKTSSHKMCEGCSYHTDGWQFPSECEPKSNSKEGTHTAEQLLLGSSPLFDKGSQIFISSEESVHFKCRKVFLAEEVSSRYPKEGLYQNQHPRNSSDYLKNGWAECRHLKQKHHTGQHDALHLIDGLIAGCMECSHAKKPSVGAGPTSVQSVRQISISKKSPAEEDESGCWCGLSSILVWNELMVDHYLCRQVESLLSWEEQSFNVAVDEFAVTGQM
+>sp|Q2M1K9|ZN423_HUMAN Zinc finger protein 423 OS=Homo sapiens OX=9606 GN=ZNF423 PE=1 SV=1
+MHKKRVEEGEASDFSLAWDSSVTAAGGLEGEPECDQKTSRALEDRNSVTSQEERNEDDEDMEDESIYTCDHCQQDFESLADLTDHRAHRCPGDGDDDPQLSWVASSPSSKDVASPTQMIGDGCDLGLGEEEGGTGLPYPCQFCDKSFIRLSYLKRHEQIHSDKLPFKCTYCSRLFKHKRSRDRHIKLHTGDKKYHCHECEAAFSRSDHLKIHLKTHSSSKPFKCTVCKRGFSSTSSLQSHMQAHKKNKEHLAKSEKEAKKDDFMCDYCEDTFSQTEELEKHVLTRHPQLSEKADLQCIHCPEVFVDENTLLAHIHQAHANQKHKCPMCPEQFSSVEGVYCHLDSHRQPDSSNHSVSPDPVLGSVASMSSATPDSSASVERGSTPDSTLKPLRGQKKMRDDGQGWTKVVYSCPYCSKRDFNSLAVLEIHLKTIHADKPQQSHTCQICLDSMPTLYNLNEHVRKLHKNHAYPVMQFGNISAFHCNYCPEMFADINSLQEHIRVSHCGPNANPSDGNNAFFCNQCSMGFLTESSLTEHIQQAHCSVGSAKLESPVVQPTQSFMEVYSCPYCTNSPIFGSILKLTKHIKENHKNIPLAHSKKSKAEQSPVSSDVEVSSPKRQRLSASANSISNGEYPCNQCDLKFSNFESFQTHLKLHLELLLRKQACPQCKEDFDSQESLLQHLTVHYMTTSTHYVCESCDKQFSSVDDLQKHLLDMHTFVLYHCTLCQEVFDSKVSIQVHLAVKHSNEKKMYRCTACNWDFRKEADLQVHVKHSHLGNPAKAHKCIFCGETFSTEVELQCHITTHSKKYNCKFCSKAFHAIILLEKHLREKHCVFDAATENGTANGVPPMATKKAEPADLQGMLLKNPEAPNSHEASEDDVDASEPMYGCDICGAAYTMEVLLQNHRLRDHNIRPGEDDGSRKKAEFIKGSHKCNVCSRTFFSENGLREHLQTHRGPAKHYMCPICGERFPSLLTLTEHKVTHSKSLDTGTCRICKMPLQSEEEFIEHCQMHPDLRNSLTGFRCVVCMQTVTSTLELKIHGTFHMQKLAGSSAASSPNGQGLQKLYKCALCLKEFRSKQDLVKLDVNGLPYGLCAGCMARSANGQVGGLAPPEPADRPCAGLRCPECSVKFESAEDLESHMQVDHRDLTPETSGPRKGTQTSPVPRKKTYQCIKCQMTFENEREIQIHVANHMIEEGINHECKLCNQMFDSPAKLLCHLIEHSFEGMGGTFKCPVCFTVFVQANKLQQHIFAVHGQEDKIYDCSQCPQKFFFQTELQNHTMSQHAQ
+>DECOY_sp|Q2M1K9|ZN423_HUMAN Zinc finger protein 423 OS=Homo sapiens OX=9606 GN=ZNF423 PE=1 SV=1
+QAHQSMTHNQLETQFFFKQPCQSCDYIKDEQGHVAFIHQQLKNAQVFVTFCVPCKFTGGMGEFSHEILHCLLKAPSDFMQNCLKCEHNIGEEIMHNAVHIQIERENEFTMQCKICQYTKKRPVPSTQTGKRPGSTEPTLDRHDVQMHSELDEASEFKVSCEPCRLGACPRDAPEPPALGGVQGNASRAMCGACLGYPLGNVDLKVLDQKSRFEKLCLACKYLKQLGQGNPSSAASSGALKQMHFTGHIKLELTSTVTQMCVVCRFGTLSNRLDPHMQCHEIFEEESQLPMKCIRCTGTDLSKSHTVKHETLTLLSPFREGCIPCMYHKAPGRHTQLHERLGNESFFTRSCVNCKHSGKIFEAKKRSGDDEGPRINHDRLRHNQLLVEMTYAAGCIDCGYMPESADVDDESAEHSNPAEPNKLLMGQLDAPEAKKTAMPPVGNATGNETAADFVCHKERLHKELLIIAHFAKSCFKCNYKKSHTTIHCQLEVETSFTEGCFICKHAKAPNGLHSHKVHVQLDAEKRFDWNCATCRYMKKENSHKVALHVQISVKSDFVEQCLTCHYLVFTHMDLLHKQLDDVSSFQKDCSECVYHTSTTMYHVTLHQLLSEQSDFDEKCQPCAQKRLLLELHLKLHTQFSEFNSFKLDCQNCPYEGNSISNASASLRQRKPSSVEVDSSVPSQEAKSKKSHALPINKHNEKIHKTLKLISGFIPSNTCYPCSYVEMFSQTPQVVPSELKASGVSCHAQQIHETLSSETLFGMSCQNCFFANNGDSPNANPGCHSVRIHEQLSNIDAFMEPCYNCHFASINGFQMVPYAHNKHLKRVHENLNYLTPMSDLCIQCTHSQQPKDAHITKLHIELVALSNFDRKSCYPCSYVVKTWGQGDDRMKKQGRLPKLTSDPTSGREVSASSDPTASSMSAVSGLVPDPSVSHNSSDPQRHSDLHCYVGEVSSFQEPCMPCKHKQNAHAQHIHALLTNEDVFVEPCHICQLDAKESLQPHRTLVHKELEETQSFTDECYDCMFDDKKAEKESKALHEKNKKHAQMHSQLSSTSSFGRKCVTCKFPKSSSHTKLHIKLHDSRSFAAECEHCHYKKDGTHLKIHRDRSRKHKFLRSCYTCKFPLKDSHIQEHRKLYSLRIFSKDCFQCPYPLGTGGEEEGLGLDCGDGIMQTPSAVDKSSPSSAVWSLQPDDDGDGPCRHARHDTLDALSEFDQQCHDCTYISEDEMDEDDENREEQSTVSNRDELARSTKQDCEPEGELGGAATVSSDWALSFDSAEGEEVRKKHM
+>sp|Q8N9F8|ZN454_HUMAN Zinc finger protein 454 OS=Homo sapiens OX=9606 GN=ZNF454 PE=2 SV=2
+MAVSHLPTMVQESVTFKDVAILFTQEEWGQLSPAQRALYRDVMLENYSNLVSLGLLGPKPDTFSQLEKREVWMPEDTPGGFCLDWMTMPASKKSTVKAEIPEEELDQWTIKERFSSSSHWKCASLLEWQCGGQEISLQRVVLTHPNTPSQECDESGSTMSSSLHSDQSQGFQPSKNAFECSECGKVFSKSSTLNKHQKIHNEKNANQKIHIKEKRYECRECGKAFHQSTHLIHHQRIHTGEKPYECKECGKAFSVSSSLTYHQKIHTGEKPFECNLCGKAFIRNIHLAHHHRIHTGEKPFKCNICEKAFVCRAHLTKHQNIHSGEKPYKCNECGKAFNQSTSFLQHQRIHTGEKPFECNECGKAFRVNSSLTEHQRIHTGEKPYKCNECGKAFRDNSSFARHRKIHTGEKPYRCGLCEKAFRDQSALAQHQRIHTGEKPYTCNICEKAFSDHSALTQHKRIHTREKPYKCKICEKAFIRSTHLTQHQRIHTGEKPYKCNKCGKAFNQTANLIQHQRHHIGEK
+>DECOY_sp|Q8N9F8|ZN454_HUMAN Zinc finger protein 454 OS=Homo sapiens OX=9606 GN=ZNF454 PE=2 SV=2
+KEGIHHRQHQILNATQNFAKGCKNCKYPKEGTHIRQHQTLHTSRIFAKECIKCKYPKERTHIRKHQTLASHDSFAKECINCTYPKEGTHIRQHQALASQDRFAKECLGCRYPKEGTHIKRHRAFSSNDRFAKGCENCKYPKEGTHIRQHETLSSNVRFAKGCENCEFPKEGTHIRQHQLFSTSQNFAKGCENCKYPKEGSHINQHKTLHARCVFAKECINCKFPKEGTHIRHHHALHINRIFAKGCLNCEFPKEGTHIKQHYTLSSSVSFAKGCEKCEYPKEGTHIRQHHILHTSQHFAKGCERCEYRKEKIHIKQNANKENHIKQHKNLTSSKSFVKGCESCEFANKSPQFGQSQDSHLSSSMTSGSEDCEQSPTNPHTLVVRQLSIEQGGCQWELLSACKWHSSSSFREKITWQDLEEEPIEAKVTSKKSAPMTMWDLCFGGPTDEPMWVERKELQSFTDPKPGLLGLSVLNSYNELMVDRYLARQAPSLQGWEEQTFLIAVDKFTVSEQVMTPLHSVAM
+>sp|Q8NCK3|ZN485_HUMAN Zinc finger protein 485 OS=Homo sapiens OX=9606 GN=ZNF485 PE=2 SV=1
+MAPRAQIQGPLTFGDVAVAFTRIEWRHLDAAQRALYRDVMLENYGNLVSVGLLSSKPKLITQLEQGAEPWTEVREAPSGTHAVEDYWFETKMSALKQSTSEASVLGERTKSVMMEKGLDWEGRSSTEKNYKCKECGKVFKYNSSFISHQRNHTSEKPHKCKECGIAFMNSSSLLNHHKVHAGKQPYRCIECGKFLKKHSTFINHQRIHSREKPHKCIECGKTFRKNSILLSHQRIHTGQKPYKCNDCGKAFAQNAALTRHERIHSGEKPFKCNKCGRAFRDNSTVLEHQKIHTGEKPYQCNECGKAFRKSSTLISHQRMHTGEKPYHCSKCGKSFRYSSSFAGHQKTHSGNKPYQCRDCGKAFTKSSTLTGHQRIHTGEKPYHCKKCGKAFRHSSGLVEHQRLHTGEKPYKCNECGKAFPRSSALKQHKKIHNKERAMKCS
+>DECOY_sp|Q8NCK3|ZN485_HUMAN Zinc finger protein 485 OS=Homo sapiens OX=9606 GN=ZNF485 PE=2 SV=1
+SCKMAREKNHIKKHQKLASSRPFAKGCENCKYPKEGTHLRQHEVLGSSHRFAKGCKKCHYPKEGTHIRQHGTLTSSKTFAKGCDRCQYPKNGSHTKQHGAFSSSYRFSKGCKSCHYPKEGTHMRQHSILTSSKRFAKGCENCQYPKEGTHIKQHELVTSNDRFARGCKNCKFPKEGSHIREHRTLAANQAFAKGCDNCKYPKQGTHIRQHSLLISNKRFTKGCEICKHPKERSHIRQHNIFTSHKKLFKGCEICRYPQKGAHVKHHNLLSSSNMFAIGCEKCKHPKESTHNRQHSIFSSNYKFVKGCEKCKYNKETSSRGEWDLGKEMMVSKTREGLVSAESTSQKLASMKTEFWYDEVAHTGSPAERVETWPEAGQELQTILKPKSSLLGVSVLNGYNELMVDRYLARQAADLHRWEIRTFAVAVDGFTLPGQIQARPAM
+>sp|Q9ULM2|ZN490_HUMAN Zinc finger protein 490 OS=Homo sapiens OX=9606 GN=ZNF490 PE=1 SV=2
+MRRNSSLSFQMERPLEEQVQSKWSSSQGRTGTGGSDVLQMQNSEHHGQSIKTQTDSISLEDVAVNFTLEEWALLDPGQRNIYRDVMRATFKNLACIGEKWKDQDIEDEHKNQGRNLRSPMVEALCENKEDCPCGKSTSQIPDLNTNLETPTGLKPCDCSVCGEVFMHQVSLNRHMRSHTEQKPNECHEYGEKPHKCKECGKTFTRSSSIRTHERIHTGEKPYECKECGKAFAFLFSFRNHIRIHTGETPYECKECGKAFRYLTALRRHEKNHTGEKPYKCKQCGKAFIYYQPFLTHERTHTGEKPYECKQCGKAFSCPTYLRSHEKTHTGEKPFVCRECGRAFFSHSSLRKHVKTHTGVQPYTCKKCGEAFKSSSSCEVHERTHFGEKPYECKQCGKAFNSSSYLQLHERVHTGEKTYECKECGKAFLYSTHFRIHERTHTREKPYECKQCGRVFIYFSHLRRHERSHTGVKPCECKQCGKAFTCLNSLKVHKRIHTGERPFQCRQCGKAFSYSKSLHVHERTHSRQKP
+>DECOY_sp|Q9ULM2|ZN490_HUMAN Zinc finger protein 490 OS=Homo sapiens OX=9606 GN=ZNF490 PE=1 SV=2
+PKQRSHTREHVHLSKSYSFAKGCQRCQFPREGTHIRKHVKLSNLCTFAKGCQKCECPKVGTHSREHRRLHSFYIFVRGCQKCEYPKERTHTREHIRFHTSYLFAKGCEKCEYTKEGTHVREHLQLYSSSNFAKGCQKCEYPKEGFHTREHVECSSSSKFAEGCKKCTYPQVGTHTKVHKRLSSHSFFARGCERCVFPKEGTHTKEHSRLYTPCSFAKGCQKCEYPKEGTHTREHTLFPQYYIFAKGCQKCKYPKEGTHNKEHRRLATLYRFAKGCEKCEYPTEGTHIRIHNRFSFLFAFAKGCEKCEYPKEGTHIREHTRISSSRTFTKGCEKCKHPKEGYEHCENPKQETHSRMHRNLSVQHMFVEGCVSCDCPKLGTPTELNTNLDPIQSTSKGCPCDEKNECLAEVMPSRLNRGQNKHEDEIDQDKWKEGICALNKFTARMVDRYINRQGPDLLAWEELTFNVAVDELSISDTQTKISQGHHESNQMQLVDSGGTGTRGQSSSWKSQVQEELPREMQFSLSSNRRM
+>sp|Q9P255|ZN492_HUMAN Zinc finger protein 492 OS=Homo sapiens OX=9606 GN=ZNF492 PE=2 SV=2
+MLENYRNLVFVGIAASKPDLITCLEQGKEPWNVKRHEMVAEPPVVCSYFARDLWPKQGKKNYFQKVILRRYKKCGCENLQLRKYCKSMDECKVHKECYNGLNQCLTTTQNKIFQCDKYVKVFHKFSNSNRHTIRHTGKKSFKCKECEKSFCMLSHLAQHKRIHSGEKPYKCKECGKAYNETSNLSTHKRIHTGKKPYKCEECGKAFNRLSHLTTHKIIHTGKKPYKCEECGKAFNQSANLTTHKRIHTGEKPYKCEECGRAFSQSSTLTAHKIIHAGEKPYKCEECGKAFSQSSTLTTHKIIHTGEKFYKCEECGKAFSQLSHLTTHKRIHSGEKPYKCEECGKAFKQSSTLTTHKRIHAGEKFYKCEVCSKAFSRFSHLTTHKRIHTGEKPYKCEECGKAFNLSSQLTTHKIIHTGEKPYKCEECGKAFNQSSTLSKHKVIHTGEKPYKYEECGKAFNQSSHLTTHKMIHTGEKPYKCEECGKAFNNSSILNRHKMIHTGEKLYKPESCNNACDNIAKISKYKRNCAGEK
+>DECOY_sp|Q9P255|ZN492_HUMAN Zinc finger protein 492 OS=Homo sapiens OX=9606 GN=ZNF492 PE=2 SV=2
+KEGACNRKYKSIKAINDCANNCSEPKYLKEGTHIMKHRNLISSNNFAKGCEECKYPKEGTHIMKHTTLHSSQNFAKGCEEYKYPKEGTHIVKHKSLTSSQNFAKGCEECKYPKEGTHIIKHTTLQSSLNFAKGCEECKYPKEGTHIRKHTTLHSFRSFAKSCVECKYFKEGAHIRKHTTLTSSQKFAKGCEECKYPKEGSHIRKHTTLHSLQSFAKGCEECKYFKEGTHIIKHTTLTSSQSFAKGCEECKYPKEGAHIIKHATLTSSQSFARGCEECKYPKEGTHIRKHTTLNASQNFAKGCEECKYPKKGTHIIKHTTLHSLRNFAKGCEECKYPKKGTHIRKHTSLNSTENYAKGCEKCKYPKEGSHIRKHQALHSLMCFSKECEKCKFSKKGTHRITHRNSNSFKHFVKVYKDCQFIKNQTTTLCQNLGNYCEKHVKCEDMSKCYKRLQLNECGCKKYRRLIVKQFYNKKGQKPWLDRAFYSCVVPPEAVMEHRKVNWPEKGQELCTILDPKSAAIGVFVLNRYNELM
+>sp|Q6ZR52|ZN493_HUMAN Zinc finger protein 493 OS=Homo sapiens OX=9606 GN=ZNF493 PE=2 SV=3
+MNECNVHKEGYNELNQYLTTTQSKIFQCDKYVKVFHKLLNSNRHNTKHTGKKPFKCKKCGKSFCMLLHLCQHKRIHIRENSYRCEECGKAFIWFSTLTRHRRVHTGEKSYKYECGKSFNQDSNLTTHKRIHTGQKPYKCEECGTSFYQFSYLTRHKLIHTREKPYKCEQYGKTFNQSSTLTGHKIIHNGEKPYKCEECGKAFSIFSTPTKHKIIHTEEKSHRCEEYCKAYKESSHLTTHKRIHTGEKPYKCEECGKAFSIFSTLTKHKIIHTEEKSHRCEECGKAYKESSHLTTHKRIHTGEKPYKCEECGKTFSVFSILTKHKIIHTEEKPYKCEECGKAFKRSSTLTKHRIIHTEEKPYKCEECGKAFNQSSTLSIHKIIHTGEKPYKCEECGKAFKRSSTLTIHKMIHTGEKPYKCEECGKAFNRSSHLTTHKRIHTGHKPYKCKECGKSFSVFSTLTKHKIIHTDKKPYKCEECGKAFNRSSILSIHKKIHTGEKPYKCEECGKAFKRSSHLAGHKQIHSVQKPYKCEECGKAFSIFSTLTKHKIIHTEEKPYKCEKCGKTFYRFSNLNTHKIIHTGEKPCKCEECGKAFNHSSNLIKHKLIHTGDKPYKCEACGKAFRRSSHLSRHKIIHIGIHTEETVQK
+>DECOY_sp|Q6ZR52|ZN493_HUMAN Zinc finger protein 493 OS=Homo sapiens OX=9606 GN=ZNF493 PE=2 SV=3
+KQVTEETHIGIHIIKHRSLHSSRRFAKGCAECKYPKDGTHILKHKILNSSHNFAKGCEECKCPKEGTHIIKHTNLNSFRYFTKGCKECKYPKEETHIIKHKTLTSFISFAKGCEECKYPKQVSHIQKHGALHSSRKFAKGCEECKYPKEGTHIKKHISLISSRNFAKGCEECKYPKKDTHIIKHKTLTSFVSFSKGCEKCKYPKHGTHIRKHTTLHSSRNFAKGCEECKYPKEGTHIMKHITLTSSRKFAKGCEECKYPKEGTHIIKHISLTSSQNFAKGCEECKYPKEETHIIRHKTLTSSRKFAKGCEECKYPKEETHIIKHKTLISFVSFTKGCEECKYPKEGTHIRKHTTLHSSEKYAKGCEECRHSKEETHIIKHKTLTSFISFAKGCEECKYPKEGTHIRKHTTLHSSEKYAKCYEECRHSKEETHIIKHKTPTSFISFAKGCEECKYPKEGNHIIKHGTLTSSQNFTKGYQECKYPKERTHILKHRTLYSFQYFSTGCEECKYPKQGTHIRKHTTLNSDQNFSKGCEYKYSKEGTHVRRHRTLTSFWIFAKGCEECRYSNERIHIRKHQCLHLLMCFSKGCKKCKFPKKGTHKTNHRNSNLLKHFVKVYKDCQFIKSQTTTLYQNLENYGEKHVNCENM
+>sp|O60304|ZN500_HUMAN Zinc finger protein 500 OS=Homo sapiens OX=9606 GN=ZNF500 PE=2 SV=2
+MATVPGLQPLPTLEQDLEQEEILIVKVEEDFCLEEEPSVETEDPSPETFRQLFRLFCYQEVAGPREALSRLWELCCRWLRPELRTKEQILELLVLEQFLTVLPGEIQARVREQQPESGEEAVVLVEGLQRKPRKHRQRGSELLSDDEVPLGIGGQFLKHQAEAQPEDLSLEEEARFSSQQPPAQLSHRPQRGPLLWPERGPPAPRHQEMASASPFLSAWSQVPVNLEDVAVYLSGEEPRCMDPAQRDAPLENEGPGIQLEDGGDGREDAPLRMEWYRVLSARCQGPGHPLPGQRPAPVRGLVRPDQPRGGPPPGRRASHGADKPYTCPECGKGFSKTSHLTKHQRTHTGERPYKCLVCGKGFSDRSNFSTHQRVHTGEKPYPCPECGKRFSQSSSLVIHRRTHSGERPYACTQCGKRFNNSSHFSAHRRTHTGEKPYTCPACGRGFRRGTDLHKHQRTHMGAGSLPTLQPVAPGGPGAKA
+>DECOY_sp|O60304|ZN500_HUMAN Zinc finger protein 500 OS=Homo sapiens OX=9606 GN=ZNF500 PE=2 SV=2
+AKAGPGGPAVPQLTPLSGAGMHTRQHKHLDTGRRFGRGCAPCTYPKEGTHTRRHASFHSSNNFRKGCQTCAYPREGSHTRRHIVLSSSQSFRKGCEPCPYPKEGTHVRQHTSFNSRDSFGKGCVLCKYPREGTHTRQHKTLHSTKSFGKGCEPCTYPKDAGHSARRGPPPGGRPQDPRVLGRVPAPRQGPLPHGPGQCRASLVRYWEMRLPADERGDGGDELQIGPGENELPADRQAPDMCRPEEGSLYVAVDELNVPVQSWASLFPSASAMEQHRPAPPGREPWLLPGRQPRHSLQAPPQQSSFRAEEELSLDEPQAEAQHKLFQGGIGLPVEDDSLLESGRQRHKRPKRQLGEVLVVAEEGSEPQQERVRAQIEGPLVTLFQELVLLELIQEKTRLEPRLWRCCLEWLRSLAERPGAVEQYCFLRFLQRFTEPSPDETEVSPEEELCFDEEVKVILIEEQELDQELTPLPQLGPVTAM
+>sp|Q9Y2H8|ZN510_HUMAN Zinc finger protein 510 OS=Homo sapiens OX=9606 GN=ZNF510 PE=2 SV=1
+MSPHPEAITDCVTLNTVGQLAEGGYPLRFSTLFQEQQKMNISQASVSFKDVTIEFTQEEWQQMAPVQKNLYRDVMLENYSNLVSVGYCCFKPEVIFKLEQGEEPWFSEEEFSNQSHPKDYRGDDLIKQNKKIKDKHLEQAICINNKTLTTEEEKVLGKPFTLHVAAVASTKMSCKCNSWEVNLQSISEFIINNRNYSTKKIGCGNVCENSPFKINFEKTQTGEKFYEHNKNMKALNYNENLPKHPKFQTLEQAFECNKIGKAFNDKANCVKHNSSHTGETSSKDDEFRKNCDKKTLFDHRRTGTGKKHLHLNQCGKSFEKSTVEEYNKLNMGIKHYELNPSGNNFNRKAHLTDPQTAVIEENPLVSNDRTQTWVKSSEYHENKKSYQTSVHRVRRRSHSMMKPYKCNECGKSFCQKGHLIQHQRTHTGEKPFECSECGKTFSQKSHLSTHQRIHTAEKPYKCNECGKTFVQKSTLRGHQRIHTGEKPYECSECGKTFVQKSTLRDHHRIHTGEKSFQCNQCGKTFGQKSNLRIHQRTHTGEKTYQCNECEKSFWRKDHLIQHQKTHTGEKPFKCNECGKTFARTSTLRVHQRIHTGEKPFKCNECGKKFVRKAILSDHQRIHTGEKPFQCNKCGKTFGQKSNLRIHQRTHSGEKSYECNEYGKLCKKSTLSLYQKIQGEGNPY
+>DECOY_sp|Q9Y2H8|ZN510_HUMAN Zinc finger protein 510 OS=Homo sapiens OX=9606 GN=ZNF510 PE=2 SV=1
+YPNGEGQIKQYLSLTSKKCLKGYENCEYSKEGSHTRQHIRLNSKQGFTKGCKNCQFPKEGTHIRQHDSLIAKRVFKKGCENCKFPKEGTHIRQHVRLTSTRAFTKGCENCKFPKEGTHTKQHQILHDKRWFSKECENCQYTKEGTHTRQHIRLNSKQGFTKGCQNCQFSKEGTHIRHHDRLTSKQVFTKGCESCEYPKEGTHIRQHGRLTSKQVFTKGCENCKYPKEATHIRQHTSLHSKQSFTKGCESCEFPKEGTHTRQHQILHGKQCFSKGCENCKYPKMMSHSRRRVRHVSTQYSKKNEHYESSKVWTQTRDNSVLPNEEIVATQPDTLHAKRNFNNGSPNLEYHKIGMNLKNYEEVTSKEFSKGCQNLHLHKKGTGTRRHDFLTKKDCNKRFEDDKSSTEGTHSSNHKVCNAKDNFAKGIKNCEFAQELTQFKPHKPLNENYNLAKMNKNHEYFKEGTQTKEFNIKFPSNECVNGCGIKKTSYNRNNIIFESISQLNVEWSNCKCSMKTSAVAAVHLTFPKGLVKEEETTLTKNNICIAQELHKDKIKKNQKILDDGRYDKPHSQNSFEEESFWPEEGQELKFIVEPKFCCYGVSVLNSYNELMVDRYLNKQVPAMQQWEEQTFEITVDKFSVSAQSINMKQQEQFLTSFRLPYGGEALQGVTNLTVCDTIAEPHPSM
+>sp|Q96K75|ZN514_HUMAN Zinc finger protein 514 OS=Homo sapiens OX=9606 GN=ZNF514 PE=2 SV=1
+MTFEDVAVEFSQWEWGQLNPAQKDLYREVMLENFRNLAILGLLVSKPYVICQLEEGGEPFMVEREISTGAHSDWKRRSKSKESMPSWGISKEELFQVVSVEKHIQDVLQFSKLKAACGCDGQLEMQQIKQERHLKQMSTIHKSATTLSRDYKWNGFGRSLGLRSVLVNQHSILMGEGSYKCDTEFRQTLGGNNSQRTHPEKKSCKCNECGKSFHFQSELRRHQRCHTGEKPYECSDCGRAFGHISSLIKHQRTHTGEKPYECSECGRAFSQSSSLVLHYRFHTGEKPYKCNECGRAFGHTSSLIKHQRTHTGEKPYECRECGRTFSQSSSLIVHYRFHTGEKPYKCNKCGRAFSQSSSLTQHYRFHTGEKPYKCNECGRAFAHTASLIKHQRSHAGKKTL
+>DECOY_sp|Q96K75|ZN514_HUMAN Zinc finger protein 514 OS=Homo sapiens OX=9606 GN=ZNF514 PE=2 SV=1
+LTKKGAHSRQHKILSATHAFARGCENCKYPKEGTHFRYHQTLSSSQSFARGCKNCKYPKEGTHFRYHVILSSSQSFTRGCERCEYPKEGTHTRQHKILSSTHGFARGCENCKYPKEGTHFRYHLVLSSSQSFARGCESCEYPKEGTHTRQHKILSSIHGFARGCDSCEYPKEGTHCRQHRRLESQFHFSKGCENCKCSKKEPHTRQSNNGGLTQRFETDCKYSGEGMLISHQNVLVSRLGLSRGFGNWKYDRSLTTASKHITSMQKLHREQKIQQMELQGDCGCAAKLKSFQLVDQIHKEVSVVQFLEEKSIGWSPMSEKSKSRRKWDSHAGTSIEREVMFPEGGEELQCIVYPKSVLLGLIALNRFNELMVERYLDKQAPNLQGWEWQSFEVAVDEFTM
+>sp|Q96C55|ZN524_HUMAN Zinc finger protein 524 OS=Homo sapiens OX=9606 GN=ZNF524 PE=1 SV=1
+MDTPSPDPLPSPLPGEEEKPLALSPPVPRGRRGRRPGGATSSNRTLKASLPRKRGRPPKSGQEPPLVQVQGVTAPVGSSGGSDLLLIDDQGVPYTVSEGSAAGPEGSGPRKAPHFCPVCLRAFPYLSDLERHSISHSELKPHQCKVCGKTFKRSSHLRRHCNIHAGLRPFRCPLCPRRFREAGELAHHHRVHSGERPYQCPICRLRFTEANTLRRHAKRKHPEAMGVPLCAPDPGSEPPWDEEGIPATAGAEEEEETEGKGEPA
+>DECOY_sp|Q96C55|ZN524_HUMAN Zinc finger protein 524 OS=Homo sapiens OX=9606 GN=ZNF524 PE=1 SV=1
+APEGKGETEEEEEAGATAPIGEEDWPPESGPDPACLPVGMAEPHKRKAHRRLTNAETFRLRCIPCQYPREGSHVRHHHALEGAERFRRPCLPCRFPRLGAHINCHRRLHSSRKFTKGCVKCQHPKLESHSISHRELDSLYPFARLCVPCFHPAKRPGSGEPGAASGESVTYPVGQDDILLLDSGGSSGVPATVGQVQVLPPEQGSKPPRGRKRPLSAKLTRNSSTAGGPRRGRRGRPVPPSLALPKEEEGPLPSPLPDPSPTDM
+>sp|Q6P9A1|ZN530_HUMAN Zinc finger protein 530 OS=Homo sapiens OX=9606 GN=ZNF530 PE=2 SV=2
+MAAALRAPTQQVFVAFEDVAIYFSQEEWELLDEMQRLLYRDVMLENFAVMASLGCWCGAVDEGTPSAESVSVEELSQGRTPKADTSTDKSHPCEICTPVLRDILQMIELHASPCGQKLYLGGASRDFWMSSNLHQLQKLDNGEKLFKVDGDQASFMMNCRFHVSGKPFTFGEVGRDFSATSGLLQHQVTPTIERPHSRIRHLRVPTGRKPLKYTESRKSFREKSVFIQHQRADSGERPYKCSECGKSFSQSSGFLRHRKAHGRTRTHECSECGKSFSRKTHLTQHQRVHTGERPYDCSECGKSFRQVSVLIQHQRVHTGERPYECSECGKSFSHSTNLYRHRSAHTSTRPYECSECGKSFSHSTNLFRHWRVHTGVRPYECSECGKAFSCNIYLIHHQRFHTGERPYVCSECGKSFGQKSVLIQHQRVHTGERPYECSECGKVFSQSSGLFRHRRAHTKTKPYECSECEKSFSCKTDLIRHQTVHTGERPYECSVCGKSFIRKTHLIRHQTVHTNERPYECDECGKSYSQSSALLQHRRVHTGERPYECRECGKSFTRKNHLIQHKTVHTGERPYECSECGKSFSQSSGLLRHRRVHVQ
+>DECOY_sp|Q6P9A1|ZN530_HUMAN Zinc finger protein 530 OS=Homo sapiens OX=9606 GN=ZNF530 PE=2 SV=2
+QVHVRRHRLLGSSQSFSKGCESCEYPREGTHVTKHQILHNKRTFSKGCERCEYPREGTHVRRHQLLASSQSYSKGCEDCEYPRENTHVTQHRILHTKRIFSKGCVSCEYPREGTHVTQHRILDTKCSFSKECESCEYPKTKTHARRHRFLGSSQSFVKGCESCEYPREGTHVRQHQILVSKQGFSKGCESCVYPREGTHFRQHHILYINCSFAKGCESCEYPRVGTHVRWHRFLNTSHSFSKGCESCEYPRTSTHASRHRYLNTSHSFSKGCESCEYPREGTHVRQHQILVSVQRFSKGCESCDYPREGTHVRQHQTLHTKRSFSKGCESCEHTRTRGHAKRHRLFGSSQSFSKGCESCKYPREGSDARQHQIFVSKERFSKRSETYKLPKRGTPVRLHRIRSHPREITPTVQHQLLGSTASFDRGVEGFTFPKGSVHFRCNMMFSAQDGDVKFLKEGNDLKQLQHLNSSMWFDRSAGGLYLKQGCPSAHLEIMQLIDRLVPTCIECPHSKDTSTDAKPTRGQSLEEVSVSEASPTGEDVAGCWCGLSAMVAFNELMVDRYLLRQMEDLLEWEEQSFYIAVDEFAVFVQQTPARLAAAM
+>sp|Q9HCE3|ZN532_HUMAN Zinc finger protein 532 OS=Homo sapiens OX=9606 GN=ZNF532 PE=1 SV=2
+MTMGDMKTPDFDDLLAAFDIPDMVDPKAAIESGHDDHESHMKQNAHGEDDSHAPSSSDVGVSVIVKNVRNIDSSEGGEKDGHNPTGNGLHNGFLTASSLDSYSKDGAKSLKGDVPASEVTLKDSTFSQFSPISSAEEFDDDEKIEVDDPPDKEDMRSSFRSNVLTGSAPQQDYDKLKALGGENSSKTGLSTSGNVEKNKAVKRETEASSINLSVYEPFKVRKAEDKLKESSDKVLENRVLDGKLSSEKNDTSLPSVAPSKTKSSSKLSSCIAAIAALSAKKAASDSCKEPVANSRESSPLPKEVNDSPRAADKSPESQNLIDGTKKPSLKQPDSPRSISSENSSKGSPSSPAGSTPAIPKVRIKTIKTSSGEIKRTVTRVLPEVDLDSGKKPSEQTASVMASVTSLLSSPASAAVLSSPPRAPLQSAVVTNAVSPAELTPKQVTIKPVATAFLPVSAVKTAGSQVINLKLANNTTVKATVISAASVQSASSAIIKAANAIQQQTVVVPASSLANAKLVPKTVHLANLNLLPQGAQATSELRQVLTKPQQQIKQAIINAAASQPPKKVSRVQVVSSLQSSVVEAFNKVLSSVNPVPVYIPNLSPPANAGITLPTRGYKCLECGDSFALEKSLTQHYDRRSVRIEVTCNHCTKNLVFYNKCSLLSHARGHKEKGVVMQCSHLILKPVPADQMIVSPSSNTSTSTSTLQSPVGAGTHTVTKIQSGITGTVISAPSSTPITPAMPLDEDPSKLCRHSLKCLECNEVFQDETSLATHFQQAADTSGQKTCTICQMLLPNQCSYASHQRIHQHKSPYTCPECGAICRSVHFQTHVTKNCLHYTRRVGFRCVHCNVVYSDVAALKSHIQGSHCEVFYKCPICPMAFKSAPSTHSHAYTQHPGIKIGEPKIIYKCSMCDTVFTLQTLLYRHFDQHIENQKVSVFKCPDCSLLYAQKQLMMDHIKSMHGTLKSIEGPPNLGINLPLSIKPATQNSANQNKEDTKSMNGKEKLEKKSPSPVKKSMETKKVASPGWTCWECDCLFMQRDVYISHVRKEHGKQMKKHPCRQCDKSFSSSHSLCRHNRIKHKGIRKVYACSHCPDSRRTFTKRLMLEKHVQLMHGIKDPDLKEMTDATNEEETEIKEDTKVPSPKRKLEEPVLEFRPPRGAITQPLKKLKINVFKVHKCAVCGFTTENLLQFHEHIPQHKSDGSSYQCRECGLCYTSHVSLSRHLFIVHKLKEPQPVSKQNGAGEDNQQENKPSHEDESPDGAVSDRKCKVCAKTFETEAALNTHMRTHGMAFIKSKRMSSAEK
+>DECOY_sp|Q9HCE3|ZN532_HUMAN Zinc finger protein 532 OS=Homo sapiens OX=9606 GN=ZNF532 PE=1 SV=2
+KEASSMRKSKIFAMGHTRMHTNLAAETEFTKACVKCKRDSVAGDPSEDEHSPKNEQQNDEGAGNQKSVPQPEKLKHVIFLHRSLSVHSTYCLGCERCQYSSGDSKHQPIHEHFQLLNETTFGCVACKHVKFVNIKLKKLPQTIAGRPPRFELVPEELKRKPSPVKTDEKIETEEENTADTMEKLDPDKIGHMLQVHKELMLRKTFTRRSDPCHSCAYVKRIGKHKIRNHRCLSHSSSFSKDCQRCPHKKMQKGHEKRVHSIYVDRQMFLCDCEWCTWGPSAVKKTEMSKKVPSPSKKELKEKGNMSKTDEKNQNASNQTAPKISLPLNIGLNPPGEISKLTGHMSKIHDMMLQKQAYLLSCDPCKFVSVKQNEIHQDFHRYLLTQLTFVTDCMSCKYIIKPEGIKIGPHQTYAHSHTSPASKFAMPCIPCKYFVECHSGQIHSKLAAVDSYVVNCHVCRFGVRRTYHLCNKTVHTQFHVSRCIAGCEPCTYPSKHQHIRQHSAYSCQNPLLMQCITCTKQGSTDAAQQFHTALSTEDQFVENCELCKLSHRCLKSPDEDLPMAPTIPTSSPASIVTGTIGSQIKTVTHTGAGVPSQLTSTSTSTNSSPSVIMQDAPVPKLILHSCQMVVGKEKHGRAHSLLSCKNYFVLNKTCHNCTVEIRVSRRDYHQTLSKELAFSDGCELCKYGRTPLTIGANAPPSLNPIYVPVPNVSSLVKNFAEVVSSQLSSVVQVRSVKKPPQSAAANIIAQKIQQQPKTLVQRLESTAQAGQPLLNLNALHVTKPVLKANALSSAPVVVTQQQIANAAKIIASSASQVSAASIVTAKVTTNNALKLNIVQSGATKVASVPLFATAVPKITVQKPTLEAPSVANTVVASQLPARPPSSLVAASAPSSLLSTVSAMVSATQESPKKGSDLDVEPLVRTVTRKIEGSSTKITKIRVKPIAPTSGAPSSPSGKSSNESSISRPSDPQKLSPKKTGDILNQSEPSKDAARPSDNVEKPLPSSERSNAVPEKCSDSAAKKASLAAIAAICSSLKSSSKTKSPAVSPLSTDNKESSLKGDLVRNELVKDSSEKLKDEAKRVKFPEYVSLNISSAETERKVAKNKEVNGSTSLGTKSSNEGGLAKLKDYDQQPASGTLVNSRFSSRMDEKDPPDDVEIKEDDDFEEASSIPSFQSFTSDKLTVESAPVDGKLSKAGDKSYSDLSSATLFGNHLGNGTPNHGDKEGGESSDINRVNKVIVSVGVDSSSPAHSDDEGHANQKMHSEHDDHGSEIAAKPDVMDPIDFAALLDDFDPTKMDGMTM
+>sp|Q8NDQ6|ZN540_HUMAN Zinc finger protein 540 OS=Homo sapiens OX=9606 GN=ZNF540 PE=1 SV=1
+MAHALVTFRDVAIDFSQKEWECLDTTQRKLYRDVMLENYNNLVSLGYSGSKPDVITLLEQGKEPCVVARDVTGRQCPGLLSRHKTKKLSSEKDIHEISLSKESIIEKSKTLRLKGSIFRNEWQNKSEFEGQQGLKERSISQKKIVSKKMSTDRKRPSFTLNQRIHNSEKSCDSHLVQHGKIDSDVKHDCKECGSTFNNVYQLTLHQKIHTGEKSCKCEKCGKVFSHSYQLTLHQRFHTGEKPYECQECGKTFTLYPQLNRHQKIHTGKKPYMCKKCDKGFFSRLELTQHKRIHTGKKSYECKECGKVFQLIFYFKEHERIHTGKKPYECKECGKAFSVCGQLTRHQKIHTGVKPYECKECGKTFRLSFYLTEHRRTHAGKKPYECKECGKSFNVRGQLNRHKTIHTGIKPFACKVCEKAFSYSGDLRVHSRIHTGEKPYECKECGKAFMLRSVLTEHQRLHTGVKPYECKECGKTFRVRSQISLHKKIHTDVKPYKCVRCGKTFRFGFYLTEHQRIHTGEKPYKCKECGKAFIRRGNLKEHLKIHSGLKPYDCKECGKSFSRRGQFTEHQKIHTGVKPYKCKECGKAFSRSVDLRIHQRIHTGEKPYECKQCGKAFRLNSHLTEHQRIHTGEKPYECKVCRKAFRQYSHLYQHQKTHNVI
+>DECOY_sp|Q8NDQ6|ZN540_HUMAN Zinc finger protein 540 OS=Homo sapiens OX=9606 GN=ZNF540 PE=1 SV=1
+IVNHTKQHQYLHSYQRFAKRCVKCEYPKEGTHIRQHETLHSNLRFAKGCQKCEYPKEGTHIRQHIRLDVSRSFAKGCEKCKYPKVGTHIKQHETFQGRRSFSKGCEKCDYPKLGSHIKLHEKLNGRRIFAKGCEKCKYPKEGTHIRQHETLYFGFRFTKGCRVCKYPKVDTHIKKHLSIQSRVRFTKGCEKCEYPKVGTHLRQHETLVSRLMFAKGCEKCEYPKEGTHIRSHVRLDGSYSFAKECVKCAFPKIGTHITKHRNLQGRVNFSKGCEKCEYPKKGAHTRRHETLYFSLRFTKGCEKCEYPKVGTHIKQHRTLQGCVSFAKGCEKCEYPKKGTHIREHEKFYFILQFVKGCEKCEYSKKGTHIRKHQTLELRSFFGKDCKKCMYPKKGTHIKQHRNLQPYLTFTKGCEQCEYPKEGTHFRQHLTLQYSHSFVKGCKECKCSKEGTHIKQHLTLQYVNNFTSGCEKCDHKVDSDIKGHQVLHSDCSKESNHIRQNLTFSPRKRDTSMKKSVIKKQSISREKLGQQGEFESKNQWENRFISGKLRLTKSKEIISEKSLSIEHIDKESSLKKTKHRSLLGPCQRGTVDRAVVCPEKGQELLTIVDPKSGSYGLSVLNNYNELMVDRYLKRQTTDLCEWEKQSFDIAVDRFTVLAHAM
+>sp|Q8NEK5|ZN548_HUMAN Zinc finger protein 548 OS=Homo sapiens OX=9606 GN=ZNF548 PE=1 SV=2
+MNLTEGRVVFEDVAIYFSQEEWGHLDEAQRLLYRDVMLENLALLSSLGSWHGAEDEEAPSQQGFSVGVSEVTASKPCLSSQKVHPSETCGPPLKDILCLVEHNGIHPEQHIYICEAELFQHPKQQIGENLSRGDDWIPSFGKNHRVHMAEEIFTCMEGWKDLPATSCLLQHQGPQSEWKPYRDTEDREAFQTGQNDYKCSECGKTFTCSYSFVEHQKIHTGERSYECNKCGKFFKYSANFMKHQTVHTSERTYECRECGKSFMYNYRLMRHKRVHTGERPYECNTCGKFFRYSSTFVRHQRVHTGERPYECRECGKFFMDSSTLIKHQRVHTGERPYKCNDCGKFFRYISTLIRHQRIHTGERPYECSVCGELFRYNSSLVKHWRNHTGERPYKCSECGKSFRYHCRLIRHQRVHTGERPYECSECGKFFRYNSNLIKHWRNHTGERPYECRECGKAFSHKHILVEHQKIHSGERPYECSECQKAFIRKSHLVHHQKIHSEERLVCSMNVGNSLAKTPTSLNIRDFTMEKVYH
+>DECOY_sp|Q8NEK5|ZN548_HUMAN Zinc finger protein 548 OS=Homo sapiens OX=9606 GN=ZNF548 PE=1 SV=2
+HYVKEMTFDRINLSTPTKALSNGVNMSCVLREESHIKQHHVLHSKRIFAKQCESCEYPREGSHIKQHEVLIHKHSFAKGCERCEYPREGTHNRWHKILNSNYRFFKGCESCEYPREGTHVRQHRILRCHYRFSKGCESCKYPREGTHNRWHKVLSSNYRFLEGCVSCEYPREGTHIRQHRILTSIYRFFKGCDNCKYPREGTHVRQHKILTSSDMFFKGCERCEYPREGTHVRQHRVFTSSYRFFKGCTNCEYPREGTHVRKHRMLRYNYMFSKGCERCEYTRESTHVTQHKMFNASYKFFKGCKNCEYSREGTHIKQHEVFSYSCTFTKGCESCKYDNQGTQFAERDETDRYPKWESQPGQHQLLCSTAPLDKWGEMCTFIEEAMHVRHNKGFSPIWDDGRSLNEGIQQKPHQFLEAECIYIHQEPHIGNHEVLCLIDKLPPGCTESPHVKQSSLCPKSATVESVGVSFGQQSPAEEDEAGHWSGLSSLLALNELMVDRYLLRQAEDLHGWEEQSFYIAVDEFVVRGETLNM
+>sp|Q7Z398|ZN550_HUMAN Zinc finger protein 550 OS=Homo sapiens OX=9606 GN=ZNF550 PE=1 SV=2
+MAETKDAAQMLVTFKDVAVTFTREEWRQLDLAQRTLYREVMLETCGLLVSLGHRVPKPELVHLLEHGQELWIVKRGLSHATCAGDRAQVHTREPTTYPPVLSERAFLRGSLTLESSTSSDSRLGRARDEEGLLEMQKGKVTPETDLHKETHLGKVSLEGEGLGTDDGLHSRALQEWLSADVLHECDSQQPGKDALIHAGTNPYKCKQCGKGFNRKWYLVRHQRVHTGMKPYECNACGKAFSQSSTLIRHYLIHTGEKPYKCLECGKAFKRRSYLMQHHPIHTGEKPYECSQCRKAFTHRSTFIRHNRTHTGEKPFECKECEKAFSNRAHLIQHYIIHTGEKPYDCMACGKAFRCSSELIQHQRIHTGEKPYECTQCGKAFHRSTYLIQHSVIHTGEMPYKCIECGKAFKRRSHLLQHQRVHT
+>DECOY_sp|Q7Z398|ZN550_HUMAN Zinc finger protein 550 OS=Homo sapiens OX=9606 GN=ZNF550 PE=1 SV=2
+THVRQHQLLHSRRKFAKGCEICKYPMEGTHIVSHQILYTSRHFAKGCQTCEYPKEGTHIRQHQILESSCRFAKGCAMCDYPKEGTHIIYHQILHARNSFAKECEKCEFPKEGTHTRNHRIFTSRHTFAKRCQSCEYPKEGTHIPHHQMLYSRRKFAKGCELCKYPKEGTHILYHRILTSSQSFAKGCANCEYPKMGTHVRQHRVLYWKRNFGKGCQKCKYPNTGAHILADKGPQQSDCEHLVDASLWEQLARSHLGDDTGLGEGELSVKGLHTEKHLDTEPTVKGKQMELLGEEDRARGLRSDSSTSSELTLSGRLFARESLVPPYTTPERTHVQARDGACTAHSLGRKVIWLEQGHELLHVLEPKPVRHGLSVLLGCTELMVERYLTRQALDLQRWEERTFTVAVDKFTVLMQAADKTEAM
+>sp|Q9HAH1|ZN556_HUMAN Zinc finger protein 556 OS=Homo sapiens OX=9606 GN=ZNF556 PE=2 SV=1
+MDTVVFEDVVVDFTLEEWALLNPAQRKLYRDVMLETFKHLASVDNEAQLKASGSISQQDTSGEKLSLKQKIEKFTRKNIWASLLGKNWEEHSVKDKHNTKERHLSRNPRVERPCKSSKGNKRGRTFRKTRNCNRHLRKNCCTSVRRYECSQCGKLFTHSSSLIRHKRAHSGQKLYKCKECGKAFSRPSYLQTHEKTHSGEKPYACQSCGKTFLRSHSLTEHVRTHTGEKPYECGQCGKGFSCPKSFRAHVMMHAGGRPYECKHCGKAFRCQKSFRVHMIMHAGGRPYECKQCGKAYCWATSFQRHVRIHNGEKPYKCGKCGKAFGWPSSLHKHARTHAKKKPVSGGSVGKSSARPRPSTDVKSQTREKVYKCETCGKTYGWSSSLHKHERKHTGEKPVNAASVGKPSGGLCSSKNVRTQIGQKPSKCEKCGKAFSCPKAFQGHVRSHTGKKSCTSK
+>DECOY_sp|Q9HAH1|ZN556_HUMAN Zinc finger protein 556 OS=Homo sapiens OX=9606 GN=ZNF556 PE=2 SV=1
+KSTCSKKGTHSRVHGQFAKPCSFAKGCKECKSPKQGIQTRVNKSSCLGGSPKGVSAANVPKEGTHKREHKHLSSSWGYTKGCTECKYVKERTQSKVDTSPRPRASSKGVSGGSVPKKKAHTRAHKHLSSPWGFAKGCKGCKYPKEGNHIRVHRQFSTAWCYAKGCQKCEYPRGGAHMIMHVRFSKQCRFAKGCHKCEYPRGGAHMMVHARFSKPCSFGKGCQGCEYPKEGTHTRVHETLSHSRLFTKGCSQCAYPKEGSHTKEHTQLYSPRSFAKGCEKCKYLKQGSHARKHRILSSSHTFLKGCQSCEYRRVSTCCNKRLHRNCNRTKRFTRGRKNGKSSKCPREVRPNRSLHREKTNHKDKVSHEEWNKGLLSAWINKRTFKEIKQKLSLKEGSTDQQSISGSAKLQAENDVSALHKFTELMVDRYLKRQAPNLLAWEELTFDVVVDEFVVTDM
+>sp|Q96NI8|ZN570_HUMAN Zinc finger protein 570 OS=Homo sapiens OX=9606 GN=ZNF570 PE=2 SV=1
+MAVGLLKAMYQELVTFRDVAVDFSQEEWDCLDSSQRHLYSNVMLENYRILVSLGLCFSKPSVILLLEQGKAPWMVKRELTKGLCSGWEPICETEELTPKQDFYEEHQSQKIIETLTSYNLEYSSLREEWKCEGYFERQPGNQKACFKEEIITHEEPLFDEREQEYKSWGSFHQNPLLCTQKIIPKEEKVHKHDTQKRSFKKNLMAIKPKSVCAEKKLLKCNDCEKVFSQSSSLTLHQRIHTGEKPYKCIECGKAFSQRSNLVQHQRIHTGEKPYECKECRKAFSQNAHLVQHLRVHTGEKPYECKVCRKAFSQFAYLAQHQRVHTGEKPYECIECGKAFSNRSSIAQHQRVHTGEKPYECNVCGKAFSLRAYLTVHQRIHTGERPYECKECGKAFSQNSHLAQHQRIHTGEKPYKCQECRKAFSQIAYLAQHQRVHTGEKPYECIECGKAFSNDSSLTQHQRVHTGEKPYECTVCGKAFSYCGSLAQHQRIHTGERPYECKECKKTFRQHAHLAHHQRIHIGESLSPPNPVNHQVL
+>DECOY_sp|Q96NI8|ZN570_HUMAN Zinc finger protein 570 OS=Homo sapiens OX=9606 GN=ZNF570 PE=2 SV=1
+LVQHNVPNPPSLSEGIHIRQHHALHAHQRFTKKCEKCEYPREGTHIRQHQALSGCYSFAKGCVTCEYPKEGTHVRQHQTLSSDNSFAKGCEICEYPKEGTHVRQHQALYAIQSFAKRCEQCKYPKEGTHIRQHQALHSNQSFAKGCEKCEYPREGTHIRQHVTLYARLSFAKGCVNCEYPKEGTHVRQHQAISSRNSFAKGCEICEYPKEGTHVRQHQALYAFQSFAKRCVKCEYPKEGTHVRLHQVLHANQSFAKRCEKCEYPKEGTHIRQHQVLNSRQSFAKGCEICKYPKEGTHIRQHLTLSSSQSFVKECDNCKLLKKEACVSKPKIAMLNKKFSRKQTDHKHVKEEKPIIKQTCLLPNQHFSGWSKYEQEREDFLPEEHTIIEEKFCAKQNGPQREFYGECKWEERLSSYELNYSTLTEIIKQSQHEEYFDQKPTLEETECIPEWGSCLGKTLERKVMWPAKGQELLLIVSPKSFCLGLSVLIRYNELMVNSYLHRQSSDLCDWEEQSFDVAVDRFTVLEQYMAKLLGVAM
+>sp|Q7Z3I7|ZN572_HUMAN Zinc finger protein 572 OS=Homo sapiens OX=9606 GN=ZNF572 PE=1 SV=1
+MEQEKKLLVSDSNSFMERESLKSPFTGDTSMNNLETVHHNNSKADKLKEKPSEWSKRHRPQHYKHEDAKEMPLTWVQDEIWCHDSYESDGKSENWGNFIAKEEEKPNHQEWDSGEHTNACVQQNSSFVDRPYKCSECWKSFSNSSHLRTHQRTHSGEKPYKCSECAKCFCNSSHLIQHLRMHTGEKPYQCGECGKSFSNTSHLIIHERTHTGEKPYKCPECGKRFSSSSHLIQHHRSHTGEKPYECSVCGKGFSHSYVLIEHQRTHTGEKPYKCPDCGKSFSQSSSLIRHQRTHTGEKPYKCLECEKSFGCNSTLIKHQRIHTGEKPYQCPECGKNFSRSSNLITHQKMHTGEKSYESSEYEESLGQNCNVIEECRIQLGEKPYRCCECGKSFGLSSHLIRHQRTHTGEKPYRCSECWKTFSQSSTLVIHQRTHTGEKPYKCPDCGESFSQSFNLIRHRRTHIGEKPYKCTSCEKCFSRSAYLSQHRKIHVEKPFESPDVGDFPHEWTWKNCSGEMPFISSFSVSNSSS
+>DECOY_sp|Q7Z3I7|ZN572_HUMAN Zinc finger protein 572 OS=Homo sapiens OX=9606 GN=ZNF572 PE=1 SV=1
+SSSNSVSFSSIFPMEGSCNKWTWEHPFDGVDPSEFPKEVHIKRHQSLYASRSFCKECSTCKYPKEGIHTRRHRILNFSQSFSEGCDPCKYPKEGTHTRQHIVLTSSQSFTKWCESCRYPKEGTHTRQHRILHSSLGFSKGCECCRYPKEGLQIRCEEIVNCNQGLSEEYESSEYSKEGTHMKQHTILNSSRSFNKGCEPCQYPKEGTHIRQHKILTSNCGFSKECELCKYPKEGTHTRQHRILSSSQSFSKGCDPCKYPKEGTHTRQHEILVYSHSFGKGCVSCEYPKEGTHSRHHQILHSSSSFRKGCEPCKYPKEGTHTREHIILHSTNSFSKGCEGCQYPKEGTHMRLHQILHSSNCFCKACESCKYPKEGSHTRQHTRLHSSNSFSKWCESCKYPRDVFSSNQQVCANTHEGSDWEQHNPKEEEKAIFNGWNESKGDSEYSDHCWIEDQVWTLPMEKADEHKYHQPRHRKSWESPKEKLKDAKSNNHHVTELNNMSTDGTFPSKLSEREMFSNSDSVLLKKEQEM
+>sp|Q9H609|ZN576_HUMAN Zinc finger protein 576 OS=Homo sapiens OX=9606 GN=ZNF576 PE=1 SV=1
+MEDPNPEENMKQQDSPKERSPQSPGGNICHLGAPKCTRCLITFADSKFQERHMKREHPADFVAQKLQGVLFICFTCARSFPSSKALITHQRSHGPAAKPTLPVATTTAQPTFPCPDCGKTFGQAVSLRRHRQMHEVRAPPGTFACTECGQDFAQEAGLHQHYIRHARGEL
+>DECOY_sp|Q9H609|ZN576_HUMAN Zinc finger protein 576 OS=Homo sapiens OX=9606 GN=ZNF576 PE=1 SV=1
+LEGRAHRIYHQHLGAEQAFDQGCETCAFTGPPARVEHMQRHRRLSVAQGFTKGCDPCPFTPQATTTAVPLTPKAAPGHSRQHTILAKSSPFSRACTFCIFLVGQLKQAVFDAPHERKMHREQFKSDAFTILCRTCKPAGLHCINGGPSQPSREKPSDQQKMNEEPNPDEM
+>sp|Q96ND8|ZN583_HUMAN Zinc finger protein 583 OS=Homo sapiens OX=9606 GN=ZNF583 PE=2 SV=2
+MSKDLVTFGDVAVNFSQEEWEWLNPAQRNLYRKVMLENYRSLVSLGVSVSKPDVISLLEQGKEPWMVKKEGTRGPCPDWEYVFKNSEFSSKQETYEESSKVVTVGARHLSYSLDYPSLREDCQSEDWYKNQLGSQEVHLSQLIITHKEILPEVQNKEYNKSWQTFHQDTIFDIQQSFPTKEKAHKHEPQKKSYRKKSVEMKHRKVYVEKKLLKCNDCEKVFNQSSSLTLHQRIHTGEKPYACVECGKTFSQSANLAQHKRIHTGEKPYECKECRKAFSQNAHLAQHQRVHTGEKPYQCKECKKAFSQIAHLTQHQRVHTGERPFECIECGKAFSNGSFLAQHQRIHTGEKPYVCNVCGKAFSHRGYLIVHQRIHTGERPYECKECRKAFSQYAHLAQHQRVHTGEKPYECKVCRKAFSQIAYLDQHQRVHTGEKPYECIECGKAFSNSSSLAQHQRSHTGEKPYMCKECRKTFSQNAGLAQHQRIHTGEKPYECNVCGKAFSYSGSLTLHQRIHTGERPYECKDCRKSFRQRAHLAHHERIHTMESFLTLSSPSPSTSNQLPRPVGFIS
+>DECOY_sp|Q96ND8|ZN583_HUMAN Zinc finger protein 583 OS=Homo sapiens OX=9606 GN=ZNF583 PE=2 SV=2
+SIFGVPRPLQNSTSPSPSSLTLFSEMTHIREHHALHARQRFSKRCDKCEYPREGTHIRQHLTLSGSYSFAKGCVNCEYPKEGTHIRQHQALGANQSFTKRCEKCMYPKEGTHSRQHQALSSSNSFAKGCEICEYPKEGTHVRQHQDLYAIQSFAKRCVKCEYPKEGTHVRQHQALHAYQSFAKRCEKCEYPREGTHIRQHVILYGRHSFAKGCVNCVYPKEGTHIRQHQALFSGNSFAKGCEICEFPREGTHVRQHQTLHAIQSFAKKCEKCQYPKEGTHVRQHQALHANQSFAKRCEKCEYPKEGTHIRKHQALNASQSFTKGCEVCAYPKEGTHIRQHLTLSSSQNFVKECDNCKLLKKEVYVKRHKMEVSKKRYSKKQPEHKHAKEKTPFSQQIDFITDQHFTQWSKNYEKNQVEPLIEKHTIILQSLHVEQSGLQNKYWDESQCDERLSPYDLSYSLHRAGVTVVKSSEEYTEQKSSFESNKFVYEWDPCPGRTGEKKVMWPEKGQELLSIVDPKSVSVGLSVLSRYNELMVKRYLNRQAPNLWEWEEQSFNVAVDGFTVLDKSM
+>sp|Q6ZNC4|ZN704_HUMAN Zinc finger protein 704 OS=Homo sapiens OX=9606 GN=ZNF704 PE=1 SV=1
+MTFTFQSEDLKRDCGKKMSHQHVFSLAMEEDVKTADTKKASRILDHEKENTRSICLLEQKRKVVSSNIDVPPARKSSEELDMDKVTAAMVLTSLSTSPLVRSPPVRPNESLSGSWKEGGCVPSSTSSSGYWSWSAPSDQSNPSTPSPPLSADSFKPFRSPAQPDDGIDEAEASNLLFDEPIPRKRKNSMKVMFKCLWKNCGKVLSTAAGIQKHIRTIHLGRVGDSDYSDGEEDFYYTEIKLNTDSVADGLSSLAPVSPSQSLASPPTFPIPDSSRTETPCAKTETKLMTPLSRSAPTTLYLVHTDHAYQATPPVTIPGSAKFTPNGSSFSISWQSPPVTFTGIPVSPTHHPVGTGEQRQHAHTVLSSPPRGTVSLRKPRGEGKKCRKVYGMENRDMWCTACRWKKACQRFLD
+>DECOY_sp|Q6ZNC4|ZN704_HUMAN Zinc finger protein 704 OS=Homo sapiens OX=9606 GN=ZNF704 PE=1 SV=1
+DLFRQCAKKWRCATCWMDRNEMGYVKRCKKGEGRPKRLSVTGRPPSSLVTHAHQRQEGTGVPHHTPSVPIGTFTVPPSQWSISFSSGNPTFKASGPITVPPTAQYAHDTHVLYLTTPASRSLPTMLKTETKACPTETRSSDPIPFTPPSALSQSPSVPALSSLGDAVSDTNLKIETYYFDEEGDSYDSDGVRGLHITRIHKQIGAATSLVKGCNKWLCKFMVKMSNKRKRPIPEDFLLNSAEAEDIGDDPQAPSRFPKFSDASLPPSPTSPNSQDSPASWSWYGSSSTSSPVCGGEKWSGSLSENPRVPPSRVLPSTSLSTLVMAATVKDMDLEESSKRAPPVDINSSVVKRKQELLCISRTNEKEHDLIRSAKKTDATKVDEEMALSFVHQHSMKKGCDRKLDESQFTFTM
+>sp|Q9BUG6|ZSA5A_HUMAN Zinc finger and SCAN domain-containing protein 5A OS=Homo sapiens OX=9606 GN=ZSCAN5A PE=1 SV=1
+MAANCTSSWSLGESCNRPGLELPRSMASSETQLGNHDVDPEISHVNFRMFSCPKESDPIQALRKLTELCHLWLRPDLHTKEQILDMLVMEQFMISMPQELQVLVMMNGVQSCKDLEDLLRNNRRPKKWSVVTFHGKEYIVQDSDIEMAEAPSSVRDDLKDVSSQRASSVNQMRPGEGQAHRELQILPRVPALSRRQGEDFLLHKSIDVTGDPKSLRPKQTLEKDLKENREENPGLTSPEPQLPKSPTDLVRAKEGKDPPKIASVENVDADTPSACVVEREASTHSGNRGDALNLSSPKRSKPDASSISQEEPQGEATPVGNRESPGQAGMNSIHSPGPASPVSHPDGQEAKALPPFACDVCEKRFTCNSKLVIHKRSHTGERLFQCNLCGKRFMQLISLQFHQRTHTGERPYTCDVCQKQFTQKSYLKCHKRSHTGEKPFECKDCKKVFTYRGSLKEHQRIHSGEKPYKCSKCPRAFSRLKLLRRHQKTHPEATSQ
+>DECOY_sp|Q9BUG6|ZSA5A_HUMAN Zinc finger and SCAN domain-containing protein 5A OS=Homo sapiens OX=9606 GN=ZSCAN5A PE=1 SV=1
+QSTAEPHTKQHRRLLKLRSFARPCKSCKYPKEGSHIRQHEKLSGRYTFVKKCDKCEFPKEGTHSRKHCKLYSKQTFQKQCVDCTYPREGTHTRQHFQLSILQMFRKGCLNCQFLREGTHSRKHIVLKSNCTFRKECVDCAFPPLAKAEQGDPHSVPSAPGPSHISNMGAQGPSERNGVPTAEGQPEEQSISSADPKSRKPSSLNLADGRNGSHTSAEREVVCASPTDADVNEVSAIKPPDKGEKARVLDTPSKPLQPEPSTLGPNEERNEKLDKELTQKPRLSKPDGTVDISKHLLFDEGQRRSLAPVRPLIQLERHAQGEGPRMQNVSSARQSSVDKLDDRVSSPAEAMEIDSDQVIYEKGHFTVVSWKKPRRNNRLLDELDKCSQVGNMMVLVQLEQPMSIMFQEMVLMDLIQEKTHLDPRLWLHCLETLKRLAQIPDSEKPCSFMRFNVHSIEPDVDHNGLQTESSAMSRPLELGPRNCSEGLSWSSTCNAAM
+>sp|P17040|ZSC20_HUMAN Zinc finger and SCAN domain-containing protein 20 OS=Homo sapiens OX=9606 GN=ZSCAN20 PE=1 SV=3
+MAMALELQAQASPQPEPEELLIVKLEEDSWGSESKLWEKDRGSVSGPEASRQRFRQFQYRDAAGPHEAFSQLWALCCRWLRPEIRLKEQILELLVLEQFLTILPREVQTWVQARHPESGEEAVALVEDWHRETRTAGQSGLELHTEETRPLKTGEEAQSFQLQPVDPWPEGQSQKKGVKNTCPDLPNHLNAEVAPQPLKESAVLTPRVPTLPKMGSVGDWEVTAESQEALGPGKHAEKELCKDPPGDDCGNSVCLGVPVSKPSNTSEKEQGPEFWGLSLINSGKRSTADYSLDNEPAQALTWRDSRAWEEQYQWDVEDMKVSGVHWGYEETKTFLAILSESPFSEKLRTCHQNRQVYRAIAEQLRARGFLRTLEQCRYRVKNLLRNYRKAKSSHPPGTCPFYEELEALVRARTAIRATDGPGEAVALPRLGYSDAEMDEQEEGGWDPEEMAEDCNGAGLVNVESTQGPRIAGAPALFQSRIAGVHWGYEETKAFLAILSESPFSEKLRTCHQNSQVYRAIAERLCALGFLRTLEQCRYRFKNLLRSYRKAKSSHPPGTCPFYEELDSLMRARAAVRAMGTVREAAGLPRCGQSSAETDAQEAWGEVANEDAVKPSTLCPKAPDMGFEMRHEDEDQISEQDIFEGLPGALSKCPTEAVCQPLDWGEDSENENEDEGQWGNPSQEQWQESSSEEDLEKLIDHQGLYLAEKPYKCDTCMKSFSRSSHFIAHQRIHTGEKPYKCLECGKNFSDRSNLNTHQRIHTGEKPYKCLECGKSFSDHSNLITHQRIHTGEKPYKCGECWKSFNQSSNLLKHQRIHLGGNPDQCSEPGGNFAQSPSFSAHWRNSTEETAPEQPQSISKDLNSPGPHSTNSGEKLYECSECGRSFSKSSALISHQRIHTGEKPYECAECGKSFSKSSTLANHQRTHTGEKPYKCVDCGKCFSERSKLITHQRVHTGEKPYKCLECGKFFRDRSNLITHQRIHTGEKPYKCRECGKCFNQSSSLIIHQRIHTGEKPYKCTECGKDFNNSSHFSAHRRTHAGGKAS
+>DECOY_sp|P17040|ZSC20_HUMAN Zinc finger and SCAN domain-containing protein 20 OS=Homo sapiens OX=9606 GN=ZSCAN20 PE=1 SV=3
+SAKGGAHTRRHASFHSSNNFDKGCETCKYPKEGTHIRQHIILSSSQNFCKGCERCKYPKEGTHIRQHTILNSRDRFFKGCELCKYPKEGTHVRQHTILKSRESFCKGCDVCKYPKEGTHTRQHNALTSSKSFSKGCEACEYPKEGTHIRQHSILASSKSFSRGCESCEYLKEGSNTSHPGPSNLDKSISQPQEPATEETSNRWHASFSPSQAFNGGPESCQDPNGGLHIRQHKLLNSSQNFSKWCEGCKYPKEGTHIRQHTILNSHDSFSKGCELCKYPKEGTHIRQHTNLNSRDSFNKGCELCKYPKEGTHIRQHAIFHSSRSFSKMCTDCKYPKEALYLGQHDILKELDEESSSEQWQEQSPNGWQGEDENENESDEGWDLPQCVAETPCKSLAGPLGEFIDQESIQDEDEHRMEFGMDPAKPCLTSPKVADENAVEGWAEQADTEASSQGCRPLGAAERVTGMARVAARARMLSDLEEYFPCTGPPHSSKAKRYSRLLNKFRYRCQELTRLFGLACLREAIARYVQSNQHCTRLKESFPSESLIALFAKTEEYGWHVGAIRSQFLAPAGAIRPGQTSEVNVLGAGNCDEAMEEPDWGGEEQEDMEADSYGLRPLAVAEGPGDTARIATRARVLAELEEYFPCTGPPHSSKAKRYNRLLNKVRYRCQELTRLFGRARLQEAIARYVQRNQHCTRLKESFPSESLIALFTKTEEYGWHVGSVKMDEVDWQYQEEWARSDRWTLAQAPENDLSYDATSRKGSNILSLGWFEPGQEKESTNSPKSVPVGLCVSNGCDDGPPDKCLEKEAHKGPGLAEQSEATVEWDGVSGMKPLTPVRPTLVASEKLPQPAVEANLHNPLDPCTNKVGKKQSQGEPWPDVPQLQFSQAEEGTKLPRTEETHLELGSQGATRTERHWDEVLAVAEEGSEPHRAQVWTQVERPLITLFQELVLLELIQEKLRIEPRLWRCCLAWLQSFAEHPGAADRYQFQRFRQRSAEPGSVSGRDKEWLKSESGWSDEELKVILLEEPEPQPSAQAQLELAMAM
+>sp|Q16670|ZSC26_HUMAN Zinc finger and SCAN domain-containing protein 26 OS=Homo sapiens OX=9606 GN=ZSCAN26 PE=1 SV=2
+MATALVSAHSLAPLNLKKEGLRVVREDHYSTWEQGFKLQGNSKGLGQEPLCKQFRQLRYEETTGPREALSRLRELCQQWLQPETHTKEQILELLVLEQFLIILPKELQARVQEHHPESREDVVVVLEDLQLDLGETGQQDPDQPKKQKILVEEMAPLKGVQEQQVRHECEVTKPEKEKGEETRIENGKLIVVTDSCGRVESSGKISEPMEAHNEGSNLERHQAKPKEKIEYKCSEREQRFIQHLDLIEHASTHTGKKLCESDVCQSSSLTGHKKVLSREKGHQCHECGKAFQRSSHLVRHQKIHLGEKPYQCNECGKVFSQNAGLLEHLRIHTGEKPYLCIHCGKNFRRSSHLNRHQRIHSQEEPCECKECGKTFSQALLLTHHQRIHSHSKSHQCNECGKAFSLTSDLIRHHRIHTGEKPFKCNICQKAFRLNSHLAQHVRIHNEEKPYQCSECGEAFRQRSGLFQHQRYHHKDKLA
+>DECOY_sp|Q16670|ZSC26_HUMAN Zinc finger and SCAN domain-containing protein 26 OS=Homo sapiens OX=9606 GN=ZSCAN26 PE=1 SV=2
+ALKDKHHYRQHQFLGSRQRFAEGCESCQYPKEENHIRVHQALHSNLRFAKQCINCKFPKEGTHIRHHRILDSTLSFAKGCENCQHSKSHSHIRQHHTLLLAQSFTKGCEKCECPEEQSHIRQHRNLHSSRRFNKGCHICLYPKEGTHIRLHELLGANQSFVKGCENCQYPKEGLHIKQHRVLHSSRQFAKGCEHCQHGKERSLVKKHGTLSSSQCVDSECLKKGTHTSAHEILDLHQIFRQERESCKYEIKEKPKAQHRELNSGENHAEMPESIKGSSEVRGCSDTVVILKGNEIRTEEGKEKEPKTVECEHRVQQEQVGKLPAMEEVLIKQKKPQDPDQQGTEGLDLQLDELVVVVDERSEPHHEQVRAQLEKPLIILFQELVLLELIQEKTHTEPQLWQQCLERLRSLAERPGTTEEYRLQRFQKCLPEQGLGKSNGQLKFGQEWTSYHDERVVRLGEKKLNLPALSHASVLATAM
+>sp|Q9NX65|ZSC32_HUMAN Zinc finger and SCAN domain-containing protein 32 OS=Homo sapiens OX=9606 GN=ZSCAN32 PE=1 SV=3
+MMAAVKSTEAHPSSNKDPTQGQKSALQGNSPDSEASRQRFRQFCYQEVTGPHEAFSKLWELCCQWLRPKTHSKEEILELLVLEQFLTILPEEIQTWVREQHPENGEEAVALVEDVQRAPGQQVLDSEKDLKVLMKEMAPLGATRESLRSQWKQEVQPEEPTFKGSQSSHQRPGEQSEAWLAPQAPRNLPQNTGLHDQETGAVVWTAGSQGPAMRDNRAVSLCQQEWMCPGPAQRALYRGATQRKDSHVSLATGVPWGYEETKTLLAILSSSQFYGKLQTCQQNSQIYRAMAEGLWEQGFLRTPEQCRTKFKSLQLSYRKVRRGRVPEPCIFYEEMNALSGSWASAPPMASDAVPGQEGSDIEAGELNHQNGEPTEVEDGTVDGADRDEKDFRNPGQEVRKLDLPVLFPNRLGFEFKNEIKKENLKWDDSEEVEINKALQRKSRGVYWHSELQKGLESEPTSRRQCRNSPGESEEKTPSQEKMSHQSFCARDKACTHILCGKNCSQSVHSPHKPALKLEKVSQCPECGKTFSRSSYLVRHQRIHTGEKPHKCSECGKGFSERSNLTAHLRTHTGERPYQCGQCGKSFNQSSSLIVHQRTHTGEKPYQCIVCGKRFNNSSQFSAHRRIHTGESPYKCAVCGKIFNNSSHFSAHRKTHTGEKPYRCSHCERGFTKNSALTRHQTVHMKAVLSSQEGRDAL
+>DECOY_sp|Q9NX65|ZSC32_HUMAN Zinc finger and SCAN domain-containing protein 32 OS=Homo sapiens OX=9606 GN=ZSCAN32 PE=1 SV=3
+LADRGEQSSLVAKMHVTQHRTLASNKTFGRECHSCRYPKEGTHTKRHASFHSSNNFIKGCVACKYPSEGTHIRRHASFQSSNNFRKGCVICQYPKEGTHTRQHVILSSSQNFSKGCQGCQYPREGTHTRLHATLNSRESFGKGCESCKHPKEGTHIRQHRVLYSSRSFTKGCEPCQSVKELKLAPKHPSHVSQSCNKGCLIHTCAKDRACFSQHSMKEQSPTKEESEGPSNRCQRRSTPESELGKQLESHWYVGRSKRQLAKNIEVEESDDWKLNEKKIENKFEFGLRNPFLVPLDLKRVEQGPNRFDKEDRDAGDVTGDEVETPEGNQHNLEGAEIDSGEQGPVADSAMPPASAWSGSLANMEEYFICPEPVRGRRVKRYSLQLSKFKTRCQEPTRLFGQEWLGEAMARYIQSNQQCTQLKGYFQSSSLIALLTKTEEYGWPVGTALSVHSDKRQTAGRYLARQAPGPCMWEQQCLSVARNDRMAPGQSGATWVVAGTEQDHLGTNQPLNRPAQPALWAESQEGPRQHSSQSGKFTPEEPQVEQKWQSRLSERTAGLPAMEKMLVKLDKESDLVQQGPARQVDEVLAVAEEGNEPHQERVWTQIEEPLITLFQELVLLELIEEKSHTKPRLWQCCLEWLKSFAEHPGTVEQYCFQRFRQRSAESDPSNGQLASKQGQTPDKNSSPHAETSKVAAMM
+>sp|Q8NAM6|ZSCA4_HUMAN Zinc finger and SCAN domain-containing protein 4 OS=Homo sapiens OX=9606 GN=ZSCAN4 PE=1 SV=1
+MALDLRTIFQCEPSENNLGSENSAFQQSQGPAVQREEGISEFSRMVLNSFQDSNNSYARQELQRLYRIFHSWLQPEKHSKDEIISLLVLEQFMIGGHCNDKASVKEKWKSSGKNLERFIEDLTDDSINPPALVHVHMQGQEALFSEDMPLRDVIVHLTKQVNAQTTREANMGTPSQTSQDTSLETGQGYEDEQDGWNSSSKTTRVNENITNQGNQIVSLIIIQEENGPRPEEGGVSSDNPYNSKRAELVTARSQEGSINGITFQGVPMVMGAGCISQPEQSSPESALTHQSNEGNSTCEVHQKGSHGVQKSYKCEECPKVFKYLCHLLAHQRRHRNERPFVCPECQKGFFQISDLRVHQIIHTGKKPFTCSMCKKSFSHKTNLRSHERIHTGEKPYTCPFCKTSYRQSSTYHRHMRTHEKITLPSVPSTPEAS
+>DECOY_sp|Q8NAM6|ZSCA4_HUMAN Zinc finger and SCAN domain-containing protein 4 OS=Homo sapiens OX=9606 GN=ZSCAN4 PE=1 SV=1
+SAEPTSPVSPLTIKEHTRMHRHYTSSQRYSTKCFPCTYPKEGTHIREHSRLNTKHSFSKKCMSCTFPKKGTHIIQHVRLDSIQFFGKQCEPCVFPRENRHRRQHALLHCLYKFVKPCEECKYSKQVGHSGKQHVECTSNGENSQHTLASEPSSQEPQSICGAGMVMPVGQFTIGNISGEQSRATVLEARKSNYPNDSSVGGEEPRPGNEEQIIILSVIQNGQNTINENVRTTKSSSNWGDQEDEYGQGTELSTDQSTQSPTGMNAERTTQANVQKTLHVIVDRLPMDESFLAEQGQMHVHVLAPPNISDDTLDEIFRELNKGSSKWKEKVSAKDNCHGGIMFQELVLLSIIEDKSHKEPQLWSHFIRYLRQLEQRAYSNNSDQFSNLVMRSFESIGEERQVAPGQSQQFASNESGLNNESPECQFITRLDLAM
+>sp|Q96MP5|ZSWM3_HUMAN Zinc finger SWIM domain-containing protein 3 OS=Homo sapiens OX=9606 GN=ZSWIM3 PE=2 SV=2
+MELGSCFKTYEDFKECFSAYKRENRCSFILRDCVSVRFHNLNHGTSIREDILYVQVKFVCIRTQSNRKRTREADMCPAYLLLRYNERLDRLFISELNTQHIHGDSKVASPGGDTTGKSQKTMCLQRLQPVQPTTKKDLDTAEKSLVEPSFCLDKVQVSSKPEQEGITPSDLAKIAKVMKNFLKVDEGSMASFSVGDSQHLDRLSFQSSKMTDLFIRFPENLLLHRVENTQGHILYAFLVENKERESRVVHFAVLKAETVTSVAKMLSIFTEFNSDWPKVKVVFVDPSFHYRAILQEIFPAARILLSIYHTTRLLEKKLHRSSANPSFKRLMKEALREAVFVTSEASLKNLCQMSQAVLDEDLFNFLQAHWFTCELLWYMHVRKGLLACNTYMDSLDIVTSKVSSLFREQQSLLDCILCFVDYIDFFNTKGLKNLPTPPPKLKRARPASMPLKSKKAFGICGESLTSLPAEETKPDAQQVQVQQQSQVPPSQVGMLDTLHQSGSELAYKLCHNEWEVVQNSTHLVDMAGSSVDVQLLEDSHQVSKDGCSCSCSFQQWYHLPCRHILALLHTSQQPVGEAMVCRRWQKKYQYLLGPNGELQDRGMVPNTGQPEKQGRNDMIQDLSRELANLLMQTEGPELEERYSTLRKIVDIWAGPSQPSELFQQPGDFKDVGRLPFLWGKQEEGEGFPPATAVMHY
+>DECOY_sp|Q96MP5|ZSWM3_HUMAN Zinc finger SWIM domain-containing protein 3 OS=Homo sapiens OX=9606 GN=ZSWIM3 PE=2 SV=2
+YHMVATAPPFGEGEEQKGWLFPLRGVDKFDGPQQFLESPQSPGAWIDVIKRLTSYREELEPGETQMLLNALERSLDQIMDNRGQKEPQGTNPVMGRDQLEGNPGLLYQYKKQWRRCVMAEGVPQQSTHLLALIHRCPLHYWQQFSCSCSCGDKSVQHSDELLQVDVSSGAMDVLHTSNQVVEWENHCLKYALESGSQHLTDLMGVQSPPVQSQQQVQVQQADPKTEEAPLSTLSEGCIGFAKKSKLPMSAPRARKLKPPPTPLNKLGKTNFFDIYDVFCLICDLLSQQERFLSSVKSTVIDLSDMYTNCALLGKRVHMYWLLECTFWHAQLFNFLDEDLVAQSMQCLNKLSAESTVFVAERLAEKMLRKFSPNASSRHLKKELLRTTHYISLLIRAAPFIEQLIARYHFSPDVFVVKVKPWDSNFETFISLMKAVSTVTEAKLVAFHVVRSEREKNEVLFAYLIHGQTNEVRHLLLNEPFRIFLDTMKSSQFSLRDLHQSDGVSFSAMSGEDVKLFNKMVKAIKALDSPTIGEQEPKSSVQVKDLCFSPEVLSKEATDLDKKTTPQVPQLRQLCMTKQSKGTTDGGPSAVKSDGHIHQTNLESIFLRDLRENYRLLLYAPCMDAERTRKRNSQTRICVFKVQVYLIDERISTGHNLNHFRVSVCDRLIFSCRNERKYASFCEKFDEYTKFCSGLEM
+>sp|Q9P217|ZSWM5_HUMAN Zinc finger SWIM domain-containing protein 5 OS=Homo sapiens OX=9606 GN=ZSWIM5 PE=2 SV=2
+MADGGEREELLSPSPVSPAKRQCSWPSPQAHHPRGSPGAAGGGAGGVGSSCLVLGARPHLQPDSLLDCAAKTVAEKWAYERVEERFERIPEPVQRRIVYWSFPRNEREICMYSSFQYRGGPGAGAAGGAAGASPAEEGPQPPPGAAAPAGSAPGGVAAGASPGLGAGAGAAGCGGEGLPFRRGIRLLDSGSVENVLQVGFHLSGTVTELATASEPAVTYKVAISFDRCKITSVTCGCGNKDIFYCAHVVALSLYRIRKPDQVKLRLPISETLFQMNRDQLQKFIQYLITAHHTEVLPTAQKLADEILSSNSEINQVNGAPDPTAGASIDDENCWHLDEEQVKEQVKLFLSQGGYCGSGKQLNSMFAKVREMLRMRDSNGARMLTLITEQFVADPRLTLWRQQGTNMTDKCRQLWDELGALWVCIILNPHCKLEEKSCWLQQLQKWSDLDVCPLEDGNYGHELPNITNALPQSAIHSPDSLSRPRRTVFTRAIEGRELHWQDSHLQRIISSDVYTAPACQRESERLLFNSQGQPLWLEHVPTACARVDALRSHGYPKEALRLTVAIINTLRLQQQRQLEIYKHQKKELLQRGTTTITNLEGWVGHPLDPIDCLFLTLTEACRLNDDGYLEMSDMNESRPPVYQHVPVAAGSPNSSESYLSLALEVALMGLGQQRLMPEGLYAQDKVCRNEEQLLSQLQELQLDDELVQTLQKQCILLLEGGPFSGLGEVIHRESVPMHTFAKYLFSALLPHDPDLSYKLALRAMRLPVLENSASAGDTSHPHHMVSVVPSRYPRWFTLGHLESQQCELASTMLTAAKGDTLRLRTILEAIQKHIHSSSLIFKLAQDAFKIATPTDSSTDSTLLNVALELGLQVMRMTLSTLNWRRREMVRWLVTCATEVGVRALVSILQSWYTLFTPTEATSIVAATAVSHTTILRLSLDYPQREELASCARTLALQCAMKDPQSCALSALTLCEKDHIAFEAAYQIAIDAAAGGMTHSQLFTIARYMELRGYPLRAFKLASLAMSHLNLAYNQDTHPAINDVLWACALSHSLGKNELAALIPLVVKSVHCATVLSDILRRCTVTAPGLAGIPGRRSSGKLMSTDKAPLRQLLDATINAYINTTHSRLTHISPRHYGEFIEFLSKARETFLLPQDGHLQFAQFIDNLKQIYKGKKKLMLLVRERFG
+>DECOY_sp|Q9P217|ZSWM5_HUMAN Zinc finger SWIM domain-containing protein 5 OS=Homo sapiens OX=9606 GN=ZSWIM5 PE=2 SV=2
+GFRERVLLMLKKKGKYIQKLNDIFQAFQLHGDQPLLFTERAKSLFEIFEGYHRPSIHTLRSHTTNIYANITADLLQRLPAKDTSMLKGSSRRGPIGALGPATVTCRRLIDSLVTACHVSKVVLPILAALENKGLSHSLACAWLVDNIAPHTDQNYALNLHSMALSALKFARLPYGRLEMYRAITFLQSHTMGGAAADIAIQYAAEFAIHDKECLTLASLACSQPDKMACQLALTRACSALEERQPYDLSLRLITTHSVATAAVISTAETPTFLTYWSQLISVLARVGVETACTVLWRVMERRRWNLTSLTMRMVQLGLELAVNLLTSDTSSDTPTAIKFADQALKFILSSSHIHKQIAELITRLRLTDGKAATLMTSALECQQSELHGLTFWRPYRSPVVSVMHHPHSTDGASASNELVPLRMARLALKYSLDPDHPLLASFLYKAFTHMPVSERHIVEGLGSFPGGELLLICQKQLTQVLEDDLQLEQLQSLLQEENRCVKDQAYLGEPMLRQQGLGMLAVELALSLYSESSNPSGAAVPVHQYVPPRSENMDSMELYGDDNLRCAETLTLFLCDIPDLPHGVWGELNTITTTGRQLLEKKQHKYIELQRQQQLRLTNIIAVTLRLAEKPYGHSRLADVRACATPVHELWLPQGQSNFLLRESERQCAPATYVDSSIIRQLHSDQWHLERGEIARTFVTRRPRSLSDPSHIASQPLANTINPLEHGYNGDELPCVDLDSWKQLQQLWCSKEELKCHPNLIICVWLAGLEDWLQRCKDTMNTGQQRWLTLRPDAVFQETILTLMRAGNSDRMRLMERVKAFMSNLQKGSGCYGGQSLFLKVQEKVQEEDLHWCNEDDISAGATPDPAGNVQNIESNSSLIEDALKQATPLVETHHATILYQIFKQLQDRNMQFLTESIPLRLKVQDPKRIRYLSLAVVHACYFIDKNGCGCTVSTIKCRDFSIAVKYTVAPESATALETVTGSLHFGVQLVNEVSGSDLLRIGRRFPLGEGGCGAAGAGAGLGPSAGAAVGGPASGAPAAAGPPPQPGEEAPSAGAAGGAAGAGPGGRYQFSSYMCIERENRPFSWYVIRRQVPEPIREFREEVREYAWKEAVTKAACDLLSDPQLHPRAGLVLCSSGVGGAGGGAAGPSGRPHHAQPSPWSCQRKAPSVPSPSLLEEREGGDAM
+>sp|Q96AP4|ZUFSP_HUMAN Ubiquitin carboxyl-terminal hydrolase ZUFSP OS=Homo sapiens OX=9606 GN=ZUFSP PE=1 SV=1
+MLSCNICGETVTSEPDMKAHLIVHMESEIICPFCKLSGVNYDEMCFHIETAHFEQNTLERNFERINTVQYGTSDNKKDNTLQCGMEVNSSILSGCASNHPKNSAQNLTKDSTLKHEGFYSENLTESRKFLKSREKQSSLTEIKGSVYETTYSPPECPFCGKIEEHSEDMETHVKTKHANLLDIPLEDCDQPLYDCPMCGLICTNYHILQEHVDLHLEENSFQQGMDRVQCSGDLQLAHQLQQEEDRKRRSEESRQEIEEFQKLQRQYGLDNSGGYKQQQLRNMEIEVNRGRMPPSEFHRRKADMMESLALGFDDGKTKTSGIIEALHRYYQNAATDVRRVWLSSVVDHFHSSLGDKGWGCGYRNFQMLLSSLLQNDAYNDCLKGMLIPCIPKIQSMIEDAWKEGFDPQGASQLNNRLQGTKAWIGACEVYILLTSLRVKCHIVDFHKSTGPLGTHPRLFEWILNYYSSEGEGSPKVVCTSKPPIYLQHQGHSRTVIGIEEKKNRTLCLLILDPGCPSREMQKLLKQDIEASSLKQLRKSMGNLKHKQYQILAVEGALSLEEKLARRQASQVFTAEKIP
+>DECOY_sp|Q96AP4|ZUFSP_HUMAN Ubiquitin carboxyl-terminal hydrolase ZUFSP OS=Homo sapiens OX=9606 GN=ZUFSP PE=1 SV=1
+PIKEATFVQSAQRRALKEELSLAGEVALIQYQKHKLNGMSKRLQKLSSAEIDQKLLKQMERSPCGPDLILLCLTRNKKEEIGIVTRSHGQHQLYIPPKSTCVVKPSGEGESSYYNLIWEFLRPHTGLPGTSKHFDVIHCKVRLSTLLIYVECAGIWAKTGQLRNNLQSAGQPDFGEKWADEIMSQIKPICPILMGKLCDNYADNQLLSSLLMQFNRYGCGWGKDGLSSHFHDVVSSLWVRRVDTAANQYYRHLAEIIGSTKTKGDDFGLALSEMMDAKRRHFESPPMRGRNVEIEMNRLQQQKYGGSNDLGYQRQLKQFEEIEQRSEESRRKRDEEQQLQHALQLDGSCQVRDMGQQFSNEELHLDVHEQLIHYNTCILGCMPCDYLPQDCDELPIDLLNAHKTKVHTEMDESHEEIKGCFPCEPPSYTTEYVSGKIETLSSQKERSKLFKRSETLNESYFGEHKLTSDKTLNQASNKPHNSACGSLISSNVEMGCQLTNDKKNDSTGYQVTNIREFNRELTNQEFHATEIHFCMEDYNVGSLKCFPCIIESEMHVILHAKMDPESTVTEGCINCSLM
+>sp|O43264|ZW10_HUMAN Centromere/kinetochore protein zw10 homolog OS=Homo sapiens OX=9606 GN=ZW10 PE=1 SV=3
+MASFVTEVLAHSGRLEKEDLGTRISRLTRRVEEIKGEVCNMISKKYSEFLPSMQSAQGLITQVDKLSEDIDLLKSRIESEVRRDLHVSTGEFTDLKQQLERDSVVLSLLKQLQEFSTAIEEYNCALTEKKYVTGAQRLEEAQKCLKLLKSRKCFDLKILKSLSMELTIQKQNILYHLGEEWQKLIVWKFPPSKDTSSLESYLQTELHLYTEQSHKEEKTPMPPISSVLLAFSVLGELHSKLKSFGQMLLKYILRPLASCPSLHAVIESQPNIVIIRFESIMTNLEYPSPSEVFTKIRLVLEVLQKQLLDLPLDTDLENEKTSTVPLAEMLGDMIWEDLSECLIKNCLVYSIPTNSSKLQQYEEIIQSTEEFENALKEMRFLKGDTTDLLKYARNINSHFANKKCQDVIVAARNLMTSEIHNTVKIIPDSKINVPELPTPDEDNKLEVQKVSNTQYHEVMNLEPENTLDQHSFSLPTCRISESVKKLMELAYQTLLEATTSSDQCAVQLFYSVRNIFHLFHDVVPTYHKENLQKLPQLAAIHHNNCMYIAHHLLTLGHQFRLRLAPILCDGTATFVDLVPGFRRLGTECFLAQMRAQKGELLERLSSARNFSNMDDEENYSAASKAVRQVLHQLKRLGIVWQDVLPVNIYCKAMGTLLNTAISEVIGKITALEDISTEDGDRLYSLCKTVMDEGPQVFAPLSEESKNKKYQEEVPVYVPKWMPFKELMMMLQASLQEIGDRWADGKGPLAAAFSSSEVKALIRALFQNTERRAAALAKIK
+>DECOY_sp|O43264|ZW10_HUMAN Centromere/kinetochore protein zw10 homolog OS=Homo sapiens OX=9606 GN=ZW10 PE=1 SV=3
+KIKALAAARRETNQFLARILAKVESSSFAAALPGKGDAWRDGIEQLSAQLMMMLEKFPMWKPVYVPVEEQYKKNKSEESLPAFVQPGEDMVTKCLSYLRDGDETSIDELATIKGIVESIATNLLTGMAKCYINVPLVDQWVIGLRKLQHLVQRVAKSAASYNEEDDMNSFNRASSLRELLEGKQARMQALFCETGLRRFGPVLDVFTATGDCLIPALRLRFQHGLTLLHHAIYMCNNHHIAALQPLKQLNEKHYTPVVDHFLHFINRVSYFLQVACQDSSTTAELLTQYALEMLKKVSESIRCTPLSFSHQDLTNEPELNMVEHYQTNSVKQVELKNDEDPTPLEPVNIKSDPIIKVTNHIESTMLNRAAVIVDQCKKNAFHSNINRAYKLLDTTDGKLFRMEKLANEFEETSQIIEEYQQLKSSNTPISYVLCNKILCESLDEWIMDGLMEALPVTSTKENELDTDLPLDLLQKQLVELVLRIKTFVESPSPYELNTMISEFRIIVINPQSEIVAHLSPCSALPRLIYKLLMQGFSKLKSHLEGLVSFALLVSSIPPMPTKEEKHSQETYLHLETQLYSELSSTDKSPPFKWVILKQWEEGLHYLINQKQITLEMSLSKLIKLDFCKRSKLLKLCKQAEELRQAGTVYKKETLACNYEEIATSFEQLQKLLSLVVSDRELQQKLDTFEGTSVHLDRRVESEIRSKLLDIDESLKDVQTILGQASQMSPLFESYKKSIMNCVEGKIEEVRRTLRSIRTGLDEKELRGSHALVETVFSAM
+>sp|O95229|ZWINT_HUMAN ZW10 interactor OS=Homo sapiens OX=9606 GN=ZWINT PE=1 SV=2
+MEAAETEAEAAALEVLAEVAGILEPVGLQEEAELPAKILVEFVVDSQKKDKLLCSQLQVADFLQNILAQEDTAKGLDPLASEDTSRQKAIAAKEQWKELKATYREHVEAIKIGLTKALTQMEEAQRKRTQLREAFEQLQAKKQMAMEKRRAVQNQWQLQQEKHLQHLAEVSAEVRERKTGTQQELDRVFQKLGNLKQQAEQERDKLQRYQTFLQLLYTLQGKLLFPEAEAEAENLPDDKPQQPTRPQEQSTGDTMGRDPGVSFKAVGLQPAGDVNLP
+>DECOY_sp|O95229|ZWINT_HUMAN ZW10 interactor OS=Homo sapiens OX=9606 GN=ZWINT PE=1 SV=2
+PLNVDGAPQLGVAKFSVGPDRGMTDGTSQEQPRTPQQPKDDPLNEAEAEAEPFLLKGQLTYLLQLFTQYRQLKDREQEAQQKLNGLKQFVRDLEQQTGTKRERVEASVEALHQLHKEQQLQWQNQVARRKEMAMQKKAQLQEFAERLQTRKRQAEEMQTLAKTLGIKIAEVHERYTAKLEKWQEKAAIAKQRSTDESALPDLGKATDEQALINQLFDAVQLQSCLLKDKKQSDVVFEVLIKAPLEAEEQLGVPELIGAVEALVELAAAEAETEAAEM
+>sp|P98168|ZXDA_HUMAN Zinc finger X-linked protein ZXDA OS=Homo sapiens OX=9606 GN=ZXDA PE=1 SV=2
+MEIPKLLPARGTLQGGGGGGIPAGGGRVHRGPDSPAGQVPTRRLLLPRGPQDGGPGRRREEASTASRGPGPSLFAPRPHQPSGGGDDFFLVLLDPVGGDVETAGSGQAAGPVLREEAKAGPGLQGDESGANPAGCSAQGPHCLSAVPTPAPISAPGPAAAFAGTVTIHNQDLLLRFENGVLTLATPPPHAWEPGAAPAQQPRCLIAPQAGFPQAAHPGDCPELRSDLLLAEPAEPAPAPAPQEEAEGLAAALGPRGLLGSGPGVVLYLCPEALCGQTFAKKHQLKMHLLTHSSSQGQRPFKCPLGGCGWTFTTSYKLKRHLQSHDKLRPFGCPAEGCGKSFTTVYNLKAHMKGHEQENSFKCEVCEESFPTQAKLGAHQRSHFEPERPYQCAFSGCKKTFITVSALFSHNRAHFREQELFSCSFPGCSKQYDKACRLKIHLRSHTGERPFLCDFDGCGWNFTSMSKLLRHKRKHDDDRRFMCPVEGCGKSFTRAEHLKGHSITHLGTKPFVCPVAGCCARFSARSSLYIHSKKHLQDVDTWKSRCPISSCNKLFTSKHSMKTHMVKRHKVGQDLLAQLEAANSLTPSSELTSQRQNDLSDAEIVSLFSDVPDSTSAALLDTALVNSGILTIDVASVSSTLAGHLPANNNNSVGQAVDPPSLMATSDPPQSLDTSLFFGTAATGFQQSSLNMDEVSSVSVGPLGSLDSLAMKNSSPEPQALTPSSKLTVDTDTLTPSSTLCENSVSELLTPAKAEWSVHPNSDFFGQEGETQFGFPNAAGNHGSQKERNLITVTGSSFLV
+>DECOY_sp|P98168|ZXDA_HUMAN Zinc finger X-linked protein ZXDA OS=Homo sapiens OX=9606 GN=ZXDA PE=1 SV=2
+VLFSSGTVTILNREKQSGHNGAANPFGFQTEGEQGFFDSNPHVSWEAKAPTLLESVSNECLTSSPTLTDTDVTLKSSPTLAQPEPSSNKMALSDLSGLPGVSVSSVEDMNLSSQQFGTAATGFFLSTDLSQPPDSTAMLSPPDVAQGVSNNNNAPLHGALTSSVSAVDITLIGSNVLATDLLAASTSDPVDSFLSVIEADSLDNQRQSTLESSPTLSNAAELQALLDQGVKHRKVMHTKMSHKSTFLKNCSSIPCRSKWTDVDQLHKKSHIYLSSRASFRACCGAVPCVFPKTGLHTISHGKLHEARTFSKGCGEVPCMFRRDDDHKRKHRLLKSMSTFNWGCGDFDCLFPREGTHSRLHIKLRCAKDYQKSCGPFSCSFLEQERFHARNHSFLASVTIFTKKCGSFACQYPREPEFHSRQHAGLKAQTPFSEECVECKFSNEQEHGKMHAKLNYVTTFSKGCGEAPCGFPRLKDHSQLHRKLKYSTTFTWGCGGLPCKFPRQGQSSSHTLLHMKLQHKKAFTQGCLAEPCLYLVVGPGSGLLGRPGLAAALGEAEEQPAPAPAPEAPEALLLDSRLEPCDGPHAAQPFGAQPAILCRPQQAPAAGPEWAHPPPTALTLVGNEFRLLLDQNHITVTGAFAAAPGPASIPAPTPVASLCHPGQASCGAPNAGSEDGQLGPGAKAEERLVPGAAQGSGATEVDGGVPDLLVLFFDDGGGSPQHPRPAFLSPGPGRSATSAEERRRGPGGDQPGRPLLLRRTPVQGAPSDPGRHVRGGGAPIGGGGGGQLTGRAPLLKPIEM
+>sp|Q6WRX3|ZY11A_HUMAN Protein zyg-11 homolog A OS=Homo sapiens OX=9606 GN=ZYG11A PE=2 SV=3
+MVHFLHPGHTPRNIVPPDAQKDALGCCVVQEEASPYTLVNICLNVLIANLEKLCSERPDGTLCLPEHWSFPQEVAERFLRVMTWQGKLTDRTASIFRGNQMKLKLVNIQKAKISTAAFIKAFCRHKLIELNATAVHADLPVPDIISGLCSNRWIQQNLQCLLLDSTSIPQNSRLLFFSQLTGLRILSVFNVCFHTEDLANVSQLPRLESLDISNTLVTDISALLTCKDRLKSLTMHYLKCLAMTKSQILAVIRELKCLLHLDISDHRQLKSDLAFHLLQQKDILPNVVSLDISGGNCITDEAVELFIRLRPAMQFVGLLATDAGSSDFFTTKQGLRVAGGASMSQISEALSRYRNRSCFVKEALHRLFTETFSMEVTMPAILKLVAIGMRNHPLDLRVQFTASACALNLTRQGLAKGMPVRLLSEVTCLLFKALKNFPHYQQLQKNCLLSLTNSRILVDVPFDRFDAAKFVMRWLCKHENPKMQTMAVSVTSILALQLSPEQTAQLEELFMAVKELLAIVKQKTTENLDDVTFLFTLKALWNLTDGSPAACKHFIENQGLQIFIQVLETFSESAIQSKVLGLLNNIAEVRELSSKLVTEDVLKHINSLLCSREMEVSYFAAGIIAHLTSDRQLWISRDFQRRTLLQDLHATIQNWPSSSCKMTALVTYRSFKTFFPLLGNFSQPEVQLWALWAMYHVCSKNPSKYCKMLVEEEGLQLLCDIQEHSEATPKAQQIAASILDDFRMHFMNYQRPTLCQMPF
+>DECOY_sp|Q6WRX3|ZY11A_HUMAN Protein zyg-11 homolog A OS=Homo sapiens OX=9606 GN=ZYG11A PE=2 SV=3
+FPMQCLTPRQYNMFHMRFDDLISAAIQQAKPTAESHEQIDCLLQLGEEEVLMKCYKSPNKSCVHYMAWLAWLQVEPQSFNGLLPFFTKFSRYTVLATMKCSSSPWNQITAHLDQLLTRRQFDRSIWLQRDSTLHAIIGAAFYSVEMERSCLLSNIHKLVDETVLKSSLERVEAINNLLGLVKSQIASESFTELVQIFIQLGQNEIFHKCAAPSGDTLNWLAKLTFLFTVDDLNETTKQKVIALLEKVAMFLEELQATQEPSLQLALISTVSVAMTQMKPNEHKCLWRMVFKAADFRDFPVDVLIRSNTLSLLCNKQLQQYHPFNKLAKFLLCTVESLLRVPMGKALGQRTLNLACASATFQVRLDLPHNRMGIAVLKLIAPMTVEMSFTETFLRHLAEKVFCSRNRYRSLAESIQSMSAGGAVRLGQKTTFFDSSGADTALLGVFQMAPRLRIFLEVAEDTICNGGSIDLSVVNPLIDKQQLLHFALDSKLQRHDSIDLHLLCKLERIVALIQSKTMALCKLYHMTLSKLRDKCTLLASIDTVLTNSIDLSELRPLQSVNALDETHFCVNFVSLIRLGTLQSFFLLRSNQPISTSDLLLCQLNQQIWRNSCLGSIIDPVPLDAHVATANLEILKHRCFAKIFAATSIKAKQINVLKLKMQNGRFISATRDTLKGQWTMVRLFREAVEQPFSWHEPLCLTGDPRESCLKELNAILVNLCINVLTYPSAEEQVVCCGLADKQADPPVINRPTHGPHLFHVM
+>sp|Q8IYH5|ZZZ3_HUMAN ZZ-type zinc finger-containing protein 3 OS=Homo sapiens OX=9606 GN=ZZZ3 PE=1 SV=1
+MAASRSTRVTRSTVGLNGLDESFCGRTLRNRSIAHPEEISSNSQVRSRSPKKRPEPVPIQKGNNNGRTTDLKQQSTRESWVSPRKRGLSSSEKDNIERQAIENCERRQTEPVSPVLKRIKRCLRSEAPNSSEEDSPIKSDKESVEQRSTVVDNDADFQGTKRACRCLILDDCEKREIKKVNVSEEGPLNSAVVEEITGYLAVNGVDDSDSAVINCDDCQPDGNTKQNSIGSYVLQEKSVAENGDTDTQTSMFLDSRKEDSYIDHKVPCTDSQVQVKLEDHKIVTACLPVEHVNQLTTEPATGPFSETQSSLRDSEEEVDVVGDSSASKEQCKENTNNELDTSLESMPASGEPEPSPVLDCVSAQMMSLSEPQEHRYTLRTSPRRAAPTRGSPTKNSSPYRENGQFEENNLSPNETNATVSDNVSQSPTNPGEISQNEKGICCDSQNNGSEGVSKPPSEARLNIGHLPSAKESASQHITEEEDDDPDVYYFESDHVALKHNKDYQRLLQTIAVLEAQRSQAVQDLESLGRHQREALKNPIGFVEKLQKKADIGLPYPQRVVQLPEIVWDQYTHSLGNFEREFKNRKRHTRRVKLVFDKVGLPARPKSPLDPKKDGESLSYSMLPLSDGPEGSSSRPQMIRGRLCDDTKPETFNQLWTVEEQKKLEQLLIKYPPEEVESRRWQKIADELGNRTAKQVASRVQKYFIKLTKAGIPVPGRTPNLYIYSKKSSTSRRQHPLNKHLFKPSTFMTSHEPPVYMDEDDDRSCFHSHMNTAVEDASDDESIPIMYRNLPEYKELLQFKKLKKQKLQQMQAESGFVQHVGFKCDNCGIEPIQGVRWHCQDCPPEMSLDFCDSCSDCLHETDIHKEDHQLEPIYRSETFLDRDYCVSQGTSYNYLDPNYFPANR
+>DECOY_sp|Q8IYH5|ZZZ3_HUMAN ZZ-type zinc finger-containing protein 3 OS=Homo sapiens OX=9606 GN=ZZZ3 PE=1 SV=1
+RNAPFYNPDLYNYSTGQSVCYDRDLFTESRYIPELQHDEKHIDTEHLCDSCSDCFDLSMEPPCDQCHWRVGQIPEIGCNDCKFGVHQVFGSEAQMQQLKQKKLKKFQLLEKYEPLNRYMIPISEDDSADEVATNMHSHFCSRDDDEDMYVPPEHSTMFTSPKFLHKNLPHQRRSTSSKKSYIYLNPTRGPVPIGAKTLKIFYKQVRSAVQKATRNGLEDAIKQWRRSEVEEPPYKILLQELKKQEEVTWLQNFTEPKTDDCLRGRIMQPRSSSGEPGDSLPLMSYSLSEGDKKPDLPSKPRAPLGVKDFVLKVRRTHRKRNKFEREFNGLSHTYQDWVIEPLQVVRQPYPLGIDAKKQLKEVFGIPNKLAERQHRGLSELDQVAQSRQAELVAITQLLRQYDKNHKLAVHDSEFYYVDPDDDEEETIHQSASEKASPLHGINLRAESPPKSVGESGNNQSDCCIGKENQSIEGPNTPSQSVNDSVTANTENPSLNNEEFQGNERYPSSNKTPSGRTPAARRPSTRLTYRHEQPESLSMMQASVCDLVPSPEPEGSAPMSELSTDLENNTNEKCQEKSASSDGVVDVEEESDRLSSQTESFPGTAPETTLQNVHEVPLCATVIKHDELKVQVQSDTCPVKHDIYSDEKRSDLFMSTQTDTDGNEAVSKEQLVYSGISNQKTNGDPQCDDCNIVASDSDDVGNVALYGTIEEVVASNLPGEESVNVKKIERKECDDLILCRCARKTGQFDADNDVVTSRQEVSEKDSKIPSDEESSNPAESRLCRKIRKLVPSVPETQRRECNEIAQREINDKESSSLGRKRPSVWSERTSQQKLDTTRGNNNGKQIPVPEPRKKPSRSRVQSNSSIEEPHAISRNRLTRGCFSEDLGNLGVTSRTVRTSRSAAM
+>sp|Q86TA4|YB049_HUMAN Putative uncharacterized protein FLJ44553 OS=Homo sapiens OX=9606 PE=5 SV=2
+MLEGVSNEFDHFGISLPLKICLHLGWDEGLVEGKVVRLGQGIGKSICSSCQLFEEAPTQMSTVPSGLPLPILMHLCLLPVCMAHLCPASPCYFGATPGSGKFCRLITYSHSSPQLAASLRHRGREVGKDLPYPGLCPLTFHPSFFPPVEGCVSSLPGKLLSPQTIFFQILWLYSKSSLVL
+>DECOY_sp|Q86TA4|YB049_HUMAN Putative uncharacterized protein FLJ44553 OS=Homo sapiens OX=9606 PE=5 SV=2
+LVLSSKSYLWLIQFFITQPSLLKGPLSSVCGEVPPFFSPHFTLPCLGPYPLDKGVERGRHRLSAALQPSSHSYTILRCFKGSGPTAGFYCPSAPCLHAMCVPLLCLHMLIPLPLGSPVTSMQTPAEEFLQCSSCISKGIGQGLRVVKGEVLGEDWGLHLCIKLPLSIGFHDFENSVGELM
+>sp|P67809|YBOX1_HUMAN Nuclease-sensitive element-binding protein 1 OS=Homo sapiens OX=9606 GN=YBX1 PE=1 SV=3
+MSSEAETQQPPAAPPAAPALSAADTKPGTTGSGAGSGGPGGLTSAAPAGGDKKVIATKVLGTVKWFNVRNGYGFINRNDTKEDVFVHQTAIKKNNPRKYLRSVGDGETVEFDVVEGEKGAEAANVTGPGGVPVQGSKYAADRNHYRRYPRRRGPPRNYQQNYQNSESGEKNEGSESAPEGQAQQRRPYRRRRFPPYYMRRPYGRRPQYSNPPVQGEVMEGADNQGAGEQGRPVRQNMYRGYRPRFRRGPPRQRQPREDGNEEDKENQGDETQGQQPPQRRYRRNFNYRRRRPENPKPQDGKETKAADPPAENSSAPEAEQGGAE
+>DECOY_sp|P67809|YBOX1_HUMAN Nuclease-sensitive element-binding protein 1 OS=Homo sapiens OX=9606 GN=YBX1 PE=1 SV=3
+EAGGQEAEPASSNEAPPDAAKTEKGDQPKPNEPRRRRYNFNRRYRRQPPQQGQTEDGQNEKDEENGDERPQRQRPPGRRFRPRYGRYMNQRVPRGQEGAGQNDAGEMVEGQVPPNSYQPRRGYPRRMYYPPFRRRRYPRRQQAQGEPASESGENKEGSESNQYNQQYNRPPGRRRPYRRYHNRDAAYKSGQVPVGGPGTVNAAEAGKEGEVVDFEVTEGDGVSRLYKRPNNKKIATQHVFVDEKTDNRNIFGYGNRVNFWKVTGLVKTAIVKKDGGAPAASTLGGPGGSGAGSGTTGPKTDAASLAPAAPPAAPPQQTEAESSM
+>sp|Q8N1X5|YF001_HUMAN Uncharacterized protein FLJ37310 OS=Homo sapiens OX=9606 PE=2 SV=1
+MAAAGVTAKAGGGTSAAAASLIRARSPAWPRRAVSCSLARGTGAPKCGSDSTPPPPLPRRRSRARRGHLGNGDRPSAGATVGGEGQASQIGGGGGGGGGRRNATGRGRRRRQGGAGSAVKCRRDSVLEPGLASSPGVAPAGGSGGLWSGAGLCSGLGARGFPGGRRSGRDAG
+>DECOY_sp|Q8N1X5|YF001_HUMAN Uncharacterized protein FLJ37310 OS=Homo sapiens OX=9606 PE=2 SV=1
+GADRGSRRGGPFGRAGLGSCLGAGSWLGGSGGAPAVGPSSALGPELVSDRRCKVASGAGGQRRRRGRGTANRRGGGGGGGGGIQSAQGEGGVTAGASPRDGNGLHGRRARSRRRPLPPPPTSDSGCKPAGTGRALSCSVARRPWAPSRARILSAAAASTGGGAKATVGAAAM
+>sp|Q6ZS46|YF009_HUMAN Putative uncharacterized protein FLJ45840 OS=Homo sapiens OX=9606 PE=5 SV=2
+MQTCSGKGIKMAFLDVQSSSTPQSLPLLLFSHREGGGRGAGDPGAPAVVPAPVSAPRPASSPARSESRSPPLTLISRHITCCSCESPGDVLLSGRGGGGGGGGGARTGGGEGEDRRPRPDFSRVTAVAIPGWMEVESPPHPPPQPQVCPTPSQGAPGHGRAGLPEGKGPGGRDWLRSQSSRCSRATLFGHRAPSPAAPRRGRLPAPGFPSLHSAVSLF
+>DECOY_sp|Q6ZS46|YF009_HUMAN Putative uncharacterized protein FLJ45840 OS=Homo sapiens OX=9606 PE=5 SV=2
+FLSVASHLSPFGPAPLRGRRPAAPSPARHGFLTARSCRSSQSRLWDRGGPGKGEPLGARGHGPAGQSPTPCVQPQPPPHPPSEVEMWGPIAVATVRSFDPRPRRDEGEGGGTRAGGGGGGGGGRGSLLVDGPSECSCCTIHRSILTLPPSRSESRAPSSAPRPASVPAPVVAPAGPDGAGRGGGERHSFLLLPLSQPTSSSQVDLFAMKIGKGSCTQM
+>sp|Q9UHT4|YG001_HUMAN Putative uncharacterized protein PRO1854 OS=Homo sapiens OX=9606 GN=PRO1854 PE=5 SV=1
+MNNHRANDKFFLYVCMYVCIREKILLYKTHWMTPIFLKVVINTRRIKHHFKIHVPSQFFILITITKY
+>DECOY_sp|Q9UHT4|YG001_HUMAN Putative uncharacterized protein PRO1854 OS=Homo sapiens OX=9606 GN=PRO1854 PE=5 SV=1
+YKTITILIFFQSPVHIKFHHKIRRTNIVVKLFIPTMWHTKYLLIKERICVYMCVYLFFKDNARHNNM
+>sp|Q75L30|YG027_HUMAN Putative uncharacterized protein FLJ92257 OS=Homo sapiens OX=9606 PE=5 SV=1
+MATFPGQVSTYFLAAWTGPGPATHWPLYAQLMPHSGLSRPSSCPGTSSPGPKLPQVGLSRPSCCLPAFSPGLALPPGCIYKTNSCLTTTFYGSAPAQLLPAFVGPKLPQVKLFRPTFCLAVACTDPALA
+>DECOY_sp|Q75L30|YG027_HUMAN Putative uncharacterized protein FLJ92257 OS=Homo sapiens OX=9606 PE=5 SV=1
+ALAPDTCAVALCFTPRFLKVQPLKPGVFAPLLQAPASGYFTTTLCSNTKYICGPPLALGPSFAPLCCSPRSLGVQPLKPGPSSTGPCSSPRSLGSHPMLQAYLPWHTAPGPGTWAALFYTSVQGPFTAM
+>sp|Q6ZSN1|YI023_HUMAN Putative uncharacterized protein FLJ45355 OS=Homo sapiens OX=9606 PE=2 SV=1
+MGVPRAREGRGAGSQSPPRGRCLHPFRWGSQDRGRGEGLALSPLLPGVPPPPAMGVPRDRGGRGAGSQSTPRGGCLLPPRLGSQQPAGEEGLVLSPRLAGDASPCCDGSPKSQGVKRGWLSVPTSRGVPPPPAIGVLIARGGRGAGSQSLPRGWSFTPLRWGS
+>DECOY_sp|Q6ZSN1|YI023_HUMAN Putative uncharacterized protein FLJ45355 OS=Homo sapiens OX=9606 PE=2 SV=1
+SGWRLPTFSWGRPLSQSGAGRGGRAILVGIAPPPPVGRSTPVSLWGRKVGQSKPSGDCCPSADGALRPSLVLGEEGAPQQSGLRPPLLCGGRPTSQSGAGRGGRDRPVGMAPPPPVGPLLPSLALGEGRGRDQSGWRFPHLCRGRPPSQSGAGRGERARPVGM
+>sp|Q8N1Y9|YI025_HUMAN Putative uncharacterized protein FLJ37218 OS=Homo sapiens OX=9606 PE=5 SV=2
+MAKWVPALLLRRVPLFSLRFRPASSTFLPVLAATEPAVSVPSGDLSMPVKTRAEGEDDGFGEAGDPRRLLERPWRFRGCLPGKGNRDVGFEGTEGPTSTRPEWVWSCRCCLGCRASTRERVTSPVRAAGPQPRFTDRETEAAAGTLAHMGFAPPTSFSHFTDQELRDCSSLECLGVVEGDPHVLCSTLSLSRPSPSATLTLLLASSCLLAPAPPSFILLLFTLIAPDLPHS
+>DECOY_sp|Q8N1Y9|YI025_HUMAN Putative uncharacterized protein FLJ37218 OS=Homo sapiens OX=9606 PE=5 SV=2
+SHPLDPAILTFLLLIFSPPAPALLCSSALLLTLTASPSPRSLSLTSCLVHPDGEVVGLCELSSCDRLEQDTFHSFSTPPAFGMHALTGAAAETERDTFRPQPGAARVPSTVRERTSARCGLCCRCSWVWEPRTSTPGETGEFGVDRNGKGPLCGRFRWPRELLRRPDGAEGFGDDEGEARTKVPMSLDGSPVSVAPETAALVPLFTSSAPRFRLSFLPVRRLLLAPVWKAM
+>sp|Q8N377|YJ004_HUMAN Putative uncharacterized protein LOC387726 OS=Homo sapiens OX=9606 PE=5 SV=2
+MDFRQISPTTCTTPASSSSAAPPTPASSSSAAPPTPASSSSAAPPTPANCSTAAPPTPANCSTAAPPTPASSGSAAPPTPAPDHWWMEAPHHWLPGLLARCGSRQLPSSVGLACFGTAAVPRKPVNWACQGSHGELEASQVGSGKAGPCTPHPSLLGF
+>DECOY_sp|Q8N377|YJ004_HUMAN Putative uncharacterized protein LOC387726 OS=Homo sapiens OX=9606 PE=5 SV=2
+FGLLSPHPTCPGAKGSGVQSAELEGHSGQCAWNVPKRPVAATGFCALGVSSPLQRSGCRALLGPLWHHPAEMWWHDPAPTPPAASGSSAPTPPAATSCNAPTPPAATSCNAPTPPAASSSSAPTPPAASSSSAPTPPAASSSSAPTTCTTPSIQRFDM
+>sp|Q6ZSR9|YJ005_HUMAN Uncharacterized protein FLJ45252 OS=Homo sapiens OX=9606 PE=2 SV=2
+MGTKGLPLYPDPSRVPGTKTQNNLESDYLARDGPSSNSSFHSSEEEGTDLEGDMLDCSGSRPLLMESEEEDESCRPPPGKLGGAVPFAPPEVSPEQAKTVQGGRKNQFQAFTQPATDGLSEPDVFAIAPFRSSRVPNDDMDIFSKAPFVSKSSMAPSQPEESDVFLRAPFTKKKSMEELTVIQCTSQELPAQTGLLSQTGDVPLPAGRERAVYTSVQAQYSTAGFVQQSNLLSHSVQAADHLDSISPRGSCLESGGHSNDRNKGPQLQKEAVSGPMAGKPFRPQSLSKYSRHYSPEDEPSPEAQPIAAYKIVSQTNKQSIAGSVSITSLSSRTTELPAADPFALAPFPSKSGKKP
+>DECOY_sp|Q6ZSR9|YJ005_HUMAN Uncharacterized protein FLJ45252 OS=Homo sapiens OX=9606 PE=2 SV=2
+PKKGSKSPFPALAFPDAAPLETTRSSLSTISVSGAISQKNTQSVIKYAAIPQAEPSPEDEPSYHRSYKSLSQPRFPKGAMPGSVAEKQLQPGKNRDNSHGGSELCSGRPSISDLHDAAQVSHSLLNSQQVFGATSYQAQVSTYVARERGAPLPVDGTQSLLGTQAPLEQSTCQIVTLEEMSKKKTFPARLFVDSEEPQSPAMSSKSVFPAKSFIDMDDNPVRSSRFPAIAFVDPESLGDTAPQTFAQFQNKRGGQVTKAQEPSVEPPAFPVAGGLKGPPPRCSEDEEESEMLLPRSGSCDLMDGELDTGEEESSHFSSNSSPGDRALYDSELNNQTKTGPVRSPDPYLPLGKTGM
+>sp|Q9BRP9|YK016_HUMAN Putative uncharacterized protein MGC13053 OS=Homo sapiens OX=9606 PE=5 SV=2
+MQPSWTPAPVQRTACNITAWGGEFGKEGEGRCEQVALSSGPPEGALHASREGPQPPGAENLRPSTGETFVQSGRWDGGWRGAMKGRRHRQASTPPTRPESIFVPTAQDGAQMVCKAHTRTTQYTEQDSVVTARGLLDAKRVGVAGGS
+>DECOY_sp|Q9BRP9|YK016_HUMAN Putative uncharacterized protein MGC13053 OS=Homo sapiens OX=9606 PE=5 SV=2
+SGGAVGVRKADLLGRATVVSDQETYQTTRTHAKCVMQAGDQATPVFISEPRTPPTSAQRHRRGKMAGRWGGDWRGSQVFTEGTSPRLNEAGPPQPGERSAHLAGEPPGSSLAVQECRGEGEKGFEGGWATINCATRQVPAPTWSPQM
+>sp|Q8TAT8|YK045_HUMAN Putative uncharacterized protein LOC644613 OS=Homo sapiens OX=9606 PE=5 SV=2
+MTERRRALSLAAVVDSINLACVVVSRDWLSLVPAFFYSPPPGGSFSGIKRESRRKRPSRNEIYGGGVLEQEVRMRRWSKTASPPVSLHHRPLGPARKP
+>DECOY_sp|Q8TAT8|YK045_HUMAN Putative uncharacterized protein LOC644613 OS=Homo sapiens OX=9606 PE=5 SV=2
+PKRAPGLPRHHLSVPPSATKSWRRMRVEQELVGGGYIENRSPRKRRSERKIGSFSGGPPPSYFFAPVLSLWDRSVVVCALNISDVVAALSLARRRETM
+>sp|Q6ZSR3|YO027_HUMAN Putative uncharacterized protein FLJ45275, mitochondrial OS=Homo sapiens OX=9606 PE=5 SV=1
+MGWRFPSPSPRQASPVAPLLAAPTAVRSCSHCSGQREAISSHPLQLETPELGVCLPWHWEGWRQVRKITPSLPQPPGSQVPLEVTFHVRATLPHFRGGETKARRAREEGKLPSLGNAPAPRRRSVAWPAAEGSCAAPESSPPASEASLPAPESSLLVAGSGDLCADSF
+>DECOY_sp|Q6ZSR3|YO027_HUMAN Putative uncharacterized protein FLJ45275, mitochondrial OS=Homo sapiens OX=9606 PE=5 SV=1
+FSDACLDGSGAVLLSSEPAPLSAESAPPSSEPAACSGEAAPWAVSRRRPAPANGLSPLKGEERARRAKTEGGRFHPLTARVHFTVELPVQSGPPQPLSPTIKRVQRWGEWHWPLCVGLEPTELQLPHSSIAERQGSCHSCSRVATPAALLPAVPSAQRPSPSPFRWGM
+>sp|Q9H3N1|TMX1_HUMAN Thioredoxin-related transmembrane protein 1 OS=Homo sapiens OX=9606 GN=TMX1 PE=1 SV=1
+MAPSGSLAVPLAVLVLLLWGAPWTHGRRSNVRVITDENWRELLEGDWMIEFYAPWCPACQNLQPEWESFAEWGEDLEVNIAKVDVTEQPGLSGRFIITALPTIYHCKDGEFRRYQGPRTKKDFINFISDKEWKSIEPVSSWFGPGSVLMSSMSALFQLSMWIRTCHNYFIEDLGLPVWGSYTVFALATLFSGLLLGLCMIFVADCLCPSKRRRPQPYPYPSKKLLSESAQPLKKVEEEQEADEEDVSEEEAESKEGTNKDFPQNAIRQRSLGPSLATDKS
+>DECOY_sp|Q9H3N1|TMX1_HUMAN Thioredoxin-related transmembrane protein 1 OS=Homo sapiens OX=9606 GN=TMX1 PE=1 SV=1
+SKDTALSPGLSRQRIANQPFDKNTGEKSEAEEESVDEEDAEQEEEVKKLPQASESLLKKSPYPYPQPRRRKSPCLCDAVFIMCLGLLLGSFLTALAFVTYSGWVPLGLDEIFYNHCTRIWMSLQFLASMSSMLVSGPGFWSSVPEISKWEKDSIFNIFDKKTRPGQYRRFEGDKCHYITPLATIIFRGSLGPQETVDVKAINVELDEGWEAFSEWEPQLNQCAPCWPAYFEIMWDGELLERWNEDTIVRVNSRRGHTWPAGWLLLVLVALPVALSGSPAM
+>sp|O14788|TNF11_HUMAN Tumor necrosis factor ligand superfamily member 11 OS=Homo sapiens OX=9606 GN=TNFSF11 PE=1 SV=1
+MRRASRDYTKYLRGSEEMGGGPGAPHEGPLHAPPPPAPHQPPAASRSMFVALLGLGLGQVVCSVALFFYFRAQMDPNRISEDGTHCIYRILRLHENADFQDTTLESQDTKLIPDSCRRIKQAFQGAVQKELQHIVGSQHIRAEKAMVDGSWLDLAKRSKLEAQPFAHLTINATDIPSGSHKVSLSSWYHDRGWAKISNMTFSNGKLIVNQDGFYYLYANICFRHHETSGDLATEYLQLMVYVTKTSIKIPSSHTLMKGGSTKYWSGNSEFHFYSINVGGFFKLRSGEEISIEVSNPSLLDPDQDATYFGAFKVRDID
+>DECOY_sp|O14788|TNF11_HUMAN Tumor necrosis factor ligand superfamily member 11 OS=Homo sapiens OX=9606 GN=TNFSF11 PE=1 SV=1
+DIDRVKFAGFYTADQDPDLLSPNSVEISIEEGSRLKFFGGVNISYFHFESNGSWYKTSGGKMLTHSSPIKISTKTVYVMLQLYETALDGSTEHHRFCINAYLYYFGDQNVILKGNSFTMNSIKAWGRDHYWSSLSVKHSGSPIDTANITLHAFPQAELKSRKALDLWSGDVMAKEARIHQSGVIHQLEKQVAGQFAQKIRRCSDPILKTDQSELTTDQFDANEHLRLIRYICHTGDESIRNPDMQARFYFFLAVSCVVQGLGLGLLAVFMSRSAAPPQHPAPPPPAHLPGEHPAGPGGGMEESGRLYKTYDRSARRM
+>sp|Q06643|TNFC_HUMAN Lymphotoxin-beta OS=Homo sapiens OX=9606 GN=LTB PE=1 SV=1
+MGALGLEGRGGRLQGRGSLLLAVAGATSLVTLLLAVPITVLAVLALVPQDQGGLVTETADPGAQAQQGLGFQKLPEEEPETDLSPGLPAAHLIGAPLKGQGLGWETTKEQAFLTSGTQFSDAEGLALPQDGLYYLYCLVGYRGRAPPGGGDPQGRSVTLRSSLYRAGGAYGPGTPELLLEGAETVTPVLDPARRQGYGPLWYTSVGFGGLVQLRRGERVYVNISHPDMVDFARGKTFFGAVMVG
+>DECOY_sp|Q06643|TNFC_HUMAN Lymphotoxin-beta OS=Homo sapiens OX=9606 GN=LTB PE=1 SV=1
+GVMVAGFFTKGRAFDVMDPHSINVYVREGRRLQVLGGFGVSTYWLPGYGQRRAPDLVPTVTEAGELLLEPTGPGYAGGARYLSSRLTVSRGQPDGGGPPARGRYGVLCYLYYLGDQPLALGEADSFQTGSTLFAQEKTTEWGLGQGKLPAGILHAAPLGPSLDTEPEEEPLKQFGLGQQAQAGPDATETVLGGQDQPVLALVALVTIPVALLLTVLSTAGAVALLLSGRGQLRGGRGELGLAGM
+>sp|P48023|TNFL6_HUMAN Tumor necrosis factor ligand superfamily member 6 OS=Homo sapiens OX=9606 GN=FASLG PE=1 SV=1
+MQQPFNYPYPQIYWVDSSASSPWAPPGTVLPCPTSVPRRPGQRRPPPPPPPPPLPPPPPPPPLPPLPLPPLKKRGNHSTGLCLLVMFFMVLVALVGLGLGMFQLFHLQKELAELRESTSQMHTASSLEKQIGHPSPPPEKKELRKVAHLTGKSNSRSMPLEWEDTYGIVLLSGVKYKKGGLVINETGLYFVYSKVYFRGQSCNNLPLSHKVYMRNSKYPQDLVMMEGKMMSYCTTGQMWARSSYLGAVFNLTSADHLYVNVSELSLVNFEESQTFFGLYKL
+>DECOY_sp|P48023|TNFL6_HUMAN Tumor necrosis factor ligand superfamily member 6 OS=Homo sapiens OX=9606 GN=FASLG PE=1 SV=1
+LKYLGFFTQSEEFNVLSLESVNVYLHDASTLNFVAGLYSSRAWMQGTTCYSMMKGEMMVLDQPYKSNRMYVKHSLPLNNCSQGRFYVKSYVFYLGTENIVLGGKKYKVGSLLVIGYTDEWELPMSRSNSKGTLHAVKRLEKKEPPPSPHGIQKELSSATHMQSTSERLEALEKQLHFLQFMGLGLGVLAVLVMFFMVLLCLGTSHNGRKKLPPLPLPPLPPPPPPPPLPPPPPPPPPRRQGPRRPVSTPCPLVTGPPAWPSSASSDVWYIQPYPYNFPQQM
+>sp|P32971|TNFL8_HUMAN Tumor necrosis factor ligand superfamily member 8 OS=Homo sapiens OX=9606 GN=TNFSF8 PE=2 SV=1
+MDPGLQQALNGMAPPGDTAMHVPAGSVASHLGTTSRSYFYLTTATLALCLVFTVATIMVLVVQRTDSIPNSPDNVPLKGGNCSEDLLCILKRAPFKKSWAYLQVAKHLNKTKLSWNKDGILHGVRYQDGNLVIQFPGLYFIICQLQFLVQCPNNSVDLKLELLINKHIKKQALVTVCESGMQTKHVYQNLSQFLLDYLQVNTTISVNVDTFQYIDTSTFPLENVLSIFLYSNSD
+>DECOY_sp|P32971|TNFL8_HUMAN Tumor necrosis factor ligand superfamily member 8 OS=Homo sapiens OX=9606 GN=TNFSF8 PE=2 SV=1
+DSNSYLFISLVNELPFTSTDIYQFTDVNVSITTNVQLYDLLFQSLNQYVHKTQMGSECVTVLAQKKIHKNILLELKLDVSNNPCQVLFQLQCIIFYLGPFQIVLNGDQYRVGHLIGDKNWSLKTKNLHKAVQLYAWSKKFPARKLICLLDESCNGGKLPVNDPSNPISDTRQVVLVMITAVTFVLCLALTATTLYFYSRSTTGLHSAVSGAPVHMATDGPPAMGNLAQQLGPDM
+>sp|P41273|TNFL9_HUMAN Tumor necrosis factor ligand superfamily member 9 OS=Homo sapiens OX=9606 GN=TNFSF9 PE=1 SV=1
+MEYASDASLDPEAPWPPAPRARACRVLPWALVAGLLLLLLLAAACAVFLACPWAVSGARASPGSAASPRLREGPELSPDDPAGLLDLRQGMFAQLVAQNVLLIDGPLSWYSDPGLAGVSLTGGLSYKEDTKELVVAKAGVYYVFFQLELRRVVAGEGSGSVSLALHLQPLRSAAGAAALALTVDLPPASSEARNSAFGFQGRLLHLSAGQRLGVHLHTEARARHAWQLTQGATVLGLFRVTPEIPAGLPSPRSE
+>DECOY_sp|P41273|TNFL9_HUMAN Tumor necrosis factor ligand superfamily member 9 OS=Homo sapiens OX=9606 GN=TNFSF9 PE=1 SV=1
+ESRPSPLGAPIEPTVRFLGLVTAGQTLQWAHRARAETHLHVGLRQGASLHLLRGQFGFASNRAESSAPPLDVTLALAAAGAASRLPQLHLALSVSGSGEGAVVRRLELQFFVYYVGAKAVVLEKTDEKYSLGGTLSVGALGPDSYWSLPGDILLVNQAVLQAFMGQRLDLLGAPDDPSLEPGERLRPSAASGPSARAGSVAWPCALFVACAAALLLLLLLGAVLAWPLVRCARARPAPPWPAEPDLSADSAYEM
+>sp|Q96KP6|TNIP3_HUMAN TNFAIP3-interacting protein 3 OS=Homo sapiens OX=9606 GN=TNIP3 PE=1 SV=2
+MAHFVQGTSRMIAAESSTEHKECAEPSTRKNLMNSLEQKIRCLEKQRKELLEVNQQWDQQFRSMKELYERKVAELKTKLDAAERFLSTREKDPHQRQRKDDRQREDDRQRDLTRDRLQREEKEKERLNEELHELKEENKLLKGKNTLANKEKEHYECEIKRLNKALQDALNIKCSFSEDCLRKSRVEFCHEEMRTEMEVLKQQVQIYEEDFKKERSDRERLNQEKEELQQINETSQSQLNRLNSQIKACQMEKEKLEKQLKQMYCPPCNCGLVFHLQDPWVPTGPGAVQKQREHPPDYQWYALDQLPPDVQHKANGLSSVKKVHP
+>DECOY_sp|Q96KP6|TNIP3_HUMAN TNFAIP3-interacting protein 3 OS=Homo sapiens OX=9606 GN=TNIP3 PE=1 SV=2
+PHVKKVSSLGNAKHQVDPPLQDLAYWQYDPPHERQKQVAGPGTPVWPDQLHFVLGCNCPPCYMQKLQKELKEKEMQCAKIQSNLRNLQSQSTENIQQLEEKEQNLRERDSREKKFDEEYIQVQQKLVEMETRMEEHCFEVRSKRLCDESFSCKINLADQLAKNLRKIECEYHEKEKNALTNKGKLLKNEEKLEHLEENLREKEKEERQLRDRTLDRQRDDERQRDDKRQRQHPDKERTSLFREAADLKTKLEAVKREYLEKMSRFQQDWQQNVELLEKRQKELCRIKQELSNMLNKRTSPEACEKHETSSEAAIMRSTGQVFHAM
+>sp|Q14106|TOB2_HUMAN Protein Tob2 OS=Homo sapiens OX=9606 GN=TOB2 PE=1 SV=2
+MQLEIKVALNFIISYLYNKLPRRRADLFGEELERLLKKKYEGHWYPEKPLKGSGFRCVHIGEMVDPVVELAAKRSGLAVEDVRANVPEELSVWIDPFEVSYQIGEKGAVKVLYLDDSEGCGAPELDKEIKSSFNPDAQVFVPIGSQDSSLSNSPSPSFGQSPSPTFIPRSAQPITFTTASFAATKFGSTKMKKGGGAASGGGVASSGAGGQQPPQQPRMARSPTNSLLKHKSLSLSMHSLNFITANPAPQSQLSPNAKEFVYNGGGSPSLFFDAADGQGSGTPGPFGGSGAGTCNSSSFDMAQVFGGGANSLFLEKTPFVEGLSYNLNTMQYPSQQFQPVVLAN
+>DECOY_sp|Q14106|TOB2_HUMAN Protein Tob2 OS=Homo sapiens OX=9606 GN=TOB2 PE=1 SV=2
+NALVVPQFQQSPYQMTNLNYSLGEVFPTKELFLSNAGGGFVQAMDFSSSNCTGAGSGGFPGPTGSGQGDAADFFLSPSGGGNYVFEKANPSLQSQPAPNATIFNLSHMSLSLSKHKLLSNTPSRAMRPQQPPQQGGAGSSAVGGGSAAGGGKKMKTSGFKTAAFSATTFTIPQASRPIFTPSPSQGFSPSPSNSLSSDQSGIPVFVQADPNFSSKIEKDLEPAGCGESDDLYLVKVAGKEGIQYSVEFPDIWVSLEEPVNARVDEVALGSRKAALEVVPDVMEGIHVCRFGSGKLPKEPYWHGEYKKKLLRELEEGFLDARRRPLKNYLYSIIFNLAVKIELQM
+>sp|Q5JTV8|TOIP1_HUMAN Torsin-1A-interacting protein 1 OS=Homo sapiens OX=9606 GN=TOR1AIP1 PE=1 SV=2
+MAGDGRRAEAVREGWGVYVTPRAPIREGRGRLAPQNGGSSDAPAYRTPPSRQGRREVRFSDEPPEVYGDFEPLVAKERSPVGKRTRLEEFRSDSAKEEVRESAYYLRSRQRRQPRPQETEEMKTRRTTRLQQQHSEQPPLQPSPVMTRRGLRDSHSSEEDEASSQTDLSQTISKKTVRSIQEAPVSEDLVIRLRRPPLRYPRYEATSVQQKVNFSEEGETEEDDQDSSHSSVTTVKARSRDSDESGDKTTRSSSQYIESFWQSSQSQNFTAHDKQPSVLSSGYQKTPQEWAPQTARIRTRMQNDSILKSELGNQSPSTSSRQVTGQPQNASFVKRNRWWLLPLIAALASGSFWFFSTPEVETTAVQEFQNQMNQLKNKYQGQDEKLWKRSQTFLEKHLNSSHPRSQPAILLLTAARDAEEALRCLSEQIADAYSSFRSVRAIRIDGTDKATQDSDTVKLEVDQELSNGFKNGQNAAVVHRFESFPAGSTLIFYKYCDHENAAFKDVALVLTVLLEEETLGTSLGLKEVEEKVRDFLKVKFTNSNTPNSYNHMDPDKLNGLWSRISHLVLPVQPENALKRGICL
+>DECOY_sp|Q5JTV8|TOIP1_HUMAN Torsin-1A-interacting protein 1 OS=Homo sapiens OX=9606 GN=TOR1AIP1 PE=1 SV=2
+LCIGRKLANEPQVPLVLHSIRSWLGNLKDPDMHNYSNPTNSNTFKVKLFDRVKEEVEKLGLSTGLTEEELLVTLVLAVDKFAANEHDCYKYFILTSGAPFSEFRHVVAANQGNKFGNSLEQDVELKVTDSDQTAKDTGDIRIARVSRFSSYADAIQESLCRLAEEADRAATLLLIAPQSRPHSSNLHKELFTQSRKWLKEDQGQYKNKLQNMQNQFEQVATTEVEPTSFFWFSGSALAAILPLLWWRNRKVFSANQPQGTVQRSSTSPSQNGLESKLISDNQMRTRIRATQPAWEQPTKQYGSSLVSPQKDHATFNQSQSSQWFSEIYQSSSRTTKDGSEDSDRSRAKVTTVSSHSSDQDDEETEGEESFNVKQQVSTAEYRPYRLPPRRLRIVLDESVPAEQISRVTKKSITQSLDTQSSAEDEESSHSDRLGRRTMVPSPQLPPQESHQQQLRTTRRTKMEETEQPRPQRRQRSRLYYASERVEEKASDSRFEELRTRKGVPSREKAVLPEFDGYVEPPEDSFRVERRGQRSPPTRYAPADSSGGNQPALRGRGERIPARPTVYVGWGERVAEARRGDGAM
+>sp|Q9H0E2|TOLIP_HUMAN Toll-interacting protein OS=Homo sapiens OX=9606 GN=TOLLIP PE=1 SV=1
+MATTVSTQRGPVYIGELPQDFLRITPTQQQRQVQLDAQAAQQLQYGGAVGTVGRLNITVVQAKLAKNYGMTRMDPYCRLRLGYAVYETPTAHNGAKNPRWNKVIHCTVPPGVDSFYLEIFDERAFSMDDRIAWTHITIPESLRQGKVEDKWYSLSGRQGDDKEGMINLVMSYALLPAAMVMPPQPVVLMPTVYQQGVGYVPITGMPAVCSPGMVPVALPPAAVNAQPRCSEEDLKAIQDMFPNMDQEVIRSVLEAQRGNKDAAINSLLQMGEEP
+>DECOY_sp|Q9H0E2|TOLIP_HUMAN Toll-interacting protein OS=Homo sapiens OX=9606 GN=TOLLIP PE=1 SV=1
+PEEGMQLLSNIAADKNGRQAELVSRIVEQDMNPFMDQIAKLDEESCRPQANVAAPPLAVPVMGPSCVAPMGTIPVYGVGQQYVTPMLVVPQPPMVMAAPLLAYSMVLNIMGEKDDGQRGSLSYWKDEVKGQRLSEPITIHTWAIRDDMSFAREDFIELYFSDVGPPVTCHIVKNWRPNKAGNHATPTEYVAYGLRLRCYPDMRTMGYNKALKAQVVTINLRGVTGVAGGYQLQQAAQADLQVQRQQQTPTIRLFDQPLEGIYVPGRQTSVTTAM
+>sp|Q8WZ04|TOMT_HUMAN Transmembrane O-methyltransferase OS=Homo sapiens OX=9606 GN=LRTOMT PE=1 SV=3
+MGTPWRKRKGIAGPGLPDLSCALVLQPRAQVGTMSPAIALAFLPLVVTLLVRYRHYFRLLVRTVLLRSLRDCLSGLRIEERAFSYVLTHALPGDPGHILTTLDHWSSRCEYLSHMGPVKGQILMRLVEEKAPACVLELGTYCGYSTLLIARALPPGGRLLTVERDPRTAAVAEKLIRLAGFDEHMVELIVGSSEDVIPCLRTQYQLSRADLVLLAHRPRCYLRDLQLLEAHALLPAGATVLADHVLFPGAPRFLQYAKSCGRYRCRLHHTGLPDFPAIKDGIAQLTYAGPG
+>DECOY_sp|Q8WZ04|TOMT_HUMAN Transmembrane O-methyltransferase OS=Homo sapiens OX=9606 GN=LRTOMT PE=1 SV=3
+GPGAYTLQAIGDKIAPFDPLGTHHLRCRYRGCSKAYQLFRPAGPFLVHDALVTAGAPLLAHAELLQLDRLYCRPRHALLVLDARSLQYQTRLCPIVDESSGVILEVMHEDFGALRILKEAVAATRPDREVTLLRGGPPLARAILLTSYGCYTGLELVCAPAKEEVLRMLIQGKVPGMHSLYECRSSWHDLTTLIHGPDGPLAHTLVYSFAREEIRLGSLCDRLSRLLVTRVLLRFYHRYRVLLTVVLPLFALAIAPSMTGVQARPQLVLACSLDPLGPGAIGKRKRWPTGM
+>sp|Q5JU69|TOR2A_HUMAN Torsin-2A OS=Homo sapiens OX=9606 GN=TOR2A PE=2 SV=1
+MAAATRGCRPWGSLLGLLGLVSAAAAAWDLASLRCTLGAFCECDFRPDLPGLECDLAQHLAGQHLAKALVVKALKAFVRDPAPTKPLVLSLHGWTGTGKSYVSSLLAHYLFQGGLRSPRVHHFSPVLHFPHPSHIERYKKDLKSWVQGNLTACGRSLFLFDEMDKMPPGLMEVLRPFLGSSWVVYGTNYRKAIFIFISNTGGKQINQVALEAWRSRRDREEILLQELEPVISRAVLDNPHHGFSNSGIMEERLLDAVVPFLPLQRHHVRHCVLNELAQLGLEPRDEVVQAVLDSTTFFPEDEQLFSSNGCKTVASRIAFFL
+>DECOY_sp|Q5JU69|TOR2A_HUMAN Torsin-2A OS=Homo sapiens OX=9606 GN=TOR2A PE=2 SV=1
+LFFAIRSAVTKCGNSSFLQEDEPFFTTSDLVAQVVEDRPELGLQALENLVCHRVHHRQLPLFPVVADLLREEMIGSNSFGHHPNDLVARSIVPELEQLLIEERDRRSRWAELAVQNIQKGGTNSIFIFIAKRYNTGYVVWSSGLFPRLVEMLGPPMKDMEDFLFLSRGCATLNGQVWSKLDKKYREIHSPHPFHLVPSFHHVRPSRLGGQFLYHALLSSVYSKGTGTWGHLSLVLPKTPAPDRVFAKLAKVVLAKALHQGALHQALDCELGPLDPRFDCECFAGLTCRLSALDWAAAAASVLGLLGLLSGWPRCGRTAAAM
+>sp|Q8N2E6|TOR2X_HUMAN Prosalusin OS=Homo sapiens OX=9606 GN=TOR2A PE=1 SV=1
+MAAATRGCRPWGSLLGLLGLVSAAAAAWDLASLRCTLGAFCECDFRPDLPGLECDLAQHLAGQHLAKALVVKALKAFVRDPAPTKPLVLSLHGWTGTGKSYVSSLLAHYLFQGGLRSPRVHHFSPVLHFPHPSHIERYKKDLKSWVQGNLTACGRSLFLFDEMDKMPPGLMEVLRPFLGSSWVVYGTNYRKAIFIFIRWLLKLGHHGRAPPRRSGALPPAPAAPRPALRAQRAGPAGPGAKG
+>DECOY_sp|Q8N2E6|TOR2X_HUMAN Prosalusin OS=Homo sapiens OX=9606 GN=TOR2A PE=1 SV=1
+GKAGPGAPGARQARLAPRPAAPAPPLAGSRRPPARGHHGLKLLWRIFIFIAKRYNTGYVVWSSGLFPRLVEMLGPPMKDMEDFLFLSRGCATLNGQVWSKLDKKYREIHSPHPFHLVPSFHHVRPSRLGGQFLYHALLSSVYSKGTGTWGHLSLVLPKTPAPDRVFAKLAKVVLAKALHQGALHQALDCELGPLDPRFDCECFAGLTCRLSALDWAAAAASVLGLLGLLSGWPRCGRTAAAM
+>sp|Q8WVP5|TP8L1_HUMAN Tumor necrosis factor alpha-induced protein 8-like protein 1 OS=Homo sapiens OX=9606 GN=TNFAIP8L1 PE=1 SV=2
+MDTFSTKSLALQAQKKLLSKMASKAVVAVLVDDTSSEVLDELYRATREFTRSRKEAQKMLKNLVKVALKLGLLLRGDQLGGEELALLRRFRHRARCLAMTAVSFHQVDFTFDRRVLAAGLLECRDLLHQAVGPHLTAKSHGRINHVFGHLADCDFLAALYGPAEPYRSHLRRICEGLGRMLDEGSL
+>DECOY_sp|Q8WVP5|TP8L1_HUMAN Tumor necrosis factor alpha-induced protein 8-like protein 1 OS=Homo sapiens OX=9606 GN=TNFAIP8L1 PE=1 SV=2
+LSGEDLMRGLGECIRRLHSRYPEAPGYLAALFDCDALHGFVHNIRGHSKATLHPGVAQHLLDRCELLGAALVRRDFTFDVQHFSVATMALCRARHRFRRLLALEEGGLQDGRLLLGLKLAVKVLNKLMKQAEKRSRTFERTARYLEDLVESSTDDVLVAVVAKSAMKSLLKKQAQLALSKTSFTDM
+>sp|Q6P589|TP8L2_HUMAN Tumor necrosis factor alpha-induced protein 8-like protein 2 OS=Homo sapiens OX=9606 GN=TNFAIP8L2 PE=1 SV=1
+MESFSSKSLALQAEKKLLSKMAGRSVAHLFIDETSSEVLDELYRVSKEYTHSRPQAQRVIKDLIKVAIKVAVLHRNGSFGPSELALATRFRQKLRQGAMTALSFGEVDFTFEAAVLAGLLTECRDVLLELVEHHLTPKSHGRIRHVFDHFSDPGLLTALYGPDFTQHLGKICDGLRKLLDEGKL
+>DECOY_sp|Q6P589|TP8L2_HUMAN Tumor necrosis factor alpha-induced protein 8-like protein 2 OS=Homo sapiens OX=9606 GN=TNFAIP8L2 PE=1 SV=1
+LKGEDLLKRLGDCIKGLHQTFDPGYLATLLGPDSFHDFVHRIRGHSKPTLHHEVLELLVDRCETLLGALVAAEFTFDVEGFSLATMAGQRLKQRFRTALALESPGFSGNRHLVAVKIAVKILDKIVRQAQPRSHTYEKSVRYLEDLVESSTEDIFLHAVSRGAMKSLLKKEAQLALSKSSFSEM
+>sp|P00750|TPA_HUMAN Tissue-type plasminogen activator OS=Homo sapiens OX=9606 GN=PLAT PE=1 SV=1
+MDAMKRGLCCVLLLCGAVFVSPSQEIHARFRRGARSYQVICRDEKTQMIYQQHQSWLRPVLRSNRVEYCWCNSGRAQCHSVPVKSCSEPRCFNGGTCQQALYFSDFVCQCPEGFAGKCCEIDTRATCYEDQGISYRGTWSTAESGAECTNWNSSALAQKPYSGRRPDAIRLGLGNHNYCRNPDRDSKPWCYVFKAGKYSSEFCSTPACSEGNSDCYFGNGSAYRGTHSLTESGASCLPWNSMILIGKVYTAQNPSAQALGLGKHNYCRNPDGDAKPWCHVLKNRRLTWEYCDVPSCSTCGLRQYSQPQFRIKGGLFADIASHPWQAAIFAKHRRSPGERFLCGGILISSCWILSAAHCFQERFPPHHLTVILGRTYRVVPGEEEQKFEVEKYIVHKEFDDDTYDNDIALLQLKSDSSRCAQESSVVRTVCLPPADLQLPDWTECELSGYGKHEALSPFYSERLKEAHVRLYPSSRCTSQHLLNRTVTDNMLCAGDTRSGGPQANLHDACQGDSGGPLVCLNDGRMTLVGIISWGLGCGQKDVPGVYTKVTNYLDWIRDNMRP
+>DECOY_sp|P00750|TPA_HUMAN Tissue-type plasminogen activator OS=Homo sapiens OX=9606 GN=PLAT PE=1 SV=1
+PRMNDRIWDLYNTVKTYVGPVDKQGCGLGWSIIGVLTMRGDNLCVLPGGSDGQCADHLNAQPGGSRTDGACLMNDTVTRNLLHQSTCRSSPYLRVHAEKLRESYFPSLAEHKGYGSLECETWDPLQLDAPPLCVTRVVSSEQACRSSDSKLQLLAIDNDYTDDDFEKHVIYKEVEFKQEEEGPVVRYTRGLIVTLHHPPFREQFCHAASLIWCSSILIGGCLFREGPSRRHKAFIAAQWPHSAIDAFLGGKIRFQPQSYQRLGCTSCSPVDCYEWTLRRNKLVHCWPKADGDPNRCYNHKGLGLAQASPNQATYVKGILIMSNWPLCSAGSETLSHTGRYASGNGFYCDSNGESCAPTSCFESSYKGAKFVYCWPKSDRDPNRCYNHNGLGLRIADPRRGSYPKQALASSNWNTCEAGSEATSWTGRYSIGQDEYCTARTDIECCKGAFGEPCQCVFDSFYLAQQCTGGNFCRPESCSKVPVSHCQARGSNCWCYEVRNSRLVPRLWSQHQQYIMQTKEDRCIVQYSRAGRRFRAHIEQSPSVFVAGCLLLVCCLGRKMADM
+>sp|Q9ULQ1|TPC1_HUMAN Two pore calcium channel protein 1 OS=Homo sapiens OX=9606 GN=TPCN1 PE=1 SV=3
+MAVSLDDDVPLILTLDEGGSAPLAPSNGLGQEELPSKNGGSYAIHDSQAPSLSSGGESSPSSPAHNWEMNYQEAAIYLQEGENNDKFFTHPKDAKALAAYLFAHNHLFYLMELATALLLLLLSLCEAPAVPALRLGIYVHATLELFALMVVVFELCMKLRWLGLHTFIRHKRTMVKTSVLVVQFVEAIVVLVRQMSHVRVTRALRCIFLVDCRYCGGVRRNLRQIFQSLPPFMDILLLLLFFMIIFAILGFYLFSPNPSDPYFSTLENSIVSLFVLLTTANFPDVMMPSYSRNPWSCVFFIVYLSIELYFIMNLLLAVVFDTFNDIEKRKFKSLLLHKRTAIQHAYRLLISQRRPAGISYRQFEGLMRFYKPRMSARERYLTFKALNQNNTPLLSLKDFYDIYEVAALKWKAKKNREHWFDELPRTALLIFKGINILVKSKAFQYFMYLVVAVNGVWILVETFMLKGGNFFSKHVPWSYLVFLTIYGVELFLKVAGLGPVEYLSSGWNLFDFSVTVFAFLGLLALALNMEPFYFIVVLRPLQLLRLFKLKERYRNVLDTMFELLPRMASLGLTLLIFYYSFAIVGMEFFCGIVFPNCCNTSTVADAYRWRNHTVGNRTVVEEGYYYLNNFDNILNSFVTLFELTVVNNWYIIMEGVTSQTSHWSRLYFMTFYIVTMVVMTIIVAFILEAFVFRMNYSRKNQDSEVDGGITLEKEISKEELVAVLELYREARGASSDVTRLLETLSQMERYQQHSMVFLGRRSRTKSDLSLKMYQEEIQEWYEEHAREQEQQRQLSSSAAPAAQQPPGSRQRSQTVT
+>DECOY_sp|Q9ULQ1|TPC1_HUMAN Two pore calcium channel protein 1 OS=Homo sapiens OX=9606 GN=TPCN1 PE=1 SV=3
+TVTQSRQRSGPPQQAAPAASSSLQRQQEQERAHEEYWEQIEEQYMKLSLDSKTRSRRGLFVMSHQQYREMQSLTELLRTVDSSAGRAERYLELVAVLEEKSIEKELTIGGDVESDQNKRSYNMRFVFAELIFAVIITMVVMTVIYFTMFYLRSWHSTQSTVGEMIIYWNNVVTLEFLTVFSNLINDFNNLYYYGEEVVTRNGVTHNRWRYADAVTSTNCCNPFVIGCFFEMGVIAFSYYFILLTLGLSAMRPLLEFMTDLVNRYREKLKFLRLLQLPRLVVIFYFPEMNLALALLGLFAFVTVSFDFLNWGSSLYEVPGLGAVKLFLEVGYITLFVLYSWPVHKSFFNGGKLMFTEVLIWVGNVAVVLYMFYQFAKSKVLINIGKFILLATRPLEDFWHERNKKAKWKLAAVEYIDYFDKLSLLPTNNQNLAKFTLYRERASMRPKYFRMLGEFQRYSIGAPRRQSILLRYAHQIATRKHLLLSKFKRKEIDNFTDFVVALLLNMIFYLEISLYVIFFVCSWPNRSYSPMMVDPFNATTLLVFLSVISNELTSFYPDSPNPSFLYFGLIAFIIMFFLLLLLIDMFPPLSQFIQRLNRRVGGCYRCDVLFICRLARTVRVHSMQRVLVVIAEVFQVVLVSTKVMTRKHRIFTHLGLWRLKMCLEFVVVMLAFLELTAHVYIGLRLAPVAPAECLSLLLLLLATALEMLYFLHNHAFLYAALAKADKPHTFFKDNNEGEQLYIAAEQYNMEWNHAPSSPSSEGGSSLSPAQSDHIAYSGGNKSPLEEQGLGNSPALPASGGEDLTLILPVDDDLSVAM
+>sp|P60174|TPIS_HUMAN Triosephosphate isomerase OS=Homo sapiens OX=9606 GN=TPI1 PE=1 SV=3
+MAEDGEEAEFHFAALYISGQWPRLRADTDLQRLGSSAMAPSRKFFVGGNWKMNGRKQSLGELIGTLNAAKVPADTEVVCAPPTAYIDFARQKLDPKIAVAAQNCYKVTNGAFTGEISPGMIKDCGATWVVLGHSERRHVFGESDELIGQKVAHALAEGLGVIACIGEKLDEREAGITEKVVFEQTKVIADNVKDWSKVVLAYEPVWAIGTGKTATPQQAQEVHEKLRGWLKSNVSDAVAQSTRIIYGGSVTGATCKELASQPDVDGFLVGGASLKPEFVDIINAKQ
+>DECOY_sp|P60174|TPIS_HUMAN Triosephosphate isomerase OS=Homo sapiens OX=9606 GN=TPI1 PE=1 SV=3
+QKANIIDVFEPKLSAGGVLFGDVDPQSALEKCTAGTVSGGYIIRTSQAVADSVNSKLWGRLKEHVEQAQQPTATKGTGIAWVPEYALVVKSWDKVNDAIVKTQEFVVKETIGAEREDLKEGICAIVGLGEALAHAVKQGILEDSEGFVHRRESHGLVVWTAGCDKIMGPSIEGTFAGNTVKYCNQAAVAIKPDLKQRAFDIYATPPACVVETDAPVKAANLTGILEGLSQKRGNMKWNGGVFFKRSPAMASSGLRQLDTDARLRPWQGSIYLAAFHFEAEEGDEAM
+>sp|P06753|TPM3_HUMAN Tropomyosin alpha-3 chain OS=Homo sapiens OX=9606 GN=TPM3 PE=1 SV=2
+MMEAIKKKMQMLKLDKENALDRAEQAEAEQKQAEERSKQLEDELAAMQKKLKGTEDELDKYSEALKDAQEKLELAEKKAADAEAEVASLNRRIQLVEEELDRAQERLATALQKLEEAEKAADESERGMKVIENRALKDEEKMELQEIQLKEAKHIAEEADRKYEEVARKLVIIEGDLERTEERAELAESKCSELEEELKNVTNNLKSLEAQAEKYSQKEDKYEEEIKILTDKLKEAETRAEFAERSVAKLEKTIDDLEDELYAQKLKYKAISEELDHALNDMTSI
+>DECOY_sp|P06753|TPM3_HUMAN Tropomyosin alpha-3 chain OS=Homo sapiens OX=9606 GN=TPM3 PE=1 SV=2
+ISTMDNLAHDLEESIAKYKLKQAYLEDELDDITKELKAVSREAFEARTEAEKLKDTLIKIEEEYKDEKQSYKEAQAELSKLNNTVNKLEEELESCKSEALEAREETRELDGEIIVLKRAVEEYKRDAEEAIHKAEKLQIEQLEMKEEDKLARNEIVKMGRESEDAAKEAEELKQLATALREQARDLEEEVLQIRRNLSAVEAEADAAKKEALELKEQADKLAESYKDLEDETGKLKKQMAALEDELQKSREEAQKQEAEAQEARDLANEKDLKLMQMKKKIAEMM
+>sp|P51580|TPMT_HUMAN Thiopurine S-methyltransferase OS=Homo sapiens OX=9606 GN=TPMT PE=1 SV=1
+MDGTRTSLDIEEYSDTEVQKNQVLTLEEWQDKWVNGKTAFHQEQGHQLLKKHLDTFLKGKSGLRVFFPLCGKAVEMKWFADRGHSVVGVEISELGIQEFFTEQNLSYSEEPITEIPGTKVFKSSSGNISLYCCSIFDLPRTNIGKFDMIWDRGALVAINPGDRKCYADTMFSLLGKKFQYLLCVLSYDPTKHPGPPFYVPHAEIERLFGKICNIRCLEKVDAFEERHKSWGIDCLFEKLYLLTEK
+>DECOY_sp|P51580|TPMT_HUMAN Thiopurine S-methyltransferase OS=Homo sapiens OX=9606 GN=TPMT PE=1 SV=1
+KETLLYLKEFLCDIGWSKHREEFADVKELCRINCIKGFLREIEAHPVYFPPGPHKTPDYSLVCLLYQFKKGLLSFMTDAYCKRDGPNIAVLAGRDWIMDFKGINTRPLDFISCCYLSINGSSSKFVKTGPIETIPEESYSLNQETFFEQIGLESIEVGVVSHGRDAFWKMEVAKGCLPFFVRLGSKGKLFTDLHKKLLQHGQEQHFATKGNVWKDQWEELTLVQNKQVETDSYEEIDLSTRTGDM
+>sp|O14773|TPP1_HUMAN Tripeptidyl-peptidase 1 OS=Homo sapiens OX=9606 GN=TPP1 PE=1 SV=2
+MGLQACLLGLFALILSGKCSYSPEPDQRRTLPPGWVSLGRADPEEELSLTFALRQQNVERLSELVQAVSDPSSPQYGKYLTLENVADLVRPSPLTLHTVQKWLLAAGAQKCHSVITQDFLTCWLSIRQAELLLPGAEFHHYVGGPTETHVVRSPHPYQLPQALAPHVDFVGGLHRFPPTSSLRQRPEPQVTGTVGLHLGVTPSVIRKRYNLTSQDVGSGTSNNSQACAQFLEQYFHDSDLAQFMRLFGGNFAHQASVARVVGQQGRGRAGIEASLDVQYLMSAGANISTWVYSSPGRHEGQEPFLQWLMLLSNESALPHVHTVSYGDDEDSLSSAYIQRVNTELMKAAARGLTLLFASGDSGAGCWSVSGRHQFRPTFPASSPYVTTVGGTSFQEPFLITNEIVDYISGGGFSNVFPRPSYQEEAVTKFLSSSPHLPPSSYFNASGRAYPDVAALSDGYWVVSNRVPIPWVSGTSASTPVFGGILSLINEHRILSGRPPLGFLNPRLYQQHGAGLFDVTRGCHESCLDEEVEGQGFCSGPGWDPVTGWGTPNFPALLKTLLNP
+>DECOY_sp|O14773|TPP1_HUMAN Tripeptidyl-peptidase 1 OS=Homo sapiens OX=9606 GN=TPP1 PE=1 SV=2
+PNLLTKLLAPFNPTGWGTVPDWGPGSCFGQGEVEEDLCSEHCGRTVDFLGAGHQQYLRPNLFGLPPRGSLIRHENILSLIGGFVPTSASTGSVWPIPVRNSVVWYGDSLAAVDPYARGSANFYSSPPLHPSSSLFKTVAEEQYSPRPFVNSFGGGSIYDVIENTILFPEQFSTGGVTTVYPSSAPFTPRFQHRGSVSWCGAGSDGSAFLLTLGRAAAKMLETNVRQIYASSLSDEDDGYSVTHVHPLASENSLLMLWQLFPEQGEHRGPSSYVWTSINAGASMLYQVDLSAEIGARGRGQQGVVRAVSAQHAFNGGFLRMFQALDSDHFYQELFQACAQSNNSTGSGVDQSTLNYRKRIVSPTVGLHLGVTGTVQPEPRQRLSSTPPFRHLGGVFDVHPALAQPLQYPHPSRVVHTETPGGVYHHFEAGPLLLEAQRISLWCTLFDQTIVSHCKQAGAALLWKQVTHLTLPSPRVLDAVNELTLYKGYQPSSPDSVAQVLESLREVNQQRLAFTLSLEEEPDARGLSVWGPPLTRRQDPEPSYSCKGSLILAFLGLLCAQLGM
+>sp|Q9BW30|TPPP3_HUMAN Tubulin polymerization-promoting protein family member 3 OS=Homo sapiens OX=9606 GN=TPPP3 PE=1 SV=1
+MAASTDMAGLEESFRKFAIHGDPKASGQEMNGKNWAKLCKDCKVADGKSVTGTDVDIVFSKVKGKSARVINYEEFKKALEELATKRFKGKSKEEAFDAICQLVAGKEPANVGVTKAKTGGAVDRLTDTSRYTGSHKERFDESGKGKGIAGRQDILDDSGYVSAYKNAGTYDAKVKK
+>DECOY_sp|Q9BW30|TPPP3_HUMAN Tubulin polymerization-promoting protein family member 3 OS=Homo sapiens OX=9606 GN=TPPP3 PE=1 SV=1
+KKVKADYTGANKYASVYGSDDLIDQRGAIGKGKGSEDFREKHSGTYRSTDTLRDVAGGTKAKTVGVNAPEKGAVLQCIADFAEEKSKGKFRKTALEELAKKFEEYNIVRASKGKVKSFVIDVDTGTVSKGDAVKCDKCLKAWNKGNMEQGSAKPDGHIAFKRFSEELGAMDTSAAM
+>sp|Q8N7U7|TPRX1_HUMAN Tetra-peptide repeat homeobox protein 1 OS=Homo sapiens OX=9606 GN=TPRX1 PE=1 SV=3
+MLSLREQQLQVWFKNRRAKLARERRLQQQPQRVPGQRGRGARAAPLVPAASASAPQRGPSGILPAAEPTICSLHQAWGGPGCRAQKGIPAALSPGPGPIPAPIPGPAQIPGPLPGSIPGPIPGPAQIPSPIPAPIPGPISGPVQIPGPFRGPIPGPISGPAPIPGPISGPFSGPNPGPIPGPNPGPIPGPISGPIPGPISVPIPGPIPGPISGPISGPNPGPIPGPIPGPISGPNPGPIPGPISGPNPGLIPGPIPGPISGPGPIIGPIPSPAQIPGPGRLQGPGPILSPGRMRSPGSLPGLAPILGPGSGPGSGSVPAPIPGPGSLPAPAPLWPQSPDASDFLPDTQLFPHFTELLLPLDPLEGSSVSTMTSQYQEGDDSMGKKHSGSQPQEEGGSVNENHSGPRLLLDL
+>DECOY_sp|Q8N7U7|TPRX1_HUMAN Tetra-peptide repeat homeobox protein 1 OS=Homo sapiens OX=9606 GN=TPRX1 PE=1 SV=3
+LDLLLRPGSHNENVSGGEEQPQSGSHKKGMSDDGEQYQSTMTSVSSGELPDLPLLLETFHPFLQTDPLFDSADPSQPWLPAPAPLSGPGPIPAPVSGSGPGSGPGLIPALGPLSGPSRMRGPSLIPGPGQLRGPGPIQAPSPIPGIIPGPGSIPGPIPGPILGPNPGSIPGPIPGPNPGSIPGPIPGPIPGPNPGSIPGSIPGPIPGPIPVSIPGPIPGSIPGPIPGPNPGPIPGPNPGSFPGSIPGPIPAPGSIPGPIPGRFPGPIQVPGSIPGPIPAPIPSPIQAPGPIPGPISGPLPGPIQAPGPIPAPIPGPGPSLAAPIGKQARCGPGGWAQHLSCITPEAAPLIGSPGRQPASASAAPVLPAARAGRGRQGPVRQPQQQLRRERALKARRNKFWVQLQQERLSLM
+>sp|Q9BX59|TPSNR_HUMAN Tapasin-related protein OS=Homo sapiens OX=9606 GN=TAPBPL PE=1 SV=2
+MGTQEGWCLLLCLALSGAAETKPHPAEGQWRAVDVVLDCFLAKDGAHRGALASSEDRARASLVLKQVPVLDDGSLEDFTDFQGGTLAQDDPPIIFEASVDLVQIPQAEALLHADCSGKEVTCEISRYFLQMTETTVKTAAWFMANMQVSGGGPSISLVMKTPRVTKNEALWHPTLNLPLSPQGTVRTAVEFQVMTQTQSLSFLLGSSASLDCGFSMAPGLDLISVEWRLQHKGRGQLVYSWTAGQGQAVRKGATLEPAQLGMARDASLTLPGLTIQDEGTYICQITTSLYRAQQIIQLNIQASPKVRLSLANEALLPTLICDIAGYYPLDVVVTWTREELGGSPAQVSGASFSSLRQSVAGTYSISSSLTAEPGSAGATYTCQVTHISLEEPLGASTQVVPPERRTALGVIFASSLFLLALMFLGLQRRQAPTGLGLLQAERWETTSCADTQSSHLHEDRTARVSQPS
+>DECOY_sp|Q9BX59|TPSNR_HUMAN Tapasin-related protein OS=Homo sapiens OX=9606 GN=TAPBPL PE=1 SV=2
+SPQSVRATRDEHLHSSQTDACSTTEWREAQLLGLGTPAQRRQLGLFMLALLFLSSAFIVGLATRREPPVVQTSAGLPEELSIHTVQCTYTAGASGPEATLSSSISYTGAVSQRLSSFSAGSVQAPSGGLEERTWTVVVDLPYYGAIDCILTPLLAENALSLRVKPSAQINLQIIQQARYLSTTIQCIYTGEDQITLGPLTLSADRAMGLQAPELTAGKRVAQGQGATWSYVLQGRGKHQLRWEVSILDLGPAMSFGCDLSASSGLLFSLSQTQTMVQFEVATRVTGQPSLPLNLTPHWLAENKTVRPTKMVLSISPGGGSVQMNAMFWAATKVTTETMQLFYRSIECTVEKGSCDAHLLAEAQPIQVLDVSAEFIIPPDDQALTGGQFDTFDELSGDDLVPVQKLVLSARARDESSALAGRHAGDKALFCDLVVDVARWQGEAPHPKTEAAGSLALCLLLCWGEQTGM
+>sp|O15533|TPSN_HUMAN Tapasin OS=Homo sapiens OX=9606 GN=TAPBP PE=1 SV=1
+MKSLSLLLAVALGLATAVSAGPAVIECWFVEDASGKGLAKRPGALLLRQGPGEPPPRPDLDPELYLSVHDPAGALQAAFRRYPRGAPAPHCEMSRFVPLPASAKWASGLTPAQNCPRALDGAWLMVSISSPVLSLSSLLRPQPEPQQEPVLITMATVVLTVLTHTPAPRVRLGQDALLDLSFAYMPPTSEAASSLAPGPPPFGLEWRRQHLGKGHLLLAATPGLNGQMPAAQEGAVAFAAWDDDEPWGPWTGNGTFWLPRVQPFQEGTYLATIHLPYLQGQVTLELAVYKPPKVSLMPATLARAAPGEAPPELLCLVSHFYPSGGLEVEWELRGGPGGRSQKAEGQRWLSALRHHSDGSVSLSGHLQPPPVTTEQHGARYACRIHHPSLPASGRSAEVTLEVAGLSGPSLEDSVGLFLSAFLLLGLFKALGWAAVYLSTCKDSKKKAE
+>DECOY_sp|O15533|TPSN_HUMAN Tapasin OS=Homo sapiens OX=9606 GN=TAPBP PE=1 SV=1
+EAKKKSDKCTSLYVAAWGLAKFLGLLLFASLFLGVSDELSPGSLGAVELTVEASRGSAPLSPHHIRCAYRAGHQETTVPPPQLHGSLSVSGDSHHRLASLWRQGEAKQSRGGPGGRLEWEVELGGSPYFHSVLCLLEPPAEGPAARALTAPMLSVKPPKYVALELTVQGQLYPLHITALYTGEQFPQVRPLWFTGNGTWPGWPEDDDWAAFAVAGEQAAPMQGNLGPTAALLLHGKGLHQRRWELGFPPPGPALSSAAESTPPMYAFSLDLLADQGLRVRPAPTHTLVTLVVTAMTILVPEQQPEPQPRLLSSLSLVPSSISVMLWAGDLARPCNQAPTLGSAWKASAPLPVFRSMECHPAPAGRPYRRFAAQLAGAPDHVSLYLEPDLDPRPPPEGPGQRLLLAGPRKALGKGSADEVFWCEIVAPGASVATALGLAVALLLSLSKM
+>sp|P56180|TPTE_HUMAN Putative tyrosine-protein phosphatase TPTE OS=Homo sapiens OX=9606 GN=TPTE PE=2 SV=3
+MNESPDPTDLAGVIIELGPNDSPQTSEFKGATEEAPAKESPHTSEFKGAARVSPISESVLARLSKFEVEDAENVASYDSKIKKIVHSIVSSFAFGLFGVFLVLLDVTLILADLIFTDSKLYIPLEYRSISLAIALFFLMDVLLRVFVERRQQYFSDLFNILDTAIIVILLLVDVVYIFFDIKLLRNIPRWTHLLRLLRLIILLRIFHLFHQKRQLEKLIRRRVSENKRRYTRDGFDLDLTYVTERIIAMSFPSSGRQSFYRNPIKEVVRFLDKKHRNHYRVYNLCSERAYDPKHFHNRVVRIMIDDHNVPTLHQMVVFTKEVNEWMAQDLENIVAIHCKGGTDRTGTMVCAFLIASEICSTAKESLYYFGERRTDKTHSEKFQGVKTPSQKRYVAYFAQVKHLYNWNLPPRRILFIKHFIIYSIPRYVRDLKIQIEMEKKVVFSTISLGKCSVLDNITTDKILIDVFDGLPLYDDVKVQFFYSNLPTYYDNCSFYFWLHTSFIENNRLYLPKNELDNLHKQKARRIYPSDFAVEILFGEKMTSSDVVAGSD
+>DECOY_sp|P56180|TPTE_HUMAN Putative tyrosine-protein phosphatase TPTE OS=Homo sapiens OX=9606 GN=TPTE PE=2 SV=3
+DSGAVVDSSTMKEGFLIEVAFDSPYIRRAKQKHLNDLENKPLYLRNNEIFSTHLWFYFSCNDYYTPLNSYFFQVKVDDYLPLGDFVDILIKDTTINDLVSCKGLSITSFVVKKEMEIQIKLDRVYRPISYIIFHKIFLIRRPPLNWNYLHKVQAFYAVYRKQSPTKVGQFKESHTKDTRREGFYYLSEKATSCIESAILFACVMTGTRDTGGKCHIAVINELDQAMWENVEKTFVVMQHLTPVNHDDIMIRVVRNHFHKPDYARESCLNYVRYHNRHKKDLFRVVEKIPNRYFSQRGSSPFSMAIIRETVYTLDLDFGDRTYRRKNESVRRRILKELQRKQHFLHFIRLLIILRLLRLLHTWRPINRLLKIDFFIYVVDVLLLIVIIATDLINFLDSFYQQRREVFVRLLVDMLFFLAIALSISRYELPIYLKSDTFILDALILTVDLLVLFVGFLGFAFSSVISHVIKKIKSDYSAVNEADEVEFKSLRALVSESIPSVRAAGKFESTHPSEKAPAEETAGKFESTQPSDNPGLEIIVGALDTPDPSENM
+>sp|Q9UBN6|TR10D_HUMAN Tumor necrosis factor receptor superfamily member 10D OS=Homo sapiens OX=9606 GN=TNFRSF10D PE=1 SV=1
+MGLWGQSVPTASSARAGRYPGARTASGTRPWLLDPKILKFVVFIVAVLLPVRVDSATIPRQDEVPQQTVAPQQQRRSLKEEECPAGSHRSEYTGACNPCTEGVDYTIASNNLPSCLLCTVCKSGQTNKSSCTTTRDTVCQCEKGSFQDKNSPEMCRTCRTGCPRGMVKVSNCTPRSDIKCKNESAASSTGKTPAAEETVTTILGMLASPYHYLIIIVVLVIILAVVVVGFSCRKKFISYLKGICSGGGGGPERVHRVLFRRRSCPSRVPGAEDNARNETLSNRYLQPTQVSEQEIQGQELAELTGVTVESPEEPQRLLEQAEAEGCQRRRLLVPVNDADSADISTLLDASATLEEGHAKETIQDQLVGSEKLFYEEDEAGSATSCL
+>DECOY_sp|Q9UBN6|TR10D_HUMAN Tumor necrosis factor receptor superfamily member 10D OS=Homo sapiens OX=9606 GN=TNFRSF10D PE=1 SV=1
+LCSTASGAEDEEYFLKESGVLQDQITEKAHGEELTASADLLTSIDASDADNVPVLLRRRQCGEAEAQELLRQPEEPSEVTVGTLEALEQGQIEQESVQTPQLYRNSLTENRANDEAGPVRSPCSRRRFLVRHVREPGGGGGSCIGKLYSIFKKRCSFGVVVVALIIVLVVIIILYHYPSALMGLITTVTEEAAPTKGTSSAASENKCKIDSRPTCNSVKVMGRPCGTRCTRCMEPSNKDQFSGKECQCVTDRTTTCSSKNTQGSKCVTCLLCSPLNNSAITYDVGETCPNCAGTYESRHSGAPCEEEKLSRRQQQPAVTQQPVEDQRPITASDVRVPLLVAVIFVVFKLIKPDLLWPRTGSATRAGPYRGARASSATPVSQGWLGM
+>sp|O14836|TR13B_HUMAN Tumor necrosis factor receptor superfamily member 13B OS=Homo sapiens OX=9606 GN=TNFRSF13B PE=1 SV=1
+MSGLGRSRRGGRSRVDQEERFPQGLWTGVAMRSCPEEQYWDPLLGTCMSCKTICNHQSQRTCAAFCRSLSCRKEQGKFYDHLLRDCISCASICGQHPKQCAYFCENKLRSPVNLPPELRRQRSGEVENNSDNSGRYQGLEHRGSEASPALPGLKLSADQVALVYSTLGLCLCAVLCCFLVAVACFLKKRGDPCSCQPRSRPRQSPAKSSQDHAMEAGSPVSTSPEPVETCSFCFPECRAPTQESAVTPGTPDPTCAGRWGCHTRTTVLQPCPHIPDSGLGIVCVPAQEGGPGA
+>DECOY_sp|O14836|TR13B_HUMAN Tumor necrosis factor receptor superfamily member 13B OS=Homo sapiens OX=9606 GN=TNFRSF13B PE=1 SV=1
+AGPGGEQAPVCVIGLGSDPIHPCPQLVTTRTHCGWRGACTPDPTGPTVASEQTPARCEPFCFSCTEVPEPSTSVPSGAEMAHDQSSKAPSQRPRSRPQCSCPDGRKKLFCAVAVLFCCLVACLCLGLTSYVLAVQDASLKLGPLAPSAESGRHELGQYRGSNDSNNEVEGSRQRRLEPPLNVPSRLKNECFYACQKPHQGCISACSICDRLLHDYFKGQEKRCSLSRCFAACTRQSQHNCITKCSMCTGLLPDWYQEEPCSRMAVGTWLGQPFREEQDVRSRGGRRSRGLGSM
+>sp|Q13077|TRAF1_HUMAN TNF receptor-associated factor 1 OS=Homo sapiens OX=9606 GN=TRAF1 PE=1 SV=1
+MASSSGSSPRPAPDENEFPFGCPPTVCQDPKEPRALCCAGCLSENPRNGEDQICPKCRGEDLQSISPGSRLRTQEKAHPEVAEAGIGCPFAGVGCSFKGSPQSVQEHEVTSQTSHLNLLLGFMKQWKARLGCGLESGPMALEQNLSDLQLQAAVEVAGDLEVDCYRAPCSESQEELALQHFMKEKLLAELEGKLRVFENIVAVLNKEVEASHLALATSIHQSQLDRERILSLEQRVVELQQTLAQKDQALGKLEQSLRLMEEASFDGTFLWKITNVTRRCHESACGRTVSLFSPAFYTAKYGYKLCLRLYLNGDGTGKRTHLSLFIVIMRGEYDALLPWPFRNKVTFMLLDQNNREHAIDAFRPDLSSASFQRPQSETNVASGCPLFFPLSKLQSPKHAYVKDDTMFLKCIVETST
+>DECOY_sp|Q13077|TRAF1_HUMAN TNF receptor-associated factor 1 OS=Homo sapiens OX=9606 GN=TRAF1 PE=1 SV=1
+TSTEVICKLFMTDDKVYAHKPSQLKSLPFFLPCGSAVNTESQPRQFSASSLDPRFADIAHERNNQDLLMFTVKNRFPWPLLADYEGRMIVIFLSLHTRKGTGDGNLYLRLCLKYGYKATYFAPSFLSVTRGCASEHCRRTVNTIKWLFTGDFSAEEMLRLSQELKGLAQDKQALTQQLEVVRQELSLIRERDLQSQHISTALALHSAEVEKNLVAVINEFVRLKGELEALLKEKMFHQLALEEQSESCPARYCDVELDGAVEVAAQLQLDSLNQELAMPGSELGCGLRAKWQKMFGLLLNLHSTQSTVEHEQVSQPSGKFSCGVGAFPCGIGAEAVEPHAKEQTRLRSGPSISQLDEGRCKPCIQDEGNRPNESLCGACCLARPEKPDQCVTPPCGFPFENEDPAPRPSSGSSSAM
+>sp|Q6Q0C0|TRAF7_HUMAN E3 ubiquitin-protein ligase TRAF7 OS=Homo sapiens OX=9606 GN=TRAF7 PE=1 SV=1
+MSSGKSARYNRFSGGPSNLPTPDVTTGTRMETTFGPAFSAVTTITKADGTSTYKQHCRTPSSSSTLAYSPRDEEDSMPPISTPRRSDSAISVRSLHSESSMSLRSTFSLPEEEEEPEPLVFAEQPSVKLCCQLCCSVFKDPVITTCGHTFCRRCALKSEKCPVDNVKLTVVVNNIAVAEQIGELFIHCRHGCRVAGSGKPPIFEVDPRGCPFTIKLSARKDHEGSCDYRPVRCPNNPSCPPLLRMNLEAHLKECEHIKCPHSKYGCTFIGNQDTYETHLETCRFEGLKEFLQQTDDRFHEMHVALAQKDQEIAFLRSMLGKLSEKIDQLEKSLELKFDVLDENQSKLSEDLMEFRRDASMLNDELSHINARLNMGILGSYDPQQIFKCKGTFVGHQGPVWCLCVYSMGDLLFSGSSDKTIKVWDTCTTYKCQKTLEGHDGIVLALCIQGCKLYSGSADCTIIVWDIQNLQKVNTIRAHDNPVCTLVSSHNVLFSGSLKAIKVWDIVGTELKLKKELTGLNHWVRALVAAQSYLYSGSYQTIKIWDIRTLDCIHVLQTSGGSVYSIAVTNHHIVCGTYENLIHVWDIESKEQVRTLTGHVGTVYALAVISTPDQTKVFSASYDRSLRVWSMDNMICTQTLLRHQGSVTALAVSRGRLFSGAVDSTVKVWTC
+>DECOY_sp|Q6Q0C0|TRAF7_HUMAN E3 ubiquitin-protein ligase TRAF7 OS=Homo sapiens OX=9606 GN=TRAF7 PE=1 SV=1
+CTWVKVTSDVAGSFLRGRSVALATVSGQHRLLTQTCIMNDMSWVRLSRDYSASFVKTQDPTSIVALAYVTGVHGTLTRVQEKSEIDWVHILNEYTGCVIHHNTVAISYVSGGSTQLVHICDLTRIDWIKITQYSGSYLYSQAAVLARVWHNLGTLEKKLKLETGVIDWVKIAKLSGSFLVNHSSVLTCVPNDHARITNVKQLNQIDWVIITCDASGSYLKCGQICLALVIGDHGELTKQCKYTTCTDWVKITKDSSGSFLLDGMSYVCLCWVPGQHGVFTGKCKFIQQPDYSGLIGMNLRANIHSLEDNLMSADRRFEMLDESLKSQNEDLVDFKLELSKELQDIKESLKGLMSRLFAIEQDKQALAVHMEHFRDDTQQLFEKLGEFRCTELHTEYTDQNGIFTCGYKSHPCKIHECEKLHAELNMRLLPPCSPNNPCRVPRYDCSGEHDKRASLKITFPCGRPDVEFIPPKGSGAVRCGHRCHIFLEGIQEAVAINNVVVTLKVNDVPCKESKLACRRCFTHGCTTIVPDKFVSCCLQCCLKVSPQEAFVLPEPEEEEEPLSFTSRLSMSSESHLSRVSIASDSRRPTSIPPMSDEEDRPSYALTSSSSPTRCHQKYTSTGDAKTITTVASFAPGFTTEMRTGTTVDPTPLNSPGGSFRNYRASKGSSM
+>sp|O00635|TRI38_HUMAN E3 ubiquitin-protein ligase TRIM38 OS=Homo sapiens OX=9606 GN=TRIM38 PE=1 SV=1
+MASTTSTKKMMEEATCSICLSLMTNPVSINCGHSYCHLCITDFFKNPSQKQLRQETFCCPQCRAPFHMDSLRPNKQLGSLIEALKETDQEMSCEEHGEQFHLFCEDEGQLICWRCERAPQHKGHTTALVEDVCQGYKEKLQKAVTKLKQLEDRCTEQKLSTAMRITKWKEKVQIQRQKIRSDFKNLQCFLHEEEKSYLWRLEKEEQQTLSRLRDYEAGLGLKSNELKSHILELEEKCQGSAQKLLQNVNDTLSRSWAVKLETSEAVSLELHTMCNVSKLYFDVKKMLRSHQVSVTLDPDTAHHELILSEDRRQVTRGYTQENQDTSSRRFTAFPCVLGCEGFTSGRRYFEVDVGEGTGWDLGVCMENVQRGTGMKQEPQSGFWTLRLCKKKGYVALTSPPTSLHLHEQPLLVGIFLDYEAGVVSFYNGNTGCHIFTFPKASFSDTLRPYFQVYQYSPLFLPPPGD
+>DECOY_sp|O00635|TRI38_HUMAN E3 ubiquitin-protein ligase TRIM38 OS=Homo sapiens OX=9606 GN=TRIM38 PE=1 SV=1
+DGPPPLFLPSYQYVQFYPRLTDSFSAKPFTFIHCGTNGNYFSVVGAEYDLFIGVLLPQEHLHLSTPPSTLAVYGKKKCLRLTWFGSQPEQKMGTGRQVNEMCVGLDWGTGEGVDVEFYRRGSTFGECGLVCPFATFRRSSTDQNEQTYGRTVQRRDESLILEHHATDPDLTVSVQHSRLMKKVDFYLKSVNCMTHLELSVAESTELKVAWSRSLTDNVNQLLKQASGQCKEELELIHSKLENSKLGLGAEYDRLRSLTQQEEKELRWLYSKEEEHLFCQLNKFDSRIKQRQIQVKEKWKTIRMATSLKQETCRDELQKLKTVAKQLKEKYGQCVDEVLATTHGKHQPARECRWCILQGEDECFLHFQEGHEECSMEQDTEKLAEILSGLQKNPRLSDMHFPARCQPCCFTEQRLQKQSPNKFFDTICLHCYSHGCNISVPNTMLSLCISCTAEEMMKKTSTTSAM
+>sp|Q9BSJ1|TRI51_HUMAN Tripartite motif-containing protein 51 OS=Homo sapiens OX=9606 GN=TRIM51 PE=2 SV=2
+MNSGILQVFQRALTCPICMNYFLDPVTIDCGHSFCRPCLYLNWQDTAVLAQCSECKKTTRQRNLNTDICLKNMAFIARKASLRQFLSSEEQICGMHRETKKMFCEVDKSLLCLPCSNSQEHRNHIHCPIEWAAEERREELLKKMQSLWEKACENLRNLNMETTRTRCWKDYVSLRIEAIRAEYQKMPAFLHEEEQHHLERLRKEGEDIFQQLNESKARMEHSRELLRGMYEDLKQMCHKADVELLQAFGDILHRYESLLLQVSEPVNPELSAGPITGLLDSLSGFRVDFTLQPERANSHIFLCGDLRSMNVGCDPQDDPDITGKSECFLVWGAQAFTSGKYYWEVHMGDSWNWAFGVCNNYWKEKRQNDKIDGEEGLFLLGCVKEDTHCSLFTTSPLVVQYVPRPTSTVGLFLDCEGRTVSFVDVDQSSLIYTIPNCSFSPPLRPIFCCSHF
+>DECOY_sp|Q9BSJ1|TRI51_HUMAN Tripartite motif-containing protein 51 OS=Homo sapiens OX=9606 GN=TRIM51 PE=2 SV=2
+FHSCCFIPRLPPSFSCNPITYILSSQDVDVFSVTRGECDLFLGVTSTPRPVYQVVLPSTTFLSCHTDEKVCGLLFLGEEGDIKDNQRKEKWYNNCVGFAWNWSDGMHVEWYYKGSTFAQAGWVLFCESKGTIDPDDQPDCGVNMSRLDGCLFIHSNAREPQLTFDVRFGSLSDLLGTIPGASLEPNVPESVQLLLSEYRHLIDGFAQLLEVDAKHCMQKLDEYMGRLLERSHEMRAKSENLQQFIDEGEKRLRELHHQEEEHLFAPMKQYEARIAEIRLSVYDKWCRTRTTEMNLNRLNECAKEWLSQMKKLLEERREEAAWEIPCHIHNRHEQSNSCPLCLLSKDVECFMKKTERHMGCIQEESSLFQRLSAKRAIFAMNKLCIDTNLNRQRTTKKCESCQALVATDQWNLYLCPRCFSHGCDITVPDLFYNMCIPCTLARQFVQLIGSNM
+>sp|Q9BRZ2|TRI56_HUMAN E3 ubiquitin-protein ligase TRIM56 OS=Homo sapiens OX=9606 GN=TRIM56 PE=1 SV=3
+MVSHGSSPSLLEALSSDFLACKICLEQLRAPKTLPCLHTYCQDCLAQLADGGRVRCPECRETVPVPPEGVASFKTNFFVNGLLDLVKARACGDLRAGKPACALCPLVGGTSTGGPATARCLDCADDLCQACADGHRCTRQTHTHRVVDLVGYRAGWYDEEARERQAAQCPQHPGEALRFLCQPCSQLLCRECRLDPHLDHPCLPLAEAVRARRPGLEGLLAGVDNNLVELEAARRVEKEALARLREQAARVGTQVEEAAEGVLRALLAQKQEVLGQLRAHVEAAEEAARERLAELEGREQVARAAAAFARRVLSLGREAEILSLEGAIAQRLRQLQGCPWAPGPAPCLLPQLELHPGLLDKNCHLLRLSFEEQQPQKDGGKDGAGTQGGEESQSRREDEPKTERQGGVQPQAGDGAQTPKEEKAQTTREEGAQTLEEDRAQTPHEDGGPQPHRGGRPNKKKKFKGRLKSISREPSPALGPNLDGSGLLPRPIFYCSFPTRMPGDKRSPRITGLCPFGPREILVADEQNRALKRFSLNGDYKGTVPVPEGCSPCSVAALQSAVAFSASARLYLINPNGEVQWRRALSLSQASHAVAALPSGDRVAVSVAGHVEVYNMEGSLATRFIPGGKASRGLRALVFLTTSPQGHFVGSDWQQNSVVICDGLGQVVGEYKGPGLHGCQPGSVSVDKKGYIFLTLREVNKVVILDPKGSLLGDFLTAYHGLEKPRVTTMVDGRYLVVSLSNGTIHIFRVRSPDS
+>DECOY_sp|Q9BRZ2|TRI56_HUMAN E3 ubiquitin-protein ligase TRIM56 OS=Homo sapiens OX=9606 GN=TRIM56 PE=1 SV=3
+SDPSRVRFIHITGNSLSVVLYRGDVMTTVRPKELGHYATLFDGLLSGKPDLIVVKNVERLTLFIYGKKDVSVSGPQCGHLGPGKYEGVVQGLGDCIVVSNQQWDSGVFHGQPSTTLFVLARLGRSAKGGPIFRTALSGEMNYVEVHGAVSVAVRDGSPLAAVAHSAQSLSLARRWQVEGNPNILYLRASASFAVASQLAAVSCPSCGEPVPVTGKYDGNLSFRKLARNQEDAVLIERPGFPCLGTIRPSRKDGPMRTPFSCYFIPRPLLGSGDLNPGLAPSPERSISKLRGKFKKKKNPRGGRHPQPGGDEHPTQARDEELTQAGEERTTQAKEEKPTQAGDGAQPQVGGQRETKPEDERRSQSEEGGQTGAGDKGGDKQPQQEEFSLRLLHCNKDLLGPHLELQPLLCPAPGPAWPCGQLQRLRQAIAGELSLIEAERGLSLVRRAFAAAARAVQERGELEALRERAAEEAAEVHARLQGLVEQKQALLARLVGEAAEEVQTGVRAAQERLRALAEKEVRRAAELEVLNNDVGALLGELGPRRARVAEALPLCPHDLHPDLRCERCLLQSCPQCLFRLAEGPHQPCQAAQRERAEEDYWGARYGVLDVVRHTHTQRTCRHGDACAQCLDDACDLCRATAPGGTSTGGVLPCLACAPKGARLDGCARAKVLDLLGNVFFNTKFSAVGEPPVPVTERCEPCRVRGGDALQALCDQCYTHLCPLTKPARLQELCIKCALFDSSLAELLSPSSGHSVM
+>sp|Q495X7|TRI60_HUMAN Tripartite motif-containing protein 60 OS=Homo sapiens OX=9606 GN=TRIM60 PE=2 SV=2
+MEFVTALVNLQEESSCPICLEYLKDPVTINCGHNFCRSCLSVSWKDLDDTFPCPVCRFCFPYKSFRRNPQLRNLTEIAKQLQIRRSKRKRQKENAMCEKHNQFLTLFCVKDLEILCTQCSFSTKHQKHYICPIKKAASYHREILEGSLEPLRNNIERVEKVIILQGSKSVELKKKVEYKREEINSEFEQIRLFLQNEQEMILRQIQDEEMNILAKLNENLVELSDYVSTLKHLLREVEGKSVQSNLELLTQAKSMHHKYQNLKCPELFSFRLTKYGFSLPPQYSGLDRIIKPFQVDVILDLNTAHPQLLVSEDRKAVRYERKKRNICYDPRRFYVCPAVLGSQRFSSGRHYWEVEVGNKPKWILGVCQDCLLRNWQDQPSVLGGFWAIGRYMKSGYVASGPKTTQLLPVVKPSKIGIFLDYELGDLSFYNMNDRSILYTFNDCFTEAVWPYFYTGTDSEPLKICSVSDSER
+>DECOY_sp|Q495X7|TRI60_HUMAN Tripartite motif-containing protein 60 OS=Homo sapiens OX=9606 GN=TRIM60 PE=2 SV=2
+RESDSVSCIKLPESDTGTYFYPWVAETFCDNFTYLISRDNMNYFSLDGLEYDLFIGIKSPKVVPLLQTTKPGSAVYGSKMYRGIAWFGGLVSPQDQWNRLLCDQCVGLIWKPKNGVEVEWYHRGSSFRQSGLVAPCVYFRRPDYCINRKKREYRVAKRDESVLLQPHATNLDLIVDVQFPKIIRDLGSYQPPLSFGYKTLRFSFLEPCKLNQYKHHMSKAQTLLELNSQVSKGEVERLLHKLTSVYDSLEVLNENLKALINMEEDQIQRLIMEQENQLFLRIQEFESNIEERKYEVKKKLEVSKSGQLIIVKEVREINNRLPELSGELIERHYSAAKKIPCIYHKQHKTSFSCQTCLIELDKVCFLTLFQNHKECMANEKQRKRKSRRIQLQKAIETLNRLQPNRRFSKYPFCFRCVPCPFTDDLDKWSVSLCSRCFNHGCNITVPDKLYELCIPCSSEEQLNVLATVFEM
+>sp|Q969Q1|TRI63_HUMAN E3 ubiquitin-protein ligase TRIM63 OS=Homo sapiens OX=9606 GN=TRIM63 PE=1 SV=1
+MDYKSSLIQDGNPMENLEKQLICPICLEMFTKPVVILPCQHNLCRKCANDIFQAANPYWTSRGSSVSMSGGRFRCPTCRHEVIMDRHGVYGLQRNLLVENIIDIYKQECSSRPLQKGSHPMCKEHEDEKINIYCLTCEVPTCSMCKVFGIHKACEVAPLQSVFQGQKTELNNCISMLVAGNDRVQTIITQLEDSRRVTKENSHQVKEELSQKFDTLYAILDEKKSELLQRITQEQEKKLSFIEALIQQYQEQLDKSTKLVETAIQSLDEPGGATFLLTAKQLIKSIVEASKGCQLGKTEQGFENMDFFTLDLEHIADALRAIDFGTDEEEEEFIEEEDQEEEESTEGKEEGHQ
+>DECOY_sp|Q969Q1|TRI63_HUMAN E3 ubiquitin-protein ligase TRIM63 OS=Homo sapiens OX=9606 GN=TRIM63 PE=1 SV=1
+QHGEEKGETSEEEEQDEEEIFEEEEEDTGFDIARLADAIHELDLTFFDMNEFGQETKGLQCGKSAEVISKILQKATLLFTAGGPEDLSQIATEVLKTSKDLQEQYQQILAEIFSLKKEQEQTIRQLLESKKEDLIAYLTDFKQSLEEKVQHSNEKTVRRSDELQTIITQVRDNGAVLMSICNNLETKQGQFVSQLPAVECAKHIGFVKCMSCTPVECTLCYINIKEDEHEKCMPHSGKQLPRSSCEQKYIDIINEVLLNRQLGYVGHRDMIVEHRCTPCRFRGGSMSVSSGRSTWYPNAAQFIDNACKRCLNHQCPLIVVPKTFMELCIPCILQKELNEMPNGDQILSSKYDM
+>sp|Q9UJV3|TRIM1_HUMAN Probable E3 ubiquitin-protein ligase MID2 OS=Homo sapiens OX=9606 GN=MID2 PE=1 SV=3
+MGESPASVVLNASGGLFSLKMETLESELTCPICLELFEDPLLLPCAHSLCFSCAHRILVSSCSSGESIEPITAFQCPTCRYVISLNHRGLDGLKRNVTLQNIIDRFQKASVSGPNSPSESRRERTYRPTTAMSSERIACQFCEQDPPRDAVKTCITCEVSYCDRCLRATHPNKKPFTSHRLVEPVPDTHLRGITCLDHENEKVNMYCVSDDQLICALCKLVGRHRDHQVASLNDRFEKLKQTLEMNLTNLVKRNSELENQMAKLIQICQQVEVNTAMHEAKLMEECDELVEIIQQRKQMIAVKIKETKVMKLRKLAQQVANCRQCLERSTVLINQAEHILKENDQARFLQSAKNIAERVAMATASSQVLIPDINFNDAFENFALDFSREKKLLEGLDYLTAPNPPSIREELCTASHDTITVHWISDDEFSISSYELQYTIFTGQANFISKSWCSWGLWPEIRKCKEAVSCSRLAGAPRGLYNSVDSWMIVPNIKQNHYTVHGLQSGTRYIFIVKAINQAGSRNSEPTRLKTNSQPFKLDPKMTHKKLKISNDGLQMEKDESSLKKSHTPERFSGTGCYGAAGNIFIDSGCHYWEVVMGSSTWYAIGIAYKSAPKNEWIGKNASSWVFSRCNSNFVVRHNNKEMLVDVPPHLKRLGVLLDYDNNMLSFYDPANSLHLHTFDVTFILPVCPTFTIWNKSLMILSGLPAPDFIDYPERQECNCRPQESPYVSGMKTCH
+>DECOY_sp|Q9UJV3|TRIM1_HUMAN Probable E3 ubiquitin-protein ligase MID2 OS=Homo sapiens OX=9606 GN=MID2 PE=1 SV=3
+HCTKMGSVYPSEQPRCNCEQREPYDIFDPAPLGSLIMLSKNWITFTPCVPLIFTVDFTHLHLSNAPDYFSLMNNDYDLLVGLRKLHPPVDVLMEKNNHRVVFNSNCRSFVWSSANKGIWENKPASKYAIGIAYWTSSGMVVEWYHCGSDIFINGAAGYCGTGSFREPTHSKKLSSEDKEMQLGDNSIKLKKHTMKPDLKFPQSNTKLRTPESNRSGAQNIAKVIFIYRTGSQLGHVTYHNQKINPVIMWSDVSNYLGRPAGALRSCSVAEKCKRIEPWLGWSCWSKSIFNAQGTFITYQLEYSSISFEDDSIWHVTITDHSATCLEERISPPNPATLYDLGELLKKERSFDLAFNEFADNFNIDPILVQSSATAMAVREAINKASQLFRAQDNEKLIHEAQNILVTSRELCQRCNAVQQALKRLKMVKTEKIKVAIMQKRQQIIEVLEDCEEMLKAEHMATNVEVQQCIQILKAMQNELESNRKVLNTLNMELTQKLKEFRDNLSAVQHDRHRGVLKCLACILQDDSVCYMNVKENEHDLCTIGRLHTDPVPEVLRHSTFPKKNPHTARLCRDCYSVECTICTKVADRPPDQECFQCAIRESSMATTPRYTRERRSESPSNPGSVSAKQFRDIINQLTVNRKLGDLGRHNLSIVYRCTPCQFATIPEISEGSSCSSVLIRHACSFCLSHACPLLLPDEFLELCIPCTLESELTEMKLSFLGGSANLVVSAPSEGM
+>sp|Q15650|TRIP4_HUMAN Activating signal cointegrator 1 OS=Homo sapiens OX=9606 GN=TRIP4 PE=1 SV=4
+MAVAGAVSGEPLVHWCTQQLRKTFGLDVSEEIIQYVLSIESAEEIREYVTDLLQGNEGKKGQFIEELITKWQKNDQELISDPLQQCFKKDEILDGQKSGDHLKRGRKKGRNRQEVPAFTEPDTTAEVKTPFDLAKAQENSNSVKKKTKFVNLYTREGQDRLAVLLPGRHPCDCLGQKHKLINNCLICGRIVCEQEGSGPCLFCGTLVCTHEEQDILQRDSNKSQKLLKKLMSGVENSGKVDISTKDLLPHQELRIKSGLEKAIKHKDKLLEFDRTSIRRTQVIDDESDYFASDSNQWLSKLERETLQKREEELRELRHASRLSKKVTIDFAGRKILEEENSLAEYHSRLDETIQAIANGTLNQPLTKLDRSSEEPLGVLVNPNMYQSPPQWVDHTGAASQKKAFRSSGFGLEFNSFQHQLRIQDQEFQEGFDGGWCLSVHQPWASLLVRGIKRVEGRSWYTPHRGRLWIAATAKKPSPQEVSELQATYRLLRGKDVEFPNDYPSGCLLGCVDLIDCLSQKQFKEQFPDISQESDSPFVFICKNPQEMVVKFPIKGNPKIWKLDSKIHQGAKKGLMKQNKAV
+>DECOY_sp|Q15650|TRIP4_HUMAN Activating signal cointegrator 1 OS=Homo sapiens OX=9606 GN=TRIP4 PE=1 SV=4
+VAKNQKMLGKKAGQHIKSDLKWIKPNGKIPFKVVMEQPNKCIFVFPSDSEQSIDPFQEKFQKQSLCDILDVCGLLCGSPYDNPFEVDKGRLLRYTAQLESVEQPSPKKATAAIWLRGRHPTYWSRGEVRKIGRVLLSAWPQHVSLCWGGDFGEQFEQDQIRLQHQFSNFELGFGSSRFAKKQSAAGTHDVWQPPSQYMNPNVLVGLPEESSRDLKTLPQNLTGNAIAQITEDLRSHYEALSNEEELIKRGAFDITVKKSLRSAHRLERLEEERKQLTERELKSLWQNSDSAFYDSEDDIVQTRRISTRDFELLKDKHKIAKELGSKIRLEQHPLLDKTSIDVKGSNEVGSMLKKLLKQSKNSDRQLIDQEEHTCVLTGCFLCPGSGEQECVIRGCILCNNILKHKQGLCDCPHRGPLLVALRDQGERTYLNVFKTKKKVSNSNEQAKALDFPTKVEATTDPETFAPVEQRNRGKKRGRKLHDGSKQGDLIEDKKFCQQLPDSILEQDNKQWKTILEEIFQGKKGENGQLLDTVYERIEEASEISLVYQIIEESVDLGFTKRLQQTCWHVLPEGSVAGAVAM
+>sp|Q14669|TRIPC_HUMAN E3 ubiquitin-protein ligase TRIP12 OS=Homo sapiens OX=9606 GN=TRIP12 PE=1 SV=1
+MSNRPNNNPGGSLRRSQRNTAGAQPQDDSIGGRSCSSSSAVIVPQPEDPDRANTSERQKTGQVPKKDNSRGVKRSASPDYNRTNSPSSAKKPKALQHTESPSETNKPHSKSKKRHLDQEQQLKSAQSPSTSKAHTRKSGATGGSRSQKRKRTESSCVKSGSGSESTGAEERSAKPTKLASKSATSAKAGCSTITDSSSAASTSSSSSAVASASSTVPPGARVKQGKDQNKARRSRSASSPSPRRSSREKEQSKTGGSSKFDWAARFSPKVSLPKTKLSLPGSSKSETSKPGPSGLQAKLASLRKSTKKRSESPPAELPSLRRSTRQKTTGSCASTSRRGSGLGKRGAAEARRQEKMADPESNQEAVNSSAARTDEAPQGAAGAVGMTTSGESESDDSEMGRLQALLEARGLPPHLFGPLGPRMSQLFHRTIGSGASSKAQQLLQGLQASDESQQLQAVIEMCQLLVMGNEETLGGFPVKSVVPALITLLQMEHNFDIMNHACRALTYMMEALPRSSAVVVDAIPVFLEKLQVIQCIDVAEQALTALEMLSRRHSKAILQAGGLADCLLYLEFFSINAQRNALAIAANCCQSITPDEFHFVADSLPLLTQRLTHQDKKSVESTCLCFARLVDNFQHEENLLQQVASKDLLTNVQQLLVVTPPILSSGMFIMVVRMFSLMCSNCPTLAVQLMKQNIAETLHFLLCGASNGSCQEQIDLVPRSPQELYELTSLICELMPCLPKEGIFAVDTMLKKGNAQNTDGAIWQWRDDRGLWHPYNRIDSRIIEQINEDTGTARAIQRKPNPLANSNTSGYSESKKDDARAQLMKEDPELAKSFIKTLFGVLYEVYSSSAGPAVRHKCLRAILRIIYFADAELLKDVLKNHAVSSHIASMLSSQDLKIVVGALQMAEILMQKLPDIFSVYFRREGVMHQVKHLAESESLLTSPPKACTNGSGSMGSTTSVSSGTATAATHAAADLGSPSLQHSRDDSLDLSPQGRLSDVLKRKRLPKRGPRRPKYSPPRDDDKVDNQAKSPTTTQSPKSSFLASLNPKTWGRLSTQSNSNNIEPARTAGGSGLARAASKDTISNNREKIKGWIKEQAHKFVERYFSSENMDGSNPALNVLQRLCAATEQLNLQVDGGAECLVEIRSIVSESDVSSFEIQHSGFVKQLLLYLTSKSEKDAVSREIRLKRFLHVFFSSPLPGEEPIGRVEPVGNAPLLALVHKMNNCLSQMEQFPVKVHDFPSGNGTGGSFSLNRGSQALKFFNTHQLKCQLQRHPDCANVKQWKGGPVKIDPLALVQAIERYLVVRGYGRVREDDEDSDDDGSDEEIDESLAAQFLNSGNVRHRLQFYIGEHLLPYNMTVYQAVRQFSIQAEDERESTDDESNPLGRAGIWTKTHTIWYKPVREDEESNKDCVGGKRGRAQTAPTKTSPRNAKKHDELWHDGVCPSVSNPLEVYLIPTPPENITFEDPSLDVILLLRVLHAISRYWYYLYDNAMCKEIIPTSEFINSKLTAKANRQLQDPLVIMTGNIPTWLTELGKTCPFFFPFDTRQMLFYVTAFDRDRAMQRLLDTNPEINQSDSQDSRVAPRLDRKKRTVNREELLKQAESVMQDLGSSRAMLEIQYENEVGTGLGPTLEFYALVSQELQRADLGLWRGEEVTLSNPKGSQEGTKYIQNLQGLFALPFGRTAKPAHIAKVKMKFRFLGKLMAKAIMDFRLVDLPLGLPFYKWMLRQETSLTSHDLFDIDPVVARSVYHLEDIVRQKKRLEQDKSQTKESLQYALETLTMNGCSVEDLGLDFTLPGFPNIELKKGGKDIPVTIHNLEEYLRLVIFWALNEGVSRQFDSFRDGFESVFPLSHLQYFYPEELDQLLCGSKADTWDAKTLMECCRPDHGYTHDSRAVKFLFEILSSFDNEQQRLFLQFVTGSPRLPVGGFRSLNPPLTIVRKTFESTENPDDFLPSVMTCVNYLKLPDYSSIEIMREKLLIAAREGQQSFHLS
+>DECOY_sp|Q14669|TRIPC_HUMAN E3 ubiquitin-protein ligase TRIP12 OS=Homo sapiens OX=9606 GN=TRIP12 PE=1 SV=1
+SLHFSQQGERAAILLKERMIEISSYDPLKLYNVCTMVSPLFDDPNETSEFTKRVITLPPNLSRFGGVPLRPSGTVFQLFLRQQENDFSSLIEFLFKVARSDHTYGHDPRCCEMLTKADWTDAKSGCLLQDLEEPYFYQLHSLPFVSEFGDRFSDFQRSVGENLAWFIVLRLYEELNHITVPIDKGGKKLEINPFGPLTFDLGLDEVSCGNMTLTELAYQLSEKTQSKDQELRKKQRVIDELHYVSRAVVPDIDFLDHSTLSTEQRLMWKYFPLGLPLDVLRFDMIAKAMLKGLFRFKMKVKAIHAPKATRGFPLAFLGQLNQIYKTGEQSGKPNSLTVEEGRWLGLDARQLEQSVLAYFELTPGLGTGVENEYQIELMARSSGLDQMVSEAQKLLEERNVTRKKRDLRPAVRSDQSDSQNIEPNTDLLRQMARDRDFATVYFLMQRTDFPFFFPCTKGLETLWTPINGTMIVLPDQLQRNAKATLKSNIFESTPIIEKCMANDYLYYWYRSIAHLVRLLLIVDLSPDEFTINEPPTPILYVELPNSVSPCVGDHWLEDHKKANRPSTKTPATQARGRKGGVCDKNSEEDERVPKYWITHTKTWIGARGLPNSEDDTSEREDEAQISFQRVAQYVTMNYPLLHEGIYFQLRHRVNGSNLFQAALSEDIEEDSGDDDSDEDDERVRGYGRVVLYREIAQVLALPDIKVPGGKWQKVNACDPHRQLQCKLQHTNFFKLAQSGRNLSFSGGTGNGSPFDHVKVPFQEMQSLCNNMKHVLALLPANGVPEVRGIPEEGPLPSSFFVHLFRKLRIERSVADKESKSTLYLLLQKVFGSHQIEFSSVDSESVISRIEVLCEAGGDVQLNLQETAACLRQLVNLAPNSGDMNESSFYREVFKHAQEKIWGKIKERNNSITDKSAARALGSGGATRAPEINNSNSQTSLRGWTKPNLSALFSSKPSQTTTPSKAQNDVKDDDRPPSYKPRRPGRKPLRKRKLVDSLRGQPSLDLSDDRSHQLSPSGLDAAAHTAATATGSSVSTTSGMSGSGNTCAKPPSTLLSESEALHKVQHMVGERRFYVSFIDPLKQMLIEAMQLAGVVIKLDQSSLMSAIHSSVAHNKLVDKLLEADAFYIIRLIARLCKHRVAPGASSSYVEYLVGFLTKIFSKALEPDEKMLQARADDKKSESYGSTNSNALPNPKRQIARATGTDENIQEIIRSDIRNYPHWLGRDDRWQWIAGDTNQANGKKLMTDVAFIGEKPLCPMLECILSTLEYLEQPSRPVLDIQEQCSGNSAGCLLFHLTEAINQKMLQVALTPCNSCMLSFMRVVMIFMGSSLIPPTVVLLQQVNTLLDKSAVQQLLNEEHQFNDVLRAFCLCTSEVSKKDQHTLRQTLLPLSDAVFHFEDPTISQCCNAAIALANRQANISFFELYLLCDALGGAQLIAKSHRRSLMELATLAQEAVDICQIVQLKELFVPIADVVVASSRPLAEMMYTLARCAHNMIDFNHEMQLLTILAPVVSKVPFGGLTEENGMVLLQCMEIVAQLQQSEDSAQLGQLLQQAKSSAGSGITRHFLQSMRPGLPGFLHPPLGRAELLAQLRGMESDDSESEGSTTMGVAGAAGQPAEDTRAASSNVAEQNSEPDAMKEQRRAEAAGRKGLGSGRRSTSACSGTTKQRTSRRLSPLEAPPSESRKKTSKRLSALKAQLGSPGPKSTESKSSGPLSLKTKPLSVKPSFRAAWDFKSSGGTKSQEKERSSRRPSPSSASRSRRAKNQDKGQKVRAGPPVTSSASAVASSSSSTSAASSSDTITSCGAKASTASKSALKTPKASREEAGTSESGSGSKVCSSETRKRKQSRSGGTAGSKRTHAKSTSPSQASKLQQEQDLHRKKSKSHPKNTESPSETHQLAKPKKASSPSNTRNYDPSASRKVGRSNDKKPVQGTKQRESTNARDPDEPQPVIVASSSSCSRGGISDDQPQAGATNRQSRRLSGGPNNNPRNSM
+>sp|Q13507|TRPC3_HUMAN Short transient receptor potential channel 3 OS=Homo sapiens OX=9606 GN=TRPC3 PE=1 SV=3
+MREKGRRQAVRGPAFMFNDRGTSLTAEEERFLDAAEYGNIPVVRKMLEESKTLNVNCVDYMGQNALQLAVGNEHLEVTELLLKKENLARIGDALLLAISKGYVRIVEAILNHPGFAASKRLTLSPCEQELQDDDFYAYDEDGTRFSPDITPIILAAHCQKYEVVHMLLMKGARIERPHDYFCKCGDCMEKQRHDSFSHSRSRINAYKGLASPAYLSLSSEDPVLTALELSNELAKLANIEKEFKNDYRKLSMQCKDFVVGVLDLCRDSEEVEAILNGDLESAEPLEVHRHKASLSRVKLAIKYEVKKFVAHPNCQQQLLTIWYENLSGLREQTIAIKCLVVLVVALGLPFLAIGYWIAPCSRLGKILRSPFMKFVAHAASFIIFLGLLVFNASDRFEGITTLPNITVTDYPKQIFRVKTTQFTWTEMLIMVWVLGMMWSECKELWLEGPREYILQLWNVLDFGMLSIFIAAFTARFLAFLQATKAQQYVDSYVQESDLSEVTLPPEIQYFTYARDKWLPSDPQIISEGLYAIAVVLSFSRIAYILPANESFGPLQISLGRTVKDIFKFMVLFIMVFFAFMIGMFILYSYYLGAKVNAAFTTVEESFKTLFWSIFGLSEVTSVVLKYDHKFIENIGYVLYGIYNVTMVVVLLNMLIAMINSSYQEIEDDSDVEWKFARSKLWLSYFDDGKTLPPPFSLVPSPKSFVYFIMRIVNFPKCRRRRLQKDIEMGMGNSKSRLNLFTQSNSRVFESHSFNSILNQPTRYQQIMKRLIKRYVLKAQVDKENDEVNEGELKEIKQDISSLRYELLEDKSQATEELAILIHKLSEKLNPSMLRCE
+>DECOY_sp|Q13507|TRPC3_HUMAN Short transient receptor potential channel 3 OS=Homo sapiens OX=9606 GN=TRPC3 PE=1 SV=3
+ECRLMSPNLKESLKHILIALEETAQSKDELLEYRLSSIDQKIEKLEGENVEDNEKDVQAKLVYRKILRKMIQQYRTPQNLISNFSHSEFVRSNSQTFLNLRSKSNGMGMEIDKQLRRRRCKPFNVIRMIFYVFSKPSPVLSFPPPLTKGDDFYSLWLKSRAFKWEVDSDDEIEQYSSNIMAILMNLLVVVMTVNYIGYLVYGINEIFKHDYKLVVSTVESLGFISWFLTKFSEEVTTFAANVKAGLYYSYLIFMGIMFAFFVMIFLVMFKFIDKVTRGLSIQLPGFSENAPLIYAIRSFSLVVAIAYLGESIIQPDSPLWKDRAYTFYQIEPPLTVESLDSEQVYSDVYQQAKTAQLFALFRATFAAIFISLMGFDLVNWLQLIYERPGELWLEKCESWMMGLVWVMILMETWTFQTTKVRFIQKPYDTVTINPLTTIGEFRDSANFVLLGLFIIFSAAHAVFKMFPSRLIKGLRSCPAIWYGIALFPLGLAVVLVVLCKIAITQERLGSLNEYWITLLQQQCNPHAVFKKVEYKIALKVRSLSAKHRHVELPEASELDGNLIAEVEESDRCLDLVGVVFDKCQMSLKRYDNKFEKEINALKALENSLELATLVPDESSLSLYAPSALGKYANIRSRSHSFSDHRQKEMCDGCKCFYDHPREIRAGKMLLMHVVEYKQCHAALIIPTIDPSFRTGDEDYAYFDDDQLEQECPSLTLRKSAAFGPHNLIAEVIRVYGKSIALLLADGIRALNEKKLLLETVELHENGVALQLANQGMYDVCNVNLTKSEELMKRVVPINGYEAADLFREEEATLSTGRDNFMFAPGRVAQRRGKERM
+>sp|Q9UL62|TRPC5_HUMAN Short transient receptor potential channel 5 OS=Homo sapiens OX=9606 GN=TRPC5 PE=1 SV=1
+MAQLYYKKVNYSPYRDRIPLQIVRAETELSAEEKAFLNAVEKGDYATVKQALQEAEIYYNVNINCMDPLGRSALLIAIENENLEIMELLLNHSVYVGDALLYAIRKEVVGAVELLLSYRRPSGEKQVPTLMMDTQFSEFTPDITPIMLAAHTNNYEIIKLLVQKRVTIPRPHQIRCNCVECVSSSEVDSLRHSRSRLNIYKALASPSLIALSSEDPILTAFRLGWELKELSKVENEFKAEYEELSQQCKLFAKDLLDQARSSRELEIILNHRDDHSEELDPQKYHDLAKLKVAIKYHQKEFVAQPNCQQLLATLWYDGFPGWRRKHWVVKLLTCMTIGFLFPMLSIAYLISPRSNLGLFIKKPFIKFICHTASYLTFLFMLLLASQHIVRTDLHVQGPPPTVVEWMILPWVLGFIWGEIKEMWDGGFTEYIHDWWNLMDFAMNSLYLATISLKIVAYVKYNGSRPREEWEMWHPTLIAEALFAISNILSSLRLISLFTANSHLGPLQISLGRMLLDILKFLFIYCLVLLAFANGLNQLYFYYETRAIDEPNNCKGIRCEKQNNAFSTLFETLQSLFWSVFGLLNLYVTNVKARHEFTEFVGATMFGTYNVISLVVLLNMLIAMMNNSYQLIADHADIEWKFARTKLWMSYFDEGGTLPPPFNIIPSPKSFLYLGNWFNNTFCPKRDPDGRRRRRNLRSFTERNADSLIQNQHYQEVIRNLVKRYVAAMIRNSKTHEGLTEENFKELKQDISSFRYEVLDLLGNRKHPRSFSTSSTELSQRDDNNDGSGGARAKSKSVSFNLGCKKKTCHGPPLIRTMPRSSGAQGKSKAESSSKRSFMGPSLKKLGLLFSKFNGHMSEPSSEPMYTISDGIVQQHCMWQDIRYSQMEKGKAEACSQSEINLSEVELGEVQGAAQSSECPLACSSSLHCASSICSSNSKLLDSSEDVFETWGEACDLLMHKWGDGQEEQVTTRL
+>DECOY_sp|Q9UL62|TRPC5_HUMAN Short transient receptor potential channel 5 OS=Homo sapiens OX=9606 GN=TRPC5 PE=1 SV=1
+LRTTVQEEQGDGWKHMLLDCAEGWTEFVDESSDLLKSNSSCISSACHLSSSCALPCESSQAAGQVEGLEVESLNIESQSCAEAKGKEMQSYRIDQWMCHQQVIGDSITYMPESSPESMHGNFKSFLLGLKKLSPGMFSRKSSSEAKSKGQAGSSRPMTRILPPGHCTKKKCGLNFSVSKSKARAGGSGDNNDDRQSLETSSTSFSRPHKRNGLLDLVEYRFSSIDQKLEKFNEETLGEHTKSNRIMAAVYRKVLNRIVEQYHQNQILSDANRETFSRLNRRRRRGDPDRKPCFTNNFWNGLYLFSKPSPIINFPPPLTGGEDFYSMWLKTRAFKWEIDAHDAILQYSNNMMAILMNLLVVLSIVNYTGFMTAGVFETFEHRAKVNTVYLNLLGFVSWFLSQLTEFLTSFANNQKECRIGKCNNPEDIARTEYYFYLQNLGNAFALLVLCYIFLFKLIDLLMRGLSIQLPGLHSNATFLSILRLSSLINSIAFLAEAILTPHWMEWEERPRSGNYKVYAVIKLSITALYLSNMAFDMLNWWDHIYETFGGDWMEKIEGWIFGLVWPLIMWEVVTPPPGQVHLDTRVIHQSALLLMFLFTLYSATHCIFKIFPKKIFLGLNSRPSILYAISLMPFLFGITMCTLLKVVWHKRRWGPFGDYWLTALLQQCNPQAVFEKQHYKIAVKLKALDHYKQPDLEESHDDRHNLIIELERSSRAQDLLDKAFLKCQQSLEEYEAKFENEVKSLEKLEWGLRFATLIPDESSLAILSPSALAKYINLRSRSHRLSDVESSSVCEVCNCRIQHPRPITVRKQVLLKIIEYNNTHAALMIPTIDPTFESFQTDMMLTPVQKEGSPRRYSLLLEVAGVVEKRIAYLLADGVYVSHNLLLEMIELNENEIAILLASRGLPDMCNINVNYYIEAEQLAQKVTAYDGKEVANLFAKEEASLETEARVIQLPIRDRYPSYNVKKYYLQAM
+>sp|Q9Y210|TRPC6_HUMAN Short transient receptor potential channel 6 OS=Homo sapiens OX=9606 GN=TRPC6 PE=1 SV=1
+MSQSPAFGPRRGSSPRGAAGAAARRNESQDYLLMDSELGEDGCPQAPLPCYGYYPCFRGSDNRLAHRRQTVLREKGRRLANRGPAYMFSDRSTSLSIEEERFLDAAEYGNIPVVRKMLEECHSLNVNCVDYMGQNALQLAVANEHLEITELLLKKENLSRVGDALLLAISKGYVRIVEAILSHPAFAEGKRLATSPSQSELQQDDFYAYDEDGTRFSHDVTPIILAAHCQEYEIVHTLLRKGARIERPHDYFCKCNDCNQKQKHDSFSHSRSRINAYKGLASPAYLSLSSEDPVMTALELSNELAVLANIEKEFKNDYKKLSMQCKDFVVGLLDLCRNTEEVEAILNGDVETLQSGDHGRPNLSRLKLAIKYEVKKFVAHPNCQQQLLSIWYENLSGLRQQTMAVKFLVVLAVAIGLPFLALIYWFAPCSKMGKIMRGPFMKFVAHAASFTIFLGLLVMNAADRFEGTKLLPNETSTDNAKQLFRMKTSCFSWMEMLIISWVIGMIWAECKEIWTQGPKEYLFELWNMLDFGMLAIFAASFIARFMAFWHASKAQSIIDANDTLKDLTKVTLGDNVKYYNLARIKWDPSDPQIISEGLYAIAVVLSFSRIAYILPANESFGPLQISLGRTVKDIFKFMVIFIMVFVAFMIGMFNLYSYYIGAKQNEAFTTVEESFKTLFWAIFGLSEVKSVVINYNHKFIENIGYVLYGVYNVTMVIVLLNMLIAMINSSFQEIEDDADVEWKFARAKLWFSYFEEGRTLPVPFNLVPSPKSLFYLLLKLKKWISELFQGHKKGFQEDAEMNKINEEKKLGILGSHEDLSKLSLDKKQVGHNKQPSIRSSEDFHLNSFNNPPRQYQKIMKRLIKRYVLQAQIDKESDEVNEGELKEIKQDISSLRYELLEEKSQNTEDLAELIRELGEKLSMEPNQEETNR
+>DECOY_sp|Q9Y210|TRPC6_HUMAN Short transient receptor potential channel 6 OS=Homo sapiens OX=9606 GN=TRPC6 PE=1 SV=1
+RNTEEQNPEMSLKEGLERILEALDETNQSKEELLEYRLSSIDQKIEKLEGENVEDSEKDIQAQLVYRKILRKMIKQYQRPPNNFSNLHFDESSRISPQKNHGVQKKDLSLKSLDEHSGLIGLKKEENIKNMEADEQFGKKHGQFLESIWKKLKLLLYFLSKPSPVLNFPVPLTRGEEFYSFWLKARAFKWEVDADDEIEQFSSNIMAILMNLLVIVMTVNYVGYLVYGINEIFKHNYNIVVSKVESLGFIAWFLTKFSEEVTTFAENQKAGIYYSYLNFMGIMFAVFVMIFIVMFKFIDKVTRGLSIQLPGFSENAPLIYAIRSFSLVVAIAYLGESIIQPDSPDWKIRALNYYKVNDGLTVKTLDKLTDNADIISQAKSAHWFAMFRAIFSAAFIALMGFDLMNWLEFLYEKPGQTWIEKCEAWIMGIVWSIILMEMWSFCSTKMRFLQKANDTSTENPLLKTGEFRDAANMVLLGLFITFSAAHAVFKMFPGRMIKGMKSCPAFWYILALFPLGIAVALVVLFKVAMTQQRLGSLNEYWISLLQQQCNPHAVFKKVEYKIALKLRSLNPRGHDGSQLTEVDGNLIAEVEETNRCLDLLGVVFDKCQMSLKKYDNKFEKEINALVALENSLELATMVPDESSLSLYAPSALGKYANIRSRSHSFSDHKQKQNCDNCKCFYDHPREIRAGKRLLTHVIEYEQCHAALIIPTVDHSFRTGDEDYAYFDDQQLESQSPSTALRKGEAFAPHSLIAEVIRVYGKSIALLLADGVRSLNEKKLLLETIELHENAVALQLANQGMYDVCNVNLSHCEELMKRVVPINGYEAADLFREEEISLSTSRDSFMYAPGRNALRRGKERLVTQRRHALRNDSGRFCPYYGYCPLPAQPCGDEGLESDMLLYDQSENRRAAAGAAGRPSSGRRPGFAPSQSM
+>sp|Q9UPY5|XCT_HUMAN Cystine/glutamate transporter OS=Homo sapiens OX=9606 GN=SLC7A11 PE=1 SV=1
+MVRKPVVSTISKGGYLQGNVNGRLPSLGNKEPPGQEKVQLKRKVTLLRGVSIIIGTIIGAGIFISPKGVLQNTGSVGMSLTIWTVCGVLSLFGALSYAELGTTIKKSGGHYTYILEVFGPLPAFVRVWVELLIIRPAATAVISLAFGRYILEPFFIQCEIPELAIKLITAVGITVVMVLNSMSVSWSARIQIFLTFCKLTAILIIIVPGVMQLIKGQTQNFKDAFSGRDSSITRLPLAFYYGMYAYAGWFYLNFVTEEVENPEKTIPLAICISMAIVTIGYVLTNVAYFTTINAEELLLSNAVAVTFSERLLGNFSLAVPIFVALSCFGSMNGGVFAVSRLFYVASREGHLPEILSMIHVRKHTPLPAVIVLHPLTMIMLFSGDLDSLLNFLSFARWLFIGLAVAGLIYLRYKCPDMHRPFKVPLFIPALFSFTCLFMVALSLYSDPFSTGIGFVITLTGVPAYYLFIIWDKKPRWFRIMSEKITRTLQIILEVVPEEDKL
+>DECOY_sp|Q9UPY5|XCT_HUMAN Cystine/glutamate transporter OS=Homo sapiens OX=9606 GN=SLC7A11 PE=1 SV=1
+LKDEEPVVELIIQLTRTIKESMIRFWRPKKDWIIFLYYAPVGTLTIVFGIGTSFPDSYLSLAVMFLCTFSFLAPIFLPVKFPRHMDPCKYRLYILGAVALGIFLWRAFSLFNLLSDLDGSFLMIMTLPHLVIVAPLPTHKRVHIMSLIEPLHGERSAVYFLRSVAFVGGNMSGFCSLAVFIPVALSFNGLLRESFTVAVANSLLLEEANITTFYAVNTLVYGITVIAMSICIALPITKEPNEVEETVFNLYFWGAYAYMGYYFALPLRTISSDRGSFADKFNQTQGKILQMVGPVIIILIATLKCFTLFIQIRASWSVSMSNLVMVVTIGVATILKIALEPIECQIFFPELIYRGFALSIVATAAPRIILLEVWVRVFAPLPGFVELIYTYHGGSKKITTGLEAYSLAGFLSLVGCVTWITLSMGVSGTNQLVGKPSIFIGAGIITGIIISVGRLLTVKRKLQVKEQGPPEKNGLSPLRGNVNGQLYGGKSITSVVPKRVM
+>sp|P55060|XPO2_HUMAN Exportin-2 OS=Homo sapiens OX=9606 GN=CSE1L PE=1 SV=3
+MELSDANLQTLTEYLKKTLDPDPAIRRPAEKFLESVEGNQNYPLLLLTLLEKSQDNVIKVCASVTFKNYIKRNWRIVEDEPNKICEADRVAIKANIVHLMLSSPEQIQKQLSDAISIIGREDFPQKWPDLLTEMVNRFQSGDFHVINGVLRTAHSLFKRYRHEFKSNELWTEIKLVLDAFALPLTNLFKATIELCSTHANDASALRILFSSLILISKLFYSLNFQDLPEFFEDNMETWMNNFHTLLTLDNKLLQTDDEEEAGLLELLKSQICDNAALYAQKYDEEFQRYLPRFVTAIWNLLVTTGQEVKYDLLVSNAIQFLASVCERPHYKNLFEDQNTLTSICEKVIVPNMEFRAADEEAFEDNSEEYIRRDLEGSDIDTRRRAACDLVRGLCKFFEGPVTGIFSGYVNSMLQEYAKNPSVNWKHKDAAIYLVTSLASKAQTQKHGITQANELVNLTEFFVNHILPDLKSANVNEFPVLKADGIKYIMIFRNQVPKEHLLVSIPLLINHLQAESIVVHTYAAHALERLFTMRGPNNATLFTAAEIAPFVEILLTNLFKALTLPGSSENEYIMKAIMRSFSLLQEAIIPYIPTLITQLTQKLLAVSKNPSKPHFNHYMFEAICLSIRITCKANPAAVVNFEEALFLVFTEILQNDVQEFIPYVFQVMSLLLETHKNDIPSSYMALFPHLLQPVLWERTGNIPALVRLLQAFLERGSNTIASAAADKIPGLLGVFQKLIASKANDHQGFYLLNSIIEHMPPESVDQYRKQIFILLFQRLQNSKTTKFIKSFLVFINLYCIKYGALALQEIFDGIQPKMFGMVLEKIIIPEIQKVSGNVEKKICAVGITKLLTECPPMMDTEYTKLWTPLLQSLIGLFELPEDDTIPDEEHFIDIEDTPGYQTAFSQLAFAGKKEHDPVGQMVNNPKIHLAQSLHKLSTACPGRVPSMVSTSLNAEALQYLQGYLQAASVTLL
+>DECOY_sp|P55060|XPO2_HUMAN Exportin-2 OS=Homo sapiens OX=9606 GN=CSE1L PE=1 SV=3
+LLTVSAAQLYGQLYQLAEANLSTSVMSPVRGPCATSLKHLSQALHIKPNNVMQGVPDHEKKGAFALQSFATQYGPTDEIDIFHEEDPITDDEPLEFLGILSQLLPTWLKTYETDMMPPCETLLKTIGVACIKKEVNGSVKQIEPIIIKELVMGFMKPQIGDFIEQLALAGYKICYLNIFVLFSKIFKTTKSNQLRQFLLIFIQKRYQDVSEPPMHEIISNLLYFGQHDNAKSAILKQFVGLLGPIKDAAASAITNSGRELFAQLLRVLAPINGTREWLVPQLLHPFLAMYSSPIDNKHTELLLSMVQFVYPIFEQVDNQLIETFVLFLAEEFNVVAAPNAKCTIRISLCIAEFMYHNFHPKSPNKSVALLKQTLQTILTPIYPIIAEQLLSFSRMIAKMIYENESSGPLTLAKFLNTLLIEVFPAIEAATFLTANNPGRMTFLRELAHAAYTHVVISEAQLHNILLPISVLLHEKPVQNRFIMIYKIGDAKLVPFENVNASKLDPLIHNVFFETLNVLENAQTIGHKQTQAKSALSTVLYIAADKHKWNVSPNKAYEQLMSNVYGSFIGTVPGEFFKCLGRVLDCAARRRTDIDSGELDRRIYEESNDEFAEEDAARFEMNPVIVKECISTLTNQDEFLNKYHPRECVSALFQIANSVLLDYKVEQGTTVLLNWIATVFRPLYRQFEEDYKQAYLAANDCIQSKLLELLGAEEEDDTQLLKNDLTLLTHFNNMWTEMNDEFFEPLDQFNLSYFLKSILILSSFLIRLASADNAHTSCLEITAKFLNTLPLAFADLVLKIETWLENSKFEHRYRKFLSHATRLVGNIVHFDGSQFRNVMETLLDPWKQPFDERGIISIADSLQKQIQEPSSLMLHVINAKIAVRDAECIKNPEDEVIRWNRKIYNKFTVSACVKIVNDQSKELLTLLLLPYNQNGEVSELFKEAPRRIAPDPDLTKKLYETLTQLNADSLEM
+>sp|Q96QU8|XPO6_HUMAN Exportin-6 OS=Homo sapiens OX=9606 GN=XPO6 PE=1 SV=1
+MASEEASLRALESLMTEFFHDCTTNERKREIEELLNNFAQQIGAWRFCLYFLSSTRNDYVMMYSLTVFENLINKMWLGVPSQDKMEIRSCLPKLLLAHHKTLPYFIRNKLCKVIVDIGRQDWPMFYHDFFTNILQLIQSPVTTPLGLIMLKTTSEELACPREDLSVARKEELRKLLLDQVQTVLGLLTGILETVWDKHSVTAATPPPSPTSGESGDLLSNLLQSPSSAKLLNQPIPILDVESEYICSLALECLAHLFSWIPLSASITPSLLTTIFHFARFGCDIRARKMASVNGSSQNCVSGQERGRLGVLAMSCINELMSKNCVPMEFEEYLLRMFQQTFYLLQKITKDNNAHTVKSRLEELDESYIEKFTDFLRLFVSVHLRRIESYSQFPVVEFLTLLFKYTFHQPTHEGYFSCLDIWTLFLDYLTSKIKSRLGDKEAVLNRYEDALVLLLTEVLNRIQFRYNQAQLEELDDETLDDDQQTEWQRYLRQSLEVVAKVMELLPTHAFSTLFPVLQDNLEVYLGLQQFIVTSGSGHRLNITAENDCRRLHCSLRDLSSLLQAVGRLAEYFIGDVFAARFNDALTVVERLVKVTLYGSQIKLYNIETAVPSVLKPDLIDVHAQSLAALQAYSHWLAQYCSEVHRQNTQQFVTLISTTMDAITPLISTKVQDKLLLSACHLLVSLATTVRPVFLISIPAVQKVFNRITDASALRLVDKAQVLVCRALSNILLLPWPNLPENEQQWPVRSINHASLISALSRDYRNLKPSAVAPQRKMPLDDTKLIIHQTLSVLEDIVENISGESTKSRQICYQSLQESVQVSLALFPAFIHQSDVTDEMLSFFLTLFRGLRVQMGVPFTEQIIQTFLNMFTREQLAESILHEGSTGCRVVEKFLKILQVVVQEPGQVFKPFLPSIIALCMEQVYPIIAERPSPDVKAELFELLFRTLHHNWRYFFKSTVLASVQRGIAEEQMENEPQFSAIMQAFGQSFLQPDIHLFKQNLFYLETLNTKQKLYHKKIFRTAMLFQFVNVLLQVLVHKSHDLLQEEIGIAIYNMASVDFDGFFAAFLPEFLTSCDGVDANQKSVLGRNFKMDRDLPSFTQNVHRLVNDLRYYRLCNDSLPPGTVKL
+>DECOY_sp|Q96QU8|XPO6_HUMAN Exportin-6 OS=Homo sapiens OX=9606 GN=XPO6 PE=1 SV=1
+LKVTGPPLSDNCLRYYRLDNVLRHVNQTFSPLDRDMKFNRGLVSKQNADVGDCSTLFEPLFAAFFGDFDVSAMNYIAIGIEEQLLDHSKHVLVQLLVNVFQFLMATRFIKKHYLKQKTNLTELYFLNQKFLHIDPQLFSQGFAQMIASFQPENEMQEEAIGRQVSALVTSKFFYRWNHHLTRFLLEFLEAKVDPSPREAIIPYVQEMCLAIISPLFPKFVQGPEQVVVQLIKLFKEVVRCGTSGEHLISEALQERTFMNLFTQIIQETFPVGMQVRLGRFLTLFFSLMEDTVDSQHIFAPFLALSVQVSEQLSQYCIQRSKTSEGSINEVIDELVSLTQHIILKTDDLPMKRQPAVASPKLNRYDRSLASILSAHNISRVPWQQENEPLNPWPLLLINSLARCVLVQAKDVLRLASADTIRNFVKQVAPISILFVPRVTTALSVLLHCASLLLKDQVKTSILPTIADMTTSILTVFQQTNQRHVESCYQALWHSYAQLAALSQAHVDILDPKLVSPVATEINYLKIQSGYLTVKVLREVVTLADNFRAAFVDGIFYEALRGVAQLLSSLDRLSCHLRRCDNEATINLRHGSGSTVIFQQLGLYVELNDQLVPFLTSFAHTPLLEMVKAVVELSQRLYRQWETQQDDDLTEDDLEELQAQNYRFQIRNLVETLLLVLADEYRNLVAEKDGLRSKIKSTLYDLFLTWIDLCSFYGEHTPQHFTYKFLLTLFEVVPFQSYSEIRRLHVSVFLRLFDTFKEIYSEDLEELRSKVTHANNDKTIKQLLYFTQQFMRLLYEEFEMPVCNKSMLENICSMALVGLRGREQGSVCNQSSGNVSAMKRARIDCGFRAFHFITTLLSPTISASLPIWSFLHALCELALSCIYESEVDLIPIPQNLLKASSPSQLLNSLLDGSEGSTPSPPPTAATVSHKDWVTELIGTLLGLVTQVQDLLLKRLEEKRAVSLDERPCALEESTTKLMILGLPTTVPSQILQLINTFFDHYFMPWDQRGIDVIVKCLKNRIFYPLTKHHALLLKPLCSRIEMKDQSPVGLWMKNILNEFVTLSYMMVYDNRTSSLFYLCFRWAGIQQAFNNLLEEIERKRENTTCDHFFETMLSELARLSAEESAM
+>sp|Q9H1B5|XYLT2_HUMAN Xylosyltransferase 2 OS=Homo sapiens OX=9606 GN=XYLT2 PE=1 SV=2
+MVASARVQKLVRRYKLAIATALAILLLQGLVVWSFSGLEEDEAGEKGRQRKPRPLDPGEGSKDTDSSAGRRGSTGRRHGRWRGRAESPGVPVAKVVRAVTSRQRASRRVPPAPPPEAPGRQNLSGAAAGEALVGAAGFPPHGDTGSVEGAPQPTDNGFTPKCEIVGKDALSALARASTKQCQQEIANVVCLHQAGSLMPKAVPRHCQLTGKMSPGIQWDESQAQQPMDGPPVRIAYMLVVHGRAIRQLKRLLKAVYHEQHFFYIHVDKRSDYLHREVVELAQGYDNVRVTPWRMVTIWGGASLLRMYLRSMRDLLEVPGWAWDFFINLSATDYPTRTNEELVAFLSKNRDKNFLKSHGRDNSRFIKKQGLDRLFHECDSHMWRLGERQIPAGIVVDGGSDWFVLTRSFVEYVVYTDDPLVAQLRQFYTYTLLPAESFFHTVLENSLACETLVDNNLRVTNWNRKLGCKCQYKHIVDWCGCSPNDFKPQDFLRLQQVSRPTFFARKFESTVNQEVLEILDFHLYGSYPPGTPALKAYWENTYDAADGPSGLSDVMLTAYTAFARLSLHHAATAAPPMGTPLCRFEPRGLPSSVHLYFYDDHFQGYLVTQAVQPSAQGPAETLEMWLMPQGSLKLLGRSDQASRLQSLEVGTDWDPKERLFRNFGGLLGPLDEPVAVQRWARGPNLTATVVWIDPTYVVATSYDITVDTETEVTQYKPPLSRPLRPGPWTVRLLQFWEPLGETRFLVLPLTFNRKLPLRKDDASWLHAGPPHNEYMEQSFQGLSSILNLPQPELAEEAAQRHTQLTGPALEAWTDRELSSFWSVAGLCAIGPSPCPSLEPCRLTSWSSLSPDPKSELGPVKADGRLR
+>DECOY_sp|Q9H1B5|XYLT2_HUMAN Xylosyltransferase 2 OS=Homo sapiens OX=9606 GN=XYLT2 PE=1 SV=2
+RLRGDAKVPGLESKPDPSLSSWSTLRCPELSPCPSPGIACLGAVSWFSSLERDTWAELAPGTLQTHRQAAEEALEPQPLNLISSLGQFSQEMYENHPPGAHLWSADDKRLPLKRNFTLPLVLFRTEGLPEWFQLLRVTWPGPRLPRSLPPKYQTVETETDVTIDYSTAVVYTPDIWVVTATLNPGRAWRQVAVPEDLPGLLGGFNRFLREKPDWDTGVELSQLRSAQDSRGLLKLSGQPMLWMELTEAPGQASPQVAQTVLYGQFHDDYFYLHVSSPLGRPEFRCLPTGMPPAATAAHHLSLRAFATYATLMVDSLGSPGDAADYTNEWYAKLAPTGPPYSGYLHFDLIELVEQNVTSEFKRAFFTPRSVQQLRLFDQPKFDNPSCGCWDVIHKYQCKCGLKRNWNTVRLNNDVLTECALSNELVTHFFSEAPLLTYTYFQRLQAVLPDDTYVVYEVFSRTLVFWDSGGDVVIGAPIQREGLRWMHSDCEHFLRDLGQKKIFRSNDRGHSKLFNKDRNKSLFAVLEENTRTPYDTASLNIFFDWAWGPVELLDRMSRLYMRLLSAGGWITVMRWPTVRVNDYGQALEVVERHLYDSRKDVHIYFFHQEHYVAKLLRKLQRIARGHVVLMYAIRVPPGDMPQQAQSEDWQIGPSMKGTLQCHRPVAKPMLSGAQHLCVVNAIEQQCQKTSARALASLADKGVIECKPTFGNDTPQPAGEVSGTDGHPPFGAAGVLAEGAAAGSLNQRGPAEPPPAPPVRRSARQRSTVARVVKAVPVGPSEARGRWRGHRRGTSGRRGASSDTDKSGEGPDLPRPKRQRGKEGAEDEELGSFSWVVLGQLLLIALATAIALKYRRVLKQVRASAVM
+>sp|O60603|TLR2_HUMAN Toll-like receptor 2 OS=Homo sapiens OX=9606 GN=TLR2 PE=1 SV=1
+MPHTLWMVWVLGVIISLSKEESSNQASLSCDRNGICKGSSGSLNSIPSGLTEAVKSLDLSNNRITYISNSDLQRCVNLQALVLTSNGINTIEEDSFSSLGSLEHLDLSYNYLSNLSSSWFKPLSSLTFLNLLGNPYKTLGETSLFSHLTKLQILRVGNMDTFTKIQRKDFAGLTFLEELEIDASDLQSYEPKSLKSIQNVSHLILHMKQHILLLEIFVDVTSSVECLELRDTDLDTFHFSELSTGETNSLIKKFTFRNVKITDESLFQVMKLLNQISGLLELEFDDCTLNGVGNFRASDNDRVIDPGKVETLTIRRLHIPRFYLFYDLSTLYSLTERVKRITVENSKVFLVPCLLSQHLKSLEYLDLSENLMVEEYLKNSACEDAWPSLQTLILRQNHLASLEKTGETLLTLKNLTNIDISKNSFHSMPETCQWPEKMKYLNLSSTRIHSVTGCIPKTLEILDVSNNNLNLFSLNLPQLKELYISRNKLMTLPDASLLPMLLVLKISRNAITTFSKEQLDSFHTLKTLEAGGNNFICSCEFLSFTQEQQALAKVLIDWPANYLCDSPSHVRGQQVQDVRLSVSECHRTALVSGMCCALFLLILLTGVLCHRFHGLWYMKMMWAWLQAKRKPRKAPSRNICYDAFVSYSERDAYWVENLMVQELENFNPPFKLCLHKRDFIPGKWIIDNIIDSIEKSHKTVFVLSENFVKSEWCKYELDFSHFRLFDENNDAAILILLEPIEKKAIPQRFCKLRKIMNTKTYLEWPMDEAQREGFWVNLRAAIKS
+>DECOY_sp|O60603|TLR2_HUMAN Toll-like receptor 2 OS=Homo sapiens OX=9606 GN=TLR2 PE=1 SV=1
+SKIAARLNVWFGERQAEDMPWELYTKTNMIKRLKCFRQPIAKKEIPELLILIAADNNEDFLRFHSFDLEYKCWESKVFNESLVFVTKHSKEISDIINDIIWKGPIFDRKHLCLKFPPNFNELEQVMLNEVWYADRESYSVFADYCINRSPAKRPKRKAQLWAWMMKMYWLGHFRHCLVGTLLILLFLACCMGSVLATRHCESVSLRVDQVQQGRVHSPSDCLYNAPWDILVKALAQQEQTFSLFECSCIFNNGGAELTKLTHFSDLQEKSFTTIANRSIKLVLLMPLLSADPLTMLKNRSIYLEKLQPLNLSFLNLNNNSVDLIELTKPICGTVSHIRTSSLNLYKMKEPWQCTEPMSHFSNKSIDINTLNKLTLLTEGTKELSALHNQRLILTQLSPWADECASNKLYEEVMLNESLDLYELSKLHQSLLCPVLFVKSNEVTIRKVRETLSYLTSLDYFLYFRPIHLRRITLTEVKGPDIVRDNDSARFNGVGNLTCDDFELELLGSIQNLLKMVQFLSEDTIKVNRFTFKKILSNTEGTSLESFHFTDLDTDRLELCEVSSTVDVFIELLLIHQKMHLILHSVNQISKLSKPEYSQLDSADIELEELFTLGAFDKRQIKTFTDMNGVRLIQLKTLHSFLSTEGLTKYPNGLLNLFTLSSLPKFWSSSLNSLYNYSLDLHELSGLSSFSDEEITNIGNSTLVLAQLNVCRQLDSNSIYTIRNNSLDLSKVAETLGSPISNLSGSSGKCIGNRDCSLSAQNSSEEKSLSIIVGLVWVMWLTHPM
+>sp|O60602|TLR5_HUMAN Toll-like receptor 5 OS=Homo sapiens OX=9606 GN=TLR5 PE=1 SV=4
+MGDHLDLLLGVVLMAGPVFGIPSCSFDGRIAFYRFCNLTQVPQVLNTTERLLLSFNYIRTVTASSFPFLEQLQLLELGSQYTPLTIDKEAFRNLPNLRILDLGSSKIYFLHPDAFQGLFHLFELRLYFCGLSDAVLKDGYFRNLKALTRLDLSKNQIRSLYLHPSFGKLNSLKSIDFSSNQIFLVCEHELEPLQGKTLSFFSLAANSLYSRVSVDWGKCMNPFRNMVLEILDVSGNGWTVDITGNFSNAISKSQAFSLILAHHIMGAGFGFHNIKDPDQNTFAGLARSSVRHLDLSHGFVFSLNSRVFETLKDLKVLNLAYNKINKIADEAFYGLDNLQVLNLSYNLLGELYSSNFYGLPKVAYIDLQKNHIAIIQDQTFKFLEKLQTLDLRDNALTTIHFIPSIPDIFLSGNKLVTLPKINLTANLIHLSENRLENLDILYFLLRVPHLQILILNQNRFSSCSGDQTPSENPSLEQLFLGENMLQLAWETELCWDVFEGLSHLQVLYLNHNYLNSLPPGVFSHLTALRGLSLNSNRLTVLSHNDLPANLEILDISRNQLLAPNPDVFVSLSVLDITHNKFICECELSTFINWLNHTNVTIAGPPADIYCVYPDSFSGVSLFSLSTEGCDEEEVLKSLKFSLFIVCTVTLTLFLMTILTVTKFRGFCFICYKTAQRLVFKDHPQGTEPDMYKYDAYLCFSSKDFTWVQNALLKHLDTQYSDQNRFNLCFEERDFVPGENRIANIQDAIWNSRKIVCLVSRHFLRDGWCLEAFSYAQGRCLSDLNSALIMVVVGSLSQYQLMKHQSIRGFVQKQQYLRWPEDFQDVGWFLHKLSQQILKKEKEKKKDNNIPLQTVATIS
+>DECOY_sp|O60602|TLR5_HUMAN Toll-like receptor 5 OS=Homo sapiens OX=9606 GN=TLR5 PE=1 SV=4
+SITAVTQLPINNDKKKEKEKKLIQQSLKHLFWGVDQFDEPWRLYQQKQVFGRISQHKMLQYQSLSGVVVMILASNLDSLCRGQAYSFAELCWGDRLFHRSVLCVIKRSNWIADQINAIRNEGPVFDREEFCLNFRNQDSYQTDLHKLLANQVWTFDKSSFCLYADYKYMDPETGQPHDKFVLRQATKYCIFCFGRFKTVTLITMLFLTLTVTCVIFLSFKLSKLVEEEDCGETSLSFLSVGSFSDPYVCYIDAPPGAITVNTHNLWNIFTSLECECIFKNHTIDLVSLSVFVDPNPALLQNRSIDLIELNAPLDNHSLVTLRNSNLSLGRLATLHSFVGPPLSNLYNHNLYLVQLHSLGEFVDWCLETEWALQLMNEGLFLQELSPNESPTQDGSCSSFRNQNLILIQLHPVRLLFYLIDLNELRNESLHILNATLNIKPLTVLKNGSLFIDPISPIFHITTLANDRLDLTQLKELFKFTQDQIIAIHNKQLDIYAVKPLGYFNSSYLEGLLNYSLNLVQLNDLGYFAEDAIKNIKNYALNLVKLDKLTEFVRSNLSFVFGHSLDLHRVSSRALGAFTNQDPDKINHFGFGAGMIHHALILSFAQSKSIANSFNGTIDVTWGNGSVDLIELVMNRFPNMCKGWDVSVRSYLSNAALSFFSLTKGQLPELEHECVLFIQNSSFDISKLSNLKGFSPHLYLSRIQNKSLDLRTLAKLNRFYGDKLVADSLGCFYLRLEFLHFLGQFADPHLFYIKSSGLDLIRLNPLNRFAEKDITLPTYQSGLELLQLQELFPFSSATVTRIYNFSLLLRETTNLVQPVQTLNCFRYFAIRGDFSCSPIGFVPGAMLVVGLLLDLHDGM
+>sp|Q9H1K6|TLRN1_HUMAN Talin rod domain-containing protein 1 OS=Homo sapiens OX=9606 GN=TLNRD1 PE=1 SV=1
+MASGSAGKPTGEAASPAPASAIGGASSQPRKRLVSVCDHCKGKMQLVADLLLLSSEARPVLFEGPASSGAGAESFEQCRDTIIARTKGLSILTHDVQSQLNMGRFGEAGDSLVELGDLVVSLTECSAHAAYLAAVATPGAQPAQPGLVDRYRVTRCRHEVEQGCAVLRATPLADMTPQLLLEVSQGLSRNLKFLTDACALASDKSRDRFSREQFKLGVKCMSTSASALLACVREVKVAPSELARSRCALFSGPLVQAVSALVGFATEPQFLGRAAAVSAEGKAVQTAILGGAMSVVSACVLLTQCLRDLAQHPDGGAKMSDHRERLRNSACAVSEGCTLLSQALRERSSPRTLPPVNSNSVN
+>DECOY_sp|Q9H1K6|TLRN1_HUMAN Talin rod domain-containing protein 1 OS=Homo sapiens OX=9606 GN=TLNRD1 PE=1 SV=1
+NVSNSNVPPLTRPSSRERLAQSLLTCGESVACASNRLRERHDSMKAGGDPHQALDRLCQTLLVCASVVSMAGGLIATQVAKGEASVAAARGLFQPETAFGVLASVAQVLPGSFLACRSRALESPAVKVERVCALLASASTSMCKVGLKFQERSFRDRSKDSALACADTLFKLNRSLGQSVELLLQPTMDALPTARLVACGQEVEHRCRTVRYRDVLGPQAPQAGPTAVAALYAAHASCETLSVVLDGLEVLSDGAEGFRGMNLQSQVDHTLISLGKTRAIITDRCQEFSEAGAGSSAPGEFLVPRAESSLLLLDAVLQMKGKCHDCVSVLRKRPQSSAGGIASAPAPSAAEGTPKGASGSAM
+>sp|Q9NZ63|TLS1_HUMAN Telomere length and silencing protein 1 homolog OS=Homo sapiens OX=9606 GN=C9orf78 PE=1 SV=1
+MPVVRKIFRRRRGDSESEEDEQDSEEVRLKLEETREVQNLRKRPNGVSAVALLVGEKVQEETTLVDDPFQMKTGGMVDMKKLKERGKDKISEEEDLHLGTSFSAETNRRDEDADMMKYIETELKKRKGIVEHEEQKVKPKNAEDCLYELPENIRVSSAKKTEEMLSNQMLSGIPEVDLGIDAKIKNIISTEDAKARLLAEQQNKKKDSETSFVPTNMAVNYVQHNRFYHEELNAPIRRNKEEPKARPLRVGDTEKPEPERSPPNRKRPANEKATDDYHYEKFKKMNRRY
+>DECOY_sp|Q9NZ63|TLS1_HUMAN Telomere length and silencing protein 1 homolog OS=Homo sapiens OX=9606 GN=C9orf78 PE=1 SV=1
+YRRNMKKFKEYHYDDTAKENAPRKRNPPSREPEPKETDGVRLPRAKPEEKNRRIPANLEEHYFRNHQVYNVAMNTPVFSTESDKKKNQQEALLRAKADETSIINKIKADIGLDVEPIGSLMQNSLMEETKKASSVRINEPLEYLCDEANKPKVKQEEHEVIGKRKKLETEIYKMMDADEDRRNTEASFSTGLHLDEEESIKDKGREKLKKMDVMGGTKMQFPDDVLTTEEQVKEGVLLAVASVGNPRKRLNQVERTEELKLRVEESDQEDEESESDGRRRRFIKRVVPM
+>sp|P0CAT3|TLXNB_HUMAN Putative TLX1 neighbor protein OS=Homo sapiens OX=9606 GN=TLX1NB PE=5 SV=1
+MAPLQLLHPWGRRGAWASQHSLLSQEAMGPGEGAEPTPWGYLRAEHWGASPSGAPTLPFAPDECSLTPGSPPHPLNKQKHHPPHPSQTQKDLVPRSPQLEKSRIRLRRTLRNLGGGRGQRGQ
+>DECOY_sp|P0CAT3|TLXNB_HUMAN Putative TLX1 neighbor protein OS=Homo sapiens OX=9606 GN=TLX1NB PE=5 SV=1
+QGRQGRGGGLNRLTRRLRIRSKELQPSRPVLDKQTQSPHPPHHKQKNLPHPPSGPTLSCEDPAFPLTPAGSPSAGWHEARLYGWPTPEAGEGPGMAEQSLLSHQSAWAGRRGWPHLLQLPAM
+>sp|Q8NE00|TM104_HUMAN Transmembrane protein 104 OS=Homo sapiens OX=9606 GN=TMEM104 PE=1 SV=2
+MAGEITETGELYSSYVGLVYMFNLIVGTGALTMPKAFATAGWLVSLVLLVFLGFMSFVTTTFVIEAMAAANAQLHWKRMENLKEEEDDDSSTASDSDVLIRDNYERAEKRPILSVQRRGSPNPFEITDRVEMGQMASMFFNKVGVNLFYFCIIVYLYGDLAIYAAAVPFSLMQVTCSATGNDSCGVEADTKYNDTDRCWGPLRRVDAYRIYLAIFTLLLGPFTFFDVQKTKYLQILTSLMRWIAFAVMIVLALIRIGHGQGEGHPPLADFSGVRNLFGVCVYSFMCQHSLPSLITPVSSKRHLTRLVFLDYVLILAFYGLLSFTAIFCFRGDSLMDMYTLNFARCDVVGLAAVRFFLGLFPVFTISTNFPIIAVTLRNNWKTLFHREGGTYPWVVDRVVFPTITLVPPVLVAFCTHDLESLVGITGAYAGTGIQYVIPAFLVYHCRRDTQLAFGCGVSNKHRSPFRHTFWVGFVLLWAFSCFIFVTANIILSETKL
+>DECOY_sp|Q8NE00|TM104_HUMAN Transmembrane protein 104 OS=Homo sapiens OX=9606 GN=TMEM104 PE=1 SV=2
+LKTESLIINATVFIFCSFAWLLVFGVWFTHRFPSRHKNSVGCGFALQTDRRCHYVLFAPIVYQIGTGAYAGTIGVLSELDHTCFAVLVPPVLTITPFVVRDVVWPYTGGERHFLTKWNNRLTVAIIPFNTSITFVPFLGLFFRVAALGVVDCRAFNLTYMDMLSDGRFCFIATFSLLGYFALILVYDLFVLRTLHRKSSVPTILSPLSHQCMFSYVCVGFLNRVGSFDALPPHGEGQGHGIRILALVIMVAFAIWRMLSTLIQLYKTKQVDFFTFPGLLLTFIALYIRYADVRRLPGWCRDTDNYKTDAEVGCSDNGTASCTVQMLSFPVAAAYIALDGYLYVIICFYFLNVGVKNFFMSAMQGMEVRDTIEFPNPSGRRQVSLIPRKEAREYNDRILVDSDSATSSDDDEEEKLNEMRKWHLQANAAAMAEIVFTTTVFSMFGLFVLLVLSVLWGATAFAKPMTLAGTGVILNFMYVLGVYSSYLEGTETIEGAM
+>sp|Q8N8V8|TM105_HUMAN Transmembrane protein 105 OS=Homo sapiens OX=9606 GN=TMEM105 PE=2 SV=1
+MLLKVRRASLKPPATPHQGAFRAGNVIGQLIYLLTWSLFTAWLRPPTLLQGPRTSPQGSPPRSPWGDCAEPSCLCEMKIRRRRHEGPAWGQSGFLAGGLHLVPSSLSLAACGVVRMKGLWGRGAGIRGR
+>DECOY_sp|Q8N8V8|TM105_HUMAN Transmembrane protein 105 OS=Homo sapiens OX=9606 GN=TMEM105 PE=2 SV=1
+RGRIGAGRGWLGKMRVVGCAALSLSSPVLHLGGALFGSQGWAPGEHRRRRIKMECLCSPEACDGWPSRPPSGQPSTRPGQLLTPPRLWATFLSWTLLYILQGIVNGARFAGQHPTAPPKLSARRVKLLM
+>sp|Q6UX40|TM107_HUMAN Transmembrane protein 107 OS=Homo sapiens OX=9606 GN=TMEM107 PE=1 SV=1
+MGRVSGLVPSRFLTLLAHLVVVITLFWSRDSNIQACLPLTFTPEEYDKQDIQLVAALSVTLGLFAVELAGFLSGVSMFNSTQSLISIGAHCSASVALSFFIFERWECTTYWYIFVFCSALPAVTEMALFVTVFGLKKKPF
+>DECOY_sp|Q6UX40|TM107_HUMAN Transmembrane protein 107 OS=Homo sapiens OX=9606 GN=TMEM107 PE=1 SV=1
+FPKKKLGFVTVFLAMETVAPLASCFVFIYWYTTCEWREFIFFSLAVSASCHAGISILSQTSNFMSVGSLFGALEVAFLGLTVSLAAVLQIDQKDYEEPTFTLPLCAQINSDRSWFLTIVVVLHALLTLFRSPVLGSVRGM
+>sp|Q8NCL8|TM116_HUMAN Transmembrane protein 116 OS=Homo sapiens OX=9606 GN=TMEM116 PE=2 SV=1
+MKHTQSGQSTSPLVIDYTCRVCQMAFVFSSLIPLLLMTPVFCLGNTSECFQNFSQSHKCILMHSPPSAMAELPPSANTSVCSTLYFYGIAIFLGSFVLSLLTIMVLLIRAQTLYKKFVKSTGFLGSEQWAVIHIVDQRVRFYPVAFFCCWGPAVILMIIKLTKPQDTKLHMALYVLQALTATSQGLLNCGVYGWTQHKFHQLKQEARRDADTQTPLLCSQKRFYSRGLNSLESTLTFPASTSTIF
+>DECOY_sp|Q8NCL8|TM116_HUMAN Transmembrane protein 116 OS=Homo sapiens OX=9606 GN=TMEM116 PE=2 SV=1
+FITSTSAPFTLTSELSNLGRSYFRKQSCLLPTQTDADRRAEQKLQHFKHQTWGYVGCNLLGQSTATLAQLVYLAMHLKTDQPKTLKIIMLIVAPGWCCFFAVPYFRVRQDVIHIVAWQESGLFGTSKVFKKYLTQARILLVMITLLSLVFSGLFIAIGYFYLTSCVSTNASPPLEAMASPPSHMLICKHSQSFNQFCESTNGLCFVPTMLLLPILSSFVFAMQCVRCTYDIVLPSTSQGSQTHKM
+>sp|Q4V9L6|TM119_HUMAN Transmembrane protein 119 OS=Homo sapiens OX=9606 GN=TMEM119 PE=1 SV=1
+MVSAAAPSLLILLLLLLGSVPATDARSVPLKATFLEDVAGSGEAEGSSASSPSLPPPWTPALSPTSMGPQPITLGGPSPPTNFLDGIVDFFRQYVMLIAVVGSLAFLLMFIVCAAVITRQKQKASAYYPSSFPKKKYVDQSDRAGGPRAFSEVPDRAPDSRPEEALDSSRQLQADILAATQNLKSPTRAALGGGDGARMVEGRGAEEEEKGSQEGDQEVQGHGVPVETPEAQEEPCSGVLEGAVVAGEGQGELEGSLLLAQEAQGPVGPPESPCACSSVHPSV
+>DECOY_sp|Q4V9L6|TM119_HUMAN Transmembrane protein 119 OS=Homo sapiens OX=9606 GN=TMEM119 PE=1 SV=1
+VSPHVSSCACPSEPPGVPGQAEQALLLSGELEGQGEGAVVAGELVGSCPEEQAEPTEVPVGHGQVEQDGEQSGKEEEEAGRGEVMRAGDGGGLAARTPSKLNQTAALIDAQLQRSSDLAEEPRSDPARDPVESFARPGGARDSQDVYKKKPFSSPYYASAKQKQRTIVAACVIFMLLFALSGVVAILMVYQRFFDVIGDLFNTPPSPGGLTIPQPGMSTPSLAPTWPPPLSPSSASSGEAEGSGAVDELFTAKLPVSRADTAPVSGLLLLLLILLSPAAASVM
+>sp|Q6ZMR5|TM11A_HUMAN Transmembrane protease serine 11A OS=Homo sapiens OX=9606 GN=TMPRSS11A PE=1 SV=1
+MMYRTVGFGTRSRNLKPWMIAVLIVLSLTVVAVTIGLLVHFLVFDQKKEYYHGSFKILDPQINNNFGQSNTYQLKDLRETTENLVSQVDEIFIDSAWKKNYIKNQVVRLTPEEDGVKVDVIMVFQFPSTEQRAVREKKIQSILNQKIRNLRALPINASSVQVNAMSSSTGELTVQASCGKRVVPLNVNRIASGVIAPKAAWPWQASLQYDNIHQCGATLISNTWLVTAAHCFQKYKNPHQWTVSFGTKINPPLMKRNVRRFIIHEKYRSAAREYDIAVVQVSSRVTFSDDIRRICLPEASASFQPNLTVHITGFGALYYGGESQNDLREARVKIISDDVCKQPQVYGNDIKPGMFCAGYMEGIYDACRGDSGGPLVTRDLKDTWYLIGIVSWGDNCGQKDKPGVYTQVTYYRNWIASKTGI
+>DECOY_sp|Q6ZMR5|TM11A_HUMAN Transmembrane protease serine 11A OS=Homo sapiens OX=9606 GN=TMPRSS11A PE=1 SV=1
+IGTKSAIWNRYYTVQTYVGPKDKQGCNDGWSVIGILYWTDKLDRTVLPGGSDGRCADYIGEMYGACFMGPKIDNGYVQPQKCVDDSIIKVRAERLDNQSEGGYYLAGFGTIHVTLNPQFSASAEPLCIRRIDDSFTVRSSVQVVAIDYERAASRYKEHIIFRRVNRKMLPPNIKTGFSVTWQHPNKYKQFCHAATVLWTNSILTAGCQHINDYQLSAQWPWAAKPAIVGSAIRNVNLPVVRKGCSAQVTLEGTSSSMANVQVSSANIPLARLNRIKQNLISQIKKERVARQETSPFQFVMIVDVKVGDEEPTLRVVQNKIYNKKWASDIFIEDVQSVLNETTERLDKLQYTNSQGFNNNIQPDLIKFSGHYYEKKQDFVLFHVLLGITVAVVTLSLVILVAIMWPKLNRSRTGFGVTRYMM
+>sp|Q9BTD3|TM121_HUMAN Transmembrane protein 121 OS=Homo sapiens OX=9606 GN=TMEM121 PE=2 SV=1
+MVLPPPDRRHVCLTTLVIMGSMAVMDAYLVEQNQGPRKIGVCIIVLVGDVCFLLVLRYVAVWVGAEVRTAKRGYAMILWFLYIFVLEIKLYFIFQNYKAARRGAADPVARKALTLLLSVCVPGLFLLLVALDRMEYVRTFRKREDLRGRLFWVALDLLDLLDMQASLWEPPRSGLPLWAEGLTFFYCYMLLLVLPCVALSEVSMQGEHIAPQKMMLYPVLSLATVNVVAVLARAANMALFRDSRVSAIFVGKNVVALATKACTFLEYRRQVRDFPPPALSLELQPPPPQRNSVPPPPPPLHGPPGRPHMSSPTRDPLDT
+>DECOY_sp|Q9BTD3|TM121_HUMAN Transmembrane protein 121 OS=Homo sapiens OX=9606 GN=TMEM121 PE=2 SV=1
+TDLPDRTPSSMHPRGPPGHLPPPPPPVSNRQPPPPQLELSLAPPPFDRVQRRYELFTCAKTALAVVNKGVFIASVRSDRFLAMNAARALVAVVNVTALSLVPYLMMKQPAIHEGQMSVESLAVCPLVLLLMYCYFFTLGEAWLPLGSRPPEWLSAQMDLLDLLDLAVWFLRGRLDERKRFTRVYEMRDLAVLLLFLGPVCVSLLLTLAKRAVPDAAGRRAAKYNQFIFYLKIELVFIYLFWLIMAYGRKATRVEAGVWVAVYRLVLLFCVDGVLVIICVGIKRPGQNQEVLYADMVAMSGMIVLTTLCVHRRDPPPLVM
+>sp|Q96AQ2|TM125_HUMAN Transmembrane protein 125 OS=Homo sapiens OX=9606 GN=TMEM125 PE=2 SV=1
+MSEQEAQAPGGRGLPPDMLAEQVELWWSQQPRRSALCFVVAVGLVAGCGAGGVALLSTTSSRSGEWRLATGTVLCLLALLVLVKQLMSSAVQDMNCIRQAHHVALLRSGGGADALVVLLSGLVLLVTGLTLAGLAAAPAPARPLAAMLSVGIALAALGSLLLLGLLLYQVGVSGHCPSICMATPSTHSGHGGHGSIFSISGQLSAGRRHETTSSIASLI
+>DECOY_sp|Q96AQ2|TM125_HUMAN Transmembrane protein 125 OS=Homo sapiens OX=9606 GN=TMEM125 PE=2 SV=1
+ILSAISSTTEHRRGASLQGSISFISGHGGHGSHTSPTAMCISPCHGSVGVQYLLLGLLLLSGLAALAIGVSLMAALPRAPAPAAALGALTLGTVLLVLGSLLVVLADAGGGSRLLAVHHAQRICNMDQVASSMLQKVLVLLALLCLVTGTALRWEGSRSSTTSLLAVGGAGCGAVLGVAVVFCLASRRPQQSWWLEVQEALMDPPLGRGGPAQAEQESM
+>sp|Q9H2Q1|TM133_HUMAN Transmembrane protein 133 OS=Homo sapiens OX=9606 GN=TMEM133 PE=2 SV=1
+MTSHHCVGPGNHISWSGHEKEHRLDYCPEVTFPLTKGFPLGYTLLFNFASYPFLLPSKIKTLLRNKDSFLNILCPACLLLIRRCNIEYSSTGLNFLNTFTVSLIVTVIPLLQNVPVPLGNNVGKMQVYE
+>DECOY_sp|Q9H2Q1|TM133_HUMAN Transmembrane protein 133 OS=Homo sapiens OX=9606 GN=TMEM133 PE=2 SV=1
+EYVQMKGVNNGLPVPVNQLLPIVTVILSVTFTNLFNLGTSSYEINCRRILLLCAPCLINLFSDKNRLLTKIKSPLLFPYSAFNFLLTYGLPFGKTLPFTVEPCYDLRHEKEHGSWSIHNGPGVCHHSTM
+>sp|Q8IV31|TM139_HUMAN Transmembrane protein 139 OS=Homo sapiens OX=9606 GN=TMEM139 PE=1 SV=1
+MVPMHLLGRLEKPLLLLCCASFLLGLALLGIKTDITPVAYFFLTLGGFFLFAYLLVRFLEWGLRSQLQSMQTESPGPSGNARDNEAFEVPVYEEAVVGLESQCRPQELDQPPPYSTVVIPPAPEEEQPSHPEGSRRAKLEQRRMASEGSMAQEGSPGRAPINLRLRGPRAVSTAPDLQSLAAVPTLEPLTPPPAYDVCFGHPDDDSVFYEDNWAPP
+>DECOY_sp|Q8IV31|TM139_HUMAN Transmembrane protein 139 OS=Homo sapiens OX=9606 GN=TMEM139 PE=1 SV=1
+PPAWNDEYFVSDDDPHGFCVDYAPPPTLPELTPVAALSQLDPATSVARPGRLRLNIPARGPSGEQAMSGESAMRRQELKARRSGEPHSPQEEEPAPPIVVTSYPPPQDLEQPRCQSELGVVAEEYVPVEFAENDRANGSPGPSETQMSQLQSRLGWELFRVLLYAFLFFGGLTLFFYAVPTIDTKIGLLALGLLFSACCLLLLPKELRGLLHMPVM
+>sp|Q9Y6G1|TM14A_HUMAN Transmembrane protein 14A OS=Homo sapiens OX=9606 GN=TMEM14A PE=1 SV=1
+MDLIGFGYAALVTFGSIFGYKRRGGVPSLIAGLFVGCLAGYGAYRVSNDKRDVKVSLFTAFFLATIMGVRFKRSKKIMPAGLVAGLSLMMILRLVLLLL
+>DECOY_sp|Q9Y6G1|TM14A_HUMAN Transmembrane protein 14A OS=Homo sapiens OX=9606 GN=TMEM14A PE=1 SV=1
+LLLLVLRLIMMLSLGAVLGAPMIKKSRKFRVGMITALFFATFLSVKVDRKDNSVRYAGYGALCGVFLGAILSPVGGRRKYGFISGFTVLAAYGFGILDM
+>sp|Q6UXP3|TM14E_HUMAN Transmembrane protein 14EP OS=Homo sapiens OX=9606 GN=TMEM14EP PE=5 SV=1
+MQMDPGPQVPLYWLGFVYAALAALGGISGYAKVGSVQSPSAGFFFSELAGLDASQPSRNPKEHLSSPVYIWDLARYYANKILTLWNIYACGFSCRCLLIVSKLGSMYGEQILSVVAMSQLGLMKN
+>DECOY_sp|Q6UXP3|TM14E_HUMAN Transmembrane protein 14EP OS=Homo sapiens OX=9606 GN=TMEM14EP PE=5 SV=1
+NKMLGLQSMAVVSLIQEGYMSGLKSVILLCRCSFGCAYINWLTLIKNAYYRALDWIYVPSSLHEKPNRSPQSADLGALESFFFGASPSQVSGVKAYGSIGGLAALAAYVFGLWYLPVQPGPDMQM
+>sp|Q4W5P6|TM155_HUMAN Protein TMEM155 OS=Homo sapiens OX=9606 GN=TMEM155 PE=2 SV=3
+MASDLIRTILAVALISKLGTAVDAELMPSGAILQNKRENLPRVCHALAFLGMARCQDLFLVRLQGWKLGTRFQDGPRSTPQEEGGSPQRKRGMPVQIHFLLKSFLSSPITFAFISLARTVSLATAICKIV
+>DECOY_sp|Q4W5P6|TM155_HUMAN Protein TMEM155 OS=Homo sapiens OX=9606 GN=TMEM155 PE=2 SV=3
+VIKCIATALSVTRALSIFAFTIPSSLFSKLLFHIQVPMGRKRQPSGGEEQPTSRPGDQFRTGLKWGQLRVLFLDQCRAMGLFALAHCVRPLNERKNQLIAGSPMLEADVATGLKSILAVALITRILDSAM
+>sp|Q5HYL7|TM196_HUMAN Transmembrane protein 196 OS=Homo sapiens OX=9606 GN=TMEM196 PE=2 SV=2
+MCTSGQIIGSLLVLSVLEIGLGVSSVAVGAVSFSLALREHKPQLGDSSPVWSGVCFLLCGICGILCAKKKSGLVMILFSACCICGLIGGILNFQFLRAVTKKTSSLYPLHLASMSLACIGIGGCTLSSWLTCRLASYEQRRMFSEREHSLHHSHEMAEKEITDNMSNGGPQLIFNGRV
+>DECOY_sp|Q5HYL7|TM196_HUMAN Transmembrane protein 196 OS=Homo sapiens OX=9606 GN=TMEM196 PE=2 SV=2
+VRGNFILQPGGNSMNDTIEKEAMEHSHHLSHERESFMRRQEYSALRCTLWSSLTCGGIGICALSMSALHLPYLSSTKKTVARLFQFNLIGGILGCICCASFLIMVLGSKKKACLIGCIGCLLFCVGSWVPSSDGLQPKHERLALSFSVAGVAVSSVGLGIELVSLVLLSGIIQGSTCM
+>sp|Q5SNT2|TM201_HUMAN Transmembrane protein 201 OS=Homo sapiens OX=9606 GN=TMEM201 PE=1 SV=1
+MEGVSALLARCPTAGLAGGLGVTACAAAGVLLYRIARRMKPTHTMVNCWFCNQDTLVPYGNRNCWDCPHCEQYNGFQENGDYNKPIPAQYLEHLNHVVSSAPSLRDPSQPQQWVSSQVLLCKRCNHHQTTKIKQLAAFAPREEGRYDEEVEVYRHHLEQMYKLCRPCQAAVEYYIKHQNRQLRALLLSHQFKRREADQTHAQNFSSAVKSPVQVILLRALAFLACAFLLTTALYGASGHFAPGTTVPLALPPGGNGSATPDNGTTPGAEGWRQLLGLLPEHMAEKLCEAWAFGQSHQTGVVALGLLTCLLAMLLAGRIRLRRIDAFCTCLWALLLGLHLAEQHLQAASPSWLDTLKFSTTSLCCLVGFTAAVATRKATGPRRFRPRRFFPGDSAGLFPTSPSLAIPHPSVGGSPASLFIPSPPSFLPLANQQLFRSPRRTSPSSLPGRLSRALSLGTIPSLTRADSGYLFSGSRPPSQVSRSGEFPVSDYFSLLSGSCPSSPLPSPAPSVAGSVASSSGSLRHRRPLISPARLNLKGQKLLLFPSPPGEAPTTPSSSDEHSPHNGSLFTMEPPHVPRKPPLQDVKHALDLRSKLERGSACSNRSIKKEDDSSQSSTCVVDTTTRGCSEEAATWRGRFGPSLVRGLLAVSLAANALFTSVFLYQSLR
+>DECOY_sp|Q5SNT2|TM201_HUMAN Transmembrane protein 201 OS=Homo sapiens OX=9606 GN=TMEM201 PE=1 SV=1
+RLSQYLFVSTFLANAALSVALLGRVLSPGFRGRWTAAEESCGRTTTDVVCTSSQSSDDEKKISRNSCASGRELKSRLDLAHKVDQLPPKRPVHPPEMTFLSGNHPSHEDSSSPTTPAEGPPSPFLLLKQGKLNLRAPSILPRRHRLSGSSSAVSGAVSPAPSPLPSSPCSGSLLSFYDSVPFEGSRSVQSPPRSGSFLYGSDARTLSPITGLSLARSLRGPLSSPSTRRPSRFLQQNALPLFSPPSPIFLSAPSGGVSPHPIALSPSTPFLGASDGPFFRRPRFRRPGTAKRTAVAATFGVLCCLSTTSFKLTDLWSPSAAQLHQEALHLGLLLAWLCTCFADIRRLRIRGALLMALLCTLLGLAVVGTQHSQGFAWAECLKEAMHEPLLGLLQRWGEAGPTTGNDPTASGNGGPPLALPVTTGPAFHGSAGYLATTLLFACALFALARLLIVQVPSKVASSFNQAHTQDAERRKFQHSLLLARLQRNQHKIYYEVAAQCPRCLKYMQELHHRYVEVEEDYRGEERPAFAALQKIKTTQHHNCRKCLLVQSSVWQQPQSPDRLSPASSVVHNLHELYQAPIPKNYDGNEQFGNYQECHPCDWCNRNGYPVLTDQNCFWCNVMTHTPKMRRAIRYLLVGAAACATVGLGGALGATPCRALLASVGEM
+>sp|Q6UWW9|TM207_HUMAN Transmembrane protein 207 OS=Homo sapiens OX=9606 GN=TMEM207 PE=1 SV=1
+MSRSRLFSVTSAISTIGILCLPLFQLVLSDLPCEEDEMCVNYNDQHPNGWYIWILLLLVLVAALLCGAVVLCLQCWLRRPRIDSHRRTMAVFAVGDLDSIYGTEAAVSPTVGIHLQTQTPDLYPVPAPCFGPLGSPPPYEEIVKTT
+>DECOY_sp|Q6UWW9|TM207_HUMAN Transmembrane protein 207 OS=Homo sapiens OX=9606 GN=TMEM207 PE=1 SV=1
+TTKVIEEYPPPSGLPGFCPAPVPYLDPTQTQLHIGVTPSVAAETGYISDLDGVAFVAMTRRHSDIRPRRLWCQLCLVVAGCLLAAVLVLLLLIWIYWGNPHQDNYNVCMEDEECPLDSLVLQFLPLCLIGITSIASTVSFLRSRSM
+>sp|P50591|TNF10_HUMAN Tumor necrosis factor ligand superfamily member 10 OS=Homo sapiens OX=9606 GN=TNFSF10 PE=1 SV=1
+MAMMEVQGGPSLGQTCVLIVIFTVLLQSLCVAVTYVYFTNELKQMQDKYSKSGIACFLKEDDSYWDPNDEESMNSPCWQVKWQLRQLVRKMILRTSEETISTVQEKQQNISPLVRERGPQRVAAHITGTRGRSNTLSSPNSKNEKALGRKINSWESSRSGHSFLSNLHLRNGELVIHEKGFYYIYSQTYFRFQEEIKENTKNDKQMVQYIYKYTSYPDPILLMKSARNSCWSKDAEYGLYSIYQGGIFELKENDRIFVSVTNEHLIDMDHEASFFGAFLVG
+>DECOY_sp|P50591|TNF10_HUMAN Tumor necrosis factor ligand superfamily member 10 OS=Homo sapiens OX=9606 GN=TNFSF10 PE=1 SV=1
+GVLFAGFFSAEHDMDILHENTVSVFIRDNEKLEFIGGQYISYLGYEADKSWCSNRASKMLLIPDPYSTYKYIYQVMQKDNKTNEKIEEQFRFYTQSYIYYFGKEHIVLEGNRLHLNSLFSHGSRSSEWSNIKRGLAKENKSNPSSLTNSRGRTGTIHAAVRQPGRERVLPSINQQKEQVTSITEESTRLIMKRVLQRLQWKVQWCPSNMSEEDNPDWYSDDEKLFCAIGSKSYKDQMQKLENTFYVYTVAVCLSQLLVTFIVILVCTQGLSPGGQVEMMAM
+>sp|O43508|TNF12_HUMAN Tumor necrosis factor ligand superfamily member 12 OS=Homo sapiens OX=9606 GN=TNFSF12 PE=1 SV=1
+MAARRSQRRRGRRGEPGTALLVPLALGLGLALACLGLLLAVVSLGSRASLSAQEPAQEELVAEEDQDPSELNPQTEESQDPAPFLNRLVRPRRSAPKGRKTRARRAIAAHYEVHPRPGQDGAQAGVDGTVSGWEEARINSSSPLRYNRQIGEFIVTRAGLYYLYCQVHFDEGKAVYLKLDLLVDGVLALRCLEEFSATAASSLGPQLRLCQVSGLLALRPGSSLRIRTLPWAHLKAAPFLTYFGLFQVH
+>DECOY_sp|O43508|TNF12_HUMAN Tumor necrosis factor ligand superfamily member 12 OS=Homo sapiens OX=9606 GN=TNFSF12 PE=1 SV=1
+HVQFLGFYTLFPAAKLHAWPLTRIRLSSGPRLALLGSVQCLRLQPGLSSAATASFEELCRLALVGDVLLDLKLYVAKGEDFHVQCYLYYLGARTVIFEGIQRNYRLPSSSNIRAEEWGSVTGDVGAQAGDQGPRPHVEYHAAIARRARTKRGKPASRRPRVLRNLFPAPDQSEETQPNLESPDQDEEAVLEEQAPEQASLSARSGLSVVALLLGLCALALGLGLALPVLLATGPEGRRGRRRQSRRAAM
+>sp|O43557|TNF14_HUMAN Tumor necrosis factor ligand superfamily member 14 OS=Homo sapiens OX=9606 GN=TNFSF14 PE=1 SV=2
+MEESVVRPSVFVVDGQTDIPFTRLGRSHRRQSCSVARVGLGLLLLLMGAGLAVQGWFLLQLHWRLGEMVTRLPDGPAGSWEQLIQERRSHEVNPAAHLTGANSSLTGSGGPLLWETQLGLAFLRGLSYHDGALVVTKAGYYYIYSKVQLGGVGCPLGLASTITHGLYKRTPRYPEELELLVSQQSPCGRATSSSRVWWDSSFLGGVVHLEAGEKVVVRVLDERLVRLRDGTRSYFGAFMV
+>DECOY_sp|O43557|TNF14_HUMAN Tumor necrosis factor ligand superfamily member 14 OS=Homo sapiens OX=9606 GN=TNFSF14 PE=1 SV=2
+VMFAGFYSRTGDRLRVLREDLVRVVVKEGAELHVVGGLFSSDWWVRSSSTARGCPSQQSVLLELEEPYRPTRKYLGHTITSALGLPCGVGGLQVKSYIYYYGAKTVVLAGDHYSLGRLFALGLQTEWLLPGGSGTLSSNAGTLHAAPNVEHSRREQILQEWSGAPGDPLRTVMEGLRWHLQLLFWGQVALGAGMLLLLLGLGVRAVSCSQRRHSRGLRTFPIDTQGDVVFVSPRVVSEEM
+>sp|O95407|TNF6B_HUMAN Tumor necrosis factor receptor superfamily member 6B OS=Homo sapiens OX=9606 GN=TNFRSF6B PE=1 SV=1
+MRALEGPGLSLLCLVLALPALLPVPAVRGVAETPTYPWRDAETGERLVCAQCPPGTFVQRPCRRDSPTTCGPCPPRHYTQFWNYLERCRYCNVLCGEREEEARACHATHNRACRCRTGFFAHAGFCLEHASCPPGAGVIAPGTPSQNTQCQPCPPGTFSASSSSSEQCQPHRNCTALGLALNVPGSSSHDTLCTSCTGFPLSTRVPGAEECERAVIDFVAFQDISIKRLQRLLQALEAPEGWGPTPRAGRAALQLKLRRRLTELLGAQDGALLVRLLQALRVARMPGLERSVRERFLPVH
+>DECOY_sp|O95407|TNF6B_HUMAN Tumor necrosis factor receptor superfamily member 6B OS=Homo sapiens OX=9606 GN=TNFRSF6B PE=1 SV=1
+HVPLFRERVSRELGPMRAVRLAQLLRVLLAGDQAGLLETLRRRLKLQLAARGARPTPGWGEPAELAQLLRQLRKISIDQFAVFDIVARECEEAGPVRTSLPFGTCSTCLTDHSSSGPVNLALGLATCNRHPQCQESSSSSASFTGPPCPQCQTNQSPTGPAIVGAGPPCSAHELCFGAHAFFGTRCRCARNHTAHCARAEEEREGCLVNCYRCRELYNWFQTYHRPPCPGCTTPSDRRCPRQVFTGPPCQACVLREGTEADRWPYTPTEAVGRVAPVPLLAPLALVLCLLSLGPGELARM
+>sp|Q9HAV5|TNR27_HUMAN Tumor necrosis factor receptor superfamily member 27 OS=Homo sapiens OX=9606 GN=EDA2R PE=1 SV=2
+MDCQENEYWDQWGRCVTCQRCGPGQELSKDCGYGEGGDAYCTACPPRRYKSSWGHHRCQSCITCAVINRVQKVNCTATSNAVCGDCLPRFYRKTRIGGLQDQECIPCTKQTPTSEVQCAFQLSLVEADTPTVPPQEATLVALVSSLLVVFTLAFLGLFFLYCKQFFNRHCQRGGLLQFEADKTAKEESLFPVPPSKETSAESQVSENIFQTQPLNPILEDDCSSTSGFPTQESFTMASCTSESHSHWVHSPIECTELDLQKFSSSASYTGAETLGGNTVESTGDRLELNVPFEVPSP
+>DECOY_sp|Q9HAV5|TNR27_HUMAN Tumor necrosis factor receptor superfamily member 27 OS=Homo sapiens OX=9606 GN=EDA2R PE=1 SV=2
+PSPVEFPVNLELRDGTSEVTNGGLTEAGTYSASSSFKQLDLETCEIPSHVWHSHSESTCSAMTFSEQTPFGSTSSCDDELIPNLPQTQFINESVQSEASTEKSPPVPFLSEEKATKDAEFQLLGGRQCHRNFFQKCYLFFLGLFALTFVVLLSSVLAVLTAEQPPVTPTDAEVLSLQFACQVESTPTQKTCPICEQDQLGGIRTKRYFRPLCDGCVANSTATCNVKQVRNIVACTICSQCRHHGWSSKYRRPPCATCYADGGEGYGCDKSLEQGPGCRQCTVCRGWQDWYENEQCDM
+>sp|P43489|TNR4_HUMAN Tumor necrosis factor receptor superfamily member 4 OS=Homo sapiens OX=9606 GN=TNFRSF4 PE=1 SV=1
+MCVGARRLGRGPCAALLLLGLGLSTVTGLHCVGDTYPSNDRCCHECRPGNGMVSRCSRSQNTVCRPCGPGFYNDVVSSKPCKPCTWCNLRSGSERKQLCTATQDTVCRCRAGTQPLDSYKPGVDCAPCPPGHFSPGDNQACKPWTNCTLAGKHTLQPASNSSDAICEDRDPPATQPQETQGPPARPITVQPTEAWPRTSQGPSTRPVEVPGGRAVAAILGLGLVLGLLGPLAILLALYLLRRDQRLPPDAHKPPGGGSFRTPIQEEQADAHSTLAKI
+>DECOY_sp|P43489|TNR4_HUMAN Tumor necrosis factor receptor superfamily member 4 OS=Homo sapiens OX=9606 GN=TNFRSF4 PE=1 SV=1
+IKALTSHADAQEEQIPTRFSGGGPPKHADPPLRQDRRLLYLALLIALPGLLGLVLGLGLIAAVARGGPVEVPRTSPGQSTRPWAETPQVTIPRAPPGQTEQPQTAPPDRDECIADSSNSAPQLTHKGALTCNTWPKCAQNDGPSFHGPPCPACDVGPKYSDLPQTGARCRCVTDQTATCLQKRESGSRLNCWTCPKCPKSSVVDNYFGPGCPRCVTNQSRSCRSVMGNGPRCEHCCRDNSPYTDGVCHLGTVTSLGLGLLLLAACPGRGLRRAGVCM
+>sp|Q96GM8|TOE1_HUMAN Target of EGR1 protein 1 OS=Homo sapiens OX=9606 GN=TOE1 PE=1 SV=1
+MAADSDDGAVSAPAASDGGVSKSTTSGEELVVQVPVVDVQSNNFKEMWPSLLLAIKTANFVAVDTELSGLGDRKSLLNQCIEERYKAVCHAARTRSILSLGLACFKRQPDKGEHSYLAQVFNLTLLCMEEYVIEPKSVQFLIQHGFNFNQQYAQGIPYHKGNDKGDESQSQSVRTLFLELIRARRPLVLHNGLIDLVFLYQNFYAHLPESLGTFTADLCEMFPAGIYDTKYAAEFHARFVASYLEYAFRKCERENGKQRAAGSPHLTLEFCNYPSSMRDHIDYRCCLPPATHRPHPTSICDNFSAYGWCPLGPQCPQSHDIDLIIDTDEAAAEDKRRRRRRREKRKRALLNLPGTQTSGEAKDGPPKKQVCGDSIKPEETEQEVAADETRNLPHSKQGNKNDLEMGIKAARPEIADRATSEVPGSQASPNPVPGDGLHRAGFDAFMTGYVMAYVEVSQGPQPCSSGPWLPECHNKVYLSGKAVPLTVAKSQFSRSSKAHNQKMKLTWGSS
+>DECOY_sp|Q96GM8|TOE1_HUMAN Target of EGR1 protein 1 OS=Homo sapiens OX=9606 GN=TOE1 PE=1 SV=1
+SSGWTLKMKQNHAKSSRSFQSKAVTLPVAKGSLYVKNHCEPLWPGSSCPQPGQSVEVYAMVYGTMFADFGARHLGDGPVPNPSAQSGPVESTARDAIEPRAAKIGMELDNKNGQKSHPLNRTEDAAVEQETEEPKISDGCVQKKPPGDKAEGSTQTGPLNLLARKRKERRRRRRRKDEAAAEDTDIILDIDHSQPCQPGLPCWGYASFNDCISTPHPRHTAPPLCCRYDIHDRMSSPYNCFELTLHPSGAARQKGNERECKRFAYELYSAVFRAHFEAAYKTDYIGAPFMECLDATFTGLSEPLHAYFNQYLFVLDILGNHLVLPRRARILELFLTRVSQSQSEDGKDNGKHYPIGQAYQQNFNFGHQILFQVSKPEIVYEEMCLLTLNFVQALYSHEGKDPQRKFCALGLSLISRTRAAHCVAKYREEICQNLLSKRDGLGSLETDVAVFNATKIALLLSPWMEKFNNSQVDVVPVQVVLEEGSTTSKSVGGDSAAPASVAGDDSDAAM
+>sp|Q8N4H5|TOM5_HUMAN Mitochondrial import receptor subunit TOM5 homolog OS=Homo sapiens OX=9606 GN=TOMM5 PE=1 SV=1
+MFRIEGLAPKLDPEEMKRKMREDVISSIRNFLIYVALLRVTPFILKKLDSI
+>DECOY_sp|Q8N4H5|TOM5_HUMAN Mitochondrial import receptor subunit TOM5 homolog OS=Homo sapiens OX=9606 GN=TOMM5 PE=1 SV=1
+ISDLKKLIFPTVRLLAVYILFNRISSIVDERMKRKMEEPDLKPALGEIRFM
+>sp|Q9P0U1|TOM7_HUMAN Mitochondrial import receptor subunit TOM7 homolog OS=Homo sapiens OX=9606 GN=TOMM7 PE=1 SV=1
+MVKLSKEAKQRLQQLFKGSQFAIRWGFIPLVIYLGFKRGADPGMPEPTVLSLLWG
+>DECOY_sp|Q9P0U1|TOM7_HUMAN Mitochondrial import receptor subunit TOM7 homolog OS=Homo sapiens OX=9606 GN=TOMM7 PE=1 SV=1
+GWLLSLVTPEPMGPDAGRKFGLYIVLPIFGWRIAFQSGKFLQQLRQKAEKSLKVM
+>sp|P09493|TPM1_HUMAN Tropomyosin alpha-1 chain OS=Homo sapiens OX=9606 GN=TPM1 PE=1 SV=2
+MDAIKKKMQMLKLDKENALDRAEQAEADKKAAEDRSKQLEDELVSLQKKLKGTEDELDKYSEALKDAQEKLELAEKKATDAEADVASLNRRIQLVEEELDRAQERLATALQKLEEAEKAADESERGMKVIESRAQKDEEKMEIQEIQLKEAKHIAEDADRKYEEVARKLVIIESDLERAEERAELSEGKCAELEEELKTVTNNLKSLEAQAEKYSQKEDRYEEEIKVLSDKLKEAETRAEFAERSVTKLEKSIDDLEDELYAQKLKYKAISEELDHALNDMTSI
+>DECOY_sp|P09493|TPM1_HUMAN Tropomyosin alpha-1 chain OS=Homo sapiens OX=9606 GN=TPM1 PE=1 SV=2
+ISTMDNLAHDLEESIAKYKLKQAYLEDELDDISKELKTVSREAFEARTEAEKLKDSLVKIEEEYRDEKQSYKEAQAELSKLNNTVTKLEEELEACKGESLEAREEARELDSEIIVLKRAVEEYKRDADEAIHKAEKLQIEQIEMKEEDKQARSEIVKMGRESEDAAKEAEELKQLATALREQARDLEEEVLQIRRNLSAVDAEADTAKKEALELKEQADKLAESYKDLEDETGKLKKQLSVLEDELQKSRDEAAKKDAEAQEARDLANEKDLKLMQMKKKIADM
+>sp|P12270|TPR_HUMAN Nucleoprotein TPR OS=Homo sapiens OX=9606 GN=TPR PE=1 SV=3
+MAAVLQQVLERTELNKLPKSVQNKLEKFLADQQSEIDGLKGRHEKFKVESEQQYFEIEKRLSHSQERLVNETRECQSLRLELEKLNNQLKALTEKNKELEIAQDRNIAIQSQFTRTKEELEAEKRDLIRTNERLSQELEYLTEDVKRLNEKLKESNTTKGELQLKLDELQASDVSVKYREKRLEQEKELLHSQNTWLNTELKTKTDELLALGREKGNEILELKCNLENKKEEVSRLEEQMNGLKTSNEHLQKHVEDLLTKLKEAKEQQASMEEKFHNELNAHIKLSNLYKSAADDSEAKSNELTRAVEELHKLLKEAGEANKAIQDHLLEVEQSKDQMEKEMLEKIGRLEKELENANDLLSATKRKGAILSEEELAAMSPTAAAVAKIVKPGMKLTELYNAYVETQDQLLLEKLENKRINKYLDEIVKEVEAKAPILKRQREEYERAQKAVASLSVKLEQAMKEIQRLQEDTDKANKQSSVLERDNRRMEIQVKDLSQQIRVLLMELEEARGNHVIRDEEVSSADISSSSEVISQHLVSYRNIEELQQQNQRLLVALRELGETREREEQETTSSKITELQLKLESALTELEQLRKSRQHQMQLVDSIVRQRDMYRILLSQTTGVAIPLHASSLDDVSLASTPKRPSTSQTVSTPAPVPVIESTEAIEAKAALKQLQEIFENYKKEKAENEKIQNEQLEKLQEQVTDLRSQNTKISTQLDFASKRYEMLQDNVEGYRREITSLHERNQKLTATTQKQEQIINTMTQDLRGANEKLAVAEVRAENLKKEKEMLKLSEVRLSQQRESLLAEQRGQNLLLTNLQTIQGILERSETETKQRLSSQIEKLEHEISHLKKKLENEVEQRHTLTRNLDVQLLDTKRQLDTETNLHLNTKELLKNAQKEIATLKQHLSNMEVQVASQSSQRTGKGQPSNKEDVDDLVSQLRQTEEQVNDLKERLKTSTSNVEQYQAMVTSLEESLNKEKQVTEEVRKNIEVRLKESAEFQTQLEKKLMEVEKEKQELQDDKRRAIESMEQQLSELKKTLSSVQNEVQEALQRASTALSNEQQARRDCQEQAKIAVEAQNKYERELMLHAADVEALQAAKEQVSKMASVRQHLEETTQKAESQLLECKASWEERERMLKDEVSKCVCRCEDLEKQNRLLHDQIEKLSDKVVASVKEGVQGPLNVSLSEEGKSQEQILEILRFIRREKEIAETRFEVAQVESLRYRQRVELLERELQELQDSLNAEREKVQVTAKTMAQHEELMKKTETMNVVMETNKMLREEKERLEQDLQQMQAKVRKLELDILPLQEANAELSEKSGMLQAEKKLLEEDVKRWKARNQHLVSQQKDPDTEEYRKLLSEKEVHTKRIQQLTEEIGRLKAEIARSNASLTNNQNLIQSLKEDLNKVRTEKETIQKDLDAKIIDIQEKVKTITQVKKIGRRYKTQYEELKAQQDKVMETSAQSSGDHQEQHVSVQEMQELKETLNQAETKSKSLESQVENLQKTLSEKETEARNLQEQTVQLQSELSRLRQDLQDRTTQEEQLRQQITEKEEKTRKAIVAAKSKIAHLAGVKDQLTKENEELKQRNGALDQQKDELDVRITALKSQYEGRISRLERELREHQERHLEQRDEPQEPSNKVPEQQRQITLKTTPASGERGIASTSDPPTANIKPTPVVSTPSKVTAAAMAGNKSTPRASIRPMVTPATVTNPTTTPTATVMPTTQVESQEAMQSEGPVEHVPVFGSTSGSVRSTSPNVQPSISQPILTVQQQTQATAFVQPTQQSHPQIEPANQELSSNIVEVVQSSPVERPSTSTAVFGTVSATPSSSLPKRTREEEEDSTIEASDQVSDDTVEMPLPKKLKSVTPVGTEEEVMAEESTDGEVETQVYNQDSQDSIGEGVTQGDYTPMEDSEETSQSLQIDLGPLQSDQQTTTSSQDGQGKGDDVIVIDSDDEEEDDDENDGEHEDYEEDEEDDDDDEDDTGMGDEGEDSNEGTGSADGNDGYEADDAEGGDGTDPGTETEESMGGGEGNHRAADSQNSGEGNTGAAESSFSQEVSREQQPSSASERQAPRAPQSPRRPPHPLPPRLTIHAPPQELGPPVQRIQMTRRQSVGRGLQLTPGIGGMQQHFFDDEDRTVPSTPTLVVPHRTDGFAEAIHSPQVAGVPRFRFGPPEDMPQTSSSHSDLGQLASQGGLGMYETPLFLAHEEESGGRSVPTTPLQVAAPVTVFTESTTSDASEHASQSVPMVTTSTGTLSTTNETATGDDGDEVFVEAESEGISSEAGLEIDSQQEEEPVQASDESDLPSTSQDPPSSSSVDTSSSQPKPFRRVRLQTTLRQGVRGRQFNRQRGVSHAMGGRGGINRGNIN
+>DECOY_sp|P12270|TPR_HUMAN Nucleoprotein TPR OS=Homo sapiens OX=9606 GN=TPR PE=1 SV=3
+NINGRNIGGRGGMAHSVGRQRNFQRGRVGQRLTTQLRVRRFPKPQSSSTDVSSSSPPDQSTSPLDSEDSAQVPEEEQQSDIELGAESSIGESEAEVFVEDGDDGTATENTTSLTGTSTTVMPVSQSAHESADSTTSETFVTVPAAVQLPTTPVSRGGSEEEHALFLPTEYMGLGGQSALQGLDSHSSSTQPMDEPPGFRFRPVGAVQPSHIAEAFGDTRHPVVLTPTSPVTRDEDDFFHQQMGGIGPTLQLGRGVSQRRTMQIRQVPPGLEQPPAHITLRPPLPHPPRRPSQPARPAQRESASSPQQERSVEQSFSSEAAGTNGEGSNQSDAARHNGEGGGMSEETETGPDTGDGGEADDAEYGDNGDASGTGENSDEGEDGMGTDDEDDDDDEEDEEYDEHEGDNEDDDEEEDDSDIVIVDDGKGQGDQSSTTTQQDSQLPGLDIQLSQSTEESDEMPTYDGQTVGEGISDQSDQNYVQTEVEGDTSEEAMVEEETGVPTVSKLKKPLPMEVTDDSVQDSAEITSDEEEERTRKPLSSSPTASVTGFVATSTSPREVPSSQVVEVINSSLEQNAPEIQPHSQQTPQVFATAQTQQQVTLIPQSISPQVNPSTSRVSGSTSGFVPVHEVPGESQMAEQSEVQTTPMVTATPTTTPNTVTAPTVMPRISARPTSKNGAMAAATVKSPTSVVPTPKINATPPDSTSAIGREGSAPTTKLTIQRQQEPVKNSPEQPEDRQELHREQHERLERELRSIRGEYQSKLATIRVDLEDKQQDLAGNRQKLEENEKTLQDKVGALHAIKSKAAVIAKRTKEEKETIQQRLQEEQTTRDQLDQRLRSLESQLQVTQEQLNRAETEKESLTKQLNEVQSELSKSKTEAQNLTEKLEQMEQVSVHQEQHDGSSQASTEMVKDQQAKLEEYQTKYRRGIKKVQTITKVKEQIDIIKADLDKQITEKETRVKNLDEKLSQILNQNNTLSANSRAIEAKLRGIEETLQQIRKTHVEKESLLKRYEETDPDKQQSVLHQNRAKWRKVDEELLKKEAQLMGSKESLEANAEQLPLIDLELKRVKAQMQQLDQELREKEERLMKNTEMVVNMTETKKMLEEHQAMTKATVQVKEREANLSDQLEQLERELLEVRQRYRLSEVQAVEFRTEAIEKERRIFRLIELIQEQSKGEESLSVNLPGQVGEKVSAVVKDSLKEIQDHLLRNQKELDECRCVCKSVEDKLMREREEWSAKCELLQSEAKQTTEELHQRVSAMKSVQEKAAQLAEVDAAHLMLEREYKNQAEVAIKAQEQCDRRAQQENSLATSARQLAEQVENQVSSLTKKLESLQQEMSEIARRKDDQLEQKEKEVEMLKKELQTQFEASEKLRVEINKRVEETVQKEKNLSEELSTVMAQYQEVNSTSTKLREKLDNVQEETQRLQSVLDDVDEKNSPQGKGTRQSSQSAVQVEMNSLHQKLTAIEKQANKLLEKTNLHLNTETDLQRKTDLLQVDLNRTLTHRQEVENELKKKLHSIEHELKEIQSSLRQKTETESRELIGQITQLNTLLLNQGRQEALLSERQQSLRVESLKLMEKEKKLNEARVEAVALKENAGRLDQTMTNIIQEQKQTTATLKQNREHLSTIERRYGEVNDQLMEYRKSAFDLQTSIKTNQSRLDTVQEQLKELQENQIKENEAKEKKYNEFIEQLQKLAAKAEIAETSEIVPVPAPTSVTQSTSPRKPTSALSVDDLSSAHLPIAVGTTQSLLIRYMDRQRVISDVLQMQHQRSKRLQELETLASELKLQLETIKSSTTEQEERERTEGLERLAVLLRQNQQQLEEINRYSVLHQSIVESSSSIDASSVEEDRIVHNGRAEELEMLLVRIQQSLDKVQIEMRRNDRELVSSQKNAKDTDEQLRQIEKMAQELKVSLSAVAKQAREYEERQRKLIPAKAEVEKVIEDLYKNIRKNELKELLLQDQTEVYANYLETLKMGPKVIKAVAAATPSMAALEEESLIAGKRKTASLLDNANELEKELRGIKELMEKEMQDKSQEVELLHDQIAKNAEGAEKLLKHLEEVARTLENSKAESDDAASKYLNSLKIHANLENHFKEEMSAQQEKAEKLKTLLDEVHKQLHENSTKLGNMQEELRSVEEKKNELNCKLELIENGKERGLALLEDTKTKLETNLWTNQSHLLEKEQELRKERYKVSVDSAQLEDLKLQLEGKTTNSEKLKENLRKVDETLYELEQSLRENTRILDRKEAELEEKTRTFQSQIAINRDQAIELEKNKETLAKLQNNLKELELRLSQCERTENVLREQSHSLRKEIEFYQQESEVKFKEHRGKLGDIESQQDALFKELKNQVSKPLKNLETRELVQQLVAAM
+>sp|O60507|TPST1_HUMAN Protein-tyrosine sulfotransferase 1 OS=Homo sapiens OX=9606 GN=TPST1 PE=1 SV=1
+MVGKLKQNLLLACLVISSVTVFYLGQHAMECHHRIEERSQPVKLESTRTTVRTGLDLKANKTFAYHKDMPLIFIGGVPRSGTTLMRAMLDAHPDIRCGEETRVIPRILALKQMWSRSSKEKIRLDEAGVTDEVLDSAMQAFLLEIIVKHGEPAPYLCNKDPFALKSLTYLSRLFPNAKFLLMVRDGRASVHSMISRKVTIAGFDLNSYRDCLTKWNRAIETMYNQCMEVGYKKCMLVHYEQLVLHPERWMRTLLKFLQIPWNHSVLHHEEMIGKAGGVSLSKVERSTDQVIKPVNVGALSKWVGKIPPDVLQDMAVIAPMLAKLGYDPYANPPNYGKPDPKIIENTRRVYKGEFQLPDFLKEKPQTEQVE
+>DECOY_sp|O60507|TPST1_HUMAN Protein-tyrosine sulfotransferase 1 OS=Homo sapiens OX=9606 GN=TPST1 PE=1 SV=1
+EVQETQPKEKLFDPLQFEGKYVRRTNEIIKPDPKGYNPPNAYPDYGLKALMPAIVAMDQLVDPPIKGVWKSLAGVNVPKIVQDTSREVKSLSVGGAKGIMEEHHLVSHNWPIQLFKLLTRMWREPHLVLQEYHVLMCKKYGVEMCQNYMTEIARNWKTLCDRYSNLDFGAITVKRSIMSHVSARGDRVMLLFKANPFLRSLYTLSKLAFPDKNCLYPAPEGHKVIIELLFAQMASDLVEDTVGAEDLRIKEKSSRSWMQKLALIRPIVRTEEGCRIDPHADLMARMLTTGSRPVGGIFILPMDKHYAFTKNAKLDLGTRVTTRTSELKVPQSREEIRHHCEMAHQGLYFVTVSSIVLCALLLNQKLKGVM
+>sp|Q9ULW0|TPX2_HUMAN Targeting protein for Xklp2 OS=Homo sapiens OX=9606 GN=TPX2 PE=1 SV=2
+MSQVKSSYSYDAPSDFINFSSLDDEGDTQNIDSWFEEKANLENKLLGKNGTGGLFQGKTPLRKANLQQAIVTPLKPVDNTYYKEAEKENLVEQSIPSNACSSLEVEAAISRKTPAQPQRRSLRLSAQKDLEQKEKHHVKMKAKRCATPVIIDEILPSKKMKVSNNKKKPEEEGSAHQDTAEKNASSPEKAKGRHTVPCMPPAKQKFLKSTEEQELEKSMKMQQEVVEMRKKNEEFKKLALAGIGQPVKKSVSQVTKSVDFHFRTDERIKQHPKNQEEYKEVNFTSELRKHPSSPARVTKGCTIVKPFNLSQGKKRTFDETVSTYVPLAQQVEDFHKRTPNRYHLRSKKDDINLLPSKSSVTKICRDPQTPVLQTKHRARAVTCKSTAELEAEELEKLQQYKFKARELDPRILEGGPILPKKPPVKPPTEPIGFDLEIEKRIQERESKKKTEDEHFEFHSRPCPTKILEDVVGVPEKKVLPITVPKSPAFALKNRIRMPTKEDEEEDEPVVIKAQPVPHYGVPFKPQIPEARTVEICPFSFDSRDKERQLQKEKKIKELQKGEVPKFKALPLPHFDTINLPEKKVKNVTQIEPFCLETDRRGALKAQTWKHQLEEELRQQKEAACFKARPNTVISQEPFVPKKEKKSVAEGLSGSLVQEPFQLATEKRAKERQELEKRMAEVEAQKAQQLEEARLQEEEQKKEELARLRRELVHKANPIRKYQGLEIKSSDQPLTVPVSPKFSTRFHC
+>DECOY_sp|Q9ULW0|TPX2_HUMAN Targeting protein for Xklp2 OS=Homo sapiens OX=9606 GN=TPX2 PE=1 SV=2
+CHFRTSFKPSVPVTLPQDSSKIELGQYKRIPNAKHVLERRLRALEEKKQEEEQLRAEELQQAKQAEVEAMRKELEQREKARKETALQFPEQVLSGSLGEAVSKKEKKPVFPEQSIVTNPRAKFCAAEKQQRLEEELQHKWTQAKLAGRRDTELCFPEIQTVNKVKKEPLNITDFHPLPLAKFKPVEGKQLEKIKKEKQLQREKDRSDFSFPCIEVTRAEPIQPKFPVGYHPVPQAKIVVPEDEEEDEKTPMRIRNKLAFAPSKPVTIPLVKKEPVGVVDELIKTPCPRSHFEFHEDETKKKSEREQIRKEIELDFGIPETPPKVPPKKPLIPGGELIRPDLERAKFKYQQLKELEEAELEATSKCTVARARHKTQLVPTQPDRCIKTVSSKSPLLNIDDKKSRLHYRNPTRKHFDEVQQALPVYTSVTEDFTRKKGQSLNFPKVITCGKTVRAPSSPHKRLESTFNVEKYEEQNKPHQKIREDTRFHFDVSKTVQSVSKKVPQGIGALALKKFEENKKRMEVVEQQMKMSKELEQEETSKLFKQKAPPMCPVTHRGKAKEPSSANKEATDQHASGEEEPKKKNNSVKMKKSPLIEDIIVPTACRKAKMKVHHKEKQELDKQASLRLSRRQPQAPTKRSIAAEVELSSCANSPISQEVLNEKEAEKYYTNDVPKLPTVIAQQLNAKRLPTKGQFLGGTGNKGLLKNELNAKEEFWSDINQTDGEDDLSSFNIFDSPADYSYSSKVQSM
+>sp|O00220|TR10A_HUMAN Tumor necrosis factor receptor superfamily member 10A OS=Homo sapiens OX=9606 GN=TNFRSF10A PE=1 SV=3
+MAPPPARVHLGAFLAVTPNPGSAASGTEAAAATPSKVWGSSAGRIEPRGGGRGALPTSMGQHGPSARARAGRAPGPRPAREASPRLRVHKTFKFVVVGVLLQVVPSSAATIKLHDQSIGTQQWEHSPLGELCPPGSHRSEHPGACNRCTEGVGYTNASNNLFACLPCTACKSDEEERSPCTTTRNTACQCKPGTFRNDNSAEMCRKCSRGCPRGMVKVKDCTPWSDIECVHKESGNGHNIWVILVVTLVVPLLLVAVLIVCCCIGSGCGGDPKCMDRVCFWRLGLLRGPGAEDNAHNEILSNADSLSTFVSEQQMESQEPADLTGVTVQSPGEAQCLLGPAEAEGSQRRRLLVPANGADPTETLMLFFDKFANIVPFDSWDQLMRQLDLTKNEIDVVRAGTAGPGDALYAMLMKWVNKTGRNASIHTLLDALERMEERHAREKIQDLLVDSGKFIYLEDGTGSAVSLE
+>DECOY_sp|O00220|TR10A_HUMAN Tumor necrosis factor receptor superfamily member 10A OS=Homo sapiens OX=9606 GN=TNFRSF10A PE=1 SV=3
+ELSVASGTGDELYIFKGSDVLLDQIKERAHREEMRELADLLTHISANRGTKNVWKMLMAYLADGPGATGARVVDIENKTLDLQRMLQDWSDFPVINAFKDFFLMLTETPDAGNAPVLLRRRQSGEAEAPGLLCQAEGPSQVTVGTLDAPEQSEMQQESVFTSLSDANSLIENHANDEAGPGRLLGLRWFCVRDMCKPDGGCGSGICCCVILVAVLLLPVVLTVVLIVWINHGNGSEKHVCEIDSWPTCDKVKVMGRPCGRSCKRCMEASNDNRFTGPKCQCATNRTTTCPSREEEDSKCATCPLCAFLNNSANTYGVGETCRNCAGPHESRHSGPPCLEGLPSHEWQQTGISQDHLKITAASSPVVQLLVGVVVFKFTKHVRLRPSAERAPRPGPARGARARASPGHQGMSTPLAGRGGGRPEIRGASSGWVKSPTAAAAETGSAASGPNPTVALFAGLHVRAPPPAM
+>sp|Q9UI30|TR112_HUMAN Multifunctional methyltransferase subunit TRM112-like protein OS=Homo sapiens OX=9606 GN=TRMT112 PE=1 SV=1
+MKLLTHNLLSSHVRGVGSRGFPLRLQATEVRICPVEFNPNFVARMIPKVEWSAFLEAADNLRLIQVPKGPVEGYEENEEFLRTMHHLLLEVEVIEGTLQCPESGRMFPISRGIPNMLLSEEETES
+>DECOY_sp|Q9UI30|TR112_HUMAN Multifunctional methyltransferase subunit TRM112-like protein OS=Homo sapiens OX=9606 GN=TRMT112 PE=1 SV=1
+SETEEESLLMNPIGRSIPFMRGSEPCQLTGEIVEVELLLHHMTRLFEENEEYGEVPGKPVQILRLNDAAELFASWEVKPIMRAVFNPNFEVPCIRVETAQLRLPFGRSGVGRVHSSLLNHTLLKM
+>sp|Q9BQ50|TREX2_HUMAN Three prime repair exonuclease 2 OS=Homo sapiens OX=9606 GN=TREX2 PE=1 SV=1
+MGRAGSPLPRSSWPRMDDCGSRSRCSPTLCSSLRTCYPRGNITMSEAPRAETFVFLDLEATGLPSVEPEIAELSLFAVHRSSLENPEHDESGALVLPRVLDKLTLCMCPERPFTAKASEITGLSSEGLARCRKAGFDGAVVRTLQAFLSRQAGPICLVAHNGFDYDFPLLCAELRRLGARLPRDTVCLDTLPALRGLDRAHSHGTRARGRQGYSLGSLFHRYFRAEPSAAHSAEGDVHTLLLIFLHRAAELLAWADEQARGWAHIEPMYLPPDDPSLEA
+>DECOY_sp|Q9BQ50|TREX2_HUMAN Three prime repair exonuclease 2 OS=Homo sapiens OX=9606 GN=TREX2 PE=1 SV=1
+AELSPDDPPLYMPEIHAWGRAQEDAWALLEAARHLFILLLTHVDGEASHAASPEARFYRHFLSGLSYGQRGRARTGHSHARDLGRLAPLTDLCVTDRPLRAGLRRLEACLLPFDYDFGNHAVLCIPGAQRSLFAQLTRVVAGDFGAKRCRALGESSLGTIESAKATFPREPCMCLTLKDLVRPLVLAGSEDHEPNELSSRHVAFLSLEAIEPEVSPLGTAELDLFVFTEARPAESMTINGRPYCTRLSSCLTPSCRSRSGCDDMRPWSSRPLPSGARGM
+>sp|P08582|TRFM_HUMAN Melanotransferrin OS=Homo sapiens OX=9606 GN=MELTF PE=1 SV=2
+MRGPSGALWLLLALRTVLGGMEVRWCATSDPEQHKCGNMSEAFREAGIQPSLLCVRGTSADHCVQLIAAQEADAITLDGGAIYEAGKEHGLKPVVGEVYDQEVGTSYYAVAVVRRSSHVTIDTLKGVKSCHTGINRTVGWNVPVGYLVESGRLSVMGCDVLKAVSDYFGGSCVPGAGETSYSESLCRLCRGDSSGEGVCDKSPLERYYDYSGAFRCLAEGAGDVAFVKHSTVLENTDGKTLPSWGQALLSQDFELLCRDGSRADVTEWRQCHLARVPAHAVVVRADTDGGLIFRLLNEGQRLFSHEGSSFQMFSSEAYGQKDLLFKDSTSELVPIATQTYEAWLGHEYLHAMKGLLCDPNRLPPYLRWCVLSTPEIQKCGDMAVAFRRQRLKPEIQCVSAKSPQHCMERIQAEQVDAVTLSGEDIYTAGKTYGLVPAAGEHYAPEDSSNSYYVVAVVRRDSSHAFTLDELRGKRSCHAGFGSPAGWDVPVGALIQRGFIRPKDCDVLTAVSEFFNASCVPVNNPKNYPSSLCALCVGDEQGRNKCVGNSQERYYGYRGAFRCLVENAGDVAFVRHTTVFDNTNGHNSEPWAAELRSEDYELLCPNGARAEVSQFAACNLAQIPPHAVMVRPDTNIFTVYGLLDKAQDLFGDDHNKNGFKMFDSSNYHGQDLLFKDATVRAVPVGEKTTYRGWLGLDYVAALEGMSSQQCSGAAAPAPGAPLLPLLLPALAARLLPPAL
+>DECOY_sp|P08582|TRFM_HUMAN Melanotransferrin OS=Homo sapiens OX=9606 GN=MELTF PE=1 SV=2
+LAPPLLRAALAPLLLPLLPAGPAPAAAGSCQQSSMGELAAVYDLGLWGRYTTKEGVPVARVTADKFLLDQGHYNSSDFMKFGNKNHDDGFLDQAKDLLGYVTFINTDPRVMVAHPPIQALNCAAFQSVEARAGNPCLLEYDESRLEAAWPESNHGNTNDFVTTHRVFAVDGANEVLCRFAGRYGYYREQSNGVCKNRGQEDGVCLACLSSPYNKPNNVPVCSANFFESVATLVDCDKPRIFGRQILAGVPVDWGAPSGFGAHCSRKGRLEDLTFAHSSDRRVVAVVYYSNSSDEPAYHEGAAPVLGYTKGATYIDEGSLTVADVQEAQIREMCHQPSKASVCQIEPKLRQRRFAVAMDGCKQIEPTSLVCWRLYPPLRNPDCLLGKMAHLYEHGLWAEYTQTAIPVLESTSDKFLLDKQGYAESSFMQFSSGEHSFLRQGENLLRFILGGDTDARVVVAHAPVRALHCQRWETVDARSGDRCLLEFDQSLLAQGWSPLTKGDTNELVTSHKVFAVDGAGEALCRFAGSYDYYRELPSKDCVGEGSSDGRCLRCLSESYSTEGAGPVCSGGFYDSVAKLVDCGMVSLRGSEVLYGVPVNWGVTRNIGTHCSKVGKLTDITVHSSRRVVAVAYYSTGVEQDYVEGVVPKLGHEKGAEYIAGGDLTIADAEQAAILQVCHDASTGRVCLLSPQIGAERFAESMNGCKHQEPDSTACWRVEMGGLVTRLALLLWLAGSPGRM
+>sp|I1YAP6|TRI77_HUMAN Tripartite motif-containing protein 77 OS=Homo sapiens OX=9606 GN=TRIM77 PE=2 SV=2
+MASAITQCSTSELTCSICTDYLTDPVTICCGHRFCSPCLCLLWEDTLTPNCCPVCREISQQMYFKRIIFAEKQVIPTRESVPCQLSSSAMLICRRHQEIKNLICETDRSLLCFLCSQSPRHATHKHYMTREADEYYRKKLLIQMKSIWKKKQKNQRNLNRETNIIGTWEVFINLRSMMISAEYPKVCQYLREEEQKHVESLAREGRIIFQQLKRSQTRMAKMGILLREMYEKLKEMSCKADVNLPQDLGDVMKRNEFLRLAMPQPVNPQLSAWTITGVSERLNFFRVYITLDRKICSNHKLLFEDLRHLQCSLDDTDMSCNPTSTQYTSSWGAQILSSGKHYWEVDVKDSCNWVIGLCREAWTKRNDMRLDSEGIFLLLCLKVDDHFSLFSTSPLLPHYIPRPQGWLGVFLDYECGIVSFVNVAQSSLICSFLSRIFYFPLRPFICHGSK
+>DECOY_sp|I1YAP6|TRI77_HUMAN Tripartite motif-containing protein 77 OS=Homo sapiens OX=9606 GN=TRIM77 PE=2 SV=2
+KSGHCIFPRLPFYFIRSLFSCILSSQAVNVFSVIGCEYDLFVGLWGQPRPIYHPLLPSTSFLSFHDDVKLCLLLFIGESDLRMDNRKTWAERCLGIVWNCSDKVDVEWYHKGSSLIQAGWSSTYQTSTPNCSMDTDDLSCQLHRLDEFLLKHNSCIKRDLTIYVRFFNLRESVGTITWASLQPNVPQPMALRLFENRKMVDGLDQPLNVDAKCSMEKLKEYMERLLIGMKAMRTQSRKLQQFIIRGERALSEVHKQEEERLYQCVKPYEASIMMSRLNIFVEWTGIINTERNLNRQNKQKKKWISKMQILLKKRYYEDAERTMYHKHTAHRPSQSCLFCLLSRDTECILNKIEQHRRCILMASSSLQCPVSERTPIVQKEAFIIRKFYMQQSIERCVPCCNPTLTDEWLLCLCPSCFRHGCCITVPDTLYDTCISCTLESTSCQTIASAM
+>sp|O43715|TRIA1_HUMAN TP53-regulated inhibitor of apoptosis 1 OS=Homo sapiens OX=9606 GN=TRIAP1 PE=1 SV=1
+MNSVGEACTDMKREYDQCFNRWFAEKFLKGDSSGDPCTDLFKRYQQCVQKAIKEKEIPIEGLEFMGHGKEKPENSS
+>DECOY_sp|O43715|TRIA1_HUMAN TP53-regulated inhibitor of apoptosis 1 OS=Homo sapiens OX=9606 GN=TRIAP1 PE=1 SV=1
+SSNEPKEKGHGMFELGEIPIEKEKIAKQVCQQYRKFLDTCPDGSSDGKLFKEAFWRNFCQDYERKMDTCAEGVSNM
+>sp|Q96RU7|TRIB3_HUMAN Tribbles homolog 3 OS=Homo sapiens OX=9606 GN=TRIB3 PE=1 SV=2
+MRATPLAAPAGSLSRKKRLELDDNLDTERPVQKRARSGPQPRLPPCLLPLSPPTAPDRATAVATASRLGPYVLLEPEEGGRAYQALHCPTGTEYTCKVYPVQEALAVLEPYARLPPHKHVARPTEVLAGTQLLYAFFTRTHGDMHSLVRSRHRIPEPEAAVLFRQMATALAHCHQHGLVLRDLKLCRFVFADRERKKLVLENLEDSCVLTGPDDSLWDKHACPAYVGPEILSSRASYSGKAADVWSLGVALFTMLAGHYPFQDSEPVLLFGKIRRGAYALPAGLSAPARCLVRCLLRREPAERLTATGILLHPWLRQDPMPLAPTRSHLWEAAQVVPDGLGLDEAREEEGDREVVLYG
+>DECOY_sp|Q96RU7|TRIB3_HUMAN Tribbles homolog 3 OS=Homo sapiens OX=9606 GN=TRIB3 PE=1 SV=2
+GYLVVERDGEEERAEDLGLGDPVVQAAEWLHSRTPALPMPDQRLWPHLLIGTATLREAPERRLLCRVLCRAPASLGAPLAYAGRRIKGFLLVPESDQFPYHGALMTFLAVGLSWVDAAKGSYSARSSLIEPGVYAPCAHKDWLSDDPGTLVCSDELNELVLKKRERDAFVFRCLKLDRLVLGHQHCHALATAMQRFLVAAEPEPIRHRSRVLSHMDGHTRTFFAYLLQTGALVETPRAVHKHPPLRAYPELVALAEQVPYVKCTYETGTPCHLAQYARGGEEPELLVYPGLRSATAVATARDPATPPSLPLLCPPLRPQPGSRARKQVPRETDLNDDLELRKKRSLSGAPAALPTARM
+>sp|Q9C040|TRIM2_HUMAN Tripartite motif-containing protein 2 OS=Homo sapiens OX=9606 GN=TRIM2 PE=1 SV=1
+MASEGTNIPSPVVRQIDKQFLICSICLERYKNPKVLPCLHTFCERCLQNYIPAHSLTLSCPVCRQTSILPEKGVAALQNNFFITNLMDVLQRTPGSNAEESSILETVTAVAAGKPLSCPNHDGNVMEFYCQSCETAMCRECTEGEHAEHPTVPLKDVVEQHKASLQVQLDAVNKRLPEIDSALQFISEIIHQLTNQKASIVDDIHSTFDELQKTLNVRKSVLLMELEVNYGLKHKVLQSQLDTLLQGQESIKSCSNFTAQALNHGTETEVLLVKKQMSEKLNELADQDFPLHPRENDQLDFIVETEGLKKSIHNLGTILTTNAVASETVATGEGLRQTIIGQPMSVTITTKDKDGELCKTGNAYLTAELSTPDGSVADGEILDNKNGTYEFLYTVQKEGDFTLSLRLYDQHIRGSPFKLKVIRSADVSPTTEGVKRRVKSPGSGHVKQKAVKRPASMYSTGKRKENPIEDDLIFRVGTKGRNKGEFTNLQGVAASTNGKILIADSNNQCVQIFSNDGQFKSRFGIRGRSPGQLQRPTGVAVHPSGDIIIADYDNKWVSIFSSDGKFKTKIGSGKLMGPKGVSVDRNGHIIVVDNKACCVFIFQPNGKIVTRFGSRGNGDRQFAGPHFAAVNSNNEIIITDFHNHSVKVFNQEGEFMLKFGSNGEGNGQFNAPTGVAVDSNGNIIVADWGNSRIQVFDGSGSFLSYINTSADPLYGPQGLALTSDGHVVVADSGNHCFKVYRYLQ
+>DECOY_sp|Q9C040|TRIM2_HUMAN Tripartite motif-containing protein 2 OS=Homo sapiens OX=9606 GN=TRIM2 PE=1 SV=1
+QLYRYVKFCHNGSDAVVVHGDSTLALGQPGYLPDASTNIYSLFSGSGDFVQIRSNGWDAVIINGNSDVAVGTPANFQGNGEGNSGFKLMFEGEQNFVKVSHNHFDTIIIENNSNVAAFHPGAFQRDGNGRSGFRTVIKGNPQFIFVCCAKNDVVIIHGNRDVSVGKPGMLKGSGIKTKFKGDSSFISVWKNDYDAIIIDGSPHVAVGTPRQLQGPSRGRIGFRSKFQGDNSFIQVCQNNSDAILIKGNTSAAVGQLNTFEGKNRGKTGVRFILDDEIPNEKRKGTSYMSAPRKVAKQKVHGSGPSKVRRKVGETTPSVDASRIVKLKFPSGRIHQDYLRLSLTFDGEKQVTYLFEYTGNKNDLIEGDAVSGDPTSLEATLYANGTKCLEGDKDKTTITVSMPQGIITQRLGEGTAVTESAVANTTLITGLNHISKKLGETEVIFDLQDNERPHLPFDQDALENLKESMQKKVLLVETETGHNLAQATFNSCSKISEQGQLLTDLQSQLVKHKLGYNVELEMLLVSKRVNLTKQLEDFTSHIDDVISAKQNTLQHIIESIFQLASDIEPLRKNVADLQVQLSAKHQEVVDKLPVTPHEAHEGETCERCMATECSQCYFEMVNGDHNPCSLPKGAAVATVTELISSEEANSGPTRQLVDMLNTIFFNNQLAAVGKEPLISTQRCVPCSLTLSHAPIYNQLCRECFTHLCPLVKPNKYRELCISCILFQKDIQRVVPSPINTGESAM
+>sp|O75382|TRIM3_HUMAN Tripartite motif-containing protein 3 OS=Homo sapiens OX=9606 GN=TRIM3 PE=1 SV=2
+MAKREDSPGPEVQPMDKQFLVCSICLDRYQCPKVLPCLHTFCERCLQNYIPAQSLTLSCPVCRQTSILPEQGVSALQNNFFISSLMEAMQQAPDGAHDPEDPHPLSVVAGRPLSCPNHEGKTMEFYCEACETAMCGECRAGEHREHGTVLLRDVVEQHKAALQRQLEAVRGRLPQLSAAIALVGGISQQLQERKAEALAQISAAFEDLEQALQQRKQALVSDLETICGAKQKVLQSQLDTLRQGQEHIGSSCSFAEQALRLGSAPEVLLVRKHMRERLAALAAQAFPERPHENAQLELVLEVDGLRRSVLNLGALLTTSATAHETVATGEGLRQALVGQPASLTVTTKDKDGRLVRTGSAELRAEITGPDGTRLPVPVVDHKNGTYELVYTARTEGELLLSVLLYGQPVRGSPFRVRALRPGDLPPSPDDVKRRVKSPGGPGSHVRQKAVRRPSSMYSTGGKRKDNPIEDELVFRVGSRGREKGEFTNLQGVSAASSGRIVVADSNNQCIQVFSNEGQFKFRFGVRGRSPGQLQRPTGVAVDTNGDIIVADYDNRWVSIFSPEGKFKTKIGAGRLMGPKGVAVDRNGHIIVVDNKSCCVFTFQPNGKLVGRFGGRGATDRHFAGPHFVAVNNKNEIVVTDFHNHSVKVYSADGEFLFKFGSHGEGNGQFNAPTGVAVDSNGNIIVADWGNSRIQVFDSSGSFLSYINTSAEPLYGPQGLALTSDGHVVVADAGNHCFKAYRYLQ
+>DECOY_sp|O75382|TRIM3_HUMAN Tripartite motif-containing protein 3 OS=Homo sapiens OX=9606 GN=TRIM3 PE=1 SV=2
+QLYRYAKFCHNGADAVVVHGDSTLALGQPGYLPEASTNIYSLFSGSSDFVQIRSNGWDAVIINGNSDVAVGTPANFQGNGEGHSGFKFLFEGDASYVKVSHNHFDTVVIENKNNVAVFHPGAFHRDTAGRGGFRGVLKGNPQFTFVCCSKNDVVIIHGNRDVAVGKPGMLRGAGIKTKFKGEPSFISVWRNDYDAVIIDGNTDVAVGTPRQLQGPSRGRVGFRFKFQGENSFVQICQNNSDAVVIRGSSAASVGQLNTFEGKERGRSGVRFVLEDEIPNDKRKGGTSYMSSPRRVAKQRVHSGPGGPSKVRRKVDDPSPPLDGPRLARVRFPSGRVPQGYLLVSLLLEGETRATYVLEYTGNKHDVVPVPLRTGDPGTIEARLEASGTRVLRGDKDKTTVTLSAPQGVLAQRLGEGTAVTEHATASTTLLAGLNLVSRRLGDVELVLELQANEHPREPFAQAALAALRERMHKRVLLVEPASGLRLAQEAFSCSSGIHEQGQRLTDLQSQLVKQKAGCITELDSVLAQKRQQLAQELDEFAASIQALAEAKREQLQQSIGGVLAIAASLQPLRGRVAELQRQLAAKHQEVVDRLLVTGHERHEGARCEGCMATECAECYFEMTKGEHNPCSLPRGAVVSLPHPDEPDHAGDPAQQMAEMLSSIFFNNQLASVGQEPLISTQRCVPCSLTLSQAPIYNQLCRECFTHLCPLVKPCQYRDLCISCVLFQKDMPQVEPGPSDERKAM
+>sp|Q9C035|TRIM5_HUMAN Tripartite motif-containing protein 5 OS=Homo sapiens OX=9606 GN=TRIM5 PE=1 SV=1
+MASGILVNVKEEVTCPICLELLTQPLSLDCGHSFCQACLTANHKKSMLDKGESSCPVCRISYQPENIRPNRHVANIVEKLREVKLSPEGQKVDHCARHGEKLLLFCQEDGKVICWLCERSQEHRGHHTFLTEEVAREYQVKLQAALEMLRQKQQEAEELEADIREEKASWKTQIQYDKTNVLADFEQLRDILDWEESNELQNLEKEEEDILKSLTNSETEMVQQTQSLRELISDLEHRLQGSVMELLQGVDGVIKRTENVTLKKPETFPKNQRRVFRAPDLKGMLEVFRELTDVRRYWVDVTVAPNNISCAVISEDKRQVSSPKPQIIYGARGTRYQTFVNFNYCTGILGSQSITSGKHYWEVDVSKKTAWILGVCAGFQPDAMCNIEKNENYQPKYGYWVIGLEEGVKCSAFQDSSFHTPSVPFIVPLSVIICPDRVGVFLDYEACTVSFFNITNHGFLIYKFSHCSFSQPVFPYLNPRKCGVPMTLCSPSS
+>DECOY_sp|Q9C035|TRIM5_HUMAN Tripartite motif-containing protein 5 OS=Homo sapiens OX=9606 GN=TRIM5 PE=1 SV=1
+SSPSCLTMPVGCKRPNLYPFVPQSFSCHSFKYILFGHNTINFFSVTCAEYDLFVGVRDPCIIVSLPVIFPVSPTHFSSDQFASCKVGEELGIVWYGYKPQYNENKEINCMADPQFGACVGLIWATKKSVDVEWYHKGSTISQSGLIGTCYNFNVFTQYRTGRAGYIIQPKPSSVQRKDESIVACSINNPAVTVDVWYRRVDTLERFVELMGKLDPARFVRRQNKPFTEPKKLTVNETRKIVGDVGQLLEMVSGQLRHELDSILERLSQTQQVMETESNTLSKLIDEEEKELNQLENSEEWDLIDRLQEFDALVNTKDYQIQTKWSAKEERIDAELEEAEQQKQRLMELAAQLKVQYERAVEETLFTHHGRHEQSRECLWCIVKGDEQCFLLLKEGHRACHDVKQGEPSLKVERLKEVINAVHRNPRINEPQYSIRCVPCSSEGKDLMSKKHNATLCAQCFSHGCDLSLPQTLLELCIPCTVEEKVNVLIGSAM
+>sp|Q8N7C3|TRIMM_HUMAN Probable E3 ubiquitin-protein ligase TRIML2 OS=Homo sapiens OX=9606 GN=TRIML2 PE=1 SV=1
+MVCGIQEAAENYRKLFQEILNTSREKLEAAKSILTDEQERMAMIQEEEQNFKKMIESEYSMRLRLLNEECEQNLQRQQECISDLNLRETLLNQAIKLATELEEMFQEMLQRLGRVGRENMEKLKESEARASEQVRSLLKLIVELEKKCGEGTLALLKNAKYSLERSKSLLLEHLEPAHITDLSLCHIRGLSSMFRVLQRHLTLDPETAHPCLALSEDLRTMRLRHGQQDGAGNPERLDFSAMVLAAESFTSGRHYWEVDVEKATRWQVGIYHGSADAKGSTARASGEKVLLTGSVMGTEWTLWVFPPLKRLFLEKKLDTVGVFLDCEHGQISFYNVTEMSLIYNFSHCAFQGALRPVFSLCIPNGDTSPDSLTILQHGPSCDATVSP
+>DECOY_sp|Q8N7C3|TRIMM_HUMAN Probable E3 ubiquitin-protein ligase TRIML2 OS=Homo sapiens OX=9606 GN=TRIML2 PE=1 SV=1
+PSVTADCSPGHQLITLSDPSTDGNPICLSFVPRLAGQFACHSFNYILSMETVNYFSIQGHECDLFVGVTDLKKELFLRKLPPFVWLTWETGMVSGTLLVKEGSARATSGKADASGHYIGVQWRTAKEVDVEWYHRGSTFSEAALVMASFDLREPNGAGDQQGHRLRMTRLDESLALCPHATEPDLTLHRQLVRFMSSLGRIHCLSLDTIHAPELHELLLSKSRELSYKANKLLALTGEGCKKELEVILKLLSRVQESARAESEKLKEMNERGVRGLRQLMEQFMEELETALKIAQNLLTERLNLDSICEQQRQLNQECEENLLRLRMSYESEIMKKFNQEEEQIMAMREQEDTLISKAAELKERSTNLIEQFLKRYNEAAEQIGCVM
+>sp|Q15643|TRIPB_HUMAN Thyroid receptor-interacting protein 11 OS=Homo sapiens OX=9606 GN=TRIP11 PE=1 SV=3
+MSSWLGGLGSGLGQSLGQVGGSLASLTGQISNFTKDMLMEGTEEVEAELPDSRTKEIEAIHAILRSENERLKKLCTDLEEKHEASEIQIKQQSTSYRNQLQQKEVEISHLKARQIALQDQLLKLQSAAQSVPSGAGVPATTASSSFAYGISHHPSAFHDDDMDFGDIISSQQEINRLSNEVSRLESEVGHWRHIAQTSKAQGTDNSDQSEICKLQNIIKELKQNRSQEIDDHQHEMSVLQNAHQQKLTEISRRHREELSDYEERIEELENLLQQGGSGVIETDLSKIYEMQKTIQVLQIEKVESTKKMEQLEDKIKDINKKLSSAENDRDILRREQEQLNVEKRQIMEECENLKLECSKLQPSAVKQSDTMTEKERILAQSASVEEVFRLQQALSDAENEIMRLSSLNQDNSLAEDNLKLKMRIEVLEKEKSLLSQEKEELQMSLLKLNNEYEVIKSTATRDISLDSELHDLRLNLEAKEQELNQSISEKETLIAEIEELDRQNQEATKHMILIKDQLSKQQNEGDSIISKLKQDLNDEKKRVHQLEDDKMDITKELDVQKEKLIQSEVALNDLHLTKQKLEDKVENLVDQLNKSQESNVSIQKENLELKEHIRQNEEELSRIRNELMQSLNQDSNSNFKDTLLKEREAEVRNLKQNLSELEQLNENLKKVAFDVKMENEKLVLACEDVRHQLEECLAGNNQLSLEKNTIVETLKMEKGEIEAELCWAKKRLLEEANKYEKTIEELSNARNLNTSALQLEHEHLIKLNQKKDMEIAELKKNIEQMDTDHKETKDVLSSSLEEQKQLTQLINKKEIFIEKLKERSSKLQEELDKYSQALRKNEILRQTIEEKDRSLGSMKEENNHLQEELERLREEQSRTAPVADPKTLDSVTELASEVSQLNTIKEHLEEEIKHHQKIIEDQNQSKMQLLQSLQEQKKEMDEFRYQHEQMNATHTQLFLEKDEEIKSLQKTIEQIKTQLHEERQDIQTDNSDIFQETKVQSLNIENGSEKHDLSKAETERLVKGIKERELEIKLLNEKNISLTKQIDQLSKDEVGKLTQIIQQKDLEIQALHARISSTSHTQDVVYLQQQLQAYAMEREKVFAVLNEKTRENSHLKTEYHKMMDIVAAKEAALIKLQDENKKLSTRFESSGQDMFRETIQNLSRIIREKDIEIDALSQKCQTLLAVLQTSSTGNEAGGVNSNQFEELLQERDKLKQQVKKMEEWKQQVMTTVQNMQHESAQLQEELHQLQAQVLVDSDNNSKLQVDYTGLIQSYEQNETKLKNFGQELAQVQHSIGQLCNTKDLLLGKLDIISPQLSSASLLTPQSAECLRASKSEVLSESSELLQQELEELRKSLQEKDATIRTLQENNHRLSDSIAATSELERKEHEQTDSEIKQLKEKQDVLQKLLKEKDLLIKAKSDQLLSSNENFTNKVNENELLRQAVTNLKERILILEMDIGKLKGENEKIVETYRGKETEYQALQETNMKFSMMLREKEFECHSMKEKALAFEQLLKEKEQGKTGELNQLLNAVKSMQEKTVVFQQERDQVMLALKQKQMENTALQNEVQRLRDKEFRSNQELERLRNHLLESEDSYTREALAAEDREAKLRKKVTVLEEKLVSSSNAMENASHQASVQVESLQEQLNVVSKQRDETALQLSVSQEQVKQYALSLANLQMVLEHFQQEEKAMYSAELEKQKQLIAEWKKNAENLEGKVISLQECLDEANAALDSASRLTEQLDVKEEQIEELKRQNELRQEMLDDVQKKLMSLANSSEGKVDKVLMRNLFIGHFHTPKNQRHEVLRLMGSILGVRREEMEQLFHDDQGGVTRWMTGWLGGGSKSVPNTPLRPNQQSVVNSSFSELFVKFLETESHPSIPPPKLSVHDMKPLDSPGRRKRDTNAPESFKDTAESRSGRRTDVNPFLAPRSAAVPLINPAGLGPGGPGHLLLKPISDVLPTFTPLPALPDNSAGVVLKDLLKQ
+>DECOY_sp|Q15643|TRIPB_HUMAN Thyroid receptor-interacting protein 11 OS=Homo sapiens OX=9606 GN=TRIP11 PE=1 SV=3
+QKLLDKLVVGASNDPLAPLPTFTPLVDSIPKLLLHGPGGPGLGAPNILPVAASRPALFPNVDTRRGSRSEATDKFSEPANTDRKRRGPSDLPKMDHVSLKPPPISPHSETELFKVFLESFSSNVVSQQNPRLPTNPVSKSGGGLWGTMWRTVGGQDDHFLQEMEERRVGLISGMLRLVEHRQNKPTHFHGIFLNRMLVKDVKGESSNALSMLKKQVDDLMEQRLENQRKLEEIQEEKVDLQETLRSASDLAANAEDLCEQLSIVKGELNEANKKWEAILQKQKELEASYMAKEEQQFHELVMQLNALSLAYQKVQEQSVSLQLATEDRQKSVVNLQEQLSEVQVSAQHSANEMANSSSVLKEELVTVKKRLKAERDEAALAERTYSDESELLHNRLRELEQNSRFEKDRLRQVENQLATNEMQKQKLALMVQDREQQFVVTKEQMSKVANLLQNLEGTKGQEKEKLLQEFALAKEKMSHCEFEKERLMMSFKMNTEQLAQYETEKGRYTEVIKENEGKLKGIDMELILIREKLNTVAQRLLENENVKNTFNENSSLLQDSKAKILLDKEKLLKQLVDQKEKLQKIESDTQEHEKRELESTAAISDSLRHNNEQLTRITADKEQLSKRLEELEQQLLESSESLVESKSARLCEASQPTLLSASSLQPSIIDLKGLLLDKTNCLQGISHQVQALEQGFNKLKTENQEYSQILGTYDVQLKSNNDSDVLVQAQLQHLEEQLQASEHQMNQVTTMVQQKWEEMKKVQQKLKDREQLLEEFQNSNVGGAENGTSSTQLVALLTQCKQSLADIEIDKERIIRSLNQITERFMDQGSSEFRTSLKKNEDQLKILAAEKAAVIDMMKHYETKLHSNERTKENLVAFVKEREMAYAQLQQQLYVVDQTHSTSSIRAHLAQIELDKQQIIQTLKGVEDKSLQDIQKTLSINKENLLKIELEREKIGKVLRETEAKSLDHKESGNEINLSQVKTEQFIDSNDTQIDQREEHLQTKIQEITKQLSKIEEDKELFLQTHTANMQEHQYRFEDMEKKQEQLSQLLQMKSQNQDEIIKQHHKIEEELHEKITNLQSVESALETVSDLTKPDAVPATRSQEERLRELEEQLHNNEEKMSGLSRDKEEITQRLIENKRLAQSYKDLEEQLKSSREKLKEIFIEKKNILQTLQKQEELSSSLVDKTEKHDTDMQEINKKLEAIEMDKKQNLKILHEHELQLASTNLNRANSLEEITKEYKNAEELLRKKAWCLEAEIEGKEMKLTEVITNKELSLQNNGALCEELQHRVDECALVLKENEMKVDFAVKKLNENLQELESLNQKLNRVEAEREKLLTDKFNSNSDQNLSQMLENRIRSLEEENQRIHEKLELNEKQISVNSEQSKNLQDVLNEVKDELKQKTLHLDNLAVESQILKEKQVDLEKTIDMKDDELQHVRKKEDNLDQKLKSIISDGENQQKSLQDKILIMHKTAEQNQRDLEEIEAILTEKESISQNLEQEKAELNLRLDHLESDLSIDRTATSKIVEYENNLKLLSMQLEEKEQSLLSKEKELVEIRMKLKLNDEALSNDQNLSSLRMIENEADSLAQQLRFVEEVSASQALIREKETMTDSQKVASPQLKSCELKLNECEEMIQRKEVNLQEQERRLIDRDNEASSLKKNIDKIKDELQEMKKTSEVKEIQLVQITKQMEYIKSLDTEIVGSGGQQLLNELEEIREEYDSLEERHRRSIETLKQQHANQLVSMEHQHDDIEQSRNQKLEKIINQLKCIESQDSNDTGQAKSTQAIHRWHGVESELRSVENSLRNIEQQSSIIDGFDMDDDHFASPHHSIGYAFSSSATTAPVGAGSPVSQAASQLKLLQDQLAIQRAKLHSIEVEKQQLQNRYSTSQQKIQIESAEHKEELDTCLKKLRENESRLIAHIAEIEKTRSDPLEAEVEETGEMLMDKTFNSIQGTLSALSGGVQGLSQGLGSGLGGLWSSM
+>sp|Q9UBN4|TRPC4_HUMAN Short transient receptor potential channel 4 OS=Homo sapiens OX=9606 GN=TRPC4 PE=1 SV=1
+MAQFYYKRNVNAPYRDRIPLRIVRAESELSPSEKAYLNAVEKGDYASVKKSLEEAEIYFKININCIDPLGRTALLIAIENENLELIELLLSFNVYVGDALLHAIRKEVVGAVELLLNHKKPSGEKQVPPILLDKQFSEFTPDITPIILAAHTNNYEIIKLLVQKGVSVPRPHEVRCNCVECVSSSDVDSLRHSRSRLNIYKALASPSLIALSSEDPFLTAFQLSWELQELSKVENEFKSEYEELSRQCKQFAKDLLDQTRSSRELEIILNYRDDNSLIEEQSGNDLARLKLAIKYRQKEFVAQPNCQQLLASRWYDEFPGWRRRHWAVKMVTCFIIGLLFPVFSVCYLIAPKSPLGLFIRKPFIKFICHTASYLTFLFLLLLASQHIDRSDLNRQGPPPTIVEWMILPWVLGFIWGEIKQMWDGGLQDYIHDWWNLMDFVMNSLYLATISLKIVAFVKYSALNPRESWDMWHPTLVAEALFAIANIFSSLRLISLFTANSHLGPLQISLGRMLLDILKFLFIYCLVLLAFANGLNQLYFYYEETKGLTCKGIRCEKQNNAFSTLFETLQSLFWSIFGLINLYVTNVKAQHEFTEFVGATMFGTYNVISLVVLLNMLIAMMNNSYQLIADHADIEWKFARTKLWMSYFEEGGTLPTPFNVIPSPKSLWYLIKWIWTHLCKKKMRRKPESFGTIGRRAADNLRRHHQYQEVMRNLVKRYVAAMIRDAKTEEGLTEENFKELKQDISSFRFEVLGLLRGSKLSTIQSANASKESSNSADSDEKSDSEGNSKDKKKNFSLFDLTTLIHPRSAAIASERHNISNGSALVVQEPPREKQRKVNFVTDIKNFGLFHRRSKQNAAEQNANQIFSVSEEVARQQAAGPLERNIQLESRGLASRGDLSIPGLSEQCVLVDHRERNTDTLGLQVGKRVCPFKSEKVVVEDTVPIIPKEKHAKEEDSSIDYDLNLPDTVTHEDYVTTRL
+>DECOY_sp|Q9UBN4|TRPC4_HUMAN Short transient receptor potential channel 4 OS=Homo sapiens OX=9606 GN=TRPC4 PE=1 SV=1
+LRTTVYDEHTVTDPLNLDYDISSDEEKAHKEKPIIPVTDEVVVKESKFPCVRKGVQLGLTDTNRERHDVLVCQESLGPISLDGRSALGRSELQINRELPGAAQQRAVEESVSFIQNANQEAANQKSRRHFLGFNKIDTVFNVKRQKERPPEQVVLASGNSINHRESAIAASRPHILTTLDFLSFNKKKDKSNGESDSKEDSDASNSSEKSANASQITSLKSGRLLGLVEFRFSSIDQKLEKFNEETLGEETKADRIMAAVYRKVLNRMVEQYQHHRRLNDAARRGITGFSEPKRRMKKKCLHTWIWKILYWLSKPSPIVNFPTPLTGGEEFYSMWLKTRAFKWEIDAHDAILQYSNNMMAILMNLLVVLSIVNYTGFMTAGVFETFEHQAKVNTVYLNILGFISWFLSQLTEFLTSFANNQKECRIGKCTLGKTEEYYFYLQNLGNAFALLVLCYIFLFKLIDLLMRGLSIQLPGLHSNATFLSILRLSSFINAIAFLAEAVLTPHWMDWSERPNLASYKVFAVIKLSITALYLSNMVFDMLNWWDHIYDQLGGDWMQKIEGWIFGLVWPLIMWEVITPPPGQRNLDSRDIHQSALLLLFLFTLYSATHCIFKIFPKRIFLGLPSKPAILYCVSFVPFLLGIIFCTVMKVAWHRRRWGPFEDYWRSALLQQCNPQAVFEKQRYKIALKLRALDNGSQEEILSNDDRYNLIIELERSSRTQDLLDKAFQKCQRSLEEYESKFENEVKSLEQLEWSLQFATLFPDESSLAILSPSALAKYINLRSRSHRLSDVDSSSVCEVCNCRVEHPRPVSVGKQVLLKIIEYNNTHAALIIPTIDPTFESFQKDLLIPPVQKEGSPKKHNLLLEVAGVVEKRIAHLLADGVYVNFSLLLEILELNENEIAILLATRGLPDICNINIKFYIEAEELSKKVSAYDGKEVANLYAKESPSLESEARVIRLPIRDRYPANVNRKYYFQAM
+>sp|Q9HCX4|TRPC7_HUMAN Short transient receptor potential channel 7 OS=Homo sapiens OX=9606 GN=TRPC7 PE=1 SV=1
+MLRNSTFKNMQRRHTTLREKGRRQAIRGPAYMFNEKGTSLTPEEERFLDSAEYGNIPVVRKMLEESKTLNFNCVDYMGQNALQLAVGNEHLEVTELLLKKENLARVGDALLLAISKGYVRIVEAILNHPAFAQGQRLTLSPLEQELRDDDFYAYDEDGTRFSHDITPIILAAHCQEYEIVHILLLKGARIERPHDYFCKCNECTEKQRKDSFSHSRSRMNAYKGLASAAYLSLSSEDPVLTALELSNELARLANIETEFKNDYRKLSMQCKDFVVGVLDLCRDTEEVEAILNGDVNFQVWSDHHRPSLSRIKLAIKYEVKKFVAHPNCQQQLLTMWYENLSGLRQQSIAVKFLAVFGVSIGLPFLAIAYWIAPCSKLGRTLRSPFMKFVAHAVSFTIFLGLLVVNASDRFEGVKTLPNETFTDYPKQIFRVKTTQFSWTEMLIMKWVLGMIWSECKEIWEEGPREYVLHLWNLLDFGMLSIFVASFTARFMAFLKATEAQLYVDQHVQDDTLHNVSLPPEVAYFTYARDKWWPSDPQIISEGLYAIAVVLSFSRIAYILPANESFGPLQISLGRTVKDIFKFMVIFIMVFVAFMIGMFNLYSYYRGAKYNPAFTTVEESFKTLFWSIFGLSEVISVVLKYDHKFIENIGYVLYGVYNVTMVVVLLNMLIAMINNSYQEIEEDADVEWKFARAKLWLSYFDEGRTLPAPFNLVPSPKSFYYLIMRIKMCLIKLCKSKAKSCENDLEMGMLNSKFKKTRYQAGMRNSENLTANNTLSKPTRYQKIMKRLIKRYVLKAQVDRENDEVNEGELKEIKQDISSLRYELLEEKSQATGELADLIQQLSEKFGKNLNKDHLRVNKGKDI
+>DECOY_sp|Q9HCX4|TRPC7_HUMAN Short transient receptor potential channel 7 OS=Homo sapiens OX=9606 GN=TRPC7 PE=1 SV=1
+IDKGKNVRLHDKNLNKGFKESLQQILDALEGTAQSKEELLEYRLSSIDQKIEKLEGENVEDNERDVQAKLVYRKILRKMIKQYRTPKSLTNNATLNESNRMGAQYRTKKFKSNLMGMELDNECSKAKSKCLKILCMKIRMILYYFSKPSPVLNFPAPLTRGEDFYSLWLKARAFKWEVDADEEIEQYSNNIMAILMNLLVVVMTVNYVGYLVYGINEIFKHDYKLVVSIVESLGFISWFLTKFSEEVTTFAPNYKAGRYYSYLNFMGIMFAVFVMIFIVMFKFIDKVTRGLSIQLPGFSENAPLIYAIRSFSLVVAIAYLGESIIQPDSPWWKDRAYTFYAVEPPLSVNHLTDDQVHQDVYLQAETAKLFAMFRATFSAVFISLMGFDLLNWLHLVYERPGEEWIEKCESWIMGLVWKMILMETWSFQTTKVRFIQKPYDTFTENPLTKVGEFRDSANVVLLGLFITFSVAHAVFKMFPSRLTRGLKSCPAIWYAIALFPLGISVGFVALFKVAISQQRLGSLNEYWMTLLQQQCNPHAVFKKVEYKIALKIRSLSPRHHDSWVQFNVDGNLIAEVEETDRCLDLVGVVFDKCQMSLKRYDNKFETEINALRALENSLELATLVPDESSLSLYAASALGKYANMRSRSHSFSDKRQKETCENCKCFYDHPREIRAGKLLLIHVIEYEQCHAALIIPTIDHSFRTGDEDYAYFDDDRLEQELPSLTLRQGQAFAPHNLIAEVIRVYGKSIALLLADGVRALNEKKLLLETVELHENGVALQLANQGMYDVCNFNLTKSEELMKRVVPINGYEASDLFREEEPTLSTGKENFMYAPGRIAQRRGKERLTTHRRQMNKFTSNRLM
+>sp|Q9NQA5|TRPV5_HUMAN Transient receptor potential cation channel subfamily V member 5 OS=Homo sapiens OX=9606 GN=TRPV5 PE=1 SV=2
+MGGFLPKAEGPGSQLQKLLPSFLVREQDWDQHLDKLHMLQQKRILESPLLRASKENDLSVLRQLLLDCTCDVRQRGALGETALHIAALYDNLEAALVLMEAAPELVFEPTTCEAFAGQTALHIAVVNQNVNLVRALLTRRASVSARATGTAFRRSPRNLIYFGEHPLSFAACVNSEEIVRLLIEHGADIRAQDSLGNTVLHILILQPNKTFACQMYNLLLSYDGHGDHLQPLDLVPNHQGLTPFKLAGVEGNTVMFQHLMQKRRHIQWTYGPLTSILYDLTEIDSWGEELSFLELVVSSDKREARQILEQTPVKELVSFKWNKYGRPYFCILAALYLLYMICFTTCCVYRPLKFRGGNRTHSRDITILQQKLLQEAYETREDIIRLVGELVSIVGAVIILLLEIPDIFRVGASRYFGKTILGGPFHVIIITYASLVLVTMVMRLTNTNGEVVPMSFALVLGWCSVMYFTRGFQMLGPFTIMIQKMIFGDLMRFCWLMAVVILGFASAFYIIFQTEDPTSLGQFYDYPMALFTTFELFLTVIDAPANYDVDLPFMFSIVNFAFAIIATLLMLNLFIAMMGDTHWRVAQERDELWRAQVVATTVMLERKLPRCLWPRSGICGCEFGLGDRWFLRVENHNDQNPLRVLRYVEVFKNSDKEDDQEHPSEKQPSGAESGTLARASLALPTSSLSRTASQSSSHRGWEILRQNTLGHLNLGLNLSEGDGEEVYHF
+>DECOY_sp|Q9NQA5|TRPV5_HUMAN Transient receptor potential cation channel subfamily V member 5 OS=Homo sapiens OX=9606 GN=TRPV5 PE=1 SV=2
+FHYVEEGDGESLNLGLNLHGLTNQRLIEWGRHSSSQSATRSLSSTPLALSARALTGSEAGSPQKESPHEQDDEKDSNKFVEVYRLVRLPNQDNHNEVRLFWRDGLGFECGCIGSRPWLCRPLKRELMVTTAVVQARWLEDREQAVRWHTDGMMAIFLNLMLLTAIIAFAFNVISFMFPLDVDYNAPADIVTLFLEFTTFLAMPYDYFQGLSTPDETQFIIYFASAFGLIVVAMLWCFRMLDGFIMKQIMITFPGLMQFGRTFYMVSCWGLVLAFSMPVVEGNTNTLRMVMTVLVLSAYTIIIVHFPGGLITKGFYRSAGVRFIDPIELLLIIVAGVISVLEGVLRIIDERTEYAEQLLKQQLITIDRSHTRNGGRFKLPRYVCCTTFCIMYLLYLAALICFYPRGYKNWKFSVLEKVPTQELIQRAERKDSSVVLELFSLEEGWSDIETLDYLISTLPGYTWQIHRRKQMLHQFMVTNGEVGALKFPTLGQHNPVLDLPQLHDGHGDYSLLLNYMQCAFTKNPQLILIHLVTNGLSDQARIDAGHEILLRVIEESNVCAAFSLPHEGFYILNRPSRRFATGTARASVSARRTLLARVLNVNQNVVAIHLATQGAFAECTTPEFVLEPAAEMLVLAAELNDYLAAIHLATEGLAGRQRVDCTCDLLLQRLVSLDNEKSARLLPSELIRKQQLMHLKDLHQDWDQERVLFSPLLKQLQSGPGEAKPLFGGM
+>sp|Q9H1D0|TRPV6_HUMAN Transient receptor potential cation channel subfamily V member 6 OS=Homo sapiens OX=9606 GN=TRPV6 PE=1 SV=3
+MGPLQGDGGPALGGADVAPRLSPVRVWPRPQAPKEPALHPMGLSLPKEKGLILCLWSKFCRWFQRRESWAQSRDEQNLLQQKRIWESPLLLAAKDNDVQALNKLLKYEDCKVHQRGAMGETALHIAALYDNLEAAMVLMEAAPELVFEPMTSELYEGQTALHIAVVNQNMNLVRALLARRASVSARATGTAFRRSPCNLIYFGEHPLSFAACVNSEEIVRLLIEHGADIRAQDSLGNTVLHILILQPNKTFACQMYNLLLSYDRHGDHLQPLDLVPNHQGLTPFKLAGVEGNTVMFQHLMQKRKHTQWTYGPLTSTLYDLTEIDSSGDEQSLLELIITTKKREARQILDQTPVKELVSLKWKRYGRPYFCMLGAIYLLYIICFTMCCIYRPLKPRTNNRTSPRDNTLLQQKLLQEAYMTPKDDIRLVGELVTVIGAIIILLVEVPDIFRMGVTRFFGQTILGGPFHVLIITYAFMVLVTMVMRLISASGEVVPMSFALVLGWCNVMYFARGFQMLGPFTIMIQKMIFGDLMRFCWLMAVVILGFASAFYIIFQTEDPEELGHFYDYPMALFSTFELFLTIIDGPANYNVDLPFMYSITYAAFAIIATLLMLNLLIAMMGDTHWRVAHERDELWRAQIVATTVMLERKLPRCLWPRSGICGREYGLGDRWFLRVEDRQDLNRQRIQRYAQAFHTRGSEDLDKDSVEKLELGCPFSPHLSLPMPSVSRSTSRSSANWERLRQGTLRRDLRGIINRGLEDGESWEYQI
+>DECOY_sp|Q9H1D0|TRPV6_HUMAN Transient receptor potential cation channel subfamily V member 6 OS=Homo sapiens OX=9606 GN=TRPV6 PE=1 SV=3
+IQYEWSEGDELGRNIIGRLDRRLTGQRLREWNASSRSTSRSVSPMPLSLHPSFPCGLELKEVSDKDLDESGRTHFAQAYRQIRQRNLDQRDEVRLFWRDGLGYERGCIGSRPWLCRPLKRELMVTTAVIQARWLEDREHAVRWHTDGMMAILLNLMLLTAIIAFAAYTISYMFPLDVNYNAPGDIITLFLEFTSFLAMPYDYFHGLEEPDETQFIIYFASAFGLIVVAMLWCFRMLDGFIMKQIMITFPGLMQFGRAFYMVNCWGLVLAFSMPVVEGSASILRMVMTVLVMFAYTIILVHFPGGLITQGFFRTVGMRFIDPVEVLLIIIAGIVTVLEGVLRIDDKPTMYAEQLLKQQLLTNDRPSTRNNTRPKLPRYICCMTFCIIYLLYIAGLMCFYPRGYRKWKLSVLEKVPTQDLIQRAERKKTTIILELLSQEDGSSDIETLDYLTSTLPGYTWQTHKRKQMLHQFMVTNGEVGALKFPTLGQHNPVLDLPQLHDGHRDYSLLLNYMQCAFTKNPQLILIHLVTNGLSDQARIDAGHEILLRVIEESNVCAAFSLPHEGFYILNCPSRRFATGTARASVSARRALLARVLNMNQNVVAIHLATQGEYLESTMPEFVLEPAAEMLVMAAELNDYLAAIHLATEGMAGRQHVKCDEYKLLKNLAQVDNDKAALLLPSEWIRKQQLLNQEDRSQAWSERRQFWRCFKSWLCLILGKEKPLSLGMPHLAPEKPAQPRPWVRVPSLRPAVDAGGLAPGGDGQLPGM
+>sp|Q9Y606|TRUA_HUMAN tRNA pseudouridine synthase A OS=Homo sapiens OX=9606 GN=PUS1 PE=1 SV=3
+MGLQLRALLGAFGRWTLRLGPRPSCSPRMAGNAEPPPAGAACPQDRRSCSGRAGGDRVWEDGEHPAKKLKSGGDEERREKPPKRKIVLLMAYSGKGYHGMQRNVGSSQFKTIEDDLVSALVRSGCIPENHGEDMRKMSFQRCARTDKGVSAAGQVVSLKVWLIDDILEKINSHLPSHIRILGLKRVTGGFNSKNRCDARTYCYLLPTFAFAHKDRDVQDETYRLSAETLQQVNRLLACYKGTHNFHNFTSQKGPQDPSACRYILEMYCEEPFVREGLEFAVIRVKGQSFMMHQIRKMVGLVVAIVKGYAPESVLERSWGTEKVDVPKAPGLGLVLERVHFEKYNQRFGNDGLHEPLDWAQEEGKVAAFKEEHIYPTIIGTERDERSMAQWLSTLPIHNFSATALTAGGTGAKVPSPLEGSEGDGDTD
+>DECOY_sp|Q9Y606|TRUA_HUMAN tRNA pseudouridine synthase A OS=Homo sapiens OX=9606 GN=PUS1 PE=1 SV=3
+DTDGDGESGELPSPVKAGTGGATLATASFNHIPLTSLWQAMSREDRETGIITPYIHEEKFAAVKGEEQAWDLPEHLGDNGFRQNYKEFHVRELVLGLGPAKPVDVKETGWSRELVSEPAYGKVIAVVLGVMKRIQHMMFSQGKVRIVAFELGERVFPEECYMELIYRCASPDQPGKQSTFNHFNHTGKYCALLRNVQQLTEASLRYTEDQVDRDKHAFAFTPLLYCYTRADCRNKSNFGGTVRKLGLIRIHSPLHSNIKELIDDILWVKLSVVQGAASVGKDTRACRQFSMKRMDEGHNEPICGSRVLASVLDDEITKFQSSGVNRQMGHYGKGSYAMLLVIKRKPPKERREEDGGSKLKKAPHEGDEWVRDGGARGSCSRRDQPCAAGAPPPEANGAMRPSCSPRPGLRLTWRGFAGLLARLQLGM
+>sp|O95900|TRUB2_HUMAN Mitochondrial mRNA pseudouridine synthase TRUB2 OS=Homo sapiens OX=9606 GN=TRUB2 PE=1 SV=1
+MGSAGLSRLHGLFAVYKPPGLKWKHLRDTVELQLLKGLNARKPPAPKQRVRFLLGPMEGSEEKELTLTATSVPSFINHPLVCGPAFAHLKVGVGHRLDAQASGVLVLGVGHGCRLLTDMYNAHLTKDYTVRGLLGKATDDFREDGRLVEKTTYDHVTREKLDRILAVIQGSHQKALVMYSNLDLKTQEAYEMAVRGLIRPMNKSPMLITGIRCLYFAPPEFLLEVQCMHETQKELRKLVHEIGLELKTTAVCTQVRRTRDGFFTLDSALLRTQWDLTNIQDAIRAATPQVAAELEKSLSPGLDTKQLPSPGWSWDSQGPSSTLGLERGAGQ
+>DECOY_sp|O95900|TRUB2_HUMAN Mitochondrial mRNA pseudouridine synthase TRUB2 OS=Homo sapiens OX=9606 GN=TRUB2 PE=1 SV=1
+QGAGRELGLTSSPGQSDWSWGPSPLQKTDLGPSLSKELEAAVQPTAARIADQINTLDWQTRLLASDLTFFGDRTRRVQTCVATTKLELGIEHVLKRLEKQTEHMCQVELLFEPPAFYLCRIGTILMPSKNMPRILGRVAMEYAEQTKLDLNSYMVLAKQHSGQIVALIRDLKERTVHDYTTKEVLRGDERFDDTAKGLLGRVTYDKTLHANYMDTLLRCGHGVGLVLVGSAQADLRHGVGVKLHAFAPGCVLPHNIFSPVSTATLTLEKEESGEMPGLLFRVRQKPAPPKRANLGKLLQLEVTDRLHKWKLGPPKYVAFLGHLRSLGASGM
+>sp|Q7RTX0|TS1R3_HUMAN Taste receptor type 1 member 3 OS=Homo sapiens OX=9606 GN=TAS1R3 PE=1 SV=2
+MLGPAVLGLSLWALLHPGTGAPLCLSQQLRMKGDYVLGGLFPLGEAEEAGLRSRTRPSSPVCTRFSSNGLLWALAMKMAVEEINNKSDLLPGLRLGYDLFDTCSEPVVAMKPSLMFLAKAGSRDIAAYCNYTQYQPRVLAVIGPHSSELAMVTGKFFSFFLMPQVSYGASMELLSARETFPSFFRTVPSDRVQLTAAAELLQEFGWNWVAALGSDDEYGRQGLSIFSALAAARGICIAHEGLVPLPRADDSRLGKVQDVLHQVNQSSVQVVLLFASVHAAHALFNYSISSRLSPKVWVASEAWLTSDLVMGLPGMAQMGTVLGFLQRGAQLHEFPQYVKTHLALATDPAFCSALGEREQGLEEDVVGQRCPQCDCITLQNVSAGLNHHQTFSVYAAVYSVAQALHNTLQCNASGCPAQDPVKPWQLLENMYNLTFHVGGLPLRFDSSGNVDMEYDLKLWVWQGSVPRLHDVGRFNGSLRTERLKIRWHTSDNQKPVSRCSRQCQEGQVRRVKGFHSCCYDCVDCEAGSYRQNPDDIACTFCGQDEWSPERSTRCFRRRSRFLAWGEPAVLLLLLLLSLALGLVLAALGLFVHHRDSPLVQASGGPLACFGLVCLGLVCLSVLLFPGQPSPARCLAQQPLSHLPLTGCLSTLFLQAAEIFVESELPLSWADRLSGCLRGPWAWLVVLLAMLVEVALCTWYLVAFPPEVVTDWHMLPTEALVHCRTRSWVSFGLAHATNATLAFLCFLGTFLVRSQPGCYNRARGLTFAMLAYFITWVSFVPLLANVQVVLRPAVQMGALLLCVLGILAAFHLPRCYLLMRQPGLNTPEFFLGGGPGDAQGQNDGNTGNQGKHE
+>DECOY_sp|Q7RTX0|TS1R3_HUMAN Taste receptor type 1 member 3 OS=Homo sapiens OX=9606 GN=TAS1R3 PE=1 SV=2
+EHKGQNGTNGDNQGQADGPGGGLFFEPTNLGPQRMLLYCRPLHFAALIGLVCLLLAGMQVAPRLVVQVNALLPVFSVWTIFYALMAFTLGRARNYCGPQSRVLFTGLFCLFALTANTAHALGFSVWSRTRCHVLAETPLMHWDTVVEPPFAVLYWTCLAVEVLMALLVVLWAWPGRLCGSLRDAWSLPLESEVFIEAAQLFLTSLCGTLPLHSLPQQALCRAPSPQGPFLLVSLCVLGLCVLGFCALPGGSAQVLPSDRHHVFLGLAALVLGLALSLLLLLLLVAPEGWALFRSRRRFCRTSREPSWEDQGCFTCAIDDPNQRYSGAECDVCDYCCSHFGKVRRVQGEQCQRSCRSVPKQNDSTHWRIKLRETRLSGNFRGVDHLRPVSGQWVWLKLDYEMDVNGSSDFRLPLGGVHFTLNYMNELLQWPKVPDQAPCGSANCQLTNHLAQAVSYVAAYVSFTQHHNLGASVNQLTICDCQPCRQGVVDEELGQEREGLASCFAPDTALALHTKVYQPFEHLQAGRQLFGLVTGMQAMGPLGMVLDSTLWAESAVWVKPSLRSSISYNFLAHAAHVSAFLLVVQVSSQNVQHLVDQVKGLRSDDARPLPVLGEHAICIGRAAALASFISLGQRGYEDDSGLAAVWNWGFEQLLEAAATLQVRDSPVTRFFSPFTERASLLEMSAGYSVQPMLFFSFFKGTVMALESSHPGIVALVRPQYQTYNCYAAIDRSGAKALFMLSPKMAVVPESCTDFLDYGLRLGPLLDSKNNIEEVAMKMALAWLLGNSSFRTCVPSSPRTRSRLGAEEAEGLPFLGGLVYDGKMRLQQSLCLPAGTGPHLLAWLSLGLVAPGLM
+>sp|Q9H598|VIAAT_HUMAN Vesicular inhibitory amino acid transporter OS=Homo sapiens OX=9606 GN=SLC32A1 PE=2 SV=2
+MATLLRSKLSNVATSVSNKSQAKMSGMFARMGFQAATDEEAVGFAHCDDLDFEHRQGLQMDILKAEGEPCGDEGAEAPVEGDIHYQRGSGAPLPPSGSKDQVGGGGEFGGHDKPKITAWEAGWNVTNAIQGMFVLGLPYAILHGGYLGLFLIIFAAVVCCYTGKILIACLYEENEDGEVVRVRDSYVAIANACCAPRFPTLGGRVVNVAQIIELVMTCILYVVVSGNLMYNSFPGLPVSQKSWSIIATAVLLPCAFLKNLKAVSKFSLLCTLAHFVINILVIAYCLSRARDWAWEKVKFYIDVKKFPISIGIIVFSYTSQIFLPSLEGNMQQPSEFHCMMNWTHIAACVLKGLFALVAYLTWADETKEVITDNLPGSIRAVVNIFLVAKALLSYPLPFFAAVEVLEKSLFQEGSRAFFPACYSGDGRLKSWGLTLRCALVVFTLLMAIYVPHFALLMGLTGSLTGAGLCFLLPSLFHLRLLWRKLLWHQVFFDVAIFVIGGICSVSGFVHSLEGLIEAYRTNAED
+>DECOY_sp|Q9H598|VIAAT_HUMAN Vesicular inhibitory amino acid transporter OS=Homo sapiens OX=9606 GN=SLC32A1 PE=2 SV=2
+DEANTRYAEILGELSHVFGSVSCIGGIVFIAVDFFVQHWLLKRWLLRLHFLSPLLFCLGAGTLSGTLGMLLAFHPVYIAMLLTFVVLACRLTLGWSKLRGDGSYCAPFFARSGEQFLSKELVEVAAFFPLPYSLLAKAVLFINVVARISGPLNDTIVEKTEDAWTLYAVLAFLGKLVCAAIHTWNMMCHFESPQQMNGELSPLFIQSTYSFVIIGISIPFKKVDIYFKVKEWAWDRARSLCYAIVLINIVFHALTCLLSFKSVAKLNKLFACPLLVATAIISWSKQSVPLGPFSNYMLNGSVVVYLICTMVLEIIQAVNVVRGGLTPFRPACCANAIAVYSDRVRVVEGDENEEYLCAILIKGTYCCVVAAFIILFLGLYGGHLIAYPLGLVFMGQIANTVNWGAEWATIKPKDHGGFEGGGGVQDKSGSPPLPAGSGRQYHIDGEVPAEAGEDGCPEGEAKLIDMQLGQRHEFDLDDCHAFGVAEEDTAAQFGMRAFMGSMKAQSKNSVSTAVNSLKSRLLTAM
+>sp|Q96AW1|VOPP1_HUMAN Vesicular, overexpressed in cancer, prosurvival protein 1 OS=Homo sapiens OX=9606 GN=VOPP1 PE=2 SV=1
+MRRQPAKVAALLLGLLLECTEAKKHCWYFEGLYPTYYICRSYEDCCGSRCCVRALSIQRLWYFWFLLMMGVLFCCGAGFFIRRRMYPPPLIEEPAFNVSYTRQPPNPGPGAQQPGPPYYTDPGGPGMNPVGNSMAMAFQVPPNSPQGSVACPPPPAYCNTPPPPYEQVVKAK
+>DECOY_sp|Q96AW1|VOPP1_HUMAN Vesicular, overexpressed in cancer, prosurvival protein 1 OS=Homo sapiens OX=9606 GN=VOPP1 PE=2 SV=1
+KAKVVQEYPPPPTNCYAPPPPCAVSGQPSNPPVQFAMAMSNGVPNMGPGGPDTYYPPGPQQAGPGPNPPQRTYSVNFAPEEILPPPYMRRRIFFGAGCCFLVGMMLLFWFYWLRQISLARVCCRSGCCDEYSRCIYYTPYLGEFYWCHKKAETCELLLGLLLAAVKAPQRRM
+>sp|Q5THJ4|VP13D_HUMAN Vacuolar protein sorting-associated protein 13D OS=Homo sapiens OX=9606 GN=VPS13D PE=1 SV=2
+MLEGLVAWVLNTYLGKYVNNLNTDQLSVALLKGAVELENLPLKKDALKELELPFEVKAGFIGKVTLQIPFYRPHVDPWVISISSLHLIGAPEKIQDFNDEKEKLLERERKKALLQALEEKWKNDRQQKGESYWYSVTASVVTRIVENIELKIQDVHLRFEDGVTNPSHPFAFGICIKNVSMQNAVNEPVQKLMRKKQLDVAEFSIYWDVDCTLLGDLPQMELQEAMARSMESRSHHYVLEPVFASALLKRNCSKKPLRSRHSPRIDCDIQLETIPLKLSQLQYRQIMEFLKELERKERQVKFRRWKPKVAISKNCREWWYFALNANLYEIREQRKRCTWDFMLHRARDAVSYTDKYFNKLKGGLLSTDDKEEMCRIEEEQSFEELKILRELVHDRFHKQEELAESLREPQFDSPGACPGAPEPGGGSGMLQYLQSWFPGWGGWYGQQTPEGNVVEGLSAEQQEQWIPEEILGTEEFFDPTADASCMNTYTKRDHVFAKLNLQLQRGTVTLLHKEQGTPQMNESAFMQLEFSDVKLLAESLPRRNSSLLSVRLGGLFLRDLATEGTMFPLLVFPNPQKEVGRVSQSFGLQTTSADRSDHYPAADPDGPVFEMLYERNPAHSHFERRLNVSTRPLNIIYNPQAIKKVADFFYKGKVHTSGFGYQSELELRVAEAARRQYNKLKMQTKAEIRQTLDRLLVGDFIEESKRWTVRLDISAPQVIFPDDFKFKNPVLVVVDLGRMLLTNTQDNSRRKSRDGSASEETQFSDDEYKTPLATPPNTPPPESSSSNGEKTPPFSGVEFSEEQLQAHLMSTKMYERYSLSFMDLQIMVGRVKDNWKHVQDIDVGPTHVVEKFNVHLQLERRLIYTSDPKYPGAVLSGNLPDLKIHINEDKISALKNCFALLTTPEMKTSDTQIKEKIFPQEEQRGSLQDSVMNLTQSIVLLEQHTREVLVESQLLLAEFKVNCMQLGVESNGRYISVLKVFGTNAHFVKRPYDAEVSLTVHGLLLVDTMQTYGADFDLLMASHKNLSFDIPTGSLRDSRAQSPVSGPNVAHLTDGATLNDRSATSVSLDKILTKEQESLIKLEYQFVSSECPSMNLDSTLQVISLQVNNLDIILNPETIVELIGFLQKSFPKEKDDLSPQPLMTDFERSFREQGTYQSTYEQNTEVAVEIHRLNLLLLRTVGMANREKYGRKIATASIGGTKVNVSMGSTFDMNGSLGCLQLMDLTQDNVKNQYVVSIGNSVGYENIISDIGYFESVFVRMEDAALTEALSFTFVERSKQECFLNLKMASLHYNHSAKFLKELTLSMDELEENFRGMLKSAATKVTTVLATKTAEYSEMVSLFETPRKTREPFILEENEIYGFDLASSHLDTVKLILNINIESPVVSIPRKPGSPELLVGHLGQIFIQNFVAGDDESRSDRLQVEIKDIKLYSLNCTQLAGREAVGSEGSRMFCPPSGSGSANSQEEAHFTRHDFFESLHRGQAFHILNNTTIQFKLEKIPIERESELTFSLSPDDLGTSSIMKIEGKFVNPVQVVLAKHVYEQVLQTLDNLVYSEDLNKYPASATSSPCPDSPLPPLSTCGESSVERKENGLFSHSSLSNTSQKSLSVKEVKSFTQIQATFCISELQVQLSGDLTLGAQGLVSLKFQDFEVEFSKDHPQTLSIQIALHSLLMEDLLEKNPDSKYKNLMVSRGAPKPSSLAQKEYLSQSCPSVSNVEYPDMPRSLPSHMEEAPNVFQLYQRPTSASRKKQKEVQDKDYPLTPPPSPTVDEPKILVGKSKFDDSLVHINIFLVDKKHPEFSSSYNRVNRSIDVDFNCLDVLITLQTWVVILDFFGIGSTADNHAMRLPPEGILHNVKLEPHASMESGLQDPVNTKLDLKVHSLSLVLNKTTSELAKANVSKLVAHLEMIEGDLALQGSIGSLSLSDLTCHGEFYRERFTTSGEEALIFQTFKYGRPDPLLRREHDIRVSLRMASVQYVHTQRFQAEVVAFIQHFTQLQDVLGRQRAAIEGQTVRDQAQRCSRVLLDIEAGAPVLLIPESSRSNNLIVANLGKLKVKNKFLFAGFPGTFSLQDKESVPSASPTGIPKHSLRKTTSTEEPRGTHSQGQFTMPLAGMSLGSLKSEFVPSTSTKQQGPQPTLSVGQESSSPEDHVCLLDCVVVDLQDMDIFAAERHPREYSKAPEDSSGDLIFPSYFVRQTGGSLLTEPCRLKLQVERNLDKEISHTVPDISIHGNLSSVHCSLDLYKYKLIRGLLENNLGEPIEEFMRPYDLQDPRIHTVLSGEVYTCMCFLIDMVNVSLELKDPKRKEGAGSLARFDFKKCKLLYESFSNQTKSINLVSHSMMAFDTRYAGQKTSPGMTNVFSCIFQPAKNSSTTQGSIQIELHFRSTKDSSCFTVVLNNLRVFLIFDWLLLVHDFLHTPSDIKKQNHVTPSRHRNSSSESAIVPKTVKSGVVTKRSSLPVSNERHLEVKVNVTGTEFVVIEDVSCFDTNAIILKGTTVLTYKPRFVDRPFSGSLFGIEVFSCRLGNEHDTALSIVDPVQIQMELVGNSSYQNSSGLMDAFNSEDFPPVLEIQLQALDIRLSYNDVQLFLAIAKSIPEQANAAVPDSVALESDSVGTYLPGASRVGEEIREGTRHTLDPVLELQLARLQELGFSMDDCRKALLACQGQLKKAASWLFKNAEPLKSLSLASTSRDSPGAVAAPLISGVEIKAESVCICFIDDCMDCDVPLAELTFSRLNFLQRVRTSPEGYAHFTLSGDYYNRALSGWEPFIEPWPCSVSWQQQAASRLHPPRLKLEAKAKPRLDINITSVLIDQYVSTKESWMADYCKDDKDIESAKSEDWMGSSVDPPCFGQSLPLVYLRTRSTASLTNLEHQIYARAEVKTPKRRQPFVPFALRNHTGCTLWFATLTTTPTRAALSHSGSPGVVPEGNGTFLDDTHNVSEWREVLTGEEIPFEFEARGKLRHRHTHDLRIHQLQVRVNGWEQVSPVSVDKVGTFFRYAAPDKNSSSSTIGSPSSRTNIIHPQVYFSSLPPVRVVFAVTMEGSARKVITVRSALIVRNRLETPMELRLDSPSAPDKPVVLPAIMPGDSFAVPLHLTSWRLQARPKGLGVFFCKAPIHWTNVVKTAEISSSKRECHSMDTEKSRFFRFCVAIKKENYPDYMPSNIFSDSAKQIFRQPGHTIYLLPTVVICNLLPCELDFYVKGMPINGTLKPGKEAALHTADTSQNIELGVSLENFPLCKELLIPPGTQNYMVRMRLYDVNRRQLNLTIRIVCRAEGSLKIFISAPYWLINKTGLPLIFRQDNAKTDAAGQFEEHELARSLSPLLFCYADKEQPNLCTMRIGRGIHPEGMPGWCQGFSLDGGSGVRALKVIQQGNRPGLIYNIGIDVKKGRGRYIDTCMVIFAPRYLLDNKSSHKLAFAQREFARGQGTANPEGYISTLPGSSVVFHWPRNDYDQLLCVRLMDVPNCIWSGGFEVNKNNSFHINMRDTLGKCFFLRVEITLRGATYRISFSDTDQLPPPFRIDNFSKVPVVFTQHGVAEPRLRTEVKPMTSLDYAWDEPTLPPFITLTVKGAGSSEINCNMNDFQDNRQLYYENFIYIAATYTFSGLQEGTGRPVASNKAITCAELVLDVSPKTQRVILKKKEPGKRSQLWRMTGTGMLAHEGSSVPHNPNKPSAARSTEGSAILDIAGLAAVTDNRYEPLMLRKPDRRRSTTQTWSFREGKLTCGLHGLVVQAKGGLSGLFDGAEVVLGPDTSMELLGPVPPEQQFINQKMRPGSGMLSIRVIPDGPTRALQITDFCHRKSSRSYEVDELPVTEQELQKLKNPDTEQELEVLVRLEGGIGLSLINKVPEELVFASLTGINVHYTQLATSHMLELSIQDVQVDNQLIGTTQPFMLYVTPLSNENEVIETGPAVQVNAVKFPSKSALTNIYKHLMITAQRFTVQIEEKLLLKLLSFFGYDQAESEVEKYDENLHEKTAEQGGTPIRYYFENLKISIPQIKLSVFTSNKLPLDLKALKSTLGFPLIRFEDAVINLDPFTRVHPYETKEFIINDILKHFQEELLSQAARILGSVDFLGNPMGLLNDVSEGVTGLIKYGNVGGLIRNVTHGVSNSAAKFAGTLSDGLGKTMDNRHQSEREYIRYHAATSGEHLVAGIHGLAHGIIGGLTSVITSTVEGVKTEGGVSGFISGLGKGLVGTVTKPVAGALDFASETAQAVRDTATLSGPRTQAQRVRKPRCCTGPQGLLPRYSESQAEGQEQLFKLTDNIQDEFFIAVENIDSYCVLISSKAVYFLKSGDYVDREAIFLEVKYDDLYHCLVSKDHGKVYVQVTKKAVSTSSGVSIPGPSHQKPMVHVKSEVLAVKLSQEINYAKSLYYEQQLMLRLSENREQLELDS
+>DECOY_sp|Q5THJ4|VP13D_HUMAN Vacuolar protein sorting-associated protein 13D OS=Homo sapiens OX=9606 GN=VPS13D PE=1 SV=2
+SDLELQERNESLRLMLQQEYYLSKAYNIEQSLKVALVESKVHVMPKQHSPGPISVGSSTSVAKKTVQVYVKGHDKSVLCHYLDDYKVELFIAERDVYDGSKLFYVAKSSILVCYSDINEVAIFFEDQINDTLKFLQEQGEAQSESYRPLLGQPGTCCRPKRVRQAQTRPGSLTATDRVAQATESAFDLAGAVPKTVTGVLGKGLGSIFGSVGGETKVGEVTSTIVSTLGGIIGHALGHIGAVLHEGSTAAHYRIYERESQHRNDMTKGLGDSLTGAFKAASNSVGHTVNRILGGVNGYKILGTVGESVDNLLGMPNGLFDVSGLIRAAQSLLEEQFHKLIDNIIFEKTEYPHVRTFPDLNIVADEFRILPFGLTSKLAKLDLPLKNSTFVSLKIQPISIKLNEFYYRIPTGGQEATKEHLNEDYKEVESEAQDYGFFSLLKLLLKEEIQVTFRQATIMLHKYINTLASKSPFKVANVQVAPGTEIVENENSLPTVYLMFPQTTGILQNDVQVDQISLELMHSTALQTYHVNIGTLSAFVLEEPVKNILSLGIGGELRVLVELEQETDPNKLKQLEQETVPLEDVEYSRSSKRHCFDTIQLARTPGDPIVRISLMGSGPRMKQNIFQQEPPVPGLLEMSTDPGLVVEAGDFLGSLGGKAQVVLGHLGCTLKGERFSWTQTTSRRRDPKRLMLPEYRNDTVAALGAIDLIASGETSRAASPKNPNHPVSSGEHALMGTGTMRWLQSRKGPEKKKLIVRQTKPSVDLVLEACTIAKNSAVPRGTGEQLGSFTYTAAIYIFNEYYLQRNDQFDNMNCNIESSGAGKVTLTIFPPLTPEDWAYDLSTMPKVETRLRPEAVGHQTFVVPVKSFNDIRFPPPLQDTDSFSIRYTAGRLTIEVRLFFCKGLTDRMNIHFSNNKNVEFGGSWICNPVDMLRVCLLQDYDNRPWHFVVSSGPLTSIYGEPNATGQGRAFERQAFALKHSSKNDLLYRPAFIVMCTDIYRGRGKKVDIGINYILGPRNGQQIVKLARVGSGGDLSFGQCWGPMGEPHIGRGIRMTCLNPQEKDAYCFLLPSLSRALEHEEFQGAADTKANDQRFILPLGTKNILWYPASIFIKLSGEARCVIRITLNLQRRNVDYLRMRVMYNQTGPPILLEKCLPFNELSVGLEINQSTDATHLAAEKGPKLTGNIPMGKVYFDLECPLLNCIVVTPLLYITHGPQRFIQKASDSFINSPMYDPYNEKKIAVCFRFFRSKETDMSHCERKSSSIEATKVVNTWHIPAKCFFVGLGKPRAQLRWSTLHLPVAFSDGPMIAPLVVPKDPASPSDLRLEMPTELRNRVILASRVTIVKRASGEMTVAFVVRVPPLSSFYVQPHIINTRSSPSGITSSSSNKDPAAYRFFTGVKDVSVPSVQEWGNVRVQLQHIRLDHTHRHRLKGRAEFEFPIEEGTLVERWESVNHTDDLFTGNGEPVVGPSGSHSLAARTPTTTLTAFWLTCGTHNRLAFPVFPQRRKPTKVEARAYIQHELNTLSATSRTRLYVLPLSQGFCPPDVSSGMWDESKASEIDKDDKCYDAMWSEKTSVYQDILVSTINIDLRPKAKAELKLRPPHLRSAAQQQWSVSCPWPEIFPEWGSLARNYYDGSLTFHAYGEPSTRVRQLFNLRSFTLEALPVDCDMCDDIFCICVSEAKIEVGSILPAAVAGPSDRSTSALSLSKLPEANKFLWSAAKKLQGQCALLAKRCDDMSFGLEQLRALQLELVPDLTHRTGERIEEGVRSAGPLYTGVSDSELAVSDPVAANAQEPISKAIALFLQVDNYSLRIDLAQLQIELVPPFDESNFADMLGSSNQYSSNGVLEMQIQVPDVISLATDHENGLRCSFVEIGFLSGSFPRDVFRPKYTLVTTGKLIIANTDFCSVDEIVVFETGTVNVKVELHRENSVPLSSRKTVVGSKVTKPVIASESSSNRHRSPTVHNQKKIDSPTHLFDHVLLLWDFILFVRLNNLVVTFCSSDKTSRFHLEIQISGQTTSSNKAPQFICSFVNTMGPSTKQGAYRTDFAMMSHSVLNISKTQNSFSEYLLKCKKFDFRALSGAGEKRKPDKLELSVNVMDILFCMCTYVEGSLVTHIRPDQLDYPRMFEEIPEGLNNELLGRILKYKYLDLSCHVSSLNGHISIDPVTHSIEKDLNREVQLKLRCPETLLSGGTQRVFYSPFILDGSSDEPAKSYERPHREAAFIDMDQLDVVVCDLLCVHDEPSSSEQGVSLTPQPGQQKTSTSPVFESKLSGLSMGALPMTFQGQSHTGRPEETSTTKRLSHKPIGTPSASPVSEKDQLSFTGPFGAFLFKNKVKLKGLNAVILNNSRSSEPILLVPAGAEIDLLVRSCRQAQDRVTQGEIAARQRGLVDQLQTFHQIFAVVEAQFRQTHVYQVSAMRLSVRIDHERRLLPDPRGYKFTQFILAEEGSTTFRERYFEGHCTLDSLSLSGISGQLALDGEIMELHAVLKSVNAKALESTTKNLVLSLSHVKLDLKTNVPDQLGSEMSAHPELKVNHLIGEPPLRMAHNDATSGIGFFDLIVVWTQLTILVDLCNFDVDISRNVRNYSSSFEPHKKDVLFINIHVLSDDFKSKGVLIKPEDVTPSPPPTLPYDKDQVEKQKKRSASTPRQYLQFVNPAEEMHSPLSRPMDPYEVNSVSPCSQSLYEKQALSSPKPAGRSVMLNKYKSDPNKELLDEMLLSHLAIQISLTQPHDKSFEVEFDQFKLSVLGQAGLTLDGSLQVQLESICFTAQIQTFSKVEKVSLSKQSTNSLSSHSFLGNEKREVSSEGCTSLPPLPSDPCPSSTASAPYKNLDESYVLNDLTQLVQEYVHKALVVQVPNVFKGEIKMISSTGLDDPSLSFTLESEREIPIKELKFQITTNNLIHFAQGRHLSEFFDHRTFHAEEQSNASGSGSPPCFMRSGESGVAERGALQTCNLSYLKIDKIEVQLRDSRSEDDGAVFNQIFIQGLHGVLLEPSGPKRPISVVPSEININLILKVTDLHSSALDFGYIENEELIFPERTKRPTEFLSVMESYEATKTALVTTVKTAASKLMGRFNEELEDMSLTLEKLFKASHNYHLSAMKLNLFCEQKSREVFTFSLAETLAADEMRVFVSEFYGIDSIINEYGVSNGISVVYQNKVNDQTLDMLQLCGLSGNMDFTSGMSVNVKTGGISATAIKRGYKERNAMGVTRLLLLNLRHIEVAVETNQEYTSQYTGQERFSREFDTMLPQPSLDDKEKPFSKQLFGILEVITEPNLIIDLNNVQLSIVQLTSDLNMSPCESSVFQYELKILSEQEKTLIKDLSVSTASRDNLTAGDTLHAVNPGSVPSQARSDRLSGTPIDFSLNKHSAMLLDFDAGYTQMTDVLLLGHVTLSVEADYPRKVFHANTGFVKLVSIYRGNSEVGLQMCNVKFEALLLQSEVLVERTHQELLVISQTLNMVSDQLSGRQEEQPFIKEKIQTDSTKMEPTTLLAFCNKLASIKDENIHIKLDPLNGSLVAGPYKPDSTYILRRELQLHVNFKEVVHTPGVDIDQVHKWNDKVRGVMIQLDMFSLSYREYMKTSMLHAQLQEESFEVGSFPPTKEGNSSSSEPPPTNPPTALPTKYEDDSFQTEESASGDRSKRRSNDQTNTLLMRGLDVVVLVPNKFKFDDPFIVQPASIDLRVTWRKSEEIFDGVLLRDLTQRIEAKTQMKLKNYQRRAAEAVRLELESQYGFGSTHVKGKYFFDAVKKIAQPNYIINLPRTSVNLRREFHSHAPNREYLMEFVPGDPDAAPYHDSRDASTTQLGFSQSVRGVEKQPNPFVLLPFMTGETALDRLFLGGLRVSLLSSNRRPLSEALLKVDSFELQMFASENMQPTGQEKHLLTVTGRQLQLNLKAFVHDRKTYTNMCSADATPDFFEETGLIEEPIWQEQQEASLGEVVNGEPTQQGYWGGWGPFWSQLYQLMGSGGGPEPAGPCAGPSDFQPERLSEALEEQKHFRDHVLERLIKLEEFSQEEEIRCMEEKDDTSLLGGKLKNFYKDTYSVADRARHLMFDWTCRKRQERIEYLNANLAFYWWERCNKSIAVKPKWRRFKVQREKRELEKLFEMIQRYQLQSLKLPITELQIDCDIRPSHRSRLPKKSCNRKLLASAFVPELVYHHSRSEMSRAMAEQLEMQPLDGLLTCDVDWYISFEAVDLQKKRMLKQVPENVANQMSVNKICIGFAFPHSPNTVGDEFRLHVDQIKLEINEVIRTVVSATVSYWYSEGKQQRDNKWKEELAQLLAKKRERELLKEKEDNFDQIKEPAGILHLSSISIVWPDVHPRYFPIQLTVKGIFGAKVEFPLELEKLADKKLPLNELEVAGKLLAVSLQDTNLNNVYKGLYTNLVWAVLGELM
+>sp|Q9Y6I0|VPK6_HUMAN Endogenous retrovirus group K member 6 Pro protein OS=Homo sapiens OX=9606 GN=ERVK-6 PE=3 SV=2
+WASQVSENRPVCKAIIQGKQFEGLVDTGADVSIIALNQWPKNWPKQKAVTGLVGIGTASEVYQSTEILHCLGPDNQESTVQPMITSIPLNLWGRDLLQQWGAEITMPAPSYSPTSQKIMTKMGYIPGKGLGKNEDGIKIPVEAKINQEREGIGNPC
+>DECOY_sp|Q9Y6I0|VPK6_HUMAN Endogenous retrovirus group K member 6 Pro protein OS=Homo sapiens OX=9606 GN=ERVK-6 PE=3 SV=2
+CPNGIGEREQNIKAEVPIKIGDENKGLGKGPIYGMKTMIKQSTPSYSPAPMTIEAGWQQLLDRGWLNLPISTIMPQVTSEQNDPGLCHLIETSQYVESATGIGVLGTVAKQKPWNKPWQNLAIISVDAGTDVLGEFQKGQIIAKCVPRNESVQSAW
+>sp|Q8IV63|VRK3_HUMAN Inactive serine/threonine-protein kinase VRK3 OS=Homo sapiens OX=9606 GN=VRK3 PE=1 SV=2
+MISFCPDCGKSIQAAFKFCPYCGNSLPVEEHVGSQTFVNPHVSSFQGSKRGLNSSFETSPKKVKWSSTVTSPRLSLFSDGDSSESEDTLSSSERSKGSGSRPPTPKSSPQKTRKSPQVTRGSPQKTSCSPQKTRQSPQTLKRSRVTTSLEALPTGTVLTDKSGRQWKLKSFQTRDNQGILYEAAPTSTLTCDSGPQKQKFSLKLDAKDGRLFNEQNFFQRAAKPLQVNKWKKLYSTPLLAIPTCMGFGVHQDKYRFLVLPSLGRSLQSALDVSPKHVLSERSVLQVACRLLDALEFLHENEYVHGNVTAENIFVDPEDQSQVTLAGYGFAFRYCPSGKHVAYVEGSRSPHEGDLEFISMDLHKGCGPSRRSDLQSLGYCMLKWLYGFLPWTNCLPNTEDIMKQKQKFVDKPGPFVGPCGHWIRPSETLQKYLKVVMALTYEEKPPYAMLRNNLEALLQDLRVSPYDPIGLPMVP
+>DECOY_sp|Q8IV63|VRK3_HUMAN Inactive serine/threonine-protein kinase VRK3 OS=Homo sapiens OX=9606 GN=VRK3 PE=1 SV=2
+PVMPLGIPDYPSVRLDQLLAELNNRLMAYPPKEEYTLAMVVKLYKQLTESPRIWHGCPGVFPGPKDVFKQKQKMIDETNPLCNTWPLFGYLWKLMCYGLSQLDSRRSPGCGKHLDMSIFELDGEHPSRSGEVYAVHKGSPCYRFAFGYGALTVQSQDEPDVFINEATVNGHVYENEHLFELADLLRCAVQLVSRESLVHKPSVDLASQLSRGLSPLVLFRYKDQHVGFGMCTPIALLPTSYLKKWKNVQLPKAARQFFNQENFLRGDKADLKLSFKQKQPGSDCTLTSTPAAEYLIGQNDRTQFSKLKWQRGSKDTLVTGTPLAELSTTVRSRKLTQPSQRTKQPSCSTKQPSGRTVQPSKRTKQPSSKPTPPRSGSGKSRESSSLTDESESSDGDSFLSLRPSTVTSSWKVKKPSTEFSSNLGRKSGQFSSVHPNVFTQSGVHEEVPLSNGCYPCFKFAAQISKGCDPCFSIM
+>sp|Q6UX27|VSTM1_HUMAN V-set and transmembrane domain-containing protein 1 OS=Homo sapiens OX=9606 GN=VSTM1 PE=1 SV=2
+MTAEFLSLLCLGLCLGYEDEKKNEKPPKPSLHAWPSSVVEAESNVTLKCQAHSQNVTFVLRKVNDSGYKQEQSSAENEAEFPFTDLKPKDAGRYFCAYKTTASHEWSESSEHLQLVVTDKHDELEAPSMKTDTRTIFVAIFSCISILLLFLSVFIIYRCSQHSSSSEESTKRTSHSKLPEQEAAEADLSNMERVSLSTADPQGVTYAELSTSALSEAASDTTQEPPGSHEYAALKV
+>DECOY_sp|Q6UX27|VSTM1_HUMAN V-set and transmembrane domain-containing protein 1 OS=Homo sapiens OX=9606 GN=VSTM1 PE=1 SV=2
+VKLAAYEHSGPPEQTTDSAAESLASTSLEAYTVGQPDATSLSVREMNSLDAEAAEQEPLKSHSTRKTSEESSSSHQSCRYIIFVSLFLLLISICSFIAVFITRTDTKMSPAELEDHKDTVVLQLHESSESWEHSATTKYACFYRGADKPKLDTFPFEAENEASSQEQKYGSDNVKRLVFTVNQSHAQCKLTVNSEAEVVSSPWAHLSPKPPKENKKEDEYGLCLGLCLLSLFEATM
+>sp|P58304|VSX2_HUMAN Visual system homeobox 2 OS=Homo sapiens OX=9606 GN=VSX2 PE=1 SV=1
+MTGKAGEALSKPKSETVAKSTSGGAPARCTGFGIQEILGLNKEPPSSHPRAALDGLAPGHLLAARSVLSPAGVGGMGLLGPGGLPGFYTQPTFLEVLSDPQSVHLQPLGRASGPLDTSQTASSDSEDVSSSDRKMSKSALNQTKKRKKRRHRTIFTSYQLEELEKAFNEAHYPDVYAREMLAMKTELPEDRIQVWFQNRRAKWRKREKCWGRSSVMAEYGLYGAMVRHSIPLPESILKSAKDGIMDSCAPWLLGMHKKSLEAAAESGRKPEGERQALPKLDKMEQDERGPDAQAAISQEELRENSIAVLRAKAQEHSTKVLGTVSGPDSLARSTEKPEEEEAMDEDRPAERLSPPQLEDMA
+>DECOY_sp|P58304|VSX2_HUMAN Visual system homeobox 2 OS=Homo sapiens OX=9606 GN=VSX2 PE=1 SV=1
+AMDELQPPSLREAPRDEDMAEEEEPKETSRALSDPGSVTGLVKTSHEQAKARLVAISNERLEEQSIAAQADPGREDQEMKDLKPLAQREGEPKRGSEAAAELSKKHMGLLWPACSDMIGDKASKLISEPLPISHRVMAGYLGYEAMVSSRGWCKERKRWKARRNQFWVQIRDEPLETKMALMERAYVDPYHAENFAKELEELQYSTFITRHRRKKRKKTQNLASKSMKRDSSSVDESDSSATQSTDLPGSARGLPQLHVSQPDSLVELFTPQTYFGPLGGPGLLGMGGVGAPSLVSRAALLHGPALGDLAARPHSSPPEKNLGLIEQIGFGTCRAPAGGSTSKAVTESKPKSLAEGAKGTM
+>sp|A8MWX3|WASH4_HUMAN Putative WAS protein family homolog 4 OS=Homo sapiens OX=9606 GN=WASH4P PE=5 SV=1
+MSGVMCLKASDTWASGIRSQPQGCLGKWRSMRCKHTRMHLAHLGNSRQLISLGPPRTREDGSRISQQVEQSRSQVQAIGEKVSLAQAKIEKIKGSKKAIKVFSSAKYPAPERLQEYGSIFTDAQDPGLQRRPRHRIQSKQRPLDERALQEKLKDFPVCVSTKPEPEDDAEEGLGGLPSNISSVSSLLLFNTTENLYKKYVFLDPLAGAVTKTHVMLGAETEEKLFDAPLSISKREQLEQQVPENYFYVPDLGQVPEIDVPSYLPDLPGIANDLMYIADLGPGIAPSAPGTIPELPTFHTEVAEPLKVDLQDGVLTPPPPPPPPPPAPEVLASAPPLPPSTAAPVGQGARQDDSSSSASPSVQGAPREVVDPSGGWATLLESIRQAGGIGKAKLRSMKERKLEKQQQKEQEQVRATSQGGHLMSDLFNKLVMRRKGISGKGPGAGDGPGGAFARVSDSIPPLPPPQQPQAEDEDDWES
+>DECOY_sp|A8MWX3|WASH4_HUMAN Putative WAS protein family homolog 4 OS=Homo sapiens OX=9606 GN=WASH4P PE=5 SV=1
+SEWDDEDEAQPQQPPPLPPISDSVRAFAGGPGDGAGPGKGSIGKRRMVLKNFLDSMLHGGQSTARVQEQEKQQQKELKREKMSRLKAKGIGGAQRISELLTAWGGSPDVVERPAGQVSPSASSSSDDQRAGQGVPAATSPPLPPASALVEPAPPPPPPPPPPTLVGDQLDVKLPEAVETHFTPLEPITGPASPAIGPGLDAIYMLDNAIGPLDPLYSPVDIEPVQGLDPVYFYNEPVQQELQERKSISLPADFLKEETEAGLMVHTKTVAGALPDLFVYKKYLNETTNFLLLSSVSSINSPLGGLGEEADDEPEPKTSVCVPFDKLKEQLAREDLPRQKSQIRHRPRRQLGPDQADTFISGYEQLREPAPYKASSFVKIAKKSGKIKEIKAQALSVKEGIAQVQSRSQEVQQSIRSGDERTRPPGLSILQRSNGLHALHMRTHKCRMSRWKGLCGQPQSRIGSAWTDSAKLCMVGSM
+>sp|Q6ICG8|WBP2L_HUMAN Postacrosomal sheath WW domain-binding protein OS=Homo sapiens OX=9606 GN=WBP2NL PE=2 SV=1
+MAVNQSHTENRRGALIPNGESLLKRSPNVELSFPQRSEGSNVFSGRKTGTLFLTSYRVIFITSCSISDPMLSFMMPFDLMTNLTVEQPVFAANFIKGTIQAAPYGGWEGQATFKLVFRNGDAIEFAQLMVKAASAAARGFPLRTLNDWFSSMGIYVITGEGNMCTPQMPCSVIVYGAPPAGYGAPPPGYGAPPAGYGAQPVGNEGPPVGYRASPVRYGAPPLGYGAPPAGYGAPPLGYGAPPLGYGTPPLGYGAPPLGYGAPPAGNEGPPAGYRASPAGSGARPQESTAAQAPENEASLPSASSSQVHS
+>DECOY_sp|Q6ICG8|WBP2L_HUMAN Postacrosomal sheath WW domain-binding protein OS=Homo sapiens OX=9606 GN=WBP2NL PE=2 SV=1
+SHVQSSSASPLSAENEPAQAATSEQPRAGSGAPSARYGAPPGENGAPPAGYGLPPAGYGLPPTGYGLPPAGYGLPPAGYGAPPAGYGLPPAGYRVPSARYGVPPGENGVPQAGYGAPPAGYGPPPAGYGAPPAGYVIVSCPMQPTCMNGEGTIVYIGMSSFWDNLTRLPFGRAAASAAKVMLQAFEIADGNRFVLKFTAQGEWGGYPAAQITGKIFNAAFVPQEVTLNTMLDFPMMFSLMPDSISCSTIFIVRYSTLFLTGTKRGSFVNSGESRQPFSLEVNPSRKLLSEGNPILAGRRNETHSQNVAM
+>sp|Q8IWB7|WDFY1_HUMAN WD repeat and FYVE domain-containing protein 1 OS=Homo sapiens OX=9606 GN=WDFY1 PE=1 SV=1
+MAAEIHSRPQSSRPVLLSKIEGHQDAVTAALLIPKEDGVITASEDRTIRVWLKRDSGQYWPSIYHTMASPCSAMAYHHDSRRIFVGQDNGAVMEFHVSEDFNKMNFIKTYPAHQNRVSAIIFSLATEWVISTGHDKCVSWMCTRSGNMLGRHFFTSWASCLQYDFDTQYAFVGDYSGQITLLKLEQNTCSVITTLKGHEGSVACLWWDPIQRLLFSGASDNSIIMWDIGGRKGRTLLLQGHHDKVQSLCYLQLTRQLVSCSSDGGIAVWNMDVSREEAPQWLESDSCQKCEQPFFWNIKQMWDTKTLGLRQHHCRKCGQAVCGKCSSKRSSYPVMGFEFQVRVCDSCYDSIKDEDRTSLATFHEGKHNISHMSMDIARGLMVTCGTDRIVKIWDMTPVVGCSLATGFSPH
+>DECOY_sp|Q8IWB7|WDFY1_HUMAN WD repeat and FYVE domain-containing protein 1 OS=Homo sapiens OX=9606 GN=WDFY1 PE=1 SV=1
+HPSFGTALSCGVVPTMDWIKVIRDTGCTVMLGRAIDMSMHSINHKGEHFTALSTRDEDKISDYCSDCVRVQFEFGMVPYSSRKSSCKGCVAQGCKRCHHQRLGLTKTDWMQKINWFFPQECKQCSDSELWQPAEERSVDMNWVAIGGDSSCSVLQRTLQLYCLSQVKDHHGQLLLTRGKRGGIDWMIISNDSAGSFLLRQIPDWWLCAVSGEHGKLTTIVSCTNQELKLLTIQGSYDGVFAYQTDFDYQLCSAWSTFFHRGLMNGSRTCMWSVCKDHGTSIVWETALSFIIASVRNQHAPYTKIFNMKNFDESVHFEMVAGNDQGVFIRRSDHHYAMASCPSAMTHYISPWYQGSDRKLWVRITRDESATIVGDEKPILLAATVADQHGEIKSLLVPRSSQPRSHIEAAM
+>sp|Q6ZS81|WDFY4_HUMAN WD repeat- and FYVE domain-containing protein 4 OS=Homo sapiens OX=9606 GN=WDFY4 PE=1 SV=3
+MEAEDLSKAEDRNEDPGSKNEGQLAAVQPDVPHGGQSSSPTALWDMLERKFLEYQQLTHKSPIERQKSLLSLLPLFLKAWEHSVGIICFPSLQRLAEDVSDQLAQQLQKALVGKPAEQARLAAGQLLWWKGDVDQDGYLLLKSVYVLTGTDSETLGRVAESGLPALLLQCLYLFFVFPLDKDELLESDLQVQKMFVQMLLNICSDSQGLEGLLSGSELQSLLIATTCLREHSCCFWKEPTFCVLRAISKAQNLSIIQYLQATDCVRLSLQNLSRLTDTLPAPEVSEAVSLILGFVKDSYPVSSALFLEFENSEGYPLLLKVLLRYDGLTQSEVDPHLEELLGLVVWLTTCGRSELKVFDSITYPQLEGFKFHHEASGVTVKNLQAFQVLQNVFHKASDSVLCIQVLSVIRTMWAWNARNFFLLEWTLQPISQFVEIMPLKPAPVQEHFFQLLEALVFELHYVPHEILRKVQHLIKESPGPSCTLMALQSILSIAGGDPLFTDIFRDSGLLGLLLAQLRKQAKIMRKSGNKVSTPGVQDPERELTCVMLRIVVTLLKGSVRNAVVLKDHGMVPFIKIFLDDECYREASLSILEQLSAINAEEYMSIIVGALCSSTQGELQLKLDLLKSLLRILVTPKGRAAFRVSSGFNGLLSLLSDLEGSLQEPPLQAWGAVSPRQTLELVLYTLCAVSAALHWDPVNGYFFRRNGLFEKLAEDLCLLGCFGALEEEGNLLRSWVDTKARPFADLLGTAFSSSGSLPPRIQSCLQILGFLDSMASGTLHLRGDLKESLRTKQGPVVDVQKGETGSDPQRNFKQWPDLEERMDEGDAAIMHPGVVCIMVRLLPRLYHEDHPQLSEEIQCSLASHIQSLVKSEKNRQVMCEAGLLGTLMASCHRALVTSGSPLHSRLIRIFEKLASQAIEPDVLRQFLGLGIPSSLSATTKILDSSHTHRGNPGCSGSQTAQGLAEGPWPAAPDAGLHPGVTQAPQPLGESQDSTTALQTALSLISMTSPRNLQPQRAALAPSFVEFDMSVEGYGCLFIPTLSTVMGTSTEYSVSGGIGTGATRPFPPPGGLTFSCWFLISRHGAATEGHPLRFLTLVRHLARTEQPFVCFSVSLCPDDLSLVVSTEEKEFQPLDVMEPEDDSEPSAGCQLQVRCGQLLACGQWHHLAVVVTKEMKRHCTVSTCLDGQVIGSAKMLYIQALPGPFLSMDPSAFVDVYGYIATPRVWKQKSSLIWRLGPTYLFEEAISMETLEVINKLGPRYCGNFQAVHVQGEDLDSEATPFVAEERVSFGLHIASSSITSVADIRNAYNEVDSRLIAKEMNISSRDNAMPVFLLRNCAGHLSGSLRTIGAVAVGQLGVRVFHSSPAASSLDFIGGPAILLGLISLATDDHTMYAAVKVLHSVLTSNAMCDFLMQHICGYQIMAFLLRKKASLLNHRIFQLILSVAGTVELGFRSSAITNTGVFQHILCNFELWMNTADNLELSLFSHLLEILQSPREGPRNAEAAHQAQLIPKLIFLFNEPSLIPSKISTIIGILACQLRGHFSTQDLLRIGLFVVYTLKPSSVNERQICMDGALDPSLPAGSQTSGKTIWLRNQLLEMLLSVISSPQLHLSSESKEEMFLKLGPDWFLLLLQGHLHASTTVLALKLLLYFLASPSLRTRFRDGLCAGSWVERSTEGVDIVMDNLKSQSPLPEQSPCLLPGFRVLNDFLAHHVHIPEVYLIVSTFFLQTPLTELMDGPKDSLDAMLQWLLQRHHQEEVLQAGLCTEGALLLLEMLKATMSQPLAGSEDGAWAQTFPASVLQFLSLVHRTYPQDPAWRAPEFLQTLAIAAFPLGAQKGVGAESTRNTSSPEAAAEGDSTVEGLQAPTKAHPARRKLREFTQLLLRELLLGASSPKQWLPLEVLLEASPDHATSQQKRDFQSEVLLSAMELFHMTSGGDAAMFRDGKEPQPSAEAAAAPSLANISCFTQKLVEKLYSGMFSADPRHILLFILEHIMVVIETASSQRDTVLSTLYSSLNKVILYCLSKPQQSLSECLGLLSILGFLQEHWDVVFATYNSNISFLLCLMHCLLLLNERSYPEGFGLEPKPRMSTYHQVFLSPNEDVKEKREDLPSLSDVQHNIQKTVQTLWQQLVAQRQQTLEDAFKIDLSVKPGEREVKIEEVTPLWEETMLKAWQHYLASEKKSLASRSNVAHHSKVTLWSGSLSSAMKLMPGRQAKDPECKTEDFVSCIENYRRRGQELYASLYKDHVQRRKCGNIKAANAWARIQEQLFGELGLWSQGEETKPCSPWELDWREGPARMRKRIKRLSPLEALSSGRHKESQDKNDHISQTNAENQDELTLREAEGEPDEVGVDCTQLTFFPALHESLHSEDFLELCRERQVILQELLDKEKVTQKFSLVIVQGHLVSEGVLLFGHQHFYICENFTLSPTGDVYCTRHCLSNISDPFIFNLCSKDRSTDHYSCQCHSYADMRELRQARFLLQDIALEIFFHNGYSKFLVFYNNDRSKAFKSFCSFQPSLKGKATSEDTLSLRRYPGSDRIMLQKWQKRDISNFEYLMYLNTAAGRTCNDYMQYPVFPWVLADYTSETLNLANPKIFRDLSKPMGAQTKERKLKFIQRFKEVEKTEGDMTVQCHYYTHYSSAIIVASYLVRMPPFTQAFCALQGGSFDVADRMFHSVKSTWESASRENMSDVRELTPEFFYLPEFLTNCNGVEFGCMQDGTVLGDVQLPPWADGDPRKFISLHRKALESDFVSANLHHWIDLIFGYKQQGPAAVDAVNIFHPYFYGDRMDLSSITDPLIKSTILGFVSNFGQVPKQLFTKPHPARTAAGKPLPGKDVSTPVSLPGHPQPFFYSLQSLRPSQVTVKDMYLFSLGSESPKGAIGHIVSTEKTILAVERNKVLLPPLWNRTFSWGFDDFSCCLGSYGSDKVLMTFENLAAWGRCLCAVCPSPTTIVTSGTSTVVCVWELSMTKGRPRGLRLRQALYGHTQAVTCLAASVTFSLLVSGSQDCTCILWDLDHLTHVTRLPAHREGISAITISDVSGTIVSCAGAHLSLWNVNGQPLASITTAWGPEGAITCCCLMEGPAWDTSQIIITGSQDGMVRVWKTEDVKMSVPGRPAGEEPPAQPPSPRGHKWEKNLALSRELDVSIALTGKPSKTSPAVTALAVSRNHTKLLVGDERGRIFCWSADG
+>DECOY_sp|Q6ZS81|WDFY4_HUMAN WD repeat- and FYVE domain-containing protein 4 OS=Homo sapiens OX=9606 GN=WDFY4 PE=1 SV=3
+GDASWCFIRGREDGVLLKTHNRSVALATVAPSTKSPKGTLAISVDLERSLALNKEWKHGRPSPPQAPPEEGAPRGPVSMKVDETKWVRVMGDQSGTIIIQSTDWAPGEMLCCCTIAGEPGWATTISALPQGNVNWLSLHAGACSVITGSVDSITIASIGERHAPLRTVHTLHDLDWLICTCDQSGSVLLSFTVSAALCTVAQTHGYLAQRLRLGRPRGKTMSLEWVCVVTSTGSTVITTPSPCVACLCRGWAALNEFTMLVKDSGYSGLCCSFDDFGWSFTRNWLPPLLVKNREVALITKETSVIHGIAGKPSESGLSFLYMDKVTVQSPRLSQLSYFFPQPHGPLSVPTSVDKGPLPKGAATRAPHPKTFLQKPVQGFNSVFGLITSKILPDTISSLDMRDGYFYPHFINVADVAAPGQQKYGFILDIWHHLNASVFDSELAKRHLSIFKRPDGDAWPPLQVDGLVTGDQMCGFEVGNCNTLFEPLYFFEPTLERVDSMNERSASEWTSKVSHFMRDAVDFSGGQLACFAQTFPPMRVLYSAVIIASSYHTYYHCQVTMDGETKEVEKFRQIFKLKREKTQAGMPKSLDRFIKPNALNLTESTYDALVWPFVPYQMYDNCTRGAATNLYMLYEFNSIDRKQWKQLMIRDSGPYRRLSLTDESTAKGKLSPQFSCFSKFAKSRDNNYFVLFKSYGNHFFIELAIDQLLFRAQRLERMDAYSHCQCSYHDTSRDKSCLNFIFPDSINSLCHRTCYVDGTPSLTFNECIYFHQHGFLLVGESVLHGQVIVLSFKQTVKEKDLLEQLIVQRERCLELFDESHLSEHLAPFFTLQTCDVGVEDPEGEAERLTLEDQNEANTQSIHDNKDQSEKHRGSSLAELPSLRKIRKRMRAPGERWDLEWPSCPKTEEGQSWLGLEGFLQEQIRAWANAAKINGCKRRQVHDKYLSAYLEQGRRRYNEICSVFDETKCEPDKAQRGPMLKMASSLSGSWLTVKSHHAVNSRSALSKKESALYHQWAKLMTEEWLPTVEEIKVEREGPKVSLDIKFADELTQQRQAVLQQWLTQVTKQINHQVDSLSPLDERKEKVDENPSLFVQHYTSMRPKPELGFGEPYSRENLLLLCHMLCLLFSINSNYTAFVVDWHEQLFGLISLLGLCESLSQQPKSLCYLIVKNLSSYLTSLVTDRQSSATEIVVMIHELIFLLIHRPDASFMGSYLKEVLKQTFCSINALSPAAAAEASPQPEKGDRFMAADGGSTMHFLEMASLLVESQFDRKQQSTAHDPSAELLVELPLWQKPSSAGLLLERLLLQTFERLKRRAPHAKTPAQLGEVTSDGEAAAEPSSTNRTSEAGVGKQAGLPFAAIALTQLFEPARWAPDQPYTRHVLSLFQLVSAPFTQAWAGDESGALPQSMTAKLMELLLLAGETCLGAQLVEEQHHRQLLWQLMADLSDKPGDMLETLPTQLFFTSVILYVEPIHVHHALFDNLVRFGPLLCPSQEPLPSQSKLNDMVIDVGETSREVWSGACLGDRFRTRLSPSALFYLLLKLALVTTSAHLHGQLLLLFWDPGLKLFMEEKSESSLHLQPSSIVSLLMELLQNRLWITKGSTQSGAPLSPDLAGDMCIQRENVSSPKLTYVVFLGIRLLDQTSFHGRLQCALIGIITSIKSPILSPENFLFILKPILQAQHAAEANRPGERPSQLIELLHSFLSLELNDATNMWLEFNCLIHQFVGTNTIASSRFGLEVTGAVSLILQFIRHNLLSAKKRLLFAMIQYGCIHQMLFDCMANSTLVSHLVKVAAYMTHDDTALSILGLLIAPGGIFDLSSAAPSSHFVRVGLQGVAVAGITRLSGSLHGACNRLLFVPMANDRSSINMEKAILRSDVENYANRIDAVSTISSSAIHLGFSVREEAVFPTAESDLDEGQVHVAQFNGCYRPGLKNIVELTEMSIAEEFLYTPGLRWILSSKQKWVRPTAIYGYVDVFASPDMSLFPGPLAQIYLMKASGIVQGDLCTSVTCHRKMEKTVVVALHHWQGCALLQGCRVQLQCGASPESDDEPEMVDLPQFEKEETSVVLSLDDPCLSVSFCVFPQETRALHRVLTLFRLPHGETAAGHRSILFWCSFTLGGPPPFPRTAGTGIGGSVSYETSTGMVTSLTPIFLCGYGEVSMDFEVFSPALAARQPQLNRPSTMSILSLATQLATTSDQSEGLPQPAQTVGPHLGADPAAPWPGEALGQATQSGSCGPNGRHTHSSDLIKTTASLSSPIGLGLFQRLVDPEIAQSALKEFIRILRSHLPSGSTVLARHCSAMLTGLLGAECMVQRNKESKVLSQIHSALSCQIEESLQPHDEHYLRPLLRVMICVVGPHMIAADGEDMREELDPWQKFNRQPDSGTEGKQVDVVPGQKTRLSEKLDGRLHLTGSAMSDLFGLIQLCSQIRPPLSGSSSFATGLLDAFPRAKTDVWSRLLNGEEELAGFCGLLCLDEALKEFLGNRRFFYGNVPDWHLAASVACLTYLVLELTQRPSVAGWAQLPPEQLSGELDSLLSLLGNFGSSVRFAARGKPTVLIRLLSKLLDLKLQLEGQTSSCLAGVIISMYEEANIASLQELISLSAERYCEDDLFIKIFPVMGHDKLVVANRVSGKLLTVVIRLMVCTLEREPDQVGPTSVKNGSKRMIKAQKRLQALLLGLLGSDRFIDTFLPDGGAISLISQLAMLTCSPGPSEKILHQVKRLIEHPVYHLEFVLAELLQFFHEQVPAPKLPMIEVFQSIPQLTWELLFFNRANWAWMTRIVSLVQICLVSDSAKHFVNQLVQFAQLNKVTVGSAEHHFKFGELQPYTISDFVKLESRGCTTLWVVLGLLEELHPDVESQTLGDYRLLVKLLLPYGESNEFELFLASSVPYSDKVFGLILSVAESVEPAPLTDTLRSLNQLSLRVCDTAQLYQIISLNQAKSIARLVCFTPEKWFCCSHERLCTTAILLSQLESGSLLGELGQSDSCINLLMQVFMKQVQLDSELLEDKDLPFVFFLYLCQLLLAPLGSEAVRGLTESDTGTLVYVSKLLLYGDQDVDGKWWLLQGAALRAQEAPKGVLAKQLQQALQDSVDEALRQLSPFCIIGVSHEWAKLFLPLLSLLSKQREIPSKHTLQQYELFKRELMDWLATPSSSQGGHPVDPQVAALQGENKSGPDENRDEAKSLDEAEM
+>sp|O75717|WDHD1_HUMAN WD repeat and HMG-box DNA-binding protein 1 OS=Homo sapiens OX=9606 GN=WDHD1 PE=1 SV=1
+MPATRKPMRYGHTEGHTEVCFDDSGSFIVTCGSDGDVRIWEDLDDDDPKFINVGEKAYSCALKSGKLVTAVSNNTIQVHTFPEGVPDGILTRFTTNANHVVFNGDGTKIAAGSSDFLVKIVDVMDSSQQKTFRGHDAPVLSLSFDPKDIFLASASCDGSVRVWQISDQTCAISWPLLQKCNDVINAKSICRLAWQPKSGKLLAIPVEKSVKLYRRESWSHQFDLSDNFISQTLNIVTWSPCGQYLAAGSINGLIIVWNVETKDCMERVKHEKGYAICGLAWHPTCGRISYTDAEGNLGLLENVCDPSGKTSSSKVSSRVEKDYNDLFDGDDMSNAGDFLNDNAVEIPSFSKGIINDDEDDEDLMMASGRPRQRSHILEDDENSVDISMLKTGSSLLKEEEEDGQEGSIHNLPLVTSQRPFYDGPMPTPRQKPFQSGSTPLHLTHRFMVWNSIGIIRCYNDEQDNAIDVEFHDTSIHHATHLSNTLNYTIADLSHEAILLACESTDELASKLHCLHFSSWDSSKEWIIDLPQNEDIEAICLGQGWAAAATSALLLRLFTIGGVQKEVFSLAGPVVSMAGHGEQLFIVYHRGTGFDGDQCLGVQLLELGKKKKQILHGDPLPLTRKSYLAWIGFSAEGTPCYVDSEGIVRMLNRGLGNTWTPICNTREHCKGKSDHYWVVGIHENPQQLRCIPCKGSRFPPTLPRPAVAILSFKLPYCQIATEKGQMEEQFWRSVIFHNHLDYLAKNGYEYEESTKNQATKEQQELLMKMLALSCKLEREFRCVELADLMTQNAVNLAIKYASRSRKLILAQKLSELAVEKAAELTATQVEEEEEEEDFRKKLNAGYSNTATEWSQPRFRNQVEEDAEDSGEADDEEKPEIHKPGQNSFSKSTNSSDVSAKSGAVTFSSQGRVNPFKVSASSKEPAMSMNSARSTNILDNMGKSSKKSTALSRTTNNEKSPIIKPLIPKPKPKQASAASYFQKRNSQTNKTEEVKEENLKNVLSETPAICPPQNTENQRPKTGFQMWLEENRSNILSDNPDFSDEADIIKEGMIRFRVLSTEERKVWANKAKGETASEGTEAKKRKRVVDESDETENQEEKAKENLNLSKKQKPLDFSTNQKLSAFAFKQE
+>DECOY_sp|O75717|WDHD1_HUMAN WD repeat and HMG-box DNA-binding protein 1 OS=Homo sapiens OX=9606 GN=WDHD1 PE=1 SV=1
+EQKFAFASLKQNTSFDLPKQKKSLNLNEKAKEEQNETEDSEDVVRKRKKAETGESATEGKAKNAWVKREETSLVRFRIMGEKIIDAEDSFDPNDSLINSRNEELWMQFGTKPRQNETNQPPCIAPTESLVNKLNEEKVEETKNTQSNRKQFYSAASAQKPKPKPILPKIIPSKENNTTRSLATSKKSSKGMNDLINTSRASNMSMAPEKSSASVKFPNVRGQSSFTVAGSKASVDSSNTSKSFSNQGPKHIEPKEEDDAEGSDEADEEVQNRFRPQSWETATNSYGANLKKRFDEEEEEEEVQTATLEAAKEVALESLKQALILKRSRSAYKIALNVANQTMLDALEVCRFERELKCSLALMKMLLEQQEKTAQNKTSEEYEYGNKALYDLHNHFIVSRWFQEEMQGKETAIQCYPLKFSLIAVAPRPLTPPFRSGKCPICRLQQPNEHIGVVWYHDSKGKCHERTNCIPTWTNGLGRNLMRVIGESDVYCPTGEASFGIWALYSKRTLPLPDGHLIQKKKKGLELLQVGLCQDGDFGTGRHYVIFLQEGHGAMSVVPGALSFVEKQVGGITFLRLLLASTAAAAWGQGLCIAEIDENQPLDIIWEKSSDWSSFHLCHLKSALEDTSECALLIAEHSLDAITYNLTNSLHTAHHISTDHFEVDIANDQEDNYCRIIGISNWVMFRHTLHLPTSGSQFPKQRPTPMPGDYFPRQSTVLPLNHISGEQGDEEEEKLLSSGTKLMSIDVSNEDDELIHSRQRPRGSAMMLDEDDEDDNIIGKSFSPIEVANDNLFDGANSMDDGDFLDNYDKEVRSSVKSSSTKGSPDCVNELLGLNGEADTYSIRGCTPHWALGCIAYGKEHKVREMCDKTEVNWVIILGNISGAALYQGCPSWTVINLTQSIFNDSLDFQHSWSERRYLKVSKEVPIALLKGSKPQWALRCISKANIVDNCKQLLPWSIACTQDSIQWVRVSGDCSASALFIDKPDFSLSLVPADHGRFTKQQSSDMVDVIKVLFDSSGAAIKTGDGNFVVHNANTTFRTLIGDPVGEPFTHVQITNNSVATVLKGSKLACSYAKEGVNIFKPDDDDLDEWIRVDGDSGCTVIFSGSDDFCVETHGETHGYRMPKRTAPM
+>sp|A2RRH5|WDR27_HUMAN WD repeat-containing protein 27 OS=Homo sapiens OX=9606 GN=WDR27 PE=1 SV=3
+MENPQDIFSSNGGCLSDIVIEKYLVESKESVSHVQLACSMQDCAFPLDGTELCIWNTKDPSHQLLILRGHHQPITAMAFGNKVNPLLICSASLDYVIMWNLDECREKVLQGLVPRGTVMGSLLGKVLCLQLSLDDHVVAVCAGNKIFMLDIETQAVRAELQGHLGPVTAVEFCPWRAGTLISASEDRGFKVWDHCTGSLIYSSSVLSAYPLLSLFIDAESRQLVTGCADGQLWIFSLMDGHHYRRVARVDLRKKTETFSTRRVKSGLCSQPEESQLPSTSALGKGEQVEVTFPVLRLAPCDLSLIPNSACGCLSSENTRCVWIGSSVGLFVFNLANLEVEAALYYKDFQSLSILLAGSCALRNRTADQKVLCLLASLFGGKIAVLEINPAALVRAQQCPSMGQSLSVPASSCVLPTSPLYLGIAKEKSTKAASEQRRAARNVMKDQRLVFHSKVRSSGYASAPHVTMFSPKTNIKSEGKGSSRSRSSCAREAYPVECAVPTKPGPQVAAAPTCTRVCCIQYSGDGQWLACGLANHLLLVFDASLTGTPAVFSGHDGAVNAVCWSQDRRWLLSAARDGTLRMWSARGAELALLLGKDMFSKPIQSAQFYYIDAFILLSSGPEFQLLRYHIDTCKDEIKRYKQKSKSKLICRLSTTGAVDMTSLSAVNDFYSHIVLAAGRNRTVEVFDLNAGCSAAVIAEAHSRPVHQICQNKGSSFTTQQPQAYNLFLTTAIGDGMRLWDLRTLRCERHFEGHPTRGYPCGIAFSPCGRFAACGAEDRHAYVYEMGSSTFSHRLAGHTDTVTGVAFNPSAPQLATATLDGKLQLFLAE
+>DECOY_sp|A2RRH5|WDR27_HUMAN WD repeat-containing protein 27 OS=Homo sapiens OX=9606 GN=WDR27 PE=1 SV=3
+EALFLQLKGDLTATALQPASPNFAVGTVTDTHGALRHSFTSSGMEYVYAHRDEAGCAAFRGCPSFAIGCPYGRTPHGEFHRECRLTRLDWLRMGDGIATTLFLNYAQPQQTTFSSGKNQCIQHVPRSHAEAIVAASCGANLDFVEVTRNRGAALVIHSYFDNVASLSTMDVAGTTSLRCILKSKSKQKYRKIEDKCTDIHYRLLQFEPGSSLLIFADIYYFQASQIPKSFMDKGLLLALEAGRASWMRLTGDRAASLLWRRDQSWCVANVAGDHGSFVAPTGTLSADFVLLLHNALGCALWQGDGSYQICCVRTCTPAAAVQPGPKTPVACEVPYAERACSSRSRSSGKGESKINTKPSFMTVHPASAYGSSRVKSHFVLRQDKMVNRAARRQESAAKTSKEKAIGLYLPSTPLVCSSAPVSLSQGMSPCQQARVLAAPNIELVAIKGGFLSALLCLVKQDATRNRLACSGALLISLSQFDKYYLAAEVELNALNFVFLGVSSGIWVCRTNESSLCGCASNPILSLDCPALRLVPFTVEVQEGKGLASTSPLQSEEPQSCLGSKVRRTSFTETKKRLDVRAVRRYHHGDMLSFIWLQGDACGTVLQRSEADIFLSLLPYASLVSSSYILSGTCHDWVKFGRDESASILTGARWPCFEVATVPGLHGQLEARVAQTEIDLMFIKNGACVAVVHDDLSLQLCLVKGLLSGMVTGRPVLGQLVKERCEDLNWMIVYDLSASCILLPNVKNGFAMATIPQHHGRLILLQHSPDKTNWICLETGDLPFACDQMSCALQVHSVSEKSEVLYKEIVIDSLCGGNSSFIDQPNEM
+>sp|Q96EX3|WDR34_HUMAN WD repeat-containing protein 34 OS=Homo sapiens OX=9606 GN=WDR34 PE=1 SV=2
+MATRAQPGPLSQAGSAGVAALATVGVASGPGPGRPGPLQDETLGVASVPSQWRAVQGIRWETKSCQTASIATASASAQARNHVDAQVQTEAPVPVSVQPPSQYDIPRLAAFLRRVEAMVIRELNKNWQSHAFDGFEVNWTEQQQMVSCLYTLGYPPAQAQGLHVTSISWNSTGSVVACAYGRLDHGDWSTLKSFVCAWNLDRRDLRPQQPSAVVEVPSAVLCLAFHPTQPSHVAGGLYSGEVLVWDLSRLEDPLLWRTGLTDDTHTDPVSQVVWLPEPGHSHRFQVLSVATDGKVLLWQGIGVGQLQLTEGFALVMQQLPRSTKLKKHPRGETEVGATAVAFSSFDPRLFILGTEGGFPLKCSLAAGEAALTRMPSSVPLRAPAQFTFSPHGGPIYSVSCSPFHRNLFLSAGTDGHVHLYSMLQAPPLTSLQLSLKYLFAVRWSPVRPLVFAAASGKGDVQLFDLQKSSQKPTVLIKQTQDESPVYCLEFNSQQTQLLAAGDAQGTVKVWQLSTEFTEQGPREAEDLDCLAAEVAA
+>DECOY_sp|Q96EX3|WDR34_HUMAN WD repeat-containing protein 34 OS=Homo sapiens OX=9606 GN=WDR34 PE=1 SV=2
+AAVEAALCDLDEAERPGQETFETSLQWVKVTGQADGAALLQTQQSNFELCYVPSEDQTQKILVTPKQSSKQLDFLQVDGKGSAAAFVLPRVPSWRVAFLYKLSLQLSTLPPAQLMSYLHVHGDTGASLFLNRHFPSCSVSYIPGGHPSFTFQAPARLPVSSPMRTLAAEGAALSCKLPFGGETGLIFLRPDFSSFAVATAGVETEGRPHKKLKTSRPLQQMVLAFGETLQLQGVGIGQWLLVKGDTAVSLVQFRHSHGPEPLWVVQSVPDTHTDDTLGTRWLLPDELRSLDWVLVEGSYLGGAVHSPQTPHFALCLVASPVEVVASPQQPRLDRRDLNWACVFSKLTSWDGHDLRGYACAVVSGTSNWSISTVHLGQAQAPPYGLTYLCSVMQQQETWNVEFGDFAHSQWNKNLERIVMAEVRRLFAALRPIDYQSPPQVSVPVPAETQVQADVHNRAQASASATAISATQCSKTEWRIGQVARWQSPVSAVGLTEDQLPGPRGPGPGSAVGVTALAAVGASGAQSLPGPQARTAM
+>sp|Q9HAD4|WDR41_HUMAN WD repeat-containing protein 41 OS=Homo sapiens OX=9606 GN=WDR41 PE=1 SV=3
+MLRWLIGGGREPQGLAEKSPLQTIGEEQTQNPYTELLVLKAHHDIVRFLVQLDDYRFASAGDDGIVVVWNAQTGEKLLELNGHTQKITAIITFPSLESCEEKNQLILTASADRTVIVWDGDTTRQVQRISCFQSTVKCLTVLQRLDVWLSGGNDLCVWNRKLDLLCKTSHLSDTGISALVEIPKNCVVAAVGKELIIFRLVAPTEGSLEWDILEVKRLLDHQDNILSLINVNDLSFVTGSHVGELIIWDALDWTMQAYERNFWDPSPQLDTQQEIKLCQKSNDISIHHFTCDEENVFAAVGRGLYVYSLQMKRVIACQKTAHDSNVLHVARLPNRQLISCSEDGSVRIWELREKQQLAAEPVPTGFFNMWGFGRVSKQASQPVKKQQENATSCSLELIGDLIGHSSSVEMFLYFEDHGLVTCSADHLIILWKNGERESGLRSLRLFQKLEENGDLYLAV
+>DECOY_sp|Q9HAD4|WDR41_HUMAN WD repeat-containing protein 41 OS=Homo sapiens OX=9606 GN=WDR41 PE=1 SV=3
+VALYLDGNEELKQFLRLSRLGSEREGNKWLIILHDASCTVLGHDEFYLFMEVSSSHGILDGILELSCSTANEQQKKVPQSAQKSVRGFGWMNFFGTPVPEAALQQKERLEWIRVSGDESCSILQRNPLRAVHLVNSDHATKQCAIVRKMQLSYVYLGRGVAAFVNEEDCTFHHISIDNSKQCLKIEQQTDLQPSPDWFNREYAQMTWDLADWIILEGVHSGTVFSLDNVNILSLINDQHDLLRKVELIDWELSGETPAVLRFIILEKGVAAVVCNKPIEVLASIGTDSLHSTKCLLDLKRNWVCLDNGGSLWVDLRQLVTLCKVTSQFCSIRQVQRTTDGDWVIVTRDASATLILQNKEECSELSPFTIIATIKQTHGNLELLKEGTQANWVVVIGDDGASAFRYDDLQVLFRVIDHHAKLVLLETYPNQTQEEGITQLPSKEALGQPERGGGILWRLM
+>sp|Q6PJI9|WDR59_HUMAN GATOR complex protein WDR59 OS=Homo sapiens OX=9606 GN=WDR59 PE=1 SV=2
+MAARWSSENVVVEFRDSQATAMSVDCLGQHAVLSGRRFLYIVNLDAPFEGHRKISRQSKWDIGAVQWNPHDSFAHYFAASSNQRVDLYKWKDGSGEVGTTLQGHTRVISDLDWAVFEPDLLVTSSVDTYIYIWDIKDTRKPTVALSAVAGASQVKWNKKNANCLATSHDGDVRIWDKRKPSTAVEYLAAHLSKIHGLDWHPDSEHILATSSQDNSVKFWDYRQPRKYLNILPCQVPVWKARYTPFSNGLVTVMVPQLRRENSLLLWNVFDLNTPVHTFVGHDDVVLEFQWRKQKEGSKDYQLVTWSRDQTLRMWRVDSQMQRLCANDILDGVDEFIESISLLPEPEKTLHTEDTDHQHTASHGEEEALKEDPPRNLLEERKSDQLGLPQTLQQEFSLINVQIRNVNVEMDAADRSCTVSVHCSNHRVKMLVKFPAQYPNNAAPSFQFINPTTITSTMKAKLLKILKDTALQKVKRGQSCLEPCLRQLVSCLESFVNQEDSASSNPFALPNSVTPPLPTFARVTTAYGSYQDANIPFPRTSGARFCGAGYLVYFTRPMTMHRAVSPTEPTPRSLSALSAYHTGLIAPMKIRTEAPGNLRLYSGSPTRSEKEQVSISSFYYKERKSRRWKSKREGSDSGNRQIKAAGKVIIQDIACLLPVHKSLGELYILNVNDIQETCQKNAASALLVGRKDLVQVWSLATVATDLCLGPKSDPDLETPWARHPFGRQLLESLLAHYCRLRDVQTLAMLCSVFEAQSRPQGLPNPFGPFPNRSSNLVVSHSRYPSFTSSGSCSSMSDPGLNTGGWNIAGREAEHLSSPWGESSPEELRFGSLTYSDPRERERDQHDKNKRLLDPANTQQFDDFKKCYGEILYRWGLREKRAEVLKFVSCPPDPHKGIEFGVYCSHCRSEVRGTQCAICKGFTFQCAICHVAVRGSSNFCLTCGHGGHTSHMMEWFRTQEVCPTGCGCHCLLESTF
+>DECOY_sp|Q6PJI9|WDR59_HUMAN GATOR complex protein WDR59 OS=Homo sapiens OX=9606 GN=WDR59 PE=1 SV=2
+FTSELLCHCGCGTPCVEQTRFWEMMHSTHGGHGCTLCFNSSGRVAVHCIACQFTFGKCIACQTGRVESRCHSCYVGFEIGKHPDPPCSVFKLVEARKERLGWRYLIEGYCKKFDDFQQTNAPDLLRKNKDHQDRERERPDSYTLSGFRLEEPSSEGWPSSLHEAERGAINWGGTNLGPDSMSSCSGSSTFSPYRSHSVVLNSSRNPFPGFPNPLGQPRSQAEFVSCLMALTQVDRLRCYHALLSELLQRGFPHRAWPTELDPDSKPGLCLDTAVTALSWVQVLDKRGVLLASAANKQCTEQIDNVNLIYLEGLSKHVPLLCAIDQIIVKGAAKIQRNGSDSGERKSKWRRSKREKYYFSSISVQEKESRTPSGSYLRLNGPAETRIKMPAILGTHYASLASLSRPTPETPSVARHMTMPRTFYVLYGAGCFRAGSTRPFPINADQYSGYATTVRAFTPLPPTVSNPLAFPNSSASDEQNVFSELCSVLQRLCPELCSQGRKVKQLATDKLIKLLKAKMTSTITTPNIFQFSPAANNPYQAPFKVLMKVRHNSCHVSVTCSRDAADMEVNVNRIQVNILSFEQQLTQPLGLQDSKREELLNRPPDEKLAEEEGHSATHQHDTDETHLTKEPEPLLSISEIFEDVGDLIDNACLRQMQSDVRWMRLTQDRSWTVLQYDKSGEKQKRWQFELVVDDHGVFTHVPTNLDFVNWLLLSNERRLQPVMVTVLGNSFPTYRAKWVPVQCPLINLYKRPQRYDWFKVSNDQSSTALIHESDPHWDLGHIKSLHAALYEVATSPKRKDWIRVDGDHSTALCNANKKNWKVQSAGAVASLAVTPKRTDKIDWIYIYTDVSSTVLLDPEFVAWDLDSIVRTHGQLTTGVEGSGDKWKYLDVRQNSSAAFYHAFSDHPNWQVAGIDWKSQRSIKRHGEFPADLNVIYLFRRGSLVAHQGLCDVSMATAQSDRFEVVVNESSWRAAM
+>sp|Q96KV7|WDR90_HUMAN WD repeat-containing protein 90 OS=Homo sapiens OX=9606 GN=WDR90 PE=1 SV=2
+MARAWQHPFLNVFRHFRVDEWKRSAKQGDVAVVTDKTLKGAVYRIRGSVSAANYIQLPKSSTQSLGLTGRYLYVLFRPLPSKHFVIHLDVSSKDNQVIRVSFSNLFKEFKSTATWLQFPLVLEARTPQRDLVGLAPSGARWTCLQLDLQDVLLVYLNRCYGHLKSIRLCASLLVRNLYTSDLCFEPAISGAQWAKLPVTPMPREMAFPVPKGESWHDRYIHVRFPSESLKVPSKPIEKSCSPPEAVLLGPGPQPLPCPVASSKPVRFSVSPVVQTPSPTASGRAALAPRPFPEVSLSQERSDASNADGPGFHSLEPWAQLEASDIHTAAAGTHVLTHESAEVPVARTGSCEGFLPDPVLRLKGVIGFGGHGTRQALWTPDGAAVVYPCHAVIVVLLVDTGEQRFFLGHTDKVSALALDGSSSLLASAQARAPSVMRLWDFQTGRCLCLFRSPMHVVCSLSFSDSGALLCGVGKDHHGRTMVVAWGTGQVGLGGEVVVLAKAHTDFDVQAFRVTFFDETRMASCGQGSVRLWRLRGGVLRSCPVDLGEHHALQFTDLAFKQARDGCPEPSAAMLFVCSRSGHILEIDCQRMVVRHARRLLPTRTPGGPHPQKQTFSSGPGIAISSLSVSPAMCAVGSEDGFLRLWPLDFSSVLLEAEHEGPVSSVCVSPDGLRVLSATSSGHLGFLDTLSRVYHMLARSHTAPVLALAMEQRRGQLATVSQDRTVRIWDLATLQQLYDFTSSEDAPCAVTFHPTRPTFFCGFSSGAVRSFSLEAAEVLVEHTCHRGAVTGLTATPDGRLLFSSCSQGSLAQYSCADPQWHVLRVAADMVCPDAPASPSALAVSRDGRLLAFVGPSRCTVTVMGSASLDELLRVDIGTLDLASSRLDSAMAVCFGPAALGHLLVSTSSNRVVVLDAVSGRIIRELPGVHPEPCPSLTLSEDARFLLIAAGRTIKVWDYATQASPGPQVYIGHSEPVQAVAFSPDQQQVLSAGDAVFLWDVLAPTESDQSFPGAPPACKTGPGAGPLEDAASRASELPRQQVPKPCQASPPRLGVCARPPEGGDGARDTRNSGAPRTTYLASCKAFTPARVSCSPHSAKGTCPPPASGGWLRLKAVVGYSGNGRANMVWRPDTGFFAYTCGRLVVVEDLHSGAQQHWSGHSAEISTLALSHSAQVLASASGRSSTTAHCQIRVWDVSGGLCQHLIFPHSTTVLALAFSPDDRLLVTLGDHDGRTLALWGTATYDLVSSTRLPEPVHGVAFNPWDAGELTCVGQGTVTFWLLQQRGADISLQVRREPVPEAVGAGELTSLCYGAPPLLYCGTSSGQVCVWDTRAGRCFLSWEADDGGIGLLLFSGSRLVSGSSTGRLRLWAVGAVSELRCKGSGASSVFMEHELVLDGAVVSASFDDSVDMGVVGTTAGTLWFVSWAEGTSTRLISGHRSKVNEVVFSPGESHCATCSEDGSVRVWALASMELVIQFQVLNQSCLCLAWSPPCCGRPEQQRLAAGYGDGSLRIFSVSRTAMELKMHPHPVALTTVAFSTDGQTVLSGDKDGLVAVSHPCTGTTFRVLSDHQGAPISTICVTCKECEDLGVEGTDLWLAASGDQRVSVWASDWLRNHCELVDWLSFPMPATTETQGHLPPSLAAFCPWDGALLMYVGPGVYKEVIIYNLCQKQVVEKIPLPFFAMSLSLSPGTHLLAVGFAECMLRLVDCAMGTAQDFAGHDNAVHLCRFTPSARLLFTAARNEILVWEVPGL
+>DECOY_sp|Q96KV7|WDR90_HUMAN WD repeat-containing protein 90 OS=Homo sapiens OX=9606 GN=WDR90 PE=1 SV=2
+LGPVEWVLIENRAATFLLRASPTFRCLHVANDHGAFDQATGMACDVLRLMCEAFGVALLHTGPSLSLSMAFFPLPIKEVVQKQCLNYIIVEKYVGPGVYMLLAGDWPCFAALSPPLHGQTETTAPMPFSLWDVLECHNRLWDSAWVSVRQDGSAALWLDTGEVGLDECEKCTVCITSIPAGQHDSLVRFTTGTCPHSVAVLGDKDGSLVTQGDTSFAVTTLAVPHPHMKLEMATRSVSFIRLSGDGYGAALRQQEPRGCCPPSWALCLCSQNLVQFQIVLEMSALAWVRVSGDESCTACHSEGPSFVVENVKSRHGSILRTSTGEAWSVFWLTGATTGVVGMDVSDDFSASVVAGDLVLEHEMFVSSAGSGKCRLESVAGVAWLRLRGTSSGSVLRSGSFLLLGIGGDDAEWSLFCRGARTDWVCVQGSSTGCYLLPPAGYCLSTLEGAGVAEPVPERRVQLSIDAGRQQLLWFTVTGQGVCTLEGADWPNFAVGHVPEPLRTSSVLDYTATGWLALTRGDHDGLTVLLRDDPSFALALVTTSHPFILHQCLGGSVDWVRIQCHATTSSRGSASALVQASHSLALTSIEASHGSWHQQAGSHLDEVVVLRGCTYAFFGTDPRWVMNARGNGSYGVVAKLRLWGGSAPPPCTGKASHPSCSVRAPTFAKCSALYTTRPAGSNRTDRAGDGGEPPRACVGLRPPSAQCPKPVQQRPLESARSAADELPGAGPGTKCAPPAGPFSQDSETPALVDWLFVADGASLVQQQDPSFAVAQVPESHGIYVQPGPSAQTAYDWVKITRGAAILLFRADESLTLSPCPEPHVGPLERIIRGSVADLVVVRNSSTSVLLHGLAAPGFCVAMASDLRSSALDLTGIDVRLLEDLSASGMVTVTCRSPGVFALLRGDRSVALASPSAPADPCVMDAAVRLVHWQPDACSYQALSGQSCSSFLLRGDPTATLGTVAGRHCTHEVLVEAAELSFSRVAGSSFGCFFTPRTPHFTVACPADESSTFDYLQQLTALDWIRVTRDQSVTALQGRRQEMALALVPATHSRALMHYVRSLTDLFGLHGSSTASLVRLGDPSVCVSSVPGEHEAELLVSSFDLPWLRLFGDESGVACMAPSVSLSSIAIGPGSSFTQKQPHPGGPTRTPLLRRAHRVVMRQCDIELIHGSRSCVFLMAASPEPCGDRAQKFALDTFQLAHHEGLDVPCSRLVGGRLRWLRVSGQGCSAMRTEDFFTVRFAQVDFDTHAKALVVVEGGLGVQGTGWAVVMTRGHHDKGVGCLLAGSDSFSLSCVVHMPSRFLCLCRGTQFDWLRMVSPARAQASALLSSSGDLALASVKDTHGLFFRQEGTDVLLVVIVAHCPYVVAAGDPTWLAQRTGHGGFGIVGKLRLVPDPLFGECSGTRAVPVEASEHTLVHTGAAATHIDSAELQAWPELSHFGPGDANSADSREQSLSVEPFPRPALAARGSATPSPTQVVPSVSFRVPKSSAVPCPLPQPGPGLLVAEPPSCSKEIPKSPVKLSESPFRVHIYRDHWSEGKPVPFAMERPMPTVPLKAWQAGSIAPEFCLDSTYLNRVLLSACLRISKLHGYCRNLYVLLVDQLDLQLCTWRAGSPALGVLDRQPTRAELVLPFQLWTATSKFEKFLNSFSVRIVQNDKSSVDLHIVFHKSPLPRFLVYLYRGTLGLSQTSSKPLQIYNAASVSGRIRYVAGKLTKDTVVAVDGQKASRKWEDVRFHRFVNLFPHQWARAM
+>sp|Q6P2C0|WDR93_HUMAN WD repeat-containing protein 93 OS=Homo sapiens OX=9606 GN=WDR93 PE=2 SV=1
+MSFPRGSQTQKIKHPIGTRKGPLEVPPPTEKDWPKDDEQDHVLVDPDEELDSLPQPYRMINKLVNLLFDQSWEIIEERNALREAESSQIQPTVYPPLGEIQLNKMPNCMAVSQDYVFIGGAKGFSIYNLYSAKQIYAWEKLKVDVTSIWATDLGNEILIAPVDEMGIIRLFYFYKEGLYLVKAINEVDDTSKQTTCIKMEISQGGDFAAFLLQGAGDIWLDVYKLPKETWLKKLEHPQLTSNPKKKVRQPQLNSLGPISADPLEMDANVSFKGDIKLSLPVYIMKIKPPKPVTGTTFKSPLEVFAKIKDCYGLGSGQNHFIKDSQWEQQAEIFNASYKKYLDREWEEEPLSTATFYFLLPSCLFAMPPEVKGPSGMACVLGIHWTRSHNFFLYSLNRTLKDKADPEGVWPCAAPIAVSQLSCSSSYLVLACEDGVLTLWDLAKGFPLGVAALPQGCFCQSIHFLKYFSVHKGQNMYPEGQVKSQMKCVVLCTDASLHLVEASGTQGPTISVLVERPVKHLDKTICAVAPVPALPGMVLIFSKNGSVCLMDVAKREIICAFAPPGAFPLEVPWKPVFAVSPDHPCFLLRGDYSHETASTDDAGIQYSVFYFNFEACPLLENISKNCTIPQRDLDNMAFPQALPLEKRCERFLQKSYRKLEKNPEKEEEHWARLQRYSLSLQRENFKK
+>DECOY_sp|Q6P2C0|WDR93_HUMAN WD repeat-containing protein 93 OS=Homo sapiens OX=9606 GN=WDR93 PE=2 SV=1
+KKFNERQLSLSYRQLRAWHEEEKEPNKELKRYSKQLFRECRKELPLAQPFAMNDLDRQPITCNKSINELLPCAEFNFYFVSYQIGADDTSATEHSYDGRLLFCPHDPSVAFVPKWPVELPFAGPPAFACIIERKAVDMLCVSGNKSFILVMGPLAPVPAVACITKDLHKVPREVLVSITPGQTGSAEVLHLSADTCLVVCKMQSKVQGEPYMNQGKHVSFYKLFHISQCFCGQPLAAVGLPFGKALDWLTLVGDECALVLYSSSCSLQSVAIPAACPWVGEPDAKDKLTRNLSYLFFNHSRTWHIGLVCAMGSPGKVEPPMAFLCSPLLFYFTATSLPEEEWERDLYKKYSANFIEAQQEWQSDKIFHNQGSGLGYCDKIKAFVELPSKFTTGTVPKPPKIKMIYVPLSLKIDGKFSVNADMELPDASIPGLSNLQPQRVKKKPNSTLQPHELKKLWTEKPLKYVDLWIDGAGQLLFAAFDGGQSIEMKICTTQKSTDDVENIAKVLYLGEKYFYFLRIIGMEDVPAILIENGLDTAWISTVDVKLKEWAYIQKASYLNYISFGKAGGIFVYDQSVAMCNPMKNLQIEGLPPYVTPQIQSSEAERLANREEIIEWSQDFLLNVLKNIMRYPQPLSDLEEDPDVLVHDQEDDKPWDKETPPPVELPGKRTGIPHKIKQTQSGRPFSM
+>sp|Q8N5D0|WDTC1_HUMAN WD and tetratricopeptide repeats protein 1 OS=Homo sapiens OX=9606 GN=WDTC1 PE=1 SV=2
+MAKVNITRDLIRRQIKERGALSFERRYHVTDPFIRRLGLEAELQGHSGCVNCLEWNEKGDLLASGSDDQHTIVWDPLHHKKLLSMHTGHTANIFSVKFLPHAGDRILITGAADSKVHVHDLTVKETIHMFGDHTNRVKRIATAPMWPNTFWSAAEDGLIRQYDLRENSKHSEVLIDLTEYCGQLVEAKCLTVNPQDNNCLAVGASGPFVRLYDIRMIHNHRKSMKQSPSAGVHTFCDRQKPLPDGAAQYYVAGHLPVKLPDYNNRLRVLVATYVTFSPNGTELLVNMGGEQVYLFDLTYKQRPYTFLLPRKCHSSGEVQNGKMSTNGVSNGVSNGLHLHSNGFRLPESRGHVSPQVELPPYLERVKQQANEAFACQQWTQAIQLYSKAVQRAPHNAMLYGNRAAAYMKRKWDGDHYDALRDCLKAISLNPCHLKAHFRLARCLFELKYVAEALECLDDFKGKFPEQAHSSACDALGRDITAALFSKNDGEEKKGPGGGAPVRLRSTSRKDSISEDEMVLRERSYDYQFRYCGHCNTTTDIKEANFFGSNAQYIVSGSDDGSFFIWEKETTNLVRVLQGDESIVNCLQPHPSYCFLATSGIDPVVRLWNPRPESEDLTGRVVEDMEGASQANQRRMNADPLEVMLLNMGYRITGLSSGGAGASDDEDSSEGQVQCRPS
+>DECOY_sp|Q8N5D0|WDTC1_HUMAN WD and tetratricopeptide repeats protein 1 OS=Homo sapiens OX=9606 GN=WDTC1 PE=1 SV=2
+SPRCQVQGESSDEDDSAGAGGSSLGTIRYGMNLLMVELPDANMRRQNAQSAGEMDEVVRGTLDESEPRPNWLRVVPDIGSTALFCYSPHPQLCNVISEDGQLVRVLNTTEKEWIFFSGDDSGSVIYQANSGFFNAEKIDTTTNCHGCYRFQYDYSRERLVMEDESISDKRSTSRLRVPAGGGPGKKEEGDNKSFLAATIDRGLADCASSHAQEPFKGKFDDLCELAEAVYKLEFLCRALRFHAKLHCPNLSIAKLCDRLADYHDGDWKRKMYAAARNGYLMANHPARQVAKSYLQIAQTWQQCAFAENAQQKVRELYPPLEVQPSVHGRSEPLRFGNSHLHLGNSVGNSVGNTSMKGNQVEGSSHCKRPLLFTYPRQKYTLDFLYVQEGGMNVLLETGNPSFTVYTAVLVRLRNNYDPLKVPLHGAVYYQAAGDPLPKQRDCFTHVGASPSQKMSKRHNHIMRIDYLRVFPGSAGVALCNNDQPNVTLCKAEVLQGCYETLDILVESHKSNERLDYQRILGDEAASWFTNPWMPATAIRKVRNTHDGFMHITEKVTLDHVHVKSDAAGTILIRDGAHPLFKVSFINATHGTHMSLLKKHHLPDWVITHQDDSGSALLDGKENWELCNVCGSHGQLEAELGLRRIFPDTVHYRREFSLAGREKIQRRILDRTINVKAM
+>sp|P30291|WEE1_HUMAN Wee1-like protein kinase OS=Homo sapiens OX=9606 GN=WEE1 PE=1 SV=2
+MSFLSRQQPPPPRRAGAACTLRQKLIFSPCSDCEEEEEEEEEEGSGHSTGEDSAFQEPDSPLPPARSPTEPGPERRRSPGPAPGSPGELEEDLLLPGACPGADEAGGGAEGDSWEEEGFGSSSPVKSPAAPYFLGSSFSPVRCGGPGDASPRGCGARRAGEGRRSPRPDHPGTPPHKTFRKLRLFDTPHTPKSLLSKARGIDSSSVKLRGSSLFMDTEKSGKREFDVRQTPQVNINPFTPDSLLLHSSGQCRRRKRTYWNDSCGEDMEASDYELEDETRPAKRITITESNMKSRYTTEFHELEKIGSGEFGSVFKCVKRLDGCIYAIKRSKKPLAGSVDEQNALREVYAHAVLGQHSHVVRYFSAWAEDDHMLIQNEYCNGGSLADAISENYRIMSYFKEAELKDLLLQVGRGLRYIHSMSLVHMDIKPSNIFISRTSIPNAASEEGDEDDWASNKVMFKIGDLGHVTRISSPQVEEGDSRFLANEVLQENYTHLPKADIFALALTVVCAAGAEPLPRNGDQWHEIRQGRLPRIPQVLSQEFTELLKVMIHPDPERRPSAMALVKHSVLLSASRKSAEQLRIELNAEKFKNSLLQKELKKAQMAKAAAEERALFTDRMATRSTTQSNRTSRLIGKKMNRSVSLTIY
+>DECOY_sp|P30291|WEE1_HUMAN Wee1-like protein kinase OS=Homo sapiens OX=9606 GN=WEE1 PE=1 SV=2
+YITLSVSRNMKKGILRSTRNSQTTSRTAMRDTFLAREEAAAKAMQAKKLEKQLLSNKFKEANLEIRLQEASKRSASLLVSHKVLAMASPRREPDPHIMVKLLETFEQSLVQPIRPLRGQRIEHWQDGNRPLPEAGAACVVTLALAFIDAKPLHTYNEQLVENALFRSDGEEVQPSSIRTVHGLDGIKFMVKNSAWDDEDGEESAANPISTRSIFINSPKIDMHVLSMSHIYRLGRGVQLLLDKLEAEKFYSMIRYNESIADALSGGNCYENQILMHDDEAWASFYRVVHSHQGLVAHAYVERLANQEDVSGALPKKSRKIAYICGDLRKVCKFVSGFEGSGIKELEHFETTYRSKMNSETITIRKAPRTEDELEYDSAEMDEGCSDNWYTRKRRRCQGSSHLLLSDPTFPNINVQPTQRVDFERKGSKETDMFLSSGRLKVSSSDIGRAKSLLSKPTHPTDFLRLKRFTKHPPTGPHDPRPSRRGEGARRAGCGRPSADGPGGCRVPSFSSGLFYPAAPSKVPSSSGFGEEEWSDGEAGGGAEDAGPCAGPLLLDEELEGPSGPAPGPSRRREPGPETPSRAPPLPSDPEQFASDEGTSHGSGEEEEEEEEEECDSCPSFILKQRLTCAAGARRPPPPQQRSLFSM
+>sp|Q1A5X7|WHAL1_HUMAN Putative WASP homolog-associated protein with actin, membranes and microtubules-like protein 1 OS=Homo sapiens OX=9606 GN=WHAMMP3 PE=5 SV=1
+MMILVFWSNYPYEPVCLASHRNNMEASVPKYKKHLPQLGMQKEMEQDVKRFGQAAWATAIPRLEKLKLMLAQETLQLMRAKELCLNHKRAEIQGKMEDLPEQEKNINVVDELAIQFYEIQLELYEVKFEILKNKEILLTTQLDSLERLIKDEI
+>DECOY_sp|Q1A5X7|WHAL1_HUMAN Putative WASP homolog-associated protein with actin, membranes and microtubules-like protein 1 OS=Homo sapiens OX=9606 GN=WHAMMP3 PE=5 SV=1
+IEDKILRELSDLQTTLLIEKNKLIEFKVEYLELQIEYFQIALEDVVNINKEQEPLDEMKGQIEARKHNLCLEKARMLQLTEQALMLKLKELRPIATAWAAQGFRKVDQEMEKQMGLQPLHKKYKPVSAEMNNRHSALCVPEYPYNSWFVLIMM
+>sp|O95389|WISP3_HUMAN WNT1-inducible-signaling pathway protein 3 OS=Homo sapiens OX=9606 GN=WISP3 PE=1 SV=1
+MQGLLFSTLLLAGLAQFCCRVQGTGPLDTTPEGRPGEVSDAPQRKQFCHWPCKCPQQKPRCPPGVSLVRDGCGCCKICAKQPGEICNEADLCDPHKGLYCDYSVDRPRYETGVCAYLVAVGCEFNQVHYHNGQVFQPNPLFSCLCVSGAIGCTPLFIPKLAGSHCSGAKGGKKSDQSNCSLEPLLQQLSTSYKTMPAYRNLPLIWKKKCLVQATKWTPCSRTCGMGISNRVTNENSNCEMRKEKRLCYIQPCDSNILKTIKIPKGKTCQPTFQLSKAEKFVFSGCSSTQSYKPTFCGICLDKRCCIPNKSKMITIQFDCPNEGSFKWKMLWITSCVCQRNCREPGDIFSELKIL
+>DECOY_sp|O95389|WISP3_HUMAN WNT1-inducible-signaling pathway protein 3 OS=Homo sapiens OX=9606 GN=WISP3 PE=1 SV=1
+LIKLESFIDGPERCNRQCVCSTIWLMKWKFSGENPCDFQITIMKSKNPICCRKDLCIGCFTPKYSQTSSCGSFVFKEAKSLQFTPQCTKGKPIKITKLINSDCPQIYCLRKEKRMECNSNENTVRNSIGMGCTRSCPTWKTAQVLCKKKWILPLNRYAPMTKYSTSLQQLLPELSCNSQDSKKGGKAGSCHSGALKPIFLPTCGIAGSVCLCSFLPNPQFVQGNHYHVQNFECGVAVLYACVGTEYRPRDVSYDCYLGKHPDCLDAENCIEGPQKACIKCCGCGDRVLSVGPPCRPKQQPCKCPWHCFQKRQPADSVEGPRGEPTTDLPGTGQVRCCFQALGALLLTSFLLGQM
+>sp|Q9GZT5|WN10A_HUMAN Protein Wnt-10a OS=Homo sapiens OX=9606 GN=WNT10A PE=1 SV=1
+MGSAHPRPWLRLRPQPQPRPALWVLLFFLLLLAAAMPRSAPNDILDLRLPPEPVLNANTVCLTLPGLSRRQMEVCVRHPDVAASAIQGIQIAIHECQHQFRDQRWNCSSLETRNKIPYESPIFSRGFRESAFAYAIAAAGVVHAVSNACALGKLKACGCDASRRGDEEAFRRKLHRLQLDALQRGKGLSHGVPEHPALPTASPGLQDSWEWGGCSPDMGFGERFSKDFLDSREPHRDIHARMRLHNNRVGRQAVMENMRRKCKCHGTSGSCQLKTCWQVTPEFRTVGALLRSRFHRATLIRPHNRNGGQLEPGPAGAPSPAPGAPGPRRRASPADLVYFEKSPDFCEREPRLDSAGTVGRLCNKSSAGSDGCGSMCCGRGHNILRQTRSERCHCRFHWCCFVVCEECRITEWVSVCK
+>DECOY_sp|Q9GZT5|WN10A_HUMAN Protein Wnt-10a OS=Homo sapiens OX=9606 GN=WNT10A PE=1 SV=1
+KCVSVWETIRCEECVVFCCWHFRCHCRESRTQRLINHGRGCCMSGCGDSGASSKNCLRGVTGASDLRPERECFDPSKEFYVLDAPSARRRPGPAGPAPSPAGAPGPELQGGNRNHPRILTARHFRSRLLAGVTRFEPTVQWCTKLQCSGSTGHCKCKRRMNEMVAQRGVRNNHLRMRAHIDRHPERSDLFDKSFREGFGMDPSCGGWEWSDQLGPSATPLAPHEPVGHSLGKGRQLADLQLRHLKRRFAEEDGRRSADCGCAKLKGLACANSVAHVVGAAAIAYAFASERFGRSFIPSEYPIKNRTELSSCNWRQDRFQHQCEHIAIQIGQIASAAVDPHRVCVEMQRRSLGPLTLCVTNANLVPEPPLRLDLIDNPASRPMAAALLLLFFLLVWLAPRPQPQPRLRLWPRPHASGM
+>sp|Q9H4A3|WNK1_HUMAN Serine/threonine-protein kinase WNK1 OS=Homo sapiens OX=9606 GN=WNK1 PE=1 SV=2
+MSGGAAEKQSSTPGSLFLSPPAPAPKNGSSSDSSVGEKLGAAAADAVTGRTEEYRRRRHTMDKDSRGAAATTTTTEHRFFRRSVICDSNATALELPGLPLSLPQPSIPAAVPQSAPPEPHREETVTATATSQVAQQPPAAAAPGEQAVAGPAPSTVPSSTSKDRPVSQPSLVGSKEEPPPARSGSGGGSAKEPQEERSQQQDDIEELETKAVGMSNDGRFLKFDIEIGRGSFKTVYKGLDTETTVEVAWCELQDRKLTKSERQRFKEEAEMLKGLQHPNIVRFYDSWESTVKGKKCIVLVTELMTSGTLKTYLKRFKVMKIKVLRSWCRQILKGLQFLHTRTPPIIHRDLKCDNIFITGPTGSVKIGDLGLATLKRASFAKSVIGTPEFMAPEMYEEKYDESVDVYAFGMCMLEMATSEYPYSECQNAAQIYRRVTSGVKPASFDKVAIPEVKEIIEGCIRQNKDERYSIKDLLNHAFFQEETGVRVELAEEDDGEKIAIKLWLRIEDIKKLKGKYKDNEAIEFSFDLERDVPEDVAQEMVESGYVCEGDHKTMAKAIKDRVSLIKRKREQRQLVREEQEKKKQEESSLKQQVEQSSASQTGIKQLPSASTGIPTASTTSASVSTQVEPEEPEADQHQQLQYQQPSISVLSDGTVDSGQGSSVFTESRVSSQQTVSYGSQHEQAHSTGTVPGHIPSTVQAQSQPHGVYPPSSVAQGQSQGQPSSSSLTGVSSSQPIQHPQQQQGIQQTAPPQQTVQYSLSQTSTSSEATTAQPVSQPQAPQVLPQVSAGKQLPVSQPVPTIQGEPQIPVATQPSVVPVHSGAHFLPVGQPLPTPLLPQYPVSQIPISTPHVSTAQTGFSSLPITMAAGITQPLLTLASSATTAAIPGVSTVVPSQLPTLLQPVTQLPSQVHPQLLQPAVQSMGIPANLGQAAEVPLSSGDVLYQGFPPRLPPQYPGDSNIAPSSNVASVCIHSTVLSPPMPTEVLATPGYFPTVVQPYVESNLLVPMGGVGGQVQVSQPGGSLAQAPTTSSQQAVLESTQGVSQVAPAEPVAVAQTQATQPTTLASSVDSAHSDVASGMSDGNENVPSSSGRHEGRTTKRHYRKSVRSRSRHEKTSRPKLRILNVSNKGDRVVECQLETHNRKMVTFKFDLDGDNPEEIATIMVNNDFILAIERESFVDQVREIIEKADEMLSEDVSVEPEGDQGLESLQGKDDYGFSGSQKLEGEFKQPIPASSMPQQIGIPTSSLTQVVHSAGRRFIVSPVPESRLRESKVFPSEITDTVAASTAQSPGMNLSHSASSLSLQQAFSELRRAQMTEGPNTAPPNFSHTGPTFPVVPPFLSSIAGVPTTAAATAPVPATSSPPNDISTSVIQSEVTVPTEEGIAGVATSTGVVTSGGLPIPPVSESPVLSSVVSSITIPAVVSISTTSPSLQVPTSTSEIVVSSTALYPSVTVSATSASAGGSTATPGPKPPAVVSQQAAGSTTVGATLTSVSTTTSFPSTASQLCIQLSSSTSTPTLAETVVVSAHSLDKTSHSSTTGLAFSLSAPSSSSSPGAGVSSYISQPGGLHPLVIPSVIASTPILPQAAGPTSTPLLPQVPSIPPLVQPVANVPAVQQTLIHSQPQPALLPNQPHTHCPEVDSDTQPKAPGIDDIKTLEEKLRSLFSEHSSSGAQHASVSLETSLVIESTVTPGIPTTAVAPSKLLTSTTSTCLPPTNLPLGTVALPVTPVVTPGQVSTPVSTTTSGVKPGTAPSKPPLTKAPVLPVGTELPAGTLPSEQLPPFPGPSLTQSQQPLEDLDAQLRRTLSPEMITVTSAVGPVSMAAPTAITEAGTQPQKGVSQVKEGPVLATSSGAGVFKMGRFQVSVAADGAQKEGKNKSEDAKSVHFESSTSESSVLSSSSPESTLVKPEPNGITIPGISSDVPESAHKTTASEAKSDTGQPTKVGRFQVTTTANKVGRFSVSKTEDKITDTKKEGPVASPPFMDLEQAVLPAVIPKKEKPELSEPSHLNGPSSDPEAAFLSRDVDDGSGSPHSPHQLSSKSLPSQNLSQSLSNSFNSSYMSSDNESDIEDEDLKLELRRLRDKHLKEIQDLQSRQKHEIESLYTKLGKVPPAVIIPPAAPLSGRRRRPTKSKGSKSSRSSSLGNKSPQLSGNLSGQSAASVLHPQQTLHPPGNIPESGQNQLLQPLKPSPSSDNLYSAFTSDGAISVPSLSAPGQGTSSTNTVGATVNSQAAQAQPPAMTSSRKGTFTDDLHKLVDNWARDAMNLSGRRGSKGHMNYEGPGMARKFSAPGQLCISMTSNLGGSAPISAASATSLGHFTKSMCPPQQYGFPATPFGAQWSGTGGPAPQPLGQFQPVGTASLQNFNISNLQKSISNPPGSNLRTT
+>DECOY_sp|Q9H4A3|WNK1_HUMAN Serine/threonine-protein kinase WNK1 OS=Homo sapiens OX=9606 GN=WNK1 PE=1 SV=2
+TTRLNSGPPNSISKQLNSINFNQLSATGVPQFQGLPQPAPGGTGSWQAGFPTAPFGYQQPPCMSKTFHGLSTASAASIPASGGLNSTMSICLQGPASFKRAMGPGEYNMHGKSGRRGSLNMADRAWNDVLKHLDDTFTGKRSSTMAPPQAQAAQSNVTAGVTNTSSTGQGPASLSPVSIAGDSTFASYLNDSSPSPKLPQLLQNQGSEPINGPPHLTQQPHLVSAASQGSLNGSLQPSKNGLSSSRSSKSGKSKTPRRRRGSLPAAPPIIVAPPVKGLKTYLSEIEHKQRSQLDQIEKLHKDRLRRLELKLDEDEIDSENDSSMYSSNFSNSLSQSLNQSPLSKSSLQHPSHPSGSGDDVDRSLFAAEPDSSPGNLHSPESLEPKEKKPIVAPLVAQELDMFPPSAVPGEKKTDTIKDETKSVSFRGVKNATTTVQFRGVKTPQGTDSKAESATTKHASEPVDSSIGPITIGNPEPKVLTSEPSSSSLVSSESTSSEFHVSKADESKNKGEKQAGDAAVSVQFRGMKFVGAGSSTALVPGEKVQSVGKQPQTGAETIATPAAMSVPGVASTVTIMEPSLTRRLQADLDELPQQSQTLSPGPFPPLQESPLTGAPLETGVPLVPAKTLPPKSPATGPKVGSTTTSVPTSVQGPTVVPTVPLAVTGLPLNTPPLCTSTTSTLLKSPAVATTPIGPTVTSEIVLSTELSVSAHQAGSSSHESFLSRLKEELTKIDDIGPAKPQTDSDVEPCHTHPQNPLLAPQPQSHILTQQVAPVNAVPQVLPPISPVQPLLPTSTPGAAQPLIPTSAIVSPIVLPHLGGPQSIYSSVGAGPSSSSSPASLSFALGTTSSHSTKDLSHASVVVTEALTPTSTSSSLQICLQSATSPFSTTTSVSTLTAGVTTSGAAQQSVVAPPKPGPTATSGGASASTASVTVSPYLATSSVVIESTSTPVQLSPSTTSISVVAPITISSVVSSLVPSESVPPIPLGGSTVVGTSTAVGAIGEETPVTVESQIVSTSIDNPPSSTAPVPATAAATTPVGAISSLFPPVVPFTPGTHSFNPPATNPGETMQARRLESFAQQLSLSSASHSLNMGPSQATSAAVTDTIESPFVKSERLRSEPVPSVIFRRGASHVVQTLSSTPIGIQQPMSSAPIPQKFEGELKQSGSFGYDDKGQLSELGQDGEPEVSVDESLMEDAKEIIERVQDVFSEREIALIFDNNVMITAIEEPNDGDLDFKFTVMKRNHTELQCEVVRDGKNSVNLIRLKPRSTKEHRSRSRVSKRYHRKTTRGEHRGSSSPVNENGDSMGSAVDSHASDVSSALTTPQTAQTQAVAVPEAPAVQSVGQTSELVAQQSSTTPAQALSGGPQSVQVQGGVGGMPVLLNSEVYPQVVTPFYGPTALVETPMPPSLVTSHICVSAVNSSPAINSDGPYQPPLRPPFGQYLVDGSSLPVEAAQGLNAPIGMSQVAPQLLQPHVQSPLQTVPQLLTPLQSPVVTSVGPIAATTASSALTLLPQTIGAAMTIPLSSFGTQATSVHPTSIPIQSVPYQPLLPTPLPQGVPLFHAGSHVPVVSPQTAVPIQPEGQITPVPQSVPLQKGASVQPLVQPAQPQSVPQATTAESSTSTQSLSYQVTQQPPATQQIGQQQQPHQIPQSSSVGTLSSSSPQGQSQGQAVSSPPYVGHPQSQAQVTSPIHGPVTGTSHAQEHQSGYSVTQQSSVRSETFVSSGQGSDVTGDSLVSISPQQYQLQQHQDAEPEEPEVQTSVSASTTSATPIGTSASPLQKIGTQSASSQEVQQKLSSEEQKKKEQEERVLQRQERKRKILSVRDKIAKAMTKHDGECVYGSEVMEQAVDEPVDRELDFSFEIAENDKYKGKLKKIDEIRLWLKIAIKEGDDEEALEVRVGTEEQFFAHNLLDKISYREDKNQRICGEIIEKVEPIAVKDFSAPKVGSTVRRYIQAANQCESYPYESTAMELMCMGFAYVDVSEDYKEEYMEPAMFEPTGIVSKAFSARKLTALGLDGIKVSGTPGTIFINDCKLDRHIIPPTRTHLFQLGKLIQRCWSRLVKIKMVKFRKLYTKLTGSTMLETVLVICKKGKVTSEWSDYFRVINPHQLGKLMEAEEKFRQRESKTLKRDQLECWAVEVTTETDLGKYVTKFSGRGIEIDFKLFRGDNSMGVAKTELEEIDDQQQSREEQPEKASGGGSGSRAPPPEEKSGVLSPQSVPRDKSTSSPVTSPAPGAVAQEGPAAAAPPQQAVQSTATATVTEERHPEPPASQPVAAPISPQPLSLPLGPLELATANSDCIVSRRFFRHETTTTTAAAGRSDKDMTHRRRRYEETRGTVADAAAAGLKEGVSSDSSSGNKPAPAPPSLFLSGPTSSQKEAAGGSM
+>sp|P04628|WNT1_HUMAN Proto-oncogene Wnt-1 OS=Homo sapiens OX=9606 GN=WNT1 PE=1 SV=1
+MGLWALLPGWVSATLLLALAALPAALAANSSGRWWGIVNVASSTNLLTDSKSLQLVLEPSLQLLSRKQRRLIRQNPGILHSVSGGLQSAVRECKWQFRNRRWNCPTAPGPHLFGKIVNRGCRETAFIFAITSAGVTHSVARSCSEGSIESCTCDYRRRGPGGPDWHWGGCSDNIDFGRLFGREFVDSGEKGRDLRFLMNLHNNEAGRTTVFSEMRQECKCHGMSGSCTVRTCWMRLPTLRAVGDVLRDRFDGASRVLYGNRGSNRASRAELLRLEPEDPAHKPPSPHDLVYFEKSPNFCTYSGRLGTAGTAGRACNSSSPALDGCELLCCGRGHRTRTQRVTERCNCTFHWCCHVSCRNCTHTRVLHECL
+>DECOY_sp|P04628|WNT1_HUMAN Proto-oncogene Wnt-1 OS=Homo sapiens OX=9606 GN=WNT1 PE=1 SV=1
+LCEHLVRTHTCNRCSVHCCWHFTCNCRETVRQTRTRHGRGCCLLECGDLAPSSSNCARGATGATGLRGSYTCFNPSKEFYVLDHPSPPKHAPDEPELRLLEARSARNSGRNGYLVRSAGDFRDRLVDGVARLTPLRMWCTRVTCSGSMGHCKCEQRMESFVTTRGAENNHLNMLFRLDRGKEGSDVFERGFLRGFDINDSCGGWHWDPGGPGRRRYDCTCSEISGESCSRAVSHTVGASTIAFIFATERCGRNVIKGFLHPGPATPCNWRRNRFQWKCERVASQLGGSVSHLIGPNQRILRRQKRSLLQLSPELVLQLSKSDTLLNTSSAVNVIGWWRGSSNAALAAPLAALALLLTASVWGPLLAWLGM
+>sp|Q9UBD3|XCL2_HUMAN Cytokine SCM-1 beta OS=Homo sapiens OX=9606 GN=XCL2 PE=1 SV=1
+MRLLILALLGICSLTAYIVEGVGSEVSHRRTCVSLTTQRLPVSRIKTYTITEGSLRAVIFITKRGLKVCADPQATWVRDVVRSMDRKSNTRNNMIQTKPTGTQQSTNTAVTLTG
+>DECOY_sp|Q9UBD3|XCL2_HUMAN Cytokine SCM-1 beta OS=Homo sapiens OX=9606 GN=XCL2 PE=1 SV=1
+GTLTVATNTSQQTGTPKTQIMNNRTNSKRDMSRVVDRVWTAQPDACVKLGRKTIFIVARLSGETITYTKIRSVPLRQTTLSVCTRRHSVESGVGEVIYATLSCIGLLALILLRM
+>sp|P46094|XCR1_HUMAN Chemokine XC receptor 1 OS=Homo sapiens OX=9606 GN=XCR1 PE=1 SV=1
+MESSGNPESTTFFYYDLQSQPCENQAWVFATLATTVLYCLVFLLSLVGNSLVLWVLVKYESLESLTNIFILNLCLSDLVFACLLPVWISPYHWGWVLGDFLCKLLNMIFSISLYSSIFFLTIMTIHRYLSVVSPLSTLRVPTLRCRVLVTMAVWVASILSSILDTIFHKVLSSGCDYSELTWYLTSVYQHNLFFLLSLGIILFCYVEILRTLFRSRSKRRHRTVKLIFAIVVAYFLSWGPYNFTLFLQTLFRTQIIRSCEAKQQLEYALLICRNLAFSHCCFNPVLYVFVGVKFRTHLKHVLRQFWFCRLQAPSPASIPHSPGAFAYEGASFY
+>DECOY_sp|P46094|XCR1_HUMAN Chemokine XC receptor 1 OS=Homo sapiens OX=9606 GN=XCR1 PE=1 SV=1
+YFSAGEYAFAGPSHPISAPSPAQLRCFWFQRLVHKLHTRFKVGVFVYLVPNFCCHSFALNRCILLAYELQQKAECSRIIQTRFLTQLFLTFNYPGWSLFYAVVIAFILKVTRHRRKSRSRFLTRLIEVYCFLIIGLSLLFFLNHQYVSTLYWTLESYDCGSSLVKHFITDLISSLISAVWVAMTVLVRCRLTPVRLTSLPSVVSLYRHITMITLFFISSYLSISFIMNLLKCLFDGLVWGWHYPSIWVPLLCAFVLDSLCLNLIFINTLSELSEYKVLVWLVLSNGVLSLLFVLCYLVTTALTAFVWAQNECPQSQLDYYFFTTSEPNGSSEM
+>sp|O14980|XPO1_HUMAN Exportin-1 OS=Homo sapiens OX=9606 GN=XPO1 PE=1 SV=1
+MPAIMTMLADHAARQLLDFSQKLDINLLDNVVNCLYHGEGAQQRMAQEVLTHLKEHPDAWTRVDTILEFSQNMNTKYYGLQILENVIKTRWKILPRNQCEGIKKYVVGLIIKTSSDPTCVEKEKVYIGKLNMILVQILKQEWPKHWPTFISDIVGASRTSESLCQNNMVILKLLSEEVFDFSSGQITQVKSKHLKDSMCNEFSQIFQLCQFVMENSQNAPLVHATLETLLRFLNWIPLGYIFETKLISTLIYKFLNVPMFRNVSLKCLTEIAGVSVSQYEEQFVTLFTLTMMQLKQMLPLNTNIRLAYSNGKDDEQNFIQNLSLFLCTFLKEHDQLIEKRLNLRETLMEALHYMLLVSEVEETEIFKICLEYWNHLAAELYRESPFSTSASPLLSGSQHFDVPPRRQLYLPMLFKVRLLMVSRMAKPEEVLVVENDQGEVVREFMKDTDSINLYKNMRETLVYLTHLDYVDTERIMTEKLHNQVNGTEWSWKNLNTLCWAIGSISGAMHEEDEKRFLVTVIKDLLGLCEQKRGKDNKAIIASNIMYIVGQYPRFLRAHWKFLKTVVNKLFEFMHETHDGVQDMACDTFIKIAQKCRRHFVQVQVGEVMPFIDEILNNINTIICDLQPQQVHTFYEAVGYMIGAQTDQTVQEHLIEKYMLLPNQVWDSIIQQATKNVDILKDPETVKQLGSILKTNVRACKAVGHPFVIQLGRIYLDMLNVYKCLSENISAAIQANGEMVTKQPLIRSMRTVKRETLKLISGWVSRSNDPQMVAENFVPPLLDAVLIDYQRNVPAAREPEVLSTMAIIVNKLGGHITAEIPQIFDAVFECTLNMINKDFEEYPEHRTNFFLLLQAVNSHCFPAFLAIPPTQFKLVLDSIIWAFKHTMRNVADTGLQILFTLLQNVAQEEAAAQSFYQTYFCDILQHIFSVVTDTSHTAGLTMHASILAYMFNLVEEGKISTSLNPGNPVNNQIFLQEYVANLLKSAFPHLQDAQVKLFVTGLFSLNQDIPAFKEHLRDFLVQIKEFAGEDTSDLFLEEREIALRQADEEKHKRQMSVPGIFNPHEIPEEMCD
+>DECOY_sp|O14980|XPO1_HUMAN Exportin-1 OS=Homo sapiens OX=9606 GN=XPO1 PE=1 SV=1
+DCMEEPIEHPNFIGPVSMQRKHKEEDAQRLAIEREELFLDSTDEGAFEKIQVLFDRLHEKFAPIDQNLSFLGTVFLKVQADQLHPFASKLLNAVYEQLFIQNNVPNGPNLSTSIKGEEVLNFMYALISAHMTLGATHSTDTVVSFIHQLIDCFYTQYFSQAAAEEQAVNQLLTFLIQLGTDAVNRMTHKFAWIISDLVLKFQTPPIALFAPFCHSNVAQLLLFFNTRHEPYEEFDKNIMNLTCEFVADFIQPIEATIHGGLKNVIIAMTSLVEPERAAPVNRQYDILVADLLPPVFNEAVMQPDNSRSVWGSILKLTERKVTRMSRILPQKTVMEGNAQIAASINESLCKYVNLMDLYIRGLQIVFPHGVAKCARVNTKLISGLQKVTEPDKLIDVNKTAQQIISDWVQNPLLMYKEILHEQVTQDTQAGIMYGVAEYFTHVQQPQLDCIITNINNLIEDIFPMVEGVQVQVFHRRCKQAIKIFTDCAMDQVGDHTEHMFEFLKNVVTKLFKWHARLFRPYQGVIYMINSAIIAKNDKGRKQECLGLLDKIVTVLFRKEDEEHMAGSISGIAWCLTNLNKWSWETGNVQNHLKETMIRETDVYDLHTLYVLTERMNKYLNISDTDKMFERVVEGQDNEVVLVEEPKAMRSVMLLRVKFLMPLYLQRRPPVDFHQSGSLLPSASTSFPSERYLEAALHNWYELCIKFIETEEVESVLLMYHLAEMLTERLNLRKEILQDHEKLFTCLFLSLNQIFNQEDDKGNSYALRINTNLPLMQKLQMMTLTFLTVFQEEYQSVSVGAIETLCKLSVNRFMPVNLFKYILTSILKTEFIYGLPIWNLFRLLTELTAHVLPANQSNEMVFQCLQFIQSFENCMSDKLHKSKVQTIQGSSFDFVEESLLKLIVMNNQCLSESTRSAGVIDSIFTPWHKPWEQKLIQVLIMNLKGIYVKEKEVCTPDSSTKIILGVVYKKIGECQNRPLIKWRTKIVNELIQLGYYKTNMNQSFELITDVRTWADPHEKLHTLVEQAMRQQAGEGHYLCNVVNDLLNIDLKQSFDLLQRAAHDALMTMIAPM
+>sp|Q9C0E2|XPO4_HUMAN Exportin-4 OS=Homo sapiens OX=9606 GN=XPO4 PE=1 SV=2
+MMAAALGPPEVIAQLENAAKVLMAPPSMVNNEQRQHAEHIFLSFRKSKSPFAVCKHILETSKVDYVLFQAATAIMEAVVREWILLEKGSIESLRTFLLTYVLQRPNLQKYVREQILLAVAVIVKRGSLDKSIDCKSIFHEVSQLISSGNPTVQTLACSILTALLSEFSSSSKTSNIGLSMEFHGNCKRVFQEEDLRQIFMLTVEVLQEFSRRENLNAQMSSVFQRYLALANQVLSWNFLPPNLGRHYIAMFESSQNVLLKPTESWRETLLDSRVMELFFTVHRKIREDSDMAQDSLQCLAQLASLHGPIFPDEGSQVDYLAHFIEGLLNTINGIEIEDSEAVGISSIISNLITVFPRNVLTAIPSELFSSFVNCLTHLTCSFGRSAALEEVLDKDDMVYMEAYDKLLESWLTLVQDDKHFHKGFFTQHAVQVFNSYIQCHLAAPDGTRNLTANGVASREEEEISELQEDDRDQFSDQLASVGMLGRIAAEHCIPLLTSLLEERVTRLHGQLQRHQQQLLASPGSSTVDNKMLDDLYEDIHWLILVTGYLLADDTQGETPLIPPEIMEYSIKHSSEVDINTTLQILGSPGEKASSIPGYNRTDSVIRLLSAILRVSEVESRAIRADLTHLLSPQMGKDIVWFLKRWAKTYLLVDEKLYDQISLPFSTAFGADTEGSQWIIGYLLQKVISNLSVWSSEQDLANDTVQLLVTLVERRERANLVIQCENWWNLAKQFASRSPPLNFLSSPVQRTLMKALVLGGFAHMDTETKQQYWTEVLQPLQQRFLRVINQENFQQMCQQEEVKQEITATLEALCGIAEATQIDNVAILFNFLMDFLTNCIGLMEVYKNTPETVNLIIEVFVEVAHKQICYLGESKAMNLYEACLTLLQVYSKNNLGRQRIDVTAEEEQYQDLLLIMELLTNLLSKEFIDFSDTDEVFRGHEPGQAANRSVSAADVVLYGVNLILPLMSQDLLKFPTLCNQYYKLITFICEIFPEKIPQLPEDLFKSLMYSLELGMTSMSSEVCQLCLEALTPLAEQCAKAQETDSPLFLATRHFLKLVFDMLVLQKHNTEMTTAAGEAFYTLVCLHQAEYSELVETLLSSQQDPVIYQRLADAFNKLTASSTPPTLDRKQKMAFLKSLEEFMANVGGLLCVK
+>DECOY_sp|Q9C0E2|XPO4_HUMAN Exportin-4 OS=Homo sapiens OX=9606 GN=XPO4 PE=1 SV=2
+KVCLLGGVNAMFEELSKLFAMKQKRDLTPPTSSATLKNFADALRQYIVPDQQSSLLTEVLESYEAQHLCVLTYFAEGAATTMETNHKQLVLMDFVLKLFHRTALFLPSDTEQAKACQEALPTLAELCLQCVESSMSTMGLELSYMLSKFLDEPLQPIKEPFIECIFTILKYYQNCLTPFKLLDQSMLPLILNVGYLVVDAASVSRNAAQGPEHGRFVEDTDSFDIFEKSLLNTLLEMILLLDQYQEEEATVDIRQRGLNNKSYVQLLTLCAEYLNMAKSEGLYCIQKHAVEVFVEIILNVTEPTNKYVEMLGICNTLFDMLFNFLIAVNDIQTAEAIGCLAELTATIEQKVEEQQCMQQFNEQNIVRLFRQQLPQLVETWYQQKTETDMHAFGGLVLAKMLTRQVPSSLFNLPPSRSAFQKALNWWNECQIVLNARERREVLTVLLQVTDNALDQESSWVSLNSIVKQLLYGIIWQSGETDAGFATSFPLSIQDYLKEDVLLYTKAWRKLFWVIDKGMQPSLLHTLDARIARSEVESVRLIASLLRIVSDTRNYGPISSAKEGPSGLIQLTTNIDVESSHKISYEMIEPPILPTEGQTDDALLYGTVLILWHIDEYLDDLMKNDVTSSGPSALLQQQHRQLQGHLRTVREELLSTLLPICHEAAIRGLMGVSALQDSFQDRDDEQLESIEEEERSAVGNATLNRTGDPAALHCQIYSNFVQVAHQTFFGKHFHKDDQVLTLWSELLKDYAEMYVMDDKDLVEELAASRGFSCTLHTLCNVFSSFLESPIATLVNRPFVTILNSIISSIGVAESDEIEIGNITNLLGEIFHALYDVQSGEDPFIPGHLSALQALCQLSDQAMDSDERIKRHVTFFLEMVRSDLLTERWSETPKLLVNQSSEFMAIYHRGLNPPLFNWSLVQNALALYRQFVSSMQANLNERRSFEQLVEVTLMFIQRLDEEQFVRKCNGHFEMSLGINSTKSSSSFESLLATLISCALTQVTPNGSSILQSVEHFISKCDISKDLSGRKVIVAVALLIQERVYKQLNPRQLVYTLLFTRLSEISGKELLIWERVVAEMIATAAQFLVYDVKSTELIHKCVAFPSKSKRFSLFIHEAHQRQENNVMSPPAMLVKAANELQAIVEPPGLAAAMM
+>sp|O43542|XRCC3_HUMAN DNA repair protein XRCC3 OS=Homo sapiens OX=9606 GN=XRCC3 PE=1 SV=1
+MDLDLLDLNPRIIAAIKKAKLKSVKEVLHFSGPDLKRLTNLSSPEVWHLLRTASLHLRGSSILTALQLHQQKERFPTQHQRLSLGCPVLDALLRGGLPLDGITELAGRSSAGKTQLALQLCLAVQFPRQHGGLEAGAVYICTEDAFPHKRLQQLMAQQPRLRTDVPGELLQKLRFGSQIFIEHVADVDTLLECVNKKVPVLLSRGMARLVVIDSVAAPFRCEFDSQASAPRARHLQSLGATLRELSSAFQSPVLCINQVTEAMEEQGAAHGPLGFWDERVSPALGITWANQLLVRLLADRLREEEAALGCPARTLRVLSAPHLPPSSCSYTISAEGVRGTPGTQSH
+>DECOY_sp|O43542|XRCC3_HUMAN DNA repair protein XRCC3 OS=Homo sapiens OX=9606 GN=XRCC3 PE=1 SV=1
+HSQTGPTGRVGEASITYSCSSPPLHPASLVRLTRAPCGLAAEEERLRDALLRVLLQNAWTIGLAPSVREDWFGLPGHAAGQEEMAETVQNICLVPSQFASSLERLTAGLSQLHRARPASAQSDFECRFPAAVSDIVVLRAMGRSLLVPVKKNVCELLTDVDAVHEIFIQSGFRLKQLLEGPVDTRLRPQQAMLQQLRKHPFADETCIYVAGAELGGHQRPFQVALCLQLALQTKGASSRGALETIGDLPLGGRLLADLVPCGLSLRQHQTPFREKQQHLQLATLISSGRLHLSATRLLHWVEPSSLNTLRKLDPGSFHLVEKVSKLKAKKIAAIIRPNLDLLDLDM
+>sp|Q13426|XRCC4_HUMAN DNA repair protein XRCC4 OS=Homo sapiens OX=9606 GN=XRCC4 PE=1 SV=2
+MERKISRIHLVSEPSITHFLQVSWEKTLESGFVITLTDGHSAWTGTVSESEISQEADDMAMEKGKYVGELRKALLSGAGPADVYTFNFSKESCYFFFEKNLKDVSFRLGSFNLEKVENPAEVIRELICYCLDTIAENQAKNEHLQKENERLLRDWNDVQGRFEKCVSAKEALETDLYKRFILVLNEKKTKIRSLHNKLLNAAQEREKDIKQEGETAICSEMTADRDPVYDESTDEESENQTDLSGLASAAVSKDDSIISSLDVTDIAPSRKRRQRMQRNLGTEPKMAPQENQLQEKENSRPDSSLPETSKKEHISAENMSLETLRNSSPEDLFDEI
+>DECOY_sp|Q13426|XRCC4_HUMAN DNA repair protein XRCC4 OS=Homo sapiens OX=9606 GN=XRCC4 PE=1 SV=2
+IEDFLDEPSSNRLTELSMNEASIHEKKSTEPLSSDPRSNEKEQLQNEQPAMKPETGLNRQMRQRRKRSPAIDTVDLSSIISDDKSVAASALGSLDTQNESEEDTSEDYVPDRDATMESCIATEGEQKIDKEREQAANLLKNHLSRIKTKKENLVLIFRKYLDTELAEKASVCKEFRGQVDNWDRLLRENEKQLHENKAQNEAITDLCYCILERIVEAPNEVKELNFSGLRFSVDKLNKEFFFYCSEKSFNFTYVDAPGAGSLLAKRLEGVYKGKEMAMDDAEQSIESESVTGTWASHGDTLTIVFGSELTKEWSVQLFHTISPESVLHIRSIKREM
+>sp|P13010|XRCC5_HUMAN X-ray repair cross-complementing protein 5 OS=Homo sapiens OX=9606 GN=XRCC5 PE=1 SV=3
+MVRSGNKAAVVLCMDVGFTMSNSIPGIESPFEQAKKVITMFVQRQVFAENKDEIALVLFGTDGTDNPLSGGDQYQNITVHRHLMLPDFDLLEDIESKIQPGSQQADFLDALIVSMDVIQHETIGKKFEKRHIEIFTDLSSRFSKSQLDIIIHSLKKCDISLQFFLPFSLGKEDGSGDRGDGPFRLGGHGPSFPLKGITEQQKEGLEIVKMVMISLEGEDGLDEIYSFSESLRKLCVFKKIERHSIHWPCRLTIGSNLSIRIAAYKSILQERVKKTWTVVDAKTLKKEDIQKETVYCLNDDDETEVLKEDIIQGFRYGSDIVPFSKVDEEQMKYKSEGKCFSVLGFCKSSQVQRRFFMGNQVLKVFAARDDEAAAVALSSLIHALDDLDMVAIVRYAYDKRANPQVGVAFPHIKHNYECLVYVQLPFMEDLRQYMFSSLKNSKKYAPTEAQLNAVDALIDSMSLAKKDEKTDTLEDLFPTTKIPNPRFQRLFQCLLHRALHPREPLPPIQQHIWNMLNPPAEVTTKSQIPLSKIKTLFPLIEAKKKDQVTAQEIFQDNHEDGPTAKKLKTEQGGAHFSVSSLAEGSVTSVGSVNPAENFRVLVKQKKASFEEASNQLINHIEQFLDTNETPYFMKSIDCIRAFREEAIKFSEEQRFNNFLKALQEKVEIKQLNHFWEIVVQDGITLITKEEASGSSVTAEEAKKFLAPKDKPSGDTAAVFEEGGDVDDLLDMI
+>DECOY_sp|P13010|XRCC5_HUMAN X-ray repair cross-complementing protein 5 OS=Homo sapiens OX=9606 GN=XRCC5 PE=1 SV=3
+IMDLLDDVDGGEEFVAATDGSPKDKPALFKKAEEATVSSGSAEEKTILTIGDQVVIEWFHNLQKIEVKEQLAKLFNNFRQEESFKIAEERFARICDISKMFYPTENTDLFQEIHNILQNSAEEFSAKKQKVLVRFNEAPNVSGVSTVSGEALSSVSFHAGGQETKLKKATPGDEHNDQFIEQATVQDKKKAEILPFLTKIKSLPIQSKTTVEAPPNLMNWIHQQIPPLPERPHLARHLLCQFLRQFRPNPIKTTPFLDELTDTKEDKKALSMSDILADVANLQAETPAYKKSNKLSSFMYQRLDEMFPLQVYVLCEYNHKIHPFAVGVQPNARKDYAYRVIAVMDLDDLAHILSSLAVAAAEDDRAAFVKLVQNGMFFRRQVQSSKCFGLVSFCKGESKYKMQEEDVKSFPVIDSGYRFGQIIDEKLVETEDDDNLCYVTEKQIDEKKLTKADVVTWTKKVREQLISKYAAIRISLNSGITLRCPWHISHREIKKFVCLKRLSESFSYIEDLGDEGELSIMVMKVIELGEKQQETIGKLPFSPGHGGLRFPGDGRDGSGDEKGLSFPLFFQLSIDCKKLSHIIIDLQSKSFRSSLDTFIEIHRKEFKKGITEHQIVDMSVILADLFDAQQSGPQIKSEIDELLDFDPLMLHRHVTINQYQDGGSLPNDTGDTGFLVLAIEDKNEAFVQRQVFMTIVKKAQEFPSEIGPISNSMTFGVDMCLVVAAKNGSRVM
+>sp|Q96PU4|UHRF2_HUMAN E3 ubiquitin-protein ligase UHRF2 OS=Homo sapiens OX=9606 GN=UHRF2 PE=1 SV=1
+MWIQVRTIDGSKTCTIEDVSRKATIEELRERVWALFDVRPECQRLFYRGKQLENGYTLFDYDVGLNDIIQLLVRPDPDHLPGTSTQIEAKPCSNSPPKVKKAPRVGPSNQPSTSARARLIDPGFGIYKVNELVDARDVGLGAWFEAHIHSVTRASDGQSRGKTPLKNGSSCKRTNGNIKHKSKENTNKLDSVPSTSNSDCVAADEDVIYHIQYDEYPESGTLEMNVKDLRPRARTILKWNELNVGDVVMVNYNVESPGQRGFWFDAEITTLKTISRTKKELRVKIFLGGSEGTLNDCKIISVDEIFKIERPGAHPLSFADGKFLRRNDPECDLCGGDPEKKCHSCSCRVCGGKHEPNMQLLCDECNVAYHIYCLNPPLDKVPEEEYWYCPSCKTDSSEVVKAGERLKMSKKKAKMPSASTESRRDWGRGMACVGRTRECTIVPSNHYGPIPGIPVGSTWRFRVQVSEAGVHRPHVGGIHGRSNDGAYSLVLAGGFADEVDRGDEFTYTGSGGKNLAGNKRIGAPSADQTLTNMNRALALNCDAPLDDKIGAESRNWRAGKPVRVIRSFKGRKISKYAPEEGNRYDGIYKVVKYWPEISSSHGFLVWRYLLRRDDVEPAPWTSEGIERSRRLCLRLQYPAGYPSDKEGKKPKGQSKKQPSGTTKRPISDDDCPSASKVYKASDSAEAIEAFQLTPQQQHLIREDCQNQKLWDEVLSHLVEGPNFLKKLEQSFMCVCCQELVYQPVTTECFHNVCKDCLQRSFKAQVFSCPACRHDLGQNYIMIPNEILQTLLDLFFPGYSKGR
+>DECOY_sp|Q96PU4|UHRF2_HUMAN E3 ubiquitin-protein ligase UHRF2 OS=Homo sapiens OX=9606 GN=UHRF2 PE=1 SV=1
+RGKSYGPFFLDLLTQLIENPIMIYNQGLDHRCAPCSFVQAKFSRQLCDKCVNHFCETTVPQYVLEQCCVCMFSQELKKLFNPGEVLHSLVEDWLKQNQCDERILHQQQPTLQFAEIAEASDSAKYVKSASPCDDDSIPRKTTGSPQKKSQGKPKKGEKDSPYGAPYQLRLCLRRSREIGESTWPAPEVDDRRLLYRWVLFGHSSSIEPWYKVVKYIGDYRNGEEPAYKSIKRGKFSRIVRVPKGARWNRSEAGIKDDLPADCNLALARNMNTLTQDASPAGIRKNGALNKGGSGTYTFEDGRDVEDAFGGALVLSYAGDNSRGHIGGVHPRHVGAESVQVRFRWTSGVPIGPIPGYHNSPVITCERTRGVCAMGRGWDRRSETSASPMKAKKKSMKLREGAKVVESSDTKCSPCYWYEEEPVKDLPPNLCYIHYAVNCEDCLLQMNPEHKGGCVRCSCSHCKKEPDGGCLDCEPDNRRLFKGDAFSLPHAGPREIKFIEDVSIIKCDNLTGESGGLFIKVRLEKKTRSITKLTTIEADFWFGRQGPSEVNYNVMVVDGVNLENWKLITRARPRLDKVNMELTGSEPYEDYQIHYIVDEDAAVCDSNSTSPVSDLKNTNEKSKHKINGNTRKCSSGNKLPTKGRSQGDSARTVSHIHAEFWAGLGVDRADVLENVKYIGFGPDILRARASTSPQNSPGVRPAKKVKPPSNSCPKAEIQTSTGPLHDPDPRVLLQIIDNLGVDYDFLTYGNELQKGRYFLRQCEPRVDFLAWVRERLEEITAKRSVDEITCTKSGDITRVQIWM
+>sp|O95185|UNC5C_HUMAN Netrin receptor UNC5C OS=Homo sapiens OX=9606 GN=UNC5C PE=2 SV=2
+MRKGLRATAARCGLGLGYLLQMLVLPALALLSASGTGSAAQDDDFFHELPETFPSDPPEPLPHFLIEPEEAYIVKNKPVNLYCKASPATQIYFKCNSEWVHQKDHIVDERVDETSGLIVREVSIEISRQQVEELFGPEDYWCQCVAWSSAGTTKSRKAYVRIAYLRKTFEQEPLGKEVSLEQEVLLQCRPPEGIPVAEVEWLKNEDIIDPVEDRNFYITIDHNLIIKQARLSDTANYTCVAKNIVAKRKSTTATVIVYVNGGWSTWTEWSVCNSRCGRGYQKRTRTCTNPAPLNGGAFCEGQSVQKIACTTLCPVDGRWTPWSKWSTCGTECTHWRRRECTAPAPKNGGKDCDGLVLQSKNCTDGLCMQTAPDSDDVALYVGIVIAVIVCLAISVVVALFVYRKNHRDFESDIIDSSALNGGFQPVNIKAARQDLLAVPPDLTSAAAMYRGPVYALHDVSDKIPMTNSPILDPLPNLKIKVYNTSGAVTPQDDLSEFTSKLSPQMTQSLLENEALSLKNQSLARQTDPSCTAFGSFNSLGGHLIVPNSGVSLLIPAGAIPQGRVYEMYVTVHRKETMRPPMDDSQTLLTPVVSCGPPGALLTRPVVLTMHHCADPNTEDWKILLKNQAAQGQWEDVVVVGEENFTTPCYIQLDAEACHILTENLSTYALVGHSTTKAAAKRLKLAIFGPLCCSSLEYSIRVYCLDDTQDALKEILHLERQMGGQLLEEPKALHFKGSTHNLRLSIHDIAHSLWKSKLLAKYQEIPFYHVWSGSQRNLHCTFTLERFSLNTVELVCKLCVRQVEGEGQIFQLNCTVSEEPTGIDLPLLDPANTITTVTGPSAFSIPLPIRQKLCSSLDAPQTRGHDWRMLAHKLNLDRYLNYFATKSSPTGVILDLWEAQNFPDGNLSMLAAVLEEMGRHETVVSLAAEGQY
+>DECOY_sp|O95185|UNC5C_HUMAN Netrin receptor UNC5C OS=Homo sapiens OX=9606 GN=UNC5C PE=2 SV=2
+YQGEAALSVVTEHRGMEELVAALMSLNGDPFNQAEWLDLIVGTPSSKTAFYNLYRDLNLKHALMRWDHGRTQPADLSSCLKQRIPLPISFASPGTVTTITNAPDLLPLDIGTPEESVTCNLQFIQGEGEVQRVCLKCVLEVTNLSFRELTFTCHLNRQSGSWVHYFPIEQYKALLKSKWLSHAIDHISLRLNHTSGKFHLAKPEELLQGGMQRELHLIEKLADQTDDLCYVRISYELSSCCLPGFIALKLRKAAAKTTSHGVLAYTSLNETLIHCAEADLQIYCPTTFNEEGVVVVDEWQGQAAQNKLLIKWDETNPDACHHMTLVVPRTLLAGPPGCSVVPTLLTQSDDMPPRMTEKRHVTVYMEYVRGQPIAGAPILLSVGSNPVILHGGLSNFSGFATCSPDTQRALSQNKLSLAENELLSQTMQPSLKSTFESLDDQPTVAGSTNYVKIKLNPLPDLIPSNTMPIKDSVDHLAYVPGRYMAAASTLDPPVALLDQRAAKINVPQFGGNLASSDIIDSEFDRHNKRYVFLAVVVSIALCVIVAIVIGVYLAVDDSDPATQMCLGDTCNKSQLVLGDCDKGGNKPAPATCERRRWHTCETGCTSWKSWPTWRGDVPCLTTCAIKQVSQGECFAGGNLPAPNTCTRTRKQYGRGCRSNCVSWETWTSWGGNVYVIVTATTSKRKAVINKAVCTYNATDSLRAQKIILNHDITIYFNRDEVPDIIDENKLWEVEAVPIGEPPRCQLLVEQELSVEKGLPEQEFTKRLYAIRVYAKRSKTTGASSWAVCQCWYDEPGFLEEVQQRSIEISVERVILGSTEDVREDVIHDKQHVWESNCKFYIQTAPSAKCYLNVPKNKVIYAEEPEILFHPLPEPPDSPFTEPLEHFFDDDQAASGTGSASLLALAPLVLMQLLYGLGLGCRAATARLGKRM
+>sp|Q8N2C7|UNC80_HUMAN Protein unc-80 homolog OS=Homo sapiens OX=9606 GN=UNC80 PE=1 SV=2
+MVKRKSSEGQEQDGGRGIPLPIQTFLWRQTSAFLRPKLGKQYEASCVSFERVLVENKLHGLSPALSEAIQSISRWELVQAALPHVLHCTATLLSNRNKLGHQDKLGVAETKLLHTLHWMLLEAPQDCNNERFGGTDRGSSWGGSSSAFIHQVENQGSPGQPCQSSSNDEEENNRRKIFQNSMATVELFVFLFAPLVHRIKESDLTFRLASGLVIWQPMWEHRQPGVSGFTALVKPIRNIITAKRSSPINSQSRTCESPNQDARHLEGLQVVCETFQSDSISPKATISGCHRGNSFDGSLSSQTSQERGPSHSRASLVIPPCQRSRYATYFDVAVLRCLLQPHWSEEGTQWSLMYYLQRLRHMLEEKPEKPPEPDIPLLPRPRSSSMVAAAPSLVNTHKTQDLTMKCNEEEKSLSSEAFSKVSLTNLRRSAVPDLSSDLGMNIFKKFKSRKEDRERKGSIPFHHTGKRRPRRMGVPFLLHEDHLDVSPTRSTFSFGSFSGLGEDRRGIEKGGWQTTILGKLTRRGSSDAATEMESLSARHSHSHHTLVSDLPDPSNSHGENTVKEVRSQISTITVATFNTTLASFNVGYADFFNEHMRKLCNQVPIPEMPHEPLACANLPRSLTDSCINYSYLEDTEHIDGTNNFVHKNGMLDLSVVLKAVYLVLNHDISSRICDVALNIVECLLQLGVVPCVEKNRKKSENKENETLEKRPSEGAFQFKGVSGSSTCGFGGPAVSGAGDGGGEEGGGGDGGGGGGDGGGGGGGGGGPYEKNDKNQEKDESTPVSNHRLALTMLIKIVKSLGCAYGCGEGHRGLSGDRLRHQVFRENAQNCLTKLYKLDKMQFRQTMRDYVNKDSLNNVVDFLHALLGFCMEPVTDNKAGFGNNFTTVDNKSTAQNVEGIIVSAMFKSLITRCASTTHELHSPENLGLYCDIRQLVQFIKEAHGNVFRRVALSALLDSAEKLAPGKKVEENEQESKPAGSKRSEAGSIVDKGQVSSAPEECRSFMSGRPSQTPEHDEQMQGANLGRKDFWRKMFKSQSAASDTSSQSEQDTSECTTAHSGTTSDRRARSRSRRISLRKKLKLPIGKRNWLKRSSLSGLADGVEDLLDISSVDRLSFIRQSSKVKFTSAVKLSEGGPGSGMENGRDEEENFFKRLGCHSFDDHLSPNQDGGKSKNVVNLGAIRQGMKRFQFLLNCCEPGTIPDASILAAALDLEAPVVARAALFLECARFVHRCNRGNWPEWMKGHHVNITKKGLSRGRSPIVGNKRNQKLQWNAAKLFYQWGDAIGVRLNELCHGESESPANLLGLIYDEETKRRLRKEDEEEDFLDDSTVNPSKCGCPFALKMAACQLLLEITTFLRETFSCLPRPRTEPLVDLESCRLRLDPELDRHRYERKISFAGVLDENEDSKDSLHSSSHTLKSDAGVEEKKEGSPWSASEPSIEPEGMSNAGAEENYHRNMSWLHVMILLCNQQSFICTHVDYCHPHCYLHHSRSCARLVRAIKLLYGDSVDSLRESSNISSVALRGKKQKECSDKSCLRTPSLKKRVSDANLEGKKDSGMLKYIRLQVMSLSPAPLSLLIKAAPILTEEMYGDIQPAAWELLLSMDEHMAGAAAAMFLLCAVKVPEAVSDMLMSEFHHPETVQRLNAVLKFHTLWRFRYQVWPRMEEGAQQIFKIPPPSINFTLPSPVLGMPSVPMFDPPWVPQCSGSVQDPINEDQSKSFSARAVSRSHQRAEHILKNLQQEEEKKRLGREASLITAIPITQEACYEPTCTPNSEPEEEVEEVTNLASRRLSVSPSCTSSTSHRNYSFRRGSVWSVRSAVSAEDEEHTTEHTPNHHVPQPPQAVFPACICAAVLPIVHLMEDGEVREDGVAVSAVAQQVLWNCLIEDPSTVLRHFLEKLTISNRQDELMYMLRKLLLNIGDFPAQTSHILFNYLVGLIMYFVRTPCEWGMDAISATLTFLWEVVGYVEGLFFKDLKQTMKKEQCEVKLLVTASMPGTKTLVVHGQNECDIPTQLPVHEDTQFEALLKECLEFFNIPESQSTHYFLMDKRWNLIHYNKTYVRDIYPFRRSVSPQLNLVHMHPEKGQELIQKQVFTRKLEEVGRVLFLISLTQKIPTAHKQSHVSMLQEDLLRLPSFPRSAIDAEFSLFSDPQAGKELFGLDTLQKSLWIQLLEEMFLGMPSEFPWGDEIMLFLNVFNGALILHPEDSALLRQYAATVINTAVHFNHLFSLSGYQWILPTMLQVYSDYESNPQLRQAIEFACHQFYILHRKPFVLQLFASVAPLLEFPDAANNGPSKGVSAQCLFDLLQSLEGETTDILDILELVKAEKPLKSLDFCYGNEDLTFSISEAIKLCVTVVAYAPESFRSLQMLMVLEALVPCYLQKLKRQTSQVETVPAAREEIAATAALATSLQALLYSVEVLTRPMTAPQMSRCDQGHKGTTTANHTMSSGVNTRYQEQGAKLHFIRENLHLLEEGQGIPREELDERIAREEFRRPRESLLNICTEFYKHCGPRLKILQNLAGEPRVIALELLDVKSHMRLAEIAHSLLKLAPYDTQTMESRGLRRYIMEMLPITDWTAEAVRPALILILKRLDRMFNKIHKMPTLRRQVEWEPASNLIEGVCLTLQRQPIISFLPHLRSLINVCVNLVMGVVGPSSVADGLPLLHLSPYLSPPLPFSTAVVRLVALQIQALKEDFPLSHVISPFTNQERREGMLLNLLIPFVLTVGSGSKDSPWLEQPEVQLLLQTVINVLLPPRIISTSRSKNFMLESSPAHCSTPGDAGKDLRREGLAESTSQAAYLALKVILVCFERQLGSQWYWLSLQVKEMALRKVGGLALWDFLDFIVRTRIPIFVLLRPFIQCKLLAQPAENHEELSARQHIADQLERRFIPRPLCKSSLIAEFNSELKILKEAVHSGSAYQGKTSISTVGTSTSAYRLSLATMSRSNTGTGTVWEQDSEPSQQASQDTLSRTDEEDEENDSISMPSVVSEQEAYLLSAIGRRRFSSHVSSMSVPQAEVGMLPSQSEPNVLDDSQGLAAEGSLSRVASIQSEPGQQNLLVQQPLGRKRGLRQLRRPLLSRQKTQTEPRNRQGARLSTTRRSIQPKTKPSADQKRSVTFIEAQPEPAAAPTDALPATGQLQGCSPAPSRKPEAMDEPVLTSSPAIVVADLHSVSPKQSENFPTEEGEKEEDTEAQGATAHSPLSAQLSDPDDFTGLETSSLLQHGDTVLHISEENGMENPLLSSQFTFTPTELGKTDAVLDESHV
+>DECOY_sp|Q8N2C7|UNC80_HUMAN Protein unc-80 homolog OS=Homo sapiens OX=9606 GN=UNC80 PE=1 SV=2
+VHSEDLVADTKGLETPTFTFQSSLLPNEMGNEESIHLVTDGHQLLSSTELGTFDDPDSLQASLPSHATAGQAETDEEKEGEETPFNESQKPSVSHLDAVVIAPSSTLVPEDMAEPKRSPAPSCGQLQGTAPLADTPAAAPEPQAEIFTVSRKQDASPKTKPQISRRTTSLRAGQRNRPETQTKQRSLLPRRLQRLGRKRGLPQQVLLNQQGPESQISAVRSLSGEAALGQSDDLVNPESQSPLMGVEAQPVSMSSVHSSFRRRGIASLLYAEQESVVSPMSISDNEEDEEDTRSLTDQSAQQSPESDQEWVTGTGTNSRSMTALSLRYASTSTGVTSISTKGQYASGSHVAEKLIKLESNFEAILSSKCLPRPIFRRELQDAIHQRASLEEHNEAPQALLKCQIFPRLLVFIPIRTRVIFDLFDWLALGGVKRLAMEKVQLSLWYWQSGLQREFCVLIVKLALYAAQSTSEALGERRLDKGADGPTSCHAPSSELMFNKSRSTSIIRPPLLVNIVTQLLLQVEPQELWPSDKSGSGVTLVFPILLNLLMGERREQNTFPSIVHSLPFDEKLAQIQLAVLRVVATSFPLPPSLYPSLHLLPLGDAVSSPGVVGMVLNVCVNILSRLHPLFSIIPQRQLTLCVGEILNSAPEWEVQRRLTPMKHIKNFMRDLRKLILILAPRVAEATWDTIPLMEMIYRRLGRSEMTQTDYPALKLLSHAIEALRMHSKVDLLELAIVRPEGALNQLIKLRPGCHKYFETCINLLSERPRRFEERAIREDLEERPIGQGEELLHLNERIFHLKAGQEQYRTNVGSSMTHNATTTGKHGQDCRSMQPATMPRTLVEVSYLLAQLSTALAATAAIEERAAPVTEVQSTQRKLKQLYCPVLAELVMLMQLSRFSEPAYAVVTVCLKIAESISFTLDENGYCFDLSKLPKEAKVLELIDLIDTTEGELSQLLDFLCQASVGKSPGNNAADPFELLPAVSAFLQLVFPKRHLIYFQHCAFEIAQRLQPNSEYDSYVQLMTPLIWQYGSLSFLHNFHVATNIVTAAYQRLLASDEPHLILAGNFVNLFLMIEDGWPFESPMGLFMEELLQIWLSKQLTDLGFLEKGAQPDSFLSFEADIASRPFSPLRLLDEQLMSVHSQKHATPIKQTLSILFLVRGVEELKRTFVQKQILEQGKEPHMHVLNLQPSVSRRFPYIDRVYTKNYHILNWRKDMLFYHTSQSEPINFFELCEKLLAEFQTDEHVPLQTPIDCENQGHVVLTKTGPMSATVLLKVECQEKKMTQKLDKFFLGEVYGVVEWLFTLTASIADMGWECPTRVFYMILGVLYNFLIHSTQAPFDGINLLLKRLMYMLEDQRNSITLKELFHRLVTSPDEILCNWLVQQAVASVAVGDERVEGDEMLHVIPLVAACICAPFVAQPPQPVHHNPTHETTHEEDEASVASRVSWVSGRRFSYNRHSTSSTCSPSVSLRRSALNTVEEVEEEPESNPTCTPEYCAEQTIPIATILSAERGLRKKEEEQQLNKLIHEARQHSRSVARASFSKSQDENIPDQVSGSCQPVWPPDFMPVSPMGLVPSPLTFNISPPPIKFIQQAGEEMRPWVQYRFRWLTHFKLVANLRQVTEPHHFESMLMDSVAEPVKVACLLFMAAAAGAMHEDMSLLLEWAAPQIDGYMEETLIPAAKILLSLPAPSLSMVQLRIYKLMGSDKKGELNADSVRKKLSPTRLCSKDSCEKQKKGRLAVSSINSSERLSDVSDGYLLKIARVLRACSRSHHLYCHPHCYDVHTCIFSQQNCLLIMVHLWSMNRHYNEEAGANSMGEPEISPESASWPSGEKKEEVGADSKLTHSSSHLSDKSDENEDLVGAFSIKREYRHRDLEPDLRLRCSELDVLPETRPRPLCSFTERLFTTIELLLQCAAMKLAFPCGCKSPNVTSDDLFDEEEDEKRLRRKTEEDYILGLLNAPSESEGHCLENLRVGIADGWQYFLKAANWQLKQNRKNGVIPSRGRSLGKKTINVHHGKMWEPWNGRNCRHVFRACELFLAARAVVPAELDLAAALISADPITGPECCNLLFQFRKMGQRIAGLNVVNKSKGGDQNPSLHDDFSHCGLRKFFNEEEDRGNEMGSGPGGESLKVASTFKVKSSQRIFSLRDVSSIDLLDEVGDALGSLSSRKLWNRKGIPLKLKKRLSIRRSRSRARRDSTTGSHATTCESTDQESQSSTDSAASQSKFMKRWFDKRGLNAGQMQEDHEPTQSPRGSMFSRCEEPASSVQGKDVISGAESRKSGAPKSEQENEEVKKGPALKEASDLLASLAVRRFVNGHAEKIFQVLQRIDCYLGLNEPSHLEHTTSACRTILSKFMASVIIGEVNQATSKNDVTTFNNGFGAKNDTVPEMCFGLLAHLFDVVNNLSDKNVYDRMTQRFQMKDLKYLKTLCNQANERFVQHRLRDGSLGRHGEGCGYACGLSKVIKILMTLALRHNSVPTSEDKEQNKDNKEYPGGGGGGGGGGDGGGGGGDGGGGEEGGGDGAGSVAPGGFGCTSSGSVGKFQFAGESPRKELTENEKNESKKRNKEVCPVVGLQLLCEVINLAVDCIRSSIDHNLVLYVAKLVVSLDLMGNKHVFNNTGDIHETDELYSYNICSDTLSRPLNACALPEHPMEPIPVQNCLKRMHENFFDAYGVNFSALTTNFTAVTITSIQSRVEKVTNEGHSNSPDPLDSVLTHHSHSHRASLSEMETAADSSGRRTLKGLITTQWGGKEIGRRDEGLGSFSGFSFTSRTPSVDLHDEHLLFPVGMRRPRRKGTHHFPISGKRERDEKRSKFKKFINMGLDSSLDPVASRRLNTLSVKSFAESSLSKEEENCKMTLDQTKHTNVLSPAAAVMSSSRPRPLLPIDPEPPKEPKEELMHRLRQLYYMLSWQTGEESWHPQLLCRLVAVDFYTAYRSRQCPPIVLSARSHSPGREQSTQSSLSGDFSNGRHCGSITAKPSISDSQFTECVVQLGELHRADQNPSECTRSQSNIPSSRKATIINRIPKVLATFGSVGPQRHEWMPQWIVLGSALRFTLDSEKIRHVLPAFLFVFLEVTAMSNQFIKRRNNEEEDNSSSQCPQGPSGQNEVQHIFASSSGGWSSGRDTGGFRENNCDQPAELLMWHLTHLLKTEAVGLKDQHGLKNRNSLLTATCHLVHPLAAQVLEWRSISQIAESLAPSLGHLKNEVLVREFSVCSAEYQKGLKPRLFASTQRWLFTQIPLPIGRGGDQEQGESSKRKVM
+>sp|Q99437|VATO_HUMAN V-type proton ATPase 21 kDa proteolipid subunit OS=Homo sapiens OX=9606 GN=ATP6V0B PE=2 SV=1
+MTGLALLYSGVFVAFWACALAVGVCYTIFDLGFRFDVAWFLTETSPFMWSNLGIGLAISLSVVGAAWGIYITGSSIIGGGVKAPRIKTKNLVSIIFCEAVAIYGIIMAIVISNMAEPFSATDPKAIGHRNYHAGYSMFGAGLTVGLSNLFCGVCVGIVGSGAALADAQNPSLFVKILIVEIFGSAIGLFGVIVAILQTSRVKMGD
+>DECOY_sp|Q99437|VATO_HUMAN V-type proton ATPase 21 kDa proteolipid subunit OS=Homo sapiens OX=9606 GN=ATP6V0B PE=2 SV=1
+DGMKVRSTQLIAVIVGFLGIASGFIEVILIKVFLSPNQADALAAGSGVIGVCVGCFLNSLGVTLGAGFMSYGAHYNRHGIAKPDTASFPEAMNSIVIAMIIGYIAVAECFIISVLNKTKIRPAKVGGGIISSGTIYIGWAAGVVSLSIALGIGLNSWMFPSTETLFWAVDFRFGLDFITYCVGVALACAWFAVFVGSYLLALGTM
+>sp|Q9UKW4|VAV3_HUMAN Guanine nucleotide exchange factor VAV3 OS=Homo sapiens OX=9606 GN=VAV3 PE=1 SV=1
+MEPWKQCAQWLIHCKVLPTNHRVTWDSAQVFDLAQTLRDGVLLCQLLNNLRAHSINLKEINLRPQMSQFLCLKNIRTFLTACCETFGMRKSELFEAFDLFDVRDFGKVIETLSRLSRTPIALATGIRPFPTEESINDEDIYKGLPDLIDETLVEDEEDLYDCVYGEDEGGEVYEDLMKAEEAHQPKCPENDIRSCCLAEIKQTEEKYTETLESIEKYFMAPLKRFLTAAEFDSVFINIPELVKLHRNLMQEIHDSIVNKNDQNLYQVFINYKERLVIYGQYCSGVESAISSLDYISKTKEDVKLKLEECSKRANNGKFTLRDLLVVPMQRVLKYHLLLQELVKHTTDPTEKANLKLALDAMKDLAQYVNEVKRDNETLREIKQFQLSIENLNQPVLLFGRPQGDGEIRITTLDKHTKQERHIFLFDLAVIVCKRKGDNYEMKEIIDLQQYKIANNPTTDKENKKWSYGFYLIHTQGQNGLEFYCKTKDLKKKWLEQFEMALSNIRPDYADSNFHDFKMHTFTRVTSCKVCQMLLRGTFYQGYLCFKCGARAHKECLGRVDNCGRVNSGEQGTLKLPEKRTNGLRRTPKQVDPGLPKMQVIRNYSGTPPPALHEGPPLQLQAGDTVELLKGDAHSLFWQGRNLASGEVGFFPSDAVKPCPCVPKPVDYSCQPWYAGAMERLQAETELINRVNSTYLVRHRTKESGEYAISIKYNNEAKHIKILTRDGFFHIAENRKFKSLMELVEYYKHHSLKEGFRTLDTTLQFPYKEPEHSAGQRGNRAGNSLLSPKVLGIAIARYDFCARDMRELSLLKGDVVKIYTKMSANGWWRGEVNGRVGWFPSTYVEEDE
+>DECOY_sp|Q9UKW4|VAV3_HUMAN Guanine nucleotide exchange factor VAV3 OS=Homo sapiens OX=9606 GN=VAV3 PE=1 SV=1
+EDEEVYTSPFWGVRGNVEGRWWGNASMKTYIKVVDGKLLSLERMDRACFDYRAIAIGLVKPSLLSNGARNGRQGASHEPEKYPFQLTTDLTRFGEKLSHHKYYEVLEMLSKFKRNEAIHFFGDRTLIKIHKAENNYKISIAYEGSEKTRHRVLYTSNVRNILETEAQLREMAGAYWPQCSYDVPKPVCPCPKVADSPFFGVEGSALNRGQWFLSHADGKLLEVTDGAQLQLPPGEHLAPPPTGSYNRIVQMKPLGPDVQKPTRRLGNTRKEPLKLTGQEGSNVRGCNDVRGLCEKHARAGCKFCLYGQYFTGRLLMQCVKCSTVRTFTHMKFDHFNSDAYDPRINSLAMEFQELWKKKLDKTKCYFELGNQGQTHILYFGYSWKKNEKDTTPNNAIKYQQLDIIEKMEYNDGKRKCVIVALDFLFIHREQKTHKDLTTIRIEGDGQPRGFLLVPQNLNEISLQFQKIERLTENDRKVENVYQALDKMADLALKLNAKETPDTTHKVLEQLLLHYKLVRQMPVVLLDRLTFKGNNARKSCEELKLKVDEKTKSIYDLSSIASEVGSCYQGYIVLREKYNIFVQYLNQDNKNVISDHIEQMLNRHLKVLEPINIFVSDFEAATLFRKLPAMFYKEISELTETYKEETQKIEALCCSRIDNEPCKPQHAEEAKMLDEYVEGGEDEGYVCDYLDEEDEVLTEDILDPLGKYIDEDNISEETPFPRIGTALAIPTRSLRSLTEIVKGFDRVDFLDFAEFLESKRMGFTECCATLFTRINKLCLFQSMQPRLNIEKLNISHARLNNLLQCLLVGDRLTQALDFVQASDWTVRHNTPLVKCHILWQACQKWPEM
+>sp|Q5SQQ9|VAX1_HUMAN Ventral anterior homeobox 1 OS=Homo sapiens OX=9606 GN=VAX1 PE=1 SV=1
+MFGKPDKMDVRCHSDAEAARVSKNAHKESRESKGAEGNLPAAFLKEPQGAFSASGAAEDCNKSKSNSAADPDYCRRILVRDAKGSIREIILPKGLDLDRPKRTRTSFTAEQLYRLEMEFQRCQYVVGRERTELARQLNLSETQVKVWFQNRRTKQKKDQGKDSELRSVVSETAATCSVLRLLEQGRLLSPPGLPALLPPCATGALGSALRGPSLPALGAGAAAGSAAAAAAAAPGPAGAASPHPPAVGGAPGPGPAGPGGLHAGAPAAGHSLFSLPVPSLLGSVASRLSSAPLTMAGSLAGNLQELSARYLSSSAFEPYSRTNNKEGAEKKALD
+>DECOY_sp|Q5SQQ9|VAX1_HUMAN Ventral anterior homeobox 1 OS=Homo sapiens OX=9606 GN=VAX1 PE=1 SV=1
+DLAKKEAGEKNNTRSYPEFASSSLYRASLEQLNGALSGAMTLPASSLRSAVSGLLSPVPLSFLSHGAAPAGAHLGGPGAPGPGPAGGVAPPHPSAAGAPGPAAAAAAAASGAAAGAGLAPLSPGRLASGLAGTACPPLLAPLGPPSLLRGQELLRLVSCTAATESVVSRLESDKGQDKKQKTRRNQFWVKVQTESLNLQRALETRERGVVYQCRQFEMELRYLQEATFSTRTRKPRDLDLGKPLIIERISGKADRVLIRRCYDPDAASNSKSKNCDEAAGSASFAGQPEKLFAAPLNGEAGKSERSEKHANKSVRAAEADSHCRVDMKDPKGFM
+>sp|Q6Q795|VBPC1_HUMAN Putative viral protein-binding protein C1 OS=Homo sapiens OX=9606 PE=5 SV=1
+MEEVIQAGLAQWSRQKGLALPWDRTRGHPDVPWRNLTSSPTRPLAQPAGSCMPAEPSPAAHYHQLHVHLQLLPSDLSERPGLRLAPLALVEVGMTLPVPQTPLPHVTQQQKLAPGRQLCPW
+>DECOY_sp|Q6Q795|VBPC1_HUMAN Putative viral protein-binding protein C1 OS=Homo sapiens OX=9606 PE=5 SV=1
+WPCLQRGPALKQQQTVHPLPTQPVPLTMGVEVLALPALRLGPRESLDSPLLQLHVHLQHYHAAPSPEAPMCSGAPQALPRTPSSTLNRWPVDPHGRTRDWPLALGKQRSWQALGAQIVEEM
+>sp|P19320|VCAM1_HUMAN Vascular cell adhesion protein 1 OS=Homo sapiens OX=9606 GN=VCAM1 PE=1 SV=1
+MPGKMVVILGASNILWIMFAASQAFKIETTPESRYLAQIGDSVSLTCSTTGCESPFFSWRTQIDSPLNGKVTNEGTTSTLTMNPVSFGNEHSYLCTATCESRKLEKGIQVEIYSFPKDPEIHLSGPLEAGKPITVKCSVADVYPFDRLEIDLLKGDHLMKSQEFLEDADRKSLETKSLEVTFTPVIEDIGKVLVCRAKLHIDEMDSVPTVRQAVKELQVYISPKNTVISVNPSTKLQEGGSVTMTCSSEGLPAPEIFWSKKLDNGNLQHLSGNATLTLIAMRMEDSGIYVCEGVNLIGKNRKEVELIVQEKPFTVEISPGPRIAAQIGDSVMLTCSVMGCESPSFSWRTQIDSPLSGKVRSEGTNSTLTLSPVSFENEHSYLCTVTCGHKKLEKGIQVELYSFPRDPEIEMSGGLVNGSSVTVSCKVPSVYPLDRLEIELLKGETILENIEFLEDTDMKSLENKSLEMTFIPTIEDTGKALVCQAKLHIDDMEFEPKQRQSTQTLYVNVAPRDTTVLVSPSSILEEGSSVNMTCLSQGFPAPKILWSRQLPNGELQPLSENATLTLISTKMEDSGVYLCEGINQAGRSRKEVELIIQVTPKDIKLTAFPSESVKEGDTVIISCTCGNVPETWIILKKKAETGDTVLKSIDGAYTIRKAQLKDAGVYECESKNKVGSQLRSLTLDVQGRENNKDYFSPELLVLYFASSLIIPAIGMIIYFARKANMKGSYSLVEAQKSKV
+>DECOY_sp|P19320|VCAM1_HUMAN Vascular cell adhesion protein 1 OS=Homo sapiens OX=9606 GN=VCAM1 PE=1 SV=1
+VKSKQAEVLSYSGKMNAKRAFYIIMGIAPIILSSAFYLVLLEPSFYDKNNERGQVDLTLSRLQSGVKNKSECEYVGADKLQAKRITYAGDISKLVTDGTEAKKKLIIWTEPVNGCTCSIIVTDGEKVSESPFATLKIDKPTVQIILEVEKRSRGAQNIGECLYVGSDEMKTSILTLTANESLPQLEGNPLQRSWLIKPAPFGQSLCTMNVSSGEELISSPSVLVTTDRPAVNVYLTQTSQRQKPEFEMDDIHLKAQCVLAKGTDEITPIFTMELSKNELSKMDTDELFEINELITEGKLLEIELRDLPYVSPVKCSVTVSSGNVLGGSMEIEPDRPFSYLEVQIGKELKKHGCTVTCLYSHENEFSVPSLTLTSNTGESRVKGSLPSDIQTRWSFSPSECGMVSCTLMVSDGIQAAIRPGPSIEVTFPKEQVILEVEKRNKGILNVGECVYIGSDEMRMAILTLTANGSLHQLNGNDLKKSWFIEPAPLGESSCTMTVSGGEQLKTSPNVSIVTNKPSIYVQLEKVAQRVTPVSDMEDIHLKARCVLVKGIDEIVPTFTVELSKTELSKRDADELFEQSKMLHDGKLLDIELRDFPYVDAVSCKVTIPKGAELPGSLHIEPDKPFSYIEVQIGKELKRSECTATCLYSHENGFSVPNMTLTSTTGENTVKGNLPSDIQTRWSFFPSECGTTSCTLSVSDGIQALYRSEPTTEIKFAQSAAFMIWLINSAGLIVVMKGPM
+>sp|Q9H322|VCX2_HUMAN Variable charge X-linked protein 2 OS=Homo sapiens OX=9606 GN=VCX2 PE=2 SV=3
+MSPKPRASGPPAKATEAGKRKSSSQPSPSDPKKKTTKVAKKGKAVRRGRRGKKGAATKMAAVTAPEAESAPAAPGPSDQPSQELPQHELPPEEPVSEGTQHDPLSQESEVEEPLSQESEVEEPLTVWMASFSPVSESTD
+>DECOY_sp|Q9H322|VCX2_HUMAN Variable charge X-linked protein 2 OS=Homo sapiens OX=9606 GN=VCX2 PE=2 SV=3
+DTSESVPSFSAMWVTLPEEVESEQSLPEEVESEQSLPDHQTGESVPEEPPLEHQPLEQSPQDSPGPAAPASEAEPATVAAMKTAAGKKGRRGRRVAKGKKAVKTTKKKPDSPSPQSSSKRKGAETAKAPPGSARPKPSM
+>sp|O14598|VCY1_HUMAN Testis-specific basic protein Y 1 OS=Homo sapiens OX=9606 GN=VCY PE=2 SV=1
+MSPKPRASGPPAKAKETGKRKSSSQPSPSGPKKKTTKVAEKGEAVRGGRRGKKGAATKMAAVTAPEAESGPAAPGPSDQPSQELPQHELPPEEPVSEGTQHDPLSQESELEEPLSKGRPSTPLSP
+>DECOY_sp|O14598|VCY1_HUMAN Testis-specific basic protein Y 1 OS=Homo sapiens OX=9606 GN=VCY PE=2 SV=1
+PSLPTSPRGKSLPEELESEQSLPDHQTGESVPEEPPLEHQPLEQSPQDSPGPAAPGSEAEPATVAAMKTAAGKKGRRGGRVAEGKEAVKTTKKKPGSPSPQSSSKRKGTEKAKAPPGSARPKPSM
+>sp|P45880|VDAC2_HUMAN Voltage-dependent anion-selective channel protein 2 OS=Homo sapiens OX=9606 GN=VDAC2 PE=1 SV=2
+MATHGQTCARPMCIPPSYADLGKAARDIFNKGFGFGLVKLDVKTKSCSGVEFSTSGSSNTDTGKVTGTLETKYKWCEYGLTFTEKWNTDNTLGTEIAIEDQICQGLKLTFDTTFSPNTGKKSGKIKSSYKRECINLGCDVDFDFAGPAIHGSAVFGYEGWLAGYQMTFDSAKSKLTRNNFAVGYRTGDFQLHTNVNDGTEFGGSIYQKVCEDLDTSVNLAWTSGTNCTRFGIAAKYQLDPTASISAKVNNSSLIGVGYTQTLRPGVKLTLSALVDGKSINAGGHKVGLALELEA
+>DECOY_sp|P45880|VDAC2_HUMAN Voltage-dependent anion-selective channel protein 2 OS=Homo sapiens OX=9606 GN=VDAC2 PE=1 SV=2
+AELELALGVKHGGANISKGDVLASLTLKVGPRLTQTYGVGILSSNNVKASISATPDLQYKAAIGFRTCNTGSTWALNVSTDLDECVKQYISGGFETGDNVNTHLQFDGTRYGVAFNNRTLKSKASDFTMQYGALWGEYGFVASGHIAPGAFDFDVDCGLNICERKYSSKIKGSKKGTNPSFTTDFTLKLGQCIQDEIAIETGLTNDTNWKETFTLGYECWKYKTELTGTVKGTDTNSSGSTSFEVGSCSKTKVDLKVLGFGFGKNFIDRAAKGLDAYSPPICMPRACTQGHTAM
+>sp|Q9HBM0|VEZA_HUMAN Vezatin OS=Homo sapiens OX=9606 GN=VEZT PE=1 SV=3
+MTPEFDEEVVFENSPLYQYLQDLGHTDFEICSSLSPKTEKCTTEGQQKPPTRVLPKQGILLKVAETIKSWIFFSQCNKKDDLLHKLDIGFRLDSLHTILQQEVLLQEDVELIELLDPSILSAGQSQQQENGHLPTLCSLATPNIWDLSMLFAFISLLVMLPTWWIVSSWLVWGVILFVYLVIRALRLWRTAKLQVTLKKYSVHLEDMATNSRAFTNLVRKALRLIQETEVISRGFTLVSAACPFNKAGQHPSQHLIGLRKAVYRTLRANFQAARLATLYMLKNYPLNSESDNVTNYICVVPFKELGLGLSEEQISEEEAHNFTDGFSLPALKVLFQLWVAQSSEFFRRLALLLSTANSPPGPLLTPALLPHRILSDVTQGLPHAHSACLEELKRSYEFYRYFETQHQSVPQCLSKTQQKSRELNNVHTAVRSLQLHLKALLNEVIILEDELEKLVCTKETQELVSEAYPILEQKLKLIQPHVQASNNCWEEAISQVDKLLRRNTDKKGKPEIACENPHCTVVPLKQPTLHIADKDPIPEEQELEAYVDDIDIDSDFRKDDFYYLSQEDKERQKREHEESKRVLQELKSVLGFKASEAERQKWKQLLFSDHAVLKSLSPVDPVEPISNSEPSMNSDMGKVSKNDTEEESNKSATTDNEISRTEYLCENSLEGKNKDNSSNEVFPQGAEERMCYQCESEDEPQADGSGLTTAPPTPRDSLQPSIKQRLARLQLSPDFTFTAGLAAEVAARSLSFTTMQEQTFGGEEEEQIIEENKNEIEEK
+>DECOY_sp|Q9HBM0|VEZA_HUMAN Vezatin OS=Homo sapiens OX=9606 GN=VEZT PE=1 SV=3
+KEEIENKNEEIIQEEEEGGFTQEQMTTFSLSRAAVEAALGATFTFDPSLQLRALRQKISPQLSDRPTPPATTLGSGDAQPEDESECQYCMREEAGQPFVENSSNDKNKGELSNECLYETRSIENDTTASKNSEEETDNKSVKGMDSNMSPESNSIPEVPDVPSLSKLVAHDSFLLQKWKQREAESAKFGLVSKLEQLVRKSEEHERKQREKDEQSLYYFDDKRFDSDIDIDDVYAELEQEEPIPDKDAIHLTPQKLPVVTCHPNECAIEPKGKKDTNRRLLKDVQSIAEEWCNNSAQVHPQILKLKQELIPYAESVLEQTEKTCVLKELEDELIIVENLLAKLHLQLSRVATHVNNLERSKQQTKSLCQPVSQHQTEFYRYFEYSRKLEELCASHAHPLGQTVDSLIRHPLLAPTLLPGPPSNATSLLLALRRFFESSQAVWLQFLVKLAPLSFGDTFNHAEEESIQEESLGLGLEKFPVVCIYNTVNDSESNLPYNKLMYLTALRAAQFNARLTRYVAKRLGILHQSPHQGAKNFPCAASVLTFGRSIVETEQILRLAKRVLNTFARSNTAMDELHVSYKKLTVQLKATRWLRLARIVLYVFLIVGWVLWSSVIWWTPLMVLLSIFAFLMSLDWINPTALSCLTPLHGNEQQQSQGASLISPDLLEILEVDEQLLVEQQLITHLSDLRFGIDLKHLLDDKKNCQSFFIWSKITEAVKLLIGQKPLVRTPPKQQGETTCKETKPSLSSCIEFDTHGLDQLYQYLPSNEFVVEEDFEPTM
+>sp|Q14119|VEZF1_HUMAN Vascular endothelial zinc finger 1 OS=Homo sapiens OX=9606 GN=VEZF1 PE=1 SV=2
+MEANWTAFLFQAHEASHHQQQAAQNSLLPLLSSAVEPPDQKPLLPIPITQKPQGAPETLKDAIGIKKEKPKTSFVCTYCSKAFRDSYHLRRHESCHTGIKLVSRPKKTPTTVVPLISTIAGDSSRTSLVSTIAGILSTVTTSSSGTNPSSSASTTAMPVTQSVKKPSKPVKKNHACEMCGKAFRDVYHLNRHKLSHSDEKPFECPICNQRFKRKDRMTYHVRSHEGGITKPYTCSVCGKGFSRPDHLSCHVKHVHSTERPFKCQTCTAAFATKDRLRTHMVRHEGKVSCNICGKLLSAAYITSHLKTHGQSQSINCNTCKQGISKTCMSEETSNQKQQQQQQQQQQQQQQQQQQHVTSWPGKQVETLRLWEEAVKARKKEAANLCQTSTAATTPVTLTTPFSITSSVSSGTMSNPVTVAAAMSMRSPVNVSSAVNITSPMNIGHPVTITSPLSMTSPLTLTTPVNLPTPVTAPVNIAHPVTITSPMNLPTPMTLAAPLNIAMRPVESMPFLPQALPTSPPW
+>DECOY_sp|Q14119|VEZF1_HUMAN Vascular endothelial zinc finger 1 OS=Homo sapiens OX=9606 GN=VEZF1 PE=1 SV=2
+WPPSTPLAQPLFPMSEVPRMAINLPAALTMPTPLNMPSTITVPHAINVPATVPTPLNVPTTLTLPSTMSLPSTITVPHGINMPSTINVASSVNVPSRMSMAAAVTVPNSMTGSSVSSTISFPTTLTVPTTAATSTQCLNAAEKKRAKVAEEWLRLTEVQKGPWSTVHQQQQQQQQQQQQQQQQQQKQNSTEESMCTKSIGQKCTNCNISQSQGHTKLHSTIYAASLLKGCINCSVKGEHRVMHTRLRDKTAFAATCTQCKFPRETSHVHKVHCSLHDPRSFGKGCVSCTYPKTIGGEHSRVHYTMRDKRKFRQNCIPCEFPKEDSHSLKHRNLHYVDRFAKGCMECAHNKKVPKSPKKVSQTVPMATTSASSSPNTGSSSTTVTSLIGAITSVLSTRSSDGAITSILPVVTTPTKKPRSVLKIGTHCSEHRRLHYSDRFAKSCYTCVFSTKPKEKKIGIADKLTEPAGQPKQTIPIPLLPKQDPPEVASSLLPLLSNQAAQQQHHSAEHAQFLFATWNAEM
+>sp|Q7Z5L0|VMO1_HUMAN Vitelline membrane outer layer protein 1 homolog OS=Homo sapiens OX=9606 GN=VMO1 PE=1 SV=1
+MERGAGAKLLPLLLLLRATGFTCAQTDGRNGYTAVIEVTSGGPWGDWAWPEMCPDGFFASGFSLKVEPPQGIPGDDTALNGIRLHCARGNVLGNTHVVESQSGSWGEWSEPLWCRGGAYLVAFSLRVEAPTTLGDNTAANNVRFRCSDGEELQGPGLSWGDFGDWSDHCPKGACGLQTKIQGPRGLGDDTALNDARLFCCRS
+>DECOY_sp|Q7Z5L0|VMO1_HUMAN Vitelline membrane outer layer protein 1 homolog OS=Homo sapiens OX=9606 GN=VMO1 PE=1 SV=1
+SRCCFLRADNLATDDGLGRPGQIKTQLGCAGKPCHDSWDGFDGWSLGPGQLEEGDSCRFRVNNAATNDGLTTPAEVRLSFAVLYAGGRCWLPESWEGWSGSQSEVVHTNGLVNGRACHLRIGNLATDDGPIGQPPEVKLSFGSAFFGDPCMEPWAWDGWPGGSTVEIVATYGNRGDTQACTFGTARLLLLLPLLKAGAGREM
+>sp|Q9BXE9|VN1R3_HUMAN Vomeronasal type-1 receptor 3 OS=Homo sapiens OX=9606 GN=VN1R3 PE=2 SV=1
+MASKDFAIGMILLSQIMVGFLGNFFLLYHYSFLCFTRGMLQSTDLILKHLTIANSLVILSKGIPQTMAAFGLKDSLSDIGCKFVFYVHRVGRAVCVGNACLLSVFQVITISPSEFRWAELKLHAHKYIRSFILVLCWILNTLVNITVLLHVTGKWNSINSTKTNDYGYCSGGSRSRIPHSLHIVLLSSLDVLCLGLMTLASGSMVFILHRHKQQVQHIHGTNLSARSSPESRVTQSILVLVSTLCYFTRSPPSLHMSLFPNPSWWLLNTSALITACFPMVSPFVLMSRHPRIPRLGSACCGRNPQFPKLVR
+>DECOY_sp|Q9BXE9|VN1R3_HUMAN Vomeronasal type-1 receptor 3 OS=Homo sapiens OX=9606 GN=VN1R3 PE=2 SV=1
+RVLKPFQPNRGCCASGLRPIRPHRSMLVFPSVMPFCATILASTNLLWWSPNPFLSMHLSPPSRTFYCLTSVLVLISQTVRSEPSSRASLNTGHIHQVQQKHRHLIFVMSGSALTMLGLCLVDLSSLLVIHLSHPIRSRSGGSCYGYDNTKTSNISNWKGTVHLLVTINVLTNLIWCLVLIFSRIYKHAHLKLEAWRFESPSITIVQFVSLLCANGVCVARGVRHVYFVFKCGIDSLSDKLGFAAMTQPIGKSLIVLSNAITLHKLILDTSQLMGRTFCLFSYHYLLFFNGLFGVMIQSLLIMGIAFDKSAM
+>sp|Q7Z5H4|VN1R5_HUMAN Vomeronasal type-1 receptor 5 OS=Homo sapiens OX=9606 GN=VN1R5 PE=2 SV=2
+MLKLVIIENMAEIMLFSLDLLLFSTDILCFNFPSKMIKLPGFITIQIFFYPQASFGISANTILLLFHIFTFVFSHRSKSIDMIISHLSLIHILLLFTQAILVSLDFFGSQNTQDDLRYKVIVFLNKVMRGLSICTPCLLSVLQAIISPSIFSLAKLKHPSASHILGFFLFSWVLNMFIGVIFCCTLRLPPVKRGQSSVCHTALFLFAHELHPQETVFHTNDFEGCHLYRVHGPLKRLHGDYFIQTIRGYLSAFTQPACPRVSPVKRASQAILLLVSFVFTYWVDFTFSFSGGVTWINDSLLVWLQVIVANSYAAISPLMLIYADNQIFKTLQMLWFKYLSPPKLMLKFNRQCGSTKK
+>DECOY_sp|Q7Z5H4|VN1R5_HUMAN Vomeronasal type-1 receptor 5 OS=Homo sapiens OX=9606 GN=VN1R5 PE=2 SV=2
+KKTSGCQRNFKLMLKPPSLYKFWLMQLTKFIQNDAYILMLPSIAAYSNAVIVQLWVLLSDNIWTVGGSFSFTFDVWYTFVFSVLLLIAQSARKVPSVRPCAPQTFASLYGRITQIFYDGHLRKLPGHVRYLHCGEFDNTHFVTEQPHLEHAFLFLATHCVSSQGRKVPPLRLTCCFIVGIFMNLVWSFLFFGLIHSASPHKLKALSFISPSIIAQLVSLLCPTCISLGRMVKNLFVIVKYRLDDQTNQSGFFDLSVLIAQTFLLLIHILSLHSIIMDISKSRHSFVFTFIHFLLLITNASIGFSAQPYFFIQITIFGPLKIMKSPFNFCLIDTSFLLLDLSFLMIEAMNEIIVLKLM
+>sp|O95498|VNN2_HUMAN Vascular non-inflammatory molecule 2 OS=Homo sapiens OX=9606 GN=VNN2 PE=1 SV=3
+MVTSSFPISVAVFALITLQVGTQDSFIAAVYEHAVILPNKTETPVSQEDALNLMNENIDILETAIKQAAEQGARIIVTPEDALYGWKFTRETVFPYLEDIPDPQVNWIPCQDPHRFGHTPVQARLSCLAKDNSIYVLANLGDKKPCNSRDSTCPPNGYFQYNTNVVYNTEGKLVARYHKYHLYSEPQFNVPEKPELVTFNTAFGRFGIFTCFDIFFYDPGVTLVKDFHVDTILFPTAWMNVLPLLTAIEFHSAWAMGMGVNLLVANTHHVSLNMTGSGIYAPNGPKVYHYDMKTELGKLLLSEVDSHPLSSLAYPTAVNWNAYATTIKPFPVQKNTFRGFISRDGFNFTELFENAGNLTVCQKELCCHLSYRMLQKEENEVYVLGAFTGLHGRRRREYWQVCTLLKCKTTNLTTCGRPVETASTRFEMFSLSGTFGTEYVFPEVLLTEIHLSPGKFEVLKDGRLVNKNGSSGPILTVSLFGRWYTKDSLYSSCGTSNSAITYLLIFILLMIIALQNIVML
+>DECOY_sp|O95498|VNN2_HUMAN Vascular non-inflammatory molecule 2 OS=Homo sapiens OX=9606 GN=VNN2 PE=1 SV=3
+LMVINQLAIIMLLIFILLYTIASNSTGCSSYLSDKTYWRGFLSVTLIPGSSGNKNVLRGDKLVEFKGPSLHIETLLVEPFVYETGFTGSLSFMEFRTSATEVPRGCTTLNTTKCKLLTCVQWYERRRRGHLGTFAGLVYVENEEKQLMRYSLHCCLEKQCVTLNGANEFLETFNFGDRSIFGRFTNKQVPFPKITTAYANWNVATPYALSSLPHSDVESLLLKGLETKMDYHYVKPGNPAYIGSGTMNLSVHHTNAVLLNVGMGMAWASHFEIATLLPLVNMWATPFLITDVHFDKVLTVGPDYFFIDFCTFIGFRGFATNFTVLEPKEPVNFQPESYLHYKHYRAVLKGETNYVVNTNYQFYGNPPCTSDRSNCPKKDGLNALVYISNDKALCSLRAQVPTHGFRHPDQCPIWNVQPDPIDELYPFVTERTFKWGYLADEPTVIIRAGQEAAQKIATELIDINENMLNLADEQSVPTETKNPLIVAHEYVAAIFSDQTGVQLTILAFVAVSIPFSSTVM
+>sp|Q8N5M4|TTC9C_HUMAN Tetratricopeptide repeat protein 9C OS=Homo sapiens OX=9606 GN=TTC9C PE=1 SV=1
+MEKRLQEAQLYKEEGNQRYREGKYRDAVSRYHRALLQLRGLDPSLPSPLPNLGPQGPALTPEQENILHTTQTDCYNNLAACLLQMEPVNYERVREYSQKVLERQPDNAKALYRAGVAFFHLQDYDQARHYLLAAVNRQPKDANVRRYLQLTQSELSSYHRKEKQLYLGMFG
+>DECOY_sp|Q8N5M4|TTC9C_HUMAN Tetratricopeptide repeat protein 9C OS=Homo sapiens OX=9606 GN=TTC9C PE=1 SV=1
+GFMGLYLQKEKRHYSSLESQTLQLYRRVNADKPQRNVAALLYHRAQDYDQLHFFAVGARYLAKANDPQRELVKQSYERVREYNVPEMQLLCAALNNYCDTQTTHLINEQEPTLAPGQPGLNPLPSPLSPDLGRLQLLARHYRSVADRYKGERYRQNGEEKYLQAEQLRKEM
+>sp|O43156|TTI1_HUMAN TELO2-interacting protein 1 homolog OS=Homo sapiens OX=9606 GN=TTI1 PE=1 SV=3
+MAVFDTPEEAFGVLRPVCVQLTKTQTVENVEHLQTRLQAVSDSALQELQQYILFPLRFTLKTPGPKRERLIQSVVECLTFVLSSTCVKEQELLQELFSELSACLYSPSSQKPAAVSEELKLAVIQGLSTLMHSAYGDIILTFYEPSILPRLGFAVSLLLGLAEQEKSKQIKIAALKCLQVLLLQCDCQDHPRSLDELEQKQLGDLFASFLPGISTALTRLITGDFKQGHSIVVSSLKIFYKTVSFIMADEQLKRISKVQAKPAVEHRVAELMVYREADWVKKTGDKLTILIKKIIECVSVHPHWKVRLELVELVEDLLLKCSQSLVECAGPLLKALVGLVNDESPEIQAQCNKVLRHFADQKVVVGNKALADILSESLHSLATSLPRLMNSQDDQGKFSTLSLLLGYLKLLGPKINFVLNSVAHLQRLSKALIQVLELDVADIKIVEERRWNSDDLNASPKTSATQPWNRIQRRYFRFFTDERIFMLLRQVCQLLGYYGNLYLLVDHFMELYHQSVVYRKQAAMILNELVTGAAGLEVEDLHEKHIKTNPEELREIVTSILEEYTSQENWYLVTCLETEEMGEELMMEHPGLQAITSGEHTCQVTSFLAFSKPSPTICSMNSNIWQICIQLEGIGQFAYALGKDFCLLLMSALYPVLEKAGDQTLLISQVATSTMMDVCRACGYDSLQHLINQNSDYLVNGISLNLRHLALHPHTPKVLEVMLRNSDANLLPLVADVVQDVLATLDQFYDKRAASFVSVLHALMAALAQWFPDTGNLGHLQEQSLGEEGSHLNQRPAALEKSTTTAEDIEQFLLNYLKEKDVADGNVSDFDNEEEEQSVPPKVDENDTRPDVEPPLPLQIQIAMDVMERCIHLLSDKNLQIRLKVLDVLDLCVVVLQSHKNQLLPLAHQAWPSLVHRLTRDAPLAVLRAFKVLRTLGSKCGDFLRSRFCKDVLPKLAGSLVTQAPISARAGPVYSHTLAFKLQLAVLQGLGPLCERLDLGEGDLNKVADACLIYLSVKQPVKLQEAARSVFLHLMKVDPDSTWFLLNELYCPVQFTPPHPSLHPVQLHGASGQQNPYTTNVLQLLKELQ
+>DECOY_sp|O43156|TTI1_HUMAN TELO2-interacting protein 1 homolog OS=Homo sapiens OX=9606 GN=TTI1 PE=1 SV=3
+QLEKLLQLVNTTYPNQQGSAGHLQVPHLSPHPPTFQVPCYLENLLFWTSDPDVKMLHLFVSRAAEQLKVPQKVSLYILCADAVKNLDGEGLDLRECLPGLGQLVALQLKFALTHSYVPGARASIPAQTVLSGALKPLVDKCFRSRLFDGCKSGLTRLVKFARLVALPADRTLRHVLSPWAQHALPLLQNKHSQLVVVCLDLVDLVKLRIQLNKDSLLHICREMVDMAIQIQLPLPPEVDPRTDNEDVKPPVSQEEEENDFDSVNGDAVDKEKLYNLLFQEIDEATTTSKELAAPRQNLHSGEEGLSQEQLHGLNGTDPFWQALAAMLAHLVSVFSAARKDYFQDLTALVDQVVDAVLPLLNADSNRLMVELVKPTHPHLALHRLNLSIGNVLYDSNQNILHQLSDYGCARCVDMMTSTAVQSILLTQDGAKELVPYLASMLLLCFDKGLAYAFQGIGELQICIQWINSNMSCITPSPKSFALFSTVQCTHEGSTIAQLGPHEMMLEEGMEETELCTVLYWNEQSTYEELISTVIERLEEPNTKIHKEHLDEVELGAAGTVLENLIMAAQKRYVVSQHYLEMFHDVLLYLNGYYGLLQCVQRLLMFIREDTFFRFYRRQIRNWPQTASTKPSANLDDSNWRREEVIKIDAVDLELVQILAKSLRQLHAVSNLVFNIKPGLLKLYGLLLSLTSFKGQDDQSNMLRPLSTALSHLSESLIDALAKNGVVVKQDAFHRLVKNCQAQIEPSEDNVLGVLAKLLPGACEVLSQSCKLLLDEVLEVLELRVKWHPHVSVCEIIKKILITLKDGTKKVWDAERYVMLEAVRHEVAPKAQVKSIRKLQEDAMIFSVTKYFIKLSSVVISHGQKFDGTILRTLATSIGPLFSAFLDGLQKQELEDLSRPHDQCDCQLLLVQLCKLAAIKIQKSKEQEALGLLLSVAFGLRPLISPEYFTLIIDGYASHMLTSLGQIVALKLEESVAAPKQSSPSYLCASLESFLEQLLEQEKVCTSSLVFTLCEVVSQILRERKPGPTKLTFRLPFLIYQQLEQLASDSVAQLRTQLHEVNEVTQTKTLQVCVPRLVGFAEEPTDFVAM
+>sp|Q14166|TTL12_HUMAN Tubulin--tyrosine ligase-like protein 12 OS=Homo sapiens OX=9606 GN=TTLL12 PE=1 SV=2
+MEAERGPERRPAERSSPGQTPEEGAQALAEFAALHGPALRASGVPERYWGRLLHKLEHEVFDAGEVFGIMQVEEVEEEEDEAAREVRKQQPNPGNELCYKVIVTRESGLQAAHPNSIFLIDHAWTCRVEHARQQLQQVPGLLHRMANLMGIEFHGELPSTEAVALVLEEMWKFNQTYQLAHGTAEEKMPVWYIMDEFGSRIQHADVPSFATAPFFYMPQQVAYTLLWPLRDLDTGEEVTRDFAYGETDPLIRKCMLLPWAPTDMLDLSSCTPEPPAEHYQAILEENKEKLPLDINPVVHPHGHIFKVYTDVQQVASSLTHPRFTLTQSEADADILFNFSHFKDYRKLSQERPGVLLNQFPCENLLTVKDCLASIARRAGGPEGPPWLPRTFNLRTELPQFVSYFQQRERWGEDNHWICKPWNLARSLDTHVTKSLHSIIRHRESTPKVVSKYIESPVLFLREDVGKVKFDIRYIVLLRSVRPLRLFVYDVFWLRFSNRAFALNDLDDYEKHFTVMNYDPDVVLKQVHCEEFIPEFEKQYPEFPWTDVQAEIFRAFTELFQVACAKPPPLGLCDYPSSRAMYAVDLMLKWDNGPDGRRVMQPQILEVNFNPDCERACRYHPTFFNDVFSTLFLDQPGGCHVTCLV
+>DECOY_sp|Q14166|TTL12_HUMAN Tubulin--tyrosine ligase-like protein 12 OS=Homo sapiens OX=9606 GN=TTLL12 PE=1 SV=2
+VLCTVHCGGPQDLFLTSFVDNFFTPHYRCARECDPNFNVELIQPQMVRRGDPGNDWKLMLDVAYMARSSPYDCLGLPPPKACAVQFLETFARFIEAQVDTWPFEPYQKEFEPIFEECHVQKLVVDPDYNMVTFHKEYDDLDNLAFARNSFRLWFVDYVFLRLPRVSRLLVIYRIDFKVKGVDERLFLVPSEIYKSVVKPTSERHRIISHLSKTVHTDLSRALNWPKCIWHNDEGWRERQQFYSVFQPLETRLNFTRPLWPPGEPGGARRAISALCDKVTLLNECPFQNLLVGPREQSLKRYDKFHSFNFLIDADAESQTLTFRPHTLSSAVQQVDTYVKFIHGHPHVVPNIDLPLKEKNEELIAQYHEAPPEPTCSSLDLMDTPAWPLLMCKRILPDTEGYAFDRTVEEGTDLDRLPWLLTYAVQQPMYFFPATAFSPVDAHQIRSGFEDMIYWVPMKEEATGHALQYTQNFKWMEELVLAVAETSPLEGHFEIGMLNAMRHLLGPVQQLQQRAHEVRCTWAHDILFISNPHAAQLGSERTVIVKYCLENGPNPQQKRVERAAEDEEEEVEEVQMIGFVEGADFVEHELKHLLRGWYREPVGSARLAPGHLAAFEALAQAGEEPTQGPSSREAPRREPGREAEM
+>sp|Q14679|TTLL4_HUMAN Tubulin polyglutamylase TTLL4 OS=Homo sapiens OX=9606 GN=TTLL4 PE=1 SV=2
+MASAGTQHYSIGLRQKNSFKQSGPSGTVPATPPEKPSEGRVWPQAHQQVKPIWKLEKKQVETLSAGLGPGLLGVPPQPAYFFCPSTLCSSGTTAVIAGHSSSCYLHSLPDLFNSTLLYRRSSYRQKPYQQLESFCLRSSPSEKSPFSLPQKSLPVSLTANKATSSMVFSMAQPMASSSTEPYLCLAAAGENPSGKSLASAISGKIPSPLSSSYKPMLNNNSFMWPNSTPVPLLQTTQGLKPVSPPKIQPVSWHHSGGTGDCAPQPVDHKVPKSIGTVPADASAHIALSTASSHDTSTTSVASSWYNRNNLAMRAEPLSCALDDSSDSQDPTKEIRFTEAVRKLTARGFEKMPRQGCQLEQSSFLNPSFQWNVLNRSRRWKPPAVNQQFPQEDAGSVRRVLPGASDTLGLDNTVFCTKRISIHLLASHASGLNHNPACESVIDSSAFGEGKAPGPPFPQTLGIANVATRLSSIQLGQSEKERPEEARELDSSDRDISSATDLQPDQAETEDTEEELVDGLEDCCSRDENEEEEGDSECSSLSAVSPSESVAMISRSCMEILTKPLSNHEKVVRPALIYSLFPNVPPTIYFGTRDERVEKLPWEQRKLLRWKMSTVTPNIVKQTIGRSHFKISKRNDDWLGCWGHHMKSPSFRSIREHQKLNHFPGSFQIGRKDRLWRNLSRMQSRFGKKEFSFFPQSFILPQDAKLLRKAWESSSRQKWIVKPPASARGIGIQVIHKWSQLPKRRPLLVQRYLHKPYLISGSKFDLRIYVYVTSYDPLRIYLFSDGLVRFASCKYSPSMKSLGNKFMHLTNYSVNKKNAEYQANADEMACQGHKWALKALWNYLSQKGVNSDAIWEKIKDVVVKTIISSEPYVTSLLKMYVRRPYSCHELFGFDIMLDENLKPWVLEVNISPSLHSSSPLDISIKGQMIRDLLNLAGFVLPNAEDIISSPSSCSSSTTSLPTSPGDKCRMAPEHVTAQKMKKAYYLTQKIPDQDFYASVLDVLTPDDVRILVEMEDEFSRRGQFERIFPSHISSRYLRFFEQPRYFNILTTQWEQKYHGNKLKGVDLLRSWCYKGFHMGVVSDSAPVWSLPTSLLTISKDDVILNAFSKSETSKLGKQSSCEVSLLLSEDGTTPKSKKTQAGLSPYPQKPSSSKDSEDTSKEPSLSTQTLPVIKCSGQTSRLSASSTFQSISDSLLAVSP
+>DECOY_sp|Q14679|TTLL4_HUMAN Tubulin polyglutamylase TTLL4 OS=Homo sapiens OX=9606 GN=TTLL4 PE=1 SV=2
+PSVALLSDSISQFTSSASLRSTQGSCKIVPLTQTSLSPEKSTDESDKSSSPKQPYPSLGAQTKKSKPTTGDESLLLSVECSSQKGLKSTESKSFANLIVDDKSITLLSTPLSWVPASDSVVGMHFGKYCWSRLLDVGKLKNGHYKQEWQTTLINFYRPQEFFRLYRSSIHSPFIREFQGRRSFEDEMEVLIRVDDPTLVDLVSAYFDQDPIKQTLYYAKKMKQATVHEPAMRCKDGPSTPLSTTSSSCSSPSSIIDEANPLVFGALNLLDRIMQGKISIDLPSSSHLSPSINVELVWPKLNEDLMIDFGFLEHCSYPRRVYMKLLSTVYPESSIITKVVVDKIKEWIADSNVGKQSLYNWLAKLAWKHGQCAMEDANAQYEANKKNVSYNTLHMFKNGLSKMSPSYKCSAFRVLGDSFLYIRLPDYSTVYVYIRLDFKSGSILYPKHLYRQVLLPRRKPLQSWKHIVQIGIGRASAPPKVIWKQRSSSEWAKRLLKADQPLIFSQPFFSFEKKGFRSQMRSLNRWLRDKRGIQFSGPFHNLKQHERISRFSPSKMHHGWCGLWDDNRKSIKFHSRGITQKVINPTVTSMKWRLLKRQEWPLKEVREDRTGFYITPPVNPFLSYILAPRVVKEHNSLPKTLIEMCSRSIMAVSESPSVASLSSCESDGEEEENEDRSCCDELGDVLEEETDETEAQDPQLDTASSIDRDSSDLERAEEPREKESQGLQISSLRTAVNAIGLTQPFPPGPAKGEGFASSDIVSECAPNHNLGSAHSALLHISIRKTCFVTNDLGLTDSAGPLVRRVSGADEQPFQQNVAPPKWRRSRNLVNWQFSPNLFSSQELQCGQRPMKEFGRATLKRVAETFRIEKTPDQSDSSDDLACSLPEARMALNNRNYWSSAVSTTSTDHSSATSLAIHASADAPVTGISKPVKHDVPQPACDGTGGSHHWSVPQIKPPSVPKLGQTTQLLPVPTSNPWMFSNNNLMPKYSSSLPSPIKGSIASALSKGSPNEGAAALCLYPETSSSAMPQAMSFVMSSTAKNATLSVPLSKQPLSFPSKESPSSRLCFSELQQYPKQRYSSRRYLLTSNFLDPLSHLYCSSSHGAIVATTGSSCLTSPCFFYAPQPPVGLLGPGLGASLTEVQKKELKWIPKVQQHAQPWVRGESPKEPPTAPVTGSPGSQKFSNKQRLGISYHQTGASAM
+>sp|Q6ZT98|TTLL7_HUMAN Tubulin polyglutamylase TTLL7 OS=Homo sapiens OX=9606 GN=TTLL7 PE=1 SV=2
+MPSLPQEGVIQGPSPLDLNTELPYQSTMKRKVRKKKKKGTITANVAGTKFEIVRLVIDEMGFMKTPDEDETSNLIWCDSAVQQEKISELQNYQRINHFPGMGEICRKDFLARNMTKMIKSRPLDYTFVPRTWIFPAEYTQFQNYVKELKKKRKQKTFIVKPANGAMGHGISLIRNGDKLPSQDHLIVQEYIEKPFLMEGYKFDLRIYILVTSCDPLKIFLYHDGLVRMGTEKYIPPNESNLTQLYMHLTNYSVNKHNEHFERDETENKGSKRSIKWFTEFLQANQHDVAKFWSDISELVVKTLIVAEPHVLHAYRMCRPGQPPGSESVCFEVLGFDILLDRKLKPWLLEINRAPSFGTDQKIDYDVKRGVLLNALKLLNIRTSDKRRNLAKQKAEAQRRLYGQNSIKRLLPGSSDWEQQRHQLERRKEELKERLAQVRKQISREEHENRHMGNYRRIYPPEDKALLEKYENLLAVAFQTFLSGRAASFQRELNNPLKRMKEEDILDLLEQCEIDDEKLMGKTTKTRGPKPLCSMPESTEIMKRPKYCSSDSSYDSSSSSSESDENEKEEYQNKKREKQVTYNLKPSNHYKLIQQPSSIRRSVSCPRSISAQSPSSGDTRPFSAQQMISVSRPTSASRSHSLNRASSYMRHLPHSNDACSTNSQVSESLRQLKTKEQEDDLTSQTLFVLKDMKIRFPGKSDAESELLIEDIIDNWKYHKTKVASYWLIKLDSVKQRKVLDIVKTSIRTVLPRIWKVPDVEEVNLYRIFNRVFNRLLWSRGQGLWNCFCDSGSSWESIFNKSPEVVTPLQLQCCQRLVELCKQCLLVVYKYATDKRGSLSGIGPDWGNSRYLLPGSTQFFLRTPTYNLKYNSPGMTRSNVLFTSRYGHL
+>DECOY_sp|Q6ZT98|TTLL7_HUMAN Tubulin polyglutamylase TTLL7 OS=Homo sapiens OX=9606 GN=TTLL7 PE=1 SV=2
+LHGYRSTFLVNSRTMGPSNYKLNYTPTRLFFQTSGPLLYRSNGWDPGIGSLSGRKDTAYKYVVLLCQKCLEVLRQCCQLQLPTVVEPSKNFISEWSSGSDCFCNWLGQGRSWLLRNFVRNFIRYLNVEEVDPVKWIRPLVTRISTKVIDLVKRQKVSDLKILWYSAVKTKHYKWNDIIDEILLESEADSKGPFRIKMDKLVFLTQSTLDDEQEKTKLQRLSESVQSNTSCADNSHPLHRMYSSARNLSHSRSASTPRSVSIMQQASFPRTDGSSPSQASISRPCSVSRRISSPQQILKYHNSPKLNYTVQKERKKNQYEEKENEDSESSSSSSDYSSDSSCYKPRKMIETSEPMSCLPKPGRTKTTKGMLKEDDIECQELLDLIDEEKMRKLPNNLERQFSAARGSLFTQFAVALLNEYKELLAKDEPPYIRRYNGMHRNEHEERSIQKRVQALREKLEEKRRELQHRQQEWDSSGPLLRKISNQGYLRRQAEAKQKALNRRKDSTRINLLKLANLLVGRKVDYDIKQDTGFSPARNIELLWPKLKRDLLIDFGLVEFCVSESGPPQGPRCMRYAHLVHPEAVILTKVVLESIDSWFKAVDHQNAQLFETFWKISRKSGKNETEDREFHENHKNVSYNTLHMYLQTLNSENPPIYKETGMRVLGDHYLFIKLPDCSTVLIYIRLDFKYGEMLFPKEIYEQVILHDQSPLKDGNRILSIGHGMAGNAPKVIFTKQKRKKKLEKVYNQFQTYEAPFIWTRPVFTYDLPRSKIMKTMNRALFDKRCIEGMGPFHNIRQYNQLESIKEQQVASDCWILNSTEDEDPTKMFGMEDIVLRVIEFKTGAVNATITGKKKKKRVKRKMTSQYPLETNLDLPSPGQIVGEQPLSPM
+>sp|P49638|TTPA_HUMAN Alpha-tocopherol transfer protein OS=Homo sapiens OX=9606 GN=TTPA PE=1 SV=1
+MAEARSQPSAGPQLNALPDHSPLLQPGLAALRRRAREAGVPLAPLPLTDSFLLRFLRARDFDLDLAWRLLKNYYKWRAECPEISADLHPRSIIGLLKAGYHGVLRSRDPTGSKVLIYRIAHWDPKVFTAYDVFRVSLITSELIVQEVETQRNGIKAIFDLEGWQFSHAFQITPSVAKKIAAVLTDSFPLKVRGIHLINEPVIFHAVFSMIKPFLTEKIKERIHMHGNNYKQSLLQHFPDILPLEYGGEEFSMEDICQEWTNFIMKSEDYLSSISESIQ
+>DECOY_sp|P49638|TTPA_HUMAN Alpha-tocopherol transfer protein OS=Homo sapiens OX=9606 GN=TTPA PE=1 SV=1
+QISESISSLYDESKMIFNTWEQCIDEMSFEEGGYELPLIDPFHQLLSQKYNNGHMHIREKIKETLFPKIMSFVAHFIVPENILHIGRVKLPFSDTLVAAIKKAVSPTIQFAHSFQWGELDFIAKIGNRQTEVEQVILESTILSVRFVDYATFVKPDWHAIRYILVKSGTPDRSRLVGHYGAKLLGIISRPHLDASIEPCEARWKYYNKLLRWALDLDFDRARLFRLLFSDTLPLPALPVGAERARRRLAALGPQLLPSHDPLANLQPGASPQSRAEAM
+>sp|Q9H313|TTYH1_HUMAN Protein tweety homolog 1 OS=Homo sapiens OX=9606 GN=TTYH1 PE=2 SV=1
+MGAPPGYRPSAWVHLLHQLPRADFQLRPVPSVFAPQEQEYQQALLLVAALAGLGLGLSLIFIAVYLIRFCCCRPPEPPGSKIPSPGGGCVTWSCIVALLAGCTGIGIGFYGNSETSDGVSQLSSALLHANHTLSTIDHLVLETVERLGEAVRTELTTLEEVLEPRTELVAAARGARRQAEAAAQQLQGLAFWQGVPLSPLQVAENVSFVEEYRWLAYVLLLLLELLVCLFTLLGLAKQSKWLVIVMTVMSLLVLVLSWGSMGLEAATAVGLSDFCSNPDPYVLNLTQEETGLSSDILSYYLLCNRAVSNPFQQRLTLSQRALANIHSQLLGLEREAVPQFPSAQKPLLSLEETLNVTEGNFHQLVALLHCRSLHKDYGAALRGLCEDALEGLLFLLLFSLLSAGALATALCSLPRAWALFPPSDDYDDTDDDDPFNPQESKRFVQWQSSI
+>DECOY_sp|Q9H313|TTYH1_HUMAN Protein tweety homolog 1 OS=Homo sapiens OX=9606 GN=TTYH1 PE=2 SV=1
+ISSQWQVFRKSEQPNFPDDDDTDDYDDSPPFLAWARPLSCLATALAGASLLSFLLLFLLGELADECLGRLAAGYDKHLSRCHLLAVLQHFNGETVNLTEELSLLPKQASPFQPVAERELGLLQSHINALARQSLTLRQQFPNSVARNCLLYYSLIDSSLGTEEQTLNLVYPDPNSCFDSLGVATAAELGMSGWSLVLVLLSMVTMVIVLWKSQKALGLLTFLCVLLELLLLLVYALWRYEEVFSVNEAVQLPSLPVGQWFALGQLQQAAAEAQRRAGRAAAVLETRPELVEELTTLETRVAEGLREVTELVLHDITSLTHNAHLLASSLQSVGDSTESNGYFGIGIGTCGALLAVICSWTVCGGGPSPIKSGPPEPPRCCCFRILYVAIFILSLGLGLGALAAVLLLAQQYEQEQPAFVSPVPRLQFDARPLQHLLHVWASPRYGPPAGM
+>sp|Q9BSA4|TTYH2_HUMAN Protein tweety homolog 2 OS=Homo sapiens OX=9606 GN=TTYH2 PE=1 SV=3
+MQAARVDYIAPWWVVWLHSVPHVGLRLQPVNSTFSPGDESYQESLLFLGLVAAVCLGLNLIFLVAYLVCACHCRRDDAVQTKQHHSCCITWTAVVAGLICCAAVGVGFYGNSETNDGAYQLMYSLDDANHTFSGIDALVSGTTQKMKVDLEQHLARLSEIFAARGDYLQTLKFIQQMAGSVVVQLSGLPVWREVTMELTKLSDQTGYVEYYRWLSYLLLFILDLVICLIACLGLAKRSKCLLASMLCCGALSLLLSWASLAADGSAAVATSDFCVAPDTFILNVTEGQISTEVTRYYLYCSQSGSSPFQQTLTTFQRALTTMQIQVAGLLQFAVPLFSTAEEDLLAIQLLLNSSESSLHQLTAMVDCRGLHKDYLDALAGICYDGLQGLLYLGLFSFLAALAFSTMICAGPRAWKHFTTRNRDYDDIDDDDPFNPQAWRMAAHSPPRGQLHSFCSYSSGLGSQTSLQPPAQTISNAPVSEYMNQAMLFGRNPRYENVPLIGRASPPPTYSPSMRATYLSVADEHLRHYGNQFPA
+>DECOY_sp|Q9BSA4|TTYH2_HUMAN Protein tweety homolog 2 OS=Homo sapiens OX=9606 GN=TTYH2 PE=1 SV=3
+APFQNGYHRLHEDAVSLYTARMSPSYTPPPSARGILPVNEYRPNRGFLMAQNMYESVPANSITQAPPQLSTQSGLGSSYSCFSHLQGRPPSHAAMRWAQPNFPDDDDIDDYDRNRTTFHKWARPGACIMTSFALAALFSFLGLYLLGQLGDYCIGALADLYDKHLGRCDVMATLQHLSSESSNLLLQIALLDEEATSFLPVAFQLLGAVQIQMTTLARQFTTLTQQFPSSGSQSCYLYYRTVETSIQGETVNLIFTDPAVCFDSTAVAASGDAALSAWSLLLSLAGCCLMSALLCKSRKALGLCAILCIVLDLIFLLLYSLWRYYEVYGTQDSLKTLEMTVERWVPLGSLQVVVSGAMQQIFKLTQLYDGRAAFIESLRALHQELDVKMKQTTGSVLADIGSFTHNADDLSYMLQYAGDNTESNGYFGVGVAACCILGAVVATWTICCSHHQKTQVADDRRCHCACVLYAVLFILNLGLCVAAVLGLFLLSEQYSEDGPSFTSNVPQLRLGVHPVSHLWVVWWPAIYDVRAAQM
+>sp|O75386|TULP3_HUMAN Tubby-related protein 3 OS=Homo sapiens OX=9606 GN=TULP3 PE=1 SV=2
+MEASRCRLSPSGDSVFHEEMMKMRQAKLDYQRLLLEKRQRKKRLEPFMVQPNPEARLRRAKPRASDEQTPLVNCHTPHSNVILHGIDGPAAVLKPDEVHAPSVSSSVVEEDAENTVDTASKPGLQERLQKHDISESVNFDEETDGISQSACLERPNSASSQNSTDTGTSGSATAAQPADNLLGDIDDLEDFVYSPAPQGVTVRCRIIRDKRGMDRGLFPTYYMYLEKEENQKIFLLAARKRKKSKTANYLISIDPVDLSREGESYVGKLRSNLMGTKFTVYDRGICPMKGRGLVGAAHTRQELAAISYETNVLGFKGPRKMSVIIPGMTLNHKQIPYQPQNNHDSLLSRWQNRTMENLVELHNKAPVWNSDTQSYVLNFRGRVTQASVKNFQIVHKNDPDYIVMQFGRVADDVFTLDYNYPLCAVQAFGIGLSSFDSKLACE
+>DECOY_sp|O75386|TULP3_HUMAN Tubby-related protein 3 OS=Homo sapiens OX=9606 GN=TULP3 PE=1 SV=2
+ECALKSDFSSLGIGFAQVACLPYNYDLTFVDDAVRGFQMVIYDPDNKHVIQFNKVSAQTVRGRFNLVYSQTDSNWVPAKNHLEVLNEMTRNQWRSLLSDHNNQPQYPIQKHNLTMGPIIVSMKRPGKFGLVNTEYSIAALEQRTHAAGVLGRGKMPCIGRDYVTFKTGMLNSRLKGVYSEGERSLDVPDISILYNATKSKKRKRAALLFIKQNEEKELYMYYTPFLGRDMGRKDRIIRCRVTVGQPAPSYVFDELDDIDGLLNDAPQAATASGSTGTDTSNQSSASNPRELCASQSIGDTEEDFNVSESIDHKQLREQLGPKSATDVTNEADEEVVSSSVSPAHVEDPKLVAAPGDIGHLIVNSHPTHCNVLPTQEDSARPKARRLRAEPNPQVMFPELRKKRQRKELLLRQYDLKAQRMKMMEEHFVSDGSPSLRCRSAEM
+>sp|Q9P2J2|TUTLA_HUMAN Protein turtle homolog A OS=Homo sapiens OX=9606 GN=IGSF9 PE=1 SV=2
+MVWCLGLAVLSLVISQGADGRGKPEVVSVVGRAGESVVLGCDLLPPAGRPPLHVIEWLRFGFLLPIFIQFGLYSPRIDPDYVGRVRLQKGASLQIEGLRVEDQGWYECRVFFLDQHIPEDDFANGSWVHLTVNSPPQFQETPPAVLEVQELEPVTLRCVARGSPLPHVTWKLRGKDLGQGQGQVQVQNGTLRIRRVERGSSGVYTCQASSTEGSATHATQLLVLGPPVIVVPPKNSTVNASQDVSLACHAEAYPANLTYSWFQDNINVFHISRLQPRVRILVDGSLRLLATQPDDAGCYTCVPSNGLLHPPSASAYLTVLYPAQVTAMPPETPLPIGMPGVIRCPVRANPPLLFVSWTKDGKALQLDKFPGWSQGTEGSLIIALGNEDALGEYSCTPYNSLGTAGPSPVTRVLLKAPPAFIERPKEEYFQEVGRELLIPCSAQGDPPPVVSWTKVGRGLQGQAQVDSNSSLILRPLTKEAHGHWECSASNAVARVATSTNVYVLGTSPHVVTNVSVVALPKGANVSWEPGFDGGYLQRFSVWYTPLAKRPDRMHHDWVSLAVPVGAAHLLVPGLQPHTQYQFSVLAQNKLGSGPFSEIVLSAPEGLPTTPAAPGLPPTEIPPPLSPPRGLVAVRTPRGVLLHWDPPELVPKRLDGYVLEGRQGSQGWEVLDPAVAGTETELLVPGLIKDVLYEFRLVAFAGSFVSDPSNTANVSTSGLEVYPSRTQLPGLLPQPVLAGVVGGVCFLGVAVLVSILAGCLLNRRRAARRRRKRLRQDPPLIFSPTGKSAAPSALGSGSPDSVAKLKLQGSPVPSLRQSLLWGDPAGTPSPHPDPPSSRGPLPLEPICRGPDGRFVMGPTVAAPQERSGREQAEPRTPAQRLARSFDCSSSSPSGAPQPLCIEDISPVAPPPAAPPSPLPGPGPLLQYLSLPFFREMNVDGDWPPLEEPSPAAPPDYMDTRRCPTSSFLRSPETPPVSPRESLPGAVVGAGATAEPPYTALADWTLRERLLPGLLPAAPRGSLTSQSSGRGSASFLRPPSTAPSAGGSYLSPAPGDTSSWASGPERWPRREHVVTVSKRRNTSVDENYEWDSEFPGDMELLETLHLGLASSRLRPEAEPELGVKTPEEGCLLNTAHVTGPEARCAALREEFLAFRRRRDATRARLPAYRQPVPHPEQATLL
+>DECOY_sp|Q9P2J2|TUTLA_HUMAN Protein turtle homolog A OS=Homo sapiens OX=9606 GN=IGSF9 PE=1 SV=2
+LLTAQEPHPVPQRYAPLRARTADRRRRFALFEERLAACRAEPGTVHATNLLCGEEPTKVGLEPEAEPRLRSSALGLHLTELLEMDGPFESDWEYNEDVSTNRRKSVTVVHERRPWREPGSAWSSTDGPAPSLYSGGASPATSPPRLFSASGRGSSQSTLSGRPAAPLLGPLLRERLTWDALATYPPEATAGAGVVAGPLSERPSVPPTEPSRLFSSTPCRRTDMYDPPAAPSPEELPPWDGDVNMERFFPLSLYQLLPGPGPLPSPPAAPPPAVPSIDEICLPQPAGSPSSSSCDFSRALRQAPTRPEAQERGSREQPAAVTPGMVFRGDPGRCIPELPLPGRSSPPDPHPSPTGAPDGWLLSQRLSPVPSGQLKLKAVSDPSGSGLASPAASKGTPSFILPPDQRLRKRRRRAARRRNLLCGALISVLVAVGLFCVGGVVGALVPQPLLGPLQTRSPYVELGSTSVNATNSPDSVFSGAFAVLRFEYLVDKILGPVLLETETGAVAPDLVEWGQSGQRGELVYGDLRKPVLEPPDWHLLVGRPTRVAVLGRPPSLPPPIETPPLGPAAPTTPLGEPASLVIESFPGSGLKNQALVSFQYQTHPQLGPVLLHAAGVPVALSVWDHHMRDPRKALPTYWVSFRQLYGGDFGPEWSVNAGKPLAVVSVNTVVHPSTGLVYVNTSTAVRAVANSASCEWHGHAEKTLPRLILSSNSDVQAQGQLGRGVKTWSVVPPPDGQASCPILLERGVEQFYEEKPREIFAPPAKLLVRTVPSPGATGLSNYPTCSYEGLADENGLAIILSGETGQSWGPFKDLQLAKGDKTWSVFLLPPNARVPCRIVGPMGIPLPTEPPMATVQAPYLVTLYASASPPHLLGNSPVCTYCGADDPQTALLRLSGDVLIRVRPQLRSIHFVNINDQFWSYTLNAPYAEAHCALSVDQSANVTSNKPPVVIVPPGLVLLQTAHTASGETSSAQCTYVGSSGREVRRIRLTGNQVQVQGQGQGLDKGRLKWTVHPLPSGRAVCRLTVPELEQVELVAPPTEQFQPPSNVTLHVWSGNAFDDEPIHQDLFFVRCEYWGQDEVRLGEIQLSAGKQLRVRGVYDPDIRPSYLGFQIFIPLLFGFRLWEIVHLPPRGAPPLLDCGLVVSEGARGVVSVVEPKGRGDAGQSIVLSLVALGLCWVM
+>sp|Q96ET8|TV23C_HUMAN Golgi apparatus membrane protein TVP23 homolog C OS=Homo sapiens OX=9606 GN=TVP23C PE=1 SV=3
+MLQQDSNDDTEDVSLFDAEEETTNRPRKAKIRHPVASFFHLFFRVSAIIVCLLCELLSSSFITCMVTIILLLSCDFWAVKNVTGRLMVGLRWWNHIDEDGKSHWVFESRKESSQENKTVSEAESRIFWLGLIACSVLWVIFAFSALFSFTVKWLRRSRHIAQTGLKVLGSRDPPASAFQSAGITGVSRCPGHPSRKFHQVDINSFTRITDRALYWKPAPRLSSPPLRAAPGNCQQMAPARLFLSLRLWAWRGGGESPNSRGTGEPGPKFHLASGMH
+>DECOY_sp|Q96ET8|TV23C_HUMAN Golgi apparatus membrane protein TVP23 homolog C OS=Homo sapiens OX=9606 GN=TVP23C PE=1 SV=3
+HMGSALHFKPGPEGTGRSNPSEGGGRWAWLRLSLFLRAPAMQQCNGPAARLPPSSLRPAPKWYLARDTIRTFSNIDVQHFKRSPHGPCRSVGTIGASQFASAPPDRSGLVKLGTQAIHRSRRLWKVTFSFLASFAFIVWLVSCAILGLWFIRSEAESVTKNEQSSEKRSEFVWHSKGDEDIHNWWRLGVMLRGTVNKVAWFDCSLLLIITVMCTIFSSSLLECLLCVIIASVRFFLHFFSAVPHRIKAKRPRNTTEEEADFLSVDETDDNSDQQLM
+>sp|A0A0B4J238|TVA12_HUMAN T cell receptor alpha variable 1-2 OS=Homo sapiens OX=9606 GN=TRAV1-2 PE=3 SV=1
+MWGVFLLYVSMKMGGTTGQNIDQPTEMTATEGAIVQINCTYQTSGFNGLFWYQQHAGEAPTFLSYNVLDGLEEKGRFSSFLSRSKGYSYLLLKELQMKDSASYLCA
+>DECOY_sp|A0A0B4J238|TVA12_HUMAN T cell receptor alpha variable 1-2 OS=Homo sapiens OX=9606 GN=TRAV1-2 PE=3 SV=1
+ACLYSASDKMQLEKLLLYSYGKSRSLFSSFRGKEELGDLVNYSLFTPAEGAHQQYWFLGNFGSTQYTCNIQVIAGETATMETPQDINQGTTGGMKMSVYLLFVGWM
+>sp|A0A0B4J275|TVA17_HUMAN T cell receptor alpha variable 17 OS=Homo sapiens OX=9606 GN=TRAV17 PE=3 SV=1
+METLLGVSLVILWLQLARVNSQQGEEDPQALSIQEGENATMNCSYKTSINNLQWYRQNSGRGLVHLILIRSNEREKHSGRLRVTLDTSKKSSSLLITASRAADTASYFCATD
+>DECOY_sp|A0A0B4J275|TVA17_HUMAN T cell receptor alpha variable 17 OS=Homo sapiens OX=9606 GN=TRAV17 PE=3 SV=1
+DTACFYSATDAARSATILLSSSKKSTDLTVRLRGSHKERENSRILILHVLGRGSNQRYWQLNNISTKYSCNMTANEGEQISLAQPDEEGQQSNVRALQLWLIVLSVGLLTEM
+>sp|A0A0B4J277|TVA22_HUMAN T cell receptor alpha variable 22 OS=Homo sapiens OX=9606 GN=TRAV22 PE=1 SV=1
+MKRILGALLGLLSAQVCCVRGIQVEQSPPDLILQEGANSTLRCNFSDSVNNLQWFHQNPWGQLINLFYIPSGTKQNGRLSATTVATERYSLLYISSSQTTDSGVYFCAVE
+>DECOY_sp|A0A0B4J277|TVA22_HUMAN T cell receptor alpha variable 22 OS=Homo sapiens OX=9606 GN=TRAV22 PE=1 SV=1
+EVACFYVGSDTTQSSSIYLLSYRETAVTTASLRGNQKTGSPIYFLNILQGWPNQHFWQLNNVSDSFNCRLTSNAGEQLILDPPSQEVQIGRVCCVQASLLGLLAGLIRKM
+>sp|A0A087WT01|TVA27_HUMAN T cell receptor alpha variable 27 OS=Homo sapiens OX=9606 GN=TRAV27 PE=1 SV=1
+MVLKFSVSILWIQLAWVSTQLLEQSPQFLSIQEGENLTVYCNSSSVFSSLQWYRQEPGEGPVLLVTVVTGGEVKKLKRLTFQFGDARKDSSLHITAAQTGDTGLYLCAG
+>DECOY_sp|A0A087WT01|TVA27_HUMAN T cell receptor alpha variable 27 OS=Homo sapiens OX=9606 GN=TRAV27 PE=1 SV=1
+GACLYLGTDGTQAATIHLSSDKRADGFQFTLRKLKKVEGGTVVTVLLVPGEGPEQRYWQLSSFVSSSNCYVTLNEGEQISLFQPSQELLQTSVWALQIWLISVSFKLVM
+>sp|A0A087WSZ9|TVA30_HUMAN T cell receptor alpha variable 30 OS=Homo sapiens OX=9606 GN=TRAV30 PE=3 SV=1
+METLLKVLSGTLLWQLTWVRSQQPVQSPQAVILREGEDAVINCSSSKALYSVHWYRQKHGEAPVFLMILLKGGEQKGHDKISASFNEKKQQSSLYLTASQLSYSGTYFCGTE
+>DECOY_sp|A0A087WSZ9|TVA30_HUMAN T cell receptor alpha variable 30 OS=Homo sapiens OX=9606 GN=TRAV30 PE=3 SV=1
+ETGCFYTGSYSLQSATLYLSSQQKKENFSASIKDHGKQEGGKLLIMLFVPAEGHKQRYWHVSYLAKSSSCNIVADEGERLIVAQPSQVPQQSRVWTLQWLLTGSLVKLLTEM
+>sp|A0A0B4J263|TVA39_HUMAN T cell receptor alpha variable 39 OS=Homo sapiens OX=9606 GN=TRAV39 PE=3 SV=1
+MKKLLAMILWLQLDRLSGELKVEQNPLFLSMQEGKNYTIYCNYSTTSDRLYWYRQDPGKSLESLFVLLSNGAVKQEGRLMASLDTKARLSTLHITAAVHDLSATYFCAVD
+>DECOY_sp|A0A0B4J263|TVA39_HUMAN T cell receptor alpha variable 39 OS=Homo sapiens OX=9606 GN=TRAV39 PE=3 SV=1
+DVACFYTASLDHVAATIHLTSLRAKTDLSAMLRGEQKVAGNSLLVFLSELSKGPDQRYWYLRDSTTSYNCYITYNKGEQMSLFLPNQEVKLEGSLRDLQLWLIMALLKKM
+>sp|A0A0B4J245|TVAL1_HUMAN T cell receptor alpha variable 12-1 OS=Homo sapiens OX=9606 GN=TRAV12-1 PE=3 SV=1
+MISLRVLLVILWLQLSWVWSQRKEVEQDPGPFNVPEGATVAFNCTYSNSASQSFFWYRQDCRKEPKLLMSVYSSGNEDGRFTAQLNRASQYISLLIRDSKLSDSATYLCVVN
+>DECOY_sp|A0A0B4J245|TVAL1_HUMAN T cell receptor alpha variable 12-1 OS=Homo sapiens OX=9606 GN=TRAV12-1 PE=3 SV=1
+NVVCLYTASDSLKSDRILLSIYQSARNLQATFRGDENGSSYVSMLLKPEKRCDQRYWFFSQSASNSYTCNFAVTAGEPVNFPGPDQEVEKRQSWVWSLQLWLIVLLVRLSIM
+>sp|A0A0B4J241|TVAM1_HUMAN T cell receptor alpha variable 13-1 OS=Homo sapiens OX=9606 GN=TRAV13-1 PE=3 SV=1
+MTSIRAVFIFLWLQLDLVNGENVEQHPSTLSVQEGDSAVIKCTYSDSASNYFPWYKQELGKGPQLIIDIRSNVGEKKDQRIAVTLNKTAKHFSLHITETQPEDSAVYFCAAS
+>DECOY_sp|A0A0B4J241|TVAM1_HUMAN T cell receptor alpha variable 13-1 OS=Homo sapiens OX=9606 GN=TRAV13-1 PE=3 SV=1
+SAACFYVASDEPQTETIHLSFHKATKNLTVAIRQDKKEGVNSRIDIILQPGKGLEQKYWPFYNSASDSYTCKIVASDGEQVSLTSPHQEVNEGNVLDLQLWLFIFVARISTM
+>sp|A0A5B0|TVB14_HUMAN T cell receptor beta variable 14 OS=Homo sapiens OX=9606 GN=TRBV14 PE=3 SV=1
+MVSRLLSLVSLCLLGAKHIEAGVTQFPSHSVIEKGQTVTLRCDPISGHDNLYWYRRVMGKEIKFLLHFVKESKQDESGMPNNRFLAERTGGTYSTLKVQPAELEDSGVYFCASSQ
+>DECOY_sp|A0A5B0|TVB14_HUMAN T cell receptor beta variable 14 OS=Homo sapiens OX=9606 GN=TRBV14 PE=3 SV=1
+QSSACFYVGSDELEAPQVKLTSYTGGTREALFRNNPMGSEDQKSEKVFHLLFKIEKGMVRRYWYLNDHGSIPDCRLTVTQGKEIVSHSPFQTVGAEIHKAGLLCLSVLSLLRSVM
+>sp|A0A589|TVB43_HUMAN T cell receptor beta variable 4-3 OS=Homo sapiens OX=9606 GN=TRBV4-3 PE=3 SV=1
+MGCRLLCCAVLCLLGAVPMETGVTQTPRHLVMGMTNKKSLKCEQHLGHNAMYWYKQSAKKPLELMFVYSLEERVENNSVPSRFSPECPNSSHLFLHLHTLQPEDSALYLCASSQ
+>DECOY_sp|A0A589|TVB43_HUMAN T cell receptor beta variable 4-3 OS=Homo sapiens OX=9606 GN=TRBV4-3 PE=3 SV=1
+QSSACLYLASDEPQLTHLHLFLHSSNPCEPSFRSPVSNNEVREELSYVFMLELPKKASQKYWYMANHGLHQECKLSKKNTMGMVLHRPTQTVGTEMPVAGLLCLVACCLLRCGM
+>sp|A0A599|TVB56_HUMAN T cell receptor beta variable 5-6 OS=Homo sapiens OX=9606 GN=TRBV5-6 PE=3 SV=1
+MGPGLLCWALLCLLGAGLVDAGVTQSPTHLIKTRGQQVTLRCSPKSGHDTVSWYQQALGQGPQFIFQYYEEEERQRGNFPDRFSGHQFPNYSSELNVNALLLGDSALYLCASSL
+>DECOY_sp|A0A599|TVB56_HUMAN T cell receptor beta variable 5-6 OS=Homo sapiens OX=9606 GN=TRBV5-6 PE=3 SV=1
+LSSACLYLASDGLLLANVNLESSYNPFQHGSFRDPFNGRQREEEEYYQFIFQPGQGLAQQYWSVTDHGSKPSCRLTVQQGRTKILHTPSQTVGADVLGAGLLCLLAWCLLGPGM
+>sp|A0A1B0GX49|TVB64_HUMAN T cell receptor beta variable 6-4 OS=Homo sapiens OX=9606 GN=TRBV6-4 PE=3 SV=1
+MSIRLLCCVAFSLLWAGPVTAGITQAPTSQILAAGRSMTLRCTQDMRHNAMYWYRQDLGLGLRLIHYSNTAGTTGKGEVPDGYSVSRANTDDFPLTLASAVPSQTSVYFCASSD
+>DECOY_sp|A0A1B0GX49|TVB64_HUMAN T cell receptor beta variable 6-4 OS=Homo sapiens OX=9606 GN=TRBV6-4 PE=3 SV=1
+DSSACFYVSTQSPVASALTLPFDDTNARSVSYGDPVEGKGTTGATNSYHILRLGLGLDQRYWYMANHRMDQTCRLTMSRGAALIQSTPAQTIGATVPGAWLLSFAVCCLLRISM
+>sp|A0A0A6YYG3|TVB68_HUMAN T cell receptor beta variable 6-8 OS=Homo sapiens OX=9606 GN=TRBV6-8 PE=3 SV=5
+MSLGLLCCAAFSLLWAGPVNAGVTQTPKFHILKTGQSMTLQCAQDMNHGYMSWYRQDPGMGLRLIYYSAAAGTTDKEVPNGYNVSRLNTEDFPLRLVSAAPSQTSVYLCASSY
+>DECOY_sp|A0A0A6YYG3|TVB68_HUMAN T cell receptor beta variable 6-8 OS=Homo sapiens OX=9606 GN=TRBV6-8 PE=3 SV=5
+YSSACLYVSTQSPAASVLRLPFDETNLRSVNYGNPVEKDTTGAAASYYILRLGMGPDQRYWSMYGHNMDQACQLTMSQGTKLIHFKPTQTVGANVPGAWLLSFAACCLLGLSM
+>sp|A0A1B0GX95|TVB74_HUMAN T cell receptor beta variable 7-4 OS=Homo sapiens OX=9606 GN=TRBV7-4 PE=3 SV=1
+MGTRLLCWVVLGFLGTDHTGAGVSQSPRYKVAKRGRDVALRCDSISGHVTLYWYRQTLGQGSEVLTYSQSDAQRDKSGRPSGRFSAERPERSVSTLKIQRTEQGDSAVYLCASSL
+>DECOY_sp|A0A1B0GX95|TVB74_HUMAN T cell receptor beta variable 7-4 OS=Homo sapiens OX=9606 GN=TRBV7-4 PE=3 SV=1
+LSSACLYVASDGQETRQIKLTSVSREPREASFRGSPRGSKDRQADSQSYTLVESGQGLTQRYWYLTVHGSISDCRLAVDRGRKAVKYRPSQSVGAGTHDTGLFGLVVWCLLRTGM
+>sp|A0A0B4J1U6|TVB9_HUMAN T cell receptor beta variable 9 OS=Homo sapiens OX=9606 GN=TRBV9 PE=3 SV=1
+MGFRLLCCVAFCLLGAGPVDSGVTQTPKHLITATGQRVTLRCSPRSGDLSVYWYQQSLDQGLQFLIHYYNGEERAKGNILERFSAQQFPDLHSELNLSSLELGDSALYFCASSV
+>DECOY_sp|A0A0B4J1U6|TVB9_HUMAN T cell receptor beta variable 9 OS=Homo sapiens OX=9606 GN=TRBV9 PE=3 SV=1
+VSSACFYLASDGLELSSLNLESHLDPFQQASFRELINGKAREEGNYYHILFQLGQDLSQQYWYVSLDGSRPSCRLTVRQGTATILHKPTQTVGSDVPGAGLLCFAVCCLLRFGM
+>sp|Q8WVJ9|TWST2_HUMAN Twist-related protein 2 OS=Homo sapiens OX=9606 GN=TWIST2 PE=1 SV=1
+MEEGSSSPVSPVDSLGTSEEELERQPKRFGRKRRYSKKSSEDGSPTPGKRGKKGSPSAQSFEELQSQRILANVRERQRTQSLNEAFAALRKIIPTLPSDKLSKIQTLKLAARYIDFLYQVLQSDEMDNKMTSCSYVAHERLSYAFSVWRMEGAWSMSASH
+>DECOY_sp|Q8WVJ9|TWST2_HUMAN Twist-related protein 2 OS=Homo sapiens OX=9606 GN=TWIST2 PE=1 SV=1
+HSASMSWAGEMRWVSFAYSLREHAVYSCSTMKNDMEDSQLVQYLFDIYRAALKLTQIKSLKDSPLTPIIKRLAAFAENLSQTRQRERVNALIRQSQLEEFSQASPSGKKGRKGPTPSGDESSKKSYRRKRGFRKPQRELEEESTGLSDVPSVPSSSGEEM
+>sp|Q9BY14|TX101_HUMAN Testis-expressed protein 101 OS=Homo sapiens OX=9606 GN=TEX101 PE=2 SV=2
+MGTPRIQHLLILLVLGASLLTSGLELYCQKGLSMTVEADPANMFNWTTEEVETCDKGALCQETILIIKAGTETAILATKGCIPEGEEAITIVQHSSPPGLIVTSYSNYCEDSFCNDKDSLSQFWEFSETTASTVSTTLHCPTCVALGTCFSAPSLPCPNGTTRCYQGKLEITGGGIESSVEVKGCTAMIGCRLMSGILAVGPMFVREACPHQLLTQPRKTENGATCLPIPVWGLQLLLPLLLPSFIHFS
+>DECOY_sp|Q9BY14|TX101_HUMAN Testis-expressed protein 101 OS=Homo sapiens OX=9606 GN=TEX101 PE=2 SV=2
+SFHIFSPLLLPLLLQLGWVPIPLCTAGNETKRPQTLLQHPCAERVFMPGVALIGSMLRCGIMATCGKVEVSSEIGGGTIELKGQYCRTTGNPCPLSPASFCTGLAVCTPCHLTTSVTSATTESFEWFQSLSDKDNCFSDECYNSYSTVILGPPSSHQVITIAEEGEPICGKTALIATETGAKIILITEQCLAGKDCTEVEETTWNFMNAPDAEVTMSLGKQCYLELGSTLLSAGLVLLILLHQIRPTGM
+>sp|A8MUK1|U17L5_HUMAN Ubiquitin carboxyl-terminal hydrolase 17-like protein 5 OS=Homo sapiens OX=9606 GN=USP17L5 PE=3 SV=2
+MEDDSLYLRGEWQFNHFSKLTSSRPDAAFAEIQRTSLPEKSPLSCETRVDLCDDLAPVARQLAPREKLPLSSRRPAAVGAGLQNMGNTCYVNASLQCLTYTPPLANYMLSREHSQTCHRHKGCMLCTMQAHITRALHNPGHVIQPSQALAAGFHRGKQEDAHEFLMFTVDAMKKACLPGHKQVDHHSKDTTLIHQIFGGYWRSQIKCLHCHGISDTFDPYLDIALDIQAAQSVQQALEQLAKPEELNGENAYHCGVCLQRAPASKTLTLHTSAKVLILVLKRFSDVTGNKIAKNVQYPECLDMQPYMSQPNTGPLVYVLYAVLVHAGWSCHNGHYFSYVKAQEGQWYKMDDAEVTASSITSVLSQQAYVLFYIQKSEWERHSESVSRGREPRALGAEDTDRRATQGELKRDHPCLQAPELDEHLVERATQESTLDHWKFLQEQNKTKPEFNVRKVEGTLPPDVLVIHQSKYKCGMKNHHPEQQSSLLNLSSSTPTHQESMNTGTLASLRGRARRSKGKNKHSKRALLVCQ
+>DECOY_sp|A8MUK1|U17L5_HUMAN Ubiquitin carboxyl-terminal hydrolase 17-like protein 5 OS=Homo sapiens OX=9606 GN=USP17L5 PE=3 SV=2
+QCVLLARKSHKNKGKSRRARGRLSALTGTNMSEQHTPTSSSLNLLSSQQEPHHNKMGCKYKSQHIVLVDPPLTGEVKRVNFEPKTKNQEQLFKWHDLTSEQTAREVLHEDLEPAQLCPHDRKLEGQTARRDTDEAGLARPERGRSVSESHREWESKQIYFLVYAQQSLVSTISSATVEADDMKYWQGEQAKVYSFYHGNHCSWGAHVLVAYLVYVLPGTNPQSMYPQMDLCEPYQVNKAIKNGTVDSFRKLVLILVKASTHLTLTKSAPARQLCVGCHYANEGNLEEPKALQELAQQVSQAAQIDLAIDLYPDFTDSIGHCHLCKIQSRWYGGFIQHILTTDKSHHDVQKHGPLCAKKMADVTFMLFEHADEQKGRHFGAALAQSPQIVHGPNHLARTIHAQMTCLMCGKHRHCTQSHERSLMYNALPPTYTLCQLSANVYCTNGMNQLGAGVAAPRRSSLPLKERPALQRAVPALDDCLDVRTECSLPSKEPLSTRQIEAFAADPRSSTLKSFHNFQWEGRLYLSDDEM
+>sp|C9J2P7|U17LF_HUMAN Ubiquitin carboxyl-terminal hydrolase 17-like protein 15 OS=Homo sapiens OX=9606 GN=USP17L15 PE=3 SV=1
+MEDDSLYLGGEWQFNHFSKLTSSRPDAAFAEIQRTSLPEKSPLSCETRVDLCDDLAPVARQLAPREKLPLSSRRPAAVGAGLQNMGNTCYVNASLQCLTYTPPLANYMLSREHSQTCHRHKGCMLCTMQAHITRALHNPGHVIQPSQALAAGFHRGKQEDAHEFLMFTVDAMKKACLPGHKQVDHHSKDTTLIHQIFGGYWRSQIKCLHCHGISDTFDPYLDIALDIQAAQSVQQALEQLVKPEELNGENAYHCGVCLQRAPASKTLTLHTSAKVLILVLKRFSDVTGNKIDKNVQYPECLDMKLYMSQTNSGPLVYVLYAVLVHAGWSCHNGHYFSYVKAQEGQWYKMDDAEVTASSITSVLSQQAYVLFYIQKSEWERHSESVSRGREPRALGAEDTDRRATQGELKRDHPCLQAPELDEHLVERATQESTLDHWKFLQEQNKTKPEFNVRKVEGTLPPDVLVIHQSKYKCGMKNHHPEQQSSLLNLSSTTPTHQESMNTGTLASLRGRARRSKGKNKHSKRALLVCQ
+>DECOY_sp|C9J2P7|U17LF_HUMAN Ubiquitin carboxyl-terminal hydrolase 17-like protein 15 OS=Homo sapiens OX=9606 GN=USP17L15 PE=3 SV=1
+QCVLLARKSHKNKGKSRRARGRLSALTGTNMSEQHTPTTSSLNLLSSQQEPHHNKMGCKYKSQHIVLVDPPLTGEVKRVNFEPKTKNQEQLFKWHDLTSEQTAREVLHEDLEPAQLCPHDRKLEGQTARRDTDEAGLARPERGRSVSESHREWESKQIYFLVYAQQSLVSTISSATVEADDMKYWQGEQAKVYSFYHGNHCSWGAHVLVAYLVYVLPGSNTQSMYLKMDLCEPYQVNKDIKNGTVDSFRKLVLILVKASTHLTLTKSAPARQLCVGCHYANEGNLEEPKVLQELAQQVSQAAQIDLAIDLYPDFTDSIGHCHLCKIQSRWYGGFIQHILTTDKSHHDVQKHGPLCAKKMADVTFMLFEHADEQKGRHFGAALAQSPQIVHGPNHLARTIHAQMTCLMCGKHRHCTQSHERSLMYNALPPTYTLCQLSANVYCTNGMNQLGAGVAAPRRSSLPLKERPALQRAVPALDDCLDVRTECSLPSKEPLSTRQIEAFAADPRSSTLKSFHNFQWEGGLYLSDDEM
+>sp|D6R901|U17LL_HUMAN Ubiquitin carboxyl-terminal hydrolase 17-like protein 21 OS=Homo sapiens OX=9606 GN=USP17L21 PE=3 SV=1
+MEEDSLYLGGEWQFNHFSKLTSSRPDAAFAEIQRTSLPEKSPLSCETRVDLCDDLAPVARQLAPREKLPLSNRRPAAVGAGLQNMGNTCYVNASLQCLTYTPPLANYMLSREHSQTCHRHKGCMLCTMQAHITRALHNPGHVIQPSQALAAGFHRGKQEDAHEFLMFTVDAMKKACLPGHKQVDHHSKDTTLIHQIFGGYWRSQIKCLHCHGISDTFDPYLDIALDIQAAQSVQQALEQLVKPEELNGENAYHCGVCLQRAPASKMLTLLTSAKVLILVLKRFSDVTGNKIAKNVQYPECLDMQPYMSQPNTGPLVYVLYAVLVHAGWSCHNGHYFSYVKAQEGQWYKMDDAEVTASSITSVLSQQAYVLFYIQKSEWERHSESVSRGREPRALGAEDTDRRATQGELKRDHPCLQAPELDEHLVERATQESTLDHWKFLQEQNKTKPEFNVRKVEGTLPPDVLVIHQSKYKCGMKNHHPEQQSSLLNLSSSTPTHQESMNTGTLASLRGRARRSKGKNKHSKRALLVCQ
+>DECOY_sp|D6R901|U17LL_HUMAN Ubiquitin carboxyl-terminal hydrolase 17-like protein 21 OS=Homo sapiens OX=9606 GN=USP17L21 PE=3 SV=1
+QCVLLARKSHKNKGKSRRARGRLSALTGTNMSEQHTPTSSSLNLLSSQQEPHHNKMGCKYKSQHIVLVDPPLTGEVKRVNFEPKTKNQEQLFKWHDLTSEQTAREVLHEDLEPAQLCPHDRKLEGQTARRDTDEAGLARPERGRSVSESHREWESKQIYFLVYAQQSLVSTISSATVEADDMKYWQGEQAKVYSFYHGNHCSWGAHVLVAYLVYVLPGTNPQSMYPQMDLCEPYQVNKAIKNGTVDSFRKLVLILVKASTLLTLMKSAPARQLCVGCHYANEGNLEEPKVLQELAQQVSQAAQIDLAIDLYPDFTDSIGHCHLCKIQSRWYGGFIQHILTTDKSHHDVQKHGPLCAKKMADVTFMLFEHADEQKGRHFGAALAQSPQIVHGPNHLARTIHAQMTCLMCGKHRHCTQSHERSLMYNALPPTYTLCQLSANVYCTNGMNQLGAGVAAPRRNSLPLKERPALQRAVPALDDCLDVRTECSLPSKEPLSTRQIEAFAADPRSSTLKSFHNFQWEGGLYLSDEEM
+>sp|Q16560|U1SBP_HUMAN U11/U12 small nuclear ribonucleoprotein 35 kDa protein OS=Homo sapiens OX=9606 GN=SNRNP35 PE=1 SV=1
+MNDWMPIAKEYDPLKAGSIDGTDEDPHDRAVWRAMLARYVPNKGVIGDPLLTLFVARLNLQTKEDKLKEVFSRYGDIRRLRLVRDLVTGFSKGYAFIEYKEERAVIKAYRDADGLVIDQHEIFVDYELERTLKGWIPRRLGGGLGGKKESGQLRFGGRDRPFRKPINLPVVKNDLYREGKRERRERSRSRERHWDSRTRDRDHDRGREKRWQEREPTRVWPDNDWERERDFRDDRIKGREKKERGK
+>DECOY_sp|Q16560|U1SBP_HUMAN U11/U12 small nuclear ribonucleoprotein 35 kDa protein OS=Homo sapiens OX=9606 GN=SNRNP35 PE=1 SV=1
+KGREKKERGKIRDDRFDREREWDNDPWVRTPEREQWRKERGRDHDRDRTRSDWHRERSRSRERRERKGERYLDNKVVPLNIPKRFPRDRGGFRLQGSEKKGGLGGGLRRPIWGKLTRELEYDVFIEHQDIVLGDADRYAKIVAREEKYEIFAYGKSFGTVLDRVLRLRRIDGYRSFVEKLKDEKTQLNLRAVFLTLLPDGIVGKNPVYRALMARWVARDHPDEDTGDISGAKLPDYEKAIPMWDNM
+>sp|Q8WU68|U2AF4_HUMAN Splicing factor U2AF 26 kDa subunit OS=Homo sapiens OX=9606 GN=U2AF1L4 PE=1 SV=2
+MAEYLASIFGTEKDKVNCSFYFKIGVCRHGDRCSRLHNKPTFSQTIVLLNLYRNPQNTAQTADGSHCHVSDVEVQEHYDSFFEEVFTELQEKYGEIEEMNVCDNLGDHLVGNVYVKFRREEDGERAVAELSNRWFNGQAVHGELSPVTDFRESCCRQYEMGECTRGGFCNFMHLRPISQNLQRQLYGRGPRRRSPPRFHTGHHPRERNHRCSPDHWHGRF
+>DECOY_sp|Q8WU68|U2AF4_HUMAN Splicing factor U2AF 26 kDa subunit OS=Homo sapiens OX=9606 GN=U2AF1L4 PE=1 SV=2
+FRGHWHDPSCRHNRERPHHGTHFRPPSRRRPGRGYLQRQLNQSIPRLHMFNCFGGRTCEGMEYQRCCSERFDTVPSLEGHVAQGNFWRNSLEAVAREGDEERRFKVYVNGVLHDGLNDCVNMEEIEGYKEQLETFVEEFFSDYHEQVEVDSVHCHSGDATQATNQPNRYLNLLVITQSFTPKNHLRSCRDGHRCVGIKFYFSCNVKDKETGFISALYEAM
+>sp|Q8IWF7|U2D2L_HUMAN Putative ubiquitin-conjugating enzyme E2 D2-like protein OS=Homo sapiens OX=9606 GN=UBE2DNL PE=5 SV=1
+MALKLIHKEFLELARDPQPHCSAGPVWDDMLHWQATITRPNDSSYLGGVFFLKFPSDYLFKPPKIKFTNGIYHQR
+>DECOY_sp|Q8IWF7|U2D2L_HUMAN Putative ubiquitin-conjugating enzyme E2 D2-like protein OS=Homo sapiens OX=9606 GN=UBE2DNL PE=5 SV=1
+RQHYIGNTFKIKPPKFLYDSPFKLFFVGGLYSSDNPRTITAQWHLMDDWVPGASCHPQPDRALELFEKHILKLAM
+>sp|O75643|U520_HUMAN U5 small nuclear ribonucleoprotein 200 kDa helicase OS=Homo sapiens OX=9606 GN=SNRNP200 PE=1 SV=2
+MADVTARSLQYEYKANSNLVLQADRSLIDRTRRDEPTGEVLSLVGKLEGTRMGDKAQRTKPQMQEERRAKRRKRDEDRHDINKMKGYTLLSEGIDEMVGIIYKPKTKETRETYEVLLSFIQAALGDQPRDILCGAADEVLAVLKNEKLRDKERRKEIDLLLGQTDDTRYHVLVNLGKKITDYGGDKEIQNMDDNIDETYGVNVQFESDEEEGDEDVYGEVREEASDDDMEGDEAVVRCTLSANLVASGELMSSKKKDLHPRDIDAFWLQRQLSRFYDDAIVSQKKADEVLEILKTASDDRECENQLVLLLGFNTFDFIKVLRQHRMMILYCTLLASAQSEAEKERIMGKMEADPELSKFLYQLHETEKEDLIREERSRRERVRQSRMDTDLETMDLDQGGEALAPRQVLDLEDLVFTQGSHFMANKRCQLPDGSFRRQRKGYEEVHVPALKPKPFGSEEQLLPVEKLPKYAQAGFEGFKTLNRIQSKLYRAALETDENLLLCAPTGAGKTNVALMCMLREIGKHINMDGTINVDDFKIIYIAPMRSLVQEMVGSFGKRLATYGITVAELTGDHQLCKEEISATQIIVCTPEKWDIITRKGGERTYTQLVRLIILDEIHLLHDDRGPVLEALVARAIRNIEMTQEDVRLIGLSATLPNYEDVATFLRVDPAKGLFYFDNSFRPVPLEQTYVGITEKKAIKRFQIMNEIVYEKIMEHAGKNQVLVFVHSRKETGKTARAIRDMCLEKDTLGLFLREGSASTEVLRTEAEQCKNLELKDLLPYGFAIHHAGMTRVDRTLVEDLFADKHIQVLVSTATLAWGVNLPAHTVIIKGTQVYSPEKGRWTELGALDILQMLGRAGRPQYDTKGEGILITSHGELQYYLSLLNQQLPIESQMVSKLPDMLNAEIVLGNVQNAKDAVNWLGYAYLYIRMLRSPTLYGISHDDLKGDPLLDQRRLDLVHTAALMLDKNNLVKYDKKTGNFQVTELGRIASHYYITNDTVQTYNQLLKPTLSEIELFRVFSLSSEFKNITVREEEKLELQKLLERVPIPVKESIEEPSAKINVLLQAFISQLKLEGFALMADMVYVTQSAGRLMRAIFEIVLNRGWAQLTDKTLNLCKMIDKRMWQSMCPLRQFRKLPEEVVKKIEKKNFPFERLYDLNHNEIGELIRMPKMGKTIHKYVHLFPKLELSVHLQPITRSTLKVELTITPDFQWDEKVHGSSEAFWILVEDVDSEVILHHEYFLLKAKYAQDEHLITFFVPVFEPLPPQYFIRVVSDRWLSCETQLPVSFRHLILPEKYPPPTELLDLQPLPVSALRNSAFESLYQDKFPFFNPIQTQVFNTVYNSDDNVFVGAPTGSGKTICAEFAILRMLLQSSEGRCVYITPMEALAEQVYMDWYEKFQDRLNKKVVLLTGETSTDLKLLGKGNIIISTPEKWDILSRRWKQRKNVQNINLFVVDEVHLIGGENGPVLEVICSRMRYISSQIERPIRIVALSSSLSNAKDVAHWLGCSATSTFNFHPNVRPVPLELHIQGFNISHTQTRLLSMAKPVYHAITKHSPKKPVIVFVPSRKQTRLTAIDILTTCAADIQRQRFLHCTEKDLIPYLEKLSDSTLKETLLNGVGYLHEGLSPMERRLVEQLFSSGAIQVVVASRSLCWGMNVAAHLVIIMDTQYYNGKIHAYVDYPIYDVLQMVGHANRPLQDDEGRCVIMCQGSKKDFFKKFLYEPLPVESHLDHCMHDHFNAEIVTKTIENKQDAVDYLTWTFLYRRMTQNPNYYNLQGISHRHLSDHLSELVEQTLSDLEQSKCISIEDEMDVAPLNLGMIAAYYYINYTTIELFSMSLNAKTKVRGLIEIISNAAEYENIPIRHHEDNLLRQLAQKVPHKLNNPKFNDPHVKTNLLLQAHLSRMQLSAELQSDTEEILSKAIRLIQACVDVLSSNGWLSPALAAMELAQMVTQAMWSKDSYLKQLPHFTSEHIKRCTDKGVESVFDIMEMEDEERNALLQLTDSQIADVARFCNRYPNIELSYEVVDKDSIRSGGPVVVLVQLEREEEVTGPVIAPLFPQKREEGWWVVIGDAKSNSLISIKRLTLQQKAKVKLDFVAPATGAHNYTLYFMSDAYMGCDQEYKFSVDVKEAETDSDSD
+>DECOY_sp|O75643|U520_HUMAN U5 small nuclear ribonucleoprotein 200 kDa helicase OS=Homo sapiens OX=9606 GN=SNRNP200 PE=1 SV=2
+DSDSDTEAEKVDVSFKYEQDCGMYADSMFYLTYNHAGTAPAVFDLKVKAKQQLTLRKISILSNSKADGIVVWWGEERKQPFLPAIVPGTVEEERELQVLVVVPGGSRISDKDVVEYSLEINPYRNCFRAVDAIQSDTLQLLANREEDEMEMIDFVSEVGKDTCRKIHESTFHPLQKLYSDKSWMAQTVMQALEMAALAPSLWGNSSLVDVCAQILRIAKSLIEETDSQLEASLQMRSLHAQLLLNTKVHPDNFKPNNLKHPVKQALQRLLNDEHHRIPINEYEAANSIIEILGRVKTKANLSMSFLEITTYNIYYYAAIMGLNLPAVDMEDEISICKSQELDSLTQEVLESLHDSLHRHSIGQLNYYNPNQTMRRYLFTWTLYDVADQKNEITKTVIEANFHDHMCHDLHSEVPLPEYLFKKFFDKKSGQCMIVCRGEDDQLPRNAHGVMQLVDYIPYDVYAHIKGNYYQTDMIIVLHAAVNMGWCLSRSAVVVQIAGSSFLQEVLRREMPSLGEHLYGVGNLLTEKLTSDSLKELYPILDKETCHLFRQRQIDAACTTLIDIATLRTQKRSPVFVIVPKKPSHKTIAHYVPKAMSLLRTQTHSINFGQIHLELPVPRVNPHFNFTSTASCGLWHAVDKANSLSSSLAVIRIPREIQSSIYRMRSCIVELVPGNEGGILHVEDVVFLNINQVNKRQKWRRSLIDWKEPTSIIINGKGLLKLDTSTEGTLLVVKKNLRDQFKEYWDMYVQEALAEMPTIYVCRGESSQLLMRLIAFEACITKGSGTPAGVFVNDDSNYVTNFVQTQIPNFFPFKDQYLSEFASNRLASVPLPQLDLLETPPPYKEPLILHRFSVPLQTECSLWRDSVVRIFYQPPLPEFVPVFFTILHEDQAYKAKLLFYEHHLIVESDVDEVLIWFAESSGHVKEDWQFDPTITLEVKLTSRTIPQLHVSLELKPFLHVYKHITKGMKPMRILEGIENHNLDYLREFPFNKKEIKKVVEEPLKRFQRLPCMSQWMRKDIMKCLNLTKDTLQAWGRNLVIEFIARMLRGASQTVYVMDAMLAFGELKLQSIFAQLLVNIKASPEEISEKVPIPVRELLKQLELKEEERVTINKFESSLSFVRFLEIESLTPKLLQNYTQVTDNTIYYHSAIRGLETVQFNGTKKDYKVLNNKDLMLAATHVLDLRRQDLLPDGKLDDHSIGYLTPSRLMRIYLYAYGLWNVADKANQVNGLVIEANLMDPLKSVMQSEIPLQQNLLSLYYQLEGHSTILIGEGKTDYQPRGARGLMQLIDLAGLETWRGKEPSYVQTGKIIVTHAPLNVGWALTATSVLVQIHKDAFLDEVLTRDVRTMGAHHIAFGYPLLDKLELNKCQEAETRLVETSASGERLFLGLTDKELCMDRIARATKGTEKRSHVFVLVQNKGAHEMIKEYVIENMIQFRKIAKKETIGVYTQELPVPRFSNDFYFLGKAPDVRLFTAVDEYNPLTASLGILRVDEQTMEINRIARAVLAELVPGRDDHLLHIEDLIILRVLQTYTREGGKRTIIDWKEPTCVIIQTASIEEKCLQHDGTLEAVTIGYTALRKGFSGVMEQVLSRMPAIYIIKFDDVNITGDMNIHKGIERLMCMLAVNTKGAGTPACLLLNEDTELAARYLKSQIRNLTKFGEFGAQAYKPLKEVPLLQEESGFPKPKLAPVHVEEYGKRQRRFSGDPLQCRKNAMFHSGQTFVLDELDLVQRPALAEGGQDLDMTELDTDMRSQRVRERRSREERILDEKETEHLQYLFKSLEPDAEMKGMIREKEAESQASALLTCYLIMMRHQRLVKIFDFTNFGLLLVLQNECERDDSATKLIELVEDAKKQSVIADDYFRSLQRQLWFADIDRPHLDKKKSSMLEGSAVLNASLTCRVVAEDGEMDDDSAEERVEGYVDEDGEEEDSEFQVNVGYTEDINDDMNQIEKDGGYDTIKKGLNVLVHYRTDDTQGLLLDIEKRREKDRLKENKLVALVEDAAGCLIDRPQDGLAAQIFSLLVEYTERTEKTKPKYIIGVMEDIGESLLTYGKMKNIDHRDEDRKRRKARREEQMQPKTRQAKDGMRTGELKGVLSLVEGTPEDRRTRDILSRDAQLVLNSNAKYEYQLSRATVDAM
+>sp|Q5XG85|U633C_HUMAN Putative UPF0633 protein LOC554249 OS=Homo sapiens OX=9606 PE=5 SV=1
+MRKLRLRASNPGPSGAPGTRRHFSTSRGGHHCARRWLRRVRRSRSQTPSCQNLDPNPPIARFLLPLERISEVPRRACLHGRDASSVWPPPERSD
+>DECOY_sp|Q5XG85|U633C_HUMAN Putative UPF0633 protein LOC554249 OS=Homo sapiens OX=9606 PE=5 SV=1
+DSREPPPWVSSADRGHLCARRPVESIRELPLLFRAIPPNPDLNQCSPTQSRSRRVRRLWRRACHHGGRSTSFHRRTGPAGSPGPNSARLRLKRM
+>sp|Q3KQV9|UAP1L_HUMAN UDP-N-acetylhexosamine pyrophosphorylase-like protein 1 OS=Homo sapiens OX=9606 GN=UAP1L1 PE=1 SV=2
+MASEQDVRARLQRAGQEHLLRFWAELAPEPRAALLAELALLEPEALREHCRRAAEACARPHGPPPDLAARLRPLPPERVGRASRSDPETRRRWEEEGFRQISLNKVAVLLLAGGQGTRLGVTYPKGMYRVGLPSRKTLYQLQAERIRRVEQLAGERHGTRCTVPWYVMTSEFTLGPTAEFFREHNFFHLDPANVVMFEQRLLPAVTFDGKVILERKDKVAMAPDGNGGLYCALEDHKILEDMERRGVEFVHVYCVDNILVRLADPVFIGFCVLQGADCGAKVVEKAYPEEPVGVVCQVDGVPQVVEYSEISPETAQLRASDGSLLYNAGNICNHFFTRGFLKAVTREFEPLLKPHVAVKKVPYVDEEGNLVKPLKPNGIKMEKFVFDVFRFAKNFAALEVLREEEFSPLKNAEPADRDSPRTARQALLTQHYRWALRAGARFLDAHGAWLPELPSLPPNGDPPAICEISPLVSYSGEGLEVYLQGREFQSPLILDEDQAREPQLQES
+>DECOY_sp|Q3KQV9|UAP1L_HUMAN UDP-N-acetylhexosamine pyrophosphorylase-like protein 1 OS=Homo sapiens OX=9606 GN=UAP1L1 PE=1 SV=2
+SEQLQPERAQDEDLILPSQFERGQLYVELGEGSYSVLPSIECIAPPDGNPPLSPLEPLWAGHADLFRAGARLAWRYHQTLLAQRATRPSDRDAPEANKLPSFEEERLVELAAFNKAFRFVDFVFKEMKIGNPKLPKVLNGEEDVYPVKKVAVHPKLLPEFERTVAKLFGRTFFHNCINGANYLLSGDSARLQATEPSIESYEVVQPVGDVQCVVGVPEEPYAKEVVKAGCDAGQLVCFGIFVPDALRVLINDVCYVHVFEVGRREMDELIKHDELACYLGGNGDPAMAVKDKRELIVKGDFTVAPLLRQEFMVVNAPDLHFFNHERFFEATPGLTFESTMVYWPVTCRTGHREGALQEVRRIREAQLQYLTKRSPLGVRYMGKPYTVGLRTGQGGALLLVAVKNLSIQRFGEEEWRRRTEPDSRSARGVREPPLPRLRAALDPPPGHPRACAEAARRCHERLAEPELLALEALLAARPEPALEAWFRLLHEQGARQLRARVDQESAM
+>sp|Q16222|UAP1_HUMAN UDP-N-acetylhexosamine pyrophosphorylase OS=Homo sapiens OX=9606 GN=UAP1 PE=1 SV=3
+MNINDLKLTLSKAGQEHLLRFWNELEEAQQVELYAELQAMNFEELNFFFQKAIEGFNQSSHQKNVDARMEPVPREVLGSATRDQDQLQAWESEGLFQISQNKVAVLLLAGGQGTRLGVAYPKGMYDVGLPSRKTLFQIQAERILKLQQVAEKYYGNKCIIPWYIMTSGRTMESTKEFFTKHKYFGLKKENVIFFQQGMLPAMSFDGKIILEEKNKVSMAPDGNGGLYRALAAQNIVEDMEQRGIWSIHVYCVDNILVKVADPRFIGFCIQKGADCGAKVVEKTNPTEPVGVVCRVDGVYQVVEYSEISLATAQKRSSDGRLLFNAGNIANHFFTVPFLRDVVNVYEPQLQHHVAQKKIPYVDTQGQLIKPDKPNGIKMEKFVFDIFQFAKKFVVYEVLREDEFSPLKNADSQNGKDNPTTARHALMSLHHCWVLNAGGHFIDENGSRLPAIPRSATNGKSETITADVNHNLKDANDVPIQCEISPLISYAGEGLESYVADKEFHAPLIIDENGVHELVKNGI
+>DECOY_sp|Q16222|UAP1_HUMAN UDP-N-acetylhexosamine pyrophosphorylase OS=Homo sapiens OX=9606 GN=UAP1 PE=1 SV=3
+IGNKVLEHVGNEDIILPAHFEKDAVYSELGEGAYSILPSIECQIPVDNADKLNHNVDATITESKGNTASRPIAPLRSGNEDIFHGGANLVWCHHLSMLAHRATTPNDKGNQSDANKLPSFEDERLVEYVVFKKAFQFIDFVFKEMKIGNPKDPKILQGQTDVYPIKKQAVHHQLQPEYVNVVDRLFPVTFFHNAINGANFLLRGDSSRKQATALSIESYEVVQYVGDVRCVVGVPETPNTKEVVKAGCDAGKQICFGIFRPDAVKVLINDVCYVHISWIGRQEMDEVINQAALARYLGGNGDPAMSVKNKEELIIKGDFSMAPLMGQQFFIVNEKKLGFYKHKTFFEKTSEMTRGSTMIYWPIICKNGYYKEAVQQLKLIREAQIQFLTKRSPLGVDYMGKPYAVGLRTGQGGALLLVAVKNQSIQFLGESEWAQLQDQDRTASGLVERPVPEMRADVNKQHSSQNFGEIAKQFFFNLEEFNMAQLEAYLEVQQAEELENWFRLLHEQGAKSLTLKLDNINM
+>sp|Q96LR5|UB2E2_HUMAN Ubiquitin-conjugating enzyme E2 E2 OS=Homo sapiens OX=9606 GN=UBE2E2 PE=1 SV=1
+MSTEAQRVDDSPSTSGGSSDGDQRESVQQEPEREQVQPKKKEGKISSKTAAKLSTSAKRIQKELAEITLDPPPNCSAGPKGDNIYEWRSTILGPPGSVYEGGVFFLDITFSPDYPFKPPKVTFRTRIYHCNINSQGVICLDILKDNWSPALTISKVLLSICSLLTDCNPADPLVGSIATQYMTNRAEHDRMARQWTKRYAT
+>DECOY_sp|Q96LR5|UB2E2_HUMAN Ubiquitin-conjugating enzyme E2 E2 OS=Homo sapiens OX=9606 GN=UBE2E2 PE=1 SV=1
+TAYRKTWQRAMRDHEARNTMYQTAISGVLPDAPNCDTLLSCISLLVKSITLAPSWNDKLIDLCIVGQSNINCHYIRTRFTVKPPKFPYDPSFTIDLFFVGGEYVSGPPGLITSRWEYINDGKPGASCNPPPDLTIEALEKQIRKASTSLKAATKSSIKGEKKKPQVQEREPEQQVSERQDGDSSGGSTSPSDDVRQAETSM
+>sp|P41226|UBA7_HUMAN Ubiquitin-like modifier-activating enzyme 7 OS=Homo sapiens OX=9606 GN=UBA7 PE=1 SV=2
+MDALDASKLLDEELYSRQLYVLGSPAMQRIQGARVLVSGLQGLGAEVAKNLVLMGVGSLTLHDPHPTCWSDLAAQFLLSEQDLERSRAEASQELLAQLNRAVQVVVHTGDITEDLLLDFQVVVLTAAKLEEQLKVGTLCHKHGVCFLAADTRGLVGQLFCDFGEDFTVQDPTEAEPLTAAIQHISQGSPGILTLRKGANTHYFRDGDLVTFSGIEGMVELNDCDPRSIHVREDGSLEIGDTTTFSRYLRGGAITEVKRPKTVRHKSLDTALLQPHVVAQSSQEVHHAHCLHQAFCALHKFQHLHGRPPQPWDPVDAETVVGLARDLEPLKRTEEEPLEEPLDEALVRTVALSSAGVLSPMVAMLGAVAAQEVLKAISRKFMPLDQWLYFDALDCLPEDGELLPSPEDCALRGSRYDGQIAVFGAGFQEKLRRQHYLLVGAGAIGCELLKVFALVGLGAGNSGGLTVVDMDHIERSNLSRQFLFRSQDVGRPKAEVAAAAARGLNPDLQVIPLTYPLDPTTEHIYGDNFFSRVDGVAAALDSFQARRYVAARCTHYLKPLLEAGTSGTWGSATVFMPHVTEAYRAPASAAASEDAPYPVCTVRYFPSTAEHTLQWARHEFEELFRLSAETINHHQQAHTSLADMDEPQTLTLLKPVLGVLRVRPQNWQDCVAWALGHWKLCFHYGIKQLLRHFPPNKVLEDGTPFWSGPKQCPQPLEFDTNQDTHLLYVLAAANLYAQMHGLPGSQDWTALRELLKLLPQPDPQQMAPIFASNLELASASAEFGPEQQKELNKALEVWSVGPPLKPLMFEKDDDSNFHVDFVVAAASLRCQNYGIPPVNRAQSKRIVGQIIPAIATTTAAVAGLLGLELYKVVSGPRPRSAFRHSYLHLAENYLIRYMPFAPAIQTFHHLKWTSWDRLKVPAGQPERTLESLLAHLQEQHGLRVRILLHGSALLYAAGWSPEKQAQHLPLRVTELVQQLTGQAPAPGQRVLVLELSCEGDDEDTAFPPLHYEL
+>DECOY_sp|P41226|UBA7_HUMAN Ubiquitin-like modifier-activating enzyme 7 OS=Homo sapiens OX=9606 GN=UBA7 PE=1 SV=2
+LEYHLPPFATDEDDGECSLELVLVRQGPAPAQGTLQQVLETVRLPLHQAQKEPSWGAAYLLASGHLLIRVRLGHQEQLHALLSELTREPQGAPVKLRDWSTWKLHHFTQIAPAFPMYRILYNEALHLYSHRFASRPRPGSVVKYLELGLLGAVAATTTAIAPIIQGVIRKSQARNVPPIGYNQCRLSAAAVVFDVHFNSDDDKEFMLPKLPPGVSWVELAKNLEKQQEPGFEASASALELNSAFIPAMQQPDPQPLLKLLERLATWDQSGPLGHMQAYLNAAALVYLLHTDQNTDFELPQPCQKPGSWFPTGDELVKNPPFHRLLQKIGYHFCLKWHGLAWAVCDQWNQPRVRLVGLVPKLLTLTQPEDMDALSTHAQQHHNITEASLRFLEEFEHRAWQLTHEATSPFYRVTCVPYPADESAAASAPARYAETVHPMFVTASGWTGSTGAELLPKLYHTCRAAVYRRAQFSDLAAAVGDVRSFFNDGYIHETTPDLPYTLPIVQLDPNLGRAAAAAVEAKPRGVDQSRFLFQRSLNSREIHDMDVVTLGGSNGAGLGVLAFVKLLECGIAGAGVLLYHQRRLKEQFGAGFVAIQGDYRSGRLACDEPSPLLEGDEPLCDLADFYLWQDLPMFKRSIAKLVEQAAVAGLMAVMPSLVGASSLAVTRVLAEDLPEELPEEETRKLPELDRALGVVTEADVPDWPQPPRGHLHQFKHLACFAQHLCHAHHVEQSSQAVVHPQLLATDLSKHRVTKPRKVETIAGGRLYRSFTTTDGIELSGDERVHISRPDCDNLEVMGEIGSFTVLDGDRFYHTNAGKRLTLIGPSGQSIHQIAATLPEAETPDQVTFDEGFDCFLQGVLGRTDAALFCVGHKHCLTGVKLQEELKAATLVVVQFDLLLDETIDGTHVVVQVARNLQALLEQSAEARSRELDQESLLFQAALDSWCTPHPDHLTLSGVGMLVLNKAVEAGLGQLGSVLVRAGQIRQMAPSGLVYLQRSYLEEDLLKSADLADM
+>sp|Q8TB05|UBAD1_HUMAN UBA-like domain-containing protein 1 OS=Homo sapiens OX=9606 GN=UBALD1 PE=2 SV=1
+MSVNMDELKHQVMINQFVLTAGCAADQAKQLLQAAHWQFETALSAFFQETNIPYSHHHHQMMCTPANTPATPPNFPDALTMFSRLKASESFHSGGSGSPMAATATSPPPHFPHAATSSSAASSWPTAASPPGGPQHHQPQPPLWTPTPPSPASDWPPLAPQQATSEPRAHPAMEAER
+>DECOY_sp|Q8TB05|UBAD1_HUMAN UBA-like domain-containing protein 1 OS=Homo sapiens OX=9606 GN=UBALD1 PE=2 SV=1
+REAEMAPHARPESTAQQPALPPWDSAPSPPTPTWLPPQPQHHQPGGPPSAATPWSSAASSSTAAHPFHPPPSTATAAMPSGSGGSHFSESAKLRSFMTLADPFNPPTAPTNAPTCMMQHHHHSYPINTEQFFASLATEFQWHAAQLLQKAQDAACGATLVFQNIMVQHKLEDMNVSM
+>sp|Q9NZ09|UBAP1_HUMAN Ubiquitin-associated protein 1 OS=Homo sapiens OX=9606 GN=UBAP1 PE=1 SV=1
+MASKKLGADFHGTFSYLDDVPFKTGDKFKTPAKVGLPIGFSLPDCLQVVREVQYDFSLEKKTIEWAEEIKKIEEAEREAECKIAEAEAKVNSKSGPEGDSKMSFSKTHSTATMPPPINPILASLQHNSILTPTRVSSSATKQKVLSPPHIKADFNLADFECEEDPFDNLELKTIDEKEELRNILVGTTGPIMAQLLDNNLPRGGSGSVLQDEEVLASLERATLDFKPLHKPNGFITLPQLGNCEKMSLSSKVSLPPIPAVSNIKSLSFPKLDSDDSNQKTAKLASTFHSTSCLRNGTFQNSLKPSTQSSASELNGHHTLGLSALNLDSGTEMPALTSSQMPSLSVLSVCTEESSPPNTGPTVTPPNFSVSQVPNMPSCPQAYSELQMLSPSERQCVETVVNMGYSYECVLRAMKKKGENIEQILDYLFAHGQLCEKGFDPLLVEEALEMHQCSEEKMMEFLQLMSKFKEMGFELKDIKEVLLLHNNDQDNALEDLMARAGAS
+>DECOY_sp|Q9NZ09|UBAP1_HUMAN Ubiquitin-associated protein 1 OS=Homo sapiens OX=9606 GN=UBAP1 PE=1 SV=1
+SAGARAMLDELANDQDNNHLLLVEKIDKLEFGMEKFKSMLQLFEMMKEESCQHMELAEEVLLPDFGKECLQGHAFLYDLIQEINEGKKKMARLVCEYSYGMNVVTEVCQRESPSLMQLESYAQPCSPMNPVQSVSFNPPTVTPGTNPPSSEETCVSLVSLSPMQSSTLAPMETGSDLNLASLGLTHHGNLESASSQTSPKLSNQFTGNRLCSTSHFTSALKATKQNSDDSDLKPFSLSKINSVAPIPPLSVKSSLSMKECNGLQPLTIFGNPKHLPKFDLTARELSALVEEDQLVSGSGGRPLNNDLLQAMIPGTTGVLINRLEEKEDITKLELNDFPDEECEFDALNFDAKIHPPSLVKQKTASSSVRTPTLISNHQLSALIPNIPPPMTATSHTKSFSMKSDGEPGSKSNVKAEAEAIKCEAEREAEEIKKIEEAWEITKKELSFDYQVERVVQLCDPLSFGIPLGVKAPTKFKDGTKFPVDDLYSFTGHFDAGLKKSAM
+>sp|P61081|UBC12_HUMAN NEDD8-conjugating enzyme Ubc12 OS=Homo sapiens OX=9606 GN=UBE2M PE=1 SV=1
+MIKLFSLKQQKKEEESAGGTKGSSKKASAAQLRIQKDINELNLPKTCDISFSDPDDLLNFKLVICPDEGFYKSGKFVFSFKVGQGYPHDPPKVKCETMVYHPNIDLEGNVCLNILREDWKPVLTINSIIYGLQYLFLEPNPEDPLNKEAAEVLQNNRRLFEQNVQRSMRGGYIGSTYFERCLK
+>DECOY_sp|P61081|UBC12_HUMAN NEDD8-conjugating enzyme Ubc12 OS=Homo sapiens OX=9606 GN=UBE2M PE=1 SV=1
+KLCREFYTSGIYGGRMSRQVNQEFLRRNNQLVEAAEKNLPDEPNPELFLYQLGYIISNITLVPKWDERLINLCVNGELDINPHYVMTECKVKPPDHPYGQGVKFSFVFKGSKYFGEDPCIVLKFNLLDDPDSFSIDCTKPLNLENIDKQIRLQAASAKKSSGKTGGASEEEKKQQKLSFLKIM
+>sp|P63279|UBC9_HUMAN SUMO-conjugating enzyme UBC9 OS=Homo sapiens OX=9606 GN=UBE2I PE=1 SV=1
+MSGIALSRLAQERKAWRKDHPFGFVAVPTKNPDGTMNLMNWECAIPGKKGTPWEGGLFKLRMLFKDDYPSSPPKCKFEPPLFHPNVYPSGTVCLSILEEDKDWRPAITIKQILLGIQELLNEPNIQDPAQAEAYTIYCQNRVEYEKRVRAQAKKFAPS
+>DECOY_sp|P63279|UBC9_HUMAN SUMO-conjugating enzyme UBC9 OS=Homo sapiens OX=9606 GN=UBE2I PE=1 SV=1
+SPAFKKAQARVRKEYEVRNQCYITYAEAQAPDQINPENLLEQIGLLIQKITIAPRWDKDEELISLCVTGSPYVNPHFLPPEFKCKPPSSPYDDKFLMRLKFLGGEWPTGKKGPIACEWNMLNMTGDPNKTPVAVFGFPHDKRWAKREQALRSLAIGSM
+>sp|P61088|UBE2N_HUMAN Ubiquitin-conjugating enzyme E2 N OS=Homo sapiens OX=9606 GN=UBE2N PE=1 SV=1
+MAGLPRRIIKETQRLLAEPVPGIKAEPDESNARYFHVVIAGPQDSPFEGGTFKLELFLPEEYPMAAPKVRFMTKIYHPNVDKLGRICLDILKDKWSPALQIRTVLLSIQALLSAPNPDDPLANDVAEQWKTNEAQAIETARAWTRLYAMNNI
+>DECOY_sp|P61088|UBE2N_HUMAN Ubiquitin-conjugating enzyme E2 N OS=Homo sapiens OX=9606 GN=UBE2N PE=1 SV=1
+INNMAYLRTWARATEIAQAENTKWQEAVDNALPDDPNPASLLAQISLLVTRIQLAPSWKDKLIDLCIRGLKDVNPHYIKTMFRVKPAAMPYEEPLFLELKFTGGEFPSDQPGAIVVHFYRANSEDPEAKIGPVPEALLRQTEKIIRRPLGAM
+>sp|Q96RP3|UCN2_HUMAN Urocortin-2 OS=Homo sapiens OX=9606 GN=UCN2 PE=1 SV=1
+MTRCALLLLMVLMLGRVLVVPVTPIPTFQLRPQNSPQTTPRPAASESPSAAPTWPWAAQSHCSPTRHPGSRIVLSLDVPIGLLQILLEQARARAAREQATTNARILARVGHC
+>DECOY_sp|Q96RP3|UCN2_HUMAN Urocortin-2 OS=Homo sapiens OX=9606 GN=UCN2 PE=1 SV=1
+CHGVRALIRANTTAQERAARARAQELLIQLLGIPVDLSLVIRSGPHRTPSCHSQAAWPWTPAASPSESAAPRPTTQPSNQPRLQFTPIPTVPVVLVRGLMLVMLLLLACRTM
+>sp|Q9HAW7|UD17_HUMAN UDP-glucuronosyltransferase 1-7 OS=Homo sapiens OX=9606 GN=UGT1A7 PE=1 SV=2
+MARAGWTGLLPLYVCLLLTCGFAKAGKLLVVPMDGSHWFTMQSVVEKLILRGHEVVVVMPEVSWQLGRSLNCTVKTYSTSYTLEDQDREFMVFADARWTAPLRSAFSLLTSSSNGIFDLFFSNCRSLFNDRKLVEYLKESCFDAVFLDPFDACGLIVAKYFSLPSVVFARGIFCHYLEEGAQCPAPLSYVPRLLLGFSDAMTFKERVWNHIMHLEEHLFCPYFFKNVLEIASEILQTPVTAYDLYSHTSIWLLRTDFVLEYPKPVMPNMIFIGGINCHQGKPVPMEFEAYINASGEHGIVVFSLGSMVSEIPEKKAMAIADALGKIPQTVLWRYTGTRPSNLANNTILVKWLPQNDLLGHPMTRAFITHAGSHGVYESICNGVPMVMMPLFGDQMDNAKRMETKGAGVTLNVLEMTSEDLENALKAVINDKSYKENIMRLSSLHKDRPVEPLDLAVFWVEFVMRHKGAPHLRPAAHDLTWYQYHSLDVIGFLLAVVLTVAFITFKCCAYGYRKCLGKKGRVKKAHKSKTH
+>DECOY_sp|Q9HAW7|UD17_HUMAN UDP-glucuronosyltransferase 1-7 OS=Homo sapiens OX=9606 GN=UGT1A7 PE=1 SV=2
+HTKSKHAKKVRGKKGLCKRYGYACCKFTIFAVTLVVALLFGIVDLSHYQYWTLDHAAPRLHPAGKHRMVFEVWFVALDLPEVPRDKHLSSLRMINEKYSKDNIVAKLANELDESTMELVNLTVGAGKTEMRKANDMQDGFLPMMVMPVGNCISEYVGHSGAHTIFARTMPHGLLDNQPLWKVLITNNALNSPRTGTYRWLVTQPIKGLADAIAMAKKEPIESVMSGLSFVVIGHEGSANIYAEFEMPVPKGQHCNIGGIFIMNPMVPKPYELVFDTRLLWISTHSYLDYATVPTQLIESAIELVNKFFYPCFLHEELHMIHNWVREKFTMADSFGLLLRPVYSLPAPCQAGEELYHCFIGRAFVVSPLSFYKAVILGCADFPDLFVADFCSEKLYEVLKRDNFLSRCNSFFLDFIGNSSSTLLSFASRLPATWRADAFVMFERDQDELTYSTSYTKVTCNLSRGLQWSVEPMVVVVEHGRLILKEVVSQMTFWHSGDMPVVLLKGAKAFGCTLLLCVYLPLLGTWGARAM
+>sp|O60656|UD19_HUMAN UDP-glucuronosyltransferase 1-9 OS=Homo sapiens OX=9606 GN=UGT1A9 PE=1 SV=1
+MACTGWTSPLPLCVCLLLTCGFAEAGKLLVVPMDGSHWFTMRSVVEKLILRGHEVVVVMPEVSWQLGRSLNCTVKTYSTSYTLEDLDREFKAFAHAQWKAQVRSIYSLLMGSYNDIFDLFFSNCRSLFKDKKLVEYLKESSFDAVFLDPFDNCGLIVAKYFSLPSVVFARGILCHYLEEGAQCPAPLSYVPRILLGFSDAMTFKERVRNHIMHLEEHLLCHRFFKNALEIASEILQTPVTEYDLYSHTSIWLLRTDFVLDYPKPVMPNMIFIGGINCHQGKPLPMEFEAYINASGEHGIVVFSLGSMVSEIPEKKAMAIADALGKIPQTVLWRYTGTRPSNLANNTILVKWLPQNDLLGHPMTRAFITHAGSHGVYESICNGVPMVMMPLFGDQMDNAKRMETKGAGVTLNVLEMTSEDLENALKAVINDKSYKENIMRLSSLHKDRPVEPLDLAVFWVEFVMRHKGAPHLRPAAHDLTWYQYHSLDVIGFLLAVVLTVAFITFKCCAYGYRKCLGKKGRVKKAHKSKTH
+>DECOY_sp|O60656|UD19_HUMAN UDP-glucuronosyltransferase 1-9 OS=Homo sapiens OX=9606 GN=UGT1A9 PE=1 SV=1
+HTKSKHAKKVRGKKGLCKRYGYACCKFTIFAVTLVVALLFGIVDLSHYQYWTLDHAAPRLHPAGKHRMVFEVWFVALDLPEVPRDKHLSSLRMINEKYSKDNIVAKLANELDESTMELVNLTVGAGKTEMRKANDMQDGFLPMMVMPVGNCISEYVGHSGAHTIFARTMPHGLLDNQPLWKVLITNNALNSPRTGTYRWLVTQPIKGLADAIAMAKKEPIESVMSGLSFVVIGHEGSANIYAEFEMPLPKGQHCNIGGIFIMNPMVPKPYDLVFDTRLLWISTHSYLDYETVPTQLIESAIELANKFFRHCLLHEELHMIHNRVREKFTMADSFGLLIRPVYSLPAPCQAGEELYHCLIGRAFVVSPLSFYKAVILGCNDFPDLFVADFSSEKLYEVLKKDKFLSRCNSFFLDFIDNYSGMLLSYISRVQAKWQAHAFAKFERDLDELTYSTSYTKVTCNLSRGLQWSVEPMVVVVEHGRLILKEVVSRMTFWHSGDMPVVLLKGAEAFGCTLLLCVCLPLPSTWGTCAM
+>sp|C9JPN9|UL17C_HUMAN Ubiquitin carboxyl-terminal hydrolase 17-like protein 12 OS=Homo sapiens OX=9606 GN=USP17L12 PE=3 SV=1
+MEEDSLYLGGEWQFNHFSKLTSSRPDAAFAEIQRTSLPEKSPLSCETRVDLCDDLAPVARQLAPREKLPLSNRRPAAVGAGLQNMGNTCYVNASLQCLTYTPPLANYMLSREHSQTCHRHKGCMLCTMQAHITRALHNPGHVIQPSQALAAGFHRGKQEDAHEFLMFTVDAMKKACLPGHKQVDHHSKDTTLIHQIFGGYWRSQIKCLHCHGISDTFDPYLDIALDIQAAQSVQQALEQLVKPEELNGENAYHCGVCLQRAPASKMLTLLTSAKVLILVLKRFSDVTGNKIAKNVQYPECLDMQPYMSQPNTGPLVYVLYAVLVHAGWSCHNGHYFSYVKAQEGQWYKMDDAEVTASSITSVLSQQAYVLFYIQKSEWERHSESVSRGREPRALGAEDTDRRATQGELKRDHPCLQAPELDEHLVERATQESTLDHWKFLQEQNKTKPEFNVRKVEGTLPPDVLVIHQSKYKCGMKNHHPEQQSSLLKLSSTTPTHQESMNTGTLASLRGRARRSKGKNKHSKRALLVCQ
+>DECOY_sp|C9JPN9|UL17C_HUMAN Ubiquitin carboxyl-terminal hydrolase 17-like protein 12 OS=Homo sapiens OX=9606 GN=USP17L12 PE=3 SV=1
+QCVLLARKSHKNKGKSRRARGRLSALTGTNMSEQHTPTTSSLKLLSSQQEPHHNKMGCKYKSQHIVLVDPPLTGEVKRVNFEPKTKNQEQLFKWHDLTSEQTAREVLHEDLEPAQLCPHDRKLEGQTARRDTDEAGLARPERGRSVSESHREWESKQIYFLVYAQQSLVSTISSATVEADDMKYWQGEQAKVYSFYHGNHCSWGAHVLVAYLVYVLPGTNPQSMYPQMDLCEPYQVNKAIKNGTVDSFRKLVLILVKASTLLTLMKSAPARQLCVGCHYANEGNLEEPKVLQELAQQVSQAAQIDLAIDLYPDFTDSIGHCHLCKIQSRWYGGFIQHILTTDKSHHDVQKHGPLCAKKMADVTFMLFEHADEQKGRHFGAALAQSPQIVHGPNHLARTIHAQMTCLMCGKHRHCTQSHERSLMYNALPPTYTLCQLSANVYCTNGMNQLGAGVAAPRRNSLPLKERPALQRAVPALDDCLDVRTECSLPSKEPLSTRQIEAFAADPRSSTLKSFHNFQWEGGLYLSDEEM
+>sp|Q13564|ULA1_HUMAN NEDD8-activating enzyme E1 regulatory subunit OS=Homo sapiens OX=9606 GN=NAE1 PE=1 SV=1
+MAQLGKLLKEQKYDRQLRLWGDHGQEALESAHVCLINATATGTEILKNLVLPGIGSFTIIDGNQVSGEDAGNNFFLQRSSIGKNRAEAAMEFLQELNSDVSGSFVEESPENLLDNDPSFFCRFTVVVATQLPESTSLRLADVLWNSQIPLLICRTYGLVGYMRIIIKEHPVIESHPDNALEDLRLDKPFPELREHFQSYDLDHMEKKDHSHTPWIVIIAKYLAQWYSETNGRIPKTYKEKEDFRDLIRQGILKNENGAPEDEENFEEAIKNVNTALNTTQIPSSIEDIFNDDRCINITKQTPSFWILARALKEFVAKEGQGNLPVRGTIPDMIADSGKYIKLQNVYREKAKKDAAAVGNHVAKLLQSIGQAPESISEKELKLLCSNSAFLRVVRCRSLAEEYGLDTINKDEIISSMDNPDNEIVLYLMLRAVDRFHKQQGRYPGVSNYQVEEDIGKLKSCLTGFLQEYGLSVMVKDDYVHEFCRYGAAEPHTIAAFLGGAAAQEVIKIITKQFVIFNNTYIYSGMSQTSATFQL
+>DECOY_sp|Q13564|ULA1_HUMAN NEDD8-activating enzyme E1 regulatory subunit OS=Homo sapiens OX=9606 GN=NAE1 PE=1 SV=1
+LQFTASTQSMGSYIYTNNFIVFQKTIIKIVEQAAAGGLFAAITHPEAAGYRCFEHVYDDKVMVSLGYEQLFGTLCSKLKGIDEEVQYNSVGPYRGQQKHFRDVARLMLYLVIENDPNDMSSIIEDKNITDLGYEEALSRCRVVRLFASNSCLLKLEKESISEPAQGISQLLKAVHNGVAAADKKAKERYVNQLKIYKGSDAIMDPITGRVPLNGQGEKAVFEKLARALIWFSPTQKTINICRDDNFIDEISSPIQTTNLATNVNKIAEEFNEEDEPAGNENKLIGQRILDRFDEKEKYTKPIRGNTESYWQALYKAIIVIWPTHSHDKKEMHDLDYSQFHERLEPFPKDLRLDELANDPHSEIVPHEKIIIRMYGVLGYTRCILLPIQSNWLVDALRLSTSEPLQTAVVVTFRCFFSPDNDLLNEPSEEVFSGSVDSNLEQLFEMAAEARNKGISSRQLFFNNGADEGSVQNGDIITFSGIGPLVLNKLIETGTATANILCVHASELAEQGHDGWLRLQRDYKQEKLLKGLQAM
+>sp|O75385|ULK1_HUMAN Serine/threonine-protein kinase ULK1 OS=Homo sapiens OX=9606 GN=ULK1 PE=1 SV=2
+MEPGRGGTETVGKFEFSRKDLIGHGAFAVVFKGRHREKHDLEVAVKCINKKNLAKSQTLLGKEIKILKELKHENIVALYDFQEMANSVYLVMEYCNGGDLADYLHAMRTLSEDTIRLFLQQIAGAMRLLHSKGIIHRDLKPQNILLSNPAGRRANPNSIRVKIADFGFARYLQSNMMAATLCGSPMYMAPEVIMSQHYDGKADLWSIGTIVYQCLTGKAPFQASSPQDLRLFYEKNKTLVPTIPRETSAPLRQLLLALLQRNHKDRMDFDEFFHHPFLDASPSVRKSPPVPVPSYPSSGSGSSSSSSSTSHLASPPSLGEMQQLQKTLASPADTAGFLHSSRDSGGSKDSSCDTDDFVMVPAQFPGDLVAEAPSAKPPPDSLMCSGSSLVASAGLESHGRTPSPSPPCSSSPSPSGRAGPFSSSRCGASVPIPVPTQVQNYQRIERNLQSPTQFQTPRSSAIRRSGSTSPLGFARASPSPPAHAEHGGVLARKMSLGGGRPYTPSPQVGTIPERPGWSGTPSPQGAEMRGGRSPRPGSSAPEHSPRTSGLGCRLHSAPNLSDLHVVRPKLPKPPTDPLGAVFSPPQASPPQPSHGLQSCRNLRGSPKLPDFLQRNPLPPILGSPTKAVPSFDFPKTPSSQNLLALLARQGVVMTPPRNRTLPDLSEVGPFHGQPLGPGLRPGEDPKGPFGRSFSTSRLTDLLLKAAFGTQAPDPGSTESLQEKPMEIAPSAGFGGSLHPGARAGGTSSPSPVVFTVGSPPSGSTPPQGPRTRMFSAGPTGSASSSARHLVPGPCSEAPAPELPAPGHGCSFADPITANLEGAVTFEAPDLPEETLMEQEHTEILRGLRFTLLFVQHVLEIAALKGSASEAAGGPEYQLQESVVADQISLLSREWGFAEQLVLYLKVAELLSSGLQSAIDQIRAGKLCLSSTVKQVVRRLNELYKASVVSCQGLSLRLQRFFLDKQRLLDRIHSITAERLIFSHAVQMVQSAALDEMFQHREGCVPRYHKALLLLEGLQHMLSDQADIENVTKCKLCIERRLSALLTGICA
+>DECOY_sp|O75385|ULK1_HUMAN Serine/threonine-protein kinase ULK1 OS=Homo sapiens OX=9606 GN=ULK1 PE=1 SV=2
+ACIGTLLASLRREICLKCKTVNEIDAQDSLMHQLGELLLLAKHYRPVCGERHQFMEDLAASQVMQVAHSFILREATISHIRDLLRQKDLFFRQLRLSLGQCSVVSAKYLENLRRVVQKVTSSLCLKGARIQDIASQLGSSLLEAVKLYLVLQEAFGWERSLLSIQDAVVSEQLQYEPGGAAESASGKLAAIELVHQVFLLTFRLGRLIETHEQEMLTEEPLDPAEFTVAGELNATIPDAFSCGHGPAPLEPAPAESCPGPVLHRASSSASGTPGASFMRTRPGQPPTSGSPPSGVTFVVPSPSSTGGARAGPHLSGGFGASPAIEMPKEQLSETSGPDPAQTGFAAKLLLDTLRSTSFSRGFPGKPDEGPRLGPGLPQGHFPGVESLDPLTRNRPPTMVVGQRALLALLNQSSPTKPFDFSPVAKTPSGLIPPLPNRQLFDPLKPSGRLNRCSQLGHSPQPPSAQPPSFVAGLPDTPPKPLKPRVVHLDSLNPASHLRCGLGSTRPSHEPASSGPRPSRGGRMEAGQPSPTGSWGPREPITGVQPSPTYPRGGGLSMKRALVGGHEAHAPPSPSARAFGLPSTSGSRRIASSRPTQFQTPSQLNREIRQYNQVQTPVPIPVSAGCRSSSFPGARGSPSPSSSCPPSPSPTRGHSELGASAVLSSGSCMLSDPPPKASPAEAVLDGPFQAPVMVFDDTDCSSDKSGGSDRSSHLFGATDAPSALTKQLQQMEGLSPPSALHSTSSSSSSSGSGSSPYSPVPVPPSKRVSPSADLFPHHFFEDFDMRDKHNRQLLALLLQRLPASTERPITPVLTKNKEYFLRLDQPSSAQFPAKGTLCQYVITGISWLDAKGDYHQSMIVEPAMYMPSGCLTAAMMNSQLYRAFGFDAIKVRISNPNARRGAPNSLLINQPKLDRHIIGKSHLLRMAGAIQQLFLRITDESLTRMAHLYDALDGGNCYEMVLYVSNAMEQFDYLAVINEHKLEKLIKIEKGLLTQSKALNKKNICKVAVELDHKERHRGKFVVAFAGHGILDKRSFEFKGVTETGGRGPEM
+>sp|Q6PHR2|ULK3_HUMAN Serine/threonine-protein kinase ULK3 OS=Homo sapiens OX=9606 GN=ULK3 PE=1 SV=2
+MAGPGWGPPRLDGFILTERLGSGTYATVYKAYAKKDTREVVAIKCVAKKSLNKASVENLLTEIEILKGIRHPHIVQLKDFQWDSDNIYLIMEFCAGGDLSRFIHTRRILPEKVARVFMQQLASALQFLHERNISHLDLKPQNILLSSLEKPHLKLADFGFAQHMSPWDEKHVLRGSPLYMAPEMVCQRQYDARVDLWSMGVILYEALFGQPPFASRSFSELEEKIRSNRVIELPLRPLLSRDCRDLLQRLLERDPSRRISFQDFFAHPWVDLEHMPSGESLGRATALVVQAVKKDQEGDSAAALSLYCKALDFFVPALHYEVDAQRKEAIKAKVGQYVSRAEELKAIVSSSNQALLRQGTSARDLLREMARDKPRLLAALEVASAAMAKEEAAGGEQDALDLYQHSLGELLLLLAAEPPGRRRELLHTEVQNLMARAEYLKEQVKMRESRWEADTLDKEGLSESVRSSCTLQ
+>DECOY_sp|Q6PHR2|ULK3_HUMAN Serine/threonine-protein kinase ULK3 OS=Homo sapiens OX=9606 GN=ULK3 PE=1 SV=2
+QLTCSSRVSESLGEKDLTDAEWRSERMKVQEKLYEARAMLNQVETHLLERRRGPPEAALLLLLEGLSHQYLDLADQEGGAAEEKAMAASAVELAALLRPKDRAMERLLDRASTGQRLLAQNSSSVIAKLEEARSVYQGVKAKIAEKRQADVEYHLAPVFFDLAKCYLSLAAASDGEQDKKVAQVVLATARGLSEGSPMHELDVWPHAFFDQFSIRRSPDRELLRQLLDRCDRSLLPRLPLEIVRNSRIKEELESFSRSAFPPQGFLAEYLIVGMSWLDVRADYQRQCVMEPAMYLPSGRLVHKEDWPSMHQAFGFDALKLHPKELSSLLINQPKLDLHSINREHLFQLASALQQMFVRAVKEPLIRRTHIFRSLDGGACFEMILYINDSDWQFDKLQVIHPHRIGKLIEIETLLNEVSAKNLSKKAVCKIAVVERTDKKAYAKYVTAYTGSGLRETLIFGDLRPPGWGPGAM
+>sp|Q96C45|ULK4_HUMAN Serine/threonine-protein kinase ULK4 OS=Homo sapiens OX=9606 GN=ULK4 PE=1 SV=2
+MENFILYEEIGRGSKTVVYKGRRKGTINFVAILCTDKCKRPEITNWVRLTREIKHKNIVTFHEWYETSNHLWLVVELCTGGSLKTVIAQDENLPEDVVREFGIDLISGLHHLHKLGILFCDISPRKILLEGPGTLKFSNFCLAKVEGENLEEFFALVAAEEGGGDNGENVLKKSMKSRVKGSPVYTAPEVVRGADFSISSDLWSLGCLLYEMFSGKPPFFSESISELTEKILCEDPLPPIPKDSSRPKASSDFINLLDGLLQRDPQKRLTWTRLLQHSFWKKAFAGADQESSVEDLSLSRNTMECSGPQDSKELLQNSQSRQAKGHKSGQPLGHSFRLENPTEFRPKSTLEGQLNESMFLLSSRPTPRTSTAVEVSPGEDMTHCSPQKTSPLTKITSGHLSQQDLESQMRELIYTDSDLVVTPIIDNPKIMKQPPVKFDAKILHLPTYSVDKLLFLKDQDWNDFLQQVCSQIDSTEKSMGASRAKLNLLCYLCVVAGHQEVATRLLHSPLFQLLIQHLRIAPNWDIRAKVAHVIGLLASHTAELQENTPVVEAIVLLTELIRENFRNSKLKQCLLPTLGELIYLVATQEEKKKNPRECWAVPLAAYTVLMRCLREGEERVVNHMAAKIIENVCTTFSAQSQGFITGEIGPILWYLFRHSTADSLRITAVSALCRITRHSPTAFQNVIEKVGLNSVINSLASAICKVQQYMLTLFAAMLSCGIHLQRLIQEKGFVSTIIRLLDSPSTCIRAKAFLVLLYILIYNREMLLLSCQARLVMYIERDSRKTTPGKEQQSGNEYLSKCLDLLICHIVQELPRILGDILNSLANVSGRKHPSTVQVKQLKLCLPLMPVVLHLVTSQVFRPQVVTEEFLFSYGTILSHIKSVDSGETNIDGAIGLTASEEFIKITLSAFEAIIQYPILLKDYRSTVVDYILPPLVSLVQSQNVEWRLFSLRLLSETTSLLVNQEFGDGKEKASVDSDSNLLALIRDVLLPQYEHILLEPDPVPAYALKLLVAMTEHNPTFTRLVEESKLIPLIFEVTLEHQESILGNTMQSVIALLSNLVACKDSNMELLYEQGLVSHICNLLTETATLCLDVDNKNNNEMAAPLLFSLLDILHSMLTYTSGIVRLALQAQKSGSGEDPQAAEDLLLLNRPLTDLISLLIPLLPNEDPEIFDVSSKCLSILVQLYGGENPDSLSPENVEIFAHLLTSKEDPKEQKLLLRILRRMITSNEKHLESLKNAGSLLRALERLAPGSGSFADSAVAPLALEILQAVGH
+>DECOY_sp|Q96C45|ULK4_HUMAN Serine/threonine-protein kinase ULK4 OS=Homo sapiens OX=9606 GN=ULK4 PE=1 SV=2
+HGVAQLIELALPAVASDAFSGSGPALRELARLLSGANKLSELHKENSTIMRRLIRLLLKQEKPDEKSTLLHAFIEVNEPSLSDPNEGGYLQVLISLCKSSVDFIEPDENPLLPILLSILDTLPRNLLLLDEAAQPDEGSGSKQAQLALRVIGSTYTLMSHLIDLLSFLLPAAMENNNKNDVDLCLTATETLLNCIHSVLGQEYLLEMNSDKCAVLNSLLAIVSQMTNGLISEQHELTVEFILPILKSEEVLRTFTPNHETMAVLLKLAYAPVPDPELLIHEYQPLLVDRILALLNSDSDVSAKEKGDGFEQNVLLSTTESLLRLSFLRWEVNQSQVLSVLPPLIYDVVTSRYDKLLIPYQIIAEFASLTIKIFEESATLGIAGDINTEGSDVSKIHSLITGYSFLFEETVVQPRFVQSTVLHLVVPMLPLCLKLQKVQVTSPHKRGSVNALSNLIDGLIRPLEQVIHCILLDLCKSLYENGSQQEKGPTTKRSDREIYMVLRAQCSLLLMERNYILIYLLVLFAKARICTSPSDLLRIITSVFGKEQILRQLHIGCSLMAAFLTLMYQQVKCIASALSNIVSNLGVKEIVNQFATPSHRTIRCLASVATIRLSDATSHRFLYWLIPGIEGTIFGQSQASFTTCVNEIIKAAMHNVVREEGERLCRMLVTYAALPVAWCERPNKKKEEQTAVLYILEGLTPLLCQKLKSNRFNERILETLLVIAEVVPTNEQLEATHSALLGIVHAVKARIDWNPAIRLHQILLQFLPSHLLRTAVEQHGAVVCLYCLLNLKARSAGMSKETSDIQSCVQQLFDNWDQDKLFLLKDVSYTPLHLIKADFKVPPQKMIKPNDIIPTVVLDSDTYILERMQSELDQQSLHGSTIKTLPSTKQPSCHTMDEGPSVEVATSTRPTPRSSLLFMSENLQGELTSKPRFETPNELRFSHGLPQGSKHGKAQRSQSNQLLEKSDQPGSCEMTNRSLSLDEVSSEQDAGAFAKKWFSHQLLRTWTLRKQPDRQLLGDLLNIFDSSAKPRSSDKPIPPLPDECLIKETLESISESFFPPKGSFMEYLLCGLSWLDSSISFDAGRVVEPATYVPSGKVRSKMSKKLVNEGNDGGGEEAAVLAFFEELNEGEVKALCFNSFKLTGPGELLIKRPSIDCFLIGLKHLHHLGSILDIGFERVVDEPLNEDQAIVTKLSGGTCLEVVLWLHNSTEYWEHFTVINKHKIERTLRVWNTIEPRKCKDTCLIAVFNITGKRRGKYVVTKSGRGIEEYLIFNEM
+>sp|P11172|UMPS_HUMAN Uridine 5'-monophosphate synthase OS=Homo sapiens OX=9606 GN=UMPS PE=1 SV=1
+MAVARAALGPLVTGLYDVQAFKFGDFVLKSGLSSPIYIDLRGIVSRPRLLSQVADILFQTAQNAGISFDTVCGVPYTALPLATVICSTNQIPMLIRRKETKDYGTKRLVEGTINPGETCLIIEDVVTSGSSVLETVEVLQKEGLKVTDAIVLLDREQGGKDKLQAHGIRLHSVCTLSKMLEILEQQKKVDAETVGRVKRFIQENVFVAANHNGSPLSIKEAPKELSFGARAELPRIHPVASKLLRLMQKKETNLCLSADVSLARELLQLADALGPSICMLKTHVDILNDFTLDVMKELITLAKCHEFLIFEDRKFADIGNTVKKQYEGGIFKIASWADLVNAHVVPGSGVVKGLQEVGLPLHRGCLLIAEMSSTGSLATGDYTRAAVRMAEEHSEFVVGFISGSRVSMKPEFLHLTPGVQLEAGGDNLGQQYNSPQEVIGKRGSDIIIVGRGIISAADRLEAAEMYRKAAWEAYLSRLGV
+>DECOY_sp|P11172|UMPS_HUMAN Uridine 5'-monophosphate synthase OS=Homo sapiens OX=9606 GN=UMPS PE=1 SV=1
+VGLRSLYAEWAAKRYMEAAELRDAASIIGRGVIIIDSGRKGIVEQPSNYQQGLNDGGAELQVGPTLHLFEPKMSVRSGSIFGVVFESHEEAMRVAARTYDGTALSGTSSMEAILLCGRHLPLGVEQLGKVVGSGPVVHANVLDAWSAIKFIGGEYQKKVTNGIDAFKRDEFILFEHCKALTILEKMVDLTFDNLIDVHTKLMCISPGLADALQLLERALSVDASLCLNTEKKQMLRLLKSAVPHIRPLEARAGFSLEKPAEKISLPSGNHNAAVFVNEQIFRKVRGVTEADVKKQQELIELMKSLTCVSHLRIGHAQLKDKGGQERDLLVIADTVKLGEKQLVEVTELVSSGSTVVDEIILCTEGPNITGEVLRKTGYDKTEKRRILMPIQNTSCIVTALPLATYPVGCVTDFSIGANQATQFLIDAVQSLLRPRSVIGRLDIYIPSSLGSKLVFDGFKFAQVDYLGTVLPGLAARAVAM
+>sp|Q9H9P5|UNKL_HUMAN Putative E3 ubiquitin-protein ligase UNKL OS=Homo sapiens OX=9606 GN=UNKL PE=1 SV=3
+MPSVSKAAAAALSGSPPQTEKPTHYRYLKEFRTEQCPLFSQHKCAQHRPFTCFHWHFLNQRRRRPLRRRDGTFNYSPDVYCSKYNEATGVCPDGDECPYLHRTTGDTERKYHLRYYKTGTCIHETDARGHCVKNGLHCAFAHGPLDLRPPVCDVRELQAQEALQNGQLGGGEGVPDLQPGVLASQAMIEKILSEDPRWQDANFVLGSYKTEQCPKPPRLCRQGYACPHYHNSRDRRRNPRRFQYRSTPCPSVKHGDEWGEPSRCDGGDGCQYCHSRTEQQFHPESTKCNDMRQTGYCPRGPFCAFAHVEKSLGMVNEWGCHDLHLTSPSSTGSGQPGNAKRRDSPAEGGPRGSEQDSKQNHLAVFAAVHPPAPSVSSSVASSLASSAGSGSSSPTALPAPPARALPLGPASSTVEAVLGSALDLHLSNVNIASLEKDLEEQDGHDLGAAGPRSLAGSAPVAIPGSLPRAPSLHSPSSASTSPLGSLSQPLPGPVGSSAMTPPQQPPPLRSEPGTLGSAASSYSPLGLNGVPGSIWDFVSGSFSPSPSPILSAGPPSSSSASPNGAELARVRRQLDEAKRKIRQWEESWQQVKQVCDAWQREAQEAKERARVADSDRQLALQKKEEVEAQVIFQLRAKQCVACRERAHGAVLRPCQHHILCEPCAATAPECPYCKGQPLQW
+>DECOY_sp|Q9H9P5|UNKL_HUMAN Putative E3 ubiquitin-protein ligase UNKL OS=Homo sapiens OX=9606 GN=UNKL PE=1 SV=3
+WQLPQGKCYPCEPATAACPECLIHHQCPRLVAGHARERCAVCQKARLQFIVQAEVEEKKQLALQRDSDAVRAREKAEQAERQWADCVQKVQQWSEEWQRIKRKAEDLQRRVRALEAGNPSASSSSPPGASLIPSPSPSFSGSVFDWISGPVGNLGLPSYSSAASGLTGPESRLPPPQQPPTMASSGVPGPLPQSLSGLPSTSASSPSHLSPARPLSGPIAVPASGALSRPGAAGLDHGDQEELDKELSAINVNSLHLDLASGLVAEVTSSAPGLPLARAPPAPLATPSSSGSGASSALSSAVSSSVSPAPPHVAAFVALHNQKSDQESGRPGGEAPSDRRKANGPQGSGTSSPSTLHLDHCGWENVMGLSKEVHAFACFPGRPCYGTQRMDNCKTSEPHFQQETRSHCYQCGDGGDCRSPEGWEDGHKVSPCPTSRYQFRRPNRRRDRSNHYHPCAYGQRCLRPPKPCQETKYSGLVFNADQWRPDESLIKEIMAQSALVGPQLDPVGEGGGLQGNQLAEQAQLERVDCVPPRLDLPGHAFACHLGNKVCHGRADTEHICTGTKYYRLHYKRETDGTTRHLYPCEDGDPCVGTAENYKSCYVDPSYNFTGDRRRLPRRRRQNLFHWHFCTFPRHQACKHQSFLPCQETRFEKLYRYHTPKETQPPSGSLAAAAAKSVSPM
+>sp|O95045|UPP2_HUMAN Uridine phosphorylase 2 OS=Homo sapiens OX=9606 GN=UPP2 PE=1 SV=1
+MASVIPASNRSMRSDRNTYVGKRFVHVKNPYLDLMDEDILYHLDLGTKTHNLPAMFGDVKFVCVGGSPNRMKAFALFMHKELGFEEAEEDIKDICAGTDRYCMYKTGPVLAISHGMGIPSISIMLHELIKLLHHARCCDVTIIRIGTSGGIGIAPGTVVITDIAVDSFFKPRFEQVILDNIVTRSTELDKELSEELFNCSKEIPNFPTLVGHTMCTYDFYEGQGRLDGALCSFSREKKLDYLKRAFKAGVRNIEMESTVFAAMCGLCGLKAAVVCVTLLDRLDCDQINLPHDVLVEYQQRPQLLISNFIRRRLGLCD
+>DECOY_sp|O95045|UPP2_HUMAN Uridine phosphorylase 2 OS=Homo sapiens OX=9606 GN=UPP2 PE=1 SV=1
+DCLGLRRRIFNSILLQPRQQYEVLVDHPLNIQDCDLRDLLTVCVVAAKLGCLGCMAAFVTSEMEINRVGAKFARKLYDLKKERSFSCLAGDLRGQGEYFDYTCMTHGVLTPFNPIEKSCNFLEESLEKDLETSRTVINDLIVQEFRPKFFSDVAIDTIVVTGPAIGIGGSTGIRIITVDCCRAHHLLKILEHLMISISPIGMGHSIALVPGTKYMCYRDTGACIDKIDEEAEEFGLEKHMFLAFAKMRNPSGGVCVFKVDGFMAPLNHTKTGLDLHYLIDEDMLDLYPNKVHVFRKGVYTNRDSRMSRNSAPIVSAM
+>sp|Q9UKP6|UR2R_HUMAN Urotensin-2 receptor OS=Homo sapiens OX=9606 GN=UTS2R PE=1 SV=1
+MALTPESPSSFPGLAATGSSVPEPPGGPNATLNSSWASPTEPSSLEDLVATGTIGTLLSAMGVVGVVGNAYTLVVTCRSLRAVASMYVYVVNLALADLLYLLSIPFIVATYVTKEWHFGDVGCRVLFGLDFLTMHASIFTLTVMSSERYAAVLRPLDTVQRPKGYRKLLALGTWLLALLLTLPVMLAMRLVRRGPKSLCLPAWGPRAHRAYLTLLFATSIAGPGLLIGLLYARLARAYRRSQRASFKRARRPGARALRLVLGIVLLFWACFLPFWLWQLLAQYHQAPLAPRTARIVNYLTTCLTYGNSCANPFLYTLLTRNYRDHLRGRVRGPGSGGGRGPVPSLQPRARFQRCSGRSLSSCSPQPTDSLVLAPAAPARPAPEGPRAPA
+>DECOY_sp|Q9UKP6|UR2R_HUMAN Urotensin-2 receptor OS=Homo sapiens OX=9606 GN=UTS2R PE=1 SV=1
+APARPGEPAPRAPAAPALVLSDTPQPSCSSLSRGSCRQFRARPQLSPVPGRGGGSGPGRVRGRLHDRYNRTLLTYLFPNACSNGYTLCTTLYNVIRATRPALPAQHYQALLQWLWFPLFCAWFLLVIGLVLRLARAGPRRARKFSARQSRRYARALRAYLLGILLGPGAISTAFLLTLYARHARPGWAPLCLSKPGRRVLRMALMVPLTLLLALLWTGLALLKRYGKPRQVTDLPRLVAAYRESSMVTLTFISAHMTLFDLGFLVRCGVDGFHWEKTVYTAVIFPISLLYLLDALALNVVYVYMSAVARLSRCTVVLTYANGVVGVVGMASLLTGITGTAVLDELSSPETPSAWSSNLTANPGGPPEPVSSGTAALGPFSSPSEPTLAM
+>sp|Q14146|URB2_HUMAN Unhealthy ribosome biogenesis protein 2 homolog OS=Homo sapiens OX=9606 GN=URB2 PE=2 SV=2
+MAAVYSGISLKLKSKTTSWEDKLKLAHFAWISHQCFLPNKEQVLLDWARQSLVAFYKKKLELKEDIVERLWIYIDNILHSRKLQNLLKNGKTINLQISLVKIINERVAEFSLSGSQRNICAVLRCCQGILSTPALAVIYTAKQELMVALLSQLCWSACRQPEGAVVAQLFEVIHLALGHYLLILQQQVNPRRAFGDVTAHLLQPCLVLRHLLSGGTWTQAGQGQLRQVLSRDIRSQIEAMFRGGIFQPELLSSYKEGLLDQQQGDVKTGAMKNLLAPMDTVLNRLVDAGYCAASLHTSVVANSVALLYKLFLDSYFKEGNQLLCFQVLPRLFGCLKISHLQEEQSKALSTSDWTTELLVVEQLLNSVANNNIYNIAADRIRHEEAQFRFYRHVAELLINHAQAPIPAWFRCLKTLISLNHLILEPDLDDLLASAWIDAEVTEFRTKKAQEALIRTVFQTYAKLRQVPRLFEEVLGVICRPAAEALRQPVLASGPSTVLSACLLELPPSQILDTWSLVLEKFQSLVLPYLQSDADMALKSLSLSLLLHCIMFNMRSLDSSTPLPIVRRTQCMMERMMRELVQPLLALLPDTPGPEPELWLQKVSDSVLLLSYTWAQVDAMFSLNCSQYHSMSGPLIGVALEISNLPSLLPGVKTQHWKKIEKFTAQFSSLGTYCLEQLYLQKMKRTLMQTSFRSEGAIQSLRCDAAFIIGSGRKSLNQRTTASWDGQVGMVSGLTYPVAHWHLIVSNLTILISYLCPDDVGYLASVLLRTLPMGKAQEVSIDEEAYITLEKISKAFLHSPLFPEMQSLHSAFLTCVTTSCSSILCSGAQRDSGLVSQQLPWLFEKDHMVVGHWENRFAKAGPEGIEPRGEIAQNLLSLVKSDFPIQLEGEQLESILGLLEVISALQLDSLLPPYHVHYFLVLLSMAVTKLGCSCSSSLALKFLTTCYQLLGYLQKGKSARSVFKIMYGSDIFEVVLTSLFRASSRFLIEMDDPAWLEFLQVIGTFLEELMQMLIQMKLSLVLNFRKITAFLSSSKPYTEAASSKQLENQNPQGRQLLLVSLTRLCHVLGPFLKEQKLGQEAPAALSELLQQVVLQTGAVLQLCSVPGARGWRLPSVLISSVSTLLEADLGQHCRDGGADISQGSDRTLLSHVALYQGVYSQILLELPALAGHDQSFQAALQFLTLFFLAPELHPKKDSVFTSMFHSVRRVLADPEIPVQVTQDIEPHLGALFTQMLEVGTTEDLRLVMQCILQGLDVSNMWKADVQAVVSAVTLLRLLLNCPLSGEKASLLWRACPQIVTALTLLNREASQEQPVSLTVVGPVLDVLAALLRQGEEAIGNPHHVSLAFSILLTVPLDHLKPLEYGSVFPRLHNVLFSILQCHPKVMLKAIPSFLNSFNRLVFSVMREGRQKDKGSIDDLPTVLKCARLVERMYSHIAARAEEFAVFSPFMVAQYVLEVQKVTLYPAVKSLLQEGIYLILDLCIEPDVQFLRASLQPGMRDIFKELYNDYLKYHKAKHEGEKRYTA
+>DECOY_sp|Q14146|URB2_HUMAN Unhealthy ribosome biogenesis protein 2 homolog OS=Homo sapiens OX=9606 GN=URB2 PE=2 SV=2
+ATYRKEGEHKAKHYKLYDNYLEKFIDRMGPQLSARLFQVDPEICLDLILYIGEQLLSKVAPYLTVKQVELVYQAVMFPSFVAFEEARAAIHSYMREVLRACKLVTPLDDISGKDKQRGERMVSFVLRNFSNLFSPIAKLMVKPHCQLISFLVNHLRPFVSGYELPKLHDLPVTLLISFALSVHHPNGIAEEGQRLLAALVDLVPGVVTLSVPQEQSAERNLLTLATVIQPCARWLLSAKEGSLPCNLLLRLLTVASVVAQVDAKWMNSVDLGQLICQMVLRLDETTGVELMQTFLAGLHPEIDQTVQVPIEPDALVRRVSHFMSTFVSDKKPHLEPALFFLTLFQLAAQFSQDHGALAPLELLIQSYVGQYLAVHSLLTRDSGQSIDAGGDRCHQGLDAELLTSVSSILVSPLRWGRAGPVSCLQLVAGTQLVVQQLLESLAAPAEQGLKQEKLFPGLVHCLRTLSVLLLQRGQPNQNELQKSSAAETYPKSSSLFATIKRFNLVLSLKMQILMQMLEELFTGIVQLFELWAPDDMEILFRSSARFLSTLVVEFIDSGYMIKFVSRASKGKQLYGLLQYCTTLFKLALSSSCSCGLKTVAMSLLVLFYHVHYPPLLSDLQLASIVELLGLISELQEGELQIPFDSKVLSLLNQAIEGRPEIGEPGAKAFRNEWHGVVMHDKEFLWPLQQSVLGSDRQAGSCLISSCSTTVCTLFASHLSQMEPFLPSHLFAKSIKELTIYAEEDISVEQAKGMPLTRLLVSALYGVDDPCLYSILITLNSVILHWHAVPYTLGSVMGVQGDWSATTRQNLSKRGSGIIFAADCRLSQIAGESRFSTQMLTRKMKQLYLQELCYTGLSSFQATFKEIKKWHQTKVGPLLSPLNSIELAVGILPGSMSHYQSCNLSFMADVQAWTYSLLLVSDSVKQLWLEPEPGPTDPLLALLPQVLERMMREMMCQTRRVIPLPTSSDLSRMNFMICHLLLSLSLSKLAMDADSQLYPLVLSQFKELVLSWTDLIQSPPLELLCASLVTSPGSALVPQRLAEAAPRCIVGLVEEFLRPVQRLKAYTQFVTRILAEQAKKTRFETVEADIWASALLDDLDPELILHNLSILTKLCRFWAPIPAQAHNILLEAVHRYFRFQAEEHRIRDAAINYINNNAVSNLLQEVVLLETTWDSTSLAKSQEEQLHSIKLCGFLRPLVQFCLLQNGEKFYSDLFLKYLLAVSNAVVSTHLSAACYGADVLRNLVTDMPALLNKMAGTKVDGQQQDLLGEKYSSLLEPQFIGGRFMAEIQSRIDRSLVQRLQGQGAQTWTGGSLLHRLVLCPQLLHATVDGFARRPNVQQQLILLYHGLALHIVEFLQAVVAGEPQRCASWCLQSLLAVMLEQKATYIVALAPTSLIGQCCRLVACINRQSGSLSFEAVRENIIKVLSIQLNITKGNKLLNQLKRSHLINDIYIWLREVIDEKLELKKKYFAVLSQRAWDLLVQEKNPLFCQHSIWAFHALKLKDEWSTTKSKLKLSIGSYVAAM
+>sp|Q5TAP6|UT14C_HUMAN U3 small nucleolar RNA-associated protein 14 homolog C OS=Homo sapiens OX=9606 GN=UTP14C PE=1 SV=1
+MNVNQVAENLALSHQEELVDLPKNYPLSENEDEGDSDGERKHQKLLEAIISLDGKNRRKLAERSEASLKVSEFSVSSEGSGEKLGLADLLEPVKTSSSLATVKKQLNRVKSKKVVELPLNKEKIEQIHREVAFSKTSQVLSKWDPIILKNQQAEQLVFPLGKEQPAIAPIEHALSGWKARTPLEQEIFNLLHKNKQPVTDPLLTPMEKASLQAMSLEEAKMHRAELQRARALQSYYEAKARKEKKIKSKKYHKVVKKGKAKKALKEFEQLQKVNPTVALEEMEKIENARMMERMSLKHQNSGKWAKSKAIMAKYDLEARQAMQEQLAKNKELTQKLQVASESEEEEGGTEVEELLVPHVANEVQMNVDGPNPWMFRSCTSDTKEAATQEDPEQVPELAAHEVSASEAEERPVAEEEILLREFEERQSLRKRSELNQDAEPASSQETKDSSSQEVLSELRALSQKLKEKHQSRKQKASSEGTVPQVQREEPAPEEAEPLLLQRSERVQTLEELEELGKEDCFQNKELPRPVLEGQQSERTPNNRPDAPKEKKEKEQLINLQNFLTTQSPSVRSLAVPTIIEELEDEEERDQRQMIKEAFAGDDVIRDFLKEKREAVEASKPKDVDLTLPGWGEWGGVGLKPSAKKRRQFLIKAPEGPPRKDKNLPNVIISEKRNIHAAAHQVQVLPYPFTHHRQFERTIQTPIGSTWNTQRAFQKLTTPKVVTKPGHIIKPIKAEDVGYQSSSRSDLPVIQRNPKRITTRHNKEEKL
+>DECOY_sp|Q5TAP6|UT14C_HUMAN U3 small nucleolar RNA-associated protein 14 homolog C OS=Homo sapiens OX=9606 GN=UTP14C PE=1 SV=1
+LKEEKNHRTTIRKPNRQIVPLDSRSSSQYGVDEAKIPKIIHGPKTVVKPTTLKQFARQTNWTSGIPTQITREFQRHHTFPYPLVQVQHAAAHINRKESIIVNPLNKDKRPPGEPAKILFQRRKKASPKLGVGGWEGWGPLTLDVDKPKSAEVAERKEKLFDRIVDDGAFAEKIMQRQDREEEDELEEIITPVALSRVSPSQTTLFNQLNILQEKEKKEKPADPRNNPTRESQQGELVPRPLEKNQFCDEKGLEELEELTQVRESRQLLLPEAEEPAPEERQVQPVTGESSAKQKRSQHKEKLKQSLARLESLVEQSSSDKTEQSSAPEADQNLESRKRLSQREEFERLLIEEEAVPREEAESASVEHAALEPVQEPDEQTAAEKTDSTCSRFMWPNPGDVNMQVENAVHPVLLEEVETGGEEEESESAVQLKQTLEKNKALQEQMAQRAELDYKAMIAKSKAWKGSNQHKLSMREMMRANEIKEMEELAVTPNVKQLQEFEKLAKKAKGKKVVKHYKKSKIKKEKRAKAEYYSQLARARQLEARHMKAEELSMAQLSAKEMPTLLPDTVPQKNKHLLNFIEQELPTRAKWGSLAHEIPAIAPQEKGLPFVLQEAQQNKLIIPDWKSLVQSTKSFAVERHIQEIKEKNLPLEVVKKSKVRNLQKKVTALSSSTKVPELLDALGLKEGSGESSVSFESVKLSAESREALKRRNKGDLSIIAELLKQHKREGDSDGEDENESLPYNKPLDVLEEQHSLALNEAVQNVNM
+>sp|Q13336|UT1_HUMAN Urea transporter 1 OS=Homo sapiens OX=9606 GN=SLC14A1 PE=1 SV=2
+MEDSPTMVRVDSPTMVRGENQVSPCQGRRCFPKALGYVTGDMKELANQLKDKPVVLQFIDWILRGISQVVFVNNPVSGILILVGLLVQNPWWALTGWLGTVVSTLMALLLSQDRSLIASGLYGYNATLVGVLMAVFSDKGDYFWWLLLPVCAMSMTCPIFSSALNSMLSKWDLPVFTLPFNMALSMYLSATGHYNPFFPAKLVIPITTAPNISWSDLSALELLKSIPVGVGQIYGCDNPWTGGIFLGAILLSSPLMCLHAAIGSLLGIAAGLSLSAPFEDIYFGLWGFNSSLACIAMGGMFMALTWQTHLLALGCALFTAYLGVGMANFMAEVGLPACTWPFCLATLLFLIMTTKNSNIYKMPLSKVTYPEENRIFYLQAKKRMVESPL
+>DECOY_sp|Q13336|UT1_HUMAN Urea transporter 1 OS=Homo sapiens OX=9606 GN=SLC14A1 PE=1 SV=2
+LPSEVMRKKAQLYFIRNEEPYTVKSLPMKYINSNKTTMILFLLTALCFPWTCAPLGVEAMFNAMGVGLYATFLACGLALLHTQWTLAMFMGGMAICALSSNFGWLGFYIDEFPASLSLGAAIGLLSGIAAHLCMLPSSLLIAGLFIGGTWPNDCGYIQGVGVPISKLLELASLDSWSINPATTIPIVLKAPFFPNYHGTASLYMSLAMNFPLTFVPLDWKSLMSNLASSFIPCTMSMACVPLLLWWFYDGKDSFVAMLVGVLTANYGYLGSAILSRDQSLLLAMLTSVVTGLWGTLAWWPNQVLLGVLILIGSVPNNVFVVQSIGRLIWDIFQLVVPKDKLQNALEKMDGTVYGLAKPFCRRGQCPSVQNEGRVMTPSDVRVMTPSDEM
+>sp|Q15849|UT2_HUMAN Urea transporter 2 OS=Homo sapiens OX=9606 GN=SLC14A2 PE=1 SV=3
+MSDPHSSPLLPEPLSSRYKLYEAEFTSPSWPSTSPDTHPALPLLEMPEEKDLRSSNEDSHIVKIEKLNERSKRKDDGVAHRDSAGQRCICLSKAVGYLTGDMKEYRIWLKDKHLALQFIDWVLRGTAQVMFINNPLSGLIIFIGLLIQNPWWTITGGLGTVVSTLTALALGQDRSAIASGLHGYNGMLVGLLMAVFSEKLDYYWWLLFPVTFTAMSCPVLSSALNSIFSKWDLPVFTLPFNIAVTLYLAATGHYNLFFPTTLVEPVSSVPNITWTEMEMPLLLQAIPVGVGQVYGCDNPWTGGVFLVALFISSPLICLHAAIGSIVGLLAALSVATPFETIYTGLWSYNCVLSCIAIGGMFYALTWQTHLLALICALFCAYMEAAISNIMSVVGVPPGTWAFCLATIIFLLLTTNNPAIFRLPLSKVTYPEANRIYYLTVKSGEEEKAPSGGGGEHPPTAGPKVEEGSEAVLSKHRSVFHIEWSSIRRRSKVFGKGEHQERQNKDPFPYRYRKPTVELLDLDTMEESSEIKVETNISKTSWIRSSMAASGKRVSKALSYITGEMKECGEGLKDKSPVFQFFDWVLRGTSQVMFVNNPLSGILIILGLFIQNPWWAISGCLGTIMSTLTALILSQDKSAIAAGFHGYNGVLVGLLMAVFSDKGDYYWWLLLPVIIMSMSCPILSSALGTIFSKWDLPVFTLPFNITVTLYLAATGHYNLFFPTTLLQPASAMPNITWSEVQVPLLLRAIPVGIGQVYGCDNPWTGGIFLIALFISSPLICLHAAIGSTMGMLAALTIATPFDSIYFGLCGFNSTLACIAIGGMFYVITWQTHLLAIACALFAAYLGAALANMLSVFGLPPCTWPFCLSALTFLLLTTNNPAIYKLPLSKVTYPEANRIYYLSQERNRRASIITKYQAYDVS
+>DECOY_sp|Q15849|UT2_HUMAN Urea transporter 2 OS=Homo sapiens OX=9606 GN=SLC14A2 PE=1 SV=3
+SVDYAQYKTIISARRNREQSLYYIRNAEPYTVKSLPLKYIAPNNTTLLLFTLASLCFPWTCPPLGFVSLMNALAAGLYAAFLACAIALLHTQWTIVYFMGGIAICALTSNFGCLGFYISDFPTAITLAALMGMTSGIAAHLCILPSSIFLAILFIGGTWPNDCGYVQGIGVPIARLLLPVQVESWTINPMASAPQLLTTPFFLNYHGTAALYLTVTINFPLTFVPLDWKSFITGLASSLIPCSMSMIIVPLLLWWYYDGKDSFVAMLLGVLVGNYGHFGAAIASKDQSLILATLTSMITGLCGSIAWWPNQIFLGLIILIGSLPNNVFMVQSTGRLVWDFFQFVPSKDKLGEGCEKMEGTIYSLAKSVRKGSAAMSSRIWSTKSINTEVKIESSEEMTDLDLLEVTPKRYRYPFPDKNQREQHEGKGFVKSRRRISSWEIHFVSRHKSLVAESGEEVKPGATPPHEGGGGSPAKEEEGSKVTLYYIRNAEPYTVKSLPLRFIAPNNTTLLLFIITALCFAWTGPPVGVVSMINSIAAEMYACFLACILALLHTQWTLAYFMGGIAICSLVCNYSWLGTYITEFPTAVSLAALLGVISGIAAHLCILPSSIFLAVLFVGGTWPNDCGYVQGVGVPIAQLLLPMEMETWTINPVSSVPEVLTTPFFLNYHGTAALYLTVAINFPLTFVPLDWKSFISNLASSLVPCSMATFTVPFLLWWYYDLKESFVAMLLGVLMGNYGHLGSAIASRDQGLALATLTSVVTGLGGTITWWPNQILLGIFIILGSLPNNIFMVQATGRLVWDIFQLALHKDKLWIRYEKMDGTLYGVAKSLCICRQGASDRHAVGDDKRKSRENLKEIKVIHSDENSSRLDKEEPMELLPLAPHTDPSTSPWSPSTFEAEYLKYRSSLPEPLLPSSHPDSM
+>sp|Q8TED0|UTP15_HUMAN U3 small nucleolar RNA-associated protein 15 homolog OS=Homo sapiens OX=9606 GN=UTP15 PE=1 SV=3
+MAGYKPVAIQTYPILGEKITQDTLYWNNYKTPVQIKEFGAVSKVDFSPQPPYNYAVTASSRIHIYGRYSQEPIKTFSRFKDTAYCATFRQDGRLLVAGSEDGGVQLFDISGRAPLRQFEGHTKAVHTVDFTADKYHVVSGADDYTVKLWDIPNSKEILTFKEHSDYVRCGCASKLNPDLFITGSYDHTVKMFDARTSESVLSVEHGQPVESVLLFPSGGLLVSAGGRYVKVWDMLKGGQLLVSLKNHHKTVTCLCLSSSGQRLLSGSLDRKVKVYSTTSYKVVHSFDYAASILSLALAHEDETIVVGMTNGILSVKHRKSEAKKESLPRRRRPAYRTFIKGKNYMKQRDDILINRPAKKHLELYDRDLKHFRISKALDRVLDPTCTIKTPEITVSIIKELNRRGVLANALAGRDEKEISHVLNFLIRNLSQPRFAPVLINAAEIIIDIYLPVIGQSPVVDKKFLLLQGLVEKEIDYQRELLETLGMMDMLFATMRRKEGTSVLEHTSDGFPENKKIES
+>DECOY_sp|Q8TED0|UTP15_HUMAN U3 small nucleolar RNA-associated protein 15 homolog OS=Homo sapiens OX=9606 GN=UTP15 PE=1 SV=3
+SEIKKNEPFGDSTHELVSTGEKRRMTAFLMDMMGLTELLERQYDIEKEVLGQLLLFKKDVVPSQGIVPLYIDIIIEAANILVPAFRPQSLNRILFNLVHSIEKEDRGALANALVGRRNLEKIISVTIEPTKITCTPDLVRDLAKSIRFHKLDRDYLELHKKAPRNILIDDRQKMYNKGKIFTRYAPRRRRPLSEKKAESKRHKVSLIGNTMGVVITEDEHALALSLISAAYDFSHVVKYSTTSYVKVKRDLSGSLLRQGSSSLCLCTVTKHHNKLSVLLQGGKLMDWVKVYRGGASVLLGGSPFLLVSEVPQGHEVSLVSESTRADFMKVTHDYSGTIFLDPNLKSACGCRVYDSHEKFTLIEKSNPIDWLKVTYDDAGSVVHYKDATFDVTHVAKTHGEFQRLPARGSIDFLQVGGDESGAVLLRGDQRFTACYATDKFRSFTKIPEQSYRGYIHIRSSATVAYNYPPQPSFDVKSVAGFEKIQVPTKYNNWYLTDQTIKEGLIPYTQIAVPKYGAM
+>sp|Q9Y5J1|UTP18_HUMAN U3 small nucleolar RNA-associated protein 18 homolog OS=Homo sapiens OX=9606 GN=UTP18 PE=1 SV=3
+MPPERRRRMKLDRRTGAKPKRKPGMRPDWKAGAGPGGPPQKPAPSSQRKPPARPSAAAAAIAVAAAEEERRLRQRNRLRLEEDKPAVERCLEELVFGDVENDEDALLRRLRGPRVQEHEDSGDSEVENEAKGNFPPQKKPVWVDEEDEDEEMVDMMNNRFRKDMMKNASESKLSKDNLKKRLKEEFQHAMGGVPAWAETTKRKTSSDDESEEDEDDLLQRTGNFISTSTSLPRGILKMKNCQHANAERPTVARISSVQFHPGAQIVMVAGLDNAVSLFQVDGKTNPKIQSIYLERFPIFKACFSANGEEVLATSTHSKVLYVYDMLAGKLIPVHQVRGLKEKIVRSFEVSPDGSFLLINGIAGYLHLLAMKTKELIGSMKINGRVAASTFSSDSKKVYASSGDGEVYVWDVNSRKCLNRFVDEGSLYGLSIATSRNGQYVACGSNCGVVNIYNQDSCLQETNPKPIKAIMNLVTGVTSLTFNPTTEILAIASEKMKEAVRLVHLPSCTVFSNFPVIKNKNISHVHTMDFSPRSGYFALGNEKGKALMYRLHHYSDF
+>DECOY_sp|Q9Y5J1|UTP18_HUMAN U3 small nucleolar RNA-associated protein 18 homolog OS=Homo sapiens OX=9606 GN=UTP18 PE=1 SV=3
+FDSYHHLRYMLAKGKENGLAFYGSRPSFDMTHVHSINKNKIVPFNSFVTCSPLHVLRVAEKMKESAIALIETTPNFTLSTVGTVLNMIAKIPKPNTEQLCSDQNYINVVGCNSGCAVYQGNRSTAISLGYLSGEDVFRNLCKRSNVDWVYVEGDGSSAYVKKSDSSFTSAAVRGNIKMSGILEKTKMALLHLYGAIGNILLFSGDPSVEFSRVIKEKLGRVQHVPILKGALMDYVYLVKSHTSTALVEEGNASFCAKFIPFRELYISQIKPNTKGDVQFLSVANDLGAVMVIQAGPHFQVSSIRAVTPREANAHQCNKMKLIGRPLSTSTSIFNGTRQLLDDEDEESEDDSSTKRKTTEAWAPVGGMAHQFEEKLRKKLNDKSLKSESANKMMDKRFRNNMMDVMEEDEDEEDVWVPKKQPPFNGKAENEVESDGSDEHEQVRPGRLRRLLADEDNEVDGFVLEELCREVAPKDEELRLRNRQRLRREEEAAAVAIAAAAASPRAPPKRQSSPAPKQPPGGPGAGAKWDPRMGPKRKPKAGTRRDLKMRRRREPPM
+>sp|O75691|UTP20_HUMAN Small subunit processome component 20 homolog OS=Homo sapiens OX=9606 GN=UTP20 PE=1 SV=3
+MKTKPVSHKTENTYRFLTFAERLGNVNIDIIHRIDRTASYEEEVETYFFEGLLKWRELNLTEHFGKFYKEVIDKCQSFNQLVYHQNEIVQSLKTHLQVKNSFAYQPLLDLVVQLARDLQMDFYPHFPEFFLTITSILETQDTELLEWAFTSLSYLYKYLWRLMVKDMSSIYSMYSTLLAHKKLHIRNFAAESFTFLMRKVSDKNALFNLMFLDLDKHPEKVEGVGQLLFEMCKGVRNMFHSCTGQAVKLILRKLGPVTETETQLPWMLIGETLKNMVKSTVSYISKEHFGTFFECLQESLLDLHTKVTKTNCCESSEQIKRLLETYLILVKHGSGTKIPTPADVCKVLSQTLQVASLSTSCWETLLDVISALILGENVSLPETLIKETIEKIFESRFEKRLIFSFSEVMFAMKQFEQLFLPSFLSYIVNCFLIDDAVVKDEALAILAKLILNKAAPPTAGSMAIEKYPLVFSPQMVGFYIKQKKTRSKGRNEQFPVLDHLLSIIKLPPNKDTTYLSQSWAALVVLPHIRPLEKEKVIPLVTGFIEALFMTVDKGSFGKGNLFVLCQAVNTLLSLEESSELLHLVPVERVKNLVLTFPLEPSVLLLTDLYYQRLALCGCKGPLSQEALMELFPKLQANISTGVSKIRLLTIRILNHFDVQLPESMEDDGLSERQSVFAILRQAELVPATVNDYREKLLHLRKLRHDVVQTAVPDGPLQEVPLRYLLGMLYINFSALWDPVIELISSHAHEMENKQFWKVYYEHLEKAATHAEKELQNDMTDEKSVGDESWEQTQEGDVGALYHEQLALKTDCQERLDHTNFRFLLWRALTKFPERVEPRSRELSPLFLRFINNEYYPADLQVAPTQDLRRKGKGMVAEEIEEEPAAGDDEELEEEAVPQDESSQKKKTRRAAAKQLIAHLQVFSKFSNPRALYLESKLYELYLQLLLHQDQMVQKITLDCIMTYKHPHVLPYRENLQRLLEDRSFKEEIVHFSISEDNAVVKTAHRADLFPILMRILYGRMKNKTGSKTQGKSASGTRMAIVLRFLAGTQPEEIQIFLDLLFEPVRHFKNGECHSAVIQAVEDLDLSKVLPLGRQHGILNSLEIVLKNISHLISAYLPKILQILLCMTATVSHILDQREKIQLRFINPLKNLRRLGIKMVTDIFLDWESYQFRTEEIDAVFHGAVWPQISRLGSESQYSPTPLLKLISIWSRNARYFPLLAKQKPGHPECDILTNVFAILSAKNLSDATASIVMDIVDDLLNLPDFEPTETVLNLLVTGCVYPGIAENIGESITIGGRLILPHVPAILQYLSKTTISAEKVKKKKNRAQVSKELGILSKISKFMKDKEQSSVLITLLLPFLHRGNIAEDTEVDILVTVQNLLKHCVDPTSFLKPIAKLFSVIKNKLSRKLLCTVFETLSDFESGLKYITDVVKLNAFDQRHLDDINFDVRFETFQTITSYIKEMQIVDVNYLIPVMHNCFYNLELGDMSLSDNASMCLMSIIKKLAALNVTEKDYREIIHRSLLEKLRKGLKSQTESIQQDYTTILSCLIQTFPNQLEFKDLVQLTHYHDPEMDFFENMKHIQIHRRARALKKLAKQLMEGKVVLSSKSLQNYIMPYAMTPIFDEKMLKHENITTAATEIIGAICKHLSWSAYMYYLKHFIHVLQTGQINQKLGVSLLVIVLEAFHFDHKTLEEQMGKIENEENAIEAIELPEPEAMELERVDEEEKEYTCKSLSDNGQPGTPDPADSGGTSAKESECITKPVSFLPQNKEEIERTIKNIQGTITGDILPRLHKCLASTTKREEEHKLVKSKVVNDEEVVRVPLAFAMVKLMQSLPQEVMEANLPSILLKVCALLKNRAQEIRDIARSTLAKIIEDLGVHFLLYVLKELQTTLVRGYQVHVLTFTVHMLLQGLTNKLQVGDLDSCLDIMIEIFNHELFGAVAEEKEVKQILSKVMEARRSKSYDSYEILGKFVGKDQVTKLILPLKEILQNTTSLKLARKVHETLRRITVGLIVNQEMTAESILLLSYGLISENLPLLTEKEKNPVAPAPDPRLPPQSCLLLPPTPVRGGQKAVVSRKTNMHIFIESGLRLLHLSLKTSKIKSSGECVLEMLDPFVSLLIDCLGSMDVKVITGALQCLIWVLRFPLPSIETKAEQLTKHLFLLLKDYAKLGAARGQNFHLVVNCFKCVTILVKKVKSYQITEKQLQVLLAYAEEDIYDTSRQATAFGLLKAILSRKLLVPEIDEVMRKVSKLAVSAQSEPARVQCRQVFLKYILDYPLGDKLRPNLEFMLAQLNYEHETGRESTLEMIAYLFDTFPQGLLHENCGMFFIPLCLMTINDDSATCKKMASMTIKSLLGKISLEKKDWLFDMVTTWFGAKKRLNRQLAALICGLFVESEGVDFEKRLGTVLPVIEKEIDPENFKDIMEETEEKAADRLLFSFLTLITKLIKECNIIQFTKPAETLSKIWSHVHSHLRHPHNWVWLTAAQIFGLLFASCQPEELIQKWNTKKTKKHLPEPVAIKFLASDLDQKMKSISLASCHQLHSKFLDQSLGEQVVKNLLFAAKVLYLLELYCEDKQSKIKEDLEEQEALEDGVACADEKAESDGEEKEEVKEELGRPATLLWLIQKLSRIAKLEAAYSPRNPLKRTCIFKFLGAVAMDLGIDKVKPYLPMIIAPLFRELNSTYSEQDPLLKNLSQEIIELLKKLVGLESFSLAFASVQKQANEKRALRKKRKALEFVTNPDIAAKKKMKKHKNKSEAKKRKIEFLRPGYKAKRQKSHSLKDLAMVE
+>DECOY_sp|O75691|UTP20_HUMAN Small subunit processome component 20 homolog OS=Homo sapiens OX=9606 GN=UTP20 PE=1 SV=3
+EVMALDKLSHSKQRKAKYGPRLFEIKRKKAESKNKHKKMKKKAAIDPNTVFELAKRKKRLARKENAQKQVSAFALSFSELGVLKKLLEIIEQSLNKLLPDQESYTSNLERFLPAIIMPLYPKVKDIGLDMAVAGLFKFICTRKLPNRPSYAAELKAIRSLKQILWLLTAPRGLEEKVEEKEEGDSEAKEDACAVGDELAEQEELDEKIKSQKDECYLELLYLVKAAFLLNKVVQEGLSQDLFKSHLQHCSALSISKMKQDLDSALFKIAVPEPLHKKTKKTNWKQILEEPQCSAFLLGFIQAATLWVWNHPHRLHSHVHSWIKSLTEAPKTFQIINCEKILKTILTLFSFLLRDAAKEETEEMIDKFNEPDIEKEIVPLVTGLRKEFDVGESEVFLGCILAALQRNLRKKAGFWTTVMDFLWDKKELSIKGLLSKITMSAMKKCTASDDNITMLCLPIFFMGCNEHLLGQPFTDFLYAIMELTSERGTEHEYNLQALMFELNPRLKDGLPYDLIYKLFVQRCQVRAPESQASVALKSVKRMVEDIEPVLLKRSLIAKLLGFATAQRSTDYIDEEAYALLVQLQKETIQYSKVKKVLITVCKFCNVVLHFNQGRAAGLKAYDKLLLFLHKTLQEAKTEISPLPFRLVWILCQLAGTIVKVDMSGLCDILLSVFPDLMELVCEGSSKIKSTKLSLHLLRLGSEIFIHMNTKRSVVAKQGGRVPTPPLLLCSQPPLRPDPAPAVPNKEKETLLPLNESILGYSLLLISEATMEQNVILGVTIRRLTEHVKRALKLSTTNQLIEKLPLILKTVQDKGVFKGLIEYSDYSKSRRAEMVKSLIQKVEKEEAVAGFLEHNFIEIMIDLCSDLDGVQLKNTLGQLLMHVTFTLVHVQYGRVLTTQLEKLVYLLFHVGLDEIIKALTSRAIDRIEQARNKLLACVKLLISPLNAEMVEQPLSQMLKVMAFALPVRVVEEDNVVKSKVLKHEEERKTTSALCKHLRPLIDGTITGQINKITREIEEKNQPLFSVPKTICESEKASTGGSDAPDPTGPQGNDSLSKCTYEKEEEDVRELEMAEPEPLEIAEIANEENEIKGMQEELTKHDFHFAELVIVLLSVGLKQNIQGTQLVHIFHKLYYMYASWSLHKCIAGIIETAATTINEHKLMKEDFIPTMAYPMIYNQLSKSSLVVKGEMLQKALKKLARARRHIQIHKMNEFFDMEPDHYHTLQVLDKFELQNPFTQILCSLITTYDQQISETQSKLGKRLKELLSRHIIERYDKETVNLAALKKIISMLCMSANDSLSMDGLELNYFCNHMVPILYNVDVIQMEKIYSTITQFTEFRVDFNIDDLHRQDFANLKVVDTIYKLGSEFDSLTEFVTCLLKRSLKNKIVSFLKAIPKLFSTPDVCHKLLNQVTVLIDVETDEAINGRHLFPLLLTILVSSQEKDKMFKSIKSLIGLEKSVQARNKKKKVKEASITTKSLYQLIAPVHPLILRGGITISEGINEAIGPYVCGTVLLNLVTETPEFDPLNLLDDVIDMVISATADSLNKASLIAFVNTLIDCEPHGPKQKALLPFYRANRSWISILKLLPTPSYQSESGLRSIQPWVAGHFVADIEETRFQYSEWDLFIDTVMKIGLRRLNKLPNIFRLQIKERQDLIHSVTATMCLLIQLIKPLYASILHSINKLVIELSNLIGHQRGLPLVKSLDLDEVAQIVASHCEGNKFHRVPEFLLDLFIQIEEPQTGALFRLVIAMRTGSASKGQTKSGTKNKMRGYLIRMLIPFLDARHATKVVANDESISFHVIEEKFSRDELLRQLNERYPLVHPHKYTMICDLTIKQVMQDQHLLLQLYLEYLKSELYLARPNSFKSFVQLHAILQKAAARRTKKKQSSEDQPVAEEELEEDDGAAPEEEIEEAVMGKGKRRLDQTPAVQLDAPYYENNIFRLFLPSLERSRPEVREPFKTLARWLLFRFNTHDLREQCDTKLALQEHYLAGVDGEQTQEWSEDGVSKEDTMDNQLEKEAHTAAKELHEYYVKWFQKNEMEHAHSSILEIVPDWLASFNIYLMGLLYRLPVEQLPGDPVATQVVDHRLKRLHLLKERYDNVTAPVLEAQRLIAFVSQRESLGDDEMSEPLQVDFHNLIRITLLRIKSVGTSINAQLKPFLEMLAEQSLPGKCGCLALRQYYLDTLLLVSPELPFTLVLNKVREVPVLHLLESSEELSLLTNVAQCLVFLNGKGFSGKDVTMFLAEIFGTVLPIVKEKELPRIHPLVVLAAWSQSLYTTDKNPPLKIISLLHDLVPFQENRGKSRTKKQKIYFGVMQPSFVLPYKEIAMSGATPPAAKNLILKALIALAEDKVVADDILFCNVIYSLFSPLFLQEFQKMAFMVESFSFILRKEFRSEFIKEITEKILTEPLSVNEGLILASIVDLLTEWCSTSLSAVQLTQSLVKCVDAPTPIKTGSGHKVLILYTELLRKIQESSECCNTKTVKTHLDLLSEQLCEFFTGFHEKSIYSVTSKVMNKLTEGILMWPLQTETETVPGLKRLILKVAQGTCSHFMNRVGKCMEFLLQGVGEVKEPHKDLDLFMLNFLANKDSVKRMLFTFSEAAFNRIHLKKHALLTSYMSYISSMDKVMLRWLYKYLYSLSTFAWELLETDQTELISTITLFFEPFHPYFDMQLDRALQVVLDLLPQYAFSNKVQLHTKLSQVIENQHYVLQNFSQCKDIVEKYFKGFHETLNLERWKLLGEFFYTEVEEEYSATRDIRHIIDINVNGLREAFTLFRYTNETKHSVPKTKM
+>sp|Q9NYH9|UTP6_HUMAN U3 small nucleolar RNA-associated protein 6 homolog OS=Homo sapiens OX=9606 GN=UTP6 PE=2 SV=2
+MAEIIQERIEDRLPELEQLERIGLFSHAEIKAIIKKASDLEYKIQRRTLFKEDFINYVQYEINLLELIQRRRTRIGYSFKKDEIENSIVHRVQGVFQRASAKWKDDVQLWLSYVAFCKKWATKTRLSKVFSAMLAIHSNKPALWIMAAKWEMEDRLSSESARQLFLRALRFHPECPKLYKEYFRMELMHAEKLRKEKEEFEKASMDVENPDYSEEILKGELAWIIYKNSVSIIKGAEFHVSLLSIAQLFDFAKDLQKEIYDDLQALHTDDPLTWDYVARRELEIESQTEEQPTTKQAKAVEVGRKEERCCAVYEEAVKTLPTEAMWKCYITFCLERFTKKSNSGFLRGKRLERTMTVFRKAHELKLLSECQYKQLSVSLLCYNFLREALEVAVAGTELFRDSGTMWQLKLQVLIESKSPDIAMLFEEAFVHLKPQVCLPLWISWAEWSEGAKSQEDTEAVFKKALLAVIGADSVTLKNKYLDWAYRSGGYKKARAVFKSLQESRPFSVDFFRKMIQFEKEQESCNMANIREYYERALREFGSADSDLWMDYMKEELNHPLGRPENCGQIYWRAMKMLQGESAEAFVAKHAMHQTGHL
+>DECOY_sp|Q9NYH9|UTP6_HUMAN U3 small nucleolar RNA-associated protein 6 homolog OS=Homo sapiens OX=9606 GN=UTP6 PE=2 SV=2
+LHGTQHMAHKAVFAEASEGQLMKMARWYIQGCNEPRGLPHNLEEKMYDMWLDSDASGFERLAREYYERINAMNCSEQEKEFQIMKRFFDVSFPRSEQLSKFVARAKKYGGSRYAWDLYKNKLTVSDAGIVALLAKKFVAETDEQSKAGESWEAWSIWLPLCVQPKLHVFAEEFLMAIDPSKSEILVQLKLQWMTGSDRFLETGAVAVELAERLFNYCLLSVSLQKYQCESLLKLEHAKRFVTMTRELRKGRLFGSNSKKTFRELCFTIYCKWMAETPLTKVAEEYVACCREEKRGVEVAKAQKTTPQEETQSEIELERRAVYDWTLPDDTHLAQLDDYIEKQLDKAFDFLQAISLLSVHFEAGKIISVSNKYIIWALEGKLIEESYDPNEVDMSAKEFEEKEKRLKEAHMLEMRFYEKYLKPCEPHFRLARLFLQRASESSLRDEMEWKAAMIWLAPKNSHIALMASFVKSLRTKTAWKKCFAVYSLWLQVDDKWKASARQFVGQVRHVISNEIEDKKFSYGIRTRRRQILELLNIEYQVYNIFDEKFLTRRQIKYELDSAKKIIAKIEAHSFLGIRELQELEPLRDEIREQIIEAM
+>sp|Q9P2Y5|UVRAG_HUMAN UV radiation resistance-associated gene protein OS=Homo sapiens OX=9606 GN=UVRAG PE=1 SV=1
+MSASASVGGPVPQPPPGPAAALPPGSAARALHVELPSQQRRLRHLRNIAARNIVNRNGHQLLDTYFTLHLCSTEKIYKEFYRSEVIKNSLNPTWRSLDFGIMPDRLDTSVSCFVVKIWGGKENIYQLLIEWKVCLDGLKYLGQQIHARNQNEIIFGLNDGYYGAPFEHKGYSNAQKTILLQVDQNCVRNSYDVFSLLRLHRAQCAIKQTQVTVQKIGKEIEEKLRLTSTSNELKKKSECLQLKILVLQNELERQKKALGREVALLHKQQIALQDKGSAFSAEHLKLQLQKESLNELRKECTAKRELFLKTNAQLTIRCRQLLSELSYIYPIDLNEHKDYFVCGVKLPNSEDFQAKDDGSIAVALGYTAHLVSMISFFLQVPLRYPIIHKGSRSTIKDNINDKLTEKEREFPLYPKGGEKLQFDYGVYLLNKNIAQLRYQHGLGTPDLRQTLPNLKNFMEHGLMVRCDRHHTSSAIPVPKRQSSIFGGADVGFSGGIPSPDKGHRKRASSENERLQYKTPPPSYNSALAQPVTTVPSMGETERKITSLSSSLDTSLDFSKENKKKGEDLVGSLNGGHANVHPSQEQGEALSGHRATVNGTLLPSEQAGSASVQLPGEFHPVSEAELCCTVEQAEEIIGLEATGFASGDQLEAFNCIPVDSAVAVECDEQVLGEFEEFSRRIYALNENVSSFRRPRRSSDK
+>DECOY_sp|Q9P2Y5|UVRAG_HUMAN UV radiation resistance-associated gene protein OS=Homo sapiens OX=9606 GN=UVRAG PE=1 SV=1
+KDSSRRPRRFSSVNENLAYIRRSFEEFEGLVQEDCEVAVASDVPICNFAELQDGSAFGTAELGIIEEAQEVTCCLEAESVPHFEGPLQVSASGAQESPLLTGNVTARHGSLAEGQEQSPHVNAHGGNLSGVLDEGKKKNEKSFDLSTDLSSSLSTIKRETEGMSPVTTVPQALASNYSPPPTKYQLRENESSARKRHGKDPSPIGGSFGVDAGGFISSQRKPVPIASSTHHRDCRVMLGHEMFNKLNPLTQRLDPTGLGHQYRLQAINKNLLYVGYDFQLKEGGKPYLPFEREKETLKDNINDKITSRSGKHIIPYRLPVQLFFSIMSVLHATYGLAVAISGDDKAQFDESNPLKVGCVFYDKHENLDIPYIYSLESLLQRCRITLQANTKLFLERKATCEKRLENLSEKQLQLKLHEASFASGKDQLAIQQKHLLAVERGLAKKQRELENQLVLIKLQLCESKKKLENSTSTLRLKEEIEKGIKQVTVQTQKIACQARHLRLLSFVDYSNRVCNQDVQLLITKQANSYGKHEFPAGYYGDNLGFIIENQNRAHIQQGLYKLGDLCVKWEILLQYINEKGGWIKVVFCSVSTDLRDPMIGFDLSRWTPNLSNKIVESRYFEKYIKETSCLHLTFYTDLLQHGNRNVINRAAINRLHRLRRQQSPLEVHLARAASGPPLAAAPGPPPQPVPGGVSASASM
+>sp|O15342|VA0E1_HUMAN V-type proton ATPase subunit e 1 OS=Homo sapiens OX=9606 GN=ATP6V0E1 PE=2 SV=2
+MAYHGLTVPLIVMSVFWGFVGFLVPWFIPKGPNRGVIITMLVTCSVCCYLFWLIAILAQLNPLFGPQLKNETIWYLKYHWP
+>DECOY_sp|O15342|VA0E1_HUMAN V-type proton ATPase subunit e 1 OS=Homo sapiens OX=9606 GN=ATP6V0E1 PE=2 SV=2
+PWHYKLYWITENKLQPGFLPNLQALIAILWFLYCCVSCTVLMTIIVGRNPGKPIFWPVLFGVFGWFVSMVILPVTLGHYAM
+>sp|Q08AM6|VAC14_HUMAN Protein VAC14 homolog OS=Homo sapiens OX=9606 GN=VAC14 PE=1 SV=1
+MNPEKDFAPLTPNIVRALNDKLYEKRKVAALEIEKLVREFVAQNNTVQIKHVIQTLSQEFALSQHPHSRKGGLIGLAACSIALGKDSGLYLKELIEPVLTCFNDADSRLRYYACEALYNIVKVARGAVLPHFNVLFDGLSKLAADPDPNVKSGSELLDRLLKDIVTESNKFDLVSFIPLLRERIYSNNQYARQFIISWILVLESVPDINLLDYLPEILDGLFQILGDNGKEIRKMCEVVLGEFLKEIKKNPSSVKFAEMANILVIHCQTTDDLIQLTAMCWMREFIQLAGRVMLPYSSGILTAVLPCLAYDDRKKSIKEVANVCNQSLMKLVTPEDDELDELRPGQRQAEPTPDDALPKQEGTASGGPDGSCDSSFSSGISVFTAASTERAPVTLHLDGIVQVLNCHLSDTAIGMMTRIAVLKWLYHLYIKTPRKMFRHTDSLFPILLQTLSDESDEVILKDLEVLAEIASSPAGQTDDPGPLDGPDLQASHSELQVPTPGRAGLLNTSGTKGLECSPSTPTMNSYFYKFMINLLKRFSSERKLLEVRGPFIIRQLCLLLNAENIFHSMADILLREEDLKFASTMVHALNTILLTSTELFQLRNQLKDLKTLESQNLFCCLYRSWCHNPVTTVSLCFLTQNYRHAYDLIQKFGDLEVTVDFLAEVDKLVQLIECPIFTYLRLQLLDVKNNPYLIKALYGLLMLLPQSSAFQLLSHRLQCVPNPELLQTEDSLKAAPKSQKADSPSIDYAELLQHFEKVQNKHLEVRHQRSGRGDHLDRRVVL
+>DECOY_sp|Q08AM6|VAC14_HUMAN Protein VAC14 homolog OS=Homo sapiens OX=9606 GN=VAC14 PE=1 SV=1
+LVVRRDLHDGRGSRQHRVELHKNQVKEFHQLLEAYDISPSDAKQSKPAAKLSDETQLLEPNPVCQLRHSLLQFASSQPLLMLLGYLAKILYPNNKVDLLQLRLYTFIPCEILQVLKDVEALFDVTVELDGFKQILDYAHRYNQTLFCLSVTTVPNHCWSRYLCCFLNQSELTKLDKLQNRLQFLETSTLLITNLAHVMTSAFKLDEERLLIDAMSHFINEANLLLCLQRIIFPGRVELLKRESSFRKLLNIMFKYFYSNMTPTSPSCELGKTGSTNLLGARGPTPVQLESHSAQLDPGDLPGPDDTQGAPSSAIEALVELDKLIVEDSEDSLTQLLIPFLSDTHRFMKRPTKIYLHYLWKLVAIRTMMGIATDSLHCNLVQVIGDLHLTVPARETSAATFVSIGSSFSSDCSGDPGGSATGEQKPLADDPTPEAQRQGPRLEDLEDDEPTVLKMLSQNCVNAVEKISKKRDDYALCPLVATLIGSSYPLMVRGALQIFERMWCMATLQILDDTTQCHIVLINAMEAFKVSSPNKKIEKLFEGLVVECMKRIEKGNDGLIQFLGDLIEPLYDLLNIDPVSELVLIWSIIFQRAYQNNSYIRERLLPIFSVLDFKNSETVIDKLLRDLLESGSKVNPDPDAALKSLGDFLVNFHPLVAGRAVKVINYLAECAYYRLRSDADNFCTLVPEILEKLYLGSDKGLAISCAALGILGGKRSHPHQSLAFEQSLTQIVHKIQVTNNQAVFERVLKEIELAAVKRKEYLKDNLARVINPTLPAFDKEPNM
+>sp|Q15836|VAMP3_HUMAN Vesicle-associated membrane protein 3 OS=Homo sapiens OX=9606 GN=VAMP3 PE=1 SV=3
+MSTGPTAATGSNRRLQQTQNQVDEVVDIMRVNVDKVLERDQKLSELDDRADALQAGASQFETSAAKLKRKYWWKNCKMWAIGITVLVIFIIIIIVWVVSS
+>DECOY_sp|Q15836|VAMP3_HUMAN Vesicle-associated membrane protein 3 OS=Homo sapiens OX=9606 GN=VAMP3 PE=1 SV=3
+SSVVWVIIIIIFIVLVTIGIAWMKCNKWWYKRKLKAASTEFQSAGAQLADARDDLESLKQDRELVKDVNVRMIDVVEDVQNQTQQLRRNSGTAATPGTSM
+>sp|Q9BV40|VAMP8_HUMAN Vesicle-associated membrane protein 8 OS=Homo sapiens OX=9606 GN=VAMP8 PE=1 SV=1
+MEEASEGGGNDRVRNLQSEVEGVKNIMTQNVERILARGENLEHLRNKTEDLEATSEHFKTTSQKVARKFWWKNVKMIVLICVIVFIIILFIVLFATGAFS
+>DECOY_sp|Q9BV40|VAMP8_HUMAN Vesicle-associated membrane protein 8 OS=Homo sapiens OX=9606 GN=VAMP8 PE=1 SV=1
+SFAGTAFLVIFLIIIFVIVCILVIMKVNKWWFKRAVKQSTTKFHESTAELDETKNRLHELNEGRALIREVNQTMINKVGEVESQLNRVRDNGGGESAEEM
+>sp|Q8NEY4|VATC2_HUMAN V-type proton ATPase subunit C 2 OS=Homo sapiens OX=9606 GN=ATP6V1C2 PE=1 SV=2
+MSEFWLISAPGDKENLQALERMNTVTSKSNLSYNTKFAIPDFKVGTLDSLVGLSDELGKLDTFAESLIRRMAQSVVEVMEDSKGKVQEHLLANGVDLTSFVTHFEWDMAKYPVKQPLVSVVDTIAKQLAQIEMDLKSRTAAYNTLKTNLENLEKKSMGNLFTRTLSDIVSKEDFVLDSEYLVTLLVIVPKPNYSQWQKTYESLSDMVVPRSTKLITEDKEGGLFTVTLFRKVIEDFKTKAKENKFTVREFYYDEKEIEREREEMARLLSDKKQQYQTSCVALKKGSSTFPDHKVKVTPLGNPDRPAAGQTDRERESEGEGEGPLLRWLKVNFSEAFIAWIHIKALRVFVESVLRYGLPVNFQAVLLQPHKKSSTKRLREVLNSVFRHLDEVAATSILDASVEIPGLQLNNQDYFPYVYFHIDLSLLD
+>DECOY_sp|Q8NEY4|VATC2_HUMAN V-type proton ATPase subunit C 2 OS=Homo sapiens OX=9606 GN=ATP6V1C2 PE=1 SV=2
+DLLSLDIHFYVYPFYDQNNLQLGPIEVSADLISTAAVEDLHRFVSNLVERLRKTSSKKHPQLLVAQFNVPLGYRLVSEVFVRLAKIHIWAIFAESFNVKLWRLLPGEGEGESERERDTQGAAPRDPNGLPTVKVKHDPFTSSGKKLAVCSTQYQQKKDSLLRAMEEREREIEKEDYYFERVTFKNEKAKTKFDEIVKRFLTVTFLGGEKDETILKTSRPVVMDSLSEYTKQWQSYNPKPVIVLLTVLYESDLVFDEKSVIDSLTRTFLNGMSKKELNELNTKLTNYAATRSKLDMEIQALQKAITDVVSVLPQKVPYKAMDWEFHTVFSTLDVGNALLHEQVKGKSDEMVEVVSQAMRRILSEAFTDLKGLEDSLGVLSDLTGVKFDPIAFKTNYSLNSKSTVTNMRELAQLNEKDGPASILWFESM
+>sp|P11473|VDR_HUMAN Vitamin D3 receptor OS=Homo sapiens OX=9606 GN=VDR PE=1 SV=1
+MEAMAASTSLPDPGDFDRNVPRICGVCGDRATGFHFNAMTCEGCKGFFRRSMKRKALFTCPFNGDCRITKDNRRHCQACRLKRCVDIGMMKEFILTDEEVQRKREMILKRKEEEALKDSLRPKLSEEQQRIIAILLDAHHKTYDPTYSDFCQFRPPVRVNDGGGSHPSRPNSRHTPSFSGDSSSSCSDHCITSSDMMDSSSFSNLDLSEEDSDDPSVTLELSQLSMLPHLADLVSYSIQKVIGFAKMIPGFRDLTSEDQIVLLKSSAIEVIMLRSNESFTMDDMSWTCGNQDYKYRVSDVTKAGHSLELIEPLIKFQVGLKKLNLHEEEHVLLMAICIVSPDRPGVQDAALIEAIQDRLSNTLQTYIRCRHPPPGSHLLYAKMIQKLADLRSLNEEHSKQYRCLSFQPECSMKLTPLVLEVFGNEIS
+>DECOY_sp|P11473|VDR_HUMAN Vitamin D3 receptor OS=Homo sapiens OX=9606 GN=VDR PE=1 SV=1
+SIENGFVELVLPTLKMSCEPQFSLCRYQKSHEENLSRLDALKQIMKAYLLHSGPPPHRCRIYTQLTNSLRDQIAEILAADQVGPRDPSVICIAMLLVHEEEHLNLKKLGVQFKILPEILELSHGAKTVDSVRYKYDQNGCTWSMDDMTFSENSRLMIVEIASSKLLVIQDESTLDRFGPIMKAFGIVKQISYSVLDALHPLMSLQSLELTVSPDDSDEESLDLNSFSSSDMMDSSTICHDSCSSSSDGSFSPTHRSNPRSPHSGGGDNVRVPPRFQCFDSYTPDYTKHHADLLIAIIRQQEESLKPRLSDKLAEEEKRKLIMERKRQVEEDTLIFEKMMGIDVCRKLRCAQCHRRNDKTIRCDGNFPCTFLAKRKMSRRFFGKCGECTMANFHFGTARDGCVGCIRPVNRDFDGPDPLSTSAAMAEM
+>sp|O95231|VENTX_HUMAN Homeobox protein VENTX OS=Homo sapiens OX=9606 GN=VENTX PE=1 SV=1
+MRLSSSPPRGPQQLSSFGSVDWLSQSSCSGPTHTPRPADFSLGSLPGPGQTSGAREPPQAVSIKEAAGSSNLPAPERTMAGLSKEPNTLRAPRVRTAFTMEQVRTLEGVFQHHQYLSPLERKRLAREMQLSEVQIKTWFQNRRMKHKRQMQDPQLHSPFSGSLHAPPAFYSTSSGLANGLQLLCPWAPLSGPQALMLPPGSFWGLCQVAQEALASAGASCCGQPLASHPPTPGRPSLGPALSTGPRGLCAMPQTGDAF
+>DECOY_sp|O95231|VENTX_HUMAN Homeobox protein VENTX OS=Homo sapiens OX=9606 GN=VENTX PE=1 SV=1
+FADGTQPMACLGRPGTSLAPGLSPRGPTPPHSALPQGCCSAGASALAEQAVQCLGWFSGPPLMLAQPGSLPAWPCLLQLGNALGSSTSYFAPPAHLSGSFPSHLQPDQMQRKHKMRRNQFWTKIQVESLQMERALRKRELPSLYQHHQFVGELTRVQEMTFATRVRPARLTNPEKSLGAMTREPAPLNSSGAAEKISVAQPPERAGSTQGPGPLSGLSFDAPRPTHTPGSCSSQSLWDVSGFSSLQQPGRPPSSSLRM
+>sp|P35916|VGFR3_HUMAN Vascular endothelial growth factor receptor 3 OS=Homo sapiens OX=9606 GN=FLT4 PE=1 SV=3
+MQRGAALCLRLWLCLGLLDGLVSGYSMTPPTLNITEESHVIDTGDSLSISCRGQHPLEWAWPGAQEAPATGDKDSEDTGVVRDCEGTDARPYCKVLLLHEVHANDTGSYVCYYKYIKARIEGTTAASSYVFVRDFEQPFINKPDTLLVNRKDAMWVPCLVSIPGLNVTLRSQSSVLWPDGQEVVWDDRRGMLVSTPLLHDALYLQCETTWGDQDFLSNPFLVHITGNELYDIQLLPRKSLELLVGEKLVLNCTVWAEFNSGVTFDWDYPGKQAERGKWVPERRSQQTHTELSSILTIHNVSQHDLGSYVCKANNGIQRFRESTEVIVHENPFISVEWLKGPILEATAGDELVKLPVKLAAYPPPEFQWYKDGKALSGRHSPHALVLKEVTEASTGTYTLALWNSAAGLRRNISLELVVNVPPQIHEKEASSPSIYSRHSRQALTCTAYGVPLPLSIQWHWRPWTPCKMFAQRSLRRRQQQDLMPQCRDWRAVTTQDAVNPIESLDTWTEFVEGKNKTVSKLVIQNANVSAMYKCVVSNKVGQDERLIYFYVTTIPDGFTIESKPSEELLEGQPVLLSCQADSYKYEHLRWYRLNLSTLHDAHGNPLLLDCKNVHLFATPLAASLEEVAPGARHATLSLSIPRVAPEHEGHYVCEVQDRRSHDKHCHKKYLSVQALEAPRLTQNLTDLLVNVSDSLEMQCLVAGAHAPSIVWYKDERLLEEKSGVDLADSNQKLSIQRVREEDAGRYLCSVCNAKGCVNSSASVAVEGSEDKGSMEIVILVGTGVIAVFFWVLLLLIFCNMRRPAHADIKTGYLSIIMDPGEVPLEEQCEYLSYDASQWEFPRERLHLGRVLGYGAFGKVVEASAFGIHKGSSCDTVAVKMLKEGATASEHRALMSELKILIHIGNHLNVVNLLGACTKPQGPLMVIVEFCKYGNLSNFLRAKRDAFSPCAEKSPEQRGRFRAMVELARLDRRRPGSSDRVLFARFSKTEGGARRASPDQEAEDLWLSPLTMEDLVCYSFQVARGMEFLASRKCIHRDLAARNILLSESDVVKICDFGLARDIYKDPDYVRKGSARLPLKWMAPESIFDKVYTTQSDVWSFGVLLWEIFSLGASPYPGVQINEEFCQRLRDGTRMRAPELATPAIRRIMLNCWSGDPKARPAFSELVEILGDLLQGRGLQEEEEVCMAPRSSQSSEEGSFSQVSTMALHIAQADAEDSPPSLQRHSLAARYYNWVSFPGCLARGAETRGSSRMKTFEEFPMTPTTYKGSVDNQTDSGMVLASEEFEQIESRHRQESGFSCKGPGQNVAVTRAHPDSQGRRRRPERGARGGQVFYNSEYGELSEPSEEDHCSPSARVTFFTDNSY
+>DECOY_sp|P35916|VGFR3_HUMAN Vascular endothelial growth factor receptor 3 OS=Homo sapiens OX=9606 GN=FLT4 PE=1 SV=3
+YSNDTFFTVRASPSCHDEESPESLEGYESNYFVQGGRAGREPRRRRGQSDPHARTVAVNQGPGKCSFGSEQRHRSEIQEFEESALVMGSDTQNDVSGKYTTPTMPFEEFTKMRSSGRTEAGRALCGPFSVWNYYRAALSHRQLSPPSDEADAQAIHLAMTSVQSFSGEESSQSSRPAMCVEEEEQLGRGQLLDGLIEVLESFAPRAKPDGSWCNLMIRRIAPTALEPARMRTGDRLRQCFEENIQVGPYPSAGLSFIEWLLVGFSWVDSQTTYVKDFISEPAMWKLPLRASGKRVYDPDKYIDRALGFDCIKVVDSESLLINRAALDRHICKRSALFEMGRAVQFSYCVLDEMTLPSLWLDEAEQDPSARRAGGETKSFRAFLVRDSSGPRRRDLRALEVMARFRGRQEPSKEACPSFADRKARLFNSLNGYKCFEVIVMLPGQPKTCAGLLNVVNLHNGIHILIKLESMLARHESATAGEKLMKVAVTDCSSGKHIGFASAEVVKGFAGYGLVRGLHLRERPFEWQSADYSLYECQEELPVEGPDMIISLYGTKIDAHAPRRMNCFILLLLVWFFVAIVGTGVLIVIEMSGKDESGEVAVSASSNVCGKANCVSCLYRGADEERVRQISLKQNSDALDVGSKEELLREDKYWVISPAHAGAVLCQMELSDSVNVLLDTLNQTLRPAELAQVSLYKKHCHKDHSRRDQVECVYHGEHEPAVRPISLSLTAHRAGPAVEELSAALPTAFLHVNKCDLLLPNGHADHLTSLNLRYWRLHEYKYSDAQCSLLVPQGELLEESPKSEITFGDPITTVYFYILREDQGVKNSVVCKYMASVNANQIVLKSVTKNKGEVFETWTDLSEIPNVADQTTVARWDRCQPMLDQQQRRRLSRQAFMKCPTWPRWHWQISLPLPVGYATCTLAQRSHRSYISPSSAEKEHIQPPVNVVLELSINRRLGAASNWLALTYTGTSAETVEKLVLAHPSHRGSLAKGDKYWQFEPPPYAALKVPLKVLEDGATAELIPGKLWEVSIFPNEHVIVETSERFRQIGNNAKCVYSGLDHQSVNHITLISSLETHTQQSRREPVWKGREAQKGPYDWDFTVGSNFEAWVTCNLVLKEGVLLELSKRPLLQIDYLENGTIHVLFPNSLFDQDGWTTECQLYLADHLLPTSVLMGRRDDWVVEQGDPWLVSSQSRLTVNLGPISVLCPVWMADKRNVLLTDPKNIFPQEFDRVFVYSSAATTGEIRAKIYKYYCVYSGTDNAHVEHLLLVKCYPRADTGECDRVVGTDESDKDGTAPAEQAGPWAWELPHQGRCSISLSDGTDIVHSEETINLTPPTMSYGSVLGDLLGLCLWLRLCLAAGRQM
+>sp|Q8N8G2|VGLL2_HUMAN Transcription cofactor vestigial-like protein 2 OS=Homo sapiens OX=9606 GN=VGLL2 PE=1 SV=1
+MSCLDVMYQVYGPPQPYFAAAYTPYHQKLAYYSKMQEAQECNASPSSSGSGSSSFSSQTPASIKEEEGSPEKERPPEAEYINSRCVLFTYFQGDISSVVDEHFSRALSQPSSYSPSCTSSKAPRSSGPWRDCSFPMSQRSFPASFWNSAYQAPVPPPLGSPLATAHSELPFAAADPYSPAALHGHLHQGATEPWHHAHPHHAHPHHPYALGGALGAQAAPYPRPAAVHEVYAPHFDPRYGPLLMPAASGRPARLATAPAPAPGSPPCELSGKGEPAGAAWAGPGGPFASPSGDVAQGLGLSVDSARRYSLCGASLLS
+>DECOY_sp|Q8N8G2|VGLL2_HUMAN Transcription cofactor vestigial-like protein 2 OS=Homo sapiens OX=9606 GN=VGLL2 PE=1 SV=1
+SLLSAGCLSYRRASDVSLGLGQAVDGSPSAFPGGPGAWAAGAPEGKGSLECPPSGPAPAPATALRAPRGSAAPMLLPGYRPDFHPAYVEHVAAPRPYPAAQAGLAGGLAYPHHPHAHHPHAHHWPETAGQHLHGHLAAPSYPDAAAFPLESHATALPSGLPPPVPAQYASNWFSAPFSRQSMPFSCDRWPGSSRPAKSSTCSPSYSSPQSLARSFHEDVVSSIDGQFYTFLVCRSNIYEAEPPREKEPSGEEEKISAPTQSSFSSSGSGSSSPSANCEQAEQMKSYYALKQHYPTYAAAFYPQPPGYVQYMVDLCSM
+>sp|Q9P2U8|VGLU2_HUMAN Vesicular glutamate transporter 2 OS=Homo sapiens OX=9606 GN=SLC17A6 PE=2 SV=1
+MESVKQRILAPGKEGLKNFAGKSLGQIYRVLEKKQDTGETIELTEDGKPLEVPERKAPLCDCTCFGLPRRYIIAIMSGLGFCISFGIRCNLGVAIVDMVNNSTIHRGGKVIKEKAKFNWDPETVGMIHGSFFWGYIITQIPGGYIASRLAANRVFGAAILLTSTLNMLIPSAARVHYGCVIFVRILQGLVEGVTYPACHGIWSKWAPPLERSRLATTSFCGSYAGAVIAMPLAGILVQYTGWSSVFYVYGSFGMVWYMFWLLVSYESPAKHPTITDEERRYIEESIGESANLLGAMEKFKTPWRKFFTSMPVYAIIVANFCRSWTFYLLLISQPAYFEEVFGFEISKVGMLSAVPHLVMTIIVPIGGQIADFLRSKQILSTTTVRKIMNCGGFGMEATLLLVVGYSHTRGVAISFLVLAVGFSGFAISGFNVNHLDIAPRYASILMGISNGVGTLSGMVCPIIVGAMTKNKSREEWQYVFLIAALVHYGGVIFYAIFASGEKQPWADPEETSEEKCGFIHEDELDEETGDITQNYINYGTTKSYGATTQANGGWPSGWEKKEEFVQGEVQDSHSYKDRVDYS
+>DECOY_sp|Q9P2U8|VGLU2_HUMAN Vesicular glutamate transporter 2 OS=Homo sapiens OX=9606 GN=SLC17A6 PE=2 SV=1
+SYDVRDKYSHSDQVEGQVFEEKKEWGSPWGGNAQTTAGYSKTTGYNIYNQTIDGTEEDLEDEHIFGCKEESTEEPDAWPQKEGSAFIAYFIVGGYHVLAAILFVYQWEERSKNKTMAGVIIPCVMGSLTGVGNSIGMLISAYRPAIDLHNVNFGSIAFGSFGVALVLFSIAVGRTHSYGVVLLLTAEMGFGGCNMIKRVTTTSLIQKSRLFDAIQGGIPVIITMVLHPVASLMGVKSIEFGFVEEFYAPQSILLLYFTWSRCFNAVIIAYVPMSTFFKRWPTKFKEMAGLLNASEGISEEIYRREEDTITPHKAPSEYSVLLWFMYWVMGFSGYVYFVSSWGTYQVLIGALPMAIVAGAYSGCFSTTALRSRELPPAWKSWIGHCAPYTVGEVLGQLIRVFIVCGYHVRAASPILMNLTSTLLIAAGFVRNAALRSAIYGGPIQTIIYGWFFSGHIMGVTEPDWNFKAKEKIVKGGRHITSNNVMDVIAVGLNCRIGFSICFGLGSMIAIIYRRPLGFCTCDCLPAKREPVELPKGDETLEITEGTDQKKELVRYIQGLSKGAFNKLGEKGPALIRQKVSEM
+>sp|Q8NDX2|VGLU3_HUMAN Vesicular glutamate transporter 3 OS=Homo sapiens OX=9606 GN=SLC17A8 PE=1 SV=1
+MPFKAFDTFKEKILKPGKEGVKNAVGDSLGILQRKIDGTTEEEDNIELNEEGRPVQTSRPSPPLCDCHCCGLPKRYIIAIMSGLGFCISFGIRCNLGVAIVEMVNNSTVYVDGKPEIQTAQFNWDPETVGLIHGSFFWGYIMTQIPGGFISNKFAANRVFGAAIFLTSTLNMFIPSAARVHYGCVMCVRILQGLVEGVTYPACHGMWSKWAPPLERSRLATTSFCGSYAGAVVAMPLAGVLVQYIGWSSVFYIYGMFGIIWYMFWLLQAYECPAAHPTISNEEKTYIETSIGEGANVVSLSKFSTPWKRFFTSLPVYAIIVANFCRSWTFYLLLISQPAYFEEVFGFAISKVGLLSAVPHMVMTIVVPIGGQLADYLRSRQILTTTAVRKIMNCGGFGMEATLLLVVGFSHTKGVAISFLVLAVGFSGFAISGFNVNHLDIAPRYASILMGISNGVGTLSGMVCPLIVGAMTRHKTREEWQNVFLIAALVHYSGVIFYGVFASGEKQEWADPENLSEEKCGIIDQDELAEEIELNHESFASPKKKMSYGATSQNCEVQKKEWKGQRGATLDEEELTSYQNEERNFSTIS
+>DECOY_sp|Q8NDX2|VGLU3_HUMAN Vesicular glutamate transporter 3 OS=Homo sapiens OX=9606 GN=SLC17A8 PE=1 SV=1
+SITSFNREENQYSTLEEEDLTAGRQGKWEKKQVECNQSTAGYSMKKKPSAFSEHNLEIEEALEDQDIIGCKEESLNEPDAWEQKEGSAFVGYFIVGSYHVLAAILFVNQWEERTKHRTMAGVILPCVMGSLTGVGNSIGMLISAYRPAIDLHNVNFGSIAFGSFGVALVLFSIAVGKTHSFGVVLLLTAEMGFGGCNMIKRVATTTLIQRSRLYDALQGGIPVVITMVMHPVASLLGVKSIAFGFVEEFYAPQSILLLYFTWSRCFNAVIIAYVPLSTFFRKWPTSFKSLSVVNAGEGISTEIYTKEENSITPHAAPCEYAQLLWFMYWIIGFMGYIYFVSSWGIYQVLVGALPMAVVAGAYSGCFSTTALRSRELPPAWKSWMGHCAPYTVGEVLGQLIRVCMVCGYHVRAASPIFMNLTSTLFIAAGFVRNAAFKNSIFGGPIQTMIYGWFFSGHILGVTEPDWNFQATQIEPKGDVYVTSNNVMEVIAVGLNCRIGFSICFGLGSMIAIIYRKPLGCCHCDCLPPSPRSTQVPRGEENLEINDEEETTGDIKRQLIGLSDGVANKVGEKGPKLIKEKFTDFAKFPM
+>sp|P01282|VIP_HUMAN VIP peptides OS=Homo sapiens OX=9606 GN=VIP PE=1 SV=1
+MDTRNKAQLLVLLTLLSVLFSQTSAWPLYRAPSALRLGDRIPFEGANEPDQVSLKEDIDMLQNALAENDTPYYDVSRNARHADGVFTSDFSKLLGQLSAKKYLESLMGKRVSSNISEDPVPVKRHSDAVFTDNYTRLRKQMAVKKYLNSILNGKRSSEGESPDFPEELEK
+>DECOY_sp|P01282|VIP_HUMAN VIP peptides OS=Homo sapiens OX=9606 GN=VIP PE=1 SV=1
+KELEEPFDPSEGESSRKGNLISNLYKKVAMQKRLRTYNDTFVADSHRKVPVPDESINSSVRKGMLSELYKKASLQGLLKSFDSTFVGDAHRANRSVDYYPTDNEALANQLMDIDEKLSVQDPENAGEFPIRDGLRLASPARYLPWASTQSFLVSLLTLLVLLQAKNRTDM
+>sp|Q9H7M9|VISTA_HUMAN V-type immunoglobulin domain-containing suppressor of T-cell activation OS=Homo sapiens OX=9606 GN=VSIR PE=1 SV=3
+MGVPTALEAGSWRWGSLLFALFLAASLGPVAAFKVATPYSLYVCPEGQNVTLTCRLLGPVDKGHDVTFYKTWYRSSRGEVQTCSERRPIRNLTFQDLHLHHGGHQAANTSHDLAQRHGLESASDHHGNFSITMRNLTLLDSGLYCCLVVEIRHHHSEHRVHGAMELQVQTGKDAPSNCVVYPSSSQDSENITAAALATGACIVGILCLPLILLLVYKQRQAASNRRAQELVRMDSNIQGIENPGFEASPPAQGIPEAKVRHPLSYVAQRQPSESGRHLLSEPSTPLSPPGPGDVFFPSLDPVPDSPNFEVI
+>DECOY_sp|Q9H7M9|VISTA_HUMAN V-type immunoglobulin domain-containing suppressor of T-cell activation OS=Homo sapiens OX=9606 GN=VSIR PE=1 SV=3
+IVEFNPSDPVPDLSPFFVDGPGPPSLPTSPESLLHRGSESPQRQAVYSLPHRVKAEPIGQAPPSAEFGPNEIGQINSDMRVLEQARRNSAAQRQKYVLLLILPLCLIGVICAGTALAAATINESDQSSSPYVVCNSPADKGTQVQLEMAGHVRHESHHHRIEVVLCCYLGSDLLTLNRMTISFNGHHDSASELGHRQALDHSTNAAQHGGHHLHLDQFTLNRIPRRESCTQVEGRSSRYWTKYFTVDHGKDVPGLLRCTLTVNQGEPCVYLSYPTAVKFAAVPGLSAALFLAFLLSGWRWSGAELATPVGM
+>sp|Q9BXU2|TX13B_HUMAN Testis-expressed protein 13B OS=Homo sapiens OX=9606 GN=TEX13B PE=1 SV=1
+MALRPEDPSSGFRHGNVVAFIIEKMARHTKGPEFYFENISLSWEEVEDKLRAILEDSEVPSEVKEACTWGSLALGVRFAHRQGQLQNRRVQWLQGFAKLHRSAALVLASNLTELKEQQEMECNEATFQLQLTETSLAEVQRERDMLRWKLFHAELAPPQGQGQATVFPGLATAGGDWTEGAGEQEKEAVAAAGAAGGKGEERYAEAGPAPAEVLQGLGGGFRQPLGAIVAGKLHLCGAEGERSQVSTNSHVCLLWAWVHSLTGASSCPAPYLIHILIPMPFVRLLSHTQYTPFTSKGHRTGSNSDAFQLGGL
+>DECOY_sp|Q9BXU2|TX13B_HUMAN Testis-expressed protein 13B OS=Homo sapiens OX=9606 GN=TEX13B PE=1 SV=1
+LGGLQFADSNSGTRHGKSTFPTYQTHSLLRVFPMPILIHILYPAPCSSAGTLSHVWAWLLCVHSNTSVQSREGEAGCLHLKGAVIAGLPQRFGGGLGQLVEAPAPGAEAYREEGKGGAAGAAAVAEKEQEGAGETWDGGATALGPFVTAQGQGQPPALEAHFLKWRLMDRERQVEALSTETLQLQFTAENCEMEQQEKLETLNSALVLAASRHLKAFGQLWQVRRNQLQGQRHAFRVGLALSGWTCAEKVESPVESDELIARLKDEVEEWSLSINEFYFEPGKTHRAMKEIIFAVVNGHRFGSSPDEPRLAM
+>sp|O14907|TX1B3_HUMAN Tax1-binding protein 3 OS=Homo sapiens OX=9606 GN=TAX1BP3 PE=1 SV=2
+MSYIPGQPVTAVVQRVEIHKLRQGENLILGFSIGGGIDQDPSQNPFSEDKTDKGIYVTRVSEGGPAEIAGLQIGDKIMQVNGWDMTMVTHDQARKRLTKRSEEVVRLLVTRQSLQKAVQQSMLS
+>DECOY_sp|O14907|TX1B3_HUMAN Tax1-binding protein 3 OS=Homo sapiens OX=9606 GN=TAX1BP3 PE=1 SV=2
+SLMSQQVAKQLSQRTVLLRVVEESRKTLRKRAQDHTVMTMDWGNVQMIKDGIQLGAIEAPGGESVRTVYIGKDTKDESFPNQSPDQDIGGGISFGLILNEGQRLKHIEVRQVVATVPQGPIYSM
+>sp|Q2TAA8|TXIP1_HUMAN Translin-associated factor X-interacting protein 1 OS=Homo sapiens OX=9606 GN=TSNAXIP1 PE=1 SV=1
+MGGHLSPWPTYTSGQTILQNRKPCSDDYRKRVGSCQQHPFRTAKPQYLEELENYLRKELLLLDLGTDSTQELRLQPYREIFEFFIEDFKTYKPLLSSIKNAYEGMLAHQREKIRALEPLKAKLVTVNEDCNERILAMRAEEKYEISLLKKEKMNLLKLIDKKNEEKISLQSEVTKLRKNLAEEYLHYLSERDACKILIADLNELRYQREDMSLAQSPGIWGEDPVKLTLALKMTRQDLTRTQMELNNMKANFGDVVPRRDFEMQEKTNKDLQEQLDTLRASYEEVRKEHEILMQLHMSTLKERDQFFSELQEIQRTSTPRPDWTKCKDVVAGGPERWQMLAEGKNSDQLVDVLLEEIGSGLLREKDFFPGLGYGEAIPAFLRFDGLVENKKPSKKDVVNLLKDAWKERLAEEQKETFPDFFFNFLEHRFGPSDAMAWAYTIFENIKIFHSNEVMSQFYAVLMGKRSENVYVTQKETVAQLLKEMTNADSQNEGLLTMEQFNTVLKSTFPLKTEEQIQELMEAGGWHPSSSNADLLNYRSLFMEDEEGQSEPFVQKLWEQYMDEKDEYLQQLKQELGIELHEEVTLPKLRGGLMTIDPSLDKQTVNTYMSQAFQLPESEMPEEGDEKEEAVVEILQTALERLQVIDIRRVGPREPEPAS
+>DECOY_sp|Q2TAA8|TXIP1_HUMAN Translin-associated factor X-interacting protein 1 OS=Homo sapiens OX=9606 GN=TSNAXIP1 PE=1 SV=1
+SAPEPERPGVRRIDIVQLRELATQLIEVVAEEKEDGEEPMESEPLQFAQSMYTNVTQKDLSPDITMLGGRLKPLTVEEHLEIGLEQKLQQLYEDKEDMYQEWLKQVFPESQGEEDEMFLSRYNLLDANSSSPHWGGAEMLEQIQEETKLPFTSKLVTNFQEMTLLGENQSDANTMEKLLQAVTEKQTVYVNESRKGMLVAYFQSMVENSHFIKINEFITYAWAMADSPGFRHELFNFFFDPFTEKQEEALREKWADKLLNVVDKKSPKKNEVLGDFRLFAPIAEGYGLGPFFDKERLLGSGIEELLVDVLQDSNKGEALMQWREPGGAVVDKCKTWDPRPTSTRQIEQLESFFQDREKLTSMHLQMLIEHEKRVEEYSARLTDLQEQLDKNTKEQMEFDRRPVVDGFNAKMNNLEMQTRTLDQRTMKLALTLKVPDEGWIGPSQALSMDERQYRLENLDAILIKCADRESLYHLYEEALNKRLKTVESQLSIKEENKKDILKLLNMKEKKLLSIEYKEEARMALIRENCDENVTVLKAKLPELARIKERQHALMGEYANKISSLLPKYTKFDEIFFEFIERYPQLRLEQTSDTGLDLLLLEKRLYNELEELYQPKATRFPHQQCSGVRKRYDDSCPKRNQLITQGSTYTPWPSLHGGM
+>sp|P40222|TXLNA_HUMAN Alpha-taxilin OS=Homo sapiens OX=9606 GN=TXLNA PE=1 SV=3
+MKNQDKKNGAAKQSNPKSSPGQPEAGPEGAQERPSQAAPAVEAEGPGSSQAPRKPEGAQARTAQSGALRDVSEELSRQLEDILSTYCVDNNQGGPGEDGAQGEPAEPEDAEKSRTYVARNGEPEPTPVVNGEKEPSKGDPNTEEIRQSDEVGDRDHRRPQEKKKAKGLGKEITLLMQTLNTLSTPEEKLAALCKKYAELLEEHRNSQKQMKLLQKKQSQLVQEKDHLRGEHSKAVLARSKLESLCRELQRHNRSLKEEGVQRAREEEEKRKEVTSHFQVTLNDIQLQMEQHNERNSKLRQENMELAERLKKLIEQYELREEHIDKVFKHKDLQQQLVDAKLQQAQEMLKEAEERHQREKDFLLKEAVESQRMCELMKQQETHLKQQLALYTEKFEEFQNTLSKSSEVFTTFKQEMEKMTKKIKKLEKETTMYRSRWESSNKALLEMAEEKTVRDKELEGLQVKIQRLEKLCRALQTERNDLNKRVQDLSAGGQGSLTDSGPERRPEGPGAQAPSSPRVTEAPCYPGAPSTEASGQTGPQEPTSARA
+>DECOY_sp|P40222|TXLNA_HUMAN Alpha-taxilin OS=Homo sapiens OX=9606 GN=TXLNA PE=1 SV=3
+ARASTPEQPGTQGSAETSPAGPYCPAETVRPSSPAQAGPGEPRREPGSDTLSGQGGASLDQVRKNLDNRETQLARCLKELRQIKVQLGELEKDRVTKEEAMELLAKNSSEWRSRYMTTEKELKKIKKTMKEMEQKFTTFVESSKSLTNQFEEFKETYLALQQKLHTEQQKMLECMRQSEVAEKLLFDKERQHREEAEKLMEQAQQLKADVLQQQLDKHKFVKDIHEERLEYQEILKKLREALEMNEQRLKSNRENHQEMQLQIDNLTVQFHSTVEKRKEEEERARQVGEEKLSRNHRQLERCLSELKSRALVAKSHEGRLHDKEQVLQSQKKQLLKMQKQSNRHEELLEAYKKCLAALKEEPTSLTNLTQMLLTIEKGLGKAKKKEQPRRHDRDGVEDSQRIEETNPDGKSPEKEGNVVPTPEPEGNRAVYTRSKEADEPEAPEGQAGDEGPGGQNNDVCYTSLIDELQRSLEESVDRLAGSQATRAQAGEPKRPAQSSGPGEAEVAPAAQSPREQAGEPGAEPQGPSSKPNSQKAAGNKKDQNKM
+>sp|Q9NX01|TXN4B_HUMAN Thioredoxin-like protein 4B OS=Homo sapiens OX=9606 GN=TXNL4B PE=1 SV=1
+MSFLLPKLTSKKEVDQAIKSTAEKVLVLRFGRDEDPVCLQLDDILSKTSSDLSKMAAIYLVDVDQTAVYTQYFDISYIPSTVFFFNGQHMKVDYGSPDHTKFVGSFKTKQDFIDLIEVIYRGAMRGKLIVQSPIDPKNIPKYDLLYQDI
+>DECOY_sp|Q9NX01|TXN4B_HUMAN Thioredoxin-like protein 4B OS=Homo sapiens OX=9606 GN=TXNL4B PE=1 SV=1
+IDQYLLDYKPINKPDIPSQVILKGRMAGRYIVEILDIFDQKTKFSGVFKTHDPSGYDVKMHQGNFFFVTSPIYSIDFYQTYVATQDVDVLYIAAMKSLDSSTKSLIDDLQLCVPDEDRGFRLVLVKEATSKIAQDVEKKSTLKPLLFSM
+>sp|O14530|TXND9_HUMAN Thioredoxin domain-containing protein 9 OS=Homo sapiens OX=9606 GN=TXNDC9 PE=1 SV=2
+MEADASVDMFSKVLEHQLLQTTKLVEEHLDSEIQKLDQMDEDELERLKEKRLQALRKAQQQKQEWLSKGHGEYREIPSERDFFQEVKESENVVCHFYRDSTFRCKILDRHLAILSKKHLETKFLKLNVEKAPFLCERLHIKVIPTLALLKDGKTQDYVVGFTDLGNTDDFTTETLEWRLGSSDILNYSGNLMEPPFQNQKKFGTNFTKLEKKTIRGKKYDSDSDDD
+>DECOY_sp|O14530|TXND9_HUMAN Thioredoxin domain-containing protein 9 OS=Homo sapiens OX=9606 GN=TXNDC9 PE=1 SV=2
+DDDSDSDYKKGRITKKELKTFNTGFKKQNQFPPEMLNGSYNLIDSSGLRWELTETTFDDTNGLDTFGVVYDQTKGDKLLALTPIVKIHLRECLFPAKEVNLKLFKTELHKKSLIALHRDLIKCRFTSDRYFHCVVNESEKVEQFFDRESPIERYEGHGKSLWEQKQQQAKRLAQLRKEKLRELEDEDMQDLKQIESDLHEEVLKTTQLLQHELVKSFMDVSADAEM
+>sp|P63313|TYB10_HUMAN Thymosin beta-10 OS=Homo sapiens OX=9606 GN=TMSB10 PE=1 SV=2
+MADKPDMGEIASFDKAKLKKTETQEKNTLPTKETIEQEKRSEIS
+>DECOY_sp|P63313|TYB10_HUMAN Thymosin beta-10 OS=Homo sapiens OX=9606 GN=TMSB10 PE=1 SV=2
+SIESRKEQEITEKTPLTNKEQTETKKLKAKDFSAIEGMDPKDAM
+>sp|P62328|TYB4_HUMAN Thymosin beta-4 OS=Homo sapiens OX=9606 GN=TMSB4X PE=1 SV=2
+MSDKPDMAEIEKFDKSKLKKTETQEKNPLPSKETIEQEKQAGES
+>DECOY_sp|P62328|TYB4_HUMAN Thymosin beta-4 OS=Homo sapiens OX=9606 GN=TMSB4X PE=1 SV=2
+SEGAQKEQEITEKSPLPNKEQTETKKLKSKDFKEIEAMDPKDSM
+>sp|Q9NUW8|TYDP1_HUMAN Tyrosyl-DNA phosphodiesterase 1 OS=Homo sapiens OX=9606 GN=TDP1 PE=1 SV=2
+MSQEGDYGRWTISSSDESEEEKPKPDKPSTSSLLCARQGAANEPRYTCSEAQKAAHKRKISPVKFSNTDSVLPPKRQKSGSQEDLGWCLSSSDDELQPEMPQKQAEKVVIKKEKDISAPNDGTAQRTENHGAPACHRLKEEEDEYETSGEGQDIWDMLDKGNPFQFYLTRVSGVKPKYNSGALHIKDILSPLFGTLVSSAQFNYCFDVDWLVKQYPPEFRKKPILLVHGDKREAKAHLHAQAKPYENISLCQAKLDIAFGTHHTKMMLLLYEEGLRVVIHTSNLIHADWHQKTQGIWLSPLYPRIADGTHKSGESPTHFKADLISYLMAYNAPSLKEWIDVIHKHDLSETNVYLIGSTPGRFQGSQKDNWGHFRLKKLLKDHASSMPNAESWPVVGQFSSVGSLGADESKWLCSEFKESMLTLGKESKTPGKSSVPLYLIYPSVENVRTSLEGYPAGGSLPYSIQTAEKQNWLHSYFHKWSAETSGRSNAMPHIKTYMRPSPDFSKIAWFLVTSANLSKAAWGALEKNGTQLMIRSYELGVLFLPSAFGLDSFKVKQKFFAGSQEPMATFPVPYDLPPELYGSKDRPWIWNIPYVKAPDTHGNMWVPS
+>DECOY_sp|Q9NUW8|TYDP1_HUMAN Tyrosyl-DNA phosphodiesterase 1 OS=Homo sapiens OX=9606 GN=TDP1 PE=1 SV=2
+SPVWMNGHTDPAKVYPINWIWPRDKSGYLEPPLDYPVPFTAMPEQSGAFFKQKVKFSDLGFASPLFLVGLEYSRIMLQTGNKELAGWAAKSLNASTVLFWAIKSFDPSPRMYTKIHPMANSRGSTEASWKHFYSHLWNQKEATQISYPLSGGAPYGELSTRVNEVSPYILYLPVSSKGPTKSEKGLTLMSEKFESCLWKSEDAGLSGVSSFQGVVPWSEANPMSSAHDKLLKKLRFHGWNDKQSGQFRGPTSGILYVNTESLDHKHIVDIWEKLSPANYAMLYSILDAKFHTPSEGSKHTGDAIRPYLPSLWIGQTKQHWDAHILNSTHIVVRLGEEYLLLMMKTHHTGFAIDLKAQCLSINEYPKAQAHLHAKAERKDGHVLLIPKKRFEPPYQKVLWDVDFCYNFQASSVLTGFLPSLIDKIHLAGSNYKPKVGSVRTLYFQFPNGKDLMDWIDQGEGSTEYEDEEEKLRHCAPAGHNETRQATGDNPASIDKEKKIVVKEAQKQPMEPQLEDDSSSLCWGLDEQSGSKQRKPPLVSDTNSFKVPSIKRKHAAKQAESCTYRPENAAGQRACLLSSTSPKDPKPKEEESEDSSSITWRGYDGEQSM
+>sp|P19971|TYPH_HUMAN Thymidine phosphorylase OS=Homo sapiens OX=9606 GN=TYMP PE=1 SV=2
+MAALMTPGTGAPPAPGDFSGEGSQGLPDPSPEPKQLPELIRMKRDGGRLSEADIRGFVAAVVNGSAQGAQIGAMLMAIRLRGMDLEETSVLTQALAQSGQQLEWPEAWRQQLVDKHSTGGVGDKVSLVLAPALAACGCKVPMISGRGLGHTGGTLDKLESIPGFNVIQSPEQMQVLLDQAGCCIVGQSEQLVPADGILYAARDVTATVDSLPLITASILSKKLVEGLSALVVDVKFGGAAVFPNQEQARELAKTLVGVGASLGLRVAAALTAMDKPLGRCVGHALEVEEALLCMDGAGPPDLRDLVTTLGGALLWLSGHAGTQAQGAARVAAALDDGSALGRFERMLAAQGVDPGLARALCSGSPAERRQLLPRAREQEELLAPADGTVELVRALPLALVLHELGAGRSRAGEPLRLGVGAELLVDVGQRLRRGTPWLRVHRDGPALSGPQSRALQEALVLSDRAPFAAPSPFAELVLPPQQ
+>DECOY_sp|P19971|TYPH_HUMAN Thymidine phosphorylase OS=Homo sapiens OX=9606 GN=TYMP PE=1 SV=2
+QQPPLVLEAFPSPAAFPARDSLVLAEQLARSQPGSLAPGDRHVRLWPTGRRLRQGVDVLLEAGVGLRLPEGARSRGAGLEHLVLALPLARVLEVTGDAPALLEEQERARPLLQRREAPSGSCLARALGPDVGQAALMREFRGLASGDDLAAAVRAAGQAQTGAHGSLWLLAGGLTTVLDRLDPPGAGDMCLLAEEVELAHGVCRGLPKDMATLAAAVRLGLSAGVGVLTKALERAQEQNPFVAAGGFKVDVVLASLGEVLKKSLISATILPLSDVTATVDRAAYLIGDAPVLQESQGVICCGAQDLLVQMQEPSQIVNFGPISELKDLTGGTHGLGRGSIMPVKCGCAALAPALVLSVKDGVGGTSHKDVLQQRWAEPWELQQGSQALAQTLVSTEELDMGRLRIAMLMAGIQAGQASGNVVAAVFGRIDAESLRGGDRKMRILEPLQKPEPSPDPLGQSGEGSFDGPAPPAGTGPTMLAAM
+>sp|O60294|TYW4_HUMAN tRNA wybutosine-synthesizing protein 4 OS=Homo sapiens OX=9606 GN=LCMT2 PE=1 SV=3
+MGPRSRERRAGAVQNTNDSSALSKRSLAARGYVQDPFAALLVPGAARRAPLIHRGYYVRARAVRHCVRAFLEQIGAPQAALRAQILSLGAGFDSLYFRLKTAGRLARAAVWEVDFPDVARRKAERIGETPELCALTGPFERGEPASALCFESADYCILGLDLRQLQRVEEALGAAGLDAASPTLLLAEAVLTYLEPESAAALIAWAAQRFPNALFVVYEQMRPQDAFGQFMLQHFRQLNSPLHGLERFPDVEAQRRRFLQAGWTACGAVDMNEFYHCFLPAEERRRVENIEPFDEFEEWHLKCAHYFILAASRGDTLSHTLVFPSSEAFPRVNPASPSGVFPASVVSSEGQVPNLKRYGHASVFLSPDVILSAGGFGEQEGRHCRVSQFHLLSRDCDSEWKGSQIGSCGTGVQWDGRLYHTMTRLSESRVLVLGGRLSPVSPALGVLQLHFFKSEDNNTEDLKVTITKAGRKDDSTLCCWRHSTTEVSCQNQEYLFVYGGRSVVEPVLSDWHFLHVGTMAWVRIPVEGEVPEARHSHSACTWQGGALIAGGLGASEEPLNSVLFLRPISCGFLWESVDIQPPITPRYSHTAHVLNGKLLLVGGIWIHSSSFPGVTVINLTTGLSSEYQIDTTYVPWPLMLHNHTSILLPEEQQLLLLGGGGNCFSFGTYFNPHTVTLDLSSLSAGQ
+>DECOY_sp|O60294|TYW4_HUMAN tRNA wybutosine-synthesizing protein 4 OS=Homo sapiens OX=9606 GN=LCMT2 PE=1 SV=3
+QGASLSSLDLTVTHPNFYTGFSFCNGGGGLLLLQQEEPLLISTHNHLMLPWPVYTTDIQYESSLGTTLNIVTVGPFSSSHIWIGGVLLLKGNLVHATHSYRPTIPPQIDVSEWLFGCSIPRLFLVSNLPEESAGLGGAILAGGQWTCASHSHRAEPVEGEVPIRVWAMTGVHLFHWDSLVPEVVSRGGYVFLYEQNQCSVETTSHRWCCLTSDDKRGAKTITVKLDETNNDESKFFHLQLVGLAPSVPSLRGGLVLVRSESLRTMTHYLRGDWQVGTGCSGIQSGKWESDCDRSLLHFQSVRCHRGEQEGFGGASLIVDPSLFVSAHGYRKLNPVQGESSVVSAPFVGSPSAPNVRPFAESSPFVLTHSLTDGRSAALIFYHACKLHWEEFEDFPEINEVRRREEAPLFCHYFENMDVAGCATWGAQLFRRRQAEVDPFRELGHLPSNLQRFHQLMFQGFADQPRMQEYVVFLANPFRQAAWAILAAASEPELYTLVAEALLLTPSAADLGAAGLAEEVRQLQRLDLGLICYDASEFCLASAPEGREFPGTLACLEPTEGIREAKRRAVDPFDVEWVAARALRGATKLRFYLSDFGAGLSLIQARLAAQPAGIQELFARVCHRVARARVYYGRHILPARRAAGPVLLAAFPDQVYGRAALSRKSLASSDNTNQVAGARRERSRPGM
+>sp|A2RUC4|TYW5_HUMAN tRNA wybutosine-synthesizing protein 5 OS=Homo sapiens OX=9606 GN=TYW5 PE=1 SV=1
+MAGQHLPVPRLEGVSREQFMQHLYPQRKPLVLEGIDLGPCTSKWTVDYLSQVGGKKEVKIHVAAVAQMDFISKNFVYRTLPFDQLVQRAAEEKHKEFFVSEDEKYYLRSLGEDPRKDVADIRKQFPLLKGDIKFPEFFKEEQFFSSVFRISSPGLQLWTHYDVMDNLLIQVTGKKRVVLFSPRDAQYLYLKGTKSEVLNIDNPDLAKYPLFSKARRYECSLEAGDVLFIPALWFHNVISEEFGVGVNIFWKHLPSECYDKTDTYGNKDPTAASRAAQILDRALKTLAELPEEYRDFYARRMVLHIQDKAYSKNSE
+>DECOY_sp|A2RUC4|TYW5_HUMAN tRNA wybutosine-synthesizing protein 5 OS=Homo sapiens OX=9606 GN=TYW5 PE=1 SV=1
+ESNKSYAKDQIHLVMRRAYFDRYEEPLEALTKLARDLIQAARSAATPDKNGYTDTKDYCESPLHKWFINVGVGFEESIVNHFWLAPIFLVDGAELSCEYRRAKSFLPYKALDPNDINLVESKTGKLYLYQADRPSFLVVRKKGTVQILLNDMVDYHTWLQLGPSSIRFVSSFFQEEKFFEPFKIDGKLLPFQKRIDAVDKRPDEGLSRLYYKEDESVFFEKHKEEAARQVLQDFPLTRYVFNKSIFDMQAVAAVHIKVEKKGGVQSLYDVTWKSTCPGLDIGELVLPKRQPYLHQMFQERSVGELRPVPLHQGAM
+>sp|P25490|TYY1_HUMAN Transcriptional repressor protein YY1 OS=Homo sapiens OX=9606 GN=YY1 PE=1 SV=2
+MASGDTLYIATDGSEMPAEIVELHEIEVETIPVETIETTVVGEEEEEDDDDEDGGGGDHGGGGGHGHAGHHHHHHHHHHHPPMIALQPLVTDDPTQVHHHQEVILVQTREEVVGGDDSDGLRAEDGFEDQILIPVPAPAGGDDDYIEQTLVTVAAAGKSGGGGSSSSGGGRVKKGGGKKSGKKSYLSGGAGAAGGGGADPGNKKWEQKQVQIKTLEGEFSVTMWSSDEKKDIDHETVVEEQIIGENSPPDYSEYMTGKKLPPGGIPGIDLSDPKQLAEFARMKPRKIKEDDAPRTIACPHKGCTKMFRDNSAMRKHLHTHGPRVHVCAECGKAFVESSKLKRHQLVHTGEKPFQCTFEGCGKRFSLDFNLRTHVRIHTGDRPYVCPFDGCNKKFAQSTNLKSHILTHAKAKNNQ
+>DECOY_sp|P25490|TYY1_HUMAN Transcriptional repressor protein YY1 OS=Homo sapiens OX=9606 GN=YY1 PE=1 SV=2
+QNNKAKAHTLIHSKLNTSQAFKKNCGDFPCVYPRDGTHIRVHTRLNFDLSFRKGCGEFTCQFPKEGTHVLQHRKLKSSEVFAKGCEACVHVRPGHTHLHKRMASNDRFMKTCGKHPCAITRPADDEKIKRPKMRAFEALQKPDSLDIGPIGGPPLKKGTMYESYDPPSNEGIIQEEVVTEHDIDKKEDSSWMTVSFEGELTKIQVQKQEWKKNGPDAGGGGAAGAGGSLYSKKGSKKGGGKKVRGGGSSSSGGGGSKGAAAVTVLTQEIYDDDGGAPAPVPILIQDEFGDEARLGDSDDGGVVEERTQVLIVEQHHHVQTPDDTVLPQLAIMPPHHHHHHHHHHHGAHGHGGGGGHDGGGGDEDDDDEEEEEGVVTTEITEVPITEVEIEHLEVIEAPMESGDTAIYLTDGSAM
+>sp|Q13432|U119A_HUMAN Protein unc-119 homolog A OS=Homo sapiens OX=9606 GN=UNC119 PE=1 SV=1
+MKVKKGGGGAGTATESAPGPSGQSVAPIPQPPAESESGSESEPDAGPGPRPGPLQRKQPIGPEDVLGLQRITGDYLCSPEENIYKIDFVRFKIRDMDSGTVLFEIKKPPVSERLPINRRDLDPNAGRFVRYQFTPAFLRLRQVGATVEFTVGDKPVNNFRMIERHYFRNQLLKSFDFHFGFCIPSSKNTCEHIYDFPPLSEELISEMIRHPYETQSDSFYFVDDRLVMHNKADYSYSGTP
+>DECOY_sp|Q13432|U119A_HUMAN Protein unc-119 homolog A OS=Homo sapiens OX=9606 GN=UNC119 PE=1 SV=1
+PTGSYSYDAKNHMVLRDDVFYFSDSQTEYPHRIMESILEESLPPFDYIHECTNKSSPICFGFHFDFSKLLQNRFYHREIMRFNNVPKDGVTFEVTAGVQRLRLFAPTFQYRVFRGANPDLDRRNIPLRESVPPKKIEFLVTGSDMDRIKFRVFDIKYINEEPSCLYDGTIRQLGLVDEPGIPQKRQLPGPRPGPGADPESESGSESEAPPQPIPAVSQGSPGPASETATGAGGGGKKVKM
+>sp|Q7RTZ2|U17L1_HUMAN Ubiquitin carboxyl-terminal hydrolase 17-like protein 1 OS=Homo sapiens OX=9606 GN=USP17L1 PE=3 SV=1
+MGDDSLYLGGEWQFNHFSKLTSSRPDAAFAEIQRTSLPEKSPLSSETRVDLCDDLAPVARQLAPREKLPLSSRRPAAVGAGLQNMGNTCYENASLQCLTYTLPLANYMLSREHSQTCQRPKCCMLCTMQAHITWALHSPGHVIQPSQALAAGFHRGKQEDVHEFLMFTVDAMKKACLPGHKQVDHHCKDTTLIHQIFGGCWRSQIKCLHCHGISDTFDPYLDIALDIQAAQSVKQALEQLVKPEELNGENAYHCGLCLQRAPASNTLTLHTSAKVLILVLKRFSDVAGNKLAKNVQYPECLDMQPYMSQQNTGPLVYVLYAVLVHAGWSCHDGHYFSYVKAQEVQWYKMDDAEVTVCSIISVLSQQAYVLFYIQKSEWERHSESVSRGREPRALGAEDTDRRAKQGELKRDHPCLQAPELDEHLVERATQESTLDHWKFLQEQNKTKPEFNVGKVEGTLPPNALVIHQSKYKCGMKNHHPEQQSSLLNLSSTTRTDQESMNTGTLASLQGRTRRAKGKNKHSKRALLVCQ
+>DECOY_sp|Q7RTZ2|U17L1_HUMAN Ubiquitin carboxyl-terminal hydrolase 17-like protein 1 OS=Homo sapiens OX=9606 GN=USP17L1 PE=3 SV=1
+QCVLLARKSHKNKGKARRTRGQLSALTGTNMSEQDTRTTSSLNLLSSQQEPHHNKMGCKYKSQHIVLANPPLTGEVKGVNFEPKTKNQEQLFKWHDLTSEQTAREVLHEDLEPAQLCPHDRKLEGQKARRDTDEAGLARPERGRSVSESHREWESKQIYFLVYAQQSLVSIISCVTVEADDMKYWQVEQAKVYSFYHGDHCSWGAHVLVAYLVYVLPGTNQQSMYPQMDLCEPYQVNKALKNGAVDSFRKLVLILVKASTHLTLTNSAPARQLCLGCHYANEGNLEEPKVLQELAQKVSQAAQIDLAIDLYPDFTDSIGHCHLCKIQSRWCGGFIQHILTTDKCHHDVQKHGPLCAKKMADVTFMLFEHVDEQKGRHFGAALAQSPQIVHGPSHLAWTIHAQMTCLMCCKPRQCTQSHERSLMYNALPLTYTLCQLSANEYCTNGMNQLGAGVAAPRRSSLPLKERPALQRAVPALDDCLDVRTESSLPSKEPLSTRQIEAFAADPRSSTLKSFHNFQWEGGLYLSDDGM
+>sp|A6NCW7|U17L4_HUMAN Inactive ubiquitin carboxyl-terminal hydrolase 17-like protein 4 OS=Homo sapiens OX=9606 GN=USP17L4 PE=3 SV=3
+MGDDSLYLGGEWQFNHFSKLTSSRPDAAFAEIQRTSLPEKSPLSSETRVDLCDDLAPVARQLAPREKLPLSSRRPAAVGAGLQNMGNTCYENASLQCLTYTLPLANYMLSREHSQTCQRPKCCMLCTMQAHITWALHSPGHVIQPSQALAAGFHRGKQEDVHEFLMFTVDAMKKACLPGHKQVDHHSKDTTLIHQIFGGCWRSQIKCLHCHGISDTFDPYLDIALDIQAAQSVKQALEQLVKPEELNGENAYHCGLCLQRAPASNTLTLHTSAKVLILVLKRFSDVAGNKLAKNVQYPECLDMQPYMSQQNTGPLVYVLYAVLVHAGWSCHDGYYFSYVKAQEGQWYKMDDAEVTVCSITSVLSQQAYVLFYIQKSEWERHSESVSRGREPRALGAEDTDRPATQGELKRDHPCLQVPELDEHLVERATEESTLDHWKFPQEQNKMKPEFNVRKVEGTLPPNVLVIHQSKYKCGMKNHHPEQQSSLLNLSSMNSTDQESMNTGTLASLQGRTRRSKGKNKHSKRSLLVCQ
+>DECOY_sp|A6NCW7|U17L4_HUMAN Inactive ubiquitin carboxyl-terminal hydrolase 17-like protein 4 OS=Homo sapiens OX=9606 GN=USP17L4 PE=3 SV=3
+QCVLLSRKSHKNKGKSRRTRGQLSALTGTNMSEQDTSNMSSLNLLSSQQEPHHNKMGCKYKSQHIVLVNPPLTGEVKRVNFEPKMKNQEQPFKWHDLTSEETAREVLHEDLEPVQLCPHDRKLEGQTAPRDTDEAGLARPERGRSVSESHREWESKQIYFLVYAQQSLVSTISCVTVEADDMKYWQGEQAKVYSFYYGDHCSWGAHVLVAYLVYVLPGTNQQSMYPQMDLCEPYQVNKALKNGAVDSFRKLVLILVKASTHLTLTNSAPARQLCLGCHYANEGNLEEPKVLQELAQKVSQAAQIDLAIDLYPDFTDSIGHCHLCKIQSRWCGGFIQHILTTDKSHHDVQKHGPLCAKKMADVTFMLFEHVDEQKGRHFGAALAQSPQIVHGPSHLAWTIHAQMTCLMCCKPRQCTQSHERSLMYNALPLTYTLCQLSANEYCTNGMNQLGAGVAAPRRSSLPLKERPALQRAVPALDDCLDVRTESSLPSKEPLSTRQIEAFAADPRSSTLKSFHNFQWEGGLYLSDDGM
+>sp|P0C7H9|U17L7_HUMAN Inactive ubiquitin carboxyl-terminal hydrolase 17-like protein 7 OS=Homo sapiens OX=9606 GN=USP17L7 PE=3 SV=1
+MEDDSLYLGGDWQFNHFSKLTSSRLDAAFAEIQRTSLSEKSPLSSETRFDLCDDLAPVARQLAPREKLPLSSRRPAAVGAGLQKIGNTFYVNVSLQCLTYTLPLSNYMLSREDSQTCHLHKCCMFCTMQAHITWALHSPGHVIQPSQVLAAGFHRGEQEDAHEFLMFTVDAMKKACLPGHKQLDHHSKDTTLIHQIFGAYWRSQIKYLHCHGVSDTFDPYLDIALDIQAAQSVKQALEQLVKPKELNGENAYHCGLCLQKAPASKTLTLPTSAKVLILVLKRFSDVTGNKLAKNVQYPKCRDMQPYMSQQNTGPLVYVLYAVLVHAGWSCHNGHYFSYVKAQEGQWYKMDDAEVTASGITSVLSQQAYVLFYIQKSEWERHSESVSRGREPRALGAEDTDRPATQGELKRDHPCLQVPELDEHLVERATQESTLDHWKFPQEQNKTKPEFNVRKVEGTLPPNVLVIHQSKYKCGMKNHHPEQQSSLLNLSSTKPTDQESMNTGTLASLQGSTRRSKGNNKHSKRSLLVCQ
+>DECOY_sp|P0C7H9|U17L7_HUMAN Inactive ubiquitin carboxyl-terminal hydrolase 17-like protein 7 OS=Homo sapiens OX=9606 GN=USP17L7 PE=3 SV=1
+QCVLLSRKSHKNNGKSRRTSGQLSALTGTNMSEQDTPKTSSLNLLSSQQEPHHNKMGCKYKSQHIVLVNPPLTGEVKRVNFEPKTKNQEQPFKWHDLTSEQTAREVLHEDLEPVQLCPHDRKLEGQTAPRDTDEAGLARPERGRSVSESHREWESKQIYFLVYAQQSLVSTIGSATVEADDMKYWQGEQAKVYSFYHGNHCSWGAHVLVAYLVYVLPGTNQQSMYPQMDRCKPYQVNKALKNGTVDSFRKLVLILVKASTPLTLTKSAPAKQLCLGCHYANEGNLEKPKVLQELAQKVSQAAQIDLAIDLYPDFTDSVGHCHLYKIQSRWYAGFIQHILTTDKSHHDLQKHGPLCAKKMADVTFMLFEHADEQEGRHFGAALVQSPQIVHGPSHLAWTIHAQMTCFMCCKHLHCTQSDERSLMYNSLPLTYTLCQLSVNVYFTNGIKQLGAGVAAPRRSSLPLKERPALQRAVPALDDCLDFRTESSLPSKESLSTRQIEAFAADLRSSTLKSFHNFQWDGGLYLSDDEM
+>sp|A6NN06|U633A_HUMAN Putative UPF0633 protein MGC21881 OS=Homo sapiens OX=9606 PE=5 SV=1
+MRKLRLRASNPGPSGAPGTRRHFSTSGGGHHCGRRWLRRVRRSRSQTPSCQNLDPNPPIARFPLPLERISEVPRRACLHGRDASSVWPPPERSD
+>DECOY_sp|A6NN06|U633A_HUMAN Putative UPF0633 protein MGC21881 OS=Homo sapiens OX=9606 PE=5 SV=1
+DSREPPPWVSSADRGHLCARRPVESIRELPLPFRAIPPNPDLNQCSPTQSRSRRVRRLWRRGCHHGGGSTSFHRRTGPAGSPGPNSARLRLKRM
+>sp|P62837|UB2D2_HUMAN Ubiquitin-conjugating enzyme E2 D2 OS=Homo sapiens OX=9606 GN=UBE2D2 PE=1 SV=1
+MALKRIHKELNDLARDPPAQCSAGPVGDDMFHWQATIMGPNDSPYQGGVFFLTIHFPTDYPFKPPKVAFTTRIYHPNINSNGSICLDILRSQWSPALTISKVLLSICSLLCDPNPDDPLVPEIARIYKTDREKYNRIAREWTQKYAM
+>DECOY_sp|P62837|UB2D2_HUMAN Ubiquitin-conjugating enzyme E2 D2 OS=Homo sapiens OX=9606 GN=UBE2D2 PE=1 SV=1
+MAYKQTWERAIRNYKERDTKYIRAIEPVLPDDPNPDCLLSCISLLVKSITLAPSWQSRLIDLCISGNSNINPHYIRTTFAVKPPKFPYDTPFHITLFFVGGQYPSDNPGMITAQWHFMDDGVPGASCQAPPDRALDNLEKHIRKLAM
+>sp|P51965|UB2E1_HUMAN Ubiquitin-conjugating enzyme E2 E1 OS=Homo sapiens OX=9606 GN=UBE2E1 PE=1 SV=1
+MSDDDSRASTSSSSSSSSNQQTEKETNTPKKKESKVSMSKNSKLLSTSAKRIQKELADITLDPPPNCSAGPKGDNIYEWRSTILGPPGSVYEGGVFFLDITFTPEYPFKPPKVTFRTRIYHCNINSQGVICLDILKDNWSPALTISKVLLSICSLLTDCNPADPLVGSIATQYMTNRAEHDRMARQWTKRYAT
+>DECOY_sp|P51965|UB2E1_HUMAN Ubiquitin-conjugating enzyme E2 E1 OS=Homo sapiens OX=9606 GN=UBE2E1 PE=1 SV=1
+TAYRKTWQRAMRDHEARNTMYQTAISGVLPDAPNCDTLLSCISLLVKSITLAPSWNDKLIDLCIVGQSNINCHYIRTRFTVKPPKFPYEPTFTIDLFFVGGEYVSGPPGLITSRWEYINDGKPGASCNPPPDLTIDALEKQIRKASTSLLKSNKSMSVKSEKKKPTNTEKETQQNSSSSSSSSTSARSDDDSM
+>sp|Q969T4|UB2E3_HUMAN Ubiquitin-conjugating enzyme E2 E3 OS=Homo sapiens OX=9606 GN=UBE2E3 PE=1 SV=1
+MSSDRQRSDDESPSTSSGSSDADQRDPAAPEPEEQEERKPSATQQKKNTKLSSKTTAKLSTSAKRIQKELAEITLDPPPNCSAGPKGDNIYEWRSTILGPPGSVYEGGVFFLDITFSSDYPFKPPKVTFRTRIYHCNINSQGVICLDILKDNWSPALTISKVLLSICSLLTDCNPADPLVGSIATQYLTNRAEHDRIARQWTKRYAT
+>DECOY_sp|Q969T4|UB2E3_HUMAN Ubiquitin-conjugating enzyme E2 E3 OS=Homo sapiens OX=9606 GN=UBE2E3 PE=1 SV=1
+TAYRKTWQRAIRDHEARNTLYQTAISGVLPDAPNCDTLLSCISLLVKSITLAPSWNDKLIDLCIVGQSNINCHYIRTRFTVKPPKFPYDSSFTIDLFFVGGEYVSGPPGLITSRWEYINDGKPGASCNPPPDLTIEALEKQIRKASTSLKATTKSSLKTNKKQQTASPKREEQEEPEPAAPDRQDADSSGSSTSPSEDDSRQRDSSM
+>sp|Q9Y385|UB2J1_HUMAN Ubiquitin-conjugating enzyme E2 J1 OS=Homo sapiens OX=9606 GN=UBE2J1 PE=1 SV=2
+METRYNLKSPAVKRLMKEAAELKDPTDHYHAQPLEDNLFEWHFTVRGPPDSDFDGGVYHGRIVLPPEYPMKPPSIILLTANGRFEVGKKICLSISGHHPETWQPSWSIRTALLAIIGFMPTKGEGAIGSLDYTPEERRALAKKSQDFCCEGCGSAMKDVLLPLKSGSDSSQADQEAKELARQISFKAEVNSSGKTISESDLNHSFSLTDLQDDIPTTFQGATASTSYGLQNSSAASFHQPTQPVAKNTSMSPRQRRAQQQSQRRLSTSPDVIQGHQPRDNHTDHGGSAVLIVILTLALAALIFRRIYLANEYIFDFEL
+>DECOY_sp|Q9Y385|UB2J1_HUMAN Ubiquitin-conjugating enzyme E2 J1 OS=Homo sapiens OX=9606 GN=UBE2J1 PE=1 SV=2
+LEFDFIYENALYIRRFILAALALTLIVILVASGGHDTHNDRPQHGQIVDPSTSLRRQSQQQARRQRPSMSTNKAVPQTPQHFSAASSNQLGYSTSATAGQFTTPIDDQLDTLSFSHNLDSESITKGSSNVEAKFSIQRALEKAEQDAQSSDSGSKLPLLVDKMASGCGECCFDQSKKALARREEPTYDLSGIAGEGKTPMFGIIALLATRISWSPQWTEPHHGSISLCIKKGVEFRGNATLLIISPPKMPYEPPLVIRGHYVGGDFDSDPPGRVTFHWEFLNDELPQAHYHDTPDKLEAAEKMLRKVAPSKLNYRTEM
+>sp|O14933|UB2L6_HUMAN Ubiquitin/ISG15-conjugating enzyme E2 L6 OS=Homo sapiens OX=9606 GN=UBE2L6 PE=1 SV=4
+MMASMRVVKELEDLQKKPPPYLRNLSSDDANVLVWHALLLPDQPPYHLKAFNLRISFPPEYPFKPPMIKFTTKIYHPNVDENGQICLPIISSENWKPCTKTCQVLEALNVLVNRPNIREPLRMDLADLLTQNPELFRKNAEEFTLRFGVDRPS
+>DECOY_sp|O14933|UB2L6_HUMAN Ubiquitin/ISG15-conjugating enzyme E2 L6 OS=Homo sapiens OX=9606 GN=UBE2L6 PE=1 SV=4
+SPRDVGFRLTFEEANKRFLEPNQTLLDALDMRLPERINPRNVLVNLAELVQCTKTCPKWNESSIIPLCIQGNEDVNPHYIKTTFKIMPPKFPYEPPFSIRLNFAKLHYPPQDPLLLAHWVLVNADDSSLNRLYPPPKKQLDELEKVVRMSAMM
+>sp|Q5T6F2|UBAP2_HUMAN Ubiquitin-associated protein 2 OS=Homo sapiens OX=9606 GN=UBAP2 PE=1 SV=1
+MMTSVSSDHCRGAREKPQISAAQSTQPQKQVVQATAEQMRLAQVIFDKNDSDFEAKVKQLMEVTGKNQDECIVALHDCNGDVNKAINILLEGNSDTTSWETVGCKKKNFAKENSENKENREKKSEKESSRGRGNNNRKGRGGNRGREFRGEENGIDCNQVDKPSDRGKRARGRGFGRGRGRGAGRFSTQGMGTFNPADYSDSTSTDVCGTKLVVWEAAQNGADEGTELASNTHNIAQDLSNKSSYGLKGAWKNSVEEWTTEDWTEDLSETKVFTASSAPAENHILPGQSIDLVALLQKPVPHSQASEANSFETSQQQGFGQALVFTNSQHNNQMAPGTGSSTAVNSCSPQSLSSVLGSGFGELAPPKMANITSSQILDQLKAPSLGQFTTTPSTQQNSTSHPTTTTSWDLKPPTSQSSVLSHLDFKSQPEPSPVLSQLSQRQQHQSQAVTVPPPGLESFPSQAKLRESTPGDSPSTVNKLLQLPSTTIENISVSVHQPQPKHIKLAKRRIPPASKIPASAVEMPGSADVTGLNVQFGALEFGSEPSLSEFGSAPSSENSNQIPISLYSKSLSEPLNTSLSMTSAVQNSTYTTSVITSCSLTSSSLNSASPVAMSSSYDQSSVHNRIPYQSPVSSSESAPGTIMNGHGGGRSQQTLDTPKTTGPPSALPSVSSLPSTTSCTALLPSTSQHTGDLTSSPLSQLSSSLSSHQSSLSAHAALSSSTSHTHASVESASSHQSSATFSTAATSVSSSASSGASLSSSMNTANSLCLGGTPASASSSSSRAAPLVTSGKAPPNLPQGVPPLLHNQYLVGPGGLLPAYPIYGYDELQMLQSRLPVDYYGIPFAAPTALASRDGSLANNPYPGDVTKFGRGDSASPAPATTPAQPQQSQSQTHHTAQQPFVNPALPPGYSYTGLPYYTGMPSAFQYGPTMFVPPASAKQHGVNLSTPTPPFQQASGYGQHGYSTGYDDLTQGTAAGDYSKGGYAGSSQAPNKSAGSGPGKGVSVSSSTTGLPDMTGSVYNKTQTFDKQGFHAGTPPPFSLPSVLGSTGPLASGAAPGYAPPPFLHILPAHQQPHSQLLHHHLPQDAQSGSGQRSQPSSLQPKSQASKPAYGNSPYWTN
+>DECOY_sp|Q5T6F2|UBAP2_HUMAN Ubiquitin-associated protein 2 OS=Homo sapiens OX=9606 GN=UBAP2 PE=1 SV=1
+NTWYPSNGYAPKSAQSKPQLSSPQSRQGSGSQADQPLHHHLLQSHPQQHAPLIHLFPPPAYGPAAGSALPGTSGLVSPLSFPPPTGAHFGQKDFTQTKNYVSGTMDPLGTTSSSVSVGKGPGSGASKNPAQSSGAYGGKSYDGAATGQTLDDYGTSYGHQGYGSAQQFPPTPTSLNVGHQKASAPPVFMTPGYQFASPMGTYYPLGTYSYGPPLAPNVFPQQATHHTQSQSQQPQAPTTAPAPSASDGRGFKTVDGPYPNNALSGDRSALATPAAFPIGYYDVPLRSQLMQLEDYGYIPYAPLLGGPGVLYQNHLLPPVGQPLNPPAKGSTVLPAARSSSSSASAPTGGLCLSNATNMSSSLSAGSSASSSVSTAATSFTASSQHSSASEVSAHTHSTSSSLAAHASLSSQHSSLSSSLQSLPSSTLDGTHQSTSPLLATCSTTSPLSSVSPLASPPGTTKPTDLTQQSRGGGHGNMITGPASESSSVPSQYPIRNHVSSQDYSSSMAVPSASNLSSSTLSCSTIVSTTYTSNQVASTMSLSTNLPESLSKSYLSIPIQNSNESSPASGFESLSPESGFELAGFQVNLGTVDASGPMEVASAPIKSAPPIRRKALKIHKPQPQHVSVSINEITTSPLQLLKNVTSPSDGPTSERLKAQSPFSELGPPPVTVAQSQHQQRQSLQSLVPSPEPQSKFDLHSLVSSQSTPPKLDWSTTTTPHSTSNQQTSPTTTFQGLSPAKLQDLIQSSTINAMKPPALEGFGSGLVSSLSQPSCSNVATSSGTGPAMQNNHQSNTFVLAQGFGQQQSTEFSNAESAQSHPVPKQLLAVLDISQGPLIHNEAPASSATFVKTESLDETWDETTWEEVSNKWAGKLGYSSKNSLDQAINHTNSALETGEDAGNQAAEWVVLKTGCVDTSTSDSYDAPNFTGMGQTSFRGAGRGRGRGFGRGRARKGRDSPKDVQNCDIGNEEGRFERGRNGGRGKRNNNGRGRSSEKESKKERNEKNESNEKAFNKKKCGVTEWSTTDSNGELLINIAKNVDGNCDHLAVICEDQNKGTVEMLQKVKAEFDSDNKDFIVQALRMQEATAQVVQKQPQTSQAASIQPKERAGRCHDSSVSTMM
+>sp|P62256|UBE2H_HUMAN Ubiquitin-conjugating enzyme E2 H OS=Homo sapiens OX=9606 GN=UBE2H PE=1 SV=1
+MSSPSPGKRRMDTDVVKLIESKHEVTILGGLNEFVVKFYGPQGTPYEGGVWKVRVDLPDKYPFKSPSIGFMNKIFHPNIDEASGTVCLDVINQTWTALYDLTNIFESFLPQLLAYPNPIDPLNGDAAAMYLHRPEEYKQKIKEYIQKYATEEALKEQEEGTGDSSSESSMSDFSEDEAQDMEL
+>DECOY_sp|P62256|UBE2H_HUMAN Ubiquitin-conjugating enzyme E2 H OS=Homo sapiens OX=9606 GN=UBE2H PE=1 SV=1
+LEMDQAEDESFDSMSSESSSDGTGEEQEKLAEETAYKQIYEKIKQKYEEPRHLYMAAADGNLPDIPNPYALLQPLFSEFINTLDYLATWTQNIVDLCVTGSAEDINPHFIKNMFGISPSKFPYKDPLDVRVKWVGGEYPTGQPGYFKVVFENLGGLITVEHKSEILKVVDTDMRRKGPSPSSM
+>sp|P61086|UBE2K_HUMAN Ubiquitin-conjugating enzyme E2 K OS=Homo sapiens OX=9606 GN=UBE2K PE=1 SV=3
+MANIAVQRIKREFKEVLKSEETSKNQIKVDLVDENFTELRGEIAGPPDTPYEGGRYQLEIKIPETYPFNPPKVRFITKIWHPNISSVTGAICLDILKDQWAAAMTLRTVLLSLQALLAAAEPDDPQDAVVANQYKQNPEMFKQTARLWAHVYAGAPVSSPEYTKKIENLCAMGFDRNAVIVALSSKSWDVETATELLLSN
+>DECOY_sp|P61086|UBE2K_HUMAN Ubiquitin-conjugating enzyme E2 K OS=Homo sapiens OX=9606 GN=UBE2K PE=1 SV=3
+NSLLLETATEVDWSKSSLAVIVANRDFGMACLNEIKKTYEPSSVPAGAYVHAWLRATQKFMEPNQKYQNAVVADQPDDPEAAALLAQLSLLVTRLTMAAAWQDKLIDLCIAGTVSSINPHWIKTIFRVKPPNFPYTEPIKIELQYRGGEYPTDPPGAIEGRLETFNEDVLDVKIQNKSTEESKLVEKFERKIRQVAINAM
+>sp|Q9NPD8|UBE2T_HUMAN Ubiquitin-conjugating enzyme E2 T OS=Homo sapiens OX=9606 GN=UBE2T PE=1 SV=1
+MQRASRLKRELHMLATEPPPGITCWQDKDQMDDLRAQILGGANTPYEKGVFKLEVIIPERYPFEPPQIRFLTPIYHPNIDSAGRICLDVLKLPPKGAWRPSLNIATVLTSIQLLMSEPNPDDPLMADISSEFKYNKPAFLKNARQWTEKHARQKQKADEEEMLDNLPEAGDSRVHNSTQKRKASQLVGIEKKFHPDV
+>DECOY_sp|Q9NPD8|UBE2T_HUMAN Ubiquitin-conjugating enzyme E2 T OS=Homo sapiens OX=9606 GN=UBE2T PE=1 SV=1
+VDPHFKKEIGVLQSAKRKQTSNHVRSDGAEPLNDLMEEEDAKQKQRAHKETWQRANKLFAPKNYKFESSIDAMLPDDPNPESMLLQISTLVTAINLSPRWAGKPPLKLVDLCIRGASDINPHYIPTLFRIQPPEFPYREPIIVELKFVGKEYPTNAGGLIQARLDDMQDKDQWCTIGPPPETALMHLERKLRSARQM
+>sp|P0CB47|UBFL1_HUMAN Upstream-binding factor 1-like protein 1 OS=Homo sapiens OX=9606 GN=UBTFL1 PE=3 SV=1
+MALPRSQGHWSNKDILRLLECMENNRPSDDNSTFSSTQSHMDWGKVAFKNFSGEMCRLKWLEISCNLRKFGTLKELVLEAKKCVKKMNKSQKYRNGPDFPKRPLTAYNRFFKESWPQYSQMYPGMRSQELTKILSKKYRELPEQMKQKYIQDFRKEKQEFEEKLARFREEHPDLVQKAKKSSVSKRTQNKVQKKFQKNIEEVRSLPKTDRFFKKVKFHGEPQKPPMNGYHKFHQDSWSSKEMQHLSVRERMVEIGRRWQRIPQSQKDHFKSQAEELQKQYKVKLDLWLKTLSPENYAAYKESTYAKGKNMAMTGGPDPRLKQADPQSSSAKGLQEGFGEGQGLQAAGTDSSQTIWVNCHVSMEPEENRKKDREKEESSNSSDCSSGEEIEVDV
+>DECOY_sp|P0CB47|UBFL1_HUMAN Upstream-binding factor 1-like protein 1 OS=Homo sapiens OX=9606 GN=UBTFL1 PE=3 SV=1
+VDVEIEEGSSCDSSNSSEEKERDKKRNEEPEMSVHCNVWITQSSDTGAAQLGQGEGFGEQLGKASSSQPDAQKLRPDPGGTMAMNKGKAYTSEKYAAYNEPSLTKLWLDLKVKYQKQLEEAQSKFHDKQSQPIRQWRRGIEVMRERVSLHQMEKSSWSDQHFKHYGNMPPKQPEGHFKVKKFFRDTKPLSRVEEINKQFKKQVKNQTRKSVSSKKAKQVLDPHEERFRALKEEFEQKEKRFDQIYKQKMQEPLERYKKSLIKTLEQSRMGPYMQSYQPWSEKFFRNYATLPRKPFDPGNRYKQSKNMKKVCKKAELVLEKLTGFKRLNCSIELWKLRCMEGSFNKFAVKGWDMHSQTSSFTSNDDSPRNNEMCELLRLIDKNSWHGQSRPLAM
+>sp|P0CB48|UBFL6_HUMAN Putative upstream-binding factor 1-like protein 6 OS=Homo sapiens OX=9606 GN=UBTFL6 PE=5 SV=1
+MPAKDIKVALPRSQGHWSNADILRLLECMENNLPYDDNGTFSSTQSHMDWGKVAFKNFSGEMCRLKWLEISCSLRKFSTLKKLVLEAKKCVKNTNKSQKGRNHPDFPKRLLTAYIRFFKENWPQYSQMYPGMRSQEVTKILSKKYKELPEQMKQKHIQDFRKEKQEFEEKLARFREEHPDLDQKGKKSDICKRVQTKVQKKVQKNIEEVRSLPKTDQFFKKVKFHGEPQKPPMNGYQKFHQDSWSSKELQHLSLRERMVEIGRRWQRIPQSQKDHYKSQAELLQKEYKVELDLWLKTLSPEDYAAYKESTYAKGKNMAMMGGPAPSLKQTDPQSSSAKGLQEGFGEGQGLQAAGTEASQTIWVNCQVSMEPEDNRKKDGEEEESSNSLDCSSGEDMEVDV
+>DECOY_sp|P0CB48|UBFL6_HUMAN Putative upstream-binding factor 1-like protein 6 OS=Homo sapiens OX=9606 GN=UBTFL6 PE=5 SV=1
+VDVEMDEGSSCDLSNSSEEEEGDKKRNDEPEMSVQCNVWITQSAETGAAQLGQGEGFGEQLGKASSSQPDTQKLSPAPGGMMAMNKGKAYTSEKYAAYDEPSLTKLWLDLEVKYEKQLLEAQSKYHDKQSQPIRQWRRGIEVMRERLSLHQLEKSSWSDQHFKQYGNMPPKQPEGHFKVKKFFQDTKPLSRVEEINKQVKKQVKTQVRKCIDSKKGKQDLDPHEERFRALKEEFEQKEKRFDQIHKQKMQEPLEKYKKSLIKTVEQSRMGPYMQSYQPWNEKFFRIYATLLRKPFDPHNRGKQSKNTNKVCKKAELVLKKLTSFKRLSCSIELWKLRCMEGSFNKFAVKGWDMHSQTSSFTGNDDYPLNNEMCELLRLIDANSWHGQSRPLAVKIDKAPM
+>sp|Q9Y5Z9|UBIA1_HUMAN UbiA prenyltransferase domain-containing protein 1 OS=Homo sapiens OX=9606 GN=UBIAD1 PE=1 SV=1
+MAASQVLGEKINILSGETVKAGDRDPLGNDCPEQDRLPQRSWRQKCASYVLALRPWSFSASLTPVALGSALAYRSHGVLDPRLLVGCAVAVLAVHGAGNLVNTYYDFSKGIDHKKSDDRTLVDRILEPQDVVRFGVFLYTLGCVCAACLYYLSPLKLEHLALIYFGGLSGSFLYTGGIGFKYVALGDLIILITFGPLAVMFAYAIQVGSLAIFPLVYAIPLALSTEAILHSNNTRDMESDREAGIVTLAILIGPTFSYILYNTLLFLPYLVFSILATHCTISLALPLLTIPMAFSLERQFRSQAFNKLPQRTAKLNLLLGLFYVFGIILAPAGSLPKI
+>DECOY_sp|Q9Y5Z9|UBIA1_HUMAN UbiA prenyltransferase domain-containing protein 1 OS=Homo sapiens OX=9606 GN=UBIAD1 PE=1 SV=1
+IKPLSGAPALIIGFVYFLGLLLNLKATRQPLKNFAQSRFQRELSFAMPITLLPLALSITCHTALISFVLYPLFLLTNYLIYSFTPGILIALTVIGAERDSEMDRTNNSHLIAETSLALPIAYVLPFIALSGVQIAYAFMVALPGFTILIILDGLAVYKFGIGGTYLFSGSLGGFYILALHELKLPSLYYLCAACVCGLTYLFVGFRVVDQPELIRDVLTRDDSKKHDIGKSFDYYTNVLNGAGHVALVAVACGVLLRPDLVGHSRYALASGLAVPTLSASFSWPRLALVYSACKQRWSRQPLRDQEPCDNGLPDRDGAKVTEGSLINIKEGLVQSAAM
+>sp|A6NDN8|UBIML_HUMAN Putative ubiquitin-like protein FUBI-like protein ENSP00000310146 OS=Homo sapiens OX=9606 PE=4 SV=2
+MRGRRRAWRGAWRGGGAADLSLLCPQVAYVRARELHTLEVTGLETVAQSKAHVASLEGLIPEDKVVLLAGSPLQNEATLGQCGVEALTTLEVVGRRLGVHNV
+>DECOY_sp|A6NDN8|UBIML_HUMAN Putative ubiquitin-like protein FUBI-like protein ENSP00000310146 OS=Homo sapiens OX=9606 PE=4 SV=2
+VNHVGLRRGVVELTTLAEVGCQGLTAENQLPSGALLVVKDEPILGELSAVHAKSQAVTELGTVELTHLERARVYAVQPCLLSLDAAGGGRWAGRWARRRGRM
+>sp|P54578|UBP14_HUMAN Ubiquitin carboxyl-terminal hydrolase 14 OS=Homo sapiens OX=9606 GN=USP14 PE=1 SV=3
+MPLYSVTVKWGKEKFEGVELNTDEPPMVFKAQLFALTGVQPARQKVMVKGGTLKDDDWGNIKIKNGMTLLMMGSADALPEEPSAKTVFVEDMTEEQLASAMELPCGLTNLGNTCYMNATVQCIRSVPELKDALKRYAGALRASGEMASAQYITAALRDLFDSMDKTSSSIPPIILLQFLHMAFPQFAEKGEQGQYLQQDANECWIQMMRVLQQKLEAIEDDSVKETDSSSASAATPSKKKSLIDQFFGVEFETTMKCTESEEEEVTKGKENQLQLSCFINQEVKYLFTGLKLRLQEEITKQSPTLQRNALYIKSSKISRLPAYLTIQMVRFFYKEKESVNAKVLKDVKFPLMLDMYELCTPELQEKMVSFRSKFKDLEDKKVNQQPNTSDKKSSPQKEVKYEPFSFADDIGSNNCGYYDLQAVLTHQGRSSSSGHYVSWVKRKQDEWIKFDDDKVSIVTPEDILRLSGGGDWHIAYVLLYGPRRVEIMEEESEQ
+>DECOY_sp|P54578|UBP14_HUMAN Ubiquitin carboxyl-terminal hydrolase 14 OS=Homo sapiens OX=9606 GN=USP14 PE=1 SV=3
+QESEEEMIEVRRPGYLLVYAIHWDGGGSLRLIDEPTVISVKDDDFKIWEDQKRKVWSVYHGSSSSRGQHTLVAQLDYYGCNNSGIDDAFSFPEYKVEKQPSSKKDSTNPQQNVKKDELDKFKSRFSVMKEQLEPTCLEYMDLMLPFKVDKLVKANVSEKEKYFFRVMQITLYAPLRSIKSSKIYLANRQLTPSQKTIEEQLRLKLGTFLYKVEQNIFCSLQLQNEKGKTVEEEESETCKMTTEFEVGFFQDILSKKKSPTAASASSSDTEKVSDDEIAELKQQLVRMMQIWCENADQQLYQGQEGKEAFQPFAMHLFQLLIIPPISSSTKDMSDFLDRLAATIYQASAMEGSARLAGAYRKLADKLEPVSRICQVTANMYCTNGLNTLGCPLEMASALQEETMDEVFVTKASPEEPLADASGMMLLTMGNKIKINGWDDDKLTGGKVMVKQRAPQVGTLAFLQAKFVMPPEDTNLEVGEFKEKGWKVTVSYLPM
+>sp|Q9Y2K6|UBP20_HUMAN Ubiquitin carboxyl-terminal hydrolase 20 OS=Homo sapiens OX=9606 GN=USP20 PE=1 SV=2
+MGDSRDLCPHLDSIGEVTKEDLLLKSKGTCQSCGVTGPNLWACLQVACPYVGCGESFADHSTIHAQAKKHNLTVNLTTFRLWCYACEKEVFLEQRLAAPLLGSSSKFSEQDSPPPSHPLKAVPIAVADEGESESEDDDLKPRGLTGMKNLGNSCYMNAALQALSNCPPLTQFFLECGGLVRTDKKPALCKSYQKLVSEVWHKKRPSYVVPTSLSHGIKLVNPMFRGYAQQDTQEFLRCLMDQLHEELKEPVVATVALTEARDSDSSDTDEKREGDRSPSEDEFLSCDSSSDRGEGDGQGRGGGSSQAETELLIPDEAGRAISEKERMKDRKFSWGQQRTNSEQVDEDADVDTAMAALDDQPAEAQPPSPRSSSPCRTPEPDNDAHLRSSSRPCSPVHHHEGHAKLSSSPPRASPVRMAPSYVLKKAQVLSAGSRRRKEQRYRSVISDIFDGSILSLVQCLTCDRVSTTVETFQDLSLPIPGKEDLAKLHSAIYQNVPAKPGACGDSYAAQGWLAFIVEYIRRFVVSCTPSWFWGPVVTLEDCLAAFFAADELKGDNMYSCERCKKLRNGVKYCKVLRLPEILCIHLKRFRHEVMYSFKINSHVSFPLEGLDLRPFLAKECTSQITTYDLLSVICHHGTAGSGHYIAYCQNVINGQWYEFDDQYVTEVHETVVQNAEGYVLFYRKSSEEAMRERQQVVSLAAMREPSLLRFYVSREWLNKFNTFAEPGPITNQTFLCSHGGIPPHKYHYIDDLVVILPQNVWEHLYNRFGGGPAVNHLYVCSICQVEIEALAKRRRIEIDTFIKLNKAFQAEESPGVIYCISMQWFREWEAFVKGKDNEPPGPIDNSRIAQVKGSGHVQLKQGADYGQISEETWTYLNSLYGGGPEIAIRQSVAQPLGPENLHGEQKIEAETRAV
+>DECOY_sp|Q9Y2K6|UBP20_HUMAN Ubiquitin carboxyl-terminal hydrolase 20 OS=Homo sapiens OX=9606 GN=USP20 PE=1 SV=2
+VARTEAEIKQEGHLNEPGLPQAVSQRIAIEPGGGYLSNLYTWTEESIQGYDAGQKLQVHGSGKVQAIRSNDIPGPPENDKGKVFAEWERFWQMSICYIVGPSEEAQFAKNLKIFTDIEIRRRKALAEIEVQCISCVYLHNVAPGGGFRNYLHEWVNQPLIVVLDDIYHYKHPPIGGHSCLFTQNTIPGPEAFTNFKNLWERSVYFRLLSPERMAALSVVQQRERMAEESSKRYFLVYGEANQVVTEHVETVYQDDFEYWQGNIVNQCYAIYHGSGATGHHCIVSLLDYTTIQSTCEKALFPRLDLGELPFSVHSNIKFSYMVEHRFRKLHICLIEPLRLVKCYKVGNRLKKCRECSYMNDGKLEDAAFFAALCDELTVVPGWFWSPTCSVVFRRIYEVIFALWGQAAYSDGCAGPKAPVNQYIASHLKALDEKGPIPLSLDQFTEVTTSVRDCTLCQVLSLISGDFIDSIVSRYRQEKRRRSGASLVQAKKLVYSPAMRVPSARPPSSSLKAHGEHHHVPSCPRSSSRLHADNDPEPTRCPSSSRPSPPQAEAPQDDLAAMATDVDADEDVQESNTRQQGWSFKRDKMREKESIARGAEDPILLETEAQSSGGGRGQGDGEGRDSSSDCSLFEDESPSRDGERKEDTDSSDSDRAETLAVTAVVPEKLEEHLQDMLCRLFEQTDQQAYGRFMPNVLKIGHSLSTPVVYSPRKKHWVESVLKQYSKCLAPKKDTRVLGGCELFFQTLPPCNSLAQLAANMYCSNGLNKMGTLGRPKLDDDESESEGEDAVAIPVAKLPHSPPPSDQESFKSSSGLLPAALRQELFVEKECAYCWLRFTTLNVTLNHKKAQAHITSHDAFSEGCGVYPCAVQLCAWLNPGTVGCSQCTGKSKLLLDEKTVEGISDLHPCLDRSDGM
+>sp|Q9UPU5|UBP24_HUMAN Ubiquitin carboxyl-terminal hydrolase 24 OS=Homo sapiens OX=9606 GN=USP24 PE=1 SV=3
+MESEEEQHMTTLLCMGFSDPATIRKALRLAKNDINEAVALLTNERPGLDYGGYEPMDSGGGPSPGPGGGPRGDGGGDGGGGGPSRGGSTGGGGGFDPPPAYHEVVDAEKNDENGNCSGEGIEFPTTNLYELESRVLTDHWSIPYKREESLGKCLLASTYLARLGLSESDENCRRFMDRCMPEAFKKLLTSSAVHKWGTEIHEGIYNMLMLLIELVAERIKQDPIPTGLLGVLTMAFNPDNEYHFKNRMKVSQRNWAEVFGEGNMFAVSPVSTFQKEPHGWVVDLVNKFGELGGFAAIQAKLHSEDIELGAVSALIQPLGVCAEYLNSSVVQPMLDPVILTTIQDVRSVEEKDLKDKRLVSIPELLSAVKLLCMRFQPDLVTIVDDLRLDILLRMLKSPHFSAKMNSLKEVTKLIEDSTLSKSVKNAIDTDRLLDWLVENSVLSIALEGNIDQAQYCDRIKGIIELLGSKLSLDELTKIWKIQSGQSSTVIENIHTIIAAAAVKFNSDQLNHLFVLIQKSWETESDRVRQKLLSLIGRIGREARFETTSGKVLDVLWELAHLPTLPSSLIQQALEEHLTILSDAYAVKEAIKRSYIIKCIEDIKRPGEWSGLEKNKKDGFKSSQLNNPQFVWVVPALRQLHEITRSFIKQTYQKQDKSIIQDLKKNFEIVKLVTGSLIACHRLAAAVAGPGGLSGSTLVDGRYTYREYLEAHLKFLAFFLQEATLYLGWNRAKEIWECLVTGQDVCELDREMCFEWFTKGQHDLESDVQQQLFKEKILKLESYEITMNGFNLFKTFFENVNLCDHRLKRQGAQLYVEKLELIGMDFIWKIAMESPDEEIANEAIQLIINYSYINLNPRLKKDSVSLHKKFIADCYTRLEAASSALGGPTLTHAVTRATKMLTATAMPTVATSVQSPYRSTKLVIIERLLLLAERYVITIEDFYSVPRTILPHGASFHGHLLTLNVTYESTKDTFTVEAHSNETIGSVRWKIAKQLCSPVDNIQIFTNDSLLTVNKDQKLLHQLGFSDEQILTVKTSGSGTPSGSSADSSTSSSSSSSGVFSSSYAMEQEKSLPGVVMALVCNVFDMLYQLANLEEPRITLRVRKLLLLIPTDPAIQEALDQLDSLGRKKTLLSESSSQSSKSPSLSSKQQHQPSASSILESLFRSFAPGMSTFRVLYNLEVLSSKLMPTADDDMARSCAKSFCENFLKAGGLSLVVNVMQRDSIPSEVDYETRQGVYSICLQLARFLLVGQTMPTLLDEDLTKDGIEALSSRPFRNVSRQTSRQMSLCGTPEKSSYRQLSVSDRSSIRVEEIIPAARVAIQTMEVSDFTSTVACFMRLSWAAAAGRLDLVGSSQPIKESNSLCPAGIRNRLSSSGSNCSSGSEGEPVALHAGICVRQQSVSTKDSLIAGEALSLLVTCLQLRSQQLASFYNLPCVADFIIDILLGSPSAEIRRVACDQLYTLSQTDTSAHPDVQKPNQFLLGVILTAQLPLWSPTSIMRGVNQRLLSQCMEYFDLRCQLLDDLTTSEMEQLRISPATMLEDEITWLDNFEPNRTAECETSEADNILLAGHLRLIKTLLSLCGAEKEMLGSSLIKPLLDDFLFRASRIILNSHSPAGSAAISQQDFHPKCSTANSRLAAYEVLVMLADSSPSNLQIIIKELLSMHHQPDPALTKEFDYLPPVDSRSSSGFVGLRNGGATCYMNAVFQQLYMQPGLPESLLSVDDDTDNPDDSVFYQVQSLFGHLMESKLQYYVPENFWKIFKMWNKELYVREQQDAYEFFTSLIDQMDEYLKKMGRDQIFKNTFQGIYSDQKICKDCPHRYEREEAFMALNLGVTSCQSLEISLDQFVRGEVLEGSNAYYCEKCKEKRITVKRTCIKSLPSVLVIHLMRFGFDWESGRSIKYDEQIRFPWMLNMEPYTVSGMARQDSSSEVGENGRSVDQGGGGSPRKKVALTENYELVGVIVHSGQAHAGHYYSFIKDRRGCGKGKWYKFNDTVIEEFDLNDETLEYECFGGEYRPKVYDQTNPYTDVRRRYWNAYMLFYQRVSDQNSPVLPKKSRVSVVRQEAEDLSLSAPSSPEISPQSSPRPHRPNNDRLSILTKLVKKGEKKGLFVEKMPARIYQMVRDENLKFMKNRDVYSSDYFSFVLSLASLNATKLKHPYYPCMAKVSLQLAIQFLFQTYLRTKKKLRVDTEEWIATIEALLSKSFDACQWLVEYFISSEGRELIKIFLLECNVREVRVAVATILEKTLDSALFYQDKLKSLHQLLEVLLALLDKDVPENCKNCAQYFFLFNTFVQKQGIRAGDLLLRHSALRHMISFLLGASRQNNQIRRWSSAQAREFGNLHNTVALLVLHSDVSSQRNVAPGIFKQRPPISIAPSSPLLPLHEEVEALLFMSEGKPYLLEVMFALRELTGSLLALIEMVVYCCFCNEHFSFTMLHFIKNQLETAPPHELKNTFQLLHEILVIEDPIQVERVKFVFETENGLLALMHHSNHVDSSRCYQCVKFLVTLAQKCPAAKEYFKENSHHWSWAVQWLQKKMSEHYWTPQSNVSNETSTGKTFQRTISAQDTLAYATALLNEKEQSGSSNGSESSPANENGDRHLQQGSESPMMIGELRSDLDDVDP
+>DECOY_sp|Q9UPU5|UBP24_HUMAN Ubiquitin carboxyl-terminal hydrolase 24 OS=Homo sapiens OX=9606 GN=USP24 PE=1 SV=3
+PDVDDLDSRLEGIMMPSESGQQLHRDGNENAPSSESGNSSGSQEKENLLATAYALTDQASITRQFTKGTSTENSVNSQPTWYHESMKKQLWQVAWSWHHSNEKFYEKAAPCKQALTVLFKVCQYCRSSDVHNSHHMLALLGNETEFVFKVREVQIPDEIVLIEHLLQFTNKLEHPPATELQNKIFHLMTFSFHENCFCCYVVMEILALLSGTLERLAFMVELLYPKGESMFLLAEVEEHLPLLPSSPAISIPPRQKFIGPAVNRQSSVDSHLVLLAVTNHLNGFERAQASSWRRIQNNQRSAGLLFSIMHRLASHRLLLDGARIGQKQVFTNFLFFYQACNKCNEPVDKDLLALLVELLQHLSKLKDQYFLASDLTKELITAVAVRVERVNCELLFIKILERGESSIFYEVLWQCADFSKSLLAEITAIWEETDVRLKKKTRLYTQFLFQIALQLSVKAMCPYYPHKLKTANLSALSLVFSFYDSSYVDRNKMFKLNEDRVMQYIRAPMKEVFLGKKEGKKVLKTLISLRDNNPRHPRPSSQPSIEPSSPASLSLDEAEQRVVSVRSKKPLVPSNQDSVRQYFLMYANWYRRRVDTYPNTQDYVKPRYEGGFCEYELTEDNLDFEEIVTDNFKYWKGKGCGRRDKIFSYYHGAHAQGSHVIVGVLEYNETLAVKKRPSGGGGQDVSRGNEGVESSSDQRAMGSVTYPEMNLMWPFRIQEDYKISRGSEWDFGFRMLHIVLVSPLSKICTRKVTIRKEKCKECYYANSGELVEGRVFQDLSIELSQCSTVGLNLAMFAEEREYRHPCDKCIKQDSYIGQFTNKFIQDRGMKKLYEDMQDILSTFFEYADQQERVYLEKNWMKFIKWFNEPVYYQLKSEMLHGFLSQVQYFVSDDPNDTDDDVSLLSEPLGPQMYLQQFVANMYCTAGGNRLGVFGSSSRSDVPPLYDFEKTLAPDPQHHMSLLEKIIIQLNSPSSDALMVLVEYAALRSNATSCKPHFDQQSIAASGAPSHSNLIIRSARFLFDDLLPKILSSGLMEKEAGCLSLLTKILRLHGALLINDAESTECEATRNPEFNDLWTIEDELMTAPSIRLQEMESTTLDDLLQCRLDFYEMCQSLLRQNVGRMISTPSWLPLQATLIVGLLFQNPKQVDPHASTDTQSLTYLQDCAVRRIEASPSGLLIDIIFDAVCPLNYFSALQQSRLQLCTVLLSLAEGAILSDKTSVSQQRVCIGAHLAVPEGESGSSCNSGSSSLRNRIGAPCLSNSEKIPQSSGVLDLRGAAAAWSLRMFCAVTSTFDSVEMTQIAVRAAPIIEEVRISSRDSVSLQRYSSKEPTGCLSMQRSTQRSVNRFPRSSLAEIGDKTLDEDLLTPMTQGVLLFRALQLCISYVGQRTEYDVESPISDRQMVNVVLSLGGAKLFNECFSKACSRAMDDDATPMLKSSLVELNYLVRFTSMGPAFSRFLSELISSASPQHQQKSSLSPSKSSQSSSESLLTKKRGLSDLQDLAEQIAPDTPILLLLKRVRLTIRPEELNALQYLMDFVNCVLAMVVGPLSKEQEMAYSSSFVGSSSSSSSTSSDASSGSPTGSGSTKVTLIQEDSFGLQHLLKQDKNVTLLSDNTFIQINDVPSCLQKAIKWRVSGITENSHAEVTFTDKTSEYTVNLTLLHGHFSAGHPLITRPVSYFDEITIVYREALLLLREIIVLKTSRYPSQVSTAVTPMATATLMKTARTVAHTLTPGGLASSAAELRTYCDAIFKKHLSVSDKKLRPNLNIYSYNIILQIAENAIEEDPSEMAIKWIFDMGILELKEVYLQAGQRKLRHDCLNVNEFFTKFLNFGNMTIEYSELKLIKEKFLQQQVDSELDHQGKTFWEFCMERDLECVDQGTVLCEWIEKARNWGLYLTAEQLFFALFKLHAELYERYTYRGDVLTSGSLGGPGAVAAALRHCAILSGTVLKVIEFNKKLDQIISKDQKQYTQKIFSRTIEHLQRLAPVVWVFQPNNLQSSKFGDKKNKELGSWEGPRKIDEICKIIYSRKIAEKVAYADSLITLHEELAQQILSSPLTPLHALEWLVDLVKGSTTEFRAERGIRGILSLLKQRVRDSETEWSKQILVFLHNLQDSNFKVAAAAIITHINEIVTSSQGSQIKWIKTLEDLSLKSGLLEIIGKIRDCYQAQDINGELAISLVSNEVLWDLLRDTDIANKVSKSLTSDEILKTVEKLSNMKASFHPSKLMRLLIDLRLDDVITVLDPQFRMCLLKVASLLEPISVLRKDKLDKEEVSRVDQITTLIVPDLMPQVVSSNLYEACVGLPQILASVAGLEIDESHLKAQIAAFGGLEGFKNVLDVVWGHPEKQFTSVPSVAFMNGEGFVEAWNRQSVKMRNKFHYENDPNFAMTLVGLLGTPIPDQKIREAVLEILLMLMNYIGEHIETGWKHVASSTLLKKFAEPMCRDMFRRCNEDSESLGLRALYTSALLCKGLSEERKYPISWHDTLVRSELEYLNTTPFEIGEGSCNGNEDNKEADVVEHYAPPPDFGGGGGTSGGRSPGGGGGDGGGDGRPGGGPGPSPGGGSDMPEYGGYDLGPRENTLLAVAENIDNKALRLAKRITAPDSFGMCLLTTMHQEEESEM
+>sp|A6NNY8|UBP27_HUMAN Ubiquitin carboxyl-terminal hydrolase 27 OS=Homo sapiens OX=9606 GN=USP27X PE=1 SV=3
+MCKDYVYDKDIEQIAKEEQGEALKLQASTSTEVSHQQCSVPGLGEKFPTWETTKPELELLGHNPRRRRITSSFTIGLRGLINLGNTCFMNCIVQALTHTPILRDFFLSDRHRCEMPSPELCLVCEMSSLFRELYSGNPSPHVPYKLLHLVWIHARHLAGYRQQDAHEFLIAALDVLHRHCKGDDVGKAANNPNHCNCIIDQIFTGGLQSDVTCQACHGVSTTIDPCWDISLDLPGSCTSFWPMSPGRESSVNGESHIPGITTLTDCLRRFTRPEHLGSSAKIKCGSCQSYQESTKQLTMNKLPVVACFHFKRFEHSAKQRRKITTYISFPLELDMTPFMASSKESRMNGQLQLPTNSGNNENKYSLFAVVNHQGTLESGHYTSFIRHHKDQWFKCDDAVITKASIKDVLDSEGYLLFYHKQVLEHESEKVKEMNTQAY
+>DECOY_sp|A6NNY8|UBP27_HUMAN Ubiquitin carboxyl-terminal hydrolase 27 OS=Homo sapiens OX=9606 GN=USP27X PE=1 SV=3
+YAQTNMEKVKESEHELVQKHYFLLYGESDLVDKISAKTIVADDCKFWQDKHHRIFSTYHGSELTGQHNVVAFLSYKNENNGSNTPLQLQGNMRSEKSSAMFPTMDLELPFSIYTTIKRRQKASHEFRKFHFCAVVPLKNMTLQKTSEQYSQCSGCKIKASSGLHEPRTFRRLCDTLTTIGPIHSEGNVSSERGPSMPWFSTCSGPLDLSIDWCPDITTSVGHCAQCTVDSQLGGTFIQDIICNCHNPNNAAKGVDDGKCHRHLVDLAAILFEHADQQRYGALHRAHIWVLHLLKYPVHPSPNGSYLERFLSSMECVLCLEPSPMECRHRDSLFFDRLIPTHTLAQVICNMFCTNGLNILGRLGITFSSTIRRRRPNHGLLELEPKTTEWTPFKEGLGPVSCQQHSVETSTSAQLKLAEGQEEKAIQEIDKDYVYDKCM
+>sp|Q9HBJ7|UBP29_HUMAN Ubiquitin carboxyl-terminal hydrolase 29 OS=Homo sapiens OX=9606 GN=USP29 PE=2 SV=1
+MISLKVCGFIQIWSQKTGMTKLKEALIETVQRQKEIKLVVTFKSGKFIRIFQLSNNIRSVVLRHCKKRQSHLRLTLKNNVFLFIDKLSYRDAKQLNMFLDIIHQNKSQQPMKSDDDWSVFESRNMLKEIDKTSFYSICNKPSYQKMPLFMSKSPTHVKKGILENQGGKGQNTLSSDVQTNEDILKEDNPVPNKKYKTDSLKYIQSNRKNPSSLEDLEKDRDLKLGPSFNTNCNGNPNLDETVLATQTLNAKNGLTSPLEPEHSQGDPRCNKAQVPLDSHSQQLQQGFPNLGNTCYMNAVLQSLFAIPSFADDLLTQGVPWEYIPFEALIMTLTQLLALKDFCSTKIKRELLGNVKKVISAVAEIFSGNMQNDAHEFLGQCLDQLKEDMEKLNATLNTGKECGDENSSPQMHVGSAATKVFVCPVVANFEFELQLSLICKACGHAVLKVEPNNYLSINLHQETKPLPLSIQNSLDLFFKEEELEYNCQMCKQKSCVARHTFSRLSRVLIIHLKRYSFNNAWLLVKNNEQVYIPKSLSLSSYCNESTKPPLPLSSSAPVGKCEVLEVSQEMISEINSPLTPSMKLTSESSDSLVLPVEPDKNADLQRFQRDCGDASQEQHQRDLENGSALESELVHFRDRAIGEKELPVADSLMDQGDISLPVMYEDGGKLISSPDTRLVEVHLQEVPQHPELQKYEKTNTFVEFNFDSVTESTNGFYDCKENRIPEGSQGMAEQLQQCIEESIIDEFLQQAPPPGVRKLDAQEHTEETLNQSTELRLQKADLNHLGALGSDNPGNKNILDAENTRGEAKELTRNVKMGDPLQAYRLISVVSHIGSSPNSGHYISDVYDFQKQAWFTYNDLCVSEISETKMQEARLHSGYIFFYMHNGIFEELLRKAENSRLPSTQAGVIPQGEYEGDSLYRPA
+>DECOY_sp|Q9HBJ7|UBP29_HUMAN Ubiquitin carboxyl-terminal hydrolase 29 OS=Homo sapiens OX=9606 GN=USP29 PE=2 SV=1
+APRYLSDGEYEGQPIVGAQTSPLRSNEAKRLLEEFIGNHMYFFIYGSHLRAEQMKTESIESVCLDNYTFWAQKQFDYVDSIYHGSNPSSGIHSVVSILRYAQLPDGMKVNRTLEKAEGRTNEADLINKNGPNDSGLAGLHNLDAKQLRLETSQNLTEETHEQADLKRVGPPPAQQLFEDIISEEICQQLQEAMGQSGEPIRNEKCDYFGNTSETVSDFNFEVFTNTKEYKQLEPHQPVEQLHVEVLRTDPSSILKGGDEYMVPLSIDGQDMLSDAVPLEKEGIARDRFHVLESELASGNELDRQHQEQSADGCDRQFRQLDANKDPEVPLVLSDSSESTLKMSPTLPSNIESIMEQSVELVECKGVPASSSLPLPPKTSENCYSSLSLSKPIYVQENNKVLLWANNFSYRKLHIILVRSLRSFTHRAVCSKQKCMQCNYELEEEKFFLDLSNQISLPLPKTEQHLNISLYNNPEVKLVAHGCAKCILSLQLEFEFNAVVPCVFVKTAASGVHMQPSSNEDGCEKGTNLTANLKEMDEKLQDLCQGLFEHADNQMNGSFIEAVASIVKKVNGLLERKIKTSCFDKLALLQTLTMILAEFPIYEWPVGQTLLDDAFSPIAFLSQLVANMYCTNGLNPFGQQLQQSHSDLPVQAKNCRPDGQSHEPELPSTLGNKANLTQTALVTEDLNPNGNCNTNFSPGLKLDRDKELDELSSPNKRNSQIYKLSDTKYKKNPVPNDEKLIDENTQVDSSLTNQGKGGQNELIGKKVHTPSKSMFLPMKQYSPKNCISYFSTKDIEKLMNRSEFVSWDDDSKMPQQSKNQHIIDLFMNLQKADRYSLKDIFLFVNNKLTLRLHSQRKKCHRLVVSRINNSLQFIRIFKGSKFTVVLKIEKQRQVTEILAEKLKTMGTKQSWIQIFGCVKLSIM
+>sp|Q8NFA0|UBP32_HUMAN Ubiquitin carboxyl-terminal hydrolase 32 OS=Homo sapiens OX=9606 GN=USP32 PE=1 SV=1
+MGAKESRIGFLSYEEALRRVTDVELKRLKDAFKRTCGLSYYMGQHCFIREVLGDGVPPKVAEVIYCSFGGTSKGLHFNNLIVGLVLLTRGKDEEKAKYIFSLFSSESGNYVIREEMERMLHVVDGKVPDTLRKCFSEGEKVNYEKFRNWLFLNKDAFTFSRWLLSGGVYVTLTDDSDTPTFYQTLAGVTHLEESDIIDLEKRYWLLKAQSRTGRFDLETFGPLVSPPIRPSLSEGLFNAFDENRDNHIDFKEISCGLSACCRGPLAERQKFCFKVFDVDRDGVLSRVELRDMVVALLEVWKDNRTDDIPELHMDLSDIVEGILNAHDTTKMGHLTLEDYQIWSVKNVLANEFLNLLFQVCHIVLGLRPATPEEEGQIIRGWLERESRYGLQAGHNWFIISMQWWQQWKEYVKYDANPVVIEPSSVLNGGKYSFGTAAHPMEQVEDRIGSSLSYVNTTEEKFSDNISTASEASETAGSGFLYSATPGADVCFARQHNTSDNNNQCLLGANGNILLHLNPQKPGAIDNQPLVTQEPVKATSLTLEGGRLKRTPQLIHGRDYEMVPEPVWRALYHWYGANLALPRPVIKNSKTDIPELELFPRYLLFLRQQPATRTQQSNIWVNMGNVPSPNAPLKRVLAYTGCFSRMQTIKEIHEYLSQRLRIKEEDMRLWLYNSENYLTLLDDEDHKLEYLKIQDEQHLVIEVRNKDMSWPEEMSFIANSSKIDRHKVPTEKGATGLSNLGNTCFMNSSIQCVSNTQPLTQYFISGRHLYELNRTNPIGMKGHMAKCYGDLVQELWSGTQKNVAPLKLRWTIAKYAPRFNGFQQQDSQELLAFLLDGLHEDLNRVHEKPYVELKDSDGRPDWEVAAEAWDNHLRRNRSIVVDLFHGQLRSQVKCKTCGHISVRFDPFNFLSLPLPMDSYMHLEITVIKLDGTTPVRYGLRLNMDEKYTGLKKQLSDLCGLNSEQILLAEVHGSNIKNFPQDNQKVRLSVSGFLCAFEIPVPVSPISASSPTQTDFSSSPSTNEMFTLTTNGDLPRPIFIPNGMPNTVVPCGTEKNFTNGMVNGHMPSLPDSPFTGYIIAVHRKMMRTELYFLSSQKNRPSLFGMPLIVPCTVHTRKKDLYDAVWIQVSRLASPLPPQEASNHAQDCDDSMGYQYPFTLRVVQKDGNSCAWCPWYRFCRGCKIDCGEDRAFIGNAYIAVDWDPTALHLRYQTSQERVVDEHESVEQSRRAQAEPINLDSCLRAFTSEEELGENEMYYCSKCKTHCLATKKLDLWRLPPILIIHLKRFQFVNGRWIKSQKIVKFPRESFDPSAFLVPRDPALCQHKPLTPQGDELSEPRILAREVKKVDAQSSAGEEDVLLSKSPSSLSANIISSPKGSPSSSRKSGTSCPSSKNSSPNSSPRTLGRSKGRLRLPQIGSKNKLSSSKENLDASKENGAGQICELADALSRGHVLGGSQPELVTPQDHEVALANGFLYEHEACGNGYSNGQLGNHSEEDSTDDQREDTRIKPIYNLYAISCHSGILGGGHYVTYAKNPNCKWYCYNDSSCKELHPDEIDTDSAYILFYEQQGIDYAQFLPKTDGKKMADTSSMDEDFESDYKKYCVLQ
+>DECOY_sp|Q8NFA0|UBP32_HUMAN Ubiquitin carboxyl-terminal hydrolase 32 OS=Homo sapiens OX=9606 GN=USP32 PE=1 SV=1
+QLVCYKKYDSEFDEDMSSTDAMKKGDTKPLFQAYDIGQQEYFLIYASDTDIEDPHLEKCSSDNYCYWKCNPNKAYTVYHGGGLIGSHCSIAYLNYIPKIRTDERQDDTSDEESHNGLQGNSYGNGCAEHEYLFGNALAVEHDQPTVLEPQSGGLVHGRSLADALECIQGAGNEKSADLNEKSSSLKNKSGIQPLRLRGKSRGLTRPSSNPSSNKSSPCSTGSKRSSSPSGKPSSIINASLSSPSKSLLVDEEGASSQADVKKVERALIRPESLEDGQPTLPKHQCLAPDRPVLFASPDFSERPFKVIKQSKIWRGNVFQFRKLHIILIPPLRWLDLKKTALCHTKCKSCYYMENEGLEEESTFARLCSDLNIPEAQARRSQEVSEHEDVVREQSTQYRLHLATPDWDVAIYANGIFARDEGCDIKCGRCFRYWPCWACSNGDKQVVRLTFPYQYGMSDDCDQAHNSAEQPPLPSALRSVQIWVADYLDKKRTHVTCPVILPMGFLSPRNKQSSLFYLETRMMKRHVAIIYGTFPSDPLSPMHGNVMGNTFNKETGCPVVTNPMGNPIFIPRPLDGNTTLTFMENTSPSSSFDTQTPSSASIPSVPVPIEFACLFGSVSLRVKQNDQPFNKINSGHVEALLIQESNLGCLDSLQKKLGTYKEDMNLRLGYRVPTTGDLKIVTIELHMYSDMPLPLSLFNFPDFRVSIHGCTKCKVQSRLQGHFLDVVISRNRRLHNDWAEAAVEWDPRGDSDKLEVYPKEHVRNLDEHLGDLLFALLEQSDQQQFGNFRPAYKAITWRLKLPAVNKQTGSWLEQVLDGYCKAMHGKMGIPNTRNLEYLHRGSIFYQTLPQTNSVCQISSNMFCTNGLNSLGTAGKETPVKHRDIKSSNAIFSMEEPWSMDKNRVEIVLHQEDQIKLYELKHDEDDLLTLYNESNYLWLRMDEEKIRLRQSLYEHIEKITQMRSFCGTYALVRKLPANPSPVNGMNVWINSQQTRTAPQQRLFLLYRPFLELEPIDTKSNKIVPRPLALNAGYWHYLARWVPEPVMEYDRGHILQPTRKLRGGELTLSTAKVPEQTVLPQNDIAGPKQPNLHLLINGNAGLLCQNNNDSTNHQRAFCVDAGPTASYLFGSGATESAESATSINDSFKEETTNVYSLSSGIRDEVQEMPHAATGFSYKGGNLVSSPEIVVPNADYKVYEKWQQWWQMSIIFWNHGAQLGYRSERELWGRIIQGEEEPTAPRLGLVIHCVQFLLNLFENALVNKVSWIQYDELTLHGMKTTDHANLIGEVIDSLDMHLEPIDDTRNDKWVELLAVVMDRLEVRSLVGDRDVDFVKFCFKQREALPGRCCASLGCSIEKFDIHNDRNEDFANFLGESLSPRIPPSVLPGFTELDFRGTRSQAKLLWYRKELDIIDSEELHTVGALTQYFTPTDSDDTLTVYVGGSLLWRSFTFADKNLFLWNRFKEYNVKEGESFCKRLTDPVKGDVVHLMREMEERIVYNGSESSFLSFIYKAKEEDKGRTLLVLGVILNNFHLGKSTGGFSCYIVEAVKPPVGDGLVERIFCHQGMYYSLGCTRKFADKLRKLEVDTVRRLAEEYSLFGIRSEKAGM
+>sp|Q70CQ2|UBP34_HUMAN Ubiquitin carboxyl-terminal hydrolase 34 OS=Homo sapiens OX=9606 GN=USP34 PE=1 SV=2
+MCENCADLVEVLNEISDVEGGDGLQLRKEHTLKIFTYINSWTQRQCLCCFKEYKHLEIFNQVVCALINLVIAQVQVLRDQLCKHCTTINIDSTWQDESNQAEEPLNIDRECNEGSTERQKSIEKKSNSTRICNLTEEESSKSSDPFSLWSTDEKEKLLLCVAKIFQIQFPLYTAYKHNTHPTIEDISTQESNILGAFCDMNDVEVPLHLLRYVCLFCGKNGLSLMKDCFEYGTPETLPFLIAHAFITVVSNIRIWLHIPAVMQHIIPFRTYVIRYLCKLSDQELRQSAARNMADLMWSTVKEPLDTTLCFDKESLDLAFKYFMSPTLTMRLAGLSQITNQLHTFNDVCNNESLVSDTETSIAKELADWLISNNVVEHIFGPNLHIEIIKQCQVILNFLAAEGRLSTQHIDCIWAAAQLKHCSRYIHDLFPSLIKNLDPVPLRHLLNLVSALEPSVHTEQTLYLASMLIKALWNNALAAKAQLSKQSSFASLLNTNIPIGNKKEEEELRRTAPSPWSPAASPQSSDNSDTHQSGGSDIEMDEQLINRTKHVQQRLSDTEESMQGSSDETANSGEDGSSGPGSSSGHSDGSSNEVNSSHASQSAGSPGSEVQSEDIADIEALKEEDEDDDHGHNPPKSSCGTDLRNRKLESQAGICLGDSQGMSERNGTSSGTGKDLVFNTESLPSVDNRMRMLDACSHSEDPEHDISGEMNATHIAQGSQESCITRTGDFLGETIGNELFNCRQFIGPQHHHHHHHHHHHHDGHMVDDMLSADDVSCSSSQVSAKSEKNMADFDGEESGCEEELVQINSHAELTSHLQQHLPNLASIYHEHLSQGPVVHKHQFNSNAVTDINLDNVCKKGNTLLWDIVQDEDAVNLSEGLINEAEKLLCSLVCWFTDRQIRMRFIEGCLENLGNNRSVVISLRLLPKLFGTFQQFGSSYDTHWITMWAEKELNMMKLFFDNLVYYIQTVREGRQKHALYSHSAEVQVRLQFLTCVFSTLGSPDHFRLSLEQVDILWHCLVEDSECYDDALHWFLNQVRSKDQHAMGMETYKHLFLEKMPQLKPETISMTGLNLFQHLCNLARLATSAYDGCSNSELCGMDQFWGIALRAQSGDVSRAAIQYINSYYINGKTGLEKEQEFISKCMESLMIASSSLEQESHSSLMVIERGLLMLKTHLEAFRRRFAYHLRQWQIEGTGISSHLKALSDKQSLPLRVVCQPAGLPDKMTIEMYPSDQVADLRAEVTHWYENLQKEQINQQAQLQEFGQSNRKGEFPGGLMGPVRMISSGHELTTDYDEKALHELGFKDMQMVFVSLGAPRRERKGEGVQLPASCLPPPQKDNIPMLLLLQEPHLTTLFDLLEMLASFKPPSGKVAVDDSESLRCEELHLHAENLSRRVWELLMLLPTCPNMLMAFQNISDEQSNDGFNWKELLKIKSAHKLLYALEIIEALGKPNRRIRRESTGSYSDLYPDSDDSSEDQVENSKNSWSCKFVAAGGLQQLLEIFNSGILEPKEQESWTVWQLDCLACLLKLICQFAVDPSDLDLAYHDVFAWSGIAESHRKRTWPGKSRKAAGDHAKGLHIPRLTEVFLVLVQGTSLIQRLMSVAYTYDNLAPRVLKAQSDHRSRHEVSHYSMWLLVSWAHCCSLVKSSLADSDHLQDWLKKLTLLIPETAVRHESCSGLYKLSLSGLDGGDSINRSFLLLAASTLLKFLPDAQALKPIRIDDYEEEPILKPGCKEYFWLLCKLVDNIHIKDASQTTLLDLDALARHLADCIRSREILDHQDGNVEDDGLTGLLRLATSVVKHKPPFKFSREGQEFLRDIFNLLFLLPSLKDRQQPKCKSHSSRAAAYDLLVEMVKGSVENYRLIHNWVMAQHMQSHAPYKWDYWPHEDVRAECRFVGLTNLGATCYLASTIQQLYMIPEARQAVFTAKYSEDMKHKTTLLELQKMFTYLMESECKAYNPRPFCKTYTMDKQPLNTGEQKDMTEFFTDLITKIEEMSPELKNTVKSLFGGVITNNVVSLDCEHVSQTAEEFYTVRCQVADMKNIYESLDEVTIKDTLEGDNMYTCSHCGKKVRAEKRACFKKLPRILSFNTMRYTFNMVTMMKEKVNTHFSFPLRLDMTPYTEDFLMGKSERKEGFKEVSDHSKDSESYEYDLIGVTVHTGTADGGHYYSFIRDIVNPHAYKNNKWYLFNDAEVKPFDSAQLASECFGGEMTTKTYDSVTDKFMDFSFEKTHSAYMLFYKRMEPEEENGREYKFDVSSELLEWIWHDNMQFLQDKNIFEHTYFGFMWQLCSCIPSTLPDPKAVSLMTAKLSTSFVLETFIHSKEKPTMLQWIELLTKQFNNSQAACEWFLDRMADDDWWPMQILIKCPNQIVRQMFQRLCIHVIQRLRPVHAHLYLQPGMEDGSDDMDTSVEDIGGRSCVTRFVRTLLLIMEHGVKPHSKHLTEYFAFLYEFAKMGEEESQFLLSLQAISTMVHFYMGTKGPENPQVEVLSEEEGEEEEEEEDILSLAEEKYRPAALEKMIALVALLVEQSRSERHLTLSQTDMAALTGGKGFPFLFQHIRDGINIRQTCNLIFSLCRYNNRLAEHIVSMLFTSIAKLTPEAANPFFKLLTMLMEFAGGPPGMPPFASYILQRIWEVIEYNPSQCLDWLAVQTPRNKLAHSWVLQNMENWVERFLLAHNYPRVRTSAAYLLVSLIPSNSFRQMFRSTRSLHIPTRDLPLSPDTTVVLHQVYNVLLGLLSRAKLYVDAAVHGTTKLVPYFSFMTYCLISKTEKLMFSTYFMDLWNLFQPKLSEPAIATNHNKQALLSFWYNVCADCPENIRLIVQNPVVTKNIAFNYILADHDDQDVVLFNRGMLPAYYGILRLCCEQSPAFTRQLASHQNIQWAFKNLTPHASQYPGAVEELFNLMQLFIAQRPDMREEELEDIKQFKKTTISCYLRCLDGRSCWTTLISAFRILLESDEDRLLVVFNRGLILMTESFNTLHMMYHEATACHVTGDLVELLSIFLSVLKSTRPYLQRKDVKQALIQWQERIEFAHKLLTLLNSYSPPELRNACIDVLKELVLLSPHDFLHTLVPFLQHNHCTYHHSNIPMSLGPYFPCRENIKLIGGKSNIRPPRPELNMCLLPTMVETSKGKDDVYDRMLLDYFFSYHQFIHLLCRVAINCEKFTETLVKLSVLVAYEGLPLHLALFPKLWTELCQTQSAMSKNCIKLLCEDPVFAEYIKCILMDERTFLNNNIVYTFMTHFLLKVQSQVFSEANCANLISTLITNLISQYQNLQSDFSNRVEISKASASLNGDLRALALLLSVHTPKQLNPALIPTLQELLSKCRTCLQQRNSLQEQEAKERKTKDDEGATPIKRRRVSSDEEHTVDSCISDMKTETREVLTPTSTSDNETRDSSIIDPGTEQDLPSPENSSVKEYRMEVPSSFSEDMSNIRSQHAEEQSNNGRYDDCKEFKDLHCSKDSTLAEEESEFPSTSISAVLSDLADLRSCDGQALPSQDPEVALSLSCGHSRGLFSHMQQHDILDTLCRTIESTIHVVTRISGKGNQAAS
+>DECOY_sp|Q70CQ2|UBP34_HUMAN Ubiquitin carboxyl-terminal hydrolase 34 OS=Homo sapiens OX=9606 GN=USP34 PE=1 SV=2
+SAAQNGKGSIRTVVHITSEITRCLTDLIDHQQMHSFLGRSHGCSLSLAVEPDQSPLAQGDCSRLDALDSLVASISTSPFESEEEALTSDKSCHLDKFEKCDDYRGNNSQEEAHQSRINSMDESFSSPVEMRYEKVSSNEPSPLDQETGPDIISSDRTENDSTSTPTLVERTETKMDSICSDVTHEEDSSVRRRKIPTAGEDDKTKREKAEQEQLSNRQQLCTRCKSLLEQLTPILAPNLQKPTHVSLLLALARLDGNLSASAKSIEVRNSFDSQLNQYQSILNTILTSILNACNAESFVQSQVKLLFHTMFTYVINNNLFTREDMLICKIYEAFVPDECLLKICNKSMASQTQCLETWLKPFLALHLPLGEYAVLVSLKVLTETFKECNIAVRCLLHIFQHYSFFYDLLMRDYVDDKGKSTEVMTPLLCMNLEPRPPRINSKGGILKINERCPFYPGLSMPINSHHYTCHNHQLFPVLTHLFDHPSLLVLEKLVDICANRLEPPSYSNLLTLLKHAFEIREQWQILAQKVDKRQLYPRTSKLVSLFISLLEVLDGTVHCATAEHYMMHLTNFSETMLILGRNFVVLLRDEDSELLIRFASILTTWCSRGDLCRLYCSITTKKFQKIDELEEERMDPRQAIFLQMLNFLEEVAGPYQSAHPTLNKFAWQINQHSALQRTFAPSQECCLRLIGYYAPLMGRNFLVVDQDDHDALIYNFAINKTVVPNQVILRINEPCDACVNYWFSLLAQKNHNTAIAPESLKPQFLNWLDMFYTSFMLKETKSILCYTMFSFYPVLKTTGHVAADVYLKARSLLGLLVNYVQHLVVTTDPSLPLDRTPIHLSRTSRFMQRFSNSPILSVLLYAASTRVRPYNHALLFREVWNEMNQLVWSHALKNRPTQVALWDLCQSPNYEIVEWIRQLIYSAFPPMGPPGGAFEMLMTLLKFFPNAAEPTLKAISTFLMSVIHEALRNNYRCLSFILNCTQRINIGDRIHQFLFPFGKGGTLAAMDTQSLTLHRESRSQEVLLAVLAIMKELAAPRYKEEALSLIDEEEEEEEGEEESLVEVQPNEPGKTGMYFHVMTSIAQLSLLFQSEEEGMKAFEYLFAFYETLHKSHPKVGHEMILLLTRVFRTVCSRGGIDEVSTDMDDSGDEMGPQLYLHAHVPRLRQIVHICLRQFMQRVIQNPCKILIQMPWWDDDAMRDLFWECAAQSNNFQKTLLEIWQLMTPKEKSHIFTELVFSTSLKATMLSVAKPDPLTSPICSCLQWMFGFYTHEFINKDQLFQMNDHWIWELLESSVDFKYERGNEEEPEMRKYFLMYASHTKEFSFDMFKDTVSDYTKTTMEGGFCESALQASDFPKVEADNFLYWKNNKYAHPNVIDRIFSYYHGGDATGTHVTVGILDYEYSESDKSHDSVEKFGEKRESKGMLFDETYPTMDLRLPFSFHTNVKEKMMTVMNFTYRMTNFSLIRPLKKFCARKEARVKKGCHSCTYMNDGELTDKITVEDLSEYINKMDAVQCRVTYFEEATQSVHECDLSVVNNTIVGGFLSKVTNKLEPSMEEIKTILDTFFETMDKQEGTNLPQKDMTYTKCFPRPNYAKCESEMLYTFMKQLELLTTKHKMDESYKATFVAQRAEPIMYLQQITSALYCTAGLNTLGVFRCEARVDEHPWYDWKYPAHSQMHQAMVWNHILRYNEVSGKVMEVLLDYAAARSSHSKCKPQQRDKLSPLLFLLNFIDRLFEQGERSFKFPPKHKVVSTALRLLGTLGDDEVNGDQHDLIERSRICDALHRALADLDLLTTQSADKIHINDVLKCLLWFYEKCGPKLIPEEEYDDIRIPKLAQADPLFKLLTSAALLLFSRNISDGGDLGSLSLKYLGSCSEHRVATEPILLTLKKLWDQLHDSDALSSKVLSCCHAWSVLLWMSYHSVEHRSRHDSQAKLVRPALNDYTYAVSMLRQILSTGQVLVLFVETLRPIHLGKAHDGAAKRSKGPWTRKRHSEAIGSWAFVDHYALDLDSPDVAFQCILKLLCALCDLQWVTWSEQEKPELIGSNFIELLQQLGGAAVFKCSWSNKSNEVQDESSDDSDPYLDSYSGTSERRIRRNPKGLAEIIELAYLLKHASKIKLLEKWNFGDNSQEDSINQFAMLMNPCTPLLMLLEWVRRSLNEAHLHLEECRLSESDDVAVKGSPPKFSALMELLDFLTTLHPEQLLLLMPINDKQPPPLCSAPLQVGEGKRERRPAGLSVFVMQMDKFGLEHLAKEDYDTTLEHGSSIMRVPGMLGGPFEGKRNSQGFEQLQAQQNIQEKQLNEYWHTVEARLDAVQDSPYMEITMKDPLGAPQCVVRLPLSQKDSLAKLHSSIGTGEIQWQRLHYAFRRRFAELHTKLMLLGREIVMLSSHSEQELSSSAIMLSEMCKSIFEQEKELGTKGNIYYSNIYQIAARSVDGSQARLAIGWFQDMGCLESNSCGDYASTALRALNCLHQFLNLGTMSITEPKLQPMKELFLHKYTEMGMAHQDKSRVQNLFWHLADDYCESDEVLCHWLIDVQELSLRFHDPSGLTSFVCTLFQLRVQVEASHSYLAHKQRGERVTQIYYVLNDFFLKMMNLEKEAWMTIWHTDYSSGFQQFTGFLKPLLRLSIVVSRNNGLNELCGEIFRMRIQRDTFWCVLSCLLKEAENILGESLNVADEDQVIDWLLTNGKKCVNDLNIDTVANSNFQHKHVVPGQSLHEHYISALNPLHQQLHSTLEAHSNIQVLEEECGSEEGDFDAMNKESKASVQSSSCSVDDASLMDDVMHGDHHHHHHHHHHHHQPGIFQRCNFLENGITEGLFDGTRTICSEQSGQAIHTANMEGSIDHEPDESHSCADLMRMRNDVSPLSETNFVLDKGTGSSTGNRESMGQSDGLCIGAQSELKRNRLDTGCSSKPPNHGHDDDEDEEKLAEIDAIDESQVESGPSGASQSAHSSNVENSSGDSHGSSSGPGSSGDEGSNATEDSSGQMSEETDSLRQQVHKTRNILQEDMEIDSGGSQHTDSNDSSQPSAAPSWPSPATRRLEEEEKKNGIPINTNLLSAFSSQKSLQAKAALANNWLAKILMSALYLTQETHVSPELASVLNLLHRLPVPDLNKILSPFLDHIYRSCHKLQAAAWICDIHQTSLRGEAALFNLIVQCQKIIEIHLNPGFIHEVVNNSILWDALEKAISTETDSVLSENNCVDNFTHLQNTIQSLGALRMTLTPSMFYKFALDLSEKDFCLTTDLPEKVTSWMLDAMNRAASQRLEQDSLKCLYRIVYTRFPIIHQMVAPIHLWIRINSVVTIFAHAILFPLTEPTGYEFCDKMLSLGNKGCFLCVYRLLHLPVEVDNMDCFAGLINSEQTSIDEITPHTNHKYATYLPFQIQFIKAVCLLLKEKEDTSWLSFPDSSKSSEEETLNCIRTSNSKKEISKQRETSGENCERDINLPEEAQNSEDQWTSDINITTCHKCLQDRLVQVQAIVLNILACVVQNFIELHKYEKFCCLCQRQTWSNIYTFIKLTHEKRLQLGDGGEVDSIENLVEVLDACNECM
+>sp|Q8NB14|UBP38_HUMAN Ubiquitin carboxyl-terminal hydrolase 38 OS=Homo sapiens OX=9606 GN=USP38 PE=1 SV=2
+MDKILEGLVSSSHPLPLKRVIVRKVVESAEHWLDEAQCEAMFDLTTRLILEGQDPFQRQVGHQVLEAYARYHRPEFESFFNKTFVLGLLHQGYHSLDRKDVAILDYIHNGLKLIMSCPSVLDLFSLLQVEVLRMVCERPEPQLCARLSDLLTDFVQCIPKGKLSITFCQQLVRTIGHFQCVSTQERELREYVSQVTKVSNLLQNIWKAEPATLLPSLQEVFASISSTDASFEPSVALASLVQHIPLQMITVLIRSLTTDPNVKDASMTQALCRMIDWLSWPLAQHVDTWVIALLKGLAAVQKFTILIDVTLLKIELVFNRLWFPLVRPGALAVLSHMLLSFQHSPEAFHLIVPHVVNLVHSFKNDGLPSSTAFLVQLTELIHCMMYHYSGFPDLYEPILEAIKDFPKPSEEKIKLILNQSAWTSQSNSLASCLSRLSGKSETGKTGLINLGNTCYMNSVIQALFMATDFRRQVLSLNLNGCNSLMKKLQHLFAFLAHTQREAYAPRIFFEASRPPWFTPRSQQDCSEYLRFLLDRLHEEEKILKVQASHKPSEILECSETSLQEVASKAAVLTETPRTSDGEKTLIEKMFGGKLRTHIRCLNCRSTSQKVEAFTDLSLAFCPSSSLENMSVQDPASSPSIQDGGLMQASVPGPSEEPVVYNPTTAAFICDSLVNEKTIGSPPNEFYCSENTSVPNESNKILVNKDVPQKPGGETTPSVTDLLNYFLAPEILTGDNQYYCENCASLQNAEKTMQITEEPEYLILTLLRFSYDQKYHVRRKILDNVSLPLVLELPVKRITSFSSLSESWSVDVDFTDLSENLAKKLKPSGTDEASCTKLVPYLLSSVVVHSGISSESGHYYSYARNITSTDSSYQMYHQSEALALASSQSHLLGRDSPSAVFEQDLENKEMSKEWFLFNDSRVTFTSFQSVQKITSRFPKDTAYVLLYKKQHSTNGLSGNNPTSGLWINGDPPLQKELMDAITKDNKLYLQEQELNARARALQAASASCSFRPNGFDDNDPPGSCGPTGGGGGGGFNTVGRLVF
+>DECOY_sp|Q8NB14|UBP38_HUMAN Ubiquitin carboxyl-terminal hydrolase 38 OS=Homo sapiens OX=9606 GN=USP38 PE=1 SV=2
+FVLRGVTNFGGGGGGGTPGCSGPPDNDDFGNPRFSCSASAAQLARARANLEQEQLYLKNDKTIADMLEKQLPPDGNIWLGSTPNNGSLGNTSHQKKYLLVYATDKPFRSTIKQVSQFSTFTVRSDNFLFWEKSMEKNELDQEFVASPSDRGLLHSQSSALALAESQHYMQYSSDTSTINRAYSYYHGSESSIGSHVVVSSLLYPVLKTCSAEDTGSPKLKKALNESLDTFDVDVSWSESLSSFSTIRKVPLELVLPLSVNDLIKRRVHYKQDYSFRLLTLILYEPEETIQMTKEANQLSACNECYYQNDGTLIEPALFYNLLDTVSPTTEGGPKQPVDKNVLIKNSENPVSTNESCYFENPPSGITKENVLSDCIFAATTPNYVVPEESPGPVSAQMLGGDQISPSSAPDQVSMNELSSSPCFALSLDTFAEVKQSTSRCNLCRIHTRLKGGFMKEILTKEGDSTRPTETLVAAKSAVEQLSTESCELIESPKHSAQVKLIKEEEHLRDLLFRLYESCDQQSRPTFWPPRSAEFFIRPAYAERQTHALFAFLHQLKKMLSNCGNLNLSLVQRRFDTAMFLAQIVSNMYCTNGLNILGTKGTESKGSLRSLCSALSNSQSTWASQNLILKIKEESPKPFDKIAELIPEYLDPFGSYHYMMCHILETLQVLFATSSPLGDNKFSHVLNVVHPVILHFAEPSHQFSLLMHSLVALAGPRVLPFWLRNFVLEIKLLTVDILITFKQVAALGKLLAIVWTDVHQALPWSLWDIMRCLAQTMSADKVNPDTTLSRILVTIMQLPIHQVLSALAVSPEFSADTSSISAFVEQLSPLLTAPEAKWINQLLNSVKTVQSVYERLEREQTSVCQFHGITRVLQQCFTISLKGKPICQVFDTLLDSLRACLQPEPRECVMRLVEVQLLSFLDLVSPCSMILKLGNHIYDLIAVDKRDLSHYGQHLLGLVFTKNFFSEFEPRHYRAYAELVQHGVQRQFPDQGELILRTTLDFMAECQAEDLWHEASEVVKRVIVRKLPLPHSSSVLGELIKDM
+>sp|Q9Y6I4|UBP3_HUMAN Ubiquitin carboxyl-terminal hydrolase 3 OS=Homo sapiens OX=9606 GN=USP3 PE=1 SV=2
+MECPHLSSSVCIAPDSAKFPNGSPSSWCCSVCRSNKSPWVCLTCSSVHCGRYVNGHAKKHYEDAQVPLTNHKKSEKQDKVQHTVCMDCSSYSTYCYRCDDFVVNDTKLGLVQKVREHLQNLENSAFTADRHKKRKLLENSTLNSKLLKVNGSTTAICATGLRNLGNTCFMNAILQSLSNIEQFCCYFKELPAVELRNGKTAGRRTYHTRSQGDNNVSLVEEFRKTLCALWQGSQTAFSPESLFYVVWKIMPNFRGYQQQDAHEFMRYLLDHLHLELQGGFNGVSRSAILQENSTLSASNKCCINGASTVVTAIFGGILQNEVNCLICGTESRKFDPFLDLSLDIPSQFRSKRSKNQENGPVCSLRDCLRSFTDLEELDETELYMCHKCKKKQKSTKKFWIQKLPKVLCLHLKRFHWTAYLRNKVDTYVEFPLRGLDMKCYLLEPENSGPESCLYDLAAVVVHHGSGVGSGHYTAYATHEGRWFHFNDSTVTLTDEETVVKAKAYILFYVEHQAKAGSDKL
+>DECOY_sp|Q9Y6I4|UBP3_HUMAN Ubiquitin carboxyl-terminal hydrolase 3 OS=Homo sapiens OX=9606 GN=USP3 PE=1 SV=2
+LKDSGAKAQHEVYFLIYAKAKVVTEEDTLTVTSDNFHFWRGEHTAYATYHGSGVGSGHHVVVAALDYLCSEPGSNEPELLYCKMDLGRLPFEVYTDVKNRLYATWHFRKLHLCLVKPLKQIWFKKTSKQKKKCKHCMYLETEDLEELDTFSRLCDRLSCVPGNEQNKSRKSRFQSPIDLSLDLFPDFKRSETGCILCNVENQLIGGFIATVVTSAGNICCKNSASLTSNEQLIASRSVGNFGGQLELHLHDLLYRMFEHADQQQYGRFNPMIKWVVYFLSEPSFATQSGQWLACLTKRFEEVLSVNNDGQSRTHYTRRGATKGNRLEVAPLEKFYCCFQEINSLSQLIANMFCTNGLNRLGTACIATTSGNVKLLKSNLTSNELLKRKKHRDATFASNELNQLHERVKQVLGLKTDNVVFDDCRYCYTSYSSCDMCVTHQVKDQKESKKHNTLPVQADEYHKKAHGNVYRGCHVSSCTLCVWPSKNSRCVSCCWSSPSGNPFKASDPAICVSSSLHPCEM
+>sp|Q3LFD5|UBP41_HUMAN Putative ubiquitin carboxyl-terminal hydrolase 41 OS=Homo sapiens OX=9606 GN=USP41 PE=2 SV=2
+MDGVLFRAHQCQYVHPCVHVYVTVGLMDPLCERKEKASKQERENPLAHLAAWGLVGLHNIGQTCCLNSLIQVFVMNVDFARILKRITVPRGADEQRRSVPFQMLLLLEKMQDSRQKAVWPLELAYCLQKYNVPLFVQHDAAQLYLKLWNLIKDQIADVHLVERLQALYMIRMKDSLICLDCAMESSRNSSMLTLRLSFFDVDSKPLKTLEDALHCFFQPRELSSKSKCFCENCGKKTRGKQVLKLTHLPQTLTIHLMRFSIRNSQTRKICHSLYFPQSLDFSQILPMKRESCDAEEQSGGQYELFAVIAHVGMADSGHYCVYIRNAVDGKWFCFNDSNICLVSWEDIQCTYGNPNYHW
+>DECOY_sp|Q3LFD5|UBP41_HUMAN Putative ubiquitin carboxyl-terminal hydrolase 41 OS=Homo sapiens OX=9606 GN=USP41 PE=2 SV=2
+WHYNPNGYTCQIDEWSVLCINSDNFCFWKGDVANRIYVCYHGSDAMGVHAIVAFLEYQGGSQEEADCSERKMPLIQSFDLSQPFYLSHCIKRTQSNRISFRMLHITLTQPLHTLKLVQKGRTKKGCNECFCKSKSSLERPQFFCHLADELTKLPKSDVDFFSLRLTLMSSNRSSEMACDLCILSDKMRIMYLAQLREVLHVDAIQDKILNWLKLYLQAADHQVFLPVNYKQLCYALELPWVAKQRSDQMKELLLLMQFPVSRRQEDAGRPVTIRKLIRAFDVNMVFVQILSNLCCTQGINHLGVLGWAALHALPNEREQKSAKEKRECLPDMLGVTVYVHVCPHVYQCQHARFLVGDM
+>sp|Q9H9J4|UBP42_HUMAN Ubiquitin carboxyl-terminal hydrolase 42 OS=Homo sapiens OX=9606 GN=USP42 PE=1 SV=3
+MTIVDKASESSDPSAYQNQPGSSEAVSPGDMDAGSASWGAVSSLNDVSNHTLSLGPVPGAVVYSSSSVPDKSKPSPQKDQALGDGIAPPQKVLFPSEKICLKWQQTHRVGAGLQNLGNTCFANAALQCLTYTPPLANYMLSHEHSKTCHAEGFCMMCTMQAHITQALSNPGDVIKPMFVINEMRRIARHFRFGNQEDAHEFLQYTVDAMQKACLNGSNKLDRHTQATTLVCQIFGGYLRSRVKCLNCKGVSDTFDPYLDITLEIKAAQSVNKALEQFVKPEQLDGENSYKCSKCKKMVPASKRFTIHRSSNVLTLSLKRFANFTGGKIAKDVKYPEYLDIRPYMSQPNGEPIVYVLYAVLVHTGFNCHAGHYFCYIKASNGLWYQMNDSIVSTSDIRSVLSQQAYVLFYIRSHDVKNGGELTHPTHSPGQSSPRPVISQRVVTNKQAAPGFIGPQLPSHMIKNPPHLNGTGPLKDTPSSSMSSPNGNSSVNRASPVNASASVQNWSVNRSSVIPEHPKKQKITISIHNKLPVRQCQSQPNLHSNSLENPTKPVPSSTITNSAVQSTSNASTMSVSSKVTKPIPRSESCSQPVMNGKSKLNSSVLVPYGAESSEDSDEESKGLGKENGIGTIVSSHSPGQDAEDEEATPHELQEPMTLNGANSADSDSDPKENGLAPDGASCQGQPALHSENPFAKANGLPGKLMPAPLLSLPEDKILETFRLSNKLKGSTDEMSAPGAERGPPEDRDAEPQPGSPAAESLEEPDAAAGLSSTKKAPPPRDPGTPATKEGAWEAMAVAPEEPPPSAGEDIVGDTAPPDLCDPGSLTGDASPLSQDAKGMIAEGPRDSALAEAPEGLSPAPPARSEEPCEQPLLVHPSGDHARDAQDPSQSLGAPEAAERPPAPVLDMAPAGHPEGDAEPSPGERVEDAAAPKAPGPSPAKEKIGSLRKVDRGHYRSRRERSSSGEPARESRSKTEGHRHRRRRTCPRERDRQDRHAPEHHPGHGDRLSPGERRSLGRCSHHHSRHRSGVELDWVRHHYTEGERGWGREKFYPDRPRWDRCRYYHDRYALYAARDWKPFHGGREHERAGLHERPHKDHNRGRRGCEPARERERHRPSSPRAGAPHALAPHPDRFSHDRTALVAGDNCNLSDRFHEHENGKSRKRRHDSVENSDSHVEKKARRSEQKDPLEEPKAKKHKKSKKKKKSKDKHRDRDSRHQQDSDLSAACSDADLHRHKKKKKKKKRHSRKSEDFVKDSELHLPRVTSLETVAQFRRAQGGFPLSGGPPLEGVGPFREKTKHLRMESRDDRCRLFEYGQGKRRYLELGR
+>DECOY_sp|Q9H9J4|UBP42_HUMAN Ubiquitin carboxyl-terminal hydrolase 42 OS=Homo sapiens OX=9606 GN=USP42 PE=1 SV=3
+RGLELYRRKGQGYEFLRCRDDRSEMRLHKTKERFPGVGELPPGGSLPFGGQARRFQAVTELSTVRPLHLESDKVFDESKRSHRKKKKKKKKHRHLDADSCAASLDSDQQHRSDRDRHKDKSKKKKKSKKHKKAKPEELPDKQESRRAKKEVHSDSNEVSDHRRKRSKGNEHEHFRDSLNCNDGAVLATRDHSFRDPHPALAHPAGARPSSPRHRERERAPECGRRGRNHDKHPREHLGAREHERGGHFPKWDRAAYLAYRDHYYRCRDWRPRDPYFKERGWGREGETYHHRVWDLEVGSRHRSHHHSCRGLSRREGPSLRDGHGPHHEPAHRDQRDRERPCTRRRRHRHGETKSRSERAPEGSSSRERRSRYHGRDVKRLSGIKEKAPSPGPAKPAAADEVREGPSPEADGEPHGAPAMDLVPAPPREAAEPAGLSQSPDQADRAHDGSPHVLLPQECPEESRAPPAPSLGEPAEALASDRPGEAIMGKADQSLPSADGTLSGPDCLDPPATDGVIDEGASPPPEEPAVAMAEWAGEKTAPTGPDRPPPAKKTSSLGAAADPEELSEAAPSGPQPEADRDEPPGREAGPASMEDTSGKLKNSLRFTELIKDEPLSLLPAPMLKGPLGNAKAFPNESHLAPQGQCSAGDPALGNEKPDSDSDASNAGNLTMPEQLEHPTAEEDEADQGPSHSSVITGIGNEKGLGKSEEDSDESSEAGYPVLVSSNLKSKGNMVPQSCSESRPIPKTVKSSVSMTSANSTSQVASNTITSSPVPKTPNELSNSHLNPQSQCQRVPLKNHISITIKQKKPHEPIVSSRNVSWNQVSASANVPSARNVSSNGNPSSMSSSPTDKLPGTGNLHPPNKIMHSPLQPGIFGPAAQKNTVVRQSIVPRPSSQGPSHTPHTLEGGNKVDHSRIYFLVYAQQSLVSRIDSTSVISDNMQYWLGNSAKIYCFYHGAHCNFGTHVLVAYLVYVIPEGNPQSMYPRIDLYEPYKVDKAIKGGTFNAFRKLSLTLVNSSRHITFRKSAPVMKKCKSCKYSNEGDLQEPKVFQELAKNVSQAAKIELTIDLYPDFTDSVGKCNLCKVRSRLYGGFIQCVLTTAQTHRDLKNSGNLCAKQMADVTYQLFEHADEQNGFRFHRAIRRMENIVFMPKIVDGPNSLAQTIHAQMTCMMCFGEAHCTKSHEHSLMYNALPPTYTLCQLAANAFCTNGLNQLGAGVRHTQQWKLCIKESPFLVKQPPAIGDGLAQDKQPSPKSKDPVSSSSYVVAGPVPGLSLTHNSVDNLSSVAGWSASGADMDGPSVAESSGPQNQYASPDSSESAKDVITM
+>sp|Q96K76|UBP47_HUMAN Ubiquitin carboxyl-terminal hydrolase 47 OS=Homo sapiens OX=9606 GN=USP47 PE=1 SV=3
+MVPGEENQLVPKEDVFWRCRQNIFDEMKKKFLQIENAAEEPRVLCIIQDTTNSKTVNERITLNLPASTPVRKLFEDVANKVGYINGTFDLVWGNGINTADMAPLDHTSDKSLLDANFEPGKKNFLHLTDKDGEQPQILLEDSSAGEDSVHDRFIGPLPREGSGGSTSDYVSQSYSYSSILNKSETGYVGLVNQAMTCYLNSLLQTLFMTPEFRNALYKWEFEESEEDPVTSIPYQLQRLFVLLQTSKKRAIETTDVTRSFGWDSSEAWQQHDVQELCRVMFDALEQKWKQTEQADLINELYQGKLKDYVRCLECGYEGWRIDTYLDIPLVIRPYGSSQAFASVEEALHAFIQPEILDGPNQYFCERCKKKCDARKGLRFLHFPYLLTLQLKRFDFDYTTMHRIKLNDRMTFPEELDMSTFIDVEDEKSPQTESCTDSGAENEGSCHSDQMSNDFSNDDGVDEGICLETNSGTEKISKSGLEKNSLIYELFSVMVHSGSAAGGHYYACIKSFSDEQWYSFNDQHVSRITQEDIKKTHGGSSGSRGYYSSAFASSTNAYMLIYRLKDPARNAKFLEVDEYPEHIKNLVQKERELEEQEKRQREIERNTCKIKLFCLHPTKQVMMENKLEVHKDKTLKEAVEMAYKMMDLEEVIPLDCCRLVKYDEFHDYLERSYEGEEDTPMGLLLGGVKSTYMFDLLLETRKPDQVFQSYKPGEVMVKVHVVDLKAESVAAPITVRAYLNQTVTEFKQLISKAIHLPAETMRIVLERCYNDLRLLSVSSKTLKAEGFFRSNKVFVESSETLDYQMAFADSHLWKLLDRHANTIRLFVLLPEQSPVSYSKRTAYQKAGGDSGNVDDDCERVKGPVGSLKSVEAILEESTEKLKSLSLQQQQDGDNGDSSKSTETSDFENIESPLNERDSSASVDNRELEQHIQTSDPENFQSEERSDSDVNNDRSTSSVDSDILSSSHSSDTLCNADNAQIPLANGLDSHSITSSRRTKANEGKKETWDTAEEDSGTDSEYDESGKSRGEMQYMYFKAEPYAADEGSGEGHKWLMVHVDKRITLAAFKQHLEPFVGVLSSHFKVFRVYASNQEFESVRLNETLSSFSDDNKITIRLGRALKKGEYRVKVYQLLVNEQEPCKFLLDAVFAKGMTVRQSKEELIPQLREQCGLELSIDRFRLRKKTWKNPGTVFLDYHIYEEDINISSNWEVFLEVLDGVEKMKSMSQLAVLSRRWKPSEMKLDPFQEVVLESSSVDELREKLSEISGIPLDDIEFAKGRGTFPCDISVLDIHQDLDWNPKVSTLNVWPLYICDDGAVIFYRDKTEELMELTDEQRNELMKKESSRLQKTGHRVTYSPRKEKALKIYLDGAPNKDLTQD
+>DECOY_sp|Q96K76|UBP47_HUMAN Ubiquitin carboxyl-terminal hydrolase 47 OS=Homo sapiens OX=9606 GN=USP47 PE=1 SV=3
+DQTLDKNPAGDLYIKLAKEKRPSYTVRHGTKQLRSSEKKMLENRQEDTLEMLEETKDRYFIVAGDDCIYLPWVNLTSVKPNWDLDQHIDLVSIDCPFTGRGKAFEIDDLPIGSIESLKERLEDVSSSELVVEQFPDLKMESPKWRRSLVALQSMSKMKEVGDLVELFVEWNSSINIDEEYIHYDLFVTGPNKWTKKRLRFRDISLELGCQERLQPILEEKSQRVTMGKAFVADLLFKCPEQENVLLQYVKVRYEGKKLARGLRITIKNDDSFSSLTENLRVSEFEQNSAYVRFVKFHSSLVGVFPELHQKFAALTIRKDVHVMLWKHGEGSGEDAAYPEAKFYMYQMEGRSKGSEDYESDTGSDEEATDWTEKKGENAKTRRSSTISHSDLGNALPIQANDANCLTDSSHSSSLIDSDVSSTSRDNNVDSDSREESQFNEPDSTQIHQELERNDVSASSDRENLPSEINEFDSTETSKSSDGNDGDQQQQLSLSKLKETSEELIAEVSKLSGVPGKVRECDDDVNGSDGGAKQYATRKSYSVPSQEPLLVFLRITNAHRDLLKWLHSDAFAMQYDLTESSEVFVKNSRFFGEAKLTKSSVSLLRLDNYCRELVIRMTEAPLHIAKSILQKFETVTQNLYARVTIPAAVSEAKLDVVHVKVMVEGPKYSQFVQDPKRTELLLDFMYTSKVGGLLLGMPTDEEGEYSRELYDHFEDYKVLRCCDLPIVEELDMMKYAMEVAEKLTKDKHVELKNEMMVQKTPHLCFLKIKCTNREIERQRKEQEELEREKQVLNKIHEPYEDVELFKANRAPDKLRYILMYANTSSAFASSYYGRSGSSGGHTKKIDEQTIRSVHQDNFSYWQEDSFSKICAYYHGGAASGSHVMVSFLEYILSNKELGSKSIKETGSNTELCIGEDVGDDNSFDNSMQDSHCSGENEAGSDTCSETQPSKEDEVDIFTSMDLEEPFTMRDNLKIRHMTTYDFDFRKLQLTLLYPFHLFRLGKRADCKKKCRECFYQNPGDLIEPQIFAHLAEEVSAFAQSSGYPRIVLPIDLYTDIRWGEYGCELCRVYDKLKGQYLENILDAQETQKWKQELADFMVRCLEQVDHQQWAESSDWGFSRTVDTTEIARKKSTQLLVFLRQLQYPISTVPDEESEEFEWKYLANRFEPTMFLTQLLSNLYCTMAQNVLGVYGTESKNLISSYSYSQSVYDSTSGGSGERPLPGIFRDHVSDEGASSDELLIQPQEGDKDTLHLFNKKGPEFNADLLSKDSTHDLPAMDATNIGNGWVLDFTGNIYGVKNAVDEFLKRVPTSAPLNLTIRENVTKSNTTDQIICLVRPEEAANEIQLFKKKMEDFINQRCRWFVDEKPVLQNEEGPVM
+>sp|Q70EK8|UBP53_HUMAN Inactive ubiquitin carboxyl-terminal hydrolase 53 OS=Homo sapiens OX=9606 GN=USP53 PE=1 SV=2
+MAWVKFLRKPGGNLGKVYQPGSMLSLAPTKGLLNEPGQNSCFLNSAVQVLWQLDIFRRSLRVLTGHVCQGDACIFCALKTIFAQFQHSREKALPSDNIRHALAESFKDEQRFQLGLMDDAAECFENMLERIHFHIVPSRDADMCTSKSCITHQKFAMTLYEQCVCRSCGASSDPLPFTEFVRYISTTALCNEVERMLERHERFKPEMFAELLQAANTTDDYRKCPSNCGQKIKIRRVLMNCPEIVTIGLVWDSEHSDLTEAVVRNLATHLYLPGLFYRVTDENAKNSELNLVGMICYTSQHYCAFAFHTKSSKWVFFDDANVKEIGTRWKDVVSKCIRCHFQPLLLFYANPDGTAVSTEDALRQVISWSHYKSVAENMGCEKPVIHKSDNLKENGFGDQAKQRENQKFPTDNISSSNRSHSHTGVGKGPAKLSHIDQREKIKDISRECALKAIEQKNLLSSQRKDLEKGQRKDLGRHRDLVDEDLSHFQSGSPPAPNGFKQHGNPHLYHSQGKGSYKHDRVVPQSRASAQIISSSKSQILAPGEKITGKVKSDNGTGYDTDSSQDSRDRGNSCDSSSKSRNRGWKPMRETLNVDSIFSESEKRQHSPRHKPNISNKPKSSKDPSFSNWPKENPKQKGLMTIYEDEMKQEIGSRSSLESNGKGAEKNKGLVEGKVHGDNWQMQRTESGYESSDHISNGSTNLDSPVIDGNGTVMDISGVKETVCFSDQITTSNLNKERGDCTSLQSQHHLEGFRKELRNLEAGYKSHEFHPESHLQIKNHLIKRSHVHEDNGKLFPSSSLQIPKDHNAREHIHQSDEQKLEKPNECKFSEWLNIENSERTGLPFHVDNSASGKRVNSNEPSSLWSSHLRTVGLKPETAPLIQQQNIMDQCYFENSLSTECIIRSASRSDGCQMPKLFCQNLPPPLPPKKYAITSVPQSEKSESTPDVKLTEVFKATSHLPKHSLSTASEPSLEVSTHMNDERHKETFQVRECFGNTPNCPSSSSTNDFQANSGAIDAFCQPELDSISTCPNETVSLTTYFSVDSCMTDTYRLKYHQRPKLSFPESSGFCNNSLS
+>DECOY_sp|Q70EK8|UBP53_HUMAN Inactive ubiquitin carboxyl-terminal hydrolase 53 OS=Homo sapiens OX=9606 GN=USP53 PE=1 SV=2
+SLSNNCFGSSEPFSLKPRQHYKLRYTDTMCSDVSFYTTLSVTENPCTSISDLEPQCFADIAGSNAQFDNTSSSSPCNPTNGFCERVQFTEKHREDNMHTSVELSPESATSLSHKPLHSTAKFVETLKVDPTSESKESQPVSTIAYKKPPLPPPLNQCFLKPMQCGDSRSASRIICETSLSNEFYCQDMINQQQILPATEPKLGVTRLHSSWLSSPENSNVRKGSASNDVHFPLGTRESNEINLWESFKCENPKELKQEDSQHIHERANHDKPIQLSSSPFLKGNDEHVHSRKILHNKIQLHSEPHFEHSKYGAELNRLEKRFGELHHQSQLSTCDGREKNLNSTTIQDSFCVTEKVGSIDMVTGNGDIVPSDLNTSGNSIHDSSEYGSETRQMQWNDGHVKGEVLGKNKEAGKGNSELSSRSGIEQKMEDEYITMLGKQKPNEKPWNSFSPDKSSKPKNSINPKHRPSHQRKESESFISDVNLTERMPKWGRNRSKSSSDCSNGRDRSDQSSDTDYGTGNDSKVKGTIKEGPALIQSKSSSIIQASARSQPVVRDHKYSGKGQSHYLHPNGHQKFGNPAPPSGSQFHSLDEDVLDRHRGLDKRQGKELDKRQSSLLNKQEIAKLACERSIDKIKERQDIHSLKAPGKGVGTHSHSRNSSSINDTPFKQNERQKAQDGFGNEKLNDSKHIVPKECGMNEAVSKYHSWSIVQRLADETSVATGDPNAYFLLLPQFHCRICKSVVDKWRTGIEKVNADDFFVWKSSKTHFAFACYHQSTYCIMGVLNLESNKANEDTVRYFLGPLYLHTALNRVVAETLDSHESDWVLGITVIEPCNMLVRRIKIKQGCNSPCKRYDDTTNAAQLLEAFMEPKFREHRELMREVENCLATTSIYRVFETFPLPDSSAGCSRCVCQEYLTMAFKQHTICSKSTCMDADRSPVIHFHIRELMNEFCEAADDMLGLQFRQEDKFSEALAHRINDSPLAKERSHQFQAFITKLACFICADGQCVHGTLVRLSRRFIDLQWLVQVASNLFCSNQGPENLLGKTPALSLMSGPQYVKGLNGGPKRLFKVWAM
+>sp|Q9NRR5|UBQL4_HUMAN Ubiquilin-4 OS=Homo sapiens OX=9606 GN=UBQLN4 PE=1 SV=2
+MAEPSGAETRPPIRVTVKTPKDKEEIVICDRASVKEFKEEISRRFKAQQDQLVLIFAGKILKDGDTLNQHGIKDGLTVHLVIKTPQKAQDPAAATASSPSTPDPASAPSTTPASPATPAQPSTSGSASSDAGSGSRRSSGGGPSPGAGEGSPSATASILSGFGGILGLGSLGLGSANFMELQQQMQRQLMSNPEMLSQIMENPLVQDMMSNPDLMRHMIMANPQMQQLMERNPEISHMLNNPELMRQTMELARNPAMMQEMMRNQDRALSNLESIPGGYNALRRMYTDIQEPMFSAAREQFGNNPFSSLAGNSDSSSSQPLRTENREPLPNPWSPSPPTSQAPGSGGEGTGGSGTSQVHPTVSNPFGINAASLGSGMFNSPEMQALLQQISENPQLMQNVISAPYMRSMMQTLAQNPDFAAQMMVNVPLFAGNPQLQEQLRLQLPVFLQQMQNPESLSILTNPRAMQALLQIQQGLQTLQTEAPGLVPSLGSFGISRTPAPSAGSNAGSTPEAPTSSPATPATSSPTGASSAQQQLMQQMIQLLAGSGNSQVQTPEVRFQQQLEQLNSMGFINREANLQALIATGGDINAAIERLLGSQLS
+>DECOY_sp|Q9NRR5|UBQL4_HUMAN Ubiquilin-4 OS=Homo sapiens OX=9606 GN=UBQLN4 PE=1 SV=2
+SLQSGLLREIAANIDGGTAILAQLNAERNIFGMSNLQELQQQFRVEPTQVQSNGSGALLQIMQQMLQQQASSAGTPSSTAPTAPSSTPAEPTSGANSGASPAPTRSIGFSGLSPVLGPAETQLTQLGQQIQLLAQMARPNTLISLSEPNQMQQLFVPLQLRLQEQLQPNGAFLPVNVMMQAAFDPNQALTQMMSRMYPASIVNQMLQPNESIQQLLAQMEPSNFMGSGLSAANIGFPNSVTPHVQSTGSGGTGEGGSGPAQSTPPSPSWPNPLPERNETRLPQSSSSDSNGALSSFPNNGFQERAASFMPEQIDTYMRRLANYGGPISELNSLARDQNRMMEQMMAPNRALEMTQRMLEPNNLMHSIEPNREMLQQMQPNAMIMHRMLDPNSMMDQVLPNEMIQSLMEPNSMLQRQMQQQLEMFNASGLGLSGLGLIGGFGSLISATASPSGEGAGPSPGGGSSRRSGSGADSSASGSTSPQAPTAPSAPTTSPASAPDPTSPSSATAAAPDQAKQPTKIVLHVTLGDKIGHQNLTDGDKLIKGAFILVLQDQQAKFRRSIEEKFEKVSARDCIVIEEKDKPTKVTVRIPPRTEAGSPEAM
+>sp|Q5T4S7|UBR4_HUMAN E3 ubiquitin-protein ligase UBR4 OS=Homo sapiens OX=9606 GN=UBR4 PE=1 SV=1
+MATSGGEEAAAAAPAPGTPATGADTTPGWEVAVRPLLSASYSAFEMKELPQLVASVIESESEILHHEKQYEPFYSSFVALSTHYITTVCSLIPRNQLQSVAAACKVLIEFSLLRLENPDEACAVSQKHLILLIKGLCTGCSRLDRTEIITFTAMMKSAKLPQTVKTLSDVEDQKELASPVSPELRQKEVQMNFLNQLTSVFNPRTVASQPISTQTLVEGENDEQSSTDQASAIKTKNVFIAQNVASLQELGGSEKLLRVCLNLPYFLRYINRFQDAVLANSFFIMPATVADATAVRNGFHSLVIDVTMALDTLSLPVLEPLNPSRLQDVTVLSLSCLYAGVSVATCMAILHVGSAQQVRTGSTSSKEDDYESDAATIVQKCLEIYDMIGQAISSSRRAGGEHYQNFQLLGAWCLLNSLFLILNLSPTALADKGKEKDPLAALRVRDILSRTKEGVGSPKLGPGKGHQGFGVLSVILANHAIKLLTSLFQDLQVEALHKGWETDGPPAALSIMAQSTSIQRIQRLIDSVPLMNLLLTLLSTSYRKACVLQRQRKGSMSSDASASTDSNTYYEDDFSSTEEDSSQDDDSEPILGQWFEETISPSKEKAAPPPPPPPPPLESSPRVKSPSKQAPGEKGNILASRKDPELFLGLASNILNFITSSMLNSRNNFIRNYLSVSLSEHHMATLASIIKEVDKDGLKGSSDEEFAAALYHFNHSLVTSDLQSPNLQNTLLQQLGVAPFSEGPWPLYIHPQSLSVLSRLLLIWQHKASAQGDPDVPECLKVWDRFLSTMKQNALQGVVPSETEDLNVEHLQMLLLIFHNFTETGRRAILSLFVQIIQELSVNMDAQMRFVPLILARLLLIFDYLLHQYSKAPVYLFEQVQHNLLSPPFGWASGSQDSNSRRATTPLYHGFKEVEENWSKHFSSDAVPHPRFYCVLSPEASEDDLNRLDSVACDVLFSKLVKYDELYAALTALLAAGSQLDTVRRKENKNVTALEACALQYYFLILWRILGILPPSKTYINQLSMNSPEMSECDILHTLRWSSRLRISSYVNWIKDHLIKQGMKAEHASSLLELASTTKCSSVKYDVEIVEEYFARQISSFCSIDCTTILQLHEIPSLQSIYTLDAAISKVQVSLDEHFSKMAAETDPHKSSEITKNLLPATLQLIDTYASFTRAYLLQNFNEEGTTEKPSKEKLQGFAAVLAIGSSRCKANTLGPTLVQNLPSSVQTVCESWNNINTNEFPNIGSWRNAFANDTIPSESYISAVQAAHLGTLCSQSLPLAASLKHTLLSLVRLTGDLIVWSDEMNPPQVIRTLLPLLLESSTESVAEISSNSLERILGPAESDEFLARVYEKLITGCYNILANHADPNSGLDESILEECLQYLEKQLESSQARKAMEEFFSDSGELVQIMMATANENLSAKFCNRVLKFFTKLFQLTEKSPNPSLLHLCGSLAQLACVEPVRLQAWLTRMTTSPPKDSDQLDVIQENRQLLQLLTTYIVRENSQVGEGVCAVLLGTLTPMATEMLANGDGTGFPELMVVMATLASAGQGAGHLQLHNAAVDWLSRCKKYLSQKNVVEKLNANVMHGKHVMILECTCHIMSYLADVTNALSQSNGQGPSHLSVDGEERAIEVDSDWVEELAVEEEDSQAEDSDEDSLCNKLCTFTITQKEFMNQHWYHCHTCKMVDGVGVCTVCAKVCHKDHEISYAKYGSFFCDCGAKEDGSCLALVKRTPSSGMSSTMKESAFQSEPRISESLVRHASTSSPADKAKVTISDGKVADEEKPKKSSLCRTVEGCREELQNQANFSFAPLVLDMLNFLMDAIQTNFQQASAVGSSSRAQQALSELHTVEKAVEMTDQLMVPTLGSQEGAFENVRMNYSGDQGQTIRQLISAHVLRRVAMCVLSSPHGRRQHLAVSHEKGKITVLQLSALLKQADSSKRKLTLTRLASAPVPFTVLSLTGNPCKEDYLAVCGLKDCHVLTFSSSGSVSDHLVLHPQLATGNFIIKAVWLPGSQTELAIVTADFVKIYDLCVDALSPTFYFLLPSSKIRDVTFLFNEEGKNIIVIMSSAGYIYTQLMEEASSAQQGPFYVTNVLEINHEDLKDSNSQVAGGGVSVYYSHVLQMLFFSYCQGKSFAATISRTTLEVLQLFPINIKSSNGGSKTSPALCQWSEVMNHPGLVCCVQQTTGVPLVVMVKPDTFLIQEIKTLPAKAKIQDMVAIRHTACNEQQRTTMILLCEDGSLRIYMANVENTSYWLQPSLQPSSVISIMKPVRKRKTATITTRTSSQVTFPIDFFEHNQQLTDVEFGGNDLLQVYNAQQIKHRLNSTGMYVANTKPGGFTIEISNNNSTMVMTGMRIQIGTQAIERAPSYIEIFGRTMQLNLSRSRWFDFPFTREEALQADKKLNLFIGASVDPAGVTMIDAVKIYGKTKEQFGWPDEPPEEFPSASVSNICPSNLNQSNGTGDSDSAAPTTTSGTVLERLVVSSLEALESCFAVGPIIEKERNKNAAQELATLLLSLPAPASVQQQSKSLLASLHTSRSAYHSHKDQALLSKAVQCLNTSSKEGKDLDPEVFQRLVITARSIAIMRPNNLVHFTESKLPQMETEGMDEGKEPQKQLEGDCCSFITQLVNHFWKLHASKPKNAFLAPACLPGLTHIEATVNALVDIIHGYCTCELDCINTASKIYMQMLLCPDPAVSFSCKQALIRVLRPRNKRRHVTLPSSPRSNTPMGDKDDDDDDDADEKMQSSGIPNGGHIRQESQEQSEVDHGDFEMVSESMVLETAENVNNGNPSPLEALLAGAEGFPPMLDIPPDADDETMVELAIALSLQQDQQGSSSSALGLQSLGLSGQAPSSSSLDAGTLSDTTASAPASDDEGSTAATDGSTLRTSPADHGGSVGSESGGSAVDSVAGEHSVSGRSSAYGDATAEGHPAGPGSVSSSTGAISTTTGHQEGDGSEGEGEGETEGDVHTSNRLHMVRLMLLERLLQTLPQLRNVGGVRAIPYMQVILMLTTDLDGEDEKDKGALDNLLSQLIAELGMDKKDVSKKNERSALNEVHLVVMRLLSVFMSRTKSGSKSSICESSSLISSATAAALLSSGAVDYCLHVLKSLLEYWKSQQNDEEPVATSQLLKPHTTSSPPDMSPFFLRQYVKGHAADVFEAYTQLLTEMVLRLPYQIKKITDTNSRIPPPVFDHSWFYFLSEYLMIQQTPFVRRQVRKLLLFICGSKEKYRQLRDLHTLDSHVRGIKKLLEEQGIFLRASVVTASSGSALQYDTLISLMEHLKACAEIAAQRTINWQKFCIKDDSVLYFLLQVSFLVDEGVSPVLLQLLSCALCGSKVLAALAASSGSSSASSSSAPVAASSGQATTQSKSSTKKSKKEEKEKEKDGETSGSQEDQLCTALVNQLNKFADKETLIQFLRCFLLESNSSSVRWQAHCLTLHIYRNSSKSQQELLLDLMWSIWPELPAYGRKAAQFVDLLGYFSLKTPQTEKKLKEYSQKAVEILRTQNHILTNHPNSNIYNTLSGLVEFDGYYLESDPCLVCNNPEVPFCYIKLSSIKVDTRYTTTQQVVKLIGSHTISKVTVKIGDLKRTKMVRTINLYYNNRTVQAIVELKNKPARWHKAKKVQLTPGQTEVKIDLPLPIVASNLMIEFADFYENYQASTETLQCPRCSASVPANPGVCGNCGENVYQCHKCRSINYDEKDPFLCNACGFCKYARFDFMLYAKPCCAVDPIENEEDRKKAVSNINTLLDKADRVYHQLMGHRPQLENLLCKVNEAAPEKPQDDSGTAGGISSTSASVNRYILQLAQEYCGDCKNSFDELSKIIQKVFASRKELLEYDLQQREAATKSSRTSVQPTFTASQYRALSVLGCGHTSSTKCYGCASAVTEHCITLLRALATNPALRHILVSQGLIRELFDYNLRRGAAAMREEVRQLMCLLTRDNPEATQQMNDLIIGKVSTALKGHWANPDLASSLQYEMLLLTDSISKEDSCWELRLRCALSLFLMAVNIKTPVVVENITLMCLRILQKLIKPPAPTSKKNKDVPVEALTTVKPYCNEIHAQAQLWLKRDPKASYDAWKKCLPIRGIDGNGKAPSKSELRHLYLTEKYVWRWKQFLSRRGKRTSPLDLKLGHNNWLRQVLFTPATQAARQAACTIVEALATIPSRKQQVLDLLTSYLDELSIAGECAAEYLALYQKLITSAHWKVYLAARGVLPYVGNLITKEIARLLALEEATLSTDLQQGYALKSLTGLLSSFVEVESIKRHFKSRLVGTVLNGYLCLRKLVVQRTKLIDETQDMLLEMLEDMTTGTESETKAFMAVCIETAKRYNLDDYRTPVFIFERLCSIIYPEENEVTEFFVTLEKDPQQEDFLQGRMPGNPYSSNEPGIGPLMRDIKNKICQDCDLVALLEDDSGMELLVNNKIISLDLPVAEVYKKVWCTTNEGEPMRIVYRMRGLLGDATEEFIESLDSTTDEEEDEEEVYKMAGVMAQCGGLECMLNRLAGIRDFKQGRHLLTVLLKLFSYCVKVKVNRQQLVKLEMNTLNVMLGTLNLALVAEQESKDSGGAAVAEQVLSIMEIILDESNAEPLSEDKGNLLLTGDKDQLVMLLDQINSTFVRSNPSVLQGLLRIIPYLSFGEVEKMQILVERFKPYCNFDKYDEDHSGDDKVFLDCFCKIAAGIKNNSNGHQLKDLILQKGITQNALDYMKKHIPSAKNLDADIWKKFLSRPALPFILRLLRGLAIQHPGTQVLIGTDSIPNLHKLEQVSSDEGIGTLAENLLEALREHPDVNKKIDAARRETRAEKKRMAMAMRQKALGTLGMTTNEKGQVVTKTALLKQMEELIEEPGLTCCICREGYKFQPTKVLGIYTFTKRVALEEMENKPRKQQGYSTVSHFNIVHYDCHLAAVRLARGREEWESAALQNANTKCNGLLPVWGPHVPESAFATCLARHNTYLQECTGQREPTYQLNIHDIKLLFLRFAMEQSFSADTGGGGRESNIHLIPYIIHTVLYVLNTTRATSREEKNLQGFLEQPKEKWVESAFEVDGPYYFTVLALHILPPEQWRATRVEILRRLLVTSQARAVAPGGATRLTDKAVKDYSAYRSSLLFWALVDLIYNMFKKVPTSNTEGGWSCSLAEYIRHNDMPIYEAADKALKTFQEEFMPVETFSEFLDVAGLLSEITDPESFLKDLLNSVP
+>DECOY_sp|Q5T4S7|UBR4_HUMAN E3 ubiquitin-protein ligase UBR4 OS=Homo sapiens OX=9606 GN=UBR4 PE=1 SV=1
+PVSNLLDKLFSEPDTIESLLGAVDLFESFTEVPMFEEQFTKLAKDAAEYIPMDNHRIYEALSCSWGGETNSTPVKKFMNYILDVLAWFLLSSRYASYDKVAKDTLRTAGGPAVARAQSTVLLRRLIEVRTARWQEPPLIHLALVTFYYPGDVEFASEVWKEKPQELFGQLNKEERSTARTTNLVYLVTHIIYPILHINSERGGGGTDASFSQEMAFRLFLLKIDHINLQYTPERQGTCEQLYTNHRALCTAFASEPVHPGWVPLLGNCKTNANQLAASEWEERGRALRVAALHCDYHVINFHSVTSYGQQKRPKNEMEELAVRKTFTYIGLVKTPQFKYGERCICCTLGPEEILEEMQKLLATKTVVQGKENTTMGLTGLAKQRMAMAMRKKEARTERRAADIKKNVDPHERLAELLNEALTGIGEDSSVQELKHLNPISDTGILVQTGPHQIALGRLLRLIFPLAPRSLFKKWIDADLNKASPIHKKMYDLANQTIGKQLILDKLQHGNSNNKIGAAIKCFCDLFVKDDGSHDEDYKDFNCYPKFREVLIQMKEVEGFSLYPIIRLLGQLVSPNSRVFTSNIQDLLMVLQDKDGTLLLNGKDESLPEANSEDLIIEMISLVQEAVAAGGSDKSEQEAVLALNLTGLMVNLTNMELKVLQQRNVKVKVCYSFLKLLVTLLHRGQKFDRIGALRNLMCELGGCQAMVGAMKYVEEEDEEEDTTSDLSEIFEETADGLLGRMRYVIRMPEGENTTCWVKKYVEAVPLDLSIIKNNVLLEMGSDDELLAVLDCDQCIKNKIDRMLPGIGPENSSYPNGPMRGQLFDEQQPDKELTVFFETVENEEPYIISCLREFIFVPTRYDDLNYRKATEICVAMFAKTESETGTTMDELMELLMDQTEDILKTRQVVLKRLCLYGNLVTGVLRSKFHRKISEVEVFSSLLGTLSKLAYGQQLDTSLTAEELALLRAIEKTILNGVYPLVGRAALYVKWHASTILKQYLALYEAACEGAISLEDLYSTLLDLVQQKRSPITALAEVITCAAQRAAQTAPTFLVQRLWNNHGLKLDLPSTRKGRRSLFQKWRWVYKETLYLHRLESKSPAKGNGDIGRIPLCKKWADYSAKPDRKLWLQAQAHIENCYPKVTTLAEVPVDKNKKSTPAPPKILKQLIRLCMLTINEVVVPTKINVAMLFLSLACRLRLEWCSDEKSISDTLLLMEYQLSSALDPNAWHGKLATSVKGIILDNMQQTAEPNDRTLLCMLQRVEERMAAAGRRLNYDFLERILGQSVLIHRLAPNTALARLLTICHETVASACGYCKTSSTHGCGLVSLARYQSATFTPQVSTRSSKTAAERQQLDYELLEKRSAFVKQIIKSLEDFSNKCDGCYEQALQLIYRNVSASTSSIGGATGSDDQPKEPAAENVKCLLNELQPRHGMLQHYVRDAKDLLTNINSVAKKRDEENEIPDVACCPKAYLMFDFRAYKCFGCANCLFPDKEDYNISRCKHCQYVNEGCNGCVGPNAPVSASCRPCQLTETSAQYNEYFDAFEIMLNSAVIPLPLDIKVETQGPTLQVKKAKHWRAPKNKLEVIAQVTRNNYYLNITRVMKTRKLDGIKVTVKSITHSGILKVVQQTTTYRTDVKISSLKIYCFPVEPNNCVLCPDSELYYGDFEVLGSLTNYINSNPHNTLIHNQTRLIEVAKQSYEKLKKETQPTKLSFYGLLDVFQAAKRGYAPLEPWISWMLDLLLEQQSKSSNRYIHLTLCHAQWRVSSSNSELLFCRLFQILTEKDAFKNLQNVLATCLQDEQSGSTEGDKEKEKEEKKSKKTSSKSQTTAQGSSAAVPASSSSASSSGSSAALAALVKSGCLACSLLQLLVPSVGEDVLFSVQLLFYLVSDDKICFKQWNITRQAAIEACAKLHEMLSILTDYQLASGSSATVVSARLFIGQEELLKKIGRVHSDLTHLDRLQRYKEKSGCIFLLLKRVQRRVFPTQQIMLYESLFYFWSHDFVPPPIRSNTDTIKKIQYPLRLVMETLLQTYAEFVDAAHGKVYQRLFFPSMDPPSSTTHPKLLQSTAVPEEDNQQSKWYELLSKLVHLCYDVAGSSLLAAATASSILSSSECISSKSGSKTRSMFVSLLRMVVLHVENLASRENKKSVDKKDMGLEAILQSLLNDLAGKDKEDEGDLDTTLMLIVQMYPIARVGGVNRLQPLTQLLRELLMLRVMHLRNSTHVDGETEGEGEGESGDGEQHGTTTSIAGTSSSVSGPGAPHGEATADGYASSRGSVSHEGAVSDVASGGSESGVSGGHDAPSTRLTSGDTAATSGEDDSAPASATTDSLTGADLSSSSPAQGSLGLSQLGLASSSSGQQDQQLSLAIALEVMTEDDADPPIDLMPPFGEAGALLAELPSPNGNNVNEATELVMSESVMEFDGHDVESQEQSEQRIHGGNPIGSSQMKEDADDDDDDDKDGMPTNSRPSSPLTVHRRKNRPRLVRILAQKCSFSVAPDPCLLMQMYIKSATNICDLECTCYGHIIDVLANVTAEIHTLGPLCAPALFANKPKSAHLKWFHNVLQTIFSCCDGELQKQPEKGEDMGETEMQPLKSETFHVLNNPRMIAISRATIVLRQFVEPDLDKGEKSSTNLCQVAKSLLAQDKHSHYASRSTHLSALLSKSQQQVSAPAPLSLLLTALEQAANKNREKEIIPGVAFCSELAELSSVVLRELVTGSTTTPAASDSDGTGNSQNLNSPCINSVSASPFEEPPEDPWGFQEKTKGYIKVADIMTVGAPDVSAGIFLNLKKDAQLAEERTFPFDFWRSRSLNLQMTRGFIEIYSPAREIAQTGIQIRMGTMVMTSNNNSIEITFGGPKTNAVYMGTSNLRHKIQQANYVQLLDNGGFEVDTLQQNHEFFDIPFTVQSSTRTTITATKRKRVPKMISIVSSPQLSPQLWYSTNEVNAMYIRLSGDECLLIMTTRQQENCATHRIAVMDQIKAKAPLTKIEQILFTDPKVMVVLPVGTTQQVCCVLGPHNMVESWQCLAPSTKSGGNSSKINIPFLQLVELTTRSITAAFSKGQCYSFFLMQLVHSYYVSVGGGAVQSNSDKLDEHNIELVNTVYFPGQQASSAEEMLQTYIYGASSMIVIINKGEENFLFTVDRIKSSPLLFYFTPSLADVCLDYIKVFDATVIALETQSGPLWVAKIIFNGTALQPHLVLHDSVSGSSSFTLVHCDKLGCVALYDEKCPNGTLSLVTFPVPASALRTLTLKRKSSDAQKLLASLQLVTIKGKEHSVALHQRRGHPSSLVCMAVRRLVHASILQRITQGQDGSYNMRVNEFAGEQSGLTPVMLQDTMEVAKEVTHLESLAQQARSSSGVASAQQFNTQIADMLFNLMDLVLPAFSFNAQNQLEERCGEVTRCLSSKKPKEEDAVKGDSITVKAKDAPSSTSAHRVLSESIRPESQFASEKMTSSMGSSPTRKVLALCSGDEKAGCDCFFSGYKAYSIEHDKHCVKACVTCVGVGDVMKCTHCHYWHQNMFEKQTITFTCLKNCLSDEDSDEAQSDEEEVALEEVWDSDVEIAREEGDVSLHSPGQGNSQSLANTVDALYSMIHCTCELIMVHKGHMVNANLKEVVNKQSLYKKCRSLWDVAANHLQLHGAGQGASALTAMVVMLEPFGTGDGNALMETAMPTLTGLLVACVGEGVQSNERVIYTTLLQLLQRNEQIVDLQDSDKPPSTTMRTLWAQLRVPEVCALQALSGCLHLLSPNPSKETLQFLKTFFKLVRNCFKASLNENATAMMIQVLEGSDSFFEEMAKRAQSSELQKELYQLCEELISEDLGSNPDAHNALINYCGTILKEYVRALFEDSEAPGLIRELSNSSIEAVSETSSELLLPLLTRIVQPPNMEDSWVILDGTLRVLSLLTHKLSAALPLSQSCLTGLHAAQVASIYSESPITDNAFANRWSGINPFENTNINNWSECVTQVSSPLNQVLTPGLTNAKCRSSGIALVAAFGQLKEKSPKETTGEENFNQLLYARTFSAYTDILQLTAPLLNKTIESSKHPDTEAAMKSFHEDLSVQVKSIAADLTYISQLSPIEHLQLITTCDISCFSSIQRAFYEEVIEVDYKVSSCKTTSALELLSSAHEAKMGQKILHDKIWNVYSSIRLRSSWRLTHLIDCESMEPSNMSLQNIYTKSPPLIGLIRWLILFYYQLACAELATVNKNEKRRVTDLQSGAALLATLAAYLEDYKVLKSFLVDCAVSDLRNLDDESAEPSLVCYFRPHPVADSSFHKSWNEEVEKFGHYLPTTARRSNSDQSGSAWGFPPSLLNHQVQEFLYVPAKSYQHLLYDFILLLRALILPVFRMQADMNVSLEQIIQVFLSLIARRGTETFNHFILLLMQLHEVNLDETESPVVGQLANQKMTSLFRDWVKLCEPVDPDGQASAKHQWILLLRSLVSLSQPHIYLPWPGESFPAVGLQQLLTNQLNPSQLDSTVLSHNFHYLAAAFEEDSSGKLGDKDVEKIISALTAMHHESLSVSLYNRIFNNRSNLMSSTIFNLINSALGLFLEPDKRSALINGKEGPAQKSPSKVRPSSELPPPPPPPPPAAKEKSPSITEEFWQGLIPESDDDQSSDEETSSFDDEYYTNSDTSASADSSMSGKRQRQLVCAKRYSTSLLTLLLNMLPVSDILRQIRQISTSQAMISLAAPPGDTEWGKHLAEVQLDQFLSTLLKIAHNALIVSLVGFGQHGKGPGLKPSGVGEKTRSLIDRVRLAALPDKEKGKDALATPSLNLILFLSNLLCWAGLLQFNQYHEGGARRSSSIAQGIMDYIELCKQVITAADSEYDDEKSSTSGTRVQQASGVHLIAMCTAVSVGAYLCSLSLVTVDQLRSPNLPELVPLSLTDLAMTVDIVLSHFGNRVATADAVTAPMIFFSNALVADQFRNIYRLFYPLNLCVRLLKESGGLEQLSAVNQAIFVNKTKIASAQDTSSQEDNEGEVLTQTSIPQSAVTRPNFVSTLQNLFNMQVEKQRLEPSVPSALEKQDEVDSLTKVTQPLKASKMMATFTIIETRDLRSCGTCLGKILLILHKQSVACAEDPNELRLLSFEILVKCAAAVSQLQNRPILSCVTTIYHTSLAVFSSYFPEYQKEHHLIESESEIVSAVLQPLEKMEFASYSASLLPRVAVEWGPTTDAGTAPTGPAPAAAAAEEGGSTAM
+>sp|Q8TF42|UBS3B_HUMAN Ubiquitin-associated and SH3 domain-containing protein B OS=Homo sapiens OX=9606 GN=UBASH3B PE=1 SV=2
+MAQYGHPSPLGMAAREELYSKVTPRRNRQQRPGTIKHGSALDVLLSMGFPRARAQKALASTGGRSVQAACDWLFSHVGDPFLDDPLPREYVLYLRPTGPLAQKLSDFWQQSKQICGKNKAHNIFPHITLCQFFMCEDSKVDALGEALQTTVSRWKCKFSAPLPLELYTSSNFIGLFVKEDSAEVLKKFAADFAAEAASKTEVHVEPHKKQLHVTLAYHFQASHLPTLEKLAQNIDVKLGCDWVATIFSRDIRFANHETLQVIYPYTPQNDDELELVPGDFIFMSPMEQTSTSEGWIYGTSLTTGCSGLLPENYITKADECSTWIFHGSYSILNTSSSNSLTFGDGVLERRPYEDQGLGETTPLTIICQPMQPLRVNSQPGPQKRCLFVCRHGERMDVVFGKYWLSQCFDAKGRYIRTNLNMPHSLPQRSGGFRDYEKDAPITVFGCMQARLVGEALLESNTIIDHVYCSPSLRCVQTAHNILKGLQQENHLKIRVEPGLFEWTKWVAGSTLPAWIPPSELAAANLSVDTTYRPHIPISKLVVSESYDTYISRSFQVTKEIISECKSKGNNILIVAHASSLEACTCQLQGLSPQNSKDFVQMVRKIPYLGFCSCEELGETGIWQLTDPPILPLTHGPTGGFNWRETLLQE
+>DECOY_sp|Q8TF42|UBS3B_HUMAN Ubiquitin-associated and SH3 domain-containing protein B OS=Homo sapiens OX=9606 GN=UBASH3B PE=1 SV=2
+EQLLTERWNFGGTPGHTLPLIPPDTLQWIGTEGLEECSCFGLYPIKRVMQVFDKSNQPSLGQLQCTCAELSSAHAVILINNGKSKCESIIEKTVQFSRSIYTDYSESVVLKSIPIHPRYTTDVSLNAAALESPPIWAPLTSGAVWKTWEFLGPEVRIKLHNEQQLGKLINHATQVCRLSPSCYVHDIITNSELLAEGVLRAQMCGFVTIPADKEYDRFGGSRQPLSHPMNLNTRIYRGKADFCQSLWYKGFVVDMREGHRCVFLCRKQPGPQSNVRLPQMPQCIITLPTTEGLGQDEYPRRELVGDGFTLSNSSSTNLISYSGHFIWTSCEDAKTIYNEPLLGSCGTTLSTGYIWGESTSTQEMPSMFIFDGPVLELEDDNQPTYPYIVQLTEHNAFRIDRSFITAVWDCGLKVDINQALKELTPLHSAQFHYALTVHLQKKHPEVHVETKSAAEAAFDAAFKKLVEASDEKVFLGIFNSSTYLELPLPASFKCKWRSVTTQLAEGLADVKSDECMFFQCLTIHPFINHAKNKGCIQKSQQWFDSLKQALPGTPRLYLVYERPLPDDLFPDGVHSFLWDCAAQVSRGGTSALAKQARARPFGMSLLVDLASGHKITGPRQQRNRRPTVKSYLEERAAMGLPSPHGYQAM
+>sp|Q92575|UBXN4_HUMAN UBX domain-containing protein 4 OS=Homo sapiens OX=9606 GN=UBXN4 PE=1 SV=2
+MLWFQGAIPAAIATAKRSGAVFVVFVAGDDEQSTQMAASWEDDKVTEASSNSFVAIKIDTKSEACLQFSQIYPVVCVPSSFFIGDSGIPLEVIAGSVSADELVTRIHKVRQMHLLKSETSVANGSQSESSVSTPSASFEPNNTCENSQSRNAELCEIPPTSDTKSDTATGGESAGHATSSQEPSGCSDQRPAEDLNIRVERLTKKLEERREEKRKEEEQREIKKEIERRKTGKEMLDYKRKQEEELTKRMLEERNREKAEDRAARERIKQQIALDRAERAARFAKTKEEVEAAKAAALLAKQAEMEVKRESYARERSTVARIQFRLPDGSSFTNQFPSDAPLEEARQFAAQTVGNTYGNFSLATMFPRREFTKEDYKKKLLDLELAPSASVVLLPAGRPTASIVHSSSGDIWTLLGTVLYPFLAIWRLISNFLFSNPPPTQTSVRVTSSEPPNPASSSKSEKREPVRKRVLEKRGDDFKKEGKIYRLRTQDDGEDENNTWNGNSTQQM
+>DECOY_sp|Q92575|UBXN4_HUMAN UBX domain-containing protein 4 OS=Homo sapiens OX=9606 GN=UBXN4 PE=1 SV=2
+MQQTSNGNWTNNEDEGDDQTRLRYIKGEKKFDDGRKELVRKRVPERKESKSSSAPNPPESSTVRVSTQTPPPNSFLFNSILRWIALFPYLVTGLLTWIDGSSSHVISATPRGAPLLVVSASPALELDLLKKKYDEKTFERRPFMTALSFNGYTNGVTQAAFQRAEELPADSPFQNTFSSGDPLRFQIRAVTSRERAYSERKVEMEAQKALLAAAKAAEVEEKTKAFRAAREARDLAIQQKIRERAARDEAKERNREELMRKTLEEEQKRKYDLMEKGTKRREIEKKIERQEEEKRKEERREELKKTLREVRINLDEAPRQDSCGSPEQSSTAHGASEGGTATDSKTDSTPPIECLEANRSQSNECTNNPEFSASPTSVSSESQSGNAVSTESKLLHMQRVKHIRTVLEDASVSGAIVELPIGSDGIFFSSPVCVVPYIQSFQLCAESKTDIKIAVFSNSSAETVKDDEWSAAMQTSQEDDGAVFVVFVAGSRKATAIAAPIAGQFWLM
+>sp|O00124|UBXN8_HUMAN UBX domain-containing protein 8 OS=Homo sapiens OX=9606 GN=UBXN8 PE=1 SV=2
+MASRGVVGIFFLSAVPLVCLELRRGIPDIGIKDFLLLCGRILLLLALLTLIISVTTSWLNSFKSPQVYLKEEEEKNEKRQKLVRKKQQEAQGEKASRYIENVLKPHQEMKLRKLEERFYQMTGEAWKLSSGHKLGGDEGTSQTSFETSNREAAKSQNLPKPLTEFPSPAEQPTCKEIPDLPEEPSQTAEEVVTVALRCPSGNVLRRRFLKSYSSQVLFDWMTRIGYHISLYSLSTSFPRRPLAVEGGQSLEDIGITVDTVLILEEKEQTN
+>DECOY_sp|O00124|UBXN8_HUMAN UBX domain-containing protein 8 OS=Homo sapiens OX=9606 GN=UBXN8 PE=1 SV=2
+NTQEKEELILVTDVTIGIDELSQGGEVALPRRPFSTSLSYLSIHYGIRTMWDFLVQSSYSKLFRRRLVNGSPCRLAVTVVEEATQSPEEPLDPIEKCTPQEAPSPFETLPKPLNQSKAAERNSTEFSTQSTGEDGGLKHGSSLKWAEGTMQYFREELKRLKMEQHPKLVNEIYRSAKEGQAEQQKKRVLKQRKENKEEEEKLYVQPSKFSNLWSTTVSIILTLLALLLLIRGCLLLFDKIGIDPIGRRLELCVLPVASLFFIGVVGRSAM
+>sp|Q9HA47|UCK1_HUMAN Uridine-cytidine kinase 1 OS=Homo sapiens OX=9606 GN=UCK1 PE=1 SV=1
+MASAGGEDCESPAPEADRPHQRPFLIGVSGGTASGKSTVCEKIMELLGQNEVEQRQRKVVILSQDRFYKVLTAEQKAKALKGQYNFDHPDAFDNDLMHRTLKNIVEGKTVEVPTYDFVTHSRLPETTVVYPADVVLFEGILVFYSQEIRDMFHLRLFVDTDSDVRLSRRVLRDVRRGRDLEQILTQYTTFVKPAFEEFCLPTKKYADVIIPRGVDNMVAINLIVQHIQDILNGDICKWHRGGSNGRSYKRTFSEPGDHPGMLTSGKRSHLESSSRPH
+>DECOY_sp|Q9HA47|UCK1_HUMAN Uridine-cytidine kinase 1 OS=Homo sapiens OX=9606 GN=UCK1 PE=1 SV=1
+HPRSSSELHSRKGSTLMGPHDGPESFTRKYSRGNSGGRHWKCIDGNLIDQIHQVILNIAVMNDVGRPIIVDAYKKTPLCFEEFAPKVFTTYQTLIQELDRGRRVDRLVRRSLRVDSDTDVFLRLHFMDRIEQSYFVLIGEFLVVDAPYVVTTEPLRSHTVFDYTPVEVTKGEVINKLTRHMLDNDFADPHDFNYQGKLAKAKQEATLVKYFRDQSLIVVKRQRQEVENQGLLEMIKECVTSKGSATGGSVGILFPRQHPRDAEPAPSECDEGGASAM
+>sp|Q5JXB2|UE2NL_HUMAN Putative ubiquitin-conjugating enzyme E2 N-like OS=Homo sapiens OX=9606 GN=UBE2NL PE=1 SV=1
+MAELPHRIIKETQRLLAEPVPGIKAEPDESNARYFHVVIAGESKDSPFEGGTFKRELLLAEEYPMAAPKVRFMTKIYHPNVDKLERISLDILKDKWSPALQIRTVLLSIQALLNAPNPDDPLANDVVEQWKTNEAQAIETARAWTRLYAMNSI
+>DECOY_sp|Q5JXB2|UE2NL_HUMAN Putative ubiquitin-conjugating enzyme E2 N-like OS=Homo sapiens OX=9606 GN=UBE2NL PE=1 SV=1
+ISNMAYLRTWARATEIAQAENTKWQEVVDNALPDDPNPANLLAQISLLVTRIQLAPSWKDKLIDLSIRELKDVNPHYIKTMFRVKPAAMPYEEALLLERKFTGGEFPSDKSEGAIVVHFYRANSEDPEAKIGPVPEALLRQTEKIIRHPLEAM
+>sp|Q16851|UGPA_HUMAN UTP--glucose-1-phosphate uridylyltransferase OS=Homo sapiens OX=9606 GN=UGP2 PE=1 SV=5
+MSRFVQDLSKAMSQDGASQFQEVIRQELELSVKKELEKILTTASSHEFEHTKKDLDGFRKLFHRFLQEKGPSVDWGKIQRPPEDSIQPYEKIKARGLPDNISSVLNKLVVVKLNGGLGTSMGCKGPKSLIGVRNENTFLDLTVQQIEHLNKTYNTDVPLVLMNSFNTDEDTKKILQKYNHCRVKIYTFNQSRYPRINKESLLPVAKDVSYSGENTEAWYPPGHGDIYASFYNSGLLDTFIGEGKEYIFVSNIDNLGATVDLYILNHLMNPPNGKRCEFVMEVTNKTRADVKGGTLTQYEGKLRLVEIAQVPKAHVDEFKSVSKFKIFNTNNLWISLAAVKRLQEQNAIDMEIIVNAKTLDGGLNVIQLETAVGAAIKSFENSLGINVPRSRFLPVKTTSDLLLVMSNLYSLNAGSLTMSEKREFPTVPLVKLGSSFTKVQDYLRRFESIPDMLELDHLTVSGDVTFGKNVSLKGTVIIIANHGDRIDIPPGAVLENKIVSGNLRILDH
+>DECOY_sp|Q16851|UGPA_HUMAN UTP--glucose-1-phosphate uridylyltransferase OS=Homo sapiens OX=9606 GN=UGP2 PE=1 SV=5
+HDLIRLNGSVIKNELVAGPPIDIRDGHNAIIIVTGKLSVNKGFTVDGSVTLHDLELMDPISEFRRLYDQVKTFSSGLKVLPVTPFERKESMTLSGANLSYLNSMVLLLDSTTKVPLFRSRPVNIGLSNEFSKIAAGVATELQIVNLGGDLTKANVIIEMDIANQEQLRKVAALSIWLNNTNFIKFKSVSKFEDVHAKPVQAIEVLRLKGEYQTLTGGKVDARTKNTVEMVFECRKGNPPNMLHNLIYLDVTAGLNDINSVFIYEKGEGIFTDLLGSNYFSAYIDGHGPPYWAETNEGSYSVDKAVPLLSEKNIRPYRSQNFTYIKVRCHNYKQLIKKTDEDTNFSNMLVLPVDTNYTKNLHEIQQVTLDLFTNENRVGILSKPGKCGMSTGLGGNLKVVVLKNLVSSINDPLGRAKIKEYPQISDEPPRQIKGWDVSPGKEQLFRHFLKRFGDLDKKTHEFEHSSATTLIKELEKKVSLELEQRIVEQFQSAGDQSMAKSLDQVFRSM
+>sp|Q8NB66|UN13C_HUMAN Protein unc-13 homolog C OS=Homo sapiens OX=9606 GN=UNC13C PE=2 SV=3
+MVANFFKSLILPYIHKLCKGMFTKKLGNTNKNKEYRQQKKDQDFPTAGQTKSPKFSYTFKSTVKKIAKCSSTHNLSTEEDEASKEFSLSPTFSYRVAIANGLQKNAKVTNSDNEDLLQELSSIESSYSESLNELRSSTENQAQSTHTMPVRRNRKSSSSLAPSEGSSDGERTLHGLKLGALRKLRKWKKSQECVSSDSELSTMKKSWGIRSKSLDRTVRNPKTNALEPGFSSSGCISQTHDVMEMIFKELQGISQIETELSELRGHVNALKHSIDEISSSVEVVQSEIEQLRTGFVQSRRETRDIHDYIKHLGHMGSKASLRFLNVTEERFEYVESVVYQILIDKMGFSDAPNAIKIEFAQRIGHQRDCPNAKPRPILVYFETPQQRDSVLKKSYKLKGTGIGISTDILTHDIRERKEKGIPSSQTYESMAIKLSTPEPKIKKNNWQSPDDSDEDLESDLNRNSYAVLSKSELLTKGSTSKPSSKSHSARSKNKTANSSRISNKSDYDKISSQLPESDILEKQTTTHYADATPLWHSQSDFFTAKLSRSESDFSKLCQSYSEDFSENQFFTRTNGSSLLSSSDRELWQRKQEGTATLYDSPKDQHLNGGVQGIQGQTETENTETVDSGMSNGMVCASGDRSHYSDSQLSLHEDLSPWKEWNQGADLGLDSSTQEGFDYETNSLFDQQLDVYNKDLEYLGKCHSDLQDDSESYDLTQDDNSSPCPGLDNEPQGQWVGQYDSYQGANSNELYQNQNQLSMMYRSQSELQSDDSEDAPPKSWHSRLSIDLSDKTFSFPKFGSTLQRAKSALEVVWNKSTQSLSGYEDSGSSLMGRFRTLSQSTANESSTTLDSDVYTEPYYYKAEDEEDYTEPVADNETDYVEVMEQVLAKLENRTSITETDEQMQAYDHLSYETPYETPQDEGYDGPADDMVSEEGLEPLNETSAEMEIREDENQNIPEQPVEITKPKRIRPSFKEAALRAYKKQMAELEEKILAGDSSSVDEKARIVSGNDLDASKFSALQVCGGAGGGLYGIDSMPDLRRKKTLPIVRDVAMTLAARKSGLSLAMVIRTSLNNEELKMHVFKKTLQALIYPMSSTIPHNFEVWTATTPTYCYECEGLLWGIARQGMKCLECGVKCHEKCQDLLNADCLQRAAEKSSKHGAEDKTQTIITAMKERMKIREKNRPEVFEVIQEMFQISKEDFVQFTKAAKQSVLDGTSKWSAKITITVVSAQGLQAKDKTGSSDPYVTVQVGKNKRRTKTIFGNLNPVWDEKFYFECHNSTDRIKVRVWDEDDDIKSRVKQHFKKESDDFLGQTIVEVRTLSGEMDVWYNLEKRTDKSAVSGAIRLKINVEIKGEEKVAPYHIQYTCLHENLFHYLTEVKSNGGVKIPEVKGDEAWKVFFDDASQEIVDEFAMRYGIESIYQAMTHFSCLSSKYMCPGVPAVMSTLLANINAFYAHTTVSTNIQVSASDRFAATNFGREKFIKLLDQLHNSLRIDLSKYRENFPASNTERLQDLKSTVDLLTSITFFRMKVLELQSPPKASMVVKDCVRACLDSTYKYIFDNCHELYSQLTDPSKKQDIPREDQGPTTKNLDFWPQLITLMVTIIDEDKTAYTPVLNQFPQELNMGKISAEIMWTLFALDMKYALEEHENQRLCKSTDYMNLHFKVKWFYNEYVRELPAFKDAVPEYSLWFEPFVMQWLDENEDVSMEFLHGALGRDKKDGFQQTSEHALFSCSVVDVFAQLNQSFEIIKKLECPNPEALSHLMRRFAKTINKVLLQYAAIVSSDFSSHCDKENVPCILMNNIQQLRVQLEKMFESMGGKELDSEASTILKELQVKLSGVLDELSVTYGESFQVIIEECIKQMSFELNQMRANGNTTSNKNSAAMDAEIVLRSLMDFLDKTLSLSAKICEKTVLKRVLKELWKLVLNKIEKQIVLPPLTDQTGPQMIFIAAKDLGQLSKLKEHMIREDARGLTPRQCAIMEVVLATIKQYFHAGGNGLKKNFLEKSPDLQSLRYALSLYTQTTDALIKKFIDTQTSQSRSSKDAVGQISVHVDITATPGTGDHKVTVKVIAINDLNWQTTAMFRPFVEVCILGPNLGDKKRKQGTKTKSNTWSPKYNETFQFILGKENRPGAYELHLSVKDYCFAREDRIIGMTVIQLQNIAEKGSYGAWYPLLKNISMDETGLTILRILSQRTSDDVAKEFVRLKSETRSTEESA
+>DECOY_sp|Q8NB66|UN13C_HUMAN Protein unc-13 homolog C OS=Homo sapiens OX=9606 GN=UNC13C PE=2 SV=3
+ASEETSRTESKLRVFEKAVDDSTRQSLIRLITLGTEDMSINKLLPYWAGYSGKEAINQLQIVTMGIIRDERAFCYDKVSLHLEYAGPRNEKGLIFQFTENYKPSWTNSKTKTGQKRKKDGLNPGLICVEVFPRFMATTQWNLDNIAIVKVTVKHDGTGPTATIDVHVSIQGVADKSSRSQSTQTDIFKKILADTTQTYLSLAYRLSQLDPSKELFNKKLGNGGAHFYQKITALVVEMIACQRPTLGRADERIMHEKLKSLQGLDKAAIFIMQPGTQDTLPPLVIQKEIKNLVLKWLEKLVRKLVTKECIKASLSLTKDLFDMLSRLVIEADMAASNKNSTTNGNARMQNLEFSMQKICEEIIVQFSEGYTVSLEDLVGSLKVQLEKLITSAESDLEKGGMSEFMKELQVRLQQINNMLICPVNEKDCHSSFDSSVIAAYQLLVKNITKAFRRMLHSLAEPNPCELKKIIEFSQNLQAFVDVVSCSFLAHESTQQFGDKKDRGLAGHLFEMSVDENEDLWQMVFPEFWLSYEPVADKFAPLERVYENYFWKVKFHLNMYDTSKCLRQNEHEELAYKMDLAFLTWMIEASIKGMNLEQPFQNLVPTYATKDEDIITVMLTILQPWFDLNKTTPGQDERPIDQKKSPDTLQSYLEHCNDFIYKYTSDLCARVCDKVVMSAKPPSQLELVKMRFFTISTLLDVTSKLDQLRETNSAPFNERYKSLDIRLSNHLQDLLKIFKERGFNTAAFRDSASVQINTSVTTHAYFANINALLTSMVAPVGPCMYKSSLCSFHTMAQYISEIGYRMAFEDVIEQSADDFFVKWAEDGKVEPIKVGGNSKVETLYHFLNEHLCTYQIHYPAVKEEGKIEVNIKLRIAGSVASKDTRKELNYWVDMEGSLTRVEVITQGLFDDSEKKFHQKVRSKIDDDEDWVRVKIRDTSNHCEFYFKEDWVPNLNGFITKTRRKNKGVQVTVYPDSSGTKDKAQLGQASVVTITIKASWKSTGDLVSQKAAKTFQVFDEKSIQFMEQIVEFVEPRNKERIKMREKMATIITQTKDEAGHKSSKEAARQLCDANLLDQCKEHCKVGCELCKMGQRAIGWLLGECEYCYTPTTATWVEFNHPITSSMPYILAQLTKKFVHMKLEENNLSTRIVMALSLGSKRAALTMAVDRVIPLTKKRRLDPMSDIGYLGGGAGGCVQLASFKSADLDNGSVIRAKEDVSSSDGALIKEELEAMQKKYARLAAEKFSPRIRKPKTIEVPQEPINQNEDERIEMEASTENLPELGEESVMDDAPGDYGEDQPTEYPTEYSLHDYAQMQEDTETISTRNELKALVQEMVEVYDTENDAVPETYDEEDEAKYYYPETYVDSDLTTSSENATSQSLTRFRGMLSSGSDEYGSLSQTSKNWVVELASKARQLTSGFKPFSFTKDSLDISLRSHWSKPPADESDDSQLESQSRYMMSLQNQNQYLENSNAGQYSDYQGVWQGQPENDLGPCPSSNDDQTLDYSESDDQLDSHCKGLYELDKNYVDLQQDFLSNTEYDFGEQTSSDLGLDAGQNWEKWPSLDEHLSLQSDSYHSRDGSACVMGNSMGSDVTETNETETQGQIGQVGGNLHQDKPSDYLTATGEQKRQWLERDSSSLLSSGNTRTFFQNESFDESYSQCLKSFDSESRSLKATFFDSQSHWLPTADAYHTTTQKELIDSEPLQSSIKDYDSKNSIRSSNATKNKSRASHSKSSPKSTSGKTLLESKSLVAYSNRNLDSELDEDSDDPSQWNNKKIKPEPTSLKIAMSEYTQSSPIGKEKRERIDHTLIDTSIGIGTGKLKYSKKLVSDRQQPTEFYVLIPRPKANPCDRQHGIRQAFEIKIANPADSFGMKDILIQYVVSEVYEFREETVNLFRLSAKSGMHGLHKIYDHIDRTERRSQVFGTRLQEIESQVVEVSSSIEDISHKLANVHGRLESLETEIQSIGQLEKFIMEMVDHTQSICGSSSFGPELANTKPNRVTRDLSKSRIGWSKKMTSLESDSSVCEQSKKWKRLKRLAGLKLGHLTREGDSSGESPALSSSSKRNRRVPMTHTSQAQNETSSRLENLSESYSSEISSLEQLLDENDSNTVKANKQLGNAIAVRYSFTPSLSFEKSAEDEETSLNHTSSCKAIKKVTSKFTYSFKPSKTQGATPFDQDKKQQRYEKNKNTNGLKKTFMGKCLKHIYPLILSKFFNAVM
+>sp|Q70J99|UN13D_HUMAN Protein unc-13 homolog D OS=Homo sapiens OX=9606 GN=UNC13D PE=1 SV=1
+MATLLSHPQQRPPFLRQAIKIRRRRVRDLQDPPPQMAPEIQPPSHHFSPEQRALLYEDALYTVLHRLGHPEPNHVTEASELLRYLQEAFHVEPEEHQQTLQRVRELEKPIFCLKATVKQAKGILGKDVSGFSDPYCLLGIEQGVGVPGGSPGSRHRQKAVVRHTIPEEETHRTQVITQTLNPVWDETFILEFEDITNASFHLDMWDLDTVESVRQKLGELTDLHGLRRIFKEARKDKGQDDFLGNVVLRLQDLRCREDQWYPLEPRTETYPDRGQCHLQFQLIHKRRATSASRSQPSYTVHLHLLQQLVSHEVTQHEAGSTSWDGSLSPQAATVLFLHATQKDLSDFHQSMAQWLAYSRLYQSLEFPSSCLLHPITSIEYQWIQGRLKAEQQEELAASFSSLLTYGLSLIRRFRSVFPLSVSDSPARLQSLLRVLVQMCKMKAFGELCPNTAPLPQLVTEALQTGTTEWFHLKQQHHQPMVQGIPEAGKALLGLVQDVIGDLHQCQRTWDKIFHNTLKIHLFSMAFRELQWLVAKRVQDHTTVVGDVVSPEMGESLFQLYISLKELCQLRMSSSERDGVLALDNFHRWFQPAIPSWLQKTYNEALARVQRAVQMDELVPLGELTKHSTSAVDLSTCFAQISHTARQLDWPDPEEAFMITVKFVEDTCRLALVYCSLIKARARELSSGQKDQGQAANMLCVVVNDMEQLRLVIGKLPAQLAWEALEQRVGAVLEQGQLQNTLHAQLQSALAGLGHEIRTGVRTLAEQLEVGIAKHIQKLVGVRESVLPEDAILPLMKFLEVELCYMNTNLVQENFSSLLTLLWTHTLTVLVEAAASQRSSSLASNRLKIALQNLEICFHAEGCGLPPKALHTATFQALQRDLELQAASSRELIRKYFCSRIQQQAETTSEELGAVTVKASYRASEQKLRVELLSASSLLPLDSNGSSDPFVQLTLEPRHEFPELAARETQKHKKDLHPLFDETFEFLVPAEPCRKAGACLLLTVLDYDTLGADDLEGEAFLPLREVPGLSGSEEPGEVPQTRLPLTYPAPNGDPILQLLEGRKGDREAQVFVRLRRHRAKQASQHALRPAP
+>DECOY_sp|Q70J99|UN13D_HUMAN Protein unc-13 homolog D OS=Homo sapiens OX=9606 GN=UNC13D PE=1 SV=1
+PAPRLAHQSAQKARHRRLRVFVQAERDGKRGELLQLIPDGNPAPYTLPLRTQPVEGPEESGSLGPVERLPLFAEGELDDAGLTDYDLVTLLLCAGAKRCPEAPVLFEFTEDFLPHLDKKHKQTERAALEPFEHRPELTLQVFPDSSGNSDLPLLSSASLLEVRLKQESARYSAKVTVAGLEESTTEAQQQIRSCFYKRILERSSAAQLELDRQLAQFTATHLAKPPLGCGEAHFCIELNQLAIKLRNSALSSSRQSAAAEVLVTLTHTWLLTLLSSFNEQVLNTNMYCLEVELFKMLPLIADEPLVSERVGVLKQIHKAIGVELQEALTRVGTRIEHGLGALASQLQAHLTNQLQGQELVAGVRQELAEWALQAPLKGIVLRLQEMDNVVVCLMNAAQGQDKQGSSLERARAKILSCYVLALRCTDEVFKVTIMFAEEPDPWDLQRATHSIQAFCTSLDVASTSHKTLEGLPVLEDMQVARQVRALAENYTKQLWSPIAPQFWRHFNDLALVGDRESSSMRLQCLEKLSIYLQFLSEGMEPSVVDGVVTTHDQVRKAVLWQLERFAMSFLHIKLTNHFIKDWTRQCQHLDGIVDQVLGLLAKGAEPIGQVMPQHHQQKLHFWETTGTQLAETVLQPLPATNPCLEGFAKMKCMQVLVRLLSQLRAPSDSVSLPFVSRFRRILSLGYTLLSSFSAALEEQQEAKLRGQIWQYEISTIPHLLCSSPFELSQYLRSYALWQAMSQHFDSLDKQTAHLFLVTAAQPSLSGDWSTSGAEHQTVEHSVLQQLLHLHVTYSPQSRSASTARRKHILQFQLHCQGRDPYTETRPELPYWQDERCRLDQLRLVVNGLFDDQGKDKRAEKFIRRLGHLDTLEGLKQRVSEVTDLDWMDLHFSANTIDEFELIFTEDWVPNLTQTIVQTRHTEEEPITHRVVAKQRHRSGPSGGPVGVGQEIGLLCYPDSFGSVDKGLIGKAQKVTAKLCFIPKELERVRQLTQQHEEPEVHFAEQLYRLLESAETVHNPEPHGLRHLVTYLADEYLLARQEPSFHHSPPQIEPAMQPPPDQLDRVRRRRIKIAQRLFPPRQQPHSLLTAM
+>sp|Q9H3U1|UN45A_HUMAN Protein unc-45 homolog A OS=Homo sapiens OX=9606 GN=UNC45A PE=1 SV=1
+MTVSGPGTPEPRPATPGASSVEQLRKEGNELFKCGDYGGALAAYTQALGLDATPQDQAVLHRNRAACHLKLEDYDKAETEASKAIEKDGGDVKALYRRSQALEKLGRLDQAVLDLQRCVSLEPKNKVFQEALRNIGGQIQEKVRYMSSTDAKVEQMFQILLDPEEKGTEKKQKASQNLVVLAREDAGAEKIFRSNGVQLLQRLLDMGETDLMLAALRTLVGICSEHQSRTVATLSILGTRRVVSILGVESQAVSLAACHLLQVMFDALKEGVKKGFRGKEGAIIVDPARELKVLISNLLDLLTEVGVSGQGRDNALTLLIKAVPRKSLKDPNNSLTLWVIDQGLKKILEVGGSLQDPPGELAVTANSRMSASILLSKLFDDLKCDAERENFHRLCENYIKSWFEGQGLAGKLRAIQTVSCLLQGPCDAGNRALELSGVMESVIALCASEQEEEQLVAVEALIHAAGKAKRASFITANGVSLLKDLYKCSEKDSIRIRALVGLCKLGSAGGTDFSMKQFAEGSTLKLAKQCRKWLCNDQIDAGTRRWAVEGLAYLTFDADVKEEFVEDAAALKALFQLSRLEERSVLFAVASALVNCTNSYDYEEPDPKMVELAKYAKQHVPEQHPKDKPSFVRARVKKLLAAGVVSAMVCMVKTESPVLTSSCRELLSRVFLALVEEVEDRGTVVAQGGGRALIPLALEGTDVGQTKAAQALAKLTITSNPEMTFPGERIYEVVRPLVSLLHLNCSGLQNFEALMALTNLAGISERLRQKILKEKAVPMIEGYMFEEHEMIRRAATECMCNLAMSKEVQDLFEAQGNDRLKLLVLYSGEDDELLQRAAAGGLAMLTSMRPTLCSRIPQVTTHWLEILQALLLSSNQELQHRGAVVVLNMVEASREIASTLMESEMMEILSVLAKGDHSPVTRAAAACLDKAVEYGLIQPNQDGE
+>DECOY_sp|Q9H3U1|UN45A_HUMAN Protein unc-45 homolog A OS=Homo sapiens OX=9606 GN=UNC45A PE=1 SV=1
+EGDQNPQILGYEVAKDLCAAAARTVPSHDGKALVSLIEMMESEMLTSAIERSAEVMNLVVVAGRHQLEQNSSLLLAQLIELWHTTVQPIRSCLTPRMSTLMALGGAAARQLLEDDEGSYLVLLKLRDNGQAEFLDQVEKSMALNCMCETAARRIMEHEEFMYGEIMPVAKEKLIKQRLRESIGALNTLAMLAEFNQLGSCNLHLLSVLPRVVEYIREGPFTMEPNSTITLKALAQAAKTQGVDTGELALPILARGGGQAVVTGRDEVEEVLALFVRSLLERCSSTLVPSETKVMCVMASVVGAALLKKVRARVFSPKDKPHQEPVHQKAYKALEVMKPDPEEYDYSNTCNVLASAVAFLVSREELRSLQFLAKLAAADEVFEEKVDADFTLYALGEVAWRRTGADIQDNCLWKRCQKALKLTSGEAFQKMSFDTGGASGLKCLGVLARIRISDKESCKYLDKLLSVGNATIFSARKAKGAAHILAEVAVLQEEEQESACLAIVSEMVGSLELARNGADCPGQLLCSVTQIARLKGALGQGEFWSKIYNECLRHFNEREADCKLDDFLKSLLISASMRSNATVALEGPPDQLSGGVELIKKLGQDIVWLTLSNNPDKLSKRPVAKILLTLANDRGQGSVGVETLLDLLNSILVKLERAPDVIIAGEKGRFGKKVGEKLADFMVQLLHCAALSVAQSEVGLISVVRRTGLISLTAVTRSQHESCIGVLTRLAALMLDTEGMDLLRQLLQVGNSRFIKEAGADERALVVLNQSAKQKKETGKEEPDLLIQFMQEVKADTSSMYRVKEQIQGGINRLAEQFVKNKPELSVCRQLDLVAQDLRGLKELAQSRRYLAKVDGGDKEIAKSAETEAKDYDELKLHCAARNRHLVAQDQPTADLGLAQTYAALAGGYDGCKFLENGEKRLQEVSSAGPTAPRPEPTGPGSVTM
+>sp|Q8IWX7|UN45B_HUMAN Protein unc-45 homolog B OS=Homo sapiens OX=9606 GN=UNC45B PE=1 SV=1
+MAEVEAVQLKEEGNRHFQLQDYKAATNSYSQALKLTKDKALLATLYRNRAACGLKTESYVQAASDASRAIDINSSDIKALYRRCQALEHLGKLDQAFKDVQRCATLEPRNQNFQEMLRRLNTSIQEKLRVQFSTDSRVQKMFEILLDENSEADKREKAANNLIVLGREEAGAEKIFQNNGVALLLQLLDTKKPELVLAAVRTLSGMCSGHQARATVILHAVRIDRICSLMAVENEEMSLAVCNLLQAIIDSLSGEDKREHRGKEEALVLDTKKDLKQITSHLLDMLVSKKVSGQGRDQALNLLNKNVPRKDLAIHDNSRTIYVVDNGLRKILKVVGQVPDLPSCLPLTDNTRMLASILINKLYDDLRCDPERDHFRKICEEYITGKFDPQDMDKNLNAIQTVSGILQGPFDLGNQLLGLKGVMEMMVALCGSERETDQLVAVEALIHASTKLSRATFIITNGVSLLKQIYKTTKNEKIKIRTLVGLCKLGSAGGTDYGLRQFAEGSTEKLAKQCRKWLCNMSIDTRTRRWAVEGLAYLTLDADVKDDFVQDVPALQAMFELAKAGTSDKTILYSVATTLVNCTNSYDVKEVIPELVQLAKFSKQHVPEEHPKDKKDFIDMRVKRLLKAGVISALACMVKADSAILTDQTKELLARVFLALCDNPKDRGTIVAQGGGKALIPLALEGTDVGKVKAAHALAKIAAVSNPDIAFPGERVYEVVRPLVRLLDTQRDGLQNYEALLGLTNLSGRSDKLRQKIFKERALPDIENYMFENHDQLRQAATECMCNMVLHKEVQERFLADGNDRLKLVVLLCGEDDDKVQNAAAGALAMLTAAHKKLCLKMTQVTTQWLEILQRLCLHDQLSVQHRGLVIAYNLLAADAELAKKLVESELLEILTVVGKQEPDEKKAEVVQTARECLIKCMDYGFIKPVS
+>DECOY_sp|Q8IWX7|UN45B_HUMAN Protein unc-45 homolog B OS=Homo sapiens OX=9606 GN=UNC45B PE=1 SV=1
+SVPKIFGYDMCKILCERATQVVEAKKEDPEQKGVVTLIELLESEVLKKALEADAALLNYAIVLGRHQVSLQDHLCLRQLIELWQTTVQTMKLCLKKHAATLMALAGAAANQVKDDDEGCLLVVLKLRDNGDALFREQVEKHLVMNCMCETAAQRLQDHNEFMYNEIDPLAREKFIKQRLKDSRGSLNTLGLLAEYNQLGDRQTDLLRVLPRVVEYVREGPFAIDPNSVAAIKALAHAAKVKGVDTGELALPILAKGGGQAVITGRDKPNDCLALFVRALLEKTQDTLIASDAKVMCALASIVGAKLLRKVRMDIFDKKDKPHEEPVHQKSFKALQVLEPIVEKVDYSNTCNVLTTAVSYLITKDSTGAKALEFMAQLAPVDQVFDDKVDADLTLYALGEVAWRRTRTDISMNCLWKRCQKALKETSGEAFQRLGYDTGGASGLKCLGVLTRIKIKENKTTKYIQKLLSVGNTIIFTARSLKTSAHILAEVAVLQDTERESGCLAVMMEMVGKLGLLQNGLDFPGQLIGSVTQIANLNKDMDQPDFKGTIYEECIKRFHDREPDCRLDDYLKNILISALMRTNDTLPLCSPLDPVQGVVKLIKRLGNDVVYITRSNDHIALDKRPVNKNLLNLAQDRGQGSVKKSVLMDLLHSTIQKLDKKTDLVLAEEKGRHERKDEGSLSDIIAQLLNCVALSMEENEVAMLSCIRDIRVAHLIVTARAQHGSCMGSLTRVAALVLEPKKTDLLQLLLAVGNNQFIKEAGAEERGLVILNNAAKERKDAESNEDLLIEFMKQVRSDTSFQVRLKEQISTNLRRLMEQFNQNRPELTACRQVDKFAQDLKGLHELAQCRRYLAKIDSSNIDIARSADSAAQVYSETKLGCAARNRYLTALLAKDKTLKLAQSYSNTAAKYDQLQFHRNGEEKLQVAEVEAM
+>sp|P49590|SYHM_HUMAN Probable histidine--tRNA ligase, mitochondrial OS=Homo sapiens OX=9606 GN=HARS2 PE=1 SV=1
+MPLLGLLPRRAWASLLSQLLRPPCASCTGAVRCQSQVAEAVLTSQLKAHQEKPNFIIKTPKGTRDLSPQHMVVREKILDLVISCFKRHGAKGMDTPAFELKETLTEKYGEDSGLMYDLKDQGGELLSLRYDLTVPFARYLAMNKVKKMKRYHVGKVWRRESPTIVQGRYREFCQCDFDIAGQFDPMIPDAECLKIMCEILSGLQLGDFLIKVNDRRIVDGMFAVCGVPESKFRAICSSIDKLDKMAWKDVRHEMVVKKGLAPEVADRIGDYVQCHGGVSLVEQMFQDPRLSQNKQALEGLGDLKLLFEYLTLFGIADKISFDLSLARGLDYYTGVIYEAVLLQTPTQAGEEPLNVGSVAAGGRYDGLVGMFDPKGHKVPCVGLSIGVERIFYIVEQRMKTKGEKVRTTETQVFVATPQKNFLQERLKLIAELWDSGIKAEMLYKNNPKLLTQLHYCESTGIPLVVIIGEQELKEGVIKIRSVASREEVAIKRENFVAEIQKRLSES
+>DECOY_sp|P49590|SYHM_HUMAN Probable histidine--tRNA ligase, mitochondrial OS=Homo sapiens OX=9606 GN=HARS2 PE=1 SV=1
+SESLRKQIEAVFNERKIAVEERSAVSRIKIVGEKLEQEGIIVVLPIGTSECYHLQTLLKPNNKYLMEAKIGSDWLEAILKLREQLFNKQPTAVFVQTETTRVKEGKTKMRQEVIYFIREVGISLGVCPVKHGKPDFMGVLGDYRGGAAVSGVNLPEEGAQTPTQLLVAEYIVGTYYDLGRALSLDFSIKDAIGFLTLYEFLLKLDGLGELAQKNQSLRPDQFMQEVLSVGGHCQVYDGIRDAVEPALGKKVVMEHRVDKWAMKDLKDISSCIARFKSEPVGCVAFMGDVIRRDNVKILFDGLQLGSLIECMIKLCEADPIMPDFQGAIDFDCQCFERYRGQVITPSERRWVKGVHYRKMKKVKNMALYRAFPVTLDYRLSLLEGGQDKLDYMLGSDEGYKETLTEKLEFAPTDMGKAGHRKFCSIVLDLIKERVVMHQPSLDRTGKPTKIIFNPKEQHAKLQSTLVAEAVQSQCRVAGTCSACPPRLLQSLLSAWARRPLLGLLPM
+>sp|P41252|SYIC_HUMAN Isoleucine--tRNA ligase, cytoplasmic OS=Homo sapiens OX=9606 GN=IARS PE=1 SV=2
+MLQQVPENINFPAEEEKILEFWTEFNCFQECLKQSKHKPKFTFYDGPPFATGLPHYGHILAGTIKDIVTRYAHQSGFHVDRRFGWDCHGLPVEYEIDKTLGIRGPEDVAKMGITEYNNQCRAIVMRYSAEWKSTVSRLGRWIDFDNDYKTLYPQFMESVWWVFKQLYDKGLVYRGVKVMPFSTACNTPLSNFESHQNYKDVQDPSVFVTFPLEEDETVSLVAWTTTPWTLPSNLAVCVNPEMQYVKIKDVARGRLLILMEARLSALYKLESDYEILERFPGAYLKGKKYRPLFDYFLKCKENGAFTVLVDNYVKEEEGTGVVHQAPYFGAEDYRVCMDFNIIRKDSLPVCPVDASGCFTTEVTDFAGQYVKDADKSIIRTLKEQGRLLVATTFTHSYPFCWRSDTPLIYKAVPSWFVRVENMVDQLLRNNDLCYWVPELVREKRFGNWLKDARDWTISRNRYWGTPIPLWVSDDFEEVVCIGSVAELEELSGAKISDLHRESVDHLTIPSRCGKGSLHRISEVFDCWFESGSMPYAQVHYPFENKREFEDAFPADFIAEGIDQTRGWFYTLLVLATALFGQPPFKNVIVNGLVLASDGQKMSKRKKNYPDPVSIIQKYGADALRLYLINSPVVRAENLRFKEEGVRDVLKDVLLPWYNAYRFLIQNVLRLQKEEEIEFLYNENTVRESPNITDRWILSFMQSLIGFFETEMAAYRLYTVVPRLVKFVDILTNWYVRMNRRRLKGENGMEDCVMALETLFSVLLSLCRLMAPYTPFLTELMYQNLKVLIDPVSVQDKDTLSIHYLMLPRVREELIDKKTESAVSQMQSVIELGRVIRDRKTIPIKYPLKEIVVIHQDPEALKDIKSLEKYIIEELNVRKVTLSTDKNKYGIRLRAEPDHMVLGKRLKGAFKAVMTSIKQLSSEELEQFQKTGTIVVEGHELHDEDIRLMYTFDQATGGTAQFEAHSDAQALVLLDVTPDQSMVDEGMAREVINRIQKLRKKCNLVPTDEITVYYKAKSEGTYLNSVIESHTEFIFTTIKAPLKPYPVSPSDKVLIQEKTQLKGSELEITLTRGSSLPGPACAYVNLNICANGSEQGGVLLLENPKGDNRLDLLKLKSVVTSIFGVKNTELAVFHDETEIQNQTDLLSLSGKTLCVTAGSAPSLINSSSTLLCQYINLQLLNAKPQECLMGTVGTLLLENPLGQNGLTHQGLLYEAAKVFGLRSRKLKLFLNETQTQEITEDIPVKTLNMKTVYVSVLPTTADF
+>DECOY_sp|P41252|SYIC_HUMAN Isoleucine--tRNA ligase, cytoplasmic OS=Homo sapiens OX=9606 GN=IARS PE=1 SV=2
+FDATTPLVSVYVTKMNLTKVPIDETIEQTQTENLFLKLKRSRLGFVKAAEYLLGQHTLGNQGLPNELLLTGVTGMLCEQPKANLLQLNIYQCLLTSSSNILSPASGATVCLTKGSLSLLDTQNQIETEDHFVALETNKVGFISTVVSKLKLLDLRNDGKPNELLLVGGQESGNACINLNVYACAPGPLSSGRTLTIELESGKLQTKEQILVKDSPSVPYPKLPAKITTFIFETHSEIVSNLYTGESKAKYYVTIEDTPVLNCKKRLKQIRNIVERAMGEDVMSQDPTVDLLVLAQADSHAEFQATGGTAQDFTYMLRIDEDHLEHGEVVITGTKQFQELEESSLQKISTMVAKFAGKLRKGLVMHDPEARLRIGYKNKDTSLTVKRVNLEEIIYKELSKIDKLAEPDQHIVVIEKLPYKIPITKRDRIVRGLEIVSQMQSVASETKKDILEERVRPLMLYHISLTDKDQVSVPDILVKLNQYMLETLFPTYPAMLRCLSLLVSFLTELAMVCDEMGNEGKLRRRNMRVYWNTLIDVFKVLRPVVTYLRYAAMETEFFGILSQMFSLIWRDTINPSERVTNENYLFEIEEEKQLRLVNQILFRYANYWPLLVDKLVDRVGEEKFRLNEARVVPSNILYLRLADAGYKQIISVPDPYNKKRKSMKQGDSALVLGNVIVNKFPPQGFLATALVLLTYFWGRTQDIGEAIFDAPFADEFERKNEFPYHVQAYPMSGSEFWCDFVESIRHLSGKGCRSPITLHDVSERHLDSIKAGSLEELEAVSGICVVEEFDDSVWLPIPTGWYRNRSITWDRADKLWNGFRKERVLEPVWYCLDNNRLLQDVMNEVRVFWSPVAKYILPTDSRWCFPYSHTFTTAVLLRGQEKLTRIISKDADKVYQGAFDTVETTFCGSADVPCVPLSDKRIINFDMCVRYDEAGFYPAQHVVGTGEEEKVYNDVLVTFAGNEKCKLFYDFLPRYKKGKLYAGPFRELIEYDSELKYLASLRAEMLILLRGRAVDKIKVYQMEPNVCVALNSPLTWPTTTWAVLSVTEDEELPFTVFVSPDQVDKYNQHSEFNSLPTNCATSFPMVKVGRYVLGKDYLQKFVWWVSEMFQPYLTKYDNDFDIWRGLRSVTSKWEASYRMVIARCQNNYETIGMKAVDEPGRIGLTKDIEYEVPLGHCDWGFRRDVHFGSQHAYRTVIDKITGALIHGYHPLGTAFPPGDYFTFKPKHKSQKLCEQFCNFETWFELIKEEEAPFNINEPVQQLM
+>sp|Q96GW9|SYMM_HUMAN Methionine--tRNA ligase, mitochondrial OS=Homo sapiens OX=9606 GN=MARS2 PE=1 SV=2
+MLRTSVLRLLGRTGASRLSLLEDFGPRYYSSGSLSAGDDACDVRAYFTTPIFYVNAAPHIGHLYSALLADALCRHRRLRGPSTAATRFSTGTDEHGLKIQQAAATAGLAPTELCDRVSEQFQQLFQEAGISCTDFIRTTEARHRVAVQHFWGVLKSRGLLYKGVYEGWYCASDECFLPEAKVTQQPGPSGDSFPVSLESGHPVSWTKEENYIFRLSQFRKPLQRWLRGNPQAITPEPFHHVVLQWLDEELPDLSVSRRSSHLHWGIPVPGDDSQTIYVWLDALVNYLTVIGYPNAEFKSWWPATSHIIGKDILKFHAIYWPAFLLGAGMSPPQRICVHSHWTVCGQKMSKSLGNVVDPRTCLNRYTVDGFRYFLLRQGVPNWDCDYYDEKVVKLLNSELADALGGLLNRCTAKRINPSETYPAFCTTCFPSEPGLVGPSVRAQAEDYALVSAVATLPKQVADHYDNFRIYKALEAVSSCVRQTNGFVQRHAPWKLNWESPVDAPWLGTVLHVALECLRVFGTLLQPVTPSLADKLLSRLGVSASERSLGELYFLPRFYGHPCPFEGRRLGPETGLLFPRLDQSRTWLVKAHRT
+>DECOY_sp|Q96GW9|SYMM_HUMAN Methionine--tRNA ligase, mitochondrial OS=Homo sapiens OX=9606 GN=MARS2 PE=1 SV=2
+TRHAKVLWTRSQDLRPFLLGTEPGLRRGEFPCPHGYFRPLFYLEGLSRESASVGLRSLLKDALSPTVPQLLTGFVRLCELAVHLVTGLWPADVPSEWNLKWPAHRQVFGNTQRVCSSVAELAKYIRFNDYHDAVQKPLTAVASVLAYDEAQARVSPGVLGPESPFCTTCFAPYTESPNIRKATCRNLLGGLADALESNLLKVVKEDYYDCDWNPVGQRLLFYRFGDVTYRNLCTRPDVVNGLSKSMKQGCVTWHSHVCIRQPPSMGAGLLFAPWYIAHFKLIDKGIIHSTAPWWSKFEANPYGIVTLYNVLADLWVYITQSDDGPVPIGWHLHSSRRSVSLDPLEEDLWQLVVHHFPEPTIAQPNGRLWRQLPKRFQSLRFIYNEEKTWSVPHGSELSVPFSDGSPGPQQTVKAEPLFCEDSACYWGEYVGKYLLGRSKLVGWFHQVAVRHRAETTRIFDTCSIGAEQFLQQFQESVRDCLETPALGATAAAQQIKLGHEDTGTSFRTAATSPGRLRRHRCLADALLASYLHGIHPAANVYFIPTTFYARVDCADDGASLSGSSYYRPGFDELLSLRSAGTRGLLRLVSTRLM
+>sp|O14994|SYN3_HUMAN Synapsin-3 OS=Homo sapiens OX=9606 GN=SYN3 PE=1 SV=2
+MNFLRRRLSDSSFMANLPNGYMTDLQRPDSSTSSPASPAMERRHPQPLAASFSSPGSSLFSSLSSAMKQAPQATSGLMEPPGPSTPIVQRPRILLVIDDAHTDWSKYFHGKKVNGEIEIRVEQAEFSELNLAAYVTGGCMVDMQVVRNGTKVVSRSFKPDFILVRQHAYSMALGEDYRSLVIGLQYGGLPAVNSLYSVYNFCSKPWVFSQLIKIFHSLGPEKFPLVEQTFFPNHKPMVTAPHFPVVVKLGHAHAGMGKIKVENQLDFQDITSVVAMAKTYATTEAFIDSKYDIRIQKIGSNYKAYMRTSISGNWKANTGSAMLEQVAMTERYRLWVDSCSEMFGGLDICAVKAVHSKDGRDYIIEVMDSSMPLIGEHVEEDRQLMADLVVSKMSQLPMPGGTAPSPLRPWAPQIKSAKSPGQAQLGPQLGQPQPRPPPQGGPRQAQSPQPQRSGSPSQQRLSPQGQQPLSPQSGSPQQQRSPGSPQLSRASSGSSPNQASKPGATLASQPRPPVQGRSTSQQGEESKKPAPPHPHLNKSQSLTNSLSTSDTSQRGTPSEDEAKAETIRNLRKSFASLFSD
+>DECOY_sp|O14994|SYN3_HUMAN Synapsin-3 OS=Homo sapiens OX=9606 GN=SYN3 PE=1 SV=2
+DSFLSAFSKRLNRITEAKAEDESPTGRQSTDSTSLSNTLSQSKNLHPHPPAPKKSEEGQQSTSRGQVPPRPQSALTAGPKSAQNPSSGSSARSLQPSGPSRQQQPSGSQPSLPQQGQPSLRQQSPSGSRQPQPSQAQRPGGQPPPRPQPQGLQPGLQAQGPSKASKIQPAWPRLPSPATGGPMPLQSMKSVVLDAMLQRDEEVHEGILPMSSDMVEIIYDRGDKSHVAKVACIDLGGFMESCSDVWLRYRETMAVQELMASGTNAKWNGSISTRMYAKYNSGIKQIRIDYKSDIFAETTAYTKAMAVVSTIDQFDLQNEVKIKGMGAHAHGLKVVVPFHPATVMPKHNPFFTQEVLPFKEPGLSHFIKILQSFVWPKSCFNYVSYLSNVAPLGGYQLGIVLSRYDEGLAMSYAHQRVLIFDPKFSRSVVKTGNRVVQMDVMCGGTVYAALNLESFEAQEVRIEIEGNVKKGHFYKSWDTHADDIVLLIRPRQVIPTSPGPPEMLGSTAQPAQKMASSLSSFLSSGPSSFSAALPQPHRREMAPSAPSSTSSDPRQLDTMYGNPLNAMFSSDSLRRRLFNM
+>sp|Q8N205|SYNE4_HUMAN Nesprin-4 OS=Homo sapiens OX=9606 GN=SYNE4 PE=1 SV=2
+MALSLPLGPRLGSEPLNHPPGAPREADIVGCTVCPASGEESTSPEQAQTLGQDSLGPPEHFQGGPRGNEPAAHPPRWSTPSSYEDPAGGKHCEHPISGLEVLEAEQNSLHLCLLGLGRRLQDLEQGLGHWALAQSGMVQLQALQVDLRGAAERVEALLAFGEGLAQRSEPRAWAALEQILRALGAYRDSIFRRLWQLQAQLVSYSLVFEEANTLDQDLEVEGDSDWPGPGGVWGPWAPSSLPTSTELEWDPAGDIGGLGPLGQKTARTLGVPCELCGQRGPQGRGQGLEEADTSHSRQDMLESGLGHQKRLARHQRHSLLRKPQDKKRQASPHLQDVRLEGNPGAPDPASRQPLTFLLILFLLFLLLVGAMFLLPASGGPCCSHARIPRTPYLVLSYVNGLPPV
+>DECOY_sp|Q8N205|SYNE4_HUMAN Nesprin-4 OS=Homo sapiens OX=9606 GN=SYNE4 PE=1 SV=2
+VPPLGNVYSLVLYPTRPIRAHSCCPGGSAPLLFMAGVLLLFLLFLILLFTLPQRSAPDPAGPNGELRVDQLHPSAQRKKDQPKRLLSHRQHRALRKQHGLGSELMDQRSHSTDAEELGQGRGQPGRQGCLECPVGLTRATKQGLPGLGGIDGAPDWELETSTPLSSPAWPGWVGGPGPWDSDGEVELDQDLTNAEEFVLSYSVLQAQLQWLRRFISDRYAGLARLIQELAAWARPESRQALGEGFALLAEVREAAGRLDVQLAQLQVMGSQALAWHGLGQELDQLRRGLGLLCLHLSNQEAELVELGSIPHECHKGGAPDEYSSPTSWRPPHAAPENGRPGGQFHEPPGLSDQGLTQAQEPSTSEEGSAPCVTCGVIDAERPAGPPHNLPESGLRPGLPLSLAM
+>sp|Q8N9I0|SYT2_HUMAN Synaptotagmin-2 OS=Homo sapiens OX=9606 GN=SYT2 PE=1 SV=2
+MRNIFKRNQEPIVAPATTTATMPIGPVDNSTESGGAGESQEDMFAKLKEKLFNEINKIPLPPWALIAIAVVAGLLLLTCCFCICKKCCCKKKKNKKEKGKGMKNAMNMKDMKGGQDDDDAETGLTEGEGEGEEEKEPENLGKLQFSLDYDFQANQLTVGVLQAAELPALDMGGTSDPYVKVFLLPDKKKKYETKVHRKTLNPAFNETFTFKVPYQELGGKTLVMAIYDFDRFSKHDIIGEVKVPMNTVDLGQPIEEWRDLQGGEKEEPEKLGDICTSLRYVPTAGKLTVCILEAKNLKKMDVGGLSDPYVKIHLMQNGKRLKKKKTTVKKKTLNPYFNESFSFEIPFEQIQKVQVVVTVLDYDKLGKNEAIGKIFVGSNATGTELRHWSDMLANPRRPIAQWHSLKPEEEVDALLGKNK
+>DECOY_sp|Q8N9I0|SYT2_HUMAN Synaptotagmin-2 OS=Homo sapiens OX=9606 GN=SYT2 PE=1 SV=2
+KNKGLLADVEEEPKLSHWQAIPRRPNALMDSWHRLETGTANSGVFIKGIAENKGLKDYDLVTVVVQVKQIQEFPIEFSFSENFYPNLTKKKVTTKKKKLRKGNQMLHIKVYPDSLGGVDMKKLNKAELICVTLKGATPVYRLSTCIDGLKEPEEKEGGQLDRWEEIPQGLDVTNMPVKVEGIIDHKSFRDFDYIAMVLTKGGLEQYPVKFTFTENFAPNLTKRHVKTEYKKKKDPLLFVKVYPDSTGGMDLAPLEAAQLVGVTLQNAQFDYDLSFQLKGLNEPEKEEEGEGEGETLGTEADDDDQGGKMDKMNMANKMGKGKEKKNKKKKCCCKKCICFCCTLLLLGAVVAIAILAWPPLPIKNIENFLKEKLKAFMDEQSEGAGGSETSNDVPGIPMTATTTAPAVIPEQNRKFINRM
+>sp|Q9H2B2|SYT4_HUMAN Synaptotagmin-4 OS=Homo sapiens OX=9606 GN=SYT4 PE=1 SV=1
+MAPITTSREEFDEIPTVVGIFSAFGLVFTVSLFAWICCQRKSSKSNKTPPYKFVHVLKGVDIYPENLNSKKKFGADDKNEVKNKPAVPKNSLHLDLEKRDLNGNFPKTNLKPGSPSDLENATPKLFLEGEKESVSPESLKSSTSLTSEEKQEKLGTLFFSLEYNFERKAFVVNIKEARGLPAMDEQSMTSDPYIKMTILPEKKHKVKTRVLRKTLDPAFDETFTFYGIPYTQIQELALHFTILSFDRFSRDDIIGEVLIPLSGIELSEGKMLMNREIIKRNVRKSSGRGELLISLCYQSTTNTLTVVVLKARHLPKSDVSGLSDPYVKVNLYHAKKRISKKKTHVKKCTPNAVFNELFVFDIPCEGLEDISVEFLVLDSERGSRNEVIGQLVLGAAAEGTGGEHWKEICDYPRRQIAKWHVLCDG
+>DECOY_sp|Q9H2B2|SYT4_HUMAN Synaptotagmin-4 OS=Homo sapiens OX=9606 GN=SYT4 PE=1 SV=1
+GDCLVHWKAIQRRPYDCIEKWHEGGTGEAAAGLVLQGIVENRSGRESDLVLFEVSIDELGECPIDFVFLENFVANPTCKKVHTKKKSIRKKAHYLNVKVYPDSLGSVDSKPLHRAKLVVVTLTNTTSQYCLSILLEGRGSSKRVNRKIIERNMLMKGESLEIGSLPILVEGIIDDRSFRDFSLITFHLALEQIQTYPIGYFTFTEDFAPDLTKRLVRTKVKHKKEPLITMKIYPDSTMSQEDMAPLGRAEKINVVFAKREFNYELSFFLTGLKEQKEESTLSTSSKLSEPSVSEKEGELFLKPTANELDSPSGPKLNTKPFNGNLDRKELDLHLSNKPVAPKNKVENKDDAGFKKKSNLNEPYIDVGKLVHVFKYPPTKNSKSSKRQCCIWAFLSVTFVLGFASFIGVVTPIEDFEERSTTIPAM
+>sp|O00445|SYT5_HUMAN Synaptotagmin-5 OS=Homo sapiens OX=9606 GN=SYT5 PE=1 SV=2
+MFPEPPTPGPPSPDTPPDSSRISHGPVPPWALATIVLVSGLLIFSCCFCLYRKSCRRRTGKKSQAQAQVHLQEVKGLGQSYIDKVQPEVEELEPAPSGPGQQVADKHELGRLQYSLDYDFQSGQLLVGILQAMGLAALDLGGSSDPYVRVYLLPDKRRRYETKVHRQTLNPHFGETFAFKVPYVELGGRVLVMAVYDFDRFSRNDAIGEVRVPMSSVDLGRPVQAWRELQAAPREEQEKLGDICFSLRYVPTAGKLTVIVLEAKNLKKMDVGGLSDPYVKVHLLQGGKKVRKKKTTIKKNTLNPYYNEAFSFEVPCDQVQKVQVELTVLDYDKLGKNEAIGRVAVGAAAGGAGLRHWADMLANPRRPIAQWHSLRPPDRVRLLPAP
+>DECOY_sp|O00445|SYT5_HUMAN Synaptotagmin-5 OS=Homo sapiens OX=9606 GN=SYT5 PE=1 SV=2
+PAPLLRVRDPPRLSHWQAIPRRPNALMDAWHRLGAGGAAAGVAVRGIAENKGLKDYDLVTLEVQVKQVQDCPVEFSFAENYYPNLTNKKITTKKKRVKKGGQLLHVKVYPDSLGGVDMKKLNKAELVIVTLKGATPVYRLSFCIDGLKEQEERPAAQLERWAQVPRGLDVSSMPVRVEGIADNRSFRDFDYVAMVLVRGGLEVYPVKFAFTEGFHPNLTQRHVKTEYRRRKDPLLYVRVYPDSSGGLDLAALGMAQLIGVLLQGSQFDYDLSYQLRGLEHKDAVQQGPGSPAPELEEVEPQVKDIYSQGLGKVEQLHVQAQAQSKKGTRRRCSKRYLCFCCSFILLGSVLVITALAWPPVPGHSIRSSDPPTDPSPPGPTPPEPFM
+>sp|Q8NBV8|SYT8_HUMAN Synaptotagmin-8 OS=Homo sapiens OX=9606 GN=SYT8 PE=2 SV=4
+MLHLHGWQTMQGRKMGHPPVSPSAPAPAGTTAIPGLIPDLVAGTPWPRWALIAGALAAGVLLVSCLLCAACCCCRRHRKKPRDKESVGLGSARGTTTTHLVQPDVDGLESSPGDAQQWGCLQLSLEFDFGSQEIRVGLRQAADLRPGGTVDPYARVSVSTQAGHRHETKVHRGTLCPVFDETCCFHIPQAELPGATLQVQLFNFKRFSGHEPLGELRLPLGTVDLQHVLEHWYLLGPPAATQPEQVGELCFSLRYVPSSGRLTVVVLEARGLRPGLAEPYVKVQLMLNQRKWKKRKTATKKGTAAPYFNEAFTFLVPFSQVQNVDLVLAVWDRSLPLRTEPVGKVHLGARASGQPLQHWADMLAHARRPIAQRHPLRPAREVDRMLALQPRLRLRLPLPHS
+>DECOY_sp|Q8NBV8|SYT8_HUMAN Synaptotagmin-8 OS=Homo sapiens OX=9606 GN=SYT8 PE=2 SV=4
+SHPLPLRLRLRPQLALMRDVERAPRLPHRQAIPRRAHALMDAWHQLPQGSARAGLHVKGVPETRLPLSRDWVALVLDVNQVQSFPVLFTFAENFYPAATGKKTATKRKKWKRQNLMLQVKVYPEALGPRLGRAELVVVTLRGSSPVYRLSFCLEGVQEPQTAAPPGLLYWHELVHQLDVTGLPLRLEGLPEHGSFRKFNFLQVQLTAGPLEAQPIHFCCTEDFVPCLTGRHVKTEHRHGAQTSVSVRAYPDVTGGPRLDAAQRLGVRIEQSGFDFELSLQLCGWQQADGPSSELGDVDPQVLHTTTTGRASGLGVSEKDRPKKRHRRCCCCAACLLCSVLLVGAALAGAILAWRPWPTGAVLDPILGPIATTGAPAPASPSVPPHGMKRGQMTQWGHLHLM
+>sp|Q5ST30|SYVM_HUMAN Valine--tRNA ligase, mitochondrial OS=Homo sapiens OX=9606 GN=VARS2 PE=1 SV=2
+MPHLPLASFRPPFWGLRHSRGLPRFHSVSTQSEPHGSPISRRNREAKQKRLREKQATLEAEIAGESKSPAESIKAWRPKELVLYEIPTKPGEKKDVSGPLPPAYSPRYVEAAWYPWWVREGFFKPEYQARLPQATGETFSMCIPPPNVTGSLHIGHALTVAIQDALVRWHRMRGDQVLWVPGSDHAGIATQAVVEKQLWKERGVRRHELSREAFLREVWQWKEAKGGEICEQLRALGASLDWDRECFTMDVGSSVAVTEAFVRLYKAGLLYRNHQLVNWSCALRSAISDIEVENRPLPGHTQLRLPGCPTPVSFGLLFSVAFPVDGEPDAEVVVGTTRPETLPGDVAVAVHPDDSRYTHLHGRQLRHPLMGQPLPLITDYAVQPHVGTGAVKVTPAHSPADAEMGARHGLSPLNVIAEDGTMTSLCGDWLQGLHRFVAREKIMSVLSEWGLFRGLQNHPMVLPICSRSGDVIEYLLKNQWFVRCQEMGARAAKAVESGALELSPSFHQKNWQHWFSHIGDWCVSRQLWWGHQIPAYLVVEDHAQGEEDCWVVGRSEAEAREVAAELTGRPGAELTLERDPDVLDTWFSSALFPFSALGWPQETPDLARFYPLSLLETGSDLLLFWVGRMVMLGTQLTGQLPFSKVLLHPMVRDRQGRKMSKSLGNVLDPRDIISGVEMQVLQEKLRSGNLDPAELAIVAAAQKKDFPHGIPECGTDALRFTLCSHGVQAGDLHLSVSEVQSCRHFCNKIWNALRFILNALGEKFVPQPAEELSPSSPMDAWILSRLALAAQECERGFLTRELSLVTHALHHFWLHNLCDVYLEAVKPVLWHSPRPLGPPQVLFSCADLGLRLLAPLMPFLAEELWQRLPPRPGCPPAPSISVAPYPSACSLEHWRQPELERRFSRVQEVVQVLRALRATYQLTKARPRVLLQSSEPGDQGLFEAFLEPLGTLGYCGAVGLLPPGAAAPSGWAQAPLSDTAQVYMELQGLVDPQIQLPLLAARRYKLQKQLDSLTARTPSEGEAGTQRQQKLSSLQLELSKLDKAASHLRQLMDEPPAPGSPEL
+>DECOY_sp|Q5ST30|SYVM_HUMAN Valine--tRNA ligase, mitochondrial OS=Homo sapiens OX=9606 GN=VARS2 PE=1 SV=2
+LEPSGPAPPEDMLQRLHSAAKDLKSLELQLSSLKQQRQTGAEGESPTRATLSDLQKQLKYRRAALLPLQIQPDVLGQLEMYVQATDSLPAQAWGSPAAAGPPLLGVAGCYGLTGLPELFAEFLGQDGPESSQLLVRPRAKTLQYTARLARLVQVVEQVRSFRRELEPQRWHELSCASPYPAVSISPAPPCGPRPPLRQWLEEALFPMLPALLRLGLDACSFLVQPPGLPRPSHWLVPKVAELYVDCLNHLWFHHLAHTVLSLERTLFGRECEQAALALRSLIWADMPSSPSLEEAPQPVFKEGLANLIFRLANWIKNCFHRCSQVESVSLHLDGAQVGHSCLTFRLADTGCEPIGHPFDKKQAAAVIALEAPDLNGSRLKEQLVQMEVGSIIDRPDLVNGLSKSMKRGQRDRVMPHLLVKSFPLQGTLQTGLMVMRGVWFLLLDSGTELLSLPYFRALDPTEQPWGLASFPFLASSFWTDLVDPDRELTLEAGPRGTLEAAVERAEAESRGVVWCDEEGQAHDEVVLYAPIQHGWWLQRSVCWDGIHSFWHQWNKQHFSPSLELAGSEVAKAARAGMEQCRVFWQNKLLYEIVDGSRSCIPLVMPHNQLGRFLGWESLVSMIKERAVFRHLGQLWDGCLSTMTGDEAIVNLPSLGHRAGMEADAPSHAPTVKVAGTGVHPQVAYDTILPLPQGMLPHRLQRGHLHTYRSDDPHVAVAVDGPLTEPRTTGVVVEADPEGDVPFAVSFLLGFSVPTPCGPLRLQTHGPLPRNEVEIDSIASRLACSWNVLQHNRYLLGAKYLRVFAETVAVSSGVDMTFCERDWDLSAGLARLQECIEGGKAEKWQWVERLFAERSLEHRRVGREKWLQKEVVAQTAIGAHDSGPVWLVQDGRMRHWRVLADQIAVTLAHGIHLSGTVNPPPICMSFTEGTAQPLRAQYEPKFFGERVWWPYWAAEVYRPSYAPPLPGSVDKKEGPKTPIEYLVLEKPRWAKISEAPSKSEGAIEAELTAQKERLRKQKAERNRRSIPSGHPESQTSVSHFRPLGRSHRLGWFPPRFSALPLHPM
+>sp|Q86TM6|SYVN1_HUMAN E3 ubiquitin-protein ligase synoviolin OS=Homo sapiens OX=9606 GN=SYVN1 PE=1 SV=2
+MFRTAVMMAASLALTGAVVAHAYYLKHQFYPTVVYLTKSSPSMAVLYIQAFVLVFLLGKVMGKVFFGQLRAAEMEHLLERSWYAVTETCLAFTVFRDDFSPRFVALFTLLLFLKCFHWLAEDRVDFMERSPNISWLFHCRIVSLMFLLGILDFLFVSHAYHSILTRGASVQLVFGFEYAILMTMVLTIFIKYVLHSVDLQSENPWDNKAVYMLYTELFTGFIKVLLYMAFMTIMIKVHTFPLFAIRPMYLAMRQFKKAVTDAIMSRRAIRNMNTLYPDATPEELQAMDNVCIICREEMVTGAKRLPCNHIFHTSCLRSWFQRQQTCPTCRMDVLRASLPAQSPPPPEPADQGPPPAPHPPPLLPQPPNFPQGLLPPFPPGMFPLWPPMGPFPPVPPPPSSGEAVAPPSTSAAALSRPSGAATTTAAGTSATAASATASGPGSGSAPEAGPAPGFPFPPPWMGMPLPPPFAFPPMPVPPAGFAGLTPEELRALEGHERQHLEARLQSLRNIHTLLDAAMLQINQYLTVLASLGPPRPATSVNSTEETATTVVAAASSTSIPSSEATTPTPGASPPAPEMERPPAPESVGTEEMPEDGEPDAAELRRRRLQKLESPVAH
+>DECOY_sp|Q86TM6|SYVN1_HUMAN E3 ubiquitin-protein ligase synoviolin OS=Homo sapiens OX=9606 GN=SYVN1 PE=1 SV=2
+HAVPSELKQLRRRRLEAADPEGDEPMEETGVSEPAPPREMEPAPPSAGPTPTTAESSPISTSSAAAVVTTATEETSNVSTAPRPPGLSALVTLYQNIQLMAADLLTHINRLSQLRAELHQREHGELARLEEPTLGAFGAPPVPMPPFAFPPPLPMGMWPPPFPFGPAPGAEPASGSGPGSATASAATASTGAATTTAAGSPRSLAAASTSPPAVAEGSSPPPPVPPFPGMPPWLPFMGPPFPPLLGQPFNPPQPLLPPPHPAPPPGQDAPEPPPPSQAPLSARLVDMRCTPCTQQRQFWSRLCSTHFIHNCPLRKAGTVMEERCIICVNDMAQLEEPTADPYLTNMNRIARRSMIADTVAKKFQRMALYMPRIAFLPFTHVKIMITMFAMYLLVKIFGTFLETYLMYVAKNDWPNESQLDVSHLVYKIFITLVMTMLIAYEFGFVLQVSAGRTLISHYAHSVFLFDLIGLLFMLSVIRCHFLWSINPSREMFDVRDEALWHFCKLFLLLTFLAVFRPSFDDRFVTFALCTETVAYWSRELLHEMEAARLQGFFVKGMVKGLLFVLVFAQIYLVAMSPSSKTLYVVTPYFQHKLYYAHAVVAGTLALSAAMMVATRFM
+>sp|Q96A25|T106A_HUMAN Transmembrane protein 106A OS=Homo sapiens OX=9606 GN=TMEM106A PE=2 SV=1
+MGKTFSQLGSWREDENKSILSSKPAIGSKAVNYSSTGSSKSFCSCVPCEGTADASFVTCPTCQGSGKIPQELEKQLVALIPYGDQRLKPKHTKLFVFLAVLICLVTSSFIVFFLFPRSVIVQPAGLNSSTVAFDEADIYLNITNILNISNGNYYPIMVTQLTLEVLHLSLVVGQVSNNLLLHIGPLASEQMFYAVATKIRDENTYKICTWLEIKVHHVLLHIQGTLTCSYLSHSEQLVFQSYEYVDCRGNASVPHQLTPHPP
+>DECOY_sp|Q96A25|T106A_HUMAN Transmembrane protein 106A OS=Homo sapiens OX=9606 GN=TMEM106A PE=2 SV=1
+PPHPTLQHPVSANGRCDVYEYSQFVLQESHSLYSCTLTGQIHLLVHHVKIELWTCIKYTNEDRIKTAVAYFMQESALPGIHLLLNNSVQGVVLSLHLVELTLQTVMIPYYNGNSINLINTINLYIDAEDFAVTSSNLGAPQVIVSRPFLFFVIFSSTVLCILVALFVFLKTHKPKLRQDGYPILAVLQKELEQPIKGSGQCTPCTVFSADATGECPVCSCFSKSSGTSSYNVAKSGIAPKSSLISKNEDERWSGLQSFTKGM
+>sp|B4DZS4|T11X1_HUMAN T-complex protein 11 X-linked protein 1 OS=Homo sapiens OX=9606 GN=TCP11X1 PE=2 SV=1
+MPKTEETVLQNDPSVAENGAPEPKTPGQSQKSKSFCLDDQSPDLIETVNEVSKLSISHEIVVNQDFYVEETILPPNSVEGRFAEAMYNAFWNHLKEQLLSTPPDFTCALELLKDVKETLLSLLLPWQNRLRNEIEEALDTDLLKQEAEHGALDVPHLSNYILNLMALLCAPVRDEAIQKLETIRDPVQLLRGILRVLGLMKMDMVNYTIQSFRPYLQEHSIQYEQAKFQELLDKQPSLLDYTTKWLTKAATDITTLCPSSPDSPSSSCSMACSLPSGADSADGQNPAPGTGIPVAPVNCPGLSLASGQKLLW
+>DECOY_sp|B4DZS4|T11X1_HUMAN T-complex protein 11 X-linked protein 1 OS=Homo sapiens OX=9606 GN=TCP11X1 PE=2 SV=1
+WLLKQGSALSLGPCNVPAVPIGTGPAPNQGDASDAGSPLSCAMSCSSSPSDPSSPCLTTIDTAAKTLWKTTYDLLSPQKDLLEQFKAQEYQISHEQLYPRFSQITYNVMDMKMLGLVRLIGRLLQVPDRITELKQIAEDRVPACLLAMLNLIYNSLHPVDLAGHEAEQKLLDTDLAEEIENRLRNQWPLLLSLLTEKVDKLLELACTFDPPTSLLQEKLHNWFANYMAEAFRGEVSNPPLITEEVYFDQNVVIEHSISLKSVENVTEILDPSQDDLCFSKSKQSQGPTKPEPAGNEAVSPDNQLVTEETKPM
+>sp|Q9BXQ6|T121B_HUMAN Transmembrane protein 121B OS=Homo sapiens OX=9606 GN=TMEM121B PE=2 SV=1
+MRPALGHPRSVSSASGSFPPPPAAARLQPLFLRGGSFRGRRGSGDSSTSTSTSRGGGGGRRGGGGGSPSSSTGAEREDDDESLSVSKPLVPNAALLGPPAQVGAPAGPAPVAFSSSAATSSSTSTPTSSCSMTAADFGGGAAAGAVGGPGSRSAGGAGGTGTGSGASCCPCCCCCGCPDRPGRRGRRRGCAPSPRCRWGYQALSVVLLLAQGGLLDLYLIAVTDLYWCSWIATDLVVVVGWAIFFAKNSRGRRGGAASGAHNHHLHHHHAAPPLHLPAPSAATAGAKARGARGGAGGAGGGLGAAAAAGEFAFAYLAWLIYSIAFTPKVVLILGTSILDLIELRAPFGTTGFRLTMALSVPLLYSLVRAISEAGAPPGSAGPLLLQPQRHRAAGCFLGTCLDLLDSFTLVELMLEGRVPLPAHLRYLLIAVYFLTLASPVLWLYELNAAAAAAASWGQASGPGSCSRLLRLLGGCLVDVPLLALRCLLVVSYQQPLSIFMLKNLFFLGCRGLEALEGCWDRGNRASPSRARGGYGAPPSAPPPPPPPPQGGSQLGHCISENEGGAHGYVNTLAVASQN
+>DECOY_sp|Q9BXQ6|T121B_HUMAN Transmembrane protein 121B OS=Homo sapiens OX=9606 GN=TMEM121B PE=2 SV=1
+NQSAVALTNVYGHAGGENESICHGLQSGGQPPPPPPPPASPPAGYGGRARSPSARNGRDWCGELAELGRCGLFFLNKLMFISLPQQYSVVLLCRLALLPVDVLCGGLLRLLRSCSGPGSAQGWSAAAAAAANLEYLWLVPSALTLFYVAILLYRLHAPLPVRGELMLEVLTFSDLLDLCTGLFCGAARHRQPQLLLPGASGPPAGAESIARVLSYLLPVSLAMTLRFGTTGFPARLEILDLISTGLILVVKPTFAISYILWALYAFAFEGAAAAAGLGGGAGGAGGRAGRAKAGATAASPAPLHLPPAAHHHHLHHNHAGSAAGGRRGRSNKAFFIAWGVVVVLDTAIWSCWYLDTVAILYLDLLGGQALLLVVSLAQYGWRCRPSPACGRRRGRRGPRDPCGCCCCCPCCSAGSGTGTGGAGGASRSGPGGVAGAAAGGGFDAATMSCSSTPTSTSSSTAASSSFAVPAPGAPAGVQAPPGLLAANPVLPKSVSLSEDDDEREAGTSSSPSGGGGGRRGGGGGRSTSTSTSSDGSGRRGRFSGGRLFLPQLRAAAPPPPFSGSASSVSRPHGLAPRM
+>sp|Q8IUX1|T126B_HUMAN Complex I assembly factor TMEM126B, mitochondrial OS=Homo sapiens OX=9606 GN=TMEM126B PE=1 SV=2
+MVVFGYEAGTKPRDSGVVPVGTEEAPKVFKMAASMHGQPSPSLEDAKLRRPMVIEIIEKNFDYLRKEMTQNIYQMATFGTTAGFSGIFSNFLFRRCFKVKHDALKTYASLATLPFLSTVVTDKLFVIDALYSDNISKENCVFRSSLIGIVCGVFYPSSLAFTKNGRLATKYHTVPLPPKGRVLIHWMTLCQTQMKLMAIPLVFQIMFGILNGLYHYAVFEETLEKTIHEE
+>DECOY_sp|Q8IUX1|T126B_HUMAN Complex I assembly factor TMEM126B, mitochondrial OS=Homo sapiens OX=9606 GN=TMEM126B PE=1 SV=2
+EEHITKELTEEFVAYHYLGNLIGFMIQFVLPIAMLKMQTQCLTMWHILVRGKPPLPVTHYKTALRGNKTFALSSPYFVGCVIGILSSRFVCNEKSINDSYLADIVFLKDTVVTSLFPLTALSAYTKLADHKVKFCRRFLFNSFIGSFGATTGFTAMQYINQTMEKRLYDFNKEIIEIVMPRRLKADELSPSPQGHMSAAMKFVKPAEETGVPVVGSDRPKTGAEYGFVVM
+>sp|Q86VY9|T200A_HUMAN Transmembrane protein 200A OS=Homo sapiens OX=9606 GN=TMEM200A PE=1 SV=1
+MIATGGVITGLAALKRQDSARSQQHVNLSPSPATQEKKPIRRRPRADVVVVRGKIRLYSPSGFFLILGVLISIIGIAMAVLGYWPQKEHFIDAETTLSTNETQVIRNEGGVVVRFFEQHLHSDKMKMLGPFTMGIGIFIFICANAILHENRDKETKIIHMRDIYSTVIDIHTLRIKEQRQMNGMYTGLMGETEVKQNGSSCASRLAANTIASFSGFRSSFRMDSSVEEDELMLNEGKSSGHLMPPLLSDSSVSVFGLYPPPSKTTDDKTSGSKKCETKSIVSSSISAFTLPVIKLNNCVIDEPSIDNITEDADNLKSRSRNLSMDSLVVPLPNTSESFQPVSTVLPRNNSIGESLSSQYKSSMALGPGAGQLLSPGAARRQFGSNTSLHLLSSHSKSLDLDRGPSTLTVQAEQRKHPSWPRLDRNNSKGYMKLENKEDPMDRLLVPQVAIKKDFTNKEKLLMISRSHNNLSFEHDEFLSNNLKRGTSETRF
+>DECOY_sp|Q86VY9|T200A_HUMAN Transmembrane protein 200A OS=Homo sapiens OX=9606 GN=TMEM200A PE=1 SV=1
+FRTESTGRKLNNSLFEDHEFSLNNHSRSIMLLKEKNTFDKKIAVQPVLLRDMPDEKNELKMYGKSNNRDLRPWSPHKRQEAQVTLTSPGRDLDLSKSHSSLLHLSTNSGFQRRAAGPSLLQGAGPGLAMSSKYQSSLSEGISNNRPLVTSVPQFSESTNPLPVVLSDMSLNRSRSKLNDADETINDISPEDIVCNNLKIVPLTFASISSSVISKTECKKSGSTKDDTTKSPPPYLGFVSVSSDSLLPPMLHGSSKGENLMLEDEEVSSDMRFSSRFGSFSAITNAALRSACSSGNQKVETEGMLGTYMGNMQRQEKIRLTHIDIVTSYIDRMHIIKTEKDRNEHLIANACIFIFIGIGMTFPGLMKMKDSHLHQEFFRVVVGGENRIVQTENTSLTTEADIFHEKQPWYGLVAMAIGIISILVGLILFFGSPSYLRIKGRVVVVDARPRRRIPKKEQTAPSPSLNVHQQSRASDQRKLAALGTIVGGTAIM
+>sp|P52657|T2AG_HUMAN Transcription initiation factor IIA subunit 2 OS=Homo sapiens OX=9606 GN=GTF2A2 PE=1 SV=1
+MAYQLYRNTTLGNSLQESLDELIQSQQITPQLALQVLLQFDKAINAALAQRVRNRVNFRGSLNTYRFCDNVWTFVLNDVEFREVTELIKVDKVKIVACDGKNTGSNTTE
+>DECOY_sp|P52657|T2AG_HUMAN Transcription initiation factor IIA subunit 2 OS=Homo sapiens OX=9606 GN=GTF2A2 PE=1 SV=1
+ETTNSGTNKGDCAVIKVKDVKILETVERFEVDNLVFTWVNDCFRYTNLSGRFNVRNRVRQALAANIAKDFQLLVQLALQPTIQQSQILEDLSEQLSNGLTTNRYLQYAM
+>sp|P35269|T2FA_HUMAN General transcription factor IIF subunit 1 OS=Homo sapiens OX=9606 GN=GTF2F1 PE=1 SV=2
+MAALGPSSQNVTEYVVRVPKNTTKKYNIMAFNAADKVNFATWNQARLERDLSNKKIYQEEEMPESGAGSEFNRKLREEARRKKYGIVLKEFRPEDQPWLLRVNGKSGRKFKGIKKGGVTENTSYYIFTQCPDGAFEAFPVHNWYNFTPLARHRTLTAEEAEEEWERRNKVLNHFSIMQQRRLKDQDQDEDEEEKEKRGRRKASELRIHDLEDDLEMSSDASDASGEEGGRVPKAKKKAPLAKGGRKKKKKKGSDDEAFEDSDDGDFEGQEVDYMSDGSSSSQEEPESKAKAPQQEEGPKGVDEQSDSSEESEEEKPPEEDKEEEEEKKAPTPQEKKRRKDSSEESDSSEESDIDSEASSALFMAKKKTPPKRERKPSGGSSRGNSRPGTPSAEGGSTSSTLRAAASKLEQGKRVSEMPAAKRLRLDTGPQSLSGKSTPQPPSGKTTPNSGDVQVTEDAVRRYLTRKPMTTKDLLKKFQTKKTGLSSEQTVNVLAQILKRLNPERKMINDKMHFSLKE
+>DECOY_sp|P35269|T2FA_HUMAN General transcription factor IIF subunit 1 OS=Homo sapiens OX=9606 GN=GTF2F1 PE=1 SV=2
+EKLSFHMKDNIMKREPNLRKLIQALVNVTQESSLGTKKTQFKKLLDKTTMPKRTLYRRVADETVQVDGSNPTTKGSPPQPTSKGSLSQPGTDLRLRKAAPMESVRKGQELKSAAARLTSSTSGGEASPTGPRSNGRSSGGSPKRERKPPTKKKAMFLASSAESDIDSEESSDSEESSDKRRKKEQPTPAKKEEEEEKDEEPPKEEESEESSDSQEDVGKPGEEQQPAKAKSEPEEQSSSSGDSMYDVEQGEFDGDDSDEFAEDDSGKKKKKKRGGKALPAKKKAKPVRGGEEGSADSADSSMELDDELDHIRLESAKRRGRKEKEEEDEDQDQDKLRRQQMISFHNLVKNRREWEEEAEEATLTRHRALPTFNYWNHVPFAEFAGDPCQTFIYYSTNETVGGKKIGKFKRGSKGNVRLLWPQDEPRFEKLVIGYKKRRAEERLKRNFESGAGSEPMEEEQYIKKNSLDRELRAQNWTAFNVKDAANFAMINYKKTTNKPVRVVYETVNQSSPGLAAM
+>sp|Q9NYW0|T2R10_HUMAN Taste receptor type 2 member 10 OS=Homo sapiens OX=9606 GN=TAS2R10 PE=1 SV=3
+MLRVVEGIFIFVVVSESVFGVLGNGFIGLVNCIDCAKNKLSTIGFILTGLAISRIFLIWIIITDGFIQIFSPNIYASGNLIEYISYFWVIGNQSSMWFATSLSIFYFLKIANFSNYIFLWLKSRTNMVLPFMIVFLLISSLLNFAYIAKILNDYKTKNDTVWDLNMYKSEYFIKQILLNLGVIFFFTLSLITCIFLIISLWRHNRQMQSNVTGLRDSNTEAHVKAMKVLISFIILFILYFIGMAIEISCFTVRENKLLLMFGMTTTAIYPWGHSFILILGNSKLKQASLRVLQQLKCCEKRKNLRVT
+>DECOY_sp|Q9NYW0|T2R10_HUMAN Taste receptor type 2 member 10 OS=Homo sapiens OX=9606 GN=TAS2R10 PE=1 SV=3
+TVRLNKRKECCKLQQLVRLSAQKLKSNGLILIFSHGWPYIATTTMGFMLLLKNERVTFCSIEIAMGIFYLIFLIIFSILVKMAKVHAETNSDRLGTVNSQMQRNHRWLSIILFICTILSLTFFFIVGLNLLIQKIFYESKYMNLDWVTDNKTKYDNLIKAIYAFNLLSSILLFVIMFPLVMNTRSKLWLFIYNSFNAIKLFYFISLSTAFWMSSQNGIVWFYSIYEILNGSAYINPSFIQIFGDTIIIWILFIRSIALGTLIFGITSLKNKACDICNVLGIFGNGLVGFVSESVVVFIFIGEVVRLM
+>sp|P59533|T2R38_HUMAN Taste receptor type 2 member 38 OS=Homo sapiens OX=9606 GN=TAS2R38 PE=2 SV=3
+MLTLTRIRTVSYEVRSTFLFISVLEFAVGFLTNAFVFLVNFWDVVKRQALSNSDCVLLCLSISRLFLHGLLFLSAIQLTHFQKLSEPLNHSYQAIIMLWMIANQANLWLAACLSLLYCSKLIRFSHTFLICLASWVSRKISQMLLGIILCSCICTVLCVWCFFSRPHFTVTTVLFMNNNTRLNWQIKDLNLFYSFLFCYLWSVPPFLLFLVSSGMLTVSLGRHMRTMKVYTRNSRDPSLEAHIKALKSLVSFFCFFVISSCAAFISVPLLILWRDKIGVMVCVGIMAACPSGHAAILISGNAKLRRAVMTILLWAQSSLKVRADHKADSRTLC
+>DECOY_sp|P59533|T2R38_HUMAN Taste receptor type 2 member 38 OS=Homo sapiens OX=9606 GN=TAS2R38 PE=2 SV=3
+CLTRSDAKHDARVKLSSQAWLLITMVARRLKANGSILIAAHGSPCAAMIGVCVMVGIKDRWLILLPVSIFAACSSIVFFCFFSVLSKLAKIHAELSPDRSNRTYVKMTRMHRGLSVTLMGSSVLFLLFPPVSWLYCFLFSYFLNLDKIQWNLRTNNNMFLVTTVTFHPRSFFCWVCLVTCICSCLIIGLLMQSIKRSVWSALCILFTHSFRILKSCYLLSLCAALWLNAQNAIMWLMIIAQYSHNLPESLKQFHTLQIASLFLLGHLFLRSISLCLLVCDSNSLAQRKVVDWFNVLFVFANTLFGVAFELVSIFLFTSRVEYSVTRIRTLTLM
+>sp|P59535|T2R40_HUMAN Taste receptor type 2 member 40 OS=Homo sapiens OX=9606 GN=TAS2R40 PE=2 SV=1
+MATVNTDATDKDISKFKVTFTLVVSGIECITGILGSGFITAIYGAEWARGKTLPTGDRIMLMLSFSRLLLQIWMMLENIFSLLFRIVYNQNSVYILFKVITVFLNHSNLWFAAWLKVFYCLRIANFNHPLFFLMKRKIIVLMPWLLRLSVLVSLSFSFPLSRDVFNVYVNSSIPIPSSNSTEKKYFSETNMVNLVFFYNMGIFVPLIMFILAATLLILSLKRHTLHMGSNATGSRDPSMKAHIGAIKATSYFLILYIFNAIALFLSTSNIFDTYSSWNILCKIIMAAYPAGHSVQLILGNPGLRRAWKRFQHQVPLYLKGQTL
+>DECOY_sp|P59535|T2R40_HUMAN Taste receptor type 2 member 40 OS=Homo sapiens OX=9606 GN=TAS2R40 PE=2 SV=1
+LTQGKLYLPVQHQFRKWARRLGPNGLILQVSHGAPYAAMIIKCLINWSSYTDFINSTSLFLAIANFIYLILFYSTAKIAGIHAKMSPDRSGTANSGMHLTHRKLSLILLTAALIFMILPVFIGMNYFFVLNVMNTESFYKKETSNSSPIPISSNVYVNFVDRSLPFSFSLSVLVSLRLLWPMLVIIKRKMLFFLPHNFNAIRLCYFVKLWAAFWLNSHNLFVTIVKFLIYVSNQNYVIRFLLSFINELMMWIQLLLRSFSLMLMIRDGTPLTKGRAWEAGYIATIFGSGLIGTICEIGSVVLTFTVKFKSIDKDTADTNVTAM
+>sp|P59536|T2R41_HUMAN Taste receptor type 2 member 41 OS=Homo sapiens OX=9606 GN=TAS2R41 PE=2 SV=2
+MQAALTAFFVLLFSLLSLLGIAANGFIVLVLGREWLRYGRLLPLDMILISLGASRFCLQLVGTVHNFYYSAQKVEYSGGLGRQFFHLHWHFLNSATFWFCSWLSVLFCVKIANITHSTFLWLKWRFPGWVPWLLLGSVLISFIITLLFFWVNYPVYQEFLIRKFSGNMTYKWNTRIETYYFPSLKLVIWSIPFSVFLVSIMLLINSLRRHTQRMQHNGHSLQDPSTQAHTRALKSLISFLILYALSFLSLIIDAAKFISMQNDFYWPWQIAVYLCISVHPFILIFSNLKLRSVFSQLLLLARGFWVA
+>DECOY_sp|P59536|T2R41_HUMAN Taste receptor type 2 member 41 OS=Homo sapiens OX=9606 GN=TAS2R41 PE=2 SV=2
+AVWFGRALLLLQSFVSRLKLNSFILIFPHVSICLYVAIQWPWYFDNQMSIFKAADIILSLFSLAYLILFSILSKLARTHAQTSPDQLSHGNHQMRQTHRRLSNILLMISVLFVSFPISWIVLKLSPFYYTEIRTNWKYTMNGSFKRILFEQYVPYNVWFFLLTIIFSILVSGLLLWPVWGPFRWKLWLFTSHTINAIKVCFLVSLWSCFWFTASNLFHWHLHFFQRGLGGSYEVKQASYYFNHVTGVLQLCFRSAGLSILIMDLPLLRGYRLWERGLVLVIFGNAAIGLLSLLSFLLVFFATLAAQM
+>sp|P59551|T2R60_HUMAN Taste receptor type 2 member 60 OS=Homo sapiens OX=9606 GN=TAS2R60 PE=2 SV=1
+MNGDHMVLGSSVTDKKAIILVTILLLLRLVAIAGNGFITAALGVEWVLRRMLLPCDKLLVSLGASRFCLQSVVMGKTIYVFLHPMAFPYNPVLQFLAFQWDFLNAATLWSSTWLSVFYCVKIATFTHPVFFWLKHKLSGWLPWMLFSSVGLSSFTTILFFIGNHRMYQNYLRNHLQPWNVTGDSIRSYCEKFYLFPLKMITWTMPTAVFFICMILLITSLGRHRKKALLTTSGFREPSVQAHIKALLALLSFAMLFISYFLSLVFSAAGIFPPLDFKFWVWESVIYLCAAVHPIILLFSNCRLRAVLKSRRSSRCGTP
+>DECOY_sp|P59551|T2R60_HUMAN Taste receptor type 2 member 60 OS=Homo sapiens OX=9606 GN=TAS2R60 PE=2 SV=1
+PTGCRSSRRSKLVARLRCNSFLLIIPHVAACLYIVSEWVWFKFDLPPFIGAASFVLSLFYSIFLMAFSLLALLAKIHAQVSPERFGSTTLLAKKRHRGLSTILLIMCIFFVATPMTWTIMKLPFLYFKECYSRISDGTVNWPQLHNRLYNQYMRHNGIFFLITTFSSLGVSSFLMWPLWGSLKHKLWFFVPHTFTAIKVCYFVSLWTSSWLTAANLFDWQFALFQLVPNYPFAMPHLFVYITKGMVVSQLCFRSAGLSVLLKDCPLLMRRLVWEVGLAATIFGNGAIAVLRLLLLITVLIIAKKDTVSSGLVMHDGNM
+>sp|Q96DZ7|T4S19_HUMAN Transmembrane 4 L6 family member 19 OS=Homo sapiens OX=9606 GN=TM4SF19 PE=2 SV=2
+MVSSPCTQASSRTCSRILGLSLGTAALFAAGANVALLLPNWDVTYLLRGLLGRHAMLGTGLWGGGLMVLTAAILISLMGWRYGCFSKSGLCRSVLTALLSGGLALLGALICFVTSGVALKDGPFCMFDVSSFNQTQAWKYGYPFKDLHSRNYLYDRSLWNSVCLEPSAAVVWHVSLFSALLCISLLQLLLVVVHVINSLLGLFCSLCEK
+>DECOY_sp|Q96DZ7|T4S19_HUMAN Transmembrane 4 L6 family member 19 OS=Homo sapiens OX=9606 GN=TM4SF19 PE=2 SV=2
+KECLSCFLGLLSNIVHVVVLLLQLLSICLLASFLSVHWVVAASPELCVSNWLSRDYLYNRSHLDKFPYGYKWAQTQNFSSVDFMCFPGDKLAVGSTVFCILAGLLALGGSLLATLVSRCLGSKSFCGYRWGMLSILIAATLVMLGGGWLGTGLMAHRGLLGRLLYTVDWNPLLLAVNAGAAFLAATGLSLGLIRSCTRSSAQTCPSSVM
+>sp|Q9Y2B4|T53G5_HUMAN TP53-target gene 5 protein OS=Homo sapiens OX=9606 GN=TP53TG5 PE=1 SV=1
+MSPSAKKRPKNSRVSKMQDEKLRDETEQPVSKVIERNRLRTVLKNLSLLKLLKSSNRRIQELHKLAKRCWHSLLSVPKILRISSGENSACNKTKQNNEEFQEIGCSEKELKSKKLESTGDPKKKEYKEWKSQVQSGMRNKEKTSLAAMPRKEKHIEPEVPRTSRDDSLNPGVQGRQPLTEGPRVIFIKPYRNRTPMGHMKQLDVADQWIWFEGLPTRIHLPAPRVMCRSSTLRWVKRRCTRFCSASLEMPMWHPYKVDVTWTRARGASRGWRSRHQLKGRNGWRNSRVYK
+>DECOY_sp|Q9Y2B4|T53G5_HUMAN TP53-target gene 5 protein OS=Homo sapiens OX=9606 GN=TP53TG5 PE=1 SV=1
+KYVRSNRWGNRGKLQHRSRWGRSAGRARTWTVDVKYPHWMPMELSASCFRTCRRKVWRLTSSRCMVRPAPLHIRTPLGEFWIWQDAVDLQKMHGMPTRNRYPKIFIVRPGETLPQRGQVGPNLSDDRSTRPVEPEIHKEKRPMAALSTKEKNRMGSQVQSKWEKYEKKKPDGTSELKKSKLEKESCGIEQFEENNQKTKNCASNEGSSIRLIKPVSLLSHWCRKALKHLEQIRRNSSKLLKLLSLNKLVTRLRNREIVKSVPQETEDRLKEDQMKSVRSNKPRKKASPSM
+>sp|Q96A56|T53I1_HUMAN Tumor protein p53-inducible nuclear protein 1 OS=Homo sapiens OX=9606 GN=TP53INP1 PE=1 SV=1
+MFQRLNKMFVGEVSSSSNQEPEFNEKEDDEWILVDFIDTCTGFSAEEEEEEEDISEESPTEHPSVFSCLPASLECLADTSDSCFLQFESCPMEESWFITPPPCFTAGGLTTIKVETSPMENLLIEHPSMSVYAVHNSCPGLSEATRGTDELHSPSSPRVEAQNEMGQHIHCYVAALAAHTTFLEQPKSFRPSQWIKEHSERQPLNRNSLRRQNLTRDCHPRQVKHNGWVVHQPCPRQYNY
+>DECOY_sp|Q96A56|T53I1_HUMAN Tumor protein p53-inducible nuclear protein 1 OS=Homo sapiens OX=9606 GN=TP53INP1 PE=1 SV=1
+YNYQRPCPQHVVWGNHKVQRPHCDRTLNQRRLSNRNLPQRESHEKIWQSPRFSKPQELFTTHAALAAVYCHIHQGMENQAEVRPSSPSHLEDTGRTAESLGPCSNHVAYVSMSPHEILLNEMPSTEVKITTLGGATFCPPPTIFWSEEMPCSEFQLFCSDSTDALCELSAPLCSFVSPHETPSEESIDEEEEEEEASFGTCTDIFDVLIWEDDEKENFEPEQNSSSSVEGVFMKNLRQFM
+>sp|Q9NYW6|TA2R3_HUMAN Taste receptor type 2 member 3 OS=Homo sapiens OX=9606 GN=TAS2R3 PE=2 SV=3
+MMGLTEGVFLILSGTQFTLGILVNCFIELVNGSSWFKTKRMSLSDFIITTLALLRIILLCIILTDSFLIEFSPNTHDSGIIMQIIDVSWTFTNHLSIWLATCLGVLYCLKIASFSHPTFLWLKWRVSRVMVWMLLGALLLSCGSTASLINEFKLYSVFRGIEATRNVTEHFRKKRSEYYLIHVLGTLWYLPPLIVSLASYSLLIFSLGRHTRQMLQNGTSSRDPTTEAHKRAIRIILSFFFLFLLYFLAFLIASFGNFLPKTKMAKMIGEVMTMFYPAGHSFILILGNSKLKQTFVVMLRCESGHLKPGSKGPIFS
+>DECOY_sp|Q9NYW6|TA2R3_HUMAN Taste receptor type 2 member 3 OS=Homo sapiens OX=9606 GN=TAS2R3 PE=2 SV=3
+SFIPGKSGPKLHGSECRLMVVFTQKLKSNGLILIFSHGAPYFMTMVEGIMKAMKTKPLFNGFSAILFALFYLLFLFFFSLIIRIARKHAETTPDRSSTGNQLMQRTHRGLSFILLSYSALSVILPPLYWLTGLVHILYYESRKKRFHETVNRTAEIGRFVSYLKFENILSATSGCSLLLAGLLMWVMVRSVRWKLWLFTPHSFSAIKLCYLVGLCTALWISLHNTFTWSVDIIQMIIGSDHTNPSFEILFSDTLIICLLIIRLLALTTIIFDSLSMRKTKFWSSGNVLEIFCNVLIGLTFQTGSLILFVGETLGMM
+>sp|Q9NYW3|TA2R7_HUMAN Taste receptor type 2 member 7 OS=Homo sapiens OX=9606 GN=TAS2R7 PE=1 SV=1
+MADKVQTTLLFLAVGEFSVGILGNAFIGLVNCMDWVKKRKIASIDLILTSLAISRICLLCVILLDCFILVLYPDVYATGKEMRIIDFFWTLTNHLSIWFATCLSIYYFFKIGNFFHPLFLWMKWRIDRVISWILLGCVVLSVFISLPATENLNADFRFCVKAKRKTNLTWSCRVNKTQHASTKLFLNLATLLPFCVCLMSFFLLILSLRRHIRRMQLSATGCRDPSTEAHVRALKAVISFLLLFIAYYLSFLIATSSYFMPETELAVIFGESIALIYPSSHSFILILGNNKLRHASLKVIWKVMSILKGRKFQQHKQI
+>DECOY_sp|Q9NYW3|TA2R7_HUMAN Taste receptor type 2 member 7 OS=Homo sapiens OX=9606 GN=TAS2R7 PE=1 SV=1
+IQKHQQFKRGKLISMVKWIVKLSAHRLKNNGLILIFSHSSPYILAISEGFIVALETEPMFYSSTAILFSLYYAIFLLLFSIVAKLARVHAETSPDRCGTASLQMRRIHRRLSLILLFFSMLCVCFPLLTALNLFLKTSAHQTKNVRCSWTLNTKRKAKVCFRFDANLNETAPLSIFVSLVVCGLLIWSIVRDIRWKMWLFLPHFFNGIKFFYYISLCTAFWISLHNTLTWFFDIIRMEKGTAYVDPYLVLIFCDLLIVCLLCIRSIALSTLILDISAIKRKKVWDMCNVLGIFANGLIGVSFEGVALFLLTTQVKDAM
+>sp|O14804|TAAR5_HUMAN Trace amine-associated receptor 5 OS=Homo sapiens OX=9606 GN=TAAR5 PE=2 SV=2
+MRAVFIQGAEEHPAAFCYQVNGSCPRTVHTLGIQLVIYLACAAGMLIIVLGNVFVAFAVSYFKALHTPTNFLLLSLALADMFLGLLVLPLSTIRSVESCWFFGDFLCRLHTYLDTLFCLTSIFHLCFISIDRHCAICDPLLYPSKFTVRVALRYILAGWGVPAAYTSLFLYTDVVETRLSQWLEEMPCVGSCQLLLNKFWGWLNFPLFFVPCLIMISLYVKIFVVATRQAQQITTLSKSLAGAAKHERKAAKTLGIAVGIYLLCWLPFTIDTMVDSLLHFITPPLVFDIFIWFAYFNSACNPIIYVFSYQWFRKALKLTLSQKVFSPQTRTVDLYQE
+>DECOY_sp|O14804|TAAR5_HUMAN Trace amine-associated receptor 5 OS=Homo sapiens OX=9606 GN=TAAR5 PE=2 SV=2
+EQYLDVTRTQPSFVKQSLTLKLAKRFWQYSFVYIIPNCASNFYAFWIFIDFVLPPTIFHLLSDVMTDITFPLWCLLYIGVAIGLTKAAKREHKAAGALSKSLTTIQQAQRTAVVFIKVYLSIMILCPVFFLPFNLWGWFKNLLLQCSGVCPMEELWQSLRTEVVDTYLFLSTYAAPVGWGALIYRLAVRVTFKSPYLLPDCIACHRDISIFCLHFISTLCFLTDLYTHLRCLFDGFFWCSEVSRITSLPLVLLGLFMDALALSLLLFNTPTHLAKFYSVAFAVFVNGLVIILMGAACALYIVLQIGLTHVTRPCSGNVQYCFAAPHEEAGQIFVARM
+>sp|Q15750|TAB1_HUMAN TGF-beta-activated kinase 1 and MAP3K7-binding protein 1 OS=Homo sapiens OX=9606 GN=TAB1 PE=1 SV=1
+MAAQRRSLLQSEQQPSWTDDLPLCHLSGVGSASNRSYSADGKGTESHPPEDSWLKFRSENNCFLYGVFNGYDGNRVTNFVAQRLSAELLLGQLNAEHAEADVRRVLLQAFDVVERSFLESIDDALAEKASLQSQLPEGVPQHQLPPQYQKILERLKTLEREISGGAMAVVAVLLNNKLYVANVGTNRALLCKSTVDGLQVTQLNVDHTTENEDELFRLSQLGLDAGKIKQVGIICGQESTRRIGDYKVKYGYTDIDLLSAAKSKPIIAEPEIHGAQPLDGVTGFLVLMSEGLYKALEAAHGPGQANQEIAAMIDTEFAKQTSLDAVAQAVVDRVKRIHSDTFASGGERARFCPRHEDMTLLVRNFGYPLGEMSQPTPSPAPAAGGRVYPVSVPYSSAQSTSKTSVTLSLVMPSQGQMVNGAHSASTLDEATPTLTNQSPTLTLQSTNTHTQSSSSSSDGGLFRSRPAHSLPPGEDGRVEPYVDFAEFYRLWSVDHGEQSVVTAP
+>DECOY_sp|Q15750|TAB1_HUMAN TGF-beta-activated kinase 1 and MAP3K7-binding protein 1 OS=Homo sapiens OX=9606 GN=TAB1 PE=1 SV=1
+PATVVSQEGHDVSWLRYFEAFDVYPEVRGDEGPPLSHAPRSRFLGGDSSSSSSQTHTNTSQLTLTPSQNTLTPTAEDLTSASHAGNVMQGQSPMVLSLTVSTKSTSQASSYPVSVPYVRGGAAPAPSPTPQSMEGLPYGFNRVLLTMDEHRPCFRAREGGSAFTDSHIRKVRDVVAQAVADLSTQKAFETDIMAAIEQNAQGPGHAAELAKYLGESMLVLFGTVGDLPQAGHIEPEAIIPKSKAASLLDIDTYGYKVKYDGIRRTSEQGCIIGVQKIKGADLGLQSLRFLEDENETTHDVNLQTVQLGDVTSKCLLARNTGVNAVYLKNNLLVAVVAMAGGSIERELTKLRELIKQYQPPLQHQPVGEPLQSQLSAKEALADDISELFSREVVDFAQLLVRRVDAEAHEANLQGLLLEASLRQAVFNTVRNGDYGNFVGYLFCNNESRFKLWSDEPPHSETGKGDASYSRNSASGVGSLHCLPLDDTWSPQQESQLLSRRQAAM
+>sp|Q9H5J8|TAF1D_HUMAN TATA box-binding protein-associated factor RNA polymerase I subunit D OS=Homo sapiens OX=9606 GN=TAF1D PE=1 SV=1
+MDKSGIDSLDHVTSDAVELANRSDNSSDSSLFKTQCIPYSPKGEKRNPIRKFVRTPESVHASDSSSDSSFEPIPLTIKAIFERFKNRKKRYKKKKKRRYQPTGRPRGRPEGRRNPIYSLIDKKKQFRSRGSGFPFLESENEKNAPWRKILTFEQAVARGFFNYIEKLKYEHHLKESLKQMNVGEDLENEDFDSRRYKFLDDDGSISPIEESTAEDEDATHLEDNECDIKLAGDSFIVSSEFPVRLSVYLEEEDITEEAALSKKRATKAKNTGQRGLKM
+>DECOY_sp|Q9H5J8|TAF1D_HUMAN TATA box-binding protein-associated factor RNA polymerase I subunit D OS=Homo sapiens OX=9606 GN=TAF1D PE=1 SV=1
+MKLGRQGTNKAKTARKKSLAAEETIDEEELYVSLRVPFESSVIFSDGALKIDCENDELHTADEDEATSEEIPSISGDDDLFKYRRSDFDENELDEGVNMQKLSEKLHHEYKLKEIYNFFGRAVAQEFTLIKRWPANKENESELFPFGSGRSRFQKKKDILSYIPNRRGEPRGRPRGTPQYRRKKKKKYRKKRNKFREFIAKITLPIPEFSSDSSSDSAHVSEPTRVFKRIPNRKEGKPSYPICQTKFLSSDSSNDSRNALEVADSTVHDLSDIGSKDM
+>sp|P21675|TAF1_HUMAN Transcription initiation factor TFIID subunit 1 OS=Homo sapiens OX=9606 GN=TAF1 PE=1 SV=2
+MGPGCDLLLRTAATITAAAIMSDTDSDEDSAGGGPFSLAGFLFGNINGAGQLEGESVLDDECKKHLAGLGALGLGSLITELTANEELTGTDGALVNDEGWVRSTEDAVDYSDINEVAEDESRRYQQTMGSLQPLCHSDYDEDDYDADCEDIDCKLMPPPPPPPGPMKKDKDQDSITGEKVDFSSSSDSESEMGPQEATQAESEDGKLTLPLAGIMQHDATKLLPSVTELFPEFRPGKVLRFLRLFGPGKNVPSVWRSARRKRKKKHRELIQEEQIQEVECSVESEVSQKSLWNYDYAPPPPPEQCLSDDEITMMAPVESKFSQSTGDIDKVTDTKPRVAEWRYGPARLWYDMLGVPEDGSGFDYGFKLRKTEHEPVIKSRMIEEFRKLEENNGTDLLADENFLMVTQLHWEDDIIWDGEDVKHKGTKPQRASLAGWLPSSMTRNAMAYNVQQGFAATLDDDKPWYSIFPIDNEDLVYGRWEDNIIWDAQAMPRLLEPPVLTLDPNDENLILEIPDEKEEATSNSPSKESKKESSLKKSRILLGKTGVIKEEPQQNMSQPEVKDPWNLSNDEYYYPKQQGLRGTFGGNIIQHSIPAVELRQPFFPTHMGPIKLRQFHRPPLKKYSFGALSQPGPHSVQPLLKHIKKKAKMREQERQASGGGEMFFMRTPQDLTGKDGDLILAEYSEENGPLMMQVGMATKIKNYYKRKPGKDPGAPDCKYGETVYCHTSPFLGSLHPGQLLQAFENNLFRAPIYLHKMPETDFLIIRTRQGYYIRELVDIFVVGQQCPLFEVPGPNSKRANTHIRDFLQVFIYRLFWKSKDRPRRIRMEDIKKAFPSHSESSIRKRLKLCADFKRTGMDSNWWVLKSDFRLPTEEEIRAMVSPEQCCAYYSMIAAEQRLKDAGYGEKSFFAPEEENEEDFQMKIDDEVRTAPWNTTRAFIAAMKGKCLLEVTGVADPTGCGEGFSYVKIPNKPTQQKDDKEPQPVKKTVTGTDADLRRLSLKNAKQLLRKFGVPEEEIKKLSRWEVIDVVRTMSTEQARSGEGPMSKFARGSRFSVAEHQERYKEECQRIFDLQNKVLSSTEVLSTDTDSSSAEDSDFEEMGKNIENMLQNKKTSSQLSREREEQERKELQRMLLAAGSAASGNNHRDDDTASVTSLNSSATGRCLKIYRTFRDEEGKEYVRCETVRKPAVIDAYVRIRTTKDEEFIRKFALFDEQHREEMRKERRRIQEQLRRLKRNQEKEKLKGPPEKKPKKMKERPDLKLKCGACGAIGHMRTNKFCPLYYQTNAPPSNPVAMTEEQEEELEKTVIHNDNEELIKVEGTKIVLGKQLIESADEVRRKSLVLKFPKQQLPPKKKRRVGTTVHCDYLNRPHKSIHRRRTDPMVTLSSILESIINDMRDLPNTYPFHTPVNAKVVKDYYKIITRPMDLQTLRENVRKRLYPSREEFREHLELIVKNSATYNGPKHSLTQISQSMLDLCDEKLKEKEDKLARLEKAINPLLDDDDQVAFSFILDNIVTQKMMAVPDSWPFHHPVNKKFVPDYYKVIVNPMDLETIRKNISKHKYQSRESFLDDVNLILANSVKYNGPESQYTKTAQEIVNVCYQTLTEYDEHLTQLEKDICTAKEAALEEAELESLDPMTPGPYTPQPPDLYDTNTSLSMSRDASVFQDESNMSVLDIPSATPEKQVTQEGEDGDGDLADEEEGTVQQPQASVLYEDLLMSEGEDDEEDAGSDEEGDNPFSAIQLSESGSDSDVGSGGIRPKQPRMLQENTRMDMENEESMMSYEGDGGEASHGLEDSNISYGSYEEPDPKSNTQDTSFSSIGGYEVSEEEEDEEEEEQRSGPSVLSQVHLSEDEEDSEDFHSIAGDSDLDSDE
+>DECOY_sp|P21675|TAF1_HUMAN Transcription initiation factor TFIID subunit 1 OS=Homo sapiens OX=9606 GN=TAF1 PE=1 SV=2
+EDSDLDSDGAISHFDESDEEDESLHVQSLVSPGSRQEEEEEDEEEESVEYGGISSFSTDQTNSKPDPEEYSGYSINSDELGHSAEGGDGEYSMMSEENEMDMRTNEQLMRPQKPRIGGSGVDSDSGSESLQIASFPNDGEEDSGADEEDDEGESMLLDEYLVSAQPQQVTGEEEDALDGDGDEGEQTVQKEPTASPIDLVSMNSEDQFVSADRSMSLSTNTDYLDPPQPTYPGPTMPDLSELEAEELAAEKATCIDKELQTLHEDYETLTQYCVNVIEQATKTYQSEPGNYKVSNALILNVDDLFSERSQYKHKSINKRITELDMPNVIVKYYDPVFKKNVPHHFPWSDPVAMMKQTVINDLIFSFAVQDDDDLLPNIAKELRALKDEKEKLKEDCLDLMSQSIQTLSHKPGNYTASNKVILELHERFEERSPYLRKRVNERLTQLDMPRTIIKYYDKVVKANVPTHFPYTNPLDRMDNIISELISSLTVMPDTRRRHISKHPRNLYDCHVTTGVRRKKKPPLQQKPFKLVLSKRRVEDASEILQKGLVIKTGEVKILEENDNHIVTKELEEEQEETMAVPNSPPANTQYYLPCFKNTRMHGIAGCAGCKLKLDPREKMKKPKKEPPGKLKEKEQNRKLRRLQEQIRRREKRMEERHQEDFLAFKRIFEEDKTTRIRVYADIVAPKRVTECRVYEKGEEDRFTRYIKLCRGTASSNLSTVSATDDDRHNNGSAASGAALLMRQLEKREQEERERSLQSSTKKNQLMNEINKGMEEFDSDEASSSDTDTSLVETSSLVKNQLDFIRQCEEKYREQHEAVSFRSGRAFKSMPGEGSRAQETSMTRVVDIVEWRSLKKIEEEPVGFKRLLQKANKLSLRRLDADTGTVTKKVPQPEKDDKQQTPKNPIKVYSFGEGCGTPDAVGTVELLCKGKMAAIFARTTNWPATRVEDDIKMQFDEENEEEPAFFSKEGYGADKLRQEAAIMSYYACCQEPSVMARIEEETPLRFDSKLVWWNSDMGTRKFDACLKLRKRISSESHSPFAKKIDEMRIRRPRDKSKWFLRYIFVQLFDRIHTNARKSNPGPVEFLPCQQGVVFIDVLERIYYGQRTRIILFDTEPMKHLYIPARFLNNEFAQLLQGPHLSGLFPSTHCYVTEGYKCDPAGPDKGPKRKYYNKIKTAMGVQMMLPGNEESYEALILDGDKGTLDQPTRMFFMEGGGSAQREQERMKAKKKIHKLLPQVSHPGPQSLAGFSYKKLPPRHFQRLKIPGMHTPFFPQRLEVAPISHQIINGGFTGRLGQQKPYYYEDNSLNWPDKVEPQSMNQQPEEKIVGTKGLLIRSKKLSSEKKSEKSPSNSTAEEKEDPIELILNEDNPDLTLVPPELLRPMAQADWIINDEWRGYVLDENDIPFISYWPKDDDLTAAFGQQVNYAMANRTMSSPLWGALSARQPKTGKHKVDEGDWIIDDEWHLQTVMLFNEDALLDTGNNEELKRFEEIMRSKIVPEHETKRLKFGYDFGSGDEPVGLMDYWLRAPGYRWEAVRPKTDTVKDIDGTSQSFKSEVPAMMTIEDDSLCQEPPPPPAYDYNWLSKQSVESEVSCEVEQIQEEQILERHKKKRKRRASRWVSPVNKGPGFLRLFRLVKGPRFEPFLETVSPLLKTADHQMIGALPLTLKGDESEAQTAEQPGMESESDSSSSFDVKEGTISDQDKDKKMPGPPPPPPPMLKCDIDECDADYDDEDYDSHCLPQLSGMTQQYRRSEDEAVENIDSYDVADETSRVWGEDNVLAGDTGTLEENATLETILSGLGLAGLGALHKKCEDDLVSEGELQGAGNINGFLFGALSFPGGGASDEDSDTDSMIAAATITAATRLLLDCGPGM
+>sp|Q92844|TANK_HUMAN TRAF family member-associated NF-kappa-B activator OS=Homo sapiens OX=9606 GN=TANK PE=1 SV=2
+MDKNIGEQLNKAYEAFRQACMDRDSAVKELQQKTENYEQRIREQQEQLSLQQTIIDKLKSQLLLVNSTQDNNYGCVPLLEDSETRKNNLTLDQPQDKVISGIAREKLPKVRRQEVSSPRKETSARSLGSPLLHERGNIEKTFWDLKEEFHKICMLAKAQKDHLSKLNIPDTATETQCSVPIQCTDKTDKQEALFKPQAKDDINRGAPSITSVTPRGLCRDEEDTSFESLSKFNVKFPPMDNDSTFLHSTPERPGILSPATSEAVCQEKFNMEFRDNPGNFVKTEETLFEIQGIDPIASAIQNLKTTDKTKPSNLVNTCIRTTLDRAACLPPGDHNALYVNSFPLLDPSDAPFPSLDSPGKAIRGPQQPIWKPFPNQDSDSVVLSGTDSELHIPRVCEFCQAVFPPSITSRGDFLRHLNSHFNGET
+>DECOY_sp|Q92844|TANK_HUMAN TRAF family member-associated NF-kappa-B activator OS=Homo sapiens OX=9606 GN=TANK PE=1 SV=2
+TEGNFHSNLHRLFDGRSTISPPFVAQCFECVRPIHLESDTGSLVVSDSDQNPFPKWIPQQPGRIAKGPSDLSPFPADSPDLLPFSNVYLANHDGPPLCAARDLTTRICTNVLNSPKTKDTTKLNQIASAIPDIGQIEFLTEETKVFNGPNDRFEMNFKEQCVAESTAPSLIGPREPTSHLFTSDNDMPPFKVNFKSLSEFSTDEEDRCLGRPTVSTISPAGRNIDDKAQPKFLAEQKDTKDTCQIPVSCQTETATDPINLKSLHDKQAKALMCIKHFEEKLDWFTKEINGREHLLPSGLSRASTEKRPSSVEQRRVKPLKERAIGSIVKDQPQDLTLNNKRTESDELLPVCGYNNDQTSNVLLLQSKLKDIITQQLSLQEQQERIRQEYNETKQQLEKVASDRDMCAQRFAEYAKNLQEGINKDM
+>sp|Q9H2D6|TARA_HUMAN TRIO and F-actin-binding protein OS=Homo sapiens OX=9606 GN=TRIOBP PE=1 SV=3
+MEEVPGDALCEHFEANILTQNRCQNCFHPEEAHGARYQELRSPSGAEVPYCDLPRCPPAPEDPLSASTSGCQSVVDPGLRPGPKRGPSPSAGLPEEGPTAAPRSRSRELEAVPYLEGLTTSLCGSCNEDPGSDPTSSPDSATPDDTSNSSSVDWDTVERQEEEAPSWDELAVMIPRRPREGPRADSSQRAPSLLTRSPVGGDAAGQKKEDTGGGGRSAGQHWARLRGESGLSLERHRSTLTQASSMTPHSGPRSTTSQASPAQRDTAQAASTREIPRASSPHRITQRDTSRASSTQQEISRASSTQQETSRASSTQEDTPRASSTQEDTPRASSTQWNTPRASSPSRSTQLDNPRTSSTQQDNPQTSFPTCTPQRENPRTPCVQQDDPRASSPNRTTQRENSRTSCAQRDNPKASRTSSPNRATRDNPRTSCAQRDNPRASSPSRATRDNPTTSCAQRDNPRASRTSSPNRATRDNPRTSCAQRDNPRASSPSRATRDNPTTSCAQRDNPRASRTSSPNRATRDNPRTSCAQRDNPRASSPNRAARDNPTTSCAQRDNPRASRTSSPNRATRDNPRTSCAQRDNPRASSPNRATRDNPTTSCAQRDNPRASRTSSPNRATRDNPRTSCAQRDNPRASSPNRTTQQDSPRTSCARRDDPRASSPNRTIQQENPRTSCALRDNPRASSPSRTIQQENPRTSCAQRDDPRASSPNRTTQQENPRTSCARRDNPRASSRNRTIQRDNPRTSCAQRDNPRASSPNRTIQQENLRTSCTRQDNPRTSSPNRATRDNPRTSCAQRDNLRASSPIRATQQDNPRTCIQQNIPRSSSTQQDNPKTSCTKRDNLRPTCTQRDRTQSFSFQRDNPGTSSSQCCTQKENLRPSSPHRSTQWNNPRNSSPHRTNKDIPWASFPLRPTQSDGPRTSSPSRSKQSEVPWASIALRPTQGDRPQTSSPSRPAQHDPPQSSFGPTQYNLPSRATSSSHNPGHQSTSRTSSPVYPAAYGAPLTSPEPSQPPCAVCIGHRDAPRASSPPRYLQHDPFPFFPEPRAPESEPPHHEPPYIPPAVCIGHRDAPRASSPPRHTQFDPFPFLPDTSDAEHQCQSPQHEPLQLPAPVCIGYRDAPRASSPPRQAPEPSLLFQDLPRASTESLVPSMDSLHECPHIPTPVCIGHRDAPSFSSPPRQAPEPSLFFQDPPGTSMESLAPSTDSLHGSPVLIPQVCIGHRDAPRASSPPRHPPSDLAFLAPSPSPGSSGGSRGSAPPGETRHNLEREEYTVLADLPPPRRLAQRQPGPQAQCSSGGRTHSPGRAEVERLFGQERRKSEAAGAFQAQDEGRSQQPSQGQSQLLRRQSSPAPSRQVTMLPAKQAELTRRSQAEPPHPWSPEKRPEGDRQLQGSPLPPRTSARTPERELRTQRPLESGQAGPRQPLGVWQSQEEPPGSQGPHRHLERSWSSQEGGLGPGGWWGCGEPSLGAAKAPEGAWGGTSREYKESWGQPEAWEEKPTHELPRELGKRSPLTSPPENWGGPAESSQSWHSGTPTAVGWGAEGACPYPRGSERRPELDWRDLLGLLRAPGEGVWARVPSLDWEGLLELLQARLPRKDPAGHRDDLARALGPELGPPGTNDVPEQESHSQPEGWAEATPVNGHSPALQSQSPVQLPSPACTSTQWPKIKVTRGPATATLAGLEQTGPLGSRSTAKGPSLPELQFQPEEPEESEPSRGQDPLTDQKQADSADKRPAEGKAGSPLKGRLVTSWRMPGDRPTLFNPFLLSLGVLRWRRPDLLNFKKGWMSILDEPGEPPSPSLTTTSTSQWKKHWFVLTDSSLKYYRDSTAEEADELDGEIDLRSCTDVTEYAVQRNYGFQIHTKDAVYTLSAMTSGIRRNWIEALRKTVRPTSAPDVTKLSDSNKENALHSYSTQKGPLKAGEQRAGSEVISRGGPRKADGQRQALDYVELSPLTQASPQRARTPARTPDRLAKQEELERDLAQRSEERRKWFEATDSRTPEVPAGEGPRRGLGAPLTEDQQNRLSEEIEKKWQELEKLPLRENKRVPLTALLNQSRGERRGPPSDGHEALEKEVQALRAQLEAWRLQGEAPQSALRSQEDGHIPPGYISQEACERSLAEMESSHQQVMEELQRHHERELQRLQQEKEWLLAEETAATASAIEAMKKAYQEELSRELSKTRSLQQGPDGLRKQHQSDVEALKRELQVLSEQYSQKCLEIGALMRQAEEREHTLRRCQQEGQELLRHNQELHGRLSEEIDQLRGFIASQGMGNGCGRSNERSSCELEVLLRVKENELQYLKKEVQCLRDELQMMQKDKRFTSGKYQDVYVELSHIKTRSEREIEQLKEHLRLAMAALQEKESMRNSLAE
+>DECOY_sp|Q9H2D6|TARA_HUMAN TRIO and F-actin-binding protein OS=Homo sapiens OX=9606 GN=TRIOBP PE=1 SV=3
+EALSNRMSEKEQLAAMALRLHEKLQEIERESRTKIHSLEVYVDQYKGSTFRKDKQMMQLEDRLCQVEKKLYQLENEKVRLLVELECSSRENSRGCGNGMGQSAIFGRLQDIEESLRGHLEQNHRLLEQGEQQCRRLTHEREEAQRMLAGIELCKQSYQESLVQLERKLAEVDSQHQKRLGDPGQQLSRTKSLERSLEEQYAKKMAEIASATAATEEALLWEKEQQLRQLEREHHRQLEEMVQQHSSEMEALSRECAEQSIYGPPIHGDEQSRLASQPAEGQLRWAELQARLAQVEKELAEHGDSPPGRREGRSQNLLATLPVRKNERLPLKELEQWKKEIEESLRNQQDETLPAGLGRRPGEGAPVEPTRSDTAEFWKRREESRQALDRELEEQKALRDPTRAPTRARQPSAQTLPSLEVYDLAQRQGDAKRPGGRSIVESGARQEGAKLPGKQTSYSHLANEKNSDSLKTVDPASTPRVTKRLAEIWNRRIGSTMASLTYVADKTHIQFGYNRQVAYETVDTCSRLDIEGDLEDAEEATSDRYYKLSSDTLVFWHKKWQSTSTTTLSPSPPEGPEDLISMWGKKFNLLDPRRWRLVGLSLLFPNFLTPRDGPMRWSTVLRGKLPSGAKGEAPRKDASDAQKQDTLPDQGRSPESEEPEEPQFQLEPLSPGKATSRSGLPGTQELGALTATAPGRTVKIKPWQTSTCAPSPLQVPSQSQLAPSHGNVPTAEAWGEPQSHSEQEPVDNTGPPGLEPGLARALDDRHGAPDKRPLRAQLLELLGEWDLSPVRAWVGEGPARLLGLLDRWDLEPRRESGRPYPCAGEAGWGVATPTGSHWSQSSEAPGGWNEPPSTLPSRKGLERPLEHTPKEEWAEPQGWSEKYERSTGGWAGEPAKAAGLSPEGCGWWGGPGLGGEQSSWSRELHRHPGQSGPPEEQSQWVGLPQRPGAQGSELPRQTRLEREPTRASTRPPLPSGQLQRDGEPRKEPSWPHPPEAQSRRTLEAQKAPLMTVQRSPAPSSQRRLLQSQGQSPQQSRGEDQAQFAGAAESKRREQGFLREVEARGPSHTRGGSSCQAQPGPQRQALRRPPPLDALVTYEERELNHRTEGPPASGRSGGSSGPSPSPALFALDSPPHRPPSSARPADRHGICVQPILVPSGHLSDTSPALSEMSTGPPDQFFLSPEPAQRPPSSFSPADRHGICVPTPIHPCEHLSDMSPVLSETSARPLDQFLLSPEPAQRPPSSARPADRYGICVPAPLQLPEHQPSQCQHEADSTDPLFPFPDFQTHRPPSSARPADRHGICVAPPIYPPEHHPPESEPARPEPFFPFPDHQLYRPPSSARPADRHGICVACPPQSPEPSTLPAGYAAPYVPSSTRSTSQHGPNHSSSTARSPLNYQTPGFSSQPPDHQAPRSPSSTQPRDGQTPRLAISAWPVESQKSRSPSSTRPGDSQTPRLPFSAWPIDKNTRHPSSNRPNNWQTSRHPSSPRLNEKQTCCQSSSTGPNDRQFSFSQTRDRQTCTPRLNDRKTCSTKPNDQQTSSSRPINQQICTRPNDQQTARIPSSARLNDRQACSTRPNDRTARNPSSTRPNDQRTCSTRLNEQQITRNPSSARPNDRQACSTRPNDRQITRNRSSARPNDRRACSTRPNEQQTTRNPSSARPDDRQACSTRPNEQQITRSPSSARPNDRLACSTRPNEQQITRNPSSARPDDRRACSTRPSDQQTTRNPSSARPNDRQACSTRPNDRTARNPSSTRSARPNDRQACSTTPNDRTARNPSSARPNDRQACSTRPNDRTARNPSSTRSARPNDRQACSTTPNDRAARNPSSARPNDRQACSTRPNDRTARNPSSTRSARPNDRQACSTTPNDRTARSPSSARPNDRQACSTRPNDRTARNPSSTRSARPNDRQACSTTPNDRTARSPSSARPNDRQACSTRPNDRTARNPSSTRSAKPNDRQACSTRSNERQTTRNPSSARPDDQQVCPTRPNERQPTCTPFSTQPNDQQTSSTRPNDLQTSRSPSSARPTNWQTSSARPTDEQTSSARPTDEQTSSARSTEQQTSSARSIEQQTSSARSTDRQTIRHPSSARPIERTSAAQATDRQAPSAQSTTSRPGSHPTMSSAQTLTSRHRELSLGSEGRLRAWHQGASRGGGGTDEKKQGAADGGVPSRTLLSPARQSSDARPGERPRRPIMVALEDWSPAEEEQREVTDWDVSSSNSTDDPTASDPSSTPDSGPDENCSGCLSTTLGELYPVAELERSRSRPAATPGEEPLGASPSPGRKPGPRLGPDVVSQCGSTSASLPDEPAPPCRPLDCYPVEAGSPSRLEQYRAGHAEEPHFCNQCRNQTLINAEFHECLADGPVEEM
+>sp|Q9UK61|TASOR_HUMAN Protein TASOR OS=Homo sapiens OX=9606 GN=FAM208A PE=1 SV=3
+MATAVETEACQPTDASWESGGGGDDEMKQALPELESSQQNGGGGGLNIAEPSGGAGREENAGAEAAQSLSHEQPQDSSEAGAAALPRGPEEPERPVRRSFQIPRKSREKKALFQPLTPGSREFEDVVNILHSSYLEPTSVTNFNYRRACLVHNELLEKEFTEKRRELKFDGRLDKELSESYAFLMVDRYQVQTICEKGLHVGQSKITILGSPSMGVYLSRYADLLQANPLDTGAMGDVVIFKIMKGKIKSIYDPMGVKSLESMLNKSALDPTPKHECHVSKNANRITSLLAYRAYELTQYYFYEYGFDELRRRPRHVCPYAVVSFTYKDDIQTPKFVPSSRSNSFNTDRNIDKYNYTLWKGQLLNKGKLLCYISLRSATRAFLPIKLPEKLDVETVMSIDHLKQKIPPALFYKETYLGPNEVLKNGMYCSLYEVVEKTRIGSNMESLLQKLDREKLVLVKPLGDRGYLFLLSPYQMVPPYEYQTAKSRVLHALFLFQEPRSIVTSQKGSTNAAPQERHESMPDVLKIAQFLQFSLIQCRKEFKNISAINFHSVVEKYVSEFFKRGFGSGKREFIMFPYDSRLDDKKFLYSAPRNKSHIDTCLHAYIFRPEVYQLPICKLKELFEENRKLQQFSPLSDYEGQEEEMNGTKMKFGKRNNSRGEAIISGKQRSSHSLDYDKDRVKELINLIQCRKKSVGGDSDTEDMRSKTVLKRKLEDLPENMRKLAKTSNLSENCHLYEESPQPIGSLGHDADLRRQQQDTCNSGIADIHRLFNWLSETLANARHSDASLTDTVNKALGLSTDDAYEELRQKHEYELNSTPDKKDYEQPTCAKVENAQFKGTQSLLLEVDATSKYSVAISTSEVGTDHKLHLKEDPNLISVNNFEDCSLCPSVPIEHGFRRQQSKSNNVEETEIHWKLIPITGGNARSPEDQLGKHGEKQTPGMKSPEEQLVCVPPQEAFPNDPRVINRQRSSDYQFPSSPFTDTLKGTTEDDVLTGQVEEQCVPAAEAEPPAVSETTERTVLGEYNLFSRKIEEILKQKNVSYVSTVSTPIFSTQEKMKRLSEFIYSKTSKAGVQEFVDGLHEKLNTIIIKASAKGGNLPPVSPNDSGAKIASNPLERHVIPVSSSDFNNKHLLEPLCSDPLKDTNSDEQHSTSALTEVEMNQPQHATELMVTSDHIVPGDMAREPVEETTKSPSDVNISAQPALSNFISQLEPEVFNSLVKIMKDVQKNTVKFYIHEEEESVLCKEIKEYLIKLGNTECHPEQFLERRSKLDKLLIIIQNEDIAGFIHKIPGLVTLKKLPCVSFAGVDSLDDVKNHTYNELFVSGGFIVSDESILNPEVVTVENLKNFLTFLEELSTPEGKWQWKVHCKFQKKLKELGRLNAKALSLLTLLNVYQKKHLVEILSYHNCDSQTRNAPELDCLIRLQAQNIQQRHIVFLTEKNIKMLSSYTDNGIVVATAEDFMQNFKNLVGYHNSITEENLPQLGANENLESQSALLENDEKDEEDMSLDSGDEISHIEVCSNFHSEIWEKETKGSRGTDQKKNTQIELQSSPDVQNSLLEDKTYLDSEERTSIDIVCSEGENSNSTEQDSYSNFQVYHSQLNMSHQFSHFNVLTHQTFLGTPYALSSSQSQENENYFLSAYTESLDRDKSPPPLSWGKSDSSRPYSQEK
+>DECOY_sp|Q9UK61|TASOR_HUMAN Protein TASOR OS=Homo sapiens OX=9606 GN=FAM208A PE=1 SV=3
+KEQSYPRSSDSKGWSLPPPSKDRDLSETYASLFYNENEQSQSSSLAYPTGLFTQHTLVNFHSFQHSMNLQSHYVQFNSYSDQETSNSNEGESCVIDISTREESDLYTKDELLSNQVDPSSQLEIQTNKKQDTGRSGKTEKEWIESHFNSCVEIHSIEDGSDLSMDEEDKEDNELLASQSELNENAGLQPLNEETISNHYGVLNKFNQMFDEATAVVIGNDTYSSLMKINKETLFVIHRQQINQAQLRILCDLEPANRTQSDCNHYSLIEVLHKKQYVNLLTLLSLAKANLRGLEKLKKQFKCHVKWQWKGEPTSLEELFTLFNKLNEVTVVEPNLISEDSVIFGGSVFLENYTHNKVDDLSDVGAFSVCPLKKLTVLGPIKHIFGAIDENQIIILLKDLKSRRELFQEPHCETNGLKILYEKIEKCLVSEEEEHIYFKVTNKQVDKMIKVLSNFVEPELQSIFNSLAPQASINVDSPSKTTEEVPERAMDGPVIHDSTVMLETAHQPQNMEVETLASTSHQEDSNTDKLPDSCLPELLHKNNFDSSSVPIVHRELPNSAIKAGSDNPSVPPLNGGKASAKIIITNLKEHLGDVFEQVGAKSTKSYIFESLRKMKEQTSFIPTSVTSVYSVNKQKLIEEIKRSFLNYEGLVTRETTESVAPPEAEAAPVCQEEVQGTLVDDETTGKLTDTFPSSPFQYDSSRQRNIVRPDNPFAEQPPVCVLQEEPSKMGPTQKEGHKGLQDEPSRANGGTIPILKWHIETEEVNNSKSQQRRFGHEIPVSPCLSCDEFNNVSILNPDEKLHLKHDTGVESTSIAVSYKSTADVELLLSQTGKFQANEVKACTPQEYDKKDPTSNLEYEHKQRLEEYADDTSLGLAKNVTDTLSADSHRANALTESLWNFLRHIDAIGSNCTDQQQRRLDADHGLSGIPQPSEEYLHCNESLNSTKALKRMNEPLDELKRKLVTKSRMDETDSDGGVSKKRCQILNILEKVRDKDYDLSHSSRQKGSIIAEGRSNNRKGFKMKTGNMEEEQGEYDSLPSFQQLKRNEEFLEKLKCIPLQYVEPRFIYAHLCTDIHSKNRPASYLFKKDDLRSDYPFMIFERKGSGFGRKFFESVYKEVVSHFNIASINKFEKRCQILSFQLFQAIKLVDPMSEHREQPAANTSGKQSTVISRPEQFLFLAHLVRSKATQYEYPPVMQYPSLLFLYGRDGLPKVLVLKERDLKQLLSEMNSGIRTKEVVEYLSCYMGNKLVENPGLYTEKYFLAPPIKQKLHDISMVTEVDLKEPLKIPLFARTASRLSIYCLLKGKNLLQGKWLTYNYKDINRDTNFSNSRSSPVFKPTQIDDKYTFSVVAYPCVHRPRRRLEDFGYEYFYYQTLEYARYALLSTIRNANKSVHCEHKPTPDLASKNLMSELSKVGMPDYISKIKGKMIKFIVVDGMAGTDLPNAQLLDAYRSLYVGMSPSGLITIKSQGVHLGKECITQVQYRDVMLFAYSESLEKDLRGDFKLERRKETFEKELLENHVLCARRYNFNTVSTPELYSSHLINVVDEFERSGPTLPQFLAKKERSKRPIQFSRRVPREPEEPGRPLAAAGAESSDQPQEHSLSQAAEAGANEERGAGGSPEAINLGGGGGNQQSSELEPLAQKMEDDGGGGSEWSADTPQCAETEVATAM
+>sp|Q8N9X3|YA026_HUMAN Putative uncharacterized protein encoded by LINC01356 OS=Homo sapiens OX=9606 GN=LINC01356 PE=5 SV=1
+MSLRGRFYYCRHFRGRKQARRVGELPEVTELVSGPDWNPNTSQPMPGSPAPAPRRLPGCQRHPHPFKCCPVPAPQSLPSTTRPHLPPTPQGQASRWLFLFLFQKLRGETDVCVPDACRWRRRSRETRGENQSVRAAVRSPDQAFHALVSGSRGREGRLRPQCAGSAGGA
+>DECOY_sp|Q8N9X3|YA026_HUMAN Putative uncharacterized protein encoded by LINC01356 OS=Homo sapiens OX=9606 GN=LINC01356 PE=5 SV=1
+AGGASGACQPRLRGERGRSGSVLAHFAQDPSRVAARVSQNEGRTERSRRRWRCADPVCVDTEGRLKQFLFLFLWRSAQGQPTPPLHPRTTSPLSQPAPVPCCKFPHPHRQCGPLRRPAPAPSGPMPQSTNPNWDPGSVLETVEPLEGVRRAQKRGRFHRCYYFRGRLSM
+>sp|P46937|YAP1_HUMAN Transcriptional coactivator YAP1 OS=Homo sapiens OX=9606 GN=YAP1 PE=1 SV=2
+MDPGQQPPPQPAPQGQGQPPSQPPQGQGPPSGPGQPAPAATQAAPQAPPAGHQIVHVRGDSETDLEALFNAVMNPKTANVPQTVPMRLRKLPDSFFKPPEPKSHSRQASTDAGTAGALTPQHVRAHSSPASLQLGAVSPGTLTPTGVVSGPAATPTAQHLRQSSFEIPDDVPLPAGWEMAKTSSGQRYFLNHIDQTTTWQDPRKAMLSQMNVTAPTSPPVQQNMMNSASGPLPDGWEQAMTQDGEIYYINHKNKTTSWLDPRLDPRFAMNQRISQSAPVKQPPPLAPQSPQGGVMGGSNSNQQQQMRLQQLQMEKERLRLKQQELLRQAMRNINPSTANSPKCQELALRSQLPTLEQDGGTQNPVSSPGMSQELRTMTTNSSDPFLNSGTYHSRDESTDSGLSMSSYSVPRTPDDFLNSVDEMDTGDTINQSTLPSQQNRFPDYLEAIPGTNVDLGTLEGDGMNIEGEELMPSLQEALSSDILNDMESVLAATKLDKESFLTWL
+>DECOY_sp|P46937|YAP1_HUMAN Transcriptional coactivator YAP1 OS=Homo sapiens OX=9606 GN=YAP1 PE=1 SV=2
+LWTLFSEKDLKTAALVSEMDNLIDSSLAEQLSPMLEEGEINMGDGELTGLDVNTGPIAELYDPFRNQQSPLTSQNITDGTDMEDVSNLFDDPTRPVSYSSMSLGSDTSEDRSHYTGSNLFPDSSNTTMTRLEQSMGPSSVPNQTGGDQELTPLQSRLALEQCKPSNATSPNINRMAQRLLEQQKLRLREKEMQLQQLRMQQQQNSNSGGMVGGQPSQPALPPPQKVPASQSIRQNMAFRPDLRPDLWSTTKNKHNIYYIEGDQTMAQEWGDPLPGSASNMMNQQVPPSTPATVNMQSLMAKRPDQWTTTQDIHNLFYRQGSSTKAMEWGAPLPVDDPIEFSSQRLHQATPTAAPGSVVGTPTLTGPSVAGLQLSAPSSHARVHQPTLAGATGADTSAQRSHSKPEPPKFFSDPLKRLRMPVTQPVNATKPNMVANFLAELDTESDGRVHVIQHGAPPAQPAAQTAAPAPQGPGSPPGQGQPPQSPPQGQGQPAPQPPPQQGPDM
+>sp|Q1RN00|YC018_HUMAN Putative uncharacterized protein LOC151760 OS=Homo sapiens OX=9606 PE=2 SV=1
+MLPVQRRKLDPLLKKYRHHKKATRTKRRRKEKMEAQCSPVPPTPSTPPQSEEDEAVDKKPTLLSAQEDTPDLLHEDRLQYLQEEGSGVMHQECQIQSCELSVAQKPRPSSPAVTSLASPPLCFGSFLSCVCQTFSRSRKQKPPRRKGNNQAEAGGDAEVLRPGPAKPELSLSKTCSHLKLIELSFVFSFIVLSVCHCSS
+>DECOY_sp|Q1RN00|YC018_HUMAN Putative uncharacterized protein LOC151760 OS=Homo sapiens OX=9606 PE=2 SV=1
+SSCHCVSLVIFSFVFSLEILKLHSCTKSLSLEPKAPGPRLVEADGGAEAQNNGKRRPPKQKRSRSFTQCVCSLFSGFCLPPSALSTVAPSSPRPKQAVSLECSQIQCEQHMVGSGEEQLYQLRDEHLLDPTDEQASLLTPKKDVAEDEESQPPTSPTPPVPSCQAEMKEKRRRKTRTAKKHHRYKKLLPDLKRRQVPLM
+>sp|Q9BXU3|TX13A_HUMAN Testis-expressed protein 13A OS=Homo sapiens OX=9606 GN=TEX13A PE=1 SV=1
+MALRPEDPSSGFRHSNVVAFINEKMARHTKGPEFYLENISLSWEKVEDKLRAILEDSEVPSEVKEACTWGSLALGVRFAHRQAQLQRHRVRWLHGFAKLHKSAAQALASDLKKLREQQETERKEAASRLRMAQTSLVEVQKERDKELVSPHEWEQGAGWPGLATAGGVCTEGAAEEEEEAAVAAAGAAGGKGAEEEQRDVEVVAAPVEAMAPPVEAGAAPMETQFPHVEARAASMETTEKLERILLQLLGDADQEKYTYWGQKEGDLRSVETATSYFSGTTNPWSRASSEPLPVQLPASYSYSYSSPFSSFSDIPTISPPQATVTAPVPPQLPSDWEAFDTSLWSDGGPHRIDHQEHPRDRRYSEPHQQRPPVYRRPGDWDCPWCNAVNFSRRDTCFDCGKGIWLQKPH
+>DECOY_sp|Q9BXU3|TX13A_HUMAN Testis-expressed protein 13A OS=Homo sapiens OX=9606 GN=TEX13A PE=1 SV=1
+HPKQLWIGKGCDFCTDRRSFNVANCWPCDWDGPRRYVPPRQQHPESYRRDRPHEQHDIRHPGGDSWLSTDFAEWDSPLQPPVPATVTAQPPSITPIDSFSSFPSSYSYSYSAPLQVPLPESSARSWPNTTGSFYSTATEVSRLDGEKQGWYTYKEQDADGLLQLLIRELKETTEMSAARAEVHPFQTEMPAAGAEVPPAMAEVPAAVVEVDRQEEEAGKGGAAGAAAVAAEEEEEAAGETCVGGATALGPWGAGQEWEHPSVLEKDREKQVEVLSTQAMRLRSAAEKRETEQQERLKKLDSALAQAASKHLKAFGHLWRVRHRQLQAQRHAFRVGLALSGWTCAEKVESPVESDELIARLKDEVKEWSLSINELYFEPGKTHRAMKENIFAVVNSHRFGSSPDEPRLAM
+>sp|Q86XW9|TXND6_HUMAN Thioredoxin domain-containing protein 6 OS=Homo sapiens OX=9606 GN=NME9 PE=2 SV=1
+MGSRKKEIALQVNISTQELWEEMLSSKGLTVVDVYQGWCGPCKPVVSLFQKMRIEVGLDLLHFALAEADRLDVLEKYRGKCEPTFLFYAGGELVAVVRGANAPLLQKTILDQLEAEKKVLAEGRERKVIKDEALSDEDECVSHGKNNGEDEDMVSSERTCTLAIIKPDAVAHGKTDEIIMKIQEAGFEILTNEERTMTEAEVRLFYQHKAGEEAFEKLVHHMCSGPSHLLILTRTEGFEDVVTTWRTVMGPRDPNVARREQPESLRAQYGTEMPFNAVHGSRDREDADRELALLFPSLKFSDKDTEAPQGGEAEATAGPTEALCFPEDVD
+>DECOY_sp|Q86XW9|TXND6_HUMAN Thioredoxin domain-containing protein 6 OS=Homo sapiens OX=9606 GN=NME9 PE=2 SV=1
+DVDEPFCLAETPGATAEAEGGQPAETDKDSFKLSPFLLALERDADERDRSGHVANFPMETGYQARLSEPQERRAVNPDRPGMVTRWTTVVDEFGETRTLILLHSPGSCMHHVLKEFAEEGAKHQYFLRVEAETMTREENTLIEFGAEQIKMIIEDTKGHAVADPKIIALTCTRESSVMDEDEGNNKGHSVCEDEDSLAEDKIVKRERGEALVKKEAELQDLITKQLLPANAGRVVAVLEGGAYFLFTPECKGRYKELVDLRDAEALAFHLLDLGVEIRMKQFLSVVPKCPGCWGQYVDVVTLGKSSLMEEWLEQTSINVQLAIEKKRSGM
+>sp|Q9H3M7|TXNIP_HUMAN Thioredoxin-interacting protein OS=Homo sapiens OX=9606 GN=TXNIP PE=1 SV=1
+MVMFKKIKSFEVVFNDPEKVYGSGEKVAGRVIVEVCEVTRVKAVRILACGVAKVLWMQGSQQCKQTSEYLRYEDTLLLEDQPTGENEMVIMRPGNKYEYKFGFELPQGPLGTSFKGKYGCVDYWVKAFLDRPSQPTQETKKNFEVVDLVDVNTPDLMAPVSAKKEKKVSCMFIPDGRVSVSARIDRKGFCEGDEISIHADFENTCSRIVVPKAAIVARHTYLANGQTKVLTQKLSSVRGNHIISGTCASWRGKSLRVQKIRPSILGCNILRVEYSLLIYVSVPGSKKVILDLPLVIGSRSGLSSRTSSMASRTSSEMSWVDLNIPDTPEAPPCYMDVIPEDHRLESPTTPLLDDMDGSQDSPIFMYAPEFKFMPPPTYTEVDPCILNNNVQ
+>DECOY_sp|Q9H3M7|TXNIP_HUMAN Thioredoxin-interacting protein OS=Homo sapiens OX=9606 GN=TXNIP PE=1 SV=1
+QVNNNLICPDVETYTPPPMFKFEPAYMFIPSDQSGDMDDLLPTTPSELRHDEPIVDMYCPPAEPTDPINLDVWSMESSTRSAMSSTRSSLGSRSGIVLPLDLIVKKSGPVSVYILLSYEVRLINCGLISPRIKQVRLSKGRWSACTGSIIHNGRVSSLKQTLVKTQGNALYTHRAVIAAKPVVIRSCTNEFDAHISIEDGECFGKRDIRASVSVRGDPIFMCSVKKEKKASVPAMLDPTNVDVLDVVEFNKKTEQTPQSPRDLFAKVWYDVCGYKGKFSTGLPGQPLEFGFKYEYKNGPRMIVMENEGTPQDELLLTDEYRLYESTQKCQQSGQMWLVKAVGCALIRVAKVRTVECVEVIVRGAVKEGSGYVKEPDNFVVEFSKIKKFMVM
+>sp|C9JJH3|U17LA_HUMAN Ubiquitin carboxyl-terminal hydrolase 17-like protein 10 OS=Homo sapiens OX=9606 GN=USP17L10 PE=3 SV=1
+MEDDSLYLGGEWQFNHFSKLTSSRPDAAFAEIQRTSLPEKSPLSCETRVDLCDDLAPVARQLAPREKPPLSSRRPAAVGAGLQNMGNTCYVNASLQCLTYKPPLANYMLFREHSQTCHRHKGCMLCTMQAHITRALHIPGHVIQPSQALAAGFHRGKQEDAHEFLMFTVDAMRKACLPGHKQVDRHSKDTTLIHQIFGGYWRSQIKCLHCHGISDTFDPYLDIALDIQAAQSVQQALEQLVKPEELNGENAYHCGVCLQRAPASKTLTLHNSAKVLILVLKRFPDVTGNKIAKNVQYPECLDMQPYMSQQNTGPLVYVLYAVLVHAGWSCHNGHYSSYVKAQEGQWYKMDDAEVTASSITSVLSQQAYVLFYIQKSEWERHSESVSRGREPRALGVEDTDRRATQGELKRDHPCLQAPELDEHLVERATQESTLDHWKFLQEQNKTKPEFNVRRVEGTVPPDVLVIHQSKYKCRMKNHHPEQQSSLLNLSSTTPTDQESMNTGTLASLRGRTRRSKGKNKHSKRALLVCQ
+>DECOY_sp|C9JJH3|U17LA_HUMAN Ubiquitin carboxyl-terminal hydrolase 17-like protein 10 OS=Homo sapiens OX=9606 GN=USP17L10 PE=3 SV=1
+QCVLLARKSHKNKGKSRRTRGRLSALTGTNMSEQDTPTTSSLNLLSSQQEPHHNKMRCKYKSQHIVLVDPPVTGEVRRVNFEPKTKNQEQLFKWHDLTSEQTAREVLHEDLEPAQLCPHDRKLEGQTARRDTDEVGLARPERGRSVSESHREWESKQIYFLVYAQQSLVSTISSATVEADDMKYWQGEQAKVYSSYHGNHCSWGAHVLVAYLVYVLPGTNQQSMYPQMDLCEPYQVNKAIKNGTVDPFRKLVLILVKASNHLTLTKSAPARQLCVGCHYANEGNLEEPKVLQELAQQVSQAAQIDLAIDLYPDFTDSIGHCHLCKIQSRWYGGFIQHILTTDKSHRDVQKHGPLCAKRMADVTFMLFEHADEQKGRHFGAALAQSPQIVHGPIHLARTIHAQMTCLMCGKHRHCTQSHERFLMYNALPPKYTLCQLSANVYCTNGMNQLGAGVAAPRRSSLPPKERPALQRAVPALDDCLDVRTECSLPSKEPLSTRQIEAFAADPRSSTLKSFHNFQWEGGLYLSDDEM
+>sp|D6R9N7|U17LI_HUMAN Ubiquitin carboxyl-terminal hydrolase 17-like protein 18 OS=Homo sapiens OX=9606 GN=USP17L18 PE=3 SV=1
+MEDDSLYLGGEWQFNHFSKLTSSRPDAAFAEIQRTSLPEKSPLSCETRVDLCDDLAPVARQLAPREKLPLSSRRPAAVGAGLQNMGNTCYVNASLQCLTYTPPLANYMLSREHSQTCHRHKGCMLCTMQAHITRALHNPGHVIQPSQALAAGFHRGKQEDAHEFLMFTVDAMKKACLPGHKQVDHHSKDTTLIHQIFGGYWRSQIKCLHCHGISDTFDPYLDIALDIQAAQSVQQALEQLVKPEELNGENAYHCGVCLQRAPASKTLTLHTSAKVLILVLKRFSDVTGNKIAKNVQYPECLDMQPYMSQTNTGPLVYVLYAVLVHAGWSCHNGHYFSYVKAQEGQWYKMDDAEVTASSITSVLSQQAYVLFYIQKSEWERHSESVSRGREPRALGAEDTDRRAKQGELKRDHPCLQAPELDEHLVERATQESTLDHWKFLQEQNKTKPEFNVRKVEGTLPPDVLVIHQSKYKCGMKNHHPEQQSSLLNLSSTTPTHQESMNTGTLASLRGRARRSKGKNKHSKRALLVCQ
+>DECOY_sp|D6R9N7|U17LI_HUMAN Ubiquitin carboxyl-terminal hydrolase 17-like protein 18 OS=Homo sapiens OX=9606 GN=USP17L18 PE=3 SV=1
+QCVLLARKSHKNKGKSRRARGRLSALTGTNMSEQHTPTTSSLNLLSSQQEPHHNKMGCKYKSQHIVLVDPPLTGEVKRVNFEPKTKNQEQLFKWHDLTSEQTAREVLHEDLEPAQLCPHDRKLEGQKARRDTDEAGLARPERGRSVSESHREWESKQIYFLVYAQQSLVSTISSATVEADDMKYWQGEQAKVYSFYHGNHCSWGAHVLVAYLVYVLPGTNTQSMYPQMDLCEPYQVNKAIKNGTVDSFRKLVLILVKASTHLTLTKSAPARQLCVGCHYANEGNLEEPKVLQELAQQVSQAAQIDLAIDLYPDFTDSIGHCHLCKIQSRWYGGFIQHILTTDKSHHDVQKHGPLCAKKMADVTFMLFEHADEQKGRHFGAALAQSPQIVHGPNHLARTIHAQMTCLMCGKHRHCTQSHERSLMYNALPPTYTLCQLSANVYCTNGMNQLGAGVAAPRRSSLPLKERPALQRAVPALDDCLDVRTECSLPSKEPLSTRQIEAFAADPRSSTLKSFHNFQWEGGLYLSDDEM
+>sp|P22314|UBA1_HUMAN Ubiquitin-like modifier-activating enzyme 1 OS=Homo sapiens OX=9606 GN=UBA1 PE=1 SV=3
+MSSSPLSKKRRVSGPDPKPGSNCSPAQSVLSEVPSVPTNGMAKNGSEADIDEGLYSRQLYVLGHEAMKRLQTSSVLVSGLRGLGVEIAKNIILGGVKAVTLHDQGTAQWADLSSQFYLREEDIGKNRAEVSQPRLAELNSYVPVTAYTGPLVEDFLSGFQVVVLTNTPLEDQLRVGEFCHNRGIKLVVADTRGLFGQLFCDFGEEMILTDSNGEQPLSAMVSMVTKDNPGVVTCLDEARHGFESGDFVSFSEVQGMVELNGNQPMEIKVLGPYTFSICDTSNFSDYIRGGIVSQVKVPKKISFKSLVASLAEPDFVVTDFAKFSRPAQLHIGFQALHQFCAQHGRPPRPRNEEDAAELVALAQAVNARALPAVQQNNLDEDLIRKLAYVAAGDLAPINAFIGGLAAQEVMKACSGKFMPIMQWLYFDALECLPEDKEVLTEDKCLQRQNRYDGQVAVFGSDLQEKLGKQKYFLVGAGAIGCELLKNFAMIGLGCGEGGEIIVTDMDTIEKSNLNRQFLFRPWDVTKLKSDTAAAAVRQMNPHIRVTSHQNRVGPDTERIYDDDFFQNLDGVANALDNVDARMYMDRRCVYYRKPLLESGTLGTKGNVQVVIPFLTESYSSSQDPPEKSIPICTLKNFPNAIEHTLQWARDEFEGLFKQPAENVNQYLTDPKFVERTLRLAGTQPLEVLEAVQRSLVLQRPQTWADCVTWACHHWHTQYSNNIRQLLHNFPPDQLTSSGAPFWSGPKRCPHPLTFDVNNPLHLDYVMAAANLFAQTYGLTGSQDRAAVATFLQSVQVPEFTPKSGVKIHVSDQELQSANASVDDSRLEELKATLPSPDKLPGFKMYPIDFEKDDDSNFHMDFIVAASNLRAENYDIPSADRHKSKLIAGKIIPAIATTTAAVVGLVCLELYKVVQGHRQLDSYKNGFLNLALPFFGFSEPLAAPRHQYYNQEWTLWDRFEVQGLQPNGEEMTLKQFLDYFKTEHKLEITMLSQGVSMLYSFFMPAAKLKERLDQPMTEIVSRVSKRKLGRHVRALVLELCCNDESGEDVEVPYVRYTIR
+>DECOY_sp|P22314|UBA1_HUMAN Ubiquitin-like modifier-activating enzyme 1 OS=Homo sapiens OX=9606 GN=UBA1 PE=1 SV=3
+RITYRVYPVEVDEGSEDNCCLELVLARVHRGLKRKSVRSVIETMPQDLREKLKAAPMFFSYLMSVGQSLMTIELKHETKFYDLFQKLTMEEGNPQLGQVEFRDWLTWEQNYYQHRPAALPESFGFFPLALNLFGNKYSDLQRHGQVVKYLELCVLGVVAATTTAIAPIIKGAILKSKHRDASPIDYNEARLNSAAVIFDMHFNSDDDKEFDIPYMKFGPLKDPSPLTAKLEELRSDDVSANASQLEQDSVHIKVGSKPTFEPVQVSQLFTAVAARDQSGTLGYTQAFLNAAAMVYDLHLPNNVDFTLPHPCRKPGSWFPAGSSTLQDPPFNHLLQRINNSYQTHWHHCAWTVCDAWTQPRQLVLSRQVAELVELPQTGALRLTREVFKPDTLYQNVNEAPQKFLGEFEDRAWQLTHEIANPFNKLTCIPISKEPPDQSSSYSETLFPIVVQVNGKTGLTGSELLPKRYYVCRRDMYMRADVNDLANAVGDLNQFFDDDYIRETDPGVRNQHSTVRIHPNMQRVAAAATDSKLKTVDWPRFLFQRNLNSKEITDMDTVIIEGGEGCGLGIMAFNKLLECGIAGAGVLFYKQKGLKEQLDSGFVAVQGDYRNQRQLCKDETLVEKDEPLCELADFYLWQMIPMFKGSCAKMVEQAALGGIFANIPALDGAAVYALKRILDEDLNNQQVAPLARANVAQALAVLEAADEENRPRPPRGHQACFQHLAQFGIHLQAPRSFKAFDTVVFDPEALSAVLSKFSIKKPVKVQSVIGGRIYDSFNSTDCISFTYPGLVKIEMPQNGNLEVMGQVESFSVFDGSEFGHRAEDLCTVVGPNDKTVMSVMASLPQEGNSDTLIMEEGFDCFLQGFLGRTDAVVLKIGRNHCFEGVRLQDELPTNTLVVVQFGSLFDEVLPGTYATVPVYSNLEALRPQSVEARNKGIDEERLYFQSSLDAWQATGQDHLTVAKVGGLIINKAIEVGLGRLGSVLVSSTQLRKMAEHGLVYLQRSYLGEDIDAESGNKAMGNTPVSPVESLVSQAPSCNSGPKPDPGSVRRKKSLPSSSM
+>sp|Q8TBC4|UBA3_HUMAN NEDD8-activating enzyme E1 catalytic subunit OS=Homo sapiens OX=9606 GN=UBA3 PE=1 SV=2
+MADGEEPEKKRRRIEELLAEKMAVDGGCGDTGDWEGRWNHVKKFLERSGPFTHPDFEPSTESLQFLLDTCKVLVIGAGGLGCELLKNLALSGFRQIHVIDMDTIDVSNLNRQFLFRPKDIGRPKAEVAAEFLNDRVPNCNVVPHFNKIQDFNDTFYRQFHIIVCGLDSIIARRWINGMLISLLNYEDGVLDPSSIVPLIDGGTEGFKGNARVILPGMTACIECTLELYPPQVNFPMCTIASMPRLPEHCIEYVRMLQWPKEQPFGEGVPLDGDDPEHIQWIFQKSLERASQYNIRGVTYRLTQGVVKRIIPAVASTNAVIAAVCATEVFKIATSAYIPLNNYLVFNDVDGLYTYTFEAERKENCPACSQLPQNIQFSPSAKLQEVLDYLTNSASLQMKSPAITATLEGKNRTLYLQSVTSIEERTRPNLSKTLKELGLVDGQELAVADVTTPQTVLFKLHFTS
+>DECOY_sp|Q8TBC4|UBA3_HUMAN NEDD8-activating enzyme E1 catalytic subunit OS=Homo sapiens OX=9606 GN=UBA3 PE=1 SV=2
+STFHLKFLVTQPTTVDAVALEQGDVLGLEKLTKSLNPRTREEISTVSQLYLTRNKGELTATIAPSKMQLSASNTLYDLVEQLKASPSFQINQPLQSCAPCNEKREAEFTYTYLGDVDNFVLYNNLPIYASTAIKFVETACVAAIVANTSAVAPIIRKVVGQTLRYTVGRINYQSARELSKQFIWQIHEPDDGDLPVGEGFPQEKPWQLMRVYEICHEPLRPMSAITCMPFNVQPPYLELTCEICATMGPLIVRANGKFGETGGDILPVISSPDLVGDEYNLLSILMGNIWRRAIISDLGCVIIHFQRYFTDNFDQIKNFHPVVNCNPVRDNLFEAAVEAKPRGIDKPRFLFQRNLNSVDITDMDIVHIQRFGSLALNKLLECGLGGAGIVLVKCTDLLFQLSETSPEFDPHTFPGSRELFKKVHNWRGEWDGTDGCGGDVAMKEALLEEIRRRKKEPEEGDAM
+>sp|Q9GZZ9|UBA5_HUMAN Ubiquitin-like modifier-activating enzyme 5 OS=Homo sapiens OX=9606 GN=UBA5 PE=1 SV=1
+MAESVERLQQRVQELERELAQERSLQVPRSGDGGGGRVRIEKMSSEVVDSNPYSRLMALKRMGIVSDYEKIRTFAVAIVGVGGVGSVTAEMLTRCGIGKLLLFDYDKVELANMNRLFFQPHQAGLSKVQAAEHTLRNINPDVLFEVHNYNITTVENFQHFMDRISNGGLEEGKPVDLVLSCVDNFEARMTINTACNELGQTWMESGVSENAVSGHIQLIIPGESACFACAPPLVVAANIDEKTLKREGVCAASLPTTMGVVAGILVQNVLKFLLNFGTVSFYLGYNAMQDFFPTMSMKPNPQCDDRNCRKQQEEYKKKVAALPKQEVIQEEEEIIHEDNEWGIELVSEVSEEELKNFSGPVPDLPEGITVAYTIPKKQEDSVTELTVEDSGESLEDLMAKMKNM
+>DECOY_sp|Q9GZZ9|UBA5_HUMAN Ubiquitin-like modifier-activating enzyme 5 OS=Homo sapiens OX=9606 GN=UBA5 PE=1 SV=1
+MNKMKAMLDELSEGSDEVTLETVSDEQKKPITYAVTIGEPLDPVPGSFNKLEEESVESVLEIGWENDEHIIEEEEQIVEQKPLAAVKKKYEEQQKRCNRDDCQPNPKMSMTPFFDQMANYGLYFSVTGFNLLFKLVNQVLIGAVVGMTTPLSAACVGERKLTKEDINAAVVLPPACAFCASEGPIILQIHGSVANESVGSEMWTQGLENCATNITMRAEFNDVCSLVLDVPKGEELGGNSIRDMFHQFNEVTTINYNHVEFLVDPNINRLTHEAAQVKSLGAQHPQFFLRNMNALEVKDYDFLLLKGIGCRTLMEATVSGVGGVGVIAVAFTRIKEYDSVIGMRKLAMLRSYPNSDVVESSMKEIRVRGGGGDGSRPVQLSREQALERELEQVRQQLREVSEAM
+>sp|Q9BSL1|UBAC1_HUMAN Ubiquitin-associated domain-containing protein 1 OS=Homo sapiens OX=9606 GN=UBAC1 PE=1 SV=1
+MFVQEEKIFAGKVLRLHICASDGAEWLEEATEDTSVEKLKERCLKHCAHGSLEDPKSITHHKLIHAASERVLSDARTILEENIQDQDVLLLIKKRAPSPLPKMADVSAEEKKKQDQKAPDKEAILRATANLPSYNMDRAAVQTNMRDFQTELRKILVSLIEVAQKLLALNPDAVELFKKANAMLDEDEDERVDEAALRQLTEMGFPENRATKALQLNHMSVPQAMEWLIEHAEDPTIDTPLPGQAPPEAEGATAAASEAAAGASATDEEARDELTEIFKKIRRKREFRADARAVISLMEMGFDEKEVIDALRVNNNQQNAACEWLLGDRKPSPEELDKGIDPDSPLFQAILDNPVVQLGLTNPKTLLAFEDMLENPLNSTQWMNDPETGPVMLQISRIFQTLNRT
+>DECOY_sp|Q9BSL1|UBAC1_HUMAN Ubiquitin-associated domain-containing protein 1 OS=Homo sapiens OX=9606 GN=UBAC1 PE=1 SV=1
+TRNLTQFIRSIQLMVPGTEPDNMWQTSNLPNELMDEFALLTKPNTLGLQVVPNDLIAQFLPSDPDIGKDLEEPSPKRDGLLWECAANQQNNNVRLADIVEKEDFGMEMLSIVARADARFERKRRIKKFIETLEDRAEEDTASAGAAAESAAATAGEAEPPAQGPLPTDITPDEAHEILWEMAQPVSMHNLQLAKTARNEPFGMETLQRLAAEDVREDEDEDLMANAKKFLEVADPNLALLKQAVEILSVLIKRLETQFDRMNTQVAARDMNYSPLNATARLIAEKDPAKQDQKKKEEASVDAMKPLPSPARKKILLLVDQDQINEELITRADSLVRESAAHILKHHTISKPDELSGHACHKLCREKLKEVSTDETAEELWEAGDSACIHLRLVKGAFIKEEQVFM
+>sp|P63146|UBE2B_HUMAN Ubiquitin-conjugating enzyme E2 B OS=Homo sapiens OX=9606 GN=UBE2B PE=1 SV=1
+MSTPARRRLMRDFKRLQEDPPVGVSGAPSENNIMQWNAVIFGPEGTPFEDGTFKLVIEFSEEYPNKPPTVRFLSKMFHPNVYADGSICLDILQNRWSPTYDVSSILTSIQSLLDEPNPNSPANSQAAQLYQENKREYEKRVSAIVEQSWNDS
+>DECOY_sp|P63146|UBE2B_HUMAN Ubiquitin-conjugating enzyme E2 B OS=Homo sapiens OX=9606 GN=UBE2B PE=1 SV=1
+SDNWSQEVIASVRKEYERKNEQYLQAAQSNAPSNPNPEDLLSQISTLISSVDYTPSWRNQLIDLCISGDAYVNPHFMKSLFRVTPPKNPYEESFEIVLKFTGDEFPTGEPGFIVANWQMINNESPAGSVGVPPDEQLRKFDRMLRRRAPTSM
+>sp|O00762|UBE2C_HUMAN Ubiquitin-conjugating enzyme E2 C OS=Homo sapiens OX=9606 GN=UBE2C PE=1 SV=1
+MASQNRDPAATSVAAARKGAEPSGGAARGPVGKRLQQELMTLMMSGDKGISAFPESDNLFKWVGTIHGAAGTVYEDLRYKLSLEFPSGYPYNAPTVKFLTPCYHPNVDTQGNICLDILKEKWSALYDVRTILLSIQSLLGEPNIDSPLNTHAAELWKNPTAFKKYLQETYSKQVTSQEP
+>DECOY_sp|O00762|UBE2C_HUMAN Ubiquitin-conjugating enzyme E2 C OS=Homo sapiens OX=9606 GN=UBE2C PE=1 SV=1
+PEQSTVQKSYTEQLYKKFATPNKWLEAAHTNLPSDINPEGLLSQISLLITRVDYLASWKEKLIDLCINGQTDVNPHYCPTLFKVTPANYPYGSPFELSLKYRLDEYVTGAAGHITGVWKFLNDSEPFASIGKDGSMMLTMLEQQLRKGVPGRAAGGSPEAGKRAAAVSTAAPDRNQSAM
+>sp|O14562|UBFD1_HUMAN Ubiquitin domain-containing protein UBFD1 OS=Homo sapiens OX=9606 GN=UBFD1 PE=1 SV=2
+MAAAGAPDGMEEPGMDTEAETVATEAPARPVNCLEAEAAAGAAAEDSGAARGSLQPAPAQPPGDPAAQASVSNGEDAGGGAGRELVDLKIIWNKTKHDVKFPLDSTGSELKQKIHSITGLPPAMQKVMYKGLVPEDKTLREIKVTSGAKIMVVGSTINDVLAVNTPKDAAQQDAKAEENKKEPLCRQKQHRKVLDKGKPEDVMPSVKGAQERLPTVPLSGMYNKSGGKVRLTFKLEQDQLWIGTKERTEKLPMGSIKNVVSEPIEGHEDYHMMAFQLGPTEASYYWVYWVPTQYVDAIKDTVLGKWQYF
+>DECOY_sp|O14562|UBFD1_HUMAN Ubiquitin domain-containing protein UBFD1 OS=Homo sapiens OX=9606 GN=UBFD1 PE=1 SV=2
+FYQWKGLVTDKIADVYQTPVWYVWYYSAETPGLQFAMMHYDEHGEIPESVVNKISGMPLKETREKTGIWLQDQELKFTLRVKGGSKNYMGSLPVTPLREQAGKVSPMVDEPKGKDLVKRHQKQRCLPEKKNEEAKADQQAADKPTNVALVDNITSGVVMIKAGSTVKIERLTKDEPVLGKYMVKQMAPPLGTISHIKQKLESGTSDLPFKVDHKTKNWIIKLDVLERGAGGGADEGNSVSAQAAPDGPPQAPAPQLSGRAAGSDEAAAGAAAEAELCNVPRAPAETAVTEAETDMGPEEMGDPAGAAAM
+>sp|Q9NZI7|UBIP1_HUMAN Upstream-binding protein 1 OS=Homo sapiens OX=9606 GN=UBP1 PE=1 SV=1
+MAWVLKMDEVIESGLVHDFDASLSGIGQELGAGAYSMSDVLALPIFKQEDSSLPLDGETEHPPFQYVMCAATSPAVKLHDETLTYLNQGQSYEIRMLDNRKMGDMPEINGKLVKSIIRVVFHDRRLQYTEHQQLEGWKWNRPGDRLLDLDIPMSVGIIDTRTNPSQLNAVEFLWDPAKRTSAFIQVHCISTEFTPRKHGGEKGVPFRIQVDTFKQNENGEYTDHLHSASCQIKVFKPKGADRKQKTDREKMEKRTAHEKEKYQPSYDTTILTEMRLEPIIEDAVEHEQKKSSKRTLPADYGDSLAKRGSCSPWPDAPTAYVNNSPSPAPTFTSPQQSTCSVPDSNSSSPNHQGDGASQTSGEQIQPSATIQETQQWLLKNRFSSYTRLFSNFSGADLLKLTKEDLVQICGAADGIRLYNSLKSRSVRPRLTIYVCREQPSSTVLQGQQQAASSASENGSGAPYVYHAIYLEEMIASEVARKLALVFNIPLHQINQVYRQGPTGIHILVSDQMVQNFQDESCFLFSTVKAESSDGIHIILK
+>DECOY_sp|Q9NZI7|UBIP1_HUMAN Upstream-binding protein 1 OS=Homo sapiens OX=9606 GN=UBP1 PE=1 SV=1
+KLIIHIGDSSEAKVTSFLFCSEDQFNQVMQDSVLIHIGTPGQRYVQNIQHLPINFVLALKRAVESAIMEELYIAHYVYPAGSGNESASSAAQQQGQLVTSSPQERCVYITLRPRVSRSKLSNYLRIGDAAGCIQVLDEKTLKLLDAGSFNSFLRTYSSFRNKLLWQQTEQITASPQIQEGSTQSAGDGQHNPSSSNSDPVSCTSQQPSTFTPAPSPSNNVYATPADPWPSCSGRKALSDGYDAPLTRKSSKKQEHEVADEIIPELRMETLITTDYSPQYKEKEHATRKEMKERDTKQKRDAGKPKFVKIQCSASHLHDTYEGNENQKFTDVQIRFPVGKEGGHKRPTFETSICHVQIFASTRKAPDWLFEVANLQSPNTRTDIIGVSMPIDLDLLRDGPRNWKWGELQQHETYQLRRDHFVVRIISKVLKGNIEPMDGMKRNDLMRIEYSQGQNLYTLTEDHLKVAPSTAACMVYQFPPHETEGDLPLSSDEQKFIPLALVDSMSYAGAGLEQGIGSLSADFDHVLGSEIVEDMKLVWAM
+>sp|Q9NPG3|UBN1_HUMAN Ubinuclein-1 OS=Homo sapiens OX=9606 GN=UBN1 PE=1 SV=2
+MSEPHRVQFTSLPGSLNPAFLKKSRKEEAGAGEQHQDCEPAAAAVRITLTLFEPDHKRCPEFFYPELVKNIRGKVKGLQPGDKKKDLSDPFNDEEKERHKVEALARKFEEKYGGKKRRKDRIQDLIDMGYGYDESDSFIDNSEAYDELVPASLTTKYGGFYINSGTLQFRQASESEDDFIKEKKKKSPKKRKLKEGGEKIKKKKKDDTYDKEKKSKKSKFSKAGFTALNASKEKKKKKYSGALSVKEMLKKFQKEKEAQKKREEEHKPVAVPSAEAQGLRELEGASDPLLSLFGSTSDNDLLQAATAMDSLTDLDLEHLLSESPEGSPFRDMDDGSDSLGVGLDQEFRQPSSLPEGLPAPLEKRVKELAQAARAAEGESRQKFFTQDINGILLDIEAQTRELSSQVRSGVYAYLASFLPCSKDALLKRARKLHLYEQGGRLKEPLQKLKEAIGRAMPEQMAKYQDECQAHTQAKVAKMLEEEKDKEQRDRICSDEEEDEEKGGRRIMGPRKKFQWNDEIRELLCQVVKIKLESQDLERNNKAQAWEDCVKGFLDAEVKPLWPKGWMQARTLFKESRRGHGHLTSILAKKKVMAPSKIKVKESSTKPDKKVSVPSGQIGGPIALPSDHQTGGLSIGASSRELPSQASGGLANPPPVNLEDSLDEDLIRNPASSVEAVSKELAALNSRAAGNSEFTLPAPSKAPAEKVGGVLCTEEKRNFAKPSPSAPPPASSLQSPLNFLAEQALALGQSSQEKKPESSGYKELSCQAPLNKGLPEVHQSKAKHHSLPRTSHGPQVAVPVPGPQVKVFHAGTQQQKNFTPPSPFANKLQGPKASPTQCHRSLLQLVKTAAKGQGFHPSAPATSGGLSASSSSSHKTPASSSSALSHPAKPHSVSSAGSSYKNNPFASSISKHGVSSGSSSSGGTPVQSSVSGSLVPGIQPPSVGQATSRPVPSSAGKKMPVSQKLTLVAPPGGPNGDSSGGTQGVAKLLTSPSLKPSAVSSVTSSTSLSKGASGTVLLAGSSLMASPYKSSSPKLSGAMSSNSLGIITPVPIPVHVLSFSADSSAKAGVSKDAIVTGPAPGSFHHGLGHSLLAGLHSSPPHAAPLPHAAVPTHIPQSLPGASQLHGKGPAVPRKL
+>DECOY_sp|Q9NPG3|UBN1_HUMAN Ubinuclein-1 OS=Homo sapiens OX=9606 GN=UBN1 PE=1 SV=2
+LKRPVAPGKGHLQSAGPLSQPIHTPVAAHPLPAAHPPSSHLGALLSHGLGHHFSGPAPGTVIADKSVGAKASSDASFSLVHVPIPVPTIIGLSNSSMAGSLKPSSSKYPSAMLSSGALLVTGSAGKSLSTSSTVSSVASPKLSPSTLLKAVGQTGGSSDGNPGGPPAVLTLKQSVPMKKGASSPVPRSTAQGVSPPQIGPVLSGSVSSQVPTGGSSSSGSSVGHKSISSAFPNNKYSSGASSVSHPKAPHSLASSSSAPTKHSSSSSASLGGSTAPASPHFGQGKAATKVLQLLSRHCQTPSAKPGQLKNAFPSPPTFNKQQQTGAHFVKVQPGPVPVAVQPGHSTRPLSHHKAKSQHVEPLGKNLPAQCSLEKYGSSEPKKEQSSQGLALAQEALFNLPSQLSSAPPPASPSPKAFNRKEETCLVGGVKEAPAKSPAPLTFESNGAARSNLAALEKSVAEVSSAPNRILDEDLSDELNVPPPNALGGSAQSPLERSSAGISLGGTQHDSPLAIPGGIQGSPVSVKKDPKTSSEKVKIKSPAMVKKKALISTLHGHGRRSEKFLTRAQMWGKPWLPKVEADLFGKVCDEWAQAKNNRELDQSELKIKVVQCLLERIEDNWQFKKRPGMIRRGGKEEDEEEDSCIRDRQEKDKEEELMKAVKAQTHAQCEDQYKAMQEPMARGIAEKLKQLPEKLRGGQEYLHLKRARKLLADKSCPLFSALYAYVGSRVQSSLERTQAEIDLLIGNIDQTFFKQRSEGEAARAAQALEKVRKELPAPLGEPLSSPQRFEQDLGVGLSDSGDDMDRFPSGEPSESLLHELDLDTLSDMATAAQLLDNDSTSGFLSLLPDSAGELERLGQAEASPVAVPKHEEERKKQAEKEKQFKKLMEKVSLAGSYKKKKKEKSANLATFGAKSFKSKKSKKEKDYTDDKKKKKIKEGGEKLKRKKPSKKKKEKIFDDESESAQRFQLTGSNIYFGGYKTTLSAPVLEDYAESNDIFSDSEDYGYGMDILDQIRDKRRKKGGYKEEFKRALAEVKHREKEEDNFPDSLDKKKDGPQLGKVKGRINKVLEPYFFEPCRKHDPEFLTLTIRVAAAAPECDQHQEGAGAEEKRSKKLFAPNLSGPLSTFQVRHPESM
+>sp|Q70CQ3|UBP30_HUMAN Ubiquitin carboxyl-terminal hydrolase 30 OS=Homo sapiens OX=9606 GN=USP30 PE=1 SV=1
+MLSSRAEAAMTAADRAIQRFLRTGAAVRYKVMKNWGVIGGIAAALAAGIYVIWGPITERKKRRKGLVPGLVNLGNTCFMNSLLQGLSACPAFIRWLEEFTSQYSRDQKEPPSHQYLSLTLLHLLKALSCQEVTDDEVLDASCLLDVLRMYRWQISSFEEQDAHELFHVITSSLEDERDRQPRVTHLFDVHSLEQQSEITPKQITCRTRGSPHPTSNHWKSQHPFHGRLTSNMVCKHCEHQSPVRFDTFDSLSLSIPAATWGHPLTLDHCLHHFISSESVRDVVCDNCTKIEAKGTLNGEKVEHQRTTFVKQLKLGKLPQCLCIHLQRLSWSSHGTPLKRHEHVQFNEFLMMDIYKYHLLGHKPSQHNPKLNKNPGPTLELQDGPGAPTPVLNQPGAPKTQIFMNGACSPSLLPTLSAPMPFPLPVVPDYSSSTYLFRLMAVVVHHGDMHSGHFVTYRRSPPSARNPLSTSNQWLWVSDDTVRKASLQEVLSSSAYLLFYERVLSRMQHQSQECKSEE
+>DECOY_sp|Q70CQ3|UBP30_HUMAN Ubiquitin carboxyl-terminal hydrolase 30 OS=Homo sapiens OX=9606 GN=USP30 PE=1 SV=1
+EESKCEQSQHQMRSLVREYFLLYASSSLVEQLSAKRVTDDSVWLWQNSTSLPNRASPPSRRYTVFHGSHMDGHHVVVAMLRFLYTSSSYDPVVPLPFPMPASLTPLLSPSCAGNMFIQTKPAGPQNLVPTPAGPGDQLELTPGPNKNLKPNHQSPKHGLLHYKYIDMMLFENFQVHEHRKLPTGHSSWSLRQLHICLCQPLKGLKLQKVFTTRQHEVKEGNLTGKAEIKTCNDCVVDRVSESSIFHHLCHDLTLPHGWTAAPISLSLSDFTDFRVPSQHECHKCVMNSTLRGHFPHQSKWHNSTPHPSGRTRCTIQKPTIESQQELSHVDFLHTVRPQRDREDELSSTIVHFLEHADQEEFSSIQWRYMRLVDLLCSADLVEDDTVEQCSLAKLLHLLTLSLYQHSPPEKQDRSYQSTFEELWRIFAPCASLGQLLSNMFCTNGLNVLGPVLGKRRKKRETIPGWIVYIGAALAAAIGGIVGWNKMVKYRVAAGTRLFRQIARDAATMAAEARSSLM
+>sp|Q13107|UBP4_HUMAN Ubiquitin carboxyl-terminal hydrolase 4 OS=Homo sapiens OX=9606 GN=USP4 PE=1 SV=3
+MAEGGGCRERPDAETQKSELGPLMRTTLQRGAQWYLIDSRWFKQWKKYVGFDSWDMYNVGEHNLFPGPIDNSGLFSDPESQTLKEHLIDELDYVLVPTEAWNKLLNWYGCVEGQQPIVRKVVEHGLFVKHCKVEVYLLELKLCENSDPTNVLSCHFSKADTIATIEKEMRKLFNIPAERETRLWNKYMSNTYEQLSKLDNTVQDAGLYQGQVLVIEPQNEDGTWPRQTLQSKSSTAPSRNFTTSPKSSASPYSSVSASLIANGDSTSTCGMHSSGVSRGGSGFSASYNCQEPPSSHIQPGLCGLGNLGNTCFMNSALQCLSNTAPLTDYFLKDEYEAEINRDNPLGMKGEIAEAYAELIKQMWSGRDAHVAPRMFKTQVGRFAPQFSGYQQQDSQELLAFLLDGLHEDLNRVKKKPYLELKDANGRPDAVVAKEAWENHRLRNDSVIVDTFHGLFKSTLVCPECAKVSVTFDPFCYLTLPLPLKKDRVMEVFLVPADPHCRPTQYRVTVPLMGAVSDLCEALSRLSGIAAENMVVADVYNHRFHKIFQMDEGLNHIMPRDDIFVYEVCSTSVDGSECVTLPVYFRERKSRPSSTSSASALYGQPLLLSVPKHKLTLESLYQAVCDRISRYVKQPLPDEFGSSPLEPGACNGSRNSCEGEDEEEMEHQEEGKEQLSETEGSGEDEPGNDPSETTQKKIKGQPCPKRLFTFSLVNSYGTADINSLAADGKLLKLNSRSTLAMDWDSETRRLYYDEQESEAYEKHVSMLQPQKKKKTTVALRDCIELFTTMETLGEHDPWYCPNCKKHQQATKKFDLWSLPKILVVHLKRFSYNRYWRDKLDTVVEFPIRGLNMSEFVCNLSARPYVYDLIAVSNHYGAMGVGHYTAYAKNKLNGKWYYFDDSNVSLASEDQIVTKAAYVLFYQRRDDEFYKTPSLSSSGSSDGGTRPSSSQQGFGDDEACSMDTN
+>DECOY_sp|Q13107|UBP4_HUMAN Ubiquitin carboxyl-terminal hydrolase 4 OS=Homo sapiens OX=9606 GN=USP4 PE=1 SV=3
+NTDMSCAEDDGFGQQSSSPRTGGDSSGSSSLSPTKYFEDDRRQYFLVYAAKTVIQDESALSVNSDDFYYWKGNLKNKAYATYHGVGMAGYHNSVAILDYVYPRASLNCVFESMNLGRIPFEVVTDLKDRWYRNYSFRKLHVVLIKPLSWLDFKKTAQQHKKCNPCYWPDHEGLTEMTTFLEICDRLAVTTKKKKQPQLMSVHKEYAESEQEDYYLRRTESDWDMALTSRSNLKLLKGDAALSNIDATGYSNVLSFTFLRKPCPQGKIKKQTTESPDNGPEDEGSGETESLQEKGEEQHEMEEEDEGECSNRSGNCAGPELPSSGFEDPLPQKVYRSIRDCVAQYLSELTLKHKPVSLLLPQGYLASASSTSSPRSKRERFYVPLTVCESGDVSTSCVEYVFIDDRPMIHNLGEDMQFIKHFRHNYVDAVVMNEAAIGSLRSLAECLDSVAGMLPVTVRYQTPRCHPDAPVLFVEMVRDKKLPLPLTLYCFPDFTVSVKACEPCVLTSKFLGHFTDVIVSDNRLRHNEWAEKAVVADPRGNADKLELYPKKKVRNLDEHLGDLLFALLEQSDQQQYGSFQPAFRGVQTKFMRPAVHADRGSWMQKILEAYAEAIEGKMGLPNDRNIEAEYEDKLFYDTLPATNSLCQLASNMFCTNGLNGLGCLGPQIHSSPPEQCNYSASFGSGGRSVGSSHMGCTSTSDGNAILSASVSSYPSASSKPSTTFNRSPATSSKSQLTQRPWTGDENQPEIVLVQGQYLGADQVTNDLKSLQEYTNSMYKNWLRTEREAPINFLKRMEKEITAITDAKSFHCSLVNTPDSNECLKLELLYVEVKCHKVFLGHEVVKRVIPQQGEVCGYWNLLKNWAETPVLVYDLEDILHEKLTQSEPDSFLGSNDIPGPFLNHEGVNYMDWSDFGVYKKWQKFWRSDILYWQAGRQLTTRMLPGLESKQTEADPRERCGGGEAM
+>sp|P40818|UBP8_HUMAN Ubiquitin carboxyl-terminal hydrolase 8 OS=Homo sapiens OX=9606 GN=USP8 PE=1 SV=1
+MPAVASVPKELYLSSSLKDLNKKTEVKPEKISTKSYVHSALKIFKTAEECRLDRDEERAYVLYMKYVTVYNLIKKRPDFKQQQDYFHSILGPGNIKKAVEEAERLSESLKLRYEEAEVRKKLEEKDRQEEAQRLQQKRQETGREDGGTLAKGSLENVLDSKDKTQKSNGEKNEKCETKEKGAITAKELYTMMTDKNISLIIMDARRMQDYQDSCILHSLSVPEEAISPGVTASWIEAHLPDDSKDTWKKRGNVEYVVLLDWFSSAKDLQIGTTLRSLKDALFKWESKTVLRNEPLVLEGGYENWLLCYPQYTTNAKVTPPPRRQNEEVSISLDFTYPSLEESIPSKPAAQTPPASIEVDENIELISGQNERMGPLNISTPVEPVAASKSDVSPIIQPVPSIKNVPQIDRTKKPAVKLPEEHRIKSESTNHEQQSPQSGKVIPDRSTKPVVFSPTLMLTDEEKARIHAETALLMEKNKQEKELRERQQEEQKEKLRKEEQEQKAKKKQEAEENEITEKQQKAKEEMEKKESEQAKKEDKETSAKRGKEITGVKRQSKSEHETSDAKKSVEDRGKRCPTPEIQKKSTGDVPHTSVTGDSGSGKPFKIKGQPESGILRTGTFREDTDDTERNKAQREPLTRARSEEMGRIVPGLPSGWAKFLDPITGTFRYYHSPTNTVHMYPPEMAPSSAPPSTPPTHKAKPQIPAERDREPSKLKRSYSSPDITQAIQEEEKRKPTVTPTVNRENKPTCYPKAEISRLSASQIRNLNPVFGGSGPALTGLRNLGNTCYMNSILQCLCNAPHLADYFNRNCYQDDINRSNLLGHKGEVAEEFGIIMKALWTGQYRYISPKDFKITIGKINDQFAGYSQQDSQELLLFLMDGLHEDLNKADNRKRYKEENNDHLDDFKAAEHAWQKHKQLNESIIVALFQGQFKSTVQCLTCHKKSRTFEAFMYLSLPLASTSKCTLQDCLRLFSKEEKLTDNNRFYCSHCRARRDSLKKIEIWKLPPVLLVHLKRFSYDGRWKQKLQTSVDFPLENLDLSQYVIGPKNNLKKYNLFSVSNHYGGLDGGHYTAYCKNAARQRWFKFDDHEVSDISVSSVKSSAAYILFYTSLGPRVTDVAT
+>DECOY_sp|P40818|UBP8_HUMAN Ubiquitin carboxyl-terminal hydrolase 8 OS=Homo sapiens OX=9606 GN=USP8 PE=1 SV=1
+TAVDTVRPGLSTYFLIYAASSKVSSVSIDSVEHDDFKFWRQRAANKCYATYHGGDLGGYHNSVSFLNYKKLNNKPGIVYQSLDLNELPFDVSTQLKQKWRGDYSFRKLHVLLVPPLKWIEIKKLSDRRARCHSCYFRNNDTLKEEKSFLRLCDQLTCKSTSALPLSLYMFAEFTRSKKHCTLCQVTSKFQGQFLAVIISENLQKHKQWAHEAAKFDDLHDNNEEKYRKRNDAKNLDEHLGDMLFLLLEQSDQQSYGAFQDNIKGITIKFDKPSIYRYQGTWLAKMIIGFEEAVEGKHGLLNSRNIDDQYCNRNFYDALHPANCLCQLISNMYCTNGLNRLGTLAPGSGGFVPNLNRIQSASLRSIEAKPYCTPKNERNVTPTVTPKRKEEEQIAQTIDPSSYSRKLKSPERDREAPIQPKAKHTPPTSPPASSPAMEPPYMHVTNTPSHYYRFTGTIPDLFKAWGSPLGPVIRGMEESRARTLPERQAKNRETDDTDERFTGTRLIGSEPQGKIKFPKGSGSDGTVSTHPVDGTSKKQIEPTPCRKGRDEVSKKADSTEHESKSQRKVGTIEKGRKASTEKDEKKAQESEKKEMEEKAKQQKETIENEEAEQKKKAKQEQEEKRLKEKQEEQQRERLEKEQKNKEMLLATEAHIRAKEEDTLMLTPSFVVPKTSRDPIVKGSQPSQQEHNTSESKIRHEEPLKVAPKKTRDIQPVNKISPVPQIIPSVDSKSAAVPEVPTSINLPGMRENQGSILEINEDVEISAPPTQAAPKSPISEELSPYTFDLSISVEENQRRPPPTVKANTTYQPYCLLWNEYGGELVLPENRLVTKSEWKFLADKLSRLTTGIQLDKASSFWDLLVVYEVNGRKKWTDKSDDPLHAEIWSATVGPSIAEEPVSLSHLICSDQYDQMRRADMIILSINKDTMMTYLEKATIAGKEKTECKENKEGNSKQTKDKSDLVNELSGKALTGGDERGTEQRKQQLRQAEEQRDKEELKKRVEAEEYRLKLSESLREAEEVAKKINGPGLISHFYDQQQKFDPRKKILNYVTVYKMYLVYAREEDRDLRCEEATKFIKLASHVYSKTSIKEPKVETKKNLDKLSSSLYLEKPVSAVAPM
+>sp|Q9H347|UBQL3_HUMAN Ubiquilin-3 OS=Homo sapiens OX=9606 GN=UBQLN3 PE=1 SV=2
+MAKGGEALPQGSPAPVQDPHLIKVTVKTPKDKEDFSVTDTCTIQQLKEEISQRFKAHPDQLVLIFAGKILKDPDSLAQCGVRDGLTVHLVIKRQHRAMGNECPAASVPTQGPSPGSLPQPSSIYPADGPPAFSLGLLTGLSRLGLAYRGFPDQPSSLMRQHVSVPEFVTQLIDDPFIPGLLSNTGLVRQLVLDNPHMQQLIQHNPEIGHILNNPEIMRQTLEFLRNPAMMQEMIRSQDRVLSNLESIPGGYNVLCTMYTDIMDPMLNAVQEQFGGNPFATATTDNATTTTSQPSRMENCDPLPNPWTSTHGGSGSRQGRQDGDQDAPDIRNRFPNFLGIIRLYDYLQQLHENPQSLGTYLQGTASALSQSQEPPPSVNRVPPSSPSSQEPGSGQPLPEESVAIKGRSSCPAFLRYPTENSTGQGGDQDGAGKSSTGHSTNLPDLVSGLGDSANRVPFAPLSFSPTAAIPGIPEPPWLPSPAYPRSLRPDGMNPAPQLQDEIQPQLPLLMHLQAAMANPRALQALRQIEQGLQVLATEAPRLLLWFMPCLAGTGSVAGGIESREDPLMSEDPLPNPPPEVFPALDSAELGFLSPPFLHMLQDLVSTNPQQLQPEAHFQVQLEQLRSMGFLNREANLQALIATGGDVDAAVEKLRQS
+>DECOY_sp|Q9H347|UBQL3_HUMAN Ubiquilin-3 OS=Homo sapiens OX=9606 GN=UBQLN3 PE=1 SV=2
+SQRLKEVAADVDGGTAILAQLNAERNLFGMSRLQELQVQFHAEPQLQQPNTSVLDQLMHLFPPSLFGLEASDLAPFVEPPPNPLPDESMLPDERSEIGGAVSGTGALCPMFWLLLRPAETALVQLGQEIQRLAQLARPNAMAAQLHMLLPLQPQIEDQLQPAPNMGDPRLSRPYAPSPLWPPEPIGPIAATPSFSLPAFPVRNASDGLGSVLDPLNTSHGTSSKGAGDQDGGQGTSNETPYRLFAPCSSRGKIAVSEEPLPQGSGPEQSSPSSPPVRNVSPPPEQSQSLASATGQLYTGLSQPNEHLQQLYDYLRIIGLFNPFRNRIDPADQDGDQRGQRSGSGGHTSTWPNPLPDCNEMRSPQSTTTTANDTTATAFPNGGFQEQVANLMPDMIDTYMTCLVNYGGPISELNSLVRDQSRIMEQMMAPNRLFELTQRMIEPNNLIHGIEPNHQILQQMHPNDLVLQRVLGTNSLLGPIFPDDILQTVFEPVSVHQRMLSSPQDPFGRYALGLRSLGTLLGLSFAPPGDAPYISSPQPLSGPSPGQTPVSAAPCENGMARHQRKIVLHVTLGDRVGCQALSDPDKLIKGAFILVLQDPHAKFRQSIEEKLQQITCTDTVSFDEKDKPTKVTVKILHPDQVPAPSGQPLAEGGKAM
+>sp|Q8IYU4|UBQLN_HUMAN Ubiquilin-like protein OS=Homo sapiens OX=9606 GN=UBQLNL PE=2 SV=3
+MWHAISRTSRMSQSGCPSGLLADKNISSSATRVIVKTAGNQKDFMVADDISVRQFKEMLLAHFQCQMDQLVLVFMGCLLKDHDTLSQRGIMDGHTIYLVIKSKQGSRSLAHSFRDLPTNDPCHRDRNTKGNSSRVHQPTGMNQAPVELAHFVGSDAPKVHTQNLEVSHPECKAQMLENPSIQRLLSNMEFMWQFISEHLDTQQLMQQNPEVSRLLLDNSEILLQTLELARNLAMIQEIMQIQQPSQNLEYPLNPQPYLGLETMPGGNNALGQNYADINDQMLNSMQDPFGGNPFTALLAGQVLEQVQSSPPPPPPSQEQQDQLTQHPATRVIYNSSGGFSSNTSANDTLNKVNHTSKANTAMISTKGQSHICATRQPAWIPALPSIELTQQLQEEYKDATVSLSSSRQTLKGDLQLSDEQSSSQITGGMMQLLMNNPYLAAQIMLFTSMPQLSEQWRQQLPTFLQQTQISDLLSA
+>DECOY_sp|Q8IYU4|UBQLN_HUMAN Ubiquilin-like protein OS=Homo sapiens OX=9606 GN=UBQLNL PE=2 SV=3
+ASLLDSIQTQQLFTPLQQRWQESLQPMSTFLMIQAALYPNNMLLQMMGGTIQSSSQEDSLQLDGKLTQRSSSLSVTADKYEEQLQQTLEISPLAPIWAPQRTACIHSQGKTSIMATNAKSTHNVKNLTDNASTNSSFGGSSNYIVRTAPHQTLQDQQEQSPPPPPPSSQVQELVQGALLATFPNGGFPDQMSNLMQDNIDAYNQGLANNGGPMTELGLYPQPNLPYELNQSPQQIQMIEQIMALNRALELTQLLIESNDLLLRSVEPNQQMLQQTDLHESIFQWMFEMNSLLRQISPNELMQAKCEPHSVELNQTHVKPADSGVFHALEVPAQNMGTPQHVRSSNGKTNRDRHCPDNTPLDRFSHALSRSGQKSKIVLYITHGDMIGRQSLTDHDKLLCGMFVLVLQDMQCQFHALLMEKFQRVSIDDAVMFDKQNGATKVIVRTASSSINKDALLGSPCGSQSMRSTRSIAHWM
+>sp|Q9BZV1|UBXN6_HUMAN UBX domain-containing protein 6 OS=Homo sapiens OX=9606 GN=UBXN6 PE=1 SV=1
+MKKFFQEFKADIKFKSAGPGQKLKESVGEKAHKEKPNQPAPRPPRQGPTNEAQMAAAAALARLEQKQSRAWGPTSQDTIRNQVRKELQAEATVSGSPEAPGTNVVSEPREEGSAHLAVPGVYFTCPLTGATLRKDQRDACIKEAILLHFSTDPVAASIMKIYTFNKDQDRVKLGVDTIAKYLDNIHLHPEEEKYRKIKLQNKVFQERINCLEGTHEFFEAIGFQKVLLPAQDQEDPEEFYVLSETTLAQPQSLERHKEQLLAAEPVRAKLDRQRRVFQPSPLASQFELPGDFFNLTAEEIKREQRLRSEAVERLSVLRTKAMREKEEQRGLRKYNYTLLRVRLPDGCLLQGTFYARERLGAVYGFVREALQSDWLPFELLASGGQKLSEDENLALNECGLVPSALLTFSWDMAVLEDIKAAGAEPDSILKPELLSAIEKLL
+>DECOY_sp|Q9BZV1|UBXN6_HUMAN UBX domain-containing protein 6 OS=Homo sapiens OX=9606 GN=UBXN6 PE=1 SV=1
+LLKEIASLLEPKLISDPEAGAAKIDELVAMDWSFTLLASPVLGCENLALNEDESLKQGGSALLEFPLWDSQLAERVFGYVAGLRERAYFTGQLLCGDPLRVRLLTYNYKRLGRQEEKERMAKTRLVSLREVAESRLRQERKIEEATLNFFDGPLEFQSALPSPQFVRRQRDLKARVPEAALLQEKHRELSQPQALTTESLVYFEEPDEQDQAPLLVKQFGIAEFFEHTGELCNIREQFVKNQLKIKRYKEEEPHLHINDLYKAITDVGLKVRDQDKNFTYIKMISAAVPDTSFHLLIAEKICADRQDKRLTAGTLPCTFYVGPVALHASGEERPESVVNTGPAEPSGSVTAEAQLEKRVQNRITDQSTPGWARSQKQELRALAAAAAMQAENTPGQRPPRPAPQNPKEKHAKEGVSEKLKQGPGASKFKIDAKFEQFFKKM
+>sp|P09936|UCHL1_HUMAN Ubiquitin carboxyl-terminal hydrolase isozyme L1 OS=Homo sapiens OX=9606 GN=UCHL1 PE=1 SV=2
+MQLKPMEINPEMLNKVLSRLGVAGQWRFVDVLGLEEESLGSVPAPACALLLLFPLTAQHENFRKKQIEELKGQEVSPKVYFMKQTIGNSCGTIGLIHAVANNQDKLGFEDGSVLKQFLSETEKMSPEDRAKCFEKNEAIQAAHDAVAQEGQCRVDDKVNFHFILFNNVDGHLYELDGRMPFPVNHGASSEDTLLKDAAKVCREFTEREQGEVRFSAVALCKAA
+>DECOY_sp|P09936|UCHL1_HUMAN Ubiquitin carboxyl-terminal hydrolase isozyme L1 OS=Homo sapiens OX=9606 GN=UCHL1 PE=1 SV=2
+AAKCLAVASFRVEGQERETFERCVKAADKLLTDESSAGHNVPFPMRGDLEYLHGDVNNFLIFHFNVKDDVRCQGEQAVADHAAQIAENKEFCKARDEPSMKETESLFQKLVSGDEFGLKDQNNAVAHILGITGCSNGITQKMFYVKPSVEQGKLEEIQKKRFNEHQATLPFLLLLACAPAPVSGLSEEELGLVDVFRWQGAVGLRSLVKNLMEPNIEMPKLQM
+>sp|P55851|UCP2_HUMAN Mitochondrial uncoupling protein 2 OS=Homo sapiens OX=9606 GN=UCP2 PE=1 SV=1
+MVGFKATDVPPTATVKFLGAGTAACIADLITFPLDTAKVRLQIQGESQGPVRATASAQYRGVMGTILTMVRTEGPRSLYNGLVAGLQRQMSFASVRIGLYDSVKQFYTKGSEHASIGSRLLAGSTTGALAVAVAQPTDVVKVRFQAQARAGGGRRYQSTVNAYKTIAREEGFRGLWKGTSPNVARNAIVNCAELVTYDLIKDALLKANLMTDDLPCHFTSAFGAGFCTTVIASPVDVVKTRYMNSALGQYSSAGHCALTMLQKEGPRAFYKGFMPSFLRLGSWNVVMFVTYEQLKRALMAACTSREAPF
+>DECOY_sp|P55851|UCP2_HUMAN Mitochondrial uncoupling protein 2 OS=Homo sapiens OX=9606 GN=UCP2 PE=1 SV=1
+FPAERSTCAAMLARKLQEYTVFMVVNWSGLRLFSPMFGKYFARPGEKQLMTLACHGASSYQGLASNMYRTKVVDVPSAIVTTCFGAGFASTFHCPLDDTMLNAKLLADKILDYTVLEACNVIANRAVNPSTGKWLGRFGEERAITKYANVTSQYRRGGGARAQAQFRVKVVDTPQAVAVALAGTTSGALLRSGISAHESGKTYFQKVSDYLGIRVSAFSMQRQLGAVLGNYLSRPGETRVMTLITGMVGRYQASATARVPGQSEGQIQLRVKATDLPFTILDAICAATGAGLFKVTATPPVDTAKFGVM
+>sp|P0C7P4|UCRIL_HUMAN Putative cytochrome b-c1 complex subunit Rieske-like protein 1 OS=Homo sapiens OX=9606 GN=UQCRFS1P1 PE=5 SV=1
+MQQIYTVKEIRSVAARSGPFAPVLSATSRGVAGALRPLVQATVPATPEQPVLDLKRPFLSRESLSGQAVRRPLVASVGLNVPASVCYSHTDIKVPDFSEYRRLEVLDSTKSSRESTEARKGFSYLVTGVTTVGVAYAAKNAVTQFVSSMSASADVLALAKIEIKLSDIPEGKNMAFKWRGKPLFVRHRTQKEIKQEAAVELSQLRDPQHDLDRVKKPEWVILIGVCTHLGCVPIANAGDFGGYYCPCHGSHYDASGRIRLGPATLNLEVPTYEFTSDDMVIVG
+>DECOY_sp|P0C7P4|UCRIL_HUMAN Putative cytochrome b-c1 complex subunit Rieske-like protein 1 OS=Homo sapiens OX=9606 GN=UQCRFS1P1 PE=5 SV=1
+GVIVMDDSTFEYTPVELNLTAPGLRIRGSADYHSGHCPCYYGGFDGANAIPVCGLHTCVGILIVWEPKKVRDLDHQPDRLQSLEVAAEQKIEKQTRHRVFLPKGRWKFAMNKGEPIDSLKIEIKALALVDASASMSSVFQTVANKAAYAVGVTTVGTVLYSFGKRAETSERSSKTSDLVELRRYESFDPVKIDTHSYCVSAPVNLGVSAVLPRRVAQGSLSERSLFPRKLDLVPQEPTAPVTAQVLPRLAGAVGRSTASLVPAFPGSRAAVSRIEKVTYIQQM
+>sp|P22309|UD11_HUMAN UDP-glucuronosyltransferase 1-1 OS=Homo sapiens OX=9606 GN=UGT1A1 PE=1 SV=1
+MAVESQGGRPLVLGLLLCVLGPVVSHAGKILLIPVDGSHWLSMLGAIQQLQQRGHEIVVLAPDASLYIRDGAFYTLKTYPVPFQREDVKESFVSLGHNVFENDSFLQRVIKTYKKIKKDSAMLLSGCSHLLHNKELMASLAESSFDVMLTDPFLPCSPIVAQYLSLPTVFFLHALPCSLEFEATQCPNPFSYVPRPLSSHSDHMTFLQRVKNMLIAFSQNFLCDVVYSPYATLASEFLQREVTVQDLLSSASVWLFRSDFVKDYPRPIMPNMVFVGGINCLHQNPLSQEFEAYINASGEHGIVVFSLGSMVSEIPEKKAMAIADALGKIPQTVLWRYTGTRPSNLANNTILVKWLPQNDLLGHPMTRAFITHAGSHGVYESICNGVPMVMMPLFGDQMDNAKRMETKGAGVTLNVLEMTSEDLENALKAVINDKSYKENIMRLSSLHKDRPVEPLDLAVFWVEFVMRHKGAPHLRPAAHDLTWYQYHSLDVIGFLLAVVLTVAFITFKCCAYGYRKCLGKKGRVKKAHKSKTH
+>DECOY_sp|P22309|UD11_HUMAN UDP-glucuronosyltransferase 1-1 OS=Homo sapiens OX=9606 GN=UGT1A1 PE=1 SV=1
+HTKSKHAKKVRGKKGLCKRYGYACCKFTIFAVTLVVALLFGIVDLSHYQYWTLDHAAPRLHPAGKHRMVFEVWFVALDLPEVPRDKHLSSLRMINEKYSKDNIVAKLANELDESTMELVNLTVGAGKTEMRKANDMQDGFLPMMVMPVGNCISEYVGHSGAHTIFARTMPHGLLDNQPLWKVLITNNALNSPRTGTYRWLVTQPIKGLADAIAMAKKEPIESVMSGLSFVVIGHEGSANIYAEFEQSLPNQHLCNIGGVFVMNPMIPRPYDKVFDSRFLWVSASSLLDQVTVERQLFESALTAYPSYVVDCLFNQSFAILMNKVRQLFTMHDSHSSLPRPVYSFPNPCQTAEFELSCPLAHLFFVTPLSLYQAVIPSCPLFPDTLMVDFSSEALSAMLEKNHLLHSCGSLLMASDKKIKKYTKIVRQLFSDNEFVNHGLSVFSEKVDERQFPVPYTKLTYFAGDRIYLSADPALVVIEHGRQQLQQIAGLMSLWHSGDVPILLIKGAHSVVPGLVCLLLGLVLPRGGQSEVAM
+>sp|Q3SY77|UD3A2_HUMAN UDP-glucuronosyltransferase 3A2 OS=Homo sapiens OX=9606 GN=UGT3A2 PE=2 SV=1
+MAGQRVLLLVGFLLPGVLLSEAAKILTISTVGGSHYLLMDRVSQILQDHGHNVTMLNHKRGPFMPDFKKEEKSYQVISWLAPEDHQREFKKSFDFFLEETLGGRGKFENLLNVLEYLALQCSHFLNRKDIMDSLKNENFDMVIVETFDYCPFLIAEKLGKPFVAILSTSFGSLEFGLPIPLSYVPVFRSLLTDHMDFWGRVKNFLMFFSFCRRQQHMQSTFDNTIKEHFTEGSRPVLSHLLLKAELWFINSDFAFDFARPLLPNTVYVGGLMEKPIKPVPQDLENFIAKFGDSGFVLVTLGSMVNTCQNPEIFKEMNNAFAHLPQGVIWKCQCSHWPKDVHLAANVKIVDWLPQSDLLAHPSIRLFVTHGGQNSIMEAIQHGVPMVGIPLFGDQPENMVRVEAKKFGVSIQLKKLKAETLALKMKQIMEDKRYKSAAVAASVILRSHPLSPTQRLVGWIDHVLQTGGATHLKPYVFQQPWHEQYLLDVFVFLLGLTLGTLWLCGKLLGMAVWWLRGARKVKET
+>DECOY_sp|Q3SY77|UD3A2_HUMAN UDP-glucuronosyltransferase 3A2 OS=Homo sapiens OX=9606 GN=UGT3A2 PE=2 SV=1
+TEKVKRAGRLWWVAMGLLKGCLWLTGLTLGLLFVFVDLLYQEHWPQQFVYPKLHTAGGTQLVHDIWGVLRQTPSLPHSRLIVSAAVAASKYRKDEMIQKMKLALTEAKLKKLQISVGFKKAEVRVMNEPQDGFLPIGVMPVGHQIAEMISNQGGHTVFLRISPHALLDSQPLWDVIKVNAALHVDKPWHSCQCKWIVGQPLHAFANNMEKFIEPNQCTNVMSGLTVLVFGSDGFKAIFNELDQPVPKIPKEMLGGVYVTNPLLPRAFDFAFDSNIFWLEAKLLLHSLVPRSGETFHEKITNDFTSQMHQQRRCFSFFMLFNKVRGWFDMHDTLLSRFVPVYSLPIPLGFELSGFSTSLIAVFPKGLKEAILFPCYDFTEVIVMDFNENKLSDMIDKRNLFHSCQLALYELVNLLNEFKGRGGLTEELFFDFSKKFERQHDEPALWSIVQYSKEEKKFDPMFPGRKHNLMTVNHGHDQLIQSVRDMLLYHSGGVTSITLIKAAESLLVGPLLFGVLLLVRQGAM
+>sp|P36537|UDB10_HUMAN UDP-glucuronosyltransferase 2B10 OS=Homo sapiens OX=9606 GN=UGT2B10 PE=1 SV=1
+MALKWTTVLLIQLSFYFSSGSCGKVLVWAAEYSLWMNMKTILKELVQRGHEVTVLASSASILFDPNDSSTLKLEVYPTSLTKTEFENIIMQLVKRLSEIQKDTFWLPFSQEQEILWAINDIIRNFCKDVVSNKKLMKKLQESRFDIVFADAYLPCGELLAELFNIPFVYSHSFSPGYSFERHSGGFIFPPSYVPVVMSKLSDQMTFMERVKNMLYVLYFDFWFQIFNMKKWDQFYSEVLGRPTTLSETMRKADIWLMRNSWNFKFPHPFLPNVDFVGGLHCKPAKPLPKEMEEFVQSSGENGVVVFSLGSMVSNMTEERANVIATALAKIPQKVLWRFDGNKPDALGLNTRLYKWIPQNDLLGHPKTRAFITHGGANGIYEAIYHGIPMVGIPLFFDQPDNIAHMKAKGAAVRVDFNTMSSTDLLNALKTVINDPSYKENIMKLSRIQHDQPVKPLDRAVFWIEFVMRHKGAKHLRVAAHNLTWFQYHSLDVIGFLLACVATVLFIITKCCLFCFWKFARKGKKGKRD
+>DECOY_sp|P36537|UDB10_HUMAN UDP-glucuronosyltransferase 2B10 OS=Homo sapiens OX=9606 GN=UGT2B10 PE=1 SV=1
+DRKGKKGKRAFKWFCFLCCKTIIFLVTAVCALLFGIVDLSHYQFWTLNHAAVRLHKAGKHRMVFEIWFVARDLPKVPQDHQIRSLKMINEKYSPDNIVTKLANLLDTSSMTNFDVRVAAGKAKMHAINDPQDFFLPIGVMPIGHYIAEYIGNAGGHTIFARTKPHGLLDNQPIWKYLRTNLGLADPKNGDFRWLVKQPIKALATAIVNAREETMNSVMSGLSFVVVGNEGSSQVFEEMEKPLPKAPKCHLGGVFDVNPLFPHPFKFNWSNRMLWIDAKRMTESLTTPRGLVESYFQDWKKMNFIQFWFDFYLVYLMNKVREMFTMQDSLKSMVVPVYSPPFIFGGSHREFSYGPSFSHSYVFPINFLEALLEGCPLYADAFVIDFRSEQLKKMLKKNSVVDKCFNRIIDNIAWLIEQEQSFPLWFTDKQIESLRKVLQMIINEFETKTLSTPYVELKLTSSDNPDFLISASSALVTVEHGRQVLEKLITKMNMWLSYEAAWVLVKGCSGSSFYFSLQILLVTTWKLAM
+>sp|Q8IX04|UEVLD_HUMAN Ubiquitin-conjugating enzyme E2 variant 3 OS=Homo sapiens OX=9606 GN=UEVLD PE=1 SV=2
+MEFDCEGLRRLLGKYKFRDLTVEELRNVNVFFPHFKYSMDTYVFKDSSQKDLLNFTGTIPVMYQGNTYNIPIRFWILDSHPFAPPICFLKPTANMGILVGKHVDAQGRIYLPYLQNWSHPKSVIVGLIKEMIAKFQEELPMYSLSSSDEARQVDLLAYIAKITEGVSDTNSKSWANHENKTVNKITVVGGGELGIACTLAISAKGIADRLVLLDLSEGTKGATMDLEIFNLPNVEISKDLSASAHSKVVIFTVNSLGSSQSYLDVVQSNVDMFRALVPALGHYSQHSVLLVASQPVEIMTYVTWKLSTFPANRVIGIGCNLDSQRLQYIITNVLKAQTSGKEVWVIGEQGEDKVLTWSGQEEVVSHTSQVQLSNRAMELLRVKGQRSWSVGLSVADMVDSIVNNKKKVHSVSALAKGYYDINSEVFLSLPCILGTNGVSEVIKTTLKEDTVTEKLQSSASSIHSLQQQLKL
+>DECOY_sp|Q8IX04|UEVLD_HUMAN Ubiquitin-conjugating enzyme E2 variant 3 OS=Homo sapiens OX=9606 GN=UEVLD PE=1 SV=2
+LKLQQQLSHISSASSQLKETVTDEKLTTKIVESVGNTGLICPLSLFVESNIDYYGKALASVSHVKKKNNVISDVMDAVSLGVSWSRQGKVRLLEMARNSLQVQSTHSVVEEQGSWTLVKDEGQEGIVWVEKGSTQAKLVNTIIYQLRQSDLNCGIGIVRNAPFTSLKWTVYTMIEVPQSAVLLVSHQSYHGLAPVLARFMDVNSQVVDLYSQSSGLSNVTFIVVKSHASASLDKSIEVNPLNFIELDMTAGKTGESLDLLVLRDAIGKASIALTCAIGLEGGGVVTIKNVTKNEHNAWSKSNTDSVGETIKAIYALLDVQRAEDSSSLSYMPLEEQFKAIMEKILGVIVSKPHSWNQLYPLYIRGQADVHKGVLIGMNATPKLFCIPPAFPHSDLIWFRIPINYTNGQYMVPITGTFNLLDKQSSDKFVYTDMSYKFHPFFVNVNRLEEVTLDRFKYKGLLRRLGECDFEM
+>sp|O94874|UFL1_HUMAN E3 UFM1-protein ligase 1 OS=Homo sapiens OX=9606 GN=UFL1 PE=1 SV=2
+MADAWEEIRRLAADFQRAQFAEATQRLSERNCIEIVNKLIAQKQLEVVHTLDGKEYITPAQISKEMRDELHVRGGRVNIVDLQQVINVDLIHIENRIGDIIKSEKHVQLVLGQLIDENYLDRLAEEVNDKLQESGQVTISELCKTYDLPGNFLTQALTQRLGRIISGHIDLDNRGVIFTEAFVARHKARIRGLFSAITRPTAVNSLISKYGFQEQLLYSVLEELVNSGRLRGTVVGGRQDKAVFVPDIYSRTQSTWVDSFFRQNGYLEFDALSRLGIPDAVSYIKKRYKTTQLLFLKAACVGQGLVDQVEASVEEAISSGTWVDIAPLLPTSLSVEDAAILLQQVMRAFSKQASTVVFSDTVVVSEKFINDCTELFRELMHQKAEKEMKNNPVHLITEEDLKQISTLESVSTSKKDKKDERRRKATEGSGSMRGGGGGNAREYKIKKVKKKGRKDDDSDDESQSSHTGKKKPEISFMFQDEIEDFLRKHIQDAPEEFISELAEYLIKPLNKTYLEVVRSVFMSSTTSASGTGRKRTIKDLQEEVSNLYNNIRLFEKGMKFFADDTQAALTKHLLKSVCTDITNLIFNFLASDLMMAVDDPAAITSEIRKKILSKLSEETKVALTKLHNSLNEKSIEDFISCLDSAAEACDIMVKRGDKKRERQILFQHRQALAEQLKVTEDPALILHLTSVLLFQFSTHSMLHAPGRCVPQIIAFLNSKIPEDQHALLVKYQGLVVKQLVSQSKKTGQGDYPLNNELDKEQEDVASTTRKELQELSSSIKDLVLKSRKSSVTEE
+>DECOY_sp|O94874|UFL1_HUMAN E3 UFM1-protein ligase 1 OS=Homo sapiens OX=9606 GN=UFL1 PE=1 SV=2
+EETVSSKRSKLVLDKISSSLEQLEKRTTSAVDEQEKDLENNLPYDGQGTKKSQSVLQKVVLGQYKVLLAHQDEPIKSNLFAIIQPVCRGPAHLMSHTSFQFLLVSTLHLILAPDETVKLQEALAQRHQFLIQRERKKDGRKVMIDCAEAASDLCSIFDEISKENLSNHLKTLAVKTEESLKSLIKKRIESTIAAPDDVAMMLDSALFNFILNTIDTCVSKLLHKTLAAQTDDAFFKMGKEFLRINNYLNSVEEQLDKITRKRGTGSASTTSSMFVSRVVELYTKNLPKILYEALESIFEEPADQIHKRLFDEIEDQFMFSIEPKKKGTHSSQSEDDSDDDKRGKKKVKKIKYERANGGGGGRMSGSGETAKRRREDKKDKKSTSVSELTSIQKLDEETILHVPNNKMEKEAKQHMLERFLETCDNIFKESVVVTDSFVVTSAQKSFARMVQQLLIAADEVSLSTPLLPAIDVWTGSSIAEEVSAEVQDVLGQGVCAAKLFLLQTTKYRKKIYSVADPIGLRSLADFELYGNQRFFSDVWTSQTRSYIDPVFVAKDQRGGVVTGRLRGSNVLEELVSYLLQEQFGYKSILSNVATPRTIASFLGRIRAKHRAVFAETFIVGRNDLDIHGSIIRGLRQTLAQTLFNGPLDYTKCLESITVQGSEQLKDNVEEALRDLYNEDILQGLVLQVHKESKIIDGIRNEIHILDVNIVQQLDVINVRGGRVHLEDRMEKSIQAPTIYEKGDLTHVVELQKQAILKNVIEICNRESLRQTAEAFQARQFDAALRRIEEWADAM
+>sp|O60701|UGDH_HUMAN UDP-glucose 6-dehydrogenase OS=Homo sapiens OX=9606 GN=UGDH PE=1 SV=1
+MFEIKKICCIGAGYVGGPTCSVIAHMCPEIRVTVVDVNESRINAWNSPTLPIYEPGLKEVVESCRGKNLFFSTNIDDAIKEADLVFISVNTPTKTYGMGKGRAADLKYIEACARRIVQNSNGYKIVTEKSTVPVRAAESIRRIFDANTKPNLNLQVLSNPEFLAEGTAIKDLKNPDRVLIGGDETPEGQRAVQALCAVYEHWVPREKILTTNTWSSELSKLAANAFLAQRISSINSISALCEATGADVEEVATAIGMDQRIGNKFLKASVGFGGSCFQKDVLNLVYLCEALNLPEVARYWQQVIDMNDYQRRRFASRIIDSLFNTVTDKKIAILGFAFKKDTGDTRESSSIYISKYLMDEGAHLHIYDPKVPREQIVVDLSHPGVSEDDQVSRLVTISKDPYEACDGAHAVVICTEWDMFKELDYERIHKKMLKPAFIFDGRRVLDGLHNELQTIGFQIETIGKKVSSKRIPYAPSGEIPKFSLQDPPNKKPKV
+>DECOY_sp|O60701|UGDH_HUMAN UDP-glucose 6-dehydrogenase OS=Homo sapiens OX=9606 GN=UGDH PE=1 SV=1
+VKPKKNPPDQLSFKPIEGSPAYPIRKSSVKKGITEIQFGITQLENHLGDLVRRGDFIFAPKLMKKHIREYDLEKFMDWETCIVVAHAGDCAEYPDKSITVLRSVQDDESVGPHSLDVVIQERPVKPDYIHLHAGEDMLYKSIYISSSERTDGTDKKFAFGLIAIKKDTVTNFLSDIIRSAFRRRQYDNMDIVQQWYRAVEPLNLAECLYVLNLVDKQFCSGGFGVSAKLFKNGIRQDMGIATAVEEVDAGTAECLASISNISSIRQALFANAALKSLESSWTNTTLIKERPVWHEYVACLAQVARQGEPTEDGGILVRDPNKLDKIATGEALFEPNSLVQLNLNPKTNADFIRRISEAARVPVTSKETVIKYGNSNQVIRRACAEIYKLDAARGKGMGYTKTPTNVSIFVLDAEKIADDINTSFFLNKGRCSEVVEKLGPEYIPLTPSNWANIRSENVDVVTVRIEPCMHAIVSCTPGGVYGAGICCIKKIEFM
+>sp|Q9NYU1|UGGG2_HUMAN UDP-glucose:glycoprotein glucosyltransferase 2 OS=Homo sapiens OX=9606 GN=UGGT2 PE=1 SV=4
+MAPAKATNVVRLLLGSTALWLSQLGSGTVAASKSVTAHLAAKWPETPLLLEASEFMAEESNEKFWQFLETVQELAIYKQTESDYSYYNLILKKAGQFLDNLHINLLKFAFSIRAYSPAIQMFQQIAADEPPPDGCNAFVVIHKKHTCKINEIKKLLKKAASRTRPYLFKGDHKFPTNKENLPVVILYAEMGTRTFSAFHKVLSEKAQNEEILYVLRHYIQKPSSRKMYLSGYGVELAIKSTEYKALDDTQVKTVTNTTVEDETETNEVQGFLFGKLKEIYSDLRDNLTAFQKYLIESNKQMMPLKVWELQDLSFQAASQIMSAPVYDSIKLMKDISQNFPIKARSLTRIAVNQHMREEIKENQKDLQVRFKIQPGDARLFINGLRVDMDVYDAFSILDMLKLEGKMMNGLRNLGINGEDMSKFLKLNSHIWEYTYVLDIRHSSIMWINDLENDDLYITWPTSCQKLLKPVFPGSVPSIRRNFHNLVLFIDPAQEYTLDFIKLADVFYSHEVPLRIGFVFILNTDDEVDGANDAGVALWRAFNYIAEEFDISEAFISIVHMYQKVKKDQNILTVDNVKSVLQNTFPHANIWDILGIHSKYDEERKAGASFYKMTGLGPLPQALYNGEPFKHEEMNIKELKMAVLQRMMDASVYLQREVFLGTLNDRTNAIDFLMDRNNVVPRINTLILRTNQQYLNLISTSVTADVEDFSTFFFLDSQDKSAVIAKNMYYLTQDDESIISAVTLWIIADFDKPSGRKLLFNALKHMKTSVHSRLGIIYNPTSKINEENTAISRGILAAFLTQKNMFLRSFLGQLAKEEIATAIYSGDKIKTFLIEGMDKNAFEKKYNTVGVNIFRTHQLFCQDVLKLRPGEMGIVSNGRFLGPLDEDFYAEDFYLLEKITFSNLGEKIKGIVENMGINANNMSDFIMKVDALMSSVPKRASRYDVTFLRENHSVIKTNPQENDMFFNVIAIVDPLTREAQKMAQLLVVLGKIINMKIKLFMNCRGRLSEAPLESFYRFVLEPELMSGANDVSSLGPVAKFLDIPESPLLILNMITPEGWLVETVHSNCDLDNIHLKDTEKTVTAEYELEYLLLEGQCFDKVTEQPPRGLQFTLGTKNKPAVVDTIVMAHHGYFQLKANPGAWILRLHQGKSEDIYQIVGHEGTDSQADLEDIIVVLNSFKSKILKVKVKKETDKIKEDILTDEDEKTKGLWDSIKSFTVSLHKENKKEKDVLNIFSVASGHLYERFLRIMMLSVLRNTKTPVKFWLLKNYLSPTFKEVIPHMAKEYGFRYELVQYRWPRWLRQQTERQRIIWGYKILFLDVLFPLAVDKIIFVDADQIVRHDLKELRDFDLDGAPYGYTPFCDSRREMDGYRFWKTGYWASHLLRRKYHISALYVVDLKKFRRIGAGDRLRSQYQALSQDPNSLSNLDQDLPNNMIYQVAIKSLPQDWLWCETWCDDESKQRAKTIDLCNNPKTKESKLKAAARIVPEWVEYDAEIRQLLDHLENKKQDTILTHDEL
+>DECOY_sp|Q9NYU1|UGGG2_HUMAN UDP-glucose:glycoprotein glucosyltransferase 2 OS=Homo sapiens OX=9606 GN=UGGT2 PE=1 SV=4
+LEDHTLITDQKKNELHDLLQRIEADYEVWEPVIRAAAKLKSEKTKPNNCLDITKARQKSEDDCWTECWLWDQPLSKIAVQYIMNNPLDQDLNSLSNPDQSLAQYQSRLRDGAGIRRFKKLDVVYLASIHYKRRLLHSAWYGTKWFRYGDMERRSDCFPTYGYPAGDLDFDRLEKLDHRVIQDADVFIIKDVALPFLVDLFLIKYGWIIRQRETQQRLWRPWRYQVLEYRFGYEKAMHPIVEKFTPSLYNKLLWFKVPTKTNRLVSLMMIRLFREYLHGSAVSFINLVDKEKKNEKHLSVTFSKISDWLGKTKEDEDTLIDEKIKDTEKKVKVKLIKSKFSNLVVIIDELDAQSDTGEHGVIQYIDESKGQHLRLIWAGPNAKLQFYGHHAMVITDVVAPKNKTGLTFQLGRPPQETVKDFCQGELLLYELEYEATVTKETDKLHINDLDCNSHVTEVLWGEPTIMNLILLPSEPIDLFKAVPGLSSVDNAGSMLEPELVFRYFSELPAESLRGRCNMFLKIKMNIIKGLVVLLQAMKQAERTLPDVIAIVNFFMDNEQPNTKIVSHNERLFTVDYRSARKPVSSMLADVKMIFDSMNNANIGMNEVIGKIKEGLNSFTIKELLYFDEAYFDEDLPGLFRGNSVIGMEGPRLKLVDQCFLQHTRFINVGVTNYKKEFANKDMGEILFTKIKDGSYIATAIEEKALQGLFSRLFMNKQTLFAALIGRSIATNEENIKSTPNYIIGLRSHVSTKMHKLANFLLKRGSPKDFDAIIWLTVASIISEDDQTLYYMNKAIVASKDQSDLFFFTSFDEVDATVSTSILNLYQQNTRLILTNIRPVVNNRDMLFDIANTRDNLTGLFVERQLYVSADMMRQLVAMKLEKINMEEHKFPEGNYLAQPLPGLGTMKYFSAGAKREEDYKSHIGLIDWINAHPFTNQLVSKVNDVTLINQDKKVKQYMHVISIFAESIDFEEAIYNFARWLAVGADNAGDVEDDTNLIFVFGIRLPVEHSYFVDALKIFDLTYEQAPDIFLVLNHFNRRISPVSGPFVPKLLKQCSTPWTIYLDDNELDNIWMISSHRIDLVYTYEWIHSNLKLFKSMDEGNIGLNRLGNMMKGELKLMDLISFADYVDMDVRLGNIFLRADGPQIKFRVQLDKQNEKIEERMHQNVAIRTLSRAKIPFNQSIDKMLKISDYVPASMIQSAAQFSLDQLEWVKLPMMQKNSEILYKQFATLNDRLDSYIEKLKGFLFGQVENTETEDEVTTNTVTKVQTDDLAKYETSKIALEVGYGSLYMKRSSPKQIYHRLVYLIEENQAKESLVKHFASFTRTGMEAYLIVVPLNEKNTPFKHDGKFLYPRTRSAAKKLLKKIENIKCTHKKHIVVFANCGDPPPEDAAIQQFMQIAPSYARISFAFKLLNIHLNDLFQGAKKLILNYYSYDSETQKYIALEQVTELFQWFKENSEEAMFESAELLLPTEPWKAALHATVSKSAAVTGSGLQSLWLATSGLLLRVVNTAKAPAM
+>sp|Q96T88|UHRF1_HUMAN E3 ubiquitin-protein ligase UHRF1 OS=Homo sapiens OX=9606 GN=UHRF1 PE=1 SV=1
+MWIQVRTMDGRQTHTVDSLSRLTKVEELRRKIQELFHVEPGLQRLFYRGKQMEDGHTLFDYEVRLNDTIQLLVRQSLVLPHSTKERDSELSDTDSGCCLGQSESDKSSTHGEAAAETDSRPADEDMWDETELGLYKVNEYVDARDTNMGAWFEAQVVRVTRKAPSRDEPCSSTSRPALEEDVIYHVKYDDYPENGVVQMNSRDVRARARTIIKWQDLEVGQVVMLNYNPDNPKERGFWYDAEISRKRETRTARELYANVVLGDDSLNDCRIIFVDEVFKIERPGEGSPMVDNPMRRKSGPSCKHCKDDVNRLCRVCACHLCGGRQDPDKQLMCDECDMAFHIYCLDPPLSSVPSEDEWYCPECRNDASEVVLAGERLRESKKKAKMASATSSSQRDWGKGMACVGRTKECTIVPSNHYGPIPGIPVGTMWRFRVQVSESGVHRPHVAGIHGRSNDGAYSLVLAGGYEDDVDHGNFFTYTGSGGRDLSGNKRTAEQSCDQKLTNTNRALALNCFAPINDQEGAEAKDWRSGKPVRVVRNVKGGKNSKYAPAEGNRYDGIYKVVKYWPEKGKSGFLVWRYLLRRDDDEPGPWTKEGKDRIKKLGLTMQYPEGYLEALANREREKENSKREEEEQQEGGFASPRTGKGKWKRKSAGGGPSRAGSPRRTSKKTKVEPYSLTAQQSSLIREDKSNAKLWNEVLASLKDRPASGSPFQLFLSKVEETFQCICCQELVFRPITTVCQHNVCKDCLDRSFRAQVFSCPACRYDLGRSYAMQVNQPLQTVLNQLFPGYGNGR
+>DECOY_sp|Q96T88|UHRF1_HUMAN E3 ubiquitin-protein ligase UHRF1 OS=Homo sapiens OX=9606 GN=UHRF1 PE=1 SV=1
+RGNGYGPFLQNLVTQLPQNVQMAYSRGLDYRCAPCSFVQARFSRDLCDKCVNHQCVTTIPRFVLEQCCICQFTEEVKSLFLQFPSGSAPRDKLSALVENWLKANSKDERILSSQQATLSYPEVKTKKSTRRPSGARSPGGGASKRKWKGKGTRPSAFGGEQQEEEERKSNEKERERNALAELYGEPYQMTLGLKKIRDKGEKTWPGPEDDDRRLLYRWVLFGSKGKEPWYKVVKYIGDYRNGEAPAYKSNKGGKVNRVVRVPKGSRWDKAEAGEQDNIPAFCNLALARNTNTLKQDCSQEATRKNGSLDRGGSGTYTFFNGHDVDDEYGGALVLSYAGDNSRGHIGAVHPRHVGSESVQVRFRWMTGVPIGPIPGYHNSPVITCEKTRGVCAMGKGWDRQSSSTASAMKAKKKSERLREGALVVESADNRCEPCYWEDESPVSSLPPDLCYIHFAMDCEDCMLQKDPDQRGGCLHCACVRCLRNVDDKCHKCSPGSKRRMPNDVMPSGEGPREIKFVEDVFIIRCDNLSDDGLVVNAYLERATRTERKRSIEADYWFGREKPNDPNYNLMVVQGVELDQWKIITRARARVDRSNMQVVGNEPYDDYKVHYIVDEELAPRSTSSCPEDRSPAKRTVRVVQAEFWAGMNTDRADVYENVKYLGLETEDWMDEDAPRSDTEAAAEGHTSSKDSESQGLCCGSDTDSLESDREKTSHPLVLSQRVLLQITDNLRVEYDFLTHGDEMQKGRYFLRQLGPEVHFLEQIKRRLEEVKTLRSLSDVTHTQRGDMTRVQIWM
+>sp|O75631|UPK3A_HUMAN Uroplakin-3a OS=Homo sapiens OX=9606 GN=UPK3A PE=1 SV=3
+MPPLWALLALGCLRFGSAVNLQPQLASVTFATNNPTLTTVALEKPLCMFDSKEALTGTHEVYLYVLVDSAISRNASVQDSTNTPLGSTFLQTEGGRTGPYKAVAFDLIPCSDLPSLDAIGDVSKASQILNAYLVRVGANGTCLWDPNFQGLCNAPLSAATEYRFKYVLVNMSTGLVEDQTLWSDPIRTNQLTPYSTIDTWPGRRSGGMIVITSILGSLPFFLLVGFAGAIALSLVDMGSSDGETTHDSQITQEAVPKSLGASESSYTSVNRGPPLDRAEVYSSKLQD
+>DECOY_sp|O75631|UPK3A_HUMAN Uroplakin-3a OS=Homo sapiens OX=9606 GN=UPK3A PE=1 SV=3
+DQLKSSYVEARDLPPGRNVSTYSSESAGLSKPVAEQTIQSDHTTEGDSSGMDVLSLAIAGAFGVLLFFPLSGLISTIVIMGGSRRGPWTDITSYPTLQNTRIPDSWLTQDEVLGTSMNVLVYKFRYETAASLPANCLGQFNPDWLCTGNAGVRVLYANLIQSAKSVDGIADLSPLDSCPILDFAVAKYPGTRGGETQLFTSGLPTNTSDQVSANRSIASDVLVYLYVEHTGTLAEKSDFMCLPKELAVTTLTPNNTAFTVSALQPQLNVASGFRLCGLALLAWLPPM
+>sp|Q16831|UPP1_HUMAN Uridine phosphorylase 1 OS=Homo sapiens OX=9606 GN=UPP1 PE=1 SV=1
+MAATGANAEKAESHNDCPVRLLNPNIAKMKEDILYHFNLTTSRHNFPALFGDVKFVCVGGSPSRMKAFIRCVGAELGLDCPGRDYPNICAGTDRYAMYKVGPVLSVSHGMGIPSISIMLHELIKLLYYARCSNVTIIRIGTSGGIGLEPGTVVITEQAVDTCFKAEFEQIVLGKRVIRKTDLNKKLVQELLLCSAELSEFTTVVGNTMCTLDFYEGQGRLDGALCSYTEKDKQAYLEAAYAAGVRNIEMESSVFAAMCSACGLQAAVVCVTLLNRLEGDQISSPRNVLSEYQQRPQRLVSYFIKKKLSKA
+>DECOY_sp|Q16831|UPP1_HUMAN Uridine phosphorylase 1 OS=Homo sapiens OX=9606 GN=UPP1 PE=1 SV=1
+AKSLKKKIFYSVLRQPRQQYESLVNRPSSIQDGELRNLLTVCVVAAQLGCASCMAAFVSSEMEINRVGAAYAAELYAQKDKETYSCLAGDLRGQGEYFDLTCMTNGVVTTFESLEASCLLLEQVLKKNLDTKRIVRKGLVIQEFEAKFCTDVAQETIVVTGPELGIGGSTGIRIITVNSCRAYYLLKILEHLMISISPIGMGHSVSLVPGVKYMAYRDTGACINPYDRGPCDLGLEAGVCRIFAKMRSPSGGVCVFKVDGFLAPFNHRSTTLNFHYLIDEKMKAINPNLLRVPCDNHSEAKEANAGTAAM
+>sp|Q6UY13|YB003_HUMAN Putative uncharacterized protein UNQ5830/PRO19650/PRO19816 OS=Homo sapiens OX=9606 GN=UNQ5830/PRO19650/PRO19816 PE=3 SV=1
+MAILMLSLQLILLLIPSISHEAHKTSLSSWKHDQDWANVSNMTFSNGKLRVKGIYYRNADICSRHRVTSAGLTLQDLQLWCNLRSVARGQIPSTL
+>DECOY_sp|Q6UY13|YB003_HUMAN Putative uncharacterized protein UNQ5830/PRO19650/PRO19816 OS=Homo sapiens OX=9606 GN=UNQ5830/PRO19650/PRO19816 PE=3 SV=1
+LTSPIQGRAVSRLNCWLQLDQLTLGASTVRHRSCIDANRYYIGKVRLKGNSFTMNSVNAWDQDHKWSSLSTKHAEHSISPILLLILQLSLMLIAM
+>sp|A8MUA0|YB057_HUMAN Putative UPF0607 protein ENSP00000381514 OS=Homo sapiens OX=9606 PE=3 SV=1
+MRLCLIPWNTGTPQRVLPPVVWSPPSRKKPVLSARNSRMFGYLSPVRIPRLRGKFNLQLPSLDEQVIPARLPKMEVRAEEPKEATEVKDQVQTQEQEDNKRGPCSNGEAASTSRPLETQGNLTSSWYNPRPLEGNVHLKSLTENNQTDKAQVHAVSFYSKGHGVASSHSPAGGILPFGKPDPLPTVLPAPVPGCSLWPEKAALKVLGKDHLPSSPGLLTVGEDMQPKDPAALGSSRSSPPRAAGHRSRKRKLSGPPLQLQPTPPLQLRWERDERPPPAKLPCLSPEALLVGQASQREGRLQQGNMRKNMRVLSRTSKFRRLRQLLRRRKKRQQVRSISACT
+>DECOY_sp|A8MUA0|YB057_HUMAN Putative UPF0607 protein ENSP00000381514 OS=Homo sapiens OX=9606 PE=3 SV=1
+TCASISRVQQRKKRRRLLQRLRRFKSTRSLVRMNKRMNGQQLRGERQSAQGVLLAEPSLCPLKAPPPREDREWRLQLPPTPQLQLPPGSLKRKRSRHGAARPPSSRSSGLAAPDKPQMDEGVTLLGPSSPLHDKGLVKLAAKEPWLSCGPVPAPLVTPLPDPKGFPLIGGAPSHSSAVGHGKSYFSVAHVQAKDTQNNETLSKLHVNGELPRPNYWSSTLNGQTELPRSTSAAEGNSCPGRKNDEQEQTQVQDKVETAEKPEEARVEMKPLRAPIVQEDLSPLQLNFKGRLRPIRVPSLYGFMRSNRASLVPKKRSPPSWVVPPLVRQPTGTNWPILCLRM
+>sp|Q9Y2T7|YBOX2_HUMAN Y-box-binding protein 2 OS=Homo sapiens OX=9606 GN=YBX2 PE=1 SV=2
+MSEVEAAAGATAVPAATVPATAAGVVAVVVPVPAGEPQKGGGAGGGGGAASGPAAGTPSAPGSRTPGNPATAVSGTPAPPARSQADKPVLAIQVLGTVKWFNVRNGYGFINRNDTKEDVFVHQTAIKRNNPRKFLRSVGDGETVEFDVVEGEKGAEATNVTGPGGVPVKGSRYAPNRRKSRRFIPRPPSVAPPPMVAEIPSAGTGPGSKGERAEDSGQRPRRWCPPPFFYRRRFVRGPRPPNQQQPIEGTDRVEPKETAPLEGHQQQGDERVPPPRFRPRYRRPFRPRPRQQPTTEGGDGETKPSQGPADGSRPEPQRPRNRPYFQRRRQQAPGPQQAPGPRQPAAPETSAPVNSGDPTTTILE
+>DECOY_sp|Q9Y2T7|YBOX2_HUMAN Y-box-binding protein 2 OS=Homo sapiens OX=9606 GN=YBX2 PE=1 SV=2
+ELITTTPDGSNVPASTEPAAPQRPGPAQQPGPAQQRRRQFYPRNRPRQPEPRSGDAPGQSPKTEGDGGETTPQQRPRPRFPRRYRPRFRPPPVREDGQQQHGELPATEKPEVRDTGEIPQQQNPPRPGRVFRRRYFFPPPCWRRPRQGSDEAREGKSGPGTGASPIEAVMPPPAVSPPRPIFRRSKRRNPAYRSGKVPVGGPGTVNTAEAGKEGEVVDFEVTEGDGVSRLFKRPNNRKIATQHVFVDEKTDNRNIFGYGNRVNFWKVTGLVQIALVPKDAQSRAPPAPTGSVATAPNGPTRSGPASPTGAAPGSAAGGGGGAGGGKQPEGAPVPVVVAVVGAATAPVTAAPVATAGAAAEVESM
+>sp|Q6ZUG5|YC006_HUMAN Uncharacterized protein FLJ43738 OS=Homo sapiens OX=9606 PE=2 SV=1
+MPVYCKYQFHKTPVHKTKGEPHGTHVYFQDINVIFLGALHPSDLREYLEGPPMVVEVHDRDRKSEECSQKPVLFGEDPLDSYLNFQALISPRETENNPFESQNKMWYPYGIAQVSFADLLLGHKYLNLAVPIHSCEVQPTHCGQDSRRRKVVGLGVPRDGHQHGPMPRGNYLEADSQLKLRVDIAVPLRAGARAADPDLGGSQFGRIIFVFDFKKVSLLHSLLQDITMINAKALGLDSYPVRTLQQILSAFKVRVRVQEQQHLDVLTGFHLLDGKTHLFILEGLADQGLRQLWENHQSWIPRSEHRKYKVLYNSQLLFRSRLYGDLEAILYHVHLFQPTELLLQQAVFFLRDTERRRVFQALARIHDICYNSTTLWDVTVRDLLPSSAMIKDLSQEFGMPLSQEELTDEKLFALPPQPAPNLEDYHSRNSTLTLEIHAHQEPRKRFTYSQDYLSAMVEPLDLKEEEKKAQKKSRQAWLTARGFQVTGLQSDTESSFQDLKLPPIKELNEEWKENSLFANVLEPVLDRDRWSWDRHHVDFDLYKKPPPFLELLPSPAPKPVTVRKKKGNSPIS
+>DECOY_sp|Q6ZUG5|YC006_HUMAN Uncharacterized protein FLJ43738 OS=Homo sapiens OX=9606 PE=2 SV=1
+SIPSNGKKKRVTVPKPAPSPLLELFPPPKKYLDFDVHHRDWSWRDRDLVPELVNAFLSNEKWEENLEKIPPLKLDQFSSETDSQLGTVQFGRATLWAQRSKKQAKKEEEKLDLPEVMASLYDQSYTFRKRPEQHAHIELTLTSNRSHYDELNPAPQPPLAFLKEDTLEEQSLPMGFEQSLDKIMASSPLLDRVTVDWLTTSNYCIDHIRALAQFVRRRETDRLFFVAQQLLLETPQFLHVHYLIAELDGYLRSRFLLQSNYLVKYKRHESRPIWSQHNEWLQRLGQDALGELIFLHTKGDLLHFGTLVDLHQQEQVRVRVKFASLIQQLTRVPYSDLGLAKANIMTIDQLLSHLLSVKKFDFVFIIRGFQSGGLDPDAARAGARLPVAIDVRLKLQSDAELYNGRPMPGHQHGDRPVGLGVVKRRRSDQGCHTPQVECSHIPVALNLYKHGLLLDAFSVQAIGYPYWMKNQSEFPNNETERPSILAQFNLYSDLPDEGFLVPKQSCEESKRDRDHVEVVMPPGELYERLDSPHLAGLFIVNIDQFYVHTGHPEGKTKHVPTKHFQYKCYVPM
+>sp|P0DN24|YC024_HUMAN Putative uncharacterized protein LINC00694 OS=Homo sapiens OX=9606 GN=LINC00694 PE=4 SV=1
+MSRGQFGQGQEPLDMFFWVNEISGEITYPPQKADAPAVSPESPQKKPPFQPRSVQEAPCSPQGPPAQRPALAPPSKPSLKDSGSRNPCPSAPTWARPKPEE
+>DECOY_sp|P0DN24|YC024_HUMAN Putative uncharacterized protein LINC00694 OS=Homo sapiens OX=9606 GN=LINC00694 PE=4 SV=1
+EEPKPRAWTPASPCPNRSGSDKLSPKSPPALAPRQAPPGQPSCPAEQVSRPQFPPKKQPSEPSVAPADAKQPPYTIEGSIENVWFFMDLPEQGQGFQGRSM
+>sp|Q6ZS92|YD022_HUMAN Putative uncharacterized protein FLJ45721 OS=Homo sapiens OX=9606 PE=5 SV=2
+MCMNTSIHAHTYARTHTHSVFMSSNVTVFQWMRLMRASSRSFTQAIPAGQLLQTACSHLFTTQNTCQNALGNNTRLQHTEKVRLSIGLADFGLPGIARNTFVVPDSISRYCREGSVIGKCCPSRKSALLNKQGSLKKFKITRGETQPGVTISVLELAEIDQRF
+>DECOY_sp|Q6ZS92|YD022_HUMAN Putative uncharacterized protein FLJ45721 OS=Homo sapiens OX=9606 PE=5 SV=2
+FRQDIEALELVSITVGPQTEGRTIKFKKLSGQKNLLASKRSPCCKGIVSGERCYRSISDPVVFTNRAIGPLGFDALGISLRVKETHQLRTNNGLANQCTNQTTFLHSCATQLLQGAPIAQTFSRSSARMLRMWQFVTVNSSMFVSHTHTRAYTHAHISTNMCM
+>sp|O95619|YETS4_HUMAN YEATS domain-containing protein 4 OS=Homo sapiens OX=9606 GN=YEATS4 PE=1 SV=1
+MFKRMAEFGPDSGGRVKGVTIVKPIVYGNVARYFGKKREEDGHTHQWTVYVKPYRNEDMSAYVKKIQFKLHESYGNPLRVVTKPPYEITETGWGEFEIIIKIFFIDPNERPVTLYHLLKLFQSDTNAMLGKKTVVSEFYDEMIFQDPTAMMQQLLTTSRQLTLGAYKHETEFAELEVKTREKLEAAKKKTSFEIAELKERLKASRETINCLKNEIRKLEEDDQAKDI
+>DECOY_sp|O95619|YETS4_HUMAN YEATS domain-containing protein 4 OS=Homo sapiens OX=9606 GN=YEATS4 PE=1 SV=1
+IDKAQDDEELKRIENKLCNITERSAKLREKLEAIEFSTKKKAAELKERTKVELEAFETEHKYAGLTLQRSTTLLQQMMATPDQFIMEDYFESVVTKKGLMANTDSQFLKLLHYLTVPRENPDIFFIKIIIEFEGWGTETIEYPPKTVVRLPNGYSEHLKFQIKKVYASMDENRYPKVYVTWQHTHGDEERKKGFYRAVNGYVIPKVITVGKVRGGSDPGFEAMRKFM
+>sp|Q8N9P0|YF006_HUMAN Putative uncharacterized protein FLJ36797 OS=Homo sapiens OX=9606 PE=5 SV=1
+MTSVASLPEQSPGYRCRSLTGAGARTSAAGPLARLLPRRRLPPPHLPARPPGGWSRNQSHQRRGPGRCWGWGRGMTRICPLCPGAPEYINSAVSSQTLSQSCTPPQSPQPDPRPLSLRVGLALPGGRLGCGEAGSANRHWFSFLFPFIHFCWSPRAAAPGVAMNGWMPARWDHQVRRDVAGARGAPPAWGQAPSPRRSVGGPQKTLKRPKACSPRSPQHTPGVFSAPEKLGRKT
+>DECOY_sp|Q8N9P0|YF006_HUMAN Putative uncharacterized protein FLJ36797 OS=Homo sapiens OX=9606 PE=5 SV=1
+TKRGLKEPASFVGPTHQPSRPSCAKPRKLTKQPGGVSRRPSPAQGWAPPAGRAGAVDRRVQHDWRAPMWGNMAVGPAAARPSWCFHIFPFLFSFWHRNASGAEGCGLRGGPLALGVRLSLPRPDPQPSQPPTCSQSLTQSSVASNIYEPAGPCLPCIRTMGRGWGWCRGPGRRQHSQNRSWGGPPRAPLHPPPLRRRPLLRALPGAASTRAGAGTLSRCRYGPSQEPLSAVSTM
+>sp|Q9BZS9|YG041_HUMAN Putative uncharacterized protein PNAS-138 OS=Homo sapiens OX=9606 GN=PNAS-138 PE=5 SV=1
+MPYDQDSFSTLLGFLQASRKYSEFTLKCPICIYVPCQCFAVGFLKQSDQ
+>DECOY_sp|Q9BZS9|YG041_HUMAN Putative uncharacterized protein PNAS-138 OS=Homo sapiens OX=9606 GN=PNAS-138 PE=5 SV=1
+QDSQKLFGVAFCQCPVYICIPCKLTFESYKRSAQLFGLLTSFSDQDYPM
+>sp|Q8N7P7|YH007_HUMAN Uncharacterized protein FLJ40521 OS=Homo sapiens OX=9606 PE=2 SV=1
+MTAVSSNRNPEDDGCLLEQEPRGRRLSPRTGTPRTTAVSSNRDPKNDGCLLKQEPRGRRLSPRTGAPGTTAVSSNRNPEDDGCLLKQEPRGRRLSPQTGTPRTTAVSSNRNPGDDGCLLKQGPRGRRLSPQTGTPGTTAVSSNRNPEDDGCLLKQEPRGRRLSPQTGTPGTTAVSSNRDHEDDGCLLKQESRGRRLSPQTGTPGTTAVSSNRNPEDDGCLLKQESRGRRLSPQTGTPGTTAVSSNRDPEDDGCLLKQGPRGRRLSPQTGTPRTTAVSSNRNPEDDGCLLKQGPRGRRLSPQTGIPRTTAVSSNRDPGEDGCLLKQESRGRRLSPQTGTTRTTAVSSKRNPEDDGCLLKQEPRGRRLSSLTGAPGTTAVSSNRDPRTTAVSSNRNPGDDGCLLKQGPRGRRLSPQTGTPGTTAVSSNRDPEDDGCLLKQEPQELRKPEADTAL
+>DECOY_sp|Q8N7P7|YH007_HUMAN Uncharacterized protein FLJ40521 OS=Homo sapiens OX=9606 PE=2 SV=1
+LATDAEPKRLEQPEQKLLCGDDEPDRNSSVATTGPTGTQPSLRRGRPGQKLLCGDDGPNRNSSVATTRPDRNSSVATTGPAGTLSSLRRGRPEQKLLCGDDEPNRKSSVATTRTTGTQPSLRRGRSEQKLLCGDEGPDRNSSVATTRPIGTQPSLRRGRPGQKLLCGDDEPNRNSSVATTRPTGTQPSLRRGRPGQKLLCGDDEPDRNSSVATTGPTGTQPSLRRGRSEQKLLCGDDEPNRNSSVATTGPTGTQPSLRRGRSEQKLLCGDDEHDRNSSVATTGPTGTQPSLRRGRPEQKLLCGDDEPNRNSSVATTGPTGTQPSLRRGRPGQKLLCGDDGPNRNSSVATTRPTGTQPSLRRGRPEQKLLCGDDEPNRNSSVATTGPAGTRPSLRRGRPEQKLLCGDNKPDRNSSVATTRPTGTRPSLRRGRPEQELLCGDDEPNRNSSVATM
+>sp|Q5VSD8|YI029_HUMAN Putative uncharacterized protein LOC401522 OS=Homo sapiens OX=9606 PE=4 SV=2
+MKLAKTAVLDPATYTSFSPGLSTCSSSQPPGDRRKGLLGCVGSGHCPLPTPAQFPKVQRPPTLLGGKNTSTQTTLHPVI
+>DECOY_sp|Q5VSD8|YI029_HUMAN Putative uncharacterized protein LOC401522 OS=Homo sapiens OX=9606 PE=4 SV=2
+IVPHLTTQTSTNKGGLLTPPRQVKPFQAPTPLPCHGSGVCGLLGKRRDGPPQSSSCTSLGPSFSTYTAPDLVATKALKM
+>sp|Q8N8F6|YIPF7_HUMAN Protein YIPF7 OS=Homo sapiens OX=9606 GN=YIPF7 PE=1 SV=2
+MDLLKISHTKLHLLEDLSIKNKQRMSNLAQFDSDFYQSNFTIDNQEQSGNDSNAYGNLYGSRKQQAGEQPQPASFVPSEMLMSSGYAGQFFQPASNSDYYSQSPYIDSFDEEPPLLEELGIHFDHIWQKTLTVLNPMKPVDGSIMNETDLTGPILFCVALGATLLLAGKVQFGYVYGMSAIGCLVIHALLNLMSSSGVSYGCVASVLGYCLLPMVILSGCAMFFSLQGIFGIMSSLVIIGWCSLSASKIFIAALHMEGQQLLVAYPCAILYGLFALLTIF
+>DECOY_sp|Q8N8F6|YIPF7_HUMAN Protein YIPF7 OS=Homo sapiens OX=9606 GN=YIPF7 PE=1 SV=2
+FITLLAFLGYLIACPYAVLLQQGEMHLAAIFIKSASLSCWGIIVLSSMIGFIGQLSFFMACGSLIVMPLLCYGLVSAVCGYSVGSSSMLNLLAHIVLCGIASMGYVYGFQVKGALLLTAGLAVCFLIPGTLDTENMISGDVPKMPNLVTLTKQWIHDFHIGLEELLPPEEDFSDIYPSQSYYDSNSAPQFFQGAYGSSMLMESPVFSAPQPQEGAQQKRSGYLNGYANSDNGSQEQNDITFNSQYFDSDFQALNSMRQKNKISLDELLHLKTHSIKLLDM
+>sp|Q96MT0|YJ006_HUMAN Putative uncharacterized protein FLJ31958 OS=Homo sapiens OX=9606 PE=2 SV=1
+MFLHSGPARGPCTAAGRSASVRVPVQVAHELQGPDAIVFGAEVEQVHLVANELDAGRVQLLLAQGVAAAVLLVQVVMGEELGEQGHQQARGEVADGQAALLDTAKMLVAEQAVGAGQLQVGLGISNLSKSIGTSQIFLERHRSPLKLSSTLITEMSSGRLEEL
+>DECOY_sp|Q96MT0|YJ006_HUMAN Putative uncharacterized protein FLJ31958 OS=Homo sapiens OX=9606 PE=2 SV=1
+LEELRGSSMETILTSSLKLPSRHRELFIQSTGISKSLNSIGLGVQLQGAGVAQEAVLMKATDLLAAQGDAVEGRAQQHGQEGLEEGMVVQVLLVAAAVGQALLLQVRGADLENAVLHVQEVEAGFVIADPGQLEHAVQVPVRVSASRGAATCPGRAPGSHLFM
+>sp|Q6AWC8|YK026_HUMAN Putative uncharacterized protein LOC100129027 OS=Homo sapiens OX=9606 PE=5 SV=1
+MYWQNWTHNGRLWGAGVHLYLSRKQCALKNTSLSKFQTSHICKGSALQPQQASPGASSFLTCPELGVMYLKLVLGQMVQAVRRDSGLQPFGSLFLLITQKRAVLTPFLTKTWHSLRALVYRVWSLEESRYLQREKGLVDSFGVLWEE
+>DECOY_sp|Q6AWC8|YK026_HUMAN Putative uncharacterized protein LOC100129027 OS=Homo sapiens OX=9606 PE=5 SV=1
+EEWLVGFSDVLGKERQLYRSEELSWVRYVLARLSHWTKTLFPTLVARKQTILLFLSGFPQLGSDRRVAQVMQGLVLKLYMVGLEPCTLFSSAGPSAQQPQLASGKCIHSTQFKSLSTNKLACQKRSLYLHVGAGWLRGNHTWNQWYM
+>sp|P16989|YBOX3_HUMAN Y-box-binding protein 3 OS=Homo sapiens OX=9606 GN=YBX3 PE=1 SV=4
+MSEAGEATTTTTTTLPQAPTEAAAAAPQDPAPKSPVGSGAPQAAAPAPAAHVAGNPGGDAAPAATGTAAAASLATAAGSEDAEKKVLATKVLGTVKWFNVRNGYGFINRNDTKEDVFVHQTAIKKNNPRKYLRSVGDGETVEFDVVEGEKGAEAANVTGPDGVPVEGSRYAADRRRYRRGYYGRRRGPPRNYAGEEEEEGSGSSEGFDPPATDRQFSGARNQLRRPQYRPQYRQRRFPPYHVGQTFDRRSRVLPHPNRIQAGEIGEMKDGVPEGAQLQGPVHRNPTYRPRYRSRGPPRPRPAPAVGEAEDKENQQATSGPNQPSVRRGYRRPYNYRRRPRPPNAPSQDGKEAKAGEAPTENPAPPTQQSSAE
+>DECOY_sp|P16989|YBOX3_HUMAN Y-box-binding protein 3 OS=Homo sapiens OX=9606 GN=YBX3 PE=1 SV=4
+EASSQQTPPAPNETPAEGAKAEKGDQSPANPPRPRRRYNYPRRYGRRVSPQNPGSTAQQNEKDEAEGVAPAPRPRPPGRSRYRPRYTPNRHVPGQLQAGEPVGDKMEGIEGAQIRNPHPLVRSRRDFTQGVHYPPFRRQRYQPRYQPRRLQNRAGSFQRDTAPPDFGESSGSGEEEEEGAYNRPPGRRRGYYGRRYRRRDAAYRSGEVPVGDPGTVNAAEAGKEGEVVDFEVTEGDGVSRLYKRPNNKKIATQHVFVDEKTDNRNIFGYGNRVNFWKVTGLVKTALVKKEADESGAATALSAAAATGTAAPAADGGPNGAVHAAPAPAAAQPAGSGVPSKPAPDQPAAAAAETPAQPLTTTTTTTAEGAESM
+>sp|Q9H8V8|YD018_HUMAN Putative uncharacterized protein FLJ13197 OS=Homo sapiens OX=9606 PE=5 SV=1
+MKPDWPRRGAAGTRVRSRGEGDGTYFARRGAGRRRREIKAPIRAAWSPPSAAMSGLQSGRRWRPQGTGTGARAAGALAALRLGPRLRAAPLLAPLWLLAPTPDSHMTPAPLALRASRGWRENNLSDYQYSWMQKC
+>DECOY_sp|Q9H8V8|YD018_HUMAN Putative uncharacterized protein FLJ13197 OS=Homo sapiens OX=9606 PE=5 SV=1
+CKQMWSYQYDSLNNERWGRSARLALPAPTMHSDPTPALLWLPALLPAARLRPGLRLAALAGAARAGTGTGQPRWRRGSQLGSMAASPPSWAARIPAKIERRRRGAGRRAFYTGDGEGRSRVRTGAAGRRPWDPKM
+>sp|Q6ZRP5|YD019_HUMAN Putative uncharacterized protein FLJ46204 OS=Homo sapiens OX=9606 PE=5 SV=2
+MRIFRGCTQPSTLGQGVHSPLMKAQFITHHSRKQVKPGEGWGRSSFTRACRDHTTILSGNRSFSAVAATPAKHKHMHTRTHTHMHTHTGMHTLTGTHVHTPHTQMHTRILTLSHMHTHAHTHAHTHGHTHTRAHSTHAHTHAHSHYHTRTLTLTHSHAHSCTLTSTITHMHTHTHMHTHTSTLTRTLTLTHTHMHTFLSLVSHLAGYISCQFIFSSENPRLCH
+>DECOY_sp|Q6ZRP5|YD019_HUMAN Putative uncharacterized protein FLJ46204 OS=Homo sapiens OX=9606 PE=5 SV=2
+HCLRPNESSFIFQCSIYGALHSVLSLFTHMHTHTLTLTRTLTSTHTHMHTHTHMHTITSTLTCSHAHSHTLTLTRTHYHSHAHTHAHTSHARTHTHGHTHAHTHAHTHMHSLTLIRTHMQTHPTHVHTGTLTHMGTHTHMHTHTRTHMHKHKAPTAAVASFSRNGSLITTHDRCARTFSSRGWGEGPKVQKRSHHTIFQAKMLPSHVGQGLTSPQTCGRFIRM
+>sp|Q8IY57|YAF2_HUMAN YY1-associated factor 2 OS=Homo sapiens OX=9606 GN=YAF2 PE=1 SV=3
+MGDKKSPTRPKRQPKPSSDEGYWDCSVCTFRNSAEAFKCMMCDVRKGTSTRKPRPVSQLVAQQVTQQFVPPTQSKKEKKDKVEKEKSEKETTSKKNSHKKTRPRLKNVDRSSAQHLEVTVGDLTVIITDFKEKTKSPPASSAASADQHSQSGSSSDNTERGMSRSSSPRGEASSLNGESH
+>DECOY_sp|Q8IY57|YAF2_HUMAN YY1-associated factor 2 OS=Homo sapiens OX=9606 GN=YAF2 PE=1 SV=3
+HSEGNLSSAEGRPSSSRSMGRETNDSSSGSQSHQDASAASSAPPSKTKEKFDTIIVTLDGVTVELHQASSRDVNKLRPRTKKHSNKKSTTEKESKEKEVKDKKEKKSQTPPVFQQTVQQAVLQSVPRPKRTSTGKRVDCMMCKFAEASNRFTCVSCDWYGEDSSPKPQRKPRTPSKKDGM
+>sp|Q8N2B8|YB035_HUMAN Putative uncharacterized protein FLJ33534 OS=Homo sapiens OX=9606 PE=2 SV=1
+MGLLSQRKWTLSGSQQTGCVALTVPSFPWVASRMHYGRKQVSWIIFLKIGAGCQVHVGHDCSTLRRQQGAPWSFASSFRPAASPLAPPSPGVSGLFPPHERWSGGSQTRCGKCVKMQILGSTLKLFRHPPSQVTRLWGRHHLKTPAPFLQSPGIQLNPGKVPASLLRLATWKPL
+>DECOY_sp|Q8N2B8|YB035_HUMAN Putative uncharacterized protein FLJ33534 OS=Homo sapiens OX=9606 PE=2 SV=1
+LPKWTALRLLSAPVKGPNLQIGPSQLFPAPTKLHHRGWLRTVQSPPHRFLKLTSGLIQMKVCKGCRTQSGGSWREHPPFLGSVGPSPPALPSAAPRFSSAFSWPAGQQRRLTSCDHGVHVQCGAGIKLFIIWSVQKRGYHMRSAVWPFSPVTLAVCGTQQSGSLTWKRQSLLGM
+>sp|A6NLC8|YE016_HUMAN Putative TAF11-like protein ENSP00000332601 OS=Homo sapiens OX=9606 PE=3 SV=2
+METGRQTGVSAEMFAMPRDLKGSKKDGIPEDLDGNLEEPRDQEGELRSEDVMDLTEGDNEASASAPPAAKRRKTDTKGKKERKPTVDAEEAQRMTTLLSAMSEEQLSRYEVCRRSAFPKACIAGLMRSITGRSVSENVAIAMAGIAKVFVGEVVEEALDVCEMWGEMPPLQPKHLREAVRRLKPKGLFPNSNYKKIMF
+>DECOY_sp|A6NLC8|YE016_HUMAN Putative TAF11-like protein ENSP00000332601 OS=Homo sapiens OX=9606 PE=3 SV=2
+FMIKKYNSNPFLGKPKLRRVAERLHKPQLPPMEGWMECVDLAEEVVEGVFVKAIGAMAIAVNESVSRGTISRMLGAICAKPFASRRCVEYRSLQEESMASLLTTMRQAEEADVTPKREKKGKTDTKRRKAAPPASASAENDGETLDMVDESRLEGEQDRPEELNGDLDEPIGDKKSGKLDRPMAFMEASVGTQRGTEM
+>sp|Q8NA96|YE027_HUMAN Putative uncharacterized protein FLJ35723 OS=Homo sapiens OX=9606 PE=5 SV=2
+MPSSVPKTSIESLGSPSSLSSSQASEPLCPLKHPSHRPPASTLSPNLTSSTESLGYLSSLSSSQPPEPLRPLECPSHKPCGRSLPRRRNPGWVSWSDSMQADSETDAIICPMCKAPERSCPHTWWVPSSPRVIRGVGRCSDPNLGLSWRQEAARAWCHCTSSQYPFKHPNLPTHLPKASF
+>DECOY_sp|Q8NA96|YE027_HUMAN Putative uncharacterized protein FLJ35723 OS=Homo sapiens OX=9606 PE=5 SV=2
+FSAKPLHTPLNPHKFPYQSSTCHCWARAAEQRWSLGLNPDSCRGVGRIVRPSSPVWWTHPCSREPAKCMPCIIADTESDAQMSDSWSVWGPNRRRPLSRGCPKHSPCELPRLPEPPQSSSLSSLYGLSETSSTLNPSLTSAPPRHSPHKLPCLPESAQSSSLSSPSGLSEISTKPVSSPM
+>sp|Q6ZS52|YF013_HUMAN Putative uncharacterized protein FLJ45825 OS=Homo sapiens OX=9606 PE=5 SV=1
+MHQTHAIQRLEVLPSFSNESPTSRETSESWTNQDDIFYAYASMSPGAEHRGTTQLLRFQLAPIKKLEGSLQTHFLLSSLHPRMTFPGRAGGGEAGSRPPRRPWAGILILQLPSTRGGRRSGHGAVRSWGPWKVVAEQPVGGTDPPAHGGRGRPSPNENT
+>DECOY_sp|Q6ZS52|YF013_HUMAN Putative uncharacterized protein FLJ45825 OS=Homo sapiens OX=9606 PE=5 SV=1
+TNENPSPRGRGGHAPPDTGGVPQEAVVKWPGWSRVAGHGSRRGGRTSPLQLILIGAWPRRPPRSGAEGGGARGPFTMRPHLSSLLFHTQLSGELKKIPALQFRLLQTTGRHEAGPSMSAYAYFIDDQNTWSESTERSTPSENSFSPLVELRQIAHTQHM
+>sp|Q8N976|YG039_HUMAN Putative uncharacterized protein FLJ38264 OS=Homo sapiens OX=9606 PE=5 SV=1
+MISAHCSNLHFLGSSESPTLASQVGEITGTHHHTRLIFVFLVETGFHHVGHAGLELLTSSDPPTLASRSAGITGMSHRARPHGISRGEQVTLGLPLELLECVSWPLCGSPLRRAQIVSTPPSPLAALRVPVGAEGWGGTEQ
+>DECOY_sp|Q8N976|YG039_HUMAN Putative uncharacterized protein FLJ38264 OS=Homo sapiens OX=9606 PE=5 SV=1
+QETGGWGEAGVPVRLAALPSPPTSVIQARRLPSGCLPWSVCELLELPLGLTVQEGRSIGHPRARHSMGTIGASRSALTPPDSSTLLELGAHGVHHFGTEVLFVFILRTHHHTGTIEGVQSALTPSESSGLFHLNSCHASIM
+>sp|Q6XCG6|YA011_HUMAN Putative uncharacterized protein PP632 OS=Homo sapiens OX=9606 GN=PP632 PE=4 SV=1
+MWLSPWSTCPPHPLPSCLTACCSPAHQPVRGSAQPPASDLCTCVTCCSGPHPNYPPAHLLPTSSLPICPGLICCLLTCLLVDCFSALLSACETRDLSPWKARRVLLR
+>DECOY_sp|Q6XCG6|YA011_HUMAN Putative uncharacterized protein PP632 OS=Homo sapiens OX=9606 GN=PP632 PE=4 SV=1
+RLLVRRAKWPSLDRTECASLLASFCDVLLCTLLCCILGPCIPLSSTPLLHAPPYNPHPGSCCTVCTCLDSAPPQASGRVPQHAPSCCATLCSPLPHPPCTSWPSLWM
+>sp|A8MUI8|YA034_HUMAN Putative UPF0607 protein ENSP00000383783 OS=Homo sapiens OX=9606 PE=3 SV=2
+MRLCLIPQNTGTPQRVLPPVVWSPPSRKKPMLSACNSMMFGHLSPVRIPHLRGKFNLQLPSLDEQVIPARLPKMEVRAEEPKEATEVKDQVETQGQEDNKRGPCSNGEAASTSSLLETQGNLTSSWYNPRPLEGNVHLKSLIEKNQTDKAQVHAVSFYSKDHEVASSHSPAGGILSFGKPDPLPTVLPAPVPGCSLWPEKAALKVLGKDHLPSSPGLLMVGEDMQPKDPAALGSSRSSPSRAASHSSHKRKLSEPPLQLQPTPPLQLKWDRDEGPPPAKFPCLSPEALLVSQASQREGRLQQGNMCKNMRVLSRTSKFRRLRELLRRRKKRRQGRCGSSHL
+>DECOY_sp|A8MUI8|YA034_HUMAN Putative UPF0607 protein ENSP00000383783 OS=Homo sapiens OX=9606 PE=3 SV=2
+LHSSGCRGQRRKKRRRLLERLRRFKSTRSLVRMNKCMNGQQLRGERQSAQSVLLAEPSLCPFKAPPPGEDRDWKLQLPPTPQLQLPPESLKRKHSSHSAARSPSSRSSGLAAPDKPQMDEGVMLLGPSSPLHDKGLVKLAAKEPWLSCGPVPAPLVTPLPDPKGFSLIGGAPSHSSAVEHDKSYFSVAHVQAKDTQNKEILSKLHVNGELPRPNYWSSTLNGQTELLSSTSAAEGNSCPGRKNDEQGQTEVQDKVETAEKPEEARVEMKPLRAPIVQEDLSPLQLNFKGRLHPIRVPSLHGFMMSNCASLMPKKRSPPSWVVPPLVRQPTGTNQPILCLRM
+>sp|A8MTW9|YB043_HUMAN Putative uncharacterized protein ENSP00000380674 OS=Homo sapiens OX=9606 PE=5 SV=2
+MRPLLCALAGLALLCAVGALADGREDRGSPGDTGERPAGPARGPGLEPARGTLQPRPRPPRKRWLLSPGAGAQQLEVVHLPGSTL
+>DECOY_sp|A8MTW9|YB043_HUMAN Putative uncharacterized protein ENSP00000380674 OS=Homo sapiens OX=9606 PE=5 SV=2
+LTSGPLHVVELQQAGAGPSLLWRKRPPRPRPQLTGRAPELGPGRAPGAPREGTDGPSGRDERGDALAGVACLLALGALACLLPRM
+>sp|Q9UI72|YE014_HUMAN Putative uncharacterized protein PRO0255 OS=Homo sapiens OX=9606 GN=PRO0255 PE=5 SV=1
+MGMALELYWLCGFRSYWPLGTNAENEGNRKENRRQMQSRNERGCNVRQTKTYRDREADRHIHGIACLLF
+>DECOY_sp|Q9UI72|YE014_HUMAN Putative uncharacterized protein PRO0255 OS=Homo sapiens OX=9606 GN=PRO0255 PE=5 SV=1
+FLLCAIGHIHRDAERDRYTKTQRVNCGRENRSQMQRRNEKRNGENEANTGLPWYSRFGCLWYLELAMGM
+>sp|P07947|YES_HUMAN Tyrosine-protein kinase Yes OS=Homo sapiens OX=9606 GN=YES1 PE=1 SV=3
+MGCIKSKENKSPAIKYRPENTPEPVSTSVSHYGAEPTTVSPCPSSSAKGTAVNFSSLSMTPFGGSSGVTPFGGASSSFSVVPSSYPAGLTGGVTIFVALYDYEARTTEDLSFKKGERFQIINNTEGDWWEARSIATGKNGYIPSNYVAPADSIQAEEWYFGKMGRKDAERLLLNPGNQRGIFLVRESETTKGAYSLSIRDWDEIRGDNVKHYKIRKLDNGGYYITTRAQFDTLQKLVKHYTEHADGLCHKLTTVCPTVKPQTQGLAKDAWEIPRESLRLEVKLGQGCFGEVWMGTWNGTTKVAIKTLKPGTMMPEAFLQEAQIMKKLRHDKLVPLYAVVSEEPIYIVTEFMSKGSLLDFLKEGDGKYLKLPQLVDMAAQIADGMAYIERMNYIHRDLRAANILVGENLVCKIADFGLARLIEDNEYTARQGAKFPIKWTAPEAALYGRFTIKSDVWSFGILQTELVTKGRVPYPGMVNREVLEQVERGYRMPCPQGCPESLHELMNLCWKKDPDERPTFEYIQSFLEDYFTATEPQYQPGENL
+>DECOY_sp|P07947|YES_HUMAN Tyrosine-protein kinase Yes OS=Homo sapiens OX=9606 GN=YES1 PE=1 SV=3
+LNEGPQYQPETATFYDELFSQIYEFTPREDPDKKWCLNMLEHLSEPCGQPCPMRYGREVQELVERNVMGPYPVRGKTVLETQLIGFSWVDSKITFRGYLAAEPATWKIPFKAGQRATYENDEILRALGFDAIKCVLNEGVLINAARLDRHIYNMREIYAMGDAIQAAMDVLQPLKLYKGDGEKLFDLLSGKSMFETVIYIPEESVVAYLPVLKDHRLKKMIQAEQLFAEPMMTGPKLTKIAVKTTGNWTGMWVEGFCGQGLKVELRLSERPIEWADKALGQTQPKVTPCVTTLKHCLGDAHETYHKVLKQLTDFQARTTIYYGGNDLKRIKYHKVNDGRIEDWDRISLSYAGKTTESERVLFIGRQNGPNLLLREADKRGMKGFYWEEAQISDAPAVYNSPIYGNKGTAISRAEWWDGETNNIIQFREGKKFSLDETTRAEYDYLAVFITVGGTLGAPYSSPVVSFSSSAGGFPTVGSSGGFPTMSLSSFNVATGKASSSPCPSVTTPEAGYHSVSTSVPEPTNEPRYKIAPSKNEKSKICGM
+>sp|Q6ZSV7|YF010_HUMAN Putative uncharacterized protein FLJ45177 OS=Homo sapiens OX=9606 PE=2 SV=1
+MAFGEPPSGHSTRHRTLHGLSFHTAMGMAWSLHYQGQGGTLCLVGVSTPSHDKAVLQGLPHFSVNLGVQPSALAGRRGDASCPSSWRSADPTVSPNLGAPGGPNAIDALHGEQLGLFLRTKMGRDPKDVHGLTPALCGPCLAGLPSSHSPQFSCNTAPLKMLS
+>DECOY_sp|Q6ZSV7|YF010_HUMAN Putative uncharacterized protein FLJ45177 OS=Homo sapiens OX=9606 PE=2 SV=1
+SLMKLPATNCSFQPSHSSPLGALCPGCLAPTLGHVDKPDRGMKTRLFLGLQEGHLADIANPGGPAGLNPSVTPDASRWSSPCSADGRRGALASPQVGLNVSFHPLGQLVAKDHSPTSVGVLCLTGGQGQYHLSWAMGMATHFSLGHLTRHRTSHGSPPEGFAM
+>sp|A4D1N5|YG018_HUMAN Putative uncharacterized protein FLJ40288 OS=Homo sapiens OX=9606 PE=2 SV=1
+MSSRRSSLSPWKCPWFVYCFERPISREAGPVVHQSPTVLYLHSELAARQTQGRLLPREPAAEDLRLSLPGGHAALGLFKLQGKDSYPHPPTVLLGEDLSSSGWNSWVFGILNISRDEEAIGILTTILQLRETESSAVKWTHTKHSRELGP
+>DECOY_sp|A4D1N5|YG018_HUMAN Putative uncharacterized protein FLJ40288 OS=Homo sapiens OX=9606 PE=2 SV=1
+PGLERSHKTHTWKVASSETERLQLITTLIGIAEEDRSINLIGFVWSNWGSSSLDEGLLVTPPHPYSDKGQLKFLGLAAHGGPLSLRLDEAAPERPLLRGQTQRAALESHLYLVTPSQHVVPGAERSIPREFCYVFWPCKWPSLSSRRSSM
+>sp|Q9HAA7|YG046_HUMAN Putative uncharacterized protein FLJ11871 OS=Homo sapiens OX=9606 PE=5 SV=1
+MLFGIRILVNTPSPLVTGLHHYNPSIHRDQGECANQWRKGPGSAHLAGLAGRCSLINTPSPLVTGLQRYNPSMDRAQGMCASLEEEAGLCKPLWAWWELQSHKHSQTSHHRAAGLQSQHAPGSGRVRITGGKV
+>DECOY_sp|Q9HAA7|YG046_HUMAN Putative uncharacterized protein FLJ11871 OS=Homo sapiens OX=9606 PE=5 SV=1
+VKGGTIRVRGSGPAHQSQLGAARHHSTQSHKHSQLEWWAWLPKCLGAEEELSACMGQARDMSPNYRQLGTVLPSPTNILSCRGALGALHASGPGKRWQNACEGQDRHISPNYHHLGTVLPSPTNVLIRIGFLM
+>sp|Q68D86|C102B_HUMAN Coiled-coil domain-containing protein 102B OS=Homo sapiens OX=9606 GN=CCDC102B PE=1 SV=4
+MNLDSIHRLIEETQIFQMQQSSIKSRGDMVAPASPPRDTCNTCFPLHGLQSHAAHNFCAHSYNTNKWDICEELRLRELEEVKARAAQMEKTMRWWSDCTANWREKWSKVRAERNSAREEGRQLRIKLEMAMKELSTLKKKQSLPPQKEALEAKVTQDLKLPGFVEESCEHTDQFQLSSQMHESIREYLVKRQFSTKEDTNNKEQGVVIDSLKLSEEMKPNLDGVDLFNNGGSGNGETKTGLRLKAINLPLENEVTEISALQVHLDEFQKILWKEREMRTALEKEIERLESALSLWKWKYEELKESKPKNVKEFDILLGQHNDEMQELSGNIKEESKSQNSKDRVICELRAELERLQAENTSEWDKREILEREKQGLERENRRLKIQVKEMEELLDKKNRLSANSQSPDFKMSQIDLQEKNQELLNLQHAYYKLNRQYQANIAELTHANNRVDQNEAEVKKLRLRVEELKQGLNQKEDELDDSLNQIRKLQRSLDEEKERNENLETELRHLQNW
+>DECOY_sp|Q68D86|C102B_HUMAN Coiled-coil domain-containing protein 102B OS=Homo sapiens OX=9606 GN=CCDC102B PE=1 SV=4
+WNQLHRLETELNENREKEEDLSRQLKRIQNLSDDLEDEKQNLGQKLEEVRLRLKKVEAENQDVRNNAHTLEAINAQYQRNLKYYAHQLNLLEQNKEQLDIQSMKFDPSQSNASLRNKKDLLEEMEKVQIKLRRNERELGQKERELIERKDWESTNEAQLRELEARLECIVRDKSNQSKSEEKINGSLEQMEDNHQGLLIDFEKVNKPKSEKLEEYKWKWLSLASELREIEKELATRMEREKWLIKQFEDLHVQLASIETVENELPLNIAKLRLGTKTEGNGSGGNNFLDVGDLNPKMEESLKLSDIVVGQEKNNTDEKTSFQRKVLYERISEHMQSSLQFQDTHECSEEVFGPLKLDQTVKAELAEKQPPLSQKKKLTSLEKMAMELKIRLQRGEERASNREARVKSWKERWNATCDSWWRMTKEMQAARAKVEELERLRLEECIDWKNTNYSHACFNHAAHSQLGHLPFCTNCTDRPPSAPAVMDGRSKISSQQMQFIQTEEILRHISDLNM
+>sp|Q6NUI1|C144L_HUMAN Putative coiled-coil domain-containing protein 144 N-terminal-like OS=Homo sapiens OX=9606 GN=CCDC144NL PE=5 SV=1
+MASWGGEKRGGAGGSPKPAVYATRKTPSVGSQEDQWYLDYPGDQWSLGFSYSWWKNSVGSESKHGEGALDQLQHDVRLEDLGELHRAARSGDVPGVEHVLAPGDTGVDKRDRKKSIQQLVPEYKEKQTPESLPQNNNPAAPSQAEGGEGGVACGTVEQMTWLCSLPHAVGGGDGDHSSTGAVGGHPRGPGEYCHLHEQRVHHHIFARGKRKGKNHVSNVVR
+>DECOY_sp|Q6NUI1|C144L_HUMAN Putative coiled-coil domain-containing protein 144 N-terminal-like OS=Homo sapiens OX=9606 GN=CCDC144NL PE=5 SV=1
+RVVNSVHNKGKRKGRAFIHHHVRQEHLHCYEGPGRPHGGVAGTSSHDGDGGGVAHPLSCLWTMQEVTGCAVGGEGGEAQSPAAPNNNQPLSEPTQKEKYEPVLQQISKKRDRKDVGTDGPALVHEVGPVDGSRAARHLEGLDELRVDHQLQDLAGEGHKSESGVSNKWWSYSFGLSWQDGPYDLYWQDEQSGVSPTKRTAYVAPKPSGGAGGRKEGGWSAM
+>sp|Q9Y4F5|C170B_HUMAN Centrosomal protein of 170 kDa protein B OS=Homo sapiens OX=9606 GN=CEP170B PE=1 SV=4
+MSATSWFLVSSSGARHRLPRELIFVGREECELMLQSRSVDKQHAVINYDQDRDEHWVKDLGSLNGTFVNDMRIPDQKYVTLKLNDVIRFGYDSNMYVLERVQHRVPEEALKHEKYTSQLQVSVKGLAPKRSEALPEHTPYCEASNPRPEKGDRRPGTEAASYRTPLYGQPSWWGEDDGSTLPDAQRQGEPYPERPKGPVQQDGELHGFRAPAEPQGCSFRREPSYFEIPTKETPQPSQPPEVPAHEMPTKDAEAGGGGAAPVVQSHASFTIEFDDCSPGKMKIKDHITKFSLRQRRPPGKEATPGEMVSAETKVADWLVQNDPSLLHRVGPGDDRHSTKSDLPVHTRTLKGHKHEDGTQSDSEDPLAKAASAAGVPLEASGEQVRLQRQIKRDPQELLHNQQAFVIEFFDEDTPRKKRSQSFTHSPSGDPKADKRRGPTPADRDRPSVPAPVQAGGRSSGPQRAGSLKREKTEERLGSPSPASRTPARPFGSVGRRSRLAQDFMAQCLRESSPAARPSPEKVPPVLPAPLTPHGTSPVGPPTPPPAPTDPQLTKARKQEEDDSLSDAGTYTIETEAQDTEVEEARKMIDQVFGVLESPELSRASSATFRPVIRGDRDESDDGGVAQRMALLQEFASRPLGAAPQAEHQGLPVPGSPGGQKWVSRWASLADSYSDPGLTEDGLGRRGGEPEGSLPVRMRRRLPQLPSERADSPAGPESSRRSGPGPPELDSEQPSRLFGQEELDPDSLSDASGSDGGRGPEPGVEPQDSRRRSPQEGPTWSRGRRSPRAPGEPTPASFFIGDQNGDAVLSRKPLAAPGDGEGLGQTAQPSPPARDGVYVSANGRMVIQLRPGRSPEPDGPAPAFLRQESFTKEPASGPPAPGKPPHISSHPLLQDLAATRAARMDFHSQDTHLILKETETALAALEARLLSNSVDAECEGGSTPRPPEDALSGDSDVDTASTVSLRSGKSGPSPTTPQPLRAQKEMSPSPPAAQDPGGTALVSAREQSSERQHHPLGPTDMGRGEPVRRSAIRRGHRPRGSLDWPSEERGPVLAHLPSSDVMASNHETPEATGAGRLGSRRKPAAPPPSPAAREEQSRSSASSQKGPQALTRSNSLSTPRPTRASRLRRARLGDASDTEAADGERGSLGNPEPVGRPAAEQAKKLSRLDILAMPRKRAGSFTGTSDPEAAPARTSFSGRSVELCCASRKPTMAEARAVSRKAANTATTTGPRQPFSRARSGSARYTSNTRRRQQGSDYTSTSEEEYGSRHGSPKHTRSHTSTATQTPRAGSSSRARSRAPGPRDTDDDEEEPDPYGFIVQTAEIAEIARLSQTLVKDVAILAQEIHDVAGDGDTLGSSEPAHSASLSNMPSTPASTISAREELVQRIPEASLNFQKVPPGSLNSRDFDQNMNDSCEDALANKTRPRNREEVIFDNLMLNPVSQLSQAIRENTEHLAEKMKILFQNTGRAWEDLEARINAENEVPILKTSNKEISSILKELRRVQKQLEVINAIVDPSGSLDLLTGNRSLASSAQPGLGKGRVAAQSPPSPASAEALLPALPLRNFPQRASCGPPSLPDPTFLPDAERFLI
+>DECOY_sp|Q9Y4F5|C170B_HUMAN Centrosomal protein of 170 kDa protein B OS=Homo sapiens OX=9606 GN=CEP170B PE=1 SV=4
+ILFREADPLFTPDPLSPPGCSARQPFNRLPLAPLLAEASAPSPPSQAAVRGKGLGPQASSALSRNGTLLDLSGSPDVIANIVELQKQVRRLEKLISSIEKNSTKLIPVENEANIRAELDEWARGTNQFLIKMKEALHETNERIAQSLQSVPNLMLNDFIVEERNRPRTKNALADECSDNMNQDFDRSNLSGPPVKQFNLSAEPIRQVLEERASITSAPTSPMNSLSASHAPESSGLTDGDGAVDHIEQALIAVDKVLTQSLRAIEAIEATQVIFGYPDPEEEDDDTDRPGPARSRARSSSGARPTQTATSTHSRTHKPSGHRSGYEEESTSTYDSGQQRRRTNSTYRASGSRARSFPQRPGTTTATNAAKRSVARAEAMTPKRSACCLEVSRGSFSTRAPAAEPDSTGTFSGARKRPMALIDLRSLKKAQEAAPRGVPEPNGLSGREGDAAETDSADGLRARRLRSARTPRPTSLSNSRTLAQPGKQSSASSRSQEERAAPSPPPAAPKRRSGLRGAGTAEPTEHNSAMVDSSPLHALVPGREESPWDLSGRPRHGRRIASRRVPEGRGMDTPGLPHHQRESSQERASVLATGGPDQAAPPSPSMEKQARLPQPTTPSPGSKGSRLSVTSATDVDSDGSLADEPPRPTSGGECEADVSNSLLRAELAALATETEKLILHTDQSHFDMRAARTAALDQLLPHSSIHPPKGPAPPGSAPEKTFSEQRLFAPAPGDPEPSRGPRLQIVMRGNASVYVGDRAPPSPQATQGLGEGDGPAALPKRSLVADGNQDGIFFSAPTPEGPARPSRRGRSWTPGEQPSRRRSDQPEVGPEPGRGGDSGSADSLSDPDLEEQGFLRSPQESDLEPPGPGSRRSSEPGAPSDARESPLQPLRRRMRVPLSGEPEGGRRGLGDETLGPDSYSDALSAWRSVWKQGGPSGPVPLGQHEAQPAAGLPRSAFEQLLAMRQAVGGDDSEDRDGRIVPRFTASSARSLEPSELVGFVQDIMKRAEEVETDQAETEITYTGADSLSDDEEQKRAKTLQPDTPAPPPTPPGVPSTGHPTLPAPLVPPVKEPSPRAAPSSERLCQAMFDQALRSRRGVSGFPRAPTRSAPSPSGLREETKERKLSGARQPGSSRGGAQVPAPVSPRDRDAPTPGRRKDAKPDGSPSHTFSQSRKKRPTDEDFFEIVFAQQNHLLEQPDRKIQRQLRVQEGSAELPVGAASAAKALPDESDSQTGDEHKHGKLTRTHVPLDSKTSHRDDGPGVRHLLSPDNQVLWDAVKTEASVMEGPTAEKGPPRRQRLSFKTIHDKIKMKGPSCDDFEITFSAHSQVVPAAGGGGAEADKTPMEHAPVEPPQSPQPTEKTPIEFYSPERRFSCGQPEAPARFGHLEGDQQVPGKPREPYPEGQRQADPLTSGDDEGWWSPQGYLPTRYSAAETGPRRDGKEPRPNSAECYPTHEPLAESRKPALGKVSVQLQSTYKEHKLAEEPVRHQVRELVYMNSDYGFRIVDNLKLTVYKQDPIRMDNVFTGNLSGLDKVWHEDRDQDYNIVAHQKDVSRSQLMLECEERGVFILERPLRHRAGSSSVLFWSTASM
+>sp|Q13901|C1D_HUMAN Nuclear nucleic acid-binding protein C1D OS=Homo sapiens OX=9606 GN=C1D PE=1 SV=1
+MAGEEINEDYPVEIHEYLSAFENSIGAVDEMLKTMMSVSRNELLQKLDPLEQAKVDLVSAYTLNSMFWVYLATQGVNPKEHPVKQELERIRVYMNRVKEITDKKKAGKLDRGAASRFVKNALWEPKSKNASKVANKGKSKS
+>DECOY_sp|Q13901|C1D_HUMAN Nuclear nucleic acid-binding protein C1D OS=Homo sapiens OX=9606 GN=C1D PE=1 SV=1
+SKSKGKNAVKSANKSKPEWLANKVFRSAAGRDLKGAKKKDTIEKVRNMYVRIRELEQKVPHEKPNVGQTALYVWFMSNLTYASVLDVKAQELPDLKQLLENRSVSMMTKLMEDVAGISNEFASLYEHIEVPYDENIEEGAM
+>sp|Q7Z5L3|C1QL2_HUMAN Complement C1q-like protein 2 OS=Homo sapiens OX=9606 GN=C1QL2 PE=2 SV=2
+MALGLLIAVPLLLQAAPRGAAHYEMMGTCRMICDPYTAAPGGEPPGAKAQPPGPSTAALEVMQDLSANPPPPFIQGPKGDPGRPGKPGPRGPPGEPGPPGPRGPPGEKGDSGRPGLPGLQLTAGTASGVGVVGGGAGVGGDSEGEVTSALSATFSGPKIAFYVGLKSPHEGYEVLKFDDVVTNLGNHYDPTTGKFSCQVRGIYFFTYHILMRGGDGTSMWADLCKNGQVRASAIAQDADQNYDYASNSVVLHLDSGDEVYVKLDGGKAHGGNNNKYSTFSGFLLYPD
+>DECOY_sp|Q7Z5L3|C1QL2_HUMAN Complement C1q-like protein 2 OS=Homo sapiens OX=9606 GN=C1QL2 PE=2 SV=2
+DPYLLFGSFTSYKNNNGGHAKGGDLKVYVEDGSDLHLVVSNSAYDYNQDADQAIASARVQGNKCLDAWMSTGDGGRMLIHYTFFYIGRVQCSFKGTTPDYHNGLNTVVDDFKLVEYGEHPSKLGVYFAIKPGSFTASLASTVEGESDGGVGAGGGVVGVGSATGATLQLGPLGPRGSDGKEGPPGRPGPPGPEGPPGRPGPKGPRGPDGKPGQIFPPPPNASLDQMVELAATSPGPPQAKAGPPEGGPAATYPDCIMRCTGMMEYHAAGRPAAQLLLPVAILLGLAM
+>sp|O75973|C1QRF_HUMAN C1q-related factor OS=Homo sapiens OX=9606 GN=C1QL1 PE=1 SV=1
+MLLVLVVLIPVLVSSGGPEGHYEMLGTCRMVCDPYPARGPGAGARTDGGDALSEQSGAPPPSTLVQGPQGKPGRTGKPGPPGPPGDPGPPGPVGPPGEKGEPGKPGPPGLPGAGGSGAISTATYTTVPRVAFYAGLKNPHEGYEVLKFDDVVTNLGNNYDAASGKFTCNIPGTYFFTYHVLMRGGDGTSMWADLCKNGQVRASAIAQDADQNYDYASNSVILHLDAGDEVFIKLDGGKAHGGNSNKYSTFSGFIIYSD
+>DECOY_sp|O75973|C1QRF_HUMAN C1q-related factor OS=Homo sapiens OX=9606 GN=C1QL1 PE=1 SV=1
+DSYIIFGSFTSYKNSNGGHAKGGDLKIFVEDGADLHLIVSNSAYDYNQDADQAIASARVQGNKCLDAWMSTGDGGRMLVHYTFFYTGPINCTFKGSAADYNNGLNTVVDDFKLVEYGEHPNKLGAYFAVRPVTTYTATSIAGSGGAGPLGPPGPKGPEGKEGPPGVPGPPGPDGPPGPPGPKGTRGPKGQPGQVLTSPPPAGSQESLADGGDTRAGAGPGRAPYPDCVMRCTGLMEYHGEPGGSSVLVPILVVLVLLM
+>sp|Q9BXJ1|C1QT1_HUMAN Complement C1q tumor necrosis factor-related protein 1 OS=Homo sapiens OX=9606 GN=C1QTNF1 PE=1 SV=1
+MGSRGQGLLLAYCLLLAFASGLVLSRVPHVQGEQQEWEGTEELPSPPDHAERAEEQHEKYRPSQDQGLPASRCLRCCDPGTSMYPATAVPQINITILKGEKGDRGDRGLQGKYGKTGSAGARGHTGPKGQKGSMGAPGERCKSHYAAFSVGRKKPMHSNHYYQTVIFDTEFVNLYDHFNMFTGKFYCYVPGLYFFSLNVHTWNQKETYLHIMKNEEEVVILFAQVGDRSIMQSQSLMLELREQDQVWVRLYKGERENAIFSEELDTYITFSGYLVKHATEP
+>DECOY_sp|Q9BXJ1|C1QT1_HUMAN Complement C1q tumor necrosis factor-related protein 1 OS=Homo sapiens OX=9606 GN=C1QTNF1 PE=1 SV=1
+PETAHKVLYGSFTIYTDLEESFIANEREGKYLRVWVQDQERLELMLSQSQMISRDGVQAFLIVVEEENKMIHLYTEKQNWTHVNLSFFYLGPVYCYFKGTFMNFHDYLNVFETDFIVTQYYHNSHMPKKRGVSFAAYHSKCREGPAGMSGKQGKPGTHGRAGASGTKGYKGQLGRDGRDGKEGKLITINIQPVATAPYMSTGPDCCRLCRSAPLGQDQSPRYKEHQEEAREAHDPPSPLEETGEWEQQEGQVHPVRSLVLGSAFALLLCYALLLGQGRSGM
+>sp|P60827|C1QT8_HUMAN Complement C1q tumor necrosis factor-related protein 8 OS=Homo sapiens OX=9606 GN=C1QTNF8 PE=1 SV=2
+MAAPALLLLALLLPVGAWPGLPRRPCVHCCRPAWPPGPYARVSDRDLWRGDLWRGLPRVRPTIDIEILKGEKGEAGVRGRAGRSGKEGPPGARGLQGRRGQKGQVGPPGAACRRAYAAFSVGRREGLHSSDHFQAVPFDTELVNLDGAFDLAAGRFLCTVPGVYFLSLNVHTWNYKETYLHIMLNRRPAAVLYAQPSERSVMQAQSLMLLLAAGDAVWVRMFQRDRDNAIYGEHGDLYITFSGHLVKPAAEL
+>DECOY_sp|P60827|C1QT8_HUMAN Complement C1q tumor necrosis factor-related protein 8 OS=Homo sapiens OX=9606 GN=C1QTNF8 PE=1 SV=2
+LEAAPKVLHGSFTIYLDGHEGYIANDRDRQFMRVWVADGAALLLMLSQAQMVSRESPQAYLVAAPRRNLMIHLYTEKYNWTHVNLSLFYVGPVTCLFRGAALDFAGDLNVLETDFPVAQFHDSSHLGERRGVSFAAYARRCAAGPPGVQGKQGRRGQLGRAGPPGEKGSRGARGRVGAEGKEGKLIEIDITPRVRPLGRWLDGRWLDRDSVRAYPGPPWAPRCCHVCPRRPLGPWAGVPLLLALLLLAPAAM
+>sp|Q9NZP8|C1RL_HUMAN Complement C1r subcomponent-like protein OS=Homo sapiens OX=9606 GN=C1RL PE=1 SV=2
+MPGPRVWGKYLWRSPHSKGCPGAMWWLLLWGVLQACPTRGSVLLAQELPQQLTSPGYPEPYGKGQESSTDIKAPEGFAVRLVFQDFDLEPSQDCAGDSVTISFVGSDPSQFCGQQGSPLGRPPGQREFVSSGRSLRLTFRTQPSSENKTAHLHKGFLALYQTVAVNYSQPISEASRGSEAINAPGDNPAKVQNHCQEPYYQAAAAGALTCATPGTWKDRQDGEEVLQCMPVCGRPVTPIAQNQTTLGSSRAKLGNFPWQAFTSIHGRGGGALLGDRWILTAAHTIYPKDSVSLRKNQSVNVFLGHTAIDEMLKLGNHPVHRVVVHPDYRQNESHNFSGDIALLELQHSIPLGPNVLPVCLPDNETLYRSGLLGYVSGFGMEMGWLTTELKYSRLPVAPREACNAWLQKRQRPEVFSDNMFCVGDETQRHSVCQGDSGSVYVVWDNHAHHWVATGIVSWGIGCGEGYDFYTKVLSYVDWIKGVMNGKN
+>DECOY_sp|Q9NZP8|C1RL_HUMAN Complement C1r subcomponent-like protein OS=Homo sapiens OX=9606 GN=C1RL PE=1 SV=2
+NKGNMVGKIWDVYSLVKTYFDYGEGCGIGWSVIGTAVWHHAHNDWVVYVSGSDGQCVSHRQTEDGVCFMNDSFVEPRQRKQLWANCAERPAVPLRSYKLETTLWGMEMGFGSVYGLLGSRYLTENDPLCVPLVNPGLPISHQLELLAIDGSFNHSENQRYDPHVVVRHVPHNGLKLMEDIATHGLFVNVSQNKRLSVSDKPYITHAATLIWRDGLLAGGGRGHISTFAQWPFNGLKARSSGLTTQNQAIPTVPRGCVPMCQLVEEGDQRDKWTGPTACTLAGAAAAQYYPEQCHNQVKAPNDGPANIAESGRSAESIPQSYNVAVTQYLALFGKHLHATKNESSPQTRFTLRLSRGSSVFERQGPPRGLPSGQQGCFQSPDSGVFSITVSDGACDQSPELDFDQFVLRVAFGEPAKIDTSSEQGKGYPEPYGPSTLQQPLEQALLVSGRTPCAQLVGWLLLWWMAGPCGKSHPSRWLYKGWVRPGPM
+>sp|P00736|C1R_HUMAN Complement C1r subcomponent OS=Homo sapiens OX=9606 GN=C1R PE=1 SV=2
+MWLLYLLVPALFCRAGGSIPIPQKLFGEVTSPLFPKPYPNNFETTTVITVPTGYRVKLVFQQFDLEPSEGCFYDYVKISADKKSLGRFCGQLGSPLGNPPGKKEFMSQGNKMLLTFHTDFSNEENGTIMFYKGFLAYYQAVDLDECASRSKSGEEDPQPQCQHLCHNYVGGYFCSCRPGYELQEDTHSCQAECSSELYTEASGYISSLEYPRSYPPDLRCNYSIRVERGLTLHLKFLEPFDIDDHQQVHCPYDQLQIYANGKNIGEFCGKQRPPDLDTSSNAVDLLFFTDESGDSRGWKLRYTTEIIKCPQPKTLDEFTIIQNLQPQYQFRDYFIATCKQGYQLIEGNQVLHSFTAVCQDDGTWHRAMPRCKIKDCGQPRNLPNGDFRYTTTMGVNTYKARIQYYCHEPYYKMQTRAGSRESEQGVYTCTAQGIWKNEQKGEKIPRCLPVCGKPVNPVEQRQRIIGGQKAKMGNFPWQVFTNIHGRGGGALLGDRWILTAAHTLYPKEHEAQSNASLDVFLGHTNVEELMKLGNHPIRRVSVHPDYRQDESYNFEGDIALLELENSVTLGPNLLPICLPDNDTFYDLGLMGYVSGFGVMEEKIAHDLRFVRLPVANPQACENWLRGKNRMDVFSQNMFCAGHPSLKQDACQGDSGGVFAVRDPNTDRWVATGIVSWGIGCSRGYGFYTKVLNYVDWIKKEMEEED
+>DECOY_sp|P00736|C1R_HUMAN Complement C1r subcomponent OS=Homo sapiens OX=9606 GN=C1R PE=1 SV=2
+DEEEMEKKIWDVYNLVKTYFGYGRSCGIGWSVIGTAVWRDTNPDRVAFVGGSDGQCADQKLSPHGACFMNQSFVDMRNKGRLWNECAQPNAVPLRVFRLDHAIKEEMVGFGSVYGMLGLDYFTDNDPLCIPLLNPGLTVSNELELLAIDGEFNYSEDQRYDPHVSVRRIPHNGLKMLEEVNTHGLFVDLSANSQAEHEKPYLTHAATLIWRDGLLAGGGRGHINTFVQWPFNGMKAKQGGIIRQRQEVPNVPKGCVPLCRPIKEGKQENKWIGQATCTYVGQESERSGARTQMKYYPEHCYYQIRAKYTNVGMTTTYRFDGNPLNRPQGCDKIKCRPMARHWTGDDQCVATFSHLVQNGEILQYGQKCTAIFYDRFQYQPQLNQIITFEDLTKPQPCKIIETTYRLKWGRSDGSEDTFFLLDVANSSTDLDPPRQKGCFEGINKGNAYIQLQDYPCHVQQHDDIDFPELFKLHLTLGREVRISYNCRLDPPYSRPYELSSIYGSAETYLESSCEAQCSHTDEQLEYGPRCSCFYGGVYNHCLHQCQPQPDEEGSKSRSACEDLDVAQYYALFGKYFMITGNEENSFDTHFTLLMKNGQSMFEKKGPPNGLPSGLQGCFRGLSKKDASIKVYDYFCGESPELDFQQFVLKVRYGTPVTIVTTTEFNNPYPKPFLPSTVEGFLKQPIPISGGARCFLAPVLLYLLWM
+>sp|P09871|C1S_HUMAN Complement C1s subcomponent OS=Homo sapiens OX=9606 GN=C1S PE=1 SV=1
+MWCIVLFSLLAWVYAEPTMYGEILSPNYPQAYPSEVEKSWDIEVPEGYGIHLYFTHLDIELSENCAYDSVQIISGDTEEGRLCGQRSSNNPHSPIVEEFQVPYNKLQVIFKSDFSNEERFTGFAAYYVATDINECTDFVDVPCSHFCNNFIGGYFCSCPPEYFLHDDMKNCGVNCSGDVFTALIGEIASPNYPKPYPENSRCEYQIRLEKGFQVVVTLRREDFDVEAADSAGNCLDSLVFVAGDRQFGPYCGHGFPGPLNIETKSNALDIIFQTDLTGQKKGWKLRYHGDPMPCPKEDTPNSVWEPAKAKYVFRDVVQITCLDGFEVVEGRVGATSFYSTCQSNGKWSNSKLKCQPVDCGIPESIENGKVEDPESTLFGSVIRYTCEEPYYYMENGGGGEYHCAGNGSWVNEVLGPELPKCVPVCGVPREPFEEKQRIIGGSDADIKNFPWQVFFDNPWAGGALINEYWVLTAAHVVEGNREPTMYVGSTSVQTSRLAKSKMLTPEHVFIHPGWKLLEVPEGRTNFDNDIALVRLKDPVKMGPTVSPICLPGTSSDYNLMDGDLGLISGWGRTEKRDRAVRLKAARLPVAPLRKCKEVKVEKPTADAEAYVFTPNMICAGGEKGMDSCKGDSGGAFAVQDPNDKTKFYAAGLVSWGPQCGTYGLYTRVKNYVDWIMKTMQENSTPRED
+>DECOY_sp|P09871|C1S_HUMAN Complement C1s subcomponent OS=Homo sapiens OX=9606 GN=C1S PE=1 SV=1
+DERPTSNEQMTKMIWDVYNKVRTYLGYTGCQPGWSVLGAAYFKTKDNPDQVAFAGGSDGKCSDMGKEGGACIMNPTFVYAEADATPKEVKVEKCKRLPAVPLRAAKLRVARDRKETRGWGSILGLDGDMLNYDSSTGPLCIPSVTPGMKVPDKLRVLAIDNDFNTRGEPVELLKWGPHIFVHEPTLMKSKALRSTQVSTSGVYMTPERNGEVVHAATLVWYENILAGGAWPNDFFVQWPFNKIDADSGGIIRQKEEFPERPVGCVPVCKPLEPGLVENVWSGNGACHYEGGGGNEMYYYPEECTYRIVSGFLTSEPDEVKGNEISEPIGCDVPQCKLKSNSWKGNSQCTSYFSTAGVRGEVVEFGDLCTIQVVDRFVYKAKAPEWVSNPTDEKPCPMPDGHYRLKWGKKQGTLDTQFIIDLANSKTEINLPGPFGHGCYPGFQRDGAVFVLSDLCNGASDAAEVDFDERRLTVVVQFGKELRIQYECRSNEPYPKPYNPSAIEGILATFVDGSCNVGCNKMDDHLFYEPPCSCFYGGIFNNCFHSCPVDVFDTCENIDTAVYYAAFGTFREENSFDSKFIVQLKNYPVQFEEVIPSHPNNSSRQGCLRGEETDGSIIQVSDYACNESLEIDLHTFYLHIGYGEPVEIDWSKEVESPYAQPYNPSLIEGYMTPEAYVWALLSFLVICWM
+>sp|P11586|C1TC_HUMAN C-1-tetrahydrofolate synthase, cytoplasmic OS=Homo sapiens OX=9606 GN=MTHFD1 PE=1 SV=3
+MAPAEILNGKEISAQIRARLKNQVTQLKEQVPGFTPRLAILQVGNRDDSNLYINVKLKAAEEIGIKATHIKLPRTTTESEVMKYITSLNEDSTVHGFLVQLPLDSENSINTEEVINAIAPEKDVDGLTSINAGRLARGDLNDCFIPCTPKGCLELIKETGVPIAGRHAVVVGRSKIVGAPMHDLLLWNNATVTTCHSKTAHLDEEVNKGDILVVATGQPEMVKGEWIKPGAIVIDCGINYVPDDKKPNGRKVVGDVAYDEAKERASFITPVPGGVGPMTVAMLMQSTVESAKRFLEKFKPGKWMIQYNNLNLKTPVPSDIDISRSCKPKPIGKLAREIGLLSEEVELYGETKAKVLLSALERLKHRPDGKYVVVTGITPTPLGEGKSTTTIGLVQALGAHLYQNVFACVRQPSQGPTFGIKGGAAGGGYSQVIPMEEFNLHLTGDIHAITAANNLVAAAIDARIFHELTQTDKALFNRLVPSVNGVRRFSDIQIRRLKRLGIEKTDPTTLTDEEINRFARLDIDPETITWQRVLDTNDRFLRKITIGQAPTEKGHTRTAQFDISVASEIMAVLALTTSLEDMRERLGKMVVASSKKGEPVSAEDLGVSGALTVLMKDAIKPNLMQTLEGTPVFVHAGPFANIAHGNSSIIADRIALKLVGPEGFVVTEAGFGADIGMEKFFNIKCRYSGLCPHVVVLVATVRALKMHGGGPTVTAGLPLPKAYIQENLELVEKGFSNLKKQIENARMFGIPVVVAVNAFKTDTESELDLISRLSREHGAFDAVKCTHWAEGGKGALALAQAVQRAAQAPSSFQLLYDLKLPVEDKIRIIAQKIYGADDIELLPEAQHKAEVYTKQGFGNLPICMAKTHLSLSHNPEQKGVPTGFILPIRDIRASVGAGFLYPLVGTMSTMPGLPTRPCFYDIDLDPETEQVNGLF
+>DECOY_sp|P11586|C1TC_HUMAN C-1-tetrahydrofolate synthase, cytoplasmic OS=Homo sapiens OX=9606 GN=MTHFD1 PE=1 SV=3
+FLGNVQETEPDLDIDYFCPRTPLGPMTSMTGVLPYLFGAGVSARIDRIPLIFGTPVGKQEPNHSLSLHTKAMCIPLNGFGQKTYVEAKHQAEPLLEIDDAGYIKQAIIRIKDEVPLKLDYLLQFSSPAQAARQVAQALALAGKGGEAWHTCKVADFAGHERSLRSILDLESETDTKFANVAVVVPIGFMRANEIQKKLNSFGKEVLELNEQIYAKPLPLGATVTPGGGHMKLARVTAVLVVVHPCLGSYRCKINFFKEMGIDAGFGAETVVFGEPGVLKLAIRDAIISSNGHAINAFPGAHVFVPTGELTQMLNPKIADKMLVTLAGSVGLDEASVPEGKKSSAVVMKGLRERMDELSTTLALVAMIESAVSIDFQATRTHGKETPAQGITIKRLFRDNTDLVRQWTITEPDIDLRAFRNIEEDTLTTPDTKEIGLRKLRRIQIDSFRRVGNVSPVLRNFLAKDTQTLEHFIRADIAAAVLNNAATIAHIDGTLHLNFEEMPIVQSYGGGAAGGKIGFTPGQSPQRVCAFVNQYLHAGLAQVLGITTTSKGEGLPTPTIGTVVVYKGDPRHKLRELASLLVKAKTEGYLEVEESLLGIERALKGIPKPKCSRSIDIDSPVPTKLNLNNYQIMWKGPKFKELFRKASEVTSQMLMAVTMPGVGGPVPTIFSAREKAEDYAVDGVVKRGNPKKDDPVYNIGCDIVIAGPKIWEGKVMEPQGTAVVLIDGKNVEEDLHATKSHCTTVTANNWLLLDHMPAGVIKSRGVVVAHRGAIPVGTEKILELCGKPTCPIFCDNLDGRALRGANISTLGDVDKEPAIANIVEETNISNESDLPLQVLFGHVTSDENLSTIYKMVESETTTRPLKIHTAKIGIEEAAKLKVNIYLNSDDRNGVQLIALRPTFGPVQEKLQTVQNKLRARIQASIEKGNLIEAPAM
+>sp|Q96HQ2|C2AIL_HUMAN CDKN2AIP N-terminal-like protein OS=Homo sapiens OX=9606 GN=CDKN2AIPNL PE=1 SV=1
+MVGGEAAAAVEELVSGVRQAADFAEQFRSYSESEKQWKARMEFILRHLPDYRDPPDGSGRLDQLLSLSMVWANHLFLGCSYNKDLLDKVMEMADGIEVEDLPQFTTRSELMKKHQS
+>DECOY_sp|Q96HQ2|C2AIL_HUMAN CDKN2AIP N-terminal-like protein OS=Homo sapiens OX=9606 GN=CDKN2AIPNL PE=1 SV=1
+SQHKKMLESRTTFQPLDEVEIGDAMEMVKDLLDKNYSCGLFLHNAWVMSLSLLQDLRGSGDPPDRYDPLHRLIFEMRAKWQKESESYSRFQEAFDAAQRVGSVLEEVAAAAEGGVM
+>sp|Q9Y426|C2CD2_HUMAN C2 domain-containing protein 2 OS=Homo sapiens OX=9606 GN=C2CD2 PE=1 SV=2
+MAMARLGSWLGEAQWLALVSLFVAALATVGLYLAQWALARARPQPQRRAVEPGEGPRPGSDALLSWILTLGSWRSQWQAAWVTALNEEAERKGGPPFLSFEEDPRQQALELVVQEVSSVLRSAEEKVVVCHVVGQAIQFLVSETPALGAGCRLYDMRLSPFHLQLEFHMKEKREDLQISWSFISVPEMAVNIQPKALGEDQVAETSAMSDVLKDILKHLAGSASPSVVLITKPTTVKEAQNLQCAASTAQESCPPKPPRAHELKLLVRNIHVLLLSEPGASGHINAVCVVQLNDPVQRFSSTLTKNTPDLMWEEEFTFELNAKSKELHLQISEAGRSSEGLLATATVPLDLFKKQPSGPQSFTLTSGSACGSSVLGSVTAEFSYMEPGELKSWPIPPPVPAAKIEKDRTVMPCGTVVTTVTAVKTKPRVDVGRASPLSSDSPVKTPIKVKVIEKDISVQAIACRSAPVSKTLSSSDTELLVLNGSDPVAEVAIRQLSESSKLKLKSPRKKSTIIISGISKTSLSQDHDAALMQGYTASVDSTHQEDAPSHPERAAASAPPEEAESAQASLAPKPQEDELDSWDLEKEPQAAAWSSQVLLDPDGDELSESSMSVLEPGTAKKHKGGILRKGAKLFFRRRHQQKDPGMSQSHNDLVFLEQPEGSRRKGITLTRILNKKLLSRHRNKNTMNGAPVEPCT
+>DECOY_sp|Q9Y426|C2CD2_HUMAN C2 domain-containing protein 2 OS=Homo sapiens OX=9606 GN=C2CD2 PE=1 SV=2
+TCPEVPAGNMTNKNRHRSLLKKNLIRTLTIGKRRSGEPQELFVLDNHSQSMGPDKQQHRRRFFLKAGKRLIGGKHKKATGPELVSMSSESLEDGDPDLLVQSSWAAAQPEKELDWSDLEDEQPKPALSAQASEAEEPPASAAAREPHSPADEQHTSDVSATYGQMLAADHDQSLSTKSIGSIIITSKKRPSKLKLKSSESLQRIAVEAVPDSGNLVLLETDSSSLTKSVPASRCAIAQVSIDKEIVKVKIPTKVPSDSSLPSARGVDVRPKTKVATVTTVVTGCPMVTRDKEIKAAPVPPPIPWSKLEGPEMYSFEATVSGLVSSGCASGSTLTFSQPGSPQKKFLDLPVTATALLGESSRGAESIQLHLEKSKANLEFTFEEEWMLDPTNKTLTSSFRQVPDNLQVVCVANIHGSAGPESLLLVHINRVLLKLEHARPPKPPCSEQATSAACQLNQAEKVTTPKTILVVSPSASGALHKLIDKLVDSMASTEAVQDEGLAKPQINVAMEPVSIFSWSIQLDERKEKMHFELQLHFPSLRMDYLRCGAGLAPTESVLFQIAQGVVHCVVVKEEASRLVSSVEQVVLELAQQRPDEEFSLFPPGGKREAEENLATVWAAQWQSRWSGLTLIWSLLADSGPRPGEGPEVARRQPQPRARALAWQALYLGVTALAAVFLSVLALWQAEGLWSGLRAMAM
+>sp|Q4AC94|C2CD3_HUMAN C2 domain-containing protein 3 OS=Homo sapiens OX=9606 GN=C2CD3 PE=1 SV=4
+MKQRKGQGSGGSRGRKKRGLSDISPSTSLPPLVEGQLRCFLKLTVNRVIWKIAKPPTCVLVRVRWWGETSDGTLFCPRDALQTEPKAVRTTTRYAIRCGPKQFTSYLTDMAVLVLEVITKLDGLPIGRVQINGLAQLSPTHQINGFFTIVSSTSKKLGELQVSLALEPLSETYDSYHPLPTTDMTENVLLSKQGFRENTEPSSTQFQVPSRPRDIHTIKIDGKELAANSSRSTTPRGKDHVCFAENPDTIKDSSFGLQHSLNSGQSLESVTLKGRAPRKQMSLLNSSEFQPQIRTVAKSHSDSCILSSNNLPTKDLLSALLEQGNKLRNAMVISAMKSSPETSMLLDQVHPPINEDSLRASTQIRAFSRNRFKDHIEDHLLPSTENTFWRHDTKADTRAIQLLLGSAELSQGNFWDGLGSPPDSPSPGSDVYCISELNDPQYDQSLLENLFYTAPKSDTSISDFLSEEDDIVPSKKISQSTALARSSKVLESSDHKLKKRSAGKRNRNLVEQQMLSETPEDAQTMTLSVDRLALLGRTHSVRIIIETMGVPPDSPQMTPGKKSYAGPPPKVTTAKKRTFFVEYHFPVGFSESGLGKTALITEVVRLASSKITDGKVKFQQRFVFPVQFGGPMIEHWWNSNLTFQIYVKKTPQKKPEVIGSVSLSLRAVIQSELLSFSDQLPVQQENGQSPFGPLKVTMELITDNKDFTGINTKLSGNTHYTPLCAPTSPNKALPELNQDMTCTKNPQNLNQIHEETAKKAQNLVLPNRKSPSPVAPHPSTFVATPASHNLVNQTNGTTKESALLLHVLLMVPDGKDFISGESEKQSPCNVYLNCKLFSTEEVTRSVIAWGTTQPVFNFSQVIPVSLSSKYLERLKNNVMVIETWNKVRSPGQDKLLGLVKLPLHQFYMSFKDAKISRLLLDAQYPVVAVDSYMPVIDVFSGHQNGSLRVFLAMGSSNQIMALQRLKNEEGTLPPFSPRPAHFLDQPTAASVAMAEDRGNGLMEHCFEIHIEMVKGLAPLQATVWGEADCYVQYYFPVQHSQSSVLKGPEFLENGITLKPFRTATTLCVPDPIFNSEHHHSLLLPAEVPVQRLLLSAFSAQGLVPGGGVQFEIWCRYYYPNVRDQKVAKGTLPLSRICAMVTTQHREDVGIQTFNLPLTPRIENRKELRNQSSGLLDVGLRYRRSPRTAEGVLAARTVSISVQIIRACGLQAAAKALAEREPALQFSATVGVNASVTTHLSFLPQGEQRRTHPVACSFCPEFSHHVEFTCNLVTQHCSGEACFLAELLEFAEVIFAVYHENTKSASDIISIESCKEYLLGVVKVPTKELLIKRSGITGWYPIILPEDGGLPHGLELMQKIVGGLELSISFTHRGDRERVLEAAEHLGWSFENSLKDFVRMDEGEPATVTISTPRLWLPIHCVLLAGHNHIHKNTYCYLRYKFYDHEAFWTPLKKPKESVNKKQIMVTFKASKRAEVTRGPSLLWYFREERLEIQVWRAYGNDSVERPHQTDSWIGSAYVDLARLGERSARTLTVSGVYPLFGRNASNLSGAALRVHVVLSSLSSHLEPTHELDSMDCSSHSESEQLPRRNDEVQLSPPEVISCHQKSPASTQVPCSSTTAEVRLTQEGPADLDGTFAVSILVERAMHLSLKGSPLTERKVSIPSCCVSFATADESSPVYTQVVENTDSPIWNFQQQSRLSKELLLDPQQTLVFKVWHKGDEERVIGFASVDLSPLLSGFQFVCGWYNITDFSGECQGQIKVAVSPLESLIHFKEERQARRGVETSKSLIPIYSPFSFPASDTYAAFSSHMARQTLDQLAHASSKELDFSSPGRSDTTRSQASRHEEHVQNIRRFHESLHLQGEAPLPCDDKLTTSPLSSQTSILTSLRKNLSELDQIQRYFRQKLTKPFLPLSPQTQTAISQHQESCRDHLGPGASSLDPGSQCILEKSSNLVLQVSSLITDLQTITRDSQAALSSHRARSRSNKATTLPDAQDTEALQERCTMPDEPLVRAPDKGTDSPSPPPLEETSNGGRMLHESLRHAVPITRMQSSEDTEAGPAYSDEDYEEDIIEPRTLNEITTVTDKTSPWSSVISDTSEVISPQPDEVQREGPSCPSPGPFCREELMVKSSFLSSPERAVNPHLPRQGSPSQSLVACECEASKARVGGESASANPQPIPCPTLSGAQQSSTFVGWSSPQTDQNKEPKSEAPAENEAATSELGDSADSFKKLPLNLASQSRRENHKGPPIDSSDIRQRQVTTGSETSTKQSLLLPGPIVVPNFFLPPQQLEASLRMLSLSATLPPAATTDQDKSEATRGALSQRPCRPRPNSLPLNLPEEETLRIARIFSSQYSQKD
+>DECOY_sp|Q4AC94|C2CD3_HUMAN C2 domain-containing protein 3 OS=Homo sapiens OX=9606 GN=C2CD3 PE=1 SV=4
+DKQSYQSSFIRAIRLTEEEPLNLPLSNPRPRCPRQSLAGRTAESKDQDTTAAPPLTASLSLMRLSAELQQPPLFFNPVVIPGPLLLSQKTSTESGTTVQRQRIDSSDIPPGKHNERRSQSALNLPLKKFSDASDGLESTAAENEAPAESKPEKNQDTQPSSWGVFTSSQQAGSLTPCPIPQPNASASEGGVRAKSAECECAVLSQSPSGQRPLHPNVAREPSSLFSSKVMLEERCFPGPSPCSPGERQVEDPQPSIVESTDSIVSSWPSTKDTVTTIENLTRPEIIDEEYDEDSYAPGAETDESSQMRTIPVAHRLSEHLMRGGNSTEELPPPSPSDTGKDPARVLPEDPMTCREQLAETDQADPLTTAKNSRSRARHSSLAAQSDRTITQLDTILSSVQLVLNSSKELICQSGPDLSSAGPGLHDRCSEQHQSIATQTQPSLPLFPKTLKQRFYRQIQDLESLNKRLSTLISTQSSLPSTTLKDDCPLPAEGQLHLSEHFRRINQVHEEHRSAQSRTTDSRGPSSFDLEKSSAHALQDLTQRAMHSSFAAYTDSAPFSFPSYIPILSKSTEVGRRAQREEKFHILSELPSVAVKIQGQCEGSFDTINYWGCVFQFGSLLPSLDVSAFGIVREEDGKHWVKFVLTQQPDLLLEKSLRSQQQFNWIPSDTNEVVQTYVPSSEDATAFSVCCSPISVKRETLPSGKLSLHMAREVLISVAFTGDLDAPGEQTLRVEATTSSCPVQTSAPSKQHCSIVEPPSLQVEDNRRPLQESESHSSCDMSDLEHTPELHSSLSSLVVHVRLAAGSLNSANRGFLPYVGSVTLTRASREGLRALDVYASGIWSDTQHPREVSDNGYARWVQIELREERFYWLLSPGRTVEARKSAKFTVMIQKKNVSEKPKKLPTWFAEHDYFKYRLYCYTNKHIHNHGALLVCHIPLWLRPTSITVTAPEGEDMRVFDKLSNEFSWGLHEAAELVRERDGRHTFSISLELGGVIKQMLELGHPLGGDEPLIIPYWGTIGSRKILLEKTPVKVVGLLYEKCSEISIIDSASKTNEHYVAFIVEAFELLEALFCAEGSCHQTVLNCTFEVHHSFEPCFSCAVPHTRRQEGQPLFSLHTTVSANVGVTASFQLAPEREALAKAAAQLGCARIIQVSISVTRAALVGEATRPSRRYRLGVDLLGSSQNRLEKRNEIRPTLPLNFTQIGVDERHQTTVMACIRSLPLTGKAVKQDRVNPYYYRCWIEFQVGGGPVLGQASFASLLLRQVPVEAPLLLSHHHESNFIPDPVCLTTATRFPKLTIGNELFEPGKLVSSQSHQVPFYYQVYCDAEGWVTAQLPALGKVMEIHIEFCHEMLGNGRDEAMAVSAATPQDLFHAPRPSFPPLTGEENKLRQLAMIQNSSGMALFVRLSGNQHGSFVDIVPMYSDVAVVPYQADLLLRSIKADKFSMYFQHLPLKVLGLLKDQGPSRVKNWTEIVMVNNKLRELYKSSLSVPIVQSFNFVPQTTGWAIVSRTVEETSFLKCNLYVNCPSQKESEGSIFDKGDPVMLLVHLLLASEKTTGNTQNVLNHSAPTAVFTSPHPAVPSPSKRNPLVLNQAKKATEEHIQNLNQPNKTCTMDQNLEPLAKNPSTPACLPTYHTNGSLKTNIGTFDKNDTILEMTVKLPGFPSQGNEQQVPLQDSFSLLESQIVARLSLSVSGIVEPKKQPTKKVYIQFTLNSNWWHEIMPGGFQVPFVFRQQFKVKGDTIKSSALRVVETILATKGLGSESFGVPFHYEVFFTRKKATTVKPPPGAYSKKGPTMQPSDPPVGMTEIIIRVSHTRGLLALRDVSLTMTQADEPTESLMQQEVLNRNRKGASRKKLKHDSSELVKSSRALATSQSIKKSPVIDDEESLFDSISTDSKPATYFLNELLSQDYQPDNLESICYVDSGPSPSDPPSGLGDWFNGQSLEASGLLLQIARTDAKTDHRWFTNETSPLLHDEIHDKFRNRSFARIQTSARLSDENIPPHVQDLLMSTEPSSKMASIVMANRLKNGQELLASLLDKTPLNNSSLICSDSHSKAVTRIQPQFESSNLLSMQKRPARGKLTVSELSQGSNLSHQLGFSSDKITDPNEAFCVHDKGRPTTSRSSNAALEKGDIKITHIDRPRSPVQFQTSSPETNERFGQKSLLVNETMDTTPLPHYSDYTESLPELALSVQLEGLKKSTSSVITFFGNIQHTPSLQALGNIQVRGIPLGDLKTIVELVLVAMDTLYSTFQKPGCRIAYRTTTRVAKPETQLADRPCFLTGDSTEGWWRVRVLVCTPPKAIKWIVRNVTLKLFCRLQGEVLPPLSTSPSIDSLGRKKRGRSGGSGQGKRQKM
+>sp|Q9P2K1|C2D2A_HUMAN Coiled-coil and C2 domain-containing protein 2A OS=Homo sapiens OX=9606 GN=CC2D2A PE=1 SV=3
+MNPREEKVKIITEEFIENDEDADMGRQNKNSKVRRQPRKKQPPTAVPKEMVSEKSHLGNPQEPVQEEPKTRLLSMTVRRGPRSLPPIPSTSRTGFAEFSMRGRMREKLQAARSKAESALLQEIPTPRPRRLRSPSKKELETEFGTEPGKEVERTQQEVDSQSYSRVKFHDSARKIKPKPQVPPGFPSAEEAYNFFTFNFDPEPEGSEEKPKARHRAGTNQEEEEGEEEEPPAQGGGKEMDEEELLNGDDAEDFLLGLDHVADDFVAVRPADYESIHDRLQMEREMLFIPSRQTVPTYKKLPENVQPRFLEDEGLYTGVRPEVARTNQNIMENRLLMQDPERRWFGDDGRILALPNPIKPFPSRPPVLTQEQSIKAELETLYKKAVKYVHSSQHVIRSGDPPGNFQLDIDISGLIFTHHPCFSREHVLAAKLAQLYDQYLARHQRNKAKFLTDKLQALRNAVQTGLDPEKPHQSLDTIQKTINEYKSEIRQTRKFRDAEQEKDRTLLKTIIKVWKEMKSLREFQRFTNTPLKLVLRKEKADQKADEEAYEAEIQAEISELLEEHTEEYAQKMEEYRTSLQQWKAWRKVQRAKKKKRKQAAEEHPGDEIAEPYPEEDLVKPSPPEPTDRAVIEQEVRERAAQSRRRPWEPTLVPELSLAGSVTPNDQCPRAEVSRREDVKKRSVYLKVLFNNKEVSRTVSRPLGADFRVHFGQIFNLQIVNWPESLTLQVYETVGHSSPTLLAEVFLPIPETTVVTGRAPTEEVEFSSNQHVTLDHEGVGSGVPFSFEADGSNQLTLMTSGKVSHSVAWAIGENGIPLIPPLSQQNIGFRSALKKADAISSIGTSGLTDMKKLAKWAAESKLDPNDPNNAPLMQLISVATSGESYVPDFFRLEQLQQEFNFVSDQELNRSKRFRLLHLRSQEVPEFRNYKQVPVYDREIMEKVFQDYEKRLRDRNVIETKEHIDTHRAIVAKYLQQVRESVINRFLIAKQYFLLADMIVEEEVPNISILGLSLFKLAEQKRPLRPRRKGRKKVTAQNLSDGDIKLLVNIVRAYDIPVRKPAVSKFQQPSRSSRMFSEKHAASPSTYSPTHNADYPLGQVLVRPFVEVSFQRTVCHTTTAEGPNPSWNEELELPFRAPNGDYSTASLQSVKDVVFINIFDEVLHDVLEDDRERGSGIHTRIERHWLGCVKMPFSTIYFQARIDGTFKIDIPPVLLGYSKERNMILERGFDSVRSLSEGSYITLFITIEPQLVPGESIREKFESQEDEKLLQATEKFQAECALKFPNRQCLTTVIDISGKTVFITRYLKPLNPPQELLNVYPNNLQATAELVARYVSLIPFLPDTVSFGGICDLWSTSDQFLDLLAGDEEEHAVLLCNYFLSLGKKAWLLMGNAIPEGPTAYVLTWEQGRYLIWNPCSGHFYGQFDTFCPLKNVGCLIGPDNIWFNIQRYESPLRINFDVTRPKLWKSFFSRSLPYPGLSSVQPEELIYQRSDKAAAAELQDRIEKILKEKIMDWRPRHLTRWNRYCTSTLRHFLPLLEKSQGEDVEDDHRAELLKQLGDYRFSGFPLHMPYSEVKPLIDAVYSTGVHNIDVPNVEFALAVYIHPYPKNVLSVWIYVASLIRNR
+>DECOY_sp|Q9P2K1|C2D2A_HUMAN Coiled-coil and C2 domain-containing protein 2A OS=Homo sapiens OX=9606 GN=CC2D2A PE=1 SV=3
+RNRILSAVYIWVSLVNKPYPHIYVALAFEVNPVDINHVGTSYVADILPKVESYPMHLPFGSFRYDGLQKLLEARHDDEVDEGQSKELLPLFHRLTSTCYRNWRTLHRPRWDMIKEKLIKEIRDQLEAAAAKDSRQYILEEPQVSSLGPYPLSRSFFSKWLKPRTVDFNIRLPSEYRQINFWINDPGILCGVNKLPCFTDFQGYFHGSCPNWILYRGQEWTLVYATPGEPIANGMLLWAKKGLSLFYNCLLVAHEEEDGALLDLFQDSTSWLDCIGGFSVTDPLFPILSVYRAVLEATAQLNNPYVNLLEQPPNLPKLYRTIFVTKGSIDIVTTLCQRNPFKLACEAQFKETAQLLKEDEQSEFKERISEGPVLQPEITIFLTIYSGESLSRVSDFGRELIMNREKSYGLLVPPIDIKFTGDIRAQFYITSFPMKVCGLWHREIRTHIGSGRERDDELVDHLVEDFINIFVVDKVSQLSATSYDGNPARFPLELEENWSPNPGEATTTHCVTRQFSVEVFPRVLVQGLPYDANHTPSYTSPSAAHKESFMRSSRSPQQFKSVAPKRVPIDYARVINVLLKIDGDSLNQATVKKRGKRRPRLPRKQEALKFLSLGLISINPVEEEVIMDALLFYQKAILFRNIVSERVQQLYKAVIARHTDIHEKTEIVNRDRLRKEYDQFVKEMIERDYVPVQKYNRFEPVEQSRLHLLRFRKSRNLEQDSVFNFEQQLQELRFFDPVYSEGSTAVSILQMLPANNPDNPDLKSEAAWKALKKMDTLGSTGISSIADAKKLASRFGINQQSLPPILPIGNEGIAWAVSHSVKGSTMLTLQNSGDAEFSFPVGSGVGEHDLTVHQNSSFEVEETPARGTVVTTEPIPLFVEALLTPSSHGVTEYVQLTLSEPWNVIQLNFIQGFHVRFDAGLPRSVTRSVEKNNFLVKLYVSRKKVDERRSVEARPCQDNPTVSGALSLEPVLTPEWPRRRSQAARERVEQEIVARDTPEPPSPKVLDEEPYPEAIEDGPHEEAAQKRKKKKARQVKRWAKWQQLSTRYEEMKQAYEETHEELLESIEAQIEAEYAEEDAKQDAKEKRLVLKLPTNTFRQFERLSKMEKWVKIITKLLTRDKEQEADRFKRTQRIESKYENITKQITDLSQHPKEPDLGTQVANRLAQLKDTLFKAKNRQHRALYQDYLQALKAALVHERSFCPHHTFILGSIDIDLQFNGPPDGSRIVHQSSHVYKVAKKYLTELEAKISQEQTLVPPRSPFPKIPNPLALIRGDDGFWRREPDQMLLRNEMINQNTRAVEPRVGTYLGEDELFRPQVNEPLKKYTPVTQRSPIFLMEREMQLRDHISEYDAPRVAVFDDAVHDLGLLFDEADDGNLLEEEDMEKGGGQAPPEEEEGEEEEQNTGARHRAKPKEESGEPEPDFNFTFFNYAEEASPFGPPVQPKPKIKRASDHFKVRSYSQSDVEQQTREVEKGPETGFETELEKKSPSRLRRPRPTPIEQLLASEAKSRAAQLKERMRGRMSFEAFGTRSTSPIPPLSRPGRRVTMSLLRTKPEEQVPEQPNGLHSKESVMEKPVATPPQKKRPQRRVKSNKNQRGMDADEDNEIFEETIIKVKEERPNM
+>sp|Q9Y5P4|C43BP_HUMAN Collagen type IV alpha-3-binding protein OS=Homo sapiens OX=9606 GN=COL4A3BP PE=1 SV=1
+MSDNQSWNSSGSEEDPETESGPPVERCGVLSKWTNYIHGWQDRWVVLKNNALSYYKSEDETEYGCRGSICLSKAVITPHDFDECRFDISVNDSVWYLRAQDPDHRQQWIDAIEQHKTESGYGSESSLRRHGSMVSLVSGASGYSATSTSSFKKGHSLREKLAEMETFRDILCRQVDTLQKYFDACADAVSKDELQRDKVVEDDEDDFPTTRSDGDFLHSTNGNKEKLFPHVTPKGINGIDFKGEAITFKATTAGILATLSHCIELMVKREDSWQKRLDKETEKKRRTEEAYKNAMTELKKKSHFGGPDYEEGPNSLINEEEFFDAVEAALDRQDKIEEQSQSEKVRLHWPTSLPSGDAFSSVGTHRFVQKPYSRSSSMSSIDLVSASDDVHRFSSQVEEMVQNHMTYSLQDVGGDANWQLVVEEGEMKVYRREVEENGIVLDPLKATHAVKGVTGHEVCNYFWNVDVRNDWETTIENFHVVETLADNAIIIYQTHKRVWPASQRDVLYLSVIRKIPALTENDPETWIVCNFSVDHDSAPLNNRCVRAKINVAMICQTLVSPPEGNQEISRDNILCKITYVANVNPGGWAPASVLRAVAKREYPKFLKRFTSYVQEKTAGKPILF
+>DECOY_sp|Q9Y5P4|C43BP_HUMAN Collagen type IV alpha-3-binding protein OS=Homo sapiens OX=9606 GN=COL4A3BP PE=1 SV=1
+FLIPKGATKEQVYSTFRKLFKPYERKAVARLVSAPAWGGPNVNAVYTIKCLINDRSIEQNGEPPSVLTQCIMAVNIKARVCRNNLPASDHDVSFNCVIWTEPDNETLAPIKRIVSLYLVDRQSAPWVRKHTQYIIIANDALTEVVHFNEITTEWDNRVDVNWFYNCVEHGTVGKVAHTAKLPDLVIGNEEVERRYVKMEGEEVVLQWNADGGVDQLSYTMHNQVMEEVQSSFRHVDDSASVLDISSMSSSRSYPKQVFRHTGVSSFADGSPLSTPWHLRVKESQSQEEIKDQRDLAAEVADFFEEENILSNPGEEYDPGGFHSKKKLETMANKYAEETRRKKETEKDLRKQWSDERKVMLEICHSLTALIGATTAKFTIAEGKFDIGNIGKPTVHPFLKEKNGNTSHLFDGDSRTTPFDDEDDEVVKDRQLEDKSVADACADFYKQLTDVQRCLIDRFTEMEALKERLSHGKKFSSTSTASYGSAGSVLSVMSGHRRLSSESGYGSETKHQEIADIWQQRHDPDQARLYWVSDNVSIDFRCEDFDHPTIVAKSLCISGRCGYETEDESKYYSLANNKLVVWRDQWGHIYNTWKSLVGCREVPPGSETEPDEESGSSNWSQNDSM
+>sp|P20851|C4BPB_HUMAN C4b-binding protein beta chain OS=Homo sapiens OX=9606 GN=C4BPB PE=1 SV=1
+MFFWCACCLMVAWRVSASDAEHCPELPPVDNSIFVAKEVEGQILGTYVCIKGYHLVGKKTLFCNASKEWDNTTTECRLGHCPDPVLVNGEFSSSGPVNVSDKITFMCNDHYILKGSNRSQCLEDHTWAPPFPICKSRDCDPPGNPVHGYFEGNNFTLGSTISYYCEDRYYLVGVQEQQCVDGEWSSALPVCKLIQEAPKPECEKALLAFQESKNLCEAMENFMQQLKESGMTMEELKYSLELKKAELKAKLL
+>DECOY_sp|P20851|C4BPB_HUMAN C4b-binding protein beta chain OS=Homo sapiens OX=9606 GN=C4BPB PE=1 SV=1
+LLKAKLEAKKLELSYKLEEMTMGSEKLQQMFNEMAECLNKSEQFALLAKECEPKPAEQILKCVPLASSWEGDVCQQEQVGVLYYRDECYYSITSGLTFNNGEFYGHVPNGPPDCDRSKCIPFPPAWTHDELCQSRNSGKLIYHDNCMFTIKDSVNVPGSSSFEGNVLVPDPCHGLRCETTTNDWEKSANCFLTKKGVLHYGKICVYTGLIQGEVEKAVFISNDVPPLEPCHEADSASVRWAVMLCCACWFFM
+>sp|Q99643|C560_HUMAN Succinate dehydrogenase cytochrome b560 subunit, mitochondrial OS=Homo sapiens OX=9606 GN=SDHC PE=1 SV=1
+MAALLLRHVGRHCLRAHFSPQLCIRNAVPLGTTAKEEMERFWNKNIGSNRPLSPHITIYSWSLPMAMSICHRGTGIALSAGVSLFGMSALLLPGNFESYLELVKSLCLGPALIHTAKFALVFPLMYHTWNGIRHLMWDLGKGLKIPQLYQSGVVVLVLTVLSSMGLAAM
+>DECOY_sp|Q99643|C560_HUMAN Succinate dehydrogenase cytochrome b560 subunit, mitochondrial OS=Homo sapiens OX=9606 GN=SDHC PE=1 SV=1
+MAALGMSSLVTLVLVVVGSQYLQPIKLGKGLDWMLHRIGNWTHYMLPFVLAFKATHILAPGLCLSKVLELYSEFNGPLLLASMGFLSVGASLAIGTGRHCISMAMPLSWSYITIHPSLPRNSGINKNWFREMEEKATTGLPVANRICLQPSFHARLCHRGVHRLLLAAM
+>sp|Q9UKL3|C8AP2_HUMAN CASP8-associated protein 2 OS=Homo sapiens OX=9606 GN=CASP8AP2 PE=1 SV=1
+MAADDDNGDGTSLFDVFSASPLKNNDEGSLDIYAGLDSAVSDSASKSCVPSRNCLDLYEEILTEEGTAKEATYNDLQVEYGKCQLQMKELMKKFKEIQTQNFSLINENQSLKKNISALIKTARVEINRKDEEISNLHQRLSEFPHFRNNHKTARTFDTVKTKDLKSRSPHLDDCSKTDHRAKSDVSKDVHHSTSLPNLEKEGKPHSDKRSTSHLPTSVEKHCTNGVWSRSHYQVGEGSSNEDSRRGRKDIRHSQFNRGTERVRKDLSTGCGDGEPRILEASQRLQGHPEKYGKGEPKTESKSSKFKSNSDSDYKGERINSSWEKETPGERSHSRVDSQSDKKLERQSERSQNINRKEVKSQDKEERKVDQKPKSVVKDQDHWRRSERASLPHSKNEITFSHNSSKYHLEERRGWEDCKRDKSVNSHSFQDGRCPSSLSNSRTHKNIDSKEVDAMHQWENTPLKAERHRTEDKRKREQESKEENRHIRNEKRVPTEHLQKTNKETKKTTTDLKKQNEPKTDKGEVLDNGVSEGADNKELAMKAESGPNETKNKDLKLSFMKKLNLTLSPAKKQPVSQDNQHKITDIPKSSGVCDSESSMQVKTVAYVPSISEHILGEAAVSEHTMGETKSTLLEPKVALLAVTEPRIGISETNKEDENSLLVRSVDNTMHCEEPICGTETSFPSPMEIQQTESLFPSTGMKQTINNGRAAAPVVMDVLQTDVSQNFGLELDTKRNDNSDYCGISEGMEMKVALSTTVSETTESILQPSIEEADILPIMLSEDNNPKFEPSVIVTPLVESKSCHLEPCLPKETLDSSLQQTELMDHRMATGETNSVYHDDDNSVLSIDLNHLRPIPEAISPLNSPVRPVAKVLRNESPPQVPVYNNSHKDVFLPNSAHSTSKSQSDLNKENQKPIYKSDKCTEADTCKNSPLDELEEGEIRSDSETSKPQESFEKNSKRRVSADVRKSKTIPRRGKSTVCLDKDSRKTHVRIHQTNNKWNKRPDKSSRSSKTEKKDKVMSTSSLEKIVPIIAVPSSEQEIMHMLRMIRKHVRKNYMKFKAKFSLIQFHRIIESAILSFTSLIKHLNLHKISKSVTTLQKNLCDIIESKLKQVKKNGIVDRLFEQQLPDMKKKLWKFVDDQLDYLFAKLKKILVCDSKSFGRDSDEGKLEKTSKQNAQYSNSQKRSVDNSNRELLKEKLSKSEDPVHYKSLVGCKKSEENYQDQNNSSINTVKHDIKKNFNICFDNIKNSQSEERSLEVHCPSTPKSEKNEGSSIEDAQTSQHATLKPERSFEILTEQQASSLTFNLVSDAQMGEIFKSLLQGSDLLDSSVNCTEKSEWELKTPEKQLLETLKCESIPACTTEELVSGVASPCPKMISDDNWSLLSSEKGPSLSSGLSLPVHPDVLDESCMFEVSTNLPLSKDNVCSVEKSKPCVSSILLEDLAVSLTVPSPLKSDGHLSFLKPDMSSSSTPEEVISAHFSEDALLEEEDASEQDIHLALESDNSSSKSSCSSSWTSRSVAPGFQYHPNLPMHAVIMEKSNDHFIVKIRRATPSTSSGLKQSMMPDELLTSLPRHGKEADEGPEKEYISCQNTVFKSVEELENSNKNVDGSKSTHEEQSSMIQTQVPDIYEFLKDASDKMGHSDEVADECFKLHQVWETKVPESIEELPSMEEISHSVGEHLPNTYVDLTKDPVTETKNLGEFIEVTVLHIDQLGCSGGNLNQSAQILDNSLQADTVGAFIDLTQDASSEAKSEGNHPALAVEDLGCGVIQVDEDNCKEEKAQVANRPLKCIVEETYIDLTTESPSSCEVKKDELKSEPGSNCDNSELPGTLHNSHKKRRNISDLNHPHKKQRKETDLTNKEKTKKPTQDSCENTEAHQKKASKKKAPPVTKDPSSLKATPGIKDSSAALATSTSLSAKNVIKKKGEIIILWTRNDDREILLECQKRGPSFKTFAYLAAKLDKNPNQVSERFQQLMKLFEKSKCR
+>DECOY_sp|Q9UKL3|C8AP2_HUMAN CASP8-associated protein 2 OS=Homo sapiens OX=9606 GN=CASP8AP2 PE=1 SV=1
+RCKSKEFLKMLQQFRESVQNPNKDLKAALYAFTKFSPGRKQCELLIERDDNRTWLIIIEGKKKIVNKASLSTSTALAASSDKIGPTAKLSSPDKTVPPAKKKSAKKQHAETNECSDQTPKKTKEKNTLDTEKRQKKHPHNLDSINRRKKHSNHLTGPLESNDCNSGPESKLEDKKVECSSPSETTLDIYTEEVICKLPRNAVQAKEEKCNDEDVQIVGCGLDEVALAPHNGESKAESSADQTLDIFAGVTDAQLSNDLIQASQNLNGGSCGLQDIHLVTVEIFEGLNKTETVPDKTLDVYTNPLHEGVSHSIEEMSPLEEISEPVKTEWVQHLKFCEDAVEDSHGMKDSADKLFEYIDPVQTQIMSSQEEHTSKSGDVNKNSNELEEVSKFVTNQCSIYEKEPGEDAEKGHRPLSTLLEDPMMSQKLGSSTSPTARRIKVIFHDNSKEMIVAHMPLNPHYQFGPAVSRSTWSSSCSSKSSSNDSELALHIDQESADEEELLADESFHASIVEEPTSSSSMDPKLFSLHGDSKLPSPVTLSVALDELLISSVCPKSKEVSCVNDKSLPLNTSVEFMCSEDLVDPHVPLSLGSSLSPGKESSLLSWNDDSIMKPCPSAVGSVLEETTCAPISECKLTELLQKEPTKLEWESKETCNVSSDLLDSGQLLSKFIEGMQADSVLNFTLSSAQQETLIEFSREPKLTAHQSTQADEISSGENKESKPTSPCHVELSREESQSNKINDFCINFNKKIDHKVTNISSNNQDQYNEESKKCGVLSKYHVPDESKSLKEKLLERNSNDVSRKQSNSYQANQKSTKELKGEDSDRGFSKSDCVLIKKLKAFLYDLQDDVFKWLKKKMDPLQQEFLRDVIGNKKVQKLKSEIIDCLNKQLTTVSKSIKHLNLHKILSTFSLIASEIIRHFQILSFKAKFKMYNKRVHKRIMRLMHMIEQESSPVAIIPVIKELSSTSMVKDKKETKSSRSSKDPRKNWKNNTQHIRVHTKRSDKDLCVTSKGRRPITKSKRVDASVRRKSNKEFSEQPKSTESDSRIEGEELEDLPSNKCTDAETCKDSKYIPKQNEKNLDSQSKSTSHASNPLFVDKHSNNYVPVQPPSENRLVKAVPRVPSNLPSIAEPIPRLHNLDISLVSNDDDHYVSNTEGTAMRHDMLETQQLSSDLTEKPLCPELHCSKSEVLPTVIVSPEFKPNNDESLMIPLIDAEEISPQLISETTESVTTSLAVKMEMGESIGCYDSNDNRKTDLELGFNQSVDTQLVDMVVPAAARGNNITQKMGTSPFLSETQQIEMPSPFSTETGCIPEECHMTNDVSRVLLSNEDEKNTESIGIRPETVALLAVKPELLTSKTEGMTHESVAAEGLIHESISPVYAVTKVQMSSESDCVGSSKPIDTIKHQNDQSVPQKKAPSLTLNLKKMFSLKLDKNKTENPGSEAKMALEKNDAGESVGNDLVEGKDTKPENQKKLDTTTKKTEKNTKQLHETPVRKENRIHRNEEKSEQERKRKDETRHREAKLPTNEWQHMADVEKSDINKHTRSNSLSSPCRGDQFSHSNVSKDRKCDEWGRREELHYKSSNHSFTIENKSHPLSARESRRWHDQDKVVSKPKQDVKREEKDQSKVEKRNINQSRESQRELKKDSQSDVRSHSREGPTEKEWSSNIREGKYDSDSNSKFKSSKSETKPEGKGYKEPHGQLRQSAELIRPEGDGCGTSLDKRVRETGRNFQSHRIDKRGRRSDENSSGEGVQYHSRSWVGNTCHKEVSTPLHSTSRKDSHPKGEKELNPLSTSHHVDKSVDSKARHDTKSCDDLHPSRSKLDKTKVTDFTRATKHNNRFHPFESLRQHLNSIEEDKRNIEVRATKILASINKKLSQNENILSFNQTQIEKFKKMLEKMQLQCKGYEVQLDNYTAEKATGEETLIEEYLDLCNRSPVCSKSASDSVASDLGAYIDLSGEDNNKLPSASFVDFLSTGDGNDDDAAM
+>sp|Q9BV19|CA050_HUMAN Uncharacterized protein C1orf50 OS=Homo sapiens OX=9606 GN=C1orf50 PE=1 SV=2
+MEDAAAPGRTEGVLERQGAPPAAGQGGALVELTPTPGGLALVSPYHTHRAGDPLDLVALAEQVQKADEFIRANATNKLTVIAEQIQHLQEQARKVLEDAHRDANLHHVACNIVKKPGNIYYLYKRESGQQYFSIISPKEWGTSCPHDFLGAYKLQHDLSWTPYEDIEKQDAKISMMDTLLSQSVALPPCTEPNFQGLTH
+>DECOY_sp|Q9BV19|CA050_HUMAN Uncharacterized protein C1orf50 OS=Homo sapiens OX=9606 GN=C1orf50 PE=1 SV=2
+HTLGQFNPETCPPLAVSQSLLTDMMSIKADQKEIDEYPTWSLDHQLKYAGLFDHPCSTGWEKPSIISFYQQGSERKYLYYINGPKKVINCAVHHLNADRHADELVKRAQEQLHQIQEAIVTLKNTANARIFEDAKQVQEALAVLDLPDGARHTHYPSVLALGGPTPTLEVLAGGQGAAPPAGQRELVGETRGPAAADEM
+>sp|Q96LT6|CA074_HUMAN UPF0739 protein C1orf74 OS=Homo sapiens OX=9606 GN=C1orf74 PE=2 SV=1
+MLLLDLMSSPSPQLLVAAAQQTLGMGKRRSPPQAICLHLAGEVLAVARGLKPAVLYDCNCAGASELQSYLEELKGLGFLTFGLHILEIGENSLIVSPEHVCQHLEQVLLGTIAFVDVSSCQRHPSVCSLDQLQDLKALVAEIITHLQGLQRDLSLAVSYSRLHSSDWNLCTVFGILLGYPVPYTFHLNQGDDNCLALTPLRVFTARISWLLGQPPILLYSFSVPESLFPGLRDILNTWEKDLRTRFRTQNDFADLSISSEIVTLPAVAL
+>DECOY_sp|Q96LT6|CA074_HUMAN UPF0739 protein C1orf74 OS=Homo sapiens OX=9606 GN=C1orf74 PE=2 SV=1
+LAVAPLTVIESSISLDAFDNQTRFRTRLDKEWTNLIDRLGPFLSEPVSFSYLLIPPQGLLWSIRATFVRLPTLALCNDDGQNLHFTYPVPYGLLIGFVTCLNWDSSHLRSYSVALSLDRQLGQLHTIIEAVLAKLDQLQDLSCVSPHRQCSSVDVFAITGLLVQELHQCVHEPSVILSNEGIELIHLGFTLFGLGKLEELYSQLESAGACNCDYLVAPKLGRAVALVEGALHLCIAQPPSRRKGMGLTQQAAAVLLQPSPSSMLDLLLM
+>sp|Q6P1W5|CA094_HUMAN Uncharacterized protein C1orf94 OS=Homo sapiens OX=9606 GN=C1orf94 PE=1 SV=2
+MRGGGGCVLALGGQRGFQKERRRMASGNGLPSSSALVAKGPCALGPFPRYIWIHQDTPQDSLDKTCHEIWKRVQGLPEASQPWTSMEQLSVPVVGTLRGNELSFQEEALELSSGKDEISLLVEQEFLSLTKEHSILVEESSGELEVPGSSPEGTRELAPCILAPPLVAGSNERPRASIIVGDKLLKQKVAMPVISSRQDCDSATSTVTDILCAAEVKSSKGTEDRGRILGDSNLQVSKLLSQFPLKSTETSKVPDNKNVLDKTRVTKDFLQDNLFSGPGPKEPTGLSPFLLLPPRPPPARPDKLPELPAQKRQLPVFAKICSKPKADPAVERHHLMEWSPGTKEPKKGQGSLFLSQWPQSQKDACGEEGCCDAVGTASLTLPPKKPTCPAEKNLLYEFLGATKNPSGQPRLRNKVEVDGPELKFNAPVTVADKNNPKYTGNVFTPHFPTAMTSATLNQPLWLNLNYPPPPVFTNHSTFLQYQGLYPQQAARMPYQQALHPQLGCYSQQVMPYNPQQMGQQIFRSSYTPLLSYIPFVQPNYPYPQRTPPKMSANPRDPPLMAGDGPQYLFPQGYGFGSTSGGPLMHSPYFSSSGNGINF
+>DECOY_sp|Q6P1W5|CA094_HUMAN Uncharacterized protein C1orf94 OS=Homo sapiens OX=9606 GN=C1orf94 PE=1 SV=2
+FNIGNGSSSFYPSHMLPGGSTSGFGYGQPFLYQPGDGAMLPPDRPNASMKPPTRQPYPYNPQVFPIYSLLPTYSSRFIQQGMQQPNYPMVQQSYCGLQPHLAQQYPMRAAQQPYLGQYQLFTSHNTFVPPPPYNLNLWLPQNLTASTMATPFHPTFVNGTYKPNNKDAVTVPANFKLEPGDVEVKNRLRPQGSPNKTAGLFEYLLNKEAPCTPKKPPLTLSATGVADCCGEEGCADKQSQPWQSLFLSGQGKKPEKTGPSWEMLHHREVAPDAKPKSCIKAFVPLQRKQAPLEPLKDPRAPPPRPPLLLFPSLGTPEKPGPGSFLNDQLFDKTVRTKDLVNKNDPVKSTETSKLPFQSLLKSVQLNSDGLIRGRDETGKSSKVEAACLIDTVTSTASDCDQRSSIVPMAVKQKLLKDGVIISARPRENSGAVLPPALICPALERTGEPSSGPVELEGSSEEVLISHEKTLSLFEQEVLLSIEDKGSSLELAEEQFSLENGRLTGVVPVSLQEMSTWPQSAEPLGQVRKWIEHCTKDLSDQPTDQHIWIYRPFPGLACPGKAVLASSSPLGNGSAMRRREKQFGRQGGLALVCGGGGRM
+>sp|Q8IYL3|CA174_HUMAN UPF0688 protein C1orf174 OS=Homo sapiens OX=9606 GN=C1orf174 PE=1 SV=2
+MRSRKLTGAVRSSARLKARSCSAARLASAQEVAGSTSAKTACLTSSSHKATDTRTSKKFKCDKGHLVKSELQKLVPKNDSASLPKVTPETPCENEFAEGSALLPGSEAGVSVQQGAASLPLGGCRVVSDSRLAKTRDGLSVPKHSAGSGAEESNSSSTVQKQNEPGLQTEDVQKPPLQMDNSVFLDDDSNQPMPVSRFFGNVELMQDLPPASSSCPSMSRREFRKMHFRAKDDDDDDDDDAEM
+>DECOY_sp|Q8IYL3|CA174_HUMAN UPF0688 protein C1orf174 OS=Homo sapiens OX=9606 GN=C1orf174 PE=1 SV=2
+MEADDDDDDDDDKARFHMKRFERRSMSPCSSSAPPLDQMLEVNGFFRSVPMPQNSDDDLFVSNDMQLPPKQVDETQLGPENQKQVTSSSNSEEAGSGASHKPVSLGDRTKALRSDSVVRCGGLPLSAAGQQVSVGAESGPLLASGEAFENECPTEPTVKPLSASDNKPVLKQLESKVLHGKDCKFKKSTRTDTAKHSSSTLCATKASTSGAVEQASALRAASCSRAKLRASSRVAGTLKRSRM
+>sp|Q5TG92|CA195_HUMAN Putative uncharacterized protein C1orf195 OS=Homo sapiens OX=9606 GN=C1orf195 PE=2 SV=1
+MPSSTLTCKPFDLKQRSSRRGPKSTASASPRSCLKPSCGKQVCLLLSVRRSQSLAHPGRDSTRVLSYQQTSSDAVSQYKHTGKVEELYGLRLSWLSQAQFLLAQDKTAYAVKSVVLPASNHKTKGQ
+>DECOY_sp|Q5TG92|CA195_HUMAN Putative uncharacterized protein C1orf195 OS=Homo sapiens OX=9606 GN=C1orf195 PE=2 SV=1
+QGKTKHNSAPLVVSKVAYATKDQALLFQAQSLWSLRLGYLEEVKGTHKYQSVADSSTQQYSLVRTSDRGPHALSQSRRVSLLLCVQKGCSPKLCSRPSASATSKPGRRSSRQKLDFPKCTLTSSPM
+>sp|Q5T0J3|CA220_HUMAN Putative uncharacterized protein C1orf220 OS=Homo sapiens OX=9606 GN=C1orf220 PE=2 SV=1
+MIPVRGLWYCYLQVKKVRLREAERLGPKSQCPAECGAASWISWVLQHQQLLASEWATKDGRGTWGSKPSCTVPALSATSQLLQLGRLNSDLQFVRQRAKKSVSSPSLLQFWCCLSRLSFHALNQKINSTNINIT
+>DECOY_sp|Q5T0J3|CA220_HUMAN Putative uncharacterized protein C1orf220 OS=Homo sapiens OX=9606 GN=C1orf220 PE=2 SV=1
+TININTSNIKQNLAHFSLRSLCCWFQLLSPSSVSKKARQRVFQLDSNLRGLQLLQSTASLAPVTCSPKSGWTGRGDKTAWESALLQQHQLVWSIWSAAGCEAPCQSKPGLREAERLRVKKVQLYCYWLGRVPIM
+>sp|Q9H8G2|CAAP1_HUMAN Caspase activity and apoptosis inhibitor 1 OS=Homo sapiens OX=9606 GN=CAAP1 PE=1 SV=2
+MTGKKSSREKRRKRSSQEAAAALAAPDIVPALASGSSGSTSGCGSAGGCGSVSCCGNANFSGSVTGGGSGGSCWGGSSVERSERRKRRSTDSSSVSGSLQQETKYILPTLEKELFLAEHSDLEEGGLDLTVSLKPVSFYISDKKEMLQQCFCIIGEKKLQKMLPDVLKNCSIEEIKKLCQEQLELLSEKKILKILEGDNGMDSDMEEEADDGSKMGSDLVSQQDICIDSASSVRENKQPEGLELKQGKGEDSDVLSINADAYDSDIEGPCNEEAAAPEAPENTVQSEAGQIDDLEKDIEKSVNEILGLAESSPNEPKAATLAVPPPEDVQPSAQQLELLELEMRARAIKALMKAGDIKKPA
+>DECOY_sp|Q9H8G2|CAAP1_HUMAN Caspase activity and apoptosis inhibitor 1 OS=Homo sapiens OX=9606 GN=CAAP1 PE=1 SV=2
+APKKIDGAKMLAKIARARMELELLELQQASPQVDEPPPVALTAAKPENPSSEALGLIENVSKEIDKELDDIQGAESQVTNEPAEPAAAEENCPGEIDSDYADANISLVDSDEGKGQKLELGEPQKNERVSSASDICIDQQSVLDSGMKSGDDAEEEMDSDMGNDGELIKLIKKESLLELQEQCLKKIEEISCNKLVDPLMKQLKKEGIICFCQQLMEKKDSIYFSVPKLSVTLDLGGEELDSHEALFLEKELTPLIYKTEQQLSGSVSSSDTSRRKRRESREVSSGGWCSGGSGGGTVSGSFNANGCCSVSGCGGASGCGSTSGSSGSALAPVIDPAALAAAAEQSSRKRRKERSSKKGTM
+>sp|Q9Y376|CAB39_HUMAN Calcium-binding protein 39 OS=Homo sapiens OX=9606 GN=CAB39 PE=1 SV=1
+MPFPFGKSHKSPADIVKNLKESMAVLEKQDISDKKAEKATEEVSKNLVAMKEILYGTNEKEPQTEAVAQLAQELYNSGLLSTLVADLQLIDFEGKKDVAQIFNNILRRQIGTRTPTVEYICTQQNILFMLLKGYESPEIALNCGIMLRECIRHEPLAKIILWSEQFYDFFRYVEMSTFDIASDAFATFKDLLTRHKLLSAEFLEQHYDRFFSEYEKLLHSENYVTKRQSLKLLGELLLDRHNFTIMTKYISKPENLKLMMNLLRDKSRNIQFEAFHVFKVFVANPNKTQPILDILLKNQAKLIEFLSKFQNDRTEDEQFNDEKTYLVKQIRDLKRPAQQEA
+>DECOY_sp|Q9Y376|CAB39_HUMAN Calcium-binding protein 39 OS=Homo sapiens OX=9606 GN=CAB39 PE=1 SV=1
+AEQQAPRKLDRIQKVLYTKEDNFQEDETRDNQFKSLFEILKAQNKLLIDLIPQTKNPNAVFVKFVHFAEFQINRSKDRLLNMMLKLNEPKSIYKTMITFNHRDLLLEGLLKLSQRKTVYNESHLLKEYESFFRDYHQELFEASLLKHRTLLDKFTAFADSAIDFTSMEVYRFFDYFQESWLIIKALPEHRICERLMIGCNLAIEPSEYGKLLMFLINQQTCIYEVTPTRTGIQRRLINNFIQAVDKKGEFDILQLDAVLTSLLGSNYLEQALQAVAETQPEKENTGYLIEKMAVLNKSVEETAKEAKKDSIDQKELVAMSEKLNKVIDAPSKHSKGFPFPM
+>sp|Q9Y6J0|CABIN_HUMAN Calcineurin-binding protein cabin-1 OS=Homo sapiens OX=9606 GN=CABIN1 PE=1 SV=1
+MIRIAALNASSTIEDDHEGSFKSHKTQTKEAQEAEAFALYHKALDLQKHDRFEESAKAYHELLEASLLREAVSSGDEKEGLKHPGLILKYSTYKNLAQLAAQREDLETAMEFYLEAVMLDSTDVNLWYKIGHVALRLIRIPLARHAFEEGLRCNPDHWPCLDNLITVLYTLSDYTTCLYFICKALEKDCRYSKGLVLKEKIFEEQPCLRKDSLRMFLKCDMSIHDVSVSAAETQAIVDEALGLRKKRQALIVREKEPDLKLVQPIPFFTWKCLGESLLAMYNHLTTCEPPRPSLGKRIDLSDYQDPSQPLESSMVVTPVNVIQPSTVSTNPAVAVAEPVVSYTSVATTSFPLHSPGLLETGAPVGDISGGDKSKKGVKRKKISEESGETAKRRSARVRNTKCKKEEKVDFQELLMKFLPSRLRKLDPEEEDDSFNNYEVQSEAKLESFPSIGPQRLSFDSATFMESEKQDVHEFLLENLTNGGILELMMRYLKAMGHKFLVRWPPGLAEVVLSVYHSWRRHSTSLPNPLLRDCSNKHIKDMMLMSLSCMELQLDQWLLTKGRSSAVSPRNCPAGMVNGRFGPDFPGTHCLGDLLQLSFASSQRDLFEDGWLEFVVRVYWLKARFLALQGDMEQALENYDICTEMLQSSTAIQVEAGAERRDIVIRLPNLHNDSVVSLEEIDKNLKSLERCQSLEEIQRLYEAGDYKAVVHLLRPTLCTSGFDRAKHLEFMTSIPERPAQLLLLQDSLLRLKDYRQCFECSDVALNEAVQQMVNSGEAAAKEEWVATVTQLLMGIEQALSADSSGSILKVSSSTTGLVRLTNNLIQVIDCSMAVQEEAKEPHVSSVLPWIILHRIIWQEEDTFHSLCHQQQLQNPAEEGMSETPMLPSSLMLLNTAHEYLGRRSWCCNSDGALLRFYVRVLQKELAASTSEDTHPYKEELETALEQCFYCLYSFPSKKSKARYLEEHSAQQVDLIWEDALFMFEYFKPKTLPEFDSYKTSTVSADLANLLKRIATIVPRTERPALSLDKVSAYIEGTSTEVPCLPEGADPSPPVVNELYYLLADYHFKNKEQSKAIKFYMHDICICPNRFDSWAGMALARASRIQDKLNSNELKSDGPIWKHATPVLNCFRRALEIDSSNLSLWIEYGTMSYALHSFASRQLKQWRGELPPELVQQMEGRRDSMLETAKHCFTSAARCEGDGDEEEWLIHYMLGKVAEKQQQPPTVYLLHYRQAGHYLHEEAARYPKKIHYHNPPELAMEALEVYFRLHASILKLLGKPDSGVGAEVLVNFMKEAAEGPFARGEEKNTPKASEKEKACLVDEDSHSSAGTLPGPGASLPSSSGPGLTSPPYTATPIDHDYVKCKKPHQQATPDDRSQDSTAVALSDSSSTQDFFNEPTSLLEGSRKSYTEKRLPILSSQAGATGKDLQGATEERGKNEESLESTEGFRAAEQGVQKPAAETPASACIPGKPSASTPTLWDGKKRGDLPGEPVAFPQGLPAGAEEQRQFLTEQCIASFRLCLSRFPQHYKSLYRLAFLYTYSKTHRNLQWARDVLLGSSIPWQQLQHMPAQGLFCERNKTNFFNGIWRIPVDEIDRPGSFAWHMNRSIVLLLKVLAQLRDHSTLLKVSSMLQRTPDQGKKYLRDADRQVLAQRAFILTVKVLEDTLSELAEGSERPGPKVCGLPGARMTTDVSHKASPEDGQEGLPQPKKPPLADGSGPGPEPGGKVGLLNHRPVAMDAGDSADQSGERKDKESPRAGPTEPMDTSEATVCHSDLERTPPLLPGRPARDRGPESRPTELSLEELSISARQQPTPLTPAQPAPAPAPATTTGTRAGGHPEEPLSRLSRKRKLLEDTESGKTLLLDAYRVWQQGQKGVAYDLGRVERIMSETYMLIKQVDEEAALEQAVKFCQVHLGAAAQRQASGDTPTTPKHPKDSRENFFPVTVVPTAPDPVPADSVQRPSDAHTKPRPALAAATTIITCPPSASASTLDQSKDPGPPRPHRPEATPSMASLGPEGEELARVAEGTSFPPQEPRHSPQVKMAPTSSPAEPHCWPAEAALGTGAEPTCSQEGKLRPEPRRDGEAQEAASETQPLSSPPTAASSKAPSSGSAQPPEGHPGKPEPSRAKSRPLPNMPKLVIPSAATKFPPEITVTPPTPTLLSPKGSISEETKQKLKSAILSAQSAANVRKESLCQPALEVLETSSQESSLESETDEDDDYMDI
+>DECOY_sp|Q9Y6J0|CABIN_HUMAN Calcineurin-binding protein cabin-1 OS=Homo sapiens OX=9606 GN=CABIN1 PE=1 SV=1
+IDMYDDDEDTESELSSEQSSTELVELAPQCLSEKRVNAASQASLIASKLKQKTEESISGKPSLLTPTPPTVTIEPPFKTAASPIVLKPMNPLPRSKARSPEPKGPHGEPPQASGSSPAKSSAATPPSSLPQTESAAEQAEGDRRPEPRLKGEQSCTPEAGTGLAAEAPWCHPEAPSSTPAMKVQPSHRPEQPPFSTGEAVRALEEGEPGLSAMSPTAEPRHPRPPGPDKSQDLTSASASPPCTIITTAAALAPRPKTHADSPRQVSDAPVPDPATPVVTVPFFNERSDKPHKPTTPTDGSAQRQAAAGLHVQCFKVAQELAAEEDVQKILMYTESMIREVRGLDYAVGKQGQQWVRYADLLLTKGSETDELLKRKRSLRSLPEEPHGGARTGTTTAPAPAPAPQAPTLPTPQQRASISLEELSLETPRSEPGRDRAPRGPLLPPTRELDSHCVTAESTDMPETPGARPSEKDKREGSQDASDGADMAVPRHNLLGVKGGPEPGPGSGDALPPKKPQPLGEQGDEPSAKHSVDTTMRAGPLGCVKPGPRESGEALESLTDELVKVTLIFARQALVQRDADRLYKKGQDPTRQLMSSVKLLTSHDRLQALVKLLLVISRNMHWAFSGPRDIEDVPIRWIGNFFNTKNRECFLGQAPMHQLQQWPISSGLLVDRAWQLNRHTKSYTYLFALRYLSKYHQPFRSLCLRFSAICQETLFQRQEEAGAPLGQPFAVPEGPLDGRKKGDWLTPTSASPKGPICASAPTEAAPKQVGQEAARFGETSELSEENKGREETAGQLDKGTAGAQSSLIPLRKETYSKRSGELLSTPENFFDQTSSSDSLAVATSDQSRDDPTAQQHPKKCKVYDHDIPTATYPPSTLGPGSSSPLSAGPGPLTGASSHSDEDVLCAKEKESAKPTNKEEGRAFPGEAAEKMFNVLVEAGVGSDPKGLLKLISAHLRFYVELAEMALEPPNHYHIKKPYRAAEEHLYHGAQRYHLLYVTPPQQQKEAVKGLMYHILWEEEDGDGECRAASTFCHKATELMSDRRGEMQQVLEPPLEGRWQKLQRSAFSHLAYSMTGYEIWLSLNSSDIELARRFCNLVPTAHKWIPGDSKLENSNLKDQIRSARALAMGAWSDFRNPCICIDHMYFKIAKSQEKNKFHYDALLYYLENVVPPSPDAGEPLCPVETSTGEIYASVKDLSLAPRETRPVITAIRKLLNALDASVTSTKYSDFEPLTKPKFYEFMFLADEWILDVQQASHEELYRAKSKKSPFSYLCYFCQELATELEEKYPHTDESTSAALEKQLVRVYFRLLAGDSNCCWSRRGLYEHATNLLMLSSPLMPTESMGEEAPNQLQQQHCLSHFTDEEQWIIRHLIIWPLVSSVHPEKAEEQVAMSCDIVQILNNTLRVLGTTSSSVKLISGSSDASLAQEIGMLLQTVTAVWEEKAAAEGSNVMQQVAENLAVDSCEFCQRYDKLRLLSDQLLLLQAPREPISTMFELHKARDFGSTCLTPRLLHVVAKYDGAEYLRQIEELSQCRELSKLNKDIEELSVVSDNHLNPLRIVIDRREAGAEVQIATSSQLMETCIDYNELAQEMDGQLALFRAKLWYVRVVFELWGDEFLDRQSSAFSLQLLDGLCHTGPFDPGFRGNVMGAPCNRPSVASSRGKTLLWQDLQLEMCSLSMLMMDKIHKNSCDRLLPNPLSTSHRRWSHYVSLVVEALGPPWRVLFKHGMAKLYRMMLELIGGNTLNELLFEHVDQKESEMFTASDFSLRQPGISPFSELKAESQVEYNNFSDDEEEPDLKRLRSPLFKMLLEQFDVKEEKKCKTNRVRASRRKATEGSEESIKKRKVGKKSKDGGSIDGVPAGTELLGPSHLPFSTTAVSTYSVVPEAVAVAPNTSVTSPQIVNVPTVVMSSELPQSPDQYDSLDIRKGLSPRPPECTTLHNYMALLSEGLCKWTFFPIPQVLKLDPEKERVILAQRKKRLGLAEDVIAQTEAASVSVDHISMDCKLFMRLSDKRLCPQEEFIKEKLVLGKSYRCDKELAKCIFYLCTTYDSLTYLVTILNDLCPWHDPNCRLGEEFAHRALPIRILRLAVHGIKYWLNVDTSDLMVAELYFEMATELDERQAALQALNKYTSYKLILGPHKLGEKEDGSSVAERLLSAELLEHYAKASEEFRDHKQLDLAKHYLAFAEAEQAEKTQTKHSKFSGEHDDEITSSANLAAIRIM
+>sp|P19022|CADH2_HUMAN Cadherin-2 OS=Homo sapiens OX=9606 GN=CDH2 PE=1 SV=4
+MCRIAGALRTLLPLLAALLQASVEASGEIALCKTGFPEDVYSAVLSKDVHEGQPLLNVKFSNCNGKRKVQYESSEPADFKVDEDGMVYAVRSFPLSSEHAKFLIYAQDKETQEKWQVAVKLSLKPTLTEESVKESAEVEEIVFPRQFSKHSGHLQRQKRDWVIPPINLPENSRGPFPQELVRIRSDRDKNLSLRYSVTGPGADQPPTGIFIINPISGQLSVTKPLDREQIARFHLRAHAVDINGNQVENPIDIVINVIDMNDNRPEFLHQVWNGTVPEGSKPGTYVMTVTAIDADDPNALNGMLRYRIVSQAPSTPSPNMFTINNETGDIITVAAGLDREKVQQYTLIIQATDMEGNPTYGLSNTATAVITVTDVNDNPPEFTAMTFYGEVPENRVDIIVANLTVTDKDQPHTPAWNAVYRISGGDPTGRFAIQTDPNSNDGLVTVVKPIDFETNRMFVLTVAAENQVPLAKGIQHPPQSTATVSVTVIDVNENPYFAPNPKIIRQEEGLHAGTMLTTFTAQDPDRYMQQNIRYTKLSDPANWLKIDPVNGQITTIAVLDRESPNVKNNIYNATFLASDNGIPPMSGTGTLQIYLLDINDNAPQVLPQEAETCETPDPNSINITALDYDIDPNAGPFAFDLPLSPVTIKRNWTITRLNGDFAQLNLKIKFLEAGIYEVPIIITDSGNPPKSNISILRVKVCQCDSNGDCTDVDRIVGAGLGTGAIIAILLCIIILLILVLMFVVWMKRRDKERQAKQLLIDPEDDVRDNILKYDEEGGGEEDQDYDLSQLQQPDTVEPDAIKPVGIRRMDERPIHAEPQYPVRSAAPHPGDIGDFINEGLKAADNDPTAPPYDSLLVFDYEGSGSTAGSLSSLNSSSSGGEQDYDYLNDWGPRFKKLADMYGGGDD
+>DECOY_sp|P19022|CADH2_HUMAN Cadherin-2 OS=Homo sapiens OX=9606 GN=CDH2 PE=1 SV=4
+DDGGGYMDALKKFRPGWDNLYDYDQEGGSSSSNLSSLSGATSGSGEYDFVLLSDYPPATPDNDAAKLGENIFDGIDGPHPAASRVPYQPEAHIPREDMRRIGVPKIADPEVTDPQQLQSLDYDQDEEGGGEEDYKLINDRVDDEPDILLQKAQREKDRRKMWVVFMLVLILLIIICLLIAIIAGTGLGAGVIRDVDTCDGNSDCQCVKVRLISINSKPPNGSDTIIIPVEYIGAELFKIKLNLQAFDGNLRTITWNRKITVPSLPLDFAFPGANPDIDYDLATINISNPDPTECTEAEQPLVQPANDNIDLLYIQLTGTGSMPPIGNDSALFTANYINNKVNPSERDLVAITTIQGNVPDIKLWNAPDSLKTYRINQQMYRDPDQATFTTLMTGAHLGEEQRIIKPNPAFYPNENVDIVTVSVTATSQPPHQIGKALPVQNEAAVTLVFMRNTEFDIPKVVTVLGDNSNPDTQIAFRGTPDGGSIRYVANWAPTHPQDKDTVTLNAVIIDVRNEPVEGYFTMATFEPPNDNVDTVTIVATATNSLGYTPNGEMDTAQIILTYQQVKERDLGAAVTIIDGTENNITFMNPSPTSPAQSVIRYRLMGNLANPDDADIATVTMVYTGPKSGEPVTGNWVQHLFEPRNDNMDIVNIVIDIPNEVQNGNIDVAHARLHFRAIQERDLPKTVSLQGSIPNIIFIGTPPQDAGPGTVSYRLSLNKDRDSRIRVLEQPFPGRSNEPLNIPPIVWDRKQRQLHGSHKSFQRPFVIEEVEASEKVSEETLTPKLSLKVAVQWKEQTEKDQAYILFKAHESSLPFSRVAYVMGDEDVKFDAPESSEYQVKRKGNCNSFKVNLLPQGEHVDKSLVASYVDEPFGTKCLAIEGSAEVSAQLLAALLPLLTRLAGAIRCM
+>sp|P55285|CADH6_HUMAN Cadherin-6 OS=Homo sapiens OX=9606 GN=CDH6 PE=1 SV=1
+MRTYRYFLLLFWVGQPYPTLSTPLSKRTSGFPAKKRALELSGNSKNELNRSKRSWMWNQFFLLEEYTGSDYQYVGKLHSDQDRGDGSLKYILSGDGAGDLFIINENTGDIQATKRLDREEKPVYILRAQAINRRTGRPVEPESEFIIKIHDINDNEPIFTKEVYTATVPEMSDVGTFVVQVTATDADDPTYGNSAKVVYSILQGQPYFSVESETGIIKTALLNMDRENREQYQVVIQAKDMGGQMGGLSGTTTVNITLTDVNDNPPRFPQSTYQFKTPESSPPGTPIGRIKASDADVGENAEIEYSITDGEGLDMFDVITDQETQEGIITVKKLLDFEKKKVYTLKVEASNPYVEPRFLYLGPFKDSATVRIVVEDVDEPPVFSKLAYILQIREDAQINTTIGSVTAQDPDAARNPVKYSVDRHTDMDRIFNIDSGNGSIFTSKLLDRETLLWHNITVIATEINNPKQSSRVPLYIKVLDVNDNAPEFAEFYETFVCEKAKADQLIQTLHAVDKDDPYSGHQFSFSLAPEAASGSNFTIQDNKDNTAGILTRKNGYNRHEMSTYLLPVVISDNDYPVQSSTGTVTVRVCACDHHGNMQSCHAEALIHPTGLSTGALVAILLCIVILLVTVVLFAALRRQRKKEPLIISKEDIRDNIVSYNDEGGGEEDTQAFDIGTLRNPEAIEDNKLRRDIVPEALFLPRRTPTARDNTDVRDFINQRLKENDTDPTAPPYDSLATYAYEGTGSVADSLSSLESVTTDADQDYDYLSDWGPRFKKLADMYGGVDSDKDS
+>DECOY_sp|P55285|CADH6_HUMAN Cadherin-6 OS=Homo sapiens OX=9606 GN=CDH6 PE=1 SV=1
+SDKDSDVGGYMDALKKFRPGWDSLYDYDQDADTTVSELSSLSDAVSGTGEYAYTALSDYPPATPDTDNEKLRQNIFDRVDTNDRATPTRRPLFLAEPVIDRRLKNDEIAEPNRLTGIDFAQTDEEGGGEDNYSVINDRIDEKSIILPEKKRQRRLAAFLVVTVLLIVICLLIAVLAGTSLGTPHILAEAHCSQMNGHHDCACVRVTVTGTSSQVPYDNDSIVVPLLYTSMEHRNYGNKRTLIGATNDKNDQITFNSGSAAEPALSFSFQHGSYPDDKDVAHLTQILQDAKAKECVFTEYFEAFEPANDNVDLVKIYLPVRSSQKPNNIETAIVTINHWLLTERDLLKSTFISGNGSDINFIRDMDTHRDVSYKVPNRAADPDQATVSGITTNIQADERIQLIYALKSFVPPEDVDEVVIRVTASDKFPGLYLFRPEVYPNSAEVKLTYVKKKEFDLLKKVTIIGEQTEQDTIVDFMDLGEGDTISYEIEANEGVDADSAKIRGIPTGPPSSEPTKFQYTSQPFRPPNDNVDTLTINVTTTGSLGGMQGGMDKAQIVVQYQERNERDMNLLATKIIGTESEVSFYPQGQLISYVVKASNGYTPDDADTATVQVVFTGVDSMEPVTATYVEKTFIPENDNIDHIKIIFESEPEVPRGTRRNIAQARLIYVPKEERDLRKTAQIDGTNENIIFLDGAGDGSLIYKLSGDGRDQDSHLKGVYQYDSGTYEELLFFQNWMWSRKSRNLENKSNGSLELARKKAPFGSTRKSLPTSLTPYPQGVWFLLLFYRYTRM
+>sp|Q9BXL6|CAR14_HUMAN Caspase recruitment domain-containing protein 14 OS=Homo sapiens OX=9606 GN=CARD14 PE=1 SV=2
+MGELCRRDSALTALDEETLWEMMESHRHRIVRCICPSRLTPYLRQAKVLCQLDEEEVLHSPRLTNSAMRAGHLLDLLKTRGKNGAIAFLESLKFHNPDVYTLVTGLQPDVDFSNFSGLMETSKLTECLAGAIGSLQEELNQEKGQKEVLLRRCQQLQEHLGLAETRAEGLHQLEADHSRMKREVSAHFHEVLRLKDEMLSLSLHYSNALQEKELAASRCRSLQEELYLLKQELQRANMVSSCELELQEQSLRTASDQESGDEELNRLKEENEKLRSLTFSLAEKDILEQSLDEARGSRQELVERIHSLRERAVAAERQREQYWEEKEQTLLQFQKSKMACQLYREKVNALQAQVCELQKERDQAYSARDSAQREISQSLVEKDSLRRQVFELTDQVCELRTQLRQLQAEPPGVLKQEARTREPCPREKQRLVRMHAICPRDDSDCSLVSSTESQLLSDLSATSSRELVDSFRSSSPAPPSQQSLYKRVAEDFGEEPWSFSSCLEIPEGDPGALPGAKAGDPHLDYELLDTADLPQLESSLQPVSPGRLDVSESGVLMRRRPARRILSQVTMLAFQGDALLEQISVIGGNLTGIFIHRVTPGSAADQMALRPGTQIVMVDYEASEPLFKAVLEDTTLEEAVGLLRRVDGFCCLSVKVNTDGYKRLLQDLEAKVATSGDSFYIRVNLAMEGRAKGELQVHCNEVLHVTDTMFQGCGCWHAHRVNSYTMKDTAAHGTIPNYSRAQQQLIALIQDMTQQCTVTRKPSSGGPQKLVRIVSMDKAKASPLRLSFDRGQLDPSRMEGSSTCFWAESCLTLVPYTLVRPHRPARPRPVLLVPRAVGKILSEKLCLLQGFKKCLAEYLSQEEYEAWSQRGDIIQEGEVSGGRCWVTRHAVESLMEKNTHALLDVQLDSVCTLHRMDIFPIVIHVSVNEKMAKKLKKGLQRLGTSEEQLLEAARQEEGDLDRAPCLYSSLAPDGWSDLDGLLSCVRQAIADEQKKVVWTEQSPR
+>DECOY_sp|Q9BXL6|CAR14_HUMAN Caspase recruitment domain-containing protein 14 OS=Homo sapiens OX=9606 GN=CARD14 PE=1 SV=2
+RPSQETWVVKKQEDAIAQRVCSLLGDLDSWGDPALSSYLCPARDLDGEEQRAAELLQEESTGLRQLGKKLKKAMKENVSVHIVIPFIDMRHLTCVSDLQVDLLAHTNKEMLSEVAHRTVWCRGGSVEGEQIIDGRQSWAEYEEQSLYEALCKKFGQLLCLKESLIKGVARPVLLVPRPRAPRHPRVLTYPVLTLCSEAWFCTSSGEMRSPDLQGRDFSLRLPSAKAKDMSVIRVLKQPGGSSPKRTVTCQQTMDQILAILQQQARSYNPITGHAATDKMTYSNVRHAHWCGCGQFMTDTVHLVENCHVQLEGKARGEMALNVRIYFSDGSTAVKAELDQLLRKYGDTNVKVSLCCFGDVRRLLGVAEELTTDELVAKFLPESAEYDVMVIQTGPRLAMQDAASGPTVRHIFIGTLNGGIVSIQELLADGQFALMTVQSLIRRAPRRRMLVGSESVDLRGPSVPQLSSELQPLDATDLLEYDLHPDGAKAGPLAGPDGEPIELCSSFSWPEEGFDEAVRKYLSQQSPPAPSSSRFSDVLERSSTASLDSLLQSETSSVLSCDSDDRPCIAHMRVLRQKERPCPERTRAEQKLVGPPEAQLQRLQTRLECVQDTLEFVQRRLSDKEVLSQSIERQASDRASYAQDREKQLECVQAQLANVKERYLQCAMKSKQFQLLTQEKEEWYQERQREAAVARERLSHIREVLEQRSGRAEDLSQELIDKEALSFTLSRLKENEEKLRNLEEDGSEQDSATRLSQEQLELECSSVMNARQLEQKLLYLEEQLSRCRSAALEKEQLANSYHLSLSLMEDKLRLVEHFHASVERKMRSHDAELQHLGEARTEALGLHEQLQQCRRLLVEKQGKEQNLEEQLSGIAGALCETLKSTEMLGSFNSFDVDPQLGTVLTYVDPNHFKLSELFAIAGNKGRTKLLDLLHGARMASNTLRPSHLVEEEDLQCLVKAQRLYPTLRSPCICRVIRHRHSEMMEWLTEEDLATLASDRRCLEGM
+>sp|Q9BX69|CARD6_HUMAN Caspase recruitment domain-containing protein 6 OS=Homo sapiens OX=9606 GN=CARD6 PE=1 SV=2
+MATESTPSEIIERERKKLLEILQHDPDSILDTLTSRRLISEEEYETLENVTDLLKKSRKLLILVQKKGEATCQHFLKCLFSTFPQSAAICGLRHEVLKHENTVPPQSMGASSNSEDAFSPGIKQPEAPEITVFFSEKEHLDLETSEFFRDKKTSYRETALSARKNEKEYDTPEVTLSYSVEKVGCEVPATITYIKDGQRYEELDDSLYLGKEEYLGSVDTPEDAEATVEEEVYDDPEHVGYDGEEDFENSETTEFSGEEPSYEGSETSLSLEEEQEKSIEERKKVFKDVLLCLNMDRSRKVLPDFVKQFSLDRGCKWTPESPGDLAWNFLMKVQARDVTARDSILSHKVLDEDSKEDLLAGVENLEIRDIQTINPLDVLCATMLCSDSSLQRQVMSNMYQCQFALPLLLPDAENNKSILMLGAMKDIVKKQSTQFSGGPTEDTEKFLTLMKMPVISFVRLGYCSFSKSRILNTLLSPAQLKLHKIFLHQDLPLLVLPRQISDGLVEITWCFPDSDDRKENPFFQKPVALANLRGNLESFWTQFGFLMEVSSAVFFFTDCLGEKEWDLLMFLGEAAIERCYFVLSSQARESEEAQIFQRILNLKPAQLLFWERGDAGDRRKNMEGLQAALQEVMFSSCLRCVSVEDMAALARELGIQVDEDFENTQRIQVSSGENMAGTAEGEGQQRHSQLKSSSKSQALMPIQEPGTQCELSQNLQNLYGTPVFRPVLENSWLFPTRIGGNFNHVSLKASWVMGRPFGSEQRPKWFHPLPFQNAGAQGRGKSFGIQSFHPQIFYSGERFMKFSRVARGCHSNGTFGRLPRPICQHVQACPERPQMMGTLERSRAVASKIGHSYSLDSQPARAVGKPWPQQACTRVTELTEATGKLIRTSHIGKPHPQSFQPAAATQKLRPASQQGVQMKTQGGASNPALQIGSHPMCKSSQFKSDQSNPSTVKHSQPKPFHSVPSQPKSSQTKSCQSQPSQTKPSPCKSTQPKPSQPWPPQSKPSQPRPPQPKSSSTNPSQAKAHHSKAGQKRGGKH
+>DECOY_sp|Q9BX69|CARD6_HUMAN Caspase recruitment domain-containing protein 6 OS=Homo sapiens OX=9606 GN=CARD6 PE=1 SV=2
+HKGGRKQGAKSHHAKAQSPNTSSSKPQPPRPQSPKSQPPWPQSPKPQTSKCPSPKTQSPQSQCSKTQSSKPQSPVSHFPKPQSHKVTSPNSQDSKFQSSKCMPHSGIQLAPNSAGGQTKMQVGQQSAPRLKQTAAAPQFSQPHPKGIHSTRILKGTAETLETVRTCAQQPWPKGVARAPQSDLSYSHGIKSAVARSRELTGMMQPREPCAQVHQCIPRPLRGFTGNSHCGRAVRSFKMFREGSYFIQPHFSQIGFSKGRGQAGANQFPLPHFWKPRQESGFPRGMVWSAKLSVHNFNGGIRTPFLWSNELVPRFVPTGYLNQLNQSLECQTGPEQIPMLAQSKSSSKLQSHRQQGEGEATGAMNEGSSVQIRQTNEFDEDVQIGLERALAAMDEVSVCRLCSSFMVEQLAAQLGEMNKRRDGADGREWFLLQAPKLNLIRQFIQAEESERAQSSLVFYCREIAAEGLFMLLDWEKEGLCDTFFFVASSVEMLFGFQTWFSELNGRLNALAVPKQFFPNEKRDDSDPFCWTIEVLGDSIQRPLVLLPLDQHLFIKHLKLQAPSLLTNLIRSKSFSCYGLRVFSIVPMKMLTLFKETDETPGGSFQTSQKKVIDKMAGLMLISKNNEADPLLLPLAFQCQYMNSMVQRQLSSDSCLMTACLVDLPNITQIDRIELNEVGALLDEKSDEDLVKHSLISDRATVDRAQVKMLFNWALDGPSEPTWKCGRDLSFQKVFDPLVKRSRDMNLCLLVDKFVKKREEISKEQEEELSLSTESGEYSPEEGSFETTESNEFDEEGDYGVHEPDDYVEEEVTAEADEPTDVSGLYEEKGLYLSDDLEEYRQGDKIYTITAPVECGVKEVSYSLTVEPTDYEKENKRASLATERYSTKKDRFFESTELDLHEKESFFVTIEPAEPQKIGPSFADESNSSAGMSQPPVTNEHKLVEHRLGCIAASQPFTSFLCKLFHQCTAEGKKQVLILLKRSKKLLDTVNELTEYEEESILRRSTLTDLISDPDHQLIELLKKREREIIESPTSETAM
+>sp|Q9H257|CARD9_HUMAN Caspase recruitment domain-containing protein 9 OS=Homo sapiens OX=9606 GN=CARD9 PE=1 SV=2
+MSDYENDDECWSVLEGFRVTLTSVIDPSRITPYLRQCKVLNPDDEEQVLSDPNLVIRKRKVGVLLDILQRTGHKGYVAFLESLELYYPQLYKKVTGKEPARVFSMIIDASGESGLTQLLMTEVMKLQKKVQDLTALLSSKDDFIKELRVKDSLLRKHQERVQRLKEECEAGSRELKRCKEENYDLAMRLAHQSEEKGAALMRNRDLQLEIDQLKHSLMKAEDDCKVERKHTLKLRHAMEQRPSQELLWELQQEKALLQARVQELEASVQEGKLDRSSPYIQVLEEDWRQALRDHQEQANTIFSLRKDLRQGEARRLRCMEEKEMFELQCLALRKDSKMYKDRIEAILLQMEEVAIERDQAIATREELHAQHARGLQEKDALRKQVRELGEKADELQLQVFQCEAQLLAVEGRLRRQQLETLVLSSDLEDGSPRRSQELSLPQDLEDTQLSDKGCLAGGGSPKQPFAALHQEQVLRNPHDAGLSSGEPPEKERRRLKESFENYRRKRALRKMQKGWRQGEEDRENTTGSDNTDTEGS
+>DECOY_sp|Q9H257|CARD9_HUMAN Caspase recruitment domain-containing protein 9 OS=Homo sapiens OX=9606 GN=CARD9 PE=1 SV=2
+SGETDTNDSGTTNERDEEGQRWGKQMKRLARKRRYNEFSEKLRRREKEPPEGSSLGADHPNRLVQEQHLAAFPQKPSGGGALCGKDSLQTDELDQPLSLEQSRRPSGDELDSSLVLTELQQRRLRGEVALLQAECQFVQLQLEDAKEGLERVQKRLADKEQLGRAHQAHLEERTAIAQDREIAVEEMQLLIAEIRDKYMKSDKRLALCQLEFMEKEEMCRLRRAEGQRLDKRLSFITNAQEQHDRLAQRWDEELVQIYPSSRDLKGEQVSAELEQVRAQLLAKEQQLEWLLEQSPRQEMAHRLKLTHKREVKCDDEAKMLSHKLQDIELQLDRNRMLAAGKEESQHALRMALDYNEEKCRKLERSGAECEEKLRQVREQHKRLLSDKVRLEKIFDDKSSLLATLDQVKKQLKMVETMLLQTLGSEGSADIIMSFVRAPEKGTVKKYLQPYYLELSELFAVYGKHGTRQLIDLLVGVKRKRIVLNPDSLVQEEDDPNLVKCQRLYPTIRSPDIVSTLTVRFGELVSWCEDDNEYDSM
+>sp|Q8IU53|CASC2_HUMAN Protein CASC2, isoforms 1/2 OS=Homo sapiens OX=9606 GN=CASC2 PE=4 SV=1
+MAGTRGLMLLGPGPVAGPRDVGTCRGRQMEIQKHKDNKKLPQGIIIVFRLQTHTTPQIYTQLKGKLRKFFKEPYSE
+>DECOY_sp|Q8IU53|CASC2_HUMAN Protein CASC2, isoforms 1/2 OS=Homo sapiens OX=9606 GN=CASC2 PE=4 SV=1
+ESYPEKFFKRLKGKLQTYIQPTTHTQLRFVIIIGQPLKKNDKHKQIEMQRGRCTGVDRPGAVPGPGLLMLGRTGAM
+>sp|O15234|CASC3_HUMAN Protein CASC3 OS=Homo sapiens OX=9606 GN=CASC3 PE=1 SV=2
+MADRRRQRASQDTEDEESGASGSDSGGSPLRGGGSCSGSAGGGGSGSLPSQRGGRTGALHLRRVESGGAKSAEESECESEDGIEGDAVLSDYESAEDSEGEEGEYSEEENSKVELKSEANDAVNSSTKEEKGEEKPDTKSTVTGERQSGDGQESTEPVENKVGKKGPKHLDDDEDRKNPAYIPRKGLFFEHDLRGQTQEEEVRPKGRQRKLWKDEGRWEHDKFREDEQAPKSRQELIALYGYDIRSAHNPDDIKPRRIRKPRYGSPPQRDPNWNGERLNKSHRHQGLGGTLPPRTFINRNAAGTGRMSAPRNYSRSGGFKEGRAGFRPVEAGGQHGGRSGETVKHEISYRSRRLEQTSVRDPSPEADAPVLGSPEKEEAASEPPAAAPDAAPPPPDRPIEKKSYSRARRTRTKVGDAVKLAEEVPPPPEGLIPAPPVPETTPTPPTKTGTWEAPVDSSTSGLEQDVAQLNIAEQNWSPGQPSFLQPRELRGMPNHIHMGAGPPPQFNRMEEMGVQGGRAKRYSSQRQRPVPEPPAPPVHISIMEGHYYDPLQFQGPIYTHGDSPAPLPPQGMLVQPGMNLPHPGLHPHQTPAPLPNPGLYPPPVSMSPGQPPPQQLLAPTYFSAPGVMNFGNPSYPYAPGALPPPPPPHLYPNTQAPSQVYGGVTYYNPAQQQVQPKPSPPRRTPQPVTIKPPPPEVVSRGSS
+>DECOY_sp|O15234|CASC3_HUMAN Protein CASC3 OS=Homo sapiens OX=9606 GN=CASC3 PE=1 SV=2
+SSGRSVVEPPPPKITVPQPTRRPPSPKPQVQQQAPNYYTVGGYVQSPAQTNPYLHPPPPPPLAGPAYPYSPNGFNMVGPASFYTPALLQQPPPQGPSMSVPPPYLGPNPLPAPTQHPHLGPHPLNMGPQVLMGQPPLPAPSDGHTYIPGQFQLPDYYHGEMISIHVPPAPPEPVPRQRQSSYRKARGGQVGMEEMRNFQPPPGAGMHIHNPMGRLERPQLFSPQGPSWNQEAINLQAVDQELGSTSSDVPAEWTGTKTPPTPTTEPVPPAPILGEPPPPVEEALKVADGVKTRTRRARSYSKKEIPRDPPPPAADPAAAPPESAAEEKEPSGLVPADAEPSPDRVSTQELRRSRYSIEHKVTEGSRGGHQGGAEVPRFGARGEKFGGSRSYNRPASMRGTGAANRNIFTRPPLTGGLGQHRHSKNLREGNWNPDRQPPSGYRPKRIRRPKIDDPNHASRIDYGYLAILEQRSKPAQEDERFKDHEWRGEDKWLKRQRGKPRVEEEQTQGRLDHEFFLGKRPIYAPNKRDEDDDLHKPGKKGVKNEVPETSEQGDGSQREGTVTSKTDPKEEGKEEKTSSNVADNAESKLEVKSNEEESYEGEEGESDEASEYDSLVADGEIGDESECESEEASKAGGSEVRRLHLAGTRGGRQSPLSGSGGGGASGSCSGGGRLPSGGSDSGSAGSEEDETDQSARQRRRDAM
+>sp|Q14511|CASL_HUMAN Enhancer of filamentation 1 OS=Homo sapiens OX=9606 GN=NEDD9 PE=1 SV=1
+MKYKNLMARALYDNVPECAEELAFRKGDILTVIEQNTGGLEGWWLCSLHGRQGIVPGNRVKLLIGPMQETASSHEQPASGLMQQTFGQQKLYQVPNPQAAPRDTIYQVPPSYQNQGIYQVPTGHGTQEQEVYQVPPSVQRSIGGTSGPHVGKKVITPVRTGHGYVYEYPSRYQKDVYDIPPSHTTQGVYDIPPSSAKGPVFSVPVGEIKPQGVYDIPPTKGVYAIPPSACRDEAGLREKDYDFPPPMRQAGRPDLRPEGVYDIPPTCTKPAGKDLHVKYNCDIPGAAEPVARRHQSLSPNHPPPQLGQSVGSQNDAYDVPRGVQFLEPPAETSEKANPQERDGVYDVPLHNPPDAKGSRDLVDGINRLSFSSTGSTRSNMSTSSTSSKESSLSASPAQDKRLFLDPDTAIERLQRLQQALEMGVSSLMALVTTDWRCYGYMERHINEIRTAVDKVELFLKEYLHFVKGAVANAACLPELILHNKMKRELQRVEDSHQILSQTSHDLNECSWSLNILAINKPQNKCDDLDRFVMVAKTVPDDAKQLTTTINTNAEALFRPGPGSLHLKNGPESIMNSTEYPHGGSQGQLLHPGDHKAQAHNKALPPGLSKEQAPDCSSSDGSERSWMDDYDYVHLQGKEEFERQQKELLEKENIMKQNKMQLEHHQLSQFQLLEQEITKPVENDISKWKPSQSLPTTNSGVSAQDRQLLCFYYDQCETHFISLLNAIDALFSCVSSAQPPRIFVAHSKFVILSAHKLVFIGDTLTRQVTAQDIRNKVMNSSNQLCEQLKTIVMATKMAALHYPSTTALQEMVHQVTDLSRNAQLFKRSLLEMATF
+>DECOY_sp|Q14511|CASL_HUMAN Enhancer of filamentation 1 OS=Homo sapiens OX=9606 GN=NEDD9 PE=1 SV=1
+FTAMELLSRKFLQANRSLDTVQHVMEQLATTSPYHLAAMKTAMVITKLQECLQNSSNMVKNRIDQATVQRTLTDGIFVLKHASLIVFKSHAVFIRPPQASSVCSFLADIANLLSIFHTECQDYYFCLLQRDQASVGSNTTPLSQSPKWKSIDNEVPKTIEQELLQFQSLQHHELQMKNQKMINEKELLEKQQREFEEKGQLHVYDYDDMWSRESGDSSSCDPAQEKSLGPPLAKNHAQAKHDGPHLLQGQSGGHPYETSNMISEPGNKLHLSGPGPRFLAEANTNITTTLQKADDPVTKAVMVFRDLDDCKNQPKNIALINLSWSCENLDHSTQSLIQHSDEVRQLERKMKNHLILEPLCAANAVAGKVFHLYEKLFLEVKDVATRIENIHREMYGYCRWDTTVLAMLSSVGMELAQQLRQLREIATDPDLFLRKDQAPSASLSSEKSSTSSTSMNSRTSGTSSFSLRNIGDVLDRSGKADPPNHLPVDYVGDREQPNAKESTEAPPELFQVGRPVDYADNQSGVSQGLQPPPHNPSLSQHRRAVPEAAGPIDCNYKVHLDKGAPKTCTPPIDYVGEPRLDPRGAQRMPPPFDYDKERLGAEDRCASPPIAYVGKTPPIDYVGQPKIEGVPVSFVPGKASSPPIDYVGQTTHSPPIDYVDKQYRSPYEYVYGHGTRVPTIVKKGVHPGSTGGISRQVSPPVQYVEQEQTGHGTPVQYIGQNQYSPPVQYITDRPAAQPNPVQYLKQQGFTQQMLGSAPQEHSSATEQMPGILLKVRNGPVIGQRGHLSCLWWGELGGTNQEIVTLIDGKRFALEEACEPVNDYLARAMLNKYKM
+>sp|Q6UXS9|CASPC_HUMAN Inactive caspase-12 OS=Homo sapiens OX=9606 GN=CASP12 PE=2 SV=2
+MADEKPSNGVLVHMVKLLIKTFLDGIFDDLMENNVLNTDEIHLIGKCLKFVVSNAENLVDDITETAQTAGKIFREHLWNSKKQLSSDISSDGEREANMPGLNIRNKEFNYLHNRNGSELDLLGMRDLLENLGYSVVIKENLTAQEMETALRQFAAHPEHQSSDSTFLVFMSHSILNGICGTKHWDQEPDVLHDDTIFEIFNNRNCQSLKDKPKVIIMQACRGNGAGIVWFTTDSGKAGADTHGRLLQGNICNDAVTKAHVEKDFIAFKSSTPHNVSWRHETNGSVFISQIIYYFREYSWSHHLEEIFQKVQHSFETPNILTQLPTIERLSMTRYFYLFPGN
+>DECOY_sp|Q6UXS9|CASPC_HUMAN Inactive caspase-12 OS=Homo sapiens OX=9606 GN=CASP12 PE=2 SV=2
+NGPFLYFYRTMSLREITPLQTLINPTEFSHQVKQFIEELHHSWSYERFYYIIQSIFVSGNTEHRWSVNHPTSSKFAIFDKEVHAKTVADNCINGQLLRGHTDAGAKGSDTTFWVIGAGNGRCAQMIIVKPKDKLSQCNRNNFIEFITDDHLVDPEQDWHKTGCIGNLISHSMFVLFTSDSSQHEPHAAFQRLATEMEQATLNEKIVVSYGLNELLDRMGLLDLESGNRNHLYNFEKNRINLGPMNAEREGDSSIDSSLQKKSNWLHERFIKGATQATETIDDVLNEANSVVFKLCKGILHIEDTNLVNNEMLDDFIGDLFTKILLKVMHVLVGNSPKEDAM
+>sp|P04040|CATA_HUMAN Catalase OS=Homo sapiens OX=9606 GN=CAT PE=1 SV=3
+MADSRDPASDQMQHWKEQRAAQKADVLTTGAGNPVGDKLNVITVGPRGPLLVQDVVFTDEMAHFDRERIPERVVHAKGAGAFGYFEVTHDITKYSKAKVFEHIGKKTPIAVRFSTVAGESGSADTVRDPRGFAVKFYTEDGNWDLVGNNTPIFFIRDPILFPSFIHSQKRNPQTHLKDPDMVWDFWSLRPESLHQVSFLFSDRGIPDGHRHMNGYGSHTFKLVNANGEAVYCKFHYKTDQGIKNLSVEDAARLSQEDPDYGIRDLFNAIATGKYPSWTFYIQVMTFNQAETFPFNPFDLTKVWPHKDYPLIPVGKLVLNRNPVNYFAEVEQIAFDPSNMPPGIEASPDKMLQGRLFAYPDTHRHRLGPNYLHIPVNCPYRARVANYQRDGPMCMQDNQGGAPNYYPNSFGAPEQQPSALEHSIQYSGEVRRFNTANDDNVTQVRAFYVNVLNEEQRKRLCENIAGHLKDAQIFIQKKAVKNFTEVHPDYGSHIQALLDKYNAEKPKNAIHTFVQSGSHLAAREKANL
+>DECOY_sp|P04040|CATA_HUMAN Catalase OS=Homo sapiens OX=9606 GN=CAT PE=1 SV=3
+LNAKERAALHSGSQVFTHIANKPKEANYKDLLAQIHSGYDPHVETFNKVAKKQIFIQADKLHGAINECLRKRQEENLVNVYFARVQTVNDDNATNFRRVEGSYQISHELASPQQEPAGFSNPYYNPAGGQNDQMCMPGDRQYNAVRARYPCNVPIHLYNPGLRHRHTDPYAFLRGQLMKDPSAEIGPPMNSPDFAIQEVEAFYNVPNRNLVLKGVPILPYDKHPWVKTLDFPNFPFTEAQNFTMVQIYFTWSPYKGTAIANFLDRIGYDPDEQSLRAADEVSLNKIGQDTKYHFKCYVAEGNANVLKFTHSGYGNMHRHGDPIGRDSFLFSVQHLSEPRLSWFDWVMDPDKLHTQPNRKQSHIFSPFLIPDRIFFIPTNNGVLDWNGDETYFKVAFGRPDRVTDASGSEGAVTSFRVAIPTKKGIHEFVKAKSYKTIDHTVEFYGFAGAGKAHVVREPIRERDFHAMEDTFVVDQVLLPGRPGVTIVNLKDGVPNGAGTTLVDAKQAARQEKWHQMQDSAPDRSDAM
+>sp|P07711|CATL1_HUMAN Cathepsin L1 OS=Homo sapiens OX=9606 GN=CTSL PE=1 SV=2
+MNPTLILAAFCLGIASATLTFDHSLEAQWTKWKAMHNRLYGMNEEGWRRAVWEKNMKMIELHNQEYREGKHSFTMAMNAFGDMTSEEFRQVMNGFQNRKPRKGKVFQEPLFYEAPRSVDWREKGYVTPVKNQGQCGSCWAFSATGALEGQMFRKTGRLISLSEQNLVDCSGPQGNEGCNGGLMDYAFQYVQDNGGLDSEESYPYEATEESCKYNPKYSVANDTGFVDIPKQEKALMKAVATVGPISVAIDAGHESFLFYKEGIYFEPDCSSEDMDHGVLVVGYGFESTESDNNKYWLVKNSWGEEWGMGGYVKMAKDRRNHCGIASAASYPTV
+>DECOY_sp|P07711|CATL1_HUMAN Cathepsin L1 OS=Homo sapiens OX=9606 GN=CTSL PE=1 SV=2
+VTPYSAASAIGCHNRRDKAMKVYGGMGWEEGWSNKVLWYKNNDSETSEFGYGVVLVGHDMDESSCDPEFYIGEKYFLFSEHGADIAVSIPGVTAVAKMLAKEQKPIDVFGTDNAVSYKPNYKCSEETAEYPYSEESDLGGNDQVYQFAYDMLGGNCGENGQPGSCDVLNQESLSILRGTKRFMQGELAGTASFAWCSGCQGQNKVPTVYGKERWDVSRPAEYFLPEQFVKGKRPKRNQFGNMVQRFEESTMDGFANMAMTFSHKGERYEQNHLEIMKMNKEWVARRWGEENMGYLRNHMAKWKTWQAELSHDFTLTASAIGLCFAALILTPNM
+>sp|Q5NE16|CATL3_HUMAN Putative inactive cathepsin L-like protein CTSL3P OS=Homo sapiens OX=9606 GN=CTSL3P PE=5 SV=1
+MKMIEQHNQEYREGKHSFTMAMNAFGEMTSEEFRQVVNGFQNQKHRKGKVLQEPLLHDIRKSVDWREKGYVTPVKDQCNWGSVRTDVRKTEKLVSLSVQTWWTALGFKAMLAAFLENHYFASSMLPTMEAWTLRKPFHMKKSSGDWKVQGHRGASGESLLASGESQQSPEVAQYSGKHQVQCHLIEEALQMLSGGDEDHDEDKWPHDMRNHLAGEAQV
+>DECOY_sp|Q5NE16|CATL3_HUMAN Putative inactive cathepsin L-like protein CTSL3P OS=Homo sapiens OX=9606 GN=CTSL3P PE=5 SV=1
+VQAEGALHNRMDHPWKDEDHDEDGGSLMQLAEEILHCQVQHKGSYQAVEPSQQSEGSALLSEGSAGRHGQVKWDGSSKKMHFPKRLTWAEMTPLMSSAFYHNELFAALMAKFGLATWWTQVSLSVLKETKRVDTRVSGWNCQDKVPTVYGKERWDVSKRIDHLLPEQLVKGKRHKQNQFGNVVQRFEESTMEGFANMAMTFSHKGERYEQNHQEIMKM
+>sp|Q03135|CAV1_HUMAN Caveolin-1 OS=Homo sapiens OX=9606 GN=CAV1 PE=1 SV=4
+MSGGKYVDSEGHLYTVPIREQGNIYKPNNKAMADELSEKQVYDAHTKEIDLVNRDPKHLNDDVVKIDFEDVIAEPEGTHSFDGIWKASFTTFTVTKYWFYRLLSALFGIPMALIWGIYFAILSFLHIWAVVPCIKSFLIEIQCISRVYSIYVHTVCDPLFEAVGKIFSNVRINLQKEI
+>DECOY_sp|Q03135|CAV1_HUMAN Caveolin-1 OS=Homo sapiens OX=9606 GN=CAV1 PE=1 SV=4
+IEKQLNIRVNSFIKGVAEFLPDCVTHVYISYVRSICQIEILFSKICPVVAWIHLFSLIAFYIGWILAMPIGFLASLLRYFWYKTVTFTTFSAKWIGDFSHTGEPEAIVDEFDIKVVDDNLHKPDRNVLDIEKTHADYVQKESLEDAMAKNNPKYINGQERIPVTYLHGESDVYKGGSM
+>sp|P52907|CAZA1_HUMAN F-actin-capping protein subunit alpha-1 OS=Homo sapiens OX=9606 GN=CAPZA1 PE=1 SV=3
+MADFDDRVSDEEKVRIAAKFITHAPPGEFNEVFNDVRLLLNNDNLLREGAAHAFAQYNMDQFTPVKIEGYEDQVLITEHGDLGNSRFLDPRNKISFKFDHLRKEASDPQPEEADGGLKSWRESCDSALRAYVKDHYSNGFCTVYAKTIDGQQTIIACIESHQFQPKNFWNGRWRSEWKFTITPPTAQVVGVLKIQVHYYEDGNVQLVSHKDVQDSLTVSNEAQTAKEFIKIIENAENEYQTAISENYQTMSDTTFKALRRQLPVTRTKIDWNKILSYKIGKEMQNA
+>DECOY_sp|P52907|CAZA1_HUMAN F-actin-capping protein subunit alpha-1 OS=Homo sapiens OX=9606 GN=CAPZA1 PE=1 SV=3
+ANQMEKGIKYSLIKNWDIKTRTVPLQRRLAKFTTDSMTQYNESIATQYENEANEIIKIFEKATQAENSVTLSDQVDKHSVLQVNGDEYYHVQIKLVGVVQATPPTITFKWESRWRGNWFNKPQFQHSEICAIITQQGDITKAYVTCFGNSYHDKVYARLASDCSERWSKLGGDAEEPQPDSAEKRLHDFKFSIKNRPDLFRSNGLDGHETILVQDEYGEIKVPTFQDMNYQAFAHAAGERLLNDNNLLLRVDNFVENFEGPPAHTIFKAAIRVKEEDSVRDDFDAM
+>sp|Q9NWW7|CB042_HUMAN Uncharacterized protein C2orf42 OS=Homo sapiens OX=9606 GN=C2orf42 PE=1 SV=1
+MEPNSLRTKVPAFLSDLGKATLRGIRKCPRCGTYNGTRGLSCKNKTCGTIFRYGARKQPSVEAVKIITGSDLQVYSVRQRDRGPDYRCFVELGVSETTIQTVDGTIITQLSSGRCYVPSCLKAATQGVVENQCQHIKLAVNCQAEATPLTLKSSVLNAMQASPETKQTIWQLATEPTGPLVQRITKNILVVKCKASQKHSLGYLHTSFVQKVSGKSLPERRFFCSCQTLKSHKSNASKDETAQRCIHFFACICAFASDETLAQEFSDFLNFDSSGLKEIIVPQLGCHSESTVSACESTASKSKKRRKDEVSGAQMNSSLLPQDAVSSNLRKSGLKKPVVASSLKRQACGQLLDEAQVTLSFQDWLASVTERIHQTMHYQFDGKPEPLVFHIPQSFFDALQQRISIGSAKKRLPNSTTAFVRKDALPLGTFSKYTWHITNILQVKQILDTPEMPLEITRSFIQNRDGTYELFKCPKVEVESIAETYGRIEKQPVLRPLELKTFLKVGNTSPDQKEPTPFIIEWIPDILPQSKIGELRIKFEYGHHRNGHVAEYQDQRPPLDQPLELAPLTTITFP
+>DECOY_sp|Q9NWW7|CB042_HUMAN Uncharacterized protein C2orf42 OS=Homo sapiens OX=9606 GN=C2orf42 PE=1 SV=1
+PFTITTLPALELPQDLPPRQDQYEAVHGNRHHGYEFKIRLEGIKSQPLIDPIWEIIFPTPEKQDPSTNGVKLFTKLELPRLVPQKEIRGYTEAISEVEVKPCKFLEYTGDRNQIFSRTIELPMEPTDLIQKVQLINTIHWTYKSFTGLPLADKRVFATTSNPLRKKASGISIRQQLADFFSQPIHFVLPEPKGDFQYHMTQHIRETVSALWDQFSLTVQAEDLLQGCAQRKLSSAVVPKKLGSKRLNSSVADQPLLSSNMQAGSVEDKRRKKSKSATSECASVTSESHCGLQPVIIEKLGSSDFNLFDSFEQALTEDSAFACICAFFHICRQATEDKSANSKHSKLTQCSCFFRREPLSKGSVKQVFSTHLYGLSHKQSAKCKVVLINKTIRQVLPGTPETALQWITQKTEPSAQMANLVSSKLTLPTAEAQCNVALKIHQCQNEVVGQTAAKLCSPVYCRGSSLQTIITGDVTQITTESVGLEVFCRYDPGRDRQRVSYVQLDSGTIIKVAEVSPQKRAGYRFITGCTKNKCSLGRTGNYTGCRPCKRIGRLTAKGLDSLFAPVKTRLSNPEM
+>sp|Q96LR7|CB050_HUMAN Uncharacterized protein C2orf50 OS=Homo sapiens OX=9606 GN=C2orf50 PE=1 SV=1
+MGSHPTPGLQRTTSAGYRLPPTRPPASVSPAARGGPMASRGLAGGCQAPQALKAQRVAQGAACDGVQQDQLWRELLEAERRGQQRWIQNWSFLKDYDPMGNKKEPEKLPDHVPLFSDTVPSSTNQVVGSRLDTPLGQTLIRMDFFFTEGARKKKLEDQMQPI
+>DECOY_sp|Q96LR7|CB050_HUMAN Uncharacterized protein C2orf50 OS=Homo sapiens OX=9606 GN=C2orf50 PE=1 SV=1
+IPQMQDELKKKRAGETFFFDMRILTQGLPTDLRSGVVQNTSSPVTDSFLPVHDPLKEPEKKNGMPDYDKLFSWNQIWRQQGRREAELLERWLQDQQVGDCAAGQAVRQAKLAQPAQCGGALGRSAMPGGRAAPSVSAPPRTPPLRYGASTTRQLGPTPHSGM
+>sp|Q6UXQ4|CB066_HUMAN Uncharacterized protein C2orf66 OS=Homo sapiens OX=9606 GN=C2orf66 PE=3 SV=1
+MIIDSSRIPSFTQLHSTMTRAPLLLLCVALVLLGHVNGATVRNEDKWKPLNNPRNRDLFFRRLQAYFKGRGLDLGTFPNPFPTNENPRPLSFQSELTASASADYEEQKNSFHNYLKG
+>DECOY_sp|Q6UXQ4|CB066_HUMAN Uncharacterized protein C2orf66 OS=Homo sapiens OX=9606 GN=C2orf66 PE=3 SV=1
+GKLYNHFSNKQEEYDASASATLESQFSLPRPNENTPFPNPFTGLDLGRGKFYAQLRRFFLDRNRPNNLPKWKDENRVTAGNVHGLLVLAVCLLLLPARTMTSHLQTFSPIRSSDIIM
+>sp|Q2NKX9|CB068_HUMAN UPF0561 protein C2orf68 OS=Homo sapiens OX=9606 GN=C2orf68 PE=2 SV=1
+MEAGPHPRPGHCCKPGGRLDMNHGFVHHIRRNQIARDDYDKKVKQAAKEKVRRRHTPAPTRPRKPDLQVYLPRHRDVSAHPRNPDYEESGESSSSGGSELEPSGHQLFCLEYEADSGEVTSVIVYQGDDPGKVSEKVSAHTPLDPPMREALKLRIQEEIAKRQSQH
+>DECOY_sp|Q2NKX9|CB068_HUMAN UPF0561 protein C2orf68 OS=Homo sapiens OX=9606 GN=C2orf68 PE=2 SV=1
+HQSQRKAIEEQIRLKLAERMPPDLPTHASVKESVKGPDDGQYVIVSTVEGSDAEYELCFLQHGSPELESGGSSSSEGSEEYDPNRPHASVDRHRPLYVQLDPKRPRTPAPTHRRRVKEKAAQKVKKDYDDRAIQNRRIHHVFGHNMDLRGGPKCCHGPRPHPGAEM
+>sp|Q8N8R5|CB069_HUMAN UPF0565 protein C2orf69 OS=Homo sapiens OX=9606 GN=C2orf69 PE=1 SV=1
+MWGFRLLRSPPLLLLLPQLGIGNASSCSQARTMNPGGSGGARCSLSAEVRRRQCLQLSTVPGADPQRSNELLLLAAAGEGLERQDLPGDPAKEEPQPPPQHHVLYFPGDVQNYHEIMTRHPENYQWENWSLENVATILAHRFPNSYIWVIKCSRMHLHKFSCYDNFVKSNMFGAPEHNTDFGAFKHLYMLLVNAFNLSQNSLSKKSLNVWNKDSIASNCRSSPSHTTNGCQGEKVRTCEKSDESAMSFYPPSLNDASFTLIGFSKGCVVLNQLLFELKEAKKDKNIDAFIKSIRTMYWLDGGHSGGSNTWVTYPEVLKEFAQTGIIVHTHVTPYQVRDPMRSWIGKEHKKFVQILGDLGMQVTSQIHFTKEAPSIENHFRVHEVF
+>DECOY_sp|Q8N8R5|CB069_HUMAN UPF0565 protein C2orf69 OS=Homo sapiens OX=9606 GN=C2orf69 PE=1 SV=1
+FVEHVRFHNEISPAEKTFHIQSTVQMGLDGLIQVFKKHEKGIWSRMPDRVQYPTVHTHVIIGTQAFEKLVEPYTVWTNSGGSHGGDLWYMTRISKIFADINKDKKAEKLEFLLQNLVVCGKSFGILTFSADNLSPPYFSMASEDSKECTRVKEGQCGNTTHSPSSRCNSAISDKNWVNLSKKSLSNQSLNFANVLLMYLHKFAGFDTNHEPAGFMNSKVFNDYCSFKHLHMRSCKIVWIYSNPFRHALITAVNELSWNEWQYNEPHRTMIEHYNQVDGPFYLVHHQPPPQPEEKAPDGPLDQRELGEGAAALLLLENSRQPDAGPVTSLQLCQRRRVEASLSCRAGGSGGPNMTRAQSCSSANGIGLQPLLLLLPPSRLLRFGWM
+>sp|Q3KRA6|CB076_HUMAN UPF0538 protein C2orf76 OS=Homo sapiens OX=9606 GN=C2orf76 PE=1 SV=3
+MAPGEVTITVRLIRSFEHRNFKPVVYHGVNLDQTVKEFIVFLKQDIPLRTNLPPPFRNYKYDALKIIHQAHKSKTNELVLSLEDDERLLLKEDSTLKAAGIASETEIAFFCEEDYKNYKANPISSW
+>DECOY_sp|Q3KRA6|CB076_HUMAN UPF0538 protein C2orf76 OS=Homo sapiens OX=9606 GN=C2orf76 PE=1 SV=3
+WSSIPNAKYNKYDEECFFAIETESAIGAAKLTSDEKLLLREDDELSLVLENTKSKHAQHIIKLADYKYNRFPPPLNTRLPIDQKLFVIFEKVTQDLNVGHYVVPKFNRHEFSRILRVTITVEGPAM
+>sp|A0A1B0GVN3|CB092_HUMAN Uncharacterized protein C2orf92 OS=Homo sapiens OX=9606 GN=C2orf92 PE=3 SV=1
+MSRAMALFFVLCWIQDEIVLQVFSKVPYDPSFDETRTAVRSITKRDTQKSYSQQKSLNNAAFASGSNEREEHLAKIFDEILLQVFPKFPYDPSFNEATAVRSITKTDMRKGTSIAWNSPKPEYFLGSVDKIPDKDHLSEEKNFKESCLFDRDLREQLTTIDKETLQGAAKPDAHFRTMPCGQLLHFLQRNTIIAAVSGVAILMAIVLLLLGLASYIRKKQPSSPLANTTYNIFIMDGKTWWHNSEEKNFTKLAKKQKQLKSSSCV
+>DECOY_sp|A0A1B0GVN3|CB092_HUMAN Uncharacterized protein C2orf92 OS=Homo sapiens OX=9606 GN=C2orf92 PE=3 SV=1
+VCSSSKLQKQKKALKTFNKEESNHWWTKGDMIFINYTTNALPSSPQKKRIYSALGLLLLVIAMLIAVGSVAAIITNRQLFHLLQGCPMTRFHADPKAAGQLTEKDITTLQERLDRDFLCSEKFNKEESLHDKDPIKDVSGLFYEPKPSNWAISTGKRMDTKTISRVATAENFSPDYPFKPFVQLLIEDFIKALHEERENSGSAFAANNLSKQQSYSKQTDRKTISRVATRTEDFSPDYPVKSFVQLVIEDQIWCLVFFLAMARSM
+>sp|P22681|CBL_HUMAN E3 ubiquitin-protein ligase CBL OS=Homo sapiens OX=9606 GN=CBL PE=1 SV=2
+MAGNVKKSSGAGGGSGSGGSGSGGLIGLMKDAFQPHHHHHHHLSPHPPGTVDKKMVEKCWKLMDKVVRLCQNPKLALKNSPPYILDLLPDTYQHLRTILSRYEGKMETLGENEYFRVFMENLMKKTKQTISLFKEGKERMYEENSQPRRNLTKLSLIFSHMLAELKGIFPSGLFQGDTFRITKADAAEFWRKAFGEKTIVPWKSFRQALHEVHPISSGLEAMALKSTIDLTCNDYISVFEFDIFTRLFQPWSSLLRNWNSLAVTHPGYMAFLTYDEVKARLQKFIHKPGSYIFRLSCTRLGQWAIGYVTADGNILQTIPHNKPLFQALIDGFREGFYLFPDGRNQNPDLTGLCEPTPQDHIKVTQEQYELYCEMGSTFQLCKICAENDKDVKIEPCGHLMCTSCLTSWQESEGQGCPFCRCEIKGTEPIVVDPFDPRGSGSLLRQGAEGAPSPNYDDDDDERADDTLFMMKELAGAKVERPPSPFSMAPQASLPPVPPRLDLLPQRVCVPSSASALGTASKAASGSLHKDKPLPVPPTLRDLPPPPPPDRPYSVGAESRPQRRPLPCTPGDCPSRDKLPPVPSSRLGDSWLPRPIPKVPVSAPSSSDPWTGRELTNRHSLPFSLPSQMEPRPDVPRLGSTFSLDTSMSMNSSPLVGPECDHPKIKPSSSANAIYSLAARPLPVPKLPPGEQCEGEEDTEYMTPSSRPLRPLDTSQSSRACDCDQQIDSCTYEAMYNIQSQAPSITESSTFGEGNLAAAHANTGPEESENEDDGYDVPKPPVPAVLARRTLSDISNASSSFGWLSLDGDPTTNVTEGSQVPERPPKPFPRRINSERKAGSCQQGSGPAASAATASPQLSSEIENLMSQGYSYQDIQKALVIAQNNIEMAKNILREFVSISSPAHVAT
+>DECOY_sp|P22681|CBL_HUMAN E3 ubiquitin-protein ligase CBL OS=Homo sapiens OX=9606 GN=CBL PE=1 SV=2
+TAVHAPSSISVFERLINKAMEINNQAIVLAKQIDQYSYGQSMLNEIESSLQPSATAASAAPGSGQQCSGAKRESNIRRPFPKPPREPVQSGETVNTTPDGDLSLWGFSSSANSIDSLTRRALVAPVPPKPVDYGDDENESEEPGTNAHAAALNGEGFTSSETISPAQSQINYMAEYTCSDIQQDCDCARSSQSTDLPRLPRSSPTMYETDEEGECQEGPPLKPVPLPRAALSYIANASSSPKIKPHDCEPGVLPSSNMSMSTDLSFTSGLRPVDPRPEMQSPLSFPLSHRNTLERGTWPDSSSPASVPVKPIPRPLWSDGLRSSPVPPLKDRSPCDGPTCPLPRRQPRSEAGVSYPRDPPPPPPLDRLTPPVPLPKDKHLSGSAAKSATGLASASSPVCVRQPLLDLRPPVPPLSAQPAMSFPSPPREVKAGALEKMMFLTDDAREDDDDDYNPSPAGEAGQRLLSGSGRPDFPDVVIPETGKIECRCFPCGQGESEQWSTLCSTCMLHGCPEIKVDKDNEACIKCLQFTSGMECYLEYQEQTVKIHDQPTPECLGTLDPNQNRGDPFLYFGERFGDILAQFLPKNHPITQLINGDATVYGIAWQGLRTCSLRFIYSGPKHIFKQLRAKVEDYTLFAMYGPHTVALSNWNRLLSSWPQFLRTFIDFEFVSIYDNCTLDITSKLAMAELGSSIPHVEHLAQRFSKWPVITKEGFAKRWFEAADAKTIRFTDGQFLGSPFIGKLEALMHSFILSLKTLNRRPQSNEEYMREKGEKFLSITQKTKKMLNEMFVRFYENEGLTEMKGEYRSLITRLHQYTDPLLDLIYPPSNKLALKPNQCLRVVKDMLKWCKEVMKKDVTGPPHPSLHHHHHHHPQFADKMLGILGGSGSGGSGSGGGAGSSKKVNGAM
+>sp|Q8NEM8|CBPC3_HUMAN Cytosolic carboxypeptidase 3 OS=Homo sapiens OX=9606 GN=AGBL3 PE=2 SV=2
+MSEDSEKEDYSDRTISDEDESDEDMFMKFVSEDLHRCALLTADSFGDPFFPRTTQILLEYQLGRWVPRLREPRDLYGVSSSGPLSPTRWPYHCEVIDEKVQHIDWTPSCPEPVYIPTGLETEPLYPDSKEATVVYLAEDAYKEPCFVYSRVGGNRTPLKQPVDYRDNTLMFEARFESGNLQKVVKVAEYEYQLTVRPDLFTNKHTQWYYFQVTNMRAGIVYRFTIVNFTKPASLYSRGMRPLFYSEKEAKAHHIGWQRIGDQIKYYRNNPGQDGRHYFSLTWTFQFPHNKDTCYFAHCYPYTYTNLQEYLSGINNDPVRSKFCKIRVLCHTLARNMVYILTITTPLKNSDSRKRKAVILTARVHPGETNSSWIMKGFLDYILGNSSDAQLLRDTFVFKVVPMLNPDGVIVGNYRCSLAGRDLNRNYTSLLKESFPSVWYTRNMVHRLMEKREVILYCDLHGHSRKENIFMYGCDGSDRSKTLYLQQRIFPLMLSKNCPDKFSFSACKFNVQKSKEGTGRVVMWKMGIRNSFTMEATFCGSTLGNKRGTHFSTKDLESMGYHFCDSLLDYCDPDRTKYYRCLKELEEMERHITLEKVFEDSDTPVIDITLDVESSSRGSDSSESIDSLTYLLKLTSQKKHLKTKKERNSTIASHQNARGQEVYDRGHLLQRHTQSNSDVKDTRPNEPDDYMVDYFRRQLPNQGLAHCKLRLPGSRHSPASASRVAGTTGTRHHTWLIFVFLVEMGKKIPLKGTDLYGNCFKVTSLQSPMGKQTSTWTEKTRIPTEDLHHNLKSKIKECISFQSKKTGINWTDDEKRSYKDKGIVQTQEILQYLLPIVHSTKNMQTTQIKQLFNPRTNFQIQHQLNPATCRNIKKYSTSWTAPRNHPFVIQGDVMANSSEWVQSKPHRSLESLSPLKGPKKNKHSQIWAIKNEDIKPLSSKWETASSSFGMDANVLKYKSLQAEETNQQSSKHTALHLTKNKDEQANKNDGQPTLYLKFQRES
+>DECOY_sp|Q8NEM8|CBPC3_HUMAN Cytosolic carboxypeptidase 3 OS=Homo sapiens OX=9606 GN=AGBL3 PE=2 SV=2
+SERQFKLYLTPQGDNKNAQEDKNKTLHLATHKSSQQNTEEAQLSKYKLVNADMGFSSSATEWKSSLPKIDENKIAWIQSHKNKKPGKLPSLSELSRHPKSQVWESSNAMVDGQIVFPHNRPATWSTSYKKINRCTAPNLQHQIQFNTRPNFLQKIQTTQMNKTSHVIPLLYQLIEQTQVIGKDKYSRKEDDTWNIGTKKSQFSICEKIKSKLNHHLDETPIRTKETWTSTQKGMPSQLSTVKFCNGYLDTGKLPIKKGMEVLFVFILWTHHRTGTTGAVRSASAPSHRSGPLRLKCHALGQNPLQRRFYDVMYDDPENPRTDKVDSNSQTHRQLLHGRDYVEQGRANQHSAITSNREKKTKLHKKQSTLKLLYTLSDISESSDSGRSSSEVDLTIDIVPTDSDEFVKELTIHREMEELEKLCRYYKTRDPDCYDLLSDCFHYGMSELDKTSFHTGRKNGLTSGCFTAEMTFSNRIGMKWMVVRGTGEKSKQVNFKCASFSFKDPCNKSLMLPFIRQQLYLTKSRDSGDCGYMFINEKRSHGHLDCYLIVERKEMLRHVMNRTYWVSPFSEKLLSTYNRNLDRGALSCRYNGVIVGDPNLMPVVKFVFTDRLLQADSSNGLIYDLFGKMIWSSNTEGPHVRATLIVAKRKRSDSNKLPTTITLIYVMNRALTHCLVRIKCFKSRVPDNNIGSLYEQLNTYTYPYCHAFYCTDKNHPFQFTWTLSFYHRGDQGPNNRYYKIQDGIRQWGIHHAKAEKESYFLPRMGRSYLSAPKTFNVITFRYVIGARMNTVQFYYWQTHKNTFLDPRVTLQYEYEAVKVVKQLNGSEFRAEFMLTNDRYDVPQKLPTRNGGVRSYVFCPEKYADEALYVVTAEKSDPYLPETELGTPIYVPEPCSPTWDIHQVKEDIVECHYPWRTPSLPGSSSVGYLDRPERLRPVWRGLQYELLIQTTRPFFPDGFSDATLLACRHLDESVFKMFMDEDSEDEDSITRDSYDEKESDESM
+>sp|Q8NDL9|CBPC5_HUMAN Cytosolic carboxypeptidase-like protein 5 OS=Homo sapiens OX=9606 GN=AGBL5 PE=1 SV=1
+MELRCGGLLFSSRFDSGNLAHVEKVESLSSDGEGVGGGASALTSGIASSPDYEFNVWTRPDCAETEFENGNRSWFYFSVRGGMPGKLIKINIMNMNKQSKLYSQGMAPFVRTLPTRPRWERIRDRPTFEMTETQFVLSFVHRFVEGRGATTFFAFCYPFSYSDCQELLNQLDQRFPENHPTHSSPLDTIYYHRELLCYSLDGLRVDLLTITSCHGLREDREPRLEQLFPDTSTPRPFRFAGKRIFFLSSRVHPGETPSSFVFNGFLDFILRPDDPRAQTLRRLFVFKLIPMLNPDGVVRGHYRTDSRGVNLNRQYLKPDAVLHPAIYGAKAVLLYHHVHSRLNSQSSSEHQPSSCLPPDAPVSDLEKANNLQNEAQCGHSADRHNAEAWKQTEPAEQKLNSVWIMPQQSAGLEESAPDTIPPKESGVAYYVDLHGHASKRGCFMYGNSFSDESTQVENMLYPKLISLNSAHFDFQGCNFSEKNMYARDRRDGQSKEGSGRVAIYKASGIIHSYTLECNYNTGRSVNSIPAACHDNGRASPPPPPAFPSRYTVELFEQVGRAMAIAALDMAECNPWPRIVLSEHSSLTNLRAWMLKHVRNSRGLSSTLNVGVNKKRGLRTPPKSHNGLPVSCSENTLSRARSFSTGTSAGGSSSSQQNSPQMKNSPSFPFHGSRPAGLPGLGSSTQKVTHRVLGPVREPRSQDRRRQQQPLNHRPAGSLAPSPAPTSSGPASSHKLGSCLLPDSFNIPGSSCSLLSSGDKPEAVMVIGKGLLGTGARMPCIKTRLQARPRLGRGSPPTRRGMKGSSGPTSPTPRTRESSELELGSCSATPGLPQARPPRPRSAPAFSPISCSLSDSPSWNCYSRGPLGQPEVCFVPKSPPLTVSPRV
+>DECOY_sp|Q8NDL9|CBPC5_HUMAN Cytosolic carboxypeptidase-like protein 5 OS=Homo sapiens OX=9606 GN=AGBL5 PE=1 SV=1
+VRPSVTLPPSKPVFCVEPQGLPGRSYCNWSPSDSLSCSIPSFAPASRPRPPRAQPLGPTASCSGLELESSERTRPTPSTPGSSGKMGRRTPPSGRGLRPRAQLRTKICPMRAGTGLLGKGIVMVAEPKDGSSLLSCSSGPINFSDPLLCSGLKHSSAPGSSTPAPSPALSGAPRHNLPQQQRRRDQSRPERVPGLVRHTVKQTSSGLGPLGAPRSGHFPFSPSNKMQPSNQQSSSSGGASTGTSFSRARSLTNESCSVPLGNHSKPPTRLGRKKNVGVNLTSSLGRSNRVHKLMWARLNTLSSHESLVIRPWPNCEAMDLAAIAMARGVQEFLEVTYRSPFAPPPPPSARGNDHCAAPISNVSRGTNYNCELTYSHIIGSAKYIAVRGSGEKSQGDRRDRAYMNKESFNCGQFDFHASNLSILKPYLMNEVQTSEDSFSNGYMFCGRKSAHGHLDVYYAVGSEKPPITDPASEELGASQQPMIWVSNLKQEAPETQKWAEANHRDASHGCQAENQLNNAKELDSVPADPPLCSSPQHESSSQSNLRSHVHHYLLVAKAGYIAPHLVADPKLYQRNLNVGRSDTRYHGRVVGDPNLMPILKFVFLRRLTQARPDDPRLIFDLFGNFVFSSPTEGPHVRSSLFFIRKGAFRFPRPTSTDPFLQELRPERDERLGHCSTITLLDVRLGDLSYCLLERHYYITDLPSSHTPHNEPFRQDLQNLLEQCDSYSFPYCFAFFTTAGRGEVFRHVFSLVFQTETMEFTPRDRIREWRPRTPLTRVFPAMGQSYLKSQKNMNMINIKILKGPMGGRVSFYFWSRNGNEFETEACDPRTWVNFEYDPSSAIGSTLASAGGGVGEGDSSLSEVKEVHALNGSDFRSSFLLGGCRLEM
+>sp|Q5VU57|CBPC6_HUMAN Cytosolic carboxypeptidase 6 OS=Homo sapiens OX=9606 GN=AGBL4 PE=2 SV=3
+MAEGSQSAPEAGNDMGNDDAIGGNVSKYIVLPTGYCGQPKKGHLIFDACFESGNLGRVDQVSEFEYDLFIRPDTCNPRFRVWFNFTVENVKESQRVIFNIVNFSKTKSLYRDGMAPMVKSTSRPKWQRLPPKNVYYYRCPDHRKNYVMSFAFCFDREEDIYQFAYCYPYTYTRFQHYLDSLQKRNMDYFFREQLGQSVQQRKLDLLTITSPDNLREGAEQKVVFITGRVHPGETPSSFVCQGIIDFLVSQHPIACVLREYLVFKIAPMLNPDGVYLGNYRCSLMGFDLNRHWLDPSPWVHPTLHGVKQLIVQMYNDPKTSLEFYIDIHAHSTMMNGFMYGNIFEDEERFQRQAIFPKLLCQNAEDFSYSSTSFNRDAVKAGTGRRFLGGLLDHTSYCYTLEVSFYSYIISGTTAAVPYTEEAYMKLGRNVARTFLDYYRLNPVVEKVAIPMPRLRNKEIEVQRRKEKSPPYKHPLLRGPASNYPNSKGDKKSSVNHKDPSTPF
+>DECOY_sp|Q5VU57|CBPC6_HUMAN Cytosolic carboxypeptidase 6 OS=Homo sapiens OX=9606 GN=AGBL4 PE=2 SV=3
+FPTSPDKHNVSSKKDGKSNPYNSAPGRLLPHKYPPSKEKRRQVEIEKNRLRPMPIAVKEVVPNLRYYDLFTRAVNRGLKMYAEETYPVAATTGSIIYSYFSVELTYCYSTHDLLGGLFRRGTGAKVADRNFSTSSYSFDEANQCLLKPFIAQRQFREEDEFINGYMFGNMMTSHAHIDIYFELSTKPDNYMQVILQKVGHLTPHVWPSPDLWHRNLDFGMLSCRYNGLYVGDPNLMPAIKFVLYERLVCAIPHQSVLFDIIGQCVFSSPTEGPHVRGTIFVVKQEAGERLNDPSTITLLDLKRQQVSQGLQERFFYDMNRKQLSDLYHQFRTYTYPYCYAFQYIDEERDFCFAFSMVYNKRHDPCRYYYVNKPPLRQWKPRSTSKVMPAMGDRYLSKTKSFNVINFIVRQSEKVNEVTFNFWVRFRPNCTDPRIFLDYEFESVQDVRGLNGSEFCADFILHGKKPQGCYGTPLVIYKSVNGGIADDNGMDNGAEPASQSGEAM
+>sp|Q8IVL8|CBPO_HUMAN Carboxypeptidase O OS=Homo sapiens OX=9606 GN=CPO PE=1 SV=1
+MKPLLETLYLLGMLVPGGLGYDRSLAQHRQEIVDKSVSPWSLETYSYNIYHPMGEIYEWMREISEKYKEVVTQHFLGVTYETHPMYYLKISQPSGNPKKIIWMDCGIHAREWIAPAFCQWFVKEILQNHKDNSSIRKLLRNLDFYVLPVLNIDGYIYTWTTDRLWRKSRSPHNNGTCFGTDLNRNFNASWCSIGASRNCQDQTFCGTGPVSEPETKAVASFIESKKDDILCFLTMHSYGQLILTPYGYTKNKSSNHPEMIQVGQKAANALKAKYGTNYRVGSSADILYASSGSSRDWARDIGIPFSYTFELRDSGTYGFVLPEAQIQPTCEETMEAVLSVLDDVYAKHWHSDSAGRVTSATMLLGLLVSCMSLL
+>DECOY_sp|Q8IVL8|CBPO_HUMAN Carboxypeptidase O OS=Homo sapiens OX=9606 GN=CPO PE=1 SV=1
+LLSMCSVLLGLLMTASTVRGASDSHWHKAYVDDLVSLVAEMTEECTPQIQAEPLVFGYTGSDRLEFTYSFPIGIDRAWDRSSGSSAYLIDASSGVRYNTGYKAKLANAAKQGVQIMEPHNSSKNKTYGYPTLILQGYSHMTLFCLIDDKKSEIFSAVAKTEPESVPGTGCFTQDQCNRSAGISCWSANFNRNLDTGFCTGNNHPSRSKRWLRDTTWTYIYGDINLVPLVYFDLNRLLKRISSNDKHNQLIEKVFWQCFAPAIWERAHIGCDMWIIKKPNGSPQSIKLYYMPHTEYTVGLFHQTVVEKYKESIERMWEYIEGMPHYINYSYTELSWPSVSKDVIEQRHQALSRDYGLGGPVLMGLLYLTELLPKM
+>sp|Q9Y646|CBPQ_HUMAN Carboxypeptidase Q OS=Homo sapiens OX=9606 GN=CPQ PE=1 SV=1
+MKFLIFAFFGGVHLLSLCSGKAICKNGISKRTFEEIKEEIASCGDVAKAIINLAVYGKAQNRSYERLALLVDTVGPRLSGSKNLEKAIQIMYQNLQQDGLEKVHLEPVRIPHWERGEESAVMLEPRIHKIAILGLGSSIGTPPEGITAEVLVVTSFDELQRRASEARGKIVVYNQPYINYSRTVQYRTQGAVEAAKVGALASLIRSVASFSIYSPHTGIQEYQDGVPKIPTACITVEDAEMMSRMASHGIKIVIQLKMGAKTYPDTDSFNTVAEITGSKYPEQVVLVSGHLDSWDVGQGAMDDGGGAFISWEALSLIKDLGLRPKRTLRLVLWTAEEQGGVGAFQYYQLHKVNISNYSLVMESDAGTFLPTGLQFTGSEKARAIMEEVMSLLQPLNITQVLSHGEGTDINFWIQAGVPGASLLDDLYKYFFFHHSHGDTMTVMDPKQMNVAAAVWAVVSYVVADMEEMLPRS
+>DECOY_sp|Q9Y646|CBPQ_HUMAN Carboxypeptidase Q OS=Homo sapiens OX=9606 GN=CPQ PE=1 SV=1
+SRPLMEEMDAVVYSVVAWVAAAVNMQKPDMVTMTDGHSHHFFFYKYLDDLLSAGPVGAQIWFNIDTGEGHSLVQTINLPQLLSMVEEMIARAKESGTFQLGTPLFTGADSEMVLSYNSINVKHLQYYQFAGVGGQEEATWLVLRLTRKPRLGLDKILSLAEWSIFAGGGDDMAGQGVDWSDLHGSVLVVQEPYKSGTIEAVTNFSDTDPYTKAGMKLQIVIKIGHSAMRSMMEADEVTICATPIKPVGDQYEQIGTHPSYISFSAVSRILSALAGVKAAEVAGQTRYQVTRSYNIYPQNYVVIKGRAESARRQLEDFSTVVLVEATIGEPPTGISSGLGLIAIKHIRPELMVASEEGREWHPIRVPELHVKELGDQQLNQYMIQIAKELNKSGSLRPGVTDVLLALREYSRNQAKGYVALNIIAKAVDGCSAIEEKIEEFTRKSIGNKCIAKGSCLSLLHVGGFFAFILFKM
+>sp|P16152|CBR1_HUMAN Carbonyl reductase [NADPH] 1 OS=Homo sapiens OX=9606 GN=CBR1 PE=1 SV=3
+MSSGIHVALVTGGNKGIGLAIVRDLCRLFSGDVVLTARDVTRGQAAVQQLQAEGLSPRFHQLDIDDLQSIRALRDFLRKEYGGLDVLVNNAGIAFKVADPTPFHIQAEVTMKTNFFGTRDVCTELLPLIKPQGRVVNVSSIMSVRALKSCSPELQQKFRSETITEEELVGLMNKFVEDTKKGVHQKEGWPSSAYGVTKIGVTVLSRIHARKLSEQRKGDKILLNACCPGWVRTDMAGPKATKSPEEGAETPVYLALLPPDAEGPHGQFVSEKRVEQW
+>DECOY_sp|P16152|CBR1_HUMAN Carbonyl reductase [NADPH] 1 OS=Homo sapiens OX=9606 GN=CBR1 PE=1 SV=3
+WQEVRKESVFQGHPGEADPPLLALYVPTEAGEEPSKTAKPGAMDTRVWGPCCANLLIKDGKRQESLKRAHIRSLVTVGIKTVGYASSPWGEKQHVGKKTDEVFKNMLGVLEEETITESRFKQQLEPSCSKLARVSMISSVNVVRGQPKILPLLETCVDRTGFFNTKMTVEAQIHFPTPDAVKFAIGANNVLVDLGGYEKRLFDRLARISQLDDIDLQHFRPSLGEAQLQQVAAQGRTVDRATLVVDGSFLRCLDRVIALGIGKNGGTVLAVHIGSSM
+>sp|P0DN79|CBSL_HUMAN Cystathionine beta-synthase-like protein OS=Homo sapiens OX=9606 GN=CBSL PE=1 SV=1
+MPSETPQAEVGPTGCPHRSGPHSAKGSLEKGSPEDKEAKEPLWIRPDAPSRCTWQLGRPASESPHHHTAPAKSPKILPDILKKIGDTPMVRINKIGKKFGLKCELLAKCEFFNAGGSVKDRISLRMIEDAERDGTLKPGDTIIEPTSGNTGIGLALAAAVRGYRCIIVMPEKMSSEKVDVLRALGAEIVRTPTNARFDSPESHVGVAWRLKNEIPNSHILDQYRNASNPLAHYDTTADEILQQCDGKLDMLVASVGTGGTITGIARKLKEKCPGCRIIGVDPEGSILAEPEELNQTEQTTYEVEGIGYDFIPTVLDRTVVDKWFKSNDEEAFTFARMLIAQEGLLCGGSAGSTVAVAVKAAQELQEGQRCVVILPDSVRNYMTKFLSDRWMLQKGFLKEEDLTEKKPWWWHLRVQELGLSAPLTVLPTITCGHTIEILREKGFDQAPVVDEAGVILGMVTLGNMLSSLLAGKVQPSDQVGKVIYKQFKQIRLTDTLGRLSHILEMDHFALVVHEQIQYHSTGKSSQRQMVFGVVTAIDLLNFVAAQERDQK
+>DECOY_sp|P0DN79|CBSL_HUMAN Cystathionine beta-synthase-like protein OS=Homo sapiens OX=9606 GN=CBSL PE=1 SV=1
+KQDREQAAVFNLLDIATVVGFVMQRQSSKGTSHYQIQEHVVLAFHDMELIHSLRGLTDTLRIQKFQKYIVKGVQDSPQVKGALLSSLMNGLTVMGLIVGAEDVVPAQDFGKERLIEITHGCTITPLVTLPASLGLEQVRLHWWWPKKETLDEEKLFGKQLMWRDSLFKTMYNRVSDPLIVVCRQGEQLEQAAKVAVAVTSGASGGCLLGEQAILMRAFTFAEEDNSKFWKDVVTRDLVTPIFDYGIGEVEYTTQETQNLEEPEALISGEPDVGIIRCGPCKEKLKRAIGTITGGTGVSAVLMDLKGDCQQLIEDATTDYHALPNSANRYQDLIHSNPIENKLRWAVGVHSEPSDFRANTPTRVIEAGLARLVDVKESSMKEPMVIICRYGRVAAALALGIGTNGSTPEIITDGPKLTGDREADEIMRLSIRDKVSGGANFFECKALLECKLGFKKGIKNIRVMPTDGIKKLIDPLIKPSKAPATHHHPSESAPRGLQWTCRSPADPRIWLPEKAEKDEPSGKELSGKASHPGSRHPCGTPGVEAQPTESPM
+>sp|Q8IUF1|CBWD2_HUMAN COBW domain-containing protein 2 OS=Homo sapiens OX=9606 GN=CBWD2 PE=2 SV=1
+MLPAVGSADEEEDPAEEDCPELVPMETTQSEEEEKSGLGAKIPVTIITGYLGAGKTTLLNYILTEQHSKRVAVILNEFGEGSALEKSLAVSQGGELYEEWLELRNGCLCCSVKDNGLRAIENLMQKKGKFDYILLETTGLADPGAVASMFWVDAELGSDIYLDGIITIVDSKYGLKHLAEEKPDGLINEATRQVALADAILINKTDLVPEEDVKKLRATIRSINGLGQILETQRSRVDLSNVLDLHAFDSLSGISLQKKLQHVPGTQPHLDQSIVTITFEVPGNAKEEHLNMFIQNLLWEKNVRNKDNHCMEVIRLKGLVSIKDKSQQVIVQGVHELYDLEETPVSWKDDTERTNRLVLLGRNLDKDILKQLFIATVTETEKQWTTHFKEDQVCT
+>DECOY_sp|Q8IUF1|CBWD2_HUMAN COBW domain-containing protein 2 OS=Homo sapiens OX=9606 GN=CBWD2 PE=2 SV=1
+TCVQDEKFHTTWQKETETVTAIFLQKLIDKDLNRGLLVLRNTRETDDKWSVPTEELDYLEHVGQVIVQQSKDKISVLGKLRIVEMCHNDKNRVNKEWLLNQIFMNLHEEKANGPVEFTITVISQDLHPQTGPVHQLKKQLSIGSLSDFAHLDLVNSLDVRSRQTELIQGLGNISRITARLKKVDEEPVLDTKNILIADALAVQRTAENILGDPKEEALHKLGYKSDVITIIGDLYIDSGLEADVWFMSAVAGPDALGTTELLIYDFKGKKQMLNEIARLGNDKVSCCLCGNRLELWEEYLEGGQSVALSKELASGEGFENLIVAVRKSHQETLIYNLLTTKGAGLYGTIITVPIKAGLGSKEEEESQTTEMPVLEPCDEEAPDEEEDASGVAPLM
+>sp|A6NI87|CBY3_HUMAN Protein chibby homolog 3 OS=Homo sapiens OX=9606 GN=CBY3 PE=3 SV=3
+MWASRDHLPEPDLGDAAPPGSPSSFWTSGLPRQERSTSRQRSRGSPSSTCVPYKVHALATFECSATSHASRLWQTLQQFWADHISRPFSPRRPPLRRMPSLSTFYLLDHNTRQAELGLAYGAPCMRLSNQAFVFRGGRWTTESQLARTRSPLLSRTAWGWKAQVQRSKSQVLLEENNYLKLQQELLIDMLTETMARMHLLEKQRNPEVIPTAAARAGQRKMRKRAGASAGVLMIQPCALDSQ
+>DECOY_sp|A6NI87|CBY3_HUMAN Protein chibby homolog 3 OS=Homo sapiens OX=9606 GN=CBY3 PE=3 SV=3
+QSDLACPQIMLVGASAGARKRMKRQGARAAATPIVEPNRQKELLHMRAMTETLMDILLEQQLKLYNNEELLVQSKSRQVQAKWGWATRSLLPSRTRALQSETTWRGGRFVFAQNSLRMCPAGYALGLEAQRTNHDLLYFTSLSPMRRLPPRRPSFPRSIHDAWFQQLTQWLRSAHSTASCEFTALAHVKYPVCTSSPSGRSRQRSTSREQRPLGSTWFSSPSGPPAADGLDPEPLHDRSAWM
+>sp|Q8IYK2|CC105_HUMAN Coiled-coil domain-containing protein 105 OS=Homo sapiens OX=9606 GN=CCDC105 PE=2 SV=3
+MRVLVPPAERSQDTRVGAPAWREAAQAMARTAHILTDRCGQEAVTMWQPKDSVLDPNVAHHLGRAAYIQPWRFRVEMIKGGGTLEKPPPGEGVTLWKGKMKPPAWYARLPLPLHRKARALQTTEVVHAHARGARLTAARLGRAQHQINGRVRQLLRQREVTDHRLSEVRKGLLINQQSVKLRGYRPKSEKVPDKADSMLTWEKEELKSMKRKMERDMEKSEVLLKTLASCRDTLNFCFKERLQAVDLMNQPLDKVLEQARRHSWVNLSRAPTPRTQGQKTPPPDPVGTYNPACALALNEAKRLLVESKDTLVEMAKNEVDVREQQLQISDRVCASLAQKASETLELKERLNMTLGLMRGTILRCTKYNQELYTTHGLIKGPLSKVHLETAEKLDRPLVRMYQRHVGTQLPEAARLAQGTDKLQCHITYLEKNLDELLATHKNLSWGLNCKNIGHEVDGNVVRLRLRQRQPHVCYEQAQRLVKDWDPRTPPPRSKSSADP
+>DECOY_sp|Q8IYK2|CC105_HUMAN Coiled-coil domain-containing protein 105 OS=Homo sapiens OX=9606 GN=CCDC105 PE=2 SV=3
+PDASSKSRPPPTRPDWDKVLRQAQEYCVHPQRQRLRLRVVNGDVEHGINKCNLGWSLNKHTALLEDLNKELYTIHCQLKDTGQALRAAEPLQTGVHRQYMRVLPRDLKEATELHVKSLPGKILGHTTYLEQNYKTCRLITGRMLGLTMNLREKLELTESAKQALSACVRDSIQLQQERVDVENKAMEVLTDKSEVLLRKAENLALACAPNYTGVPDPPPTKQGQTRPTPARSLNVWSHRRAQELVKDLPQNMLDVAQLREKFCFNLTDRCSALTKLLVESKEMDREMKRKMSKLEEKEWTLMSDAKDPVKESKPRYGRLKVSQQNILLGKRVESLRHDTVERQRLLQRVRGNIQHQARGLRAATLRAGRAHAHVVETTQLARAKRHLPLPLRAYWAPPKMKGKWLTVGEGPPPKELTGGGKIMEVRFRWPQIYAARGLHHAVNPDLVSDKPQWMTVAEQGCRDTLIHATRAMAQAAERWAPAGVRTDQSREAPPVLVRM
+>sp|Q8WV48|CC107_HUMAN Coiled-coil domain-containing protein 107 OS=Homo sapiens OX=9606 GN=CCDC107 PE=2 SV=2
+MAGAVSLLGVVGLLLVSALSGVLGDRANPDLRAHPGNAAHPGSGATEPRRRPPLKDQRERTRAGSLPLGALYTAAVAAFVLYKCLQGKDETAVLHEEASKQQPLQSEQQLAQLTQQLAQTEQHLNNLMAQLDPLFERVTTLAGAQQELLNMKLWTIHELLQDSKPDKDMEASEPGEGSGGESAGGGDKVSETGTFLISPHTEASRPLPEDFCLKEDEEEIGDSQAWEEPTNWSTETWNLATSWEVGRGLRRRCSQAVAKGPSHSLGWEGGTTAEGRLKQSLFS
+>DECOY_sp|Q8WV48|CC107_HUMAN Coiled-coil domain-containing protein 107 OS=Homo sapiens OX=9606 GN=CCDC107 PE=2 SV=2
+SFLSQKLRGEATTGGEWGLSHSPGKAVAQSCRRRLGRGVEWSTALNWTETSWNTPEEWAQSDGIEEEDEKLCFDEPLPRSAETHPSILFTGTESVKDGGGASEGGSGEGPESAEMDKDPKSDQLLEHITWLKMNLLEQQAGALTTVREFLPDLQAMLNNLHQETQALQQTLQALQQESQLPQQKSAEEHLVATEDKGQLCKYLVFAAVAATYLAGLPLSGARTRERQDKLPPRRRPETAGSGPHAANGPHARLDPNARDGLVGSLASVLLLGVVGLLSVAGAM
+>sp|Q96HB5|CC120_HUMAN Coiled-coil domain-containing protein 120 OS=Homo sapiens OX=9606 GN=CCDC120 PE=1 SV=1
+MEVKGQLISSPTFNAPAALFGEAAPQVKSERLRGLLDRQRTLQEALSLKLQELRKVCLQEAELTGQLPPECPLEPGERPQLVRRRPPTARAYPPPHPNQAHHSLCPAEELALEALEREVSVQQQIAAAARRLALAPDLSTEQRRRRRQVQADALRRLHELEEQLRDVRARLGLPVLPLPQPLPLSTGSVITTQGVCLGMRLAQLSQEDVVLHSESSSLSESGASHDNEEPHGCFSLAERPSPPKAWDQLRAVSGGSPERRTPWKPPPSDLYGDLKSRRNSVASPTSPTRSLPRSASSFEGRSVPATPVLTRGAGPQLCKPEGLHSRQWSGSQDSQMGFPRADPASDRASLFVARTRRSNSSEALLVDRAAGGGAGSPPAPLAPSASGPPVCKSSEVLYERPQPTPAFSSRTAGPPDPPRAARPSSAAPASRGAPRLPPVCGDFLLDYSLDRGLPRSGGGTGWGELPPAAEVPGPLSRRDGLLTMLPGPPPVYAADSNSPLLRTKDPHTRATRTKPCGLPPEAAEGPEVHPNPLLWMPPPTRIPSAGERSGHKNLALEGLRDWYIRNSGLAAGPQRRPVLPSVGPPHPPFLHARCYEVGQALYGAPSQAPLPHSRSFTAPPVSGRYGGCFY
+>DECOY_sp|Q96HB5|CC120_HUMAN Coiled-coil domain-containing protein 120 OS=Homo sapiens OX=9606 GN=CCDC120 PE=1 SV=1
+YFCGGYRGSVPPATFSRSHPLPAQSPAGYLAQGVEYCRAHLFPPHPPGVSPLVPRRQPGAALGSNRIYWDRLGELALNKHGSREGASPIRTPPPMWLLPNPHVEPGEAAEPPLGCPKTRTARTHPDKTRLLPSNSDAAYVPPPGPLMTLLGDRRSLPGPVEAAPPLEGWGTGGGSRPLGRDLSYDLLFDGCVPPLRPAGRSAPAASSPRAARPPDPPGATRSSFAPTPQPREYLVESSKCVPPGSASPALPAPPSGAGGGAARDVLLAESSNSRRTRAVFLSARDSAPDARPFGMQSDQSGSWQRSHLGEPKCLQPGAGRTLVPTAPVSRGEFSSASRPLSRTPSTPSAVSNRRSKLDGYLDSPPPKWPTRREPSGGSVARLQDWAKPPSPREALSFCGHPEENDHSAGSESLSSSESHLVVDEQSLQALRMGLCVGQTTIVSGTSLPLPQPLPLVPLGLRARVDRLQEELEHLRRLADAQVQRRRRRQETSLDPALALRRAAAAIQQQVSVERELAELALEEAPCLSHHAQNPHPPPYARATPPRRRVLQPREGPELPCEPPLQGTLEAEQLCVKRLEQLKLSLAEQLTRQRDLLGRLRESKVQPAAEGFLAAPANFTPSSILQGKVEM
+>sp|A2VCL2|CC162_HUMAN Coiled-coil domain-containing protein 162 OS=Homo sapiens OX=9606 GN=CCDC162P PE=2 SV=3
+MFKTLPEKAAFKALKRTLQLIAPLHDIVAYLVSFAKLGNCPACFEFPRSPNPLRGDWGGTEGIGSELQELQNMIDSLQSPQDPIRVAQALLLRREVIFLQFDAAVRHLIRRTFLAAGNVPAYQSVTDGMCHGLPALSNSLRKSIFASQLSLPQPLDPRSLQAFELFPWRAFLEDGGPFPVMSNSPDTLEYNMQLCLCGLSDRDRKVAHGELVGVQLLLEDVLSSYHVTMEAPQRQQATLGKNTQPDWSKVPGFRSQFRSSPKTSELLEGLCDAVMSFALLRSFLILWKQLEVLKEHWGRLKLQGQDINSVSLHKRFSELYETDVLYPSMKAIARQMGKEDEFEGFIVNNQSVLPPSGASEVEIKTHQLQKLLENCEIQMIQEVLRKVNREMTLVLSEKCKEECSLPTDLWKHQVMKENFSVSRPQIVEKFIQRLMNYQDDGVEITFRKDHLEACLLSLGCDVMARECSNFETYSMCYEHVLHHARQRLSQKEQELDATQRGQGPPEDSAGQIAELSHDMIMEITTLRAQLTDLEEVNLNLKKQIRKEVQEEYEALVRALFETCLHIKEKLDDNQLNLIQKVCELIGEVRTEGIDNMKDLKKKWCSASPDEGMKENPAKEQLWALEQDNCSLANLVCKVRSLGRWRLAVQQACFQAQLSRTEKESIQSKKEYLRIKLMAEREVGLFRQQVLALRQALARAQADSARMWKQQDSQAQLLKELEHRVTQEALTQQQLHFMKTSRMEKLLEDVGQKEQQLQLLSKEAERASKLGQLQQKKMKRDLHQMRSRLAQERSVKLDALQRAEELQGQLHDAQRSAVPMGSSGDLISQAQYSPTSASTSSRYSQQRFLKTNLKGSKITRWIQRPQTVPIKHKKRTDDVFLPNMAENVQLTAFQVQTAPSRFPFRADW
+>DECOY_sp|A2VCL2|CC162_HUMAN Coiled-coil domain-containing protein 162 OS=Homo sapiens OX=9606 GN=CCDC162P PE=2 SV=3
+WDARFPFRSPATQVQFATLQVNEAMNPLFVDDTRKKHKIPVTQPRQIWRTIKSGKLNTKLFRQQSYRSSTSASTPSYQAQSILDGSSGMPVASRQADHLQGQLEEARQLADLKVSREQALRSRMQHLDRKMKKQQLQGLKSAREAEKSLLQLQQEKQGVDELLKEMRSTKMFHLQQQTLAEQTVRHELEKLLQAQSDQQKWMRASDAQARALAQRLALVQQRFLGVEREAMLKIRLYEKKSQISEKETRSLQAQFCAQQVALRWRGLSRVKCVLNALSCNDQELAWLQEKAPNEKMGEDPSASCWKKKLDKMNDIGETRVEGILECVKQILNLQNDDLKEKIHLCTEFLARVLAEYEEQVEKRIQKKLNLNVEELDTLQARLTTIEMIMDHSLEAIQGASDEPPGQGRQTADLEQEKQSLRQRAHHLVHEYCMSYTEFNSCERAMVDCGLSLLCAELHDKRFTIEVGDDQYNMLRQIFKEVIQPRSVSFNEKMVQHKWLDTPLSCEEKCKESLVLTMERNVKRLVEQIMQIECNELLKQLQHTKIEVESAGSPPLVSQNNVIFGEFEDEKGMQRAIAKMSPYLVDTEYLESFRKHLSVSNIDQGQLKLRGWHEKLVELQKWLILFSRLLAFSMVADCLGELLESTKPSSRFQSRFGPVKSWDPQTNKGLTAQQRQPAEMTVHYSSLVDELLLQVGVLEGHAVKRDRDSLGCLCLQMNYELTDPSNSMVPFPGGDELFARWPFLEFAQLSRPDLPQPLSLQSAFISKRLSNSLAPLGHCMGDTVSQYAPVNGAALFTRRILHRVAADFQLFIVERRLLLAQAVRIPDQPSQLSDIMNQLEQLESGIGETGGWDGRLPNPSRPFEFCAPCNGLKAFSVLYAVIDHLPAILQLTRKLAKFAAKEPLTKFM
+>sp|A0A1B0GVG4|CC194_HUMAN Coiled-coil domain-containing protein 194 OS=Homo sapiens OX=9606 GN=CCDC194 PE=3 SV=1
+MAEPGPEPGRAWRVLALCGVAVFLAAAAAGGALVAWNLAASAARGPRCPEPGANATAPPGDPPPGVDDLRRRLAEAAEREEALARQLDQAESIRHELEKALKACEGRQSRLQTQLTTLKIEMDEAKAQGTQMGAENGALTEALARWEAAATESTRRLDEALRRAGVAEAEGEACAAREAALRERLNVLEAEMSPQRRVPRPRPRSGSRPRPSPRSRSRSGPSGGCRRPARRARG
+>DECOY_sp|A0A1B0GVG4|CC194_HUMAN Coiled-coil domain-containing protein 194 OS=Homo sapiens OX=9606 GN=CCDC194 PE=3 SV=1
+GRARRAPRRCGGSPGSRSRSRPSPRPRSGSRPRPRPVRRQPSMEAELVNLRERLAAERAACAEGEAEAVGARRLAEDLRRTSETAAAEWRALAETLAGNEAGMQTGQAKAEDMEIKLTTLQTQLRSQRGECAKLAKELEHRISEAQDLQRALAEEREAAEALRRRLDDVGPPPDGPPATANAGPEPCRPGRAASAALNWAVLAGGAAAAALFVAVGCLALVRWARGPEPGPEAM
+>sp|Q8NCU1|CC197_HUMAN Uncharacterized protein CCDC197 OS=Homo sapiens OX=9606 GN=CCDC197 PE=1 SV=2
+MAAMDTGQRADPSNPGDKEGDLQGLWQELYQLQAKQKKLKREVEKHKLFEDYLIKVLEKIPEGCTGWEEPEEVLVEATVKHYGKLFTASQDTQKRLEAFCQMIQAVHRSLESLEEDHRALIASRSGCVSCRRSATASRSSGGS
+>DECOY_sp|Q8NCU1|CC197_HUMAN Uncharacterized protein CCDC197 OS=Homo sapiens OX=9606 GN=CCDC197 PE=1 SV=2
+SGGSSRSATASRRCSVCGSRSAILARHDEELSELSRHVAQIMQCFAELRKQTDQSATFLKGYHKVTAEVLVEEPEEWGTCGEPIKELVKILYDEFLKHKEVERKLKKQKAQLQYLEQWLGQLDGEKDGPNSPDARQGTDMAAM
+>sp|Q9BUN5|CC28B_HUMAN Coiled-coil domain-containing protein 28B OS=Homo sapiens OX=9606 GN=CCDC28B PE=1 SV=2
+MDDKKKKRSPKPCLAQPAQAPGTLRRVPVPTSHSGSLALGLPHLPSPKQRAKFKRVGKEKCRPVLAGGGSGSAGTPLQHSFLTEVTDVYEMEGGLLNLLNDFHSGRLQAFGKECSFEQLEHVREMQEKLARLHFSLDVCGEEEDDEEEEDGVTEGLPEEQKKTMADRNLDQLLSNLEDLSNSIQKLHLAENAEPEEQSAA
+>DECOY_sp|Q9BUN5|CC28B_HUMAN Coiled-coil domain-containing protein 28B OS=Homo sapiens OX=9606 GN=CCDC28B PE=1 SV=2
+AASQEEPEANEALHLKQISNSLDELNSLLQDLNRDAMTKKQEEPLGETVGDEEEEDDEEEGCVDLSFHLRALKEQMERVHELQEFSCEKGFAQLRGSHFDNLLNLLGGEMEYVDTVETLFSHQLPTGASGSGGGALVPRCKEKGVRKFKARQKPSPLHPLGLALSGSHSTPVPVRRLTGPAQAPQALCPKPSRKKKKDDM
+>sp|Q3MIR4|CC50B_HUMAN Cell cycle control protein 50B OS=Homo sapiens OX=9606 GN=TMEM30B PE=1 SV=1
+MTWSATARGAHQPDNTAFTQQRLPAWQPLLSASIALPLFFCAGLAFIGLGLGLYYSSNGIKELEYDYTGDPGTGNCSVCAAAGQGRALPPPCSCAWYFSLPELFQGPVYLYYELTNFYQNNRRYGVSRDDAQLSGLPSALRHPVNECAPYQRSAAGLPIAPCGAIANSLFNDSFSLWHQRQPGGPYVEVPLDRSGIAWWTDYHVKFRNPPLVNGSLALAFQGTAPPPNWRRPVYELSPDPNNTGFINQDFVVWMRTAALPTFRKLYARIRQGNYSAGLPRGAYRVNITYNYPVRAFGGHKLLIFSSISWMGGKNPFLGIAYLVVGSLCILTGFVMLVVYIRYQDQDDDDEE
+>DECOY_sp|Q3MIR4|CC50B_HUMAN Cell cycle control protein 50B OS=Homo sapiens OX=9606 GN=TMEM30B PE=1 SV=1
+EEDDDDQDQYRIYVVLMVFGTLICLSGVVLYAIGLFPNKGGMWSISSFILLKHGGFARVPYNYTINVRYAGRPLGASYNGQRIRAYLKRFTPLAATRMWVVFDQNIFGTNNPDPSLEYVPRRWNPPPATGQFALALSGNVLPPNRFKVHYDTWWAIGSRDLPVEVYPGGPQRQHWLSFSDNFLSNAIAGCPAIPLGAASRQYPACENVPHRLASPLGSLQADDRSVGYRRNNQYFNTLEYYLYVPGQFLEPLSFYWACSCPPPLARGQGAAACVSCNGTGPDGTYDYELEKIGNSSYYLGLGLGIFALGACFFLPLAISASLLPQWAPLRQQTFATNDPQHAGRATASWTM
+>sp|Q8N9Z2|CC71L_HUMAN Coiled-coil domain-containing protein 71L OS=Homo sapiens OX=9606 GN=CCDC71L PE=1 SV=2
+MRRSMKRRRRRRPVAPATAARGGDFRAEDGAGLEAREEKVVYSRSQLSLADSTKALGDAFKLFMPRSTEFMSSDAELWSFLCSLKHQFSPHILRSKDVYGYSSCRALVPDPPGPPTARGQARRPVPRAAARRRRRGARAAAARRRKPRPPPPPPPPPEESCPAKPVAPGPCFGGRTLEEIWRAATPTLTTFPTIRVGSDVWGERSLAAARRRARQVLRVNLEPMVRLRRFPVPRA
+>DECOY_sp|Q8N9Z2|CC71L_HUMAN Coiled-coil domain-containing protein 71L OS=Homo sapiens OX=9606 GN=CCDC71L PE=1 SV=2
+ARPVPFRRLRVMPELNVRLVQRARRRAAALSREGWVDSGVRITPFTTLTPTAARWIEELTRGGFCPGPAVPKAPCSEEPPPPPPPPPRPKRRRAAAARAGRRRRRAAARPVPRRAQGRATPPGPPDPVLARCSSYGYVDKSRLIHPSFQHKLSCLFSWLEADSSMFETSRPMFLKFADGLAKTSDALSLQSRSYVVKEERAELGAGDEARFDGGRAATAPAVPRRRRRRKMSRRM
+>sp|Q15834|CC85B_HUMAN Coiled-coil domain-containing protein 85B OS=Homo sapiens OX=9606 GN=CCDC85B PE=1 SV=2
+MEAEAGGLEELTDEEMAALGKEELVRRLRREEAARLAALVQRGRLMQEVNRQLQGHLGEIRELKQLNRRLQAENRELRDLCCFLDSERQRGRRAARQWQLFGTQASRAVREDLGGCWQKLAELEGRQEELLRENLALKELCLALGEEWGPRGGPSGAGGSGAGPAPELALPPCGPRDLGDGSSSTGSVGSPDQLPLACSPDD
+>DECOY_sp|Q15834|CC85B_HUMAN Coiled-coil domain-containing protein 85B OS=Homo sapiens OX=9606 GN=CCDC85B PE=1 SV=2
+DDPSCALPLQDPSGVSGTSSSGDGLDRPGCPPLALEPAPGAGSGGAGSPGGRPGWEEGLALCLEKLALNERLLEEQRGELEALKQWCGGLDERVARSAQTGFLQWQRAARRGRQRESDLFCCLDRLERNEAQLRRNLQKLERIEGLHGQLQRNVEQMLRGRQVLAALRAAEERRLRRVLEEKGLAAMEEDTLEELGGAEAEM
+>sp|Q9GZT6|CC90B_HUMAN Coiled-coil domain-containing protein 90B, mitochondrial OS=Homo sapiens OX=9606 GN=CCDC90B PE=1 SV=2
+MNSRQAWRLFLSQGRGDRWVSRPRGHFSPALRREFFTTTTKEGYDRRPVDITPLEQRKLTFDTHALVQDLETHGFDKTQAETIVSALTALSNVSLDTIYKEMVTQAQQEITVQQLMAHLDAIRKDMVILEKSEFANLRAENEKMKIELDQVKQQLMHETSRIRADNKLDINLERSRVTDMFTDQEKQLMETTTEFTKKDTQTKSIISETSNKIDAEIASLKTLMESNKLETIRYLAASVFTCLAIALGFYRFWK
+>DECOY_sp|Q9GZT6|CC90B_HUMAN Coiled-coil domain-containing protein 90B, mitochondrial OS=Homo sapiens OX=9606 GN=CCDC90B PE=1 SV=2
+KWFRYFGLAIALCTFVSAALYRITELKNSEMLTKLSAIEADIKNSTESIISKTQTDKKTFETTTEMLQKEQDTFMDTVRSRELNIDLKNDARIRSTEHMLQQKVQDLEIKMKENEARLNAFESKELIVMDKRIADLHAMLQQVTIEQQAQTVMEKYITDLSVNSLATLASVITEAQTKDFGHTELDQVLAHTDFTLKRQELPTIDVPRRDYGEKTTTTFFERRLAPSFHGRPRSVWRDGRGQSLFLRWAQRSNM
+>sp|Q8IX12|CCAR1_HUMAN Cell division cycle and apoptosis regulator protein 1 OS=Homo sapiens OX=9606 GN=CCAR1 PE=1 SV=2
+MAQFGGQKNPPWATQFTATAVSQPAALGVQQPSLLGASPTIYTQQTALAAAGLTTQTPANYQLTQTAALQQQAAAAAAALQQQYSQPQQALYSVQQQLQQPQQTLLTQPAVALPTSLSLSTPQPTAQITVSYPTPRSSQQQTQPQKQRVFTGVVTKLHDTFGFVDEDVFFQLSAVKGKTPQVGDRVLVEATYNPNMPFKWNAQRIQTLPNQNQSQTQPLLKTPPAVLQPIAPQTTFGVQTQPQPQSLLQAQISAASITPLLQTQPQPLLQQPQQKAGLLQPPVRIVSQPQPARRLDPPSRFSGRNDRGDQVPNRKDDRSRERERERRRSRERSPQRKRSRERSPRRERERSPRRVRRVVPRYTVQFSKFSLDCPSCDMMELRRRYQNLYIPSDFFDAQFTWVDAFPLSRPFQLGNYCNFYVMHREVESLEKNMAILDPPDADHLYSAKVMLMASPSMEDLYHKSCALAEDPQELRDGFQHPARLVKFLVGMKGKDEAMAIGGHWSPSLDGPDPEKDPSVLIKTAIRCCKALTGIDLSVCTQWYRFAEIRYHRPEETHKGRTVPAHVETVVLFFPDVWHCLPTRSEWETLSRGYKQQLVEKLQGERKEADGEQDEEEKDDGEAKEISTPTHWSKLDPKTMKVNDLRKELESRALSSKGLKSQLIARLTKQLKVEEQKEEQKELEKSEKEEDEDDDRKSEDDKEEEERKRQEEIERQRRERRYILPDEPAIIVHPNWAAKSGKFDCSIMSLSVLLDYRLEDNKEHSFEVSLFAELFNEMLQRDFGVRIYKSLLSLPEKEDKKEKDKKSKKDERKDKKEERDDETDEPKPKRRKSGDDKDKKEDRDERKKEDKRKDDSKDDDETEEDNNQDEYDPMEAEEAEDEEDDRDEEEMTKRDDKRDINRYCKERPSKDKEKEKTQMITINRDLLMAFVYFDQSHCGYLLEKDLEEILYTLGLHLSRAQVKKLLNKVVLRESCFYRKLTDTSKDEENHEESESLQEDMLGNRLLLPTPTVKQESKDVEENVGLIVYNGAMVDVGSLLQKLEKSEKVRAEVEQKLQLLEEKTDEDEKTILNLENSNKSLSGELREVKKDLSQLQENLKISENMNLQFENQMNKTIRNLSTVMDEIHTVLKKDNVKNEDKDQKSKENGASV
+>DECOY_sp|Q8IX12|CCAR1_HUMAN Cell division cycle and apoptosis regulator protein 1 OS=Homo sapiens OX=9606 GN=CCAR1 PE=1 SV=2
+VSAGNEKSKQDKDENKVNDKKLVTHIEDMVTSLNRITKNMQNEFQLNMNESIKLNEQLQSLDKKVERLEGSLSKNSNELNLITKEDEDTKEELLQLKQEVEARVKESKELKQLLSGVDVMAGNYVILGVNEEVDKSEQKVTPTPLLLRNGLMDEQLSESEEHNEEDKSTDTLKRYFCSERLVVKNLLKKVQARSLHLGLTYLIEELDKELLYGCHSQDFYVFAMLLDRNITIMQTKEKEKDKSPREKCYRNIDRKDDRKTMEEEDRDDEEDEAEEAEMPDYEDQNNDEETEDDDKSDDKRKDEKKREDRDEKKDKDDGSKRRKPKPEDTEDDREEKKDKREDKKSKKDKEKKDEKEPLSLLSKYIRVGFDRQLMENFLEAFLSVEFSHEKNDELRYDLLVSLSMISCDFKGSKAAWNPHVIIAPEDPLIYRRERRQREIEEQRKREEEEKDDESKRDDDEDEEKESKELEKQEEKQEEVKLQKTLRAILQSKLGKSSLARSELEKRLDNVKMTKPDLKSWHTPTSIEKAEGDDKEEEDQEGDAEKREGQLKEVLQQKYGRSLTEWESRTPLCHWVDPFFLVVTEVHAPVTRGKHTEEPRHYRIEAFRYWQTCVSLDIGTLAKCCRIATKILVSPDKEPDPGDLSPSWHGGIAMAEDKGKMGVLFKVLRAPHQFGDRLEQPDEALACSKHYLDEMSPSAMLMVKASYLHDADPPDLIAMNKELSEVERHMVYFNCYNGLQFPRSLPFADVWTFQADFFDSPIYLNQYRRRLEMMDCSPCDLSFKSFQVTYRPVVRRVRRPSRERERRPSRERSRKRQPSRERSRRRERERERSRDDKRNPVQDGRDNRGSFRSPPDLRRAPQPQSVIRVPPQLLGAKQQPQQLLPQPQTQLLPTISAASIQAQLLSQPQPQTQVGFTTQPAIPQLVAPPTKLLPQTQSQNQNPLTQIRQANWKFPMNPNYTAEVLVRDGVQPTKGKVASLQFFVDEDVFGFTDHLKTVVGTFVRQKQPQTQQQSSRPTPYSVTIQATPQPTSLSLSTPLAVAPQTLLTQQPQQLQQQVSYLAQQPQSYQQQLAAAAAAAQQQLAATQTLQYNAPTQTTLGAAALATQQTYITPSAGLLSPQQVGLAAPQSVATATFQTAWPPNKQGGFQAM
+>sp|Q6UXH8|CCBE1_HUMAN Collagen and calcium-binding EGF domain-containing protein 1 OS=Homo sapiens OX=9606 GN=CCBE1 PE=1 SV=1
+MVPPPPSRGGAARGQLGRSLGPLLLLLALGHTWTYREEPEDGDREICSESKIATTKYPCLKSSGELTTCYRKKCCKGYKFVLGQCIPEDYDVCAEAPCEQQCTDNFGRVLCTCYPGYRYDRERHRKREKPYCLDIDECASSNGTLCAHICINTLGSYRCECREGYIREDDGKTCTRGDKYPNDTGHEKSENMVKAGTCCATCKEFYQMKQTVLQLKQKIALLPNNAADLGKYITGDKVLASNTYLPGPPGLPGGQGPPGSPGPKGSPGFPGMPGPPGQPGPRGSMGPMGPSPDLSHIKQGRRGPVGPPGAPGRDGSKGERGAPGPRGSPGPPGSFDFLLLMLADIRNDITELQEKVFGHRTHSSAEEFPLPQEFPSYPEAMDLGSGDDHPRRTETRDLRAPRDFYP
+>DECOY_sp|Q6UXH8|CCBE1_HUMAN Collagen and calcium-binding EGF domain-containing protein 1 OS=Homo sapiens OX=9606 GN=CCBE1 PE=1 SV=1
+PYFDRPARLDRTETRRPHDDGSGLDMAEPYSPFEQPLPFEEASSHTRHGFVKEQLETIDNRIDALMLLLFDFSGPPGPSGRPGPAGREGKSGDRGPAGPPGVPGRRGQKIHSLDPSPGMPGMSGRPGPQGPPGPMGPFGPSGKPGPSGPPGQGGPLGPPGPLYTNSALVKDGTIYKGLDAANNPLLAIKQKLQLVTQKMQYFEKCTACCTGAKVMNESKEHGTDNPYKDGRTCTKGDDERIYGERCECRYSGLTNICIHACLTGNSSACEDIDLCYPKERKRHRERDYRYGPYCTCLVRGFNDTCQQECPAEACVDYDEPICQGLVFKYGKCCKKRYCTTLEGSSKLCPYKTTAIKSESCIERDGDEPEERYTWTHGLALLLLLPGLSRGLQGRAAGGRSPPPPVM
+>sp|Q9Y3X0|CCDC9_HUMAN Coiled-coil domain-containing protein 9 OS=Homo sapiens OX=9606 GN=CCDC9 PE=1 SV=1
+MAATLDLKSKEEKDAELDKRIEALRRKNEALIRRYQEIEEDRKKAELEGVAVTAPRKGRSVEKENVAVESEKNLGPSRRSPGTPRPPGASKGGRTPPQQGGRAGMGRASRSWEGSPGEQPRGGGAGGRGRRGRGRGSPHLSGAGDTSISDRKSKEWEERRRQNIEKMNEEMEKIAEYERNQREGVLEPNPVRNFLDDPRRRSGPLEESERDRREESRRHGRNWGGPDFERVRCGLEHERQGRRAGLGSAGDMTLSMTGRERSEYLRWKQEREKIDQERLQRHRKPTGQWRREWDAEKTDGMFKDGPVPAHEPSHRYDDQAWARPPKPPTFGEFLSQHKAEASSRRRRKSSRPQAKAAPRAYSDHDDRWETKEGAASPAPETPQPTSPETSPKETPMQPPEIPAPAHRPPEDEGEENEGEEDEEWEDISEDEEEEEIEVEEGDEEEPAQDHQAPEAAPTGIPCSEQAHGVPFSPEEPLLEPQAPGTPSSPFSPPSGHQPVSDWGEEVELNSPRTTHLAGALSPGEAWPFESV
+>DECOY_sp|Q9Y3X0|CCDC9_HUMAN Coiled-coil domain-containing protein 9 OS=Homo sapiens OX=9606 GN=CCDC9 PE=1 SV=1
+VSEFPWAEGPSLAGALHTTRPSNLEVEEGWDSVPQHGSPPSFPSSPTGPAQPELLPEEPSFPVGHAQESCPIGTPAAEPAQHDQAPEEEDGEEVEIEEEEEDESIDEWEEDEEGENEEGEDEPPRHAPAPIEPPQMPTEKPSTEPSTPQPTEPAPSAAGEKTEWRDDHDSYARPAAKAQPRSSKRRRRSSAEAKHQSLFEGFTPPKPPRAWAQDDYRHSPEHAPVPGDKFMGDTKEADWERRWQGTPKRHRQLREQDIKEREQKWRLYESRERGTMSLTMDGASGLGARRGQREHELGCRVREFDPGGWNRGHRRSEERRDRESEELPGSRRRPDDLFNRVPNPELVGERQNREYEAIKEMEENMKEINQRRREEWEKSKRDSISTDGAGSLHPSGRGRGRRGRGGAGGGRPQEGPSGEWSRSARGMGARGGQQPPTRGGKSAGPPRPTGPSRRSPGLNKESEVAVNEKEVSRGKRPATVAVGELEAKKRDEEIEQYRRILAENKRRLAEIRKDLEADKEEKSKLDLTAAM
+>sp|Q8TC90|CCER1_HUMAN Coiled-coil domain-containing glutamate-rich protein 1 OS=Homo sapiens OX=9606 GN=CCER1 PE=1 SV=1
+MTQTLDTREDPLNLGGGGGGGCGCGWAHSASLSSWSSCHRRRPGAPAYNRPHRYSPKTEYGPPRKQPKQQHGPGFWFQPPVCSNWGCWGGPWRPPPPGFWKFPCPVQVFRVYGLHPLCFCCCSCWSGSWNPGWVKPPGRKKRWGRRGRGLRHHPRHSYPRSPPADVSTLPRPVKLYEWREPGMRAPPNTTQFIMNQIYEDMRQQEKVERQQEALRAQKATVSGEASPARSSGNDAPPGGSKETWGLQETLYGFVQNPSLAFSPNPEENQSLAPLLVEEEEEKKNDDEEEYDQEVCDAKEASEEEEEVEDEEEEVEDEEEEEVEEAEYVEEGEEELEEEELEEEEEVLEENEQRGEEFHLPLEMPLSIFVEAEEKRENFISCTFLNPEQIIPKVPQESLFMAQDFNC
+>DECOY_sp|Q8TC90|CCER1_HUMAN Coiled-coil domain-containing glutamate-rich protein 1 OS=Homo sapiens OX=9606 GN=CCER1 PE=1 SV=1
+CNFDQAMFLSEQPVKPIIQEPNLFTCSIFNERKEEAEVFISLPMELPLHFEEGRQENEELVEEEEELEEEELEEEGEEVYEAEEVEEEEEDEVEEEEDEVEEEEESAEKADCVEQDYEEEDDNKKEEEEEVLLPALSQNEEPNPSFALSPNQVFGYLTEQLGWTEKSGGPPADNGSSRAPSAEGSVTAKQARLAEQQREVKEQQRMDEYIQNMIFQTTNPPARMGPERWEYLKVPRPLTSVDAPPSRPYSHRPHHRLGRGRRGWRKKRGPPKVWGPNWSGSWCSCCCFCLPHLGYVRFVQVPCPFKWFGPPPPRWPGGWCGWNSCVPPQFWFGPGHQQKPQKRPPGYETKPSYRHPRNYAPAGPRRRHCSSWSSLSASHAWGCGCGGGGGGGLNLPDERTDLTQTM
+>sp|Q9BXT2|CCG6_HUMAN Voltage-dependent calcium channel gamma-6 subunit OS=Homo sapiens OX=9606 GN=CACNG6 PE=2 SV=1
+MMWSNFFLQEENRRRGAAGRRRAHGQGRSGLTPEREGKVKLALLLAAVGATLAVLSVGTEFWVELNTYKANGSAVCEAAHLGLWKACTKRLWQADVPVDRDTCGPAELPGEANCTYFKFFTTGENARIFQRTTKKEVNLAAAVIAVLGLAVMALGCLCIIMVLSKGAEFLLRVGAVCFGLSGLLLLVSLEVFRHSVRALLQRVSPEPPPAPRLTYEYSWSLGCGVGAGLILLLGAGCFLLLTLPSWPWGSLCPKRGHRAT
+>DECOY_sp|Q9BXT2|CCG6_HUMAN Voltage-dependent calcium channel gamma-6 subunit OS=Homo sapiens OX=9606 GN=CACNG6 PE=2 SV=1
+TARHGRKPCLSGWPWSPLTLLLFCGAGLLLILGAGVGCGLSWSYEYTLRPAPPPEPSVRQLLARVSHRFVELSVLLLLGSLGFCVAGVRLLFEAGKSLVMIICLCGLAMVALGLVAIVAAALNVEKKTTRQFIRANEGTTFFKFYTCNAEGPLEAPGCTDRDVPVDAQWLRKTCAKWLGLHAAECVASGNAKYTNLEVWFETGVSLVALTAGVAALLLALKVKGEREPTLGSRGQGHARRRGAAGRRRNEEQLFFNSWMM
+>sp|P62955|CCG7_HUMAN Voltage-dependent calcium channel gamma-7 subunit OS=Homo sapiens OX=9606 GN=CACNG7 PE=2 SV=1
+MSHCSSRALTLLSSVFGACGLLLVGIAVSTDYWLYMEEGTVLPQNQTTEVKMALHAGLWRVCFFAGREKGRCVASEYFLEPEINLVTENTENILKTVRTATPFPMVSLFLVFTAFVISNIGHIRPQRTILAFVSGIFFILSGLSLVVGLVLYISSINDEVMNRPSSSEQYFHYRYGWSFAFAASSFLLKEGAGVMSVYLFTKRYAEEEMYRPHPAFYRPRLSDCSDYSGQFLQPEAWRRGRSPSDISSDVSIQMTQNYPPAIKYPDHLHISTSPC
+>DECOY_sp|P62955|CCG7_HUMAN Voltage-dependent calcium channel gamma-7 subunit OS=Homo sapiens OX=9606 GN=CACNG7 PE=2 SV=1
+CPSTSIHLHDPYKIAPPYNQTMQISVDSSIDSPSRGRRWAEPQLFQGSYDSCDSLRPRYFAPHPRYMEEEAYRKTFLYVSMVGAGEKLLFSSAAFAFSWGYRYHFYQESSSPRNMVEDNISSIYLVLGVVLSLGSLIFFIGSVFALITRQPRIHGINSIVFATFVLFLSVMPFPTATRVTKLINETNETVLNIEPELFYESAVCRGKERGAFFCVRWLGAHLAMKVETTQNQPLVTGEEMYLWYDTSVAIGVLLLGCAGFVSSLLTLARSSCHSM
+>sp|Q8WXS5|CCG8_HUMAN Voltage-dependent calcium channel gamma-8 subunit OS=Homo sapiens OX=9606 GN=CACNG8 PE=2 SV=3
+MESLKRWNEERGLWCEKGVQVLLTTVGAFAAFGLMTIAISTDYWLYTRALICNTTNLTAGGDDGTPHRGGGGASEKKDPGGLTHSGLWRICCLEGLKRGVCVKINHFPEDTDYDHDSAEYLLRVVRASSIFPILSAILLLLGGVCVAASRVYKSKRNIILGAGILFVAAGLSNIIGVIVYISANAGEPGPKRDEEKKNHYSYGWSFYFGGLSFILAEVIGVLAVNIYIERSREAHCQSRSDLLKAGGGAGGSGGSGPSAILRLPSYRFRYRRRSRSSSRSSEPSPSRDASPGGPGGPGFASTDISMYTLSRDPSKGSVAAGLAGAGGGGGGAVGAFGGAAGGAGGGGGGGGGAGAERDRGGASGFLTLHNAFPKEAGGGVTVTVTGPPAPPAPAPPAPSAPAPGTLAKEAAASNTNTLNRKTTPV
+>DECOY_sp|Q8WXS5|CCG8_HUMAN Voltage-dependent calcium channel gamma-8 subunit OS=Homo sapiens OX=9606 GN=CACNG8 PE=2 SV=3
+VPTTKRNLTNTNSAAAEKALTGPAPASPAPPAPAPPAPPGTVTVTVGGGAEKPFANHLTLFGSAGGRDREAGAGGGGGGGGGAGGAAGGFAGVAGGGGGGAGALGAAVSGKSPDRSLTYMSIDTSAFGPGGPGGPSADRSPSPESSRSSSRSRRRYRFRYSPLRLIASPGSGGSGGAGGGAKLLDSRSQCHAERSREIYINVALVGIVEALIFSLGGFYFSWGYSYHNKKEEDRKPGPEGANASIYVIVGIINSLGAAVFLIGAGLIINRKSKYVRSAAVCVGGLLLLIASLIPFISSARVVRLLYEASDHDYDTDEPFHNIKVCVGRKLGELCCIRWLGSHTLGGPDKKESAGGGGRHPTGDDGGATLNTTNCILARTYLWYDTSIAITMLGFAAFAGVTTLLVQVGKECWLGREENWRKLSEM
+>sp|Q8WXS4|CCGL_HUMAN Voltage-dependent calcium channel gamma-like subunit OS=Homo sapiens OX=9606 GN=TMEM37 PE=2 SV=2
+MTAVGVQAQRPLGQRQPRRSFFESFIRTLIITCVALAVVLSSVSICDGHWLLAEDRLFGLWHFCTTTNQTICFRDLGQAHVPGLAVGMGLVRSVGALAVVAAIFGLEFLMVSQLCEDKHSQCKWVMGSILLLVSFVLSSGGLLGFVILLRNQVTLIGFTLMFWCEFTASFLLFLNAISGLHINSITHPWE
+>DECOY_sp|Q8WXS4|CCGL_HUMAN Voltage-dependent calcium channel gamma-like subunit OS=Homo sapiens OX=9606 GN=TMEM37 PE=2 SV=2
+EWPHTISNIHLGSIANLFLLFSATFECWFMLTFGILTVQNRLLIVFGLLGGSSLVFSVLLLISGMVWKCQSHKDECLQSVMLFELGFIAAVVALAGVSRVLGMGVALGPVHAQGLDRFCITQNTTTCFHWLGFLRDEALLWHGDCISVSSLVVALAVCTIILTRIFSEFFSRRPQRQGLPRQAQVGVATM
+>sp|Q8TD31|CCHCR_HUMAN Coiled-coil alpha-helical rod protein 1 OS=Homo sapiens OX=9606 GN=CCHCR1 PE=1 SV=2
+MFPPSGSTGLIPPSHFQARPLSTLPRMAPTWLSDIPLVQPPGHQDVSERRLDTQRPQVTMWERDVSSDRQEPGRRGRSWGLEGSQALSQQAEVIVRQLQELRRLEEEVRLLRETSLQQKMRLEAQAMELEALARAEKAGRAEAEGLRAALAGAEVVRKNLEEGSQRELEEVQRLHQEQLSSLTQAHEEALSSLTSKAEGLEKSLSSLETRRAGEAKELAEAQREAELLRKQLSKTQEDLEAQVTLVENLRKYVGEQVPSEVHSQTWELERQKLLETMQHLQEDRDSLHATAELLQVRVQSLTHILALQEEELTRKVQPSDSLEPEFTRKCQSLLNRWREKVFALMVQLKAQELEHSDSVKQLKGQVASLQEKVTSQSQEQAILQRSLQDKAAEVEVERMGAKGLQLELSRAQEARRRWQQQTASAEEQLRLVVNAVSSSQIWLETTMAKVEGAAAQLPSLNNRLSYAVRKVHTIRGLIARKLALAQLRQESCPLPPPVTDVSLELQQLREERNRLDAELQLSARLIQQEVGRAREQGEAERQQLSKVAQQLEQELQQTQESLASLGLQLEVARQGQQESTEEAASLRQELTQQQELYGQALQEKVAEVETRLREQLSDTERRLNEARREHAKAVVSLRQIQRRAAQEKERSQELRRLQEEARKEEGQRLARRLQELERDKNLMLATLQQEGLLSRYKQQRLLTVLPSLLDKKKSVVSSPRPPECSASAPVAAAVPTRESIKGSLSVLLDDLQDLSEAISKEEAVCQGDNLDRCSSSNPQMSS
+>DECOY_sp|Q8TD31|CCHCR_HUMAN Coiled-coil alpha-helical rod protein 1 OS=Homo sapiens OX=9606 GN=CCHCR1 PE=1 SV=2
+SSMQPNSSSCRDLNDGQCVAEEKSIAESLDQLDDLLVSLSGKISERTPVAAAVPASASCEPPRPSSVVSKKKDLLSPLVTLLRQQKYRSLLGEQQLTALMLNKDRELEQLRRALRQGEEKRAEEQLRRLEQSREKEQAARRQIQRLSVVAKAHERRAENLRRETDSLQERLRTEVEAVKEQLAQGYLEQQQTLEQRLSAAEETSEQQGQRAVELQLGLSALSEQTQQLEQELQQAVKSLQQREAEGQERARGVEQQILRASLQLEADLRNREERLQQLELSVDTVPPPLPCSEQRLQALALKRAILGRITHVKRVAYSLRNNLSPLQAAAGEVKAMTTELWIQSSSVANVVLRLQEEASATQQQWRRRAEQARSLELQLGKAGMREVEVEAAKDQLSRQLIAQEQSQSTVKEQLSAVQGKLQKVSDSHELEQAKLQVMLAFVKERWRNLLSQCKRTFEPELSDSPQVKRTLEEEQLALIHTLSQVRVQLLEATAHLSDRDEQLHQMTELLKQRELEWTQSHVESPVQEGVYKRLNEVLTVQAELDEQTKSLQKRLLEAERQAEALEKAEGARRTELSSLSKELGEAKSTLSSLAEEHAQTLSSLQEQHLRQVEELERQSGEELNKRVVEAGALAARLGEAEARGAKEARALAELEMAQAELRMKQQLSTERLLRVEEELRRLEQLQRVIVEAQQSLAQSGELGWSRGRRGPEQRDSSVDREWMTVQPRQTDLRRESVDQHGPPQVLPIDSLWTPAMRPLTSLPRAQFHSPPILGTSGSPPFM
+>sp|Q99616|CCL13_HUMAN C-C motif chemokine 13 OS=Homo sapiens OX=9606 GN=CCL13 PE=1 SV=1
+MKVSAVLLCLLLMTAAFNPQGLAQPDALNVPSTCCFTFSSKKISLQRLKSYVITTSRCPQKAVIFRTKLGKEICADPKEKWVQNYMKHLGRKAHTLKT
+>DECOY_sp|Q99616|CCL13_HUMAN C-C motif chemokine 13 OS=Homo sapiens OX=9606 GN=CCL13 PE=1 SV=1
+TKLTHAKRGLHKMYNQVWKEKPDACIEKGLKTRFIVAKQPCRSTTIVYSKLRQLSIKKSSFTFCCTSPVNLADPQALGQPNFAATMLLLCLLVASVKM
+>sp|P55773|CCL23_HUMAN C-C motif chemokine 23 OS=Homo sapiens OX=9606 GN=CCL23 PE=1 SV=3
+MKVSVAALSCLMLVTALGSQARVTKDAETEFMMSKLPLENPVLLDRFHATSADCCISYTPRSIPCSLLESYFETNSECSKPGVIFLTKKGRRFCANPSDKQVQVCVRMLKLDTRIKTRKN
+>DECOY_sp|P55773|CCL23_HUMAN C-C motif chemokine 23 OS=Homo sapiens OX=9606 GN=CCL23 PE=1 SV=3
+NKRTKIRTDLKLMRVCVQVQKDSPNACFRRGKKTLFIVGPKSCESNTEFYSELLSCPISRPTYSICCDASTAHFRDLLVPNELPLKSMMFETEADKTVRAQSGLATVLMLCSLAAVSVKM
+>sp|O15444|CCL25_HUMAN C-C motif chemokine 25 OS=Homo sapiens OX=9606 GN=CCL25 PE=1 SV=2
+MNLWLLACLVAGFLGAWAPAVHTQGVFEDCCLAYHYPIGWAVLRRAWTYRIQEVSGSCNLPAAIFYLPKRHRKVCGNPKSREVQRAMKLLDARNKVFAKLHHNTQTFQAGPHAVKKLSSGNSKLSSSKFSNPISSSKRNVSLLISANSGL
+>DECOY_sp|O15444|CCL25_HUMAN C-C motif chemokine 25 OS=Homo sapiens OX=9606 GN=CCL25 PE=1 SV=2
+LGSNASILLSVNRKSSSIPNSFKSSSLKSNGSSLKKVAHPGAQFTQTNHHLKAFVKNRADLLKMARQVERSKPNGCVKRHRKPLYFIAAPLNCSGSVEQIRYTWARRLVAWGIPYHYALCCDEFVGQTHVAPAWAGLFGAVLCALLWLNM
+>sp|P10147|CCL3_HUMAN C-C motif chemokine 3 OS=Homo sapiens OX=9606 GN=CCL3 PE=1 SV=1
+MQVSTAALAVLLCTMALCNQFSASLAADTPTACCFSYTSRQIPQNFIADYFETSSQCSKPGVIFLTKRSRQVCADPSEEWVQKYVSDLELSA
+>DECOY_sp|P10147|CCL3_HUMAN C-C motif chemokine 3 OS=Homo sapiens OX=9606 GN=CCL3 PE=1 SV=1
+ASLELDSVYKQVWEESPDACVQRSRKTLFIVGPKSCQSSTEFYDAIFNQPIQRSTYSFCCATPTDAALSASFQNCLAMTCLLVALAATSVQM
+>sp|P13501|CCL5_HUMAN C-C motif chemokine 5 OS=Homo sapiens OX=9606 GN=CCL5 PE=1 SV=3
+MKVSAAALAVILIATALCAPASASPYSSDTTPCCFAYIARPLPRAHIKEYFYTSGKCSNPAVVFVTRKNRQVCANPEKKWVREYINSLEMS
+>DECOY_sp|P13501|CCL5_HUMAN C-C motif chemokine 5 OS=Homo sapiens OX=9606 GN=CCL5 PE=1 SV=3
+SMELSNIYERVWKKEPNACVQRNKRTVFVVAPNSCKGSTYFYEKIHARPLPRAIYAFCCPTTDSSYPSASAPACLATAILIVALAAASVKM
+>sp|P80075|CCL8_HUMAN C-C motif chemokine 8 OS=Homo sapiens OX=9606 GN=CCL8 PE=1 SV=2
+MKVSAALLCLLLMAATFSPQGLAQPDSVSIPITCCFNVINRKIPIQRLESYTRITNIQCPKEAVIFKTKRGKEVCADPKERWVRDSMKHLDQIFQNLKP
+>DECOY_sp|P80075|CCL8_HUMAN C-C motif chemokine 8 OS=Homo sapiens OX=9606 GN=CCL8 PE=1 SV=2
+PKLNQFIQDLHKMSDRVWREKPDACVEKGRKTKFIVAEKPCQINTIRTYSELRQIPIKRNIVNFCCTIPISVSDPQALGQPSFTAAMLLLCLLAASVKM
+>sp|P22674|CCNO_HUMAN Cyclin-O OS=Homo sapiens OX=9606 GN=CCNO PE=1 SV=2
+MVTPCPTSPSSPAARAGRRDNDQNLRAPVKKSRRPRLRRKQPLHPLNPCPLPGDSGICDLFESPSSGSDGAESPSAARGGSPLPGPAQPVAQLDLQTFRDYGQSCYAFRKAQESHFHPREALARQPQVTAESRCKLLSWLIPVHRQFGLSFESLCLTVNTLDRFLTTTPVAADCFQLLGVTSLLIACKQVEVHPPRVKQLLALCCGAFSRQQLCNLECIVLHKLHFTLGAPTISFFLEHFTHARVEAGQAEASEALEAQALARGVAELSLADYAFTSYSPSLLAICCLALADRMLRVSRPVDLRLGDHPEAALEDCMGKLQLLVAINSTSLTHMLPVQICEKCSLPPSSK
+>DECOY_sp|P22674|CCNO_HUMAN Cyclin-O OS=Homo sapiens OX=9606 GN=CCNO PE=1 SV=2
+KSSPPLSCKECIQVPLMHTLSTSNIAVLLQLKGMCDELAAEPHDGLRLDVPRSVRLMRDALALCCIALLSPSYSTFAYDALSLEAVGRALAQAELAESAEAQGAEVRAHTFHELFFSITPAGLTFHLKHLVICELNCLQQRSFAGCCLALLQKVRPPHVEVQKCAILLSTVGLLQFCDAAVPTTTLFRDLTNVTLCLSEFSLGFQRHVPILWSLLKCRSEATVQPQRALAERPHFHSEQAKRFAYCSQGYDRFTQLDLQAVPQAPGPLPSGGRAASPSEAGDSGSSPSEFLDCIGSDGPLPCPNLPHLPQKRRLRPRRSKKVPARLNQDNDRRGARAAPSSPSTPCPTVM
+>sp|P41597|CCR2_HUMAN C-C chemokine receptor type 2 OS=Homo sapiens OX=9606 GN=CCR2 PE=1 SV=1
+MLSTSRSRFIRNTNESGEEVTTFFDYDYGAPCHKFDVKQIGAQLLPPLYSLVFIFGFVGNMLVVLILINCKKLKCLTDIYLLNLAISDLLFLITLPLWAHSAANEWVFGNAMCKLFTGLYHIGYFGGIFFIILLTIDRYLAIVHAVFALKARTVTFGVVTSVITWLVAVFASVPGIIFTKCQKEDSVYVCGPYFPRGWNNFHTIMRNILGLVLPLLIMVICYSGILKTLLRCRNEKKRHRAVRVIFTIMIVYFLFWTPYNIVILLNTFQEFFGLSNCESTSQLDQATQVTETLGMTHCCINPIIYAFVGEKFRSLFHIALGCRIAPLQKPVCGGPGVRPGKNVKVTTQGLLDGRGKGKSIGRAPEASLQDKEGA
+>DECOY_sp|P41597|CCR2_HUMAN C-C chemokine receptor type 2 OS=Homo sapiens OX=9606 GN=CCR2 PE=1 SV=1
+AGEKDQLSAEPARGISKGKGRGDLLGQTTVKVNKGPRVGPGGCVPKQLPAIRCGLAIHFLSRFKEGVFAYIIPNICCHTMGLTETVQTAQDLQSTSECNSLGFFEQFTNLLIVINYPTWFLFYVIMITFIVRVARHRKKENRCRLLTKLIGSYCIVMILLPLVLGLINRMITHFNNWGRPFYPGCVYVSDEKQCKTFIIGPVSAFVAVLWTIVSTVVGFTVTRAKLAFVAHVIALYRDITLLIIFFIGGFYGIHYLGTFLKCMANGFVWENAASHAWLPLTILFLLDSIALNLLYIDTLCKLKKCNILILVVLMNGVFGFIFVLSYLPPLLQAGIQKVDFKHCPAGYDYDFFTTVEEGSENTNRIFRSRSTSLM
+>sp|P51684|CCR6_HUMAN C-C chemokine receptor type 6 OS=Homo sapiens OX=9606 GN=CCR6 PE=1 SV=2
+MSGESMNFSDVFDSSEDYFVSVNTSYYSVDSEMLLCSLQEVRQFSRLFVPIAYSLICVFGLLGNILVVITFAFYKKARSMTDVYLLNMAIADILFVLTLPFWAVSHATGAWVFSNATCKLLKGIYAINFNCGMLLLTCISMDRYIAIVQATKSFRLRSRTLPRSKIICLVVWGLSVIISSSTFVFNQKYNTQGSDVCEPKYQTVSEPIRWKLLMLGLELLFGFFIPLMFMIFCYTFIVKTLVQAQNSKRHKAIRVIIAVVLVFLACQIPHNMVLLVTAANLGKMNRSCQSEKLIGYTKTVTEVLAFLHCCLNPVLYAFIGQKFRNYFLKILKDLWCVRRKYKSSGFSCAGRYSENISRQTSETADNDNASSFTM
+>DECOY_sp|P51684|CCR6_HUMAN C-C chemokine receptor type 6 OS=Homo sapiens OX=9606 GN=CCR6 PE=1 SV=2
+MTFSSANDNDATESTQRSINESYRGACSFGSSKYKRRVCWLDKLIKLFYNRFKQGIFAYLVPNLCCHLFALVETVTKTYGILKESQCSRNMKGLNAATVLLVMNHPIQCALFVLVVAIIVRIAKHRKSNQAQVLTKVIFTYCFIMFMLPIFFGFLLELGLMLLKWRIPESVTQYKPECVDSGQTNYKQNFVFTSSSIIVSLGWVVLCIIKSRPLTRSRLRFSKTAQVIAIYRDMSICTLLLMGCNFNIAYIGKLLKCTANSFVWAGTAHSVAWFPLTLVFLIDAIAMNLLYVDTMSRAKKYFAFTIVVLINGLLGFVCILSYAIPVFLRSFQRVEQLSCLLMESDVSYYSTNVSVFYDESSDFVDSFNMSEGSM
+>sp|O00421|CCRL2_HUMAN C-C chemokine receptor-like 2 OS=Homo sapiens OX=9606 GN=CCRL2 PE=1 SV=2
+MANYTLAPEDEYDVLIEGELESDEAEQCDKYDAQALSAQLVPSLCSAVFVIGVLDNLLVVLILVKYKGLKRVENIYLLNLAVSNLCFLLTLPFWAHAGGDPMCKILIGLYFVGLYSETFFNCLLTVQRYLVFLHKGNFFSARRRVPCGIITSVLAWVTAILATLPEFVVYKPQMEDQKYKCAFSRTPFLPADETFWKHFLTLKMNISVLVLPLFIFTFLYVQMRKTLRFREQRYSLFKLVFAIMVVFLLMWAPYNIAFFLSTFKEHFSLSDCKSSYNLDKSVHITKLIATTHCCINPLLYAFLDGTFSKYLCRCFHLRSNTPLQPRGQSAQGTSREEPDHSTEV
+>DECOY_sp|O00421|CCRL2_HUMAN C-C chemokine receptor-like 2 OS=Homo sapiens OX=9606 GN=CCRL2 PE=1 SV=2
+VETSHDPEERSTGQASQGRPQLPTNSRLHFCRCLYKSFTGDLFAYLLPNICCHTTAILKTIHVSKDLNYSSKCDSLSFHEKFTSLFFAINYPAWMLLFVVMIAFVLKFLSYRQERFRLTKRMQVYLFTFIFLPLVLVSINMKLTLFHKWFTEDAPLFPTRSFACKYKQDEMQPKYVVFEPLTALIATVWALVSTIIGCPVRRRASFFNGKHLFVLYRQVTLLCNFFTESYLGVFYLGILIKCMPDGGAHAWFPLTLLFCLNSVALNLLYINEVRKLGKYKVLILVVLLNDLVGIVFVASCLSPVLQASLAQADYKDCQEAEDSELEGEILVDYEDEPALTYNAM
+>sp|Q6IQ19|CCSAP_HUMAN Centriole, cilia and spindle-associated protein OS=Homo sapiens OX=9606 GN=CCSAP PE=1 SV=2
+MSPGSGVKSEYMKRYQEPRWEEYGPCYRELLHYRLGRRLLEQAHAPWLWDDWGPAGSSEDSASSESSGAGGPAPRCAPPSPPPPVEPATQEEAERRARGAPEEQDAEAGDAEAEDAEDAALPALPVKDVEDKPEQQTRTRETDKSPTSTEPRQQPSALFARGNRKAVKSPQRSSSKIKENKHPFALYGWGEKQTDTGSQKTHNVCASAPVHEIHESALRAKNRRQVEKRKLVAQRQRAHSVDVEKNRKMKASSSENPWMTEYMRCYSARA
+>DECOY_sp|Q6IQ19|CCSAP_HUMAN Centriole, cilia and spindle-associated protein OS=Homo sapiens OX=9606 GN=CCSAP PE=1 SV=2
+ARASYCRMYETMWPNESSSAKMKRNKEVDVSHARQRQAVLKRKEVQRRNKARLASEHIEHVPASACVNHTKQSGTDTQKEGWGYLAFPHKNEKIKSSSRQPSKVAKRNGRAFLASPQQRPETSTPSKDTERTRTQQEPKDEVDKVPLAPLAADEADEAEADGAEADQEEPAGRARREAEEQTAPEVPPPPSPPACRPAPGGAGSSESSASDESSGAPGWDDWLWPAHAQELLRRGLRYHLLERYCPGYEEWRPEQYRKMYESKVGSGPSM
+>sp|Q6W349|CD011_HUMAN Putative uncharacterized protein encoded by LINC00575 OS=Homo sapiens OX=9606 GN=LINC00575 PE=5 SV=1
+MPTSETSWWPGACLCSSCAWTSDSRFFNLWTLGLAPAASQGFSGLKPQTDDCTVSFPGFEAFGLGLSHYWHLSFPACRQSIMGLCLVIVLANSS
+>DECOY_sp|Q6W349|CD011_HUMAN Putative uncharacterized protein encoded by LINC00575 OS=Homo sapiens OX=9606 GN=LINC00575 PE=5 SV=1
+SSNALVIVLCLGMISQRCAPFSLHWYHSLGLGFAEFGPFSVTCDDTQPKLGSFGQSAAPALGLTWLNFFRSDSTWACSSCLCAGPWWSTESTPM
+>sp|Q9UQ88|CD11A_HUMAN Cyclin-dependent kinase 11A OS=Homo sapiens OX=9606 GN=CDK11A PE=1 SV=4
+MGDEKDSWKVKTLDEILQEKKRRKEQEEKAEIKRLKNSDDRDSKRDSLEEGELRDHCMEITIRNSPYRREDSMEDRGEEDDSLAIKPPQQMSRKEKVHHRKDEKRKEKWKHARVKEREHERRKRHREEQDKARREWERQKRREMAREHSRRERDRLEQLERKRERERKMREQQKEQREQKERERRAEERRKEREARREVSAHHRTMREDYSDKVKASHWSRSPPRPPRERFELGDGRKPGEARPAPAQKPAQLKEEKMEERDLLSDLQDISDSERKTSSAESSSAESGSGSEEEEEEEEEEEEEGSTSEESEEEEEEEEEEEEETGSNSEEASEQSAEEVSEEEMSEDEERENENHLLVVPESRFDRDSGESEEAEEEVGEGTPQSSALTEGDYVPDSPALLPIELKQELPKYLPALQGCRSVEEFQCLNRIEEGTYGVVYRAKDKKTDEIVALKRLKMEKEKEGFPITSLREINTILKAQHPNIVTVREIVVGSNMDKIYIVMNYVEHDLKSLMETMKQPFLPGEVKTLMIQLLRGVKHLHDNWILHRDLKTSNLLLSHAGILKVGDFGLAREYGSPLKAYTPVVVTQWYRAPELLLGAKEYSTAVDMWSVGCIFGELLTQKPLFPGNSEIDQINKVFKELGTPSEKIWPGYSELPVVKKMTFSEHPYNNLRKRFGALLSDQGFDLMNKFLTYFPGRRISAEDGLKHEYFRETPLPIDPSMFPTWPAKSEQQRVKRGTSPRPPEGGLGYSQLGDDDLKETGFHLTTTNQGASAAGPGFSLKF
+>DECOY_sp|Q9UQ88|CD11A_HUMAN Cyclin-dependent kinase 11A OS=Homo sapiens OX=9606 GN=CDK11A PE=1 SV=4
+FKLSFGPGAASAGQNTTTLHFGTEKLDDDGLQSYGLGGEPPRPSTGRKVRQQESKAPWTPFMSPDIPLPTERFYEHKLGDEASIRRGPFYTLFKNMLDFGQDSLLAGFRKRLNNYPHESFTMKKVVPLESYGPWIKESPTGLEKFVKNIQDIESNGPFLPKQTLLEGFICGVSWMDVATSYEKAGLLLEPARYWQTVVVPTYAKLPSGYERALGFDGVKLIGAHSLLLNSTKLDRHLIWNDHLHKVGRLLQIMLTKVEGPLFPQKMTEMLSKLDHEVYNMVIYIKDMNSGVVIERVTVINPHQAKLITNIERLSTIPFGEKEKEMKLRKLAVIEDTKKDKARYVVGYTGEEIRNLCQFEEVSRCGQLAPLYKPLEQKLEIPLLAPSDPVYDGETLASSQPTGEGVEEEAEESEGSDRDFRSEPVVLLHNENEREEDESMEEESVEEASQESAEESNSGTEEEEEEEEEEEEESEESTSGEEEEEEEEEEEEESGSGSEASSSEASSTKRESDSIDQLDSLLDREEMKEEKLQAPKQAPAPRAEGPKRGDGLEFRERPPRPPSRSWHSAKVKDSYDERMTRHHASVERRAEREKRREEARREREKQERQEKQQERMKRERERKRELQELRDRERRSHERAMERRKQREWERRAKDQEERHRKRREHEREKVRAHKWKEKRKEDKRHHVKEKRSMQQPPKIALSDDEEGRDEMSDERRYPSNRITIEMCHDRLEGEELSDRKSDRDDSNKLRKIEAKEEQEKRRKKEQLIEDLTKVKWSDKEDGM
+>sp|P48509|CD151_HUMAN CD151 antigen OS=Homo sapiens OX=9606 GN=CD151 PE=1 SV=3
+MGEFNEKKTTCGTVCLKYLLFTYNCCFWLAGLAVMAVGIWTLALKSDYISLLASGTYLATAYILVVAGTVVMVTGVLGCCATFKERRNLLRLYFILLLIIFLLEIIAGILAYAYYQQLNTELKENLKDTMTKRYHQPGHEAVTSAVDQLQQEFHCCGSNNSQDWRDSEWIRSQEAGGRVVPDSCCKTVVALCGQRDHASNIYKVEGGCITKLETFIQEHLRVIGAVGIGIACVQVFGMIFTCCLYRSLKLEHY
+>DECOY_sp|P48509|CD151_HUMAN CD151 antigen OS=Homo sapiens OX=9606 GN=CD151 PE=1 SV=3
+YHELKLSRYLCCTFIMGFVQVCAIGIGVAGIVRLHEQIFTELKTICGGEVKYINSAHDRQGCLAVVTKCCSDPVVRGGAEQSRIWESDRWDQSNNSGCCHFEQQLQDVASTVAEHGPQHYRKTMTDKLNEKLETNLQQYYAYALIGAIIELLFIILLLIFYLRLLNRREKFTACCGLVGTVMVVTGAVVLIYATALYTGSALLSIYDSKLALTWIGVAMVALGALWFCCNYTFLLYKLCVTGCTTKKENFEGM
+>sp|Q13740|CD166_HUMAN CD166 antigen OS=Homo sapiens OX=9606 GN=ALCAM PE=1 SV=2
+MESKGASSCRLLFCLLISATVFRPGLGWYTVNSAYGDTIIIPCRLDVPQNLMFGKWKYEKPDGSPVFIAFRSSTKKSVQYDDVPEYKDRLNLSENYTLSISNARISDEKRFVCMLVTEDNVFEAPTIVKVFKQPSKPEIVSKALFLETEQLKKLGDCISEDSYPDGNITWYRNGKVLHPLEGAVVIIFKKEMDPVTQLYTMTSTLEYKTTKADIQMPFTCSVTYYGPSGQKTIHSEQAVFDIYYPTEQVTIQVLPPKNAIKEGDNITLKCLGNGNPPPEEFLFYLPGQPEGIRSSNTYTLTDVRRNATGDYKCSLIDKKSMIASTAITVHYLDLSLNPSGEVTRQIGDALPVSCTISASRNATVVWMKDNIRLRSSPSFSSLHYQDAGNYVCETALQEVEGLKKRESLTLIVEGKPQIKMTKKTDPSGLSKTIICHVEGFPKPAIQWTITGSGSVINQTEESPYINGRYYSKIIISPEENVTLTCTAENQLERTVNSLNVSAISIPEHDEADEISDENREKVNDQAKLIVGIVVGLLLAALVAGVVYWLYMKKSKTASKHVNKDLGNMEENKKLEENNHKTEA
+>DECOY_sp|Q13740|CD166_HUMAN CD166 antigen OS=Homo sapiens OX=9606 GN=ALCAM PE=1 SV=2
+AETKHNNEELKKNEEMNGLDKNVHKSATKSKKMYLWYVVGAVLAALLLGVVIGVILKAQDNVKERNEDSIEDAEDHEPISIASVNLSNVTRELQNEATCTLTVNEEPSIIIKSYYRGNIYPSEETQNIVSGSGTITWQIAPKPFGEVHCIITKSLGSPDTKKTMKIQPKGEVILTLSERKKLGEVEQLATECVYNGADQYHLSSFSPSSRLRINDKMWVVTANRSASITCSVPLADGIQRTVEGSPNLSLDLYHVTIATSAIMSKKDILSCKYDGTANRRVDTLTYTNSSRIGEPQGPLYFLFEEPPPNGNGLCKLTINDGEKIANKPPLVQITVQETPYYIDFVAQESHITKQGSPGYYTVSCTFPMQIDAKTTKYELTSTMTYLQTVPDMEKKFIIVVAGELPHLVKGNRYWTINGDPYSDESICDGLKKLQETELFLAKSVIEPKSPQKFVKVITPAEFVNDETVLMCVFRKEDSIRANSISLTYNESLNLRDKYEPVDDYQVSKKTSSRFAIFVPSGDPKEYKWKGFMLNQPVDLRCPIIITDGYASNVTYWGLGPRFVTASILLCFLLRCSSAGKSEM
+>sp|Q99467|CD180_HUMAN CD180 antigen OS=Homo sapiens OX=9606 GN=CD180 PE=1 SV=2
+MAFDVSCFFWVVLFSAGCKVITSWDQMCIEKEANKTYNCENLGLSEIPDTLPNTTEFLEFSFNFLPTIHNRTFSRLMNLTFLDLTRCQINWIHEDTFQSHHQLSTLVLTGNPLIFMAETSLNGPKSLKHLFLIQTGISNLEFIPVHNLENLESLYLGSNHISSIKFPKDFPARNLKVLDFQNNAIHYISREDMRSLEQAINLSLNFNGNNVKGIELGAFDSTIFQSLNFGGTPNLSVIFNGLQNSTTQSLWLGTFEDIDDEDISSAMLKGLCEMSVESLNLQEHRFSDISSTTFQCFTQLQELDLTATHLKGLPSGMKGLNLLKKLVLSVNHFDQLCQISAANFPSLTHLYIRGNVKKLHLGVGCLEKLGNLQTLDLSHNDIEASDCCSLQLKNLSHLQTLNLSHNEPLGLQSQAFKECPQLELLDLAFTRLHINAPQSPFQNLHFLQVLNLTYCFLDTSNQHLLAGLPVLRHLNLKGNHFQDGTITKTNLLQTVGSLEVLILSSCGLLSIDQQAFHSLGKMSHVDLSHNSLTCDSIDSLSHLKGIYLNLAANSINIISPRLLPILSQQSTINLSHNPLDCTCSNIHFLTWYKENLHKLEGSEETTCANPPSLRGVKLSDVKLSCGITAIGIFFLIVFLLLLAILLFFAVKYLLRWKYQHI
+>DECOY_sp|Q99467|CD180_HUMAN CD180 antigen OS=Homo sapiens OX=9606 GN=CD180 PE=1 SV=2
+IHQYKWRLLYKVAFFLLIALLLLFVILFFIGIATIGCSLKVDSLKVGRLSPPNACTTEESGELKHLNEKYWTLFHINSCTCDLPNHSLNITSQQSLIPLLRPSIINISNAALNLYIGKLHSLSDISDCTLSNHSLDVHSMKGLSHFAQQDISLLGCSSLILVELSGVTQLLNTKTITGDQFHNGKLNLHRLVPLGALLHQNSTDLFCYTLNLVQLFHLNQFPSQPANIHLRTFALDLLELQPCEKFAQSQLGLPENHSLNLTQLHSLNKLQLSCCDSAEIDNHSLDLTQLNGLKELCGVGLHLKKVNGRIYLHTLSPFNAASIQCLQDFHNVSLVLKKLLNLGKMGSPLGKLHTATLDLEQLQTFCQFTTSSIDSFRHEQLNLSEVSMECLGKLMASSIDEDDIDEFTGLWLSQTTSNQLGNFIVSLNPTGGFNLSQFITSDFAGLEIGKVNNGNFNLSLNIAQELSRMDERSIYHIANNQFDLVKLNRAPFDKPFKISSIHNSGLYLSELNELNHVPIFELNSIGTQILFLHKLSKPGNLSTEAMFILPNGTLVLTSLQHHSQFTDEHIWNIQCRTLDLFTLNMLRSFTRNHITPLFNFSFELFETTNPLTDPIESLGLNECNYTKNAEKEICMQDWSTIVKCGASFLVVWFFCSVDFAM
+>sp|P06126|CD1A_HUMAN T-cell surface glycoprotein CD1a OS=Homo sapiens OX=9606 GN=CD1A PE=1 SV=4
+MLFLLLPLLAVLPGDGNADGLKEPLSFHVTWIASFYNHSWKQNLVSGWLSDLQTHTWDSNSSTIVFLCPWSRGNFSNEEWKELETLFRIRTIRSFEGIRRYAHELQFEYPFEIQVTGGCELHSGKVSGSFLQLAYQGSDFVSFQNNSWLPYPVAGNMAKHFCKVLNQNQHENDITHNLLSDTCPRFILGLLDAGKAHLQRQVKPEAWLSHGPSPGPGHLQLVCHVSGFYPKPVWVMWMRGEQEQQGTQRGDILPSADGTWYLRATLEVAAGEAADLSCRVKHSSLEGQDIVLYWEHHSSVGFIILAVIVPLLLLIGLALWFRKRCFC
+>DECOY_sp|P06126|CD1A_HUMAN T-cell surface glycoprotein CD1a OS=Homo sapiens OX=9606 GN=CD1A PE=1 SV=4
+CFCRKRFWLALGILLLLPVIVALIIFGVSSHHEWYLVIDQGELSSHKVRCSLDAAEGAAVELTARLYWTGDASPLIDGRQTGQQEQEGRMWMVWVPKPYFGSVHCVLQLHGPGPSPGHSLWAEPKVQRQLHAKGADLLGLIFRPCTDSLLNHTIDNEHQNQNLVKCFHKAMNGAVPYPLWSNNQFSVFDSGQYALQLFSGSVKGSHLECGGTVQIEFPYEFQLEHAYRRIGEFSRITRIRFLTELEKWEENSFNGRSWPCLFVITSSNSDWTHTQLDSLWGSVLNQKWSHNYFSAIWTVHFSLPEKLGDANGDGPLVALLPLLLFLM
+>sp|P11836|CD20_HUMAN B-lymphocyte antigen CD20 OS=Homo sapiens OX=9606 GN=MS4A1 PE=1 SV=1
+MTTPRNSVNGTFPAEPMKGPIAMQSGPKPLFRRMSSLVGPTQSFFMRESKTLGAVQIMNGLFHIALGGLLMIPAGIYAPICVTVWYPLWGGIMYIISGSLLAATEKNSRKCLVKGKMIMNSLSLFAAISGMILSIMDILNIKISHFLKMESLNFIRAHTPYINIYNCEPANPSEKNSPSTQYCYSIQSLFLGILSVMLIFAFFQELVIAGIVENEWKRTCSRPKSNIVLLSAEEKKEQTIEIKEEVVGLTETSSQPKNEEDIEIIPIQEEEEEETETNFPEPPQDQESSPIENDSSP
+>DECOY_sp|P11836|CD20_HUMAN B-lymphocyte antigen CD20 OS=Homo sapiens OX=9606 GN=MS4A1 PE=1 SV=1
+PSSDNEIPSSEQDQPPEPFNTETEEEEEEQIPIIEIDEENKPQSSTETLGVVEEKIEITQEKKEEASLLVINSKPRSCTRKWENEVIGAIVLEQFFAFILMVSLIGLFLSQISYCYQTSPSNKESPNAPECNYINIYPTHARIFNLSEMKLFHSIKINLIDMISLIMGSIAAFLSLSNMIMKGKVLCKRSNKETAALLSGSIIYMIGGWLPYWVTVCIPAYIGAPIMLLGGLAIHFLGNMIQVAGLTKSERMFFSQTPGVLSSMRRFLPKPGSQMAIPGKMPEAPFTGNVSNRPTTM
+>sp|P02745|C1QA_HUMAN Complement C1q subcomponent subunit A OS=Homo sapiens OX=9606 GN=C1QA PE=1 SV=2
+MEGPRGWLVLCVLAISLASMVTEDLCRAPDGKKGEAGRPGRRGRPGLKGEQGEPGAPGIRTGIQGLKGDQGEPGPSGNPGKVGYPGPSGPLGARGIPGIKGTKGSPGNIKDQPRPAFSAIRRNPPMGGNVVIFDTVITNQEEPYQNHSGRFVCTVPGYYYFTFQVLSQWEICLSIVSSSRGQVRRSLGFCDTTNKGLFQVVSGGMVLQLQQGDQVWVEKDPKKGHIYQGSEADSVFSGFLIFPSA
+>DECOY_sp|P02745|C1QA_HUMAN Complement C1q subcomponent subunit A OS=Homo sapiens OX=9606 GN=C1QA PE=1 SV=2
+ASPFILFGSFVSDAESGQYIHGKKPDKEVWVQDGQQLQLVMGGSVVQFLGKNTTDCFGLSRRVQGRSSSVISLCIEWQSLVQFTFYYYGPVTCVFRGSHNQYPEEQNTIVTDFIVVNGGMPPNRRIASFAPRPQDKINGPSGKTGKIGPIGRAGLPGSPGPYGVKGPNGSPGPEGQDGKLGQIGTRIGPAGPEGQEGKLGPRGRRGPRGAEGKKGDPARCLDETVMSALSIALVCLVLWGRPGEM
+>sp|Q9BXI9|C1QT6_HUMAN Complement C1q tumor necrosis factor-related protein 6 OS=Homo sapiens OX=9606 GN=C1QTNF6 PE=1 SV=3
+MGTAALGPVWAALLLFLLMCEIPMVELTFDRAVASGCQRCCDSEDPLDPAHVSSASSSGRPHALPEIRPYINITILKGDKGDPGPMGLPGYMGREGPQGEPGPQGSKGDKGEMGSPGAPCQKRFFAFSVGRKTALHSGEDFQTLLFERVFVNLDGCFDMATGQFAAPLRGIYFFSLNVHSWNYKETYVHIMHNQKEAVILYAQPSERSIMQSQSVMLDLAYGDRVWVRLFKRQRENAIYSNDFDTYITFSGHLIKAEDD
+>DECOY_sp|Q9BXI9|C1QT6_HUMAN Complement C1q tumor necrosis factor-related protein 6 OS=Homo sapiens OX=9606 GN=C1QTNF6 PE=1 SV=3
+DDEAKILHGSFTIYTDFDNSYIANERQRKFLRVWVRDGYALDLMVSQSQMISRESPQAYLIVAEKQNHMIHVYTEKYNWSHVNLSFFYIGRLPAAFQGTAMDFCGDLNVFVREFLLTQFDEGSHLATKRGVSFAFFRKQCPAGPSGMEGKDGKSGQPGPEGQPGERGMYGPLGMPGPDGKDGKLITINIYPRIEPLAHPRGSSSASSVHAPDLPDESDCCRQCGSAVARDFTLEVMPIECMLLFLLLAAWVPGLAATGM
+>sp|B2RNN3|C1T9B_HUMAN Complement C1q and tumor necrosis factor-related protein 9B OS=Homo sapiens OX=9606 GN=C1QTNF9B PE=1 SV=1
+MRIWWLLLAIEICTGNINSQDTCRQGHPGIPGNPGHNGLPGRDGRDGAKGDKGDAGEPGCPGSPGKDGTSGEKGERGADGKVEAKGIKGDQGSRGSPGKHGPKGLAGPMGEKGLRGETGPQGQKGNKGDVGPTGPEGPRGNIGPLGPTGLPGPMGPIGKPGPKGEAGPTGPQGEPGVRGIRGWKGDRGEKGKIGETLVLPKSAFTVGLTVLSKFPSSDVPIKFDKILYNEFNHYDTAVGKFTCHIAGVYYFTYHITVFSRNVQVSLVKNGVKILHTRDAYVSSEDQASGSIVLQLKLGDEMWLQVTGGERFNGLFADEDDDTTFTGFLLFSSQ
+>DECOY_sp|B2RNN3|C1T9B_HUMAN Complement C1q and tumor necrosis factor-related protein 9B OS=Homo sapiens OX=9606 GN=C1QTNF9B PE=1 SV=1
+QSSFLLFGTFTTDDDEDAFLGNFREGGTVQLWMEDGLKLQLVISGSAQDESSVYADRTHLIKVGNKVLSVQVNRSFVTIHYTFYYVGAIHCTFKGVATDYHNFENYLIKDFKIPVDSSPFKSLVTLGVTFASKPLVLTEGIKGKEGRDGKWGRIGRVGPEGQPGTPGAEGKPGPKGIPGMPGPLGTPGLPGINGRPGEPGTPGVDGKNGKQGQPGTEGRLGKEGMPGALGKPGHKGPSGRSGQDGKIGKAEVKGDAGREGKEGSTGDKGPSGPCGPEGADGKDGKAGDRGDRGPLGNHGPNGPIGPHGQRCTDQSNINGTCIEIALLLWWIRM
+>sp|Q8NCU7|C2C4A_HUMAN C2 calcium-dependent domain-containing protein 4A OS=Homo sapiens OX=9606 GN=C2CD4A PE=2 SV=2
+MWCLERLRLGPECLRRSGDWLLPGRARGAKSRTTAACANVLTPDRIPEFCIPPRLMPRLALAALRNSWVEEAGMDEGAGRTDWDPRSQAALSLPHLPRVRTAYGFCALLESPHTRRKESLLLGGPPAPRPRAHTYGGGGGPDALLGTLRVPRAPGPATPAAPGCPRPPQDALARRPRGCRLLRVPDGLLSRALRAGRSRRLTRVRSVSSGNEDKERRAGSQSPARAPSTSPPSSRVPFPERLEAEGTVALGRAGDALRLAAEYCPGTGRLRLRLLRAESPAGGAPGPRAVSCRLSLVLRPPGTALRQCSTVVGRSRKASFDQDFCFDGLSEDEVRRLAVRVKARDEGRGRERGRLLGQGELSLGALLLL
+>DECOY_sp|Q8NCU7|C2C4A_HUMAN C2 calcium-dependent domain-containing protein 4A OS=Homo sapiens OX=9606 GN=C2CD4A PE=2 SV=2
+LLLLAGLSLEGQGLLRGRERGRGEDRAKVRVALRRVEDESLGDFCFDQDFSAKRSRGVVTSCQRLATGPPRLVLSLRCSVARPGPAGGAPSEARLLRLRLRGTGPCYEAALRLADGARGLAVTGEAELREPFPVRSSPPSTSPARAPSQSGARREKDENGSSVSRVRTLRRSRGARLARSLLGDPVRLLRCGRPRRALADQPPRPCGPAAPTAPGPARPVRLTGLLADPGGGGGYTHARPRPAPPGGLLLSEKRRTHPSELLACFGYATRVRPLHPLSLAAQSRPDWDTRGAGEDMGAEEVWSNRLAALALRPMLRPPICFEPIRDPTLVNACAATTRSKAGRARGPLLWDGSRRLCEPGLRLRELCWM
+>sp|Q53TS8|C2CD6_HUMAN C2 calcium-dependent domain-containing protein 6 OS=Homo sapiens OX=9606 GN=C2CD6 PE=1 SV=1
+MEPPQETNRPFSTLDNRSGQVQVLSATPLLQRNPYSSPDIMHIKGSEASSVPYALNQGTTALPKNKNQEGTGHRLLNMLRKTLKESDSEELEITQETPNLVPFGDVVGCLGIHIKNCRHFMPKISLQHYANLFIRISINKAVKCTKMCSLLSKNDEKNTVIKFDEVKYFSVQVPRRYDDKRNNILLELIQYDNREKRAFLLGSVQIHLYEVIQKGCFIEEVQVLHGNIFVCRLEVEFMFSYGNFGYGFSHQLKPLQKITEPSMFMNLAPPPERTDPVTKVITPQTVEYPAFLSPDLNVTVGTPAVQSSNQPSVVRLEKLQQQPRERLEKMKKEYRNLNTWIDKANYLESILMPKLEHKDSEETNIDEASENTKSNHPEEELENIVGVDIPLVNEEAETTANELLDNDSEKGLTIPTLNQSDQDNSTADASKNDESTPSPTEVHSLCTISNQETIKAGRIPPLGERQSESMPDRKMKNVFFPLEVKLKDNYPSILKADSSLSEVAFSPKEYNSPSFRPEYIEFKPKFQDCSDKFEDLHDMTSFTHLKKVKSRSRLLGKSSDDIHNHARHSARPYTAPEVNKQRESYSGKFTSRRMVSSGLVHINDKTSDYEMHKMRPKKIKRGY
+>DECOY_sp|Q53TS8|C2CD6_HUMAN C2 calcium-dependent domain-containing protein 6 OS=Homo sapiens OX=9606 GN=C2CD6 PE=1 SV=1
+YGRKIKKPRMKHMEYDSTKDNIHVLGSSVMRRSTFKGSYSERQKNVEPATYPRASHRAHNHIDDSSKGLLRSRSKVKKLHTFSTMDHLDEFKDSCDQFKPKFEIYEPRFSPSNYEKPSFAVESLSSDAKLISPYNDKLKVELPFFVNKMKRDPMSESQREGLPPIRGAKITEQNSITCLSHVETPSPTSEDNKSADATSNDQDSQNLTPITLGKESDNDLLENATTEAEENVLPIDVGVINELEEEPHNSKTNESAEDINTEESDKHELKPMLISELYNAKDIWTNLNRYEKKMKELRERPQQQLKELRVVSPQNSSQVAPTGVTVNLDPSLFAPYEVTQPTIVKTVPDTREPPPALNMFMSPETIKQLPKLQHSFGYGFNGYSFMFEVELRCVFINGHLVQVEEIFCGKQIVEYLHIQVSGLLFARKERNDYQILELLINNRKDDYRRPVQVSFYKVEDFKIVTNKEDNKSLLSCMKTCKVAKNISIRIFLNAYHQLSIKPMFHRCNKIHIGLCGVVDGFPVLNPTEQTIELEESDSEKLTKRLMNLLRHGTGEQNKNKPLATTGQNLAYPVSSAESGKIHMIDPSSYPNRQLLPTASLVQVQGSRNDLTSFPRNTEQPPEM
+>sp|Q5T0F9|C2D1B_HUMAN Coiled-coil and C2 domain-containing protein 1B OS=Homo sapiens OX=9606 GN=CC2D1B PE=1 SV=1
+MMPGPRPRKGPQARGQGVAAAKQMGLFMEFGPEDMLLGMDEAEDDEDLEAELLALTGEAQTTGKKPAPKGQAPLPMAHIEKLAADCMRDVEEEEEEEGLEEDAELLTELQEVLGVDEETEPLDGDEVADPGGSEEENGLEDTEPPVQTAVLTASAPAAQAGASQGLHALLEERIHNYREAAASAKEAGEAAKARRCERGLKTLESQLASVRRGRKINEDEIPPPVALGKRPLAPQEPANRSPETDPPAPPALESDNPSQPETSLPGISAQPVSDLDPDPRALLSSRQREYKVAALSAKRAGELDRARELMRIGKRFGAVLEALEKGQPVDLSAMPPAPEDLKPQQASQAPTAPSVIPPAVERVQPVMAPDVPATPVAPTESQTVLDALQQRLNKYREAGIQARSGGDERKARMHERIAKQYQDAIRAHRAGRKVNFAELPVPPGFPPIPGLESTMGVEEDAVAATLAAAEKLASAEDSAPADKDEDEPPGHLQGEPPAQAPVAKKPARPTVPSSQRLPEPRASSSKESPSPSVREQLALLEARKLQYQRAALQAKRSQDLEQAKAYLRVAKWLEAQIIQARSGRPVDLSKVPSPLTDEEGDFILIHHEDLRLSQKAEEVYAQLQKMLLEQQEKCLLFSKQFMHQGNVAETTRFEKLAQDRKKQLEILQLAQAQGLDPPTHHFELKTFQTVRIFSELNSTEMHLIIVRGMNLPAPPGVTPDDLDAFVRFEFHYPNSDQAQKSKTAVVKNTNSPEFDQLFKLNINRNHRGFKRVIQSKGIKFEIFHKGSFFRSDKLVGTAHLKLERLENECEIREIVEVLDGRKPTGGKLEVKVRLREPLSGQDVQMVTENWLVLEPRGL
+>DECOY_sp|Q5T0F9|C2D1B_HUMAN Coiled-coil and C2 domain-containing protein 1B OS=Homo sapiens OX=9606 GN=CC2D1B PE=1 SV=1
+LGRPELVLWNETVMQVDQGSLPERLRVKVELKGGTPKRGDLVEVIERIECENELRELKLHATGVLKDSRFFSGKHFIEFKIGKSQIVRKFGRHNRNINLKFLQDFEPSNTNKVVATKSKQAQDSNPYHFEFRVFADLDDPTVGPPAPLNMGRVIILHMETSNLESFIRVTQFTKLEFHHTPPDLGQAQALQLIELQKKRDQALKEFRTTEAVNGQHMFQKSFLLCKEQQELLMKQLQAYVEEAKQSLRLDEHHILIFDGEEDTLPSPVKSLDVPRGSRAQIIQAELWKAVRLYAKAQELDQSRKAQLAARQYQLKRAELLALQERVSPSPSEKSSSARPEPLRQSSPVTPRAPKKAVPAQAPPEGQLHGPPEDEDKDAPASDEASALKEAAALTAAVADEEVGMTSELGPIPPFGPPVPLEAFNVKRGARHARIADQYQKAIREHMRAKREDGGSRAQIGAERYKNLRQQLADLVTQSETPAVPTAPVDPAMVPQVREVAPPIVSPATPAQSAQQPKLDEPAPPMASLDVPQGKELAELVAGFRKGIRMLERARDLEGARKASLAAVKYERQRSSLLARPDPDLDSVPQASIGPLSTEPQSPNDSELAPPAPPDTEPSRNAPEQPALPRKGLAVPPPIEDENIKRGRRVSALQSELTKLGRECRRAKAAEGAEKASAAAERYNHIREELLAHLGQSAGAQAAPASATLVATQVPPETDELGNEEESGGPDAVEDGDLPETEEDVGLVEQLETLLEADEELGEEEEEEEVDRMCDAALKEIHAMPLPAQGKPAPKKGTTQAEGTLALLEAELDEDDEAEDMGLLMDEPGFEMFLGMQKAAAVGQGRAQPGKRPRPGPMM
+>sp|Q6DHV5|C2D2B_HUMAN Protein CC2D2B OS=Homo sapiens OX=9606 GN=CC2D2B PE=2 SV=3
+MKKSQREDIFKKMSEEMDNITAEEIIDKHLQKEENQNVAKTLRGKVREKLKISKINKGEKSSTEQLIDSEIHQRSKTEVSLDESLSFFILSGEEGSALGKSSEQRPVNRSYPKCFSLGVNLQNVAESEEEEFMKEFILTDILKVKAADYEDDQEQIKKQKANIFVPSSSPVVNQRKLPKDMMPRILEDEGFYIQRKPEIYKKTCNKMENRLLKLEEGKCWFGESGEIMSLPTPIKQSWNFRLNVRKEPLNPLLKTIYRKAVKYDLGSSFMNKMEGSREIYQLDLNIVGLQFSHHHLFNQEQVLCARLLQLYECFQDRQQQNVSQLLYEKLKALTDATKLSNENSEINQLTRKSLQDYYWQISNTKQMYDLERGKDLSLLHSILRTWKQIKSLRHGQGFTSTPIKLQVQRIKMNKCDEQEQISEMSETEKKNEGKELKNGKKLESLSYLASDETEIERIKPITLRPQLSFTAELTSLSKCSFMLRNVDARSVPGIPWLMNEQKLFEWANEVRIDPNNPEYSDLMESVTYMRLKGQDIPKYFRLEQLQDEFNFVSEEEMAKSKRFQLLQLRNAGQLDNFLLQQMPLHDTEIPDLVFQVRRLIMKRIVKISKCNLSDIVNDYEEIVSTSQLTDAVCKFVEPRRKLKPQRKERKKVTAQAISDGDIKILVRIVRAYNIPTRKTTINGSPGHDYSFSSLSKIKDNIYINIFDEMMTEKHEISGTFQVTIPPVLLGYTWSNTYVFPKEDSNEQNLKECTFLNIFATIEPQISYVTCNPTLDKFLDQTEVLQRAQIFKKNCKAMFPNRRIVTTVFNDEGIQFLVTRYIKALNPPQQLLDIFLHNSNATFDLIARFVSLIPFVPNTPDENDGSDIWMTSEHCISLAIGNKEEHAILLCNFFLYFGKKALVLLGTSVLEGHVAYVVTQETNEYLLWNPSTGQCYKQFDPFCPLKSVDCLFDDRNVWFNIQQNNTPMAVFFDYSKESFWKQLLPKNVQGTKIQSIQVTGFPIQMPYIDVQSIIDAVYQTGIHSAEFPQTEFALAVYIHPYPNNILSVWVYLASLVQHQ
+>DECOY_sp|Q6DHV5|C2D2B_HUMAN Protein CC2D2B OS=Homo sapiens OX=9606 GN=CC2D2B PE=2 SV=3
+QHQVLSALYVWVSLINNPYPHIYVALAFETQPFEASHIGTQYVADIISQVDIYPMQIPFGTVQISQIKTGQVNKPLLQKWFSEKSYDFFVAMPTNNQQINFWVNRDDFLCDVSKLPCFPDFQKYCQGTSPNWLLYENTEQTVVYAVHGELVSTGLLVLAKKGFYLFFNCLLIAHEEKNGIALSICHESTMWIDSGDNEDPTNPVFPILSVFRAILDFTANSNHLFIDLLQQPPNLAKIYRTVLFQIGEDNFVTTVIRRNPFMAKCNKKFIQARQLVETQDLFKDLTPNCTVYSIQPEITAFINLFTCEKLNQENSDEKPFVYTNSWTYGLLVPPITVQFTGSIEHKETMMEDFINIYINDKIKSLSSFSYDHGPSGNITTKRTPINYARVIRVLIKIDGDSIAQATVKKREKRQPKLKRRPEVFKCVADTLQSTSVIEEYDNVIDSLNCKSIKVIRKMILRRVQFVLDPIETDHLPMQQLLFNDLQGANRLQLLQFRKSKAMEEESVFNFEDQLQELRFYKPIDQGKLRMYTVSEMLDSYEPNNPDIRVENAWEFLKQENMLWPIGPVSRADVNRLMFSCKSLSTLEATFSLQPRLTIPKIREIETEDSALYSLSELKKGNKLEKGENKKETESMESIQEQEDCKNMKIRQVQLKIPTSTFGQGHRLSKIQKWTRLISHLLSLDKGRELDYMQKTNSIQWYYDQLSKRTLQNIESNENSLKTADTLAKLKEYLLQSVNQQQRDQFCEYLQLLRACLVQEQNFLHHHSFQLGVINLDLQYIERSGEMKNMFSSGLDYKVAKRYITKLLPNLPEKRVNLRFNWSQKIPTPLSMIEGSEGFWCKGEELKLLRNEMKNCTKKYIEPKRQIYFGEDELIRPMMDKPLKRQNVVPSSSPVFINAKQKKIQEQDDEYDAAKVKLIDTLIFEKMFEEEESEAVNQLNVGLSFCKPYSRNVPRQESSKGLASGEEGSLIFFSLSEDLSVETKSRQHIESDILQETSSKEGKNIKSIKLKERVKGRLTKAVNQNEEKQLHKDIIEEATINDMEESMKKFIDERQSKKM
+>sp|Q9NS85|CAH10_HUMAN Carbonic anhydrase-related protein 10 OS=Homo sapiens OX=9606 GN=CA10 PE=2 SV=1
+MEIVWEVLFLLQANFIVCISAQQNSPKIHEGWWAYKEVVQGSFVPVPSFWGLVNSAWNLCSVGKRQSPVNIETSHMIFDPFLTPLRINTGGRKVSGTMYNTGRHVSLRLDKEHLVNISGGPMTYSHRLEEIRLHFGSEDSQGSEHLLNGQAFSGEVQLIHYNHELYTNVTEAAKSPNGLVVVSIFIKVSDSSNPFLNRMLNRDTITRITYKNDAYLLQGLNIEELYPETSSFITYDGSMTIPPCYETASWIIMNKPVYITRMQMHSLRLLSQNQPSQIFLSMSDNFRPVQPLNNRCIRTNINFSLQGKDCPNNRAQKLQYRVNEWLLK
+>DECOY_sp|Q9NS85|CAH10_HUMAN Carbonic anhydrase-related protein 10 OS=Homo sapiens OX=9606 GN=CA10 PE=2 SV=1
+KLLWENVRYQLKQARNNPCDKGQLSFNINTRICRNNLPQVPRFNDSMSLFIQSPQNQSLLRLSHMQMRTIYVPKNMIIWSATEYCPPITMSGDYTIFSSTEPYLEEINLGQLLYADNKYTIRTITDRNLMRNLFPNSSDSVKIFISVVVLGNPSKAAETVNTYLEHNYHILQVEGSFAQGNLLHESGQSDESGFHLRIEELRHSYTMPGGSINVLHEKDLRLSVHRGTNYMTGSVKRGGTNIRLPTLFPDFIMHSTEINVPSQRKGVSCLNWASNVLGWFSPVPVFSGQVVEKYAWWGEHIKPSNQQASICVIFNAQLLFLVEWVIEM
+>sp|P07451|CAH3_HUMAN Carbonic anhydrase 3 OS=Homo sapiens OX=9606 GN=CA3 PE=1 SV=3
+MAKEWGYASHNGPDHWHELFPNAKGENQSPVELHTKDIRHDPSLQPWSVSYDGGSAKTILNNGKTCRVVFDDTYDRSMLRGGPLPGPYRLRQFHLHWGSSDDHGSEHTVDGVKYAAELHLVHWNPKYNTFKEALKQRDGIAVIGIFLKIGHENGEFQIFLDALDKIKTKGKEAPFTKFDPSCLFPACRDYWTYQGSFTTPPCEECIVWLLLKEPMTVSSDQMAKLRSLLSSAENEPPVPLVSNWRPPQPINNRVVRASFK
+>DECOY_sp|P07451|CAH3_HUMAN Carbonic anhydrase 3 OS=Homo sapiens OX=9606 GN=CA3 PE=1 SV=3
+KFSARVVRNNIPQPPRWNSVLPVPPENEASSLLSRLKAMQDSSVTMPEKLLLWVICEECPPTTFSGQYTWYDRCAPFLCSPDFKTFPAEKGKTKIKDLADLFIQFEGNEHGIKLFIGIVAIGDRQKLAEKFTNYKPNWHVLHLEAAYKVGDVTHESGHDDSSGWHLHFQRLRYPGPLPGGRLMSRDYTDDFVVRCTKGNNLITKASGGDYSVSWPQLSPDHRIDKTHLEVPSQNEGKANPFLEHWHDPGNHSAYGWEKAM
+>sp|Q9Y2D0|CAH5B_HUMAN Carbonic anhydrase 5B, mitochondrial OS=Homo sapiens OX=9606 GN=CA5B PE=1 SV=1
+MVVMNSLRVILQASPGKLLWRKFQIPRFMPARPCSLYTCTYKTRNRALHPLWESVDLVPGGDRQSPINIRWRDSVYDPGLKPLTISYDPATCLHVWNNGYSFLVEFEDSTDKSVIKGGPLEHNYRLKQFHFHWGAIDAWGSEHTVDSKCFPAELHLVHWNAVRFENFEDAALEENGLAVIGVFLKLGKHHKELQKLVDTLPSIKHKDALVEFGSFDPSCLMPTCPDYWTYSGSLTTPPLSESVTWIIKKQPVEVDHDQLEQFRTLLFTSEGEKEKRMVDNFRPLQPLMNRTVRSSFRHDYVLNVQAKPKPATSQATP
+>DECOY_sp|Q9Y2D0|CAH5B_HUMAN Carbonic anhydrase 5B, mitochondrial OS=Homo sapiens OX=9606 GN=CA5B PE=1 SV=1
+PTAQSTAPKPKAQVNLVYDHRFSSRVTRNMLPQLPRFNDVMRKEKEGESTFLLTRFQELQDHDVEVPQKKIIWTVSESLPPTTLSGSYTWYDPCTPMLCSPDFSGFEVLADKHKISPLTDVLKQLEKHHKGLKLFVGIVALGNEELAADEFNEFRVANWHVLHLEAPFCKSDVTHESGWADIAGWHFHFQKLRYNHELPGGKIVSKDTSDEFEVLFSYGNNWVHLCTAPDYSITLPKLGPDYVSDRWRINIPSQRDGGPVLDVSEWLPHLARNRTKYTCTYLSCPRAPMFRPIQFKRWLLKGPSAQLIVRLSNMVVM
+>sp|Q9HA72|CAHM2_HUMAN Calcium homeostasis modulator protein 2 OS=Homo sapiens OX=9606 GN=CALHM2 PE=2 SV=1
+MAALIAENFRFLSLFFKSKDVMIFNGLVALGTVGSQELFSVVAFHCPCSPARNYLYGLAAIGVPALVLFIIGIILNNHTWNLVAECQHRRTKNCSAAPTFLLLSSILGRAAVAPVTWSVISLLRGEAYVCALSEFVDPSSLTAREEHFPSAHATEILARFPCKENPDNLSDFREEVSRRLRYESQLFGWLLIGVVAILVFLTKCLKHYCSPLSYRQEAYWAQYRANEDQLFQRTAEVHSRVLAANNVRRFFGFVALNKDDEELIANFPVEGTQPRPQWNAITGVYLYRENQGLPLYSRLHKWAQGLAGNGAAPDNVEMALLPS
+>DECOY_sp|Q9HA72|CAHM2_HUMAN Calcium homeostasis modulator protein 2 OS=Homo sapiens OX=9606 GN=CALHM2 PE=2 SV=1
+SPLLAMEVNDPAAGNGALGQAWKHLRSYLPLGQNERYLYVGTIANWQPRPQTGEVPFNAILEEDDKNLAVFGFFRRVNNAALVRSHVEATRQFLQDENARYQAWYAEQRYSLPSCYHKLCKTLFVLIAVVGILLWGFLQSEYRLRRSVEERFDSLNDPNEKCPFRALIETAHASPFHEERATLSSPDVFESLACVYAEGRLLSIVSWTVPAVAARGLISSLLLFTPAASCNKTRRHQCEAVLNWTHNNLIIGIIFLVLAPVGIAALGYLYNRAPSCPCHFAVVSFLEQSGVTGLAVLGNFIMVDKSKFFLSLFRFNEAILAAM
+>sp|Q8N5C1|CAHM5_HUMAN Calcium homeostasis modulator protein 5 OS=Homo sapiens OX=9606 GN=CALHM5 PE=2 SV=1
+MDAFQGILKFFLNQKTVIGYSFMALLTVGSERLFSVVAFKCPCSTENMTYGLVFLFAPAWVLLILGFFLNNRSWRLFTGCCVNPRKIFPRGHSCRFFYVLGQITLSSLVAPVMWLSVALLNGTFYECAMSGTRSSGLLELICKGKPKECWEELHKVSCGKTSMLPTVNEELKLSLQAQSQILGWCLICSASFFSLLTTCYARCRSKVSYLQLSFWKTYAQKEKEQLENTFLDYANKLSERNLKCFFENKRPDPFPMPTFAAWEAASELHSFHQSQQHYSTLHRVVDNGLQLSPEDDETTMVLVGTAHNM
+>DECOY_sp|Q8N5C1|CAHM5_HUMAN Calcium homeostasis modulator protein 5 OS=Homo sapiens OX=9606 GN=CALHM5 PE=2 SV=1
+MNHATGVLVMTTEDDEPSLQLGNDVVRHLTSYHQQSQHFSHLESAAEWAAFTPMPFPDPRKNEFFCKLNRESLKNAYDLFTNELQEKEKQAYTKWFSLQLYSVKSRCRAYCTTLLSFFSASCILCWGLIQSQAQLSLKLEENVTPLMSTKGCSVKHLEEWCEKPKGKCILELLGSSRTGSMACEYFTGNLLAVSLWMVPAVLSSLTIQGLVYFFRCSHGRPFIKRPNVCCGTFLRWSRNNLFFGLILLVWAPAFLFVLGYTMNETSCPCKFAVVSFLRESGVTLLAMFSYGIVTKQNLFFKLIGQFADM
+>sp|Q96L12|CALR3_HUMAN Calreticulin-3 OS=Homo sapiens OX=9606 GN=CALR3 PE=1 SV=2
+MARALVQLWAICMLRVALATVYFQEEFLDGEHWRNRWLQSTNDSRFGHFRLSSGKFYGHKEKDKGLQTTQNGRFYAISARFKPFSNKGKTLVIQYTVKHEQKMDCGGGYIKVFPADIDQKNLNGKSQYYIMFGPDICGFDIKKVHVILHFKNKYHENKKLIRCKVDGFTHLYTLILRPDLSYDVKIDGQSIESGSIEYDWNLTSLKKETSPAESKDWEQTKDNKAQDWEKHFLDASTSKQSDWNGDLDGDWPAPMLQKPPYQDGLKPEGIHKDVWLHRKMKNTDYLTQYDLSEFENIGAIGLELWQVRSGTIFDNFLITDDEEYADNFGKATWGETKGPEREMDAIQAKEEMKKAREEEEEELLSGKINRHEHYFNQFHRRNEL
+>DECOY_sp|Q96L12|CALR3_HUMAN Calreticulin-3 OS=Homo sapiens OX=9606 GN=CALR3 PE=1 SV=2
+LENRRHFQNFYHEHRNIKGSLLEEEEEERAKKMEEKAQIADMEREPGKTEGWTAKGFNDAYEEDDTILFNDFITGSRVQWLELGIAGINEFESLDYQTLYDTNKMKRHLWVDKHIGEPKLGDQYPPKQLMPAPWDGDLDGNWDSQKSTSADLFHKEWDQAKNDKTQEWDKSEAPSTEKKLSTLNWDYEISGSEISQGDIKVDYSLDPRLILTYLHTFGDVKCRILKKNEHYKNKFHLIVHVKKIDFGCIDPGFMIYYQSKGNLNKQDIDAPFVKIYGGGCDMKQEHKVTYQIVLTKGKNSFPKFRASIAYFRGNQTTQLGKDKEKHGYFKGSSLRFHGFRSDNTSQLWRNRWHEGDLFEEQFYVTALAVRLMCIAWLQVLARAM
+>sp|P27797|CALR_HUMAN Calreticulin OS=Homo sapiens OX=9606 GN=CALR PE=1 SV=1
+MLLSVPLLLGLLGLAVAEPAVYFKEQFLDGDGWTSRWIESKHKSDFGKFVLSSGKFYGDEEKDKGLQTSQDARFYALSASFEPFSNKGQTLVVQFTVKHEQNIDCGGGYVKLFPNSLDQTDMHGDSEYNIMFGPDICGPGTKKVHVIFNYKGKNVLINKDIRCKDDEFTHLYTLIVRPDNTYEVKIDNSQVESGSLEDDWDFLPPKKIKDPDASKPEDWDERAKIDDPTDSKPEDWDKPEHIPDPDAKKPEDWDEEMDGEWEPPVIQNPEYKGEWKPRQIDNPDYKGTWIHPEIDNPEYSPDPSIYAYDNFGVLGLDLWQVKSGTIFDNFLITNDEAYAEEFGNETWGVTKAAEKQMKDKQDEEQRLKEEEEDKKRKEEEEAEDKEDDEDKDEDEEDEEDKEEDEEEDVPGQAKDEL
+>DECOY_sp|P27797|CALR_HUMAN Calreticulin OS=Homo sapiens OX=9606 GN=CALR PE=1 SV=1
+LEDKAQGPVDEEEDEEKDEEDEEDEDKDEDDEKDEAEEEEKRKKDEEEEKLRQEEDQKDKMQKEAAKTVGWTENGFEEAYAEDNTILFNDFITGSKVQWLDLGLVGFNDYAYISPDPSYEPNDIEPHIWTGKYDPNDIQRPKWEGKYEPNQIVPPEWEGDMEEDWDEPKKADPDPIHEPKDWDEPKSDTPDDIKAREDWDEPKSADPDKIKKPPLFDWDDELSGSEVQSNDIKVEYTNDPRVILTYLHTFEDDKCRIDKNILVNKGKYNFIVHVKKTGPGCIDPGFMINYESDGHMDTQDLSNPFLKVYGGGCDINQEHKVTFQVVLTQGKNSFPEFSASLAYFRADQSTQLGKDKEEDGYFKGSSLVFKGFDSKHKSEIWRSTWGDGDLFQEKFYVAPEAVALGLLGLLLPVSLLM
+>sp|Q8NCB2|CAMKV_HUMAN CaM kinase-like vesicle-associated protein OS=Homo sapiens OX=9606 GN=CAMKV PE=2 SV=2
+MPFGCVTLGDKKNYNQPSEVTDRYDLGQVIKTEEFCEIFRAKDKTTGKLHTCKKFQKRDGRKVRKAAKNEIGILKMVKHPNILQLVDVFVTRKEYFIFLELATGREVFDWILDQGYYSERDTSNVVRQVLEAVAYLHSLKIVHRNLKLENLVYYNRLKNSKIVISDFHLAKLENGLIKEPCGTPEYLAPEVVGRQRYGRPVDCWAIGVIMYILLSGNPPFYEEVEEDDYENHDKNLFRKILAGDYEFDSPYWDDISQAAKDLVTRLMEVEQDQRITAEEAISHEWISGNAASDKNIKDGVCAQIEKNFARAKWKKAVRVTTLMKRLRAPEQSSTAAAQSASATDTATPGAAGGATAAAASGATSAPEGDAARAAKSDNVAPADRSATPATDGSATPATDGSVTPATDGSITPATDGSVTPATDRSATPATDGRATPATEESTVPTTQSSAMLATKAAATPEPAMAQPDSTAPEGATGQAPPSSKGEEAAGYAQESQREEAS
+>DECOY_sp|Q8NCB2|CAMKV_HUMAN CaM kinase-like vesicle-associated protein OS=Homo sapiens OX=9606 GN=CAMKV PE=2 SV=2
+SAEERQSEQAYGAAEEGKSSPPAQGTAGEPATSDPQAMAPEPTAAAKTALMASSQTTPVTSEETAPTARGDTAPTASRDTAPTVSGDTAPTISGDTAPTVSGDTAPTASGDTAPTASRDAPAVNDSKAARAADGEPASTAGSAAAATAGGAAGPTATDTASASQAAATSSQEPARLRKMLTTVRVAKKWKARAFNKEIQACVGDKINKDSAANGSIWEHSIAEEATIRQDQEVEMLRTVLDKAAQSIDDWYPSDFEYDGALIKRFLNKDHNEYDDEEVEEYFPPNGSLLIYMIVGIAWCDVPRGYRQRGVVEPALYEPTGCPEKILGNELKALHFDSIVIKSNKLRNYYVLNELKLNRHVIKLSHLYAVAELVQRVVNSTDRESYYGQDLIWDFVERGTALELFIFYEKRTVFVDVLQLINPHKVMKLIGIENKAAKRVKRGDRKQFKKCTHLKGTTKDKARFIECFEETKIVQGLDYRDTVESPQNYNKKDGLTVCGFPM
+>sp|P49913|CAMP_HUMAN Cathelicidin antimicrobial peptide OS=Homo sapiens OX=9606 GN=CAMP PE=1 SV=1
+MKTQRDGHSLGRWSLVLLLLGLVMPLAIIAQVLSYKEAVLRAIDGINQRSSDANLYRLLDLDPRPTMDGDPDTPKPVSFTVKETVCPRTTQQSPEDCDFKKDGLVKRCMGTVTLNQARGSFDISCDKDNKRFALLGDFFRKSKEKIGKEFKRIVQRIKDFLRNLVPRTES
+>DECOY_sp|P49913|CAMP_HUMAN Cathelicidin antimicrobial peptide OS=Homo sapiens OX=9606 GN=CAMP PE=1 SV=1
+SETRPVLNRLFDKIRQVIRKFEKGIKEKSKRFFDGLLAFRKNDKDCSIDFSGRAQNLTVTGMCRKVLGDKKFDCDEPSQQTTRPCVTEKVTFSVPKPTDPDGDMTPRPDLDLLRYLNADSSRQNIGDIARLVAEKYSLVQAIIALPMVLGLLLLVLSWRGLSHGDRQTKM
+>sp|Q6MZZ7|CAN13_HUMAN Calpain-13 OS=Homo sapiens OX=9606 GN=CAPN13 PE=1 SV=2
+MAYYQEPSVETSIIKFKDQDFTTLRDHCLSMGRTFKDETFPAADSSIGQKLLQEKRLSNVIWKRPQDLPGGPPHFILDDISRFDIQQGGAADCWFLAALGSLTQNPQYRQKILMVQSFSHQYAGIFRFRFWQCGQWVEVVIDDRLPVQGDKCLFVRPRHQNQEFWPCLLEKAYAKLLGSYSDLHYGFLEDALVDLTGGVITNIHLHSSPVDLVKAVKTATKAGSLITCATPSGPTDTAQAMENGLVSLHAYTVTGAEQIQYRRGWEEIISLWNPWGWGEAEWRGRWSDGSQEWEETCDPRKSQLHKKREDGEFWMSCQDFQQKFIAMFICSEIPITLDHGNTLHEGWSQIMFRKQVILGNTAGGPRNDAQFNFSVQEPMEGTNVVVCVTVAVTPSNLKAEDAKFPLDFQVILAGSQRFREKFPPVFFSSFRNTVQSSNNKFRRNFTMTYHLSPGNYVVVAQTRRKSAEFLLRIFLKMPDSDRHLSSHFNLRMKGSPSEHGSQQSIFNRYAQQRLDIDATQLQGLLNQELLTGPPGDMFSLDECRSLVALMELKVNGRLDQEEFARLWKRLVHYQHVFQKVQTSPGVLLSSDLWKAIENTDFLRGIFISRELLHLVTLRYSDSVGRVSFPSLVCFLMRLEAMAKTFRNLSKDGKGLYLTEMEWMSLVMYN
+>DECOY_sp|Q6MZZ7|CAN13_HUMAN Calpain-13 OS=Homo sapiens OX=9606 GN=CAPN13 PE=1 SV=2
+NYMVLSMWEMETLYLGKGDKSLNRFTKAMAELRMLFCVLSPFSVRGVSDSYRLTVLHLLERSIFIGRLFDTNEIAKWLDSSLLVGPSTQVKQFVHQYHVLRKWLRAFEEQDLRGNVKLEMLAVLSRCEDLSFMDGPPGTLLEQNLLGQLQTADIDLRQQAYRNFISQQSGHESPSGKMRLNFHSSLHRDSDPMKLFIRLLFEASKRRTQAVVVYNGPSLHYTMTFNRRFKNNSSQVTNRFSSFFVPPFKERFRQSGALIVQFDLPFKADEAKLNSPTVAVTVCVVVNTGEMPEQVSFNFQADNRPGGATNGLIVQKRFMIQSWGEHLTNGHDLTIPIESCIFMAIFKQQFDQCSMWFEGDERKKHLQSKRPDCTEEWEQSGDSWRGRWEAEGWGWPNWLSIIEEWGRRYQIQEAGTVTYAHLSVLGNEMAQATDTPGSPTACTILSGAKTATKVAKVLDVPSSHLHINTIVGGTLDVLADELFGYHLDSYSGLLKAYAKELLCPWFEQNQHRPRVFLCKDGQVPLRDDIVVEVWQGCQWFRFRFIGAYQHSFSQVMLIKQRYQPNQTLSGLAALFWCDAAGGQQIDFRSIDDLIFHPPGGPLDQPRKWIVNSLRKEQLLKQGISSDAAPFTEDKFTRGMSLCHDRLTTFDQDKFKIISTEVSPEQYYAM
+>sp|O75808|CAN15_HUMAN Calpain-15 OS=Homo sapiens OX=9606 GN=CAPN15 PE=1 SV=1
+MATVGEWSCVRCTFLNPAGQRQCSICEAPRHKPDLNHILRLSVEEQKWPCARCTFRNFLGKEACEVCGFTPEPAPGAAFLPVLNGVLPKPPAILGEPKGSCQEEAGPVRTAGLVATEPARGQCEDKDEEEKEEQEEEEGAAEPRGGWACPRCTLHNTPVASSCSVCGGPRRLSLPRIPPEALVVPEVVAPAGFHVVPAAPPPGLPGEGAEANPPATSQGPAAEPEPPRVPPFSPFSSTLQNNPVPRSRREVPPQLQPPVPEAAQPSPSAGCRGAPQGSGWAGASRLAELLSGKRLSVLEEEATEGGTSRVEAGSSTSGSDIIDLAGDTVRYTPASPSSPDFTTWSCAKCTLRNPTVAPRCSACGCSKLHGFQEHGEPPTHCPDCGADKPSPCGRSCGRVSSAQKAARVLPERPGQWACPACTLLNALRAKHCAACHTPQLLVAQRRGAAPLRRRESMHVEQRRQTDEGEAKALWENIVAFCRENNVSFVDDSFPPGPESVGFPAGDSVQQRVRQWLRPQEINCSVFRDHRATWSVFHTLRPSDILQGLLGNCWFLSALAVLAERPDLVERVMVTRSLCAEGAYQVRLCKDGTWTTVLVDDMLPCDEAGCLLFSQAQRKQLWVALIEKALAKLHGSYFALQAGRAIEGLATLTGAPCESLALQLSSTNPREEPVDTDLIWAKMLSSKEAGFLMGASCGGGNMKVDDSAYESLGLRPRHAYSILDVRDVQGTRLLRLRNPWGRFSWNGSWSDEWPHWPGHLRGELMPHGSSEGVFWMEYGDFVRYFDSVDICKVHSDWQEARVQGCFPSSASAPVGVTALTVLERASLEFALFQEGSRRSDAVDSHLLDLCILVFRATFGSGGHLSLGRLLAHSKRAVKKFVSCDVMLEPGEYAVVCCAFNHWGPPLPGTPAPQASSPSAGVPRASPEPPGHVLAVYSSRLVMVEPVEAQPTTLADAIILLTESRGERHEGREGMTCYYLTHGWAGLIVVVENRHPKAYLHVQCDCTDSFNVVSTRGSLRTQDSVPPLHRQVLVILSQLEGNAGFSITHRLAHRKAAQAFLSDWTASKGTHSPPLTPEVAGLHGPRPL
+>DECOY_sp|O75808|CAN15_HUMAN Calpain-15 OS=Homo sapiens OX=9606 GN=CAPN15 PE=1 SV=1
+LPRPGHLGAVEPTLPPSHTGKSATWDSLFAQAAKRHALRHTISFGANGELQSLIVLVQRHLPPVSDQTRLSGRTSVVNFSDTCDCQVHLYAKPHRNEVVVILGAWGHTLYYCTMGERGEHREGRSETLLIIADALTTPQAEVPEVMVLRSSYVALVHGPPEPSARPVGASPSSAQPAPTGPLPPGWHNFACCVVAYEGPELMVDCSVFKKVARKSHALLRGLSLHGGSGFTARFVLICLDLLHSDVADSRRSGEQFLAFELSARELVTLATVGVPASASSPFCGQVRAEQWDSHVKCIDVSDFYRVFDGYEMWFVGESSGHPMLEGRLHGPWHPWEDSWSGNWSFRGWPNRLRLLRTGQVDRVDLISYAHRPRLGLSEYASDDVKMNGGGCSAGMLFGAEKSSLMKAWILDTDVPEERPNTSSLQLALSECPAGTLTALGEIARGAQLAFYSGHLKALAKEILAVWLQKRQAQSFLLCGAEDCPLMDDVLVTTWTGDKCLRVQYAGEACLSRTVMVREVLDPREALVALASLFWCNGLLGQLIDSPRLTHFVSWTARHDRFVSCNIEQPRLWQRVRQQVSDGAPFGVSEPGPPFSDDVFSVNNERCFAVINEWLAKAEGEDTQRRQEVHMSERRRLPAAGRRQAVLLQPTHCAACHKARLANLLTCAPCAWQGPREPLVRAAKQASSVRGCSRGCPSPKDAGCDPCHTPPEGHEQFGHLKSCGCASCRPAVTPNRLTCKACSWTTFDPSSPSAPTYRVTDGALDIIDSGSTSSGAEVRSTGGETAEEELVSLRKGSLLEALRSAGAWGSGQPAGRCGASPSPQAAEPVPPQLQPPVERRSRPVPNNQLTSSFPSFPPVRPPEPEAAPGQSTAPPNAEAGEGPLGPPPAAPVVHFGAPAVVEPVVLAEPPIRPLSLRRPGGCVSCSSAVPTNHLTCRPCAWGGRPEAAGEEEEQEEKEEEDKDECQGRAPETAVLGATRVPGAEEQCSGKPEGLIAPPKPLVGNLVPLFAAGPAPEPTFGCVECAEKGLFNRFTCRACPWKQEEVSLRLIHNLDPKHRPAECISCQRQGAPNLFTCRVCSWEGVTAM
+>sp|P07384|CAN1_HUMAN Calpain-1 catalytic subunit OS=Homo sapiens OX=9606 GN=CAPN1 PE=1 SV=1
+MSEEIITPVYCTGVSAQVQKQRARELGLGRHENAIKYLGQDYEQLRVRCLQSGTLFRDEAFPPVPQSLGYKDLGPNSSKTYGIKWKRPTELLSNPQFIVDGATRTDICQGALGDCWLLAAIASLTLNDTLLHRVVPHGQSFQNGYAGIFHFQLWQFGEWVDVVVDDLLPIKDGKLVFVHSAEGNEFWSALLEKAYAKVNGSYEALSGGSTSEGFEDFTGGVTEWYELRKAPSDLYQIILKALERGSLLGCSIDISSVLDMEAITFKKLVKGHAYSVTGAKQVNYRGQVVSLIRMRNPWGEVEWTGAWSDSSSEWNNVDPYERDQLRVKMEDGEFWMSFRDFMREFTRLEICNLTPDALKSRTIRKWNTTLYEGTWRRGSTAGGCRNYPATFWVNPQFKIRLDETDDPDDYGDRESGCSFVLALMQKHRRRERRFGRDMETIGFAVYEVPPELVGQPAVHLKRDFFLANASRARSEQFINLREVSTRFRLPPGEYVVVPSTFEPNKEGDFVLRFFSEKSAGTVELDDQIQANLPDEQVLSEEEIDENFKALFRQLAGEDMEISVKELRTILNRIISKHKDLRTKGFSLESCRSMVNLMDRDGNGKLGLVEFNILWNRIRNYLSIFRKFDLDKSGSMSAYEMRMAIESAGFKLNKKLYELIITRYSEPDLAVDFDNFVCCLVRLETMFRFFKTLDTDLDGVVTFDLFKWLQLTMFA
+>DECOY_sp|P07384|CAN1_HUMAN Calpain-1 catalytic subunit OS=Homo sapiens OX=9606 GN=CAPN1 PE=1 SV=1
+AFMTLQLWKFLDFTVVGDLDTDLTKFFRFMTELRVLCCVFNDFDVALDPESYRTIILEYLKKNLKFGASEIAMRMEYASMSGSKDLDFKRFISLYNRIRNWLINFEVLGLKGNGDRDMLNVMSRCSELSFGKTRLDKHKSIIRNLITRLEKVSIEMDEGALQRFLAKFNEDIEEESLVQEDPLNAQIQDDLEVTGASKESFFRLVFDGEKNPEFTSPVVVYEGPPLRFRTSVERLNIFQESRARSANALFFDRKLHVAPQGVLEPPVEYVAFGITEMDRGFRRERRRHKQMLALVFSCGSERDGYDDPDDTEDLRIKFQPNVWFTAPYNRCGGATSGRRWTGEYLTTNWKRITRSKLADPTLNCIELRTFERMFDRFSMWFEGDEMKVRLQDREYPDVNNWESSSDSWAGTWEVEGWPNRMRILSVVQGRYNVQKAGTVSYAHGKVLKKFTIAEMDLVSSIDISCGLLSGRELAKLIIQYLDSPAKRLEYWETVGGTFDEFGESTSGGSLAEYSGNVKAYAKELLASWFENGEASHVFVLKGDKIPLLDDVVVDVWEGFQWLQFHFIGAYGNQFSQGHPVVRHLLTDNLTLSAIAALLWCDGLAGQCIDTRTAGDVIFQPNSLLETPRKWKIGYTKSSNPGLDKYGLSQPVPPFAEDRFLTGSQLCRVRLQEYDQGLYKIANEHRGLGLERARQKQVQASVGTCYVPTIIEESM
+>sp|Q01518|CAP1_HUMAN Adenylyl cyclase-associated protein 1 OS=Homo sapiens OX=9606 GN=CAP1 PE=1 SV=5
+MADMQNLVERLERAVGRLEAVSHTSDMHRGYADSPSKAGAAPYVQAFDSLLAGPVAEYLKISKEIGGDVQKHAEMVHTGLKLERALLVTASQCQQPAENKLSDLLAPISEQIKEVITFREKNRGSKLFNHLSAVSESIQALGWVAMAPKPGPYVKEMNDAAMFYTNRVLKEYKDVDKKHVDWVKAYLSIWTELQAYIKEFHTTGLAWSKTGPVAKELSGLPSGPSAGSCPPPPPPCPPPPPVSTISCSYESASRSSLFAQINQGESITHALKHVSDDMKTHKNPALKAQSGPVRSGPKPFSAPKPQTSPSPKRATKKEPAVLELEGKKWRVENQENVSNLVIEDTELKQVAYIYKCVNTTLQIKGKINSITVDNCKKLGLVFDDVVGIVEIINSKDVKVQVMGKVPTISINKTDGCHAYLSKNSLDCEIVSAKSSEMNVLIPTEGGDFNEFPVPEQFKTLWNGQKLVTTVTEIAG
+>DECOY_sp|Q01518|CAP1_HUMAN Adenylyl cyclase-associated protein 1 OS=Homo sapiens OX=9606 GN=CAP1 PE=1 SV=5
+GAIETVTTVLKQGNWLTKFQEPVPFENFDGGETPILVNMESSKASVIECDLSNKSLYAHCGDTKNISITPVKGMVQVKVDKSNIIEVIGVVDDFVLGLKKCNDVTISNIKGKIQLTTNVCKYIYAVQKLETDEIVLNSVNEQNEVRWKKGELELVAPEKKTARKPSPSTQPKPASFPKPGSRVPGSQAKLAPNKHTKMDDSVHKLAHTISEGQNIQAFLSSRSASEYSCSITSVPPPPPCPPPPPPCSGASPGSPLGSLEKAVPGTKSWALGTTHFEKIYAQLETWISLYAKVWDVHKKDVDKYEKLVRNTYFMAADNMEKVYPGPKPAMAVWGLAQISESVASLHNFLKSGRNKERFTIVEKIQESIPALLDSLKNEAPQQCQSATVLLARELKLGTHVMEAHKQVDGGIEKSIKLYEAVPGALLSDFAQVYPAAGAKSPSDAYGRHMDSTHSVAELRGVARELREVLNQMDAM
+>sp|P20160|CAP7_HUMAN Azurocidin OS=Homo sapiens OX=9606 GN=AZU1 PE=1 SV=3
+MTRLTVLALLAGLLASSRAGSSPLLDIVGGRKARPRQFPFLASIQNQGRHFCGGALIHARFVMTAASCFQSQNPGVSTVVLGAYDLRRRERQSRQTFSISSMSENGYDPQQNLNDLMLLQLDREANLTSSVTILPLPLQNATVEAGTRCQVAGWGSQRSGGRLSRFPRFVNVTVTPEDQCRPNNVCTGVLTRRGGICNGDGGTPLVCEGLAHGVASFSLGPCGRGPDFFTRVALFRDWIDGVLNNPGPGPA
+>DECOY_sp|P20160|CAP7_HUMAN Azurocidin OS=Homo sapiens OX=9606 GN=AZU1 PE=1 SV=3
+APGPGPNNLVGDIWDRFLAVRTFFDPGRGCPGLSFSAVGHALGECVLPTGGDGNCIGGRRTLVGTCVNNPRCQDEPTVTVNVFRPFRSLRGGSRQSGWGAVQCRTGAEVTANQLPLPLITVSSTLNAERDLQLLMLDNLNQQPDYGNESMSSISFTQRSQRERRRLDYAGLVVTSVGPNQSQFCSAATMVFRAHILAGGCFHRGQNQISALFPFQRPRAKRGGVIDLLPSSGARSSALLGALLALVTLRTM
+>sp|Q9ULU8|CAPS1_HUMAN Calcium-dependent secretion activator 1 OS=Homo sapiens OX=9606 GN=CADPS PE=1 SV=3
+MLDPSSSEEESDEIVEEESGKEVLGSAPSGARLSPSRTSEGSAGSAGLGGGGAGAGAGVGAGGGGGSGASSGGGAGGLQPSSRAGGGRPSSPSPSVVSEKEKEELERLQKEEEERKKRLQLYVFVMRCIAYPFNAKQPTDMARRQQKISKQQLQTVKDRFQAFLNGETQIMADEAFMNAVQSYYEVFLKSDRVARMVQSGGCSANDSREVFKKHIEKRVRSLPEIDGLSKETVLSSWMAKFDAIYRGEEDPRKQQARMTASAASELILSKEQLYEMFQNILGIKKFEHQLLYNACQLDNPDEQAAQIRRELDGRLQMADQIARERKFPKFVSKEMENMYIEELKSSVNLLMANLESMPVSKGGEFKLQKLKRSHNASIIDMGEESENQLSKSDVVLSFSLEVVIMEVQGLKSLAPNRIVYCTMEVEGGEKLQTDQAEASKPTWGTQGDFSTTHALPAVKVKLFTESTGVLALEDKELGRVILHPTPNSPKQSEWHKMTVSKNCPDQDLKIKLAVRMDKPQNMKHSGYLWAIGKNVWKRWKKRFFVLVQVSQYTFAMCSYREKKAEPQELLQLDGYTVDYTDPQPGLEGGRAFFNAVKEGDTVIFASDDEQDRILWVQAMYRATGQSHKPVPPTQVQKLNAKGGNVPQLDAPISQFYADRAQKHGMDEFISSNPCNFDHASLFEMVQRLTLDHRLNDSYSCLGWFSPGQVFVLDEYCARNGVRGCHRHLCYLRDLLERAENGAMIDPTLLHYSFAFCASHVHGNRPDGIGTVTVEEKERFEEIKERLRVLLENQITHFRYCFPFGRPEGALKATLSLLERVLMKDIVTPVPQEEVKTVIRKCLEQAALVNYSRLSEYAKIEENQKDAENVGRLITPAKKLEDTIRLAELVIEVLQQNEEHHAEPHVDKGEAFAWWSDLMVEHAETFLSLFAVDMDAALEVQPPDTWDSFPLFQLLNDFLRTDYNLCNGKFHKHLQDLFAPLVVRYVDLMESSIAQSIHRGFERESWEPVKSLTSNLPNVNLPNVNLPKVPNLPVNIPLGIPQMPTFSAPSWMAAIYDADNGSGTSEDLFWKLDALQTFIRDLHWPEEEFGKHLEQRLKLMASDMIESCVKRTRIAFEVKLQKTSRSTDFRVPQSICTMFNVMVDAKAQSTKLCSMEMGQEHQYHSKIDELIEETVKEMITLLVAKFVTILEGVLAKLSRYDEGTLFSSFLSFTVKAASKYVDVPKPGMDVADAYVTFVRHSQDVLRDKVNEEMYIERLFDQWYNSSMNVICTWLTDRMDLQLHIYQLKTLIRMVKKTYRDFRLQGVLDSTLNSKTYETIRNRLTVEEATASVSEGGGLQGISMKDSDEEDEEDD
+>DECOY_sp|Q9ULU8|CAPS1_HUMAN Calcium-dependent secretion activator 1 OS=Homo sapiens OX=9606 GN=CADPS PE=1 SV=3
+DDEEDEEDSDKMSIGQLGGGESVSATAEEVTLRNRITEYTKSNLTSDLVGQLRFDRYTKKVMRILTKLQYIHLQLDMRDTLWTCIVNMSSNYWQDFLREIYMEENVKDRLVDQSHRVFTVYADAVDMGPKPVDVYKSAAKVTFSLFSSFLTGEDYRSLKALVGELITVFKAVLLTIMEKVTEEILEDIKSHYQHEQGMEMSCLKTSQAKADVMVNFMTCISQPVRFDTSRSTKQLKVEFAIRTRKVCSEIMDSAMLKLRQELHKGFEEEPWHLDRIFTQLADLKWFLDESTGSGNDADYIAAMWSPASFTPMQPIGLPINVPLNPVKPLNVNPLNVNPLNSTLSKVPEWSEREFGRHISQAISSEMLDVYRVVLPAFLDQLHKHFKGNCLNYDTRLFDNLLQFLPFSDWTDPPQVELAADMDVAFLSLFTEAHEVMLDSWWAFAEGKDVHPEAHHEENQQLVEIVLEALRITDELKKAPTILRGVNEADKQNEEIKAYESLRSYNVLAAQELCKRIVTKVEEQPVPTVIDKMLVRELLSLTAKLAGEPRGFPFCYRFHTIQNELLVRLREKIEEFREKEEVTVTGIGDPRNGHVHSACFAFSYHLLTPDIMAGNEARELLDRLYCLHRHCGRVGNRACYEDLVFVQGPSFWGLCSYSDNLRHDLTLRQVMEFLSAHDFNCPNSSIFEDMGHKQARDAYFQSIPADLQPVNGGKANLKQVQTPPVPKHSQGTARYMAQVWLIRDQEDDSAFIVTDGEKVANFFARGGELGPQPDTYDVTYGDLQLLEQPEAKKERYSCMAFTYQSVQVLVFFRKKWRKWVNKGIAWLYGSHKMNQPKDMRVALKIKLDQDPCNKSVTMKHWESQKPSNPTPHLIVRGLEKDELALVGTSETFLKVKVAPLAHTTSFDGQTGWTPKSAEAQDTQLKEGGEVEMTCYVIRNPALSKLGQVEMIVVELSFSLVVDSKSLQNESEEGMDIISANHSRKLKQLKFEGGKSVPMSELNAMLLNVSSKLEEIYMNEMEKSVFKPFKRERAIQDAMQLRGDLERRIQAAQEDPNDLQCANYLLQHEFKKIGLINQFMEYLQEKSLILESAASATMRAQQKRPDEEGRYIADFKAMWSSLVTEKSLGDIEPLSRVRKEIHKKFVERSDNASCGGSQVMRAVRDSKLFVEYYSQVANMFAEDAMIQTEGNLFAQFRDKVTQLQQKSIKQQRRAMDTPQKANFPYAICRMVFVYLQLRKKREEEEKQLRELEEKEKESVVSPSPSSPRGGGARSSPQLGGAGGGSSAGSGGGGGAGVGAGAGAGGGGLGASGASGESTRSPSLRAGSPASGLVEKGSEEEVIEDSEEESSSPDLM
+>sp|Q9BXL7|CAR11_HUMAN Caspase recruitment domain-containing protein 11 OS=Homo sapiens OX=9606 GN=CARD11 PE=1 SV=3
+MPGGGPEMDDYMETLKDEEDALWENVECNRHMLSRYINPAKLTPYLRQCKVIDEQDEDEVLNAPMLPSKINRAGRLLDILHTKGQRGYVVFLESLEFYYPELYKLVTGKEPTRRFSTIVVEEGHEGLTHFLMNEVIKLQQQMKAKDLQRCELLARLRQLEDEKKQMTLTRVELLTFQERYYKMKEERDSYNDELVKVKDDNYNLAMRYAQLSEEKNMAVMRSRDLQLEIDQLKHRLNKMEEECKLERNQSLKLKNDIENRPKKEQVLELERENEMLKTKNQELQSIIQAGKRSLPDSDKAILDILEHDRKEALEDRQELVNRIYNLQEEARQAEELRDKYLEEKEDLELKCSTLGKDCEMYKHRMNTVMLQLEEVERERDQAFHSRDEAQTQYSQCLIEKDKYRKQIRELEEKNDEMRIEMVRREACIVNLESKLRRLSKDSNNLDQSLPRNLPVTIISQDFGDASPRTNGQEADDSSTSEESPEDSKYFLPYHPPQRRMNLKGIQLQRAKSPISLKRTSDFQAKGHEEEGTDASPSSCGSLPITNSFTKMQPPRSRSSIMSITAEPPGNDSIVRRYKEDAPHRSTVEEDNDSGGFDALDLDDDSHERYSFGPSSIHSSSSSHQSEGLDAYDLEQVNLMFRKFSLERPFRPSVTSVGHVRGPGPSVQHTTLNGDSLTSQLTLLGGNARGSFVHSVKPGSLAEKAGLREGHQLLLLEGCIRGERQSVPLDTCTKEEAHWTIQRCSGPVTLHYKVNHEGYRKLVKDMEDGLITSGDSFYIRLNLNISSQLDACTMSLKCDDVVHVRDTMYQDRHEWLCARVDPFTDHDLDMGTIPSYSRAQQLLLVKLQRLMHRGSREEVDGTHHTLRALRNTLQPEEALSTSDPRVSPRLSRASFLFGQLLQFVSRSENKYKRMNSNERVRIISGSPLGSLARSSLDATKLLTEKQEELDPESELGKNLSLIPYSLVRAFYCERRRPVLFTPTVLAKTLVQRLLNSGGAMEFTICKSDIVTRDEFLRRQKTETIIYSREKNPNAFECIAPANIEAVAAKNKHCLLEAGIGCTRDLIKSNIYPIVLFIRVCEKNIKRFRKLLPRPETEEEFLRVCRLKEKELEALPCLYATVEPDMWGSVEELLRVVKDKIGEEQRKTIWVDEDQL
+>DECOY_sp|Q9BXL7|CAR11_HUMAN Caspase recruitment domain-containing protein 11 OS=Homo sapiens OX=9606 GN=CARD11 PE=1 SV=3
+LQDEDVWITKRQEEGIKDKVVRLLEEVSGWMDPEVTAYLCPLAELEKEKLRCVRLFEEETEPRPLLKRFRKINKECVRIFLVIPYINSKILDRTCGIGAELLCHKNKAAVAEINAPAICEFANPNKERSYIITETKQRRLFEDRTVIDSKCITFEMAGGSNLLRQVLTKALVTPTFLVPRRRECYFARVLSYPILSLNKGLESEPDLEEQKETLLKTADLSSRALSGLPSGSIIRVRENSNMRKYKNESRSVFQLLQGFLFSARSLRPSVRPDSTSLAEEPQLTNRLARLTHHTGDVEERSGRHMLRQLKVLLLQQARSYSPITGMDLDHDTFPDVRACLWEHRDQYMTDRVHVVDDCKLSMTCADLQSSINLNLRIYFSDGSTILGDEMDKVLKRYGEHNVKYHLTVPGSCRQITWHAEEKTCTDLPVSQREGRICGELLLLQHGERLGAKEALSGPKVSHVFSGRANGGLLTLQSTLSDGNLTTHQVSPGPGRVHGVSTVSPRFPRELSFKRFMLNVQELDYADLGESQHSSSSSHISSPGFSYREHSDDDLDLADFGGSDNDEEVTSRHPADEKYRRVISDNGPPEATISMISSRSRPPQMKTFSNTIPLSGCSSPSADTGEEEHGKAQFDSTRKLSIPSKARQLQIGKLNMRRQPPHYPLFYKSDEPSEESTSSDDAEQGNTRPSADGFDQSIITVPLNRPLSQDLNNSDKSLRRLKSELNVICAERRVMEIRMEDNKEELERIQKRYKDKEILCQSYQTQAEDRSHFAQDREREVEELQLMVTNMRHKYMECDKGLTSCKLELDEKEELYKDRLEEAQRAEEQLNYIRNVLEQRDELAEKRDHELIDLIAKDSDPLSRKGAQIISQLEQNKTKLMENERELELVQEKKPRNEIDNKLKLSQNRELKCEEEMKNLRHKLQDIELQLDRSRMVAMNKEESLQAYRMALNYNDDKVKVLEDNYSDREEKMKYYREQFTLLEVRTLTMQKKEDELQRLRALLECRQLDKAKMQQQLKIVENMLFHTLGEHGEEVVITSFRRTPEKGTVLKYLEPYYFELSELFVVYGRQGKTHLIDLLRGARNIKSPLMPANLVEDEDQEDIVKCQRLYPTLKAPNIYRSLMHRNCEVNEWLADEEDKLTEMYDDMEPGGGPM
+>sp|Q16568|CART_HUMAN Cocaine- and amphetamine-regulated transcript protein OS=Homo sapiens OX=9606 GN=CARTPT PE=1 SV=1
+MESSRVRLLPLLGAALLLMLPLLGTRAQEDAELQPRALDIYSAVDDASHEKELIEALQEVLKKLKSKRVPIYEKKYGQVPMCDAGEQCAVRKGARIGKLCDCPRGTSCNSFLLKCL
+>DECOY_sp|Q16568|CART_HUMAN Cocaine- and amphetamine-regulated transcript protein OS=Homo sapiens OX=9606 GN=CARTPT PE=1 SV=1
+LCKLLFSNCSTGRPCDCLKGIRAGKRVACQEGADCMPVQGYKKEYIPVRKSKLKKLVEQLAEILEKEHSADDVASYIDLARPQLEADEQARTGLLPLMLLLAAGLLPLLRVRSSEM
+>sp|Q6P4E1|CASC4_HUMAN Protein CASC4 OS=Homo sapiens OX=9606 GN=CASC4 PE=1 SV=1
+MVGFGANRRAGRLPSLVLVVLLVVIVVLAFNYWSISSRHVLLQEEVAELQGQVQRTEVARGRLEKRNSDLLLLVDTHKKQIDQKEADYGRLSSRLQAREGLGKRCEDDKVKLQNNISYQMADIHHLKEQLAELRQEFLRQEDQLQDYRKNNTYLVKRLEYESFQCGQQMKELRAQHEENIKKLADQFLEEQKQETQKIQSNDGKELDINNQVVPKNIPKVAENVADKNEEPSSNHIPHGKEQIKRGGDAGMPGIEENDLAKVDDLPPALRKPPISVSQHESHQAISHLPTGQPLSPNMPPDSHINHNGNPGTSKQNPSSPLQRLIPGSNLDSEPRIQTDILKQATKDRVSDFHKLKQSRFFDENESPVDPQHGSKLADYNGDDGNVGEYEADKQAELAYNEEEDGDGGEEDVQGERGPGLHAITMKPTSKFFG
+>DECOY_sp|Q6P4E1|CASC4_HUMAN Protein CASC4 OS=Homo sapiens OX=9606 GN=CASC4 PE=1 SV=1
+GFFKSTPKMTIAHLGPGREGQVDEEGGDGDEEENYALEAQKDAEYEGVNGDDGNYDALKSGHQPDVPSENEDFFRSQKLKHFDSVRDKTAQKLIDTQIRPESDLNSGPILRQLPSSPNQKSTGPNGNHNIHSDPPMNPSLPQGTPLHSIAQHSEHQSVSIPPKRLAPPLDDVKALDNEEIGPMGADGGRKIQEKGHPIHNSSPEENKDAVNEAVKPINKPVVQNNIDLEKGDNSQIKQTEQKQEELFQDALKKINEEHQARLEKMQQGCQFSEYELRKVLYTNNKRYDQLQDEQRLFEQRLEALQEKLHHIDAMQYSINNQLKVKDDECRKGLGERAQLRSSLRGYDAEKQDIQKKHTDVLLLLDSNRKELRGRAVETRQVQGQLEAVEEQLLVHRSSISWYNFALVVIVVLLVVLVLSPLRGARRNAGFGVM
+>sp|P07498|CASK_HUMAN Kappa-casein OS=Homo sapiens OX=9606 GN=CSN3 PE=1 SV=3
+MKSFLLVVNALALTLPFLAVEVQNQKQPACHENDERPFYQKTAPYVPMYYVPNSYPYYGTNLYQRRPAIAINNPYVPRTYYANPAVVRPHAQIPQRQYLPNSHPPTVVRRPNLHPSFIAIPPKKIQDKIIIPTINTIATVEPTPAPATEPTVDSVVTPEAFSESIITSTPETTTVAVTPPTA
+>DECOY_sp|P07498|CASK_HUMAN Kappa-casein OS=Homo sapiens OX=9606 GN=CSN3 PE=1 SV=3
+ATPPTVAVTTTEPTSTIISESFAEPTVVSDVTPETAPAPTPEVTAITNITPIIIKDQIKKPPIAIFSPHLNPRRVVTPPHSNPLYQRQPIQAHPRVVAPNAYYTRPVYPNNIAIAPRRQYLNTGYYPYSNPVYYMPVYPATKQYFPREDNEHCAPQKQNQVEVALFPLTLALANVVLLFSKM
+>sp|P42574|CASP3_HUMAN Caspase-3 OS=Homo sapiens OX=9606 GN=CASP3 PE=1 SV=2
+MENTENSVDSKSIKNLEPKIIHGSESMDSGISLDNSYKMDYPEMGLCIIINNKNFHKSTGMTSRSGTDVDAANLRETFRNLKYEVRNKNDLTREEIVELMRDVSKEDHSKRSSFVCVLLSHGEEGIIFGTNGPVDLKKITNFFRGDRCRSLTGKPKLFIIQACRGTELDCGIETDSGVDDDMACHKIPVEADFLYAYSTAPGYYSWRNSKDGSWFIQSLCAMLKQYADKLEFMHILTRVNRKVATEFESFSFDATFHAKKQIPCIVSMLTKELYFYH
+>DECOY_sp|P42574|CASP3_HUMAN Caspase-3 OS=Homo sapiens OX=9606 GN=CASP3 PE=1 SV=2
+HYFYLEKTLMSVICPIQKKAHFTADFSFSEFETAVKRNVRTLIHMFELKDAYQKLMACLSQIFWSGDKSNRWSYYGPATSYAYLFDAEVPIKHCAMDDDVGSDTEIGCDLETGRCAQIIFLKPKGTLSRCRDGRFFNTIKKLDVPGNTGFIIGEEGHSLLVCVFSSRKSHDEKSVDRMLEVIEERTLDNKNRVEYKLNRFTERLNAADVDTGSRSTMGTSKHFNKNNIIICLGMEPYDMKYSNDLSIGSDMSESGHIIKPELNKISKSDVSNETNEM
+>sp|P31944|CASPE_HUMAN Caspase-14 OS=Homo sapiens OX=9606 GN=CASP14 PE=1 SV=2
+MSNPRSLEEEKYDMSGARLALILCVTKAREGSEEDLDALEHMFRQLRFESTMKRDPTAEQFQEELEKFQQAIDSREDPVSCAFVVLMAHGREGFLKGEDGEMVKLENLFEALNNKNCQALRAKPKVYIIQACRGEQRDPGETVGGDEIVMVIKDSPQTIPTYTDALHVYSTVEGYIAYRHDQKGSCFIQTLVDVFTKRKGHILELLTEVTRRMAEAELVQEGKARKTNPEIQSTLRKRLYLQ
+>DECOY_sp|P31944|CASPE_HUMAN Caspase-14 OS=Homo sapiens OX=9606 GN=CASP14 PE=1 SV=2
+QLYLRKRLTSQIEPNTKRAKGEQVLEAEAMRRTVETLLELIHGKRKTFVDVLTQIFCSGKQDHRYAIYGEVTSYVHLADTYTPITQPSDKIVMVIEDGGVTEGPDRQEGRCAQIIYVKPKARLAQCNKNNLAEFLNELKVMEGDEGKLFGERGHAMLVVFACSVPDERSDIAQQFKELEEQFQEATPDRKMTSEFRLQRFMHELADLDEESGERAKTVCLILALRAGSMDYKEEELSRPNSM
+>sp|A6NHX0|CAST2_HUMAN Cytosolic arginine sensor for mTORC1 subunit 2 OS=Homo sapiens OX=9606 GN=CASTOR2 PE=1 SV=3
+MELHILEHRLQVASVAKESIPLFTYGLIKLAFLSSKTRCKFFSLTETPEDYTIIVDEEGFLELPSSEHLSVADATWLALNVVSGGGSFSSSQPIGVTKIAKSVIAPLADQNISVFMLSTYQTDFILVRERDLPFVTHTLSSEFTILRVVNGETVAAENLGITNGFVKPKLVQRPVIHPLSSPSNRFCVTSLDPDTLPAVATLLMDVMFYSNGVKDPMATGDDCGHIRFFSFSLIEGYISLVMDVQTQQRFPSNLLFTSASGELWKMVRIGGQPLGFDECGIVAQISEPLAAADIPAYYISTFKFDHALVPEENINGVISALKVSQAEKH
+>DECOY_sp|A6NHX0|CAST2_HUMAN Cytosolic arginine sensor for mTORC1 subunit 2 OS=Homo sapiens OX=9606 GN=CASTOR2 PE=1 SV=3
+HKEAQSVKLASIVGNINEEPVLAHDFKFTSIYYAPIDAAALPESIQAVIGCEDFGLPQGGIRVMKWLEGSASTFLLNSPFRQQTQVDMVLSIYGEILSFSFFRIHGCDDGTAMPDKVGNSYFMVDMLLTAVAPLTDPDLSTVCFRNSPSSLPHIVPRQVLKPKVFGNTIGLNEAAVTEGNVVRLITFESSLTHTVFPLDRERVLIFDTQYTSLMFVSINQDALPAIVSKAIKTVGIPQSSSFSGGGSVVNLALWTADAVSLHESSPLELFGEEDVIITYDEPTETLSFFKCRTKSSLFALKILGYTFLPISEKAVSAVQLRHELIHLEM
+>sp|P07858|CATB_HUMAN Cathepsin B OS=Homo sapiens OX=9606 GN=CTSB PE=1 SV=3
+MWQLWASLCCLLVLANARSRPSFHPLSDELVNYVNKRNTTWQAGHNFYNVDMSYLKRLCGTFLGGPKPPQRVMFTEDLKLPASFDAREQWPQCPTIKEIRDQGSCGSCWAFGAVEAISDRICIHTNAHVSVEVSAEDLLTCCGSMCGDGCNGGYPAEAWNFWTRKGLVSGGLYESHVGCRPYSIPPCEHHVNGSRPPCTGEGDTPKCSKICEPGYSPTYKQDKHYGYNSYSVSNSEKDIMAEIYKNGPVEGAFSVYSDFLLYKSGVYQHVTGEMMGGHAIRILGWGVENGTPYWLVANSWNTDWGDNGFFKILRGQDHCGIESEVVAGIPRTDQYWEKI
+>DECOY_sp|P07858|CATB_HUMAN Cathepsin B OS=Homo sapiens OX=9606 GN=CTSB PE=1 SV=3
+IKEWYQDTRPIGAVVESEIGCHDQGRLIKFFGNDGWDTNWSNAVLWYPTGNEVGWGLIRIAHGGMMEGTVHQYVGSKYLLFDSYVSFAGEVPGNKYIEAMIDKESNSVSYSNYGYHKDQKYTPSYGPECIKSCKPTDGEGTCPPRSGNVHHECPPISYPRCGVHSEYLGGSVLGKRTWFNWAEAPYGGNCGDGCMSGCCTLLDEASVEVSVHANTHICIRDSIAEVAGFAWCSGCSGQDRIEKITPCQPWQERADFSAPLKLDETFMVRQPPKPGGLFTGCLRKLYSMDVNYFNHGAQWTTNRKNVYNVLEDSLPHFSPRSRANALVLLCCLSAWLQWM
+>sp|P53634|CATC_HUMAN Dipeptidyl peptidase 1 OS=Homo sapiens OX=9606 GN=CTSC PE=1 SV=2
+MGAGPSLLLAALLLLLSGDGAVRCDTPANCTYLDLLGTWVFQVGSSGSQRDVNCSVMGPQEKKVVVYLQKLDTAYDDLGNSGHFTIIYNQGFEIVLNDYKWFAFFKYKEEGSKVTTYCNETMTGWVHDVLGRNWACFTGKKVGTASENVYVNIAHLKNSQEKYSNRLYKYDHNFVKAINAIQKSWTATTYMEYETLTLGDMIRRSGGHSRKIPRPKPAPLTAEIQQKILHLPTSWDWRNVHGINFVSPVRNQASCGSCYSFASMGMLEARIRILTNNSQTPILSPQEVVSCSQYAQGCEGGFPYLIAGKYAQDFGLVEEACFPYTGTDSPCKMKEDCFRYYSSEYHYVGGFYGGCNEALMKLELVHHGPMAVAFEVYDDFLHYKKGIYHHTGLRDPFNPFELTNHAVLLVGYGTDSASGMDYWIVKNSWGTGWGENGYFRIRRGTDECAIESIAVAATPIPKL
+>DECOY_sp|P53634|CATC_HUMAN Dipeptidyl peptidase 1 OS=Homo sapiens OX=9606 GN=CTSC PE=1 SV=2
+LKPIPTAAVAISEIACEDTGRRIRFYGNEGWGTGWSNKVIWYDMGSASDTGYGVLLVAHNTLEFPNFPDRLGTHHYIGKKYHLFDDYVEFAVAMPGHHVLELKMLAENCGGYFGGVYHYESSYYRFCDEKMKCPSDTGTYPFCAEEVLGFDQAYKGAILYPFGGECGQAYQSCSVVEQPSLIPTQSNNTLIRIRAELMGMSAFSYCSGCSAQNRVPSVFNIGHVNRWDWSTPLHLIKQQIEATLPAPKPRPIKRSHGGSRRIMDGLTLTEYEMYTTATWSKQIANIAKVFNHDYKYLRNSYKEQSNKLHAINVYVNESATGVKKGTFCAWNRGLVDHVWGTMTENCYTTVKSGEEKYKFFAFWKYDNLVIEFGQNYIITFHGSNGLDDYATDLKQLYVVVKKEQPGMVSCNVDRQSGSSGVQFVWTGLLDLYTCNAPTDCRVAGDGSLLLLLAALLLSPGAGM
+>sp|P08311|CATG_HUMAN Cathepsin G OS=Homo sapiens OX=9606 GN=CTSG PE=1 SV=2
+MQPLLLLLAFLLPTGAEAGEIIGGRESRPHSRPYMAYLQIQSPAGQSRCGGFLVREDFVLTAAHCWGSNINVTLGAHNIQRRENTQQHITARRAIRHPQYNQRTIQNDIMLLQLSRRVRRNRNVNPVALPRAQEGLRPGTLCTVAGWGRVSMRRGTDTLREVQLRVQRDRQCLRIFGSYDPRRQICVGDRRERKAAFKGDSGGPLLCNNVAHGIVSYGKSSGVPPEVFTRVSSFLPWIRTTMRSFKLLDQMETPL
+>DECOY_sp|P08311|CATG_HUMAN Cathepsin G OS=Homo sapiens OX=9606 GN=CTSG PE=1 SV=2
+LPTEMQDLLKFSRMTTRIWPLFSSVRTFVEPPVGSSKGYSVIGHAVNNCLLPGGSDGKFAAKRERRDGVCIQRRPDYSGFIRLCQRDRQVRLQVERLTDTGRRMSVRGWGAVTCLTGPRLGEQARPLAVPNVNRNRRVRRSLQLLMIDNQITRQNYQPHRIARRATIHQQTNERRQINHAGLTVNINSGWCHAATLVFDERVLFGGCRSQGAPSQIQLYAMYPRSHPRSERGGIIEGAEAGTPLLFALLLLLPQM
+>sp|P43235|CATK_HUMAN Cathepsin K OS=Homo sapiens OX=9606 GN=CTSK PE=1 SV=1
+MWGLKVLLLPVVSFALYPEEILDTHWELWKKTHRKQYNNKVDEISRRLIWEKNLKYISIHNLEASLGVHTYELAMNHLGDMTSEEVVQKMTGLKVPLSHSRSNDTLYIPEWEGRAPDSVDYRKKGYVTPVKNQGQCGSCWAFSSVGALEGQLKKKTGKLLNLSPQNLVDCVSENDGCGGGYMTNAFQYVQKNRGIDSEDAYPYVGQEESCMYNPTGKAAKCRGYREIPEGNEKALKRAVARVGPVSVAIDASLTSFQFYSKGVYYDESCNSDNLNHAVLAVGYGIQKGNKHWIIKNSWGENWGNKGYILMARNKNNACGIANLASFPKM
+>DECOY_sp|P43235|CATK_HUMAN Cathepsin K OS=Homo sapiens OX=9606 GN=CTSK PE=1 SV=1
+MKPFSALNAIGCANNKNRAMLIYGKNGWNEGWSNKIIWHKNGKQIGYGVALVAHNLNDSNCSEDYYVGKSYFQFSTLSADIAVSVPGVRAVARKLAKENGEPIERYGRCKAAKGTPNYMCSEEQGVYPYADESDIGRNKQVYQFANTMYGGGCGDNESVCDVLNQPSLNLLKGTKKKLQGELAGVSSFAWCSGCQGQNKVPTVYGKKRYDVSDPARGEWEPIYLTDNSRSHSLPVKLGTMKQVVEESTMDGLHNMALEYTHVGLSAELNHISIYKLNKEWILRRSIEDVKNNYQKRHTKKWLEWHTDLIEEPYLAFSVVPLLLVKLGWM
+>sp|P25774|CATS_HUMAN Cathepsin S OS=Homo sapiens OX=9606 GN=CTSS PE=1 SV=3
+MKRLVCVLLVCSSAVAQLHKDPTLDHHWHLWKKTYGKQYKEKNEEAVRRLIWEKNLKFVMLHNLEHSMGMHSYDLGMNHLGDMTSEEVMSLMSSLRVPSQWQRNITYKSNPNRILPDSVDWREKGCVTEVKYQGSCGACWAFSAVGALEAQLKLKTGKLVSLSAQNLVDCSTEKYGNKGCNGGFMTTAFQYIIDNKGIDSDASYPYKAMDQKCQYDSKYRAATCSKYTELPYGREDVLKEAVANKGPVSVGVDARHPSFFLYRSGVYYEPSCTQNVNHGVLVVGYGDLNGKEYWLVKNSWGHNFGEEGYIRMARNKGNHCGIASFPSYPEI
+>DECOY_sp|P25774|CATS_HUMAN Cathepsin S OS=Homo sapiens OX=9606 GN=CTSS PE=1 SV=3
+IEPYSPFSAIGCHNGKNRAMRIYGEEGFNHGWSNKVLWYEKGNLDGYGVVLVGHNVNQTCSPEYYVGSRYLFFSPHRADVGVSVPGKNAVAEKLVDERGYPLETYKSCTAARYKSDYQCKQDMAKYPYSADSDIGKNDIIYQFATTMFGGNCGKNGYKETSCDVLNQASLSVLKGTKLKLQAELAGVASFAWCAGCSGQYKVETVCGKERWDVSDPLIRNPNSKYTINRQWQSPVRLSSMLSMVEESTMDGLHNMGLDYSHMGMSHELNHLMVFKLNKEWILRRVAEENKEKYQKGYTKKWLHWHHDLTPDKHLQAVASSCVLLVCVLRKM
+>sp|Q9UBR2|CATZ_HUMAN Cathepsin Z OS=Homo sapiens OX=9606 GN=CTSZ PE=1 SV=1
+MARRGPGWRPLLLLVLLAGAAQGGLYFRRGQTCYRPLRGDGLAPLGRSTYPRPHEYLSPADLPKSWDWRNVDGVNYASITRNQHIPQYCGSCWAHASTSAMADRINIKRKGAWPSTLLSVQNVIDCGNAGSCEGGNDLSVWDYAHQHGIPDETCNNYQAKDQECDKFNQCGTCNEFKECHAIRNYTLWRVGDYGSLSGREKMMAEIYANGPISCGIMATERLANYTGGIYAEYQDTTYINHVVSVAGWGISDGTEYWIVRNSWGEPWGERGWLRIVTSTYKDGKGARYNLAIEEHCTFGDPIV
+>DECOY_sp|Q9UBR2|CATZ_HUMAN Cathepsin Z OS=Homo sapiens OX=9606 GN=CTSZ PE=1 SV=1
+VIPDGFTCHEEIALNYRAGKGDKYTSTVIRLWGREGWPEGWSNRVIWYETGDSIGWGAVSVVHNIYTTDQYEAYIGGTYNALRETAMIGCSIPGNAYIEAMMKERGSLSGYDGVRWLTYNRIAHCEKFENCTGCQNFKDCEQDKAQYNNCTEDPIGHQHAYDWVSLDNGGECSGANGCDIVNQVSLLTSPWAGKRKINIRDAMASTSAHAWCSGCYQPIHQNRTISAYNVGDVNRWDWSKPLDAPSLYEHPRPYTSRGLPALGDGRLPRYCTQGRRFYLGGQAAGALLVLLLLPRWGPGRRAM
+>sp|O95810|CAVN2_HUMAN Caveolae-associated protein 2 OS=Homo sapiens OX=9606 GN=CAVIN2 PE=1 SV=3
+MGEDAAQAEKFQHPGSDMRQEKPSSPSPMPSSTPSPSLNLGNTEEAIRDNSQVNAVTVLTLLDKLVNMLDAVQENQHKMEQRQISLEGSVKGIQNDLTKLSKYQASTSNTVSKLLEKSRKVSAHTRAVKERMDRQCAQVKRLENNHAQLLRRNHFKVLIFQEENEIPASVFVKQPVSGAVEGKEELPDENKSLEETLHTVDLSSDDDLPHDEEALEDSAEEKVEESRAEKIKRSSLKKVDSLKKAFSRQNIEKKMNKLGTKIVSVERREKIKKSLTSNHQKISSGKSSPFKVSPLTFGRKKVREGESHAENETKSEDLPSSEQMPNDQEEESFAEGHSEASLASALVEGEIAEEAAEKATSRGSNSGMDSNIDLTIVEDEEEESVALEQAQKVRYEGSYALTSEEAERSDGDPVQPAVLQVHQTS
+>DECOY_sp|O95810|CAVN2_HUMAN Caveolae-associated protein 2 OS=Homo sapiens OX=9606 GN=CAVIN2 PE=1 SV=3
+STQHVQLVAPQVPDGDSREAEESTLAYSGEYRVKQAQELAVSEEEEDEVITLDINSDMGSNSGRSTAKEAAEEAIEGEVLASALSAESHGEAFSEEEQDNPMQESSPLDESKTENEAHSEGERVKKRGFTLPSVKFPSSKGSSIKQHNSTLSKKIKERREVSVIKTGLKNMKKEINQRSFAKKLSDVKKLSSRKIKEARSEEVKEEASDELAEEDHPLDDDSSLDVTHLTEELSKNEDPLEEKGEVAGSVPQKVFVSAPIENEEQFILVKFHNRRLLQAHNNELRKVQACQRDMREKVARTHASVKRSKELLKSVTNSTSAQYKSLKTLDNQIGKVSGELSIQRQEMKHQNEQVADLMNVLKDLLTLVTVANVQSNDRIAEETNGLNLSPSPTSSPMPSPSSPKEQRMDSGPHQFKEAQAADEGM
+>sp|P47755|CAZA2_HUMAN F-actin-capping protein subunit alpha-2 OS=Homo sapiens OX=9606 GN=CAPZA2 PE=1 SV=3
+MADLEEQLSDEEKVRIAAKFIIHAPPGEFNEVFNDVRLLLNNDNLLREGAAHAFAQYNLDQFTPVKIEGYEDQVLITEHGDLGNGKFLDPKNRICFKFDHLRKEATDPRPCEVENAVESWRTSVETALRAYVKEHYPNGVCTVYGKKIDGQQTIIACIESHQFQAKNFWNGRWRSEWKFTITPSTTQVVGILKIQVHYYEDGNVQLVSHKDIQDSLTVSNEVQTAKEFIKIVEAAENEYQTAISENYQTMSDTTFKALRRQLPVTRTKIDWNKILSYKIGKEMQNA
+>DECOY_sp|P47755|CAZA2_HUMAN F-actin-capping protein subunit alpha-2 OS=Homo sapiens OX=9606 GN=CAPZA2 PE=1 SV=3
+ANQMEKGIKYSLIKNWDIKTRTVPLQRRLAKFTTDSMTQYNESIATQYENEAAEVIKIFEKATQVENSVTLSDQIDKHSVLQVNGDEYYHVQIKLIGVVQTTSPTITFKWESRWRGNWFNKAQFQHSEICAIITQQGDIKKGYVTCVGNPYHEKVYARLATEVSTRWSEVANEVECPRPDTAEKRLHDFKFCIRNKPDLFKGNGLDGHETILVQDEYGEIKVPTFQDLNYQAFAHAAGERLLNDNNLLLRVDNFVENFEGPPAHIIFKAAIRVKEEDSLQEELDAM
+>sp|A6NJV1|CB070_HUMAN UPF0573 protein C2orf70 OS=Homo sapiens OX=9606 GN=C2orf70 PE=3 SV=1
+MASRSAGTLLTEFNAAYVPPGLMPGYQGHVPTVAFSFGAPYGTTTLKYFQDHRNRAMEKSHTPFSQGGHFPTIFSTNPNLLLMERASTRDRWLHKPSYTRFNLDSHRSTELTNFYQMVQQHRKYYQDKTGTVPRVPYFAMPVREPERYPLPTVLPPLCPKKKWHLLRLAPENLKTYQTFPSGKRVSPQERKKRDCYFEFRA
+>DECOY_sp|A6NJV1|CB070_HUMAN UPF0573 protein C2orf70 OS=Homo sapiens OX=9606 GN=C2orf70 PE=3 SV=1
+ARFEFYCDRKKREQPSVRKGSPFTQYTKLNEPALRLLHWKKKPCLPPLVTPLPYREPERVPMAFYPVRPVTGTKDQYYKRHQQVMQYFNTLETSRHSDLNFRTYSPKHLWRDRTSAREMLLLNPNTSFITPFHGGQSFPTHSKEMARNRHDQFYKLTTTGYPAGFSFAVTPVHGQYGPMLGPPVYAANFETLLTGASRSAM
+>sp|Q8N5S3|CB073_HUMAN Uncharacterized protein C2orf73 OS=Homo sapiens OX=9606 GN=C2orf73 PE=2 SV=3
+MEEKEDKHQQHKIEDAAITYVSENEEIKHEEKPGKSIHHSKSHVGRGRIYYAKFINTNARTYNEPFPYIDPKKGPEIQGDWWSHGKALEPVFLPPYDSKSTQRSDFQKPSCPLVLPVKHSKMQKPSCGIVPLASPGTSAELQNNFIEYISFIHQYDARKTPNEPLQGKRHGAFVQREIKPGSRPTVPKGAEVLLNTPGSRSSEQSKKTEKGNSAESRMISPGLCQQNSQELLEPKTHLSETDVRQAAKACPSTPESREKTSGATQTTVGDALFTRHKPLNPPIKKSE
+>DECOY_sp|Q8N5S3|CB073_HUMAN Uncharacterized protein C2orf73 OS=Homo sapiens OX=9606 GN=C2orf73 PE=2 SV=3
+ESKKIPPNLPKHRTFLADGVTTQTAGSTKERSEPTSPCAKAAQRVDTESLHTKPELLEQSNQQCLGPSIMRSEASNGKETKKSQESSRSGPTNLLVEAGKPVTPRSGPKIERQVFAGHRKGQLPENPTKRADYQHIFSIYEIFNNQLEASTGPSALPVIGCSPKQMKSHKVPLVLPCSPKQFDSRQTSKSDYPPLFVPELAKGHSWWDGQIEPGKKPDIYPFPENYTRANTNIFKAYYIRGRGVHSKSHHISKGPKEEHKIEENESVYTIAADEIKHQQHKDEKEEM
+>sp|P08185|CBG_HUMAN Corticosteroid-binding globulin OS=Homo sapiens OX=9606 GN=SERPINA6 PE=1 SV=1
+MPLLLYTCLLWLPTSGLWTVQAMDPNAAYVNMSNHHRGLASANVDFAFSLYKHLVALSPKKNIFISPVSISMALAMLSLGTCGHTRAQLLQGLGFNLTERSETEIHQGFQHLHQLFAKSDTSLEMTMGNALFLDGSLELLESFSADIKHYYESEVLAMNFQDWATASRQINSYVKNKTQGKIVDLFSGLDSPAILVLVNYIFFKGTWTQPFDLASTREENFYVDETTVVKVPMMLQSSTISYLHDSELPCQLVQMNYVGNGTVFFILPDKGKMNTVIAALSRDTINRWSAGLTSSQVDLYIPKVTISGVYDLGDVLEEMGIADLFTNQANFSRITQDAQLKSSKVVHKAVLQLNEEGVDTAGSTGVTLNLTSKPIILRFNQPFIIMIFDHFTWSSLFLARVMNPV
+>DECOY_sp|P08185|CBG_HUMAN Corticosteroid-binding globulin OS=Homo sapiens OX=9606 GN=SERPINA6 PE=1 SV=1
+VPNMVRALFLSSWTFHDFIMIIFPQNFRLIIPKSTLNLTVGTSGATDVGEENLQLVAKHVVKSSKLQADQTIRSFNAQNTFLDAIGMEELVDGLDYVGSITVKPIYLDVQSSTLGASWRNITDRSLAAIVTNMKGKDPLIFFVTGNGVYNMQVLQCPLESDHLYSITSSQLMMPVKVVTTEDVYFNEERTSALDFPQTWTGKFFIYNVLVLIAPSDLGSFLDVIKGQTKNKVYSNIQRSATAWDQFNMALVESEYYHKIDASFSELLELSGDLFLANGMTMELSTDSKAFLQHLHQFGQHIETESRETLNFGLGQLLQARTHGCTGLSLMALAMSISVPSIFINKKPSLAVLHKYLSFAFDVNASALGRHHNSMNVYAANPDMAQVTWLGSTPLWLLCTYLLLPM
+>sp|Q6UW01|CBLN3_HUMAN Cerebellin-3 OS=Homo sapiens OX=9606 GN=CBLN3 PE=1 SV=1
+MLGAKPHWLPGPLHSPGLPLVLVLLALGAGWAQEGSEPVLLEGECLVVCEPGRAAAGGPGGAALGEAPPGRVAFAAVRSHHHEPAGETGNGTSGAIYFDQVLVNEGGGFDRASGSFVAPVRGVYSFRFHVVKVYNRQTVQVSLMLNTWPVISAFANDPDVTREAATSSVLLPLDPGDRVSLRLRRGNLLGGWKYSSFSGFLIFPL
+>DECOY_sp|Q6UW01|CBLN3_HUMAN Cerebellin-3 OS=Homo sapiens OX=9606 GN=CBLN3 PE=1 SV=1
+LPFILFGSFSSYKWGGLLNGRRLRLSVRDGPDLPLLVSSTAAERTVDPDNAFASIVPWTNLMLSVQVTQRNYVKVVHFRFSYVGRVPAVFSGSARDFGGGENVLVQDFYIAGSTGNGTEGAPEHHHSRVAAFAVRGPPAEGLAAGGPGGAAARGPECVVLCEGELLVPESGEQAWGAGLALLVLVLPLGPSHLPGPLWHPKAGLM
+>sp|Q9NTU7|CBLN4_HUMAN Cerebellin-4 OS=Homo sapiens OX=9606 GN=CBLN4 PE=1 SV=1
+MGSGRRALSAVPAVLLVLTLPGLPVWAQNDTEPIVLEGKCLVVCDSNPATDSKGSSSSPLGISVRAANSKVAFSAVRSTNHEPSEMSNKTRIIYFDQILVNVGNFFTLESVFVAPRKGIYSFSFHVIKVYQSQTIQVNLMLNGKPVISAFAGDKDVTREAATNGVLLYLDKEDKVYLKLEKGNLVGGWQYSTFSGFLVFPL
+>DECOY_sp|Q9NTU7|CBLN4_HUMAN Cerebellin-4 OS=Homo sapiens OX=9606 GN=CBLN4 PE=1 SV=1
+LPFVLFGSFTSYQWGGVLNGKELKLYVKDEKDLYLLVGNTAAERTVDKDGAFASIVPKGNLMLNVQITQSQYVKIVHFSFSYIGKRPAVFVSELTFFNGVNVLIQDFYIIRTKNSMESPEHNTSRVASFAVKSNAARVSIGLPSSSSGKSDTAPNSDCVVLCKGELVIPETDNQAWVPLGPLTLVLLVAPVASLARRGSGM
+>sp|Q8WXQ8|CBPA5_HUMAN Carboxypeptidase A5 OS=Homo sapiens OX=9606 GN=CPA5 PE=2 SV=1
+MQGTPGGGTRPGPSPVDRRTLLVFSFILAAALGQMNFTGDQVLRVLAKDEKQLSLLGDLEGLKPQKVDFWRGPARPSLPVDMRVPFSELKDIKAYLESHGLAYSIMIKDIQVLLDEERQAMAKSRRLERSTNSFSYSSYHTLEEIYSWIDNFVMEHSDIVSKIQIGNSFENQSILVLKFSTGGSRHPAIWIDTGIHSREWITHATGIWTANKIVSDYGKDRVLTDILNAMDIFIELVTNPDGFAFTHSMNRLWRKNKSIRPGIFCIGVDLNRNWKSGFGGNGSNSNPCSETYHGPSPQSEPEVAAIVNFITAHGNFKALISIHSYSQMLMYPYGRLLEPVSNQRELYDLAKDAVEALYKVHGIEYIFGSISTTLYVASGITVDWAYDSGIKYAFSFELRDTGQYGFLLPATQIIPTAQETWMALRTIMEHTLNHPY
+>DECOY_sp|Q8WXQ8|CBPA5_HUMAN Carboxypeptidase A5 OS=Homo sapiens OX=9606 GN=CPA5 PE=2 SV=1
+YPHNLTHEMITRLAMWTEQATPIIQTAPLLFGYQGTDRLEFSFAYKIGSDYAWDVTIGSAVYLTTSISGFIYEIGHVKYLAEVADKALDYLERQNSVPELLRGYPYMLMQSYSHISILAKFNGHATIFNVIAAVEPESQPSPGHYTESCPNSNSGNGGFGSKWNRNLDVGICFIGPRISKNKRWLRNMSHTFAFGDPNTVLEIFIDMANLIDTLVRDKGYDSVIKNATWIGTAHTIWERSHIGTDIWIAPHRSGGTSFKLVLISQNEFSNGIQIKSVIDSHEMVFNDIWSYIEELTHYSSYSFSNTSRELRRSKAMAQREEDLLVQIDKIMISYALGHSELYAKIDKLESFPVRMDVPLSPRAPGRWFDVKQPKLGELDGLLSLQKEDKALVRLVQDGTFNMQGLAAALIFSFVLLTRRDVPSPGPRTGGGPTGQM
+>sp|Q66K79|CBPZ_HUMAN Carboxypeptidase Z OS=Homo sapiens OX=9606 GN=CPZ PE=1 SV=2
+MPPPLPLLLLTVLVVAAARPGCEFERNPAGECHRPPAADSATCVDLQLRTCSDAAYNHTTFPNLLQHRSWEVVEASSEYILLSVLHQLLEGQCNPDLRLLGCAVLAPRCEGGWVRRPCRHICEGLREVCQPAFDAIDMAWPYFLDCHRYFTREDEGCYDPLEKLRGGLEADEALPSGLPPTFIRFSHHSYAQMVRVLRRTASRCAHVARTYSIGRSFDGRELLVIEFSSRPGQHELMEPEVKLIGNIHGNEVAGREMLIYLAQYLCSEYLLGNPRIQRLLNTTRIHLLPSMNPDGYEVAAAEGAGYNGWTSGRQNAQNLDLNRNFPDLTSEYYRLAETRGARSDHIPIPQHYWWGKVAPETKAIMKWMQTIPFVLSASLHGGDLVVSYPFDFSKHPQEEKMFSPTPDEKMFKLLSRAYADVHPMMMDRSENRCGGNFLKRGSIINGADWYSFTGGMSDFNYLHTNCFEITVELGCVKFPPEEALYILWQHNKESLLNFVETVHRGIKGVVTDKFGKPVKNARISVKGIRHDITTAPDGDYWRLLPPGIHIVIAQAPGYAKVIKKVIIPARMKRAGRVDFILQPLGMGPKNFIHGLRRTGPHDPLGGASSLGEATEPDPLRARRQPSADGSKPWWWSYFTSLSTHRPRWLLKY
+>DECOY_sp|Q66K79|CBPZ_HUMAN Carboxypeptidase Z OS=Homo sapiens OX=9606 GN=CPZ PE=1 SV=2
+YKLLWRPRHTSLSTFYSWWWPKSGDASPQRRARLPDPETAEGLSSAGGLPDHPGTRRLGHIFNKPGMGLPQLIFDVRGARKMRAPIIVKKIVKAYGPAQAIVIHIGPPLLRWYDGDPATTIDHRIGKVSIRANKVPKGFKDTVVGKIGRHVTEVFNLLSEKNHQWLIYLAEEPPFKVCGLEVTIEFCNTHLYNFDSMGGTFSYWDAGNIISGRKLFNGGCRNESRDMMMPHVDAYARSLLKFMKEDPTPSFMKEEQPHKSFDFPYSVVLDGGHLSASLVFPITQMWKMIAKTEPAVKGWWYHQPIPIHDSRAGRTEALRYYESTLDPFNRNLDLNQANQRGSTWGNYGAGEAAAVEYGDPNMSPLLHIRTTNLLRQIRPNGLLYESCLYQALYILMERGAVENGHINGILKVEPEMLEHQGPRSSFEIVLLERGDFSRGISYTRAVHACRSATRRLVRVMQAYSHHSFRIFTPPLGSPLAEDAELGGRLKELPDYCGEDERTFYRHCDLFYPWAMDIADFAPQCVERLGECIHRCPRRVWGGECRPALVACGLLRLDPNCQGELLQHLVSLLIYESSAEVVEWSRHQLLNPFTTHNYAADSCTRLQLDVCTASDAAPPRHCEGAPNREFECGPRAAAVVLVTLLLLPLPPPM
+>sp|O75828|CBR3_HUMAN Carbonyl reductase [NADPH] 3 OS=Homo sapiens OX=9606 GN=CBR3 PE=1 SV=3
+MSSCSRVALVTGANRGIGLAIARELCRQFSGDVVLTARDVARGQAAVQQLQAEGLSPRFHQLDIDDLQSIRALRDFLRKEYGGLNVLVNNAAVAFKSDDPMPFDIKAEMTLKTNFFATRNMCNELLPIMKPHGRVVNISSLQCLRAFENCSEDLQERFHSETLTEGDLVDLMKKFVEDTKNEVHEREGWPNSPYGVSKLGVTVLSRILARRLDEKRKADRILVNACCPGPVKTDMDGKDSIRTVEEGAETPVYLALLPPDATEPQGQLVHDKVVQNW
+>DECOY_sp|O75828|CBR3_HUMAN Carbonyl reductase [NADPH] 3 OS=Homo sapiens OX=9606 GN=CBR3 PE=1 SV=3
+WNQVVKDHVLQGQPETADPPLLALYVPTEAGEEVTRISDKGDMDTKVPGPCCANVLIRDAKRKEDLRRALIRSLVTVGLKSVGYPSNPWGEREHVENKTDEVFKKMLDVLDGETLTESHFREQLDESCNEFARLCQLSSINVVRGHPKMIPLLENCMNRTAFFNTKLTMEAKIDFPMPDDSKFAVAANNVLVNLGGYEKRLFDRLARISQLDDIDLQHFRPSLGEAQLQQVAAQGRAVDRATLVVDGSFQRCLERAIALGIGRNAGTVLAVRSCSSM
+>sp|Q8N4T8|CBR4_HUMAN Carbonyl reductase family member 4 OS=Homo sapiens OX=9606 GN=CBR4 PE=1 SV=3
+MDKVCAVFGGSRGIGRAVAQLMARKGYRLAVIARNLEGAKAAAGDLGGDHLAFSCDVAKEHDVQNTFEELEKHLGRVNFLVNAAGINRDGLLVRTKTEDMVSQLHTNLLGSMLTCKAAMRTMIQQQGGSIVNVGSIVGLKGNSGQSVYSASKGGLVGFSRALAKEVARKKIRVNVVAPGFVHTDMTKDLKEEHLKKNIPLGRFGETIEVAHAVVFLLESPYITGHVLVVDGGLQLIL
+>DECOY_sp|Q8N4T8|CBR4_HUMAN Carbonyl reductase family member 4 OS=Homo sapiens OX=9606 GN=CBR4 PE=1 SV=3
+LILQLGGDVVLVHGTIYPSELLFVVAHAVEITEGFRGLPINKKLHEEKLDKTMDTHVFGPAVVNVRIKKRAVEKALARSFGVLGGKSASYVSQGSNGKLGVISGVNVISGGQQQIMTRMAAKCTLMSGLLNTHLQSVMDETKTRVLLGDRNIGAANVLFNVRGLHKELEEFTNQVDHEKAVDCSFALHDGGLDGAAAKAGELNRAIVALRYGKRAMLQAVARGIGRSGGFVACVKDM
+>sp|O95931|CBX7_HUMAN Chromobox protein homolog 7 OS=Homo sapiens OX=9606 GN=CBX7 PE=1 SV=1
+MELSAIGEQVFAVESIRKKRVRKGKVEYLVKWKGWPPKYSTWEPEEHILDPRLVMAYEEKEERDRASGYRKRGPKPKRLLLQRLYSMDLRSSHKAKGKEKLCFSLTCPLGSGSPEGVVKAGAPELVDKGPLVPTLPFPLRKPRKAHKYLRLSRKKFPPRGPNLESHSHRRELFLQEPPAPDVLQAAGEWEPAAQPPEEEADADLAEGPPPWTPALPSSEVTVTDITANSITVTFREAQAAEGFFRDRSGKF
+>DECOY_sp|O95931|CBX7_HUMAN Chromobox protein homolog 7 OS=Homo sapiens OX=9606 GN=CBX7 PE=1 SV=1
+FKGSRDRFFGEAAQAERFTVTISNATIDTVTVESSPLAPTWPPPGEALDADAEEEPPQAAPEWEGAAQLVDPAPPEQLFLERRHSHSELNPGRPPFKKRSLRLYKHAKRPKRLPFPLTPVLPGKDVLEPAGAKVVGEPSGSGLPCTLSFCLKEKGKAKHSSRLDMSYLRQLLLRKPKPGRKRYGSARDREEKEEYAMVLRPDLIHEEPEWTSYKPPWGKWKVLYEVKGKRVRKKRISEVAFVQEGIASLEM
+>sp|A1A4F0|CC055_HUMAN Putative uncharacterized protein PQLC2L OS=Homo sapiens OX=9606 GN=PQLC2L PE=2 SV=2
+MKVVGNYRVNTANSSTDTSGEHLTCLRSQLFVAYRNGRVDEAVSLGFLDCWIGGDLTNFKGCYLTNQLPIQIFTAIFDMNTDVIILSQFMYYRLKNQKKKMIFQPQLFKDSITREKVRLSLWGVLCPVYIPYSFR
+>DECOY_sp|A1A4F0|CC055_HUMAN Putative uncharacterized protein PQLC2L OS=Homo sapiens OX=9606 GN=PQLC2L PE=2 SV=2
+RFSYPIYVPCLVGWLSLRVKERTISDKFLQPQFIMKKKQNKLRYYMFQSLIIVDTNMDFIATFIQIPLQNTLYCGKFNTLDGGIWCDLFGLSVAEDVRGNRYAVFLQSRLCTLHEGSTDTSSNATNVRYNGVVKM
+>sp|Q6ZRV3|CC074_HUMAN Putative uncharacterized protein encoded by LINC00696 OS=Homo sapiens OX=9606 GN=LINC00696 PE=5 SV=1
+MQSVDSMLGTVGGCGGGEAASTFSKDPSGCCVGNDCRDGGRGLERRHGRWSRGEGGESGLCSGGQMASEIEYWDGLAISVMEVEKAFGSTNVLWKTEPFSLWACTAACPPSLSPTLLALGLPRDGKELAEQGSLWTVLEPGGDWSHSQSQLGTPGRGKGALGF
+>DECOY_sp|Q6ZRV3|CC074_HUMAN Putative uncharacterized protein encoded by LINC00696 OS=Homo sapiens OX=9606 GN=LINC00696 PE=5 SV=1
+FGLAGKGRGPTGLQSQSHSWDGGPELVTWLSGQEALEKGDRPLGLALLTPSLSPPCAATCAWLSFPETKWLVNTSGFAKEVEMVSIALGDWYEIESAMQGGSCLGSEGGEGRSWRGHRRELGRGGDRCDNGVCCGSPDKSFTSAAEGGGCGGVTGLMSDVSQM
+>sp|A0A1B0GTC6|CC085_HUMAN Uncharacterized protein C3orf85 OS=Homo sapiens OX=9606 GN=C3orf85 PE=3 SV=1
+MAYKMLQVVLCSTLLIGALGAPFLLEDPANQFLRLKRHVNLQDYWDPDHSSDVWVNTLAKQARETWIALKTTAQYYLDMNTFTFDMSTAQ
+>DECOY_sp|A0A1B0GTC6|CC085_HUMAN Uncharacterized protein C3orf85 OS=Homo sapiens OX=9606 GN=C3orf85 PE=3 SV=1
+QATSMDFTFTNMDLYYQATTKLAIWTERAQKALTNVWVDSSHDPDWYDQLNVHRKLRLFQNAPDELLFPAGLAGILLTSCLVVQLMKYAM
+>sp|Q8IW40|CC103_HUMAN Coiled-coil domain-containing protein 103 OS=Homo sapiens OX=9606 GN=CCDC103 PE=1 SV=1
+MERNDIINFKALEKELQAALTADEKYKRENAAKLRAVEQRVASYEEFRGIVLASHLKPLERKDKMGGKRTVPWNCHTIQGRTFQDVATEISPEKAPLQPETSADFYRDWRRHLPSGPERYQALLQLGGPRLGCLFQTDVGFGLLGELLVALADHVGPADRAAVLGILCSLASTGRFTLNLSLLSRAERESCKGLFQKLQAMGNPRSVKEGLSWEEQGLEEQSGGLQEEERLLQELLELYQVD
+>DECOY_sp|Q8IW40|CC103_HUMAN Coiled-coil domain-containing protein 103 OS=Homo sapiens OX=9606 GN=CCDC103 PE=1 SV=1
+DVQYLELLEQLLREEEQLGGSQEELGQEEWSLGEKVSRPNGMAQLKQFLGKCSEREARSLLSLNLTFRGTSALSCLIGLVAARDAPGVHDALAVLLEGLLGFGVDTQFLCGLRPGGLQLLAQYREPGSPLHRRWDRYFDASTEPQLPAKEPSIETAVDQFTRGQITHCNWPVTRKGGMKDKRELPKLHSALVIGRFEEYSAVRQEVARLKAANERKYKEDATLAAQLEKELAKFNIIDNREM
+>sp|Q8IYX3|CC116_HUMAN Coiled-coil domain-containing protein 116 OS=Homo sapiens OX=9606 GN=CCDC116 PE=1 SV=2
+MARCRHHSGYLADDEASHSMCSARVQLPKKPLVPEMRPACKPGRVPHPPSTCGSSALQGQRRNKRHPQPFGHFLDFLTESQVLDSLETVVEKATERMAAMKTEAGVPLVEVQDPVEVPSGGRRAHARPSLSTVHRHRVRPTLCTGHPNNYPSSSSSMSNCHSSLMAGCLGSHSRDSDLGAQGSLPPVRDKLLLEKNLKRLLQLEREGKGLSQSCSQRDSLLWDSLGSQTSFQWTQEQPLSWFSGLLGSSSGVPEASEPRPGEQEPIFRKREFNKEIKSLLSQLESLDLPGYCPLREPHRTLNFLADHRLFPALQSVVSQAVDKLRGAHCRDGRPLFPTSLEPTSDLPPLGSEPAKPTNGGQPYASPRPTVSSPKMLQRKRKDRGGSPSMSSAQVATRFKLKSPCSSSRFTKKKPLPSISSKSSMSHFSNRLYEELADFLTQQAASLVIRKYEFEKDLSKQLGFFSFPITHVLRDLSLGLKKVKGSRIHLSSETHRSCLLRKLEESKRAAAVSLEA
+>DECOY_sp|Q8IYX3|CC116_HUMAN Coiled-coil domain-containing protein 116 OS=Homo sapiens OX=9606 GN=CCDC116 PE=1 SV=2
+AELSVAAARKSEELKRLLCSRHTESSLHIRSGKVKKLGLSLDRLVHTIPFSFFGLQKSLDKEFEYKRIVLSAAQQTLFDALEEYLRNSFHSMSSKSSISPLPKKKTFRSSSCPSKLKFRTAVQASSMSPSGGRDKRKRQLMKPSSVTPRPSAYPQGGNTPKAPESGLPPLDSTPELSTPFLPRGDRCHAGRLKDVAQSVVSQLAPFLRHDALFNLTRHPERLPCYGPLDLSELQSLLSKIEKNFERKRFIPEQEGPRPESAEPVGSSSGLLGSFWSLPQEQTWQFSTQSGLSDWLLSDRQSCSQSLGKGERELQLLRKLNKELLLKDRVPPLSGQAGLDSDRSHSGLCGAMLSSHCNSMSSSSSPYNNPHGTCLTPRVRHRHVTSLSPRAHARRGGSPVEVPDQVEVLPVGAETKMAAMRETAKEVVTELSDLVQSETLFDLFHGFPQPHRKNRRQGQLASSGCTSPPHPVRGPKCAPRMEPVLPKKPLQVRASCMSHSAEDDALYGSHHRCRAM
+>sp|Q8IWD4|CC117_HUMAN Coiled-coil domain-containing protein 117 OS=Homo sapiens OX=9606 GN=CCDC117 PE=1 SV=1
+MAALGRPFSGLPLSGGSDFLQPPQPAFPGRAFPPGADGAELAPRPGPRAVPSSPAGSAARGRVSVHCKKKHKREEEEDDDCPVRKKRITEAELCAGPNDWILCAHQDVEGHGVNPSVSGLSIPGILDVICEEMDQTTGEPQCEVARRKLQEIEDRIIDEDEEVEADRNVNHLPSLVLSDTMKTGLKREFDEVFTKKMIESMSRPSMELVLWKPLPELLSDKPKPSSNTKNYTGESQAKHVAAGTAFPQRTELFSEPRPTGMSLYNSLETATSTEEEMEL
+>DECOY_sp|Q8IWD4|CC117_HUMAN Coiled-coil domain-containing protein 117 OS=Homo sapiens OX=9606 GN=CCDC117 PE=1 SV=1
+LEMEEETSTATELSNYLSMGTPRPESFLETRQPFATGAAVHKAQSEGTYNKTNSSPKPKDSLLEPLPKWLVLEMSPRSMSEIMKKTFVEDFERKLGTKMTDSLVLSPLHNVNRDAEVEEDEDIIRDEIEQLKRRAVECQPEGTTQDMEECIVDLIGPISLGSVSPNVGHGEVDQHACLIWDNPGACLEAETIRKKRVPCDDDEEEERKHKKKCHVSVRGRAASGAPSSPVARPGPRPALEAGDAGPPFARGPFAPQPPQLFDSGGSLPLGSFPRGLAAM
+>sp|Q6ZRS4|CC129_HUMAN Coiled-coil domain-containing protein 129 OS=Homo sapiens OX=9606 GN=CCDC129 PE=2 SV=2
+MMAQKSQGSDNLQEGQEKSKREILKCTKSAWAPLDEWLPPDPEEESQSLTIPMLEDSKQESIQQWLDSGFFVSANENFQQVIDRTVSLYEQGMVQMTVKDYMRSLHQFSETPILSRGTSFNSCYSTASVPQSIPEWLEFWEIDPVEILLDLGFGADEPDICMQIPARFLGCGSAARGINIRVFLEAQKQRMDIENPNLYGRFRQLEILDHVTNAFSSLLSDVSILPNRAEEKAGGESVQRTSVSAAKEHRRRMGKLLRRASKQNIRRDCNPEVSESFKVKDEVFVPFTKPWDCGAELAATSINHKQNHLSLSVEHQSLQACDDLLPYPPHGLLSKQWPCSSMPAKQAPPSCVSEGSVKGRTQKENLFQTNKLKSLSHLAGKGPDSFEMEEVQSFEEETGNPLDMTSGTVGARVDRANSCQSDSSGFLEEPLEPLPLQMPSLPNSQSPAENGGRKPRDQSHSLVSSQDCQLESDGPDSKSRASMSFSSQEANALEQRASVSVMEEEFLLEAMEGPPELYIPDMACAKTTTRGECPRKDSHLWQLLPMPHAEYEVTRPTATSKYDHPLGFMVTHVTEMQDSFVRPEGAGKVQSHHNESQRSPGNDHTQDKFLHVDSEAPREEESSGFCPHTNHSLLVPESSSQCIPKHSEITPYATDLAQTSEKLIPHLHKLPGDPAQVKSRSGTLGQILPGTEAEMENLPLNTGSSRSVMTQMSSSLVSAAQRAVALGTGPRGTSLECTVCDPVTATETRLGTKARQLNDASIQTSALSNKTLTHGPQPLTKSVSLDSGFSSICPMGTCHAIPAHCCICCHHHPHCHGERQSPGPEPSVCRHCLCSLTGHQEAQFMTTLKALQDTTVRELCSCTVHEMEAMKTICQSFREYLEEIEQHLMGQQALFSRDMSEEEREEAEQLQTLREALRQQVAELEFQLGDRAQQIREGILLQLEVLTAEPPEHYSNLHQYNWIEESNGQTSCSKIHPGMAPRTVFPPDDGQEAPCSGGTQLAAFTPPTLENSTRMSPSSSAWAKLGPTPLSNCPVGEKDADVFL
+>DECOY_sp|Q6ZRS4|CC129_HUMAN Coiled-coil domain-containing protein 129 OS=Homo sapiens OX=9606 GN=CCDC129 PE=2 SV=2
+LFVDADKEGVPCNSLPTPGLKAWASSSPSMRTSNELTPPTFAALQTGGSCPAEQGDDPPFVTRPAMGPHIKSCSTQGNSEEIWNYQHLNSYHEPPEATLVELQLLIGERIQQARDGLQFELEAVQQRLAERLTQLQEAEEREEESMDRSFLAQQGMLHQEIEELYERFSQCITKMAEMEHVTCSCLERVTTDQLAKLTTMFQAEQHGTLSCLCHRCVSPEPGPSQREGHCHPHHHCCICCHAPIAHCTGMPCISSFGSDLSVSKTLPQPGHTLTKNSLASTQISADNLQRAKTGLRTETATVPDCVTCELSTGRPGTGLAVARQAASVLSSSMQTMVSRSSGTNLPLNEMEAETGPLIQGLTGSRSKVQAPDGPLKHLHPILKESTQALDTAYPTIESHKPICQSSSEPVLLSHNTHPCFGSSEEERPAESDVHLFKDQTHDNGPSRQSENHHSQVKGAGEPRVFSDQMETVHTVMFGLPHDYKSTATPRTVEYEAHPMPLLQWLHSDKRPCEGRTTTKACAMDPIYLEPPGEMAELLFEEEMVSVSARQELANAEQSSFSMSARSKSDPGDSELQCDQSSVLSHSQDRPKRGGNEAPSQSNPLSPMQLPLPELPEELFGSSDSQCSNARDVRAGVTGSTMDLPNGTEEEFSQVEEMEFSDPGKGALHSLSKLKNTQFLNEKQTRGKVSGESVCSPPAQKAPMSSCPWQKSLLGHPPYPLLDDCAQLSQHEVSLSLHNQKHNISTAALEAGCDWPKTFPVFVEDKVKFSESVEPNCDRRINQKSARRLLKGMRRRHEKAASVSTRQVSEGGAKEEARNPLISVDSLLSSFANTVHDLIELQRFRGYLNPNEIDMRQKQAELFVRINIGRAASGCGLFRAPIQMCIDPEDAGFGLDLLIEVPDIEWFELWEPISQPVSATSYCSNFSTGRSLIPTESFQHLSRMYDKVTMQVMGQEYLSVTRDIVQQFNENASVFFGSDLWQQISEQKSDELMPITLSQSEEEPDPPLWEDLPAWASKTCKLIERKSKEQGEQLNDSGQSKQAMM
+>sp|P13994|CC130_HUMAN Coiled-coil domain-containing protein 130 OS=Homo sapiens OX=9606 GN=CCDC130 PE=1 SV=2
+MGERKGVNKYYPPDFNPEKHGSLNRYHNSHPLRERARKLSQGILIIRFEMPYNIWCDGCKNHIGMGVRYNAEKKKVGNYYTTPIYRFRMKCHLCVNYIEMQTDPANCDYVIVSGAQRKEERWDMADNEQVLTTEHEKKQKLETDAMFRLEHGEADRSTLKKALPTLSHIQEAQSAWKDDFALNSMLRRRFREKKKAIQEEEERDQALQAKASLTIPLVPETEDDRKLAALLKFHTLDSYEDKQKLKRTEIISRSWFPSAPGSASSSKVSGVLKKLAQSRRTALATSPITVGDLGIVRRRSRDVPESPQHAADTPKSGEPRVPEEAAQDRPMSPGDCPPETTETPKCSSPRGQEGSRQDKPLSPAGSSQEAADTPDTRHPCSLGSSLVADYSDSESE
+>DECOY_sp|P13994|CC130_HUMAN Coiled-coil domain-containing protein 130 OS=Homo sapiens OX=9606 GN=CCDC130 PE=1 SV=2
+ESESDSYDAVLSSGLSCPHRTDPTDAAEQSSGAPSLPKDQRSGEQGRPSSCKPTETTEPPCDGPSMPRDQAAEEPVRPEGSKPTDAAHQPSEPVDRSRRRVIGLDGVTIPSTALATRRSQALKKLVGSVKSSSASGPASPFWSRSIIETRKLKQKDEYSDLTHFKLLAALKRDDETEPVLPITLSAKAQLAQDREEEEQIAKKKERFRRRLMSNLAFDDKWASQAEQIHSLTPLAKKLTSRDAEGHELRFMADTELKQKKEHETTLVQENDAMDWREEKRQAGSVIVYDCNAPDTQMEIYNVCLHCKMRFRYIPTTYYNGVKKKEANYRVGMGIHNKCGDCWINYPMEFRIILIGQSLKRARERLPHSNHYRNLSGHKEPNFDPPYYKNVGKREGM
+>sp|Q96MF4|CC140_HUMAN Coiled-coil domain-containing protein 140 OS=Homo sapiens OX=9606 GN=CCDC140 PE=2 SV=1
+MGDECSNPDLLAEPGSSPPWDHGNQRQEAANESNTRVPRVLKAHLGPETAQPTKRSKRNRWRRQSCQGPSPARSGQFLGSADLGLQRGVLKSAARTCLSEISNSTRASPESAQSTDPGRAARPRTRTLPTPHSFKIGEEAEEMKKKKERKRRKERKKERNFKK
+>DECOY_sp|Q96MF4|CC140_HUMAN Coiled-coil domain-containing protein 140 OS=Homo sapiens OX=9606 GN=CCDC140 PE=2 SV=1
+KKFNREKKREKRRKREKKKKMEEAEEGIKFSHPTPLTRTRPRAARGPDTSQASEPSARTSNSIESLCTRAASKLVGRQLGLDASGLFQGSRAPSPGQCSQRRWRNRKSRKTPQATEPGLHAKLVRPVRTNSENAAEQRQNGHDWPPSSGPEALLDPNSCEDGM
+>sp|Q8NFR7|CC148_HUMAN Coiled-coil domain-containing protein 148 OS=Homo sapiens OX=9606 GN=CCDC148 PE=1 SV=2
+MCAASASPDNLVFHMKNEMRNIKYKPVDYQQLRALTEAKKLASASAKLKIRKAMLTSKLSKEQTLIKQHKQVWWQEYQRLNEVRCKMESEIKSLLNEENIGNECLCDLTNFEQELSEQQCTYLKNVINPIQQLRADLKYRQHHTLQHSHPHIEFNSMKVLEEVDFVKKQLKTVFERLRLEQQRIENDLSDWSIKILDHSLEEKTNPLSELPIELESLECPYPDLKSSILSEFYKFTQKYQKKLQDFNLQLEDIYRNCQLSEEDHWIYQAILDQYPGDLFGRRTLYLDMLQRYFPHKSRHDLVEHEKYCDQYRFAIEQQNILISNWNKNKKDFIQKAVLTLTEACATHEMESMLAKDKKKQQELCADLKAKVRQWRAHQEEVARLEMEISARRREKEEEKEKLWKKKELLQRAEKKKKIKKYWAKKKQKWQEMEMRDLQRLEELKKLIAEQSLKDRERVKYRQELLERRLMEKKEVALQEAHEDKERARRLEALRKQVAVVAQFDPVRMMSDTMASKARMGIEIEEEFILQKPLFTLNTYNEQQIISDPRLRFELALREAGLHRTLYAKEILPKISPQKPPRKDMESTVFKI
+>DECOY_sp|Q8NFR7|CC148_HUMAN Coiled-coil domain-containing protein 148 OS=Homo sapiens OX=9606 GN=CCDC148 PE=1 SV=2
+IKFVTSEMDKRPPKQPSIKPLIEKAYLTRHLGAERLALEFRLRPDSIIQQENYTNLTFLPKQLIFEEEIEIGMRAKSAMTDSMMRVPDFQAVVAVQKRLAELRRAREKDEHAEQLAVEKKEMLRRELLEQRYKVRERDKLSQEAILKKLEELRQLDRMEMEQWKQKKKAWYKKIKKKKEARQLLEKKKWLKEKEEEKERRRASIEMELRAVEEQHARWQRVKAKLDACLEQQKKKDKALMSEMEHTACAETLTLVAKQIFDKKNKNWNSILINQQEIAFRYQDCYKEHEVLDHRSKHPFYRQLMDLYLTRRGFLDGPYQDLIAQYIWHDEESLQCNRYIDELQLNFDQLKKQYKQTFKYFESLISSKLDPYPCELSELEIPLESLPNTKEELSHDLIKISWDSLDNEIRQQELRLREFVTKLQKKVFDVEELVKMSNFEIHPHSHQLTHHQRYKLDARLQQIPNIVNKLYTCQQESLEQEFNTLDCLCENGINEENLLSKIESEMKCRVENLRQYEQWWVQKHQKILTQEKSLKSTLMAKRIKLKASASALKKAETLARLQQYDVPKYKINRMENKMHFVLNDPSASAACM
+>sp|O60729|CC14B_HUMAN Dual specificity protein phosphatase CDC14B OS=Homo sapiens OX=9606 GN=CDC14B PE=1 SV=1
+MKRKSERRSSWAAAPPCSRRCSSTSPGVKKIRSSTQQDPRRRDPQDDVYLDITDRLCFAILYSRPKSASNVHYFSIDNELEYENFYADFGPLNLAMVYRYCCKINKKLKSITMLRKKIVHFTGSDQRKQANAAFLVGCYMVIYLGRTPEEAYRILIFGETSYIPFRDAAYGSCNFYITLLDCFHAVKKAMQYGFLNFNSFNLDEYEHYEKAENGDLNWIIPDRFIAFCGPHSRARLESGYHQHSPETYIQYFKNHNVTTIIRLNKRMYDAKRFTDAGFDHHDLFFADGSTPTDAIVKEFLDICENAEGAIAVHCKAGLGRTGTLIACYIMKHYRMTAAETIAWVRICRPGSVIGPQQQFLVMKQTNLWLEGDYFRQKLKGQENGQHRAAFSKLLSGVDDISINGVENQDQQEPEPYSDDDEINGVTQGDRLRALKSRRQSKTNAIPLTVILQSSVQSCKTSEPNISGSAGITKRTTRSASRKSSVKSLSISRTKTVLR
+>DECOY_sp|O60729|CC14B_HUMAN Dual specificity protein phosphatase CDC14B OS=Homo sapiens OX=9606 GN=CDC14B PE=1 SV=1
+RLVTKTRSISLSKVSSKRSASRTTRKTIGASGSINPESTKCSQVSSQLIVTLPIANTKSQRRSKLARLRDGQTVGNIEDDDSYPEPEQQDQNEVGNISIDDVGSLLKSFAARHQGNEQGKLKQRFYDGELWLNTQKMVLFQQQPGIVSGPRCIRVWAITEAATMRYHKMIYCAILTGTRGLGAKCHVAIAGEANECIDLFEKVIADTPTSGDAFFLDHHDFGADTFRKADYMRKNLRIITTVNHNKFYQIYTEPSHQHYGSELRARSHPGCFAIFRDPIIWNLDGNEAKEYHEYEDLNFSNFNLFGYQMAKKVAHFCDLLTIYFNCSGYAADRFPIYSTEGFILIRYAEEPTRGLYIVMYCGVLFAANAQKRQDSGTFHVIKKRLMTISKLKKNIKCCYRYVMALNLPGFDAYFNEYELENDISFYHVNSASKPRSYLIAFCLRDTIDLYVDDQPDRRRPDQQTSSRIKKVGPSTSSCRRSCPPAAAWSSRRESKRKM
+>sp|Q8IYT3|CC170_HUMAN Coiled-coil domain-containing protein 170 OS=Homo sapiens OX=9606 GN=CCDC170 PE=1 SV=3
+MSLDCTSHIALGAASPAPEETYDHLSEVPVTREQLNHYRNVAQNARSELAATLVKFECAQSELQDLRSKMLSKEVSCQELKAEMESYKENNARKSSLLTSLRDRVQELEEESAALSTSKIRTEITAHAAIKENQELKKKVVELNEKLQKCSKENEENKKQVSKNCRKHEEFLTQLRDCLDPDERNDKASDEDLILKLRDLRKENEFVKGQIVILEETINVHEMEAKASRETIMRLASEVNREQKKAASCTEEKEKLNQDLLSAVEAKEALEREVKIFQERLLAGQQVWDASKQEVSLLKKSSSELEKSLKASQDAVTTSQSQYFSFREKIAALLRGRLSMTGSTEDTILEKIREMDSREESRDRMVSQLEAQISELVEQLGKESGFHQKALQRAQKAENMLETLQGQLTHLEAELVSGGVLRDNLNFEKQKYLKFLDQLSQKMKLDQMAAELGFDMRLDVVLARTEQLVRLESNAVIENKTIAHNLQRKLKTQKERLESKELHMSLLRQKIAQLEEEKQARTALVVERDNAHLTIRNLQKKVERLQKELNTCRDLHTELKAKLADTNELKIKTLEQTKAIEDLNKSRDQLEKMKEKAEKKLMSVKSELDTTEHEAKENKERARNMIEVVTSEMKTLKKSLEEAEKREKQLADFREVVSQMLGLNVTSLALPDYEIIKCLERLVHSHQHHFVTCACLKDVTTGQERHPQGHLQLLH
+>DECOY_sp|Q8IYT3|CC170_HUMAN Coiled-coil domain-containing protein 170 OS=Homo sapiens OX=9606 GN=CCDC170 PE=1 SV=3
+HLLQLHGQPHREQGTTVDKLCACTVFHHQHSHVLRELCKIIEYDPLALSTVNLGLMQSVVERFDALQKERKEAEELSKKLTKMESTVVEIMNRAREKNEKAEHETTDLESKVSMLKKEAKEKMKELQDRSKNLDEIAKTQELTKIKLENTDALKAKLETHLDRCTNLEKQLREVKKQLNRITLHANDREVVLATRAQKEEELQAIKQRLLSMHLEKSELREKQTKLKRQLNHAITKNEIVANSELRVLQETRALVVDLRMDFGLEAAMQDLKMKQSLQDLFKLYKQKEFNLNDRLVGGSVLEAELHTLQGQLTELMNEAKQARQLAKQHFGSEKGLQEVLESIQAELQSVMRDRSEERSDMERIKELITDETSGTMSLRGRLLAAIKERFSFYQSQSTTVADQSAKLSKELESSSKKLLSVEQKSADWVQQGALLREQFIKVERELAEKAEVASLLDQNLKEKEETCSAAKKQERNVESALRMITERSAKAEMEHVNITEELIVIQGKVFENEKRLDRLKLILDEDSAKDNREDPDLCDRLQTLFEEHKRCNKSVQKKNEENEKSCKQLKENLEVVKKKLEQNEKIAAHATIETRIKSTSLAASEEELEQVRDRLSTLLSSKRANNEKYSEMEAKLEQCSVEKSLMKSRLDQLESQACEFKVLTAALESRANQAVNRYHNLQERTVPVESLHDYTEEPAPSAAGLAIHSTCDLSM
+>sp|Q9NQR7|CC177_HUMAN Coiled-coil domain-containing protein 177 OS=Homo sapiens OX=9606 GN=CCDC177 PE=2 SV=3
+MVDPVPEEEKAGAEPGDSGGDEAVASVPPDSQGAQEPAASSASASASAAVPRKAEVPCAAAEGGRREQSPLLHLDLFNFDCPEAEGSRYVLTSPRSLEACARCAVKPVELLPRALADLVREAPGRSMRVATGLYEAYEAERRAKLQQCRAERERIMREEKRRLFTPLSPAAAAAAAAAAASAPSAGSSSSCSSASLPASPAPRAARKASPSPSSARTQPPPAGSRTGRKSHSLDSLSRRREGALSSESGASSSSYSGESLRELRWPPRASARNSCPAGSASSTTNAPGRPSALTLVPITGRSFSLGDLSHSPQTAQHVERIVRQVRAERGLRGVPERDRKIAALMLARHQEELLLLEQRAAAHGQWELQRVHAKQRREREEREKQRALEQGRRAWAAQVEERRGRRGREEREAARRRQRQYERSEERRRELAERQGLLRRERAERAAREDRLRKLQQEQNLKQREEGLQEGRERAEQIRRERAQRAARAKQRQEGQLQREKRELSRAERARHEALLQGRTRQQRQEREGLRSSLEASLGRAQENYEHLVEQRTRELRERARREELQGRRAKEAAERKEREHQAHLEALARAGERRLQHATQVAEEAVQQKARRVGQSRLEKERAQRANKEKVERDEDCRRRELLQAIGRKLERSEQLTRERRSALESARSTARASFHVREKVREETNTRSFDRMVREAQLHASLDRK
+>DECOY_sp|Q9NQR7|CC177_HUMAN Coiled-coil domain-containing protein 177 OS=Homo sapiens OX=9606 GN=CCDC177 PE=2 SV=3
+KRDLSAHLQAERVMRDFSRTNTEERVKERVHFSARATSRASELASRRERTLQESRELKRGIAQLLERRRCDEDREVKEKNARQAREKELRSQGVRRAKQQVAEEAVQTAHQLRREGARALAELHAQHEREKREAAEKARRGQLEERRARERLERTRQEVLHEYNEQARGLSAELSSRLGEREQRQQRTRGQLLAEHRAREARSLERKERQLQGEQRQKARAARQARERRIQEARERGEQLGEERQKLNQEQQLKRLRDERAAREARERRLLGQREALERRREESREYQRQRRRAAEREERGRRGRREEVQAAWARRGQELARQKEREERERRQKAHVRQLEWQGHAAARQELLLLEEQHRALMLAAIKRDREPVGRLGREARVQRVIREVHQATQPSHSLDGLSFSRGTIPVLTLASPRGPANTTSSASGAPCSNRASARPPWRLERLSEGSYSSSSAGSESSLAGERRRSLSDLSHSKRGTRSGAPPPQTRASSPSPSAKRAARPAPSAPLSASSCSSSSGASPASAAAAAAAAAAAPSLPTFLRRKEERMIREREARCQQLKARREAEYAEYLGTAVRMSRGPAERVLDALARPLLEVPKVACRACAELSRPSTLVYRSGEAEPCDFNFLDLHLLPSQERRGGEAAACPVEAKRPVAASASASASSAAPEQAGQSDPPVSAVAEDGGSDGPEAGAKEEEPVPDVM
+>sp|H3BU77|CC179_HUMAN Coiled-coil domain-containing protein 179 OS=Homo sapiens OX=9606 GN=CCDC179 PE=4 SV=1
+MCLYCWDIEPSQVNPEGPRQHHPSEVTERQLANKRIQNMQHLKKEKRRLNKRFSRPSPIPEPGLLWSS
+>DECOY_sp|H3BU77|CC179_HUMAN Coiled-coil domain-containing protein 179 OS=Homo sapiens OX=9606 GN=CCDC179 PE=4 SV=1
+SSWLLGPEPIPSPRSFRKNLRRKEKKLHQMNQIRKNALQRETVESPHHQRPGEPNVQSPEIDWCYLCM
+>sp|A0A096LP49|CC187_HUMAN Coiled-coil domain-containing protein 187 OS=Homo sapiens OX=9606 GN=CCDC187 PE=2 SV=1
+MPTLVVGTPPTCLGDTPQPCHKNSQRQGPFSHGAPGRAADWKAVAKPRLCAPAAEDDVAALRWPGPSQQPDPPWAAPHVVGSDDLKEPGPWGKACSLPMWSTGPEARDGDSSVSSGRLSCSSGGHDVCVSWKERPPQVLGPQQRPRKSDARLEQLRDKIRAQAWQQGSCASLGTSAPSSASRLHKASTLMLRRKGQEAKNPPPAPECSGFSILSAAERRVEAKASHGQGRELSRVSQHQVPVLREKPKRVKSSSCKREKTPKLPSPRRAAKDKHKDEDSELVGVYAWRKGQALVRSLLGPPPVLRRHHSKDPSRDPALTVDLGDSEKVIAAKCSPVCAQLPDATSAYSDQQVSGNTPSLASFDQPATIQTAMAILQDLRQQIQAGLELAQARKGGQELGPSKRRLQDVAGRGCCRDPNAQSSFSKSPWAMTERKHSSLERARSVHTWEPWSSSTARESCPQRAWGAQGQDRSFQRPESPHERLGHFSQRPWSALAGQACSPQRAWGAQRQGPSSQRPGSPPEKRSPFPQQPWSAVATQPCPRRAWTACETWEDPGPRLRNPLERPSPPAQRPWSSSGVQRAGPQGKGRGIGSPVSAAKHALPRPTGSFPQNPLGKEKDTLRPCPRSRGLLGPSHSSESLREFMRQKAQARRRQALEEKASALRTRELRSRRLQEVYRQQREAVLGRAVPVVSRTTPGIVTFVPSSAQSGGLEASGSLESPVLEWSKVTSGMVLGGQEAPGSFCLCLNRAWNHAETLDPPGMGGPQDGRDAPVLLSASPSLGSLELQDLTTRYLPRGMCIYLDPKEAEHLGTSSSLHLRHKQAQLQALETTAKVLKQRVDSLTAKLQGAEALDTVRDPAVGLLRSCPHSLPAAPTLATPTLATPACPGALGPNWGRGAPGEWVSMQPQPLLPPTYFLDGETLSWGPSWEQQQSVSPRAHCESKPRGFPEEGHVDVKPDKRLQRGVAPFQALSPSAGSSYAGPATLHPIWGSLGLEETPSVGGADSVAPCTPRSCGKGDPADRPWAGWSGGRGIHREHLPLPSTRAWPYGVEKSFSTWRASTPRY
+>DECOY_sp|A0A096LP49|CC187_HUMAN Coiled-coil domain-containing protein 187 OS=Homo sapiens OX=9606 GN=CCDC187 PE=2 SV=1
+YRPTSARWTSFSKEVGYPWARTSPLPLHERHIGRGGSWGAWPRDAPDGKGCSRPTCPAVSDAGGVSPTEELGLSGWIPHLTAPGAYSSGASPSLAQFPAVGRQLRKDPKVDVHGEEPFGRPKSECHARPSVSQQQEWSPGWSLTEGDLFYTPPLLPQPQMSVWEGPAGRGWNPGLAGPCAPTALTPTALTPAAPLSHPCSRLLGVAPDRVTDLAEAGQLKATLSDVRQKLVKATTELAQLQAQKHRLHLSSSTGLHEAEKPDLYICMGRPLYRTTLDQLELSGLSPSASLLVPADRGDQPGGMGPPDLTEAHNWARNLCLCFSGPAEQGGLVMGSTVKSWELVPSELSGSAELGGSQASSPVFTVIGPTTRSVVPVARGLVAERQQRYVEQLRRSRLERTRLASAKEELAQRRRAQAKQRMFERLSESSHSPGLLGRSRPCPRLTDKEKGLPNQPFSGTPRPLAHKAASVPSGIGRGKGQPGARQVGSSSWPRQAPPSPRELPNRLRPGPDEWTECATWARRPCPQTAVASWPQQPFPSRKEPPSGPRQSSPGQRQAGWARQPSCAQGALASWPRQSFHGLREHPSEPRQFSRDQGQAGWARQPCSERATSSSWPEWTHVSRARELSSHKRETMAWPSKSFSSQANPDRCCGRGAVDQLRRKSPGLEQGGKRAQALELGAQIQQRLDQLIAMATQITAPQDFSALSPTNGSVQQDSYASTADPLQACVPSCKAAIVKESDGLDVTLAPDRSPDKSHHRRLVPPPGLLSRVLAQGKRWAYVGVLESDEDKHKDKAARRPSPLKPTKERKCSSSKVRKPKERLVPVQHQSVRSLERGQGHSAKAEVRREAASLISFGSCEPAPPPNKAEQGKRRLMLTSAKHLRSASSPASTGLSACSGQQWAQARIKDRLQELRADSKRPRQQPGLVQPPREKWSVCVDHGGSSCSLRGSSVSSDGDRAEPGTSWMPLSCAKGWPGPEKLDDSGVVHPAAWPPDPQQSPGPWRLAAVDDEAAPACLRPKAVAKWDAARGPAGHSFPGQRQSNKHCPQPTDGLCTPPTGVVLTPM
+>sp|P0DO97|CC192_HUMAN Coiled-coil domain-containing protein 192 OS=Homo sapiens OX=9606 GN=CCDC192 PE=4 SV=1
+MMPVDVCPRDRGSQWVWLEMGQCYSKKSVVPESDTSERSSMTSGSSESDIPQENKVSKASLDTGQMAFTLAQLESLEICLKEAEEKAKALSEQLSVSEGTKSKLLEQVSRLEEKLEAVDHKEASGGPYEKMVLVKDQCIQKLQAEVKASQEQLIAQKLKHEKKVKKLQTDLATANAITVLELNEKIKTLYEGKPAPREDSLLEGFCGGLPPVEEGDRKISLIMELSTQVSLQTERITQLKEVLEEKERKIQQLEAERSPHPPQEVKDPPGCLPEAPVFSTHDIPPVVSDENL
+>DECOY_sp|P0DO97|CC192_HUMAN Coiled-coil domain-containing protein 192 OS=Homo sapiens OX=9606 GN=CCDC192 PE=4 SV=1
+LNEDSVVPPIDHTSFVPAEPLCGPPDKVEQPPHPSREAELQQIKREKEELVEKLQTIRETQLSVQTSLEMILSIKRDGEEVPPLGGCFGELLSDERPAPKGEYLTKIKENLELVTIANATALDTQLKKVKKEHKLKQAILQEQSAKVEAQLKQICQDKVLVMKEYPGGSAEKHDVAELKEELRSVQELLKSKTGESVSLQESLAKAKEEAEKLCIELSELQALTFAMQGTDLSAKSVKNEQPIDSESSGSTMSSRESTDSEPVVSKKSYCQGMELWVWQSGRDRPCVDVPMM
+>sp|Q96LY2|CC74B_HUMAN Coiled-coil domain-containing protein 74B OS=Homo sapiens OX=9606 GN=CCDC74B PE=2 SV=1
+MSGAGVAAGTRPPSSPTPGSRRRRQRPSVGVQSLRPQSPQLRQSDPQKRNLDLEKSLQFLQQQHSEMLAKLHEEIEHLKRENKDLRYKLIMNQTSQKKDGPSGNHLSRASAPLGARWVCINGVWVEPGGPSPARLKEGSSRTHRPGGKHGRLAGGSADTVRSPADSLSTSSFQSVKSISNSGKARPQPGSFNKQDSKADVPQKADLEEEPLLHNSKLDKVPGVQGQARKEKAEASNAGAACMGNSQHQGRQMGAAAHPPMILPLPLRKPTTLRQCEVLIRELWNTNLLQTQELQHLKSLLEGSQRPQAVPEEASFPRDQEATHFPKVSTKSLSKKCLLLSPPVAERAILPALKQTPKNNFAERQKRLQAMQKRRLHRSVL
+>DECOY_sp|Q96LY2|CC74B_HUMAN Coiled-coil domain-containing protein 74B OS=Homo sapiens OX=9606 GN=CCDC74B PE=2 SV=1
+LVSRHLRRKQMAQLRKQREAFNNKPTQKLAPLIAREAVPPSLLLCKKSLSKTSVKPFHTAEQDRPFSAEEPVAQPRQSGELLSKLHQLEQTQLLNTNWLERILVECQRLTTPKRLPLPLIMPPHAAAGMQRGQHQSNGMCAAGANSAEAKEKRAQGQVGPVKDLKSNHLLPEEELDAKQPVDAKSDQKNFSGPQPRAKGSNSISKVSQFSSTSLSDAPSRVTDASGGALRGHKGGPRHTRSSGEKLRAPSPGGPEVWVGNICVWRAGLPASARSLHNGSPGDKKQSTQNMILKYRLDKNERKLHEIEEHLKALMESHQQQLFQLSKELDLNRKQPDSQRLQPSQPRLSQVGVSPRQRRRRSGPTPSSPPRTGAAVGAGSM
+>sp|A6NKD9|CC85C_HUMAN Coiled-coil domain-containing protein 85C OS=Homo sapiens OX=9606 GN=CCDC85C PE=1 SV=1
+MAKPAATAAAASEELSQVPDEELLRWSKEELARRLRRAEGEKVGLMLEHGGLMRDVNRRLQQHLLEIRGLKDVNQRLQDDNQELRELCCFLDDDRQKGRKLAREWQRFGRHAAGAVWHEVARSQQKLRELEARQEALLRENLELKELVLLLDEERAALAATGAASGGGGGGGGAGSRSSIDSQASLSGPLSGGAPGAGARDVGDGSSTSSAGSGGSPDHHHHVPPPLLPPGPHKAPDGKAGATRRSLDDLSAPPHHRSIPNGLHDPSSTYIRQLESKVRLLEGDKLLAQQAGSGEFRTLRKGFSPYHSESQLASLPPSYQDSLQNGPACPAPELPSPPSAGYSPAGQKPEAVVHAMKVLEVHENLDRQLQDSCEEDLSEKEKAIVREMCNVVWRKLGDAASSKPSIRQHLSGNQFKGPL
+>DECOY_sp|A6NKD9|CC85C_HUMAN Coiled-coil domain-containing protein 85C OS=Homo sapiens OX=9606 GN=CCDC85C PE=1 SV=1
+LPGKFQNGSLHQRISPKSSAADGLKRWVVNCMERVIAKEKESLDEECSDQLQRDLNEHVELVKMAHVVAEPKQGAPSYGASPPSPLEPAPCAPGNQLSDQYSPPLSALQSESHYPSFGKRLTRFEGSGAQQALLKDGELLRVKSELQRIYTSSPDHLGNPISRHHPPASLDDLSRRTAGAKGDPAKHPGPPLLPPPVHHHHDPSGGSGASSTSSGDGVDRAGAGPAGGSLPGSLSAQSDISSRSGAGGGGGGGGSAAGTAALAAREEDLLLVLEKLELNERLLAEQRAELERLKQQSRAVEHWVAGAAHRGFRQWERALKRGKQRDDDLFCCLERLEQNDDQLRQNVDKLGRIELLHQQLRRNVDRMLGGHELMLGVKEGEARRLRRALEEKSWRLLEEDPVQSLEESAAAATAAPKAM
+>sp|Q5T9S5|CCD18_HUMAN Coiled-coil domain-containing protein 18 OS=Homo sapiens OX=9606 GN=CCDC18 PE=1 SV=1
+MESSSSDYYNKDNEEESLLANVASLRHELKITEWSLQSLGEELSSVSPSENSDYAPNPSRSEKLILDVQPSHPGLLNYSPYENVCKISGSSTDFQKKPRDKMFSSSAPVDQEIKSLREKLNKLRQQNACLVTQNHSLMTKFESIHFELTQSRAKVSMLESAQQQAASVPILEEQIINLEAEVSAQDKVLREAENKLEQSQKMVIEKEQSLQESKEECIKLKVDLLEQTKQGKRAERQRNEALYNAEELSKAFQQYKKKVAEKLEKVQAEEEILERNLTNCEKENKRLQERCGLYKSELEILKEKLRQLKEENNNGKEKLRIMAVKNSEVMAQLTESRQSILKLESELENKDEILRDKFSLMNENRELKVRVAAQNERLDLCQQEIESSRVELRSLEKIISQLPLKRELFGFKSYLSKYQMSSFSNKEDRCIGCCEANKLVISELRIKLAIKEAEIQKLHANLTANQLSQSLITCNDSQESSKLSSLETEPVKLGGHQVESVKDQNQHTMNKQYEKERQRLVTGIEELRTKLIQIEAENSDLKVNMAHRTSQFQLIQEELLEKASNSSKLESEMTKKCSQLLTLEKQLEEKIVAYSSIAAKNAELEQELMEKNEKIRSLETNINTEHEKICLAFEKAKKIHLEQHKEMEKQIERLEAQLEKKDQQFKEQEKTMSMLQQDIICKQHHLESLDRLLTESKGEMKKENMKKDEALKALQNQVSEETIKVRQLDSALEICKEELVLHLNQLEGNKEKFEKQLKKKSEEVYCLQKELKIKNHSLQETSEQNVILQHTLQQQQQMLQQETIRNGELEDTQTKLEKQVSKLEQELQKQRESSAEKLRKMEEKCESAAHEADLKRQKVIELTGTARQVKIEMDQYKEELSKMEKEIMHLKRDGENKAMHLSQLDMILDQTKTELEKKTNAVKELEKLQHSTETELTEALQKREVLETELQNAHGELKSTLRQLQELRDVLQKAQLSLEEKYTTIKDLTAELRECKMEIEDKKQELLEMDQALKERNWELKQRAAQVTHLDMTIREHRGEMEQKIIKLEGTLEKSELELKECNKQIESLNDKLQNAKEQLREKEFIMLQNEQEISQLKKEIERTQQRMKEMESVMKEQEQYIATQYKEAIDLGQELRLTREQVQNSHTELAEARHQQVQAQREIERLSSELEDMKQLSKEKDAHGNHLAEELGASKVREAHLEARMQAEIKKLSAEVESLKEAYHMEMISHQENHAKWKISADSQKSSVQQLNEQLEKAKLELEEAQDTVSNLHQQVQDRNEVIEAANEALLTKESELTRLQAKISGHEKAEDIKFLPAPFTSPTEIMPDVQDPKFAKCFHTSFSKCTKLRRSISASDLTFKIHGDEDLSEELLQDLKKMQLEQPSTLEESHKNLTYTQPDSFKPLTYNLEADSSENNDFNTLSGMLRYINKEVRLLKKSSMQTGAGLNQGENV
+>DECOY_sp|Q5T9S5|CCD18_HUMAN Coiled-coil domain-containing protein 18 OS=Homo sapiens OX=9606 GN=CCDC18 PE=1 SV=1
+VNEGQNLGAGTQMSSKKLLRVEKNIYRLMGSLTNFDNNESSDAELNYTLPKFSDPQTYTLNKHSEELTSPQELQMKKLDQLLEESLDEDGHIKFTLDSASISRRLKTCKSFSTHFCKAFKPDQVDPMIETPSTFPAPLFKIDEAKEHGSIKAQLRTLESEKTLLAENAAEIVENRDQVQQHLNSVTDQAEELELKAKELQENLQQVSSKQSDASIKWKAHNEQHSIMEMHYAEKLSEVEASLKKIEAQMRAELHAERVKSAGLEEALHNGHADKEKSLQKMDELESSLREIERQAQVQQHRAEALETHSNQVQERTLRLEQGLDIAEKYQTAIYQEQEKMVSEMEKMRQQTREIEKKLQSIEQENQLMIFEKERLQEKANQLKDNLSEIQKNCEKLELESKELTGELKIIKQEMEGRHERITMDLHTVQAARQKLEWNREKLAQDMELLEQKKDEIEMKCERLEATLDKITTYKEELSLQAKQLVDRLEQLQRLTSKLEGHANQLETELVERKQLAETLETETSHQLKELEKVANTKKELETKTQDLIMDLQSLHMAKNEGDRKLHMIEKEMKSLEEKYQDMEIKVQRATGTLEIVKQRKLDAEHAASECKEEMKRLKEASSERQKQLEQELKSVQKELKTQTDELEGNRITEQQLMQQQQQLTHQLIVNQESTEQLSHNKIKLEKQLCYVEESKKKLQKEFKEKNGELQNLHLVLEEKCIELASDLQRVKITEESVQNQLAKLAEDKKMNEKKMEGKSETLLRDLSELHHQKCIIDQQLMSMTKEQEKFQQDKKELQAELREIQKEMEKHQELHIKKAKEFALCIKEHETNINTELSRIKENKEMLEQELEANKAAISSYAVIKEELQKELTLLQSCKKTMESELKSSNSAKELLEEQILQFQSTRHAMNVKLDSNEAEIQILKTRLEEIGTVLRQREKEYQKNMTHQNQDKVSEVQHGGLKVPETELSSLKSSEQSDNCTILSQSLQNATLNAHLKQIEAEKIALKIRLESIVLKNAECCGICRDEKNSFSSMQYKSLYSKFGFLERKLPLQSIIKELSRLEVRSSEIEQQCLDLRENQAAVRVKLERNENMLSFKDRLIEDKNELESELKLISQRSETLQAMVESNKVAMIRLKEKGNNNEEKLQRLKEKLIELESKYLGCREQLRKNEKECNTLNRELIEEEAQVKELKEAVKKKYQQFAKSLEEANYLAENRQREARKGQKTQELLDVKLKICEEKSEQLSQEKEIVMKQSQELKNEAERLVKDQASVEAELNIIQEELIPVSAAQQQASELMSVKARSQTLEFHISEFKTMLSHNQTVLCANQQRLKNLKERLSKIEQDVPASSSFMKDRPKKQFDTSSGSIKCVNEYPSYNLLGPHSPQVDLILKESRSPNPAYDSNESPSVSSLEEGLSQLSWETIKLEHRLSAVNALLSEEENDKNYYDSSSSEM
+>sp|Q2M243|CCD27_HUMAN Coiled-coil domain-containing protein 27 OS=Homo sapiens OX=9606 GN=CCDC27 PE=2 SV=2
+MFEAIFPSTPQARLKRDPREKPGLSSFRSTFRQQSSLGLCIPRLMLPKEASPSQRHSSMSSSMARALVLLQSMASRDARCPEWKPHQKPRTLSKSVQTISRYYRKTSEPKDAASLTGFMSKMELRRVFPTHPDCPQFSTRATSMSHCGSPTEADLSGEIDNSSETWRGTQDLFLARRGSDTNVDGYLLPFSKSICEFDYLRKRRKSQTLSPVTSSSVASQSCLRKRMPWYLSVIHEKDHCLSELEIQVQKKDEEILLLQEEREALKMQLKCLLKGKGQETSMSPGRREQLSDASLKLGRLSLLKAFSRHEEELQHWWQMQEESAAPERGKEPDLGGGEEDEGLEGEPDGVEDTGAWGGVSQMGSVHEEGSEEEEEEEGDRDEDSEERELPEEEEIPRRRASSLAESFEEELLAQLEEYEQVILDFQFNLEATRTRYSLATGVIASLQQQVDFQETQLRKINTENETLQKELRERRQQLQAMTDKFSNLREDKKHQEMMGLIEKDNQLLRQQVSELERKLTKRDCVISELDTKVSQLQEQVELDQNHLQRWKQLQEDLQSKKEMIQQAEQHTRVALESSQSRLERLRNKIIQATFSISGTKSLANEISDNDILEALQRIISERSDYYNQLKQKGVKVPPLQQSEAFLTSKSKKGTSK
+>DECOY_sp|Q2M243|CCD27_HUMAN Coiled-coil domain-containing protein 27 OS=Homo sapiens OX=9606 GN=CCDC27 PE=2 SV=2
+KSTGKKSKSTLFAESQQLPPVKVGKQKLQNYYDSRESIIRQLAELIDNDSIENALSKTGSISFTAQIIKNRLRELRSQSSELAVRTHQEAQQIMEKKSQLDEQLQKWRQLHNQDLEVQEQLQSVKTDLESIVCDRKTLKRELESVQQRLLQNDKEILGMMEQHKKDERLNSFKDTMAQLQQRRERLEKQLTENETNIKRLQTEQFDVQQQLSAIVGTALSYRTRTAELNFQFDLIVQEYEELQALLEEEFSEALSSARRRPIEEEEPLEREESDEDRDGEEEEEEESGEEHVSGMQSVGGWAGTDEVGDPEGELGEDEEGGGLDPEKGREPAASEEQMQWWHQLEEEHRSFAKLLSLRGLKLSADSLQERRGPSMSTEQGKGKLLCKLQMKLAEREEQLLLIEEDKKQVQIELESLCHDKEHIVSLYWPMRKRLCSQSAVSSSTVPSLTQSKRRKRLYDFECISKSFPLLYGDVNTDSGRRALFLDQTGRWTESSNDIEGSLDAETPSGCHSMSTARTSFQPCDPHTPFVRRLEMKSMFGTLSAADKPESTKRYYRSITQVSKSLTRPKQHPKWEPCRADRSAMSQLLVLARAMSSSMSSHRQSPSAEKPLMLRPICLGLSSQQRFTSRFSSLGPKERPDRKLRAQPTSPFIAEFM
+>sp|Q9BV29|CCD32_HUMAN Coiled-coil domain-containing protein 32 OS=Homo sapiens OX=9606 GN=CCDC32 PE=1 SV=2
+MKMFESADSTATRSGQDLWAEICSCLPNPEQEDGANNAFSDSFVDSCPEGEGQREVADFAVQPAVKPWAPLQDSEVYLASLEKKLRRIKGLNQEVTSKDMLRTLAQAKKECWDRFLQEKLASEFFVDGLDSDESTLEHFKRWLQPDKVAVSTEEVQYLIPPESQVEKPVAEDEPAAGDKPAAAEQ
+>DECOY_sp|Q9BV29|CCD32_HUMAN Coiled-coil domain-containing protein 32 OS=Homo sapiens OX=9606 GN=CCDC32 PE=1 SV=2
+QEAAAPKDGAAPEDEAVPKEVQSEPPILYQVEETSVAVKDPQLWRKFHELTSEDSDLGDVFFESALKEQLFRDWCEKKAQALTRLMDKSTVEQNLGKIRRLKKELSALYVESDQLPAWPKVAPQVAFDAVERQGEGEPCSDVFSDSFANNAGDEQEPNPLCSCIEAWLDQGSRTATSDASEFMKM
+>sp|Q9UFE4|CCD39_HUMAN Coiled-coil domain-containing protein 39 OS=Homo sapiens OX=9606 GN=CCDC39 PE=2 SV=3
+MSSEFLAELHWEDGFAIPVANEENKLLEDQLSKLKDERASLQDELREYEERINSMTSHFKNVKQELSITQSLCKARERETESEEHFKAIAQRELGRVKDEIQRLENEMASILEKKSDKENGIFKATQKLDGLKCQMNWDQQALEAWLEESAHKDSDALTLQKYAQQDDNKIRALTLQLERLTLECNQKRKILDNELTETISAQLELDKAAQDFRKIHNERQELIKQWENTIEQMQKRDGDIDNCALELARIKQETREKENLVKEKIKFLESEIGNNTEFEKRISVADRKLLKCRTAYQDHETSRIQLKGELDSLKATVNRTSSDLEALRKNISKIKKDIHEETARLQKTKNHNEIIQTKLKEITEKTMSVEEKATNLEDMLKEEEKDVKEVDVQLNLIKGVLFKKAQELQTETMKEKAVLSEIEGTRSSLKHLNHQLQKLDFETLKQQEIMYSQDFHIQQVERRMSRLKGEINSEEKQALEAKIVELRKSLEEKKSTCGLLETQIKKLHNDLYFIKKAHSKNSDEKQSLMTKINELNLFIDRSEKELDKAKGFKQDLMIEDNLLKLEVKRTREMLHSKAEEVLSLEKRKQQLYTAMEERTEEIKVHKTMLASQIRYVDQERENISTEFRERLSKIEKLKNRYEILTVVMLPPEGEEEKTQAYYVIKAAQEKEELQREGDCLDAKINKAEKEIYALENTLQVLNSCNNNYKQSFKKVTPSSDEYELKIQLEEQKRAVDEKYRYKQRQIRELQEDIQSMENTLDVIEHLANNVKEKLSEKQAYSFQLSKETEEQKPKLERVTKQCAKLTKEIRLLKDTKDETMEEQDIKLREMKQFHKVIDEMLVDIIEENTEIRIILQTYFQQSGLELPTASTKGSRQSSRSPSHTSLSARSSRSTSTSTSQSSIKVLELKFPASSSLVGSPSRPSSASSSSSNVKSKKSSK
+>DECOY_sp|Q9UFE4|CCD39_HUMAN Coiled-coil domain-containing protein 39 OS=Homo sapiens OX=9606 GN=CCDC39 PE=2 SV=3
+KSSKKSKVNSSSSSASSPRSPSGVLSSSAPFKLELVKISSQSTSTSTSRSSRASLSTHSPSRSSQRSGKTSATPLELGSQQFYTQLIIRIETNEEIIDVLMEDIVKHFQKMERLKIDQEEMTEDKTDKLLRIEKTLKACQKTVRELKPKQEETEKSLQFSYAQKESLKEKVNNALHEIVDLTNEMSQIDEQLERIQRQKYRYKEDVARKQEELQIKLEYEDSSPTVKKFSQKYNNNCSNLVQLTNELAYIEKEAKNIKADLCDGERQLEEKEQAAKIVYYAQTKEEEGEPPLMVVTLIEYRNKLKEIKSLRERFETSINEREQDVYRIQSALMTKHVKIEETREEMATYLQQKRKELSLVEEAKSHLMERTRKVELKLLNDEIMLDQKFGKAKDLEKESRDIFLNLENIKTMLSQKEDSNKSHAKKIFYLDNHLKKIQTELLGCTSKKEELSKRLEVIKAELAQKEESNIEGKLRSMRREVQQIHFDQSYMIEQQKLTEFDLKQLQHNLHKLSSRTGEIESLVAKEKMTETQLEQAKKFLVGKILNLQVDVEKVDKEEEKLMDELNTAKEEVSMTKETIEKLKTQIIENHNKTKQLRATEEHIDKKIKSINKRLAELDSSTRNVTAKLSDLEGKLQIRSTEHDQYATRCKLLKRDAVSIRKEFETNNGIESELFKIKEKVLNEKERTEQKIRALELACNDIDGDRKQMQEITNEWQKILEQRENHIKRFDQAAKDLELQASITETLENDLIKRKQNCELTLRELQLTLARIKNDDQQAYKQLTLADSDKHASEELWAELAQQDWNMQCKLGDLKQTAKFIGNEKDSKKELISAMENELRQIEDKVRGLERQAIAKFHEESETERERAKCLSQTISLEQKVNKFHSTMSNIREEYERLEDQLSAREDKLKSLQDELLKNEENAVPIAFGDEWHLEALFESSM
+>sp|Q4G0X9|CCD40_HUMAN Coiled-coil domain-containing protein 40 OS=Homo sapiens OX=9606 GN=CCDC40 PE=2 SV=2
+MAEPGGAAGRSHPEDGSASEGEKEGNNESHMVSPPEKDDGQKGEEAVGSTEHPEEVTTQAEAAIEEGEVETEGEAAVEGEEEAVSYGDAESEEEYYYTETSSPEGQISAADTTYPYFSPPQELPGEEAYDSVSGEAGLQGFQQEATGPPESRERRVTSPEPSHGVLGPSEQMGQVTSGPAVGRLTGSTEEPQGQVLPMGVQHRFRLSHGSDIESSDLEEFVSQEPVIPPGVPDAHPREGDLPVFQDQIQQPSTEEGAMAERVESEGSDEEAEDEGSQLVVLDPDHPLMVRFQAALKNYLNRQIEKLKLDLQELVVATKQSRAQRQELGVNLYEVQQHLVHLQKLLEKSHDRHAMASSERRQKEEELQAARALYTKTCAAANEERKKLAALQTEMENLALHLFYMQNIDQDMRDDIRVMTQVVKKAETERIRAEIEKKKQDLYVDQLTTRAQQLEEDIALFEAQYLAQAEDTRILRKAVSEACTEIDAISVEKRRIMQQWASSLVGMKHRDEAHRAVLEALRGCQHQAKSTDGEIEAYKKSIMKEEEKNEKLASILNRTETEATLLQKLTTQCLTKQVALQSQFNTYRLTLQDTEDALSQDQLEQMILTEELQAIRQAIQGELELRRKTDAAIREKLQEHMTSNKTTKYFNQLILRLQKEKTNMMTHLSKINGDIAQTTLDITHTSSRLDAHQKTLVELDQDVKKVNELITNSQSEISRRTILIERKQGLINFLNKQLERMVSELGGEEVGPLELEIKRLSKLIDEHDGKAVQAQVTWLRLQQEMVKVTQEQEEQLASLDASKKELHIMEQKKLRVESKIEQEKKEQKEIEHHMKDLDNDLKKLNMLMNKNRCSSEELEQNNRVTENEFVRSLKASERETIKMQDKLNQLSEEKATLLNQLVEAEHQIMLWEKKIQLAKEMRSSVDSEIGQTEIRAMKGEIHRMKVRLGQLLKQQEKMIRAMELAVARRETVTTQAEGQRKMDRKALTRTDFHHKQLELRRKIRDVRKATDECTKTVLELEETQRNVSSSLLEKQEKLSVIQADFDTLEADLTRLGALKRQNLSEIVALQTRLKHLQAVKEGRYVFLFRSKQSLVLERQRLDKRLALIATILDRVRDEYPQFQEALHKVSQMIANKLESPGPS
+>DECOY_sp|Q4G0X9|CCD40_HUMAN Coiled-coil domain-containing protein 40 OS=Homo sapiens OX=9606 GN=CCDC40 PE=2 SV=2
+SPGPSELKNAIMQSVKHLAEQFQPYEDRVRDLITAILALRKDLRQRELVLSQKSRFLFVYRGEKVAQLHKLRTQLAVIESLNQRKLAGLRTLDAELTDFDAQIVSLKEQKELLSSSVNRQTEELELVTKTCEDTAKRVDRIKRRLELQKHHFDTRTLAKRDMKRQGEAQTTVTERRAVALEMARIMKEQQKLLQGLRVKMRHIEGKMARIETQGIESDVSSRMEKALQIKKEWLMIQHEAEVLQNLLTAKEESLQNLKDQMKITERESAKLSRVFENETVRNNQELEESSCRNKNMLMNLKKLDNDLDKMHHEIEKQEKKEQEIKSEVRLKKQEMIHLEKKSADLSALQEEQEQTVKVMEQQLRLWTVQAQVAKGDHEDILKSLRKIELELPGVEEGGLESVMRELQKNLFNILGQKREILITRRSIESQSNTILENVKKVDQDLEVLTKQHADLRSSTHTIDLTTQAIDGNIKSLHTMMNTKEKQLRLILQNFYKTTKNSTMHEQLKERIAADTKRRLELEGQIAQRIAQLEETLIMQELQDQSLADETDQLTLRYTNFQSQLAVQKTLCQTTLKQLLTAETETRNLISALKENKEEEKMISKKYAEIEGDTSKAQHQCGRLAELVARHAEDRHKMGVLSSAWQQMIRRKEVSIADIETCAESVAKRLIRTDEAQALYQAEFLAIDEELQQARTTLQDVYLDQKKKEIEARIRETEAKKVVQTMVRIDDRMDQDINQMYFLHLALNEMETQLAALKKREENAAACTKTYLARAAQLEEEKQRRESSAMAHRDHSKELLKQLHVLHQQVEYLNVGLEQRQARSQKTAVVLEQLDLKLKEIQRNLYNKLAAQFRVMLPHDPDLVVLQSGEDEAEEDSGESEVREAMAGEETSPQQIQDQFVPLDGERPHADPVGPPIVPEQSVFEELDSSEIDSGHSLRFRHQVGMPLVQGQPEETSGTLRGVAPGSTVQGMQESPGLVGHSPEPSTVRRERSEPPGTAEQQFGQLGAEGSVSDYAEEGPLEQPPSFYPYTTDAASIQGEPSSTETYYYEEESEADGYSVAEEEGEVAAEGETEVEGEEIAAEAQTTVEEPHETSGVAEEGKQGDDKEPPSVMHSENNGEKEGESASGDEPHSRGAAGGPEAM
+>sp|Q2TAC2|CCD57_HUMAN Coiled-coil domain-containing protein 57 OS=Homo sapiens OX=9606 GN=CCDC57 PE=1 SV=2
+MLPLGSEPALNELLLRKEEEWRALQAHRTQLQEAALQDTRSQLEEAQGKLRCLQEDFVYNLQVLEERDLELERYDAAFAQAREWEEARRAEVSELKIEAAKLRQALAREARKVEELQQQQQLAFQEHRLELERVHSDKNGEIDHHREQYENLKWTLERKLEELDGELALQRQELLLEFESKMRKREHEFRLQADNMSNTALSRELKVKLLHKELEALKEAGAKAAESLQRAEATNAELERKLQSRAGELQDLEAMSRARVKDLEDKLHSVQLTRKKEEETFKRKHEELDRLAREKDAVLVAVKGAHVEQLQELQTRVLELQAHCETLEAQLRRAEWRQADTAKEKDAAIDQLREDASTVKSAWDAQIAQLSKEMVSRDLQIQTLQEEEVKLKAQVARSQQDIERYKQQLSLAVERERSLERDQVQLGLDWQRRCDDIERDQIQKSEALIQGLSMAKSQVAAKLQETEQALQEQEVVLKAVTLERDQAVQALRMHGLPRPGAQMLLRQHEEEISKDFPSSEIQRLREQNTSLRNAIAQMRKEMEALSHQIPPPIQTAAESTDANQPDPEAGGDAATPDYVLALEAEIRTLKHKFKTLEKHLEDVLDPLKMSSPHAESQPSVRTSTETTGGSAQAGQAGGSVQAGQAGGSVQAGPVSSGLALRKLGDRVQLLNLLVTRLRQKVLREPLEPAALQRELPREVDQVHLEVLELRKQVAELGKHLRIAQHGGAEPSGRKQPPASDAVALGREQDAKSAEDEAPSRHLGKHQPRSAQVGSRLDALQGPKTQHSIHTVTCKSPRQKEDRSPKPPQAPQHPEEHGRQSHSSSSFASGTLQDMWRLLDLGSSPSGVTSQGDSTPELPAPPAADRRPVKMQAGIATPGMKTAAQAKAKTTGASRSHPAKAKGCQRPPKIRNYNIMD
+>DECOY_sp|Q2TAC2|CCD57_HUMAN Coiled-coil domain-containing protein 57 OS=Homo sapiens OX=9606 GN=CCDC57 PE=1 SV=2
+DMINYNRIKPPRQCGKAKAPHSRSAGTTKAKAQAATKMGPTAIGAQMKVPRRDAAPPAPLEPTSDGQSTVGSPSSGLDLLRWMDQLTGSAFSSSSHSQRGHEEPHQPAQPPKPSRDEKQRPSKCTVTHISHQTKPGQLADLRSGVQASRPQHKGLHRSPAEDEASKADQERGLAVADSAPPQKRGSPEAGGHQAIRLHKGLEAVQKRLELVELHVQDVERPLERQLAAPELPERLVKQRLRTVLLNLLQVRDGLKRLALGSSVPGAQVSGGAQGAQVSGGAQGAQASGGTTETSTRVSPQSEAHPSSMKLPDLVDELHKELTKFKHKLTRIEAELALVYDPTAADGGAEPDPQNADTSEAATQIPPPIQHSLAEMEKRMQAIANRLSTNQERLRQIESSPFDKSIEEEHQRLLMQAGPRPLGHMRLAQVAQDRELTVAKLVVEQEQLAQETEQLKAAVQSKAMSLGQILAESKQIQDREIDDCRRQWDLGLQVQDRELSREREVALSLQQKYREIDQQSRAVQAKLKVEEEQLTQIQLDRSVMEKSLQAIQADWASKVTSADERLQDIAADKEKATDAQRWEARRLQAELTECHAQLELVRTQLEQLQEVHAGKVAVLVADKERALRDLEEHKRKFTEEEKKRTLQVSHLKDELDKVRARSMAELDQLEGARSQLKRELEANTAEARQLSEAAKAGAEKLAELEKHLLKVKLERSLATNSMNDAQLRFEHERKRMKSEFELLLEQRQLALEGDLEELKRELTWKLNEYQERHHDIEGNKDSHVRELELRHEQFALQQQQQLEEVKRAERALAQRLKAAEIKLESVEARRAEEWERAQAFAADYRELELDREELVQLNYVFDEQLCRLKGQAEELQSRTDQLAAEQLQTRHAQLARWEEEKRLLLENLAPESGLPLM
+>sp|Q76M96|CCD80_HUMAN Coiled-coil domain-containing protein 80 OS=Homo sapiens OX=9606 GN=CCDC80 PE=1 SV=1
+MTWRMGPRFTMLLAMWLVCGSEPHPHATIRGSHGGRKVPLVSPDSSRPARFLRHTGRSRGIERSTLEEPNLQPLQRRRSVPVLRLARPTEPPARSDINGAAVRPEQRPAARGSPREMIRDEGSSARSRMLRFPSGSSSPNILASFAGKNRVWVISAPHASEGYYRLMMSLLKDDVYCELAERHIQQIVLFHQAGEEGGKVRRITSEGQILEQPLDPSLIPKLMSFLKLEKGKFGMVLLKKTLQVEERYPYPVRLEAMYEVIDQGPIRRIEKIRQKGFVQKCKASGVEGQVVAEGNDGGGGAGRPSLGSEKKKEDPRRAQVPPTRESRVKVLRKLAATAPALPQPPSTPRATTLPPAPATTVTRSTSRAVTVAARPMTTTAFPTTQRPWTPSPSHRPPTTTEVITARRPSVSENLYPPSRKDQHRERPQTTRRPSKATSLESFTNAPPTTISEPSTRAAGPGRFRDNRMDRREHGHRDPNVVPGPPKPAKEKPPKKKAQDKILSNEYEEKYDLSRPTASQLEDELQVGNVPLKKAKESKKHEKLEKPEKEKKKKMKNENADKLLKSEKQMKKSEKKSKQEKEKSKKKKGGKTEQDGYQKPTNKHFTQSPKKSVADLLGSFEGKRRLLLITAPKAENNMYVQQRDEYLESFCKMATRKISVITIFGPVNNSTMKIDHFQLDNEKPMRVVDDEDLVDQRLISELRKEYGMTYNDFFMVLTDVDLRVKQYYEVPITMKSVFDLIDTFQSRIKDMEKQKKEGIVCKEDKKQSLENFLSRFRWRRRLLVISAPNDEDWAYSQQLSALSGQACNFGLRHITILKLLGVGEEVGGVLELFPINGSSVVEREDVPAHLVKDIRNYFQVSPEYFSMLLVGKDGNVKSWYPSPMWSMVIVYDLIDSMQLRRQEMAIQQSLGMRCPEDEYAGYGYHSYHQGYQDGYQDDYRHHESYHHGYPY
+>DECOY_sp|Q76M96|CCD80_HUMAN Coiled-coil domain-containing protein 80 OS=Homo sapiens OX=9606 GN=CCDC80 PE=1 SV=1
+YPYGHHYSEHHRYDDQYGDQYGQHYSHYGYGAYEDEPCRMGLSQQIAMEQRRLQMSDILDYVIVMSWMPSPYWSKVNGDKGVLLMSFYEPSVQFYNRIDKVLHAPVDEREVVSSGNIPFLELVGGVEEGVGLLKLITIHRLGFNCAQGSLASLQQSYAWDEDNPASIVLLRRRWRFRSLFNELSQKKDEKCVIGEKKQKEMDKIRSQFTDILDFVSKMTIPVEYYQKVRLDVDTLVMFFDNYTMGYEKRLESILRQDVLDEDDVVRMPKENDLQFHDIKMTSNNVPGFITIVSIKRTAMKCFSELYEDRQQVYMNNEAKPATILLLRRKGEFSGLLDAVSKKPSQTFHKNTPKQYGDQETKGGKKKKSKEKEQKSKKESKKMQKESKLLKDANENKMKKKKEKEPKELKEHKKSEKAKKLPVNGVQLEDELQSATPRSLDYKEEYENSLIKDQAKKKPPKEKAPKPPGPVVNPDRHGHERRDMRNDRFRGPGAARTSPESITTPPANTFSELSTAKSPRRTTQPRERHQDKRSPPYLNESVSPRRATIVETTTPPRHSPSPTWPRQTTPFATTTMPRAAVTVARSTSRTVTTAPAPPLTTARPTSPPQPLAPATAALKRLVKVRSERTPPVQARRPDEKKKESGLSPRGAGGGGDNGEAVVQGEVGSAKCKQVFGKQRIKEIRRIPGQDIVEYMAELRVPYPYREEVQLTKKLLVMGFKGKELKLFSMLKPILSPDLPQELIQGESTIRRVKGGEEGAQHFLVIQQIHREALECYVDDKLLSMMLRYYGESAHPASIVWVRNKGAFSALINPSSSGSPFRLMRSRASSGEDRIMERPSGRAAPRQEPRVAAGNIDSRAPPETPRALRLVPVSRRRQLPQLNPEELTSREIGRSRGTHRLFRAPRSSDPSVLPVKRGGHSGRITAHPHPESGCVLWMALLMTFRPGMRWTM
+>sp|Q8N4S0|CCD82_HUMAN Coiled-coil domain-containing protein 82 OS=Homo sapiens OX=9606 GN=CCDC82 PE=1 SV=2
+MIHVRRHETRRNSKSHVPEQKSRVDWRRTKRSSISQLLDSDEELDSEEFDSDEELDSDESFENDEELDSNKGPDCNKTPGSERELNLSKIQSEGNDSKCLINSGNGSTYEEETNKIKHRNIDLQDQEKHLSQEDNDLNKQTGQIIEDDQEKHLSQEDNDLNKQTGQIIEDDLEEEDIKRGKRKRLSSVMCDSDESDDSDILVRKVGVKRPRRVVEDEGSSVEMEQKTPEKTLAAQKREKLQKLKELSKQRSRQRRSSGRDFEDSEKESCPSSDEVDEEEEEDNYESDEDGDDYIIDDFVVQDEEGDEENKNQQGEKLTTSQLKLVKQNSLYSFSDHYTHFERVVKALLINALDESFLGTLYDGTRQKSYAKDMLTSLHYLDNRFVQPRLESLVSRSRWKEQYKERVENYSNVSIHLKNPENCSCQACGLHRYCKYSVHLSGELYNTRTMQIDNFMSHDKQVFTVGRICASRTRIYHKLKHFKFKLYQECCTIAMTEEVEDEQVKETVERIFRRSKENGWIKEKYGQLEEYLNFADYFQEEKFEL
+>DECOY_sp|Q8N4S0|CCD82_HUMAN Coiled-coil domain-containing protein 82 OS=Homo sapiens OX=9606 GN=CCDC82 PE=1 SV=2
+LEFKEEQFYDAFNLYEELQGYKEKIWGNEKSRRFIREVTEKVQEDEVEETMAITCCEQYLKFKFHKLKHYIRTRSACIRGVTFVQKDHSMFNDIQMTRTNYLEGSLHVSYKCYRHLGCAQCSCNEPNKLHISVNSYNEVREKYQEKWRSRSVLSELRPQVFRNDLYHLSTLMDKAYSKQRTGDYLTGLFSEDLANILLAKVVREFHTYHDSFSYLSNQKVLKLQSTTLKEGQQNKNEEDGEEDQVVFDDIIYDDGDEDSEYNDEEEEEDVEDSSPCSEKESDEFDRGSSRRQRSRQKSLEKLKQLKERKQAALTKEPTKQEMEVSSGEDEVVRRPRKVGVKRVLIDSDDSEDSDCMVSSLRKRKGRKIDEEELDDEIIQGTQKNLDNDEQSLHKEQDDEIIQGTQKNLDNDEQSLHKEQDQLDINRHKIKNTEEEYTSGNGSNILCKSDNGESQIKSLNLERESGPTKNCDPGKNSDLEEDNEFSEDSDLEEDSDFEESDLEEDSDLLQSISSRKTRRWDVRSKQEPVHSKSNRRTEHRRVHIM
+>sp|Q7Z6B0|CCD91_HUMAN Coiled-coil domain-containing protein 91 OS=Homo sapiens OX=9606 GN=CCDC91 PE=1 SV=2
+MDDDDFGGFEAAETFDGGSGETQTTSPAIPWAAFPAVSGVHLSPSSPEIVLDRDHSSSIGCLSSDAIISSPENTHAANSIVSQTIPKAQIQQSTHTHLDISLFPLGLTDEKSNGTIALVDDSEDPGANVSNIQLQQKISSLEIKLKVSEEEKQRIKQDVESLMEKHNVLEKGFLKEKEQEAISFQDRYKELQEKHKQELEDMRKAGHEALSIIVDEYKALLQSSVKQQVEAIEKQYISAIEKQAHKCEELLNAQHQRLLEMLDTEKELLKEKIKEALIQQSQEQKEILEKCLEEERQRNKEALVSAAKLEKEAVKDAVLKVVEEERKNLEKAHAEERELWKTEHAKDQEKVSQEIQKAIQEQRKISQETVKAAIIEEQKRSEKAVEEAVKRTRDELIEYIKEQKRLDQVIRQRSLSSLELFLSCAQKQLSALIATEPVDIE
+>DECOY_sp|Q7Z6B0|CCD91_HUMAN Coiled-coil domain-containing protein 91 OS=Homo sapiens OX=9606 GN=CCDC91 PE=1 SV=2
+EIDVPETAILASLQKQACSLFLELSSLSRQRIVQDLRKQEKIYEILEDRTRKVAEEVAKESRKQEEIIAAKVTEQSIKRQEQIAKQIEQSVKEQDKAHETKWLEREEAHAKELNKREEEVVKLVADKVAEKELKAASVLAEKNRQREEELCKELIEKQEQSQQILAEKIKEKLLEKETDLMELLRQHQANLLEECKHAQKEIASIYQKEIAEVQQKVSSQLLAKYEDVIISLAEHGAKRMDELEQKHKEQLEKYRDQFSIAEQEKEKLFGKELVNHKEMLSEVDQKIRQKEEESVKLKIELSSIKQQLQINSVNAGPDESDDVLAITGNSKEDTLGLPFLSIDLHTHTSQQIQAKPITQSVISNAAHTNEPSSIIADSSLCGISSSHDRDLVIEPSSPSLHVGSVAPFAAWPIAPSTTQTEGSGGDFTEAAEFGGFDDDDM
+>sp|Q567U6|CCD93_HUMAN Coiled-coil domain-containing protein 93 OS=Homo sapiens OX=9606 GN=CCDC93 PE=1 SV=2
+MGLPRGPEGQGLPEVETREDEEQNVKLTEILELLVAAGYFRARIKGLSPFDKVVGGMTWCITTCNFDVDVDLLFQENSTIGQKIALSEKIVSVLPRMKCPHQLEPHQIQGMDFIHIFPVVQWLVKRAIETKEEMGDYIRSYSVSQFQKTYSLPEDDDFIKRKEKAIKTVVDLSEVYKPRRKYKRHQGAEELLDEESRIHATLLEYGRRYGFSRQSKMEKAEDKKTALPAGLSATEKADAHEEDELRAAEEQRIQSLMTKMTAMANEESRLTASSVGQIVGLCSAEIKQIVSEYAEKQSELSAEESPEKLGTSQLHRRKVISLNKQIAQKTKHLEELRASHTSLQARYNEAKKTLTELKTYSEKLDKEQAALEKIESKADPSILQNLRALVAMNENLKSQEQEFKAHCREEMTRLQQEIENLKAERAPRGDEKTLSSGEPPGTLTSAMTHDEDLDRRYNMEKEKLYKIRLLQARRNREIAILHRKIDEVPSRAELIQYQKRFIELYRQISAVHKETKQFFTLYNTLDDKKVYLEKEISLLNSIHENFSQAMASPAARDQFLRQMEQIVEGIKQSRMKMEKKKQENKMRRDQLNDQYLELLEKQRLYFKTVKEFKEEGRKNEMLLSKVKAKAS
+>DECOY_sp|Q567U6|CCD93_HUMAN Coiled-coil domain-containing protein 93 OS=Homo sapiens OX=9606 GN=CCDC93 PE=1 SV=2
+SAKAKVKSLLMENKRGEEKFEKVTKFYLRQKELLELYQDNLQDRRMKNEQKKKEMKMRSQKIGEVIQEMQRLFQDRAAPSAMAQSFNEHISNLLSIEKELYVKKDDLTNYLTFFQKTEKHVASIQRYLEIFRKQYQILEARSPVEDIKRHLIAIERNRRAQLLRIKYLKEKEMNYRRDLDEDHTMASTLTGPPEGSSLTKEDGRPAREAKLNEIEQQLRTMEERCHAKFEQEQSKLNENMAVLARLNQLISPDAKSEIKELAAQEKDLKESYTKLETLTKKAENYRAQLSTHSARLEELHKTKQAIQKNLSIVKRRHLQSTGLKEPSEEASLESQKEAYESVIQKIEASCLGVIQGVSSATLRSEENAMATMKTMLSQIRQEEAARLEDEEHADAKETASLGAPLATKKDEAKEMKSQRSFGYRRGYELLTAHIRSEEDLLEEAGQHRKYKRRPKYVESLDVVTKIAKEKRKIFDDDEPLSYTKQFQSVSYSRIYDGMEEKTEIARKVLWQVVPFIHIFDMGQIQHPELQHPCKMRPLVSVIKESLAIKQGITSNEQFLLDVDVDFNCTTICWTMGGVVKDFPSLGKIRARFYGAAVLLELIETLKVNQEEDERTEVEPLGQGEPGRPLGM
+>sp|Q9BW85|CCD94_HUMAN Coiled-coil domain-containing protein 94 OS=Homo sapiens OX=9606 GN=CCDC94 PE=1 SV=1
+MSERKVLNKYYPPDFDPSKIPKLKLPKDRQYVVRLMAPFNMRCKTCGEYIYKGKKFNARKETVQNEVYLGLPIFRFYIKCTRCLAEITFKTDPENTDYTMEHGATRNFQAEKLLEEEEKRVQKEREDEELNNPMKVLENRTKDSKLEMEVLENLQELKDLNQRQAHVDFEAMLRQHRLSEEERRRQQQEEDEQETAALLEEARKRRLLEDSDSEDEAAPSPLQPALRPNPTAILDEAPKPKRKVEVWEQSVGSLGSRPPLSRLVVVKKAKADPDCSNGQPQAAPTPGAPQNRKEANPTPLTPGASSLSQLGAYLDSDDSNGSN
+>DECOY_sp|Q9BW85|CCD94_HUMAN Coiled-coil domain-containing protein 94 OS=Homo sapiens OX=9606 GN=CCDC94 PE=1 SV=1
+NSGNSDDSDLYAGLQSLSSAGPTLPTPNAEKRNQPAGPTPAAQPQGNSCDPDAKAKKVVVLRSLPPRSGLSGVSQEWVEVKRKPKPAEDLIATPNPRLAPQLPSPAAEDESDSDELLRRKRAEELLAATEQEDEEQQQRRREEESLRHQRLMAEFDVHAQRQNLDKLEQLNELVEMELKSDKTRNELVKMPNNLEEDEREKQVRKEEEELLKEAQFNRTAGHEMTYDTNEPDTKFTIEALCRTCKIYFRFIPLGLYVENQVTEKRANFKKGKYIYEGCTKCRMNFPAMLRVVYQRDKPLKLKPIKSPDFDPPYYKNLVKRESM
+>sp|Q9H0W5|CCDC8_HUMAN Coiled-coil domain-containing protein 8 OS=Homo sapiens OX=9606 GN=CCDC8 PE=1 SV=2
+MLQIGEDVDYLLIPREVRLAGGVWRVISKPATKEAEFRERLTQFLEEEGRTLEDVARIMEKSTPHPPQPPKKPKEPRVRRRVQQMVTPPPRLVVGTYDSSNASDSEFSDFETSRDKSRQGPRRGKKVRKMPVSYLGSKFLGSDLESEDDEELVEAFLRRQEKQPSAPPARRRVNLPVPMFEDNLGPQLSKADRWREYVSQVSWGKLKRRVKGWAPRAGPGVGEARLASTAVESAGVSSAPEGTSPGDRLGNAGDVCVPQASPRRWRPKINWASFRRRRKEQTAPTGQGADIEADQGGEAADSQREEAIADQREGAAGNQRAGAPADQGAEAADNQREEAADNQRAGAPAEEGAEAADNQREEAADNQRAEAPADQRSQGTDNHREEAADNQRAEAPADQGSEVTDNQREEAVHDQRERAPAVQGADNQRAQARAGQRAEAAHNQRAGAPGIQEAEVSAAQGTTGTAPGARARKQVKTVRFQTPGRFSWFCKRRRAFWHTPRLPTLPKRVPRAGEARNLRVLRAEARAEAEQGEQEDQL
+>DECOY_sp|Q9H0W5|CCDC8_HUMAN Coiled-coil domain-containing protein 8 OS=Homo sapiens OX=9606 GN=CCDC8 PE=1 SV=2
+LQDEQEGQEAEARAEARLVRLNRAEGARPVRKPLTPLRPTHWFARRRKCFWSFRGPTQFRVTKVQKRARAGPATGTTGQAASVEAEQIGPAGARQNHAAEARQGARAQARQNDAGQVAPARERQDHVAEERQNDTVESGQDAPAEARQNDAAEERHNDTGQSRQDAPAEARQNDAAEERQNDAAEAGEEAPAGARQNDAAEERQNDAAEAGQDAPAGARQNGAAGERQDAIAEERQSDAAEGGQDAEIDAGQGTPATQEKRRRRFSAWNIKPRWRRPSAQPVCVDGANGLRDGPSTGEPASSVGASEVATSALRAEGVGPGARPAWGKVRRKLKGWSVQSVYERWRDAKSLQPGLNDEFMPVPLNVRRRAPPASPQKEQRRLFAEVLEEDDESELDSGLFKSGLYSVPMKRVKKGRRPGQRSKDRSTEFDSFESDSANSSDYTGVVLRPPPTVMQQVRRRVRPEKPKKPPQPPHPTSKEMIRAVDELTRGEEELFQTLRERFEAEKTAPKSIVRWVGGALRVERPILLYDVDEGIQLM
+>sp|P51671|CCL11_HUMAN Eotaxin OS=Homo sapiens OX=9606 GN=CCL11 PE=1 SV=1
+MKVSAALLWLLLIAAAFSPQGLAGPASVPTTCCFNLANRKIPLQRLESYRRITSGKCPQKAVIFKTKLAKDICADPKKKWVQDSMKYLDQKSPTPKP
+>DECOY_sp|P51671|CCL11_HUMAN Eotaxin OS=Homo sapiens OX=9606 GN=CCL11 PE=1 SV=1
+PKPTPSKQDLYKMSDQVWKKKPDACIDKALKTKFIVAKQPCKGSTIRRYSELRQLPIKRNALNFCCTTPVSAPGALGQPSFAAAILLLWLLAASVKM
+>sp|O15467|CCL16_HUMAN C-C motif chemokine 16 OS=Homo sapiens OX=9606 GN=CCL16 PE=1 SV=1
+MKVSEAALSLLVLILIITSASRSQPKVPEWVNTPSTCCLKYYEKVLPRRLVVGYRKALNCHLPAIIFVTKRNREVCTNPNDDWVQEYIKDPNLPLLPTRNLSTVKIITAKNGQPQLLNSQ
+>DECOY_sp|O15467|CCL16_HUMAN C-C motif chemokine 16 OS=Homo sapiens OX=9606 GN=CCL16 PE=1 SV=1
+QSNLLQPQGNKATIIKVTSLNRTPLLPLNPDKIYEQVWDDNPNTCVERNRKTVFIIAPLHCNLAKRYGVVLRRPLVKEYYKLCCTSPTNVWEPVKPQSRSASTIILILVLLSLAAESVKM
+>sp|O00585|CCL21_HUMAN C-C motif chemokine 21 OS=Homo sapiens OX=9606 GN=CCL21 PE=1 SV=1
+MAQSLALSLLILVLAFGIPRTQGSDGGAQDCCLKYSQRKIPAKVVRSYRKQEPSLGCSIPAILFLPRKRSQAELCADPKELWVQQLMQHLDKTPSPQKPAQGCRKDRGASKTGKKGKGSKGCKRTERSQTPKGP
+>DECOY_sp|O00585|CCL21_HUMAN C-C motif chemokine 21 OS=Homo sapiens OX=9606 GN=CCL21 PE=1 SV=1
+PGKPTQSRETRKCGKSGKGKKGTKSAGRDKRCGQAPKQPSPTKDLHQMLQQVWLEKPDACLEAQSRKRPLFLIAPISCGLSPEQKRYSRVVKAPIKRQSYKLCCDQAGGDSGQTRPIGFALVLILLSLALSQAM
+>sp|O00175|CCL24_HUMAN C-C motif chemokine 24 OS=Homo sapiens OX=9606 GN=CCL24 PE=1 SV=2
+MAGLMTIVTSLLFLGVCAHHIIPTGSVVIPSPCCMFFVSKRIPENRVVSYQLSSRSTCLKAGVIFTTKKGQQFCGDPKQEWVQRYMKNLDAKQKKASPRARAVAVKGPVQRYPGNQTTC
+>DECOY_sp|O00175|CCL24_HUMAN C-C motif chemokine 24 OS=Homo sapiens OX=9606 GN=CCL24 PE=1 SV=2
+CTTQNGPYRQVPGKVAVARARPSAKKQKADLNKMYRQVWEQKPDGCFQQGKKTTFIVGAKLCTSRSSLQYSVVRNEPIRKSVFFMCCPSPIVVSGTPIIHHACVGLFLLSTVITMLGAM
+>sp|Q9BSQ5|CCM2_HUMAN Cerebral cavernous malformations 2 protein OS=Homo sapiens OX=9606 GN=CCM2 PE=1 SV=1
+MEEEGKKGKKPGIVSPFKRVFLKGEKSRDKKAHEKVTERRPLHTVVLSLPERVEPDRLLSDYIEKEVKYLGQLTSIPGYLNPSSRTEILHFIDNAKRAHQLPGHLTQEHDAVLSLSAYNVKLAWRDGEDIILRVPIHDIAAVSYVRDDAAHLVVLKTAQDPGISPSQSLCAESSRGLSAGSLSESAVGPVEACCLVILAAESKVAAEELCCLLGQVFQVVYTESTIDFLDRAIFDGASTPTHHLSLHSDDSSTKVDIKETYEVEASTFCFPESVDVGGASPHSKTISESELSASATELLQDYMLTLRTKLSSQEIQQFAALLHEYRNGASIHEFCINLRQLYGDSRKFLLLGLRPFIPEKDSQHFENFLETIGVKDGRGIITDSFGRHRRALSTTSSSTTNGNRATGSSDDRSAPSEGDEWDRMISDISSDIEALGCSMDQDSA
+>DECOY_sp|Q9BSQ5|CCM2_HUMAN Cerebral cavernous malformations 2 protein OS=Homo sapiens OX=9606 GN=CCM2 PE=1 SV=1
+ASDQDMSCGLAEIDSSIDSIMRDWEDGESPASRDDSSGTARNGNTTSSSTTSLARRHRGFSDTIIGRGDKVGITELFNEFHQSDKEPIFPRLGLLLFKRSDGYLQRLNICFEHISAGNRYEHLLAAFQQIEQSSLKTRLTLMYDQLLETASASLESESITKSHPSAGGVDVSEPFCFTSAEVEYTEKIDVKTSSDDSHLSLHHTPTSAGDFIARDLFDITSETYVVQFVQGLLCCLEEAAVKSEAALIVLCCAEVPGVASESLSGASLGRSSEACLSQSPSIGPDQATKLVVLHAADDRVYSVAAIDHIPVRLIIDEGDRWALKVNYASLSLVADHEQTLHGPLQHARKANDIFHLIETRSSPNLYGPISTLQGLYKVEKEIYDSLLRDPEVREPLSLVVTHLPRRETVKEHAKKDRSKEGKLFVRKFPSVIGPKKGKKGEEEM
+>sp|P30049|ATPD_HUMAN ATP synthase subunit delta, mitochondrial OS=Homo sapiens OX=9606 GN=ATP5F1D PE=1 SV=2
+MLPAALLRRPGLGRLVRHARAYAEAAAAPAAASGPNQMSFTFASPTQVFFNGANVRQVDVPTLTGAFGILAAHVPTLQVLRPGLVVVHAEDGTTSKYFVSSGSIAVNADSSVQLLAEEAVTLDMLDLGAAKANLEKAQAELVGTADEATRAEIQIRIEANEALVKALE
+>DECOY_sp|P30049|ATPD_HUMAN ATP synthase subunit delta, mitochondrial OS=Homo sapiens OX=9606 GN=ATP5F1D PE=1 SV=2
+ELAKVLAENAEIRIQIEARTAEDATGVLEAQAKELNAKAAGLDLMDLTVAEEALLQVSSDANVAISGSSVFYKSTTGDEAHVVVLGPRLVQLTPVHAALIGFAGTLTPVDVQRVNAGNFFVQTPSAFTFSMQNPGSAAAPAAAAEAYARAHRVLRGLGPRRLLAAPLM
+>sp|Q8N5M1|ATPF2_HUMAN ATP synthase mitochondrial F1 complex assembly factor 2 OS=Homo sapiens OX=9606 GN=ATPAF2 PE=1 SV=1
+MWRSCLRLRDGGRRLLNRPAGGPSASMSPGPTIPSPARAYAPPTERKRFYQNVSITQGEGGFEINLDHRKLKTPQAKLFTVPSEALAIAVATEWDSQQDTIKYYTMHLTTLCNTSLDNPTQRNKDQLIRAAVKFLDTDTICYRVEEPETLVELQRNEWDPIIEWAEKRYGVEISSSTSIMGPSIPAKTREVLVSHLASYNTWALQGIEFVAAQLKSMVLTLGLIDLRLTVEQAVLLSRLEEEYQIQKWGNIEWAHDYELQELRARTAAGTLFIHLCSESTTVKHKLLKE
+>DECOY_sp|Q8N5M1|ATPF2_HUMAN ATP synthase mitochondrial F1 complex assembly factor 2 OS=Homo sapiens OX=9606 GN=ATPAF2 PE=1 SV=1
+EKLLKHKVTTSESCLHIFLTGAATRARLEQLEYDHAWEINGWKQIQYEEELRSLLVAQEVTLRLDILGLTLVMSKLQAAVFEIGQLAWTNYSALHSVLVERTKAPISPGMISTSSSIEVGYRKEAWEIIPDWENRQLEVLTEPEEVRYCITDTDLFKVAARILQDKNRQTPNDLSTNCLTTLHMTYYKITDQQSDWETAVAIALAESPVTFLKAQPTKLKRHDLNIEFGGEGQTISVNQYFRKRETPPAYARAPSPITPGPSMSASPGGAPRNLLRRGGDRLRLCSRWM
+>sp|Q13535|ATR_HUMAN Serine/threonine-protein kinase ATR OS=Homo sapiens OX=9606 GN=ATR PE=1 SV=3
+MGEHGLELASMIPALRELGSATPEEYNTVVQKPRQILCQFIDRILTDVNVVAVELVKKTDSQPTSVMLLDFIQHIMKSSPLMFVNVSGSHEAKGSCIEFSNWIITRLLRIAATPSCHLLHKKICEVICSLLFLFKSKSPAIFGVLTKELLQLFEDLVYLHRRNVMGHAVEWPVVMSRFLSQLDEHMGYLQSAPLQLMSMQNLEFIEVTLLMVLTRIIAIVFFRRQELLLWQIGCVLLEYGSPKIKSLAISFLTELFQLGGLPAQPASTFFSSFLELLKHLVEMDTDQLKLYEEPLSKLIKTLFPFEAEAYRNIEPVYLNMLLEKLCVMFEDGVLMRLKSDLLKAALCHLLQYFLKFVPAGYESALQVRKVYVRNICKALLDVLGIEVDAEYLLGPLYAALKMESMEIIEEIQCQTQQENLSSNSDGISPKRRRLSSSLNPSKRAPKQTEEIKHVDMNQKSILWSALKQKAESLQISLEYSGLKNPVIEMLEGIAVVLQLTALCTVHCSHQNMNCRTFKDCQHKSKKKPSVVITWMSLDFYTKVLKSCRSLLESVQKLDLEATIDKVVKIYDALIYMQVNSSFEDHILEDLCGMLSLPWIYSHSDDGCLKLTTFAANLLTLSCRISDSYSPQAQSRCVFLLTLFPRRIFLEWRTAVYNWALQSSHEVIRASCVSGFFILLQQQNSCNRVPKILIDKVKDDSDIVKKEFASILGQLVCTLHGMFYLTSSLTEPFSEHGHVDLFCRNLKATSQHECSSSQLKASVCKPFLFLLKKKIPSPVKLAFIDNLHHLCKHLDFREDETDVKAVLGTLLNLMEDPDKDVRVAFSGNIKHILESLDSEDGFIKELFVLRMKEAYTHAQISRNNELKDTLILTTGDIGRAAKGDLVPFALLHLLHCLLSKSASVSGAAYTEIRALVAAKSVKLQSFFSQYKKPICQFLVESLHSSQMTALPNTPCQNADVRKQDVAHQREMALNTLSEIANVFDFPDLNRFLTRTLQVLLPDLAAKASPAASALIRTLGKQLNVNRREILINNFKYIFSHLVCSCSKDELERALHYLKNETEIELGSLLRQDFQGLHNELLLRIGEHYQQVFNGLSILASFASSDDPYQGPRDIISPELMADYLQPKLLGILAFFNMQLLSSSVGIEDKKMALNSLMSLMKLMGPKHVSSVRVKMMTTLRTGLRFKDDFPELCCRAWDCFVRCLDHACLGSLLSHVIVALLPLIHIQPKETAAIFHYLIIENRDAVQDFLHEIYFLPDHPELKKIKAVLQEYRKETSESTDLQTTLQLSMKAIQHENVDVRIHALTSLKETLYKNQEKLIKYATDSETVEPIISQLVTVLLKGCQDANSQARLLCGECLGELGAIDPGRLDFSTTETQGKDFTFVTGVEDSSFAYGLLMELTRAYLAYADNSRAQDSAAYAIQELLSIYDCREMETNGPGHQLWRRFPEHVREILEPHLNTRYKSSQKSTDWSGVKKPIYLSKLGSNFAEWSASWAGYLITKVRHDLASKIFTCCSIMMKHDFKVTIYLLPHILVYVLLGCNQEDQQEVYAEIMAVLKHDDQHTINTQDIASDLCQLSTQTVFSMLDHLTQWARHKFQALKAEKCPHSKSNRNKVDSMVSTVDYEDYQSVTRFLDLIPQDTLAVASFRSKAYTRAVMHFESFITEKKQNIQEHLGFLQKLYAAMHEPDGVAGVSAIRKAEPSLKEQILEHESLGLLRDATACYDRAIQLEPDQIIHYHGVVKSMLGLGQLSTVITQVNGVHANRSEWTDELNTYRVEAAWKLSQWDLVENYLAADGKSTTWSVRLGQLLLSAKKRDITAFYDSLKLVRAEQIVPLSAASFERGSYQRGYEYIVRLHMLCELEHSIKPLFQHSPGDSSQEDSLNWVARLEMTQNSYRAKEPILALRRALLSLNKRPDYNEMVGECWLQSARVARKAGHHQTAYNALLNAGESRLAELYVERAKWLWSKGDVHQALIVLQKGVELCFPENETPPEGKNMLIHGRAMLLVGRFMEETANFESNAIMKKYKDVTACLPEWEDGHFYLAKYYDKLMPMVTDNKMEKQGDLIRYIVLHFGRSLQYGNQFIYQSMPRMLTLWLDYGTKAYEWEKAGRSDRVQMRNDLGKINKVITEHTNYLAPYQFLTAFSQLISRICHSHDEVFVVLMEIIAKVFLAYPQQAMWMMTAVSKSSYPMRVNRCKEILNKAIHMKKSLEKFVGDATRLTDKLLELCNKPVDGSSSTLSMSTHFKMLKKLVEEATFSEILIPLQSVMIPTLPSILGTHANHASHEPFPGHWAYIAGFDDMVEILASLQKPKKISLKGSDGKFYIMMCKPKDDLRKDCRLMEFNSLINKCLRKDAESRRRELHIRTYAVIPLNDECGIIEWVNNTAGLRPILTKLYKEKGVYMTGKELRQCMLPKSAALSEKLKVFREFLLPRHPPIFHEWFLRTFPDPTSWYSSRSAYCRSTAVMSMVGYILGLGDRHGENILFDSLTGECVHVDFNCLFNKGETFEVPEIVPFRLTHNMVNGMGPMGTEGLFRRACEVTMRLMRDQREPLMSVLKTFLHDPLVEWSKPVKGHSKAPLNETGEVVNEKAKTHVLDIEQRLQGVIKTRNRVTGLPLSIEGHVHYLIQEATDENLLCQMYLGWTPYM
+>DECOY_sp|Q13535|ATR_HUMAN Serine/threonine-protein kinase ATR OS=Homo sapiens OX=9606 GN=ATR PE=1 SV=3
+MYPTWGLYMQCLLNEDTAEQILYHVHGEISLPLGTVRNRTKIVGQLRQEIDLVHTKAKENVVEGTENLPAKSHGKVPKSWEVLPDHLFTKLVSMLPERQDRMLRMTVECARRFLGETGMPGMGNVMNHTLRFPVIEPVEFTEGKNFLCNFDVHVCEGTLSDFLINEGHRDGLGLIYGVMSMVATSRCYASRSSYWSTPDPFTRLFWEHFIPPHRPLLFERFVKLKESLAASKPLMCQRLEKGTMYVGKEKYLKTLIPRLGATNNVWEIIGCEDNLPIVAYTRIHLERRRSEADKRLCKNILSNFEMLRCDKRLDDKPKCMMIYFKGDSGKLSIKKPKQLSALIEVMDDFGAIYAWHGPFPEHSAHNAHTGLISPLTPIMVSQLPILIESFTAEEVLKKLMKFHTSMSLTSSSGDVPKNCLELLKDTLRTADGVFKELSKKMHIAKNLIEKCRNVRMPYSSKSVATMMWMAQQPYALFVKAIIEMLVVFVEDHSHCIRSILQSFATLFQYPALYNTHETIVKNIKGLDNRMQVRDSRGAKEWEYAKTGYDLWLTLMRPMSQYIFQNGYQLSRGFHLVIYRILDGQKEMKNDTVMPMLKDYYKALYFHGDEWEPLCATVDKYKKMIANSEFNATEEMFRGVLLMARGHILMNKGEPPTENEPFCLEVGKQLVILAQHVDGKSWLWKAREVYLEALRSEGANLLANYATQHHGAKRAVRASQLWCEGVMENYDPRKNLSLLARRLALIPEKARYSNQTMELRAVWNLSDEQSSDGPSHQFLPKISHELECLMHLRVIYEYGRQYSGREFSAASLPVIQEARVLKLSDYFATIDRKKASLLLQGLRVSWTTSKGDAALYNEVLDWQSLKWAAEVRYTNLEDTWESRNAHVGNVQTIVTSLQGLGLMSKVVGHYHIIQDPELQIARDYCATADRLLGLSEHELIQEKLSPEAKRIASVGAVGDPEHMAAYLKQLFGLHEQINQKKETIFSEFHMVARTYAKSRFSAVALTDQPILDLFRTVSQYDEYDVTSVMSDVKNRNSKSHPCKEAKLAQFKHRAWQTLHDLMSFVTQTSLQCLDSAIDQTNITHQDDHKLVAMIEAYVEQQDEQNCGLLVYVLIHPLLYITVKFDHKMMISCCTFIKSALDHRVKTILYGAWSASWEAFNSGLKSLYIPKKVGSWDTSKQSSKYRTNLHPELIERVHEPFRRWLQHGPGNTEMERCDYISLLEQIAYAASDQARSNDAYALYARTLEMLLGYAFSSDEVGTVFTFDKGQTETTSFDLRGPDIAGLEGLCEGCLLRAQSNADQCGKLLVTVLQSIIPEVTESDTAYKILKEQNKYLTEKLSTLAHIRVDVNEHQIAKMSLQLTTQLDTSESTEKRYEQLVAKIKKLEPHDPLFYIEHLFDQVADRNEIILYHFIAATEKPQIHILPLLAVIVHSLLSGLCAHDLCRVFCDWARCCLEPFDDKFRLGTRLTTMMKVRVSSVHKPGMLKMLSMLSNLAMKKDEIGVSSSLLQMNFFALIGLLKPQLYDAMLEPSIIDRPGQYPDDSSAFSALISLGNFVQQYHEGIRLLLENHLGQFDQRLLSGLEIETENKLYHLARELEDKSCSCVLHSFIYKFNNILIERRNVNLQKGLTRILASAAPSAKAALDPLLVQLTRTLFRNLDPFDFVNAIESLTNLAMERQHAVDQKRVDANQCPTNPLATMQSSHLSEVLFQCIPKKYQSFFSQLKVSKAAVLARIETYAAGSVSASKSLLCHLLHLLAFPVLDGKAARGIDGTTLILTDKLENNRSIQAHTYAEKMRLVFLEKIFGDESDLSELIHKINGSFAVRVDKDPDEMLNLLTGLVAKVDTEDERFDLHKCLHHLNDIFALKVPSPIKKKLLFLFPKCVSAKLQSSSCEHQSTAKLNRCFLDVHGHESFPETLSSTLYFMGHLTCVLQGLISAFEKKVIDSDDKVKDILIKPVRNCSNQQQLLIFFGSVCSARIVEHSSQLAWNYVATRWELFIRRPFLTLLFVCRSQAQPSYSDSIRCSLTLLNAAFTTLKLCGDDSHSYIWPLSLMGCLDELIHDEFSSNVQMYILADYIKVVKDITAELDLKQVSELLSRCSKLVKTYFDLSMWTIVVSPKKKSKHQCDKFTRCNMNQHSCHVTCLATLQLVVAIGELMEIVPNKLGSYELSIQLSEAKQKLASWLISKQNMDVHKIEETQKPARKSPNLSSSLRRRKPSIGDSNSSLNEQQTQCQIEEIIEMSEMKLAAYLPGLLYEADVEIGLVDLLAKCINRVYVKRVQLASEYGAPVFKLFYQLLHCLAAKLLDSKLRMLVGDEFMVCLKELLMNLYVPEINRYAEAEFPFLTKILKSLPEEYLKLQDTDMEVLHKLLELFSSFFTSAPQAPLGGLQFLETLFSIALSKIKPSGYELLVCGIQWLLLEQRRFFVIAIIRTLVMLLTVEIFELNQMSMLQLPASQLYGMHEDLQSLFRSMVVPWEVAHGMVNRRHLYVLDEFLQLLEKTLVGFIAPSKSKFLFLLSCIVECIKKHLLHCSPTAAIRLLRTIIWNSFEICSGKAEHSGSVNVFMLPSSKMIHQIFDLLMVSTPQSDTKKVLEVAVVNVDTLIRDIFQCLIQRPKQVVTNYEEPTASGLERLAPIMSALELGHEGM
+>sp|P58397|ATS12_HUMAN A disintegrin and metalloproteinase with thrombospondin motifs 12 OS=Homo sapiens OX=9606 GN=ADAMTS12 PE=1 SV=2
+MPCAQRSWLANLSVVAQLLNFGALCYGRQPQPGPVRFPDRRQEHFIKGLPEYHVVGPVRVDASGHFLSYGLHYPITSSRRKRDLDGSEDWVYYRISHEEKDLFFNLTVNQGFLSNSYIMEKRYGNLSHVKMMASSAPLCHLSGTVLQQGTRVGTAALSACHGLTGFFQLPHGDFFIEPVKKHPLVEGGYHPHIVYRRQKVPETKEPTCGLKDSVNISQKQELWREKWERHNLPSRSLSRRSISKERWVETLVVADTKMIEYHGSENVESYILTIMNMVTGLFHNPSIGNAIHIVVVRLILLEEEEQGLKIVHHAEKTLSSFCKWQKSINPKSDLNPVHHDVAVLLTRKDICAGFNRPCETLGLSHLSGMCQPHRSCNINEDSGLPLAFTIAHELGHSFGIQHDGKENDCEPVGRHPYIMSRQLQYDPTPLTWSKCSEEYITRFLDRGWGFCLDDIPKKKGLKSKVIAPGVIYDVHHQCQLQYGPNATFCQEVENVCQTLWCSVKGFCRSKLDAAADGTQCGEKKWCMAGKCITVGKKPESIPGGWGRWSPWSHCSRTCGAGVQSAERLCNNPEPKFGGKYCTGERKRYRLCNVHPCRSEAPTFRQMQCSEFDTVPYKNELYHWFPIFNPAHPCELYCRPIDGQFSEKMLDAVIDGTPCFEGGNSRNVCINGICKMVGCDYEIDSNATEDRCGVCLGDGSSCQTVRKMFKQKEGSGYVDIGLIPKGARDIRVMEIEGAGNFLAIRSEDPEKYYLNGGFIIQWNGNYKLAGTVFQYDRKGDLEKLMATGPTNESVWIQLLFQVTNPGIKYEYTIQKDGLDNDVEQQMYFWQYGHWTECSVTCGTGIRRQTAHCIKKGRGMVKATFCDPETQPNGRQKKCHEKACPPRWWAGEWEACSATCGPHGEKKRTVLCIQTMVSDEQALPPTDCQHLLKPKTLLSCNRDILCPSDWTVGNWSECSVSCGGGVRIRSVTCAKNHDEPCDVTRKPNSRALCGLQQCPSSRRVLKPNKGTISNGKNPPTLKPVPPPTSRPRMLTTPTGPESMSTSTPAISSPSPTTASKEGDLGGKQWQDSSTQPELSSRYLISTGSTSQPILTSQSLSIQPSEENVSSSDTGPTSEGGLVATTTSGSGLSSSRNPITWPVTPFYNTLTKGPEMEIHSGSGEEREQPEDKDESNPVIWTKIRVPGNDAPVESTEMPLAPPLTPDLSRESWWPPFSTVMEGLLPSQRPTTSETGTPRVEGMVTEKPANTLLPLGGDHQPEPSGKTANRNHLKLPNNMNQTKSSEPVLTEEDATSLITEGFLLNASNYKQLTNGHGSAHWIVGNWSECSTTCGLGAYWRRVECSTQMDSDCAAIQRPDPAKRCHLRPCAGWKVGNWSKCSRNCSGGFKIREIQCVDSRDHRNLRPFHCQFLAGIPPPLSMSCNPEPCEAWQVEPWSQCSRSCGGGVQERGVFCPGGLCDWTKRPTSTMSCNEHLCCHWATGNWDLCSTSCGGGFQKRTVQCVPSEGNKTEDQDQCLCDHKPRPPEFKKCNQQACKKSADLLCTKDKLSASFCQTLKAMKKCSVPTVRAECCFSCPQTHITHTQRQRRQRLLQKSKEL
+>DECOY_sp|P58397|ATS12_HUMAN A disintegrin and metalloproteinase with thrombospondin motifs 12 OS=Homo sapiens OX=9606 GN=ADAMTS12 PE=1 SV=2
+LEKSKQLLRQRRQRQTHTIHTQPCSFCCEARVTPVSCKKMAKLTQCFSASLKDKTCLLDASKKCAQQNCKKFEPPRPKHDCLCQDQDETKNGESPVCQVTRKQFGGGCSTSCLDWNGTAWHCCLHENCSMTSTPRKTWDCLGGPCFVGREQVGGGCSRSCQSWPEVQWAECPEPNCSMSLPPPIGALFQCHFPRLNRHDRSDVCQIERIKFGGSCNRSCKSWNGVKWGACPRLHCRKAPDPRQIAACDSDMQTSCEVRRWYAGLGCTTSCESWNGVIWHASGHGNTLQKYNSANLLFGETILSTADEETLVPESSKTQNMNNPLKLHNRNATKGSPEPQHDGGLPLLTNAPKETVMGEVRPTGTESTTPRQSPLLGEMVTSFPPWWSERSLDPTLPPALPMETSEVPADNGPVRIKTWIVPNSEDKDEPQEREEGSGSHIEMEPGKTLTNYFPTVPWTIPNRSSSLGSGSTTTAVLGGESTPGTDSSSVNEESPQISLSQSTLIPQSTSGTSILYRSSLEPQTSSDQWQKGGLDGEKSATTPSPSSIAPTSTSMSEPGTPTTLMRPRSTPPPVPKLTPPNKGNSITGKNPKLVRRSSPCQQLGCLARSNPKRTVDCPEDHNKACTVSRIRVGGGCSVSCESWNGVTWDSPCLIDRNCSLLTKPKLLHQCDTPPLAQEDSVMTQICLVTRKKEGHPGCTASCAEWEGAWWRPPCAKEHCKKQRGNPQTEPDCFTAKVMGRGKKICHATQRRIGTGCTVSCETWHGYQWFYMQQEVDNDLGDKQITYEYKIGPNTVQFLLQIWVSENTPGTAMLKELDGKRDYQFVTGALKYNGNWQIIFGGNLYYKEPDESRIALFNGAGEIEMVRIDRAGKPILGIDVYGSGEKQKFMKRVTQCSSGDGLCVGCRDETANSDIEYDCGVMKCIGNICVNRSNGGEFCPTGDIVADLMKESFQGDIPRCYLECPHAPNFIPFWHYLENKYPVTDFESCQMQRFTPAESRCPHVNCLRYRKREGTCYKGGFKPEPNNCLREASQVGAGCTRSCHSWPSWRGWGGPISEPKKGVTICKGAMCWKKEGCQTGDAAADLKSRCFGKVSCWLTQCVNEVEQCFTANPGYQLQCQHHVDYIVGPAIVKSKLGKKKPIDDLCFGWGRDLFRTIYEESCKSWTLPTPDYQLQRSMIYPHRGVPECDNEKGDHQIGFSHGLEHAITFALPLGSDENINCSRHPQCMGSLHSLGLTECPRNFGACIDKRTLLVAVDHHVPNLDSKPNISKQWKCFSSLTKEAHHVIKLGQEEEELLILRVVVIHIANGISPNHFLGTVMNMITLIYSEVNESGHYEIMKTDAVVLTEVWREKSISRRSLSRSPLNHREWKERWLEQKQSINVSDKLGCTPEKTEPVKQRRYVIHPHYGGEVLPHKKVPEIFFDGHPLQFFGTLGHCASLAATGVRTGQQLVTGSLHCLPASSAMMKVHSLNGYRKEMIYSNSLFGQNVTLNFFLDKEEHSIRYYVWDESGDLDRKRRSSTIPYHLGYSLFHGSADVRVPGVVHYEPLGKIFHEQRRDPFRVPGPQPQRGYCLAGFNLLQAVVSLNALWSRQACPM
+>sp|Q8TE58|ATS15_HUMAN A disintegrin and metalloproteinase with thrombospondin motifs 15 OS=Homo sapiens OX=9606 GN=ADAMTS15 PE=2 SV=1
+MLLLGILTLAFAGRTAGGSEPEREVVVPIRLDPDINGRRYYWRGPEDSGDQGLIFQITAFQEDFYLHLTPDAQFLAPAFSTEHLGVPLQGLTGGSSDLRRCFYSGDVNAEPDSFAAVSLCGGLRGAFGYRGAEYVISPLPNASAPAAQRNSQGAHLLQRRGVPGGPSGDPTSRCGVASGWNPAILRALDPYKPRRAGFGESRSRRRSGRAKRFVSIPRYVETLVVADESMVKFHGADLEHYLLTLLATAARLYRHPSILNPINIVVVKVLLLRDRDSGPKVTGNAALTLRNFCAWQKKLNKVSDKHPEYWDTAILFTRQDLCGATTCDTLGMADVGTMCDPKRSCSVIEDDGLPSAFTTAHELGHVFNMPHDNVKVCEEVFGKLRANHMMSPTLIQIDRANPWSACSAAIITDFLDSGHGDCLLDQPSKPISLPEDLPGASYTLSQQCELAFGVGSKPCPYMQYCTKLWCTGKAKGQMVCQTRHFPWADGTSCGEGKLCLKGACVERHNLNKHRVDGSWAKWDPYGPCSRTCGGGVQLARRQCTNPTPANGGKYCEGVRVKYRSCNLEPCPSSASGKSFREEQCEAFNGYNHSTNRLTLAVAWVPKYSGVSPRDKCKLICRANGTGYFYVLAPKVVDGTLCSPDSTSVCVQGKCIKAGCDGNLGSKKRFDKCGVCGGDNKSCKKVTGLFTKPMHGYNFVVAIPAGASSIDIRQRGYKGLIGDDNYLALKNSQGKYLLNGHFVVSAVERDLVVKGSLLRYSGTGTAVESLQASRPILEPLTVEVLSVGKMTPPRVRYSFYLPKEPREDKSSHPKDPRGPSVLHNSVLSLSNQVEQPDDRPPARWVAGSWGPCSASCGSGLQKRAVDCRGSAGQRTVPACDAAHRPVETQACGEPCPTWELSAWSPCSKSCGRGFQRRSLKCVGHGGRLLARDQCNLHRKPQELDFCVLRPC
+>DECOY_sp|Q8TE58|ATS15_HUMAN A disintegrin and metalloproteinase with thrombospondin motifs 15 OS=Homo sapiens OX=9606 GN=ADAMTS15 PE=2 SV=1
+CPRLVCFDLEQPKRHLNCQDRALLRGGHGVCKLSRRQFGRGCSKSCPSWASLEWTPCPEGCAQTEVPRHAADCAPVTRQGASGRCDVARKQLGSGCSASCPGWSGAVWRAPPRDDPQEVQNSLSLVSNHLVSPGRPDKPHSSKDERPEKPLYFSYRVRPPTMKGVSLVEVTLPELIPRSAQLSEVATGTGSYRLLSGKVVLDREVASVVFHGNLLYKGQSNKLALYNDDGILGKYGRQRIDISSAGAPIAVVFNYGHMPKTFLGTVKKCSKNDGGCVGCKDFRKKSGLNGDCGAKICKGQVCVSTSDPSCLTGDVVKPALVYFYGTGNARCILKCKDRPSVGSYKPVWAVALTLRNTSHNYGNFAECQEERFSKGSASSPCPELNCSRYKVRVGECYKGGNAPTPNTCQRRALQVGGGCTRSCPGYPDWKAWSGDVRHKNLNHREVCAGKLCLKGEGCSTGDAWPFHRTQCVMQGKAKGTCWLKTCYQMYPCPKSGVGFALECQQSLTYSAGPLDEPLSIPKSPQDLLCDGHGSDLFDTIIAASCASWPNARDIQILTPSMMHNARLKGFVEECVKVNDHPMNFVHGLEHATTFASPLGDDEIVSCSRKPDCMTGVDAMGLTDCTTAGCLDQRTFLIATDWYEPHKDSVKNLKKQWACFNRLTLAANGTVKPGSDRDRLLLVKVVVINIPNLISPHRYLRAATALLTLLYHELDAGHFKVMSEDAVVLTEVYRPISVFRKARGSRRRSRSEGFGARRPKYPDLARLIAPNWGSAVGCRSTPDGSPGGPVGRRQLLHAGQSNRQAAPASANPLPSIVYEAGRYGFAGRLGGCLSVAAFSDPEANVDGSYFCRRLDSSGGTLGQLPVGLHETSFAPALFQADPTLHLYFDEQFATIQFILGQDGSDEPGRWYYRRGNIDPDLRIPVVVEREPESGGATRGAFALTLIGLLLM
+>sp|Q8TE60|ATS18_HUMAN A disintegrin and metalloproteinase with thrombospondin motifs 18 OS=Homo sapiens OX=9606 GN=ADAMTS18 PE=1 SV=3
+MECALLLACAFPAAGSGPPRGLAGLGRVAKALQLCCLCCASVAAALASDSSSGASGLNDDYVFVTPVEVDSAGSYISHDILHNGRKKRSAQNARSSLHYRFSAFGQELHLELKPSAILSSHFIVQVLGKDGASETQKPEVQQCFYQGFIRNDSSSSVAVSTCAGLSGLIRTRKNEFLISPLPQLLAQEHNYSSPAGHHPHVLYKRTAEEKIQRYRGYPGSGRNYPGYSPSHIPHASQSRETEYHHRRLQKQHFCGRRKKYAPKPPTEDTYLRFDEYGSSGRPRRSAGKSQKGLNVETLVVADKKMVEKHGKGNVTTYILTVMNMVSGLFKDGTIGSDINVVVVSLILLEQEPGGLLINHHADQSLNSFCQWQSALIGKNGKRHDHAILLTGFDICSWKNEPCDTLGFAPISGMCSKYRSCTINEDTGLGLAFTIAHESGHNFGMIHDGEGNPCRKAEGNIMSPTLTGNNGVFSWSSCSRQYLKKFLSTPQAGCLVDEPKQAGQYKYPDKLPGQIYDADTQCKWQFGAKAKLCSLGFVKDICKSLWCHRVGHRCETKFMPAAEGTVCGLSMWCRQGQCVKFGELGPRPIHGQWSAWSKWSECSRTCGGGVKFQERHCNNPKPQYGGLFCPGSSRIYQLCNINPCNENSLDFRAQQCAEYNSKPFRGWFYQWKPYTKVEEEDRCKLYCKAENFEFFFAMSGKVKDGTPCSPNKNDVCIDGVCELVGCDHELGSKAVSDACGVCKGDNSTCKFYKGLYLNQHKANEYYPVVLIPAGARSIEIQELQVSSSYLAVRSLSQKYYLTGGWSIDWPGEFPFAGTTFEYQRSFNRPERLYAPGPTNETLVFEILMQGKNPGIAWKYALPKVMNGTPPATKRPAYTWSIVQSECSVSCGGGYINVKAICLRDQNTQVNSSFCSAKTKPVTEPKICNAFSCPAYWMPGEWSTCSKACAGGQQSRKIQCVQKKPFQKEEAVLHSLCPVSTPTQVQACNSHACPPQWSLGPWSQCSKTCGRGVRKRELLCKGSAAETLPESQCTSLPRPELQEGCVLGRCPKNSRLQWVASSWSECSATCGLGVRKREMKCSEKGFQGKLITFPERRCRNIKKPNLDLEETCNRRACPAHPVYNMVAGWYSLPWQQCTVTCGGGVQTRSVHCVQQGRPSSSCLLHQKPPVLRACNTNFCPAPEKREDPSCVDFFNWCHLVPQHGVCNHKFYGKQCCKSCTRKI
+>DECOY_sp|Q8TE60|ATS18_HUMAN A disintegrin and metalloproteinase with thrombospondin motifs 18 OS=Homo sapiens OX=9606 GN=ADAMTS18 PE=1 SV=3
+IKRTCSKCCQKGYFKHNCVGHQPVLHCWNFFDVCSPDERKEPAPCFNTNCARLVPPKQHLLCSSSPRGQQVCHVSRTQVGGGCTVTCQQWPLSYWGAVMNYVPHAPCARRNCTEELDLNPKKINRCRREPFTILKGQFGKESCKMERKRVGLGCTASCESWSSAVWQLRSNKPCRGLVCGEQLEPRPLSTCQSEPLTEAASGKCLLERKRVGRGCTKSCQSWPGLSWQPPCAHSNCAQVQTPTSVPCLSHLVAEEKQFPKKQVCQIKRSQQGGACAKSCTSWEGPMWYAPCSFANCIKPETVPKTKASCFSSNVQTNQDRLCIAKVNIYGGGCSVSCESQVISWTYAPRKTAPPTGNMVKPLAYKWAIGPNKGQMLIEFVLTENTPGPAYLREPRNFSRQYEFTTGAFPFEGPWDISWGGTLYYKQSLSRVALYSSSVQLEQIEISRAGAPILVVPYYENAKHQNLYLGKYFKCTSNDGKCVGCADSVAKSGLEHDCGVLECVGDICVDNKNPSCPTGDKVKGSMAFFFEFNEAKCYLKCRDEEEVKTYPKWQYFWGRFPKSNYEACQQARFDLSNENCPNINCLQYIRSSGPCFLGGYQPKPNNCHREQFKVGGGCTRSCESWKSWASWQGHIPRPGLEGFKVCQGQRCWMSLGCVTGEAAPMFKTECRHGVRHCWLSKCIDKVFGLSCLKAKAGFQWKCQTDADYIQGPLKDPYKYQGAQKPEDVLCGAQPTSLFKKLYQRSCSSWSFVGNNGTLTPSMINGEAKRCPNGEGDHIMGFNHGSEHAITFALGLGTDENITCSRYKSCMGSIPAFGLTDCPENKWSCIDFGTLLIAHDHRKGNKGILASQWQCFSNLSQDAHHNILLGGPEQELLILSVVVVNIDSGITGDKFLGSVMNMVTLIYTTVNGKGHKEVMKKDAVVLTEVNLGKQSKGASRRPRGSSGYEDFRLYTDETPPKPAYKKRRGCFHQKQLRRHHYETERSQSAHPIHSPSYGPYNRGSGPYGRYRQIKEEATRKYLVHPHHGAPSSYNHEQALLQPLPSILFENKRTRILGSLGACTSVAVSSSSDNRIFGQYFCQQVEPKQTESAGDKGLVQVIFHSSLIASPKLELHLEQGFASFRYHLSSRANQASRKKRGNHLIDHSIYSGASDVEVPTVFVYDDNLGSAGSSSDSALAAAVSACCLCCLQLAKAVRGLGALGRPPGSGAAPFACALLLACEM
+>sp|Q9UHI8|ATS1_HUMAN A disintegrin and metalloproteinase with thrombospondin motifs 1 OS=Homo sapiens OX=9606 GN=ADAMTS1 PE=1 SV=4
+MQRAVPEGFGRRKLGSDMGNAERAPGSRSFGPVPTLLLLAAALLAVSDALGRPSEEDEELVVPELERAPGHGTTRLRLHAFDQQLDLELRPDSSFLAPGFTLQNVGRKSGSETPLPETDLAHCFYSGTVNGDPSSAAALSLCEGVRGAFYLLGEAYFIQPLPAASERLATAAPGEKPPAPLQFHLLRRNRQGDVGGTCGVVDDEPRPTGKAETEDEDEGTEGEDEGAQWSPQDPALQGVGQPTGTGSIRKKRFVSSHRYVETMLVADQSMAEFHGSGLKHYLLTLFSVAARLYKHPSIRNSVSLVVVKILVIHDEQKGPEVTSNAALTLRNFCNWQKQHNPPSDRDAEHYDTAILFTRQDLCGSQTCDTLGMADVGTVCDPSRSCSVIEDDGLQAAFTTAHELGHVFNMPHDDAKQCASLNGVNQDSHMMASMLSNLDHSQPWSPCSAYMITSFLDNGHGECLMDKPQNPIQLPGDLPGTSYDANRQCQFTFGEDSKHCPDAASTCSTLWCTGTSGGVLVCQTKHFPWADGTSCGEGKWCINGKCVNKTDRKHFDTPFHGSWGMWGPWGDCSRTCGGGVQYTMRECDNPVPKNGGKYCEGKRVRYRSCNLEDCPDNNGKTFREEQCEAHNEFSKASFGSGPAVEWIPKYAGVSPKDRCKLICQAKGIGYFFVLQPKVVDGTPCSPDSTSVCVQGQCVKAGCDRIIDSKKKFDKCGVCGGNGSTCKKISGSVTSAKPGYHDIITIPTGATNIEVKQRNQRGSRNNGSFLAIKAADGTYILNGDYTLSTLEQDIMYKGVVLRYSGSSAALERIRSFSPLKEPLTIQVLTVGNALRPKIKYTYFVKKKKESFNAIPTFSAWVIEEWGECSKSCELGWQRRLVECRDINGQPASECAKEVKPASTRPCADHPCPQWQLGEWSSCSKTCGKGYKKRSLKCLSHDGGVLSHESCDPLKKPKHFIDFCTMAECS
+>DECOY_sp|Q9UHI8|ATS1_HUMAN A disintegrin and metalloproteinase with thrombospondin motifs 1 OS=Homo sapiens OX=9606 GN=ADAMTS1 PE=1 SV=4
+SCEAMTCFDIFHKPKKLPDCSEHSLVGGDHSLCKLSRKKYGKGCTKSCSSWEGLQWQPCPHDACPRTSAPKVEKACESAPQGNIDRCEVLRRQWGLECSKSCEGWEEIVWASFTPIANFSEKKKKVFYTYKIKPRLANGVTLVQITLPEKLPSFSRIRELAASSGSYRLVVGKYMIDQELTSLTYDGNLIYTGDAAKIALFSGNNRSGRQNRQKVEINTAGTPITIIDHYGPKASTVSGSIKKCTSGNGGCVGCKDFKKKSDIIRDCGAKVCQGQVCVSTSDPSCPTGDVVKPQLVFFYGIGKAQCILKCRDKPSVGAYKPIWEVAPGSGFSAKSFENHAECQEERFTKGNNDPCDELNCSRYRVRKGECYKGGNKPVPNDCERMTYQVGGGCTRSCDGWPGWMGWSGHFPTDFHKRDTKNVCKGNICWKGEGCSTGDAWPFHKTQCVLVGGSTGTCWLTSCTSAADPCHKSDEGFTFQCQRNADYSTGPLDGPLQIPNQPKDMLCEGHGNDLFSTIMYASCPSWPQSHDLNSLMSAMMHSDQNVGNLSACQKADDHPMNFVHGLEHATTFAAQLGDDEIVSCSRSPDCVTGVDAMGLTDCTQSGCLDQRTFLIATDYHEADRDSPPNHQKQWNCFNRLTLAANSTVEPGKQEDHIVLIKVVVLSVSNRISPHKYLRAAVSFLTLLYHKLGSGHFEAMSQDAVLMTEVYRHSSVFRKKRISGTGTPQGVGQLAPDQPSWQAGEDEGETGEDEDETEAKGTPRPEDDVVGCTGGVDGQRNRRLLHFQLPAPPKEGPAATALRESAAPLPQIFYAEGLLYFAGRVGECLSLAAASSPDGNVTGSYFCHALDTEPLPTESGSKRGVNQLTFGPALFSSDPRLELDLQQDFAHLRLRTTGHGPARELEPVVLEEDEESPRGLADSVALLAAALLLLTPVPGFSRSGPAREANGMDSGLKRRGFGEPVARQM
+>sp|Q9H3M9|ATX3L_HUMAN Ataxin-3-like protein OS=Homo sapiens OX=9606 GN=ATXN3L PE=1 SV=2
+MDFIFHEKQEGFLCAQHCLNNLLQGEYFSPVELASIAHQLDEEERMRMAEGGVTSEEYLAFLQQPSENMDDTGFFSIQVISNALKFWGLEIIHFNNPEYQKLGIDPINERSFICNYKQHWFTIRKFGKHWFNLNSLLAGPELISDTCLANFLARLQQQAYSVFVVKGDLPDCEADQLLQIISVEEMDTPKLNGKKLVKQKEHRVYKTVLEKVSEESDESGTSDQDEEDFQRALELSRQETNREDEHLRSTIELSMQGSSGNTSQDLPKTSCVTPASEQPKKIKEDYFEKHQQEQKQQQQQSDLPGHSSYLHERPTTSSRAIESDLSDDISEGTVQAAVDTILEIMRKNLKIKGEK
+>DECOY_sp|Q9H3M9|ATX3L_HUMAN Ataxin-3-like protein OS=Homo sapiens OX=9606 GN=ATXN3L PE=1 SV=2
+KEGKIKLNKRMIELITDVAAQVTGESIDDSLDSEIARSSTTPREHLYSSHGPLDSQQQQQKQEQQHKEFYDEKIKKPQESAPTVCSTKPLDQSTNGSSGQMSLEITSRLHEDERNTEQRSLELARQFDEEDQDSTGSEDSEESVKELVTKYVRHEKQKVLKKGNLKPTDMEEVSIIQLLQDAECDPLDGKVVFVSYAQQQLRALFNALCTDSILEPGALLSNLNFWHKGFKRITFWHQKYNCIFSRENIPDIGLKQYEPNNFHIIELGWFKLANSIVQISFFGTDDMNESPQQLFALYEESTVGGEAMRMREEEDLQHAISALEVPSFYEGQLLNNLCHQACLFGEQKEHFIFDM
+>sp|Q9H1Z8|AUGN_HUMAN Augurin OS=Homo sapiens OX=9606 GN=C2orf40 PE=2 SV=1
+MAASPARPAVLALTGLALLLLLCWGPGGISGNKLKLMLQKREAPVPTKTKVAVDENKAKEFLGSLKRQKRQLWDRTRPEVQQWYQQFLYMGFDEAKFEDDITYWLNRDRNGHEYYGDYYQRHYDEDSAIGPRSPYGFRHGASVNYDDY
+>DECOY_sp|Q9H1Z8|AUGN_HUMAN Augurin OS=Homo sapiens OX=9606 GN=C2orf40 PE=2 SV=1
+YDDYNVSAGHRFGYPSRPGIASDEDYHRQYYDGYYEHGNRDRNLWYTIDDEFKAEDFGMYLFQQYWQQVEPRTRDWLQRKQRKLSGLFEKAKNEDVAVKTKTPVPAERKQLMLKLKNGSIGGPGWCLLLLLALGTLALVAPRAPSAAM
+>sp|Q13825|AUHM_HUMAN Methylglutaconyl-CoA hydratase, mitochondrial OS=Homo sapiens OX=9606 GN=AUH PE=1 SV=1
+MAAAVAAAPGALGSLHAGGARLVAACSAWLCPGLRLPGSLAGRRAGPAIWAQGWVPAAGGPAPKRGYSSEMKTEDELRVRHLEEENRGIVVLGINRAYGKNSLSKNLIKMLSKAVDALKSDKKVRTIIIRSEVPGIFCAGADLKERAKMSSSEVGPFVSKIRAVINDIANLPVPTIAAIDGLALGGGLELALACDIRVAASSAKMGLVETKLAIIPGGGGTQRLPRAIGMSLAKELIFSARVLDGKEAKAVGLISHVLEQNQEGDAAYRKALDLAREFLPQGPVAMRVAKLAINQGMEVDLVTGLAIEEACYAQTIPTKDRLEGLLAFKEKRPPRYKGE
+>DECOY_sp|Q13825|AUHM_HUMAN Methylglutaconyl-CoA hydratase, mitochondrial OS=Homo sapiens OX=9606 GN=AUH PE=1 SV=1
+EGKYRPPRKEKFALLGELRDKTPITQAYCAEEIALGTVLDVEMGQNIALKAVRMAVPGQPLFERALDLAKRYAADGEQNQELVHSILGVAKAEKGDLVRASFILEKALSMGIARPLRQTGGGGPIIALKTEVLGMKASSAAVRIDCALALELGGGLALGDIAAITPVPLNAIDNIVARIKSVFPGVESSSMKAREKLDAGACFIGPVESRIIITRVKKDSKLADVAKSLMKILNKSLSNKGYARNIGLVVIGRNEEELHRVRLEDETKMESSYGRKPAPGGAAPVWGQAWIAPGARRGALSGPLRLGPCLWASCAAVLRAGGAHLSGLAGPAAAVAAAM
+>sp|Q4VC05|BCL7A_HUMAN B-cell CLL/lymphoma 7 protein family member A OS=Homo sapiens OX=9606 GN=BCL7A PE=1 SV=1
+MSGRSVRAETRSRAKDDIKRVMAAIEKVRKWEKKWVTVGDTSLRIYKWVPVTEPKVDDKNKNKKKGKDEKCGSEVTTPENSSSPGMMDMHDDNSNQSSIADASPIKQENSSNSSPAPEPNSAVPSDGTEAKVDEAQADGKEHPGAEDASDEQNSQSSMEHSMNSSEKVDRQPSGDSGLAAETSAISQDLEGVPPSKKMKLEASQQNSEEM
+>DECOY_sp|Q4VC05|BCL7A_HUMAN B-cell CLL/lymphoma 7 protein family member A OS=Homo sapiens OX=9606 GN=BCL7A PE=1 SV=1
+MEESNQQSAELKMKKSPPVGELDQSIASTEAALGSDGSPQRDVKESSNMSHEMSSQSNQEDSADEAGPHEKGDAQAEDVKAETGDSPVASNPEPAPSSNSSNEQKIPSADAISSQNSNDDHMDMMGPSSSNEPTTVESGCKEDKGKKKNKNKDDVKPETVPVWKYIRLSTDGVTVWKKEWKRVKEIAAMVRKIDDKARSRTEARVSRGSM
+>sp|P23560|BDNF_HUMAN Brain-derived neurotrophic factor OS=Homo sapiens OX=9606 GN=BDNF PE=1 SV=1
+MTILFLTMVISYFGCMKAAPMKEANIRGQGGLAYPGVRTHGTLESVNGPKAGSRGLTSLADTFEHVIEELLDEDQKVRPNEENNKDADLYTSRVMLSSQVPLEPPLLFLLEEYKNYLDAANMSMRVRRHSDPARRGELSVCDSISEWVTAADKKTAVDMSGGTVTVLEKVPVSKGQLKQYFYETKCNPMGYTKEGCRGIDKRHWNSQCRTTQSYVRALTMDSKKRIGWRFIRIDTSCVCTLTIKRGR
+>DECOY_sp|P23560|BDNF_HUMAN Brain-derived neurotrophic factor OS=Homo sapiens OX=9606 GN=BDNF PE=1 SV=1
+RGRKITLTCVCSTDIRIFRWGIRKKSDMTLARVYSQTTRCQSNWHRKDIGRCGEKTYGMPNCKTEYFYQKLQGKSVPVKELVTVTGGSMDVATKKDAATVWESISDCVSLEGRRAPDSHRRVRMSMNAADLYNKYEELLFLLPPELPVQSSLMVRSTYLDADKNNEENPRVKQDEDLLEEIVHEFTDALSTLGRSGAKPGNVSELTGHTRVGPYALGGQGRINAEKMPAAKMCGFYSIVMTLFLITM
+>sp|Q8N7W2|BEND7_HUMAN BEN domain-containing protein 7 OS=Homo sapiens OX=9606 GN=BEND7 PE=1 SV=2
+MEFSERKRSRKSQSFKLVSRDYHHEVYKIPEFSNDVNGEAKETQPIFLGDESMEIKKQITGMRRLLNDSTGRIYQRVGKEGEKLKEEPQDLDLVWPPRLNSSAEAPQSLHPSSRGVWNELPPQSGQFSGQYGTRSRTFQSQPHPTTSSNGELPVVNSSAGSNCCTCNCQSTLQAILQELKTMRKLMQIQAVGTQNRQQPPISLICSQRTAVSRKRNKKKKVPPKTVEPLTVKQKPSGSEMEKKSVVASELSALQAAEHTSPEESRVLGFGIVLESPSSDPEVQLAEGFDVFMPKSQLDSILSNYTRSGSLLFRKLVCAFFDDKTLANSLPNGKRKRGLNDNRKGLDQNIVGAIKVFTEKYCTANHVDKLPGPRDWVQILQDQIKLARRRLKRGSEIADSDERLDGIALPPTGACGGPCTVLPGGSAAVTLVLQSSPQTMSQEKGQMAEPWEEQHLVLLNNLTRDRAETGALSQTSQDFKHHSFLITQVSATLHHQRGIRNFPTPGSAKSLTLHISCLSL
+>DECOY_sp|Q8N7W2|BEND7_HUMAN BEN domain-containing protein 7 OS=Homo sapiens OX=9606 GN=BEND7 PE=1 SV=2
+LSLCSIHLTLSKASGPTPFNRIGRQHHLTASVQTILFSHHKFDQSTQSLAGTEARDRTLNNLLVLHQEEWPEAMQGKEQSMTQPSSQLVLTVAASGGPLVTCPGGCAGTPPLAIGDLREDSDAIESGRKLRRRALKIQDQLIQVWDRPGPLKDVHNATCYKETFVKIAGVINQDLGKRNDNLGRKRKGNPLSNALTKDDFFACVLKRFLLSGSRTYNSLISDLQSKPMFVDFGEALQVEPDSSPSELVIGFGLVRSEEPSTHEAAQLASLESAVVSKKEMESGSPKQKVTLPEVTKPPVKKKKNRKRSVATRQSCILSIPPQQRNQTGVAQIQMLKRMTKLEQLIAQLTSQCNCTCCNSGASSNVVPLEGNSSTTPHPQSQFTRSRTGYQGSFQGSQPPLENWVGRSSPHLSQPAEASSNLRPPWVLDLDQPEEKLKEGEKGVRQYIRGTSDNLLRRMGTIQKKIEMSEDGLFIPQTEKAEGNVDNSFEPIKYVEHHYDRSVLKFSQSKRSRKRESFEM
+>sp|O76090|BEST1_HUMAN Bestrophin-1 OS=Homo sapiens OX=9606 GN=BEST1 PE=1 SV=1
+MTITYTSQVANARLGSFSRLLLCWRGSIYKLLYGEFLIFLLCYYIIRFIYRLALTEEQQLMFEKLTLYCDSYIQLIPISFVLGFYVTLVVTRWWNQYENLPWPDRLMSLVSGFVEGKDEQGRLLRRTLIRYANLGNVLILRSVSTAVYKRFPSAQHLVQAGFMTPAEHKQLEKLSLPHNMFWVPWVWFANLSMKAWLGGRIRDPILLQSLLNEMNTLRTQCGHLYAYDWISIPLVYTQVVTVAVYSFFLTCLVGRQFLNPAKAYPGHELDLVVPVFTFLQFFFYVGWLKVAEQLINPFGEDDDDFETNWIVDRNLQVSLLAVDEMHQDLPRMEPDMYWNKPEPQPPYTAASAQFRRASFMGSTFNISLNKEEMEFQPNQEDEEDAHAGIIGRFLGLQSHDHHPPRANSRTKLLWPKRESLLHEGLPKNHKAAKQNVRGQEDNKAWKLKAVDAFKSAPLYQRPGYYSAPQTPLSPTPMFFPLEPSAPSKLHSVTGIDTKDKSLKTVSSGAKKSFELLSESDGALMEHPEVSQVRRKTVEFNLTDMPEIPENHLKEPLEQSPTNIHTTLKDHMDPYWALENRDEAHS
+>DECOY_sp|O76090|BEST1_HUMAN Bestrophin-1 OS=Homo sapiens OX=9606 GN=BEST1 PE=1 SV=1
+SHAEDRNELAWYPDMHDKLTTHINTPSQELPEKLHNEPIEPMDTLNFEVTKRRVQSVEPHEMLAGDSESLLEFSKKAGSSVTKLSKDKTDIGTVSHLKSPASPELPFFMPTPSLPTQPASYYGPRQYLPASKFADVAKLKWAKNDEQGRVNQKAAKHNKPLGEHLLSERKPWLLKTRSNARPPHHDHSQLGLFRGIIGAHADEEDEQNPQFEMEEKNLSINFTSGMFSARRFQASAATYPPQPEPKNWYMDPEMRPLDQHMEDVALLSVQLNRDVIWNTEFDDDDEGFPNILQEAVKLWGVYFFFQLFTFVPVVLDLEHGPYAKAPNLFQRGVLCTLFFSYVAVTVVQTYVLPISIWDYAYLHGCQTRLTNMENLLSQLLIPDRIRGGLWAKMSLNAFWVWPVWFMNHPLSLKELQKHEAPTMFGAQVLHQASPFRKYVATSVSRLILVNGLNAYRILTRRLLRGQEDKGEVFGSVLSMLRDPWPLNEYQNWWRTVVLTVYFGLVFSIPILQIYSDCYLTLKEFMLQQEETLALRYIFRIIYYCLLFILFEGYLLKYISGRWCLLLRSFSGLRANAVQSTYTITM
+>sp|Q8NFU0|BEST4_HUMAN Bestrophin-4 OS=Homo sapiens OX=9606 GN=BEST4 PE=2 SV=1
+MTVSYTLKVAEARFGGFSGLLLRWRGSIYKLLYKEFLLFGALYAVLSITYRLLLTQEQRYVYAQVARYCNRSADLIPLSFVLGFYVTLVVNRWWSQYTSIPLPDQLMCVISASVHGVDQRGRLLRRTLIRYANLASVLVLRSVSTRVLKRFPTMEHVVDAGFMSQEERKKFESLKSDFNKYWVPCVWFTNLAAQARRDGRIRDDIALCLLLEELNKYRAKCSMLFHYDWISIPLVYTQVVTIAVYSFFALSLVGRQFVEPEAGAAKPQKLLKPGQEPAPALGDPDMYVPLTTLLQFFFYAGWLKVAEQIINPFGEDDDDFETNQLIDRNLQVSLLSVDEMYQNLPPAEKDQYWDEDQPQPPYTVATAAESLRPSFLGSTFNLRMSDDPEQSLQVEASPGSGRPAPAAQTPLLGRFLGVGAPSPAISLRNFGRVRGTPRPPHLLRFRAEEGGDPEAAARIEEESAESGDEALEP
+>DECOY_sp|Q8NFU0|BEST4_HUMAN Bestrophin-4 OS=Homo sapiens OX=9606 GN=BEST4 PE=2 SV=1
+PELAEDGSEASEEEIRAAAEPDGGEEARFRLLHPPRPTGRVRGFNRLSIAPSPAGVGLFRGLLPTQAAPAPRGSGPSAEVQLSQEPDDSMRLNFTSGLFSPRLSEAATAVTYPPQPQDEDWYQDKEAPPLNQYMEDVSLLSVQLNRDILQNTEFDDDDEGFPNIIQEAVKLWGAYFFFQLLTTLPVYMDPDGLAPAPEQGPKLLKQPKAAGAEPEVFQRGVLSLAFFSYVAITVVQTYVLPISIWDYHFLMSCKARYKNLEELLLCLAIDDRIRGDRRAQAALNTFWVCPVWYKNFDSKLSEFKKREEQSMFGADVVHEMTPFRKLVRTSVSRLVLVSALNAYRILTRRLLRGRQDVGHVSASIVCMLQDPLPISTYQSWWRNVVLTVYFGLVFSLPILDASRNCYRAVQAYVYRQEQTLLLRYTISLVAYLAGFLLFEKYLLKYISGRWRLLLGSFGGFRAEAVKLTYSVTM
+>sp|Q9NYM9|BET1L_HUMAN BET1-like protein OS=Homo sapiens OX=9606 GN=BET1L PE=1 SV=1
+MADWARAQSPGAVEEILDRENKRMADSLASKVTRLKSLALDIDRDAEDQNRYLDGMDSDFTSMTSLLTGSVKRFSTMARSGQDNRKLLCGMAVGLIVAFFILSYFLSRART
+>DECOY_sp|Q9NYM9|BET1L_HUMAN BET1-like protein OS=Homo sapiens OX=9606 GN=BET1L PE=1 SV=1
+TRARSLFYSLIFFAVILGVAMGCLLKRNDQGSRAMTSFRKVSGTLLSTMSTFDSDMGDLYRNQDEADRDIDLALSKLRTVKSALSDAMRKNERDLIEEVAGPSQARAWDAM
+>sp|Q9BXY8|BEX2_HUMAN Protein BEX2 OS=Homo sapiens OX=9606 GN=BEX2 PE=1 SV=1
+MESKEERALNNLIVENVNQENDEKDEKEQVANKGEPLALPLNVSEYCVPRGNRRRFRVRQPILQYRWDIMHRLGEPQARMREENMERIGEEVRQLMEKLREKQLSHSLRAVSTDPPHHDHHDEFCLMP
+>DECOY_sp|Q9BXY8|BEX2_HUMAN Protein BEX2 OS=Homo sapiens OX=9606 GN=BEX2 PE=1 SV=1
+PMLCFEDHHDHHPPDTSVARLSHSLQKERLKEMLQRVEEGIREMNEERMRAQPEGLRHMIDWRYQLIPQRVRFRRRNGRPVCYESVNLPLALPEGKNAVQEKEDKEDNEQNVNEVILNNLAREEKSEM
+>sp|P08236|BGLR_HUMAN Beta-glucuronidase OS=Homo sapiens OX=9606 GN=GUSB PE=1 SV=2
+MARGSAVAWAALGPLLWGCALGLQGGMLYPQESPSRECKELDGLWSFRADFSDNRRRGFEEQWYRRPLWESGPTVDMPVPSSFNDISQDWRLRHFVGWVWYEREVILPERWTQDLRTRVVLRIGSAHSYAIVWVNGVDTLEHEGGYLPFEADISNLVQVGPLPSRLRITIAINNTLTPTTLPPGTIQYLTDTSKYPKGYFVQNTYFDFFNYAGLQRSVLLYTTPTTYIDDITVTTSVEQDSGLVNYQISVKGSNLFKLEVRLLDAENKVVANGTGTQGQLKVPGVSLWWPYLMHERPAYLYSLEVQLTAQTSLGPVSDFYTLPVGIRTVAVTKSQFLINGKPFYFHGVNKHEDADIRGKGFDWPLLVKDFNLLRWLGANAFRTSHYPYAEEVMQMCDRYGIVVIDECPGVGLALPQFFNNVSLHHHMQVMEEVVRRDKNHPAVVMWSVANEPASHLESAGYYLKMVIAHTKSLDPSRPVTFVSNSNYAADKGAPYVDVICLNSYYSWYHDYGHLELIQLQLATQFENWYKKYQKPIIQSEYGAETIAGFHQDPPLMFTEEYQKSLLEQYHLGLDQKRRKYVVGELIWNFADFMTEQSPTRVLGNKKGIFTRQRQPKSAAFLLRERYWKIANETRYPHSVAKSQCLENSLFT
+>DECOY_sp|P08236|BGLR_HUMAN Beta-glucuronidase OS=Homo sapiens OX=9606 GN=GUSB PE=1 SV=2
+TFLSNELCQSKAVSHPYRTENAIKWYRERLLFAASKPQRQRTFIGKKNGLVRTPSQETMFDAFNWILEGVVYKRRKQDLGLHYQELLSKQYEETFMLPPDQHFGAITEAGYESQIIPKQYKKYWNEFQTALQLQILELHGYDHYWSYYSNLCIVDVYPAGKDAAYNSNSVFTVPRSPDLSKTHAIVMKLYYGASELHSAPENAVSWMVVAPHNKDRRVVEEMVQMHHHLSVNNFFQPLALGVGPCEDIVVIGYRDCMQMVEEAYPYHSTRFANAGLWRLLNFDKVLLPWDFGKGRIDADEHKNVGHFYFPKGNILFQSKTVAVTRIGVPLTYFDSVPGLSTQATLQVELSYLYAPREHMLYPWWLSVGPVKLQGQTGTGNAVVKNEADLLRVELKFLNSGKVSIQYNVLGSDQEVSTTVTIDDIYTTPTTYLLVSRQLGAYNFFDFYTNQVFYGKPYKSTDTLYQITGPPLTTPTLTNNIAITIRLRSPLPGVQVLNSIDAEFPLYGGEHELTDVGNVWVIAYSHASGIRLVVRTRLDQTWREPLIVEREYWVWGVFHRLRWDQSIDNFSSPVPMDVTPGSEWLPRRYWQEEFGRRRNDSFDARFSWLGDLEKCERSPSEQPYLMGGQLGLACGWLLPGLAAWAVASGRAM
+>sp|Q8NFJ8|BHE22_HUMAN Class E basic helix-loop-helix protein 22 OS=Homo sapiens OX=9606 GN=BHLHE22 PE=2 SV=1
+MERGMHLGAAAAGEDDLFLHKSLSASTSKRLEAAFRSTPPGMDLSLAPPPRERPASSSSSPLGCFEPADPEGAGLLLPPPGGGGGGSAGSGGGGGGGVGVPGLLVGSAGVGGDPSLSSLPAGAALCLKYGESASRGSVAESSGGEQSPDDDSDGRCELVLRAGVADPRASPGAGGGGAKAAEGCSNAHLHGGASVPPGGLGGGGGGGSSSGSSGGGGGSGSGSGGSSSSSSSSSKKSKEQKALRLNINARERRRMHDLNDALDELRAVIPYAHSPSVRKLSKIATLLLAKNYILMQAQALEEMRRLVAYLNQGQAISAASLPSSAAAAAAAAALHPALGAYEQAAGYPFSAGLPPAASCPEKCALFNSVSSSLCKQCTEKP
+>DECOY_sp|Q8NFJ8|BHE22_HUMAN Class E basic helix-loop-helix protein 22 OS=Homo sapiens OX=9606 GN=BHLHE22 PE=2 SV=1
+PKETCQKCLSSSVSNFLACKEPCSAAPPLGASFPYGAAQEYAGLAPHLAAAAAAAAASSPLSAASIAQGQNLYAVLRRMEELAQAQMLIYNKALLLTAIKSLKRVSPSHAYPIVARLEDLADNLDHMRRRERANINLRLAKQEKSKKSSSSSSSSSGGSGSGSGGGGGSSGSSSGGGGGGGLGGPPVSAGGHLHANSCGEAAKAGGGGAGPSARPDAVGARLVLECRGDSDDDPSQEGGSSEAVSGRSASEGYKLCLAAGAPLSSLSPDGGVGASGVLLGPVGVGGGGGGGSGASGGGGGGPPPLLLGAGEPDAPEFCGLPSSSSSAPRERPPPALSLDMGPPTSRFAAELRKSTSASLSKHLFLDDEGAAAAGLHMGREM
+>sp|Q8NDY6|BHE23_HUMAN Class E basic helix-loop-helix protein 23 OS=Homo sapiens OX=9606 GN=BHLHE23 PE=2 SV=1
+MAELKSLSGDAYLALSHGYAAAAAGLAYGAAREPEAARGYGTPGPGGDLPAAPAPRAPAQAAESSGEQSGDEDDAFEQRRRRRGPGSAADGRRRPREQRSLRLSINARERRRMHDLNDALDGLRAVIPYAHSPSVRKLSKIATLLLAKNYILMQAQALDEMRRLVAFLNQGQGLAAPVNAAPLTPFGQATVCPFSAGAALGPCPDKCAAFSGTPSALCKHCHEKP
+>DECOY_sp|Q8NDY6|BHE23_HUMAN Class E basic helix-loop-helix protein 23 OS=Homo sapiens OX=9606 GN=BHLHE23 PE=2 SV=1
+PKEHCHKCLASPTGSFAACKDPCPGLAAGASFPCVTAQGFPTLPAANVPAALGQGQNLFAVLRRMEDLAQAQMLIYNKALLLTAIKSLKRVSPSHAYPIVARLGDLADNLDHMRRRERANISLRLSRQERPRRRGDAASGPGRRRRRQEFADDEDGSQEGSSEAAQAPARPAPAAPLDGGPGPTGYGRAAEPERAAGYALGAAAAAYGHSLALYADGSLSKLEAM
+>sp|P78537|BL1S1_HUMAN Biogenesis of lysosome-related organelles complex 1 subunit 1 OS=Homo sapiens OX=9606 GN=BLOC1S1 PE=1 SV=2
+MAPGSRGERSSFRSRRGPGVPSPQPDVTMLSRLLKEHQAKQNERKELQEKRRREAITAATCLTEALVDHLNVGVAQAYMNQRKLDHEVKTLQVQAAQFAKQTGQWIGMVENFNQALKEIGDVENWARSIELDMRTIATALEYVYKGQLQSAPS
+>DECOY_sp|P78537|BL1S1_HUMAN Biogenesis of lysosome-related organelles complex 1 subunit 1 OS=Homo sapiens OX=9606 GN=BLOC1S1 PE=1 SV=2
+SPASQLQGKYVYELATAITRMDLEISRAWNEVDGIEKLAQNFNEVMGIWQGTQKAFQAAQVQLTKVEHDLKRQNMYAQAVGVNLHDVLAETLCTAATIAERRRKEQLEKRENQKAQHEKLLRSLMTVDPQPSPVGPGRRSRFSSREGRSGPAM
+>sp|Q86X02|CDR2L_HUMAN Cerebellar degeneration-related protein 2-like OS=Homo sapiens OX=9606 GN=CDR2L PE=1 SV=2
+MRRAAGMEDFSAEEEESWYDQQDLEQDLHLAAELGKTLLERNKELEGSLQQMYSTNEEQVQEIEYLTKQLDTLRHVNEQHAKVYEQLDLTARDLELTNHRLVLESKAAQQKIHGLTETIERLQAQVEELQAQVEQLRGLEQLRVLREKRERRRTIHTFPCLKELCTSPRCKDAFRLHSSSLELGPRPLEQENERLQTLVGALRSQVSQERQRKERAEREYTAVLQEYSELERQLCEMEACRLRVQELEAELLELQQMKQAKTYLLGPDDHLAEALLAPLTQAPEADDPQPGRGDDLGAQDGVSSPAASPGHVVRKSCSDTALNAIVAKDPASRHAGNLTLHANSVRKRGMSILREVDEQYHALLEKYEELLSKCRQHGAGVRHAGVQTSRPISRDSSWRDLRGGEEGQGEVKAGEKSLSQHVEAVDKRLEQSQPEYKALFKEIFSRIQKTKADINATKVKTHSSK
+>DECOY_sp|Q86X02|CDR2L_HUMAN Cerebellar degeneration-related protein 2-like OS=Homo sapiens OX=9606 GN=CDR2L PE=1 SV=2
+KSSHTKVKTANIDAKTKQIRSFIEKFLAKYEPQSQELRKDVAEVHQSLSKEGAKVEGQGEEGGRLDRWSSDRSIPRSTQVGAHRVGAGHQRCKSLLEEYKELLAHYQEDVERLISMGRKRVSNAHLTLNGAHRSAPDKAVIANLATDSCSKRVVHGPSAAPSSVGDQAGLDDGRGPQPDDAEPAQTLPALLAEALHDDPGLLYTKAQKMQQLELLEAELEQVRLRCAEMECLQRELESYEQLVATYEREAREKRQREQSVQSRLAGVLTQLRENEQELPRPGLELSSSHLRFADKCRPSTCLEKLCPFTHITRRRERKERLVRLQELGRLQEVQAQLEEVQAQLREITETLGHIKQQAAKSELVLRHNTLELDRATLDLQEYVKAHQENVHRLTDLQKTLYEIEQVQEENTSYMQQLSGELEKNRELLTKGLEAALHLDQELDQQDYWSEEEEASFDEMGAARRM
+>sp|O95170|CDRT1_HUMAN CMT1A duplicated region transcript 1 protein OS=Homo sapiens OX=9606 GN=CDRT1 PE=2 SV=3
+MENLESRLKNAPYFRCEKGTDSIPLCRKCETRVLAWKIFSTKEWFCRINDISQRRFLVGILKQLNSLYLLHYFQNILQTTQGKDFIYNRSRIDLSKKEGKVVKSSLNQMLDKTVEQKMKEILYWFANSTQWTKANYTLLLLQMCNPKLLLTAANVIRVLFLREENNISGLNQDITDVCFSPEKDHSSKSATSQVYWTAKTQHTSLPLSKAPENEHFLGAASNPEEPWRNSLRCISEMNRLFSGKADITKPGYDPCNLLVDLDDIRDLSSGFSKYRDFIRYLPIHLSKYILRMLDRHTLNKCASVSQHWAAMAQQVKMDLSAHGFIQNQITFLQGSYTRGIDPNYANKVSIPVPKMVDDGKSMRVKHPKWKLRTKNEYNLWTAYQNEETQQVLMEERNVFCGTYNVRILSDTWDQNRVIHYSGGDLIAVSSNRKIHLLDIIQVKAIPVEFRGHAGSVRALFLCEEENFLLSGSYDLSIRYWDLKSGVCTRIFGGHQGTITCMDLCKNRLVSGGRDCQVKVWDVDTGKCLKTFRHKDPILATRINDTYIVSSCERGLVKVWHIAMAQLVKTLSGHEGAVKCLFFDQWHLLSGSTDGLVMAWSMVGKYERCLMAFKHPKEVLDVSLLFLRVISACADGKIRIYNFFNGNCMKVIKANGRGDPVLSFFIQGNRISVCHISTFAKRINVGWNGIEPSATAQGGNASLTECAHVRLHIAGHLPASRLPVAAVQPMTGGMAPTTAPTHVLAMLILFSGV
+>DECOY_sp|O95170|CDRT1_HUMAN CMT1A duplicated region transcript 1 protein OS=Homo sapiens OX=9606 GN=CDRT1 PE=2 SV=3
+VGSFLILMALVHTPATTPAMGGTMPQVAAVPLRSAPLHGAIHLRVHACETLSANGGQATASPEIGNWGVNIRKAFTSIHCVSIRNGQIFFSLVPDGRGNAKIVKMCNGNFFNYIRIKGDACASIVRLFLLSVDLVEKPHKFAMLCREYKGVMSWAMVLGDTSGSLLHWQDFFLCKVAGEHGSLTKVLQAMAIHWVKVLGRECSSVIYTDNIRTALIPDKHRFTKLCKGTDVDWVKVQCDRGGSVLRNKCLDMCTITGQHGGFIRTCVGSKLDWYRISLDYSGSLLFNEEECLFLARVSGAHGRFEVPIAKVQIIDLLHIKRNSSVAILDGGSYHIVRNQDWTDSLIRVNYTGCFVNREEMLVQQTEENQYATWLNYENKTRLKWKPHKVRMSKGDDVMKPVPISVKNAYNPDIGRTYSGQLFTIQNQIFGHASLDMKVQQAMAAWHQSVSACKNLTHRDLMRLIYKSLHIPLYRIFDRYKSFGSSLDRIDDLDVLLNCPDYGPKTIDAKGSFLRNMESICRLSNRWPEEPNSAAGLFHENEPAKSLPLSTHQTKATWYVQSTASKSSHDKEPSFCVDTIDQNLGSINNEERLFLVRIVNAATLLLKPNCMQLLLLTYNAKTWQTSNAFWYLIEKMKQEVTKDLMQNLSSKVVKGEKKSLDIRSRNYIFDKGQTTQLINQFYHLLYLSNLQKLIGVLFRRQSIDNIRCFWEKTSFIKWALVRTECKRCLPISDTGKECRFYPANKLRSELNEM
+>sp|Q15517|CDSN_HUMAN Corneodesmosin OS=Homo sapiens OX=9606 GN=CDSN PE=1 SV=3
+MGSSRAPWMGRVGGHGMMALLLAGLLLPGTLAKSIGTFSDPCKDPTRITSPNDPCLTGKGDSSGFSSYSGSSSSGSSISSARSSGGGSSGSSSGSSIAQGGSAGSFKPGTGYSQVSYSSGSGSSLQGASGSSQLGSSSSHSGNSGSHSGSSSSHSSSSSSFQFSSSSFQVGNGSALPTNDNSYRGILNPSQPGQSSSSSQTSGVSSSGQSVSSNQRPCSSDIPDSPCSGGPIVSHSGPYIPSSHSVSGGQRPVVVVVDQHGSGAPGVVQGPPCSNGGLPGKPCPPITSVDKSYGGYEVVGGSSDSYLVPGMTYSKGKIYPVGYFTKENPVKGSPGVPSFAAGPPISEGKYFSSNPIIPSQSAASSAIAFQPVGTGGVQLCGGGSTGSKGPCSPSSSRVPSSSSISSSSGSPYHPCGSASQSPCSPPGTGSFSSSSSSQSSGKIILQPCGSKSSSSGHPCMSVSSLTLTGGPDGSPHPDPSAGAKPCGSSSAGKIPCRSIRDILAQVKPLGPQLADPEVFLPQGELLDSP
+>DECOY_sp|Q15517|CDSN_HUMAN Corneodesmosin OS=Homo sapiens OX=9606 GN=CDSN PE=1 SV=3
+PSDLLEGQPLFVEPDALQPGLPKVQALIDRISRCPIKGASSSGCPKAGASPDPHPSGDPGGTLTLSSVSMCPHGSSSSKSGCPQLIIKGSSQSSSSSSFSGTGPPSCPSQSASGCPHYPSGSSSSISSSSPVRSSSPSCPGKSGTSGGGCLQVGGTGVPQFAIASSAASQSPIIPNSSFYKGESIPPGAAFSPVGPSGKVPNEKTFYGVPYIKGKSYTMGPVLYSDSSGGVVEYGGYSKDVSTIPPCPKGPLGGNSCPPGQVVGPAGSGHQDVVVVVPRQGGSVSHSSPIYPGSHSVIPGGSCPSDPIDSSCPRQNSSVSQGSSSVGSTQSSSSSQGPQSPNLIGRYSNDNTPLASGNGVQFSSSSFQFSSSSSSHSSSSGSHSGSNGSHSSSSGLQSSGSAGQLSSGSGSSYSVQSYGTGPKFSGASGGQAISSGSSSGSSGGGSSRASSISSGSSSSGSYSSFGSSDGKGTLCPDNPSTIRTPDKCPDSFTGISKALTGPLLLGALLLAMMGHGGVRGMWPARSSGM
+>sp|O14627|CDX4_HUMAN Homeobox protein CDX-4 OS=Homo sapiens OX=9606 GN=CDX4 PE=1 SV=1
+MYGSCLLEKEAGMYPGTLMSPGGDGTAGTGGTGGGGSPMPASNFAAAPAFSHYMGYPHMPSMDPHWPSLGVWGSPYSPPREDWSVYPGPSSTMGTVPVNDVTSSPAAFCSTDYSNLGPVGGGTSGSSLPGQAGGSLVPTDAGAAKASSPSRSRHSPYAWMRKTVQVTGKTRTKEKYRVVYTDHQRLELEKEFHCNRYITIQRKSELAVNLGLSERQVKIWFQNRRAKERKMIKKKISQFENSGGSVQSDSDSISPGELPNTFFTTPSAVRGFQPIEIQQVIVSE
+>DECOY_sp|O14627|CDX4_HUMAN Homeobox protein CDX-4 OS=Homo sapiens OX=9606 GN=CDX4 PE=1 SV=1
+ESVIVQQIEIPQFGRVASPTTFFTNPLEGPSISDSDSQVSGGSNEFQSIKKKIMKREKARRNQFWIKVQRESLGLNVALESKRQITIYRNCHFEKELELRQHDTYVVRYKEKTRTKGTVQVTKRMWAYPSHRSRSPSSAKAAGADTPVLSGGAQGPLSSGSTGGGVPGLNSYDTSCFAAPSSTVDNVPVTGMTSSPGPYVSWDERPPSYPSGWVGLSPWHPDMSPMHPYGMYHSFAPAAAFNSAPMPSGGGGTGGTGATGDGGPSMLTGPYMGAEKELLCSGYM
+>sp|Q49AR2|CE022_HUMAN UPF0489 protein C5orf22 OS=Homo sapiens OX=9606 GN=C5orf22 PE=1 SV=2
+MSDSAGGRAGLRRYPKLPVWVVEDHQEVLPFIYRAIGSKHLPASNVSFLHFDSHPDLLIPVNMPADTVFDKETLFGELSIENWIMPAVYAGHFSHVIWFHPTWAQQIREGRHHFLVGKDTSTTTIRVTSTDHYFLSDGLYVPEDQLENQKPLQLDVIMVKPYKLCNNQEENDAVSSAKKPKLALEDSENTASTNCDSSSEGLEKDTATQRSDQTCLEPSCSCSSENQECQTAASTGEILEILKKGKAFVLDIDLDFFSVKNPFKEMFTQEEYKILQELYQFKKPGTNLTEEDLVDIVDTRIHQLEDLEATFADLCDGDDEETVQRWASNPGMESLVPLVQSLKKRMEVPDYEMVHQAGLTCDYSELPHHISTEQEIECLIQSVHYLLKNLPNPTLVTIARSSLDDYCPSDQVDTIQEKVLNMLRALYGNLDLQVYAAESPPS
+>DECOY_sp|Q49AR2|CE022_HUMAN UPF0489 protein C5orf22 OS=Homo sapiens OX=9606 GN=C5orf22 PE=1 SV=2
+SPPSEAAYVQLDLNGYLARLMNLVKEQITDVQDSPCYDDLSSRAITVLTPNPLNKLLYHVSQILCEIEQETSIHHPLESYDCTLGAQHVMEYDPVEMRKKLSQVLPVLSEMGPNSAWRQVTEEDDGDCLDAFTAELDELQHIRTDVIDVLDEETLNTGPKKFQYLEQLIKYEEQTFMEKFPNKVSFFDLDIDLVFAKGKKLIELIEGTSAATQCEQNESSCSCSPELCTQDSRQTATDKELGESSSDCNTSATNESDELALKPKKASSVADNEEQNNCLKYPKVMIVDLQLPKQNELQDEPVYLGDSLFYHDTSTVRITTTSTDKGVLFHHRGERIQQAWTPHFWIVHSFHGAYVAPMIWNEISLEGFLTEKDFVTDAPMNVPILLDPHSDFHLFSVNSAPLHKSGIARYIFPLVEQHDEVVWVPLKPYRRLGARGGASDSM
+>sp|Q52M75|CE027_HUMAN Putative uncharacterized protein encoded by LINC01554 OS=Homo sapiens OX=9606 GN=LINC01554 PE=4 SV=2
+MTPCLESFCQRAKDCKQNIPLPFLIQDWPLSESKPQGQDFWTPQPLQAAAPSHEFQQVALHPARTRLASRPQGGRLMSSREVGLRAPRCSCGKRKR
+>DECOY_sp|Q52M75|CE027_HUMAN Putative uncharacterized protein encoded by LINC01554 OS=Homo sapiens OX=9606 GN=LINC01554 PE=4 SV=2
+RKRKGCSCRPARLGVERSSMLRGGQPRSALRTRAPHLAVQQFEHSPAAAQLPQPTWFDQGQPKSESLPWDQILFPLPINQKCDKARQCFSELCPTM
+>sp|Q9H799|CE042_HUMAN Protein JBTS17 OS=Homo sapiens OX=9606 GN=C5orf42 PE=1 SV=4
+MEIRLEILTSTGIKQKKPWPRVSWLGKEKEAVFLLDDKFINEINLLSGKIKKKIPSLQPFLKDVIVLTTSSNDAWLAGVLTTGELFLWNKDQDCLKTIPITEKPKEMIKATVASSLRLYLYVSGNGKRIVLITPSGCIFLWEYLELKNILSSKSLSLAGRWSQVIPEEAVLLPSTEDKEAVVNAVFIKNELFGDCCLCSFTFYSGECLKLTFLAIRWHENVFTSVRSLPYHVHWAQQDCHLCSLIPKCESVKSRGALISAFSRDGLTLAVTLNQKDPKATQVLFINTLNFVTLCGSLKGCSNKSPVVPATLIRSYWVGDISWTHDSLFLACMLKRGSLVLLTCQGELLTLITFGCSIEFGPAEFIPLHPLITYRPQQFTFQDSNNSVDSSASDSDPMRQRFSIKAHSRLPYLVISDGYMVTTLRFLDSLSPSVHMRSLLLDSTQRLEKIYQSVILSKPKGKGLNLRSLNSLRSSLLEHQGNESSADFTVPKFLQAEETINENAADFQDFEAEETNEGRHFPDNLCPFWNKRDDVLCSSMKEGRLEFASMFDTIHAKDDSEETDRTITELHSIQKSLLAAWTIGISKTVTEKNLMLNYIVVCITHFFYILQFIKCPFPKLDLVLSKSSRHNAWILCIFQLFHQCLSIHYWDIRYKQDVGHLIKLTSNTVKLLLTQQQKGQLFSEKLLACFYLLKMVADNLNGVYILQPEVISASADGSKITAQDSLVVPIFQMFQDSGFQKNWSWNSFFKIHPQVVNPVQQPGHRLLILWRILYKKTLWYQAQLNRRVPEADSQLTEKMTHEASTVKSLLCHLQANLQSTGDCLNQTLELKSINGEECFLLGSYEKSVQLWKKALQEIEEKGGRRTYFLQIRYYLSLLYCHLYSYNLNDAQGLCDQLAREILRWSQLPVKENKDFSGAAKSHFECGMVGGVHPEAAVRVVQSMARFMAAYFTNQQLCILPPHHVNVLPPLHIKTEQSFRLIPLQHSKVASVVRDQNLSNVWTVEYALELLFIGGLVPEAVWLAYKLGDWKTSVSIGVAFQLFCKRDSNFMRSKKKSLNLPLRMTPAQIFQEKLQCVLGQPASLEAKNEMGSKYKQFTDPIEEEDANLLFGSVQEVLKASVMADADILSETFQLLIDSAKDFSKRLWGLVPFGLYLPAPPLYCPQPAILSEEDGDDLLLKAEKNNRQKVSGILQRVLLLFRAAQCSFPVAQWYILQLRWARKVMQKIRMKGSLPSLSPFPQSLLNYCKGGIAFFRPGAAGDHKLDEVSIRAIGCFRELCALCWMLHVRDKLSYSCRQYQKARENVKGEKDLEVEFDSCMIEHCLSAVEWAYRMLPFSRFFNMEELIQDIILSLIGELPPIRKVAEIFVKAFPYPEDVRVPLRDKYHSLHQRLRHCVVKGPQTEEMMSVVMHSIQKVRVKALKRVQRNIGSFEVNIWEPIEEEKPDEAPGVDRYSLGTSLSRSTLTELGDSVVHSDADTFSEALSVEEKSRINIYQRNAPNHMELTSIHKPTDKRKMCNQKENPTKKEDHEKLSQNTLPVIGVWEFERDDDEYIKFLDLFLSYILERDLPYSRDADIPFLTSFSGKLREHELNSLLFDVHTTLKRHQSKTKSQNVFRAGSCFVVAPESYESEKSSSLNDEYGMHLENQKLSSSVLVNQGIKPFLQYPSNEVNKNEGMSGLFGLKQRSIYKIQDDTREKCLIQRSSNHIFWTPKSIKTRRCIFKAIQCNDINPQEDLPLALNTFGSIGRLLEWMIRWSNRRLLCDSGITESSSEYSPVIRVKTSTAAILTSLWLLEQPYFATYKAKNAIIKMVENRDTGCQIGPNIERESKSDAGGSVAVATPGGTEERNGQNKSCQNILNRMPTEAKNPDIKEINDDIISITHNTKKEFIDIDENLLEVEAFTEEEMDMHISDYEEDIEESVGGFRSPSLAICMMTLPQQLEEEFTEEVQCQREEPLETIMEEKSTEQKGMIEAFSHPGHTTPQSMQVDTSSEISSAQISTYKEKSSSVPLLISNGVNVASQPPAPTPQKTQRNEFTAQLPDCSESVRQMLQDEMFKLVQLQQINFMSLMQIVGSSFANLPDTQQLVQQSQSVHLGESQESNLRGCGDVEDSNKNLKERFFIKPQSMGENAREPRKNSPHCHEGTIPSGQNSTGNVQNVPHGSIPLCQLNGQPRKKGPIPSSQNLPSTSFYPAPAGNTHLYLLSTPSVVQKAPRLIPHAKTFSPGDGFPLLQFKSKQEFQPLFLHTGSIPQVPFRPLPQPREAWGLSDSFQPALPQRAAQTTPASHLNVSQYNTEARKKEVEQKTWAETVITEIPNHVNLDQYVGQENLTPQQDSSVFIKPEKLFDVKPGTLEISPHHSFGLPLLYLPLKPPNMFPSTSRASITVPSTPIQPIAEERKYPRLSLLHSHLSPENRCKKTQLIPLENLIAFKQSQQKLTHNLFEQGDAGHLQLLKVKIEPPEVRQGKDSKKRQRRRAEKELQEKRCEKLRRKPNVTFRPENSIINNDDSEIIKKPKEQQEHCGSHPLDDFDVPFEMLQDDNTSAGLHFMASVKKKAIGSQDASTNTDPEHEPLTAPQLLVPDVYLNLKLSSEMSEKPWSPSIPHTVTNLELPVREEPSNDNVIKQQSDHLAVPSSAELHYMAASVTNAVPPHNFKSQGLPKPEFRFKGQSTKSDSAEDYLLWKRLQGVSAACPAPSSAAHQLEHLSAKLQKIDEQLLAIQNIAENIEQDFPKPEMLDLHCDKIGPVDHIEFSSGPEFKKTLASKTISISEEVRFLTHMDEEDQSDKKETSEPEFSITENYSGQKTCVFPTADSAVSLSSSSDQNTTSPGMNSSDELCESVSVHPLQMTGLTDIADIIDDLIIKDGVSSEELGLTEQAMGTSRIQHYSGRHSQRTDKERREIQAWMKRKRKERMAKYLNELAEKRGQEHDPFCPRSNPLYMTSREIRLRQKMKHEKDRLLLSEHYSRRISQAYGLMNELLSESVQLPTLPQKPLPNKPSPTQSSSCQHCPSPRGENQHGHSFLINRPGKVKYMSKPSYIHKRKSFGQPQGSPWPHGTATFTIQKKAGGAKAAVRKATQSPVTFQKGSNAPCHSLQHTKKHGSAGLAPQTKQVCVEYEREETVVSPWTIPSEIHKILHESHNSLLQDLSPTEEEEPEHPFGVGGVDSVSESTGSILSKLDWNAIEDMVASVEDQGLSVHWALDL
+>DECOY_sp|Q9H799|CE042_HUMAN Protein JBTS17 OS=Homo sapiens OX=9606 GN=C5orf42 PE=1 SV=4
+LDLAWHVSLGQDEVSAVMDEIANWDLKSLISGTSESVSDVGGVGFPHEPEEEETPSLDQLLSNHSEHLIKHIESPITWPSVVTEEREYEVCVQKTQPALGASGHKKTHQLSHCPANSGKQFTVPSQTAKRVAAKAGGAKKQITFTATGHPWPSGQPQGFSKRKHIYSPKSMYKVKGPRNILFSHGHQNEGRPSPCHQCSSSQTPSPKNPLPKQPLTPLQVSESLLENMLGYAQSIRRSYHESLLLRDKEHKMKQRLRIERSTMYLPNSRPCFPDHEQGRKEALENLYKAMREKRKRKMWAQIERREKDTRQSHRGSYHQIRSTGMAQETLGLEESSVGDKIILDDIIDAIDTLGTMQLPHVSVSECLEDSSNMGPSTTNQDSSSSLSVASDATPFVCTKQGSYNETISFEPESTEKKDSQDEEDMHTLFRVEESISITKSALTKKFEPGSSFEIHDVPGIKDCHLDLMEPKPFDQEINEAINQIALLQEDIKQLKASLHELQHAASSPAPCAASVGQLRKWLLYDEASDSKTSQGKFRFEPKPLGQSKFNHPPVANTVSAAMYHLEASSPVALHDSQQKIVNDNSPEERVPLELNTVTHPISPSWPKESMESSLKLNLYVDPVLLQPATLPEHEPDTNTSADQSGIAKKKVSAMFHLGASTNDDQLMEFPVDFDDLPHSGCHEQQEKPKKIIESDDNNIISNEPRFTVNPKRRLKECRKEQLEKEARRRQRKKSDKGQRVEPPEIKVKLLQLHGADGQEFLNHTLKQQSQKFAILNELPILQTKKCRNEPSLHSHLLSLRPYKREEAIPQIPTSPVTISARSTSPFMNPPKLPLYLLPLGFSHHPSIELTGPKVDFLKEPKIFVSSDQQPTLNEQGVYQDLNVHNPIETIVTEAWTKQEVEKKRAETNYQSVNLHSAPTTQAARQPLAPQFSDSLGWAERPQPLPRFPVQPISGTHLFLPQFEQKSKFQLLPFGDGPSFTKAHPILRPAKQVVSPTSLLYLHTNGAPAPYFSTSPLNQSSPIPGKKRPQGNLQCLPISGHPVNQVNGTSNQGSPITGEHCHPSNKRPERANEGMSQPKIFFREKLNKNSDEVDGCGRLNSEQSEGLHVSQSQQVLQQTDPLNAFSSGVIQMLSMFNIQQLQVLKFMEDQLMQRVSESCDPLQATFENRQTKQPTPAPPQSAVNVGNSILLPVSSSKEKYTSIQASSIESSTDVQMSQPTTHGPHSFAEIMGKQETSKEEMITELPEERQCQVEETFEEELQQPLTMMCIALSPSRFGGVSEEIDEEYDSIHMDMEEETFAEVELLNEDIDIFEKKTNHTISIIDDNIEKIDPNKAETPMRNLINQCSKNQGNREETGGPTAVAVSGGADSKSEREINPGIQCGTDRNEVMKIIANKAKYTAFYPQELLWLSTLIAATSTKVRIVPSYESSSETIGSDCLLRRNSWRIMWELLRGISGFTNLALPLDEQPNIDNCQIAKFICRRTKISKPTWFIHNSSRQILCKERTDDQIKYISRQKLGFLGSMGENKNVENSPYQLFPKIGQNVLVSSSLKQNELHMGYEDNLSSSKESEYSEPAVVFCSGARFVNQSKTKSQHRKLTTHVDFLLSNLEHERLKGSFSTLFPIDADRSYPLDRELIYSLFLDLFKIYEDDDREFEWVGIVPLTNQSLKEHDEKKTPNEKQNCMKRKDTPKHISTLEMHNPANRQYINIRSKEEVSLAESFTDADSHVVSDGLETLTSRSLSTGLSYRDVGPAEDPKEEEIPEWINVEFSGINRQVRKLAKVRVKQISHMVVSMMEETQPGKVVCHRLRQHLSHYKDRLPVRVDEPYPFAKVFIEAVKRIPPLEGILSLIIDQILEEMNFFRSFPLMRYAWEVASLCHEIMCSDFEVELDKEGKVNERAKQYQRCSYSLKDRVHLMWCLACLERFCGIARISVEDLKHDGAAGPRFFAIGGKCYNLLSQPFPSLSPLSGKMRIKQMVKRAWRLQLIYWQAVPFSCQAARFLLLVRQLIGSVKQRNNKEAKLLLDDGDEESLIAPQPCYLPPAPLYLGFPVLGWLRKSFDKASDILLQFTESLIDADAMVSAKLVEQVSGFLLNADEEEIPDTFQKYKSGMENKAELSAPQGLVCQLKEQFIQAPTMRLPLNLSKKKSRMFNSDRKCFLQFAVGISVSTKWDGLKYALWVAEPVLGGIFLLELAYEVTWVNSLNQDRVVSAVKSHQLPILRFSQETKIHLPPLVNVHHPPLICLQQNTFYAAMFRAMSQVVRVAAEPHVGGVMGCEFHSKAAGSFDKNEKVPLQSWRLIERALQDCLGQADNLNYSYLHCYLLSLYYRIQLFYTRRGGKEEIEQLAKKWLQVSKEYSGLLFCEEGNISKLELTQNLCDGTSQLNAQLHCLLSKVTSAEHTMKETLQSDAEPVRRNLQAQYWLTKKYLIRWLILLRHGPQQVPNVVQPHIKFFSNWSWNKQFGSDQFMQFIPVVLSDQATIKSGDASASIVEPQLIYVGNLNDAVMKLLYFCALLKESFLQGKQQQTLLLKVTNSTLKILHGVDQKYRIDWYHISLCQHFLQFICLIWANHRSSKSLVLDLKPFPCKIFQLIYFFHTICVVIYNLMLNKETVTKSIGITWAALLSKQISHLETITRDTEESDDKAHITDFMSAFELRGEKMSSCLVDDRKNWFPCLNDPFHRGENTEEAEFDQFDAANENITEEAQLFKPVTFDASSENGQHELLSSRLSNLSRLNLGKGKPKSLIVSQYIKELRQTSDLLLSRMHVSPSLSDLFRLTTVMYGDSIVLYPLRSHAKISFRQRMPDSDSASSDVSNNSDQFTFQQPRYTILPHLPIFEAPGFEISCGFTILTLLEGQCTLLVLSGRKLMCALFLSDHTWSIDGVWYSRILTAPVVPSKNSCGKLSGCLTVFNLTNIFLVQTAKPDKQNLTVALTLGDRSFASILAGRSKVSECKPILSCLHCDQQAWHVHYPLSRVSTFVNEHWRIALFTLKLCEGSYFTFSCLCCDGFLENKIFVANVVAEKDETSPLLVAEEPIVQSWRGALSLSKSSLINKLELYEWLFICGSPTILVIRKGNGSVYLYLRLSSAVTAKIMEKPKETIPITKLCDQDKNWLFLEGTTLVGALWADNSSTTLVIVDKLFPQLSPIKKKIKGSLLNIENIFKDDLLFVAEKEKGLWSVRPWPKKQKIGTSTLIELRIEM
+>sp|C9J3I9|CE058_HUMAN Putative uncharacterized protein C5orf58 OS=Homo sapiens OX=9606 GN=C5orf58 PE=4 SV=1
+MREIAEILPAQEKVEARIDLKMGKKRVTDHKLNVDKVIKNINTISSELKKIKELSQLLLCDLILHFNHPIKTENLAEAERNNPLFEESKISDVSLVSNSFSI
+>DECOY_sp|C9J3I9|CE058_HUMAN Putative uncharacterized protein C5orf58 OS=Homo sapiens OX=9606 GN=C5orf58 PE=4 SV=1
+ISFSNSVLSVDSIKSEEFLPNNREAEALNETKIPHNFHLILDCLLLQSLEKIKKLESSITNINKIVKDVNLKHDTVRKKGMKLDIRAEVKEQAPLIEAIERM
+>sp|Q9H5L9|CE066_HUMAN Putative uncharacterized protein C5orf66 OS=Homo sapiens OX=9606 GN=C5orf66 PE=5 SV=1
+MGITPWPKGHTEPKGSYSLRKKPCYGTVAALDCAGCQEGMAEAASVGLAQSESTTIMGHVCAERHTHDRYVTSMCPYLPLPMDGNAMDFSFLSSARAKQFFTVFSRSSSHLSAPQTGLLQWITNLAGRPWPALTAASGAGMKQKQ
+>DECOY_sp|Q9H5L9|CE066_HUMAN Putative uncharacterized protein C5orf66 OS=Homo sapiens OX=9606 GN=C5orf66 PE=5 SV=1
+QKQKMGAGSAATLAPWPRGALNTIWQLLGTQPASLHSSSRSFVTFFQKARASSLFSFDMANGDMPLPLYPCMSTVYRDHTHREACVHGMITTSESQALGVSAAEAMGEQCGACDLAAVTGYCPKKRLSYSGKPETHGKPWPTIGM
+>sp|O60308|CE104_HUMAN Centrosomal protein of 104 kDa OS=Homo sapiens OX=9606 GN=CEP104 PE=1 SV=1
+MPHKIGFVVVSSSGHEDGFSARELMIHAPTVSGWRSPRFCQFPQEIVLQMVERCRIRKLQLLAHQYMISSKIEFYISESLPEYFAPYQAERFRRLGYVSLCDNEKTGCKARELKSVYVDAVGQFLKLIFHQNHVNKYNIYNQVALVAINIIGDPADFSDESNTASREKLIDHYLGHNSEDPALEGTYARKSDYISPLDDLAFDMYQDPEVAQIIRKLDERKREAVQKERYDYAKKLKQAIADLQKVGERLGRYEVEKRCAVEKEDYDLAKEKKQQMEQYRAEVYEQLELHSLLDAELMRRPFDLPLQPLARSGSPCHQKPMPSLPQLEERGTENQFAEPFLQEKPSSYSLTISPQHSAVDPLLPATDPHPKINAESLPYDERPLPAIRKHYGEAVVEPEMSNADISDARRGGMLGEPEPLTEKALREASSAIDVLGETLVAEAYCKTWSYREDALLALSKKLMEMPVGTPKEDLKNTLRASVFLVRRAIKDIVTSVFQASLKLLKMIITQYIPKHKLSKLETAHCVERTIPVLLTRTGDSSARLRVTAANFIQEMALFKEVKSLQIIPSYLVQPLKANSSVHLAMSQMGLLARLLKDLGTGSSGFTIDNVMKFSVSALEHRVYEVRETAVRIILDMYRQHQASILEYLPPDDSNTRRNILYKTIFEGFAKIDGRATDAEMRARRKAATEEAEKQKKEEIKALQGQLAALKEIQAEVQEKESDAVKPKNQDIQGGKAAPAEALGIPDEHYLDNLCIFCGERSESFTEEGLDLHYWKHCLMLTRCDHCKQVVEISSLTEHLLTECDKKDGFGKCYRCSEAVFKEELPRHIKHKDCNPAKPEKLANRCPLCHENFSPGEEAWKAHLMGPAGCTMNLRKTHILQKAPALQPGKSSAVAASGPLGSKAGSKIPTPKGGLSKSSSRTYAKR
+>DECOY_sp|O60308|CE104_HUMAN Centrosomal protein of 104 kDa OS=Homo sapiens OX=9606 GN=CEP104 PE=1 SV=1
+RKAYTRSSSKSLGGKPTPIKSGAKSGLPGSAAVASSKGPQLAPAKQLIHTKRLNMTCGAPGMLHAKWAEEGPSFNEHCLPCRNALKEPKAPNCDKHKIHRPLEEKFVAESCRYCKGFGDKKDCETLLHETLSSIEVVQKCHDCRTLMLCHKWYHLDLGEETFSESREGCFICLNDLYHEDPIGLAEAPAAKGGQIDQNKPKVADSEKEQVEAQIEKLAALQGQLAKIEEKKQKEAEETAAKRRARMEADTARGDIKAFGEFITKYLINRRTNSDDPPLYELISAQHQRYMDLIIRVATERVEYVRHELASVSFKMVNDITFGSSGTGLDKLLRALLGMQSMALHVSSNAKLPQVLYSPIIQLSKVEKFLAMEQIFNAATVRLRASSDGTRTLLVPITREVCHATELKSLKHKPIYQTIIMKLLKLSAQFVSTVIDKIARRVLFVSARLTNKLDEKPTGVPMEMLKKSLALLADERYSWTKCYAEAVLTEGLVDIASSAERLAKETLPEPEGLMGGRRADSIDANSMEPEVVAEGYHKRIAPLPREDYPLSEANIKPHPDTAPLLPDVASHQPSITLSYSSPKEQLFPEAFQNETGREELQPLSPMPKQHCPSGSRALPQLPLDFPRRMLEADLLSHLELQEYVEARYQEMQQKKEKALDYDEKEVACRKEVEYRGLREGVKQLDAIAQKLKKAYDYREKQVAERKREDLKRIIQAVEPDQYMDFALDDLPSIYDSKRAYTGELAPDESNHGLYHDILKERSATNSEDSFDAPDGIINIAVLAVQNYINYKNVHNQHFILKLFQGVADVYVSKLERAKCGTKENDCLSVYGLRRFREAQYPAFYEPLSESIYFEIKSSIMYQHALLQLKRIRCREVMQLVIEQPFQCFRPSRWGSVTPAHIMLERASFGDEHGSSSVVVFGIKHPM
+>sp|Q8N960|CE120_HUMAN Centrosomal protein of 120 kDa OS=Homo sapiens OX=9606 GN=CEP120 PE=1 SV=2
+MVSKSDQLLIVVSILEGRHFPKRPKHMLVVEAKFDGEQLATDPVDHTDQPEFATELAWEIDRKALHQHRLQRTPIKLQCFALDPVTSAKETIGYIVLDLRTAQETKQAPKWYQLLSNKYTKFKSEIQISIALETDTKPPVDSFKAKGAPPRDGKVPAILAGLDPRDIVAVLNEEGGYHQIGPAEYCTDSFIMSVTIAFATQLEQLIPCTMKLPERQPEFFFYYSLLGNDVTNEPFNDLINPNFEPERASVRIRSSVEILRVYLALQSKLQIHLCCGDQSLGSTEIPLTGLLKKGSTEINQHPVTVEGAFTLDPPNRAKQKLAPIPVELAPTVGVSVALQREGIDSQSLIELKTQNEHEPEHSKKKVLTPIKEKTLTGPKSPTVSPVPSHNQSPPTKDDATESEVESLQYDKDTKPNPKASSSVPASLAQLVTTSNASEVASGQKIAVPATSHHFCFSIDLRSIHALEIGFPINCILRYSYPFFGSAAPIMTNPPVEVRKNMEVFLPQSYCAFDFATMPHQLQDTFLRIPLLVELWHKDKMSKDLLLGIARIQLSNILSSEKTRFLGSNGEQCWRQTYSESVPVIAAQGSNNRIADLSYTVTLEDYGLVKMREIFISDSSQGVSAVQQKPSSLPPAPCPSEIQTEPRETLEYKAALELEMWKEMQEDIFENQLKQKELAHMQALAEEWKKRDRERESLVKKKVAEYTILEGKLQKTLIDLEKREQQLASVESELQREKKELQSERQRNLQELQDSIRRAKEDCIHQVELERLKIKQLEEDKHRLQQQLNDAENKYKILEKEFQQFKDQQNNKPEIRLQSEINLLTLEKVELERKLESATKSKLHYKQQWGRALKELARLKQREQESQMARLKKQQEELEQMRLRYLAAEEKDTVKTERQELLDIRNELNRLRQQEQKQYQDSTEIASGKKDGPHGSVLEEGLDDYLTRLIEERDTLMRTGVYNHEDRIISELDRQIREILAKSNASN
+>DECOY_sp|Q8N960|CE120_HUMAN Centrosomal protein of 120 kDa OS=Homo sapiens OX=9606 GN=CEP120 PE=1 SV=2
+NSANSKALIERIQRDLESIIRDEHNYVGTRMLTDREEILRTLYDDLGEELVSGHPGDKKGSAIETSDQYQKQEQQRLRNLENRIDLLEQRETKVTDKEEAALYRLRMQELEEQQKKLRAMQSEQERQKLRALEKLARGWQQKYHLKSKTASELKRELEVKELTLLNIESQLRIEPKNNQQDKFQQFEKELIKYKNEADNLQQQLRHKDEELQKIKLRELEVQHICDEKARRISDQLEQLNRQRESQLEKKERQLESEVSALQQERKELDILTKQLKGELITYEAVKKKVLSERERDRKKWEEALAQMHALEKQKLQNEFIDEQMEKWMELELAAKYELTERPETQIESPCPAPPLSSPKQQVASVGQSSDSIFIERMKVLGYDELTVTYSLDAIRNNSGQAAIVPVSESYTQRWCQEGNSGLFRTKESSLINSLQIRAIGLLLDKSMKDKHWLEVLLPIRLFTDQLQHPMTAFDFACYSQPLFVEMNKRVEVPPNTMIPAASGFFPYSYRLICNIPFGIELAHISRLDISFCFHHSTAPVAIKQGSAVESANSTTVLQALSAPVSSSAKPNPKTDKDYQLSEVESETADDKTPPSQNHSPVPSVTPSKPGTLTKEKIPTLVKKKSHEPEHENQTKLEILSQSDIGERQLAVSVGVTPALEVPIPALKQKARNPPDLTFAGEVTVPHQNIETSGKKLLGTLPIETSGLSQDGCCLHIQLKSQLALYVRLIEVSSRIRVSAREPEFNPNILDNFPENTVDNGLLSYYFFFEPQREPLKMTCPILQELQTAFAITVSMIFSDTCYEAPGIQHYGGEENLVAVIDRPDLGALIAPVKGDRPPAGKAKFSDVPPKTDTELAISIQIESKFKTYKNSLLQYWKPAQKTEQATRLDLVIYGITEKASTVPDLAFCQLKIPTRQLRHQHLAKRDIEWALETAFEPQDTHDVPDTALQEGDFKAEVVLMHKPRKPFHRGELISVVILLQDSKSVM
+>sp|Q9UPV0|CE164_HUMAN Centrosomal protein of 164 kDa OS=Homo sapiens OX=9606 GN=CEP164 PE=1 SV=3
+MAGRPLRIGDQLVLEEDYDETYIPSEQEILEFAREIGIDPIKEPELMWLAREGIVAPLPGEWKPCQDITGDIYYFNFANGQSMWDHPCDEHYRSLVIQERAKLSTSGAIKKKKKKKEKKDKKDRDPPKSSLALGSSLAPVHVPLGGLAPLRGLVDTPPSALRGSQSVSLGSSVESGRQLGELMLPSQGLKTSAYTKGLLGSIYEDKTALSLLGLGEETNEEDEEESDNQSVHSSSEPLRNLHLDIGALGGDFEYEESLRTSQPEEKKDVSLDSDAAGPPTPCKPSSPGADSSLSSAVGKGRQGSGARPGLPEKEENEKSEPKICRNLVTPKADPTGSEPAKASEKEAPEDTVDAGEEGSRREEAAKEPKKKASALEEGSSDASQELEISEHMKEPQLSDSIASDPKSFHGLDFGFRSRISEHLLDVDVLSPVLGGACRQAQQPLGIEDKDDSQSSQDELQSKQSKGLEERLSPPLPHEERAQSPPRSLATEEEPPQGPEGQPEWKEAEELGEDSAASLSLQLSLQREQAPSPPAACEKGKEQHSQAEELGPGQEEAEDPEEKVAVSPTPPVSPEVRSTEPVAPPEQLSEAALKAMEEAVAQVLEQDQRHLLESKQEKMQQLREKLCQEEEEEILRLHQQKEQSLSSLRERLQKAIEEEEARMREEESQRLSWLRAQVQSSTQADEDQIRAEQEASLQKLREELESQQKAERASLEQKNRQMLEQLKEEIEASEKSEQAALNAAKEKALQQLREQLEGERKEAVATLEKEHSAELERLCSSLEAKHREVVSSLQKKIQEAQQKEEAQLQKCLGQVEHRVHQKSYHVAGYEHELSSLLREKRQEVEGEHERRLDKMKEEHQQVMAKAREQYEAEERKQRAELLGHLTGELERLQRAHERELETVRQEQHKRLEDLRRRHREQERKLQDLELDLETRAKDVKARLALLEVQEETARREKQQLLDVQRQVALKSEEATATHQQLEEAQKEHTHLLQSNQQLREILDELQARKLKLESQVDLLQAQSQQLQKHFSSLEAEAQKKQHLLREVTVEENNASPHFEPDLHIEDLRKSLGTNQTKEVSSSLSQSKEDLYLDSLSSHNVWHLLSAEGVALRSAKEFLVQQTRSMRRRQTALKAAQQHWRHELASAQEVAKDPPGIKALEDMRKNLEKETRHLDEMKSAMRKGHNLLKKKEEKLNQLESSLWEEASDEGTLGGSPTKKAVTFDLSDMDSLSSESSESFSPPHREWWRQQRIDSTPSLTSRKIHGLSHSLRQISSQLSSVLSILDSLNPQSPPPLLASMPAQLPPRDPKSTPTPTYYGSLARFSALSSATPTSTQWAWDSGQGPRLPSSVAQTVDDFLLEKWRKYFPSGIPLLSNSPTPLESRLGYMSASEQLRLLQHSHSQVPEAGSTTFQGIIEANRRWLERVKNDPRLPLFSSTPKPKATLSLLQLGLDEHNRVKVYRF
+>DECOY_sp|Q9UPV0|CE164_HUMAN Centrosomal protein of 164 kDa OS=Homo sapiens OX=9606 GN=CEP164 PE=1 SV=3
+FRYVKVRNHEDLGLQLLSLTAKPKPTSSFLPLRPDNKVRELWRRNAEIIGQFTTSGAEPVQSHSHQLLRLQESASMYGLRSELPTPSNSLLPIGSPFYKRWKELLFDDVTQAVSSPLRPGQGSDWAWQTSTPTASSLASFRALSGYYTPTPTSKPDRPPLQAPMSALLPPPSQPNLSDLISLVSSLQSSIQRLSHSLGHIKRSTLSPTSDIRQQRWWERHPPSFSESSESSLSDMDSLDFTVAKKTPSGGLTGEDSAEEWLSSELQNLKEEKKKLLNHGKRMASKMEDLHRTEKELNKRMDELAKIGPPDKAVEQASALEHRWHQQAAKLATQRRRMSRTQQVLFEKASRLAVGEASLLHWVNHSSLSDLYLDEKSQSLSSSVEKTQNTGLSKRLDEIHLDPEFHPSANNEEVTVERLLHQKKQAEAELSSFHKQLQQSQAQLLDVQSELKLKRAQLEDLIERLQQNSQLLHTHEKQAEELQQHTATAEESKLAVQRQVDLLQQKERRATEEQVELLALRAKVDKARTELDLELDQLKREQERHRRRLDELRKHQEQRVTELEREHARQLRELEGTLHGLLEARQKREEAEYQERAKAMVQQHEEKMKDLRREHEGEVEQRKERLLSSLEHEYGAVHYSKQHVRHEVQGLCKQLQAEEKQQAEQIKKQLSSVVERHKAELSSCLRELEASHEKELTAVAEKREGELQERLQQLAKEKAANLAAQESKESAEIEEKLQELMQRNKQELSAREAKQQSELEERLKQLSAEQEARIQDEDAQTSSQVQARLWSLRQSEEERMRAEEEEIAKQLRERLSSLSQEKQQHLRLIEEEEEQCLKERLQQMKEQKSELLHRQDQELVQAVAEEMAKLAAESLQEPPAVPETSRVEPSVPPTPSVAVKEEPDEAEEQGPGLEEAQSHQEKGKECAAPPSPAQERQLSLQLSLSAASDEGLEEAEKWEPQGEPGQPPEEETALSRPPSQAREEHPLPPSLREELGKSQKSQLEDQSSQSDDKDEIGLPQQAQRCAGGLVPSLVDVDLLHESIRSRFGFDLGHFSKPDSAISDSLQPEKMHESIELEQSADSSGEELASAKKKPEKAAEERRSGEEGADVTDEPAEKESAKAPESGTPDAKPTVLNRCIKPESKENEEKEPLGPRAGSGQRGKGVASSLSSDAGPSSPKCPTPPGAADSDLSVDKKEEPQSTRLSEEYEFDGGLAGIDLHLNRLPESSSHVSQNDSEEEDEENTEEGLGLLSLATKDEYISGLLGKTYASTKLGQSPLMLEGLQRGSEVSSGLSVSQSGRLASPPTDVLGRLPALGGLPVHVPALSSGLALSSKPPDRDKKDKKEKKKKKKKIAGSTSLKAREQIVLSRYHEDCPHDWMSQGNAFNFYYIDGTIDQCPKWEGPLPAVIGERALWMLEPEKIPDIGIERAFELIEQESPIYTEDYDEELVLQDGIRLPRGAM
+>sp|Q8TEP8|CE192_HUMAN Centrosomal protein of 192 kDa OS=Homo sapiens OX=9606 GN=CEP192 PE=1 SV=2
+MKTSDLVPSFGYFIRSPEKREPIALIRKSDVSRGNLEKEMAHLNHDLYSGDLNEQSQAQLSEGSITLQVEAVESTSQVDENDVTLTADKGKTEDTFFMSNKPQRYKDKLPDSGDSMLRISTIASAIAEASVNTDPSQLAAMIKALSNKTRDKTFQEDEKQKDYSHVRHFLPNDLEKSNGSNALDMEKYLKKTEVSRYESALENFSRASMSDTWDLSLPKEQTTQDIHPVDLSATSVSVRAPEENTAAIVYVENGESENQESFRTINSSNSVTNRENNSAVVDVKTCSIDNKLQDVGNDEKATSISTPSDSYSSVRNPRITSLCLLKDCEEIRDNRENQRQNECVSEISNSEKHVTFENHRIVSPKNSDLKNTSPEHGGRGSEDEQESFRPSTSPLSHSSPSEISGTSSSGCALESFGSAAQQQQPPCEQELSPLVCSPAGVSRLTYVSEPESSYPTTATDDALEDRKSDITSELSTTIIQGSPAALEERAMEKLREKVPFQNRGKGTLSSIIQNNSDTRKATETTSLSSKPEYVKPDFRWSKDPSSKSGNLLETSEVGWTSNPEELDPIRLALLGKSGLSCQVGSATSHPVSCQEPIDEDQRISPKDKSTAGREFSGQVSHQTTSENQCTPIPSSTVHSSVADMQNMPAAVHALLTQPSLSAAPFAQRYLGTLPSTGSTTLPQCHAGNATVCGFSGGLPYPAVAGEPVQNSVAVGICLGSNIGSGWMGTSSLCNPYSNTLNQNLLSTTKPFPVPSVGTNCGIEPWDSGVTSGLGSVRVPEELKLPHACCVGIASQTLLSVLNPTDRWLQVSIGVLSISVNGEKVDLSTYRCLVFKNKAIIRPHATEEIKVLFIPSSPGVFRCTFSVASWPCSTDAETIVQAEALASTVTLTAIAESPVIEVETEKKDVLDFGDLTYGGWKALPLKLINRTHATVPIRLIINANAVAWRCFTFSKESVRAPVEVAPCADVVTRLAGPSVVNHMMPASYDGQDPEFLMIWVLFHSPKKQISSSDILDSAEEFSAKVDIEVDSPNPTPVLRSVSLRARAGIARIHAPRDLQTMHFLAKVASSRKQHLPLKNAGNIEVYLDIKVPEQGSHFSVDPKNLLLKPGEEHEVIVSFTPKDPEACEERILKIFVQPFGPQYEVVLKGEVISSGSKPLSPGPCLDIPSILSNKQFLAWGGVPLGRTQLQKLALRNNSASTTQHLRLLIRGQDQDCFQLQNTFGSEQRLTSNCEIRIHPKEDIFISVLFAPTRLSCMLARLEIKQLGNRSQPGIKFTIPLSGYGGTSNLILEGVKKLSDSYMVTVNGLVPGKESKIVFSVRNTGSRAAFVKAVGFKDSQKKVLLDPKVLRIFPDKFVLKERTQENVTLIYNPSDRGINNKTATELSTVYLFGGDEISRQQYRRALLHKPEMIKQILPEHSVLQNINFVEAFQDELLVTEVYDLPQRPNDVQLFYGSMCKIILSVIGEFRDCISSREFLQPSSKASLESTSDLGASGKHGGNVSLDVLPVKGPQGSPLLSRAARPPLDQLASEEPWTVLPEHLILVAPSPCDMAKTGRFQIVNNSVRLLRFELCWPAHCLTVTPQHGCVAPESKLQILVSPNSSLSTKQSMFPWSGLIYIHCDDGQKKIVKVQIREDLTQVELLTRLTSKPFGILSPVSEPSVSHLVKPMTKPPSTKVEIRNKSITFPTTEPGETSESCLELENHGTTDVKWHLSSLAPPYVKGVDESGDVFRATYAAFRCSPISGLLESHGIQKVSITFLPRGRGDYAQFWDVECHPLKEPHMKHTLRFQLSGQSIEAENEPENACLSTDSLIKIDHLVKPRRQAVSEASARIPEQLDVTARGVYAPEDVYRFRPTSVGESRTLKVNLRNNSFITHSLKFLSPREPFYVKHSKYSLRAQHYINMPVQFKPKSAGKFEALLVIQTDEGKSIAIRLIGEALGKN
+>DECOY_sp|Q8TEP8|CE192_HUMAN Centrosomal protein of 192 kDa OS=Homo sapiens OX=9606 GN=CEP192 PE=1 SV=2
+NKGLAEGILRIAISKGEDTQIVLLAEFKGASKPKFQVPMNIYHQARLSYKSHKVYFPERPSLFKLSHTIFSNNRLNVKLTRSEGVSTPRFRYVDEPAYVGRATVDLQEPIRASAESVAQRRPKVLHDIKILSDTSLCANEPENEAEISQGSLQFRLTHKMHPEKLPHCEVDWFQAYDGRGRPLFTISVKQIGHSELLGSIPSCRFAAYTARFVDGSEDVGKVYPPALSSLHWKVDTTGHNELELCSESTEGPETTPFTISKNRIEVKTSPPKTMPKVLHSVSPESVPSLIGFPKSTLRTLLEVQTLDERIQVKVIKKQGDDCHIYILGSWPFMSQKTSLSSNPSVLIQLKSEPAVCGHQPTVTLCHAPWCLEFRLLRVSNNVIQFRGTKAMDCPSPAVLILHEPLVTWPEESALQDLPPRAARSLLPSGQPGKVPLVDLSVNGGHKGSAGLDSTSELSAKSSPQLFERSSICDRFEGIVSLIIKCMSGYFLQVDNPRQPLDYVETVLLEDQFAEVFNINQLVSHEPLIQKIMEPKHLLARRYQQRSIEDGGFLYVTSLETATKNNIGRDSPNYILTVNEQTREKLVFKDPFIRLVKPDLLVKKQSDKFGVAKVFAARSGTNRVSFVIKSEKGPVLGNVTVMYSDSLKKVGELILNSTGGYGSLPITFKIGPQSRNGLQKIELRALMCSLRTPAFLVSIFIDEKPHIRIECNSTLRQESGFTNQLQFCDQDQGRILLRLHQTTSASNNRLALKQLQTRGLPVGGWALFQKNSLISPIDLCPGPSLPKSGSSIVEGKLVVEYQPGFPQVFIKLIREECAEPDKPTFSVIVEHEEGPKLLLNKPDVSFHSGQEPVKIDLYVEINGANKLPLHQKRSSAVKALFHMTQLDRPAHIRAIGARARLSVSRLVPTPNPSDVEIDVKASFEEASDLIDSSSIQKKPSHFLVWIMLFEPDQGDYSAPMMHNVVSPGALRTVVDACPAVEVPARVSEKSFTFCRWAVANANIILRIPVTAHTRNILKLPLAKWGGYTLDGFDLVDKKETEVEIVPSEAIATLTVTSALAEAQVITEADTSCPWSAVSFTCRFVGPSSPIFLVKIEETAHPRIIAKNKFVLCRYTSLDVKEGNVSISLVGISVQLWRDTPNLVSLLTQSAIGVCCAHPLKLEEPVRVSGLGSTVGSDWPEIGCNTGVSPVPFPKTTSLLNQNLTNSYPNCLSSTGMWGSGINSGLCIGVAVSNQVPEGAVAPYPLGGSFGCVTANGAHCQPLTTSGTSPLTGLYRQAFPAASLSPQTLLAHVAAPMNQMDAVSSHVTSSPIPTCQNESTTQHSVQGSFERGATSKDKPSIRQDEDIPEQCSVPHSTASGVQCSLGSKGLLALRIPDLEEPNSTWGVESTELLNGSKSSPDKSWRFDPKVYEPKSSLSTTETAKRTDSNNQIISSLTGKGRNQFPVKERLKEMAREELAAPSGQIITTSLESTIDSKRDELADDTATTPYSSEPESVYTLRSVGAPSCVLPSLEQECPPQQQQAASGFSELACGSSSTGSIESPSSHSLPSTSPRFSEQEDESGRGGHEPSTNKLDSNKPSVIRHNEFTVHKESNSIESVCENQRQNERNDRIEECDKLLCLSTIRPNRVSSYSDSPTSISTAKEDNGVDQLKNDISCTKVDVVASNNERNTVSNSSNITRFSEQNESEGNEVYVIAATNEEPARVSVSTASLDVPHIDQTTQEKPLSLDWTDSMSARSFNELASEYRSVETKKLYKEMDLANSGNSKELDNPLFHRVHSYDKQKEDEQFTKDRTKNSLAKIMAALQSPDTNVSAEAIASAITSIRLMSDGSDPLKDKYRQPKNSMFFTDETKGKDATLTVDNEDVQSTSEVAEVQLTISGESLQAQSQENLDGSYLDHNLHAMEKELNGRSVDSKRILAIPERKEPSRIFYGFSPVLDSTKM
+>sp|O15078|CE290_HUMAN Centrosomal protein of 290 kDa OS=Homo sapiens OX=9606 GN=CEP290 PE=1 SV=2
+MPPNINWKEIMKVDPDDLPRQEELADNLLISLSKVEVNELKSEKQENVIHLFRITQSLMKMKAQEVELALEEVEKAGEEQAKFENQLKTKVMKLENELEMAQQSAGGRDTRFLRNEICQLEKQLEQKDRELEDMEKELEKEKKVNEQLALRNEEAENENSKLRRENKRLKKKNEQLCQDIIDYQKQIDSQKETLLSRRGEDSDYRSQLSKKNYELIQYLDEIQTLTEANEKIEVQNQEMRKNLEESVQEMEKMTDEYNRMKAIVHQTDNVIDQLKKENDHYQLQVQELTDLLKSKNEEDDPIMVAVNAKVEEWKLILSSKDDEIIEYQQMLHNLREKLKNAQLDADKSNVMALQQGIQERDSQIKMLTEQVEQYTKEMEKNTCIIEDLKNELQRNKGASTLSQQTHMKIQSTLDILKEKTKEAERTAELAEADAREKDKELVEALKRLKDYESGVYGLEDAVVEIKNCKNQIKIRDREIEILTKEINKLELKISDFLDENEALRERVGLEPKTMIDLTEFRNSKHLKQQQYRAENQILLKEIESLEEERLDLKKKIRQMAQERGKRSATSGLTTEDLNLTENISQGDRISERKLDLLSLKNMSEAQSKNEFLSRELIEKERDLERSRTVIAKFQNKLKELVEENKQLEEGMKEILQAIKEMQKDPDVKGGETSLIIPSLERLVNAIESKNAEGIFDASLHLKAQVDQLTGRNEELRQELRESRKEAINYSQQLAKANLKIDHLEKETSLLRQSEGSNVVFKGIDLPDGIAPSSASIINSQNEYLIHLLQELENKEKKLKNLEDSLEDYNRKFAVIRHQQSLLYKEYLSEKETWKTESKTIKEEKRKLEDQVQQDAIKVKEYNNLLNALQMDSDEMKKILAENSRKITVLQVNEKSLIRQYTTLVELERQLRKENEKQKNELLSMEAEVCEKIGCLQRFKEMAIFKIAALQKVVDNSVSLSELELANKQYNELTAKYRDILQKDNMLVQRTSNLEHLECENISLKEQVESINKELEITKEKLHTIEQAWEQETKLGNESSMDKAKKSITNSDIVSISKKITMLEMKELNERQRAEHCQKMYEHLRTSLKQMEERNFELETKFAELTKINLDAQKVEQMLRDELADSVSKAVSDADRQRILELEKNEMELKVEVSKLREISDIARRQVEILNAQQQSRDKEVESLRMQLLDYQAQSDEKSLIAKLHQHNVSLQLSEATALGKLESITSKLQKMEAYNLRLEQKLDEKEQALYYARLEGRNRAKHLRQTIQSLRRQFSGALPLAQQEKFSKTMIQLQNDKLKIMQEMKNSQQEHRNMENKTLEMELKLKGLEELISTLKDTKGAQKVINWHMKIEELRLQELKLNRELVKDKEEIKYLNNIISEYERTISSLEEEIVQQNKFHEERQMAWDQREVDLERQLDIFDRQQNEILNAAQKFEEATGSIPDPSLPLPNQLEIALRKIKENIRIILETRATCKSLEEKLKEKESALRLAEQNILSRDKVINELRLRLPATAEREKLIAELGRKEMEPKSHHTLKIAHQTIANMQARLNQKEEVLKKYQRLLEKAREEQREIVKKHEEDLHILHHRLELQADSSLNKFKQTAWDLMKQSPTPVPTNKHFIRLAEMEQTVAEQDDSLSSLLVKLKKVSQDLERQREITELKVKEFENIKLQLQENHEDEVKKVKAEVEDLKYLLDQSQKESQCLKSELQAQKEANSRAPTTTMRNLVERLKSQLALKEKQQKALSRALLELRAEMTAAAEERIISATSQKEAHLNVQQIVDRHTRELKTQVEDLNENLLKLKEALKTSKNRENSLTDNLNDLNNELQKKQKAYNKILREKEEIDQENDELKRQIKRLTSGLQGKPLTDNKQSLIEELQRKVKKLENQLEGKVEEVDLKPMKEKNAKEELIRWEEGKKWQAKIEGIRNKLKEKEGEVFTLTKQLNTLKDLFAKADKEKLTLQRKLKTTGMTVDQVLGIRALESEKELEELKKRNLDLENDILYMRAHQALPRDSVVEDLHLQNRYLQEKLHALEKQFSKDTYSKPSISGIESDDHCQREQELQKENLKLSSENIELKFQLEQANKDLPRLKNQVRDLKEMCEFLKKEKAEVQRKLGHVRGSGRSGKTIPELEKTIGLMKKVVEKVQRENEQLKKASGILTSEKMANIEQENEKLKAELEKLKAHLGHQLSMHYESKTKGTEKIIAENERLRKELKKETDAAEKLRIAKNNLEILNEKMTVQLEETGKRLQFAESRGPQLEGADSKSWKSIVVTRMYETKLKELETDIAKKNQSITDLKQLVKEATEREQKVNKYNEDLEQQIKILKHVPEGAETEQGLKRELQVLRLANHQLDKEKAELIHQIEANKDQSGAESTIPDADQLKEKIKDLETQLKMSDLEKQHLKEEIKKLKKELENFDPSFFEEIEDLKYNYKEEVKKNILLEEKVKKLSEQLGVELTSPVAASEEFEDEEESPVNFPIY
+>DECOY_sp|O15078|CE290_HUMAN Centrosomal protein of 290 kDa OS=Homo sapiens OX=9606 GN=CEP290 PE=1 SV=2
+YIPFNVPSEEEDEFEESAAVPSTLEVGLQESLKKVKEELLINKKVEEKYNYKLDEIEEFFSPDFNELEKKLKKIEEKLHQKELDSMKLQTELDKIKEKLQDADPITSEAGSQDKNAEIQHILEAKEKDLQHNALRLVQLERKLGQETEAGEPVHKLIKIQQELDENYKNVKQERETAEKVLQKLDTISQNKKAIDTELEKLKTEYMRTVVISKWSKSDAGELQPGRSEAFQLRKGTEELQVTMKENLIELNNKAIRLKEAADTEKKLEKRLRENEAIIKETGKTKSEYHMSLQHGLHAKLKELEAKLKENEQEINAMKESTLIGSAKKLQENERQVKEVVKKMLGITKELEPITKGSRGSGRVHGLKRQVEAKEKKLFECMEKLDRVQNKLRPLDKNAQELQFKLEINESSLKLNEKQLEQERQCHDDSEIGSISPKSYTDKSFQKELAHLKEQLYRNQLHLDEVVSDRPLAQHARMYLIDNELDLNRKKLEELEKESELARIGLVQDVTMGTTKLKRQLTLKEKDAKAFLDKLTNLQKTLTFVEGEKEKLKNRIGEIKAQWKKGEEWRILEEKANKEKMPKLDVEEVKGELQNELKKVKRQLEEILSQKNDTLPKGQLGSTLRKIQRKLEDNEQDIEEKERLIKNYAKQKKQLENNLDNLNDTLSNERNKSTKLAEKLKLLNENLDEVQTKLERTHRDVIQQVNLHAEKQSTASIIREEAAATMEARLELLARSLAKQQKEKLALQSKLREVLNRMTTTPARSNAEKQAQLESKLCQSEKQSQDLLYKLDEVEAKVKKVEDEHNEQLQLKINEFEKVKLETIERQRELDQSVKKLKVLLSSLSDDQEAVTQEMEALRIFHKNTPVPTPSQKMLDWATQKFKNLSSDAQLELRHHLIHLDEEHKKVIERQEERAKELLRQYKKLVEEKQNLRAQMNAITQHAIKLTHHSKPEMEKRGLEAILKEREATAPLRLRLENIVKDRSLINQEALRLASEKEKLKEELSKCTARTELIIRINEKIKRLAIELQNPLPLSPDPISGTAEEFKQAANLIENQQRDFIDLQRELDVERQDWAMQREEHFKNQQVIEEELSSITREYESIINNLYKIEEKDKVLERNLKLEQLRLEEIKMHWNIVKQAGKTDKLTSILEELGKLKLEMELTKNEMNRHEQQSNKMEQMIKLKDNQLQIMTKSFKEQQALPLAGSFQRRLSQITQRLHKARNRGELRAYYLAQEKEDLKQELRLNYAEMKQLKSTISELKGLATAESLQLSVNHQHLKAILSKEDSQAQYDLLQMRLSEVEKDRSQQQANLIEVQRRAIDSIERLKSVEVKLEMENKELELIRQRDADSVAKSVSDALEDRLMQEVKQADLNIKTLEAFKTELEFNREEMQKLSTRLHEYMKQCHEARQRENLEKMELMTIKKSISVIDSNTISKKAKDMSSENGLKTEQEWAQEITHLKEKTIELEKNISEVQEKLSINECELHELNSTRQVLMNDKQLIDRYKATLENYQKNALELESLSVSNDVVKQLAAIKFIAMEKFRQLCGIKECVEAEMSLLENKQKENEKRLQRELEVLTTYQRILSKENVQLVTIKRSNEALIKKMEDSDMQLANLLNNYEKVKIADQQVQDELKRKEEKITKSETKWTEKESLYEKYLLSQQHRIVAFKRNYDELSDELNKLKKEKNELEQLLHILYENQSNIISASSPAIGDPLDIGKFVVNSGESQRLLSTEKELHDIKLNAKALQQSYNIAEKRSERLEQRLEENRGTLQDVQAKLHLSADFIGEANKSEIANVLRELSPIILSTEGGKVDPDKQMEKIAQLIEKMGEELQKNEEVLEKLKNQFKAIVTRSRELDREKEILERSLFENKSQAESMNKLSLLDLKRESIRDGQSINETLNLDETTLGSTASRKGREQAMQRIKKKLDLREEELSEIEKLLIQNEARYQQQKLHKSNRFETLDIMTKPELGVRERLAENEDLFDSIKLELKNIEKTLIEIERDRIKIQNKCNKIEVVADELGYVGSEYDKLRKLAEVLEKDKERADAEALEATREAEKTKEKLIDLTSQIKMHTQQSLTSAGKNRQLENKLDEIICTNKEMEKTYQEVQETLMKIQSDREQIGQQLAMVNSKDADLQANKLKERLNHLMQQYEIIEDDKSSLILKWEEVKANVAVMIPDDEENKSKLLDTLEQVQLQYHDNEKKLQDIVNDTQHVIAKMRNYEDTMKEMEQVSEELNKRMEQNQVEIKENAETLTQIEDLYQILEYNKKSLQSRYDSDEGRRSLLTEKQSDIQKQYDIIDQCLQENKKKLRKNERRLKSNENEAEENRLALQENVKKEKELEKEMDELERDKQELQKELQCIENRLFRTDRGGASQQAMELENELKMVKTKLQNEFKAQEEGAKEVEELALEVEQAKMKMLSQTIRFLHIVNEQKESKLENVEVKSLSILLNDALEEQRPLDDPDVKMIEKWNINPPM
+>sp|A8MTB9|CEA18_HUMAN Carcinoembryonic antigen-related cell adhesion molecule 18 OS=Homo sapiens OX=9606 GN=CEACAM18 PE=3 SV=4
+MDLSRPRWSLWRRVFLMASLLACGICQASGQIFITQTLGIKGYRTVVALDKVPEDVQEYSWYWGANDSAGNMIISHKPPSAQQPGPMYTGRERVNREGSLLIRPTALNDTGNYTVRVVAGNETQRATGWLEVLELGSNLGISVNASSLVENMDSVAADCLTNVTNITWYVNDVPTSSSDRMTISPDGKTLVILRVSRYDRTIQCMIESFPEIFQRSERISLTVAYGPDYVLLRSNPDDFNGIVTAEIGSQVEMECICYSFLDLKYHWIHNGSLLNFSDAKMNLSSLAWEQMGRYRCTVENPVTQLIMYMDVRIQAPHEDLTCCPQRFLHLRIHGDVPHHADSAGWRLHLWSPDPCSDQPLLNQDKSGSMSVHPRPEDKTRRASR
+>DECOY_sp|A8MTB9|CEA18_HUMAN Carcinoembryonic antigen-related cell adhesion molecule 18 OS=Homo sapiens OX=9606 GN=CEACAM18 PE=3 SV=4
+RSARRTKDEPRPHVSMSGSKDQNLLPQDSCPDPSWLHLRWGASDAHHPVDGHIRLHLFRQPCCTLDEHPAQIRVDMYMILQTVPNEVTCRYRGMQEWALSSLNMKADSFNLLSGNHIWHYKLDLFSYCICEMEVQSGIEATVIGNFDDPNSRLLVYDPGYAVTLSIRESRQFIEPFSEIMCQITRDYRSVRLIVLTKGDPSITMRDSSSTPVDNVYWTINTVNTLCDAAVSDMNEVLSSANVSIGLNSGLELVELWGTARQTENGAVVRVTYNGTDNLATPRILLSGERNVRERGTYMPGPQQASPPKHSIIMNGASDNAGWYWSYEQVDEPVKDLAVVTRYGKIGLTQTIFIQGSAQCIGCALLSAMLFVRRWLSWRPRSLDM
+>sp|Q7Z692|CEA19_HUMAN Carcinoembryonic antigen-related cell adhesion molecule 19 OS=Homo sapiens OX=9606 GN=CEACAM19 PE=2 SV=2
+MEIPMGTQGCFSKSLLLSASILVLWMLQGSQAALYIQKIPEQPQKNQDLLLSVQGVPDTFQDFNWYLGEETYGGTRLFTYIPGIQRPQRDGSAMGQRDIVGFPNGSMLLRRAQPTDSGTYQVAITINSEWTMKAKTEVQVAEKNKELPSTHLPTNAGILAATIIGSLAAGALLISCIAYLLVTRNWRGQSHRLPAPRGQGSLSILCSAVSPVPSVTPSTWMATTEKPELGPAHDAGDNNIYEVMPSPVLLVSPISDTRSINPARPLPTPPHLQAEPENHQYQQDLLNPDPAPYCQLVPTS
+>DECOY_sp|Q7Z692|CEA19_HUMAN Carcinoembryonic antigen-related cell adhesion molecule 19 OS=Homo sapiens OX=9606 GN=CEACAM19 PE=2 SV=2
+STPVLQCYPAPDPNLLDQQYQHNEPEAQLHPPTPLPRAPNISRTDSIPSVLLVPSPMVEYINNDGADHAPGLEPKETTAMWTSPTVSPVPSVASCLISLSGQGRPAPLRHSQGRWNRTVLLYAICSILLAGAALSGIITAALIGANTPLHTSPLEKNKEAVQVETKAKMTWESNITIAVQYTGSDTPQARRLLMSGNPFGVIDRQGMASGDRQPRQIGPIYTFLRTGGYTEEGLYWNFDQFTDPVGQVSLLLDQNKQPQEPIKQIYLAAQSGQLMWLVLISASLLLSKSFCGQTGMPIEM
+>sp|A8MTT3|CEBOS_HUMAN Protein CEBPZOS OS=Homo sapiens OX=9606 GN=CEBPZOS PE=1 SV=2
+MARTLEPLAKKIFKGVLVAELVGVFGAYFLFSKMHTSQDFRQTMSKKYPFILEVYYKSTEKSGMYGIRELDQKTWLNSKN
+>DECOY_sp|A8MTT3|CEBOS_HUMAN Protein CEBPZOS OS=Homo sapiens OX=9606 GN=CEBPZOS PE=1 SV=2
+NKSNLWTKQDLERIGYMGSKETSKYYVELIFPYKKSMTQRFDQSTHMKSFLFYAGFVGVLEAVLVGKFIKKALPELTRAM
+>sp|P49716|CEBPD_HUMAN CCAAT/enhancer-binding protein delta OS=Homo sapiens OX=9606 GN=CEBPD PE=1 SV=2
+MSAALFSLDGPARGAPWPAEPAPFYEPGRAGKPGRGAEPGALGEPGAAAPAMYDDESAIDFSAYIDSMAAVPTLELCHDELFADLFNSNHKAGGAGPLELLPGGPARPLGPGPAAPRLLKREPDWGDGDAPGSLLPAQVAACAQTVVSLAAAGQPTPPTSPEPPRSSPRQTPAPGPAREKSAGKRGPDRGSPEYRQRRERNNIAVRKSRDKAKRRNQEMQQKLVELSAENEKLHQRVEQLTRDLAGLRQFFKQLPSPPFLPAAGTADCR
+>DECOY_sp|P49716|CEBPD_HUMAN CCAAT/enhancer-binding protein delta OS=Homo sapiens OX=9606 GN=CEBPD PE=1 SV=2
+RCDATGAAPLFPPSPLQKFFQRLGALDRTLQEVRQHLKENEASLEVLKQQMEQNRRKAKDRSKRVAINNRERRQRYEPSGRDPGRKGASKERAPGPAPTQRPSSRPPEPSTPPTPQGAAALSVVTQACAAVQAPLLSGPADGDGWDPERKLLRPAAPGPGLPRAPGGPLLELPGAGGAKHNSNFLDAFLEDHCLELTPVAAMSDIYASFDIASEDDYMAPAAAGPEGLAGPEAGRGPKGARGPEYFPAPEAPWPAGRAPGDLSFLAASM
+>sp|P08218|CEL2B_HUMAN Chymotrypsin-like elastase family member 2B OS=Homo sapiens OX=9606 GN=CELA2B PE=2 SV=2
+MIRTLLLSTLVAGALSCGVSTYAPDMSRMLGGEEARPNSWPWQVSLQYSSNGQWYHTCGGSLIANSWVLTAAHCISSSGIYRVMLGQHNLYVAESGSLAVSVSKIVVHKDWNSDQVSKGNDIALLKLANPVSLTDKIQLACLPPAGTILPNNYPCYVTGWGRLQTNGALPDDLKQGQLLVVDYATCSSSGWWGSTVKTNMICAGGDGVICTCNGDSGGPLNCQASDGRWEVHGIGSLTSVLGCNYYYKPSIFTRVSNYNDWINSVIANN
+>DECOY_sp|P08218|CEL2B_HUMAN Chymotrypsin-like elastase family member 2B OS=Homo sapiens OX=9606 GN=CELA2B PE=2 SV=2
+NNAIVSNIWDNYNSVRTFISPKYYYNCGLVSTLSGIGHVEWRGDSAQCNLPGGSDGNCTCIVGDGGACIMNTKVTSGWWGSSSCTAYDVVLLQGQKLDDPLAGNTQLRGWGTVYCPYNNPLITGAPPLCALQIKDTLSVPNALKLLAIDNGKSVQDSNWDKHVVIKSVSVALSGSEAVYLNHQGLMVRYIGSSSICHAATLVWSNAILSGGCTHYWQGNSSYQLSVQWPWSNPRAEEGGLMRSMDPAYTSVGCSLAGAVLTSLLLTRIM
+>sp|P08861|CEL3B_HUMAN Chymotrypsin-like elastase family member 3B OS=Homo sapiens OX=9606 GN=CELA3B PE=1 SV=3
+MMLRLLSSLLLVAVASGYGPPSSRPSSRVVNGEDAVPYSWPWQVSLQYEKSGSFYHTCGGSLIAPDWVVTAGHCISSSRTYQVVLGEYDRAVKEGPEQVIPINSGDLFVHPLWNRSCVACGNDIALIKLSRSAQLGDAVQLASLPPAGDILPNETPCYITGWGRLYTNGPLPDKLQEALLPVVDYEHCSRWNWWGSSVKKTMVCAGGDIRSGCNGDSGGPLNCPTEDGGWQVHGVTSFVSAFGCNTRRKPTVFTRVSAFIDWIEETIASH
+>DECOY_sp|P08861|CEL3B_HUMAN Chymotrypsin-like elastase family member 3B OS=Homo sapiens OX=9606 GN=CELA3B PE=1 SV=3
+HSAITEEIWDIFASVRTFVTPKRRTNCGFASVFSTVGHVQWGGDETPCNLPGGSDGNCGSRIDGGACVMTKKVSSGWWNWRSCHEYDVVPLLAEQLKDPLPGNTYLRGWGTIYCPTENPLIDGAPPLSALQVADGLQASRSLKILAIDNGCAVCSRNWLPHVFLDGSNIPIVQEPGEKVARDYEGLVVQYTRSSSICHGATVVWDPAILSGGCTHYFSGSKEYQLSVQWPWSYPVADEGNVVRSSPRSSPPGYGSAVAVLLLSSLLRLMM
+>sp|Q96J87|CELF6_HUMAN CUGBP Elav-like family member 6 OS=Homo sapiens OX=9606 GN=CELF6 PE=1 SV=1
+MAAAPGGSAQPAGPGPRLGFSTADSGVGMSGLNPGPAVPMKDHDAIKLFVGQIPRGLDEQDLKPLFEEFGRIYELTVLKDRLTGLHKGCAFLTYCARDSALKAQSALHEQKTLPGMNRPIQVKPAASEGRGEDRKLFVGMLGKQQGEEDVRRLFQPFGHIEECTVLRSPDGTSKGCAFVKFGSQGEAQAAIRGLHGSRTMAGASSSLVVKLADTDRERALRRMQQMAGHLGAFHPAPLPLGACGAYTTAILQHQAALLAAAQGPGLGPVAAVAAQMQHVAAFSLVAAPLLPAAAANSPPGSGPGTLPGLPAPIGVNGFGPLTPQTNGQPGSDTLYNNGLSPYPAQSPGVADPLQQAYAGMHHYAAAYPSAYAPVSTAFPQQPSALPQQQREGPEGCNLFIYHLPQEFGDAELIQTFLPFGAVVSAKVFVDRATNQSKCFGFVSFDNPTSAQTAIQAMNGFQIGMKRLKVQLKRPKDANRPY
+>DECOY_sp|Q96J87|CELF6_HUMAN CUGBP Elav-like family member 6 OS=Homo sapiens OX=9606 GN=CELF6 PE=1 SV=1
+YPRNADKPRKLQVKLRKMGIQFGNMAQIATQASTPNDFSVFGFCKSQNTARDVFVKASVVAGFPLFTQILEADGFEQPLHYIFLNCGEPGERQQQPLASPQQPFATSVPAYASPYAAAYHHMGAYAQQLPDAVGPSQAPYPSLGNNYLTDSGPQGNTQPTLPGFGNVGIPAPLGPLTGPGSGPPSNAAAAPLLPAAVLSFAAVHQMQAAVAAVPGLGPGQAAALLAAQHQLIATTYAGCAGLPLPAPHFAGLHGAMQQMRRLARERDTDALKVVLSSSAGAMTRSGHLGRIAAQAEGQSGFKVFACGKSTGDPSRLVTCEEIHGFPQFLRRVDEEGQQKGLMGVFLKRDEGRGESAAPKVQIPRNMGPLTKQEHLASQAKLASDRACYTLFACGKHLGTLRDKLVTLEYIRGFEEFLPKLDQEDLGRPIQGVFLKIADHDKMPVAPGPNLGSMGVGSDATSFGLRPGPGAPQASGGPAAAM
+>sp|Q6PRD7|CEMP1_HUMAN Cementoblastoma-derived protein 1 OS=Homo sapiens OX=9606 GN=CEMP1 PE=1 SV=1
+MGTSSTDSQQAGHRRCSTSNTSAENLTCLSLPGSPGKTAPLPGPAQAGAGQPLPKGCAAVKAEVGIPAPHTSQEVRIHIRRLLSWAAPGACGLRSTPCALPQALPQARPCPGRWFFPGCSLPTGGAQTILSLWTWRHFLNWALQQREENSGRARRVPPVPRTAPVSKGEGSHPPQNSNGEKVKTITPDVGLHQSLTSDPTVAVLRAKRAPEAHPPRSCSGSLTARVCHMGVCQGQGDTEDGRMTLMG
+>DECOY_sp|Q6PRD7|CEMP1_HUMAN Cementoblastoma-derived protein 1 OS=Homo sapiens OX=9606 GN=CEMP1 PE=1 SV=1
+GMLTMRGDETDGQGQCVGMHCVRATLSGSCSRPPHAEPARKARLVAVTPDSTLSQHLGVDPTITKVKEGNSNQPPHSGEGKSVPATRPVPPVRRARGSNEERQQLAWNLFHRWTWLSLITQAGGTPLSCGPFFWRGPCPRAQPLAQPLACPTSRLGCAGPAAWSLLRRIHIRVEQSTHPAPIGVEAKVAACGKPLPQGAGAQAPGPLPATKGPSGPLSLCTLNEASTNSTSCRRHGAQQSDTSSTGM
+>sp|Q03188|CENPC_HUMAN Centromere protein C OS=Homo sapiens OX=9606 GN=CENPC PE=1 SV=2
+MAASGLDHLKNGYRRRFCRPSRARDINTEQGQNVLEILQDCFEEKSLANDFSTNSTKSVPNSTRKIKDTCIQSPSKECQKSHPKSVPVSSKKKEASLQFVVEPSEATNRSVQAHEVHQKILATDVSSKNTPDSKKISSRNINDHHSEADEEFYLSVGSPSVLLDAKTSVSQNVIPSSAQKRETYTFENSVNMLPSSTEVSVKTKKRLNFDDKVMLKKIEIDNKVSDEEDKTSEGQERKPSGSSQNRIRDSEYEIQRQAKKSFSTLFLETVKRKSESSPIVRHAATAPPHSCPPDDTKLIEDEFIIDESDQSFASRSWITIPRKAGSLKQRTISPAESTALLQGRKSREKHHNILPKTLANDKHSHKPHPVETSQPSDKTVLDTSYALIGETVNNYRSTKYEMYSKNAEKPSRSKRTIKQKQRRKFMAKPAEEQLDVGQSKDENIHTSHITQDEFQRNSDRNMEEHEEMGNDCVSKKQMPPVGSKKSSTRKDKEESKKKRFSSESKNKLVPEEVTSTVTKSRRISRRPSDWWVVKSEESPVYSNSSVRNELPMHHNSSRKSTKKTNQSSKNIRKKTIPLKRQKTATKGNQRVQKFLNAEGSGGIVGHDEISRCSLSEPLESDEADLAKKKNLDCSRSTRSSKNEDNIMTAQNVPLKPQTSGYTCNIPTESNLDSGEHKTSVLEESGPSRLNNNYLMSGKNDVDDEEVHGSSDDSKQSKVIPKNRIHHKLVLPSNTPNVRRTKRTRLKPLEYWRGERIDYQGRPSGGFVISGVLSPDTISSKRKAKENIGKVNKKSNKKRICLDNDERKTNLMVNLGIPLGDPLQPTRVKDPETREIILMDLVRPQDTYQFFVKHGELKVYKTLDTPFFSTGKLILGPQEEKGKQHVGQDILVFYVNFGDLLCTLHETPYILSTGDSFYVPSGNYYNIKNLRNEESVLLFTQIKR
+>DECOY_sp|Q03188|CENPC_HUMAN Centromere protein C OS=Homo sapiens OX=9606 GN=CENPC PE=1 SV=2
+RKIQTFLLVSEENRLNKINYYNGSPVYFSDGTSLIYPTEHLTCLLDGFNVYFVLIDQGVHQKGKEEQPGLILKGTSFFPTDLTKYVKLEGHKVFFQYTDQPRVLDMLIIERTEPDKVRTPQLPDGLPIGLNVMLNTKREDNDLCIRKKNSKKNVKGINEKAKRKSSITDPSLVGSIVFGGSPRGQYDIREGRWYELPKLRTRKTRRVNPTNSPLVLKHHIRNKPIVKSQKSDDSSGHVEEDDVDNKGSMLYNNNLRSPGSEELVSTKHEGSDLNSETPINCTYGSTQPKLPVNQATMINDENKSSRTSRSCDLNKKKALDAEDSELPESLSCRSIEDHGVIGGSGEANLFKQVRQNGKTATKQRKLPITKKRINKSSQNTKKTSKRSSNHHMPLENRVSSNSYVPSEESKVVWWDSPRRSIRRSKTVTSTVEEPVLKNKSESSFRKKKSEEKDKRTSSKKSGVPPMQKKSVCDNGMEEHEEMNRDSNRQFEDQTIHSTHINEDKSQGVDLQEEAPKAMFKRRQKQKITRKSRSPKEANKSYMEYKTSRYNNVTEGILAYSTDLVTKDSPQSTEVPHPKHSHKDNALTKPLINHHKERSKRGQLLATSEAPSITRQKLSGAKRPITIWSRSAFSQDSEDIIFEDEILKTDDPPCSHPPATAAHRVIPSSESKRKVTELFLTSFSKKAQRQIEYESDRIRNQSSGSPKREQGESTKDEEDSVKNDIEIKKLMVKDDFNLRKKTKVSVETSSPLMNVSNEFTYTERKQASSPIVNQSVSTKADLLVSPSGVSLYFEEDAESHHDNINRSSIKKSDPTNKSSVDTALIKQHVEHAQVSRNTAESPEVVFQLSAEKKKSSVPVSKPHSKQCEKSPSQICTDKIKRTSNPVSKTSNTSFDNALSKEEFCDQLIELVNQGQETNIDRARSPRCFRRRYGNKLHDLGSAAM
+>sp|P49454|CENPF_HUMAN Centromere protein F OS=Homo sapiens OX=9606 GN=CENPF PE=1 SV=2
+MSWALEEWKEGLPTRALQKIQELEGQLDKLKKEKQQRQFQLDSLEAALQKQKQKVENEKTEGTNLKRENQRLMEICESLEKTKQKISHELQVKESQVNFQEGQLNSGKKQIEKLEQELKRCKSELERSQQAAQSADVSLNPCNTPQKIFTTPLTPSQYYSGSKYEDLKEKYNKEVEERKRLEAEVKALQAKKASQTLPQATMNHRDIARHQASSSVFSWQQEKTPSHLSSNSQRTPIRRDFSASYFSGEQEVTPSRSTLQIGKRDANSSFFDNSSSPHLLDQLKAQNQELRNKINELELRLQGHEKEMKGQVNKFQELQLQLEKAKVELIEKEKVLNKCRDELVRTTAQYDQASTKYTALEQKLKKLTEDLSCQRQNAESARCSLEQKIKEKEKEFQEELSRQQRSFQTLDQECIQMKARLTQELQQAKNMHNVLQAELDKLTSVKQQLENNLEEFKQKLCRAEQAFQASQIKENELRRSMEEMKKENNLLKSHSEQKAREVCHLEAELKNIKQCLNQSQNFAEEMKAKNTSQETMLRDLQEKINQQENSLTLEKLKLAVADLEKQRDCSQDLLKKREHHIEQLNDKLSKTEKESKALLSALELKKKEYEELKEEKTLFSCWKSENEKLLTQMESEKENLQSKINHLETCLKTQQIKSHEYNERVRTLEMDRENLSVEIRNLHNVLDSKSVEVETQKLAYMELQQKAEFSDQKHQKEIENMCLKTSQLTGQVEDLEHKLQLLSNEIMDKDRCYQDLHAEYESLRDLLKSKDASLVTNEDHQRSLLAFDQQPAMHHSFANIIGEQGSMPSERSECRLEADQSPKNSAILQNRVDSLEFSLESQKQMNSDLQKQCEELVQIKGEIEENLMKAEQMHQSFVAETSQRISKLQEDTSAHQNVVAETLSALENKEKELQLLNDKVETEQAEIQELKKSNHLLEDSLKELQLLSETLSLEKKEMSSIISLNKREIEELTQENGTLKEINASLNQEKMNLIQKSESFANYIDEREKSISELSDQYKQEKLILLQRCEETGNAYEDLSQKYKAAQEKNSKLECLLNECTSLCENRKNELEQLKEAFAKEHQEFLTKLAFAEERNQNLMLELETVQQALRSEMTDNQNNSKSEAGGLKQEIMTLKEEQNKMQKEVNDLLQENEQLMKVMKTKHECQNLESEPIRNSVKERESERNQCNFKPQMDLEVKEISLDSYNAQLVQLEAMLRNKELKLQESEKEKECLQHELQTIRGDLETSNLQDMQSQEISGLKDCEIDAEEKYISGPHELSTSQNDNAHLQCSLQTTMNKLNELEKICEILQAEKYELVTELNDSRSECITATRKMAEEVGKLLNEVKILNDDSGLLHGELVEDIPGGEFGEQPNEQHPVSLAPLDESNSYEHLTLSDKEVQMHFAELQEKFLSLQSEHKILHDQHCQMSSKMSELQTYVDSLKAENLVLSTNLRNFQGDLVKEMQLGLEEGLVPSLSSSCVPDSSSLSSLGDSSFYRALLEQTGDMSLLSNLEGAVSANQCSVDEVFCSSLQTYVDSLKAENLVLSTNLRNFQGDLVKEMQLGLEEGLVPSLSSSCVPDSSSLSSLGDSSFYRALLEQTGDMSLLSNLEGVVSANQCSVDEVFCSSLQEENLTRKETPSAPAKGVEELESLCEVYRQSLEKLEEKMESQGIMKNKEIQELEQLLSSERQELDCLRKQYLSENEQWQQKLTSVTLEMESKLAAEKKQTEQLSLELEVARLQLQGLDLSSRSLLGIDTEDAIQGRNESCDISKEHTSETTERTPKHDVHQICDKDAQQDLNLDIEKITETGAVKPTGECSGEQSPDTNYEPPGEDKTQGSSECISELSFSGPNALVPMDFLGNQEDIHNLQLRVKETSNENLRLLHVIEDRDRKVESLLNEMKELDSKLHLQEVQLMTKIEACIELEKIVGELKKENSDLSEKLEYFSCDHQELLQRVETSEGLNSDLEMHADKSSREDIGDNVAKVNDSWKERFLDVENELSRIRSEKASIEHEALYLEADLEVVQTEKLCLEKDNENKQKVIVCLEEELSVVTSERNQLRGELDTMSKKTTALDQLSEKMKEKTQELESHQSECLHCIQVAEAEVKEKTELLQTLSSDVSELLKDKTHLQEKLQSLEKDSQALSLTKCELENQIAQLNKEKELLVKESESLQARLSESDYEKLNVSKALEAALVEKGEFALRLSSTQEEVHQLRRGIEKLRVRIEADEKKQLHIAEKLKERERENDSLKDKVENLERELQMSEENQELVILDAENSKAEVETLKTQIEEMARSLKVFELDLVTLRSEKENLTKQIQEKQGQLSELDKLLSSFKSLLEEKEQAEIQIKEESKTAVEMLQNQLKELNEAVAALCGDQEIMKATEQSLDPPIEEEHQLRNSIEKLRARLEADEKKQLCVLQQLKESEHHADLLKGRVENLERELEIARTNQEHAALEAENSKGEVETLKAKIEGMTQSLRGLELDVVTIRSEKENLTNELQKEQERISELEIINSSFENILQEKEQEKVQMKEKSSTAMEMLQTQLKELNERVAALHNDQEACKAKEQNLSSQVECLELEKAQLLQGLDEAKNNYIVLQSSVNGLIQEVEDGKQKLEKKDEEISRLKNQIQDQEQLVSKLSQVEGEHQLWKEQNLELRNLTVELEQKIQVLQSKNASLQDTLEVLQSSYKNLENELELTKMDKMSFVEKVNKMTAKETELQREMHEMAQKTAELQEELSGEKNRLAGELQLLLEEIKSSKDQLKELTLENSELKKSLDCMHKDQVEKEGKVREEIAEYQLRLHEAEKKHQALLLDTNKQYEVEIQTYREKLTSKEECLSSQKLEIDLLKSSKEELNNSLKATTQILEELKKTKMDNLKYVNQLKKENERAQGKMKLLIKSCKQLEEEKEILQKELSQLQAAQEKQKTGTVMDTKVDELTTEIKELKETLEEKTKEADEYLDKYCSLLISHEKLEKAKEMLETQVAHLCSQQSKQDSRGSPLLGPVVPGPSPIPSVTEKRLSSGQNKASGKRQRSSGIWENGRGPTPATPESFSKKSKKAVMSGIHPAEDTEGTEFEPEGLPEVVKKGFADIPTGKTSPYILRRTTMATRTSPRLAAQKLALSPLSLGKENLAESSKPTAGGSRSQKVKVAQRSPVDSGTILREPTTKSVPVNNLPERSPTDSPREGLRVKRGRLVPSPKAGLESNGSENCKVQ
+>DECOY_sp|P49454|CENPF_HUMAN Centromere protein F OS=Homo sapiens OX=9606 GN=CENPF PE=1 SV=2
+QVKCNESGNSELGAKPSPVLRGRKVRLGERPSDTPSREPLNNVPVSKTTPERLITGSDVPSRQAVKVKQSRSGGATPKSSEALNEKGLSLPSLALKQAALRPSTRTAMTTRRLIYPSTKGTPIDAFGKKVVEPLGEPEFETGETDEAPHIGSMVAKKSKKSFSEPTAPTPGRGNEWIGSSRQRKGSAKNQGSSLRKETVSPIPSPGPVVPGLLPSGRSDQKSQQSCLHAVQTELMEKAKELKEHSILLSCYKDLYEDAEKTKEELTEKLEKIETTLEDVKTDMVTGTKQKEQAAQLQSLEKQLIEKEEELQKCSKILLKMKGQARENEKKLQNVYKLNDMKTKKLEELIQTTAKLSNNLEEKSSKLLDIELKQSSLCEEKSTLKERYTQIEVEYQKNTDLLLAQHKKEAEHLRLQYEAIEERVKGEKEVQDKHMCDLSKKLESNELTLEKLQDKSSKIEELLLQLEGALRNKEGSLEEQLEATKQAMEHMERQLETEKATMKNVKEVFSMKDMKTLELENELNKYSSQLVELTDQLSANKSQLVQIKQELEVTLNRLELNQEKWLQHEGEVQSLKSVLQEQDQIQNKLRSIEEDKKELKQKGDEVEQILGNVSSQLVIYNNKAEDLGQLLQAKELELCEVQSSLNQEKAKCAEQDNHLAAVRENLEKLQTQLMEMATSSKEKMQVKEQEKEQLINEFSSNIIELESIREQEKQLENTLNEKESRITVVDLELGRLSQTMGEIKAKLTEVEGKSNEAELAAHEQNTRAIELERELNEVRGKLLDAHHESEKLQQLVCLQKKEDAELRARLKEISNRLQHEEEIPPDLSQETAKMIEQDGCLAAVAENLEKLQNQLMEVATKSEEKIQIEAQEKEELLSKFSSLLKDLESLQGQKEQIQKTLNEKESRLTVLDLEFVKLSRAMEEIQTKLTEVEAKSNEADLIVLEQNEESMQLERELNEVKDKLSDNEREREKLKEAIHLQKKEDAEIRVRLKEIGRRLQHVEEQTSSLRLAFEGKEVLAAELAKSVNLKEYDSESLRAQLSESEKVLLEKEKNLQAIQNELECKTLSLAQSDKELSQLKEQLHTKDKLLESVDSSLTQLLETKEKVEAEAVQICHLCESQHSELEQTKEKMKESLQDLATTKKSMTDLEGRLQNRESTVVSLEEELCVIVKQKNENDKELCLKETQVVELDAELYLAEHEISAKESRIRSLENEVDLFREKWSDNVKAVNDGIDERSSKDAHMELDSNLGESTEVRQLLEQHDCSFYELKESLDSNEKKLEGVIKELEICAEIKTMLQVEQLHLKSDLEKMENLLSEVKRDRDEIVHLLRLNENSTEKVRLQLNHIDEQNGLFDMPVLANPGSFSLESICESSGQTKDEGPPEYNTDPSQEGSCEGTPKVAGTETIKEIDLNLDQQADKDCIQHVDHKPTRETTESTHEKSIDCSENRGQIADETDIGLLSRSSLDLGQLQLRAVELELSLQETQKKEAALKSEMELTVSTLKQQWQENESLYQKRLCDLEQRESSLLQELEQIEKNKMIGQSEMKEELKELSQRYVECLSELEEVGKAPASPTEKRTLNEEQLSSCFVEDVSCQNASVVGELNSLLSMDGTQELLARYFSSDGLSSLSSSDPVCSSSLSPVLGEELGLQMEKVLDGQFNRLNTSLVLNEAKLSDVYTQLSSCFVEDVSCQNASVAGELNSLLSMDGTQELLARYFSSDGLSSLSSSDPVCSSSLSPVLGEELGLQMEKVLDGQFNRLNTSLVLNEAKLSDVYTQLESMKSSMQCHQDHLIKHESQLSLFKEQLEAFHMQVEKDSLTLHEYSNSEDLPALSVPHQENPQEGFEGGPIDEVLEGHLLGSDDNLIKVENLLKGVEEAMKRTATICESRSDNLETVLEYKEAQLIECIKELENLKNMTTQLSCQLHANDNQSTSLEHPGSIYKEEADIECDKLGSIEQSQMDQLNSTELDGRITQLEHQLCEKEKESEQLKLEKNRLMAELQVLQANYSDLSIEKVELDMQPKFNCQNRESEREKVSNRIPESELNQCEHKTKMVKMLQENEQLLDNVEKQMKNQEEKLTMIEQKLGGAESKSNNQNDTMESRLAQQVTELELMLNQNREEAFALKTLFEQHEKAFAEKLQELENKRNECLSTCENLLCELKSNKEQAAKYKQSLDEYANGTEECRQLLILKEQKYQDSLESISKEREDIYNAFSESKQILNMKEQNLSANIEKLTGNEQTLEEIERKNLSIISSMEKKELSLTESLLQLEKLSDELLHNSKKLEQIEAQETEVKDNLLQLEKEKNELASLTEAVVNQHASTDEQLKSIRQSTEAVFSQHMQEAKMLNEEIEGKIQVLEECQKQLDSNMQKQSELSFELSDVRNQLIASNKPSQDAELRCESRESPMSGQEGIINAFSHHMAPQQDFALLSRQHDENTVLSADKSKLLDRLSEYEAHLDQYCRDKDMIENSLLQLKHELDEVQGTLQSTKLCMNEIEKQHKQDSFEAKQQLEMYALKQTEVEVSKSDLVNHLNRIEVSLNERDMELTRVRENYEHSKIQQTKLCTELHNIKSQLNEKESEMQTLLKENESKWCSFLTKEEKLEEYEKKKLELASLLAKSEKETKSLKDNLQEIHHERKKLLDQSCDRQKELDAVALKLKELTLSNEQQNIKEQLDRLMTEQSTNKAKMEEAFNQSQNLCQKINKLEAELHCVERAKQESHSKLLNNEKKMEEMSRRLENEKIQSAQFAQEARCLKQKFEELNNELQQKVSTLKDLEAQLVNHMNKAQQLEQTLRAKMQICEQDLTQFSRQQRSLEEQFEKEKEKIKQELSCRASEANQRQCSLDETLKKLKQELATYKTSAQDYQATTRVLEDRCKNLVKEKEILEVKAKELQLQLEQFKNVQGKMEKEHGQLRLELENIKNRLEQNQAKLQDLLHPSSSNDFFSSNADRKGIQLTSRSPTVEQEGSFYSASFDRRIPTRQSNSSLHSPTKEQQWSFVSSSAQHRAIDRHNMTAQPLTQSAKKAQLAKVEAELRKREEVEKNYKEKLDEYKSGSYYQSPTLPTTFIKQPTNCPNLSVDASQAAQQSRELESKCRKLEQELKEIQKKGSNLQGEQFNVQSEKVQLEHSIKQKTKELSECIEMLRQNERKLNTGETKENEVKQKQKQLAAELSDLQFQRQQKEKKLKDLQGELEQIKQLARTPLGEKWEELAWSM
+>sp|Q7L2Z9|CENPQ_HUMAN Centromere protein Q OS=Homo sapiens OX=9606 GN=CENPQ PE=1 SV=1
+MSGKANASKKNAQQLKRNPKRKKDNEEVVLSENKVRNTVKKNKNHLKDLSSEGQTKHTNLKHGKTAASKRKTWQPLSKSTRDHLQTMMESVIMTILSNSIKEKEEIQYHLNFLKKRLLQQCETLKVPPKKMEDLTNVSSLLNMERARDKANEEGLALLQEEIDKMVETTELMTGNIQSLKNKIQILASEVEEEEERVKQMHQINSSGVLSLPELSQKTLKAPTLQKEILALIPNQNALLKDLDILHNSSQMKSMSTFIEEAYKKLDAS
+>DECOY_sp|Q7L2Z9|CENPQ_HUMAN Centromere protein Q OS=Homo sapiens OX=9606 GN=CENPQ PE=1 SV=1
+SADLKKYAEEIFTSMSKMQSSNHLIDLDKLLANQNPILALIEKQLTPAKLTKQSLEPLSLVGSSNIQHMQKVREEEEEVESALIQIKNKLSQINGTMLETTEVMKDIEEQLLALGEENAKDRAREMNLLSSVNTLDEMKKPPVKLTECQQLLRKKLFNLHYQIEEKEKISNSLITMIVSEMMTQLHDRTSKSLPQWTKRKSAATKGHKLNTHKTQGESSLDKLHNKNKKVTNRVKNESLVVEENDKKRKPNRKLQQANKKSANAKGSM
+>sp|Q9BYV8|CEP41_HUMAN Centrosomal protein of 41 kDa OS=Homo sapiens OX=9606 GN=CEP41 PE=1 SV=1
+MSLRRHIGNPEYLMKRIPQNPRYQHIKSRLDTGNSMTKYTEKLEEIKKNYRYKKDELFKRLKVTTFAQLIIQVASLSDQTLEVTAEEIQRLEDNDSAASDPDAETTARTNGKGNPGEQSPSPEQFINNAGAGDSSRSTLQSVISGVGELDLDKGPVKKAEPHTKDKPYPDCPFLLLDVRDRDSYQQCHIVGAYSYPIATLSRTMNPYSNDILEYKNAHGKIIILYDDDERLASQAATTMCERGFENLFMLSGGLKVLAQKFPEGLITGSLPASCQQALPPGSARKRSSPKGPPLPAENKWRFTPEDLKKIEYYLEEEQGPADHPSRLNQANSSGRESKVPGARSAQNLPGGGPASHSNPRSLSSGHLQGKPWK
+>DECOY_sp|Q9BYV8|CEP41_HUMAN Centrosomal protein of 41 kDa OS=Homo sapiens OX=9606 GN=CEP41 PE=1 SV=1
+KWPKGQLHGSSLSRPNSHSAPGGGPLNQASRAGPVKSERGSSNAQNLRSPHDAPGQEEELYYEIKKLDEPTFRWKNEAPLPPGKPSSRKRASGPPLAQQCSAPLSGTILGEPFKQALVKLGGSLMFLNEFGRECMTTAAQSALREDDDYLIIIKGHANKYELIDNSYPNMTRSLTAIPYSYAGVIHCQQYSDRDRVDLLLFPCDPYPKDKTHPEAKKVPGKDLDLEGVGSIVSQLTSRSSDGAGANNIFQEPSPSQEGPNGKGNTRATTEADPDSAASDNDELRQIEEATVELTQDSLSAVQIILQAFTTVKLRKFLEDKKYRYNKKIEELKETYKTMSNGTDLRSKIHQYRPNQPIRKMLYEPNGIHRRLSM
+>sp|Q53EZ4|CEP55_HUMAN Centrosomal protein of 55 kDa OS=Homo sapiens OX=9606 GN=CEP55 PE=1 SV=3
+MSSRSTKDLIKSKWGSKPSNSKSETTLEKLKGEIAHLKTSVDEITSGKGKLTDKERHRLLEKIRVLEAEKEKNAYQLTEKDKEIQRLRDQLKARYSTTTLLEQLEETTREGERREQVLKALSEEKDVLKQQLSAATSRIAELESKTNTLRLSQTVAPNCFNSSINNIHEMEIQLKDALEKNQQWLVYDQQREVYVKGLLAKIFELEKKTETAAHSLPQQTKKPESEGYLQEEKQKCYNDLLASAKKDLEVERQTITQLSFELSEFRRKYEETQKEVHNLNQLLYSQRRADVQHLEDDRHKTEKIQKLREENDIARGKLEEEKKRSEELLSQVQFLYTSLLKQQEEQTRVALLEQQMQACTLDFENEKLDRQHVQHQLHVILKELRKARNQITQLESLKQLHEFAITEPLVTFQGETENREKVAASPKSPTAALNESLVECPKCNIQYPATEHRDLLVHVEYCSK
+>DECOY_sp|Q53EZ4|CEP55_HUMAN Centrosomal protein of 55 kDa OS=Homo sapiens OX=9606 GN=CEP55 PE=1 SV=3
+KSCYEVHVLLDRHETAPYQINCKPCEVLSENLAATPSKPSAAVKERNETEGQFTVLPETIAFEHLQKLSELQTIQNRAKRLEKLIVHLQHQVHQRDLKENEFDLTCAQMQQELLAVRTQEEQQKLLSTYLFQVQSLLEESRKKEEELKGRAIDNEERLKQIKETKHRDDELHQVDARRQSYLLQNLNHVEKQTEEYKRRFESLEFSLQTITQREVELDKKASALLDNYCKQKEEQLYGESEPKKTQQPLSHAATETKKELEFIKALLGKVYVERQQDYVLWQQNKELADKLQIEMEHINNISSNFCNPAVTQSLRLTNTKSELEAIRSTAASLQQKLVDKEESLAKLVQERREGERTTEELQELLTTTSYRAKLQDRLRQIEKDKETLQYANKEKEAELVRIKELLRHREKDTLKGKGSTIEDVSTKLHAIEGKLKELTTESKSNSPKSGWKSKILDKTSRSSM
+>sp|Q96MT8|CEP63_HUMAN Centrosomal protein of 63 kDa OS=Homo sapiens OX=9606 GN=CEP63 PE=1 SV=1
+MEALLEGIQNRGHGGGFLTSCEAELQELMKQIDIMVAHKKSEWEGRTHALETCLKIREQELKSLRSQLDVTHKEVGMLHQQVEEHEKIKQEMTMEYKQELKKLHEELCILKRSYEKLQKKQMREFRGNTKNHREDRSEIERLTAKIEEFRQKSLDWEKQRLIYQQQVSSLEAQRKALAEQSEIIQAQLVNRKQKLESVELSSQSEIQHLSSKLERANDTICANELEIERLTMRVNDLVGTSMTVLQEQQQKEEKLRESEKLLEALQEEKRELKAALQSQENLIHEARIQKEKLQEKVKATNTQHAVEAIRPREESLAEKKYTSQGQGDLDSVLSQLNFTHTSEDLLQAEVTCLEGSLESVSATCKQLSQELMEKYEELKRMEAHNNEYKAEIKKLKEQILQGEQSYSSALEGMKMEISHLTQELHQRDITIASTKGSSSDMEKRLRAEMQKAEDKAVEHKEILDQLESLKLENRHLSEMVMKLELGLHEAKEISLADLQENYIEALNKLVSENQQLQKDLMNTKSQLEISTQMCKKQNDRIFKPTHSRTTEFKNTEFKPTHGQHRHDGIKTEHYKTDLHSPRGQASDSINPMSRVLSPLSPQISPCSSTRSLTSYSLCKTHSLPSALDTNEANFSDTMSESMNDQEEFISSCSLPVSPLGSIATRFLEEEELRSHHILERLDAHIEELKRESEKTVRQFTALK
+>DECOY_sp|Q96MT8|CEP63_HUMAN Centrosomal protein of 63 kDa OS=Homo sapiens OX=9606 GN=CEP63 PE=1 SV=1
+KLATFQRVTKESERKLEEIHADLRELIHHSRLEEEELFRTAISGLPSVPLSCSSIFEEQDNMSESMTDSFNAENTDLASPLSHTKCLSYSTLSRTSSCPSIQPSLPSLVRSMPNISDSAQGRPSHLDTKYHETKIGDHRHQGHTPKFETNKFETTRSHTPKFIRDNQKKCMQTSIELQSKTNMLDKQLQQNESVLKNLAEIYNEQLDALSIEKAEHLGLELKMVMESLHRNELKLSELQDLIEKHEVAKDEAKQMEARLRKEMDSSSGKTSAITIDRQHLEQTLHSIEMKMGELASSYSQEGQLIQEKLKKIEAKYENNHAEMRKLEEYKEMLEQSLQKCTASVSELSGELCTVEAQLLDESTHTFNLQSLVSDLDGQGQSTYKKEALSEERPRIAEVAHQTNTAKVKEQLKEKQIRAEHILNEQSQLAAKLERKEEQLAELLKESERLKEEKQQQEQLVTMSTGVLDNVRMTLREIELENACITDNARELKSSLHQIESQSSLEVSELKQKRNVLQAQIIESQEALAKRQAELSSVQQQYILRQKEWDLSKQRFEEIKATLREIESRDERHNKTNGRFERMQKKQLKEYSRKLICLEEHLKKLEQKYEMTMEQKIKEHEEVQQHLMGVEKHTVDLQSRLSKLEQERIKLCTELAHTRGEWESKKHAVMIDIQKMLEQLEAECSTLFGGGHGRNQIGELLAEM
+>sp|Q9Y592|CEP83_HUMAN Centrosomal protein of 83 kDa OS=Homo sapiens OX=9606 GN=CEP83 PE=1 SV=2
+MDTFPNNFPPGGDSGLTGSQSEFQKMLIDERLRCEHHKANYQTLKAEHTRLQNEHVKLQNELKHLFNEKQTQQEKLQLLLEELRGELVEKTKDLEEMKLQILTPQKLELLRAQIQQELETPMRERFRNLDEEVEKYRAVYNKLRYEHTFLKSEFEHQKEEYARILDEGKIKYESEIARLEEDKEELRNQLLNVDLTKDSKRVEQLAREKVYLCQKLKGLEAEVAELKAEKENSEAQVENAQRIQVRQLAEMQATVRSLEAEKQSANLRAERLEKELQSSSEQNTFLINKLHKAEREINTLSSKVKELKHSNKLEITDIKLETARAKSELERERNKIQSELDGLQSDNEILKAAVEHHKVLLVEKDRELIRKVQAAKEEGYQKLVVLQDEKLELENRLADLEKMKVEHDVWRQSEKDQYEEKLRASQMAEEITRKELQSVRLKLQQQIVTIENAEKEKNENSDLKQQISSLQIQVTSLAQSENDLLNSNQMLKEMVERLKQECRNFRSQAEKAQLEAEKTLEEKQIQWLEEKHKLHERITDREEKYNQAKEKLQRAAIAQKKRKSLHENKLKRLQEKVEVLEAKKEELETENQVLNRQNVPFEDYTRLQKRLKDIQRRHNEFRSLILVPNMPPTASINPVSFQSSAMVPSMELPFPPHMQEEQHQRELSLLRKRLEELETTQRKQLEELGSSGE
+>DECOY_sp|Q9Y592|CEP83_HUMAN Centrosomal protein of 83 kDa OS=Homo sapiens OX=9606 GN=CEP83 PE=1 SV=2
+EGSSGLEELQKRQTTELEELRKRLLSLERQHQEEQMHPPFPLEMSPVMASSQFSVPNISATPPMNPVLILSRFENHRRQIDKLRKQLRTYDEFPVNQRNLVQNETELEEKKAELVEVKEQLRKLKNEHLSKRKKQAIAARQLKEKAQNYKEERDTIREHLKHKEELWQIQKEELTKEAELQAKEAQSRFNRCEQKLREVMEKLMQNSNLLDNESQALSTVQIQLSSIQQKLDSNENKEKEANEITVIQQQLKLRVSQLEKRTIEEAMQSARLKEEYQDKESQRWVDHEVKMKELDALRNELELKEDQLVVLKQYGEEKAAQVKRILERDKEVLLVKHHEVAAKLIENDSQLGDLESQIKNRERELESKARATELKIDTIELKNSHKLEKVKSSLTNIEREAKHLKNILFTNQESSSQLEKELREARLNASQKEAELSRVTAQMEALQRVQIRQANEVQAESNEKEAKLEAVEAELGKLKQCLYVKERALQEVRKSDKTLDVNLLQNRLEEKDEELRAIESEYKIKGEDLIRAYEEKQHEFESKLFTHEYRLKNYVARYKEVEEDLNRFRERMPTELEQQIQARLLELKQPTLIQLKMEELDKTKEVLEGRLEELLLQLKEQQTQKENFLHKLENQLKVHENQLRTHEAKLTQYNAKHHECRLREDILMKQFESQSGTLGSDGGPPFNNPFTDM
+>sp|Q8IW35|CEP97_HUMAN Centrosomal protein of 97 kDa OS=Homo sapiens OX=9606 GN=CEP97 PE=1 SV=1
+MAVARVDAALPPGEGSVVNWSGQGLQKLGPNLPCEADIHTLILDKNQIIKLENLEKCKRLIQLSVANNRLVRMMGVAKLTLLRVLNLPHNSIGCVEGLKELVHLEWLNLAGNNLKAMEQINSCTALQHLDLSDNNISQIGDLSKLVSLKTLLLHGNIITSLRMAPAYLPRSLAILSLAENEIRDLNEISFLASLTELEQLSIMNNPCVMATPSIPGFDYRPYIVSWCLNLRVLDGYVISQKESLKAEWLYSQGKGRAYRPGQHIQLVQYLATVCPLTSTLGLQTAEDAKLEKILSKQRFHQRQLMNQSQNEELSPLVPVETRASLIPEHSSPVQDCQISQESEPVIQVNSWVGINSNDDQLFAVKNNFPASVHTTRYSRNDLHLEDIQTDEDKLNCSLLSSESTFMPVASGLSPLSPTVELRLQGINLGLEDDGVADESVKGLESQVLDKEEEQPLWAANENSVQMMRSEINTEVNEKAGLLPCPEPTIISAILKDDNHSLTFFPESTEQKQSDIKKPENTQPENKETISQATSEKLPMILTQRSVALGQDKVALQKLNDAATKLQACWRGFYARNYNPQAKDVRYEIRLRRMQEHIVCLTDEIRRLRKERDEERIKKFVQEEAFRFLWNQVRSLQVWQQTVDQRLSSWHTDVPPISSTLVPSKHPLFTQSQESSCDQNADWFIASDVAPQEKSLPEFPDSGFHSSLTEQVHSLQHSLDFEKSSTEGSESSIMGNSIDTVRYGKESDLGDVSEEHGEWNKESSNNEQDNSLLEQYLTSVQQLEDADERTNFDTETRDSKLHIACFPVQLDTLSDGASVDESHGISPPLQGEISQTQENSKLNAEVQGQQPECDSTFQLLHVGVTV
+>DECOY_sp|Q8IW35|CEP97_HUMAN Centrosomal protein of 97 kDa OS=Homo sapiens OX=9606 GN=CEP97 PE=1 SV=1
+VTVGVHLLQFTSDCEPQQGQVEANLKSNEQTQSIEGQLPPSIGHSEDVSAGDSLTDLQVPFCAIHLKSDRTETDFNTREDADELQQVSTLYQELLSNDQENNSSEKNWEGHEESVDGLDSEKGYRVTDISNGMISSESGETSSKEFDLSHQLSHVQETLSSHFGSDPFEPLSKEQPAVDSAIFWDANQDCSSEQSQTFLPHKSPVLTSSIPPVDTHWSSLRQDVTQQWVQLSRVQNWLFRFAEEQVFKKIREEDREKRLRRIEDTLCVIHEQMRRLRIEYRVDKAQPNYNRAYFGRWCAQLKTAADNLKQLAVKDQGLAVSRQTLIMPLKESTAQSITEKNEPQTNEPKKIDSQKQETSEPFFTLSHNDDKLIASIITPEPCPLLGAKENVETNIESRMMQVSNENAAWLPQEEEKDLVQSELGKVSEDAVGDDELGLNIGQLRLEVTPSLPSLGSAVPMFTSESSLLSCNLKDEDTQIDELHLDNRSYRTTHVSAPFNNKVAFLQDDNSNIGVWSNVQIVPESEQSIQCDQVPSSHEPILSARTEVPVLPSLEENQSQNMLQRQHFRQKSLIKELKADEATQLGLTSTLPCVTALYQVLQIHQGPRYARGKGQSYLWEAKLSEKQSIVYGDLVRLNLCWSVIYPRYDFGPISPTAMVCPNNMISLQELETLSALFSIENLDRIENEALSLIALSRPLYAPAMRLSTIINGHLLLTKLSVLKSLDGIQSINNDSLDLHQLATCSNIQEMAKLNNGALNLWELHVLEKLGEVCGISNHPLNLVRLLTLKAVGMMRVLRNNAVSLQILRKCKELNELKIIQNKDLILTHIDAECPLNPGLKQLGQGSWNVVSGEGPPLAADVRAVAM
+>sp|Q9HA82|CERS4_HUMAN Ceramide synthase 4 OS=Homo sapiens OX=9606 GN=CERS4 PE=1 SV=2
+MLSSFNEWFWQDRFWLPPNVTWTELEDRDGRVYPHPQDLLAALPLALVLLAMRLAFERFIGLPLSRWLGVRDQTRRQVKPNATLEKHFLTEGHRPKEPQLSLLAAQCGLTLQQTQRWFRRRRNQDRPQLTKKFCEASWRFLFYLSSFVGGLSVLYHESWLWAPVMCWDRYPNQTLKPSLYWWYLLELGFYLSLLIRLPFDVKRKDFKEQVIHHFVAVILMTFSYSANLLRIGSLVLLLHDSSDYLLEACKMVNYMQYQQVCDALFLIFSFVFFYTRLVLFPTQILYTTYYESISNRGPFFGYYFFNGLLMLLQLLHVFWSCLILRMLYSFMKKGQMEKDIRSDVEESDSSEEAAAAQEPLQLKNGAAGGPRPAPTDGPRSRVAGRLTNRHTTAT
+>DECOY_sp|Q9HA82|CERS4_HUMAN Ceramide synthase 4 OS=Homo sapiens OX=9606 GN=CERS4 PE=1 SV=2
+TATTHRNTLRGAVRSRPGDTPAPRPGGAAGNKLQLPEQAAAAEESSDSEEVDSRIDKEMQGKKMFSYLMRLILCSWFVHLLQLLMLLGNFFYYGFFPGRNSISEYYTTYLIQTPFLVLRTYFFVFSFILFLADCVQQYQMYNVMKCAELLYDSSDHLLLVLSGIRLLNASYSFTMLIVAVFHHIVQEKFDKRKVDFPLRILLSLYFGLELLYWWYLSPKLTQNPYRDWCMVPAWLWSEHYLVSLGGVFSSLYFLFRWSAECFKKTLQPRDQNRRRRFWRQTQQLTLGCQAALLSLQPEKPRHGETLFHKELTANPKVQRRTQDRVGLWRSLPLGIFREFALRMALLVLALPLAALLDQPHPYVRGDRDELETWTVNPPLWFRDQWFWENFSSLM
+>sp|Q6ZMG9|CERS6_HUMAN Ceramide synthase 6 OS=Homo sapiens OX=9606 GN=CERS6 PE=1 SV=1
+MAGILAWFWNERFWLPHNVTWADLKNTEEATFPQAEDLYLAFPLAFCIFMVRLIFERFVAKPCAIALNIQANGPQIAPPNAILEKVFTAITKHPDEKRLEGLSKQLDWDVRSIQRWFRQRRNQEKPSTLTRFCESMWRFSFYLYVFTYGVRFLKKTPWLWNTRHCWYNYPYQPLTTDLHYYYILELSFYWSLMFSQFTDIKRKDFGIMFLHHLVSIFLITFSYVNNMARVGTLVLCLHDSADALLEAAKMANYAKFQKMCDLLFVMFAVVFITTRLGIFPLWVLNTTLFESWEIVGPYPSWWVFNLLLLLVQGLNCFWSYLIVKIACKAVSRGKVSKDDRSDIESSSDEEDSEPPGKNPHTATTTNGTSGTNGYLLTGSCSMDD
+>DECOY_sp|Q6ZMG9|CERS6_HUMAN Ceramide synthase 6 OS=Homo sapiens OX=9606 GN=CERS6 PE=1 SV=1
+DDMSCSGTLLYGNTGSTGNTTTATHPNKGPPESDEEDSSSEIDSRDDKSVKGRSVAKCAIKVILYSWFCNLGQVLLLLLNFVWWSPYPGVIEWSEFLTTNLVWLPFIGLRTTIFVVAFMVFLLDCMKQFKAYNAMKAAELLADASDHLCLVLTGVRAMNNVYSFTILFISVLHHLFMIGFDKRKIDTFQSFMLSWYFSLELIYYYHLDTTLPQYPYNYWCHRTNWLWPTKKLFRVGYTFVYLYFSFRWMSECFRTLTSPKEQNRRQRFWRQISRVDWDLQKSLGELRKEDPHKTIATFVKELIANPPAIQPGNAQINLAIACPKAVFREFILRVMFICFALPFALYLDEAQPFTAEETNKLDAWTVNHPLWFRENWFWALIGAM
+>sp|P11597|CETP_HUMAN Cholesteryl ester transfer protein OS=Homo sapiens OX=9606 GN=CETP PE=1 SV=2
+MLAATVLTLALLGNAHACSKGTSHEAGIVCRITKPALLVLNHETAKVIQTAFQRASYPDITGEKAMMLLGQVKYGLHNIQISHLSIASSQVELVEAKSIDVSIQNVSVVFKGTLKYGYTTAWWLGIDQSIDFEIDSAIDLQINTQLTCDSGRVRTDAPDCYLSFHKLLLHLQGEREPGWIKQLFTNFISFTLKLVLKGQICKEINVISNIMADFVQTRAASILSDGDIGVDISLTGDPVITASYLESHHKGHFIYKNVSEDLPLPTFSPTLLGDSRMLYFWFSERVFHSLAKVAFQDGRLMLSLMGDEFKAVLETWGFNTNQEIFQEVVGGFPSQAQVTVHCLKMPKISCQNKGVVVNSSVMVKFLFPRPDQQHSVAYTFEEDIVTTVQASYSKKKLFLSLLDFQITPKTVSNLTESSSESVQSFLQSMITAVGIPEVMSRLEVVFTALMNSKGVSLFDIINPEIITRDGFLLLQMDFGFPEHLLVDFLQSLS
+>DECOY_sp|P11597|CETP_HUMAN Cholesteryl ester transfer protein OS=Homo sapiens OX=9606 GN=CETP PE=1 SV=2
+SLSQLFDVLLHEPFGFDMQLLLFGDRTIIEPNIIDFLSVGKSNMLATFVVELRSMVEPIGVATIMSQLFSQVSESSSETLNSVTKPTIQFDLLSLFLKKKSYSAQVTTVIDEEFTYAVSHQQDPRPFLFKVMVSSNVVVGKNQCSIKPMKLCHVTVQAQSPFGGVVEQFIEQNTNFGWTELVAKFEDGMLSLMLRGDQFAVKALSHFVRESFWFYLMRSDGLLTPSFTPLPLDESVNKYIFHGKHHSELYSATIVPDGTLSIDVGIDGDSLISAARTQVFDAMINSIVNIEKCIQGKLVLKLTFSIFNTFLQKIWGPEREGQLHLLLKHFSLYCDPADTRVRGSDCTLQTNIQLDIASDIEFDISQDIGLWWATTYGYKLTGKFVVSVNQISVDISKAEVLEVQSSAISLHSIQINHLGYKVQGLLMMAKEGTIDPYSARQFATQIVKATEHNLVLLAPKTIRCVIGAEHSTGKSCAHANGLLALTLVTAALM
+>sp|O95873|CF047_HUMAN Uncharacterized protein C6orf47 OS=Homo sapiens OX=9606 GN=C6orf47 PE=1 SV=2
+MFLRRLGGWLPRPWGRRKPMRPDPPYPEPRRVDSSSENSGSDWDSAPETMEDVGHPKTKDSGALRVSGAASEPSKEEPQVEQLGSKRMDSLKWDQPISSTQESGRLEAGGASPKLRWDHVDSGGTRRPGVSPEGGLSVPGPGAPLEKPGRREKLLGWLRGEPGAPSRYLGGPEECLQISTNLTLHLLELLASALLALCSRPLRAALDTLGLRGPLGLWLHGLLSFLAALHGLHAVLSLLTAHPLHFACLFGLLQALVLAVSLREPNGDEAATDWESEGLEREGEEQRGDPGKGL
+>DECOY_sp|O95873|CF047_HUMAN Uncharacterized protein C6orf47 OS=Homo sapiens OX=9606 GN=C6orf47 PE=1 SV=2
+LGKGPDGRQEEGERELGESEWDTAAEDGNPERLSVALVLAQLLGFLCAFHLPHATLLSLVAHLGHLAALFSLLGHLWLGLPGRLGLTDLAARLPRSCLALLASALLELLHLTLNTSIQLCEEPGGLYRSPAGPEGRLWGLLKERRGPKELPAGPGPVSLGGEPSVGPRRTGGSDVHDWRLKPSAGGAELRGSEQTSSIPQDWKLSDMRKSGLQEVQPEEKSPESAAGSVRLAGSDKTKPHGVDEMTEPASDWDSGSNESSSDVRRPEPYPPDPRMPKRRGWPRPLWGGLRRLFM
+>sp|Q4VX62|CF099_HUMAN Putative uncharacterized protein C6orf99 OS=Homo sapiens OX=9606 GN=C6orf99 PE=4 SV=1
+MNAAVPPEQAHSCGWGTEGCPCLRSTAIRQTFFPGGDQFQRDGGLAMLPILVSKFLASSDPPVSVPEMLGLQNRWRGMKNEEHCPGSFFLCKIRECVLNYRFQLQHPGFQHYLQSSGRRDRGRSEDKKPLEAGVWCWDRGGWDGSSRAVHLLFRGVAHPSLYLFPREDPPRLLFPRLSLLVCEQFWCYSATLLLAPLPASTC
+>DECOY_sp|Q4VX62|CF099_HUMAN Putative uncharacterized protein C6orf99 OS=Homo sapiens OX=9606 GN=C6orf99 PE=4 SV=1
+CTSAPLPALLLTASYCWFQECVLLSLRPFLLRPPDERPFLYLSPHAVGRFLLHVARSSGDWGGRDWCWVGAELPKKDESRGRDRRGSSQLYHQFGPHQLQFRYNLVCERIKCLFFSGPCHEENKMGRWRNQLGLMEPVSVPPDSSALFKSVLIPLMALGGDRQFQDGGPFFTQRIATSRLCPCGETGWGCSHAQEPPVAANM
+>sp|Q5SZD1|CF141_HUMAN Uncharacterized protein C6orf141 OS=Homo sapiens OX=9606 GN=C6orf141 PE=2 SV=3
+MNDPFARMETRGPQGAANPMDSSRSLGDLGPFPREVGRGAPLAPGARNPATAGASRSQGGGHEDRTADRALGPRAGEELDRESWVREKVLFLLHPERWLGTRGDPAREEVAGAEDLPHAGGEDHGEEPNYPSVFQRQKRISGRRVAPPRDAADPPKYVLVRVEDYQVTQEVLQTSWAKGRMTTRTEEHFVTALTFRSSREGQPGERWGPAESRALQARTGASRVHAAGRRVSPSPGTWLEEIKL
+>DECOY_sp|Q5SZD1|CF141_HUMAN Uncharacterized protein C6orf141 OS=Homo sapiens OX=9606 GN=C6orf141 PE=2 SV=3
+LKIEELWTGPSPSVRRGAAHVRSAGTRAQLARSEAPGWREGPQGERSSRFTLATVFHEETRTTMRGKAWSTQLVEQTVQYDEVRVLVYKPPDAADRPPAVRRGSIRKQRQFVSPYNPEEGHDEGGAHPLDEAGAVEERAPDGRTGLWREPHLLFLVKERVWSERDLEEGARPGLARDATRDEHGGGQSRSAGATAPNRAGPALPAGRGVERPFPGLDGLSRSSDMPNAAGQPGRTEMRAFPDNM
+>sp|A8K010|CF176_HUMAN Putative transcriptional regulator encoded by LINC00473 OS=Homo sapiens OX=9606 GN=LINC00473 PE=5 SV=2
+MELSAAAGRRRQAPWREFTGRHRTERSQERGSTPRKERSMGSRQPRKREREPRDCTPTCTNAASVSRSGARRAPGCAGRCITMKNCARAWRELSERACCFQAVPPSNMKQSWLIFLDTTLCSAWLLASSCPRLYAPLISFHCMCFNTVLLFPRLFGPTLSAKIQFNTDLSLRAIAWKCTKDTTTYC
+>DECOY_sp|A8K010|CF176_HUMAN Putative transcriptional regulator encoded by LINC00473 OS=Homo sapiens OX=9606 GN=LINC00473 PE=5 SV=2
+CYTTTDKTCKWAIARLSLDTNFQIKASLTPGFLRPFLLVTNFCMCHFSILPAYLRPCSSALLWASCLTTDLFILWSQKMNSPPVAQFCCARESLERWARACNKMTICRGACGPARRAGSRSVSAANTCTPTCDRPERERKRPQRSGMSREKRPTSGREQSRETRHRGTFERWPAQRRRGAAASLEM
+>sp|Q8NAV2|CH058_HUMAN Uncharacterized protein C8orf58 OS=Homo sapiens OX=9606 GN=C8orf58 PE=2 SV=2
+MMGRRRAFAVDGRDGAGEGLARGCIVPGVTSTYRRIPDAAHGCSSWERGDKFRGVGREALFLKLASRDSGVEMAVGDSPLAALPGLSQDSLDFESSGSSEPPAQVGRLLASQKLGEVLERSRRLPTAPTSLSGQHRSLRLASKPEREVPLGAGQQESMEADTDLEAGLEEEAVGGLGPGAWACLPGQGLRYLEHLCLVLEQMARLQQLYLQLRIQRPPGDPGEEESTRAPLPSPLHTPGNRGQGPWELLSQTEHTGAKAASPPKVEVPSANPPRLPETPVEPTYHLPSSQGHKRDISHWDKVKVLLNRICRRSHHHPEPPAPPDGSDPRIESRDLPERPQCRPHRKTFMPSLVVKKQRAKNLSVG
+>DECOY_sp|Q8NAV2|CH058_HUMAN Uncharacterized protein C8orf58 OS=Homo sapiens OX=9606 GN=C8orf58 PE=2 SV=2
+GVSLNKARQKKVVLSPMFTKRHPRCQPREPLDRSEIRPDSGDPPAPPEPHHHSRRCIRNLLVKVKDWHSIDRKHGQSSPLHYTPEVPTEPLRPPNASPVEVKPPSAAKAGTHETQSLLEWPGQGRNGPTHLPSPLPARTSEEEGPDGPPRQIRLQLYLQQLRAMQELVLCLHELYRLGQGPLCAWAGPGLGGVAEEELGAELDTDAEMSEQQGAGLPVEREPKSALRLSRHQGSLSTPATPLRRSRELVEGLKQSALLRGVQAPPESSGSSEFDLSDQSLGPLAALPSDGVAMEVGSDRSALKLFLAERGVGRFKDGREWSSCGHAADPIRRYTSTVGPVICGRALGEGAGDRGDVAFARRRGMM
+>sp|Q8N0T1|CH059_HUMAN Uncharacterized protein C8orf59 OS=Homo sapiens OX=9606 GN=C8orf59 PE=1 SV=4
+MAKNKLRGPKSRNVFHIASQKNFKAKNKAKPVTTNLKKINIMNEEKVNRVNKAFVNVQKELAHFAKSISLEPLQKELIPQQRHESKPVNVDEATRLMALL
+>DECOY_sp|Q8N0T1|CH059_HUMAN Uncharacterized protein C8orf59 OS=Homo sapiens OX=9606 GN=C8orf59 PE=1 SV=4
+LLAMLRTAEDVNVPKSEHRQQPILEKQLPELSISKAFHALEKQVNVFAKNVRNVKEENMINIKKLNTTVPKAKNKAKFNKQSAIHFVNRSKPGRLKNKAM
+>sp|Q9UKJ5|CHIC2_HUMAN Cysteine-rich hydrophobic domain-containing protein 2 OS=Homo sapiens OX=9606 GN=CHIC2 PE=1 SV=1
+MADFDEIYEEEEDEERALEEQLLKYSPDPVVVRGSGHVTVFGLSNKFESEFPSSLTGKVAPEEFKASINRVNSCLKKNLPVNVRWLLCGCLCCCCTLGCSMWPVICLSKRTRRSIEKLLEWENNRLYHKLCLHWRLSKRKCETNNMMEYVILIEFLPKTPIFRPD
+>DECOY_sp|Q9UKJ5|CHIC2_HUMAN Cysteine-rich hydrophobic domain-containing protein 2 OS=Homo sapiens OX=9606 GN=CHIC2 PE=1 SV=1
+DPRFIPTKPLFEILIVYEMMNNTECKRKSLRWHLCLKHYLRNNEWELLKEISRRTRKSLCIVPWMSCGLTCCCCLCGCLLWRVNVPLNKKLCSNVRNISAKFEEPAVKGTLSSPFESEFKNSLGFVTVHGSGRVVVPDPSYKLLQEELAREEDEEEEYIEDFDAM
+>sp|P52757|CHIO_HUMAN Beta-chimaerin OS=Homo sapiens OX=9606 GN=CHN2 PE=1 SV=2
+MAASSNSSLSGSSVSSDAEEYQPPIWKSYLYQLQQEAPRPKRIICPREVENRPKYYGREFHGIISREQADELLGGVEGAYILRESQRQPGCYTLALRFGNQTLNYRLFHDGKHFVGEKRFESIHDLVTDGLITLYIETKAAEYISKMTTNPIYEHIGYATLLREKVSRRLSRSKNEPRKTNVTHEEHTAVEKISSLVRRAALTHNDNHFNYEKTHNFKVHTFRGPHWCEYCANFMWGLIAQGVRCSDCGLNVHKQCSKHVPNDCQPDLKRIKKVYCCDLTTLVKAHNTQRPMVVDICIREIEARGLKSEGLYRVSGFTEHIEDVKMAFDRDGEKADISANVYPDINIITGALKLYFRDLPIPVITYDTYSKFIDAAKISNADERLEAVHEVLMLLPPAHYETLRYLMIHLKKVTMNEKDNFMNAENLGIVFGPTLMRPPEDSTLTTLHDMRYQKLIVQILIENEDVLF
+>DECOY_sp|P52757|CHIO_HUMAN Beta-chimaerin OS=Homo sapiens OX=9606 GN=CHN2 PE=1 SV=2
+FLVDENEILIQVILKQYRMDHLTTLTSDEPPRMLTPGFVIGLNEANMFNDKENMTVKKLHIMLYRLTEYHAPPLLMLVEHVAELREDANSIKAADIFKSYTDYTIVPIPLDRFYLKLAGTIINIDPYVNASIDAKEGDRDFAMKVDEIHETFGSVRYLGESKLGRAEIERICIDVVMPRQTNHAKVLTTLDCCYVKKIRKLDPQCDNPVHKSCQKHVNLGCDSCRVGQAILGWMFNACYECWHPGRFTHVKFNHTKEYNFHNDNHTLAARRVLSSIKEVATHEEHTVNTKRPENKSRSLRRSVKERLLTAYGIHEYIPNTTMKSIYEAAKTEIYLTILGDTVLDHISEFRKEGVFHKGDHFLRYNLTQNGFRLALTYCGPQRQSERLIYAGEVGGLLEDAQERSIIGHFERGYYKPRNEVERPCIIRKPRPAEQQLQYLYSKWIPPQYEEADSSVSSGSLSSNSSAAM
+>sp|Q9Y259|CHKB_HUMAN Choline/ethanolamine kinase OS=Homo sapiens OX=9606 GN=CHKB PE=1 SV=3
+MAAEATAVAGSGAVGGCLAKDGLQQSKCPDTTPKRRRASSLSRDAERRAYQWCREYLGGAWRRVQPEELRVYPVSGGLSNLLFRCSLPDHLPSVGEEPREVLLRLYGAILQGVDSLVLESVMFAILAERSLGPQLYGVFPEGRLEQYIPSRPLKTQELREPVLSAAIATKMAQFHGMEMPFTKEPHWLFGTMERYLKQIQDLPPTGLPEMNLLEMYSLKDEMGNLRKLLESTPSPVVFCHNDIQEGNILLLSEPENADSLMLVDFEYSSYNYRGFDIGNHFCEWVYDYTHEEWPFYKARPTDYPTQEQQLHFIRHYLAEAKKGETLSQEEQRKLEEDLLVEVSRYALASHFFWGLWSILQASMSTIEFGYLDYAQSRFQFYFQQKGQLTSVHSSS
+>DECOY_sp|Q9Y259|CHKB_HUMAN Choline/ethanolamine kinase OS=Homo sapiens OX=9606 GN=CHKB PE=1 SV=3
+SSSHVSTLQGKQQFYFQFRSQAYDLYGFEITSMSAQLISWLGWFFHSALAYRSVEVLLDEELKRQEEQSLTEGKKAEALYHRIFHLQQEQTPYDTPRAKYFPWEEHTYDYVWECFHNGIDFGRYNYSSYEFDVLMLSDANEPESLLLINGEQIDNHCFVVPSPTSELLKRLNGMEDKLSYMELLNMEPLGTPPLDQIQKLYREMTGFLWHPEKTFPMEMGHFQAMKTAIAASLVPERLEQTKLPRSPIYQELRGEPFVGYLQPGLSREALIAFMVSELVLSDVGQLIAGYLRLLVERPEEGVSPLHDPLSCRFLLNSLGGSVPYVRLEEPQVRRWAGGLYERCWQYARREADRSLSSARRRKPTTDPCKSQQLGDKALCGGVAGSGAVATAEAAM
+>sp|Q96CF2|CHM4C_HUMAN Charged multivesicular body protein 4c OS=Homo sapiens OX=9606 GN=CHMP4C PE=1 SV=1
+MSKLGKFFKGGGSSKSRAAPSPQEALVRLRETEEMLGKKQEYLENRIQREIALAKKHGTQNKRAALQALKRKKRFEKQLTQIDGTLSTIEFQREALENSHTNTEVLRNMGFAAKAMKSVHENMDLNKIDDLMQEITEQQDIAQEISEAFSQRVGFGDDFDEDELMAELEELEQEELNKKMTNIRLPNVPSSSLPAQPNRKPGMSSTARRSRAASSQRAEEEDDDIKQLAAWAT
+>DECOY_sp|Q96CF2|CHM4C_HUMAN Charged multivesicular body protein 4c OS=Homo sapiens OX=9606 GN=CHMP4C PE=1 SV=1
+TAWAALQKIDDDEEEARQSSAARSRRATSSMGPKRNPQAPLSSSPVNPLRINTMKKNLEEQELEELEAMLEDEDFDDGFGVRQSFAESIEQAIDQQETIEQMLDDIKNLDMNEHVSKMAKAAFGMNRLVETNTHSNELAERQFEITSLTGDIQTLQKEFRKKRKLAQLAARKNQTGHKKALAIERQIRNELYEQKKGLMEETERLRVLAEQPSPAARSKSSGGGKFFKGLKSM
+>sp|Q9H9P2|CHODL_HUMAN Chondrolectin OS=Homo sapiens OX=9606 GN=CHODL PE=2 SV=2
+MSRVVSLLLGAALLCGHGAFCRRVVSGQKVCFADFKHPCYKMAYFHELSSRVSFQEARLACESEGGVLLSLENEAEQKLIESMLQNLTKPGTGISDGDFWIGLWRNGDGQTSGACPDLYQWSDGSNSQYRNWYTDEPSCGSEKCVVMYHQPTANPGLGGPYLYQWNDDRCNMKHNYICKYEPEINPTAPVEKPYLTNQPGDTHQNVVVTEAGIIPNLIYVVIPTIPLLLLILVAFGTCCFQMLHKSKGRTKTSPNQSTLWISKSTRKESGMEV
+>DECOY_sp|Q9H9P2|CHODL_HUMAN Chondrolectin OS=Homo sapiens OX=9606 GN=CHODL PE=2 SV=2
+VEMGSEKRTSKSIWLTSQNPSTKTRGKSKHLMQFCCTGFAVLILLLLPITPIVVYILNPIIGAETVVVNQHTDGPQNTLYPKEVPATPNIEPEYKCIYNHKMNCRDDNWQYLYPGGLGPNATPQHYMVVCKESGCSPEDTYWNRYQSNSGDSWQYLDPCAGSTQGDGNRWLGIWFDGDSIGTGPKTLNQLMSEILKQEAENELSLLVGGESECALRAEQFSVRSSLEHFYAMKYCPHKFDAFCVKQGSVVRRCFAGHGCLLAAGLLLSVVRSM
+>sp|Q9H2X0|CHRD_HUMAN Chordin OS=Homo sapiens OX=9606 GN=CHRD PE=1 SV=2
+MPSLPAPPAPLLLLGLLLLGSRPARGAGPEPPVLPIRSEKEPLPVRGAAGCTFGGKVYALDETWHPDLGEPFGVMRCVLCACEAPQWGRRTRGPGRVSCKNIKPECPTPACGQPRQLPGHCCQTCPQERSSSERQPSGLSFEYPRDPEHRSYSDRGEPGAEERARGDGHTDFVALLTGPRSQAVARARVSLLRSSLRFSISYRRLDRPTRIRFSDSNGSVLFEHPAAPTQDGLVCGVWRAVPRLSLRLLRAEQLHVALVTLTHPSGEVWGPLIRHRALAAETFSAILTLEGPPQQGVGGITLLTLSDTEDSLHFLLLFRGLLEPRSGGLTQVPLRLQILHQGQLLRELQANVSAQEPGFAEVLPNLTVQEMDWLVLGELQMALEWAGRPGLRISGHIAARKSCDVLQSVLCGADALIPVQTGAAGSASLTLLGNGSLIYQVQVVGTSSEVVAMTLETKPQRRDQRTVLCHMAGLQPGGHTAVGICPGLGARGAHMLLQNELFLNVGTKDFPDGELRGHVAALPYCGHSARHDTLPVPLAGALVLPPVKSQAAGHAWLSLDTHCHLHYEVLLAGLGGSEQGTVTAHLLGPPGTPGPRRLLKGFYGSEAQGVVKDLEPELLRHLAKGMASLMITTKGSPRGELRGQVHIANQCEVGGLRLEAAGAEGVRALGAPDTASAAPPVVPGLPALAPAKPGGPGRPRDPNTCFFEGQQRPHGARWAPNYDPLCSLCTCQRRTVICDPVVCPPPSCPHPVQAPDQCCPVCPEKQDVRDLPGLPRSRDPGEGCYFDGDRSWRAAGTRWHPVVPPFGLIKCAVCTCKGGTGEVHCEKVQCPRLACAQPVRVNPTDCCKQCPVGSGAHPQLGDPMQADGPRGCRFAGQWFPESQSWHPSVPPFGEMSCITCRCGAGVPHCERDDCSLPLSCGSGKESRCCSRCTAHRRPAPETRTDPELEKEAEGS
+>DECOY_sp|Q9H2X0|CHRD_HUMAN Chordin OS=Homo sapiens OX=9606 GN=CHRD PE=1 SV=2
+SGEAEKELEPDTRTEPAPRRHATCRSCCRSEKGSGCSLPLSCDDRECHPVGAGCRCTICSMEGFPPVSPHWSQSEPFWQGAFRCGRPGDAQMPDGLQPHAGSGVPCQKCCDTPNVRVPQACALRPCQVKECHVEGTGGKCTCVACKILGFPPVVPHWRTGAARWSRDGDFYCGEGPDRSRPLGPLDRVDQKEPCVPCCQDPAQVPHPCSPPPCVVPDCIVTRRQCTCLSCLPDYNPAWRAGHPRQQGEFFCTNPDRPRGPGGPKAPALAPLGPVVPPAASATDPAGLARVGEAGAAELRLGGVECQNAIHVQGRLEGRPSGKTTIMLSAMGKALHRLLEPELDKVVGQAESGYFGKLLRRPGPTGPPGLLHATVTGQESGGLGALLVEYHLHCHTDLSLWAHGAAQSKVPPLVLAGALPVPLTDHRASHGCYPLAAVHGRLEGDPFDKTGVNLFLENQLLMHAGRAGLGPCIGVATHGGPQLGAMHCLVTRQDRRQPKTELTMAVVESSTGVVQVQYILSGNGLLTLSASGAAGTQVPILADAGCLVSQLVDCSKRAAIHGSIRLGPRGAWELAMQLEGLVLWDMEQVTLNPLVEAFGPEQASVNAQLERLLQGQHLIQLRLPVQTLGGSRPELLGRFLLLFHLSDETDSLTLLTIGGVGQQPPGELTLIASFTEAALARHRILPGWVEGSPHTLTVLAVHLQEARLLRLSLRPVARWVGCVLGDQTPAAPHEFLVSGNSDSFRIRTPRDLRRYSISFRLSSRLLSVRARAVAQSRPGTLLAVFDTHGDGRAREEAGPEGRDSYSRHEPDRPYEFSLGSPQRESSSREQPCTQCCHGPLQRPQGCAPTPCEPKINKCSVRGPGRTRRGWQPAECACLVCRMVGFPEGLDPHWTEDLAYVKGGFTCGAAGRVPLPEKESRIPLVPPEPGAGRAPRSGLLLLGLLLLPAPPAPLSPM
+>sp|Q86X52|CHSS1_HUMAN Chondroitin sulfate synthase 1 OS=Homo sapiens OX=9606 GN=CHSY1 PE=1 SV=3
+MAARGRRAWLSVLLGLVLGFVLASRLVLPRASELKRAGPRRRASPEGCRSGQAAASQAGGARGDARGAQLWPPGSDPDGGPRDRNFLFVGVMTAQKYLQTRAVAAYRTWSKTIPGKVQFFSSEGSDTSVPIPVVPLRGVDDSYPPQKKSFMMLKYMHDHYLDKYEWFMRADDDVYIKGDRLENFLRSLNSSEPLFLGQTGLGTTEEMGKLALEPGENFCMGGPGVIMSREVLRRMVPHIGKCLREMYTTHEDVEVGRCVRRFAGVQCVWSYEMQQLFYENYEQNKKGYIRDLHNSKIHQAITLHPNKNPPYQYRLHSYMLSRKISELRHRTIQLHREIVLMSKYSNTEIHKEDLQLGIPPSFMRFQPRQREEILEWEFLTGKYLYSAVDGQPPRRGMDSAQREALDDIVMQVMEMINANAKTRGRIIDFKEIQYGYRRVNPMYGAEYILDLLLLYKKHKGKKMTVPVRRHAYLQQTFSKIQFVEHEELDAQELAKRINQESGSLSFLSNSLKKLVPFQLPGSKSEHKEPKDKKINILIPLSGRFDMFVRFMGNFEKTCLIPNQNVKLVVLLFNSDSNPDKAKQVELMRDYRIKYPKADMQILPVSGEFSRALALEVGSSQFNNESLLFFCDVDLVFTTEFLQRCRANTVLGQQIYFPIIFSQYDPKIVYSGKVPSDNHFAFTQKTGFWRNYGFGITCIYKGDLVRVGGFDVSIQGWGLEDVDLFNKVVQAGLKTFRSQEVGVVHVHHPVFCDPNLDPKQYKMCLGSKASTYGSTQQLAEMWLEKNDPSYSKSSNNNGSVRTA
+>DECOY_sp|Q86X52|CHSS1_HUMAN Chondroitin sulfate synthase 1 OS=Homo sapiens OX=9606 GN=CHSY1 PE=1 SV=3
+ATRVSGNNNSSKSYSPDNKELWMEALQQTSGYTSAKSGLCMKYQKPDLNPDCFVPHHVHVVGVEQSRFTKLGAQVVKNFLDVDELGWGQISVDFGGVRVLDGKYICTIGFGYNRWFGTKQTFAFHNDSPVKGSYVIKPDYQSFIIPFYIQQGLVTNARCRQLFETTFVLDVDCFFLLSENNFQSSGVELALARSFEGSVPLIQMDAKPYKIRYDRMLEVQKAKDPNSDSNFLLVVLKVNQNPILCTKEFNGMFRVFMDFRGSLPILINIKKDKPEKHESKSGPLQFPVLKKLSNSLFSLSGSEQNIRKALEQADLEEHEVFQIKSFTQQLYAHRRVPVTMKKGKHKKYLLLLDLIYEAGYMPNVRRYGYQIEKFDIIRGRTKANANIMEMVQMVIDDLAERQASDMGRRPPQGDVASYLYKGTLFEWELIEERQRPQFRMFSPPIGLQLDEKHIETNSYKSMLVIERHLQITRHRLESIKRSLMYSHLRYQYPPNKNPHLTIAQHIKSNHLDRIYGKKNQEYNEYFLQQMEYSWVCQVGAFRRVCRGVEVDEHTTYMERLCKGIHPVMRRLVERSMIVGPGGMCFNEGPELALKGMEETTGLGTQGLFLPESSNLSRLFNELRDGKIYVDDDARMFWEYKDLYHDHMYKLMMFSKKQPPYSDDVGRLPVVPIPVSTDSGESSFFQVKGPITKSWTRYAAVARTQLYKQATMVGVFLFNRDRPGGDPDSGPPWLQAGRADGRAGGAQSAAAQGSRCGEPSARRRPGARKLESARPLVLRSALVFGLVLGLLVSLWARRGRAAM
+>sp|Q70JA7|CHSS3_HUMAN Chondroitin sulfate synthase 3 OS=Homo sapiens OX=9606 GN=CHSY3 PE=2 SV=3
+MAVRSRRPWMSVALGLVLGFTAASWLIAPRVAELSERKRRGSSLCSYYGRSAAGPRAGAQQPLPQPQSRPRQEQSPPPARQDLQGPPLPEAAPGITSFRSSPWQQPPPLQQRRRGREPEGATGLPGAPAAEGEPEEEDGGAAGQRRDGRPGSSHNGSGDGGAAAPSARPRDFLYVGVMTAQKYLGSRALAAQRTWARFIPGRVEFFSSQQPPNAGQPPPPLPVIALPGVDDSYPPQKKSFMMIKYMHDHYLDKYEWFMRADDDVYIKGDKLEEFLRSLNSSKPLYLGQTGLGNIEELGKLGLEPGENFCMGGPGMIFSREVLRRMVPHIGECLREMYTTHEDVEVGRCVRRFGGTQCVWSYEMQQLFHENYEHNRKGYIQDLHNSKIHAAITLHPNKRPAYQYRLHNYMLSRKISELRYRTIQLHRESALMSKLSNTEVSKEDQQLGVIPSFNHFQPRERNEVIEWEFLTGKLLYSAAENQPPRQSLSSILRTALDDTVLQVMEMINENAKSRGRLIDFKEIQYGYRRVNPMHGVEYILDLLLLYKRHKGRKLTVPVRRHAYLQQLFSKPFFRETEELDVNSLVESINSETQSFSFISNSLKILSSFQGAKEMGGHNEKKVHILVPLIGRYDIFLRFMENFENMCLIPKQNVKLVIILFSRDSGQDSSKHIELIKGYQNKYPKAEMTLIPMKGEFSRGLGLEMASAQFDNDTLLLFCDVDLIFREDFLQRCRDNTIQGQQVYYPIIFSQYDPKVTNGGNPPTDDYFIFSKKTGFWRDYGYGITCIYKSDLLGAGGFDTSIQGWGLEDVDLYNKVILSGLRPFRSQEVGVVHIFHPVHCDPNLDPKQYKMCLGSKASTFASTMQLAELWLEKHLGVRYNRTLS
+>DECOY_sp|Q70JA7|CHSS3_HUMAN Chondroitin sulfate synthase 3 OS=Homo sapiens OX=9606 GN=CHSY3 PE=2 SV=3
+SLTRNYRVGLHKELWLEALQMTSAFTSAKSGLCMKYQKPDLNPDCHVPHFIHVVGVEQSRFPRLGSLIVKNYLDVDELGWGQISTDFGGAGLLDSKYICTIGYGYDRWFGTKKSFIFYDDTPPNGGNTVKPDYQSFIIPYYVQQGQITNDRCRQLFDERFILDVDCFLLLTDNDFQASAMELGLGRSFEGKMPILTMEAKPYKNQYGKILEIHKSSDQGSDRSFLIIVLKVNQKPILCMNEFNEMFRLFIDYRGILPVLIHVKKENHGGMEKAGQFSSLIKLSNSIFSFSQTESNISEVLSNVDLEETERFFPKSFLQQLYAHRRVPVTLKRGKHRKYLLLLDLIYEVGHMPNVRRYGYQIEKFDILRGRSKANENIMEMVQLVTDDLATRLISSLSQRPPQNEAASYLLKGTLFEWEIVENRERPQFHNFSPIVGLQQDEKSVETNSLKSMLASERHLQITRYRLESIKRSLMYNHLRYQYAPRKNPHLTIAAHIKSNHLDQIYGKRNHEYNEHFLQQMEYSWVCQTGGFRRVCRGVEVDEHTTYMERLCEGIHPVMRRLVERSFIMGPGGMCFNEGPELGLKGLEEINGLGTQGLYLPKSSNLSRLFEELKDGKIYVDDDARMFWEYKDLYHDHMYKIMMFSKKQPPYSDDVGPLAIVPLPPPPQGANPPQQSSFFEVRGPIFRAWTRQAALARSGLYKQATMVGVYLFDRPRASPAAAGGDGSGNHSSGPRGDRRQGAAGGDEEEPEGEAAPAGPLGTAGEPERGRRRQQLPPPQQWPSSRFSTIGPAAEPLPPGQLDQRAPPPSQEQRPRSQPQPLPQQAGARPGAASRGYYSCLSSGRRKRESLEAVRPAILWSAATFGLVLGLAVSMWPRRSRVAM
+>sp|O43529|CHSTA_HUMAN Carbohydrate sulfotransferase 10 OS=Homo sapiens OX=9606 GN=CHST10 PE=1 SV=1
+MHHQWLLLAACFWVIFMFMVASKFITLTFKDPDVYSAKQEFLFLTTMPEVRKLPEEKHIPEELKPTGKELPDSQLVQPLVYMERLELIRNVCRDDALKNLSHTPVSKFVLDRIFVCDKHKILFCQTPKVGNTQWKKVLIVLNGAFSSIEEIPENVVHDHEKNGLPRLSSFSDAEIQKRLKTYFKFFIVRDPFERLISAFKDKFVHNPRFEPWYRHEIAPGIIRKYRRNRTETRGIQFEDFVRYLGDPNHRWLDLQFGDHIIHWVTYVELCAPCEIMYSVIGHHETLEDDAPYILKEAGIDHLVSYPTIPPGITVYNRTKVEHYFLGISKRDIRRLYARFEGDFKLFGYQKPDFLLN
+>DECOY_sp|O43529|CHSTA_HUMAN Carbohydrate sulfotransferase 10 OS=Homo sapiens OX=9606 GN=CHST10 PE=1 SV=1
+NLLFDPKQYGFLKFDGEFRAYLRRIDRKSIGLFYHEVKTRNYVTIGPPITPYSVLHDIGAEKLIYPADDELTEHHGIVSYMIECPACLEVYTVWHIIHDGFQLDLWRHNPDGLYRVFDEFQIGRTETRNRRYKRIIGPAIEHRYWPEFRPNHVFKDKFASILREFPDRVIFFKFYTKLRKQIEADSFSSLRPLGNKEHDHVVNEPIEEISSFAGNLVILVKKWQTNGVKPTQCFLIKHKDCVFIRDLVFKSVPTHSLNKLADDRCVNRILELREMYVLPQVLQSDPLEKGTPKLEEPIHKEEPLKRVEPMTTLFLFEQKASYVDPDKFTLTIFKSAVMFMFIVWFCAALLLWQHHM
+>sp|Q8NCH0|CHSTE_HUMAN Carbohydrate sulfotransferase 14 OS=Homo sapiens OX=9606 GN=CHST14 PE=1 SV=2
+MFPRPLTPLAAPNGAEPLGRALRRAPLGRARAGLGGPPLLLPSMLMFAVIVASSGLLLMIERGILAEMKPLPLHPPGREGTAWRGKAPKPGGLSLRAGDADLQVRQDVRNRTLRAVCGQPGMPRDPWDLPVGQRRTLLRHILVSDRYRFLYCYVPKVACSNWKRVMKVLAGVLDSVDVRLKMDHRSDLVFLADLRPEEIRYRLQHYFKFLFVREPLERLLSAYRNKFGEIREYQQRYGAEIVRRYRAGAGPSPAGDDVTFPEFLRYLVDEDPERMNEHWMPVYHLCQPCAVHYDFVGSYERLEADANQVLEWVRAPPHVRFPARQAWYRPASPESLHYHLCSAPRALLQDVLPKYILDFSLFAYPLPNVTKEACQQ
+>DECOY_sp|Q8NCH0|CHSTE_HUMAN Carbohydrate sulfotransferase 14 OS=Homo sapiens OX=9606 GN=CHST14 PE=1 SV=2
+QQCAEKTVNPLPYAFLSFDLIYKPLVDQLLARPASCLHYHLSEPSAPRYWAQRAPFRVHPPARVWELVQNADAELREYSGVFDYHVACPQCLHYVPMWHENMREPDEDVLYRLFEPFTVDDGAPSPGAGARYRRVIEAGYRQQYERIEGFKNRYASLLRELPERVFLFKFYHQLRYRIEEPRLDALFVLDSRHDMKLRVDVSDLVGALVKMVRKWNSCAVKPVYCYLFRYRDSVLIHRLLTRRQGVPLDWPDRPMGPQGCVARLTRNRVDQRVQLDADGARLSLGGPKPAKGRWATGERGPPHLPLPKMEALIGREIMLLLGSSAVIVAFMLMSPLLLPPGGLGARARGLPARRLARGLPEAGNPAALPTLPRPFM
+>sp|Q8TAL5|CI043_HUMAN Uncharacterized protein C9orf43 OS=Homo sapiens OX=9606 GN=C9orf43 PE=1 SV=1
+MDLPDESQWDETTCGLAVCQHPQCWATIRRIERGHPRILGSSCKTPLDAEDKLPVLTVVDILDSGFAAHHLPECTFTKAHSLLSQSSKFYSKFHGRPPKGLPDKSLINCTNRLPKFPVLNLNETQLPCPEDVRNMVVLWIPEETEIHVSQHGKKKRKNSAVKSKSFLGLSGNQSAGTRVGTPGMIVPPPTPVQLSEQFSSDFLPLWAQSEALPQDLLKELLPGGKQTMLCPEMKIKLAMMKKNLPLEKNRPDSVISSKMFLSIHRLTLERPALRYPERLKKLHNLKTEGYRKQQQRQQQQQQQQKKVKTPIKKQEAKKKAKSDPGIQSTSHKHPVTTVHDRLYGYRTLPGQNSDMKQQQQMEKGTTSKQDSTERPKMNYYDHADFHHSVKSPELYETEPTNKDISAPVDAVPEAQAARQKKISFNFSEIMASTGWNSELKLLRILQDTDDEDEEDQSSGAE
+>DECOY_sp|Q8TAL5|CI043_HUMAN Uncharacterized protein C9orf43 OS=Homo sapiens OX=9606 GN=C9orf43 PE=1 SV=1
+EAGSSQDEEDEDDTDQLIRLLKLESNWGTSAMIESFNFSIKKQRAAQAEPVADVPASIDKNTPETEYLEPSKVSHHFDAHDYYNMKPRETSDQKSTTGKEMQQQQKMDSNQGPLTRYGYLRDHVTTVPHKHSTSQIGPDSKAKKKAEQKKIPTKVKKQQQQQQQQRQQQKRYGETKLNHLKKLREPYRLAPRELTLRHISLFMKSSIVSDPRNKELPLNKKMMALKIKMEPCLMTQKGGPLLEKLLDQPLAESQAWLPLFDSSFQESLQVPTPPPVIMGPTGVRTGASQNGSLGLFSKSKVASNKRKKKGHQSVHIETEEPIWLVVMNRVDEPCPLQTENLNLVPFKPLRNTCNILSKDPLGKPPRGHFKSYFKSSQSLLSHAKTFTCEPLHHAAFGSDLIDVVTLVPLKDEADLPTKCSSGLIRPHGREIRRITAWCQPHQCVALGCTTEDWQSEDPLDM
+>sp|Q8N365|CIART_HUMAN Circadian-associated transcriptional repressor OS=Homo sapiens OX=9606 GN=CIART PE=1 SV=1
+MDSPSSVSSYSSYSLSSSFPTSPVNSDFGFPSDSEREDKGAHGPRPDTVGQRGGSRPSPGPIRCRHRSKVSGNQHTPSHPKQRGSASPMAGSGAKRSRDGELETSLNTQGCTTEGDLLFAQKCKELQGFIPPLTDLLNGLKMGRFERGLSSFQQSVAMDRIQRIVGVLQKPQMGERYLGTLLQVEGMLKTWFPQIAAQKSSLGGGKHQLTKHFPSHHSDSAASSPASPMEKMDQTQLGHLALKPKQPWHLTQWPAMNLTWIHTTPICNPPLSSPGTISFSHGPLGTGTGIGVILFLQHGVQPFTHSAPTTPVPPTTASPVIPGEPMKLSGEGPRCYSLPVTLPSDWSYTLSPPSLPTLARKMTIGHREQQRSHPPVAADAHLLNL
+>DECOY_sp|Q8N365|CIART_HUMAN Circadian-associated transcriptional repressor OS=Homo sapiens OX=9606 GN=CIART PE=1 SV=1
+LNLLHADAAVPPHSRQQERHGITMKRALTPLSPPSLTYSWDSPLTVPLSYCRPGEGSLKMPEGPIVPSATTPPVPTTPASHTFPQVGHQLFLIVGIGTGTGLPGHSFSITGPSSLPPNCIPTTHIWTLNMAPWQTLHWPQKPKLALHGLQTQDMKEMPSAPSSAASDSHHSPFHKTLQHKGGGLSSKQAAIQPFWTKLMGEVQLLTGLYREGMQPKQLVGVIRQIRDMAVSQQFSSLGREFRGMKLGNLLDTLPPIFGQLEKCKQAFLLDGETTCGQTNLSTELEGDRSRKAGSGAMPSASGRQKPHSPTHQNGSVKSRHRCRIPGPSPRSGGRQGVTDPRPGHAGKDERESDSPFGFDSNVPSTPFSSSLSYSSYSSVSSPSDM
+>sp|O75339|CILP1_HUMAN Cartilage intermediate layer protein 1 OS=Homo sapiens OX=9606 GN=CILP PE=1 SV=4
+MVGTKAWVFSFLVLEVTSVLGRQTMLTQSVRRVQPGKKNPSIFAKPADTLESPGEWTTWFNIDYPGGKGDYERLDAIRFYYGDRVCARPLRLEARTTDWTPAGSTGQVVHGSPREGFWCLNREQRPGQNCSNYTVRFLCPPGSLRRDTERIWSPWSPWSKCSAACGQTGVQTRTRICLAEMVSLCSEASEEGQHCMGQDCTACDLTCPMGQVNADCDACMCQDFMLHGAVSLPGGAPASGAAIYLLTKTPKLLTQTDSDGRFRIPGLCPDGKSILKITKVKFAPIVLTMPKTSLKAATIKAEFVRAETPYMVMNPETKARRAGQSVSLCCKATGKPRPDKYFWYHNDTLLDPSLYKHESKLVLRKLQQHQAGEYFCKAQSDAGAVKSKVAQLIVIASDETPCNPVPESYLIRLPHDCFQNATNSFYYDVGRCPVKTCAGQQDNGIRCRDAVQNCCGISKTEEREIQCSGYTLPTKVAKECSCQRCTETRSIVRGRVSAADNGEPMRFGHVYMGNSRVSMTGYKGTFTLHVPQDTERLVLTFVDRLQKFVNTTKVLPFNKKGSAVFHEIKMLRRKKPITLEAMETNIIPLGEVVGEDPMAELEIPSRSFYRQNGEPYIGKVKASVTFLDPRNISTATAAQTDLNFINDEGDTFPLRTYGMFSVDFRDEVTSEPLNAGKVKVHLDSTQVKMPEHISTVKLWSLNPDTGLWEEEGDFKFENQRRNKREDRTFLVGNLEIRERRLFNLDVPESRRCFVKVRAYRSERFLPSEQIQGVVISVINLEPRTGFLSNPRAWGRFDSVITGPNGACVPAFCDDQSPDAYSAYVLASLAGEELQAVESSPKFNPNAIGVPQPYLNKLNYRRTDHEDPRVKKTAFQISMAKPRPNSAEESNGPIYAFENLRACEEAPPSAAHFRFYQIEGDRYDYNTVPFNEDDPMSWTEDYLAWWPKPMEFRACYIKVKIVGPLEVNVRSRNMGGTHRQTVGKLYGIRDVRSTRDRDQPNVSAACLEFKCSGMLYDQDRVDRTLVKVIPQGSCRRASVNPMLHEYLVNHLPLAVNNDTSEYTMLAPLDPLGHNYGIYTVTDQDPRTAKEIALGRCFDGTSDGSSRIMKSNVGVALTFNCVERQVGRQSAFQYLQSTPAQSPAAGTVQGRVPSRRQQRASRGGQRQGGVVASLRFPRVAQQPLIN
+>DECOY_sp|O75339|CILP1_HUMAN Cartilage intermediate layer protein 1 OS=Homo sapiens OX=9606 GN=CILP PE=1 SV=4
+NILPQQAVRPFRLSAVVGGQRQGGRSARQQRRSPVRGQVTGAAPSQAPTSQLYQFASQRGVQREVCNFTLAVGVNSKMIRSSGDSTGDFCRGLAIEKATRPDQDTVTYIGYNHGLPDLPALMTYESTDNNVALPLHNVLYEHLMPNVSARRCSGQPIVKVLTRDVRDQDYLMGSCKFELCAASVNPQDRDRTSRVDRIGYLKGVTQRHTGGMNRSRVNVELPGVIKVKIYCARFEMPKPWWALYDETWSMPDDENFPVTNYDYRDGEIQYFRFHAASPPAEECARLNEFAYIPGNSEEASNPRPKAMSIQFATKKVRPDEHDTRRYNLKNLYPQPVGIANPNFKPSSEVAQLEEGALSALVYASYADPSQDDCFAPVCAGNPGTIVSDFRGWARPNSLFGTRPELNIVSIVVGQIQESPLFRESRYARVKVFCRRSEPVDLNFLRRERIELNGVLFTRDERKNRRQNEFKFDGEEEWLGTDPNLSWLKVTSIHEPMKVQTSDLHVKVKGANLPESTVEDRFDVSFMGYTRLPFTDGEDNIFNLDTQAATATSINRPDLFTVSAKVKGIYPEGNQRYFSRSPIELEAMPDEGVVEGLPIINTEMAELTIPKKRRLMKIEHFVASGKKNFPLVKTTNVFKQLRDVFTLVLRETDQPVHLTFTGKYGTMSVRSNGMYVHGFRMPEGNDAASVRGRVISRTETCRQCSCEKAVKTPLTYGSCQIEREETKSIGCCNQVADRCRIGNDQQGACTKVPCRGVDYYFSNTANQFCDHPLRILYSEPVPNCPTEDSAIVILQAVKSKVAGADSQAKCFYEGAQHQQLKRLVLKSEHKYLSPDLLTDNHYWFYKDPRPKGTAKCCLSVSQGARRAKTEPNMVMYPTEARVFEAKITAAKLSTKPMTLVIPAFKVKTIKLISKGDPCLGPIRFRGDSDTQTLLKPTKTLLYIAAGSAPAGGPLSVAGHLMFDQCMCADCDANVQGMPCTLDCATCDQGMCHQGEESAESCLSVMEALCIRTRTQVGTQGCAASCKSWPSWPSWIRETDRRLSGPPCLFRVTYNSCNQGPRQERNLCWFGERPSGHVVQGTSGAPTWDTTRAELRLPRACVRDGYYFRIADLREYDGKGGPYDINFWTTWEGPSELTDAPKAFISPNKKGPQVRRVSQTLMTQRGLVSTVELVLFSFVWAKTGVM
+>sp|Q8TCG1|CIP2A_HUMAN Protein CIP2A OS=Homo sapiens OX=9606 GN=CIP2A PE=1 SV=2
+MDSTACLKSLLLTVSQYKAVKSEANATQLLRHLEVISGQKLTRLFTSNQILTSECLSCLVELLEDPNISASLILSIIGLLSQLAVDIETRDCLQNTYNLNSVLAGVVCRSSHTDSVFLQCIQLLQKLTYNVKIFYSGANIDELITFLIDHIQSSEDELKMPCLGLLANLCRHNLSVQTHIKTLSNVKSFYRTLITLLAHSSLTVVVFALSILSSLTLNEEVGEKLFHARNIHQTFQLIFNILINGDGTLTRKYSVDLLMDLLKNPKIADYLTRYEHFSSCLHQVLGLLNGKDPDSSSKVLELLLAFCSVTQLRHMLTQMMFEQSPPGSATLGSHTKCLEPTVALLRWLSQPLDGSENCSVLALELFKEIFEDVIDAANCSSADRFVTLLLPTILDQLQFTEQNLDEALTRKKCERIAKAIEVLLTLCGDDTLKMHIAKILTTVKCTTLIEQQFTYGKIDLGFGTKVADSELCKLAADVILKTLDLINKLKPLVPGMEVSFYKILQDPRLITPLAFALTSDNREQVQSGLRILLEAAPLPDFPALVLGESIAANNAYRQQETEHIPRKMPWQSSNHSFPTSIKCLTPHLKDGVPGLNIEELIEKLQSGMVVKDQICDVRISDIMDVYEMKLSTLASKESRLQDLLETKALALAQADRLIAQHRCQRTQAETEARTLASMLREVERKNEELSVLLKAQQVESERAQSDIEHLFQHNRKLESVAEEHEILTKSYMELLQRNESTEKKNKDLQITCDSLNKQIETVKKLNESLKEQNEKSIAQLIEKEEQRKEVQNQLVDREHKLANLHQKTKVQEEKIKTLQKEREDKEETIDILRKELSRTEQIRKELSIKASSLEVQKAQLEGRLEEKESLVKLQQEELNKHSHMIAMIHSLSGGKINPETVNLSI
+>DECOY_sp|Q8TCG1|CIP2A_HUMAN Protein CIP2A OS=Homo sapiens OX=9606 GN=CIP2A PE=1 SV=2
+ISLNVTEPNIKGGSLSHIMAIMHSHKNLEEQQLKVLSEKEELRGELQAKQVELSSAKISLEKRIQETRSLEKRLIDITEEKDEREKQLTKIKEEQVKTKQHLNALKHERDVLQNQVEKRQEEKEILQAISKENQEKLSENLKKVTEIQKNLSDCTIQLDKNKKETSENRQLLEMYSKTLIEHEEAVSELKRNHQFLHEIDSQARESEVQQAKLLVSLEENKREVERLMSALTRAETEAQTRQCRHQAILRDAQALALAKTELLDQLRSEKSALTSLKMEYVDMIDSIRVDCIQDKVVMGSQLKEILEEINLGPVGDKLHPTLCKISTPFSHNSSQWPMKRPIHETEQQRYANNAAISEGLVLAPFDPLPAAELLIRLGSQVQERNDSTLAFALPTILRPDQLIKYFSVEMGPVLPKLKNILDLTKLIVDAALKCLESDAVKTGFGLDIKGYTFQQEILTTCKVTTLIKAIHMKLTDDGCLTLLVEIAKAIRECKKRTLAEDLNQETFQLQDLITPLLLTVFRDASSCNAADIVDEFIEKFLELALVSCNESGDLPQSLWRLLAVTPELCKTHSGLTASGPPSQEFMMQTLMHRLQTVSCFALLLELVKSSSDPDKGNLLGLVQHLCSSFHEYRTLYDAIKPNKLLDMLLDVSYKRTLTGDGNILINFILQFTQHINRAHFLKEGVEENLTLSSLISLAFVVVTLSSHALLTILTRYFSKVNSLTKIHTQVSLNHRCLNALLGLCPMKLEDESSQIHDILFTILEDINAGSYFIKVNYTLKQLLQICQLFVSDTHSSRCVVGALVSNLNYTNQLCDRTEIDVALQSLLGIISLILSASINPDELLEVLCSLCESTLIQNSTFLRTLKQGSIVELHRLLQTANAESKVAKYQSVTLLLSKLCATSDM
+>sp|Q9C0C6|CIPC_HUMAN CLOCK-interacting pacemaker OS=Homo sapiens OX=9606 GN=CIPC PE=1 SV=2
+MERKNPSRESPRRLSAKVGKGTEMKKVARQLGMAAAESDKDSGFSDGSSECLSSAEQMESEDMLSALGWSREDRPRQNSKTAKNAFPTLSPMVVMKNVLVKQGSSSSQLQSWTVQPSFEVISAQPQLLFLHPPVPSPVSPCHTGEKKSDSRNYLPILNSYTKIAPHPGKRGLSLGPEEKGTSGVQKKICTERLGPSLSSSEPTKAGAVPSSPSTPAPPSAKLAEDSALQGVPSLVAGGSPQTLQPVSSSHVAKAPSLTFASPASPVCASDSTLHGLESNSPLSPLSANYSSPLWAAEHLCRSPDIFSEQRQSKHRRFQNTLVVLHKSGLLEITLKTKELIRQNQATQVELDQLKEQTQLFIEATKSRAPQAWAKLQASLTPGSSNTGSDLEAFSDHPAI
+>DECOY_sp|Q9C0C6|CIPC_HUMAN CLOCK-interacting pacemaker OS=Homo sapiens OX=9606 GN=CIPC PE=1 SV=2
+IAPHDSFAELDSGTNSSGPTLSAQLKAWAQPARSKTAEIFLQTQEKLQDLEVQTAQNQRILEKTKLTIELLGSKHLVVLTNQFRRHKSQRQESFIDPSRCLHEAAWLPSSYNASLPSLPSNSELGHLTSDSACVPSAPSAFTLSPAKAVHSSSVPQLTQPSGGAVLSPVGQLASDEALKASPPAPTSPSSPVAGAKTPESSSLSPGLRETCIKKQVGSTGKEEPGLSLGRKGPHPAIKTYSNLIPLYNRSDSKKEGTHCPSVPSPVPPHLFLLQPQASIVEFSPQVTWSQLQSSSSGQKVLVNKMVVMPSLTPFANKATKSNQRPRDERSWGLASLMDESEMQEASSLCESSGDSFGSDKDSEAAAMGLQRAVKKMETGKGVKASLRRPSERSPNKREM
+>sp|Q86X95|CIR1_HUMAN Corepressor interacting with RBPJ 1 OS=Homo sapiens OX=9606 GN=CIR1 PE=1 SV=1
+MGKSFANFMCKKDFHPASKSNIKKVWMAEQKISYDKKKQEELMQQYLKEQESYDNRLLMGDERVKNGLNFMYEAPPGAKKENKEKEETEGETEYKFEWQKGAPREKYAKDDMNIRDQPFGIQVRNVRCIKCHKWGHVNTDRECPLFGLSGINASSVPTDGSGPSMHPSELIAEMRNSGFALKRNVLGRNLTANDPSQEYVASEGEEDPEVEFLKSLTTKQKQKLLRKLDRLEKKKKKKDRKKKKFQKSRSKHKKHKSSSSSSSSSSSSSSTETSESSSESESNNKEKKIQRKKRKKNKCSGHNNSDSEEKDKSKKRKLHEELSSSHHNREKAKEKPRFLKHESSREDSKWSHSDSDKKSRTHKHSPEKRGSERKEGSSRSHGREERSRRSRSRSPGSYKQRETRKRAQRNPGEEQSRRNDSRSHGTDLYRGEKMYREHPGGTHTKVTQRE
+>DECOY_sp|Q86X95|CIR1_HUMAN Corepressor interacting with RBPJ 1 OS=Homo sapiens OX=9606 GN=CIR1 PE=1 SV=1
+ERQTVKTHTGGPHERYMKEGRYLDTGHSRSDNRRSQEEGPNRQARKRTERQKYSGPSRSRSRRSREERGHSRSSGEKRESGRKEPSHKHTRSKKDSDSHSWKSDERSSEHKLFRPKEKAKERNHHSSSLEEHLKRKKSKDKEESDSNNHGSCKNKKRKKRQIKKEKNNSESESSSESTETSSSSSSSSSSSSSSKHKKHKSRSKQFKKKKRDKKKKKKELRDLKRLLKQKQKTTLSKLFEVEPDEEGESAVYEQSPDNATLNRGLVNRKLAFGSNRMEAILESPHMSPGSGDTPVSSANIGSLGFLPCERDTNVHGWKHCKICRVNRVQIGFPQDRINMDDKAYKERPAGKQWEFKYETEGETEEKEKNEKKAGPPAEYMFNLGNKVREDGMLLRNDYSEQEKLYQQMLEEQKKKDYSIKQEAMWVKKINSKSAPHFDKKCMFNAFSKGM
+>sp|Q8WWK9|CKAP2_HUMAN Cytoskeleton-associated protein 2 OS=Homo sapiens OX=9606 GN=CKAP2 PE=1 SV=1
+MSTPAVPQDLQLPPSQRAQSAFKEQRRQKLKEHLLRRKTLFAYKQENEMLSSSRDQRVVTSEDQVQEGTKVLKLKTKMADKENMKRPAESKNNTVVGKHCIPLKPSNELTNSTVVIDTHKPKDSNQTPHLLLTEDDPQSQHMTLSQAFHLKNNSKKKQMTTEKQKQDANMPKKPVLGSYRGQIVQSKINSFRKPLQVKDESSAATKKLSATIPKATKPQPVNTSSVTVKSNRSSNMTATTKFVSTTSQNTQLVRPPIRSHHSNTRDTVKQGISRTSANVTIRKGPHEKELLQSKTALSSVKTSSSQGIIRNKTLSRSIASEVIARPASLSNDKLMEKSEPVDQRRHTAGKAIVDSRSAQPKETSEERKARLSEWKAGKGRVLKRPPNSVVTQHEPAGQNEKPVGSFWTTMAEEDEQRLFTEKVNNTFSECLNLINEGCPKEDILVTLNDLIKNIPDAKKLVKYWICLALIEPITSPIENIIAIYEKAILAGAQPIEEMRHTIVDILTMKSQEKANLGENMEKSCASKEEVKEVSIEDTGVDVDPEKLEMESKLHRNLLFQDCEKEQDNKTKDPTHDVKTPNTETRTSCLIKYNVSTTPYLQSVKKKVQFDGTNSAFKELKFLTPVRRSRRLQEKTSKLPDMLKDHYPCVSSLEQLTELGRETDAFVCRPNAALCRVYYEADTT
+>DECOY_sp|Q8WWK9|CKAP2_HUMAN Cytoskeleton-associated protein 2 OS=Homo sapiens OX=9606 GN=CKAP2 PE=1 SV=1
+TTDAEYYVRCLAANPRCVFADTERGLETLQELSSVCPYHDKLMDPLKSTKEQLRRSRRVPTLFKLEKFASNTGDFQVKKKVSQLYPTTSVNYKILCSTRTETNPTKVDHTPDKTKNDQEKECDQFLLNRHLKSEMELKEPDVDVGTDEISVEKVEEKSACSKEMNEGLNAKEQSKMTLIDVITHRMEEIPQAGALIAKEYIAIINEIPSTIPEILALCIWYKVLKKADPINKILDNLTVLIDEKPCGENILNLCESFTNNVKETFLRQEDEEAMTTWFSGVPKENQGAPEHQTVVSNPPRKLVRGKGAKWESLRAKREESTEKPQASRSDVIAKGATHRRQDVPESKEMLKDNSLSAPRAIVESAISRSLTKNRIIGQSSSTKVSSLATKSQLLEKEHPGKRITVNASTRSIGQKVTDRTNSHHSRIPPRVLQTNQSTTSVFKTTATMNSSRNSKVTVSSTNVPQPKTAKPITASLKKTAASSEDKVQLPKRFSNIKSQVIQGRYSGLVPKKPMNADQKQKETTMQKKKSNNKLHFAQSLTMHQSQPDDETLLLHPTQNSDKPKHTDIVVTSNTLENSPKLPICHKGVVTNNKSEAPRKMNEKDAMKTKLKLVKTGEQVQDESTVVRQDRSSSLMENEQKYAFLTKRRLLHEKLKQRRQEKFASQARQSPPLQLDQPVAPTSM
+>sp|Q8NA57|CL050_HUMAN Uncharacterized protein C12orf50 OS=Homo sapiens OX=9606 GN=C12orf50 PE=1 SV=1
+MEMQQNCSISCFWETQPLGCVKISCIFYHSKPRNINGLFLPPSSNITLQKEIQEGIPLQSQSQEPLKPQENISRPIHHPLVLKTNFEEEEEVDEQNDASSLWTKTPEEIEEKRAIKEMCYKSGEYYRFHTPPDILSSKSMTPTAEKQLEKPLENGSELQEGDSLTVPTKLSQYERQGEIKTSLHGKPKTDIAAFENGGGDCYVPQRVIFLGVDESEALTEEKEITISKCSNTKDNKDSPHPKHSLTTRLVPTTHVLNATENISMKCREDPSSMNDVQPVKKPHFKGVKKRKWIYDEPQNFPNSGMQRAVQAPRPQNKMSYHRNNKNRNAENASYIHVQRDAVRTVALNAPSRSRPTHGSYNKVHANREPKPNLSPDKYTSTSYNDSAWRKRIPFSKTYSKSEKIYPEPRRNGSK
+>DECOY_sp|Q8NA57|CL050_HUMAN Uncharacterized protein C12orf50 OS=Homo sapiens OX=9606 GN=C12orf50 PE=1 SV=1
+KSGNRRPEPYIKESKSYTKSFPIRKRWASDNYSTSTYKDPSLNPKPERNAHVKNYSGHTPRSRSPANLAVTRVADRQVHIYSANEANRNKNNRHYSMKNQPRPAQVARQMGSNPFNQPEDYIWKRKKVGKFHPKKVPQVDNMSSPDERCKMSINETANLVHTTPVLRTTLSHKPHPSDKNDKTNSCKSITIEKEETLAESEDVGLFIVRQPVYCDGGGNEFAAIDTKPKGHLSTKIEGQREYQSLKTPVTLSDGEQLESGNELPKELQKEATPTMSKSSLIDPPTHFRYYEGSKYCMEKIARKEEIEEPTKTWLSSADNQEDVEEEEEFNTKLVLPHHIPRSINEQPKLPEQSQSQLPIGEQIEKQLTINSSPPLFLGNINRPKSHYFICSIKVCGLPQTEWFCSISCNQQMEM
+>sp|Q8IXR9|CL056_HUMAN Uncharacterized protein C12orf56 OS=Homo sapiens OX=9606 GN=C12orf56 PE=2 SV=3
+MASPLPSGFPARRNSRLDVFLRRHLPPEVYDAVRAYEPCIVVSNSENHILKYVVLSDRLVYLTENPPKSIRRVVALRDVVAIDLIDDYPEFLSSPDREISQHIRIIYSSTVLKKECKKSNSVRKFLFPFHHTKANNKKVKEEKNGLAFWRSKESRSLKESPLRDQQESSTPSKDSTLCPRPGLKKLSLHGQGAFRPLPSPSRRSSQSAPTTGKAVSEPSCTTNTKEPQGLPDHNSISEIPFKCNGNGNEFYLGNSLLDSPSQSNSNLEKKESELHLYVISTTSSIFLHLKSSWNNYIIKATLLQDPFYASEFSPAIGSQKPYRSEEKIKHFSQLKSELFLKDNSLRRILSLLMELKVAAQKNFILKRLFWKTSDLFYFIVNKLHEYLPESRDKNALQNQSQRVDELVACIEIIQTLVLMFRETETESSRLNTLAAKKGALFNLLVILISEPQIPKSCPVFDIQLVADSALVRMSFDAELQKLILEYTNTATALLYEILLVFQQGNLGLGSTKFAISWIMSFLQSCPPIITFVASIVKQVVRGLSASFQLLSPCQAVLLYQQFYILKSCLRHSRTLAEYIRNNYREEFRYFIHMPALQKRLPLCYPITQPTIQLFHEVLKLVE
+>DECOY_sp|Q8IXR9|CL056_HUMAN Uncharacterized protein C12orf56 OS=Homo sapiens OX=9606 GN=C12orf56 PE=2 SV=3
+EVLKLVEHFLQITPQTIPYCLPLRKQLAPMHIFYRFEERYNNRIYEALTRSHRLCSKLIYFQQYLLVAQCPSLLQFSASLGRVVQKVISAVFTIIPPCSQLFSMIWSIAFKTSGLGLNGQQFVLLIEYLLATATNTYELILKQLEADFSMRVLASDAVLQIDFVPCSKPIQPESILIVLLNFLAGKKAALTNLRSSETETERFMLVLTQIIEICAVLEDVRQSQNQLANKDRSEPLYEHLKNVIFYFLDSTKWFLRKLIFNKQAAVKLEMLLSLIRRLSNDKLFLESKLQSFHKIKEESRYPKQSGIAPSFESAYFPDQLLTAKIIYNNWSSKLHLFISSTTSIVYLHLESEKKELNSNSQSPSDLLSNGLYFENGNGNCKFPIESISNHDPLGQPEKTNTTCSPESVAKGTTPASQSSRRSPSPLPRFAGQGHLSLKKLGPRPCLTSDKSPTSSEQQDRLPSEKLSRSEKSRWFALGNKEEKVKKNNAKTHHFPFLFKRVSNSKKCEKKLVTSSYIIRIHQSIERDPSSLFEPYDDILDIAVVDRLAVVRRISKPPNETLYVLRDSLVVYKLIHNESNSVVICPEYARVADYVEPPLHRRLFVDLRSNRRAPFGSPLPSAM
+>sp|G3V211|CL079_HUMAN Uncharacterized protein encoded by LINC01619 OS=Homo sapiens OX=9606 GN=LINC01619 PE=4 SV=1
+MNVCCSSHPVNEKVWKPSSRKWSSKVWSMDEFDLQTACYWFMTRCQKEAGKFGTHRGKPMCFVRSLLRVQLLPRTFPANSFVISFFPSLIYPLQVYQLHFESSDKQRAMQFVTEG
+>DECOY_sp|G3V211|CL079_HUMAN Uncharacterized protein encoded by LINC01619 OS=Homo sapiens OX=9606 GN=LINC01619 PE=4 SV=1
+GETVFQMARQKDSSEFHLQYVQLPYILSPFFSIVFSNAPFTRPLLQVRLLSRVFCMPKGRHTGFKGAEKQCRTMFWYCATQLDFEDMSWVKSSWKRSSPKWVKENVPHSSCCVNM
+>sp|Q6ZS10|CL17A_HUMAN C-type lectin domain family 17, member A OS=Homo sapiens OX=9606 GN=CLEC17A PE=1 SV=2
+MHNLYSITGYPDPPGTMEEEEEDDDYENSTPPYKDLPPKPGTMEEEEEDDDYENSTPPYKDLPPKPGTMEEEEEDDDYENSTPPYKDLPPKPGSSAPPRPPRAAKETEKPPLPCKPRNMTGLDLAAVTCPPPQLAVNLEPSPLQPSLAATPVPWLNQRSGGPGCCQKRWMVYLCLLVVTSLFLGCLGLTVTLIKYQELMEELRMLSFQQMTWRTNMTGMAGLAGLKHDIARVRADTNQSLVELWGLLDCRRITCPEGWLPFEGKCYYFSPSTKSWDEARMFCQENYSHLVIINSFAEHNFVAKAHGSPRVYWLGLNDRAQEGDWRWLDGSPVTLSFWEPEEPNNIHDEDCATMNKGGTWNDLSCYKTTYWICERKCSC
+>DECOY_sp|Q6ZS10|CL17A_HUMAN C-type lectin domain family 17, member A OS=Homo sapiens OX=9606 GN=CLEC17A PE=1 SV=2
+CSCKRECIWYTTKYCSLDNWTGGKNMTACDEDHINNPEEPEWFSLTVPSGDLWRWDGEQARDNLGLWYVRPSGHAKAVFNHEAFSNIIVLHSYNEQCFMRAEDWSKTSPSFYYCKGEFPLWGEPCTIRRCDLLGWLEVLSQNTDARVRAIDHKLGALGAMGTMNTRWTMQQFSLMRLEEMLEQYKILTVTLGLCGLFLSTVVLLCLYVMWRKQCCGPGGSRQNLWPVPTAALSPQLPSPELNVALQPPPCTVAALDLGTMNRPKCPLPPKETEKAARPPRPPASSGPKPPLDKYPPTSNEYDDDEEEEEMTGPKPPLDKYPPTSNEYDDDEEEEEMTGPKPPLDKYPPTSNEYDDDEEEEEMTGPPDPYGTISYLNHM
+>sp|Q8WXI8|CLC4D_HUMAN C-type lectin domain family 4 member D OS=Homo sapiens OX=9606 GN=CLEC4D PE=1 SV=2
+MGLEKPQSKLEGGMHPQLIPSVIAVVFILLLSVCFIASCLVTHHNFSRCKRGTGVHKLEHHAKLKCIKEKSELKSAEGSTWNCCPIDWRAFQSNCYFPLTDNKTWAESERNCSGMGAHLMTISTEAEQNFIIQFLDRRLSYFLGLRDENAKGQWRWVDQTPFNPRRVFWHKNEPDNSQGENCVVLVYNQDKWAWNDVPCNFEASRICKIPGTTLN
+>DECOY_sp|Q8WXI8|CLC4D_HUMAN C-type lectin domain family 4 member D OS=Homo sapiens OX=9606 GN=CLEC4D PE=1 SV=2
+NLTTGPIKCIRSAEFNCPVDNWAWKDQNYVLVVCNEGQSNDPENKHWFVRRPNFPTQDVWRWQGKANEDRLGLFYSLRRDLFQIIFNQEAETSITMLHAGMGSCNRESEAWTKNDTLPFYCNSQFARWDIPCCNWTSGEASKLESKEKICKLKAHHELKHVGTGRKCRSFNHHTVLCSAIFCVSLLLIFVVAIVSPILQPHMGGELKSQPKELGM
+>sp|Q6UXB4|CLC4G_HUMAN C-type lectin domain family 4 member G OS=Homo sapiens OX=9606 GN=CLEC4G PE=1 SV=1
+MDTTRYSKWGGSSEEVPGGPWGRWVHWSRRPLFLALAVLVTTVLWAVILSILLSKASTERAALLDGHDLLRTNASKQTAALGALKEEVGDCHSCCSGTQAQLQTTRAELGEAQAKLMEQESALRELRERVTQGLAEAGRGREDVRTELFRALEAVRLQNNSCEPCPTSWLSFEGSCYFFSVPKTTWAAAQDHCADASAHLVIVGGLDEQGFLTRNTRGRGYWLGLRAVRHLGKVQGYQWVDGVSLSFSHWNQGEPNDAWGRENCVMMLHTGLWNDAPCDSEKDGWICEKRHNC
+>DECOY_sp|Q6UXB4|CLC4G_HUMAN C-type lectin domain family 4 member G OS=Homo sapiens OX=9606 GN=CLEC4G PE=1 SV=1
+CNHRKECIWGDKESDCPADNWLGTHLMMVCNERGWADNPEGQNWHSFSLSVGDVWQYGQVKGLHRVARLGLWYGRGRTNRTLFGQEDLGGVIVLHASADACHDQAAAWTTKPVSFFYCSGEFSLWSTPCPECSNNQLRVAELARFLETRVDERGRGAEALGQTVRERLERLASEQEMLKAQAEGLEARTTQLQAQTGSCCSHCDGVEEKLAGLAATQKSANTRLLDHGDLLAARETSAKSLLISLIVAWLVTTVLVALALFLPRRSWHVWRGWPGGPVEESSGGWKSYRTTDM
+>sp|P51801|CLCKB_HUMAN Chloride channel protein ClC-Kb OS=Homo sapiens OX=9606 GN=CLCNKB PE=1 SV=3
+MEEFVGLREGSSGNPVTLQELWGPCPRIRRGIRGGLEWLKQKLFRLGEDWYFLMTLGVLMALVSCAMDLAVESVVRAHQWLYREIGDSHLLRYLSWTVYPVALVSFSSGFSQSITPSSGGSGIPEVKTMLAGVVLEDYLDIKNFGAKVVGLSCTLACGSTLFLGKVGPFVHLSVMMAAYLGRVRTTTIGEPENKSKQNEMLVAAAAVGVATVFAAPFSGVLFSIEVMSSHFSVWDYWRGFFAATCGAFMFRLLAVFNSEQETITSLYKTSFRVDVPFDLPEIFFFVALGGLCGILGSAYLFCQRIFFGFIRNNRFSSKLLATSKPVYSALATLVLASITYPPSAGRFLASRLSMKQHLDSLFDNHSWALMTQNSSPPWPEELDPQHLWWEWYHPRFTIFGTLAFFLVMKFWMLILATTIPMPAGYFMPIFVYGAAIGRLFGETLSFIFPEGIVAGGITNPIMPGGYALAGAAAFSGAVTHTISTALLAFEVTGQIVHALPVLMAVLAANAIAQSCQPSFYDGTVIVKKLPYLPRILGRNIGSHRVRVEHFMNHSITTLAKDMPLEEVVKVVTSTDVAKYPLVESTESQILVGIVRRAQLVQALKAEPPSWAPGHQQCLQDILAAGCPTEPVTLKLSPETSLHEAHNLFELLNLHSLFVTSRGRAVGCVSWVEMKKAISNLTNPPAPK
+>DECOY_sp|P51801|CLCKB_HUMAN Chloride channel protein ClC-Kb OS=Homo sapiens OX=9606 GN=CLCNKB PE=1 SV=3
+KPAPPNTLNSIAKKMEVWSVCGVARGRSTVFLSHLNLLEFLNHAEHLSTEPSLKLTVPETPCGAALIDQLCQQHGPAWSPPEAKLAQVLQARRVIGVLIQSETSEVLPYKAVDTSTVVKVVEELPMDKALTTISHNMFHEVRVRHSGINRGLIRPLYPLKKVIVTGDYFSPQCSQAIANAALVAMLVPLAHVIQGTVEFALLATSITHTVAGSFAAAGALAYGGPMIPNTIGGAVIGEPFIFSLTEGFLRGIAAGYVFIPMFYGAPMPITTALILMWFKMVLFFALTGFITFRPHYWEWWLHQPDLEEPWPPSSNQTMLAWSHNDFLSDLHQKMSLRSALFRGASPPYTISALVLTALASYVPKSTALLKSSFRNNRIFGFFIRQCFLYASGLIGCLGGLAVFFFIEPLDFPVDVRFSTKYLSTITEQESNFVALLRFMFAGCTAAFFGRWYDWVSFHSSMVEISFLVGSFPAAFVTAVGVAAAAVLMENQKSKNEPEGITTTRVRGLYAAMMVSLHVFPGVKGLFLTSGCALTCSLGVVKAGFNKIDLYDELVVGALMTKVEPIGSGGSSPTISQSFGSSFSVLAVPYVTWSLYRLLHSDGIERYLWQHARVVSEVALDMACSVLAMLVGLTMLFYWDEGLRFLKQKLWELGGRIGRRIRPCPGWLEQLTVPNGSSGERLGVFEEM
+>sp|P56880|CLD20_HUMAN Claudin-20 OS=Homo sapiens OX=9606 GN=CLDN20 PE=2 SV=1
+MASAGLQLLAFILALSGVSGVLTATLLPNWKVNVDVDSNIITAIVQLHGLWMDCTWYSTGMFSCALKHSILSLPIHVQAARATMVLACVLSALGICTSTVGMKCTRLGGDRETKSHASFAGGVCFMSAGISSLISTVWYTKEIIANFLDLTVPESNKHEPGGAIYIGFISAMLLFISGMIFCTSCIKRNPEARLDPPTQQPISNTQLENNSTHNLKDYV
+>DECOY_sp|P56880|CLD20_HUMAN Claudin-20 OS=Homo sapiens OX=9606 GN=CLDN20 PE=2 SV=1
+VYDKLNHTSNNELQTNSIPQQTPPDLRAEPNRKICSTCFIMGSIFLLMASIFGIYIAGGPEHKNSEPVTLDLFNAIIEKTYWVTSILSSIGASMFCVGGAFSAHSKTERDGGLRTCKMGVTSTCIGLASLVCALVMTARAAQVHIPLSLISHKLACSFMGTSYWTCDMWLGHLQVIATIINSDVDVNVKWNPLLTATLVGSVGSLALIFALLQLGASAM
+>sp|Q00610|CLH1_HUMAN Clathrin heavy chain 1 OS=Homo sapiens OX=9606 GN=CLTC PE=1 SV=5
+MAQILPIRFQEHLQLQNLGINPANIGFSTLTMESDKFICIREKVGEQAQVVIIDMNDPSNPIRRPISADSAIMNPASKVIALKAGKTLQIFNIEMKSKMKAHTMTDDVTFWKWISLNTVALVTDNAVYHWSMEGESQPVKMFDRHSSLAGCQIINYRTDAKQKWLLLTGISAQQNRVVGAMQLYSVDRKVSQPIEGHAASFAQFKMEGNAEESTLFCFAVRGQAGGKLHIIEVGTPPTGNQPFPKKAVDVFFPPEAQNDFPVAMQISEKHDVVFLITKYGYIHLYDLETGTCIYMNRISGETIFVTAPHEATAGIIGVNRKGQVLSVCVEEENIIPYITNVLQNPDLALRMAVRNNLAGAEELFARKFNALFAQGNYSEAAKVAANAPKGILRTPDTIRRFQSVPAQPGQTSPLLQYFGILLDQGQLNKYESLELCRPVLQQGRKQLLEKWLKEDKLECSEELGDLVKSVDPTLALSVYLRANVPNKVIQCFAETGQVQKIVLYAKKVGYTPDWIFLLRNVMRISPDQGQQFAQMLVQDEEPLADITQIVDVFMEYNLIQQCTAFLLDALKNNRPSEGPLQTRLLEMNLMHAPQVADAILGNQMFTHYDRAHIAQLCEKAGLLQRALEHFTDLYDIKRAVVHTHLLNPEWLVNYFGSLSVEDSLECLRAMLSANIRQNLQICVQVASKYHEQLSTQSLIELFESFKSFEGLFYFLGSIVNFSQDPDVHFKYIQAACKTGQIKEVERICRESNCYDPERVKNFLKEAKLTDQLPLIIVCDRFDFVHDLVLYLYRNNLQKYIEIYVQKVNPSRLPVVIGGLLDVDCSEDVIKNLILVVRGQFSTDELVAEVEKRNRLKLLLPWLEARIHEGCEEPATHNALAKIYIDSNNNPERFLRENPYYDSRVVGKYCEKRDPHLACVAYERGQCDLELINVCNENSLFKSLSRYLVRRKDPELWGSVLLESNPYRRPLIDQVVQTALSETQDPEEVSVTVKAFMTADLPNELIELLEKIVLDNSVFSEHRNLQNLLILTAIKADRTRVMEYINRLDNYDAPDIANIAISNELFEEAFAIFRKFDVNTSAVQVLIEHIGNLDRAYEFAERCNEPAVWSQLAKAQLQKGMVKEAIDSYIKADDPSSYMEVVQAANTSGNWEELVKYLQMARKKARESYVETELIFALAKTNRLAELEEFINGPNNAHIQQVGDRCYDEKMYDAAKLLYNNVSNFGRLASTLVHLGEYQAAVDGARKANSTRTWKEVCFACVDGKEFRLAQMCGLHIVVHADELEELINYYQDRGYFEELITMLEAALGLERAHMGMFTELAILYSKFKPQKMREHLELFWSRVNIPKVLRAAEQAHLWAELVFLYDKYEEYDNAIITMMNHPTDAWKEGQFKDIITKVANVELYYRAIQFYLEFKPLLLNDLLMVLSPRLDHTRAVNYFSKVKQLPLVKPYLRSVQNHNNKSVNESLNNLFITEEDYQALRTSIDAYDNFDNISLAQRLEKHELIEFRRIAAYLFKGNNRWKQSVELCKKDSLYKDAMQYASESKDTELAEELLQWFLQEEKRECFGACLFTCYDLLRPDVVLETAWRHNIMDFAMPYFIQVMKEYLTKVDKLDASESLRKEEEQATETQPIVYGQPQLMLTAGPSVAVPPQAPFGYGYTAPPYGQPQPGFGYSM
+>DECOY_sp|Q00610|CLH1_HUMAN Clathrin heavy chain 1 OS=Homo sapiens OX=9606 GN=CLTC PE=1 SV=5
+MSYGFGPQPQGYPPATYGYGFPAQPPVAVSPGATLMLQPQGYVIPQTETAQEEEKRLSESADLKDVKTLYEKMVQIFYPMAFDMINHRWATELVVDPRLLDYCTFLCAGFCERKEEQLFWQLLEEALETDKSESAYQMADKYLSDKKCLEVSQKWRNNGKFLYAAIRRFEILEHKELRQALSINDFNDYADISTRLAQYDEETIFLNNLSENVSKNNHNQVSRLYPKVLPLQKVKSFYNVARTHDLRPSLVMLLDNLLLPKFELYFQIARYYLEVNAVKTIIDKFQGEKWADTPHNMMTIIANDYEEYKDYLFVLEAWLHAQEAARLVKPINVRSWFLELHERMKQPKFKSYLIALETFMGMHARELGLAAELMTILEEFYGRDQYYNILEELEDAHVVIHLGCMQALRFEKGDVCAFCVEKWTRTSNAKRAGDVAAQYEGLHVLTSALRGFNSVNNYLLKAADYMKEDYCRDGVQQIHANNPGNIFEELEALRNTKALAFILETEVYSERAKKRAMQLYKVLEEWNGSTNAAQVVEMYSSPDDAKIYSDIAEKVMGKQLQAKALQSWVAPENCREAFEYARDLNGIHEILVQVASTNVDFKRFIAFAEEFLENSIAINAIDPADYNDLRNIYEMVRTRDAKIATLILLNQLNRHESFVSNDLVIKELLEILENPLDATMFAKVTVSVEEPDQTESLATQVVQDILPRRYPNSELLVSGWLEPDKRRVLYRSLSKFLSNENCVNILELDCQGREYAVCALHPDRKECYKGVVRSDYYPNERLFREPNNNSDIYIKALANHTAPEECGEHIRAELWPLLLKLRNRKEVEAVLEDTSFQGRVVLILNKIVDESCDVDLLGGIVVPLRSPNVKQVYIEIYKQLNNRYLYLVLDHVFDFRDCVIILPLQDTLKAEKLFNKVREPDYCNSERCIREVEKIQGTKCAAQIYKFHVDPDQSFNVISGLFYFLGEFSKFSEFLEILSQTSLQEHYKSAVQVCIQLNQRINASLMARLCELSDEVSLSGFYNVLWEPNLLHTHVVARKIDYLDTFHELARQLLGAKECLQAIHARDYHTFMQNGLIADAVQPAHMLNMELLRTQLPGESPRNNKLADLLFATCQQILNYEMFVDVIQTIDALPEEDQVLMQAFQQGQDPSIRMVNRLLFIWDPTYGVKKAYLVIKQVQGTEAFCQIVKNPVNARLYVSLALTPDVSKVLDGLEESCELKDEKLWKELLQKRGQQLVPRCLELSEYKNLQGQDLLIGFYQLLPSTQGPQAPVSQFRRITDPTRLIGKPANAAVKAAESYNGQAFLANFKRAFLEEAGALNNRVAMRLALDPNQLVNTIYPIINEEEVCVSLVQGKRNVGIIGATAEHPATVFITEGSIRNMYICTGTELDYLHIYGYKTILFVVDHKESIQMAVPFDNQAEPPFFVDVAKKPFPQNGTPPTGVEIIHLKGGAQGRVAFCFLTSEEANGEMKFQAFSAAHGEIPQSVKRDVSYLQMAGVVRNQQASIGTLLLWKQKADTRYNIIQCGALSSHRDFMKVPQSEGEMSWHYVANDTVLAVTNLSIWKWFTVDDTMTHAKMKSKMEINFIQLTKGAKLAIVKSAPNMIASDASIPRRIPNSPDNMDIIVVQAQEGVKERICIFKDSEMTLTSFGINAPNIGLNQLQLHEQFRIPLIQAM
+>sp|O95833|CLIC3_HUMAN Chloride intracellular channel protein 3 OS=Homo sapiens OX=9606 GN=CLIC3 PE=1 SV=2
+MAETKLQLFVKASEDGESVGHCPSCQRLFMVLLLKGVPFTLTTVDTRRSPDVLKDFAPGSQLPILLYDSDAKTDTLQIEDFLEETLGPPDFPSLAPRYRESNTAGNDVFHKFSAFIKNPVPAQDEALYQQLLRALARLDSYLRAPLEHELAGEPQLRESRRRFLDGDRLTLADCSLLPKLHIVDTVCAHFRQAPIPAELRGVRRYLDSAMQEKEFKYTCPHSAEILAAYRPAVHPR
+>DECOY_sp|O95833|CLIC3_HUMAN Chloride intracellular channel protein 3 OS=Homo sapiens OX=9606 GN=CLIC3 PE=1 SV=2
+RPHVAPRYAALIEASHPCTYKFEKEQMASDLYRRVGRLEAPIPAQRFHACVTDVIHLKPLLSCDALTLRDGDLFRRRSERLQPEGALEHELPARLYSDLRALARLLQQYLAEDQAPVPNKIFASFKHFVDNGATNSERYRPALSPFDPPGLTEELFDEIQLTDTKADSDYLLIPLQSGPAFDKLVDPSRRTDVTTLTFPVGKLLLVMFLRQCSPCHGVSEGDESAKVFLQLKTEAM
+>sp|Q7Z7G1|CLNK_HUMAN Cytokine-dependent hematopoietic cell linker OS=Homo sapiens OX=9606 GN=CLNK PE=1 SV=2
+MNRQGNRKTTKEGSNDLKFQNFSLPKNRSWPRINSATGQYQRMNKPLLDWERNFAAVLDGAKGHSDDDYDDPELRMEETWQSIKILPARPIKESEYADTHYFKVAMDTPLPLDTRTSISIGQPTWNTQTRLERVDKPISKDVRSQNIKGDASVRKNKIPLPPPRPLITLPKKYQPLPPEPESSRPPLSQRHTFPEVQRMPSQISLRDLSEVLEAEKVPHNQRKPESTHLLENQNTQEIPLAISSSSFTTSNHSVQNRDHRGGMQPCSPQRCQPPASCSPHENILPYKYTSWRPPFPKRSDRKDVQHNEWYIGEYSRQAVEEAFMKENKDGSFLVRDCSTKSKEEPYVLAVFYENKVYNVKIRFLERNQQFALGTGLRGDEKFDSVEDIIEHYKNFPIILIDGKDKTGVHRKQCHLTQPLPLTRHLLPL
+>DECOY_sp|Q7Z7G1|CLNK_HUMAN Cytokine-dependent hematopoietic cell linker OS=Homo sapiens OX=9606 GN=CLNK PE=1 SV=2
+LPLLHRTLPLPQTLHCQKRHVGTKDKGDILIIPFNKYHEIIDEVSDFKEDGRLGTGLAFQQNRELFRIKVNYVKNEYFVALVYPEEKSKTSCDRVLFSGDKNEKMFAEEVAQRSYEGIYWENHQVDKRDSRKPFPPRWSTYKYPLINEHPSCSAPPQCRQPSCPQMGGRHDRNQVSHNSTTFSSSSIALPIEQTNQNELLHTSEPKRQNHPVKEAELVESLDRLSIQSPMRQVEPFTHRQSLPPRSSEPEPPLPQYKKPLTILPRPPPLPIKNKRVSADGKINQSRVDKSIPKDVRELRTQTNWTPQGISISTRTDLPLPTDMAVKFYHTDAYESEKIPRAPLIKISQWTEEMRLEPDDYDDDSHGKAGDLVAAFNREWDLLPKNMRQYQGTASNIRPWSRNKPLSFNQFKLDNSGEKTTKRNGQRNM
+>sp|Q9HAW4|CLSPN_HUMAN Claspin OS=Homo sapiens OX=9606 GN=CLSPN PE=1 SV=3
+MTGEVGSEVHLEINDPNVISQEEADSPSDSGQGSYETIGPLSEGDSDEEIFVSKKLKNRKVLQDSDSETEDTNASPEKTTYDSAEEENKENLYAGKNTKIKRIYKTVADSDESYMEKSLYQENLEAQVKPCLELSLQSGNSTDFTTDRKSSKKHIHDKEGTAGKAKVKSKRRLEKEERKMEKIRQLKKKETKNQEDDVEQPFNDSGCLLVDKDLFETGLEDENNSPLEDEESLESIRAAVKNKVKKHKKKEPSLESGVHSFEEGSELSKGTTRKERKAARLSKEALKQLHSETQRLIRESALNLPYHMPENKTIHDFFKRKPRPTCHGNAMALLKSSKYQSSHHKEIIDTANTTEMNSDHHSKGSEQTTGAENEVETNALPVVSKETQIITGSDESCRKDLVKNEELEIQEKQKQSDIRPSPGDSSVLQQESNFLGNNHSEECQVGGLVAFEPHALEGEGPQNPEETDEKVEEPEQQNKSSAVGPPEKVRRFTLDRLKQLGVDVSIKPRLGADEDSFVILEPETNRELEALKQRFWKHANPAAKPRAGQTVNVNVIVKDMGTDGKEELKADVVPVTLAPKKLDGASHTKPGEKLQVLKAKLQEAMKLRRFEERQKRQALFKLDNEDGFEEEEEEEEEMTDESEEDGEEKVEKEEKEEELEEEEEKEEEEEEEGNQETAEFLLSSEEIETKDEKEMDKENNDGSSEIGKAVGFLSVPKSLSSDSTLLLFKDSSSKMGYFPTEEKSETDENSGKQPSKLDEDDSCSLLTKESSHNSSFELIGSTIPSYQPCNRQTGRGTSFFPTAGGFRSPSPGLFRASLVSSASKSSGKLSEPSLPIEDSQDLYNASPEPKTLFLGAGDFQFCLEDDTQSQLLDADGFLNVRNHRNQYQALKPRLPLASMDENAMDANMDELLDLCTGKFTSQAEKHLPRKSDKKENMEELLNLCSGKFTSQDASTPASSELNKQEKESSMGDPMEEALALCSGSFPTDKEEEDEEEEFGDFRLVSNDNEFDSDEDEHSDSGNDLALEDHEDDDEEELLKRSEKLKRQMRLRKYLEDEAEVSGSDVGSEDEYDGEEIDEYEEDVIDEVLPSDEELQSQIKKIHMKTMLDDDKRQLRLYQERYLADGDLHSDGPGRMRKFRWKNIDDASQMDLFHRDSDDDQTEEQLDESEARWRKERIEREQWLRDMAQQGKITAEEEEEIGEDSQFMILAKKVTAKALQKNASRPMVIQESKSLLRNPFEAIRPGSAQQVKTGSLLNQPKAVLQKLAALSDHNPSAPRNSRNFVFHTLSPVKAEAAKESSKSQVKKRGPSFMTSPSPKHLKTDDSTSGLTRSIFKYLES
+>DECOY_sp|Q9HAW4|CLSPN_HUMAN Claspin OS=Homo sapiens OX=9606 GN=CLSPN PE=1 SV=3
+SELYKFISRTLGSTSDDTKLHKPSPSTMFSPGRKKVQSKSSEKAAEAKVPSLTHFVFNRSNRPASPNHDSLAALKQLVAKPQNLLSGTKVQQASGPRIAEFPNRLLSKSEQIVMPRSANKQLAKATVKKALIMFQSDEGIEEEEEATIKGQQAMDRLWQEREIREKRWRAESEDLQEETQDDDSDRHFLDMQSADDINKWRFKRMRGPGDSHLDGDALYREQYLRLQRKDDDLMTKMHIKKIQSQLEEDSPLVEDIVDEEYEDIEEGDYEDESGVDSGSVEAEDELYKRLRMQRKLKESRKLLEEEDDDEHDELALDNGSDSHEDEDSDFENDNSVLRFDGFEEEEDEEEKDTPFSGSCLALAEEMPDGMSSEKEQKNLESSAPTSADQSTFKGSCLNLLEEMNEKKDSKRPLHKEAQSTFKGTCLDLLEDMNADMANEDMSALPLRPKLAQYQNRHNRVNLFGDADLLQSQTDDELCFQFDGAGLFLTKPEPSANYLDQSDEIPLSPESLKGSSKSASSVLSARFLGPSPSRFGGATPFFSTGRGTQRNCPQYSPITSGILEFSSNHSSEKTLLSCSDDEDLKSPQKGSNEDTESKEETPFYGMKSSSDKFLLLTSDSSLSKPVSLFGVAKGIESSGDNNEKDMEKEDKTEIEESSLLFEATEQNGEEEEEEEKEEEEELEEEKEEKEVKEEGDEESEDTMEEEEEEEEEFGDENDLKFLAQRKQREEFRRLKMAEQLKAKLVQLKEGPKTHSAGDLKKPALTVPVVDAKLEEKGDTGMDKVIVNVNVTQGARPKAAPNAHKWFRQKLAELERNTEPELIVFSDEDAGLRPKISVDVGLQKLRDLTFRRVKEPPGVASSKNQQEPEEVKEDTEEPNQPGEGELAHPEFAVLGGVQCEESHNNGLFNSEQQLVSSDGPSPRIDSQKQKEQIELEENKVLDKRCSEDSGTIIQTEKSVVPLANTEVENEAGTTQESGKSHHDSNMETTNATDIIEKHHSSQYKSSKLLAMANGHCTPRPKRKFFDHITKNEPMHYPLNLASERILRQTESHLQKLAEKSLRAAKREKRTTGKSLESGEEFSHVGSELSPEKKKHKKVKNKVAARISELSEEDELPSNNEDELGTEFLDKDVLLCGSDNFPQEVDDEQNKTEKKKLQRIKEMKREEKELRRKSKVKAKGATGEKDHIHKKSSKRDTTFDTSNGSQLSLELCPKVQAELNEQYLSKEMYSEDSDAVTKYIRKIKTNKGAYLNEKNEEEASDYTTKEPSANTDETESDSDQLVKRNKLKKSVFIEEDSDGESLPGITEYSGQGSDSPSDAEEQSIVNPDNIELHVESGVEGTM
+>sp|Q15846|CLUL1_HUMAN Clusterin-like protein 1 OS=Homo sapiens OX=9606 GN=CLUL1 PE=2 SV=1
+MKPPLLVFIVCLLWLKDSHCAPTWKDKTAISENLKSFSEVGEIDADEEVKKALTGIKQMKIMMERKEKEHTNLMSTLKKCREEKQEALKLLNEVQEHLEEEERLCRESLADSWGECRSCLENNCMRIYTTCQPSWSSVKNKIERFFRKIYQFLFPFHEDNEKDLPISEKLIEEDAQLTQMEDVFSQLTVDVNSLFNRSFNVFRQMQQEFDQTFQSHFISDTDLTEPYFFPAFSKEPMTKADLEQCWDIPNFFQLFCNFSVSIYESVSETITKMLKAIEDLPKQDKAPDHGGLISKMLPGQDRGLCGELDQNLSRCFKFHEKCQKCQAHLSEDCPDVPALHTELDEAIRLVNVSNQQYGQILQMTRKHLEDTAYLVEKMRGQFGWVSELANQAPETEIIFNSIQVVPRIHEGNISKQDETMMTDLSILPSSNFTLKIPLEESAESSNFIGYVVAKALQHFKEHFKTW
+>DECOY_sp|Q15846|CLUL1_HUMAN Clusterin-like protein 1 OS=Homo sapiens OX=9606 GN=CLUL1 PE=2 SV=1
+WTKFHEKFHQLAKAVVYGIFNSSEASEELPIKLTFNSSPLISLDTMMTEDQKSINGEHIRPVVQISNFIIETEPAQNALESVWGFQGRMKEVLYATDELHKRTMQLIQGYQQNSVNVLRIAEDLETHLAPVDPCDESLHAQCKQCKEHFKFCRSLNQDLEGCLGRDQGPLMKSILGGHDPAKDQKPLDEIAKLMKTITESVSEYISVSFNCFLQFFNPIDWCQELDAKTMPEKSFAPFFYPETLDTDSIFHSQFTQDFEQQMQRFVNFSRNFLSNVDVTLQSFVDEMQTLQADEEILKESIPLDKENDEHFPFLFQYIKRFFREIKNKVSSWSPQCTTYIRMCNNELCSRCEGWSDALSERCLREEEELHEQVENLLKLAEQKEERCKKLTSMLNTHEKEKREMMIKMQKIGTLAKKVEEDADIEGVESFSKLNESIATKDKWTPACHSDKLWLLCVIFVLLPPKM
+>sp|Q9Y471|CMAH_HUMAN Inactive cytidine monophosphate-N-acetylneuraminic acid hydroxylase OS=Homo sapiens OX=9606 GN=CMAHP PE=1 SV=4
+MDENNGLLLLELNPPNPWDLQPRSPEELAFGEVQITYLTHACMDLKLGDKRMVFDPWLIGPAFARGWWLLHEPPSDWLERLCQADLIYISHLHSDHLSYPTLKKLAGRRPDIPIYVGNTERPVFWNLNQSGVQLTNINVVPFGIWQQVDKNLRFMILMDGVHPEMDTCIIVEYKGHKILNIVDCTRPNGGRLPMKVALMMSDFAGGASGFPMTFSGGKFTEEWKAQFIKTERKKLLNYKARLVKNLQPRIYCPFAGYFVESHPSDKYIKETNTKNDPNELNNLIKKNSDVITWTPRPGATLDLGRMLKDRTDSKGIIEPPEGTKIYKDSWDFEPYLEILNAALGDEIFLHSSWIKEYFTWAGFKDYNLVVRMIETDEDFNPFPGGYDYLVDFLDLSFPKERPQREHPYEEIHSRVDVIRHVVKNGLLWDELYIGFQTRLQRDPDIYHHLFWNHFQIKLPLTPPNWKSFLMCCEQNGPVILQFSTERTNEPNRNKFSVENKA
+>DECOY_sp|Q9Y471|CMAH_HUMAN Inactive cytidine monophosphate-N-acetylneuraminic acid hydroxylase OS=Homo sapiens OX=9606 GN=CMAHP PE=1 SV=4
+AKNEVSFKNRNPENTRETSFQLIVPGNQECCMLFSKWNPPTLPLKIQFHNWFLHHYIDPDRQLRTQFGIYLEDWLLGNKVVHRIVDVRSHIEEYPHERQPREKPFSLDLFDVLYDYGGPFPNFDEDTEIMRVVLNYDKFGAWTFYEKIWSSHLFIEDGLAANLIELYPEFDWSDKYIKTGEPPEIIGKSDTRDKLMRGLDLTAGPRPTWTIVDSNKKILNNLENPDNKTNTEKIYKDSPHSEVFYGAFPCYIRPQLNKVLRAKYNLLKKRETKIFQAKWEETFKGGSFTMPFGSAGGAFDSMMLAVKMPLRGGNPRTCDVINLIKHGKYEVIICTDMEPHVGDMLIMFRLNKDVQQWIGFPVVNINTLQVGSQNLNWFVPRETNGVYIPIDPRRGALKKLTPYSLHDSHLHSIYILDAQCLRELWDSPPEHLLWWGRAFAPGILWPDFVMRKDGLKLDMCAHTLYTIQVEGFALEEPSRPQLDWPNPPNLELLLLGNNEDM
+>sp|Q9BQ75|CMS1_HUMAN Protein CMSS1 OS=Homo sapiens OX=9606 GN=CMSS1 PE=1 SV=2
+MADDLGDEWWENQPTGAGSSPEASDGEGEGDTEVMQQETVPVPVPSEKTKQPKECFLIQPKERKENTTKTRKRRKKKITDVLAKSEPKPGLPEDLQKLMKDYYSSRRLVIELEELNLPDSCFLKANDLTHSLSSYLKEICPKWVKLRKNHSEKKSVLMLIICSSAVRALELIRSMTAFRGDGKVIKLFAKHIKVQAQVKLLEKRVVHLGVGTPGRIKELVKQGGLNLSPLKFLVFDWNWRDQKLRRMMDIPEIRKEVFELLEMGVLSLCKSESLKLGLF
+>DECOY_sp|Q9BQ75|CMS1_HUMAN Protein CMSS1 OS=Homo sapiens OX=9606 GN=CMSS1 PE=1 SV=2
+FLGLKLSESKCLSLVGMELLEFVEKRIEPIDMMRRLKQDRWNWDFVLFKLPSLNLGGQKVLEKIRGPTGVGLHVVRKELLKVQAQVKIHKAFLKIVKGDGRFATMSRILELARVASSCIILMLVSKKESHNKRLKVWKPCIEKLYSSLSHTLDNAKLFCSDPLNLEELEIVLRRSSYYDKMLKQLDEPLGPKPESKALVDTIKKKRRKRTKTTNEKREKPQILFCEKPQKTKESPVPVPVTEQQMVETDGEGEGDSAEPSSGAGTPQNEWWEDGLDDAM
+>sp|Q8N0U6|CF218_HUMAN Putative uncharacterized protein encoded by LINC00518 OS=Homo sapiens OX=9606 GN=LINC00518 PE=5 SV=1
+MDSSDETGSSFMSFWPHLQSDDLARNGITGFPYFSFIILNESDQILELDGTLVKIWLLVPSHQPATHFKFEETKTHGPYVITGDYPRSLWQKSASSLPASPPCLWYFLPTLGCFCDHT
+>DECOY_sp|Q8N0U6|CF218_HUMAN Putative uncharacterized protein encoded by LINC00518 OS=Homo sapiens OX=9606 GN=LINC00518 PE=5 SV=1
+THDCFCGLTPLFYWLCPPSAPLSSASKQWLSRPYDGTIVYPGHTKTEEFKFHTAPQHSPVLLWIKVLTGDLELIQDSENLIIFSFYPFGTIGNRALDDSQLHPWFSMFSSGTEDSSDM
+>sp|Q8N319|CF223_HUMAN Uncharacterized protein C6orf223 OS=Homo sapiens OX=9606 GN=C6orf223 PE=2 SV=2
+MMPLAEAGALAQGGGPSATEWACILRRKTPRHKQPTLLMVRASRRSGKTSAVLKAGRQSVSGRKNSTSKDLVTLGASSLREERGHPLHPRHRKAVHLRTRGRTRGWVQTLARMSRRTRGPVERAAAAAAAAAGGDAGHAPFPPPPAADGARAPRSPGQVTPRGLRLRLPRRESLLRGLCRPLRPLLGFRESDSAKPASLRLLQHTPSARRNYRIAGARLMRSNYPPPLSSAALRGAGPTRRN
+>DECOY_sp|Q8N319|CF223_HUMAN Uncharacterized protein C6orf223 OS=Homo sapiens OX=9606 GN=C6orf223 PE=2 SV=2
+NRRTPGAGRLAASSLPPPYNSRMLRAGAIRYNRRASPTHQLLRLSAPKASDSERFGLLPRLPRCLGRLLSERRPLRLRLGRPTVQGPSRPARAGDAAPPPPFPAHGADGGAAAAAAAAAREVPGRTRRSMRALTQVWGRTRGRTRLHVAKRHRPHLPHGREERLSSAGLTVLDKSTSNKRGSVSQRGAKLVASTKGSRRSARVMLLTPQKHRPTKRRLICAWETASPGGGQALAGAEALPMM
+>sp|Q8TBY9|CF251_HUMAN Cilia- and flagella-associated protein 251 OS=Homo sapiens OX=9606 GN=WDR66 PE=2 SV=2
+MSDAAEAPREATGENGETEMKEEEEPNPNYKEVEDPQQESKDDTIAWRESQEEERKTGEEEGEEEGKEDKKIVMEETEEKAGEVQEKEASGIQEETTVEPQEVTASMIRLETQITDSQSITSGIFPKTQRGSKSKLSLQLEDAETDELLRDLSTQIEFLDLDQISPEEQQISSPERQPSGELEEKTDRMPQDELGQERRDLEPENREEGQERRVSDIQSKAGISRESLVSSTTEDILFQKDKSTPVYPLTMTWSFGWNSSLPVYYIREERQRVLLYVCAHTAIIYNVFRNNQYHLQGHANIISCLCVSEDRRWIATADKGPDCLVIIWDSFTGIPVHTIFDSCPEGNGIMAMAMTHDAKYLATISDAEVQKVCIWKWTLAVETPACTLELPTEYGVQNYVTFNPTNNKELVSNSKTRAIYYAWYEERDTLAHSAPLLTEKTFNKLVGKFSQSIFHLNLTQILSATMEGKLVVWDIHRPPSSASTFLGFPYIKPCKLVHLQKEGITVLTTIDSYIVTGDIKGNIKFYDHTLSIVNWYSHLKLGAIRTLSFSKTPATPPTEKSNYPPDCTLKGDLFVLRNFIIGTSDAAVYHLTTDGTKLEKLFVEPKDAICAISCHPYQPLIAIGSICGMIKVWNYENKQYLFSRVFEKGLGVQSLTYNPEGALLGAGFTEGTVYILDAMSLENESPEPFKYSRTSVTHISFSHDSQYMATADRSFTVAVYMLVVRNGQRVWEYLARLRSHRKSIRSLLFGVYLDSNEPRLLSLGTDRLLIEYDLLRSYKDHLEVLDIHHTDQGCYPTCMVWYPPLTRELFLLICNSGYKVKLFNATTKMCRKTLLGPAYGSPIEQTQVLPVRSMAELQKRYLVFINRDKVGLQILPVDGNPHKTSAIVCHPNGVAGMAVSYDGCYAFTAGGHDRSVVQWKITLSVLEAAVSLGGEDLTPFYGLLSGGREGKFYRELEDYFYYSQLRSQGIDTMETRKVSEHICLSELPFVMRAIGFYPSEEKIDDIFNEIKFGEYVDTGKLIDKINLPDFLKVYLNHKPPFGNTMSGIHKSFEVLGYTNSKGKKAIRREDFLRLLVTKGEHMTEEEMLDCFASLFGLNPEGWKSEPATCSVKGSEICLEEELPDEITAEIFATEILGLTISEDSGQDGQ
+>DECOY_sp|Q8TBY9|CF251_HUMAN Cilia- and flagella-associated protein 251 OS=Homo sapiens OX=9606 GN=WDR66 PE=2 SV=2
+QGDQGSDESITLGLIETAFIEATIEDPLEEELCIESGKVSCTAPESKWGEPNLGFLSAFCDLMEEETMHEGKTVLLRLFDERRIAKKGKSNTYGLVEFSKHIGSMTNGFPPKHNLYVKLFDPLNIKDILKGTDVYEGFKIENFIDDIKEESPYFGIARMVFPLESLCIHESVKRTEMTDIGQSRLQSYYFYDELERYFKGERGGSLLGYFPTLDEGGLSVAAELVSLTIKWQVVSRDHGGATFAYCGDYSVAMGAVGNPHCVIASTKHPNGDVPLIQLGVKDRNIFVLYRKQLEAMSRVPLVQTQEIPSGYAPGLLTKRCMKTTANFLKVKYGSNCILLFLERTLPPYWVMCTPYCGQDTHHIDLVELHDKYSRLLDYEILLRDTGLSLLRPENSDLYVGFLLSRISKRHSRLRALYEWVRQGNRVVLMYVAVTFSRDATAMYQSDHSFSIHTVSTRSYKFPEPSENELSMADLIYVTGETFGAGLLAGEPNYTLSQVGLGKEFVRSFLYQKNEYNWVKIMGCISGIAILPQYPHCSIACIADKPEVFLKELKTGDTTLHYVAADSTGIIFNRLVFLDGKLTCDPPYNSKETPPTAPTKSFSLTRIAGLKLHSYWNVISLTHDYFKINGKIDGTVIYSDITTLVTIGEKQLHVLKCPKIYPFGLFTSASSPPRHIDWVVLKGEMTASLIQTLNLHFISQSFKGVLKNFTKETLLPASHALTDREEYWAYYIARTKSNSVLEKNNTPNFTVYNQVGYETPLELTCAPTEVALTWKWICVKQVEADSITALYKADHTMAMAMIGNGEPCSDFITHVPIGTFSDWIIVLCDPGKDATAIWRRDESVCLCSIINAHGQLHYQNNRFVNYIIATHACVYLLVRQREERIYYVPLSSNWGFSWTMTLPYVPTSKDKQFLIDETTSSVLSERSIGAKSQIDSVRREQGEERNEPELDRREQGLEDQPMRDTKEELEGSPQREPSSIQQEEPSIQDLDLFEIQTSLDRLLEDTEADELQLSLKSKSGRQTKPFIGSTISQSDTIQTELRIMSATVEQPEVTTEEQIGSAEKEQVEGAKEETEEMVIKKDEKGEEEGEEEGTKREEEQSERWAITDDKSEQQPDEVEKYNPNPEEEEKMETEGNEGTAERPAEAADSM
+>sp|Q9Y6A4|CFA20_HUMAN Cilia- and flagella-associated protein 20 OS=Homo sapiens OX=9606 GN=CFAP20 PE=1 SV=1
+MFKNTFQSGFLSILYSIGSKPLQIWDKKVRNGHIKRITDNDIQSLVLEIEGTNVSTTYITCPADPKKTLGIKLPFLVMIIKNLKKYFTFEVQVLDDKNVRRRFRASNYQSTTRVKPFICTMPMRLDDGWNQIQFNLLDFTRRAYGTNYIETLRVQIHANCRIRRVYFSDRLYSEDELPAEFKLYLPVQNKAKQ
+>DECOY_sp|Q9Y6A4|CFA20_HUMAN Cilia- and flagella-associated protein 20 OS=Homo sapiens OX=9606 GN=CFAP20 PE=1 SV=1
+QKAKNQVPLYLKFEAPLEDESYLRDSFYVRRIRCNAHIQVRLTEIYNTGYARRTFDLLNFQIQNWGDDLRMPMTCIFPKVRTTSQYNSARFRRRVNKDDLVQVEFTFYKKLNKIIMVLFPLKIGLTKKPDAPCTIYTTSVNTGEIELVLSQIDNDTIRKIHGNRVKKDWIQLPKSGISYLISLFGSQFTNKFM
+>sp|Q96MT7|CFA44_HUMAN Cilia- and flagella-associated protein 44 OS=Homo sapiens OX=9606 GN=CFAP44 PE=1 SV=1
+MKEPDDQDTDGEKSVTSKSDGKKSLRSSKSESRSPVQEDNTFLEDDTDETFTKGEGSYLEEDSDEERLEGSLSSFQYGDLQSTTVPQQTPAPAVEEAEEEVKKKISESFFYDYMELASMPFVTLDSNIPLDLLTLVHSFGYDCRKRANLQLLDDSIAIYIAGNQLIFLNLKTKEQIYLRSSSGEGIGVIGVHPHKTYFTVAEKGSFPDIIIYEYPSLRPYRVLRDGTEKGYAYVDFNYSGNLLASVGSNPDYTLTIWNWKEEQPILRTKAFSQEVFKVTFNPDKEEQLTTSGSGHIKFWEMAFTFTGLKLQGSLGRFGKTITTDIEGYMELPDGKVLSGSEWGNMLLWEGGLIKVELCRGTSKSCHNGPINQIMLYEGEVITVGSDGYVRIWDFETIDTADVIDETGLLEIEPINELQVDKNVNLFSMIKMNETGNNFWLAQDANGAIWKLDLSFSNITQDPECLFSFHSGAIEAVAVSPLTYLMATTALDCSVRIYDFASKTPLAQMKFKQGGTALVWVPRMVNFTGAQIIVGFEDGVVRILELYDPKGLTIFAGRKKILDADIQLKQVFKPHTACVTALAYERDGEILATGSKDQTVFFFEVERDYKPIGYINTPGPVCQLMWSPMSHPESTLLIICENGYILEAPLPTIKQEEDDHDVVSYEIKDMCIKCFHFSSVKSKILRLIEIEKRERQRELKEKIREERRNKLAAEMGEDGEKEFQEEEEEKEEEEEEEEPLPEIFIPSTPSPILCGFYSEPGKFWVSLGGYDSGFLYHCEFPPCDESSDFKEQKDEPIDVRYLADTEDNPIQTITFNINKVMMFCGMKNGAIRVYVLNQNDPSLTSLVDYWHFNMHDNNYGCIKSIANSFDDRFLVTAGADGNIFVFNIFSEFMLRKDMKAKVPSPRFGIETEPIPEDIEDPKAYSIENARRKREHDKLMKEVGEIKARKREQIKALRSEFCNLLEMNEKLPKHMQFKRTVSLI
+>DECOY_sp|Q96MT7|CFA44_HUMAN Cilia- and flagella-associated protein 44 OS=Homo sapiens OX=9606 GN=CFAP44 PE=1 SV=1
+ILSVTRKFQMHKPLKENMELLNCFESRLAKIQERKRAKIEGVEKMLKDHERKRRANEISYAKPDEIDEPIPETEIGFRPSPVKAKMDKRLMFESFINFVFINGDAGATVLFRDDFSNAISKICGYNNDHMNFHWYDVLSTLSPDNQNLVYVRIAGNKMGCFMMVKNINFTITQIPNDETDALYRVDIPEDKQEKFDSSEDCPPFECHYLFGSDYGGLSVWFKGPESYFGCLIPSPTSPIFIEPLPEEEEEEEEKEEEEEQFEKEGDEGMEAALKNRREERIKEKLERQRERKEIEILRLIKSKVSSFHFCKICMDKIEYSVVDHDDEEQKITPLPAELIYGNECIILLTSEPHSMPSWMLQCVPGPTNIYGIPKYDREVEFFFVTQDKSGTALIEGDREYALATVCATHPKFVQKLQIDADLIKKRGAFITLGKPDYLELIRVVGDEFGVIIQAGTFNVMRPVWVLATGGQKFKMQALPTKSAFDYIRVSCDLATTAMLYTLPSVAVAEIAGSHFSFLCEPDQTINSFSLDLKWIAGNADQALWFNNGTENMKIMSFLNVNKDVQLENIPEIELLGTEDIVDATDITEFDWIRVYGDSGVTIVEGEYLMIQNIPGNHCSKSTGRCLEVKILGGEWLLMNGWESGSLVKGDPLEMYGEIDTTITKGFRGLSGQLKLGTFTFAMEWFKIHGSGSTTLQEEKDPNFTVKFVEQSFAKTRLIPQEEKWNWITLTYDPNSGVSALLNGSYNFDVYAYGKETGDRLVRYPRLSPYEYIIIDPFSGKEAVTFYTKHPHVGIVGIGEGSSSRLYIQEKTKLNLFILQNGAIYIAISDDLLQLNARKRCDYGFSHVLTLLDLPINSDLTVFPMSALEMYDYFFSESIKKKVEEEAEEVAPAPTQQPVTTSQLDGYQFSSLSGELREEDSDEELYSGEGKTFTEDTDDELFTNDEQVPSRSESKSSRLSKKGDSKSTVSKEGDTDQDDPEKM
+>sp|Q8IYW2|CFA46_HUMAN Cilia- and flagella-associated protein 46 OS=Homo sapiens OX=9606 GN=CFAP46 PE=2 SV=3
+MDLVITQELARAESQQDAASLKKAYELIKSANLGKSEFDPSESFSPDLFVLCAEQALKMRQPEVSEDCIQMYFKVKAPITQFLGRAHLCRAQMCAPKSAENLEEFENCVTEYMKAINFAKGEPRYYFLVYNASVLYWQMVRPFLKPGYRHHLIPSLSQIINVLSQTEEEDKEWRAELMLELLECYLQAGRKEEAARFCSTAAPFIKSHVPQKYRQIFSVMVRHELMDELQLKEEKKNSISLSVTFYINMLKAKAEQNDLPGDISVILRKAYRHLGHYNHQRFPSISEEKMLLLFELARFSLTLKCMEISSACLSDLKKMESKDPGKLIEMECLECESEALRLESKMKVYNRAAVEAQLDIIQRLDVALQRAVRLGDPRVIHVVCATQWNTCLPLLQHNLRHHLRKPLAGVADVLEKLDSLMTLLRCQVHMEMAQIEEDEDRLEPATEHLRKAARLDSLGLYRDRIQMASTRLRLCTTLYQAPERAEDKAIMAVEQAKKATPKDSVRKKRALLVNAGLALAPDAFQIVLDSENEAKVSTGKNRGRFTYLCAKAWHHTVSVDKAAGHLRRLGNENDKERIQIWAELAKVARKQGVWDVCRTASRFCLLYDNVKVKKLRLRRGKKKRGRDGSVQDTWSQPEVVLQRQVCPDLLRKFAEVGFIHAEATVHLLRSEGVELNDRAIPPEDLSQHPAGYVPEPPEVNAEWITYRTWIESLSRCAMNNWLRSAEIGQEIQEAWIVQNAVVYVLNHNHHLILAGRQKELVDALYHLLSIVKATGHSGDPVMLVTLCNTLARGLIISWIPVQAAEKSRKFMRPNAFHSPLDAGATSEIKTAVEVCEFALNLTNGSAPEETVPTGTRQQLIATWVKAKQLLQQQIGPRLGTEEQGTNEDVSSVTRVLVALEMYSCNGLGLMDFTVPSLAQLVKMASECNWSDPLVELQTLTRLTHFAHAARDHETTMACAHRALEMGIKYLKKFGPEESRLVAEMLCTATAIQGRSIMENLKGRKQLRLVAAKAFTESARFGGIAGSSALVMLAARHYWNAWLPLLSSAVYRKKAKGALKRLIGIINKTEARKQEKGKTLLLHQWPTADFQGGGTTEGYFLPGAEDDLALRAALYGLLFHSHADQDDWEGGLKVLDEAVQVLPRTAHRLLIFKHMVIVKAKLGQNFSMEIQKFKAESEDYLARMWHRLALNSPSVSGELACYNNAIQALQKPEMEWQKVEYLMEFGQWLHHRHFPLEDVVFHLRWAVEILLAMKPPGDVPEPQPTPDGEYVAVEMPPRSPVSEAEEAVSLEQLRSVRQLEALARVHILLALVLSPGAEGYEDCCLAAYAFFRHIWQVSLMTAGKSVLENRPLAATSSHLLLPKKEKENERSKEKEKERSKEKENERSKEKDKEKGKEEKVKEPKQSQSPAPIKQLEDLPMSIEEWASYSCPEEVLSVLKQDRSDSTVNPSSIQKPTYSLYFLDHLVKALQKMCLHELTVPVLQLGVLISDSVVGSKGLSDLYHLRLAHACSELKLREAAARHEEAVGQVCVSELEQASCRKEIALKKEKNKEPLLEESLPALNEQTLPVQPGEIKPLDAKDKILKMNGETGRDLDGTSFPHLWMLKAEVLLEMNLYQPARLLLSEAYLAFQELDEPCAEAQCLLLLAQLANKEKNYGQAKKMIAQAQHLGGSEEFWYNSTLTLAEALLSMEHSGREATVCHIFQKLINAFKILKKERPNRLPLLEFMITDLEARCLSLRVRVAQHSAVTEPTECSLLLKEMDDGLLEIERKFIDCGCKENCVDVKLERAKIKRLRAQNEKDEEQKTAYYLEAYGLAQGAVAEEEGRLHSIQGLYGLAQGAMAEEEGRLHSVQGLLSLQDLQNVNTPLMRKLARLKLGLVEMALDMLQFIWEEAHGQQSEQGSLEKLLADYLQNTSDYTSVGLQWFTLKRTLAHGALAQLGSLQPLSVGCVEIRARLLGLAGRALHLLAMQADPVHPTCYWEAGPSVGAKLSGLKSLELEVEEEGATKSSRDPPASRAAPEEHCRRGEDLKRRMVLAQQYLAQASEVLLQCLQVALGSGLLDVAAAASLEMVECVGTLDPATTCQFLALSQSCSASETMRDVLLAATANTSSSQLAALLQLQHQLRCQDRTTTSLGARVEQRLAAVSKAWQNLCVTEQHFNLLNEMPPTFWILFLHLSGDRSRLYGAAYEKPKFITAAKGKVQAVGGSCKVMRLAISPTAFSHLLACAQQFRKQTQAQVYSEDMALNIGSEPEGLQVEEKERPVQRLSSVLGPLEELLQPLFPLLSLSKARVQTPAVVADSGKSKGKDKERKTSTGQHSTVQPEVADKIVLVADRHLLELPLEGLSVFDEGTISSVSREFSLQMLWNRLHKEETEGGVKKEGRSRDPKKRSLAKKGRKGSIPRTIPPDCIIVDSDNFKFVVDPYEEAQGPEMLTPVSITQDILERFQDTFTSRWAGHLGSKHFPSQAQWEQALGSCSGFFFYGMESFLSHILVERLVAMNLQECQVAVLLDLARSYQSLKRHMESVEHRRSVGRWEANWRNSASPSEDEWRRGGEPRRGFSDLEGQAAAAPKLRAPSHHAQLGPVWAAAPSHRVVQAWTCLPSAAGAPALASALGSAPLPTHPHLPAPIPSSQLALPFLGLSPALGAASARDPPPATSRKAAAWTSSSACLCAPWGLRRGWSCVSSRGQDKGGLPLAALVLSCLDQKTIQTVSLFLI
+>DECOY_sp|Q8IYW2|CFA46_HUMAN Cilia- and flagella-associated protein 46 OS=Homo sapiens OX=9606 GN=CFAP46 PE=2 SV=3
+ILFLSVTQITKQDLCSLVLAALPLGGKDQGRSSVCSWGRRLGWPACLCASSSTWAAAKRSTAPPPDRASAAGLAPSLGLFPLALQSSPIPAPLHPHTPLPASGLASALAPAGAASPLCTWAQVVRHSPAAAWVPGLQAHHSPARLKPAAAAQGELDSFGRRPEGGRRWEDESPSASNRWNAEWRGVSRRHEVSEMHRKLSQYSRALDLLVAVQCEQLNMAVLREVLIHSLFSEMGYFFFGSCSGLAQEWQAQSPFHKSGLHGAWRSTFTDQFRELIDQTISVPTLMEPGQAEEYPDVVFKFNDSDVIICDPPITRPISGKRGKKALSRKKPDRSRGEKKVGGETEEKHLRNWLMQLSFERSVSSITGEDFVSLGELPLELLHRDAVLVIKDAVEPQVTSHQGTSTKREKDKGKSKGSDAVVAPTQVRAKSLSLLPFLPQLLEELPGLVSSLRQVPREKEEVQLGEPESGINLAMDESYVQAQTQKRFQQACALLHSFATPSIALRMVKCSGGVAQVKGKAATIFKPKEYAAGYLRSRDGSLHLFLIWFTPPMENLLNFHQETVCLNQWAKSVAALRQEVRAGLSTTTRDQCRLQHQLQLLAALQSSSTNATAALLVDRMTESASCSQSLALFQCTTAPDLTGVCEVMELSAAAAVDLLGSGLAVQLCQLLVESAQALYQQALVMRRKLDEGRRCHEEPAARSAPPDRSSKTAGEEEVELELSKLGSLKAGVSPGAEWYCTPHVPDAQMALLHLARGALGLLRARIEVCGVSLPQLSGLQALAGHALTRKLTFWQLGVSTYDSTNQLYDALLKELSGQESQQGHAEEWIFQLMDLAMEVLGLKLRALKRMLPTNVNQLDQLSLLGQVSHLRGEEEAMAGQALGYLGQISHLRGEEEAVAGQALGYAELYYATKQEEDKENQARLRKIKARELKVDVCNEKCGCDIFKREIELLGDDMEKLLLSCETPETVASHQAVRVRLSLCRAELDTIMFELLPLRNPREKKLIKFANILKQFIHCVTAERGSHEMSLLAEALTLTSNYWFEESGGLHQAQAIMKKAQGYNKEKNALQALLLLCQAEACPEDLEQFALYAESLLLRAPQYLNMELLVEAKLMWLHPFSTGDLDRGTEGNMKLIKDKADLPKIEGPQVPLTQENLAPLSEELLPEKNKEKKLAIEKRCSAQELESVCVQGVAEEHRAAAERLKLESCAHALRLHYLDSLGKSGVVSDSILVGLQLVPVTLEHLCMKQLAKVLHDLFYLSYTPKQISSPNVTSDSRDQKLVSLVEEPCSYSAWEEISMPLDELQKIPAPSQSQKPEKVKEEKGKEKDKEKSRENEKEKSREKEKEKSRENEKEKKPLLLHSSTAALPRNELVSKGATMLSVQWIHRFFAYAALCCDEYGEAGPSLVLALLIHVRALAELQRVSRLQELSVAEEAESVPSRPPMEVAVYEGDPTPQPEPVDGPPKMALLIEVAWRLHFVVDELPFHRHHLWQGFEMLYEVKQWEMEPKQLAQIANNYCALEGSVSPSNLALRHWMRALYDESEAKFKQIEMSFNQGLKAKVIVMHKFILLRHATRPLVQVAEDLVKLGGEWDDQDAHSHFLLGYLAARLALDDEAGPLFYGETTGGGQFDATPWQHLLLTKGKEQKRAETKNIIGILRKLAGKAKKRYVASSLLPLWANWYHRAALMVLASSGAIGGFRASETFAKAAVLRLQKRGKLNEMISRGQIATATCLMEAVLRSEEPGFKKLYKIGMELARHACAMTTEHDRAAHAFHTLRTLTQLEVLPDSWNCESAMKVLQALSPVTFDMLGLGNCSYMELAVLVRTVSSVDENTGQEETGLRPGIQQQLLQKAKVWTAILQQRTGTPVTEEPASGNTLNLAFECVEVATKIESTAGADLPSHFANPRMFKRSKEAAQVPIWSIILGRALTNCLTVLMVPDGSHGTAKVISLLHYLADVLEKQRGALILHHNHNLVYVVANQVIWAEQIEQGIEASRLWNNMACRSLSEIWTRYTIWEANVEPPEPVYGAPHQSLDEPPIARDNLEVGESRLLHVTAEAHIFGVEAFKRLLDPCVQRQLVVEPQSWTDQVSGDRGRKKKGRRLRLKKVKVNDYLLCFRSATRCVDWVGQKRAVKALEAWIQIREKDNENGLRRLHGAAKDVSVTHHWAKACLYTFRGRNKGTSVKAENESDLVIQFADPALALGANVLLARKKRVSDKPTAKKAQEVAMIAKDEAREPAQYLTTCLRLRTSAMQIRDRYLGLSDLRAAKRLHETAPELRDEDEEIQAMEMHVQCRLLTMLSDLKELVDAVGALPKRLHHRLNHQLLPLCTNWQTACVVHIVRPDGLRVARQLAVDLRQIIDLQAEVAARNYVKMKSELRLAESECELCEMEILKGPDKSEMKKLDSLCASSIEMCKLTLSFRALEFLLLMKEESISPFRQHNYHGLHRYAKRLIVSIDGPLDNQEAKAKLMNIYFTVSLSISNKKEEKLQLEDMLEHRVMVSFIQRYKQPVHSKIFPAATSCFRAAEEKRGAQLYCELLELMLEARWEKDEEETQSLVNIIQSLSPILHHRYGPKLFPRVMQWYLVSANYVLFYYRPEGKAFNIAKMYETVCNEFEELNEASKPACMQARCLHARGLFQTIPAKVKFYMQICDESVEPQRMKLAQEACLVFLDPSFSESPDFESKGLNASKILEYAKKLSAADQQSEARALEQTIVLDM
+>sp|Q8N1V2|CFA52_HUMAN Cilia- and flagella-associated protein 52 OS=Homo sapiens OX=9606 GN=CFAP52 PE=1 SV=3
+MDNKISPEAQVAELELDAVIGFNGHVPTGLKCHPDQEHMIYPLGCTVLIQAINTKEQNFLQGHGNNVSCLAISRSGEYIASGQVTFMGFKADIILWDYKNRELLARLSLHKGKIEALAFSPNDLYLVSLGGPDDGSVVVWSIAKRDAICGSPAAGLNVGNATNVIFSRCRDEMFMTAGNGTIRVWELDLPNRKIWPTECQTGQLKRIVMSIGVDDDDSFFYLGTTTGDILKMNPRTKLLTDVGPAKDKFSLGVSAIRCLKMGGLLVGSGAGLLVFCKSPGYKPIKKIQLQGGITSITLRGEGHQFLVGTEESHIYRVSFTDFKETLIATCHFDAVEDIVFPFGTAELFATCAKKDIRVWHTSSNRELLRITVPNMTCHGIDFMRDGKSIISAWNDGKIRAFAPETGRLMYVINNAHRIGVTAIATTSDCKRVISGGGEGEVRVWQIGCQTQKLEEALKEHKSSVSCIRVKRNNEECVTASTDGTCIIWDLVRLRRNQMILANTLFQCVCYHPEEFQIITSGTDRKIAYWEVFDGTVIRELEGSLSGSINGMDITQEGVHFVTGGNDHLVKVWDYNEGEVTHVGVGHSGNITRIRISPGNQYIVSVSADGAILRWKYPYTS
+>DECOY_sp|Q8N1V2|CFA52_HUMAN Cilia- and flagella-associated protein 52 OS=Homo sapiens OX=9606 GN=CFAP52 PE=1 SV=3
+STYPYKWRLIAGDASVSVIYQNGPSIRIRTINGSHGVGVHTVEGENYDWVKVLHDNGGTVFHVGEQTIDMGNISGSLSGELERIVTGDFVEWYAIKRDTGSTIIQFEEPHYCVCQFLTNALIMQNRRLRVLDWIICTGDTSATVCEENNRKVRICSVSSKHEKLAEELKQTQCGIQWVRVEGEGGGSIVRKCDSTTAIATVGIRHANNIVYMLRGTEPAFARIKGDNWASIISKGDRMFDIGHCTMNPVTIRLLERNSSTHWVRIDKKACTAFLEATGFPFVIDEVADFHCTAILTEKFDTFSVRYIHSEETGVLFQHGEGRLTISTIGGQLQIKKIPKYGPSKCFVLLGAGSGVLLGGMKLCRIASVGLSFKDKAPGVDTLLKTRPNMKLIDGTTTGLYFFSDDDDVGISMVIRKLQGTQCETPWIKRNPLDLEWVRITGNGATMFMEDRCRSFIVNTANGVNLGAAPSGCIADRKAISWVVVSGDDPGGLSVLYLDNPSFALAEIKGKHLSLRALLERNKYDWLIIDAKFGMFTVQGSAIYEGSRSIALCSVNNGHGQLFNQEKTNIAQILVTCGLPYIMHEQDPHCKLGTPVHGNFGIVADLELEAVQAEPSIKNDM
+>sp|Q5T0N1|CFA70_HUMAN Cilia- and flagella-associated protein 70 OS=Homo sapiens OX=9606 GN=CFAP70 PE=2 SV=3
+MEQVPSAGRLVQITVTEGYDLKGFKGDTPVTFIRAEFNQVVLGDSAKITVSPEGSAKYNFTSSFEFNPEGGITSDDLAHKPVFLTVTEVLPKEKKQKEEKTLILGQAVVDLLPLLEGQSSFQTTVPLHPVQGSPLETPRSSAKQCSLEVKVLVAEPLLTTAQISGGNLLKVTLEAAYSVPESFIPTGPGQNYMVGLQVPSLGEKDYPILFKNGTLKLGGEREPVPRPKKWPIANILAPGANNIPDAFIVGGPYEEEEGELNHPEDSEFRNQAECIKKRIIWDLESRCYLDPSAVVSFQKRIADCRLWPVEITRVPLVTIPKGKAGKTEKTDEEAQLSFHGVAYVNMVPLLYPGVKRIRGAFHVYPYLDSVVHEKTKCLLSLFRDIGHHLIHNNKIGGINSLLSKQAVSKNLKEDKPVKEKDIDGRPRPGDVQAPSIKSQSSDTPLEGEPPLSHNPEGQQYVEAGTYIVLEIQLDKALVPKRMPEELARRVKEMIPPRPPLTRRTGGAQKAMSDYHIQIKNISRAILDEYYRMFGKQVAKLESDMDSETLEEQKCQLSYELNCSGKYFAFKEQLKHAVVKIVRDKYLKTTSFESQEELQTFISELYVFLVDQMHVALNQTMPDDVQGTVATIYTSSEQLQLFAFEAEVNENFEMAAAYYKERLVREPQNLDHWLDYGAFCLLTEDNIKAQECFQKALSLNQSHIHSLLLCGVLAVLLENYEQAEIFFEDATCLEPTNVVAWTLLGLYYEIQNNDIRMEMAFHEASKQLQARMLQAQVTKQKSTGVEDTEERGKRESSLGPWGITNGSATAIKVEAPAGPGAALSILDKFLEESSKLQSDSQEPILTTQTWDPSISQKPSNTFIKEIPTKKEASKCQDSSALLHPGLHYGVSQTTTIFMETIHFLMKVKAVQYVHRVLAHELLCPQGGPSCEYYLVLAQTHILKKNFAKAEEYLQQAAQMDYLNPNVWGLKGHLYFLSGNHSEAKACYERTISFVVDASEMHFIFLRLGLIYLEEKEYEKAKKTYMQACKRSPSCLTWLGLGIACYRLEELTEAEDALSEANALNNYNAEVWAYLALVCLKVGRQLEAEQAYKYMIKLKLKDEALLAEIHTLQETVGFGNPSF
+>DECOY_sp|Q5T0N1|CFA70_HUMAN Cilia- and flagella-associated protein 70 OS=Homo sapiens OX=9606 GN=CFAP70 PE=2 SV=3
+FSPNGFGVTEQLTHIEALLAEDKLKLKIMYKYAQEAELQRGVKLCVLALYAWVEANYNNLANAESLADEAETLEELRYCAIGLGLWTLCSPSRKCAQMYTKKAKEYEKEELYILGLRLFIFHMESADVVFSITREYCAKAESHNGSLFYLHGKLGWVNPNLYDMQAAQQLYEEAKAFNKKLIHTQALVLYYECSPGGQPCLLEHALVRHVYQVAKVKMLFHITEMFITTTQSVGYHLGPHLLASSDQCKSAEKKTPIEKIFTNSPKQSISPDWTQTTLIPEQSDSQLKSSEELFKDLISLAAGPGAPAEVKIATASGNTIGWPGLSSERKGREETDEVGTSKQKTVQAQLMRAQLQKSAEHFAMEMRIDNNQIEYYLGLLTWAVVNTPELCTADEFFIEAQEYNELLVALVGCLLLSHIHSQNLSLAKQFCEQAKINDETLLCFAGYDLWHDLNQPERVLREKYYAAAMEFNENVEAEFAFLQLQESSTYITAVTGQVDDPMTQNLAVHMQDVLFVYLESIFTQLEEQSEFSTTKLYKDRVIKVVAHKLQEKFAFYKGSCNLEYSLQCKQEELTESDMDSELKAVQKGFMRYYEDLIARSINKIQIHYDSMAKQAGGTRRTLPPRPPIMEKVRRALEEPMRKPVLAKDLQIELVIYTGAEVYQQGEPNHSLPPEGELPTDSSQSKISPAQVDGPRPRGDIDKEKVPKDEKLNKSVAQKSLLSNIGGIKNNHILHHGIDRFLSLLCKTKEHVVSDLYPYVHFAGRIRKVGPYLLPVMNVYAVGHFSLQAEEDTKETKGAKGKPITVLPVRTIEVPWLRCDAIRKQFSVVASPDLYCRSELDWIIRKKICEAQNRFESDEPHNLEGEEEEYPGGVIFADPINNAGPALINAIPWKKPRPVPEREGGLKLTGNKFLIPYDKEGLSPVQLGVMYNQGPGTPIFSEPVSYAAELTVKLLNGGSIQATTLLPEAVLVKVELSCQKASSRPTELPSGQVPHLPVTTQFSSQGELLPLLDVVAQGLILTKEEKQKKEKPLVETVTLFVPKHALDDSTIGGEPNFEFSSTFNYKASGEPSVTIKASDGLVVQNFEARIFTVPTDGKFGKLDYGETVTIQVLRGASPVQEM
+>sp|D6REC4|CFA99_HUMAN Cilia- and flagella-associated protein 99 OS=Homo sapiens OX=9606 GN=CFAP99 PE=3 SV=1
+MPRSCRERVQGSKQQLRLQFPPRIRKTPKLTFYRPDNILVKLNTTAILREGALYQRQVEQELQRVDKLVDGAGDFSEFFEWQKKMQAKDREEQLAASECRRLQGKLSHEEAVLARQSLMQENKQRVEQQKEQMAKLMLQRAERRLREDRSRKELVEQVIEGQKNAKAAQTKLAKGRQQTVQEAIEESRGLLQRRAQAAQEEQRRRCELISQLRALETQPTRKGKLVDLTQIPGYGLEGEMSIVELRERLALLKENQRRKEEEKRDQIIQGKHTKSQELQNMVEQISLCRAAMGRSAALRWEEKKALAAAPAAPSQDERVQQLRRRISERAAERSRQAALLHVSAPRTARPKPRVSPDWWEEPGRLKAGAGWGWRARRAGTGVPGRGWRGDRVRSAAGRYAAAGAGGGGGVPARADAFPGLQAQLEAQHWLELERSRERRLQALQQGGSGPGPARRLEAA
+>DECOY_sp|D6REC4|CFA99_HUMAN Cilia- and flagella-associated protein 99 OS=Homo sapiens OX=9606 GN=CFAP99 PE=3 SV=1
+AAELRRAPGPGSGGQQLAQLRRERSRELELWHQAELQAQLGPFADARAPVGGGGGAGAAAYRGAASRVRDGRWGRGPVGTGARRARWGWGAGAKLRGPEEWWDPSVRPKPRATRPASVHLLAAQRSREAARESIRRRLQQVREDQSPAAPAAALAKKEEWRLAASRGMAARCLSIQEVMNQLEQSKTHKGQIIQDRKEEEKRRQNEKLLALRERLEVISMEGELGYGPIQTLDVLKGKRTPQTELARLQSILECRRRQEEQAAQARRQLLGRSEEIAEQVTQQRGKALKTQAAKANKQGEIVQEVLEKRSRDERLRREARQLMLKAMQEKQQEVRQKNEQMLSQRALVAEEHSLKGQLRRCESAALQEERDKAQMKKQWEFFESFDGAGDVLKDVRQLEQEVQRQYLAGERLIATTNLKVLINDPRYFTLKPTKRIRPPFQLRLQQKSGQVRERCSRPM
+>sp|P00751|CFAB_HUMAN Complement factor B OS=Homo sapiens OX=9606 GN=CFB PE=1 SV=2
+MGSNLSPQLCLMPFILGLLSGGVTTTPWSLARPQGSCSLEGVEIKGGSFRLLQEGQALEYVCPSGFYPYPVQTRTCRSTGSWSTLKTQDQKTVRKAECRAIHCPRPHDFENGEYWPRSPYYNVSDEISFHCYDGYTLRGSANRTCQVNGRWSGQTAICDNGAGYCSNPGIPIGTRKVGSQYRLEDSVTYHCSRGLTLRGSQRRTCQEGGSWSGTEPSCQDSFMYDTPQEVAEAFLSSLTETIEGVDAEDGHGPGEQQKRKIVLDPSGSMNIYLVLDGSDSIGASNFTGAKKCLVNLIEKVASYGVKPRYGLVTYATYPKIWVKVSEADSSNADWVTKQLNEINYEDHKLKSGTNTKKALQAVYSMMSWPDDVPPEGWNRTRHVIILMTDGLHNMGGDPITVIDEIRDLLYIGKDRKNPREDYLDVYVFGVGPLVNQVNINALASKKDNEQHVFKVKDMENLEDVFYQMIDESQSLSLCGMVWEHRKGTDYHKQPWQAKISVIRPSKGHESCMGAVVSEYFVLTAAHCFTVDDKEHSIKVSVGGEKRDLEIEVVLFHPNYNINGKKEAGIPEFYDYDVALIKLKNKLKYGQTIRPICLPCTEGTTRALRLPPTTTCQQQKEELLPAQDIKALFVSEEEKKLTRKEVYIKNGDKKGSCERDAQYAPGYDKVKDISEVVTPRFLCTGGVSPYADPNTCRGDSGGPLIVHKRSRFIQVGVISWGVVDVCKNQKRQKQVPAHARDFHINLFQVLPWLKEKLQDEDLGFL
+>DECOY_sp|P00751|CFAB_HUMAN Complement factor B OS=Homo sapiens OX=9606 GN=CFB PE=1 SV=2
+LFGLDEDQLKEKLWPLVQFLNIHFDRAHAPVQKQRKQNKCVDVVGWSIVGVQIFRSRKHVILPGGSDGRCTNPDAYPSVGGTCLFRPTVVESIDKVKDYGPAYQADRECSGKKDGNKIYVEKRTLKKEEESVFLAKIDQAPLLEEKQQQCTTTPPLRLARTTGETCPLCIPRITQGYKLKNKLKILAVDYDYFEPIGAEKKGNINYNPHFLVVEIELDRKEGGVSVKISHEKDDVTFCHAATLVFYESVVAGMCSEHGKSPRIVSIKAQWPQKHYDTGKRHEWVMGCLSLSQSEDIMQYFVDELNEMDKVKFVHQENDKKSALANINVQNVLPGVGFVYVDLYDERPNKRDKGIYLLDRIEDIVTIPDGGMNHLGDTMLIIVHRTRNWGEPPVDDPWSMMSYVAQLAKKTNTGSKLKHDEYNIENLQKTVWDANSSDAESVKVWIKPYTAYTVLGYRPKVGYSAVKEILNVLCKKAGTFNSAGISDSGDLVLYINMSGSPDLVIKRKQQEGPGHGDEADVGEITETLSSLFAEAVEQPTDYMFSDQCSPETGSWSGGEQCTRRQSGRLTLGRSCHYTVSDELRYQSGVKRTGIPIGPNSCYGAGNDCIATQGSWRGNVQCTRNASGRLTYGDYCHFSIEDSVNYYPSRPWYEGNEFDHPRPCHIARCEAKRVTKQDQTKLTSWSGTSRCTRTQVPYPYFGSPCVYELAQGEQLLRFSGGKIEVGELSCSGQPRALSWPTTTVGGSLLGLIFPMLCLQPSLNSGM
+>sp|P00746|CFAD_HUMAN Complement factor D OS=Homo sapiens OX=9606 GN=CFD PE=1 SV=5
+MHSWERLAVLVLLGAAACAAPPRGRILGGREAEAHARPYMASVQLNGAHLCGGVLVAEQWVLSAAHCLEDAADGKVQVLLGAHSLSQPEPSKRLYDVLRAVPHPDSQPDTIDHDLLLLQLSEKATLGPAVRPLPWQRVDRDVAPGTLCDVAGWGIVNHAGRRPDSLQHVLLPVLDRATCNRRTHHDGAITERLMCAESNRRDSCKGDSGGPLVCGGVLEGVVTSGSRVCGNRKKPGIYTRVASYAAWIDSVLA
+>DECOY_sp|P00746|CFAD_HUMAN Complement factor D OS=Homo sapiens OX=9606 GN=CFD PE=1 SV=5
+ALVSDIWAAYSAVRTYIGPKKRNGCVRSGSTVVGELVGGCVLPGGSDGKCSDRRNSEACMLRETIAGDHHTRRNCTARDLVPLLVHQLSDPRRGAHNVIGWGAVDCLTGPAVDRDVRQWPLPRVAPGLTAKESLQLLLLDHDITDPQSDPHPVARLVDYLRKSPEPQSLSHAGLLVQVKGDAADELCHAASLVWQEAVLVGGCLHAGNLQVSAMYPRAHAEAERGGLIRGRPPAACAAAGLLVLVALREWSHM
+>sp|P08603|CFAH_HUMAN Complement factor H OS=Homo sapiens OX=9606 GN=CFH PE=1 SV=4
+MRLLAKIICLMLWAICVAEDCNELPPRRNTEILTGSWSDQTYPEGTQAIYKCRPGYRSLGNVIMVCRKGEWVALNPLRKCQKRPCGHPGDTPFGTFTLTGGNVFEYGVKAVYTCNEGYQLLGEINYRECDTDGWTNDIPICEVVKCLPVTAPENGKIVSSAMEPDREYHFGQAVRFVCNSGYKIEGDEEMHCSDDGFWSKEKPKCVEISCKSPDVINGSPISQKIIYKENERFQYKCNMGYEYSERGDAVCTESGWRPLPSCEEKSCDNPYIPNGDYSPLRIKHRTGDEITYQCRNGFYPATRGNTAKCTSTGWIPAPRCTLKPCDYPDIKHGGLYHENMRRPYFPVAVGKYYSYYCDEHFETPSGSYWDHIHCTQDGWSPAVPCLRKCYFPYLENGYNQNYGRKFVQGKSIDVACHPGYALPKAQTTVTCMENGWSPTPRCIRVKTCSKSSIDIENGFISESQYTYALKEKAKYQCKLGYVTADGETSGSITCGKDGWSAQPTCIKSCDIPVFMNARTKNDFTWFKLNDTLDYECHDGYESNTGSTTGSIVCGYNGWSDLPICYERECELPKIDVHLVPDRKKDQYKVGEVLKFSCKPGFTIVGPNSVQCYHFGLSPDLPICKEQVQSCGPPPELLNGNVKEKTKEEYGHSEVVEYYCNPRFLMKGPNKIQCVDGEWTTLPVCIVEESTCGDIPELEHGWAQLSSPPYYYGDSVEFNCSESFTMIGHRSITCIHGVWTQLPQCVAIDKLKKCKSSNLIILEEHLKNKKEFDHNSNIRYRCRGKEGWIHTVCINGRWDPEVNCSMAQIQLCPPPPQIPNSHNMTTTLNYRDGEKVSVLCQENYLIQEGEEITCKDGRWQSIPLCVEKIPCSQPPQIEHGTINSSRSSQESYAHGTKLSYTCEGGFRISEENETTCYMGKWSSPPQCEGLPCKSPPEISHGVVAHMSDSYQYGEEVTYKCFEGFGIDGPAIAKCLGEKWSHPPSCIKTDCLSLPSFENAIPMGEKKDVYKAGEQVTYTCATYYKMDGASNVTCINSRWTGRPTCRDTSCVNPPTVQNAYIVSRQMSKYPSGERVRYQCRSPYEMFGDEEVMCLNGNWTEPPQCKDSTGKCGPPPPIDNGDITSFPLSVYAPASSVEYQCQNLYQLEGNKRITCRNGQWSEPPKCLHPCVISREIMENYNIALRWTAKQKLYSRTGESVEFVCKRGYRLSSRSHTLRTTCWDGKLEYPTCAKR
+>DECOY_sp|P08603|CFAH_HUMAN Complement factor H OS=Homo sapiens OX=9606 GN=CFH PE=1 SV=4
+RKACTPYELKGDWCTTRLTHSRSSLRYGRKCVFEVSEGTRSYLKQKATWRLAINYNEMIERSIVCPHLCKPPESWQGNRCTIRKNGELQYLNQCQYEVSSAPAYVSLPFSTIDGNDIPPPPGCKGTSDKCQPPETWNGNLCMVEEDGFMEYPSRCQYRVREGSPYKSMQRSVIYANQVTPPNVCSTDRCTPRGTWRSNICTVNSAGDMKYYTACTYTVQEGAKYVDKKEGMPIANEFSPLSLCDTKICSPPHSWKEGLCKAIAPGDIGFGEFCKYTVEEGYQYSDSMHAVVGHSIEPPSKCPLGECQPPSSWKGMYCTTENEESIRFGGECTYSLKTGHAYSEQSSRSSNITGHEIQPPQSCPIKEVCLPISQWRGDKCTIEEGEQILYNEQCLVSVKEGDRYNLTTTMNHSNPIQPPPPCLQIQAMSCNVEPDWRGNICVTHIWGEKGRCRYRINSNHDFEKKNKLHEELIILNSSKCKKLKDIAVCQPLQTWVGHICTISRHGIMTFSESCNFEVSDGYYYPPSSLQAWGHELEPIDGCTSEEVICVPLTTWEGDVCQIKNPGKMLFRPNCYYEVVESHGYEEKTKEKVNGNLLEPPPGCSQVQEKCIPLDPSLGFHYCQVSNPGVITFGPKCSFKLVEGVKYQDKKRDPVLHVDIKPLECEREYCIPLDSWGNYGCVISGTTSGTNSEYGDHCEYDLTDNLKFWTFDNKTRANMFVPIDCSKICTPQASWGDKGCTISGSTEGDATVYGLKCQYKAKEKLAYTYQSESIFGNEIDISSKSCTKVRICRPTPSWGNEMCTVTTQAKPLAYGPHCAVDISKGQVFKRGYNQNYGNELYPFYCKRLCPVAPSWGDQTCHIHDWYSGSPTEFHEDCYYSYYKGVAVPFYPRRMNEHYLGGHKIDPYDCPKLTCRPAPIWGTSTCKATNGRTAPYFGNRCQYTIEDGTRHKIRLPSYDGNPIYPNDCSKEECSPLPRWGSETCVADGRESYEYGMNCKYQFRENEKYIIKQSIPSGNIVDPSKCSIEVCKPKEKSWFGDDSCHMEEDGEIKYGSNCVFRVAQGFHYERDPEMASSVIKGNEPATVPLCKVVECIPIDNTWGDTDCERYNIEGLLQYGENCTYVAKVGYEFVNGGTLTFTGFPTDGPHGCPRKQCKRLPNLAVWEGKRCVMIVNGLSRYGPRCKYIAQTGEPYTQDSWSGTLIETNRRPPLENCDEAVCIAWLMLCIIKALLRM
+>sp|P0CG36|CFC1B_HUMAN Cryptic family protein 1B OS=Homo sapiens OX=9606 GN=CFC1B PE=3 SV=1
+MTWRHHVRLLFTVSLALQIINLGNSYQREKHNGGREEVTKVATQKHRQSPLNWTSSHFGEVTGSAEGWGPEEPLPYSWAFGEGASARPRCCRNGGTCVLGSFCVCPAHFTGRYCEHDQRRSECGALEHGAWTLRACHLCRCIFGALHCLPLQTPDRCDPKDFLASHAHGPSAGGAPSLLLLLPCALLHRLLRPDAPAHPRSLVPSVLQRERRPCGRPGLGHRL
+>DECOY_sp|P0CG36|CFC1B_HUMAN Cryptic family protein 1B OS=Homo sapiens OX=9606 GN=CFC1B PE=3 SV=1
+LRHGLGPRGCPRRERQLVSPVLSRPHAPADPRLLRHLLACPLLLLLSPAGGASPGHAHSALFDKPDCRDPTQLPLCHLAGFICRCLHCARLTWAGHELAGCESRRQDHECYRGTFHAPCVCFSGLVCTGGNRCCRPRASAGEGFAWSYPLPEEPGWGEASGTVEGFHSSTWNLPSQRHKQTAVKTVEERGGNHKERQYSNGLNIIQLALSVTFLLRVHHRWTM
+>sp|P0CG37|CFC1_HUMAN Cryptic protein OS=Homo sapiens OX=9606 GN=CFC1 PE=1 SV=1
+MTWRHHVRLLFTVSLALQIINLGNSYQREKHNGGREEVTKVATQKHRQSPLNWTSSHFGEVTGSAEGWGPEEPLPYSRAFGEGASARPRCCRNGGTCVLGSFCVCPAHFTGRYCEHDQRRSECGALEHGAWTLRACHLCRCIFGALHCLPLQTPDRCDPKDFLASHAHGPSAGGAPSLLLLLPCALLHRLLRPDAPAHPRSLVPSVLQRERRPCGRPGLGHRL
+>DECOY_sp|P0CG37|CFC1_HUMAN Cryptic protein OS=Homo sapiens OX=9606 GN=CFC1 PE=1 SV=1
+LRHGLGPRGCPRRERQLVSPVLSRPHAPADPRLLRHLLACPLLLLLSPAGGASPGHAHSALFDKPDCRDPTQLPLCHLAGFICRCLHCARLTWAGHELAGCESRRQDHECYRGTFHAPCVCFSGLVCTGGNRCCRPRASAGEGFARSYPLPEEPGWGEASGTVEGFHSSTWNLPSQRHKQTAVKTVEERGGNHKERQYSNGLNIIQLALSVTFLLRVHHRWTM
+>sp|O15519|CFLAR_HUMAN CASP8 and FADD-like apoptosis regulator OS=Homo sapiens OX=9606 GN=CFLAR PE=1 SV=1
+MSAEVIHQVEEALDTDEKEMLLFLCRDVAIDVVPPNVRDLLDILRERGKLSVGDLAELLYRVRRFDLLKRILKMDRKAVETHLLRNPHLVSDYRVLMAEIGEDLDKSDVSSLIFLMKDYMGRGKISKEKSFLDLVVELEKLNLVAPDQLDLLEKCLKNIHRIDLKTKIQKYKQSVQGAGTSYRNVLQAAIQKSLKDPSNNFRLHNGRSKEQRLKEQLGAQQEPVKKSIQESEAFLPQSIPEERYKMKSKPLGICLIIDCIGNETELLRDTFTSLGYEVQKFLHLSMHGISQILGQFACMPEHRDYDSFVCVLVSRGGSQSVYGVDQTHSGLPLHHIRRMFMGDSCPYLAGKPKMFFIQNYVVSEGQLEDSSLLEVDGPAMKNVEFKAQKRGLCTVHREADFFWSLCTADMSLLEQSHSSPSLYLQCLSQKLRQERKRPLLDLHIELNGYMYDWNSRVSAKEKYYVWLQHTLRKKLILSYT
+>DECOY_sp|O15519|CFLAR_HUMAN CASP8 and FADD-like apoptosis regulator OS=Homo sapiens OX=9606 GN=CFLAR PE=1 SV=1
+TYSLILKKRLTHQLWVYYKEKASVRSNWDYMYGNLEIHLDLLPRKREQRLKQSLCQLYLSPSSHSQELLSMDATCLSWFFDAERHVTCLGRKQAKFEVNKMAPGDVELLSSDELQGESVVYNQIFFMKPKGALYPCSDGMFMRRIHHLPLGSHTQDVGYVSQSGGRSVLVCVFSDYDRHEPMCAFQGLIQSIGHMSLHLFKQVEYGLSTFTDRLLETENGICDIILCIGLPKSKMKYREEPISQPLFAESEQISKKVPEQQAGLQEKLRQEKSRGNHLRFNNSPDKLSKQIAAQLVNRYSTGAGQVSQKYKQIKTKLDIRHINKLCKELLDLQDPAVLNLKELEVVLDLFSKEKSIKGRGMYDKMLFILSSVDSKDLDEGIEAMLVRYDSVLHPNRLLHTEVAKRDMKLIRKLLDFRRVRYLLEALDGVSLKGRERLIDLLDRVNPPVVDIAVDRCLFLLMEKEDTDLAEEVQHIVEASM
+>sp|Q8NI28|CG013_HUMAN Putative uncharacterized protein encoded by LINC01006 OS=Homo sapiens OX=9606 GN=LINC01006 PE=5 SV=1
+MLASPARPTLRMLANHALSTPHCACSPAPAPRTASASRRRCVPVEARAAGVFGDRLAGVFGSRGLKHGGVQAPRPRVVRAEPRAGFAVVRSPRRLCGRSHAPQPPAHLGLGPGCFPAVAVVVPVPGSRAHRPFAALLVEGSFLGDPPIPPRRSGVLARGSAGADCLASSVTPGPSLWIPLLLVAGCVSCFVGLAVCVWMQARVSPAWPAGLFLLPR
+>DECOY_sp|Q8NI28|CG013_HUMAN Putative uncharacterized protein encoded by LINC01006 OS=Homo sapiens OX=9606 GN=LINC01006 PE=5 SV=1
+RPLLFLGAPWAPSVRAQMWVCVALGVFCSVCGAVLLLPIWLSPGPTVSSALCDAGASGRALVGSRRPPIPPDGLFSGEVLLAAFPRHARSGPVPVVVAVAPFCGPGLGLHAPPQPAHSRGCLRRPSRVVAFGARPEARVVRPRPAQVGGHKLGRSGFVGALRDGFVGAARAEVPVCRRRSASATRPAPAPSCACHPTSLAHNALMRLTPRAPSALM
+>sp|Q96CB5|CH044_HUMAN Putative uncharacterized protein C8orf44 OS=Homo sapiens OX=9606 GN=C8orf44 PE=2 SV=2
+MRKNESYLNQPAPPIPIPTLSLMGGCREHFENHWKGRARWLMPVIPALWEAKAGRSPEVRSSKPAWPTWRNPIFTKNTKISQVLELFLNYQSLICALEKQKRQKGSLAIFCWSFQGGCVSKRPDVPSLKSQKPKRKRITGRKRLSKGFWSLLFSNLGRF
+>DECOY_sp|Q96CB5|CH044_HUMAN Putative uncharacterized protein C8orf44 OS=Homo sapiens OX=9606 GN=C8orf44 PE=2 SV=2
+FRGLNSFLLSWFGKSLRKRGTIRKRKPKQSKLSPVDPRKSVCGGQFSWCFIALSGKQRKQKELACILSQYNLFLELVQSIKTNKTFIPNRWTPWAPKSSRVEPSRGAKAEWLAPIVPMLWRARGKWHNEFHERCGGMLSLTPIPIPPAPQNLYSENKRM
+>sp|Q96K31|CH076_HUMAN Uncharacterized protein C8orf76 OS=Homo sapiens OX=9606 GN=C8orf76 PE=2 SV=1
+MDSGCWLFGGEFEDSVFEERPERRSGPPASYCAKLCEPQWFYEETESSDDVEVLTLKKFKGDLAYRRQEYQKALQEYSSISEKLSSTNFAMKRDVQEGQARCLAHLGRHMEALEIAANLENKATNTDHLTTVLYLQLAICSSLQNLEKTIFCLQKLISLHPFNPWNWGKLAEAYLNLGPALSAALASSQKQHSFTSSDKTIKSFFPHSGKDCLLCFPETLPESSLFSVEANSSNSQKNEKALTNIQNCMAEKRETVLIETQLKACASFIRTRLLLQFTQPQQTSFALERNLRTQQEIEDKMKGFSFKEDTLLLIAEVMGEDIPEKIKDEVHPEVKCVGSVALTALVTVSSEEFEDKWFRKIKDHFCPFENQFHTEIQILA
+>DECOY_sp|Q96K31|CH076_HUMAN Uncharacterized protein C8orf76 OS=Homo sapiens OX=9606 GN=C8orf76 PE=2 SV=1
+ALIQIETHFQNEFPCFHDKIKRFWKDEFEESSVTVLATLAVSGVCKVEPHVEDKIKEPIDEGMVEAILLLTDEKFSFGKMKDEIEQQTRLNRELAFSTQQPQTFQLLLRTRIFSACAKLQTEILVTERKEAMCNQINTLAKENKQSNSSNAEVSFLSSEPLTEPFCLLCDKGSHPFFSKITKDSSTFSHQKQSSALAASLAPGLNLYAEALKGWNWPNFPHLSILKQLCFITKELNQLSSCIALQLYLVTTLHDTNTAKNELNAAIELAEMHRGLHALCRAQGEQVDRKMAFNTSSLKESISSYEQLAKQYEQRRYALDGKFKKLTLVEVDDSSETEEYFWQPECLKACYSAPPGSRREPREEFVSDEFEGGFLWCGSDM
+>sp|P0DMB2|CH088_HUMAN Uncharacterized protein C8orf88 OS=Homo sapiens OX=9606 GN=C8orf88 PE=4 SV=1
+METKKLIGKPLQPARPVRHLTSPPGAVFPFNFQNEYPCNTQCIQSGVSRCKTNGMQAFSQGLNEQQQQQSPVKKERIKYSRDFLLKLSSVSICRKKPDFLPDHPIVLQKPENNQSFK
+>DECOY_sp|P0DMB2|CH088_HUMAN Uncharacterized protein C8orf88 OS=Homo sapiens OX=9606 GN=C8orf88 PE=4 SV=1
+KFSQNNEPKQLVIPHDPLFDPKKRCISVSSLKLLFDRSYKIREKKVPSQQQQQENLGQSFAQMGNTKCRSVGSQICQTNCPYENQFNFPFVAGPPSTLHRVPRAPQLPKGILKKTEM
+>sp|Q8WYQ3|CHC10_HUMAN Coiled-coil-helix-coiled-coil-helix domain-containing protein 10, mitochondrial OS=Homo sapiens OX=9606 GN=CHCHD10 PE=1 SV=1
+MPRGSRSAASRPASRPAAPSAHPPAHPPPSAAAPAPAPSGQPGLMAQMATTAAGVAVGSAVGHVMGSALTGAFSGGSSEPSQPAVQQAPTPAAPQPLQMGPCAYEIRQFLDCSTTQSDLSLCEGFSEALKQCKYYHGLSSLP
+>DECOY_sp|Q8WYQ3|CHC10_HUMAN Coiled-coil-helix-coiled-coil-helix domain-containing protein 10, mitochondrial OS=Homo sapiens OX=9606 GN=CHCHD10 PE=1 SV=1
+PLSSLGHYYKCQKLAESFGECLSLDSQTTSCDLFQRIEYACPGMQLPQPAAPTPAQQVAPQSPESSGGSFAGTLASGMVHGVASGVAVGAATTAMQAMLGPQGSPAPAPAAASPPPHAPPHASPAAPRSAPRSAASRSGRPM
+>sp|Q9BUK0|CHCH7_HUMAN Coiled-coil-helix-coiled-coil-helix domain-containing protein 7 OS=Homo sapiens OX=9606 GN=CHCHD7 PE=1 SV=1
+MPSVTQRLRDPDINPCLSESDASTRCLDENNYDRERCSTYFLRYKNCRRFWNSIVMQRRKNGVKPFMPTAAERDEILRAVGNMPY
+>DECOY_sp|Q9BUK0|CHCH7_HUMAN Coiled-coil-helix-coiled-coil-helix domain-containing protein 7 OS=Homo sapiens OX=9606 GN=CHCHD7 PE=1 SV=1
+YPMNGVARLIEDREAATPMFPKVGNKRRQMVISNWFRRCNKYRLFYTSCRERDYNNEDLCRTSADSESLCPNIDPDRLRQTVSPM
+>sp|Q12873|CHD3_HUMAN Chromodomain-helicase-DNA-binding protein 3 OS=Homo sapiens OX=9606 GN=CHD3 PE=1 SV=3
+MKAADTVILWARSKNDQLRISFPPGLCWGDRMPDKDDIRLLPSALGVKKRKRGPKKQKENKPGKPRKRKKRDSEEEFGSERDEYREKSESGGSEYGTGPGRKRRRKHREKKEKKTKRRKKGEGDGGQKQVEQKSSATLLLTWGLEDVEHVFSEEDYHTLTNYKAFSQFMRPLIAKKNPKIPMSKMMTILGAKWREFSANNPFKGSAAAVAAAAAAAAAAVAEQVSAAVSSATPIAPSGPPALPPPPAADIQPPPIRRAKTKEGKGPGHKRRSKSPRVPDGRKKLRGKKMAPLKIKLGLLGGKRKKGGSYVFQSDEGPEPEAEESDLDSGSVHSASGRPDGPVRTKKLKRGRPGRKKKKVLGCPAVAGEEEVDGYETDHQDYCEVCQQGGEIILCDTCPRAYHLVCLDPELDRAPEGKWSCPHCEKEGVQWEAKEEEEEYEEEGEEEGEKEEEDDHMEYCRVCKDGGELLCCDACISSYHIHCLNPPLPDIPNGEWLCPRCTCPVLKGRVQKILHWRWGEPPVAVPAPQQADGNPDVPPPRPLQGRSEREFFVKWVGLSYWHCSWAKELQLEIFHLVMYRNYQRKNDMDEPPPLDYGSGEDDGKSDKRKVKDPHYAEMEEKYYRFGIKPEWMTVHRIINHSVDKKGNYHYLVKWRDLPYDQSTWEEDEMNIPEYEEHKQSYWRHRELIMGEDPAQPRKYKKKKKELQGDGPPSSPTNDPTVKYETQPRFITATGGTLHMYQLEGLNWLRFSWAQGTDTILADEMGLGKTIQTIVFLYSLYKEGHTKGPFLVSAPLSTIINWEREFQMWAPKFYVVTYTGDKDSRAIIRENEFSFEDNAIKGGKKAFKMKREAQVKFHVLLTSYELITIDQAALGSIRWACLVVDEAHRLKNNQSKFFRVLNGYKIDHKLLLTGTPLQNNLEELFHLLNFLTPERFNNLEGFLEEFADISKEDQIKKLHDLLGPHMLRRLKADVFKNMPAKTELIVRVELSPMQKKYYKYILTRNFEALNSRGGGNQVSLLNIMMDLKKCCNHPYLFPVAAMESPKLPSGAYEGGALIKSSGKLMLLQKMLRKLKEQGHRVLIFSQMTKMLDLLEDFLDYEGYKYERIDGGITGALRQEAIDRFNAPGAQQFCFLLSTRAGGLGINLATADTVIIFDSDWNPHNDIQAFSRAHRIGQANKVMIYRFVTRASVEERITQVAKRKMMLTHLVVRPGLGSKAGSMSKQELDDILKFGTEELFKDENEGENKEEDSSVIHYDNEAIARLLDRNQDATEDTDVQNMNEYLSSFKVAQYVVREEDKIEEIEREIIKQEENVDPDYWEKLLRHHYEQQQEDLARNLGKGKRVRKQVNYNDAAQEDQDNQSEYSVGSEEEDEDFDERPEGRRQSKRQLRNEKDKPLPPLLARVGGNIEVLGFNTRQRKAFLNAVMRWGMPPQDAFTTQWLVRDLRGKTEKEFKAYVSLFMRHLCEPGADGSETFADGVPREGLSRQQVLTRIGVMSLVKKKVQEFEHINGRWSMPELMPDPSADSKRSSRASSPTKTSPTTPEASATNSPCTSKPATPAPSEKGEGIRTPLEKEEAENQEEKPEKNSRIGEKMETEADAPSPAPSLGERLEPRKIPLEDEVPGVPGEMEPEPGYRGDREKSATESTPGERGEEKPLDGQEHRERPEGETGDLGKREDVKGDRELRPGPRDEPRSNGRREEKTEKPRFMFNIADGGFTELHTLWQNEERAAISSGKLNEIWHRRHDYWLLAGIVLHGYARWQDIQNDAQFAIINEPFKTEANKGNFLEMKNKFLARRFKLLEQALVIEEQLRRAAYLNLSQEPAHPAMALHARFAEAECLAESHQHLSKESLAGNKPANAVLHKVLNQLEELLSDMKADVTRLPATLSRIPPIAARLQMSERSILSRLASKGTEPHPTPAYPPGPYATPPGYGAAFSAAPVGALAAAGANYSQMPAGSFITAATNGPPVLVKKEKEMVGALVSDGLDRKEPRAGEVICIDD
+>DECOY_sp|Q12873|CHD3_HUMAN Chromodomain-helicase-DNA-binding protein 3 OS=Homo sapiens OX=9606 GN=CHD3 PE=1 SV=3
+DDICIVEGARPEKRDLGDSVLAGVMEKEKKVLVPPGNTAATIFSGAPMQSYNAGAAALAGVPAASFAAGYGPPTAYPGPPYAPTPHPETGKSALRSLISRESMQLRAAIPPIRSLTAPLRTVDAKMDSLLEELQNLVKHLVANAPKNGALSEKSLHQHSEALCEAEAFRAHLAMAPHAPEQSLNLYAARRLQEEIVLAQELLKFRRALFKNKMELFNGKNAETKFPENIIAFQADNQIDQWRAYGHLVIGALLWYDHRRHWIENLKGSSIAAREENQWLTHLETFGGDAINFMFRPKETKEERRGNSRPEDRPGPRLERDGKVDERKGLDGTEGEPRERHEQGDLPKEEGREGPTSETASKERDGRYGPEPEMEGPVGPVEDELPIKRPELREGLSPAPSPADAETEMKEGIRSNKEPKEEQNEAEEKELPTRIGEGKESPAPTAPKSTCPSNTASAEPTTPSTKTPSSARSSRKSDASPDPMLEPMSWRGNIHEFEQVKKKVLSMVGIRTLVQQRSLGERPVGDAFTESGDAGPECLHRMFLSVYAKFEKETKGRLDRVLWQTTFADQPPMGWRMVANLFAKRQRTNFGLVEINGGVRALLPPLPKDKENRLQRKSQRRGEPREDFDEDEEESGVSYESQNDQDEQAADNYNVQKRVRKGKGLNRALDEQQQEYHHRLLKEWYDPDVNEEQKIIEREIEEIKDEERVVYQAVKFSSLYENMNQVDTDETADQNRDLLRAIAENDYHIVSSDEEKNEGENEDKFLEETGFKLIDDLEQKSMSGAKSGLGPRVVLHTLMMKRKAVQTIREEVSARTVFRYIMVKNAQGIRHARSFAQIDNHPNWDSDFIIVTDATALNIGLGGARTSLLFCFQQAGPANFRDIAEQRLAGTIGGDIREYKYGEYDLFDELLDLMKTMQSFILVRHGQEKLKRLMKQLLMLKGSSKILAGGEYAGSPLKPSEMAAVPFLYPHNCCKKLDMMINLLSVQNGGGRSNLAEFNRTLIYKYYKKQMPSLEVRVILETKAPMNKFVDAKLRRLMHPGLLDHLKKIQDEKSIDAFEELFGELNNFREPTLFNLLHFLEELNNQLPTGTLLLKHDIKYGNLVRFFKSQNNKLRHAEDVVLCAWRISGLAAQDITILEYSTLLVHFKVQAERKMKFAKKGGKIANDEFSFENERIIARSDKDGTYTVVYFKPAWMQFEREWNIITSLPASVLFPGKTHGEKYLSYLFVITQITKGLGMEDALITDTGQAWSFRLWNLGELQYMHLTGGTATIFRPQTEYKVTPDNTPSSPPGDGQLEKKKKKYKRPQAPDEGMILERHRWYSQKHEEYEPINMEDEEWTSQDYPLDRWKVLYHYNGKKDVSHNIIRHVTMWEPKIGFRYYKEEMEAYHPDKVKRKDSKGDDEGSGYDLPPPEDMDNKRQYNRYMVLHFIELQLEKAWSCHWYSLGVWKVFFERESRGQLPRPPPVDPNGDAQQPAPVAVPPEGWRWHLIKQVRGKLVPCTCRPCLWEGNPIDPLPPNLCHIHYSSICADCCLLEGGDKCVRCYEMHDDEEEKEGEEEGEEEYEEEEEKAEWQVGEKECHPCSWKGEPARDLEPDLCVLHYARPCTDCLIIEGGQQCVECYDQHDTEYGDVEEEGAVAPCGLVKKKKRGPRGRKLKKTRVPGDPRGSASHVSGSDLDSEEAEPEPGEDSQFVYSGGKKRKGGLLGLKIKLPAMKKGRLKKRGDPVRPSKSRRKHGPGKGEKTKARRIPPPQIDAAPPPPLAPPGSPAIPTASSVAASVQEAVAAAAAAAAAAVAAASGKFPNNASFERWKAGLITMMKSMPIKPNKKAILPRMFQSFAKYNTLTHYDEESFVHEVDELGWTLLLTASSKQEVQKQGGDGEGKKRRKTKKEKKERHKRRRKRGPGTGYESGGSESKERYEDRESGFEEESDRKKRKRPKGPKNEKQKKPGRKRKKVGLASPLLRIDDKDPMRDGWCLGPPFSIRLQDNKSRAWLIVTDAAKM
+>sp|Q8TDI0|CHD5_HUMAN Chromodomain-helicase-DNA-binding protein 5 OS=Homo sapiens OX=9606 GN=CHD5 PE=1 SV=1
+MRGPVGTEEELPRLFAEEMENEDEMSEEEDGGLEAFDDFFPVEPVSLPKKKKPKKLKENKCKGKRKKKEGSNDELSENEEDLEEKSESEGSDYSPNKKKKKKLKDKKEKKAKRKKKDEDEDDNDDGCLKEPKSSGQLMAEWGLDDVDYLFSEEDYHTLTNYKAFSQFLRPLIAKKNPKIPMSKMMTVLGAKWREFSANNPFKGSSAAAAAAAVAAAVETVTISPPLAVSPPQVPQPVPIRKAKTKEGKGPGVRKKIKGSKDGKKKGKGKKTAGLKFRFGGISNKRKKGSSSEEDEREESDFDSASIHSASVRSECSAALGKKSKRRRKKKRIDDGDGYETDHQDYCEVCQQGGEIILCDTCPRAYHLVCLDPELEKAPEGKWSCPHCEKEGIQWEPKDDDDEEEEGGCEEEEDDHMEFCRVCKDGGELLCCDACPSSYHLHCLNPPLPEIPNGEWLCPRCTCPPLKGKVQRILHWRWTEPPAPFMVGLPGPDVEPSLPPPKPLEGIPEREFFVKWAGLSYWHCSWVKELQLELYHTVMYRNYQRKNDMDEPPPFDYGSGDEDGKSEKRKNKDPLYAKMEERFYRYGIKPEWMMIHRILNHSFDKKGDVHYLIKWKDLPYDQCTWEIDDIDIPYYDNLKQAYWGHRELMLGEDTRLPKRLLKKGKKLRDDKQEKPPDTPIVDPTVKFDKQPWYIDSTGGTLHPYQLEGLNWLRFSWAQGTDTILADEMGLGKTVQTIVFLYSLYKEGHSKGPYLVSAPLSTIINWEREFEMWAPDFYVVTYTGDKESRSVIRENEFSFEDNAIRSGKKVFRMKKEVQIKFHVLLTSYELITIDQAILGSIEWACLVVDEAHRLKNNQSKFFRVLNSYKIDYKLLLTGTPLQNNLEELFHLLNFLTPERFNNLEGFLEEFADISKEDQIKKLHDLLGPHMLRRLKADVFKNMPAKTELIVRVELSQMQKKYYKFILTRNFEALNSKGGGNQVSLLNIMMDLKKCCNHPYLFPVAAVEAPVLPNGSYDGSSLVKSSGKLMLLQKMLKKLRDEGHRVLIFSQMTKMLDLLEDFLEYEGYKYERIDGGITGGLRQEAIDRFNAPGAQQFCFLLSTRAGGLGINLATADTVIIYDSDWNPHNDIQAFSRAHRIGQNKKVMIYRFVTRASVEERITQVAKRKMMLTHLVVRPGLGSKSGSMTKQELDDILKFGTEELFKDDVEGMMSQGQRPVTPIPDVQSSKGGNLAASAKKKHGSTPPGDNKDVEDSSVIHYDDAAISKLLDRNQDATDDTELQNMNEYLSSFKVAQYVVREEDGVEEVEREIIKQEENVDPDYWEKLLRHHYEQQQEDLARNLGKGKRIRKQVNYNDASQEDQEWQDELSDNQSEYSIGSEDEDEDFEERPEGQSGRRQSRRQLKSDRDKPLPPLLARVGGNIEVLGFNARQRKAFLNAIMRWGMPPQDAFNSHWLVRDLRGKSEKEFRAYVSLFMRHLCEPGADGAETFADGVPREGLSRQHVLTRIGVMSLVRKKVQEFEHVNGKYSTPDLIPEGPEGKKSGEVISSDPNTPVPASPAHLLPAPLGLPDKMEAQLGYMDEKDPGAQKPRQPLEVQALPAALDRVESEDKHESPASKERAREERPEETEKAPPSPEQLPREEVLPEKEKILDKLELSLIHSRGDSSELRPDDTKAEEKEPIETQQNGDKEEDDEGKKEDKKGKFKFMFNIADGGFTELHTLWQNEERAAVSSGKIYDIWHRRHDYWLLAGIVTHGYARWQDIQNDPRYMILNEPFKSEVHKGNYLEMKNKFLARRFKLLEQALVIEEQLRRAAYLNMTQDPNHPAMALNARLAEVECLAESHQHLSKESLAGNKPANAVLHKVLNQLEELLSDMKADVTRLPSMLSRIPPVAARLQMSERSILSRLTNRAGDPTIQQGAFGSSQMYSNNFGPNFRGPGPGGIVNYNQMPLGPYVTDI
+>DECOY_sp|Q8TDI0|CHD5_HUMAN Chromodomain-helicase-DNA-binding protein 5 OS=Homo sapiens OX=9606 GN=CHD5 PE=1 SV=1
+IDTVYPGLPMQNYNVIGGPGPGRFNPGFNNSYMQSSGFAGQQITPDGARNTLRSLISRESMQLRAAVPPIRSLMSPLRTVDAKMDSLLEELQNLVKHLVANAPKNGALSEKSLHQHSEALCEVEALRANLAMAPHNPDQTMNLYAARRLQEEIVLAQELLKFRRALFKNKMELYNGKHVESKFPENLIMYRPDNQIDQWRAYGHTVIGALLWYDHRRHWIDYIKGSSVAAREENQWLTHLETFGGDAINFMFKFKGKKDEKKGEDDEEKDGNQQTEIPEKEEAKTDDPRLESSDGRSHILSLELKDLIKEKEPLVEERPLQEPSPPAKETEEPREERAREKSAPSEHKDESEVRDLAAPLAQVELPQRPKQAGPDKEDMYGLQAEMKDPLGLPAPLLHAPSAPVPTNPDSSIVEGSKKGEPGEPILDPTSYKGNVHEFEQVKKRVLSMVGIRTLVHQRSLGERPVGDAFTEAGDAGPECLHRMFLSVYARFEKESKGRLDRVLWHSNFADQPPMGWRMIANLFAKRQRANFGLVEINGGVRALLPPLPKDRDSKLQRRSQRRGSQGEPREEFDEDEDESGISYESQNDSLEDQWEQDEQSADNYNVQKRIRKGKGLNRALDEQQQEYHHRLLKEWYDPDVNEEQKIIEREVEEVGDEERVVYQAVKFSSLYENMNQLETDDTADQNRDLLKSIAADDYHIVSSDEVDKNDGPPTSGHKKKASAALNGGKSSQVDPIPTVPRQGQSMMGEVDDKFLEETGFKLIDDLEQKTMSGSKSGLGPRVVLHTLMMKRKAVQTIREEVSARTVFRYIMVKKNQGIRHARSFAQIDNHPNWDSDYIIVTDATALNIGLGGARTSLLFCFQQAGPANFRDIAEQRLGGTIGGDIREYKYGEYELFDELLDLMKTMQSFILVRHGEDRLKKLMKQLLMLKGSSKVLSSGDYSGNPLVPAEVAAVPFLYPHNCCKKLDMMINLLSVQNGGGKSNLAEFNRTLIFKYYKKQMQSLEVRVILETKAPMNKFVDAKLRRLMHPGLLDHLKKIQDEKSIDAFEELFGELNNFREPTLFNLLHFLEELNNQLPTGTLLLKYDIKYSNLVRFFKSQNNKLRHAEDVVLCAWEISGLIAQDITILEYSTLLVHFKIQVEKKMRFVKKGSRIANDEFSFENERIVSRSEKDGTYTVVYFDPAWMEFEREWNIITSLPASVLYPGKSHGEKYLSYLFVITQVTKGLGMEDALITDTGQAWSFRLWNLGELQYPHLTGGTSDIYWPQKDFKVTPDVIPTDPPKEQKDDRLKKGKKLLRKPLRTDEGLMLERHGWYAQKLNDYYPIDIDDIEWTCQDYPLDKWKILYHVDGKKDFSHNLIRHIMMWEPKIGYRYFREEMKAYLPDKNKRKESKGDEDGSGYDFPPPEDMDNKRQYNRYMVTHYLELQLEKVWSCHWYSLGAWKVFFEREPIGELPKPPPLSPEVDPGPLGVMFPAPPETWRWHLIRQVKGKLPPCTCRPCLWEGNPIEPLPPNLCHLHYSSPCADCCLLEGGDKCVRCFEMHDDEEEECGGEEEEDDDDKPEWQIGEKECHPCSWKGEPAKELEPDLCVLHYARPCTDCLIIEGGQQCVECYDQHDTEYGDGDDIRKKKRRRKSKKGLAASCESRVSASHISASDFDSEEREDEESSSGKKRKNSIGGFRFKLGATKKGKGKKKGDKSGKIKKRVGPGKGEKTKAKRIPVPQPVQPPSVALPPSITVTEVAAAVAAAAAAASSGKFPNNASFERWKAGLVTMMKSMPIKPNKKAILPRLFQSFAKYNTLTHYDEESFLYDVDDLGWEAMLQGSSKPEKLCGDDNDDEDEDKKKRKAKKEKKDKLKKKKKKNPSYDSGESESKEELDEENESLEDNSGEKKKRKGKCKNEKLKKPKKKKPLSVPEVPFFDDFAELGGDEEESMEDENEMEEAFLRPLEEETGVPGRM
+>sp|Q9HCK8|CHD8_HUMAN Chromodomain-helicase-DNA-binding protein 8 OS=Homo sapiens OX=9606 GN=CHD8 PE=1 SV=5
+MADPIMDLFDDPNLFGLDSLTDDSFNQVTQDPIEEALGLPSSLDSLDQMNQDGGGGDVGNSSASELVPPPEETAPTELSKESTAPAPESITLHDYTTQPASQEQPAQPVLQTSTPTSGLLQVSKSQEILSQGNPFMGVSATAVSSSSAGGQPPQSAPKIVILKAPPSSSVTGAHVAQIQAQGITSTAQPLVAGTANGGKVTFTKVLTGTPLRPGVSIVSGNTVLAAKVPGNQAAVQRIVQPSRPVKQLVLQPVKGSAPAGNPGATGPPLKPAVTLTSTPTQGESKRITLVLQQPQSGGPQGHRHVVLGSLPGKIVLQGNQLAALTQAKNAQGQPAKVVTIQLQVQQPQQKIQIVPQPPSSQPQPQQPPSTQPVTLSSVQQAQIMGPGQSPGQRLSVPVKVVLQPQAGSSQGASSGLSVVKVLSASEVAALSSPASSAPHSGGKTGMEENRRLEHQKKQEKANRIVAEAIARARARGEQNIPRVLNEDELPSVRPEEEGEKKRRKKSAGERLKEEKPKKSKTSGASKTKGKSKLNTITPVVGKKRKRNTSSDNSDVEVMPAQSPREDEESSIQKRRSNRQVKRKKYTEDLDIKITDDEEEEEVDVTGPIKPEPILPEPVQEPDGETLPSMQFFVENPSEEDAAIVDKVLSMRIVKKELPSGQYTEAEEFFVKYKNYSYLHCEWATISQLEKDKRIHQKLKRFKTKMAQMRHFFHEDEEPFNPDYVEVDRILDESHSIDKDNGEPVIYYLVKWCSLPYEDSTWELKEDVDEGKIREFKRIQSRHPELKRVNRPQASAWKKLELSHEYKNRNQLREYQLEGVNWLLFNWYNRQNCILADEMGLGKTIQSIAFLQEVYNVGIHGPFLVIAPLSTITNWEREFNTWTEMNTIVYHGSLASRQMIQQYEMYCKDSRGRLIPGAYKFDALITTFEMILSDCPELREIEWRCVIIDEAHRLKNRNCKLLDSLKHMDLEHKVLLTGTPLQNTVEELFSLLHFLEPSQFPSESEFLKDFGDLKTEEQVQKLQAILKPMMLRRLKEDVEKNLAPKQETIIEVELTNIQKKYYRAILEKNFSFLSKGAGHTNMPNLLNTMMELRKCCNHPYLINGAEEKILTEFREACHIIPHDFHLQAMVRSAGKLVLIDKLLPKLKAGGHKVLIFSQMVRCLDILEDYLIQRRYLYERIDGRVRGNLRQAAIDRFSKPDSDRFVFLLCTRAGGLGINLTAADTCIIFDSDWNPQNDLQAQARCHRIGQSKAVKVYRLITRNSYEREMFDKASLKLGLDKAVLQSMSGRDGNITGIQQFSKKEIEDLLRKGAYAAIMEEDDEGSKFCEEDIDQILLRRTTTITIESEGKGSTFAKASFVASENRTDISLDDPNFWQKWAKKADLDMDLLNSKNNLVIDTPRVRKQTRHFSTLKDDDLVEFSDLESEDDERPRSRRHDRHHAYGRTDCFRVEKHLLVYGWGRWRDILSHGRFKRRMTERDVETICRAILVYCLLHYRGDENIKGFIWDLISPAENGKTKELQNHSGLSIPVPRGRKGKKVKSQSTFDIHKADWIRKYNPDTLFQDESYKKHLKHQCNKVLLRVRMLYYLRQEVIGDQAEKVLGGAIASEIDIWFPVVDQLEVPTTWWDSEADKSLLIGVFKHGYEKYNTMRADPALCFLEKAGRPDDKAIAAEHRVLDNFSDIVEGVDFDKDCEDPEYKPLQGPPKDQDDEGDPLMMMDEEISVIDGDEAQVTQQPGHLFWPPGSALTARLRRLVTAYQRSYKREQMKIEAAERGDRRRRRCEAAFKLKEIARREKQQRWTRREQTDFYRVVSTFGVEYDPDTMQFHWDRFRTFARLDKKTDESLTKYFHGFVAMCRQVCRLPPAAGDEPPDPNLFIEPITEERASRTLYRIELLRRLREQVLCHPLLEDRLALCQPPGPELPKWWEPVRHDGELLRGAARHGVSQTDCNIMQDPDFSFLAARMNYMQNHQAGAPAPSLSRCSTPLLHQQYTSRTASPLPLRPDAPVEKSPEETATQVPSLESLTLKLEHEVVARSRPTPQDYEMRVSPSDTTPLVSRSVPPVKLEDEDDSDSELDLSKLSPSSSSSSSSSSSSSSTDESEDEKEEKLTDQSRSKLYDEESLLSLTMSQDGFPNEDGEQMTPELLLLQERQRASEWPKDRVLINRIDLVCQAVLSGKWPSSRRSQEMVTGGILGPGNHLLDSPSLTPGEYGDSPVPTPRSSSAASMAEEEASAVSTAAAQFTKLRRGMDEKEFTVQIKDEEGLKLTFQKHKLMANGVMGDGHPLFHKKKGNRKKLVELEVECMEEPNHLDVDLETRIPVINKVDGTLLVGEDAPRRAELEMWLQGHPEFAVDPRFLAYMEDRRKQKWQRCKKNNKAELNCLGMEPVQTANSRNGKKGHHTETVFNRVLPGPIAPESSKKRARRMRPDLSKMMALMQGGSTGSLSLHNTFQHSSSGLQSVSSLGHSSATSASLPFMPFVMGGAPSSPHVDSSTMLHHHHHHPHPHHHHHHHPGLRAPGYPSSPVTTASGTTLRLPPLQPEEDDDEDEEDDDDLSQGYDSSERDFSLIDDPMMPANSDSSEDADD
+>DECOY_sp|Q9HCK8|CHD8_HUMAN Chromodomain-helicase-DNA-binding protein 8 OS=Homo sapiens OX=9606 GN=CHD8 PE=1 SV=5
+DDADESSDSNAPMMPDDILSFDRESSDYGQSLDDDDEEDEDDDEEPQLPPLRLTTGSATTVPSSPYGPARLGPHHHHHHHPHPHHHHHHLMTSSDVHPSSPAGGMVFPMFPLSASTASSHGLSSVSQLGSSSHQFTNHLSLSGTSGGQMLAMMKSLDPRMRRARKKSSEPAIPGPLVRNFVTETHHGKKGNRSNATQVPEMGLCNLEAKNNKKCRQWKQKRRDEMYALFRPDVAFEPHGQLWMELEARRPADEGVLLTGDVKNIVPIRTELDVDLHNPEEMCEVELEVLKKRNGKKKHFLPHGDGMVGNAMLKHKQFTLKLGEEDKIQVTFEKEDMGRRLKTFQAAATSVASAEEEAMSAASSSRPTPVPSDGYEGPTLSPSDLLHNGPGLIGGTVMEQSRRSSPWKGSLVAQCVLDIRNILVRDKPWESARQREQLLLLEPTMQEGDENPFGDQSMTLSLLSEEDYLKSRSQDTLKEEKEDESEDTSSSSSSSSSSSSSSPSLKSLDLESDSDDEDELKVPPVSRSVLPTTDSPSVRMEYDQPTPRSRAVVEHELKLTLSELSPVQTATEEPSKEVPADPRLPLPSATRSTYQQHLLPTSCRSLSPAPAGAQHNQMYNMRAALFSFDPDQMINCDTQSVGHRAAGRLLEGDHRVPEWWKPLEPGPPQCLALRDELLPHCLVQERLRRLLEIRYLTRSAREETIPEIFLNPDPPEDGAAPPLRCVQRCMAVFGHFYKTLSEDTKKDLRAFTRFRDWHFQMTDPDYEVGFTSVVRYFDTQERRTWRQQKERRAIEKLKFAAECRRRRRDGREAAEIKMQERKYSRQYATVLRRLRATLASGPPWFLHGPQQTVQAEDGDIVSIEEDMMMLPDGEDDQDKPPGQLPKYEPDECDKDFDVGEVIDSFNDLVRHEAAIAKDDPRGAKELFCLAPDARMTNYKEYGHKFVGILLSKDAESDWWTTPVELQDVVPFWIDIESAIAGGLVKEAQDGIVEQRLYYLMRVRLLVKNCQHKLHKKYSEDQFLTDPNYKRIWDAKHIDFTSQSKVKKGKRGRPVPISLGSHNQLEKTKGNEAPSILDWIFGKINEDGRYHLLCYVLIARCITEVDRETMRRKFRGHSLIDRWRGWGYVLLHKEVRFCDTRGYAHHRDHRRSRPREDDESELDSFEVLDDDKLTSFHRTQKRVRPTDIVLNNKSNLLDMDLDAKKAWKQWFNPDDLSIDTRNESAVFSAKAFTSGKGESEITITTTRRLLIQDIDEECFKSGEDDEEMIAAYAGKRLLDEIEKKSFQQIGTINGDRGSMSQLVAKDLGLKLSAKDFMEREYSNRTILRYVKVAKSQGIRHCRAQAQLDNQPNWDSDFIICTDAATLNIGLGGARTCLLFVFRDSDPKSFRDIAAQRLNGRVRGDIREYLYRRQILYDELIDLCRVMQSFILVKHGGAKLKPLLKDILVLKGASRVMAQLHFDHPIIHCAERFETLIKEEAGNILYPHNCCKRLEMMTNLLNPMNTHGAGKSLFSFNKELIARYYKKQINTLEVEIITEQKPALNKEVDEKLRRLMMPKLIAQLKQVQEETKLDGFDKLFESESPFQSPELFHLLSFLEEVTNQLPTGTLLVKHELDMHKLSDLLKCNRNKLRHAEDIIVCRWEIERLEPCDSLIMEFTTILADFKYAGPILRGRSDKCYMEYQQIMQRSALSGHYVITNMETWTNFEREWNTITSLPAIVLFPGHIGVNYVEQLFAISQITKGLGMEDALICNQRNYWNFLLWNVGELQYERLQNRNKYEHSLELKKWASAQPRNVRKLEPHRSQIRKFERIKGEDVDEKLEWTSDEYPLSCWKVLYYIVPEGNDKDISHSEDLIRDVEVYDPNFPEEDEHFFHRMQAMKTKFRKLKQHIRKDKELQSITAWECHLYSYNKYKVFFEEAETYQGSPLEKKVIRMSLVKDVIAADEESPNEVFFQMSPLTEGDPEQVPEPLIPEPKIPGTVDVEEEEEDDTIKIDLDETYKKRKVQRNSRRKQISSEEDERPSQAPMVEVDSNDSSTNRKRKKGVVPTITNLKSKGKTKSAGSTKSKKPKEEKLREGASKKRRKKEGEEEPRVSPLEDENLVRPINQEGRARARAIAEAVIRNAKEQKKQHELRRNEEMGTKGGSHPASSAPSSLAAVESASLVKVVSLGSSAGQSSGAQPQLVVKVPVSLRQGPSQGPGMIQAQQVSSLTVPQTSPPQQPQPQSSPPQPVIQIKQQPQQVQLQITVVKAPQGQANKAQTLAALQNGQLVIKGPLSGLVVHRHGQPGGSQPQQLVLTIRKSEGQTPTSTLTVAPKLPPGTAGPNGAPASGKVPQLVLQKVPRSPQVIRQVAAQNGPVKAALVTNGSVISVGPRLPTGTLVKTFTVKGGNATGAVLPQATSTIGQAQIQAVHAGTVSSSPPAKLIVIKPASQPPQGGASSSSVATASVGMFPNGQSLIEQSKSVQLLGSTPTSTQLVPQAPQEQSAPQTTYDHLTISEPAPATSEKSLETPATEEPPPVLESASSNGVDGGGGDQNMQDLSDLSSPLGLAEEIPDQTVQNFSDDTLSDLGFLNPDDFLDMIPDAM
+>sp|Q13231|CHIT1_HUMAN Chitotriosidase-1 OS=Homo sapiens OX=9606 GN=CHIT1 PE=1 SV=1
+MVRSVAWAGFMVLLMIPWGSAAKLVCYFTNWAQYRQGEARFLPKDLDPSLCTHLIYAFAGMTNHQLSTTEWNDETLYQEFNGLKKMNPKLKTLLAIGGWNFGTQKFTDMVATANNRQTFVNSAIRFLRKYSFDGLDLDWEYPGSQGSPAVDKERFTTLVQDLANAFQQEAQTSGKERLLLSAAVPAGQTYVDAGYEVDKIAQNLDFVNLMAYDFHGSWEKVTGHNSPLYKRQEESGAAASLNVDAAVQQWLQKGTPASKLILGMPTYGRSFTLASSSDTRVGAPATGSGTPGPFTKEGGMLAYYEVCSWKGATKQRIQDQKVPYIFRDNQWVGFDDVESFKTKVSYLKQKGLGGAMVWALDLDDFAGFSCNQGRYPLIQTLRQELSLPYLPSGTPELEVPKPGQPSEPEHGPSPGQDTFCQGKADGLYPNPRERSSFYSCAAGRLFQQSCPTGLVFSNSCKCCTWN
+>DECOY_sp|Q13231|CHIT1_HUMAN Chitotriosidase-1 OS=Homo sapiens OX=9606 GN=CHIT1 PE=1 SV=1
+NWTCCKCSNSFVLGTPCSQQFLRGAACSYFSSRERPNPYLGDAKGQCFTDQGPSPGHEPESPQGPKPVELEPTGSPLYPLSLEQRLTQILPYRGQNCSFGAFDDLDLAWVMAGGLGKQKLYSVKTKFSEVDDFGVWQNDRFIYPVKQDQIRQKTAGKWSCVEYYALMGGEKTFPGPTGSGTAPAGVRTDSSSALTFSRGYTPMGLILKSAPTGKQLWQQVAADVNLSAAAGSEEQRKYLPSNHGTVKEWSGHFDYAMLNVFDLNQAIKDVEYGADVYTQGAPVAASLLLREKGSTQAEQQFANALDQVLTTFREKDVAPSGQSGPYEWDLDLGDFSYKRLFRIASNVFTQRNNATAVMDTFKQTGFNWGGIALLTKLKPNMKKLGNFEQYLTEDNWETTSLQHNTMGAFAYILHTCLSPDLDKPLFRAEGQRYQAWNTFYCVLKAASGWPIMLLVMFGAWAVSRVM
+>sp|P59074|CHM4P_HUMAN Putative charged multivesicular body protein 4B-like protein CHMP4BP1 OS=Homo sapiens OX=9606 GN=CHMP4BP1 PE=5 SV=1
+MLSKKQEFLEKKIEQRHGTKNKPAALQALKRKKRYEKQLAQIDGTLSTIEFQQQALENANTNTEVLKNMGSAAKAKKAAHDNMDIDKVDELMQDIADQQELGEEISTAISKPVGFGEKSDEDELMAELEELEQEEPDKNLLEVSGPETVPLPNVPSIALPSKPAKKRKTTT
+>DECOY_sp|P59074|CHM4P_HUMAN Putative charged multivesicular body protein 4B-like protein CHMP4BP1 OS=Homo sapiens OX=9606 GN=CHMP4BP1 PE=5 SV=1
+TTTKRKKAPKSPLAISPVNPLPVTEPGSVELLNKDPEEQELEELEAMLEDEDSKEGFGVPKSIATSIEEGLEQQDAIDQMLEDVKDIDMNDHAAKKAKAASGMNKLVETNTNANELAQQQFEITSLTGDIQALQKEYRKKRKLAQLAAPKNKTGHRQEIKKELFEQKKSLM
+>sp|Q8WUX9|CHMP7_HUMAN Charged multivesicular body protein 7 OS=Homo sapiens OX=9606 GN=CHMP7 PE=1 SV=1
+MWSPEREAEAPAGGDPAGLLPPEWEEDEERMSFLFSAFKRSREVNSTDWDSKMGFWAPLVLSHSRRQGVVRLRLRDLQEAFQRKGSVPLGLATVLQDLLRRGELQRESDFMASVDSSWISWGVGVFLLKPLKWTLSNMLGDNKVPAEEVLVAVELLKEKAEEVYRLYQNSPLSSHPVVALSELSTLCANSCPDERTFYLVLLQLQKEKRVTVLEQNGEKIVKFARGPRAKVSPVNDVDVGVYQLMQSEQLLSRKVESLSQEAERCKEEARRACRAGKKQLALRSLKAKQRTEKRIEALHAKLDTVQGILDRIYASQTDQMVFNAYQAGVGALKLSMKDVTVEKAESLVDQIQELCDTQDEVSQTLAGGVTNGLDFDSEELEKELDILLQDTTKEPLDLPDNPRNRHFTNSVPNPRISDAELEAELEKLSLSEGGLVPSSKSPKRQLEPTLKPL
+>DECOY_sp|Q8WUX9|CHMP7_HUMAN Charged multivesicular body protein 7 OS=Homo sapiens OX=9606 GN=CHMP7 PE=1 SV=1
+LPKLTPELQRKPSKSSPVLGGESLSLKELEAELEADSIRPNPVSNTFHRNRPNDPLDLPEKTTDQLLIDLEKELEESDFDLGNTVGGALTQSVEDQTDCLEQIQDVLSEAKEVTVDKMSLKLAGVGAQYANFVMQDTQSAYIRDLIGQVTDLKAHLAEIRKETRQKAKLSRLALQKKGARCARRAEEKCREAEQSLSEVKRSLLQESQMLQYVGVDVDNVPSVKARPGRAFKVIKEGNQELVTVRKEKQLQLLVLYFTREDPCSNACLTSLESLAVVPHSSLPSNQYLRYVEEAKEKLLEVAVLVEEAPVKNDGLMNSLTWKLPKLLFVGVGWSIWSSDVSAMFDSERQLEGRRLLDQLVTALGLPVSGKRQFAEQLDRLRLRVVGQRRSHSLVLPAWFGMKSDWDTSNVERSRKFASFLFSMREEDEEWEPPLLGAPDGGAPAEAEREPSWM
+>sp|Q9UHD1|CHRD1_HUMAN Cysteine and histidine-rich domain-containing protein 1 OS=Homo sapiens OX=9606 GN=CHORDC1 PE=1 SV=2
+MALLCYNRGCGQRFDPETNSDDACTYHPGVPVFHDALKGWSCCKRRTTDFSDFLSIVGCTKGRHNSEKPPEPVKPEVKTTEKKELCELKPKFQEHIIQAPKPVEAIKRPSPDEPMTNLELKISASLKQALDKLKLSSGNEENKKEEDNDEIKIGTSCKNGGCSKTYQGLESLEEVCVYHSGVPIFHEGMKYWSCCRRKTSDFNTFLAQEGCTKGKHMWTKKDAGKKVVPCRHDWHQTGGEVTISVYAKNSLPELSRVEANSTLLNVHIVFEGEKEFDQNVKLWGVIDVKRSYVTMTATKIEITMRKAEPMQWASLELPAAKKQEKQKDATTD
+>DECOY_sp|Q9UHD1|CHRD1_HUMAN Cysteine and histidine-rich domain-containing protein 1 OS=Homo sapiens OX=9606 GN=CHORDC1 PE=1 SV=2
+DTTADKQKEQKKAAPLELSAWQMPEAKRMTIEIKTATMTVYSRKVDIVGWLKVNQDFEKEGEFVIHVNLLTSNAEVRSLEPLSNKAYVSITVEGGTQHWDHRCPVVKKGADKKTWMHKGKTCGEQALFTNFDSTKRRCCSWYKMGEHFIPVGSHYVCVEELSELGQYTKSCGGNKCSTGIKIEDNDEEKKNEENGSSLKLKDLAQKLSASIKLELNTMPEDPSPRKIAEVPKPAQIIHEQFKPKLECLEKKETTKVEPKVPEPPKESNHRGKTCGVISLFDSFDTTRRKCCSWGKLADHFVPVGPHYTCADDSNTEPDFRQGCGRNYCLLAM
+>sp|Q8IZ52|CHSS2_HUMAN Chondroitin sulfate synthase 2 OS=Homo sapiens OX=9606 GN=CHPF PE=1 SV=2
+MRASLLLSVLRPAGPVAVGISLGFTLSLLSVTWVEEPCGPGPPQPGDSELPPRGNTNAARRPNSVQPGAEREKPGAGEGAGENWEPRVLPYHPAQPGQAAKKAVRTRYISTELGIRQRLLVAVLTSQTTLPTLGVAVNRTLGHRLERVVFLTGARGRRAPPGMAVVTLGEERPIGHLHLALRHLLEQHGDDFDWFFLVPDTTYTEAHGLARLTGHLSLASAAHLYLGRPQDFIGGEPTPGRYCHGGFGVLLSRMLLQQLRPHLEGCRNDIVSARPDEWLGRCILDATGVGCTGDHEGVHYSHLELSPGEPVQEGDPHFRSALTAHPVRDPVHMYQLHKAFARAELERTYQEIQELQWEIQNTSHLAVDGDQAAAWPVGIPAPSRPASRFEVLRWDYFTEQHAFSCADGSPRCPLRGADRADVADVLGTALEELNRRYHPALRLQKQQLVNGYRRFDPARGMEYTLDLQLEALTPQGGRRPLTRRVQLLRPLSRVEILPVPYVTEASRLTVLLPLAAAERDLAPGFLEAFATAALEPGDAAAALTLLLLYEPRQAQRVAHADVFAPVKAHVAELERRFPGARVPWLSVQTAAPSPLRLMDLLSKKHPLDTLFLLAGPDTVLTPDFLNRCRMHAISGWQAFFPMHFQAFHPAVAPPQGPGPPELGRDTGRFDRQAASEACFYNSDYVAARGRLAAASEQEEELLESLDVYELFLHFSSLHVLRAVEPALLQRYRAQTCSARLSEDLYHRCLQSVLEGLGSRTQLAMLLFEQEQGNST
+>DECOY_sp|Q8IZ52|CHSS2_HUMAN Chondroitin sulfate synthase 2 OS=Homo sapiens OX=9606 GN=CHPF PE=1 SV=2
+TSNGQEQEFLLMALQTRSGLGELVSQLCRHYLDESLRASCTQARYRQLLAPEVARLVHLSSFHLFLEYVDLSELLEEEQESAAALRGRAAVYDSNYFCAESAAQRDFRGTDRGLEPPGPGQPPAVAPHFAQFHMPFFAQWGSIAHMRCRNLFDPTLVTDPGALLFLTDLPHKKSLLDMLRLPSPAATQVSLWPVRAGPFRRELEAVHAKVPAFVDAHAVRQAQRPEYLLLLTLAAAADGPELAATAFAELFGPALDREAAALPLLVTLRSAETVYPVPLIEVRSLPRLLQVRRTLPRRGGQPTLAELQLDLTYEMGRAPDFRRYGNVLQQKQLRLAPHYRRNLEELATGLVDAVDARDAGRLPCRPSGDACSFAHQETFYDWRLVEFRSAPRSPAPIGVPWAAAQDGDVALHSTNQIEWQLEQIEQYTRELEARAFAKHLQYMHVPDRVPHATLASRFHPDGEQVPEGPSLELHSYHVGEHDGTCGVGTADLICRGLWEDPRASVIDNRCGELHPRLQQLLMRSLLVGFGGHCYRGPTPEGGIFDQPRGLYLHAASALSLHGTLRALGHAETYTTDPVLFFWDFDDGHQELLHRLALHLHGIPREEGLTVVAMGPPARRGRAGTLFVVRELRHGLTRNVAVGLTPLTTQSTLVAVLLRQRIGLETSIYRTRVAKKAAQGPQAPHYPLVRPEWNEGAGEGAGPKEREAGPQVSNPRRAANTNGRPPLESDGPQPPGPGCPEEVWTVSLLSLTFGLSIGVAVPGAPRLVSLLLSARM
+>sp|Q8NCG5|CHST4_HUMAN Carbohydrate sulfotransferase 4 OS=Homo sapiens OX=9606 GN=CHST4 PE=1 SV=2
+MLLPKKMKLLLFLVSQMAILALFFHMYSHNISSLSMKAQPERMHVLVLSSWRSGSSFVGQLFGQHPDVFYLMEPAWHVWMTFKQSTAWMLHMAVRDLIRAVFLCDMSVFDAYMEPGPRRQSSLFQWENSRALCSAPACDIIPQDEIIPRAHCRLLCSQQPFEVVEKACRSYSHVVLKEVRFFNLQSLYPLLKDPSLNLHIVHLVRDPRAVFRSRERTKGDLMIDSRIVMGQHEQKLKKEDQPYYVMQVICQSQLEIYKTIQSLPKALQERYLLVRYEDLARAPVAQTSRMYEFVGLEFLPHLQTWVHNITRGKGMGDHAFHTNARDALNVSQAWRWSLPYEKVSRLQKACGDAMNLLGYRHVRSEQEQRNLLLDLLSTWTVPEQIH
+>DECOY_sp|Q8NCG5|CHST4_HUMAN Carbohydrate sulfotransferase 4 OS=Homo sapiens OX=9606 GN=CHST4 PE=1 SV=2
+HIQEPVTWTSLLDLLLNRQEQESRVHRYGLLNMADGCAKQLRSVKEYPLSWRWAQSVNLADRANTHFAHDGMGKGRTINHVWTQLHPLFELGVFEYMRSTQAVPARALDEYRVLLYREQLAKPLSQITKYIELQSQCIVQMVYYPQDEKKLKQEHQGMVIRSDIMLDGKTRERSRFVARPDRVLHVIHLNLSPDKLLPYLSQLNFFRVEKLVVHSYSRCAKEVVEFPQQSCLLRCHARPIIEDQPIIDCAPASCLARSNEWQFLSSQRRPGPEMYADFVSMDCLFVARILDRVAMHLMWATSQKFTMWVHWAPEMLYFVDPHQGFLQGVFSSGSRWSSLVLVHMREPQAKMSLSSINHSYMHFFLALIAMQSVLFLLLKMKKPLLM
+>sp|Q9NS84|CHST7_HUMAN Carbohydrate sulfotransferase 7 OS=Homo sapiens OX=9606 GN=CHST7 PE=1 SV=2
+MKGRRRRRREYCKFALLLVLYTLVLLLVPSVLDGGRDGDKGAEHCPGLQRSLGVWSLEAAAAGEREQGAEARAAEEGGANQSPRFPSNLSGAVGEAVSREKQHIYVHATWRTGSSFLGELFNQHPDVFYLYEPMWHLWQALYPGDAESLQGALRDMLRSLFRCDFSVLRLYAPPGDPAARAPDTANLTTAALFRWRTNKVICSPPLCPGAPRARAEVGLVEDTACERSCPPVAIRALEAECRKYPVVVIKDVRLLDLGVLVPLLRDPGLNLKVVQLFRDPRAVHNSRLKSRQGLLRESIQVLRTRQRGDRFHRVLLAHGVGARPGGQSRALPAAPRADFFLTGALEVICEAWLRDLLFARGAPAWLRRRYLRLRYEDLVRQPRAQLRRLLRFSGLRALAALDAFALNMTRGAAYGADRPFHLSARDAREAVHAWRERLSREQVRQVEAACAPAMRLLAYPRSGEEGDAEQPREGETPLEMDADGAT
+>DECOY_sp|Q9NS84|CHST7_HUMAN Carbohydrate sulfotransferase 7 OS=Homo sapiens OX=9606 GN=CHST7 PE=1 SV=2
+TAGDADMELPTEGERPQEADGEEGSRPYALLRMAPACAAEVQRVQERSLRERWAHVAERADRASLHFPRDAGYAAGRTMNLAFADLAALARLGSFRLLRRLQARPQRVLDEYRLRLYRRRLWAPAGRAFLLDRLWAECIVELAGTLFFDARPAAPLARSQGGPRAGVGHALLVRHFRDGRQRTRLVQISERLLGQRSKLRSNHVARPDRFLQVVKLNLGPDRLLPVLVGLDLLRVDKIVVVPYKRCEAELARIAVPPCSRECATDEVLGVEARARPAGPCLPPSCIVKNTRWRFLAATTLNATDPARAAPDGPPAYLRLVSFDCRFLSRLMDRLAGQLSEADGPYLAQWLHWMPEYLYFVDPHQNFLEGLFSSGTRWTAHVYIHQKERSVAEGVAGSLNSPFRPSQNAGGEEAARAEAGQEREGAAAAELSWVGLSRQLGPCHEAGKDGDRGGDLVSPVLLLVLTYLVLLLAFKCYERRRRRRGKM
+>sp|Q9NRB3|CHSTC_HUMAN Carbohydrate sulfotransferase 12 OS=Homo sapiens OX=9606 GN=CHST12 PE=2 SV=2
+MTKARLFRLWLVLGSVFMILLIIVYWDSAGAAHFYLHTSFSRPHTGPPLPTPGPDRDRELTADSDVDEFLDKFLSAGVKQSDLPRKETEQPPAPGSMEESVRGYDWSPRDARRSPDQGRQQAERRSVLRGFCANSSLAFPTKERAFDDIPNSELSHLIVDDRHGAIYCYVPKVACTNWKRVMIVLSGSLLHRGAPYRDPLRIPREHVHNASAHLTFNKFWRRYGKLSRHLMKVKLKKYTKFLFVRDPFVRLISAFRSKFELENEEFYRKFAVPMLRLYANHTSLPASAREAFRAGLKVSFANFIQYLLDPHTEKLAPFNEHWRQVYRLCHPCQIDYDFVGKLETLDEDAAQLLQLLQVDRQLRFPPSYRNRTASSWEEDWFAKIPLAWRQQLYKLYEADFVLFGYPKPENLLRD
+>DECOY_sp|Q9NRB3|CHSTC_HUMAN Carbohydrate sulfotransferase 12 OS=Homo sapiens OX=9606 GN=CHST12 PE=2 SV=2
+DRLLNEPKPYGFLVFDAEYLKYLQQRWALPIKAFWDEEWSSATRNRYSPPFRLQRDVQLLQLLQAADEDLTELKGVFDYDIQCPHCLRYVQRWHENFPALKETHPDLLYQIFNAFSVKLGARFAERASAPLSTHNAYLRLMPVAFKRYFEENELEFKSRFASILRVFPDRVFLFKTYKKLKVKMLHRSLKGYRRWFKNFTLHASANHVHERPIRLPDRYPAGRHLLSGSLVIMVRKWNTCAVKPVYCYIAGHRDDVILHSLESNPIDDFAREKTPFALSSNACFGRLVSRREAQQRGQDPSRRADRPSWDYGRVSEEMSGPAPPQETEKRPLDSQKVGASLFKDLFEDVDSDATLERDRDPGPTPLPPGTHPRSFSTHLYFHAAGASDWYVIILLIMFVSGLVLWLRFLRAKTM
+>sp|P0C843|CI014_HUMAN Putative uncharacterized protein encoded by LINC00032 OS=Homo sapiens OX=9606 GN=LINC00032 PE=5 SV=1
+MHLHVQPLRAKGKRPKDTFNKMAHRKRHSVTSEFLSKVPDEVRQRYINLFVEKYLKVCKTEDEAVYKAKIEKKAIYERCSRRNMYVNIAVNYLKKLRDQGA
+>DECOY_sp|P0C843|CI014_HUMAN Putative uncharacterized protein encoded by LINC00032 OS=Homo sapiens OX=9606 GN=LINC00032 PE=5 SV=1
+AGQDRLKKLYNVAINVYMNRRSCREYIAKKEIKAKYVAEDETKCVKLYKEVFLNIYRQRVEDPVKSLFESTVSHRKRHAMKNFTDKPRKGKARLPQVHLHM
+>sp|Q9BUW7|CI016_HUMAN UPF0184 protein C9orf16 OS=Homo sapiens OX=9606 GN=C9orf16 PE=1 SV=1
+MSGPNGDLGMPVEAGAEGEEDGFGEAEYAAINSMLDQINSCLDHLEEKNDHLHARLQELLESNRQTRLEFQQQLGEAPSDASP
+>DECOY_sp|Q9BUW7|CI016_HUMAN UPF0184 protein C9orf16 OS=Homo sapiens OX=9606 GN=C9orf16 PE=1 SV=1
+PSADSPAEGLQQQFELRTQRNSELLEQLRAHLHDNKEELHDLCSNIQDLMSNIAAYEAEGFGDEEGEAGAEVPMGLDGNPGSM
+>sp|Q5W0N0|CI057_HUMAN Uncharacterized protein C9orf57 OS=Homo sapiens OX=9606 GN=C9orf57 PE=2 SV=1
+MKKIEISGTCLSFHLLFGLEIRMRRIVFAGVILFRLLGVILFRLLGVILFGRLGDLGTCQTKPGQYWKEEVHIQDVGGLICRACNLSLPFHGCLLDLGTCQAEPGQYCKEEVHIQGGIQWYSVKGCTKNTSECFKSTLVKRILQLHELVTTHCCNHSLCNF
+>DECOY_sp|Q5W0N0|CI057_HUMAN Uncharacterized protein C9orf57 OS=Homo sapiens OX=9606 GN=C9orf57 PE=2 SV=1
+FNCLSHNCCHTTVLEHLQLIRKVLTSKFCESTNKTCGKVSYWQIGGQIHVEEKCYQGPEAQCTGLDLLCGHFPLSLNCARCILGGVDQIHVEEKWYQGPKTQCTGLDGLRGFLIVGLLRFLIVGLLRFLIVGAFVIRRMRIELGFLLHFSLCTGSIEIKKM
+>sp|A6NGG3|CI092_HUMAN Putative uncharacterized protein C9orf92 OS=Homo sapiens OX=9606 GN=C9orf92 PE=4 SV=1
+MARFQRTKEREEDRCSLNIYYVRNTTQGTAPACVGKRMQPSPTGKGGKCCTVHGLTRKIHNVQPNLQSPILSAACVD
+>DECOY_sp|A6NGG3|CI092_HUMAN Putative uncharacterized protein C9orf92 OS=Homo sapiens OX=9606 GN=C9orf92 PE=4 SV=1
+DVCAASLIPSQLNPQVNHIKRTLGHVTCCKGGKGTPSPQMRKGVCAPATGQTTNRVYYINLSCRDEEREKTRQFRAM
+>sp|Q8N9P6|CI163_HUMAN Uncharacterized protein C9orf163 OS=Homo sapiens OX=9606 GN=C9orf163 PE=2 SV=1
+MPGPLTCTPAWQGQGRAAAFLCCSFQRAGAVVGVPARWHRGRLSSQQRLRSSLGGSHPCPQLGRRLVREGVISVPRQQGRRRCRESFSPADVAPGPICSANICLSGVRFLTCLNRVREHVVGPSPSPAAPICFFPVVEALCTLRGRRCHCLPFPKRGMQRWMLPLRRGARLLPLASSKNPRARSPGLDPLGSSETLWSHRGGH
+>DECOY_sp|Q8N9P6|CI163_HUMAN Uncharacterized protein C9orf163 OS=Homo sapiens OX=9606 GN=C9orf163 PE=2 SV=1
+HGGRHSWLTESSGLPDLGPSRARPNKSSALPLLRAGRRLPLMWRQMGRKPFPLCHCRRGRLTCLAEVVPFFCIPAAPSPSPGVVHERVRNLCTLFRVGSLCINASCIPGPAVDAPSFSERCRRRGQQRPVSIVGERVLRRGLQPCPHSGGLSSRLRQQSSLRGRHWRAPVGVVAGARQFSCCLFAAARGQGQWAPTCTLPGPM
+>sp|Q96Q77|CIB3_HUMAN Calcium and integrin-binding family member 3 OS=Homo sapiens OX=9606 GN=CIB3 PE=1 SV=3
+MGNKQTVFTHEQLEAYQDCTFFTRKEIMRLFYRYQDLAPQLVPLDYTTCPDVKVPYELIGSMPELKDNPFRQRIAQVFSEDGDGHMTLDNFLDMFSVMSEMAPRDLKAYYAFKIYDFNNDDYICAWDLEQTVTKLTRGGLSAEEVSLVCEKVLDEADGDHDGRLSLEDFQNMILRAPDFLSTFHIRI
+>DECOY_sp|Q96Q77|CIB3_HUMAN Calcium and integrin-binding family member 3 OS=Homo sapiens OX=9606 GN=CIB3 PE=1 SV=3
+IRIHFTSLFDPARLIMNQFDELSLRGDHDGDAEDLVKECVLSVEEASLGGRTLKTVTQELDWACIYDDNNFDYIKFAYYAKLDRPAMESMVSFMDLFNDLTMHGDGDESFVQAIRQRFPNDKLEPMSGILEYPVKVDPCTTYDLPVLQPALDQYRYFLRMIEKRTFFTCDQYAELQEHTFVTQKNGM
+>sp|Q9UHD4|CIDEB_HUMAN Cell death activator CIDE-B OS=Homo sapiens OX=9606 GN=CIDEB PE=1 SV=2
+MEYLSALNPSDLLRSVSNISSEFGRRVWTSAPPPQRPFRVCDHKRTIRKGLTAATRQELLAKALETLLLNGVLTLVLEEDGTAVDSEDFFQLLEDDTCLMVLQSGQSWSPTRSGVLSYGLGRERPKHSKDIARFTFDVYKQNPRDLFGSLNVKATFYGLYSMSCDFQGLGPKKVLRELLRWTSTLLQGLGHMLLGISSTLRHAVEGAEQWQQKGRLHSY
+>DECOY_sp|Q9UHD4|CIDEB_HUMAN Cell death activator CIDE-B OS=Homo sapiens OX=9606 GN=CIDEB PE=1 SV=2
+YSHLRGKQQWQEAGEVAHRLTSSIGLLMHGLGQLLTSTWRLLERLVKKPGLGQFDCSMSYLGYFTAKVNLSGFLDRPNQKYVDFTFRAIDKSHKPRERGLGYSLVGSRTPSWSQGSQLVMLCTDDELLQFFDESDVATGDEELVLTLVGNLLLTELAKALLEQRTAATLGKRITRKHDCVRFPRQPPPASTWVRRGFESSINSVSRLLDSPNLASLYEM
+>sp|Q8IUL8|CILP2_HUMAN Cartilage intermediate layer protein 2 OS=Homo sapiens OX=9606 GN=CILP2 PE=2 SV=2
+MASLLPLLCLCVVAAHLAGARDATPTEEPMATALGLERRSVYTGQPSPALEDWEEASEWTSWFNVDHPGGDGDFESLAAIRFYYGPARVCPRPLALEARTTDWALPSAVGERVHLNPTRGFWCLNREQPRGRRCSNYHVRFRCPLEASWGAWGPWGPCSGSCGPGRRLRRRHCPSPAGDACPGRPLEAQKCVRPRCPGCSLDTCECPDHILLGSVVTPSGQPLLGARVSLRDQPGTVATSDAHGTFRVPGVCADSRANIRAQMDGFSAGEAQAQANGSISVVTIILDKLEKPYLVKHPESRVREAGQNVTFCCKASGTPMPKKYSWFHNGTLLDRRAHGYGAHLELRGLRPDQAGIYHCKAWNEAGAVRSGTARLTVLAPGQPACDPRPREYLIKLPEDCGQPGSGPAYLDVGLCPDTRCPSLAGSSPRCGDASSRCCSVRRLERREIHCPGYVLPVKVVAECGCQKCLPPRGLVRGRVVAADSGEPLRFARILLGQEPIGFTAYQGDFTIEVPPSTQRLVVTFVDPSGEFMDAVRVLPFDPRGAGVYHEVKAMRKKAPVILHTSQSNTIPLGELEDEAPLGELVLPSGAFRRADGKPYSGPVEARVTFVDPRDLTSAASAPSDLRFVDSDGELAPLRTYGMFSVDLRAPGSAEQLQVGPVAVRVAASQIHMPGHVEALKLWSLNPETGLWEEESGFRREGSSGPRVRREERVFLVGNVEIRERRLFNLDVPERRRCFVKVRAYANDKFTPSEQVEGVVVTLVNLEPAPGFSANPRAWGRFDSAVTGPNGACLPAFCDADRPDAYTALVTATLGGEELEPAPSLPRPLPATVGVTQPYLDRLGYRRTDHDDPAFKRNGFRINLAKPRPGDPAEANGPVYPWRSLRECQGAPVTASHFRFARVEADKYEYNVVPFREGTPASWTGDLLAWWPNPQEFRACFLKVKIQGPQEYMVRSHNAGGSHPRTRGQLYGLRDARSVRDPERPGTSAACVEFKCSGMLFDQRQVDRTLVTIMPQGSCRRVAVNGLLRDYLTRHPPPVPAEDPAAFSMLAPLDPLGHNYGVYTVTDQSPRLAKEIAIGRCFDGSSDGFSREMKADAGTAVTFQCREPPAGRPSLFQRLLESPATALGDIRREMSEAAQAQARASGPLRTRRGRVRQ
+>DECOY_sp|Q8IUL8|CILP2_HUMAN Cartilage intermediate layer protein 2 OS=Homo sapiens OX=9606 GN=CILP2 PE=2 SV=2
+QRVRGRRTRLPGSARAQAQAAESMERRIDGLATAPSELLRQFLSPRGAPPERCQFTVATGADAKMERSFGDSSGDFCRGIAIEKALRPSQDTVTYVGYNHGLPDLPALMSFAAPDEAPVPPPHRTLYDRLLGNVAVRRCSGQPMITVLTRDVQRQDFLMGSCKFEVCAASTGPREPDRVSRADRLGYLQGRTRPHSGGANHSRVMYEQPGQIKVKLFCARFEQPNPWWALLDGTWSAPTGERFPVVNYEYKDAEVRAFRFHSATVPAGQCERLSRWPYVPGNAEAPDGPRPKALNIRFGNRKFAPDDHDTRRYGLRDLYPQTVGVTAPLPRPLSPAPELEEGGLTATVLATYADPRDADCFAPLCAGNPGTVASDFRGWARPNASFGPAPELNVLTVVVGEVQESPTFKDNAYARVKVFCRRREPVDLNFLRRERIEVNGVLFVREERRVRPGSSGERRFGSEEEWLGTEPNLSWLKLAEVHGPMHIQSAAVRVAVPGVQLQEASGPARLDVSFMGYTRLPALEGDSDVFRLDSPASAASTLDRPDVFTVRAEVPGSYPKGDARRFAGSPLVLEGLPAEDELEGLPITNSQSTHLIVPAKKRMAKVEHYVGAGRPDFPLVRVADMFEGSPDVFTVVLRQTSPPVEITFDGQYATFGIPEQGLLIRAFRLPEGSDAAVVRGRVLGRPPLCKQCGCEAVVKVPLVYGPCHIERRELRRVSCCRSSADGCRPSSGALSPCRTDPCLGVDLYAPGSGPQGCDEPLKILYERPRPDCAPQGPALVTLRATGSRVAGAENWAKCHYIGAQDPRLGRLELHAGYGHARRDLLTGNHFWSYKKPMPTGSAKCCFTVNQGAERVRSEPHKVLYPKELKDLIITVVSISGNAQAQAEGASFGDMQARINARSDACVGPVRFTGHADSTAVTGPQDRLSVRAGLLPQGSPTVVSGLLIHDPCECTDLSCGPCRPRVCKQAELPRGPCADGAPSPCHRRRLRRGPGCSGSCPGWPGWAGWSAELPCRFRVHYNSCRRGRPQERNLCWFGRTPNLHVREGVASPLAWDTTRAELALPRPCVRAPGYYFRIAALSEFDGDGGPHDVNFWSTWESAEEWDELAPSPQGTYVSRRELGLATAMPEETPTADRAGALHAAVVCLCLLPLLSAM
+>sp|Q15642|CIP4_HUMAN Cdc42-interacting protein 4 OS=Homo sapiens OX=9606 GN=TRIP10 PE=1 SV=3
+MDWGTELWDQFEVLERHTQWGLDLLDRYVKFVKERTEVEQAYAKQLRSLVKKYLPKRPAKDDPESKFSQQQSFVQILQEVNDFAGQRELVAENLSVRVCLELTKYSQEMKQERKMHFQEGRRAQQQLENGFKQLENSKRKFERDCREAEKAAQTAERLDQDINATKADVEKAKQQAHLRSHMAEESKNEYAAQLQRFNRDQAHFYFSQMPQIFDKLQDMDERRATRLGAGYGLLSEAELEVVPIIAKCLEGMKVAANAVDPKNDSHVLIELHKSGFARPGDVEFEDFSQPMNRAPSDSSLGTPSDGRPELRGPGRSRTKRWPFGKKNKPRPPPLSPLGGPVPSALPNGPPSPRSGRDPLAILSEISKSVKPRLASFRSLRGSRGTVVTEDFSHLPPEQQRKRLQQQLEERSRELQKEVDQREALKKMKDVYEKTPQMGDPASLEPQIAETLSNIERLKLEVQKYEAWLAEAESRVLSNRGDSLSRHARPPDPPASAPPDSSSNSASQDTKESSEEPPSEESQDTPIYTEFDEDFEEEPTSPIGHCVAIYHFEGSSEGTISMAEGEDLSLMEEDKGDGWTRVRRKEGGEGYVPTSYLRVTLN
+>DECOY_sp|Q15642|CIP4_HUMAN Cdc42-interacting protein 4 OS=Homo sapiens OX=9606 GN=TRIP10 PE=1 SV=3
+NLTVRLYSTPVYGEGGEKRRVRTWGDGKDEEMLSLDEGEAMSITGESSGEFHYIAVCHGIPSTPEEEFDEDFETYIPTDQSEESPPEESSEKTDQSASNSSSDPPASAPPDPPRAHRSLSDGRNSLVRSEAEALWAEYKQVELKLREINSLTEAIQPELSAPDGMQPTKEYVDKMKKLAERQDVEKQLERSREELQQQLRKRQQEPPLHSFDETVVTGRSGRLSRFSALRPKVSKSIESLIALPDRGSRPSPPGNPLASPVPGGLPSLPPPRPKNKKGFPWRKTRSRGPGRLEPRGDSPTGLSSDSPARNMPQSFDEFEVDGPRAFGSKHLEILVHSDNKPDVANAAVKMGELCKAIIPVVELEAESLLGYGAGLRTARREDMDQLKDFIQPMQSFYFHAQDRNFRQLQAAYENKSEEAMHSRLHAQQKAKEVDAKTANIDQDLREATQAAKEAERCDREFKRKSNELQKFGNELQQQARRGEQFHMKREQKMEQSYKTLELCVRVSLNEAVLERQGAFDNVEQLIQVFSQQQSFKSEPDDKAPRKPLYKKVLSRLQKAYAQEVETREKVFKVYRDLLDLGWQTHRELVEFQDWLETGWDM
+>sp|P0C7P0|CISD3_HUMAN CDGSH iron-sulfur domain-containing protein 3, mitochondrial OS=Homo sapiens OX=9606 GN=CISD3 PE=1 SV=1
+MRGAGAILRPAARGARDLNPRRDISSWLAQWFPRTPARSVVALKTPIKVELVAGKTYRWCVCGRSKKQPFCDGSHFFQRTGLSPLKFKAQETRMVALCTCKATQRPPYCDGTHRSERVQKAEVGSPL
+>DECOY_sp|P0C7P0|CISD3_HUMAN CDGSH iron-sulfur domain-containing protein 3, mitochondrial OS=Homo sapiens OX=9606 GN=CISD3 PE=1 SV=1
+LPSGVEAKQVRESRHTGDCYPPRQTAKCTCLAVMRTEQAKFKLPSLGTRQFFHSGDCFPQKKSRGCVCWRYTKGAVLEVKIPTKLAVVSRAPTRPFWQALWSSIDRRPNLDRAGRAAPRLIAGAGRM
+>sp|Q99967|CITE2_HUMAN Cbp/p300-interacting transactivator 2 OS=Homo sapiens OX=9606 GN=CITED2 PE=1 SV=2
+MADHMMAMNHGRFPDGTNGLHHHPAHRMGMGQFPSPHHHQQQQPQHAFNALMGEHIHYGAGNMNATSGIRHAMGPGTVNGGHPPSALAPAARFNNSQFMGPPVASQGGSLPASMQLQKLNNQYFNHHPYPHNHYMPDLHPAAGHQMNGTNQHFRDCNPKHSGGSSTPGGSGGSSTPGGSGSSSGGGAGSSNSGGGSGSGNMPASVAHVPAAMLPPNVIDTDFIDEEVLMSLVIEMGLDRIKELPELWLGQNEFDFMTDFVCKQQPSRVSC
+>DECOY_sp|Q99967|CITE2_HUMAN Cbp/p300-interacting transactivator 2 OS=Homo sapiens OX=9606 GN=CITED2 PE=1 SV=2
+CSVRSPQQKCVFDTMFDFENQGLWLEPLEKIRDLGMEIVLSMLVEEDIFDTDIVNPPLMAAPVHAVSAPMNGSGSGGGSNSSGAGGGSSSGSGGPTSSGGSGGPTSSGGSHKPNCDRFHQNTGNMQHGAAPHLDPMYHNHPYPHHNFYQNNLKQLQMSAPLSGGQSAVPPGMFQSNNFRAAPALASPPHGGNVTGPGMAHRIGSTANMNGAGYHIHEGMLANFAHQPQQQQHHHPSPFQGMGMRHAPHHHLGNTGDPFRGHNMAMMHDAM
+>sp|P0C842|CJ052_HUMAN Putative uncharacterized protein encoded by LINC00614 OS=Homo sapiens OX=9606 GN=LINC00614 PE=5 SV=1
+MEGRNCTVEILPERLNIEGWYDADDTKPGKSWAGRAASIERLNEFDNNLFGISDLEAECLDPQQKLLLECTYGALESAGVPAKEVAGSRTGVFIGIMNQDYEFMSRRTPRMQTTVMPLDLQ
+>DECOY_sp|P0C842|CJ052_HUMAN Putative uncharacterized protein encoded by LINC00614 OS=Homo sapiens OX=9606 GN=LINC00614 PE=5 SV=1
+QLDLPMVTTQMRPTRRSMFEYDQNMIGIFVGTRSGAVEKAPVGASELAGYTCELLLKQQPDLCEAELDSIGFLNNDFENLREISAARGAWSKGPKTDDADYWGEINLREPLIEVTCNRGEM
+>sp|Q8N6V4|CJ053_HUMAN UPF0728 protein C10orf53 OS=Homo sapiens OX=9606 GN=C10orf53 PE=3 SV=3
+MPKNAVVILRYGPYSAAGLPVEHHTFRLQGLQAVLAIDGHEVILEKIEDWNVVELMVNEEVIFHCNIKDLEFGGDGKLDPLCEKARIAVLNAY
+>DECOY_sp|Q8N6V4|CJ053_HUMAN UPF0728 protein C10orf53 OS=Homo sapiens OX=9606 GN=C10orf53 PE=3 SV=3
+YANLVAIRAKECLPDLKGDGGFELDKINCHFIVEENVMLEVVNWDEIKELIVEHGDIALVAQLGQLRFTHHEVPLGAASYPGYRLIVVANKPM
+>sp|Q8IYJ2|CJ067_HUMAN Uncharacterized protein C10orf67, mitochondrial OS=Homo sapiens OX=9606 GN=C10orf67 PE=2 SV=3
+MMALVRDRRAHYVMSIVIRWVHCFSSSLRGTFGTRWEAMKAKATELRVCCARRKREAREFKPPQMRGSTRLNISDDLKIGFFSTDHATQTDSSEILSVKELSSSTQKLAQMMKSLQVDFGFLKQLLQLKFEDRLKEESLSLFTILHDRILEIEKHYQQNEDKMRKSFNQQLADAIAVIKGMYQQFFEVEEENVSLQDASTVKTNILLRKLKEKEEVIKELKEELDQYKDFGFHKMESFAKETSSPKSNLEKENLEYKVENERLLQIISELEEEIQINLKENSGLEDELISMKEMAEKDHKTIQKLMDSRDRLREELHYEKSLVQDVINKQKEDKEMRKKYGSLSVKVARSAKGREASLSPWPKSPPSTTALRPHSATMSVSSAGAQKAKMPKKALKEDQAVVEDKHGLESQIEALKANLENEKKKVERFRKEADRLNKSWEKRFFILRNSFHVLKNEMFTRHTLFRQFAVLADTSFNYIKVKPLLVQSRTTMTAISSSSHCTSSIDGKHVDVVSDQAALQLSPKGKLSESPKEESLEEPSMRQSSPAETVD
+>DECOY_sp|Q8IYJ2|CJ067_HUMAN Uncharacterized protein C10orf67, mitochondrial OS=Homo sapiens OX=9606 GN=C10orf67 PE=2 SV=3
+DVTEAPSSQRMSPEELSEEKPSESLKGKPSLQLAAQDSVVDVHKGDISSTCHSSSSIATMTTRSQVLLPKVKIYNFSTDALVAFQRFLTHRTFMENKLVHFSNRLIFFRKEWSKNLRDAEKRFREVKKKENELNAKLAEIQSELGHKDEVVAQDEKLAKKPMKAKQAGASSVSMTASHPRLATTSPPSKPWPSLSAERGKASRAVKVSLSGYKKRMEKDEKQKNIVDQVLSKEYHLEERLRDRSDMLKQITKHDKEAMEKMSILEDELGSNEKLNIQIEEELESIIQLLRENEVKYELNEKELNSKPSSTEKAFSEMKHFGFDKYQDLEEKLEKIVEEKEKLKRLLINTKVTSADQLSVNEEEVEFFQQYMGKIVAIADALQQNFSKRMKDENQQYHKEIELIRDHLITFLSLSEEKLRDEFKLQLLQKLFGFDVQLSKMMQALKQTSSSLEKVSLIESSDTQTAHDTSFFGIKLDDSINLRTSGRMQPPKFERAERKRRACCVRLETAKAKMAEWRTGFTGRLSSSFCHVWRIVISMVYHARRDRVLAMM
+>sp|Q9H8K7|CJ088_HUMAN Uncharacterized protein C10orf88 OS=Homo sapiens OX=9606 GN=C10orf88 PE=1 SV=2
+METRTEDGGLTRRPTLASSWDVAGGALTHSLLLTRAGLGPGDFDWEELLAPPAPGQDLVILKRNHNNKDENPCFLYLRCGPDGGEEIASIGILSSARNMEVYLGEEYCGTSRGKNVCTVLDDSEHEKIILYKKNLKLESSTHACKIKLLSFGERQCVFISKVVVHMRSVFANSSTSSPALGSRIDLDKVQTIMESMGSKLSPGAQQLMDMVRCQQRNCIPIGEQLQSVLGNSGYKHMIGLQSSSTLGTLNKSSSTPFPFRTGLTSGNVTENLQTYIDKSTQLPGGENSTKLDECKVMPQNHSFLENDLKNAMASFLPKKVSDNSNIPNSELLPFLQNLCSQVNHLHVGNKTECQENITKHGERILGVGMEEQSICSYLEKILSKNMELMEKKLMDYIDQRIHELQEHIDDKIALLLDLLQNPNSPPTGIPLRHYDSGERLSNGER
+>DECOY_sp|Q9H8K7|CJ088_HUMAN Uncharacterized protein C10orf88 OS=Homo sapiens OX=9606 GN=C10orf88 PE=1 SV=2
+REGNSLREGSDYHRLPIGTPPSNPNQLLDLLLAIKDDIHEQLEHIRQDIYDMLKKEMLEMNKSLIKELYSCISQEEMGVGLIREGHKTINEQCETKNGVHLHNVQSCLNQLFPLLESNPINSNDSVKKPLFSAMANKLDNELFSHNQPMVKCEDLKTSNEGGPLQTSKDIYTQLNETVNGSTLGTRFPFPTSSSKNLTGLTSSSQLGIMHKYGSNGLVSQLQEGIPICNRQQCRVMDMLQQAGPSLKSGMSEMITQVKDLDIRSGLAPSSTSSNAFVSRMHVVVKSIFVCQREGFSLLKIKCAHTSSELKLNKKYLIIKEHESDDLVTCVNKGRSTGCYEEGLYVEMNRASSLIGISAIEEGGDPGCRLYLFCPNEDKNNHNRKLIVLDQGPAPPALLEEWDFDGPGLGARTLLLSHTLAGGAVDWSSALTPRRTLGGDETRTEM
+>sp|Q8N4M7|CJ126_HUMAN Putative uncharacterized protein C10orf126 OS=Homo sapiens OX=9606 GN=C10orf126 PE=2 SV=2
+MNHCIQFSPQSLQRWLILPCYDLKLPIWANTTEFCPHGPRRASQDPQLLAWLPDQSLEVSLELYDWNSMTFTLFLETVEPVAVESEGSGIFSFVWQQLIFPAEARWCFSWAQDCGLDGSFPGSAHTEPFGKAAAGQGSVAGKEAKKAGPGFHRQLLYLQFQKRCLFNYPELL
+>DECOY_sp|Q8N4M7|CJ126_HUMAN Putative uncharacterized protein C10orf126 OS=Homo sapiens OX=9606 GN=C10orf126 PE=2 SV=2
+LLEPYNFLCRKQFQLYLLQRHFGPGAKKAEKGAVSGQGAAAKGFPETHASGPFSGDLGCDQAWSFCWRAEAPFILQQWVFSFIGSGESEVAVPEVTELFLTFTMSNWDYLELSVELSQDPLWALLQPDQSARRPGHPCFETTNAWIPLKLDYCPLILWRQLSQPSFQICHNM
+>sp|Q9NQ32|CK016_HUMAN Uncharacterized protein C11orf16 OS=Homo sapiens OX=9606 GN=C11orf16 PE=2 SV=3
+MESSTGPRMPLLKYCSVATSLKAPGWDGAAPPWDLSFTYPFALQAPWLTGHKPLARHASSCPCLHVADPAWQGPGWLGRAGDAANTWVLARREADGFYYRAQIKATPELERQGVLLVEFEAPLVAGPKLPAQQQRVVLEEDVIPLSPSVGYSLRPGDKVLALWEPGQQQYGPGTVLLGLEMRDPQRASKEKEITVHFWNGKAAKVPLGGVQSVSLTIWKKAVERLHKSFTREHPRPLHWAPCCSLLGPITGRITNELPPDAPFLCPLCHHHACCQLLCQGCLCGCPPCGTTWWPLTRTSEVMARELPELEPTAQLLPLEGPKEEKVAMHAPLAVSSSSSSSCEQDGVENDLEMGPPQRLMVNSAVNTDPIFLEMPLRQSGLCQPEWRYWKRNGPEPCLGKPGTRYSNICKEEKDHKQQRAQTAVVGTTKELVSKATHMKPPRTPPGEAEHRKRSQSLAICQWNKNSR
+>DECOY_sp|Q9NQ32|CK016_HUMAN Uncharacterized protein C11orf16 OS=Homo sapiens OX=9606 GN=C11orf16 PE=2 SV=3
+RSNKNWQCIALSQSRKRHEAEGPPTRPPKMHTAKSVLEKTTGVVATQARQQKHDKEEKCINSYRTGPKGLCPEPGNRKWYRWEPQCLGSQRLPMELFIPDTNVASNVMLRQPPGMELDNEVGDQECSSSSSSSVALPAHMAVKEEKPGELPLLQATPELEPLERAMVESTRTLPWWTTGCPPCGCLCGQCLLQCCAHHHCLPCLFPADPPLENTIRGTIPGLLSCCPAWHLPRPHERTFSKHLREVAKKWITLSVSQVGGLPVKAAKGNWFHVTIEKEKSARQPDRMELGLLVTGPGYQQQGPEWLALVKDGPRLSYGVSPSLPIVDEELVVRQQQAPLKPGAVLPAEFEVLLVGQRELEPTAKIQARYYFGDAERRALVWTNAADGARGLWGPGQWAPDAVHLCPCSSAHRALPKHGTLWPAQLAFPYTFSLDWPPAAGDWGPAKLSTAVSCYKLLPMRPGTSSEM
+>sp|Q8WZ69|CK040_HUMAN Putative uncharacterized protein C11orf40 OS=Homo sapiens OX=9606 GN=C11orf40 PE=2 SV=1
+MALVQALVPREREPKLSILQMDRGDPQHSSHWCPEREKVKLLTLKPRETSKNILINFYRAFNLDKDVFIHQANHPLTVPSSVVMGDNGHTLAEDDKRPCFRVLPCYLERVSSGISISWISAPLPVGAMKHQLLCDLMDLITLSFWLAGQCMSLKATNMQHCKCSIATSDWAIELDRTDYKTLPSEYSILALLQVFAGKNCMDRVLLHVDVNYLKSLP
+>DECOY_sp|Q8WZ69|CK040_HUMAN Putative uncharacterized protein C11orf40 OS=Homo sapiens OX=9606 GN=C11orf40 PE=2 SV=1
+PLSKLYNVDVHLLVRDMCNKGAFVQLLALISYESPLTKYDTRDLEIAWDSTAISCKCHQMNTAKLSMCQGALWFSLTILDMLDCLLQHKMAGVPLPASIWSISIGSSVRELYCPLVRFCPRKDDEALTHGNDGMVVSSPVTLPHNAQHIFVDKDLNFARYFNILINKSTERPKLTLLKVKEREPCWHSSHQPDGRDMQLISLKPERERPVLAQVLAM
+>sp|Q9H0W9|CK054_HUMAN Ester hydrolase C11orf54 OS=Homo sapiens OX=9606 GN=C11orf54 PE=1 SV=1
+MACAEFSFHVPSLEELAGVMQKGLKDNFADVQVSVVDCPDLTKEPFTFPVKGICGKTRIAEVGGVPYLLPLVNQKKVYDLNKIAKEIKLPGAFILGAGAGPFQTLGFNSEFMPVIQTESEHKPPVNGSYFAHVNPADGGCLLEKYSEKCHDFQCALLANLFASEGQPGKVIEVKAKRRTGPLNFVTCMRETLEKHYGNKPIGMGGTFIIQKGKVKSHIMPAEFSSCPLNSDEEVNKWLHFYEMKAPLVCLPVFVSRDPGFDLRLEHTHFFSRHGEGGHYHYDTTPDIVEYLGYFLPAEFLYRIDQPKETHSIGRD
+>DECOY_sp|Q9H0W9|CK054_HUMAN Ester hydrolase C11orf54 OS=Homo sapiens OX=9606 GN=C11orf54 PE=1 SV=1
+DRGISHTEKPQDIRYLFEAPLFYGLYEVIDPTTDYHYHGGEGHRSFFHTHELRLDFGPDRSVFVPLCVLPAKMEYFHLWKNVEEDSNLPCSSFEAPMIHSKVKGKQIIFTGGMGIPKNGYHKELTERMCTVFNLPGTRRKAKVEIVKGPQGESAFLNALLACQFDHCKESYKELLCGGDAPNVHAFYSGNVPPKHESETQIVPMFESNFGLTQFPGAGAGLIFAGPLKIEKAIKNLDYVKKQNVLPLLYPVGGVEAIRTKGCIGKVPFTFPEKTLDPCDVVSVQVDAFNDKLGKQMVGALEELSPVHFSFEACAM
+>sp|Q96S95|CK2N2_HUMAN Calcium/calmodulin-dependent protein kinase II inhibitor 2 OS=Homo sapiens OX=9606 GN=CAMK2N2 PE=2 SV=1
+MSEILPYSEDKMGRFGADPEGSDLSFSCRLQDTNSFFAGNQAKRPPKLGQIGRAKRVVIEDDRIDDVLKGMGEKPPSGV
+>DECOY_sp|Q96S95|CK2N2_HUMAN Calcium/calmodulin-dependent protein kinase II inhibitor 2 OS=Homo sapiens OX=9606 GN=CAMK2N2 PE=2 SV=1
+VGSPPKEGMGKLVDDIRDDEIVVRKARGIQGLKPPRKAQNGAFFSNTDQLRCSFSLDSGEPDAGFRGMKDESYPLIESM
+>sp|Q96SZ6|CK5P1_HUMAN CDK5 regulatory subunit-associated protein 1 OS=Homo sapiens OX=9606 GN=CDK5RAP1 PE=1 SV=2
+MHPLQCVLQVQRSLGWGPLASVSWLSLRMCRAHSSLSSTMCPSPERQEDGARKDFSSRLAAGPTFQHFLKSASAPQEKLSSEVEDPPPYLMMDELLGRQRKVYLETYGCQMNVNDTEIAWSILQKSGYLRTSNLQEADVILLVTCSIREKAEQTIWNRLHQLKALKTRRPRSRVPLRIGILGCMAERLKEEILNREKMVDILAGPDAYRDLPRLLAVAESGQQAANVLLSLDETYADVMPVQTSASATSAFVSIMRGCDNMCSYCIVPFTRGRERSRPIASILEEVKKLSEQVFLPPRPPKVLGLQGLKEVTLLGQNVNSFRDNSEVQFNSAVPTNLSRGFTTNYKTKQGGLRFAHLLDQVSRVDPEMRIRFTSPHPKDFPDEVLQLIHERDNICKQIHLPAQSGSSRVLEAMRRGYSREAYVELVHHIRESIPGVSLSSDFIAGFCGETEEDHVQTVSLLREVQYNMGFLFAYSMRQKTRAYHRLKDDVPEEVKLRRLEELITIFREEATKANQTSVGCTQLVLVEGLSKRSATDLCGRNDGNLKVIFPDAEMEDVNNPGLRVRAQPGDYVLVKITSASSQTLRGHVLCRTTLRDSSAYC
+>DECOY_sp|Q96SZ6|CK5P1_HUMAN CDK5 regulatory subunit-associated protein 1 OS=Homo sapiens OX=9606 GN=CDK5RAP1 PE=1 SV=2
+CYASSDRLTTRCLVHGRLTQSSASTIKVLVYDGPQARVRLGPNNVDEMEADPFIVKLNGDNRGCLDTASRKSLGEVLVLQTCGVSTQNAKTAEERFITILEELRRLKVEEPVDDKLRHYARTKQRMSYAFLFGMNYQVERLLSVTQVHDEETEGCFGAIFDSSLSVGPISERIHHVLEVYAERSYGRRMAELVRSSGSQAPLHIQKCINDREHILQLVEDPFDKPHPSTFRIRMEPDVRSVQDLLHAFRLGGQKTKYNTTFGRSLNTPVASNFQVESNDRFSNVNQGLLTVEKLGQLGLVKPPRPPLFVQESLKKVEELISAIPRSRERGRTFPVICYSCMNDCGRMISVFASTASASTQVPMVDAYTEDLSLLVNAAQQGSEAVALLRPLDRYADPGALIDVMKERNLIEEKLREAMCGLIGIRLPVRSRPRRTKLAKLQHLRNWITQEAKERISCTVLLIVDAEQLNSTRLYGSKQLISWAIETDNVNMQCGYTELYVKRQRGLLEDMMLYPPPDEVESSLKEQPASASKLFHQFTPGAALRSSFDKRAGDEQREPSPCMTSSLSSHARCMRLSLWSVSALPGWGLSRQVQLVCQLPHM
+>sp|Q96SN8|CK5P2_HUMAN CDK5 regulatory subunit-associated protein 2 OS=Homo sapiens OX=9606 GN=CDK5RAP2 PE=1 SV=5
+MMDLVLEEDVTVPGTLSGCSGLVPSVPDDLDGINPNAGLGNGLLPNVSEETVSPTRARNMKDFENQITELKKENFNLKLRIYFLEERMQQEFHGPTEHIYKTNIELKVEVESLKRELQEREQLLIKASKAVESLAEAGGSEIQRVKEDARKKVQQVEDLLTKRILLLEKDVTAAQAELEKAFAGTETEKALRLRLESKLSEMKKMHEGDLAMALVLDEKDRLIEELKLSLKSKEALIQCLKEEKSQMACPDENVSSGELRGLCAAPREEKERETEAAQMEHQKERNSFEERIQALEEDLREKEREIATEKKNSLKRDKAIQGLTMALKSKEKKVEELNSEIEKLSAAFAKAREALQKAQTQEFQGSEDYETALSGKEALSAALRSQNLTKSTENHRLRRSIKKITQELSDLQQERERLEKDLEEAHREKSKGDCTIRDLRNEVEKLRNEVNEREKAMENRYKSLLSESNKKLHNQEQVIKHLTESTNQKDVLLQKFNEKDLEVIQQNCYLMAAEDLELRSEGLITEKCSSQQPPGSKTIFSKEKKQSSDYEELIQVLKKEQDIYTHLVKSLQESDSINNLQAELNKIFALRKQLEQDVLSYQNLRKTLEEQISEIRRREEESFSLYSDQTSYLSICLEENNRFQVEHFSQEELKKKVSDLIQLVKELYTDNQHLKKTIFDLSCMGFQGNGFPDRLASTEQTELLASKEDEDTIKIGEDDEINFLSDQHLQQSNEIMKDLSKGGCKNGYLRHTESKISDCDGAHAPGCLEEGAFINLLAPLFNEKATLLLESRPDLLKVVRELLLGQLFLTEQEVSGEHLDGKTEKTPKQKGELVHFVQTNSFSKPHDELKLSCEAQLVKAGEVPKVGLKDASVQTVATEGDLLRFKHEATREAWEEKPINTALSAEHRPENLHGVPGWQAALLSLPGITNREAKKSRLPILIKPSRSLGNMYRLPATQEVVTQLQSQILELQGELKEFKTCNKQLHQKLILAEAVMEGRPTPDKTLLNAQPPVGAAYQDSPGEQKGIKTTSSVWRDKEMDSDQQRSYEIDSEICPPDDLASLPSCKENPEDVLSPTSVATYLSSKSQPSAKVSVMGTDQSESINTSNETEYLKQKIHDLETELEGYQNFIFQLQKHSQCSEAIITVLCGTEGAQDGLSKPKNGSDGEEMTFSSLHQVRYVKHVKILGPLAPEMIDSRVLENLKQQLEEQEYKLQKEQNLNMQLFSEIHNLQNKFRDLSPPRYDSLVQSQARELSLQRQQIKDGHGICVISRQHMNTMIKAFEELLQASDVDYCVAEGFQEQLNQCAELLEKLEKLFLNGKSVGVEMNTQNELMERIEEDNLTYQHLLPESPEPSASHALSDYETSEKSFFSRDQKQDNETEKTSVMVNSFSQDLLMEHIQEIRTLRKRLEESIKTNEKLRKQLERQGSEFVQGSTSIFASGSELHSSLTSEIHFLRKQNQALNAMLIKGSRDKQKENDKLRESLSRKTVSLEHLQREYASVKEENERLQKEGSEKERHNQQLIQEVRCSGQELSRVQEEVKLRQQLLSQNDKLLQSLRVELKAYEKLDEEHRRLREASGEGWKGQDPFRDLHSLLMEIQALRLQLERSIETSSTLQSRLKEQLARGAEKAQEGALTLAVQAVSIPEVPLQPDKHDGDKYPMESDNSFDLFDSSQAVTPKSVSETPPLSGNDTDSLSCDSGSSATSTPCVSRLVTGHHLWASKNGRHVLGLIEDYEALLKQISQGQRLLAEMDIQTQEAPSSTSQELGTKGPHPAPLSKFVSSVSTAKLTLEEAYRRLKLLWRVSLPEDGQCPLHCEQIGEMKAEVTKLHKKLFEQEKKLQNTMKLLQLSKRQEKVIFDQLVVTHKILRKARGNLELRPGGAHPGTCSPSRPGS
+>DECOY_sp|Q96SN8|CK5P2_HUMAN CDK5 regulatory subunit-associated protein 2 OS=Homo sapiens OX=9606 GN=CDK5RAP2 PE=1 SV=5
+SGPRSPSCTGPHAGGPRLELNGRAKRLIKHTVVLQDFIVKEQRKSLQLLKMTNQLKKEQEFLKKHLKTVEAKMEGIQECHLPCQGDEPLSVRWLLKLRRYAEELTLKATSVSSVFKSLPAPHPGKTGLEQSTSSPAEQTQIDMEALLRQGQSIQKLLAEYDEILGLVHRGNKSAWLHHGTVLRSVCPTSTASSGSDCSLSDTDNGSLPPTESVSKPTVAQSSDFLDFSNDSEMPYKDGDHKDPQLPVEPISVAQVALTLAGEQAKEAGRALQEKLRSQLTSSTEISRELQLRLAQIEMLLSHLDRFPDQGKWGEGSAERLRRHEEDLKEYAKLEVRLSQLLKDNQSLLQQRLKVEEQVRSLEQGSCRVEQILQQNHREKESGEKQLRENEEKVSAYERQLHELSVTKRSLSERLKDNEKQKDRSGKILMANLAQNQKRLFHIESTLSSHLESGSAFISTSGQVFESGQRELQKRLKENTKISEELRKRLTRIEQIHEMLLDQSFSNVMVSTKETENDQKQDRSFFSKESTEYDSLAHSASPEPSEPLLHQYTLNDEEIREMLENQTNMEVGVSKGNLFLKELKELLEACQNLQEQFGEAVCYDVDSAQLLEEFAKIMTNMHQRSIVCIGHGDKIQQRQLSLERAQSQVLSDYRPPSLDRFKNQLNHIESFLQMNLNQEKQLKYEQEELQQKLNELVRSDIMEPALPGLIKVHKVYRVQHLSSFTMEEGDSGNKPKSLGDQAGETGCLVTIIAESCQSHKQLQFIFNQYGELETELDHIKQKLYETENSTNISESQDTGMVSVKASPQSKSSLYTAVSTPSLVDEPNEKCSPLSALDDPPCIESDIEYSRQQDSDMEKDRWVSSTTKIGKQEGPSDQYAAGVPPQANLLTKDPTPRGEMVAEALILKQHLQKNCTKFEKLEGQLELIQSQLQTVVEQTAPLRYMNGLSRSPKILIPLRSKKAERNTIGPLSLLAAQWGPVGHLNEPRHEASLATNIPKEEWAERTAEHKFRLLDGETAVTQVSADKLGVKPVEGAKVLQAECSLKLEDHPKSFSNTQVFHVLEGKQKPTKETKGDLHEGSVEQETLFLQGLLLERVVKLLDPRSELLLTAKENFLPALLNIFAGEELCGPAHAGDCDSIKSETHRLYGNKCGGKSLDKMIENSQQLHQDSLFNIEDDEGIKITDEDEKSALLETQETSALRDPFGNGQFGMCSLDFITKKLHQNDTYLEKVLQILDSVKKKLEEQSFHEVQFRNNEELCISLYSTQDSYLSFSEEERRRIESIQEELTKRLNQYSLVDQELQKRLAFIKNLEAQLNNISDSEQLSKVLHTYIDQEKKLVQILEEYDSSQKKEKSFITKSGPPQQSSCKETILGESRLELDEAAMLYCNQQIVELDKENFKQLLVDKQNTSETLHKIVQEQNHLKKNSESLLSKYRNEMAKERENVENRLKEVENRLDRITCDGKSKERHAEELDKELREREQQLDSLEQTIKKISRRLRHNETSKTLNQSRLAASLAEKGSLATEYDESGQFEQTQAKQLAERAKAFAASLKEIESNLEEVKKEKSKLAMTLGQIAKDRKLSNKKETAIEREKERLDEELAQIREEFSNREKQHEMQAAETEREKEERPAACLGRLEGSSVNEDPCAMQSKEEKLCQILAEKSKLSLKLEEILRDKEDLVLAMALDGEHMKKMESLKSELRLRLAKETETGAFAKELEAQAATVDKELLLIRKTLLDEVQQVKKRADEKVRQIESGGAEALSEVAKSAKILLQEREQLERKLSEVEVKLEINTKYIHETPGHFEQQMREELFYIRLKLNFNEKKLETIQNEFDKMNRARTPSVTEESVNPLLGNGLGANPNIGDLDDPVSPVLGSCGSLTGPVTVDEELVLDMM
+>sp|C9JDV5|CL097_HUMAN Putative uncharacterized protein C12orf77 OS=Homo sapiens OX=9606 GN=C12orf77 PE=2 SV=1
+MIPKLATPRDISLLVIKEASSRARNNIQILDPLDHIARLTNYTLTSCFSSNKVPTMPGSLPTGSKQGNVQTLDSIRWMPATPVPAPECHQKYAISTETAFPTLSTFMNTEKSVKGSNADFTKRNPRWRFLLGIYCSLHHAMTIFI
+>DECOY_sp|C9JDV5|CL097_HUMAN Putative uncharacterized protein C12orf77 OS=Homo sapiens OX=9606 GN=C12orf77 PE=2 SV=1
+IFITMAHHLSCYIGLLFRWRPNRKTFDANSGKVSKETNMFTSLTPFATETSIAYKQHCEPAPVPTAPMWRISDLTQVNGQKSGTPLSGPMTPVKNSSFCSTLTYNTLRAIHDLPDLIQINNRARSSAEKIVLLSIDRPTALKPIM
+>sp|Q2HXU8|CL12B_HUMAN C-type lectin domain family 12 member B OS=Homo sapiens OX=9606 GN=CLEC12B PE=1 SV=1
+MSEEVTYATLTFQDSAGARNNRDGNNLRKRGHPAPSPIWRHAALGLVTLCLMLLIGLVTLGMMFLQISNDINSDSEKLSQLQKTIQQQQDNLSQQLGNSNNLSMEEEFLKSQISSVLKRQEQMAIKLCQELIIHTSDHRCNPCPKMWQWYQNSCYYFTTNEEKTWANSRKDCIDKNSTLVKIDSLEEKDFLMSQPLLMFSFFWLGLSWDSSGRSWFWEDGSVPSPSLFSTKELDQINGSKGCAYFQKGNIYISRCSAEIFWICEKTAAPVKTEDLD
+>DECOY_sp|Q2HXU8|CL12B_HUMAN C-type lectin domain family 12 member B OS=Homo sapiens OX=9606 GN=CLEC12B PE=1 SV=1
+DLDETKVPAATKECIWFIEASCRSIYINGKQFYACGKSGNIQDLEKTSFLSPSPVSGDEWFWSRGSSDWSLGLWFFSFMLLPQSMLFDKEELSDIKVLTSNKDICDKRSNAWTKEENTTFYYCSNQYWQWMKPCPNCRHDSTHIILEQCLKIAMQEQRKLVSSIQSKLFEEEMSLNNSNGLQQSLNDQQQQITKQLQSLKESDSNIDNSIQLFMMGLTVLGILLMLCLTVLGLAAHRWIPSPAPHGRKRLNNGDRNNRAGASDQFTLTAYTVEESM
+>sp|A5D8T8|CL18A_HUMAN C-type lectin domain family 18 member A OS=Homo sapiens OX=9606 GN=CLEC18A PE=1 SV=3
+MLHPETSPGRGHLLAVLLALLGTAWAEVWPPQLQEQAPMAGALNRKESFLLLSLHNRLRSWVQPPAADMRRLDWSDSLAQLAQARAALCGTPTPSLASGLWRTLQVGWNMQLLPAGLVSFVEVVSLWFAEGQRYSHAAGECARNATCTHYTQLVWATSSQLGCGRHLCSAGQAAIEAFVCAYSPRGNWEVNGKTIVPYKKGAWCSLCTASVSGCFKAWDHAGGLCEVPRNPCRMSCQNHGRLNISTCHCHCPPGYTGRYCQVRCSLQCVHGRFREEECSCVCDIGYGGAQCATKVHFPFHTCDLRIDGDCFMVSSEADTYYRARMKCQRKGGVLAQIKSQKVQDILAFYLGRLETTNEVIDSDFETRNFWIGLTYKTAKDSFRWATGEHQAFTSFAFGQPDNHGFGNCVELQASAAFNWNDQRCKTRNRYICQFAQEHISRWGPGS
+>DECOY_sp|A5D8T8|CL18A_HUMAN C-type lectin domain family 18 member A OS=Homo sapiens OX=9606 GN=CLEC18A PE=1 SV=3
+SGPGWRSIHEQAFQCIYRNRTKCRQDNWNFAASAQLEVCNGFGHNDPQGFAFSTFAQHEGTAWRFSDKATKYTLGIWFNRTEFDSDIVENTTELRGLYFALIDQVKQSKIQALVGGKRQCKMRARYYTDAESSVMFCDGDIRLDCTHFPFHVKTACQAGGYGIDCVCSCEEERFRGHVCQLSCRVQCYRGTYGPPCHCHCTSINLRGHNQCSMRCPNRPVECLGGAHDWAKFCGSVSATCLSCWAGKKYPVITKGNVEWNGRPSYACVFAEIAAQGASCLHRGCGLQSSTAWVLQTYHTCTANRACEGAAHSYRQGEAFWLSVVEVFSVLGAPLLQMNWGVQLTRWLGSALSPTPTGCLAARAQALQALSDSWDLRRMDAAPPQVWSRLRNHLSLLLFSEKRNLAGAMPAQEQLQPPWVEAWATGLLALLVALLHGRGPSTEPHLM
+>sp|Q6UXS0|CL19A_HUMAN C-type lectin domain family 19 member A OS=Homo sapiens OX=9606 GN=CLEC19A PE=2 SV=1
+MQRWTLWAAAFLTLHSAQAFPQTDISISPALPELPLPSLCPLFWMEFKGHCYRFFPLNKTWAEADLYCSEFSVGRKSAKLASIHSWEENVFVYDLVNSCVPGIPADVWTGLHDHRQVRKQWPLGPLGSSSQDSILI
+>DECOY_sp|Q6UXS0|CL19A_HUMAN C-type lectin domain family 19 member A OS=Homo sapiens OX=9606 GN=CLEC19A PE=2 SV=1
+ILISDQSSSGLPGLPWQKRVQRHDHLGTWVDAPIGPVCSNVLDYVFVNEEWSHISALKASKRGVSFESCYLDAEAWTKNLPFFRYCHGKFEMWFLPCLSPLPLEPLAPSISIDTQPFAQASHLTLFAAAWLTWRQM
+>sp|Q6ZU45|CL20A_HUMAN Putative C-type lectin domain family 20 member A OS=Homo sapiens OX=9606 GN=CLEC20A PE=2 SV=2
+MLPRALLLSFCAAALQLVSSKRDLVLVKEALSWYDAQQHCRLHYTDLADLQPSGLWKLYSLMTSTPAWIGLFFDASTSGLRWSSGSTFTALEWGQKLPEFGVGFCATLYTWLKLPSIGAASCTAQKPFLCYCDPDVGHLISTKPSLSLTTSPKPAVVQISGQTFMRFDQVMTWSSALLYCRSHHTDLADLQMVTDETGKEALRSIMSETEAWIGLYLNANSGSLSWSSDLGASIPSWLQVPMMVRGLCTALGIYMTYSPKVYSVNCSSLLPFFCFYDSSTGHRASAELPPLFHTSPTEMTEETTPRPGRAVASVGSGTDRRDTAAATEAQHLSSESKEKTSAQKSGHPFGILKADFTISTLMDPEEMKDQFLRQIQEVLKLTLGHEQFRLKWVSFEVNKK
+>DECOY_sp|Q6ZU45|CL20A_HUMAN Putative C-type lectin domain family 20 member A OS=Homo sapiens OX=9606 GN=CLEC20A PE=2 SV=2
+KKNVEFSVWKLRFQEHGLTLKLVEQIQRLFQDKMEEPDMLTSITFDAKLIGFPHGSKQASTKEKSESSLHQAETAAATDRRDTGSGVSAVARGPRPTTEETMETPSTHFLPPLEASARHGTSSDYFCFFPLLSSCNVSYVKPSYTMYIGLATCLGRVMMPVQLWSPISAGLDSSWSLSGSNANLYLGIWAETESMISRLAEKGTEDTVMQLDALDTHHSRCYLLASSWTMVQDFRMFTQGSIQVVAPKPSTTLSLSPKTSILHGVDPDCYCLFPKQATCSAAGISPLKLWTYLTACFGVGFEPLKQGWELATFTSGSSWRLGSTSADFFLGIWAPTSTMLSYLKWLGSPQLDALDTYHLRCHQQADYWSLAEKVLVLDRKSSVLQLAAACFSLLLARPLM
+>sp|P16619|CL3L1_HUMAN C-C motif chemokine 3-like 1 OS=Homo sapiens OX=9606 GN=CCL3L1 PE=1 SV=1
+MQVSTAALAVLLCTMALCNQVLSAPLAADTPTACCFSYTSRQIPQNFIADYFETSSQCSKPSVIFLTKRGRQVCADPSEEWVQKYVSDLELSA
+>DECOY_sp|P16619|CL3L1_HUMAN C-C motif chemokine 3-like 1 OS=Homo sapiens OX=9606 GN=CCL3L1 PE=1 SV=1
+ASLELDSVYKQVWEESPDACVQRGRKTLFIVSPKSCQSSTEFYDAIFNQPIQRSTYSFCCATPTDAALPASLVQNCLAMTCLLVALAATSVQM
+>sp|Q7Z460|CLAP1_HUMAN CLIP-associating protein 1 OS=Homo sapiens OX=9606 GN=CLASP1 PE=1 SV=1
+MEPRMESCLAQVLQKDVGKRLQVGQELIDYFSDKQKSADLEHDQTMLDKLVDGLATSWVNSSNYKVVLLGMDILSALVTRLQDRFKAQIGTVLPSLIDRLGDAKDSVREQDQTLLLKIMDQAANPQYVWDRMLGGFKHKNFRTREGICLCLIATLNASGAQTLTLSKIVPHICNLLGDPNSQVRDAAINSLVEIYRHVGERVRADLSKKGLPQSRLNVIFTKFDEVQKSGNMIQSANDKNFDDEDSVDGNRPSSASSTSSKAPPSSRRNVGMGTTRRLGSSTLGSKSSAAKEGAGAVDEEDFIKAFDDVPVVQIYSSRDLEESINKIREILSDDKHDWEQRVNALKKIRSLLLAGAAEYDNFFQHLRLLDGAFKLSAKDLRSQVVREACITLGHLSSVLGNKFDHGAEAIMPTIFNLIPNSAKIMATSGVVAVRLIIRHTHIPRLIPVITSNCTSKSVAVRRRCFEFLDLLLQEWQTHSLERHISVLAETIKKGIHDADSEARIEARKCYWGFHSHFSREAEHLYHTLESSYQKALQSHLKNSDSIVSLPQSDRSSSSSQESLNRPLSAKRSPTGSTTSRASTVSTKSVSTTGSLQRSRSDIDVNAAASAKSKVSSSSGTTPFSSAAALPPGSYASLGRIRTRRQSSGSATNVASTPDNRGRSRAKVVSQSQRSRSANPAGAGSRSSSPGKLLGSGYGGLTGGSSRGPPVTPSSEKRSKIPRSQGCSRETSPNRIGLARSSRIPRPSMSQGCSRDTSRESSRDTSPARGFPPLDRFGLGQPGRIPGSVNAMRVLSTSTDLEAAVADALKKPVRRRYEPYGMYSDDDANSDASSVCSERSYGSRNGGIPHYLRQTEDVAEVLNHCASSNWSERKEGLLGLQNLLKSQRTLSRVELKRLCEIFTRMFADPHSKRVFSMFLETLVDFIIIHKDDLQDWLFVLLTQLLKKMGADLLGSVQAKVQKALDVTRDSFPFDQQFNILMRFIVDQTQTPNLKVKVAILKYIESLARQMDPTDFVNSSETRLAVSRIITWTTEPKSSDVRKAAQIVLISLFELNTPEFTMLLGALPKTFQDGATKLLHNHLKNSSNTSVGSPSNTIGRTPSRHTSSRTSPLTSPTNCSHGGLSPSRLWGWSADGLAKHPPPFSQPNSIPTAPSHKALRRSYSPSMLDYDTENLNSEEIYSSLRGVTEAIEKFSFRSQEDLNEPIKRDGKKECDIVSRDGGAASPATEGRGGSEVEGGRTALDNKTSLLNTQPPRAFPGPRARDYNPYPYSDAINTYDKTALKEAVFDDDMEQLRDVPIDHSDLVADLLKELSNHNERVEERKGALLELLKITREDSLGVWEEHFKTILLLLLETLGDKDHSIRALALRVLREILRNQPARFKNYAELTIMKTLEAHKDSHKEVVRAAEEAASTLASSIHPEQCIKVLCPIIQTADYPINLAAIKMQTKVVERIAKESLLQLLVDIIPGLLQGYDNTESSVRKASVFCLVAIYSVIGEDLKPHLAQLTGSKMKLLNLYIKRAQTTNSNSSSSSDVSTHS
+>DECOY_sp|Q7Z460|CLAP1_HUMAN CLIP-associating protein 1 OS=Homo sapiens OX=9606 GN=CLASP1 PE=1 SV=1
+SHTSVDSSSSSNSNTTQARKIYLNLLKMKSGTLQALHPKLDEGIVSYIAVLCFVSAKRVSSETNDYGQLLGPIIDVLLQLLSEKAIREVVKTQMKIAALNIPYDATQIIPCLVKICQEPHISSALTSAAEEAARVVEKHSDKHAELTKMITLEAYNKFRAPQNRLIERLVRLALARISHDKDGLTELLLLLITKFHEEWVGLSDERTIKLLELLAGKREEVRENHNSLEKLLDAVLDSHDIPVDRLQEMDDDFVAEKLATKDYTNIADSYPYPNYDRARPGPFARPPQTNLLSTKNDLATRGGEVESGGRGETAPSAAGGDRSVIDCEKKGDRKIPENLDEQSRFSFKEIAETVGRLSSYIEESNLNETDYDLMSPSYSRRLAKHSPATPISNPQSFPPPHKALGDASWGWLRSPSLGGHSCNTPSTLPSTRSSTHRSPTRGITNSPSGVSTNSSNKLHNHLLKTAGDQFTKPLAGLLMTFEPTNLEFLSILVIQAAKRVDSSKPETTWTIIRSVALRTESSNVFDTPDMQRALSEIYKLIAVKVKLNPTQTQDVIFRMLINFQQDFPFSDRTVDLAKQVKAQVSGLLDAGMKKLLQTLLVFLWDQLDDKHIIIFDVLTELFMSFVRKSHPDAFMRTFIECLRKLEVRSLTRQSKLLNQLGLLGEKRESWNSSACHNLVEAVDETQRLYHPIGGNRSGYSRESCVSSADSNADDDSYMGYPEYRRRVPKKLADAVAAELDTSTSLVRMANVSGPIRGPQGLGFRDLPPFGRAPSTDRSSERSTDRSCGQSMSPRPIRSSRALGIRNPSTERSCGQSRPIKSRKESSPTVPPGRSSGGTLGGYGSGLLKGPSSSRSGAGAPNASRSRQSQSVVKARSRGRNDPTSAVNTASGSSQRRTRIRGLSAYSGPPLAAASSFPTTGSSSSVKSKASAAANVDIDSRSRQLSGTTSVSKTSVTSARSTTSGTPSRKASLPRNLSEQSSSSSRDSQPLSVISDSNKLHSQLAKQYSSELTHYLHEAERSFHSHFGWYCKRAEIRAESDADHIGKKITEALVSIHRELSHTQWEQLLLDLFEFCRRRVAVSKSTCNSTIVPILRPIHTHRIILRVAVVGSTAMIKASNPILNFITPMIAEAGHDFKNGLVSSLHGLTICAERVVQSRLDKASLKFAGDLLRLHQFFNDYEAAGALLLSRIKKLANVRQEWDHKDDSLIERIKNISEELDRSSYIQVVPVDDFAKIFDEEDVAGAGEKAASSKSGLTSSGLRRTTGMGVNRRSSPPAKSSTSSASSPRNGDVSDEDDFNKDNASQIMNGSKQVEDFKTFIVNLRSQPLGKKSLDARVREGVHRYIEVLSNIAADRVQSNPDGLLNCIHPVIKSLTLTQAGSANLTAILCLCIGERTRFNKHKFGGLMRDWVYQPNAAQDMIKLLLTQDQERVSDKADGLRDILSPLVTGIQAKFRDQLRTVLASLIDMGLLVVKYNSSNVWSTALGDVLKDLMTQDHELDASKQKDSFYDILEQGVQLRKGVDKQLVQALCSEMRPEM
+>sp|Q8NC01|CLC1A_HUMAN C-type lectin domain family 1 member A OS=Homo sapiens OX=9606 GN=CLEC1A PE=2 SV=2
+MQAKYSSTRDMLDDDGDTTMSLHSQGSATTRHPEPRRTEHRAPSSTWRPVALTLLTLCLVLLIGLAALGLLFFQYYQLSNTGQDTISQMEERLGNTSQELQSLQVQNIKLAGSLQHVAEKLCRELYNKAGAHRCSPCTEQWKWHGDNCYQFYKDSKSWEDCKYFCLSENSTMLKINKQEDLEFAASQSYSEFFYSYWTGLLRPDSGKAWLWMDGTPFTSELFHIIIDVTSPRSRDCVAILNGMIFSKDCKELKRCVCERRAGMVKPESLHVPPETLGEGD
+>DECOY_sp|Q8NC01|CLC1A_HUMAN C-type lectin domain family 1 member A OS=Homo sapiens OX=9606 GN=CLEC1A PE=2 SV=2
+DGEGLTEPPVHLSEPKVMGARRECVCRKLEKCDKSFIMGNLIAVCDRSRPSTVDIIIHFLESTFPTGDMWLWAKGSDPRLLGTWYSYFFESYSQSAAFELDEQKNIKLMTSNESLCFYKCDEWSKSDKYFQYCNDGHWKWQETCPSCRHAGAKNYLERCLKEAVHQLSGALKINQVQLSQLEQSTNGLREEMQSITDQGTNSLQYYQFFLLGLAALGILLVLCLTLLTLAVPRWTSSPARHETRRPEPHRTTASGQSHLSMTTDGDDDLMDRTSSYKAQM
+>sp|Q9UHP7|CLC2D_HUMAN C-type lectin domain family 2 member D OS=Homo sapiens OX=9606 GN=CLEC2D PE=1 SV=1
+MHDSNNVEKDITPSELPANPGCLHSKEHSIKATLIWRLFFLIMFLTIIVCGMVAALSAIRANCHQEPSVCLQAACPESWIGFQRKCFYFSDDTKNWTSSQRFCDSQDADLAQVESFQELNFLLRYKGPSDHWIGLSREQGQPWKWINGTEWTRQFPILGAGECAYLNDKGASSARHYTERKWICSKSDIHV
+>DECOY_sp|Q9UHP7|CLC2D_HUMAN C-type lectin domain family 2 member D OS=Homo sapiens OX=9606 GN=CLEC2D PE=1 SV=1
+VHIDSKSCIWKRETYHRASSAGKDNLYACEGAGLIPFQRTWETGNIWKWPQGQERSLGIWHDSPGKYRLLFNLEQFSEVQALDADQSDCFRQSSTWNKTDDSFYFCKRQFGIWSEPCAAQLCVSPEQHCNARIASLAAVMGCVIITLFMILFFLRWILTAKISHEKSHLCGPNAPLESPTIDKEVNNSDHM
+>sp|A8K7I4|CLCA1_HUMAN Calcium-activated chloride channel regulator 1 OS=Homo sapiens OX=9606 GN=CLCA1 PE=1 SV=3
+MGPFKSSVFILILHLLEGALSNSLIQLNNNGYEGIVVAIDPNVPEDETLIQQIKDMVTQASLYLLEATGKRFYFKNVAILIPETWKTKADYVRPKLETYKNADVLVAESTPPGNDEPYTEQMGNCGEKGERIHLTPDFIAGKKLAEYGPQGRAFVHEWAHLRWGVFDEYNNDEKFYLSNGRIQAVRCSAGITGTNVVKKCQGGSCYTKRCTFNKVTGLYEKGCEFVLQSRQTEKASIMFAQHVDSIVEFCTEQNHNKEAPNKQNQKCNLRSTWEVIRDSEDFKKTTPMTTQPPNPTFSLLQIGQRIVCLVLDKSGSMATGNRLNRLNQAGQLFLLQTVELGSWVGMVTFDSAAHVQNELIQINSGSDRDTLAKRLPAAASGGTSICSGLRSAFTVIRKKYPTDGSEIVLLTDGEDNTISGCFNEVKQSGAIIHTVALGPSAAQELEELSKMTGGLQTYASDQVQNNGLIDAFGALSSGNGAVSQRSIQLESKGLTLQNSQWMNGTVIVDSTVGKDTLFLITWTMQPPQILLWDPSGQKQGGFVVDKNTKMAYLQIPGIAKVGTWKYSLQASSQTLTLTVTSRASNATLPPITVTSKTNKDTSKFPSPLVVYANIRQGASPILRASVTALIESVNGKTVTLELLDNGAGADATKDDGVYSRYFTTYDTNGRYSVKVRALGGVNAARRRVIPQQSGALYIPGWIENDEIQWNPPRPEINKDDVQHKQVCFSRTSSGGSFVASDVPNAPIPDLFPPGQITDLKAEIHGGSLINLTWTAPGDDYDHGTAHKYIIRISTSILDLRDKFNESLQVNTTALIPKEANSEEVFLFKPENITFENGTDLFIAIQAVDKVDLKSEISNIARVSLFIPPQTPPETPSPDETSAPCPNIHINSTIPGIHILKIMWKWIGELQLSIA
+>DECOY_sp|A8K7I4|CLCA1_HUMAN Calcium-activated chloride channel regulator 1 OS=Homo sapiens OX=9606 GN=CLCA1 PE=1 SV=3
+AISLQLEGIWKWMIKLIHIGPITSNIHINPCPASTEDPSPTEPPTQPPIFLSVRAINSIESKLDVKDVAQIAIFLDTGNEFTINEPKFLFVEESNAEKPILATTNVQLSENFKDRLDLISTSIRIIYKHATGHDYDDGPATWTLNILSGGHIEAKLDTIQGPPFLDPIPANPVDSAVFSGGSSTRSFCVQKHQVDDKNIEPRPPNWQIEDNEIWGPIYLAGSQQPIVRRRAANVGGLARVKVSYRGNTDYTTFYRSYVGDDKTADAGAGNDLLELTVTKGNVSEILATVSARLIPSAGQRINAYVVLPSPFKSTDKNTKSTVTIPPLTANSARSTVTLTLTQSSAQLSYKWTGVKAIGPIQLYAMKTNKDVVFGGQKQGSPDWLLIQPPQMTWTILFLTDKGVTSDVIVTGNMWQSNQLTLGKSELQISRQSVAGNGSSLAGFADILGNNQVQDSAYTQLGGTMKSLEELEQAASPGLAVTHIIAGSQKVENFCGSITNDEGDTLLVIESGDTPYKKRIVTFASRLGSCISTGGSAAAPLRKALTDRDSGSNIQILENQVHAASDFTVMGVWSGLEVTQLLFLQGAQNLRNLRNGTAMSGSKDLVLCVIRQGIQLLSFTPNPPQTTMPTTKKFDESDRIVEWTSRLNCKQNQKNPAEKNHNQETCFEVISDVHQAFMISAKETQRSQLVFECGKEYLGTVKNFTCRKTYCSGGQCKKVVNTGTIGASCRVAQIRGNSLYFKEDNNYEDFVGWRLHAWEHVFARGQPGYEALKKGAIFDPTLHIREGKEGCNGMQETYPEDNGPPTSEAVLVDANKYTELKPRVYDAKTKWTEPILIAVNKFYFRKGTAELLYLSAQTVMDKIQQILTEDEPVNPDIAVVIGEYGNNNLQILSNSLAGELLHLILIFVSSKFPGM
+>sp|Q9Y6N3|CLCA3_HUMAN Calcium-activated chloride channel regulator family member 3 OS=Homo sapiens OX=9606 GN=CLCA3P PE=1 SV=1
+MVFSLKVILFLSLLLSPVLKSSLVTLNNNGYDGIVIAINPSVPEDEKLIQNIKEMVTEASTHLFHATKQRAYFRNVSILIPMTYKSKSEYLIPKQETYDQADVIVADLYLKYGDDPYTLQYGQCGDKGQYIHFTPNFLLTNNLATYGPRGKVFVHGWAHLRWGVFDEYNVDQPFYISRRNTTEATRCSTRITVYMVLNECKGASCIARPFRRDSQTGLYEAKCTFIPKRSQTAKESIVFMQNLDSVTEFCTEKTHNKEAPNL
+>DECOY_sp|Q9Y6N3|CLCA3_HUMAN Calcium-activated chloride channel regulator family member 3 OS=Homo sapiens OX=9606 GN=CLCA3P PE=1 SV=1
+LNPAEKNHTKETCFETVSDLNQMFVISEKATQSRKPIFTCKAEYLGTQSDRRFPRAICSAGKCENLVMYVTIRTSCRTAETTNRRSIYFPQDVNYEDFVGWRLHAWGHVFVKGRPGYTALNNTLLFNPTFHIYQGKDGCQGYQLTYPDDGYKLYLDAVIVDAQDYTEQKPILYESKSKYTMPILISVNRFYARQKTAHFLHTSAETVMEKINQILKEDEPVSPNIAIVIGDYGNNNLTVLSSKLVPSLLLSLFLIVKLSFVM
+>sp|Q14CN2|CLCA4_HUMAN Calcium-activated chloride channel regulator 4 OS=Homo sapiens OX=9606 GN=CLCA4 PE=1 SV=2
+MGLFRGFVFLLVLCLLHQSNTSFIKLNNNGFEDIVIVIDPSVPEDEKIIEQIEDMVTTASTYLFEATEKRFFFKNVSILIPENWKENPQYKRPKHENHKHADVIVAPPTLPGRDEPYTKQFTECGEKGEYIHFTPDLLLGKKQNEYGPPGKLFVHEWAHLRWGVFDEYNEDQPFYRAKSKKIEATRCSAGISGRNRVYKCQGGSCLSRACRIDSTTKLYGKDCQFFPDKVQTEKASIMFMQSIDSVVEFCNEKTHNQEAPSLQNIKCNFRSTWEVISNSEDFKNTIPMVTPPPPPVFSLLKISQRIVCLVLDKSGSMGGKDRLNRMNQAAKHFLLQTVENGSWVGMVHFDSTATIVNKLIQIKSSDERNTLMAGLPTYPLGGTSICSGIKYAFQVIGELHSQLDGSEVLLLTDGEDNTASSCIDEVKQSGAIVHFIALGRAADEAVIEMSKITGGSHFYVSDEAQNNGLIDAFGALTSGNTDLSQKSLQLESKGLTLNSNAWMNDTVIIDSTVGKDTFFLITWNSLPPSISLWDPSGTIMENFTVDATSKMAYLSIPGTAKVGTWAYNLQAKANPETLTITVTSRAANSSVPPITVNAKMNKDVNSFPSPMIVYAEILQGYVPVLGANVTAFIESQNGHTEVLELLDNGAGADSFKNDGVYSRYFTAYTENGRYSLKVRAHGGANTARLKLRPPLNRAAYIPGWVVNGEIEANPPRPEIDEDTQTTLEDFSRTASGGAFVVSQVPSLPLPDQYPPSQITDLDATVHEDKIILTWTAPGDNFDVGKVQRYIIRISASILDLRDSFDDALQVNTTDLSPKEANSKESFAFKPENISEENATHIFIAIKSIDKSNLTSKVSNIAQVTLFIPQANPDDIDPTPTPTPTPTPDKSHNSGVNISTLVLSVIGSVVIVNFILSTTI
+>DECOY_sp|Q14CN2|CLCA4_HUMAN Calcium-activated chloride channel regulator 4 OS=Homo sapiens OX=9606 GN=CLCA4 PE=1 SV=2
+ITTSLIFNVIVVSGIVSLVLTSINVGSNHSKDPTPTPTPTPTPDIDDPNAQPIFLTVQAINSVKSTLNSKDISKIAIFIHTANEESINEPKFAFSEKSNAEKPSLDTTNVQLADDFSDRLDLISASIRIIYRQVKGVDFNDGPATWTLIIKDEHVTADLDTIQSPPYQDPLPLSPVQSVVFAGGSATRSFDELTTQTDEDIEPRPPNAEIEGNVVWGPIYAARNLPPRLKLRATNAGGHARVKLSYRGNETYATFYRSYVGDNKFSDAGAGNDLLELVETHGNQSEIFATVNAGLVPVYGQLIEAYVIMPSPFSNVDKNMKANVTIPPVSSNAARSTVTITLTEPNAKAQLNYAWTGVKATGPISLYAMKSTADVTFNEMITGSPDWLSISPPLSNWTILFFTDKGVTSDIIVTDNMWANSNLTLGKSELQLSKQSLDTNGSTLAGFADILGNNQAEDSVYFHSGGTIKSMEIVAEDAARGLAIFHVIAGSQKVEDICSSATNDEGDTLLLVESGDLQSHLEGIVQFAYKIGSCISTGGLPYTPLGAMLTNREDSSKIQILKNVITATSDFHVMGVWSGNEVTQLLFHKAAQNMRNLRDKGGMSGSKDLVLCVIRQSIKLLSFVPPPPPTVMPITNKFDESNSIVEWTSRFNCKINQLSPAEQNHTKENCFEVVSDISQMFMISAKETQVKDPFFQCDKGYLKTTSDIRCARSLCSGGQCKYVRNRGSIGASCRTAEIKKSKARYFPQDENYEDFVGWRLHAWEHVFLKGPPGYENQKKGLLLDPTFHIYEGKEGCETFQKTYPEDRGPLTPPAVIVDAHKHNEHKPRKYQPNEKWNEPILISVNKFFFRKETAEFLYTSATTVMDEIQEIIKEDEPVSPDIVIVIDEFGNNNLKIFSTNSQHLLCLVLLFVFGRFLGM
+>sp|P09496|CLCA_HUMAN Clathrin light chain A OS=Homo sapiens OX=9606 GN=CLTA PE=1 SV=1
+MAELDPFGAPAGAPGGPALGNGVAGAGEEDPAAAFLAQQESEIAGIENDEAFAILDGGAPGPQPHGEPPGGPDAVDGVMNGEYYQESNGPTDSYAAISQVDRLQSEPESIRKWREEQMERLEALDANSRKQEAEWKEKAIKELEEWYARQDEQLQKTKANNRVADEAFYKQPFADVIGYVTNINHPCYSLEQAAEEAFVNDIDESSPGTEWERVARLCDFNPKSSKQAKDVSRMRSVLISLKQAPLVH
+>DECOY_sp|P09496|CLCA_HUMAN Clathrin light chain A OS=Homo sapiens OX=9606 GN=CLTA PE=1 SV=1
+HVLPAQKLSILVSRMRSVDKAQKSSKPNFDCLRAVREWETGPSSEDIDNVFAEEAAQELSYCPHNINTVYGIVDAFPQKYFAEDAVRNNAKTKQLQEDQRAYWEELEKIAKEKWEAEQKRSNADLAELREMQEERWKRISEPESQLRDVQSIAAYSDTPGNSEQYYEGNMVGDVADPGGPPEGHPQPGPAGGDLIAFAEDNEIGAIESEQQALFAAAPDEEGAGAVGNGLAPGGPAGAPAGFPDLEAM
+>sp|P09497|CLCB_HUMAN Clathrin light chain B OS=Homo sapiens OX=9606 GN=CLTB PE=1 SV=1
+MADDFGFFSSSESGAPEAAEEDPAAAFLAQQESEIAGIENDEGFGAPAGSHAAPAQPGPTSGAGSEDMGTTVNGDVFQEANGPADGYAAIAQADRLTQEPESIRKWREEQRKRLQELDAASKVTEQEWREKAKKDLEEWNQRQSEQVEKNKINNRIADKAFYQQPDADIIGYVASEEAFVKESKEETPGTEWEKVAQLCDFNPKSSKQCKDVSRLRSVLMSLKQTPLSR
+>DECOY_sp|P09497|CLCB_HUMAN Clathrin light chain B OS=Homo sapiens OX=9606 GN=CLTB PE=1 SV=1
+RSLPTQKLSMLVSRLRSVDKCQKSSKPNFDCLQAVKEWETGPTEEKSEKVFAEESAVYGIIDADPQQYFAKDAIRNNIKNKEVQESQRQNWEELDKKAKERWEQETVKSAADLEQLRKRQEERWKRISEPEQTLRDAQAIAAYGDAPGNAEQFVDGNVTTGMDESGAGSTPGPQAPAAHSGAPAGFGEDNEIGAIESEQQALFAAAPDEEAAEPAGSESSSFFGFDDAM
+>sp|P51793|CLCN4_HUMAN H(+)/Cl(-) exchange transporter 4 OS=Homo sapiens OX=9606 GN=CLCN4 PE=1 SV=2
+MVNAGAMSGSGNLMDFLDEPFPDVGTYEDFHTIDWLREKSRDTDRHRKITSKSKESIWEFIKSLLDAWSGWVVMLLIGLLAGTLAGVIDLAVDWMTDLKEGVCLSAFWYSHEQCCWTSNETTFEDRDKCPLWQKWSELLVNQSEGASAYILNYLMYILWALLFAFLAVSLVRVFAPYACGSGIPEIKTILSGFIIRGYLGKWTLLIKTVTLVLVVSSGLSLGKEGPLVHVACCCGNFFSSLFSKYSKNEGKRREVLSAAAAAGVSVAFGAPIGGVLFSLEEVSYYFPLKTLWRSFFAALVAAFTLRSINPFGNSRLVLFYVEYHTPWYMAELFPFILLGVFGGLWGTLFIRCNIAWCRRRKTTRLGKYPVLEVIVVTAITAIIAYPNPYTRQSTSELISELFNDCGALESSQLCDYINDPNMTRPVDDIPDRPAGVGVYTAMWQLALALIFKIVVTIFTFGMKIPSGLFIPSMAVGAIAGRMVGIGVEQLAYHHHDWIIFRNWCRPGADCVTPGLYAMVGAAACLGGVTRMTVSLVVIMFELTGGLEYIVPLMAAAVTSKWVADAFGKEGIYEAHIHLNGYPFLDVKDEFTHRTLATDVMRPRRGEPPLSVLTQDSMTVEDVETLIKETDYNGFPVVVSRDSERLIGFAQRRELILAIKNARQRQEGIVSNSIMYFTEEPPELPANSPHPLKLRRILNLSPFTVTDHTPMETVVDIFRKLGLRQCLVTRSGRLLGIITKKDVLRHMAQMANQDPESIMFN
+>DECOY_sp|P51793|CLCN4_HUMAN H(+)/Cl(-) exchange transporter 4 OS=Homo sapiens OX=9606 GN=CLCN4 PE=1 SV=2
+NFMISEPDQNAMQAMHRLVDKKTIIGLLRGSRTVLCQRLGLKRFIDVVTEMPTHDTVTFPSLNLIRRLKLPHPSNAPLEPPEETFYMISNSVIGEQRQRANKIALILERRQAFGILRESDRSVVVPFGNYDTEKILTEVDEVTMSDQTLVSLPPEGRRPRMVDTALTRHTFEDKVDLFPYGNLHIHAEYIGEKGFADAVWKSTVAAAMLPVIYELGGTLEFMIVVLSVTMRTVGGLCAAAGVMAYLGPTVCDAGPRCWNRFIIWDHHHYALQEVGIGVMRGAIAGVAMSPIFLGSPIKMGFTFITVVIKFILALALQWMATYVGVGAPRDPIDDVPRTMNPDNIYDCLQSSELAGCDNFLESILESTSQRTYPNPYAIIATIATVVIVELVPYKGLRTTKRRRCWAINCRIFLTGWLGGFVGLLIFPFLEAMYWPTHYEVYFLVLRSNGFPNISRLTFAAVLAAFFSRWLTKLPFYYSVEELSFLVGGIPAGFAVSVGAAAAASLVERRKGENKSYKSFLSSFFNGCCCAVHVLPGEKGLSLGSSVVLVLTVTKILLTWKGLYGRIIFGSLITKIEPIGSGCAYPAFVRVLSVALFAFLLAWLIYMLYNLIYASAGESQNVLLESWKQWLPCKDRDEFTTENSTWCCQEHSYWFASLCVGEKLDTMWDVALDIVGALTGALLGILLMVVWGSWADLLSKIFEWISEKSKSTIKRHRDTDRSKERLWDITHFDEYTGVDPFPEDLFDMLNGSGSMAGANVM
+>sp|P51795|CLCN5_HUMAN H(+)/Cl(-) exchange transporter 5 OS=Homo sapiens OX=9606 GN=CLCN5 PE=1 SV=1
+MDFLEEPIPGVGTYDDFNTIDWVREKSRDRDRHREITNKSKESTWALIHSVSDAFSGWLLMLLIGLLSGSLAGLIDISAHWMTDLKEGICTGGFWFNHEHCCWNSEHVTFEERDKCPEWNSWSQLIISTDEGAFAYIVNYFMYVLWALLFAFLAVSLVKVFAPYACGSGIPEIKTILSGFIIRGYLGKWTLVIKTITLVLAVSSGLSLGKEGPLVHVACCCGNILCHCFNKYRKNEAKRREVLSAAAAAGVSVAFGAPIGGVLFSLEEVSYYFPLKTLWRSFFAALVAAFTLRSINPFGNSRLVLFYVEFHTPWHLFELVPFILLGIFGGLWGALFIRTNIAWCRKRKTTQLGKYPVIEVLVVTAITAILAFPNEYTRMSTSELISELFNDCGLLDSSKLCDYENRFNTSKGGELPDRPAGVGVYSAMWQLALTLILKIVITIFTFGMKIPSGLFIPSMAVGAIAGRLLGVGMEQLAYYHQEWTVFNSWCSQGADCITPGLYAMVGAAACLGGVTRMTVSLVVIMFELTGGLEYIVPLMAAAMTSKWVADALGREGIYDAHIRLNGYPFLEAKEEFAHKTLAMDVMKPRRNDPLLTVLTQDSMTVEDVETIISETTYSGFPVVVSRESQRLVGFVLRRDLIISIENARKKQDGVVSTSIIYFTEHSPPLPPYTPPTLKLRNILDLSPFTVTDLTPMEIVVDIFRKLGLRQCLVTHNGRLLGIITKKDVLKHIAQMANQDPDSILFN
+>DECOY_sp|P51795|CLCN5_HUMAN H(+)/Cl(-) exchange transporter 5 OS=Homo sapiens OX=9606 GN=CLCN5 PE=1 SV=1
+NFLISDPDQNAMQAIHKLVDKKTIIGLLRGNHTVLCQRLGLKRFIDVVIEMPTLDTVTFPSLDLINRLKLTPPTYPPLPPSHETFYIISTSVVGDQKKRANEISIILDRRLVFGVLRQSERSVVVPFGSYTTESIITEVDEVTMSDQTLVTLLPDNRRPKMVDMALTKHAFEEKAELFPYGNLRIHADYIGERGLADAVWKSTMAAAMLPVIYELGGTLEFMIVVLSVTMRTVGGLCAAAGVMAYLGPTICDAGQSCWSNFVTWEQHYYALQEMGVGLLRGAIAGVAMSPIFLGSPIKMGFTFITIVIKLILTLALQWMASYVGVGAPRDPLEGGKSTNFRNEYDCLKSSDLLGCDNFLESILESTSMRTYENPFALIATIATVVLVEIVPYKGLQTTKRKRCWAINTRIFLAGWLGGFIGLLIFPVLEFLHWPTHFEVYFLVLRSNGFPNISRLTFAAVLAAFFSRWLTKLPFYYSVEELSFLVGGIPAGFAVSVGAAAAASLVERRKAENKRYKNFCHCLINGCCCAVHVLPGEKGLSLGSSVALVLTITKIVLTWKGLYGRIIFGSLITKIEPIGSGCAYPAFVKVLSVALFAFLLAWLVYMFYNVIYAFAGEDTSIILQSWSNWEPCKDREEFTVHESNWCCHEHNFWFGGTCIGEKLDTMWHASIDILGALSGSLLGILLMLLWGSFADSVSHILAWTSEKSKNTIERHRDRDRSKERVWDITNFDDYTGVGPIPEELFDM
+>sp|O75508|CLD11_HUMAN Claudin-11 OS=Homo sapiens OX=9606 GN=CLDN11 PE=1 SV=2
+MVATCLQVVGFVTSFVGWIGVIVTTSTNDWVVTCGYTIPTCRKLDELGSKGLWADCVMATGLYHCKPLVDILILPGYVQACRALMIAASVLGLPAILLLLTVLPCIRMGQEPGVAKYRRAQLAGVLLILLALCALVATIWFPVCAHRETTIVSFGYSLYAGWIGAVLCLVGGCVILCCAGDAQAFGENRFYYTAGSSSPTHAKSAHV
+>DECOY_sp|O75508|CLD11_HUMAN Claudin-11 OS=Homo sapiens OX=9606 GN=CLDN11 PE=1 SV=2
+VHASKAHTPSSSGATYYFRNEGFAQADGACCLIVCGGVLCLVAGIWGAYLSYGFSVITTERHACVPFWITAVLACLALLILLVGALQARRYKAVGPEQGMRICPLVTLLLLIAPLGLVSAAIMLARCAQVYGPLILIDVLPKCHYLGTAMVCDAWLGKSGLEDLKRCTPITYGCTVVWDNTSTTVIVGIWGVFSTVFGVVQLCTAVM
+>sp|O95832|CLD1_HUMAN Claudin-1 OS=Homo sapiens OX=9606 GN=CLDN1 PE=1 SV=1
+MANAGLQLLGFILAFLGWIGAIVSTALPQWRIYSYAGDNIVTAQAMYEGLWMSCVSQSTGQIQCKVFDSLLNLSSTLQATRALMVVGILLGVIAIFVATVGMKCMKCLEDDEVQKMRMAVIGGAIFLLAGLAILVATAWYGNRIVQEFYDPMTPVNARYEFGQALFTGWAAASLCLLGGALLCCSCPRKTTSYPTPRPYPKPAPSSGKDYV
+>DECOY_sp|O95832|CLD1_HUMAN Claudin-1 OS=Homo sapiens OX=9606 GN=CLDN1 PE=1 SV=1
+VYDKGSSPAPKPYPRPTPYSTTKRPCSCCLLAGGLLCLSAAAWGTFLAQGFEYRANVPTMPDYFEQVIRNGYWATAVLIALGALLFIAGGIVAMRMKQVEDDELCKMCKMGVTAVFIAIVGLLIGVVMLARTAQLTSSLNLLSDFVKCQIQGTSQSVCSMWLGEYMAQATVINDGAYSYIRWQPLATSVIAGIWGLFALIFGLLQLGANAM
+>sp|O95484|CLD9_HUMAN Claudin-9 OS=Homo sapiens OX=9606 GN=CLDN9 PE=1 SV=1
+MASTGLELLGMTLAVLGWLGTLVSCALPLWKVTAFIGNSIVVAQVVWEGLWMSCVVQSTGQMQCKVYDSLLALPQDLQAARALCVIALLLALLGLLVAITGAQCTTCVEDEGAKARIVLTAGVILLLAGILVLIPVCWTAHAIIQDFYNPLVAEALKRELGASLYLGWAAAALLMLGGGLLCCTCPPPQVERPRGPRLGYSIPSRSGASGLDKRDYV
+>DECOY_sp|O95484|CLD9_HUMAN Claudin-9 OS=Homo sapiens OX=9606 GN=CLDN9 PE=1 SV=1
+VYDRKDLGSAGSRSPISYGLRPGRPREVQPPPCTCCLLGGGLMLLAAAAWGLYLSAGLERKLAEAVLPNYFDQIIAHATWCVPILVLIGALLLIVGATLVIRAKAGEDEVCTTCQAGTIAVLLGLLALLLAIVCLARAAQLDQPLALLSDYVKCQMQGTSQVVCSMWLGEWVVQAVVISNGIFATVKWLPLACSVLTGLWGLVALTMGLLELGTSAM
+>sp|Q8NHS1|CLDN2_HUMAN Claudin domain-containing protein 2 OS=Homo sapiens OX=9606 GN=CLDND2 PE=2 SV=1
+MGVKRSLQSGGILLSLVANVLMVLSTATNYWTRQQEGHSGLWQECNHGICSSIPCQTTLAVTVACMVLAVGVGVVGMVMGLRIRCDEGESLRGQTTSAFLFLGGLLLLTALIGYTVKNAWKNNVFFSWSYFSGWLALPFSILAGFCFLLADMIMQSTDAISGFPVCL
+>DECOY_sp|Q8NHS1|CLDN2_HUMAN Claudin domain-containing protein 2 OS=Homo sapiens OX=9606 GN=CLDND2 PE=2 SV=1
+LCVPFGSIADTSQMIMDALLFCFGALISFPLALWGSFYSWSFFVNNKWANKVTYGILATLLLLGGLFLFASTTQGRLSEGEDCRIRLGMVMGVVGVGVALVMCAVTVALTTQCPISSCIGHNCEQWLGSHGEQQRTWYNTATSLVMLVNAVLSLLIGGSQLSRKVGM
+>sp|O14967|CLGN_HUMAN Calmegin OS=Homo sapiens OX=9606 GN=CLGN PE=1 SV=1
+MHFQAFWLCLGLLFISINAEFMDDDVETEDFEENSEEIDVNESELSSEIKYKTPQPIGEVYFAETFDSGRLAGWVLSKAKKDDMDEEISIYDGRWEIEELKENQVPGDRGLVLKSRAKHHAISAVLAKPFIFADKPLIVQYEVNFQDGIDCGGAYIKLLADTDDLILENFYDKTSYIIMFGPDKCGEDYKLHFIFRHKHPKTGVFEEKHAKPPDVDLKKFFTDRKTHLYTLVMNPDDTFEVLVDQTVVNKGSLLEDVVPPIKPPKEIEDPNDKKPEEWDERAKIPDPSAVKPEDWDESEPAQIEDSSVVKPAGWLDDEPKFIPDPNAEKPDDWNEDTDGEWEAPQILNPACRIGCGEWKPPMIDNPKYKGVWRPPLVDNPNYQGIWSPRKIPNPDYFEDDHPFLLTSFSALGLELWSMTSDIYFDNFIICSEKEVADHWAADGWRWKIMIANANKPGVLKQLMAAAEGHPWLWLIYLVTAGVPIALITSFCWPRKVKKKHKDTEYKKTDICIPQTKGVLEQEEKEEKAALEKPMDLEEEKKQNDGEMLEKEEESEPEEKSEEEIEIIEGQEESNQSNKSGSEDEMKEADESTGSGDGPIKSVRKRRVRKD
+>DECOY_sp|O14967|CLGN_HUMAN Calmegin OS=Homo sapiens OX=9606 GN=CLGN PE=1 SV=1
+DKRVRRKRVSKIPGDGSGTSEDAEKMEDESGSKNSQNSEEQGEIIEIEEESKEEPESEEEKELMEGDNQKKEEELDMPKELAAKEEKEEQELVGKTQPICIDTKKYETDKHKKKVKRPWCFSTILAIPVGATVLYILWLWPHGEAAAMLQKLVGPKNANAIMIKWRWGDAAWHDAVEKESCIIFNDFYIDSTMSWLELGLASFSTLLFPHDDEFYDPNPIKRPSWIGQYNPNDVLPPRWVGKYKPNDIMPPKWEGCGIRCAPNLIQPAEWEGDTDENWDDPKEANPDPIFKPEDDLWGAPKVVSSDEIQAPESEDWDEPKVASPDPIKAREDWEEPKKDNPDEIEKPPKIPPVVDELLSGKNVVTQDVLVEFTDDPNMVLTYLHTKRDTFFKKLDVDPPKAHKEEFVGTKPHKHRFIFHLKYDEGCKDPGFMIIYSTKDYFNELILDDTDALLKIYAGGCDIGDQFNVEYQVILPKDAFIFPKALVASIAHHKARSKLVLGRDGPVQNEKLEEIEWRGDYISIEEDMDDKKAKSLVWGALRGSDFTEAFYVEGIPQPTKYKIESSLESENVDIEESNEEFDETEVDDDMFEANISIFLLGLCLWFAQFHM
+>sp|P53675|CLH2_HUMAN Clathrin heavy chain 2 OS=Homo sapiens OX=9606 GN=CLTCL1 PE=1 SV=2
+MAQILPVRFQEHFQLQNLGINPANIGFSTLTMESDKFICIREKVGEQAQVTIIDMSDPMAPIRRPISAESAIMNPASKVIALKAGKTLQIFNIEMKSKMKAHTMAEEVIFWKWVSVNTVALVTETAVYHWSMEGDSQPMKMFDRHTSLVGCQVIHYRTDEYQKWLLLVGISAQQNRVVGAMQLYSVDRKVSQPIEGHAAAFAEFKMEGNAKPATLFCFAVRNPTGGKLHIIEVGQPAAGNQPFVKKAVDVFFPPEAQNDFPVAMQIGAKHGVIYLITKYGYLHLYDLESGVCICMNRISADTIFVTAPHKPTSGIIGVNKKGQVLSVCVEEDNIVNYATNVLQNPDLGLRLAVRSNLAGAEKLFVRKFNTLFAQGSYAEAAKVAASAPKGILRTRETVQKFQSIPAQSGQASPLLQYFGILLDQGQLNKLESLELCHLVLQQGRKQLLEKWLKEDKLECSEELGDLVKTTDPMLALSVYLRANVPSKVIQCFAETGQFQKIVLYAKKVGYTPDWIFLLRGVMKISPEQGLQFSRMLVQDEEPLANISQIVDIFMENSLIQQCTSFLLDALKNNRPAEGLLQTWLLEMNLVHAPQVADAILGNKMFTHYDRAHIAQLCEKAGLLQQALEHYTDLYDIKRAVVHTHLLNPEWLVNFFGSLSVEDSVECLHAMLSANIRQNLQLCVQVASKYHEQLGTQALVELFESFKSYKGLFYFLGSIVNFSQDPDVHLKYIQAACKTGQIKEVERICRESSCYNPERVKNFLKEAKLTDQLPLIIVCDRFGFVHDLVLYLYRNNLQRYIEIYVQKVNPSRTPAVIGGLLDVDCSEEVIKHLIMAVRGQFSTDELVAEVEKRNRLKLLLPWLESQIQEGCEEPATHNALAKIYIDSNNSPECFLRENAYYDSSVVGRYCEKRDPHLACVAYERGQCDLELIKVCNENSLFKSEARYLVCRKDPELWAHVLEETNPSRRQLIDQVVQTALSETRDPEEISVTVKAFMTADLPNELIELLEKIVLDNSVFSEHRNLQNLLILTAIKADRTRVMEYISRLDNYDALDIASIAVSSALYEEAFTVFHKFDMNASAIQVLIEHIGNLDRAYEFAERCNEPAVWSQLAQAQLQKDLVKEAINSYIRGDDPSSYLEVVQSASRSNNWEDLVKFLQMARKKGRESYIETELIFALAKTSRVSELEDFINGPNNAHIQQVGDRCYEEGMYEAAKLLYSNVSNFARLASTLVHLGEYQAAVDNSRKASSTRTWKEVCFACMDGQEFRFAQLCGLHIVIHADELEELMCYYQDRGYFEELILLLEAALGLERAHMGMFTELAILYSKFKPQKMLEHLELFWSRVNIPKVLRAAEQAHLWAELVFLYDKYEEYDNAVLTMMSHPTEAWKEGQFKDIITKVANVELCYRALQFYLDYKPLLINDLLLVLSPRLDHTWTVSFFSKAGQLPLVKPYLRSVQSHNNKSVNEALNHLLTEEEDYQGLRASIDAYDNFDNISLAQQLEKHQLMEFRCIAAYLYKGNNWWAQSVELCKKDHLYKDAMQHAAESRDAELAQKLLQWFLEEGKRECFAACLFTCYDLLRPDMVLELAWRHNLVDLAMPYFIQVMREYLSKVDKLDALESLRKQEEHVTEPAPLVFDFDGHE
+>DECOY_sp|P53675|CLH2_HUMAN Clathrin heavy chain 2 OS=Homo sapiens OX=9606 GN=CLTCL1 PE=1 SV=2
+EHGDFDFVLPAPETVHEEQKRLSELADLKDVKSLYERMVQIFYPMALDVLNHRWALELVMDPRLLDYCTFLCAAFCERKGEELFWQLLKQALEADRSEAAHQMADKYLHDKKCLEVSQAWWNNGKYLYAAICRFEMLQHKELQQALSINDFNDYADISARLGQYDEEETLLHNLAENVSKNNHSQVSRLYPKVLPLQGAKSFFSVTWTHDLRPSLVLLLDNILLPKYDLYFQLARYCLEVNAVKTIIDKFQGEKWAETPHSMMTLVANDYEEYKDYLFVLEAWLHAQEAARLVKPINVRSWFLELHELMKQPKFKSYLIALETFMGMHARELGLAAELLLILEEFYGRDQYYCMLEELEDAHIVIHLGCLQAFRFEQGDMCAFCVEKWTRTSSAKRSNDVAAQYEGLHVLTSALRAFNSVNSYLLKAAEYMGEEYCRDGVQQIHANNPGNIFDELESVRSTKALAFILETEIYSERGKKRAMQLFKVLDEWNNSRSASQVVELYSSPDDGRIYSNIAEKVLDKQLQAQALQSWVAPENCREAFEYARDLNGIHEILVQIASANMDFKHFVTFAEEYLASSVAISAIDLADYNDLRSIYEMVRTRDAKIATLILLNQLNRHESFVSNDLVIKELLEILENPLDATMFAKVTVSIEEPDRTESLATQVVQDILQRRSPNTEELVHAWLEPDKRCVLYRAESKFLSNENCVKILELDCQGREYAVCALHPDRKECYRGVVSSDYYANERLFCEPSNNSDIYIKALANHTAPEECGEQIQSELWPLLLKLRNRKEVEAVLEDTSFQGRVAMILHKIVEESCDVDLLGGIVAPTRSPNVKQVYIEIYRQLNNRYLYLVLDHVFGFRDCVIILPLQDTLKAEKLFNKVREPNYCSSERCIREVEKIQGTKCAAQIYKLHVDPDQSFNVISGLFYFLGKYSKFSEFLEVLAQTGLQEHYKSAVQVCLQLNQRINASLMAHLCEVSDEVSLSGFFNVLWEPNLLHTHVVARKIDYLDTYHELAQQLLGAKECLQAIHARDYHTFMKNGLIADAVQPAHVLNMELLWTQLLGEAPRNNKLADLLFSTCQQILSNEMFIDVIQSINALPEEDQVLMRSFQLGQEPSIKMVGRLLFIWDPTYGVKKAYLVIKQFQGTEAFCQIVKSPVNARLYVSLALMPDTTKVLDGLEESCELKDEKLWKELLQKRGQQLVLHCLELSELKNLQGQDLLIGFYQLLPSAQGSQAPISQFKQVTERTRLIGKPASAAVKAAEAYSGQAFLTNFKRVFLKEAGALNSRVALRLGLDPNQLVNTAYNVINDEEVCVSLVQGKKNVGIIGSTPKHPATVFITDASIRNMCICVGSELDYLHLYGYKTILYIVGHKAGIQMAVPFDNQAEPPFFVDVAKKVFPQNGAAPQGVEIIHLKGGTPNRVAFCFLTAPKANGEMKFEAFAAAHGEIPQSVKRDVSYLQMAGVVRNQQASIGVLLLWKQYEDTRYHIVQCGVLSTHRDFMKMPQSDGEMSWHYVATETVLAVTNVSVWKWFIVEEAMTHAKMKSKMEINFIQLTKGAKLAIVKSAPNMIASEASIPRRIPAMPDSMDIITVQAQEGVKERICIFKDSEMTLTSFGINAPNIGLNQLQFHEQFRVPLIQAM
+>sp|O15247|CLIC2_HUMAN Chloride intracellular channel protein 2 OS=Homo sapiens OX=9606 GN=CLIC2 PE=1 SV=3
+MSGLRPGTQVDPEIELFVKAGSDGESIGNCPFCQRLFMILWLKGVKFNVTTVDMTRKPEELKDLAPGTNPPFLVYNKELKTDFIKIEEFLEQTLAPPRYPHLSPKYKESFDVGCNLFAKFSAYIKNTQKEANKNFEKSLLKEFKRLDDYLNTPLLDEIDPDSAEEPPVSRRLFLDGDQLTLADCSLLPKLNIIKVAAKKYRDFDIPAEFSGVWRYLHNAYAREEFTHTCPEDKEIENTYANVAKQKS
+>DECOY_sp|O15247|CLIC2_HUMAN Chloride intracellular channel protein 2 OS=Homo sapiens OX=9606 GN=CLIC2 PE=1 SV=3
+SKQKAVNAYTNEIEKDEPCTHTFEERAYANHLYRWVGSFEAPIDFDRYKKAAVKIINLKPLLSCDALTLQDGDLFLRRSVPPEEASDPDIEDLLPTNLYDDLRKFEKLLSKEFNKNAEKQTNKIYASFKAFLNCGVDFSEKYKPSLHPYRPPALTQELFEEIKIFDTKLEKNYVLFPPNTGPALDKLEEPKRTMDVTTVNFKVGKLWLIMFLRQCFPCNGISEGDSGAKVFLEIEPDVQTGPRLGSM
+>sp|Q9Y696|CLIC4_HUMAN Chloride intracellular channel protein 4 OS=Homo sapiens OX=9606 GN=CLIC4 PE=1 SV=4
+MALSMPLNGLKEEDKEPLIELFVKAGSDGESIGNCPFSQRLFMILWLKGVVFSVTTVDLKRKPADLQNLAPGTHPPFITFNSEVKTDVNKIEEFLEEVLCPPKYLKLSPKHPESNTAGMDIFAKFSAYIKNSRPEANEALERGLLKTLQKLDEYLNSPLPDEIDENSMEDIKFSTRKFLDGNEMTLADCNLLPKLHIVKVVAKKYRNFDIPKEMTGIWRYLTNAYSRDEFTNTCPSDKEVEIAYSDVAKRLTK
+>DECOY_sp|Q9Y696|CLIC4_HUMAN Chloride intracellular channel protein 4 OS=Homo sapiens OX=9606 GN=CLIC4 PE=1 SV=4
+KTLRKAVDSYAIEVEKDSPCTNTFEDRSYANTLYRWIGTMEKPIDFNRYKKAVVKVIHLKPLLNCDALTMENGDLFKRTSFKIDEMSNEDIEDPLPSNLYEDLKQLTKLLGRELAENAEPRSNKIYASFKAFIDMGATNSEPHKPSLKLYKPPCLVEELFEEIKNVDTKVESNFTIFPPHTGPALNQLDAPKRKLDVTTVSFVVGKLWLIMFLRQSFPCNGISEGDSGAKVFLEILPEKDEEKLGNLPMSLAM
+>sp|Q9UDT6|CLIP2_HUMAN CAP-Gly domain-containing linker protein 2 OS=Homo sapiens OX=9606 GN=CLIP2 PE=1 SV=1
+MQKPSGLKPPGRGGKHSSPMGRTSTGSASSSAAVAASSKEGSPLHKQSSGPSSSPAAAAAPEKPGPKAAEVGDDFLGDFVVGERVWVNGVKPGVVQYLGETQFAPGQWAGVVLDDPVGKNDGAVGGVRYFECPALQGIFTRPSKLTRQPTAEGSGSDAHSVESLTAQNLSLHSGTATPPLTSRVIPLRESVLNSSVKTGNESGSNLSDSGSVKRGEKDLRLGDRVLVGGTKTGVVRYVGETDFAKGEWCGVELDEPLGKNDGAVAGTRYFQCPPKFGLFAPIHKVIRIGFPSTSPAKAKKTKRMAMGVSALTHSPSSSSISSVSSVASSVGGRPSRSGLLTETSSRYARKISGTTALQEALKEKQQHIEQLLAERDLERAEVAKATSHICEVEKEIALLKAQHEQYVAEAEEKLQRARLLVESVRKEKVDLSNQLEEERRKVEDLQFRVEEESITKGDLETQTQLEHARIGELEQSLLLEKAQAERLLRELADNRLTTVAEKSRVLQLEEELTLRRGEIEELQQCLLHSGPPPPDHPDAAEILRLRERLLSASKEHQRESGVLRDKYEKALKAYQAEVDKLRAANEKYAQEVAGLKDKVQQATSENMGLMDNWKSKLDSLASDHQKSLEDLKATLNSGPGAQQKEIGELKAVMEGIKMEHQLELGNLQAKHDLETAMHVKEKEALREKLQEAQEELAGLQRHWRAQLEVQASQHRLELQEAQDQRRDAELRVHELEKLDVEYRGQAQAIEFLKEQISLAEKKMLDYERLQRAEAQGKQEVESLREKLLVAENRLQAVEALCSSQHTHMIESNDISEETIRTKETVEGLQDKLNKRDKEVTALTSQTEMLRAQVSALESKCKSGEKKVDALLKEKRRLEAELETVSRKTHDASGQLVLISQELLRKERSLNELRVLLLEANRHSPGPERDLSREVHKAEWRIKEQKLKDDIRGLREKLTGLDKEKSLSDQRRYSLIDRSSAPELLRLQHQLMSTEDALRDALDQAQQVEKLMEAMRSCPDKAQTIGNSGSANGIHQQDKAQKQEDKH
+>DECOY_sp|Q9UDT6|CLIP2_HUMAN CAP-Gly domain-containing linker protein 2 OS=Homo sapiens OX=9606 GN=CLIP2 PE=1 SV=1
+HKDEQKQAKDQQHIGNASGSNGITQAKDPCSRMAEMLKEVQQAQDLADRLADETSMLQHQLRLLEPASSRDILSYRRQDSLSKEKDLGTLKERLGRIDDKLKQEKIRWEAKHVERSLDREPGPSHRNAELLLVRLENLSREKRLLEQSILVLQGSADHTKRSVTELEAELRRKEKLLADVKKEGSKCKSELASVQARLMETQSTLATVEKDRKNLKDQLGEVTEKTRITEESIDNSEIMHTHQSSCLAEVAQLRNEAVLLKERLSEVEQKGQAEARQLREYDLMKKEALSIQEKLFEIAQAQGRYEVDLKELEHVRLEADRRQDQAEQLELRHQSAQVELQARWHRQLGALEEQAEQLKERLAEKEKVHMATELDHKAQLNGLELQHEMKIGEMVAKLEGIEKQQAGPGSNLTAKLDELSKQHDSALSDLKSKWNDMLGMNESTAQQVKDKLGAVEQAYKENAARLKDVEAQYAKLAKEYKDRLVGSERQHEKSASLLRERLRLIEAADPHDPPPPGSHLLCQQLEEIEGRRLTLEEELQLVRSKEAVTTLRNDALERLLREAQAKELLLSQELEGIRAHELQTQTELDGKTISEEEVRFQLDEVKRREEELQNSLDVKEKRVSEVLLRARQLKEEAEAVYQEHQAKLLAIEKEVECIHSTAKAVEARELDREALLQEIHQQKEKLAEQLATTGSIKRAYRSSTETLLGSRSPRGGVSSAVSSVSSISSSSPSHTLASVGMAMRKTKKAKAPSTSPFGIRIVKHIPAFLGFKPPCQFYRTGAVAGDNKGLPEDLEVGCWEGKAFDTEGVYRVVGTKTGGVLVRDGLRLDKEGRKVSGSDSLNSGSENGTKVSSNLVSERLPIVRSTLPPTATGSHLSLNQATLSEVSHADSGSGEATPQRTLKSPRTFIGQLAPCEFYRVGGVAGDNKGVPDDLVVGAWQGPAFQTEGLYQVVGPKVGNVWVREGVVFDGLFDDGVEAAKPGPKEPAAAAAPSSSPGSSQKHLPSGEKSSAAVAASSSASGTSTRGMPSSHKGGRGPPKLGSPKQM
+>sp|Q9HAZ1|CLK4_HUMAN Dual specificity protein kinase CLK4 OS=Homo sapiens OX=9606 GN=CLK4 PE=1 SV=1
+MRHSKRTHCPDWDSRESWGHESYRGSHKRKRRSHSSTQENRHCKPHHQFKESDCHYLEARSLNERDYRDRRYVDEYRNDYCEGYVPRHYHRDIESGYRIHCSKSSVRSRRSSPKRKRNRHCSSHQSRSKSHRRKRSRSIEDDEEGHLICQSGDVLRARYEIVDTLGEGAFGKVVECIDHGMDGMHVAVKIVKNVGRYREAARSEIQVLEHLNSTDPNSVFRCVQMLEWFDHHGHVCIVFELLGLSTYDFIKENSFLPFQIDHIRQMAYQICQSINFLHHNKLTHTDLKPENILFVKSDYVVKYNSKMKRDERTLKNTDIKVVDFGSATYDDEHHSTLVSTRHYRAPEVILALGWSQPCDVWSIGCILIEYYLGFTVFQTHDSKEHLAMMERILGPIPQHMIQKTRKRKYFHHNQLDWDEHSSAGRYVRRRCKPLKEFMLCHDEEHEKLFDLVRRMLEYDPTQRITLDEALQHPFFDLLKKK
+>DECOY_sp|Q9HAZ1|CLK4_HUMAN Dual specificity protein kinase CLK4 OS=Homo sapiens OX=9606 GN=CLK4 PE=1 SV=1
+KKKLLDFFPHQLAEDLTIRQTPDYELMRRVLDFLKEHEEDHCLMFEKLPKCRRRVYRGASSHEDWDLQNHHFYKRKRTKQIMHQPIPGLIREMMALHEKSDHTQFVTFGLYYEILICGISWVDCPQSWGLALIVEPARYHRTSVLTSHHEDDYTASGFDVVKIDTNKLTREDRKMKSNYKVVYDSKVFLINEPKLDTHTLKNHHLFNISQCIQYAMQRIHDIQFPLFSNEKIFDYTSLGLLEFVICVHGHHDFWELMQVCRFVSNPDTSNLHELVQIESRAAERYRGVNKVIKVAVHMGDMGHDICEVVKGFAGEGLTDVIEYRARLVDGSQCILHGEEDDEISRSRKRRHSKSRSQHSSCHRNRKRKPSSRRSRVSSKSCHIRYGSEIDRHYHRPVYGECYDNRYEDVYRRDRYDRENLSRAELYHCDSEKFQHHPKCHRNEQTSSHSRRKRKHSGRYSEHGWSERSDWDPCHTRKSHRM
+>sp|Q8TDQ1|CLM1_HUMAN CMRF35-like molecule 1 OS=Homo sapiens OX=9606 GN=CD300LF PE=1 SV=3
+MPLLTLYLLLFWLSGYSIVTQITGPTTVNGLERGSLTVQCVYRSGWETYLKWWCRGAIWRDCKILVKTSGSEQEVKRDRVSIKDNQKNRTFTVTMEDLMKTDADTYWCGIEKTGNDLGVTVQVTIDPAPVTQEETSSSPTLTGHHLDNRHKLLKLSVLLPLIFTILLLLLVAASLLAWRMMKYQQKAAGMSPEQVLQPLEGDLCYADLTLQLAGTSPQKATTKLSSAQVDQVEVEYVTMASLPKEDISYASLTLGAEDQEPTYCNMGHLSSHLPGRGPEEPTEYSTISRP
+>DECOY_sp|Q8TDQ1|CLM1_HUMAN CMRF35-like molecule 1 OS=Homo sapiens OX=9606 GN=CD300LF PE=1 SV=3
+PRSITSYETPEEPGRGPLHSSLHGMNCYTPEQDEAGLTLSAYSIDEKPLSAMTVYEVEVQDVQASSLKTTAKQPSTGALQLTLDAYCLDGELPQLVQEPSMGAAKQQYKMMRWALLSAAVLLLLLITFILPLLVSLKLLKHRNDLHHGTLTPSSSTEEQTVPAPDITVQVTVGLDNGTKEIGCWYTDADTKMLDEMTVTFTRNKQNDKISVRDRKVEQESGSTKVLIKCDRWIAGRCWWKLYTEWGSRYVCQVTLSGRELGNVTTPGTIQTVISYGSLWFLLLYLTLLPM
+>sp|Q9UGN4|CLM8_HUMAN CMRF35-like molecule 8 OS=Homo sapiens OX=9606 GN=CD300A PE=1 SV=2
+MWLPWALLLLWVPGCFALSKCRTVAGPVGGSLSVQCPYEKEHRTLNKYWCRPPQIFLCDKIVETKGSAGKRNGRVSIRDSPANLSFTVTLENLTEEDAGTYWCGVDTPWLRDFHDPVVEVEVSVFPASTSMTPASITAAKTSTITTAFPPVSSTTLFAVGATHSASIQEETEEVVNSQLPLLLSLLALLLLLLVGASLLAWRMFQKWIKAGDHSELSQNPKQAATQSELHYANLELLMWPLQEKPAPPREVEVEYSTVASPREELHYASVVFDSNTNRIAAQRPREEEPDSDYSVIRKT
+>DECOY_sp|Q9UGN4|CLM8_HUMAN CMRF35-like molecule 8 OS=Homo sapiens OX=9606 GN=CD300A PE=1 SV=2
+TKRIVSYDSDPEEERPRQAAIRNTNSDFVVSAYHLEERPSAVTSYEVEVERPPAPKEQLPWMLLELNAYHLESQTAAQKPNQSLESHDGAKIWKQFMRWALLSAGVLLLLLLALLSLLLPLQSNVVEETEEQISASHTAGVAFLTTSSVPPFATTITSTKAATISAPTMSTSAPFVSVEVEVVPDHFDRLWPTDVGCWYTGADEETLNELTVTFSLNAPSDRISVRGNRKGASGKTEVIKDCLFIQPPRCWYKNLTRHEKEYPCQVSLSGGVPGAVTRCKSLAFCGPVWLLLLAWPLWM
+>sp|Q96JQ2|CLMN_HUMAN Calmin OS=Homo sapiens OX=9606 GN=CLMN PE=1 SV=1
+MAAHEWDWFQREELIGQISDIRVQNLQVERENVQKRTFTRWINLHLEKCNPPLEVKDLFVDIQDGKILMALLEVLSGRNLLHEYKSSSHRIFRLNNIAKALKFLEDSNVKLVSIDAAEIADGNPSLVLGLIWNIILFFQIKELTGNLSRNSPSSSLSPGSGGTDSDSSFPPTPTAERSVAISVKDQRKAIKALLAWVQRKTRKYGVAVQDFAGSWRSGLAFLAVIKAIDPSLVDMKQALENSTRENLEKAFSIAQDALHIPRLLEPEDIMVDTPDEQSIMTYVAQFLERFPELEAEDIFDSDKEVPIESTFVRIKETPSEQESKVFVLTENGERTYTVNHETSHPPPSKVFVCDKPESMKEFRLDGVSSHALSDSSTEFMHQIIDQVLQGGPGKTSDISEPSPESSILSSRKENGRSNSLPIKKTVHFEADTYKDPFCSKNLSLCFEGSPRVAKESLRQDGHVLAVEVAEEKEQKQESSKIPESSSDKVAGDIFLVEGTNNNSQSSSCNGALESTARHDEESHSLSPPGENTVMADSFQIKVNLMTVEALEEGDYFEAIPLKASKFNSDLIDFASTSQAFNKVPSPHETKPDEDAEAFENHAEKLGKRSIKSAHKKKDSPEPQVKMDKHEPHQDSGEEAEGCPSAPEETPVDKKPEVHEKAKRKSTRPHYEEEGEDDDLQGVGEELSSSPPSSCVSLETLGSHSEEGLDFKPSPPLSKVSVIPHDLFYFPHYEVPLAAVLEAYVEDPEDLKNEEMDLEEPEGYMPDLDSREEEADGSQSSSSSSVPGESLPSASDQVLYLSRGGVGTTPASEPAPLAPHEDHQQRETKENDPMDSHQSQESPNLENIANPLEENVTKESISSKKKEKRKHVDHVESSLFVAPGSVQSSDDLEEDSSDYSIPSRTSHSDSSIYLRRHTHRSSESDHFSYVQLRNAADLDDRRNRILTRKANSSGEAMSLGSHSPQSDSLTQLVQQPDMMYFILFLWLLVYCLLLFPQLDVSRL
+>DECOY_sp|Q96JQ2|CLMN_HUMAN Calmin OS=Homo sapiens OX=9606 GN=CLMN PE=1 SV=1
+LRSVDLQPFLLLCYVLLWLFLIFYMMDPQQVLQTLSDSQPSHSGLSMAEGSSNAKRTLIRNRRDDLDAANRLQVYSFHDSESSRHTHRRLYISSDSHSTRSPISYDSSDEELDDSSQVSGPAVFLSSEVHDVHKRKEKKKSSISEKTVNEELPNAINELNPSEQSQHSDMPDNEKTERQQHDEHPALPAPESAPTTGVGGRSLYLVQDSASPLSEGPVSSSSSSQSGDAEEERSDLDPMYGEPEELDMEENKLDEPDEVYAELVAALPVEYHPFYFLDHPIVSVKSLPPSPKFDLGEESHSGLTELSVCSSPPSSSLEEGVGQLDDDEGEEEYHPRTSKRKAKEHVEPKKDVPTEEPASPCGEAEEGSDQHPEHKDMKVQPEPSDKKKHASKISRKGLKEAHNEFAEADEDPKTEHPSPVKNFAQSTSAFDILDSNFKSAKLPIAEFYDGEELAEVTMLNVKIQFSDAMVTNEGPPSLSHSEEDHRATSELAGNCSSSQSNNNTGEVLFIDGAVKDSSSEPIKSSEQKQEKEEAVEVALVHGDQRLSEKAVRPSGEFCLSLNKSCFPDKYTDAEFHVTKKIPLSNSRGNEKRSSLISSEPSPESIDSTKGPGGQLVQDIIQHMFETSSDSLAHSSVGDLRFEKMSEPKDCVFVKSPPPHSTEHNVTYTREGNETLVFVKSEQESPTEKIRVFTSEIPVEKDSDFIDEAELEPFRELFQAVYTMISQEDPTDVMIDEPELLRPIHLADQAISFAKELNERTSNELAQKMDVLSPDIAKIVALFALGSRWSGAFDQVAVGYKRTKRQVWALLAKIAKRQDKVSIAVSREATPTPPFSSDSDTGGSGPSLSSSPSNRSLNGTLEKIQFFLIINWILGLVLSPNGDAIEAADISVLKVNSDELFKLAKAINNLRFIRHSSSKYEHLLNRGSLVELLAMLIKGDQIDVFLDKVELPPNCKELHLNIWRTFTRKQVNEREVQLNQVRIDSIQGILEERQFWDWEHAAM
+>sp|Q9NWW5|CLN6_HUMAN Ceroid-lipofuscinosis neuronal protein 6 OS=Homo sapiens OX=9606 GN=CLN6 PE=1 SV=1
+MEATRRRQHLGATGGPGAQLGASFLQARHGSVSADEAARTAPFHLDLWFYFTLQNWVLDFGRPIAMLVFPLEWFPLNKPSVGDYFHMAYNVITPFLLLKLIERSPRTLPRSITYVSIIIFIMGASIHLVGDSVNHRLLFSGYQHHLSVRENPIIKNLKPETLIDSFELLYYYDEYLGHCMWYIPFFLILFMYFSGCFTASKAESLIPGPALLLVAPSGLYYWYLVTEGQIFILFIFTFFAMLALVLHQKRKRLFLDSNGLFLFSSFALTLLLVALWVAWLWNDPVLRKKYPGVIYVPEPWAFYTLHVSSRH
+>DECOY_sp|Q9NWW5|CLN6_HUMAN Ceroid-lipofuscinosis neuronal protein 6 OS=Homo sapiens OX=9606 GN=CLN6 PE=1 SV=1
+HRSSVHLTYFAWPEPVYIVGPYKKRLVPDNWLWAVWLAVLLLTLAFSSFLFLGNSDLFLRKRKQHLVLALMAFFTFIFLIFIQGETVLYWYYLGSPAVLLLAPGPILSEAKSATFCGSFYMFLILFFPIYWMCHGLYEDYYYLLEFSDILTEPKLNKIIPNERVSLHHQYGSFLLRHNVSDGVLHISAGMIFIIISVYTISRPLTRPSREILKLLLFPTIVNYAMHFYDGVSPKNLPFWELPFVLMAIPRGFDLVWNQLTFYFWLDLHFPATRAAEDASVSGHRAQLFSAGLQAGPGGTAGLHQRRRTAEM
+>sp|O15516|CLOCK_HUMAN Circadian locomoter output cycles protein kaput OS=Homo sapiens OX=9606 GN=CLOCK PE=1 SV=1
+MLFTVSCSKMSSIVDRDDSSIFDGLVEEDDKDKAKRVSRNKSEKKRRDQFNVLIKELGSMLPGNARKMDKSTVLQKSIDFLRKHKEITAQSDASEIRQDWKPTFLSNEEFTQLMLEALDGFFLAIMTDGSIIYVSESVTSLLEHLPSDLVDQSIFNFIPEGEHSEVYKILSTHLLESDSLTPEYLKSKNQLEFCCHMLRGTIDPKEPSTYEYVKFIGNFKSLNSVSSSAHNGFEGTIQRTHRPSYEDRVCFVATVRLATPQFIKEMCTVEEPNEEFTSRHSLEWKFLFLDHRAPPIIGYLPFEVLGTSGYDYYHVDDLENLAKCHEHLMQYGKGKSCYYRFLTKGQQWIWLQTHYYITYHQWNSRPEFIVCTHTVVSYAEVRAERRRELGIEESLPETAADKSQDSGSDNRINTVSLKEALERFDHSPTPSASSRSSRKSSHTAVSDPSSTPTKIPTDTSTPPRQHLPAHEKMVQRRSSFSSQSINSQSVGSSLTQPVMSQATNLPIPQGMSQFQFSAQLGAMQHLKDQLEQRTRMIEANIHRQQEELRKIQEQLQMVHGQGLQMFLQQSNPGLNFGSVQLSSGNSSNIQQLAPINMQGQVVPTNQIQSGMNTGHIGTTQHMIQQQTLQSTSTQSQQNVLSGHSQQTSLPSQTQSTLTAPLYNTMVISQPAAGSMVQIPSSMPQNSTQSAAVTTFTQDRQIRFSQGQQLVTKLVTAPVACGAVMVPSTMLMGQVVTAYPTFATQQQQSQTLSVTQQQQQQSSQEQQLTSVQQPSQAQLTQPPQQFLQTSRLLHGNPSTQLILSAAFPLQQSTFPQSHHQQHQSQQQQQLSRHRTDSLPDPSKVQPQ
+>DECOY_sp|O15516|CLOCK_HUMAN Circadian locomoter output cycles protein kaput OS=Homo sapiens OX=9606 GN=CLOCK PE=1 SV=1
+QPQVKSPDPLSDTRHRSLQQQQQSQHQQHHSQPFTSQQLPFAASLILQTSPNGHLLRSTQLFQQPPQTLQAQSPQQVSTLQQEQSSQQQQQQTVSLTQSQQQQTAFTPYATVVQGMLMTSPVMVAGCAVPATVLKTVLQQGQSFRIQRDQTFTTVAASQTSNQPMSSPIQVMSGAAPQSIVMTNYLPATLTSQTQSPLSTQQSHGSLVNQQSQTSTSQLTQQQIMHQTTGIHGTNMGSQIQNTPVVQGQMNIPALQQINSSNGSSLQVSGFNLGPNSQQLFMQLGQGHVMQLQEQIKRLEEQQRHINAEIMRTRQELQDKLHQMAGLQASFQFQSMGQPIPLNTAQSMVPQTLSSGVSQSNISQSSFSSRRQVMKEHAPLHQRPPTSTDTPIKTPTSSPDSVATHSSKRSSRSSASPTPSHDFRELAEKLSVTNIRNDSGSDQSKDAATEPLSEEIGLERRREARVEAYSVVTHTCVIFEPRSNWQHYTIYYHTQLWIWQQGKTLFRYYCSKGKGYQMLHEHCKALNELDDVHYYDYGSTGLVEFPLYGIIPPARHDLFLFKWELSHRSTFEENPEEVTCMEKIFQPTALRVTAVFCVRDEYSPRHTRQITGEFGNHASSSVSNLSKFNGIFKVYEYTSPEKPDITGRLMHCCFELQNKSKLYEPTLSDSELLHTSLIKYVESHEGEPIFNFISQDVLDSPLHELLSTVSESVYIISGDTMIALFFGDLAELMLQTFEENSLFTPKWDQRIESADSQATIEKHKRLFDISKQLVTSKDMKRANGPLMSGLEKILVNFQDRRKKESKNRSVRKAKDKDDEEVLGDFISSDDRDVISSMKSCSVTFLM
+>sp|O14519|CDKA1_HUMAN Cyclin-dependent kinase 2-associated protein 1 OS=Homo sapiens OX=9606 GN=CDK2AP1 PE=1 SV=1
+MSYKPNLAAHMPAAALNAAGSVHSPSTSMATSSQYRQLLSDYGPPSLGYTQGTGNSQVPQSKYAELLAIIEELGKEIRPTYAGSKSAMERLKRGIIHARGLVRECLAETERNARS
+>DECOY_sp|O14519|CDKA1_HUMAN Cyclin-dependent kinase 2-associated protein 1 OS=Homo sapiens OX=9606 GN=CDK2AP1 PE=1 SV=1
+SRANRETEALCERVLGRAHIIGRKLREMASKSGAYTPRIEKGLEEIIALLEAYKSQPVQSNGTGQTYGLSPPGYDSLLQRYQSSTAMSTSPSHVSGAANLAAAPMHAALNPKYSM
+>sp|Q5MAI5|CDKL4_HUMAN Cyclin-dependent kinase-like 4 OS=Homo sapiens OX=9606 GN=CDKL4 PE=1 SV=2
+MEKYEKLAKTGEGSYGVVFKCRNKTSGQVVAVKKFVESEDDPVVKKIALREIRMLKQLKHPNLVNLIEVFRRKRKMHLVFEYCDHTLLNELERNPNGVADGVIKSVLWQTLQALNFCHIHNCIHRDIKPENILITKQGIIKICDFGFAQILIPGDAYTDYVATRWYRAPELLVGDTQYGSSVDIWAIGCVFAELLTGQPLWPGKSDVDQLYLIIRTLGKLIPRHQSIFKSNGFFHGISIPEPEDMETLEEKFSDVHPVALNFMKGCLKMNPDDRLTCSQLLESSYFDSFQEAQIKRKARNEGRNRRRQQQAPKSAFPRLFLKTKICQVQRNETQTSGNQILPNGPILQNSMVTVMTNINSAVYQVTVLHLLSENFEVKS
+>DECOY_sp|Q5MAI5|CDKL4_HUMAN Cyclin-dependent kinase-like 4 OS=Homo sapiens OX=9606 GN=CDKL4 PE=1 SV=2
+SKVEFNESLLHLVTVQYVASNINTMVTVMSNQLIPGNPLIQNGSTQTENRQVQCIKTKLFLRPFASKPAQQQRRRNRGENRAKRKIQAEQFSDFYSSELLQSCTLRDDPNMKLCGKMFNLAVPHVDSFKEELTEMDEPEPISIGHFFGNSKFISQHRPILKGLTRIILYLQDVDSKGPWLPQGTLLEAFVCGIAWIDVSSGYQTDGVLLEPARYWRTAVYDTYADGPILIQAFGFDCIKIIGQKTILINEPKIDRHICNHIHCFNLAQLTQWLVSKIVGDAVGNPNRELENLLTHDCYEFVLHMKRKRRFVEILNVLNPHKLQKLMRIERLAIKKVVPDDESEVFKKVAVVQGSTKNRCKFVVGYSGEGTKALKEYKEM
+>sp|Q16878|CDO1_HUMAN Cysteine dioxygenase type 1 OS=Homo sapiens OX=9606 GN=CDO1 PE=1 SV=2
+MEQTEVLKPRTLADLIRILHQLFAGDEVNVEEVQAIMEAYESDPTEWAMYAKFDQYRYTRNLVDQGNGKFNLMILCWGEGHGSSIHDHTNSHCFLKMLQGNLKETLFAWPDKKSNEMVKKSERVLRENQCAYINDSIGLHRVENISHTEPAVSLHLYSPPFDTCHAFDQRTGHKNKVTMTFHSKFGIRTPNATSGSLENN
+>DECOY_sp|Q16878|CDO1_HUMAN Cysteine dioxygenase type 1 OS=Homo sapiens OX=9606 GN=CDO1 PE=1 SV=2
+NNELSGSTANPTRIGFKSHFTMTVKNKHGTRQDFAHCTDFPPSYLHLSVAPETHSINEVRHLGISDNIYACQNERLVRESKKVMENSKKDPWAFLTEKLNGQLMKLFCHSNTHDHISSGHGEGWCLIMLNFKGNGQDVLNRTYRYQDFKAYMAWETPDSEYAEMIAQVEEVNVEDGAFLQHLIRILDALTRPKLVETQEM
+>sp|Q6NVV7|CDPF1_HUMAN Cysteine-rich DPF motif domain-containing protein 1 OS=Homo sapiens OX=9606 GN=CDPF1 PE=1 SV=1
+MASHVECRPLGVFECELCTLTAPYSYVGQKPPNTQSMVLLEESYVMKDPFTSDKDRFLVLGSCCSLCSRLVCVGPECSLFYSKRFCLPCVRENINAFPQEIRQDLEKRKAPSKRTPSQPGSRT
+>DECOY_sp|Q6NVV7|CDPF1_HUMAN Cysteine-rich DPF motif domain-containing protein 1 OS=Homo sapiens OX=9606 GN=CDPF1 PE=1 SV=1
+TRSGPQSPTRKSPAKRKELDQRIEQPFANINERVCPLCFRKSYFLSCEPGVCVLRSCLSCCSGLVLFRDKDSTFPDKMVYSEELLVMSQTNPPKQGVYSYPATLTCLECEFVGLPRCEVHSAM
+>sp|Q9UKY7|CDV3_HUMAN Protein CDV3 homolog OS=Homo sapiens OX=9606 GN=CDV3 PE=1 SV=1
+MAETEERSLDNFFAKRDKKKKKERSNRAASAAGAAGSAGGSSGAAGAAGGGAGAGTRPGDGGTASAGAAGPGAATKAVTKDEDEWKELEQKEVDYSGLRVQAMQISSEKEEDDNEKRQDPGDNWEEGGGGGGGMEKSSGPWNKTAPVQAPPAPVIVTETPEPAMTSGVYRPPGARLTTTRKTPQGPPEIYSDTQFPSLQSTAKHVESRKDKEMEKSFEVVRHKNRGRDEVSKNQALKLQLDNQYAVLENQKSSHSQYN
+>DECOY_sp|Q9UKY7|CDV3_HUMAN Protein CDV3 homolog OS=Homo sapiens OX=9606 GN=CDV3 PE=1 SV=1
+NYQSHSSKQNELVAYQNDLQLKLAQNKSVEDRGRNKHRVVEFSKEMEKDKRSEVHKATSQLSPFQTDSYIEPPGQPTKRTTTLRAGPPRYVGSTMAPEPTETVIVPAPPAQVPATKNWPGSSKEMGGGGGGGEEWNDGPDQRKENDDEEKESSIQMAQVRLGSYDVEKQELEKWEDEDKTVAKTAAGPGAAGASATGGDGPRTGAGAGGGAAGAAGSSGGASGAAGAASAARNSREKKKKKDRKAFFNDLSREETEAM
+>sp|P47902|CDX1_HUMAN Homeobox protein CDX-1 OS=Homo sapiens OX=9606 GN=CDX1 PE=1 SV=2
+MYVGYVLDKDSPVYPGPARPASLGLGPQAYGPPAPPPAPPQYPDFSSYSHVEPAPAPPTAWGAPFPAPKDDWAAAYGPGPAAPAASPASLAFGPPPDFSPVPAPPGPGPGLLAQPLGGPGTPSSPGAQRPTPYEWMRRSVAAGGGGGSGKTRTKDKYRVVYTDHQRLELEKEFHYSRYITIRRKSELAANLGLTERQVKIWFQNRRAKERKVNKKKQQQQQPPQPPMAHDITATPAGPSLGGLCPSNTSLLATSSPMPVKEEFLP
+>DECOY_sp|P47902|CDX1_HUMAN Homeobox protein CDX-1 OS=Homo sapiens OX=9606 GN=CDX1 PE=1 SV=2
+PLFEEKVPMPSSTALLSTNSPCLGGLSPGAPTATIDHAMPPQPPQQQQQKKKNVKREKARRNQFWIKVQRETLGLNAALESKRRITIYRSYHFEKELELRQHDTYVVRYKDKTRTKGSGGGGGAAVSRRMWEYPTPRQAGPSSPTGPGGLPQALLGPGPGPPAPVPSFDPPPGFALSAPSAAPAAPGPGYAAAWDDKPAPFPAGWATPPAPAPEVHSYSSFDPYQPPAPPPAPPGYAQPGLGLSAPRAPGPYVPSDKDLVYGVYM
+>sp|Q8N8U2|CDYL2_HUMAN Chromodomain Y-like protein 2 OS=Homo sapiens OX=9606 GN=CDYL2 PE=1 SV=2
+MASGDLYEVERIVDKRKNKKGKWEYLIRWKGYGSTEDTWEPEHHLLHCEEFIDEFNGLHMSKDKRIKSGKQSSTSKLLRDSRGPSVEKLSHRPSDPGKSKGTSHKRKRINPPLAKPKKGYSGKPSSGGDRATKTVSYRTTPSGLQIMPLKKSQNGMENGDAGSEKDERHFGNGSHQPGLDLNDHVGEQDMGECDVNHATLAENGLGSALTNGGLNLHSPVKRKLEAEKDYVFDKRLRYSVRQNESNCRFRDIVVRKEEGFTHILLSSQTSDNNALTPEIMKEVRRALCNAATDDSKLLLLSAVGSVFCSGLDYSYLIGRLSSDRRKESTRIAEAIRDFVKAFIQFKKPIVVAINGPALGLGASILPLCDIVWASEKAWFQTPYATIRLTPAGCSSYTFPQILGVALANEMLFCGRKLTAQEACSRGLVSQVFWPTTFSQEVMLRVKEMASCSAVVLEESKCLVRSFLKSVLEDVNEKECLMLKQLWSSSKGLDSLFSYLQDKIYEV
+>DECOY_sp|Q8N8U2|CDYL2_HUMAN Chromodomain Y-like protein 2 OS=Homo sapiens OX=9606 GN=CDYL2 PE=1 SV=2
+VEYIKDQLYSFLSDLGKSSSWLQKLMLCEKENVDELVSKLFSRVLCKSEELVVASCSAMEKVRLMVEQSFTTPWFVQSVLGRSCAEQATLKRGCFLMENALAVGLIQPFTYSSCGAPTLRITAYPTQFWAKESAWVIDCLPLISAGLGLAPGNIAVVIPKKFQIFAKVFDRIAEAIRTSEKRRDSSLRGILYSYDLGSCFVSGVASLLLLKSDDTAANCLARRVEKMIEPTLANNDSTQSSLLIHTFGEEKRVVIDRFRCNSENQRVSYRLRKDFVYDKEAELKRKVPSHLNLGGNTLASGLGNEALTAHNVDCEGMDQEGVHDNLDLGPQHSGNGFHREDKESGADGNEMGNQSKKLPMIQLGSPTTRYSVTKTARDGGSSPKGSYGKKPKALPPNIRKRKHSTGKSKGPDSPRHSLKEVSPGRSDRLLKSTSSQKGSKIRKDKSMHLGNFEDIFEECHLLHHEPEWTDETSGYGKWRILYEWKGKKNKRKDVIREVEYLDGSAM
+>sp|Q15744|CEBPE_HUMAN CCAAT/enhancer-binding protein epsilon OS=Homo sapiens OX=9606 GN=CEBPE PE=1 SV=2
+MSHGTYYECEPRGGQQPLEFSGGRAGPGELGDMCEHEASIDLSAYIESGEEQLLSDLFAVKPAPEARGLKGPGTPAFPHYLPPDPRPFAYPPHTFGPDRKALGPGIYSSPGSYDPRAVAVKEEPRGPEGSRAASRGSYNPLQYQVAHCGQTAMHLPPTLAAPGQPLRVLKAPLATAAPPCSPLLKAPSPAGPLHKGKKAVNKDSLEYRLRRERNNIAVRKSRDKAKRRILETQQKVLEYMAENERLRSRVEQLTQELDTLRNLFRQIPEAANLIKGVGGCS
+>DECOY_sp|Q15744|CEBPE_HUMAN CCAAT/enhancer-binding protein epsilon OS=Homo sapiens OX=9606 GN=CEBPE PE=1 SV=2
+SCGGVGKILNAAEPIQRFLNRLTDLEQTLQEVRSRLRENEAMYELVKQQTELIRRKAKDRSKRVAINNRERRLRYELSDKNVAKKGKHLPGAPSPAKLLPSCPPAATALPAKLVRLPQGPAALTPPLHMATQGCHAVQYQLPNYSGRSAARSGEPGRPEEKVAVARPDYSGPSSYIGPGLAKRDPGFTHPPYAFPRPDPPLYHPFAPTGPGKLGRAEPAPKVAFLDSLLQEEGSEIYASLDISAEHECMDGLEGPGARGGSFELPQQGGRPECEYYTGHSM
+>sp|P0C7V0|CF217_HUMAN Putative uncharacterized protein encoded by LINC00271 OS=Homo sapiens OX=9606 GN=LINC00271 PE=5 SV=1
+MLNSPGTRRPVKEAQKYGEDSQKSHSPGTPGPRSSVTTLSASALSDSSSPDTPPRRGPGRPSTPARAPATSAPMMYSRRGVRRTARPAGADTRSSANQLPQPSGACANADSAPPADVSACLRRRSHGDRCVPRSRRRPRPRPRASTAFFQEEGPCGACPGALRPQAGASFRELRGLPPPRPREREQSPPLGAAPSSALSHQGWKNTRCATRGLVNTLVNTGHFLYLQPPAPLIMPYLDDAEVPGNRRSHPSLSFSWLSKALYHVTFLLRIL
+>DECOY_sp|P0C7V0|CF217_HUMAN Putative uncharacterized protein encoded by LINC00271 OS=Homo sapiens OX=9606 GN=LINC00271 PE=5 SV=1
+LIRLLFTVHYLAKSLWSFSLSPHSRRNGPVEADDLYPMILPAPPQLYLFHGTNVLTNVLGRTACRTNKWGQHSLASSPAAGLPPSQERERPRPPPLGRLERFSAGAQPRLAGPCAGCPGEEQFFATSARPRPRPRRRSRPVCRDGHSRRRLCASVDAPPASDANACAGSPQPLQNASSRTDAGAPRATRRVGRRSYMMPASTAPARAPTSPRGPGRRPPTDPSSSDSLASASLTTVSSRPGPTGPSHSKQSDEGYKQAEKVPRRTGPSNLM
+>sp|Q5I0X4|CF226_HUMAN Uncharacterized protein C6orf226 OS=Homo sapiens OX=9606 GN=C6orf226 PE=1 SV=1
+MERPRSPQCSAPASASASVTLAQLLQLVQQGQELPGLEKRHIAAIHGEPTASRLPRRPKPWEAAALAESLPPPTLRIGTAPAEPGLVEAATAPSSWHTVGP
+>DECOY_sp|Q5I0X4|CF226_HUMAN Uncharacterized protein C6orf226 OS=Homo sapiens OX=9606 GN=C6orf226 PE=1 SV=1
+PGVTHWSSPATAAEVLGPEAPATGIRLTPPPLSEALAAAEWPKPRRPLRSATPEGHIAAIHRKELGPLEQGQQVLQLLQALTVSASASAPASCQPSRPREM
+>sp|B2RV13|CF97D_HUMAN Uncharacterized protein CFAP97D1 OS=Homo sapiens OX=9606 GN=CFAP97D1 PE=2 SV=1
+MNNSLDYLAYPVIVSNHRQSTTFRKKLDFGHYVSHKNRIQIAKPTVDTKPPVAHTNHILKLSKLQGEQKKINKIEYENKQLCQKIANAHRGPAKVDCWNEYFSKSLNRETRNRELVRITMENQGILKRLVDRKPHYDRRASEIDWQNSRRYIRNTTRYLLSQNE
+>DECOY_sp|B2RV13|CF97D_HUMAN Uncharacterized protein CFAP97D1 OS=Homo sapiens OX=9606 GN=CFAP97D1 PE=2 SV=1
+ENQSLLYRTTNRIYRRSNQWDIESARRDYHPKRDVLRKLIGQNEMTIRVLERNRTERNLSKSFYENWCDVKAPGRHANAIKQCLQKNEYEIKNIKKQEGQLKSLKLIHNTHAVPPKTDVTPKAIQIRNKHSVYHGFDLKKRFTTSQRHNSVIVPYALYDLSNNM
+>sp|Q9UL16|CFA45_HUMAN Cilia- and flagella-associated protein 45 OS=Homo sapiens OX=9606 GN=CFAP45 PE=1 SV=2
+MPLSTAGILSSSSAASNRSRNKARYRTKAVSSEVDESLFGDIKSPAQGQSDSPIVLLRDKHTLQKTLTALGLDRKPETIQLITRDMVRELIVPTEDPSGESLIISPEEFERIKWASHVLTREELEARDQAFKKEKEATMDAVMTRKKIMKQKEMVWNNNKKLSDLEEVAKERAQNLLQRANKLRMEQEEELKDMSKIILNAKCHAIRDAQILEKQQIQKELDTEEKRLDQMMEVERQKSIQRQEELERKRREERIRGRRQIVEQMEKNQEERSLLAEQREQEKEQMLEYMEQLQEEDLKDMERRQQQKLKMQAEIKRINDENQKQKAELLAQEKLADQMVMEFTKKKMAREAEFEAEQERIRREKEKEIARLRAMQEKAQDYQAEQDALRAKRNQEVADREWRRKEKENARKKMETEAELRKSRLEQVAFKEHALAVQVQRDRDEFERILRAQREQIEKERLEEEKKATGRLQHANELRRQVRENQQKEVQNRIATFEEGRRLKEEAQKRRERIDEIKRKKLEELRATGLPEKYCIEAERKANILPATSVN
+>DECOY_sp|Q9UL16|CFA45_HUMAN Cilia- and flagella-associated protein 45 OS=Homo sapiens OX=9606 GN=CFAP45 PE=1 SV=2
+NVSTAPLINAKREAEICYKEPLGTARLEELKKRKIEDIRERRKQAEEKLRRGEEFTAIRNQVEKQQNERVQRRLENAHQLRGTAKKEEELREKEIQERQARLIREFEDRDRQVQVALAHEKFAVQELRSKRLEAETEMKKRANEKEKRRWERDAVEQNRKARLADQEAQYDQAKEQMARLRAIEKEKERRIREQEAEFEAERAMKKKTFEMVMQDALKEQALLEAKQKQNEDNIRKIEAQMKLKQQQRREMDKLDEEQLQEMYELMQEKEQERQEALLSREEQNKEMQEVIQRRGRIREERRKRELEEQRQISKQREVEMMQDLRKEETDLEKQIQQKELIQADRIAHCKANLIIKSMDKLEEEQEMRLKNARQLLNQAREKAVEELDSLKKNNNWVMEKQKMIKKRTMVADMTAEKEKKFAQDRAELEERTLVHSAWKIREFEEPSIILSEGSPDETPVILERVMDRTILQITEPKRDLGLATLTKQLTHKDRLLVIPSDSQGQAPSKIDGFLSEDVESSVAKTRYRAKNRSRNSAASSSSLIGATSLPM
+>sp|Q6ZTR5|CFA47_HUMAN Cilia- and flagella-associated protein 47 OS=Homo sapiens OX=9606 GN=CFAP47 PE=2 SV=5
+MNTQKGSLTINVHRGSLAMSIQRGSLVPRDMDSSGRDMQLRVIPAEVKFLDTMAGRVYRLPITVHNICRWNQKIRFKEPVKPQFKLMLTSLDKELASGLQMTAMVEYHPDKDEDTFDRLLISIENKTTEIPLIGLIPSCQLEIESVVNFGTLVANSKVYSKEITITNHGKAPGIFKAEYHGQLPILIFPTSGIVDAKSSMVIKVDFCADQPRIVDEEAIVILQGQPEMLLSIKAHVVEQIIELLSMSSDRRLECIHFGPVFFGSSKIKHARVYNNSPEPINWVAIIQDDAVGEELGTDIQQRTDIALNNLTYIRKIKNIDTTIIISCLPNEGTLQPYQKTVITFCFTPKLMAVGKKDIGPSYRQDYALFLRFESVGSKDGFLRDDDYKTIKSERFQKVELALTGTGLPVLLQFDPGPVLNFKPCFMGERSEIQCIIKNQCELLPVTYHFKKTANFEIDPEKGKITGGGMVDVMCSFVPHQLGVFKVKQMIEIIGLVAEEDLQSLSVKSFHHVYLAFNSICKASTKKVVMKFDPGILPSIRNPTGKFVVKDLAKRKNYAPVAMLQSAMTRTHNHRSCEEPVKDMLLAFPNDRAATIRSKDHHKHFRPIFTKVPRFNYVNHDFAYTTFEKQQKKLHENYYAMYLKYLRSVRLQKKQAERERMYSYDDTDIGLEPGSGLKSPSLSEAEIEEELSSAANSIRANRLLTTRGIASQEEESVRRKVLKGLKSEPSTPQEKHDCSLMLTPKQIHQVIVGPSVLNFGNICVNSPNTHLLHVINMLPMHVLLQLDTDLEELQKTNQFSYVILPTSSTYISMVFDSPTIGKFWKSFTFTVNNVPSGHILVVAVVQPVTLELSSNELVLRPRGFFMKTCFRGTVRLYNRQNCCAQFQWQPVNTGRGIAFSICPAKGTVEAYSSLECEVTWQQGFSSPEEGEFILHVFQGNALKLKCVAHLGRTKVLLLQPRILFSNCPQGLTTWRKAILQNVGQNHAYFKVCSQSLLPIINIIPSQGIVPFGGITVLNISCKPTVAEKFDTRAKVSIRHANVIDLRIGGSAEIADVEINPDVFNFSGAYIGGTQIIPFVIKNKGITRARVEFNLKDFPDFSMDLKDKSEEFKDPAVPYIYSLELEENTSLECSITFSPKEVTVVEFIIQVQINFFESSKLYTKYLSSSPSNPKTVPLIRPCYVQATALQSPLNLSSTKFVFEIPLHEMNPNNKVTKTQNLVLYNITKHHVTWTLDLSNTGKLFKDGTFKFSVLNGILRPNEKYNVSISFCPNRPGTYTADIPMLLNYIPVCYKILHLTGEVKSPELLFDPPFIFFTPVPLDITTVMDINILPQNYFRNSTLCVQIPTVRLLDGEEIHPLSVKFPKGRVIPGSHSGINNKLTCHLSFKSSKPVSFFTNLLFCDDRKNWFSLPVTATAENCILTIYPYMAIHLDKQNIILKNDKDEYLKKTRDGVLPPYQDAKPPSPASIKKTYTTSKFNDAEPAKGNLFIGVEVLPENLHLDESETSEEDHGSLEKEKYEQFLSLEEGTKAHYFFEKVVNAAQTWFSLFGWPEGPHSFSIPETIRRDVYKMQFYSSTSPPQKFSRQNDFSKYNKTIYDVLLHLSGKMPPGINSSQSLPVDNHEKRVIQLHLQHSSLLDFLNAQGGCISHVLPEFLLEPEDYKRWIEIMSSTNTMPVSSCTPKKKCSIVIEMSKFEAWSKRAWTDVFLQIYKVLVLSRVVPYCSNNMPPICVQNTPKVNPCFASSNIYSDSERILLSWMNINYENTRHVIWKNCHKDVIPSERWIVNFDKDLSDGLVFATQLGAYCPFLIESHFINMYTRPKSPEEYLHNCLIIVNTLYEIDFDVEIQATDICDPNPILMLMLCVYMYERLPTYLPKKVVSFECTLHDTVLNKILLKNSSSRNLVYNARIVGRDAADFSLSQKGNVVTISPRNEINVTLKFTSRFIRPAEASLLLISKPKNAVRGITMTFALKGKVLDFKAIDIIKCESPCYQFQEVTVNVKNPFHTAGDFSVILVESSTFVSSPTKLTESRQYPKHDDDMSSSGSDTDQGCSDSPNVLHTSIKSTFIREFFCSMHTVHLGVKGTSSLELRFLPFNMHVRYCVIILSNKKIGQLIYVAEGKGMTPLPSSCLPMNTSSSPVYYSTTREEGPNKKYPVLYLKCKPYQILYVDLKLPMTNEAKEKALAFAAQQQMSSIEYERRLITGTLESSSIRVAIALLGLTKIETLMLFRISKLRKPKTVSYTTEVSLPKYFYIPEKISIPWIPEPQVIKLSKAKASDGSVPLPLQFLPLQSGRYPCKILLKSRYDVRAYYVEGIVNEEQPEAKFEFETPAFEALTQNIPIKNQTNDKWTFQVTIEGEWFYGPVDLHVGPDEIVEYPLTFKPIFECVITGKLILQNEVDGREHIFDIKGVGKKPSALEHITVECQVGNVTQKHITLPHFTNTALTFKVTADLPIVWGNPQITVYPYKEILYLIHVRPWKRGILKGTITFSTTRRCTTRRKHDDYEEDTDQDQALSCLDSITEQSSILDDADTYGNFNNLRFWYNLEIHSTPGPPIEIMEMTCIALDSTCIEIPLSNPKDRGLHLEVQLTSAALNGDNEIILSPLQCTKYIVWYSPATTGYSDESIIFQPEMAEEFWYLLKLTIELPKPTTMPEIQCDLGKHVTQIIPLVNCTHETLKLQVTNSNPENFVLDINRKSQLIISPHSTTELPVLFYPSALGRADHQACINFYCTQFTEWKFYLSGVGLFPQPLDTERITTRIGLQSTIVIPFKNPTMEDVLIDIILTSVEHPRNLVMDHCWDSFIYESSAFRFSSPSEIQGIALPPKGNIDISLLFIPQIMKLHKTMVIIEMTKANGKYWPIDNFDELDIKFKSIVGIDSEEIQAIHWIYPIVGLPQAPPPKSPPVVIQCQSRKRAEEKVEIILNAGFFGFSLTPDLTEVLVIPKRNSHNFCEDPNEIPKIHEFEYEIQFESEAMKSKLESCVALYMIEKSYDIMAKRITFIFNLVFTPKKPLRSHITLKIECVTEGIWKFPIMLIATEPDTDAVIDIEGVGLFKESVFELRLKSQTRNPEPFTAHFLPGSDLEFFVKPQAGELLPFNTNGTLITVGFKPKMYCRKYKATLVIQTEEMYWKYEINGLTPTTVPPKNAKAKIDATHKTHDNMPVRPHNFVRENTKLIRTGVSSTIKGAPLVKNQ
+>DECOY_sp|Q6ZTR5|CFA47_HUMAN Cilia- and flagella-associated protein 47 OS=Homo sapiens OX=9606 GN=CFAP47 PE=2 SV=5
+QNKVLPAGKITSSVGTRILKTNERVFNHPRVPMNDHTKHTADIKAKANKPPVTTPTLGNIEYKWYMEETQIVLTAKYKRCYMKPKFGVTILTGNTNFPLLEGAQPKVFFELDSGPLFHATFPEPNRTQSKLRLEFVSEKFLGVGEIDIVADTDPETAILMIPFKWIGETVCEIKLTIHSRLPKKPTFVLNFIFTIRKAMIDYSKEIMYLAVCSELKSKMAESEFQIEYEFEHIKPIENPDECFNHSNRKPIVLVETLDPTLSFGFFGANLIIEVKEEARKRSQCQIVVPPSKPPPAQPLGVIPYIWHIAQIEESDIGVISKFKIDLEDFNDIPWYKGNAKTMEIIVMTKHLKMIQPIFLLSIDINGKPPLAIGQIESPSSFRFASSEYIFSDWCHDMVLNRPHEVSTLIIDILVDEMTPNKFPIVITSQLGIRTTIRETDLPQPFLGVGSLYFKWETFQTCYFNICAQHDARGLASPYFLVPLETTSHPSIILQSKRNIDLVFNEPNSNTVQLKLTEHTCNVLPIIQTVHKGLDCQIEPMTTPKPLEITLKLLYWFEEAMEPQFIISEDSYGTTAPSYWVIYKTCQLPSLIIENDGNLAASTLQVELHLGRDKPNSLPIEICTSDLAICTMEMIEIPPGPTSHIELNYWFRLNNFNGYTDADDLISSQETISDLCSLAQDQDTDEEYDDHKRRTTCRRTTSFTITGKLIGRKWPRVHILYLIEKYPYVTIQPNGWVIPLDATVKFTLATNTFHPLTIHKQTVNGVQCEVTIHELASPKKGVGKIDFIHERGDVENQLILKGTIVCEFIPKFTLPYEVIEDPGVHLDVPGYFWEGEITVQFTWKDNTQNKIPINQTLAEFAPTEFEFKAEPQEENVIGEVYYARVDYRSKLLIKCPYRGSQLPLFQLPLPVSGDSAKAKSLKIVQPEPIWPISIKEPIYFYKPLSVETTYSVTKPKRLKSIRFLMLTEIKTLGLLAIAVRISSSELTGTILRREYEISSMQQQAAFALAKEKAENTMPLKLDVYLIQYPKCKLYLVPYKKNPGEERTTSYYVPSSSTNMPLCSSPLPTMGKGEAVYILQGIKKNSLIIVCYRVHMNFPLFRLELSSTGKVGLHVTHMSCFFERIFTSKISTHLVNPSDSCGQDTDSGSSSMDDDHKPYQRSETLKTPSSVFTSSEVLIVSFDGATHFPNKVNVTVEQFQYCPSECKIIDIAKFDLVKGKLAFTMTIGRVANKPKSILLLSAEAPRIFRSTFKLTVNIENRPSITVVNGKQSLSFDAADRGVIRANYVLNRSSSNKLLIKNLVTDHLTCEFSVVKKPLYTPLREYMYVCLMLMLIPNPDCIDTAQIEVDFDIEYLTNVIILCNHLYEEPSKPRTYMNIFHSEILFPCYAGLQTAFVLGDSLDKDFNVIWRESPIVDKHCNKWIVHRTNEYNINMWSLLIRESDSYINSSAFCPNVKPTNQVCIPPMNNSCYPVVRSLVLVKYIQLFVDTWARKSWAEFKSMEIVISCKKKPTCSSVPMTNTSSMIEIWRKYDEPELLFEPLVHSICGGQANLFDLLSSHQLHLQIVRKEHNDVPLSQSSNIGPPMKGSLHLLVDYITKNYKSFDNQRSFKQPPSTSSYFQMKYVDRRITEPISFSHPGEPWGFLSFWTQAANVVKEFFYHAKTGEELSLFQEYKEKELSGHDEESTESEDLHLNEPLVEVGIFLNGKAPEADNFKSTTYTKKISAPSPPKADQYPPLVGDRTKKLYEDKDNKLIINQKDLHIAMYPYITLICNEATATVPLSFWNKRDDCFLLNTFFSVPKSSKFSLHCTLKNNIGSHSGPIVRGKPFKVSLPHIEEGDLLRVTPIQVCLTSNRFYNQPLINIDMVTTIDLPVPTFFIFPPDFLLEPSKVEGTLHLIKYCVPIYNLLMPIDATYTGPRNPCFSISVNYKENPRLIGNLVSFKFTGDKFLKGTNSLDLTWTVHHKTINYLVLNQTKTVKNNPNMEHLPIEFVFKTSSLNLPSQLATAQVYCPRILPVTKPNSPSSSLYKTYLKSSEFFNIQVQIIFEVVTVEKPSFTISCELSTNEELELSYIYPVAPDKFEESKDKLDMSFDPFDKLNFEVRARTIGKNKIVFPIIQTGGIYAGSFNFVDPNIEVDAIEASGGIRLDIVNAHRISVKARTDFKEAVTPKCSINLVTIGGFPVIGQSPIINIIPLLSQSCVKFYAHNQGVNQLIAKRWTTLGQPCNSFLIRPQLLLVKTRGLHAVCKLKLANGQFVHLIFEGEEPSSFGQQWTVECELSSYAEVTGKAPCISFAIGRGTNVPQWQFQACCNQRNYLRVTGRFCTKMFFGRPRLVLENSSLELTVPQVVAVVLIHGSPVNNVTFTFSKWFKGITPSDFVMSIYTSSTPLIVYSFQNTKQLEELDTDLQLLVHMPLMNIVHLLHTNPSNVCINGFNLVSPGVIVQHIQKPTLMLSCDHKEQPTSPESKLGKLVKRRVSEEEQSAIGRTTLLRNARISNAASSLEEEIEAESLSPSKLGSGPELGIDTDDYSYMREREAQKKQLRVSRLYKLYMAYYNEHLKKQQKEFTTYAFDHNVYNFRPVKTFIPRFHKHHDKSRITAARDNPFALLMDKVPEECSRHNHTRTMASQLMAVPAYNKRKALDKVVFKGTPNRISPLIGPDFKMVVKKTSAKCISNFALYVHHFSKVSLSQLDEEAVLGIIEIMQKVKFVGLQHPVFSCMVDVMGGGTIKGKEPDIEFNATKKFHYTVPLLECQNKIICQIESREGMFCPKFNLVPGPDFQLLVPLGTGTLALEVKQFRESKITKYDDDRLFGDKSGVSEFRLFLAYDQRYSPGIDKKGVAMLKPTFCFTIVTKQYPQLTGENPLCSIIITTDINKIKRIYTLNNLAIDTRQQIDTGLEEGVADDQIIAVWNIPEPSNNYVRAHKIKSSGFFVPGFHICELRRDSSMSLLEIIQEVVHAKISLLMEPQGQLIVIAEEDVIRPQDACFDVKIVMSSKADVIGSTPFILIPLQGHYEAKFIGPAKGHNTITIEKSYVKSNAVLTGFNVVSEIELQCSPILGILPIETTKNEISILLRDFTDEDKDPHYEVMATMQLGSALEKDLSTLMLKFQPKVPEKFRIKQNWRCINHVTIPLRYVRGAMTDLFKVEAPIVRLQMDRGSSDMDRPVLSGRQISMALSGRHVNITLSGKQTNM
+>sp|Q96MR6|CFA57_HUMAN Cilia- and flagella-associated protein 57 OS=Homo sapiens OX=9606 GN=CFAP57 PE=2 SV=3
+MSAVVAQTLHVFGLRSHVANNIFYFDEQIIIFPSGNHCVKYNVDQKWQKFIPGSEKSQGMLALSISPNRRYLAISETVQEKPAITIYELSSIPCRKRKVLNNFDFQVQKFISMAFSPDSKYLLAQTSPPESNLVYWLWEKQKVMAIVRIDTQNNPVYQVSFSPQDNTQVCVTGNGMFKLLRFAEGTLKQTSFQRGEPQNYLAHTWVADDKIVVGTDTGKLFLFESGDQRWETSIMVKEPTNGSKSLDVIQESESLIEFPPVSSPLPSYEQMVAASSHSQMSMPQVFAIAAYSKGFACSAGPGRVLLFEKMEEKDFYRESREIRIPVDPQSNDPSQSDKQDVLCLCFSPSEETLVASTSKNQLYSITMSLTEISKGEPAHFEYLMYPLHSAPITGLATCIRKPLIATCSLDRSIRLWNYETNTLELFKEYQEEAYSISLHPSGHFIVVGFADKLRLMNLLIDDIRSFKEYSVRGCGECSFSNGGHLFAAVNGNVIHVYTTTSLENISSLKGHTGKIRSIVWNADDSKLISGGTDGAVYEWNLSTGKRETECVLKSCSYNCVTVSPDAKIIFAVGSDHTLKEIADSLILREISAFDVTYTAIVISHSGRMMFVGTSVGTIRAMKYPLPLQKEFNEYQAHAGPITKMLLTFDDQFLLTAAEDGCLFTWKVFDKDGRGIKREREVGFAEEVLVTKTDMEEKAQVMLELKTRVEELKMENEYQLRLKDMNYSEKIKELTDKFIQEMESLKTKNQVLRTEKEKQDVYHHEHIEDLLDKQSRELQDMECCNNQKLLLEYEKYQELQLKSQRMQEEYEKQLRDNDETKSQALEELTEFYEAKLQEKTTLLEEAQEDVRQQLREFEETKKQIEEDEDREIQDIKTKYEKKLRDEKESNLRLKGETGIMRKKFSSLQKEIEERTNDIETLKGEQMKLQGVIKSLEKDIQGLKREIQERDETIQDKEKRIYDLKKKNQELGKFKFVLDYKIKELKKQIEPRENEIRVMKEQIQEMEAELENFHKQNTQLELNITELWQKLRATDQEMRRERQKERDLEALVKRFKTDLHNCVAYIQEPRLLKEKVRGLFEKYVQRADMVEIAGLNTDLQQEYTRQREHLERNLATLKKKVVKEGELHRTDYVRIMQENVSLIKEINELRRELKFTRSQVYDLEAALKLTKKVRPQEVSETEPSRDMLSTAPTARLNEQEETGRIIEMQRLEIQRLRDQIQEQEQVTGFHTLAGVRLPSLSNSEVDLEVKTN
+>DECOY_sp|Q96MR6|CFA57_HUMAN Cilia- and flagella-associated protein 57 OS=Homo sapiens OX=9606 GN=CFAP57 PE=2 SV=3
+NTKVELDVESNSLSPLRVGALTHFGTVQEQEQIQDRLRQIELRQMEIIRGTEEQENLRATPATSLMDRSPETESVEQPRVKKTLKLAAELDYVQSRTFKLERRLENIEKILSVNEQMIRVYDTRHLEGEKVVKKKLTALNRELHERQRTYEQQLDTNLGAIEVMDARQVYKEFLGRVKEKLLRPEQIYAVCNHLDTKFRKVLAELDREKQRERRMEQDTARLKQWLETINLELQTNQKHFNELEAEMEQIQEKMVRIENERPEIQKKLEKIKYDLVFKFKGLEQNKKKLDYIRKEKDQITEDREQIERKLGQIDKELSKIVGQLKMQEGKLTEIDNTREEIEKQLSSFKKRMIGTEGKLRLNSEKEDRLKKEYKTKIDQIERDEDEEIQKKTEEFERLQQRVDEQAEELLTTKEQLKAEYFETLEELAQSKTEDNDRLQKEYEEQMRQSKLQLEQYKEYELLLKQNNCCEMDQLERSQKDLLDEIHEHHYVDQKEKETRLVQNKTKLSEMEQIFKDTLEKIKESYNMDKLRLQYENEMKLEEVRTKLELMVQAKEEMDTKTVLVEEAFGVERERKIGRGDKDFVKWTFLCGDEAATLLFQDDFTLLMKTIPGAHAQYENFEKQLPLPYKMARITGVSTGVFMMRGSHSIVIATYTVDFASIERLILSDAIEKLTHDSGVAFIIKADPSVTVCNYSCSKLVCETERKGTSLNWEYVAGDTGGSILKSDDANWVISRIKGTHGKLSSINELSTTTYVHIVNGNVAAFLHGGNSFSCEGCGRVSYEKFSRIDDILLNMLRLKDAFGVVIFHGSPHLSISYAEEQYEKFLELTNTEYNWLRISRDLSCTAILPKRICTALGTIPASHLPYMLYEFHAPEGKSIETLSMTISYLQNKSTSAVLTEESPSFCLCLVDQKDSQSPDNSQPDVPIRIERSERYFDKEEMKEFLLVRGPGASCAFGKSYAAIAFVQPMSMQSHSSAAVMQEYSPLPSSVPPFEILSESEQIVDLSKSGNTPEKVMISTEWRQDGSEFLFLKGTDTGVVIKDDAVWTHALYNQPEGRQFSTQKLTGEAFRLLKFMGNGTVCVQTNDQPSFSVQYVPNNQTDIRVIAMVKQKEWLWYVLNSEPPSTQALLYKSDPSFAMSIFKQVQFDFNNLVKRKRCPISSLEYITIAPKEQVTESIALYRRNPSISLALMGQSKESGPIFKQWKQDVNYKVCHNGSPFIIIQEDFYFINNAVHSRLGFVHLTQAVVASM
+>sp|Q8NHU2|CFA61_HUMAN Cilia- and flagella-associated protein 61 OS=Homo sapiens OX=9606 GN=CFAP61 PE=2 SV=3
+MSVLTSPRGKVEVVHCRRTESQDVYCIKSLIRKFTCKLFGKLNIIYLLEKANLAVTLCNDKEEIMAQATFLDYPNWNVAKQDDWVSVFRELDSDIPCTPLNTLFMHLFVAVDEYSVGCCKEILRTVYKAVPELHFIFLIVPSYMSLGSTLITVFDQVGNIPCLTYEEDFAVHICHRHSHYPQLHVRKARVEDHDDLMPIFMRYDTILKETYGEYFLAELIEAQDEENHAVVCEVEGTAVGFMSVCSRVNMQLLHECFDLGPFHGLCFPHPDDVLESPQDLSVRRSQDAELRSSSQGSQKIVEELQEPVSPDTMENIQGNIAREAASEEALTAVQSGNVSEPEDIEKLSDISTGYAQYHHVSSRSLASLVLPEEPVHFRPIYRGASAAFCIQLFCIDEKYEARSLDFMNFVFSLFSDKNFCVISLPHLTPEFFLIQNFVKMVPFNTCTLEQDLYVFHRAGLLKSINIRFATLLDTPGVENLVSTLMLNKSILEDLDRYNKARKDPDGTLLQAFVAEVAEQIVGIAVIRNEMDIEYIRSHYNIEDFIYFSHHQREEHGHMHHFALNPIFRHYTKFFLKEILRLGFKSCLYYRVYPKSREGKFQNPYAHSLTSALHYLVPVRPRRQIVYPLEKLGINAPSKAVSKDPMSYALNHTNRKLTLEPKITVNAKIIVVGASSVGISFLETLVFCSHMKFNNLTLISTHGLPGKKLLDTEQRKFLASDHCFNDKDYALMSLCSWVNVVVGRMTGIDRAAKHVVLSTDEIVPYDHLILCTGQQYQVPCPTEADISQHLTNREVPNSSQRRYTGKVPCNHFTLNEEEDCFKALIWIRNNSITTEGNIIVYGNTIDTYTTVETLLNLGVSGSRIHLVQPPPASTITCINNYSVESAVADALGAAGVTMYRDAILAQWNDGLHPDPIYSASFTTPTKPFRLQCSMFFSFCEKNVDYETFKALNDACLVYDSRLVIDTNFHTNDIAIRAAGSLTKFSNRYYSNEWTHSNFSSKEIGFQLAAAMLHLFDPTLEPVTEPPANLDRLIPMYKGAKIQGGILPGSYHYLHIAKPAIPTPLEVQMAQPNYGLELVTGSAKNGTYFRIHINKYKMVETITCLSREPFPASNYIRLFGQHEQLLNNLCARYDENLITDLYSYFTEPWCLALFHDRFIDLRKELRQILASKEEEDLPSIEQLAHQIEDEEINPTEKPRQYLKRVFEESIYKTLVERSTLDYLHYNRYHLPMYAWPGIV
+>DECOY_sp|Q8NHU2|CFA61_HUMAN Cilia- and flagella-associated protein 61 OS=Homo sapiens OX=9606 GN=CFAP61 PE=2 SV=3
+VIGPWAYMPLHYRNYHLYDLTSREVLTKYISEEFVRKLYQRPKETPNIEEDEIQHALQEISPLDEEEKSALIQRLEKRLDIFRDHFLALCWPETFYSYLDTILNEDYRACLNNLLQEHQGFLRIYNSAPFPERSLCTITEVMKYKNIHIRFYTGNKASGTVLELGYNPQAMQVELPTPIAPKAIHLYHYSGPLIGGQIKAGKYMPILRDLNAPPETVPELTPDFLHLMAAALQFGIEKSSFNSHTWENSYYRNSFKTLSGAARIAIDNTHFNTDIVLRSDYVLCADNLAKFTEYDVNKECFSFFMSCQLRFPKTPTTFSASYIPDPHLGDNWQALIADRYMTVGAAGLADAVASEVSYNNICTITSAPPPQVLHIRSGSVGLNLLTEVTTYTDITNGYVIINGETTISNNRIWILAKFCDEEENLTFHNCPVKGTYRRQSSNPVERNTLHQSIDAETPCPVQYQQGTCLILHDYPVIEDTSLVVHKAARDIGTMRGVVVNVWSCLSMLAYDKDNFCHDSALFKRQETDLLKKGPLGHTSILTLNNFKMHSCFVLTELFSIGVSSAGVVIIKANVTIKPELTLKRNTHNLAYSMPDKSVAKSPANIGLKELPYVIQRRPRVPVLYHLASTLSHAYPNQFKGERSKPYVRYYLCSKFGLRLIEKLFFKTYHRFIPNLAFHHMHGHEERQHHSFYIFDEINYHSRIYEIDMENRIVAIGVIQEAVEAVFAQLLTGDPDKRAKNYRDLDELISKNLMLTSVLNEVGPTDLLTAFRINISKLLGARHFVYLDQELTCTNFPVMKVFNQILFFEPTLHPLSIVCFNKDSFLSFVFNMFDLSRAEYKEDICFLQICFAASAGRYIPRFHVPEEPLVLSALSRSSVHHYQAYGTSIDSLKEIDEPESVNGSQVATLAEESAAERAINGQINEMTDPSVPEQLEEVIKQSGQSSSRLEADQSRRVSLDQPSELVDDPHPFCLGHFPGLDFCEHLLQMNVRSCVSMFGVATGEVECVVAHNEEDQAEILEALFYEGYTEKLITDYRMFIPMLDDHDEVRAKRVHLQPYHSHRHCIHVAFDEEYTLCPINGVQDFVTILTSGLSMYSPVILFIFHLEPVAKYVTRLIEKCCGVSYEDVAVFLHMFLTNLPTCPIDSDLERFVSVWDDQKAVNWNPYDLFTAQAMIEEKDNCLTVALNAKELLYIINLKGFLKCTFKRILSKICYVDQSETRRCHVVEVKGRPSTLVSM
+>sp|Q6ZU64|CFA65_HUMAN Cilia- and flagella-associated protein 65 OS=Homo sapiens OX=9606 GN=CFAP65 PE=1 SV=2
+MFTLTGCRLVEKTQKVENPSVSFASSFPLIPLLLRGKSVQKKQAESKSQIKLHTQSAPFGLCPKDMMLTQAPSSVVRSRNSRNHTVNSGGSCLSASTVAIPAINDSSAAMSACSTISAQPASSMDTQMHSPKKQERVNKRVIWGIEVAEELHWKGWELGKETTRNLVLKNRSLKLQKMKYRPPKTKFFFTVIPQPIFLSPGITLTLPIVFRPLEAKEYMDQLWFEKAEGMFCVGLRATLPCHRLICRPPSLQLPMCAVGDTTEAFFCLDNVGDLPTFFTWEFSSPFQMLPATGLLEPGQASQIKVTFQPLTAVIYEVQATCWYGAGSRQRSSIQLQAVAKCAQLLVSIKHKCPEDQDAEGFQKLLYFGSVAVGCTSERQIRLHNPSAVNAPFRIEISPDELAEDQAFSCPTAHGIVLPGEKKCVSVFFHPKTLDTRTVDYCSIMPSGCASKTLLKVVGFCRGPAVSLQHYCVNFSWVNLGERSEQPLWIENQSDCTAHFQFAIDCLESVFTIRPAFGTLVGKARMTLHCAFQPTHPIICFRRVACLIHHQDPLFLDLMGTCHSDSTKPAILKPQHLTWYRTHLARGLTLYPPDILDAMLKEKKLAQDQNGALMIPIQDLEDMPAPQYPYIPPMTEFFFDGTSDITIFPPPISVEPVEVDFGACPGPEAPNPVPLCLMNHTKGKIMVVWTRRSDCPFWVTPESCDVPPLKSMAMRLHFQPPHPNCLYTVELEAFAIYKVLQSYSNIEEDCTMCPSWCLTVRARGHSYFAGFEHHIPQYSLDVPKLFPAVSSGEPTYRSLLLVNKDCKLLTFSLAPQRGSDVILRPTSGLVAPGAHQIILICTYPEGSSWKQHTFYLQCNASPQYLKEVSMYSREEPLQLKLDTHKSLYFKPTWVGCSSTSPFTFRNPSRLPLQFEWRVSEQHRKLLAVQPSRGLIQPNERLTLTWTFSPLEETKYLFQVGMWVWEAGLSPNANPAATTHYMLRLVGVGLTSSLSAKEKELAFGNVLVNSKQSRFLVLLNDGNCTLYYRLYLEQGSPEAVDNHPLALQLDRTEGSMPPRSQDTICLTACPKQRSQYSWTITYSLLSHRDNKAGEKQELCCVSLVAVYPLLSILDVSSMGSAEGITRKHLWRLFSLDLLNSYLERDPTPCELTYKVPTRHSMSQIPPVLTPLRLDFNFGAAPFKAPPSVVFLALKNSGVVSLDWAFLLPSDQRIDVELWAEQAELNSTELHQMRVQDNCLFSISPKAGSLSPGQEQMVELKYSHLFIGTDHLPVLFKVSHGREILLNFIGVTVKPEQKYVHFTSTTHQFIPIPIGDTLPPRQIYELYNGGSVPVTYEVQTDVLSQVQEKNFDHPIFCCLNPKGEIQPGSTARVLWIFSPIEAKTYTVDVPIHILGWNSALIHFQGVGYNPHMMGDTAPFHNISSWDNSSIHSRLVVPGQNVFLSQSHISLGNIPVQSKCSRLLFLNNISKNEEIAFSWQPSPLDFGEVSVSPMIGVVAPEETVPFVVTLRASVHASFYSADLVCKLYSQQLMRQYHKELQEWKDEKVRQEVEFTITDMKVKKRTCCTACEPARKYKTLPPIKNQQSVSRPASWKLQTPKEEVSWPCPQPPSPGMLCLGLTARAHATDYFLANFFSEFPCHFLHRELPKRKAPREESETSEEKSPNKWGPVSKQKKQLLVDILTTIIRGLLEDKNFHEAVDQSLVEQVPYFRQFWNEQSTKFMDQKNSLYLMPILPVPSSSWEDGKGKQPKEDRPEHYPGLGKKEEGEEEKGEEEEEELEEEEEEEEETEEEELGKEEIEEKEEERDEKEEKVSWAGIGPTPQPESQESMQWQWQQQLNVMVKEEQEQDEKEAIRRLPAFANLQEALLENMIQNILVEASRGEVVLTSRPRVIALPPFCVPRSLTPDTLLPTQQAEVLHPVVPLPTDLP
+>DECOY_sp|Q6ZU64|CFA65_HUMAN Cilia- and flagella-associated protein 65 OS=Homo sapiens OX=9606 GN=CFAP65 PE=1 SV=2
+PLDTPLPVVPHLVEAQQTPLLTDPTLSRPVCFPPLAIVRPRSTLVVEGRSAEVLINQIMNELLAEQLNAFAPLRRIAEKEDQEQEEKVMVNLQQQWQWQMSEQSEPQPTPGIGAWSVKEEKEDREEEKEEIEEKGLEEEETEEEEEEEEELEEEEEEGKEEEGEEKKGLGPYHEPRDEKPQKGKGDEWSSSPVPLIPMLYLSNKQDMFKTSQENWFQRFYPVQEVLSQDVAEHFNKDELLGRIITTLIDVLLQKKQKSVPGWKNPSKEESTESEERPAKRKPLERHLFHCPFESFFNALFYDTAHARATLGLCLMGPSPPQPCPWSVEEKPTQLKWSAPRSVSQQNKIPPLTKYKRAPECATCCTRKKVKMDTITFEVEQRVKEDKWEQLEKHYQRMLQQSYLKCVLDASYFSAHVSARLTVVFPVTEEPAVVGIMPSVSVEGFDLPSPQWSFAIEENKSINNLFLLRSCKSQVPINGLSIHSQSLFVNQGPVVLRSHISSNDWSSINHFPATDGMMHPNYGVGQFHILASNWGLIHIPVDVTYTKAEIPSFIWLVRATSGPQIEGKPNLCCFIPHDFNKEQVQSLVDTQVEYTVPVSGGNYLEYIQRPPLTDGIPIPIFQHTTSTFHVYKQEPKVTVGIFNLLIERGHSVKFLVPLHDTGIFLHSYKLEVMQEQGPSLSGAKPSISFLCNDQVRMQHLETSNLEAQEAWLEVDIRQDSPLLFAWDLSVVGSNKLALFVVSPPAKFPAAGFNFDLRLPTLVPPIQSMSHRTPVKYTLECPTPDRELYSNLLDLSFLRWLHKRTIGEASGMSSVDLISLLPYVAVLSVCCLEQKEGAKNDRHSLLSYTITWSYQSRQKPCATLCITDQSRPPMSGETRDLQLALPHNDVAEPSGQELYLRYYLTCNGDNLLVLFRSQKSNVLVNGFALEKEKASLSSTLGVGVLRLMYHTTAAPNANPSLGAEWVWMGVQFLYKTEELPSFTWTLTLRENPQILGRSPQVALLKRHQESVRWEFQLPLRSPNRFTFPSTSSCGVWTPKFYLSKHTDLKLQLPEERSYMSVEKLYQPSANCQLYFTHQKWSSGEPYTCILIIQHAGPAVLGSTPRLIVDSGRQPALSFTLLKCDKNVLLLSRYTPEGSSVAPFLKPVDLSYQPIHHEFGAFYSHGRARVTLCWSPCMTCDEEINSYSQLVKYIAFAELEVTYLCNPHPPQFHLRMAMSKLPPVDCSEPTVWFPCDSRRTWVVMIKGKTHNMLCLPVPNPAEPGPCAGFDVEVPEVSIPPPFITIDSTGDFFFETMPPIYPYQPAPMDELDQIPIMLAGNQDQALKKEKLMADLIDPPYLTLGRALHTRYWTLHQPKLIAPKTSDSHCTGMLDLFLPDQHHILCAVRRFCIIPHTPQFACHLTMRAKGVLTGFAPRITFVSELCDIAFQFHATCDSQNEIWLPQESREGLNVWSFNVCYHQLSVAPGRCFGVVKLLTKSACGSPMISCYDVTRTDLTKPHFFVSVCKKEGPLVIGHATPCSFAQDEALEDPSIEIRFPANVASPNHLRIQRESTCGVAVSGFYLLKQFGEADQDEPCKHKISVLLQACKAVAQLQISSRQRSGAGYWCTAQVEYIVATLPQFTVKIQSAQGPELLGTAPLMQFPSSFEWTFFTPLDGVNDLCFFAETTDGVACMPLQLSPPRCILRHCPLTARLGVCFMGEAKEFWLQDMYEKAELPRFVIPLTLTIGPSLFIPQPIVTFFFKTKPPRYKMKQLKLSRNKLVLNRTTEKGLEWGKWHLEEAVEIGWIVRKNVREQKKPSHMQTDMSSAPQASITSCASMAASSDNIAPIAVTSASLCSGGSNVTHNRSNRSRVVSSPAQTLMMDKPCLGFPASQTHLKIQSKSEAQKKQVSKGRLLLPILPFSSAFSVSPNEVKQTKEVLRCGTLTFM
+>sp|Q9C0B2|CFA74_HUMAN Cilia- and flagella-associated protein 74 OS=Homo sapiens OX=9606 GN=CFAP74 PE=2 SV=3
+MEDDGSLLPEDELLADALLLEDERDELEDPEFDIKCLLQEAEDDVDPGHSSSVKELDTDADKLKKKTAEDRTQAFHLRQNLSALDKMHEEQELFTEKMRGELRACRQRRDLIDKQQEAVAAEIATEEEAGNMAAVGRLQAVSRRLFAELENERDLQSRTEAVLKESENTMWHIEIQEGRLEAFRTADREEVEATGRRLQVRAAEQLCREQEALGKVERNRLLRIRKSLNTQKELGLRHQKLLEDARKNHKVAVRFLKASLGRIREQEKKEEMECHEYMRRRMDAVVALKGSISANRDTLRKFQAWDRAKAELAEQRVQAEKKAILAQGRDAFRHLVHQRRRQELEAQKRAFEEEQKLRKQEIISRILKEEAEEEKRKKQHPPTSARHRLTLRDKTWNYISDFCKKTTVPTNTYTLDYEAAAGPGPSRLLEVVSSELIQGDPGASSEEETLAEPEISGLWNEDYKPYQVPKEDVDRKPVGGTKMDKDILERTVERLRSRVVHKQVVWGREFQGRPFNSKPELLHFQDFDIGKVYKKKITLVNTTYTINYCKLVGVEEHLRDFIHVDFDPPGPLSAGMSCEVLVTFKPMINKDLEGNISFLAQTGEFSVPLKCSTKKCSLSLDKELIDFGSYVVGETTSRTITLTNVGGLGTTFKFLPASEPCEMDDSQSALKLSSLLTYEDKSLYDKAATSFSEQQLEGTESSQADMQSRKELEKLDKEQEEEQPAEPERLTTVIPPSEEQTEITLGEVTEGEIGPFSSIKVPIVFTPVVPGDVQARFKVTFKNPQCPTLHFRVVGVAIDVPVWVPKPSVDLKICMYDRLYQDSVLVHTRSKAALRLKFEVCKELRAHLELLPKTGYIQAQSSYSVQLKFLPRHSLPEDAGRYFDKETRVLEAPMTIWVADQNKPVGFTVHAIVTTSDLELSPSEVDFGYCTIYEAIRTEISLHNHSLLPQEFGFVRLPKFVDVQPNDGFGTILPLETLQFCVIFQPTKAEEHRFQLTCKSEINRCFKLSCRAVGVHPPLELSHYQIKFAATALYDTSVATVYVINSHLSMSSPTHSKPRIGSEDASPMGPTSFEFLLPPDSPITISPSVGTVWPGKRCLVQVAFRPVLPEKLIRQEALPLLNKEMETKSFRKNMAPQRKDLHGLSFSVLRAQNRDKLFKVSVPHVLEMRKRELRPSSDEYQAARATLLRAFQAKFDTFVVPCVVASGDIKDRKGSEPLSFSPHNTLYLELWCPTVAPSVVVTSHKGKTIFNFGDVAVGHRSIKKISIQNVSPEDLALDFSLLNPNGPFVLLNHSSLLRAGGTQVLVLSFSPHESILAQETLDIITKRGTLTLTLMGTGVASMITCSIEGSVLNMGYVIAGESVSSGFKLQNNSLLPIKFSMHLDSLSSTRGRGQQQLPQFLSSPSQRTEVVGTQNLNGQSVFSVAPVKGVMDPGKTQDFTVTFSPDHESLYFSDKLQVVLFEKKISHQILLKGAACQHMMFVEGGDPLDVPVESLTAIPVFDPRHREEAEELRPILVTLDYIQFDTDTPAPPATRELQVGCIRTTQPSPKKPDHPLMVSALLQLRGDVKETYKVIFVAQVLTGP
+>DECOY_sp|Q9C0B2|CFA74_HUMAN Cilia- and flagella-associated protein 74 OS=Homo sapiens OX=9606 GN=CFAP74 PE=2 SV=3
+PGTLVQAVFIVKYTEKVDGRLQLLASVMLPHDPKKPSPQTTRICGVQLERTAPPAPTDTDFQIYDLTVLIPRLEEAEERHRPDFVPIATLSEVPVDLPDGGEVFMMHQCAAGKLLIQHSIKKEFLVVQLKDSFYLSEHDPSFTVTFDQTKGPDMVGKVPAVSFVSQGNLNQTGVVETRQSPSSLFQPLQQQGRGRTSSLSDLHMSFKIPLLSNNQLKFGSSVSEGAIVYGMNLVSGEISCTIMSAVGTGMLTLTLTGRKTIIDLTEQALISEHPSFSLVLVQTGGARLLSSHNLLVFPGNPNLLSFDLALDEPSVNQISIKKISRHGVAVDGFNFITKGKHSTVVVSPAVTPCWLELYLTNHPSFSLPESGKRDKIDGSAVVCPVVFTDFKAQFARLLTARAAQYEDSSPRLERKRMELVHPVSVKFLKDRNQARLVSFSLGHLDKRQPAMNKRFSKTEMEKNLLPLAEQRILKEPLVPRFAVQVLCRKGPWVTGVSPSITIPSDPPLLFEFSTPGMPSADESGIRPKSHTPSSMSLHSNIVYVTAVSTDYLATAAFKIQYHSLELPPHVGVARCSLKFCRNIESKCTLQFRHEEAKTPQFIVCFQLTELPLITGFGDNPQVDVFKPLRVFGFEQPLLSHNHLSIETRIAEYITCYGFDVESPSLELDSTTVIAHVTFGVPKNQDAVWITMPAELVRTEKDFYRGADEPLSHRPLFKLQVSYSSQAQIYGTKPLLELHARLEKCVEFKLRLAAKSRTHVLVSDQYLRDYMCIKLDVSPKPVWVPVDIAVGVVRFHLTPCQPNKFTVKFRAQVDGPVVPTFVIPVKISSFPGIEGETVEGLTIETQEESPPIVTTLREPEAPQEEEQEKDLKELEKRSQMDAQSSETGELQQESFSTAAKDYLSKDEYTLLSSLKLASQSDDMECPESAPLFKFTTGLGGVNTLTITRSTTEGVVYSGFDILEKDLSLSCKKTSCKLPVSFEGTQALFSINGELDKNIMPKFTVLVECSMGASLPGPPDFDVHIFDRLHEEVGVLKCYNITYTTNVLTIKKKYVKGIDFDQFHLLEPKSNFPRGQFERGWVVQKHVVRSRLREVTRELIDKDMKTGGVPKRDVDEKPVQYPKYDENWLGSIEPEALTEEESSAGPDGQILESSVVELLRSPGPGAAAEYDLTYTNTPVTTKKCFDSIYNWTKDRLTLRHRASTPPHQKKRKEEEAEEKLIRSIIEQKRLKQEEEFARKQAELEQRRRQHVLHRFADRGQALIAKKEAQVRQEALEAKARDWAQFKRLTDRNASISGKLAVVADMRRRMYEHCEMEEKKEQERIRGLSAKLFRVAVKHNKRADELLKQHRLGLEKQTNLSKRIRLLRNREVKGLAEQERCLQEAARVQLRRGTAEVEERDATRFAELRGEQIEIHWMTNESEKLVAETRSQLDRENELEAFLRRSVAQLRGVAAMNGAEEETAIEAAVAEQQKDILDRRQRCARLEGRMKETFLEQEEHMKDLASLNQRLHFAQTRDEATKKKLKDADTDLEKVSSSHGPDVDDEAEQLLCKIDFEPDELEDREDELLLADALLEDEPLLSGDDEM
+>sp|Q7Z4T9|CFA91_HUMAN Cilia- and flagella-associated protein 91 OS=Homo sapiens OX=9606 GN=MAATS1 PE=1 SV=3
+MSHAVTIEEPQAQPQVSQTRYRERSRAGSHISSNRAYDFLYDPLFIVSSEKDHTQANIQATLIRSRLRKVPRFKTMFSNLIHYPRYSLYWSKSDPVPPFISREWKGHKEKHREALRQLTTTDASFQMPKEVYEDPEVTGKNRYKYFERPFLPFFQQMPFNVVYAVSKAEPYTFPPTSTKHLSIPSKSTVGTQTDYRDADVQTDPYSAEYVVCQDSIPELLTLATLTWGRGLPAGQAEVEMIERAREKRAWEASLPALSDTSQFEKRRKMMNEMERKEWAFREQEIEKLQEIRLEVLKELLRKREENQNEVNMKHLNARWSKLQEGKEAKMAKIQRTHVSTIRKLVGKRKNIEGKLERRNIIKDYSDYASQVYGPLSRLGCFPDNNSEDFVVKNYYLNTYEGLVELESCLPDFVTQPQIRAPKPKVITTKAGFLKRAARLDYELAEVHKALLDKKNKVLEVKKPPRFLQRNPIPQPRLPTPTLEMTSNEEEEMEMAVIYLQKLLRGRVVQNMMFEGKEKRLELIQELRTCHALQEDEKLVKKAEKQVTLALQRQRNLHEHKVSLVENHLAGLEGRALADMFDFLSKELVRLQEERRIHAFVMLAERQRRVREAEESGRRQVEKQRLREEDEIFKEVVKVHHSTISSYLEDIILNTEANTAEEQARAEIEKMAEKINDIAYEMESRRTYLQSEEIVAELVYSFLIPEVQKYFVKEKVRNAQRKHILAAHQIIHSYTESMVQKKLTEGEQDEASNAAMLLEKETQNENNS
+>DECOY_sp|Q7Z4T9|CFA91_HUMAN Cilia- and flagella-associated protein 91 OS=Homo sapiens OX=9606 GN=MAATS1 PE=1 SV=3
+SNNENQTEKELLMAANSAEDQEGETLKKQVMSETYSHIIQHAALIHKRQANRVKEKVFYKQVEPILFSYVLEAVIEESQLYTRRSEMEYAIDNIKEAMKEIEARAQEEATNAETNLIIDELYSSITSHHVKVVEKFIEDEERLRQKEVQRRGSEEAERVRRQREALMVFAHIRREEQLRVLEKSLFDFMDALARGELGALHNEVLSVKHEHLNRQRQLALTVQKEAKKVLKEDEQLAHCTRLEQILELRKEKGEFMMNQVVRGRLLKQLYIVAMEMEEEENSTMELTPTPLRPQPIPNRQLFRPPKKVELVKNKKDLLAKHVEALEYDLRAARKLFGAKTTIVKPKPARIQPQTVFDPLCSELEVLGEYTNLYYNKVVFDESNNDPFCGLRSLPGYVQSAYDSYDKIINRRELKGEINKRKGVLKRITSVHTRQIKAMKAEKGEQLKSWRANLHKMNVENQNEERKRLLEKLVELRIEQLKEIEQERFAWEKREMENMMKRRKEFQSTDSLAPLSAEWARKERAREIMEVEAQGAPLGRGWTLTALTLLEPISDQCVVYEASYPDTQVDADRYDTQTGVTSKSPISLHKTSTPPFTYPEAKSVAYVVNFPMQQFFPLFPREFYKYRNKGTVEPDEYVEKPMQFSADTTTLQRLAERHKEKHGKWERSIFPPVPDSKSWYLSYRPYHILNSFMTKFRPVKRLRSRILTAQINAQTHDKESSVIFLPDYLFDYARNSSIHSGARSRERYRTQSVQPQAQPEEITVAHSM
+>sp|P13569|CFTR_HUMAN Cystic fibrosis transmembrane conductance regulator OS=Homo sapiens OX=9606 GN=CFTR PE=1 SV=3
+MQRSPLEKASVVSKLFFSWTRPILRKGYRQRLELSDIYQIPSVDSADNLSEKLEREWDRELASKKNPKLINALRRCFFWRFMFYGIFLYLGEVTKAVQPLLLGRIIASYDPDNKEERSIAIYLGIGLCLLFIVRTLLLHPAIFGLHHIGMQMRIAMFSLIYKKTLKLSSRVLDKISIGQLVSLLSNNLNKFDEGLALAHFVWIAPLQVALLMGLIWELLQASAFCGLGFLIVLALFQAGLGRMMMKYRDQRAGKISERLVITSEMIENIQSVKAYCWEEAMEKMIENLRQTELKLTRKAAYVRYFNSSAFFFSGFFVVFLSVLPYALIKGIILRKIFTTISFCIVLRMAVTRQFPWAVQTWYDSLGAINKIQDFLQKQEYKTLEYNLTTTEVVMENVTAFWEEGFGELFEKAKQNNNNRKTSNGDDSLFFSNFSLLGTPVLKDINFKIERGQLLAVAGSTGAGKTSLLMVIMGELEPSEGKIKHSGRISFCSQFSWIMPGTIKENIIFGVSYDEYRYRSVIKACQLEEDISKFAEKDNIVLGEGGITLSGGQRARISLARAVYKDADLYLLDSPFGYLDVLTEKEIFESCVCKLMANKTRILVTSKMEHLKKADKILILHEGSSYFYGTFSELQNLQPDFSSKLMGCDSFDQFSAERRNSILTETLHRFSLEGDAPVSWTETKKQSFKQTGEFGEKRKNSILNPINSIRKFSIVQKTPLQMNGIEEDSDEPLERRLSLVPDSEQGEAILPRISVISTGPTLQARRRQSVLNLMTHSVNQGQNIHRKTTASTRKVSLAPQANLTELDIYSRRLSQETGLEISEEINEEDLKECFFDDMESIPAVTTWNTYLRYITVHKSLIFVLIWCLVIFLAEVAASLVVLWLLGNTPLQDKGNSTHSRNNSYAVIITSTSSYYVFYIYVGVADTLLAMGFFRGLPLVHTLITVSKILHHKMLHSVLQAPMSTLNTLKAGGILNRFSKDIAILDDLLPLTIFDFIQLLLIVIGAIAVVAVLQPYIFVATVPVIVAFIMLRAYFLQTSQQLKQLESEGRSPIFTHLVTSLKGLWTLRAFGRQPYFETLFHKALNLHTANWFLYLSTLRWFQMRIEMIFVIFFIAVTFISILTTGEGEGRVGIILTLAMNIMSTLQWAVNSSIDVDSLMRSVSRVFKFIDMPTEGKPTKSTKPYKNGQLSKVMIIENSHVKKDDIWPSGGQMTVKDLTAKYTEGGNAILENISFSISPGQRVGLLGRTGSGKSTLLSAFLRLLNTEGEIQIDGVSWDSITLQQWRKAFGVIPQKVFIFSGTFRKNLDPYEQWSDQEIWKVADEVGLRSVIEQFPGKLDFVLVDGGCVLSHGHKQLMCLARSVLSKAKILLLDEPSAHLDPVTYQIIRRTLKQAFADCTVILCEHRIEAMLECQQFLVIEENKVRQYDSIQKLLNERSLFRQAISPSDRVKLFPHRNSSKCKSKPQIAALKEETEEEVQDTRL
+>DECOY_sp|P13569|CFTR_HUMAN Cystic fibrosis transmembrane conductance regulator OS=Homo sapiens OX=9606 GN=CFTR PE=1 SV=3
+LRTDQVEEETEEKLAAIQPKSKCKSSNRHPFLKVRDSPSIAQRFLSRENLLKQISDYQRVKNEEIVLFQQCELMAEIRHECLIVTCDAFAQKLTRRIIQYTVPDLHASPEDLLLIKAKSLVSRALCMLQKHGHSLVCGGDVLVFDLKGPFQEIVSRLGVEDAVKWIEQDSWQEYPDLNKRFTGSFIFVKQPIVGFAKRWQQLTISDWSVGDIQIEGETNLLRLFASLLTSKGSGTRGLLGVRQGPSISFSINELIANGGETYKATLDKVTMQGGSPWIDDKKVHSNEIIMVKSLQGNKYPKTSKTPKGETPMDIFKFVRSVSRMLSDVDISSNVAWQLTSMINMALTLIIGVRGEGEGTTLISIFTVAIFFIVFIMEIRMQFWRLTSLYLFWNATHLNLAKHFLTEFYPQRGFARLTWLGKLSTVLHTFIPSRGESELQKLQQSTQLFYARLMIFAVIVPVTAVFIYPQLVAVVAIAGIVILLLQIFDFITLPLLDDLIAIDKSFRNLIGGAKLTNLTSMPAQLVSHLMKHHLIKSVTILTHVLPLGRFFGMALLTDAVGVYIYFVYYSSTSTIIVAYSNNRSHTSNGKDQLPTNGLLWLVVLSAAVEALFIVLCWILVFILSKHVTIYRLYTNWTTVAPISEMDDFFCEKLDEENIEESIELGTEQSLRRSYIDLETLNAQPALSVKRTSATTKRHINQGQNVSHTMLNLVSQRRRAQLTPGTSIVSIRPLIAEGQESDPVLSLRRELPEDSDEEIGNMQLPTKQVISFKRISNIPNLISNKRKEGFEGTQKFSQKKTETWSVPADGELSFRHLTETLISNRREASFQDFSDCGMLKSSFDPQLNQLESFTGYFYSSGEHLILIKDAKKLHEMKSTVLIRTKNAMLKCVCSEFIEKETLVDLYGFPSDLLYLDADKYVARALSIRARQGGSLTIGGEGLVINDKEAFKSIDEELQCAKIVSRYRYEDYSVGFIINEKITGPMIWSFQSCFSIRGSHKIKGESPELEGMIVMLLSTKGAGTSGAVALLQGREIKFNIDKLVPTGLLSFNSFFLSDDGNSTKRNNNNQKAKEFLEGFGEEWFATVNEMVVETTTLNYELTKYEQKQLFDQIKNIAGLSDYWTQVAWPFQRTVAMRLVICFSITTFIKRLIIGKILAYPLVSLFVVFFGSFFFASSNFYRVYAAKRTLKLETQRLNEIMKEMAEEWCYAKVSQINEIMESTIVLRESIKGARQDRYKMMMRGLGAQFLALVILFGLGCFASAQLLEWILGMLLAVQLPAIWVFHALALGEDFKNLNNSLLSVLQGISIKDLVRSSLKLTKKYILSFMAIRMQMGIHHLGFIAPHLLLTRVIFLLCLGIGLYIAISREEKNDPDYSAIIRGLLLPQVAKTVEGLYLFIGYFMFRWFFCRRLANILKPNKKSALERDWERELKESLNDASDVSPIQYIDSLELRQRYGKRLIPRTWSFFLKSVVSAKELPSRQM
+>sp|Q96N11|CG026_HUMAN Uncharacterized protein C7orf26 OS=Homo sapiens OX=9606 GN=C7orf26 PE=2 SV=1
+MSDIRHSLLRRDALSAAKEVLYHLDIYFSSQLQSAPLPIVDKGPVELLEEFVFQVPKERSAQPKRLNSLQELQLLEIMCNYFQEQTKDSVRQIIFSSLFSPQGNKADDSRMSLLGKLVSMAVAVCRIPVLECAASWLQRTPVVYCVRLAKALVDDYCCLVPGSIQTLKQIFSASPRFCCQFITSVTALYDLSSDDLIPPMDLLEMIVTWIFEDPRLILITFLNTPIAANLPIGFLELTPLVGLIRWCVKAPLAYKRKKKPPLSNGHVSNKVTKDPGVGMDRDSHLLYSKLHLSVLQVLMTLQLHLTEKNLYGRLGLILFDHMVPLVEEINRLADELNPLNASQEIELSLDRLAQALQVAMASGALLCTRDDLRTLCSRLPHNNLLQLVISGPVQQSPHAALPPGFYPHIHTPPLGYGAVPAHPAAHPALPTHPGHTFISGVTFPFRPIR
+>DECOY_sp|Q96N11|CG026_HUMAN Uncharacterized protein C7orf26 OS=Homo sapiens OX=9606 GN=C7orf26 PE=2 SV=1
+RIPRFPFTVGSIFTHGPHTPLAPHAAPHAPVAGYGLPPTHIHPYFGPPLAAHPSQQVPGSIVLQLLNNHPLRSCLTRLDDRTCLLAGSAMAVQLAQALRDLSLEIEQSANLPNLEDALRNIEEVLPVMHDFLILGLRGYLNKETLHLQLTMLVQLVSLHLKSYLLHSDRDMGVGPDKTVKNSVHGNSLPPKKKRKYALPAKVCWRILGVLPTLELFGIPLNAAIPTNLFTILILRPDEFIWTVIMELLDMPPILDDSSLDYLATVSTIFQCCFRPSASFIQKLTQISGPVLCCYDDVLAKALRVCYVVPTRQLWSAACELVPIRCVAVAMSVLKGLLSMRSDDAKNGQPSFLSSFIIQRVSDKTQEQFYNCMIELLQLEQLSNLRKPQASREKPVQFVFEELLEVPGKDVIPLPASQLQSSFYIDLHYLVEKAASLADRRLLSHRIDSM
+>sp|Q8WVR3|CG043_HUMAN Uncharacterized protein C7orf43 OS=Homo sapiens OX=9606 GN=C7orf43 PE=1 SV=2
+MESQCDYSMYFPAVPLPPRAELAGDPGRYRALPRRNHLYLGETVRFLLVLRCRGGAGSGTGGGPGLGSRGAWAELATALAALASVSAGGGMPGGGGAGDQDSEPPGGGDPGGGGLFRGCSPLLTHGPGPATSGGATTLPVEEPIVSTDEVIFPLTVSLDRLPPGTPKAKIVVTVWKREIEAPEVRDQGYLRLLQTRSPGETFRGEQSAFKAQVSTLLTLLPPPVLRCRQFTVAGKHLTVLKVLNSSSQEEISIWDIRILPNFNASYLPVMPDGSVLLVDNVCHQSGEVSMGSFCRLPGTSGCFPCPLNALEEHNFLFQLRGGEQPPPGAKEGLEVPLIAVVQWSTPKLPFTQSIYTHYRLPSVRLDRPCFVMTASCKSPVRTYERFTVTYTLLNNLQDFLAVRLVWTPEHAQAGKQLCEEERRAMQAALDSVVCHTPLNNLGFSRKGSALTFSVAFQALRTGLFELSQHMKLKLQFTASVSHPPPEARPLSRKSSPSSPAVRDLVERHQASLGRSQSFSHQQPSRSHLMRSGSVMERRAITPPVASPVGRPLYLPPDKAVLSLDKIAKRECKVLVVEPVK
+>DECOY_sp|Q8WVR3|CG043_HUMAN Uncharacterized protein C7orf43 OS=Homo sapiens OX=9606 GN=C7orf43 PE=1 SV=2
+KVPEVVLVKCERKAIKDLSLVAKDPPLYLPRGVPSAVPPTIARREMVSGSRMLHSRSPQQHSFSQSRGLSAQHREVLDRVAPSSPSSKRSLPRAEPPPHSVSATFQLKLKMHQSLEFLGTRLAQFAVSFTLASGKRSFGLNNLPTHCVVSDLAAQMARREEECLQKGAQAHEPTWVLRVALFDQLNNLLTYTVTFREYTRVPSKCSATMVFCPRDLRVSPLRYHTYISQTFPLKPTSWQVVAILPVELGEKAGPPPQEGGRLQFLFNHEELANLPCPFCGSTGPLRCFSGMSVEGSQHCVNDVLLVSGDPMVPLYSANFNPLIRIDWISIEEQSSSNLVKLVTLHKGAVTFQRCRLVPPPLLTLLTSVQAKFASQEGRFTEGPSRTQLLRLYGQDRVEPAEIERKWVTVVIKAKPTGPPLRDLSVTLPFIVEDTSVIPEEVPLTTAGGSTAPGPGHTLLPSCGRFLGGGGPDGGGPPESDQDGAGGGGPMGGGASVSALAALATALEAWAGRSGLGPGGGTGSGAGGRCRLVLLFRVTEGLYLHNRRPLARYRGPDGALEARPPLPVAPFYMSYDCQSEM
+>sp|A4D0T2|CG066_HUMAN Uncharacterized protein C7orf66 OS=Homo sapiens OX=9606 GN=C7orf66 PE=4 SV=1
+MMAVMTPSDGLSQLSVPHLTHQRLWSLSCLAMLFQAVLILSAPQMSCLLKCFYALDPLHPVMSEEFSAQYRHMMDQRYRTRIHEGYISQVKGAYLRIVHKKPISYAKSFPKEMGN
+>DECOY_sp|A4D0T2|CG066_HUMAN Uncharacterized protein C7orf66 OS=Homo sapiens OX=9606 GN=C7orf66 PE=4 SV=1
+NGMEKPFSKAYSIPKKHVIRLYAGKVQSIYGEHIRTRYRQDMMHRYQASFEESMVPHLPDLAYFCKLLCSMQPASLILVAQFLMALCSLSWLRQHTLHPVSLQSLGDSPTMVAMM
+>sp|A6NKQ9|CGB1_HUMAN Choriogonadotropin subunit beta variant 1 OS=Homo sapiens OX=9606 GN=CGB1 PE=2 SV=3
+MSTFPVLAEDIPLRERHVKGRVDPHFRAPKMEMFQRLLLLLLLSMGGTWASKEPLRPRCRPINATLAVEKEGCPVCITVNTTICAGYCPTMTRVLQGVLPALPQVVCNYRDVRFESIRLPGCPRGVNPVVSYAVALSCQCALCRRSTTDCGGPKDHPLTCDDPRFQDSSSSKAPPPSLPSPSRLPGP
+>DECOY_sp|A6NKQ9|CGB1_HUMAN Choriogonadotropin subunit beta variant 1 OS=Homo sapiens OX=9606 GN=CGB1 PE=2 SV=3
+PGPLRSPSPLSPPPAKSSSSDQFRPDDCTLPHDKPGGCDTTSRRCLACQCSLAVAYSVVPNVGRPCGPLRISEFRVDRYNCVVQPLAPLVGQLVRTMTPCYGACITTNVTICVPCGEKEVALTANIPRCRPRLPEKSAWTGGMSLLLLLLLRQFMEMKPARFHPDVRGKVHRERLPIDEALVPFTSM
+>sp|P0DN86|CGB3_HUMAN Choriogonadotropin subunit beta 3 OS=Homo sapiens OX=9606 GN=CGB3 PE=1 SV=1
+MEMFQGLLLLLLLSMGGTWASKEPLRPRCRPINATLAVEKEGCPVCITVNTTICAGYCPTMTRVLQGVLPALPQVVCNYRDVRFESIRLPGCPRGVNPVVSYAVALSCQCALCRRSTTDCGGPKDHPLTCDDPRFQDSSSSKAPPPSLPSPSRLPGPSDTPILPQ
+>DECOY_sp|P0DN86|CGB3_HUMAN Choriogonadotropin subunit beta 3 OS=Homo sapiens OX=9606 GN=CGB3 PE=1 SV=1
+QPLIPTDSPGPLRSPSPLSPPPAKSSSSDQFRPDDCTLPHDKPGGCDTTSRRCLACQCSLAVAYSVVPNVGRPCGPLRISEFRVDRYNCVVQPLAPLVGQLVRTMTPCYGACITTNVTICVPCGEKEVALTANIPRCRPRLPEKSAWTGGMSLLLLLLLGQFMEM
+>sp|Q49A92|CH034_HUMAN Uncharacterized protein C8orf34 OS=Homo sapiens OX=9606 GN=C8orf34 PE=2 SV=3
+MSSPLASELSELAALRPGFRLSAPHARVAPRAATHARGRGRASHAGQPRLRSSCPGPSPGKRRVVPSGGAQPRVLPALSSRSHLFPMASHPQTRIQAYLEKNKIGPLFEELMTKLITETPDQPIPFLIDHLQSKQGNRGQLQRTLSGSAALWAESEKSESKGTRRDFRSYDKPWQLNAKKPKKSKSDLAVSNISPPSPDSKSLPRSVEHPKWNWRTKPQSRDFDELNHILQESKKLGKALENLSRSIAISDELDKETVTFNSSLLRPRVIGEWIGREENDADPLAAEMLQPPIPRSKNDQWESEDSGSSPAGSLKMEPKNKGLKQQQQQHKKLLAAMLSQDSFESIHSPTPSVTEEDIDNEDDAMELLEDLNDLRMEGVTTLVPSGSKFNQGRPTYPAEPQAKVTLNICSRCARLQGDNLEERTEESLPILHSPDEKIPDSFDSLPGTEEALMEEGDEFEKASKLTGPGEASSGVGHSLKNYMEEDESLKQLQVVHQPWILPSDTESEGVEAEQEKRSADLLLCVPCSSCPTLVYSGL
+>DECOY_sp|Q49A92|CH034_HUMAN Uncharacterized protein C8orf34 OS=Homo sapiens OX=9606 GN=C8orf34 PE=2 SV=3
+LGSYVLTPCSSCPVCLLLDASRKEQEAEVGESETDSPLIWPQHVVQLQKLSEDEEMYNKLSHGVGSSAEGPGTLKSAKEFEDGEEMLAEETGPLSDFSDPIKEDPSHLIPLSEETREELNDGQLRACRSCINLTVKAQPEAPYTPRGQNFKSGSPVLTTVGEMRLDNLDELLEMADDENDIDEETVSPTPSHISEFSDQSLMAALLKKHQQQQQKLGKNKPEMKLSGAPSSGSDESEWQDNKSRPIPPQLMEAALPDADNEERGIWEGIVRPRLLSSNFTVTEKDLEDSIAISRSLNELAKGLKKSEQLIHNLEDFDRSQPKTRWNWKPHEVSRPLSKSDPSPPSINSVALDSKSKKPKKANLQWPKDYSRFDRRTGKSESKESEAWLAASGSLTRQLQGRNGQKSQLHDILFPIPQDPTETILKTMLEEFLPGIKNKELYAQIRTQPHSAMPFLHSRSSLAPLVRPQAGGSPVVRRKGPSPGPCSSRLRPQGAHSARGRGRAHTAARPAVRAHPASLRFGPRLAALESLESALPSSM
+>sp|Q96NL8|CH037_HUMAN Protein C8orf37 OS=Homo sapiens OX=9606 GN=C8orf37 PE=1 SV=1
+MAEDLDELLDEVESKFCTPDLLRRGMVEQPKGCGGGTHSSDRNQAKAKETLRSTETFKKEDDLDSLINEILEEPNLDKKPSKLKSKSSGNTSVRASIEGLGKSCSPVYLGGSSIPCGIGTNISWRACDHLRCIACDFLVVSYDDYMWDKSCDYLFFRNNMPEFHKLKAKLIKKKGTRAYACQCSWRTIEEVTDLQTDHQLRWVCGKH
+>DECOY_sp|Q96NL8|CH037_HUMAN Protein C8orf37 OS=Homo sapiens OX=9606 GN=C8orf37 PE=1 SV=1
+HKGCVWRLQHDTQLDTVEEITRWSCQCAYARTGKKKILKAKLKHFEPMNNRFFLYDCSKDWMYDDYSVVLFDCAICRLHDCARWSINTGIGCPISSGGLYVPSCSKGLGEISARVSTNGSSKSKLKSPKKDLNPEELIENILSDLDDEKKFTETSRLTEKAKAQNRDSSHTGGGCGKPQEVMGRRLLDPTCFKSEVEDLLEDLDEAM
+>sp|Q6P1X6|CH082_HUMAN UPF0598 protein C8orf82 OS=Homo sapiens OX=9606 GN=C8orf82 PE=1 SV=2
+MWPPCGTLRTLALARSRGARACSGDGGVSYTQGQSPEPRTREYFYYVDHQGQLFLDDSKMKNFITCFKDPQFLVTFFSRLRPNRSGRYEAAFPFLSPCGRERNFLRCEDRPVVFTHLLTADHGPPRLSYCGGGEALAVPFEPARLLPLAANGRLYHPAPERAGGVGLVRSALAFELSACFEYGPGAPALPSHVRWQGRRLALTMDLAPLLLAARSP
+>DECOY_sp|Q6P1X6|CH082_HUMAN UPF0598 protein C8orf82 OS=Homo sapiens OX=9606 GN=C8orf82 PE=1 SV=2
+PSRAALLLPALDMTLALRRGQWRVHSPLAPAGPGYEFCASLEFALASRVLGVGGAREPAPHYLRGNAALPLLRAPEFPVALAEGGGCYSLRPPGHDATLLHTFVVPRDECRLFNRERGCPSLFPFAAEYRGSRNPRLRSFFTVLFQPDKFCTIFNKMKSDDLFLQGQHDVYYFYERTRPEPSQGQTYSVGGDGSCARAGRSRALALTRLTGCPPWM
+>sp|P0DMQ9|CH089_HUMAN Putative uncharacterized protein C8orf89 OS=Homo sapiens OX=9606 GN=C8orf89 PE=2 SV=1
+MSVLSPEIKCETSKFTRSSFGSCLIFESSWKKAVLETQKIKKEYTTAFGLEELKECIKMPYLPGLQSCQKSVSSTPLEVPKRLPRADAEVSAVRLKKTKETCSVAPLWEKSKGSGFSDPLTGAPSQYLERLSKIAILEYDTIRQETTTKSKKSKKRDLRDR
+>DECOY_sp|P0DMQ9|CH089_HUMAN Putative uncharacterized protein C8orf89 OS=Homo sapiens OX=9606 GN=C8orf89 PE=2 SV=1
+RDRLDRKKSKKSKTTTEQRITDYELIAIKSLRELYQSPAGTLPDSFGSGKSKEWLPAVSCTEKTKKLRVASVEADARPLRKPVELPTSSVSKQCSQLGPLYPMKICEKLEELGFATTYEKKIKQTELVAKKWSSEFILCSGFSSRTFKSTECKIEPSLVSM
+>sp|Q9BUX1|CHAC1_HUMAN Glutathione-specific gamma-glutamylcyclotransferase 1 OS=Homo sapiens OX=9606 GN=CHAC1 PE=1 SV=3
+MKQESAAPNTPPTSQSPTPSAQFPRNDGDPQALWIFGYGSLVWRPDFAYSDSRVGFVRGYSRRFWQGDTFHRGSDKMPGRVVTLLEDHEGCTWGVAYQVQGEQVSKALKYLNVREAVLGGYDTKEVTFYPQDAPDQPLKALAYVATPQNPGYLGPAPEEAIATQILACRGFSGHNLEYLLRLADFMQLCGPQAQDEHLAAIVDAVGTMLPCFCPTEQALALV
+>DECOY_sp|Q9BUX1|CHAC1_HUMAN Glutathione-specific gamma-glutamylcyclotransferase 1 OS=Homo sapiens OX=9606 GN=CHAC1 PE=1 SV=3
+VLALAQETPCFCPLMTGVADVIAALHEDQAQPGCLQMFDALRLLYELNHGSFGRCALIQTAIAEEPAPGLYGPNQPTAVYALAKLPQDPADQPYFTVEKTDYGGLVAERVNLYKLAKSVQEGQVQYAVGWTCGEHDELLTVVRGPMKDSGRHFTDGQWFRRSYGRVFGVRSDSYAFDPRWVLSGYGFIWLAQPDGDNRPFQASPTPSQSTPPTNPAASEQKM
+>sp|Q8WUX2|CHAC2_HUMAN Glutathione-specific gamma-glutamylcyclotransferase 2 OS=Homo sapiens OX=9606 GN=CHAC2 PE=1 SV=1
+MWVFGYGSLIWKVDFPYQDKLVGYITNYSRRFWQGSTDHRGVPGKPGRVVTLVEDPAGCVWGVAYRLPVGKEEEVKAYLDFREKGGYRTTTVIFYPKDPTTKPFSVLLYIGTCDNPDYLGPAPLEDIAEQIFNAAGPSGRNTEYLFELANSIRNLVPEEADEHLFALEKLVKERLEGKQNLNCI
+>DECOY_sp|Q8WUX2|CHAC2_HUMAN Glutathione-specific gamma-glutamylcyclotransferase 2 OS=Homo sapiens OX=9606 GN=CHAC2 PE=1 SV=1
+ICNLNQKGELREKVLKELAFLHEDAEEPVLNRISNALEFLYETNRGSPGAANFIQEAIDELPAPGLYDPNDCTGIYLLVSFPKTTPDKPYFIVTTTRYGGKERFDLYAKVEEEKGVPLRYAVGWVCGAPDEVLTVVRGPKGPVGRHDTSGQWFRRSYNTIYGVLKDQYPFDVKWILSGYGFVWM
+>sp|Q9BSY4|CHCH5_HUMAN Coiled-coil-helix-coiled-coil-helix domain-containing protein 5 OS=Homo sapiens OX=9606 GN=CHCHD5 PE=1 SV=1
+MQAALEVTARYCGRELEQYGQCVAAKPESWQRDCHYLKMSIAQCTSSHPIIRQIRQACAQPFEAFEECLRQNEAAVGNCAEHMRRFLQCAEQVQPPRSPATVEAQPLPAS
+>DECOY_sp|Q9BSY4|CHCH5_HUMAN Coiled-coil-helix-coiled-coil-helix domain-containing protein 5 OS=Homo sapiens OX=9606 GN=CHCHD5 PE=1 SV=1
+SAPLPQAEVTAPSRPPQVQEACQLFRRMHEACNGVAAENQRLCEEFAEFPQACAQRIQRIIPHSSTCQAISMKLYHCDRQWSEPKAAVCQGYQELERGCYRATVELAAQM
+>sp|Q8TD26|CHD6_HUMAN Chromodomain-helicase-DNA-binding protein 6 OS=Homo sapiens OX=9606 GN=CHD6 PE=1 SV=4
+MKMKIQKKEKQLSNLKVLNHSPMSDASVNFDYKSPSPFDCSTDQEEKIEDVASHCLPQKDLYTAEEEAATLFPRKMTSHNGMEDSGGGGTGVKKKRKKKEPGDQEGAAKGSKDREPKPKRKREPKEPKEPRKAKEPKKAKEHKEPKQKDGAKKARKPREASGTKEAKEKRSCTDSAARTKSRKASKEQGPTPVEKKKKGKRKSETTVESLELDQGLTNPSLRSPEESTESTDSQKRRSGRQVKRRKYNEDLDFKVVDDDGETIAVLGAGRTSALSASTLAWQAEEPPEDDANIIEKILASKTVQEVHPGEPPFDLELFYVKYRNFSYLHCKWATMEELEKDPRIAQKIKRFRNKQAQMKHIFTEPDEDLFNPDYVEVDRILEVAHTKDAETGEEVTHYLVKWCSLPYEESTWELEEDVDPAKVKEFESLQVLPEIKHVERPASDSWQKLEKSREYKNSNQLREYQLEGMNWLLFNWYNRKNCILADEMGLGKTIQSITFLSEIFLRGIHGPFLIIAPLSTITNWEREFRTWTEMNAIVYHGSQISRQMIQQYEMVYRDAQGNPLSGVFKFHVVITTFEMILADCPELKKIHWSCVIIDEAHRLKNRNCKLLEGLKLMALEHKVLLTGTPLQNSVEELFSLLNFLEPSQFPSETAFLEEFGDLKTEEQVKKLQSILKPMMLRRLKDDVEKNLAPKQETIIEVELTNIQKKYYRAILEKNFSFLTKGANQHNMPNLINTMMELRKCCNHPYLINGAEEKILEDFRKTHSPDAPDFQLQAMIQAAGKLVLIDKLLPKLIAGGHKVLIFSQMVRCLDILEDYLIQRRYTYERIDGRVRGNLRQAAIDRFCKPDSDRFVFLLCTRAGGLGINLTAADTCIIFDSDWNPQNDLQAQARCHRIGQSKAVKVYRLITRNSYEREMFDKASLKLGLDKAVLQDINRKGGTNGVQQLSKMEVEDLLRKGAYGALMDEEDEGSKFCEEDIDQILQRRTHTITIQSEGKGSTFAKASFVASGNRTDISLDDPNFWQKWAKIAELDTEAKNEKESLVIDRPRVRKQTKHYNSFEEDELMEFSELDSDSDERPTRSRRLNDKARRYLRAECFRVEKNLLIFGWGRWKDILTHGRFKWHLNEKDMEMICRALLVYCVKHYKGDEKIKSFIWELITPTKDGQAQTLQNHSGLSAPVPRGRKGKKTKNQLLIPELKDADWLATCNPEVVLHDDGYKKHLKQHCNKVLLRVRMLYYLKAEILGEAAEKAFEGSPARELDVPLPDIDYMEIPVDWWDAEADKSLLIGVFKHGYERYNAMRADPALCFLEKVGMPDEKSLSAEQGVTDGTSDIPERGNTDKEDNAEDKVDGLQKQTESSSDGGDGVFSEKKDDSRAAQDGSDPDKSPWPVSSALTARLRRLVTVYQRCNRKELCRPEILGPGNQGYWVQEEMFRRTSEMDLINKEAQKRWTRREQADFYRTVSSFGVVYDQEKKTFDWTQFRIISRLDKKSDESLEQYFYSFVAMCRNVCRLPTWKDGGPPDTTIYVEPITEERAARTLYRIELLRKVREQVLKCPQLHERLQLCRPSLYLPVWWECGKHDRDLLIGTAKHGLNRTDCYIMNDPQLSFLDAYRNYAQHKRSGTQAPGNLCCLYQTNSKLYESLTYSQMSRTSESLENEPENLVRVESRDDHLSLPDVTCENFISKVQDVISINHDESLLPESLESMMYGKKVLSQEPSSFQESPSTNTESRKDVITISISKDGNCQSGGPEAEIASGPTFMGSLEAGGVAQANIKNGKHLLMSISKEGELCCSEAGQRPENIGQLEAKCLASPSLNPGNESGFVDMCSLSVCDSKRNLSSDQQLIDLLENKSLESKLILSQNHSDEEEEEEENEEENLAMAVGMGERPEVLHLTEPTTNISREKNQGFQDETKKGSLEVANQTPGLQRAFPAPAACQCHCKHMERWMHGLENDEFEIEKPKAYIPDLFKSKTNTIAMEGEPTAIPSQPFKVKHELLKEPWKESAEGQNVFPTYPLEGSELKSEDMDFENKDDYDRDGNCHSQDYPGKYSEEESKSSTSGITGDIGDELQEARAPTIAQLLQEKTLYSFSEWPKDRVIINRLDNICHVVLKGKWPSSQQYEPSGTLPTPVLTSSAGSRTSLSEPEAAEHSFSNGAALAAQIHKESFLAPVFTKDEQKHRRPYEFEVERDAKARGLEQFSATHGHTPIILNGWHGESAMDLSCSSEGSPGATSPFPVSASTPKIGAISSLQGALGMDLSGILQAGLIHPVTGQIVNGSLRRDDAATRRRRGRRKHVEGGMDLIFLKEQTLQAGILEVHEDPGQATLSTTHPEGPGPATSAPEPATAASSQAEKSIPSKSLLDWLRQQADYSLEVPGFGANFSDKPKQRRPRCKEPGKLDVSSLSGEERVPAIPKEPGLRGFLPENKFNHTLAEPILRDTGPRRRGRRPRSELLKAPSIVADSPSGMGPLFMNGLIAGMDLVGLQNMRNMPGIPLTGLVGFPAGFATMPTGEEVKSTLSMLPMMLPGMAAVPQMFGVGGLLSPPMATTCTSTAPASLSSTTKSGTAVTEKTAEDKPSSHDVKTDTLAEDKPGPGPFSDQSEPAITTSSPVAFNPFLIPGVSPGLIYPSMFLSPGMGMALPAMQQARHSEIVGLESQKRKKKKTKGDNPNSHPEPAPSCEREPSGDENCAEPSAPLPAEREHGAQAGEGALKDSNNDTN
+>DECOY_sp|Q8TD26|CHD6_HUMAN Chromodomain-helicase-DNA-binding protein 6 OS=Homo sapiens OX=9606 GN=CHD6 PE=1 SV=4
+NTDNNSDKLAGEGAQAGHEREAPLPASPEACNEDGSPERECSPAPEPHSNPNDGKTKKKKRKQSELGVIESHRAQQMAPLAMGMGPSLFMSPYILGPSVGPILFPNFAVPSSTTIAPESQDSFPGPGPKDEALTDTKVDHSSPKDEATKETVATGSKTTSSLSAPATSTCTTAMPPSLLGGVGFMQPVAAMGPLMMPLMSLTSKVEEGTPMTAFGAPFGVLGTLPIGPMNRMNQLGVLDMGAILGNMFLPGMGSPSDAVISPAKLLESRPRRGRRRPGTDRLIPEALTHNFKNEPLFGRLGPEKPIAPVREEGSLSSVDLKGPEKCRPRRQKPKDSFNAGFGPVELSYDAQQRLWDLLSKSPISKEAQSSAATAPEPASTAPGPGEPHTTSLTAQGPDEHVELIGAQLTQEKLFILDMGGEVHKRRGRRRRTAADDRRLSGNVIQGTVPHILGAQLIGSLDMGLAGQLSSIAGIKPTSASVPFPSTAGPSGESSCSLDMASEGHWGNLIIPTHGHTASFQELGRAKADREVEFEYPRRHKQEDKTFVPALFSEKHIQAALAAGNSFSHEAAEPESLSTRSGASSTLVPTPLTGSPEYQQSSPWKGKLVVHCINDLRNIIVRDKPWESFSYLTKEQLLQAITPARAEQLEDGIDGTIGSTSSKSEEESYKGPYDQSHCNGDRDYDDKNEFDMDESKLESGELPYTPFVNQGEASEKWPEKLLEHKVKFPQSPIATPEGEMAITNTKSKFLDPIYAKPKEIEFEDNELGHMWREMHKCHCQCAAPAPFARQLGPTQNAVELSGKKTEDQFGQNKERSINTTPETLHLVEPREGMGVAMALNEEENEEEEEEEDSHNQSLILKSELSKNELLDILQQDSSLNRKSDCVSLSCMDVFGSENGPNLSPSALCKAELQGINEPRQGAESCCLEGEKSISMLLHKGNKINAQAVGGAELSGMFTPGSAIEAEPGGSQCNGDKSISITIVDKRSETNTSPSEQFSSPEQSLVKKGYMMSELSEPLLSEDHNISIVDQVKSIFNECTVDPLSLHDDRSEVRVLNEPENELSESTRSMQSYTLSEYLKSNTQYLCCLNGPAQTGSRKHQAYNRYADLFSLQPDNMIYCDTRNLGHKATGILLDRDHKGCEWWVPLYLSPRCLQLREHLQPCKLVQERVKRLLEIRYLTRAAREETIPEVYITTDPPGGDKWTPLRCVNRCMAVFSYFYQELSEDSKKDLRSIIRFQTWDFTKKEQDYVVGFSSVTRYFDAQERRTWRKQAEKNILDMESTRRFMEEQVWYGQNGPGLIEPRCLEKRNCRQYVTVLRRLRATLASSVPWPSKDPDSGDQAARSDDKKESFVGDGGDSSSETQKQLGDVKDEANDEKDTNGREPIDSTGDTVGQEASLSKEDPMGVKELFCLAPDARMANYREYGHKFVGILLSKDAEADWWDVPIEMYDIDPLPVDLERAPSGEFAKEAAEGLIEAKLYYLMRVRLLVKNCHQKLHKKYGDDHLVVEPNCTALWDADKLEPILLQNKTKKGKRGRPVPASLGSHNQLTQAQGDKTPTILEWIFSKIKEDGKYHKVCYVLLARCIMEMDKENLHWKFRGHTLIDKWRGWGFILLNKEVRFCEARLYRRAKDNLRRSRTPREDSDSDLESFEMLEDEEFSNYHKTQKRVRPRDIVLSEKENKAETDLEAIKAWKQWFNPDDLSIDTRNGSAVFSAKAFTSGKGESQITITHTRRQLIQDIDEECFKSGEDEEDMLAGYAGKRLLDEVEMKSLQQVGNTGGKRNIDQLVAKDLGLKLSAKDFMEREYSNRTILRYVKVAKSQGIRHCRAQAQLDNQPNWDSDFIICTDAATLNIGLGGARTCLLFVFRDSDPKCFRDIAAQRLNGRVRGDIREYTYRRQILYDELIDLCRVMQSFILVKHGGAILKPLLKDILVLKGAAQIMAQLQFDPADPSHTKRFDELIKEEAGNILYPHNCCKRLEMMTNILNPMNHQNAGKTLFSFNKELIARYYKKQINTLEVEIITEQKPALNKEVDDKLRRLMMPKLISQLKKVQEETKLDGFEELFATESPFQSPELFNLLSFLEEVSNQLPTGTLLVKHELAMLKLGELLKCNRNKLRHAEDIIVCSWHIKKLEPCDALIMEFTTIVVHFKFVGSLPNGQADRYVMEYQQIMQRSIQSGHYVIANMETWTRFEREWNTITSLPAIILFPGHIGRLFIESLFTISQITKGLGMEDALICNKRNYWNFLLWNMGELQYERLQNSNKYERSKELKQWSDSAPREVHKIEPLVQLSEFEKVKAPDVDEELEWTSEEYPLSCWKVLYHTVEEGTEADKTHAVELIRDVEVYDPNFLDEDPETFIHKMQAQKNRFRKIKQAIRPDKELEEMTAWKCHLYSFNRYKVYFLELDFPPEGPHVEQVTKSALIKEIINADDEPPEEAQWALTSASLASTRGAGLVAITEGDDDVVKFDLDENYKRRKVQRGSRRKQSDTSETSEEPSRLSPNTLGQDLELSEVTTESKRKGKKKKEVPTPGQEKSAKRSKTRAASDTCSRKEKAEKTGSAERPKRAKKAGDKQKPEKHEKAKKPEKAKRPEKPEKPERKRKPKPERDKSGKAAGEQDGPEKKKRKKKVGTGGGGSDEMGNHSTMKRPFLTAAEEEATYLDKQPLCHSAVDEIKEEQDTSCDFPSPSKYDFNVSADSMPSHNLVKLNSLQKEKKQIKMKM
+>sp|Q9P2D1|CHD7_HUMAN Chromodomain-helicase-DNA-binding protein 7 OS=Homo sapiens OX=9606 GN=CHD7 PE=1 SV=3
+MADPGMMSLFGEDGNIFSEGLEGLGECGYPENPVNPMGQQMPIDQGFASLQPSLHHPSTNQNQTKLTHFDHYNQYEQQKMHLMDQPNRMMSNTPGNGLASPHSQYHTPPVPQVPHGGSGGGQMGVYPGMQNERHGQSFVDSSSMWGPRAVQVPDQIRAPYQQQQPQPQPPQPAPSGPPAQGHPQHMQQMGSYMARGDFSMQQHGQPQQRMSQFSQGQEGLNQGNPFIATSGPGHLSHVPQQSPSMAPSLRHSVQQFHHHPSTALHGESVAHSPRFSPNPPQQGAVRPQTLNFSSRSQTVPSPTINNSGQYSRYPYSNLNQGLVNNTGMNQNLGLTNNTPMNQSVPRYPNAVGFPSNSGQGLMHQQPIHPSGSLNQMNTQTMHPSQPQGTYASPPPMSPMKAMSNPAGTPPPQVRPGSAGIPMEVGSYPNMPHPQPSHQPPGAMGIGQRNMGPRNMQQSRPFIGMSSAPRELTGHMRPNGCPGVGLGDPQAIQERLIPGQQHPGQQPSFQQLPTCPPLQPHPGLHHQSSPPHPHHQPWAQLHPSPQNTPQKVPVHQHSPSEPFLEKPVPDMTQVSGPNAQLVKSDDYLPSIEQQPQQKKKKKKNNHIVAEDPSKGFGKDDFPGGVDNQELNRNSLDGSQEEKKKKKRSKAKKDPKEPKEPKEKKEPKEPKTPKAPKIPKEPKEKKAKTATPKPKSSKKSSNKKPDSEASALKKKVNKGKTEGSENSDLDKTPPPSPPPEEDEDPGVQKRRSSRQVKRKRYTEDLEFKISDEEADDADAAGRDSPSNTSQSEQQESVDAEGPVVEKIMSSRSVKKQKESGEEVEIEEFYVKYKNFSYLHCQWASIEDLEKDKRIQQKIKRFKAKQGQNKFLSEIEDELFNPDYVEVDRIMDFARSTDDRGEPVTHYLVKWCSLPYEDSTWERRQDIDQAKIEEFEKLMSREPETERVERPPADDWKKSESSREYKNNNKLREYQLEGVNWLLFNWYNMRNCILADEMGLGKTIQSITFLYEIYLKGIHGPFLVIAPLSTIPNWEREFRTWTELNVVVYHGSQASRRTIQLYEMYFKDPQGRVIKGSYKFHAIITTFEMILTDCPELRNIPWRCVVIDEAHRLKNRNCKLLEGLKMMDLEHKVLLTGTPLQNTVEELFSLLHFLEPSRFPSETTFMQEFGDLKTEEQVQKLQAILKPMMLRRLKEDVEKNLAPKEETIIEVELTNIQKKYYRAILEKNFTFLSKGGGQANVPNLLNTMMELRKCCNHPYLINGAEEKILEEFKETHNAESPDFQLQAMIQAAGKLVLIDKLLPKLKAGGHRVLIFSQMVRCLDILEDYLIQRRYPYERIDGRVRGNLRQAAIDRFSKPDSDRFVFLLCTRAGGLGINLTAADTCIIFDSDWNPQNDLQAQARCHRIGQSKSVKIYRLITRNSYEREMFDKASLKLGLDKAVLQSMSGRENATNGVQQLSKKEIEDLLRKGAYGALMDEEDEGSKFCEEDIDQILLRRTHTITIESEGKGSTFAKASFVASGNRTDISLDDPNFWQKWAKKAELDIDALNGRNNLVIDTPRVRKQTRLYSAVKEDELMEFSDLESDSEEKPCAKPRRPQDKSQGYARSECFRVEKNLLVYGWGRWTDILSHGRYKRQLTEQDVETICRTILVYCLNHYKGDENIKSFIWDLITPTADGQTRALVNHSGLSAPVPRGRKGKKVKAQSTQPVVQDADWLASCNPDALFQEDSYKKHLKHHCNKVLLRVRMLYYLRQEVIGDQADKILEGADSSEADVWIPEPFHAEVPADWWDKEADKSLLIGVFKHGYEKYNSMRADPALCFLERVGMPDAKAIAAEQRGTDMLADGGDGGEFDREDEDPEYKPTRTPFKDEIDEFANSPSEDKEESMEIHATGKHSESNAELGQLYWPNTSTLTTRLRRLITAYQRSYKRQQMRQEALMKTDRRRRRPREEVRALEAEREAIISEKRQKWTRREEADFYRVVSTFGVIFDPVKQQFDWNQFRAFARLDKKSDESLEKYFSCFVAMCRRVCRMPVKPDDEPPDLSSIIEPITEERASRTLYRIELLRKIREQVLHHPQLGERLKLCQPSLDLPEWWECGRHDRDLLVGAAKHGVSRTDYHILNDPELSFLDAHKNFAQNRGAGNTSSLNPLAVGFVQTPPVISSAHIQDERVLEQAEGKVEEPENPAAKEKCEGKEEEEETDGSGKESKQECEAEASSVKNELKGVEVGADTGSKSISEKGSEEDEEEKLEDDDKSEESSQPEAGAVSRGKNFDEESNASMSTARDETRDGFYMEDGDPSVAQLLHERTFAFSFWPKDRVMINRLDNICEAVLKGKWPVNRRQMFDFQGLIPGYTPTTVDSPLQKRSFAELSMVGQASISGSEDITTSPQLSKEDALNLSVPRQRRRRRRKIEIEAERAAKRRNLMEMVAQLRESQVVSENGQEKVVDLSKASREATSSTSNFSSLSSKFILPNVSTPVSDAFKTQMELLQAGLSRTPTRHLLNGSLVDGEPPMKRRRGRRKNVEGLDLLFMSHKRTSLSAEDAEVTKAFEEDIETPPTRNIPSPGQLDPDTRIPVINLEDGTRLVGEDAPKNKDLVEWLKLHPTYTVDMPSYVPKNADVLFSSFQKPKQKRHRCRNPNKLDINTLTGEERVPVVNKRNGKKMGGAMAPPMKDLPRWLEENPEFAVAPDWTDIVKQSGFVPESMFDRLLTGPVVRGEGASRRGRRPKSEIARAAAAAAAVASTSGINPLLVNSLFAGMDLTSLQNLQNLQSLQLAGLMGFPPGLATAATAGGDAKNPAAVLPLMLPGMAGLPNVFGLGGLLNNPLSAATGNTTTASSQGEPEDSTSKGEEKGNENEDENKDSEKSTDAVSAADSANGSVGAATAPAGLPSNPLAFNPFLLSTMAPGLFYPSMFLPPGLGGLTLPGFPALAGLQNAVGSSEEKAADKAEGGPFKDGETLEGSDAEESLDKTAESSLLEDEIAQGEELDSLDGGDEIENNENDE
+>DECOY_sp|Q9P2D1|CHD7_HUMAN Chromodomain-helicase-DNA-binding protein 7 OS=Homo sapiens OX=9606 GN=CHD7 PE=1 SV=3
+EDNENNEIEDGGDLSDLEEGQAIEDELLSSEATKDLSEEADSGELTEGDKFPGGEAKDAAKEESSGVANQLGALAPFGPLTLGGLGPPLFMSPYFLGPAMTSLLFPNFALPNSPLGAPATAAGVSGNASDAASVADTSKESDKNEDENENGKEEGKSTSDEPEGQSSATTTNGTAASLPNNLLGGLGFVNPLGAMGPLMLPLVAAPNKADGGATAATALGPPFGMLGALQLSQLNQLNQLSTLDMGAFLSNVLLPNIGSTSAVAAAAAAARAIESKPRRGRRSAGEGRVVPGTLLRDFMSEPVFGSQKVIDTWDPAVAFEPNEELWRPLDKMPPAMAGGMKKGNRKNVVPVREEGTLTNIDLKNPNRCRHRKQKPKQFSSFLVDANKPVYSPMDVTYTPHLKLWEVLDKNKPADEGVLRTGDELNIVPIRTDPDLQGPSPINRTPPTEIDEEFAKTVEADEASLSTRKHSMFLLDLGEVNKRRGRRRKMPPEGDVLSGNLLHRTPTRSLGAQLLEMQTKFADSVPTSVNPLIFKSSLSSFNSTSSTAERSAKSLDVVKEQGNESVVQSERLQAVMEMLNRRKAAREAEIEIKRRRRRRQRPVSLNLADEKSLQPSTTIDESGSISAQGVMSLEAFSRKQLPSDVTTPTYGPILGQFDFMQRRNVPWKGKLVAECINDLRNIMVRDKPWFSFAFTREHLLQAVSPDGDEMYFGDRTEDRATSMSANSEEDFNKGRSVAGAEPQSSEESKDDDELKEEEDEESGKESISKSGTDAGVEVGKLENKVSSAEAECEQKSEKGSGDTEEEEEKGECKEKAAPNEPEEVKGEAQELVREDQIHASSIVPPTQVFGVALPNLSSTNGAGRNQAFNKHADLFSLEPDNLIHYDTRSVGHKAAGVLLDRDHRGCEWWEPLDLSPQCLKLREGLQPHHLVQERIKRLLEIRYLTRSAREETIPEIISSLDPPEDDPKVPMRCVRRCMAVFCSFYKELSEDSKKDLRAFARFQNWDFQQKVPDFIVGFTSVVRYFDAEERRTWKQRKESIIAEREAELARVEERPRRRRRDTKMLAEQRMQQRKYSRQYATILRRLRTTLTSTNPWYLQGLEANSESHKGTAHIEMSEEKDESPSNAFEDIEDKFPTRTPKYEPDEDERDFEGGDGGDALMDTGRQEAAIAKADPMGVRELFCLAPDARMSNYKEYGHKFVGILLSKDAEKDWWDAPVEAHFPEPIWVDAESSDAGELIKDAQDGIVEQRLYYLMRVRLLVKNCHHKLHKKYSDEQFLADPNCSALWDADQVVPQTSQAKVKKGKRGRPVPASLGSHNVLARTQGDATPTILDWIFSKINEDGKYHNLCYVLITRCITEVDQETLQRKYRGHSLIDTWRGWGYVLLNKEVRFCESRAYGQSKDQPRRPKACPKEESDSELDSFEMLEDEKVASYLRTQKRVRPTDIVLNNRGNLADIDLEAKKAWKQWFNPDDLSIDTRNGSAVFSAKAFTSGKGESEITITHTRRLLIQDIDEECFKSGEDEEDMLAGYAGKRLLDEIEKKSLQQVGNTANERGSMSQLVAKDLGLKLSAKDFMEREYSNRTILRYIKVSKSQGIRHCRAQAQLDNQPNWDSDFIICTDAATLNIGLGGARTCLLFVFRDSDPKSFRDIAAQRLNGRVRGDIREYPYRRQILYDELIDLCRVMQSFILVRHGGAKLKPLLKDILVLKGAAQIMAQLQFDPSEANHTEKFEELIKEEAGNILYPHNCCKRLEMMTNLLNPVNAQGGGKSLFTFNKELIARYYKKQINTLEVEIITEEKPALNKEVDEKLRRLMMPKLIAQLKQVQEETKLDGFEQMFTTESPFRSPELFHLLSFLEEVTNQLPTGTLLVKHELDMMKLGELLKCNRNKLRHAEDIVVCRWPINRLEPCDTLIMEFTTIIAHFKYSGKIVRGQPDKFYMEYLQITRRSAQSGHYVVVNLETWTRFEREWNPITSLPAIVLFPGHIGKLYIEYLFTISQITKGLGMEDALICNRMNYWNFLLWNVGELQYERLKNNNKYERSSESKKWDDAPPREVRETEPERSMLKEFEEIKAQDIDQRREWTSDEYPLSCWKVLYHTVPEGRDDTSRAFDMIRDVEVYDPNFLEDEIESLFKNQGQKAKFRKIKQQIRKDKELDEISAWQCHLYSFNKYKVYFEEIEVEEGSEKQKKVSRSSMIKEVVPGEADVSEQQESQSTNSPSDRGAADADDAEEDSIKFELDETYRKRKVQRSSRRKQVGPDEDEEPPPSPPPTKDLDSNESGETKGKNVKKKLASAESDPKKNSSKKSSKPKPTATKAKKEKPEKPIKPAKPTKPEKPEKKEKPEKPEKPDKKAKSRKKKKKEEQSGDLSNRNLEQNDVGGPFDDKGFGKSPDEAVIHNNKKKKKKQQPQQEISPLYDDSKVLQANPGSVQTMDPVPKELFPESPSHQHVPVKQPTNQPSPHLQAWPQHHPHPPSSQHHLGPHPQLPPCTPLQQFSPQQGPHQQGPILREQIAQPDGLGVGPCGNPRMHGTLERPASSMGIFPRSQQMNRPGMNRQGIGMAGPPQHSPQPHPMNPYSGVEMPIGASGPRVQPPPTGAPNSMAKMPSMPPPSAYTGQPQSPHMTQTNMQNLSGSPHIPQQHMLGQGSNSPFGVANPYRPVSQNMPTNNTLGLNQNMGTNNVLGQNLNSYPYRSYQGSNNITPSPVTQSRSSFNLTQPRVAGQQPPNPSFRPSHAVSEGHLATSPHHHFQQVSHRLSPAMSPSQQPVHSLHGPGSTAIFPNGQNLGEQGQSFQSMRQQPQGHQQMSFDGRAMYSGMQQMHQPHGQAPPGSPAPQPPQPQPQQQQYPARIQDPVQVARPGWMSSSDVFSQGHRENQMGPYVGMQGGGSGGHPVQPVPPTHYQSHPSALGNGPTNSMMRNPQDMLHMKQQEYQNYHDFHTLKTQNQNTSPHHLSPQLSAFGQDIPMQQGMPNVPNEPYGCEGLGELGESFINGDEGFLSMMGPDAM
+>sp|Q9BZP6|CHIA_HUMAN Acidic mammalian chitinase OS=Homo sapiens OX=9606 GN=CHIA PE=1 SV=1
+MTKLILLTGLVLILNLQLGSAYQLTCYFTNWAQYRPGLGRFMPDNIDPCLCTHLIYAFAGRQNNEITTIEWNDVTLYQAFNGLKNKNSQLKTLLAIGGWNFGTAPFTAMVSTPENRQTFITSVIKFLRQYEFDGLDFDWEYPGSRGSPPQDKHLFTVLVQEMREAFEQEAKQINKPRLMVTAAVAAGISNIQSGYEIPQLSQYLDYIHVMTYDLHGSWEGYTGENSPLYKYPTDTGSNAYLNVDYVMNYWKDNGAPAEKLIVGFPTYGHNFILSNPSNTGIGAPTSGAGPAGPYAKESGIWAYYEICTFLKNGATQGWDAPQEVPYAYQGNVWVGYDNIKSFDIKAQWLKHNKFGGAMVWAIDLDDFTGTFCNQGKFPLISTLKKALGLQSASCTAPAQPIEPITAAPSGSGNGSGSSSSGGSSGGSGFCAVRANGLYPVANNRNAFWHCVNGVTYQQNCQAGLVFDTSCDCCNWA
+>DECOY_sp|Q9BZP6|CHIA_HUMAN Acidic mammalian chitinase OS=Homo sapiens OX=9606 GN=CHIA PE=1 SV=1
+AWNCCDCSTDFVLGAQCNQQYTVGNVCHWFANRNNAVPYLGNARVACFGSGGSSGGSSSSGSGNGSGSPAATIPEIPQAPATCSASQLGLAKKLTSILPFKGQNCFTGTFDDLDIAWVMAGGFKNHKLWQAKIDFSKINDYGVWVNGQYAYPVEQPADWGQTAGNKLFTCIEYYAWIGSEKAYPGAPGAGSTPAGIGTNSPNSLIFNHGYTPFGVILKEAPAGNDKWYNMVYDVNLYANSGTDTPYKYLPSNEGTYGEWSGHLDYTMVHIYDLYQSLQPIEYGSQINSIGAAVAATVMLRPKNIQKAEQEFAERMEQVLVTFLHKDQPPSGRSGPYEWDFDLGDFEYQRLFKIVSTIFTQRNEPTSVMATFPATGFNWGGIALLTKLQSNKNKLGNFAQYLTVDNWEITTIENNQRGAFAYILHTCLCPDINDPMFRGLGPRYQAWNTFYCTLQYASGLQLNLILVLGTLLILKTM
+>sp|Q5VXU3|CHIC1_HUMAN Cysteine-rich hydrophobic domain-containing protein 1 OS=Homo sapiens OX=9606 GN=CHIC1 PE=2 SV=2
+MSILLPNMAEFDTISELEEEEEEEAATSSSSPSSSSSVSGPDDDEEDEEEEEEEEEEEEEEEEEEEEEAPPPPRVVSEEHLRRYAPDPVLVRGAGHITVFGLSNKFDTEFPSVLTGKVAPEEFKTSIGRVNACLKKALPVNVKWLLCGCLCCCCTLGCSLWPVICLNKRTRRSIQKLIEWENNRLYHKLALHWKLTKRKCETSNMMEYVILIEFLPKYPIFRPD
+>DECOY_sp|Q5VXU3|CHIC1_HUMAN Cysteine-rich hydrophobic domain-containing protein 1 OS=Homo sapiens OX=9606 GN=CHIC1 PE=2 SV=2
+DPRFIPYKPLFEILIVYEMMNSTECKRKTLKWHLALKHYLRNNEWEILKQISRRTRKNLCIVPWLSCGLTCCCCLCGCLLWKVNVPLAKKLCANVRGISTKFEEPAVKGTLVSPFETDFKNSLGFVTIHGAGRVLVPDPAYRRLHEESVVRPPPPAEEEEEEEEEEEEEEEEEEEEEDEEDDDPGSVSSSSSPSSSSTAAEEEEEEELESITDFEAMNPLLISM
+>sp|Q9BWS9|CHID1_HUMAN Chitinase domain-containing protein 1 OS=Homo sapiens OX=9606 GN=CHID1 PE=1 SV=1
+MRTLFNLLWLALACSPVHTTLSKSDAKKAASKTLLEKSQFSDKPVQDRGLVVTDLKAESVVLEHRSYCSAKARDRHFAGDVLGYVTPWNSHGYDVTKVFGSKFTQISPVWLQLKRRGREMFEVTGLHDVDQGWMRAVRKHAKGLHIVPRLLFEDWTYDDFRNVLDSEDEIEELSKTVVQVAKNQHFDGFVVEVWNQLLSQKRVGLIHMLTHLAEALHQARLLALLVIPPAITPGTDQLGMFTHKEFEQLAPVLDGFSLMTYDYSTAHQPGPNAPLSWVRACVQVLDPKSKWRSKILLGLNFYGMDYATSKDAREPVVGARYIQTLKDHRPRMVWDSQASEHFFEYKKSRSGRHVVFYPTLKSLQVRLELARELGVGVSIWELGQGLDYFYDLL
+>DECOY_sp|Q9BWS9|CHID1_HUMAN Chitinase domain-containing protein 1 OS=Homo sapiens OX=9606 GN=CHID1 PE=1 SV=1
+LLDYFYDLGQGLEWISVGVGLERALELRVQLSKLTPYFVVHRGSRSKKYEFFHESAQSDWVMRPRHDKLTQIYRAGVVPERADKSTAYDMGYFNLGLLIKSRWKSKPDLVQVCARVWSLPANPGPQHATSYDYTMLSFGDLVPALQEFEKHTFMGLQDTGPTIAPPIVLLALLRAQHLAEALHTLMHILGVRKQSLLQNWVEVVFGDFHQNKAVQVVTKSLEEIEDESDLVNRFDDYTWDEFLLRPVIHLGKAHKRVARMWGQDVDHLGTVEFMERGRRKLQLWVPSIQTFKSGFVKTVDYGHSNWPTVYGLVDGAFHRDRAKASCYSRHELVVSEAKLDTVVLGRDQVPKDSFQSKELLTKSAAKKADSKSLTTHVPSCALALWLLNFLTRM
+>sp|Q9UNE7|CHIP_HUMAN E3 ubiquitin-protein ligase CHIP OS=Homo sapiens OX=9606 GN=STUB1 PE=1 SV=2
+MKGKEEKEGGARLGAGGGSPEKSPSAQELKEQGNRLFVGRKYPEAAACYGRAITRNPLVAVYYTNRALCYLKMQQHEQALADCRRALELDGQSVKAHFFLGQCQLEMESYDEAIANLQRAYSLAKEQRLNFGDDIPSALRIAKKKRWNSIEERRIHQESELHSYLSRLIAAERERELEECQRNHEGDEDDSHVRAQQACIEAKHDKYMADMDELFSQVDEKRKKRDIPDYLCGKISFELMREPCITPSGITYDRKDIEEHLQRVGHFDPVTRSPLTQEQLIPNLAMKEVIDAFISENGWVEDY
+>DECOY_sp|Q9UNE7|CHIP_HUMAN E3 ubiquitin-protein ligase CHIP OS=Homo sapiens OX=9606 GN=STUB1 PE=1 SV=2
+YDEVWGNESIFADIVEKMALNPILQEQTLPSRTVPDFHGVRQLHEEIDKRDYTIGSPTICPERMLEFSIKGCLYDPIDRKKRKEDVQSFLEDMDAMYKDHKAEICAQQARVHSDDEDGEHNRQCEELEREREAAILRSLYSHLESEQHIRREEISNWRKKKAIRLASPIDDGFNLRQEKALSYARQLNAIAEDYSEMELQCQGLFFHAKVSQGDLELARRCDALAQEHQQMKLYCLARNTYYVAVLPNRTIARGYCAAAEPYKRGVFLRNGQEKLEQASPSKEPSGGGAGLRAGGEKEEKGKM
+>sp|Q9HD42|CHM1A_HUMAN Charged multivesicular body protein 1a OS=Homo sapiens OX=9606 GN=CHMP1A PE=1 SV=1
+MDDTLFQLKFTAKQLEKLAKKAEKDSKAEQAKVKKALLQKNVECARVYAENAIRKKNEGVNWLRMASRVDAVASKVQTAVTMKGVTKNMAQVTKALDKALSTMDLQKVSSVMDRFEQQVQNLDVHTSVMEDSMSSATTLTTPQEQVDSLIMQIAEENGLEVLDQLSQLPEGASAVGESSVRSQEDQLSRRLAALRN
+>DECOY_sp|Q9HD42|CHM1A_HUMAN Charged multivesicular body protein 1a OS=Homo sapiens OX=9606 GN=CHMP1A PE=1 SV=1
+NRLAALRRSLQDEQSRVSSEGVASAGEPLQSLQDLVELGNEEAIQMILSDVQEQPTTLTTASSMSDEMVSTHVDLNQVQQEFRDMVSSVKQLDMTSLAKDLAKTVQAMNKTVGKMTVATQVKSAVADVRSAMRLWNVGENKKRIANEAYVRACEVNKQLLAKKVKAQEAKSDKEAKKALKELQKATFKLQFLTDDM
+>sp|Q9UQN3|CHM2B_HUMAN Charged multivesicular body protein 2b OS=Homo sapiens OX=9606 GN=CHMP2B PE=1 SV=1
+MASLFKKKTVDDVIKEQNRELRGTQRAIIRDRAALEKQEKQLELEIKKMAKIGNKEACKVLAKQLVHLRKQKTRTFAVSSKVTSMSTQTKVMNSQMKMAGAMSTTAKTMQAVNKKMDPQKTLQTMQNFQKENMKMEMTEEMINDTLDDIFDGSDDEEESQDIVNQVLDEIGIEISGKMAKAPSAARSLPSASTSKATISDEEIERQLKALGVD
+>DECOY_sp|Q9UQN3|CHM2B_HUMAN Charged multivesicular body protein 2b OS=Homo sapiens OX=9606 GN=CHMP2B PE=1 SV=1
+DVGLAKLQREIEEDSITAKSTSASPLSRAASPAKAMKGSIEIGIEDLVQNVIDQSEEEDDSGDFIDDLTDNIMEETMEMKMNEKQFNQMTQLTKQPDMKKNVAQMTKATTSMAGAMKMQSNMVKTQTSMSTVKSSVAFTRTKQKRLHVLQKALVKCAEKNGIKAMKKIELELQKEQKELAARDRIIARQTGRLERNQEKIVDDVTKKKFLSAM
+>sp|Q96BS2|CHP3_HUMAN Calcineurin B homologous protein 3 OS=Homo sapiens OX=9606 GN=TESC PE=1 SV=3
+MGAAHSASEEVRELEGKTGFSSDQIEQLHRRFKQLSGDQPTIRKENFNNVPDLELNPIRSKIVRAFFDNRNLRKGPSGLADEINFEDFLTIMSYFRPIDTTMDEEQVELSRKEKLRFLFHMYDSDSDGRITLEEYRNVVEELLSGNPHIEKESARSIADGAMMEAASVCMGQMEPDQVYEGITFEDFLKIWQGIDIETKMHVRFLNMETMALCH
+>DECOY_sp|Q96BS2|CHP3_HUMAN Calcineurin B homologous protein 3 OS=Homo sapiens OX=9606 GN=TESC PE=1 SV=3
+HCLAMTEMNLFRVHMKTEIDIGQWIKLFDEFTIGEYVQDPEMQGMCVSAAEMMAGDAISRASEKEIHPNGSLLEEVVNRYEELTIRGDSDSDYMHFLFRLKEKRSLEVQEEDMTTDIPRFYSMITLFDEFNIEDALGSPGKRLNRNDFFARVIKSRIPNLELDPVNNFNEKRITPQDGSLQKFRRHLQEIQDSSFGTKGELERVEESASHAAGM
+>sp|Q8WUD6|CHPT1_HUMAN Cholinephosphotransferase 1 OS=Homo sapiens OX=9606 GN=CHPT1 PE=1 SV=1
+MAAGAGAGSAPRWLRALSEPLSAAQLRRLEEHRYSAAGVSLLEPPLQLYWTWLLQWIPLWMAPNSITLLGLAVNVVTTLVLISYCPTATEEAPYWTYLLCALGLFIYQSLDAIDGKQARRTNSCSPLGELFDHGCDSLSTVFMAVGASIAARLGTYPDWFFFCSFIGMFVFYCAHWQTYVSGMLRFGKVDVTEIQIALVIVFVLSAFGGATMWDYTIPILEIKLKILPVLGFLGGVIFSCSNYFHVILHGGVGKNGSTIAGTSVLSPGLHIGLIIILAIMIYKKSATDVFEKHPCLYILMFGCVFAKVSQKLVVAHMTKSELYLQDTVFLGPGLLFLDQYFNNFIDEYVVLWMAMVISSFDMVIYFSALCLQISRHLHLNIFKTACHQAPEQVQVLSSKSHQNNMD
+>DECOY_sp|Q8WUD6|CHPT1_HUMAN Cholinephosphotransferase 1 OS=Homo sapiens OX=9606 GN=CHPT1 PE=1 SV=1
+DMNNQHSKSSLVQVQEPAQHCATKFINLHLHRSIQLCLASFYIVMDFSSIVMAMWLVVYEDIFNNFYQDLFLLGPGLFVTDQLYLESKTMHAVVLKQSVKAFVCGFMLIYLCPHKEFVDTASKKYIMIALIIILGIHLGPSLVSTGAITSGNKGVGGHLIVHFYNSCSFIVGGLFGLVPLIKLKIELIPITYDWMTAGGFASLVFVIVLAIQIETVDVKGFRLMGSVYTQWHACYFVFMGIFSCFFFWDPYTGLRAAISAGVAMFVTSLSDCGHDFLEGLPSCSNTRRAQKGDIADLSQYIFLGLACLLYTWYPAEETATPCYSILVLTTVVNVALGLLTISNPAMWLPIWQLLWTWYLQLPPELLSVGAASYRHEELRRLQAASLPESLARLWRPASGAGAGAAM
+>sp|Q6ZRZ4|CI047_HUMAN Uncharacterized protein C9orf47 OS=Homo sapiens OX=9606 GN=C9orf47 PE=2 SV=1
+MVRIWTTIMIVLILLLRIGPNKPSLSGRQAPAQAQTSDLVPSLFPLGLWAPGFCTWSSPDEDKVWRPAWEQGPKGEPDPRGLRPRKPVPGTGNRDSGTRRRLQDATEQDPRPGNDVASAETAGPPSPSGIRAQDRAPRHRRAPPARMPVAPAPSADGEPLQEQGGGLFHRTRSVYNGLELNTWMKVERLFVEKFHQSFSLDN
+>DECOY_sp|Q6ZRZ4|CI047_HUMAN Uncharacterized protein C9orf47 OS=Homo sapiens OX=9606 GN=C9orf47 PE=2 SV=1
+NDLSFSQHFKEVFLREVKMWTNLELGNYVSRTRHFLGGGQEQLPEGDASPAPAVPMRAPPARRHRPARDQARIGSPSPPGATEASAVDNGPRPDQETADQLRRRTGSDRNGTGPVPKRPRLGRPDPEGKPGQEWAPRWVKDEDPSSWTCFGPAWLGLPFLSPVLDSTQAQAPAQRGSLSPKNPGIRLLLILVIMITTWIRVM
+>sp|Q96MD7|CI085_HUMAN Uncharacterized protein C9orf85 OS=Homo sapiens OX=9606 GN=C9orf85 PE=1 SV=1
+MSSQKGNVARSRPQKHQNTFSFKNDKFDKSVQTKKINAKLHDGVCQRCKEVLEWRVKYSKYKPLSKPKKCVKCLQKTVKDSYHIMCRPCACELEVCAKCGKKEDIVIPWSLPLLPRLECSGRILAHHNLRLPCSSDSPASASRVAGTTGAHHHAQLIFVFLVEMGFHYVGQAGLELLTS
+>DECOY_sp|Q96MD7|CI085_HUMAN Uncharacterized protein C9orf85 OS=Homo sapiens OX=9606 GN=C9orf85 PE=1 SV=1
+STLLELGAQGVYHFGMEVLFVFILQAHHHAGTTGAVRSASAPSDSSCPLRLNHHALIRGSCELRPLLPLSWPIVIDEKKGCKACVELECACPRCMIHYSDKVTKQLCKVCKKPKSLPKYKSYKVRWELVEKCRQCVGDHLKANIKKTQVSKDFKDNKFSFTNQHKQPRSRAVNGKQSSM
+>sp|Q5T280|CI114_HUMAN Putative methyltransferase C9orf114 OS=Homo sapiens OX=9606 GN=SPOUT1 PE=1 SV=3
+MAERGRKRPCGPGEHGQRIEWRKWKQQKKEEKKKWKDLKLMKKLERQRAQEEQAKRLEEEEAAAEKEDRGRPYTLSVALPGSILDNAQSPELRTYLAGQIARACAIFCVDEIVVFDEEGQDAKTVEGEFTGVGKKGQACVQLARILQYLECPQYLRKAFFPKHQDLQFAGLLNPLDSPHHMRQDEESEFREGIVVDRPTRPGHGSFVNCGMKKEVKIDKNLEPGLRVTVRLNQQQHPDCKTYHGKVVSSQDPRTKAGLYWGYTVRLASCLSAVFAEAPFQDGYDLTIGTSERGSDVASAQLPNFRHALVVFGGLQGLEAGADADPNLEVAEPSVLFDLYVNTCPGQGSRTIRTEEAILISLAALQPGLIQAGARHT
+>DECOY_sp|Q5T280|CI114_HUMAN Putative methyltransferase C9orf114 OS=Homo sapiens OX=9606 GN=SPOUT1 PE=1 SV=3
+THRAGAQILGPQLAALSILIAEETRITRSGQGPCTNVYLDFLVSPEAVELNPDADAGAELGQLGGFVVLAHRFNPLQASAVDSGRESTGITLDYGDQFPAEAFVASLCSALRVTYGWYLGAKTRPDQSSVVKGHYTKCDPHQQQNLRVTVRLGPELNKDIKVEKKMGCNVFSGHGPRTPRDVVIGERFESEEDQRMHHPSDLPNLLGAFQLDQHKPFFAKRLYQPCELYQLIRALQVCAQGKKGVGTFEGEVTKADQGEEDFVVIEDVCFIACARAIQGALYTRLEPSQANDLISGPLAVSLTYPRGRDEKEAAAEEEELRKAQEEQARQRELKKMLKLDKWKKKEEKKQQKWKRWEIRQGHEGPGCPRKRGREAM
+>sp|Q5VYM1|CI131_HUMAN Uncharacterized protein C9orf131 OS=Homo sapiens OX=9606 GN=C9orf131 PE=2 SV=3
+MEWLLEDLLGAKGDMGLLWGQLTHALACRHCGSSCFQSPGNLVTLFLFVVWQIQRWWQLGRLRQLHPWCSGNMVQGKELPLLHRVAFLDHLCKQKSEVEEEGEEEEEGEDEASLDPLKPCSPTKEAPTGEQATPAPPQPSCGSEGLLKAIGIPEQTVMQPVSPSRSFPIFQILTSFPVRHKIASGNRQQQRKSQLFWGLPSLHSESLEAIFLSSGGPSPLKWSVCSSVFFNKLAFLPRSNLLLPQYHSSAQFSTHGAHTMEDLEGMAPDPQLLPPPSSPSVSSLLLHLRPFPVDHKGVLSGAEAPTQSPGTSPLEVLPGYETHLETTGHKKMPQAFEPPMPPPCQSPASLSEPRKVSPEGGLAISKDFWGTVGYREKPQASESSMPVPCPPLDSLPELQRESSLEDPSRYKPQWECRENSGNLWAFESPVLDLNPELSGTSPECVPPASETPWKGMQSRENIWVPADPVSPPSLPSVPLLESLVMGPQGVLSESKALWETMGQKENLWASDSPDPVHSTPPTTLMEPHRINPGECLATSEATWKDTEHSRNSSASRSPSLALSPPPALAPELLRVRSMGVLSDSEARCGDIQKTKNSWASKHPACNLPQDLHGASPLGVLSDSQSIVGEMEQKENCVPVFPGRGSSPSSNSVSKSHVSEPIADQSNYKPDGEAVEQRKNHWATELPAPSSLSTPLPEPHIDLELVWRNVQQREVPQGPSPLAVDPLHPVPQPPTLAEAVKIERTHPGLPKGVTCPGVKAEAPLSQRWTVPELLTHPGIHAWQWSRELKLRLKKLRQSPASRAPGPSQSFCSSPILSSTIPDFWGLPSCPPQQIYPPNPCPHSSSCHPQEVQRTVPQPVQSSHCHHFQSSSQLQPQESGRAEQGSQRGEKMKGKMVSQVPSQGPCVHMEAGVDYLSPGPGEPSNSKVLVSGKRKDKASASSSAKKREHPRKPKAGDHRRGTARLGLSTVTGKNHPAQARSLVEAPVSTFPQRSQHRGQSSQHTALPQLLLPKASGPQDQPEAGRRASDILTPRHCKHCPWAHMEKYLSFPTLKASLTRGLQKVLAKCLDNHRPLPTKSSQ
+>DECOY_sp|Q5VYM1|CI131_HUMAN Uncharacterized protein C9orf131 OS=Homo sapiens OX=9606 GN=C9orf131 PE=2 SV=3
+QSSKTPLPRHNDLCKALVKQLGRTLSAKLTPFSLYKEMHAWPCHKCHRPTLIDSARRGAEPQDQPGSAKPLLLQPLATHQSSQGRHQSRQPFTSVPAEVLSRAQAPHNKGTVTSLGLRATGRRHDGAKPKRPHERKKASSSASAKDKRKGSVLVKSNSPEGPGPSLYDVGAEMHVCPGQSPVQSVMKGKMKEGRQSGQEARGSEQPQLQSSSQFHHCHSSQVPQPVTRQVEQPHCSSSHPCPNPPYIQQPPCSPLGWFDPITSSLIPSSCFSQSPGPARSAPSQRLKKLRLKLERSWQWAHIGPHTLLEPVTWRQSLPAEAKVGPCTVGKPLGPHTREIKVAEALTPPQPVPHLPDVALPSPGQPVERQQVNRWVLELDIHPEPLPTSLSSPAPLETAWHNKRQEVAEGDPKYNSQDAIPESVHSKSVSNSSPSSGRGPFVPVCNEKQEMEGVISQSDSLVGLPSAGHLDQPLNCAPHKSAWSNKTKQIDGCRAESDSLVGMSRVRLLEPALAPPPSLALSPSRSASSNRSHETDKWTAESTALCEGPNIRHPEMLTTPPTSHVPDPSDSAWLNEKQGMTEWLAKSESLVGQPGMVLSELLPVSPLSPPSVPDAPVWINERSQMGKWPTESAPPVCEPSTGSLEPNLDLVPSEFAWLNGSNERCEWQPKYRSPDELSSERQLEPLSDLPPCPVPMSSESAQPKERYGVTGWFDKSIALGGEPSVKRPESLSAPSQCPPPMPPEFAQPMKKHGTTELHTEYGPLVELPSTGPSQTPAEAGSLVGKHDVPFPRLHLLLSSVSPSSPPPLLQPDPAMGELDEMTHAGHTSFQASSHYQPLLLNSRPLFALKNFFVSSCVSWKLPSPGGSSLFIAELSESHLSPLGWFLQSKRQQQRNGSAIKHRVPFSTLIQFIPFSRSPSVPQMVTQEPIGIAKLLGESGCSPQPPAPTAQEGTPAEKTPSCPKLPDLSAEDEGEEEEEGEEEVESKQKCLHDLFAVRHLLPLEKGQVMNGSCWPHLQRLRGLQWWRQIQWVVFLFLTVLNGPSQFCSSGCHRCALAHTLQGWLLGMDGKAGLLDELLWEM
+>sp|Q9Y375|CIA30_HUMAN Complex I intermediate-associated protein 30, mitochondrial OS=Homo sapiens OX=9606 GN=NDUFAF1 PE=1 SV=2
+MALVHKLLRGTYFLRKFSKPTSALYPFLGIRFAEYSSSLQKPVASPGKASSQRKTEGDLQGDHQKEVALDITSSEEKPDVSFDKAIRDEAIYHFRLLKDEIVDHWRGPEGHPLHEVLLEQAKVVWQFRGKEDLDKWTVTSDKTIGGRSEVFLKMGKNNQSALLYGTLSSEAPQDGESTRSGYCAMISRIPRGAFERKMSYDWSQFNTLYLRVRGDGRPWMVNIKEDTDFFQRTNQMYSYFMFTRGGPYWQEVKIPFSKFFFSNRGRIRDVQHELPLDKISSIGFTLADKVDGPFFLEIDFIGVFTDPAHTEEFAYENSPELNPRLFK
+>DECOY_sp|Q9Y375|CIA30_HUMAN Complex I intermediate-associated protein 30, mitochondrial OS=Homo sapiens OX=9606 GN=NDUFAF1 PE=1 SV=2
+KFLRPNLEPSNEYAFEETHAPDTFVGIFDIELFFPGDVKDALTFGISSIKDLPLEHQVDRIRGRNSFFFKSFPIKVEQWYPGGRTFMFYSYMQNTRQFFDTDEKINVMWPRGDGRVRLYLTNFQSWDYSMKREFAGRPIRSIMACYGSRTSEGDQPAESSLTGYLLASQNNKGMKLFVESRGGITKDSTVTWKDLDEKGRFQWVVKAQELLVEHLPHGEPGRWHDVIEDKLLRFHYIAEDRIAKDFSVDPKEESSTIDLAVEKQHDGQLDGETKRQSSAKGPSAVPKQLSSSYEAFRIGLFPYLASTPKSFKRLFYTGRLLKHVLAM
+>sp|Q8N5K1|CISD2_HUMAN CDGSH iron-sulfur domain-containing protein 2 OS=Homo sapiens OX=9606 GN=CISD2 PE=1 SV=1
+MVLESVARIVKVQLPAYLKRLPVPESITGFARLTVSEWLRLLPFLGVLALLGYLAVRPFLPKKKQQKDSLINLKIQKENPKVVNEINIEDLCLTKAAYCRCWRSKTFPACDGSHNKHNELTGDNVGPLILKKKEV
+>DECOY_sp|Q8N5K1|CISD2_HUMAN CDGSH iron-sulfur domain-containing protein 2 OS=Homo sapiens OX=9606 GN=CISD2 PE=1 SV=1
+VEKKKLILPGVNDGTLENHKNHSGDCAPFTKSRWCRCYAAKTLCLDEINIENVVKPNEKQIKLNILSDKQQKKKPLFPRVALYGLLALVGLFPLLRLWESVTLRAFGTISEPVPLRKLYAPLQVKVIRAVSELVM
+>sp|Q96RK1|CITE4_HUMAN Cbp/p300-interacting transactivator 4 OS=Homo sapiens OX=9606 GN=CITED4 PE=1 SV=1
+MADHLMLAEGYRLVQRPPSAAAAHGPHALRTLPPYAGPGLDSGLRPRGAPLGPPPPRQPGALAYGAFGPPSSFQPFPAVPPPAAGIAHLQPVATPYPGRAAAPPNAPGGPPGPQPAPSAAAPPPPAHALGGMDAELIDEEALTSLELELGLHRVRELPELFLGQSEFDCFSDLGSAPPAGSVSC
+>DECOY_sp|Q96RK1|CITE4_HUMAN Cbp/p300-interacting transactivator 4 OS=Homo sapiens OX=9606 GN=CITED4 PE=1 SV=1
+CSVSGAPPASGLDSFCDFESQGLFLEPLERVRHLGLELELSTLAEEDILEADMGGLAHAPPPPAAASPAPQPGPPGGPANPPAAARGPYPTAVPQLHAIGAAPPPVAPFPQFSSPPGFAGYALAGPQRPPPPGLPAGRPRLGSDLGPGAYPPLTRLAHPGHAAAASPPRQVLRYGEALMLHDAM
+>sp|Q9ULV3|CIZ1_HUMAN Cip1-interacting zinc finger protein OS=Homo sapiens OX=9606 GN=CIZ1 PE=1 SV=2
+MFSQQQQQQLQQQQQQLQQLQQQQLQQQQLQQQQLLQLQQLLQQSPPQAPLPMAVSRGLPPQQPQQPLLNLQGTNSASLLNGSMLQRALLLQQLQGLDQFAMPPATYDTAGLTMPTATLGNLRGYGMASPGLAAPSLTPPQLATPNLQQFFPQATRQSLLGPPPVGVPMNPSQFNLSGRNPQKQARTSSSTTPNRKDSSSQTMPVEDKSDPPEGSEEAAEPRMDTPEDQDLPPCPEDIAKEKRTPAPEPEPCEASELPAKRLRSSEEPTEKEPPGQLQVKAQPQARMTVPKQTQTPDLLPEALEAQVLPRFQPRVLQVQAQVQSQTQPRIPSTDTQVQPKLQKQAQTQTSPEHLVLQQKQVQPQLQQEAEPQKQVQPQVQPQAHSQGPRQVQLQQEAEPLKQVQPQVQPQAHSQPPRQVQLQLQKQVQTQTYPQVHTQAQPSVQPQEHPPAQVSVQPPEQTHEQPHTQPQVSLLAPEQTPVVVHVCGLEMPPDAVEAGGGMEKTLPEPVGTQVSMEEIQNESACGLDVGECENRAREMPGVWGAGGSLKVTILQSSDSRAFSTVPLTPVPRPSDSVSSTPAATSTPSKQALQFFCYICKASCSSQQEFQDHMSEPQHQQRLGEIQHMSQACLLSLLPVPRDVLETEDEEPPPRRWCNTCQLYYMGDLIQHRRTQDHKIAKQSLRPFCTVCNRYFKTPRKFVEHVKSQGHKDKAKELKSLEKEIAGQDEDHFITVDAVGCFEGDEEEEEDDEDEEEIEVEEELCKQVRSRDISREEWKGSETYSPNTAYGVDFLVPVMGYICRICHKFYHSNSGAQLSHCKSLGHFENLQKYKAAKNPSPTTRPVSRRCAINARNALTALFTSSGRPPSQPNTQDKTPSKVTARPSQPPLPRRSTRLKT
+>DECOY_sp|Q9ULV3|CIZ1_HUMAN Cip1-interacting zinc finger protein OS=Homo sapiens OX=9606 GN=CIZ1 PE=1 SV=2
+TKLRTSRRPLPPQSPRATVKSPTKDQTNPQSPPRGSSTFLATLANRANIACRRSVPRTTPSPNKAAKYKQLNEFHGLSKCHSLQAGSNSHYFKHCIRCIYGMVPVLFDVGYATNPSYTESGKWEERSIDRSRVQKCLEEEVEIEEEDEDDEEEEEDGEFCGVADVTIFHDEDQGAIEKELSKLEKAKDKHGQSKVHEVFKRPTKFYRNCVTCFPRLSQKAIKHDQTRRHQILDGMYYLQCTNCWRRPPPEEDETELVDRPVPLLSLLCAQSMHQIEGLRQQHQPESMHDQFEQQSSCSAKCIYCFFQLAQKSPTSTAAPTSSVSDSPRPVPTLPVTSFARSDSSQLITVKLSGGAGWVGPMERARNECEGVDLGCASENQIEEMSVQTGVPEPLTKEMGGGAEVADPPMELGCVHVVVPTQEPALLSVQPQTHPQEHTQEPPQVSVQAPPHEQPQVSPQAQTHVQPYTQTQVQKQLQLQVQRPPQSHAQPQVQPQVQKLPEAEQQLQVQRPGQSHAQPQVQPQVQKQPEAEQQLQPQVQKQQLVLHEPSTQTQAQKQLKPQVQTDTSPIRPQTQSQVQAQVQLVRPQFRPLVQAELAEPLLDPTQTQKPVTMRAQPQAKVQLQGPPEKETPEESSRLRKAPLESAECPEPEPAPTRKEKAIDEPCPPLDQDEPTDMRPEAAEESGEPPDSKDEVPMTQSSSDKRNPTTSSSTRAQKQPNRGSLNFQSPNMPVGVPPPGLLSQRTAQPFFQQLNPTALQPPTLSPAALGPSAMGYGRLNGLTATPMTLGATDYTAPPMAFQDLGQLQQLLLARQLMSGNLLSASNTGQLNLLPQQPQQPPLGRSVAMPLPAQPPSQQLLQQLQLLQQQQLQQQQLQQQQLQQLQQQQQQLQQQQQQSFM
+>sp|Q8WW14|CJ082_HUMAN Uncharacterized protein C10orf82 OS=Homo sapiens OX=9606 GN=C10orf82 PE=2 SV=3
+MEPSKTFMRNLPITPGYSGFVPFLSCQGMSKEDDMNHCVKTFQEKTQRYKEQLRELCCAVATAPKLKPVNSEETVLQALHQYNLQYHPLILECKYVKKPLQEPPIPGWAGYLPRAKVTEFGCGTRYTVMAKNCYKDFLEITERAKKAHLKPYEEIYGVSSTKTSAPSPKVLQHEELLPKYPDFSIPDGSCPALGRPLREDPKTPLTCGCAQRPSIPCSGKMYLEPLSSAKYAEG
+>DECOY_sp|Q8WW14|CJ082_HUMAN Uncharacterized protein C10orf82 OS=Homo sapiens OX=9606 GN=C10orf82 PE=2 SV=3
+GEAYKASSLPELYMKGSCPISPRQACGCTLPTKPDERLPRGLAPCSGDPISFDPYKPLLEEHQLVKPSPASTKTSSVGYIEEYPKLHAKKARETIELFDKYCNKAMVTYRTGCGFETVKARPLYGAWGPIPPEQLPKKVYKCELILPHYQLNYQHLAQLVTEESNVPKLKPATAVACCLERLQEKYRQTKEQFTKVCHNMDDEKSMGQCSLFPVFGSYGPTIPLNRMFTKSPEM
+>sp|Q8TEF2|CJ105_HUMAN Uncharacterized protein C10orf105 OS=Homo sapiens OX=9606 GN=C10orf105 PE=2 SV=3
+MSTEGPSLASSPAISPLAFLSAPVTPGTLAEATDPLPMLIALACIFLLLATCLLFMTLCKPAALDPSRRRAHECMPHHPGSPSEPQLRLWKRLGSLRLSLHSFRHGRPTVPRQPLPGPEDNRSHCDYMESTKM
+>DECOY_sp|Q8TEF2|CJ105_HUMAN Uncharacterized protein C10orf105 OS=Homo sapiens OX=9606 GN=C10orf105 PE=2 SV=3
+MKTSEMYDCHSRNDEPGPLPQRPVTPRGHRFSHLSLRLSGLRKWLRLQPESPSGPHHPMCEHARRRSPDLAAPKCLTMFLLCTALLLFICALAILMPLPDTAEALTGPTVPASLFALPSIAPSSALSPGETSM
+>sp|Q5SQS8|CJ120_HUMAN Uncharacterized protein C10orf120 OS=Homo sapiens OX=9606 GN=C10orf120 PE=2 SV=1
+MIREWKNDCQRIEKQRASDTMVQERKNEKPVRIFNTNSSFQDQAPTCCQEDLSSASPLRIWSKFYRSDPRIALGKYSPLEKEILRLGGIHTIAARRLLAYKQEEECRMLKELQLLSPDYKQAMEYKKKHSSPCAICVPLEKIWTAKVIAPLEAFKMPQREQVNVSKHIERMRLARALGNHQPLPYIERFTRSSFLSGVGLGPMAKNKARRKEDNYDTHNCDDANQDKKEEAEGKNTKRREIKMNVVFKSKEPKKCLTYHGNDRKSFLPAKKPERSIAGLTNRNLFCISEFPGDLMLMNQDFISRRDHFSDLVKTYSLEEESIWKERMRKATPYHY
+>DECOY_sp|Q5SQS8|CJ120_HUMAN Uncharacterized protein C10orf120 OS=Homo sapiens OX=9606 GN=C10orf120 PE=2 SV=1
+YHYPTAKRMREKWISEEELSYTKVLDSFHDRRSIFDQNMLMLDGPFESICFLNRNTLGAISREPKKAPLFSKRDNGHYTLCKKPEKSKFVVNMKIERRKTNKGEAEEKKDQNADDCNHTDYNDEKRRAKNKAMPGLGVGSLFSSRTFREIYPLPQHNGLARALRMREIHKSVNVQERQPMKFAELPAIVKATWIKELPVCIACPSSHKKKYEMAQKYDPSLLQLEKLMRCEEEQKYALLRRAAITHIGGLRLIEKELPSYKGLAIRPDSRYFKSWIRLPSASSLDEQCCTPAQDQFSSNTNFIRVPKENKREQVMTDSARQKEIRQCDNKWERIM
+>sp|Q8N5U0|CK042_HUMAN Uncharacterized protein C11orf42 OS=Homo sapiens OX=9606 GN=C11orf42 PE=2 SV=2
+MLVGTPNLLTLDEADATWTLIKDKVIEEHFGPNAVAVPFLSDAACYDLLGVLVKQSRPAHTRLALPGRQGRRALKPVGPLPSLLEQAGSEGAFAHCTREYSPNGRAERAYEETRMLDGQPCKIRLHMGDLRKKVAFLLLPPGQVSLQQTLPWLRSTHSIYVIYQVFSCSWLQLGLTSTAREPQLLRLLRSLPVAFSCLKFSLQSKGVLGPQKPLTKDPLPHGANWVRPNLSIMPPLAPTSAPADTTEAADVPPPVPAPPTPPPQEGPEDKPTRFSYKGRNPFWRGPQILSENWLFSPRSPPPGAQGGGPRDPDGHSMSLPLLQGLSSEFDSDD
+>DECOY_sp|Q8N5U0|CK042_HUMAN Uncharacterized protein C11orf42 OS=Homo sapiens OX=9606 GN=C11orf42 PE=2 SV=2
+DDSDFESSLGQLLPLSMSHGDPDRPGGGQAGPPPSRPSFLWNESLIQPGRWFPNRGKYSFRTPKDEPGEQPPPTPPAPVPPPVDAAETTDAPASTPALPPMISLNPRVWNAGHPLPDKTLPKQPGLVGKSQLSFKLCSFAVPLSRLLRLLQPERATSTLGLQLWSCSFVQYIVYISHTSRLWPLTQQLSVQGPPLLLFAVKKRLDGMHLRIKCPQGDLMRTEEYAREARGNPSYERTCHAFAGESGAQELLSPLPGVPKLARRGQRGPLALRTHAPRSQKVLVGLLDYCAADSLFPVAVANPGFHEEIVKDKILTWTADAEDLTLLNPTGVLM
+>sp|Q8TAV5|CK045_HUMAN Putative uncharacterized protein C11orf45 OS=Homo sapiens OX=9606 GN=C11orf45 PE=2 SV=1
+MLTRLVLSAHLSSTTSPPWTHAAISWELDNVLMPSPRIWPQVTPTGRSASVRSEGNTSSLWNFSAGQDVHAIVTRTCESVLSSAVYTHGCGCVRSATNITCQSSGQQRQAARQEEENSICKAHDSREGRLGYPLSAHQPGSGGPN
+>DECOY_sp|Q8TAV5|CK045_HUMAN Putative uncharacterized protein C11orf45 OS=Homo sapiens OX=9606 GN=C11orf45 PE=2 SV=1
+NPGGSGPQHASLPYGLRGERSDHAKCISNEEEQRAAQRQQGSSQCTINTASRVCGCGHTYVASSLVSECTRTVIAHVDQGASFNWLSSTNGESRVSASRGTPTVQPWIRPSPMLVNDLEWSIAAHTWPPSTTSSLHASLVLRTLM
+>sp|Q6IPW1|CK071_HUMAN Uncharacterized protein C11orf71 OS=Homo sapiens OX=9606 GN=C11orf71 PE=2 SV=2
+MALNNVSLSSGDQRSRVAYRSSHGDLRPRASALAMVSGDGFLVSRPEAIHLGPRQAVRPSVRAESRRVDGGGRSPREPDGRGRSRQARFSPYPIPAVEPDLLRSVLQQRLIALGGVIAARISV
+>DECOY_sp|Q6IPW1|CK071_HUMAN Uncharacterized protein C11orf71 OS=Homo sapiens OX=9606 GN=C11orf71 PE=2 SV=2
+VSIRAAIVGGLAILRQQLVSRLLDPEVAPIPYPSFRAQRSRGRGDPERPSRGGGDVRRSEARVSPRVAQRPGLHIAEPRSVLFGDGSVMALASARPRLDGHSSRYAVRSRQDGSSLSVNNLAM
+>sp|Q6PI97|CK088_HUMAN UPF0722 protein C11orf88 OS=Homo sapiens OX=9606 GN=C11orf88 PE=2 SV=2
+METGPSEEPSGRKESQEMCPPGLLVFAGSSEQDANLAKQFWISASMYPPSESQLVLRRDSSQRLPVARPRRSRGSENSHSSQSFHLASNKNRDIFAEALKIQESEEKVKYLQKAKTREEILQLLRKQREERISKELISLPYKPKAKEHKAKKVVSESDKEDQEEVKTLD
+>DECOY_sp|Q6PI97|CK088_HUMAN UPF0722 protein C11orf88 OS=Homo sapiens OX=9606 GN=C11orf88 PE=2 SV=2
+DLTKVEEQDEKDSESVVKKAKHEKAKPKYPLSILEKSIREERQKRLLQLIEERTKAKQLYKVKEESEQIKLAEAFIDRNKNSALHFSQSSHSNESGRSRRPRAVPLRQSSDRRLVLQSESPPYMSASIWFQKALNADQESSGAFVLLGPPCMEQSEKRGSPEESPGTEM
+>sp|C9JLR9|CK095_HUMAN Uncharacterized protein C11orf95 OS=Homo sapiens OX=9606 GN=C11orf95 PE=1 SV=1
+MEPGGDHRSRSSGGRGGPGPAVASARGRRLPPAGSSGSAEPEEDEGGQDLQLEGGALGSWGSAPLPSSRARGPASSGRKYSDHCEARASRPGKSRIPGRDHRRYYHDHWRLEYLMDFNPARHGMVCMVCGSSLATLKLSTIKRHIRQKHPYSLHWSPREKEVISNSWDAHLGLGACGEAEGLGVQGAEEEEEEEEEEEEEGAGVPACPPKGPGKAPAGGGCRRQRRGGPVAPRARRLRLSASRRAGGSRGLGARRLERRLKESLQNWFRAECLMDYDPRGNRLVCMACGRALPSLHLDDIRAHVLEVHPGSLGLSGPQRSALLQAWGGQPEALSELTQSPPGDDLAPQDLTGKSRDSASAAGAPSSQDLSPPDVKEEAGWVPERPGPAEEEEELEEGEGERAGVPGRSPRGRAHRRHPQERWRLEYLMELDGGRRGLVCGVCGGALASLKMSTIERHIRRRHPGSTRLGGPVQALIAREWSEKAAHLLALGPPRPESPQGPIPPGTAAASDEGGGDEEEEPEEEEEEWGDVPLSPGAPLERPAEEEEDEEDGQEPGGLALPPPPPPPPPPPPRSREQRRNYQPRWRGEYLMDYDGSRRGLVCMVCGGALATLKVSTIKRHILQVHPFSMDFTPEERQTILEAYEEAALRCYGHEGFGPPAPAPRDGGADLKSGAVCRA
+>DECOY_sp|C9JLR9|CK095_HUMAN Uncharacterized protein C11orf95 OS=Homo sapiens OX=9606 GN=C11orf95 PE=1 SV=1
+ARCVAGSKLDAGGDRPAPAPPGFGEHGYCRLAAEEYAELITQREEPTFDMSFPHVQLIHRKITSVKLTALAGGCVMCVLGRRSGDYDMLYEGRWRPQYNRRQERSRPPPPPPPPPPPPLALGGPEQGDEEDEEEEAPRELPAGPSLPVDGWEEEEEEPEEEEDGGGEDSAAATGPPIPGQPSEPRPPGLALLHAAKESWERAILAQVPGGLRTSGPHRRRIHREITSMKLSALAGGCVGCVLGRRGGDLEMLYELRWREQPHRRHARGRPSRGPVGAREGEGEELEEEEEAPGPREPVWGAEEKVDPPSLDQSSPAGAASASDRSKGTLDQPALDDGPPSQTLESLAEPQGGWAQLLASRQPGSLGLSGPHVELVHARIDDLHLSPLARGCAMCVLRNGRPDYDMLCEARFWNQLSEKLRRELRRAGLGRSGGARRSASLRLRRARPAVPGGRRQRRCGGGAPAKGPGKPPCAPVGAGEEEEEEEEEEEEEAGQVGLGEAEGCAGLGLHADWSNSIVEKERPSWHLSYPHKQRIHRKITSLKLTALSSGCVMCVMGHRAPNFDMLYELRWHDHYYRRHDRGPIRSKGPRSARAECHDSYKRGSSAPGRARSSPLPASGWSGLAGGELQLDQGGEDEEPEASGSSGAPPLRRGRASAVAPGPGGRGGSSRSRHDGGPEM
+>sp|Q14008|CKAP5_HUMAN Cytoskeleton-associated protein 5 OS=Homo sapiens OX=9606 GN=CKAP5 PE=1 SV=3
+MGDDSEWLKLPVDQKCEHKLWKARLSGYEEALKIFQKIKDEKSPEWSKFLGLIKKFVTDSNAVVQLKGLEAALVYVENAHVAGKTTGEVVSGVVSKVFNQPKAKAKELGIEICLMYIEIEKGEAVQEELLKGLDNKNPKIIVACIETLRKALSEFGSKIILLKPIIKVLPKLFESREKAVRDEAKLIAVEIYRWIRDALRPPLQNINSVQLKELEEEWVKLPTSAPRPTRFLRSQQELEAKLEQQQSAGGDAEGGGDDGDEVPQIDAYELLEAVEILSKLPKDFYDKIEAKKWQERKEALESVEVLIKNPKLEAGDYADLVKALKKVVGKDTNVMLVALAAKCLTGLAVGLRKKFGQYAGHVVPTILEKFKEKKPQVVQALQEAIDAIFLTTTLQNISEDVLAVMDNKNPTIKQQTSLFIARSFRHCTASTLPKSLLKPFCAALLKHINDSAPEVRDAAFEALGTALKVVGEKAVNPFLADVDKLKLDKIKECSEKVELIHGKKAGLAADKKEFKPLPGRTAASGAAGDKDTKDISAPKPGPLKKAPAAKAGGPPKKGKPAAPGGAGNTGTKNKKGLETKEIVEPELSIEVCEEKASAVLPPTCIQLLDSSNWKERLACMEEFQKAVELMDRTEMPCQALVRMLAKKPGWKETNFQVMQMKLHIVALIAQKGNFSKTSAQVVLDGLVDKIGDVKCGNNAKEAMTAIAEACMLPWTAEQVVSMAFSQKNPKNQSETLNWLSNAIKEFGFSGLNVKAFISNVKTALAATNPAVRTAAITLLGVMYLYVGPSLRMFFEDEKPALLSQIDAEFEKMQGQSPPAPTRGISKHSTSGTDEGEDGDEPDDGSNDVVDLLPRTEISDKITSELVSKIGDKNWKIRKEGLDEVAGIINDAKFIQPNIGELPTALKGRLNDSNKILVQQTLNILQQLAVAMGPNIKQHVKNLGIPIITVLGDSKNNVRAAALATVNAWAEQTGMKEWLEGEDLSEELKKENPFLRQELLGWLAEKLPTLRSTPTDLILCVPHLYSCLEDRNGDVRKKAQDALPFFMMHLGYEKMAKATGKLKPTSKDQVLAMLEKAKVNMPAKPAPPTKATSKPMGGSAPAKFQPASAPAEDCISSSTEPKPDPKKAKAPGLSSKAKSAQGKKMPSKTSLKEDEDKSGPIFIVVPNGKEQRMKDEKGLKVLKWNFTTPRDEYIEQLKTQMSSCVAKWLQDEMFHSDFQHHNKALAVMVDHLESEKEGVIGCLDLILKWLTLRFFDTNTSVLMKALEYLKLLFTLLSEEEYHLTENEASSFIPYLVVKVGEPKDVIRKDVRAILNRMCLVYPASKMFPFIMEGTKSKNSKQRAECLEELGCLVESYGMNVCQPTPGKALKEIAVHIGDRDNAVRNAALNTIVTVYNVHGDQVFKLIGNLSEKDMSMLEERIKRSAKRPSAAPIKQVEEKPQRAQNISSNANMLRKGPAEDMSSKLNQARSMSGHPEAAQMVRREFQLDLDEIENDNGTVRCEMPELVQHKLDDIFEPVLIPEPKIRAVSPHFDDMHSNTASTINFIISQVASGDINTSIQALTQIDEVLRQEDKAEAMSGHIDQFLIATFMQLRLIYNTHMADEKLEKDEIIKLYSCIIGNMISLFQIESLAREASTGVLKDLMHGLITLMLDSRIEDLEEGQQVIRSVNLLVVKVLEKSDQTNILSALLVLLQDSLLATASSPKFSELVMKCLWRMVRLLPDTINSINLDRILLDIHIFMKVFPKEKLKQCKSEFPIRTLKTLLHTLCKLKGPKILDHLTMIDNKNESELEAHLCRMMKHSMDQTGSKSDKETEKGASRIDEKSSKAKVNDFLAEIFKKIGSKENTKEGLAELYEYKKKYSDADIEPFLKNSSQFFQSYVERGLRVIEMEREGKGRISTSTGISPQMEVTCVPTPTSTVSSIGNTNGEEVGPSVYLERLKILRQRCGLDNTKQDDRPPLTSLLSKPAVPTVASSTDMLHSKLSQLRESREQHQHSDLDSNQTHSSGTVTSSSSTANIDDLKKRLERIKSSRK
+>DECOY_sp|Q14008|CKAP5_HUMAN Cytoskeleton-associated protein 5 OS=Homo sapiens OX=9606 GN=CKAP5 PE=1 SV=3
+KRSSKIRELRKKLDDINATSSSSTVTGSSHTQNSDLDSHQHQERSERLQSLKSHLMDTSSAVTPVAPKSLLSTLPPRDDQKTNDLGCRQRLIKLRELYVSPGVEEGNTNGISSVTSTPTPVCTVEMQPSIGTSTSIRGKGEREMEIVRLGREVYSQFFQSSNKLFPEIDADSYKKKYEYLEALGEKTNEKSGIKKFIEALFDNVKAKSSKEDIRSAGKETEKDSKSGTQDMSHKMMRCLHAELESENKNDIMTLHDLIKPGKLKCLTHLLTKLTRIPFESKCQKLKEKPFVKMFIHIDLLIRDLNISNITDPLLRVMRWLCKMVLESFKPSSATALLSDQLLVLLASLINTQDSKELVKVVLLNVSRIVQQGEELDEIRSDLMLTILGHMLDKLVGTSAERALSEIQFLSIMNGIICSYLKIIEDKELKEDAMHTNYILRLQMFTAILFQDIHGSMAEAKDEQRLVEDIQTLAQISTNIDGSAVQSIIFNITSATNSHMDDFHPSVARIKPEPILVPEFIDDLKHQVLEPMECRVTGNDNEIEDLDLQFERRVMQAAEPHGSMSRAQNLKSSMDEAPGKRLMNANSSINQARQPKEEVQKIPAASPRKASRKIREELMSMDKESLNGILKFVQDGHVNYVTVITNLAANRVANDRDGIHVAIEKLAKGPTPQCVNMGYSEVLCGLEELCEARQKSNKSKTGEMIFPFMKSAPYVLCMRNLIARVDKRIVDKPEGVKVVLYPIFSSAENETLHYEEESLLTFLLKLYELAKMLVSTNTDFFRLTLWKLILDLCGIVGEKESELHDVMVALAKNHHQFDSHFMEDQLWKAVCSSMQTKLQEIYEDRPTTFNWKLVKLGKEDKMRQEKGNPVVIFIPGSKDEDEKLSTKSPMKKGQASKAKSSLGPAKAKKPDPKPETSSSICDEAPASAPQFKAPASGGMPKSTAKTPPAPKAPMNVKAKELMALVQDKSTPKLKGTAKAMKEYGLHMMFFPLADQAKKRVDGNRDELCSYLHPVCLILDTPTSRLTPLKEALWGLLEQRLFPNEKKLEESLDEGELWEKMGTQEAWANVTALAAARVNNKSDGLVTIIPIGLNKVHQKINPGMAVALQQLINLTQQVLIKNSDNLRGKLATPLEGINPQIFKADNIIGAVEDLGEKRIKWNKDGIKSVLESTIKDSIETRPLLDVVDNSGDDPEDGDEGEDTGSTSHKSIGRTPAPPSQGQMKEFEADIQSLLAPKEDEFFMRLSPGVYLYMVGLLTIAATRVAPNTAALATKVNSIFAKVNLGSFGFEKIANSLWNLTESQNKPNKQSFAMSVVQEATWPLMCAEAIATMAEKANNGCKVDGIKDVLGDLVVQASTKSFNGKQAILAVIHLKMQMVQFNTEKWGPKKALMRVLAQCPMETRDMLEVAKQFEEMCALREKWNSSDLLQICTPPLVASAKEECVEISLEPEVIEKTELGKKNKTGTNGAGGPAAPKGKKPPGGAKAAPAKKLPGPKPASIDKTDKDGAAGSAATRGPLPKFEKKDAALGAKKGHILEVKESCEKIKDLKLKDVDALFPNVAKEGVVKLATGLAEFAADRVEPASDNIHKLLAACFPKLLSKPLTSATCHRFSRAIFLSTQQKITPNKNDMVALVDESINQLTTTLFIADIAEQLAQVVQPKKEKFKELITPVVHGAYQGFKKRLGVALGTLCKAALAVLMVNTDKGVVKKLAKVLDAYDGAELKPNKILVEVSELAEKREQWKKAEIKDYFDKPLKSLIEVAELLEYADIQPVEDGDDGGGEADGGASQQQELKAELEQQSRLFRTPRPASTPLKVWEEELEKLQVSNINQLPPRLADRIWRYIEVAILKAEDRVAKERSEFLKPLVKIIPKLLIIKSGFESLAKRLTEICAVIIKPNKNDLGKLLEEQVAEGKEIEIYMLCIEIGLEKAKAKPQNFVKSVVGSVVEGTTKGAVHANEVYVLAAELGKLQVVANSDTVFKKILGLFKSWEPSKEDKIKQFIKLAEEYGSLRAKWLKHECKQDVPLKLWESDDGM
+>sp|Q8N6U2|CL033_HUMAN Putative uncharacterized protein encoded by LINC00612 OS=Homo sapiens OX=9606 GN=LINC00612 PE=5 SV=1
+MRRCRRCARWPHRCPGPQSGPRSHFSPWPRTLGPAPALCVRTPLRPGPSSALGPLSACPSVPDYTASPPAGDSARSIVAASRAAGSGSTPGAGSKDCSPPPHSHSAAAAGESGDIGPGSGAVEAPGRGARRPTRQREDGGGAVGCFGVSRHRGREAQMSHSSHCGSRSCSAAAARPSLLQLA
+>DECOY_sp|Q8N6U2|CL033_HUMAN Putative uncharacterized protein encoded by LINC00612 OS=Homo sapiens OX=9606 GN=LINC00612 PE=5 SV=1
+ALQLLSPRAAAASCSRSGCHSSHSMQAERGRHRSVGFCGVAGGGDERQRTPRRAGRGPAEVAGSGPGIDGSEGAAAASHSHPPPSCDKSGAGPTSGSGAARSAAVISRASDGAPPSATYDPVSPCASLPGLASSPGPRLPTRVCLAPAPGLTRPWPSFHSRPGSQPGPCRHPWRACRRCRRM
+>sp|Q86WS4|CL040_HUMAN Uncharacterized protein C12orf40 OS=Homo sapiens OX=9606 GN=C12orf40 PE=1 SV=3
+MNWVGGSRSRVLIKQERRKQKEYFEKHRLKSKMKSLGVLSPVKNSAVSLDILNLYMVNQISCKKKIPETVRKPTHVNMNRDIKMPLRKHNLELTMSPHCVPSKLCLDDTETNVNCQRLSSKEDLGPVQSQGMDSYSMLHPQFSKIENCSFTPSSFSVELPSNRHISKLNFTSGIAPTPQKLAYEKKQNDQRSTVNCSDSLLSKLNKSQDVFSPSHKTTRFGTLFERLNSLGNRNLLTKSPAVIMDEDCRSTDEIRQSDYITEKHSIQHIWGKNGKEVSNFLEDVNQSTPNLLSENCDSFVSQNMINVLNIDEQRIKKTFNKCDYDSMGDTCVVTSSDKNHVTDRCIRNIFTVPELTFSNSTLNKTSYPEKCQPNKKYQREYNKNERNDLSTSFENDYYPSSSERKEKFENDYQEKTPQKSIQKYPANSMGNIPSEELHSKQSWDFGLDEILMEEGGIYSLKSKRISTKKISLDSAQSSRSTSYSPRPTDSCFSSSSDLPSEDEDQISQQIEDSNRMTIKTKEKMNNFYVERMAKLSGDRIVKNDDKIHKQNENFYQFSVKNNTDQFPQLQCNSAHILQNKTNDNCVLQAARCDAGIQTESESVMEEKLDVAIQCDLISKCTCRSDVSLCNLERCSGNIKADTTGGQEIHKNN
+>DECOY_sp|Q86WS4|CL040_HUMAN Uncharacterized protein C12orf40 OS=Homo sapiens OX=9606 GN=C12orf40 PE=1 SV=3
+NNKHIEQGGTTDAKINGSCRELNCLSVDSRCTCKSILDCQIAVDLKEEMVSESETQIGADCRAAQLVCNDNTKNQLIHASNCQLQPFQDTNNKVSFQYFNENQKHIKDDNKVIRDGSLKAMREVYFNNMKEKTKITMRNSDEIQQSIQDEDESPLDSSSSFCSDTPRPSYSTSRSSQASDLSIKKTSIRKSKLSYIGGEEMLIEDLGFDWSQKSHLEESPINGMSNAPYKQISKQPTKEQYDNEFKEKRESSSPYYDNEFSTSLDNRENKNYERQYKKNPQCKEPYSTKNLTSNSFTLEPVTFINRICRDTVHNKDSSTVVCTDGMSDYDCKNFTKKIRQEDINLVNIMNQSVFSDCNESLLNPTSQNVDELFNSVEKGNKGWIHQISHKETIYDSQRIEDTSRCDEDMIVAPSKTLLNRNGLSNLREFLTGFRTTKHSPSFVDQSKNLKSLLSDSCNVTSRQDNQKKEYALKQPTPAIGSTFNLKSIHRNSPLEVSFSSPTFSCNEIKSFQPHLMSYSDMGQSQVPGLDEKSSLRQCNVNTETDDLCLKSPVCHPSMTLELNHKRLPMKIDRNMNVHTPKRVTEPIKKKCSIQNVMYLNLIDLSVASNKVPSLVGLSKMKSKLRHKEFYEKQKRREQKILVRSRSGGVWNM
+>sp|Q96M19|CL067_HUMAN Putative transmembrane protein encoded by LINC00477 OS=Homo sapiens OX=9606 GN=LINC00477 PE=5 SV=1
+MLPFFSNTTSKSVSVSSFQGSPATPLSFLFFFFLCRAGSSMTGCFTFFLDFIFFFAGVLGPSPMGMYSGASTLTGFFLLRFLGQLSMDLEGLEWLGRASPSWWIFFSSSPSHRVPWGSCASASAPRLPVPHPPSPLSKCPQHPRPRRTKGPGLRKLWGPGPPFFPS
+>DECOY_sp|Q96M19|CL067_HUMAN Putative transmembrane protein encoded by LINC00477 OS=Homo sapiens OX=9606 GN=LINC00477 PE=5 SV=1
+SPFFPPGPGWLKRLGPGKTRRPRPHQPCKSLPSPPHPVPLRPASASACSGWPVRHSPSSSFFIWWSPSARGLWELGELDMSLQGLFRLLFFGTLTSAGSYMGMPSPGLVGAFFFIFDLFFTFCGTMSSGARCLFFFFLFSLPTAPSGQFSSVSVSKSTTNSFFPLM
+>sp|Q8N812|CL076_HUMAN Uncharacterized protein C12orf76 OS=Homo sapiens OX=9606 GN=C12orf76 PE=2 SV=1
+MFQNLQGTFEKEIGKIIPFTIAFKRAEAVEPDGCVQSWRCCLPCDLGQASRFIHTTVCSAIRWRSCKGERNFAERHILPAELEEQSNHAGMGPILPAMPSVDGNHFQHPAGDCHPYGILCLQAHSASVTARQVLQ
+>DECOY_sp|Q8N812|CL076_HUMAN Uncharacterized protein C12orf76 OS=Homo sapiens OX=9606 GN=C12orf76 PE=2 SV=1
+QLVQRATVSASHAQLCLIGYPHCDGAPHQFHNGDVSPMAPLIPGMGAHNSQEELEAPLIHREAFNREGKCSRWRIASCVTTHIFRSAQGLDCPLCCRWSQVCGDPEVAEARKFAITFPIIKGIEKEFTGQLNQFM
+>sp|O75596|CLC3A_HUMAN C-type lectin domain family 3 member A OS=Homo sapiens OX=9606 GN=CLEC3A PE=1 SV=1
+MAKNGLVICILVITLLLDQTTSHTSRLKARKHSKRRVRDKDGDLKTQIEKLWTEVNALKEIQALQTVCLRGTKVHKKCYLASEGLKHFHEANEDCISKGGILVIPRNSDEINALQDYGKRSLPGVNDFWLGINDMVTEGKFVDVNGIAISFLNWDRAQPNGGKRENCVLFSQSAQGKWSDEACRSSKRYICEFTIPQ
+>DECOY_sp|O75596|CLC3A_HUMAN C-type lectin domain family 3 member A OS=Homo sapiens OX=9606 GN=CLEC3A PE=1 SV=1
+QPITFECIYRKSSRCAEDSWKGQASQSFLVCNERKGGNPQARDWNLFSIAIGNVDVFKGETVMDNIGLWFDNVGPLSRKGYDQLANIEDSNRPIVLIGGKSICDENAEHFHKLGESALYCKKHVKTGRLCVTQLAQIEKLANVETWLKEIQTKLDGDKDRVRRKSHKRAKLRSTHSTTQDLLLTIVLICIVLGNKAM
+>sp|Q8WTT0|CLC4C_HUMAN C-type lectin domain family 4 member C OS=Homo sapiens OX=9606 GN=CLEC4C PE=1 SV=1
+MVPEEEPQDREKGLWWFQLKVWSMAVVSILLLSVCFTVSSVVPHNFMYSKTVKRLSKLREYQQYHPSLTCVMEGKDIEDWSCCPTPWTSFQSSCYFISTGMQSWTKSQKNCSVMGADLVVINTREEQDFIIQNLKRNSSYFLGLSDPGGRRHWQWVDQTPYNENVTFWHSGEPNNLDERCAIINFRSSEEWGWNDIHCHVPQKSICKMKKIYI
+>DECOY_sp|Q8WTT0|CLC4C_HUMAN C-type lectin domain family 4 member C OS=Homo sapiens OX=9606 GN=CLEC4C PE=1 SV=1
+IYIKKMKCISKQPVHCHIDNWGWEESSRFNIIACREDLNNPEGSHWFTVNENYPTQDVWQWHRRGGPDSLGLFYSSNRKLNQIIFDQEERTNIVVLDAGMVSCNKQSKTWSQMGTSIFYCSSQFSTWPTPCCSWDEIDKGEMVCTLSPHYQQYERLKSLRKVTKSYMFNHPVVSSVTFCVSLLLISVVAMSWVKLQFWWLGKERDQPEEEPVM
+>sp|Q6EIG7|CLC6A_HUMAN C-type lectin domain family 6 member A OS=Homo sapiens OX=9606 GN=CLEC6A PE=1 SV=1
+MMQEQQPQSTEKRGWLSLRLWSVAGISIALLSACFIVSCVVTYHFTYGETGKRLSELHSYHSSLTCFSEGTKVPAWGCCPASWKSFGSSCYFISSEEKVWSKSEQNCVEMGAHLVVFNTEAEQNFIVQQLNESFSYFLGLSDPQGNNNWQWIDKTPYEKNVRFWHLGEPNHSAEQCASIVFWKPTGWGWNDVICETRRNSICEMNKIYL
+>DECOY_sp|Q6EIG7|CLC6A_HUMAN C-type lectin domain family 6 member A OS=Homo sapiens OX=9606 GN=CLEC6A PE=1 SV=1
+LYIKNMECISNRRTECIVDNWGWGTPKWFVISACQEASHNPEGLHWFRVNKEYPTKDIWQWNNNGQPDSLGLFYSFSENLQQVIFNQEAETNFVVLHAGMEVCNQESKSWVKEESSIFYCSSGFSKWSAPCCGWAPVKTGESFCTLSSHYSHLESLRKGTEGYTFHYTVVCSVIFCASLLAISIGAVSWLRLSLWGRKETSQPQQEQMM
+>sp|Q9BXN2|CLC7A_HUMAN C-type lectin domain family 7 member A OS=Homo sapiens OX=9606 GN=CLEC7A PE=1 SV=1
+MEYHPDLENLDEDGYTQLHFDSQSNTRIAVVSEKGSCAASPPWRLIAVILGILCLVILVIAVVLGTMAIWRSNSGSNTLENGYFLSRNKENHSQPTQSSLEDSVTPTKAVKTTGVLSSPCPPNWIIYEKSCYLFSMSLNSWDGSKRQCWQLGSNLLKIDSSNELGFIVKQVSSQPDNSFWIGLSRPQTEVPWLWEDGSTFSSNLFQIRTTATQENPSPNCVWIHVSVIYDQLCSVPSYSICEKKFSM
+>DECOY_sp|Q9BXN2|CLC7A_HUMAN C-type lectin domain family 7 member A OS=Homo sapiens OX=9606 GN=CLEC7A PE=1 SV=1
+MSFKKECISYSPVSCLQDYIVSVHIWVCNPSPNEQTATTRIQFLNSSFTSGDEWLWPVETQPRSLGIWFSNDPQSSVQKVIFGLENSSDIKLLNSGLQWCQRKSGDWSNLSMSFLYCSKEYIIWNPPCPSSLVGTTKVAKTPTVSDELSSQTPQSHNEKNRSLFYGNELTNSGSNSRWIAMTGLVVAIVLIVLCLIGLIVAILRWPPSAACSGKESVVAIRTNSQSDFHLQTYGDEDLNELDPHYEM
+>sp|Q8IZS7|CLCL1_HUMAN C-type lectin-like domain family 1 OS=Homo sapiens OX=9606 GN=CLECL1 PE=2 SV=1
+MVSNFFHVIQVFEKSATLISKTEHIGFVIYSWRKSTTHLGSRRKFAISIYLSEVSLQKYDCPFSGTSFVVFSLFLICAMAGDVVYADIKTVRTSPLELAFPLQRSVSFNFSTVHKSCPAKDWKVHKGKCYWIAETKKSWNKSQNDCAINNSYLMVIQDITAMVRFNI
+>DECOY_sp|Q8IZS7|CLCL1_HUMAN C-type lectin-like domain family 1 OS=Homo sapiens OX=9606 GN=CLECL1 PE=2 SV=1
+INFRVMATIDQIVMLYSNNIACDNQSKNWSKKTEAIWYCKGKHVKWDKAPCSKHVTSFNFSVSRQLPFALELPSTRVTKIDAYVVDGAMACILFLSFVVFSTGSFPCDYKQLSVESLYISIAFKRRSGLHTTSKRWSYIVFGIHETKSILTASKEFVQIVHFFNSVM
+>sp|P35523|CLCN1_HUMAN Chloride channel protein 1 OS=Homo sapiens OX=9606 GN=CLCN1 PE=1 SV=3
+MEQSRSQQRGGEQSWWGSDPQYQYMPFEHCTSYGLPSENGGLQHRLRKDAGPRHNVHPTQIYGHHKEQFSDREQDIGMPKKTGSSSTVDSKDEDHYSKCQDCIHRLGQVVRRKLGEDGIFLVLLGLLMALVSWSMDYVSAKSLQAYKWSYAQMQPSLPLQFLVWVTFPLVLILFSALFCHLISPQAVGSGIPEMKTILRGVVLKEYLTMKAFVAKVVALTAGLGSGIPVGKEGPFVHIASICAAVLSKFMSVFCGVYEQPYYYSDILTVGCAVGVGCCFGTPLGGVLFSIEVTSTYFAVRNYWRGFFAATFSAFVFRVLAVWNKDAVTITALFRTNFRMDFPFDLKELPAFAAIGICCGLLGAVFVYLHRQVMLGVRKHKALSQFLAKHRLLYPGIVTFVIASFTFPPGMGQFMAGELMPREAISTLFDNNTWVKHAGDPESLGQSAVWIHPRVNVVIIIFLFFVMKFWMSIVATTMPIPCGGFMPVFVLGAAFGRLVGEIMAMLFPDGILFDDIIYKILPGGYAVIGAAALTGAVSHTVSTAVICFELTGQIAHILPMMVAVILANMVAQSLQPSLYDSIIQVKKLPYLPDLGWNQLSKYTIFVEDIMVRDVKFVSASYTYGELRTLLQTTTVKTLPLVDSKDSMILLGSVERSELQALLQRHLCPERRLRAAQEMARKLSELPYDGKARLAGEGLPGAPPGRPESFAFVDEDEDEDLSGKSELPPSLALHPSTTAPLSPEEPNGPLPGHKQQPEAPEPAGQRPSIFQSLLHCLLGRARPTKKKTTQDSTDLVDNMSPEEIEAWEQEQLSQPVCFDSCCIDQSPFQLVEQTTLHKTHTLFSLLGLHLAYVTSMGKLRGVLALEELQKAIEGHTKSGVQLRPPLASFRNTTSTRKSTGAPPSSAENWNLPEDRPGATGTGDVIAASPETPVPSPSPEPPLSLAPGKVEGELEELELVESPGLEEELADILQGPSLRSTDEEDEDELIL
+>DECOY_sp|P35523|CLCN1_HUMAN Chloride channel protein 1 OS=Homo sapiens OX=9606 GN=CLCN1 PE=1 SV=3
+LILEDEDEEDTSRLSPGQLIDALEEELGPSEVLELEELEGEVKGPALSLPPEPSPSPVPTEPSAAIVDGTGTAGPRDEPLNWNEASSPPAGTSKRTSTTNRFSALPPRLQVGSKTHGEIAKQLEELALVGRLKGMSTVYALHLGLLSFLTHTKHLTTQEVLQFPSQDICCSDFCVPQSLQEQEWAEIEEPSMNDVLDTSDQTTKKKTPRARGLLCHLLSQFISPRQGAPEPAEPQQKHGPLPGNPEEPSLPATTSPHLALSPPLESKGSLDEDEDEDVFAFSEPRGPPAGPLGEGALRAKGDYPLESLKRAMEQAARLRREPCLHRQLLAQLESREVSGLLIMSDKSDVLPLTKVTTTQLLTRLEGYTYSASVFKVDRVMIDEVFITYKSLQNWGLDPLYPLKKVQIISDYLSPQLSQAVMNALIVAVMMPLIHAIQGTLEFCIVATSVTHSVAGTLAAAGIVAYGGPLIKYIIDDFLIGDPFLMAMIEGVLRGFAAGLVFVPMFGGCPIPMTTAVISMWFKMVFFLFIIIVVNVRPHIWVASQGLSEPDGAHKVWTNNDFLTSIAERPMLEGAMFQGMGPPFTFSAIVFTVIGPYLLRHKALFQSLAKHKRVGLMVQRHLYVFVAGLLGCCIGIAAFAPLEKLDFPFDMRFNTRFLATITVADKNWVALVRFVFASFTAAFFGRWYNRVAFYTSTVEISFLVGGLPTGFCCGVGVACGVTLIDSYYYPQEYVGCFVSMFKSLVAACISAIHVFPGEKGVPIGSGLGATLAVVKAVFAKMTLYEKLVVGRLITKMEPIGSGVAQPSILHCFLASFLILVLPFTVWVLFQLPLSPQMQAYSWKYAQLSKASVYDMSWSVLAMLLGLLVLFIGDEGLKRRVVQGLRHICDQCKSYHDEDKSDVTSSSGTKKPMGIDQERDSFQEKHHGYIQTPHVNHRPGADKRLRHQLGGNESPLGYSTCHEFPMYQYQPDSGWWSQEGGRQQSRSQEM
+>sp|P51797|CLCN6_HUMAN Chloride transport protein 6 OS=Homo sapiens OX=9606 GN=CLCN6 PE=1 SV=2
+MAGCRGSLCCCCRWCCCCGERETRTPEELTILGETQEEEDEILPRKDYESLDYDRCINDPYLEVLETMDNKKGRRYEAVKWMVVFAIGVCTGLVGLFVDFFVRLFTQLKFGVVQTSVEECSQKGCLALSLLELLGFNLTFVFLASLLVLIEPVAAGSGIPEVKCYLNGVKVPGIVRLRTLLCKVLGVLFSVAGGLFVEKEGPMIHSGSVVGAGLPQFQSISLRKIQFNFPYFRSDRDKRDFVSAGAAAGVAAAFGAPIGGTLFSLEEGSSFWNQGLTWKVLFCSMSATFTLNFFRSGIQFGSWGSFQLPGLLNFGEFKCSDSDKKCHLWTAMDLGFFVVMGVIGGLLGATFNCLNKRLAKYRMRNVHPKPKLVRVLESLLVSLVTTVVVFVASMVLGECRQMSSSSQIGNDSFQLQVTEDVNSSIKTFFCPNDTYNDMATLFFNPQESAILQLFHQDGTFSPVTLALFFVLYFLLACWTYGISVPSGLFVPSLLCGAAFGRLVANVLKSYIGLGHIYSGTFALIGAAAFLGGVVRMTISLTVILIESTNEITYGLPIMVTLMVAKWTGDFFNKGIYDIHVGLRGVPLLEWETEVEMDKLRASDIMEPNLTYVYPHTRIQSLVSILRTTVHHAFPVVTENRGNEKEFMKGNQLISNNIKFKKSSILTRAGEQRKRSQSMKSYPSSELRNMCDEHIASEEPAEKEDLLQQMLERRYTPYPNLYPDQSPSEDWTMEERFRPLTFHGLILRSQLVTLLVRGVCYSESQSSASQPRLSYAEMAEDYPRYPDIHDLDLTLLNPRMIVDVTPYMNPSPFTVSPNTHVSQVFNLFRTMGLRHLPVVNAVGEIVGIITRHNLTYEFLQARLRQHYQTI
+>DECOY_sp|P51797|CLCN6_HUMAN Chloride transport protein 6 OS=Homo sapiens OX=9606 GN=CLCN6 PE=1 SV=2
+ITQYHQRLRAQLFEYTLNHRTIIGVIEGVANVVPLHRLGMTRFLNFVQSVHTNPSVTFPSPNMYPTVDVIMRPNLLTLDLDHIDPYRPYDEAMEAYSLRPQSASSQSESYCVGRVLLTVLQSRLILGHFTLPRFREEMTWDESPSQDPYLNPYPTYRRELMQQLLDEKEAPEESAIHEDCMNRLESSPYSKMSQSRKRQEGARTLISSKKFKINNSILQNGKMFEKENGRNETVVPFAHHVTTRLISVLSQIRTHPYVYTLNPEMIDSARLKDMEVETEWELLPVGRLGVHIDYIGKNFFDGTWKAVMLTVMIPLGYTIENTSEILIVTLSITMRVVGGLFAAAGILAFTGSYIHGLGIYSKLVNAVLRGFAAGCLLSPVFLGSPVSIGYTWCALLFYLVFFLALTVPSFTGDQHFLQLIASEQPNFFLTAMDNYTDNPCFFTKISSNVDETVQLQFSDNGIQSSSSMQRCEGLVMSAVFVVVTTVLSVLLSELVRVLKPKPHVNRMRYKALRKNLCNFTAGLLGGIVGMVVFFGLDMATWLHCKKDSDSCKFEGFNLLGPLQFSGWSGFQIGSRFFNLTFTASMSCFLVKWTLGQNWFSSGEELSFLTGGIPAGFAAAVGAAAGASVFDRKDRDSRFYPFNFQIKRLSISQFQPLGAGVVSGSHIMPGEKEVFLGGAVSFLVGLVKCLLTRLRVIGPVKVGNLYCKVEPIGSGAAVPEILVLLSALFVFTLNFGLLELLSLALCGKQSCEEVSTQVVGFKLQTFLRVFFDVFLGVLGTCVGIAFVVMWKVAEYRRGKKNDMTELVELYPDNICRDYDLSEYDKRPLIEDEEEQTEGLITLEEPTRTEREGCCCCWRCCCCLSGRCGAM
+>sp|P51798|CLCN7_HUMAN H(+)/Cl(-) exchange transporter 7 OS=Homo sapiens OX=9606 GN=CLCN7 PE=1 SV=2
+MANVSKKVSWSGRDRDDEEAAPLLRRTARPGGGTPLLNGAGPGAARQSPRSALFRVGHMSSVELDDELLDPDMDPPHPFPKEIPHNEKLLSLKYESLDYDNSENQLFLEEERRINHTAFRTVEIKRWVICALIGILTGLVACFIDIVVENLAGLKYRVIKGNIDKFTEKGGLSFSLLLWATLNAAFVLVGSVIVAFIEPVAAGSGIPQIKCFLNGVKIPHVVRLKTLVIKVSGVILSVVGGLAVGKEGPMIHSGSVIAAGISQGRSTSLKRDFKIFEYFRRDTEKRDFVSAGAAAGVSAAFGAPVGGVLFSLEEGASFWNQFLTWRIFFASMISTFTLNFVLSIYHGNMWDLSSPGLINFGRFDSEKMAYTIHEIPVFIAMGVVGGVLGAVFNALNYWLTMFRIRYIHRPCLQVIEAVLVAAVTATVAFVLIYSSRDCQPLQGGSMSYPLQLFCADGEYNSMAAAFFNTPEKSVVSLFHDPPGSYNPLTLGLFTLVYFFLACWTYGLTVSAGVFIPSLLIGAAWGRLFGISLSYLTGAAIWADPGKYALMGAAAQLGGIVRMTLSLTVIMMEATSNVTYGFPIMLVLMTAKIVGDVFIEGLYDMHIQLQSVPFLHWEAPVTSHSLTAREVMSTPVTCLRRREKVGVIVDVLSDTASNHNGFPVVEHADDTQPARLQGLILRSQLIVLLKHKVFVERSNLGLVQRRLRLKDFRDAYPRFPPIQSIHVSQDERECTMDLSEFMNPSPYTVPQEASLPRVFKLFRALGLRHLVVVDNRNQVVGLVTRKDLARYRLGKRGLEELSLAQT
+>DECOY_sp|P51798|CLCN7_HUMAN H(+)/Cl(-) exchange transporter 7 OS=Homo sapiens OX=9606 GN=CLCN7 PE=1 SV=2
+TQALSLEELGRKGLRYRALDKRTVLGVVQNRNDVVVLHRLGLARFLKFVRPLSAEQPVTYPSPNMFESLDMTCEREDQSVHISQIPPFRPYADRFDKLRLRRQVLGLNSREVFVKHKLLVILQSRLILGQLRAPQTDDAHEVVPFGNHNSATDSLVDVIVGVKERRRLCTVPTSMVERATLSHSTVPAEWHLFPVSQLQIHMDYLGEIFVDGVIKATMLVLMIPFGYTVNSTAEMMIVTLSLTMRVIGGLQAAAGMLAYKGPDAWIAAGTLYSLSIGFLRGWAAGILLSPIFVGASVTLGYTWCALFFYVLTFLGLTLPNYSGPPDHFLSVVSKEPTNFFAAAMSNYEGDACFLQLPYSMSGGQLPQCDRSSYILVFAVTATVAAVLVAEIVQLCPRHIYRIRFMTLWYNLANFVAGLVGGVVGMAIFVPIEHITYAMKESDFRGFNILGPSSLDWMNGHYISLVFNLTFTSIMSAFFIRWTLFQNWFSAGEELSFLVGGVPAGFAASVGAAAGASVFDRKETDRRFYEFIKFDRKLSTSRGQSIGAAIVSGSHIMPGEKGVALGGVVSLIVGSVKIVLTKLRVVHPIKVGNLFCKIQPIGSGAAVPEIFAVIVSGVLVFAANLTAWLLLSFSLGGKETFKDINGKIVRYKLGALNEVVIDIFCAVLGTLIGILACIVWRKIEVTRFATHNIRREEELFLQNESNDYDLSEYKLSLLKENHPIEKPFPHPPDMDPDLLEDDLEVSSMHGVRFLASRPSQRAAGPGAGNLLPTGGGPRATRRLLPAAEEDDRDRGSWSVKKSVNAM
+>sp|P56750|CLD17_HUMAN Claudin-17 OS=Homo sapiens OX=9606 GN=CLDN17 PE=1 SV=1
+MAFYPLQIAGLVLGFLGMVGTLATTLLPQWRVSAFVGSNIIVFERLWEGLWMNCIRQARVRLQCKFYSSLLALPPALETARALMCVAVALSLIALLIGICGMKQVQCTGSNERAKAYLLGTSGVLFILTGIFVLIPVSWTANIIIRDFYNPAIHIGQKRELGAALFLGWASAAVLFIGGGLLCGFCCCNRKKQGYRYPVPGYRVPHTDKRRNTTMLSKTSTSYV
+>DECOY_sp|P56750|CLD17_HUMAN Claudin-17 OS=Homo sapiens OX=9606 GN=CLDN17 PE=1 SV=1
+VYSTSTKSLMTTNRRKDTHPVRYGPVPYRYGQKKRNCCCFGCLLGGGIFLVAASAWGLFLAAGLERKQGIHIAPNYFDRIIINATWSVPILVFIGTLIFLVGSTGLLYAKARENSGTCQVQKMGCIGILLAILSLAVAVCMLARATELAPPLALLSSYFKCQLRVRAQRICNMWLGEWLREFVIINSGVFASVRWQPLLTTALTGVMGLFGLVLGAIQLPYFAM
+>sp|Q8N7P3|CLD22_HUMAN Claudin-22 OS=Homo sapiens OX=9606 GN=CLDN22 PE=2 SV=3
+MALVFRTVAQLAGVSLSLLGWVLSCLTNYLPHWKNLNLDLNEMENWTMGLWQTCVIQEEVGMQCKDFDSFLALPAELRVSRILMFLSNGLGFLGLLVSGFGLDCLRIGESQRDLKRRLLILGGILSWASGVTALVPVSWVAHKTVQEFWDENVPDFVPRWEFGEALFLGWFAGLSLLLGGCLLHCAACSSHAPLASGHYAVAQTQDHHQELETRNTNLKH
+>DECOY_sp|Q8N7P3|CLD22_HUMAN Claudin-22 OS=Homo sapiens OX=9606 GN=CLDN22 PE=2 SV=3
+HKLNTNRTELEQHHDQTQAVAYHGSALPAHSSCAACHLLCGGLLLSLGAFWGLFLAEGFEWRPVFDPVNEDWFEQVTKHAVWSVPVLATVGSAWSLIGGLILLRRKLDRQSEGIRLCDLGFGSVLLGLFGLGNSLFMLIRSVRLEAPLALFSDFDKCQMGVEEQIVCTQWLGMTWNEMENLDLNLNKWHPLYNTLCSLVWGLLSLSVGALQAVTRFVLAM
+>sp|A6NM45|CLD24_HUMAN Putative claudin-24 OS=Homo sapiens OX=9606 GN=CLDN24 PE=5 SV=2
+MALIFRTAMQSVGLLLSLLGWILSIITTYLPHWKNLNLDLNEMENWTMGLWQTCVIQEEVGMQCKDFDSFLALPAELRVSRILMFLSNGLGFLGLLVSGFGLDCLRIGESQRDLKRRLLILGGILSWASGITALVPVSWVAHKTVQEFWDENVPDFVPRWEFGEALFLGWFAGLSLLLGGCLLNCAACSSHAPLALGHYAVAQMQTQCPYLEDGTADPQV
+>DECOY_sp|A6NM45|CLD24_HUMAN Putative claudin-24 OS=Homo sapiens OX=9606 GN=CLDN24 PE=5 SV=2
+VQPDATGDELYPCQTQMQAVAYHGLALPAHSSCAACNLLCGGLLLSLGAFWGLFLAEGFEWRPVFDPVNEDWFEQVTKHAVWSVPVLATIGSAWSLIGGLILLRRKLDRQSEGIRLCDLGFGSVLLGLFGLGNSLFMLIRSVRLEAPLALFSDFDKCQMGVEEQIVCTQWLGMTWNEMENLDLNLNKWHPLYTTIISLIWGLLSLLLGVSQMATRFILAM
+>sp|P57739|CLD2_HUMAN Claudin-2 OS=Homo sapiens OX=9606 GN=CLDN2 PE=1 SV=1
+MASLGLQLVGYILGLLGLLGTLVAMLLPSWKTSSYVGASIVTAVGFSKGLWMECATHSTGITQCDIYSTLLGLPADIQAAQAMMVTSSAISSLACIISVVGMRCTVFCQESRAKDRVAVAGGVFFILGGLLGFIPVAWNLHGILRDFYSPLVPDSMKFEIGEALYLGIISSLFSLIAGIILCFSCSSQRNRSNYYDAYQAQPLATRSSPRPGQPPKVKSEFNSYSLTGYV
+>DECOY_sp|P57739|CLD2_HUMAN Claudin-2 OS=Homo sapiens OX=9606 GN=CLDN2 PE=1 SV=1
+VYGTLSYSNFESKVKPPQGPRPSSRTALPQAQYADYYNSRNRQSSCSFCLIIGAILSFLSSIIGLYLAEGIEFKMSDPVLPSYFDRLIGHLNWAVPIFGLLGGLIFFVGGAVAVRDKARSEQCFVTCRMGVVSIICALSSIASSTVMMAQAAQIDAPLGLLTSYIDCQTIGTSHTACEMWLGKSFGVATVISAGVYSSTKWSPLLMAVLTGLLGLLGLIYGVLQLGLSAM
+>sp|P56748|CLD8_HUMAN Claudin-8 OS=Homo sapiens OX=9606 GN=CLDN8 PE=1 SV=1
+MATHALEIAGLFLGGVGMVGTVAVTVMPQWRVSAFIENNIVVFENFWEGLWMNCVRQANIRMQCKIYDSLLALSPDLQAARGLMCAASVMSFLAFMMAILGMKCTRCTGDNEKVKAHILLTAGIIFIITGMVVLIPVSWVANAIIRDFYNSIVNVAQKRELGEALYLGWTTALVLIVGGALFCCVFCCNEKSSSYRYSIPSHRTTQKSYHTGKKSPSVYSRSQYV
+>DECOY_sp|P56748|CLD8_HUMAN Claudin-8 OS=Homo sapiens OX=9606 GN=CLDN8 PE=1 SV=1
+VYQSRSYVSPSKKGTHYSKQTTRHSPISYRYSSSKENCCFVCCFLAGGVILVLATTWGLYLAEGLERKQAVNVISNYFDRIIANAVWSVPILVVMGTIIFIIGATLLIHAKVKENDGTCRTCKMGLIAMMFALFSMVSAACMLGRAAQLDPSLALLSDYIKCQMRINAQRVCNMWLGEWFNEFVVINNEIFASVRWQPMVTVAVTGVMGVGGLFLGAIELAHTAM
+>sp|Q96NY7|CLIC6_HUMAN Chloride intracellular channel protein 6 OS=Homo sapiens OX=9606 GN=CLIC6 PE=2 SV=3
+MAEAAEPEGVAPGPQGPPEVPAPLAERPGEPGAAGGEAEGPEGSEGAEEAPRGAAAVKEAGGGGPDRGPEAEARGTRGAHGETEAEEGAPEGAEVPQGGEETSGAQQVEGASPGRGAQGEPRGEAQREPEDSAAPERQEEAEQRPEVPEGSASGEAGDSVDAEGPLGDNIEAEGPAGDSVEAEGRVGDSVDAEGPAGDSVDAEGPLGDNIQAEGPAGDSVDAEGRVGDSVDAEGPAGDSVDAEGRVGDSVEAGDPAGDGVEAGVPAGDSVEAEGPAGDSMDAEGPAGRARRVSGEPQQSGDGSLSPQAEAIEVAAGESAGRSPGELAWDAAEEAEVPGVKGSEEAAPGDARADAGEDRVGDGPQQEPGEDEERRERSPEGPREEEAAGGEEESPDSSPHGEASRGAAEPEAQLSNHLAEEGPAEGSGEAARVNGRREDGEASEPRALGQEHDITLFVKVKLTALGCSRIAIKKYLRAGYDGESIGNCPFSQRLFMILWLKGVIFNVTTVDLKRKPADLQNLAPGTNPPFMTFDGEVKTDVNKIEEFLEEKLAPPRYPKLGTQHPESNSAGNDVFAKFSAFIKNTKKDANEIHEKNLLKALRKLDNYLNSPLPDEIDAYSTEDVTVSGRKFLDGDELTLADCNLLPKLHIIKIVAKKYRDFEFPSEMTGIWRYLNNAYARDEFTNTCPADQEIEHAYSDVAKRMK
+>DECOY_sp|Q96NY7|CLIC6_HUMAN Chloride intracellular channel protein 6 OS=Homo sapiens OX=9606 GN=CLIC6 PE=2 SV=3
+KMRKAVDSYAHEIEQDAPCTNTFEDRAYANNLYRWIGTMESPFEFDRYKKAVIKIIHLKPLLNCDALTLEDGDLFKRGSVTVDETSYADIEDPLPSNLYNDLKRLAKLLNKEHIENADKKTNKIFASFKAFVDNGASNSEPHQTGLKPYRPPALKEELFEEIKNVDTKVEGDFTMFPPNTGPALNQLDAPKRKLDVTTVNFIVGKLWLIMFLRQSFPCNGISEGDYGARLYKKIAIRSCGLATLKVKVFLTIDHEQGLARPESAEGDERRGNVRAAEGSGEAPGEEALHNSLQAEPEAAGRSAEGHPSSDPSEEEGGAAEEERPGEPSRERREEDEGPEQQPGDGVRDEGADARADGPAAEESGKVGPVEAEEAADWALEGPSRGASEGAAVEIAEAQPSLSGDGSQQPEGSVRRARGAPGEADMSDGAPGEAEVSDGAPVGAEVGDGAPDGAEVSDGVRGEADVSDGAPGEADVSDGVRGEADVSDGAPGEAQINDGLPGEADVSDGAPGEADVSDGVRGEAEVSDGAPGEAEINDGLPGEADVSDGAEGSASGEPVEPRQEAEEQREPAASDEPERQAEGRPEGQAGRGPSAGEVQQAGSTEEGGQPVEAGEPAGEEAETEGHAGRTGRAEAEPGRDPGGGGAEKVAAAGRPAEEAGESGEPGEAEGGAAGPEGPREALPAPVEPPGQPGPAVGEPEAAEAM
+>sp|Q6UXZ3|CLM4_HUMAN CMRF35-like molecule 4 OS=Homo sapiens OX=9606 GN=CD300LD PE=1 SV=1
+MWLSPSLLLLILPGYSIAAKITGPTTVNGSEQGSLTVQCAYGSGWETYLKWRCQGADWNYCNILVKTNGSEQEVKKNRVSIRDNQKNHVFTVTMENLKRDDADSYWCGTERPGIDLGVKVQVTINPGTQTAVSEWTTTTASLAFTAAATQKTSSPLTRSPLKSTHFLFLFLLELPLLLSMLGTVLWVNRPQRRS
+>DECOY_sp|Q6UXZ3|CLM4_HUMAN CMRF35-like molecule 4 OS=Homo sapiens OX=9606 GN=CD300LD PE=1 SV=1
+SRRQPRNVWLVTGLMSLLLPLELLFLFLFHTSKLPSRTLPSSTKQTAAATFALSATTTTWESVATQTGPNITVQVKVGLDIGPRETGCWYSDADDRKLNEMTVTFVHNKQNDRISVRNKKVEQESGNTKVLINCYNWDAGQCRWKLYTEWGSGYACQVTLSGQESGNVTTPGTIKAAISYGPLILLLLSPSLWM
+>sp|Q5SZQ8|CELF3_HUMAN CUGBP Elav-like family member 3 OS=Homo sapiens OX=9606 GN=CELF3 PE=1 SV=1
+MKEPDAIKLFVGQIPRHLEEKDLKPIFEQFGRIFELTVIKDKYTGLHKGCAFLTYCARDSALKAQSALHEQKTLPGMNRPIQVKPADSESRGEDRKLFVGMLGKQQTDEDVRKMFEPFGTIDECTVLRGPDGTSKGCAFVKFQTHAEAQAAINTLHSSRTLPGASSSLVVKFADTEKERGLRRMQQVATQLGMFSPIALQFGAYSAYTQALMQQQAALVAAHSAYLSPMATMAAVQMQHMAAINANGLIATPITPSSGTSTPPAIAATPVSAIPAALGVNGYSPVPTQPTGQPAPDALYPNGVHPYPAQSPAAPVDPLQQAYAGMQHYTAAYPAAYSLVAPAFPQPPALVAQQPPPPPQQQQQQQQQQQQQQQREGPDGCNIFIYHLPQEFTDSEILQMFVPFGHVISAKVFVDRATNQSKCFGFVSFDNPASAQAAIQAMNGFQIGMKRLKVQLKRPKDANRPY
+>DECOY_sp|Q5SZQ8|CELF3_HUMAN CUGBP Elav-like family member 3 OS=Homo sapiens OX=9606 GN=CELF3 PE=1 SV=1
+YPRNADKPRKLQVKLRKMGIQFGNMAQIAAQASAPNDFSVFGFCKSQNTARDVFVKASIVHGFPVFMQLIESDTFEQPLHYIFINCGDPGERQQQQQQQQQQQQQQQPPPPPQQAVLAPPQPFAPAVLSYAAPYAATYHQMGAYAQQLPDVPAAPSQAPYPHVGNPYLADPAPQGTPQTPVPSYGNVGLAAPIASVPTAAIAPPTSTGSSPTIPTAILGNANIAAMHQMQVAAMTAMPSLYASHAAVLAAQQQMLAQTYASYAGFQLAIPSFMGLQTAVQQMRRLGREKETDAFKVVLSSSAGPLTRSSHLTNIAAQAEAHTQFKVFACGKSTGDPGRLVTCEDITGFPEFMKRVDEDTQQKGLMGVFLKRDEGRSESDAPKVQIPRNMGPLTKQEHLASQAKLASDRACYTLFACGKHLGTYKDKIVTLEFIRGFQEFIPKLDKEELHRPIQGVFLKIADPEKM
+>sp|Q9NYQ6|CELR1_HUMAN Cadherin EGF LAG seven-pass G-type receptor 1 OS=Homo sapiens OX=9606 GN=CELSR1 PE=1 SV=1
+MAPPPPPVLPVLLLLAAAAALPAMGLRAAAWEPRVPGGTRAFALRPGCTYAVGAACTPRAPRELLDVGRDGRLAGRRRVSGAGRPLPLQVRLVARSAPTALSRRLRARTHLPGCGARARLCGTGARLCGALCFPVPGGCAAAQHSALAAPTTLPACRCPPRPRPRCPGRPICLPPGGSVRLRLLCALRRAAGAVRVGLALEAATAGTPSASPSPSPPLPPNLPEARAGPARRARRGTSGRGSLKFPMPNYQVALFENEPAGTLILQLHAHYTIEGEEERVSYYMEGLFDERSRGYFRIDSATGAVSTDSVLDRETKETHVLRVKAVDYSTPPRSATTYITVLVKDTNDHSPVFEQSEYRERVRENLEVGYEVLTIRASDRDSPINANLRYRVLGGAWDVFQLNESSGVVSTRAVLDREEAAEYQLLVEANDQGRNPGPLSATATVYIEVEDENDNYPQFSEQNYVVQVPEDVGLNTAVLRVQATDRDQGQNAAIHYSILSGNVAGQFYLHSLSGILDVINPLDFEDVQKYSLSIKAQDGGRPPLINSSGVVSVQVLDVNDNEPIFVSSPFQATVLENVPLGYPVVHIQAVDADSGENARLHYRLVDTASTFLGGGSAGPKNPAPTPDFPFQIHNSSGWITVCAELDREEVEHYSFGVEAVDHGSPPMSSSTSVSITVLDVNDNDPVFTQPTYELRLNEDAAVGSSVLTLQARDRDANSVITYQLTGGNTRNRFALSSQRGGGLITLALPLDYKQEQQYVLAVTASDGTRSHTAHVLINVTDANTHRPVFQSSHYTVSVSEDRPVGTSIATLSANDEDTGENARITYVIQDPVPQFRIDPDSGTMYTMMELDYENQVAYTLTIMAQDNGIPQKSDTTTLEILILDANDNAPQFLWDFYQGSIFEDAPPSTSILQVSATDRDSGPNGRLLYTFQGGDDGDGDFYIEPTSGVIRTQRRLDRENVAVYNLWALAVDRGSPTPLSASVEIQVTILDINDNAPMFEKDELELFVEENNPVGSVVAKIRANDPDEGPNAQIMYQIVEGDMRHFFQLDLLNGDLRAMVELDFEVRREYVLVVQATSAPLVSRATVHILLVDQNDNPPVLPDFQILFNNYVTNKSNSFPTGVIGCIPAHDPDVSDSLNYTFVQGNELRLLLLDPATGELQLSRDLDNNRPLEALMEVSVSDGIHSVTAFCTLRVTIITDDMLTNSITVRLENMSQEKFLSPLLALFVEGVAAVLSTTKDDVFVFNVQNDTDVSSNILNVTFSALLPGGVRGQFFPSEDLQEQIYLNRTLLTTISTQRVLPFDDNICLREPCENYMKCVSVLRFDSSAPFLSSTTVLFRPIHPINGLRCRCPPGFTGDYCETEIDLCYSDPCGANGRCRSREGGYTCECFEDFTGEHCEVDARSGRCANGVCKNGGTCVNLLIGGFHCVCPPGEYERPYCEVTTRSFPPQSFVTFRGLRQRFHFTISLTFATQERNGLLLYNGRFNEKHDFIALEIVDEQVQLTFSAGETTTTVAPKVPSGVSDGRWHSVQVQYYNKPNIGHLGLPHGPSGEKMAVVTVDDCDTTMAVRFGKDIGNYSCAAQGTQTGSKKSLDLTGPLLLGGVPNLPEDFPVHNRQFVGCMRNLSVDGKNVDMAGFIANNGTREGCAARRNFCDGRRCQNGGTCVNRWNMYLCECPLRFGGKNCEQAMPHPQLFSGESVVSWSDLNIIISVPWYLGLMFRTRKEDSVLMEATSGGPTSFRLQILNNYLQFEVSHGPSDVESVMLSGLRVTDGEWHHLLIELKNVKEDSEMKHLVTMTLDYGMDQNKADIGGMLPGLTVRSVVVGGASEDKVSVRRGFRGCMQGVRMGGTPTNVATLNMNNALKVRVKDGCDVDDPCTSSPCPPNSRCHDAWEDYSCVCDKGYLGINCVDACHLNPCENMGACVRSPGSPQGYVCECGPSHYGPYCENKLDLPCPRGWWGNPVCGPCHCAVSKGFDPDCNKTNGQCQCKENYYKLLAQDTCLPCDCFPHGSHSRTCDMATGQCACKPGVIGRQCNRCDNPFAEVTTLGCEVIYNGCPKAFEAGIWWPQTKFGQPAAVPCPKGSVGNAVRHCSGEKGWLPPELFNCTTISFVDLRAMNEKLSRNETQVDGARALQLVRALRSATQHTGTLFGNDVRTAYQLLGHVLQHESWQQGFDLAATQDADFHEDVIHSGSALLAPATRAAWEQIQRSEGGTAQLLRRLEGYFSNVARNVRRTYLRPFVIVTANMILAVDIFDKFNFTGARVPRFDTIHEEFPRELESSVSFPADFFRPPEEKEGPLLRPAGRRTTPQTTRPGPGTEREAPISRRRRHPDDAGQFAVALVIIYRTLGQLLPERYDPDRRSLRLPHRPIINTPMVSTLVYSEGAPLPRPLERPVLVEFALLEVEERTKPVCVFWNHSLAVGGTGGWSARGCELLSRNRTHVACQCSHTASFAVLMDISRRENGEVLPLKIVTYAAVSLSLAALLVAFVLLSLVRMLRSNLHSIHKHLAVALFLSQLVFVIGINQTENPFLCTVVAILLHYIYMSTFAWTLVESLHVYRMLTEVRNIDTGPMRFYYVVGWGIPAIVTGLAVGLDPQGYGNPDFCWLSLQDTLIWSFAGPIGAVIIINTVTSVLSAKVSCQRKHHYYGKKGIVSLLRTAFLLLLLISATWLLGLLAVNRDALSFHYLFAIFSGLQGPFVLLFHCVLNQEVRKHLKGVLGGRKLHLEDSATTRATLLTRSLNCNTTFGDGPDMLRTDLGESTASLDSIVRDEGIQKLGVSSGLVRGSHGEPDASLMPRSCKDPPGHDSDSDSELSLDEQSSSYASSHSSDSEDDGVGAEEKWDPARGAVHSTPKGDAVANHVPAGWPDQSLAESDSEDPSGKPRLKVETKVSVELHREEQGSHRGEYPPDQESGGAARLASSQPPEQRKGILKNKVTYPPPLTLTEQTLKGRLREKLADCEQSPTSSRTSSLGSGGPDCAITVKSPGREPGRDHLNGVAMNVRTGSAQADGSDSEKP
+>DECOY_sp|Q9NYQ6|CELR1_HUMAN Cadherin EGF LAG seven-pass G-type receptor 1 OS=Homo sapiens OX=9606 GN=CELSR1 PE=1 SV=1
+PKESDSGDAQASGTRVNMAVGNLHDRGPERGPSKVTIACDPGGSGLSSTRSSTPSQECDALKERLRGKLTQETLTLPPPYTVKNKLIGKRQEPPQSSALRAAGGSEQDPPYEGRHSGQEERHLEVSVKTEVKLRPKGSPDESDSEALSQDPWGAPVHNAVADGKPTSHVAGRAPDWKEEAGVGDDESDSSHSSAYSSSQEDLSLESDSDSDHGPPDKCSRPMLSADPEGHSGRVLGSSVGLKQIGEDRVISDLSATSEGLDTRLMDPGDGFTTNCNLSRTLLTARTTASDELHLKRGGLVGKLHKRVEQNLVCHFLLVFPGQLGSFIAFLYHFSLADRNVALLGLLWTASILLLLLFATRLLSVIGKKGYYHHKRQCSVKASLVSTVTNIIIVAGIPGAFSWILTDQLSLWCFDPNGYGQPDLGVALGTVIAPIGWGVVYYFRMPGTDINRVETLMRYVHLSEVLTWAFTSMYIYHLLIAVVTCLFPNETQNIGIVFVLQSLFLAVALHKHISHLNSRLMRVLSLLVFAVLLAALSLSVAAYTVIKLPLVEGNERRSIDMLVAFSATHSCQCAVHTRNRSLLECGRASWGGTGGVALSHNWFVCVPKTREEVELLAFEVLVPRELPRPLPAGESYVLTSVMPTNIIPRHPLRLSRRDPDYREPLLQGLTRYIIVLAVAFQGADDPHRRRRSIPAERETGPGPRTTQPTTRRGAPRLLPGEKEEPPRFFDAPFSVSSELERPFEEHITDFRPVRAGTFNFKDFIDVALIMNATVIVFPRLYTRRVNRAVNSFYGELRRLLQATGGESRQIQEWAARTAPALLASGSHIVDEHFDADQTAALDFGQQWSEHQLVHGLLQYATRVDNGFLTGTHQTASRLARVLQLARAGDVQTENRSLKENMARLDVFSITTCNFLEPPLWGKEGSCHRVANGVSGKPCPVAAPQGFKTQPWWIGAEFAKPCGNYIVECGLTTVEAFPNDCRNCQRGIVGPKCACQGTAMDCTRSHSGHPFCDCPLCTDQALLKYYNEKCQCQGNTKNCDPDFGKSVACHCPGCVPNGWWGRPCPLDLKNECYPGYHSPGCECVYGQPSGPSRVCAGMNECPNLHCADVCNIGLYGKDCVCSYDEWADHCRSNPPCPSSTCPDDVDCGDKVRVKLANNMNLTAVNTPTGGMRVGQMCGRFGRRVSVKDESAGGVVVSRVTLGPLMGGIDAKNQDMGYDLTMTVLHKMESDEKVNKLEILLHHWEGDTVRLGSLMVSEVDSPGHSVEFQLYNNLIQLRFSTPGGSTAEMLVSDEKRTRFMLGLYWPVSIIINLDSWSVVSEGSFLQPHPMAQECNKGGFRLPCECLYMNWRNVCTGGNQCRRGDCFNRRAACGERTGNNAIFGAMDVNKGDVSLNRMCGVFQRNHVPFDEPLNPVGGLLLPGTLDLSKKSGTQTGQAACSYNGIDKGFRVAMTTDCDDVTVVAMKEGSPGHPLGLHGINPKNYYQVQVSHWRGDSVGSPVKPAVTTTTEGASFTLQVQEDVIELAIFDHKENFRGNYLLLGNREQTAFTLSITFHFRQRLGRFTVFSQPPFSRTTVECYPREYEGPPCVCHFGGILLNVCTGGNKCVGNACRGSRADVECHEGTFDEFCECTYGGERSRCRGNAGCPDSYCLDIETECYDGTFGPPCRCRLGNIPHIPRFLVTTSSLFPASSDFRLVSVCKMYNECPERLCINDDFPLVRQTSITTLLTRNLYIQEQLDESPFFQGRVGGPLLASFTVNLINSSVDTDNQVNFVFVDDKTTSLVAAVGEVFLALLPSLFKEQSMNELRVTISNTLMDDTIITVRLTCFATVSHIGDSVSVEMLAELPRNNDLDRSLQLEGTAPDLLLLRLENGQVFTYNLSDSVDPDHAPICGIVGTPFSNSKNTVYNNFLIQFDPLVPPNDNQDVLLIHVTARSVLPASTAQVVLVYERRVEFDLEVMARLDGNLLDLQFFHRMDGEVIQYMIQANPGEDPDNARIKAVVSGVPNNEEVFLELEDKEFMPANDNIDLITVQIEVSASLPTPSGRDVALAWLNYVAVNERDLRRQTRIVGSTPEIYFDGDGDDGGQFTYLLRGNPGSDRDTASVQLISTSPPADEFISGQYFDWLFQPANDNADLILIELTTTDSKQPIGNDQAMITLTYAVQNEYDLEMMTYMTGSDPDIRFQPVPDQIVYTIRANEGTDEDNASLTAISTGVPRDESVSVTYHSSQFVPRHTNADTVNILVHATHSRTGDSATVALVYQQEQKYDLPLALTILGGGRQSSLAFRNRTNGGTLQYTIVSNADRDRAQLTLVSSGVAADENLRLEYTPQTFVPDNDNVDLVTISVSTSSSMPPSGHDVAEVGFSYHEVEERDLEACVTIWGSSNHIQFPFDPTPAPNKPGASGGGLFTSATDVLRYHLRANEGSDADVAQIHVVPYGLPVNELVTAQFPSSVFIPENDNVDLVQVSVVGSSNILPPRGGDQAKISLSYKQVDEFDLPNIVDLIGSLSHLYFQGAVNGSLISYHIAANQGQDRDTAQVRLVATNLGVDEPVQVVYNQESFQPYNDNEDEVEIYVTATASLPGPNRGQDNAEVLLQYEAAEERDLVARTSVVGSSENLQFVDWAGGLVRYRLNANIPSDRDSARITLVEYGVELNERVRERYESQEFVPSHDNTDKVLVTIYTTASRPPTSYDVAKVRLVHTEKTERDLVSDTSVAGTASDIRFYGRSREDFLGEMYYSVREEEGEITYHAHLQLILTGAPENEFLAVQYNPMPFKLSGRGSTGRRARRAPGARAEPLNPPLPPSPSPSASPTGATAAELALGVRVAGAARRLACLLRLRVSGGPPLCIPRGPCRPRPRPPCRCAPLTTPAALASHQAAACGGPVPFCLAGCLRAGTGCLRARAGCGPLHTRARLRRSLATPASRAVLRVQLPLPRGAGSVRRRGALRGDRGVDLLERPARPTCAAGVAYTCGPRLAFARTGGPVRPEWAAARLGMAPLAAAAALLLLVPLVPPPPPAM
+>sp|Q9HCU4|CELR2_HUMAN Cadherin EGF LAG seven-pass G-type receptor 2 OS=Homo sapiens OX=9606 GN=CELSR2 PE=1 SV=1
+MRSPATGVPLPTPPPPLLLLLLLLLPPPLLGDQVGPCRSLGSRGRGSSGACAPMGWLCPSSASNLWLYTSRCRDAGTELTGHLVPHHDGLRVWCPESEAHIPLPPAPEGCPWSCRLLGIGGHLSPQGKLTLPEEHPCLKAPRLRCQSCKLAQAPGLRAGERSPEESLGGRRKRNVNTAPQFQPPSYQATVPENQPAGTPVASLRAIDPDEGEAGRLEYTMDALFDSRSNQFFSLDPVTGAVTTAEELDRETKSTHVFRVTAQDHGMPRRSALATLTILVTDTNDHDPVFEQQEYKESLRENLEVGYEVLTVRATDGDAPPNANILYRLLEGSGGSPSEVFEIDPRSGVIRTRGPVDREEVESYQLTVEASDQGRDPGPRSTTAAVFLSVEDDNDNAPQFSEKRYVVQVREDVTPGAPVLRVTASDRDKGSNAVVHYSIMSGNARGQFYLDAQTGALDVVSPLDYETTKEYTLRVRAQDGGRPPLSNVSGLVTVQVLDINDNAPIFVSTPFQATVLESVPLGYLVLHVQAIDADAGDNARLEYRLAGVGHDFPFTINNGTGWISVAAELDREEVDFYSFGVEARDHGTPALTASASVSVTVLDVNDNNPTFTQPEYTVRLNEDAAVGTSVVTVSAVDRDAHSVITYQITSGNTRNRFSITSQSGGGLVSLALPLDYKLERQYVLAVTASDGTRQDTAQIVVNVTDANTHRPVFQSSHYTVNVNEDRPAGTTVVLISATDEDTGENARITYFMEDSIPQFRIDADTGAVTTQAELDYEDQVSYTLAITARDNGIPQKSDTTYLEILVNDVNDNAPQFLRDSYQGSVYEDVPPFTSVLQISATDRDSGLNGRVFYTFQGGDDGDGDFIVESTSGIVRTLRRLDRENVAQYVLRAYAVDKGMPPARTPMEVTVTVLDVNDNPPVFEQDEFDVFVEENSPIGLAVARVTATDPDEGTNAQIMYQIVEGNIPEVFQLDIFSGELTALVDLDYEDRPEYVLVIQATSAPLVSRATVHVRLLDRNDNPPVLGNFEILFNNYVTNRSSSFPGGAIGRVPAHDPDISDSLTYSFERGNELSLVLLNASTGELKLSRALDNNRPLEAIMSVLVSDGVHSVTAQCALRVTIITDEMLTHSITLRLEDMSPERFLSPLLGLFIQAVAATLATPPDHVVVFNVQRDTDAPGGHILNVSLSVGQPPGPGGGPPFLPSEDLQERLYLNRSLLTAISAQRVLPFDDNICLREPCENYMRCVSVLRFDSSAPFIASSSVLFRPIHPVGGLRCRCPPGFTGDYCETEVDLCYSRPCGPHGRCRSREGGYTCLCRDGYTGEHCEVSARSGRCTPGVCKNGGTCVNLLVGGFKCDCPSGDFEKPYCQVTTRSFPAHSFITFRGLRQRFHFTLALSFATKERDGLLLYNGRFNEKHDFVALEVIQEQVQLTFSAGESTTTVSPFVPGGVSDGQWHTVQLKYYNKPLLGQTGLPQGPSEQKVAVVTVDGCDTGVALRFGSVLGNYSCAAQGTQGGSKKSLDLTGPLLLGGVPDLPESFPVRMRQFVGCMRNLQVDSRHIDMADFIANNGTVPGCPAKKNVCDSNTCHNGGTCVNQWDAFSCECPLGFGGKSCAQEMANPQHFLGSSLVAWHGLSLPISQPWYLSLMFRTRQADGVLLQAITRGRSTITLQLREGHVMLSVEGTGLQASSLRLEPGRANDGDWHHAQLALGASGGPGHAILSFDYGQQRAEGNLGPRLHGLHLSNITVGGIPGPAGGVARGFRGCLQGVRVSDTPEGVNSLDPSHGESINVEQGCSLPDPCDSNPCPANSYCSNDWDSYSCSCDPGYYGDNCTNVCDLNPCEHQSVCTRKPSAPHGYTCECPPNYLGPYCETRIDQPCPRGWWGHPTCGPCNCDVSKGFDPDCNKTSGECHCKENHYRPPGSPTCLLCDCYPTGSLSRVCDPEDGQCPCKPGVIGRQCDRCDNPFAEVTTNGCEVNYDSCPRAIEAGIWWPRTRFGLPAAAPCPKGSFGTAVRHCDEHRGWLPPNLFNCTSITFSELKGFAERLQRNESGLDSGRSQQLALLLRNATQHTAGYFGSDVKVAYQLATRLLAHESTQRGFGLSATQDVHFTENLLRVGSALLDTANKRHWELIQQTEGGTAWLLQHYEAYASALAQNMRHTYLSPFTIVTPNIVISVVRLDKGNFAGAKLPRYEALRGEQPPDLETTVILPESVFRETPPVVRPAGPGEAQEPEELARRQRRHPELSQGEAVASVIIYRTLAGLLPHNYDPDKRSLRVPKRPIINTPVVSISVHDDEELLPRALDKPVTVQFRLLETEERTKPICVFWNHSILVSGTGGWSARGCEVVFRNESHVSCQCNHMTSFAVLMDVSRRENGEILPLKTLTYVALGVTLAALLLTFFFLTLLRILRSNQHGIRRNLTAALGLAQLVFLLGINQADLPFACTVIAILLHFLYLCTFSWALLEALHLYRALTEVRDVNTGPMRFYYMLGWGVPAFITGLAVGLDPEGYGNPDFCWLSIYDTLIWSFAGPVAFAVSMSVFLYILAARASCAAQRQGFEKKGPVSGLQPSFAVLLLLSATWLLALLSVNSDTLLFHYLFATCNCIQGPFIFLSYVVLSKEVRKALKLACSRKPSPDPALTTKSTLTSSYNCPSPYADGRLYQPYGDSAGSLHSTSRSGKSQPSYIPFLLREESALNPGQGPPGLGDPGSLFLEGQDQQHDPDTDSDSDLSLEDDQSGSYASTHSSDSEEEEEEEEEEAAFPGEQGWDSLLGPGAERLPLHSTPKDGGPGPGKAPWPGDFGTTAKESSGNGAPEERLRENGDALSREGSLGPLPGSSAQPHKGILKKKCLPTISEKSSLLRLPLEQCTGSSRGSSASEGSRGGPPPRPPPRQSLQEQLNGVMPIAMSIKAGTVDEDSSGSEFLFFNFLH
+>DECOY_sp|Q9HCU4|CELR2_HUMAN Cadherin EGF LAG seven-pass G-type receptor 2 OS=Homo sapiens OX=9606 GN=CELSR2 PE=1 SV=1
+HLFNFFLFESGSSDEDVTGAKISMAIPMVGNLQEQLSQRPPPRPPPGGRSGESASSGRSSGTCQELPLRLLSSKESITPLCKKKLIGKHPQASSGPLPGLSGERSLADGNERLREEPAGNGSSEKATTGFDGPWPAKGPGPGGDKPTSHLPLREAGPGLLSDWGQEGPFAAEEEEEEEEEESDSSHTSAYSGSQDDELSLDSDSDTDPDHQQDQGELFLSGPDGLGPPGQGPNLASEERLLFPIYSPQSKGSRSTSHLSGASDGYPQYLRGDAYPSPCNYSSTLTSKTTLAPDPSPKRSCALKLAKRVEKSLVVYSLFIFPGQICNCTAFLYHFLLTDSNVSLLALLWTASLLLLVAFSPQLGSVPGKKEFGQRQAACSARAALIYLFVSMSVAFAVPGAFSWILTDYISLWCFDPNGYGEPDLGVALGTIFAPVGWGLMYYFRMPGTNVDRVETLARYLHLAELLAWSFTCLYLFHLLIAIVTCAFPLDAQNIGLLFVLQALGLAATLNRRIGHQNSRLIRLLTLFFFTLLLAALTVGLAVYTLTKLPLIEGNERRSVDMLVAFSTMHNCQCSVHSENRFVVECGRASWGGTGSVLISHNWFVCIPKTREETELLRFQVTVPKDLARPLLEEDDHVSISVVPTNIIPRKPVRLSRKDPDYNHPLLGALTRYIIVSAVAEGQSLEPHRRQRRALEEPEQAEGPGAPRVVPPTERFVSEPLIVTTELDPPQEGRLAEYRPLKAGAFNGKDLRVVSIVINPTVITFPSLYTHRMNQALASAYAEYHQLLWATGGETQQILEWHRKNATDLLASGVRLLNETFHVDQTASLGFGRQTSEHALLRTALQYAVKVDSGFYGATHQTANRLLLALQQSRGSDLGSENRQLREAFGKLESFTISTCNFLNPPLWGRHEDCHRVATGFSGKPCPAAAPLGFRTRPWWIGAEIARPCSDYNVECGNTTVEAFPNDCRDCQRGIVGPKCPCQGDEPDCVRSLSGTPYCDCLLCTPSGPPRYHNEKCHCEGSTKNCDPDFGKSVDCNCPGCTPHGWWGRPCPQDIRTECYPGLYNPPCECTYGHPASPKRTCVSQHECPNLDCVNTCNDGYYGPDCSCSYSDWDNSCYSNAPCPNSDCPDPLSCGQEVNISEGHSPDLSNVGEPTDSVRVGQLCGRFGRAVGGAPGPIGGVTINSLHLGHLRPGLNGEARQQGYDFSLIAHGPGGSAGLALQAHHWDGDNARGPELRLSSAQLGTGEVSLMVHGERLQLTITSRGRTIAQLLVGDAQRTRFMLSLYWPQSIPLSLGHWAVLSSGLFHQPNAMEQACSKGGFGLPCECSFADWQNVCTGGNHCTNSDCVNKKAPCGPVTGNNAIFDAMDIHRSDVQLNRMCGVFQRMRVPFSEPLDPVGGLLLPGTLDLSKKSGGQTGQAACSYNGLVSGFRLAVGTDCGDVTVVAVKQESPGQPLGTQGLLPKNYYKLQVTHWQGDSVGGPVFPSVTTTSEGASFTLQVQEQIVELAVFDHKENFRGNYLLLGDREKTAFSLALTFHFRQRLGRFTIFSHAPFSRTTVQCYPKEFDGSPCDCKFGGVLLNVCTGGNKCVGPTCRGSRASVECHEGTYGDRCLCTYGGERSRCRGHPGCPRSYCLDVETECYDGTFGPPCRCRLGGVPHIPRFLVSSSAIFPASSDFRLVSVCRMYNECPERLCINDDFPLVRQASIATLLSRNLYLREQLDESPLFPPGGGPGPPQGVSLSVNLIHGGPADTDRQVNFVVVHDPPTALTAAVAQIFLGLLPSLFREPSMDELRLTISHTLMEDTIITVRLACQATVSHVGDSVLVSMIAELPRNNDLARSLKLEGTSANLLVLSLENGREFSYTLSDSIDPDHAPVRGIAGGPFSSSRNTVYNNFLIEFNGLVPPNDNRDLLRVHVTARSVLPASTAQIVLVYEPRDEYDLDVLATLEGSFIDLQFVEPINGEVIQYMIQANTGEDPDTATVRAVALGIPSNEEVFVDFEDQEFVPPNDNVDLVTVTVEMPTRAPPMGKDVAYARLVYQAVNERDLRRLTRVIGSTSEVIFDGDGDDGGQFTYFVRGNLGSDRDTASIQLVSTFPPVDEYVSGQYSDRLFQPANDNVDNVLIELYTTDSKQPIGNDRATIALTYSVQDEYDLEAQTTVAGTDADIRFQPISDEMFYTIRANEGTDEDTASILVVTTGAPRDENVNVTYHSSQFVPRHTNADTVNVVIQATDQRTGDSATVALVYQRELKYDLPLALSVLGGGSQSTISFRNRTNGSTIQYTIVSHADRDVASVTVVSTGVAADENLRVTYEPQTFTPNNDNVDLVTVSVSASATLAPTGHDRAEVGFSYFDVEERDLEAAVSIWGTGNNITFPFDHGVGALRYELRANDGADADIAQVHLVLYGLPVSELVTAQFPTSVFIPANDNIDLVQVTVLGSVNSLPPRGGDQARVRLTYEKTTEYDLPSVVDLAGTQADLYFQGRANGSMISYHVVANSGKDRDSATVRLVPAGPTVDERVQVVYRKESFQPANDNDDEVSLFVAATTSRPGPDRGQDSAEVTLQYSEVEERDVPGRTRIVGSRPDIEFVESPSGGSGELLRYLINANPPADGDTARVTLVEYGVELNERLSEKYEQQEFVPDHDNTDTVLITLTALASRRPMGHDQATVRFVHTSKTERDLEEATTVAGTVPDLSFFQNSRSDFLADMTYELRGAEGEDPDIARLSAVPTGAPQNEPVTAQYSPPQFQPATNVNRKRRGGLSEEPSREGARLGPAQALKCSQCRLRPAKLCPHEEPLTLKGQPSLHGGIGLLRCSWPCGEPAPPLPIHAESEPCWVRLGDHHPVLHGTLETGADRCRSTYLWLNSASSPCLWGMPACAGSSGRGRSGLSRCPGVQDGLLPPPLLLLLLLLLPPPPTPLPVGTAPSRM
+>sp|Q9NYQ7|CELR3_HUMAN Cadherin EGF LAG seven-pass G-type receptor 3 OS=Homo sapiens OX=9606 GN=CELSR3 PE=1 SV=2
+MMARRPPWRGLGGRSTPILLLLLLSLFPLSQEELGGGGHQGWDPGLAATTGPRAHIGGGALALCPESSGVREDGGPGLGVREPIFVGLRGRRQSARNSRGPPEQPNEELGIEHGVQPLGSRERETGQGPGSVLYWRPEVSSCGRTGPLQRGSLSPGALSSGVPGSGNSSPLPSDFLIRHHGPKPVSSQRNAGTGSRKRVGTARCCGELWATGSKGQGERATTSGAERTAPRRNCLPGASGSGPELDSAPRTARTAPASGSAPRESRTAPEPAPKRMRSRGLFRCRFLPQRPGPRPPGLPARPEARKVTSANRARFRRAANRHPQFPQYNYQTLVPENEAAGTAVLRVVAQDPDAGEAGRLVYSLAALMNSRSLELFSIDPQSGLIRTAAALDRESMERHYLRVTAQDHGSPRLSATTMVAVTVADRNDHSPVFEQAQYRETLRENVEEGYPILQLRATDGDAPPNANLRYRFVGPPAARAAAAAAFEIDPRSGLISTSGRVDREHMESYELVVEASDQGQEPGPRSATVRVHITVLDENDNAPQFSEKRYVAQVREDVRPHTVVLRVTATDRDKDANGLVHYNIISGNSRGHFAIDSLTGEIQVVAPLDFEAEREYALRIRAQDAGRPPLSNNTGLASIQVVDINDHIPIFVSTPFQVSVLENAPLGHSVIHIQAVDADHGENARLEYSLTGVAPDTPFVINSATGWVSVSGPLDRESVEHYFFGVEARDHGSPPLSASASVTVTVLDVNDNRPEFTMKEYHLRLNEDAAVGTSVVSVTAVDRDANSAISYQITGGNTRNRFAISTQGGVGLVTLALPLDYKQERYFKLVLTASDRALHDHCYVHINITDANTHRPVFQSAHYSVSVNEDRPMGSTIVVISASDDDVGENARITYLLEDNLPQFRIDADSGAITLQAPLDYEDQVTYTLAITARDNGIPQKADTTYVEVMVNDVNDNAPQFVASHYTGLVSEDAPPFTSVLQISATDRDAHANGRVQYTFQNGEDGDGDFTIEPTSGIVRTVRRLDREAVSVYELTAYAVDRGVPPLRTPVSIQVMVQDVNDNAPVFPAEEFEVRVKENSIVGSVVAQITAVDPDEGPNAHIMYQIVEGNIPELFQMDIFSGELTALIDLDYEARQEYVIVVQATSAPLVSRATVHVRLVDQNDNSPVLNNFQILFNNYVSNRSDTFPSGIIGRIPAYDPDVSDHLFYSFERGNELQLLVVNQTSGELRLSRKLDNNRPLVASMLVTVTDGLHSVTAQCVLRVVIITEELLANSLTVRLENMWQERFLSPLLGRFLEGVAAVLATPAEDVFIFNIQNDTDVGGTVLNVSFSALAPRGAGAGAAGPWFSSEELQEQLYVRRAALAARSLLDVLPFDDNVCLREPCENYMKCVSVLRFDSSAPFLASASTLFRPIQPIAGLRCRCPPGFTGDFCETELDLCYSNPCRNGGACARREGGYTCVCRPRFTGEDCELDTEAGRCVPGVCRNGGTCTDAPNGGFRCQCPAGGAFEGPRCEVAARSFPPSSFVMFRGLRQRFHLTLSLSFATVQQSGLLFYNGRLNEKHDFLALELVAGQVRLTYSTGESNTVVSPTVPGGLSDGQWHTVHLRYYNKPRTDALGGAQGPSKDKVAVLSVDDCDVAVALQFGAEIGNYSCAAAGVQTSSKKSLDLTGPLLLGGVPNLPENFPVSHKDFIGCMRDLHIDGRRVDMAAFVANNGTMAGCQAKLHFCDSGPCKNSGFCSERWGSFSCDCPVGFGGKDCQLTMAHPHHFRGNGTLSWNFGSDMAVSVPWYLGLAFRTRATQGVLMQVQAGPHSTLLCQLDRGLLSVTVTRGSGRASHLLLDQVTVSDGRWHDLRLELQEEPGGRRGHHVLMVSLDFSLFQDTMAVGSELQGLKVKQLHVGGLPPGSAEEAPQGLVGCIQGVWLGSTPSGSPALLPPSHRVNAEPGCVVTNACASGPCPPHADCRDLWQTFSCTCQPGYYGPGCVDACLLNPCQNQGSCRHLPGAPHGYTCDCVGGYFGHHCEHRMDQQCPRGWWGSPTCGPCNCDVHKGFDPNCNKTNGQCHCKEFHYRPRGSDSCLPCDCYPVGSTSRSCAPHSGQCPCRPGALGRQCNSCDSPFAEVTASGCRVLYDACPKSLRSGVWWPQTKFGVLATVPCPRGALGAAVRLCDEAQGWLEPDLFNCTSPAFRELSLLLDGLELNKTALDTMEAKKLAQRLREVTGHTDHYFSQDVRVTARLLAHLLAFESHQQGFGLTATQDAHFNENLLWAGSALLAPETGDLWAALGQRAPGGSPGSAGLVRHLEEYAATLARNMELTYLNPMGLVTPNIMLSIDRMEHPSSPRGARRYPRYHSNLFRGQDAWDPHTHVLLPSQSPRPSPSEVLPTSSSIENSTTSSVVPPPAPPEPEPGISIIILLVYRTLGGLLPAQFQAERRGARLPQNPVMNSPVVSVAVFHGRNFLRGILESPISLEFRLLQTANRSKAICVQWDPPGLAEQHGVWTARDCELVHRNGSHARCRCSRTGTFGVLMDASPRERLEGDLELLAVFTHVVVAVSVAALVLTAAILLSLRSLKSNVRGIHANVAAALGVAELLFLLGIHRTHNQLVCTAVAILLHYFFLSTFAWLFVQGLHLYRMQVEPRNVDRGAMRFYHALGWGVPAVLLGLAVGLDPEGYGNPDFCWISVHEPLIWSFAGPVVLVIVMNGTMFLLAARTSCSTGQREAKKTSALTLRSSFLLLLLVSASWLFGLLAVNHSILAFHYLHAGLCGLQGLAVLLLFCVLNADARAAWMPACLGRKAAPEEARPAPGLGPGAYNNTALFEESGLIRITLGASTVSSVSSARSGRTQDQDSQRGRSYLRDNVLVRHGSAADHTDHSLQAHAGPTDLDVAMFHRDAGADSDSDSDLSLEEERSLSIPSSESEDNGRTRGRFQRPLCRAAQSERLLTHPKDVDGNDLLSYWPALGECEAAPCALQTWGSERRLGLDTSKDAANNNQPDPALTSGDETSLGRAQRQRKGILKNRLQYPLVPQTRGAPELSWCRAATLGHRAVPAASYGRIYAGGGTGSLSQPASRYSSREQLDLLLRRQLSRERLEEAPAPVLRPLSRPGSQECMDAAPGRLEPKDRGSTLPRRQPPRDYPGAMAGRFGSRDALDLGAPREWLSTLPPPRRTRDLDPQPPPLPLSPQRQLSRDPLLPSRPLDSLSRSSNSREQLDQVPSRHPSREALGPLPQLLRAREDSVSGPSHGPSTEQLDILSSILASFNSSALSSVQSSSTPLGPHTTATPSATASVLGPSTPRSATSHSISELSPDSEVPRSEGHS
+>DECOY_sp|Q9NYQ7|CELR3_HUMAN Cadherin EGF LAG seven-pass G-type receptor 3 OS=Homo sapiens OX=9606 GN=CELSR3 PE=1 SV=2
+SHGESRPVESDPSLESISHSTASRPTSPGLVSATASPTATTHPGLPTSSSQVSSLASSNFSALISSLIDLQETSPGHSPGSVSDERARLLQPLPGLAERSPHRSPVQDLQERSNSSRSLSDLPRSPLLPDRSLQRQPSLPLPPPQPDLDRTRRPPPLTSLWERPAGLDLADRSGFRGAMAGPYDRPPQRRPLTSGRDKPELRGPAADMCEQSGPRSLPRLVPAPAEELRERSLQRRLLLDLQERSSYRSAPQSLSGTGGGAYIRGYSAAPVARHGLTAARCWSLEPAGRTQPVLPYQLRNKLIGKRQRQARGLSTEDGSTLAPDPQNNNAADKSTDLGLRRESGWTQLACPAAECEGLAPWYSLLDNGDVDKPHTLLRESQAARCLPRQFRGRTRGNDESESSPISLSREEELSLDSDSDSDAGADRHFMAVDLDTPGAHAQLSHDTHDAASGHRVLVNDRLYSRGRQSDQDQTRGSRASSVSSVTSAGLTIRILGSEEFLATNNYAGPGLGPAPRAEEPAAKRGLCAPMWAARADANLVCFLLLVALGQLGCLGAHLYHFALISHNVALLGFLWSASVLLLLLFSSRLTLASTKKAERQGTSCSTRAALLFMTGNMVIVLVVPGAFSWILPEHVSIWCFDPNGYGEPDLGVALGLLVAPVGWGLAHYFRMAGRDVNRPEVQMRYLHLGQVFLWAFTSLFFYHLLIAVATCVLQNHTRHIGLLFLLEAVGLAAAVNAHIGRVNSKLSRLSLLIAATLVLAAVSVAVVVHTFVALLELDGELRERPSADMLVGFTGTRSCRCRAHSGNRHVLECDRATWVGHQEALGPPDWQVCIAKSRNATQLLRFELSIPSELIGRLFNRGHFVAVSVVPSNMVPNQPLRAGRREAQFQAPLLGGLTRYVLLIIISIGPEPEPPAPPPVVSSTTSNEISSSTPLVESPSPRPSQSPLLVHTHPDWADQGRFLNSHYRPYRRAGRPSSPHEMRDISLMINPTVLGMPNLYTLEMNRALTAAYEELHRVLGASGPSGGPARQGLAAWLDGTEPALLASGAWLLNENFHADQTATLGFGQQHSEFALLHALLRATVRVDQSFYHDTHGTVERLRQALKKAEMTDLATKNLELGDLLLSLERFAPSTCNFLDPELWGQAEDCLRVAAGLAGRPCPVTALVGFKTQPWWVGSRLSKPCADYLVRCGSATVEAFPSDCSNCQRGLAGPRCPCQGSHPACSRSTSGVPYCDCPLCSDSGRPRYHFEKCHCQGNTKNCNPDFGKHVDCNCPGCTPSGWWGRPCQQDMRHECHHGFYGGVCDCTYGHPAGPLHRCSGQNQCPNLLCADVCGPGYYGPQCTCSFTQWLDRCDAHPPCPGSACANTVVCGPEANVRHSPPLLAPSGSPTSGLWVGQICGVLGQPAEEASGPPLGGVHLQKVKLGQLESGVAMTDQFLSFDLSVMLVHHGRRGGPEEQLELRLDHWRGDSVTVQDLLLHSARGSGRTVTVSLLGRDLQCLLTSHPGAQVQMLVGQTARTRFALGLYWPVSVAMDSGFNWSLTGNGRFHHPHAMTLQCDKGGFGVPCDCSFSGWRESCFGSNKCPGSDCFHLKAQCGAMTGNNAVFAAMDVRRGDIHLDRMCGIFDKHSVPFNEPLNPVGGLLLPGTLDLSKKSSTQVGAAACSYNGIEAGFQLAVAVDCDDVSLVAVKDKSPGQAGGLADTRPKNYYRLHVTHWQGDSLGGPVTPSVVTNSEGTSYTLRVQGAVLELALFDHKENLRGNYFLLGSQQVTAFSLSLTLHFRQRLGRFMVFSSPPFSRAAVECRPGEFAGGAPCQCRFGGNPADTCTGGNRCVGPVCRGAETDLECDEGTFRPRCVCTYGGERRACAGGNRCPNSYCLDLETECFDGTFGPPCRCRLGAIPQIPRFLTSASALFPASSDFRLVSVCKMYNECPERLCVNDDFPLVDLLSRAALAARRVYLQEQLEESSFWPGAAGAGAGRPALASFSVNLVTGGVDTDNQINFIFVDEAPTALVAAVGELFRGLLPSLFREQWMNELRVTLSNALLEETIIVVRLVCQATVSHLGDTVTVLMSAVLPRNNDLKRSLRLEGSTQNVVLLQLENGREFSYFLHDSVDPDYAPIRGIIGSPFTDSRNSVYNNFLIQFNNLVPSNDNQDVLRVHVTARSVLPASTAQVVIVYEQRAEYDLDILATLEGSFIDMQFLEPINGEVIQYMIHANPGEDPDVATIQAVVSGVISNEKVRVEFEEAPFVPANDNVDQVMVQISVPTRLPPVGRDVAYATLEYVSVAERDLRRVTRVIGSTPEITFDGDGDEGNQFTYQVRGNAHADRDTASIQLVSTFPPADESVLGTYHSAVFQPANDNVDNVMVEVYTTDAKQPIGNDRATIALTYTVQDEYDLPAQLTIAGSDADIRFQPLNDELLYTIRANEGVDDDSASIVVITSGMPRDENVSVSYHASQFVPRHTNADTINIHVYCHDHLARDSATLVLKFYREQKYDLPLALTVLGVGGQTSIAFRNRTNGGTIQYSIASNADRDVATVSVVSTGVAADENLRLHYEKMTFEPRNDNVDLVTVTVSASASLPPSGHDRAEVGFFYHEVSERDLPGSVSVWGTASNIVFPTDPAVGTLSYELRANEGHDADVAQIHIVSHGLPANELVSVQFPTSVFIPIHDNIDVVQISALGTNNSLPPRGADQARIRLAYEREAEFDLPAVVQIEGTLSDIAFHGRSNGSIINYHVLGNADKDRDTATVRLVVTHPRVDERVQAVYRKESFQPANDNEDLVTIHVRVTASRPGPEQGQDSAEVVLEYSEMHERDVRGSTSILGSRPDIEFAAAAAARAAPPGVFRYRLNANPPADGDTARLQLIPYGEEVNERLTERYQAQEFVPSHDNRDAVTVAVMTTASLRPSGHDQATVRLYHREMSERDLAAATRILGSQPDISFLELSRSNMLAALSYVLRGAEGADPDQAVVRLVATGAAENEPVLTQYNYQPFQPHRNAARRFRARNASTVKRAEPRAPLGPPRPGPRQPLFRCRFLGRSRMRKPAPEPATRSERPASGSAPATRATRPASDLEPGSGSAGPLCNRRPATREAGSTTAREGQGKSGTAWLEGCCRATGVRKRSGTGANRQSSVPKPGHHRILFDSPLPSSNGSGPVGSSLAGPSLSGRQLPGTRGCSSVEPRWYLVSGPGQGTERERSGLPQVGHEIGLEENPQEPPGRSNRASQRRGRLGVFIPERVGLGPGGDERVGSSEPCLALAGGGIHARPGTTAALGPDWGQHGGGGLEEQSLPFLSLLLLLLIPTSRGGLGRWPPRRAMM
+>sp|Q8N111|CEND_HUMAN Cell cycle exit and neuronal differentiation protein 1 OS=Homo sapiens OX=9606 GN=CEND1 PE=1 SV=1
+MESRGKSASSPKPDTKVPQVTTEAKVPPAADGKAPLTKPSKKEAPAEKQQPPAAPTTAPAKKTSAKADPALLNNHSNLKPAPTVPSSPDATPEPKGPGDGAEEDEAASGGPGGRGPWSCENFNPLLVAGGVAVAAIALILGVAFLVRKK
+>DECOY_sp|Q8N111|CEND_HUMAN Cell cycle exit and neuronal differentiation protein 1 OS=Homo sapiens OX=9606 GN=CEND1 PE=1 SV=1
+KKRVLFAVGLILAIAAVAVGGAVLLPNFNECSWPGRGGPGGSAAEDEEAGDGPGKPEPTADPSSPVTPAPKLNSHNNLLAPDAKASTKKAPATTPAAPPQQKEAPAEKKSPKTLPAKGDAAPPVKAETTVQPVKTDPKPSSASKGRSEM
+>sp|P49450|CENPA_HUMAN Histone H3-like centromeric protein A OS=Homo sapiens OX=9606 GN=CENPA PE=1 SV=1
+MGPRRRSRKPEAPRRRSPSPTPTPGPSRRGPSLGASSHQHSRRRQGWLKEIRKLQKSTHLLIRKLPFSRLAREICVKFTRGVDFNWQAQALLALQEAAEAFLVHLFEDAYLLTLHAGRVTLFPKDVQLARRIRGLEEGLG
+>DECOY_sp|P49450|CENPA_HUMAN Histone H3-like centromeric protein A OS=Homo sapiens OX=9606 GN=CENPA PE=1 SV=1
+GLGEELGRIRRALQVDKPFLTVRGAHLTLLYADEFLHVLFAEAAEQLALLAQAQWNFDVGRTFKVCIERALRSFPLKRILLHTSKQLKRIEKLWGQRRRSHQHSSAGLSPGRRSPGPTPTPSPSRRRPAEPKRSRRRPGM
+>sp|Q02224|CENPE_HUMAN Centromere-associated protein E OS=Homo sapiens OX=9606 GN=CENPE PE=1 SV=2
+MAEEGAVAVCVRVRPLNSREESLGETAQVYWKTDNNVIYQVDGSKSFNFDRVFHGNETTKNVYEEIAAPIIDSAIQGYNGTIFAYGQTASGKTYTMMGSEDHLGVIPRAIHDIFQKIKKFPDREFLLRVSYMEIYNETITDLLCGTQKMKPLIIREDVNRNVYVADLTEEVVYTSEMALKWITKGEKSRHYGETKMNQRSSRSHTIFRMILESREKGEPSNCEGSVKVSHLNLVDLAGSERAAQTGAAGVRLKEGCNINRSLFILGQVIKKLSDGQVGGFINYRDSKLTRILQNSLGGNAKTRIICTITPVSFDETLTALQFASTAKYMKNTPYVNEVSTDEALLKRYRKEIMDLKKQLEEVSLETRAQAMEKDQLAQLLEEKDLLQKVQNEKIENLTRMLVTSSSLTLQQELKAKRKRRVTWCLGKINKMKNSNYADQFNIPTNITTKTHKLSINLLREIDESVCSESDVFSNTLDTLSEIEWNPATKLLNQENIESELNSLRADYDNLVLDYEQLRTEKEEMELKLKEKNDLDEFEALERKTKKDQEMQLIHEISNLKNLVKHAEVYNQDLENELSSKVELLREKEDQIKKLQEYIDSQKLENIKMDLSYSLESIEDPKQMKQTLFDAETVALDAKRESAFLRSENLELKEKMKELATTYKQMENDIQLYQSQLEAKKKMQVDLEKELQSAFNEITKLTSLIDGKVPKDLLCNLELEGKITDLQKELNKEVEENEALREEVILLSELKSLPSEVERLRKEIQDKSEELHIITSEKDKLFSEVVHKESRVQGLLEEIGKTKDDLATTQSNYKSTDQEFQNFKTLHMDFEQKYKMVLEENERMNQEIVNLSKEAQKFDSSLGALKTELSYKTQELQEKTREVQERLNEMEQLKEQLENRDSTLQTVEREKTLITEKLQQTLEEVKTLTQEKDDLKQLQESLQIERDQLKSDIHDTVNMNIDTQEQLRNALESLKQHQETINTLKSKISEEVSRNLHMEENTGETKDEFQQKMVGIDKKQDLEAKNTQTLTADVKDNEIIEQQRKIFSLIQEKNELQQMLESVIAEKEQLKTDLKENIEMTIENQEELRLLGDELKKQQEIVAQEKNHAIKKEGELSRTCDRLAEVEEKLKEKSQQLQEKQQQLLNVQEEMSEMQKKINEIENLKNELKNKELTLEHMETERLELAQKLNENYEEVKSITKERKVLKELQKSFETERDHLRGYIREIEATGLQTKEELKIAHIHLKEHQETIDELRRSVSEKTAQIINTQDLEKSHTKLQEEIPVLHEEQELLPNVKEVSETQETMNELELLTEQSTTKDSTTLARIEMERLRLNEKFQESQEEIKSLTKERDNLKTIKEALEVKHDQLKEHIRETLAKIQESQSKQEQSLNMKEKDNETTKIVSEMEQFKPKDSALLRIEIEMLGLSKRLQESHDEMKSVAKEKDDLQRLQEVLQSESDQLKENIKEIVAKHLETEEELKVAHCCLKEQEETINELRVNLSEKETEISTIQKQLEAINDKLQNKIQEIYEKEEQFNIKQISEVQEKVNELKQFKEHRKAKDSALQSIESKMLELTNRLQESQEEIQIMIKEKEEMKRVQEALQIERDQLKENTKEIVAKMKESQEKEYQFLKMTAVNETQEKMCEIEHLKEQFETQKLNLENIETENIRLTQILHENLEEMRSVTKERDDLRSVEETLKVERDQLKENLRETITRDLEKQEELKIVHMHLKEHQETIDKLRGIVSEKTNEISNMQKDLEHSNDALKAQDLKIQEELRIAHMHLKEQQETIDKLRGIVSEKTDKLSNMQKDLENSNAKLQEKIQELKANEHQLITLKKDVNETQKKVSEMEQLKKQIKDQSLTLSKLEIENLNLAQKLHENLEEMKSVMKERDNLRRVEETLKLERDQLKESLQETKARDLEIQQELKTARMLSKEHKETVDKLREKISEKTIQISDIQKDLDKSKDELQKKIQELQKKELQLLRVKEDVNMSHKKINEMEQLKKQFEAQNLSMQSVRMDNFQLTKKLHESLEEIRIVAKERDELRRIKESLKMERDQFIATLREMIARDRQNHQVKPEKRLLSDGQQHLTESLREKCSRIKELLKRYSEMDDHYECLNRLSLDLEKEIEFQKELSMRVKANLSLPYLQTKHIEKLFTANQRCSMEFHRIMKKLKYVLSYVTKIKEEQHESINKFEMDFIDEVEKQKELLIKIQHLQQDCDVPSRELRDLKLNQNMDLHIEEILKDFSESEFPSIKTEFQQVLSNRKEMTQFLEEWLNTRFDIEKLKNGIQKENDRICQVNNFFNNRIIAIMNESTEFEERSATISKEWEQDLKSLKEKNEKLFKNYQTLKTSLASGAQVNPTTQDNKNPHVTSRATQLTTEKIRELENSLHEAKESAMHKESKIIKMQKELEVTNDIIAKLQAKVHESNKCLEKTKETIQVLQDKVALGAKPYKEEIEDLKMKLVKIDLEKMKNAKEFEKEISATKATVEYQKEVIRLLRENLRRSQQAQDTSVISEHTDPQPSNKPLTCGGGSGIVQNTKALILKSEHIRLEKEISKLKQQNEQLIKQKNELLSNNQHLSNEVKTWKERTLKREAHKQVTCENSPKSPKVTGTASKKKQITPSQCKERNLQDPVPKESPKSCFFDSRSKSLPSPHPVRYFDNSSLGLCPEVQNAGAESVDSQPGPWHASSGKDVPECKTQ
+>DECOY_sp|Q02224|CENPE_HUMAN Centromere-associated protein E OS=Homo sapiens OX=9606 GN=CENPE PE=1 SV=2
+QTKCEPVDKGSSAHWPGPQSDVSEAGANQVEPCLGLSSNDFYRVPHPSPLSKSRSDFFCSKPSEKPVPDQLNREKCQSPTIQKKKSATGTVKPSKPSNECTVQKHAERKLTREKWTKVENSLHQNNSLLENKQKILQENQQKLKSIEKELRIHESKLILAKTNQVIGSGGGCTLPKNSPQPDTHESIVSTDQAQQSRRLNERLLRIVEKQYEVTAKTASIEKEFEKANKMKELDIKVLKMKLDEIEEKYPKAGLAVKDQLVQITEKTKELCKNSEHVKAQLKAIIDNTVELEKQMKIIKSEKHMASEKAEHLSNELERIKETTLQTARSTVHPNKNDQTTPNVQAGSALSTKLTQYNKFLKENKEKLSKLDQEWEKSITASREEFETSENMIAIIRNNFFNNVQCIRDNEKQIGNKLKEIDFRTNLWEELFQTMEKRNSLVQQFETKISPFESESFDKLIEEIHLDMNQNLKLDRLERSPVDCDQQLHQIKILLEKQKEVEDIFDMEFKNISEHQEEKIKTVYSLVYKLKKMIRHFEMSCRQNATFLKEIHKTQLYPLSLNAKVRMSLEKQFEIEKELDLSLRNLCEYHDDMESYRKLLEKIRSCKERLSETLHQQGDSLLRKEPKVQHNQRDRAIMERLTAIFQDREMKLSEKIRRLEDREKAVIRIEELSEHLKKTLQFNDMRVSQMSLNQAEFQKKLQEMENIKKHSMNVDEKVRLLQLEKKQLEQIKKQLEDKSKDLDKQIDSIQITKESIKERLKDVTEKHEKSLMRATKLEQQIELDRAKTEQLSEKLQDRELKLTEEVRRLNDREKMVSKMEELNEHLKQALNLNEIELKSLTLSQDKIQKKLQEMESVKKQTENVDKKLTILQHENAKLEQIKEQLKANSNELDKQMNSLKDTKESVIGRLKDITEQQEKLHMHAIRLEEQIKLDQAKLADNSHELDKQMNSIENTKESVIGRLKDITEQHEKLHMHVIKLEEQKELDRTITERLNEKLQDREVKLTEEVSRLDDREKTVSRMEELNEHLIQTLRINETEINELNLKQTEFQEKLHEIECMKEQTENVATMKLFQYEKEQSEKMKAVIEKTNEKLQDREIQLAEQVRKMEEKEKIMIQIEEQSEQLRNTLELMKSEISQLASDKAKRHEKFQKLENVKEQVESIQKINFQEEKEYIEQIKNQLKDNIAELQKQITSIETEKESLNVRLENITEEQEKLCCHAVKLEEETELHKAVIEKINEKLQDSESQLVEQLRQLDDKEKAVSKMEDHSEQLRKSLGLMEIEIRLLASDKPKFQEMESVIKTTENDKEKMNLSQEQKSQSEQIKALTERIHEKLQDHKVELAEKITKLNDREKTLSKIEEQSEQFKENLRLREMEIRALTTSDKTTSQETLLELENMTEQTESVEKVNPLLEQEEHLVPIEEQLKTHSKELDQTNIIQATKESVSRRLEDITEQHEKLHIHAIKLEEKTQLGTAEIERIYGRLHDRETEFSKQLEKLVKREKTISKVEEYNENLKQALELRETEMHELTLEKNKLENKLNEIENIKKQMESMEEQVNLLQQQKEQLQQSKEKLKEEVEALRDCTRSLEGEKKIAHNKEQAVIEQQKKLEDGLLRLEEQNEITMEINEKLDTKLQEKEAIVSELMQQLENKEQILSFIKRQQEIIENDKVDATLTQTNKAELDQKKDIGVMKQQFEDKTEGTNEEMHLNRSVEESIKSKLTNITEQHQKLSELANRLQEQTDINMNVTDHIDSKLQDREIQLSEQLQKLDDKEQTLTKVEELTQQLKETILTKEREVTQLTSDRNELQEKLQEMENLREQVERTKEQLEQTKYSLETKLAGLSSDFKQAEKSLNVIEQNMRENEELVMKYKQEFDMHLTKFNQFEQDTSKYNSQTTALDDKTKGIEELLGQVRSEKHVVESFLKDKESTIIHLEESKDQIEKRLREVESPLSKLESLLIVEERLAENEEVEKNLEKQLDTIKGELELNCLLDKPVKGDILSTLKTIENFASQLEKELDVQMKKKAELQSQYLQIDNEMQKYTTALEKMKEKLELNESRLFASERKADLAVTEADFLTQKMQKPDEISELSYSLDMKINELKQSDIYEQLKKIQDEKERLLEVKSSLENELDQNYVEAHKVLNKLNSIEHILQMEQDKKTKRELAEFEDLDNKEKLKLEMEEKETRLQEYDLVLNDYDARLSNLESEINEQNLLKTAPNWEIESLTDLTNSFVDSESCVSEDIERLLNISLKHTKTTINTPINFQDAYNSNKMKNIKGLCWTVRRKRKAKLEQQLTLSSSTVLMRTLNEIKENQVKQLLDKEELLQALQDKEMAQARTELSVEELQKKLDMIEKRYRKLLAEDTSVENVYPTNKMYKATSAFQLATLTEDFSVPTITCIIRTKANGGLSNQLIRTLKSDRYNIFGGVQGDSLKKIVQGLIFLSRNINCGEKLRVGAAGTQAARESGALDVLNLHSVKVSGECNSPEGKERSELIMRFITHSRSSRQNMKTEGYHRSKEGKTIWKLAMESTYVVEETLDAVYVNRNVDERIILPKMKQTGCLLDTITENYIEMYSVRLLFERDPFKKIKQFIDHIARPIVGLHDESGMMTYTKGSATQGYAFITGNYGQIASDIIPAAIEEYVNKTTENGHFVRDFNFSKSGDVQYIVNNDTKWYVQATEGLSEERSNLPRVRVCVAVAGEEAM
+>sp|Q9BS16|CENPK_HUMAN Centromere protein K OS=Homo sapiens OX=9606 GN=CENPK PE=1 SV=1
+MNQEDLDPDSTTDVGDVTNTEEELIRECEEMWKDMEECQNKLSLIGTETLTDSNAQLSLLIMQVKCLTAELSQWQKKTPETIPLTEDVLITLGKEEFQKLRQDLEMVLSTKESKNEKLKEDLEREQRWLDEQQQIMESLNVLHSELKNKVETFSESRIFNELKTKMLNIKEYKEKLLSTLGEFLEDHFPLPDRSVKKKKKNIQESSVNLITLHEMLEILINRLFDVPHDPYVKISDSFWPPYVELLLRNGIALRHPEDPTRIRLEAFHQ
+>DECOY_sp|Q9BS16|CENPK_HUMAN Centromere protein K OS=Homo sapiens OX=9606 GN=CENPK PE=1 SV=1
+QHFAELRIRTPDEPHRLAIGNRLLLEVYPPWFSDSIKVYPDHPVDFLRNILIELMEHLTILNVSSEQINKKKKKVSRDPLPFHDELFEGLTSLLKEKYEKINLMKTKLENFIRSESFTEVKNKLESHLVNLSEMIQQQEDLWRQERELDEKLKENKSEKTSLVMELDQRLKQFEEKGLTILVDETLPITEPTKKQWQSLEATLCKVQMILLSLQANSDTLTETGILSLKNQCEEMDKWMEECERILEEETNTVDGVDTTSDPDLDEQNM
+>sp|Q6IPU0|CENPP_HUMAN Centromere protein P OS=Homo sapiens OX=9606 GN=CENPP PE=1 SV=1
+MDAELAEVRALQAEIAALRRACEDPPAPWEEKSRVQKSFQAIHQFNLEGWKSSKDLKNQLGHLESELSFLSTLTGINIRNHSKQTEDLTSTEMTEKSIRKVLQRHRLSGNCHMVTFQLEFQILEIQNKERLSSAVTDLNIIMEPTECSELSEFVSRAEERKDLFMFFRSLHFFVEWFEYRKRTFKHLKEKYPDAVYLSEGPSSCSMGIRSASRPGFELVIVWRIQIDEDGKVFPKLDLLTKVPQRALELDKNRAIETAPLSFRTLVGLLGIEAALESLIKSLCAEENN
+>DECOY_sp|Q6IPU0|CENPP_HUMAN Centromere protein P OS=Homo sapiens OX=9606 GN=CENPP PE=1 SV=1
+NNEEACLSKILSELAAEIGLLGVLTRFSLPATEIARNKDLELARQPVKTLLDLKPFVKGDEDIQIRWVIVLEFGPRSASRIGMSCSSPGESLYVADPYKEKLHKFTRKRYEFWEVFFHLSRFFMFLDKREEARSVFESLESCETPEMIINLDTVASSLREKNQIELIQFELQFTVMHCNGSLRHRQLVKRISKETMETSTLDETQKSHNRINIGTLTSLFSLESELHGLQNKLDKSSKWGELNFQHIAQFSKQVRSKEEWPAPPDECARRLAAIEAQLARVEALEADM
+>sp|Q9C0F1|CEP44_HUMAN Centrosomal protein of 44 kDa OS=Homo sapiens OX=9606 GN=CEP44 PE=1 SV=2
+MATGDLKRSLRNLEQVLRLLNYPEEVDCVGLIKGDPAASLPIISYSFTSYSPYVTELIMESNVELIAKNDLRFIDAVYKLLRDQFNYKPILTKKQFIQCGFAEWKIQIVCDILNCVMKKHKELSSLQKIPSQQRKKISSGKSEPPLGNEKISAEAVGVDISGRFMTSGKKKAVVIRHLYNEDNVDISEDTLSPITDVNEAVDVSDLNATEIKMPEVKVPEIKAEQQDVNVNPEITALQTMLAECQENLKKLTSIEKRLDCLEQKMKGKVMVDENTWTNLLSRVTLLETEMLLSKKNDEFIEFNEVSEDYASCSDMDLLNPHRKSEVERPASIPLSSGYSTASSDSTPRASTVNYCGLNEISEETTIQKMERMKKMFEETAELLKCPNHYL
+>DECOY_sp|Q9C0F1|CEP44_HUMAN Centrosomal protein of 44 kDa OS=Homo sapiens OX=9606 GN=CEP44 PE=1 SV=2
+LYHNPCKLLEATEEFMKKMREMKQITTEESIENLGCYNVTSARPTSDSSATSYGSSLPISAPREVESKRHPNLLDMDSCSAYDESVENFEIFEDNKKSLLMETELLTVRSLLNTWTNEDVMVKGKMKQELCDLRKEISTLKKLNEQCEALMTQLATIEPNVNVDQQEAKIEPVKVEPMKIETANLDSVDVAENVDTIPSLTDESIDVNDENYLHRIVVAKKKGSTMFRGSIDVGVAEASIKENGLPPESKGSSIKKRQQSPIKQLSSLEKHKKMVCNLIDCVIQIKWEAFGCQIFQKKTLIPKYNFQDRLLKYVADIFRLDNKAILEVNSEMILETVYPSYSTFSYSIIPLSAAPDGKILGVCDVEEPYNLLRLVQELNRLSRKLDGTAM
+>sp|Q8TAP6|CEP76_HUMAN Centrosomal protein of 76 kDa OS=Homo sapiens OX=9606 GN=CEP76 PE=1 SV=1
+MSLPPEKASELKQLIHQQLSKMDVHGRIREILAETIREELAPDQQHLSTEDLIKALRRRGIIDDVMKELNFVTDSVEQELPSSPKQPICFDRQSTLKKTNIDPTRRYLYLQVLGGKAFLEHLQEPEPLPGQVCSTFTLCLHYRNQRFRSKPVPCACEPDFHDGFLLEVHRESLGDGTRMADSTTMLSISDPIHMVLIKTDIFGETTLVASYFLEWRSVLGSENGVTSLTVELMGVGTESKVSVGILNIKLEMYPPLNQTLSQEVVNTQLALERQKTAEKERLFLVYAKQWWREYLQIRPSHNSRLVKIFAQDENGINRPVCSYVKPLRAGRLLDTPRQAARFVNVLGYERAPVIGGGGKQEQWCTLLAFLCRNKGDCEDHANLLCSLLLGYGLEAFVCVGTKAKGVPHAWVMTCGTDGAITFWESLTGHRYIHKPTNPDEPPVAEQPKPLYPYRTIGCVFNHQMFLGNCQPSDAVETCVFDLNDESKWKPMSEEAIKSVCAPGATTSLPPFPPLCASTIDASVTSNEIEMQLRLLVSEHRKDLGLTTVWEDQLSYLLSPALASYEFERTTSISAGNEEFQDAIRRAVPDGHTFKGFPIHFVYRNARRAFATCLRSPFCEEIICCRGDQVRLAVRVRVFTYPESACAVWIMFACKYRSVL
+>DECOY_sp|Q8TAP6|CEP76_HUMAN Centrosomal protein of 76 kDa OS=Homo sapiens OX=9606 GN=CEP76 PE=1 SV=1
+LVSRYKCAFMIWVACASEPYTFVRVRVALRVQDGRCCIIEECFPSRLCTAFARRANRYVFHIPFGKFTHGDPVARRIADQFEENGASISTTREFEYSALAPSLLYSLQDEWVTTLGLDKRHESVLLRLQMEIENSTVSADITSACLPPFPPLSTTAGPACVSKIAEESMPKWKSEDNLDFVCTEVADSPQCNGLFMQHNFVCGITRYPYLPKPQEAVPPEDPNTPKHIYRHGTLSEWFTIAGDTGCTMVWAHPVGKAKTGVCVFAELGYGLLLSCLLNAHDECDGKNRCLFALLTCWQEQKGGGGIVPAREYGLVNVFRAAQRPTDLLRGARLPKVYSCVPRNIGNEDQAFIKVLRSNHSPRIQLYERWWQKAYVLFLREKEATKQRELALQTNVVEQSLTQNLPPYMELKINLIGVSVKSETGVGMLEVTLSTVGNESGLVSRWELFYSAVLTTEGFIDTKILVMHIPDSISLMTTSDAMRTGDGLSERHVELLFGDHFDPECACPVPKSRFRQNRYHLCLTFTSCVQGPLPEPEQLHELFAKGGLVQLYLYRRTPDINTKKLTSQRDFCIPQKPSSPLEQEVSDTVFNLEKMVDDIIGRRRLAKILDETSLHQQDPALEERITEALIERIRGHVDMKSLQQHILQKLESAKEPPLSM
+>sp|Q6P2H3|CEP85_HUMAN Centrosomal protein of 85 kDa OS=Homo sapiens OX=9606 GN=CEP85 PE=1 SV=1
+MAMQEKYPTEGISHVTSPSSDVIQKGSSLGTEWQTPVISEPFRSRFSRCSSVADSGDTAIGTSCSDIAEDFCSSSGSPPFQPIKSHVTIPTAHVMPSTLGTSPAKPNSTPVGPSSSKLPLSGLAESVGMTRNGDLGAMKHSPGLSRDLMYFSGATGENGIEQSWFPAVGHERQEEARKFDIPSMESTLNQSAMMETLYSDPHHRVRFHNPRTSTSKELYRVLPEAKKAPGSGAVFERNGPHSNSSGVLPLGLQPAPGLSKPLPSQVWQPSPDTWHPREQSCELSTCRQQLELIRLQMEQMQLQNGAICHHPAAFGPSLPILEPAQWISILNSNEHLLKEKELLIDKQRKHISQLEQKVRESELQVHSALLGRPAPFGDVCLLRLQELQRENTFLRAQFAQKTEALSREKIDLEKKLSASEVEVQLIRESLKVALQKHSEEVKKQEERVKGRDKHINNLKKKCQKESEQNREKQQRIETLERYLADLPTLEDHQKQSQQLKDSELKSTELQEKVTELESLLEETQAICREKEIQLESLRQREAEFSSAGHSLQDKQSVEETSGEGPEVEMESWQKRYDSLQKIVEKQQQKMDQLRSQVQSLEQEVAQEEGTSQALREEAQRRDSALQQLRTAVKELSVQNQDLIEKNLTLQEHLRQAQPGSPPSPDTAQLALELHQELASCLQDLQAVCSIVTQRAQGHDPNLSLLLGIHSAQHPETQLDLQKPDVIKRKLEEVQQLRRDIEDLRTTMSDRYAQDMGENCVTQ
+>DECOY_sp|Q6P2H3|CEP85_HUMAN Centrosomal protein of 85 kDa OS=Homo sapiens OX=9606 GN=CEP85 PE=1 SV=1
+QTVCNEGMDQAYRDSMTTRLDEIDRRLQQVEELKRKIVDPKQLDLQTEPHQASHIGLLLSLNPDHGQARQTVISCVAQLDQLCSALEQHLELALQATDPSPPSGPQAQRLHEQLTLNKEILDQNQVSLEKVATRLQQLASDRRQAEERLAQSTGEEQAVEQELSQVQSRLQDMKQQQKEVIKQLSDYRKQWSEMEVEPGEGSTEEVSQKDQLSHGASSFEAERQRLSELQIEKERCIAQTEELLSELETVKEQLETSKLESDKLQQSQKQHDELTPLDALYRELTEIRQQKERNQESEKQCKKKLNNIHKDRGKVREEQKKVEESHKQLAVKLSERILQVEVESASLKKELDIKERSLAETKQAFQARLFTNERQLEQLRLLCVDGFPAPRGLLASHVQLESERVKQELQSIHKRQKDILLEKEKLLHENSNLISIWQAPELIPLSPGFAAPHHCIAGNQLQMQEMQLRILELQQRCTSLECSQERPHWTDPSPQWVQSPLPKSLGPAPQLGLPLVGSSNSHPGNREFVAGSGPAKKAEPLVRYLEKSTSTRPNHFRVRHHPDSYLTEMMASQNLTSEMSPIDFKRAEEQREHGVAPFWSQEIGNEGTAGSFYMLDRSLGPSHKMAGLDGNRTMGVSEALGSLPLKSSSPGVPTSNPKAPSTGLTSPMVHATPITVHSKIPQFPPSGSSSCFDEAIDSCSTGIATDGSDAVSSCRSFRSRFPESIVPTQWETGLSSGKQIVDSSPSTVHSIGETPYKEQMAM
+>sp|Q8IU89|CERS3_HUMAN Ceramide synthase 3 OS=Homo sapiens OX=9606 GN=CERS3 PE=1 SV=2
+MFWTFKEWFWLERFWLPPTIKWSDLEDHDGLVFVKPSHLYVTIPYAFLLLIIRRVFEKFVASPLAKSFGIKETVRKVTPNTVLENFFKHSTRQPLQTDIYGLAKKCNLTERQVERWFRSRRNQERPSRLKKFQEACWRFAFYLMITVAGIAFLYDKPWLYDLWEVWNGYPKQPLLPSQYWYYILEMSFYWSLLFRLGFDVKRKDFLAHIIHHLAAISLMSFSWCANYIRSGTLVMIVHDVADIWLESAKMFSYAGWTQTCNTLFFIFSTIFFISRLIVFPFWILYCTLILPMYHLEPFFSYIFLNLQLMILQVLHLYWGYYILKMLNRCIFMKSIQDVRSDDEDYEEEEEEEEEEATKGKEMDCLKNGLRAERHLIPNGQHGH
+>DECOY_sp|Q8IU89|CERS3_HUMAN Ceramide synthase 3 OS=Homo sapiens OX=9606 GN=CERS3 PE=1 SV=2
+HGHQGNPILHREARLGNKLCDMEKGKTAEEEEEEEEEEYDEDDSRVDQISKMFICRNLMKLIYYGWYLHLVQLIMLQLNLFIYSFFPELHYMPLILTCYLIWFPFVILRSIFFITSFIFFLTNCTQTWGAYSFMKASELWIDAVDHVIMVLTGSRIYNACWSFSMLSIAALHHIIHALFDKRKVDFGLRFLLSWYFSMELIYYWYQSPLLPQKPYGNWVEWLDYLWPKDYLFAIGAVTIMLYFAFRWCAEQFKKLRSPREQNRRSRFWREVQRETLNCKKALGYIDTQLPQRTSHKFFNELVTNPTVKRVTEKIGFSKALPSAVFKEFVRRIILLLFAYPITVYLHSPKVFVLGDHDELDSWKITPPLWFRELWFWEKFTWFM
+>sp|Q12798|CETN1_HUMAN Centrin-1 OS=Homo sapiens OX=9606 GN=CETN1 PE=1 SV=1
+MASGFKKPSAASTGQKRKVAPKPELTEDQKQEVREAFDLFDVDGSGTIDAKELKVAMRALGFEPRKEEMKKMISEVDREGTGKISFNDFLAVMTQKMSEKDTKEEILKAFRLFDDDETGKISFKNLKRVANELGENLTDEELQEMIDEADRDGDGEVNEEEFLRIMKKTSLY
+>DECOY_sp|Q12798|CETN1_HUMAN Centrin-1 OS=Homo sapiens OX=9606 GN=CETN1 PE=1 SV=1
+YLSTKKMIRLFEEENVEGDGDRDAEDIMEQLEEDTLNEGLENAVRKLNKFSIKGTEDDDFLRFAKLIEEKTDKESMKQTMVALFDNFSIKGTGERDVESIMKKMEEKRPEFGLARMAVKLEKADITGSGDVDFLDFAERVEQKQDETLEPKPAVKRKQGTSAASPKKFGSAM
+>sp|O15182|CETN3_HUMAN Centrin-3 OS=Homo sapiens OX=9606 GN=CETN3 PE=1 SV=2
+MSLALRSELVVDKTKRKKRRELSEEQKQEIKDAFELFDTDKDEAIDYHELKVAMRALGFDVKKADVLKILKDYDREATGKITFEDFNEVVTDWILERDPHEEILKAFKLFDDDDSGKISLRNLRRVARELGENMSDEELRAMIEEFDKDGDGEINQEEFIAIMTGDI
+>DECOY_sp|O15182|CETN3_HUMAN Centrin-3 OS=Homo sapiens OX=9606 GN=CETN3 PE=1 SV=2
+IDGTMIAIFEEQNIEGDGDKDFEEIMARLEEDSMNEGLERAVRRLNRLSIKGSDDDDFLKFAKLIEEHPDRELIWDTVVENFDEFTIKGTAERDYDKLIKLVDAKKVDFGLARMAVKLEHYDIAEDKDTDFLEFADKIEQKQEESLERRKKRKTKDVVLESRLALSM
+>sp|Q5SRN2|CF010_HUMAN Uncharacterized protein C6orf10 OS=Homo sapiens OX=9606 GN=C6orf10 PE=2 SV=3
+MTVLEITLAVILTLLGLAILAILLTRWARCKQSEMYISRYSSEQSARLLDYEDGRGSRHAYSTQSDTSYDNRERSKRDYTPSTNSLVSMASKFSLGQTELILLLMCFILALSRSSIGSIKCLQTTEEPPSRTAGAMMQFTAPIPGATGPIKLSQKTIVQTPGPIVQYPGSNAGPPSAPRGPPMAPIIISQRTARIPQVHTMDSSGKITLTPVVILTGYMDEELAKKSCSKIQILKCGGTARSQNSREENKEALKNDIIFTNSVESLKSAHIKEPEREGKGTDLEKDKIGMEVKVDSDAGIPKRQETQLKISEMSIPQGQGAQIKKSVSDVPRGQESQVKKSESGVPKGQEAQVTKSGLVVLKGQEAQVEKSEMGVPRRQESQVKKSQSGVSKGQEAQVKKRESVVLKGQEAQVEKSELKVPKGQEGQVEKTEADVPKEQEVQEKKSEAGVLKGPESQVKNTEVSVPETLESQVKKSESGVLKGQEAQEKKESFEDKGNNDKEKERDAEKDPNKKEKGDKNTKGDKGKDKVKGKRESEINGEKSKGSKRAKANTGRKYNKKVEE
+>DECOY_sp|Q5SRN2|CF010_HUMAN Uncharacterized protein C6orf10 OS=Homo sapiens OX=9606 GN=C6orf10 PE=2 SV=3
+EEVKKNYKRGTNAKARKSGKSKEGNIESERKGKVKDKGKDGKTNKDGKEKKNPDKEADREKEKDNNGKDEFSEKKEQAEQGKLVGSESKKVQSELTEPVSVETNKVQSEPGKLVGAESKKEQVEQEKPVDAETKEVQGEQGKPVKLESKEVQAEQGKLVVSERKKVQAEQGKSVGSQSKKVQSEQRRPVGMESKEVQAEQGKLVVLGSKTVQAEQGKPVGSESKKVQSEQGRPVDSVSKKIQAGQGQPISMESIKLQTEQRKPIGADSDVKVEMGIKDKELDTGKGEREPEKIHASKLSEVSNTFIIDNKLAEKNEERSNQSRATGGCKLIQIKSCSKKALEEDMYGTLIVVPTLTIKGSSDMTHVQPIRATRQSIIIPAMPPGRPASPPGANSGPYQVIPGPTQVITKQSLKIPGTAGPIPATFQMMAGATRSPPEETTQLCKISGISSRSLALIFCMLLLILETQGLSFKSAMSVLSNTSPTYDRKSRERNDYSTDSQTSYAHRSGRGDEYDLLRASQESSYRSIYMESQKCRAWRTLLIALIALGLLTLIVALTIELVTM
+>sp|Q5T6M2|CF122_HUMAN Putative uncharacterized protein encoded by LINC00242 OS=Homo sapiens OX=9606 GN=LINC00242 PE=5 SV=1
+MMPRHLLPHSGITSLRRVPRRRLPSRRREDFRRCLFLSFVSTDKDGDPTGQASPAVPVPHFTTWGSLIPIDSQRNKERTRFTWMDGPPHGGLGTRFSGRGSASLTAPPGRCFTRERHPVPRQRKCRRQHSTGRKPHCGTRSAAPRNPKSIHKRSFSAKSLKNKTRNESPPVSALVSRTKTQPGQLHFCCQPSSSQAPASRRAKGR
+>DECOY_sp|Q5T6M2|CF122_HUMAN Putative uncharacterized protein encoded by LINC00242 OS=Homo sapiens OX=9606 GN=LINC00242 PE=5 SV=1
+RGKARRSAPAQSSSPQCCFHLQGPQTKTRSVLASVPPSENRTKNKLSKASFSRKHISKPNRPAASRTGCHPKRGTSHQRRCKRQRPVPHRERTFCRGPPATLSASGRGSFRTGLGGHPPGDMWTFRTREKNRQSDIPILSGWTTFHPVPVAPSAQGTPDGDKDTSVFSLFLCRRFDERRRSPLRRRPVRRLSTIGSHPLLHRPMM
+>sp|Q5SQH8|CF136_HUMAN Uncharacterized protein C6orf136 OS=Homo sapiens OX=9606 GN=C6orf136 PE=2 SV=1
+MYQPSRGAARRLGPCLRAYQARPQDQLYPGTLPFPPLWPHSTTTTSPSSPLFWSPLPPRLPTQRLPQVPPLPLPQIQALSSAWVVLPPGKGEEGPGPELHSGCLDGLRSLFEGPPCPYPGAWIPFQVPGTAHPSPATPSGDPSMEEHLSVMYERLRQELPKLFLQSHDYSLYSLDVEFINEILNIRTKGRTWYILSLTLCRFLAWNYFAHLRLEVLQLTRHPENWTLQARWRLVGLPVHLLFLRFYKRDKDEHYRTYDAYSTFYLNSSGLICRHRLDKLMPSHSPPTPVKKLLVGALVALGLSEPEPDLNLCSKP
+>DECOY_sp|Q5SQH8|CF136_HUMAN Uncharacterized protein C6orf136 OS=Homo sapiens OX=9606 GN=C6orf136 PE=2 SV=1
+PKSCLNLDPEPESLGLAVLAGVLLKKVPTPPSHSPMLKDLRHRCILGSSNLYFTSYADYTRYHEDKDRKYFRLFLLHVPLGVLRWRAQLTWNEPHRTLQLVELRLHAFYNWALFRCLTLSLIYWTRGKTRINLIENIFEVDLSYLSYDHSQLFLKPLEQRLREYMVSLHEEMSPDGSPTAPSPHATGPVQFPIWAGPYPCPPGEFLSRLGDLCGSHLEPGPGEEGKGPPLVVWASSLAQIQPLPLPPVQPLRQTPLRPPLPSWFLPSSPSTTTTSHPWLPPFPLTGPYLQDQPRAQYARLCPGLRRAAGRSPQYM
+>sp|Q9H8X3|CF208_HUMAN Putative uncharacterized protein LINC00574 OS=Homo sapiens OX=9606 GN=LINC00574 PE=5 SV=1
+MSLCSACTSPASHQLLLNCQGQTVAKSHSSADAGVSLVSGRWCAWWPEHCSESMFPSQHPVLSSNLADSSGQGRSPAGAHPALCPFHKSPWFPHFPQILPREWSWCGPERPAGCSLGLKAEAALVGKK
+>DECOY_sp|Q9H8X3|CF208_HUMAN Putative uncharacterized protein LINC00574 OS=Homo sapiens OX=9606 GN=LINC00574 PE=5 SV=1
+KKGVLAAEAKLGLSCGAPREPGCWSWERPLIQPFHPFWPSKHFPCLAPHAGAPSRGQGSSDALNSSLVPHQSPFMSESCHEPWWACWRGSVLSVGADASSHSKAVTQGQCNLLLQHSAPSTCASCLSM
+>sp|A6NFT4|CFA73_HUMAN Cilia- and flagella-associated protein 73 OS=Homo sapiens OX=9606 GN=CFAP73 PE=2 SV=3
+MAVPWEEYFRLALQEKLSTKLPEQAEDHVPPVLRLLEKRQELVDADQALQAQKEVFRTKTAALKQRWEQLEQKERELKGSFIRFDKFLQDSEARRNRALRRAAEERHQAGRREVEALRLWTQLQELRREHARLQRRLKRLEPCARLLEQALELLPGFQEVPELVARFDGLAETQAALRLREREQLAELEAARARLQQLRDAWPDEVLAQGQRRAQLQERLEAARERTLQWESKWIQIQNTAAEKTLLLGRSRMAVLNLFQLVCQHQGQPPTLDIEDTEGQLEHVKLFMQDLSAMLAGLGQAEPAAPAS
+>DECOY_sp|A6NFT4|CFA73_HUMAN Cilia- and flagella-associated protein 73 OS=Homo sapiens OX=9606 GN=CFAP73 PE=2 SV=3
+SAPAAPEAQGLGALMASLDQMFLKVHELQGETDEIDLTPPQGQHQCVLQFLNLVAMRSRGLLLTKEAATNQIQIWKSEWQLTRERAAELREQLQARRQGQALVEDPWADRLQQLRARAAELEALQERERLRLAAQTEALGDFRAVLEPVEQFGPLLELAQELLRACPELRKLRRQLRAHERRLEQLQTWLRLAEVERRGAQHREEAARRLARNRRAESDQLFKDFRIFSGKLEREKQELQEWRQKLAATKTRFVEKQAQLAQDADVLEQRKELLRLVPPVHDEAQEPLKTSLKEQLALRFYEEWPVAM
+>sp|Q6ZQR2|CFA77_HUMAN Cilia- and flagella-associated protein 77 OS=Homo sapiens OX=9606 GN=CFAP77 PE=1 SV=1
+MPEARSSGPDLTRWRKQQQPVRRTVSQVCPPPRRPLTVADIRSGMENERLGVVRDSMFQNPLIVKAAGPASVGTSYSVYDSSAVQKVIPSLAGHHIKGGPQAELGKPRERSYSLPGINFNYGLYIRGLDGGVPEAIGRWNVFKQQPTCPHELTRNYIAMNRGAVKAGLVTARENLLYRQLNDIRISDQDDRRMKKEPPPLPPNMTFGIRARPSTPFFDLLQHRYLQLWVQEQKATQKAIKLEKKQKVVLGKLYETRSSQLRKYKPPVKLDTLWHMPHFQKVGRHLDTFPTEADRQRALKAHREECAVRQGTLRMGNYTHP
+>DECOY_sp|Q6ZQR2|CFA77_HUMAN Cilia- and flagella-associated protein 77 OS=Homo sapiens OX=9606 GN=CFAP77 PE=1 SV=1
+PHTYNGMRLTGQRVACEERHAKLARQRDAETPFTDLHRGVKQFHPMHWLTDLKVPPKYKRLQSSRTEYLKGLVVKQKKELKIAKQTAKQEQVWLQLYRHQLLDFFPTSPRARIGFTMNPPLPPPEKKMRRDDQDSIRIDNLQRYLLNERATVLGAKVAGRNMAIYNRTLEHPCTPQQKFVNWRGIAEPVGGDLGRIYLGYNFNIGPLSYSRERPKGLEAQPGGKIHHGALSPIVKQVASSDYVSYSTGVSAPGAAKVILPNQFMSDRVVGLRENEMGSRIDAVTLPRRPPPCVQSVTRRVPQQQKRWRTLDPGSSRAEPM
+>sp|Q8IZ16|CG061_HUMAN Uncharacterized protein C7orf61 OS=Homo sapiens OX=9606 GN=C7orf61 PE=2 SV=1
+MVVVMKFFRWVRRAWQRIISWVFFWRQKIKPTISGHPDSKKHSLKKMEKTLQVVETLRLVELPKEAKPKLGESPELADPCVLAKTTEETEVELGQQGQSLLQLPRTAVKSVSTLMVSALQSGWQMCSWKSSVSSASVSSQVRTQSPLKTPEAELLWEVYLVLWAVRKHLRRLYRRQERHRRHHVRCHAAPRPNPAQSLKLDAQSPL
+>DECOY_sp|Q8IZ16|CG061_HUMAN Uncharacterized protein C7orf61 OS=Homo sapiens OX=9606 GN=C7orf61 PE=2 SV=1
+LPSQADLKLSQAPNPRPAAHCRVHHRRHREQRRYLRRLHKRVAWLVLYVEWLLEAEPTKLPSQTRVQSSVSASSVSSKWSCMQWGSQLASVMLTSVSKVATRPLQLLSQGQQGLEVETEETTKALVCPDALEPSEGLKPKAEKPLEVLRLTEVVQLTKEMKKLSHKKSDPHGSITPKIKQRWFFVWSIIRQWARRVWRFFKMVVVM
+>sp|A4D174|CG071_HUMAN Putative uncharacterized protein C7orf71 OS=Homo sapiens OX=9606 GN=C7orf71 PE=2 SV=1
+MGSCGWALTQSDWCPFKKRKRHQRCAHSETRHMRTQRQGGYLQARERGLGEANPAYALILDFQPPELQNLSEMGINHELGYSSIIPMMKRAFSACGTLGLIMSGIFDNPGPIKNTLKKAFTMLWSFTVYTRLESLRVALSNEEMVPFIFHLKTQTANMLSGPISTPMLL
+>DECOY_sp|A4D174|CG071_HUMAN Putative uncharacterized protein C7orf71 OS=Homo sapiens OX=9606 GN=C7orf71 PE=2 SV=1
+LLMPTSIPGSLMNATQTKLHFIFPVMEENSLAVRLSELRTYVTFSWLMTFAKKLTNKIPGPNDFIGSMILGLTGCASFARKMMPIISSYGLEHNIGMESLNQLEPPQFDLILAYAPNAEGLGRERAQLYGGQRQTRMHRTESHACRQHRKRKKFPCWDSQTLAWGCSGM
+>sp|Q8TDX6|CGAT1_HUMAN Chondroitin sulfate N-acetylgalactosaminyltransferase 1 OS=Homo sapiens OX=9606 GN=CSGALNACT1 PE=1 SV=2
+MMMVRRGLLAWISRVVVLLVLLCCAISVLYMLACTPKGDEEQLALPRANSPTGKEGYQAVLQEWEEQHRNYVSSLKRQIAQLKEELQERSEQLRNGQYQASDAAGLGLDRSPPEKTQADLLAFLHSQVDKAEVNAGVKLATEYAAVPFDSFTLQKVYQLETGLTRHPEEKPVRKDKRDELVEAIESALETLNSPAENSPNHRPYTASDFIEGIYRTERDKGTLYELTFKGDHKHEFKRLILFRPFGPIMKVKNEKLNMANTLINVIVPLAKRVDKFRQFMQNFREMCIEQDGRVHLTVVYFGKEEINEVKGILENTSKAANFRNFTFIQLNGEFSRGKGLDVGARFWKGSNVLLFFCDVDIYFTSEFLNTCRLNTQPGKKVFYPVLFSQYNPGIIYGHHDAVPPLEQQLVIKKETGFWRDFGFGMTCQYRSDFINIGGFDLDIKGWGGEDVHLYRKYLHSNLIVVRTPVRGLFHLWHEKRCMDELTPEQYKMCMQSKAMNEASHGQLGMLVFRHEIEAHLRKQKQKTSSKKT
+>DECOY_sp|Q8TDX6|CGAT1_HUMAN Chondroitin sulfate N-acetylgalactosaminyltransferase 1 OS=Homo sapiens OX=9606 GN=CSGALNACT1 PE=1 SV=2
+TKKSSTKQKQKRLHAEIEHRFVLMGLQGHSAENMAKSQMCMKYQEPTLEDMCRKEHWLHFLGRVPTRVVILNSHLYKRYLHVDEGGWGKIDLDFGGINIFDSRYQCTMGFGFDRWFGTEKKIVLQQELPPVADHHGYIIGPNYQSFLVPYFVKKGPQTNLRCTNLFESTFYIDVDCFFLLVNSGKWFRAGVDLGKGRSFEGNLQIFTFNRFNAAKSTNELIGKVENIEEKGFYVVTLHVRGDQEICMERFNQMFQRFKDVRKALPVIVNILTNAMNLKENKVKMIPGFPRFLILRKFEHKHDGKFTLEYLTGKDRETRYIGEIFDSATYPRHNPSNEAPSNLTELASEIAEVLEDRKDKRVPKEEPHRTLGTELQYVKQLTFSDFPVAAYETALKVGANVEAKDVQSHLFALLDAQTKEPPSRDLGLGAADSAQYQGNRLQESREQLEEKLQAIQRKLSSVYNRHQEEWEQLVAQYGEKGTPSNARPLALQEEDGKPTCALMYLVSIACCLLVLLVVVRSIWALLGRRVMMM
+>sp|Q9UFW8|CGBP1_HUMAN CGG triplet repeat-binding protein 1 OS=Homo sapiens OX=9606 GN=CGGBP1 PE=1 SV=2
+MERFVVTAPPARNRSKTALYVTPLDRVTEFGGELHEDGGKLFCTSCNVVLNHVRKSAISDHLKSKTHTKRKAEFEEQNVRKKQRPLTASLQCNSTAQTEKVSVIQDFVKMCLEANIPLEKADHPAVRAFLSRHVKNGGSIPKSDQLRRAYLPDGYENENQLLNSQDC
+>DECOY_sp|Q9UFW8|CGBP1_HUMAN CGG triplet repeat-binding protein 1 OS=Homo sapiens OX=9606 GN=CGGBP1 PE=1 SV=2
+CDQSNLLQNENEYGDPLYARRLQDSKPISGGNKVHRSLFARVAPHDAKELPINAELCMKVFDQIVSVKETQATSNCQLSATLPRQKKRVNQEEFEAKRKTHTKSKLHDSIASKRVHNLVVNCSTCFLKGGDEHLEGGFETVRDLPTVYLATKSRNRAPPATVVFREM
+>sp|P32929|CGL_HUMAN Cystathionine gamma-lyase OS=Homo sapiens OX=9606 GN=CTH PE=1 SV=3
+MQEKDASSQGFLPHFQHFATQAIHVGQDPEQWTSRAVVPPISLSTTFKQGAPGQHSGFEYSRSGNPTRNCLEKAVAALDGAKYCLAFASGLAATVTITHLLKAGDQIICMDDVYGGTNRYFRQVASEFGLKISFVDCSKIKLLEAAITPETKLVWIETPTNPTQKVIDIEGCAHIVHKHGDIILVVDNTFMSPYFQRPLALGADISMYSATKYMNGHSDVVMGLVSVNCESLHNRLRFLQNSLGAVPSPIDCYLCNRGLKTLHVRMEKHFKNGMAVAQFLESNPWVEKVIYPGLPSHPQHELVKRQCTGCTGMVTFYIKGTLQHAEIFLKNLKLFTLAESLGGFESLAELPAIMTHASVLKNDRDVLGISDTLIRLSVGLEDEEDLLEDLDQALKAAHPPSGSHS
+>DECOY_sp|P32929|CGL_HUMAN Cystathionine gamma-lyase OS=Homo sapiens OX=9606 GN=CTH PE=1 SV=3
+SHSGSPPHAAKLAQDLDELLDEEDELGVSLRILTDSIGLVDRDNKLVSAHTMIAPLEALSEFGGLSEALTFLKLNKLFIEAHQLTGKIYFTVMGTCGTCQRKVLEHQPHSPLGPYIVKEVWPNSELFQAVAMGNKFHKEMRVHLTKLGRNCLYCDIPSPVAGLSNQLFRLRNHLSECNVSVLGMVVDSHGNMYKTASYMSIDAGLALPRQFYPSMFTNDVVLIIDGHKHVIHACGEIDIVKQTPNTPTEIWVLKTEPTIAAELLKIKSCDVFSIKLGFESAVQRFYRNTGGYVDDMCIIQDGAKLLHTITVTAALGSAFALCYKAGDLAAVAKELCNRTPNGSRSYEFGSHQGPAGQKFTTSLSIPPVVARSTWQEPDQGVHIAQTAFHQFHPLFGQSSADKEQM
+>sp|Q99675|CGRF1_HUMAN Cell growth regulator with RING finger domain protein 1 OS=Homo sapiens OX=9606 GN=CGRRF1 PE=1 SV=1
+MAAVFLVTLYEYSPLFYIAVVFTCFIVTTGLVLGWFGWDVPVILRNSEETQFSTRVFKKQMRQVKNPFGLEITNPSSASITTGITLTTDCLEDSLLTCYWGCSVQKLYEALQKHVYCFRISTPQALEDALYSEYLYQEQYFIKKDSKEEIYCQLPRDTKIEDFGTVPRSRYPLVALLTLADEDDREIYDIISMVSVIHIPDRTYKLSCRILYQYLLLAQGQFHDLKQLFMSANNNFTPSNNSSSEEKNTDRSLLEKVGLSESEVEPSEENSKDCVVCQNGTVNWVLLPCRHTCLCDGCVKYFQQCPMCRQFVQESFALCSQKEQDKDKPKTL
+>DECOY_sp|Q99675|CGRF1_HUMAN Cell growth regulator with RING finger domain protein 1 OS=Homo sapiens OX=9606 GN=CGRRF1 PE=1 SV=1
+LTKPKDKDQEKQSCLAFSEQVFQRCMPCQQFYKVCGDCLCTHRCPLLVWNVTGNQCVVCDKSNEESPEVESESLGVKELLSRDTNKEESSSNNSPTFNNNASMFLQKLDHFQGQALLLYQYLIRCSLKYTRDPIHIVSVMSIIDYIERDDEDALTLLAVLPYRSRPVTGFDEIKTDRPLQCYIEEKSDKKIFYQEQYLYESYLADELAQPTSIRFCYVHKQLAEYLKQVSCGWYCTLLSDELCDTTLTIGTTISASSPNTIELGFPNKVQRMQKKFVRTSFQTEESNRLIVPVDWGFWGLVLGTTVIFCTFVVAIYFLPSYEYLTVLFVAAM
+>sp|Q16880|CGT_HUMAN 2-hydroxyacylsphingosine 1-beta-galactosyltransferase OS=Homo sapiens OX=9606 GN=UGT8 PE=2 SV=2
+MKSYTPYFILLWSAVGIAKAAKIIIVPPIMFESHMYIFKTLASALHERGHHTVFLLSEGRDIAPSNHYSLQRYPGIFNSTTSDAFLQSKMRNIFSGRLTAIELFDILDHYTKNCDLMVGNHALIQGLKKEKFDLLLVDPNDMCGFVIAHLLGVKYAVFSTGLWYPAEVGAPAPLAYVPEFNSLLTDRMNLLQRMKNTGVYLISRLGVSFLVLPKYERIMQKYNLLPEKSMYDLVHGSSLWMLCTDVALEFPRPTLPNVVYVGGILTKPASPLPEDLQRWVNGANEHGFVLVSFGAGVKYLSEDIANKLAGALGRLPQKVIWRFSGPKPKNLGNNTKLIEWLPQNDLLGHSKIKAFLSHGGLNSIFETIYHGVPVVGIPLFGDHYDTMTRVQAKGMGILLEWKTVTEKELYEALVKVINNPSYRQRAQKLSEIHKDQPGHPVNRTIYWIDYIIRHNGAHHLRAAVHQISFCQYFLLDIAFVLLLGAALLYFLLSWVTKFIYRKIKSLWSRNKHSTVNGHYHNGILNGKYKRNGHIKHEKKVK
+>DECOY_sp|Q16880|CGT_HUMAN 2-hydroxyacylsphingosine 1-beta-galactosyltransferase OS=Homo sapiens OX=9606 GN=UGT8 PE=2 SV=2
+KVKKEHKIHGNRKYKGNLIGNHYHGNVTSHKNRSWLSKIKRYIFKTVWSLLFYLLAAGLLLVFAIDLLFYQCFSIQHVAARLHHAGNHRIIYDIWYITRNVPHGPQDKHIESLKQARQRYSPNNIVKVLAEYLEKETVTKWELLIGMGKAQVRTMTDYHDGFLPIGVVPVGHYITEFISNLGGHSLFAKIKSHGLLDNQPLWEILKTNNGLNKPKPGSFRWIVKQPLRGLAGALKNAIDESLYKVGAGFSVLVFGHENAGNVWRQLDEPLPSAPKTLIGGVYVVNPLTPRPFELAVDTCLMWLSSGHVLDYMSKEPLLNYKQMIREYKPLVLFSVGLRSILYVGTNKMRQLLNMRDTLLSNFEPVYALPAPAGVEAPYWLGTSFVAYKVGLLHAIVFGCMDNPDVLLLDFKEKKLGQILAHNGVMLDCNKTYHDLIDFLEIATLRGSFINRMKSQLFADSTTSNFIGPYRQLSYHNSPAIDRGESLLFVTHHGREHLASALTKFIYMHSEFMIPPVIIIKAAKAIGVASWLLIFYPTYSKM
+>sp|Q8N9H6|CH031_HUMAN Putative uncharacterized protein C8orf31 OS=Homo sapiens OX=9606 GN=C8orf31 PE=5 SV=1
+MAEKPHQNSCNSVRQLFKTKQLVTHRDRGSCTHRAQGLLAARTTALQRSPLQQEIWESTTALNLPSALAPQGLTAKDAHFLGDTDPIQEGARDHAAGGPFQDRQASVAAQTLSWERGQGFSRHHGNHLLYSH
+>DECOY_sp|Q8N9H6|CH031_HUMAN Putative uncharacterized protein C8orf31 OS=Homo sapiens OX=9606 GN=C8orf31 PE=5 SV=1
+HSYLLHNGHHRSFGQGREWSLTQAAVSAQRDQFPGGAAHDRAGEQIPDTDGLFHADKATLGQPALASPLNLATTSEWIEQQLPSRQLATTRAALLGQARHTCSGRDRHTVLQKTKFLQRVSNCSNQHPKEAM
+>sp|Q96LL4|CH048_HUMAN Uncharacterized protein C8orf48 OS=Homo sapiens OX=9606 GN=C8orf48 PE=1 SV=2
+MAICPELAQTDKSALANLSDETETLKNSTDEVQTSSSFSSSGGRQSSPLTSGSKLEREKQTPSLEQGDTQSELLDYKNYEKKLSKKWINYLKLKDSNFERHQPDTKLPTEITRVSDEELNALQSYCTMKINLIHRRGDSKKKTSSRHKKLHLGLDVEASERDAFSCTVPDELLNRIYFKNMRTTPKQEAAAKQHISYQCPYCNRKRAELALSAFLKQKKTLLESFLLQERIDEHLHTKDFLTRIGEAHQDFPRLSDDPRIIWKRLTEKSHIRYSGFERSETEQKLQRDGNSACHLPFSLPFLKRLTLIKPELVIVNDNV
+>DECOY_sp|Q96LL4|CH048_HUMAN Uncharacterized protein C8orf48 OS=Homo sapiens OX=9606 GN=C8orf48 PE=1 SV=2
+VNDNVIVLEPKILTLRKLFPLSFPLHCASNGDRQLKQETESREFGSYRIHSKETLRKWIIRPDDSLRPFDQHAEGIRTLFDKTHLHEDIREQLLFSELLTKKQKLFASLALEARKRNCYPCQYSIHQKAAAEQKPTTRMNKFYIRNLLEDPVTCSFADRESAEVDLGLHLKKHRSSTKKKSDGRRHILNIKMTCYSQLANLEEDSVRTIETPLKTDPQHREFNSDKLKLYNIWKKSLKKEYNKYDLLESQTDGQELSPTQKERELKSGSTLPSSQRGGSSSFSSSTQVEDTSNKLTETEDSLNALASKDTQALEPCIAM
+>sp|Q9Y4M8|CH071_HUMAN Putative uncharacterized protein encoded by LINC00588 OS=Homo sapiens OX=9606 GN=LINC00588 PE=5 SV=1
+MATFHRAHATSSVKPRARRHQEPNSGDWPGSYRAGTRCSAIGFRLLHSPQHWRPRSLGAGQGREDPSWEGGALGDLKALWDQPCQPPPWVQLQLSSAYGARQQRWQLSTLPEPPAARTPGQMPQQRLIRAAGPSAAGGGNQWLSPM
+>DECOY_sp|Q9Y4M8|CH071_HUMAN Putative uncharacterized protein encoded by LINC00588 OS=Homo sapiens OX=9606 GN=LINC00588 PE=5 SV=1
+MPSLWQNGGGAASPGAARILRQQPMQGPTRAAPPEPLTSLQWRQQRAGYASSLQLQVWPPPQCPQDWLAKLDGLAGGEWSPDERGQGAGLSRPRWHQPSHLLRFGIASCRTGARYSGPWDGSNPEQHRRARPKVSSTAHARHFTAM
+>sp|Q6ZUL3|CH086_HUMAN Uncharacterized protein C8orf86 OS=Homo sapiens OX=9606 GN=C8orf86 PE=2 SV=1
+MRPLGKGLLPAEELIRSNLGVGRSLRDCLSQSGKLAEELGSKRLKPAKFGTEGKERVEQRTERQRTGSSKEPRMQIICRRRWREPPPRLLWGCLMPRAQPLLHVTAYENTGHWERLASVVSSKTQQPTVISHSSISITFSHYPPATLDSFLVLEPIKLFPVSSLRSPLCLNCGSCRESIRISGELIGNAHSPAPPRTPELETLGWDKQAVLSGAQVILVCAEV
+>DECOY_sp|Q6ZUL3|CH086_HUMAN Uncharacterized protein C8orf86 OS=Homo sapiens OX=9606 GN=C8orf86 PE=2 SV=1
+VEACVLIVQAGSLVAQKDWGLTELEPTRPPAPSHANGILEGSIRISERCSGCNLCLPSRLSSVPFLKIPELVLFSDLTAPPYHSFTISISSHSIVTPQQTKSSVVSALREWHGTNEYATVHLLPQARPMLCGWLLRPPPERWRRRCIIQMRPEKSSGTRQRETRQEVREKGETGFKAPKLRKSGLEEALKGSQSLCDRLSRGVGLNSRILEEAPLLGKGLPRM
+>sp|P61604|CH10_HUMAN 10 kDa heat shock protein, mitochondrial OS=Homo sapiens OX=9606 GN=HSPE1 PE=1 SV=2
+MAGQAFRKFLPLFDRVLVERSAAETVTKGGIMLPEKSQGKVLQATVVAVGSGSKGKGGEIQPVSVKVGDKVLLPEYGGTKVVLDDKDYFLFRDGDILGKYVD
+>DECOY_sp|P61604|CH10_HUMAN 10 kDa heat shock protein, mitochondrial OS=Homo sapiens OX=9606 GN=HSPE1 PE=1 SV=2
+DVYKGLIDGDRFLFYDKDDLVVKTGGYEPLLVKDGVKVSVPQIEGGKGKSGSGVAVVTAQLVKGQSKEPLMIGGKTVTEAASREVLVRDFLPLFKRFAQGAM
+>sp|O95992|CH25H_HUMAN Cholesterol 25-hydroxylase OS=Homo sapiens OX=9606 GN=CH25H PE=1 SV=1
+MSCHNCSDPQVLCSSGQLFLQPLWDHLRSWEALLQSPFFPVIFSITTYVGFCLPFVVLDILCSWVPALRRYKIHPDFSPSAQQLLPCLGQTLYQHVMFVFPVTLLHWARSPALLPHEAPELLLLLHHILFCLLLFDMEFFVWHLLHHKVPWLYRTFHKVHHQNSSSFALATQYMSVWELFSLGFFDMMNVTLLGCHPLTTLTFHVVNIWLSVEDHSGYNFPWSTHRLVPFGWYGGVVHHDLHHSHFNCNFAPYFTHWDKILGTLRTASVPAR
+>DECOY_sp|O95992|CH25H_HUMAN Cholesterol 25-hydroxylase OS=Homo sapiens OX=9606 GN=CH25H PE=1 SV=1
+RAPVSATRLTGLIKDWHTFYPAFNCNFHSHHLDHHVVGGYWGFPVLRHTSWPFNYGSHDEVSLWINVVHFTLTTLPHCGLLTVNMMDFFGLSFLEWVSMYQTALAFSSSNQHHVKHFTRYLWPVKHHLLHWVFFEMDFLLLCFLIHHLLLLLEPAEHPLLAPSRAWHLLTVPFVFMVHQYLTQGLCPLLQQASPSFDPHIKYRRLAPVWSCLIDLVVFPLCFGVYTTISFIVPFFPSQLLAEWSRLHDWLPQLFLQGSSCLVQPDSCNHCSM
+>sp|P36222|CH3L1_HUMAN Chitinase-3-like protein 1 OS=Homo sapiens OX=9606 GN=CHI3L1 PE=1 SV=2
+MGVKASQTGFVVLVLLQCCSAYKLVCYYTSWSQYREGDGSCFPDALDRFLCTHIIYSFANISNDHIDTWEWNDVTLYGMLNTLKNRNPNLKTLLSVGGWNFGSQRFSKIASNTQSRRTFIKSVPPFLRTHGFDGLDLAWLYPGRRDKQHFTTLIKEMKAEFIKEAQPGKKQLLLSAALSAGKVTIDSSYDIAKISQHLDFISIMTYDFHGAWRGTTGHHSPLFRGQEDASPDRFSNTDYAVGYMLRLGAPASKLVMGIPTFGRSFTLASSETGVGAPISGPGIPGRFTKEAGTLAYYEICDFLRGATVHRILGQQVPYATKGNQWVGYDDQESVKSKVQYLKDRQLAGAMVWALDLDDFQGSFCGQDLRFPLTNAIKDALAAT
+>DECOY_sp|P36222|CH3L1_HUMAN Chitinase-3-like protein 1 OS=Homo sapiens OX=9606 GN=CHI3L1 PE=1 SV=2
+TAALADKIANTLPFRLDQGCFSGQFDDLDLAWVMAGALQRDKLYQVKSKVSEQDDYGVWQNGKTAYPVQQGLIRHVTAGRLFDCIEYYALTGAEKTFRGPIGPGSIPAGVGTESSALTFSRGFTPIGMVLKSAPAGLRLMYGVAYDTNSFRDPSADEQGRFLPSHHGTTGRWAGHFDYTMISIFDLHQSIKAIDYSSDITVKGASLAASLLLQKKGPQAEKIFEAKMEKILTTFHQKDRRGPYLWALDLGDFGHTRLFPPVSKIFTRRSQTNSAIKSFRQSGFNWGGVSLLTKLNPNRNKLTNLMGYLTVDNWEWTDIHDNSINAFSYIIHTCLFRDLADPFCSGDGERYQSWSTYYCVLKYASCCQLLVLVVFGTQSAKVGM
+>sp|Q15782|CH3L2_HUMAN Chitinase-3-like protein 2 OS=Homo sapiens OX=9606 GN=CHI3L2 PE=1 SV=1
+MGATTMDQKSLWAGVVVLLLLQGGSAYKLVCYFTNWSQDRQEPGKFTPENIDPFLCSHLIYSFASIENNKVIIKDKSEVMLYQTINSLKTKNPKLKILLSIGGYLFGSKGFHPMVDSSTSRLEFINSIILFLRNHNFDGLDVSWIYPDQKENTHFTVLIHELAEAFQKDFTKSTKERLLLTAGVSAGRQMIDNSYQVEKLAKDLDFINLLSFDFHGSWEKPLITGHNSPLSKGWQDRGPSSYYNVEYAVGYWIHKGMPSEKVVMGIPTYGHSFTLASAETTVGAPASGPGAAGPITESSGFLAYYEICQFLKGAKITRLQDQQVPYAVKGNQWVGYDDVKSMETKVQFLKNLNLGGAMIWSIDMDDFTGKSCNQGPYPLVQAVKRSLGSL
+>DECOY_sp|Q15782|CH3L2_HUMAN Chitinase-3-like protein 2 OS=Homo sapiens OX=9606 GN=CHI3L2 PE=1 SV=1
+LSGLSRKVAQVLPYPGQNCSKGTFDDMDISWIMAGGLNLNKLFQVKTEMSKVDDYGVWQNGKVAYPVQQDQLRTIKAGKLFQCIEYYALFGSSETIPGAAGPGSAPAGVTTEASALTFSHGYTPIGMVVKESPMGKHIWYGVAYEVNYYSSPGRDQWGKSLPSNHGTILPKEWSGHFDFSLLNIFDLDKALKEVQYSNDIMQRGASVGATLLLREKTSKTFDKQFAEALEHILVTFHTNEKQDPYIWSVDLGDFNHNRLFLIISNIFELRSTSSDVMPHFGKSGFLYGGISLLIKLKPNKTKLSNITQYLMVESKDKIIVKNNEISAFSYILHSCLFPDINEPTFKGPEQRDQSWNTFYCVLKYASGGQLLLLVVVGAWLSKQDMTTAGM
+>sp|P10809|CH60_HUMAN 60 kDa heat shock protein, mitochondrial OS=Homo sapiens OX=9606 GN=HSPD1 PE=1 SV=2
+MLRLPTVFRQMRPVSRVLAPHLTRAYAKDVKFGADARALMLQGVDLLADAVAVTMGPKGRTVIIEQSWGSPKVTKDGVTVAKSIDLKDKYKNIGAKLVQDVANNTNEEAGDGTTTATVLARSIAKEGFEKISKGANPVEIRRGVMLAVDAVIAELKKQSKPVTTPEEIAQVATISANGDKEIGNIISDAMKKVGRKGVITVKDGKTLNDELEIIEGMKFDRGYISPYFINTSKGQKCEFQDAYVLLSEKKISSIQSIVPALEIANAHRKPLVIIAEDVDGEALSTLVLNRLKVGLQVVAVKAPGFGDNRKNQLKDMAIATGGAVFGEEGLTLNLEDVQPHDLGKVGEVIVTKDDAMLLKGKGDKAQIEKRIQEIIEQLDVTTSEYEKEKLNERLAKLSDGVAVLKVGGTSDVEVNEKKDRVTDALNATRAAVEEGIVLGGGCALLRCIPALDSLTPANEDQKIGIEIIKRTLKIPAMTIAKNAGVEGSLIVEKIMQSSSEVGYDAMAGDFVNMVEKGIIDPTKVVRTALLDAAGVASLLTTAEVVVTEIPKEEKDPGMGAMGGMGGGMGGGMF
+>DECOY_sp|P10809|CH60_HUMAN 60 kDa heat shock protein, mitochondrial OS=Homo sapiens OX=9606 GN=HSPD1 PE=1 SV=2
+FMGGGMGGGMGGMAGMGPDKEEKPIETVVVEATTLLSAVGAADLLATRVVKTPDIIGKEVMNVFDGAMADYGVESSSQMIKEVILSGEVGANKAITMAPIKLTRKIIEIGIKQDENAPTLSDLAPICRLLACGGGLVIGEEVAARTANLADTVRDKKENVEVDSTGGVKLVAVGDSLKALRENLKEKEYESTTVDLQEIIEQIRKEIQAKDGKGKLLMADDKTVIVEGVKGLDHPQVDELNLTLGEEGFVAGGTAIAMDKLQNKRNDGFGPAKVAVVQLGVKLRNLVLTSLAEGDVDEAIIVLPKRHANAIELAPVISQISSIKKESLLVYADQFECKQGKSTNIFYPSIYGRDFKMGEIIELEDNLTKGDKVTIVGKRGVKKMADSIINGIEKDGNASITAVQAIEEPTTVPKSQKKLEAIVADVALMVGRRIEVPNAGKSIKEFGEKAISRALVTATTTGDGAEENTNNAVDQVLKAGINKYKDKLDISKAVTVGDKTVKPSGWSQEIIVTRGKPGMTVAVADALLDVGQLMLARADAGFKVDKAYARTLHPALVRSVPRMQRFVTPLRLM
+>sp|Q6NUI6|CHADL_HUMAN Chondroadherin-like protein OS=Homo sapiens OX=9606 GN=CHADL PE=1 SV=2
+MEGPRSSTHVPLVLPLLVLLLLAPARQAAAQRCPQACICDNSRRHVACRYQNLTEVPDAIPELTQRLDLQGNLLKVIPAAAFQGVPHLTHLDLRHCEVELVAEGAFRGLGRLLLLNLASNHLRELPQEALDGLGSLRRLELEGNALEELRPGTFGALGALATLNLAHNALVYLPAMAFQGLLRVRWLRLSHNALSVLAPEALAGLPALRRLSLHHNELQALPGPVLSQARGLARLELGHNPLTYAGEEDGLALPGLRELLLDGGALQALGPRAFAHCPRLHTLDLRGNQLDTLPPLQGPGQLRRLRLQGNPLWCGCQARPLLEWLARARVRSDGACQGPRRLRGEALDALRPWDLRCPGDAAQEEEELEERAVAGPRAPPRGPPRGPGEERAVAPCPRACVCVPESRHSSCEGCGLQAVPRGFPSDTQLLDLRRNHFPSVPRAAFPGLGHLVSLHLQHCGIAELEAGALAGLGRLIYLYLSDNQLAGLSAAALEGAPRLGYLYLERNRFLQVPGAALRALPSLFSLHLQDNAVDRLAPGDLGRTRALRWVYLSGNRITEVSLGALGPARELEKLHLDRNQLREVPTGALEGLPALLELQLSGNPLRALRDGAFQPVGRSLQHLFLNSSGLEQICPGAFSGLGPGLQSLHLQKNQLRALPALPSLSQLELIDLSSNPFHCDCQLLPLHRWLTGLNLRVGATCATPPNARGQRVKAAAAVFEDCPGWAARKAKRTPASRPSARRTPIKGRQCGADKVGKEKGRL
+>DECOY_sp|Q6NUI6|CHADL_HUMAN Chondroadherin-like protein OS=Homo sapiens OX=9606 GN=CHADL PE=1 SV=2
+LRGKEKGVKDAGCQRGKIPTRRASPRSAPTRKAKRAAWGPCDEFVAAAAKVRQGRANPPTACTAGVRLNLGTLWRHLPLLQCDCHFPNSSLDILELQSLSPLAPLARLQNKQLHLSQLGPGLGSFAGPCIQELGSSNLFLHQLSRGVPQFAGDRLARLPNGSLQLELLAPLGELAGTPVERLQNRDLHLKELERAPGLAGLSVETIRNGSLYVWRLARTRGLDGPALRDVANDQLHLSFLSPLARLAAGPVQLFRNRELYLYGLRPAGELAAASLGALQNDSLYLYILRGLGALAGAELEAIGCHQLHLSVLHGLGPFAARPVSPFHNRRLDLLQTDSPFGRPVAQLGCGECSSHRSEPVCVCARPCPAVAREEGPGRPPGRPPARPGAVAREELEEEEQAADGPCRLDWPRLADLAEGRLRRPGQCAGDSRVRARALWELLPRAQCGCWLPNGQLRLRRLQGPGQLPPLTDLQNGRLDLTHLRPCHAFARPGLAQLAGGDLLLERLGPLALGDEEGAYTLPNHGLELRALGRAQSLVPGPLAQLENHHLSLRRLAPLGALAEPALVSLANHSLRLWRVRLLGQFAMAPLYVLANHALNLTALAGLAGFTGPRLEELANGELELRRLSGLGDLAEQPLERLHNSALNLLLLRGLGRFAGEAVLEVECHRLDLHTLHPVGQFAAAPIVKLLNGQLDLRQTLEPIADPVETLNQYRCAVHRRSNDCICAQPCRQAAAQRAPALLLLVLLPLVLPVHTSSRPGEM
+>sp|Q86WJ1|CHD1L_HUMAN Chromodomain-helicase-DNA-binding protein 1-like OS=Homo sapiens OX=9606 GN=CHD1L PE=1 SV=2
+MERAGATSRGGQAPGFLLRLHTEGRAEAARVQEQDLRQWGLTGIHLRSYQLEGVNWLAQRFHCQNGCILGDEMGLGKTCQTIALFIYLAGRLNDEGPFLILCPLSVLSNWKEEMQRFAPGLSCVTYAGDKEERACLQQDLKQESRFHVLLTTYEICLKDASFLKSFPWSVLVVDEAHRLKNQSSLLHKTLSEFSVVFSLLLTGTPIQNSLQELYSLLSFVEPDLFSKEEVGDFIQRYQDIEKESESASELHKLLQPFLLRRVKAEVATELPKKTEVVIYHGMSALQKKYYKAILMKDLDAFENETAKKVKLQNILSQLRKCVDHPYLFDGVEPEPFEVGDHLTEASGKLHLLDKLLAFLYSGGHRVLLFSQMTQMLDILQDYMDYRGYSYERVDGSVRGEERHLAIKNFGQQPIFVFLLSTRAGGVGMNLTAADTVIFVDSDFNPQNDLQAAARAHRIGQNKSVKVIRLIGRDTVEEIVYRKAASKLQLTNMIIEGGHFTLGAQKPAADADLQLSEILKFGLDKLLASEGSTMDEIDLESILGETKDGQWVSDALPAAEGGSRDQEEGKNHMYLFEGKDYSKEPSKEDRKSFEQLVNLQKTLLEKASQEGRSLRNKGSVLIPGLVEGSTKRKRVLSPEELEDRQKKRQEAAAKRRRLIEEKKRQKEEAEHKKKMAWWESNNYQSFCLPSEESEPEDLENGEESSAELDYQDPDATSLKYVSGDVTHPQAGAEDALIVHCVDDSGHWGRGGLFTALEKRSAEPRKIYELAGKMKDLSLGGVLLFPVDDKESRNKGQDLLALIVAQHRDRSNVLSGIKMAALEEGLKKIFLAAKKKKASVHLPRIGHATKGFNWYGTERLIRKHLAARGIPTYIYYFPRSKSAVLHAQSSSSSSRQLVP
+>DECOY_sp|Q86WJ1|CHD1L_HUMAN Chromodomain-helicase-DNA-binding protein 1-like OS=Homo sapiens OX=9606 GN=CHD1L PE=1 SV=2
+PVLQRSSSSSSQAHLVASKSRPFYYIYTPIGRAALHKRILRETGYWNFGKTAHGIRPLHVSAKKKKAALFIKKLGEELAAMKIGSLVNSRDRHQAVILALLDQGKNRSEKDDVPFLLVGGLSLDKMKGALEYIKRPEASRKELATFLGGRGWHGSDDVCHVILADEAGAQPHTVDGSVYKLSTADPDQYDLEASSEEGNELDEPESEESPLCFSQYNNSEWWAMKKKHEAEEKQRKKEEILRRRKAAAEQRKKQRDELEEPSLVRKRKTSGEVLGPILVSGKNRLSRGEQSAKELLTKQLNVLQEFSKRDEKSPEKSYDKGEFLYMHNKGEEQDRSGGEAAPLADSVWQGDKTEGLISELDIEDMTSGESALLKDLGFKLIESLQLDADAAPKQAGLTFHGGEIIMNTLQLKSAAKRYVIEEVTDRGILRIVKVSKNQGIRHARAAAQLDNQPNFDSDVFIVTDAATLNMGVGGARTSLLFVFIPQQGFNKIALHREEGRVSGDVREYSYGRYDMYDQLIDLMQTMQSFLLVRHGGSYLFALLKDLLHLKGSAETLHDGVEFPEPEVGDFLYPHDVCKRLQSLINQLKVKKATENEFADLDKMLIAKYYKKQLASMGHYIVVETKKPLETAVEAKVRRLLFPQLLKHLESASESEKEIDQYRQIFDGVEEKSFLDPEVFSLLSYLEQLSNQIPTGTLLLSFVVSFESLTKHLLSSQNKLRHAEDVVLVSWPFSKLFSADKLCIEYTTLLVHFRSEQKLDQQLCAREEKDGAYTVCSLGPAFRQMEEKWNSLVSLPCLILFPGEDNLRGALYIFLAITQCTKGLGMEDGLICGNQCHFRQALWNVGELQYSRLHIGTLGWQRLDQEQVRAAEARGETHLRLLFGPAQGGRSTAGAREM
+>sp|O14646|CHD1_HUMAN Chromodomain-helicase-DNA-binding protein 1 OS=Homo sapiens OX=9606 GN=CHD1 PE=1 SV=2
+MNGHSDEESVRNSSGESSQSDDDSGSASGSGSGSSSGSSSDGSSSQSGSSDSDSGSESGSQSESESDTSRENKVQAKPPKVDGAEFWKSSPSILAVQRSAILKKQQQQQQQQQHQASSNSGSEEDSSSSEDSDDSSSEVKRKKHKDEDWQMSGSGSPSQSGSDSESEEEREKSSCDETESDYEPKNKVKSRKPQNRSKSKNGKKILGQKKRQIDSSEEDDDEEDYDNDKRSSRRQATVNVSYKEDEEMKTDSDDLLEVCGEDVPQPEEEEFETIERFMDCRIGRKGATGATTTIYAVEADGDPNAGFEKNKEPGEIQYLIKWKGWSHIHNTWETEETLKQQNVRGMKKLDNYKKKDQETKRWLKNASPEDVEYYNCQQELTDDLHKQYQIVERIIAHSNQKSAAGYPDYYCKWQGLPYSECSWEDGALISKKFQACIDEYFSRNQSKTTPFKDCKVLKQRPRFVALKKQPSYIGGHEGLELRDYQLNGLNWLAHSWCKGNSCILADEMGLGKTIQTISFLNYLFHEHQLYGPFLLVVPLSTLTSWQREIQTWASQMNAVVYLGDINSRNMIRTHEWTHHQTKRLKFNILLTTYEILLKDKAFLGGLNWAFIGVDEAHRLKNDDSLLYKTLIDFKSNHRLLITGTPLQNSLKELWSLLHFIMPEKFSSWEDFEEEHGKGREYGYASLHKELEPFLLRRVKKDVEKSLPAKVEQILRMEMSALQKQYYKWILTRNYKALSKGSKGSTSGFLNIMMELKKCCNHCYLIKPPDNNEFYNKQEALQHLIRSSGKLILLDKLLIRLRERGNRVLIFSQMVRMLDILAEYLKYRQFPFQRLDGSIKGELRKQALDHFNAEGSEDFCFLLSTRAGGLGINLASADTVVIFDSDWNPQNDLQAQARAHRIGQKKQVNIYRLVTKGSVEEDILERAKKKMVLDHLVIQRMDTTGKTVLHTGSAPSSSTPFNKEELSAILKFGAEELFKEPEGEEQEPQEMDIDEILKRAETHENEPGPLTVGDELLSQFKVANFSNMDEDDIELEPERNSKNWEEIIPEDQRRRLEEEERQKELEEIYMLPRMRNCAKQISFNGSEGRRSRSRRYSGSDSDSISEGKRPKKRGRPRTIPRENIKGFSDAEIRRFIKSYKKFGGPLERLDAIARDAELVDKSETDLRRLGELVHNGCIKALKDSSSGTERTGGRLGKVKGPTFRISGVQVNAKLVISHEEELIPLHKSIPSDPEERKQYTIPCHTKAAHFDIDWGKEDDSNLLIGIYEYGYGSWEMIKMDPDLSLTHKILPDDPDKKPQAKQLQTRADYLIKLLSRDLAKKEALSGAGSSKRRKARAKKNKAMKSIKVKEEIKSDSSPLPSEKSDEDDDKLSESKSDGRERSKKSSVSDAPVHITASGEPVPISEESEELDQKTFSICKERMRPVKAALKQLDRPEKGLSEREQLEHTRQCLIKIGDHITECLKEYTNPEQIKQWRKNLWIFVSKFTEFDARKLHKLYKHAIKKRQESQQNSDQNSNLNPHVIRNPDVERLKENTNHDDSSRDSYSSDRHLTQYHDHHKDRHQGDSYKKSDSRKRPYSSFSNGKDHRDWDHYKQDSRYYSDREKHRKLDDHRSRDHRSNLEGSLKDRSHSDHRSHSDHRLHSDHRSSSEYTHHKSSRDYRYHSDWQMDHRASSSGPRSPLDQRSPYGSRSPFEHSVEHKSTPEHTWSSRKT
+>DECOY_sp|O14646|CHD1_HUMAN Chromodomain-helicase-DNA-binding protein 1 OS=Homo sapiens OX=9606 GN=CHD1 PE=1 SV=2
+TKRSSWTHEPTSKHEVSHEFPSRSGYPSRQDLPSRPGSSSARHDMQWDSHYRYDRSSKHHTYESSSRHDSHLRHDSHSRHDSHSRDKLSGELNSRHDRSRHDDLKRHKERDSYYRSDQKYHDWDRHDKGNSFSSYPRKRSDSKKYSDGQHRDKHHDHYQTLHRDSSYSDRSSDDHNTNEKLREVDPNRIVHPNLNSNQDSNQQSEQRKKIAHKYLKHLKRADFETFKSVFIWLNKRWQKIQEPNTYEKLCETIHDGIKILCQRTHELQERESLGKEPRDLQKLAAKVPRMREKCISFTKQDLEESEESIPVPEGSATIHVPADSVSSKKSRERGDSKSESLKDDDEDSKESPLPSSDSKIEEKVKISKMAKNKKARAKRRKSSGAGSLAEKKALDRSLLKILYDARTQLQKAQPKKDPDDPLIKHTLSLDPDMKIMEWSGYGYEYIGILLNSDDEKGWDIDFHAAKTHCPITYQKREEPDSPISKHLPILEEEHSIVLKANVQVGSIRFTPGKVKGLRGGTRETGSSSDKLAKICGNHVLEGLRRLDTESKDVLEADRAIADLRELPGGFKKYSKIFRRIEADSFGKINERPITRPRGRKKPRKGESISDSDSGSYRRSRSRRGESGNFSIQKACNRMRPLMYIEELEKQREEEELRRRQDEPIIEEWNKSNREPELEIDDEDMNSFNAVKFQSLLEDGVTLPGPENEHTEARKLIEDIDMEQPEQEEGEPEKFLEEAGFKLIASLEEKNFPTSSSPASGTHLVTKGTTDMRQIVLHDLVMKKKARELIDEEVSGKTVLRYINVQKKQGIRHARAQAQLDNQPNWDSDFIVVTDASALNIGLGGARTSLLFCFDESGEANFHDLAQKRLEGKISGDLRQFPFQRYKLYEALIDLMRVMQSFILVRNGRERLRILLKDLLILKGSSRILHQLAEQKNYFENNDPPKILYCHNCCKKLEMMINLFGSTSGKSGKSLAKYNRTLIWKYYQKQLASMEMRLIQEVKAPLSKEVDKKVRRLLFPELEKHLSAYGYERGKGHEEEFDEWSSFKEPMIFHLLSWLEKLSNQLPTGTILLRHNSKFDILTKYLLSDDNKLRHAEDVGIFAWNLGGLFAKDKLLIEYTTLLINFKLRKTQHHTWEHTRIMNRSNIDGLYVVANMQSAWTQIERQWSTLTSLPVVLLFPGYLQHEHFLYNLFSITQITKGLGMEDALICSNGKCWSHALWNLGNLQYDRLELGEHGGIYSPQKKLAVFRPRQKLVKCDKFPTTKSQNRSFYEDICAQFKKSILAGDEWSCESYPLGQWKCYYDPYGAASKQNSHAIIREVIQYQKHLDDTLEQQCNYYEVDEPSANKLWRKTEQDKKKYNDLKKMGRVNQQKLTEETEWTNHIHSWGKWKILYQIEGPEKNKEFGANPDGDAEVAYITTTAGTAGKRGIRCDMFREITEFEEEEPQPVDEGCVELLDDSDTKMEEDEKYSVNVTAQRRSSRKDNDYDEEDDDEESSDIQRKKQGLIKKGNKSKSRNQPKRSKVKNKPEYDSETEDCSSKEREEESESDSGSQSPSGSGSMQWDEDKHKKRKVESSSDDSDESSSSDEESGSNSSAQHQQQQQQQQQKKLIASRQVALISPSSKWFEAGDVKPPKAQVKNERSTDSESESQSGSESGSDSDSSGSQSSSGDSSSGSSSGSGSGSASGSDDDSQSSEGSSNRVSEEDSHGNM
+>sp|P06276|CHLE_HUMAN Cholinesterase OS=Homo sapiens OX=9606 GN=BCHE PE=1 SV=1
+MHSKVTIICIRFLFWFLLLCMLIGKSHTEDDIIIATKNGKVRGMNLTVFGGTVTAFLGIPYAQPPLGRLRFKKPQSLTKWSDIWNATKYANSCCQNIDQSFPGFHGSEMWNPNTDLSEDCLYLNVWIPAPKPKNATVLIWIYGGGFQTGTSSLHVYDGKFLARVERVIVVSMNYRVGALGFLALPGNPEAPGNMGLFDQQLALQWVQKNIAAFGGNPKSVTLFGESAGAASVSLHLLSPGSHSLFTRAILQSGSFNAPWAVTSLYEARNRTLNLAKLTGCSRENETEIIKCLRNKDPQEILLNEAFVVPYGTPLSVNFGPTVDGDFLTDMPDILLELGQFKKTQILVGVNKDEGTAFLVYGAPGFSKDNNSIITRKEFQEGLKIFFPGVSEFGKESILFHYTDWVDDQRPENYREALGDVVGDYNFICPALEFTKKFSEWGNNAFFYYFEHRSSKLPWPEWMGVMHGYEIEFVFGLPLERRDNYTKAEEILSRSIVKRWANFAKYGNPNETQNNSTSWPVFKSTEQKYLTLNTESTRIMTKLRAQQCRFWTSFFPKVLEMTGNIDEAEWEWKAGFHRWNNYMMDWKNQFNDYTSKKESCVGL
+>DECOY_sp|P06276|CHLE_HUMAN Cholinesterase OS=Homo sapiens OX=9606 GN=BCHE PE=1 SV=1
+LGVCSEKKSTYDNFQNKWDMMYNNWRHFGAKWEWEAEDINGTMELVKPFFSTWFRCQQARLKTMIRTSETNLTLYKQETSKFVPWSTSNNQTENPNGYKAFNAWRKVISRSLIEEAKTYNDRRELPLGFVFEIEYGHMVGMWEPWPLKSSRHEFYYFFANNGWESFKKTFELAPCIFNYDGVVDGLAERYNEPRQDDVWDTYHFLISEKGFESVGPFFIKLGEQFEKRTIISNNDKSFGPAGYVLFATGEDKNVGVLIQTKKFQGLELLIDPMDTLFDGDVTPGFNVSLPTGYPVVFAENLLIEQPDKNRLCKIIETENERSCGTLKALNLTRNRAEYLSTVAWPANFSGSQLIARTFLSHSGPSLLHLSVSAAGASEGFLTVSKPNGGFAAINKQVWQLALQQDFLGMNGPAEPNGPLALFGLAGVRYNMSVVIVREVRALFKGDYVHLSSTGTQFGGGYIWILVTANKPKPAPIWVNLYLCDESLDTNPNWMESGHFGPFSQDINQCCSNAYKTANWIDSWKTLSQPKKFRLRGLPPQAYPIGLFATVTGGFVTLNMGRVKGNKTAIIIDDETHSKGILMCLLLFWFLFRICIITVKSHM
+>sp|Q9NZZ3|CHMP5_HUMAN Charged multivesicular body protein 5 OS=Homo sapiens OX=9606 GN=CHMP5 PE=1 SV=1
+MNRLFGKAKPKAPPPSLTDCIGTVDSRAESIDKKISRLDAELVKYKDQIKKMREGPAKNMVKQKALRVLKQKRMYEQQRDNLAQQSFNMEQANYTIQSLKDTKTTVDAMKLGVKEMKKAYKQVKIDQIEDLQDQLEDMMEDANEIQEALSRSYGTPELDEDDLEAELDALGDELLADEDSSYLDEAASAPAIPEGVPTDTKNKDGVLVDEFGLPQIPAS
+>DECOY_sp|Q9NZZ3|CHMP5_HUMAN Charged multivesicular body protein 5 OS=Homo sapiens OX=9606 GN=CHMP5 PE=1 SV=1
+SAPIQPLGFEDVLVGDKNKTDTPVGEPIAPASAAEDLYSSDEDALLEDGLADLEAELDDEDLEPTGYSRSLAEQIENADEMMDELQDQLDEIQDIKVQKYAKKMEKVGLKMADVTTKTDKLSQITYNAQEMNFSQQALNDRQQEYMRKQKLVRLAKQKVMNKAPGERMKKIQDKYKVLEADLRSIKKDISEARSDVTGICDTLSPPPAKPKAKGFLRNM
+>sp|Q99653|CHP1_HUMAN Calcineurin B homologous protein 1 OS=Homo sapiens OX=9606 GN=CHP1 PE=1 SV=3
+MGSRASTLLRDEELEEIKKETGFSHSQITRLYSRFTSLDKGENGTLSREDFQRIPELAINPLGDRIINAFFPEGEDQVNFRGFMRTLAHFRPIEDNEKSKDVNGPEPLNSRSNKLHFAFRLYDLDKDEKISRDELLQVLRMMVGVNISDEQLGSIADRTIQEADQDGDSAISFTEFVKVLEKVDVEQKMSIRFLH
+>DECOY_sp|Q99653|CHP1_HUMAN Calcineurin B homologous protein 1 OS=Homo sapiens OX=9606 GN=CHP1 PE=1 SV=3
+HLFRISMKQEVDVKELVKVFETFSIASDGDQDAEQITRDAISGLQEDSINVGVMMRLVQLLEDRSIKEDKDLDYLRFAFHLKNSRSNLPEPGNVDKSKENDEIPRFHALTRMFGRFNVQDEGEPFFANIIRDGLPNIALEPIRQFDERSLTGNEGKDLSTFRSYLRTIQSHSFGTEKKIEELEEDRLLTSARSGM
+>sp|Q9GZX3|CHST6_HUMAN Carbohydrate sulfotransferase 6 OS=Homo sapiens OX=9606 GN=CHST6 PE=1 SV=1
+MWLPRVSSTAVTALLLAQTFLLLFLVSRPGPSSPAGGEARVHVLVLSSWRSGSSFVGQLFNQHPDVFYLMEPAWHVWTTLSQGSAATLHMAVRDLVRSVFLCDMDVFDAYLPWRRNLSDLFQWAVSRALCSPPACSAFPRGAISSEAVCKPLCARQSFTLAREACRSYSHVVLKEVRFFNLQVLYPLLSDPALNLRIVHLVRDPRAVLRSREQTAKALARDNGIVLGTNGTWVEADPGLRVVREVCRSHVRIAEAATLKPPPFLRGRYRLVRFEDLAREPLAEIRALYAFTGLSLTPQLEAWIHNITHGSGPGARREAFKTSSRNALNVSQAWRHALPFAKIRRVQELCAGALQLLGYRPVYSEDEQRNLALDLVLPRGLNGFTWASSTASHPRN
+>DECOY_sp|Q9GZX3|CHST6_HUMAN Carbohydrate sulfotransferase 6 OS=Homo sapiens OX=9606 GN=CHST6 PE=1 SV=1
+NRPHSATSSAWTFGNLGRPLVLDLALNRQEDESYVPRYGLLQLAGACLEQVRRIKAFPLAHRWAQSVNLANRSSTKFAERRAGPGSGHTINHIWAELQPTLSLGTFAYLARIEALPERALDEFRVLRYRGRLFPPPKLTAAEAIRVHSRCVERVVRLGPDAEVWTGNTGLVIGNDRALAKATQERSRLVARPDRVLHVIRLNLAPDSLLPYLVQLNFFRVEKLVVHSYSRCAERALTFSQRACLPKCVAESSIAGRPFASCAPPSCLARSVAWQFLDSLNRRWPLYADFVDMDCLFVSRVLDRVAMHLTAASGQSLTTWVHWAPEMLYFVDPHQNFLQGVFSSGSRWSSLVLVHVRAEGGAPSSPGPRSVLFLLLFTQALLLATVATSSVRPLWM
+>sp|Q9H2A9|CHST8_HUMAN Carbohydrate sulfotransferase 8 OS=Homo sapiens OX=9606 GN=CHST8 PE=1 SV=2
+MTLRPGTMRLACMFSSILLFGAAGLLLFISLQDPTELAPQQVPGIKFNIRPRQPHHDLPPGGSQDGDLKEPTERVTRDLSSGAPRGRNLPAPDQPQPPLQRGTRLRLRQRRRRLLIKKMPAAATIPANSSDAPFIRPGPGTLDGRWVSLHRSQQERKRVMQEACAKYRASSSRRAVTPRHVSRIFVEDRHRVLYCEVPKAGCSNWKRVLMVLAGLASSTADIQHNTVHYGSALKRLDTFDRQGILHRLSTYTKMLFVREPFERLVSAFRDKFEHPNSYYHPVFGKAILARYRANASREALRTGSGVRFPEFVQYLLDVHRPVGMDIHWDHVSRLCSPCLIDYDFVGKFESMEDDANFFLSLIRAPRNLTFPRFKDRHSQEARTTARIAHQYFAQLSALQRQRTYDFYYMDYLMFNYSKPFADLY
+>DECOY_sp|Q9H2A9|CHST8_HUMAN Carbohydrate sulfotransferase 8 OS=Homo sapiens OX=9606 GN=CHST8 PE=1 SV=2
+YLDAFPKSYNFMLYDMYYFDYTRQRQLASLQAFYQHAIRATTRAEQSHRDKFRPFTLNRPARILSLFFNADDEMSEFKGVFDYDILCPSCLRSVHDWHIDMGVPRHVDLLYQVFEPFRVGSGTRLAERSANARYRALIAKGFVPHYYSNPHEFKDRFASVLREFPERVFLMKTYTSLRHLIGQRDFTDLRKLASGYHVTNHQIDATSSALGALVMLVRKWNSCGAKPVECYLVRHRDEVFIRSVHRPTVARRSSSARYKACAEQMVRKREQQSRHLSVWRGDLTGPGPRIFPADSSNAPITAAAPMKKILLRRRRQRLRLRTGRQLPPQPQDPAPLNRGRPAGSSLDRTVRETPEKLDGDQSGGPPLDHHPQRPRINFKIGPVQQPALETPDQLSIFLLLGAAGFLLISSFMCALRMTGPRLTM
+>sp|Q7L1S5|CHST9_HUMAN Carbohydrate sulfotransferase 9 OS=Homo sapiens OX=9606 GN=CHST9 PE=2 SV=2
+MQPSEMVMNPKQVFLSVLIFGVAGLLLFMYLQVWIEEQHTGRVEKRREQKVTSGWGPVKYLRPVPRIMSTEKIQEHITNQNPKFHMPEDVREKKENLLLNSERSTRLLTKTSHSQGGDQALSKSTGSPTEKLIEKRQGAKTVFNKFSNMNWPVDIHPLNKSLVKDNKWKKTEETQEKRRSFLQEFCKKYGGVSHHQSHLFHTVSRIYVEDKHKILYCEVPKAGCSNWKRILMVLNGLASSAYNISHNAVHYGKHLKKLDSFDLKGIYTRLNTYTKAVFVRDPMERLVSAFRDKFEHPNSYYHPVFGKAIIKKYRPNACEEALINGSGVKFKEFIHYLLDSHRPVGMDIHWEKVSKLCYPCLINYDFVGKFETLEEDANYFLQMIGAPKELKFPNFKDRHSSDERTNAQVVRQYLKDLTRTERQLIYDFYYLDYLMFNYTTPFL
+>DECOY_sp|Q7L1S5|CHST9_HUMAN Carbohydrate sulfotransferase 9 OS=Homo sapiens OX=9606 GN=CHST9 PE=2 SV=2
+LFPTTYNFMLYDLYYFDYILQRETRTLDKLYQRVVQANTREDSSHRDKFNPFKLEKPAGIMQLFYNADEELTEFKGVFDYNILCPYCLKSVKEWHIDMGVPRHSDLLYHIFEKFKVGSGNILAEECANPRYKKIIAKGFVPHYYSNPHEFKDRFASVLREMPDRVFVAKTYTNLRTYIGKLDFSDLKKLHKGYHVANHSINYASSALGNLVMLIRKWNSCGAKPVECYLIKHKDEVYIRSVTHFLHSQHHSVGGYKKCFEQLFSRRKEQTEETKKWKNDKVLSKNLPHIDVPWNMNSFKNFVTKAGQRKEILKETPSGTSKSLAQDGGQSHSTKTLLRTSRESNLLLNEKKERVDEPMHFKPNQNTIHEQIKETSMIRPVPRLYKVPGWGSTVKQERRKEVRGTHQEEIWVQLYMFLLLGAVGFILVSLFVQKPNMVMESPQM
+>sp|Q9NPF2|CHSTB_HUMAN Carbohydrate sulfotransferase 11 OS=Homo sapiens OX=9606 GN=CHST11 PE=1 SV=1
+MKPALLEVMRMNRICRMVLATCLGSFILVIFYFQSMLHPVMRRNPFGVDICCRKGSRSPLQELYNPIQLELSNTAVLHQMRRDQVTDTCRANSATSRKRRVLTPNDLKHLVVDEDHELIYCYVPKVACTNWKRLMMVLTGRGKYSDPMEIPANEAHVSANLKTLNQYSIPEINHRLKSYMKFLFVREPFERLVSAYRNKFTQKYNISFHKRYGTKIIKRQRKNATQEALRKGDDVKFEEFVAYLIDPHTQREEPFNEHWQTVYSLCHPCHIHYDLVGKYETLEEDSNYVLQLAGVGSYLKFPTYAKSTRTTDEMTTEFFQNISSEHQTQLYEVYKLDFLMFNYSVPSYLKLE
+>DECOY_sp|Q9NPF2|CHSTB_HUMAN Carbohydrate sulfotransferase 11 OS=Homo sapiens OX=9606 GN=CHST11 PE=1 SV=1
+ELKLYSPVSYNFMLFDLKYVEYLQTQHESSINQFFETTMEDTTRTSKAYTPFKLYSGVGALQLVYNSDEELTEYKGVLDYHIHCPHCLSYVTQWHENFPEERQTHPDILYAVFEEFKVDDGKRLAEQTANKRQRKIIKTGYRKHFSINYKQTFKNRYASVLREFPERVFLFKMYSKLRHNIEPISYQNLTKLNASVHAENAPIEMPDSYKGRGTLVMMLRKWNTCAVKPVYCYILEHDEDVVLHKLDNPTLVRRKRSTASNARCTDTVQDRRMQHLVATNSLELQIPNYLEQLPSRSGKRCCIDVGFPNRRMVPHLMSQFYFIVLIFSGLCTALVMRCIRNMRMVELLAPKM
+>sp|Q8NET6|CHSTD_HUMAN Carbohydrate sulfotransferase 13 OS=Homo sapiens OX=9606 GN=CHST13 PE=1 SV=1
+MGRRCCRRRVLAAACLGAALLLLCAAPRSLRPAFGNRALGSSWLGGEKRSPLQKLYDLDQDPRSTLAKVHRQRRDLLNSACSRHSRRQRLLQPEDLRHVLVDDAHGLLYCYVPKVACTNWKRVLLALSGQARGDPRAISAQEAHAPGRLPSLADFSPAEINRRLRAYLAFLFVREPFERLASAYRNKLARPYSAAFQRRYGARIVQRLRPRALPDARARGHDVRFAEFLAYLLDPRTRREEPFNEHWERAHALCHPCRLRYDVVGKFETLAEDAAFVLGLAGASDLSFPGPPRPRGAAASRDLAARLFRDISPFYQRRLFDLYKMDFLLFNYSAPSYLRLL
+>DECOY_sp|Q8NET6|CHSTD_HUMAN Carbohydrate sulfotransferase 13 OS=Homo sapiens OX=9606 GN=CHST13 PE=1 SV=1
+LLRLYSPASYNFLLFDMKYLDFLRRQYFPSIDRFLRAALDRSAAAGRPRPPGPFSLDSAGALGLVFAADEALTEFKGVVDYRLRCPHCLAHAREWHENFPEERRTRPDLLYALFEAFRVDHGRARADPLARPRLRQVIRAGYRRQFAASYPRALKNRYASALREFPERVFLFALYARLRRNIEAPSFDALSPLRGPAHAEQASIARPDGRAQGSLALLVRKWNTCAVKPVYCYLLGHADDVLVHRLDEPQLLRQRRSHRSCASNLLDRRQRHVKALTSRPDQDLDYLKQLPSRKEGGLWSSGLARNGFAPRLSRPAACLLLLAAGLCAAALVRRRCCRRGM
+>sp|Q5SZB4|CI050_HUMAN Uncharacterized protein C9orf50 OS=Homo sapiens OX=9606 GN=C9orf50 PE=2 SV=1
+MFWRRLRPGAQDLAPKGLPGDGDFRRSSDPRLPKLTPPALRAALGARGSGDWRIPGGGAAWWPEGDAKPGVGVGRLPPRLPALLTATRRAVRKRGLLRSLLPPPLLSAGASRESAPRQPGPGERERPRRRVAREDPDFLGAFLGELLPSRFREFLHQLQEKCAEEPEPLTSPAPQHQRGVLEHCPGSPRCPNCSFLPDLWGQSSHLQDSLTKISLQQTPILGPLKGDHSQFTTVRKANHRPHGAQVPRLKAALTHNPSGEGSRPCRQRCPFRVRFADETLQDTTLRYWERRRSVQQSVIVNQKAALPVASERVFGSVGKRLESLPKALYPGAKEETLASSSCWDCAGLSTQKTQGYLSEDTSMNSSLPFCSWKKAAAQRPRSSLRAFLDPHRNLEQESLLPNRVLQSVLKQGCPKGYHLLLASATLQPDKR
+>DECOY_sp|Q5SZB4|CI050_HUMAN Uncharacterized protein C9orf50 OS=Homo sapiens OX=9606 GN=C9orf50 PE=2 SV=1
+RKDPQLTASALLLHYGKPCGQKLVSQLVRNPLLSEQELNRHPDLFARLSSRPRQAAAKKWSCFPLSSNMSTDESLYGQTKQTSLGACDWCSSSALTEEKAGPYLAKPLSELRKGVSGFVRESAVPLAAKQNVIVSQQVSRRREWYRLTTDQLTEDAFRVRFPCRQRCPRSGEGSPNHTLAAKLRPVQAGHPRHNAKRVTTFQSHDGKLPGLIPTQQLSIKTLSDQLHSSQGWLDPLFSCNPCRPSGPCHELVGRQHQPAPSTLPEPEEACKEQLQHLFERFRSPLLEGLFAGLFDPDERAVRRRPREREGPGPQRPASERSAGASLLPPPLLSRLLGRKRVARRTATLLAPLRPPLRGVGVGPKADGEPWWAAGGGPIRWDGSGRAGLAARLAPPTLKPLRPDSSRRFDGDGPLGKPALDQAGPRLRRWFM
+>sp|A6NHY6|CI118_HUMAN Putative uncharacterized protein C9orf118 OS=Homo sapiens OX=9606 GN=C9orf118 PE=4 SV=2
+MCYVVPGCFIYICGCTRIKSTHFNGKSQMVDLKSQSWDLERFENKPPPAVYDLAATTSQLGEWAKSRIW
+>DECOY_sp|A6NHY6|CI118_HUMAN Putative uncharacterized protein C9orf118 OS=Homo sapiens OX=9606 GN=C9orf118 PE=4 SV=2
+WIRSKAWEGLQSTTAALDYVAPPPKNEFRELDWSQSKLDVMQSKGNFHTSKIRTCGCIYIFCGPVVYCM
+>sp|Q5JTZ5|CI152_HUMAN Uncharacterized protein C9orf152 OS=Homo sapiens OX=9606 GN=C9orf152 PE=2 SV=2
+MEGLPCPCPALPHFWQLRSHLMAEGSRTQAPGKGPPLSIQFLRAQYEGLKRQQRTQAHLLVLPKGGNTPAPAESMVNAVWINKERRSSLSLEEADSEVEGRLEEAAQGCLQAPKSPWHTHLEMHCLVQTSPQDTSHQVHHRGKLVGSDQRLPPEGDTHLFETNQMTQQGTGIPEAAQLPCQVGNTQTKAVESGLKFSTQCPLSIKNPHRSGKPAYYPFPQRKTPRISQAARNLGLYGSA
+>DECOY_sp|Q5JTZ5|CI152_HUMAN Uncharacterized protein C9orf152 OS=Homo sapiens OX=9606 GN=C9orf152 PE=2 SV=2
+ASGYLGLNRAAQSIRPTKRQPFPYYAPKGSRHPNKISLPCQTSFKLGSEVAKTQTNGVQCPLQAAEPIGTGQQTMQNTEFLHTDGEPPLRQDSGVLKGRHHVQHSTDQPSTQVLCHMELHTHWPSKPAQLCGQAAEELRGEVESDAEELSLSSRREKNIWVANVMSEAPAPTNGGKPLVLLHAQTRQQRKLGEYQARLFQISLPPGKGPAQTRSGEAMLHSRLQWFHPLAPCPCPLGEM
+>sp|O43734|CIKS_HUMAN Adapter protein CIKS OS=Homo sapiens OX=9606 GN=TRAF3IP2 PE=1 SV=3
+MPPQLQETRMNRSIPVEVDESEPYPSQLLKPIPEYSPEEESEPPAPNIRNMAPNSLSAPTMLHNSSGDFSQAHSTLKLANHQRPVSRQVTCLRTQVLEDSEDSFCRRHPGLGKAFPSGCSAVSEPASESVVGALPAEHQFSFMEKRNQWLVSQLSAASPDTGHDSDKSDQSLPNASADSLGGSQEMVQRPQPHRNRAGLDLPTIDTGYDSQPQDVLGIRQLERPLPLTSVCYPQDLPRPLRSREFPQFEPQRYPACAQMLPPNLSPHAPWNYHYHCPGSPDHQVPYGHDYPRAAYQQVIQPALPGQPLPGASVRGLHPVQKVILNYPSPWDHEERPAQRDCSFPGLPRHQDQPHHQPPNRAGAPGESLECPAELRPQVPQPPSPAAVPRPPSNPPARGTLKTSNLPEELRKVFITYSMDTAMEVVKFVNFLLVNGFQTAIDIFEDRIRGIDIIKWMERYLRDKTVMIIVAISPKYKQDVEGAESQLDEDEHGLHTKYIHRMMQIEFIKQGSMNFRFIPVLFPNAKKEHVPTWLQNTHVYSWPKNKKNILLRLLREEEYVAPPRGPLPTLQVVPL
+>DECOY_sp|O43734|CIKS_HUMAN Adapter protein CIKS OS=Homo sapiens OX=9606 GN=TRAF3IP2 PE=1 SV=3
+LPVVQLTPLPGRPPAVYEEERLLRLLINKKNKPWSYVHTNQLWTPVHEKKANPFLVPIFRFNMSGQKIFEIQMMRHIYKTHLGHEDEDLQSEAGEVDQKYKPSIAVIIMVTKDRLYREMWKIIDIGRIRDEFIDIATQFGNVLLFNVFKVVEMATDMSYTIFVKRLEEPLNSTKLTGRAPPNSPPRPVAAPSPPQPVQPRLEAPCELSEGPAGARNPPQHHPQDQHRPLGPFSCDRQAPREEHDWPSPYNLIVKQVPHLGRVSAGPLPQGPLAPQIVQQYAARPYDHGYPVQHDPSGPCHYHYNWPAHPSLNPPLMQACAPYRQPEFQPFERSRLPRPLDQPYCVSTLPLPRELQRIGLVDQPQSDYGTDITPLDLGARNRHPQPRQVMEQSGGLSDASANPLSQDSKDSDHGTDPSAASLQSVLWQNRKEMFSFQHEAPLAGVVSESAPESVASCGSPFAKGLGPHRRCFSDESDELVQTRLCTVQRSVPRQHNALKLTSHAQSFDGSSNHLMTPASLSNPAMNRINPAPPESEEEPSYEPIPKLLQSPYPESEDVEVPISRNMRTEQLQPPM
+>sp|Q9P2M7|CING_HUMAN Cingulin OS=Homo sapiens OX=9606 GN=CGN PE=1 SV=2
+MAEPRGPVDHGVQIRFITEPVSGAEMGTLRRGGRRPAKDARASTYGVAVRVQGIAGQPFVVLNSGEKGGDSFGVQIKGANDQGASGALSSDLELPENPYSQVKGFPAPSQSSTSDEEPGAYWNGKLLRSHSQASLAGPGPVDPSNRSNSMLELAPKVASPGSTIDTAPLSSVDSLINKFDSQLGGQARGRTGRRTRMLPPEQRKRSKSLDSRLPRDTFEERERQSTNHWTSSTKYDNHVGTSKQPAQSQNLSPLSGFSRSRQTQDWVLQSFEEPRRSAQDPTMLQFKSTPDLLRDQQEAAPPGSVDHMKATIYGILREGSSESETSVRRKVSLVLEKMQPLVMVSSGSTKAVAGQGELTRKVEELQRKLDEEVKKRQKLEPSQVGLERQLEEKTEECSRLQELLERRKGEAQQSNKELQNMKRLLDQGEDLRHGLETQVMELQNKLKHVQGPEPAKEVLLKDLLETRELLEEVLEGKQRVEEQLRLRERELTALKGALKEEVASRDQEVEHVRQQYQRDTEQLRRSMQDATQDHAVLEAERQKMSALVRGLQRELEETSEETGHWQSMFQKNKEDLRATKQELLQLRMEKEEMEEELGEKIEVLQRELEQARASAGDTRQVEVLKKELLRTQEELKELQAERQSQEVAGRHRDRELEKQLAVLRVEADRGRELEEQNLQLQKTLQQLRQDCEEASKAKMVAEAEATVLGQRRAAVETTLRETQEENDEFRRRILGLEQQLKETRGLVDGGEAVEARLRDKLQRLEAEKQQLEEALNASQEEEGSLAAAKRALEARLEEAQRGLARLGQEQQTLNRALEEEGKQREVLRRGKAELEEQKRLLDRTVDRLNKELEKIGEDSKQALQQLQAQLEDYKEKARREVADAQRQAKDWASEAEKTSGGLSRLQDEIQRLRQALQASQAERDTARLDKELLAQRLQGLEQEAENKKRSQDDRARQLKGLEEKVSRLETELDEEKNTVELLTDRVNRGRDQVDQLRTELMQERSARQDLECDKISLERQNKDLKTRLASSEGFQKPSASLSQLESQNQLLQERLQAEEREKTVLQSTNRKLERKVKELSIQIEDERQHVNDQKDQLSLRVKALKRQVDEAEEEIERLDGLRKKAQREVEEQHEVNEQLQARIKSLEKDSWRKASRSAAESALKNEGLSSDEEFDSVYDPSSIASLLTESNLQTSSC
+>DECOY_sp|Q9P2M7|CING_HUMAN Cingulin OS=Homo sapiens OX=9606 GN=CGN PE=1 SV=2
+CSSTQLNSETLLSAISSPDYVSDFEEDSSLGENKLASEAASRSAKRWSDKELSKIRAQLQENVEHQEEVERQAKKRLGDLREIEEEAEDVQRKLAKVRLSLQDKQDNVHQREDEIQISLEKVKRELKRNTSQLVTKEREEAQLREQLLQNQSELQSLSASPKQFGESSALRTKLDKNQRELSIKDCELDQRASREQMLETRLQDVQDRGRNVRDTLLEVTNKEEDLETELRSVKEELGKLQRARDDQSRKKNEAEQELGQLRQALLEKDLRATDREAQSAQLAQRLRQIEDQLRSLGGSTKEAESAWDKAQRQADAVERRAKEKYDELQAQLQQLAQKSDEGIKELEKNLRDVTRDLLRKQEELEAKGRRLVERQKGEEELARNLTQQEQGLRALGRQAEELRAELARKAAALSGEEEQSANLAEELQQKEAELRQLKDRLRAEVAEGGDVLGRTEKLQQELGLIRRRFEDNEEQTERLTTEVAARRQGLVTAEAEAVMKAKSAEECDQRLQQLTKQLQLNQEELERGRDAEVRLVALQKELERDRHRGAVEQSQREAQLEKLEEQTRLLEKKLVEVQRTDGASARAQELERQLVEIKEGLEEEMEEKEMRLQLLEQKTARLDEKNKQFMSQWHGTEESTEELERQLGRVLASMKQREAELVAHDQTADQMSRRLQETDRQYQQRVHEVEQDRSAVEEKLAGKLATLERERLRLQEEVRQKGELVEELLERTELLDKLLVEKAPEPGQVHKLKNQLEMVQTELGHRLDEGQDLLRKMNQLEKNSQQAEGKRRELLEQLRSCEETKEELQRELGVQSPELKQRKKVEEDLKRQLEEVKRTLEGQGAVAKTSGSSVMVLPQMKELVLSVKRRVSTESESSGERLIGYITAKMHDVSGPPAAEQQDRLLDPTSKFQLMTPDQASRRPEEFSQLVWDQTQRSRSFGSLPSLNQSQAPQKSTGVHNDYKTSSTWHNTSQREREEFTDRPLRSDLSKSRKRQEPPLMRTRRGTRGRAQGGLQSDFKNILSDVSSLPATDITSGPSAVKPALELMSNSRNSPDVPGPGALSAQSHSRLLKGNWYAGPEEDSTSSQSPAPFGKVQSYPNEPLELDSSLAGSAGQDNAGKIQVGFSDGGKEGSNLVVFPQGAIGQVRVAVGYTSARADKAPRRGGRRLTGMEAGSVPETIFRIQVGHDVPGRPEAM
+>sp|Q14011|CIRBP_HUMAN Cold-inducible RNA-binding protein OS=Homo sapiens OX=9606 GN=CIRBP PE=1 SV=1
+MASDEGKLFVGGLSFDTNEQSLEQVFSKYGQISEVVVVKDRETQRSRGFGFVTFENIDDAKDAMMAMNGKSVDGRQIRVDQAGKSSDNRSRGYRGGSAGGRGFFRGGRGRGRGFSRGGGDRGYGGNRFESRSGGYGGSRDYYSSRSQSGGYSDRSSGGSYRDSYDSYATHNE
+>DECOY_sp|Q14011|CIRBP_HUMAN Cold-inducible RNA-binding protein OS=Homo sapiens OX=9606 GN=CIRBP PE=1 SV=1
+ENHTAYSDYSDRYSGGSSRDSYGGSQSRSSYYDRSGGYGGSRSEFRNGGYGRDGGGRSFGRGRGRGGRFFGRGGASGGRYGRSRNDSSKGAQDVRIQRGDVSKGNMAMMADKADDINEFTVFGFGRSRQTERDKVVVVESIQGYKSFVQELSQENTDFSLGGVFLKGEDSAM
+>sp|Q9NSE2|CISH_HUMAN Cytokine-inducible SH2-containing protein OS=Homo sapiens OX=9606 GN=CISH PE=1 SV=1
+MVLCVQGPRPLLAVERTGQRPLWAPSLELPKPVMQPLPAGAFLEEVAEGTPAQTESEPKVLDPEEDLLCIAKTFSYLRESGWYWGSITASEARQHLQKMPEGTFLVRDSTHPSYLFTLSVKTTRGPTNVRIEYADSSFRLDSNCLSRPRILAFPDVVSLVQHYVASCTADTRSDSPDPAPTPALPMPKEDAPSDPALPAPPPATAVHLKLVQPFVRRSSARSLQHLCRLVINRLVADVDCLPLPRRMADYLRQYPFQL
+>DECOY_sp|Q9NSE2|CISH_HUMAN Cytokine-inducible SH2-containing protein OS=Homo sapiens OX=9606 GN=CISH PE=1 SV=1
+LQFPYQRLYDAMRRPLPLCDVDAVLRNIVLRCLHQLSRASSRRVFPQVLKLHVATAPPPAPLAPDSPADEKPMPLAPTPAPDPSDSRTDATCSAVYHQVLSVVDPFALIRPRSLCNSDLRFSSDAYEIRVNTPGRTTKVSLTFLYSPHTSDRVLFTGEPMKQLHQRAESATISGWYWGSERLYSFTKAICLLDEEPDLVKPESETQAPTGEAVEELFAGAPLPQMVPKPLELSPAWLPRQGTREVALLPRPGQVCLVM
+>sp|A4QN01|CJ040_HUMAN Putative uncharacterized protein encoded by LINC01553 OS=Homo sapiens OX=9606 GN=LINC01553 PE=2 SV=1
+MKHKYNTLSWYKKERSTQLRRFIVHQPEVGLFFFLRDVVYNYITEKTTCRCPLTQERPCDTGGKFGRIWICQPEELGKMQIWICQPEELGKMQIDKNRTMVQQMWYIWYGGLDTSTNSLLPILVRGMA
+>DECOY_sp|A4QN01|CJ040_HUMAN Putative uncharacterized protein encoded by LINC01553 OS=Homo sapiens OX=9606 GN=LINC01553 PE=2 SV=1
+AMGRVLIPLLSNTSTDLGGYWIYWMQQVMTRNKDIQMKGLEEPQCIWIQMKGLEEPQCIWIRGFKGGTDCPREQTLPCRCTTKETIYNYVVDRLFFFLGVEPQHVIFRRLQTSREKKYWSLTNYKHKM
+>sp|Q8TAZ6|CKLF2_HUMAN CKLF-like MARVEL transmembrane domain-containing protein 2 OS=Homo sapiens OX=9606 GN=CMTM2 PE=1 SV=1
+MAPKAAKGAKPEPAPAPPPPGAKPEEDKKDGKEPSDKPQKAVQDHKEPSDKPQKAVQPKHEVGTRRGCRRYRWELKDSNKEFWLLGHAEIKIRSLGCLIAAMILLSSLTVHPILRLIITMEISFFSFFILLYSFAIHRYIPFILWPISDLFNDLIACAFLVGAVVFAVRSRRSMNLHYLLAVILIGAAGVFAFIDVCLQRNHFRGKKAKKHMLVPPPGKEKGPQQGKGPEPAKPPEPGKPPGPAKGKK
+>DECOY_sp|Q8TAZ6|CKLF2_HUMAN CKLF-like MARVEL transmembrane domain-containing protein 2 OS=Homo sapiens OX=9606 GN=CMTM2 PE=1 SV=1
+KKGKAPGPPKGPEPPKAPEPGKGQQPGKEKGPPPVLMHKKAKKGRFHNRQLCVDIFAFVGAAGILIVALLYHLNMSRRSRVAFVVAGVLFACAILDNFLDSIPWLIFPIYRHIAFSYLLIFFSFFSIEMTIILRLIPHVTLSSLLIMAAILCGLSRIKIEAHGLLWFEKNSDKLEWRYRRCGRRTGVEHKPQVAKQPKDSPEKHDQVAKQPKDSPEKGDKKDEEPKAGPPPPAPAPEPKAGKAAKPAM
+>sp|Q96FZ5|CKLF7_HUMAN CKLF-like MARVEL transmembrane domain-containing protein 7 OS=Homo sapiens OX=9606 GN=CMTM7 PE=1 SV=1
+MSHGAGLVRTTCSSGSALGPGAGAAQPSASPLEGLLDLSYPRTHAALLKVAQMVTLLIAFICVRSSLWTNYSAYSYFEVVTICDLIMILAFYLVHLFRFYRVLTCISWPLSELLHYLIGTLLLLIASIVAASKSYNQSGLVAGAIFGFMATFLCMASIWLSYKISCVTQSTDAAV
+>DECOY_sp|Q96FZ5|CKLF7_HUMAN CKLF-like MARVEL transmembrane domain-containing protein 7 OS=Homo sapiens OX=9606 GN=CMTM7 PE=1 SV=1
+VAADTSQTVCSIKYSLWISAMCLFTAMFGFIAGAVLGSQNYSKSAAVISAILLLLTGILYHLLESLPWSICTLVRYFRFLHVLYFALIMILDCITVVEFYSYASYNTWLSSRVCIFAILLTVMQAVKLLAAHTRPYSLDLLGELPSASPQAAGAGPGLASGSSCTTRVLGAGHSM
+>sp|Q9UBR5|CKLF_HUMAN Chemokine-like factor OS=Homo sapiens OX=9606 GN=CKLF PE=2 SV=1
+MDNVQPKIKHRPFCFSVKGHVKMLRLALTVTSMTFFIIAQAPEPYIVITGFEVTVILFFILLYVLRLDRLMKWLFWPLLDIINSLVTTVFMLIVSVLALIPETTTLTVGGGVFALVTAVCCLADGALIYRKLLFNPSGPYQKKPVHEKKEVL
+>DECOY_sp|Q9UBR5|CKLF_HUMAN Chemokine-like factor OS=Homo sapiens OX=9606 GN=CKLF PE=2 SV=1
+LVEKKEHVPKKQYPGSPNFLLKRYILAGDALCCVATVLAFVGGGVTLTTTEPILALVSVILMFVTTVLSNIIDLLPWFLWKMLRDLRLVYLLIFFLIVTVEFGTIVIYPEPAQAIIFFTMSTVTLALRLMKVHGKVSFCFPRHKIKPQVNDM
+>sp|P33552|CKS2_HUMAN Cyclin-dependent kinases regulatory subunit 2 OS=Homo sapiens OX=9606 GN=CKS2 PE=1 SV=1
+MAHKQIYYSDKYFDEHYEYRHVMLPRELSKQVPKTHLMSEEEWRRLGVQQSLGWVHYMIHEPEPHILLFRRPLPKDQQK
+>DECOY_sp|P33552|CKS2_HUMAN Cyclin-dependent kinases regulatory subunit 2 OS=Homo sapiens OX=9606 GN=CKS2 PE=1 SV=1
+KQQDKPLPRRFLLIHPEPEHIMYHVWGLSQQVGLRRWEEESMLHTKPVQKSLERPLMVHRYEYHEDFYKDSYYIQKHAM
+>sp|Q96C57|CL043_HUMAN Uncharacterized protein C12orf43 OS=Homo sapiens OX=9606 GN=C12orf43 PE=1 SV=2
+MAAPSGTVSDSESSNSSSDAEELERCREAAMPAWGLEQRPHVAGKPRAGAANSQLSTSQPSLRHKVNEHEQDGNELQTTPEFRAHVAKKLGALLDSFITISEAAKEPAKAKVQKVALEDDGFRLFFTSVPGGREKEESPQPRRKRQPSSSSEDSDEEWRRCREAAVSASDILQESAIHSPGTVEKEAKKKRKLKKKAKKVASVDSAVAATTPTSMATVQKQKSGELNGDQVSLGTKKKKKAKKASETSPFPPAKSATAIPAN
+>DECOY_sp|Q96C57|CL043_HUMAN Uncharacterized protein C12orf43 OS=Homo sapiens OX=9606 GN=C12orf43 PE=1 SV=2
+NAPIATASKAPPFPSTESAKKAKKKKKTGLSVQDGNLEGSKQKQVTAMSTPTTAAVASDVSAVKKAKKKLKRKKKAEKEVTGPSHIASEQLIDSASVAAERCRRWEEDSDESSSSPQRKRRPQPSEEKERGGPVSTFFLRFGDDELAVKQVKAKAPEKAAESITIFSDLLAGLKKAVHARFEPTTQLENGDQEHENVKHRLSPQSTSLQSNAAGARPKGAVHPRQELGWAPMAAERCRELEEADSSSNSSESDSVTGSPAAM
+>sp|Q9H741|CL049_HUMAN UPF0454 protein C12orf49 OS=Homo sapiens OX=9606 GN=C12orf49 PE=1 SV=1
+MVNLAAMVWRRLLRKRWVLALVFGLSLVYFLSSTFKQEERAVRDRNLLQVHDHNQPIPWKVQFNLGNSSRPSNQCRNSIQGKHLITDELGYVCERKDLLVNGCCNVNVPSTKQYCCDGCWPNGCCSAYEYCVSCCLQPNKQLLLERFLNRAAVAFQNLFMAVEDHFELCLAKCRTSSQSVQHENTYRDPIAKYCYGESPPELFPA
+>DECOY_sp|Q9H741|CL049_HUMAN UPF0454 protein C12orf49 OS=Homo sapiens OX=9606 GN=C12orf49 PE=1 SV=1
+APFLEPPSEGYCYKAIPDRYTNEHQVSQSSTRCKALCLEFHDEVAMFLNQFAVAARNLFRELLLQKNPQLCCSVCYEYASCCGNPWCGDCCYQKTSPVNVNCCGNVLLDKRECVYGLEDTILHKGQISNRCQNSPRSSNGLNFQVKWPIPQNHDHVQLLNRDRVAREEQKFTSSLFYVLSLGFVLALVWRKRLLRRWVMAALNVM
+>sp|Q8N7H1|CL061_HUMAN Putative uncharacterized protein encoded by LINC01465 OS=Homo sapiens OX=9606 GN=LINC01465 PE=2 SV=1
+MGGKSAVRHQLVLDCPREAASSAPALRPLGAAATSRAAPLAPLPAPSPRWGLGCGRVRYPGPHPRRAVEPAAGPLSAPIIAGGHPAEAAAGSAKQQPRHSREVPRPPVPQHPSGNSRSALQEAKTEQTKTP
+>DECOY_sp|Q8N7H1|CL061_HUMAN Putative uncharacterized protein encoded by LINC01465 OS=Homo sapiens OX=9606 GN=LINC01465 PE=2 SV=1
+PTKTQETKAEQLASRSNGSPHQPVPPRPVERSHRPQQKASGAAAEAPHGGAIIPASLPGAAPEVARRPHPGPYRVRGCGLGWRPSPAPLPALPAARSTAAAGLPRLAPASSAAERPCDLVLQHRVASKGGM
+>sp|Q96MD2|CL066_HUMAN KICSTOR complex protein C12orf66 OS=Homo sapiens OX=9606 GN=C12orf66 PE=1 SV=4
+MGESIPLAAPVPVEQAVLETFFSHLGIFSYDKAKDNVEKEREANKSAGGSWLSLLAALAHLAAAEKVYHSLTYLGQKLGGQSFFSRKDSIRTIYTSLHNELKKVVTGRGALGGTAPHVEELLSHLSEQLCFFVQARMEMADFYEKMYTLSTQKFINAEELVGLLDAIMKKYSSRFHHPILSPLESSFQLEVDVLCHLLKAQAQVSEWKFLPSLVNLHSAHTKLQTWGQIFEKQRETKKHLFGGQSQKAVQPPHLFLWLMKLKNMLLAKFSFYFHEALSRQTTASEMKTLTAKANPDFFGKISSFIRKYDAANVSLIFDNRGSESFQGHGYHHPHSYREAPKGVDQYPAVVSLPSDRPVMHWPNVIMIMTDRTSDLNSLEKVVHFYDDKVQSTYFLTRPEPHFTIVIIFESKKSERDSHFISFLNEVSLALKNPKVFASLKPGAKG
+>DECOY_sp|Q96MD2|CL066_HUMAN KICSTOR complex protein C12orf66 OS=Homo sapiens OX=9606 GN=C12orf66 PE=1 SV=4
+GKAGPKLSAFVKPNKLALSVENLFSIFHSDRESKKSEFIIVITFHPEPRTLFYTSQVKDDYFHVVKELSNLDSTRDTMIMIVNPWHMVPRDSPLSVVAPYQDVGKPAERYSHPHHYGHGQFSESGRNDFILSVNAADYKRIFSSIKGFFDPNAKATLTKMESATTQRSLAEHFYFSFKALLMNKLKMLWLFLHPPQVAKQSQGGFLHKKTERQKEFIQGWTQLKTHASHLNVLSPLFKWESVQAQAKLLHCLVDVELQFSSELPSLIPHHFRSSYKKMIADLLGVLEEANIFKQTSLTYMKEYFDAMEMRAQVFFCLQESLHSLLEEVHPATGGLAGRGTVVKKLENHLSTYITRISDKRSFFSQGGLKQGLYTLSHYVKEAAALHALAALLSLWSGGASKNAEREKEVNDKAKDYSFIGLHSFFTELVAQEVPVPAALPISEGM
+>sp|Q32Q52|CL074_HUMAN Uncharacterized protein C12orf74 OS=Homo sapiens OX=9606 GN=C12orf74 PE=2 SV=1
+MEKTESFCPEVPPQDCGASPRPSLRSLPKNQGSLLQFDRQAPGRISTSPTLRRLRTRGCGTRQDAWQVTTWGSWGAPVGFPCYLSKSLPGSPKDSSHLLSPLRLHSRLTSEPERALNAADSLEPQTRPTDKYLPPELQPVNEGSLHQASLRQQEGHFLPSPTLRHPSPQGEELHPSRCVCIYFLRCYDIC
+>DECOY_sp|Q32Q52|CL074_HUMAN Uncharacterized protein C12orf74 OS=Homo sapiens OX=9606 GN=C12orf74 PE=2 SV=1
+CIDYCRLFYICVCRSPHLEEGQPSPHRLTPSPLFHGEQQRLSAQHLSGENVPQLEPPLYKDTPRTQPELSDAANLAREPESTLRSHLRLPSLLHSSDKPSGPLSKSLYCPFGVPAGWSGWTTVQWADQRTGCGRTRLRRLTPSTSIRGPAQRDFQLLSGQNKPLSRLSPRPSAGCDQPPVEPCFSETKEM
+>sp|P24385|CCND1_HUMAN G1/S-specific cyclin-D1 OS=Homo sapiens OX=9606 GN=CCND1 PE=1 SV=1
+MEHQLLCCEVETIRRAYPDANLLNDRVLRAMLKAEETCAPSVSYFKCVQKEVLPSMRKIVATWMLEVCEEQKCEEEVFPLAMNYLDRFLSLEPVKKSRLQLLGATCMFVASKMKETIPLTAEKLCIYTDNSIRPEELLQMELLLVNKLKWNLAAMTPHDFIEHFLSKMPEAEENKQIIRKHAQTFVALCATDVKFISNPPSMVAAGSVVAAVQGLNLRSPNNFLSYYRLTRFLSRVIKCDPDCLRACQEQIEALLESSLRQAQQNMDPKAAEEEEEEEEEVDLACTPTDVRDVDI
+>DECOY_sp|P24385|CCND1_HUMAN G1/S-specific cyclin-D1 OS=Homo sapiens OX=9606 GN=CCND1 PE=1 SV=1
+IDVDRVDTPTCALDVEEEEEEEEEAAKPDMNQQAQRLSSELLAEIQEQCARLCDPDCKIVRSLFRTLRYYSLFNNPSRLNLGQVAAVVSGAAVMSPPNSIFKVDTACLAVFTQAHKRIIQKNEEAEPMKSLFHEIFDHPTMAALNWKLKNVLLLEMQLLEEPRISNDTYICLKEATLPITEKMKSAVFMCTAGLLQLRSKKVPELSLFRDLYNMALPFVEEECKQEECVELMWTAVIKRMSPLVEKQVCKFYSVSPACTEEAKLMARLVRDNLLNADPYARRITEVECCLLQHEM
+>sp|Q6ZMN8|CCNI2_HUMAN Cyclin-I2 OS=Homo sapiens OX=9606 GN=CCNI2 PE=2 SV=1
+MASGAQLPPQPSSSEVSAVQSPGGRPGAGLEETALGVPLPPSPGEAPLPRSNRSRCPGTRQPGAASLHAASAAVPVRPRRGTAPAGKTADAVPAAAPEQAPRPAPQSRKPRNLEGDLDERRLLCHLQLAQDREARLWRGGKPQDEICDAFEEVVLWLLRLQNTFYFSQSTFNLALTIFGRLLISVKVKEKYLHCATITSLRLAAKVNEEEEFIPQVKDFTKHYGSDYSPNELLRMELAILDRLHWDLYIGTPLDFLTIFHALVVLSWPHVLELLPQRNPSLHVASLTRQLQHCMAGHQLLQFKGSTLALVIITLELERLMPGWCAPISDLLKKAQVGDMQYSCCKELVMQQLRSLQSSSCTDNFVSPAN
+>DECOY_sp|Q6ZMN8|CCNI2_HUMAN Cyclin-I2 OS=Homo sapiens OX=9606 GN=CCNI2 PE=2 SV=1
+NAPSVFNDTCSSSQLSRLQQMVLEKCCSYQMDGVQAKKLLDSIPACWGPMLRELELTIIVLALTSGKFQLLQHGAMCHQLQRTLSAVHLSPNRQPLLELVHPWSLVVLAHFITLFDLPTGIYLDWHLRDLIALEMRLLENPSYDSGYHKTFDKVQPIFEEEENVKAALRLSTITACHLYKEKVKVSILLRGFITLALNFTSQSFYFTNQLRLLWLVVEEFADCIEDQPKGGRWLRAERDQALQLHCLLRREDLDGELNRPKRSQPAPRPAQEPAAAPVADATKGAPATGRRPRVPVAASAAHLSAAGPQRTGPCRSRNSRPLPAEGPSPPLPVGLATEELGAGPRGGPSQVASVESSSPQPPLQAGSAM
+>sp|Q5T5M9|CCNJ_HUMAN Cyclin-J OS=Homo sapiens OX=9606 GN=CCNJ PE=2 SV=2
+MELEGQWWRGQLAADIHQALRYKELKLPSYKGQSPQLSLRRYFADLIAIVSNRFTLCPSARHLAVYLLDLFMDRYDISIQQLHLVALSCLLLASKFEEKEDSVPKLEQLNSLGCMTNMNLVLTKQNLLHMELLLLETFQWNLCLPTAAHFIEYYLSEAVHETDLHDGWPMICLEKTKLYMAKYADYFLEVSLQVAAACVASSRIILRLSPTWPTRLHRLTAYSWDFLVQCIERLLIAHDNDVKEANKQRGQAGPQSAQLSVFQTASQPSRPVHFQQPQYLHQTHQTSLQYRHPTSEQPSCQQIVSTTHTSSYTLQTCPAGFQTSVQGLGHMQTGVGMSLAIPVEVKPCLSVSYNRSYQINEHYPCITPCFER
+>DECOY_sp|Q5T5M9|CCNJ_HUMAN Cyclin-J OS=Homo sapiens OX=9606 GN=CCNJ PE=2 SV=2
+REFCPTICPYHENIQYSRNYSVSLCPKVEVPIALSMGVGTQMHGLGQVSTQFGAPCTQLTYSSTHTTSVIQQCSPQESTPHRYQLSTQHTQHLYQPQQFHVPRSPQSATQFVSLQASQPGAQGRQKNAEKVDNDHAILLREICQVLFDWSYATLRHLRTPWTPSLRLIIRSSAVCAAAVQLSVELFYDAYKAMYLKTKELCIMPWGDHLDTEHVAESLYYEIFHAATPLCLNWQFTELLLLEMHLLNQKTLVLNMNTMCGLSNLQELKPVSDEKEEFKSALLLCSLAVLHLQQISIDYRDMFLDLLYVALHRASPCLTFRNSVIAILDAFYRRLSLQPSQGKYSPLKLEKYRLAQHIDAALQGRWWQGELEM
+>sp|Q9UK58|CCNL1_HUMAN Cyclin-L1 OS=Homo sapiens OX=9606 GN=CCNL1 PE=1 SV=1
+MASGPHSTATAAAAASSAAPSAGGSSSGTTTTTTTTTGGILIGDRLYSEVSLTIDHSLIPEERLSPTPSMQDGLDLPSETDLRILGCELIQAAGILLRLPQVAMATGQVLFHRFFYSKSFVKHSFEIVAMACINLASKIEEAPRRIRDVINVFHHLRQLRGKRTPSPLILDQNYINTKNQVIKAERRVLKELGFCVHVKHPHKIIVMYLQVLECERNQTLVQTAWNYMNDSLRTNVFVRFQPETIACACIYLAARALQIPLPTRPHWFLLFGTTEEEIQEICIETLRLYTRKKPNYELLEKEVEKRKVALQEAKLKAKGLNPDGTPALSTLGGFSPASKPSSPREVKAEEKSPISINVKTVKKEPEDRQQASKSPYNGVRKDSKRSRNSRSASRSRSRTRSRSRSHTPRRHYNNRRSRSGTYSSRSRSRSRSHSESPRRHHNHGSPHLKAKHTRDDLKSSNRHGHKRKKSRSRSQSKSRDHSDAAKKHRHERGHHRDRRERSRSFERSHKSKHHGGSRSGHGRHRR
+>DECOY_sp|Q9UK58|CCNL1_HUMAN Cyclin-L1 OS=Homo sapiens OX=9606 GN=CCNL1 PE=1 SV=1
+RRHRGHGSRSGGHHKSKHSREFSRSRERRDRHHGREHRHKKAADSHDRSKSQSRSRSKKRKHGHRNSSKLDDRTHKAKLHPSGHNHHRRPSESHSRSRSRSRSSYTGSRSRRNNYHRRPTHSRSRSRTRSRSRSASRSNRSRKSDKRVGNYPSKSAQQRDEPEKKVTKVNISIPSKEEAKVERPSSPKSAPSFGGLTSLAPTGDPNLGKAKLKAEQLAVKRKEVEKELLEYNPKKRTYLRLTEICIEQIEEETTGFLLFWHPRTPLPIQLARAALYICACAITEPQFRVFVNTRLSDNMYNWATQVLTQNRECELVQLYMVIIKHPHKVHVCFGLEKLVRREAKIVQNKTNIYNQDLILPSPTRKGRLQRLHHFVNIVDRIRRPAEEIKSALNICAMAVIEFSHKVFSKSYFFRHFLVQGTAMAVQPLRLLIGAAQILECGLIRLDTESPLDLGDQMSPTPSLREEPILSHDITLSVESYLRDGILIGGTTTTTTTTTGSSSGGASPAASSAAAAATATSHPGSAM
+>sp|P51679|CCR4_HUMAN C-C chemokine receptor type 4 OS=Homo sapiens OX=9606 GN=CCR4 PE=1 SV=1
+MNPTDIADTTLDESIYSNYYLYESIPKPCTKEGIKAFGELFLPPLYSLVFVFGLLGNSVVVLVLFKYKRLRSMTDVYLLNLAISDLLFVFSLPFWGYYAADQWVFGLGLCKMISWMYLVGFYSGIFFVMLMSIDRYLAIVHAVFSLRARTLTYGVITSLATWSVAVFASLPGFLFSTCYTERNHTYCKTKYSLNSTTWKVLSSLEINILGLVIPLGIMLFCYSMIIRTLQHCKNEKKNKAVKMIFAVVVLFLGFWTPYNIVLFLETLVELEVLQDCTFERYLDYAIQATETLAFVHCCLNPIIYFFLGEKFRKYILQLFKTCRGLFVLCQYCGLLQIYSADTPSSSYTQSTMDHDLHDAL
+>DECOY_sp|P51679|CCR4_HUMAN C-C chemokine receptor type 4 OS=Homo sapiens OX=9606 GN=CCR4 PE=1 SV=1
+LADHLDHDMTSQTYSSSPTDASYIQLLGCYQCLVFLGRCTKFLQLIYKRFKEGLFFYIIPNLCCHVFALTETAQIAYDLYREFTCDQLVELEVLTELFLVINYPTWFGLFLVVVAFIMKVAKNKKENKCHQLTRIIMSYCFLMIGLPIVLGLINIELSSLVKWTTSNLSYKTKCYTHNRETYCTSFLFGPLSAFVAVSWTALSTIVGYTLTRARLSFVAHVIALYRDISMLMVFFIGSYFGVLYMWSIMKCLGLGFVWQDAAYYGWFPLSFVFLLDSIALNLLYVDTMSRLRKYKFLVLVVVSNGLLGFVFVLSYLPPLFLEGFAKIGEKTCPKPISEYLYYNSYISEDLTTDAIDTPNM
+>sp|P51681|CCR5_HUMAN C-C chemokine receptor type 5 OS=Homo sapiens OX=9606 GN=CCR5 PE=1 SV=1
+MDYQVSSPIYDINYYTSEPCQKINVKQIAARLLPPLYSLVFIFGFVGNMLVILILINCKRLKSMTDIYLLNLAISDLFFLLTVPFWAHYAAAQWDFGNTMCQLLTGLYFIGFFSGIFFIILLTIDRYLAVVHAVFALKARTVTFGVVTSVITWVVAVFASLPGIIFTRSQKEGLHYTCSSHFPYSQYQFWKNFQTLKIVILGLVLPLLVMVICYSGILKTLLRCRNEKKRHRAVRLIFTIMIVYFLFWAPYNIVLLLNTFQEFFGLNNCSSSNRLDQAMQVTETLGMTHCCINPIIYAFVGEKFRNYLLVFFQKHIAKRFCKCCSIFQQEAPERASSVYTRSTGEQEISVGL
+>DECOY_sp|P51681|CCR5_HUMAN C-C chemokine receptor type 5 OS=Homo sapiens OX=9606 GN=CCR5 PE=1 SV=1
+LGVSIEQEGTSRTYVSSAREPAEQQFISCCKCFRKAIHKQFFVLLYNRFKEGVFAYIIPNICCHTMGLTETVQMAQDLRNSSSCNNLGFFEQFTNLLLVINYPAWFLFYVIMITFILRVARHRKKENRCRLLTKLIGSYCIVMVLLPLVLGLIVIKLTQFNKWFQYQSYPFHSSCTYHLGEKQSRTFIIGPLSAFVAVVWTIVSTVVGFTVTRAKLAFVAHVVALYRDITLLIIFFIGSFFGIFYLGTLLQCMTNGFDWQAAAYHAWFPVTLLFFLDSIALNLLYIDTMSKLRKCNILILIVLMNGVFGFIFVLSYLPPLLRAAIQKVNIKQCPESTYYNIDYIPSSVQYDM
+>sp|P32248|CCR7_HUMAN C-C chemokine receptor type 7 OS=Homo sapiens OX=9606 GN=CCR7 PE=2 SV=2
+MDLGKPMKSVLVVALLVIFQVCLCQDEVTDDYIGDNTTVDYTLFESLCSKKDVRNFKAWFLPIMYSIICFVGLLGNGLVVLTYIYFKRLKTMTDTYLLNLAVADILFLLTLPFWAYSAAKSWVFGVHFCKLIFAIYKMSFFSGMLLLLCISIDRYVAIVQAVSAHRHRARVLLISKLSCVGIWILATVLSIPELLYSDLQRSSSEQAMRCSLITEHVEAFITIQVAQMVIGFLVPLLAMSFCYLVIIRTLLQARNFERNKAIKVIIAVVVVFIVFQLPYNGVVLAQTVANFNITSSTCELSKQLNIAYDVTYSLACVRCCVNPFLYAFIGVKFRNDLFKLFKDLGCLSQEQLRQWSSCRHIRRSSMSVEAETTTTFSP
+>DECOY_sp|P32248|CCR7_HUMAN C-C chemokine receptor type 7 OS=Homo sapiens OX=9606 GN=CCR7 PE=2 SV=2
+PSFTTTTEAEVSMSSRRIHRCSSWQRLQEQSLCGLDKFLKFLDNRFKVGIFAYLFPNVCCRVCALSYTVDYAINLQKSLECTSSTINFNAVTQALVVGNYPLQFVIFVVVVAIIVKIAKNREFNRAQLLTRIIVLYCFSMALLPVLFGIVMQAVQITIFAEVHETILSCRMAQESSSRQLDSYLLEPISLVTALIWIGVCSLKSILLVRARHRHASVAQVIAVYRDISICLLLLMGSFFSMKYIAFILKCFHVGFVWSKAASYAWFPLTLLFLIDAVALNLLYTDTMTKLRKFYIYTLVVLGNGLLGVFCIISYMIPLFWAKFNRVDKKSCLSEFLTYDVTTNDGIYDDTVEDQCLCVQFIVLLAVVLVSKMPKGLDM
+>sp|O75794|CD123_HUMAN Cell division cycle protein 123 homolog OS=Homo sapiens OX=9606 GN=CDC123 PE=1 SV=1
+MKKEHVLHCQFSAWYPFFRGVTIKSVILPLPQNVKDYLLDDGTLVVSGRDDPPTHSQPDSDDEAEEIQWSDDENTATLTAPEFPEFATKVQEAINSLGGSVFPKLNWSAPRDAYWIAMNSSLKCKTLSDIFLLFKSSDFITRDFTQPFIHCTDDSPDPCIEYELVLRKWCELIPGAEFRCFVKENKLIGISQRDYTQYYDHISKQKEEIRRCIQDFFKKHIQYKFLDEDFVFDIYRDSRGKVWLIDFNPFGEVTDSLLFTWEELISENNLNGDFSEVDAQEQDSPAFRCTNSEVTVQPSPYLSYRLPKDFVDLSTGEDAHKLIDFLKLKRNQQEDD
+>DECOY_sp|O75794|CD123_HUMAN Cell division cycle protein 123 homolog OS=Homo sapiens OX=9606 GN=CDC123 PE=1 SV=1
+DDEQQNRKLKLFDILKHADEGTSLDVFDKPLRYSLYPSPQVTVESNTCRFAPSDQEQADVESFDGNLNNESILEEWTFLLSDTVEGFPNFDILWVKGRSDRYIDFVFDEDLFKYQIHKKFFDQICRRIEEKQKSIHDYYQTYDRQSIGILKNEKVFCRFEAGPILECWKRLVLEYEICPDPSDDTCHIFPQTFDRTIFDSSKFLLFIDSLTKCKLSSNMAIWYADRPASWNLKPFVSGGLSNIAEQVKTAFEPFEPATLTATNEDDSWQIEEAEDDSDPQSHTPPDDRGSVVLTGDDLLYDKVNQPLPLIVSKITVGRFFPYWASFQCHLVHEKKM
+>sp|P29016|CD1B_HUMAN T-cell surface glycoprotein CD1b OS=Homo sapiens OX=9606 GN=CD1B PE=1 SV=1
+MLLLPFQLLAVLFPGGNSEHAFQGPTSFHVIQTSSFTNSTWAQTQGSGWLDDLQIHGWDSDSGTAIFLKPWSKGNFSDKEVAELEEIFRVYIFGFAREVQDFAGDFQMKYPFEIQGIAGCELHSGGAIVSFLRGALGGLDFLSVKNASCVPSPEGGSRAQKFCALIIQYQGIMETVRILLYETCPRYLLGVLNAGKADLQRQVKPEAWLSSGPSPGPGRLQLVCHVSGFYPKPVWVMWMRGEQEQQGTQLGDILPNANWTWYLRATLDVADGEAAGLSCRVKHSSLEGQDIILYWRNPTSIGSIVLAIIVPSLLLLLCLALWYMRRRSYQNIP
+>DECOY_sp|P29016|CD1B_HUMAN T-cell surface glycoprotein CD1b OS=Homo sapiens OX=9606 GN=CD1B PE=1 SV=1
+PINQYSRRRMYWLALCLLLLLSPVIIALVISGISTPNRWYLIIDQGELSSHKVRCSLGAAEGDAVDLTARLYWTWNANPLIDGLQTGQQEQEGRMWMVWVPKPYFGSVHCVLQLRGPGPSPGSSLWAEPKVQRQLDAKGANLVGLLYRPCTEYLLIRVTEMIGQYQIILACFKQARSGGEPSPVCSANKVSLFDLGGLAGRLFSVIAGGSHLECGAIGQIEFPYKMQFDGAFDQVERAFGFIYVRFIEELEAVEKDSFNGKSWPKLFIATGSDSDWGHIQLDDLWGSGQTQAWTSNTFSSTQIVHFSTPGQFAHESNGGPFLVALLQFPLLLM
+>sp|P15813|CD1D_HUMAN Antigen-presenting glycoprotein CD1d OS=Homo sapiens OX=9606 GN=CD1D PE=1 SV=1
+MGCLLFLLLWALLQAWGSAEVPQRLFPLRCLQISSFANSSWTRTDGLAWLGELQTHSWSNDSDTVRSLKPWSQGTFSDQQWETLQHIFRVYRSSFTRDVKEFAKMLRLSYPLELQVSAGCEVHPGNASNNFFHVAFQGKDILSFQGTSWEPTQEAPLWVNLAIQVLNQDKWTRETVQWLLNGTCPQFVSGLLESGKSELKKQVKPKAWLSRGPSPGPGRLLLVCHVSGFYPKPVWVKWMRGEQEQQGTQPGDILPNADETWYLRATLDVVAGEAAGLSCRVKHSSLEGQDIVLYWGGSYTSMGLIALAVLACLLFLLIVGFTSRFKRQTSYQGVL
+>DECOY_sp|P15813|CD1D_HUMAN Antigen-presenting glycoprotein CD1d OS=Homo sapiens OX=9606 GN=CD1D PE=1 SV=1
+LVGQYSTQRKFRSTFGVILLFLLCALVALAILGMSTYSGGWYLVIDQGELSSHKVRCSLGAAEGAVVDLTARLYWTEDANPLIDGPQTGQQEQEGRMWKVWVPKPYFGSVHCVLLLRGPGPSPGRSLWAKPKVQKKLESKGSELLGSVFQPCTGNLLWQVTERTWKDQNLVQIALNVWLPAEQTPEWSTGQFSLIDKGQFAVHFFNNSANGPHVECGASVQLELPYSLRLMKAFEKVDRTFSSRYVRFIHQLTEWQQDSFTGQSWPKLSRVTDSDNSWSHTQLEGLWALGDTRTWSSNAFSSIQLCRLPFLRQPVEASGWAQLLAWLLLFLLCGM
+>sp|Q86Y33|CD20B_HUMAN Cell division cycle protein 20 homolog B OS=Homo sapiens OX=9606 GN=CDC20B PE=1 SV=3
+MEWKLERTAPRRVRTEEEMLWESIMRVLSKDLKQKRSQDSANVLDSVNATYSDFKSNFAKRLSAEVPVASSPITTRWQQSQTRALSSDSFGEEQSTTYLPEASGSVLKTPPEKETLTLGSRKEQLKTPSKGISETSNSALHFCKAPHAMDRDWKESVASKGQKCLKQLFVTQNVVQQANGKMQLCEQSECVWKGCKDGVRDESFHLKSSGDINDSILQPEVKIHITGLRNDYYLNILDWSFQNLVAIALGSAVYIWNGENHNGIENIDLSLTCNYISSVSWIKEGTCLAVGTSEGEVQLWDVVTKKRLRNMLGHLSVVGALSWNHFILSSGSRLGRVYHHDVRVAQHHVGTLRHKQAVCALKWSPDGRLLSSGCSDGLLTIWPHDPGASAQGQPLKVITQSTAVKAMDWCPWQSGVLAIGGGMKDGRLHILDINAGKSIQTPSTNSQICSLIWLPKTKEIATGQGTPKNDVTVWTCPTVSRSGGFFGHRGRVLHLSLSPDQTRVFSAAADGTASVWNCY
+>DECOY_sp|Q86Y33|CD20B_HUMAN Cell division cycle protein 20 homolog B OS=Homo sapiens OX=9606 GN=CDC20B PE=1 SV=3
+YCNWVSATGDAAASFVRTQDPSLSLHLVRGRHGFFGGSRSVTPCTWVTVDNKPTGQGTAIEKTKPLWILSCIQSNTSPTQISKGANIDLIHLRGDKMGGGIALVGSQWPCWDMAKVATSQTIVKLPQGQASAGPDHPWITLLGDSCGSSLLRGDPSWKLACVAQKHRLTGVHHQAVRVDHHYVRGLRSGSSLIFHNWSLAGVVSLHGLMNRLRKKTVVDWLQVEGESTGVALCTGEKIWSVSSIYNCTLSLDINEIGNHNEGNWIYVASGLAIAVLNQFSWDLINLYYDNRLGTIHIKVEPQLISDNIDGSSKLHFSEDRVGDKCGKWVCESQECLQMKGNAQQVVNQTVFLQKLCKQGKSAVSEKWDRDMAHPAKCFHLASNSTESIGKSPTKLQEKRSGLTLTEKEPPTKLVSGSAEPLYTTSQEEGFSDSSLARTQSQQWRTTIPSSAVPVEASLRKAFNSKFDSYTANVSDLVNASDQSRKQKLDKSLVRMISEWLMEEETRVRRPATRELKWEM
+>sp|Q5ZPR3|CD276_HUMAN CD276 antigen OS=Homo sapiens OX=9606 GN=CD276 PE=1 SV=1
+MLRRRGSPGMGVHVGAALGALWFCLTGALEVQVPEDPVVALVGTDATLCCSFSPEPGFSLAQLNLIWQLTDTKQLVHSFAEGQDQGSAYANRTALFPDLLAQGNASLRLQRVRVADEGSFTCFVSIRDFGSAAVSLQVAAPYSKPSMTLEPNKDLRPGDTVTITCSSYQGYPEAEVFWQDGQGVPLTGNVTTSQMANEQGLFDVHSILRVVLGANGTYSCLVRNPVLQQDAHSSVTITPQRSPTGAVEVQVPEDPVVALVGTDATLRCSFSPEPGFSLAQLNLIWQLTDTKQLVHSFTEGRDQGSAYANRTALFPDLLAQGNASLRLQRVRVADEGSFTCFVSIRDFGSAAVSLQVAAPYSKPSMTLEPNKDLRPGDTVTITCSSYRGYPEAEVFWQDGQGVPLTGNVTTSQMANEQGLFDVHSVLRVVLGANGTYSCLVRNPVLQQDAHGSVTITGQPMTFPPEALWVTVGLSVCLIALLVALAFVCWRKIKQSCEEENAGAEDQDGEGEGSKTALQPLKHSDSKEDDGQEIA
+>DECOY_sp|Q5ZPR3|CD276_HUMAN CD276 antigen OS=Homo sapiens OX=9606 GN=CD276 PE=1 SV=1
+AIEQGDDEKSDSHKLPQLATKSGEGEGDQDEAGANEEECSQKIKRWCVFALAVLLAILCVSLGVTVWLAEPPFTMPQGTITVSGHADQQLVPNRVLCSYTGNAGLVVRLVSHVDFLGQENAMQSTTVNGTLPVGQGDQWFVEAEPYGRYSSCTITVTDGPRLDKNPELTMSPKSYPAAVQLSVAASGFDRISVFCTFSGEDAVRVRQLRLSANGQALLDPFLATRNAYASGQDRGETFSHVLQKTDTLQWILNLQALSFGPEPSFSCRLTADTGVLAVVPDEPVQVEVAGTPSRQPTITVSSHADQQLVPNRVLCSYTGNAGLVVRLISHVDFLGQENAMQSTTVNGTLPVGQGDQWFVEAEPYGQYSSCTITVTDGPRLDKNPELTMSPKSYPAAVQLSVAASGFDRISVFCTFSGEDAVRVRQLRLSANGQALLDPFLATRNAYASGQDQGEAFSHVLQKTDTLQWILNLQALSFGPEPSFSCCLTADTGVLAVVPDEPVQVELAGTLCFWLAGLAAGVHVGMGPSGRRRLM
+>sp|Q8IX05|CD302_HUMAN CD302 antigen OS=Homo sapiens OX=9606 GN=CD302 PE=1 SV=1
+MLRAALPALLLPLLGLAAAAVADCPSSTWIQFQDSCYIFLQEAIKVESIEDVRNQCTDHGADMISIHNEEENAFILDTLKKQWKGPDDILLGMFYDTDDASFKWFDNSNMTFDKWTDQDDDEDLVDTCAFLHIKTGEWKKGNCEVSSVEGTLCKTAIPYKRKYLSDNHILISALVIASTVILTVLGAIIWFLYKKHSDSRFTTVFSTAPQSPYNEDCVLVVGEENEYPVQFD
+>DECOY_sp|Q8IX05|CD302_HUMAN CD302 antigen OS=Homo sapiens OX=9606 GN=CD302 PE=1 SV=1
+DFQVPYENEEGVVLVCDENYPSQPATSFVTTFRSDSHKKYLFWIIAGLVTLIVTSAIVLASILIHNDSLYKRKYPIATKCLTGEVSSVECNGKKWEGTKIHLFACTDVLDEDDDQDTWKDFTMNSNDFWKFSADDTDYFMGLLIDDPGKWQKKLTDLIFANEEENHISIMDAGHDTCQNRVDEISEVKIAEQLFIYCSDQFQIWTSSPCDAVAAAALGLLPLLLAPLAARLM
+>sp|Q9NPF0|CD320_HUMAN CD320 antigen OS=Homo sapiens OX=9606 GN=CD320 PE=1 SV=1
+MSGGWMAQVGAWRTGALGLALLLLLGLGLGLEAAASPLSTPTSAQAAGPSSGSCPPTKFQCRTSGLCVPLTWRCDRDLDCSDGSDEEECRIEPCTQKGQCPPPPGLPCPCTGVSDCSGGTDKKLRNCSRLACLAGELRCTLSDDCIPLTWRCDGHPDCPDSSDELGCGTNEILPEGDATTMGPPVTLESVTSLRNATTMGPPVTLESVPSVGNATSSSAGDQSGSPTAYGVIAAAAVLSASLVTATLLLLSWLRAQERLRPLGLLVAMKESLLLSEQKTSLP
+>DECOY_sp|Q9NPF0|CD320_HUMAN CD320 antigen OS=Homo sapiens OX=9606 GN=CD320 PE=1 SV=1
+PLSTKQESLLLSEKMAVLLGLPRLREQARLWSLLLLTATVLSASLVAAAAIVGYATPSGSQDGASSSTANGVSPVSELTVPPGMTTANRLSTVSELTVPPGMTTADGEPLIENTGCGLEDSSDPCDPHGDCRWTLPICDDSLTCRLEGALCALRSCNRLKKDTGGSCDSVGTCPCPLGPPPPCQGKQTCPEIRCEEEDSGDSCDLDRDCRWTLPVCLGSTRCQFKTPPCSGSSPGAAQASTPTSLPSAAAELGLGLGLLLLLALGLAGTRWAGVQAMWGGSM
+>sp|Q7L3B6|CD37L_HUMAN Hsp90 co-chaperone Cdc37-like 1 OS=Homo sapiens OX=9606 GN=CDC37L1 PE=1 SV=1
+MEQPWPPPGPWSLPRAEGEAEEESDFDVFPSSPRCPQLPGGGAQMYSHGIELACQKQKEFVKSSVACKWNLAEAQQKLGSLALHNSESLDQEHAKAQTAVSELRQREEEWRQKEEALVQREKMCLWSTDAISKDVFNKSFINQDKRKDTEDEDKSESFMQKYEQKIRHFGMLSRWDDSQRFLSDHPYLVCEETAKYLILWCFHLEAEKKGALMEQIAHQAVVMQFIMEMAKNCNVDPRGCFRLFFQKAKAEEEGYFEAFKNELEAFKSRVRLYSQSQSFQPMTVQNHVPHSGVGSIGLLESLPQNPDYLQYSISTALCSLNSVVHKEDDEPKMMDTV
+>DECOY_sp|Q7L3B6|CD37L_HUMAN Hsp90 co-chaperone Cdc37-like 1 OS=Homo sapiens OX=9606 GN=CDC37L1 PE=1 SV=1
+VTDMMKPEDDEKHVVSNLSCLATSISYQLYDPNQPLSELLGISGVGSHPVHNQVTMPQFSQSQSYLRVRSKFAELENKFAEFYGEEEAKAKQFFLRFCGRPDVNCNKAMEMIFQMVVAQHAIQEMLAGKKEAELHFCWLILYKATEECVLYPHDSLFRQSDDWRSLMGFHRIKQEYKQMFSESKDEDETDKRKDQNIFSKNFVDKSIADTSWLCMKERQVLAEEKQRWEEERQRLESVATQAKAHEQDLSESNHLALSGLKQQAEALNWKCAVSSKVFEKQKQCALEIGHSYMQAGGGPLQPCRPSSPFVDFDSEEEAEGEARPLSWPGPPPWPQEM
+>sp|Q8IWY9|CDAN1_HUMAN Codanin-1 OS=Homo sapiens OX=9606 GN=CDAN1 PE=1 SV=4
+MAAVLESLLREEVSVAAVVRWIARSTQGSEDNAGEAAALSSLRALRKEFVPFLLNFLREQSSRVLPQGPPTPAKTPGASAALPGRPGGPPRGSRGARSQLFPPTEAQSTAAEAPLARRGGRRRGPGPARERGGRGLEEGVSGESLPGAGGRRLRGSGSPSRPSLTLSDPPNLSNLEEFPPVGSVPPGPTGTKPSRRINPTPVSEERSLSKPKTCFTSPPISCVPSSQPSALDTSPWGLGLPPGCRSLQEEREMLRKERSKQLQQSPTPTCPTPELGSPLPSRTGSLTDEPADPARVSSRQRLELVALVYSSCIAENLVPNLFLELFFVFQLLTARRMVTAKDSDPELSPAVLDSLESPLFQSIHDCVFFAVQVLECHFQVLSNLDKGTLKLLAENERLLCFSPALQGRLRAAYEGSVAKVSLVMPPSTQAVSFQPETDNRANFSSDRAFHTFKKQRDVFYEVLREWEDHHEEPGWDFEKGLGSRIRAMMGQLSAACSHSHFVRLFQKQLLQMCQSPGGAGGTVLGEAPDVLSMLGADKLGRLWRLQERLMAPQSSGGPCPPPTFPGCQGFFRDFILSASSFQFNQHLMDSLSLKIQELNGLALPQHEPNDEDGESDVDWQGERKQFAVVLLSLRLLAKFLGFVAFLPYRGPEPPPTGELQDSILALRSQVPPVLDVRTLLQRGLQARRAVLTVPWLVEFLSFADHVVPLLEYYRDIFTLLLRLHRSLVLSQESEGKMCFLNKLLLLAVLGWLFQIPTVPEDLFFLEEGPSYAFEVDTVAPEHGLDNAPVVDQQLLYTCCPYIGELRKLLASWVSGSSGRSGGFMRKITPTTTTSLGAQPSQTSQGLQAQLAQAFFHNQPPSLRRTVEFVAERIGSNCVKHIKATLVADLVRQAESLLQEQLVTQGEEGGDPAQLLEILCSQLCPHGAQALALGREFCQRKSPGAVRALLPEETPAAVLSSAENIAVGLATEKACAWLSANITALIRREVKAAVSRTLRAQGPEPAARGERRGCSRACEHHAPLPSHLISEIKDVLSLAVGPRDPDEGVSPEHLEQLLGQLGQTLRCRQFLCPPAEQHLAKCSVELASLLVADQIPILGPPAQYRLERGQARRLLHMLLSLWKEDFQGPVPLQLLLSPRNVGLLADTRPREWDLLLFLLRELVEKGLMGRMEIEACLGSLHQAQWPGDFAEELATLSNLFLAEPHLPEPQLRACELVQPNRGTVLAQS
+>DECOY_sp|Q8IWY9|CDAN1_HUMAN Codanin-1 OS=Homo sapiens OX=9606 GN=CDAN1 PE=1 SV=4
+SQALVTGRNPQVLECARLQPEPLHPEALFLNSLTALEEAFDGPWQAQHLSGLCAEIEMRGMLGKEVLERLLFLLLDWERPRTDALLGVNRPSLLLQLPVPGQFDEKWLSLLMHLLRRAQGRELRYQAPPGLIPIQDAVLLSALEVSCKALHQEAPPCLFQRCRLTQGLQGLLQELHEPSVGEDPDRPGVALSLVDKIESILHSPLPAHHECARSCGRREGRAAPEPGQARLTRSVAAKVERRILATINASLWACAKETALGVAINEASSLVAAPTEEPLLARVAGPSKRQCFERGLALAQAGHPCLQSCLIELLQAPDGGEEGQTVLQEQLLSEAQRVLDAVLTAKIHKVCNSGIREAVFEVTRRLSPPQNHFFAQALQAQLGQSTQSPQAGLSTTTTPTIKRMFGGSRGSSGSVWSALLKRLEGIYPCCTYLLQQDVVPANDLGHEPAVTDVEFAYSPGEELFFLDEPVTPIQFLWGLVALLLLKNLFCMKGESEQSLVLSRHLRLLLTFIDRYYELLPVVHDAFSLFEVLWPVTLVARRAQLGRQLLTRVDLVPPVQSRLALISDQLEGTPPPEPGRYPLFAVFGLFKALLRLSLLVVAFQKREGQWDVDSEGDEDNPEHQPLALGNLEQIKLSLSDMLHQNFQFSSASLIFDRFFGQCGPFTPPPCPGGSSQPAMLREQLRWLRGLKDAGLMSLVDPAEGLVTGGAGGPSQCMQLLQKQFLRVFHSHSCAASLQGMMARIRSGLGKEFDWGPEEHHDEWERLVEYFVDRQKKFTHFARDSSFNARNDTEPQFSVAQTSPPMVLSVKAVSGEYAARLRGQLAPSFCLLRENEALLKLTGKDLNSLVQFHCELVQVAFFVCDHISQFLPSELSDLVAPSLEPDSDKATVMRRATLLQFVFFLELFLNPVLNEAICSSYVLAVLELRQRSSVRAPDAPEDTLSGTRSPLPSGLEPTPCTPTPSQQLQKSREKRLMEREEQLSRCGPPLGLGWPSTDLASPQSSPVCSIPPSTFCTKPKSLSREESVPTPNIRRSPKTGTPGPPVSGVPPFEELNSLNPPDSLTLSPRSPSGSGRLRRGGAGPLSEGSVGEELGRGGRERAPGPGRRRGGRRALPAEAATSQAETPPFLQSRAGRSGRPPGGPRGPLAASAGPTKAPTPPGQPLVRSSQERLFNLLFPVFEKRLARLSSLAAAEGANDESGQTSRAIWRVVAAVSVEERLLSELVAAM
+>sp|Q14004|CDK13_HUMAN Cyclin-dependent kinase 13 OS=Homo sapiens OX=9606 GN=CDK13 PE=1 SV=2
+MPSSSDTALGGGGGLSWAEKKLEERRKRRRFLSPQQPPLLLPLLQPQLLQPPPPPPPLLFLAAPGTAAAAAAAAAASSSCFSPGPPLEVKRLARGKRRAGGRQKRRRGPRAGQEAEKRRVFSLPQPQQDGGGGASSGGGVTPLVEYEDVSSQSEQGLLLGGASAATAATAAGGTGGSGGSPASSSGTQRRGEGSERRPRRDRRSSSGRSKERHREHRRRDGQRGGSEASKSRSRHSHSGEERAEVAKSGSSSSSGGRRKSASATSSSSSSRKDRDSKAHRSRTKSSKEPPSAYKEPPKAYREDKTEPKAYRRRRSLSPLGGRDDSPVSHRASQSLRSRKSPSPAGGGSSPYSRRLPRSPSPYSRRRSPSYSRHSSYERGGDVSPSPYSSSSWRRSRSPYSPVLRRSGKSRSRSPYSSRHSRSRSRHRLSRSRSRHSSISPSTLTLKSSLAAELNKNKKARAAEAARAAEAAKAAEATKAAEAAAKAAKASNTSTPTKGNTETSASASQTNHVKDVKKIKIEHAPSPSSGGTLKNDKAKTKPPLQVTKVENNLIVDKATKKAVIVGKESKSAATKEESVSLKEKTKPLTPSIGAKEKEQHVALVTSTLPPLPLPPMLPEDKEADSLRGNISVKAVKKEVEKKLRCLLADLPLPPELPGGDDLSKSPEEKKTATQLHSKRRPKICGPRYGETKEKDIDWGKRCVDKFDIIGIIGEGTYGQVYKARDKDTGEMVALKKVRLDNEKEGFPITAIREIKILRQLTHQSIINMKEIVTDKEDALDFKKDKGAFYLVFEYMDHDLMGLLESGLVHFNENHIKSFMRQLMEGLDYCHKKNFLHRDIKCSNILLNNRGQIKLADFGLARLYSSEESRPYTNKVITLWYRPPELLLGEERYTPAIDVWSCGCILGELFTKKPIFQANQELAQLELISRICGSPCPAVWPDVIKLPYFNTMKPKKQYRRKLREEFVFIPAAALDLFDYMLALDPSKRCTAEQALQCEFLRDVEPSKMPPPDLPLWQDCHELWSKKRRRQKQMGMTDDVSTIKAPRKDLSLGLDDSRTNTPQGVLPSSQLKSQGSSNVAPVKTGPGQHLNHSELAILLNLLQSKTSVNMADFVQVLNIKVNSETQQQLNKINLPAGILATGEKQTDPSTPQQESSKPLGGIQPSSQTIQPKVETDAAQAAVQSAFAVLLTQLIKAQQSKQKDVLLEERENGSGHEASLQLRPPPEPSTPVSGQDDLIQHQDMRILELTPEPDRPRILPPDQRPPEPPEPPPVTEEDLDYRTENQHVPTTSSSLTDPHAGVKAALLQLLAQHQPQDDPKREGGIDYQAGDTYVSTSDYKDNFGSSSFSSAPYVSNDGLGSSSAPPLERRSFIGNSDIQSLDNYSTASSHSGGPPQPSAFSESFPSSVAGYGDIYLNAGPMLFSGDKDHRFEYSHGPIAVLANSSDPSTGPESTHPLPAKMHNYNYGGNLQENPSGPSLMHGQTWTSPAQGPGYSQGYRGHISTSTGRGRGRGLPY
+>DECOY_sp|Q14004|CDK13_HUMAN Cyclin-dependent kinase 13 OS=Homo sapiens OX=9606 GN=CDK13 PE=1 SV=2
+YPLGRGRGRGTSTSIHGRYGQSYGPGQAPSTWTQGHMLSPGSPNEQLNGGYNYNHMKAPLPHTSEPGTSPDSSNALVAIPGHSYEFRHDKDGSFLMPGANLYIDGYGAVSSPFSESFASPQPPGGSHSSATSYNDLSQIDSNGIFSRRELPPASSSGLGDNSVYPASSFSSSGFNDKYDSTSVYTDGAQYDIGGERKPDDQPQHQALLQLLAAKVGAHPDTLSSSTTPVHQNETRYDLDEETVPPPEPPEPPRQDPPLIRPRDPEPTLELIRMDQHQILDDQGSVPTSPEPPPRLQLSAEHGSGNEREELLVDKQKSQQAKILQTLLVAFASQVAAQAADTEVKPQITQSSPQIGGLPKSSEQQPTSPDTQKEGTALIGAPLNIKNLQQQTESNVKINLVQVFDAMNVSTKSQLLNLLIALESHNLHQGPGTKVPAVNSSGQSKLQSSPLVGQPTNTRSDDLGLSLDKRPAKITSVDDTMGMQKQRRRKKSWLEHCDQWLPLDPPPMKSPEVDRLFECQLAQEATCRKSPDLALMYDFLDLAAAPIFVFEERLKRRYQKKPKMTNFYPLKIVDPWVAPCPSGCIRSILELQALEQNAQFIPKKTFLEGLICGCSWVDIAPTYREEGLLLEPPRYWLTIVKNTYPRSEESSYLRALGFDALKIQGRNNLLINSCKIDRHLFNKKHCYDLGEMLQRMFSKIHNENFHVLGSELLGMLDHDMYEFVLYFAGKDKKFDLADEKDTVIEKMNIISQHTLQRLIKIERIATIPFGEKENDLRVKKLAVMEGTDKDRAKYVQGYTGEGIIGIIDFKDVCRKGWDIDKEKTEGYRPGCIKPRRKSHLQTATKKEEPSKSLDDGGPLEPPLPLDALLCRLKKEVEKKVAKVSINGRLSDAEKDEPLMPPLPLPPLTSTVLAVHQEKEKAGISPTLPKTKEKLSVSEEKTAASKSEKGVIVAKKTAKDVILNNEVKTVQLPPKTKAKDNKLTGGSSPSPAHEIKIKKVDKVHNTQSASASTETNGKTPTSTNSAKAAKAAAEAAKTAEAAKAAEAARAAEAARAKKNKNLEAALSSKLTLTSPSISSHRSRSRSLRHRSRSRSHRSSYPSRSRSKGSRRLVPSYPSRSRRWSSSSYPSPSVDGGREYSSHRSYSPSRRRSYPSPSRPLRRSYPSSGGGAPSPSKRSRLSQSARHSVPSDDRGGLPSLSRRRRYAKPETKDERYAKPPEKYASPPEKSSKTRSRHAKSDRDKRSSSSSSTASASKRRGGSSSSSGSKAVEAREEGSHSHRSRSKSAESGGRQGDRRRHERHREKSRGSSSRRDRRPRRESGEGRRQTGSSSAPSGGSGGTGGAATAATAASAGGLLLGQESQSSVDEYEVLPTVGGGSSAGGGGDQQPQPLSFVRRKEAEQGARPGRRRKQRGGARRKGRALRKVELPPGPSFCSSSAAAAAAAAAATGPAALFLLPPPPPPPQLLQPQLLPLLLPPQQPSLFRRRKRREELKKEAWSLGGGGGLATDSSSPM
+>sp|P24941|CDK2_HUMAN Cyclin-dependent kinase 2 OS=Homo sapiens OX=9606 GN=CDK2 PE=1 SV=2
+MENFQKVEKIGEGTYGVVYKARNKLTGEVVALKKIRLDTETEGVPSTAIREISLLKELNHPNIVKLLDVIHTENKLYLVFEFLHQDLKKFMDASALTGIPLPLIKSYLFQLLQGLAFCHSHRVLHRDLKPQNLLINTEGAIKLADFGLARAFGVPVRTYTHEVVTLWYRAPEILLGCKYYSTAVDIWSLGCIFAEMVTRRALFPGDSEIDQLFRIFRTLGTPDEVVWPGVTSMPDYKPSFPKWARQDFSKVVPPLDEDGRSLLSQMLHYDPNKRISAKAALAHPFFQDVTKPVPHLRL
+>DECOY_sp|P24941|CDK2_HUMAN Cyclin-dependent kinase 2 OS=Homo sapiens OX=9606 GN=CDK2 PE=1 SV=2
+LRLHPVPKTVDQFFPHALAAKASIRKNPDYHLMQSLLSRGDEDLPPVVKSFDQRAWKPFSPKYDPMSTVGPWVVEDPTGLTRFIRFLQDIESDGPFLARRTVMEAFICGLSWIDVATSYYKCGLLIEPARYWLTVVEHTYTRVPVGFARALGFDALKIAGETNILLNQPKLDRHLVRHSHCFALGQLLQFLYSKILPLPIGTLASADMFKKLDQHLFEFVLYLKNETHIVDLLKVINPHNLEKLLSIERIATSPVGETETDLRIKKLAVVEGTLKNRAKYVVGYTGEGIKEVKQFNEM
+>sp|Q00534|CDK6_HUMAN Cyclin-dependent kinase 6 OS=Homo sapiens OX=9606 GN=CDK6 PE=1 SV=1
+MEKDGLCRADQQYECVAEIGEGAYGKVFKARDLKNGGRFVALKRVRVQTGEEGMPLSTIREVAVLRHLETFEHPNVVRLFDVCTVSRTDRETKLTLVFEHVDQDLTTYLDKVPEPGVPTETIKDMMFQLLRGLDFLHSHRVVHRDLKPQNILVTSSGQIKLADFGLARIYSFQMALTSVVVTLWYRAPEVLLQSSYATPVDLWSVGCIFAEMFRRKPLFRGSSDVDQLGKILDVIGLPGEEDWPRDVALPRQAFHSKSAQPIEKFVTDIDELGKDLLLKCLTFNPAKRISAYSALSHPYFQDLERCKENLDSHLPPSQNTSELNTA
+>DECOY_sp|Q00534|CDK6_HUMAN Cyclin-dependent kinase 6 OS=Homo sapiens OX=9606 GN=CDK6 PE=1 SV=1
+ATNLESTNQSPPLHSDLNEKCRELDQFYPHSLASYASIRKAPNFTLCKLLLDKGLEDIDTVFKEIPQASKSHFAQRPLAVDRPWDEEGPLGIVDLIKGLQDVDSSGRFLPKRRFMEAFICGVSWLDVPTAYSSQLLVEPARYWLTVVVSTLAMQFSYIRALGFDALKIQGSSTVLINQPKLDRHVVRHSHLFDLGRLLQFMMDKITETPVGPEPVKDLYTTLDQDVHEFVLTLKTERDTRSVTCVDFLRVVNPHEFTELHRLVAVERITSLPMGEEGTQVRVRKLAVFRGGNKLDRAKFVKGYAGEGIEAVCEYQQDARCLGDKEM
+>sp|Q5VV42|CDKAL_HUMAN Threonylcarbamoyladenosine tRNA methylthiotransferase OS=Homo sapiens OX=9606 GN=CDKAL1 PE=1 SV=1
+MPSASCDTLLDDIEDIVSQEDSKPQDRHFVRKDVVPKVRRRNTQKYLQEEENSPPSDSTIPGIQKIWIRTWGCSHNNSDGEYMAGQLAAYGYKITENASDADLWLLNSCTVKNPAEDHFRNSIKKAQEENKKIVLAGCVPQAQPRQDYLKGLSIIGVQQIDRVVEVVEETIKGHSVRLLGQKKDNGRRLGGARLDLPKIRKNPLIEIISINTGCLNACTYCKTKHARGNLASYPIDELVDRAKQSFQEGVCEIWLTSEDTGAYGRDIGTNLPTLLWKLVEVIPEGAMLRLGMTNPPYILEHLEEMAKILNHPRVYAFLHIPVQSASDSVLMEMKREYCVADFKRVVDFLKEKVPGITIATDIICGFPGETDQDFQETVKLVEEYKFPSLFINQFYPRPGTPAAKMEQVPAQVKKQRTKDLSRVFHSYSPYDHKIGERQQVLVTEESFDSKFYVAHNQFYEQVLVPKNPAFMGKMVEVDIYESGKHFMKGQPVSDAKVYTPSISKPLAKGEVSGLTKDFRNGLGNQLSSGSHTSAASQCDSASSRMVLPMPRLHQDCALRMSVGLALLGLLFAFFVKVYN
+>DECOY_sp|Q5VV42|CDKAL_HUMAN Threonylcarbamoyladenosine tRNA methylthiotransferase OS=Homo sapiens OX=9606 GN=CDKAL1 PE=1 SV=1
+NYVKVFFAFLLGLLALGVSMRLACDQHLRPMPLVMRSSASDCQSAASTHSGSSLQNGLGNRFDKTLGSVEGKALPKSISPTYVKADSVPQGKMFHKGSEYIDVEVMKGMFAPNKPVLVQEYFQNHAVYFKSDFSEETVLVQQREGIKHDYPSYSHFVRSLDKTRQKKVQAPVQEMKAAPTGPRPYFQNIFLSPFKYEEVLKVTEQFDQDTEGPFGCIIDTAITIGPVKEKLFDVVRKFDAVCYERKMEMLVSDSASQVPIHLFAYVRPHNLIKAMEELHELIYPPNTMGLRLMAGEPIVEVLKWLLTPLNTGIDRGYAGTDESTLWIECVGEQFSQKARDVLEDIPYSALNGRAHKTKCYTCANLCGTNISIIEILPNKRIKPLDLRAGGLRRGNDKKQGLLRVSHGKITEEVVEVVRDIQQVGIISLGKLYDQRPQAQPVCGALVIKKNEEQAKKISNRFHDEAPNKVTCSNLLWLDADSANETIKYGYAALQGAMYEGDSNNHSCGWTRIWIKQIGPITSDSPPSNEEEQLYKQTNRRRVKPVVDKRVFHRDQPKSDEQSVIDEIDDLLTDCSASPM
+>sp|Q8IVW4|CDKL3_HUMAN Cyclin-dependent kinase-like 3 OS=Homo sapiens OX=9606 GN=CDKL3 PE=1 SV=1
+MEMYETLGKVGEGSYGTVMKCKHKNTGQIVAIKIFYERPEQSVNKIAMREIKFLKQFHHENLVNLIEVFRQKKKIHLVFEFIDHTVLDELQHYCHGLESKRLRKYLFQILRAIDYLHSNNIIHRDIKPENILVSQSGITKLCDFGFARTLAAPGDIYTDYVATRWYRAPELVLKDTSYGKPVDIWALGCMIIEMATGNPYLPSSSDLDLLHKIVLKVGNLSPHLQNIFSKSPIFAGVVLPQVQHPKNARKKYPKLNGLLADIVHACLQIDPADRISSSDLLHHEYFTRDGFIEKFMPELKAKLLQEAKVNSLIKPKESSKENELRKDERKTVYTNTLLSSSVLGKEIEKEKKPKEIKVRVIKVKGGRGDISEPKKKEYEGGLGQQDANENVHPMSPDTKLVTIEPPNPINPSTNCNGLKENPHCGGSVTMPPINLTNSNLMAANLSSNLFHPSVRLTERAKKRRTSSQSIGQVMPNSRQEDPGPIQSQMEKGIFNERTGHSDQMANENKRKLNFSRSDRKEFHFPELPVTIQSKDTKGMEVKQIKMLKRESKKTESSKIPTLLNVDQNQEKQEGGDGHCEGKNLKRNRFFFW
+>DECOY_sp|Q8IVW4|CDKL3_HUMAN Cyclin-dependent kinase-like 3 OS=Homo sapiens OX=9606 GN=CDKL3 PE=1 SV=1
+WFFFRNRKLNKGECHGDGGEQKEQNQDVNLLTPIKSSETKKSERKLMKIQKVEMGKTDKSQITVPLEPFHFEKRDSRSFNLKRKNENAMQDSHGTRENFIGKEMQSQIPGPDEQRSNPMVQGISQSSTRRKKARETLRVSPHFLNSSLNAAMLNSNTLNIPPMTVSGGCHPNEKLGNCNTSPNIPNPPEITVLKTDPSMPHVNENADQQGLGGEYEKKKPESIDGRGGKVKIVRVKIEKPKKEKEIEKGLVSSSLLTNTYVTKREDKRLENEKSSEKPKILSNVKAEQLLKAKLEPMFKEIFGDRTFYEHHLLDSSSIRDAPDIQLCAHVIDALLGNLKPYKKRANKPHQVQPLVVGAFIPSKSFINQLHPSLNGVKLVIKHLLDLDSSSPLYPNGTAMEIIMCGLAWIDVPKGYSTDKLVLEPARYWRTAVYDTYIDGPAALTRAFGFDCLKTIGSQSVLINEPKIDRHIINNSHLYDIARLIQFLYKRLRKSELGHCYHQLEDLVTHDIFEFVLHIKKKQRFVEILNVLNEHHFQKLFKIERMAIKNVSQEPREYFIKIAVIQGTNKHKCKMVTGYSGEGVKGLTEYMEM
+>sp|Q49AH0|CDNF_HUMAN Cerebral dopamine neurotrophic factor OS=Homo sapiens OX=9606 GN=CDNF PE=1 SV=2
+MWCASPVAVVAFCAGLLVSHPVLTQGQEAGGRPGADCEVCKEFLNRFYKSLIDRGVNFSLDTIEKELISFCLDTKGKENRLCYYLGATKDAATKILSEVTRPMSVHMPAMKICEKLKKLDSQICELKYEKTLDLASVDLRKMRVAELKQILHSWGEECRACAEKTDYVNLIQELAPKYAATHPKTEL
+>DECOY_sp|Q49AH0|CDNF_HUMAN Cerebral dopamine neurotrophic factor OS=Homo sapiens OX=9606 GN=CDNF PE=1 SV=2
+LETKPHTAAYKPALEQILNVYDTKEACARCEEGWSHLIQKLEAVRMKRLDVSALDLTKEYKLECIQSDLKKLKECIKMAPMHVSMPRTVESLIKTAADKTAGLYYCLRNEKGKTDLCFSILEKEITDLSFNVGRDILSKYFRNLFEKCVECDAGPRGGAEQGQTLVPHSVLLGACFAVVAVPSACWM
+>sp|Q4KMG0|CDON_HUMAN Cell adhesion molecule-related/down-regulated by oncogenes OS=Homo sapiens OX=9606 GN=CDON PE=1 SV=2
+MHPDLGPLCTLLYVTLTILCSSVSSDLAPYFTSEPLSAVQKLGGPVVLHCSAQPVTTRISWLHNGKTLDGNLEHVKIHQGTLTILSLNSSLLGYYQCLANNSIGAIVSGPATVSVAVLGDFGSSTKHVITAEEKSAGFIGCRVPESNPKAEVRYKIRGKWLEHSTENYLILPSGNLQILNVSLEDKGSYKCAAYNPVTHQLKVEPIGRKLLVSRPSSDDVHILHPTHSQALAVLSRSPVTLECVVSGVPAPQVYWLKDGQDIAPGSNWRRLYSHLATDSVDPADSGNYSCMAGNKSGDVKYVTYMVNVLEHASISKGLQDQIVSLGATVHFTCDVHGNPAPNCTWFHNAQPIHPSARHLTAGNGLKISGVTVEDVGMYQCVADNGIGFMHSTGRLEIENDGGFKPVIITAPVSAKVADGDFVTLSCNASGLPVPVIRWYDSHGLITSHPSQVLRSKSRKSQLSRPEGLNLEPVYFVLSQAGASSLHIQAVTQEHAGKYICEAANEHGTTQAEASLMVVPFETNTKAETVTLPDAAQNDDRSKRDGSETGLLSSFPVKVHPSAVESAPEKNASGISVPDAPIILSPPQTHTPDTYNLVWRAGKDGGLPINAYFVKYRKLDDGVGMLGSWHTVRVPGSENELHLAELEPSSLYEVLMVARSAAGEGQPAMLTFRTSKEKTASSKNTQASSPPVGIPKYPVVSEAANNNFGVVLTDSSRHSGVPEAPDRPTISTASETSVYVTWIPRANGGSPITAFKVEYKRMRTSNWLVAAEDIPPSKLSVEVRSLEPGSTYKFRVIAINHYGESFRSSASRPYQVVGFPNRFSSRPITGPHIAYTEAVSDTQIMLKWTYIPSSNNNTPIQGFYIYYRPTDSDNDSDYKRDVVEGSKQWHMIGHLQPETSYDIKMQCFNEGGESEFSNVMICETKVKRVPGASEYPVKDLSTPPNSLGSGGNVGPATSPARSSDMLYLIVGCVLGVMVLILMVFIAMCLWKNRQQNTIQKYDPPGYLYQGSDMNGQMVDYTTLSGASQINGNVHGGFLTNGGLSSGYSHLHHKVPNAVNGIVNGSLNGGLYSGHSNSLTRTHVDFEHPHHLVNGGGMYTAVPQIDPLECVNCRNCRNNNRCFTKTNSTFSSSPPPVVPVVAPYPQDGLEMKPLSHVKVPVCLTSAVPDCGQLPEESVKDNVEPVPTQRTCCQDIVNDVSSDGSEDPAEFSRGQEGMINLRIPDHLQLAKSCVWEGDSCAHSETEINIVSWNALILPPVPEGCAEKTMWSPPGIPLDSPTEVLQQPRET
+>DECOY_sp|Q4KMG0|CDON_HUMAN Cell adhesion molecule-related/down-regulated by oncogenes OS=Homo sapiens OX=9606 GN=CDON PE=1 SV=2
+TERPQQLVETPSDLPIGPPSWMTKEACGEPVPPLILANWSVINIETESHACSDGEWVCSKALQLHDPIRLNIMGEQGRSFEAPDESGDSSVDNVIDQCCTRQTPVPEVNDKVSEEPLQGCDPVASTLCVPVKVHSLPKMELGDQPYPAVVPVVPPPSSSFTSNTKTFCRNNNRCNRCNVCELPDIQPVATYMGGGNVLHHPHEFDVHTRTLSNSHGSYLGGNLSGNVIGNVANPVKHHLHSYGSSLGGNTLFGGHVNGNIQSAGSLTTYDVMQGNMDSGQYLYGPPDYKQITNQQRNKWLCMAIFVMLILVMVGLVCGVILYLMDSSRAPSTAPGVNGGSGLSNPPTSLDKVPYESAGPVRKVKTECIMVNSFESEGGENFCQMKIDYSTEPQLHGIMHWQKSGEVVDRKYDSDNDSDTPRYYIYFGQIPTNNNSSPIYTWKLMIQTDSVAETYAIHPGTIPRSSFRNPFGVVQYPRSASSRFSEGYHNIAIVRFKYTSGPELSRVEVSLKSPPIDEAAVLWNSTRMRKYEVKFATIPSGGNARPIWTVYVSTESATSITPRDPAEPVGSHRSSDTLVVGFNNNAAESVVPYKPIGVPPSSAQTNKSSATKEKSTRFTLMAPQGEGAASRAVMLVEYLSSPELEALHLENESGPVRVTHWSGLMGVGDDLKRYKVFYANIPLGGDKGARWVLNYTDPTHTQPPSLIIPADPVSIGSANKEPASEVASPHVKVPFSSLLGTESGDRKSRDDNQAADPLTVTEAKTNTEFPVVMLSAEAQTTGHENAAECIYKGAHEQTVAQIHLSSAGAQSLVFYVPELNLGEPRSLQSKRSKSRLVQSPHSTILGHSDYWRIVPVPLGSANCSLTVFDGDAVKASVPATIIVPKFGGDNEIELRGTSHMFGIGNDAVCQYMGVDEVTVGSIKLGNGATLHRASPHIPQANHFWTCNPAPNGHVDCTFHVTAGLSVIQDQLGKSISAHELVNVMYTVYKVDGSKNGAMCSYNGSDAPDVSDTALHSYLRRWNSGPAIDQGDKLWYVQPAPVGSVVCELTVPSRSLVALAQSHTPHLIHVDDSSPRSVLLKRGIPEVKLQHTVPNYAACKYSGKDELSVNLIQLNGSPLILYNETSHELWKGRIKYRVEAKPNSEPVRCGIFGASKEEATIVHKTSSGFDGLVAVSVTAPGSVIAGISNNALCQYYGLLSSNLSLITLTGQHIKVHELNGDLTKGNHLWSIRTTVPQASCHLVVPGGLKQVASLPESTFYPALDSSVSSCLITLTVYLLTCLPGLDPHM
+>sp|Q9Y6F7|CDY2_HUMAN Testis-specific chromodomain protein Y 2 OS=Homo sapiens OX=9606 GN=CDY2A PE=1 SV=1
+MASQEFEVEAIVDKRQDKNGNTQYLVRWKGYDKQDDTWEPEQHLMNCEKCVHDFNRRQTEKQKKLTWTTTSRIFSNNARRRTSRSTKANYSKNSPKTPVTDKHHRSKNCKLFAASKNVRRKAASTLSDTKNMEIINSTIETLAPDSPFDHKKTVSGFQKLEKLDPIAADQQDTVVFKVTEGKLLRDPLSHPGAEQTGIQNKTQMHPLMSQMSGSVTASMATGSATRKGIVVLIDPLAANGTTDMHTSVPRVKGGQRNITDDSRGQPFIKKMHFTIRLTESAITYRDIVVKKEDGFTQIVLSTRSTEKNALNTEVIKEMVNALNSAAADDSKLVLFSAAGSVFCCGLDFGYFVRHLRNDRNTASLEMVDTIKNFVNTFIQFKKPIVVSVNGPAIGLGASILPLCDLVWANEKAWFQTPYTTFGQSPDGCSSITFPKMMGKASANEMLIAGRKLTAREACAKGLVSQVFLTGTFTQEVMIQIKELASYNAIVLEECKALVRCNIKLELEQANERECEVLRKIWSSAQGIESMLKYVENKIDEF
+>DECOY_sp|Q9Y6F7|CDY2_HUMAN Testis-specific chromodomain protein Y 2 OS=Homo sapiens OX=9606 GN=CDY2A PE=1 SV=1
+FEDIKNEVYKLMSEIGQASSWIKRLVECERENAQELELKINCRVLAKCEELVIANYSALEKIQIMVEQTFTGTLFVQSVLGKACAERATLKRGAILMENASAKGMMKPFTISSCGDPSQGFTTYPTQFWAKENAWVLDCLPLISAGLGIAPGNVSVVIPKKFQIFTNVFNKITDVMELSATNRDNRLHRVFYGFDLGCCFVSGAASFLVLKSDDAAASNLANVMEKIVETNLANKETSRTSLVIQTFGDEKKVVIDRYTIASETLRITFHMKKIFPQGRSDDTINRQGGKVRPVSTHMDTTGNAALPDILVVIGKRTASGTAMSATVSGSMQSMLPHMQTKNQIGTQEAGPHSLPDRLLKGETVKFVVTDQQDAAIPDLKELKQFGSVTKKHDFPSDPALTEITSNIIEMNKTDSLTSAAKRRVNKSAAFLKCNKSRHHKDTVPTKPSNKSYNAKTSRSTRRRANNSFIRSTTTWTLKKQKETQRRNFDHVCKECNMLHQEPEWTDDQKDYGKWRVLYQTNGNKDQRKDVIAEVEFEQSAM
+>sp|Q8NAS9|CE017_HUMAN Putative uncharacterized protein C5orf17 OS=Homo sapiens OX=9606 GN=C5orf17 PE=5 SV=1
+MPEPPTPSVGSCAARASRMSAAPCSRAPSPIDHPRAEECGRAARDWQAAPPAAPVRDPLGEASWAPESGEDMENLYVQLKLQSVGTCFNREELPSVLQWPQGEELGATSVTNKPGLSAMRKETDQQVGERKLTYCGDQVTLFTDQALSQILSSEEGRIKVM
+>DECOY_sp|Q8NAS9|CE017_HUMAN Putative uncharacterized protein C5orf17 OS=Homo sapiens OX=9606 GN=C5orf17 PE=5 SV=1
+MVKIRGEESSLIQSLAQDTFLTVQDGCYTLKREGVQQDTEKRMASLGPKNTVSTAGLEEGQPWQLVSPLEERNFCTGVSQLKLQVYLNEMDEGSEPAWSAEGLPDRVPAAPPAAQWDRAARGCEEARPHDIPSPARSCPAASMRSARAACSGVSPTPPEPM
+>sp|A6NDU8|CE051_HUMAN UPF0600 protein C5orf51 OS=Homo sapiens OX=9606 GN=C5orf51 PE=1 SV=1
+MAAAVSSVVRRVEELGDLAQAHIQQLSEAAGEDDHFLIRASAALEKLKLLCGEEKECSNPSNLLELYTQAILDMTYFEENKLVDEDFPEDSSSQKVKELISFLSEPEILVKENNMHPKHCNLLGDELLECLSWRRGALLYMYCHSLTKRREWLLRKSSLLKKYLLDGISYLLQMLNYRCPIQLNEGVSFQDLDTAKLLSAGIFSDIHLLAMMYSGEMCYWGSKYCADQQPENHEVDTSVSGAGCTTYKEPLDFREVGEKILKKYVSVCEGPLKEQEWNTTNAKQILNFFHHRCN
+>DECOY_sp|A6NDU8|CE051_HUMAN UPF0600 protein C5orf51 OS=Homo sapiens OX=9606 GN=C5orf51 PE=1 SV=1
+NCRHHFFNLIQKANTTNWEQEKLPGECVSVYKKLIKEGVERFDLPEKYTTCGAGSVSTDVEHNEPQQDACYKSGWYCMEGSYMMALLHIDSFIGASLLKATDLDQFSVGENLQIPCRYNLMQLLYSIGDLLYKKLLSSKRLLWERRKTLSHCYMYLLAGRRWSLCELLEDGLLNCHKPHMNNEKVLIEPESLFSILEKVKQSSSDEPFDEDVLKNEEFYTMDLIAQTYLELLNSPNSCEKEEGCLLKLKELAASARILFHDDEGAAESLQQIHAQALDGLEEVRRVVSSVAAAM
+>sp|Q6ZU80|CE128_HUMAN Centrosomal protein of 128 kDa OS=Homo sapiens OX=9606 GN=CEP128 PE=1 SV=2
+MAESSSESDHFRCRDRLSPWAARSTHRGTRSLPTVEVTEKVNTITSTLQDTSRNLRQVDQMLGRYREYSNGQAGAIEHLKESLEQSIDQLRSQRLLRNSGGRSISVTSLSASDLDGGTGSELHHFPPTSPLKDYGDPQGIKRMRSRTGVRFVQETDDMTQLHGFHQSLRDLSSEQIRLGDDFNRELSRRSRSDAETKRALEELTEKLNEAQKQEVVSDRVERRLQELEREMRTERELVERRQDQLGLMSLQLQEALKKQEAKADEHEGAIKNKLRQTETEKNQLEQELELSRRLLNQSEGSRETLLHQVEELRTQLTKAEGDRKGLQHQVSQISKQQSNYQDEQGEDWRFRRGVEREKQDLEKQMSDLRVQLNFSAMASELEEVKRCMERKDKEKAHLASQVENLTRELENGEKQQLQMLDRLKEIQNHFDTCEAERKHADLQISELTRHAEDATKQAERYLSELQQSEALKEEAEKRREDLKLKAQESIRQWKLKHKKLERALEKQSETVDELTGKNNQILKEKDELKTQLYAALQQIENLRKELNDVLTKRALQEEELHSKEEKLRDIKSHQADLELEVKNSLDTIHRLESELKKQSKIQSQMKVEKAHLEEEIAELKKSQAQDKAKLLEMQESIKDLSAIRADLANKLAEEERAKKAVLKDLSDLTAQAKSRDEETATIITQLKLERDVHQRELKDLTSSLQSVKTKHEQNIQELMKHFKKEKSEAENHIRTLKAESLEEKNMAKIHRGQLEKLKSQCDRLTEELTQNENENKKLKLKYQCLKDQLEEREKHISIEEEHLRRMEEARLQLKDQLLCLETEQESILGVIGKEIDAACKTFSKDSVEKLKVFSSGPDIHYDPHRWLAESKTKLQWLCEELKERENREKNLRHQLMLCRQQLRNLTENKESELQCLFQQIERQEQLLDEIHREKRDLLEETQRKDEEMGSLQDRVIALETSTQVALDHLESVPEKLSLLEDFKDFRDSCSSSERTDGRYSKYRVRRNSLQHHQDDTKYRTKSFKGDRTFLEGSHTRGLDHSSSWQDHSRFLSSPRFSYVNSFTKRTVAPDSASNKEDATMNGTSSQPKKEEYGS
+>DECOY_sp|Q6ZU80|CE128_HUMAN Centrosomal protein of 128 kDa OS=Homo sapiens OX=9606 GN=CEP128 PE=1 SV=2
+SGYEEKKPQSSTGNMTADEKNSASDPAVTRKTFSNVYSFRPSSLFRSHDQWSSSHDLGRTHSGELFTRDGKFSKTRYKTDDQHHQLSNRRVRYKSYRGDTRESSSCSDRFDKFDELLSLKEPVSELHDLAVQTSTELAIVRDQLSGMEEDKRQTEELLDRKERHIEDLLQEQREIQQFLCQLESEKNETLNRLQQRCLMLQHRLNKERNEREKLEECLWQLKTKSEALWRHPDYHIDPGSSFVKLKEVSDKSFTKCAADIEKGIVGLISEQETELCLLQDKLQLRAEEMRRLHEEEISIHKEREELQDKLCQYKLKLKKNENENQTLEETLRDCQSKLKELQGRHIKAMNKEELSEAKLTRIHNEAESKEKKFHKMLEQINQEHKTKVSQLSSTLDKLERQHVDRELKLQTIITATEEDRSKAQATLDSLDKLVAKKAREEEALKNALDARIASLDKISEQMELLKAKDQAQSKKLEAIEEELHAKEVKMQSQIKSQKKLESELRHITDLSNKVELELDAQHSKIDRLKEEKSHLEEEQLARKTLVDNLEKRLNEIQQLAAYLQTKLEDKEKLIQNNKGTLEDVTESQKELARELKKHKLKWQRISEQAKLKLDERRKEAEEKLAESQQLESLYREAQKTADEAHRTLESIQLDAHKREAECTDFHNQIEKLRDLMQLQQKEGNELERTLNEVQSALHAKEKDKREMCRKVEELESAMASFNLQVRLDSMQKELDQKEREVGRRFRWDEGQEDQYNSQQKSIQSVQHQLGKRDGEAKTLQTRLEEVQHLLTERSGESQNLLRRSLELEQELQNKETETQRLKNKIAGEHEDAKAEQKKLAEQLQLSMLGLQDQRREVLERETRMERELEQLRREVRDSVVEQKQAENLKETLEELARKTEADSRSRRSLERNFDDGLRIQESSLDRLSQHFGHLQTMDDTEQVFRVGTRSRMRKIGQPDGYDKLPSTPPFHHLESGTGGDLDSASLSTVSISRGGSNRLLRQSRLQDISQELSEKLHEIAGAQGNSYERYRGLMQDVQRLNRSTDQLTSTITNVKETVEVTPLSRTGRHTSRAAWPSLRDRCRFHDSESSSEAM
+>sp|Q8IYX8|CE57L_HUMAN Centrosomal protein CEP57L1 OS=Homo sapiens OX=9606 GN=CEP57L1 PE=1 SV=1
+MDSELMHSIVGSYHKPPERVFVPSFTQNEPSQNCHPANLEVTSPKILHSPNSQALILALKTLQEKIHRLELERTQAEDNLNILSREAAQYKKALENETNERNLAHQELIKQKKDISIQLSSAQSRCTLLEKQLEYTKRMVLNVEREKNMILEQQAQLQREKEQDQMKLYAKLEKLDVLEKECFRLTTTQKTAEDKIKHLEEKLKEEEHQRKLFQDKASELQTGLEISKIIMSSVSNLKHSKEKKKSSKKTKCIKRRPPWQICSKFGALPFVAEKMRQHRDPHILQKPFNVTETRCLPKPSRTTSWCKAIPPDSEKSISICDNLSELLMAMQDELDQMSMEHQELLKQMKETESHSVCDDIECELECLLKKMEIKGEQISKLKKHQDSVCKLQQKVQNSKMSEASGIQQEDSYPKGSKNIKNSPRKCLTDTNLFQKNSSFHPIRVHNLQMKLRRDDIMWEQ
+>DECOY_sp|Q8IYX8|CE57L_HUMAN Centrosomal protein CEP57L1 OS=Homo sapiens OX=9606 GN=CEP57L1 PE=1 SV=1
+QEWMIDDRRLKMQLNHVRIPHFSSNKQFLNTDTLCKRPSNKINKSGKPYSDEQQIGSAESMKSNQVKQQLKCVSDQHKKLKSIQEGKIEMKKLLCELECEIDDCVSHSETEKMQKLLEQHEMSMQDLEDQMAMLLESLNDCISISKESDPPIAKCWSTTRSPKPLCRTETVNFPKQLIHPDRHQRMKEAVFPLAGFKSCIQWPPRRKICKTKKSSKKKEKSHKLNSVSSMIIKSIELGTQLESAKDQFLKRQHEEEKLKEELHKIKDEATKQTTTLRFCEKELVDLKELKAYLKMQDQEKERQLQAQQELIMNKEREVNLVMRKTYELQKELLTCRSQASSLQISIDKKQKILEQHALNRENTENELAKKYQAAERSLINLNDEAQTRELELRHIKEQLTKLALILAQSNPSHLIKPSTVELNAPHCNQSPENQTFSPVFVREPPKHYSGVISHMLESDM
+>sp|Q8N7Q2|CEAS1_HUMAN Putative uncharacterized protein CELF2-AS1 OS=Homo sapiens OX=9606 GN=CELF2-AS1 PE=5 SV=2
+MFCLLHLCFYLANFASSIKRTHAVNGCCGLQMIALWAQSSGNADARVEEILAGEERRLAALLGSQGMRFWVCLAACRAMWGLAARRGRAEDSSSSPVDASKFPWRGGQHRTTMMPCLLRVGVFRPCHVRPTGDPSCDVQPPRLGFSRVPDTQVAFYGSGHWDPTPFSVHSCFFNFQVRKIIFLL
+>DECOY_sp|Q8N7Q2|CEAS1_HUMAN Putative uncharacterized protein CELF2-AS1 OS=Homo sapiens OX=9606 GN=CELF2-AS1 PE=5 SV=2
+LLFIIKRVQFNFFCSHVSFPTPDWHGSGYFAVQTDPVRSFGLRPPQVDCSPDGTPRVHCPRFVGVRLLCPMMTTRHQGGRWPFKSADVPSSSSDEARGRRAALGWMARCAALCVWFRMGQSGLLAALRREEGALIEEVRADANGSSQAWLAIMQLGCCGNVAHTRKISSAFNALYFCLHLLCFM
+>sp|P49715|CEBPA_HUMAN CCAAT/enhancer-binding protein alpha OS=Homo sapiens OX=9606 GN=CEBPA PE=1 SV=3
+MESADFYEAEPRPPMSSHLQSPPHAPSSAAFGFPRGAGPAQPPAPPAAPEPLGGICEHETSIDISAYIDPAAFNDEFLADLFQHSRQQEKAKAAVGPTGGGGGGDFDYPGAPAGPGGAVMPGGAHGPPPGYGCAAAGYLDGRLEPLYERVGAPALRPLVIKQEPREEDEAKQLALAGLFPYQPPPPPPPSHPHPHPPPAHLAAPHLQFQIAHCGQTTMHLQPGHPTPPPTPVPSPHPAPALGAAGLPGPGSALKGLGAAHPDLRASGGSGAGKAKKSVDKNSNEYRVRRERNNIAVRKSRDKAKQRNVETQQKVLELTSDNDRLRKRVEQLSRELDTLRGIFRQLPESSLVKAMGNCA
+>DECOY_sp|P49715|CEBPA_HUMAN CCAAT/enhancer-binding protein alpha OS=Homo sapiens OX=9606 GN=CEBPA PE=1 SV=3
+ACNGMAKVLSSEPLQRFIGRLTDLERSLQEVRKRLRDNDSTLELVKQQTEVNRQKAKDRSKRVAINNRERRVRYENSNKDVSKKAKGAGSGGSARLDPHAAGLGKLASGPGPLGAAGLAPAPHPSPVPTPPPTPHGPQLHMTTQGCHAIQFQLHPAALHAPPPHPHPHSPPPPPPPQYPFLGALALQKAEDEERPEQKIVLPRLAPAGVREYLPELRGDLYGAAACGYGPPPGHAGGPMVAGGPGAPAGPYDFDGGGGGGTPGVAAKAKEQQRSHQFLDALFEDNFAAPDIYASIDISTEHECIGGLPEPAAPPAPPQAPGAGRPFGFAASSPAHPPSQLHSSMPPRPEAEYFDASEM
+>sp|P17676|CEBPB_HUMAN CCAAT/enhancer-binding protein beta OS=Homo sapiens OX=9606 GN=CEBPB PE=1 SV=2
+MQRLVAWDPACLPLPPPPPAFKSMEVANFYYEADCLAAAYGGKAAPAAPPAARPGPRPPAGELGSIGDHERAIDFSPYLEPLGAPQAPAPATATDTFEAAPPAPAPAPASSGQHHDFLSDLFSDDYGGKNCKKPAEYGYVSLGRLGAAKGALHPGCFAPLHPPPPPPPPPAELKAEPGFEPADCKRKEEAGAPGGGAGMAAGFPYALRAYLGYQAVPSGSSGSLSTSSSSSPPGTPSPADAKAPPTACYAGAAPAPSQVKSKAKKTVDKHSDEYKIRRERNNIAVRKSRDKAKMRNLETQHKVLELTAENERLQKKVEQLSRELSTLRNLFKQLPEPLLASSGHC
+>DECOY_sp|P17676|CEBPB_HUMAN CCAAT/enhancer-binding protein beta OS=Homo sapiens OX=9606 GN=CEBPB PE=1 SV=2
+CHGSSALLPEPLQKFLNRLTSLERSLQEVKKQLRENEATLELVKHQTELNRMKAKDRSKRVAINNRERRIKYEDSHKDVTKKAKSKVQSPAPAAGAYCATPPAKADAPSPTGPPSSSSSTSLSGSSGSPVAQYGLYARLAYPFGAAMGAGGGPAGAEEKRKCDAPEFGPEAKLEAPPPPPPPPPHLPAFCGPHLAGKAAGLRGLSVYGYEAPKKCNKGGYDDSFLDSLFDHHQGSSAPAPAPAPPAAEFTDTATAPAPAQPAGLPELYPSFDIAREHDGISGLEGAPPRPGPRAAPPAAPAAKGGYAAALCDAEYYFNAVEMSKFAPPPPPLPLCAPDWAVLRQM
+>sp|P0C854|CECR9_HUMAN Putative cat eye syndrome critical region protein 9 OS=Homo sapiens OX=9606 GN=CECR9 PE=5 SV=1
+MQSHLAPLACAAAAGRAGGSCQAAQPEDRRVLRYPGTAVMVTCPNRPLVPRPLLTPGGSRASLALCAFVAVPQRIPQPLLPAYILLMLPSLVVDMALPSSRLLRSIKPIQPASQVVRKERNPNPNCPQSDPLMKASSTSFLSHTYLINKTRSTTRKVEEHSWFTCTGAKYFAIPLAERNTKRLTKRSTHAQLLRGKQDGSEWVVPRSSASSNVLYH
+>DECOY_sp|P0C854|CECR9_HUMAN Putative cat eye syndrome critical region protein 9 OS=Homo sapiens OX=9606 GN=CECR9 PE=5 SV=1
+HYLVNSSASSRPVVWESGDQKGRLLQAHTSRKTLRKTNREALPIAFYKAGTCTFWSHEEVKRTTSRTKNILYTHSLFSTSSAKMLPDSQPCNPNPNREKRVVQSAPQIPKISRLLRSSPLAMDVVLSPLMLLIYAPLLPQPIRQPVAVFACLALSARSGGPTLLPRPVLPRNPCTVMVATGPYRLVRRDEPQAAQCSGGARGAAAACALPALHSQM
+>sp|Q711Q0|CEFIP_HUMAN Cardiac-enriched FHL2-interacting protein OS=Homo sapiens OX=9606 GN=CEFIP PE=1 SV=2
+MMQGNKKCTDAFSDSSSIGSVLDDADREVSSLTDRAFRSLCISEDTSFHDSYLAVSPDITRQVFGTFHQRTVGHTQRKSGIWSQLPSQGTEHSGWAATFQQLPKYVQGEEKYPKTSPPPTPVQRRLEVPVSGLRSSNKPVSKVSTLIKSFDRTESQRCESRPTASKPPALKNPPKFAPLPENSVNFCFDSAFLTVRRVPAEVSNTHQNSYQPGRKHGEQESSKNPEMACHGSSSFLPAANDTATLCESKFPSPHHKPVTGEPGRGKGTFLHSENSAFESWNAHQPKLLERKDTAGTVPESKAPKHYGDTTLLREPCPPERTVSPCQVQASCSQEENRLAAGALSTSIPWGCRDPGAQVFAVEGKAPSSQPDSQEKPAQPPWRKPKTGKKGKESLQDTLEEKTQTNQRGPPLYTKHNPQEQFSENNALDLPVEPNEHYDPPFNISKLLTPIIPSKHALDSADSQPAERTPSPPGQLNGYQEKEPSECQSRDSYKSKAPSLLFNLKDVRKRVKSTYSSSPLLKVLDEKTRGKVDGKQEPVSNGVILPNGLEESPPNELSKERPADDPTASHINPQKDPTADPSEPSADSYLTLSTAPTIAKAPFYVNGEAAERSSYENKEVEGELEMGPAGSSWCPDSREHRPRKHLSLRLCNRDPEPGGATEKMKTHQLENGLSRSVSQETEPEREAGLQNTHLNQKFFPGPLSPEEEDVFYSDSQSDFMPSLKGKAKFSTSSSDQSFASFDDQQKMWFTENQREDRRKDVSAGDSQKDEKENVMRKDELQYCALSNGHACLENRSQGEALQRERESVSGGRTRKASAEEANFRGSWIGENKGTTFSQAKDLTPSPSSASNRHMLFTIKDNTLRATPVIKPIMLPLLRTMSLEDSLSSGHKEEELPRPEWGEDPGFCAPENQDILGTSTPTNTRGTRVKCMANEVMEDPGQGSSMARMEASQPAPKGNFPSMPLVGEGDRVKAPPDAAPGLVASNCKSGSADSGKLAAPWHIPTIALPEGDIEDQPPPWQPENCWEEQTPGFKSHFLSTPRAGPPGRRLVPSERANSPNPGSPGESSACSPAASNIWEESSQAPGGPELLPEEPNQASPWASSSPARVTRREDLTHALVWEGGSDPLLELSAEDLRTLSPRGSLLDVATSPAGTSGRLELPAQLERTASKPPAVPPKTEKALRRAKKLASKRRKTDQAQEKHGESQEGKPCPEDLEQTQQRPLCPRERPRHNFPVVRSLPPPVHRHSVSGFSEPVGRRPGGPQSLTPLPAYPATQKVLQDPQSGEYFVFDLPLQVKIKTFYDPETGKYVKVSIPSSEGASPEPPPPDALAAPYVLYPGFQPVPVTALMPLRCSSQLSAPTFLRQGPRASAARARTQSVHESGLQLDPGPHGDCTPHSAGQRPHGPPQSPGEEGVEAPGLGIISTDDLEDFATEGIS
+>DECOY_sp|Q711Q0|CEFIP_HUMAN Cardiac-enriched FHL2-interacting protein OS=Homo sapiens OX=9606 GN=CEFIP PE=1 SV=2
+SIGETAFDELDDTSIIGLGPAEVGEEGPSQPPGHPRQGASHPTCDGHPGPDLQLGSEHVSQTRARAASARPGQRLFTPASLQSSCRLPMLATVPVPQFGPYLVYPAALADPPPPEPSAGESSPISVKVYKGTEPDYFTKIKVQLPLDFVFYEGSQPDQLVKQTAPYAPLPTLSQPGGPRRGVPESFGSVSHRHVPPPLSRVVPFNHRPRERPCLPRQQTQELDEPCPKGEQSEGHKEQAQDTKRRKSALKKARRLAKETKPPVAPPKSATRELQAPLELRGSTGAPSTAVDLLSGRPSLTRLDEASLELLPDSGGEWVLAHTLDERRTVRAPSSSAWPSAQNPEEPLLEPGGPAQSSEEWINSAAPSCASSEGPSGPNPSNARESPVLRRGPPGARPTSLFHSKFGPTQEEWCNEPQWPPPQDEIDGEPLAITPIHWPAALKGSDASGSKCNSAVLGPAADPPAKVRDGEGVLPMSPFNGKPAPQSAEMRAMSSGQGPDEMVENAMCKVRTGRTNTPTSTGLIDQNEPACFGPDEGWEPRPLEEEKHGSSLSDELSMTRLLPLMIPKIVPTARLTNDKITFLMHRNSASSPSPTLDKAQSFTTGKNEGIWSGRFNAEEASAKRTRGGSVSERERQLAEGQSRNELCAHGNSLACYQLEDKRMVNEKEDKQSDGASVDKRRDERQNETFWMKQQDDFSAFSQDSSSTSFKAKGKLSPMFDSQSDSYFVDEEEPSLPGPFFKQNLHTNQLGAEREPETEQSVSRSLGNELQHTKMKETAGGPEPDRNCLRLSLHKRPRHERSDPCWSSGAPGMELEGEVEKNEYSSREAAEGNVYFPAKAITPATSLTLYSDASPESPDATPDKQPNIHSATPDDAPREKSLENPPSEELGNPLIVGNSVPEQKGDVKGRTKEDLVKLLPSSSYTSKVRKRVDKLNFLLSPAKSKYSDRSQCESPEKEQYGNLQGPPSPTREAPQSDASDLAHKSPIIPTLLKSINFPPDYHENPEVPLDLANNESFQEQPNHKTYLPPGRQNTQTKEELTDQLSEKGKKGTKPKRWPPQAPKEQSDPQSSPAKGEVAFVQAGPDRCGWPISTSLAGAALRNEEQSCSAQVQCPSVTREPPCPERLLTTDGYHKPAKSEPVTGATDKRELLKPQHANWSEFASNESHLFTGKGRGPEGTVPKHHPSPFKSECLTATDNAAPLFSSSGHCAMEPNKSSEQEGHKRGPQYSNQHTNSVEAPVRRVTLFASDFCFNVSNEPLPAFKPPNKLAPPKSATPRSECRQSETRDFSKILTSVKSVPKNSSRLGSVPVELRRQVPTPPPSTKPYKEEGQVYKPLQQFTAAWGSHETGQSPLQSWIGSKRQTHGVTRQHFTGFVQRTIDPSVALYSDHFSTDESICLSRFARDTLSSVERDADDLVSGISSSDSFADTCKKNGQMM
+>sp|Q16739|CEGT_HUMAN Ceramide glucosyltransferase OS=Homo sapiens OX=9606 GN=UGCG PE=1 SV=1
+MALLDLALEGMAVFGFVLFLVLWLMHFMAIIYTRLHLNKKATDKQPYSKLPGVSLLKPLKGVDPNLINNLETFFELDYPKYEVLLCVQDHDDPAIDVCKKLLGKYPNVDARLFIGGKKVGINPKINNLMPGYEVAKYDLIWICDSGIRVIPDTLTDMVNQMTEKVGLVHGLPYVADRQGFAATLEQVYFGTSHPRYYISANVTGFKCVTGMSCLMRKDVLDQAGGLIAFAQYIAEDYFMAKAIADRGWRFAMSTQVAMQNSGSYSISQFQSRMIRWTKLRINMLPATIICEPISECFVASLIIGWAAHHVFRWDIMVFFMCHCLAWFIFDYIQLRGVQGGTLCFSKLDYAVAWFIRESMTIYIFLSALWDPTISWRTGRYRLRCGGTAEEILDV
+>DECOY_sp|Q16739|CEGT_HUMAN Ceramide glucosyltransferase OS=Homo sapiens OX=9606 GN=UGCG PE=1 SV=1
+VDLIEEATGGCRLRYRGTRWSITPDWLASLFIYITMSERIFWAVAYDLKSFCLTGGQVGRLQIYDFIFWALCHCMFFVMIDWRFVHHAAWGIILSAVFCESIPECIITAPLMNIRLKTWRIMRSQFQSISYSGSNQMAVQTSMAFRWGRDAIAKAMFYDEAIYQAFAILGGAQDLVDKRMLCSMGTVCKFGTVNASIYYRPHSTGFYVQELTAAFGQRDAVYPLGHVLGVKETMQNVMDTLTDPIVRIGSDCIWILDYKAVEYGPMLNNIKPNIGVKKGGIFLRADVNPYKGLLKKCVDIAPDDHDQVCLLVEYKPYDLEFFTELNNILNPDVGKLPKLLSVGPLKSYPQKDTAKKNLHLRTYIIAMFHMLWLVLFLVFGFVAMGELALDLLAM
+>sp|Q9UNI1|CELA1_HUMAN Chymotrypsin-like elastase family member 1 OS=Homo sapiens OX=9606 GN=CELA1 PE=1 SV=2
+MLVLYGHSTQDLPETNARVVGGTEAGRNSWPSQISLQYRSGGSRYHTCGGTLIRQNWVMTAAHCVDYQKTFRVVAGDHNLSQNDGTEQYVSVQKIVVHPYWNSDNVAAGYDIALLRLAQSVTLNSYVQLGVLPQEGAILANNSPCYITGWGKTKTNGQLAQTLQQAYLPSVDYAICSSSSYWGSTVKNTMVCAGGDGVRSGCQGDSGGPLHCLVNGKYSVHGVTSFVSSRGCNVSRKPTVFTQVSAYISWINNVIASN
+>DECOY_sp|Q9UNI1|CELA1_HUMAN Chymotrypsin-like elastase family member 1 OS=Homo sapiens OX=9606 GN=CELA1 PE=1 SV=2
+NSAIVNNIWSIYASVQTFVTPKRSVNCGRSSVFSTVGHVSYKGNVLCHLPGGSDGQCGSRVGDGGACVMTNKVTSGWYSSSSCIAYDVSPLYAQQLTQALQGNTKTKGWGTIYCPSNNALIAGEQPLVGLQVYSNLTVSQALRLLAIDYGAAVNDSNWYPHVVIKQVSVYQETGDNQSLNHDGAVVRFTKQYDVCHAATMVWNQRILTGGCTHYRSGGSRYQLSIQSPWSNRGAETGGVVRANTEPLDQTSHGYLVLM
+>sp|B2RD01|CENP1_HUMAN CENPB DNA-binding domain-containing protein 1 OS=Homo sapiens OX=9606 GN=CENPBD1 PE=2 SV=1
+MPGERPTDATVIPSAKRERKAITLDLKLEVLRRFEAGEKLSQIAKALDLAISTVATIRDSKEKIKASSQIATPLRASRLTRHRSAVMESMEQLLSLWLEDQSQPNATLSAAIVQEKAEFDDLQREHGEGSQTERFHASQGWLVRFKECHCLPHFKMNSAAPSNKDMYTEMLKSIIEEGEYTPQVSLT
+>DECOY_sp|B2RD01|CENP1_HUMAN CENPB DNA-binding domain-containing protein 1 OS=Homo sapiens OX=9606 GN=CENPBD1 PE=2 SV=1
+TLSVQPTYEGEEIISKLMETYMDKNSPAASNMKFHPLCHCEKFRVLWGQSAHFRETQSGEGHERQLDDFEAKEQVIAASLTANPQSQDELWLSLLQEMSEMVASRHRTLRSARLPTAIQSSAKIKEKSDRITAVTSIALDLAKAIQSLKEGAEFRRLVELKLDLTIAKRERKASPIVTADTPREGPM
+>sp|Q8N0S6|CENPL_HUMAN Centromere protein L OS=Homo sapiens OX=9606 GN=CENPL PE=1 SV=2
+MDSYSAPESTPSASSRPEDYFIGATPLQKRLESVRKQSSFILTPPRRKIPQCSQLQEDVDPQKVAFLLHKQWTLYSLTPLYKFSYSNLKEYSRLLNAFIVAEKQKGLAVEVGEDFNIKVIFSTLLGMKGTQRDPEAFLVQIVSKSQLPSENREGKVLWTGWFCCVFGDSLLETVSEDFTCLPLFLANGAESNTAIIGTWFQKTFDCYFSPLAINAFNLSWMAAMWTACKMDHYVATTEFLWSVPCSPQSLDISFAIHPEDAKALWDSVHKTPGEVTQEEVDLFMDCLYSHFHRHFKIHLSATRLVRVSTSVASAHTDGKIKILCHKYLIGVLAYLTELAIFQIE
+>DECOY_sp|Q8N0S6|CENPL_HUMAN Centromere protein L OS=Homo sapiens OX=9606 GN=CENPL PE=1 SV=2
+EIQFIALETLYALVGILYKHCLIKIKGDTHASAVSTSVRVLRTASLHIKFHRHFHSYLCDMFLDVEEQTVEGPTKHVSDWLAKADEPHIAFSIDLSQPSCPVSWLFETTAVYHDMKCATWMAAMWSLNFANIALPSFYCDFTKQFWTGIIATNSEAGNALFLPLCTFDESVTELLSDGFVCCFWGTWLVKGERNESPLQSKSVIQVLFAEPDRQTGKMGLLTSFIVKINFDEGVEVALGKQKEAVIFANLLRSYEKLNSYSFKYLPTLSYLTWQKHLLFAVKQPDVDEQLQSCQPIKRRPPTLIFSSQKRVSELRKQLPTAGIFYDEPRSSASPTSEPASYSDM
+>sp|Q96H22|CENPN_HUMAN Centromere protein N OS=Homo sapiens OX=9606 GN=CENPN PE=1 SV=2
+MDETVAEFIKRTILKIPMNELTTILKAWDFLSENQLQTVNFRQRKESVVQHLIHLCEEKRASISDAALLDIIYMQFHQHQKVWEVFQMSKGPGEDVDLFDMKQFKNSFKKILQRALKNVTVSFRETEENAVWIRIAWGTQYTKPNQYKPTYVVYYSQTPYAFTSSSMLRRNTPLLGQALTIASKHHQIVKMDLRSRYLDSLKAIVFKQYNQTFETHNSTTPLQERSLGLDINMDSRIIHENIVEKERVQRITQETFGDYPQPQLEFAQYKLETKFKSGLNGSILAEREEPLRCLIKFSSPHLLEALKSLAPAGIADAPLSPLLTCIPNKRMNYFKIRDK
+>DECOY_sp|Q96H22|CENPN_HUMAN Centromere protein N OS=Homo sapiens OX=9606 GN=CENPN PE=1 SV=2
+KDRIKFYNMRKNPICTLLPSLPADAIGAPALSKLAELLHPSSFKILCRLPEEREALISGNLGSKFKTELKYQAFELQPQPYDGFTEQTIRQVREKEVINEHIIRSDMNIDLGLSREQLPTTSNHTEFTQNYQKFVIAKLSDLYRSRLDMKVIQHHKSAITLAQGLLPTNRRLMSSSTFAYPTQSYYVVYTPKYQNPKTYQTGWAIRIWVANEETERFSVTVNKLARQLIKKFSNKFQKMDFLDVDEGPGKSMQFVEWVKQHQHFQMYIIDLLAADSISARKEECLHILHQVVSEKRQRFNVTQLQNESLFDWAKLITTLENMPIKLITRKIFEAVTEDM
+>sp|Q9BU64|CENPO_HUMAN Centromere protein O OS=Homo sapiens OX=9606 GN=CENPO PE=1 SV=1
+MEQANPLRPDGESKGGVLAHLERLETQVSRSRKQSEELQSVQAQEGALGTKIHKLRRLRDELRAVVRHRRASVKACIANVEPNQTVEINEQEALEEKLENVKAILQAYHFTGLSGKLTSRGVCVCISTAFEGNLLDSYFVDLVIQKPLRIHHHSVPVFIPLEEIAAKYLQTNIQHFLFSLCEYLNAYSGRKYQADRLQSDFAALLTGPLQRNPLCNLLSFTYKLDPGGQSFPFCARLLYKDLTATLPTDVTVTCQGVEVLSTSWEEQRASHETLFCTKPLHQVFASFTRKGEKLDMSLVS
+>DECOY_sp|Q9BU64|CENPO_HUMAN Centromere protein O OS=Homo sapiens OX=9606 GN=CENPO PE=1 SV=1
+SVLSMDLKEGKRTFSAFVQHLPKTCFLTEHSARQEEWSTSLVEVGQCTVTVDTPLTATLDKYLLRACFPFSQGGPDLKYTFSLLNCLPNRQLPGTLLAAFDSQLRDAQYKRGSYANLYECLSFLFHQINTQLYKAAIEELPIFVPVSHHHIRLPKQIVLDVFYSDLLNGEFATSICVCVGRSTLKGSLGTFHYAQLIAKVNELKEELAEQENIEVTQNPEVNAICAKVSARRHRVVARLEDRLRRLKHIKTGLAGEQAQVSQLEESQKRSRSVQTELRELHALVGGKSEGDPRLPNAQEM
+>sp|Q8TCT0|CERK1_HUMAN Ceramide kinase OS=Homo sapiens OX=9606 GN=CERK PE=1 SV=1
+MGATGAAEPLQSVLWVKQQRCAVSLEPARALLRWWRSPGPGAGAPGADACSVPVSEIIAVEETDVHGKHQGSGKWQKMEKPYAFTVHCVKRARRHRWKWAQVTFWCPEEQLCHLWLQTLREMLEKLTSRPKHLLVFINPFGGKGQGKRIYERKVAPLFTLASITTDIIVTEHANQAKETLYEINIDKYDGIVCVGGDGMFSEVLHGLIGRTQRSAGVDQNHPRAVLVPSSLRIGIIPAGSTDCVCYSTVGTSDAETSALHIVVGDSLAMDVSSVHHNSTLLRYSVSLLGYGFYGDIIKDSEKKRWLGLARYDFSGLKTFLSHHCYEGTVSFLPAQHTVGSPRDRKPCRAGCFVCRQSKQQLEEEQKKALYGLEAAEDVEEWQVVCGKFLAINATNMSCACRRSPRGLSPAAHLGDGSSDLILIRKCSRFNFLRFLIRHTNQQDQFDFTFVEVYRVKKFQFTSKHMEDEDSDLKEGGKKRFGHICSSHPSCCCTVSNSSWNCDGEVLHSPAIEVRVHCQLVRLFARGIEENPKPDSHS
+>DECOY_sp|Q8TCT0|CERK1_HUMAN Ceramide kinase OS=Homo sapiens OX=9606 GN=CERK PE=1 SV=1
+SHSDPKPNEEIGRAFLRVLQCHVRVEIAPSHLVEGDCNWSSNSVTCCCSPHSSCIHGFRKKGGEKLDSDEDEMHKSTFQFKKVRYVEVFTFDFQDQQNTHRILFRLFNFRSCKRILILDSSGDGLHAAPSLGRPSRRCACSMNTANIALFKGCVVQWEEVDEAAELGYLAKKQEEELQQKSQRCVFCGARCPKRDRPSGVTHQAPLFSVTGEYCHHSLFTKLGSFDYRALGLWRKKESDKIIDGYFGYGLLSVSYRLLTSNHHVSSVDMALSDGVVIHLASTEADSTGVTSYCVCDTSGAPIIGIRLSSPVLVARPHNQDVGASRQTRGILGHLVESFMGDGGVCVIGDYKDINIEYLTEKAQNAHETVIIDTTISALTFLPAVKREYIRKGQGKGGFPNIFVLLHKPRSTLKELMERLTQLWLHCLQEEPCWFTVQAWKWRHRRARKVCHVTFAYPKEMKQWKGSGQHKGHVDTEEVAIIESVPVSCADAGPAGAGPGPSRWWRLLARAPELSVACRQQKVWLVSQLPEAAGTAGM
+>sp|Q9UKY3|CES1P_HUMAN Putative inactive carboxylesterase 4 OS=Homo sapiens OX=9606 GN=CES1P1 PE=5 SV=2
+MWLPALVLATLAASAAWAGHLSSPPLVDTLHGKVLGKFVSLEGFAQPVAVFLGIPFAKPPLGPLRFTLPQPAEPWNFVKNATSYPPMFTQDPKAGQLISELFTNRKENIPLKLSEDCLYLNIYTPADLTKKNRLPVMVWIHGGGLMVGAASTYDGLALAAHENVVVVTIQYRLGIWGFFSTGDEHSPGNWGHLDQLAALHWVQDNIASFGGNPGSVTIFGGSVGGESVSVLVLSPLAKNLFHRAISESGVALTSVLVKKGDVKPLAEVGLRLVRLRLDTPTSLALCS
+>DECOY_sp|Q9UKY3|CES1P_HUMAN Putative inactive carboxylesterase 4 OS=Homo sapiens OX=9606 GN=CES1P1 PE=5 SV=2
+SCLALSTPTDLRLRVLRLGVEALPKVDGKKVLVSTLAVGSESIARHFLNKALPSLVLVSVSEGGVSGGFITVSGPNGGFSAINDQVWHLAALQDLHGWNGPSHEDGTSFFGWIGLRYQITVVVVNEHAALALGDYTSAAGVMLGGGHIWVMVPLRNKKTLDAPTYINLYLCDESLKLPINEKRNTFLESILQGAKPDQTFMPPYSTANKVFNWPEAPQPLTFRLPGLPPKAFPIGLFVAVPQAFGELSVFKGLVKGHLTDVLPPSSLHGAWAASAALTALVLAPLWM
+>sp|Q5T4I8|CF052_HUMAN Putative uncharacterized protein C6orf52 OS=Homo sapiens OX=9606 GN=C6orf52 PE=2 SV=2
+MAQPESSADFGIAQQNNYYCYWQSLPSAIRVKQEFQPSQSYRYGNWYARQHGSYLLSGYSYGCAVDGNGKDCFSAHETPEHTAGTLVMPKETTPLAENQDEDPLEDPHLHLNIEESNQEFMVKSEELYDSLMNCHWQPLDTVHSEIPDETPK
+>DECOY_sp|Q5T4I8|CF052_HUMAN Putative uncharacterized protein C6orf52 OS=Homo sapiens OX=9606 GN=C6orf52 PE=2 SV=2
+KPTEDPIESHVTDLPQWHCNMLSDYLEESKVMFEQNSEEINLHLHPDELPDEDQNEALPTTEKPMVLTGATHEPTEHASFCDKGNGDVACGYSYGSLLYSGHQRAYWNGYRYSQSPQFEQKVRIASPLSQWYCYYNNQQAIGFDASSEPQAM
+>sp|Q5JQF7|CF100_HUMAN Putative uncharacterized protein encoded by LINC01556 OS=Homo sapiens OX=9606 GN=LINC01556 PE=4 SV=2
+MLQVVQEGNPAPFIINTVKRGRRDRERQRTPWAPHPLGFQGRRYIYESPNHRGKDSSFLAQK
+>DECOY_sp|Q5JQF7|CF100_HUMAN Putative uncharacterized protein encoded by LINC01556 OS=Homo sapiens OX=9606 GN=LINC01556 PE=4 SV=2
+KQALFSSDKGRHNPSEYIYRRGQFGLPHPAWPTRQRERDRRGRKVTNIIFPAPNGEQVVQLM
+>sp|Q9H6K1|CF106_HUMAN Uncharacterized protein C6orf106 OS=Homo sapiens OX=9606 GN=C6orf106 PE=1 SV=2
+MEGMDVDLDPELMQKFSCLGTTDKDVLISEFQRLLGFQLNPAGCAFFLDMTNWNLQAAIGAYYDFESPNISVPSMSFVEDVTIGEGESIPPDTQFVKTWRIQNSGAEAWPPGVCLKYVGGDQFGHVNMVMVRSLEPQEIADVSVQMCSPSRAGMYQGQWRMCTATGLYYGDVIWVILSVEVGGLLGVTQQLSSFETEFNTQPHRKVEGNFNPFASPQKNRQSDENNLKDPGGSEFDSISKNTWAPAPDTWAPAPDQTEQDQNRLSQNSVNLSPSSHANNLSVVTYSKGLHGPYPFGQS
+>DECOY_sp|Q9H6K1|CF106_HUMAN Uncharacterized protein C6orf106 OS=Homo sapiens OX=9606 GN=C6orf106 PE=1 SV=2
+SQGFPYPGHLGKSYTVVSLNNAHSSPSLNVSNQSLRNQDQETQDPAPAWTDPAPAWTNKSISDFESGGPDKLNNEDSQRNKQPSAFPNFNGEVKRHPQTNFETEFSSLQQTVGLLGGVEVSLIVWIVDGYYLGTATCMRWQGQYMGARSPSCMQVSVDAIEQPELSRVMVMNVHGFQDGGVYKLCVGPPWAEAGSNQIRWTKVFQTDPPISEGEGITVDEVFSMSPVSINPSEFDYYAGIAAQLNWNTMDLFFACGAPNLQFGLLRQFESILVDKDTTGLCSFKQMLEPDLDVDMGEM
+>sp|Q6P656|CF161_HUMAN Cilia- and flagella-associated protein 161 OS=Homo sapiens OX=9606 GN=CFAP161 PE=2 SV=1
+MAQNVYGPGVRIGNWNEDVYLEEELMKDFLEKRDKGKLLIQRSRRLKQNLLRPMQLSVTEDGYIHYGDKVMLVNPDDPDTEADVFLRGDLSLCMTPDEIQSHLKDELEVPCGLSAVQAKTPIGRNTFIILSVHRDATGQVLRYGQDFCLGITGGFDNKMLYLSSDHRTLLKSSKRSWLQEVYLTDEVSHVNCWQAAFPDPQLRLEYEGFPVPANAKILINHCHTNRGLAAHRHLFLSTYFGKEAEVVAHTYLDSHRVEKPRNHWMLVTGNPRDASSSMLDLPKPPTEDTRAMEQAMGLDTQ
+>DECOY_sp|Q6P656|CF161_HUMAN Cilia- and flagella-associated protein 161 OS=Homo sapiens OX=9606 GN=CFAP161 PE=2 SV=1
+QTDLGMAQEMARTDETPPKPLDLMSSSADRPNGTVLMWHNRPKEVRHSDLYTHAVVEAEKGFYTSLFLHRHAALGRNTHCHNILIKANAPVPFGEYELRLQPDPFAAQWCNVHSVEDTLYVEQLWSRKSSKLLTRHDSSLYLMKNDFGGTIGLCFDQGYRLVQGTADRHVSLIIFTNRGIPTKAQVASLGCPVELEDKLHSQIEDPTMCLSLDGRLFVDAETDPDDPNVLMVKDGYHIYGDETVSLQMPRLLNQKLRRSRQILLKGKDRKELFDKMLEEELYVDENWNGIRVGPGYVNQAM
+>sp|Q9P0P8|CF203_HUMAN Uncharacterized protein C6orf203 OS=Homo sapiens OX=9606 GN=C6orf203 PE=1 SV=1
+MAMASVKLLAGVLRKPDAWIGLWGVLRGTPSSYKLCTSWNRYLYFSSTKLRAPNYKTLFYNIFSLRLPGLLLSPECIFPFSVRLKSNIRSTKSTKKSLQKVDEEDSDEESHHDEMSEQEEELEDDPTVVKNYKDLEKAVQSFRYDVVLKTGLDIGRNKVEDAFYKGELRLNEEKLWKKSRTVKVGDTLDLLIGEDKEAGTETVMRILLKKVFEEKTESEKYRVVLRRWKSLKLPKKRMSK
+>DECOY_sp|Q9P0P8|CF203_HUMAN Uncharacterized protein C6orf203 OS=Homo sapiens OX=9606 GN=C6orf203 PE=1 SV=1
+KSMRKKPLKLSKWRRLVVRYKESETKEEFVKKLLIRMVTETGAEKDEGILLDLTDGVKVTRSKKWLKEENLRLEGKYFADEVKNRGIDLGTKLVVDYRFSQVAKELDKYNKVVTPDDELEEEQESMEDHHSEEDSDEEDVKQLSKKTSKTSRINSKLRVSFPFICEPSLLLGPLRLSFINYFLTKYNPARLKTSSFYLYRNWSTCLKYSSPTGRLVGWLGIWADPKRLVGALLKVSAMAM
+>sp|P0C671|CF222_HUMAN Uncharacterized protein C6orf222 OS=Homo sapiens OX=9606 GN=C6orf222 PE=1 SV=1
+MENPRCPRRPLAEKKARSLDRPQAPGKGSESWDCHWLSLPTAPSRKALHWTTSDWARHSDSPAPSAEAHCTTAAAPTPEETGDFLPSEQRPSQDTKKGWLKTMLNFFVRTGPEEPREKASRRPRGKEGISQHPEPLEAAGEPALRKKAHHDKKPSRKKQGHKKHAAEVTKAAQDQEARGREEGLSKAAAALRSGEADLGPARRGGEDSDHQSFLIKVDGTGALDVSPHATGHQQEEELKKPDQDAIIQMIVELLKRVGDQWEEEQSLASQLGVALPNPAPAVRKKSQEKKTSLKRTSKTNPKKHGSEEAKRGAADVSSPEAWPPKKSSFLPLCVSGHRPSISSSYGLEEPKVQEAPSTEAGAPGPSVLPTPSESQEPGEELPLDRASEYKEFIQKIISMLQDAEEQQGEEQPQVQQEEVGVENPAPHCRRKSQEKRSSFRRAFYHKKHTSKEPRRAGAAGAASPEARRPKRPSFLPLCVGGHRPSTSSSLDPEDLECREPLPAEGEPVVISEAPSQARGHTPEGAPQLSGACESKEIIIQKLVALLQEVDGQLGQQIRRHPSFKRFFYEFSDSSLSKLVATLRSQVAHSSKLDRNRARRLYQFDVSLANKFAGSNSHAMCILMGLRDHYNCTQFPYREDQPNITSPKVESPD
+>DECOY_sp|P0C671|CF222_HUMAN Uncharacterized protein C6orf222 OS=Homo sapiens OX=9606 GN=C6orf222 PE=1 SV=1
+DPSEVKPSTINPQDERYPFQTCNYHDRLGMLICMAHSNSGAFKNALSVDFQYLRRARNRDLKSSHAVQSRLTAVLKSLSSDSFEYFFRKFSPHRRIQQGLQGDVEQLLAVLKQIIIEKSECAGSLQPAGEPTHGRAQSPAESIVVPEGEAPLPERCELDEPDLSSSTSPRHGGVCLPLFSPRKPRRAEPSAAGAAGARRPEKSTHKKHYFARRFSSRKEQSKRRCHPAPNEVGVEEQQVQPQEEGQQEEADQLMSIIKQIFEKYESARDLPLEEGPEQSESPTPLVSPGPAGAETSPAEQVKPEELGYSSSISPRHGSVCLPLFSSKKPPWAEPSSVDAAGRKAEESGHKKPNTKSTRKLSTKKEQSKKRVAPAPNPLAVGLQSALSQEEEWQDGVRKLLEVIMQIIADQDPKKLEEEQQHGTAHPSVDLAGTGDVKILFSQHDSDEGGRRAPGLDAEGSRLAAAAKSLGEERGRAEQDQAAKTVEAAHKKHGQKKRSPKKDHHAKKRLAPEGAAELPEPHQSIGEKGRPRRSAKERPEEPGTRVFFNLMTKLWGKKTDQSPRQESPLFDGTEEPTPAAATTCHAEASPAPSDSHRAWDSTTWHLAKRSPATPLSLWHCDWSESGKGPAQPRDLSRAKKEALPRRPCRPNEM
+>sp|Q8NDM7|CFA43_HUMAN Cilia- and flagella-associated protein 43 OS=Homo sapiens OX=9606 GN=CFAP43 PE=2 SV=3
+MAQGRERDEGPHSAGGASLSVRWVQGFPKQNVHFVNDNTICYPCGNYVIFINIETKKKTVLQCSNGIVGVMATNIPCEVVAFSDRKLKPLIYVYSFPGLTRRTKLKGNILLDYTLLSFSYCGTYLASYSSLPEFELALWNWESSIILCKKSQPGMDVNQMSFNPMNWRQLCLSSPSTVSVWTIERSNQEHCFRARSVKLPLEDGSFFNETDVVFPQSLPKDLIYGPVLPLSAIAGLVGKEAETFRPKDDLYPLLHPTMHCWTPTSDLYIGCEEGHLLMINGDTLQVTVLNKIEEESPLDRRNFISPVTLVYQKEGVLASGIDGFVYSFIIKDRSYMIEDFLEIERPVEHMTFSPNYTVLLIQTDKGSVYIYTFGKEPTLNKVLDACDGKFQAIDFITPGTQYFMTLTYSGEICVWWLEDCACVSKIYLNTLATVLACCPSSLSAAVGTEDGSVYFISVYDKESPQVVHKAFLSESSVQHVVYDQQGIFLLVGTAEGKVFIINANSSSSFQIIGFTEVAKDILQISTVSLLETDIVEVMVLSSLPEAGRSRLEMFTLPTLLPQVSTTFADERGRLKDEIIHKYLYELEHALSSAVLGFQSNQIYGFCSQVPYICSYLLPEEEHTGIYILKPYKKVQSRQYGPGLLYLSSHGLWLITIAKCGILCIRDVYTLETFAWCRSHSHQGHGIQSMRISMDGQNILVNGRDDGTLVYLKWKRFGGHLASEILDYYQKLLISLSSAMDKENHYLSTTPKVSVDLGSDSEHTKQKASTDLSQDELVLTDVKKEIPWIQQKSQEAIKKEVNLFSKKRKEIKQGIKSLSKTILNMMEENDKLENIAKLDQQEFGLDLEELERLHDESQEEVAKMIKDVEMHNLAKSYLAELIKEECWNSMAVKGRALKCFHIPCVVENFPMKARTVEELKELERVLQQKKIEAECLKLRKEIVEAQSGVKLIKQRHEEDDEEEEEEDKTVKYSNLPNYLLGSLSTDFGVDTSLLSSQLELHSREEKINQIILLKDIIYKVKTVFNNEFDAAYKQKEFEIARVKERNVRIREIILDLELEEAVWQPEFEDCEKPERTLVVQDEEITAHKHIKPWHKAKELIVNHEKEHWLLIQDASTRLRALMDMMGGVLEVKKEDILRMVIPQPAFMAKPDAVWTEEERKQFKDYEKKVKELNEERDKYRKSLEAELKKLQNSIQESTQAFDEHLKRLFERRVKAEMVTNQEELKISNLAFSLLLDEELSSREKFLNNYLTRKQHEKSQTSEAVRKSREDLDVCKEHYDNLLAEDKVMDRSFKKEFSEIPGHQVDILYKLFKRRPRISKQKTHSETTSVVPFGELPGSGKLNKDAFAQLMKAMDELDNISNMPEGLDPLVWNHFCMTRRAKVENEQKVKQKAADLLEMATFLQKRVEEEEKVQQEIERVFHELILLQEEKVRFQLNLTIQILLKQGQVELENFQLVLEYSDAILINKNIIEDLNSVIRTQGQKKVASMMESKDVHKRILQIEWEHKKMEMEREDLNQKAWDIQMLFFSRDRQKYLNEPNYEALISIQIGIMEQTIAVLDKMHKKNVENCKKLLKKLGKFSNQKDIANYALSCNLREELVAVSERKDICNAMGSKLTCEKIVKERYENMMQQQKLTNISKQQAEQISILQTEVERLRMKTFPALVQM
+>DECOY_sp|Q8NDM7|CFA43_HUMAN Cilia- and flagella-associated protein 43 OS=Homo sapiens OX=9606 GN=CFAP43 PE=2 SV=3
+MQVLAPFTKMRLREVETQLISIQEAQQKSINTLKQQQMMNEYREKVIKECTLKSGMANCIDKRESVAVLEERLNCSLAYNAIDKQNSFKGLKKLLKKCNEVNKKHMKDLVAITQEMIGIQISILAEYNPENLYKQRDRSFFLMQIDWAKQNLDEREMEMKKHEWEIQLIRKHVDKSEMMSAVKKQGQTRIVSNLDEIINKNILIADSYELVLQFNELEVQGQKLLIQITLNLQFRVKEEQLLILEHFVREIEQQVKEEEEVRKQLFTAMELLDAAKQKVKQENEVKARRTMCFHNWVLPDLGEPMNSINDLEDMAKMLQAFADKNLKGSGPLEGFPVVSTTESHTKQKSIRPRRKFLKYLIDVQHGPIESFEKKFSRDMVKDEALLNDYHEKCVDLDERSKRVAESTQSKEHQKRTLYNNLFKERSSLEEDLLLSFALNSIKLEEQNTVMEAKVRREFLRKLHEDFAQTSEQISNQLKKLEAELSKRYKDREENLEKVKKEYDKFQKREEETWVADPKAMFAPQPIVMRLIDEKKVELVGGMMDMLARLRTSADQILLWHEKEHNVILEKAKHWPKIHKHATIEEDQVVLTREPKECDEFEPQWVAEELELDLIIERIRVNREKVRAIEFEKQKYAADFENNFVTKVKYIIDKLLIIQNIKEERSHLELQSSLLSTDVGFDTSLSGLLYNPLNSYKVTKDEEEEEEDDEEHRQKILKVGSQAEVIEKRLKLCEAEIKKQQLVRELEKLEEVTRAKMPFNEVVCPIHFCKLARGKVAMSNWCEEKILEALYSKALNHMEVDKIMKAVEEQSEDHLRELEELDLGFEQQDLKAINELKDNEEMMNLITKSLSKIGQKIEKRKKSFLNVEKKIAEQSKQQIWPIEKKVDTLVLEDQSLDTSAKQKTHESDSGLDVSVKPTTSLYHNEKDMASSLSILLKQYYDLIESALHGGFRKWKLYVLTGDDRGNVLINQGDMSIRMSQIGHGQHSHSRCWAFTELTYVDRICLIGCKAITILWLGHSSLYLLGPGYQRSQVKKYPKLIYIGTHEEEPLLYSCIYPVQSCFGYIQNSQFGLVASSLAHELEYLYKHIIEDKLRGREDAFTTSVQPLLTPLTFMELRSRGAEPLSSLVMVEVIDTELLSVTSIQLIDKAVETFGIIQFSSSSNANIIFVKGEATGVLLFIGQQDYVVHQVSSESLFAKHVVQPSEKDYVSIFYVSGDETGVAASLSSPCCALVTALTNLYIKSVCACDELWWVCIEGSYTLTMFYQTGPTIFDIAQFKGDCADLVKNLTPEKGFTYIYVSGKDTQILLVTYNPSFTMHEVPREIELFDEIMYSRDKIIFSYVFGDIGSALVGEKQYVLTVPSIFNRRDLPSEEEIKNLVTVQLTDGNIMLLHGEECGIYLDSTPTWCHMTPHLLPYLDDKPRFTEAEKGVLGAIASLPLVPGYILDKPLSQPFVVDTENFFSGDELPLKVSRARFCHEQNSREITWVSVTSPSSLCLQRWNMPNFSMQNVDMGPQSKKCLIISSEWNWLALEFEPLSSYSALYTGCYSFSLLTYDLLINGKLKTRRTLGPFSYVYILPKLKRDSFAVVECPINTAMVGVIGNSCQLVTKKKTEINIFIVYNGCPYCITNDNVFHVNQKPFGQVWRVSLSAGGASHPGEDRERGQAM
+>sp|A5D8W1|CFA69_HUMAN Cilia- and flagella-associated protein 69 OS=Homo sapiens OX=9606 GN=CFAP69 PE=2 SV=3
+MWTEEAGATAEAQESGIRNKSSSSSQIPVVGVVTEDDEAQDVFKPMDLNRVIKLLEETDKDGLEEKQLKFVKKLVQCYQNGLPLRDLAQIFKILNLCSGKIKNQPRFIESAYDIIKLCGLPFLKKKVSDEITYAEDTANSIALLGDLMKIPSSELRIQICKCIVDFYHAEPPKKHIPGYQQASSSYKIQMAEVGGLAKTMVQSMTLLENQLVEKLWVLKVLQHLSTSEVNCTIMMKAQAASGICTHLNDPDPSGQLLFRSSEILWNLLEKSSKEEVIQQLSNLECLLALKEVFKNLFMRGFSHYDRQLRNDILVITTIIAQNPEAPMIECGFTKDLILFATFNEVKSQNLLVKGLKLSNSYEDFELKKLLFNVIVILCKDLPTVQLLIDGKVILALFTYVKKPEKQKIIDWSAAQHEELQLHAIATLSSVAPLLIEEYMSCQGNARVLAFLEWCESEDPFFSHGNSFHGTGGRGNKFAQMRYSLRLLRAVVYLEDETVNKDLCEKGTIQQMIGIFKNIISKPNEKEEAIVLEIQSDILLILSGLCENHIQRKEIFGTEGVDIVLHVMKTDPRKLQSGLGYNVLLFSTLDSIWCCILGCYPSEDYFLEKEGIFLLLDLLALNQKKFCNLILGIMVEFCDNPKTAAHVNAWQGKKDQTAASLLIKLWRKEEKELGVKRDKNGKIIDTKKPLFTSFQEEQKIIPLPANCPSIAVMDVSENIRAKIYAILGKLDFENLPGLSAEDFVTLCIIHRYLDFKIGEIWNEIYEEIKLEKLRPVTTDKKALEAITTASENIGKMVASLQSDIIESQACQDMQNEQKVYAKIQATHKQRELANKSWEDFLARTSNAKTLKKAKSLQEKAIEASRYHKRPQNAIFHQTHIKGLNTTVPSGGVVTVESTPARLVGGPLVDTDIALKKLPIRGGALQRVKAVKIVDAPKKSIPT
+>DECOY_sp|A5D8W1|CFA69_HUMAN Cilia- and flagella-associated protein 69 OS=Homo sapiens OX=9606 GN=CFAP69 PE=2 SV=3
+TPISKKPADVIKVAKVRQLAGGRIPLKKLAIDTDVLPGGVLRAPTSEVTVVGGSPVTTNLGKIHTQHFIANQPRKHYRSAEIAKEQLSKAKKLTKANSTRALFDEWSKNALERQKHTAQIKAYVKQENQMDQCAQSEIIDSQLSAVMKGINESATTIAELAKKDTTVPRLKELKIEEYIENWIEGIKFDLYRHIICLTVFDEASLGPLNEFDLKGLIAYIKARINESVDMVAISPCNAPLPIIKQEEQFSTFLPKKTDIIKGNKDRKVGLEKEEKRWLKILLSAATQDKKGQWANVHAATKPNDCFEVMIGLILNCFKKQNLALLDLLLFIGEKELFYDESPYCGLICCWISDLTSFLLVNYGLGSQLKRPDTKMVHLVIDVGETGFIEKRQIHNECLGSLILLIDSQIELVIAEEKENPKSIINKFIGIMQQITGKECLDKNVTEDELYVVARLLRLSYRMQAFKNGRGGTGHFSNGHSFFPDESECWELFALVRANGQCSMYEEILLPAVSSLTAIAHLQLEEHQAASWDIIKQKEPKKVYTFLALIVKGDILLQVTPLDKCLIVIVNFLLKKLEFDEYSNSLKLGKVLLNQSKVENFTAFLILDKTFGCEIMPAEPNQAIITTIVLIDNRLQRDYHSFGRMFLNKFVEKLALLCELNSLQQIVEEKSSKELLNWLIESSRFLLQGSPDPDNLHTCIGSAAQAKMMITCNVESTSLHQLVKLVWLKEVLQNELLTMSQVMTKALGGVEAMQIKYSSSAQQYGPIHKKPPEAHYFDVICKCIQIRLESSPIKMLDGLLAISNATDEAYTIEDSVKKKLFPLGCLKIIDYASEIFRPQNKIKGSCLNLIKFIQALDRLPLGNQYCQVLKKVFKLQKEELGDKDTEELLKIVRNLDMPKFVDQAEDDETVVGVVPIQSSSSSKNRIGSEQAEATAGAEETWM
+>sp|P05156|CFAI_HUMAN Complement factor I OS=Homo sapiens OX=9606 GN=CFI PE=1 SV=2
+MKLLHVFLLFLCFHLRFCKVTYTSQEDLVEKKCLAKKYTHLSCDKVFCQPWQRCIEGTCVCKLPYQCPKNGTAVCATNRRSFPTYCQQKSLECLHPGTKFLNNGTCTAEGKFSVSLKHGNTDSEGIVEVKLVDQDKTMFICKSSWSMREANVACLDLGFQQGADTQRRFKLSDLSINSTECLHVHCRGLETSLAECTFTKRRTMGYQDFADVVCYTQKADSPMDDFFQCVNGKYISQMKACDGINDCGDQSDELCCKACQGKGFHCKSGVCIPSQYQCNGEVDCITGEDEVGCAGFASVTQEETEILTADMDAERRRIKSLLPKLSCGVKNRMHIRRKRIVGGKRAQLGDLPWQVAIKDASGITCGGIYIGGCWILTAAHCLRASKTHRYQIWTTVVDWIHPDLKRIVIEYVDRIIFHENYNAGTYQNDIALIEMKKDGNKKDCELPRSIPACVPWSPYLFQPNDTCIVSGWGREKDNERVFSLQWGEVKLISNCSKFYGNRFYEKEMECAGTYDGSIDACKGDSGGPLVCMDANNVTYVWGVVSWGENCGKPEFPGVYTKVANYFDWISYHVGRPFISQYNV
+>DECOY_sp|P05156|CFAI_HUMAN Complement factor I OS=Homo sapiens OX=9606 GN=CFI PE=1 SV=2
+VNYQSIFPRGVHYSIWDFYNAVKTYVGPFEPKGCNEGWSVVGWVYTVNNADMCVLPGGSDGKCADISGDYTGACEMEKEYFRNGYFKSCNSILKVEGWQLSFVRENDKERGWGSVICTDNPQFLYPSWPVCAPISRPLECDKKNGDKKMEILAIDNQYTGANYNEHFIIRDVYEIVIRKLDPHIWDVVTTWIQYRHTKSARLCHAATLIWCGGIYIGGCTIGSADKIAVQWPLDGLQARKGGVIRKRRIHMRNKVGCSLKPLLSKIRRREADMDATLIETEEQTVSAFGACGVEDEGTICDVEGNCQYQSPICVGSKCHFGKGQCAKCCLEDSQDGCDNIGDCAKMQSIYKGNVCQFFDDMPSDAKQTYCVVDAFDQYGMTRRKTFTCEALSTELGRCHVHLCETSNISLDSLKFRRQTDAGQQFGLDLCAVNAERMSWSSKCIFMTKDQDVLKVEVIGESDTNGHKLSVSFKGEATCTGNNLFKTGPHLCELSKQQCYTPFSRRNTACVATGNKPCQYPLKCVCTGEICRQWPQCFVKDCSLHTYKKALCKKEVLDEQSTYTVKCFRLHFCLFLLFVHLLKM
+>sp|Q9H7B7|CG069_HUMAN Uncharacterized protein C7orf69 OS=Homo sapiens OX=9606 GN=C7orf69 PE=2 SV=3
+MGFHFCIWIIFLLPPPCKKCLSPPTMNLRPPKSCGNVFYWVLVLNSGLLYKFCQTIKCRANWRPARAPRGWNEATERHQERRTQMETEMGGISTTYWHRLCTCTDRRAEKLVMDGNNCWFHK
+>DECOY_sp|Q9H7B7|CG069_HUMAN Uncharacterized protein C7orf69 OS=Homo sapiens OX=9606 GN=C7orf69 PE=2 SV=3
+KHFWCNNGDMVLKEARRDTCTCLRHWYTTSIGGMETEMQTRREQHRETAENWGRPARAPRWNARCKITQCFKYLLGSNLVLVWYFVNGCSKPPRLNMTPPSLCKKCPPPLLFIIWICFHFGM
+>sp|Q8N884|CGAS_HUMAN Cyclic GMP-AMP synthase OS=Homo sapiens OX=9606 GN=CGAS PE=1 SV=2
+MQPWHGKAMQRASEAGATAPKASARNARGAPMDPTESPAAPEAALPKAGKFGPARKSGSRQKKSAPDTQERPPVRATGARAKKAPQRAQDTQPSDATSAPGAEGLEPPAAREPALSRAGSCRQRGARCSTKPRPPPGPWDVPSPGLPVSAPILVRRDAAPGASKLRAVLEKLKLSRDDISTAAGMVKGVVDHLLLRLKCDSAFRGVGLLNTGSYYEHVKISAPNEFDVMFKLEVPRIQLEEYSNTRAYYFVKFKRNPKENPLSQFLEGEILSASKMLSKFRKIIKEEINDIKDTDVIMKRKRGGSPAVTLLISEKISVDITLALESKSSWPASTQEGLRIQNWLSAKVRKQLRLKPFYLVPKHAKEGNGFQEETWRLSFSHIEKEILNNHGKSKTCCENKEEKCCRKDCLKLMKYLLEQLKERFKDKKHLDKFSSYHVKTAFFHVCTQNPQDSQWDRKDLGLCFDNCVTYFLQCLRTEKLENYFIPEFNLFSSNLIDKRSKEFLTKQIEYERNNEFPVFDEF
+>DECOY_sp|Q8N884|CGAS_HUMAN Cyclic GMP-AMP synthase OS=Homo sapiens OX=9606 GN=CGAS PE=1 SV=2
+FEDFVPFENNREYEIQKTLFEKSRKDILNSSFLNFEPIFYNELKETRLCQLFYTVCNDFCLGLDKRDWQSDQPNQTCVHFFATKVHYSSFKDLHKKDKFREKLQELLYKMLKLCDKRCCKEEKNECCTKSKGHNNLIEKEIHSFSLRWTEEQFGNGEKAHKPVLYFPKLRLQKRVKASLWNQIRLGEQTSAPWSSKSELALTIDVSIKESILLTVAPSGGRKRKMIVDTDKIDNIEEKIIKRFKSLMKSASLIEGELFQSLPNEKPNRKFKVFYYARTNSYEELQIRPVELKFMVDFENPASIKVHEYYSGTNLLGVGRFASDCKLRLLLHDVVGKVMGAATSIDDRSLKLKELVARLKSAGPAADRRVLIPASVPLGPSPVDWPGPPPRPKTSCRAGRQRCSGARSLAPERAAPPELGEAGPASTADSPQTDQARQPAKKARAGTARVPPREQTDPASKKQRSGSKRAPGFKGAKPLAAEPAAPSETPDMPAGRANRASAKPATAGAESARQMAKGHWPQM
+>sp|Q8N6G5|CGAT2_HUMAN Chondroitin sulfate N-acetylgalactosaminyltransferase 2 OS=Homo sapiens OX=9606 GN=CSGALNACT2 PE=1 SV=1
+MPRRGLILHTRTHWLLLGLALLCSLVLFMYLLECAPQTDGNASLPGVVGENYGKEYYQALLQEQEEHYQTRATSLKRQIAQLKQELQEMSEKMRSLQERRNVGANGIGYQSNKEQAPSDLLEFLHSQIDKAEVSIGAKLPSEYGVIPFESFTLMKVFQLEMGLTRHPEEKPVRKDKRDELVEVIEAGLEVINNPDEDDEQEDEEGPLGEKLIFNENDFVEGYYRTERDKGTQYELFFKKADLTEYRHVTLFRPFGPLMKVKSEMIDITRSIINIIVPLAERTEAFVQFMQNFRDVCIHQDKKIHLTVVYFGKEGLSKVKSILESVTSESNFHNYTLVSLNEEFNRGRGLNVGARAWDKGEVLMFFCDVDIYFSAEFLNSCRLNAEPGKKVFYPVVFSLYNPAIVYANQEVPPPVEQQLVHKKDSGFWRDFGFGMTCQYRSDFLTIGGFDMEVKGWGGEDVHLYRKYLHGDLIVIRTPVPGLFHLWHEKRCADELTPEQYRMCIQSKAMNEASHSHLGMLVFREEIETHLHKQAYRTNSEAVG
+>DECOY_sp|Q8N6G5|CGAT2_HUMAN Chondroitin sulfate N-acetylgalactosaminyltransferase 2 OS=Homo sapiens OX=9606 GN=CSGALNACT2 PE=1 SV=1
+GVAESNTRYAQKHLHTEIEERFVLMGLHSHSAENMAKSQICMRYQEPTLEDACRKEHWLHFLGPVPTRIVILDGHLYKRYLHVDEGGWGKVEMDFGGITLFDSRYQCTMGFGFDRWFGSDKKHVLQQEVPPPVEQNAYVIAPNYLSFVVPYFVKKGPEANLRCSNLFEASFYIDVDCFFMLVEGKDWARAGVNLGRGRNFEENLSVLTYNHFNSESTVSELISKVKSLGEKGFYVVTLHIKKDQHICVDRFNQMFQVFAETREALPVIINIISRTIDIMESKVKMLPGFPRFLTVHRYETLDAKKFFLEYQTGKDRETRYYGEVFDNENFILKEGLPGEEDEQEDDEDPNNIVELGAEIVEVLEDRKDKRVPKEEPHRTLGMELQFVKMLTFSEFPIVGYESPLKAGISVEAKDIQSHLFELLDSPAQEKNSQYGIGNAGVNRREQLSRMKESMEQLEQKLQAIQRKLSTARTQYHEEQEQLLAQYYEKGYNEGVVGPLSANGDTQPACELLYMFLVLSCLLALGLLLWHTRTHLILGRRPM
+>sp|P0DN87|CGB7_HUMAN Choriogonadotropin subunit beta 7 OS=Homo sapiens OX=9606 GN=CGB7 PE=2 SV=1
+MEMFQGLLLLLLLSMGGTWASREMLRPRCRPINATLAVEKEGCPVCITVNTTICAGYCPTMTRVLQGVLPALPQVVCNYRDVRFESIRLPGCPRGVNPVVSYAVALSCQCALCRRSTTDCGGPKDHPLTCDDPRFQASSSSKAPPPSLPSPSRLPGPSDTPILPQ
+>DECOY_sp|P0DN87|CGB7_HUMAN Choriogonadotropin subunit beta 7 OS=Homo sapiens OX=9606 GN=CGB7 PE=2 SV=1
+QPLIPTDSPGPLRSPSPLSPPPAKSSSSAQFRPDDCTLPHDKPGGCDTTSRRCLACQCSLAVAYSVVPNVGRPCGPLRISEFRVDRYNCVVQPLAPLVGQLVRTMTPCYGACITTNVTICVPCGEKEVALTANIPRCRPRLMERSAWTGGMSLLLLLLLGQFMEM
+>sp|Q0VF96|CGNL1_HUMAN Cingulin-like protein 1 OS=Homo sapiens OX=9606 GN=CGNL1 PE=1 SV=2
+MELYFGEYQHVQQEYGVHLRLASDDTQKSRSSQNSKAGSYGVSIRVQGIDGHPYIVLNNTERCLAGTSFSENGPPFPPPVINNLPLHSSNGSVPKENSEELQLPENPYAQPSPIRNLKQPLLHEGKNGVLDRKDGSVKPSHLLNFQRHPELLQPYDPEKNELNLQNHQPSESNWLKTLTEEGINNKKPWTCFPKPSNSQPTSPSLEDPAKSGVTAIRLCSSVVIEDPKKQTSVCVNVQSCTKERVGEEALFTSGRPLTAHSPHAHPETKKTRPDVLPFRRQDSAGPVLDGARSRRSSSSSTTPTSANSLYRFLLDDQECAIHADNVNRHENRRYIPFLPGTGRDIDTGSIPGVDQLIEKFDQKPGLQRRGRSGKRNRINTDDRKRSRSVDSAFPFGLQGNSEYLIEFSRNLGKSSEHLLRPSQVCPQRPLSQERRGKQSVGRTFAKLQGAAHGASCAHSRPPQPNIDGKVLETEGSQESTVIRAPSLGAQSKKEEEVKTATATLMLQNRATATSPDSGAKKISVKTFPSASNTQATPDLLKGQQELTQQTNEETAKQILYNYLKEGSTDNDDATKRKVNLVFEKIQTLKSRAAGSAQGNNQACNSTSEVKDLLEQKSKLTIEVAELQRQLQLEVKNQQNIKEERERMRANLEELRSQHNEKVEENSTLQQRLEESEGELRKNLEELFQVKMEREQHQTEIRDLQDQLSEMHDELDSAKRSEDREKGALIEELLQAKQDLQDLLIAKEEQEDLLRKRERELTALKGALKEEVSSHDQEMDKLKEQYDAELQALRESVEEATKNVEVLASRSNTSEQDQAGTEMRVKLLQEENEKLQGRSEELERRVAQLQRQIEDLKGDEAKAKETLKKYEGEIRQLEEALVHARKEEKEAVSARRALENELEAAQGNLSQTTQEQKQLSEKLKEESEQKEQLRRLKNEMENERWHLGKTIEKLQKEMADIVEASRTSTLELQNQLDEYKEKNRRELAEMQRQLKEKTLEAEKSRLTAMKMQDEMRLMEEELRDYQRAQDEALTKRQLLEQTLKDLEYELEAKSHLKDDRSRLVKQMEDKVSQLEMELEEERNNSDLLSERISRSREQMEQLRNELLQERAARQDLECDKISLERQNKDLKSRIIHLEGSYRSSKEGLVVQMEARIAELEDRLESEERDRANLQLSNRRLERKVKELVMQVDDEHLSLTDQKDQLSLRLKAMKRQVEEAEEEIDRLESSKKKLQRELEEQMDMNEHLQGQLNSMKKDLRLKKLPSKVLDDMDDDDDLSTDGGSLYEAPVSYTFSKDSTVASQI
+>DECOY_sp|Q0VF96|CGNL1_HUMAN Cingulin-like protein 1 OS=Homo sapiens OX=9606 GN=CGNL1 PE=1 SV=2
+IQSAVTSDKSFTYSVPAEYLSGGDTSLDDDDDMDDLVKSPLKKLRLDKKMSNLQGQLHENMDMQEELERQLKKKSSELRDIEEEAEEVQRKMAKLRLSLQDKQDTLSLHEDDVQMVLEKVKRELRRNSLQLNARDREESELRDELEAIRAEMQVVLGEKSSRYSGELHIIRSKLDKNQRELSIKDCELDQRAAREQLLENRLQEMQERSRSIRESLLDSNNREEELEMELQSVKDEMQKVLRSRDDKLHSKAELEYELDKLTQELLQRKTLAEDQARQYDRLEEEMLRMEDQMKMATLRSKEAELTKEKLQRQMEALERRNKEKYEDLQNQLELTSTRSAEVIDAMEKQLKEITKGLHWRENEMENKLRRLQEKQESEEKLKESLQKQEQTTQSLNGQAAELENELARRASVAEKEEKRAHVLAEELQRIEGEYKKLTEKAKAEDGKLDEIQRQLQAVRRELEESRGQLKENEEQLLKVRMETGAQDQESTNSRSALVEVNKTAEEVSERLAQLEADYQEKLKDMEQDHSSVEEKLAGKLATLERERKRLLDEQEEKAILLDQLDQKAQLLEEILAGKERDESRKASDLEDHMESLQDQLDRIETQHQEREMKVQFLEELNKRLEGESEELRQQLTSNEEVKENHQSRLEELNARMREREEKINQQNKVELQLQRQLEAVEITLKSKQELLDKVESTSNCAQNNGQASGAARSKLTQIKEFVLNVKRKTADDNDTSGEKLYNYLIQKATEENTQQTLEQQGKLLDPTAQTNSASPFTKVSIKKAGSDPSTATARNQLMLTATATKVEEEKKSQAGLSPARIVTSEQSGETELVKGDINPQPPRSHACSAGHAAGQLKAFTRGVSQKGRREQSLPRQPCVQSPRLLHESSKGLNRSFEILYESNGQLGFPFASDVSRSRKRDDTNIRNRKGSRGRRQLGPKQDFKEILQDVGPISGTDIDRGTGPLFPIYRRNEHRNVNDAHIACEQDDLLFRYLSNASTPTTSSSSSRRSRAGDLVPGASDQRRFPLVDPRTKKTEPHAHPSHATLPRGSTFLAEEGVREKTCSQVNVCVSTQKKPDEIVVSSCLRIATVGSKAPDELSPSTPQSNSPKPFCTWPKKNNIGEETLTKLWNSESPQHNQLNLENKEPDYPQLLEPHRQFNLLHSPKVSGDKRDLVGNKGEHLLPQKLNRIPSPQAYPNEPLQLEESNEKPVSGNSSHLPLNNIVPPPFPPGNESFSTGALCRETNNLVIYPHGDIGQVRISVGYSGAKSNQSSRSKQTDDSALRLHVGYEQQVHQYEGFYLEM
+>sp|Q96NF6|CH049_HUMAN Putative uncharacterized protein C8orf49 OS=Homo sapiens OX=9606 GN=C8orf49 PE=2 SV=1
+MEKPRLYQKYKISRVWWRLPVIPATREAEDNRLNPEGRGCGEPRSRHCTPAWTTTAKLHLKTIISLQPLNMYQMEPGVGSIRTSPALQSPPALTRGPSAWDTAIRKALSFGVGLGVLVLVCLFYHFVTLAPILQFASLPCLLEAGAQMSRHPVTTQVCIMPARLSLGSGISRNLLRLSVCHFTLLLPFRSLRPCPLSSRDMVLSYELWLLCDFYIAPPDSSGSGICKKAI
+>DECOY_sp|Q96NF6|CH049_HUMAN Putative uncharacterized protein C8orf49 OS=Homo sapiens OX=9606 GN=C8orf49 PE=2 SV=1
+IAKKCIGSGSSDPPAIYFDCLLWLEYSLVMDRSSLPCPRLSRFPLLLTFHCVSLRLLNRSIGSGLSLRAPMICVQTTVPHRSMQAGAELLCPLSAFQLIPALTVFHYFLCVLVLVGLGVGFSLAKRIATDWASPGRTLAPPSQLAPSTRISGVGPEMQYMNLPQLSIITKLHLKATTTWAPTCHRSRPEGCGRGEPNLRNDEAERTAPIVPLRWWVRSIKYKQYLRPKEM
+>sp|Q6P047|CH074_HUMAN Uncharacterized protein C8orf74 OS=Homo sapiens OX=9606 GN=C8orf74 PE=1 SV=3
+MALLTPQGVKEVFQLQRPQGRERLRRLLNWEEFDEQRDSRRSILLDTLYESIIFAVGKGFPWVEVAQVVKFTEELLRETKGCSITEAVTILGNKLRDYRGHFNTTHLLALCDYFHHTFIRHYKLYQYVLGQDQQVDLTVAHLEVCMPPHPLPLAEGMDRDLWIHEQQVATLTEAEAQKRADVLLLKEALRLERENSLQKAFAAAAPAQPGQVLERQELESLICQAVHTQMELLQELLQRQIQNTFAILDLKLQKKTLNLNAPTPIPPPITSHAGQEEALKPQRASKGKKAKARK
+>DECOY_sp|Q6P047|CH074_HUMAN Uncharacterized protein C8orf74 OS=Homo sapiens OX=9606 GN=C8orf74 PE=1 SV=3
+KRAKAKKGKSARQPKLAEEQGAHSTIPPPIPTPANLNLTKKQLKLDLIAFTNQIQRQLLEQLLEMQTHVAQCILSELEQRELVQGPQAPAAAAFAKQLSNERELRLAEKLLLVDARKQAEAETLTAVQQEHIWLDRDMGEALPLPHPPMCVELHAVTLDVQQDQGLVYQYLKYHRIFTHHFYDCLALLHTTNFHGRYDRLKNGLITVAETISCGKTERLLEETFKVVQAVEVWPFGKGVAFIISEYLTDLLISRRSDRQEDFEEWNLLRRLRERGQPRQLQFVEKVGQPTLLAM
+>sp|E5RJ46|CH087_HUMAN Uncharacterized protein C8orf87 OS=Homo sapiens OX=9606 GN=C8orf87 PE=4 SV=1
+MRTPKRTRSPKTKVSLRGETLTLQLTTVSLDTRHMVKRCDERHGRPLPHSQESQHGSATSKKAVRGTADTAPLERISAARGWALPMEATVSVFRAHQWQWN
+>DECOY_sp|E5RJ46|CH087_HUMAN Uncharacterized protein C8orf87 OS=Homo sapiens OX=9606 GN=C8orf87 PE=4 SV=1
+NWQWQHARFVSVTAEMPLAWGRAASIRELPATDATGRVAKKSTASGHQSEQSHPLPRGHREDCRKVMHRTDLSVTTLQLTLTEGRLSVKTKPSRTRKPTRM
+>sp|O43916|CHST1_HUMAN Carbohydrate sulfotransferase 1 OS=Homo sapiens OX=9606 GN=CHST1 PE=1 SV=1
+MQCSWKAVLLLALASIAIQYTAIRTFTAKSFHTCPGLAEAGLAERLCEESPTFAYNLSRKTHILILATTRSGSSFVGQLFNQHLDVFYLFEPLYHVQNTLIPRFTQGKSPADRRVMLGASRDLLRSLYDCDLYFLENYIKPPPVNHTTDRIFRRGASRVLCSRPVCDPPGPADLVLEEGDCVRKCGLLNLTVAAEACRERSHVAIKTVRVPEVNDLRALVEDPRLNLKVIQLVRDPRGILASRSETFRDTYRLWRLWYGTGRKPYNLDVTQLTTVCEDFSNSVSTGLMRPPWLKGKYMLVRYEDLARNPMKKTEEIYGFLGIPLDSHVARWIQNNTRGDPTLGKHKYGTVRNSAATAEKWRFRLSYDIVAFAQNACQQVLAQLGYKIAASEEELKNPSVSLVEERDFRPFS
+>DECOY_sp|O43916|CHST1_HUMAN Carbohydrate sulfotransferase 1 OS=Homo sapiens OX=9606 GN=CHST1 PE=1 SV=1
+SFPRFDREEVLSVSPNKLEEESAAIKYGLQALVQQCANQAFAVIDYSLRFRWKEATAASNRVTGYKHKGLTPDGRTNNQIWRAVHSDLPIGLFGYIEETKKMPNRALDEYRVLMYKGKLWPPRMLGTSVSNSFDECVTTLQTVDLNYPKRGTGYWLRWLRYTDRFTESRSALIGRPDRVLQIVKLNLRPDEVLARLDNVEPVRVTKIAVHSRERCAEAAVTLNLLGCKRVCDGEELVLDAPGPPDCVPRSCLVRSAGRRFIRDTTHNVPPPKIYNELFYLDCDYLSRLLDRSAGLMVRRDAPSKGQTFRPILTNQVHYLPEFLYFVDLHQNFLQGVFSSGSRTTALILIHTKRSLNYAFTPSEECLREALGAEALGPCTHFSKATFTRIATYQIAISALALLLVAKWSCQM
+>sp|Q7LGC8|CHST3_HUMAN Carbohydrate sulfotransferase 3 OS=Homo sapiens OX=9606 GN=CHST3 PE=1 SV=3
+MEKGLTLPQDCRDFVHSLKMRSKYALFLVFVVIVFVFIEKENKIISRVSDKLKQIPQALADANSTDPALILAENASLLSLSELDSAFSQLQSRLRNLSLQLGVEPAMEAAGEEEEEQRKEEEPPRPAVAGPRRHVLLMATTRTGSSFVGEFFNQQGNIFYLFEPLWHIERTVSFEPGGANAAGSALVYRDVLKQLFLCDLYVLEHFITPLPEDHLTQFMFRRGSSRSLCEDPVCTPFVKKVFEKYHCKNRRCGPLNVTLAAEACRRKEHMALKAVRIRQLEFLQPLAEDPRLDLRVIQLVRDPRAVLASRMVAFAGKYKTWKKWLDDEGQDGLREEEVQRLRGNCESIRLSAELGLRQPAWLRGRYMLVRYEDVARGPLQKAREMYRFAGIPLTPQVEDWIQKNTQAAHDGSGIYSTQKNSSEQFEKWRFSMPFKLAQVVQAACGPAMRLFGYKLARDAAALTNRSVSLLEERGTFWVT
+>DECOY_sp|Q7LGC8|CHST3_HUMAN Carbohydrate sulfotransferase 3 OS=Homo sapiens OX=9606 GN=CHST3 PE=1 SV=3
+TVWFTGREELLSVSRNTLAAADRALKYGFLRMAPGCAAQVVQALKFPMSFRWKEFQESSNKQTSYIGSGDHAAQTNKQIWDEVQPTLPIGAFRYMERAKQLPGRAVDEYRVLMYRGRLWAPQRLGLEASLRISECNGRLRQVEEERLGDQGEDDLWKKWTKYKGAFAVMRSALVARPDRVLQIVRLDLRPDEALPQLFELQRIRVAKLAMHEKRRCAEAALTVNLPGCRRNKCHYKEFVKKVFPTCVPDECLSRSSGRRFMFQTLHDEPLPTIFHELVYLDCLFLQKLVDRYVLASGAANAGGPEFSVTREIHWLPEFLYFINGQQNFFEGVFSSGTRTTAMLLVHRRPGAVAPRPPEEEKRQEEEEEGAAEMAPEVGLQLSLNRLRSQLQSFASDLESLSLLSANEALILAPDTSNADALAQPIQKLKDSVRSIIKNEKEIFVFVIVVFVLFLAYKSRMKLSHVFDRCDQPLTLGKEM
+>sp|Q9H2J1|CI037_HUMAN Uncharacterized protein ARRDC1-AS1 OS=Homo sapiens OX=9606 GN=ARRDC1-AS1 PE=2 SV=2
+MEFHYVAQADLELLTSSNPPASASQSTGITGGSHRARPGPVHFIDKVTDKPSHSHPFALKENWNLNPEPSSPPSPLFLEAPSRQASQHHGASPGAGTSAGCPFEKCCSTEPCLSGLGDVGRGEAASLRARPGSGASRGQGPGSRVSCRRDLGKPLHAPAGFSAGEVHTTPLGNLGA
+>DECOY_sp|Q9H2J1|CI037_HUMAN Uncharacterized protein ARRDC1-AS1 OS=Homo sapiens OX=9606 GN=ARRDC1-AS1 PE=2 SV=2
+AGLNGLPTTHVEGASFGAPAHLPKGLDRRCSVRSGPGQGRSAGSGPRARLSAAEGRGVDGLGSLCPETSCCKEFPCGASTGAGPSAGHHQSAQRSPAELFLPSPPSSPEPNLNWNEKLAFPHSHSPKDTVKDIFHVPGPRARHSGGTIGTSQSASAPPNSSTLLELDAQAVYHFEM
+>sp|Q5T8R8|CI066_HUMAN Uncharacterized protein C9orf66 OS=Homo sapiens OX=9606 GN=C9orf66 PE=2 SV=1
+MRHSVARPTRLPRRLSPFWDPATCKNLEGGAGEVVRGRDPRRLRTSRSTEILGEDLAGPSAGAAARPAAPPPQPREPGAPGLRRAPPRTRMDSSGLGPCSEAPLHTSAGLSGRNLRAAGGVLPVDLERERAALCARQSGHGPPAVRWLLGSRGAESGGLARRRVAAEHAQPSANLVCRSALETSAFPPSKPKSPRGRVRARSSDGRLRHPAWRAGSGGRGGRGPSAELASRYWGRRRALPGAADLRPKGARADDRRPLRAGRKLHLPEAARLPGNVGKSGEPHKAGEVGNHPRDS
+>DECOY_sp|Q5T8R8|CI066_HUMAN Uncharacterized protein C9orf66 OS=Homo sapiens OX=9606 GN=C9orf66 PE=2 SV=1
+SDRPHNGVEGAKHPEGSKGVNGPLRAAEPLHLKRGARLPRRDDARAGKPRLDAAGPLARRRGWYRSALEASPGRGGRGGSGARWAPHRLRGDSSRARVRGRPSKPKSPPFASTELASRCVLNASPQAHEAAVRRRALGGSEAGRSGLLWRVAPPGHGSQRACLAARERELDVPLVGGAARLNRGSLGASTHLPAESCPGLGSSDMRTRPPARRLGPAGPERPQPPPAAPRAAAGASPGALDEGLIETSRSTRLRRPDRGRVVEGAGGELNKCTAPDWFPSLRRPLRTPRAVSHRM
+>sp|Q96LT7|CI072_HUMAN Guanine nucleotide exchange C9orf72 OS=Homo sapiens OX=9606 GN=C9orf72 PE=1 SV=2
+MSTLCPPPSPAVAKTEIALSGKSPLLAATFAYWDNILGPRVRHIWAPKTEQVLLSDGEITFLANHTLNGEILRNAESGAIDVKFFVLSEKGVIIVSLIFDGNWNGDRSTYGLSIILPQTELSFYLPLHRVCVDRLTHIIRKGRIWMHKERQENVQKIILEGTERMEDQGQSIIPMLTGEVIPVMELLSSMKSHSVPEEIDIADTVLNDDDIGDSCHEGFLLNAISSHLQTCGCSVVVGSSAEKVNKIVRTLCLFLTPAERKCSRLCEAESSFKYESGLFVQGLLKDSTGSFVLPFRQVMYAPYPTTHIDVDVNTVKQMPPCHEHIYNQRRYMRSELTAFWRATSEEDMAQDTIIYTDESFTPDLNIFQDVLHRDTLVKAFLDQVFQLKPGLSLRSTFLAQFLLVLHRKALTLIKYIEDDTQKGKKPFKSLRNLKIDLDLTAEGDLNIIMALAEKIKPGLHSFIFGRPFYTSVQERDVLMTF
+>DECOY_sp|Q96LT7|CI072_HUMAN Guanine nucleotide exchange C9orf72 OS=Homo sapiens OX=9606 GN=C9orf72 PE=1 SV=2
+FTMLVDREQVSTYFPRGFIFSHLGPKIKEALAMIINLDGEATLDLDIKLNRLSKFPKKGKQTDDEIYKILTLAKRHLVLLFQALFTSRLSLGPKLQFVQDLFAKVLTDRHLVDQFINLDPTFSEDTYIITDQAMDEESTARWFATLESRMYRRQNYIHEHCPPMQKVTNVDVDIHTTPYPAYMVQRFPLVFSGTSDKLLGQVFLGSEYKFSSEAECLRSCKREAPTLFLCLTRVIKNVKEASSGVVVSCGCTQLHSSIANLLFGEHCSDGIDDDNLVTDAIDIEEPVSHSKMSSLLEMVPIVEGTLMPIISQGQDEMRETGELIIKQVNEQREKHMWIRGKRIIHTLRDVCVRHLPLYFSLETQPLIISLGYTSRDGNWNGDFILSVIIVGKESLVFFKVDIAGSEANRLIEGNLTHNALFTIEGDSLLVQETKPAWIHRVRPGLINDWYAFTAALLPSKGSLAIETKAVAPSPPPCLTSM
+>sp|Q5BN46|CI116_HUMAN UPF0691 protein C9orf116 OS=Homo sapiens OX=9606 GN=C9orf116 PE=1 SV=1
+MAEECPRACAEPVAPKATAPPERTSDYYRVSADLPGRFNNPGWFRGYRTQKAVSVYRTSNQAYGSRAPTVHEMPKVFYPNSNKFSQQLAAGGMFRNNTLNVYLEKSIVTGPDNCITSCDRLNFHPSYNINRPSICD
+>DECOY_sp|Q5BN46|CI116_HUMAN UPF0691 protein C9orf116 OS=Homo sapiens OX=9606 GN=C9orf116 PE=1 SV=1
+DCISPRNINYSPHFNLRDCSTICNDPGTVISKELYVNLTNNRFMGGAALQQSFKNSNPYFVKPMEHVTPARSGYAQNSTRYVSVAKQTRYGRFWGPNNFRGPLDASVRYYDSTREPPATAKPAVPEACARPCEEAM
+>sp|Q6ZV77|CI139_HUMAN Uncharacterized protein C9orf139 OS=Homo sapiens OX=9606 GN=C9orf139 PE=2 SV=1
+MALRGHPEPQPTNTPLSATVGGPISLFTQPRCHSAARDLVWSQAWPDPDVLEISMQTPGGSSCRKEAVLPRLRVTRPLVPEPAILPVCAARLAGSLATDLSRSHSLLPPWVDLKEPPPPSAPSLLLEDPGQGGCHGAQSCVGTCELANGARGFCPEMGQNESLSEERKGHESKRKSGGRGSPSSHPTQAS
+>DECOY_sp|Q6ZV77|CI139_HUMAN Uncharacterized protein C9orf139 OS=Homo sapiens OX=9606 GN=C9orf139 PE=2 SV=1
+SAQTPHSSPSGRGGSKRKSEHGKREESLSENQGMEPCFGRAGNALECTGVCSQAGHCGGQGPDELLLSPASPPPPEKLDVWPPLLSHSRSLDTALSGALRAACVPLIAPEPVLPRTVRLRPLVAEKRCSSGGPTQMSIELVDPDPWAQSWVLDRAASHCRPQTFLSIPGGVTASLPTNTPQPEPHGRLAM
+>sp|A0ZSE6|CC50C_HUMAN Cell cycle control protein 50C OS=Homo sapiens OX=9606 GN=TMEM30CP PE=2 SV=2
+MEERAQHCLSRLLDNSALKQQELPIHRLYFTARRVLFVFFATGIFCLCMGIILILSARSTQEIEINYTRICANCAKLRENASNFDKECTCSIPFYLSGKMMVGEIQETRLTLH
+>DECOY_sp|A0ZSE6|CC50C_HUMAN Cell cycle control protein 50C OS=Homo sapiens OX=9606 GN=TMEM30CP PE=2 SV=2
+HLTLRTEQIEGVMMKGSLYFPISCTCEKDFNSANERLKACNACIRTYNIEIEQTSRASLILIIGMCLCFIGTAFFVFLVRRATFYLRHIPLEQQKLASNDLLRSLCHQAREEM
+>sp|Q96PX6|CC85A_HUMAN Coiled-coil domain-containing protein 85A OS=Homo sapiens OX=9606 GN=CCDC85A PE=2 SV=3
+MSKAAGGAAAAAAAAESCSPAPAGSSAAPPAPVEDLSKVSDEELLQWSKEELIRSLRRAEAEKVSAMLDHSNLIREVNRRLQLHLGEIRGLKDINQKLQEDNQELRDLCCFLDDDRQKGKRVSREWQRLGRYTAGVMHKEVALYLQKLKDLEVKQEEVVKENMELKELCVLLDEEKGAGCAGSRCSIDSQASLCQLTASTAPYVRDVGDGSSTSSTGSTDSPDHHKHHASSGSPEHLQKPRSEGSPEHSKHRSASPEHPQKPRACGTPDRPKALKGPSPEHHKPLCKGSPEQQRHPHPGSSPETLPKHVLSGSPEHFQKHRSGSSPEHARHSGGSPEHLQKHALGGSLEHLPRARGTSPEHLKQHYGGSPDHKHGGGSGGSGGSGGGSREGTLRRQAQEDGSPHHRNVYSGMNESTLSYVRQLEARVRQLEEENRMLPQASQNRRQPPTRNSSNMEKGWGSRARRVLQWWQGCRGIGRCLPTLPGSFRLSSGADGSNSSPNSAASFSGHATPSQQPEPVVHSLKVVWRKLGDAAGSCPGIRQHLSGNQYKGPM
+>DECOY_sp|Q96PX6|CC85A_HUMAN Coiled-coil domain-containing protein 85A OS=Homo sapiens OX=9606 GN=CCDC85A PE=2 SV=3
+MPGKYQNGSLHQRIGPCSGAADGLKRWVVKLSHVVPEPQQSPTAHGSFSAASNPSSNSGDAGSSLRFSGPLTPLCRGIGRCGQWWQLVRRARSGWGKEMNSSNRTPPQRRNQSAQPLMRNEEELQRVRAELQRVYSLTSENMGSYVNRHHPSGDEQAQRRLTGERSGGGSGGSGGSGGGHKHDPSGGYHQKLHEPSTGRARPLHELSGGLAHKQLHEPSGGSHRAHEPSSGSRHKQFHEPSGSLVHKPLTEPSSGPHPHRQQEPSGKCLPKHHEPSPGKLAKPRDPTGCARPKQPHEPSASRHKSHEPSGESRPKQLHEPSGSSAHHKHHDPSDTSGTSSTSSGDGVDRVYPATSATLQCLSAQSDISCRSGACGAGKEEDLLVCLEKLEMNEKVVEEQKVELDKLKQLYLAVEKHMVGATYRGLRQWERSVRKGKQRDDDLFCCLDRLEQNDEQLKQNIDKLGRIEGLHLQLRRNVERILNSHDLMASVKEAEARRLSRILEEKSWQLLEEDSVKSLDEVPAPPAASSGAPAPSCSEAAAAAAAAGGAAKSM
+>sp|Q1T7F1|CCB42_HUMAN Putative chemokine-related protein B42 OS=Homo sapiens OX=9606 PE=5 SV=1
+MPLSDWCCGICEEAPLGRAYTQTWMETGCGPHGVTALGQQELKDCLRARSGGTASSVDWIMEAARGSLNVHNCLIKFGRRD
+>DECOY_sp|Q1T7F1|CCB42_HUMAN Putative chemokine-related protein B42 OS=Homo sapiens OX=9606 PE=5 SV=1
+DRRGFKILCNHVNLSGRAAEMIWDVSSATGGSRARLCDKLEQQGLATVGHPGCGTEMWTQTYARGLPAEECIGCCWDSLPM
+>sp|Q8WUD4|CCD12_HUMAN Coiled-coil domain-containing protein 12 OS=Homo sapiens OX=9606 GN=CCDC12 PE=1 SV=1
+MEATTAGVGRLEEEALRRKERLKALREKTGRKDKEDGEPKTKHLREEEEEGEKHRELRLRNYVPEDEDLKKRRVPQAKPVAVEEKVKEQLEAAKPEPVIEEVDLANLAPRKPDWDLKRDVAKKLEKLKKRTQRAIAELIRERLKGQEDSLASAVDAATEQKTCDSD
+>DECOY_sp|Q8WUD4|CCD12_HUMAN Coiled-coil domain-containing protein 12 OS=Homo sapiens OX=9606 GN=CCDC12 PE=1 SV=1
+DSDCTKQETAADVASALSDEQGKLRERILEAIARQTRKKLKELKKAVDRKLDWDPKRPALNALDVEEIVPEPKAAELQEKVKEEVAVPKAQPVRRKKLDEDEPVYNRLRLERHKEGEEEEERLHKTKPEGDEKDKRGTKERLAKLREKRRLAEEELRGVGATTAEM
+>sp|Q8IYE1|CCD13_HUMAN Coiled-coil domain-containing protein 13 OS=Homo sapiens OX=9606 GN=CCDC13 PE=1 SV=2
+MAADESSQNTLRLQFKAMQEMQHKRLQKQMEKKREKELSLKSRADDQEEPLEVSDGLSLLHAGEPNSKNSFEKRVLEDEIEHLRNELRETVDENGRLYKLLKERDFEIKHLKKKIEEDRFAFTGTAGVAGDVVATKIVELSKKNRLLMAESEGAKTRVKQLTNRIQELERELQTALTRLSAKGATDAGAKPPRAQMGDRALLETPEVKALQDRLVATNLKMSDLRNQIQSVKQELRMAQKVLAREVGEDINVQQLLSSPGTWRGRAQQILVLQSKVQELEKQLGQARSQSAGTASDELSVYPDPRKLSAQEKNLLRIRSLEREKQEGLEKLASERDVLQRELEELKKKFEGMRSRNKLLSSEMKTLKSQMGTLVEKGRHDDELIDALMDQLKQLQEILGSLSLQEEKTRVSQHHLDQQLNSEAQRSNSLVAQLQAMVAEREAKVRQLEMEIGQLNVHYLRNKGVGEGSSGREVSPAYTQFLEDPGLTKSPASAGDHVGRLGSSRSVTSLGHTLVESALTRPSLPSPHRTSPRFSDSPEQKGWQAQVSEIKALWQAAEVERDRLTEFVTVLQKRVEESNSKLLESERKLQEERHRTVVLEQHLEKIRLEPGKASASQRAAPRTKTGLPTSNNRHNPTGSEKKDPSFAQLSDVPVESQMEELTTRLAIQVEENEMLKAALGSALRGKEEDFRMYHEILGQVKSVFLQALRQQKTGKQ
+>DECOY_sp|Q8IYE1|CCD13_HUMAN Coiled-coil domain-containing protein 13 OS=Homo sapiens OX=9606 GN=CCDC13 PE=1 SV=2
+QKGTKQQRLAQLFVSKVQGLIEHYMRFDEEKGRLASGLAAKLMENEEVQIALRTTLEEMQSEVPVDSLQAFSPDKKESGTPNHRNNSTPLGTKTRPAARQSASAKGPELRIKELHQELVVTRHREEQLKRESELLKSNSEEVRKQLVTVFETLRDREVEAAQWLAKIESVQAQWGKQEPSDSFRPSTRHPSPLSPRTLASEVLTHGLSTVSRSSGLRGVHDGASAPSKTLGPDELFQTYAPSVERGSSGEGVGKNRLYHVNLQGIEMELQRVKAEREAVMAQLQAVLSNSRQAESNLQQDLHHQSVRTKEEQLSLSGLIEQLQKLQDMLADILEDDHRGKEVLTGMQSKLTKMESSLLKNRSRMGEFKKKLEELERQLVDRESALKELGEQKERELSRIRLLNKEQASLKRPDPYVSLEDSATGASQSRAQGLQKELEQVKSQLVLIQQARGRWTGPSSLLQQVNIDEGVERALVKQAMRLEQKVSQIQNRLDSMKLNTAVLRDQLAKVEPTELLARDGMQARPPKAGADTAGKASLRTLATQLERELEQIRNTLQKVRTKAGESEAMLLRNKKSLEVIKTAVVDGAVGATGTFAFRDEEIKKKLHKIEFDREKLLKYLRGNEDVTERLENRLHEIEDELVRKEFSNKSNPEGAHLLSLGDSVELPEEQDDARSKLSLEKERKKEMQKQLRKHQMEQMAKFQLRLTNQSSEDAAM
+>sp|Q49A88|CCD14_HUMAN Coiled-coil domain-containing protein 14 OS=Homo sapiens OX=9606 GN=CCDC14 PE=1 SV=3
+MKRGIRRDPFRKRKLGGRAKKVREPTAVNSFYREASLPSVWASLRRREMVRSGARPGQVLSSGRHTGPAKLTNGKKATYLRKIPRFNADSGYSIHSDSESQAETVHGLDGCASLLRDILRNEDSGSETAYLENRSNSRPLESKRYGSKKKRHEKHTIPLVVQKETSSSDNKKQIPNEASARSERDTSDLEQNWSLQDHYRMYSPIIYQALCEHVQTQMSLMNDLTSKNIPNGIPAVPCHAPSHSESQATPHSSYGLCTSTPVWSLQRPPCPPKVHSEVQTDGNSQFASQGKTVSATCTDVLRNSFNTSPGVPCSLPKTDISAIPTLQQLGLVNGILPQQGIHKETDLLKCIQTYLSLFRSHGKETHLDSQTHRSPTQSQPAFLATNEEKCAREQIREATSERKDLNIHVRDTKTVKDVQKAKNVNKTAEKVRIIKYLLGELKALVAEQEDSEIQRLITEMEACISVLPTVSGNTDIQVEIALAMQPLRSENAQLRRQLRILNQQLREQQKTQKPSGAVDCNLELFSLQSLNMSLQNQLEESLKSQELLQSKNEELLKVIENQKDENKKFSSIFKDKDQTILENKQQYDIEITRIKIELEEALVNVKSSQFKLETAEKENQILGITLRQRDAEVTRLRELTRTLQTSMAKLLSDLSVDSARCKPGNNLTKSLLNIHDKQLQHDPAPAHTSIMSYLNKLETNYSFTHSEPLSTIKNEETIEPDKTYENVLSSRGPQNSNTRGMEEASAPGIISALSKQDSDEGSETMALIEDEHNLDNTIYIPFARSTPEKKSPLSKRLSPQPQIRAATTQLVSNSGLAVSGKENKLCTPVICSSSTKEAEDAPEKLSRASDMKDTQLLKKIKEAIGKIPAATKEPEEQTACHGPSGCLSNSLQVKGNTVCDGSVFTSDLMSDWSISSFSTFTSRDEQDFRNGLAALDANIARLQKSLRTGLLEK
+>DECOY_sp|Q49A88|CCD14_HUMAN Coiled-coil domain-containing protein 14 OS=Homo sapiens OX=9606 GN=CCDC14 PE=1 SV=3
+KELLGTRLSKQLRAINADLAALGNRFDQEDRSTFTSFSSISWDSMLDSTFVSGDCVTNGKVQLSNSLCGSPGHCATQEEPEKTAAPIKGIAEKIKKLLQTDKMDSARSLKEPADEAEKTSSSCIVPTCLKNEKGSVALGSNSVLQTTAARIQPQPSLRKSLPSKKEPTSRAFPIYITNDLNHEDEILAMTESGEDSDQKSLASIIGPASAEEMGRTNSNQPGRSSLVNEYTKDPEITEENKITSLPESHTFSYNTELKNLYSMISTHAPAPDHQLQKDHINLLSKTLNNGPKCRASDVSLDSLLKAMSTQLTRTLERLRTVEADRQRLTIGLIQNEKEATELKFQSSKVNVLAEELEIKIRTIEIDYQQKNELITQDKDKFISSFKKNEDKQNEIVKLLEENKSQLLEQSKLSEELQNQLSMNLSQLSFLELNCDVAGSPKQTKQQERLQQNLIRLQRRLQANESRLPQMALAIEVQIDTNGSVTPLVSICAEMETILRQIESDEQEAVLAKLEGLLYKIIRVKEATKNVNKAKQVDKVTKTDRVHINLDKRESTAERIQERACKEENTALFAPQSQTPSRHTQSDLHTEKGHSRFLSLYTQICKLLDTEKHIGQQPLIGNVLGLQQLTPIASIDTKPLSCPVGPSTNFSNRLVDTCTASVTKGQSAFQSNGDTQVESHVKPPCPPRQLSWVPTSTCLGYSSHPTAQSESHSPAHCPVAPIGNPINKSTLDNMLSMQTQVHECLAQYIIPSYMRYHDQLSWNQELDSTDRESRASAENPIQKKNDSSSTEKQVVLPITHKEHRKKKSGYRKSELPRSNSRNELYATESGSDENRLIDRLLSACGDLGHVTEAQSESDSHISYGSDANFRPIKRLYTAKKGNTLKAPGTHRGSSLVQGPRAGSRVMERRRLSAWVSPLSAERYFSNVATPERVKKARGGLKRKRFPDRRIGRKM
+>sp|Q8N4L8|CCD24_HUMAN Coiled-coil domain-containing protein 24 OS=Homo sapiens OX=9606 GN=CCDC24 PE=1 SV=1
+MLRHSPSLWELVEEHVPLRERREVKRILGEAAVDLSLELRAEVAMLRALLQEARSSQAPSSRPISDPSSLLAPPPLLKDLLRQELRQLLQGLRHKAICEGRDQAQAWVQYSPRVLHFALEEPRCDLPEQEIFQMRGGGPSSGHRDLSIIKDQLNVSNIDQVARHLRGLLEEECHTLEREILILQRCLEEEYLRPCHPSEAALEPTLAELKEQKKAMEQELQASVGPSCVSPNHRQRPLGSSTQGLRPPLPLCGVAPLQCCLPAPPLEPYLRPRGQSATHRWGRQLQCSPREGPASTPMSSAAPQAPA
+>DECOY_sp|Q8N4L8|CCD24_HUMAN Coiled-coil domain-containing protein 24 OS=Homo sapiens OX=9606 GN=CCDC24 PE=1 SV=1
+APAQPAASSMPTSAPGERPSCQLQRGWRHTASQGRPRLYPELPPAPLCCQLPAVGCLPLPPRLGQTSSGLPRQRHNPSVCSPGVSAQLEQEMAKKQEKLEALTPELAAESPHCPRLYEEELCRQLILIERELTHCEEELLGRLHRAVQDINSVNLQDKIISLDRHGSSPGGGRMQFIEQEPLDCRPEELAFHLVRPSYQVWAQAQDRGECIAKHRLGQLLQRLEQRLLDKLLPPPALLSSPDSIPRSSPAQSSRAEQLLARLMAVEARLELSLDVAAEGLIRKVERRERLPVHEEVLEWLSPSHRLM
+>sp|Q8N5R6|CCD33_HUMAN Coiled-coil domain-containing protein 33 OS=Homo sapiens OX=9606 GN=CCDC33 PE=1 SV=3
+MAFRGPEPWVSASLLRQRLKAEEKTLDLEFEVLSVGFNEAGRYALRLSAENPLQVGSGAGVQLQVNDGDPFPACSAITDVIEQQEPGQSLTLTRSKFIFTLPKGFCKNDGQHDAQLHVEALRLDEPLGRAAQRVGEAIFPIYPRPDQPRMNPKAQDHEDLYRYCGNLALLRASTDPTARHCGSLAYSVAFHVHRGPQPPVSDSPPRAGQPELMSPEEPLIASQSTEPEIGHLSPSKKETIMVTLHGATNLPACKDGSEPWPYVVVKSTSEEKNNQSSKAVTSVTSEPTRAPIWGDTVNVEIQAEDAGQEDVILKVVDNRKKQELLSYKIPIKYLRVFHPYHFELVKPTESGKADEATAKTQLYATVVRKSSFIPRYIGCNHMALEIFLRGVNEPLANNPNPIVVIARVVPNYKEFKVSQANRDLASVGLPITPLSFPIPSMMNFDVPRVSQNGCPQLSKPGGPPEQPLWNQSFLFQGRDGATSFSEDTALVLEYYSSTSMKGSQPWTLNQPLGISVLPLKSRLYQKMLTGKGLDGLHVERLPIMDTSLKTINDEAPTVALSFQLLSSERPENFLTPNNSKALPTLDPKILDKKLRTIQESWSKDTVSSTMDLSTSTPREAEEEPLVPEMSHDTEMNNYRRAMQKMAEDILSLRRQASILEGENRILRSRLAQQEEEEGQGKASEAQNTVSMKQKLLLSELDMKKLRDRVQHLQNELIRKNDREKELLLLYQAQQPQAALLKQYQGKLQKMKALEETVRHQEKVIEKMERVLEDRLQDRSKPPPLNRQQGKPYTGFPMLSASGLPLGSMGENLPVELYSVLLAENAKLRTELDKNRHQQAPIILQQQALPDLLSGTSDKFNLLAKLEHAQSRILSLESQLEDSARRWGREKQDLATRLQEQEKGFRHPSNSIIIEQPSALTHSMDLKQPSELEPLLPSSDSKLNKPLSPQKETANSQQT
+>DECOY_sp|Q8N5R6|CCD33_HUMAN Coiled-coil domain-containing protein 33 OS=Homo sapiens OX=9606 GN=CCDC33 PE=1 SV=3
+TQQSNATEKQPSLPKNLKSDSSPLLPELESPQKLDMSHTLASPQEIIISNSPHRFGKEQEQLRTALDQKERGWRRASDELQSELSLIRSQAHELKALLNFKDSTGSLLDPLAQQQLIIPAQQHRNKDLETRLKANEALLVSYLEVPLNEGMSGLPLGSASLMPFGTYPKGQQRNLPPPKSRDQLRDELVREMKEIVKEQHRVTEELAKMKQLKGQYQKLLAAQPQQAQYLLLLEKERDNKRILENQLHQVRDRLKKMDLESLLLKQKMSVTNQAESAKGQGEEEEQQALRSRLIRNEGELISAQRRLSLIDEAMKQMARRYNNMETDHSMEPVLPEEEAERPTSTSLDMTSSVTDKSWSEQITRLKKDLIKPDLTPLAKSNNPTLFNEPRESSLLQFSLAVTPAEDNITKLSTDMIPLREVHLGDLGKGTLMKQYLRSKLPLVSIGLPQNLTWPQSGKMSTSSYYELVLATDESFSTAGDRGQFLFSQNWLPQEPPGGPKSLQPCGNQSVRPVDFNMMSPIPFSLPTIPLGVSALDRNAQSVKFEKYNPVVRAIVVIPNPNNALPENVGRLFIELAMHNCGIYRPIFSSKRVVTAYLQTKATAEDAKGSETPKVLEFHYPHFVRLYKIPIKYSLLEQKKRNDVVKLIVDEQGADEAQIEVNVTDGWIPARTPESTVSTVAKSSQNNKEESTSKVVVYPWPESGDKCAPLNTAGHLTVMITEKKSPSLHGIEPETSQSAILPEEPSMLEPQGARPPSDSVPPQPGRHVHFAVSYALSGCHRATPDTSARLLALNGCYRYLDEHDQAKPNMRPQDPRPYIPFIAEGVRQAARGLPEDLRLAEVHLQADHQGDNKCFGKPLTFIFKSRTLTLSQGPEQQEIVDTIASCAPFPDGDNVQLQVGAGSGVQLPNEASLRLAYRGAENFGVSLVEFELDLTKEEAKLRQRLLSASVWPEPGRFAM
+>sp|Q96ER9|CCD51_HUMAN Coiled-coil domain-containing protein 51 OS=Homo sapiens OX=9606 GN=CCDC51 PE=1 SV=2
+MMGRSPGFAMQHIVGVPHVLVRRGLLGRDLFMTRTLCSPGPSQPGEKRPEEVALGLHHRLPALGRALGHSIQQRATSTAKTWWDRYEEFVGLNEVREAQGKVTEAEKVFMVARGLVREAREDLEVHQAKLKEVRDRLDRVSREDSQYLELATLEHRMLQEEKRLRTAYLRAEDSEREKFSLFSAAVRESHEKERTRAERTKNWSLIGSVLGALIGVAGSTYVNRVRLQELKALLLEAQKGPVSLQEAIREQASSYSRQQRDLHNLMVDLRGLVHAAGPGQDSGSQAGSPPTRDRDVDVLSAALKEQLSHSRQVHSCLEGLREQLDGLEKTCSQMAGVVQLVKSAAHPGLVEPADGAMPSFLLEQGSMILALSDTEQRLEAQVNRNTIYSTLVTCVTFVATLPVLYMLFKAS
+>DECOY_sp|Q96ER9|CCD51_HUMAN Coiled-coil domain-containing protein 51 OS=Homo sapiens OX=9606 GN=CCDC51 PE=1 SV=2
+SAKFLMYLVPLTAVFTVCTVLTSYITNRNVQAELRQETDSLALIMSGQELLFSPMAGDAPEVLGPHAASKVLQVVGAMQSCTKELGDLQERLGELCSHVQRSHSLQEKLAASLVDVDRDRTPPSGAQSGSDQGPGAAHVLGRLDVMLNHLDRQQRSYSSAQERIAEQLSVPGKQAELLLAKLEQLRVRNVYTSGAVGILAGLVSGILSWNKTREARTREKEHSERVAASFLSFKERESDEARLYATRLRKEEQLMRHELTALELYQSDERSVRDLRDRVEKLKAQHVELDERAERVLGRAVMFVKEAETVKGQAERVENLGVFEEYRDWWTKATSTARQQISHGLARGLAPLRHHLGLAVEEPRKEGPQSPGPSCLTRTMFLDRGLLGRRVLVHPVGVIHQMAFGPSRGMM
+>sp|Q9Y6R9|CCD61_HUMAN Coiled-coil domain-containing protein 61 OS=Homo sapiens OX=9606 GN=CCDC61 PE=1 SV=3
+MDQPAGLQVDYVFRGVEHAVRVMVSGQVLELEVEDRMTADQWRGEFDAGFIEDLTHKTGNFKQFNIFCHMLESALTQSSESVTLDLLTYTDLESLRNRKMGGRPGSLAPRSAQLNSKRYLILIYSVEFDRIHYPLPLPYQGKPDPVVLQGIIRSLKEELGRLQGLDGQNTRDTRENEIWHLREQVSRLASEKRELEAQLGRSREEALAGRAARQEAEALRGLVRGLELELRQERGLGHRVAGRRGQDCRRLAKELEEAKASERSLRARLKTLTSELALYKRGRRTPPVQPPPTREDRASSSRERSASRGRGAARSSSRESGRGSRGRGRPARPSPSPTGGRALRFDPTAFVKAKERKQREIQMKQQQRNRLGSGGSGDGPSVSWSRQTQPPAALTGRGDAPNRSRNRSSSVDSFRSRCSSASSCSDLEDFSESLSRGGHRRRGKPPSPTPWSGSNMKSPPVERSHHQKSLANSGGWVPIKEYSSEHQAADMAEIDARLKALQEYMNRLDMRS
+>DECOY_sp|Q9Y6R9|CCD61_HUMAN Coiled-coil domain-containing protein 61 OS=Homo sapiens OX=9606 GN=CCDC61 PE=1 SV=3
+SRMDLRNMYEQLAKLRADIEAMDAAQHESSYEKIPVWGGSNALSKQHHSREVPPSKMNSGSWPTPSPPKGRRRHGGRSLSESFDELDSCSSASSCRSRFSDVSSSRNRSRNPADGRGTLAAPPQTQRSWSVSPGDGSGGSGLRNRQQQKMQIERQKREKAKVFATPDFRLARGGTPSPSPRAPRGRGRSGRGSERSSSRAAGRGRSASRERSSSARDERTPPPQVPPTRRGRKYLALESTLTKLRARLSRESAKAEELEKALRRCDQGRRGAVRHGLGREQRLELELGRVLGRLAEAEQRAARGALAEERSRGLQAELERKESALRSVQERLHWIENERTDRTNQGDLGQLRGLEEKLSRIIGQLVVPDPKGQYPLPLPYHIRDFEVSYILILYRKSNLQASRPALSGPRGGMKRNRLSELDTYTLLDLTVSESSQTLASELMHCFINFQKFNGTKHTLDEIFGADFEGRWQDATMRDEVELELVQGSVMVRVAHEVGRFVYDVQLGAPQDM
+>sp|Q8NA47|CCD63_HUMAN Coiled-coil domain-containing protein 63 OS=Homo sapiens OX=9606 GN=CCDC63 PE=2 SV=1
+MSVLKKNRRKDSDTPQEPSEKAKEQQAEAELRKLRQQFRKMVESRKSFKFRNQQKIASQYKEIKTLKTEQDEITLLLSLMKSSRNMNRSEKNYMELRLLLQTKEDYEALIKSLKVLLAELDEKILQMEKKIANQKQIFAKMQEANNPRKLQKQIHILETRLNLVTVHFDKMLTTNAKLRKEIEDLRFEKAAYDNVYQQLQHCLLMEKKTMNLAIEQSSQAYEQRVEAMARMAAMKDRQKKDTSQYNLEIRELERLYAHESKLKSFLLVKLNDRNEFEEQAKREEALKAKKHVKKNRGESFESYEVAHLRLLKLAESGNLNQLIEDFLAKEEKNFARFTYVTELNNDMEMMHKRTQRIQDEIILLRSQQKLSHDDNHSVLRQLEDKLRKTTEEADMYESKYGEVSKTLDLLKNSVEKLFKKINCDATKILVQLGETGKVTDINLPQYFAIIEKKTNDLLLLETYRRILEVEGAEAEIPPPFINPFWGGSALLKPPEPIKVIPPVLGADPFSDRLDDVEQPLDHSSLRQLVLDNYILKENRSKEVRGDSLPEKVDDFRSRKKVTM
+>DECOY_sp|Q8NA47|CCD63_HUMAN Coiled-coil domain-containing protein 63 OS=Homo sapiens OX=9606 GN=CCDC63 PE=2 SV=1
+MTVKKRSRFDDVKEPLSDGRVEKSRNEKLIYNDLVLQRLSSHDLPQEVDDLRDSFPDAGLVPPIVKIPEPPKLLASGGWFPNIFPPPIEAEAGEVELIRRYTELLLLDNTKKEIIAFYQPLNIDTVKGTEGLQVLIKTADCNIKKFLKEVSNKLLDLTKSVEGYKSEYMDAEETTKRLKDELQRLVSHNDDHSLKQQSRLLIIEDQIRQTRKHMMEMDNNLETVYTFRAFNKEEKALFDEILQNLNGSEALKLLRLHAVEYSEFSEGRNKKVHKKAKLAEERKAQEEFENRDNLKVLLFSKLKSEHAYLRELERIELNYQSTDKKQRDKMAAMRAMAEVRQEYAQSSQEIALNMTKKEMLLCHQLQQYVNDYAAKEFRLDEIEKRLKANTTLMKDFHVTVLNLRTELIHIQKQLKRPNNAEQMKAFIQKQNAIKKEMQLIKEDLEALLVKLSKILAEYDEKTQLLLRLEMYNKESRNMNRSSKMLSLLLTIEDQETKLTKIEKYQSAIKQQNRFKFSKRSEVMKRFQQRLKRLEAEAQQEKAKESPEQPTDSDKRRNKKLVSM
+>sp|Q6ZRK6|CCD73_HUMAN Coiled-coil domain-containing protein 73 OS=Homo sapiens OX=9606 GN=CCDC73 PE=2 SV=2
+MESNFNTESSSTFTLQSSSETLFSIQLLDFKTSLLEALEELRMRREAEIHYEEQIGKIIVETQELKWQKETLQNQKETLAEQHKEAMAVFKKQLQMKMCALEEEKGKYQLATEIKEKEIEGLKETLKALQVSKYSLQKKVSEMEQKVQLHLLAKEDYHKQLSEIEKYYATITGQFGLVKENHEKLEQNVREAIQSNKRLSALNKKQEAEICSLKKELKKAASDLIKSKVTCQYKMGEENINLTIKEQKFQELQERLNMELELNEKINEEITHIQEEKQDIIISFQHMQQLLRQQIQANTEMEAELKVLKENNQTLERDNELQREKVKENEEKFLNLQNEHEKALGTWKRHAEELNGEINKIKNELSSLKETHIKLQEHYNKLCNQKTFEEDKKFQNVPEVNNENSEMSTEKSENTIIQKYNTEQEIREENMENFCSDTEYREKEEKKEGSFIEEIIIDDLQLFEKSFKNEIDTVVSQDENQSEISLSKTLSLDKEVISQGQTSNVTDNRKSVTTEIKDKICLEKDNGCTEFKSPNNHFVVLDTAIETEKIHLERTRGLDVHHTDVNLEVENNKTSFNSILNETAHNTYHNNNKDVSENEPFKQFRLLPGTREHALEKEITNSDQTKADLDSSLDIKKNPVPCQKYSLRNSSNVMLDDKQCKIKQIQLLTKKSECSILLSKQTSDFLQVCNDTLEKSELTVPCDIVIDHHVSYAAFSANSKLLLKNSDKNVHSMSMLVKPNSSPGGKTMCKNMSDMQNSQFNNCLGYLENTNVNISHLHLNNENSHASQAKDVKTAVHMKTCTETEFSNKKNQIDENQVTEATKNDLFLFVSINERQHTLLNNTEKTESLNDIVSGKMFSEGQLEESHSFHIEPSGDLVNRSGRSTFDLSTSDKKTEKTPVYMNFSDPGPWSKVNHIESQTASSSTPCISLLLKERPLDPSENKKIISMALCKNIGVDDVGKDIGPDTTSINRVADTLNNWSIHPDPKGEPSEEKNAMAKTFYDSSFPTEHVKTKPLISTPLQSHLQAIKTTKNTSGDDDWQSLITNQLNKSENLLSLENDNQPKKRKAEETLEKNNRLK
+>DECOY_sp|Q6ZRK6|CCD73_HUMAN Coiled-coil domain-containing protein 73 OS=Homo sapiens OX=9606 GN=CCDC73 PE=2 SV=2
+KLRNNKELTEEAKRKKPQNDNELSLLNESKNLQNTILSQWDDDGSTNKTTKIAQLHSQLPTSILPKTKVHETPFSSDYFTKAMANKEESPEGKPDPHISWNNLTDAVRNISTTDPGIDKGVDDVGINKCLAMSIIKKNESPDLPREKLLLSICPTSSSATQSEIHNVKSWPGPDSFNMYVPTKETKKDSTSLDFTSRGSRNVLDGSPEIHFSHSEELQGESFMKGSVIDNLSETKETNNLLTHQRENISVFLFLDNKTAETVQNEDIQNKKNSFETETCTKMHVATKVDKAQSAHSNENNLHLHSINVNTNELYGLCNNFQSNQMDSMNKCMTKGGPSSNPKVLMSMSHVNKDSNKLLLKSNASFAAYSVHHDIVIDCPVTLESKELTDNCVQLFDSTQKSLLISCESKKTLLQIQKIKCQKDDLMVNSSNRLSYKQCPVPNKKIDLSSDLDAKTQDSNTIEKELAHERTGPLLRFQKFPENESVDKNNNHYTNHATENLISNFSTKNNEVELNVDTHHVDLGRTRELHIKETEIATDLVVFHNNPSKFETCGNDKELCIKDKIETTVSKRNDTVNSTQGQSIVEKDLSLTKSLSIESQNEDQSVVTDIENKFSKEFLQLDDIIIEEIFSGEKKEEKERYETDSCFNEMNEERIEQETNYKQIITNESKETSMESNENNVEPVNQFKKDEEFTKQNCLKNYHEQLKIHTEKLSSLENKIKNIEGNLEEAHRKWTGLAKEHENQLNLFKEENEKVKERQLENDRELTQNNEKLVKLEAEMETNAQIQQRLLQQMHQFSIIIDQKEEQIHTIEENIKENLELEMNLREQLEQFKQEKITLNINEEGMKYQCTVKSKILDSAAKKLEKKLSCIEAEQKKNLASLRKNSQIAERVNQELKEHNEKVLGFQGTITAYYKEIESLQKHYDEKALLHLQVKQEMESVKKQLSYKSVQLAKLTEKLGEIEKEKIETALQYKGKEEELACMKMQLQKKFVAMAEKHQEALTEKQNQLTEKQWKLEQTEVIIKGIQEEYHIEAERRMRLEELAELLSTKFDLLQISFLTESSSQLTFTSSSETNFNSEM
+>sp|A2IDD5|CCD78_HUMAN Coiled-coil domain-containing protein 78 OS=Homo sapiens OX=9606 GN=CCDC78 PE=2 SV=1
+MEHAATTGPRPGPPSRRVENVVLRAKDWLPGAPGGTAVWATSLEAEVPPDLALNKEQQLQISKELVDIQITTHHLHEQHEAEIFQLKSEILRLESRVLELELRGDGTSQGCAVPVESDPRHPRAAAQELRHKAQVPGHSDDHRFQVQPKNTMNPENEQHRLGSGLQGEVKWALEHQEARQQALVTRVATLGRQLQGAREEARAAGQRLATQAVVLCSCQGQLRQAEAENARLQLQLKKLKDEYVLRLQHCAWQAVEHADGAGQAPATTALRTFLEATLEDIRAAHRSREQQLARAARSYHKRLVDLSRRHEELLVAYRAPGNPQAIFDIASLDLEPLPVPLVTDFSHREDQHGGPGALLSSPKKRPGGASQGGTSEPQGLDAASWAQIHQKLRDFSRSTQSWNGSGHSCWSGPRWLKSNFLSYRSTWTSTWAGTSTKS
+>DECOY_sp|A2IDD5|CCD78_HUMAN Coiled-coil domain-containing protein 78 OS=Homo sapiens OX=9606 GN=CCDC78 PE=2 SV=1
+SKTSTGAWTSTWTSRYSLFNSKLWRPGSWCSHGSGNWSQTSRSFDRLKQHIQAWSAADLGQPESTGGQSAGGPRKKPSSLLAGPGGHQDERHSFDTVLPVPLPELDLSAIDFIAQPNGPARYAVLLEEHRRSLDVLRKHYSRAARALQQERSRHAARIDELTAELFTRLATTAPAQGAGDAHEVAQWACHQLRLVYEDKLKKLQLQLRANEAEAQRLQGQCSCLVVAQTALRQGAARAEERAGQLQRGLTAVRTVLAQQRAEQHELAWKVEGQLGSGLRHQENEPNMTNKPQVQFRHDDSHGPVQAKHRLEQAAARPHRPDSEVPVACGQSTGDGRLELELVRSELRLIESKLQFIEAEHQEHLHHTTIQIDVLEKSIQLQQEKNLALDPPVEAELSTAWVATGGPAGPLWDKARLVVNEVRRSPPGPRPGTTAAHEM
+>sp|Q6ZN84|CCD81_HUMAN Coiled-coil domain-containing protein 81 OS=Homo sapiens OX=9606 GN=CCDC81 PE=2 SV=2
+MLDTIARALQDLGRQVLPTLPSLSQEEVSIIWGNVSEFVRRQLTLHKGVQIPAFGTFTFIRQKLEVGNNKFILIQRPVFIMVEKLVQIHGLKQNKVYTPGEIPIVPLNFVMISLEGPFNRDVVEGCVKETLLFLSRSISMKQNVEFTFKGIGVLMIRDSKVKMRFYKDFLCTMDGSGALAKALANRPGTVDSVLSSREALRKWPSSVLAFPRIELKEMENKLPMETLVEECGENRERKCKLKDQSDKEEGTRDISSPKRLRDRQALFPAKVTNVSLLEKFERSESGGKIMTPESLSYPSCLKHDSEMKPQTSPACQDHNKAGQEMCYVCLQRAQRNSLLYYSEERRREIEDERLIQQYQMLKDQEALFRHQMKSLATREQNQKNAAYNLGVAEAIRNHKNEKPEFYKSFLFDKRPLSPALNALKQEEYSRSLLKQMDNRQENEIKQRQYRELMDRLEQVQLTEELAAQRAKFLKDKMEETQCYKRALDAQIKNKPSRLPPFEPDSSEPIFGKNEGELMVEKQKREQNYMKHQLEAAANHKRKAILHQLVDQRRDLQMLQRTQREHLADRTAELERVNRVNQCLQEDWERSAAMKKQRDLEDKAFERASDKLFLLDQCEKYRRCKQCQRRTSNVGESNLWPLNKFLPGSRLLV
+>DECOY_sp|Q6ZN84|CCD81_HUMAN Coiled-coil domain-containing protein 81 OS=Homo sapiens OX=9606 GN=CCDC81 PE=2 SV=2
+VLLRSGPLFKNLPWLNSEGVNSTRRQCQKCRRYKECQDLLFLKDSAREFAKDELDRQKKMAASREWDEQLCQNVRNVRELEATRDALHERQTRQLMQLDRRQDVLQHLIAKRKHNAAAELQHKMYNQERKQKEVMLEGENKGFIPESSDPEFPPLRSPKNKIQADLARKYCQTEEMKDKLFKARQAALEETLQVQELRDMLERYQRQKIENEQRNDMQKLLSRSYEEQKLANLAPSLPRKDFLFSKYFEPKENKHNRIAEAVGLNYAANKQNQERTALSKMQHRFLAEQDKLMQYQQILREDEIERRREESYYLLSNRQARQLCVYCMEQGAKNHDQCAPSTQPKMESDHKLCSPYSLSEPTMIKGGSESREFKELLSVNTVKAPFLAQRDRLRKPSSIDRTGEEKDSQDKLKCKRERNEGCEEVLTEMPLKNEMEKLEIRPFALVSSPWKRLAERSSLVSDVTGPRNALAKALAGSGDMTCLFDKYFRMKVKSDRIMLVGIGKFTFEVNQKMSISRSLFLLTEKVCGEVVDRNFPGELSIMVFNLPVIPIEGPTYVKNQKLGHIQVLKEVMIFVPRQILIFKNNGVELKQRIFTFTGFAPIQVGKHLTLQRRVFESVNGWIISVEEQSLSPLTPLVQRGLDQLARAITDLM
+>sp|P14635|CCNB1_HUMAN G2/mitotic-specific cyclin-B1 OS=Homo sapiens OX=9606 GN=CCNB1 PE=1 SV=1
+MALRVTRNSKINAENKAKINMAGAKRVPTAPAATSKPGLRPRTALGDIGNKVSEQLQAKMPMKKEAKPSATGKVIDKKLPKPLEKVPMLVPVPVSEPVPEPEPEPEPEPVKEEKLSPEPILVDTASPSPMETSGCAPAEEDLCQAFSDVILAVNDVDAEDGADPNLCSEYVKDIYAYLRQLEEEQAVRPKYLLGREVTGNMRAILIDWLVQVQMKFRLLQETMYMTVSIIDRFMQNNCVPKKMLQLVGVTAMFIASKYEEMYPPEIGDFAFVTDNTYTKHQIRQMEMKILRALNFGLGRPLPLHFLRRASKIGEVDVEQHTLAKYLMELTMLDYDMVHFPPSQIAAGAFCLALKILDNGEWTPTLQHYLSYTEESLLPVMQHLAKNVVMVNQGLTKHMTVKNKYATSKHAKISTLPQLNSALVQDLAKAVAKV
+>DECOY_sp|P14635|CCNB1_HUMAN G2/mitotic-specific cyclin-B1 OS=Homo sapiens OX=9606 GN=CCNB1 PE=1 SV=1
+VKAVAKALDQVLASNLQPLTSIKAHKSTAYKNKVTMHKTLGQNVMVVNKALHQMVPLLSEETYSLYHQLTPTWEGNDLIKLALCFAGAAIQSPPFHVMDYDLMTLEMLYKALTHQEVDVEGIKSARRLFHLPLPRGLGFNLARLIKMEMQRIQHKTYTNDTVFAFDGIEPPYMEEYKSAIFMATVGVLQLMKKPVCNNQMFRDIISVTMYMTEQLLRFKMQVQVLWDILIARMNGTVERGLLYKPRVAQEEELQRLYAYIDKVYESCLNPDAGDEADVDNVALIVDSFAQCLDEEAPACGSTEMPSPSATDVLIPEPSLKEEKVPEPEPEPEPEPVPESVPVPVLMPVKELPKPLKKDIVKGTASPKAEKKMPMKAQLQESVKNGIDGLATRPRLGPKSTAAPATPVRKAGAMNIKAKNEANIKSNRTVRLAM
+>sp|Q8WWL7|CCNB3_HUMAN G2/mitotic-specific cyclin-B3 OS=Homo sapiens OX=9606 GN=CCNB3 PE=1 SV=2
+MLLPLPPQSSKPVPKKSQSSKIVPSHHDPSEKTGENCQTKISPSSLQESPSSLQGALKKRSAFEDLTNASQCQPVQPKKEANKEFVKVVSKKINRNTHALGLAKKNKRNLKWHKLEVTPVVASTTVVPNIMEKPLILDISTTSKTPNTEEASLFRKPLVLKEEPTIEDETLINKSLSLKKCSNHEEVSLLEKLQPLQEESDSDDAFVIEPMTFKKTHKTEEAAITKKTLSLKKKMCASQRKQSCQEESLAVQDVNMEEDSFFMESMSFKKKPKTEESIPTHKLSSLKKKCTIYGKICHFRKPPVLQTTICGAMSSIKKPTTEKETLFQELSVLQEKHTTEHEMSILKKSLALQKTNFKEDSLVKESLAFKKKPSTEEAIMMPVILKEQCMTEGKRSRLKPLVLQEITSGEKSLIMKPLSIKEKPSTEKESFSQEPSALQKKHTTQEEVSILKEPSSLLKSPTEESPFDEALAFTKKCTIEEAPPTKKPLILKRKHATQGTMSHLKKPLILQTTSGEKSLIKEPLPFKEEKVSLKKKCTTQEMMSICPELLDFQDMIGEDKNSFFMEPMSFRKNPTTEETVLTKTSLSLQEKKITQGKMSHLKKPLVLQKITSEEESFYKKLLPFKMKSTTEEKFLSQEPSALKEKHTTLQEVSLSKESLAIQEKATTEEEFSQELFSLHVKHTNKSGSLFQEALVLQEKTDAEEDSLKNLLALQEKSTMEEESLINKLLALKEELSAEAATNIQTQLSLKKKSTSHGKVFFLKKQLALNETINEEEFLNKQPLALEGYPSIAEGETLFKKLLAMQEEPSIEKEAVLKEPTIDTEAHFKEPLALQEEPSTEKEAVLKEPSVDTEAHFKETLALQEKPSIEQEALFKRHSALWEKPSTEKETIFKESLDLQEKPSIKKETLLKKPLALKMSTINEAVLFEDMIALNEKPTTGKELSFKEPLALQESPTYKEDTFLKTLLVPQVGTSPNVSSTAPESITSKSSIATMTSVGKSGTINEAFLFEDMITLNEKPTTGKELSFKEPLALQESPTCKEDTFLETFLIPQIGTSPYVFSTTPESITEKSSIATMTSVGKSRTTTESSACESASDKPVSPQAKGTPKEITPREDIDEDSSDPSFNPMYAKEIFSYMKEREEQFILTDYMNRQIEITSDMRAILVDWLVEVQVSFEMTHETLYLAVKLVDLYLMKAVCKKDKLQLLGATAFMIAAKFEEHNSPRVDDFVYICDDNYQRSEVLSMEINILNVLKCDINIPIAYHFLRRYARCIHTNMKTLTLSRYICEMTLQEYHYVQEKASKLAAASLLLALYMKKLGYWVPFLEHYSGYSISELHPLVRQLNKLLTFSSYDSLKAVYYKYSHPVFFEVAKIPALDMLKLEEILNCDCEAQGLVL
+>DECOY_sp|Q8WWL7|CCNB3_HUMAN G2/mitotic-specific cyclin-B3 OS=Homo sapiens OX=9606 GN=CCNB3 PE=1 SV=2
+LVLGQAECDCNLIEELKLMDLAPIKAVEFFVPHSYKYYVAKLSDYSSFTLLKNLQRVLPHLESISYGSYHELFPVWYGLKKMYLALLLSAAALKSAKEQVYHYEQLTMECIYRSLTLTKMNTHICRAYRRLFHYAIPINIDCKLVNLINIEMSLVESRQYNDDCIYVFDDVRPSNHEEFKAAIMFATAGLLQLKDKKCVAKMLYLDVLKVALYLTEHTMEFSVQVEVLWDVLIARMDSTIEIQRNMYDTLIFQEEREKMYSFIEKAYMPNFSPDSSDEDIDERPTIEKPTGKAQPSVPKDSASECASSETTTRSKGVSTMTAISSKETISEPTTSFVYPSTGIQPILFTELFTDEKCTPSEQLALPEKFSLEKGTTPKENLTIMDEFLFAENITGSKGVSTMTAISSKSTISEPATSSVNPSTGVQPVLLTKLFTDEKYTPSEQLALPEKFSLEKGTTPKENLAIMDEFLVAENITSMKLALPKKLLTEKKISPKEQLDLSEKFITEKETSPKEWLASHRKFLAEQEISPKEQLALTEKFHAETDVSPEKLVAEKETSPEEQLALPEKFHAETDITPEKLVAEKEISPEEQMALLKKFLTEGEAISPYGELALPQKNLFEEENITENLALQKKLFFVKGHSTSKKKLSLQTQINTAAEASLEEKLALLKNILSEEEMTSKEQLALLNKLSDEEADTKEQLVLAEQFLSGSKNTHKVHLSFLEQSFEEETTAKEQIALSEKSLSVEQLTTHKEKLASPEQSLFKEETTSKMKFPLLKKYFSEEESTIKQLVLPKKLHSMKGQTIKKEQLSLSTKTLVTEETTPNKRFSMPEMFFSNKDEGIMDQFDLLEPCISMMEQTTCKKKLSVKEEKFPLPEKILSKEGSTTQLILPKKLHSMTGQTAHKRKLILPKKTPPAEEITCKKTFALAEDFPSEETPSKLLSSPEKLISVEEQTTHKKQLASPEQSFSEKETSPKEKISLPKMILSKEGSTIEQLVLPKLRSRKGETMCQEKLIVPMMIAEETSPKKKFALSEKVLSDEKFNTKQLALSKKLISMEHETTHKEQLVSLEQFLTEKETTPKKISSMAGCITTQLVPPKRFHCIKGYITCKKKLSSLKHTPISEETKPKKKFSMSEMFFSDEEMNVDQVALSEEQCSQKRQSACMKKKLSLTKKTIAAEETKHTKKFTMPEIVFADDSDSEEQLPQLKELLSVEEHNSCKKLSLSKNILTEDEITPEEKLVLPKRFLSAEETNPTKSTTSIDLILPKEMINPVVTTSAVVPTVELKHWKLNRKNKKALGLAHTNRNIKKSVVKVFEKNAEKKPQVPQCQSANTLDEFASRKKLAGQLSSPSEQLSSPSIKTQCNEGTKESPDHHSPVIKSSQSKKPVPKSSQPPLPLLM
+>sp|P24864|CCNE1_HUMAN G1/S-specific cyclin-E1 OS=Homo sapiens OX=9606 GN=CCNE1 PE=1 SV=2
+MPRERRERDAKERDTMKEDGGAEFSARSRKRKANVTVFLQDPDEEMAKIDRTARDQCGSQPWDNNAVCADPCSLIPTPDKEDDDRVYPNSTCKPRIIAPSRGSPLPVLSWANREEVWKIMLNKEKTYLRDQHFLEQHPLLQPKMRAILLDWLMEVCEVYKLHRETFYLAQDFFDRYMATQENVVKTLLQLIGISSLFIAAKLEEIYPPKLHQFAYVTDGACSGDEILTMELMIMKALKWRLSPLTIVSWLNVYMQVAYLNDLHEVLLPQYPQQIFIQIAELLDLCVLDVDCLEFPYGILAASALYHFSSSELMQKVSGYQWCDIENCVKWMVPFAMVIRETGSSKLKHFRGVADEDAHNIQTHRDSLDLLDKARAKKAMLSEQNRASPLPSGLLTPPQSGKKQSSGPEMA
+>DECOY_sp|P24864|CCNE1_HUMAN G1/S-specific cyclin-E1 OS=Homo sapiens OX=9606 GN=CCNE1 PE=1 SV=2
+AMEPGSSQKKGSQPPTLLGSPLPSARNQESLMAKKARAKDLLDLSDRHTQINHADEDAVGRFHKLKSSGTERIVMAFPVMWKVCNEIDCWQYGSVKQMLESSSFHYLASAALIGYPFELCDVDLVCLDLLEAIQIFIQQPYQPLLVEHLDNLYAVQMYVNLWSVITLPSLRWKLAKMIMLEMTLIEDGSCAGDTVYAFQHLKPPYIEELKAAIFLSSIGILQLLTKVVNEQTAMYRDFFDQALYFTERHLKYVECVEMLWDLLIARMKPQLLPHQELFHQDRLYTKEKNLMIKWVEERNAWSLVPLPSGRSPAIIRPKCTSNPYVRDDDEKDPTPILSCPDACVANNDWPQSGCQDRATRDIKAMEEDPDQLFVTVNAKRKRSRASFEAGGDEKMTDREKADRERRERPM
+>sp|Q14094|CCNI_HUMAN Cyclin-I OS=Homo sapiens OX=9606 GN=CCNI PE=1 SV=1
+MKFPGPLENQRLSFLLEKAITREAQMWKVNVRKMPSNQNVSPSQRDEVIQWLAKLKYQFNLYPETFALASSLLDRFLATVKAHPKYLSCIAISCFFLAAKTVEEDERIPVLKVLARDSFCGCSSSEILRMERIILDKLNWDLHTATPLDFLHIFHAIAVSTRPQLLFSLPKLSPSQHLAVLTKQLLHCMACNQLLQFRGSMLALAMVSLEMEKLIPDWLSLTIELLQKAQMDSSQLIHCRELVAHHLSTLQSSLPLNSVYVYRPLKHTLVTCDKGVFRLHPSSVPGPDFSKDNSKPEVPVRGTAAFYHHLPAASGCKQTSTKRKVEEMEVDDFYDGIKRLYNEDNVSENVGSVCGTDLSRQEGHASPCPPLQPVSVM
+>DECOY_sp|Q14094|CCNI_HUMAN Cyclin-I OS=Homo sapiens OX=9606 GN=CCNI PE=1 SV=1
+MVSVPQLPPCPSAHGEQRSLDTGCVSGVNESVNDENYLRKIGDYFDDVEMEEVKRKTSTQKCGSAAPLHHYFAATGRVPVEPKSNDKSFDPGPVSSPHLRFVGKDCTVLTHKLPRYVYVSNLPLSSQLTSLHHAVLERCHILQSSDMQAKQLLEITLSLWDPILKEMELSVMALALMSGRFQLLQNCAMCHLLQKTLVALHQSPSLKPLSFLLQPRTSVAIAHFIHLFDLPTATHLDWNLKDLIIREMRLIESSSCGCFSDRALVKLVPIREDEEVTKAALFFCSIAICSLYKPHAKVTALFRDLLSSALAFTEPYLNFQYKLKALWQIVEDRQSPSVNQNSPMKRVNVKWMQAERTIAKELLFSLRQNELPGPFKM
+>sp|Q96S94|CCNL2_HUMAN Cyclin-L2 OS=Homo sapiens OX=9606 GN=CCNL2 PE=1 SV=1
+MAAAAAAAGAAGSAAPAAAAGAPGSGGAPSGSQGVLIGDRLYSGVLITLENCLLPDDKLRFTPSMSSGLDTDTETDLRVVGCELIQAAGILLRLPQVAMATGQVLFQRFFYTKSFVKHSMEHVSMACVHLASKIEEAPRRIRDVINVFHRLRQLRDKKKPVPLLLDQDYVNLKNQIIKAERRVLKELGFCVHVKHPHKIIVMYLQVLECERNQHLVQTSWNYMNDSLRTDVFVRFQPESIACACIYLAARTLEIPLPNRPHWFLLFGATEEEIQEICLKILQLYARKKVDLTHLEGEVEKRKHAIEEAKAQARGLLPGGTQVLDGTSGFSPAPKLVESPKEGKGSKPSPLSVKNTKRRLEGAKKAKADSPVNGLPKGRESRSRSRSREQSYSRSPSRSASPKRRKSDSGSTSGGSKSQSRSRSRSDSPPRQAPRSAPYKGSEIRGSRKSKDCKYPQKPHKSRSRSSSRSRSRSRERADNPGKYKKKSHYYRDQRRERSRSYERTGRRYERDHPGHSRHRR
+>DECOY_sp|Q96S94|CCNL2_HUMAN Cyclin-L2 OS=Homo sapiens OX=9606 GN=CCNL2 PE=1 SV=1
+RRHRSHGPHDREYRRGTREYSRSRERRQDRYYHSKKKYKGPNDARERSRSRSRSSSRSRSKHPKQPYKCDKSKRSGRIESGKYPASRPAQRPPSDSRSRSRSQSKSGGSTSGSDSKRRKPSASRSPSRSYSQERSRSRSRSERGKPLGNVPSDAKAKKAGELRRKTNKVSLPSPKSGKGEKPSEVLKPAPSFGSTGDLVQTGGPLLGRAQAKAEEIAHKRKEVEGELHTLDVKKRAYLQLIKLCIEQIEEETAGFLLFWHPRNPLPIELTRAALYICACAISEPQFRVFVDTRLSDNMYNWSTQVLHQNRECELVQLYMVIIKHPHKVHVCFGLEKLVRREAKIIQNKLNVYDQDLLLPVPKKKDRLQRLRHFVNIVDRIRRPAEEIKSALHVCAMSVHEMSHKVFSKTYFFRQFLVQGTAMAVQPLRLLIGAAQILECGVVRLDTETDTDLGSSMSPTFRLKDDPLLCNELTILVGSYLRDGILVGQSGSPAGGSGPAGAAAAPAASGAAGAAAAAAAM
+>sp|O60583|CCNT2_HUMAN Cyclin-T2 OS=Homo sapiens OX=9606 GN=CCNT2 PE=1 SV=2
+MASGRGASSRWFFTREQLENTPSRRCGVEADKELSCRQQAANLIQEMGQRLNVSQLTINTAIVYMHRFYMHHSFTKFNKNIISSTALFLAAKVEEQARKLEHVIKVAHACLHPLEPLLDTKCDAYLQQTQELVILETIMLQTLGFEITIEHPHTDVVKCTQLVRASKDLAQTSYFMATNSLHLTTFCLQYKPTVIACVCIHLACKWSNWEIPVSTDGKHWWEYVDPTVTLELLDELTHEFLQILEKTPNRLKKIRNWRANQAARKPKVDGQVSETPLLGSSLVQNSILVDSVTGVPTNPSFQKPSTSAFPAPVPLNSGNISVQDSHTSDNLSMLATGMPSTSYGLSSHQEWPQHQDSARTEQLYSQKQETSLSGSQYNINFQQGPSISLHSGLHHRPDKISDHSSVKQEYTHKAGSSKHHGPISTTPGIIPQKMSLDKYREKRKLETLDLDVRDHYIAAQVEQQHKQGQSQAASSSSVTSPIKMKIPIANTEKYMADKKEKSGSLKLRIPIPPTDKSASKEELKMKIKVSSSERHSSSDEGSGKSKHSSPHISRDHKEKHKEHPSSRHHTSSHKHSHSHSGSSSGGSKHSADGIPPTVLRSPVGLSSDGISSSSSSSRKRLHVNDASHNHHSKMSKSSKSSGSSSSSSSSVKQYISSHNSVFNHPLPPPPPVTYQVGYGHLSTLVKLDKKPVETNGPDANHEYSTSSQHMDYKDTFDMLDSLLSAQGMNM
+>DECOY_sp|O60583|CCNT2_HUMAN Cyclin-T2 OS=Homo sapiens OX=9606 GN=CCNT2 PE=1 SV=2
+MNMGQASLLSDLMDFTDKYDMHQSSTSYEHNADPGNTEVPKKDLKVLTSLHGYGVQYTVPPPPPLPHNFVSNHSSIYQKVSSSSSSSSGSSKSSKSMKSHHNHSADNVHLRKRSSSSSSSIGDSSLGVPSRLVTPPIGDASHKSGGSSSGSHSHSHKHSSTHHRSSPHEKHKEKHDRSIHPSSHKSKGSGEDSSSHRESSSVKIKMKLEEKSASKDTPPIPIRLKLSGSKEKKDAMYKETNAIPIKMKIPSTVSSSSAAQSQGQKHQQEVQAAIYHDRVDLDLTELKRKERYKDLSMKQPIIGPTTSIPGHHKSSGAKHTYEQKVSSHDSIKDPRHHLGSHLSISPGQQFNINYQSGSLSTEQKQSYLQETRASDQHQPWEQHSSLGYSTSPMGTALMSLNDSTHSDQVSINGSNLPVPAPFASTSPKQFSPNTPVGTVSDVLISNQVLSSGLLPTESVQGDVKPKRAAQNARWNRIKKLRNPTKELIQLFEHTLEDLLELTVTPDVYEWWHKGDTSVPIEWNSWKCALHICVCAIVTPKYQLCFTTLHLSNTAMFYSTQALDKSARVLQTCKVVDTHPHEITIEFGLTQLMITELIVLEQTQQLYADCKTDLLPELPHLCAHAVKIVHELKRAQEEVKAALFLATSSIINKNFKTFSHHMYFRHMYVIATNITLQSVNLRQGMEQILNAAQQRCSLEKDAEVGCRRSPTNELQERTFFWRSSAGRGSAM
+>sp|Q8WVX3|CD003_HUMAN Uncharacterized protein C4orf3 OS=Homo sapiens OX=9606 GN=C4orf3 PE=1 SV=2
+MEVDAPGVDGRDGLRERRGFSEGGRQNFDVRPQSGANGLPKHSYWLDLWLFILFDVVVFLFVYFLP
+>DECOY_sp|Q8WVX3|CD003_HUMAN Uncharacterized protein C4orf3 OS=Homo sapiens OX=9606 GN=C4orf3 PE=1 SV=2
+PLFYVFLFVVVDFLIFLWLDLWYSHKPLGNAGSQPRVDFNQRGGESFGRRERLGDRGDVGPADVEM
+>sp|Q53FE4|CD017_HUMAN Uncharacterized protein C4orf17 OS=Homo sapiens OX=9606 GN=C4orf17 PE=1 SV=3
+MNLNPPTSALQIEGKGSHIMARNVSCFLVRHTPHPRRVCHIKGLNNIPICTVNDDENAFGTLWGVGQSNYLEKNRIPFANCSYPSSTAVQESPVRGMSPAPNGAKVPPRPHSEPSRKIKECFKTSSENPLVIKKEEIKAKRPPSPPKACSTPGSCSSGMTSTKNDVKANTICIPNYLDQEIKILAKLCSILHTDSLAEVLQWLLHATSKEKEWVSALIHSELAEINLLTHHRRNTSMEPAAETGKPPTVKSPPTVKLPPNFTAKSKVLTRDTEGDQPTRVSSQGSEENKEVPKEAEHKPPLLIRRNNMKIPVAEYFSKPNSPPRPNTQESGSAKPVSARSIQEYNLCPQRACYPSTHRR
+>DECOY_sp|Q53FE4|CD017_HUMAN Uncharacterized protein C4orf17 OS=Homo sapiens OX=9606 GN=C4orf17 PE=1 SV=3
+RRHTSPYCARQPCLNYEQISRASVPKASGSEQTNPRPPSNPKSFYEAVPIKMNNRRILLPPKHEAEKPVEKNEESGQSSVRTPQDGETDRTLVKSKATFNPPLKVTPPSKVTPPKGTEAAPEMSTNRRHHTLLNIEALESHILASVWEKEKSTAHLLWQLVEALSDTHLISCLKALIKIEQDLYNPICITNAKVDNKTSTMGSSCSGPTSCAKPPSPPRKAKIEEKKIVLPNESSTKFCEKIKRSPESHPRPPVKAGNPAPSMGRVPSEQVATSSPYSCNAFPIRNKELYNSQGVGWLTGFANEDDNVTCIPINNLGKIHCVRRPHPTHRVLFCSVNRAMIHSGKGEIQLASTPPNLNM
+>sp|Q6ZRC1|CD050_HUMAN Uncharacterized protein C4orf50 OS=Homo sapiens OX=9606 GN=C4orf50 PE=2 SV=1
+MLRDSEAEVTEEDPRLRAQQLHHRVLTLQCQLRDQGAAHQASLDEATRLQEELQAKLEELQKKQHEAKLAVTPLKAKIASLVRKCRERNRLITHLLQELHRHGLGNLLLSELAQNMLNDVALAEYTATFLAPGVPETSHHLDVKSEMTAALRAQTYLLNPEMDSVLQSSLSSESWPIPEPEWPAQTAQLDSLKLPLSLVSTLDPGTCLAAVTVEPGLPAQRLQEKGGMPCPALQVDNVPAPSELLSPARILAFHQELRQSICSNSQVHKSPLELEM
+>DECOY_sp|Q6ZRC1|CD050_HUMAN Uncharacterized protein C4orf50 OS=Homo sapiens OX=9606 GN=C4orf50 PE=2 SV=1
+MELELPSKHVQSNSCISQRLEQHFALIRAPSLLESPAPVNDVQLAPCPMGGKEQLRQAPLGPEVTVAALCTGPDLTSVLSLPLKLSDLQATQAPWEPEPIPWSESSLSSQLVSDMEPNLLYTQARLAATMESKVDLHHSTEPVGPALFTATYEALAVDNLMNQALESLLLNGLGHRHLEQLLHTILRNRERCKRVLSAIKAKLPTVALKAEHQKKQLEELKAQLEEQLRTAEDLSAQHAAGQDRLQCQLTLVRHHLQQARLRPDEETVEAESDRLM
+>sp|P06729|CD2_HUMAN T-cell surface antigen CD2 OS=Homo sapiens OX=9606 GN=CD2 PE=1 SV=2
+MSFPCKFVASFLLIFNVSSKGAVSKEITNALETWGALGQDINLDIPSFQMSDDIDDIKWEKTSDKKKIAQFRKEKETFKEKDTYKLFKNGTLKIKHLKTDDQDIYKVSIYDTKGKNVLEKIFDLKIQERVSKPKISWTCINTTLTCEVMNGTDPELNLYQDGKHLKLSQRVITHKWTTSLSAKFKCTAGNKVSKESSVEPVSCPEKGLDIYLIIGICGGGSLLMVFVALLVFYITKRKKQRSRRNDEELETRAHRVATEERGRKPHQIPASTPQNPATSQHPPPPPGHRSQAPSHRPPPPGHRVQHQPQKRPPAPSGTQVHQQKGPPLPRPRVQPKPPHGAAENSLSPSSN
+>DECOY_sp|P06729|CD2_HUMAN T-cell surface antigen CD2 OS=Homo sapiens OX=9606 GN=CD2 PE=1 SV=2
+NSSPSLSNEAAGHPPKPQVRPRPLPPGKQQHVQTGSPAPPRKQPQHQVRHGPPPPRHSPAQSRHGPPPPPHQSTAPNQPTSAPIQHPKRGREETAVRHARTELEEDNRRSRQKKRKTIYFVLLAVFVMLLSGGGCIGIILYIDLGKEPCSVPEVSSEKSVKNGATCKFKASLSTTWKHTIVRQSLKLHKGDQYLNLEPDTGNMVECTLTTNICTWSIKPKSVREQIKLDFIKELVNKGKTDYISVKYIDQDDTKLHKIKLTGNKFLKYTDKEKFTEKEKRFQAIKKKDSTKEWKIDDIDDSMQFSPIDLNIDQGLAGWTELANTIEKSVAGKSSVNFILLFSAVFKCPFSM
+>sp|P20138|CD33_HUMAN Myeloid cell surface antigen CD33 OS=Homo sapiens OX=9606 GN=CD33 PE=1 SV=2
+MPLLLLLPLLWAGALAMDPNFWLQVQESVTVQEGLCVLVPCTFFHPIPYYDKNSPVHGYWFREGAIISRDSPVATNKLDQEVQEETQGRFRLLGDPSRNNCSLSIVDARRRDNGSYFFRMERGSTKYSYKSPQLSVHVTDLTHRPKILIPGTLEPGHSKNLTCSVSWACEQGTPPIFSWLSAAPTSLGPRTTHSSVLIITPRPQDHGTNLTCQVKFAGAGVTTERTIQLNVTYVPQNPTTGIFPGDGSGKQETRAGVVHGAIGGAGVTALLALCLCLIFFIVKTHRRKAARTAVGRNDTHPTTGSASPKHQKKSKLHGPTETSSCSGAAPTVEMDEELHYASLNFHGMNPSKDTSTEYSEVRTQ
+>DECOY_sp|P20138|CD33_HUMAN Myeloid cell surface antigen CD33 OS=Homo sapiens OX=9606 GN=CD33 PE=1 SV=2
+QTRVESYETSTDKSPNMGHFNLSAYHLEEDMEVTPAAGSCSSTETPGHLKSKKQHKPSASGTTPHTDNRGVATRAAKRRHTKVIFFILCLCLALLATVGAGGIAGHVVGARTEQKGSGDGPFIGTTPNQPVYTVNLQITRETTVGAGAFKVQCTLNTGHDQPRPTIILVSSHTTRPGLSTPAASLWSFIPPTGQECAWSVSCTLNKSHGPELTGPILIKPRHTLDTVHVSLQPSKYSYKTSGREMRFFYSGNDRRRADVISLSCNNRSPDGLLRFRGQTEEQVEQDLKNTAVPSDRSIIAGERFWYGHVPSNKDYYPIPHFFTCPVLVCLGEQVTVSEQVQLWFNPDMALAGAWLLPLLLLLPM
+>sp|P28906|CD34_HUMAN Hematopoietic progenitor cell antigen CD34 OS=Homo sapiens OX=9606 GN=CD34 PE=1 SV=2
+MLVRRGARAGPRMPRGWTALCLLSLLPSGFMSLDNNGTATPELPTQGTFSNVSTNVSYQETTTPSTLGSTSLHPVSQHGNEATTNITETTVKFTSTSVITSVYGNTNSSVQSQTSVISTVFTTPANVSTPETTLKPSLSPGNVSDLSTTSTSLATSPTKPYTSSSPILSDIKAEIKCSGIREVKLTQGICLEQNKTSSCAEFKKDRGEGLARVLCGEEQADADAGAQVCSLLLAQSEVRPQCLLLVLANRTEISSKLQLMKKHQSDLKKLGILDFTEQDVASHQSYSQKTLIALVTSGALLAVLGITGYFLMNRRSWSPTGERLGEDPYYTENGGGQGYSSGPGTSPEAQGKASVNRGAQENGTGQATSRNGHSARQHVVADTEL
+>DECOY_sp|P28906|CD34_HUMAN Hematopoietic progenitor cell antigen CD34 OS=Homo sapiens OX=9606 GN=CD34 PE=1 SV=2
+LETDAVVHQRASHGNRSTAQGTGNEQAGRNVSAKGQAEPSTGPGSSYGQGGGNETYYPDEGLREGTPSWSRRNMLFYGTIGLVALLAGSTVLAILTKQSYSQHSAVDQETFDLIGLKKLDSQHKKMLQLKSSIETRNALVLLLCQPRVESQALLLSCVQAGADADAQEEGCLVRALGEGRDKKFEACSSTKNQELCIGQTLKVERIGSCKIEAKIDSLIPSSSTYPKTPSTALSTSTTSLDSVNGPSLSPKLTTEPTSVNAPTTFVTSIVSTQSQVSSNTNGYVSTIVSTSTFKVTTETINTTAENGHQSVPHLSTSGLTSPTTTEQYSVNTSVNSFTGQTPLEPTATGNNDLSMFGSPLLSLLCLATWGRPMRPGARAGRRVLM
+>sp|P28907|CD38_HUMAN ADP-ribosyl cyclase/cyclic ADP-ribose hydrolase 1 OS=Homo sapiens OX=9606 GN=CD38 PE=1 SV=2
+MANCEFSPVSGDKPCCRLSRRAQLCLGVSILVLILVVVLAVVVPRWRQQWSGPGTTKRFPETVLARCVKYTEIHPEMRHVDCQSVWDAFKGAFISKHPCNITEEDYQPLMKLGTQTVPCNKILLWSRIKDLAHQFTQVQRDMFTLEDTLLGYLADDLTWCGEFNTSKINYQSCPDWRKDCSNNPVSVFWKTVSRRFAEAACDVVHVMLNGSRSKIFDKNSTFGSVEVHNLQPEKVQTLEAWVIHGGREDSRDLCQDPTIKELESIISKRNIQFSCKNIYRPDKFLQCVKNPEDSSCTSEI
+>DECOY_sp|P28907|CD38_HUMAN ADP-ribosyl cyclase/cyclic ADP-ribose hydrolase 1 OS=Homo sapiens OX=9606 GN=CD38 PE=1 SV=2
+IESTCSSDEPNKVCQLFKDPRYINKCSFQINRKSIISELEKITPDQCLDRSDERGGHIVWAELTQVKEPQLNHVEVSGFTSNKDFIKSRSGNLMVHVVDCAAEAFRRSVTKWFVSVPNNSCDKRWDPCSQYNIKSTNFEGCWTLDDALYGLLTDELTFMDRQVQTFQHALDKIRSWLLIKNCPVTQTGLKMLPQYDEETINCPHKSIFAGKFADWVSQCDVHRMEPHIETYKVCRALVTEPFRKTTGPGSWQQRWRPVVVALVVVLILVLISVGLCLQARRSLRCCPKDGSVPSFECNAM
+>sp|P29965|CD40L_HUMAN CD40 ligand OS=Homo sapiens OX=9606 GN=CD40LG PE=1 SV=1
+MIETYNQTSPRSAATGLPISMKIFMYLLTVFLITQMIGSALFAVYLHRRLDKIEDERNLHEDFVFMKTIQRCNTGERSLSLLNCEEIKSQFEGFVKDIMLNKEETKKENSFEMQKGDQNPQIAAHVISEASSKTTSVLQWAEKGYYTMSNNLVTLENGKQLTVKRQGLYYIYAQVTFCSNREASSQAPFIASLCLKSPGRFERILLRAANTHSSAKPCGQQSIHLGGVFELQPGASVFVNVTDPSQVSHGTGFTSFGLLKL
+>DECOY_sp|P29965|CD40L_HUMAN CD40 ligand OS=Homo sapiens OX=9606 GN=CD40LG PE=1 SV=1
+LKLLGFSTFGTGHSVQSPDTVNVFVSAGPQLEFVGGLHISQQGCPKASSHTNAARLLIREFRGPSKLCLSAIFPAQSSAERNSCFTVQAYIYYLGQRKVTLQKGNELTVLNNSMTYYGKEAWQLVSTTKSSAESIVHAAIQPNQDGKQMEFSNEKKTEEKNLMIDKVFGEFQSKIEECNLLSLSREGTNCRQITKMFVFDEHLNREDEIKDLRRHLYVAFLASGIMQTILFVTLLYMFIKMSIPLGTAASRPSTQNYTEIM
+>sp|P09326|CD48_HUMAN CD48 antigen OS=Homo sapiens OX=9606 GN=CD48 PE=1 SV=2
+MCSRGWDSCLALELLLLPLSLLVTSIQGHLVHMTVVSGSNVTLNISESLPENYKQLTWFYTFDQKIVEWDSRKSKYFESKFKGRVRLDPQSGALYISKVQKEDNSTYIMRVLKKTGNEQEWKIKLQVLDPVPKPVIKIEKIEDMDDNCYLKLSCVIPGESVNYTWYGDKRPFPKELQNSVLETTLMPHNYSRCYTCQVSNSVSSKNGTVCLSPPCTLARSFGVEWIASWLVVTVPTILGLLLT
+>DECOY_sp|P09326|CD48_HUMAN CD48 antigen OS=Homo sapiens OX=9606 GN=CD48 PE=1 SV=2
+TLLLGLITPVTVVLWSAIWEVGFSRALTCPPSLCVTGNKSSVSNSVQCTYCRSYNHPMLTTELVSNQLEKPFPRKDGYWTYNVSEGPIVCSLKLYCNDDMDEIKEIKIVPKPVPDLVQLKIKWEQENGTKKLVRMIYTSNDEKQVKSIYLAGSQPDLRVRGKFKSEFYKSKRSDWEVIKQDFTYFWTLQKYNEPLSESINLTVNSGSVVTMHVLHGQISTVLLSLPLLLLELALCSDWGRSCM
+>sp|P08962|CD63_HUMAN CD63 antigen OS=Homo sapiens OX=9606 GN=CD63 PE=1 SV=2
+MAVEGGMKCVKFLLYVLLLAFCACAVGLIAVGVGAQLVLSQTIIQGATPGSLLPVVIIAVGVFLFLVAFVGCCGACKENYCLMITFAIFLSLIMLVEVAAAIAGYVFRDKVMSEFNNNFRQQMENYPKNNHTASILDRMQADFKCCGAANYTDWEKIPSMSKNRVPDSCCINVTVGCGINFNEKAIHKEGCVEKIGGWLRKNVLVVAAAALGIAFVEVLGIVFACCLVKSIRSGYEVM
+>DECOY_sp|P08962|CD63_HUMAN CD63 antigen OS=Homo sapiens OX=9606 GN=CD63 PE=1 SV=2
+MVEYGSRISKVLCCAFVIGLVEVFAIGLAAAAVVLVNKRLWGGIKEVCGEKHIAKENFNIGCGVTVNICCSDPVRNKSMSPIKEWDTYNAAGCCKFDAQMRDLISATHNNKPYNEMQQRFNNNFESMVKDRFVYGAIAAAVEVLMILSLFIAFTIMLCYNEKCAGCCGVFAVLFLFVGVAIIVVPLLSGPTAGQIITQSLVLQAGVGVAILGVACACFALLLVYLLFKVCKMGGEVAM
+>sp|P30203|CD6_HUMAN T-cell differentiation antigen CD6 OS=Homo sapiens OX=9606 GN=CD6 PE=1 SV=3
+MWLFFGITGLLTAALSGHPSPAPPDQLNTSSAESELWEPGERLPVRLTNGSSSCSGTVEVRLEASWEPACGALWDSRAAEAVCRALGCGGAEAASQLAPPTPELPPPPAAGNTSVAANATLAGAPALLCSGAEWRLCEVVEHACRSDGRRARVTCAENRALRLVDGGGACAGRVEMLEHGEWGSVCDDTWDLEDAHVVCRQLGCGWAVQALPGLHFTPGRGPIHRDQVNCSGAEAYLWDCPGLPGQHYCGHKEDAGAVCSEHQSWRLTGGADRCEGQVEVHFRGVWNTVCDSEWYPSEAKVLCQSLGCGTAVERPKGLPHSLSGRMYYSCNGEELTLSNCSWRFNNSNLCSQSLAARVLCSASRSLHNLSTPEVPASVQTVTIESSVTVKIENKESRELMLLIPSIVLGILLLGSLIFIAFILLRIKGKYALPVMVNHQHLPTTIPAGSNSYQPVPITIPKEVFMLPIQVQAPPPEDSDSGSDSDYEHYDFSAQPPVALTTFYNSQRHRVTDEEVQQSRFQMPPLEEGLEELHASHIPTANPGHCITDPPSLGPQYHPRSNSESSTSSGEDYCNSPKSKLPPWNPQVFSSERSSFLEQPPNLELAGTQPAFSAGPPADDSSSTSSGEWYQNFQPPPQPPSEEQFGCPGSPSPQPDSTDNDDYDDISAA
+>DECOY_sp|P30203|CD6_HUMAN T-cell differentiation antigen CD6 OS=Homo sapiens OX=9606 GN=CD6 PE=1 SV=3
+AASIDDYDDNDTSDPQPSPSGPCGFQEESPPQPPPQFNQYWEGSSTSSSDDAPPGASFAPQTGALELNPPQELFSSRESSFVQPNWPPLKSKPSNCYDEGSSTSSESNSRPHYQPGLSPPDTICHGPNATPIHSAHLEELGEELPPMQFRSQQVEEDTVRHRQSNYFTTLAVPPQASFDYHEYDSDSGSDSDEPPPAQVQIPLMFVEKPITIPVPQYSNSGAPITTPLHQHNVMVPLAYKGKIRLLIFAIFILSGLLLIGLVISPILLMLERSEKNEIKVTVSSEITVTQVSAPVEPTSLNHLSRSASCLVRAALSQSCLNSNNFRWSCNSLTLEEGNCSYYMRGSLSHPLGKPREVATGCGLSQCLVKAESPYWESDCVTNWVGRFHVEVQGECRDAGGTLRWSQHESCVAGADEKHGCYHQGPLGPCDWLYAEAGSCNVQDRHIPGRGPTFHLGPLAQVAWGCGLQRCVVHADELDWTDDCVSGWEGHELMEVRGACAGGGDVLRLARNEACTVRARRGDSRCAHEVVECLRWEAGSCLLAPAGALTANAAVSTNGAAPPPPLEPTPPALQSAAEAGGCGLARCVAEAARSDWLAGCAPEWSAELRVEVTGSCSSSGNTLRVPLREGPEWLESEASSTNLQDPPAPSPHGSLAATLLGTIGFFLWM
+>sp|P40259|CD79B_HUMAN B-cell antigen receptor complex-associated protein beta chain OS=Homo sapiens OX=9606 GN=CD79B PE=1 SV=1
+MARLALSPVPSHWMVALLLLLSAEPVPAARSEDRYRNPKGSACSRIWQSPRFIARKRGFTVKMHCYMNSASGNVSWLWKQEMDENPQQLKLEKGRMEESQNESLATLTIQGIRFEDNGIYFCQQKCNNTSEVYQGCGTELRVMGFSTLAQLKQRNTLKDGIIMIQTLLIILFIIVPIFLLLDKDDSKAGMEEDHTYEGLDIDQTATYEDIVTLRTGEVKWSVGEHPGQE
+>DECOY_sp|P40259|CD79B_HUMAN B-cell antigen receptor complex-associated protein beta chain OS=Homo sapiens OX=9606 GN=CD79B PE=1 SV=1
+EQGPHEGVSWKVEGTRLTVIDEYTATQDIDLGEYTHDEEMGAKSDDKDLLLFIPVIIFLIILLTQIMIIGDKLTNRQKLQALTSFGMVRLETGCGQYVESTNNCKQQCFYIGNDEFRIGQITLTALSENQSEEMRGKELKLQQPNEDMEQKWLWSVNGSASNMYCHMKVTFGRKRAIFRPSQWIRSCASGKPNRYRDESRAAPVPEASLLLLLAVMWHSPVPSLALRAM
+>sp|P33681|CD80_HUMAN T-lymphocyte activation antigen CD80 OS=Homo sapiens OX=9606 GN=CD80 PE=1 SV=1
+MGHTRRQGTSPSKCPYLNFFQLLVLAGLSHFCSGVIHVTKEVKEVATLSCGHNVSVEELAQTRIYWQKEKKMVLTMMSGDMNIWPEYKNRTIFDITNNLSIVILALRPSDEGTYECVVLKYEKDAFKREHLAEVTLSVKADFPTPSISDFEIPTSNIRRIICSTSGGFPEPHLSWLENGEELNAINTTVSQDPETELYAVSSKLDFNMTTNHSFMCLIKYGHLRVNQTFNWNTTKQEHFPDNLLPSWAITLISVNGIFVICCLTYCFAPRCRERRRNERLRRESVRPV
+>DECOY_sp|P33681|CD80_HUMAN T-lymphocyte activation antigen CD80 OS=Homo sapiens OX=9606 GN=CD80 PE=1 SV=1
+VPRVSERRLRENRRRERCRPAFCYTLCCIVFIGNVSILTIAWSPLLNDPFHEQKTTNWNFTQNVRLHGYKILCMFSHNTTMNFDLKSSVAYLETEPDQSVTTNIANLEEGNELWSLHPEPFGGSTSCIIRRINSTPIEFDSISPTPFDAKVSLTVEALHERKFADKEYKLVVCEYTGEDSPRLALIVISLNNTIDFITRNKYEPWINMDGSMMTLVMKKEKQWYIRTQALEEVSVNHGCSLTAVEKVEKTVHIVGSCFHSLGALVLLQFFNLYPCKSPSTGQRRTHGM
+>sp|Q01151|CD83_HUMAN CD83 antigen OS=Homo sapiens OX=9606 GN=CD83 PE=1 SV=1
+MSRGLQLLLLSCAYSLAPATPEVKVACSEDVDLPCTAPWDPQVPYTVSWVKLLEGGEERMETPQEDHLRGQHYHQKGQNGSFDAPNERPYSLKIRNTTSCNSGTYRCTLQDPDGQRNLSGKVILRVTGCPAQRKEETFKKYRAEIVLLLALVIFYLTLIIFTCKFARLQSIFPDFSKAGMERAFLPVTSPNKHLGLVTPHKTELV
+>DECOY_sp|Q01151|CD83_HUMAN CD83 antigen OS=Homo sapiens OX=9606 GN=CD83 PE=1 SV=1
+VLETKHPTVLGLHKNPSTVPLFAREMGAKSFDPFISQLRAFKCTFIILTLYFIVLALLLVIEARYKKFTEEKRQAPCGTVRLIVKGSLNRQGDPDQLTCRYTGSNCSTTNRIKLSYPRENPADFSGNQGKQHYHQGRLHDEQPTEMREEGGELLKVWSVTYPVQPDWPATCPLDVDESCAVKVEPTAPALSYACSLLLLQLGRSM
+>sp|P01732|CD8A_HUMAN T-cell surface glycoprotein CD8 alpha chain OS=Homo sapiens OX=9606 GN=CD8A PE=1 SV=1
+MALPVTALLLPLALLLHAARPSQFRVSPLDRTWNLGETVELKCQVLLSNPTSGCSWLFQPRGAAASPTFLLYLSQNKPKAAEGLDTQRFSGKRLGDTFVLTLSDFRRENEGYYFCSALSNSIMYFSHFVPVFLPAKPTTTPAPRPPTPAPTIASQPLSLRPEACRPAAGGAVHTRGLDFACDIYIWAPLAGTCGVLLLSLVITLYCNHRNRRRVCKCPRPVVKSGDKPSLSARYV
+>DECOY_sp|P01732|CD8A_HUMAN T-cell surface glycoprotein CD8 alpha chain OS=Homo sapiens OX=9606 GN=CD8A PE=1 SV=1
+VYRASLSPKDGSKVVPRPCKCVRRRNRHNCYLTIVLSLLLVGCTGALPAWIYIDCAFDLGRTHVAGGAAPRCAEPRLSLPQSAITPAPTPPRPAPTTTPKAPLFVPVFHSFYMISNSLASCFYYGENERRFDSLTLVFTDGLRKGSFRQTDLGEAAKPKNQSLYLLFTPSAAAGRPQFLWSCGSTPNSLLVQCKLEVTEGLNWTRDLPSVRFQSPRAAHLLLALPLLLATVPLAM
+>sp|O75419|CDC45_HUMAN Cell division control protein 45 homolog OS=Homo sapiens OX=9606 GN=CDC45 PE=1 SV=1
+MFVSDFRKEFYEVVQSQRVLLFVASDVDALCACKILQALFQCDHVQYTLVPVSGWQELETAFLEHKEQFHYFILINCGANVDLLDILQPDEDTIFFVCDTHRPVNVVNVYNDTQIKLLIKQDDDLEVPAYEDIFRDEEEDEEHSGNDSDGSEPSEKRTRLEEEIVEQTMRRRQRREWEARRRDILFDYEQYEYHGTSSAMVMFELAWMLSKDLNDMLWWAIVGLTDQWVQDKITQMKYVTDVGVLQRHVSRHNHRNEDEENTLSVDCTRISFEYDLRLVLYQHWSLHDSLCNTSYTAARFKLWSVHGQKRLQEFLADMGLPLKQVKQKFQAMDISLKENLREMIEESANKFGMKDMRVQTFSIHFGFKHKFLASDVVFATMSLMESPEKDGSGTDHFIQALDSLSRSNLDKLYHGLELAKKQLRATQQTIASCLCTNLVISQGPFLYCSLMEGTPDVMLFSRPASLSLLSKHLLKSFVCSTKNRRCKLLPLVMAAPLSMEHGTVTVVGIPPETDSSDRKNFFGRAFEKAAESTSSRMLHNHFDLSVIELKAEDRSKFLDALISLLS
+>DECOY_sp|O75419|CDC45_HUMAN Cell division control protein 45 homolog OS=Homo sapiens OX=9606 GN=CDC45 PE=1 SV=1
+SLLSILADLFKSRDEAKLEIVSLDFHNHLMRSSTSEAAKEFARGFFNKRDSSDTEPPIGVVTVTGHEMSLPAAMVLPLLKCRRNKTSCVFSKLLHKSLLSLSAPRSFLMVDPTGEMLSCYLFPGQSIVLNTCLCSAITQQTARLQKKALELGHYLKDLNSRSLSDLAQIFHDTGSGDKEPSEMLSMTAFVVDSALFKHKFGFHISFTQVRMDKMGFKNASEEIMERLNEKLSIDMAQFKQKVQKLPLGMDALFEQLRKQGHVSWLKFRAATYSTNCLSDHLSWHQYLVLRLDYEFSIRTCDVSLTNEEDENRHNHRSVHRQLVGVDTVYKMQTIKDQVWQDTLGVIAWWLMDNLDKSLMWALEFMVMASSTGHYEYQEYDFLIDRRRAEWERRQRRRMTQEVIEEELRTRKESPESGDSDNGSHEEDEEEDRFIDEYAPVELDDDQKILLKIQTDNYVNVVNVPRHTDCVFFITDEDPQLIDLLDVNAGCNILIFYHFQEKHELFATELEQWGSVPVLTYQVHDCQFLAQLIKCACLADVDSAVFLLVRQSQVVEYFEKRFDSVFM
+>sp|Q69YH5|CDCA2_HUMAN Cell division cycle-associated protein 2 OS=Homo sapiens OX=9606 GN=CDCA2 PE=1 SV=2
+MDANSKDKPPETKESAMNNAGNASFILGTGKIVTPQKHAELPPNPCTPDTFKSPLNFSTVTVEQLGITPESFVRNSAGKSSSYLKKCRRRSAVGARGSPETNHLIRFIARQQNIKNARKSPLAQDSPSQGSPALYRNVNTLRERISAFQSAFHSIKENEKMTGCLEFSEAGKESEMTDLTRKEGLSACQQSGFPAVLSSKRRRISYQRDSDENLTDAEGKVIGLQIFNIDTDRACAVETSVDLSEISSKLGSTQSGFLVEESLPLSELTETSNALKVADCVVGKGSSDAVSPDTFTAEVSSDAVPDVRSPATPACRRDLPTPKTFVLRSVLKKPSVKMCLESLQEHCNNLYDDDGTHPSLISNLPNCCKEKEAEDEENFEAPAFLNMRKRKRVTFGEDLSPEVFDESLPANTPLRKGGTPVCKKDFSGLSSLLLEQSPVPEPLPQPDFDDKGENLENIEPLQVSFAVLSSPNKSSISETLSGTDTFSSSNNHEKISSPKVGRITRTSNRRNQLVSVVEESVCNLLNTEVQPCKEKKINRRKSQETKCTKRALPKKSQVLKSCRKKKGKGKKSVQKSLYGERDIASKKPLLSPIPELPEVPEMTPSIPSIRRLGSGYFSSNGKLEEVKTPKNPVKRKDLLRHDPDLHMHQGYDKYDVSEFCSYIKSSSSLGNATSDEDPNTNIMNINENKNIPKAKNKSESENEPKAGTDSPVSCASVTEERVASDSPKPALTLQQGQEFSAGGQNAENLCQFFKISPDLNIKCERKDDFLGAAEGKLQCNRLMPNSQKDCHCLGDVLIENTKESKSQSEDLGRKPMESSSVVSCRDRKDRRRSMCYSDGRSLHLEKNGNHTPSSSVGSSVEISLENSELFKDLSDAIEQTFQRRNSETKVRRSTRLQKDLENEGLVWISLPLPSTSQKAKRRTICTFDSSGFESMSPIKETVSSRQKPQMAPPVSDPENSQGPAAGSSDEPGKRRKSFCISTLANTKATSQFKGYRRRSSLNGKGESSLTALERIEHNGERKQ
+>DECOY_sp|Q69YH5|CDCA2_HUMAN Cell division cycle-associated protein 2 OS=Homo sapiens OX=9606 GN=CDCA2 PE=1 SV=2
+QKREGNHEIRELATLSSEGKGNLSSRRRYGKFQSTAKTNALTSICFSKRRKGPEDSSGAAPGQSNEPDSVPPAMQPKQRSSVTEKIPSMSEFGSSDFTCITRRKAKQSTSPLPLSIWVLGENELDKQLRTSRRVKTESNRRQFTQEIADSLDKFLESNELSIEVSSGVSSSPTHNGNKELHLSRGDSYCMSRRRDKRDRCSVVSSSEMPKRGLDESQSKSEKTNEILVDGLCHCDKQSNPMLRNCQLKGEAAGLFDDKRECKINLDPSIKFFQCLNEANQGGASFEQGQQLTLAPKPSDSAVREETVSACSVPSDTGAKPENESESKNKAKPINKNENINMINTNPDEDSTANGLSSSSKIYSCFESVDYKDYGQHMHLDPDHRLLDKRKVPNKPTKVEELKGNSSFYGSGLRRISPISPTMEPVEPLEPIPSLLPKKSAIDREGYLSKQVSKKGKGKKKRCSKLVQSKKPLARKTCKTEQSKRRNIKKEKCPQVETNLLNCVSEEVVSVLQNRRNSTRTIRGVKPSSIKEHNNSSSFTDTGSLTESISSKNPSSLVAFSVQLPEINELNEGKDDFDPQPLPEPVPSQELLLSSLGSFDKKCVPTGGKRLPTNAPLSEDFVEPSLDEGFTVRKRKRMNLFAPAEFNEEDEAEKEKCCNPLNSILSPHTGDDDYLNNCHEQLSELCMKVSPKKLVSRLVFTKPTPLDRRCAPTAPSRVDPVADSSVEATFTDPSVADSSGKGVVCDAVKLANSTETLESLPLSEEVLFGSQTSGLKSSIESLDVSTEVACARDTDINFIQLGIVKGEADTLNEDSDRQYSIRRRKSSLVAPFGSQQCASLGEKRTLDTMESEKGAESFELCGTMKENEKISHFASQFASIRERLTNVNRYLAPSGQSPSDQALPSKRANKINQQRAIFRILHNTEPSGRAGVASRRRCKKLYSSSKGASNRVFSEPTIGLQEVTVTSFNLPSKFTDPTCPNPPLEAHKQPTVIKGTGLIFSANGANNMASEKTEPPKDKSNADM
+>sp|Q9BXL8|CDCA4_HUMAN Cell division cycle-associated protein 4 OS=Homo sapiens OX=9606 GN=CDCA4 PE=1 SV=1
+MFARGLKRKCVGHEEDVEGALAGLKTVSSYSLQRQSLLDMSLVKLQLCHMLVEPNLCRSVLIANTVRQIQEEMTQDGTWRTVAPQAAERAPLDRLVSTEILCRAAWGQEGAHPAPGLGDGHTQGPVSDLCPVTSAQAPRHLQSSAWEMDGPRENRGSFHKSLDQIFETLETKNPSCMEELFSDVDSPYYDLDTVLTGMMGGARPGPCEGLEGLAPATPGPSSSCKSDLGELDHVVEILVET
+>DECOY_sp|Q9BXL8|CDCA4_HUMAN Cell division cycle-associated protein 4 OS=Homo sapiens OX=9606 GN=CDCA4 PE=1 SV=1
+TEVLIEVVHDLEGLDSKCSSSPGPTAPALGELGECPGPRAGGMMGTLVTDLDYYPSDVDSFLEEMCSPNKTELTEFIQDLSKHFSGRNERPGDMEWASSQLHRPAQASTVPCLDSVPGQTHGDGLGPAPHAGEQGWAARCLIETSVLRDLPAREAAQPAVTRWTGDQTMEEQIQRVTNAILVSRCLNPEVLMHCLQLKVLSMDLLSQRQLSYSSVTKLGALAGEVDEEHGVCKRKLGRAFM
+>sp|Q9BWT1|CDCA7_HUMAN Cell division cycle-associated protein 7 OS=Homo sapiens OX=9606 GN=CDCA7 PE=1 SV=1
+MDARRVPQKDLRVKKNLKKFRYVKLISMETSSSSDDSCDSFASDNFANTRLQSVREGCRTRSQCRHSGPLRVAMKFPARSTRGATNKKAESRQPSENSVTDSNSDSEDESGMNFLEKRALNIKQNKAMLAKLMSELESFPGSFRGRHPLPGSDSQSRRPRRRTFPGVASRRNPERRARPLTRSRSRILGSLDALPMEEEEEEDKYMLVRKRKTVDGYMNEDDLPRSRRSRSSVTLPHIIRPVEEITEEELENVCSNSREKIYNRSLGSTCHQCRQKTIDTKTNCRNPDCWGVRGQFCGPCLRNRYGEEVRDALLDPNWHCPPCRGICNCSFCRQRDGRCATGVLVYLAKYHGFGNVHAYLKSLKQEFEMQA
+>DECOY_sp|Q9BWT1|CDCA7_HUMAN Cell division cycle-associated protein 7 OS=Homo sapiens OX=9606 GN=CDCA7 PE=1 SV=1
+AQMEFEQKLSKLYAHVNGFGHYKALYVLVGTACRGDRQRCFSCNCIGRCPPCHWNPDLLADRVEEGYRNRLCPGCFQGRVGWCDPNRCNTKTDITKQRCQHCTSGLSRNYIKERSNSCVNELEEETIEEVPRIIHPLTVSSRSRRSRPLDDENMYGDVTKRKRVLMYKDEEEEEEMPLADLSGLIRSRSRTLPRARREPNRRSAVGPFTRRRPRRSQSDSGPLPHRGRFSGPFSELESMLKALMAKNQKINLARKELFNMGSEDESDSNSDTVSNESPQRSEAKKNTAGRTSRAPFKMAVRLPGSHRCQSRTRCGERVSQLRTNAFNDSAFSDCSDDSSSSTEMSILKVYRFKKLNKKVRLDKQPVRRADM
+>sp|Q15131|CDK10_HUMAN Cyclin-dependent kinase 10 OS=Homo sapiens OX=9606 GN=CDK10 PE=1 SV=1
+MAEPDLECEQIRLKCIRKEGFFTVPPEHRLGRCRSVKEFEKLNRIGEGTYGIVYRARDTQTDEIVALKKVRMDKEKDGIPISSLREITLLLRLRHPNIVELKEVVVGNHLESIFLVMGYCEQDLASLLENMPTPFSEAQVKCIVLQVLRGLQYLHRNFIIHRDLKVSNLLMTDKGCVKTADFGLARAYGVPVKPMTPKVVTLWYRAPELLLGTTTQTTSIDMWAVGCILAELLAHRPLLPGTSEIHQIDLIVQLLGTPSENIWPGFSKLPLVGQYSLRKQPYNNLKHKFPWLSEAGLRLLHFLFMYDPKKRATAGDCLESSYFKEKPLPCEPELMPTFPHHRNKRAAPATSEGQSKRCKP
+>DECOY_sp|Q15131|CDK10_HUMAN Cyclin-dependent kinase 10 OS=Homo sapiens OX=9606 GN=CDK10 PE=1 SV=1
+PKCRKSQGESTAPAARKNRHHPFTPMLEPECPLPKEKFYSSELCDGATARKKPDYMFLFHLLRLGAESLWPFKHKLNNYPQKRLSYQGVLPLKSFGPWINESPTGLLQVILDIQHIESTGPLLPRHALLEALICGVAWMDISTTQTTTGLLLEPARYWLTVVKPTMPKVPVGYARALGFDATKVCGKDTMLLNSVKLDRHIIFNRHLYQLGRLVQLVICKVQAESFPTPMNELLSALDQECYGMVLFISELHNGVVVEKLEVINPHRLRLLLTIERLSSIPIGDKEKDMRVKKLAVIEDTQTDRARYVIGYTGEGIRNLKEFEKVSRCRGLRHEPPVTFFGEKRICKLRIQECELDPEAM
+>sp|Q9NYV4|CDK12_HUMAN Cyclin-dependent kinase 12 OS=Homo sapiens OX=9606 GN=CDK12 PE=1 SV=2
+MPNSERHGGKKDGSGGASGTLQPSSGGGSSNSRERHRLVSKHKRHKSKHSKDMGLVTPEAASLGTVIKPLVEYDDISSDSDTFSDDMAFKLDRRENDERRGSDRSDRLHKHRHHQHRRSRDLLKAKQTEKEKSQEVSSKSGSMKDRISGSSKRSNEETDDYGKAQVAKSSSKESRSSKLHKEKTRKERELKSGHKDRSKSHRKRETPKSYKTVDSPKRRSRSPHRKWSDSSKQDDSPSGASYGQDYDLSPSRSHTSSNYDSYKKSPGSTSRRQSVSPPYKEPSAYQSSTRSPSPYSRRQRSVSPYSRRRSSSYERSGSYSGRSPSPYGRRRSSSPFLSKRSLSRSPLPSRKSMKSRSRSPAYSRHSSSHSKKKRSSSRSRHSSISPVRLPLNSSLGAELSRKKKERAAAAAAAKMDGKESKGSPVFLPRKENSSVEAKDSGLESKKLPRSVKLEKSAPDTELVNVTHLNTEVKNSSDTGKVKLDENSEKHLVKDLKAQGTRDSKPIALKEEIVTPKETETSEKETPPPLPTIASPPPPLPTTTPPPQTPPLPPLPPIPALPQQPPLPPSQPAFSQVPASSTSTLPPSTHSKTSAVSSQANSQPPVQVSVKTQVSVTAAIPHLKTSTLPPLPLPPLLPGDDDMDSPKETLPSKPVKKEKEQRTRHLLTDLPLPPELPGGDLSPPDSPEPKAITPPQQPYKKRPKICCPRYGERRQTESDWGKRCVDKFDIIGIIGEGTYGQVYKAKDKDTGELVALKKVRLDNEKEGFPITAIREIKILRQLIHRSVVNMKEIVTDKQDALDFKKDKGAFYLVFEYMDHDLMGLLESGLVHFSEDHIKSFMKQLMEGLEYCHKKNFLHRDIKCSNILLNNSGQIKLADFGLARLYNSEESRPYTNKVITLWYRPPELLLGEERYTPAIDVWSCGCILGELFTKKPIFQANLELAQLELISRLCGSPCPAVWPDVIKLPYFNTMKPKKQYRRRLREEFSFIPSAALDLLDHMLTLDPSKRCTAEQTLQSDFLKDVELSKMAPPDLPHWQDCHELWSKKRRRQRQSGVVVEEPPPSKTSRKETTSGTSTEPVKNSSPAPPQPAPGKVESGAGDAIGLADITQQLNQSELAVLLNLLQSQTDLSIPQMAQLLNIHSNPEMQQQLEALNQSISALTEATSQQQDSETMAPEESLKEAPSAPVILPSAEQTTLEASSTPADMQNILAVLLSQLMKTQEPAGSLEENNSDKNSGPQGPRRTPTMPQEEAAACPPHILPPEKRPPEPPGPPPPPPPPPLVEGDLSSAPQELNPAVTAALLQLLSQPEAEPPGHLPHEHQALRPMEYSTRPRPNRTYGNTDGPETGFSAIDTDERNSGPALTESLVQTLVKNRTFSGSLSHLGESSSYQGTGSVQFPGDQDLRFARVPLALHPVVGQPFLKAEGSSNSVVHAETKLQNYGELGPGTTGASSSGAGLHWGGPTQSSAYGKLYRGPTRVPPRGGRGRGVPY
+>DECOY_sp|Q9NYV4|CDK12_HUMAN Cyclin-dependent kinase 12 OS=Homo sapiens OX=9606 GN=CDK12 PE=1 SV=2
+YPVGRGRGGRPPVRTPGRYLKGYASSQTPGGWHLGAGSSSAGTTGPGLEGYNQLKTEAHVVSNSSGEAKLFPQGVVPHLALPVRAFRLDQDGPFQVSGTGQYSSSEGLHSLSGSFTRNKVLTQVLSETLAPGSNREDTDIASFGTEPGDTNGYTRNPRPRTSYEMPRLAQHEHPLHGPPEAEPQSLLQLLAATVAPNLEQPASSLDGEVLPPPPPPPPPGPPEPPRKEPPLIHPPCAAAEEQPMTPTRRPGQPGSNKDSNNEELSGAPEQTKMLQSLLVALINQMDAPTSSAELTTQEASPLIVPASPAEKLSEEPAMTESDQQQSTAETLASISQNLAELQQQMEPNSHINLLQAMQPISLDTQSQLLNLLVALESQNLQQTIDALGIADGAGSEVKGPAPQPPAPSSNKVPETSTGSTTEKRSTKSPPPEEVVVGSQRQRRRKKSWLEHCDQWHPLDPPAMKSLEVDKLFDSQLTQEATCRKSPDLTLMHDLLDLAASPIFSFEERLRRRYQKKPKMTNFYPLKIVDPWVAPCPSGCLRSILELQALELNAQFIPKKTFLEGLICGCSWVDIAPTYREEGLLLEPPRYWLTIVKNTYPRSEESNYLRALGFDALKIQGSNNLLINSCKIDRHLFNKKHCYELGEMLQKMFSKIHDESFHVLGSELLGMLDHDMYEFVLYFAGKDKKFDLADQKDTVIEKMNVVSRHILQRLIKIERIATIPFGEKENDLRVKKLAVLEGTDKDKAKYVQGYTGEGIIGIIDFKDVCRKGWDSETQRREGYRPCCIKPRKKYPQQPPTIAKPEPSDPPSLDGGPLEPPLPLDTLLHRTRQEKEKKVPKSPLTEKPSDMDDDGPLLPPLPLPPLTSTKLHPIAATVSVQTKVSVQVPPQSNAQSSVASTKSHTSPPLTSTSSAPVQSFAPQSPPLPPQQPLAPIPPLPPLPPTQPPPTTTPLPPPPSAITPLPPPTEKESTETEKPTVIEEKLAIPKSDRTGQAKLDKVLHKESNEDLKVKGTDSSNKVETNLHTVNVLETDPASKELKVSRPLKKSELGSDKAEVSSNEKRPLFVPSGKSEKGDMKAAAAAAAREKKKRSLEAGLSSNLPLRVPSISSHRSRSSSRKKKSHSSSHRSYAPSRSRSKMSKRSPLPSRSLSRKSLFPSSSRRRGYPSPSRGSYSGSREYSSSRRRSYPSVSRQRRSYPSPSRTSSQYASPEKYPPSVSQRRSTSGPSKKYSDYNSSTHSRSPSLDYDQGYSAGSPSDDQKSSDSWKRHPSRSRRKPSDVTKYSKPTERKRHSKSRDKHGSKLEREKRTKEKHLKSSRSEKSSSKAVQAKGYDDTEENSRKSSGSIRDKMSGSKSSVEQSKEKETQKAKLLDRSRRHQHHRHKHLRDSRDSGRREDNERRDLKFAMDDSFTDSDSSIDDYEVLPKIVTGLSAAEPTVLGMDKSHKSKHRKHKSVLRHRERSNSSGGGSSPQLTGSAGGSGDKKGGHRESNPM
+>sp|O94921|CDK14_HUMAN Cyclin-dependent kinase 14 OS=Homo sapiens OX=9606 GN=CDK14 PE=1 SV=3
+MCDLIEPQPAEKIGKMKKLRRTLSESFSRIALKKDDTTFDEICVTKMSTRNCQGMDSVIKPLDTIPEDKKVRVQRTQSTFDPFEKPANQVKRVHSENNACINFKTSSTGKESPKVRRHSSPSSPTSPKFGKADSYEKLEKLGEGSYATVYKGKSKVNGKLVALKVIRLQEEEGTPFTAIREASLLKGLKHANIVLLHDIIHTKETLTLVFEYVHTDLCQYMDKHPGGLHPDNVKLFLFQLLRGLSYIHQRYILHRDLKPQNLLISDTGELKLADFGLARAKSVPSHTYSNEVVTLWYRPPDVLLGSTEYSTCLDMWGVGCIFVEMIQGVAAFPGMKDIQDQLERIFLVLGTPNEDTWPGVHSLPHFKPERFTLYSSKNLRQAWNKLSYVNHAEDLASKLLQCSPKNRLSAQAALSHEYFSDLPPRLWELTDMSSIFTVPNVRLQPEAGESMRAFGKNNSYGKSLSNSKH
+>DECOY_sp|O94921|CDK14_HUMAN Cyclin-dependent kinase 14 OS=Homo sapiens OX=9606 GN=CDK14 PE=1 SV=3
+HKSNSLSKGYSNNKGFARMSEGAEPQLRVNPVTFISSMDTLEWLRPPLDSFYEHSLAAQASLRNKPSCQLLKSALDEAHNVYSLKNWAQRLNKSSYLTFREPKFHPLSHVGPWTDENPTGLVLFIRELQDQIDKMGPFAAVGQIMEVFICGVGWMDLCTSYETSGLLVDPPRYWLTVVENSYTHSPVSKARALGFDALKLEGTDSILLNQPKLDRHLIYRQHIYSLGRLLQFLFLKVNDPHLGGPHKDMYQCLDTHVYEFVLTLTEKTHIIDHLLVINAHKLGKLLSAERIATFPTGEEEQLRIVKLAVLKGNVKSKGKYVTAYSGEGLKELKEYSDAKGFKPSTPSSPSSHRRVKPSEKGTSSTKFNICANNESHVRKVQNAPKEFPDFTSQTRQVRVKKDEPITDLPKIVSDMGQCNRTSMKTVCIEDFTTDDKKLAIRSFSESLTRRLKKMKGIKEAPQPEILDCM
+>sp|Q00536|CDK16_HUMAN Cyclin-dependent kinase 16 OS=Homo sapiens OX=9606 GN=CDK16 PE=1 SV=1
+MDRMKKIKRQLSMTLRGGRGIDKTNGAPEQIGLDESGGGGGSDPGEAPTRAAPGELRSARGPLSSAPEIVHEDLKMGSDGESDQASATSSDEVQSPVRVRMRNHPPRKISTEDINKRLSLPADIRLPEGYLEKLTLNSPIFDKPLSRRLRRVSLSEIGFGKLETYIKLDKLGEGTYATVYKGKSKLTDNLVALKEIRLEHEEGAPCTAIREVSLLKDLKHANIVTLHDIIHTEKSLTLVFEYLDKDLKQYLDDCGNIINMHNVKLFLFQLLRGLAYCHRQKVLHRDLKPQNLLINERGELKLADFGLARAKSIPTKTYSNEVVTLWYRPPDILLGSTDYSTQIDMWGVGCIFYEMATGRPLFPGSTVEEQLHFIFRILGTPTEETWPGILSNEEFKTYNYPKYRAEALLSHAPRLDSDGADLLTKLLQFEGRNRISAEDAMKHPFFLSLGERIHKLPDTTSIFALKEIQLQKEASLRSSSMPDSGRPAFRVVDTEF
+>DECOY_sp|Q00536|CDK16_HUMAN Cyclin-dependent kinase 16 OS=Homo sapiens OX=9606 GN=CDK16 PE=1 SV=1
+FETDVVRFAPRGSDPMSSSRLSAEKQLQIEKLAFISTTDPLKHIREGLSLFFPHKMADEASIRNRGEFQLLKTLLDAGDSDLRPAHSLLAEARYKPYNYTKFEENSLIGPWTEETPTGLIRFIFHLQEEVTSGPFLPRGTAMEYFICGVGWMDIQTSYDTSGLLIDPPRYWLTVVENSYTKTPISKARALGFDALKLEGRENILLNQPKLDRHLVKQRHCYALGRLLQFLFLKVNHMNIINGCDDLYQKLDKDLYEFVLTLSKETHIIDHLTVINAHKLDKLLSVERIATCPAGEEHELRIEKLAVLNDTLKSKGKYVTAYTGEGLKDLKIYTELKGFGIESLSVRRLRRSLPKDFIPSNLTLKELYGEPLRIDAPLSLRKNIDETSIKRPPHNRMRVRVPSQVEDSSTASAQDSEGDSGMKLDEHVIEPASSLPGRASRLEGPAARTPAEGPDSGGGGGSEDLGIQEPAGNTKDIGRGGRLTMSLQRKIKKMRDM
+>sp|Q96GV9|CE030_HUMAN UNC119-binding protein C5orf30 OS=Homo sapiens OX=9606 GN=C5orf30 PE=1 SV=1
+MEVDINGESRSTLTTLPFPGAEANSPGKAEAEKPRCSSTPCSPMRRTVSGYQILHMDSNYLVGFTTGEELLKLAQKCTGGEESKAEAMPSLRSKQLDAGLARSSRLYKTRSRYYQPYEIPAVNGRRRRRMPSSGDKCTKSLPYEPYKALHGPLPLCLLKGKRAHSKSLDYLNLDKMIKEPADTEVLQYQLQHLTLRGDRVFARNNT
+>DECOY_sp|Q96GV9|CE030_HUMAN UNC119-binding protein C5orf30 OS=Homo sapiens OX=9606 GN=C5orf30 PE=1 SV=1
+TNNRAFVRDGRLTLHQLQYQLVETDAPEKIMKDLNLYDLSKSHARKGKLLCLPLPGHLAKYPEYPLSKTCKDGSSPMRRRRRGNVAPIEYPQYYRSRTKYLRSSRALGADLQKSRLSPMAEAKSEEGGTCKQALKLLEEGTTFGVLYNSDMHLIQYGSVTRRMPSCPTSSCRPKEAEAKGPSNAEAGPFPLTTLTSRSEGNIDVEM
+>sp|Q6UWT4|CE046_HUMAN Uncharacterized protein C5orf46 OS=Homo sapiens OX=9606 GN=C5orf46 PE=3 SV=2
+MAVSVLRLTVVLGLLVLFLTCYADDKPDKPDDKPDDSGKDPKPDFPKFLSLLGTEIIENAVEFILRSMSRSTGFMEFDDNEGKHSSK
+>DECOY_sp|Q6UWT4|CE046_HUMAN Uncharacterized protein C5orf46 OS=Homo sapiens OX=9606 GN=C5orf46 PE=3 SV=2
+KSSHKGENDDFEMFGTSRSMSRLIFEVANEIIETGLLSLFKPFDPKPDKGSDDPKDDPKDPKDDAYCTLFLVLLGLVVTLRLVSVAM
+>sp|F2Z3F1|CE067_HUMAN Uncharacterized protein C5orf67 OS=Homo sapiens OX=9606 GN=C5orf67 PE=4 SV=1
+MKRIFYKHRKRRAPVFKEPEHGYQSLPELVLVPAQPLVCLGDYRTPDPGGLFPWSLRLMMPGAWTKLPGDGGSVPEKGKHGILGAQGQEHPGLNVSSPFSSPWTCYLSGHQPQNNNSPELQVKEILL
+>DECOY_sp|F2Z3F1|CE067_HUMAN Uncharacterized protein C5orf67 OS=Homo sapiens OX=9606 GN=C5orf67 PE=4 SV=1
+LLIEKVQLEPSNNNQPQHGSLYCTWPSSFPSSVNLGPHEQGQAGLIGHKGKEPVSGGDGPLKTWAGPMMLRLSWPFLGGPDPTRYDGLCVLPQAPVLVLEPLSQYGHEPEKFVPARRKRHKYFIRKM
+>sp|Q5TB80|CE162_HUMAN Centrosomal protein of 162 kDa OS=Homo sapiens OX=9606 GN=CEP162 PE=1 SV=2
+MANCSQEELDEEFEQFMKELSDDSFENSDKTARQSKKEMKKKDTVPWWITEDDFKDDGLLGTNVSYLKTKKTSQPVMEIEEESAEKIQFLKSSGTSLLSTDSLETNELVVSELNHSSLGVGLDTLEEQEEKEQFFARLEKGLTSSIDYSRLNKELDSNDSTHFKALHSNQANAELTDDEHENESKHEELAENYSDDFEDEYVGAPLTTKDEEMPSKENSKSEKISVPKQEEEKTGMLANVVLLDSLDSVAEVNLDEQDKITPKPRCLPEMTENEMTGTGVSYGQSSSDVEALHQAYCHIAHSLGDEDKQKIESNTVEDIKSSVKGHPQENEENSKNISTMESDLPTVEELMKPIRIDSFGISGFDLQPVSSEKVAERKETEFFSSLPLKMNPNILSQDSQHVNLFFDKNDENVILQKTTNESMENSCPQVTEVTATEEHVDKMYLNILRKKITVNSSSLSQDDKINKTYRSQLSSEEEGAVMGKQVPYKKARSAPPLLKRKPQSGLYASVRSSGYGKPSSPLKMFSTLEKKTSEDIIKSKNLRSISTSNQPRKKEILSGTKLIKPAALDKPAHKTESCLSTRKKSENPTETDSCIQFQTDSLGYCGENKEKKLLMFKRVQEAEDKWRGAQALIEQIKATFSEKEKELENKLEELKKQQEKELFKLNQDNYILQAKLSSFEETNKKQRWLHFGEAADPVTGEKLKQIQKEIQEQETLLQGYQQENERLYNQVKDLQEQNKKNEERMFKENQSLFSEVASLKEQMHKSRFLSQVVEDSEPTRNQNFTDLLAELRMAQKEKDSLLEDIKRLKQDKQALEVDFEKMKKERDQAKDQIAYVTGEKLYEIKILEETHKQEISRLQKRLQWYAENQELLDKDALRLREANEEIEKLKLEIEKLKAESGNPSIRQKIRLKDKAADAKKIQDLERQVKEMEGILKRRYPNSLPALILAASAAGDTVDKNTVEFMEKRIKKLEADLEGKDEDAKKSLRTMEQQFQKMKIQYEQRLEQQEQLLACKLNQHDSPRIKALEKELDDIKEAHQITVRNLEAEIDVLKHQNAELDVKKNDKDDEDFQSIEFQVEQAHAKAKLVRLNEELAAKKREIQDLSKTVERLQKDRRMMLSNQNSKGREEMSAKRAKKDVLHSSKGNANSFPGTLDSKLYQPHTFTDSHVSEVLQENYRLKNELEGLISEKNELKMKSEAVMNQFENSMRRVKEDTAAHIASLKASHQREIEKLLCQNAVENSSSKVAELNRKIATQEVLIRHFQSQVNELQSKQESLVVSEVREEILQKEITKLLEELREAKENHTPEMKHFVGLEKKIKQMEMRHAQREQELQQIIQQTHQVVETEQNKEVEKWKRLAQLKNRELEKFRTELDSILDVLRELHRQGVVVPVAFADEMNAPEY
+>DECOY_sp|Q5TB80|CE162_HUMAN Centrosomal protein of 162 kDa OS=Homo sapiens OX=9606 GN=CEP162 PE=1 SV=2
+YEPANMEDAFAVPVVVGQRHLERLVDLISDLETRFKELERNKLQALRKWKEVEKNQETEVVQHTQQIIQQLEQERQAHRMEMQKIKKELGVFHKMEPTHNEKAERLEELLKTIEKQLIEERVESVVLSEQKSQLENVQSQFHRILVEQTAIKRNLEAVKSSSNEVANQCLLKEIERQHSAKLSAIHAATDEKVRRMSNEFQNMVAESKMKLENKESILGELENKLRYNEQLVESVHSDTFTHPQYLKSDLTGPFSNANGKSSHLVDKKARKASMEERGKSNQNSLMMRRDKQLREVTKSLDQIERKKAALEENLRVLKAKAHAQEVQFEISQFDEDDKDNKKVDLEANQHKLVDIEAELNRVTIQHAEKIDDLEKELAKIRPSDHQNLKCALLQEQQELRQEYQIKMKQFQQEMTRLSKKADEDKGELDAELKKIRKEMFEVTNKDVTDGAASAALILAPLSNPYRRKLIGEMEKVQRELDQIKKADAAKDKLRIKQRISPNGSEAKLKEIELKLKEIEENAERLRLADKDLLEQNEAYWQLRKQLRSIEQKHTEELIKIEYLKEGTVYAIQDKAQDREKKMKEFDVELAQKDQKLRKIDELLSDKEKQAMRLEALLDTFNQNRTPESDEVVQSLFRSKHMQEKLSAVESFLSQNEKFMREENKKNQEQLDKVQNYLRENEQQYGQLLTEQEQIEKQIQKLKEGTVPDAAEGFHLWRQKKNTEEFSSLKAQLIYNDQNLKFLEKEQQKKLEELKNELEKEKESFTAKIQEILAQAGRWKDEAEQVRKFMLLKKEKNEGCYGLSDTQFQICSDTETPNESKKRTSLCSETKHAPKDLAAPKILKTGSLIEKKRPQNSTSISRLNKSKIIDESTKKELTSFMKLPSSPKGYGSSRVSAYLGSQPKRKLLPPASRAKKYPVQKGMVAGEEESSLQSRYTKNIKDDQSLSSSNVTIKKRLINLYMKDVHEETATVETVQPCSNEMSENTTKQLIVNEDNKDFFLNVHQSDQSLINPNMKLPLSSFFETEKREAVKESSVPQLDFGSIGFSDIRIPKMLEEVTPLDSEMTSINKSNEENEQPHGKVSSKIDEVTNSEIKQKDEDGLSHAIHCYAQHLAEVDSSSQGYSVGTGTMENETMEPLCRPKPTIKDQEDLNVEAVSDLSDLLVVNALMGTKEEEQKPVSIKESKSNEKSPMEEDKTTLPAGVYEDEFDDSYNEALEEHKSENEHEDDTLEANAQNSHLAKFHTSDNSDLEKNLRSYDISSTLGKELRAFFQEKEEQEELTDLGVGLSSHNLESVVLENTELSDTSLLSTGSSKLFQIKEASEEEIEMVPQSTKKTKLYSVNTGLLGDDKFDDETIWWPVTDKKKMEKKSQRATKDSNEFSDDSLEKMFQEFEEDLEEQSCNAM
+>sp|Q5SW79|CE170_HUMAN Centrosomal protein of 170 kDa OS=Homo sapiens OX=9606 GN=CEP170 PE=1 SV=1
+MSLTSWFLVSSGGTRHRLPREMIFVGRDDCELMLQSRSVDKQHAVINYDASTDEHLVKDLGSLNGTFVNDVRIPEQTYITLKLEDKLRFGYDTNLFTVVQGEMRVPEEALKHEKFTIQLQLSQKSSESELSKSASAKSIDSKVADAATEVQHKTTEALKSEEKAMDISAMPRGTPLYGQPSWWGDDEVDEKRAFKTNGKPEEKNHEAGTSGCGIDAKQVEEQSAAANEEVLFPFCREPSYFEIPTKEFQQPSQITESTIHEIPTKDTPSSHITGAGHASFTIEFDDSTPGKVTIRDHVTKFTSDQRHKSKKSSPGTQDLLGIQTGMMAPENKVADWLAQNNPPQMLWERTEEDSKSIKSDVPVYLKRLKGNKHDDGTQSDSENAGAHRRCSKRATLEEHLRRHHSEHKKLQKVQATEKHQDQAVTSSAHHRGGHGVPHGKLLKQKSEEPSVSIPFLQTALLRSSGSLGHRPSQEMDKMLKNQATSATSEKDNDDDQSDKGTYTIELENPNSEEVEARKMIDKVFGVDDNQDYNRPVINEKHKDLIKDWALSSAAAVMEERKPLTTSGFHHSEEGTSSSGSKRWVSQWASLAANHTRHDQEERIMEFSAPLPLENETEISESGMTVRSTGSATSLASQGERRRRTLPQLPNEEKSLESHRAKVVTQRSEIGEKQDTELQEKETPTQVYQKDKQDADRPLSKMNRAVNGETLKTGGDNKTLLHLGSSAPGKEKSETDKETSLVKQTLAKLQQQEQREEAQWTPTKLSSKNVSGQTDKCREETFKQESQPPEKNSGHSTSKGDRVAQSESKRRKAEEILKSQTPKGGDKKESSKSLVRQGSFTIEKPSPNIPIELIPHINKQTSSTPSSLALTSASRIRERSESLDPDSSMDTTLILKDTEAVMAFLEAKLREDNKTDEGPDTPSYNRDNSISPESDVDTASTISLVTGETERKSTQKRKSFTSLYKDRCSTGSPSKDVTKSSSSGAREKMEKKTKSRSTDVGSRADGRKFVQSSGRIRQPSVDLTDDDQTSSVPHSAISDIMSSDQETYSCKPHGRTPLTSADEHVHSKLEGSKVTKSKTSPVVSGSSSKSTTLPRPRPTRTSLLRRARLGEASDSELADADKASVASEVSTTSSTSKPPTGRRNISRIDLLAQPRRTRLGSLSARSDSEATISRSSASSRTAEAIIRSGARLVPSDKFSPRIRANSISRLSDSKVKSMTSAHGSASVNSRWRRFPTDYASTSEDEFGSNRNSPKHTRLRTSPALKTTRLQSAGSAMPTSSSFKHRIKEQEDYIRDWTAHREEIARISQDLALIAREINDVAGEIDSVTSSGTAPSTTVSTAATTPGSAIDTREELVDRVFDESLNFRKIPPLVHSKTPEGNNGRSGDPRPQAAEPPDHLTITRRRTWSRDEVMGDNLLLSSVFQFSKKIRQSIDKTAGKIRILFKDKDRNWDDIESKLRAESEVPIVKTSSMEISSILQELKRVEKQLQAINAMIDPDGTLEALNNMGFPSAMLPSPPKQKSSPVNNHHSPGQTPTLGQPEARALHPAAVSAAAEFENAESEADFSIHFNRFNPDGEEEDVTVQE
+>DECOY_sp|Q5SW79|CE170_HUMAN Centrosomal protein of 170 kDa OS=Homo sapiens OX=9606 GN=CEP170 PE=1 SV=1
+EQVTVDEEEGDPNFRNFHISFDAESEANEFEAAASVAAPHLARAEPQGLTPTQGPSHHNNVPSSKQKPPSPLMASPFGMNNLAELTGDPDIMANIAQLQKEVRKLEQLISSIEMSSTKVIPVESEARLKSEIDDWNRDKDKFLIRIKGATKDISQRIKKSFQFVSSLLLNDGMVEDRSWTRRRTITLHDPPEAAQPRPDGSRGNNGEPTKSHVLPPIKRFNLSEDFVRDVLEERTDIASGPTTAATSVTTSPATGSSTVSDIEGAVDNIERAILALDQSIRAIEERHATWDRIYDEQEKIRHKFSSSTPMASGASQLRTTKLAPSTRLRTHKPSNRNSGFEDESTSAYDTPFRRWRSNVSASGHASTMSKVKSDSLRSISNARIRPSFKDSPVLRAGSRIIAEATRSSASSRSITAESDSRASLSGLRTRRPQALLDIRSINRRGTPPKSTSSTTSVESAVSAKDADALESDSAEGLRARRLLSTRTPRPRPLTTSKSSSGSVVPSTKSKTVKSGELKSHVHEDASTLPTRGHPKCSYTEQDSSMIDSIASHPVSSTQDDDTLDVSPQRIRGSSQVFKRGDARSGVDTSRSKTKKEMKERAGSSSSKTVDKSPSGTSCRDKYLSTFSKRKQTSKRETEGTVLSITSATDVDSEPSISNDRNYSPTDPGEDTKNDERLKAELFAMVAETDKLILTTDMSSDPDLSESRERIRSASTLALSSPTSSTQKNIHPILEIPINPSPKEITFSGQRVLSKSSEKKDGGKPTQSKLIEEAKRRKSESQAVRDGKSTSHGSNKEPPQSEQKFTEERCKDTQGSVNKSSLKTPTWQAEERQEQQQLKALTQKVLSTEKDTESKEKGPASSGLHLLTKNDGGTKLTEGNVARNMKSLPRDADQKDKQYVQTPTEKEQLETDQKEGIESRQTVVKARHSELSKEENPLQPLTRRRREGQSALSTASGTSRVTMGSESIETENELPLPASFEMIREEQDHRTHNAALSAWQSVWRKSGSSSTGEESHHFGSTTLPKREEMVAAASSLAWDKILDKHKENIVPRNYDQNDDVGFVKDIMKRAEVEESNPNELEITYTGKDSQDDDNDKESTASTAQNKLMKDMEQSPRHGLSGSSRLLATQLFPISVSPEESKQKLLKGHPVGHGGRHHASSTVAQDQHKETAQVKQLKKHESHHRRLHEELTARKSCRRHAGANESDSQTGDDHKNGKLRKLYVPVDSKISKSDEETREWLMQPPNNQALWDAVKNEPAMMGTQIGLLDQTGPSSKKSKHRQDSTFKTVHDRITVKGPTSDDFEITFSAHGAGTIHSSPTDKTPIEHITSETIQSPQQFEKTPIEFYSPERCFPFLVEENAAASQEEVQKADIGCGSTGAEHNKEEPKGNTKFARKEDVEDDGWWSPQGYLPTGRPMASIDMAKEESKLAETTKHQVETAADAVKSDISKASASKSLESESSKQSLQLQITFKEHKLAEEPVRMEGQVVTFLNTDYGFRLKDELKLTIYTQEPIRVDNVFTGNLSGLDKVLHEDTSADYNIVAHQKDVSRSQLMLECDDRGVFIMERPLRHRTGGSSVLFWSTLSM
+>sp|Q5VT06|CE350_HUMAN Centrosome-associated protein 350 OS=Homo sapiens OX=9606 GN=CEP350 PE=1 SV=1
+MRSSKSKEVPLPNPRNSQSKDTVQADITTSWDALSQTKAALRHIENKLEVAPTSTAVCDSVMDTKKSSTSATRKISRKDGRYLDDSWVNAPISKSTKSRKEKSRSPLRATTLESNVKKNNRVEFREPLVSYREIHGAPSNFSSSHLESKHVYCVDVNEEKTESGNWMIGSREERNIRSCDFESSQSSVINDTVVRFLNDRPAIDALQNSECLIRMGASMRTEEEMPNRTKGSENNLKLSVNNMAHDTDPKALRLTDSSPSSTSTSNSQRLDILKRRQHDVKLEKLKERIRKQWEHSEETNGRGQKLGHIDHPVMVVNVDNSVTAKVRKVATAPPAPAYKGFNPSETKIRTPDGKVWQEAEFQNMSRELYRDLALHFADDISIKEKPAEKSKEKKVVKPVRKVQKVAQLSSTECRTGSSHLISTSSWRDGQKLVKKILGPAPRMEPKEQRTASSDRGGRERTAKSGGHIGRAESDPRLDVLHRHLQRNSERSRSKSRSENNIKKLASSLPDNKQEENTALNKDFLPIEIRGILDDLQLDSTAHTAKQDTVELQNQKSSAPVHAPRSHSPVKRKPDKITANEDPPVISKRRHYDTDEVRQYIVRQQEERKRKQNEEKKAQKEATEQKNKRLQELYRKQKEAFTKVKNVPPSEPSATRRLQETYSKLLLEKTLLEEPSHQHVTQETQAKPGYQPSGESDKENKVQERPPSASSSSDMSLSEPPQPLARKDLMESTWMQPERLSPQVHHSQPQPFAGTAGSLLSHLLSLEHVGILHKDFESILPTRKNHNMASRPLTFTPQPYVTSPAAYTDALLKPSASQYKSKLDRIEALKATAASLSSRIESEAKKLAGASINYGSAWNTEYDVQQAPQEDGPWTKAVTPPVKDDNEDVFSARIQKMLGSCVSHATFDDDLPGVGNLSEFKKLPEMIRPQSAISSFRVRSPGPKPEGLLAQLCKRQTDSSSSDMQACSQDKAKISLGSSIDSVSEGPLLSEGSLSEEEGDQDGQPLLKVAEILKEKEFCPGERNSYEPIKEFQKEAEKFLPLFGHIGGTQSKGPWEELAKGSPHSVINIFTKSYQLYGKGFEDKLDRGTSTSRPLNATATPLSGVSYEDDFVSSPGTGTSTEKKSTLEPHSTLSPQEDHSNRKSAYDPSSVDVTSQHSSGAQSAASSRSSTSSKGKKGKKEKTEWLDSFTGNVQNSLLDEEKAERGSHQGKKSGTSSKLSVKDFEQTLDTDSTLEDLSGHSVSVSSDKGRSQKTPTSPLSPSSQKSLQFDVAGTSSERSKSSVMPPTITGFKPNAPLTDLNPAASRTTTENMAPIPGSKRFSPAGLHHRMAAELSYLNAIEESVRQLSDVERVRGISLAQQESVSLAQIIKAQQQRHERDLALLKLKAEQEALESQRQLEETRNKAAQVHAESLQQVVQSQREVTEVLQEATCKIAAQQSETARLTTDAARQICEMAELTRTHISDAVVASGAPLAILYDHQRQHLPDFVKQLRTRTETDRKSPSVSLSQSKEGTLDSKHQKYSASYDSYSESSGYKNHDRRSSSGSSRQESPSVPSCKENEKKLNGEKIESSIDEQVQTAADDSLRSDSVPSLPDEKDSTSIATEYSLKFDESMTEDEIEEQSFRSLLPSESHRRFNMEKRRGHHDDSDEEASPEKTTLSTAKELNMPFSGGQDSFSKFTMEMVRQYMKEEEMRAAHQSSLLRLREKALKEKTKAELAWLEHQKKHLRDKGEDDKMPPLRKKQRGLLLRLQQEKAEIKRLQEANKAARKERQLILKQQEEIEKIRQTTIKLQEKLKSAGESKLDSHSDDDTKDNKATSPGPTDLETRSPSPISISSSETSSIMQKLKKMRSRMDEKFLTKREQKLMQRRQHAEELLEWKRRLDAEEAEIRQMEKQALAAWDKELIKPKTPKKELEDQRTEQKEIASEEESPVPLYSHLNSESSIPEELGSPAVEYVPSESIGQEQPGSPDHSILTEEMICSQELESSTSPSKHSLPKSCTSVSKQESSKGSHRTGGQCHLPIKSHQHCYSWSDESLSMTQSETTSDQSDIEGRIRALKDELRKRKSVVNQLKKEQKKRQKERLKAQEASLIKQLESYDEFIKKTEAELSQDLETSPTAKPQIKTLSSASEKPKIKPLTPLHRSETAKNWKSLTESERSRGSLESIAEHVDASLSGSERSVSERSLSAYAKRVNEWDSRTEDFQTPSPVLRSSRKIREESGDSLENVPALHLLKELNATSRILDMSDGKVGESSKKSEIKEIEYTKLKKSKIEDAFSKEGKSDVLLKLVLEQGDSSEILSKKDLPLDSENVQKDLVGLAIENLHKSEEMLKERQSDQDMNHSPNIQSGKDIHEQKNTKEKDLSWSEHLFAPKEIPYSEDFEVSSFKKEISAELYKDDFEVSSLLSLRKDSQSCRDKPQPMRSSTSGATSFGSNEEISECLSEKSLSIHSNVHSDRLLELKSPTELMKSKERSDVEHEQQVTESPSLASVPTADELFDFHIGDRVLIGNVQPGILRFKGETSFAKGFWAGVELDKPEGNNNGTYDGIAYFECKEKHGIFAPPQKISHIPENFDDYVDINEDEDCYSDERYQCYNQEQNDTEGPKDREKDVSEYFYEKSLPSVNDIEASVNRSRSLKIETDNVQDISGVLEAHVHQQSSVDSQISSKENKDLISDATEKVSIAAEDDTLDNTFSEELEKQQQFTEEEDNLYAEASEKLCTPLLDLLTREKNQLEAQLKSSLNEEKKSKQQLEKISLLTDSLLKVFVKDTVNQLQQIKKTRDEKIQLSNQELLGDDQKKVTPQDLSQNVEEQSPSISGCFLSSELEDEKEEISSPDMCPRPESPVFGASGQEELAKRLAELELSREFLSALGDDQDWFDEDFGLSSSHKIQKNKAEETIVPLMAEPKRVTQQPCETLLAVPHTAEEVEILVHNAAEELWKWKELGHDLHSISIPTKLLGCASKGLDIESTSKRVYKQAVFDLTKEIFEEIFAEDPNLNQPVWMKPCRINSSYFRRVKNPNNLDEIKSFIASEVLKLFSLKKEPNHKTDWQKMMKFGRKKRDRVDHILVQELHEEEAQWVNYDEDELCVKMQLADGIFETLIKDTIDVLNQISEKQGRMLLV
+>DECOY_sp|Q5VT06|CE350_HUMAN Centrosome-associated protein 350 OS=Homo sapiens OX=9606 GN=CEP350 PE=1 SV=1
+VLLMRGQKESIQNLVDITDKILTEFIGDALQMKVCLEDEDYNVWQAEEEHLEQVLIHDVRDRKKRGFKMMKQWDTKHNPEKKLSFLKLVESAIFSKIEDLNNPNKVRRFYSSNIRCPKMWVPQNLNPDEAFIEEFIEKTLDFVAQKYVRKSTSEIDLGKSACGLLKTPISISHLDHGLEKWKWLEEAANHVLIEVEEATHPVALLTECPQQTVRKPEAMLPVITEEAKNKQIKHSSSLGFDEDFWDQDDGLASLFERSLELEALRKALEEQGSAGFVPSEPRPCMDPSSIEEKEDELESSLFCGSISPSQEEVNQSLDQPTVKKQDDGLLEQNSLQIKEDRTKKIQQLQNVTDKVFVKLLSDTLLSIKELQQKSKKEENLSSKLQAELQNKERTLLDLLPTCLKESAEAYLNDEEETFQQQKELEESFTNDLTDDEAAISVKETADSILDKNEKSSIQSDVSSQQHVHAELVGSIDQVNDTEIKLSRSRNVSAEIDNVSPLSKEYFYESVDKERDKPGETDNQEQNYCQYREDSYCDEDENIDVYDDFNEPIHSIKQPPAFIGHKEKCEFYAIGDYTGNNNGEPKDLEVGAWFGKAFSTEGKFRLIGPQVNGILVRDGIHFDFLEDATPVSALSPSETVQQEHEVDSREKSKMLETPSKLELLRDSHVNSHISLSKESLCESIEENSGFSTAGSTSSRMPQPKDRCSQSDKRLSLLSSVEFDDKYLEASIEKKFSSVEFDESYPIEKPAFLHESWSLDKEKTNKQEHIDKGSQINPSHNMDQDSQREKLMEESKHLNEIALGVLDKQVNESDLPLDKKSLIESSDGQELVLKLLVDSKGEKSFADEIKSKKLKTYEIEKIESKKSSEGVKGDSMDLIRSTANLEKLLHLAPVNELSDGSEERIKRSSRLVPSPTQFDETRSDWENVRKAYASLSRESVSRESGSLSADVHEAISELSGRSRESETLSKWNKATESRHLPTLPKIKPKESASSLTKIQPKATPSTELDQSLEAETKKIFEDYSELQKILSAEQAKLREKQRKKQEKKLQNVVSKRKRLEDKLARIRGEIDSQDSTTESQTMSLSEDSWSYCHQHSKIPLHCQGGTRHSGKSSEQKSVSTCSKPLSHKSPSTSSELEQSCIMEETLISHDPSGPQEQGISESPVYEVAPSGLEEPISSESNLHSYLPVPSEEESAIEKQETRQDELEKKPTKPKILEKDWAALAQKEMQRIEAEEADLRRKWELLEEAHQRRQMLKQERKTLFKEDMRSRMKKLKQMISSTESSSISIPSPSRTELDTPGPSTAKNDKTDDDSHSDLKSEGASKLKEQLKITTQRIKEIEEQQKLILQREKRAAKNAEQLRKIEAKEQQLRLLLGRQKKRLPPMKDDEGKDRLHKKQHELWALEAKTKEKLAKERLRLLSSQHAARMEEEKMYQRVMEMTFKSFSDQGGSFPMNLEKATSLTTKEPSAEEDSDDHHGRRKEMNFRRHSESPLLSRFSQEEIEDETMSEDFKLSYETAISTSDKEDPLSPVSDSRLSDDAATQVQEDISSEIKEGNLKKENEKCSPVSPSEQRSSGSSSRRDHNKYGSSESYSDYSASYKQHKSDLTGEKSQSLSVSPSKRDTETRTRLQKVFDPLHQRQHDYLIALPAGSAVVADSIHTRTLEAMECIQRAADTTLRATESQQAAIKCTAEQLVETVERQSQVVQQLSEAHVQAAKNRTEELQRQSELAEQEAKLKLLALDREHRQQQAKIIQALSVSEQQALSIGRVREVDSLQRVSEEIANLYSLEAAMRHHLGAPSFRKSGPIPAMNETTTRSAAPNLDTLPANPKFGTITPPMVSSKSRESSTGAVDFQLSKQSSPSLPSTPTKQSRGKDSSVSVSHGSLDELTSDTDLTQEFDKVSLKSSTGSKKGQHSGREAKEEDLLSNQVNGTFSDLWETKEKKGKKGKSSTSSRSSAASQAGSSHQSTVDVSSPDYASKRNSHDEQPSLTSHPELTSKKETSTGTGPSSVFDDEYSVGSLPTATANLPRSTSTGRDLKDEFGKGYLQYSKTFINIVSHPSGKALEEWPGKSQTGGIHGFLPLFKEAEKQFEKIPEYSNREGPCFEKEKLIEAVKLLPQGDQDGEEESLSGESLLPGESVSDISSGLSIKAKDQSCAQMDSSSSDTQRKCLQALLGEPKPGPSRVRFSSIASQPRIMEPLKKFESLNGVGPLDDDFTAHSVCSGLMKQIRASFVDENDDKVPPTVAKTWPGDEQPAQQVDYETNWASGYNISAGALKKAESEIRSSLSAATAKLAEIRDLKSKYQSASPKLLADTYAAPSTVYPQPTFTLPRSAMNHNKRTPLISEFDKHLIGVHELSLLHSLLSGATGAFPQPQSHHVQPSLREPQMWTSEMLDKRALPQPPESLSMDSSSSASPPREQVKNEKDSEGSPQYGPKAQTEQTVHQHSPEELLTKELLLKSYTEQLRRTASPESPPVNKVKTFAEKQKRYLEQLRKNKQETAEKQAKKEENQKRKREEQQRVIYQRVEDTDYHRRKSIVPPDENATIKDPKRKVPSHSRPAHVPASSKQNQLEVTDQKATHATSDLQLDDLIGRIEIPLFDKNLATNEEQKNDPLSSALKKINNESRSKSRSRESNRQLHRHLVDLRPDSEARGIHGGSKATRERGGRDSSATRQEKPEMRPAPGLIKKVLKQGDRWSSTSILHSSGTRCETSSLQAVKQVKRVPKVVKKEKSKEAPKEKISIDDAFHLALDRYLERSMNQFEAEQWVKGDPTRIKTESPNFGKYAPAPPATAVKRVKATVSNDVNVVMVPHDIHGLKQGRGNTEESHEWQKRIREKLKELKVDHQRRKLIDLRQSNSTSTSSPSSDTLRLAKPDTDHAMNNVSLKLNNESGKTRNPMEEETRMSAGMRILCESNQLADIAPRDNLFRVVTDNIVSSQSSEFDCSRINREERSGIMWNGSETKEENVDVCYVHKSELHSSSFNSPAGHIERYSVLPERFEVRNNKKVNSELTTARLPSRSKEKRSKTSKSIPANVWSDDLYRGDKRSIKRTASTSSKKTDMVSDCVATSTPAVELKNEIHRLAAKTQSLADWSTTIDAQVTDKSQSNRPNPLPVEKSKSSRM
+>sp|P13688|CEAM1_HUMAN Carcinoembryonic antigen-related cell adhesion molecule 1 OS=Homo sapiens OX=9606 GN=CEACAM1 PE=1 SV=2
+MGHLSAPLHRVRVPWQGLLLTASLLTFWNPPTTAQLTTESMPFNVAEGKEVLLLVHNLPQQLFGYSWYKGERVDGNRQIVGYAIGTQQATPGPANSGRETIYPNASLLIQNVTQNDTGFYTLQVIKSDLVNEEATGQFHVYPELPKPSISSNNSNPVEDKDAVAFTCEPETQDTTYLWWINNQSLPVSPRLQLSNGNRTLTLLSVTRNDTGPYECEIQNPVSANRSDPVTLNVTYGPDTPTISPSDTYYRPGANLSLSCYAASNPPAQYSWLINGTFQQSTQELFIPNITVNNSGSYTCHANNSVTGCNRTTVKTIIVTELSPVVAKPQIKASKTTVTGDKDSVNLTCSTNDTGISIRWFFKNQSLPSSERMKLSQGNTTLSINPVKREDAGTYWCEVFNPISKNQSDPIMLNVNYNALPQENGLSPGAIAGIVIGVVALVALIAVALACFLHFGKTGRASDQRDLTEHKPSVSNHTQDHSNDPPNKMNEVTYSTLNFEAQQPTQPTSASPSLTATEIIYSEVKKQ
+>DECOY_sp|P13688|CEAM1_HUMAN Carcinoembryonic antigen-related cell adhesion molecule 1 OS=Homo sapiens OX=9606 GN=CEACAM1 PE=1 SV=2
+QKKVESYIIETATLSPSASTPQTPQQAEFNLTSYTVENMKNPPDNSHDQTHNSVSPKHETLDRQDSARGTKGFHLFCALAVAILAVLAVVGIVIGAIAGPSLGNEQPLANYNVNLMIPDSQNKSIPNFVECWYTGADERKVPNISLTTNGQSLKMRESSPLSQNKFFWRISIGTDNTSCTLNVSDKDGTVTTKSAKIQPKAVVPSLETVIITKVTTRNCGTVSNNAHCTYSGSNNVTINPIFLEQTSQQFTGNILWSYQAPPNSAAYCSLSLNAGPRYYTDSPSITPTDPGYTVNLTVPDSRNASVPNQIECEYPGTDNRTVSLLTLTRNGNSLQLRPSVPLSQNNIWWLYTTDQTEPECTFAVADKDEVPNSNNSSISPKPLEPYVHFQGTAEENVLDSKIVQLTYFGTDNQTVNQILLSANPYITERGSNAPGPTAQQTGIAYGVIQRNGDVREGKYWSYGFLQQPLNHVLLLVEKGEAVNFPMSETTLQATTPPNWFTLLSATLLLGQWPVRVRHLPASLHGM
+>sp|P40198|CEAM3_HUMAN Carcinoembryonic antigen-related cell adhesion molecule 3 OS=Homo sapiens OX=9606 GN=CEACAM3 PE=1 SV=2
+MGPPSASPHRECIPWQGLLLTASLLNFWNPPTTAKLTIESMPLSVAEGKEVLLLVHNLPQHLFGYSWYKGERVDGNSLIVGYVIGTQQATPGAAYSGRETIYTNASLLIQNVTQNDIGFYTLQVIKSDLVNEEATGQFHVYQENAPGLPVGAVAGIVTGVLVGVALVAALVCFLLLAKTGRTSIQRDLKEQQPQALAPGRGPSHSSAFSMSPLSTAQAPLPNPRTAASIYEELLKHDTNIYCRMDHKAEVAS
+>DECOY_sp|P40198|CEAM3_HUMAN Carcinoembryonic antigen-related cell adhesion molecule 3 OS=Homo sapiens OX=9606 GN=CEACAM3 PE=1 SV=2
+SAVEAKHDMRCYINTDHKLLEEYISAATRPNPLPAQATSLPSMSFASSHSPGRGPALAQPQQEKLDRQISTRGTKALLLFCVLAAVLAVGVLVGTVIGAVAGVPLGPANEQYVHFQGTAEENVLDSKIVQLTYFGIDNQTVNQILLSANTYITERGSYAAGPTAQQTGIVYGVILSNGDVREGKYWSYGFLHQPLNHVLLLVEKGEAVSLPMSEITLKATTPPNWFNLLSATLLLGQWPICERHPSASPPGM
+>sp|P31997|CEAM8_HUMAN Carcinoembryonic antigen-related cell adhesion molecule 8 OS=Homo sapiens OX=9606 GN=CEACAM8 PE=1 SV=2
+MGPISAPSCRWRIPWQGLLLTASLFTFWNPPTTAQLTIEAVPSNAAEGKEVLLLVHNLPQDPRGYNWYKGETVDANRRIIGYVISNQQITPGPAYSNRETIYPNASLLMRNVTRNDTGSYTLQVIKLNLMSEEVTGQFSVHPETPKPSISSNNSNPVEDKDAVAFTCEPETQNTTYLWWVNGQSLPVSPRLQLSNGNRTLTLLSVTRNDVGPYECEIQNPASANFSDPVTLNVLYGPDAPTISPSDTYYHAGVNLNLSCHAASNPPSQYSWSVNGTFQQYTQKLFIPNITTKNSGSYACHTTNSATGRNRTTVRMITVSDALVQGSSPGLSARATVSIMIGVLARVALI
+>DECOY_sp|P31997|CEAM8_HUMAN Carcinoembryonic antigen-related cell adhesion molecule 8 OS=Homo sapiens OX=9606 GN=CEACAM8 PE=1 SV=2
+ILAVRALVGIMISVTARASLGPSSGQVLADSVTIMRVTTRNRGTASNTTHCAYSGSNKTTINPIFLKQTYQQFTGNVSWSYQSPPNSAAHCSLNLNVGAHYYTDSPSITPADPGYLVNLTVPDSFNASAPNQIECEYPGVDNRTVSLLTLTRNGNSLQLRPSVPLSQGNVWWLYTTNQTEPECTFAVADKDEVPNSNNSSISPKPTEPHVSFQGTVEESMLNLKIVQLTYSGTDNRTVNRMLLSANPYITERNSYAPGPTIQQNSIVYGIIRRNADVTEGKYWNYGRPDQPLNHVLLLVEKGEAANSPVAEITLQATTPPNWFTFLSATLLLGQWPIRWRCSPASIPGM
+>sp|Q9NSP4|CENPM_HUMAN Centromere protein M OS=Homo sapiens OX=9606 GN=CENPM PE=1 SV=1
+MSVLRPLDKLPGLNTATILLVGTEDALLQQLADSMLKEDCASELKVHLAKSLPLPSSVNRPRIDLIVFVVNLHSKYSLQNTEESLRHVDASFFLGKVCFLATGAGRESHCSIHRHTVVKLAHTYQSPLLYCDLEVEGFRATMAQRLVRVLQICAGHVPGVSALNLLSLLRSSEGPSLEDL
+>DECOY_sp|Q9NSP4|CENPM_HUMAN Centromere protein M OS=Homo sapiens OX=9606 GN=CENPM PE=1 SV=1
+LDELSPGESSRLLSLLNLASVGPVHGACIQLVRVLRQAMTARFGEVELDCYLLPSQYTHALKVVTHRHISCHSERGAGTALFCVKGLFFSADVHRLSEETNQLSYKSHLNVVFVILDIRPRNVSSPLPLSKALHVKLESACDEKLMSDALQQLLADETGVLLITATNLGPLKDLPRLVSM
+>sp|Q8N2Z9|CENPS_HUMAN Centromere protein S OS=Homo sapiens OX=9606 GN=CENPS PE=1 SV=1
+MEEEAETEEQQRFSYQQRLKAAVHYTVGCLCEEVALDKEMQFSKQTIAAISELTFRQCENFAKDLEMFARHAKRTTINTEDVKLLARRSNSLLKYITDKSEEIAQINLERKAQKKKKSEDGSKNSRQPAEAGVVESEN
+>DECOY_sp|Q8N2Z9|CENPS_HUMAN Centromere protein S OS=Homo sapiens OX=9606 GN=CENPS PE=1 SV=1
+NESEVVGAEAPQRSNKSGDESKKKKQAKRELNIQAIEESKDTIYKLLSNSRRALLKVDETNITTRKAHRAFMELDKAFNECQRFTLESIAAITQKSFQMEKDLAVEECLCGVTYHVAAKLRQQYSFRQQEETEAEEEM
+>sp|A8MT69|CENPX_HUMAN Centromere protein X OS=Homo sapiens OX=9606 GN=CENPX PE=1 SV=1
+MEGAGAGSGFRKELVSRLLHLHFKDDKTKVSGDALQLMVELLKVFVVEAAVRGVRQAQAEDALRVDVDQLEKVLPQLLLDF
+>DECOY_sp|A8MT69|CENPX_HUMAN Centromere protein X OS=Homo sapiens OX=9606 GN=CENPX PE=1 SV=1
+FDLLLQPLVKELQDVDVRLADEAQAQRVGRVAAEVVFVKLLEVMLQLADGSVKTKDDKFHLHLLRSVLEKRFGSGAGAGEM
+>sp|Q96LK0|CEP19_HUMAN Centrosomal protein of 19 kDa OS=Homo sapiens OX=9606 GN=CEP19 PE=1 SV=2
+MMCTAKKCGIRFQPPAIILIYESEIKGKIRQRIMPVRNFSKFSDCTRAAEQLKNNPRHKSYLEQVSLRQLEKLFSFLRGYLSGQSLAETMEQIQRETTIDPEEDLNKLDDKELAKRKSIMDELFEKNQKKKDDPNFVYDIEVEFPQDDQLQSCGWDTESADEF
+>DECOY_sp|Q96LK0|CEP19_HUMAN Centrosomal protein of 19 kDa OS=Homo sapiens OX=9606 GN=CEP19 PE=1 SV=2
+FEDASETDWGCSQLQDDQPFEVEIDYVFNPDDKKKQNKEFLEDMISKRKALEKDDLKNLDEEPDITTERQIQEMTEALSQGSLYGRLFSFLKELQRLSVQELYSKHRPNNKLQEAARTCDSFKSFNRVPMIRQRIKGKIESEYILIIAPPQFRIGCKKATCMM
+>sp|Q5JTW2|CEP78_HUMAN Centrosomal protein of 78 kDa OS=Homo sapiens OX=9606 GN=CEP78 PE=1 SV=1
+MIDSVKLRRDSAADFFSHYEYLCALQNSVPLPAVRACLREGVLDFNADRLRGVDWAPLLSTLKINKDLPLVSIKSFFQPWLGDTGSDMNKFCRSRVPAIRYKDVTFQLCKALKGCLSISSVLKNLELNGLILRERDLTILAKGLNKSASLVHLSLANCPIGDGGLEIICQGIKSSITLKTVNFTGCNLTWQGADHMAKILKYQTMRRHEETWAESLRYRRPDLDCMAGLRRITLNCNTLIGDLGACAFADSLSEDLWLRALDLQQCGLTNEGAKALLEALETNTTLVVLDIRKNPLIDHSMMKAVIKKVLQNGRSAKSEYQWITSPSVKEPSKTAKQKRRTIILGSGHKGKATIRIGLATKKPVSSGRKHSLGKEYYAPAPLPPGVSGFLPWRTAERAKRHRGFPLIKTRDICNQLQQPGFPVTVTVESPSSSEVEEVDDSSESVHEVPEKTSIEQEALQEKLEECLKQLKEERVIRLKVDKRVSELEHENAQLRNINFSLSEALHAQSLTNMILDDEGVLGSIENSFQKFHAFLDLLKDAGLGQLATMAGIDQSDFQLLGHPQMTSTVSNPPKEEKKALEDEKPEPKQNALGQMQNIQFQKITGDARIPLPLDSFPVPVSTPEGLGTSSNNLGVPATEQRQESFEGFIARMCSPSPDATSGTGSQRKEEELSRNSRSSSEKKTKTESH
+>DECOY_sp|Q5JTW2|CEP78_HUMAN Centrosomal protein of 78 kDa OS=Homo sapiens OX=9606 GN=CEP78 PE=1 SV=1
+HSETKTKKESSSRSNRSLEEEKRQSGTGSTADPSPSCMRAIFGEFSEQRQETAPVGLNNSSTGLGEPTSVPVPFSDLPLPIRADGTIKQFQINQMQGLANQKPEPKEDELAKKEEKPPNSVTSTMQPHGLLQFDSQDIGAMTALQGLGADKLLDLFAHFKQFSNEISGLVGEDDLIMNTLSQAHLAESLSFNINRLQANEHELESVRKDVKLRIVREEKLQKLCEELKEQLAEQEISTKEPVEHVSESSDDVEEVESSSPSEVTVTVPFGPQQLQNCIDRTKILPFGRHRKAREATRWPLFGSVGPPLPAPAYYEKGLSHKRGSSVPKKTALGIRITAKGKHGSGLIITRRKQKATKSPEKVSPSTIWQYESKASRGNQLVKKIVAKMMSHDILPNKRIDLVVLTTNTELAELLAKAGENTLGCQQLDLARLWLDESLSDAFACAGLDGILTNCNLTIRRLGAMCDLDPRRYRLSEAWTEEHRRMTQYKLIKAMHDAGQWTLNCGTFNVTKLTISSKIGQCIIELGGDGIPCNALSLHVLSASKNLGKALITLDRERLILGNLELNKLVSSISLCGKLAKCLQFTVDKYRIAPVRSRCFKNMDSGTDGLWPQFFSKISVLPLDKNIKLTSLLPAWDVGRLRDANFDLVGERLCARVAPLPVSNQLACLYEYHSFFDAASDRRLKVSDIM
+>sp|Q96ST8|CEP89_HUMAN Centrosomal protein of 89 kDa OS=Homo sapiens OX=9606 GN=CEP89 PE=1 SV=3
+MLLGFRRGRRSHFKHIIHGLLPAASVAPKAAVPRTPPPRSPNPSPERPRSALAAAILATTLTGRTVAIPQPRQRSRSESDVSSVEQDSFIEPYATTSQLRPRPNWQSEMGRRSSLPSFETLDYGDEEDIETQLSSSGKELGDVSAREDRGGHSDDLYAVPHRNQVPLLHEVNSEDDENISHQDGFPGSPPAPQRTQQKDGKHPVLNLKDEKPPLCEKPPPSPDITGRARQRYTEITREKFEALKEENMDLNNMNQSLTLELNTMKQAMKELQLKLKGMEKEKRKLKEAEKASSQEVAAPELLYLRKQAQELVDENDGLKMTVHRLNVELSRYQTKFRHLSKEESLNIEGLPSKGPIPPWLLDIKYLSPLLLAYEDMMKEKDELNATLKEEMRMFRMRVQEVVKENEELHQELNKSSAVTSEEWRQLQTQAKLVLEENKLLLEQLEIQQRKAKDSHQERLQEVSKLTKQLMLLEAKTHGQEKELAENREQLEILRAKCQELKTHSDGKIAVEVHKSIVNELKSQLQKEEEKERAEMEELMEKLTVLQAQKKSLLLEKNSLTEQNKALEAELERAQKINRKSQKKIEVLKKQVEKAMGNEMSAHQYLANLVGLAENITQERDSLMCLAKCLESEKDGVLNKVIKSNIRLGKLEEKVKGYKKQAALKLGDISHRLLEQQEDFAGKTAQYRQEMRHLHQVLKDKQEVLDQALQQNREMEGELEVIWESTFRENRRIRELLQDTLTRTGVQDNPRALVAPSLNGVSQADLLDGCDVCSYDLKSHAPTC
+>DECOY_sp|Q96ST8|CEP89_HUMAN Centrosomal protein of 89 kDa OS=Homo sapiens OX=9606 GN=CEP89 PE=1 SV=3
+CTPAHSKLDYSCVDCGDLLDAQSVGNLSPAVLARPNDQVGTRTLTDQLLERIRRNERFTSEWIVELEGEMERNQQLAQDLVEQKDKLVQHLHRMEQRYQATKGAFDEQQELLRHSIDGLKLAAQKKYGKVKEELKGLRINSKIVKNLVGDKESELCKALCMLSDREQTINEALGVLNALYQHASMENGMAKEVQKKLVEIKKQSKRNIKQARELEAELAKNQETLSNKELLLSKKQAQLVTLKEMLEEMEAREKEEEKQLQSKLENVISKHVEVAIKGDSHTKLEQCKARLIELQERNEALEKEQGHTKAELLMLQKTLKSVEQLREQHSDKAKRQQIELQELLLKNEELVLKAQTQLQRWEESTVASSKNLEQHLEENEKVVEQVRMRFMRMEEKLTANLEDKEKMMDEYALLLPSLYKIDLLWPPIPGKSPLGEINLSEEKSLHRFKTQYRSLEVNLRHVTMKLGDNEDVLEQAQKRLYLLEPAAVEQSSAKEAEKLKRKEKEMGKLKLQLEKMAQKMTNLELTLSQNMNNLDMNEEKLAEFKERTIETYRQRARGTIDPSPPPKECLPPKEDKLNLVPHKGDKQQTRQPAPPSGPFGDQHSINEDDESNVEHLLPVQNRHPVAYLDDSHGGRDERASVDGLEKGSSSLQTEIDEEDGYDLTEFSPLSSRRGMESQWNPRPRLQSTTAYPEIFSDQEVSSVDSESRSRQRPQPIAVTRGTLTTALIAAALASRPREPSPNPSRPPPTRPVAAKPAVSAAPLLGHIIHKFHSRRGRRFGLLM
+>sp|Q96G23|CERS2_HUMAN Ceramide synthase 2 OS=Homo sapiens OX=9606 GN=CERS2 PE=1 SV=1
+MLQTLYDYFWWERLWLPVNLTWADLEDRDGRVYAKASDLYITLPLALLFLIVRYFFELYVATPLAALLNIKEKTRLRAPPNATLEHFYLTSGKQPKQVEVELLSRQSGLSGRQVERWFRRRRNQDRPSLLKKFREASWRFTFYLIAFIAGMAVIVDKPWFYDMKKVWEGYPIQSTIPSQYWYYMIELSFYWSLLFSIASDVKRKDFKEQIIHHVATIILISFSWFANYIRAGTLIMALHDSSDYLLESAKMFNYAGWKNTCNNIFIVFAIVFIITRLVILPFWILHCTLVYPLELYPAFFGYYFFNSMMGVLQLLHIFWAYLILRMAHKFITGKLVEDERSDREETESSEGEEAAAGGGAKSRPLANGHPILNNNHRKND
+>DECOY_sp|Q96G23|CERS2_HUMAN Ceramide synthase 2 OS=Homo sapiens OX=9606 GN=CERS2 PE=1 SV=1
+DNKRHNNNLIPHGNALPRSKAGGGAAAEEGESSETEERDSREDEVLKGTIFKHAMRLILYAWFIHLLQLVGMMSNFFYYGFFAPYLELPYVLTCHLIWFPLIVLRTIIFVIAFVIFINNCTNKWGAYNFMKASELLYDSSDHLAMILTGARIYNAFWSFSILIITAVHHIIQEKFDKRKVDSAISFLLSWYFSLEIMYYWYQSPITSQIPYGEWVKKMDYFWPKDVIVAMGAIFAILYFTFRWSAERFKKLLSPRDQNRRRRFWREVQRGSLGSQRSLLEVEVQKPQKGSTLYFHELTANPPARLRTKEKINLLAALPTAVYLEFFYRVILFLLALPLTIYLDSAKAYVRGDRDELDAWTLNVPLWLREWWFYDYLTQLM
+>sp|Q8N5B7|CERS5_HUMAN Ceramide synthase 5 OS=Homo sapiens OX=9606 GN=CERS5 PE=2 SV=1
+MATAAQGPLSLLWGWLWSERFWLPENVSWADLEGPADGYGYPRGRHILSVFPLAAGIFFVRLLFERFIAKPCALCIGIEDSGPYQAQPNAILEKVFISITKYPDKKRLEGLSKQLDWNVRKIQCWFRHRRNQDKPPTLTKFCESMWRFTFYLCIFCYGIRFLWSSPWFWDIRQCWHNYPFQPLSSGLYHYYIMELAFYWSLMFSQFTDIKRKDFLIMFVHHLVTIGLISFSYINNMVRVGTLIMCLHDVSDFLLEAAKLANYAKYQRLCDTLFVIFSAVFMVTRLGIYPFWILNTTLFESWEIIGPYASWWLLNGLLLTLQLLHVIWSYLIARIALKALIRGKVSKDDRSDVESSSEEEDVTTCTKSPCDSSSSNGANRVNGHMGGSYWAEE
+>DECOY_sp|Q8N5B7|CERS5_HUMAN Ceramide synthase 5 OS=Homo sapiens OX=9606 GN=CERS5 PE=2 SV=1
+EEAWYSGGMHGNVRNAGNSSSSDCPSKTCTTVDEEESSSEVDSRDDKSVKGRILAKLAIRAILYSWIVHLLQLTLLLGNLLWWSAYPGIIEWSEFLTTNLIWFPYIGLRTVMFVASFIVFLTDCLRQYKAYNALKAAELLFDSVDHLCMILTGVRVMNNIYSFSILGITVLHHVFMILFDKRKIDTFQSFMLSWYFALEMIYYHYLGSSLPQFPYNHWCQRIDWFWPSSWLFRIGYCFICLYFTFRWMSECFKTLTPPKDQNRRHRFWCQIKRVNWDLQKSLGELRKKDPYKTISIFVKELIANPQAQYPGSDEIGICLACPKAIFREFLLRVFFIGAALPFVSLIHRGRPYGYGDAPGELDAWSVNEPLWFRESWLWGWLLSLPGQAATAM
+>sp|P00450|CERU_HUMAN Ceruloplasmin OS=Homo sapiens OX=9606 GN=CP PE=1 SV=1
+MKILILGIFLFLCSTPAWAKEKHYYIGIIETTWDYASDHGEKKLISVDTEHSNIYLQNGPDRIGRLYKKALYLQYTDETFRTTIEKPVWLGFLGPIIKAETGDKVYVHLKNLASRPYTFHSHGITYYKEHEGAIYPDNTTDFQRADDKVYPGEQYTYMLLATEEQSPGEGDGNCVTRIYHSHIDAPKDIASGLIGPLIICKKDSLDKEKEKHIDREFVVMFSVVDENFSWYLEDNIKTYCSEPEKVDKDNEDFQESNRMYSVNGYTFGSLPGLSMCAEDRVKWYLFGMGNEVDVHAAFFHGQALTNKNYRIDTINLFPATLFDAYMVAQNPGEWMLSCQNLNHLKAGLQAFFQVQECNKSSSKDNIRGKHVRHYYIAAEEIIWNYAPSGIDIFTKENLTAPGSDSAVFFEQGTTRIGGSYKKLVYREYTDASFTNRKERGPEEEHLGILGPVIWAEVGDTIRVTFHNKGAYPLSIEPIGVRFNKNNEGTYYSPNYNPQSRSVPPSASHVAPTETFTYEWTVPKEVGPTNADPVCLAKMYYSAVDPTKDIFTGLIGPMKICKKGSLHANGRQKDVDKEFYLFPTVFDENESLLLEDNIRMFTTAPDQVDKEDEDFQESNKMHSMNGFMYGNQPGLTMCKGDSVVWYLFSAGNEADVHGIYFSGNTYLWRGERRDTANLFPQTSLTLHMWPDTEGTFNVECLTTDHYTGGMKQKYTVNQCRRQSEDSTFYLGERTYYIAAVEVEWDYSPQREWEKELHHLQEQNVSNAFLDKGEFYIGSKYKKVVYRQYTDSTFRVPVERKAEEEHLGILGPQLHADVGDKVKIIFKNMATRPYSIHAHGVQTESSTVTPTLPGETLTYVWKIPERSGAGTEDSACIPWAYYSTVDQVKDLYSGLIGPLIVCRRPYLKVFNPRRKLEFALLFLVFDENESWYLDDNIKTYSDHPEKVNKDDEEFIESNKMHAINGRMFGNLQGLTMHVGDEVNWYLMGMGNEIDLHTVHFHGHSFQYKHRGVYSSDVFDIFPGTYQTLEMFPRTPGIWLLHCHVTDHIHAGMETTYTVLQNEDTKSG
+>DECOY_sp|P00450|CERU_HUMAN Ceruloplasmin OS=Homo sapiens OX=9606 GN=CP PE=1 SV=1
+GSKTDENQLVTYTTEMGAHIHDTVHCHLLWIGPTRPFMELTQYTGPFIDFVDSSYVGRHKYQFSHGHFHVTHLDIENGMGMLYWNVEDGVHMTLGQLNGFMRGNIAHMKNSEIFEEDDKNVKEPHDSYTKINDDLYWSENEDFVLFLLAFELKRRPNFVKLYPRRCVILPGILGSYLDKVQDVTSYYAWPICASDETGAGSREPIKWVYTLTEGPLTPTVTSSETQVGHAHISYPRTAMNKFIIKVKDGVDAHLQPGLIGLHEEEAKREVPVRFTSDTYQRYVVKKYKSGIYFEGKDLFANSVNQEQLHHLEKEWERQPSYDWEVEVAAIYYTREGLYFTSDESQRRCQNVTYKQKMGGTYHDTTLCEVNFTGETDPWMHLTLSTQPFLNATDRREGRWLYTNGSFYIGHVDAENGASFLYWVVSDGKCMTLGPQNGYMFGNMSHMKNSEQFDEDEKDVQDPATTFMRINDELLLSENEDFVTPFLYFEKDVDKQRGNAHLSGKKCIKMPGILGTFIDKTPDVASYYMKALCVPDANTPGVEKPVTWEYTFTETPAVHSASPPVSRSQPNYNPSYYTGENNKNFRVGIPEISLPYAGKNHFTVRITDGVEAWIVPGLIGLHEEEPGREKRNTFSADTYERYVLKKYSGGIRTTGQEFFVASDSGPATLNEKTFIDIGSPAYNWIIEEAAIYYHRVHKGRINDKSSSKNCEQVQFFAQLGAKLHNLNQCSLMWEGPNQAVMYADFLTAPFLNITDIRYNKNTLAQGHFFAAHVDVENGMGFLYWKVRDEACMSLGPLSGFTYGNVSYMRNSEQFDENDKDVKEPESCYTKINDELYWSFNEDVVSFMVVFERDIHKEKEKDLSDKKCIILPGILGSAIDKPADIHSHYIRTVCNGDGEGPSQEETALLMYTYQEGPYVKDDARQFDTTNDPYIAGEHEKYYTIGHSHFTYPRSALNKLHVYVKDGTEAKIIPGLFGLWVPKEITTRFTEDTYQLYLAKKYLRGIRDPGNQLYINSHETDVSILKKEGHDSAYDWTTEIIGIYYHKEKAWAPTSCLFLFIGLILIKM
+>sp|Q9HD87|CF050_HUMAN Putative uncharacterized protein C6orf50 OS=Homo sapiens OX=9606 GN=C6orf50 PE=5 SV=1
+MANTQLDHLHYTTEFTRNDLLIICKKFNLMLMDEDIISLLAIFIKMCLWLWKQFLKRGSKCSETSELLEKVKLQLAFTAYKYVDICFPEQMAYSRYIRWYIH
+>DECOY_sp|Q9HD87|CF050_HUMAN Putative uncharacterized protein C6orf50 OS=Homo sapiens OX=9606 GN=C6orf50 PE=5 SV=1
+HIYWRIYRSYAMQEPFCIDVYKYATFALQLKVKELLESTESCKSGRKLFQKWLWLCMKIFIALLSIIDEDMLMLNFKKCIILLDNRTFETTYHLHDLQTNAM
+>sp|Q6UWU4|CF089_HUMAN Bombesin receptor-activated protein C6orf89 OS=Homo sapiens OX=9606 GN=C6orf89 PE=1 SV=1
+MDLAANEISIYDKLSETVDLVRQTGHQCGMSEKAIEKFIRQLLEKNEPQRPPPQYPLLIVVYKVLATLGLILLTAYFVIQPFSPLAPEPVLSGAHTWRSLIHHIRLMSLPIAKKYMSENKGVPLHGGDEDRPFPDFDPWWTNDCEQNESEPIPANCTGCAQKHLKVMLLEDAPRKFERLHPLVIKTGKPLLEEEIQHFLCQYPEATEGFSEGFFAKWWRCFPERWFPFPYPWRRPLNRSQMLRELFPVFTHLPFPKDASLNKCSFLHPEPVVGSKMHKMPDLFIIGSGEAMLQLIPPFQCRRHCQSVAMPIEPGDIGYVDTTHWKVYVIARGVQPLVICDGTAFSEL
+>DECOY_sp|Q6UWU4|CF089_HUMAN Bombesin receptor-activated protein C6orf89 OS=Homo sapiens OX=9606 GN=C6orf89 PE=1 SV=1
+LESFATGDCIVLPQVGRAIVYVKWHTTDVYGIDGPEIPMAVSQCHRRCQFPPILQLMAEGSGIIFLDPMKHMKSGVVPEPHLFSCKNLSADKPFPLHTFVPFLERLMQSRNLPRRWPYPFPFWREPFCRWWKAFFGESFGETAEPYQCLFHQIEEELLPKGTKIVLPHLREFKRPADELLMVKLHKQACGTCNAPIPESENQECDNTWWPDFDPFPRDEDGGHLPVGKNESMYKKAIPLSMLRIHHILSRWTHAGSLVPEPALPSFPQIVFYATLLILGLTALVKYVVILLPYQPPPRQPENKELLQRIFKEIAKESMGCQHGTQRVLDVTESLKDYISIENAALDM
+>sp|Q9H8W2|CF155_HUMAN Putative uncharacterized protein encoded by LINC00472 OS=Homo sapiens OX=9606 GN=LINC00472 PE=5 SV=2
+MRPGSSPRAPECGAPALPRPQLDRLPARPAPSRGRGAPSLRWPAKEVGPRPQIPATCEPGKVCGASAGRRDAARPSRPRSSRVTFSTRRQPGPQRGRWGLRGGPESVRGLPHLGLRISGTPLGIFSSWSL
+>DECOY_sp|Q9H8W2|CF155_HUMAN Putative uncharacterized protein encoded by LINC00472 OS=Homo sapiens OX=9606 GN=LINC00472 PE=5 SV=2
+LSWSSFIGLPTGSIRLGLHPLGRVSEPGGRLGWRGRQPGPQRRTSFTVRSSRPRSPRAADRRGASAGCVKGPECTAPIQPRPGVEKAPWRLSPAGRGRSPAPRAPLRDLQPRPLAPAGCEPARPSSGPRM
+>sp|Q5JU67|CF157_HUMAN Cilia- and flagella-associated protein 157 OS=Homo sapiens OX=9606 GN=CFAP157 PE=2 SV=1
+MAPKKSVSKAGKELEVKKKGGKKEPVVAVEPPLAKEMKEFYHIQIRDLEDRLARYQRKWDELAVQEKMFRQEFEQLANNKKEIVAFLKRTLNQQVDEITDLNEQLQNLQLAKEMEKDAFEAQLAQVRHEFQETKDQLTTENIILGGKLAALEEFRLQKEEVTDKFTLLEEQVRKQENEFRDYAYNLEKKSVLDKDRLRKEIIQRVNLVANEFHKVTTNRMWETTKRAIKENNGITLQMARVSQQGMKLLQENEQLKGRQNNLCKQLELLENTQKVMARHKRGHQKIILMLTKKCQEQQQDTKEAEELRLLLSQLEQRSLQLQVDNQALKSQRDQLSLQLEQQQVDLQRLQQELANEQKVRASLEAALVQATSFLQNILQMHRDEEDSDVDVTFQPWHKEMLQQLLVMLSSTVATRPQKAACPHQESQSHGPPKESRPSIQLPRTGSLLPQLSDITPYQPGDLGLVPRQVHIPPNPQDLRLLSYITRVGTFRAHSSPEMRAPGSLKRLEKFSLPEVPLRPK
+>DECOY_sp|Q5JU67|CF157_HUMAN Cilia- and flagella-associated protein 157 OS=Homo sapiens OX=9606 GN=CFAP157 PE=2 SV=1
+KPRLPVEPLSFKELRKLSGPARMEPSSHARFTGVRTIYSLLRLDQPNPPIHVQRPVLGLDGPQYPTIDSLQPLLSGTRPLQISPRSEKPPGHSQSEQHPCAAKQPRTAVTSSLMVLLQQLMEKHWPQFTVDVDSDEEDRHMQLINQLFSTAQVLAAELSARVKQENALEQQLRQLDVQQQELQLSLQDRQSKLAQNDVQLQLSRQELQSLLLRLEEAEKTDQQQEQCKKTLMLIIKQHGRKHRAMVKQTNELLELQKCLNNQRGKLQENEQLLKMGQQSVRAMQLTIGNNEKIARKTTEWMRNTTVKHFENAVLNVRQIIEKRLRDKDLVSKKELNYAYDRFENEQKRVQEELLTFKDTVEEKQLRFEELAALKGGLIINETTLQDKTEQFEHRVQALQAEFADKEMEKALQLNQLQENLDTIEDVQQNLTRKLFAVIEKKNNALQEFEQRFMKEQVALEDWKRQYRALRDELDRIQIHYFEKMEKALPPEVAVVPEKKGGKKKVELEKGAKSVSKKPAM
+>sp|Q5TEZ5|CF163_HUMAN Uncharacterized protein C6orf163 OS=Homo sapiens OX=9606 GN=C6orf163 PE=4 SV=2
+MIRNSDYKNFVCCAVCNKIIPPAPFGKTFKRIHEYKPLKTRFYTHKDILDIGANILKKEEQFQEDILREHIAKAEAEVWAQANERQKQAVEKALEEANDRHKIEIQILKEEHQKDLQEVTAKTKTEMYQNMDDEMKREHLAAEQRMVHRIQRIMMECHREKVEAVEKARAEERHIAQEAIQAQKSKAVEEIVNTGVTVIKDEKTSVARLMREKEHEMSILYGIAQRQRQEEVQEVLQEAEKTHQATLGNMMDKLANTQGELLSIAKQLGIMTNWKDFLEEELQETRMAFQKYINYTFPKLSPGHADFILPERKKTPSNLVIKENKTTLD
+>DECOY_sp|Q5TEZ5|CF163_HUMAN Uncharacterized protein C6orf163 OS=Homo sapiens OX=9606 GN=C6orf163 PE=4 SV=2
+DLTTKNEKIVLNSPTKKREPLIFDAHGPSLKPFTYNIYKQFAMRTEQLEEELFDKWNTMIGLQKAISLLEGQTNALKDMMNGLTAQHTKEAEQLVEQVEEQRQRQAIGYLISMEHEKERMLRAVSTKEDKIVTVGTNVIEEVAKSKQAQIAEQAIHREEARAKEVAEVKERHCEMMIRQIRHVMRQEAALHERKMEDDMNQYMETKTKATVEQLDKQHEEKLIQIEIKHRDNAEELAKEVAQKQRENAQAWVEAEAKAIHERLIDEQFQEEKKLINAGIDLIDKHTYFRTKLPKYEHIRKFTKGFPAPPIIKNCVACCVFNKYDSNRIM
+>sp|Q96MT4|CF195_HUMAN Uncharacterized protein encoded by LINC01600 OS=Homo sapiens OX=9606 GN=LINC01600 PE=1 SV=2
+MIYPLDLFRNIPWKQGKCFASLSPEGERAFDGMEPLCQPGARPALRGLQHASDCYRLLSPPGSGLVGTNPSVPAPSPHCGCCQAWSISSFTFTGPTPFKINSDQATPCHLRSPETIFRQREISNEAF
+>DECOY_sp|Q96MT4|CF195_HUMAN Uncharacterized protein encoded by LINC01600 OS=Homo sapiens OX=9606 GN=LINC01600 PE=1 SV=2
+FAENSIERQRFITEPSRLHCPTAQDSNIKFPTPGTFTFSSISWAQCCGCHPSPAPVSPNTGVLGSGPPSLLRYCDSAHQLGRLAPRAGPQCLPEMGDFAREGEPSLSAFCKGQKWPINRFLDLPYIM
+>sp|Q7Z4U5|CF201_HUMAN Uncharacterized protein C6orf201 OS=Homo sapiens OX=9606 GN=C6orf201 PE=2 SV=3
+MLSNLHELLPNHLMETLYSRKSEEDKKKCENPELSGLERILARHQLPKEINLTPKPNRMPPWKRKIINNVTDGWKKCHLLKRNTKEPPMSTIVVRKLIQKNVPRRHSLRNTSRKLRNLPTTAKGTQTGKSQCLLGISEPT
+>DECOY_sp|Q7Z4U5|CF201_HUMAN Uncharacterized protein C6orf201 OS=Homo sapiens OX=9606 GN=C6orf201 PE=2 SV=3
+TPESIGLLCQSKGTQTGKATTPLNRLKRSTNRLSHRRPVNKQILKRVVITSMPPEKTNRKLLHCKKWGDTVNNIIKRKWPPMRNPKPTLNIEKPLQHRALIRELGSLEPNECKKKDEESKRSYLTEMLHNPLLEHLNSLM
+>sp|Q8IYR0|CF206_HUMAN Cilia- and flagella-associated protein 206 OS=Homo sapiens OX=9606 GN=CFAP206 PE=1 SV=2
+MPPTQAESVIRSIIREIGQECAAHGEIVSETLIAFMVKAVVLDPSNGFNMDRTLMKSDVQNLVKLCMTRLLDTKNPSLDTIKMQVYFDMNYTNRVEFLEEHHRVLESRLGSVTREITDNRACAKEELESLYRKIISYVLLRSGLGSPTDIKTVREVTAALQSVFPQAELGTFLTLSKKDKERQLKELTMIVTGIRLFNRDCGKGGEGIDDLPAVLHVAIPATMQHIDYQLETARSQVYRYTAILEKAANDPLMRAELQPYMLKEALYNIRQYEVFLQIILSDIITGAQEVEMMTKQLGAHLEQLKMTIKSKIAVPTSQVFPIFIALSTLWTSLQDETIVVGVLSNLFTHIQPFLGAHELYFPERVMQCHLNGATVKTDVCRMKEHMEDRVNVADFRKLEWLFPETTANFDKLLIQYRGFCAYTFAATDGLLLPGNPAIGILKYKEKYYTFNSKDAAYSFAENPEHYIDIVREKAKKNTELIQLLELHQQFETFIPYSQMRDADKHYIKPITKCESSTQTNTHILPPTIVRSYEWNEWELRRKAIKLANLRQKVTHSVQTDLSHLRRENCSQVYPPKDTSTQSMREDSTGVPRPQIYLAGLRGGKSEITDEVKVNLTRDVDET
+>DECOY_sp|Q8IYR0|CF206_HUMAN Cilia- and flagella-associated protein 206 OS=Homo sapiens OX=9606 GN=CFAP206 PE=1 SV=2
+TEDVDRTLNVKVEDTIESKGGRLGALYIQPRPVGTSDERMSQTSTDKPPYVQSCNERRLHSLDTQVSHTVKQRLNALKIAKRRLEWENWEYSRVITPPLIHTNTQTSSECKTIPKIYHKDADRMQSYPIFTEFQQHLELLQILETNKKAKERVIDIYHEPNEAFSYAADKSNFTYYKEKYKLIGIAPNGPLLLGDTAAFTYACFGRYQILLKDFNATTEPFLWELKRFDAVNVRDEMHEKMRCVDTKVTAGNLHCQMVREPFYLEHAGLFPQIHTFLNSLVGVVITEDQLSTWLTSLAIFIPFVQSTPVAIKSKITMKLQELHAGLQKTMMEVEQAGTIIDSLIIQLFVEYQRINYLAEKLMYPQLEARMLPDNAAKELIATYRYVQSRATELQYDIHQMTAPIAVHLVAPLDDIGEGGKGCDRNFLRIGTVIMTLEKLQREKDKKSLTLFTGLEAQPFVSQLAATVERVTKIDTPSGLGSRLLVYSIIKRYLSELEEKACARNDTIERTVSGLRSELVRHHEELFEVRNTYNMDFYVQMKITDLSPNKTDLLRTMCLKVLNQVDSKMLTRDMNFGNSPDLVVAKVMFAILTESVIEGHAACEQGIERIISRIVSEAQTPPM
+>sp|Q96M91|CFA53_HUMAN Cilia- and flagella-associated protein 53 OS=Homo sapiens OX=9606 GN=CFAP53 PE=1 SV=2
+MYSQRFGTVQREVKGPTPKVVIVRSKPPKGQGAEHHLERIRRSHQKHNAILASIKSSERDRLKAEWDQHNDCKILDSLVRARIKDAVQGFIINIEERRNKLRELLALEENEYFTEMQLKKETIEEKKDRMREKTKLLKEKNEKERQDFVAEKLDQQFRERCEELRVELLSIHQKKVCEERKAQIAFNEELSRQKLVEEQMFSKLWEEDRLAKEKREAQEARRQKELMENTRLGLNAQITSIKAQRQATQLLKEEEARLVESNNAQIKHENEQDMLKKQKAKQETRTILQKALQERIEHIQQEYRDEQDLNMKLVQRALQDLQEEADKKKQKREDMIREQKIYHKYLAQRREEEKAQEKEFDRILEEDKAKKLAEKDKELRLEKEARRQLVDEVMCTRKLQVQEKLQREAKEQEERAMEQKHINESLKELNCEEKENFARRQRLAQEYRKQLQMQIAYQQQSQEAEKEEKRREFEAGVAANKMCLDKVQEVLSTHQVLPQNIHPMRKACPSKLPP
+>DECOY_sp|Q96M91|CFA53_HUMAN Cilia- and flagella-associated protein 53 OS=Homo sapiens OX=9606 GN=CFAP53 PE=1 SV=2
+PPLKSPCAKRMPHINQPLVQHTSLVEQVKDLCMKNAAVGAEFERRKEEKEAEQSQQQYAIQMQLQKRYEQALRQRRAFNEKEECNLEKLSENIHKQEMAREEQEKAERQLKEQVQLKRTCMVEDVLQRRAEKELRLEKDKEALKKAKDEELIRDFEKEQAKEEERRQALYKHYIKQERIMDERKQKKKDAEEQLDQLARQVLKMNLDQEDRYEQQIHEIREQLAKQLITRTEQKAKQKKLMDQENEHKIQANNSEVLRAEEEKLLQTAQRQAKISTIQANLGLRTNEMLEKQRRAEQAERKEKALRDEEWLKSFMQEEVLKQRSLEENFAIQAKREECVKKQHISLLEVRLEECRERFQQDLKEAVFDQREKENKEKLLKTKERMRDKKEEITEKKLQMETFYENEELALLERLKNRREEINIIFGQVADKIRARVLSDLIKCDNHQDWEAKLRDRESSKISALIANHKQHSRRIRELHHEAGQGKPPKSRVIVVKPTPGKVERQVTGFRQSYM
+>sp|Q8WU49|CG033_HUMAN Uncharacterized protein C7orf33 OS=Homo sapiens OX=9606 GN=C7orf33 PE=2 SV=1
+MQVEVQSLSLEECPWRLPGPQCECEALLPSGARRRIDLRLSGRAVAVWVHVRGGPGQFNLSYATGRHKKPNPHQNMNRGMEFIAPVSAPTKSGAPWHFLSQGPTDAQRAVRIRPGTRMGLSSDPVVGTLSSSYLDLLTLSYKPGRTVTSSYLNVRGHEVRKLQNSVEATRISRTDSS
+>DECOY_sp|Q8WU49|CG033_HUMAN Uncharacterized protein C7orf33 OS=Homo sapiens OX=9606 GN=C7orf33 PE=2 SV=1
+SSDTRSIRTAEVSNQLKRVEHGRVNLYSSTVTRGPKYSLTLLDLYSSSLTGVVPDSSLGMRTGPRIRVARQADTPGQSLFHWPAGSKTPASVPAIFEMGRNMNQHPNPKKHRGTAYSLNFQGPGGRVHVWVAVARGSLRLDIRRRAGSPLLAECECQPGPLRWPCEELSLSQVEVQM
+>sp|Q9BRJ6|CG050_HUMAN Uncharacterized protein C7orf50 OS=Homo sapiens OX=9606 GN=C7orf50 PE=1 SV=1
+MAKQKRKVPEVTEKKNKKLKKASAEGPLLGPEAAPSGEGAGSKGEAVLRPGLDAEPELSPEEQRVLERKLKKERKKEERQRLREAGLVAQHPPARRSGAELALDYLCRWAQKHKNWRFQKTRQTWLLLHMYDSDKVPDEHFSTLLAYLEGLQGRARELTVQKAEALMRELDEEGSDPPLPGRAQRIRQVLQLLS
+>DECOY_sp|Q9BRJ6|CG050_HUMAN Uncharacterized protein C7orf50 OS=Homo sapiens OX=9606 GN=C7orf50 PE=1 SV=1
+SLLQLVQRIRQARGPLPPDSGEEDLERMLAEAKQVTLERARGQLGELYALLTSFHEDPVKDSDYMHLLLWTQRTKQFRWNKHKQAWRCLYDLALEAGSRRAPPHQAVLGAERLRQREEKKREKKLKRELVRQEEPSLEPEADLGPRLVAEGKSGAGEGSPAAEPGLLPGEASAKKLKKNKKETVEPVKRKQKAM
+>sp|Q6ZTY9|CG065_HUMAN Uncharacterized protein C7orf65 OS=Homo sapiens OX=9606 GN=C7orf65 PE=2 SV=1
+MRMAPTESTEGRRLWPGPREGGSGKETTSEKLSNLPRPHSYSPKRADAESFRGVPAAFKKCREVFRACWGSRELLFLFKAISEAGPAQNSCGITLEKAGGLEDTGSHWLSWARCKVLYINGFTDPWKDAQAWILIVSCKKGKGTPEREGRN
+>DECOY_sp|Q6ZTY9|CG065_HUMAN Uncharacterized protein C7orf65 OS=Homo sapiens OX=9606 GN=C7orf65 PE=2 SV=1
+NRGEREPTGKGKKCSVILIWAQADKWPDTFGNIYLVKCRAWSLWHSGTDELGGAKELTIGCSNQAPGAESIAKFLFLLERSGWCARFVERCKKFAAPVGRFSEADARKPSYSHPRPLNSLKESTTEKGSGGERPGPWLRRGETSETPAMRM
+>sp|O95067|CCNB2_HUMAN G2/mitotic-specific cyclin-B2 OS=Homo sapiens OX=9606 GN=CCNB2 PE=1 SV=1
+MALLRRPTVSSDLENIDTGVNSKVKSHVTIRRTVLEEIGNRVTTRAAQVAKKAQNTKVPVQPTKTTNVNKQLKPTASVKPVQMEKLAPKGPSPTPEDVSMKEENLCQAFSDALLCKIEDIDNEDWENPQLCSDYVKDIYQYLRQLEVLQSINPHFLDGRDINGRMRAILVDWLVQVHSKFRLLQETLYMCVGIMDRFLQVQPVSRKKLQLVGITALLLASKYEEMFSPNIEDFVYITDNAYTSSQIREMETLILKELKFELGRPLPLHFLRRASKAGEVDVEQHTLAKYLMELTLIDYDMVHYHPSKVAAAASCLSQKVLGQGKWNLKQQYYTGYTENEVLEVMQHMAKNVVKVNENLTKFIAIKNKYASSKLLKISMIPQLNSKAVKDLASPLIGRS
+>DECOY_sp|O95067|CCNB2_HUMAN G2/mitotic-specific cyclin-B2 OS=Homo sapiens OX=9606 GN=CCNB2 PE=1 SV=1
+SRGILPSALDKVAKSNLQPIMSIKLLKSSAYKNKIAIFKTLNENVKVVNKAMHQMVELVENETYGTYYQQKLNWKGQGLVKQSLCSAAAAVKSPHYHVMDYDILTLEMLYKALTHQEVDVEGAKSARRLFHLPLPRGLEFKLEKLILTEMERIQSSTYANDTIYVFDEINPSFMEEYKSALLLATIGVLQLKKRSVPQVQLFRDMIGVCMYLTEQLLRFKSHVQVLWDVLIARMRGNIDRGDLFHPNISQLVELQRLYQYIDKVYDSCLQPNEWDENDIDEIKCLLADSFAQCLNEEKMSVDEPTPSPGKPALKEMQVPKVSATPKLQKNVNTTKTPQVPVKTNQAKKAVQAARTTVRNGIEELVTRRITVHSKVKSNVGTDINELDSSVTPRRLLAM
+>sp|P24863|CCNC_HUMAN Cyclin-C OS=Homo sapiens OX=9606 GN=CCNC PE=1 SV=2
+MAGNFWQSSHYLQWILDKQDLLKERQKDLKFLSEEEYWKLQIFFTNVIQALGEHLKLRQQVIATATVYFKRFYARYSLKSIDPVLMAPTCVFLASKVEEFGVVSNTRLIAAATSVLKTRFSYAFPKEFPYRMNHILECEFYLLELMDCCLIVYHPYRPLLQYVQDMGQEDMLLPLAWRIVNDTYRTDLCLLYPPFMIALACLHVACVVQQKDARQWFAELSVDMEKILEIIRVILKLYEQWKNFDERKEMATILSKMPKPKPPPNSEGEQGPNGSQNSSYSQS
+>DECOY_sp|P24863|CCNC_HUMAN Cyclin-C OS=Homo sapiens OX=9606 GN=CCNC PE=1 SV=2
+SQSYSSNQSGNPGQEGESNPPPKPKPMKSLITAMEKREDFNKWQEYLKLIVRIIELIKEMDVSLEAFWQRADKQQVVCAVHLCALAIMFPPYLLCLDTRYTDNVIRWALPLLMDEQGMDQVYQLLPRYPHYVILCCDMLELLYFECELIHNMRYPFEKPFAYSFRTKLVSTAAAILRTNSVVGFEEVKSALFVCTPAMLVPDISKLSYRAYFRKFYVTATAIVQQRLKLHEGLAQIVNTFFIQLKWYEEESLFKLDKQREKLLDQKDLIWQLYHSSQWFNGAM
+>sp|P30279|CCND2_HUMAN G1/S-specific cyclin-D2 OS=Homo sapiens OX=9606 GN=CCND2 PE=1 SV=1
+MELLCHEVDPVRRAVRDRNLLRDDRVLQNLLTIEERYLPQCSYFKCVQKDIQPYMRRMVATWMLEVCEEQKCEEEVFPLAMNYLDRFLAGVPTPKSHLQLLGAVCMFLASKLKETSPLTAEKLCIYTDNSIKPQELLEWELVVLGKLKWNLAAVTPHDFIEHILRKLPQQREKLSLIRKHAQTFIALCATDFKFAMYPPSMIATGSVGAAICGLQQDEEVSSLTCDALTELLAKITNTDVDCLKACQEQIEAVLLNSLQQYRQDQRDGSKSEDELDQASTPTDVRDIDL
+>DECOY_sp|P30279|CCND2_HUMAN G1/S-specific cyclin-D2 OS=Homo sapiens OX=9606 GN=CCND2 PE=1 SV=1
+LDIDRVDTPTSAQDLEDESKSGDRQDQRYQQLSNLLVAEIQEQCAKLCDVDTNTIKALLETLADCTLSSVEEDQQLGCIAAGVSGTAIMSPPYMAFKFDTACLAIFTQAHKRILSLKERQQPLKRLIHEIFDHPTVAALNWKLKGLVVLEWELLEQPKISNDTYICLKEATLPSTEKLKSALFMCVAGLLQLHSKPTPVGALFRDLYNMALPFVEEECKQEECVELMWTAVMRRMYPQIDKQVCKFYSCQPLYREEITLLNQLVRDDRLLNRDRVARRVPDVEHCLLEM
+>sp|P30281|CCND3_HUMAN G1/S-specific cyclin-D3 OS=Homo sapiens OX=9606 GN=CCND3 PE=1 SV=2
+MELLCCEGTRHAPRAGPDPRLLGDQRVLQSLLRLEERYVPRASYFQCVQREIKPHMRKMLAYWMLEVCEEQRCEEEVFPLAMNYLDRYLSCVPTRKAQLQLLGAVCMLLASKLRETTPLTIEKLCIYTDHAVSPRQLRDWEVLVLGKLKWDLAAVIAHDFLAFILHRLSLPRDRQALVKKHAQTFLALCATDYTFAMYPPSMIATGSIGAAVQGLGACSMSGDELTELLAGITGTEVDCLRACQEQIEAALRESLREASQTSSSPAPKAPRGSSSQGPSQTSTPTDVTAIHL
+>DECOY_sp|P30281|CCND3_HUMAN G1/S-specific cyclin-D3 OS=Homo sapiens OX=9606 GN=CCND3 PE=1 SV=2
+LHIATVDTPTSTQSPGQSSSGRPAKPAPSSSTQSAERLSERLAAEIQEQCARLCDVETGTIGALLETLEDGSMSCAGLGQVAAGISGTAIMSPPYMAFTYDTACLALFTQAHKKVLAQRDRPLSLRHLIFALFDHAIVAALDWKLKGLVLVEWDRLQRPSVAHDTYICLKEITLPTTERLKSALLMCVAGLLQLQAKRTPVCSLYRDLYNMALPFVEEECRQEECVELMWYALMKRMHPKIERQVCQFYSARPVYREELRLLSQLVRQDGLLRPDPGARPAHRTGECCLLEM
+>sp|Q5T2Q4|CCYL2_HUMAN Cyclin-Y-like protein 2 OS=Homo sapiens OX=9606 GN=CCNYL2 PE=3 SV=2
+MGNILTCCVCPRASPGLHQHQGLVCHCESEIYEAAAGDLIAGVPVAAAVEPGEVTFVAGEGLHMHHICEREMPEDIPLEPNPSDHPKASTIFLRKSQTDVQEKKKKQLCKVSTEHFTQQYSSCSTIFLDDSIASQPHLTMTLKSCDLGTILSYQAKRDAHRSLGIFDEQLHPLTVRKEVLEEYFKYDPEHKLIFRFVRTLFKAMRLTAEFAIVSLIYIERLVSYADIDICPTNWKRIVLGAILLASKVWSDMAVWNEDYCKLFKNITVEEMNELERQFLKLINYNNSITNSVYSRFYFDLRTLAHNNGLYSPVYLLDRERAWKLEAFSRMEQDKVFYSAAKNGSLSADDLIHLQRAKAILF
+>DECOY_sp|Q5T2Q4|CCYL2_HUMAN Cyclin-Y-like protein 2 OS=Homo sapiens OX=9606 GN=CCNYL2 PE=3 SV=2
+FLIAKARQLHILDDASLSGNKAASYFVKDQEMRSFAELKWARERDLLYVPSYLGNNHALTRLDFYFRSYVSNTISNNYNILKLFQRELENMEEVTINKFLKCYDENWVAMDSWVKSALLIAGLVIRKWNTPCIDIDAYSVLREIYILSVIAFEATLRMAKFLTRVFRFILKHEPDYKFYEELVEKRVTLPHLQEDFIGLSRHADRKAQYSLITGLDCSKLTMTLHPQSAISDDLFITSCSSYQQTFHETSVKCLQKKKKEQVDTQSKRLFITSAKPHDSPNPELPIDEPMERECIHHMHLGEGAVFTVEGPEVAAAVPVGAILDGAAAEYIESECHCVLGQHQHLGPSARPCVCCTLINGM
+>sp|Q99440|CD006_HUMAN Uncharacterized protein encoded by LINC01587 OS=Homo sapiens OX=9606 GN=LINC01587 PE=2 SV=1
+MDTQKQIHKTHNSKNQFFTIFFFLSVEFGKEGTRKNFYLLLSIGHYGRKSRRADLGTADTADKTEPECFAASWTFDPNPSVTVSGAHSTAVHQ
+>DECOY_sp|Q99440|CD006_HUMAN Uncharacterized protein encoded by LINC01587 OS=Homo sapiens OX=9606 GN=LINC01587 PE=2 SV=1
+QHVATSHAGSVTVSPNPDFTWSAAFCEPETKDATDATGLDARRSKRGYHGISLLLYFNKRTGEKGFEVSLFFFITFFQNKSNHTKHIQKQTDM
+>sp|Q8IY42|CD019_HUMAN Uncharacterized protein C4orf19 OS=Homo sapiens OX=9606 GN=C4orf19 PE=1 SV=1
+MGCRCCKIIQSYLFDPVQVPSPGYVNEVNSCKLDEDDTDKLKGKWSSEVLVQKNDPQRQGSKKTESSSRTADPWEPCWPHQGPLPQGDAGGEHHACGVNGIGPAATPQPTGNSSPTQDDRGSWASTANTVPPTQPFLEGGGTRKQDCVLLASEGTQVMRNGDSRAPSEAESFALEVQDHVFQIPAPDYLQHWGPAGDNVDHNEKDCVFKNHTEDESLEGIQPPVGEHGLNTPFSVRRSWDSLNEDVETEVLSICFNEKGPVHAMPVVDSGNRQEDTHGSDGDGDGEIVDEDAAVAEALAALEAATAGEDLDETD
+>DECOY_sp|Q8IY42|CD019_HUMAN Uncharacterized protein C4orf19 OS=Homo sapiens OX=9606 GN=C4orf19 PE=1 SV=1
+DTEDLDEGATAAELAALAEAVAADEDVIEGDGDGDSGHTDEQRNGSDVVPMAHVPGKENFCISLVETEVDENLSDWSRRVSFPTNLGHEGVPPQIGELSEDETHNKFVCDKENHDVNDGAPGWHQLYDPAPIQFVHDQVELAFSEAESPARSDGNRMVQTGESALLVCDQKRTGGGELFPQTPPVTNATSAWSGRDDQTPSSNGTPQPTAAPGIGNVGCAHHEGGADGQPLPGQHPWCPEWPDATRSSSETKKSGQRQPDNKQVLVESSWKGKLKDTDDEDLKCSNVENVYGPSPVQVPDFLYSQIIKCCRCGM
+>sp|Q96KX1|CD036_HUMAN Uncharacterized protein C4orf36 OS=Homo sapiens OX=9606 GN=C4orf36 PE=4 SV=2
+MAYGVPRKNTVKTILRGSCYNVQEPWDIALLAKTWSTNLANIKLPFLEEISFGGSVQLTKCTTIKDGLLPSAESIKLEREYEVKRLCKLKCQENTSKEIQLLLRERPAGLRRPLPSK
+>DECOY_sp|Q96KX1|CD036_HUMAN Uncharacterized protein C4orf36 OS=Homo sapiens OX=9606 GN=C4orf36 PE=4 SV=2
+KSPLPRRLGAPRERLLLQIEKSTNEQCKLKCLRKVEYERELKISEASPLLGDKITTCKTLQVSGGFSIEELFPLKINALNTSWTKALLAIDWPEQVNYCSGRLITKVTNKRPVGYAM
+>sp|C9J302|CD051_HUMAN Uncharacterized protein C4orf51 OS=Homo sapiens OX=9606 GN=C4orf51 PE=4 SV=1
+MSHYFYLTPQILLPFSPLTSQEFDLIRRKAGASWQDETRWSDSSVTTYTGSYRKKQLDKSMCSQFSFRAGQHEPECKQMSLTNSSACHLLCWAGTQETTDIKGLFPDITRPFKKSFDVKHGVAHQIWDFGDCFPTPPNYGKYCVRPKKPAQEALINYSRRGKGVLKHLHGRCDSESKVCSSEDSEADRYSDYGWGGPSSPFN
+>DECOY_sp|C9J302|CD051_HUMAN Uncharacterized protein C4orf51 OS=Homo sapiens OX=9606 GN=C4orf51 PE=4 SV=1
+NFPSSPGGWGYDSYRDAESDESSCVKSESDCRGHLHKLVGKGRRSYNILAEQAPKKPRVCYKGYNPPTPFCDGFDWIQHAVGHKVDFSKKFPRTIDPFLGKIDTTEQTGAWCLLHCASSNTLSMQKCEPEHQGARFSFQSCMSKDLQKKRYSGTYTTVSSDSWRTEDQWSAGAKRRILDFEQSTLPSFPLLIQPTLYFYHSM
+>sp|P11049|CD37_HUMAN Leukocyte antigen CD37 OS=Homo sapiens OX=9606 GN=CD37 PE=1 SV=2
+MSAQESCLSLIKYFLFVFNLFFFVLGSLIFCFGIWILIDKTSFVSFVGLAFVPLQIWSKVLAISGIFTMGIALLGCVGALKELRCLLGLYFGMLLLLFATQITLGILISTQRAQLERSLRDVVEKTIQKYGTNPEETAAEESWDYVQFQLRCCGWHYPQDWFQVLILRGNGSEAHRVPCSCYNLSATNDSTILDKVILPQLSRLGHLARSRHSADICAVPAESHIYREGCAQGLQKWLHNNLISIVGICLGVGLLELGFMTLSIFLCRNLDHVYNRLARYR
+>DECOY_sp|P11049|CD37_HUMAN Leukocyte antigen CD37 OS=Homo sapiens OX=9606 GN=CD37 PE=1 SV=2
+RYRALRNYVHDLNRCLFISLTMFGLELLGVGLCIGVISILNNHLWKQLGQACGERYIHSEAPVACIDASHRSRALHGLRSLQPLIVKDLITSDNTASLNYCSCPVRHAESGNGRLILVQFWDQPYHWGCCRLQFQVYDWSEEAATEEPNTGYKQITKEVVDRLSRELQARQTSILIGLTIQTAFLLLLMGFYLGLLCRLEKLAGVCGLLAIGMTFIGSIALVKSWIQLPVFALGVFSVFSTKDILIWIGFCFILSGLVFFFLNFVFLFYKILSLCSEQASM
+>sp|P01730|CD4_HUMAN T-cell surface glycoprotein CD4 OS=Homo sapiens OX=9606 GN=CD4 PE=1 SV=1
+MNRGVPFRHLLLVLQLALLPAATQGKKVVLGKKGDTVELTCTASQKKSIQFHWKNSNQIKILGNQGSFLTKGPSKLNDRADSRRSLWDQGNFPLIIKNLKIEDSDTYICEVEDQKEEVQLLVFGLTANSDTHLLQGQSLTLTLESPPGSSPSVQCRSPRGKNIQGGKTLSVSQLELQDSGTWTCTVLQNQKKVEFKIDIVVLAFQKASSIVYKKEGEQVEFSFPLAFTVEKLTGSGELWWQAERASSSKSWITFDLKNKEVSVKRVTQDPKLQMGKKLPLHLTLPQALPQYAGSGNLTLALEAKTGKLHQEVNLVVMRATQLQKNLTCEVWGPTSPKLMLSLKLENKEAKVSKREKAVWVLNPEAGMWQCLLSDSGQVLLESNIKVLPTWSTPVQPMALIVLGGVAGLLLFIGLGIFFCVRCRHRRRQAERMSQIKRLLSEKKTCQCPHRFQKTCSPI
+>DECOY_sp|P01730|CD4_HUMAN T-cell surface glycoprotein CD4 OS=Homo sapiens OX=9606 GN=CD4 PE=1 SV=1
+IPSCTKQFRHPCQCTKKESLLRKIQSMREAQRRRHRCRVCFFIGLGIFLLLGAVGGLVILAMPQVPTSWTPLVKINSELLVQGSDSLLCQWMGAEPNLVWVAKERKSVKAEKNELKLSLMLKPSTPGWVECTLNKQLQTARMVVLNVEQHLKGTKAELALTLNGSGAYQPLAQPLTLHLPLKKGMQLKPDQTVRKVSVEKNKLDFTIWSKSSSAREAQWWLEGSGTLKEVTFALPFSFEVQEGEKKYVISSAKQFALVVIDIKFEVKKQNQLVTCTWTGSDQLELQSVSLTKGGQINKGRPSRCQVSPSSGPPSELTLTLSQGQLLHTDSNATLGFVLLQVEEKQDEVECIYTDSDEIKLNKIILPFNGQDWLSRRSDARDNLKSPGKTLFSGQNGLIKIQNSNKWHFQISKKQSATCTLEVTDGKKGLVVKKGQTAAPLLALQLVLLLHRFPVGRNM
+>sp|Q07108|CD69_HUMAN Early activation antigen CD69 OS=Homo sapiens OX=9606 GN=CD69 PE=1 SV=1
+MSSENCFVAENSSLHPESGQENDATSPHFSTRHEGSFQVPVLCAVMNVVFITILIIALIALSVGQYNCPGQYTFSMPSDSHVSSCSEDWVGYQRKCYFISTVKRSWTSAQNACSEHGATLAVIDSEKDMNFLKRYAGREEHWVGLKKEPGHPWKWSNGKEFNNWFNVTGSDKCVFLKNTEVSSMECEKNLYWICNKPYK
+>DECOY_sp|Q07108|CD69_HUMAN Early activation antigen CD69 OS=Homo sapiens OX=9606 GN=CD69 PE=1 SV=1
+KYPKNCIWYLNKECEMSSVETNKLFVCKDSGTVNFWNNFEKGNSWKWPHGPEKKLGVWHEERGAYRKLFNMDKESDIVALTAGHESCANQASTWSRKVTSIFYCKRQYGVWDESCSSVHSDSPMSFTYQGPCNYQGVSLAILAIILITIFVVNMVACLVPVQFSGEHRTSFHPSTADNEQGSEPHLSSNEAVFCNESSM
+>sp|Q96GN5|CDA7L_HUMAN Cell division cycle-associated 7-like protein OS=Homo sapiens OX=9606 GN=CDCA7L PE=1 SV=2
+MELATRYQIPKEVADIFNAPSDDEEFVGFRDDVPMETLSSEESCDSFDSLESGKQQDVRFHSKYFTEELRRIFIEDTDSETEDFAGFTQSDLNGKTNPEVMVVESDLSDDGKASLVSEEEEDEEEDKATPRRSRSRRSSIGLRVAFQFPTKKLANKPDKNSSSEQLFSSARLQNEKKTILERKKDCRQVIQREDSTSESEDDSRDESQESSDALLKRTMNIKENKAMLAQLLAELNSMPDFFPVRTPTSASRKKTVRRAFSEGQITRRMNPTRSARPPEKFALENFTVSAAKFAEEFYSFRRRKTIGGKCREYRRRHRISSFRPVEDITEEDLENVAITVRDKIYDKVLGNTCHQCRQKTIDTKTVCRNQGCCGVRGQFCGPCLRNRYGEDVRSALLDPDWVCPPCRGICNCSYCRKRDGRCATGILIHLAKFYGYDNVKEYLESLQKELVEDN
+>DECOY_sp|Q96GN5|CDA7L_HUMAN Cell division cycle-associated 7-like protein OS=Homo sapiens OX=9606 GN=CDCA7L PE=1 SV=2
+NDEVLEKQLSELYEKVNDYGYFKALHILIGTACRGDRKRCYSCNCIGRCPPCVWDPDLLASRVDEGYRNRLCPGCFQGRVGCCGQNRCVTKTDITKQRCQHCTNGLVKDYIKDRVTIAVNELDEETIDEVPRFSSIRHRRRYERCKGGITKRRRFSYFEEAFKAASVTFNELAFKEPPRASRTPNMRRTIQGESFARRVTKKRSASTPTRVPFFDPMSNLEALLQALMAKNEKINMTRKLLADSSEQSEDRSDDESESTSDERQIVQRCDKKRELITKKENQLRASSFLQESSSNKDPKNALKKTPFQFAVRLGISSRRSRSRRPTAKDEEEDEEEESVLSAKGDDSLDSEVVMVEPNTKGNLDSQTFGAFDETESDTDEIFIRRLEETFYKSHFRVDQQKGSELSDFSDCSEESSLTEMPVDDRFGVFEEDDSPANFIDAVEKPIQYRTALEM
+>sp|Q8NHZ8|CDC26_HUMAN Anaphase-promoting complex subunit CDC26 OS=Homo sapiens OX=9606 GN=CDC26 PE=1 SV=1
+MLRRKPTRLELKLDDIEEFENIRKDLETRKKQKEDVEVVGGSDGEGAIGLSSDPKSREQMINDRIGYKPQPKPNNRSSQFGSLEF
+>DECOY_sp|Q8NHZ8|CDC26_HUMAN Anaphase-promoting complex subunit CDC26 OS=Homo sapiens OX=9606 GN=CDC26 PE=1 SV=1
+FELSGFQSSRNNPKPQPKYGIRDNIMQERSKPDSSLGIAGEGDSGGVVEVDEKQKKRTELDKRINEFEEIDDLKLELRTPKRRLM
+>sp|P30260|CDC27_HUMAN Cell division cycle protein 27 homolog OS=Homo sapiens OX=9606 GN=CDC27 PE=1 SV=2
+MTVLQEPVQAAIWQALNHYAYRDAVFLAERLYAEVHSEEALFLLATCYYRSGKAYKAYRLLKGHSCTTPQCKYLLAKCCVDLSKLAEGEQILSGGVFNKQKSHDDIVTEFGDSACFTLSLLGHVYCKTDRLAKGSECYQKSLSLNPFLWSPFESLCEIGEKPDPDQTFKFTSLQNFSNCLPNSCTTQVPNHSLSHRQPETVLTETPQDTIELNRLNLESSNSKYSLNTDSSVSYIDSAVISPDTVPLGTGTSILSKQVQNKPKTGRSLLGGPAALSPLTPSFGILPLETPSPGDGSYLQNYTNTPPVIDVPSTGAPSKKSVARIGQTGTKSVFSQSGNSREVTPILAQTQSSGPQTSTTPQVLSPTITSPPNALPRRSSRLFTSDSSTTKENSKKLKMKFPPKIPNRKTKSKTNKGGITQPNINDSLEITKLDSSIISEGKISTITPQIQAFNLQKAAAEGLMSLLREMGKGYLALCSYNCKEAINILSHLPSHHYNTGWVLCQIGRAYFELSEYMQAERIFSEVRRIENYRVEGMEIYSTTLWHLQKDVALSVLSKDLTDMDKNSPEAWCAAGNCFSLQREHDIAIKFFQRAIQVDPNYAYAYTLLGHEFVLTEELDKALACFRNAIRVNPRHYNAWYGLGMIYYKQEKFSLAEMHFQKALDINPQSSVLLCHIGVVQHALKKSEKALDTLNKAIVIDPKNPLCKFHRASVLFANEKYKSALQELEELKQIVPKESLVYFLIGKVYKKLGQTHLALMNFSWAMDLDPKGANNQIKEAIDKRYLPDDEEPITQEEQIMGTDESQESSMTDADDTQLHAAESDEF
+>DECOY_sp|P30260|CDC27_HUMAN Cell division cycle protein 27 homolog OS=Homo sapiens OX=9606 GN=CDC27 PE=1 SV=2
+FEDSEAAHLQTDDADTMSSEQSEDTGMIQEEQTIPEEDDPLYRKDIAEKIQNNAGKPDLDMAWSFNMLALHTQGLKKYVKGILFYVLSEKPVIQKLEELEQLASKYKENAFLVSARHFKCLPNKPDIVIAKNLTDLAKESKKLAHQVVGIHCLLVSSQPNIDLAKQFHMEALSFKEQKYYIMGLGYWANYHRPNVRIANRFCALAKDLEETLVFEHGLLTYAYAYNPDVQIARQFFKIAIDHERQLSFCNGAACWAEPSNKDMDTLDKSLVSLAVDKQLHWLTTSYIEMGEVRYNEIRRVESFIREAQMYESLEFYARGIQCLVWGTNYHHSPLHSLINIAEKCNYSCLALYGKGMERLLSMLGEAAAKQLNFAQIQPTITSIKGESIISSDLKTIELSDNINPQTIGGKNTKSKTKRNPIKPPFKMKLKKSNEKTTSSDSTFLRSSRRPLANPPSTITPSLVQPTTSTQPGSSQTQALIPTVERSNGSQSFVSKTGTQGIRAVSKKSPAGTSPVDIVPPTNTYNQLYSGDGPSPTELPLIGFSPTLPSLAAPGGLLSRGTKPKNQVQKSLISTGTGLPVTDPSIVASDIYSVSSDTNLSYKSNSSELNLRNLEITDQPTETLVTEPQRHSLSHNPVQTTCSNPLCNSFNQLSTFKFTQDPDPKEGIECLSEFPSWLFPNLSLSKQYCESGKALRDTKCYVHGLLSLTFCASDGFETVIDDHSKQKNFVGGSLIQEGEALKSLDVCCKALLYKCQPTTCSHGKLLRYAKYAKGSRYYCTALLFLAEESHVEAYLREALFVADRYAYHNLAQWIAAQVPEQLVTM
+>sp|Q9H5V8|CDCP1_HUMAN CUB domain-containing protein 1 OS=Homo sapiens OX=9606 GN=CDCP1 PE=1 SV=3
+MAGLNCGVSIALLGVLLLGAARLPRGAEAFEIALPRESNITVLIKLGTPTLLAKPCYIVISKRHITMLSIKSGERIVFTFSCQSPENHFVIEIQKNIDCMSGPCPFGEVQLQPSTSLLPTLNRTFIWDVKAHKSIGLELQFSIPRLRQIGPGESCPDGVTHSISGRIDATVVRIGTFCSNGTVSRIKMQEGVKMALHLPWFHPRNVSGFSIANRSSIKRLCIIESVFEGEGSATLMSANYPEGFPEDELMTWQFVVPAHLRASVSFLNFNLSNCERKEERVEYYIPGSTTNPEVFKLEDKQPGNMAGNFNLSLQGCDQDAQSPGILRLQFQVLVQHPQNESNKIYVVDLSNERAMSLTIEPRPVKQSRKFVPGCFVCLESRTCSSNLTLTSGSKHKISFLCDDLTRLWMNVEKTISCTDHRYCQRKSYSLQVPSDILHLPVELHDFSWKLLVPKDRLSLVLVPAQKLQQHTHEKPCNTSFSYLVASAIPSQDLYFGSFCPGGSIKQIQVKQNISVTLRTFAPSFQQEASRQGLTVSFIPYFKEEGVFTVTPDTKSKVYLRTPNWDRGLPSLTSVSWNISVPRDQVACLTFFKERSGVVCQTGRAFMIIQEQRTRAEEIFSLDEDVLPKPSFHHHSFWVNISNCSPTSGKQLDLLFSVTLTPRTVDLTVILIAAVGGGVLLLSALGLIICCVKKKKKKTNKGPAVGIYNDNINTEMPRQPKKFQKGRKDNDSHVYAVIEDTMVYGHLLQDSSGSFLQPEVDTYRPFQGTMGVCPPSPPTICSRAPTAKLATEEPPPRSPPESESEPYTFSHPNNGDVSSKDTDIPLLNTQEPMEPAE
+>DECOY_sp|Q9H5V8|CDCP1_HUMAN CUB domain-containing protein 1 OS=Homo sapiens OX=9606 GN=CDCP1 PE=1 SV=3
+EAPEMPEQTNLLPIDTDKSSVDGNNPHSFTYPESESEPPSRPPPEETALKATPARSCITPPSPPCVGMTGQFPRYTDVEPQLFSGSSDQLLHGYVMTDEIVAYVHSDNDKRGKQFKKPQRPMETNINDNYIGVAPGKNTKKKKKKVCCIILGLASLLLVGGGVAAILIVTLDVTRPTLTVSFLLDLQKGSTPSCNSINVWFSHHHFSPKPLVDEDLSFIEEARTRQEQIIMFARGTQCVVGSREKFFTLCAVQDRPVSINWSVSTLSPLGRDWNPTRLYVKSKTDPTVTFVGEEKFYPIFSVTLGQRSAEQQFSPAFTRLTVSINQKVQIQKISGGPCFSGFYLDQSPIASAVLYSFSTNCPKEHTHQQLKQAPVLVLSLRDKPVLLKWSFDHLEVPLHLIDSPVQLSYSKRQCYRHDTCSITKEVNMWLRTLDDCLFSIKHKSGSTLTLNSSCTRSELCVFCGPVFKRSQKVPRPEITLSMARENSLDVVYIKNSENQPHQVLVQFQLRLIGPSQADQDCGQLSLNFNGAMNGPQKDELKFVEPNTTSGPIYYEVREEKRECNSLNFNLFSVSARLHAPVVFQWTMLEDEPFGEPYNASMLTASGEGEFVSEIICLRKISSRNAISFGSVNRPHFWPLHLAMKVGEQMKIRSVTGNSCFTGIRVVTADIRGSISHTVGDPCSEGPGIQRLRPISFQLELGISKHAKVDWIFTRNLTPLLSTSPQLQVEGFPCPGSMCDINKQIEIVFHNEPSQCSFTFVIREGSKISLMTIHRKSIVIYCPKALLTPTGLKILVTINSERPLAIEFAEAGRPLRAAGLLLVGLLAISVGCNLGAM
+>sp|P32320|CDD_HUMAN Cytidine deaminase OS=Homo sapiens OX=9606 GN=CDA PE=1 SV=2
+MAQKRPACTLKPECVQQLLVCSQEAKKSAYCPYSHFPVGAALLTQEGRIFKGCNIENACYPLGICAERTAIQKAVSEGYKDFRAIAIASDMQDDFISPCGACRQVMREFGTNWPVYMTKPDGTYIVMTVQELLPSSFGPEDLQKTQ
+>DECOY_sp|P32320|CDD_HUMAN Cytidine deaminase OS=Homo sapiens OX=9606 GN=CDA PE=1 SV=2
+QTKQLDEPGFSSPLLEQVTMVIYTGDPKTMYVPWNTGFERMVQRCAGCPSIFDDQMDSAIAIARFDKYGESVAKQIATREACIGLPYCANEINCGKFIRGEQTLLAAGVPFHSYPCYASKKAEQSCVLLQQVCEPKLTCAPRKQAM
+>sp|Q6ZTQ4|CDHR3_HUMAN Cadherin-related family member 3 OS=Homo sapiens OX=9606 GN=CDHR3 PE=1 SV=1
+MQEAIILLALLGAMSGGEALHLILLPATGNVAENSPPGTSVHKFSVKLSASLSPVIPGFPQIVNSNPLTEAFRVNWLSGTYFEVVTTGMEQLDFETGPNIFDLQIYVKDEVGVTDLQVLTVQVTDVNEPPQFQGNLAEGLHLYIVERANPGFIYQVEAFDPEDTSRNIPLSYFLISPPKSFRMSANGTLFSTTELDFEAGHRSFHLIVEVRDSGGLKASTELQVNIVNLNDEVPRFTSPTRVYTVLEELSPGTIVANITAEDPDDEGFPSHLLYSITTVSKYFMINQLTGTIQVAQRIDRDAGELRQNPTISLEVLVKDRPYGGQENRIQITFIVEDVNDNPATCQKFTFSIMVPERTAKGTLLLDLNKFCFDDDSEAPNNRFNFTMPSGVGSGSRFLQDPAGSGKIVLIGDLDYENPSNLAAGNKYTVIIQVQDVAPPYYKNNVYVYILTSPENEFPLIFDRPSYVFDVSERRPARTRVGQVRATDKDLPQSSLLYSISTGGASLQYPNVFWINPKTGELQLVTKVDCETTPIYILRIQATNNEDTSSVTVTVNILEENDEKPICTPNSYFLALPVDLKVGTNIQNFKLTCTDLDSSPRSFRYSIGPGNVNNHFTFSPNAGSNVTRLLLTSRFDYAGGFDKIWDYKLLVYVTDDNLMSDRKKAEALVETGTVTLSIKVIPHPTTIITTTPRPRVTYQVLRKNVYSPSAWYVPFVITLGSILLLGLLVYLVVLLAKAIHRHCPCKTGKNKEPLTKKGETKTAERDVVVETIQMNTIFDGEAIDPVTGETYEFNSKTGARKWKDPLTQMPKWKESSHQGAAPRRVTAGEGMGSLRSANWEEDELSGKAWAEDAGLGSRNEGGKLGNPKNRNPAFMNRAYPKPHPGK
+>DECOY_sp|Q6ZTQ4|CDHR3_HUMAN Cadherin-related family member 3 OS=Homo sapiens OX=9606 GN=CDHR3 PE=1 SV=1
+KGPHPKPYARNMFAPNRNKPNGLKGGENRSGLGADEAWAKGSLEDEEWNASRLSGMGEGATVRRPAAGQHSSEKWKPMQTLPDKWKRAGTKSNFEYTEGTVPDIAEGDFITNMQITEVVVDREATKTEGKKTLPEKNKGTKCPCHRHIAKALLVVLYVLLGLLLISGLTIVFPVYWASPSYVNKRLVQYTVRPRPTTTIITTPHPIVKISLTVTGTEVLAEAKKRDSMLNDDTVYVLLKYDWIKDFGGAYDFRSTLLLRTVNSGANPSFTFHNNVNGPGISYRFSRPSSDLDTCTLKFNQINTGVKLDVPLALFYSNPTCIPKEDNEELINVTVTVSSTDENNTAQIRLIYIPTTECDVKTVLQLEGTKPNIWFVNPYQLSAGGTSISYLLSSQPLDKDTARVQGVRTRAPRRESVDFVYSPRDFILPFENEPSTLIYVYVNNKYYPPAVDQVQIIVTYKNGAALNSPNEYDLDGILVIKGSGAPDQLFRSGSGVGSPMTFNFRNNPAESDDDFCFKNLDLLLTGKATREPVMISFTFKQCTAPNDNVDEVIFTIQIRNEQGGYPRDKVLVELSITPNQRLEGADRDIRQAVQITGTLQNIMFYKSVTTISYLLHSPFGEDDPDEATINAVITGPSLEELVTYVRTPSTFRPVEDNLNVINVQLETSAKLGGSDRVEVILHFSRHGAEFDLETTSFLTGNASMRFSKPPSILFYSLPINRSTDEPDFAEVQYIFGPNAREVIYLHLGEALNGQFQPPENVDTVQVTLVQLDTVGVEDKVYIQLDFINPGTEFDLQEMGTTVVEFYTGSLWNVRFAETLPNSNVIQPFGPIVPSLSASLKVSFKHVSTGPPSNEAVNGTAPLLILHLAEGGSMAGLLALLIIAEQM
+>sp|P55273|CDN2D_HUMAN Cyclin-dependent kinase 4 inhibitor D OS=Homo sapiens OX=9606 GN=CDKN2D PE=1 SV=1
+MLLEEVRAGDRLSGAAARGDVQEVRRLLHRELVHPDALNRFGKTALQVMMFGSTAIALELLKQGASPNVQDTSGTSPVHDAARTGFLDTLKVLVEHGADVNVPDGTGALPIHLAVQEGHTAVVSFLAAESDLHRRDARGLTPLELALQRGAQDLVDILQGHMVAPL
+>DECOY_sp|P55273|CDN2D_HUMAN Cyclin-dependent kinase 4 inhibitor D OS=Homo sapiens OX=9606 GN=CDKN2D PE=1 SV=1
+LPAVMHGQLIDVLDQAGRQLALELPTLGRADRRHLDSEAALFSVVATHGEQVALHIPLAGTGDPVNVDAGHEVLVKLTDLFGTRAADHVPSTGSTDQVNPSAGQKLLELAIATSGFMMVQLATKGFRNLADPHVLERHLLRRVEQVDGRAAAGSLRDGARVEELLM
+>sp|Q96T59|CDRTF_HUMAN CMT1A duplicated region transcript 15 protein OS=Homo sapiens OX=9606 GN=CDRT15 PE=2 SV=1
+MFSCCFPTSRGCCFRNGGSESLFRRCRRRLIPHPRRLSPVVIRRIQVPQDSLGQALAGQATPEIPLGLQLHTVLVQEIQELIEAQTLAPGPCAEVRALPAPAAEPEPAWEEAPPERALELEGAPAKDQTNEELPEITEVPESIKRRLGRRVPAATPAPRGNLLLQAWMRVHSWASRLFAPNVLPGTGP
+>DECOY_sp|Q96T59|CDRTF_HUMAN CMT1A duplicated region transcript 15 protein OS=Homo sapiens OX=9606 GN=CDRT15 PE=2 SV=1
+PGTGPLVNPAFLRSAWSHVRMWAQLLLNGRPAPTAAPVRRGLRRKISEPVETIEPLEENTQDKAPAGELELAREPPAEEWAPEPEAAPAPLARVEACPGPALTQAEILEQIEQVLVTHLQLGLPIEPTAQGALAQGLSDQPVQIRRIVVPSLRRPHPILRRRCRRFLSESGGNRFCCGRSTPFCCSFM
+>sp|A6NGY3|CE052_HUMAN Uncharacterized protein C5orf52 OS=Homo sapiens OX=9606 GN=C5orf52 PE=2 SV=2
+MTQPTRPSVTCDQGSSTIGGTAAQATTSSSATSGSNYQRDRLGRRPEIGVGGQPQICFPRPRSAQQPVLFSLMNSSEAAMKKTLPKSHLSRVIIHDNRITQRIYEMEVSALEKTKKKISHYYEHLKKKFMTEQLRKLGRWREESVNSNRYLTFGIPPPV
+>DECOY_sp|A6NGY3|CE052_HUMAN Uncharacterized protein C5orf52 OS=Homo sapiens OX=9606 GN=C5orf52 PE=2 SV=2
+VPPPIGFTLYRNSNVSEERWRGLKRLQETMFKKKLHEYYHSIKKKTKELASVEMEYIRQTIRNDHIIVRSLHSKPLTKKMAAESSNMLSFLVPQQASRPRPFCIQPQGGVGIEPRRGLRDRQYNSGSTASSSTTAQAATGGITSSGQDCTVSPRTPQTM
+>sp|Q9C0D2|CE295_HUMAN Centrosomal protein of 295 kDa OS=Homo sapiens OX=9606 GN=CEP295 PE=1 SV=4
+MKRKVVNTHKLRLSPNEEAFILKEDYERRRKLRLLQVREQERDIALQIREDIKQRRNQQFTRLAEELRAEWEESQTQKIQNLEKLYLASLRSMGEGHRQAKENEPDLDALAQRAAERKRKADLRHKEALKVQKNQKEILLKQKTWHIKARKEALLVEKERSAKITSLPPPPPTLFENIEVKRISAVKTNSSTYHHLHTFVNRETDTKRPDARLAAEEEAKRLEELQKQAAQERMERFEKAHVRGFQAMKKIHLAQNQEKLMKELKQLQQEDLARRRQTVAQMPPQLVELPYKRSEMKEDWQRELEFAFEDMYNADRKVKGNLILHLEPEPLPTVTNQIQDEELDLSMEQENLGAAEDLPVTEAEICSSETDVPLVMKTQQIPSKVLFKKLLNKIRSQKSLWTIKSMSEDESEMITTVSEIESKAPTVESGTIASKERTLSSGQEQVVESDTLTIESGPLASEDKPLSCGTNSGKEQEINETLPITTVAQSSVLLHPQEAAARIRMSARQKQIMEIEEQKQKQLELLEQIEQQKLRLETDCFRAQLEEEKRKKTQPTGVGIAPASCPVISDEDSHRQMIRNYQHQLLQQNRLHRQSVETARKQLLEYQTMLKGRCPSVSAPSLITDSVISVPSWKSERPTAISEHWDQGQRLKLSPNKYQPIQPIQTSKLEQDHFQVARQNHFPQRQVETTETLRASDILTNQALESQEHLRQFSQTETQQRDYKLVPKDSETLSRALSHDRQLISQDARKISETFGATTFQSLESQQLFSENSENISYHLTEPSSFVPLVPQHSFSSLPVKVESGKIQEPFSAMSKSTVSTSHSIISQMHDRPLLPSENITAQQGNMKALQEQLDLQKKVLQATQEAQEQLLLCKQKEVEQQTGLSVFLPLVTPDSSALLPSAKADLGRIQESSPTKNNIAVSSDHHVISQLQDKRLSLSQPILSQQNNFKFLQEQLNIQKDSLQARREAQEVLYVHKQSELDRRVCSEQAEPSFPFQVAQHTFTSLPSADTKSGKIQEQHSSKSEKGLVSCQSDIPISQDGSLSFLQQFLPLHDSLKLLQEQLTKQRDTLQARHEAQVELLLHRQRDLGDSKSGLVSSSSSPVVVQHSVASQASAKAEPRRIQELYLSEKENVGPSCHLIIPTFQDKSLSFPQHSLAQQENLTILQEQSQIQRVILGAKEGTQEFVHTESELEKRISSEQTGTSSSLSQVDESERFQECISIKSDSTIPLSHPKIPRCQERLLRVSQHMLPLQDNLEEHQAWLDTEKEAFHFSQKTQENTSSEQTGSSSFIPQLVQLSFTSLASAESGTILEPLFTESESKIFSSHLQIPQLQDRLLRISQLIQPQQDNLKALQEQLATQREAIILARQEAREELLLHQSEWEGRISPEQVDTSSLPLVPQHSFASLPLNESERNQEPCSINSDNIVSSGHSEIPTLPDGLLGLSHLVLPQQDNLIALEEHLHAQTDFLPSIEKTQKELVLSKPCKFEEKVSSEHFIQSHHGDLQALQQQLDTQKKAIRSIQEVQEELLLQRLSELEKRVSSEQVCSSSFVSQVPVADSERTQKSFPTKSNDTLPSSHREIPRLQDRLLSLSKPILPQQDNMTAQLDAQREVMYSYEKPQEELSLNKQRKLNKSESAEHTIPSLFLPKETEHSFIPLPFAEAKPKSTCELYSSQNEHAAPPSNPVIPGFQDRLLSFSQSVLTQQDNLGLQKQLDLQREVLHYSQKAQEKLLVQRQTALQQQIQKHEETLKDFFKDSQISKPTVENDLKTQKMGQLRDWFPNTQDLAGNDQENIRHADRNNSDDNHLASEDTSAKQSGEHLEKDLGRRSSKPPVAKVKCGLDLNQHELSAIQEVESPAIGRTSILGKPGIYEDRDPLRVSISREQSFFGSPLAHDPFSCLQLVGQENVCGDDYDEAVKLKESVVENHAVLSYAVEEEHAYLGPTVKPDDKAKTLSYEPLSSATVSTGSLLSYENTDLSLTDPESFSEHMDDSKQESTTSKEEETNIISSIVPSTQDIYQRQNSSDVHKSLLPAVDETTCGHTHFQQMIDKYINEANLIPEKTDLQELEHIFPNLHHQLFKPLEPHPDFDLSSSSSGISPDNRDFYQRSDSSSESHCATGLSKSTVYFTALRRTSMHSSLNTSPNQQPDTNLAHVGAHSFATENIIGGSEQCFEQLQPEYSSQEESQHADLPSIFSIEARDSSQGMKNQNYPSEEHTEILQNKKKIVHFQLSIGNLSSVYSSSDEANVFDQLNVQHSTPCGSNSSECSTKHQLESRKESMGFEELSKRGVVTMLQSQGLIEDNKNETCRVLDINPQVEETDSRLCVRTVEMGTSIQAPYSLTTQNEKYFENSAETDIPKITKKLSQLGESELFASSGSFSLQSSIPVWETETGHGIMEEPELTLISTTDTSIAEMDFANLTLEEKSENEAKCFFQVSEFLPLVSATEASDYPAVSELSIEKPRTASTETPRRLTPVPGSLQEAFIKRKKSFMERSHQRQKEIRNKIHVSENSQIKTVKEKPSISSSVSRLKGVNKVRASFPEDRKTTQALRHQRGLRLYNQLAEVKQQKEEKTKQEAYAQNRARAKEFHKKTLEKLRAKNTC
+>DECOY_sp|Q9C0D2|CE295_HUMAN Centrosomal protein of 295 kDa OS=Homo sapiens OX=9606 GN=CEP295 PE=1 SV=4
+CTNKARLKELTKKHFEKARARNQAYAEQKTKEEKQQKVEALQNYLRLGRQHRLAQTTKRDEPFSARVKNVGKLRSVSSSISPKEKVTKIQSNESVHIKNRIEKQRQHSREMFSKKRKIFAEQLSGPVPTLRRPTETSATRPKEISLESVAPYDSAETASVLPLFESVQFFCKAENESKEELTLNAFDMEAISTDTTSILTLEPEEMIGHGTETEWVPISSQLSFSGSSAFLESEGLQSLKKTIKPIDTEASNEFYKENQTTLSYPAQISTGMEVTRVCLRSDTEEVQPNIDLVRCTENKNDEILGQSQLMTVVGRKSLEEFGMSEKRSELQHKTSCESSNSGCPTSHQVNLQDFVNAEDSSSYVSSLNGISLQFHVIKKKNQLIETHEESPYNQNKMGQSSDRAEISFISPLDAHQSEEQSSYEPQLQEFCQESGGIINETAFSHAGVHALNTDPQQNPSTNLSSHMSTRRLATFYVTSKSLGTACHSESSSDSRQYFDRNDPSIGSSSSSLDFDPHPELPKFLQHHLNPFIHELEQLDTKEPILNAENIYKDIMQQFHTHGCTTEDVAPLLSKHVDSSNQRQYIDQTSPVISSIINTEEEKSTTSEQKSDDMHESFSEPDTLSLDTNEYSLLSGTSVTASSLPEYSLTKAKDDPKVTPGLYAHEEEVAYSLVAHNEVVSEKLKVAEDYDDGCVNEQGVLQLCSFPDHALPSGFFSQERSISVRLPDRDEYIGPKGLISTRGIAPSEVEQIASLEHQNLDLGCKVKAVPPKSSRRGLDKELHEGSQKASTDESALHNDDSNNRDAHRINEQDNGALDQTNPFWDRLQGMKQTKLDNEVTPKSIQSDKFFDKLTEEHKQIQQQLATQRQVLLKEQAKQSYHLVERQLDLQKQLGLNDQQTLVSQSFSLLRDQFGPIVPNSPPAAHENQSSYLECTSKPKAEAFPLPIFSHETEKPLFLSPITHEASESKNLKRQKNLSLEEQPKEYSYMVERQADLQATMNDQQPLIPKSLSLLRDQLRPIERHSSPLTDNSKTPFSKQTRESDAVPVQSVFSSSCVQESSVRKELESLRQLLLEEQVEQISRIAKKQTDLQQQLAQLDGHHSQIFHESSVKEEFKCPKSLVLEKQTKEISPLFDTQAHLHEELAILNDQQPLVLHSLGLLGDPLTPIESHGSSVINDSNISCPEQNRESENLPLSAFSHQPVLPLSSTDVQEPSIRGEWESQHLLLEERAEQRALIIAERQTALQEQLAKLNDQQPQILQSIRLLRDQLQPIQLHSSFIKSESETFLPELITGSEASALSTFSLQVLQPIFSSSGTQESSTNEQTKQSFHFAEKETDLWAQHEELNDQLPLMHQSVRLLREQCRPIKPHSLPITSDSKISICEQFRESEDVQSLSSSTGTQESSIRKELESETHVFEQTGEKAGLIVRQIQSQEQLITLNEQQALSHQPFSLSKDQFTPIILHCSPGVNEKESLYLEQIRRPEAKASAQSAVSHQVVVPSSSSSVLGSKSDGLDRQRHLLLEVQAEHRAQLTDRQKTLQEQLLKLSDHLPLFQQLFSLSGDQSIPIDSQCSVLGKESKSSHQEQIKGSKTDASPLSTFTHQAVQFPFSPEAQESCVRRDLESQKHVYLVEQAERRAQLSDKQINLQEQLFKFNNQQSLIPQSLSLRKDQLQSIVHHDSSVAINNKTPSSEQIRGLDAKASPLLASSDPTVLPLFVSLGTQQEVEKQKCLLLQEQAEQTAQLVKKQLDLQEQLAKMNGQQATINESPLLPRDHMQSIISHSTSVTSKSMASFPEQIKGSEVKVPLSSFSHQPVLPVFSSPETLHYSINESNESFLQQSELSQFTTAGFTESIKRADQSILQRDHSLARSLTESDKPVLKYDRQQTETQSFQRLHEQSELAQNTLIDSARLTETTEVQRQPFHNQRAVQFHDQELKSTQIPQIPQYKNPSLKLRQGQDWHESIATPRESKWSPVSIVSDTILSPASVSPCRGKLMTQYELLQKRATEVSQRHLRNQQLLQHQYNRIMQRHSDEDSIVPCSAPAIGVGTPQTKKRKEEELQARFCDTELRLKQQEIQELLELQKQKQEEIEMIQKQRASMRIRAAAEQPHLLVSSQAVTTIPLTENIEQEKGSNTGCSLPKDESALPGSEITLTDSEVVQEQGSSLTREKSAITGSEVTPAKSEIESVTTIMESEDESMSKITWLSKQSRIKNLLKKFLVKSPIQQTKMVLPVDTESSCIEAETVPLDEAAGLNEQEMSLDLEEDQIQNTVTPLPEPELHLILNGKVKRDANYMDEFAFELERQWDEKMESRKYPLEVLQPPMQAVTQRRRALDEQQLQKLEKMLKEQNQALHIKKMAQFGRVHAKEFREMREQAAQKQLEELRKAEEEAALRADPRKTDTERNVFTHLHHYTSSNTKVASIRKVEINEFLTPPPPPLSTIKASREKEVLLAEKRAKIHWTKQKLLIEKQNKQVKLAEKHRLDAKRKREAARQALADLDPENEKAQRHGEGMSRLSALYLKELNQIKQTQSEEWEARLEEALRTFQQNRRQKIDERIQLAIDREQERVQLLRLKRRREYDEKLIFAEENPSLRLKHTNVVKRKM
+>sp|Q2WEN9|CEA16_HUMAN Carcinoembryonic antigen-related cell adhesion molecule 16 OS=Homo sapiens OX=9606 GN=CEACAM16 PE=1 SV=2
+MALTGYSWLLLSATFLNVGAEISITLEPAQPSEGDNVTLVVHGLSGELLAYSWYAGPTLSVSYLVASYIVSTGDETPGPAHTGREAVRPDGSLDIQGILPRHSGTYILQTFNRQLQTEVGYGHVQVHEILAQPTVLANSTALVERRDTLRLMCSSPSPTAEVRWFFNGGALPVALRLGLSPDGRVLARHGIRREEAGAYQCEVWNPVSVSRSEPINLTVYFGPERVAILQDSTTRTGCTIKVDFNTSLTLWCVSRSCPEPEYVWTFNGQALKNGQDHLNISSMTAAQEGTYTCIAKNTKTLLSGSASVVVKLSAAAVATMIVPVPTKPTEGQDVTLTVQGYPKDLLVYAWYRGPASEPNRLLSQLPSGTWIAGPAHTGREVGFPNCSLLVQKLNLTDTGRYTLKTVTVQGKTETLEVELQVAPLG
+>DECOY_sp|Q2WEN9|CEA16_HUMAN Carcinoembryonic antigen-related cell adhesion molecule 16 OS=Homo sapiens OX=9606 GN=CEACAM16 PE=1 SV=2
+GLPAVQLEVELTETKGQVTVTKLTYRGTDTLNLKQVLLSCNPFGVERGTHAPGAIWTGSPLQSLLRNPESAPGRYWAYVLLDKPYGQVTLTVDQGETPKTPVPVIMTAVAAASLKVVVSASGSLLTKTNKAICTYTGEQAATMSSINLHDQGNKLAQGNFTWVYEPEPCSRSVCWLTLSTNFDVKITCGTRTTSDQLIAVREPGFYVTLNIPESRSVSVPNWVECQYAGAEERRIGHRALVRGDPSLGLRLAVPLAGGNFFWRVEATPSPSSCMLRLTDRREVLATSNALVTPQALIEHVQVHGYGVETQLQRNFTQLIYTGSHRPLIGQIDLSGDPRVAERGTHAPGPTEDGTSVIYSAVLYSVSLTPGAYWSYALLEGSLGHVVLTVNDGESPQAPELTISIEAGVNLFTASLLLWSYGTLAM
+>sp|Q3KPI0|CEA21_HUMAN Carcinoembryonic antigen-related cell adhesion molecule 21 OS=Homo sapiens OX=9606 GN=CEACAM21 PE=2 SV=2
+MGPPSACPHRECIPWQGLLLTASLLTFWNAPTTAWLFIASAPFEVAEGENVHLSVVYLPENLYSYGWYKGKTVEPNQLIAAYVIDTHVRTPGPAYSGRETISPSGDLHFQNVTLEDTGYYTLQVTYRNSQIEQASHHLRVYESVAQPSIQASSTTVTEKGSVVLTCHTNNTGTSFQWIFNNQRLQVTKRMKLSWFNHMLTIDPIRQEDAGEYQCEVSNPVSSNRSDPLKLTVKSDDNTLGILIGVLVGSLLVAALVCFLLLRKTGRASDQSDFREQQPPASTPGHGPSDSSIS
+>DECOY_sp|Q3KPI0|CEA21_HUMAN Carcinoembryonic antigen-related cell adhesion molecule 21 OS=Homo sapiens OX=9606 GN=CEACAM21 PE=2 SV=2
+SISSDSPGHGPTSAPPQQERFDSQDSARGTKRLLLFCVLAAVLLSGVLVGILIGLTNDDSKVTLKLPDSRNSSVPNSVECQYEGADEQRIPDITLMHNFWSLKMRKTVQLRQNNFIWQFSTGTNNTHCTLVVSGKETVTTSSAQISPQAVSEYVRLHHSAQEIQSNRYTVQLTYYGTDELTVNQFHLDGSPSITERGSYAPGPTRVHTDIVYAAILQNPEVTKGKYWGYSYLNEPLYVVSLHVNEGEAVEFPASAIFLWATTPANWFTLLSATLLLGQWPICERHPCASPPGM
+>sp|Q14002|CEAM7_HUMAN Carcinoembryonic antigen-related cell adhesion molecule 7 OS=Homo sapiens OX=9606 GN=CEACAM7 PE=1 SV=1
+MGSPSACPYRVCIPWQGLLLTASLLTFWNLPNSAQTNIDVVPFNVAEGKEVLLVVHNESQNLYGYNWYKGERVHANYRIIGYVKNISQENAPGPAHNGRETIYPNGTLLIQNVTHNDAGFYTLHVIKENLVNEEVTRQFYVFSEPPKPSITSNNFNPVENKDIVVLTCQPETQNTTYLWWVNNQSLLVSPRLLLSTDNRTLVLLSATKNDIGPYECEIQNPVGASRSDPVTLNVRYESVQASSPDLSAGTAVSIMIGVLAGMALI
+>DECOY_sp|Q14002|CEAM7_HUMAN Carcinoembryonic antigen-related cell adhesion molecule 7 OS=Homo sapiens OX=9606 GN=CEACAM7 PE=1 SV=1
+ILAMGALVGIMISVATGASLDPSSAQVSEYRVNLTVPDSRSAGVPNQIECEYPGIDNKTASLLVLTRNDTSLLLRPSVLLSQNNVWWLYTTNQTEPQCTLVVIDKNEVPNFNNSTISPKPPESFVYFQRTVEENVLNEKIVHLTYFGADNHTVNQILLTGNPYITERGNHAPGPANEQSINKVYGIIRYNAHVREGKYWNYGYLNQSENHVVLLVEKGEAVNFPVVDINTQASNPLNWFTLLSATLLLGQWPICVRYPCASPSGM
+>sp|Q9BXF3|CECR2_HUMAN Cat eye syndrome critical region protein 2 OS=Homo sapiens OX=9606 GN=CECR2 PE=1 SV=2
+MCPEEGGAAGLGELRSWWEVPAIAHFCSLFRTAFRLPDFEIEELEAALHRDDVEFISDLIACLLQGCYQRRDITPQTFHSYLEDIINYRWELEEGKPNPLREASFQDLPLRTRVEILHRLCDYRLDADDVFDLLKGLDADSLRVEPLGEDNSGALYWYFYGTRMYKEDPVQGKSNGELSLSRESEGQKNVSSIPGKTGKRRGRPPKRKKLQEEILLSEKQEENSLASEPQTRHGSQGPGQGTWWLLCQTEEEWRQVTESFRERTSLRERQLYKLLSEDFLPEICNMIAQKGKRPQRTKAELHPRWMSDHLSIKPVKQEETPVLTRIEKQKRKEEEEERQILLAVQKKEQEQMLKEERKRELEEKVKAVEGMCSVRVVWRGACLSTSRPVDRAKRRKLREERAWLLAQGKELPPELSHLDPNSPMREEKKTKDLFELDDDFTAMYKVLDVVKAHKDSWPFLEPVDESYAPNYYQIIKAPMDISSMEKKLNGGLYCTKEEFVNDMKTMFRNCRKYNGESSEYTKMSDNLERCFHRAMMKHFPGEDGDTDEEFWIREDEKREKRRSRAGRSGGSHVWTRSRDPEGSSRKQQPMENGGKSLPPTRRAPSSGDDQSSSSTQPPREVGTSNGRGFSHPLHCGGTPSQAPFLNQMRPAVPGTFGPLRGSDPATLYGSSGVPEPHPGEPVQQRQPFTMQPPVGINSLRGPRLGTPEEKQMCGGLTHLSNMGPHPGSLQLGQISGPSQDGSMYAPAQFQPGFIPPRHGGAPARPPDFPESSEIPPSHMYRSYKYLNRVHSAVWNGNHGATNQGPLGPDEKPHLGPGPSHQPRTLGHVMDSRVMRPPVPPNQWTEQSGFLPHGVPSSGYMRPPCKSAGHRLQPPPVPAPSSLFGAPAQALRGVQGGDSMMDSPEMIAMQQLSSRVCPPGVPYHPHQPAHPRLPGPFPQVAHPMSVTVSAPKPALGNPGRAPENSEAQEPENDQAEPLPGLEEKPPGVGTSEGVYLTQLPHPTPPLQTDCTRQSSPQERETVGPELKSSSSESADNCKAMKGKNPWPSDSSYPGPAAQGCVRDLSTVADRGALSENGVIGEASPCGSEGKGLGSSGSEKLLCPRGRTLQETMPCTGQNAATPPSTDPGLTGGTVSQFPPLYMPGLEYPNSAAHYHISPGLQGVGPVMGGKSPASHPQHFPPRGFQSNHPHSGGFPRYRPPQGMRYSYHPPPQPSYHHYQRTPYYACPQSFSDWQRPLHPQGSPSGPPASQPPPPRSLFSDKNAMASLQGCETLNAALTSPTRMDAVAAKVPNDGQNPGPEEEKLDESMERPESPKEFLDLDNHNAATKRQSSLSASEYLYGTPPPLSSGMGFGSSAFPPHSVMLQTGPPYTPQRPASHFQPRAYSSPVAALPPHHPGATQPNGLSQEGPIYRCQEEGLGHFQAVMMEQIGTRSGIRGPFQEMYRPSGMQMHPVQSQASFPKTPTAATSQEEVPPHKPPTLPLDQS
+>DECOY_sp|Q9BXF3|CECR2_HUMAN Cat eye syndrome critical region protein 2 OS=Homo sapiens OX=9606 GN=CECR2 PE=1 SV=2
+SQDLPLTPPKHPPVEEQSTAATPTKPFSAQSQVPHMQMGSPRYMEQFPGRIGSRTGIQEMMVAQFHGLGEEQCRYIPGEQSLGNPQTAGPHHPPLAAVPSSYARPQFHSAPRQPTYPPGTQLMVSHPPFASSGFGMGSSLPPPTGYLYESASLSSQRKTAANHNDLDLFEKPSEPREMSEDLKEEEPGPNQGDNPVKAAVADMRTPSTLAANLTECGQLSAMANKDSFLSRPPPPQSAPPGSPSGQPHLPRQWDSFSQPCAYYPTRQYHHYSPQPPPHYSYRMGQPPRYRPFGGSHPHNSQFGRPPFHQPHSAPSKGGMVPGVGQLGPSIHYHAASNPYELGPMYLPPFQSVTGGTLGPDTSPPTAANQGTCPMTEQLTRGRPCLLKESGSSGLGKGESGCPSAEGIVGNESLAGRDAVTSLDRVCGQAAPGPYSSDSPWPNKGKMAKCNDASESSSSKLEPGVTEREQPSSQRTCDTQLPPTPHPLQTLYVGESTGVGPPKEELGPLPEAQDNEPEQAESNEPARGPNGLAPKPASVTVSMPHAVQPFPGPLRPHAPQHPHYPVGPPCVRSSLQQMAIMEPSDMMSDGGQVGRLAQAPAGFLSSPAPVPPPQLRHGASKCPPRMYGSSPVGHPLFGSQETWQNPPVPPRMVRSDMVHGLTRPQHSPGPGLHPKEDPGLPGQNTAGHNGNWVASHVRNLYKYSRYMHSPPIESSEPFDPPRAPAGGHRPPIFGPQFQAPAYMSGDQSPGSIQGLQLSGPHPGMNSLHTLGGCMQKEEPTGLRPGRLSNIGVPPQMTFPQRQQVPEGPHPEPVGSSGYLTAPDSGRLPGFTGPVAPRMQNLFPAQSPTGGCHLPHSFGRGNSTGVERPPQTSSSSQDDGSSPARRTPPLSKGGNEMPQQKRSSGEPDRSRTWVHSGGSRGARSRRKERKEDERIWFEEDTDGDEGPFHKMMARHFCRELNDSMKTYESSEGNYKRCNRFMTKMDNVFEEKTCYLGGNLKKEMSSIDMPAKIIQYYNPAYSEDVPELFPWSDKHAKVVDLVKYMATFDDDLEFLDKTKKEERMPSNPDLHSLEPPLEKGQALLWAREERLKRRKARDVPRSTSLCAGRWVVRVSCMGEVAKVKEELERKREEKLMQEQEKKQVALLIQREEEEEKRKQKEIRTLVPTEEQKVPKISLHDSMWRPHLEAKTRQPRKGKQAIMNCIEPLFDESLLKYLQRERLSTRERFSETVQRWEEETQCLLWWTGQGPGQSGHRTQPESALSNEEQKESLLIEEQLKKRKPPRGRRKGTKGPISSVNKQGESERSLSLEGNSKGQVPDEKYMRTGYFYWYLAGSNDEGLPEVRLSDADLGKLLDFVDDADLRYDCLRHLIEVRTRLPLDQFSAERLPNPKGEELEWRYNIIDELYSHFTQPTIDRRQYCGQLLCAILDSIFEVDDRHLAAELEEIEFDPLRFATRFLSCFHAIAPVEWWSRLEGLGAAGGEEPCM
+>sp|P08217|CEL2A_HUMAN Chymotrypsin-like elastase family member 2A OS=Homo sapiens OX=9606 GN=CELA2A PE=1 SV=1
+MIRTLLLSTLVAGALSCGDPTYPPYVTRVVGGEEARPNSWPWQVSLQYSSNGKWYHTCGGSLIANSWVLTAAHCISSSRTYRVGLGRHNLYVAESGSLAVSVSKIVVHKDWNSNQISKGNDIALLKLANPVSLTDKIQLACLPPAGTILPNNYPCYVTGWGRLQTNGAVPDVLQQGRLLVVDYATCSSSAWWGSSVKTSMICAGGDGVISSCNGDSGGPLNCQASDGRWQVHGIVSFGSRLGCNYYHKPSVFTRVSNYIDWINSVIANN
+>DECOY_sp|P08217|CEL2A_HUMAN Chymotrypsin-like elastase family member 2A OS=Homo sapiens OX=9606 GN=CELA2A PE=1 SV=1
+NNAIVSNIWDIYNSVRTFVSPKHYYNCGLRSGFSVIGHVQWRGDSAQCNLPGGSDGNCSSIVGDGGACIMSTKVSSGWWASSSCTAYDVVLLRGQQLVDPVAGNTQLRGWGTVYCPYNNPLITGAPPLCALQIKDTLSVPNALKLLAIDNGKSIQNSNWDKHVVIKSVSVALSGSEAVYLNHRGLGVRYTRSSSICHAATLVWSNAILSGGCTHYWKGNSSYQLSVQWPWSNPRAEEGGVVRTVYPPYTPDGCSLAGAVLTSLLLTRIM
+>sp|P09093|CEL3A_HUMAN Chymotrypsin-like elastase family member 3A OS=Homo sapiens OX=9606 GN=CELA3A PE=1 SV=3
+MMLRLLSSLLLVAVASGYGPPSSHSSSRVVHGEDAVPYSWPWQVSLQYEKSGSFYHTCGGSLIAPDWVVTAGHCISRDLTYQVVLGEYNLAVKEGPEQVIPINSEELFVHPLWNRSCVACGNDIALIKLSRSAQLGDAVQLASLPPAGDILPNKTPCYITGWGRLYTNGPLPDKLQQARLPVVDYKHCSRWNWWGSTVKKTMVCAGGYIRSGCNGDSGGPLNCPTEDGGWQVHGVTSFVSAFGCNFIWKPTVFTRVSAFIDWIEETIASH
+>DECOY_sp|P09093|CEL3A_HUMAN Chymotrypsin-like elastase family member 3A OS=Homo sapiens OX=9606 GN=CELA3A PE=1 SV=3
+HSAITEEIWDIFASVRTFVTPKWIFNCGFASVFSTVGHVQWGGDETPCNLPGGSDGNCGSRIYGGACVMTKKVTSGWWNWRSCHKYDVVPLRAQQLKDPLPGNTYLRGWGTIYCPTKNPLIDGAPPLSALQVADGLQASRSLKILAIDNGCAVCSRNWLPHVFLEESNIPIVQEPGEKVALNYEGLVVQYTLDRSICHGATVVWDPAILSGGCTHYFSGSKEYQLSVQWPWSYPVADEGHVVRSSSHSSPPGYGSAVAVLLLSSLLRLMM
+>sp|O95319|CELF2_HUMAN CUGBP Elav-like family member 2 OS=Homo sapiens OX=9606 GN=CELF2 PE=1 SV=1
+MRCPKSAVTMRNEELLLSNGTANKMNGALDHSDQPDPDAIKMFVGQIPRSWSEKELKELFEPYGAVYQINVLRDRSQNPPQSKGCCFVTFYTRKAALEAQNALHNIKTLPGMHHPIQMKPADSEKSNAVEDRKLFIGMVSKKCNENDIRVMFSPFGQIEECRILRGPDGLSRGCAFVTFSTRAMAQNAIKAMHQSQTMEGCSSPIVVKFADTQKDKEQRRLQQQLAQQMQQLNTATWGNLTGLGGLTPQYLALLQQATSSSNLGAFSGIQQMAGMNALQLQNLATLAAAAAAAQTSATSTNANPLSTTSSALGALTSPVAASTPNSTAGAAMNSLTSLGTLQGLAGATVGLNNINALAGMAALNGGLGATGLTNGTAGTMDALTQAYSGIQQYAAAALPTLYSQSLLQQQSAAGSQKEGPEGANLFIYHLPQEFGDQDILQMFMPFGNVISAKVFIDKQTNLSKCFGFVSYDNPVSAQAAIQAMNGFQIGMKRLKVQLKRSKNDSKPY
+>DECOY_sp|O95319|CELF2_HUMAN CUGBP Elav-like family member 2 OS=Homo sapiens OX=9606 GN=CELF2 PE=1 SV=1
+YPKSDNKSRKLQVKLRKMGIQFGNMAQIAAQASVPNDYSVFGFCKSLNTQKDIFVKASIVNGFPMFMQLIDQDGFEQPLHYIFLNAGEPGEKQSGAASQQQLLSQSYLTPLAAAAYQQIGSYAQTLADMTGATGNTLGTAGLGGNLAAMGALANINNLGVTAGALGQLTGLSTLSNMAAGATSNPTSAAVPSTLAGLASSTTSLPNANTSTASTQAAAAAAALTALNQLQLANMGAMQQIGSFAGLNSSSTAQQLLALYQPTLGGLGTLNGWTATNLQQMQQALQQQLRRQEKDKQTDAFKVVIPSSCGEMTQSQHMAKIANQAMARTSFTVFACGRSLGDPGRLIRCEEIQGFPSFMVRIDNENCKKSVMGIFLKRDEVANSKESDAPKMQIPHHMGPLTKINHLANQAELAAKRTYFTVFCCGKSQPPNQSRDRLVNIQYVAGYPEFLEKLEKESWSRPIQGVFMKIADPDPQDSHDLAGNMKNATGNSLLLEENRMTVASKPCRM
+>sp|Q9BZC1|CELF4_HUMAN CUGBP Elav-like family member 4 OS=Homo sapiens OX=9606 GN=CELF4 PE=1 SV=1
+MYIKMATLANGQADNASLSTNGLGSSPGSAGHMNGLSHSPGNPSTIPMKDHDAIKLFIGQIPRNLDEKDLKPLFEEFGKIYELTVLKDRFTGMHKGCAFLTYCERESALKAQSALHEQKTLPGMNRPIQVKPADSESRGGSSCLRQPPSQDRKLFVGMLNKQQSEDDVRRLFEAFGNIEECTILRGPDGNSKGCAFVKYSSHAEAQAAINALHGSQTMPGASSSLVVKFADTDKERTMRRMQQMAGQMGMFNPMAIPFGAYGAYAQALMQQQAALMASVAQGGYLNPMAAFAAAQMQQMAALNMNGLAAAPMTPTSGGSTPPGITAPAVPSIPSPIGVNGFTGLPPQANGQPAAEAVFANGIHPYPAQSPTAADPLQQAYAGVQQYAGPAAYPAAYGQISQAFPQPPPMIPQQQREGPEGCNLFIYHLPQEFGDAELMQMFLPFGFVSFDNPASAQTAIQAMNGFQIGMKRLKVQLKRPKDANRPY
+>DECOY_sp|Q9BZC1|CELF4_HUMAN CUGBP Elav-like family member 4 OS=Homo sapiens OX=9606 GN=CELF4 PE=1 SV=1
+YPRNADKPRKLQVKLRKMGIQFGNMAQIATQASAPNDFSVFGFPLFMQMLEADGFEQPLHYIFLNCGEPGERQQQPIMPPPQPFAQSIQGYAAPYAAPGAYQQVGAYAQQLPDAATPSQAPYPHIGNAFVAEAAPQGNAQPPLGTFGNVGIPSPISPVAPATIGPPTSGGSTPTMPAAALGNMNLAAMQQMQAAAFAAMPNLYGGQAVSAMLAAQQQMLAQAYAGYAGFPIAMPNFMGMQGAMQQMRRMTREKDTDAFKVVLSSSAGPMTQSGHLANIAAQAEAHSSYKVFACGKSNGDPGRLITCEEINGFAEFLRRVDDESQQKNLMGVFLKRDQSPPQRLCSSGGRSESDAPKVQIPRNMGPLTKQEHLASQAKLASERECYTLFACGKHMGTFRDKLVTLEYIKGFEEFLPKLDKEDLNRPIQGIFLKIADHDKMPITSPNGPSHSLGNMHGASGPSSGLGNTSLSANDAQGNALTAMKIYM
+>sp|Q9HC77|CENPJ_HUMAN Centromere protein J OS=Homo sapiens OX=9606 GN=CENPJ PE=1 SV=2
+MFLMPTSSELNSGQNFLTQWMTNPSRAGVILNRGFPILEADKEKRAAVDISTSFPIKGTHFSDSFSFINEEDSLLEEQKLESNNPYKPQSDKSETHTAFPCIKKGPQVAACHSAPGHQEENKNDFIPDLASEFKEGAYKDPLFKKLEQLKEVQQKKQEQLKRQQLEQLQRLMEEQEKLLTMVSGQCTLPGLSLLPDDQSQKHRSPGNTTTGERATCCFPSYVYPDPTQEETYPSNILSHEQSNFCRTAHGDFVLTSKRASPNLFSEAQYQEAPVEKNNLKEENRNHPTGESILCWEKVTEQIQEANDKNLQKHDDSSEVANIEERPIKAAIGERKQTFEDYLEEQIQLEEQELKQKQLKEAEGPLPIKAKPKQPFLKRGEGLARFTNAKSKFQKGKESKLVTNQSTSEDQPLFKMDRQQLQRKTALKNKELCADNPILKKDSKARTKSGSVTLSQKPKMLKCSNRKSLSPSGLKIQTGKKCDGQFRDQIKFENKVTSNNKENVTECPKPCDTGCTGWNKTQGKDRLPLSTGPASRLAAKSPIRETMKESESSLDVSLQKKLETWEREKEKENLELDEFLFLEQAADEISFSSNSSFVLKILERDQQICKGHRMSSTPVKAVPQKTNPADPISHCNRSEDLDHTAREKESECEVAPKQLHSLSSADELREQPCKIRKAVQKSTSENQTEWNARDDEGVPNSDSSTDSEEQLDVTIKPSTEDRERGISSREDSPQVCDDKGPFKDTRTQEDKRRDVDLDLSDKDYSSDESIMESIKHKVSEPSRSSSLSLSKMDFDDERTWTDLEENLCNHDVVLGNESTYGTPQTCYPNNEIGILDKTIKRKIAPVKRGEDLSKSRRSRSPPTSELMMKFFPSLKPKPKSDSHLGNELKLNISQDQPPGDNARSQVLREKIIELETEIEKFKAENASLAKLRIERESALEKLRKEIADFEQQKAKELARIEEFKKEEMRKLQKERKVFEKYTTAARTFPDKKEREEIQTLKQQIADLREDLKRKETKWSSTHSRLRSQIQMLVRENTDLREEIKVMERFRLDAWKRAEAIESSLEVEKKDKLANTSVRFQNSQISSGTQVEKYKKNYLPMQGNPPRRSKSAPPRDLGNLDKGQAASPREPLEPLNFPDPEYKEEEEDQDIQGEISHPDGKVEKVYKNGCRVILFPNGTRKEVSADGKTITVTFFNGDVKQVMPDQRVIYYYAAAQTTHTTYPEGLEVLHFSSGQIEKHYPDGRKEITFPDQTVKNLFPDGQEESIFPDGTIVRVQRDGNKLIEFNNGQRELHTAQFKRREYPDGTVKTVYANGHQETKYRSGRIRVKDKEGNVLMDTEL
+>DECOY_sp|Q9HC77|CENPJ_HUMAN Centromere protein J OS=Homo sapiens OX=9606 GN=CENPJ PE=1 SV=2
+LETDMLVNGEKDKVRIRGSRYKTEQHGNAYVTKVTGDPYERRKFQATHLERQGNNFEILKNGDRQVRVITGDPFISEEQGDPFLNKVTQDPFTIEKRGDPYHKEIQGSSFHLVELGEPYTTHTTQAAAYYYIVRQDPMVQKVDGNFFTVTITKGDASVEKRTGNPFLIVRCGNKYVKEVKGDPHSIEGQIDQDEEEEKYEPDPFNLPELPERPSAAQGKDLNGLDRPPASKSRRPPNGQMPLYNKKYKEVQTGSSIQSNQFRVSTNALKDKKEVELSSEIAEARKWADLRFREMVKIEERLDTNERVLMQIQSRLRSHTSSWKTEKRKLDERLDAIQQKLTQIEEREKKDPFTRAATTYKEFVKREKQLKRMEEKKFEEIRALEKAKQQEFDAIEKRLKELASEREIRLKALSANEAKFKEIETELEIIKERLVQSRANDGPPQDQSINLKLENGLHSDSKPKPKLSPFFKMMLESTPPSRSRRSKSLDEGRKVPAIKRKITKDLIGIENNPYCTQPTGYTSENGLVVDHNCLNEELDTWTREDDFDMKSLSLSSSRSPESVKHKISEMISEDSSYDKDSLDLDVDRRKDEQTRTDKFPGKDDCVQPSDERSSIGRERDETSPKITVDLQEESDTSSDSNPVGEDDRANWETQNESTSKQVAKRIKCPQERLEDASSLSHLQKPAVECESEKERATHDLDESRNCHSIPDAPNTKQPVAKVPTSSMRHGKCIQQDRELIKLVFSSNSSFSIEDAAQELFLFEDLELNEKEKEREWTELKKQLSVDLSSESEKMTERIPSKAALRSAPGTSLPLRDKGQTKNWGTCGTDCPKPCETVNEKNNSTVKNEFKIQDRFQGDCKKGTQIKLGSPSLSKRNSCKLMKPKQSLTVSGSKTRAKSDKKLIPNDACLEKNKLATKRQLQQRDMKFLPQDESTSQNTVLKSEKGKQFKSKANTFRALGEGRKLFPQKPKAKIPLPGEAEKLQKQKLEQEELQIQEELYDEFTQKREGIAAKIPREEINAVESSDDHKQLNKDNAEQIQETVKEWCLISEGTPHNRNEEKLNNKEVPAEQYQAESFLNPSARKSTLVFDGHATRCFNSQEHSLINSPYTEEQTPDPYVYSPFCCTAREGTTTNGPSRHKQSQDDPLLSLGPLTCQGSVMTLLKEQEEMLRQLQELQQRKLQEQKKQQVEKLQELKKFLPDKYAGEKFESALDPIFDNKNEEQHGPASHCAAVQPGKKICPFATHTESKDSQPKYPNNSELKQEELLSDEENIFSFSDSFHTGKIPFSTSIDVAARKEKDAELIPFGRNLIVGARSPNTMWQTLFNQGSNLESSTPMLFM
+>sp|Q71F23|CENPU_HUMAN Centromere protein U OS=Homo sapiens OX=9606 GN=CENPU PE=1 SV=1
+MAPRGRRRPRPHRSEGARRSKNTLERTHSMKDKAGQKCKPIDVFDFPDNSDVSSIGRLGENEKDEETYETFDPPLHSTAIYADEEEFSKHCGLSLSSTPPGKEAKRSSDTSGNEASEIESVKISAKKPGRKLRPISDDSESIEESDTRRKVKSAEKISTQRHEVIRTTASSELSEKPAESVTSKKTGPLSAQPSVEKENLAIESQSKTQKKGKISHDKRKKSRSKAIGSDTSDIVHIWCPEGMKTSDIKELNIVLPEFEKTHLEHQQRIESKVCKAAIATFYVNVKEQFIKMLKESQMLTNLKRKNAKMISDIEKKRQRMIEVQDELLRLEPQLKQLQTKYDELKERKSSLRNAAYFLSNLKQLYQDYSDVQAQEPNVKETYDSSSLPALLFKARTLLGAESHLRNINHQLEKLLDQG
+>DECOY_sp|Q71F23|CENPU_HUMAN Centromere protein U OS=Homo sapiens OX=9606 GN=CENPU PE=1 SV=1
+GQDLLKELQHNINRLHSEAGLLTRAKFLLAPLSSSDYTEKVNPEQAQVDSYDQYLQKLNSLFYAANRLSSKREKLEDYKTQLQKLQPELRLLEDQVEIMRQRKKEIDSIMKANKRKLNTLMQSEKLMKIFQEKVNVYFTAIAAKCVKSEIRQQHELHTKEFEPLVINLEKIDSTKMGEPCWIHVIDSTDSGIAKSRSKKRKDHSIKGKKQTKSQSEIALNEKEVSPQASLPGTKKSTVSEAPKESLESSATTRIVEHRQTSIKEASKVKRRTDSEEISESDDSIPRLKRGPKKASIKVSEIESAENGSTDSSRKAEKGPPTSSLSLGCHKSFEEEDAYIATSHLPPDFTEYTEEDKENEGLRGISSVDSNDPFDFVDIPKCKQGAKDKMSHTRELTNKSRRAGESRHPRPRRRGRPAM
+>sp|Q7Z7K6|CENPV_HUMAN Centromere protein V OS=Homo sapiens OX=9606 GN=CENPV PE=1 SV=1
+MRRSRSSAAAKLRGQKRSGASGASAAPAASAAAALAPSATRTRRSASQAGSKSQAVEKPPSEKPRLRRSSPRAQEEGPGEPPPPELALLPPPPPPPPTPATPTSSASNLDLGEQRERWETFQKRQKLTSEGAAKLLLDTFEYQGLVKHTGGCHCGAVRFEVWASADLHIFDCNCSICKKKQNRHFIVPASRFKLLKGAEHITTYTFNTHKAQHTFCKRCGVQSFYTPRSNPGGFGIAPHCLDEGTVRSMVTEEFNGSDWEKAMKEHKTIKNMSKE
+>DECOY_sp|Q7Z7K6|CENPV_HUMAN Centromere protein V OS=Homo sapiens OX=9606 GN=CENPV PE=1 SV=1
+EKSMNKITKHEKMAKEWDSGNFEETVMSRVTGEDLCHPAIGFGGPNSRPTYFSQVGCRKCFTHQAKHTNFTYTTIHEAGKLLKFRSAPVIFHRNQKKKCISCNCDFIHLDASAWVEFRVAGCHCGGTHKVLGQYEFTDLLLKAAGESTLKQRKQFTEWRERQEGLDLNSASSTPTAPTPPPPPPPPLLALEPPPPEGPGEEQARPSSRRLRPKESPPKEVAQSKSGAQSASRRTRTASPALAAAASAAPAASAGSAGSRKQGRLKAAASSRSRRM
+>sp|Q86XR8|CEP57_HUMAN Centrosomal protein of 57 kDa OS=Homo sapiens OX=9606 GN=CEP57 PE=1 SV=2
+MAAASVSAASGSHLSNSFAEPSRSNGSMVRHSSSPYVVYPSDKPFLNSDLRRSPSKPTLAYPESNSRAIFSALKNLQDKIRRLELERIQAEESVKTLSRETIEYKKVLDEQIQERENSKNEESKHNQELTSQLLAAENKCNLLEKQLEYMRNMIKHAEMERTSVLEKQVSLERERQHDQTHVQSQLEKLDLLEQEYNKLTTMQALAEKKMQELEAKLHEEEQERKRMQAKAAELQTGLETNRLIFEDKATPCVPNARRIKKKKSKPPEKKSSRNYFGAQPHYRLCLGDMPFVAGKSTSPSHAVVANVQLVLHLMKQHSKALCNDRVINSIPLAKQVSSRGGKSKKLSVTPPSSNGINEELSEVLQTLQDEFGQMSFDHQQLAKLIQESPTVELKDKLECELEALVGRMEAKANQITKVRKYQAQLEKQKLEKQKKELKATKKTLDEERNSSSRSGITGTTNKKDFMKLRPGEKRRKNLQLLKDMQSIQNSLQSSSLCWDY
+>DECOY_sp|Q86XR8|CEP57_HUMAN Centrosomal protein of 57 kDa OS=Homo sapiens OX=9606 GN=CEP57 PE=1 SV=2
+YDWCLSSSQLSNQISQMDKLLQLNKRRKEGPRLKMFDKKNTTGTIGSRSSSNREEDLTKKTAKLEKKQKELKQKELQAQYKRVKTIQNAKAEMRGVLAELECELKDKLEVTPSEQILKALQQHDFSMQGFEDQLTQLVESLEENIGNSSPPTVSLKKSKGGRSSVQKALPISNIVRDNCLAKSHQKMLHLVLQVNAVVAHSPSTSKGAVFPMDGLCLRYHPQAGFYNRSSKKEPPKSKKKKIRRANPVCPTAKDEFILRNTELGTQLEAAKAQMRKREQEEEHLKAELEQMKKEALAQMTTLKNYEQELLDLKELQSQVHTQDHQRERELSVQKELVSTREMEAHKIMNRMYELQKELLNCKNEAALLQSTLEQNHKSEENKSNEREQIQEDLVKKYEITERSLTKVSEEAQIRELELRRIKDQLNKLASFIARSNSEPYALTPKSPSRRLDSNLFPKDSPYVVYPSSSHRVMSGNSRSPEAFSNSLHSGSAASVSAAAM
+>sp|Q76N32|CEP68_HUMAN Centrosomal protein of 68 kDa OS=Homo sapiens OX=9606 GN=CEP68 PE=1 SV=2
+MALGEEKAEAEASEDTKAQSYGRGSCRERELDIPGPMSGEQPPRLEAEGGLISPVWGAEGIPAPTCWIGTDPGGPSRAHQPQASDANREPVAERSEPALSGLPPATMGSGDLLLSGESQVEKTKLSSSEEFPQTLSLPRTTTICSGHDADTEDDPSLADLPQALDLSQQPHSSGLSCLSQWKSVLSPGSAAQPSSCSISASSTGSSLQGHQERAEPRGGSLAKVSSSLEPVVPQEPSSVVGLGPRPQWSPQPVFSGGDASGLGRRRLSFQAEYWACVLPDSLPPSPDRHSPLWNPNKEYEDLLDYTYPLRPGPQLPKHLDSRVPADPVLQDSGVDLDSFSVSPASTLKSPTNVSPNCPPAEATALPFSGPREPSLKQWPSRVPQKQGGMGLASWSQLASTPRAPGSRDARWERREPALRGAKDRLTIGKHLDMGSPQLRTRDRGWPSPRPEREKRTSQSARRPTCTESRWKSEEEVESDDEYLALPARLTQVSSLVSYLGSISTLVTLPTGDIKGQSPLEVSDSDGPASFPSSSSQSQLPPGAALQGSGDPEGQNPCFLRSFVRAHDSAGEGSLGSSQALGVSSGLLKTRPSLPARLDRWPFSDPDVEGQLPRKGGEQGKESLVQCVKTFCCQLEELICWLYNVADVTDHGTAARSNLTSLKSSLQLYRQFKKDIDEHQSLTESVLQKGEILLQCLLENTPVLEDVLGRIAKQSGELESHADRLYDSILASLDMLAGCTLIPDKKPMAAMEHPCEGV
+>DECOY_sp|Q76N32|CEP68_HUMAN Centrosomal protein of 68 kDa OS=Homo sapiens OX=9606 GN=CEP68 PE=1 SV=2
+VGECPHEMAAMPKKDPILTCGALMDLSALISDYLRDAHSELEGSQKAIRGLVDELVPTNELLCQLLIEGKQLVSETLSQHEDIDKKFQRYLQLSSKLSTLNSRAATGHDTVDAVNYLWCILEELQCCFTKVCQVLSEKGQEGGKRPLQGEVDPDSFPWRDLRAPLSPRTKLLGSSVGLAQSSGLSGEGASDHARVFSRLFCPNQGEPDGSGQLAAGPPLQSQSSSSPFSAPGDSDSVELPSQGKIDGTPLTVLTSISGLYSVLSSVQTLRAPLALYEDDSEVEEESKWRSETCTPRRASQSTRKEREPRPSPWGRDRTRLQPSGMDLHKGITLRDKAGRLAPERREWRADRSGPARPTSALQSWSALGMGGQKQPVRSPWQKLSPERPGSFPLATAEAPPCNPSVNTPSKLTSAPSVSFSDLDVGSDQLVPDAPVRSDLHKPLQPGPRLPYTYDLLDEYEKNPNWLPSHRDPSPPLSDPLVCAWYEAQFSLRRRGLGSADGGSFVPQPSWQPRPGLGVVSSPEQPVVPELSSSVKALSGGRPEAREQHGQLSSGTSSASISCSSPQAASGPSLVSKWQSLCSLGSSHPQQSLDLAQPLDALSPDDETDADHGSCITTTRPLSLTQPFEESSSLKTKEVQSEGSLLLDGSGMTAPPLGSLAPESREAVPERNADSAQPQHARSPGGPDTGIWCTPAPIGEAGWVPSILGGEAELRPPQEGSMPGPIDLERERCSGRGYSQAKTDESAEAEAKEEGLAM
+>sp|Q8NHQ1|CEP70_HUMAN Centrosomal protein of 70 kDa OS=Homo sapiens OX=9606 GN=CEP70 PE=1 SV=2
+MFPVAPKPQDSSQPSDRLMTEKQQEEAEWESINVLLMMHGLKPLSLVKRTDLKDLIIFDKQSSQRMRQNLKLLVEETSCQQNMIQELIETNQQLRNELQLEQSRAANQEQRANDLEQIMESVKSKIGELEDESLSRACHQQNKIKDLQKEQKTLQVKCQHYKKKRTEQEETIASLQMEVCRLKKEEEDRIVTQNRVFAYLCKRVPHTVLDRQLLCLIDYYESKIRKIHTQRQYKEDESQSEEENDYRNLDASPTYKGLLMSLQNQLKESKSKIDALSSEKLNLQKDLETRPTQHELRLYKQQVKKLEKALKKNVKLQELINHKKAEDTEKKDEPSKYNQQQALIDQRYFQVLCSINSIIHNPRAPVIIYKQTKGGVQNFNKDLVQDCGFEHLVPVIEMWADQLTSLKDLYKSLKTLSAELVPWLNLKKQDENEGIKVEDLLFIVDTMLEEVENKEKDSNMPHFQTLQAIVSHFQKLFDVPSLNGVYPRMNEVYTRLGEMNNAVRNLQELLELDSSSSLCVLVSTVGKLCRLINEDVNEQVMQVLGPEDLQSIIYKLEEHEEFFPAFQAFTNDLLEILEIDDLDAIVPAVKKLKVLSY
+>DECOY_sp|Q8NHQ1|CEP70_HUMAN Centrosomal protein of 70 kDa OS=Homo sapiens OX=9606 GN=CEP70 PE=1 SV=2
+YSLVKLKKVAPVIADLDDIELIELLDNTFAQFAPFFEEHEELKYIISQLDEPGLVQMVQENVDENILRCLKGVTSVLVCLSSSSDLELLEQLNRVANNMEGLRTYVENMRPYVGNLSPVDFLKQFHSVIAQLTQFHPMNSDKEKNEVEELMTDVIFLLDEVKIGENEDQKKLNLWPVLEASLTKLSKYLDKLSTLQDAWMEIVPVLHEFGCDQVLDKNFNQVGGKTQKYIIVPARPNHIISNISCLVQFYRQDILAQQQNYKSPEDKKETDEAKKHNILEQLKVNKKLAKELKKVQQKYLRLEHQTPRTELDKQLNLKESSLADIKSKSEKLQNQLSMLLGKYTPSADLNRYDNEEESQSEDEKYQRQTHIKRIKSEYYDILCLLQRDLVTHPVRKCLYAFVRNQTVIRDEEEKKLRCVEMQLSAITEEQETRKKKYHQCKVQLTKQEKQLDKIKNQQHCARSLSEDELEGIKSKVSEMIQELDNARQEQNAARSQELQLENRLQQNTEILEQIMNQQCSTEEVLLKLNQRMRQSSQKDFIILDKLDTRKVLSLPKLGHMMLLVNISEWEAEEQQKETMLRDSPQSSDQPKPAVPFM
+>sp|O95813|CER1_HUMAN Cerberus OS=Homo sapiens OX=9606 GN=CER1 PE=1 SV=1
+MHLLLFQLLVLLPLGKTTRHQDGRQNQSSLSPVLLPRNQRELPTGNHEEAEEKPDLFVAVPHLVATSPAGEGQRQREKMLSRFGRFWKKPEREMHPSRDSDSEPFPPGTQSLIQPIDGMKMEKSPLREEAKKFWHHFMFRKTPASQGVILPIKSHEVHWETCRTVPFSQTITHEGCEKVVVQNNLCFGKCGSVHFPGAAQHSHTSCSHCLPAKFTTMHLPLNCTELSSVIKVVMLVEECQCKVKTEHEDGHILHAGSQDSFIPGVSA
+>DECOY_sp|O95813|CER1_HUMAN Cerberus OS=Homo sapiens OX=9606 GN=CER1 PE=1 SV=1
+ASVGPIFSDQSGAHLIHGDEHETKVKCQCEEVLMVVKIVSSLETCNLPLHMTTFKAPLCHSCSTHSHQAAGPFHVSGCKGFCLNNQVVVKECGEHTITQSFPVTRCTEWHVEHSKIPLIVGQSAPTKRFMFHHWFKKAEERLPSKEMKMGDIPQILSQTGPPFPESDSDRSPHMEREPKKWFRGFRSLMKERQRQGEGAPSTAVLHPVAVFLDPKEEAEEHNGTPLERQNRPLLVPSLSSQNQRGDQHRTTKGLPLLVLLQFLLLHM
+>sp|P41208|CETN2_HUMAN Centrin-2 OS=Homo sapiens OX=9606 GN=CETN2 PE=1 SV=1
+MASNFKKANMASSSQRKRMSPKPELTEEQKQEIREAFDLFDADGTGTIDVKELKVAMRALGFEPKKEEIKKMISEIDKEGTGKMNFGDFLTVMTQKMSEKDTKEEILKAFKLFDDDETGKISFKNLKRVAKELGENLTDEELQEMIDEADRDGDGEVSEQEFLRIMKKTSLY
+>DECOY_sp|P41208|CETN2_HUMAN Centrin-2 OS=Homo sapiens OX=9606 GN=CETN2 PE=1 SV=1
+YLSTKKMIRLFEQESVEGDGDRDAEDIMEQLEEDTLNEGLEKAVRKLNKFSIKGTEDDDFLKFAKLIEEKTDKESMKQTMVTLFDGFNMKGTGEKDIESIMKKIEEKKPEFGLARMAVKLEKVDITGTGDADFLDFAERIEQKQEETLEPKPSMRKRQSSSAMNAKKFNSAM
+>sp|Q5T5N4|CF118_HUMAN Uncharacterized protein C6orf118 OS=Homo sapiens OX=9606 GN=C6orf118 PE=2 SV=1
+MAEEREPELYLKWKHCETPGVKTLCNLKHCETPGVKTLCNLKKLLNRLQKDHREDVYLYISGHLNPNKLYQPPETILQHWPNAHRPKGERASEVGEPPAGKVARMKEALAHFTIHTALVPSEAQDTPLFRYLNPQASLSHTSEEDFLPVEAVREGKEEKKGGPPGRGPPGWRRREELRLPDLKVLCYQEAGSRGTRDRHHYVSSYLAGATSADRYRMFLRFQKEVLAKQDLLKNDFTGSKAAAGHERKLQQELQKICTCSPQQFNRLHVFGKVFEDICNSSLIFGDLLKKVKDEYELYMATLLESQPAAQYEALLAQLKALGQRPVKTADMDLAREELRMLVTATKAALEQNDRLRSELEMEVALLQSAKERSESSEKHIIDENRLTLTEKVEKKRCEILSKWDEIQALEKEIKTTLVHTGISDITENRIKSIEHEAIQLETENMILKKKIKGPLEIYQGICKIRGNRR
+>DECOY_sp|Q5T5N4|CF118_HUMAN Uncharacterized protein C6orf118 OS=Homo sapiens OX=9606 GN=C6orf118 PE=2 SV=1
+RRNGRIKCIGQYIELPGKIKKKLIMNETELQIAEHEISKIRNETIDSIGTHVLTTKIEKELAQIEDWKSLIECRKKEVKETLTLRNEDIIHKESSESREKASQLLAVEMELESRLRDNQELAAKTATVLMRLEERALDMDATKVPRQGLAKLQALLAEYQAAPQSELLTAMYLEYEDKVKKLLDGFILSSNCIDEFVKGFVHLRNFQQPSCTCIKQLEQQLKREHGAAAKSGTFDNKLLDQKALVEKQFRLFMRYRDASTAGALYSSVYHHRDRTGRSGAEQYCLVKLDPLRLEERRRWGPPGRGPPGGKKEEKGERVAEVPLFDEESTHSLSAQPNLYRFLPTDQAESPVLATHITFHALAEKMRAVKGAPPEGVESAREGKPRHANPWHQLITEPPQYLKNPNLHGSIYLYVDERHDKQLRNLLKKLNCLTKVGPTECHKLNCLTKVGPTECHKWKLYLEPEREEAM
+>sp|Q9Y6Z2|CF123_HUMAN Uncharacterized protein encoded by LINC01558 OS=Homo sapiens OX=9606 GN=LINC01558 PE=4 SV=1
+MGTAVGPHHSPAPHDSALPARLLTSDFPYGRSCQIEQVKYSVPDTGLFQHWEGSIPT
+>DECOY_sp|Q9Y6Z2|CF123_HUMAN Uncharacterized protein encoded by LINC01558 OS=Homo sapiens OX=9606 GN=LINC01558 PE=4 SV=1
+TPISGEWHQFLGTDPVSYKVQEIQCSRGYPFDSTLLRAPLASDHPAPSHHPGVATGM
+>sp|Q5T0Z8|CF132_HUMAN Uncharacterized protein C6orf132 OS=Homo sapiens OX=9606 GN=C6orf132 PE=1 SV=4
+MKKKQTVQGTFSKLFGKKHTTTPSTSLYATNPPWIFTQEAPEEGTGGFDGIYYGDNRFNTVSESGTATLKARPRVRPLLTFLPLNAQENHGLAVPTPSVPDDFADKEVTGTSSLVNGNLRLYSSVGDLRPGQYGQDLLIPPPPPGPAPGPPQDISEPPGGSPLPSPPSTAPPPPPLLLEPPPPPSMAPPPPPVLEALSPPHTLSSPSIPTPPDFIPPAPPLAFLAPPPPPVPAPAPPAPASPHTVGTRLFPPGGVTKWKSDVALNGRQAEATRASPPRSPAEPKGSALGPNPEPHLTFPRSFKVPPPTPVRTSSIPVQEAQEAPRKEEGATKKAPSRLPLPPSFHIRPASQVYPDRAPEPDCPGELKATAPASPRLGQSQSQADERAGTPPPAPPLPPPAPPLPPPAPPLPPAAPPLPCAQKAAHPPAGFTKTPKSSSPALKPKPNPPSPENTASSAPVDWRDPSQMEKLRNELAAYLCGSRREDRFLSHRPGPTVAPQSKEGKKGPRLPEKETLLSLPAKDTPPGVPEKSLGGSSLTETEAAPSLTLPSVDYIPQDSPTPSVRQIRNELEARLSSAAEKEAKPSIGSLPPKPRLEGGRICENGADDDKLSKPVAKNLPPQSTTLLPTTSLQPKAMLGPAIPPKATPEPAIPPKATLWPATPPKATLGPATPLKATSGPTTPLKATSGPAIASTATTLPTTTSQLMAEKDSGPAGQPEKPASQEVSTPSQARGEGSPSEATRLPTQGARSSAAFPPKTSPGGGEVPCLYKPHCHQSSLSREVAVVMPTLARGGAAGPGEPVEVKEPPGLPAKPPASAQPTDELLRHPVTGEVVERGSPMALLLAARQRAQKGRSVGAALGRSSLPGSLRDHSHQAEASSDSIFHSQGTPNSFTVVPKLPKEAEKDSPLTTEIPNKWGPRLGRDAEGTELSRRHNWTKPEPQAPVAWERVAPSNLPQGHPLPKSFSSPPSPSNKREEEEEEFNFEVIPPPPEFSNDPEPPAPALQYLGRQSSPPRNNYSDLRQLPNAGPGAPPALGFSRFPAGARYAGAGGLERFSGGGRSLIKKRLYVGEPHRGPGLPHGGTGRSLSSPNCFGPQPGGPEMRRVNSAGRAPPGGLHAPRLSLEGAARGAAEAKHKAPGSADYGFAPAAGRSPYTTTRYGSPINTFTVRPGTRHPISYVCSGAHRKATS
+>DECOY_sp|Q5T0Z8|CF132_HUMAN Uncharacterized protein C6orf132 OS=Homo sapiens OX=9606 GN=C6orf132 PE=1 SV=4
+STAKRHAGSCVYSIPHRTGPRVTFTNIPSGYRTTTYPSRGAAPAFGYDASGPAKHKAEAAGRAAGELSLRPAHLGGPPARGASNVRRMEPGGPQPGFCNPSSLSRGTGGHPLGPGRHPEGVYLRKKILSRGGGSFRELGGAGAYRAGAPFRSFGLAPPAGPGANPLQRLDSYNNRPPSSQRGLYQLAPAPPEPDNSFEPPPPIVEFNFEEEEEERKNSPSPPSSFSKPLPHGQPLNSPAVREWAVPAQPEPKTWNHRRSLETGEADRGLRPGWKNPIETTLPSDKEAEKPLKPVVTFSNPTGQSHFISDSSAEAQHSHDRLSGPLSSRGLAAGVSRGKQARQRAALLLAMPSGREVVEGTVPHRLLEDTPQASAPPKAPLGPPEKVEVPEGPGAAGGRALTPMVVAVERSLSSQHCHPKYLCPVEGGGPSTKPPFAASSRAGQTPLRTAESPSGEGRAQSPTSVEQSAPKEPQGAPGSDKEAMLQSTTTPLTTATSAIAPGSTAKLPTTPGSTAKLPTAPGLTAKPPTAPWLTAKPPIAPEPTAKPPIAPGLMAKPQLSTTPLLTTSQPPLNKAVPKSLKDDDAGNECIRGGELRPKPPLSGISPKAEKEAASSLRAELENRIQRVSPTPSDQPIYDVSPLTLSPAAETETLSSGGLSKEPVGPPTDKAPLSLLTEKEPLRPGKKGEKSQPAVTPGPRHSLFRDERRSGCLYAALENRLKEMQSPDRWDVPASSATNEPSPPNPKPKLAPSSSKPTKTFGAPPHAAKQACPLPPAAPPLPPAPPPLPPAPPPLPPAPPPTGAREDAQSQSQGLRPSAPATAKLEGPCDPEPARDPYVQSAPRIHFSPPLPLRSPAKKTAGEEKRPAEQAEQVPISSTRVPTPPPVKFSRPFTLHPEPNPGLASGKPEAPSRPPSARTAEAQRGNLAVDSKWKTVGGPPFLRTGVTHPSAPAPPAPAPVPPPPPALFALPPAPPIFDPPTPISPSSLTHPPSLAELVPPPPPAMSPPPPPELLLPPPPPATSPPSPLPSGGPPESIDQPPGPAPGPPPPPILLDQGYQGPRLDGVSSYLRLNGNVLSSTGTVEKDAFDDPVSPTPVALGHNEQANLPLFTLLPRVRPRAKLTATGSESVTNFRNDGYYIGDFGGTGEEPAEQTFIWPPNTAYLSTSPTTTHKKGFLKSFTGQVTQKKKM
+>sp|Q96G28|CFA36_HUMAN Cilia- and flagella-associated protein 36 OS=Homo sapiens OX=9606 GN=CFAP36 PE=1 SV=2
+MAAEEEDEVEWVVESIAGFLRGPDWSIPILDFVEQKCEVFDDEEESKLTYTEIHQEYKELVEKLLEGYLKEIGINEDQFQEACTSPLAKTHTSQAILQPVLAAEDFTIFKAMMVQKNIEMQLQAIRIIQERNGVLPDCLTDGSDVVSDLEHEEMKILREVLRKSKEEYDQEEERKRKKQLSEAKTEEPTVHSSEAAIMNNSQGDGEHFAHPPSEVKMHFANQSIEPLGRKVERSETSSLPQKDLKIPGLEHASIEGPIANLSVLGTEELRQREHYLKQKRDKLMSMRKDMRTKQIQNMEQKGKPTGEVEEMTEKPEMTAEEKQTLLKRRLLAEKLKEEVINK
+>DECOY_sp|Q96G28|CFA36_HUMAN Cilia- and flagella-associated protein 36 OS=Homo sapiens OX=9606 GN=CFAP36 PE=1 SV=2
+KNIVEEKLKEALLRRKLLTQKEEATMEPKETMEEVEGTPKGKQEMNQIQKTRMDKRMSMLKDRKQKLYHERQRLEETGLVSLNAIPGEISAHELGPIKLDKQPLSSTESREVKRGLPEISQNAFHMKVESPPHAFHEGDGQSNNMIAAESSHVTPEETKAESLQKKRKREEEQDYEEKSKRLVERLIKMEEHELDSVVDSGDTLCDPLVGNREQIIRIAQLQMEINKQVMMAKFITFDEAALVPQLIAQSTHTKALPSTCAEQFQDENIGIEKLYGELLKEVLEKYEQHIETYTLKSEEEDDFVECKQEVFDLIPISWDPGRLFGAISEVVWEVEDEEEAAM
+>sp|Q96N23|CFA54_HUMAN Cilia- and flagella-associated protein 54 OS=Homo sapiens OX=9606 GN=CFAP54 PE=2 SV=3
+MAAQGSPSSSPSDDSTTSGSLPELPPTSTATSRSPPESKGSSRSSLLQWTCPEDSLPLAVFYGPLDAKNPLLASCEKEIQELLGFMRKKKALATTEEEKHEFRRRCATSLFNIWTKYAPRLPADYYNEKLLKVGDSLCQMKEYKLALLQCYGRYLQQFNTNFDENKVDVTQFKATFFPKGFKDKTAGLTFHALSGKNMCNYQLVCDSDENLKNKESVVQCLHILSSLRLIMQVALPQEHLCWIIFNGTIYIYTICRKLMVIGQSSKALEYLLWASMCMESLVPLLSLRYLTWRATLYTAVCQCCYDCHAGIHGEAFARRALAKIDELRQLELMSSSKSQEESRRYFREATMKMAVMIFKRGVFESRRKNKAVFRPKIRINLREVQTLSWPRTVTERLLDEMFDSTASQFLAVLEALSDSNRRILQTGPIVTDEVEIHDVVSELFMAGKELLIMSNIGADGMLDFPKTSLLELMIGRKDVISVDAAVKFIKLAFTYEEWSLFESSAVHLIYFLQRQDDPESKKAEKDLTLLIAMEPLINVKRNKGLIFPLENYKEGQSTQIYLKKIAVHDTCLKTCGYSEDIFHLAATLYVCVCTAPQDVQPDKEIVVDTIMFLWQKCKLGIQRLNISRNDYAKFTQKISTNKWIYLLWQINEVIHCYKMEDIDIVVVAEVTLRLSEILESLGSPGRKFKQSLDVPLREGTNKFPGAPKGITEILPILQKNPVEQLLFAYKLLDRAIGGINLNCMLTSLPNGSSVIDHCYAKRTHHIDGDTYKPLASNSFMMDLHLELIQAQHRIAVVLLDKLQVLQTPTVSKDISTKGPEKLKQSGSTDCFTELNIMNKIKKNTLSKAIYLMQKALLIFEKDATSTSSWELLMEAYSLIQRIEAEQNALYSYQKYLESSKRKKSRVPPPPILLSRTHCSVTLKPAPFTSEVKVSWYCILGCKAEGSYGKVRLNNNHLPNSGEAIPADGKSVFEVKGLETNEKYVFAVAAYSNNGKLVGGAIGETTKPILVYPPLSTITARMFLTQVAYQVGNYELAKKVFSPVWDYFVASPLQDEQSVICLSNIITITQRRLHSDILAETSSILLYLFLRNIFVTSDIKIKEENLFCDNIKGNEIFPSQQIARLIECERVLVALELSNFLNDSSYALQAVTQCYGLLAPIIYHNIVLVPVVQILIKCIVVLQGLPSIVCSKKHTASFESIQHMIACCIFYITKILRSWREYDLAVMIINYGKKMLDITPGCKSLFDGSNEQEEMPEEDSSKKSLKTKKPQQILLPEKINEQLALLETHLLKLTKQYVTSELSGGEDPIFLYPVVLNWSVKGAVKEVMKFKQKPRFLEFFTQVMLKCMNEEKFHLMVEVTTPVHDFLKRRNESLLGLIKVKYKDSALNKKANKSLKFKAAVMEIGRSAEMQQRIRSKKKETLRDFIFKNPAISEMVAHERNRRTSVRKAAQRYLMDYLNPLILSYVKRKRFHRLSLEEMPWRAQMNLYLAGAHFNLVLQKLWECTKMKFGTSHMMVSFRSCDPNMFSLYNSGTVLPTRKLTVENYKAMLDFLLTAKKRKANLPSDAEEFSTFINSIMSDENMSKTQTVYDSDSQSGSSAKEKDRGANLCVMDHFMKIFLYCRRAMVLAHRGGYWTLLQNCCRALWNFTQELQILLKQAVDLDKTFPISQDGFLCTSVLPFYLGAELLIDMLIQLQNTSSIKPIEDKGEFSVPSCYGNIKNDNGGSSLTFEHPLDDVNVVDLKWIHDFVLKSLEVLYQVEKWETLVSLAIQFNTVSHERYTEQVTPLLVYAQRQLLLRIQKFKGPDITQQPCARYEAEYGEKITCRNFIGKQLKINSSTIEATSNCTDLLKMLISSEYSRAKALVCVPVDVTDTLRCFRETLEKSKYHNRSIRHSRKLLSLFLAQTQDVLQASNQRSLKVQALHSLGSLLIFAEKKRAAFKCWCQALDDIFRKPDVLHTWKEFGPSLTNVTNSHSPPGFKDYSEEFLSRVGIWGCLQGAVISAKIAQFIKSLNVEKKTDCCILSALLFQGLLRTTLPHPKAERCYAQYEITQLLPGIELFSDRYRADICSVIASLYYIIRELHFVRQNLIVLPLLALYQYFVSGICQDITRNLEARILKIEVLIDLRFFSEAFYEISQIFYGKNMPCPIPAGYKATGKMKIFQSFDSGKLLTSKENIQAIDELRNKGLPAVLVTIGQPHLLNKFNFVKAYFFLSVAATINCVPENKFKTVITNKSKPNLPNLEEIYSKDDGSSFYNLTKLKDEITLSMLKSMLLMEAEDRLNFLLSEVEQKTLSQCSAGELEIVVEARLQLAAVALQRHRAAYSAAIVFSTLTLLQDSKLFEKKVVQDDTENPVSPGTSVTENKDDSEFLDPISLNAREYFNIHLWLRCRLALVTAFVAQIHGIGIVKEDDMTDCLSLINEVCMEAKSAGDTELQAEFLTQAVILGLQEKHLKADIMTNLQDIIHLLEGNEFISPQSRLTLARSLVLLDDLTKAEKFKESPSSKTGKLNLLTRAHSILTEQMLAFGETIEFRSSNTKYANPLQPLKNIYLPHVMLLAKIKMRIGHTVAKQVYYKNKRKDPSKWLPALHLFDVALKLCRTTAVEEHEVEAEILFQKGKIERQILMEEKSPSFQLESLYEAIQLSLKNDQNSGLIRDSYLEMALLYFHLKKPKIKISGSPLTLKPPLRRSSSVKETSANKFEMYSSLAWIAIRAAAQVSEAVLAINLLIGKKNTRMHKVNQVALPNIPEFAALDLLSSYTDYLLDNYQVLFQTSCTFLYQNDDVCDSADGRKKTQTKVDITWILLLRYYIHLQRINNLSKLLASATPVSGISLPDDTLLTSLYNSELILRQKEVHFFLKKFLQLYSSSCIDEFPKELLCQLENPPLSEKDLRESSAKLYRDSSVQSILSFKPVSGSSCVDITPIEMVTQASNKELCFQWYIPPLDRPPKETEPMVLLLYAYNLKPLKISDVRHSTYNSTCVGSLWIPLNRVIAIHEKLSNLAQIAELSLPAAPEITSNENIYEVEVEEESVDNEMEDMIIQCCSEIASLFLNDKEPTPLSEVPFDISLPSIFNLERLFDLANGCILSGGSLFNWIVSIIP
+>DECOY_sp|Q96N23|CFA54_HUMAN Cilia- and flagella-associated protein 54 OS=Homo sapiens OX=9606 GN=CFAP54 PE=2 SV=3
+PIISVIWNFLSGGSLICGNALDFLRELNFISPLSIDFPVESLPTPEKDNLFLSAIESCCQIIMDEMENDVSEEEVEVEYINENSTIEPAAPLSLEAIQALNSLKEHIAIVRNLPIWLSGVCTSNYTSHRVDSIKLPKLNYAYLLLVMPETEKPPRDLPPIYWQFCLEKNSAQTVMEIPTIDVCSSGSVPKFSLISQVSSDRYLKASSERLDKESLPPNELQCLLEKPFEDICSSSYLQLFKKLFFHVEKQRLILESNYLSTLLTDDPLSIGSVPTASALLKSLNNIRQLHIYYRLLLIWTIDVKTQTKKRGDASDCVDDNQYLFTCSTQFLVQYNDLLYDTYSSLLDLAAFEPINPLAVQNVKHMRTNKKGILLNIALVAESVQAAARIAIWALSSYMEFKNASTEKVSSSRRLPPKLTLPSGSIKIKPKKLHFYLLAMELYSDRILGSNQDNKLSLQIAEYLSELQFSPSKEEMLIQREIKGKQFLIEAEVEHEEVATTRCLKLAVDFLHLAPLWKSPDKRKNKYYVQKAVTHGIRMKIKALLMVHPLYINKLPQLPNAYKTNSSRFEITEGFALMQETLISHARTLLNLKGTKSSPSEKFKEAKTLDDLLVLSRALTLRSQPSIFENGELLHIIDQLNTMIDAKLHKEQLGLIVAQTLFEAQLETDGASKAEMCVENILSLCDTMDDEKVIGIGHIQAVFATVLALRCRLWLHINFYERANLSIPDLFESDDKNETVSTGPSVPNETDDQVVKKEFLKSDQLLTLTSFVIAASYAARHRQLAVAALQLRAEVVIELEGASCQSLTKQEVESLLFNLRDEAEMLLMSKLMSLTIEDKLKTLNYFSSGDDKSYIEELNPLNPKSKNTIVTKFKNEPVCNITAAVSLFFYAKVFNFKNLLHPQGITVLVAPLGKNRLEDIAQINEKSTLLKGSDFSQFIKMKGTAKYGAPIPCPMNKGYFIQSIEYFAESFFRLDILVEIKLIRAELNRTIDQCIGSVFYQYLALLPLVILNQRVFHLERIIYYLSAIVSCIDARYRDSFLEIGPLLQTIEYQAYCREAKPHPLTTRLLGQFLLASLICCDTKKEVNLSKIFQAIKASIVAGQLCGWIGVRSLFEESYDKFGPPSHSNTVNTLSPGFEKWTHLVDPKRFIDDLAQCWCKFAARKKEAFILLSGLSHLAQVKLSRQNSAQLVDQTQALFLSLLKRSHRISRNHYKSKELTERFCRLTDTVDVPVCVLAKARSYESSILMKLLDTCNSTAEITSSNIKLQKGIFNRCTIKEGYEAEYRACPQQTIDPGKFKQIRLLLQRQAYVLLPTVQETYREHSVTNFQIALSVLTEWKEVQYLVELSKLVFDHIWKLDVVNVDDLPHEFTLSSGGNDNKINGYCSPVSFEGKDEIPKISSTNQLQILMDILLEAGLYFPLVSTCLFGDQSIPFTKDLDVAQKLLIQLEQTFNWLARCCNQLLTWYGGRHALVMARRCYLFIKMFHDMVCLNAGRDKEKASSGSQSDSDYVTQTKSMNEDSMISNIFTSFEEADSPLNAKRKKATLLFDLMAKYNEVTLKRTPLVTGSNYLSFMNPDCSRFSVMMHSTGFKMKTCEWLKQLVLNFHAGALYLNMQARWPMEELSLRHFRKRKVYSLILPNLYDMLYRQAAKRVSTRRNREHAVMESIAPNKFIFDRLTEKKKSRIRQQMEASRGIEMVAAKFKLSKNAKKNLASDKYKVKILGLLSENRRKLFDHVPTTVEVMLHFKEENMCKLMVQTFFELFRPKQKFKMVEKVAGKVSWNLVVPYLFIPDEGGSLESTVYQKTLKLLHTELLALQENIKEPLLIQQPKKTKLSKKSSDEEPMEEQENSGDFLSKCGPTIDLMKKGYNIIMVALDYERWSRLIKTIYFICCAIMHQISEFSATHKKSCVISPLGQLVVICKILIQVVPVLVINHYIIPALLGYCQTVAQLAYSSDNLFNSLELAVLVRECEILRAIQQSPFIENGKINDCFLNEEKIKIDSTVFINRLFLYLLISSTEALIDSHLRRQTITIINSLCIVSQEDQLPSAVFYDWVPSFVKKALEYNGVQYAVQTLFMRATITSLPPYVLIPKTTEGIAGGVLKGNNSYAAVAFVYKENTELGKVEFVSKGDAPIAEGSNPLHNNNLRVKGYSGEAKCGLICYWSVKVESTFPAPKLTVSCHTRSLLIPPPPVRSKKRKSSELYKQYSYLANQEAEIRQILSYAEMLLEWSSTSTADKEFILLAKQMLYIAKSLTNKKIKNMINLETFCDTSGSQKLKEPGKTSIDKSVTPTQLVQLKDLLVVAIRHQAQILELHLDMMFSNSALPKYTDGDIHHTRKAYCHDIVSSGNPLSTLMCNLNIGGIARDLLKYAFLLQEVPNKQLIPLIETIGKPAGPFKNTGERLPVDLSQKFKRGPSGLSELIESLRLTVEAVVVIDIDEMKYCHIVENIQWLLYIWKNTSIKQTFKAYDNRSINLRQIGLKCKQWLFMITDVVIEKDPQVDQPATCVCVYLTAALHFIDESYGCTKLCTDHVAIKKLYIQTSQGEKYNELPFILGKNRKVNILPEMAILLTLDKEAKKSEPDDQRQLFYILHVASSEFLSWEEYTFALKIFKVAADVSIVDKRGIMLELLSTKPFDLMGDAGINSMILLEKGAMFLESVVDHIEVEDTVIPGTQLIRRNSDSLAELVALFQSATSDFMEDLLRETVTRPWSLTQVERLNIRIKPRFVAKNKRRSEFVGRKFIMVAMKMTAERFYRRSEEQSKSSSMLELQRLEDIKALARRAFAEGHIGAHCDYCCQCVATYLTARWTLYRLSLLPVLSEMCMSAWLLYELAKSSQGIVMLKRCITYIYITGNFIIWCLHEQPLAVQMILRLSSLIHLCQVVSEKNKLNEDSDCVLQYNCMNKGSLAHFTLGATKDKFGKPFFTAKFQTVDVKNEDFNTNFQQLYRGYCQLLALKYEKMQCLSDGVKLLKENYYDAPLRPAYKTWINFLSTACRRRFEHKEEETTALAKKKRMFGLLEQIEKECSALLPNKADLPGYFVALPLSDEPCTWQLLSSRSSGKSEPPSRSTATSTPPLEPLSGSTTSDDSPSSSPSGQAAM
+>sp|Q5T655|CFA58_HUMAN Cilia- and flagella-associated protein 58 OS=Homo sapiens OX=9606 GN=CFAP58 PE=1 SV=1
+MAEEKGGKQVLEESAFEEMERDFQGVLHELSGDKSLEKFRIEYERLHAVMKKSYDNEKRLMAKCRELNAEIVVNSAKVATALKLSQDDQTTIASLKKEIEKAWKMVDSAYDKEQKAKETILALKEEIVNLTKLVEQGSGLSMDQHSNIRDLLRFKEEVTKERDQLLSEVVKLRESLAQTTEQQQETERSKEEAEHAISQFQQEIQQRQNEASREFRKKEKLEKELKQIQADMDSRQTEIKALQQYVQKSKEELQKLEQQLKEQKILNERAAKELEQFQMRNAKLQQENEQHSLVCEQLSQENQQKALELKAKEEEVHQMRLDIGKLNKIREQIHKKLHHTEDQKAEVEQHKETLKNQIVGLEREVEASKKQAELDRKAMDELLRERDILNKNMLKAVNATQKQTDLVKLHEQAKRNLEGEIQNYKDEAQKQRKIIFHLEKERDRYINQASDLTQKVLMNMEDIKVRETQIFDYRKKIAESEIKLKQQQNLYEAVRSDRNLYSKNLVEAQDEITDMKRKLKIMIHQVDELKEDISAKESALVKLHLEQQRIEKEKETLKAELQKLRQQALETKHFIEKQEAEERKLLRIIAEADGERLRQKKELDQVISERDILGSQLVRRNDELALLYEKIKIQQSVLNKGESQYNQRLEDMRILRLEIKKLRREKGILARSMANVEELRQEFFHMQRELLKERTRCRALEEELENPLNVHRWRKLEASDPNAYELIQKIHTLQKRLISKTEEVVEKELLLQEKEKLYMELKHVLARQPGPEAAEQLKLYRRTLHDKKQQLKVLSSELNMYEVQSKEYKYEVEKLTNELQNLKKKYLAQKRKEQLQKNKDTAPMDNTFLMVKPNGPGFTGGGFPLRSTKMTF
+>DECOY_sp|Q5T655|CFA58_HUMAN Cilia- and flagella-associated protein 58 OS=Homo sapiens OX=9606 GN=CFAP58 PE=1 SV=1
+FTMKTSRLPFGGGTFGPGNPKVMLFTNDMPATDKNKQLQEKRKQALYKKKLNQLENTLKEVEYKYEKSQVEYMNLESSLVKLQQKKDHLTRRYLKLQEAAEPGPQRALVHKLEMYLKEKEQLLLEKEVVEETKSILRKQLTHIKQILEYANPDSAELKRWRHVNLPNELEEELARCRTREKLLERQMHFFEQRLEEVNAMSRALIGKERRLKKIELRLIRMDELRQNYQSEGKNLVSQQIKIKEYLLALEDNRRVLQSGLIDRESIVQDLEKKQRLREGDAEAIIRLLKREEAEQKEIFHKTELAQQRLKQLEAKLTEKEKEIRQQELHLKVLASEKASIDEKLEDVQHIMIKLKRKMDTIEDQAEVLNKSYLNRDSRVAEYLNQQQKLKIESEAIKKRYDFIQTERVKIDEMNMLVKQTLDSAQNIYRDREKELHFIIKRQKQAEDKYNQIEGELNRKAQEHLKVLDTQKQTANVAKLMNKNLIDRERLLEDMAKRDLEAQKKSAEVERELGVIQNKLTEKHQEVEAKQDETHHLKKHIQERIKNLKGIDLRMQHVEEEKAKLELAKQQNEQSLQECVLSHQENEQQLKANRMQFQELEKAARENLIKQEKLQQELKQLEEKSKQVYQQLAKIETQRSDMDAQIQKLEKELKEKKRFERSAENQRQQIEQQFQSIAHEAEEKSRETEQQQETTQALSERLKVVESLLQDREKTVEEKFRLLDRINSHQDMSLGSGQEVLKTLNVIEEKLALITEKAKQEKDYASDVMKWAKEIEKKLSAITTQDDQSLKLATAVKASNVVIEANLERCKAMLRKENDYSKKMVAHLREYEIRFKELSKDGSLEHLVGQFDREMEEFASEELVQKGGKEEAM
+>sp|Q9P2B7|CFA97_HUMAN Cilia- and flagella-associated protein 97 OS=Homo sapiens OX=9606 GN=CFAP97 PE=1 SV=2
+MDQFGDILEGEVDHSFFDSDFEEGKKCETNSVFDKQNDDPKERIDKDTKNVNSNTGMQTTENYLTEKGNERNVKFPPEHPVENDVTQTVSSFSLPASSRSKKLCDVTTGLKIHVSIPNRIPKIVKEGEDDYYTDGEESSDDGKKYHVKSKSAKPSTNVKKSIRKKYCKVSSSSSSSLSSSSSGSGTDCLDAGSDSHLSDSSPSSKSSKKHVSGITLLSPKHKYKSGIKSTETQPSSTTPKCGHYPEESEDTVTDVSPLSTPDISPLQSFELGIANDQKVKIKKQENVSQEIYEDVEDLKNNSKYLKAAKKGKEKHEPDVSSKSSSVLDSSLDHRHKQKVLHDTMDLNHLLKAFLQLDKKGPQKHHFDQPSVAPGKNYSFTREEVRQIDRENQRLLKELSRQAEKPGSKSTIPRSADHPPKLYHSALNRQKEQQRIERENLALLKRLEAVKPTVGMKRSEQLMDYHRNMGYLNSSPLSRRARSTLGQYSPLRASRTSSATSGLSCRSERSAVDPSSGHPRRRPKPPNVRTAWL
+>DECOY_sp|Q9P2B7|CFA97_HUMAN Cilia- and flagella-associated protein 97 OS=Homo sapiens OX=9606 GN=CFAP97 PE=1 SV=2
+LWATRVNPPKPRRRPHGSSPDVASRESRCSLGSTASSTRSARLPSYQGLTSRARRSLPSSNLYGMNRHYDMLQESRKMGVTPKVAELRKLLALNEREIRQQEKQRNLASHYLKPPHDASRPITSKSGPKEAQRSLEKLLRQNERDIQRVEERTFSYNKGPAVSPQDFHHKQPGKKDLQLFAKLLHNLDMTDHLVKQKHRHDLSSDLVSSSKSSVDPEHKEKGKKAAKLYKSNNKLDEVDEYIEQSVNEQKKIKVKQDNAIGLEFSQLPSIDPTSLPSVDTVTDESEEPYHGCKPTTSSPQTETSKIGSKYKHKPSLLTIGSVHKKSSKSSPSSDSLHSDSGADLCDTGSGSSSSSLSSSSSSSVKCYKKRISKKVNTSPKASKSKVHYKKGDDSSEEGDTYYDDEGEKVIKPIRNPISVHIKLGTTVDCLKKSRSSAPLSFSSVTQTVDNEVPHEPPFKVNRENGKETLYNETTQMGTNSNVNKTDKDIREKPDDNQKDFVSNTECKKGEEFDSDFFSHDVEGELIDGFQDM
+>sp|Q9UEE9|CFDP1_HUMAN Craniofacial development protein 1 OS=Homo sapiens OX=9606 GN=CFDP1 PE=1 SV=1
+MEEFDSEDFSTSEEDEDYVPSGGEYSEDDVNELVKEDEVDGEEQTQKTQGKKRKAQSIPARKRRQGGLSLEEEEEEDANSESEGSSSEEEDDAAEQEKGIGSEDARKKKEDELWASFLNDVGPKSKVPPSTQVKKGEETEETSSSKLLVKAEELEKPKETEKVKITKVFDFAGEEVRVTKEVDATSKEAKSFFKQNEKEKPQANVPSALPSLPAGSGLKRSSGMSSLLGKIGAKKQKMSTLEKSKLDWESFKEEEGIGEELAIHNRGKEGYIERKAFLDRVDHRQFEIERDLRLSKMKP
+>DECOY_sp|Q9UEE9|CFDP1_HUMAN Craniofacial development protein 1 OS=Homo sapiens OX=9606 GN=CFDP1 PE=1 SV=1
+PKMKSLRLDREIEFQRHDVRDLFAKREIYGEKGRNHIALEEGIGEEEKFSEWDLKSKELTSMKQKKAGIKGLLSSMGSSRKLGSGAPLSPLASPVNAQPKEKENQKFFSKAEKSTADVEKTVRVEEGAFDFVKTIKVKETEKPKELEEAKVLLKSSSTEETEEGKKVQTSPPVKSKPGVDNLFSAWLEDEKKKRADESGIGKEQEAADDEEESSSGESESNADEEEEEELSLGGQRRKRAPISQAKRKKGQTKQTQEEGDVEDEKVLENVDDESYEGGSPVYDEDEESTSFDESDFEEM
+>sp|Q99674|CGRE1_HUMAN Cell growth regulator with EF hand domain protein 1 OS=Homo sapiens OX=9606 GN=CGREF1 PE=2 SV=2
+MLPLTMTVLILLLLPTGQAAPKDGVTRPDSEVQHQLLPNPFQPGQEQLGLLQSYLKGLGRTEVQLEHLSREQVLLYLFALHDYDQSGQLDGLELLSMLTAALAPGAANSPTTNPVILIVDKVLETQDLNGDGLMTPAELINFPGVALRHVEPGEPLAPSPQEPQAVGRQSLLAKSPLRQETQEAPGPREEAKGQVEARRESLDPVQEPGGQAEADGDVPGPRGEAEGQAEAKGDAPGPRGEAGGQAEAEGDAPGPRGEAGGQAEARENGEEAKELPGETLESKNTQNDFEVHIVQVENDEI
+>DECOY_sp|Q99674|CGRE1_HUMAN Cell growth regulator with EF hand domain protein 1 OS=Homo sapiens OX=9606 GN=CGREF1 PE=2 SV=2
+IEDNEVQVIHVEFDNQTNKSELTEGPLEKAEEGNERAEAQGGAEGRPGPADGEAEAQGGAEGRPGPADGKAEAQGEAEGRPGPVDGDAEAQGGPEQVPDLSERRAEVQGKAEERPGPAEQTEQRLPSKALLSQRGVAQPEQPSPALPEGPEVHRLAVGPFNILEAPTMLGDGNLDQTELVKDVILIVPNTTPSNAAGPALAATLMSLLELGDLQGSQDYDHLAFLYLLVQERSLHELQVETRGLGKLYSQLLGLQEQGPQFPNPLLQHQVESDPRTVGDKPAAQGTPLLLLILVTMTLPLM
+>sp|Q96KT6|CH014_HUMAN Putative uncharacterized protein encoded by LINC00208 OS=Homo sapiens OX=9606 GN=LINC00208 PE=5 SV=1
+MGQSLQEGRKQGRLLPAPSAHFLKHAHLASPSEVGGEPEIGSLCASHVLHMSPLYSVNLQVSPGSLTFHSLSLRSTSTRLQPQSTYIVGPLC
+>DECOY_sp|Q96KT6|CH014_HUMAN Putative uncharacterized protein encoded by LINC00208 OS=Homo sapiens OX=9606 GN=LINC00208 PE=5 SV=1
+CLPGVIYTSQPQLRTSTSRLSLSHFTLSGPSVQLNVSYLPSMHLVHSACLSGIEPEGGVESPSALHAHKLFHASPAPLLRGQKRGEQLSQGM
+>sp|Q9H7E9|CH033_HUMAN UPF0488 protein C8orf33 OS=Homo sapiens OX=9606 GN=C8orf33 PE=1 SV=1
+MAALGHLAGEAAAAPGPGTPCASRGARLPGPVSSARNPSTVCLCPEQPTCSNADSRAHPLGDEGGTASKKQKNKKKTRNRASVANGGEKASEKLAPEEVPLSAEAQAQQLAQELAWCVEQLELGLKRQKPTPKQKEQAIGAIRTLRSKRTPLPRKRQLMHSLFGDYRAQMEAEWREALRALRAAAYSAQVQPVDGATRKKSQRVCRPRSIWRAKATLDMPDEEFRFNFF
+>DECOY_sp|Q9H7E9|CH033_HUMAN UPF0488 protein C8orf33 OS=Homo sapiens OX=9606 GN=C8orf33 PE=1 SV=1
+FFNFRFEEDPMDLTAKARWISRPRCVRQSKKRTAGDVPQVQASYAAARLARLAERWEAEMQARYDGFLSHMLQRKRPLPTRKSRLTRIAGIAQEKQKPTPKQRKLGLELQEVCWALEQALQQAQAEASLPVEEPALKESAKEGGNAVSARNRTKKKNKQKKSATGGEDGLPHARSDANSCTPQEPCLCVTSPNRASSVPGPLRAGRSACPTGPGPAAAAEGALHGLAAM
+>sp|Q5T1J5|CHCH9_HUMAN Putative coiled-coil-helix-coiled-coil-helix domain-containing protein CHCHD2P9, mitochondrial OS=Homo sapiens OX=9606 GN=CHCHD2P9 PE=5 SV=1
+MPRGSRSRTSRMAPPASRAPQMRAAPRPAPVAQPPAAAPPSAVGSSAAAPRQPGLMAQMATTAAGVAVGSAVGHTQGHAVTGGFSGGSNAEPARPDIAYQEPQGTQPAQQQQPCFYGIKQFLECAQNQGDIKLCEDFSKVLKQCRLAKGLA
+>DECOY_sp|Q5T1J5|CHCH9_HUMAN Putative coiled-coil-helix-coiled-coil-helix domain-containing protein CHCHD2P9, mitochondrial OS=Homo sapiens OX=9606 GN=CHCHD2P9 PE=5 SV=1
+ALGKALRCQKLVKSFDECLKIDGQNQACELFQKIGYFCPQQQQAPQTGQPEQYAIDPRAPEANSGGSFGGTVAHGQTHGVASGVAVGAATTAMQAMLGPQRPAAASSGVASPPAAAPPQAVPAPRPAARMQPARSAPPAMRSTRSRSGRPM
+>sp|O14647|CHD2_HUMAN Chromodomain-helicase-DNA-binding protein 2 OS=Homo sapiens OX=9606 GN=CHD2 PE=1 SV=2
+MMRNKDKSQEEDSSLHSNASSHSASEEASGSDSGSQSESEQGSDPGSGHGSESNSSSESSESQSESESESAGSKSQPVLPEAKEKPASKKERIADVKKMWEEYPDVYGVRRSNRSRQEPSRFNIKEEASSGSESGSPKRRGQRQLKKQEKWKQEPSEDEQEQGTSAESEPEQKKVKARRPVPRRTVPKPRVKKQPKTQRGKRKKQDSSDEDDDDDEAPKRQTRRRAAKNVSYKEDDDFETDSDDLIEMTGEGVDEQQDNSETIEKVLDSRLGKKGATGASTTVYAIEANGDPSGDFDTEKDEGEIQYLIKWKGWSYIHSTWESEESLQQQKVKGLKKLENFKKKEDEIKQWLGKVSPEDVEYFNCQQELASELNKQYQIVERVIAVKTSKSTLGQTDFPAHSRKPAPSNEPEYLCKWMGLPYSECSWEDEALIGKKFQNCIDSFHSRNNSKTIPTRECKALKQRPRFVALKKQPAYLGGENLELRDYQLEGLNWLAHSWCKNNSVILADEMGLGKTIQTISFLSYLFHQHQLYGPFLIVVPLSTLTSWQREFEIWAPEINVVVYIGDLMSRNTIREYEWIHSQTKRLKFNALITTYEILLKDKTVLGSINWAFLGVDEAHRLKNDDSLLYKTLIDFKSNHRLLITGTPLQNSLKELWSLLHFIMPEKFEFWEDFEEDHGKGRENGYQSLHKVLEPFLLRRVKKDVEKSLPAKVEQILRVEMSALQKQYYKWILTRNYKALAKGTRGSTSGFLNIVMELKKCCNHCYLIKPPEENERENGQEILLSLIRSSGKLILLDKLLTRLRERGNRVLIFSQMVRMLDILAEYLTIKHYPFQRLDGSIKGEIRKQALDHFNADGSEDFCFLLSTRAGGLGINLASADTVVIFDSDWNPQNDLQAQARAHRIGQKKQVNIYRLVTKGTVEEEIIERAKKKMVLDHLVIQRMDTTGRTILENNSGRSNSNPFNKEELTAILKFGAEDLFKELEGEESEPQEMDIDEILRLAETRENEVSTSATDELLSQFKVANFATMEDEEELEERPHKDWDEIIPEEQRKKVEEEERQKELEEIYMLPRIRSSTKKAQTNDSDSDTESKRQAQRSSASESETEDSDDDKKPKRRGRPRSVRKDLVEGFTDAEIRRFIKAYKKFGLPLERLECIARDAELVDKSVADLKRLGELIHNSCVSAMQEYEEQLKENASEGKGPGKRRGPTIKISGVQVNVKSIIQHEEEFEMLHKSIPVDPEEKKKYCLTCRVKAAHFDVEWGVEDDSRLLLGIYEHGYGNWELIKTDPELKLTDKILPVETDKKPQGKQLQTRADYLLKLLRKGLEKKGAVTGGEEAKLKKRKPRVKKENKVPRLKEEHGIELSSPRHSDNPSEEGEVKDDGLEKSPMKKKQKKKENKENKEKQMSSRKDKEGDKERKKSKDKKEKPKSGDAKSSSKSKRSQGPVHITAGSEPVPIGEDEDDDLDQETFSICKERMRPVKKALKQLDKPDKGLNVQEQLEHTRNCLLKIGDRIAECLKAYSDQEHIKLWRRNLWIFVSKFTEFDARKLHKLYKMAHKKRSQEEEEQKKKDDVTGGKKPFRPEASGSSRDSLISQSHTSHNLHPQKPHLPASHGPQMHGHPRDNYNHPNKRHFSNADRGDWQRERKFNYGGGNNNPPWGSDRHHQYEQHWYKDHHYGDRRHMDAHRSGSYRPNNMSRKRPYDQYSSDRDHRGHRDYYDRHHHDSKRRRSDEFRPQNYHQQDFRRMSDHRPAMGYHGQGPSDHYRSFHTDKLGEYKQPLPPLHPAVSDPRSPPSQKSPHDSKSPLDHRSPLERSLEQKNNPDYNWNVRKT
+>DECOY_sp|O14647|CHD2_HUMAN Chromodomain-helicase-DNA-binding protein 2 OS=Homo sapiens OX=9606 GN=CHD2 PE=1 SV=2
+TKRVNWNYDPNNKQELSRELPSRHDLPSKSDHPSKQSPPSRPDSVAPHLPPLPQKYEGLKDTHFSRYHDSPGQGHYGMAPRHDSMRRFDQQHYNQPRFEDSRRRKSDHHHRDYYDRHGRHDRDSSYQDYPRKRSMNNPRYSGSRHADMHRRDGYHHDKYWHQEYQHHRDSGWPPNNNGGGYNFKRERQWDGRDANSFHRKNPHNYNDRPHGHMQPGHSAPLHPKQPHLNHSTHSQSILSDRSSGSAEPRFPKKGGTVDDKKKQEEEEQSRKKHAMKYLKHLKRADFETFKSVFIWLNRRWLKIHEQDSYAKLCEAIRDGIKLLCNRTHELQEQVNLGKDPKDLQKLAKKVPRMREKCISFTEQDLDDDEDEGIPVPESGATIHVPGQSRKSKSSSKADGSKPKEKKDKSKKREKDGEKDKRSSMQKEKNEKNEKKKQKKKMPSKELGDDKVEGEESPNDSHRPSSLEIGHEEKLRPVKNEKKVRPKRKKLKAEEGGTVAGKKELGKRLLKLLYDARTQLQKGQPKKDTEVPLIKDTLKLEPDTKILEWNGYGHEYIGLLLRSDDEVGWEVDFHAAKVRCTLCYKKKEEPDVPISKHLMEFEEEHQIISKVNVQVGSIKITPGRRKGPGKGESANEKLQEEYEQMASVCSNHILEGLRKLDAVSKDVLEADRAICELRELPLGFKKYAKIFRRIEADTFGEVLDKRVSRPRGRRKPKKDDDSDETESESASSRQAQRKSETDSDSDNTQAKKTSSRIRPLMYIEELEKQREEEEVKKRQEEPIIEDWDKHPREELEEEDEMTAFNAVKFQSLLEDTASTSVENERTEALRLIEDIDMEQPESEEGELEKFLDEAGFKLIATLEEKNFPNSNSRGSNNELITRGTTDMRQIVLHDLVMKKKAREIIEEEVTGKTVLRYINVQKKQGIRHARAQAQLDNQPNWDSDFIVVTDASALNIGLGGARTSLLFCFDESGDANFHDLAQKRIEGKISGDLRQFPYHKITLYEALIDLMRVMQSFILVRNGRERLRTLLKDLLILKGSSRILSLLIEQGNERENEEPPKILYCHNCCKKLEMVINLFGSTSGRTGKALAKYNRTLIWKYYQKQLASMEVRLIQEVKAPLSKEVDKKVRRLLFPELVKHLSQYGNERGKGHDEEFDEWFEFKEPMIFHLLSWLEKLSNQLPTGTILLRHNSKFDILTKYLLSDDNKLRHAEDVGLFAWNISGLVTKDKLLIEYTTILANFKLRKTQSHIWEYERITNRSMLDGIYVVVNIEPAWIEFERQWSTLTSLPVVILFPGYLQHQHFLYSLFSITQITKGLGMEDALIVSNNKCWSHALWNLGELQYDRLELNEGGLYAPQKKLAVFRPRQKLAKCERTPITKSNNRSHFSDICNQFKKGILAEDEWSCESYPLGMWKCLYEPENSPAPKRSHAPFDTQGLTSKSTKVAIVREVIQYQKNLESALEQQCNFYEVDEPSVKGLWQKIEDEKKKFNELKKLGKVKQQQLSEESEWTSHIYSWGKWKILYQIEGEDKETDFDGSPDGNAEIAYVTTSAGTAGKKGLRSDLVKEITESNDQQEDVGEGTMEILDDSDTEFDDDEKYSVNKAARRRTQRKPAEDDDDDEDSSDQKKRKGRQTKPQKKVRPKPVTRRPVPRRAKVKKQEPESEASTGQEQEDESPEQKWKEQKKLQRQGRRKPSGSESGSSAEEKINFRSPEQRSRNSRRVGYVDPYEEWMKKVDAIREKKSAPKEKAEPLVPQSKSGASESESESQSESSESSSNSESGHGSGPDSGQESESQSGSDSGSAEESASHSSANSHLSSDEEQSKDKNRMM
+>sp|Q14839|CHD4_HUMAN Chromodomain-helicase-DNA-binding protein 4 OS=Homo sapiens OX=9606 GN=CHD4 PE=1 SV=2
+MASGLGSPSPCSAGSEEEDMDALLNNSLPPPHPENEEDPEEDLSETETPKLKKKKKPKKPRDPKIPKSKRQKKERMLLCRQLGDSSGEGPEFVEEEEEVALRSDSEGSDYTPGKKKKKKLGPKKEKKSKSKRKEEEEEEDDDDDSKEPKSSAQLLEDWGMEDIDHVFSEEDYRTLTNYKAFSQFVRPLIAAKNPKIAVSKMMMVLGAKWREFSTNNPFKGSSGASVAAAAAAAVAVVESMVTATEVAPPPPPVEVPIRKAKTKEGKGPNARRKPKGSPRVPDAKKPKPKKVAPLKIKLGGFGSKRKRSSSEDDDLDVESDFDDASINSYSVSDGSTSRSSRSRKKLRTTKKKKKGEEEVTAVDGYETDHQDYCEVCQQGGEIILCDTCPRAYHMVCLDPDMEKAPEGKWSCPHCEKEGIQWEAKEDNSEGEEILEEVGGDLEEEDDHHMEFCRVCKDGGELLCCDTCPSSYHIHCLNPPLPEIPNGEWLCPRCTCPALKGKVQKILIWKWGQPPSPTPVPRPPDADPNTPSPKPLEGRPERQFFVKWQGMSYWHCSWVSELQLELHCQVMFRNYQRKNDMDEPPSGDFGGDEEKSRKRKNKDPKFAEMEERFYRYGIKPEWMMIHRILNHSVDKKGHVHYLIKWRDLPYDQASWESEDVEIQDYDLFKQSYWNHRELMRGEEGRPGKKLKKVKLRKLERPPETPTVDPTVKYERQPEYLDATGGTLHPYQMEGLNWLRFSWAQGTDTILADEMGLGKTVQTAVFLYSLYKEGHSKGPFLVSAPLSTIINWEREFEMWAPDMYVVTYVGDKDSRAIIRENEFSFEDNAIRGGKKASRMKKEASVKFHVLLTSYELITIDMAILGSIDWACLIVDEAHRLKNNQSKFFRVLNGYSLQHKLLLTGTPLQNNLEELFHLLNFLTPERFHNLEGFLEEFADIAKEDQIKKLHDMLGPHMLRRLKADVFKNMPSKTELIVRVELSPMQKKYYKYILTRNFEALNARGGGNQVSLLNVVMDLKKCCNHPYLFPVAAMEAPKMPNGMYDGSALIRASGKLLLLQKMLKNLKEGGHRVLIFSQMTKMLDLLEDFLEHEGYKYERIDGGITGNMRQEAIDRFNAPGAQQFCFLLSTRAGGLGINLATADTVIIYDSDWNPHNDIQAFSRAHRIGQNKKVMIYRFVTRASVEERITQVAKKKMMLTHLVVRPGLGSKTGSMSKQELDDILKFGTEELFKDEATDGGGDNKEGEDSSVIHYDDKAIERLLDRNQDETEDTELQGMNEYLSSFKVAQYVVREEEMGEEEEVEREIIKQEESVDPDYWEKLLRHHYEQQQEDLARNLGKGKRIRKQVNYNDGSQEDRDWQDDQSDNQSDYSVASEEGDEDFDERSEAPRRPSRKGLRNDKDKPLPPLLARVGGNIEVLGFNARQRKAFLNAIMRYGMPPQDAFTTQWLVRDLRGKSEKEFKAYVSLFMRHLCEPGADGAETFADGVPREGLSRQHVLTRIGVMSLIRKKVQEFEHVNGRWSMPELAEVEENKKMSQPGSPSPKTPTPSTPGDTQPNTPAPVPPAEDGIKIEENSLKEEESIEGEKEVKSTAPETAIECTQAPAPASEDEKVVVEPPEGEEKVEKAEVKERTEEPMETEPKGAADVEKVEEKSAIDLTPIVVEDKEEKKEEEEKKEVMLQNGETPKDLNDEKQKKNIKQRFMFNIADGGFTELHSLWQNEERAATVTKKTYEIWHRRHDYWLLAGIINHGYARWQDIQNDPRYAILNEPFKGEMNRGNFLEIKNKFLARRFKLLEQALVIEEQLRRAAYLNMSEDPSHPSMALNTRFAEVECLAESHQHLSKESMAGNKPANAVLHKVLKQLEELLSDMKADVTRLPATIARIPPVAVRLQMSERNILSRLANRAPEPTPQQVAQQQ
+>DECOY_sp|Q14839|CHD4_HUMAN Chromodomain-helicase-DNA-binding protein 4 OS=Homo sapiens OX=9606 GN=CHD4 PE=1 SV=2
+QQQAVQQPTPEPARNALRSLINRESMQLRVAVPPIRAITAPLRTVDAKMDSLLEELQKLVKHLVANAPKNGAMSEKSLHQHSEALCEVEAFRTNLAMSPHSPDESMNLYAARRLQEEIVLAQELLKFRRALFKNKIELFNGRNMEGKFPENLIAYRPDNQIDQWRAYGHNIIGALLWYDHRRHWIEYTKKTVTAAREENQWLSHLETFGGDAINFMFRQKINKKQKEDNLDKPTEGNQLMVEKKEEEEKKEEKDEVVIPTLDIASKEEVKEVDAAGKPETEMPEETREKVEAKEVKEEGEPPEVVVKEDESAPAPAQTCEIATEPATSKVEKEGEISEEEKLSNEEIKIGDEAPPVPAPTNPQTDGPTSPTPTKPSPSGPQSMKKNEEVEALEPMSWRGNVHEFEQVKKRILSMVGIRTLVHQRSLGERPVGDAFTEAGDAGPECLHRMFLSVYAKFEKESKGRLDRVLWQTTFADQPPMGYRMIANLFAKRQRANFGLVEINGGVRALLPPLPKDKDNRLGKRSPRRPAESREDFDEDGEESAVSYDSQNDSQDDQWDRDEQSGDNYNVQKRIRKGKGLNRALDEQQQEYHHRLLKEWYDPDVSEEQKIIEREVEEEEGMEEERVVYQAVKFSSLYENMGQLETDETEDQNRDLLREIAKDDYHIVSSDEGEKNDGGGDTAEDKFLEETGFKLIDDLEQKSMSGTKSGLGPRVVLHTLMMKKKAVQTIREEVSARTVFRYIMVKKNQGIRHARSFAQIDNHPNWDSDYIIVTDATALNIGLGGARTSLLFCFQQAGPANFRDIAEQRMNGTIGGDIREYKYGEHELFDELLDLMKTMQSFILVRHGGEKLNKLMKQLLLLKGSARILASGDYMGNPMKPAEMAAVPFLYPHNCCKKLDMVVNLLSVQNGGGRANLAEFNRTLIYKYYKKQMPSLEVRVILETKSPMNKFVDAKLRRLMHPGLMDHLKKIQDEKAIDAFEELFGELNHFREPTLFNLLHFLEELNNQLPTGTLLLKHQLSYGNLVRFFKSQNNKLRHAEDVILCAWDISGLIAMDITILEYSTLLVHFKVSAEKKMRSAKKGGRIANDEFSFENERIIARSDKDGVYTVVYMDPAWMEFEREWNIITSLPASVLFPGKSHGEKYLSYLFVATQVTKGLGMEDALITDTGQAWSFRLWNLGEMQYPHLTGGTADLYEPQREYKVTPDVTPTEPPRELKRLKVKKLKKGPRGEEGRMLERHNWYSQKFLDYDQIEVDESEWSAQDYPLDRWKILYHVHGKKDVSHNLIRHIMMWEPKIGYRYFREEMEAFKPDKNKRKRSKEEDGGFDGSPPEDMDNKRQYNRFMVQCHLELQLESVWSCHWYSMGQWKVFFQREPRGELPKPSPTNPDADPPRPVPTPSPPQGWKWILIKQVKGKLAPCTCRPCLWEGNPIEPLPPNLCHIHYSSPCTDCCLLEGGDKCVRCFEMHHDDEEELDGGVEELIEEGESNDEKAEWQIGEKECHPCSWKGEPAKEMDPDLCVMHYARPCTDCLIIEGGQQCVECYDQHDTEYGDVATVEEEGKKKKKTTRLKKRSRSSRSTSGDSVSYSNISADDFDSEVDLDDDESSSRKRKSGFGGLKIKLPAVKKPKPKKADPVRPSGKPKRRANPGKGEKTKAKRIPVEVPPPPPAVETATVMSEVVAVAAAAAAAVSAGSSGKFPNNTSFERWKAGLVMMMKSVAIKPNKAAILPRVFQSFAKYNTLTRYDEESFVHDIDEMGWDELLQASSKPEKSDDDDDEEEEEEKRKSKSKKEKKPGLKKKKKKGPTYDSGESDSRLAVEEEEEVFEPGEGSSDGLQRCLLMREKKQRKSKPIKPDRPKKPKKKKKLKPTETESLDEEPDEENEPHPPPLSNNLLADMDEEESGASCPSPSGLGSAM
+>sp|Q96EP1|CHFR_HUMAN E3 ubiquitin-protein ligase CHFR OS=Homo sapiens OX=9606 GN=CHFR PE=1 SV=2
+MERPEEGKQSPPPQPWGRLLRLGAEEGEPHVLLRKREWTIGRRRGCDLSFPSNKLVSGDHCRIVVDEKSGQVTLEDTSTSGTVINKLKVVKKQTCPLQTGDVIYLVYRKNEPEHNVAYLYESLSEKQGMTQESFEANKENVFHGTKDTSGAGAGRGADPRVPPSSPATQVCFEEPQPSTSTSDLFPTASASSTEPSPAGRERSSSCGSGGGGISPKGSGPSVASDEVSSFASALPDRKTASFSSLEPQDQEDLEPVKKKMRGDGDLDLNGQLLVAQPRRNAQTVHEDVRAAAGKPDKMEETLTCIICQDLLHDCVSLQPCMHTFCAACYSGWMERSSLCPTCRCPVERICKNHILNNLVEAYLIQHPDKSRSEEDVQSMDARNKITQDMLQPKVRRSFSDEEGSSEDLLELSDVDSESSDISQPYVVCRQCPEYRRQAAQPPHCPAPEGEPGAPQALGDAPSTSVSLTTAVQDYVCPLQGSHALCTCCFQPMPDRRAEREQDPRVAPQQCAVCLQPFCHLYWGCTRTGCYGCLAPFCELNLGDKCLDGVLNNNSYESDILKNYLATRGLTWKNMLTESLVALQRGVFLLSDYRVTGDTVLCYCCGLRSFRELTYQYRQNIPASELPVAVTSRPDCYWGRNCRTQVKAHHAMKFNHICEQTRFKN
+>DECOY_sp|Q96EP1|CHFR_HUMAN E3 ubiquitin-protein ligase CHFR OS=Homo sapiens OX=9606 GN=CHFR PE=1 SV=2
+NKFRTQECIHNFKMAHHAKVQTRCNRGWYCDPRSTVAVPLESAPINQRYQYTLERFSRLGCCYCLVTDGTVRYDSLLFVGRQLAVLSETLMNKWTLGRTALYNKLIDSEYSNNNLVGDLCKDGLNLECFPALCGYCGTRTCGWYLHCFPQLCVACQQPAVRPDQEREARRDPMPQFCCTCLAHSGQLPCVYDQVATTLSVSTSPADGLAQPAGPEGEPAPCHPPQAAQRRYEPCQRCVVYPQSIDSSESDVDSLELLDESSGEEDSFSRRVKPQLMDQTIKNRADMSQVDEESRSKDPHQILYAEVLNNLIHNKCIREVPCRCTPCLSSREMWGSYCAACFTHMCPQLSVCDHLLDQCIICTLTEEMKDPKGAAARVDEHVTQANRRPQAVLLQGNLDLDGDGRMKKKVPELDEQDQPELSSFSATKRDPLASAFSSVEDSAVSPGSGKPSIGGGGSGCSSSRERGAPSPETSSASATPFLDSTSTSPQPEEFCVQTAPSSPPVRPDAGRGAGAGSTDKTGHFVNEKNAEFSEQTMGQKESLSEYLYAVNHEPENKRYVLYIVDGTQLPCTQKKVVKLKNIVTGSTSTDELTVQGSKEDVVIRCHDGSVLKNSPFSLDCGRRRGITWERKRLLVHPEGEEAGLRLLRGWPQPPPSQKGEEPREM
+>sp|P81534|D103A_HUMAN Beta-defensin 103 OS=Homo sapiens OX=9606 GN=DEFB103A PE=1 SV=2
+MRIHYLLFALLFLFLVPVPGHGGIINTLQKYYCRVRGGRCAVLSCLPKEEQIGKCSTRGRKCCRRKK
+>DECOY_sp|P81534|D103A_HUMAN Beta-defensin 103 OS=Homo sapiens OX=9606 GN=DEFB103A PE=1 SV=2
+KKRRCCKRGRTSCKGIQEEKPLCSLVACRGGRVRCYYKQLTNIIGGHGPVPVLFLFLLAFLLYHIRM
+>sp|P0CZ25|D10OS_HUMAN Uncharacterized protein DNAH10OS OS=Homo sapiens OX=9606 GN=DNAH10OS PE=2 SV=1
+MHSLPRSGSIRRTHSDTQATGWPPPQRIGDSPGPSPAFLSCPPSLCGGAAQTGDPVALPHGPEKWVWGGGLSPRNPHSWGIKAHGLRPPWAPRLERCMVPESEWAPWQPQLPCEPKWLGSRKSKPHRESGLRGGGPSRCAKRGTHSCGPRESGGPDTCHLPCH
+>DECOY_sp|P0CZ25|D10OS_HUMAN Uncharacterized protein DNAH10OS OS=Homo sapiens OX=9606 GN=DNAH10OS PE=2 SV=1
+HCPLHCTDPGGSERPGCSHTGRKACRSPGGGRLGSERHPKSKRSGLWKPECPLQPQWPAWESEPVMCRELRPAWPPRLGHAKIGWSHPNRPSLGGGWVWKEPGHPLAVPDGTQAAGGCLSPPCSLFAPSPGPSDGIRQPPPWGTAQTDSHTRRISGSRPLSHM
+>sp|A8MPP1|D11L8_HUMAN Putative ATP-dependent RNA helicase DDX11-like protein 8 OS=Homo sapiens OX=9606 GN=DDX11L8 PE=1 SV=1
+MANETQKVGAIHFPFPFTPYSIQEDFMAELYRVLEAGKIGIFESPTGTGKSLSLICGALSWLRDFEQKKREEEARLLETGTGPLHDEKDESLCLSSSCEGAAGTPRPAGEPAWVTQFVQKKEERDLVDRLKVEQARRKQREERLQQLQHRVQLKYAAKRLRQEEEETENLLRLSREMLETGPEAERLEQLESGEEELVLAEYESDEEKKVASGHRVDEDEDDLEEEHITKIYHCSRTHSQLAQFVHEVKKSPFGKDVRLVSLGSRQNLCVNEDVRSLGSVQLINDRCVDMQRSRHEKKKGAEEEKPKRRRQEKQAACPFYNHEQMGLLRDEALAEVKDMEQLLALGKEARACPYYRSRLAIPAAKLVVLPYQMLLHAATRQAAGIRLQDQVVIIDEAHNLIDTITGMHSVEVSGSQLCQAHSQLLQYMERYGKRLKAKNLMYLKQILYLLEKFVAVLGGNIKQNPNTQSLSQTGTELKTINDFLFQSQIDNINLFKVQRYCEKSMISRKLFGFTERYGAVFSSREQPKLAGFQQFLQSLQPRTTEALAAPADESQASVPQPASPLMHIEGFLAALTTANQDGRVILSRQGSLSESTLKFLLLNPAVHFAQVVKECRAVVIAGGTMQPVSDFRQQLLACAGVEAERVVEFSCGHVIPPDNIPLVICSGISNQPLEFTFQKRDLPQMMDEVGRILCNLCGVVSGGVVCFFSSYEYLRQVHAHWEKGGLLGRLAARKKIFQEPKSAHQVEQVLLAYSRCIQACGQERGQVTEALLLSVVGGKMSEGINFSDNLGRCVVMVGMPFPNIRSAELQEKMAYLDQTLPRAPGQAPPGKALVENLCMKAVNQSIGRAIRHQKDFASIVLLDQRYARPPVLAKLPAWIRASVEVKATFGPAIAAVQKFHREKSASS
+>DECOY_sp|A8MPP1|D11L8_HUMAN Putative ATP-dependent RNA helicase DDX11-like protein 8 OS=Homo sapiens OX=9606 GN=DDX11L8 PE=1 SV=1
+SSASKERHFKQVAAIAPGFTAKVEVSARIWAPLKALVPPRAYRQDLLVISAFDKQHRIARGISQNVAKMCLNEVLAKGPPAQGPARPLTQDLYAMKEQLEASRINPFPMGVMVVCRGLNDSFNIGESMKGGVVSLLLAETVQGREQGCAQICRSYALLVQEVQHASKPEQFIKKRAALRGLLGGKEWHAHVQRLYEYSSFFCVVGGSVVGCLNCLIRGVEDMMQPLDRKQFTFELPQNSIGSCIVLPINDPPIVHGCSFEVVREAEVGACALLQQRFDSVPQMTGGAIVVARCEKVVQAFHVAPNLLLFKLTSESLSGQRSLIVRGDQNATTLAALFGEIHMLPSAPQPVSAQSEDAPAALAETTRPQLSQLFQQFGALKPQERSSFVAGYRETFGFLKRSIMSKECYRQVKFLNINDIQSQFLFDNITKLETGTQSLSQTNPNQKINGGLVAVFKELLYLIQKLYMLNKAKLRKGYREMYQLLQSHAQCLQSGSVEVSHMGTITDILNHAEDIIVVQDQLRIGAAQRTAAHLLMQYPLVVLKAAPIALRSRYYPCARAEKGLALLQEMDKVEALAEDRLLGMQEHNYFPCAAQKEQRRRKPKEEEAGKKKEHRSRQMDVCRDNILQVSGLSRVDENVCLNQRSGLSVLRVDKGFPSKKVEHVFQALQSHTRSCHYIKTIHEEELDDEDEDVRHGSAVKKEEDSEYEALVLEEEGSELQELREAEPGTELMERSLRLLNETEEEEQRLRKAAYKLQVRHQLQQLREERQKRRAQEVKLRDVLDREEKKQVFQTVWAPEGAPRPTGAAGECSSSLCLSEDKEDHLPGTGTELLRAEEERKKQEFDRLWSLAGCILSLSKGTGTPSEFIGIKGAELVRYLEAMFDEQISYPTFPFPFHIAGVKQTENAM
+>sp|A0A096LNP1|D131B_HUMAN Beta-defensin 131B OS=Homo sapiens OX=9606 GN=DEFB131B PE=3 SV=1
+MRVLFFVFGVLSLMSTVPPTRSFTSNDECPSEYYHCRLKCNADEHAIRYCADFSICCKLKIIQIDGQKKW
+>DECOY_sp|A0A096LNP1|D131B_HUMAN Beta-defensin 131B OS=Homo sapiens OX=9606 GN=DEFB131B PE=3 SV=1
+WKKQGDIQIIKLKCCISFDACYRIAHEDANCKLRCHYYESPCEDNSTFSRTPPVTSMLSLVGFVFFLVRM
+>sp|Q6NXN4|D19P1_HUMAN Putative C-mannosyltransferase DPY19L2P1 OS=Homo sapiens OX=9606 GN=DPY19L2P1 PE=2 SV=1
+MKKQGVNPKPLQSSRPSPSKRPYGASPARELEVEKSALGGGKLPGGARRSSPGRIPNLKKRKGLELKVVAKTLLDPFQFVRNSLAQLREEVHELQARWFPSRTTLSIAIFVAILHWLHLVTLFENDRHFSHLSSLEWEMTFRTKMGLYYSYFKTIIEAPSFLEGLWMIMNDRLTEYPLVINTVKRFHLYPEVIIAAWYRTFIGIMNLFGLETKTCWNVTRIEPLNEFKAVKDWEILLAFMLV
+>DECOY_sp|Q6NXN4|D19P1_HUMAN Putative C-mannosyltransferase DPY19L2P1 OS=Homo sapiens OX=9606 GN=DPY19L2P1 PE=2 SV=1
+VLMFALLIEWDKVAKFENLPEIRTVNWCTKTELGFLNMIGIFTRYWAAIIVEPYLHFRKVTNIVLPYETLRDNMIMWLGELFSPAEIITKFYSYYLGMKTRFTMEWELSSLHSFHRDNEFLTVLHLWHLIAVFIAISLTTRSPFWRAQLEHVEERLQALSNRVFQFPDLLTKAVVKLELGKRKKLNPIRGPSSRRAGGPLKGGGLASKEVELERAPSAGYPRKSPSPRSSQLPKPNVGQKKM
+>sp|A6NKP2|D42E2_HUMAN Putative short-chain dehydrogenase/reductase family 42E member 2 OS=Homo sapiens OX=9606 GN=SDR42E2 PE=3 SV=3
+MKSNPPRSSLEACKAAGQAPQQKTQAKPTKAARQKVLVTGGGGYLGFSLGSHLAKSGTSVILLDRRRPQWELSPETKFIQADVRDEEALYRAFEGVDCVFHVASYGMSGAEKLQKEQIESINVGGTKLVIDVCVRRRVPRLIYTSTVNVAFGGKPIEQGDEDSVPYFPLDEHVDHYSRTKAIADQLTLMANGMPLPGGGTLRTCVLRPPGIYGPEEQRHLPRVAGHIKKRLFMFRFGDHKARMNWVHVHNLVQAHVLAAEALTTAKGYVASGQAYYINDGESVNLFEWMAPLFEKLGYSQPWIQVPTSWVYLTAAVMERLHLALRPICSLPPLLTRSEVRSVAVTHTFQIAKARAQLGYAPDKFRFADAVELYVQSTTRRPRGSTARTLLRLLLRLLLFLGLLALALHFLGLQPLHAAVERL
+>DECOY_sp|A6NKP2|D42E2_HUMAN Putative short-chain dehydrogenase/reductase family 42E member 2 OS=Homo sapiens OX=9606 GN=SDR42E2 PE=3 SV=3
+LREVAAHLPQLGLFHLALALLGLFLLLRLLLRLLTRATSGRPRRTTSQVYLEVADAFRFKDPAYGLQARAKAIQFTHTVAVSRVESRTLLPPLSCIPRLALHLREMVAATLYVWSTPVQIWPQSYGLKEFLPAMWEFLNVSEGDNIYYAQGSAVYGKATTLAEAALVHAQVLNHVHVWNMRAKHDGFRFMFLRKKIHGAVRPLHRQEEPGYIGPPRLVCTRLTGGGPLPMGNAMLTLQDAIAKTRSYHDVHEDLPFYPVSDEDGQEIPKGGFAVNVTSTYILRPVRRRVCVDIVLKTGGVNISEIQEKQLKEAGSMGYSAVHFVCDVGEFARYLAEEDRVDAQIFKTEPSLEWQPRRRDLLIVSTGSKALHSGLSFGLYGGGGTVLVKQRAAKTPKAQTKQQPAQGAAKCAELSSRPPNSKM
+>sp|Q8WXU2|DAAF4_HUMAN Dynein assembly factor 4, axonemal OS=Homo sapiens OX=9606 GN=DNAAF4 PE=1 SV=2
+MPLQVSDYSWQQTKTAVFLSLPLKGVCVRDTDVFCTENYLKVNFPPFLFEAFLYAPIDDESSKAKIGNDTIVFTLYKKEAAMWETLSVTGVDKEMMQRIREKSILQAQERAKEATEAKAAAKREDQKYALSVMMKIEEEERKKIEDMKENERIKATKALEAWKEYQRKAEEQKKIQREEKLCQKEKQIKEERKKIKYKSLTRNLASRNLAPKGRNSENIFTEKLKEDSIPAPRSVGSIKINFTPRVFPTALRESQVAEEEEWLHKQAEARRAMNTDIAELCDLKEEEKNPEWLKDKGNKLFATENYLAAINAYNLAIRLNNKMPLLYLNRAACHLKLKNLHKAIEDSSKALELLMPPVTDNANARMKAHVRRGTAFCQLELYVEGLQDYEAALKIDPSNKIVQIDAEKIRNVIQGTELKS
+>DECOY_sp|Q8WXU2|DAAF4_HUMAN Dynein assembly factor 4, axonemal OS=Homo sapiens OX=9606 GN=DNAAF4 PE=1 SV=2
+SKLETGQIVNRIKEADIQVIKNSPDIKLAAEYDQLGEVYLELQCFATGRRVHAKMRANANDTVPPMLLELAKSSDEIAKHLNKLKLHCAARNLYLLPMKNNLRIALNYANIAALYNETAFLKNGKDKLWEPNKEEEKLDCLEAIDTNMARRAEAQKHLWEEEEAVQSERLATPFVRPTFNIKISGVSRPAPISDEKLKETFINESNRGKPALNRSALNRTLSKYKIKKREEKIQKEKQCLKEERQIKKQEEAKRQYEKWAELAKTAKIRENEKMDEIKKREEEEIKMMVSLAYKQDERKAAAKAETAEKAREQAQLISKERIRQMMEKDVGTVSLTEWMAAEKKYLTFVITDNGIKAKSSEDDIPAYLFAEFLFPPFNVKLYNETCFVDTDRVCVGKLPLSLFVATKTQQWSYDSVQLPM
+>sp|Q9UI36|DACH1_HUMAN Dachshund homolog 1 OS=Homo sapiens OX=9606 GN=DACH1 PE=1 SV=3
+MAVPAALIPPTQLVPPQPPISTSASSSGTTTSTSSATSSPAPSIGPPASSGPTLFRPEPIASAAAAAATVTSTGGGGGGGGGGSGGGGGSSGNGGGGGGGGGGSNCNPNLAAASNGSGGGGGGISAGGGVASSTPINASTGSSSSSSSSSSSSSSSSSSSSSSSSCGPLPGKPVYSTPSPVENTPQNNECKMVDLRGAKVASFTVEGCELICLPQAFDLFLKHLVGGLHTVYTKLKRLEITPVVCNVEQVRILRGLGAIQPGVNRCKLISRKDFETLYNDCTNASSRPGRPPKRTQSVTSPENSHIMPHSVPGLMSPGIIPPTGLTAAAAAAAAATNAAIAEAMKVKKIKLEAMSNYHASNNQHGADSENGDMNSSVGSSDGSWDKETLPSSPSQGPQASITHPRMPGARSLPLSHPLNHLQQSHLLPNGLELPFMMMPHPLIPVSLPPASVTMAMSQMNHLSTIANMAAAAQVQSPPSRVETSVIKERVPDSPSPAPSLEEGRRPGSHPSSHRSSSVSSSPARTESSSDRIPVHQNGLSMNQMLMGLSPNVLPGPKEGDLAGHDMGHESKRMHIEKDETPLSTPTARDSLDKLSLTGHGQPLPPGFPSPFLFPDGLSSIETLLTNIQGLLKVAIDNARAQEKQVQLEKTELKMDFLRERELRETLEKQLAMEQKNRAIVQKRLKKEKKAKRKLQEALEFETKRREQAEQTLKQAASTDSLRVLNDSLTPEIEADRSGGRTDAERTIQDGRLYLKTTVMY
+>DECOY_sp|Q9UI36|DACH1_HUMAN Dachshund homolog 1 OS=Homo sapiens OX=9606 GN=DACH1 PE=1 SV=3
+YMVTTKLYLRGDQITREADTRGGSRDAEIEPTLSDNLVRLSDTSAAQKLTQEAQERRKTEFELAEQLKRKAKKEKKLRKQVIARNKQEMALQKELTERLERERLFDMKLETKELQVQKEQARANDIAVKLLGQINTLLTEISSLGDPFLFPSPFGPPLPQGHGTLSLKDLSDRATPTSLPTEDKEIHMRKSEHGMDHGALDGEKPGPLVNPSLGMLMQNMSLGNQHVPIRDSSSETRAPSSSVSSSRHSSPHSGPRRGEELSPAPSPSDPVREKIVSTEVRSPPSQVQAAAAMNAITSLHNMQSMAMTVSAPPLSVPILPHPMMMFPLELGNPLLHSQQLHNLPHSLPLSRAGPMRPHTISAQPGQSPSSPLTEKDWSGDSSGVSSNMDGNESDAGHQNNSAHYNSMAELKIKKVKMAEAIAANTAAAAAAAAATLGTPPIIGPSMLGPVSHPMIHSNEPSTVSQTRKPPRGPRSSANTCDNYLTEFDKRSILKCRNVGPQIAGLGRLIRVQEVNCVVPTIELRKLKTYVTHLGGVLHKLFLDFAQPLCILECGEVTFSAVKAGRLDVMKCENNQPTNEVPSPTSYVPKGPLPGCSSSSSSSSSSSSSSSSSSSSSSSSGTSANIPTSSAVGGGASIGGGGGGSGNSAAALNPNCNSGGGGGGGGGGNGSSGGGGGSGGGGGGGGGGTSTVTAAAAAASAIPEPRFLTPGSSAPPGISPAPSSTASSTSTTTGSSSASTSIPPQPPVLQTPPILAAPVAM
+>sp|Q9NR90|DAZ3_HUMAN Deleted in azoospermia protein 3 OS=Homo sapiens OX=9606 GN=DAZ3 PE=1 SV=1
+MSAANPETPNSTISREASTQSSSAAASQGWVLPEGKIVPNTVFVGGIDARMDETEIGSCFGRYGSVKEVKIITNRTGVSKGYGFVSFVNDVDVQKIVGSQIHFHGKKLKLGPAIRKQKLCARHVQPRPLVVNPPPPPQFQNVWRNPNTETYLQPQITPNPVTQHVQAYSAYPHSPGQVITGCQLLVYNYQEYPTYPDSAFQVTTGYQLPVYNYQPFPAYPRSPFQVTAGYQLPVYNYQAFPAYPNSPFQVATGYQFPVYNYQPFPAYPSSPFQVTAGYQLPVYNYQAFPAYPNSPFQVATGYQFPVYNYQAFPAYPNSPVQVTTGYQLPVYNYQAFPAYPNSPFQVATGYQFPVYNYQAFPAYPNSPVQVTTGYQLPVYNYQAFPAYPNSPFQVATGYQFPVYNYQAFPAYPNSPVQVTTGYQLPVYNYQAFPAYPNSAVQVTTGYQFHVYNYQMPPQCPVGEQRRNLWTEAYKWWYLVCLIQRRD
+>DECOY_sp|Q9NR90|DAZ3_HUMAN Deleted in azoospermia protein 3 OS=Homo sapiens OX=9606 GN=DAZ3 PE=1 SV=1
+DRRQILCVLYWWKYAETWLNRRQEGVPCQPPMQYNYVHFQYGTTVQVASNPYAPFAQYNYVPLQYGTTVQVPSNPYAPFAQYNYVPFQYGTAVQFPSNPYAPFAQYNYVPLQYGTTVQVPSNPYAPFAQYNYVPFQYGTAVQFPSNPYAPFAQYNYVPLQYGTTVQVPSNPYAPFAQYNYVPFQYGTAVQFPSNPYAPFAQYNYVPLQYGATVQFPSSPYAPFPQYNYVPFQYGTAVQFPSNPYAPFAQYNYVPLQYGATVQFPSRPYAPFPQYNYVPLQYGTTVQFASDPYTPYEQYNYVLLQCGTIVQGPSHPYASYAQVHQTVPNPTIQPQLYTETNPNRWVNQFQPPPPPNVVLPRPQVHRACLKQKRIAPGLKLKKGHFHIQSGVIKQVDVDNVFSVFGYGKSVGTRNTIIKVEKVSGYRGFCSGIETEDMRADIGGVFVTNPVIKGEPLVWGQSAAASSSQTSAERSITSNPTEPNAASM
+>sp|Q30KQ9|DB110_HUMAN Beta-defensin 110 OS=Homo sapiens OX=9606 GN=DEFB110 PE=3 SV=1
+MKIQLFFFILHFWVTILPAKKKYPEYGSLDLRRECRIGNGQCKNQCHENEIRIAYCIRPGTHCCLQQ
+>DECOY_sp|Q30KQ9|DB110_HUMAN Beta-defensin 110 OS=Homo sapiens OX=9606 GN=DEFB110 PE=3 SV=1
+QQLCCHTGPRICYAIRIENEHCQNKCQGNGIRCERRLDLSGYEPYKKKAPLITVWFHLIFFFLQIKM
+>sp|Q9BQY9|DBND2_HUMAN Dysbindin domain-containing protein 2 OS=Homo sapiens OX=9606 GN=DBNDD2 PE=1 SV=3
+MGAGNFLTALEVPVAALAGAASDRRASCERVSPPPPLPHFRLPPLPRSRLPGPVSRPEPGAPLLGCWLQWGAPSPGPLCLLFRLCSCTCFAPLPAGADMDPNPRAALERQQLRLRERQKFFEDILQPETEFVFPLSHLHLESQRPPIGSISSMEVNVDTLEQVELIDLGDPDAADVFLPCEDPPPTPQSSGMDNHLEELSLPVPTSDRTTSRTSSSSSSDSSTNLHSPNPSDDGADTPLAQSDEEEERGDGGAEPGACS
+>DECOY_sp|Q9BQY9|DBND2_HUMAN Dysbindin domain-containing protein 2 OS=Homo sapiens OX=9606 GN=DBNDD2 PE=1 SV=3
+SCAGPEAGGDGREEEEDSQALPTDAGDDSPNPSHLNTSSDSSSSSSTRSTTRDSTPVPLSLEELHNDMGSSQPTPPPDECPLFVDAADPDGLDILEVQELTDVNVEMSSISGIPPRQSELHLHSLPFVFETEPQLIDEFFKQRERLRLQQRELAARPNPDMDAGAPLPAFCTCSCLRFLLCLPGPSPAGWQLWCGLLPAGPEPRSVPGPLRSRPLPPLRFHPLPPPPSVRECSARRDSAAGALAAVPVELATLFNGAGM
+>sp|A6NMT0|DBX1_HUMAN Homeobox protein DBX1 OS=Homo sapiens OX=9606 GN=DBX1 PE=3 SV=2
+MMFPGLLAPPAGYPSLLRPTPTLTLPQSLQSAFSGHSSFLVEDLIRISRPPAYLPRSVPTASMSPPRQGAPTALTDTGASDLGSPGPGSRRGGSPPTAFSPASETTFLKFGVNAILSSGPRTETSPALLQSVPPKTFAFPYFEGSFQPFIRSSYFPASSSVVPIPGTFSWPLAARGKPRRGMLRRAVFSDVQRKALEKMFQKQKYISKPDRKKLAAKLGLKDSQVKIWFQNRRMKWRNSKERELLSSGGCREQTLPTKLNPHPDLSDVGQKGPGNEEEEEGPGSPSHRLAYHASSDPQHLRDPRLPGPLPPSPAHSSSPGKPSDFSDSEEEEEGEEQEEITVS
+>DECOY_sp|A6NMT0|DBX1_HUMAN Homeobox protein DBX1 OS=Homo sapiens OX=9606 GN=DBX1 PE=3 SV=2
+SVTIEEQEEGEEEEESDSFDSPKGPSSSHAPSPPLPGPLRPDRLHQPDSSAHYALRHSPSGPGEEEEENGPGKQGVDSLDPHPNLKTPLTQERCGGSSLLEREKSNRWKMRRNQFWIKVQSDKLGLKAALKKRDPKSIYKQKQFMKELAKRQVDSFVARRLMGRRPKGRAALPWSFTGPIPVVSSSAPFYSSRIFPQFSGEFYPFAFTKPPVSQLLAPSTETRPGSSLIANVGFKLFTTESAPSFATPPSGGRRSGPGPSGLDSAGTDTLATPAGQRPPSMSATPVSRPLYAPPRSIRILDEVLFSSHGSFASQLSQPLTLTPTPRLLSPYGAPPALLGPFMM
+>sp|Q5VU92|DC121_HUMAN DDB1- and CUL4-associated factor 12-like protein 1 OS=Homo sapiens OX=9606 GN=DCAF12L1 PE=1 SV=1
+MAQQQTGSRKRKAPAVEADAESSPSQGLAAADGEGPLLLKRQRRPATYRSMAHYLKVREVGGWGPARLQGFDGELRGYAVQRLPELLTERQLELGTVNKVFASQWLNSRQVVCGTKCNTLFVVDVESGHIARIPLLRDSEARLAQDQQGCGIHAIELNPSKTLLATGGENPNSLAIYQLPSLDPLCLGDRHGHKDWIFAVAWLSDTVAVSGSRDGTVALWRMDPDKFDDTVAWHSEVGLPVYAHIRPRDVEAIPRAIINPSNRKVRALACGGKNQELGAVSLDGYFHLWKAGSALSRLLSIRLPYFRDNVCLTYCDDMSVYAVGSHSHVSFLDLRQDQQNIRPLCSREGGTGVRSLSFYRHIITVGTGQGSLLFYDVRAQKFLEERASATLESSSGPARRKLRLACGRGWLNHNDFWVNYFGGMEVFPNALYTHCYNWPEMKLFVAGGPLPAGLHGNYAGLWS
+>DECOY_sp|Q5VU92|DC121_HUMAN DDB1- and CUL4-associated factor 12-like protein 1 OS=Homo sapiens OX=9606 GN=DCAF12L1 PE=1 SV=1
+SWLGAYNGHLGAPLPGGAVFLKMEPWNYCHTYLANPFVEMGGFYNVWFDNHNLWGRGCALRLKRRAPGSSSELTASAREELFKQARVDYFLLSGQGTGVTIIHRYFSLSRVGTGGERSCLPRINQQDQRLDLFSVHSHSGVAYVSMDDCYTLCVNDRFYPLRISLLRSLASGAKWLHFYGDLSVAGLEQNKGGCALARVKRNSPNIIARPIAEVDRPRIHAYVPLGVESHWAVTDDFKDPDMRWLAVTGDRSGSVAVTDSLWAVAFIWDKHGHRDGLCLPDLSPLQYIALSNPNEGGTALLTKSPNLEIAHIGCGQQDQALRAESDRLLPIRAIHGSEVDVVFLTNCKTGCVVQRSNLWQSAFVKNVTGLELQRETLLEPLRQVAYGRLEGDFGQLRAPGWGGVERVKLYHAMSRYTAPRRQRKLLLPGEGDAAALGQSPSSEADAEVAPAKRKRSGTQQQAM
+>sp|Q9Y6G9|DC1L1_HUMAN Cytoplasmic dynein 1 light intermediate chain 1 OS=Homo sapiens OX=9606 GN=DYNC1LI1 PE=1 SV=3
+MAAVGRVGSFGSSPPGLSSTYTGGPLGNEIASGNGGAAAGDDEDGQNLWSCILSEVSTRSRSKLPAGKNVLLLGEDGAGKTSLIRKIQGIEEYKKGRGLEYLYLNVHDEDRDDQTRCNVWILDGDLYHKGLLKFSLDAVSLKDTLVMLVVDMSKPWTALDSLQKWASVVREHVDKLKIPPEEMKQMEQKLIRDFQEYVEPGEDFPASPQRRNTASQEDKDDSVVLPLGADTLTHNLGIPVLVVCTKCDAISVLEKEHDYRDEHFDFIQSHIRKFCLQYGAALIYTSVKENKNIDLVYKYIVQKLYGFPYKIPAVVVEKDAVFIPAGWDNDKKIGILHENFQTLKAEDNFEDIITKPPVRKFVHEKEIMAEDDQVFLMKLQSLLAKQPPTAAGRPVDASPRVPGGSPRTPNRSVSSNVASVSPIPAGSKKIDPNMKAGATSEGVLANFFNSLLSKKTGSPGGPGVSGGSPAGGAGGGSSGLPPSTKKSGQKPVLDVHAELDRITRKPVTVSPTTPTSPTEGEAS
+>DECOY_sp|Q9Y6G9|DC1L1_HUMAN Cytoplasmic dynein 1 light intermediate chain 1 OS=Homo sapiens OX=9606 GN=DYNC1LI1 PE=1 SV=3
+SAEGETPSTPTTPSVTVPKRTIRDLEAHVDLVPKQGSKKTSPPLGSSGGGAGGAPSGGSVGPGGPSGTKKSLLSNFFNALVGESTAGAKMNPDIKKSGAPIPSVSAVNSSVSRNPTRPSGGPVRPSADVPRGAATPPQKALLSQLKMLFVQDDEAMIEKEHVFKRVPPKTIIDEFNDEAKLTQFNEHLIGIKKDNDWGAPIFVADKEVVVAPIKYPFGYLKQVIYKYVLDINKNEKVSTYILAAGYQLCFKRIHSQIFDFHEDRYDHEKELVSIADCKTCVVLVPIGLNHTLTDAGLPLVVSDDKDEQSATNRRQPSAPFDEGPEVYEQFDRILKQEMQKMEEPPIKLKDVHERVVSAWKQLSDLATWPKSMDVVLMVLTDKLSVADLSFKLLGKHYLDGDLIWVNCRTQDDRDEDHVNLYLYELGRGKKYEEIGQIKRILSTKGAGDEGLLLVNKGAPLKSRSRTSVESLICSWLNQGDEDDGAAAGGNGSAIENGLPGGTYTSSLGPPSSGFSGVRGVAAM
+>sp|Q5QP82|DCA10_HUMAN DDB1- and CUL4-associated factor 10 OS=Homo sapiens OX=9606 GN=DCAF10 PE=1 SV=1
+MFPFGPHSPGGDGSAGAGAEEPTPHEGQAAATGPPSPLHPGADATHPPPPARSPRRPGAPSLSPAPRSGELGLPGAPESSTASAPGEPSPPSPPCRRPGPDCRAKSRGRHGLGAGLGGPGARLFGWLKERSLGRGLFVDPARDNFRTMTSLYGSIHPADSVYLSTRTHGAVFNLEYSPDGSVLTVACEQTEVLLFDPISSKHIKTLSEAHEDCVNNIRFLDNRLFATCSDDTTIALWDLRKLNTKVCTLHGHTSWVKNIEYDTNTRLLVTSGFDGNVIIWDTNRYTEDGCPHKKFFHTRFLMRMRLTPDCSKMLISTSSGYLLILHDLDLTKSLEVGSYPILRARRTTSSSDLTTSSSSSGPRVSGSPCHHSDSNSSEKHMSRASQREGVSPRNSLEVVTPEVLGESDHGNCITSLQLHPKGWATLLRCSSNSDDEECTCVYEFQEGAPVRPVSPRCSLRLTHYIEEANVGRGYIKELCFSPDGRMISSPHGYGIRLLGFDKQCSELVDCLPKEASPLRVIRSLYSHNDVVLTTKFSPTHCQIASGCLSGRVSLYQPKF
+>DECOY_sp|Q5QP82|DCA10_HUMAN DDB1- and CUL4-associated factor 10 OS=Homo sapiens OX=9606 GN=DCAF10 PE=1 SV=1
+FKPQYLSVRGSLCGSAIQCHTPSFKTTLVVDNHSYLSRIVRLPSAEKPLCDVLESCQKDFGLLRIGYGHPSSIMRGDPSFCLEKIYGRGVNAEEIYHTLRLSCRPSVPRVPAGEQFEYVCTCEEDDSNSSCRLLTAWGKPHLQLSTICNGHDSEGLVEPTVVELSNRPSVGERQSARSMHKESSNSDSHHCPSGSVRPGSSSSSTTLDSSSTTRRARLIPYSGVELSKTLDLDHLILLYGSSTSILMKSCDPTLRMRMLFRTHFFKKHPCGDETYRNTDWIIVNGDFGSTVLLRTNTDYEINKVWSTHGHLTCVKTNLKRLDWLAITTDDSCTAFLRNDLFRINNVCDEHAESLTKIHKSSIPDFLLVETQECAVTLVSGDPSYELNFVAGHTRTSLYVSDAPHISGYLSTMTRFNDRAPDVFLGRGLSREKLWGFLRAGPGGLGAGLGHRGRSKARCDPGPRRCPPSPPSPEGPASATSSEPAGPLGLEGSRPAPSLSPAGPRRPSRAPPPPHTADAGPHLPSPPGTAAAQGEHPTPEEAGAGASGDGGPSHPGFPFM
+>sp|Q8TEB1|DCA11_HUMAN DDB1- and CUL4-associated factor 11 OS=Homo sapiens OX=9606 GN=DCAF11 PE=1 SV=1
+MGSRNSSSAGSGSGDPSEGLPRRGAGLRRSEEEEEEDEDVDLAQVLAYLLRRGQVRLVQGGGAANLQFIQALLDSEEENDRAWDGRLGDRYNPPVDATPDTRELEFNEIKTQVELATGQLGLRRAAQKHSFPRMLHQRERGLCHRGSFSLGEQSRVISHFLPNDLGFTDSYSQKAFCGIYSKDGQIFMSACQDQTIRLYDCRYGRFRKFKSIKARDVGWSVLDVAFTPDGNHFLYSSWSDYIHICNIYGEGDTHTALDLRPDERRFAVFSIAVSSDGREVLGGANDGCLYVFDREQNRRTLQIESHEDDVNAVAFADISSQILFSGGDDAICKVWDRRTMREDDPKPVGALAGHQDGITFIDSKGDARYLISNSKDQTIKLWDIRRFSSREGMEASRQAATQQNWDYRWQQVPKKAWRKLKLPGDSSLMTYRGHGVLHTLIRCRFSPIHSTGQQFIYSGCSTGKVVVYDLLSGHIVKKLTNHKACVRDVSWHPFEEKIVSSSWDGNLRLWQYRQAEYFQDDMPESEECASAPAPVPQSSTPFSSPQ
+>DECOY_sp|Q8TEB1|DCA11_HUMAN DDB1- and CUL4-associated factor 11 OS=Homo sapiens OX=9606 GN=DCAF11 PE=1 SV=1
+QPSSFPTSSQPVPAPASACEESEPMDDQFYEAQRYQWLRLNGDWSSSVIKEEFPHWSVDRVCAKHNTLKKVIHGSLLDYVVVKGTSCGSYIFQQGTSHIPSFRCRILTHLVGHGRYTMLSSDGPLKLKRWAKKPVQQWRYDWNQQTAAQRSAEMGERSSFRRIDWLKITQDKSNSILYRADGKSDIFTIGDQHGALAGVPKPDDERMTRRDWVKCIADDGGSFLIQSSIDAFAVANVDDEHSEIQLTRRNQERDFVYLCGDNAGGLVERGDSSVAISFVAFRREDPRLDLATHTDGEGYINCIHIYDSWSSYLFHNGDPTFAVDLVSWGVDRAKISKFKRFRGYRCDYLRITQDQCASMFIQGDKSYIGCFAKQSYSDTFGLDNPLFHSIVRSQEGLSFSGRHCLGRERQHLMRPFSHKQAARRLGLQGTALEVQTKIENFELERTDPTADVPPNYRDGLRGDWARDNEEESDLLAQIFQLNAAGGGQVLRVQGRRLLYALVQALDVDEDEEEEEESRRLGAGRRPLGESPDGSGSGASSSNRSGM
+>sp|Q9Y4B6|DCAF1_HUMAN DDB1- and CUL4-associated factor 1 OS=Homo sapiens OX=9606 GN=DCAF1 PE=1 SV=3
+MTTVVVHVDSKAELTTLLEQWEKEHGSGQDMVPILTRMSQLIEKETEEYRKGDPDPFDDRHPGRADPECMLGHLLRILFKNDDFMNALVNAYVMTSREPPLNTAACRLLLDIMPGLETAVVFQEKEGIVENLFKWAREADQPLRTYSTGLLGGAMENQDIAANYRDENSQLVAIVLRRLRELQLQEVALRQENKRPSPRKLSSEPLLPLDEEAVDMDYGDMAVDVVDGDQEEASGDMEISFHLDSGHKTSSRVNSTTKPEDGGLKKNKSAKQGDRENFRKAKQKLGFSSSDPDRMFVELSNSSWSEMSPWVIGTNYTLYPMTPAIEQRLILQYLTPLGEYQELLPIFMQLGSRELMMFYIDLKQTNDVLLTFEALKHLASLLLHNKFATEFVAHGGVQKLLEIPRPSMAATGVSMCLYYLSYNQDAMERVCMHPHNVLSDVVNYTLWLMECSHASGCCHATMFFSICFSFRAVLELFDRYDGLRRLVNLISTLEILNLEDQGALLSDDEIFASRQTGKHTCMALRKYFEAHLAIKLEQVKQSLQRTEGGILVHPQPPYKACSYTHEQIVEMMEFLIEYGPAQLYWEPAEVFLKLSCVQLLLQLISIACNWKTYYARNDTVRFALDVLAILTVVPKIQLQLAESVDVLDEAGSTVSTVGISIILGVAEGEFFIHDAEIQKSALQIIINCVCGPDNRISSIGKFISGTPRRKLPQNPKSSEHTLAKMWNVVQSNNGIKVLLSLLSIKMPITDADQIRALACKALVGLSRSSTVRQIISKLPLFSSCQIQQLMKEPVLQDKRSDHVKFCKYAAELIERVSGKPLLIGTDVSLARLQKADVVAQSRISFPEKELLLLIRNHLISKGLGETATVLTKEADLPMTAASHSSAFTPVTAAASPVSLPRTPRIANGIATRLGSHAAVGASAPSAPTAHPQPRPPQGPLALPGPSYAGNSPLIGRISFIRERPSPCNGRKIRVLRQKSDHGAYSQSPAIKKQLDRHLPSPPTLDSIITEYLREQHARCKNPVATCPPFSLFTPHQCPEPKQRRQAPINFTSRLNRRASFPKYGGVDGGCFDRHLIFSRFRPISVFREANEDESGFTCCAFSARERFLMLGTCTGQLKLYNVFSGQEEASYNCHNSAITHLEPSRDGSLLLTSATWSQPLSALWGMKSVFDMKHSFTEDHYVEFSKHSQDRVIGTKGDIAHIYDIQTGNKLLTLFNPDLANNYKRNCATFNPTDDLVLNDGVLWDVRSAQAIHKFDKFNMNISGVFHPNGLEVIINTEIWDLRTFHLLHTVPALDQCRVVFNHTGTVMYGAMLQADDEDDLMEERMKSPFGSSFRTFNATDYKPIATIDVKRNIFDLCTDTKDCYLAVIENQGSMDALNMDTVCRLYEVGRQRLAEDEDEEEDQEEEEQEEEDDDEDDDDTDDLDELDTDQLLEAELEEDDNNENAGEDGDNDFSPSDEELANLLEEGEDGEDEDSDADEEVELILGDTDSSDNSDLEDDIILSLNE
+>DECOY_sp|Q9Y4B6|DCAF1_HUMAN DDB1- and CUL4-associated factor 1 OS=Homo sapiens OX=9606 GN=DCAF1 PE=1 SV=3
+ENLSLIIDDELDSNDSSDTDGLILEVEEDADSDEDEGDEGEELLNALEEDSPSFDNDGDEGANENNDDEELEAELLQDTDLEDLDDTDDDDEDDDEEEQEEEEQDEEEDEDEALRQRGVEYLRCVTDMNLADMSGQNEIVALYCDKTDTCLDFINRKVDITAIPKYDTANFTRFSSGFPSKMREEMLDDEDDAQLMAGYMVTGTHNFVVRCQDLAPVTHLLHFTRLDWIETNIIVELGNPHFVGSINMNFKDFKHIAQASRVDWLVGDNLVLDDTPNFTACNRKYNNALDPNFLTLLKNGTQIDYIHAIDGKTGIVRDQSHKSFEVYHDETFSHKMDFVSKMGWLASLPQSWTASTLLLSGDRSPELHTIASNHCNYSAEEQGSFVNYLKLQGTCTGLMLFRERASFACCTFGSEDENAERFVSIPRFRSFILHRDFCGGDVGGYKPFSARRNLRSTFNIPAQRRQKPEPCQHPTFLSFPPCTAVPNKCRAHQERLYETIISDLTPPSPLHRDLQKKIAPSQSYAGHDSKQRLVRIKRGNCPSPRERIFSIRGILPSNGAYSPGPLALPGQPPRPQPHATPASPASAGVAAHSGLRTAIGNAIRPTRPLSVPSAAATVPTFASSHSAATMPLDAEKTLVTATEGLGKSILHNRILLLLEKEPFSIRSQAVVDAKQLRALSVDTGILLPKGSVREILEAAYKCFKVHDSRKDQLVPEKMLQQIQCSSFLPLKSIIQRVTSSRSLGVLAKCALARIQDADTIPMKISLLSLLVKIGNNSQVVNWMKALTHESSKPNQPLKRRPTGSIFKGISSIRNDPGCVCNIIIQLASKQIEADHIFFEGEAVGLIISIGVTSVTSGAEDLVDVSEALQLQIKPVVTLIALVDLAFRVTDNRAYYTKWNCAISILQLLLQVCSLKLFVEAPEWYLQAPGYEILFEMMEVIQEHTYSCAKYPPQPHVLIGGETRQLSQKVQELKIALHAEFYKRLAMCTHKGTQRSAFIEDDSLLAGQDELNLIELTSILNVLRRLGDYRDFLELVARFSFCISFFMTAHCCGSAHSCEMLWLTYNVVDSLVNHPHMCVREMADQNYSLYYLCMSVGTAAMSPRPIELLKQVGGHAVFETAFKNHLLLSALHKLAEFTLLVDNTQKLDIYFMMLERSGLQMFIPLLEQYEGLPTLYQLILRQEIAPTMPYLTYNTGIVWPSMESWSSNSLEVFMRDPDSSSFGLKQKAKRFNERDGQKASKNKKLGGDEPKTTSNVRSSTKHGSDLHFSIEMDGSAEEQDGDVVDVAMDGYDMDVAEEDLPLLPESSLKRPSPRKNEQRLAVEQLQLERLRRLVIAVLQSNEDRYNAAIDQNEMAGGLLGTSYTRLPQDAERAWKFLNEVIGEKEQFVVATELGPMIDLLLRCAATNLPPERSTMVYANVLANMFDDNKFLIRLLHGLMCEPDARGPHRDDFPDPDGKRYEETEKEILQSMRTLIPVMDQGSGHEKEWQELLTTLEAKSDVHVVVTTM
+>sp|Q8N568|DCLK2_HUMAN Serine/threonine-protein kinase DCLK2 OS=Homo sapiens OX=9606 GN=DCLK2 PE=1 SV=4
+MASTRSIELEHFEERDKRPRPGSRRGAPSSSGGSSSSGPKGNGLIPSPAHSAHCSFYRTRTLQALSSEKKAKKARFYRNGDRYFKGLVFAISSDRFRSFDALLIELTRSLSDNVNLPQGVRTIYTIDGSRKVTSLDELLEGESYVCASNEPFRKVDYTKNINPNWSVNIKGGTSRALAAASSVKSEVKESKDFIKPKLVTVIRSGVKPRKAVRILLNKKTAHSFEQVLTDITEAIKLDSGVVKRLCTLDGKQVTCLQDFFGDDDVFIACGPEKFRYAQDDFVLDHSECRVLKSSYSRSSAVKYSGSKSPGPSRRSKSPASVNGTPSSQLSTPKSTKSSSSSPTSPGSFRGLKQISAHGRSSSNVNGGPELDRCISPEGVNGNRCSESSTLLEKYKIGKVIGDGNFAVVKECIDRSTGKEFALKIIDKAKCCGKEHLIENEVSILRRVKHPNIIMLVEEMETATELFLVMELVKGGDLFDAITSSTKYTERDGSAMVYNLANALRYLHGLSIVHRDIKPENLLVCEYPDGTKSLKLGDFGLATVVEGPLYTVCGTPTYVAPEIIAETGYGLKVDIWAAGVITYILLCGFPPFRSENNLQEDLFDQILAGKLEFPAPYWDNITDSAKELISQMLQVNVEARCTAGQILSHPWVSDDASQENNMQAEVTGKLKQHFNNALPKQNSTTTGVSVIMNTALDKEGQIFCSKHCQDSGRPGMEPISPVPPSVEEIPVPGEAVPAPTPPESPTPHPPPAAPGGERAGTWRRHRD
+>DECOY_sp|Q8N568|DCLK2_HUMAN Serine/threonine-protein kinase DCLK2 OS=Homo sapiens OX=9606 GN=DCLK2 PE=1 SV=4
+DRHRRWTGAREGGPAAPPPHPTPSEPPTPAPVAEGPVPIEEVSPPVPSIPEMGPRGSDQCHKSCFIQGEKDLATNMIVSVGTTTSNQKPLANNFHQKLKGTVEAQMNNEQSADDSVWPHSLIQGATCRAEVNVQLMQSILEKASDTINDWYPAPFELKGALIQDFLDEQLNNESRFPPFGCLLIYTIVGAAWIDVKLGYGTEAIIEPAVYTPTGCVTYLPGEVVTALGFDGLKLSKTGDPYECVLLNEPKIDRHVISLGHLYRLANALNYVMASGDRETYKTSSTIADFLDGGKVLEMVLFLETATEMEEVLMIINPHKVRRLISVENEILHEKGCCKAKDIIKLAFEKGTSRDICEKVVAFNGDGIVKGIKYKELLTSSESCRNGNVGEPSICRDLEPGGNVNSSSRGHASIQKLGRFSGPSTPSSSSSKTSKPTSLQSSPTGNVSAPSKSRRSPGPSKSGSYKVASSRSYSSKLVRCESHDLVFDDQAYRFKEPGCAIFVDDDGFFDQLCTVQKGDLTCLRKVVGSDLKIAETIDTLVQEFSHATKKNLLIRVAKRPKVGSRIVTVLKPKIFDKSEKVESKVSSAAALARSTGGKINVSWNPNINKTYDVKRFPENSACVYSEGELLEDLSTVKRSGDITYITRVGQPLNVNDSLSRTLEILLADFSRFRDSSIAFVLGKFYRDGNRYFRAKKAKKESSLAQLTRTRYFSCHASHAPSPILGNGKPGSSSSGGSSSPAGRRSGPRPRKDREEFHELEISRTSAM
+>sp|Q5T197|DCST1_HUMAN E3 ubiquitin-protein ligase DCST1 OS=Homo sapiens OX=9606 GN=DCST1 PE=1 SV=1
+MDIKHHQNGTRGQRRKQPHTTVQRLLTWGLPVSCSWFLWRQPGEFPVTALLLGAGAGGLLAIGLFQLLVNPMNIYEEQKIMFLYSLVGLGAMGWGTSPHIRCASLLLVPKMLGKEGRLFVLGYALAAIYVGPVANLRHNLNNVIASLGCTVELQINNTRAAWRISTAPLRAMFKDLLSSKELLRAETRNISATFEDLDAQVNSETGYTPEDTMDSGETAQGREARQAPASRLHLSTQKMYELKTKLRCSYVVNQAILSCRRWFDRKHEQCMKHIWVPLLTHLLCLPMKFKFFCGIAKVMEVWCRNRIPVEGNFGQTYDSLNQSIRGLDGEFSANIDFKEEKQAGVLGLNTSWERVSTEVRDYVYRQEARLEWALGLLHVLLSCTFLLVLHASFSYMDSYNHDIRFDNIYISTYFCQIDDRRKKLGKRTLLPLRKAEEKTVIFPCKPTIQASEMSNVVRELLETLPILLLLVVLCGLDWALYSIFDTIRHHSFLQYSFRSSHKLEVKVGGDSMLARLLRKTIGALNTSSETVMESNNMPCLPQPVGLDARAYWRAAVPIGLLVCLCLLQAFGYRLRRVIAAFYFPKREKKRILFLYNDLLKKRAAFTKLRRAAILRRERQQKAPRHPLADILHRGCPLLRRWLCRRCVVCQAPETPESYVCRTLDCEAVYCWSCWDDMRQRCPVCTPREELSSSAFSDSNDDTAYAG
+>DECOY_sp|Q5T197|DCST1_HUMAN E3 ubiquitin-protein ligase DCST1 OS=Homo sapiens OX=9606 GN=DCST1 PE=1 SV=1
+GAYATDDNSDSFASSSLEERPTCVPCRQRMDDWCSWCYVAECDLTRCVYSEPTEPAQCVVCRRCLWRRLLPCGRHLIDALPHRPAKQQRERRLIAARRLKTFAARKKLLDNYLFLIRKKERKPFYFAAIVRRLRYGFAQLLCLCVLLGIPVAARWYARADLGVPQPLCPMNNSEMVTESSTNLAGITKRLLRALMSDGGVKVELKHSSRFSYQLFSHHRITDFISYLAWDLGCLVVLLLLIPLTELLERVVNSMESAQITPKCPFIVTKEEAKRLPLLTRKGLKKRRDDIQCFYTSIYINDFRIDHNYSDMYSFSAHLVLLFTCSLLVHLLGLAWELRAEQRYVYDRVETSVREWSTNLGLVGAQKEEKFDINASFEGDLGRISQNLSDYTQGFNGEVPIRNRCWVEMVKAIGCFFKFKMPLCLLHTLLPVWIHKMCQEHKRDFWRRCSLIAQNVVYSCRLKTKLEYMKQTSLHLRSAPAQRAERGQATEGSDMTDEPTYGTESNVQADLDEFTASINRTEARLLEKSSLLDKFMARLPATSIRWAARTNNIQLEVTCGLSAIVNNLNHRLNAVPGVYIAALAYGLVFLRGEKGLMKPVLLLSACRIHPSTGWGMAGLGVLSYLFMIKQEEYINMPNVLLQFLGIALLGGAGAGLLLATVPFEGPQRWLFWSCSVPLGWTLLRQVTTHPQKRRQGRTGNQHHKIDM
+>sp|Q9H295|DCSTP_HUMAN Dendritic cell-specific transmembrane protein OS=Homo sapiens OX=9606 GN=DCSTAMP PE=1 SV=1
+MGIWTSGTDIFLSLWEIYVSPRSPGWMDFIQHLGVCCLVALISVGLLSVAACWFLPSIIAAAASWIITCVLLCCSKHARCFILLVFLSCGLREGRNALIAAGTGIVILGHVENIFHNFKGLLDGMTCNLRAKSFSIHFPLLKKYIEAIQWIYGLATPLSVFDDLVSWNQTLAVSLFSPSHVLEAQLNDSKGEVLSVLYQMATTTEVLSSLGQKLLAFAGLSLVLLGTGLFMKRFLGPCGWKYENIYITRQFVQFDERERHQQRPCVLPLNKEERRKYVIIPTFWPTPKERKNLGLFFLPILIHLCIWVLFAAVDYLLYRLIFSVSKQFQSLPGFEVHLKLHGEKQGTQDIIHDSSFNISVFEPNCIPKPKFLLSETWVPLSVILLILVMLGLLSSILMQLKILVSASFYPSVERKRIQYLHAKLLKKRSKQPLGEVKRRLSLYLTKIHFWLPVLKMIRKKQMDMASADKS
+>DECOY_sp|Q9H295|DCSTP_HUMAN Dendritic cell-specific transmembrane protein OS=Homo sapiens OX=9606 GN=DCSTAMP PE=1 SV=1
+SKDASAMDMQKKRIMKLVPLWFHIKTLYLSLRRKVEGLPQKSRKKLLKAHLYQIRKREVSPYFSASVLIKLQMLISSLLGLMVLILLIVSLPVWTESLLFKPKPICNPEFVSINFSSDHIIDQTGQKEGHLKLHVEFGPLSQFQKSVSFILRYLLYDVAAFLVWICLHILIPLFFLGLNKREKPTPWFTPIIVYKRREEKNLPLVCPRQQHREREDFQVFQRTIYINEYKWGCPGLFRKMFLGTGLLVLSLGAFALLKQGLSSLVETTTAMQYLVSLVEGKSDNLQAELVHSPSFLSVALTQNWSVLDDFVSLPTALGYIWQIAEIYKKLLPFHISFSKARLNCTMGDLLGKFNHFINEVHGLIVIGTGAAILANRGERLGCSLFVLLIFCRAHKSCCLLVCTIIWSAAAAIISPLFWCAAVSLLGVSILAVLCCVGLHQIFDMWGPSRPSVYIEWLSLFIDTGSTWIGM
+>sp|O75935|DCTN3_HUMAN Dynactin subunit 3 OS=Homo sapiens OX=9606 GN=DCTN3 PE=1 SV=1
+MAGLTDLQRLQARVEELERWVYGPGGARGSRKVADGLVKVQVALGNISSKRERVKILYKKIEDLIKYLDPEYIDRIAIPDASKLQFILAEEQFILSQVALLEQVNALVPMLDSAHIKAVPEHAARLQRLAQIHIQQQDQCVEITEESKALLEEYNKTTMLLSKQFVQWDELLCQLEAATQVKPAEE
+>DECOY_sp|O75935|DCTN3_HUMAN Dynactin subunit 3 OS=Homo sapiens OX=9606 GN=DCTN3 PE=1 SV=1
+EEAPKVQTAAELQCLLEDWQVFQKSLLMTTKNYEELLAKSEETIEVCQDQQQIHIQALRQLRAAHEPVAKIHASDLMPVLANVQELLAVQSLIFQEEALIFQLKSADPIAIRDIYEPDLYKILDEIKKYLIKVRERKSSINGLAVQVKVLGDAVKRSGRAGGPGYVWRELEEVRAQLRQLDTLGAM
+>sp|O00399|DCTN6_HUMAN Dynactin subunit 6 OS=Homo sapiens OX=9606 GN=DCTN6 PE=1 SV=1
+MAEKTQKSVKIAPGAVVCVESEIRGDVTIGPRTVIHPKARIIAEAGPIVIGEGNLIEEQALIINAYPDNITPDTEDPEPKPMIIGTNNVFEVGCYSQAMKMGDNNVIESKAYVGRNVILTSGCIIGACCNLNTFEVIPENTVIYGADCLRRVQTERPQPQTLQLDFLMKILPNYHHLKKTMKGSSTPVKN
+>DECOY_sp|O00399|DCTN6_HUMAN Dynactin subunit 6 OS=Homo sapiens OX=9606 GN=DCTN6 PE=1 SV=1
+NKVPTSSGKMTKKLHHYNPLIKMLFDLQLTQPQPRETQVRRLCDAGYIVTNEPIVEFTNLNCCAGIICGSTLIVNRGVYAKSEIVNNDGMKMAQSYCGVEFVNNTGIIMPKPEPDETDPTINDPYANIILAQEEILNGEGIVIPGAEAIIRAKPHIVTRPGITVDGRIESEVCVVAGPAIKVSKQTKEAM
+>sp|Q9NX09|DDIT4_HUMAN DNA damage-inducible transcript 4 protein OS=Homo sapiens OX=9606 GN=DDIT4 PE=1 SV=1
+MPSLWDRFSSSSTSSSPSSLPRTPTPDRPPRSAWGSATREEGFDRSTSLESSDCESLDSSNSGFGPEEDTAYLDGVSLPDFELLSDPEDEHLCANLMQLLQESLAQARLGSRRPARLLMPSQLVSQVGKELLRLAYSEPCGLRGALLDVCVEQGKSCHSVGQLALDPSLVPTFQLTLVLRLDSRLWPKIQGLFSSANSPFLPGFSQSLTLSTGFRVIKKKLYSSEQLLIEEC
+>DECOY_sp|Q9NX09|DDIT4_HUMAN DNA damage-inducible transcript 4 protein OS=Homo sapiens OX=9606 GN=DDIT4 PE=1 SV=1
+CEEILLQESSYLKKKIVRFGTSLTLSQSFGPLFPSNASSFLGQIKPWLRSDLRLVLTLQFTPVLSPDLALQGVSHCSKGQEVCVDLLAGRLGCPESYALRLLEKGVQSVLQSPMLLRAPRRSGLRAQALSEQLLQMLNACLHEDEPDSLLEFDPLSVGDLYATDEEPGFGSNSSDLSECDSSELSTSRDFGEERTASGWASRPPRDPTPTRPLSSPSSSTSSSSFRDWLSPM
+>sp|Q8WXF8|DEDD2_HUMAN DNA-binding death effector domain-containing protein 2 OS=Homo sapiens OX=9606 GN=DEDD2 PE=1 SV=1
+MALSGSTPAPCWEEDECLDYYGMLSLHRMFEVVGGQLTECELELLAFLLDEAPGAAGGLARARSGLELLLELERRGQCDESNLRLLGQLLRVLARHDLLPHLARKRRRPVSPERYSYGTSSSSKRTEGSCRRRRQSSSSANSQQGQWETGSPPTKRQRRSRGRPSGGARRRRRGAPAAPQQQSEPARPSSEGKVTCDIRLRVRAEYCEHGPALEQGVASRRPQALARQLDVFGQATAVLRSRDLGSVVCDIKFSELSYLDAFWGDYLSGALLQALRGVFLTEALREAVGREAVRLLVSVDEADYEAGRRRLLLMEEEGGRRPTEAS
+>DECOY_sp|Q8WXF8|DEDD2_HUMAN DNA-binding death effector domain-containing protein 2 OS=Homo sapiens OX=9606 GN=DEDD2 PE=1 SV=1
+SAETPRRGGEEEMLLLRRRGAEYDAEDVSVLLRVAERGVAERLAETLFVGRLAQLLAGSLYDGWFADLYSLESFKIDCVVSGLDRSRLVATAQGFVDLQRALAQPRRSAVGQELAPGHECYEARVRLRIDCTVKGESSPRAPESQQQPAAPAGRRRRRAGGSPRGRSRRQRKTPPSGTEWQGQQSNASSSSQRRRRCSGETRKSSSSTGYSYREPSVPRRRKRALHPLLDHRALVRLLQGLLRLNSEDCQGRRELELLLELGSRARALGGAAGPAEDLLFALLELECETLQGGVVEFMRHLSLMGYYDLCEDEEWCPAPTSGSLAM
+>sp|Q6QHC5|DEGS2_HUMAN Sphingolipid delta(4)-desaturase/C4-monooxygenase DES2 OS=Homo sapiens OX=9606 GN=DEGS2 PE=1 SV=2
+MGNSASRSDFEWVYTDQPHTQRRKEILAKYPAIKALMRPDPRLKWAVLVLVLVQMLACWLVRGLAWRWLLFWAYAFGGCVNHSLTLAIHDISHNAAFGTGRAARNRWLAVFANLPVGVPYAASFKKYHVDHHRYLGGDGLDVDVPTRLEGWFFCTPARKLLWLVLQPFFYSLRPLCVHPKAVTRMEVLNTLVQLAADLAIFALWGLKPVVYLLASSFLGLGLHPISGHFVAEHYMFLKGHETYSYYGPLNWITFNVGYHVEHHDFPSIPGYNLPLVRKIAPEYYDHLPQHHSWVKVLWDFVFEDSLGPYARVKRVYRLAKDGL
+>DECOY_sp|Q6QHC5|DEGS2_HUMAN Sphingolipid delta(4)-desaturase/C4-monooxygenase DES2 OS=Homo sapiens OX=9606 GN=DEGS2 PE=1 SV=2
+LGDKALRYVRKVRAYPGLSDEFVFDWLVKVWSHHQPLHDYYEPAIKRVLPLNYGPISPFDHHEVHYGVNFTIWNLPGYYSYTEHGKLFMYHEAVFHGSIPHLGLGLFSSALLYVVPKLGWLAFIALDAALQVLTNLVEMRTVAKPHVCLPRLSYFFPQLVLWLLKRAPTCFFWGELRTPVDVDLGDGGLYRHHDVHYKKFSAAYPVGVPLNAFVALWRNRAARGTGFAANHSIDHIALTLSHNVCGGFAYAWFLLWRWALGRVLWCALMQVLVLVLVAWKLRPDPRMLAKIAPYKALIEKRRQTHPQDTYVWEFDSRSASNGM
+>sp|Q6P3S1|DEN1B_HUMAN DENN domain-containing protein 1B OS=Homo sapiens OX=9606 GN=DENND1B PE=1 SV=2
+MDCRTKANPDRTFDLVLKVKCHASENEDPVVLWKFPEDFGDQEILQSVPKFCFPFDVERVSQNQVGQHFTFVLTDIESKQRFGFCRLTSGGTICLCILSYLPWFEVYYKLLNTLADYLAKELENDLNETLRSLYNHPVPKANTPVNLSVNQEIFIACEQVLKDQPALVPHSYFIAPDVTGLPTIPESRNLTEYFVAVDVNNMLQLYASMLHERRIVIISSKLSTLTACIHGSAALLYPMYWQHIYIPVLPPHLLDYCCAPMPYLIGIHSSLIERVKNKSLEDVVMLNVDTNTLESPFSDLNNLPSDVVSALKNKLKKQSTATGDGVARAFLRAQAALFGSYRDALRYKPGEPITFCEESFVKHRSSVMKQFLETAINLQLFKQFIDGRLAKLNAGRGFSDVFEEEITSGGFCGGNPRSYQQWVHTVKKGGALFNTAMTKATPAVRTAYKFAKNHAKLGLKEVKSKLKHKENEEDYGTCSSSVQYTPVYKLHNEKGGNSEKRKLAQARLKRPLKSLDGALYDDEDDDDIERASKLSSEDGEEASAYLYESDDSVETRVKTPYSGEMDLLGEILDTLSTHSSDQGKLAAAKSLDFFRSMDDIDYKPTNKSNAPSENNLAFLCGGSGDQAEWNLGQDDSALHGKHLPPSPRKRVSSSGLTDSLFILKEENSNKHLGADNVSDPTSGLDFQLTSPEVSQTDKGKTEKRETLSQISDDLLIPGLGRHSSTFVPWEKEGKEAKETSEDIGLLHEVVSLCHMTSDFQQSLNISDKNTNGNQT
+>DECOY_sp|Q6P3S1|DEN1B_HUMAN DENN domain-containing protein 1B OS=Homo sapiens OX=9606 GN=DENND1B PE=1 SV=2
+TQNGNTNKDSINLSQQFDSTMHCLSVVEHLLGIDESTEKAEKGEKEWPVFTSSHRGLGPILLDDSIQSLTERKETKGKDTQSVEPSTLQFDLGSTPDSVNDAGLHKNSNEEKLIFLSDTLGSSSVRKRPSPPLHKGHLASDDQGLNWEAQDGSGGCLFALNNESPANSKNTPKYDIDDMSRFFDLSKAAALKGQDSSHTSLTDLIEGLLDMEGSYPTKVRTEVSDDSEYLYASAEEGDESSLKSAREIDDDDEDDYLAGDLSKLPRKLRAQALKRKESNGGKENHLKYVPTYQVSSSCTGYDEENEKHKLKSKVEKLGLKAHNKAFKYATRVAPTAKTMATNFLAGGKKVTHVWQQYSRPNGGCFGGSTIEEEFVDSFGRGANLKALRGDIFQKFLQLNIATELFQKMVSSRHKVFSEECFTIPEGPKYRLADRYSGFLAAQARLFARAVGDGTATSQKKLKNKLASVVDSPLNNLDSFPSELTNTDVNLMVVDELSKNKVREILSSHIGILYPMPACCYDLLHPPLVPIYIHQWYMPYLLAASGHICATLTSLKSSIIVIRREHLMSAYLQLMNNVDVAVFYETLNRSEPITPLGTVDPAIFYSHPVLAPQDKLVQECAIFIEQNVSLNVPTNAKPVPHNYLSRLTENLDNELEKALYDALTNLLKYYVEFWPLYSLICLCITGGSTLRCFGFRQKSEIDTLVFTFHQGVQNQSVREVDFPFCFKPVSQLIEQDGFDEPFKWLVVPDENESAHCKVKLVLDFTRDPNAKTRCDM
+>sp|Q9H6A0|DEN2D_HUMAN DENN domain-containing protein 2D OS=Homo sapiens OX=9606 GN=DENND2D PE=1 SV=2
+MEGQVVGRVFRLFQRRLLQLRAGPPQDNSGEALKEPERAQEHSLPNFAGGQHFFEYLLVVSLKKKRSEDDYEPIITYQFPKRENLLRGQQEEEERLLKAIPLFCFPDGNEWASLTEYPRETFSFVLTNVDGSRKIGYCRRLLPAGPGPRLPKVYCIISCIGCFGLFSKILDEVEKRHQISMAVIYPFMQGLREAAFPAPGKTVTLKSFIPDSGTEFISLTRPLDSHLEHVDFSSLLHCLSFEQILQIFASAVLERKIIFLAEGLSTLSQCIHAAAALLYPFSWAHTYIPVVPESLLATVCCPTPFMVGVQMRFQQEVMDSPMEEVLLVNLCEGTFLMSVGDEKDILPPKLQDDILDSLGQGINELKTAEQINEHVSGPFVQFFVKIVGHYASYIKREANGQGHFQERSFCKALTSKTNRRFVKKFVKTQLFSLFIQEAEKSKNPPAGYFQQKILEYEEQKKQKKPREKTVK
+>DECOY_sp|Q9H6A0|DEN2D_HUMAN DENN domain-containing protein 2D OS=Homo sapiens OX=9606 GN=DENND2D PE=1 SV=2
+KVTKERPKKQKKQEEYELIKQQFYGAPPNKSKEAEQIFLSFLQTKVFKKVFRRNTKSTLAKCFSREQFHGQGNAERKIYSAYHGVIKVFFQVFPGSVHENIQEATKLENIGQGLSDLIDDQLKPPLIDKEDGVSMLFTGECLNVLLVEEMPSDMVEQQFRMQVGVMFPTPCCVTALLSEPVVPIYTHAWSFPYLLAAAAHICQSLTSLGEALFIIKRELVASAFIQLIQEFSLCHLLSSFDVHELHSDLPRTLSIFETGSDPIFSKLTVTKGPAPFAAERLGQMFPYIVAMSIQHRKEVEDLIKSFLGFCGICSIICYVKPLRPGPGAPLLRRCYGIKRSGDVNTLVFSFTERPYETLSAWENGDPFCFLPIAKLLREEEEQQGRLLNERKPFQYTIIPEYDDESRKKKLSVVLLYEFFHQGGAFNPLSHEQAREPEKLAEGSNDQPPGARLQLLRRQFLRFVRGVVQGEM
+>sp|Q6IQ26|DEN5A_HUMAN DENN domain-containing protein 5A OS=Homo sapiens OX=9606 GN=DENND5A PE=1 SV=2
+MSGGGGGGGSAPSRFADYFVICGLDTETGLEPDELSALCQYIQASKARDGASPFISSTTEGENFEQTPLRRTFKSKVLARYPENVEWNPFDQDAVGMLCMPKGLAFKTQADPREPQFHAFIITREDGSRTFGFALTFYEEVTSKQICSAMQTLYHMHNAEYDVLHAPPADDRDQSSMEDGEDTPVTKLQRFNSYDISRDTLYVSKCICLITPMSFMKACRSVLEQLHQAVTSPQPPPLPLESYIYNVLYEVPLPPPGRSLKFSGVYGPIICQRPSTNELPLFDFPVKEVFELLGVENVFQLFTCALLEFQILLYSQHYQRLMTVAETITALMFPFQWQHVYVPILPASLLHFLDAPVPYLMGLHSNGLDDRSKLELPQEANLCFVDIDNHFIELPEDLPQFPNKLEFVQEVSEILMAFGIPPEGNLHCSESASKLKRLRASELVSDKRNGNIAGSPLHSYELLKENETIARLQALVKRTGVSLEKLEVREDPSSNKDLKVQCDEEELRIYQLNIQIREVFANRFTQMFADYEVFVIQPSQDKESWFTNREQMQNFDKASFLSDQPEPYLPFLSRFLETQMFASFIDNKIMCHDDDDKDPVLRVFDSRVDKIRLLNVRTPTLRTSMYQKCTTVDEAEKAIELRLAKIDHTAIHPHLLDMKIGQGKYEPGFFPKLQSDVLSTGPASNKWTKRNAPAQWRRKDRQKQHTEHLRLDNDQREKYIQEARTMGSTIRQPKLSNLSPSVIAQTNWKFVEGLLKECRNKTKRMLVEKMGREAVELGHGEVNITGVEENTLIASLCDLLERIWSHGLQVKQGKSALWSHLLHYQDNRQRKLTSGSLSTSGILLDSERRKSDASSLMPPLRISLIQDMRHIQNIGEIKTDVGKARAWVRLSMEKKLLSRHLKQLLSDHELTKKLYKRYAFLRCDDEKEQFLYHLLSFNAVDYFCFTNVFTTILIPYHILIVPSKKLGGSMFTANPWICISGELGETQIMQIPRNVLEMTFECQNLGKLTTVQIGHDNSGLYAKWLVEYVMVRNEITGHTYKFPCGRWLGKGMDDGSLERILVGELLTSQPEVDERPCRTPPLQQSPSVIRRLVTISPNNKPKLNTGQIQESIGEAVNGIVKHFHKPEKERGSLTLLLCGECGLVSALEQAFQHGFKSPRLFKNVFIWDFLEKAQTYYETLEKNEVVPEENWHTRARNFCRFVTAINNTPRNIGKDGKFQMLVCLGARDHLLHHWIALLADCPITAHMYEDVALIKDHTLVNSLIRVLQTLQEFNITLETSLVKGIDI
+>DECOY_sp|Q6IQ26|DEN5A_HUMAN DENN domain-containing protein 5A OS=Homo sapiens OX=9606 GN=DENND5A PE=1 SV=2
+IDIGKVLSTELTINFEQLTQLVRILSNVLTHDKILAVDEYMHATIPCDALLAIWHHLLHDRAGLCVLMQFKGDKGINRPTNNIATVFRCFNRARTHWNEEPVVENKELTEYYTQAKELFDWIFVNKFLRPSKFGHQFAQELASVLGCEGCLLLTLSGREKEPKHFHKVIGNVAEGISEQIQGTNLKPKNNPSITVLRRIVSPSQQLPPTRCPREDVEPQSTLLEGVLIRELSGDDMGKGLWRGCPFKYTHGTIENRVMVYEVLWKAYLGSNDHGIQVTTLKGLNQCEFTMELVNRPIQMIQTEGLEGSICIWPNATFMSGGLKKSPVILIHYPILITTFVNTFCFYDVANFSLLHYLFQEKEDDCRLFAYRKYLKKTLEHDSLLQKLHRSLLKKEMSLRVWARAKGVDTKIEGINQIHRMDQILSIRLPPMLSSADSKRRESDLLIGSTSLSGSTLKRQRNDQYHLLHSWLASKGQKVQLGHSWIRELLDCLSAILTNEEVGTINVEGHGLEVAERGMKEVLMRKTKNRCEKLLGEVFKWNTQAIVSPSLNSLKPQRITSGMTRAEQIYKERQDNDLRLHETHQKQRDKRRWQAPANRKTWKNSAPGTSLVDSQLKPFFGPEYKGQGIKMDLLHPHIATHDIKALRLEIAKEAEDVTTCKQYMSTRLTPTRVNLLRIKDVRSDFVRLVPDKDDDDHCMIKNDIFSAFMQTELFRSLFPLYPEPQDSLFSAKDFNQMQERNTFWSEKDQSPQIVFVEYDAFMQTFRNAFVERIQINLQYIRLEEEDCQVKLDKNSSPDERVELKELSVGTRKVLAQLRAITENEKLLEYSHLPSGAINGNRKDSVLESARLRKLKSASESCHLNGEPPIGFAMLIESVEQVFELKNPFQPLDEPLEIFHNDIDVFCLNAEQPLELKSRDDLGNSHLGMLYPVPADLFHLLSAPLIPVYVHQWQFPFMLATITEAVTMLRQYHQSYLLIQFELLACTFLQFVNEVGLLEFVEKVPFDFLPLENTSPRQCIIPGYVGSFKLSRGPPPLPVEYLVNYIYSELPLPPPQPSTVAQHLQELVSRCAKMFSMPTILCICKSVYLTDRSIDYSNFRQLKTVPTDEGDEMSSQDRDDAPPAHLVDYEANHMHYLTQMASCIQKSTVEEYFTLAFGFTRSGDERTIIFAHFQPERPDAQTKFALGKPMCLMGVADQDFPNWEVNEPYRALVKSKFTRRLPTQEFNEGETTSSIFPSAGDRAKSAQIYQCLASLEDPELGTETDLGCIVFYDAFRSPASGGGGGGGSM
+>sp|Q8N2C3|DEPD4_HUMAN DEP domain-containing protein 4 OS=Homo sapiens OX=9606 GN=DEPDC4 PE=2 SV=1
+MVPGEEPARELMAVLLTPRFRRLVSQNELPGPGLNGPSSRNRRDGFCRKRRTGCSGPFQATQLWDGIIHSLQAQVEIKRRRHHLQTYKDCFTGSDAVDVVLSHLMQNTCLSSNDISCLKGVHLCQVLMNHKVFEPVGMKKLFKKEKELEFEDSNISLYRFLGNKSSYDCCKRQKDAENEFNETLRPGYEMISNPLAQEIGEERIEELIHTINGNPALCPNITVQKPFLRLSKEDVWKEQTLLCLLQLIHLPFLDNILEPPVKTQNLQLNKEEDLVITNTCLDRELIPSLCLPEK
+>DECOY_sp|Q8N2C3|DEPD4_HUMAN DEP domain-containing protein 4 OS=Homo sapiens OX=9606 GN=DEPDC4 PE=2 SV=1
+KEPLCLSPILERDLCTNTIVLDEEKNLQLNQTKVPPELINDLFPLHILQLLCLLTQEKWVDEKSLRLFPKQVTINPCLAPNGNITHILEEIREEGIEQALPNSIMEYGPRLTENFENEADKQRKCCDYSSKNGLFRYLSINSDEFELEKEKKFLKKMGVPEFVKHNMLVQCLHVGKLCSIDNSSLCTNQMLHSLVVDVADSGTFCDKYTQLHHRRRKIEVQAQLSHIIGDWLQTAQFPGSCGTRRKRCFGDRRNRSSPGNLGPGPLENQSVLRRFRPTLLVAMLERAPEEGPVM
+>sp|Q96Q80|DERL3_HUMAN Derlin-3 OS=Homo sapiens OX=9606 GN=DERL3 PE=1 SV=2
+MAWQGLAAEFLQVPAVTRAYTAACVLTTAAVQLELLSPFQLYFNPHLVFRKFQVWRLVTNFLFFGPLGFSFFFNMLFVFRYCRMLEEGSFRGRTADFVFMFLFGGVLMTLLGLLGSLFFLGQALMAMLVYVWSRRSPRVRVNFFGLLTFQAPFLPWALMGFSLLLGNSILVDLLGIAVGHIYYFLEDVFPNQPGGKRLLQTPGFLKLLLDAPAEDPNYLPLPEEQPGPHLPPPQQ
+>DECOY_sp|Q96Q80|DERL3_HUMAN Derlin-3 OS=Homo sapiens OX=9606 GN=DERL3 PE=1 SV=2
+QQPPPLHPGPQEEPLPLYNPDEAPADLLLKLFGPTQLLRKGGPQNPFVDELFYYIHGVAIGLLDVLISNGLLLSFGMLAWPLFPAQFTLLGFFNVRVRPSRRSWVYVLMAMLAQGLFFLSGLLGLLTMLVGGFLFMFVFDATRGRFSGEELMRCYRFVFLMNFFFSFGLPGFFLFNTVLRWVQFKRFVLHPNFYLQFPSLLELQVAATTLVCAATYARTVAPVQLFEAALGQWAM
+>sp|Q9BSY9|DESI2_HUMAN Deubiquitinase DESI2 OS=Homo sapiens OX=9606 GN=DESI2 PE=1 SV=1
+MGANQLVVLNVYDMYWMNEYTSSIGIGVFHSGIEVYGREFAYGGHPYPFSGIFEISPGNASELGETFKFKEAVVLGSTDFLEDDIEKIVEELGKEYKGNAYHLMHKNCNHFSSALSEILCGKEIPRWINRLAYFSSCIPFLQSCLPKEWLTPAALQSSVSQELQDELEEAEDAAASASVASTAAGSRPGRHTKL
+>DECOY_sp|Q9BSY9|DESI2_HUMAN Deubiquitinase DESI2 OS=Homo sapiens OX=9606 GN=DESI2 PE=1 SV=1
+LKTHRGPRSGAATSAVSASAAADEAEELEDQLEQSVSSQLAAPTLWEKPLCSQLFPICSSFYALRNIWRPIEKGCLIESLASSFHNCNKHMLHYANGKYEKGLEEVIKEIDDELFDTSGLVVAEKFKFTEGLESANGPSIEFIGSFPYPHGGYAFERGYVEIGSHFVGIGISSTYENMWYMDYVNLVVLQNAGM
+>sp|P60981|DEST_HUMAN Destrin OS=Homo sapiens OX=9606 GN=DSTN PE=1 SV=3
+MASGVQVADEVCRIFYDMKVRKCSTPEEIKKRKKAVIFCLSADKKCIIVEEGKEILVGDVGVTITDPFKHFVGMLPEKDCRYALYDASFETKESRKEELMFFLWAPELAPLKSKMIYASSKDAIKKKFQGIKHECQANGPEDLNRACIAEKLGGSLIVAFEGCPV
+>DECOY_sp|P60981|DEST_HUMAN Destrin OS=Homo sapiens OX=9606 GN=DSTN PE=1 SV=3
+VPCGEFAVILSGGLKEAICARNLDEPGNAQCEHKIGQFKKKIADKSSAYIMKSKLPALEPAWLFFMLEEKRSEKTEFSADYLAYRCDKEPLMGVFHKFPDTITVGVDGVLIEKGEEVIICKKDASLCFIVAKKRKKIEEPTSCKRVKMDYFIRCVEDAVQVGSAM
+>sp|O75907|DGAT1_HUMAN Diacylglycerol O-acyltransferase 1 OS=Homo sapiens OX=9606 GN=DGAT1 PE=1 SV=2
+MGDRGSSRRRRTGSRPSSHGGGGPAAAEEEVRDAAAGPDVGAAGDAPAPAPNKDGDAGVGSGHWELRCHRLQDSLFSSDSGFSNYRGILNWCVVMLILSNARLFLENLIKYGILVDPIQVVSLFLKDPYSWPAPCLVIAANVFAVAAFQVEKRLAVGALTEQAGLLLHVANLATILCFPAAVVLLVESITPVGSLLALMAHTILFLKLFSYRDVNSWCRRARAKAASAGKKASSAAAPHTVSYPDNLTYRDLYYFLFAPTLCYELNFPRSPRIRKRFLLRRILEMLFFTQLQVGLIQQWMVPTIQNSMKPFKDMDYSRIIERLLKLAVPNHLIWLIFFYWLFHSCLNAVAELMQFGDREFYRDWWNSESVTYFWQNWNIPVHKWCIRHFYKPMLRRGSSKWMARTGVFLASAFFHEYLVSVPLRMFRLWAFTGMMAQIPLAWFVGRFFQGNYGNAAVWLSLIIGQPIAVLMYVHDYYVLNYEAPAAEA
+>DECOY_sp|O75907|DGAT1_HUMAN Diacylglycerol O-acyltransferase 1 OS=Homo sapiens OX=9606 GN=DGAT1 PE=1 SV=2
+AEAAPAEYNLVYYDHVYMLVAIPQGIILSLWVAANGYNGQFFRGVFWALPIQAMMGTFAWLRFMRLPVSVLYEHFFASALFVGTRAMWKSSGRRLMPKYFHRICWKHVPINWNQWFYTVSESNWWDRYFERDGFQMLEAVANLCSHFLWYFFILWILHNPVALKLLREIIRSYDMDKFPKMSNQITPVMWQQILGVQLQTFFLMELIRRLLFRKRIRPSRPFNLEYCLTPAFLFYYLDRYTLNDPYSVTHPAAASSAKKGASAAKARARRCWSNVDRYSFLKLFLITHAMLALLSGVPTISEVLLVVAAPFCLITALNAVHLLLGAQETLAGVALRKEVQFAAVAFVNAAIVLCPAPWSYPDKLFLSVVQIPDVLIGYKILNELFLRANSLILMVVCWNLIGRYNSFGSDSSFLSDQLRHCRLEWHGSGVGADGDKNPAPAPADGAAGVDPGAAADRVEEEAAAPGGGGHSSPRSGTRRRRSSGRDGM
+>sp|Q9H0S4|DDX47_HUMAN Probable ATP-dependent RNA helicase DDX47 OS=Homo sapiens OX=9606 GN=DDX47 PE=1 SV=1
+MAAPEEHDSPTEASQPIVEEEETKTFKDLGVTDVLCEACDQLGWTKPTKIQIEAIPLALQGRDIIGLAETGSGKTGAFALPILNALLETPQRLFALVLTPTRELAFQISEQFEALGSSIGVQSAVIVGGIDSMSQSLALAKKPHIIIATPGRLIDHLENTKGFNLRALKYLVMDEADRILNMDFETEVDKILKVIPRDRKTFLFSATMTKKVQKLQRAALKNPVKCAVSSKYQTVEKLQQYYIFIPSKFKDTYLVYILNELAGNSFMIFCSTCNNTQRTALLLRNLGFTAIPLHGQMSQSKRLGSLNKFKAKARSILLATDVASRGLDIPHVDVVVNFDIPTHSKDYIHRVGRTARAGRSGKAITFVTQYDVELFQRIEHLIGKKLPGFPTQDDEVMMLTERVAEAQRFARMELREHGEKKKRSREDAGDNDDTEGAIGVRNKVAGGKMKKRKGR
+>DECOY_sp|Q9H0S4|DDX47_HUMAN Probable ATP-dependent RNA helicase DDX47 OS=Homo sapiens OX=9606 GN=DDX47 PE=1 SV=1
+RGKRKKMKGGAVKNRVGIAGETDDNDGADERSRKKKEGHERLEMRAFRQAEAVRETLMMVEDDQTPFGPLKKGILHEIRQFLEVDYQTVFTIAKGSRGARATRGVRHIYDKSHTPIDFNVVVDVHPIDLGRSAVDTALLISRAKAKFKNLSGLRKSQSMQGHLPIATFGLNRLLLATRQTNNCTSCFIMFSNGALENLIYVLYTDKFKSPIFIYYQQLKEVTQYKSSVACKVPNKLAARQLKQVKKTMTASFLFTKRDRPIVKLIKDVETEFDMNLIRDAEDMVLYKLARLNFGKTNELHDILRGPTAIIIHPKKALALSQSMSDIGGVIVASQVGISSGLAEFQESIQFALERTPTLVLAFLRQPTELLANLIPLAFAGTKGSGTEALGIIDRGQLALPIAEIQIKTPKTWGLQDCAECLVDTVGLDKFTKTEEEEVIPQSAETPSDHEEPAAM
+>sp|Q8NHQ9|DDX55_HUMAN ATP-dependent RNA helicase DDX55 OS=Homo sapiens OX=9606 GN=DDX55 PE=1 SV=3
+MEHVTEGSWESLPVPLHPQVLGALRELGFPYMTPVQSATIPLFMRNKDVAAEAVTGSGKTLAFVIPILEILLRREEKLKKSQVGAIIITPTRELAIQIDEVLSHFTKHFPEFSQILWIGGRNPGEDVERFKQQGGNIIVATPGRLEDMFRRKAEGLDLASCVRSLDVLVLDEADRLLDMGFEASINTILEFLPKQRRTGLFSATQTQEVENLVRAGLRNPVRVSVKEKGVAASSAQKTPSRLENYYMVCKADEKFNQLVHFLRNHKQEKHLVFFSTCACVEYYGKALEVLVKGVKIMCIHGKMKYKRNKIFMEFRKLQSGILVCTDVMARGIDIPEVNWVLQYDPPSNASAFVHRCGRTARIGHGGSALVFLLPMEESYINFLAINQKCPLQEMKPQRNTADLLPKLKSMALADRAVFEKGMKAFVSYVQAYAKHECNLIFRLKDLDFASLARGFALLRMPKMPELRGKQFPDFVPVDVNTDTIPFKDKIREKQRQKLLEQQRREKTENEGRRKFIKNKAWSKQKAKKEKKKKMNEKRKREEGSDIEDEDMEELLNDTRLLKKLKKGKITEEEFEKGLLTTGKRTIKTVDLGISDLEDDC
+>DECOY_sp|Q8NHQ9|DDX55_HUMAN ATP-dependent RNA helicase DDX55 OS=Homo sapiens OX=9606 GN=DDX55 PE=1 SV=3
+CDDELDSIGLDVTKITRKGTTLLGKEFEEETIKGKKLKKLLRTDNLLEEMDEDEIDSGEERKRKENMKKKKEKKAKQKSWAKNKIFKRRGENETKERRQQELLKQRQKERIKDKFPITDTNVDVPVFDPFQKGRLEPMKPMRLLAFGRALSAFDLDKLRFILNCEHKAYAQVYSVFAKMGKEFVARDALAMSKLKPLLDATNRQPKMEQLPCKQNIALFNIYSEEMPLLFVLASGGHGIRATRGCRHVFASANSPPDYQLVWNVEPIDIGRAMVDTCVLIGSQLKRFEMFIKNRKYKMKGHICMIKVGKVLVELAKGYYEVCACTSFFVLHKEQKHNRLFHVLQNFKEDAKCVMYYNELRSPTKQASSAAVGKEKVSVRVPNRLGARVLNEVEQTQTASFLGTRRQKPLFELITNISAEFGMDLLRDAEDLVLVDLSRVCSALDLGEAKRRFMDELRGPTAVIINGGQQKFREVDEGPNRGGIWLIQSFEPFHKTFHSLVEDIQIALERTPTIIIAGVQSKKLKEERRLLIELIPIVFALTKGSGTVAEAAVDKNRMFLPITASQVPTMYPFGLERLAGLVQPHLPVPLSEWSGETVHEM
+>sp|Q9NY93|DDX56_HUMAN Probable ATP-dependent RNA helicase DDX56 OS=Homo sapiens OX=9606 GN=DDX56 PE=1 SV=1
+MEDSEALGFEHMGLDPRLLQAVTDLGWSRPTLIQEKAIPLALEGKDLLARARTGSGKTAAYAIPMLQLLLHRKATGPVVEQAVRGLVLVPTKELARQAQSMIQQLATYCARDVRVANVSAAEDSVSQRAVLMEKPDVVVGTPSRILSHLQQDSLKLRDSLELLVVDEADLLFSFGFEEELKSLLCHLPRIYQAFLMSATFNEDVQALKELILHNPVTLKLQESQLPGPDQLQQFQVVCETEEDKFLLLYALLKLSLIRGKSLLFVNTLERSYRLRLFLEQFSIPTCVLNGELPLRSRCHIISQFNQGFYDCVIATDAEVLGAPVKGKRRGRGPKGDKASDPEAGVARGIDFHHVSAVLNFDLPPTPEAYIHRAGRTARANNPGIVLTFVLPTEQFHLGKIEELLSGENRGPILLPYQFRMEEIEGFRYRCRDAMRSVTKQAIREARLKEIKEELLHSEKLKTYFEDNPRDLQLLRHDLPLHPAVVKPHLGHVPDYLVPPALRGLVRPHKKRKKLSSSCRKAKRAKSQNPLRSFKHKGKKFRPTAKPS
+>DECOY_sp|Q9NY93|DDX56_HUMAN Probable ATP-dependent RNA helicase DDX56 OS=Homo sapiens OX=9606 GN=DDX56 PE=1 SV=1
+SPKATPRFKKGKHKFSRLPNQSKARKAKRCSSSLKKRKKHPRVLGRLAPPVLYDPVHGLHPKVVAPHLPLDHRLLQLDRPNDEFYTKLKESHLLEEKIEKLRAERIAQKTVSRMADRCRYRFGEIEEMRFQYPLLIPGRNEGSLLEEIKGLHFQETPLVFTLVIGPNNARATRGARHIYAEPTPPLDFNLVASVHHFDIGRAVGAEPDSAKDGKPGRGRRKGKVPAGLVEADTAIVCDYFGQNFQSIIHCRSRLPLEGNLVCTPISFQELFLRLRYSRELTNVFLLSKGRILSLKLLAYLLLFKDEETECVVQFQQLQDPGPLQSEQLKLTVPNHLILEKLAQVDENFTASMLFAQYIRPLHCLLSKLEEEFGFSFLLDAEDVVLLELSDRLKLSDQQLHSLIRSPTGVVVDPKEMLVARQSVSDEAASVNAVRVDRACYTALQQIMSQAQRALEKTPVLVLGRVAQEVVPGTAKRHLLLQLMPIAYAATKGSGTRARALLDKGELALPIAKEQILTPRSWGLDTVAQLLRPDLGMHEFGLAESDEM
+>sp|O95786|DDX58_HUMAN Probable ATP-dependent RNA helicase DDX58 OS=Homo sapiens OX=9606 GN=DDX58 PE=1 SV=2
+MTTEQRRSLQAFQDYIRKTLDPTYILSYMAPWFREEEVQYIQAEKNNKGPMEAATLFLKFLLELQEEGWFRGFLDALDHAGYSGLYEAIESWDFKKIEKLEEYRLLLKRLQPEFKTRIIPTDIISDLSECLINQECEEILQICSTKGMMAGAEKLVECLLRSDKENWPKTLKLALEKERNKFSELWIVEKGIKDVETEDLEDKMETSDIQIFYQEDPECQNLSENSCPPSEVSDTNLYSPFKPRNYQLELALPAMKGKNTIICAPTGCGKTFVSLLICEHHLKKFPQGQKGKVVFFANQIPVYEQQKSVFSKYFERHGYRVTGISGATAENVPVEQIVENNDIIILTPQILVNNLKKGTIPSLSIFTLMIFDECHNTSKQHPYNMIMFNYLDQKLGGSSGPLPQVIGLTASVGVGDAKNTDEALDYICKLCASLDASVIATVKHNLEELEQVVYKPQKFFRKVESRISDKFKYIIAQLMRDTESLAKRICKDLENLSQIQNREFGTQKYEQWIVTVQKACMVFQMPDKDEESRICKALFLYTSHLRKYNDALIISEHARMKDALDYLKDFFSNVRAAGFDEIEQDLTQRFEEKLQELESVSRDPSNENPKLEDLCFILQEEYHLNPETITILFVKTRALVDALKNWIEGNPKLSFLKPGILTGRGKTNQNTGMTLPAQKCILDAFKASGDHNILIATSVADEGIDIAQCNLVILYEYVGNVIKMIQTRGRGRARGSKCFLLTSNAGVIEKEQINMYKEKMMNDSILRLQTWDEAVFREKILHIQTHEKFIRDSQEKPKPVPDKENKKLLCRKCKALACYTADVRVIEECHYTVLGDAFKECFVSRPHPKPKQFSSFEKRAKIFCARQNCSHDWGIHVKYKTFEIPVIKIESFVVEDIATGVQTLYSKWKDFHFEKIPFDPAEMSK
+>DECOY_sp|O95786|DDX58_HUMAN Probable ATP-dependent RNA helicase DDX58 OS=Homo sapiens OX=9606 GN=DDX58 PE=1 SV=2
+KSMEAPDFPIKEFHFDKWKSYLTQVGTAIDEVVFSEIKIVPIEFTKYKVHIGWDHSCNQRACFIKARKEFSSFQKPKPHPRSVFCEKFADGLVTYHCEEIVRVDATYCALAKCKRCLLKKNEKDPVPKPKEQSDRIFKEHTQIHLIKERFVAEDWTQLRLISDNMMKEKYMNIQEKEIVGANSTLLFCKSGRARGRGRTQIMKIVNGVYEYLIVLNCQAIDIGEDAVSTAILINHDGSAKFADLICKQAPLTMGTNQNTKGRGTLIGPKLFSLKPNGEIWNKLADVLARTKVFLITITEPNLHYEEQLIFCLDELKPNENSPDRSVSELEQLKEEFRQTLDQEIEDFGAARVNSFFDKLYDLADKMRAHESIILADNYKRLHSTYLFLAKCIRSEEDKDPMQFVMCAKQVTVIWQEYKQTGFERNQIQSLNELDKCIRKALSETDRMLQAIIYKFKDSIRSEVKRFFKQPKYVVQELEELNHKVTAIVSADLSACLKCIYDLAEDTNKADGVGVSATLGIVQPLPGSSGGLKQDLYNFMIMNYPHQKSTNHCEDFIMLTFISLSPITGKKLNNVLIQPTLIIIDNNEVIQEVPVNEATAGSIGTVRYGHREFYKSFVSKQQEYVPIQNAFFVVKGKQGQPFKKLHHECILLSVFTKGCGTPACIITNKGKMAPLALELQYNRPKFPSYLNTDSVESPPCSNESLNQCEPDEQYFIQIDSTEMKDELDETEVDKIGKEVIWLESFKNREKELALKLTKPWNEKDSRLLCEVLKEAGAMMGKTSCIQLIEECEQNILCESLDSIIDTPIIRTKFEPQLRKLLLRYEELKEIKKFDWSEIAEYLGSYGAHDLADLFGRFWGEEQLELLFKLFLTAAEMPGKNNKEAQIYQVEEERFWPAMYSLIYTPDLTKRIYDQFAQLSRRQETTM
+>sp|Q16698|DECR_HUMAN 2,4-dienoyl-CoA reductase, mitochondrial OS=Homo sapiens OX=9606 GN=DECR1 PE=1 SV=1
+MKLPARVFFTLGSRLPCGLAPRRFFSYGTKILYQNTEALQSKFFSPLQKAMLPPNSFQGKVAFITGGGTGLGKGMTTLLSSLGAQCVIASRKMDVLKATAEQISSQTGNKVHAIQCDVRDPDMVQNTVSELIKVAGHPNIVINNAAGNFISPTERLSPNAWKTITDIVLNGTAFVTLEIGKQLIKAQKGAAFLSITTIYAETGSGFVVPSASAKAGVEAMSKSLAAEWGKYGMRFNVIQPGPIKTKGAFSRLDPTGTFEKEMIGRIPCGRLGTVEELANLAAFLCSDYASWINGAVIKFDGGEEVLISGEFNDLRKVTKEQWDTIEELIRKTKGS
+>DECOY_sp|Q16698|DECR_HUMAN 2,4-dienoyl-CoA reductase, mitochondrial OS=Homo sapiens OX=9606 GN=DECR1 PE=1 SV=1
+SGKTKRILEEITDWQEKTVKRLDNFEGSILVEEGGDFKIVAGNIWSAYDSCLFAALNALEEVTGLRGCPIRGIMEKEFTGTPDLRSFAGKTKIPGPQIVNFRMGYKGWEAALSKSMAEVGAKASASPVVFGSGTEAYITTISLFAAGKQAKILQKGIELTVFATGNLVIDTITKWANPSLRETPSIFNGAANNIVINPHGAVKILESVTNQVMDPDRVDCQIAHVKNGTQSSIQEATAKLVDMKRSAIVCQAGLSSLLTTMGKGLGTGGGTIFAVKGQFSNPPLMAKQLPSFFKSQLAETNQYLIKTGYSFFRRPALGCPLRSGLTFFVRAPLKM
+>sp|P59666|DEF3_HUMAN Neutrophil defensin 3 OS=Homo sapiens OX=9606 GN=DEFA3 PE=1 SV=1
+MRTLAILAAILLVALQAQAEPLQARADEVAAAPEQIAADIPEVVVSLAWDESLAPKHPGSRKNMDCYCRIPACIAGERRYGTCIYQGRLWAFCC
+>DECOY_sp|P59666|DEF3_HUMAN Neutrophil defensin 3 OS=Homo sapiens OX=9606 GN=DEFA3 PE=1 SV=1
+CCFAWLRGQYICTGYRREGAICAPIRCYCDMNKRSGPHKPALSEDWALSVVVEPIDAAIQEPAAAVEDARAQLPEAQAQLAVLLIAALIALTRM
+>sp|A2RUS2|DEND3_HUMAN DENN domain-containing protein 3 OS=Homo sapiens OX=9606 GN=DENND3 PE=1 SV=2
+MRSLRKKREKPRPEQWKGLPGPPRAPEPEDVAVPGGVDLLTLPQLCFPGGVCVATEPKEDCVHFLVLTDVCGNRTYGVVAQYYRPLHDEYCFYNGKTHRECPGCFVPFAVCVVSRFPYYNSLKDCLSCLLALLKPCKDFEVDSHIKDFAAKLSLIPSPPPGPLHLVFNMKSLQIVLPARADPESPILDLDLHLPLLCFRPEKVLQILTCILTEQRIVFFSSDWALLTLVTECFMAYLYPLQWQHPFVPILSDQMLDFVMAPTSFLMGCHLDHFEEVSKEADGLVLINIDHGSITYSKSTDDNVDIPDVPLLAAQTFIQRVQSLQLHHELHAAHLLSSTDLKEGRAHRRSWQQKLNCQIQQTTLQLLVSIFRDVKNHLNYEHRVFNSEEFLKTRAPGDHQFYKQVLDTYMFHSFLKARLNRRMDAFAQMDLDTQSEEDRINGMLLSPRRPTVEKRASRKSSHLHVTHRRMVVSMPNLQDIAMPELAPRNSSLRLTDTAGCRGSSAVLNVTPKSPYTFKIPEIHFPLESKCVQAYHAHFVSMLSEAMCFLAPDNSLLLARYLYLRGLVYLMQGQLLNALLDFQNLYKTDIRIFPTDLVKRTVESMSAPEWEGAEQAPELMRLISEILDKPHEASKLDDHVKKFKLPKKHMQLGDFMKRVQESGIVKDASIIHRLFEALTVGQEKQIDPETFKDFYNCWKETEAEAQEVSLPWLVMEHLDKNECVCKLSSSVKTNLGVGKIAMTQKRLFLLTEGRPGYLEISTFRNIEEVRRTTTTFLLRRIPTLKIRVASKKEVFEANLKTECDLWHLMVKEMWAGKKLADDHKDPHYVQQALTNVLLMDAVVGTLQSPGAIYAASKLSYFDKMSNEMPMTLPETTLETLKHKINPSAGEAFPQAVDVLLYTPGHLDPAEKVEDAHPKLWCALSEGKVTVFNASSWTIHQHSFKVGTAKVNCMVMADQNQVWVGSEDSVIYIINVHSMSCNKQLTAHCSSVTDLIVQDGQEAPSNVYSCSMDGMVLVWNVSTLQVTSRFQLPRGGLTSIRLHGGRLWCCTGNSIMVMKMNGSLHQELKIEENFKDTSTSFLAFQLLPEEEQLWAACAGRSEVYIWSLKDLAQPPQRVPLEDCSEINCMIRVKKQVWVGSRGLGQGTPKGKIYVIDAERKTVEKELVAHMDTVRTLCSAEDRYVLSGSGREEGKVAIWKGE
+>DECOY_sp|A2RUS2|DEND3_HUMAN DENN domain-containing protein 3 OS=Homo sapiens OX=9606 GN=DENND3 PE=1 SV=2
+EGKWIAVKGEERGSGSLVYRDEASCLTRVTDMHAVLEKEVTKREADIVYIKGKPTGQGLGRSGVWVQKKVRIMCNIESCDELPVRQPPQALDKLSWIYVESRGACAAWLQEEEPLLQFALFSTSTDKFNEEIKLEQHLSGNMKMVMISNGTCCWLRGGHLRISTLGGRPLQFRSTVQLTSVNWVLVMGDMSCSYVNSPAEQGDQVILDTVSSCHATLQKNCSMSHVNIIYIVSDESGVWVQNQDAMVMCNVKATGVKFSHQHITWSSANFVTVKGESLACWLKPHADEVKEAPDLHGPTYLLVDVAQPFAEGASPNIKHKLTELTTEPLTMPMENSMKDFYSLKSAAYIAGPSQLTGVVADMLLVNTLAQQVYHPDKHDDALKKGAWMEKVMLHWLDCETKLNAEFVEKKSAVRIKLTPIRRLLFTTTTRRVEEINRFTSIELYGPRGETLLFLRKQTMAIKGVGLNTKVSSSLKCVCENKDLHEMVLWPLSVEQAEAETEKWCNYFDKFTEPDIQKEQGVTLAEFLRHIISADKVIGSEQVRKMFDGLQMHKKPLKFKKVHDDLKSAEHPKDLIESILRMLEPAQEAGEWEPASMSEVTRKVLDTPFIRIDTKYLNQFDLLANLLQGQMLYVLGRLYLYRALLLSNDPALFCMAESLMSVFHAHYAQVCKSELPFHIEPIKFTYPSKPTVNLVASSGRCGATDTLRLSSNRPALEPMAIDQLNPMSVVMRRHTVHLHSSKRSARKEVTPRRPSLLMGNIRDEESQTDLDMQAFADMRRNLRAKLFSHFMYTDLVQKYFQHDGPARTKLFEESNFVRHEYNLHNKVDRFISVLLQLTTQQIQCNLKQQWSRRHARGEKLDTSSLLHAAHLEHHLQLSQVRQIFTQAALLPVDPIDVNDDTSKSYTISGHDINILVLGDAEKSVEEFHDLHCGMLFSTPAMVFDLMQDSLIPVFPHQWQLPYLYAMFCETVLTLLAWDSSFFVIRQETLICTLIQLVKEPRFCLLPLHLDLDLIPSEPDARAPLVIQLSKMNFVLHLPGPPPSPILSLKAAFDKIHSDVEFDKCPKLLALLCSLCDKLSNYYPFRSVVCVAFPVFCGPCERHTKGNYFCYEDHLPRYYQAVVGYTRNGCVDTLVLFHVCDEKPETAVCVGGPFCLQPLTLLDVGGPVAVDEPEPARPPGPLGKWQEPRPKERKKRLSRM
+>sp|O94850|DEND_HUMAN Dendrin OS=Homo sapiens OX=9606 GN=DDN PE=1 SV=3
+MLDGPLFSEGPDSPRELQDEESGSCLWVQKSKLLVIEVKTISCHYSRRAPSRQPMDFQASHWARGFQNRTCGPRPGSPQPPPRRPWASRVLQEATNWRAGPLAEVRAREQEKRKAASQEREAKETERKRRKAGGARRSPPGRPRPEPRNAPRVAQLAGLPAPLRPERLAPVGRAPRPSAQPQSDPGSAWAGPWGGRRPGPPSYEAHLLLRGSAGTAPRRRWDRPPPYVAPPSYEGPHRTLGTKRGPGNSQVPTSSAPAATPARTDGGRTKKRLDPRIYRDVLGAWGLRQGQGLLGGSPGCGAARARPEPGKGVVEKSLGLAAADLNSGSDSHPQAKATGSAGTEIAPAGSATAAPCAPHPAPRSRHHLKGSREGKEGEQIWFPKCWIPSPKKQPPRHSQTLPRPWAPGGTGWRESLGLGEGAGPETLEGWKATRRAHTLPRSSQGLSRGEGVFVIDATCVVIRSQYVPTPRTQQVQLLPSGVTRVVGDSPSQSKPGKEEGEGATVFPSPCQKRLSSSRLLHQPGGGRGGEAEGGRPGDSTLEERTFRILGLPAPEVNLRDAPTQPGSPEHQALGPAASGAQGRAEGSEVAVVQRRAGRGWARTPGPYAGALREAVSRIRRHTAPDSDTDEAEELSVHSGSSDGSDTEAPGASWRNERTLPEVGNSSPEEDGKTAELSDSVGEILDVISQTEEVLFGVRDIRGTQQGNRKRQ
+>DECOY_sp|O94850|DEND_HUMAN Dendrin OS=Homo sapiens OX=9606 GN=DDN PE=1 SV=3
+QRKRNGQQTGRIDRVGFLVEETQSIVDLIEGVSDSLEATKGDEEPSSNGVEPLTRENRWSAGPAETDSGDSSGSHVSLEEAEDTDSDPATHRRIRSVAERLAGAYPGPTRAWGRGARRQVVAVESGEARGQAGSAAPGLAQHEPSGPQTPADRLNVEPAPLGLIRFTREELTSDGPRGGEAEGGRGGGPQHLLRSSSLRKQCPSPFVTAGEGEEKGPKSQSPSDGVVRTVGSPLLQVQQTRPTPVYQSRIVVCTADIVFVGEGRSLGQSSRPLTHARRTAKWGELTEPGAGEGLGLSERWGTGGPAWPRPLTQSHRPPQKKPSPIWCKPFWIQEGEKGERSGKLHHRSRPAPHPACPAATASGAPAIETGASGTAKAQPHSDSGSNLDAAALGLSKEVVGKGPEPRARAAGCGPSGGLLGQGQRLGWAGLVDRYIRPDLRKKTRGGDTRAPTAAPASSTPVQSNGPGRKTGLTRHPGEYSPPAVYPPPRDWRRRPATGASGRLLLHAEYSPPGPRRGGWPGAWASGPDSQPQASPRPARGVPALREPRLPAPLGALQAVRPANRPEPRPRGPPSRRAGGAKRRKRETEKAEREQSAAKRKEQERARVEALPGARWNTAEQLVRSAWPRRPPPQPSGPRPGCTRNQFGRAWHSAQFDMPQRSPARRSYHCSITKVEIVLLKSKQVWLCSGSEEDQLERPSDPGESFLPGDLM
+>sp|Q96QD5|DEPD7_HUMAN DEP domain-containing protein 7 OS=Homo sapiens OX=9606 GN=DEPDC7 PE=2 SV=1
+MATVQEKAAALNLSALHSPAHRPPGFSVAQKPFGATYVWSSIINTLQTQVEVKKRRHRLKRHNDCFVGSEAVDVIFSHLIQNKYFGDVDIPRAKVVRVCQALMDYKVFEAVPTKVFGKDKKPTFEDSSCSLYRFTTIPNQDSQLGKENKLYSPARYADALFKSSDIRSASLEDLWENLSLKPANSPHVNISATLSPQVINEVWQEETIGRLLQLVDLPLLDSLLKQQEAVPKIPQPKRQSTMVNSSNYLDRGILKAYSDSQEDEWLSAAIDCLEYLPDQMVVEISRSFPEQPDRTDLVKELLFDAIGRYYSSREPLLNHLSDVHNGIAELLVNGKTEIALEATQLLLKLLDFQNREEFRRLLYFMAVAANPSEFKLQKESDNRMVVKRIFSKAIVDNKNLSKGKTDLLVLFLMDHQKDVFKIPGTLHKIVSVKLMAIQNGRDPNRDAGYIYCQRIDQRDYSNNTEKTTKDELLNLLKTLDEDSKLSAKEKKKLLGQFYKCHPDIFIEHFGD
+>DECOY_sp|Q96QD5|DEPD7_HUMAN DEP domain-containing protein 7 OS=Homo sapiens OX=9606 GN=DEPDC7 PE=2 SV=1
+DGFHEIFIDPHCKYFQGLLKKKEKASLKSDEDLTKLLNLLEDKTTKETNNSYDRQDIRQCYIYGADRNPDRGNQIAMLKVSVIKHLTGPIKFVDKQHDMLFLVLLDTKGKSLNKNDVIAKSFIRKVVMRNDSEKQLKFESPNAAVAMFYLLRRFEERNQFDLLKLLLQTAELAIETKGNVLLEAIGNHVDSLHNLLPERSSYYRGIADFLLEKVLDTRDPQEPFSRSIEVVMQDPLYELCDIAASLWEDEQSDSYAKLIGRDLYNSSNVMTSQRKPQPIKPVAEQQKLLSDLLPLDVLQLLRGITEEQWVENIVQPSLTASINVHPSNAPKLSLNEWLDELSASRIDSSKFLADAYRAPSYLKNEKGLQSDQNPITTFRYLSCSSDEFTPKKDKGFVKTPVAEFVKYDMLAQCVRVVKARPIDVDGFYKNQILHSFIVDVAESGVFCDNHRKLRHRRKKVEVQTQLTNIISSWVYTAGFPKQAVSFGPPRHAPSHLASLNLAAAKEQVTAM
+>sp|P15924|DESP_HUMAN Desmoplakin OS=Homo sapiens OX=9606 GN=DSP PE=1 SV=3
+MSCNGGSHPRINTLGRMIRAESGPDLRYEVTSGGGGTSRMYYSRRGVITDQNSDGYCQTGTMSRHQNQNTIQELLQNCSDCLMRAELIVQPELKYGDGIQLTRSRELDECFAQANDQMEILDSLIREMRQMGQPCDAYQKRLLQLQEQMRALYKAISVPRVRRASSKGGGGYTCQSGSGWDEFTKHVTSECLGWMRQQRAEMDMVAWGVDLASVEQHINSHRGIHNSIGDYRWQLDKIKADLREKSAIYQLEEEYENLLKASFERMDHLRQLQNIIQATSREIMWINDCEEEELLYDWSDKNTNIAQKQEAFSIRMSQLEVKEKELNKLKQESDQLVLNQHPASDKIEAYMDTLQTQWSWILQITKCIDVHLKENAAYFQFFEEAQSTEAYLKGLQDSIRKKYPCDKNMPLQHLLEQIKELEKEREKILEYKRQVQNLVNKSKKIVQLKPRNPDYRSNKPIILRALCDYKQDQKIVHKGDECILKDNNERSKWYVTGPGGVDMLVPSVGLIIPPPNPLAVDLSCKIEQYYEAILALWNQLYINMKSLVSWHYCMIDIEKIRAMTIAKLKTMRQEDYMKTIADLELHYQEFIRNSQGSEMFGDDDKRKIQSQFTDAQKHYQTLVIQLPGYPQHQTVTTTEITHHGTCQDVNHNKVIETNRENDKQETWMLMELQKIRRQIEHCEGRMTLKNLPLADQGSSHHITVKINELKSVQNDSQAIAEVLNQLKDMLANFRGSEKYCYLQNEVFGLFQKLENINGVTDGYLNSLCTVRALLQAILQTEDMLKVYEARLTEEETVCLDLDKVEAYRCGLKKIKNDLNLKKSLLATMKTELQKAQQIHSQTSQQYPLYDLDLGKFGEKVTQLTDRWQRIDKQIDFRLWDLEKQIKQLRNYRDNYQAFCKWLYDAKRRQDSLESMKFGDSNTVMRFLNEQKNLHSEISGKRDKSEEVQKIAELCANSIKDYELQLASYTSGLETLLNIPIKRTMIQSPSGVILQEAADVHARYIELLTRSGDYYRFLSEMLKSLEDLKLKNTKIEVLEEELRLARDANSENCNKNKFLDQNLQKYQAECSQFKAKLASLEELKRQAELDGKSAKQNLDKCYGQIKELNEKITRLTYEIEDEKRRRKSVEDRFDQQKNDYDQLQKARQCEKENLGWQKLESEKAIKEKEYEIERLRVLLQEEGTRKREYENELAKVRNHYNEEMSNLRNKYETEINITKTTIKEISMQKEDDSKNLRNQLDRLSRENRDLKDEIVRLNDSILQATEQRRRAEENALQQKACGSEIMQKKQHLEIELKQVMQQRSEDNARHKQSLEEAAKTIQDKNKEIERLKAEFQEEAKRRWEYENELSKVRNNYDEEIISLKNQFETEINITKTTIHQLTMQKEEDTSGYRAQIDNLTRENRSLSEEIKRLKNTLTQTTENLRRVEEDIQQQKATGSEVSQRKQQLEVELRQVTQMRTEESVRYKQSLDDAAKTIQDKNKEIERLKQLIDKETNDRKCLEDENARLQRVQYDLQKANSSATETINKLKVQEQELTRLRIDYERVSQERTVKDQDITRFQNSLKELQLQKQKVEEELNRLKRTASEDSCKRKKLEEELEGMRRSLKEQAIKITNLTQQLEQASIVKKRSEDDLRQQRDVLDGHLREKQRTQEELRRLSSEVEALRRQLLQEQESVKQAHLRNEHFQKAIEDKSRSLNESKIEIERLQSLTENLTKEHLMLEEELRNLRLEYDDLRRGRSEADSDKNATILELRSQLQISNNRTLELQGLINDLQRERENLRQEIEKFQKQALEASNRIQESKNQCTQVVQERESLLVKIKVLEQDKARLQRLEDELNRAKSTLEAETRVKQRLECEKQQIQNDLNQWKTQYSRKEEAIRKIESEREKSEREKNSLRSEIERLQAEIKRIEERCRRKLEDSTRETQSQLETERSRYQREIDKLRQRPYGSHRETQTECEWTVDTSKLVFDGLRKKVTAMQLYECQLIDKTTLDKLLKGKKSVEEVASEIQPFLRGAGSIAGASASPKEKYSLVEAKRKKLISPESTVMLLEAQAATGGIIDPHRNEKLTVDSAIARDLIDFDDRQQIYAAEKAITGFDDPFSGKTVSVSEAIKKNLIDRETGMRLLEAQIASGGVVDPVNSVFLPKDVALARGLIDRDLYRSLNDPRDSQKNFVDPVTKKKVSYVQLKERCRIEPHTGLLLLSVQKRSMSFQGIRQPVTVTELVDSGILRPSTVNELESGQISYDEVGERIKDFLQGSSCIAGIYNETTKQKLGIYEAMKIGLVRPGTALELLEAQAATGFIVDPVSNLRLPVEEAYKRGLVGIEFKEKLLSAERAVTGYNDPETGNIISLFQAMNKELIEKGHGIRLLEAQIATGGIIDPKESHRLPVDIAYKRGYFNEELSEILSDPSDDTKGFFDPNTEENLTYLQLKERCIKDEETGLCLLPLKEKKKQVQTSQKNTLRKRRVVIVDPETNKEMSVQEAYKKGLIDYETFKELCEQECEWEEITITGSDGSTRVVLVDRKTGSQYDIQDAIDKGLVDRKFFDQYRSGSLSLTQFADMISLKNGVGTSSSMGSGVSDDVFSSSRHESVSKISTISSVRNLTIRSSSFSDTLEESSPIAAIFDTENLEKISITEGIERGIVDSITGQRLLEAQACTGGIIHPTTGQKLSLQDAVSQGVIDQDMATRLKPAQKAFIGFEGVKGKKKMSAAEAVKEKWLPYEAGQRFLEFQYLTGGLVDPEVHGRISTEEAIRKGFIDGRAAQRLQDTSSYAKILTCPKTKLKISYKDAINRSMVEDITGLRLLEAASVSSKGLPSPYNMSSAPGSRSGSRSGSRSGSRSGSRSGSRRGSFDATGNSSYSYSYSFSSSSIGH
+>DECOY_sp|P15924|DESP_HUMAN Desmoplakin OS=Homo sapiens OX=9606 GN=DSP PE=1 SV=3
+HGISSSSFSYSYSYSSNGTADFSGRRSGSRSGSRSGSRSGSRSGSRSGPASSMNYPSPLGKSSVSAAELLRLGTIDEVMSRNIADKYSIKLKTKPCTLIKAYSSTDQLRQAARGDIFGKRIAEETSIRGHVEPDVLGGTLYQFELFRQGAEYPLWKEKVAEAASMKKKGKVGEFGIFAKQAPKLRTAMDQDIVGQSVADQLSLKQGTTPHIIGGTCAQAELLRQGTISDVIGREIGETISIKELNETDFIAAIPSSEELTDSFSSSRITLNRVSSITSIKSVSEHRSSSFVDDSVGSGMSSSTGVGNKLSIMDAFQTLSLSGSRYQDFFKRDVLGKDIADQIDYQSGTKRDVLVVRTSGDSGTITIEEWECEQECLEKFTEYDILGKKYAEQVSMEKNTEPDVIVVRRKRLTNKQSTQVQKKKEKLPLLCLGTEEDKICREKLQLYTLNEETNPDFFGKTDDSPDSLIESLEENFYGRKYAIDVPLRHSEKPDIIGGTAIQAELLRIGHGKEILEKNMAQFLSIINGTEPDNYGTVAREASLLKEKFEIGVLGRKYAEEVPLRLNSVPDVIFGTAAQAELLELATGPRVLGIKMAEYIGLKQKTTENYIGAICSSGQLFDKIREGVEDYSIQGSELENVTSPRLIGSDVLETVTVPQRIGQFSMSRKQVSLLLLGTHPEIRCREKLQVYSVKKKTVPDVFNKQSDRPDNLSRYLDRDILGRALAVDKPLFVSNVPDVVGGSAIQAELLRMGTERDILNKKIAESVSVTKGSFPDDFGTIAKEAAYIQQRDDFDILDRAIASDVTLKENRHPDIIGGTAAQAELLMVTSEPSILKKRKAEVLSYKEKPSASAGAISGAGRLFPQIESAVEEVSKKGKLLKDLTTKDILQCEYLQMATVKKRLGDFVLKSTDVTWECETQTERHSGYPRQRLKDIERQYRSRETELQSQTERTSDELKRRCREEIRKIEAQLREIESRLSNKERESKERESEIKRIAEEKRSYQTKWQNLDNQIQQKECELRQKVRTEAELTSKARNLEDELRQLRAKDQELVKIKVLLSEREQVVQTCQNKSEQIRNSAELAQKQFKEIEQRLNERERQLDNILGQLELTRNNSIQLQSRLELITANKDSDAESRGRRLDDYELRLNRLEEELMLHEKTLNETLSQLREIEIKSENLSRSKDEIAKQFHENRLHAQKVSEQEQLLQRRLAEVESSLRRLEEQTRQKERLHGDLVDRQQRLDDESRKKVISAQELQQTLNTIKIAQEKLSRRMGELEEELKKRKCSDESATRKLRNLEEEVKQKQLQLEKLSNQFRTIDQDKVTREQSVREYDIRLRTLEQEQVKLKNITETASSNAKQLDYQVRQLRANEDELCKRDNTEKDILQKLREIEKNKDQITKAADDLSQKYRVSEETRMQTVQRLEVELQQKRQSVESGTAKQQQIDEEVRRLNETTQTLTNKLRKIEESLSRNERTLNDIQARYGSTDEEKQMTLQHITTKTINIETEFQNKLSIIEEDYNNRVKSLENEYEWRRKAEEQFEAKLREIEKNKDQITKAAEELSQKHRANDESRQQMVQKLEIELHQKKQMIESGCAKQQLANEEARRRQETAQLISDNLRVIEDKLDRNERSLRDLQNRLNKSDDEKQMSIEKITTKTINIETEYKNRLNSMEENYHNRVKALENEYERKRTGEEQLLVRLREIEYEKEKIAKESELKQWGLNEKECQRAKQLQDYDNKQQDFRDEVSKRRRKEDEIEYTLRTIKENLEKIQGYCKDLNQKASKGDLEAQRKLEELSALKAKFQSCEAQYKQLNQDLFKNKNCNESNADRALRLEEELVEIKTNKLKLDELSKLMESLFRYYDGSRTLLEIYRAHVDAAEQLIVGSPSQIMTRKIPINLLTELGSTYSALQLEYDKISNACLEAIKQVEESKDRKGSIESHLNKQENLFRMVTNSDGFKMSELSDQRRKADYLWKCFAQYNDRYNRLQKIQKELDWLRFDIQKDIRQWRDTLQTVKEGFKGLDLDYLPYQQSTQSHIQQAKQLETKMTALLSKKLNLDNKIKKLGCRYAEVKDLDLCVTEEETLRAEYVKLMDETQLIAQLLARVTCLSNLYGDTVGNINELKQFLGFVENQLYCYKESGRFNALMDKLQNLVEAIAQSDNQVSKLENIKVTIHHSSGQDALPLNKLTMRGECHEIQRRIKQLEMLMWTEQKDNERNTEIVKNHNVDQCTGHHTIETTTVTQHQPYGPLQIVLTQYHKQADTFQSQIKRKDDDGFMESGQSNRIFEQYHLELDAITKMYDEQRMTKLKAITMARIKEIDIMCYHWSVLSKMNIYLQNWLALIAEYYQEIKCSLDVALPNPPPIILGVSPVLMDVGGPGTVYWKSRENNDKLICEDGKHVIKQDQKYDCLARLIIPKNSRYDPNRPKLQVIKKSKNVLNQVQRKYELIKEREKELEKIQELLHQLPMNKDCPYKKRISDQLGKLYAETSQAEEFFQFYAANEKLHVDICKTIQLIWSWQTQLTDMYAEIKDSAPHQNLVLQDSEQKLKNLEKEKVELQSMRISFAEQKQAINTNKDSWDYLLEEEECDNIWMIERSTAQIINQLQRLHDMREFSAKLLNEYEEELQYIASKERLDAKIKDLQWRYDGISNHIGRHSNIHQEVSALDVGWAVMDMEARQQRMWGLCESTVHKTFEDWGSGSQCTYGGGGKSSARRVRPVSIAKYLARMQEQLQLLRKQYADCPQGMQRMERILSDLIEMQDNAQAFCEDLERSRTLQIGDGYKLEPQVILEARMLCDSCNQLLEQITNQNQHRSMTGTQCYGDSNQDTIVGRRSYYMRSTGGGGSTVEYRLDPGSEARIMRGLTNIRPHSGGNCSM
+>sp|O15263|DFB4A_HUMAN Beta-defensin 4A OS=Homo sapiens OX=9606 GN=DEFB4A PE=1 SV=1
+MRVLYLLFSFLFIFLMPLPGVFGGIGDPVTCLKSGAICHPVFCPRRYKQIGTCGLPGTKCCKKP
+>DECOY_sp|O15263|DFB4A_HUMAN Beta-defensin 4A OS=Homo sapiens OX=9606 GN=DEFB4A PE=1 SV=1
+PKKCCKTGPLGCTGIQKYRRPCFVPHCIAGSKLCTVPDGIGGFVGPLPMLFIFLFSFLLYLVRM
+>sp|Q6ZPD8|DG2L6_HUMAN Diacylglycerol O-acyltransferase 2-like protein 6 OS=Homo sapiens OX=9606 GN=DGAT2L6 PE=2 SV=1
+MAFFSRLNLQEGLQTFFVLQWIPVYIFLGAIPILLIPYFLLFSKFWPLAVLSLAWLTYDWNTHSQGGRRSAWVRNWTLWKYFRNYFPVKLVKTHDLSPKHNYIIANHPHGILSFGVFINFATEATGIARIFPSITPFVGTLERIFWIPIVREYVMSMGVCPVSSSALKYLLTQKGSGNAVVIVVGGAAEALLCRPGASTLFLKQRKGFVKMALQTGAYLVPSYSFGENEVFNQETFPEGTWLRLFQKTFQDTFKKILGLNFCTFHGRGFTRGSWGFLPFNRPITTVVGEPLPIPRIKRPNQKTVDKYHALYISALRKLFDQHKVEYGLPETQELTIT
+>DECOY_sp|Q6ZPD8|DG2L6_HUMAN Diacylglycerol O-acyltransferase 2-like protein 6 OS=Homo sapiens OX=9606 GN=DGAT2L6 PE=2 SV=1
+TITLEQTEPLGYEVKHQDFLKRLASIYLAHYKDVTKQNPRKIRPIPLPEGVVTTIPRNFPLFGWSGRTFGRGHFTCFNLGLIKKFTDQFTKQFLRLWTGEPFTEQNFVENEGFSYSPVLYAGTQLAMKVFGKRQKLFLTSAGPRCLLAEAAGGVVIVVANGSGKQTLLYKLASSSVPCVGMSMVYERVIPIWFIRELTGVFPTISPFIRAIGTAETAFNIFVGFSLIGHPHNAIIYNHKPSLDHTKVLKVPFYNRFYKWLTWNRVWASRRGGQSHTNWDYTLWALSLVALPWFKSFLLFYPILLIPIAGLFIYVPIWQLVFFTQLGEQLNLRSFFAM
+>sp|Q96PD7|DGAT2_HUMAN Diacylglycerol O-acyltransferase 2 OS=Homo sapiens OX=9606 GN=DGAT2 PE=1 SV=2
+MKTLIAAYSGVLRGERQAEADRSQRSHGGPALSREGSGRWGTGSSILSALQDLFSVTWLNRSKVEKQLQVISVLQWVLSFLVLGVACSAILMYIFCTDCWLIAVLYFTWLVFDWNTPKKGGRRSQWVRNWAVWRYFRDYFPIQLVKTHNLLTTRNYIFGYHPHGIMGLGAFCNFSTEATEVSKKFPGIRPYLATLAGNFRMPVLREYLMSGGICPVSRDTIDYLLSKNGSGNAIIIVVGGAAESLSSMPGKNAVTLRNRKGFVKLALRHGADLVPIYSFGENEVYKQVIFEEGSWGRWVQKKFQKYIGFAPCIFHGRGLFSSDTWGLVPYSKPITTVVGEPITIPKLEHPTQQDIDLYHTMYMEALVKLFDKHKTKFGLPETEVLEVN
+>DECOY_sp|Q96PD7|DGAT2_HUMAN Diacylglycerol O-acyltransferase 2 OS=Homo sapiens OX=9606 GN=DGAT2 PE=1 SV=2
+NVELVETEPLGFKTKHKDFLKVLAEMYMTHYLDIDQQTPHELKPITIPEGVVTTIPKSYPVLGWTDSSFLGRGHFICPAFGIYKQFKKQVWRGWSGEEFIVQKYVENEGFSYIPVLDAGHRLALKVFGKRNRLTVANKGPMSSLSEAAGGVVIIIANGSGNKSLLYDITDRSVPCIGGSMLYERLVPMRFNGALTALYPRIGPFKKSVETAETSFNCFAGLGMIGHPHYGFIYNRTTLLNHTKVLQIPFYDRFYRWVAWNRVWQSRRGGKKPTNWDFVLWTFYLVAILWCDTCFIYMLIASCAVGLVLFSLVWQLVSIVQLQKEVKSRNLWTVSFLDQLASLISSGTGWRGSGERSLAPGGHSRQSRDAEAQREGRLVGSYAAILTKM
+>sp|O75912|DGKI_HUMAN Diacylglycerol kinase iota OS=Homo sapiens OX=9606 GN=DGKI PE=1 SV=1
+MDAAGRGCHLLPLPAARGPARAPAAAAAAAASPPGPCSGAACAPSAAAGAGAMNPSSSAGEEKGATGGSSSSGSGAGSCCLGAEGGADPRGAGSAAAAGAAALDEPAAAGQKEKDEALEEKLRNLTFRKQVSYRKAISRAGLQHLAPAHPLSLPVANGPAKEPRATLDWSENAVNGEHLWLETNVSGDLCYLGEENCQVRFAKSALRRKCAVCKIVVHTACIEQLEKINFRCKPTFREGGSRSPRENFVRHHWVHRRRQEGKCKQCGKGFQQKFSFHSKEIVAISCSWCKQAFHNKVTCFMLHHIEEPCSLGAHAAVIVPPTWIIKVKKPQNSLKASNRKKKRTSFKRKASKRGMEQENKGRPFVIKPISSPLMKPLLVFVNPKSGGNQGTKVLQMFMWYLNPRQVFDLSQEGPKDALELYRKVPNLRILACGGDGTVGWILSILDELQLSPQPPVGVLPLGTGNDLARTLNWGGGYTDEPVSKILCQVEDGTVVQLDRWNLHVERNPDLPPEELEDGVCKLPLNVFNNYFSLGFDAHVTLEFHESREANPEKFNSRFRNKMFYAGAAFSDFLQRSSRDLSKHVKVVCDGTDLTPKIQELKFQCIVFLNIPRYCAGTMPWGNPGDHHDFEPQRHDDGYIEVIGFTMASLAALQVGGHGERLHQCREVMLLTYKSIPMQVDGEPCRLAPAMIRISLRNQANMVQKSKRRTSMPLLNDPQSVPDRLRIRVNKISLQDYEGFHYDKEKLREASISDWLRTIAGELVQSFGAIPLGILVVRGDCDLETCRMYIDRLQEDLQSVSSGSQRVHYQDHETSFPRALSAQRLSPRWCFLDDRSQEHLHFVMEISQDEIFILDPDMVVSQPAGTPPGMPDLVVEQASGISDWWNPALRKRMLSDSGLGMIAPYYEDSDLKDLSHSRVLQSPVSSEDHAILQAVIAGDLMKLIESYKNGGSLLIQGPDHCSLLHYAAKTGNGEIVKYILDHGPSELLDMADSETGETALHKAACQRNRAVCQLLVDAGASLRKTDSKGKTPQERAQQAGDPDLAAYLESRQNYKVIGHEDLETAV
+>DECOY_sp|O75912|DGKI_HUMAN Diacylglycerol kinase iota OS=Homo sapiens OX=9606 GN=DGKI PE=1 SV=1
+VATELDEHGIVKYNQRSELYAALDPDGAQQAREQPTKGKSDTKRLSAGADVLLQCVARNRQCAAKHLATEGTESDAMDLLESPGHDLIYKVIEGNGTKAAYHLLSCHDPGQILLSGGNKYSEILKMLDGAIVAQLIAHDESSVPSQLVRSHSLDKLDSDEYYPAIMGLGSDSLMRKRLAPNWWDSIGSAQEVVLDPMGPPTGAPQSVVMDPDLIFIEDQSIEMVFHLHEQSRDDLFCWRPSLRQASLARPFSTEHDQYHVRQSGSSVSQLDEQLRDIYMRCTELDCDGRVVLIGLPIAGFSQVLEGAITRLWDSISAERLKEKDYHFGEYDQLSIKNVRIRLRDPVSQPDNLLPMSTRRKSKQVMNAQNRLSIRIMAPALRCPEGDVQMPISKYTLLMVERCQHLREGHGGVQLAALSAMTFGIVEIYGDDHRQPEFDHHDGPNGWPMTGACYRPINLFVICQFKLEQIKPTLDTGDCVVKVHKSLDRSSRQLFDSFAAGAYFMKNRFRSNFKEPNAERSEHFELTVHADFGLSFYNNFVNLPLKCVGDELEEPPLDPNREVHLNWRDLQVVTGDEVQCLIKSVPEDTYGGGWNLTRALDNGTGLPLVGVPPQPSLQLEDLISLIWGVTGDGGCALIRLNPVKRYLELADKPGEQSLDFVQRPNLYWMFMQLVKTGQNGGSKPNVFVLLPKMLPSSIPKIVFPRGKNEQEMGRKSAKRKFSTRKKKRNSAKLSNQPKKVKIIWTPPVIVAAHAGLSCPEEIHHLMFCTVKNHFAQKCWSCSIAVIEKSHFSFKQQFGKGCQKCKGEQRRRHVWHHRVFNERPSRSGGERFTPKCRFNIKELQEICATHVVIKCVACKRRLASKAFRVQCNEEGLYCLDGSVNTELWLHEGNVANESWDLTARPEKAPGNAVPLSLPHAPALHQLGARSIAKRYSVQKRFTLNRLKEELAEDKEKQGAAAPEDLAAAGAAAASGAGRPDAGGEAGLCCSGAGSGSSSSGGTAGKEEGASSSPNMAGAGAAASPACAAGSCPGPPSAAAAAAAAPARAPGRAAPLPLLHCGRGAADM
+>sp|Q8NBQ5|DHB11_HUMAN Estradiol 17-beta-dehydrogenase 11 OS=Homo sapiens OX=9606 GN=HSD17B11 PE=1 SV=3
+MKFLLDILLLLPLLIVCSLESFVKLFIPKRRKSVTGEIVLITGAGHGIGRLTAYEFAKLKSKLVLWDINKHGLEETAAKCKGLGAKVHTFVVDCSNREDIYSSAKKVKAEIGDVSILVNNAGVVYTSDLFATQDPQIEKTFEVNVLAHFWTTKAFLPAMTKNNHGHIVTVASAAGHVSVPFLLAYCSSKFAAVGFHKTLTDELAALQITGVKTTCLCPNFVNTGFIKNPSTSLGPTLEPEEVVNRLMHGILTEQKMIFIPSSIAFLTTLERILPERFLAVLKQKISVKFDAVIGYKMKAQ
+>DECOY_sp|Q8NBQ5|DHB11_HUMAN Estradiol 17-beta-dehydrogenase 11 OS=Homo sapiens OX=9606 GN=HSD17B11 PE=1 SV=3
+QAKMKYGIVADFKVSIKQKLVALFREPLIRELTTLFAISSPIFIMKQETLIGHMLRNVVEEPELTPGLSTSPNKIFGTNVFNPCLCTTKVGTIQLAALEDTLTKHFGVAAFKSSCYALLFPVSVHGAASAVTVIHGHNNKTMAPLFAKTTWFHALVNVEFTKEIQPDQTAFLDSTYVVGANNVLISVDGIEAKVKKASSYIDERNSCDVVFTHVKAGLGKCKAATEELGHKNIDWLVLKSKLKAFEYATLRGIGHGAGTILVIEGTVSKRRKPIFLKVFSELSCVILLPLLLLIDLLFKM
+>sp|Q9UBM7|DHCR7_HUMAN 7-dehydrocholesterol reductase OS=Homo sapiens OX=9606 GN=DHCR7 PE=1 SV=1
+MAAKSQPNIPKAKSLDGVTNDRTASQGQWGRAWEVDWFSLASVIFLLLFAPFIVYYFIMACDQYSCALTGPVVDIVTGHARLSDIWAKTPPITRKAAQLYTLWVTFQVLLYTSLPDFCHKFLPGYVGGIQEGAVTPAGVVNKYQINGLQAWLLTHLLWFANAHLLSWFSPTIIFDNWIPLLWCANILGYAVSTFAMVKGYFFPTSARDCKFTGNFFYNYMMGIEFNPRIGKWFDFKLFFNGRPGIVAWTLINLSFAAKQRELHSHVTNAMVLVNVLQAIYVIDFFWNETWYLKTIDICHDHFGWYLGWGDCVWLPYLYTLQGLYLVYHPVQLSTPHAVGVLLLGLVGYYIFRVANHQKDLFRRTDGRCLIWGRKPKVIECSYTSADGQRHHSKLLVSGFWGVARHFNYVGDLMGSLAYCLACGGGHLLPYFYIIYMAILLTHRCLRDEHRCASKYGRDWERYTAAVPYRLLPGIF
+>DECOY_sp|Q9UBM7|DHCR7_HUMAN 7-dehydrocholesterol reductase OS=Homo sapiens OX=9606 GN=DHCR7 PE=1 SV=1
+FIGPLLRYPVAATYREWDRGYKSACRHEDRLCRHTLLIAMYIIYFYPLLHGGGCALCYALSGMLDGVYNFHRAVGWFGSVLLKSHHRQGDASTYSCEIVKPKRGWILCRGDTRRFLDKQHNAVRFIYYGVLGLLLVGVAHPTSLQVPHYVLYLGQLTYLYPLWVCDGWGLYWGFHDHCIDITKLYWTENWFFDIVYIAQLVNVLVMANTVHSHLERQKAAFSLNILTWAVIGPRGNFFLKFDFWKGIRPNFEIGMMYNYFFNGTFKCDRASTPFFYGKVMAFTSVAYGLINACWLLPIWNDFIITPSFWSLLHANAFWLLHTLLWAQLGNIQYKNVVGAPTVAGEQIGGVYGPLFKHCFDPLSTYLLVQFTVWLTYLQAAKRTIPPTKAWIDSLRAHGTVIDVVPGTLACSYQDCAMIFYYVIFPAFLLLFIVSALSFWDVEWARGWQGQSATRDNTVGDLSKAKPINPQSKAAM
+>sp|Q9Y394|DHRS7_HUMAN Dehydrogenase/reductase SDR family member 7 OS=Homo sapiens OX=9606 GN=DHRS7 PE=1 SV=1
+MNWELLLWLLVLCALLLLLVQLLRFLRADGDLTLLWAEWQGRRPEWELTDMVVWVTGASSGIGEELAYQLSKLGVSLVLSARRVHELERVKRRCLENGNLKEKDILVLPLDLTDTGSHEAATKAVLQEFGRIDILVNNGGMSQRSLCMDTSLDVYRKLIELNYLGTVSLTKCVLPHMIERKQGKIVTVNSILGIISVPLSIGYCASKHALRGFFNGLRTELATYPGIIVSNICPGPVQSNIVENSLAGEVTKTIGNNGDQSHKMTTSRCVRLMLISMANDLKEVWISEQPFLLVTYLWQYMPTWAWWITNKMGKKRIENFKSGVDADSSYFKIFKTKHD
+>DECOY_sp|Q9Y394|DHRS7_HUMAN Dehydrogenase/reductase SDR family member 7 OS=Homo sapiens OX=9606 GN=DHRS7 PE=1 SV=1
+DHKTKFIKFYSSDADVGSKFNEIRKKGMKNTIWWAWTPMYQWLYTVLLFPQESIWVEKLDNAMSILMLRVCRSTTMKHSQDGNNGITKTVEGALSNEVINSQVPGPCINSVIIGPYTALETRLGNFFGRLAHKSACYGISLPVSIIGLISNVTVIKGQKREIMHPLVCKTLSVTGLYNLEILKRYVDLSTDMCLSRQSMGGNNVLIDIRGFEQLVAKTAAEHSGTDTLDLPLVLIDKEKLNGNELCRRKVRELEHVRRASLVLSVGLKSLQYALEEGIGSSAGTVWVVMDTLEWEPRRGQWEAWLLTLDGDARLFRLLQVLLLLLACLVLLWLLLEWNM
+>sp|Q00796|DHSO_HUMAN Sorbitol dehydrogenase OS=Homo sapiens OX=9606 GN=SORD PE=1 SV=4
+MAAAAKPNNLSLVVHGPGDLRLENYPIPEPGPNEVLLRMHSVGICGSDVHYWEYGRIGNFIVKKPMVLGHEASGTVEKVGSSVKHLKPGDRVAIEPGAPRENDEFCKMGRYNLSPSIFFCATPPDDGNLCRFYKHNAAFCYKLPDNVTFEEGALIEPLSVGIHACRRGGVTLGHKVLVCGAGPIGMVTLLVAKAMGAAQVVVTDLSATRLSKAKEIGADLVLQISKESPQEIARKVEGQLGCKPEVTIECTGAEASIQAGIYATRSGGNLVLVGLGSEMTTVPLLHAAIREVDIKGVFRYCNTWPVAISMLASKSVNVKPLVTHRFPLEKALEAFETFKKGLGLKIMLKCDPSDQNP
+>DECOY_sp|Q00796|DHSO_HUMAN Sorbitol dehydrogenase OS=Homo sapiens OX=9606 GN=SORD PE=1 SV=4
+PNQDSPDCKLMIKLGLGKKFTEFAELAKELPFRHTVLPKVNVSKSALMSIAVPWTNCYRFVGKIDVERIAAHLLPVTTMESGLGVLVLNGGSRTAYIGAQISAEAGTCEITVEPKCGLQGEVKRAIEQPSEKSIQLVLDAGIEKAKSLRTASLDTVVVQAAGMAKAVLLTVMGIPGAGCVLVKHGLTVGGRRCAHIGVSLPEILAGEEFTVNDPLKYCFAANHKYFRCLNGDDPPTACFFISPSLNYRGMKCFEDNERPAGPEIAVRDGPKLHKVSSGVKEVTGSAEHGLVMPKKVIFNGIRGYEWYHVDSGCIGVSHMRLLVENPGPEPIPYNELRLDGPGHVVLSLNNPKAAAAM
+>sp|Q7L2E3|DHX30_HUMAN Putative ATP-dependent RNA helicase DHX30 OS=Homo sapiens OX=9606 GN=DHX30 PE=1 SV=1
+MFSLDSFRKDRAQHRQRQCKLPPPRLPPMCVNPTPGGTISRASRDLLKEFPQPKNLLNSVIGRALGISHAKDKLVYVHTNGPKKKKVTLHIKWPKSVEVEGYGSKKIDAERQAAAAACQLFKGWGLLGPRNELFDAAKYRVLADRFGSPADSWWRPEPTMPPTSWRQLNPESIRPGGPGGLSRSLGREEEEDEEEELEEGTIDVTDFLSMTQQDSHAPLRDSRGSSFEMTDDDSAIRALTQFPLPKNLLAKVIQIATSSSTAKNLMQFHTVGTKTKLSTLTLLWPCPMTFVAKGRRKAEAENKAAALACKKLKSLGLVDRNNEPLTHAMYNLASLRELGETQRRPCTIQVPEPILRKIETFLNHYPVESSWIAPELRLQSDDILPLGKDSGPLSDPITGKPYVPLLEAEEVRLSQSLLELWRRRGPVWQEAPQLPVDPHRDTILNAIEQHPVVVISGDTGCGKTTRIPQLLLERYVTEGRGARCNVIITQPRRISAVSVAQRVSHELGPSLRRNVGFQVRLESKPPSRGGALLFCTVGILLRKLQSNPSLEGVSHVIVDEVHERDVNTDFLLILLKGLQRLNPALRLVLMSATGDNERFSRYFGGCPVIKVPGFMYPVKEHYLEDILAKLGKHQYLHRHRHHESEDECALDLDLVTDLVLHIDARGEPGGILCFLPGWQEIKGVQQRLQEALGMHESKYLILPVHSNIPMMDQKAIFQQPPVGVRKIVLATNIAETSITINDIVHVVDSGLHKEERYDLKTKVSCLETVWVSRANVIQRRGRAGRCQSGFAYHLFPRSRLEKMVPFQVPEILRTPLENLVLQAKIHMPEKTAVEFLSKAVDSPNIKAVDEAVILLQEIGVLDQREYLTTLGQRLAHISTDPRLAKAIVLAAIFRCLHPLLVVVSCLTRDPFSSSLQNRAEVDKVKALLSHDSGSDHLAFVRAVAGWEEVLRWQDRSSRENYLEENLLYAPSLRFIHGLIKQFSENIYEAFLVGKPSDCTLASAQCNEYSEEEELVKGVLMAGLYPNLIQVRQGKVTRQGKFKPNSVTYRTKSGNILLHKSTINREATRLRSRWLTYFMAVKSNGSVFVRDSSQVHPLAVLLLTDGDVHIRDDGRRATISLSDSDLLRLEGDSRTVRLLKELRRALGRMVERSLRSELAALPPSVQEEHGQLLALLAELLRGPCGSFDVRKTADD
+>DECOY_sp|Q7L2E3|DHX30_HUMAN Putative ATP-dependent RNA helicase DHX30 OS=Homo sapiens OX=9606 GN=DHX30 PE=1 SV=1
+DDATKRVDFSGCPGRLLEALLALLQGHEEQVSPPLAALESRLSREVMRGLARRLEKLLRVTRSDGELRLLDSDSLSITARRGDDRIHVDGDTLLLVALPHVQSSDRVFVSGNSKVAMFYTLWRSRLRTAERNITSKHLLINGSKTRYTVSNPKFKGQRTVKGQRVQILNPYLGAMLVGKVLEEEESYENCQASALTCDSPKGVLFAEYINESFQKILGHIFRLSPAYLLNEELYNERSSRDQWRLVEEWGAVARVFALHDSGSDHSLLAKVKDVEARNQLSSSFPDRTLCSVVVLLPHLCRFIAALVIAKALRPDTSIHALRQGLTTLYERQDLVGIEQLLIVAEDVAKINPSDVAKSLFEVATKEPMHIKAQLVLNELPTRLIEPVQFPVMKELRSRPFLHYAFGSQCRGARGRRQIVNARSVWVTELCSVKTKLDYREEKHLGSDVVHVIDNITISTEAINTALVIKRVGVPPQQFIAKQDMMPINSHVPLILYKSEHMGLAEQLRQQVGKIEQWGPLFCLIGGPEGRADIHLVLDTVLDLDLACEDESEHHRHRHLYQHKGLKALIDELYHEKVPYMFGPVKIVPCGGFYRSFRENDGTASMLVLRLAPNLRQLGKLLILLFDTNVDREHVEDVIVHSVGELSPNSQLKRLLIGVTCFLLAGGRSPPKSELRVQFGVNRRLSPGLEHSVRQAVSVASIRRPQTIIVNCRAGRGETVYRELLLQPIRTTKGCGTDGSIVVVPHQEIANLITDRHPDVPLQPAEQWVPGRRRWLELLSQSLRVEEAELLPVYPKGTIPDSLPGSDKGLPLIDDSQLRLEPAIWSSEVPYHNLFTEIKRLIPEPVQITCPRRQTEGLERLSALNYMAHTLPENNRDVLGLSKLKKCALAAAKNEAEAKRRGKAVFTMPCPWLLTLTSLKTKTGVTHFQMLNKATSSSTAIQIVKALLNKPLPFQTLARIASDDDTMEFSSGRSDRLPAHSDQQTMSLFDTVDITGEELEEEEDEEEERGLSRSLGGPGGPRISEPNLQRWSTPPMTPEPRWWSDAPSGFRDALVRYKAADFLENRPGLLGWGKFLQCAAAAAQREADIKKSGYGEVEVSKPWKIHLTVKKKKPGNTHVYVLKDKAHSIGLARGIVSNLLNKPQPFEKLLDRSARSITGGPTPNVCMPPLRPPPLKCQRQRHQARDKRFSDLSFM
+>sp|Q9H6R0|DHX33_HUMAN ATP-dependent RNA helicase DHX33 OS=Homo sapiens OX=9606 GN=DHX33 PE=1 SV=2
+MPEEAGFPPAKRFRPGSGPPSRAGSFPPGRQVVMLLTAGSGGRGGGGGRRQQPPLAQPSASPYPEAVELQRRSLPIFQARGQLLAQLRNLDNAVLIGETGSGKTTQIPQYLYEGGISRQGIIAVTQPRRVAAISLATRVSDEKRTELGKLVGYTVRFDDVTSEDTRIKFLTDGMLLREAISDSLLRKYSCVILDEAHERTIHTDVLFGVVKAAQKRRKELGKLPLKVIVMSATMDVDLFSQYFNGAPVLYLEGRQHPIQVFYTKQPQNDYLHAALVSVFQIHQEAPSSQDILVFLTGQEEIEAMSKTCRDIAKHLPDGCPAMLVLPLYASLPYAQQLRVFQGAPKGYRKVIISTNIAETSITITGIKYVVDTGMVKAKKYNPDSGLEVLAVQRVSKTQAWQRTGRAGREDSGICYRLYTEDEFEKFDKMTVPEIQRCNLASVMLQLLAMKVPNVLTFDFMSKPSPDHIQAAIAQLDLLGALEHKDDQLTLTPMGRKMAAFPLEPKFAKTILMSPKFHCTEEILTIVSLLSVDSVLHNPPSRREEVQGVRKKFISSEGDHMTLLNIYRTFKNLGGNKDWCKENFVNSKNMTLVAEVRAQLRDICLKMSMPIASSRGDVESVRRCLAHSLFMSTAELQPDGTYATTDTHQPVAIHPSSVLFHCKPACVVYTELLYTNKCYMRDLCVIDAQWLYEAAPEYFRRKLRTARN
+>DECOY_sp|Q9H6R0|DHX33_HUMAN ATP-dependent RNA helicase DHX33 OS=Homo sapiens OX=9606 GN=DHX33 PE=1 SV=2
+NRATRLKRRFYEPAAEYLWQADIVCLDRMYCKNTYLLETYVVCAPKCHFLVSSPHIAVPQHTDTTAYTGDPQLEATSMFLSHALCRRVSEVDGRSSAIPMSMKLCIDRLQARVEAVLTMNKSNVFNEKCWDKNGGLNKFTRYINLLTMHDGESSIFKKRVGQVEERRSPPNHLVSDVSLLSVITLIEETCHFKPSMLITKAFKPELPFAAMKRGMPTLTLQDDKHELAGLLDLQAIAAQIHDPSPKSMFDFTLVNPVKMALLQLMVSALNCRQIEPVTMKDFKEFEDETYLRYCIGSDERGARGTRQWAQTKSVRQVALVELGSDPNYKKAKVMGTDVVYKIGTITISTEAINTSIIVKRYGKPAGQFVRLQQAYPLSAYLPLVLMAPCGDPLHKAIDRCTKSMAEIEEQGTLFVLIDQSSPAEQHIQFVSVLAAHLYDNQPQKTYFVQIPHQRGELYLVPAGNFYQSFLDVDMTASMVIVKLPLKGLEKRRKQAAKVVGFLVDTHITREHAEDLIVCSYKRLLSDSIAERLLMGDTLFKIRTDESTVDDFRVTYGVLKGLETRKEDSVRTALSIAAVRRPQTVAIIGQRSIGGEYLYQPIQTTKGSGTEGILVANDLNRLQALLQGRAQFIPLSRRQLEVAEPYPSASPQALPPQQRRGGGGGRGGSGATLLMVVQRGPPFSGARSPPGSGPRFRKAPPFGAEEPM
+>sp|Q14689|DIP2A_HUMAN Disco-interacting protein 2 homolog A OS=Homo sapiens OX=9606 GN=DIP2A PE=1 SV=2
+MADRGCPLEAAPLPAEVRESLAELELELSEGDITQKGYEKKRAKLLARYIPLIQGIDPSLQAENRIPGPSQTTAAAPKQQKSRPTASRDERFRSDVHTEAVQAALAKYKERKMPMPSKRRSVLVHSSVETYTPPDTSSASEDEGSLRRPGRLTSTPLQSHSSVEPWLDRVIQGSSTSSSASSTSSHPGGRPTTAPSAAATPGAAATTALAGLEAHTHIDLHSAPPDVTTGLVEHSYFERPQVASVRSVPRGCSGSMLETADGVPVNSRVSSKIQQLLNTLKRPKRPPLKEFFVDDFEELLEVQQPDPNQPKPEGSETSVLRGEPLTAGVPRPPSLLATLQRWGTTQPKSPCLTALDTTGKAVYTLTYGKLWSRSLKLAYTLLNKLTSKNEPLLKPGDRVALVFPNSDPVMFMVAFYGCLLAELVPVPIEVPLTRKDAGSQQVGFLLGSCGVFLALTTDACQKGLPKAQTGEVAAFKGWPPLSWLVIDGKHLAKPPKDWHPLAQDTGTGTAYIEYKTSKEGSTVGVTVSHASLLAQCRALTQACGYSEAETLTNVLDFKRDAGLWHGVLTSVMNRMHVVSVPYALMKANPLSWIQKVCFYKARAALVKSRDMHWSLLAQRGQRDVSLSSLRMLIVADGANPWSISSCDAFLNVFQSRGLRPEVICPCASSPEALTVAIRRPPDLGGPPPRKAVLSMNGLSYGVIRVDTEEKLSVLTVQDVGQVMPGANVCVVKLEGTPYLCKTDEVGEICVSSSATGTAYYGLLGITKNVFEAVPVTTGGAPIFDRPFTRTGLLGFIGPDNLVFIVGKLDGLMVTGVRRHNADDVVATALAVEPMKFVYRGRIAVFSVTVLHDDRIVLVAEQRPDASEEDSFQWMSRVLQAIDSIHQVGVYCLALVPANTLPKAPLGGIHISETKQRFLEGTLHPCNVLMCPHTCVTNLPKPRQKQPEVGPASMIVGNLVAGKRIAQASGRELAHLEDSDQARKFLFLADVLQWRAHTTPDHPLFLLLNAKGTVTSTATCVQLHKRAERVAAALMEKGRLSVGDHVALVYPPGVDLIAAFYGCLYCGCVPVTVRPPHPQNLGTTLPTVKMIVEVSKSACVLTTQAVTRLLRSKEAAAAVDIRTWPTILDTDDIPKKKIASVFRPPSPDVLAYLDFSVSTTGILAGVKMSHAATSALCRSIKLQCELYPSRQIAICLDPYCGLGFALWCLCSVYSGHQSVLVPPLELESNVSLWLSAVSQYKARVTFCSYSVMEMCTKGLGAQTGVLRMKGVNLSCVRTCMVVAEERPRIALTQSFSKLFKDLGLPARAVSTTFGCRVNVAICLQGTAGPDPTTVYVDMRALRHDRVRLVERGSPHSLPLMESGKILPGVKVIIAHTETKGPLGDSHLGEIWVSSPHNATGYYTVYGEEALHADHFSARLSFGDTQTIWARTGYLGFLRRTELTDASGGRHDALYVVGSLDETLELRGMRYHPIDIETSVIRAHRSIAECAVFTWTNLLVVVVELDGLEQDALDLVALVTNVVLEEHYLVVGVVVIVDPGVIPINSRGEKQRMHLRDGFLADQLDPIYVAYNM
+>DECOY_sp|Q14689|DIP2A_HUMAN Disco-interacting protein 2 homolog A OS=Homo sapiens OX=9606 GN=DIP2A PE=1 SV=2
+MNYAVYIPDLQDALFGDRLHMRQKEGRSNIPIVGPDVIVVVGVVLYHEELVVNTVLAVLDLADQELGDLEVVVVLLNTWTFVACEAISRHARIVSTEIDIPHYRMGRLELTEDLSGVVYLADHRGGSADTLETRRLFGLYGTRAWITQTDGFSLRASFHDAHLAEEGYVTYYGTANHPSSVWIEGLHSDGLPGKTETHAIIVKVGPLIKGSEMLPLSHPSGREVLRVRDHRLARMDVYVTTPDPGATGQLCIAVNVRCGFTTSVARAPLGLDKFLKSFSQTLAIRPREEAVVMCTRVCSLNVGKMRLVGTQAGLGKTCMEMVSYSCFTVRAKYQSVASLWLSVNSELELPPVLVSQHGSYVSCLCWLAFGLGCYPDLCIAIQRSPYLECQLKISRCLASTAAHSMKVGALIGTTSVSFDLYALVDPSPPRFVSAIKKKPIDDTDLITPWTRIDVAAAAEKSRLLRTVAQTTLVCASKSVEVIMKVTPLTTGLNQPHPPRVTVPVCGCYLCGYFAAILDVGPPYVLAVHDGVSLRGKEMLAAAVREARKHLQVCTATSTVTGKANLLLFLPHDPTTHARWQLVDALFLFKRAQDSDELHALERGSAQAIRKGAVLNGVIMSAPGVEPQKQRPKPLNTVCTHPCMLVNCPHLTGELFRQKTESIHIGGLPAKPLTNAPVLALCYVGVQHISDIAQLVRSMWQFSDEESADPRQEAVLVIRDDHLVTVSFVAIRGRYVFKMPEVALATAVVDDANHRRVGTVMLGDLKGVIFVLNDPGIFGLLGTRTFPRDFIPAGGTTVPVAEFVNKTIGLLGYYATGTASSSVCIEGVEDTKCLYPTGELKVVCVNAGPMVQGVDQVTLVSLKEETDVRIVGYSLGNMSLVAKRPPPGGLDPPRRIAVTLAEPSSACPCIVEPRLGRSQFVNLFADCSSISWPNAGDAVILMRLSSLSVDRQGRQALLSWHMDRSKVLAARAKYFCVKQIWSLPNAKMLAYPVSVVHMRNMVSTLVGHWLGADRKFDLVNTLTEAESYGCAQTLARCQALLSAHSVTVGVTSGEKSTKYEIYATGTGTDQALPHWDKPPKALHKGDIVLWSLPPWGKFAAVEGTQAKPLGKQCADTTLALFVGCSGLLFGVQQSGADKRTLPVEIPVPVLEALLCGYFAVMFMVPDSNPFVLAVRDGPKLLPENKSTLKNLLTYALKLSRSWLKGYTLTYVAKGTTDLATLCPSKPQTTGWRQLTALLSPPRPVGATLPEGRLVSTESGEPKPQNPDPQQVELLEEFDDVFFEKLPPRKPRKLTNLLQQIKSSVRSNVPVGDATELMSGSCGRPVSRVSAVQPREFYSHEVLGTTVDPPASHLDIHTHAELGALATTAAAGPTAAASPATTPRGGPHSSTSSASSSTSSGQIVRDLWPEVSSHSQLPTSTLRGPRRLSGEDESASSTDPPTYTEVSSHVLVSRRKSPMPMKREKYKALAAQVAETHVDSRFREDRSATPRSKQQKPAAATTQSPGPIRNEAQLSPDIGQILPIYRALLKARKKEYGKQTIDGESLELELEALSERVEAPLPAAELPCGRDAM
+>sp|Q9Y2E4|DIP2C_HUMAN Disco-interacting protein 2 homolog C OS=Homo sapiens OX=9606 GN=DIP2C PE=1 SV=2
+MADRSLEGMALPLEVRARLAELELELSEGDITQKGYEKKRSKLIGAYLPQPPRVDQALPQERRAPVTPSSASRYHRRRSSGSRDERYRSDVHTEAVQAALAKHKERKMAVPMPSKRRSLVVQTSMDAYTPPDTSSGSEDEGSVQGDSQGTPTSSQGSINMEHWISQAIHGSTTSTTSSSSTQSGGSGAAHRLADVMAQTHIENHSAPPDVTTYTSEHSIQVERPQGSTGSRTAPKYGNAELMETGDGVPVSSRVSAKIQQLVNTLKRPKRPPLREFFVDDFEELLEVQQPDPNQPKPEGAQMLAMRGEQLGVVTNWPPSLEAALQRWGTISPKAPCLTTMDTNGKPLYILTYGKLWTRSMKVAYSILHKLGTKQEPMVRPGDRVALVFPNNDPAAFMAAFYGCLLAEVVPVPIEVPLTRKDAGSQQIGFLLGSCGVTVALTSDACHKGLPKSPTGEIPQFKGWPKLLWFVTESKHLSKPPRDWFPHIKDANNDTAYIEYKTCKDGSVLGVTVTRTALLTHCQALTQACGYTEAETIVNVLDFKKDVGLWHGILTSVMNMMHVISIPYSLMKVNPLSWIQKVCQYKAKVACVKSRDMHWALVAHRDQRDINLSSLRMLIVADGANPWSISSCDAFLNVFQSKGLRQEVICPCASSPEALTVAIRRPTDDSNQPPGRGVLSMHGLTYGVIRVDSEEKLSVLTVQDVGLVMPGAIMCSVKPDGVPQLCRTDEIGELCVCAVATGTSYYGLSGMTKNTFEVFPMTSSGAPISEYPFIRTGLLGFVGPGGLVFVVGKMDGLMVVSGRRHNADDIVATALAVEPMKFVYRGRIAVFSVTVLHDERIVIVAEQRPDSTEEDSFQWMSRVLQAIDSIHQVGVYCLALVPANTLPKTPLGGIHLSETKQLFLEGSLHPCNVLMCPHTCVTNLPKPRQKQPEIGPASVMVGNLVSGKRIAQASGRDLGQIEDNDQARKFLFLSEVLQWRAQTTPDHILYTLLNCRGAIANSLTCVQLHKRAEKIAVMLMERGHLQDGDHVALVYPPGIDLIAAFYGCLYAGCVPITVRPPHPQNIATTLPTVKMIVEVSRSACLMTTQLICKLLRSREAAAAVDVRTWPLILDTDDLPKKRPAQICKPCNPDTLAYLDFSVSTTGMLAGVKMSHAATSAFCRSIKLQCELYPSREVAICLDPYCGLGFVLWCLCSVYSGHQSILIPPSELETNPALWLLAVSQYKVRDTFCSYSVMELCTKGLGSQTESLKARGLDLSRVRTCVVVAEERPRIALTQSFSKLFKDLGLHPRAVSTSFGCRVNLAICLQGTSGPDPTTVYVDMRALRHDRVRLVERGSPHSLPLMESGKILPGVRIIIANPETKGPLGDSHLGEIWVHSAHNASGYFTIYGDESLQSDHFNSRLSFGDTQTIWARTGYLGFLRRTELTDANGERHDALYVVGALDEAMELRGMRYHPIDIETSVIRAHKSVTECAVFTWTNLLVVVVELDGSEQEALDLVPLVTNVVLEEHYLIVGVVVVVDIGVIPINSRGEKQRMHLRDGFLADQLDPIYVAYNM
+>DECOY_sp|Q9Y2E4|DIP2C_HUMAN Disco-interacting protein 2 homolog C OS=Homo sapiens OX=9606 GN=DIP2C PE=1 SV=2
+MNYAVYIPDLQDALFGDRLHMRQKEGRSNIPIVGIDVVVVVGVILYHEELVVNTVLPVLDLAEQESGDLEVVVVLLNTWTFVACETVSKHARIVSTEIDIPHYRMGRLEMAEDLAGVVYLADHREGNADTLETRRLFGLYGTRAWITQTDGFSLRSNFHDSQLSEDGYITFYGSANHASHVWIEGLHSDGLPGKTEPNAIIIRVGPLIKGSEMLPLSHPSGREVLRVRDHRLARMDVYVTTPDPGSTGQLCIALNVRCGFSTSVARPHLGLDKFLKSFSQTLAIRPREEAVVVCTRVRSLDLGRAKLSETQSGLGKTCLEMVSYSCFTDRVKYQSVALLWLAPNTELESPPILISQHGSYVSCLCWLVFGLGCYPDLCIAVERSPYLECQLKISRCFASTAAHSMKVGALMGTTSVSFDLYALTDPNCPKCIQAPRKKPLDDTDLILPWTRVDVAAAAERSRLLKCILQTTMLCASRSVEVIMKVTPLTTAINQPHPPRVTIPVCGAYLCGYFAAILDIGPPYVLAVHDGDQLHGREMLMVAIKEARKHLQVCTLSNAIAGRCNLLTYLIHDPTTQARWQLVESLFLFKRAQDNDEIQGLDRGSAQAIRKGSVLNGVMVSAPGIEPQKQRPKPLNTVCTHPCMLVNCPHLSGELFLQKTESLHIGGLPTKPLTNAPVLALCYVGVQHISDIAQLVRSMWQFSDEETSDPRQEAVIVIREDHLVTVSFVAIRGRYVFKMPEVALATAVIDDANHRRGSVVMLGDMKGVVFVLGGPGVFGLLGTRIFPYESIPAGSSTMPFVEFTNKTMGSLGYYSTGTAVACVCLEGIEDTRCLQPVGDPKVSCMIAGPMVLGVDQVTLVSLKEESDVRIVGYTLGHMSLVGRGPPQNSDDTPRRIAVTLAEPSSACPCIVEQRLGKSQFVNLFADCSSISWPNAGDAVILMRLSSLNIDRQDRHAVLAWHMDRSKVCAVKAKYQCVKQIWSLPNVKMLSYPISIVHMMNMVSTLIGHWLGVDKKFDLVNVITEAETYGCAQTLAQCHTLLATRTVTVGLVSGDKCTKYEIYATDNNADKIHPFWDRPPKSLHKSETVFWLLKPWGKFQPIEGTPSKPLGKHCADSTLAVTVGCSGLLFGIQQSGADKRTLPVEIPVPVVEALLCGYFAAMFAAPDNNPFVLAVRDGPRVMPEQKTGLKHLISYAVKMSRTWLKGYTLIYLPKGNTDMTTLCPAKPSITGWRQLAAELSPPWNTVVGLQEGRMALMQAGEPKPQNPDPQQVELLEEFDDVFFERLPPRKPRKLTNVLQQIKASVRSSVPVGDGTEMLEANGYKPATRSGTSGQPREVQISHESTYTTVDPPASHNEIHTQAMVDALRHAAGSGGSQTSSSSTTSTTSGHIAQSIWHEMNISGQSSTPTGQSDGQVSGEDESGSSTDPPTYADMSTQVVLSRRKSPMPVAMKREKHKALAAQVAETHVDSRYREDRSGSSRRRHYRSASSPTVPARREQPLAQDVRPPQPLYAGILKSRKKEYGKQTIDGESLELELEALRARVELPLAMGELSRDAM
+>sp|Q969H9|DIRC1_HUMAN Disrupted in renal carcinoma protein 1 OS=Homo sapiens OX=9606 GN=DIRC1 PE=2 SV=1
+MPEAHMQPAKLQTSLPTTDHGSKKPVSCYLPPLSNAHPMCIEVQNAQNCSSAAATLEPSIISDTCFYKPITKDQLSSRSELNTVRLKCLNSLRGWKILNQLSLT
+>DECOY_sp|Q969H9|DIRC1_HUMAN Disrupted in renal carcinoma protein 1 OS=Homo sapiens OX=9606 GN=DIRC1 PE=2 SV=1
+TLSLQNLIKWGRLSNLCKLRVTNLESRSSLQDKTIPKYFCTDSIISPELTAAASSCNQANQVEICMPHANSLPPLYCSVPKKSGHDTTPLSTQLKAPQMHAEPM
+>sp|Q96SL1|DIRC2_HUMAN Disrupted in renal carcinoma protein 2 OS=Homo sapiens OX=9606 GN=DIRC2 PE=1 SV=1
+MGSRWSSEEERQPLLGPGLGPGLGASWRSREAAAAALPAAVPGPGRVYGRRWLVLLLFSLLAFVQGLVWNTWGPIQNSARQAYGFSSWDIALLVLWGPIGFLPCFAFMWLLDKRGLRITVLLTSFLMVLGTGLRCIPISDLILKRRLIHGGQMLNGLAGPTVMNAAPFLSTTWFSADERATATAIASMLSYLGGACAFLVGPLVVPAPNGTSPLLAAESSRAHIKDRIEAVLYAEFGVVCLIFSATLAYFPPRPPLPPSVAAASQRLSYRRSVCRLLSNFRFLMIALAYAIPLGVFAGWSGVLDLILTPAHVSQVDAGWIGFWSIVGGCVVGIAMARFADFIRGMLKLILLLLFSGATLSSTWFTLTCLNSITHLPLTTVTLYASCILLGVFLNSSVPIFFELFVETVYPVPEGITCGVVTFLSNMFMGVLLFFLTFYHTELSWFNWCLPGSCLLSLLLILCFRESYDRLYLDVVVSV
+>DECOY_sp|Q96SL1|DIRC2_HUMAN Disrupted in renal carcinoma protein 2 OS=Homo sapiens OX=9606 GN=DIRC2 PE=1 SV=1
+VSVVVDLYLRDYSERFCLILLLSLLCSGPLCWNFWSLETHYFTLFFLLVGMFMNSLFTVVGCTIGEPVPYVTEVFLEFFIPVSSNLFVGLLICSAYLTVTTLPLHTISNLCTLTFWTSSLTAGSFLLLLILKLMGRIFDAFRAMAIGVVCGGVISWFGIWGADVQSVHAPTLILDLVGSWGAFVGLPIAYALAIMLFRFNSLLRCVSRRYSLRQSAAAVSPPLPPRPPFYALTASFILCVVGFEAYLVAEIRDKIHARSSEAALLPSTGNPAPVVLPGVLFACAGGLYSLMSAIATATAREDASFWTTSLFPAANMVTPGALGNLMQGGHILRRKLILDSIPICRLGTGLVMLFSTLLVTIRLGRKDLLWMFAFCPLFGIPGWLVLLAIDWSSFGYAQRASNQIPGWTNWVLGQVFALLSFLLLVLWRRGYVRGPGPVAAPLAAAAAERSRWSAGLGPGLGPGLLPQREEESSWRSGM
+>sp|Q9NRI5|DISC1_HUMAN Disrupted in schizophrenia 1 protein OS=Homo sapiens OX=9606 GN=DISC1 PE=1 SV=3
+MPGGGPQGAPAAAGGGGVSHRAGSRDCLPPAACFRRRRLARRPGYMRSSTGPGIGFLSPAVGTLFRFPGGVSGEESHHSESRARQCGLDSRGLLVRSPVSKSAAAPTVTSVRGTSAHFGIQLRGGTRLPDRLSWPCGPGSAGWQQEFAAMDSSETLDASWEAACSDGARRVRAAGSLPSAELSSNSCSPGCGPEVPPTPPGSHSAFTSSFSFIRLSLGSAGERGEAEGCPPSREAESHCQSPQEMGAKAASLDGPHEDPRCLSRPFSLLATRVSADLAQAARNSSRPERDMHSLPDMDPGSSSSLDPSLAGCGGDGSSGSGDAHSWDTLLRKWEPVLRDCLLRNRRQMEVISLRLKLQKLQEDAVENDDYDKAETLQQRLEDLEQEKISLHFQLPSRQPALSSFLGHLAAQVQAALRRGATQQASGDDTHTPLRMEPRLLEPTAQDSLHVSITRRDWLLQEKQQLQKEIEALQARMFVLEAKDQQLRREIEEQEQQLQWQGCDLTPLVGQLSLGQLQEVSKALQDTLASAGQIPFHAEPPETIRSLQERIKSLNLSLKEITTKVCMSEKFCSTLRKKVNDIETQLPALLEAKMHAISGNHFWTAKDLTEEIRSLTSEREGLEGLLSKLLVLSSRNVKKLGSVKEDYNRLRREVEHQETAYETSVKENTMKYMETLKNKLCSCKCPLLGKVWEADLEACRLLIQSLQLQEARGSLSVEDERQMDDLEGAAPPIPPRLHSEDKRKTPLKVLEEWKTHLIPSLHCAGGEQKEESYILSAELGEKCEDIGKKLLYLEDQLHTAIHSHDEDLIQSLRRELQMVKETLQAMILQLQPAKEAGEREAAASCMTAGVHEAQA
+>DECOY_sp|Q9NRI5|DISC1_HUMAN Disrupted in schizophrenia 1 protein OS=Homo sapiens OX=9606 GN=DISC1 PE=1 SV=3
+AQAEHVGATMCSAAAEREGAEKAPQLQLIMAQLTEKVMQLERRLSQILDEDHSHIATHLQDELYLLKKGIDECKEGLEASLIYSEEKQEGGACHLSPILHTKWEELVKLPTKRKDESHLRPPIPPAAGELDDMQREDEVSLSGRAEQLQLSQILLRCAELDAEWVKGLLPCKCSCLKNKLTEMYKMTNEKVSTEYATEQHEVERRLRNYDEKVSGLKKVNRSSLVLLKSLLGELGERESTLSRIEETLDKATWFHNGSIAHMKAELLAPLQTEIDNVKKRLTSCFKESMCVKTTIEKLSLNLSKIREQLSRITEPPEAHFPIQGASALTDQLAKSVEQLQGLSLQGVLPTLDCGQWQLQQEQEEIERRLQQDKAELVFMRAQLAEIEKQLQQKEQLLWDRRTISVHLSDQATPELLRPEMRLPTHTDDGSAQQTAGRRLAAQVQAALHGLFSSLAPQRSPLQFHLSIKEQELDELRQQLTEAKDYDDNEVADEQLKQLKLRLSIVEMQRRNRLLCDRLVPEWKRLLTDWSHADGSGSSGDGGCGALSPDLSSSSGPDMDPLSHMDREPRSSNRAAQALDASVRTALLSFPRSLCRPDEHPGDLSAAKAGMEQPSQCHSEAERSPPCGEAEGREGASGLSLRIFSFSSTFASHSGPPTPPVEPGCGPSCSNSSLEASPLSGAARVRRAGDSCAAEWSADLTESSDMAAFEQQWGASGPGCPWSLRDPLRTGGRLQIGFHASTGRVSTVTPAAASKSVPSRVLLGRSDLGCQRARSESHHSEEGSVGGPFRFLTGVAPSLFGIGPGTSSRMYGPRRALRRRRFCAAPPLCDRSGARHSVGGGGAAAPAGQPGGGPM
+>sp|Q9UBS4|DJB11_HUMAN DnaJ homolog subfamily B member 11 OS=Homo sapiens OX=9606 GN=DNAJB11 PE=1 SV=1
+MAPQNLSTFCLLLLYLIGAVIAGRDFYKILGVPRSASIKDIKKAYRKLALQLHPDRNPDDPQAQEKFQDLGAAYEVLSDSEKRKQYDTYGEEGLKDGHQSSHGDIFSHFFGDFGFMFGGTPRQQDRNIPRGSDIIVDLEVTLEEVYAGNFVEVVRNKPVARQAPGKRKCNCRQEMRTTQLGPGRFQMTQEVVCDECPNVKLVNEERTLEVEIEPGVRDGMEYPFIGEGEPHVDGEPGDLRFRIKVVKHPIFERRGDDLYTNVTISLVESLVGFEMDITHLDGHKVHISRDKITRPGAKLWKKGEGLPNFDNNNIKGSLIITFDVDFPKEQLTEEAREGIKQLLKQGSVQKVYNGLQGY
+>DECOY_sp|Q9UBS4|DJB11_HUMAN DnaJ homolog subfamily B member 11 OS=Homo sapiens OX=9606 GN=DNAJB11 PE=1 SV=1
+YGQLGNYVKQVSGQKLLQKIGERAEETLQEKPFDVDFTIILSGKINNNDFNPLGEGKKWLKAGPRTIKDRSIHVKHGDLHTIDMEFGVLSEVLSITVNTYLDDGRREFIPHKVVKIRFRLDGPEGDVHPEGEGIFPYEMGDRVGPEIEVELTREENVLKVNPCEDCVVEQTMQFRGPGLQTTRMEQRCNCKRKGPAQRAVPKNRVVEVFNGAYVEELTVELDVIIDSGRPINRDQQRPTGGFMFGFDGFFHSFIDGHSSQHGDKLGEEGYTDYQKRKESDSLVEYAAGLDQFKEQAQPDDPNRDPHLQLALKRYAKKIDKISASRPVGLIKYFDRGAIVAGILYLLLLCFTSLNQPAM
+>sp|O75165|DJC13_HUMAN DnaJ homolog subfamily C member 13 OS=Homo sapiens OX=9606 GN=DNAJC13 PE=1 SV=5
+MNIIRENKDLACFYTTKHSWRGKYKRVFSVGTHAITTYNPNTLEVTNQWPYGDICSISPVGKGQGTEFNLTFRKGSGKKSETLKFSTEHRTELLTEALRFRTDFSEGKITGRRYNCYKHHWSDSRKPVILEVTPGGFDQINPATNRVLCSYDYRNIEGFVDLSDYQGGFCILYGGFSRLHLFASEQREEIIKSAIDHAGNYIGISLRIRKEPLEFEQYLNLRFGKYSTDESITSLAEFVVQKISPRHSEPVKRVLALTETCLVERDPATYNIATLKPLGEVFALVCDSENPQLFTIEFIKGQVRKYSSTERDSLLASLLDGVRASGNRDVCVKMTPTHKGQRWGLLSMPVDEEVESLHLRFLATPPNGNFADAVFRFNANISYSGVLHAVTQDGLFSENKEKLINNAITALLSQEGDVVASNAELESQFQAVRRLVASKAGFLAFTQLPKFRERLGVKVVKALKRSNNGIIHAAVDMLCALMCPMHDDYDLRQEQLNKASLLSSKKFLENLLEKFNSHVDHGTGALVISSLLDFLTFALCAPYSETTEGQQFDMLLEMVASNGRTLFKLFQHPSMAIIKGAGLVMKAIIEEGDKEIATKMQELALSEGALPRHLHTAMFTISSDQRMLTNRQLSRHLVGLWTADNATATNLLKRILPPGLLAYLESSDLVPEKDADRMHVRDNVKIAMDQYGKFNKVPEWQRLAGKAAKEVEKFAKEKVDLVLMHWRDRMGIAQKENINQKPVVLRKRRQRIKIEANWDLFYYRFGQDHARSNLIWNFKTREELKDTLESEMRAFNIDRELGSANVISWNHHEFEVKYECLAEEIKIGDYYLRLLLEEDENEESGSIKRSYEFFNELYHRFLLTPKVNMKCLCLQALAIVYGRCHEEIGPFTDTRYIIGMLERCTDKLERDRLILFLNKLILNKKNVKDLMDSNGIRILVDLLTLAHLHVSRATVPLQSNVIEAAPDMKRESEKEWYFGNADKERSGPYGFHEMQELWTKGMLNAKTRCWAQGMDGWRPLQSIPQLKWCLLASGQAVLNETDLATLILNMLITMCGYFPSRDQDNAIIRPLPKVKRLLSDSTCLPHIIQLLLTFDPILVEKVAILLYHIMQDNPQLPRLYLSGVFFFIMMYTGSNVLPVARFLKYTHTKQAFKSEETKGQDIFQRSILGHILPEAMVCYLENYEPEKFSEIFLGEFDTPEAIWSSEMRRLMIEKIAAHLADFTPRLQSNTRALYQYCPIPIINYPQLENELFCNIYYLKQLCDTLRFPDWPIKDPVKLLKDTLDAWKKEVEKKPPMMSIDDAYEVLNLPQGQGPHDESKIRKAYFRLAQKYHPDKNPEGRDMFEKVNKAYEFLCTKSAKIVDGPDPENIILILKTQSILFNRHKEDLQPYKYAGYPMLIRTITMETSDDLLFSKESPLLPAATELAFHTVNCSALNAEELRRENGLEVLQEAFSRCVAVLTRASKPSDMSVQVCGYISKCYSVAAQFEECREKITEMPSIIKDLCRVLYFGKSIPRVAALGVECVSSFAVDFWLQTHLFQAGILWYLLGFLFNYDYTLEESGIQKSEETNQQEVANSLAKLSVHALSRLGGYLAEEQATPENPTIRKSLAGMLTPYVARKLAVASVTEILKMLNSNTESPYLIWNNSTRAELLEFLESQQENMIKKGDCDKTYGSEFVYSDHAKELIVGEIFVRVYNEVPTFQLEVPKAFAASLLDYIGSQAQYLHTFMAITHAAKVESEQHGDRLPRVEMALEALRNVIKYNPGSESECIGHFKLIFSLLRVHGAGQVQQLALEVVNIVTSNQDCVNNIAESMVLSSLLALLHSLPSSRQLVLETLYALTSSTKIIKEAMAKGALIYLLDMFCNSTHPQVRAQTAELFAKMTADKLIGPKVRITLMKFLPSVFMDAMRDNPEAAVHIFEGTHENPELIWNDNSRDKVSTTVREMMLEHFKNQQDNPEANWKLPEDFAVVFGEAEGELAVGGVFLRIFIAQPAWVLRKPREFLIALLEKLTELLEKNNPHGETLETLTMATVCLFSAQPQLADQVPPLGHLPKVIQAMNHRNNAIPKSAIRVIHALSENELCVRAMASLETIGPLMNGMKKRADTVGLACEAINRMFQKEQSELVAQALKADLVPYLLKLLEGIGLENLDSPAATKAQIVKALKAMTRSLQYGEQVNEILCRSSVWSAFKDQKHDLFISESQTAGYLTGPGVAGYLTAGTSTSVMSNLPPPVDHEAGDLGYQT
+>DECOY_sp|O75165|DJC13_HUMAN DnaJ homolog subfamily C member 13 OS=Homo sapiens OX=9606 GN=DNAJC13 PE=1 SV=5
+TQYGLDGAEHDVPPPLNSMVSTSTGATLYGAVGPGTLYGATQSESIFLDHKQDKFASWVSSRCLIENVQEGYQLSRTMAKLAKVIQAKTAAPSDLNELGIGELLKLLYPVLDAKLAQAVLESQEKQFMRNIAECALGVTDARKKMGNMLPGITELSAMARVCLENESLAHIVRIASKPIANNRHNMAQIVKPLHGLPPVQDALQPQASFLCVTAMTLTELTEGHPNNKELLETLKELLAILFERPKRLVWAPQAIFIRLFVGGVALEGEAEGFVVAFDEPLKWNAEPNDQQNKFHELMMERVTTSVKDRSNDNWILEPNEHTGEFIHVAAEPNDRMADMFVSPLFKMLTIRVKPGILKDATMKAFLEATQARVQPHTSNCFMDLLYILAGKAMAEKIIKTSSTLAYLTELVLQRSSPLSHLLALLSSLVMSEAINNVCDQNSTVINVVELALQQVQGAGHVRLLSFILKFHGICESESGPNYKIVNRLAELAMEVRPLRDGHQESEVKAAHTIAMFTHLYQAQSGIYDLLSAAFAKPVELQFTPVENYVRVFIEGVILEKAHDSYVFESGYTKDCDGKKIMNEQQSELFELLEARTSNNWILYPSETNSNLMKLIETVSAVALKRAVYPTLMGALSKRITPNEPTAQEEALYGGLRSLAHVSLKALSNAVEQQNTEESKQIGSEELTYDYNFLFGLLYWLIGAQFLHTQLWFDVAFSSVCEVGLAAVRPISKGFYLVRCLDKIISPMETIKERCEEFQAAVSYCKSIYGCVQVSMDSPKSARTLVAVCRSFAEQLVELGNERRLEEANLASCNVTHFALETAAPLLPSEKSFLLDDSTEMTITRILMPYGAYKYPQLDEKHRNFLISQTKLILIINEPDPGDVIKASKTCLFEYAKNVKEFMDRGEPNKDPHYKQALRFYAKRIKSEDHPGQGQPLNLVEYADDISMMPPKKEVEKKWADLTDKLLKVPDKIPWDPFRLTDCLQKLYYINCFLENELQPYNIIPIPCYQYLARTNSQLRPTFDALHAAIKEIMLRRMESSWIAEPTDFEGLFIESFKEPEYNELYCVMAEPLIHGLISRQFIDQGKTEESKFAQKTHTYKLFRAVPLVNSGTYMMIFFFVGSLYLRPLQPNDQMIHYLLIAVKEVLIPDFTLLLQIIHPLCTSDSLLRKVKPLPRIIANDQDRSPFYGCMTILMNLILTALDTENLVAQGSALLCWKLQPISQLPRWGDMGQAWCRTKANLMGKTWLEQMEHFGYPGSREKDANGFYWEKESERKMDPAAEIVNSQLPVTARSVHLHALTLLDVLIRIGNSDMLDKVNKKNLILKNLFLILRDRELKDTCRELMGIIYRTDTFPGIEEHCRGYVIALAQLCLCKMNVKPTLLFRHYLENFFEYSRKISGSEENEDEELLLRLYYDGIKIEEALCEYKVEFEHHNWSIVNASGLERDINFARMESELTDKLEERTKFNWILNSRAHDQGFRYYFLDWNAEIKIRQRRKRLVVPKQNINEKQAIGMRDRWHMLVLDVKEKAFKEVEKAAKGALRQWEPVKNFKGYQDMAIKVNDRVHMRDADKEPVLDSSELYALLGPPLIRKLLNTATANDATWLGVLHRSLQRNTLMRQDSSITFMATHLHRPLAGESLALEQMKTAIEKDGEEIIAKMVLGAGKIIAMSPHQFLKFLTRGNSAVMELLMDFQQGETTESYPACLAFTLFDLLSSIVLAGTGHDVHSNFKELLNELFKKSSLLSAKNLQEQRLDYDDHMPCMLACLMDVAAHIIGNNSRKLAKVVKVGLRERFKPLQTFALFGAKSAVLRRVAQFQSELEANSAVVDGEQSLLATIANNILKEKNESFLGDQTVAHLVGSYSINANFRFVADAFNGNPPTALFRLHLSEVEEDVPMSLLGWRQGKHTPTMKVCVDRNGSARVGDLLSALLSDRETSSYKRVQGKIFEITFLQPNESDCVLAFVEGLPKLTAINYTAPDREVLCTETLALVRKVPESHRPSIKQVVFEALSTISEDTSYKGFRLNLYQEFELPEKRIRLSIGIYNGAHDIASKIIEERQESAFLHLRSFGGYLICFGGQYDSLDVFGEINRYDYSCLVRNTAPNIQDFGGPTVELIVPKRSDSWHHKYCNYRRGTIKGESFDTRFRLAETLLETRHETSFKLTESKKGSGKRFTLNFETGQGKGVPSISCIDGYPWQNTVELTNPNYTTIAHTGVSFVRKYKGRWSHKTTYFCALDKNERIINM
+>sp|Q9Y2G8|DJC16_HUMAN DnaJ homolog subfamily C member 16 OS=Homo sapiens OX=9606 GN=DNAJC16 PE=2 SV=3
+MEVRKLSISWQFLIVLVLILQILSALDFDPYRVLGVSRTASQADIKKAYKKLAREWHPDKNKDPGAEDKFIQISKAYEILSNEEKRSNYDQYGDAGENQGYQKQQQQREYRFRHFHENFYFDESFFHFPFNSERRDSIDEKYLLHFSHYVNEVVPDSFKKPYLIKITSDWCFSCIHIEPVWKEVIQELEELGVGIGVVHAGYERRLAHHLGAHSTPSILGIINGKISFFHNAVVRENLRQFVESLLPGNLVEKVTNKNYVRFLSGWQQENKPHVLLFDQTPIVPLLYKLTAFAYKDYLSFGYVYVGLRGTEEMTRRYNINIYAPTLLVFKEHINRPADVIQARGMKKQIIDDFITRNKYLLAARLTSQKLFHELCPVKRSHRQRKYCVVLLTAETTKLSKPFEAFLSFALANTQDTVRFVHVYSNRQQEFADTLLPDSEAFQGKSAVSILERRNTAGRVVYKTLEDPWIGSESDKFILLGYLDQLRKDPALLSSEAVLPDLTDELAPVFLLRWFYSASDYISDCWDSIFHNNWREMMPLLSLIFSALFILFGTVIVQAFSDSNDERESSPPEKEEAQEKTGKTEPSFTKENSSKIPKKGFVEVTELTDVTYTSNLVRLRPGHMNVVLILSNSTKTSLLQKFALEVYTFTGSSCLHFSFLSLDKHREWLEYLLEFAQDAAPIPNQYDKHFMERDYTGYVLALNGHKKYFCLFKPQKTVEEEEAIGSCSDVDSSLYLGESRGKPSCGLGSRPIKGKLSKLSLWMERLLEGSLQRFYIPSWPELD
+>DECOY_sp|Q9Y2G8|DJC16_HUMAN DnaJ homolog subfamily C member 16 OS=Homo sapiens OX=9606 GN=DNAJC16 PE=2 SV=3
+DLEPWSPIYFRQLSGELLREMWLSLKSLKGKIPRSGLGCSPKGRSEGLYLSSDVDSCSGIAEEEEVTKQPKFLCFYKKHGNLALVYGTYDREMFHKDYQNPIPAADQAFELLYELWERHKDLSLFSFHLCSSGTFTYVELAFKQLLSTKTSNSLILVVNMHGPRLRVLNSTYTVDTLETVEVFGKKPIKSSNEKTFSPETKGTKEQAEEKEPPSSEREDNSDSFAQVIVTGFLIFLASFILSLLPMMERWNNHFISDWCDSIYDSASYFWRLLFVPALEDTLDPLVAESSLLAPDKRLQDLYGLLIFKDSESGIWPDELTKYVVRGATNRRELISVASKGQFAESDPLLTDAFEQQRNSYVHVFRVTDQTNALAFSLFAEFPKSLKTTEATLLVVCYKRQRHSRKVPCLEHFLKQSTLRAALLYKNRTIFDDIIQKKMGRAQIVDAPRNIHEKFVLLTPAYININYRRTMEETGRLGVYVYGFSLYDKYAFATLKYLLPVIPTQDFLLVHPKNEQQWGSLFRVYNKNTVKEVLNGPLLSEVFQRLNERVVANHFFSIKGNIIGLISPTSHAGLHHALRREYGAHVVGIGVGLEELEQIVEKWVPEIHICSFCWDSTIKILYPKKFSDPVVENVYHSFHLLYKEDISDRRESNFPFHFFSEDFYFNEHFHRFRYERQQQQKQYGQNEGADGYQDYNSRKEENSLIEYAKSIQIFKDEAGPDKNKDPHWERALKKYAKKIDAQSATRSVGLVRYPDFDLASLIQLILVLVILFQWSISLKRVEM
+>sp|O94907|DKK1_HUMAN Dickkopf-related protein 1 OS=Homo sapiens OX=9606 GN=DKK1 PE=1 SV=1
+MMALGAAGATRVFVAMVAAALGGHPLLGVSATLNSVLNSNAIKNLPPPLGGAAGHPGSAVSAAPGILYPGGNKYQTIDNYQPYPCAEDEECGTDEYCASPTRGGDAGVQICLACRKRRKRCMRHAMCCPGNYCKNGICVSSDQNHFRGEIEETITESFGNDHSTLDGYSRRTTLSSKMYHTKGQEGSVCLRSSDCASGLCCARHFWSKICKPVLKEGQVCTKHRRKGSHGLEIFQRCYCGEGLSCRIQKDHHQASNSSRLHTCQRH
+>DECOY_sp|O94907|DKK1_HUMAN Dickkopf-related protein 1 OS=Homo sapiens OX=9606 GN=DKK1 PE=1 SV=1
+HRQCTHLRSSNSAQHHDKQIRCSLGEGCYCRQFIELGHSGKRRHKTCVQGEKLVPKCIKSWFHRACCLGSACDSSRLCVSGEQGKTHYMKSSLTTRRSYGDLTSHDNGFSETITEEIEGRFHNQDSSVCIGNKCYNGPCCMAHRMCRKRRKRCALCIQVGADGGRTPSACYEDTGCEEDEACPYPQYNDITQYKNGGPYLIGPAASVASGPHGAAGGLPPPLNKIANSNLVSNLTASVGLLPHGGLAAAVMAVFVRTAGAAGLAMM
+>sp|P09622|DLDH_HUMAN Dihydrolipoyl dehydrogenase, mitochondrial OS=Homo sapiens OX=9606 GN=DLD PE=1 SV=2
+MQSWSRVYCSLAKRGHFNRISHGLQGLSAVPLRTYADQPIDADVTVIGSGPGGYVAAIKAAQLGFKTVCIEKNETLGGTCLNVGCIPSKALLNNSHYYHMAHGKDFASRGIEMSEVRLNLDKMMEQKSTAVKALTGGIAHLFKQNKVVHVNGYGKITGKNQVTATKADGGTQVIDTKNILIATGSEVTPFPGITIDEDTIVSSTGALSLKKVPEKMVVIGAGVIGVELGSVWQRLGADVTAVEFLGHVGGVGIDMEISKNFQRILQKQGFKFKLNTKVTGATKKSDGKIDVSIEAASGGKAEVITCDVLLVCIGRRPFTKNLGLEELGIELDPRGRIPVNTRFQTKIPNIYAIGDVVAGPMLAHKAEDEGIICVEGMAGGAVHIDYNCVPSVIYTHPEVAWVGKSEEQLKEEGIEYKVGKFPFAANSRAKTNADTDGMVKILGQKSTDRVLGAHILGPGAGEMVNEAALALEYGASCEDIARVCHAHPTLSEAFREANLAASFGKSINF
+>DECOY_sp|P09622|DLDH_HUMAN Dihydrolipoyl dehydrogenase, mitochondrial OS=Homo sapiens OX=9606 GN=DLD PE=1 SV=2
+FNISKGFSAALNAERFAESLTPHAHCVRAIDECSAGYELALAAENVMEGAGPGLIHAGLVRDTSKQGLIKVMGDTDANTKARSNAAFPFKGVKYEIGEEKLQEESKGVWAVEPHTYIVSPVCNYDIHVAGGAMGEVCIIGEDEAKHALMPGAVVDGIAYINPIKTQFRTNVPIRGRPDLEIGLEELGLNKTFPRRGICVLLVDCTIVEAKGGSAAEISVDIKGDSKKTAGTVKTNLKFKFGQKQLIRQFNKSIEMDIGVGGVHGLFEVATVDAGLRQWVSGLEVGIVGAGIVVMKEPVKKLSLAGTSSVITDEDITIGPFPTVESGTAILINKTDIVQTGGDAKTATVQNKGTIKGYGNVHVVKNQKFLHAIGGTLAKVATSKQEMMKDLNLRVESMEIGRSAFDKGHAMHYYHSNNLLAKSPICGVNLCTGGLTENKEICVTKFGLQAAKIAAVYGGPGSGIVTVDADIPQDAYTRLPVASLGQLGHSIRNFHGRKALSCYVRSWSQM
+>sp|Q07687|DLX2_HUMAN Homeobox protein DLX-2 OS=Homo sapiens OX=9606 GN=DLX2 PE=1 SV=2
+MTGVFDSLVADMHSTQIAASSTYHQHQQPPSGGGAGPGGNSSSSSSLHKPQESPTLPVSTATDSSYYTNQQHPAGGGGGGGSPYAHMGSYQYQASGLNNVPYSAKSSYDLGYTAAYTSYAPYGTSSSPANNEPEKEDLEPEIRIVNGKPKKVRKPRTIYSSFQLAALQRRFQKTQYLALPERAELAASLGLTQTQVKIWFQNRRSKFKKMWKSGEIPSEQHPGASASPPCASPPVSAPASWDFGVPQRMAGGGGPGSGGSGAGSSGSSPSSAASAFLGNYPWYHQTSGSASHLQATAPLLHPTQTPQPHHHHHHHGGGGAPVSAGTIF
+>DECOY_sp|Q07687|DLX2_HUMAN Homeobox protein DLX-2 OS=Homo sapiens OX=9606 GN=DLX2 PE=1 SV=2
+FITGASVPAGGGGHHHHHHHPQPTQTPHLLPATAQLHSASGSTQHYWPYNGLFASAASSPSSGSSGAGSGGSGPGGGGAMRQPVGFDWSAPASVPPSACPPSASAGPHQESPIEGSKWMKKFKSRRNQFWIKVQTQTLGLSAALEAREPLALYQTKQFRRQLAALQFSSYITRPKRVKKPKGNVIRIEPELDEKEPENNAPSSSTGYPAYSTYAATYGLDYSSKASYPVNNLGSAQYQYSGMHAYPSGGGGGGGAPHQQNTYYSSDTATSVPLTPSEQPKHLSSSSSSNGGPGAGGGSPPQQHQHYTSSAAIQTSHMDAVLSDFVGTM
+>sp|Q92988|DLX4_HUMAN Homeobox protein DLX-4 OS=Homo sapiens OX=9606 GN=DLX4 PE=1 SV=4
+MTSLPCPLPGRDASKAVFPDLAPVPSVAAAYPLGLSPTTAASPNLSYSRPYGHLLSYPYTEPANPGDSYLSCQQPAALSQPLCGPAEHPQELEADSEKPRLSPEPSERRPQAPAKKLRKPRTIYSSLQLQHLNQRFQHTQYLALPERAQLAAQLGLTQTQVKIWFQNKRSKYKKLLKQNSGGQEGDFPGRTFSVSPCSPPLPSLWDLPKAGTLPTSGYGNSFGAWYQHHSSDVLASPQMM
+>DECOY_sp|Q92988|DLX4_HUMAN Homeobox protein DLX-4 OS=Homo sapiens OX=9606 GN=DLX4 PE=1 SV=4
+MMQPSALVDSSHHQYWAGFSNGYGSTPLTGAKPLDWLSPLPPSCPSVSFTRGPFDGEQGGSNQKLLKKYKSRKNQFWIKVQTQTLGLQAALQAREPLALYQTHQFRQNLHQLQLSSYITRPKRLKKAPAQPRRESPEPSLRPKESDAELEQPHEAPGCLPQSLAAPQQCSLYSDGPNAPETYPYSLLHGYPRSYSLNPSAATTPSLGLPYAAAVSPVPALDPFVAKSADRGPLPCPLSTM
+>sp|P0C864|DANCR_HUMAN Putative uncharacterized protein DANCR OS=Homo sapiens OX=9606 GN=DANCR PE=5 SV=1
+MAGPVPPHPGLAVRAAALHPAPLRIFPGLAELPDLSRGSAARPALAQSLPGIGCGPRDPPASLPAPRRLSGLCARRRSQASLSAGVARADAPLCSGFRAGHACGTGTQPQPTLSSRSSSLTSAEVQLPQFLAQVDNYRHKPLKLECPVAGISIDLSQLSLQLQ
+>DECOY_sp|P0C864|DANCR_HUMAN Putative uncharacterized protein DANCR OS=Homo sapiens OX=9606 GN=DANCR PE=5 SV=1
+QLQLSLQSLDISIGAVPCELKLPKHRYNDVQALFQPLQVEASTLSSSRSSLTPQPQTGTGCAHGARFGSCLPADARAVGASLSAQSRRRACLGSLRRPAPLSAPPDRPGCGIGPLSQALAPRAASGRSLDPLEALGPFIRLPAPHLAAARVALGPHPPVPGAM
+>sp|P51397|DAP1_HUMAN Death-associated protein 1 OS=Homo sapiens OX=9606 GN=DAP PE=1 SV=3
+MSSPPEGKLETKAGHPPAVKAGGMRIVQKHPHTGDTKEEKDKDDQEWESPSPPKPTVFISGVIARGDKDFPPAAAQVAHQKPHASMDKHPSPRTQHIQQPRK
+>DECOY_sp|P51397|DAP1_HUMAN Death-associated protein 1 OS=Homo sapiens OX=9606 GN=DAP PE=1 SV=3
+KRPQQIHQTRPSPHKDMSAHPKQHAVQAAAPPFDKDGRAIVGSIFVTPKPPSPSEWEQDDKDKEEKTDGTHPHKQVIRMGGAKVAPPHGAKTELKGEPPSSM
+>sp|Q96EP5|DAZP1_HUMAN DAZ-associated protein 1 OS=Homo sapiens OX=9606 GN=DAZAP1 PE=1 SV=1
+MNNSGADEIGKLFVGGLDWSTTQETLRSYFSQYGEVVDCVIMKDKTTNQSRGFGFVKFKDPNCVGTVLASRPHTLDGRNIDPKPCTPRGMQPERTRPKEGWQKGPRSDNSKSNKIFVGGIPHNCGETELREYFKKFGVVTEVVMIYDAEKQRPRGFGFITFEDEQSVDQAVNMHFHDIMGKKVEVKRAEPRDSKSQAPGQPGASQWGSRVVPNAANGWAGQPPPTWQQGYGPQGMWVPAGQAIGGYGPPPAGRGAPPPPPPFTSYIVSTPPGGFPPPQGFPQGYGAPPQFSFGYGPPPPPPDQFAPPGVPPPPATPGAAPLAFPPPPSQAAPDMSKPPTAQPDFPYGQYAGYGQDLSGFGQGFSDPSQQPPSYGGPSVPGSGGPPAGGSGFGRGQNHNVQGFHPYRR
+>DECOY_sp|Q96EP5|DAZP1_HUMAN DAZ-associated protein 1 OS=Homo sapiens OX=9606 GN=DAZAP1 PE=1 SV=1
+RRYPHFGQVNHNQGRGFGSGGAPPGGSGPVSPGGYSPPQQSPDSFGQGFGSLDQGYGAYQGYPFDPQATPPKSMDPAAQSPPPPFALPAAGPTAPPPPVGPPAFQDPPPPPPGYGFSFQPPAGYGQPFGQPPPFGGPPTSVIYSTFPPPPPPAGRGAPPPGYGGIAQGAPVWMGQPGYGQQWTPPPQGAWGNAANPVVRSGWQSAGPQGPAQSKSDRPEARKVEVKKGMIDHFHMNVAQDVSQEDEFTIFGFGRPRQKEADYIMVVETVVGFKKFYERLETEGCNHPIGGVFIKNSKSNDSRPGKQWGEKPRTREPQMGRPTCPKPDINRGDLTHPRSALVTGVCNPDKFKVFGFGRSQNTTKDKMIVCDVVEGYQSFYSRLTEQTTSWDLGGVFLKGIEDAGSNNM
+>sp|Q30KQ5|DB115_HUMAN Beta-defensin 115 OS=Homo sapiens OX=9606 GN=DEFB115 PE=3 SV=1
+MLPDHFSPLSGDIKLSVLALVVLVVLAQTAPDGWIRRCYYGTGRCRKSCKEIERKKEKCGEKHICCVPKEKDKLSHIHDQKETSELYI
+>DECOY_sp|Q30KQ5|DB115_HUMAN Beta-defensin 115 OS=Homo sapiens OX=9606 GN=DEFB115 PE=3 SV=1
+IYLESTEKQDHIHSLKDKEKPVCCIHKEGCKEKKREIEKCSKRCRGTGYYCRRIWGDPATQALVVLVVLALVSLKIDGSLPSFHDPLM
+>sp|Q5J5C9|DB121_HUMAN Beta-defensin 121 OS=Homo sapiens OX=9606 GN=DEFB121 PE=1 SV=1
+MKLLLLLLTVTLLLAQVTPVMKCWGKSGRCRTTCKESEVYYILCKTEAKCCVDPKYVPVKPKLTDTNTSLESTSAV
+>DECOY_sp|Q5J5C9|DB121_HUMAN Beta-defensin 121 OS=Homo sapiens OX=9606 GN=DEFB121 PE=1 SV=1
+VASTSELSTNTDTLKPKVPVYKPDVCCKAETKCLIYYVESEKCTTRCRGSKGWCKMVPTVQALLLTVTLLLLLLKM
+>sp|Q8N688|DB123_HUMAN Beta-defensin 123 OS=Homo sapiens OX=9606 GN=DEFB123 PE=2 SV=1
+MKLLLLTLTVLLLLSQLTPGGTQRCWNLYGKCRYRCSKKERVYVYCINNKMCCVKPKYQPKERWWPF
+>DECOY_sp|Q8N688|DB123_HUMAN Beta-defensin 123 OS=Homo sapiens OX=9606 GN=DEFB123 PE=2 SV=1
+FPWWREKPQYKPKVCCMKNNICYVYVREKKSCRYRCKGYLNWCRQTGGPTLQSLLLLVTLTLLLLKM
+>sp|Q9H1M3|DB129_HUMAN Beta-defensin 129 OS=Homo sapiens OX=9606 GN=DEFB129 PE=2 SV=1
+MKLLFPIFASLMLQYQVNTEFIGLRRCLMGLGRCRDHCNVDEKEIQKCKMKKCCVGPKVVKLIKNYLQYGTPNVLNEDVQEMLKPAKNSSAVIQRKHILSVLPQIKSTSFFANTNFVIIPNATPMNSATISTMTPGQITYTATSTKSNTKESRDSATASPPPAPPPPNILPTPSLELEEAEEQ
+>DECOY_sp|Q9H1M3|DB129_HUMAN Beta-defensin 129 OS=Homo sapiens OX=9606 GN=DEFB129 PE=2 SV=1
+QEEAEELELSPTPLINPPPPAPPPSATASDRSEKTNSKTSTATYTIQGPTMTSITASNMPTANPIIVFNTNAFFSTSKIQPLVSLIHKRQIVASSNKAPKLMEQVDENLVNPTGYQLYNKILKVVKPGVCCKKMKCKQIEKEDVNCHDRCRGLGMLCRRLGIFETNVQYQLMLSAFIPFLLKM
+>sp|Q30KQ1|DB133_HUMAN Beta-defensin 133 OS=Homo sapiens OX=9606 GN=DEFB133 PE=3 SV=1
+MKIHVFLFVLFFFLVPIATRVKCAVKDTYSCFIMRGKCRHECHDFEKPIGFCTKLNANCYM
+>DECOY_sp|Q30KQ1|DB133_HUMAN Beta-defensin 133 OS=Homo sapiens OX=9606 GN=DEFB133 PE=3 SV=1
+MYCNANLKTCFGIPKEFDHCEHRCKGRMIFCSYTDKVACKVRTAIPVLFFFLVFLFVHIKM
+>sp|Q4QY38|DB134_HUMAN Beta-defensin 134 OS=Homo sapiens OX=9606 GN=DEFB134 PE=3 SV=1
+MKPLLVVFVFLFLWDPVLAGINSLSSEMHKKCYKNGICRLECYESEMLVAYCMFQLECCVKGNPAP
+>DECOY_sp|Q4QY38|DB134_HUMAN Beta-defensin 134 OS=Homo sapiens OX=9606 GN=DEFB134 PE=3 SV=1
+PAPNGKVCCELQFMCYAVLMESEYCELRCIGNKYCKKHMESSLSNIGALVPDWLFLFVFVVLLPKM
+>sp|Q30KP9|DB135_HUMAN Beta-defensin 135 OS=Homo sapiens OX=9606 GN=DEFB135 PE=3 SV=1
+MATRSVLLALVVLNLLFYVPPGRSGPNVYIQKIFASCWRLQGTCRPKCLKNEQYRILCDTIHLCCVNPKYLPILTGK
+>DECOY_sp|Q30KP9|DB135_HUMAN Beta-defensin 135 OS=Homo sapiens OX=9606 GN=DEFB135 PE=3 SV=1
+KGTLIPLYKPNVCCLHITDCLIRYQENKLCKPRCTGQLRWCSAFIKQIYVNPGSRGPPVYFLLNLVVLALLVSRTAM
+>sp|Q30KP8|DB136_HUMAN Beta-defensin 136 OS=Homo sapiens OX=9606 GN=DEFB136 PE=3 SV=1
+MNLCLSALLFFLVILLPSGKGMFGNDGVKVRTCTSQKAVCFFGCPPGYRWIAFCHNILSCCKNMTRFQPPQAKDPWVH
+>DECOY_sp|Q30KP8|DB136_HUMAN Beta-defensin 136 OS=Homo sapiens OX=9606 GN=DEFB136 PE=3 SV=1
+HVWPDKAQPPQFRTMNKCCSLINHCFAIWRYGPPCGFFCVAKQSTCTRVKVGDNGFMGKGSPLLIVLFFLLASLCLNM
+>sp|Q96GG9|DCNL1_HUMAN DCN1-like protein 1 OS=Homo sapiens OX=9606 GN=DCUN1D1 PE=1 SV=1
+MNKLKSSQKDKVRQFMIFTQSSEKTAVSCLSQNDWKLDVATDNFFQNPELYIRESVKGSLDRKKLEQLYNRYKDPQDENKIGIDGIQQFCDDLALDPASISVLIIAWKFRAATQCEFSKQEFMDGMTELGCDSIEKLKAQIPKMEQELKEPGRFKDFYQFTFNFAKNPGQKGLDLEMAIAYWNLVLNGRFKFLDLWNKFLLEHHKRSIPKDTWNLLLDFSTMIADDMSNYDEEGAWPVLIDDFVEFARPQIAGTKSTTV
+>DECOY_sp|Q96GG9|DCNL1_HUMAN DCN1-like protein 1 OS=Homo sapiens OX=9606 GN=DCUN1D1 PE=1 SV=1
+VTTSKTGAIQPRAFEVFDDILVPWAGEEDYNSMDDAIMTSFDLLLNWTDKPISRKHHELLFKNWLDLFKFRGNLVLNWYAIAMELDLGKQGPNKAFNFTFQYFDKFRGPEKLEQEMKPIQAKLKEISDCGLETMGDMFEQKSFECQTAARFKWAIILVSISAPDLALDDCFQQIGDIGIKNEDQPDKYRNYLQELKKRDLSGKVSERIYLEPNQFFNDTAVDLKWDNQSLCSVATKESSQTFIMFQRVKDKQSSKLKNM
+>sp|Q8TF63|DCNP1_HUMAN Dendritic cell nuclear protein 1 OS=Homo sapiens OX=9606 GN=DCANP1 PE=1 SV=1
+MHYGAATHIQNSRSHGLETVPGHQRLERGAGGETPEFPGCHSPAPPENFGNELLPLSAPLQGLSEGLYPPGRNKTLPAGVLREGAVQFLHRGLCNSNLSSEASARPSGTQDELHSSRRKTGQTRREGARKHLVCSFRLYPFTVHTVSPGNSHLALYQVFKAVKLCPSETSFFLSRKSLKSSDPWHPPSLSPNSWNRQAGFRAWSSHLISLSLTCSDSQSRRVSSSQQPPLHSLSSHRRAAHVPE
+>DECOY_sp|Q8TF63|DCNP1_HUMAN Dendritic cell nuclear protein 1 OS=Homo sapiens OX=9606 GN=DCANP1 PE=1 SV=1
+EPVHAARRHSSLSHLPPQQSSSVRRSQSDSCTLSLSILHSSWARFGAQRNWSNPSLSPPHWPDSSKLSKRSLFFSTESPCLKVAKFVQYLALHSNGPSVTHVTFPYLRFSCVLHKRAGERRTQGTKRRSSHLEDQTGSPRASAESSLNSNCLGRHLFQVAGERLVGAPLTKNRGPPYLGESLGQLPASLPLLENGFNEPPAPSHCGPFEPTEGGAGRELRQHGPVTELGHSRSNQIHTAAGYHM
+>sp|Q9NPI6|DCP1A_HUMAN mRNA-decapping enzyme 1A OS=Homo sapiens OX=9606 GN=DCP1A PE=1 SV=2
+MEALSRAGQEMSLAALKQHDPYITSIADLTGQVALYTFCPKANQWEKTDIEGTLFVYRRSASPYHGFTIVNRLNMHNLVEPVNKDLEFQLHEPFLLYRNASLSIYSIWFYDKNDCHRIAKLMADVVEEETRRSQQAARDKQSPSQANGCSDHRPIDILEMLSRAKDEYERNQMGDSNISSPGLQPSTQLSNLGSTETLEEMPSGSQDKSAPSGHKHLTVEELFGTSLPKEQPAVVGLDSEEMERLPGDASQKEPNSFLPFPFEQLGGAPQSETLGVPSAAHHSVQPEITTPVLITPASITQSNEKHAPTYTIPLSPVLSPTLPAEAPTAQVPPSLPRNSTMMQAVKTTPRQRSPLLNQPVPELSHASLIANQSPFRAPLNVTNTAGTSLPSVDLLQKLRLTPQHDQIQTQPLGKGAMVASFSPAAGQLATPESFIEPPSKTAAARVAASASLSNMVLAPLQSMQQNQDPEVFVQPKVLSSAIQVAGAPLVTATTTAVSSVLLAPSVFQQTVTRSSDLERKASSPSPLTIGTPESQRKPSIILSKSQLQDTLIHLIKNDSSFLSTLHEVYLQVLTKNKDNHNL
+>DECOY_sp|Q9NPI6|DCP1A_HUMAN mRNA-decapping enzyme 1A OS=Homo sapiens OX=9606 GN=DCP1A PE=1 SV=2
+LNHNDKNKTLVQLYVEHLTSLFSSDNKILHILTDQLQSKSLIISPKRQSEPTGITLPSPSSAKRELDSSRTVTQQFVSPALLVSSVATTTATVLPAGAVQIASSLVKPQVFVEPDQNQQMSQLPALVMNSLSASAAVRAAATKSPPEIFSEPTALQGAAPSFSAVMAGKGLPQTQIQDHQPTLRLKQLLDVSPLSTGATNTVNLPARFPSQNAILSAHSLEPVPQNLLPSRQRPTTKVAQMMTSNRPLSPPVQATPAEAPLTPSLVPSLPITYTPAHKENSQTISAPTILVPTTIEPQVSHHAASPVGLTESQPAGGLQEFPFPLFSNPEKQSADGPLREMEESDLGVVAPQEKPLSTGFLEEVTLHKHGSPASKDQSGSPMEELTETSGLNSLQTSPQLGPSSINSDGMQNREYEDKARSLMELIDIPRHDSCGNAQSPSQKDRAAQQSRRTEEEVVDAMLKAIRHCDNKDYFWISYISLSANRYLLFPEHLQFELDKNVPEVLNHMNLRNVITFGHYPSASRRYVFLTGEIDTKEWQNAKPCFTYLAVQGTLDAISTIYPDHQKLAALSMEQGARSLAEM
+>sp|Q5T1A1|DCST2_HUMAN DC-STAMP domain-containing protein 2 OS=Homo sapiens OX=9606 GN=DCST2 PE=2 SV=2
+MPKVMKDVVHPLGGEEPSMARAVVRSVGGFTLGLSLATAYGLLELLVEGHSPWGCLVGTLTLAAFLSLGMGFSRQVRATVLLLLPQAFSRQGRTLLLVAAFGLVLQGPCANTLRNFTRASEAVACGAELALNQTAEVLQRAKQPLVSALNKIKAIARKTKEVADRVRKFFRSIMDGVKHIARALRNVWQWLLHIGDVCNSELGNPYLKCARVFDDAKDSCMMVIPQAYHLCYVLMPFKLALCGLASLVQVFCVIPKYIQPFLRQTIGTPVIQLLNRVRQEFEFNMTATHHFSVDLNASRSLSQVAMDLHEAVSMKLHRVREALALMGFTTPLLLVLLYLQALFYRYCYLNWDHYDNIYITSRFLRMEAVRSTAGLPTVLPLSAHEARRYIPPGSIFLSQWEKFFYILETFNLIRHLLLVLFLVFLDYAVFWVLDLARHQLQGEIVARSPVLVSLTVEGTGYAGNIYRDLVSAFDVLQQGNISILSRRCLLRPSEPDSTGYIVIGVMYGLCFFITLFGSYVSRLRRVICASYYPSREQERISYLYNVLLSRRTNLLAALHRSVRRRAADQGHRSAFLVLASRCPCLGPFVSHFWLHQAYCLGCGQPQDEGDMENTVSCSTPGCQGLYCLTCFRLLDNTCSVCASPLSYQGDLDLELDSSDEEGPQLWLAAAQRKDPEQAWLLQQQLQEVLGRSLSMESTSESSDLDEEKGPQQRKHGQQPLPEAHQPVSILTSPEPHRPPETSSATKGAPTPASEPSVPLSPPSLPDPSHPPPK
+>DECOY_sp|Q5T1A1|DCST2_HUMAN DC-STAMP domain-containing protein 2 OS=Homo sapiens OX=9606 GN=DCST2 PE=2 SV=2
+KPPPHSPDPLSPPSLPVSPESAPTPAGKTASSTEPPRHPEPSTLISVPQHAEPLPQQGHKRQQPGKEEDLDSSESTSEMSLSRGLVEQLQQQLLWAQEPDKRQAAALWLQPGEEDSSDLELDLDGQYSLPSACVSCTNDLLRFCTLCYLGQCGPTSCSVTNEMDGEDQPQGCGLCYAQHLWFHSVFPGLCPCRSALVLFASRHGQDAARRRVSRHLAALLNTRRSLLVNYLYSIREQERSPYYSACIVRRLRSVYSGFLTIFFCLGYMVGIVIYGTSDPESPRLLCRRSLISINGQQLVDFASVLDRYINGAYGTGEVTLSVLVPSRAVIEGQLQHRALDLVWFVAYDLFVLFLVLLLHRILNFTELIYFFKEWQSLFISGPPIYRRAEHASLPLVTPLGATSRVAEMRLFRSTIYINDYHDWNLYCYRYFLAQLYLLVLLLPTTFGMLALAERVRHLKMSVAEHLDMAVQSLSRSANLDVSFHHTATMNFEFEQRVRNLLQIVPTGITQRLFPQIYKPIVCFVQVLSALGCLALKFPMLVYCLHYAQPIVMMCSDKADDFVRACKLYPNGLESNCVDGIHLLWQWVNRLARAIHKVGDMISRFFKRVRDAVEKTKRAIAKIKNLASVLPQKARQLVEATQNLALEAGCAVAESARTFNRLTNACPGQLVLGFAAVLLLTRGQRSFAQPLLLLVTARVQRSFGMGLSLFAALTLTGVLCGWPSHGEVLLELLGYATALSLGLTFGGVSRVVARAMSPEEGGLPHVVDKMVKPM
+>sp|Q9NUU7|DD19A_HUMAN ATP-dependent RNA helicase DDX19A OS=Homo sapiens OX=9606 GN=DDX19A PE=1 SV=1
+MATDSWALAVDEQEAAVKSMTNLQIKEEKVKADTNGIIKTSTTAEKTDEEEKEDRAAQSLLNKLIRSNLVDNTNQVEVLQRDPNSPLYSVKSFEELRLKPQLLQGVYAMGFNRPSKIQENALPMMLAEPPQNLIAQSQSGTGKTAAFVLAMLSRVEPSDRYPQCLCLSPTYELALQTGKVIEQMGKFYPELKLAYAVRGNKLERGQKISEQIVIGTPGTVLDWCSKLKFIDPKKIKVFVLDEADVMIATQGHQDQSIRIQRMLPRNCQMLLFSATFEDSVWKFAQKVVPDPNVIKLKREEETLDTIKQYYVLCSSRDEKFQALCNLYGAITIAQAMIFCHTRKTASWLAAELSKEGHQVALLSGEMMVEQRAAVIERFREGKEKVLVTTNVCARGIDVEQVSVVINFDLPVDKDGNPDNETYLHRIGRTGRFGKRGLAVNMVDSKHSMNILNRIQEHFNKKIERLDTDDLDEIEKIAN
+>DECOY_sp|Q9NUU7|DD19A_HUMAN ATP-dependent RNA helicase DDX19A OS=Homo sapiens OX=9606 GN=DDX19A PE=1 SV=1
+NAIKEIEDLDDTDLREIKKNFHEQIRNLINMSHKSDVMNVALGRKGFRGTRGIRHLYTENDPNGDKDVPLDFNIVVSVQEVDIGRACVNTTVLVKEKGERFREIVAARQEVMMEGSLLAVQHGEKSLEAALWSATKRTHCFIMAQAITIAGYLNCLAQFKEDRSSCLVYYQKITDLTEEERKLKIVNPDPVVKQAFKWVSDEFTASFLLMQCNRPLMRQIRISQDQHGQTAIMVDAEDLVFVKIKKPDIFKLKSCWDLVTGPTGIVIQESIKQGRELKNGRVAYALKLEPYFKGMQEIVKGTQLALEYTPSLCLCQPYRDSPEVRSLMALVFAATKGTGSQSQAILNQPPEALMMPLANEQIKSPRNFGMAYVGQLLQPKLRLEEFSKVSYLPSNPDRQLVEVQNTNDVLNSRILKNLLSQAARDEKEEEDTKEATTSTKIIGNTDAKVKEEKIQLNTMSKVAAEQEDVALAWSDTAM
+>sp|Q16832|DDR2_HUMAN Discoidin domain-containing receptor 2 OS=Homo sapiens OX=9606 GN=DDR2 PE=1 SV=2
+MILIPRMLLVLFLLLPILSSAKAQVNPAICRYPLGMSGGQIPDEDITASSQWSESTAAKYGRLDSEEGDGAWCPEIPVEPDDLKEFLQIDLHTLHFITLVGTQGRHAGGHGIEFAPMYKINYSRDGTRWISWRNRHGKQVLDGNSNPYDIFLKDLEPPIVARFVRFIPVTDHSMNVCMRVELYGCVWLDGLVSYNAPAGQQFVLPGGSIIYLNDSVYDGAVGYSMTEGLGQLTDGVSGLDDFTQTHEYHVWPGYDYVGWRNESATNGYIEIMFEFDRIRNFTTMKVHCNNMFAKGVKIFKEVQCYFRSEASEWEPNAISFPLVLDDVNPSARFVTVPLHHRMASAIKCQYHFADTWMMFSEITFQSDAAMYNNSEALPTSPMAPTTYDPMLKVDDSNTRILIGCLVAIIFILLAIIVIILWRQFWQKMLEKASRRMLDDEMTVSLSLPSDSSMFNNNRSSSPSEQGSNSTYDRIFPLRPDYQEPSRLIRKLPEFAPGEEESGCSGVVKPVQPSGPEGVPHYAEADIVNLQGVTGGNTYSVPAVTMDLLSGKDVAVEEFPRKLLTFKEKLGEGQFGEVHLCEVEGMEKFKDKDFALDVSANQPVLVAVKMLRADANKNARNDFLKEIKIMSRLKDPNIIHLLAVCITDDPLCMITEYMENGDLNQFLSRHEPPNSSSSDVRTVSYTNLKFMATQIASGMKYLSSLNFVHRDLATRNCLVGKNYTIKIADFGMSRNLYSGDYYRIQGRAVLPIRWMSWESILLGKFTTASDVWAFGVTLWETFTFCQEQPYSQLSDEQVIENTGEFFRDQGRQTYLPQPAICPDSVYKLMLSCWRRDTKNRPSFQEIHLLLLQQGDE
+>DECOY_sp|Q16832|DDR2_HUMAN Discoidin domain-containing receptor 2 OS=Homo sapiens OX=9606 GN=DDR2 PE=1 SV=2
+EDGQQLLLLHIEQFSPRNKTDRRWCSLMLKYVSDPCIAPQPLYTQRGQDRFFEGTNEIVQEDSLQSYPQEQCFTFTEWLTVGFAWVDSATTFKGLLISEWSMWRIPLVARGQIRYYDGSYLNRSMGFDAIKITYNKGVLCNRTALDRHVFNLSSLYKMGSAIQTAMFKLNTYSVTRVDSSSSNPPEHRSLFQNLDGNEMYETIMCLPDDTICVALLHIINPDKLRSMIKIEKLFDNRANKNADARLMKVAVLVPQNASVDLAFDKDKFKEMGEVECLHVEGFQGEGLKEKFTLLKRPFEEVAVDKGSLLDMTVAPVSYTNGGTVGQLNVIDAEAYHPVGEPGSPQVPKVVGSCGSEEEGPAFEPLKRILRSPEQYDPRLPFIRDYTSNSGQESPSSSRNNNFMSSDSPLSLSVTMEDDLMRRSAKELMKQWFQRWLIIVIIALLIFIIAVLCGILIRTNSDDVKLMPDYTTPAMPSTPLAESNNYMAADSQFTIESFMMWTDAFHYQCKIASAMRHHLPVTVFRASPNVDDLVLPFSIANPEWESAESRFYCQVEKFIKVGKAFMNNCHVKMTTFNRIRDFEFMIEIYGNTASENRWGVYDYGPWVHYEHTQTFDDLGSVGDTLQGLGETMSYGVAGDYVSDNLYIISGGPLVFQQGAPANYSVLGDLWVCGYLEVRMCVNMSHDTVPIFRVFRAVIPPELDKLFIDYPNSNGDLVQKGHRNRWSIWRTGDRSYNIKYMPAFEIGHGGAHRGQTGVLTIFHLTHLDIQLFEKLDDPEVPIEPCWAGDGEESDLRGYKAATSESWQSSATIDEDPIQGGSMGLPYRCIAPNVQAKASSLIPLLLFLVLLMRPILIM
+>sp|Q9NR30|DDX21_HUMAN Nucleolar RNA helicase 2 OS=Homo sapiens OX=9606 GN=DDX21 PE=1 SV=5
+MPGKLRSDAGLESDTAMKKGETLRKQTEEKEKKEKPKSDKTEEIAEEEETVFPKAKQVKKKAEPSEVDMNSPKSKKAKKKEEPSQNDISPKTKSLRKKKEPIEKKVVSSKTKKVTKNEEPSEEEIDAPKPKKMKKEKEMNGETREKSPKLKNGFPHPEPDCNPSEAASEESNSEIEQEIPVEQKEGAFSNFPISEETIKLLKGRGVTFLFPIQAKTFHHVYSGKDLIAQARTGTGKTFSFAIPLIEKLHGELQDRKRGRAPQVLVLAPTRELANQVSKDFSDITKKLSVACFYGGTPYGGQFERMRNGIDILVGTPGRIKDHIQNGKLDLTKLKHVVLDEVDQMLDMGFADQVEEILSVAYKKDSEDNPQTLLFSATCPHWVFNVAKKYMKSTYEQVDLIGKKTQKTAITVEHLAIKCHWTQRAAVIGDVIRVYSGHQGRTIIFCETKKEAQELSQNSAIKQDAQSLHGDIPQKQREITLKGFRNGSFGVLVATNVAARGLDIPEVDLVIQSSPPKDVESYIHRSGRTGRAGRTGVCICFYQHKEEYQLVQVEQKAGIKFKRIGVPSATEIIKASSKDAIRLLDSVPPTAISHFKQSAEKLIEEKGAVEALAAALAHISGATSVDQRSLINSNVGFVTMILQCSIEMPNISYAWKELKEQLGEEIDSKVKGMVFLKGKLGVCFDVPTASVTEIQEKWHDSRRWQLSVATEQPELEGPREGYGGFRGQREGSRGFRGQRDGNRRFRGQREGSRGPRGQRSGGGNKSNRSQNKGQKRSFSKAFGQ
+>DECOY_sp|Q9NR30|DDX21_HUMAN Nucleolar RNA helicase 2 OS=Homo sapiens OX=9606 GN=DDX21 PE=1 SV=5
+QGFAKSFSRKQGKNQSRNSKNGGGSRQGRPGRSGERQGRFRRNGDRQGRFGRSGERQGRFGGYGERPGELEPQETAVSLQWRRSDHWKEQIETVSATPVDFCVGLKGKLFVMGKVKSDIEEGLQEKLEKWAYSINPMEISCQLIMTVFGVNSNILSRQDVSTAGSIHALAAALAEVAGKEEILKEASQKFHSIATPPVSDLLRIADKSSAKIIETASPVGIRKFKIGAKQEVQVLQYEEKHQYFCICVGTRGARGTRGSRHIYSEVDKPPSSQIVLDVEPIDLGRAAVNTAVLVGFSGNRFGKLTIERQKQPIDGHLSQADQKIASNQSLEQAEKKTECFIITRGQHGSYVRIVDGIVAARQTWHCKIALHEVTIATKQTKKGILDVQEYTSKMYKKAVNFVWHPCTASFLLTQPNDESDKKYAVSLIEEVQDAFGMDLMQDVEDLVVHKLKTLDLKGNQIHDKIRGPTGVLIDIGNRMREFQGGYPTGGYFCAVSLKKTIDSFDKSVQNALERTPALVLVQPARGRKRDQLEGHLKEILPIAFSFTKGTGTRAQAILDKGSYVHHFTKAQIPFLFTVGRGKLLKITEESIPFNSFAGEKQEVPIEQEIESNSEESAAESPNCDPEPHPFGNKLKPSKERTEGNMEKEKKMKKPKPADIEEESPEENKTVKKTKSSVVKKEIPEKKKRLSKTKPSIDNQSPEEKKKAKKSKPSNMDVESPEAKKKVQKAKPFVTEEEEAIEETKDSKPKEKKEKEETQKRLTEGKKMATDSELGADSRLKGPM
+>sp|Q9GZR7|DDX24_HUMAN ATP-dependent RNA helicase DDX24 OS=Homo sapiens OX=9606 GN=DDX24 PE=1 SV=1
+MKLKDTKSRPKQSSCGKFQTKGIKVVGKWKEVKIDPNMFADGQMDDLVCFEELTDYQLVSPAKNPSSLFSKEAPKRKAQAVSEEEEEEEGKSSSPKKKIKLKKSKNVATEGTSTQKEFEVKDPELEAQGDDMVCDDPEAGEMTSENLVQTAPKKKKNKGKKGLEPSQSTAAKVPKKAKTWIPEVHDQKADVSAWKDLFVPRPVLRALSFLGFSAPTPIQALTLAPAIRDKLDILGAAETGSGKTLAFAIPMIHAVLQWQKRNAAPPPSNTEAPPGETRTEAGAETRSPGKAEAESDALPDDTVIESEALPSDIAAEARAKTGGTVSDQALLFGDDDAGEGPSSLIREKPVPKQNENEEENLDKEQTGNLKQELDDKSATCKAYPKRPLLGLVLTPTRELAVQVKQHIDAVARFTGIKTAILVGGMSTQKQQRMLNRRPEIVVATPGRLWELIKEKHYHLRNLRQLRCLVVDEADRMVEKGHFAELSQLLEMLNDSQYNPKRQTLVFSATLTLVHQAPARILHKKHTKKMDKTAKLDLLMQKIGMRGKPKVIDLTRNEATVETLTETKIHCETDEKDFYLYYFLMQYPGRSLVFANSISCIKRLSGLLKVLDIMPLTLHACMHQKQRLRNLEQFARLEDCVLLATDVAARGLDIPKVQHVIHYQVPRTSEIYVHRSGRTARATNEGLSLMLIGPEDVINFKKIYKTLKKDEDIPLFPVQTKYMDVVKERIRLARQIEKSEYRNFQACLHNSWIEQAAAALEIELEEDMYKGGKADQQEERRRQKQMKVLKKELRHLLSQPLFTESQKTKYPTQSGKPPLLVSAPSKSESALSCLSKQKKKKTKKPKEPQPEQPQPSTSAN
+>DECOY_sp|Q9GZR7|DDX24_HUMAN ATP-dependent RNA helicase DDX24 OS=Homo sapiens OX=9606 GN=DDX24 PE=1 SV=1
+NASTSPQPQEPQPEKPKKTKKKKQKSLCSLASESKSPASVLLPPKGSQTPYKTKQSETFLPQSLLHRLEKKLVKMQKQRRREEQQDAKGGKYMDEELEIELAAAAQEIWSNHLCAQFNRYESKEIQRALRIREKVVDMYKTQVPFLPIDEDKKLTKYIKKFNIVDEPGILMLSLGENTARATRGSRHVYIESTRPVQYHIVHQVKPIDLGRAAVDTALLVCDELRAFQELNRLRQKQHMCAHLTLPMIDLVKLLGSLRKICSISNAFVLSRGPYQMLFYYLYFDKEDTECHIKTETLTEVTAENRTLDIVKPKGRMGIKQMLLDLKATKDMKKTHKKHLIRAPAQHVLTLTASFVLTQRKPNYQSDNLMELLQSLEAFHGKEVMRDAEDVVLCRLQRLNRLHYHKEKILEWLRGPTAVVIEPRRNLMRQQKQTSMGGVLIATKIGTFRAVADIHQKVQVALERTPTLVLGLLPRKPYAKCTASKDDLEQKLNGTQEKDLNEEENENQKPVPKERILSSPGEGADDDGFLLAQDSVTGGTKARAEAAIDSPLAESEIVTDDPLADSEAEAKGPSRTEAGAETRTEGPPAETNSPPPAANRKQWQLVAHIMPIAFALTKGSGTEAAGLIDLKDRIAPALTLAQIPTPASFGLFSLARLVPRPVFLDKWASVDAKQDHVEPIWTKAKKPVKAATSQSPELGKKGKNKKKKPATQVLNESTMEGAEPDDCVMDDGQAELEPDKVEFEKQTSTGETAVNKSKKLKIKKKPSSSKGEEEEEEESVAQAKRKPAEKSFLSSPNKAPSVLQYDTLEEFCVLDDMQGDAFMNPDIKVEKWKGVVKIGKTQFKGCSSQKPRSKTDKLKM
+>sp|Q9NQI0|DDX4_HUMAN Probable ATP-dependent RNA helicase DDX4 OS=Homo sapiens OX=9606 GN=DDX4 PE=1 SV=2
+MGDEDWEAEINPHMSSYVPIFEKDRYSGENGDNFNRTPASSSEMDDGPSRRDHFMKSGFASGRNFGNRDAGECNKRDNTSTMGGFGVGKSFGNRGFSNSRFEDGDSSGFWRESSNDCEDNPTRNRGFSKRGGYRDGNNSEASGPYRRGGRGSFRGCRGGFGLGSPNNDLDPDECMQRTGGLFGSRRPVLSGTGNGDTSQSRSGSGSERGGYKGLNEEVITGSGKNSWKSEAEGGESSDTQGPKVTYIPPPPPEDEDSIFAHYQTGINFDKYDTILVEVSGHDAPPAILTFEEANLCQTLNNNIAKAGYTKLTPVQKYSIPIILAGRDLMACAQTGSGKTAAFLLPILAHMMHDGITASRFKELQEPECIIVAPTRELVNQIYLEARKFSFGTCVRAVVIYGGTQLGHSIRQIVQGCNILCATPGRLMDIIGKEKIGLKQIKYLVLDEADRMLDMGFGPEMKKLISCPGMPSKEQRQTLMFSATFPEEIQRLAAEFLKSNYLFVAVGQVGGACRDVQQTVLQVGQFSKREKLVEILRNIGDERTMVFVETKKKADFIATFLCQEKISTTSIHGDREQREREQALGDFRFGKCPVLVATSVAARGLDIENVQHVINFDLPSTIDEYVHRIGRTGRCGNTGRAISFFDLESDNHLAQPLVKVLTDAQQDVPAWLEEIAFSTYIPGFSGSTRGNVFASVDTRKGKSTLNTAGFSSSQAPNPVDDESWD
+>DECOY_sp|Q9NQI0|DDX4_HUMAN Probable ATP-dependent RNA helicase DDX4 OS=Homo sapiens OX=9606 GN=DDX4 PE=1 SV=2
+DWSEDDVPNPAQSSSFGATNLTSKGKRTDVSAFVNGRTSGSFGPIYTSFAIEELWAPVDQQADTLVKVLPQALHNDSELDFFSIARGTNGCRGTRGIRHVYEDITSPLDFNIVHQVNEIDLGRAAVSTAVLVPCKGFRFDGLAQERERQERDGHISTTSIKEQCLFTAIFDAKKKTEVFVMTREDGINRLIEVLKERKSFQGVQLVTQQVDRCAGGVQGVAVFLYNSKLFEAALRQIEEPFTASFMLTQRQEKSPMGPCSILKKMEPGFGMDLMRDAEDLVLYKIQKLGIKEKGIIDMLRGPTACLINCGQVIQRISHGLQTGGYIVVARVCTGFSFKRAELYIQNVLERTPAVIICEPEQLEKFRSATIGDHMMHALIPLLFAATKGSGTQACAMLDRGALIIPISYKQVPTLKTYGAKAINNNLTQCLNAEEFTLIAPPADHGSVEVLITDYKDFNIGTQYHAFISDEDEPPPPPIYTVKPGQTDSSEGGEAESKWSNKGSGTIVEENLGKYGGRESGSGSRSQSTDGNGTGSLVPRRSGFLGGTRQMCEDPDLDNNPSGLGFGGRCGRFSGRGGRRYPGSAESNNGDRYGGRKSFGRNRTPNDECDNSSERWFGSSDGDEFRSNSFGRNGFSKGVGFGGMTSTNDRKNCEGADRNGFNRGSAFGSKMFHDRRSPGDDMESSSAPTRNFNDGNEGSYRDKEFIPVYSSMHPNIEAEWDEDGM
+>sp|Q9BQ39|DDX50_HUMAN ATP-dependent RNA helicase DDX50 OS=Homo sapiens OX=9606 GN=DDX50 PE=1 SV=1
+MPGKLLWGDIMELEAPLEESESQKKERQKSDRRKSRHHYDSDEKSETRENGVTDDLDAPKAKKSKMKEKLNGDTEEGFNRLSDEFSKSHKSRRKDLPNGDIDEYEKKSKRVSSLDTSTHKSSDNKLEETLTREQKEGAFSNFPISEETIKLLKGRGVTYLFPIQVKTFGPVYEGKDLIAQARTGTGKTFSFAIPLIERLQRNQETIKKSRSPKVLVLAPTRELANQVAKDFKDITRKLSVACFYGGTSYQSQINHIRNGIDILVGTPGRIKDHLQSGRLDLSKLRHVVLDEVDQMLDLGFAEQVEDIIHESYKTDSEDNPQTLLFSATCPQWVYKVAKKYMKSRYEQVDLVGKMTQKAATTVEHLAIQCHWSQRPAVIGDVLQVYSGSEGRAIIFCETKKNVTEMAMNPHIKQNAQCLHGDIAQSQREITLKGFREGSFKVLVATNVAARGLDIPEVDLVIQSSPPQDVESYIHRSGRTGRAGRTGICICFYQPRERGQLRYVEQKAGITFKRVGVPSTMDLVKSKSMDAIRSLASVSYAAVDFFRPSAQRLIEEKGAVDALAAALAHISGASSFEPRSLITSDKGFVTMTLESLEEIQDVSCAWKELNRKLSSNAVSQITRMCLLKGNMGVCFDVPTTESERLQAEWHDSDWILSVPAKLPEIEEYYDGNTSSNSRQRSGWSSGRSGRSGRSGGRSGGRSGRQSRQGSRSGSRQDGRRRSGNRNRSRSGGHKRSFD
+>DECOY_sp|Q9BQ39|DDX50_HUMAN ATP-dependent RNA helicase DDX50 OS=Homo sapiens OX=9606 GN=DDX50 PE=1 SV=1
+DFSRKHGGSRSRNRNGSRRRGDQRSGSRSGQRSQRGSRGGSRGGSRGSRGSRGSSWGSRQRSNSSTNGDYYEEIEPLKAPVSLIWDSDHWEAQLRESETTPVDFCVGMNGKLLCMRTIQSVANSSLKRNLEKWACSVDQIEELSELTMTVFGKDSTILSRPEFSSAGSIHALAAALADVAGKEEILRQASPRFFDVAAYSVSALSRIADMSKSKVLDMTSPVGVRKFTIGAKQEVYRLQGRERPQYFCICIGTRGARGTRGSRHIYSEVDQPPSSQIVLDVEPIDLGRAAVNTAVLVKFSGERFGKLTIERQSQAIDGHLCQANQKIHPNMAMETVNKKTECFIIARGESGSYVQLVDGIVAPRQSWHCQIALHEVTTAAKQTMKGVLDVQEYRSKMYKKAVKYVWQPCTASFLLTQPNDESDTKYSEHIIDEVQEAFGLDLMQDVEDLVVHRLKSLDLRGSQLHDKIRGPTGVLIDIGNRIHNIQSQYSTGGYFCAVSLKRTIDKFDKAVQNALERTPALVLVKPSRSKKITEQNRQLREILPIAFSFTKGTGTRAQAILDKGEYVPGFTKVQIPFLYTVGRGKLLKITEESIPFNSFAGEKQERTLTEELKNDSSKHTSTDLSSVRKSKKEYEDIDGNPLDKRRSKHSKSFEDSLRNFGEETDGNLKEKMKSKKAKPADLDDTVGNERTESKEDSDYHHRSKRRDSKQREKKQSESEELPAELEMIDGWLLKGPM
+>sp|Q9Y2R4|DDX52_HUMAN Probable ATP-dependent RNA helicase DDX52 OS=Homo sapiens OX=9606 GN=DDX52 PE=1 SV=3
+MDVHDLFRRLGAGAKFDTRRFSADAARFQIGKRKYDFDSSEVLQGLDFFGNKKSVPGVCGASQTHQKPQNGEKKEESLTERKREQSKKKRKTMTSEIASQEEGATIQWMSSVEAKIEDKKVQRESKLTSGKLENLRKEKINFLRNKHKIHVQGTDLPDPIATFQQLDQEYKINSRLLQNILDAGFQMPTPIQMQAIPVMLHGRELLASAPTGSGKTLAFSIPILMQLKQPANKGFRALIISPTRELASQIHRELIKISEGTGFRIHMIHKAAVAAKKFGPKSSKKFDILVTTPNRLIYLLKQDPPGIDLASVEWLVVDESDKLFEDGKTGFRDQLASIFLACTSHKVRRAMFSATFAYDVEQWCKLNLDNVISVSIGARNSAVETVEQELLFVGSETGKLLAMRELVKKGFNPPVLVFVQSIERAKELFHELIYEGINVDVIHAERTQQQRDNTVHSFRAGKIWVLICTALLARGIDFKGVNLVINYDFPTSSVEYIHRIGRTGRAGNKGKAITFFTEDDKPLLRSVANVIQQAGCPVPEYIKGFQKLLSKQKKKMIKKPLERESISTTPKCFLEKAKDKQKKVTGQNSKKKVALEDKS
+>DECOY_sp|Q9Y2R4|DDX52_HUMAN Probable ATP-dependent RNA helicase DDX52 OS=Homo sapiens OX=9606 GN=DDX52 PE=1 SV=3
+SKDELAVKKKSNQGTVKKQKDKAKELFCKPTTSISERELPKKIMKKKQKSLLKQFGKIYEPVPCGAQQIVNAVSRLLPKDDETFFTIAKGKNGARGTRGIRHIYEVSSTPFDYNIVLNVGKFDIGRALLATCILVWIKGARFSHVTNDRQQQTREAHIVDVNIGEYILEHFLEKAREISQVFVLVPPNFGKKVLERMALLKGTESGVFLLEQEVTEVASNRAGISVSIVNDLNLKCWQEVDYAFTASFMARRVKHSTCALFISALQDRFGTKGDEFLKDSEDVVLWEVSALDIGPPDQKLLYILRNPTTVLIDFKKSSKPGFKKAAVAAKHIMHIRFGTGESIKILERHIQSALERTPSIILARFGKNAPQKLQMLIPISFALTKGSGTPASALLERGHLMVPIAQMQIPTPMQFGADLINQLLRSNIKYEQDLQQFTAIPDPLDTGQVHIKHKNRLFNIKEKRLNELKGSTLKSERQVKKDEIKAEVSSMWQITAGEEQSAIESTMTKRKKKSQERKRETLSEEKKEGNQPKQHTQSAGCVGPVSKKNGFFDLGQLVESSDFDYKRKGIQFRAADASFRRTDFKAGAGLRRFLDHVDM
+>sp|Q86TM3|DDX53_HUMAN Probable ATP-dependent RNA helicase DDX53 OS=Homo sapiens OX=9606 GN=DDX53 PE=1 SV=3
+MSHWAPEWKRAEANPRDLGASWDVRGSRGSGWSGPFGHQGPRAAGSREPPLCFKIKNNMVGVVIGYSGSKIKDLQHSTNTKIQIINGESEAKVRIFGNREMKAKAKAAIETLIRKQESYNSESSVDNAASQTPIGRNLGRNDIVGEAEPLSNWDRIRAAVVECEKRKWADLPPVKKNFYIESKATSCMSEMQVINWRKENFNITCDDLKSGEKRLIPKPTCRFKDAFQQYPDLLKSIIRVGIVKPTPIQSQAWPIILQGIDLIVVAQTGTGKTLSYLMPGFIHLDSQPISREQRNGPGMLVLTPTRELALHVEAECSKYSYKGLKSICIYGGRNRNGQIEDISKGVDIIIATPGRLNDLQMNNSVNLRSITYLVIDEADKMLDMEFEPQIRKILLDVRPDRQTVMTSATWPDTVRQLALSYLKDPMIVYVGNLNLVAVNTVKQNIIVTTEKEKRALTQEFVENMSPNDKVIMFVSQKHIADDLSSDFNIQGISAESLHGNSEQSDQERAVEDFKSGNIKILITTDIVSRGLDLNDVTHVYNYDFPRNIDVYVHRVGYIGRTGKTGTSVTLITQRDSKMAGELIKILDRANQSVPEDLVVMAEQYKLNQQKRHRETRSRKPGQRRKEFYFLS
+>DECOY_sp|Q86TM3|DDX53_HUMAN Probable ATP-dependent RNA helicase DDX53 OS=Homo sapiens OX=9606 GN=DDX53 PE=1 SV=3
+SLFYFEKRRQGPKRSRTERHRKQQNLKYQEAMVVLDEPVSQNARDLIKILEGAMKSDRQTILTVSTGTKGTRGIYGVRHVYVDINRPFDYNYVHTVDNLDLGRSVIDTTILIKINGSKFDEVAREQDSQESNGHLSEASIGQINFDSSLDDAIHKQSVFMIVKDNPSMNEVFEQTLARKEKETTVIINQKVTNVAVLNLNGVYVIMPDKLYSLALQRVTDPWTASTMVTQRDPRVDLLIKRIQPEFEMDLMKDAEDIVLYTISRLNVSNNMQLDNLRGPTAIIIDVGKSIDEIQGNRNRGGYICISKLGKYSYKSCEAEVHLALERTPTLVLMGPGNRQERSIPQSDLHIFGPMLYSLTKGTGTQAVVILDIGQLIIPWAQSQIPTPKVIGVRIISKLLDPYQQFADKFRCTPKPILRKEGSKLDDCTINFNEKRWNIVQMESMCSTAKSEIYFNKKVPPLDAWKRKECEVVAARIRDWNSLPEAEGVIDNRGLNRGIPTQSAANDVSSESNYSEQKRILTEIAAKAKAKMERNGFIRVKAESEGNIIQIKTNTSHQLDKIKSGSYGIVVGVMNNKIKFCLPPERSGAARPGQHGFPGSWGSGRSGRVDWSAGLDRPNAEARKWEPAWHSM
+>sp|Q5H9U9|DDX6L_HUMAN Probable ATP-dependent RNA helicase DDX60-like OS=Homo sapiens OX=9606 GN=DDX60L PE=2 SV=2
+MGSKDHAVFFREMTQLILNEMPKAGYSSILNDFVESNFFVIDGDSLLVTCLGVKSFKWGQNLHFFYLVECYLVDLLSNGGQFTIVFFKDAEYAYFDFPELLSLRTALILHLQHNTNIDVQTEFSGCLSQDWKLFLEQHYPYFLIVSEEGLSDLQTYLFNFLIIHSWGMKVNVVLSSGHESDTLRFYAYTMESTDRNQTFSKENETVIQSAYKSLIQHLEEIRVLVLATHFEHLKWNDMMEEAYQTLFLLQHLWSEGSDIQRVLCVTSCSLSLRMYHRVLVHSNCLSLQEVEDFCRLRCLCVAFQLHLPLSQRACSRVITCSWIRNSDSFLKMNKWCEYFILSNLNVFGCWNLNLNHVSDLYDEQLLKNIAFYYEFESTQEPHLNLGDSIRRDYEDLWNVVSHLVKEFNVGKSFPLRTTRRHFLRQEKSVIQEISLEKMPSVGFIPMTSAVIDEFVGDMMKDLPILKSDDPVVPSLFKQKTSDELLHWHAQRLLSDDYDRIKCHVDEQSRDPHVLDFLKKIQDYQQFYGKSLESISTKVIVTQTTRPKEDSSGASGEILQNTKPHQITKKSKKKSFLKEDQNKAQQNDDLLFSIEEEMKNNLHSGIRKLEDYLTSCASNSVKFGVEMLGLIACFKAWKKHCRGEGKISKDLSIAVQMMKRIHSLLERYPEILEAEHHQYIAKCLKYLGFNDLANSLDPTLIGDDKNKKKYSIDIGPARFQLQYMGHYLIRDERKDRDPRVQDFIPNAWQQELLDVVDKNESAVIVAPTSSGKTYASYYCMEKVLRESDVGVVVYVAPAKSLVGQVAATVENRFTKTLPAGRTLCGAFTRDYCHNVLNCQVLITVPECFEILLLAPHRQKWVERIRYVIFDEVHYLGREVGAKFWELLLVIIRCPFLVLSATINNPNLLTKWLQSVKQYWKQADKIMEEKCISEKQADKCLNFLQDHSYKNQSYEVRLVLYGERYNDLEKHICSVKHDDVYFDHFHPCAALTTDIIEKYGFPPDLTLTPQESIQLYDTMAQVWETWPRAQELCPEEFILFKNKIVIKKLDARKYEENLKAELTNWIKNGQVKKVKRVLKNLSPDSLSSSKDMVKMFPLLVEKLRQMDKLPAIFFLFKNDDVGKRAGSVCTFLEKTETKSHPHTECHSYVFAIDEVLEKVRKTQKRITKKNPKKAEKLERKKVYRAEYINFLENLKILEISEDCTYADVKALHTEITRNKDSTLERVLPRVRFTRHGKELKALAQRGIGYHHSSMYFKEKEFVEILFVKGLIRVVTATETLALGIHMPCKSVVFAQDSVYLDALNYRQMSGRAGRRGQDLLGNVYFFDIPLPKIKRLLASSVPELRGQFPLSITLVLRLMLLASKGDDPEDAKAKVLSVLKHSLLSFKRRRAMETLKLYFLFSLQLLIKEDYLNKKGNPKKFAGLASYLHGHEPSNLVFVNFLKRGLFHNLCKPAWKGSQQFSQDVMEKLVLVLANLFGRKYIPAKFQNANLSFSQSKVILAELPEDFKAALYEYNLAVMKDFASFLLIASKSVNMKKEHQLPLSRIKFTGKECEDSQLVSHLMSCKKGRVAISPFVCLSGNTDNDLLRPETINQVILRTVGVSGTQAPLLWPWKLDNRGRRMPLNAYVLNFYKHNCLTRLDQKNGMRMGQLLKCLKDFAFNIQAISDSLSELCENKRDNVVLAFKQLSQTFYEKLQEMQIQMSQNHLE
+>DECOY_sp|Q5H9U9|DDX6L_HUMAN Probable ATP-dependent RNA helicase DDX60-like OS=Homo sapiens OX=9606 GN=DDX60L PE=2 SV=2
+ELHNQSMQIQMEQLKEYFTQSLQKFALVVNDRKNECLESLSDSIAQINFAFDKLCKLLQGMRMGNKQDLRTLCNHKYFNLVYANLPMRRGRNDLKWPWLLPAQTGSVGVTRLIVQNITEPRLLDNDTNGSLCVFPSIAVRGKKCSMLHSVLQSDECEKGTFKIRSLPLQHEKKMNVSKSAILLFSAFDKMVALNYEYLAAKFDEPLEALIVKSQSFSLNANQFKAPIYKRGFLNALVLVLKEMVDQSFQQSGKWAPKCLNHFLGRKLFNVFVLNSPEHGHLYSALGAFKKPNGKKNLYDEKILLQLSFLFYLKLTEMARRRKFSLLSHKLVSLVKAKADEPDDGKSALLMLRLVLTISLPFQGRLEPVSSALLRKIKPLPIDFFYVNGLLDQGRRGARGSMQRYNLADLYVSDQAFVVSKCPMHIGLALTETATVVRILGKVFLIEVFEKEKFYMSSHHYGIGRQALAKLEKGHRTFRVRPLVRELTSDKNRTIETHLAKVDAYTCDESIELIKLNELFNIYEARYVKKRELKEAKKPNKKTIRKQTKRVKELVEDIAFVYSHCETHPHSKTETKELFTCVSGARKGVDDNKFLFFIAPLKDMQRLKEVLLPFMKVMDKSSSLSDPSLNKLVRKVKKVQGNKIWNTLEAKLNEEYKRADLKKIVIKNKFLIFEEPCLEQARPWTEWVQAMTDYLQISEQPTLTLDPPFGYKEIIDTTLAACPHFHDFYVDDHKVSCIHKELDNYREGYLVLRVEYSQNKYSHDQLFNLCKDAQKESICKEEMIKDAQKWYQKVSQLWKTLLNPNNITASLVLFPCRIIVLLLEWFKAGVERGLYHVEDFIVYRIREVWKQRHPALLLIEFCEPVTILVQCNLVNHCYDRTFAGCLTRGAPLTKTFRNEVTAAVQGVLSKAPAVYVVVGVDSERLVKEMCYYSAYTKGSSTPAVIVASENKDVVDLLEQQWANPIFDQVRPDRDKREDRILYHGMYQLQFRAPGIDISYKKKNKDDGILTPDLSNALDNFGLYKLCKAIYQHHEAELIEPYRELLSHIRKMMQVAISLDKSIKGEGRCHKKWAKFCAILGLMEVGFKVSNSACSTLYDELKRIGSHLNNKMEEEISFLLDDNQQAKNQDEKLFSKKKSKKTIQHPKTNQLIEGSAGSSDEKPRTTQTVIVKTSISELSKGYFQQYDQIKKLFDLVHPDRSQEDVHCKIRDYDDSLLRQAHWHLLEDSTKQKFLSPVVPDDSKLIPLDKMMDGVFEDIVASTMPIFGVSPMKELSIEQIVSKEQRLFHRRTTRLPFSKGVNFEKVLHSVVNWLDEYDRRISDGLNLHPEQTSEFEYYFAINKLLQEDYLDSVHNLNLNWCGFVNLNSLIFYECWKNMKLFSDSNRIWSCTIVRSCARQSLPLHLQFAVCLCRLRCFDEVEQLSLCNSHVLVRHYMRLSLSCSTVCLVRQIDSGESWLHQLLFLTQYAEEMMDNWKLHEFHTALVLVRIEELHQILSKYASQIVTENEKSFTQNRDTSEMTYAYFRLTDSEHGSSLVVNVKMGWSHIILFNFLYTQLDSLGEESVILFYPYHQELFLKWDQSLCGSFETQVDINTNHQLHLILATRLSLLEPFDFYAYEADKFFVITFQGGNSLLDVLYCEVLYFFHLNQGWKFSKVGLCTVLLSDGDIVFFNSEVFDNLISSYGAKPMENLILQTMERFFVAHDKSGM
+>sp|P26196|DDX6_HUMAN Probable ATP-dependent RNA helicase DDX6 OS=Homo sapiens OX=9606 GN=DDX6 PE=1 SV=2
+MSTARTENPVIMGLSSQNGQLRGPVKPTGGPGGGGTQTQQQMNQLKNTNTINNGTQQQAQSMTTTIKPGDDWKKTLKLPPKDLRIKTSDVTSTKGNEFEDYCLKRELLMGIFEMGWEKPSPIQEESIPIALSGRDILARAKNGTGKSGAYLIPLLERLDLKKDNIQAMVIVPTRELALQVSQICIQVSKHMGGAKVMATTGGTNLRDDIMRLDDTVHVVIATPGRILDLIKKGVAKVDHVQMIVLDEADKLLSQDFVQIMEDIILTLPKNRQILLYSATFPLSVQKFMNSHLQKPYEINLMEELTLKGVTQYYAYVTERQKVHCLNTLFSRLQINQSIIFCNSSQRVELLAKKISQLGYSCFYIHAKMRQEHRNRVFHDFRNGLCRNLVCTDLFTRGIDIQAVNVVINFDFPKLAETYLHRIGRSGRFGHLGLAINLITYDDRFNLKSIEEQLGTEIKPIPSNIDKSLYVAEYHSEPVEDEKP
+>DECOY_sp|P26196|DDX6_HUMAN Probable ATP-dependent RNA helicase DDX6 OS=Homo sapiens OX=9606 GN=DDX6 PE=1 SV=2
+PKEDEVPESHYEAVYLSKDINSPIPKIETGLQEEISKLNFRDDYTILNIALGLHGFRGSRGIRHLYTEALKPFDFNIVVNVAQIDIGRTFLDTCVLNRCLGNRFDHFVRNRHEQRMKAHIYFCSYGLQSIKKALLEVRQSSNCFIISQNIQLRSFLTNLCHVKQRETVYAYYQTVGKLTLEEMLNIEYPKQLHSNMFKQVSLPFTASYLLIQRNKPLTLIIDEMIQVFDQSLLKDAEDLVIMQVHDVKAVGKKILDLIRGPTAIVVHVTDDLRMIDDRLNTGGTTAMVKAGGMHKSVQICIQSVQLALERTPVIVMAQINDKKLDLRELLPILYAGSKGTGNKARALIDRGSLAIPISEEQIPSPKEWGMEFIGMLLERKLCYDEFENGKTSTVDSTKIRLDKPPLKLTKKWDDGPKITTTMSQAQQQTGNNITNTNKLQNMQQQTQTGGGGPGGTPKVPGRLQGNQSSLGMIVPNETRATSM
+>sp|O75398|DEAF1_HUMAN Deformed epidermal autoregulatory factor 1 homolog OS=Homo sapiens OX=9606 GN=DEAF1 PE=1 SV=1
+MEDSDSAAKQLGLAEAAAVAAAAAVAAAAAAAAGGEAEEPVLSRDEDSEEDADSEAERETPRVTAVAVMAAEPGHMDMGAEALPGPDEAAAAAAFAEVTTVTVANVGAAADNVFTTSVANAASISGHVLSGRTALQIGDSLNTEKATLIVVHTDGSIVETTGLKGPAAPLTPGPQSPPTPLAPGQEKGGTKYNWDPSVYDSELPVRCRNISGTLYKNRLGSGGRGRCIKQGENWYSPTEFEAMAGRASSKDWKRSIRYAGRPLQCLIQDGILNPHAASCTCAACCDDMTLSGPVRLFVPYKRRKKENELPTTPVKKDSPKNITLLPATAATTFTVTPSGQITTSGALTFDRASTVEATAVISESPAQGDVFAGATVQEASVQPPCRASHPEPHYPGYQDSCQIAPFPEAALPTSHPKIVLTSLPALAVPPPTPTKAAPPALVNGLELSEPRSWLYLEEMVNSLLNTAQQLKTLFEQAKHASTYREAATNQAKIHADAERKEQSCVNCGREAMSECTGCHKVNYCSTFCQRKDWKDHQHICGQSAAVTVQADEVHVAESVMEKVTV
+>DECOY_sp|O75398|DEAF1_HUMAN Deformed epidermal autoregulatory factor 1 homolog OS=Homo sapiens OX=9606 GN=DEAF1 PE=1 SV=1
+VTVKEMVSEAVHVEDAQVTVAASQGCIHQHDKWDKRQCFTSCYNVKHCGTCESMAERGCNVCSQEKREADAHIKAQNTAAERYTSAHKAQEFLTKLQQATNLLSNVMEELYLWSRPESLELGNVLAPPAAKTPTPPPVALAPLSTLVIKPHSTPLAAEPFPAIQCSDQYGPYHPEPHSARCPPQVSAEQVTAGAFVDGQAPSESIVATAEVTSARDFTLAGSTTIQGSPTVTFTTAATAPLLTINKPSDKKVPTTPLENEKKRRKYPVFLRVPGSLTMDDCCAACTCSAAHPNLIGDQILCQLPRGAYRISRKWDKSSARGAMAEFETPSYWNEGQKICRGRGGSGLRNKYLTGSINRCRVPLESDYVSPDWNYKTGGKEQGPALPTPPSQPGPTLPAAPGKLGTTEVISGDTHVVILTAKETNLSDGIQLATRGSLVHGSISAANAVSTTFVNDAAAGVNAVTVTTVEAFAAAAAAEDPGPLAEAGMDMHGPEAAMVAVATVRPTEREAESDADEESDEDRSLVPEEAEGGAAAAAAAAVAAAAAVAAAEALGLQKAASDSDEM
+>sp|P59665|DEF1_HUMAN Neutrophil defensin 1 OS=Homo sapiens OX=9606 GN=DEFA1 PE=1 SV=1
+MRTLAILAAILLVALQAQAEPLQARADEVAAAPEQIAADIPEVVVSLAWDESLAPKHPGSRKNMACYCRIPACIAGERRYGTCIYQGRLWAFCC
+>DECOY_sp|P59665|DEF1_HUMAN Neutrophil defensin 1 OS=Homo sapiens OX=9606 GN=DEFA1 PE=1 SV=1
+CCFAWLRGQYICTGYRREGAICAPIRCYCAMNKRSGPHKPALSEDWALSVVVEPIDAAIQEPAAAVEDARAQLPEAQAQLAVLLIAALIALTRM
+>sp|Q9H4E7|DEFI6_HUMAN Differentially expressed in FDCP 6 homolog OS=Homo sapiens OX=9606 GN=DEF6 PE=1 SV=1
+MALRKELLKSIWYAFTALDVEKSGKVSKSQLKVLSHNLYTVLHIPHDPVALEEHFRDDDDGPVSSQGYMPYLNKYILDKVEEGAFVKEHFDELCWTLTAKKNYRADSNGNSMLSNQDAFRLWCLFNFLSEDKYPLIMVPDEVEYLLKKVLSSMSLEVSLGELEELLAQEAQVAQTTGGLSVWQFLELFNSGRCLRGVGRDTLSMAIHEVYQELIQDVLKQGYLWKRGHLRRNWAERWFQLQPSCLCYFGSEECKEKRGIIPLDAHCCVEVLPDRDGKRCMFCVKTANRTYEMSASDTRQRQEWTAAIQMAIRLQAEGKTSLHKDLKQKRREQREQRERRRAAKEEELLRLQQLQEEKERKLQELELLQEAQRQAERLLQEEEERRRSQHRELQQALEGQLREAEQARASMQAEMELKEEEAARQRQRIKELEEMQQRLQEALQLEVKARRDEESVRIAQTRLLEEEEEKLKQLMQLKEEQERYIERAQQEKEELQQEMAQQSRSLQQAQQQLEEVRQNRQRADEDVEAAQRKLRQASTNVKHWNVQMNRLMHPIEPGDKRPVTSSSFSGFQPPLLAHRDSSLKRLTRWGSQGNRTPSPNSNEQQKSLNGGDEAPAPASTPQEDKLDPAPEN
+>DECOY_sp|Q9H4E7|DEFI6_HUMAN Differentially expressed in FDCP 6 homolog OS=Homo sapiens OX=9606 GN=DEF6 PE=1 SV=1
+NEPAPDLKDEQPTSAPAPAEDGGNLSKQQENSNPSPTRNGQSGWRTLRKLSSDRHALLPPQFGSFSSSTVPRKDGPEIPHMLRNMQVNWHKVNTSAQRLKRQAAEVDEDARQRNQRVEELQQQAQQLSRSQQAMEQQLEEKEQQAREIYREQEEKLQMLQKLKEEEEELLRTQAIRVSEEDRRAKVELQLAEQLRQQMEELEKIRQRQRAAEEEKLEMEAQMSARAQEAERLQGELAQQLERHQSRRREEEEQLLREAQRQAEQLLELEQLKREKEEQLQQLRLLEEEKAARRRERQERQERRKQKLDKHLSTKGEAQLRIAMQIAATWEQRQRTDSASMEYTRNATKVCFMCRKGDRDPLVEVCCHADLPIIGRKEKCEESGFYCLCSPQLQFWREAWNRRLHGRKWLYGQKLVDQILEQYVEHIAMSLTDRGVGRLCRGSNFLELFQWVSLGGTTQAVQAEQALLEELEGLSVELSMSSLVKKLLYEVEDPVMILPYKDESLFNFLCWLRFADQNSLMSNGNSDARYNKKATLTWCLEDFHEKVFAGEEVKDLIYKNLYPMYGQSSVPGDDDDRFHEELAVPDHPIHLVTYLNHSLVKLQSKSVKGSKEVDLATFAYWISKLLEKRLAM
+>sp|Q9ULE3|DEN2A_HUMAN DENN domain-containing protein 2A OS=Homo sapiens OX=9606 GN=DENND2A PE=2 SV=4
+MDMFSLDMIISDPAAEASRAGKKQLRGVQNPCPSARARPRHKSLNIKDKISEWEGKKEVPTPAPSRRADGQEDYLPSSTVERRSSDGVRTQVTEAKNGMRPGTESTEKERNKGAVNVGGQDPEPGQDLSQPEREVDPSWGRGREPRLGKLRFQNDPLSVLKQVKKLEQALKDGSAGLDPQLPGTCYSPHCPPDKAEAGSTLPENLGGGSGSEVSQRVHPSDLEGREPTPELVEDRKGSCRRPWDRSLENVYRGSEGSPTKPFINPLPKPRRTFKHAGEGDKDGKPGIGFRKEKRNLPPLPSLPPPPLPSSPPPSSVNRRLWTGRQKSSADHRKSYEFEDLLQSSSESSRVDWYAQTKLGLTRTLSEENVYEDILDPPMKENPYEDIELHGRCLGKKCVLNFPASPTSSIPDTLTKQSLSKPAFFRQNSERRNFKLLDTRKLSRDGTGSPSKISPPSTPSSPDDIFFNLGDPQNGRKKRKIPKLVLRINAIYEVRRGKKRVKRLSQSMESNSGKVTDENSESDSDTEEKLKAHSQRLVNVKSRLKQAPRYPSLARELIEYQERQLFEYFVVVSLHKKQAGAAYVPELTQQFPLKLERSFKFMREAEDQLKAIPQFCFPDAKDWVPVQQFTSETFSFVLTGEDGSRRFGYCRRLLPGGKGKRLPEVYCIVSRLGCFSLFSRILDEVEKRRGISPALVQPLMRSVMEAPFPALGKTILVKNFLPGSGTEVIELCRPLDSRLEHVDFESLFSSLSVRHLVCVFASLLLERRVIFIADKLSILSKCCHAMVALIYPFAWQHTYIPVLPPAMVDIVCSPTPFLIGLLSSSLPLLRELPLEEVLVVDLVNSRFLRQMDDEDSILPRKLQVALEHILEQRNELACEQDEGPLDGRHGPESSPLNEVVSEAFVRFFVEIVGHYSLFLTSGEREERTLQREAFRKAVSSKSLRHFLEVFMETQMFRGFIQERELRRQDAKGLFEVRAQEYLETLPSGEHSGVNKFLKGLGNKMKFLHKK
+>DECOY_sp|Q9ULE3|DEN2A_HUMAN DENN domain-containing protein 2A OS=Homo sapiens OX=9606 GN=DENND2A PE=2 SV=4
+KKHLFKMKNGLGKLFKNVGSHEGSPLTELYEQARVEFLGKADQRRLEREQIFGRFMQTEMFVELFHRLSKSSVAKRFAERQLTREEREGSTLFLSYHGVIEVFFRVFAESVVENLPSSEPGHRGDLPGEDQECALENRQELIHELAVQLKRPLISDEDDMQRLFRSNVLDVVLVEELPLERLLPLSSSLLGILFPTPSCVIDVMAPPLVPIYTHQWAFPYILAVMAHCCKSLISLKDAIFIVRRELLLSAFVCVLHRVSLSSFLSEFDVHELRSDLPRCLEIVETGSGPLFNKVLITKGLAPFPAEMVSRMLPQVLAPSIGRRKEVEDLIRSFLSFCGLRSVICYVEPLRKGKGGPLLRRCYGFRRSGDEGTLVFSFTESTFQQVPVWDKADPFCFQPIAKLQDEAERMFKFSRELKLPFQQTLEPVYAAGAQKKHLSVVVFYEFLQREQYEILERALSPYRPAQKLRSKVNVLRQSHAKLKEETDSDSESNEDTVKGSNSEMSQSLRKVRKKGRRVEYIANIRLVLKPIKRKKRGNQPDGLNFFIDDPSSPTSPPSIKSPSGTGDRSLKRTDLLKFNRRESNQRFFAPKSLSQKTLTDPISSTPSAPFNLVCKKGLCRGHLEIDEYPNEKMPPDLIDEYVNEESLTRTLGLKTQAYWDVRSSESSSQLLDEFEYSKRHDASSKQRGTWLRRNVSSPPPSSPLPPPPLSPLPPLNRKEKRFGIGPKGDKDGEGAHKFTRRPKPLPNIFPKTPSGESGRYVNELSRDWPRRCSGKRDEVLEPTPERGELDSPHVRQSVESGSGGGLNEPLTSGAEAKDPPCHPSYCTGPLQPDLGASGDKLAQELKKVQKLVSLPDNQFRLKGLRPERGRGWSPDVEREPQSLDQGPEPDQGGVNVAGKNREKETSETGPRMGNKAETVQTRVGDSSRREVTSSPLYDEQGDARRSPAPTPVEKKGEWESIKDKINLSKHRPRARASPCPNQVGRLQKKGARSAEAAPDSIIMDLSFMDM
+>sp|Q8IWF6|DEN6A_HUMAN Protein DENND6A OS=Homo sapiens OX=9606 GN=DENND6A PE=1 SV=1
+MALRGPAGLGPGSRRPLDEAVAGAEGREAPALVAAGGAPEDDEEDDGRGRGLLRWDSFSAWLHCVCVVGFDLELGQAVEVIYPQHSKLTDREKTNICYLSFPDSNSGCLGDTQFCFRFRQSSGRRVSLHCLLDQFDKDLPVYLKKDPAYFYGYVYFRQVRDKTLKRGYFQKSLVLISKLPYIHFFHTVLKQIAPEYFEKNEPYLEAACNDVDRWPAPVPGKTLHLPIMGVVMKVRIPTCHDKPGTTQIVQLTQQVDTNISVILPTVHEVDIFRCFCPVFLHSQMLWELVLLGEPLVVMAPSPSESSETVLALVNCISPLKYFSDFRPYFTIHDSEFKEYTTRTQAPPSVILGVTNPFFAKTLQHWPHIIRIGDLKPTGEIPKQVKVKKLKNLKTLDSKPGVYTSYKPYLNRDEEIIKQLQKGVQQKRPSEAQSVILRRYFLELTQSFIIPLERYVASLMPLQKSISPWKSPPQLRQFLPEEFMKTLEKTGPQLTSRIKGDWIGLYRHFLKSPNFDGWFKTRRKEMTQKLEALHLEALCEEDLLLWIQKHTEVETVDLVLKLKNKLLQADREHLPVKPDTMEKLRTHIDAIILALPEDLQGILLKTGMT
+>DECOY_sp|Q8IWF6|DEN6A_HUMAN Protein DENND6A OS=Homo sapiens OX=9606 GN=DENND6A PE=1 SV=1
+TMGTKLLIGQLDEPLALIIADIHTRLKEMTDPKVPLHERDAQLLKNKLKLVLDVTEVETHKQIWLLLDEECLAELHLAELKQTMEKRRTKFWGDFNPSKLFHRYLGIWDGKIRSTLQPGTKELTKMFEEPLFQRLQPPSKWPSISKQLPMLSAVYRELPIIFSQTLELFYRRLIVSQAESPRKQQVGKQLQKIIEEDRNLYPKYSTYVGPKSDLTKLNKLKKVKVQKPIEGTPKLDGIRIIHPWHQLTKAFFPNTVGLIVSPPAQTRTTYEKFESDHITFYPRFDSFYKLPSICNVLALVTESSESPSPAMVVLPEGLLVLEWLMQSHLFVPCFCRFIDVEHVTPLIVSINTDVQQTLQVIQTTGPKDHCTPIRVKMVVGMIPLHLTKGPVPAPWRDVDNCAAELYPENKEFYEPAIQKLVTHFFHIYPLKSILVLSKQFYGRKLTKDRVQRFYVYGYFYAPDKKLYVPLDKDFQDLLCHLSVRRGSSQRFRFCFQTDGLCGSNSDPFSLYCINTKERDTLKSHQPYIVEVAQGLELDFGVVCVCHLWASFSDWRLLGRGRGDDEEDDEPAGGAAVLAPAERGEAGAVAEDLPRRSGPGLGAPGRLAM
+>sp|Q9Y315|DEOC_HUMAN Deoxyribose-phosphate aldolase OS=Homo sapiens OX=9606 GN=DERA PE=1 SV=2
+MSAHNRGTELDLSWISKIQVNHPAVLRRAEQIQARRTVKKEWQAAWLLKAVTFIDLTTLSGDDTSSNIQRLCYKAKYPIREDLLKALNMHDKGITTAAVCVYPARVCDAVKALKAAGCNIPVASVAAGFPAGQTHLKTRLEEIRLAVEDGATEIDVVINRSLVLTGQWEALYDEIRQFRKACGEAHLKTILATGELGTLTNVYKASMIAMMAGSDFIKTSTGKETVNATFPVAIVMLRAIRDFFWKTGNKIGFKPAGGIRSAKDSLAWLSLVKEELGDEWLKPELFRIGASTLLSDIERQIYHHVTGRYAAYHDLPMS
+>DECOY_sp|Q9Y315|DEOC_HUMAN Deoxyribose-phosphate aldolase OS=Homo sapiens OX=9606 GN=DERA PE=1 SV=2
+SMPLDHYAAYRGTVHHYIQREIDSLLTSAGIRFLEPKLWEDGLEEKVLSLWALSDKASRIGGAPKFGIKNGTKWFFDRIARLMVIAVPFTANVTEKGTSTKIFDSGAMMAIMSAKYVNTLTGLEGTALITKLHAEGCAKRFQRIEDYLAEWQGTLVLSRNIVVDIETAGDEVALRIEELRTKLHTQGAPFGAAVSAVPINCGAAKLAKVADCVRAPYVCVAATTIGKDHMNLAKLLDERIPYKAKYCLRQINSSTDDGSLTTLDIFTVAKLLWAAQWEKKVTRRAQIQEARRLVAPHNVQIKSIWSLDLETGRNHASM
+>sp|Q5TB30|DEP1A_HUMAN DEP domain-containing protein 1A OS=Homo sapiens OX=9606 GN=DEPDC1 PE=1 SV=2
+MESQGVPPGPYRATKLWNEVTTSFRAGMPLRKHRQHFKKYGNCFTAGEAVDWLYDLLRNNSNFGPEVTRQQTIQLLRKFLKNHVIEDIKGRWGSENVDDNNQLFRFPATSPLKTLPRRYPELRKNNIENFSKDKDSIFKLRNLSRRTPKRHGLHLSQENGEKIKHEIINEDQENAIDNRELSQEDVEEVWRYVILIYLQTILGVPSLEEVINPKQVIPQYIMYNMANTSKRGVVILQNKSDDLPHWVLSAMKCLANWPRSNDMNNPTYVGFERDVFRTIADYFLDLPEPLLTFEYYELFVNILVVCGYITVSDRSSGIHKIQDDPQSSKFLHLNNLNSFKSTECLLLSLLHREKNKEESDSTERLQISNPGFQERCAKKMQLVNLRNRRVSANDIMGGSCHNLIGLSNMHDLSSNSKPRCCSLEGIVDVPGNSSKEASSVFHQSFPNIEGQNNKLFLESKPKQEFLLNLHSEENIQKPFSAGFKRTSTLTVQDQEELCNGKCKSKQLCRSQSLLLRSSTRRNSYINTPVAEIIMKPNVGQGSTSVQTAMESELGESSATINKRLCKSTIELSENSLLPASSMLTGTQSLLQPHLERVAIDALQLCCLLLPPPNRRKLQLLMRMISRMSQNVDMPKLHDAMGTRSLMIHTFSRCVLCCAEEVDLDELLAGRLVSFLMDHHQEILQVPSYLQTAVEKHLDYLKKGHIENPGDGLFAPLPTYSYCKQISAQEFDEQKVSTSQAAIAELLENIIKNRSLPLKEKRKKLKQFQKEYPLIYQKRFPTTESEAALFGDKPTIKQPMLILRKPKFRSLR
+>DECOY_sp|Q5TB30|DEP1A_HUMAN DEP domain-containing protein 1A OS=Homo sapiens OX=9606 GN=DEPDC1 PE=1 SV=2
+RLSRFKPKRLILMPQKITPKDGFLAAESETTPFRKQYILPYEKQFQKLKKRKEKLPLSRNKIINELLEAIAAQSTSVKQEDFEQASIQKCYSYTPLPAFLGDGPNEIHGKKLYDLHKEVATQLYSPVQLIEQHHDMLFSVLRGALLEDLDVEEACCLVCRSFTHIMLSRTGMADHLKPMDVNQSMRSIMRMLLQLKRRNPPPLLLCCLQLADIAVRELHPQLLSQTGTLMSSAPLLSNESLEITSKCLRKNITASSEGLESEMATQVSTSGQGVNPKMIIEAVPTNIYSNRRTSSRLLLSQSRCLQKSKCKGNCLEEQDQVTLTSTRKFGASFPKQINEESHLNLLFEQKPKSELFLKNNQGEINPFSQHFVSSAEKSSNGPVDVIGELSCCRPKSNSSLDHMNSLGILNHCSGGMIDNASVRRNRLNVLQMKKACREQFGPNSIQLRETSDSEEKNKERHLLSLLLCETSKFSNLNNLHLFKSSQPDDQIKHIGSSRDSVTIYGCVVLINVFLEYYEFTLLPEPLDLFYDAITRFVDREFGVYTPNNMDNSRPWNALCKMASLVWHPLDDSKNQLIVVGRKSTNAMNYMIYQPIVQKPNIVEELSPVGLITQLYILIVYRWVEEVDEQSLERNDIANEQDENIIEHKIKEGNEQSLHLGHRKPTRRSLNRLKFISDKDKSFNEINNKRLEPYRRPLTKLPSTAPFRFLQNNDDVNESGWRGKIDEIVHNKLFKRLLQITQQRTVEPGFNSNNRLLDYLWDVAEGATFCNGYKKFHQRHKRLPMGARFSTTVENWLKTARYPGPPVGQSEM
+>sp|O75140|DEPD5_HUMAN GATOR complex protein DEPDC5 OS=Homo sapiens OX=9606 GN=DEPDC5 PE=1 SV=2
+MRTTKVYKLVIHKKGFGGSDDELVVNPKVFPHIKLGDIVEIAHPNDEYSPLLLQVKSLKEDLQKETISVDQTVTQVFRLRPYQDVYVNVVDPKDVTLDLVELTFKDQYIGRGDMWRLKKSLVSTCAYITQKVEFAGIRAQAGELWVKNEKVMCGYISEDTRVVFRSTSAMVYIFIQMSCEMWDFDIYGDLYFEKAVNGFLADLFTKWKEKNCSHEVTVVLFSRTFYDAKSVDEFPEINRASIRQDHKGRFYEDFYKVVVQNERREEWTSLLVTIKKLFIQYPVLVRLEQAEGFPQGDNSTSAQGNYLEAINLSFNVFDKHYINRNFDRTGQMSVVITPGVGVFEVDRLLMILTKQRMIDNGIGVDLVCMGEQPLHAVPLFKLHNRSAPRDSRLGDDYNIPHWINHSFYTSKSQLFCNSFTPRIKLAGKKPASEKAKNGRDTSLGSPKESENALPIQVDYDAYDAQVFRLPGPSRAQCLTTCRSVRERESHSRKSASSCDVSSSPSLPSRTLPTEEVRSQASDDSSLGKSANILMIPHPHLHQYEVSSSLGYTSTRDVLENMMEPPQRDSSAPGRFHVGSAESMLHVRPGGYTPQRALINPFAPSRMPMKLTSNRRRWMHTFPVGPSGEAIQIHHQTRQNMAELQGSGQRDPTHSSAELLELAYHEAAGRHSNSRQPGDGMSFLNFSGTEELSVGLLSNSGAGMNPRTQNKDSLEDSVSTSPDPILTLSAPPVVPGFCCTVGVDWKSLTTPACLPLTTDYFPDRQGLQNDYTEGCYDLLPEADIDRRDEDGVQMTAQQVFEEFICQRLMQGYQIIVQPKTQKPNPAVPPPLSSSPLYSRGLVSRNRPEEEDQYWLSMGRTFHKVTLKDKMITVTRYLPKYPYESAQIHYTYSLCPSHSDSEFVSCWVEFSHERLEEYKWNYLDQYICSAGSEDFSLIESLKFWRTRFLLLPACVTATKRITEGEAHCDIYGDRPRADEDEWQLLDGFVRFVEGLNRIRRRHRSDRMMRKGTAMKGLQMTGPISTHSLESTAPPVGKKGTSALSALLEMEASQKCLGEQQAAVHGGKSSAQSAESSSVAMTPTYMDSPRKDGAFFMEFVRSPRTASSAFYPQVSVDQTATPMLDGTSLGICTGQSMDRGNSQTFGNSQNIGEQGYSSTNSSDSSSQQLVASSLTSSSTLTEILEAMKHPSTGVQLLSEQKGLSPYCFISAEVVHWLVNHVEGIQTQAMAIDIMQKMLEEQLITHASGEAWRTFIYGFYFYKIVTDKEPDRVAMQQPATTWHTAGVDDFASFQRKWFEVAFVAEELVHSEIPAFLLPWLPSRPASYASRHSSFSRSFGGRSQAAALLAATVPEQRTVTLDVDVNNRTDRLEWCSCYYHGNFSLNAAFEIKLHWMAVTAAVLFEMVQGWHRKATSCGFLLVPVLEGPFALPSYLYGDPLRAQLFIPLNISCLLKEGSEHLFDSFEPETYWDRMHLFQEAIAHRFGFVQDKYSASAFNFPAENKPQYIHVTGTVFLQLPYSKRKFSGQQRRRRNSTSSTNQNMFCEERVGYNWAYNTMLTKTWRSSATGDEKFADRLLKDFTDFCINRDNRLVTFWTSCLEKMHASAP
+>DECOY_sp|O75140|DEPD5_HUMAN GATOR complex protein DEPDC5 OS=Homo sapiens OX=9606 GN=DEPDC5 PE=1 SV=2
+PASAHMKELCSTWFTVLRNDRNICFDTFDKLLRDAFKEDGTASSRWTKTLMTNYAWNYGVREECFMNQNTSSTSNRRRRQQGSFKRKSYPLQLFVTGTVHIYQPKNEAPFNFASASYKDQVFGFRHAIAEQFLHMRDWYTEPEFSDFLHESGEKLLCSINLPIFLQARLPDGYLYSPLAFPGELVPVLLFGCSTAKRHWGQVMEFLVAATVAMWHLKIEFAANLSFNGHYYCSCWELRDTRNNVDVDLTVTRQEPVTAALLAAAQSRGGFSRSFSSHRSAYSAPRSPLWPLLFAPIESHVLEEAVFAVEFWKRQFSAFDDVGATHWTTAPQQMAVRDPEKDTVIKYFYFGYIFTRWAEGSAHTILQEELMKQMIDIAMAQTQIGEVHNVLWHVVEASIFCYPSLGKQESLLQVGTSPHKMAELIETLTSSSTLSSAVLQQSSSDSSNTSSYGQEGINQSNGFTQSNGRDMSQGTCIGLSTGDLMPTATQDVSVQPYFASSATRPSRVFEMFFAGDKRPSDMYTPTMAVSSSEASQASSKGGHVAAQQEGLCKQSAEMELLASLASTGKKGVPPATSELSHTSIPGTMQLGKMATGKRMMRDSRHRRRIRNLGEVFRVFGDLLQWEDEDARPRDGYIDCHAEGETIRKTATVCAPLLLFRTRWFKLSEILSFDESGASCIYQDLYNWKYEELREHSFEVWCSVFESDSHSPCLSYTYHIQASEYPYKPLYRTVTIMKDKLTVKHFTRGMSLWYQDEEEPRNRSVLGRSYLPSSSLPPPVAPNPKQTKPQVIIQYGQMLRQCIFEEFVQQATMQVGDEDRRDIDAEPLLDYCGETYDNQLGQRDPFYDTTLPLCAPTTLSKWDVGVTCCFGPVVPPASLTLIPDPSTSVSDELSDKNQTRPNMGAGSNSLLGVSLEETGSFNLFSMGDGPQRSNSHRGAAEHYALELLEASSHTPDRQGSGQLEAMNQRTQHHIQIAEGSPGVPFTHMWRRRNSTLKMPMRSPAFPNILARQPTYGGPRVHLMSEASGVHFRGPASSDRQPPEMMNELVDRTSTYGLSSSVEYQHLHPHPIMLINASKGLSSDDSAQSRVEETPLTRSPLSPSSSVDCSSASKRSHSERERVSRCTTLCQARSPGPLRFVQADYADYDVQIPLANESEKPSGLSTDRGNKAKESAPKKGALKIRPTFSNCFLQSKSTYFSHNIWHPINYDDGLRSDRPASRNHLKFLPVAHLPQEGMCVLDVGIGNDIMRQKTLIMLLRDVEFVGVGPTIVVSMQGTRDFNRNIYHKDFVNFSLNIAELYNGQASTSNDGQPFGEAQELRVLVPYQIFLKKITVLLSTWEERRENQVVVKYFDEYFRGKHDQRISARNIEPFEDVSKADYFTRSFLVVTVEHSCNKEKWKTFLDALFGNVAKEFYLDGYIDFDWMECSMQIFIYVMASTSRFVVRTDESIYGCMVKENKVWLEGAQARIGAFEVKQTIYACTSVLSKKLRWMDGRGIYQDKFTLEVLDLTVDKPDVVNVYVDQYPRLRFVQTVTQDVSITEKQLDEKLSKVQLLLPSYEDNPHAIEVIDGLKIHPFVKPNVVLEDDSGGFGKKHIVLKYVKTTRM
+>sp|O95424|DEXI_HUMAN Dexamethasone-induced protein OS=Homo sapiens OX=9606 GN=DEXI PE=2 SV=2
+MLGARVAAHLDALGPLVPYVPPPLLPSMFYVGLFFVNVLILYYAFLMEYIVLNVGLVFLPEDMDQALVDLGVLSDPGSGLYDADSELDVFDAYLE
+>DECOY_sp|O95424|DEXI_HUMAN Dexamethasone-induced protein OS=Homo sapiens OX=9606 GN=DEXI PE=2 SV=2
+ELYADFVDLESDADYLGSGPDSLVGLDVLAQDMDEPLFVLGVNLVIYEMLFAYYLILVNVFFLGVYFMSPLLPPPVYPVLPGLADLHAAVRAGLM
+>sp|O00273|DFFA_HUMAN DNA fragmentation factor subunit alpha OS=Homo sapiens OX=9606 GN=DFFA PE=1 SV=1
+MEVTGDAGVPESGEIRTLKPCLLRRNYSREQHGVAASCLEDLRSKACDILAIDKSLTPVTLVLAEDGTIVDDDDYFLCLPSNTKFVALASNEKWAYNNSDGGTAWISQESFDVDETDSGAGLKWKNVARQLKEDLSSIILLSEEDLQMLVDAPCSDLAQELRQSCATVQRLQHTLQQVLDQREEVRQSKQLLQLYLQALEKEGSLLSKQEESKAAFGEEVDAVDTGISRETSSDVALASHILTALREKQAPELSLSSQDLELVTKEDPKALAVALNWDIKKTETVQEACERELALRLQQTQSLHSLRSISASKASPPGDLQNPKRARQDPT
+>DECOY_sp|O00273|DFFA_HUMAN DNA fragmentation factor subunit alpha OS=Homo sapiens OX=9606 GN=DFFA PE=1 SV=1
+TPDQRARKPNQLDGPPSAKSASISRLSHLSQTQQLRLALERECAEQVTETKKIDWNLAVALAKPDEKTVLELDQSSLSLEPAQKERLATLIHSALAVDSSTERSIGTDVADVEEGFAAKSEEQKSLLSGEKELAQLYLQLLQKSQRVEERQDLVQQLTHQLRQVTACSQRLEQALDSCPADVLMQLDEESLLIISSLDEKLQRAVNKWKLGAGSDTEDVDFSEQSIWATGGDSNNYAWKENSALAVFKTNSPLCLFYDDDDVITGDEALVLTVPTLSKDIALIDCAKSRLDELCSAAVGHQERSYNRRLLCPKLTRIEGSEPVGADGTVEM
+>sp|P49619|DGKG_HUMAN Diacylglycerol kinase gamma OS=Homo sapiens OX=9606 GN=DGKG PE=2 SV=3
+MGEERWVSLTPEEFDQLQKYSEYSSKKIKDALTEFNEGGSLKQYDPHEPISYDVFKLFMRAYLEVDLPQPLSTHLFLAFSQKPRHETSDHPTEGASNSEANSADTNIQNADNATKADEACAPDTESNMAEKQAPAEDQVAATPLEPPVPRSSSSESPVVYLKDVVCYLSLLETGRPQDKLEFMFRLYDSDENGLLDQAEMDCIVNQMLHIAQYLEWDPTELRPILKEMLQGMDYDRDGFVSLQEWVHGGMTTIPLLVLLGMDDSGSKGDGRHAWTMKHFKKPTYCNFCHIMLMGVRKQGLCCTYCKYTVHERCVSRNIPGCVKTYSKAKRSGEVMQHAWVEGNSSVKCDRCHKSIKCYQSVTARHCVWCRMTFHRKCELSTLCDGGELRDHILLPTSICPITRDRPGEKSDGCVSAKGELVMQYKIIPTPGTHPLLVLVNPKSGGRQGERILRKFHYLLNPKQVFNLDNGGPTPGLNFFRDTPDFRVLACGGDGTVGWILDCIDKANFAKHPPVAVLPLGTGNDLARCLRWGGGYEGGSLTKILKDIEQSPLVMLDRWHLEVIPREEVENGDQVPYSIMNNYFSIGVDASIAHRFHVMREKHPEKFNSRMKNKLWYFEFGTSETFAATCKKLHDHIELECDGVGVDLSNIFLEGIAILNIPSMYGGTNLWGENKKNRAVIRESRKGVTDPKELKFCVQDLSDQLLEVVGLEGAMEMGQIYTGLKSAGRRLAQCASVTIRTNKLLPMQVDGEPWMQPCCTIKITHKNQAPMMMGPPQKSSFFSLRRKSRSKD
+>DECOY_sp|P49619|DGKG_HUMAN Diacylglycerol kinase gamma OS=Homo sapiens OX=9606 GN=DGKG PE=2 SV=3
+DKSRSKRRLSFFSSKQPPGMMMPAQNKHTIKITCCPQMWPEGDVQMPLLKNTRITVSACQALRRGASKLGTYIQGMEMAGELGVVELLQDSLDQVCFKLEKPDTVGKRSERIVARNKKNEGWLNTGGYMSPINLIAIGELFINSLDVGVGDCELEIHDHLKKCTAAFTESTGFEFYWLKNKMRSNFKEPHKERMVHFRHAISADVGISFYNNMISYPVQDGNEVEERPIVELHWRDLMVLPSQEIDKLIKTLSGGEYGGGWRLCRALDNGTGLPLVAVPPHKAFNAKDICDLIWGVTGDGGCALVRFDPTDRFFNLGPTPGGNDLNFVQKPNLLYHFKRLIREGQRGGSKPNVLVLLPHTGPTPIIKYQMVLEGKASVCGDSKEGPRDRTIPCISTPLLIHDRLEGGDCLTSLECKRHFTMRCWVCHRATVSQYCKISKHCRDCKVSSNGEVWAHQMVEGSRKAKSYTKVCGPINRSVCREHVTYKCYTCCLGQKRVGMLMIHCFNCYTPKKFHKMTWAHRGDGKSGSDDMGLLVLLPITTMGGHVWEQLSVFGDRDYDMGQLMEKLIPRLETPDWELYQAIHLMQNVICDMEAQDLLGNEDSDYLRFMFELKDQPRGTELLSLYCVVDKLYVVPSESSSSRPVPPELPTAAVQDEAPAQKEAMNSETDPACAEDAKTANDANQINTDASNAESNSAGETPHDSTEHRPKQSFALFLHTSLPQPLDVELYARMFLKFVDYSIPEHPDYQKLSGGENFETLADKIKKSSYESYKQLQDFEEPTLSVWREEGM
+>sp|Q86XP1|DGKH_HUMAN Diacylglycerol kinase eta OS=Homo sapiens OX=9606 GN=DGKH PE=1 SV=1
+MAGAGGQHHPPGAAGGAAAGAGAAVTSAAASAGPGEDSSDSEAEQEGPQKLIRKVSTSGQIRTKTSIKEGQLLKQTSSFQRWKKRYFKLRGRTLYYAKDSKSLIFDEVDLSDASVAEASTKNANNSFTIITPFRRLMLCAENRKEMEDWISSLKSVQTREPYEVAQFNVEHFSGMHNWYACSHARPTFCNVCRESLSGVTSHGLSCEVCKFKAHKRCAVRATNNCKWTTLASIGKDIIEDEDGVAMPHQWLEGNLPVSAKCAVCDKTCGSVLRLQDWKCLWCKTMVHTACKDLYHPICPLGQCKVSIIPPIALNSTDSDGFCRATFSFCVSPLLVFVNSKSGDNQGVKFLRRFKQLLNPAQVFDLMNGGPHLGLRLFQKFDNFRILVCGGDGSVGWVLSEIDKLNLNKQCQLGVLPLGTGNDLARVLGWGGSYDDDTQLPQILEKLERASTKMLDRWSIMTYELKLPPKASLLPGPPEASEEFYMTIYEDSVATHLTKILNSDEHAVVISSAKTLCETVKDFVAKVEKTYDKTLENAVVADAVASKCSVLNEKLEQLLQALHTDSQAAPVLPGLSPLIVEEDAVESSSEESLGESKEQLGDDVTKPSSQKAVKPREIMLRANSLKKAVRQVIEEAGKVMDDPTVHPCEPANQSSDYDSTETDESKEEAKDDGAKESITVKTAPRSPDARASYGHSQTDSVPGPAVAASKENLPVLNTRIICPGLRAGLAASIAGSSIINKMLLANIDPFGATPFIDPDLDSVDGYSEKCVMNNYFGIGLDAKISLEFNNKREEHPEKCRSRTKNLMWYGVLGTRELLQRSYKNLEQRVQLECDGQYIPLPSLQGIAVLNIPSYAGGTNFWGGTKEDDIFAAPSFDDKILEVVAIFDSMQMAVSRVIKLQHHRIAQCRTVKITIFGDEGVPVQVDGEAWVQPPGIIKIVHKNRAQMLTRDRAFESTLKSWEDKQKCDSGKPVLRTHLYIHHAIDLATEEVSQMQLCSQAAEELITRICDAATIHCLLEQELAHAVNACSHALNKANPRCPESLTRDTATEIAINVKALYNETESLLVGRVPLQLESPHEERVSNALHSVEVELQKLTEIPWLYYILHPNEDEEPPMDCTKRNNRSTVFRIVPKFKKEKVQKQKTSSQPVQKWGTEEVAAWLDLLNLGEYKDIFIRHDIRGAELLHLERRDLKDLGIPKVGHVKRILQGIKELGRSTPQSEV
+>DECOY_sp|Q86XP1|DGKH_HUMAN Diacylglycerol kinase eta OS=Homo sapiens OX=9606 GN=DGKH PE=1 SV=1
+VESQPTSRGLEKIGQLIRKVHGVKPIGLDKLDRRELHLLEAGRIDHRIFIDKYEGLNLLDLWAAVEETGWKQVPQSSTKQKQVKEKKFKPVIRFVTSRNNRKTCDMPPEEDENPHLIYYLWPIETLKQLEVEVSHLANSVREEHPSELQLPVRGVLLSETENYLAKVNIAIETATDRTLSEPCRPNAKNLAHSCANVAHALEQELLCHITAADCIRTILEEAAQSCLQMQSVEETALDIAHHIYLHTRLVPKGSDCKQKDEWSKLTSEFARDRTLMQARNKHVIKIIGPPQVWAEGDVQVPVGEDGFITIKVTRCQAIRHHQLKIVRSVAMQMSDFIAVVELIKDDFSPAAFIDDEKTGGWFNTGGAYSPINLVAIGQLSPLPIYQGDCELQVRQELNKYSRQLLERTGLVGYWMLNKTRSRCKEPHEERKNNFELSIKADLGIGFYNNMVCKESYGDVSDLDPDIFPTAGFPDINALLMKNIISSGAISAALGARLGPCIIRTNLVPLNEKSAAVAPGPVSDTQSHGYSARADPSRPATKVTISEKAGDDKAEEKSEDTETSDYDSSQNAPECPHVTPDDMVKGAEEIVQRVAKKLSNARLMIERPKVAKQSSPKTVDDGLQEKSEGLSEESSSEVADEEVILPSLGPLVPAAQSDTHLAQLLQELKENLVSCKSAVADAVVANELTKDYTKEVKAVFDKVTECLTKASSIVVAHEDSNLIKTLHTAVSDEYITMYFEESAEPPGPLLSAKPPLKLEYTMISWRDLMKTSARELKELIQPLQTDDDYSGGWGLVRALDNGTGLPLVGLQCQKNLNLKDIESLVWGVSGDGGCVLIRFNDFKQFLRLGLHPGGNMLDFVQAPNLLQKFRRLFKVGQNDGSKSNVFVLLPSVCFSFTARCFGDSDTSNLAIPPIISVKCQGLPCIPHYLDKCATHVMTKCWLCKWDQLRLVSGCTKDCVACKASVPLNGELWQHPMAVGDEDEIIDKGISALTTWKCNNTARVACRKHAKFKCVECSLGHSTVGSLSERCVNCFTPRAHSCAYWNHMGSFHEVNFQAVEYPERTQVSKLSSIWDEMEKRNEACLMLRRFPTIITFSNNANKTSAEAVSADSLDVEDFILSKSDKAYYLTRGRLKFYRKKWRQFSSTQKLLQGEKISTKTRIQGSTSVKRILKQPGEQEAESDSSDEGPGASAAASTVAAGAGAAAGGAAGPPHHQGGAGAM
+>sp|P52824|DGKQ_HUMAN Diacylglycerol kinase theta OS=Homo sapiens OX=9606 GN=DGKQ PE=1 SV=2
+MAAAAEPGARAWLGGGSPRPGSPACSPVLGSGGRARPGPGPGPGPERAGVRAPGPAAAPGHSFRKVTLTKPTFCHLCSDFIWGLAGFLCDVCNFMSHEKCLKHVRIPCTSVAPSLVRVPVAHCFGPRGLHKRKFCAVCRKVLEAPALHCEVCELHLHPDCVPFACSDCRQCHQDGHQDHDTHHHHWREGNLPSGARCEVCRKTCGSSDVLAGVRCEWCGVQAHSLCSAALAPECGFGRLRSLVLPPACVRLLPGGFSKTQSFRIVEAAEPGEGGDGADGSAAVGPGRETQATPESGKQTLKIFDGDDAVRRSQFRLVTVSRLAGAEEVLEAALRAHHIPEDPGHLELCRLPPSSQACDAWAGGKAGSAVISEEGRSPGSGEATPEAWVIRALPRAQEVLKIYPGWLKVGVAYVSVRVTPKSTARSVVLEVLPLLGRQAESPESFQLVEVAMGCRHVQRTMLMDEQPLLDRLQDIRQMSVRQVSQTRFYVAESRDVAPHVSLFVGGLPPGLSPEEYSSLLHEAGATKATVVSVSHIYSSQGAVVLDVACFAEAERLYMLLKDMAVRGRLLTALVLPDLLHAKLPPDSCPLLVFVNPKSGGLKGRDLLCSFRKLLNPHQVFDLTNGGPLPGLHLFSQVPCFRVLVCGGDGTVGWVLGALEETRYRLACPEPSVAILPLGTGNDLGRVLRWGAGYSGEDPFSVLLSVDEADAVLMDRWTILLDAHEAGSAENDTADAEPPKIVQMSNYCGIGIDAELSLDFHQAREEEPGKFTSRLHNKGVYVRVGLQKISHSRSLHKQIRLQVERQEVELPSIEGLIFINIPSWGSGADLWGSDSDTRFEKPRMDDGLLEVVGVTGVVHMGQVQGGLRSGIRIAQGSYFRVTLLKATPVQVDGEPWVQAPGHMIISAAGPKVHMLRKAKQKPRRAGTTRDARADAAPAPESDPR
+>DECOY_sp|P52824|DGKQ_HUMAN Diacylglycerol kinase theta OS=Homo sapiens OX=9606 GN=DGKQ PE=1 SV=2
+RPDSEPAPAADARADRTTGARRPKQKAKRLMHVKPGAASIIMHGPAQVWPEGDVQVPTAKLLTVRFYSGQAIRIGSRLGGQVQGMHVVGTVGVVELLGDDMRPKEFRTDSDSGWLDAGSGWSPINIFILGEISPLEVEQREVQLRIQKHLSRSHSIKQLGVRVYVGKNHLRSTFKGPEEERAQHFDLSLEADIGIGCYNSMQVIKPPEADATDNEASGAEHADLLITWRDMLVADAEDVSLLVSFPDEGSYGAGWRLVRGLDNGTGLPLIAVSPEPCALRYRTEELAGLVWGVTGDGGCVLVRFCPVQSFLHLGPLPGGNTLDFVQHPNLLKRFSCLLDRGKLGGSKPNVFVLLPCSDPPLKAHLLDPLVLATLLRGRVAMDKLLMYLREAEAFCAVDLVVAGQSSYIHSVSVVTAKTAGAEHLLSSYEEPSLGPPLGGVFLSVHPAVDRSEAVYFRTQSVQRVSMQRIDQLRDLLPQEDMLMTRQVHRCGMAVEVLQFSEPSEAQRGLLPLVELVVSRATSKPTVRVSVYAVGVKLWGPYIKLVEQARPLARIVWAEPTAEGSGPSRGEESIVASGAKGGAWADCAQSSPPLRCLELHGPDEPIHHARLAAELVEEAGALRSVTVLRFQSRRVADDGDFIKLTQKGSEPTAQTERGPGVAASGDAGDGGEGPEAAEVIRFSQTKSFGGPLLRVCAPPLVLSRLRGFGCEPALAASCLSHAQVGCWECRVGALVDSSGCTKRCVECRAGSPLNGERWHHHHTDHDQHGDQHCQRCDSCAFPVCDPHLHLECVECHLAPAELVKRCVACFKRKHLGRPGFCHAVPVRVLSPAVSTCPIRVHKLCKEHSMFNCVDCLFGALGWIFDSCLHCFTPKTLTVKRFSHGPAAAPGPARVGAREPGPGPGPGPRARGGSGLVPSCAPSGPRPSGGGLWARAGPEAAAAM
+>sp|Q13574|DGKZ_HUMAN Diacylglycerol kinase zeta OS=Homo sapiens OX=9606 GN=DGKZ PE=1 SV=3
+METFFRRHFRGKVPGPGEGQQRPSSVGLPTGKARRRSPAGQASSSLAQRRRSSAQLQGCLLSCGVRAQGSSRRRSSTVPPSCNPRFIVDKVLTPQPTTVGAQLLGAPLLLTGLVGMNEEEGVQEDVVAEASSAIQPGTKTPGPPPPRGAQPLLPLPRYLRRASSHLLPADAVYDHALWGLHGYYRRLSQRRPSGQHPGPGGRRASGTTAGTMLPTRVRPLSRRRQVALRRKAAGPQAWSALLAKAITKSGLQHLAPPPPTPGAPCSESERQIRSTVDWSESATYGEHIWFETNVSGDFCYVGEQYCVARMLKSVSRRKCAACKIVVHTPCIEQLEKINFRCKPSFRESGSRNVREPTFVRHHWVHRRRQDGKCRHCGKGFQQKFTFHSKEIVAISCSWCKQAYHSKVSCFMLQQIEEPCSLGVHAAVVIPPTWILRARRPQNTLKASKKKKRASFKRKSSKKGPEEGRWRPFIIRPTPSPLMKPLLVFVNPKSGGNQGAKIIQSFLWYLNPRQVFDLSQGGPKEALEMYRKVHNLRILACGGDGTVGWILSTLDQLRLKPPPPVAILPLGTGNDLARTLNWGGGYTDEPVSKILSHVEEGNVVQLDRWDLHAEPNPEAGPEDRDEGATDRLPLDVFNNYFSLGFDAHVTLEFHESREANPEKFNSRFRNKMFYAGTAFSDFLMGSSKDLAKHIRVVCDGMDLTPKIQDLKPQCVVFLNIPRYCAGTMPWGHPGEHHDFEPQRHDDGYLEVIGFTMTSLAALQVGGHGERLTQCREVVLTTSKAIPVQVDGEPCKLAASRIRIALRNQATMVQKAKRRSAAPLHSDQQPVPEQLRIQVSRVSMHDYEALHYDKEQLKEASVPLGTVVVPGDSDLELCRAHIERLQQEPDGAGAKSPTCQKLSPKWCFLDATTASRFYRIDRAQEHLNYVTEIAQDEIYILDPELLGASARPDLPTPTSPLPTSPCSPTPRSLQGDAAPPQGEELIEAAKRNDFCKLQELHRAGGDLMHRDEQSRTLLHHAVSTGSKDVVRYLLDHAPPEILDAVEENGETCLHQAAALGQRTICHYIVEAGASLMKTDQQGDTPRQRAEKAQDTELAAYLENRQHYQMIQREDQETAV
+>DECOY_sp|Q13574|DGKZ_HUMAN Diacylglycerol kinase zeta OS=Homo sapiens OX=9606 GN=DGKZ PE=1 SV=3
+VATEQDERQIMQYHQRNELYAALETDQAKEARQRPTDGQQDTKMLSAGAEVIYHCITRQGLAAAQHLCTEGNEEVADLIEPPAHDLLYRVVDKSGTSVAHHLLTRSQEDRHMLDGGARHLEQLKCFDNRKAAEILEEGQPPAADGQLSRPTPSCPSTPLPSTPTPLDPRASAGLLEPDLIYIEDQAIETVYNLHEQARDIRYFRSATTADLFCWKPSLKQCTPSKAGAGDPEQQLREIHARCLELDSDGPVVVTGLPVSAEKLQEKDYHLAEYDHMSVRSVQIRLQEPVPQQDSHLPAASRRKAKQVMTAQNRLAIRIRSAALKCPEGDVQVPIAKSTTLVVERCQTLREGHGGVQLAALSTMTFGIVELYGDDHRQPEFDHHEGPHGWPMTGACYRPINLFVVCQPKLDQIKPTLDMGDCVVRIHKALDKSSGMLFDSFATGAYFMKNRFRSNFKEPNAERSEHFELTVHADFGLSFYNNFVDLPLRDTAGEDRDEPGAEPNPEAHLDWRDLQVVNGEEVHSLIKSVPEDTYGGGWNLTRALDNGTGLPLIAVPPPPKLRLQDLTSLIWGVTGDGGCALIRLNHVKRYMELAEKPGGQSLDFVQRPNLYWLFSQIIKAGQNGGSKPNVFVLLPKMLPSPTPRIIFPRWRGEEPGKKSSKRKFSARKKKKSAKLTNQPRRARLIWTPPIVVAAHVGLSCPEEIQQLMFCSVKSHYAQKCWSCSIAVIEKSHFTFKQQFGKGCHRCKGDQRRRHVWHHRVFTPERVNRSGSERFSPKCRFNIKELQEICPTHVVIKCAACKRRSVSKLMRAVCYQEGVYCFDGSVNTEFWIHEGYTASESWDVTSRIQRESESCPAGPTPPPPALHQLGSKTIAKALLASWAQPGAAKRRLAVQRRRSLPRVRTPLMTGATTGSARRGGPGPHQGSPRRQSLRRYYGHLGWLAHDYVADAPLLHSSARRLYRPLPLLPQAGRPPPPGPTKTGPQIASSAEAVVDEQVGEEENMGVLGTLLLPAGLLQAGVTTPQPTLVKDVIFRPNCSPPVTSSRRRSSGQARVGCSLLCGQLQASSRRRQALSSSAQGAPSRRRAKGTPLGVSSPRQQGEGPGPVKGRFHRRFFTEM
+>sp|Q8NCG7|DGLB_HUMAN Sn1-specific diacylglycerol lipase beta OS=Homo sapiens OX=9606 GN=DAGLB PE=1 SV=2
+MPGMVLFGRRWAIASDDLVFPGFFELVVRVLWWIGILTLYLMHRGKLDCAGGALLSSYLIVLMILLAVVICTVSAIMCVSMRGTICNPGPRKSMSKLLYIRLALFFPEMVWASLGAAWVADGVQCDRTVVNGIIATVVVSWIIIAATVVSIIIVFDPLGGKMAPYSSAGPSHLDSHDSSQLLNGLKTAATSVWETRIKLLCCCIGKDDHTRVAFSSTAELFSTYFSDTDLVPSDIAAGLALLHQQQDNIRNNQEPAQVVCHAPGSSQEADLDAELENCHHYMQFAAAAYGWPLYIYRNPLTGLCRIGGDCCRSRTTDYDLVGGDQLNCHFGSILHTTGLQYRDFIHVSFHDKVYELPFLVALDHRKESVVVAVRGTMSLQDVLTDLSAESEVLDVECEVQDRLAHKGISQAARYVYQRLINDGILSQAFSIAPEYRLVIVGHSLGGGAAALLATMLRAAYPQVRCYAFSPPRGLWSKALQEYSQSFIVSLVLGKDVIPRLSVTNLEDLKRRILRVVAHCNKPKYKILLHGLWYELFGGNPNNLPTELDGGDQEVLTQPLLGEQSLLTRWSPAYSFSSDSPLDSSPKYPPLYPPGRIIHLQEEGASGRFGCCSAAHYSAKWSHEAEFSKILIGPKMLTDHMPDILMRALDSVVSDRAACVSCPAQGVSSVDVA
+>DECOY_sp|Q8NCG7|DGLB_HUMAN Sn1-specific diacylglycerol lipase beta OS=Homo sapiens OX=9606 GN=DAGLB PE=1 SV=2
+AVDVSSVGQAPCSVCAARDSVVSDLARMLIDPMHDTLMKPGILIKSFEAEHSWKASYHAASCCGFRGSAGEEQLHIIRGPPYLPPYKPSSDLPSDSSFSYAPSWRTLLSQEGLLPQTLVEQDGGDLETPLNNPNGGFLEYWLGHLLIKYKPKNCHAVVRLIRRKLDELNTVSLRPIVDKGLVLSVIFSQSYEQLAKSWLGRPPSFAYCRVQPYAARLMTALLAAAGGGLSHGVIVLRYEPAISFAQSLIGDNILRQYVYRAAQSIGKHALRDQVECEVDLVESEASLDTLVDQLSMTGRVAVVVSEKRHDLAVLFPLEYVKDHFSVHIFDRYQLGTTHLISGFHCNLQDGGVLDYDTTRSRCCDGGIRCLGTLPNRYIYLPWGYAAAAFQMYHHCNELEADLDAEQSSGPAHCVVQAPEQNNRINDQQQHLLALGAAIDSPVLDTDSFYTSFLEATSSFAVRTHDDKGICCCLLKIRTEWVSTAATKLGNLLQSSDHSDLHSPGASSYPAMKGGLPDFVIIISVVTAAIIIWSVVVTAIIGNVVTRDCQVGDAVWAAGLSAWVMEPFFLALRIYLLKSMSKRPGPNCITGRMSVCMIASVTCIVVALLIMLVILYSSLLAGGACDLKGRHMLYLTLIGIWWLVRVVLEFFGPFVLDDSAIAWRRGFLVMGPM
+>sp|Q9P1J3|DHAS1_HUMAN Putative uncharacterized protein DHRS4-AS1 OS=Homo sapiens OX=9606 GN=DHRS4-AS1 PE=5 SV=1
+MSEQNICNQKDKSTLPFCQAHLCEETTNRLCVSNKAVYSLECKWAESENRVSEGRWGRGCFIGVG
+>DECOY_sp|Q9P1J3|DHAS1_HUMAN Putative uncharacterized protein DHRS4-AS1 OS=Homo sapiens OX=9606 GN=DHRS4-AS1 PE=5 SV=1
+GVGIFCGRGWRGESVRNESEAWKCELSYVAKNSVCLRNTTEECLHAQCFPLTSKDKQNCINQESM
+>sp|Q9BPX1|DHB14_HUMAN 17-beta-hydroxysteroid dehydrogenase 14 OS=Homo sapiens OX=9606 GN=HSD17B14 PE=1 SV=1
+MATGTRYAGKVVVVTGGGRGIGAGIVRAFVNSGARVVICDKDESGGRALEQELPGAVFILCDVTQEDDVKTLVSETIRRFGRLDCVVNNAGHHPPPQRPEETSAQGFRQLLELNLLGTYTLTKLALPYLRKSQGNVINISSLVGAIGQAQAVPYVATKGAVTAMTKALALDESPYGVRVNCISPGNIWTPLWEELAALMPDPRATIREGMLAQPLGRMGQPAEVGAAAVFLASEANFCTGIELLVTGGAELGYGCKASRSTPVDAPDIPS
+>DECOY_sp|Q9BPX1|DHB14_HUMAN 17-beta-hydroxysteroid dehydrogenase 14 OS=Homo sapiens OX=9606 GN=HSD17B14 PE=1 SV=1
+SPIDPADVPTSRSAKCGYGLEAGGTVLLEIGTCFNAESALFVAAAGVEAPQGMRGLPQALMGERITARPDPMLAALEEWLPTWINGPSICNVRVGYPSEDLALAKTMATVAGKTAVYPVAQAQGIAGVLSSINIVNGQSKRLYPLALKTLTYTGLLNLELLQRFGQASTEEPRQPPPHHGANNVVCDLRGFRRITESVLTKVDDEQTVDCLIFVAGPLEQELARGGSEDKDCIVVRAGSNVFARVIGAGIGRGGGTVVVVKGAYRTGTAM
+>sp|P37059|DHB2_HUMAN Estradiol 17-beta-dehydrogenase 2 OS=Homo sapiens OX=9606 GN=HSD17B2 PE=1 SV=1
+MSTFFSDTAWICLAVPTVLCGTVFCKYKKSSGQLWSWMVCLAGLCAVCLLILSPFWGLILFSVSCFLMYTYLSGQELLPVDQKAVLVTGGDCGLGHALCKYLDELGFTVFAGVLNENGPGAEELRRTCSPRLSVLQMDITKPVQIKDAYSKVAAMLQDRGLWAVINNAGVLGFPTDGELLLMTDYKQCMAVNFFGTVEVTKTFLPLLRKSKGRLVNVSSMGGGAPMERLASYGSSKAAVTMFSSVMRLELSKWGIKVASIQPGGFLTNIAGTSDKWEKLEKDILDHLPAEVQEDYGQDYILAQRNFLLLINSLASKDFSPVLRDIQHAILAKSPFAYYTPGKGAYLWICLAHYLPIGIYDYFAKRHFGQDKPMPRALRMPNYKKKAT
+>DECOY_sp|P37059|DHB2_HUMAN Estradiol 17-beta-dehydrogenase 2 OS=Homo sapiens OX=9606 GN=HSD17B2 PE=1 SV=1
+TAKKKYNPMRLARPMPKDQGFHRKAFYDYIGIPLYHALCIWLYAGKGPTYYAFPSKALIAHQIDRLVPSFDKSALSNILLLFNRQALIYDQGYDEQVEAPLHDLIDKELKEWKDSTGAINTLFGGPQISAVKIGWKSLELRMVSSFMTVAAKSSGYSALREMPAGGGMSSVNVLRGKSKRLLPLFTKTVEVTGFFNVAMCQKYDTMLLLEGDTPFGLVGANNIVAWLGRDQLMAAVKSYADKIQVPKTIDMQLVSLRPSCTRRLEEAGPGNENLVGAFVTFGLEDLYKCLAHGLGCDGGTVLVAKQDVPLLEQGSLYTYMLFCSVSFLILGWFPSLILLCVACLGALCVMWSWLQGSSKKYKCFVTGCLVTPVALCIWATDSFFTSM
+>sp|P37058|DHB3_HUMAN Testosterone 17-beta-dehydrogenase 3 OS=Homo sapiens OX=9606 GN=HSD17B3 PE=1 SV=2
+MGDVLEQFFILTGLLVCLACLAKCVRFSRCVLLNYWKVLPKSFLRSMGQWAVITGAGDGIGKAYSFELAKRGLNVVLISRTLEKLEAIATEIERTTGRSVKIIQADFTKDDIYEHIKEKLAGLEIGILVNNVGMLPNLLPSHFLNAPDEIQSLIHCNITSVVKMTQLILKHMESRQKGLILNISSGIALFPWPLYSMYSASKAFVCAFSKALQEEYKAKEVIIQVLTPYAVSTAMTKYLNTNVITKTADEFVKESLNYVTIGGETCGCLAHEILAGFLSLIPAWAFYSGAFQRLLLTHYVAYLKLNTKVR
+>DECOY_sp|P37058|DHB3_HUMAN Testosterone 17-beta-dehydrogenase 3 OS=Homo sapiens OX=9606 GN=HSD17B3 PE=1 SV=2
+RVKTNLKLYAVYHTLLLRQFAGSYFAWAPILSLFGALIEHALCGCTEGGITVYNLSEKVFEDATKTIVNTNLYKTMATSVAYPTLVQIIVEKAKYEEQLAKSFACVFAKSASYMSYLPWPFLAIGSSINLILGKQRSEMHKLILQTMKVVSTINCHILSQIEDPANLFHSPLLNPLMGVNNVLIGIELGALKEKIHEYIDDKTFDAQIIKVSRGTTREIETAIAELKELTRSILVVNLGRKALEFSYAKGIGDGAGTIVAWQGMSRLFSKPLVKWYNLLVCRSFRVCKALCALCVLLGTLIFFQELVDGM
+>sp|P09417|DHPR_HUMAN Dihydropteridine reductase OS=Homo sapiens OX=9606 GN=QDPR PE=1 SV=2
+MAAAAAAGEARRVLVYGGRGALGSRCVQAFRARNWWVASVDVVENEEASASIIVKMTDSFTEQADQVTAEVGKLLGEEKVDAILCVAGGWAGGNAKSKSLFKNCDLMWKQSIWTSTISSHLATKHLKEGGLLTLAGAKAALDGTPGMIGYGMAKGAVHQLCQSLAGKNSGMPPGAAAIAVLPVTLDTPMNRKSMPEADFSSWTPLEFLVETFHDWITGKNRPSSGSLIQVVTTEGRTELTPAYF
+>DECOY_sp|P09417|DHPR_HUMAN Dihydropteridine reductase OS=Homo sapiens OX=9606 GN=QDPR PE=1 SV=2
+FYAPTLETRGETTVVQILSGSSPRNKGTIWDHFTEVLFELPTWSSFDAEPMSKRNMPTDLTVPLVAIAAAGPPMGSNKGALSQCLQHVAGKAMGYGIMGPTGDLAAKAGALTLLGGEKLHKTALHSSITSTWISQKWMLDCNKFLSKSKANGGAWGGAVCLIADVKEEGLLKGVEATVQDAQETFSDTMKVIISASAEENEVVDVSAVWWNRARFAQVCRSGLAGRGGYVLVRRAEGAAAAAAM
+>sp|Q96LJ7|DHRS1_HUMAN Dehydrogenase/reductase SDR family member 1 OS=Homo sapiens OX=9606 GN=DHRS1 PE=1 SV=1
+MAAPMNGQVCVVTGASRGIGRGIALQLCKAGATVYITGRHLDTLRVVAQEAQSLGGQCVPVVCDSSQESEVRSLFEQVDREQQGRLDVLVNNAYAGVQTILNTRNKAFWETPASMWDDINNVGLRGHYFCSVYGARLMVPAGQGLIVVISSPGSLQYMFNVPYGVGKAACDKLAADCAHELRRHGVSCVSLWPGIVQTELLKEHMAKEEVLQDPVLKQFKSAFSSAETTELSGKCVVALATDPNILSLSGKVLPSCDLARRYGLRDVDGRPVQDYLSLSSVLSHVSGLGWLASYLPSFLRVPKWIIALYTSKF
+>DECOY_sp|Q96LJ7|DHRS1_HUMAN Dehydrogenase/reductase SDR family member 1 OS=Homo sapiens OX=9606 GN=DHRS1 PE=1 SV=1
+FKSTYLAIIWKPVRLFSPLYSALWGLGSVHSLVSSLSLYDQVPRGDVDRLGYRRALDCSPLVKGSLSLINPDTALAVVCKGSLETTEASSFASKFQKLVPDQLVEEKAMHEKLLETQVIGPWLSVCSVGHRRLEHACDAALKDCAAKGVGYPVNFMYQLSGPSSIVVILGQGAPVMLRAGYVSCFYHGRLGVNNIDDWMSAPTEWFAKNRTNLITQVGAYANNVLVDLRGQQERDVQEFLSRVESEQSSDCVVPVCQGGLSQAEQAVVRLTDLHRGTIYVTAGAKCLQLAIGRGIGRSAGTVVCVQGNMPAAM
+>sp|O75911|DHRS3_HUMAN Short-chain dehydrogenase/reductase 3 OS=Homo sapiens OX=9606 GN=DHRS3 PE=1 SV=2
+MVWKRLGALVMFPLQMIYLVVKAAVGLVLPAKLRDLSRENVLITGGGRGIGRQLAREFAERGARKIVLWGRTEKCLKETTEEIRQMGTECHYFICDVGNREEVYQTAKAVREKVGDITILVNNAAVVHGKSLMDSDDDALLKSQHINTLGQFWTTKAFLPRMLELQNGHIVCLNSVLALSAIPGAIDYCTSKASAFAFMESLTLGLLDCPGVSATTVLPFHTSTEMFQGMRVRFPNLFPPLKPETVARRTVEAVQLNQALLLLPWTMHALVILKSILPQAALEEIHKFSGTYTCMNTFKGRT
+>DECOY_sp|O75911|DHRS3_HUMAN Short-chain dehydrogenase/reductase 3 OS=Homo sapiens OX=9606 GN=DHRS3 PE=1 SV=2
+TRGKFTNMCTYTGSFKHIEELAAQPLISKLIVLAHMTWPLLLLAQNLQVAEVTRRAVTEPKLPPFLNPFRVRMGQFMETSTHFPLVTTASVGPCDLLGLTLSEMFAFASAKSTCYDIAGPIASLALVSNLCVIHGNQLELMRPLFAKTTWFQGLTNIHQSKLLADDDSDMLSKGHVVAANNVLITIDGVKERVAKATQYVEERNGVDCIFYHCETGMQRIEETTEKLCKETRGWLVIKRAGREAFERALQRGIGRGGGTILVNERSLDRLKAPLVLGVAAKVVLYIMQLPFMVLAGLRKWVM
+>sp|Q9BTZ2|DHRS4_HUMAN Dehydrogenase/reductase SDR family member 4 OS=Homo sapiens OX=9606 GN=DHRS4 PE=1 SV=3
+MHKAGLLGLCARAWNSVRMASSGMTRRDPLANKVALVTASTDGIGFAIARRLAQDGAHVVVSSRKQQNVDQAVATLQGEGLSVTGTVCHVGKAEDRERLVATAVKLHGGIDILVSNAAVNPFFGSIMDVTEEVWDKTLDINVKAPALMTKAVVPEMEKRGGGSVVIVSSIAAFSPSPGFSPYNVSKTALLGLTKTLAIELAPRNIRVNCLAPGLIKTSFSRMLWMDKEKEESMKETLRIRRLGEPEDCAGIVSFLCSEDASYITGETVVVGGGTPSRL
+>DECOY_sp|Q9BTZ2|DHRS4_HUMAN Dehydrogenase/reductase SDR family member 4 OS=Homo sapiens OX=9606 GN=DHRS4 PE=1 SV=3
+LRSPTGGGVVVTEGTIYSADESCLFSVIGACDEPEGLRRIRLTEKMSEEKEKDMWLMRSFSTKILGPALCNVRINRPALEIALTKTLGLLATKSVNYPSFGPSPSFAAISSVIVVSGGGRKEMEPVVAKTMLAPAKVNIDLTKDWVEETVDMISGFFPNVAANSVLIDIGGHLKVATAVLRERDEAKGVHCVTGTVSLGEGQLTAVAQDVNQQKRSSVVVHAGDQALRRAIAFGIGDTSATVLAVKNALPDRRTMGSSAMRVSNWARACLGLLGAKHM
+>sp|Q9UIK4|DAPK2_HUMAN Death-associated protein kinase 2 OS=Homo sapiens OX=9606 GN=DAPK2 PE=1 SV=1
+MFQASMRSPNMEPFKQQKVEDFYDIGEELGSGQFAIVKKCREKSTGLEYAAKFIKKRQSRASRRGVSREEIEREVSILRQVLHHNVITLHDVYENRTDVVLILELVSGGELFDFLAQKESLSEEEATSFIKQILDGVNYLHTKKIAHFDLKPENIMLLDKNIPIPHIKLIDFGLAHEIEDGVEFKNIFGTPEFVAPEIVNYEPLGLEADMWSIGVITYILLSGASPFLGDTKQETLANITAVSYDFDEEFFSQTSELAKDFIRKLLVKETRKRLTIQEALRHPWITPVDNQQAMVRRESVVNLENFRKQYVRRRWKLSFSIVSLCNHLTRSLMKKVHLRPDEDLRNCESDTEEDIARRKALHPRRRSSTS
+>DECOY_sp|Q9UIK4|DAPK2_HUMAN Death-associated protein kinase 2 OS=Homo sapiens OX=9606 GN=DAPK2 PE=1 SV=1
+STSSRRRPHLAKRRAIDEETDSECNRLDEDPRLHVKKMLSRTLHNCLSVISFSLKWRRRVYQKRFNELNVVSERRVMAQQNDVPTIWPHRLAEQITLRKRTEKVLLKRIFDKALESTQSFFEEDFDYSVATINALTEQKTDGLFPSAGSLLIYTIVGISWMDAELGLPEYNVIEPAVFEPTGFINKFEVGDEIEHALGFDILKIHPIPINKDLLMINEPKLDFHAIKKTHLYNVGDLIQKIFSTAEEESLSEKQALFDFLEGGSVLELILVVDTRNEYVDHLTIVNHHLVQRLISVEREIEERSVGRRSARSQRKKIFKAAYELGTSKERCKKVIAFQGSGLEEGIDYFDEVKQQKFPEMNPSRMSAQFM
+>sp|A6NH13|DAS1_HUMAN Putative uncharacterized protein DNAJC9-AS1 OS=Homo sapiens OX=9606 GN=DNAJC9-AS1 PE=2 SV=2
+MPGGDTTPEEAAAPSCAGYNPGLLLFRAQKAQGACVTSTEGAWPRRASALYGGRKMRCGESGAGPDPRSNSAEVSSSQPALASKSQSKWGPTSNNPRGALTTTEFEMAGNRSQNIKHKQTALIAIPMSSQTPRMLGRPRNQGQLYPQP
+>DECOY_sp|A6NH13|DAS1_HUMAN Putative uncharacterized protein DNAJC9-AS1 OS=Homo sapiens OX=9606 GN=DNAJC9-AS1 PE=2 SV=2
+PQPYLQGQNRPRGLMRPTQSSMPIAILATQKHKINQSRNGAMEFETTTLAGRPNNSTPGWKSQSKSALAPQSSSVEASNSRPDPGAGSEGCRMKRGGYLASARRPWAGETSTVCAGQAKQARFLLLGPNYGACSPAAAEEPTTDGGPM
+>sp|Q8N136|DAW1_HUMAN Dynein assembly factor with WDR repeat domains 1 OS=Homo sapiens OX=9606 GN=DAW1 PE=1 SV=1
+MKLKSLLLRYYPPGIMLEYEKHGELKTKSIDLLDLGPSTDVSALVEEIQKAEPLLTASRTEQVKLLIQRLQEKLGQNSNHTFYLFKVLKAHILPLTNVALNKSGSCFITGSYDRTCKLWDTASGEELNTLEGHRNVVYAIAFNNPYGDKIATGSFDKTCKLWSVETGKCYHTFRGHTAEIVCLSFNPQSTLVATGSMDTTAKLWDIQNGEEVYTLRGHSAEIISLSFNTSGDRIITGSFDHTVVVWDADTGRKVNILIGHCAEISSASFNWDCSLILTGSMDKTCKLWDATNGKCVATLTGHDDEILDSCFDYTGKLIATASADGTARIFSAATRKCIAKLEGHEGEISKISFNPQGNHLLTGSSDKTARIWDAQTGQCLQVLEGHTDEIFSCAFNYKGNIVITGSKDNTCRIWR
+>DECOY_sp|Q8N136|DAW1_HUMAN Dynein assembly factor with WDR repeat domains 1 OS=Homo sapiens OX=9606 GN=DAW1 PE=1 SV=1
+RWIRCTNDKSGTIVINGKYNFACSFIEDTHGELVQLCQGTQADWIRATKDSSGTLLHNGQPNFSIKSIEGEHGELKAICKRTAASFIRATGDASATAILKGTYDFCSDLIEDDHGTLTAVCKGNTADWLKCTKDMSGTLILSCDWNFSASSIEACHGILINVKRGTDADWVVVTHDFSGTIIRDGSTNFSLSIIEASHGRLTYVEEGNQIDWLKATTDMSGTAVLTSQPNFSLCVIEATHGRFTHYCKGTEVSWLKCTKDFSGTAIKDGYPNNFAIAYVVNRHGELTNLEEGSATDWLKCTRDYSGTIFCSGSKNLAVNTLPLIHAKLVKFLYFTHNSNQGLKEQLRQILLKVQETRSATLLPEAKQIEEVLASVDTSPGLDLLDISKTKLEGHKEYELMIGPPYYRLLLSKLKM
+>sp|Q9UER7|DAXX_HUMAN Death domain-associated protein 6 OS=Homo sapiens OX=9606 GN=DAXX PE=1 SV=2
+MATANSIIVLDDDDEDEAAAQPGPSHPLPNAASPGAEAPSSSEPHGARGSSSSGGKKCYKLENEKLFEEFLELCKMQTADHPEVVPFLYNRQQRAHSLFLASAEFCNILSRVLSRARSRPAKLYVYINELCTVLKAHSAKKKLNLAPAATTSNEPSGNNPPTHLSLDPTNAENTASQSPRTRGSRRQIQRLEQLLALYVAEIRRLQEKELDLSELDDPDSAYLQEARLKRKLIRLFGRLCELKDCSSLTGRVIEQRIPYRGTRYPEVNRRIERLINKPGPDTFPDYGDVLRAVEKAAARHSLGLPRQQLQLMAQDAFRDVGIRLQERRHLDLIYNFGCHLTDDYRPGVDPALSDPVLARRLRENRSLAMSRLDEVISKYAMLQDKSEEGERKKRRARLQGTSSHSADTPEASLDSGEGPSGMASQGCPSASRAETDDEDDEESDEEEEEEEEEEEEEATDSEEEEDLEQMQEGQEDDEEEDEEEEAAAGKDGDKSPMSSLQISNEKNLEPGKQISRSSGEQQNKGRIVSPSLLSEEPLAPSSIDAESNGEQPEELTLEEESPVSQLFELEIEALPLDTPSSVETDISSSRKQSEEPFTTVLENGAGMVSSTSFNGGVSPHNWGDSGPPCKKSRKEKKQTGSGPLGNSYVERQRSVHEKNGKKICTLPSPPSPLASLAPVADSSTRVDSPSHGLVTSSLCIPSPARLSQTPHSQPPRPGTCKTSVATQCDPEEIIVLSDSD
+>DECOY_sp|Q9UER7|DAXX_HUMAN Death domain-associated protein 6 OS=Homo sapiens OX=9606 GN=DAXX PE=1 SV=2
+DSDSLVIIEEPDCQTAVSTKCTGPRPPQSHPTQSLRAPSPICLSSTVLGHSPSDVRTSSDAVPALSALPSPPSPLTCIKKGNKEHVSRQREVYSNGLPGSGTQKKEKRSKKCPPGSDGWNHPSVGGNFSTSSVMGAGNELVTTFPEESQKRSSSIDTEVSSPTDLPLAEIELEFLQSVPSEEELTLEEPQEGNSEADISSPALPEESLLSPSVIRGKNQQEGSSRSIQKGPELNKENSIQLSSMPSKDGDKGAAAEEEEDEEEDDEQGEQMQELDEEEESDTAEEEEEEEEEEEEEDSEEDDEDDTEARSASPCGQSAMGSPGEGSDLSAEPTDASHSSTGQLRARRKKREGEESKDQLMAYKSIVEDLRSMALSRNERLRRALVPDSLAPDVGPRYDDTLHCGFNYILDLHRREQLRIGVDRFADQAMLQLQQRPLGLSHRAAAKEVARLVDGYDPFTDPGPKNILREIRRNVEPYRTGRYPIRQEIVRGTLSSCDKLECLRGFLRILKRKLRAEQLYASDPDDLESLDLEKEQLRRIEAVYLALLQELRQIQRRSGRTRPSQSATNEANTPDLSLHTPPNNGSPENSTTAAPALNLKKKASHAKLVTCLENIYVYLKAPRSRARSLVRSLINCFEASALFLSHARQQRNYLFPVVEPHDATQMKCLELFEEFLKENELKYCKKGGSSSSGRAGHPESSSPAEAGPSAANPLPHSPGPQAAAEDEDDDDLVIISNATAM
+>sp|Q8N690|DB119_HUMAN Beta-defensin 119 OS=Homo sapiens OX=9606 GN=DEFB119 PE=2 SV=2
+MKLLYLFLAILLAIEEPVISGKRHILRCMGNSGICRASCKKNEQPYLYCRNCQSCCLQSYMRISISGKEENTDWSYEKQWPRLP
+>DECOY_sp|Q8N690|DB119_HUMAN Beta-defensin 119 OS=Homo sapiens OX=9606 GN=DEFB119 PE=2 SV=2
+PLRPWQKEYSWDTNEEKGSISIRMYSQLCCSQCNRCYLYPQENKKCSARCIGSNGMCRLIHRKGSIVPEEIALLIALFLYLLKM
+>sp|Q8NES8|DB124_HUMAN Beta-defensin 124 OS=Homo sapiens OX=9606 GN=DEFB124 PE=3 SV=2
+MTQLLLFLVALLVLGHVPSGRSEFKRCWKGQGACQTYCTRQETYMHLCPDASLCCLSYALKPPPVPKHEYE
+>DECOY_sp|Q8NES8|DB124_HUMAN Beta-defensin 124 OS=Homo sapiens OX=9606 GN=DEFB124 PE=3 SV=2
+EYEHKPVPPPKLAYSLCCLSADPCLHMYTEQRTCYTQCAGQGKWCRKFESRGSPVHGLVLLAVLFLLLQTM
+>sp|Q9H1M4|DB127_HUMAN Beta-defensin 127 OS=Homo sapiens OX=9606 GN=DEFB127 PE=1 SV=1
+MGLFMIIAILLFQKPTVTEQLKKCWNNYVQGHCRKICRVNEVPEALCENGRYCCLNIKELEACKKITKPPRPKPATLALTLQDYVTIIENFPSLKTQST
+>DECOY_sp|Q9H1M4|DB127_HUMAN Beta-defensin 127 OS=Homo sapiens OX=9606 GN=DEFB127 PE=1 SV=1
+TSQTKLSPFNEIITVYDQLTLALTAPKPRPPKTIKKCAELEKINLCCYRGNECLAEPVENVRCIKRCHGQVYNNWCKKLQETVTPKQFLLIAIIMFLGM
+>sp|Q8NFT6|DBF4B_HUMAN Protein DBF4 homolog B OS=Homo sapiens OX=9606 GN=DBF4B PE=1 SV=1
+MSEPGKGDDCLELESSMAESRLRAPDLGVSRCLGKCQKNSPGARKHPFSGKSFYLDLPAGKNLQFLTGAIQQLGGVIEGFLSKEVSYIVSSRREVKAESSGKSHRGCPSPSPSEVRVETSAMVDPKGSHPRPSRKPVDSVPLSRGKELLQKAIRNQGSISGGGSGGSSSLLTNARSWGVRILHVDEMMMHVQQLSLASLCVKKQQPKKPEGTCPAAESRTRKVARLKAPFLKIEDESRKFRPFHHQFKSFPEISFLGPKDASPFEAPTTLGSMHHTRESKDGEPSPRSAAHTMPRRKKGYCECCQEAFEELHVHLQSAQHRSFALEAHLYAEVDRIIAQLSHSFADIPFQAGLPRWSGSPASDCDPLCPETLHPHQPSHPRAASPRIRKEDSCQASVTQGRAAGQQRWTESLDGVMGPPASHTCVSATTLLPALPKGSREQGCLCPCPASFTQSHLVTSLALLPGEWSPAEDMPLHPSQENSFAPADIPVKGPLLFPEARPWLMSARCWVRPFPFVTWGCLIPHDTTPLHEEVSPCPCLRLGYLYLLLTQSLWCRVRVPSLSTAGPIPRTSHPCTLAFPSYLNDHDLGHLCQAKPQGWNTPQPFLHCGFLAVDSG
+>DECOY_sp|Q8NFT6|DBF4B_HUMAN Protein DBF4 homolog B OS=Homo sapiens OX=9606 GN=DBF4B PE=1 SV=1
+GSDVALFGCHLFPQPTNWGQPKAQCLHGLDHDNLYSPFALTCPHSTRPIPGATSLSPVRVRCWLSQTLLLYLYGLRLCPCPSVEEHLPTTDHPILCGWTVFPFPRVWCRASMLWPRAEPFLLPGKVPIDAPAFSNEQSPHLPMDEAPSWEGPLLALSTVLHSQTFSAPCPCLCGQERSGKPLAPLLTTASVCTHSAPPGMVGDLSETWRQQGAARGQTVSAQCSDEKRIRPSAARPHSPQHPHLTEPCLPDCDSAPSGSWRPLGAQFPIDAFSHSLQAIIRDVEAYLHAELAFSRHQASQLHVHLEEFAEQCCECYGKKRRPMTHAASRPSPEGDKSERTHHMSGLTTPAEFPSADKPGLFSIEPFSKFQHHFPRFKRSEDEIKLFPAKLRAVKRTRSEAAPCTGEPKKPQQKKVCLSALSLQQVHMMMEDVHLIRVGWSRANTLLSSSGGSGGGSISGQNRIAKQLLEKGRSLPVSDVPKRSPRPHSGKPDVMASTEVRVESPSPSPCGRHSKGSSEAKVERRSSVIYSVEKSLFGEIVGGLQQIAGTLFQLNKGAPLDLYFSKGSFPHKRAGPSNKQCKGLCRSVGLDPARLRSEAMSSELELCDDGKGPESM
+>sp|Q8NA75|DC4L2_HUMAN DDB1- and CUL4-associated factor 4-like protein 2 OS=Homo sapiens OX=9606 GN=DCAF4L2 PE=1 SV=1
+MESKRPRLLEEADKQKKTVRVGLNAPSMLRKNQLGFLRFANYCRIARELRVSCMQRKKVQIHSWDPSSLASDRFNRILANTNTDQLFTVNQVEAGGSKYGIITMRGLTTPELRVYPHKTLYVPNRKVNSMCWASLNHLDSHLLLCFVGLADTPSCAVLLPASLFIGSFPGMRRPGMLCSFQIPDAWSCAWSLSIHAYHSFSTGLSQQVLLTNVVTGHQQSFGTSSDVLAQQFAIMTPLLFNGCRSGEIFGIDLRCGNQGSGWKAICLSHDSAVTSLQILQDGQFLVSSDMTGTIKLWDLRATKCVTQYEGHVNNSAYLPVHVNEEEGVVAAVGQDCYTRIWSLRHGHLLTTIPSPYPASENDIPSVAFSSRLGGFRGAPGLLMAVREDLYCFSYG
+>DECOY_sp|Q8NA75|DC4L2_HUMAN DDB1- and CUL4-associated factor 4-like protein 2 OS=Homo sapiens OX=9606 GN=DCAF4L2 PE=1 SV=1
+GYSFCYLDERVAMLLGPAGRFGGLRSSFAVSPIDNESAPYPSPITTLLHGHRLSWIRTYCDQGVAAVVGEEENVHVPLYASNNVHGEYQTVCKTARLDWLKITGTMDSSVLFQGDQLIQLSTVASDHSLCIAKWGSGQNGCRLDIGFIEGSRCGNFLLPTMIAFQQALVDSSTGFSQQHGTVVNTLLVQQSLGTSFSHYAHISLSWACSWADPIQFSCLMGPRRMGPFSGIFLSAPLLVACSPTDALGVFCLLLHSDLHNLSAWCMSNVKRNPVYLTKHPYVRLEPTTLGRMTIIGYKSGGAEVQNVTFLQDTNTNALIRNFRDSALSSPDWSHIQVKKRQMCSVRLERAIRCYNAFRLFGLQNKRLMSPANLGVRVTKKQKDAEELLRPRKSEM
+>sp|P0C7V8|DC8L2_HUMAN DDB1- and CUL4-associated factor 8-like protein 2 OS=Homo sapiens OX=9606 GN=DCAF8L2 PE=2 SV=2
+MSHQEGSTDGLPDLGTESLFSSPEEQSGAVAATEASSDIDIATSELSVTVTGDGSDSRDGGFPNDASTENRSSDQESASEDIELESLEDFEHFLMSGESLFHYPLVGEEETEREEEDEEIQEEGGEEEEEEEEEEEEEEEEEEEEEEQPRAGPQGSGGNHEQYSLEEDQALEEWVSSETSALPRPRWQVVTALHQRQLGSRPRFVYEACGARAFVQRFRLQYRLADHVGCVNTVHFNQRGTRLASSGDDLKVIVWDWVRQRPVLNFESGHTNNVFQAKFLPNCGDSTLAMCARDGQVRVAELINASYFNNTKCVAQHRGPAHKLALEPDSPYKFLTSGEDAVVFTIDLRQDRPASKVVVTRENDKKVGLYTITVNPANTYQFAVGGQDQFVRIYDQRKIDKKENNGVLKKFTPHHLVNCDFPTNITCVVYSHDGTELLASYNDDDIYLFNSSHSDGAQYSKRFKGHRNNTTVKGVNFYGPRSEFVVSGSDCGHIFFWEKSSCQIIQFLKGSREGTINCLEPHPYLPVLACSGLDHDVKIWTPTAKAATELTGLKKVIKKNKWERDEDSLHHGSLFDQYMLWFLLRHVTQRGRHQDWRSGEAEFPDEESDESSSTSETSEEEVQDRVQCMPS
+>DECOY_sp|P0C7V8|DC8L2_HUMAN DDB1- and CUL4-associated factor 8-like protein 2 OS=Homo sapiens OX=9606 GN=DCAF8L2 PE=2 SV=2
+SPMCQVRDQVEEESTESTSSSEDSEEDPFEAEGSRWDQHRGRQTVHRLLFWLMYQDFLSGHHLSDEDREWKNKKIVKKLGTLETAAKATPTWIKVDHDLGSCALVPLYPHPELCNITGERSGKLFQIIQCSSKEWFFIHGCDSGSVVFESRPGYFNVGKVTTNNRHGKFRKSYQAGDSHSSNFLYIDDDNYSALLETGDHSYVVCTINTPFDCNVLHHPTFKKLVGNNEKKDIKRQDYIRVFQDQGGVAFQYTNAPNVTITYLGVKKDNERTVVVKSAPRDQRLDITFVVADEGSTLFKYPSDPELALKHAPGRHQAVCKTNNFYSANILEAVRVQGDRACMALTSDGCNPLFKAQFVNNTHGSEFNLVPRQRVWDWVIVKLDDGSSALRTGRQNFHVTNVCGVHDALRYQLRFRQVFARAGCAEYVFRPRSGLQRQHLATVVQWRPRPLASTESSVWEELAQDEELSYQEHNGGSGQPGARPQEEEEEEEEEEEEEEEEEEEEEEGGEEQIEEDEEERETEEEGVLPYHFLSEGSMLFHEFDELSELEIDESASEQDSSRNETSADNPFGGDRSDSGDGTVTVSLESTAIDIDSSAETAAVAGSQEEPSSFLSETGLDPLGDTSGEQHSM
+>sp|Q5T6F0|DCA12_HUMAN DDB1- and CUL4-associated factor 12 OS=Homo sapiens OX=9606 GN=DCAF12 PE=1 SV=1
+MARKVVSRKRKAPASPGAGSDAQGPQFGWDHSLHKRKRLPPVKRSLVYYLKNREVRLQNETSYSRVLHGYAAQQLPSLLKEREFHLGTLNKVFASQWLNHRQVVCGTKCNTLFVVDVQTSQITKIPILKDREPGGVTQQGCGIHAIELNPSRTLLATGGDNPNSLAIYRLPTLDPVCVGDDGHKDWIFSIAWISDTMAVSGSRDGSMGLWEVTDDVLTKSDARHNVSRVPVYAHITHKALKDIPKEDTNPDNCKVRALAFNNKNKELGAVSLDGYFHLWKAENTLSKLLSTKLPYCRENVCLAYGSEWSVYAVGSQAHVSFLDPRQPSYNVKSVCSRERGSGIRSVSFYEHIITVGTGQGSLLFYDIRAQRFLEERLSACYGSKPRLAGENLKLTTGKGWLNHDETWRNYFSDIDFFPNAVYTHCYDSSGTKLFVAGGPLPSGLHGNYAGLWS
+>DECOY_sp|Q5T6F0|DCA12_HUMAN DDB1- and CUL4-associated factor 12 OS=Homo sapiens OX=9606 GN=DCAF12 PE=1 SV=1
+SWLGAYNGHLGSPLPGGAVFLKTGSSDYCHTYVANPFFDIDSFYNRWTEDHNLWGKGTTLKLNEGALRPKSGYCASLREELFRQARIDYFLLSGQGTGVTIIHEYFSVSRIGSGRERSCVSKVNYSPQRPDLFSVHAQSGVAYVSWESGYALCVNERCYPLKTSLLKSLTNEAKWLHFYGDLSVAGLEKNKNNFALARVKCNDPNTDEKPIDKLAKHTIHAYVPVRSVNHRADSKTLVDDTVEWLGMSGDRSGSVAMTDSIWAISFIWDKHGDDGVCVPDLTPLRYIALSNPNDGGTALLTRSPNLEIAHIGCGQQTVGGPERDKLIPIKTIQSTQVDVVFLTNCKTGCVVQRHNLWQSAFVKNLTGLHFEREKLLSPLQQAAYGHLVRSYSTENQLRVERNKLYYVLSRKVPPLRKRKHLSHDWGFQPGQADSGAGPSAPAKRKRSVVKRAM
+>sp|Q8WV16|DCAF4_HUMAN DDB1- and CUL4-associated factor 4 OS=Homo sapiens OX=9606 GN=DCAF4 PE=1 SV=3
+MNKSRWQSRRRHGRRSHQQNPWFRLRDSEDRSDSRAAQPAHDSGHGDDESPSTSSGTAGTSSVPELPGFYFDPEKKRYFRLLPGHNNCNPLTKESIRQKEMESKRLRLLQEEDRRKKIARMGFNASSMLRKSQLGFLNVTNYCHLAHELRLSCMERKKVQIRSMDPSALASDRFNLILADTNSDRLFTVNDVKVGGSKYGIINLQSLKTPTLKVFMHENLYFTNRKVNSVCWASLNHLDSHILLCLMGLAETPGCATLLPASLFVNSHPGIDRPGMLCSFRIPGAWSCAWSLNIQANNCFSTGLSRRVLLTNVVTGHRQSFGTNSDVLAQQFALMAPLLFNGCRSGEIFAIDLRCGNQGKGWKATRLFHDSAVTSVRILQDEQYLMASDMAGKIKLWDLRTTKCVRQYEGHVNEYAYLPLHVHEEEGILVAVGQDCYTRIWSLHDARLLRTIPSPYPASKADIPSVAFSSRLGGSRGAPGLLMAVGQDLYCYSYS
+>DECOY_sp|Q8WV16|DCAF4_HUMAN DDB1- and CUL4-associated factor 4 OS=Homo sapiens OX=9606 GN=DCAF4 PE=1 SV=3
+SYSYCYLDQGVAMLLGPAGRSGGLRSSFAVSPIDAKSAPYPSPITRLLRADHLSWIRTYCDQGVAVLIGEEEHVHLPLYAYENVHGEYQRVCKTTRLDWLKIKGAMDSAMLYQEDQLIRVSTVASDHFLRTAKWGKGQNGCRLDIAFIEGSRCGNFLLPAMLAFQQALVDSNTGFSQRHGTVVNTLLVRRSLGTSFCNNAQINLSWACSWAGPIRFSCLMGPRDIGPHSNVFLSAPLLTACGPTEALGMLCLLIHSDLHNLSAWCVSNVKRNTFYLNEHMFVKLTPTKLSQLNIIGYKSGGVKVDNVTFLRDSNTDALILNFRDSALASPDMSRIQVKKREMCSLRLEHALHCYNTVNLFGLQSKRLMSSANFGMRAIKKRRDEEQLLRLRKSEMEKQRISEKTLPNCNNHGPLLRFYRKKEPDFYFGPLEPVSSTGATGSSTSPSEDDGHGSDHAPQAARSDSRDESDRLRFWPNQQHSRRGHRRRSQWRSKNM
+>sp|P19113|DCHS_HUMAN Histidine decarboxylase OS=Homo sapiens OX=9606 GN=HDC PE=1 SV=2
+MMEPEEYRERGREMVDYICQYLSTVRERRVTPDVQPGYLRAQLPESAPEDPDSWDSIFGDIERIIMPGVVHWQSPHMHAYYPALTSWPSLLGDMLADAINCLGFTWASSPACTELEMNVMDWLAKMLGLPEHFLHHHPSSQGGGVLQSTVSESTLIALLAARKNKILEMKTSEPDADESCLNARLVAYASDQAHSSVEKAGLISLVKMKFLPVDDNFSLRGEALQKAIEEDKQRGLVPVFVCATLGTTGVCAFDCLSELGPICAREGLWLHIDAAYAGTAFLCPEFRGFLKGIEYADSFTFNPSKWMMVHFDCTGFWVKDKYKLQQTFSVNPIYLRHANSGVATDFMHWQIPLSRRFRSVKLWFVIRSFGVKNLQAHVRHGTEMAKYFESLVRNDPSFEIPAKRHLGLVVFRLKGPNCLTENVLKEIAKAGRLFLIPATIQDKLIIRFTVTSQFTTRDDILRDWNLIRDAATLILSQHCTSQPSPRVGNLISQIRGARAWACGTSLQSVSGAGDDPVQARKIIKQPQRVGAGPMKRENGLHLETLLDPVDDCFSEEAPDATKHKLSSFLFSYLSVQTKKKTVRSLSCNSVPVSAQKPLPTEASVKNGGSSRVRIFSRFPEDMMMLKKSAFKKLIKFYSVPSFPECSSQCGLQLPCCPLQAMV
+>DECOY_sp|P19113|DCHS_HUMAN Histidine decarboxylase OS=Homo sapiens OX=9606 GN=HDC PE=1 SV=2
+VMAQLPCCPLQLGCQSSCEPFSPVSYFKILKKFASKKLMMMDEPFRSFIRVRSSGGNKVSAETPLPKQASVPVSNCSLSRVTKKKTQVSLYSFLFSSLKHKTADPAEESFCDDVPDLLTELHLGNERKMPGAGVRQPQKIIKRAQVPDDGAGSVSQLSTGCAWARAGRIQSILNGVRPSPQSTCHQSLILTAADRILNWDRLIDDRTTFQSTVTFRIILKDQITAPILFLRGAKAIEKLVNETLCNPGKLRFVVLGLHRKAPIEFSPDNRVLSEFYKAMETGHRVHAQLNKVGFSRIVFWLKVSRFRRSLPIQWHMFDTAVGSNAHRLYIPNVSFTQQLKYKDKVWFGTCDFHVMMWKSPNFTFSDAYEIGKLFGRFEPCLFATGAYAADIHLWLGERACIPGLESLCDFACVGTTGLTACVFVPVLGRQKDEEIAKQLAEGRLSFNDDVPLFKMKVLSILGAKEVSSHAQDSAYAVLRANLCSEDADPESTKMELIKNKRAALLAILTSESVTSQLVGGGQSSPHHHLFHEPLGLMKALWDMVNMELETCAPSSAWTFGLCNIADALMDGLLSPWSTLAPYYAHMHPSQWHVVGPMIIREIDGFISDWSDPDEPASEPLQARLYGPQVDPTVRRERVTSLYQCIYDVMERGRERYEEPEMM
+>sp|P27707|DCK_HUMAN Deoxycytidine kinase OS=Homo sapiens OX=9606 GN=DCK PE=1 SV=1
+MATPPKRSCPSFSASSEGTRIKKISIEGNIAAGKSTFVNILKQLCEDWEVVPEPVARWCNVQSTQDEFEELTMSQKNGGNVLQMMYEKPERWSFTFQTYACLSRIRAQLASLNGKLKDAEKPVLFFERSVYSDRYIFASNLYESECMNETEWTIYQDWHDWMNNQFGQSLELDGIIYLQATPETCLHRIYLRGRNEEQGIPLEYLEKLHYKHESWLLHRTLKTNFDYLQEVPILTLDVNEDFKDKYESLVEKVKEFLSTL
+>DECOY_sp|P27707|DCK_HUMAN Deoxycytidine kinase OS=Homo sapiens OX=9606 GN=DCK PE=1 SV=1
+LTSLFEKVKEVLSEYKDKFDENVDLTLIPVEQLYDFNTKLTRHLLWSEHKYHLKELYELPIGQEENRGRLYIRHLCTEPTAQLYIIGDLELSQGFQNNMWDHWDQYITWETENMCESEYLNSAFIYRDSYVSREFFLVPKEADKLKGNLSALQARIRSLCAYTQFTFSWREPKEYMMQLVNGGNKQSMTLEEFEDQTSQVNCWRAVPEPVVEWDECLQKLINVFTSKGAAINGEISIKKIRTGESSASFSPCSRKPPTAM
+>sp|Q8IWE4|DCNL3_HUMAN DCN1-like protein 3 OS=Homo sapiens OX=9606 GN=DCUN1D3 PE=1 SV=1
+MGQCVTKCKNPSSTLGSKNGDREPSNKSHSRRGAGHREEQVPPCGKPGGDILVNGTKKAEAATEACQLPTSSGDAGRESKSNAEESSLQRLEELFRRYKDEREDAILEEGMERFCNDLCVDPTEFRVLLLAWKFQAATMCKFTRKEFFDGCKAISADSIDGICARFPSLLTEAKQEDKFKDLYRFTFQFGLDSEEGQRSLHREIAIALWKLVFTQNNPPVLDQWLNFLTENPSGIKGISRDTWNMFLNFTQVIGPDLSNYSEDEAWPSLFDTFVEWEMERRKREGEGRGALSSGPEGLCPEEQT
+>DECOY_sp|Q8IWE4|DCNL3_HUMAN DCN1-like protein 3 OS=Homo sapiens OX=9606 GN=DCUN1D3 PE=1 SV=1
+TQEEPCLGEPGSSLAGRGEGERKRREMEWEVFTDFLSPWAEDESYNSLDPGIVQTFNLFMNWTDRSIGKIGSPNETLFNLWQDLVPPNNQTFVLKWLAIAIERHLSRQGEESDLGFQFTFRYLDKFKDEQKAETLLSPFRACIGDISDASIAKCGDFFEKRTFKCMTAAQFKWALLLVRFETPDVCLDNCFREMGEELIADEREDKYRRFLEELRQLSSEEANSKSERGADGSSTPLQCAETAAEAKKTGNVLIDGGPKGCPPVQEERHGAGRRSHSKNSPERDGNKSGLTSSPNKCKTVCQGM
+>sp|Q92564|DCNL4_HUMAN DCN1-like protein 4 OS=Homo sapiens OX=9606 GN=DCUN1D4 PE=1 SV=2
+MHSDAAAVNFQLNSHLSTLANIHKIYHTLNKLNLTEDIGQDDHQTGSLRSCSSSDCFNKVMPPRKKRRPASGDDLSAKKSRHDSMYRKYDSTRIKTEEEAFSSKRCLEWFYEYAGTDDVVGPEGMEKFCEDIGVEPENVVMLVLAWKLDAQNMGYFTLQEWLKGMTSLQCDTTEKLRNTLDYLRSFLNDSTNFKLIYRYAFDFAREKDQRSLDINTAKCMLGLLLGKIWPLFPVFHQFLEQSKYKVINKDQWCNVLEFSRTINLDLSNYDEDGAWPVLLDEFVEWYKDKQMS
+>DECOY_sp|Q92564|DCNL4_HUMAN DCN1-like protein 4 OS=Homo sapiens OX=9606 GN=DCUN1D4 PE=1 SV=2
+SMQKDKYWEVFEDLLVPWAGDEDYNSLDLNITRSFELVNCWQDKNIVKYKSQELFQHFVPFLPWIKGLLLGLMCKATNIDLSRQDKERAFDFAYRYILKFNTSDNLFSRLYDLTNRLKETTDCQLSTMGKLWEQLTFYGMNQADLKWALVLMVVNEPEVGIDECFKEMGEPGVVDDTGAYEYFWELCRKSSFAEEETKIRTSDYKRYMSDHRSKKASLDDGSAPRRKKRPPMVKNFCDSSSCSRLSGTQHDDQGIDETLNLKNLTHYIKHINALTSLHSNLQFNVAAADSHM
+>sp|Q8IU60|DCP2_HUMAN m7GpppN-mRNA hydrolase OS=Homo sapiens OX=9606 GN=DCP2 PE=1 SV=2
+METKRVEIPGSVLDDFCSRFILHIPSEERDNAIRVCFQIELAHWFYLDFYMQNTPGLPQCGIRDFAKAVFSHCPFLLPQGEDVEKVLDEWKEYKMGVPTYGAIILDETLENVLLVQGYLAKSGWGFPKGKVNKEEAPHDCAAREVFEETGFDIKDYICKDDYIELRINDQLARLYIIPGIPKDTKFNPKTRREIRNIEWFSIEKLPCHRNDMTPKSKLGLAPNKFFMAIPFIRPLRDWLSRRFGDSSDSDNGFSSTGSTPAKPTVEKLSRTKFRHSQQLFPDGSPGDQWVKHRQPLQQKPYNNHSEMSDLLKGKNQSMRGNGRKQYQDSPNQKKRTNGLQPAKQQNSLMKCEKKLHPRKLQDNFETDAVYDLPSSSEDQLLEHAEGQPVACNGHCKFPFSSRAFLSFKFDHNAIMKILDL
+>DECOY_sp|Q8IU60|DCP2_HUMAN m7GpppN-mRNA hydrolase OS=Homo sapiens OX=9606 GN=DCP2 PE=1 SV=2
+LDLIKMIANHDFKFSLFARSSFPFKCHGNCAVPQGEAHELLQDESSSPLDYVADTEFNDQLKRPHLKKECKMLSNQQKAPQLGNTRKKQNPSDQYQKRGNGRMSQNKGKLLDSMESHNNYPKQQLPQRHKVWQDGPSGDPFLQQSHRFKTRSLKEVTPKAPTSGTSSFGNDSDSSDGFRRSLWDRLPRIFPIAMFFKNPALGLKSKPTMDNRHCPLKEISFWEINRIERRTKPNFKTDKPIGPIIYLRALQDNIRLEIYDDKCIYDKIDFGTEEFVERAACDHPAEEKNVKGKPFGWGSKALYGQVLLVNELTEDLIIAGYTPVGMKYEKWEDLVKEVDEGQPLLFPCHSFVAKAFDRIGCQPLGPTNQMYFDLYFWHALEIQFCVRIANDREESPIHLIFRSCFDDLVSGPIEVRKTEM
+>sp|P32321|DCTD_HUMAN Deoxycytidylate deaminase OS=Homo sapiens OX=9606 GN=DCTD PE=1 SV=2
+MSEVSCKKRDDYLEWPEYFMAVAFLSAQRSKDPNSQVGACIVNSENKIVGIGYNGMPNGCSDDVLPWRRTAENKLDTKYPYVCHAELNAIMNKNSTDVKGCSMYVALFPCNECAKLIIQAGIKEVIFMSDKYHDSDEATAARLLFNMAGVTFRKFIPKCSKIVIDFDSINSRPSQKLQ
+>DECOY_sp|P32321|DCTD_HUMAN Deoxycytidylate deaminase OS=Homo sapiens OX=9606 GN=DCTD PE=1 SV=2
+QLKQSPRSNISDFDIVIKSCKPIFKRFTVGAMNFLLRAATAEDSDHYKDSMFIVEKIGAQIILKACENCPFLAVYMSCGKVDTSNKNMIANLEAHCVYPYKTDLKNEATRRWPLVDDSCGNPMGNYGIGVIKNESNVICAGVQSNPDKSRQASLFAVAMFYEPWELYDDRKKCSVESM
+>sp|Q14203|DCTN1_HUMAN Dynactin subunit 1 OS=Homo sapiens OX=9606 GN=DCTN1 PE=1 SV=3
+MAQSKRHVYSRTPSGSRMSAEASARPLRVGSRVEVIGKGHRGTVAYVGATLFATGKWVGVILDEAKGKNDGTVQGRKYFTCDEGHGIFVRQSQIQVFEDGADTTSPETPDSSASKVLKREGTDTTAKTSKLRGLKPKKAPTARKTTTRRPKPTRPASTGVAGASSSLGPSGSASAGELSSSEPSTPAQTPLAAPIIPTPVLTSPGAVPPLPSPSKEEEGLRAQVRDLEEKLETLRLKRAEDKAKLKELEKHKIQLEQVQEWKSKMQEQQADLQRRLKEARKEAKEALEAKERYMEEMADTADAIEMATLDKEMAEERAESLQQEVEALKERVDELTTDLEILKAEIEEKGSDGAASSYQLKQLEEQNARLKDALVRMRDLSSSEKQEHVKLQKLMEKKNQELEVVRQQRERLQEELSQAESTIDELKEQVDAALGAEEMVEMLTDRNLNLEEKVRELRETVGDLEAMNEMNDELQENARETELELREQLDMAGARVREAQKRVEAAQETVADYQQTIKKYRQLTAHLQDVNRELTNQQEASVERQQQPPPETFDFKIKFAETKAHAKAIEMELRQMEVAQANRHMSLLTAFMPDSFLRPGGDHDCVLVLLLMPRLICKAELIRKQAQEKFELSENCSERPGLRGAAGEQLSFAAGLVYSLSLLQATLHRYEHALSQCSVDVYKKVGSLYPEMSAHERSLDFLIELLHKDQLDETVNVEPLTKAIKYYQHLYSIHLAEQPEDCTMQLADHIKFTQSALDCMSVEVGRLRAFLQGGQEATDIALLLRDLETSCSDIRQFCKKIRRRMPGTDAPGIPAALAFGPQVSDTLLDCRKHLTWVVAVLQEVAAAAAQLIAPLAENEGLLVAALEELAFKASEQIYGTPSSSPYECLRQSCNILISTMNKLATAMQEGEYDAERPPSKPPPVELRAAALRAEITDAEGLGLKLEDRETVIKELKKSLKIKGEELSEANVRLSLLEKKLDSAAKDADERIEKVQTRLEETQALLRKKEKEFEETMDALQADIDQLEAEKAELKQRLNSQSKRTIEGLRGPPPSGIATLVSGIAGEEQQRGAIPGQAPGSVPGPGLVKDSPLLLQQISAMRLHISQLQHENSILKGAQMKASLASLPPLHVAKLSHEGPGSELPAGALYRKTSQLLETLNQLSTHTHVVDITRTSPAAKSPSAQLMEQVAQLKSLSDTVEKLKDEVLKETVSQRPGATVPTDFATFPSSAFLRAKEEQQDDTVYMGKVTFSCAAGFGQRHRLVLTQEQLHQLHSRLIS
+>DECOY_sp|Q14203|DCTN1_HUMAN Dynactin subunit 1 OS=Homo sapiens OX=9606 GN=DCTN1 PE=1 SV=3
+SILRSHLQHLQEQTLVLRHRQGFGAACSFTVKGMYVTDDQQEEKARLFASSPFTAFDTPVTAGPRQSVTEKLVEDKLKEVTDSLSKLQAVQEMLQASPSKAAPSTRTIDVVHTHTSLQNLTELLQSTKRYLAGAPLESGPGEHSLKAVHLPPLSALSAKMQAGKLISNEHQLQSIHLRMASIQQLLLPSDKVLGPGPVSGPAQGPIAGRQQEEGAIGSVLTAIGSPPPGRLGEITRKSQSNLRQKLEAKEAELQDIDAQLADMTEEFEKEKKRLLAQTEELRTQVKEIREDADKAASDLKKELLSLRVNAESLEEGKIKLSKKLEKIVTERDELKLGLGEADTIEARLAAARLEVPPPKSPPREADYEGEQMATALKNMTSILINCSQRLCEYPSSSPTGYIQESAKFALEELAAVLLGENEALPAILQAAAAAVEQLVAVVWTLHKRCDLLTDSVQPGFALAAPIGPADTGPMRRRIKKCFQRIDSCSTELDRLLLAIDTAEQGGQLFARLRGVEVSMCDLASQTFKIHDALQMTCDEPQEALHISYLHQYYKIAKTLPEVNVTEDLQDKHLLEILFDLSREHASMEPYLSGVKKYVDVSCQSLAHEYRHLTAQLLSLSYVLGAAFSLQEGAAGRLGPRESCNESLEFKEQAQKRILEAKCILRPMLLLVLVCDHDGGPRLFSDPMFATLLSMHRNAQAVEMQRLEMEIAKAHAKTEAFKIKFDFTEPPPQQQREVSAEQQNTLERNVDQLHATLQRYKKITQQYDAVTEQAAEVRKQAERVRAGAMDLQERLELETERANEQLEDNMENMAELDGVTERLERVKEELNLNRDTLMEVMEEAGLAADVQEKLEDITSEAQSLEEQLRERQQRVVELEQNKKEMLKQLKVHEQKESSSLDRMRVLADKLRANQEELQKLQYSSAAGDSGKEEIEAKLIELDTTLEDVREKLAEVEQQLSEAREEAMEKDLTAMEIADATDAMEEMYREKAELAEKAEKRAEKLRRQLDAQQEQMKSKWEQVQELQIKHKELEKLKAKDEARKLRLTELKEELDRVQARLGEEEKSPSPLPPVAGPSTLVPTPIIPAALPTQAPTSPESSSLEGASASGSPGLSSSAGAVGTSAPRTPKPRRTTTKRATPAKKPKLGRLKSTKATTDTGERKLVKSASSDPTEPSTTDAGDEFVQIQSQRVFIGHGEDCTFYKRGQVTGDNKGKAEDLIVGVWKGTAFLTAGVYAVTGRHGKGIVEVRSGVRLPRASAEASMRSGSPTRSYVHRKSQAM
+>sp|Q9BW61|DDA1_HUMAN DET1- and DDB1-associated protein 1 OS=Homo sapiens OX=9606 GN=DDA1 PE=1 SV=1
+MADFLKGLPVYNKSNFSRFHADSVCKASNRRPSVYLPTREYPSEQIIVTEKTNILLRYLHQQWDKKNAAKKRDQEQVELEGESSAPPRKVARTDSPDMHEDT
+>DECOY_sp|Q9BW61|DDA1_HUMAN DET1- and DDB1-associated protein 1 OS=Homo sapiens OX=9606 GN=DDA1 PE=1 SV=1
+TDEHMDPSDTRAVKRPPASSEGELEVQEQDRKKAANKKDWQQHLYRLLINTKETVIIQESPYERTPLYVSPRRNSAKCVSDAHFRSFNSKNYVPLGKLFDAM
+>sp|O94760|DDAH1_HUMAN N(G),N(G)-dimethylarginine dimethylaminohydrolase 1 OS=Homo sapiens OX=9606 GN=DDAH1 PE=1 SV=3
+MAGLGHPAAFGRATHAVVRALPESLGQHALRSAKGEEVDVARAERQHQLYVGVLGSKLGLQVVELPADESLPDCVFVEDVAVVCEETALITRPGAPSRRKEVDMMKEALEKLQLNIVEMKDENATLDGGDVLFTGREFFVGLSKRTNQRGAEILADTFKDYAVSTVPVADGLHLKSFCSMAGPNLIAIGSSESAQKALKIMQQMSDHRYDKLTVPDDIAANCIYLNIPNKGHVLLHRTPEEYPESAKVYEKLKDHMLIPVSMSELEKVDGLLTCCSVLINKKVDS
+>DECOY_sp|O94760|DDAH1_HUMAN N(G),N(G)-dimethylarginine dimethylaminohydrolase 1 OS=Homo sapiens OX=9606 GN=DDAH1 PE=1 SV=3
+SDVKKNILVSCCTLLGDVKELESMSVPILMHDKLKEYVKASEPYEEPTRHLLVHGKNPINLYICNAAIDDPVTLKDYRHDSMQQMIKLAKQASESSGIAILNPGAMSCFSKLHLGDAVPVTSVAYDKFTDALIEAGRQNTRKSLGVFFERGTFLVDGGDLTANEDKMEVINLQLKELAEKMMDVEKRRSPAGPRTILATEECVVAVDEVFVCDPLSEDAPLEVVQLGLKSGLVGVYLQHQREARAVDVEEGKASRLAHQGLSEPLARVVAHTARGFAAPHGLGAM
+>sp|Q16531|DDB1_HUMAN DNA damage-binding protein 1 OS=Homo sapiens OX=9606 GN=DDB1 PE=1 SV=1
+MSYNYVVTAQKPTAVNGCVTGHFTSAEDLNLLIAKNTRLEIYVVTAEGLRPVKEVGMYGKIAVMELFRPKGESKDLLFILTAKYNACILEYKQSGESIDIITRAHGNVQDRIGRPSETGIIGIIDPECRMIGLRLYDGLFKVIPLDRDNKELKAFNIRLEELHVIDVKFLYGCQAPTICFVYQDPQGRHVKTYEVSLREKEFNKGPWKQENVEAEASMVIAVPEPFGGAIIIGQESITYHNGDKYLAIAPPIIKQSTIVCHNRVDPNGSRYLLGDMEGRLFMLLLEKEEQMDGTVTLKDLRVELLGETSIAECLTYLDNGVVFVGSRLGDSQLVKLNVDSNEQGSYVVAMETFTNLGPIVDMCVVDLERQGQGQLVTCSGAFKEGSLRIIRNGIGIHEHASIDLPGIKGLWPLRSDPNRETDDTLVLSFVGQTRVLMLNGEEVEETELMGFVDDQQTFFCGNVAHQQLIQITSASVRLVSQEPKALVSEWKEPQAKNISVASCNSSQVVVAVGRALYYLQIHPQELRQISHTEMEHEVACLDITPLGDSNGLSPLCAIGLWTDISARILKLPSFELLHKEMLGGEIIPRSILMTTFESSHYLLCALGDGALFYFGLNIETGLLSDRKKVTLGTQPTVLRTFRSLSTTNVFACSDRPTVIYSSNHKLVFSNVNLKEVNYMCPLNSDGYPDSLALANNSTLTIGTIDEIQKLHIRTVPLYESPRKICYQEVSQCFGVLSSRIEVQDTSGGTTALRPSASTQALSSSVSSSKLFSSSTAPHETSFGEEVEVHNLLIIDQHTFEVLHAHQFLQNEYALSLVSCKLGKDPNTYFIVGTAMVYPEEAEPKQGRIVVFQYSDGKLQTVAEKEVKGAVYSMVEFNGKLLASINSTVRLYEWTTEKELRTECNHYNNIMALYLKTKGDFILVGDLMRSVLLLAYKPMEGNFEEIARDFNPNWMSAVEILDDDNFLGAENAFNLFVCQKDSAATTDEERQHLQEVGLFHLGEFVNVFCHGSLVMQNLGETSTPTQGSVLFGTVNGMIGLVTSLSESWYNLLLDMQNRLNKVIKSVGKIEHSFWRSFHTERKTEPATGFIDGDLIESFLDISRPKMQEVVANLQYDDGSGMKREATADDLIKVVEELTRIH
+>DECOY_sp|Q16531|DDB1_HUMAN DNA damage-binding protein 1 OS=Homo sapiens OX=9606 GN=DDB1 PE=1 SV=1
+HIRTLEEVVKILDDATAERKMGSGDDYQLNAVVEQMKPRSIDLFSEILDGDIFGTAPETKRETHFSRWFSHEIKGVSKIVKNLRNQMDLLLNYWSESLSTVLGIMGNVTGFLVSGQTPTSTEGLNQMVLSGHCFVNVFEGLHFLGVEQLHQREEDTTAASDKQCVFLNFANEAGLFNDDDLIEVASMWNPNFDRAIEEFNGEMPKYALLLVSRMLDGVLIFDGKTKLYLAMINNYHNCETRLEKETTWEYLRVTSNISALLKGNFEVMSYVAGKVEKEAVTQLKGDSYQFVVIRGQKPEAEEPYVMATGVIFYTNPDKGLKCSVLSLAYENQLFQHAHLVEFTHQDIILLNHVEVEEGFSTEHPATSSSFLKSSSVSSSLAQTSASPRLATTGGSTDQVEIRSSLVGFCQSVEQYCIKRPSEYLPVTRIHLKQIEDITGITLTSNNALALSDPYGDSNLPCMYNVEKLNVNSFVLKHNSSYIVTPRDSCAFVNTTSLSRFTRLVTPQTGLTVKKRDSLLGTEINLGFYFLAGDGLACLLYHSSEFTTMLISRPIIEGGLMEKHLLEFSPLKLIRASIDTWLGIACLPSLGNSDGLPTIDLCAVEHEMETHSIQRLEQPHIQLYYLARGVAVVVQSSNCSAVSINKAQPEKWESVLAKPEQSVLRVSASTIQILQQHAVNGCFFTQQDDVFGMLETEEVEEGNLMLVRTQGVFSLVLTDDTERNPDSRLPWLGKIGPLDISAHEHIGIGNRIIRLSGEKFAGSCTVLQGQGQRELDVVCMDVIPGLNTFTEMAVVYSGQENSDVNLKVLQSDGLRSGVFVVGNDLYTLCEAISTEGLLEVRLDKLTVTGDMQEEKELLLMFLRGEMDGLLYRSGNPDVRNHCVITSQKIIPPAIALYKDGNHYTISEQGIIIAGGFPEPVAIVMSAEAEVNEQKWPGKNFEKERLSVEYTKVHRGQPDQYVFCITPAQCGYLFKVDIVHLEELRINFAKLEKNDRDLPIVKFLGDYLRLGIMRCEPDIIGIIGTESPRGIRDQVNGHARTIIDISEGSQKYELICANYKATLIFLLDKSEGKPRFLEMVAIKGYMGVEKVPRLGEATVVYIELRTNKAILLNLDEASTFHGTVCGNVATPKQATVVYNYSM
+>sp|Q9NTK1|DEPP1_HUMAN Protein DEPP1 OS=Homo sapiens OX=9606 GN=DEPP1 PE=1 SV=2
+MRSRLLLSVAHLPTIRETTEEMLLGGPGQEPPPSPSLDDYVRSISRLAQPTSVLDKATAQGQPRPPHRPAQACRKGRPAVSLRDITARFSGQQPTLPMADTVDPLDWLFGESQEKQPSQRDLPRRTGPSAGLWGPHRQMDSSKPMGAPRGRLCEARMPGHSLARPPQDGQQSSDLRSWTFGQSAQAMASRHRPRPSSVLRTLYSHLPVIHEL
+>DECOY_sp|Q9NTK1|DEPP1_HUMAN Protein DEPP1 OS=Homo sapiens OX=9606 GN=DEPP1 PE=1 SV=2
+LEHIVPLHSYLTRLVSSPRPRHRSAMAQASQGFTWSRLDSSQQGDQPPRALSHGPMRAECLRGRPAGMPKSSDMQRHPGWLGASPGTRRPLDRQSPQKEQSEGFLWDLPDVTDAMPLTPQQGSFRATIDRLSVAPRGKRCAQAPRHPPRPQGQATAKDLVSTPQALRSISRVYDDLSPSPPPEQGPGGLLMEETTERITPLHAVSLLLRSRM
+>sp|Q9BUN8|DERL1_HUMAN Derlin-1 OS=Homo sapiens OX=9606 GN=DERL1 PE=1 SV=1
+MSDIGDWFRSIPAITRYWFAATVAVPLVGKLGLISPAYLFLWPEAFLYRFQIWRPITATFYFPVGPGTGFLYLVNLYFLYQYSTRLETGAFDGRPADYLFMLLFNWICIVITGLAMDMQLLMIPLIMSVLYVWAQLNRDMIVSFWFGTRFKACYLPWVILGFNYIIGGSVINELIGNLVGHLYFFLMFRYPMDLGGRNFLSTPQFLYRWLPSRRGGVSGFGVPPASMRRAADQNGGGGRHNWGQGFRLGDQ
+>DECOY_sp|Q9BUN8|DERL1_HUMAN Derlin-1 OS=Homo sapiens OX=9606 GN=DERL1 PE=1 SV=1
+QDGLRFGQGWNHRGGGGNQDAARRMSAPPVGFGSVGGRRSPLWRYLFQPTSLFNRGGLDMPYRFMLFFYLHGVLNGILENIVSGGIIYNFGLIVWPLYCAKFRTGFWFSVIMDRNLQAWVYLVSMILPIMLLQMDMALGTIVICIWNFLLMFLYDAPRGDFAGTELRTSYQYLFYLNVLYLFGTGPGVPFYFTATIPRWIQFRYLFAEPWLFLYAPSILGLKGVLPVAVTAAFWYRTIAPISRFWDGIDSM
+>sp|Q6ICB0|DESI1_HUMAN Desumoylating isopeptidase 1 OS=Homo sapiens OX=9606 GN=DESI1 PE=1 SV=1
+MEPPNLYPVKLYVYDLSKGLARRLSPIMLGKQLEGIWHTSIVVHKDEFFFGSGGISSCPPGGTLLGPPDSVVDVGSTEVTEEIFLEYLSSLGESLFRGEAYNLFEHNCNTFSNEVAQFLTGRKIPSYITDLPSEVLSTPFGQALRPLLDSIQIQPPGGSSVGRPNGQS
+>DECOY_sp|Q6ICB0|DESI1_HUMAN Desumoylating isopeptidase 1 OS=Homo sapiens OX=9606 GN=DESI1 PE=1 SV=1
+SQGNPRGVSSGGPPQIQISDLLPRLAQGFPTSLVESPLDTIYSPIKRGTLFQAVENSFTNCNHEFLNYAEGRFLSEGLSSLYELFIEETVETSGVDVVSDPPGLLTGGPPCSSIGGSGFFFEDKHVVISTHWIGELQKGLMIPSLRRALGKSLDYVYLKVPYLNPPEM
+>sp|Q05D60|DEUP1_HUMAN Deuterosome assembly protein 1 OS=Homo sapiens OX=9606 GN=DEUP1 PE=1 SV=2
+MENQAHNTMGTSPCEAELQELMEQIDIMVSNKKMDWERKMRALETRLDLRDQELANAQTCLDQKGQEVGLLRQKLDSLEKCNLAMTQNYEGQLQSLKAQFSKLTNNFEKLRLHQMKQNKVPRKELPHLKEEIPFELSNLNQKLEEFRAKSREWDKQEILYQTHLISLDAQQKLLSEKCNQFQKQAQSYQTQLNGKKQCLEDSSSEIPRLICDPDPNCEINERDEFIIEKLKSAVNEIALSRNKLQDENQKLLQELKMYQRQCQAMEAGLSEVKSELQSRDDLLRIIEMERLQLHRELLKIGECQNAQGNKTRLESSYLPSIKEPERKIKELFSVMQDQPNHEKELNKIRSQLQQVEEYHNSEQERMRNEISDLTEELHQKEITIATVTKKAALLEKQLKMELEIKEKMLAKQKVSDMKYKAVRTENTHLKGMMGDLDPGEYMSMDFTNREQSRHTSINKLQYENERLRNDLAKLHVNGKSTWTNQNTYEETGRYAYQSQIKVEQNEERLSHDCEPNRSTMPPLPPSTFQAKEMTSPLVSDDDVFPLSPPDMSFPASLAAQHFLLEEEKRAKELEKLLNTHIDELQRHTEFTLNKYSKLKQNRHI
+>DECOY_sp|Q05D60|DEUP1_HUMAN Deuterosome assembly protein 1 OS=Homo sapiens OX=9606 GN=DEUP1 PE=1 SV=2
+IHRNQKLKSYKNLTFETHRQLEDIHTNLLKELEKARKEEELLFHQAALSAPFSMDPPSLPFVDDDSVLPSTMEKAQFTSPPLPPMTSRNPECDHSLREENQEVKIQSQYAYRGTEEYTNQNTWTSKGNVHLKALDNRLRENEYQLKNISTHRSQERNTFDMSMYEGPDLDGMMGKLHTNETRVAKYKMDSVKQKALMKEKIELEMKLQKELLAAKKTVTAITIEKQHLEETLDSIENRMREQESNHYEEVQQLQSRIKNLEKEHNPQDQMVSFLEKIKREPEKISPLYSSELRTKNGQANQCEGIKLLERHLQLREMEIIRLLDDRSQLESKVESLGAEMAQCQRQYMKLEQLLKQNEDQLKNRSLAIENVASKLKEIIFEDRENIECNPDPDCILRPIESSSDELCQKKGNLQTQYSQAQKQFQNCKESLLKQQADLSILHTQYLIEQKDWERSKARFEELKQNLNSLEFPIEEKLHPLEKRPVKNQKMQHLRLKEFNNTLKSFQAKLSQLQGEYNQTMALNCKELSDLKQRLLGVEQGKQDLCTQANALEQDRLDLRTELARMKREWDMKKNSVMIDIQEMLEQLEAECPSTGMTNHAQNEM
+>sp|O76075|DFFB_HUMAN DNA fragmentation factor subunit beta OS=Homo sapiens OX=9606 GN=DFFB PE=1 SV=1
+MLQKPKSVKLRALRSPRKFGVAGRSCQEVLRKGCLRFQLPERGSRLCLYEDGTELTEDYFPSVPDNAELVLLTLGQAWQGYVSDIRRFLSAFHEPQVGLIQAAQQLLCDEQAPQRQRLLADLLHNVSQNIAAETRAEDPPWFEGLESRFQSKSGYLRYSCESRIRSYLREVSSYPSTVGAEAQEEFLRVLGSMCQRLRSMQYNGSYFDRGAKGGSRLCTPEGWFSCQGPFDMDSCLSRHSINPYSNRESRILFSTWNLDHIIEKKRTIIPTLVEAIKEQDGREVDWEYFYGLLFTSENLKLVHIVCHKKTTHKLNCDPSRIYKPQTRLKRKQPVRKRQ
+>DECOY_sp|O76075|DFFB_HUMAN DNA fragmentation factor subunit beta OS=Homo sapiens OX=9606 GN=DFFB PE=1 SV=1
+QRKRVPQKRKLRTQPKYIRSPDCNLKHTTKKHCVIHVLKLNESTFLLGYFYEWDVERGDQEKIAEVLTPIITRKKEIIHDLNWTSFLIRSERNSYPNISHRSLCSDMDFPGQCSFWGEPTCLRSGGKAGRDFYSGNYQMSRLRQCMSGLVRLFEEQAEAGVTSPYSSVERLYSRIRSECSYRLYGSKSQFRSELGEFWPPDEARTEAAINQSVNHLLDALLRQRQPAQEDCLLQQAAQILGVQPEHFASLFRRIDSVYGQWAQGLTLLVLEANDPVSPFYDETLETGDEYLCLRSGREPLQFRLCGKRLVEQCSRGAVGFKRPSRLARLKVSKPKQLM
+>sp|Q14129|DGCR6_HUMAN Protein DGCR6 OS=Homo sapiens OX=9606 GN=DGCR6 PE=1 SV=3
+MERYAGALEEVADGARQQERHYQLLSALQSLVKELPSSFQQRLSYTTLSDLALALLDGTVFEIVQGLLEIQHLTEKSLYNQRLRLQNEHRVLRQALRQKHQEAQQACRPHNLPVLQAAQQRELEAVEHRIREEQRAMDQKIVLELDRKVADQQSTLEKAGVAGFYVTTNPQELMLQMNLLELIRKLQQRGCWAGKAALGLGGPWQLPAAQCDQKGSPVPP
+>DECOY_sp|Q14129|DGCR6_HUMAN Protein DGCR6 OS=Homo sapiens OX=9606 GN=DGCR6 PE=1 SV=3
+PPVPSGKQDCQAAPLQWPGGLGLAAKGAWCGRQQLKRILELLNMQLMLEQPNTTVYFGAVGAKELTSQQDAVKRDLELVIKQDMARQEERIRHEVAELERQQAAQLVPLNHPRCAQQAEQHKQRLAQRLVRHENQLRLRQNYLSKETLHQIELLGQVIEFVTGDLLALALDSLTTYSLRQQFSSPLEKVLSQLASLLQYHREQQRAGDAVEELAGAYREM
+>sp|Q8WYQ5|DGCR8_HUMAN Microprocessor complex subunit DGCR8 OS=Homo sapiens OX=9606 GN=DGCR8 PE=1 SV=1
+METDESPSPLPCGPAGEAVMESRARPFQALPREQSPPPPLQTSSGAEVMDVGSGGDGQSELPAEDPFNFYGASLLSKGSFSKGRLLIDPNCSGHSPRTARHAPAVRKFSPDLKLLKDVKISVSFTESCRSKDRKVLYTGAERDVRAECGLLLSPVSGDVHACPFGGSVGDGVGIGGESADKKDEENELDQEKRVEYAVLDELEDFTDNLELDEEGAGGFTAKAIVQRDRVDEEALNFPYEDDFDNDVDALLEEGLCAPKKRRTEEKYGGDSDHPSDGETSVQPMMTKIKTVLKSRGRPPTEPLPDGWIMTFHNSGVPVYLHRESRVVTWSRPYFLGTGSIRKHDPPLSSIPCLHYKKMKDNEEREQSSDLTPSGDVSPVKPLSRSAELEFPLDEPDSMGADPGPPDEKDPLGAEAAPGALGQVKAKVEVCKDESVDLEEFRSYLEKRFDFEQVTVKKFRTWAERRQFNREMKRKQAESERPILPANQKLITLSVQDAPTKKEFVINPNGKSEVCILHEYMQRVLKVRPVYNFFECENPSEPFGASVTIDGVTYGSGTASSKKLAKNKAARATLEILIPDFVKQTSEEKPKDSEELEYFNHISIEDSRVYELTSKAGLLSPYQILHECLKRNHGMGDTSIKFEVVPGKNQKSEYVMACGKHTVRGWCKNKRVGKQLASQKILQLLHPHVKNWGSLLRMYGRESSKMVKQETSDKSVIELQQYAKKNKPNLHILSKLQEEMKRLAEEREETRKKPKMSIVASAQPGGEPLCTVDV
+>DECOY_sp|Q8WYQ5|DGCR8_HUMAN Microprocessor complex subunit DGCR8 OS=Homo sapiens OX=9606 GN=DGCR8 PE=1 SV=1
+VDVTCLPEGGPQASAVISMKPKKRTEEREEALRKMEEQLKSLIHLNPKNKKAYQQLEIVSKDSTEQKVMKSSERGYMRLLSGWNKVHPHLLQLIKQSALQKGVRKNKCWGRVTHKGCAMVYESKQNKGPVVEFKISTDGMGHNRKLCEHLIQYPSLLGAKSTLEYVRSDEISIHNFYELEESDKPKEESTQKVFDPILIELTARAAKNKALKKSSATGSGYTVGDITVSAGFPESPNECEFFNYVPRVKLVRQMYEHLICVESKGNPNIVFEKKTPADQVSLTILKQNAPLIPRESEAQKRKMERNFQRREAWTRFKKVTVQEFDFRKELYSRFEELDVSEDKCVEVKAKVQGLAGPAAEAGLPDKEDPPGPDAGMSDPEDLPFELEASRSLPKVPSVDGSPTLDSSQEREENDKMKKYHLCPISSLPPDHKRISGTGLFYPRSWTVVRSERHLYVPVGSNHFTMIWGDPLPETPPRGRSKLVTKIKTMMPQVSTEGDSPHDSDGGYKEETRRKKPACLGEELLADVDNDFDDEYPFNLAEEDVRDRQVIAKATFGGAGEEDLELNDTFDELEDLVAYEVRKEQDLENEEDKKDASEGGIGVGDGVSGGFPCAHVDGSVPSLLLGCEARVDREAGTYLVKRDKSRCSETFSVSIKVDKLLKLDPSFKRVAPAHRATRPSHGSCNPDILLRGKSFSGKSLLSAGYFNFPDEAPLESQGDGGSGVDMVEAGSSTQLPPPPSQERPLAQFPRARSEMVAEGAPGCPLPSPSEDTEM
+>sp|Q86SQ9|DHDDS_HUMAN Dehydrodolichyl diphosphate synthase complex subunit DHDDS OS=Homo sapiens OX=9606 GN=DHDDS PE=1 SV=3
+MSWIKEGELSLWERFCANIIKAGPMPKHIAFIMDGNRRYAKKCQVERQEGHSQGFNKLAETLRWCLNLGILEVTVYAFSIENFKRSKSEVDGLMDLARQKFSRLMEEKEKLQKHGVCIRVLGDLHLLPLDLQELIAQAVQATKNYNKCFLNVCFAYTSRHEISNAVREMAWGVEQGLLDPSDISESLLDKCLYTNRSPHPDILIRTSGEVRLSDFLLWQTSHSCLVFQPVLWPEYTFWNLFEAILQFQMNHSVLQKARDMYAEERKRQQLERDQATVTEQLLREGLQASGDAQLRRTRLHKLSARREERVQGFLQALELKRADWLARLGTASA
+>DECOY_sp|Q86SQ9|DHDDS_HUMAN Dehydrodolichyl diphosphate synthase complex subunit DHDDS OS=Homo sapiens OX=9606 GN=DHDDS PE=1 SV=3
+ASATGLRALWDARKLELAQLFGQVREERRASLKHLRTRRLQADGSAQLGERLLQETVTAQDRELQQRKREEAYMDRAKQLVSHNMQFQLIAEFLNWFTYEPWLVPQFVLCSHSTQWLLFDSLRVEGSTRILIDPHPSRNTYLCKDLLSESIDSPDLLGQEVGWAMERVANSIEHRSTYAFCVNLFCKNYNKTAQVAQAILEQLDLPLLHLDGLVRICVGHKQLKEKEEMLRSFKQRALDMLGDVESKSRKFNEISFAYVTVELIGLNLCWRLTEALKNFGQSHGEQREVQCKKAYRRNGDMIFAIHKPMPGAKIINACFREWLSLEGEKIWSM
+>sp|Q14562|DHX8_HUMAN ATP-dependent RNA helicase DHX8 OS=Homo sapiens OX=9606 GN=DHX8 PE=1 SV=1
+MAVAVAMAGALIGSEPGPAEELAKLEYLSLVSKVCTELDNHLGINDKDLAEFVISLAEKNTTFDTFKASLVKNGAEFTDSLISNLLRLIQTMRPPAKPSTSKDPVVKPKTEKEKLKELFPVLCQPDNPSVRTMLDEDDVKVAVDVLKELEALMPSAAGQEKQRDAEHRDRTKKKKRSRSRDRNRDRDRDRERNRDRDHKRRHRSRSRSRSRTRERNKVKSRYRSRSRSQSPPKDRKDRDKYGERNLDRWRDKHVDRPPPEEPTIGDIYNGKVTSIMQFGCFVQLEGLRKRWEGLVHISELRREGRVANVADVVSKGQRVKVKVLSFTGTKTSLSMKDVDQETGEDLNPNRRRNLVGETNEETSMRNPDRPTHLSLVSAPEVEDDSLERKRLTRISDPEKWEIKQMIAANVLSKEEFPDFDEETGILPKVDDEEDEDLEIELVEEEPPFLRGHTKQSMDMSPIKIVKNPDGSLSQAAMMQSALAKERRELKQAQREAEMDSIPMGLNKHWVDPLPDAEGRQIAANMRGIGMMPNDIPEWKKHAFGGNKASYGKKTQMSILEQRESLPIYKLKEQLVQAVHDNQILIVIGETGSGKTTQITQYLAEAGYTSRGKIGCTQPRRVAAMSVAKRVSEEFGCCLGQEVGYTIRFEDCTSPETVIKYMTDGMLLRECLIDPDLTQYAIIMLDEAHERTIHTDVLFGLLKKTVQKRQDMKLIVTSATLDAVKFSQYFYEAPIFTIPGRTYPVEILYTKEPETDYLDASLITVMQIHLTEPPGDILVFLTGQEEIDTACEILYERMKSLGPDVPELIILPVYSALPSEMQTRIFDPAPPGSRKVVIATNIAETSLTIDGIYYVVDPGFVKQKVYNSKTGIDQLVVTPISQAQAKQRAGRAGRTGPGKCYRLYTERAYRDEMLTTNVPEIQRTNLASTVLSLKAMGINDLLSFDFMDAPPMETLITAMEQLYTLGALDDEGLLTRLGRRMAEFPLEPMLCKMLIMSVHLGCSEEMLTIVSMLSVQNVFYRPKDKQALADQKKAKFHQTEGDHLTLLAVYNSWKNNKFSNPWCYENFIQARSLRRAQDIRKQMLGIMDRHKLDVVSCGKSTVRVQKAICSGFFRNAAKKDPQEGYRTLIDQQVVYIHPSSALFNRQPEWVVYHELVLTTKEYMREVTTIDPRWLVEFAPAFFKVSDPTKLSKQKKQQRLEPLYNRYEEPNAWRISRAFRRR
+>DECOY_sp|Q14562|DHX8_HUMAN ATP-dependent RNA helicase DHX8 OS=Homo sapiens OX=9606 GN=DHX8 PE=1 SV=1
+RRRFARSIRWANPEEYRNYLPELRQQKKQKSLKTPDSVKFFAPAFEVLWRPDITTVERMYEKTTLVLEHYVVWEPQRNFLASSPHIYVVQQDILTRYGEQPDKKAANRFFGSCIAKQVRVTSKGCSVVDLKHRDMIGLMQKRIDQARRLSRAQIFNEYCWPNSFKNNKWSNYVALLTLHDGETQHFKAKKQDALAQKDKPRYFVNQVSLMSVITLMEESCGLHVSMILMKCLMPELPFEAMRRGLRTLLGEDDLAGLTYLQEMATILTEMPPADMFDFSLLDNIGMAKLSLVTSALNTRQIEPVNTTLMEDRYARETYLRYCKGPGTRGARGARQKAQAQSIPTVVLQDIGTKSNYVKQKVFGPDVVYYIGDITLSTEAINTAIVVKRSGPPAPDFIRTQMESPLASYVPLIILEPVDPGLSKMREYLIECATDIEEQGTLFVLIDGPPETLHIQMVTILSADLYDTEPEKTYLIEVPYTRGPITFIPAEYFYQSFKVADLTASTVILKMDQRKQVTKKLLGFLVDTHITREHAEDLMIIAYQTLDPDILCERLLMGDTMYKIVTEPSTCDEFRITYGVEQGLCCGFEESVRKAVSMAAVRRPQTCGIKGRSTYGAEALYQTIQTTKGSGTEGIVILIQNDHVAQVLQEKLKYIPLSERQELISMQTKKGYSAKNGGFAHKKWEPIDNPMMGIGRMNAAIQRGEADPLPDVWHKNLGMPISDMEAERQAQKLERREKALASQMMAAQSLSGDPNKVIKIPSMDMSQKTHGRLFPPEEEVLEIELDEDEEDDVKPLIGTEEDFDPFEEKSLVNAAIMQKIEWKEPDSIRTLRKRELSDDEVEPASVLSLHTPRDPNRMSTEENTEGVLNRRRNPNLDEGTEQDVDKMSLSTKTGTFSLVKVKVRQGKSVVDAVNAVRGERRLESIHVLGEWRKRLGELQVFCGFQMISTVKGNYIDGITPEEPPPRDVHKDRWRDLNREGYKDRDKRDKPPSQSRSRSRYRSKVKNRERTRSRSRSRSRHRRKHDRDRNRERDRDRDRNRDRSRSRKKKKTRDRHEADRQKEQGAASPMLAELEKLVDVAVKVDDEDLMTRVSPNDPQCLVPFLEKLKEKETKPKVVPDKSTSPKAPPRMTQILRLLNSILSDTFEAGNKVLSAKFTDFTTNKEALSIVFEALDKDNIGLHNDLETCVKSVLSLYELKALEEAPGPESGILAGAMAVAVAM
+>sp|P49366|DHYS_HUMAN Deoxyhypusine synthase OS=Homo sapiens OX=9606 GN=DHPS PE=1 SV=1
+MEGSLEREAPAGALAAVLKHSSTLPPESTQVRGYDFNRGVNYRALLEAFGTTGFQATNFGRAVQQVNAMIEKKLEPLSQDEDQHADLTQSRRPLTSCTIFLGYTSNLISSGIRETIRYLVQHNMVDVLVTTAGGVEEDLIKCLAPTYLGEFSLRGKELRENGINRIGNLLVPNENYCKFEDWLMPILDQMVMEQNTEGVKWTPSKMIARLGKEINNPESVYYWAQKNHIPVFSPALTDGSLGDMIFFHSYKNPGLVLDIVEDLRLINTQAIFAKCTGMIILGGGVVKHHIANANLMRNGADYAVYINTAQEFDGSDSGARPDEAVSWGKIRVDAQPVKVYADASLVFPLLVAETFAQKMDAFMHEKNED
+>DECOY_sp|P49366|DHYS_HUMAN Deoxyhypusine synthase OS=Homo sapiens OX=9606 GN=DHPS PE=1 SV=1
+DENKEHMFADMKQAFTEAVLLPFVLSADAYVKVPQADVRIKGWSVAEDPRAGSDSGDFEQATNIYVAYDAGNRMLNANAIHHKVVGGGLIIMGTCKAFIAQTNILRLDEVIDLVLGPNKYSHFFIMDGLSGDTLAPSFVPIHNKQAWYYVSEPNNIEKGLRAIMKSPTWKVGETNQEMVMQDLIPMLWDEFKCYNENPVLLNGIRNIGNERLEKGRLSFEGLYTPALCKILDEEVGGATTVLVDVMNHQVLYRITERIGSSILNSTYGLFITCSTLPRRSQTLDAHQDEDQSLPELKKEIMANVQQVARGFNTAQFGTTGFAELLARYNVGRNFDYGRVQTSEPPLTSSHKLVAALAGAPAERELSGEM
+>sp|Q8NDZ4|DIA1_HUMAN Deleted in autism protein 1 OS=Homo sapiens OX=9606 GN=C3orf58 PE=1 SV=1
+MWRLVPPKLGRLSRSLKLAALGSLLVLMVLHSPSLLASWQRNELTDRRFLQLNKCPACFGTSWCRRFLNGQVVFEAWGRLRLLDFLNVKNVYFAQYGEPREGGRRRVVLKRLGSQRELAQLDQSICKRATGRPRCDLLQAMPRTEFARLNGDVRLLTPEAVEGWSDLVHCPSQRLLDRLVRRYAETKDSGSFLLRNLKDSERMQLLLTLAFNPEPLVLQSFPSDEGWPFAKYLGACGRMVAVNYVGEELWSYFNAPWEKRVDLAWQLMEIAEQLTNNDFEFALYLLDVSFDNFAVGPRDGKVIIVDAENVLVADKRLIRQNKPENWDVWYESKFDDCDKEACLSFSKEILCARATVDHNYYAVCQNLLSRHATWRGTSGGLLHDPPSEIAKDGRLEALLDECANPKKRYGRFQAAKELREYLAQLSNNVR
+>DECOY_sp|Q8NDZ4|DIA1_HUMAN Deleted in autism protein 1 OS=Homo sapiens OX=9606 GN=C3orf58 PE=1 SV=1
+RVNNSLQALYERLEKAAQFRGYRKKPNACEDLLAELRGDKAIESPPDHLLGGSTGRWTAHRSLLNQCVAYYNHDVTARACLIEKSFSLCAEKDCDDFKSEYWVDWNEPKNQRILRKDAVLVNEADVIIVKGDRPGVAFNDFSVDLLYLAFEFDNNTLQEAIEMLQWALDVRKEWPANFYSWLEEGVYNVAVMRGCAGLYKAFPWGEDSPFSQLVLPEPNFALTLLLQMRESDKLNRLLFSGSDKTEAYRRVLRDLLRQSPCHVLDSWGEVAEPTLLRVDGNLRAFETRPMAQLLDCRPRGTARKCISQDLQALERQSGLRKLVVRRRGGERPEGYQAFYVNKVNLFDLLRLRGWAEFVVQGNLFRRCWSTGFCAPCKNLQLFRRDTLENRQWSALLSPSHLVMLVLLSGLAALKLSRSLRGLKPPVLRWM
+>sp|Q01459|DIAC_HUMAN Di-N-acetylchitobiase OS=Homo sapiens OX=9606 GN=CTBS PE=1 SV=1
+MSRPQLRRWRLVSSPPSGVPGLALLALLALLALRLAAGTDCPCPEPELCRPIRHHPDFEVFVFDVGQKTWKSYDWSQITTVATFGKYDSELMCYAHSKGARVVLKGDVSLKDIIDPAFRASWIAQKLNLAKTQYMDGINIDIEQEVNCLSPEYDALTALVKETTDSFHREIEGSQVTFDVAWSPKNIDRRCYNYTGIADACDFLFVMSYDEQSQIWSECIAAANAPYNQTLTGYNDYIKMSINPKKLVMGVPWYGYDYTCLNLSEDHVCTIAKVPFRGAPCSDAAGRQVPYKTIMKQINSSISGNLWDKDQRAPYYNYKDPAGHFHQVWYDNPQSISLKATYIQNYRLRGIGMWNANCLDYSGDAVAKQQTEEMWEVLKPKLLQR
+>DECOY_sp|Q01459|DIAC_HUMAN Di-N-acetylchitobiase OS=Homo sapiens OX=9606 GN=CTBS PE=1 SV=1
+RQLLKPKLVEWMEETQQKAVADGSYDLCNANWMGIGRLRYNQIYTAKLSISQPNDYWVQHFHGAPDKYNYYPARQDKDWLNGSISSNIQKMITKYPVQRGAADSCPAGRFPVKAITCVHDESLNLCTYDYGYWPVGMVLKKPNISMKIYDNYGTLTQNYPANAAAICESWIQSQEDYSMVFLFDCADAIGTYNYCRRDINKPSWAVDFTVQSGEIERHFSDTTEKVLATLADYEPSLCNVEQEIDINIGDMYQTKALNLKQAIWSARFAPDIIDKLSVDGKLVVRAGKSHAYCMLESDYKGFTAVTTIQSWDYSKWTKQGVDFVFVEFDPHHRIPRCLEPEPCPCDTGAALRLALLALLALLALGPVGSPPSSVLRWRRLQPRSM
+>sp|Q68CQ4|DIEXF_HUMAN Digestive organ expansion factor homolog OS=Homo sapiens OX=9606 GN=DIEXF PE=1 SV=2
+MGKRGSRSQSQLLNTLTKKQKKHLRDFGEEHPFYDRVSRKEAKPQICQLSESSDSSDSESDSESEPQQVSGYHRLLATLKNVSEEEEEDEEEEEEEDSIVDDAEMNDEDGGSDVSVEEEMAAESTESPENVALSADPEGKEDGEEPPGTSQTSPEEFTDAKHESLFSLETNFLEEESGDNSSLKASQDPFLQHVNKELKEKAIQAVATNPKTTHELKWPILGQLFFSSKFQKLETFKPPKDIDLKSLHLQKPLESTWTKTNSQFLSGPQKSSSPFTPLQKELFLIMNSYRDLFYPERTALKNGEEIRHVYCLHVINHILKANAQVLGNNSRRRSQKFGVGDDDDFRDQGLTRPKVLIVVPFREAALRVVQLFISLLEGDSKKKIIVSNKKRFQGEYGSDPEERPPNLKRPEDYEAVFVGNIDDHFRIGVAILQRSIRLYAPFYSSDILIASPLGLRTIIGGEGEKKRDFDFLSSIELLIIDQADIYLMQNWEHVLHLMNHMNLLPLDSHGVDFSRVRMWSLNNWSKYYRQTLLFGALQDAQINSVFNKYCVNMQGQVAVRNVPMTGSISHVLVQLPHVFQRMEAENLASVIDARFNFFVNKILPQYRDAVMSHTLIYIPSYFDFVRLRNYFKKEELNFTHICEYTQKSGVSRARHFFLQGEKQFLLFTERFHFYKRYTIKGIRNLIFYELPTYPHFYSEICNMLRATNRGEEATWTCTVLYSKYDAQRLAAVVGVERAAQMLQSNKNVHLFITGEK
+>DECOY_sp|Q68CQ4|DIEXF_HUMAN Digestive organ expansion factor homolog OS=Homo sapiens OX=9606 GN=DIEXF PE=1 SV=2
+KEGTIFLHVNKNSQLMQAAREVGVVAALRQADYKSYLVTCTWTAEEGRNTARLMNCIESYFHPYTPLEYFILNRIGKITYRKYFHFRETFLLFQKEGQLFFHRARSVGSKQTYECIHTFNLEEKKFYNRLRVFDFYSPIYILTHSMVADRYQPLIKNVFFNFRADIVSALNEAEMRQFVHPLQVLVHSISGTMPVNRVAVQGQMNVCYKNFVSNIQADQLAGFLLTQRYYKSWNNLSWMRVRSFDVGHSDLPLLNMHNMLHLVHEWNQMLYIDAQDIILLEISSLFDFDRKKEGEGGIITRLGLPSAILIDSSYFPAYLRISRQLIAVGIRFHDDINGVFVAEYDEPRKLNPPREEPDSGYEGQFRKKNSVIIKKKSDGELLSIFLQVVRLAAERFPVVILVKPRTLGQDRFDDDDGVGFKQSRRRSNNGLVQANAKLIHNIVHLCYVHRIEEGNKLATREPYFLDRYSNMILFLEKQLPTFPSSSKQPGSLFQSNTKTWTSELPKQLHLSKLDIDKPPKFTELKQFKSSFFLQGLIPWKLEHTTKPNTAVAQIAKEKLEKNVHQLFPDQSAKLSSNDGSEEELFNTELSFLSEHKADTFEEPSTQSTGPPEEGDEKGEPDASLAVNEPSETSEAAMEEEVSVDSGGDEDNMEADDVISDEEEEEEEDEEEEESVNKLTALLRHYGSVQQPESESDSESDSSDSSESLQCIQPKAEKRSVRDYFPHEEGFDRLHKKQKKTLTNLLQSQSRSGRKGM
+>sp|A7MBM2|DISP2_HUMAN Protein dispatched homolog 2 OS=Homo sapiens OX=9606 GN=DISP2 PE=2 SV=2
+MDGDSSSSSGGSGPAPGPGPEGEQRPEGEPLAPDGGSPDSTQTKAVPPEASPERSCSLHSCPLEDPSSSSGPPPTTSTLQPVGPSSPLAPAHFTYPRALQEYQGGSSLPGLGDRAALCSHGSSLSPSPAPSQRDGTWKPPAVQHHVVSVRQERAFQMPKSYSQLIAEWPVAVLMLCLAVIFLCTLAGLLGARLPDFSKPLLGFEPRDTDIGSKLVVWRALQALTGPRKLLFLSPDLELNSSSSHNTLRPAPRGSAQESAVRPRRMVEPLEDRRQENFFCGPPEKSYAKLVFMSTSSGSLWNLHAIHSMCRMEQDQIRSHTSFGALCQRTAANQCCPSWSLGNYLAVLSNRSSCLDTTQADAARTLALLRTCALYYHSGALVPSCLGPGQNKSPRCAQVPTKCSQSSAIYQLLHFLLDRDFLSPQTTDYQVPSLKYSLLFLPTPKGASLMDIYLDRLATPWGLADNYTSVTGMDLGLKQELLRHFLVQDTVYPLLALVAIFFGMALYLRSLFLTLMVLLGVLGSLLVAFFLYQVAFRMAYFPFVNLAALLLLSSVCANHTLIFFDLWRLSKSQLPSGGLAQRVGRTMHHFGYLLLVSGLTTSAAFYASYLSRLPAVRCLALFMGTAVLVHLALTLVWLPASAVLHERYLARGCARRARGRWEGSAPRRLLLALHRRLRGLRRAAAGTSRLLFQRLLPCGVIKFRYIWICWFAALAAGGAYIAGVSPRLRLPTLPPPGGQVFRPSHPFERFDAEYRQLFLFEQLPQGEGGHMPVVLVWGVLPVDTGDPLDPRSNSSLVRDPAFSASGPEAQRWLLALCHRARNQSFFDTLQEGWPTLCFVETLQRWMESPSCARLGPDLCCGHSDFPWAPQFFLHCLKMMALEQGPDGTQDLGLRFDAHGSLAALVLQFQTNFRNSPDYNQTQLFYNEVSHWLAAELGMAPPGLRRGWFTSRLELYSLQHSLSTEPAVVLGLALALAFATLLLGTWNVPLSLFSVAAVAGTVLLTVGLLVLLEWQLNTAEALFLSASVGLSVDFTVNYCISYHLCPHPDRLSRVAFSLRQTSCATAVGAAALFAAGVLMLPATVLLYRKLGIILMMVKCVSCGFASFFFQSLCCFFGPEKNCGQILWPCAHLPWDAGTGDPGGEKAGRPRPGSVGGMPGSCSEQYELQPLARRRSPSFDTSTATSKLSHRPSVLSEDLQLHDGPCCSRPPPAPASPRELLLDHQAVFSQCPALQTSSPYKQAGPSPKTRARQDSQGEEAEPLPASPEAPAHSPKAKAADPPDGFCSSASTLEGLSVSDETCLSTSEPSARVPDSVGVSPDDLDDTGQPVLERGQLNGKRDTLWLALRETVYDPSLPASHHSSLSWKGRGGPGDGSPVVLPNSQPDLPDVWLRRPSTHTSGYSS
+>DECOY_sp|A7MBM2|DISP2_HUMAN Protein dispatched homolog 2 OS=Homo sapiens OX=9606 GN=DISP2 PE=2 SV=2
+SSYGSTHTSPRRLWVDPLDPQSNPLVVPSGDGPGGRGKWSLSSHHSAPLSPDYVTERLALWLTDRKGNLQGRELVPQGTDDLDDPSVGVSDPVRASPESTSLCTEDSVSLGELTSASSCFGDPPDAAKAKPSHAPAEPSAPLPEAEEGQSDQRARTKPSPGAQKYPSSTQLAPCQSFVAQHDLLLERPSAPAPPPRSCCPGDHLQLDESLVSPRHSLKSTATSTDFSPSRRRALPQLEYQESCSGPMGGVSGPRPRGAKEGGPDGTGADWPLHACPWLIQGCNKEPGFFCCLSQFFFSAFGCSVCKVMMLIIGLKRYLLVTAPLMLVGAAFLAAAGVATACSTQRLSFAVRSLRDPHPCLHYSICYNVTFDVSLGVSASLFLAEATNLQWELLVLLGVTLLVTGAVAAVSFLSLPVNWTGLLLTAFALALALGLVVAPETSLSHQLSYLELRSTFWGRRLGPPAMGLEAALWHSVENYFLQTQNYDPSNRFNTQFQLVLAALSGHADFRLGLDQTGDPGQELAMMKLCHLFFQPAWPFDSHGCCLDPGLRACSPSEMWRQLTEVFCLTPWGEQLTDFFSQNRARHCLALLWRQAEPGSASFAPDRVLSSNSRPDLPDGTDVPLVGWVLVVPMHGGEGQPLQEFLFLQRYEADFREFPHSPRFVQGGPPPLTPLRLRPSVGAIYAGGAALAAFWCIWIYRFKIVGCPLLRQFLLRSTGAAARRLGRLRRHLALLLRRPASGEWRGRARRACGRALYREHLVASAPLWVLTLALHVLVATGMFLALCRVAPLRSLYSAYFAASTTLGSVLLLYGFHHMTRGVRQALGGSPLQSKSLRWLDFFILTHNACVSSLLLLAALNVFPFYAMRFAVQYLFFAVLLSGLVGLLVMLTLFLSRLYLAMGFFIAVLALLPYVTDQVLFHRLLEQKLGLDMGTVSTYNDALGWPTALRDLYIDMLSAGKPTPLFLLSYKLSPVQYDTTQPSLFDRDLLFHLLQYIASSQSCKTPVQACRPSKNQGPGLCSPVLAGSHYYLACTRLLALTRAADAQTTDLCSSRNSLVALYNGLSWSPCCQNAATRQCLAGFSTHSRIQDQEMRCMSHIAHLNWLSGSSTSMFVLKAYSKEPPGCFFNEQRRDELPEVMRRPRVASEQASGRPAPRLTNHSSSSNLELDPSLFLLKRPGTLAQLARWVVLKSGIDTDRPEFGLLPKSFDPLRAGLLGALTCLFIVALCLMLVAVPWEAILQSYSKPMQFAREQRVSVVHHQVAPPKWTGDRQSPAPSPSLSSGHSCLAARDGLGPLSSGGQYEQLARPYTFHAPALPSSPGVPQLTSTTPPPGSSSSPDELPCSHLSCSREPSAEPPVAKTQTSDPSGGDPALPEGEPRQEGEPGPGPAPGSGGSSSSSDGDM
+>sp|Q9H819|DJC18_HUMAN DnaJ homolog subfamily C member 18 OS=Homo sapiens OX=9606 GN=DNAJC18 PE=2 SV=1
+MAATLGSGERWTEAYIDAVRRNKYPEDTPPESHDPCGCCNCMKAQKEKKSENEWTQTRQGEGNSTYSEEQLLGVQRIKKCRNYYEILGVSRDASDEELKKAYRKLALKFHPDKNCAPGATDAFKAIGNAFAVLSNPDKRLRYDEYGDEQVTFTAPRARPYNYYRDFEADITPEELFNVFFGGHFPTGNIHMFSNVTDDTYYYRRRHRHERTQTQKEEEEEKPQTTYSAFIQLLPVLVIVIISVITQLLATNPPYSLFYKSTLGYTISRETQNLQVPYFVDKNFDKAYRGASLHDLEKTIEKDYIDYIQTSCWKEKQQKSELTNLAGLYRDERLKQKAESLKLENCEKLSKLIGLRRGG
+>DECOY_sp|Q9H819|DJC18_HUMAN DnaJ homolog subfamily C member 18 OS=Homo sapiens OX=9606 GN=DNAJC18 PE=2 SV=1
+GGRRLGILKSLKECNELKLSEAKQKLREDRYLGALNTLESKQQKEKWCSTQIYDIYDKEITKELDHLSAGRYAKDFNKDVFYPVQLNQTERSITYGLTSKYFLSYPPNTALLQTIVSIIVIVLVPLLQIFASYTTQPKEEEEEKQTQTREHRHRRRYYYTDDTVNSFMHINGTPFHGGFFVNFLEEPTIDAEFDRYYNYPRARPATFTVQEDGYEDYRLRKDPNSLVAFANGIAKFADTAGPACNKDPHFKLALKRYAKKLEEDSADRSVGLIEYYNRCKKIRQVGLLQEESYTSNGEGQRTQTWENESKKEKQAKMCNCCGCPDHSEPPTDEPYKNRRVADIYAETWREGSGLTAAM
+>sp|O94830|DDHD2_HUMAN Phospholipase DDHD2 OS=Homo sapiens OX=9606 GN=DDHD2 PE=1 SV=2
+MSSVQSQQEQLSQSDPSPSPNSCSSFELIDMDAGSLYEPVSPHWFYCKIIDSKETWIPFNSEDSQQLEEAYSSGKGCNGRVVPTDGGRYDVHLGERMRYAVYWDELASEVRRCTWFYKGDKDNKYVPYSESFSQVLEETYMLAVTLDEWKKKLESPNREIIILHNPKLMVHYQPVAGSDDWGSTPTEQGRPRTVKRGVENISVDIHCGEPLQIDHLVFVVHGIGPACDLRFRSIVQCVNDFRSVSLNLLQTHFKKAQENQQIGRVEFLPVNWHSPLHSTGVDVDLQRITLPSINRLRHFTNDTILDVFFYNSPTYCQTIVDTVASEMNRIYTLFLQRNPDFKGGVSIAGHSLGSLILFDILTNQKDSLGDIDSEKDSLNIVMDQGDTPTLEEDLKKLQLSEFFDIFEKEKVDKEALALCTDRDLQEIGIPLGPRKKILNYFSTRKNSMGIKRPAPQPASGANIPKESEFCSSSNTRNGDYLDVGIGQVSVKYPRLIYKPEIFFAFGSPIGMFLTVRGLKRIDPNYRFPTCKGFFNIYHPFDPVAYRIEPMVVPGVEFEPMLIPHHKGRKRMHLELREGLTRMSMDLKNNLLGSLRMAWKSFTRAPYPALQASETPEETEAEPESTSEKPSDVNTEETSVAVKEEVLPINVGMLNGGQRIDYVLQEKPIESFNEYLFALQSHLCYWESEDTVLLVLKEIYQTQGIFLDQPLQ
+>DECOY_sp|O94830|DDHD2_HUMAN Phospholipase DDHD2 OS=Homo sapiens OX=9606 GN=DDHD2 PE=1 SV=2
+QLPQDLFIGQTQYIEKLVLLVTDESEWYCLHSQLAFLYENFSEIPKEQLVYDIRQGGNLMGVNIPLVEEKVAVSTEETNVDSPKESTSEPEAETEEPTESAQLAPYPARTFSKWAMRLSGLLNNKLDMSMRTLGERLELHMRKRGKHHPILMPEFEVGPVVMPEIRYAVPDFPHYINFFGKCTPFRYNPDIRKLGRVTLFMGIPSGFAFFIEPKYILRPYKVSVQGIGVDLYDGNRTNSSSCFESEKPINAGSAPQPAPRKIGMSNKRTSFYNLIKKRPGLPIGIEQLDRDTCLALAEKDVKEKEFIDFFESLQLKKLDEELTPTDGQDMVINLSDKESDIDGLSDKQNTLIDFLILSGLSHGAISVGGKFDPNRQLFLTYIRNMESAVTDVITQCYTPSNYFFVDLITDNTFHRLRNISPLTIRQLDVDVGTSHLPSHWNVPLFEVRGIQQNEQAKKFHTQLLNLSVSRFDNVCQVISRFRLDCAPGIGHVVFVLHDIQLPEGCHIDVSINEVGRKVTRPRGQETPTSGWDDSGAVPQYHVMLKPNHLIIIERNPSELKKKWEDLTVALMYTEELVQSFSESYPVYKNDKDGKYFWTCRRVESALEDWYVAYRMREGLHVDYRGGDTPVVRGNCGKGSSYAEELQQSDESNFPIWTEKSDIIKCYFWHPSVPEYLSGADMDILEFSSCSNPSPSPDSQSLQEQQSQVSSM
+>sp|Q5TDH0|DDI2_HUMAN Protein DDI1 homolog 2 OS=Homo sapiens OX=9606 GN=DDI2 PE=1 SV=1
+MLLTVYCVRRDLSEVTFSLQVDADFELHNFRALCELESGIPAAESQIVYAERPLTDNHRSLASYGLKDGDVVILRQKENADPRPPVQFPNLPRIDFSSIAVPGTSSPRQRQPPGTQQSHSSPGEITSSPQGLDNPALLRDMLLANPHELSLLKERNPPLAEALLSGDLEKFSRVLVEQQQDRARREQERIRLFSADPFDLEAQAKIEEDIRQQNIEENMTIAMEEAPESFGQVVMLYINCKVNGHPVKAFVDSGAQMTIMSQACAERCNIMRLVDRRWAGIAKGVGTQKIIGRVHLAQVQIEGDFLPCSFSILEEQPMDMLLGLDMLKRHQCSIDLKKNVLVIGTTGSQTTFLPEGELPECARLAYGAGREDVRPEEIADQELAEALQKSAEDAERQKP
+>DECOY_sp|Q5TDH0|DDI2_HUMAN Protein DDI1 homolog 2 OS=Homo sapiens OX=9606 GN=DDI2 PE=1 SV=1
+PKQREADEASKQLAEALEQDAIEEPRVDERGAGYALRACEPLEGEPLFTTQSGTTGIVLVNKKLDISCQHRKLMDLGLLMDMPQEELISFSCPLFDGEIQVQALHVRGIIKQTGVGKAIGAWRRDVLRMINCREACAQSMITMQAGSDVFAKVPHGNVKCNIYLMVVQGFSEPAEEMAITMNEEINQQRIDEEIKAQAELDFPDASFLRIREQERRARDQQQEVLVRSFKELDGSLLAEALPPNREKLLSLEHPNALLMDRLLAPNDLGQPSSTIEGPSSHSQQTGPPQRQRPSSTGPVAISSFDIRPLNPFQVPPRPDANEKQRLIVVDGDKLGYSALSRHNDTLPREAYVIQSEAAPIGSELECLARFNHLEFDADVQLSFTVESLDRRVCYVTLLM
+>sp|P35638|DDIT3_HUMAN DNA damage-inducible transcript 3 protein OS=Homo sapiens OX=9606 GN=DDIT3 PE=1 SV=1
+MAAESLPFSFGTLSSWELEAWYEDLQEVLSSDENGGTYVSPPGNEEEESKIFTTLDPASLAWLTEEEPEPAEVTSTSQSPHSPDSSQSSLAQEEEEEDQGRTRKRKQSGHSPARAGKQRMKEKEQENERKVAQLAEENERLKQEIERLTREVEATRRALIDRMVNLHQA
+>DECOY_sp|P35638|DDIT3_HUMAN DNA damage-inducible transcript 3 protein OS=Homo sapiens OX=9606 GN=DDIT3 PE=1 SV=1
+AQHLNVMRDILARRTAEVERTLREIEQKLRENEEALQAVKRENEQEKEKMRQKGARAPSHGSQKRKRTRGQDEEEEEQALSSQSSDPSHPSQSTSTVEAPEPEEETLWALSAPDLTTFIKSEEEENGPPSVYTGGNEDSSLVEQLDEYWAELEWSSLTGFSFPLSEAAM
+>sp|Q08345|DDR1_HUMAN Epithelial discoidin domain-containing receptor 1 OS=Homo sapiens OX=9606 GN=DDR1 PE=1 SV=1
+MGPEALSSLLLLLLVASGDADMKGHFDPAKCRYALGMQDRTIPDSDISASSSWSDSTAARHSRLESSDGDGAWCPAGSVFPKEEEYLQVDLQRLHLVALVGTQGRHAGGLGKEFSRSYRLRYSRDGRRWMGWKDRWGQEVISGNEDPEGVVLKDLGPPMVARLVRFYPRADRVMSVCLRVELYGCLWRDGLLSYTAPVGQTMYLSEAVYLNDSTYDGHTVGGLQYGGLGQLADGVVGLDDFRKSQELRVWPGYDYVGWSNHSFSSGYVEMEFEFDRLRAFQAMQVHCNNMHTLGARLPGGVECRFRRGPAMAWEGEPMRHNLGGNLGDPRARAVSVPLGGRVARFLQCRFLFAGPWLLFSEISFISDVVNNSSPALGGTFPPAPWWPPGPPPTNFSSLELEPRGQQPVAKAEGSPTAILIGCLVAIILLLLLIIALMLWRLHWRRLLSKAERRVLEEELTVHLSVPGDTILINNRPGPREPPPYQEPRPRGNPPHSAPCVPNGSALLLSNPAYRLLLATYARPPRGPGPPTPAWAKPTNTQAYSGDYMEPEKPGAPLLPPPPQNSVPHYAEADIVTLQGVTGGNTYAVPALPPGAVGDGPPRVDFPRSRLRFKEKLGEGQFGEVHLCEVDSPQDLVSLDFPLNVRKGHPLLVAVKILRPDATKNARNDFLKEVKIMSRLKDPNIIRLLGVCVQDDPLCMITDYMENGDLNQFLSAHQLEDKAAEGAPGDGQAAQGPTISYPMLLHVAAQIASGMRYLATLNFVHRDLATRNCLVGENFTIKIADFGMSRNLYAGDYYRVQGRAVLPIRWMAWECILMGKFTTASDVWAFGVTLWEVLMLCRAQPFGQLTDEQVIENAGEFFRDQGRQVYLSRPPACPQGLYELMLRCWSRESEQRPPFSQLHRFLAEDALNTV
+>DECOY_sp|Q08345|DDR1_HUMAN Epithelial discoidin domain-containing receptor 1 OS=Homo sapiens OX=9606 GN=DDR1 PE=1 SV=1
+VTNLADEALFRHLQSFPPRQESERSWCRLMLEYLGQPCAPPRSLYVQRGQDRFFEGANEIVQEDTLQGFPQARCLMLVEWLTVGFAWVDSATTFKGMLICEWAMWRIPLVARGQVRYYDGAYLNRSMGFDAIKITFNEGVLCNRTALDRHVFNLTALYRMGSAIQAAVHLLMPYSITPGQAAQGDGPAGEAAKDELQHASLFQNLDGNEMYDTIMCLPDDQVCVGLLRIINPDKLRSMIKVEKLFDNRANKTADPRLIKVAVLLPHGKRVNLPFDLSVLDQPSDVECLHVEGFQGEGLKEKFRLRSRPFDVRPPGDGVAGPPLAPVAYTNGGTVGQLTVIDAEAYHPVSNQPPPPLLPAGPKEPEMYDGSYAQTNTPKAWAPTPPGPGRPPRAYTALLLRYAPNSLLLASGNPVCPASHPPNGRPRPEQYPPPERPGPRNNILITDGPVSLHVTLEEELVRREAKSLLRRWHLRWLMLAIILLLLLIIAVLCGILIATPSGEAKAVPQQGRPELELSSFNTPPPGPPWWPAPPFTGGLAPSSNNVVDSIFSIESFLLWPGAFLFRCQLFRAVRGGLPVSVARARPDGLNGGLNHRMPEGEWAMAPGRRFRCEVGGPLRAGLTHMNNCHVQMAQFARLRDFEFEMEVYGSSFSHNSWGVYDYGPWVRLEQSKRFDDLGVVGDALQGLGGYQLGGVTHGDYTSDNLYVAESLYMTQGVPATYSLLGDRWLCGYLEVRLCVSMVRDARPYFRVLRAVMPPGLDKLVVGEPDENGSIVEQGWRDKWGMWRRGDRSYRLRYSRSFEKGLGGAHRGQTGVLAVLHLRQLDVQLYEEEKPFVSGAPCWAGDGDSSELRSHRAATSDSWSSSASIDSDPITRDQMGLAYRCKAPDFHGKMDADGSAVLLLLLLSSLAEPGM
+>sp|Q96HY6|DDRGK_HUMAN DDRGK domain-containing protein 1 OS=Homo sapiens OX=9606 GN=DDRGK1 PE=1 SV=2
+MVAPVWYLVAAALLVGFILFLTRSRGRAASAGQEPLHNEELAGAGRVAQPGPLEPEEPRAGGRPRRRRDLGSRLQAQRRAQRVAWAEADENEEEAVILAQEEEGVEKPAETHLSGKIGAKKLRKLEEKQARKAQREAEEAEREERKRLESQREAEWKKEEERLRLEEEQKEEEERKAREEQAQREHEEYLKLKEAFVVEEEGVGETMTEEQSQSFLTEFINYIKQSKVVLLEDLASQVGLRTQDTINRIQDLLAEGTITGVIDDRGKFIYITPEELAAVANFIRQRGRVSIAELAQASNSLIAWGRESPAQAPA
+>DECOY_sp|Q96HY6|DDRGK_HUMAN DDRGK domain-containing protein 1 OS=Homo sapiens OX=9606 GN=DDRGK1 PE=1 SV=2
+APAQAPSERGWAILSNSAQALEAISVRGRQRIFNAVAALEEPTIYIFKGRDDIVGTITGEALLDQIRNITDQTRLGVQSALDELLVVKSQKIYNIFETLFSQSQEETMTEGVGEEEVVFAEKLKLYEEHERQAQEERAKREEEEKQEEELRLREEEKKWEAERQSELRKREEREAEEAERQAKRAQKEELKRLKKAGIKGSLHTEAPKEVGEEEQALIVAEEENEDAEAWAVRQARRQAQLRSGLDRRRRPRGGARPEEPELPGPQAVRGAGALEENHLPEQGASAARGRSRTLFLIFGVLLAAAVLYWVPAVM
+>sp|Q92771|DDX12_HUMAN Putative ATP-dependent RNA helicase DDX12 OS=Homo sapiens OX=9606 GN=DDX12P PE=5 SV=3
+MRSGGGCSGSLSLRGPASFKFSGVCPDSRGLAFSVAILPAKKEDFMAELYRVLEAGKIGIFESPTGTGKSLSLICGALSWLRDFEQKKREEEARLLETGTGPLHDEKDESLCLSSSCEGAAGTPRPAGEPAWVTQFVQKKEERDLVNRLKAEQARRKQREERLQQLQHRVQLKYAAKRLRQEEEERENLLRLSREMLETGPEAERPEQLESGEEELVLAEYESDEEKKVASGVDEDEDDLEEEHITKIYHCSRTHSQLAQFVHEVKKSPFGKDVRLVSLGSRQNLCVNEDVRSLGSVQLINNRCVDMQRSRHEKKKGAEEEKPKRRRQEKQAACPFYNHEQMGLLRDEALAEVKDMEQLLALGKEARACPYYGSRLAIPAAQLVVLPYQMLLHAATRQAAGIRLQDQVVIIDEAHNLIDTTTSMHSVEVSGSQLCQAHSQLLQYMERYGKRLKAKNLMYLKQILYLLEKFVAVLGGNIKQNPNTQSLSQTGMELKTINDFLFQSQIDNINLFKVQRYCEKSMISRKLFGFTERYGAVFSSREQPKLAGFQQFLQSLQPRTTEALAAPADESQASVPQPASPLMHIEGFLAALTTANQDGRVILSRQGSLSQSTLKFLLLNPAVHFAQVVKECRAVVIAGGTMQPVSNFRQQLLACAGVEAERVVEFSCGHVIPPDNILPLVICSGVSNQPLEFTFQKRDLPQMMDEVGRILCNLCGVVSGGVVCFFPSYEYLRQVHAHWEKGGLLGRLAARKKIFQEPKSAHQVEQVLLAYSRCLQACGQERGPVTGALLLSVVGGKMSEGINFSDNLGRCVVMVGMPFPNIRSAELQEKMAYLDQTLPRAPGQAHPGKALVENLCMKAVNQSIGRAIRHQKDFASIVLLDQRYARPPVLAKLPAWIRARVEVKATFGPAIAAVQKVSPTFFFLRASQPRDHISHCLLSAQFHREKSASS
+>DECOY_sp|Q92771|DDX12_HUMAN Putative ATP-dependent RNA helicase DDX12 OS=Homo sapiens OX=9606 GN=DDX12P PE=5 SV=3
+SSASKERHFQASLLCHSIHDRPQSARLFFFTPSVKQVAAIAPGFTAKVEVRARIWAPLKALVPPRAYRQDLLVISAFDKQHRIARGISQNVAKMCLNEVLAKGPHAQGPARPLTQDLYAMKEQLEASRINPFPMGVMVVCRGLNDSFNIGESMKGGVVSLLLAGTVPGREQGCAQLCRSYALLVQEVQHASKPEQFIKKRAALRGLLGGKEWHAHVQRLYEYSPFFCVVGGSVVGCLNCLIRGVEDMMQPLDRKQFTFELPQNSVGSCIVLPLINDPPIVHGCSFEVVREAEVGACALLQQRFNSVPQMTGGAIVVARCEKVVQAFHVAPNLLLFKLTSQSLSGQRSLIVRGDQNATTLAALFGEIHMLPSAPQPVSAQSEDAPAALAETTRPQLSQLFQQFGALKPQERSSFVAGYRETFGFLKRSIMSKECYRQVKFLNINDIQSQFLFDNITKLEMGTQSLSQTNPNQKINGGLVAVFKELLYLIQKLYMLNKAKLRKGYREMYQLLQSHAQCLQSGSVEVSHMSTTTDILNHAEDIIVVQDQLRIGAAQRTAAHLLMQYPLVVLQAAPIALRSGYYPCARAEKGLALLQEMDKVEALAEDRLLGMQEHNYFPCAAQKEQRRRKPKEEEAGKKKEHRSRQMDVCRNNILQVSGLSRVDENVCLNQRSGLSVLRVDKGFPSKKVEHVFQALQSHTRSCHYIKTIHEEELDDEDEDVGSAVKKEEDSEYEALVLEEEGSELQEPREAEPGTELMERSLRLLNEREEEEQRLRKAAYKLQVRHQLQQLREERQKRRAQEAKLRNVLDREEKKQVFQTVWAPEGAPRPTGAAGECSSSLCLSEDKEDHLPGTGTELLRAEEERKKQEFDRLWSLAGCILSLSKGTGTPSEFIGIKGAELVRYLEAMFDEKKAPLIAVSFALGRSDPCVGSFKFSAPGRLSLSGSCGGGSRM
+>sp|Q9UHI6|DDX20_HUMAN Probable ATP-dependent RNA helicase DDX20 OS=Homo sapiens OX=9606 GN=DDX20 PE=1 SV=2
+MAAAFEASGALAAVATAMPAEHVAVQVPAPEPTPGPVRILRTAQDLSSPRTRTGDVLLAEPADFESLLLSRPVLEGLRAAGFERPSPVQLKAIPLGRCGLDLIVQAKSGTGKTCVFSTIALDSLVLENLSTQILILAPTREIAVQIHSVITAIGIKMEGLECHVFIGGTPLSQDKTRLKKCHIAVGSPGRIKQLIELDYLNPGSIRLFILDEADKLLEEGSFQEQINWIYSSLPASKQMLAVSATYPEFLANALTKYMRDPTFVRLNSSDPSLIGLKQYYKVVNSYPLAHKVFEEKTQHLQELFSRIPFNQALVFSNLHSRAQHLADILSSKGFPAECISGNMNQNQRLDAMAKLKHFHCRVLISTDLTSRGIDAEKVNLVVNLDVPLDWETYMHRIGRAGRFGTLGLTVTYCCRGEEENMMMRIAQKCNINLLPLPDPIPSGLMEECVDWDVEVKAAVHTYGIASVPNQPLKKQIQKIERTLQIQKAHGDHMASSRNNSVSGLSVKSKNNTKQKLPVKSHSECGIIEKATSPKELGCDRQSEEQMKNSVQTPVENSTNSQHQVKEALPVSLPQIPCLSSFKIHQPYTLTFAELVEDYEHYIKEGLEKPVEIIRHYTGPGDQTVNPQNGFVRNKVIEQRVPVLASSSQSGDSESDSDSYSSRTSSQSKGNKSYLEGSSDNQLKDSESTPVDDRISLEQPPNGSDTPNPEKYQESPGIQMKTRLKEGASQRAKQSRRNLPRRSSFRLQTEAQEDDWYDCHREIRLSFSDTYQDYEEYWRAYYRAWQEYYAAASHSYYWNAQRHPSWMAAYHMNTIYLQEMMHSNQ
+>DECOY_sp|Q9UHI6|DDX20_HUMAN Probable ATP-dependent RNA helicase DDX20 OS=Homo sapiens OX=9606 GN=DDX20 PE=1 SV=2
+QNSHMMEQLYITNMHYAAMWSPHRQANWYYSHSAAAYYEQWARYYARWYEEYDQYTDSFSLRIERHCDYWDDEQAETQLRFSSRRPLNRRSQKARQSAGEKLRTKMQIGPSEQYKEPNPTDSGNPPQELSIRDDVPTSESDKLQNDSSGELYSKNGKSQSSTRSSYSDSDSESDGSQSSSALVPVRQEIVKNRVFGNQPNVTQDGPGTYHRIIEVPKELGEKIYHEYDEVLEAFTLTYPQHIKFSSLCPIQPLSVPLAEKVQHQSNTSNEVPTQVSNKMQEESQRDCGLEKPSTAKEIIGCESHSKVPLKQKTNNKSKVSLGSVSNNRSSAMHDGHAKQIQLTREIKQIQKKLPQNPVSAIGYTHVAAKVEVDWDVCEEMLGSPIPDPLPLLNINCKQAIRMMMNEEEGRCCYTVTLGLTGFRGARGIRHMYTEWDLPVDLNVVLNVKEADIGRSTLDTSILVRCHFHKLKAMADLRQNQNMNGSICEAPFGKSSLIDALHQARSHLNSFVLAQNFPIRSFLEQLHQTKEEFVKHALPYSNVVKYYQKLGILSPDSSNLRVFTPDRMYKTLANALFEPYTASVALMQKSAPLSSYIWNIQEQFSGEELLKDAEDLIFLRISGPNLYDLEILQKIRGPSGVAIHCKKLRTKDQSLPTGGIFVHCELGEMKIGIATIVSHIQVAIERTPALILIQTSLNELVLSDLAITSFVCTKGTGSKAQVILDLGCRGLPIAKLQVPSPREFGAARLGELVPRSLLLSEFDAPEALLVDGTRTRPSSLDQATRLIRVPGPTPEPAPVQVAVHEAPMATAVAALAGSAEFAAAM
+>sp|Q96GQ7|DDX27_HUMAN Probable ATP-dependent RNA helicase DDX27 OS=Homo sapiens OX=9606 GN=DDX27 PE=1 SV=2
+MVLAQRRRGGCEKLRAGPQAVLASGSGFCDNMLADLGLIGTIGEDDEVPVEPESDSGDEEEEGPIVLGRRQKALGKNRSADFNPDFVFTEKEGTYDGSWALADVMSQLKKKRAATTLDEKIEKVRKKRKTEDKEAKSGKLEKEKEAKEGSEPKEQEDLQENDEEGSEDEASETDYSSADENILTKADTLKVKDRKKKKKKGQEAGGFFEDASQYDENLSFQDMNLSRPLLKAITAMGFKQPTPIQKACIPVGLLGKDICACAATGTGKTAAFALPVLERLIYKPRQAPVTRVLVLVPTRELGIQVHSVTRQLAQFCNITTCLAVGGLDVKSQEAALRAAPDILIATPGRLIDHLHNCPSFHLSSIEVLILDEADRMLDEYFEEQMKEIIRMCSHHRQTMLFSATMTDEVKDLASVSLKNPVRIFVNSNTDVAPFLRQEFIRIRPNREGDREAIVAALLTRTFTDHVMLFTQTKKQAHRMHILLGLMGLQVGELHGNLSQTQRLEALRRFKDEQIDILVATDVAARGLDIEGVKTVINFTMPNTIKHYVHRVGRTARAGRAGRSVSLVGEDERKMLKEIVKAAKAPVKARILPQDVILKFRDKIEKMEKDVYAVLQLEAEEKEMQQSEAQINTAKRLLEKGKEAVVQEPERSWFQTKEERKKEKIAKALQEFDLALRGKKKRKKFMKDAKKKGEMTAEERSQFEILKAQMFAERLAKRNRRAKRARAMPEEEPVRGPAKKQKQGKKSVFDEELTNTSKKALKQYRAGPSFEERKQLGLPHQRRGGNFKSKSRYKRRK
+>DECOY_sp|Q96GQ7|DDX27_HUMAN Probable ATP-dependent RNA helicase DDX27 OS=Homo sapiens OX=9606 GN=DDX27 PE=1 SV=2
+KRRKYRSKSKFNGGRRQHPLGLQKREEFSPGARYQKLAKKSTNTLEEDFVSKKGQKQKKAPGRVPEEEPMARARKARRNRKALREAFMQAKLIEFQSREEATMEGKKKADKMFKKRKKKGRLALDFEQLAKAIKEKKREEKTQFWSREPEQVVAEKGKELLRKATNIQAESQQMEKEEAELQLVAYVDKEMKEIKDRFKLIVDQPLIRAKVPAKAAKVIEKLMKREDEGVLSVSRGARGARATRGVRHVYHKITNPMTFNIVTKVGEIDLGRAAVDTAVLIDIQEDKFRRLAELRQTQSLNGHLEGVQLGMLGLLIHMRHAQKKTQTFLMVHDTFTRTLLAAVIAERDGERNPRIRIFEQRLFPAVDTNSNVFIRVPNKLSVSALDKVEDTMTASFLMTQRHHSCMRIIEKMQEEFYEDLMRDAEDLILVEISSLHFSPCNHLHDILRGPTAILIDPAARLAAEQSKVDLGGVALCTTINCFQALQRTVSHVQIGLERTPVLVLVRTVPAQRPKYILRELVPLAFAATKGTGTAACACIDKGLLGVPICAKQIPTPQKFGMATIAKLLPRSLNMDQFSLNEDYQSADEFFGGAEQGKKKKKKRDKVKLTDAKTLINEDASSYDTESAEDESGEEDNEQLDEQEKPESGEKAEKEKELKGSKAEKDETKRKKRVKEIKEDLTTAARKKKLQSMVDALAWSGDYTGEKETFVFDPNFDASRNKGLAKQRRGLVIPGEEEEDGSDSEPEVPVEDDEGITGILGLDALMNDCFGSGSALVAQPGARLKECGGRRRQALVM
+>sp|Q9UJV9|DDX41_HUMAN Probable ATP-dependent RNA helicase DDX41 OS=Homo sapiens OX=9606 GN=DDX41 PE=1 SV=2
+MEESEPERKRARTDEVPAGGSRSEAEDEDDEDYVPYVPLRQRRQLLLQKLLQRRRKGAAEEEQQDSGSEPRGDEDDIPLGPQSNVSLLDQHQHLKEKAEARKESAKEKQLKEEEKILESVAEGRALMSVKEMAKGITYDDPIKTSWTPPRYVLSMSEERHERVRKKYHILVEGDGIPPPIKSFKEMKFPAAILRGLKKKGIHHPTPIQIQGIPTILSGRDMIGIAFTGSGKTLVFTLPVIMFCLEQEKRLPFSKREGPYGLIICPSRELARQTHGILEYYCRLLQEDSSPLLRCALCIGGMSVKEQMETIRHGVHMMVATPGRLMDLLQKKMVSLDICRYLALDEADRMIDMGFEGDIRTIFSYFKGQRQTLLFSATMPKKIQNFAKSALVKPVTINVGRAGAASLDVIQEVEYVKEEAKMVYLLECLQKTPPPVLIFAEKKADVDAIHEYLLLKGVEAVAIHGGKDQEERTKAIEAFREGKKDVLVATDVASKGLDFPAIQHVINYDMPEEIENYVHRIGRTGRSGNTGIATTFINKACDESVLMDLKALLLEAKQKVPPVLQVLHCGDESMLDIGGERGCAFCGGLGHRITDCPKLEAMQTKQVSNIGRKDYLAHSSMDF
+>DECOY_sp|Q9UJV9|DDX41_HUMAN Probable ATP-dependent RNA helicase DDX41 OS=Homo sapiens OX=9606 GN=DDX41 PE=1 SV=2
+FDMSSHALYDKRGINSVQKTQMAELKPCDTIRHGLGGCFACGREGGIDLMSEDGCHLVQLVPPVKQKAELLLAKLDMLVSEDCAKNIFTTAIGTNGSRGTRGIRHVYNEIEEPMDYNIVHQIAPFDLGKSAVDTAVLVDKKGERFAEIAKTREEQDKGGHIAVAEVGKLLLYEHIADVDAKKEAFILVPPPTKQLCELLYVMKAEEKVYEVEQIVDLSAAGARGVNITVPKVLASKAFNQIKKPMTASFLLTQRQGKFYSFITRIDGEFGMDIMRDAEDLALYRCIDLSVMKKQLLDMLRGPTAVMMHVGHRITEMQEKVSMGGICLACRLLPSSDEQLLRCYYELIGHTQRALERSPCIILGYPGERKSFPLRKEQELCFMIVPLTFVLTKGSGTFAIGIMDRGSLITPIGQIQIPTPHHIGKKKLGRLIAAPFKMEKFSKIPPPIGDGEVLIHYKKRVREHREESMSLVYRPPTWSTKIPDDYTIGKAMEKVSMLARGEAVSELIKEEEKLQKEKASEKRAEAKEKLHQHQDLLSVNSQPGLPIDDEDGRPESGSDQQEEEAAGKRRRQLLKQLLLQRRQRLPVYPVYDEDDEDEAESRSGGAPVEDTRARKREPESEEM
+>sp|Q8N8A6|DDX51_HUMAN ATP-dependent RNA helicase DDX51 OS=Homo sapiens OX=9606 GN=DDX51 PE=1 SV=3
+MALFYVARYPGPDAAAAAGPEGAEAGAHGRARALLERLQSRARERQQQREPAQTEAAASTEPATRRRRRPRRRRRVNDAEPGSPEAPQGKRRKADGEDAGAESNEEAPGEPSAGSSEEAPGEPSAGSSEEAPGERSTSASAEAAPDGPALEEAAGPLVPGLVLGGFGKRKAPKVQPFLPRWLAEPNCVRRNVTEDLVPIEDIPDVHPDLQKQLRAHGISSYFPVQAAVIPALLESAACGFLVGRGGYRPSDLCVSAPTGSGKTLAFVIPVVQALLSRVVCHIRALVVLPTKELAQQVSKVFNIYTDATPLRVSLVTGQKSLAKEQESLVQKTADGYRCLADIVVATPGRLVDHIDQTPGFSLQQLRFLIIDEADRMIDSMHQSWLPRVVAAAFQSEDPADPCALLQRRQAQAVTAASTCCPQMPLQKLLFSATLTQNPEKLQQLGLHQPRLFSTGLAHRGLEDTDGDGDSGKYAFPVGLTHHYVPCSLSSKPLVVLHLVLEMGFSRVLCFTNSRENSHRLFLLVQAFGGVDVAEFSSRYGPGQRRMILKQFEQGKIQLLISTDATARGIDVQGVELVVNYDAPQYLRTYVHRVGRTARAGKTGQAFTLLLKVQERRFLRMLTEAGAPELQRHELSSKLLQPLVPRYEEALSQLEESVKEERKQRAA
+>DECOY_sp|Q8N8A6|DDX51_HUMAN ATP-dependent RNA helicase DDX51 OS=Homo sapiens OX=9606 GN=DDX51 PE=1 SV=3
+AARQKREEKVSEELQSLAEEYRPVLPQLLKSSLEHRQLEPAGAETLMRLFRREQVKLLLTFAQGTKGARATRGVRHVYTRLYQPADYNVVLEVGQVDIGRATADTSILLQIKGQEFQKLIMRRQGPGYRSSFEAVDVGGFAQVLLFLRHSNERSNTFCLVRSFGMELVLHLVVLPKSSLSCPVYHHTLGVPFAYKGSDGDGDTDELGRHALGTSFLRPQHLGLQQLKEPNQTLTASFLLKQLPMQPCCTSAATVAQAQRRQLLACPDAPDESQFAAAVVRPLWSQHMSDIMRDAEDIILFRLQQLSFGPTQDIHDVLRGPTAVVIDALCRYGDATKQVLSEQEKALSKQGTVLSVRLPTADTYINFVKSVQQALEKTPLVVLARIHCVVRSLLAQVVPIVFALTKGSGTPASVCLDSPRYGGRGVLFGCAASELLAPIVAAQVPFYSSIGHARLQKQLDPHVDPIDEIPVLDETVNRRVCNPEALWRPLFPQVKPAKRKGFGGLVLGPVLPGAAEELAPGDPAAEASASTSREGPAEESSGASPEGPAEESSGASPEGPAEENSEAGADEGDAKRRKGQPAEPSGPEADNVRRRRRPRRRRRTAPETSAAAETQAPERQQQRERARSQLRELLARARGHAGAEAGEPGAAAAADPGPYRAVYFLAM
+>sp|Q8TDD1|DDX54_HUMAN ATP-dependent RNA helicase DDX54 OS=Homo sapiens OX=9606 GN=DDX54 PE=1 SV=2
+MAADKGPAAGPRSRAAMAQWRKKKGLRKRRGAASQARGSDSEDGEFEIQAEDDARARKLGPGRPLPTFPTSECTSDVEPDTREMVRAQNKKKKKSGGFQSMGLSYPVFKGIMKKGYKVPTPIQRKTIPVILDGKDVVAMARTGSGKTACFLLPMFERLKTHSAQTGARALILSPTRELALQTLKFTKELGKFTGLKTALILGGDRMEDQFAALHENPDIIIATPGRLVHVAVEMSLKLQSVEYVVFDEADRLFEMGFAEQLQEIIARLPGGHQTVLFSATLPKLLVEFARAGLTEPVLIRLDVDTKLNEQLKTSFFLVREDTKAAVLLHLLHNVVRPQDQTVVFVATKHHAEYLTELLTTQRVSCAHIYSALDPTARKINLAKFTLGKCSTLIVTDLAARGLDIPLLDNVINYSFPAKGKLFLHRVGRVARAGRSGTAYSLVAPDEIPYLLDLHLFLGRSLTLARPLKEPSGVAGVDGMLGRVPQSVVDEEDSGLQSTLEASLELRGLARVADNAQQQYVRSRPAPSPESIKRAKEMDLVGLGLHPLFSSRFEEEELQRLRLVDSIKNYRSRATIFEINASSRDLCSQVMRAKRQKDRKAIARFQQGQQGRQEQQEGPVGPAPSRPALQEKQPEKEEEEEAGESVEDIFSEVVGRKRQRSGPNRGAKRRREEARQRDQEFYIPYRPKDFDSERGLSISGEGGAFEQQAAGAVLDLMGDEAQNLTRGRQQLKWDRKKKRFVGQSGQEDKKKIKTESGRYISSSYKRDLYQKWKQKQKIDDRDSDEEGASDRRGPERRGGKRDRGQGASRPHAPGTPAGRVRPELKTKQQILKQRRRAQKLHFLQRGGLKQLSARNRRRVQELQQGAFGRGARSKKGKMRKRM
+>DECOY_sp|Q8TDD1|DDX54_HUMAN ATP-dependent RNA helicase DDX54 OS=Homo sapiens OX=9606 GN=DDX54 PE=1 SV=2
+MRKRMKGKKSRAGRGFAGQQLEQVRRRNRASLQKLGGRQLFHLKQARRRQKLIQQKTKLEPRVRGAPTGPAHPRSAGQGRDRKGGRREPGRRDSAGEEDSDRDDIKQKQKWKQYLDRKYSSSIYRGSETKIKKKDEQGSQGVFRKKKRDWKLQQRGRTLNQAEDGMLDLVAGAAQQEFAGGEGSISLGRESDFDKPRYPIYFEQDRQRAEERRRKAGRNPGSRQRKRGVVESFIDEVSEGAEEEEEKEPQKEQLAPRSPAPGVPGEQQEQRGQQGQQFRAIAKRDKQRKARMVQSCLDRSSANIEFITARSRYNKISDVLRLRQLEEEEFRSSFLPHLGLGVLDMEKARKISEPSPAPRSRVYQQQANDAVRALGRLELSAELTSQLGSDEEDVVSQPVRGLMGDVGAVGSPEKLPRALTLSRGLFLHLDLLYPIEDPAVLSYATGSRGARAVRGVRHLFLKGKAPFSYNIVNDLLPIDLGRAALDTVILTSCKGLTFKALNIKRATPDLASYIHACSVRQTTLLETLYEAHHKTAVFVVTQDQPRVVNHLLHLLVAAKTDERVLFFSTKLQENLKTDVDLRILVPETLGARAFEVLLKPLTASFLVTQHGGPLRAIIEQLQEAFGMEFLRDAEDFVVYEVSQLKLSMEVAVHVLRGPTAIIIDPNEHLAAFQDEMRDGGLILATKLGTFKGLEKTFKLTQLALERTPSLILARAGTQASHTKLREFMPLLFCATKGSGTRAMAVVDKGDLIVPITKRQIPTPVKYGKKMIGKFVPYSLGMSQFGGSKKKKKNQARVMERTDPEVDSTCESTPFTPLPRGPGLKRARADDEAQIEFEGDESDSGRAQSAAGRRKRLGKKKRWQAMAARSRPGAAPGKDAAM
+>sp|Q5T1V6|DDX59_HUMAN Probable ATP-dependent RNA helicase DDX59 OS=Homo sapiens OX=9606 GN=DDX59 PE=1 SV=1
+MFVPRSLKIKRNANDDGKSCVAKIIKPDPEDLQLDKSRDVPVDAVATEAATIDRHISESCPFPSPGGQLAEVHSVSPEQGAKDSHPSEEPVKSFSKTQRWAEPGEPICVVCGRYGEYICDKTDEDVCSLECKAKHLLQVKEKEEKSKLSNPQKADSEPESPLNASYVYKEHPFILNLQEDQIENLKQQLGILVQGQEVTRPIIDFEHCSLPEVLNHNLKKSGYEVPTPIQMQMIPVGLLGRDILASADTGSGKTAAFLLPVIMRALFESKTPSALILTPTRELAIQIERQAKELMSGLPRMKTVLLVGGLPLPPQLYRLQQHVKVIIATPGRLLDIIKQSSVELCGVKIVVVDEADTMLKMGFQQQVLDILENIPNDCQTILVSATIPTSIEQLASQLLHNPVRIITGEKNLPCANVRQIILWVEDPAKKKKLFEILNDKKLFKPPVLVFVDCKLGADLLSEAVQKITGLKSISIHSEKSQIERKNILKGLLEGDYEVVVSTGVLGRGLDLISVRLVVNFDMPSSMDEYVHQIGRVGRLGQNGTAITFINNNSKRLFWDIAKRVKPTGSILPPQLLNSPYLHDQKRKEQQKDKQTQNDLVTGANLMDIIRKHDKSNSQK
+>DECOY_sp|Q5T1V6|DDX59_HUMAN Probable ATP-dependent RNA helicase DDX59 OS=Homo sapiens OX=9606 GN=DDX59 PE=1 SV=1
+KQSNSKDHKRIIDMLNAGTVLDNQTQKDKQQEKRKQDHLYPSNLLQPPLISGTPKVRKAIDWFLRKSNNNIFTIATGNQGLRGVRGIQHVYEDMSSPMDFNVVLRVSILDLGRGLVGTSVVVEYDGELLGKLINKREIQSKESHISISKLGTIKQVAESLLDAGLKCDVFVLVPPKFLKKDNLIEFLKKKKAPDEVWLIIQRVNACPLNKEGTIIRVPNHLLQSALQEISTPITASVLITQCDNPINELIDLVQQQFGMKLMTDAEDVVVIKVGCLEVSSQKIIDLLRGPTAIIVKVHQQLRYLQPPLPLGGVLLVTKMRPLGSMLEKAQREIQIALERTPTLILASPTKSEFLARMIVPLLFAATKGSGTDASALIDRGLLGVPIMQMQIPTPVEYGSKKLNHNLVEPLSCHEFDIIPRTVEQGQVLIGLQQKLNEIQDEQLNLIFPHEKYVYSANLPSEPESDAKQPNSLKSKEEKEKVQLLHKAKCELSCVDEDTKDCIYEGYRGCVVCIPEGPEAWRQTKSFSKVPEESPHSDKAGQEPSVSHVEALQGGPSPFPCSESIHRDITAAETAVADVPVDRSKDLQLDEPDPKIIKAVCSKGDDNANRKIKLSRPVFM
+>sp|P17844|DDX5_HUMAN Probable ATP-dependent RNA helicase DDX5 OS=Homo sapiens OX=9606 GN=DDX5 PE=1 SV=1
+MSGYSSDRDRGRDRGFGAPRFGGSRAGPLSGKKFGNPGEKLVKKKWNLDELPKFEKNFYQEHPDLARRTAQEVETYRRSKEITVRGHNCPKPVLNFYEANFPANVMDVIARQNFTEPTAIQAQGWPVALSGLDMVGVAQTGSGKTLSYLLPAIVHINHQPFLERGDGPICLVLAPTRELAQQVQQVAAEYCRACRLKSTCIYGGAPKGPQIRDLERGVEICIATPGRLIDFLECGKTNLRRTTYLVLDEADRMLDMGFEPQIRKIVDQIRPDRQTLMWSATWPKEVRQLAEDFLKDYIHINIGALELSANHNILQIVDVCHDVEKDEKLIRLMEEIMSEKENKTIVFVETKRRCDELTRKMRRDGWPAMGIHGDKSQQERDWVLNEFKHGKAPILIATDVASRGLDVEDVKFVINYDYPNSSEDYIHRIGRTARSTKTGTAYTFFTPNNIKQVSDLISVLREANQAINPKLLQLVEDRGSGRSRGRGGMKDDRRDRYSAGKRGGFNTFRDRENYDRGYSSLLKRDFGAKTQNGVYSAANYTNGSFGSNFVSAGIQTSFRTGNPTGTYQNGYDSTQQYGSNVPNMHNGMNQQAYAYPATAAAPMIGYPMPTGYSQ
+>DECOY_sp|P17844|DDX5_HUMAN Probable ATP-dependent RNA helicase DDX5 OS=Homo sapiens OX=9606 GN=DDX5 PE=1 SV=1
+QSYGTPMPYGIMPAAATAPYAYAQQNMGNHMNPVNSGYQQTSDYGNQYTGTPNGTRFSTQIGASVFNSGFSGNTYNAASYVGNQTKAGFDRKLLSSYGRDYNERDRFTNFGGRKGASYRDRRDDKMGGRGRSRGSGRDEVLQLLKPNIAQNAERLVSILDSVQKINNPTFFTYATGTKTSRATRGIRHIYDESSNPYDYNIVFKVDEVDLGRSAVDTAILIPAKGHKFENLVWDREQQSKDGHIGMAPWGDRRMKRTLEDCRRKTEVFVITKNEKESMIEEMLRILKEDKEVDHCVDVIQLINHNASLELAGINIHIYDKLFDEALQRVEKPWTASWMLTQRDPRIQDVIKRIQPEFGMDLMRDAEDLVLYTTRRLNTKGCELFDILRGPTAICIEVGRELDRIQPGKPAGGYICTSKLRCARCYEAAVQQVQQALERTPALVLCIPGDGRELFPQHNIHVIAPLLYSLTKGSGTQAVGVMDLGSLAVPWGQAQIATPETFNQRAIVDMVNAPFNAEYFNLVPKPCNHGRVTIEKSRRYTEVEQATRRALDPHEQYFNKEFKPLEDLNWKKKVLKEGPNGFKKGSLPGARSGGFRPAGFGRDRGRDRDSSYGSM
+>sp|P35659|DEK_HUMAN Protein DEK OS=Homo sapiens OX=9606 GN=DEK PE=1 SV=1
+MSASAPAAEGEGTPTQPASEKEPEMPGPREESEEEEDEDDEEEEEEEKEKSLIVEGKREKKKVERLTMQVSSLQREPFTIAQGKGQKLCEIERIHFFLSKKKTDELRNLHKLLYNRPGTVSSLKKNVGQFSGFPFEKGSVQYKKKEEMLKKFRNAMLKSICEVLDLERSGVNSELVKRILNFLMHPKPSGKPLPKSKKTCSKGSKKERNSSGMARKAKRTKCPEILSDESSSDEDEKKNKEESSDDEDKESEEEPPKKTAKREKPKQKATSKSKKSVKSANVKKADSSTTKKNQNSSKKESESEDSSDDEPLIKKLKKPPTDEELKETIKKLLASANLEEVTMKQICKKVYENYPTYDLTERKDFIKTTVKELIS
+>DECOY_sp|P35659|DEK_HUMAN Protein DEK OS=Homo sapiens OX=9606 GN=DEK PE=1 SV=1
+SILEKVTTKIFDKRETLDYTPYNEYVKKCIQKMTVEELNASALLKKITEKLEEDTPPKKLKKILPEDDSSDESESEKKSSNQNKKTTSSDAKKVNASKVSKKSKSTAKQKPKERKATKKPPEEESEKDEDDSSEEKNKKEDEDSSSEDSLIEPCKTRKAKRAMGSSNREKKSGKSCTKKSKPLPKGSPKPHMLFNLIRKVLESNVGSRELDLVECISKLMANRFKKLMEEKKKYQVSGKEFPFGSFQGVNKKLSSVTGPRNYLLKHLNRLEDTKKKSLFFHIREIECLKQGKGQAITFPERQLSSVQMTLREVKKKERKGEVILSKEKEEEEEEEDDEDEEEESEERPGPMEPEKESAPQTPTGEGEAAPASASM
+>sp|Q08495|DEMA_HUMAN Dematin OS=Homo sapiens OX=9606 GN=DMTN PE=1 SV=3
+MERLQKQPLTSPGSVSPSRDSSVPGSPSSIVAKMDNQVLGYKDLAAIPKDKAILDIERPDLMIYEPHFTYSLLEHVELPRSRERSLSPKSTSPPPSPEVWADSRSPGIISQASAPRTTGTPRTSLPHFHHPETSRPDSNIYKKPPIYKQRESVGGSPQTKHLIEDLIIESSKFPAAQPPDPNQPAKIETDYWPCPPSLAVVETEWRKRKASRRGAEEEEEEEDDDSGEEMKALRERQREELSKVTSNLGKMILKEEMEKSLPIRRKTRSLPDRTPFHTSLHQGTSKSSSLPAYGRTTLSRLQSTEFSPSGSETGSPGLQNGEGQRGRMDRGNSLPCVLEQKIYPYEMLVVTNKGRTKLPPGVDRMRLERHLSAEDFSRVFAMSPEEFGKLALWKRNELKKKASLF
+>DECOY_sp|Q08495|DEMA_HUMAN Dematin OS=Homo sapiens OX=9606 GN=DMTN PE=1 SV=3
+FLSAKKKLENRKWLALKGFEEPSMAFVRSFDEASLHRELRMRDVGPPLKTRGKNTVVLMEYPYIKQELVCPLSNGRDMRGRQGEGNQLGPSGTESGSPSFETSQLRSLTTRGYAPLSSSKSTGQHLSTHFPTRDPLSRTKRRIPLSKEMEEKLIMKGLNSTVKSLEERQRERLAKMEEGSDDDEEEEEEEAGRRSAKRKRWETEVVALSPPCPWYDTEIKAPQNPDPPQAAPFKSSEIILDEILHKTQPSGGVSERQKYIPPKKYINSDPRSTEPHHFHPLSTRPTGTTRPASAQSIIGPSRSDAWVEPSPPPSTSKPSLSRERSRPLEVHELLSYTFHPEYIMLDPREIDLIAKDKPIAALDKYGLVQNDMKAVISSPSGPVSSDRSPSVSGPSTLPQKQLREM
+>sp|Q68D51|DEN2C_HUMAN DENN domain-containing protein 2C OS=Homo sapiens OX=9606 GN=DENND2C PE=1 SV=2
+MDVGFSRTTVQTLSRSHCKNIKQKISQWEGRANGISNPEKWCPKDFGVRYNCHQEIRLKKNPIAERKSKNLDVTSRENVGLDINENTKSHDQSENENKKHEYDDTHFFKNESESNWVCSRVKEIESCKEDVLDPETSLPPGNFYTSQILWKKIEALPPDKLLNLALEHCDSSEKELNFRVLDSSYGITKSLENIYSEPEGQECGPSINPLPKPRRTFRYLSESGVTPYKERNCDKKYCENNSCAQSSLASSQEPEPKKYGGKIRGRSKRKSFEFEDIQHFRNRNSQTIREELGRNSGSALYYTQSEDNIYEDIIYPTKENPYEDIPVQPLPMWRSPSAWKLPPAKSAFKAPKLPPKPQFLHRKTMEVKNSQAYLRSKLTKDTTLPVTLTEWKLFRAGEVANTKRKNLPRLVLKIDDIFESKRGKKKVKLHSYTGKELPPTKGETSGNESDAEYLPKNRHKRLAQLQPSSKRNPHYQTLERDLIELQEQQLFELFVVVSLQKKPSGISYIPQVIQQFPGKDDHGYKQSKDMEERLKVIPKFCFPDSKDWMPTSELKSETFSFVLTGEDGSRWFGYCKKLLPVGKGKRLPEVYCMVSRLGCFNLFSKILDEVEKRREMSPALVYPFMRSVMEAPFPAPGRTITVKSYLPGAGDESIELCRPLDSRLEHVDFKCLFKCLSVCHLIRVCASLLLERRVIFVANSLSTLSKCGHAVVATLYPFTWQHTYIPVLPASMIDIVCSPTPFLIGILSCSLPQLQDLPIEEVLIVDLCADKFLQEVSDEDEILPPKLQAALMQILEERNEILTQEQNFSQDVTLNSLVSEAFVRFFVELVGHYSLNMTVTERGERVFQREPFRKSHTSRSVRHFLDLFMETQMFAGFIQDRELRKSGVKGLFEIRAIQYLETIPESEPSGMNRILRSLGSKMKFLQKK
+>DECOY_sp|Q68D51|DEN2C_HUMAN DENN domain-containing protein 2C OS=Homo sapiens OX=9606 GN=DENND2C PE=1 SV=2
+KKQLFKMKSGLSRLIRNMGSPESEPITELYQIARIEFLGKVGSKRLERDQIFGAFMQTEMFLDLFHRVSRSTHSKRFPERQFVREGRETVTMNLSYHGVLEVFFRVFAESVLSNLTVDQSFNQEQTLIENREELIQMLAAQLKPPLIEDEDSVEQLFKDACLDVILVEEIPLDQLQPLSCSLIGILFPTPSCVIDIMSAPLVPIYTHQWTFPYLTAVVAHGCKSLTSLSNAVFIVRRELLLSACVRILHCVSLCKFLCKFDVHELRSDLPRCLEISEDGAGPLYSKVTITRGPAPFPAEMVSRMFPYVLAPSMERRKEVEDLIKSFLNFCGLRSVMCYVEPLRKGKGVPLLKKCYGFWRSGDEGTLVFSFTESKLESTPMWDKSDPFCFKPIVKLREEMDKSQKYGHDDKGPFQQIVQPIYSIGSPKKQLSVVVFLEFLQQEQLEILDRELTQYHPNRKSSPQLQALRKHRNKPLYEADSENGSTEGKTPPLEKGTYSHLKVKKKGRKSEFIDDIKLVLRPLNKRKTNAVEGARFLKWETLTVPLTTDKTLKSRLYAQSNKVEMTKRHLFQPKPPLKPAKFASKAPPLKWASPSRWMPLPQVPIDEYPNEKTPYIIDEYINDESQTYYLASGSNRGLEERITQSNRNRFHQIDEFEFSKRKSRGRIKGGYKKPEPEQSSALSSQACSNNECYKKDCNREKYPTVGSESLYRFTRRPKPLPNISPGCEQGEPESYINELSKTIGYSSDLVRFNLEKESSDCHELALNLLKDPPLAEIKKWLIQSTYFNGPPLSTEPDLVDEKCSEIEKVRSCVWNSESENKFFHTDDYEHKKNENESQDHSKTNENIDLGVNERSTVDLNKSKREAIPNKKLRIEQHCNYRVGFDKPCWKEPNSIGNARGEWQSIKQKINKCHSRSLTQVTTRSFGVDM
+>sp|O75064|DEN4B_HUMAN DENN domain-containing protein 4B OS=Homo sapiens OX=9606 GN=DENND4B PE=1 SV=4
+MAEERPPRLVDYFVVAGLAGNGAPIPEETWVPEPSGPLRPPRPAEPITDVAVIARALGEEVPQGYTCIQASAGGHPLELSAGLLGGTQPVICYRRGRDKPPLVELGVLYEGKERPKPGFQVLDTTPYSHSANLAPPGPGHPRTYLTYRRAAEGAGLHALGITDLCLVLPSKGEGTPHTYCRLPRNLNPGMWGPAVYLCYKVGLAKANTLVYEAELLGRYPEEDNEAFPLPESVPVFCLPMGATIECWPAQTKYPVPVFSTFVLTGAAGDKVYGAALQFYEAFPRARLSERQARALGLLSAVERGRALGGRAVRSRRAIAVLSRWPAFPAFRAFLTFLYRYSVSGPHRLPLEAHISHFIHNVPFPSPQRPRILVQMSPYDNLLLCQPVSSPLPLSGASFLQLLQSLGPELAITLLLAVLTEHKLLVHSLRPDLLTSVCEALVSMIFPLHWQCPYIPLCPLVLADVLSAPVPFIVGIHSSYFDLHDPPADVICVDLDTNTLFQTEEKKLLSPRTLPRRPYKVLLATLTNLYQQLDQTYTGPEEEASLEFLLTDYEAVCGRRARLEREVQGAFLRFMACLLKGYRVFLRPLTQAPSEGARDVDNLFFLQGFLKSRERSSHKLYSQLLHTQMFSQFIEECSFGSARHAALEFFDSCVEKVHPEQEKPEPTPLVELEELSGSELTVFITPPEEPALPEGSESTPQYCYDGFPELRAELFESLQEQPGALPVPGPSRSAPSSPAPRRTKQEMKVAQRMAQKSAAVPELWARCLLGHCYGLWFLCLPAYVRSAPSRVQALHTAYHVLRQMESGKVVLPDEVCYRVLMQLCSHYGQPVLSVRVMLEMRQAGIVPNTITYGYYNKAVLESKWPSGTPGGRLRWAKLRNVVLGAAQFRQPLRERQQQQQQQQQQQQQQQQEQVSAHQEAGSSQAEPYLERPSPTRPLQRQTTWAGRSLRDPASPPGRLVKSGSLGSARGAQPTVEAGVAHMIEALGVLEPRGSPVPWHDGSLSDLSLTGEEPLPGGSPGGSGSALSAQSTEALEGLSGRGPKAGGRQDEAGTPRRGLGARLQQLLTPSRHSPASRIPPPELPPDLPPPARRSPMDSLLHPRERPGSTASESSASLGSEWDLSESSLSNLSLRRSSERLSDTPGSFQSPSLEILLSSCSLCRACDSLVYDEEIMAGWAPDDSNLNTTCPFCACPFVPLLSVQTLDSRPSVPSPKSAGASGSKDAPVPGGPGPVLSDRRLCLALDEPQLCNGHMGGASRRVESGAWAYLSPLVLRKELESLVENEGSEVLALPELPSAHPIIFWNLLWYFQRLRLPSILPGLVLASCDGPSHSQAPSPWLTPDPASVQVRLLWDVLTPDPNSCPPLYVLWRVHSQIPQRVVWPGPVPASLSLALLESVLRHVGLNEVHKAVGLLLETLGPPPTGLHLQRGIYREILFLTMAALGKDHVDIVAFDKKYKSAFNKLASSMGKEELRHRRAQMPTPKAIDCRKCFGAPPEC
+>DECOY_sp|O75064|DEN4B_HUMAN DENN domain-containing protein 4B OS=Homo sapiens OX=9606 GN=DENND4B PE=1 SV=4
+CEPPAGFCKRCDIAKPTPMQARRHRLEEKGMSSALKNFASKYKKDFAVIDVHDKGLAAMTLFLIERYIGRQLHLGTPPPGLTELLLGVAKHVENLGVHRLVSELLALSLSAPVPGPWVVRQPIQSHVRWLVYLPPCSNPDPTLVDWLLRVQVSAPDPTLWPSPAQSHSPGDCSALVLGPLISPLRLRQFYWLLNWFIIPHASPLEPLALVESGENEVLSELEKRLVLPSLYAWAGSEVRRSAGGMHGNCLQPEDLALCLRRDSLVPGPGGPVPADKSGSAGASKPSPVSPRSDLTQVSLLPVFPCACFPCTTNLNSDDPAWGAMIEEDYVLSDCARCLSCSSLLIELSPSQFSGPTDSLRESSRRLSLNSLSSESLDWESGLSASSESATSGPRERPHLLSDMPSRRAPPPLDPPLEPPPIRSAPSHRSPTLLQQLRAGLGRRPTGAEDQRGGAKPGRGSLGELAETSQASLASGSGGPSGGPLPEEGTLSLDSLSGDHWPVPSGRPELVGLAEIMHAVGAEVTPQAGRASGLSGSKVLRGPPSAPDRLSRGAWTTQRQLPRTPSPRELYPEAQSSGAEQHASVQEQQQQQQQQQQQQQQQQRERLPQRFQAAGLVVNRLKAWRLRGGPTGSPWKSELVAKNYYGYTITNPVIGAQRMELMVRVSLVPQGYHSCLQMLVRYCVEDPLVVKGSEMQRLVHYATHLAQVRSPASRVYAPLCLFWLGYCHGLLCRAWLEPVAASKQAMRQAVKMEQKTRRPAPSSPASRSPGPVPLAGPQEQLSEFLEARLEPFGDYCYQPTSESGEPLAPEEPPTIFVTLESGSLEELEVLPTPEPKEQEPHVKEVCSDFFELAAHRASGFSCEEIFQSFMQTHLLQSYLKHSSRERSKLFGQLFFLNDVDRAGESPAQTLPRLFVRYGKLLCAMFRLFAGQVERELRARRGCVAEYDTLLFELSAEEEPGTYTQDLQQYLNTLTALLVKYPRRPLTRPSLLKKEETQFLTNTDLDVCIVDAPPDHLDFYSSHIGVIFPVPASLVDALVLPCLPIYPCQWHLPFIMSVLAECVSTLLDPRLSHVLLKHETLVALLLTIALEPGLSQLLQLFSAGSLPLPSSVPQCLLLNDYPSMQVLIRPRQPSPFPVNHIFHSIHAELPLRHPGSVSYRYLFTLFARFAPFAPWRSLVAIARRSRVARGGLARGREVASLLGLARAQRESLRARPFAEYFQLAAGYVKDGAAGTLVFTSFVPVPYKTQAPWCEITAGMPLCFVPVSEPLPFAENDEEPYRGLLEAEYVLTNAKALGVKYCLYVAPGWMGPNLNRPLRCYTHPTGEGKSPLVLCLDTIGLAHLGAGEAARRYTLYTRPHGPGPPALNASHSYPTTDLVQFGPKPREKGEYLVGLEVLPPKDRGRRYCIVPQTGGLLGASLELPHGGASAQICTYGQPVEEGLARAIVAVDTIPEAPRPPRLPGSPEPVWTEEPIPAGNGALGAVVFYDVLRPPREEAM
+>sp|Q8NEG7|DEN6B_HUMAN Protein DENND6B OS=Homo sapiens OX=9606 GN=DENND6B PE=2 SV=1
+MDALLGTGPRRARGCLGAAGPTSSGRAARTPAAPWARFSAWLECVCVVTFDLELGQALELVYPNDFRLTDKEKSSICYLSFPDSHSGCLGDTQFSFRMRQCGGQRSPWHADDRHYNSRAPVALQREPAHYFGYVYFRQVKDSSVKRGYFQKSLVLVSRLPFVRLFQALLSLIAPEYFDKLAPCLEAVCSEIDQWPAPAPGQTLNLPVMGVVVQVRIPSRVDKSESSPPKQFDQENLLPAPVVLASVHELDLFRCFRPVLTHMQTLWELMLLGEPLLVLAPSPDVSSEMVLALTSCLQPLRFCCDFRPYFTIHDSEFKEFTTRTQAPPNVVLGVTNPFFIKTLQHWPHILRVGEPKMSGDLPKQVKLKKPSRLKTLDTKPGLYTAYTAHLHRDKALLKRLLKGVQKKRPSDVQSALLRRHLLELTQSFIIPLEHYMASLMPLQKSITPWKTPPQIQPFSQDDFLRSLEHAGPQLTCILKGDWLGLYRRFFKSPHFDGWYRQRHKEMALKLEALHLEAICEANIETWMKDKSEVEVVDLVLKLREKLVRAQGHQLPVKEATLQRAQLYIETVIGSLPKDLQAVLCPP
+>DECOY_sp|Q8NEG7|DEN6B_HUMAN Protein DENND6B OS=Homo sapiens OX=9606 GN=DENND6B PE=2 SV=1
+PPCLVAQLDKPLSGIVTEIYLQARQLTAEKVPLQHGQARVLKERLKLVLDVVEVESKDKMWTEINAECIAELHLAELKLAMEKHRQRYWGDFHPSKFFRRYLGLWDGKLICTLQPGAHELSRLFDDQSFPQIQPPTKWPTISKQLPMLSAMYHELPIIFSQTLELLHRRLLASQVDSPRKKQVGKLLRKLLAKDRHLHATYATYLGPKTDLTKLRSPKKLKVQKPLDGSMKPEGVRLIHPWHQLTKIFFPNTVGLVVNPPAQTRTTFEKFESDHITFYPRFDCCFRLPQLCSTLALVMESSVDPSPALVLLPEGLLMLEWLTQMHTLVPRFCRFLDLEHVSALVVPAPLLNEQDFQKPPSSESKDVRSPIRVQVVVGMVPLNLTQGPAPAPWQDIESCVAELCPALKDFYEPAILSLLAQFLRVFPLRSVLVLSKQFYGRKVSSDKVQRFYVYGFYHAPERQLAVPARSNYHRDDAHWPSRQGGCQRMRFSFQTDGLCGSHSDPFSLYCISSKEKDTLRFDNPYVLELAQGLELDFTVVCVCELWASFRAWPAAPTRAARGSSTPGAAGLCGRARRPGTGLLADM
+>sp|Q07507|DERM_HUMAN Dermatopontin OS=Homo sapiens OX=9606 GN=DPT PE=1 SV=2
+MDLSLLWVLLPLVTMAWGQYGDYGYPYQQYHDYSDDGWVNLNRQGFSYQCPQGQVIVAVRSIFSKKEGSDRQWNYACMPTPQSLGEPTECWWEEINRAGMEWYQTCSNNGLVAGFQSRYFESVLDREWQFYCCRYSKRCPYSCWLTTEYPGHYGEEMDMISYNYDYYIRGATTTFSAVERDRQWKFIMCRMTEYDCEFANV
+>DECOY_sp|Q07507|DERM_HUMAN Dermatopontin OS=Homo sapiens OX=9606 GN=DPT PE=1 SV=2
+VNAFECDYETMRCMIFKWQRDREVASFTTTAGRIYYDYNYSIMDMEEGYHGPYETTLWCSYPCRKSYRCCYFQWERDLVSEFYRSQFGAVLGNNSCTQYWEMGARNIEEWWCETPEGLSQPTPMCAYNWQRDSGEKKSFISRVAVIVQGQPCQYSFGQRNLNVWGDDSYDHYQQYPYGYDGYQGWAMTVLPLLVWLLSLDM
+>sp|Q7L5Y6|DET1_HUMAN DET1 homolog OS=Homo sapiens OX=9606 GN=DET1 PE=1 SV=2
+MDHHVSTIKPRRIQNQNVIHRLERRRISSGKAGTHWHQVRVFHQNVFPNFTVVNVEKPPCFLRKFSPDGRYFIAFSSDQTSLEIYEYQGCQAAEDLLQGYEGEILSNGNDQRSVNIRGRLFERFFVLLHITNVAANGEHLNRECSLFTDDCRCVIVGSAAYLPDEPHPPFFEVYRNSESVTPNPRSPLEDYSLHIIDLHTGRLCDTRTFKCDKVVLSHNQGLYLYKNILAILSVQQQTIHVFQVTPEGTFIDVRTIGRFCYEDDLLTVSAVFPEVQRDSQTGMANPFRDPFINSLKHRLLVYLWRRAEQDGSAMAKRRFFQYFDQLRQLRMWKMQLLDENHLFIKYTSEDVVTLRVTDPSQASFFVVYNMVTTEVIAVFENTSDELLELFENFCDLFRNATLHSEVQFPCSASSNNFARQIQRRFKDTIINAKYGGHTEAVRRLLGQLPISAQSYSGSPYLDLSLFSYDDKWVSVMERPKTCGDHPIRFYARDSGLLKFEIQAGLLGRPINHTVRRLVAFTFHPFEPFAISVQRTNAEYVVNFHMRHCCT
+>DECOY_sp|Q7L5Y6|DET1_HUMAN DET1 homolog OS=Homo sapiens OX=9606 GN=DET1 PE=1 SV=2
+TCCHRMHFNVVYEANTRQVSIAFPEFPHFTFAVLRRVTHNIPRGLLGAQIEFKLLGSDRAYFRIPHDGCTKPREMVSVWKDDYSFLSLDLYPSGSYSQASIPLQGLLRRVAETHGGYKANIITDKFRRQIQRAFNNSSASCPFQVESHLTANRFLDCFNEFLELLEDSTNEFVAIVETTVMNYVVFFSAQSPDTVRLTVVDESTYKIFLHNEDLLQMKWMRLQRLQDFYQFFRRKAMASGDQEARRWLYVLLRHKLSNIFPDRFPNAMGTQSDRQVEPFVASVTLLDDEYCFRGITRVDIFTGEPTVQFVHITQQQVSLIALINKYLYLGQNHSLVVKDCKFTRTDCLRGTHLDIIHLSYDELPSRPNPTVSESNRYVEFFPPHPEDPLYAASGVIVCRCDDTFLSCERNLHEGNAAVNTIHLLVFFREFLRGRINVSRQDNGNSLIEGEYGQLLDEAAQCGQYEYIELSTQDSSFAIFYRGDPSFKRLFCPPKEVNVVTFNPFVNQHFVRVQHWHTGAKGSSIRRRELRHIVNQNQIRRPKITSVHHDM
+>sp|P23743|DGKA_HUMAN Diacylglycerol kinase alpha OS=Homo sapiens OX=9606 GN=DGKA PE=1 SV=3
+MAKERGLISPSDFAQLQKYMEYSTKKVSDVLKLFEDGEMAKYVQGDAIGYEGFQQFLKIYLEVDNVPRHLSLALFQSFETGHCLNETNVTKDVVCLNDVSCYFSLLEGGRPEDKLEFTFKLYDTDRNGILDSSEVDKIILQMMRVAEYLDWDVSELRPILQEMMKEIDYDGSGSVSQAEWVRAGATTVPLLVLLGLEMTLKDDGQHMWRPKRFPRPVYCNLCESSIGLGKQGLSCNLCKYTVHDQCAMKALPCEVSTYAKSRKDIGVQSHVWVRGGCESGRCDRCQKKIRIYHSLTGLHCVWCHLEIHDDCLQAVGHECDCGLLRDHILPPSSIYPSVLASGPDRKNSKTSQKTMDDLNLSTSEALRIDPVPNTHPLLVFVNPKSGGKQGQRVLWKFQYILNPRQVFNLLKDGPEIGLRLFKDVPDSRILVCGGDGTVGWILETIDKANLPVLPPVAVLPLGTGNDLARCLRWGGGYEGQNLAKILKDLEMSKVVHMDRWSVEVIPQQTEEKSDPVPFQIINNYFSIGVDASIAHRFHIMREKYPEKFNSRMKNKLWYFEFATSESIFSTCKKLEESLTVEICGKPLDLSNLSLEGIAVLNIPSMHGGSNLWGDTRRPHGDIYGINQALGATAKVITDPDILKTCVPDLSDKRLEVVGLEGAIEMGQIYTKLKNAGRRLAKCSEITFHTTKTLPMQIDGEPWMQTPCTIKITHKNQMPMLMGPPPRSTNFFGFLS
+>DECOY_sp|P23743|DGKA_HUMAN Diacylglycerol kinase alpha OS=Homo sapiens OX=9606 GN=DGKA PE=1 SV=3
+SLFGFFNTSRPPPGMLMPMQNKHTIKITCPTQMWPEGDIQMPLTKTTHFTIESCKALRRGANKLKTYIQGMEIAGELGVVELRKDSLDPVCTKLIDPDTIVKATAGLAQNIGYIDGHPRRTDGWLNSGGHMSPINLVAIGELSLNSLDLPKGCIEVTLSEELKKCTSFISESTAFEFYWLKNKMRSNFKEPYKERMIHFRHAISADVGISFYNNIIQFPVPDSKEETQQPIVEVSWRDMHVVKSMELDKLIKALNQGEYGGGWRLCRALDNGTGLPLVAVPPLVPLNAKDITELIWGVTGDGGCVLIRSDPVDKFLRLGIEPGDKLLNFVQRPNLIYQFKWLVRQGQKGGSKPNVFVLLPHTNPVPDIRLAESTSLNLDDMTKQSTKSNKRDPGSALVSPYISSPPLIHDRLLGCDCEHGVAQLCDDHIELHCWVCHLGTLSHYIRIKKQCRDCRGSECGGRVWVHSQVGIDKRSKAYTSVECPLAKMACQDHVTYKCLNCSLGQKGLGISSECLNCYVPRPFRKPRWMHQGDDKLTMELGLLVLLPVTTAGARVWEAQSVSGSGDYDIEKMMEQLIPRLESVDWDLYEAVRMMQLIIKDVESSDLIGNRDTDYLKFTFELKDEPRGGELLSFYCSVDNLCVVDKTVNTENLCHGTEFSQFLALSLHRPVNDVELYIKLFQQFGEYGIADGQVYKAMEGDEFLKLVDSVKKTSYEMYKQLQAFDSPSILGREKAM
+>sp|Q16760|DGKD_HUMAN Diacylglycerol kinase delta OS=Homo sapiens OX=9606 GN=DGKD PE=1 SV=4
+MAAAAGAPPPGPPQPPPPPPPEESSDSEPEAEPGSPQKLIRKVSTSGQIRQKTIIKEGMLTKQNNSFQRSKRRYFKLRGRTLYYAKTAKSIIFDEVDLTDASVAESSTKNVNNSFTVITPCRKLILCADNRKEMEDWIAALKTVQNREHFEPTQYSMDHFSGMHNWYACSHARPTYCNVCREALSGVTSHGLSCEVCKFKAHKRCAVRATNNCKWTTLASIGKDIIEDADGIAMPHQWLEGNLPVSAKCTVCDKTCGSVLRLQDWRCLWCKAMVHTSCKESLLTKCPLGLCKVSVIPPTALNSIDSDGFWKASCPPSCTSPLLVFVNSKSGDNQGVKFLRRFKQLLNPAQVFDLMNGGPHLGLRLFQKFDTFRILVCGGDGSVGWVLSEIDSLNLHKQCQLGVLPLGTGNDLARVLGWGSACDDDTQLPQILEKLERASTKMLDRWSVMAYEAKLPRQASSSTVTEDFSEDSEVQQILFYEDSVAAHLSKILTSDQHSVVISSAKVLCETVKDFVARVGKAYEKTTESSEESEVMAKKCSVLKEKLDSLLKTLDDESQASSSLPNPPPTIAEEAEDGDGSGSICGSTGDRLVASACPARPQIFRPREQLMLRANSLKKAIRQIIEHTEKAVDEQNAQTQEQEGFVLGLSESEEKMDHRVCPPLSHSESFGVPKGRSQRKVSKSPCEKLISKGSLSLGSSASLPPQPGSRDGLPALNTKILYPNVRAGMSGSLPGGSVISRLLINADPFNSEPETLEYYTEKCVMNNYFGIGLDAKISLDFNNKRDEHPEKCRSRTKNMMWYGVLGTKELLHRTYKNLEQKVLLECDGRPIPLPSLQGIAVLNIPSYAGGTNFWGGTKEDDTFAAPSFDDKILEVVAVFGSMQMAVSRVIRLQHHRIAQCRTVKISILGDEGVPVQVDGEAWVQPPGYIRIVHKNRAQTLTRDRAFESTLKSWEDKQKCELPRPPSCSLHPEMLSEEEATQMDQFGQAAGVLIHSIREIAQSHRDMEQELAHAVNASSKSMDRVYGKPRTTEGLNCSFVLEMVNNFRALRSETELLLSGKMALQLDPPQKEQLGSALAEMDRQLRRLADTPWLCQSAEPGDEESVMLDLAKRSRSGKFRLVTKFKKEKNNKNKEAHSSLGAPVHLWGTEEVAAWLEHLSLCEYKDIFTRHDIRGSELLHLERRDLKDLGVTKVGHMKRILCGIKELSRSAPAVEA
+>DECOY_sp|Q16760|DGKD_HUMAN Diacylglycerol kinase delta OS=Homo sapiens OX=9606 GN=DGKD PE=1 SV=4
+AEVAPASRSLEKIGCLIRKMHGVKTVGLDKLDRRELHLLESGRIDHRTFIDKYECLSLHELWAAVEETGWLHVPAGLSSHAEKNKNNKEKKFKTVLRFKGSRSRKALDLMVSEEDGPEASQCLWPTDALRRLQRDMEALASGLQEKQPPDLQLAMKGSLLLETESRLARFNNVMELVFSCNLGETTRPKGYVRDMSKSSANVAHALEQEMDRHSQAIERISHILVGAAQGFQDMQTAEEESLMEPHLSCSPPRPLECKQKDEWSKLTSEFARDRTLTQARNKHVIRIYGPPQVWAEGDVQVPVGEDGLISIKVTRCQAIRHHQLRIVRSVAMQMSGFVAVVELIKDDFSPAAFTDDEKTGGWFNTGGAYSPINLVAIGQLSPLPIPRGDCELLVKQELNKYTRHLLEKTGLVGYWMMNKTRSRCKEPHEDRKNNFDLSIKADLGIGFYNNMVCKETYYELTEPESNFPDANILLRSIVSGGPLSGSMGARVNPYLIKTNLAPLGDRSGPQPPLSASSGLSLSGKSILKECPSKSVKRQSRGKPVGFSESHSLPPCVRHDMKEESESLGLVFGEQEQTQANQEDVAKETHEIIQRIAKKLSNARLMLQERPRFIQPRAPCASAVLRDGTSGCISGSGDGDEAEEAITPPPNPLSSSAQSEDDLTKLLSDLKEKLVSCKKAMVESEESSETTKEYAKGVRAVFDKVTECLVKASSIVVSHQDSTLIKSLHAAVSDEYFLIQQVESDESFDETVTSSSAQRPLKAEYAMVSWRDLMKTSARELKELIQPLQTDDDCASGWGLVRALDNGTGLPLVGLQCQKHLNLSDIESLVWGVSGDGGCVLIRFTDFKQFLRLGLHPGGNMLDFVQAPNLLQKFRRLFKVGQNDGSKSNVFVLLPSTCSPPCSAKWFGDSDISNLATPPIVSVKCLGLPCKTLLSEKCSTHVMAKCWLCRWDQLRLVSGCTKDCVTCKASVPLNGELWQHPMAIGDADEIIDKGISALTTWKCNNTARVACRKHAKFKCVECSLGHSTVGSLAERCVNCYTPRAHSCAYWNHMGSFHDMSYQTPEFHERNQVTKLAAIWDEMEKRNDACLILKRCPTIVTFSNNVNKTSSEAVSADTLDVEDFIISKATKAYYLTRGRLKFYRRKSRQFSNNQKTLMGEKIITKQRIQGSTSVKRILKQPSGPEAEPESDSSEEPPPPPPPQPPGPPPAGAAAAM
+>sp|Q5KSL6|DGKK_HUMAN Diacylglycerol kinase kappa OS=Homo sapiens OX=9606 GN=DGKK PE=1 SV=1
+MDRGAAAAQGTAPPQDGEQPAESPEPPPPWPPPPPPPAPPPAPPLLSEASPEPIPEPCPELAPGPCPEATSESATELYTEPTPEPATEPASEPAPEPATEPAPEPATEPAPEPAPEPATESAPEPTPEPALESVPEPAPELTPEVAPELAPEPTPEPVTELAPEFCPEAAPEFRPSPAPCLLQCPVDTRERGLKTSPSPSPSPSPRTPMSWSRIKKILKEGPMLKNCNSFKRWKLRYFLVQGQKLYFAHHPAFAHFETIDLSQATVAESSCRNLCHSFCVITPQRKITLAAPNRKDMEEWINIIKTIQQGEIYKIPAAENNPFLVGMHCWYSSYSHRTQHCNVCRESIPALSRDAIICEVCKVKSHRLCALRASKDCKWNTLSITDDLLLPADEVNMPHQWVEGNMPVSSQCAVCHESCGSYQRLQDFRCLWCNSTVHDDCRRRFSKECCFRSHRSSVIPPTALSDPKGDGQLVVSSDFWNLDWSSACSCPLLIFINSKSGDHQGIVFLRKFKQYLNPSQVFDLLKGGPEAGLSMFKNFARFRILVCGGDGSVSWVLSLIDAFGLHEKCQLAVIPLGTGNDLARVLGWGAFWNKSKSPLDILNRVEQASVRILDRWSVMIRETPRQTPLLKGQVEMDVPRFEAAAIQHLESAATELNKILKAKYPTEMIIATRFLCSAVEDFVVDIVKAWGQIKQNNTAIVSVILKSDLMYDRLSVLIDVLAEEAAATSAEKSATEYADSSKADRKPFIPQIDHIAKCKLELATKAQSLQKSLKLIIFQVEQALDEESRQTISVKNFSSTFFLEDDPEDINQTSPRRRSRRGTLSSISSLKSEDLDNLNLDHLHFTPESIRFKEKCVMNNYFGIGLDAKISLDFNTRRDEHPGQYNSRLKNKMWYGLLGTKELLQRSYRKLEERVHLECDGETISLPNLQGIVVLNITSYAGGINFWGSNTATTEYEAPAIDDGKLEVVAIFGSVQMAMSRIINLHHHRIAQCHEVMITIDGEEGIPVQVDGEAWIQRPGLIKIRYKNAAQMLTRDRDFENSMKMWEYKHTEIQAAPQPQLDFQDSQESLSDEEYAQMQHLARLAENLISKLNDLSKIHQHVSVLMGSVNASANILNDIFYGQDSGNEMGAASCIPIETLSRNDAVDVTFSLKGLYDDTTAFLDEKLLRSAEDETALQSALDAMNKEFKKLSEIDWMNPIFVPEEKSSDTDSRSLRLKIKFPKLGKKKVEEERKPKSGQSVQSFIGNLWHRRHREDEAEGDDPLTPSRSQL
+>DECOY_sp|Q5KSL6|DGKK_HUMAN Diacylglycerol kinase kappa OS=Homo sapiens OX=9606 GN=DGKK PE=1 SV=1
+LQSRSPTLPDDGEAEDERHRRHWLNGIFSQVSQGSKPKREEEVKKKGLKPFKIKLRLSRSDTDSSKEEPVFIPNMWDIESLKKFEKNMADLASQLATEDEASRLLKEDLFATTDDYLGKLSFTVDVADNRSLTEIPICSAAGMENGSDQGYFIDNLINASANVSGMLVSVHQHIKSLDNLKSILNEALRALHQMQAYEEDSLSEQSDQFDLQPQPAAQIETHKYEWMKMSNEFDRDRTLMQAANKYRIKILGPRQIWAEGDVQVPIGEEGDITIMVEHCQAIRHHHLNIIRSMAMQVSGFIAVVELKGDDIAPAEYETTATNSGWFNIGGAYSTINLVVIGQLNPLSITEGDCELHVREELKRYSRQLLEKTGLLGYWMKNKLRSNYQGPHEDRRTNFDLSIKADLGIGFYNNMVCKEKFRISEPTFHLHDLNLNDLDESKLSSISSLTGRRSRRRPSTQNIDEPDDELFFTSSFNKVSITQRSEEDLAQEVQFIILKLSKQLSQAKTALELKCKAIHDIQPIFPKRDAKSSDAYETASKEASTAAAEEALVDILVSLRDYMLDSKLIVSVIATNNQKIQGWAKVIDVVFDEVASCLFRTAIIMETPYKAKLIKNLETAASELHQIAAAEFRPVDMEVQGKLLPTQRPTERIMVSWRDLIRVSAQEVRNLIDLPSKSKNWFAGWGLVRALDNGTGLPIVALQCKEHLGFADILSLVWSVSGDGGCVLIRFRAFNKFMSLGAEPGGKLLDFVQSPNLYQKFKRLFVIGQHDGSKSNIFILLPCSCASSWDLNWFDSSVVLQGDGKPDSLATPPIVSSRHSRFCCEKSFRRRCDDHVTSNCWLCRFDQLRQYSGCSEHCVACQSSVPMNGEVWQHPMNVEDAPLLLDDTISLTNWKCDKSARLACLRHSKVKCVECIIADRSLAPISERCVNCHQTRHSYSSYWCHMGVLFPNNEAAPIKYIEGQQITKIINIWEEMDKRNPAALTIKRQPTIVCFSHCLNRCSSEAVTAQSLDITEFHAFAPHHAFYLKQGQVLFYRLKWRKFSNCNKLMPGEKLIKKIRSWSMPTRPSPSPSPSPSTKLGRERTDVPCQLLCPAPSPRFEPAAEPCFEPALETVPEPTPEPALEPAVEPTLEPAPEPVSELAPEPTPEPASETAPEPAPEPAPETAPEPAPETAPEPAPESAPETAPEPTPETYLETASESTAEPCPGPALEPCPEPIPEPSAESLLPPAPPPAPPPPPPPWPPPPEPSEAPQEGDQPPATGQAAAAGRDM
+>sp|Q53GQ0|DHB12_HUMAN Very-long-chain 3-oxoacyl-CoA reductase OS=Homo sapiens OX=9606 GN=HSD17B12 PE=1 SV=2
+MESALPAAGFLYWVGAGTVAYLALRISYSLFTALRVWGVGNEAGVGPGLGEWAVVTGSTDGIGKSYAEELAKHGMKVVLISRSKDKLDQVSSEIKEKFKVETRTIAVDFASEDIYDKIKTGLAGLEIGILVNNVGMSYEYPEYFLDVPDLDNVIKKMININILSVCKMTQLVLPGMVERSKGAILNISSGSGMLPVPLLTIYSATKTFVDFFSQCLHEEYRSKGVFVQSVLPYFVATKLAKIRKPTLDKPSPETFVKSAIKTVGLQSRTNGYLIHALMGSIISNLPSWIYLKIVMNMNKSTRAHYLKKTKKN
+>DECOY_sp|Q53GQ0|DHB12_HUMAN Very-long-chain 3-oxoacyl-CoA reductase OS=Homo sapiens OX=9606 GN=HSD17B12 PE=1 SV=2
+NKKTKKLYHARTSKNMNMVIKLYIWSPLNSIISGMLAHILYGNTRSQLGVTKIASKVFTEPSPKDLTPKRIKALKTAVFYPLVSQVFVGKSRYEEHLCQSFFDVFTKTASYITLLPVPLMGSGSSINLIAGKSREVMGPLVLQTMKCVSLININIMKKIVNDLDPVDLFYEPYEYSMGVNNVLIGIELGALGTKIKDYIDESAFDVAITRTEVKFKEKIESSVQDLKDKSRSILVVKMGHKALEEAYSKGIGDTSGTVVAWEGLGPGVGAENGVGWVRLATFLSYSIRLALYAVTGAGVWYLFGAAPLASEM
+>sp|P51659|DHB4_HUMAN Peroxisomal multifunctional enzyme type 2 OS=Homo sapiens OX=9606 GN=HSD17B4 PE=1 SV=3
+MGSPLRFDGRVVLVTGAGAGLGRAYALAFAERGALVVVNDLGGDFKGVGKGSLAADKVVEEIRRRGGKAVANYDSVEEGEKVVKTALDAFGRIDVVVNNAGILRDRSFARISDEDWDIIHRVHLRGSFQVTRAAWEHMKKQKYGRIIMTSSASGIYGNFGQANYSAAKLGLLGLANSLAIEGRKSNIHCNTIAPNAGSRMTQTVMPEDLVEALKPEYVAPLVLWLCHESCEENGGLFEVGAGWIGKLRWERTLGAIVRQKNHPMTPEAVKANWKKICDFENASKPQSIQESTGSIIEVLSKIDSEGGVSANHTSRATSTATSGFAGAIGQKLPPFSYAYTELEAIMYALGVGASIKDPKDLKFIYEGSSDFSCLPTFGVIIGQKSMMGGGLAEIPGLSINFAKVLHGEQYLELYKPLPRAGKLKCEAVVADVLDKGSGVVIIMDVYSYSEKELICHNQFSLFLVGSGGFGGKRTSDKVKVAVAIPNRPPDAVLTDTTSLNQAALYRLSGDWNPLHIDPNFASLAGFDKPILHGLCTFGFSARRVLQQFADNDVSRFKAIKARFAKPVYPGQTLQTEMWKEGNRIHFQTKVQETGDIVISNAYVDLAPTSGTSAKTPSEGGKLQSTFVFEEIGRRLKDIGPEVVKKVNAVFEWHITKGGNIGAKWTIDLKSGSGKVYQGPAKGAADTTIILSDEDFMEVVLGKLDPQKAFFSGRLKARGNIMLSQKLQMILKDYAKL
+>DECOY_sp|P51659|DHB4_HUMAN Peroxisomal multifunctional enzyme type 2 OS=Homo sapiens OX=9606 GN=HSD17B4 PE=1 SV=3
+LKAYDKLIMQLKQSLMINGRAKLRGSFFAKQPDLKGLVVEMFDEDSLIITTDAAGKAPGQYVKGSGSKLDITWKAGINGGKTIHWEFVANVKKVVEPGIDKLRRGIEEFVFTSQLKGGESPTKASTGSTPALDVYANSIVIDGTEQVKTQFHIRNGEKWMETQLTQGPYVPKAFRAKIAKFRSVDNDAFQQLVRRASFGFTCLGHLIPKDFGALSAFNPDIHLPNWDGSLRYLAAQNLSTTDTLVADPPRNPIAVAVKVKDSTRKGGFGGSGVLFLSFQNHCILEKESYSYVDMIIVVGSGKDLVDAVVAECKLKGARPLPKYLELYQEGHLVKAFNISLGPIEALGGGMMSKQGIIVGFTPLCSFDSSGEYIFKLDKPDKISAGVGLAYMIAELETYAYSFPPLKQGIAGAFGSTATSTARSTHNASVGGESDIKSLVEIISGTSEQISQPKSANEFDCIKKWNAKVAEPTMPHNKQRVIAGLTREWRLKGIWGAGVEFLGGNEECSEHCLWLVLPAVYEPKLAEVLDEPMVTQTMRSGANPAITNCHINSKRGEIALSNALGLLGLKAASYNAQGFNGYIGSASSTMIIRGYKQKKMHEWAARTVQFSGRLHVRHIIDWDEDSIRAFSRDRLIGANNVVVDIRGFADLATKVVKEGEEVSDYNAVAKGGRRRIEEVVKDAALSGKGVGKFDGGLDNVVVLAGREAFALAYARGLGAGAGTVLVVRGDFRLPSGM
+>sp|Q15392|DHC24_HUMAN Delta(24)-sterol reductase OS=Homo sapiens OX=9606 GN=DHCR24 PE=1 SV=2
+MEPAVSLAVCALLFLLWVRLKGLEFVLIHQRWVFVCLFLLPLSLIFDIYYYVRAWVVFKLSSAPRLHEQRVRDIQKQVREWKEQGSKTFMCTGRPGWLTVSLRVGKYKKTHKNIMINLMDILEVDTKKQIVRVEPLVTMGQVTALLTSIGWTLPVLPELDDLTVGGLIMGTGIESSSHKYGLFQHICTAYELVLADGSFVRCTPSENSDLFYAVPWSCGTLGFLVAAEIRIIPAKKYVKLRFEPVRGLEAICAKFTHESQRQENHFVEGLLYSLDEAVIMTGVMTDEAEPSKLNSIGNYYKPWFFKHVENYLKTNREGLEYIPLRHYYHRHTRSIFWELQDIIPFGNNPIFRYLFGWMVPPKISLLKLTQGETLRKLYEQHHVVQDMLVPMKCLQQALHTFQNDIHVYPIWLCPFILPSQPGLVHPKGNEAELYIDIGAYGEPRVKHFEARSCMRQLEKFVRSVHGFQMLYADCYMNREEFWEMFDGSLYHKLREKLGCQDAFPEVYDKICKAARH
+>DECOY_sp|Q15392|DHC24_HUMAN Delta(24)-sterol reductase OS=Homo sapiens OX=9606 GN=DHCR24 PE=1 SV=2
+HRAAKCIKDYVEPFADQCGLKERLKHYLSGDFMEWFEERNMYCDAYLMQFGHVSRVFKELQRMCSRAEFHKVRPEGYAGIDIYLEAENGKPHVLGPQSPLIFPCLWIPYVHIDNQFTHLAQQLCKMPVLMDQVVHHQEYLKRLTEGQTLKLLSIKPPVMWGFLYRFIPNNGFPIIDQLEWFISRTHRHYYHRLPIYELGERNTKLYNEVHKFFWPKYYNGISNLKSPEAEDTMVGTMIVAEDLSYLLGEVFHNEQRQSEHTFKACIAELGRVPEFRLKVYKKAPIIRIEAAVLFGLTGCSWPVAYFLDSNESPTCRVFSGDALVLEYATCIHQFLGYKHSSSEIGTGMILGGVTLDDLEPLVPLTWGISTLLATVQGMTVLPEVRVIQKKTDVELIDMLNIMINKHTKKYKGVRLSVTLWGPRGTCMFTKSGQEKWERVQKQIDRVRQEHLRPASSLKFVVWARVYYYIDFILSLPLLFLCVFVWRQHILVFELGKLRVWLLFLLACVALSVAPEM
+>sp|O43323|DHH_HUMAN Desert hedgehog protein OS=Homo sapiens OX=9606 GN=DHH PE=1 SV=1
+MALLTNLLPLCCLALLALPAQSCGPGRGPVGRRRYARKQLVPLLYKQFVPGVPERTLGASGPAEGRVARGSERFRDLVPNYNPDIIFKDEENSGADRLMTERCKERVNALAIAVMNMWPGVRLRVTEGWDEDGHHAQDSLHYEGRALDITTSDRDRNKYGLLARLAVEAGFDWVYYESRNHVHVSVKADNSLAVRAGGCFPGNATVRLWSGERKGLRELHRGDWVLAADASGRVVPTPVLLFLDRDLQRRASFVAVETEWPPRKLLLTPWHLVFAARGPAPAPGDFAPVFARRLRAGDSVLAPGGDALRPARVARVAREEAVGVFAPLTAHGTLLVNDVLASCYAVLESHQWAHRAFAPLRLLHALGALLPGGAVQPTGMHWYSRLLYRLAEELLG
+>DECOY_sp|O43323|DHH_HUMAN Desert hedgehog protein OS=Homo sapiens OX=9606 GN=DHH PE=1 SV=1
+GLLEEALRYLLRSYWHMGTPQVAGGPLLAGLAHLLRLPAFARHAWQHSELVAYCSALVDNVLLTGHATLPAFVGVAEERAVRAVRAPRLADGGPALVSDGARLRRAFVPAFDGPAPAPGRAAFVLHWPTLLLKRPPWETEVAVFSARRQLDRDLFLLVPTPVVRGSADAALVWDGRHLERLGKREGSWLRVTANGPFCGGARVALSNDAKVSVHVHNRSEYYVWDFGAEVALRALLGYKNRDRDSTTIDLARGEYHLSDQAHHGDEDWGETVRLRVGPWMNMVAIALANVREKCRETMLRDAGSNEEDKFIIDPNYNPVLDRFRESGRAVRGEAPGSAGLTREPVGPVFQKYLLPVLQKRAYRRRGVPGRGPGCSQAPLALLALCCLPLLNTLLAM
+>sp|Q7Z5J1|DHI1L_HUMAN Hydroxysteroid 11-beta-dehydrogenase 1-like protein OS=Homo sapiens OX=9606 GN=HSD11B1L PE=2 SV=1
+MKVLLLTGLGALFFAYYWDDNFDPASLQGARVLLTGANAGVGEELAYHYARLGSHLVLTAHTEALLQKVVGNCRKLGAPKVFYIAADMASPEAPESVVQFALDKLGGLDYLVLNHIGGAPAGTRARSPQATRWLMQVNFVSYVQLTSRALPSLTDSKGSLVVVSSLLGRVPTSFSTPYSAAKFALDGFFGSLRRELDVQDVNVAITMCVLGLRDRASAAEAVRSSTSRPRQPEHRGVPLQSQTAMFLPPTVPGARTLTETPLRGWPQPKMKSSRQKSKTEKNDGHLEPVTAWEVQVPRVRRLCRGLARPHLFGHD
+>DECOY_sp|Q7Z5J1|DHI1L_HUMAN Hydroxysteroid 11-beta-dehydrogenase 1-like protein OS=Homo sapiens OX=9606 GN=HSD11B1L PE=2 SV=1
+DHGFLHPRALGRCLRRVRPVQVEWATVPELHGDNKETKSKQRSSKMKPQPWGRLPTETLTRAGPVTPPLFMATQSQLPVGRHEPQRPRSTSSRVAEAASARDRLGLVCMTIAVNVDQVDLERRLSGFFGDLAFKAASYPTSFSTPVRGLLSSVVVLSGKSDTLSPLARSTLQVYSVFNVQMLWRTAQPSRARTGAPAGGIHNLVLYDLGGLKDLAFQVVSEPAEPSAMDAAIYFVKPAGLKRCNGVVKQLLAETHATLVLHSGLRAYHYALEEGVGANAGTLLVRAGQLSAPDFNDDWYYAFFLAGLGTLLLVKM
+>sp|P80365|DHI2_HUMAN Corticosteroid 11-beta-dehydrogenase isozyme 2 OS=Homo sapiens OX=9606 GN=HSD11B2 PE=1 SV=2
+MERWPWPSGGAWLLVAARALLQLLRSDLRLGRPLLAALALLAALDWLCQRLLPPPAALAVLAAAGWIALSRLARPQRLPVATRAVLITGCDSGFGKETAKKLDSMGFTVLATVLELNSPGAIELRTCCSPRLRLLQMDLTKPGDISRVLEFTKAHTTSTGLWGLVNNAGHNEVVADAELSPVATFRSCMEVNFFGALELTKGLLPLLRSSRGRIVTVGSPAGDMPYPCLGAYGTSKAAVALLMDTFSCELLPWGVKVSIIQPGCFKTESVRNVGQWEKRKQLLLANLPQELLQAYGKDYIEHLHGQFLHSLRLAMSDLTPVVDAITDALLAARPRRRYYPGQGLGLMYFIHYYLPEGLRRRFLQAFFISHCLPRALQPGQPGTTPPQDAAQDPNLSPGPSPAVAR
+>DECOY_sp|P80365|DHI2_HUMAN Corticosteroid 11-beta-dehydrogenase isozyme 2 OS=Homo sapiens OX=9606 GN=HSD11B2 PE=1 SV=2
+RAVAPSPGPSLNPDQAADQPPTTGPQGPQLARPLCHSIFFAQLFRRRLGEPLYYHIFYMLGLGQGPYYRRRPRAALLADTIADVVPTLDSMALRLSHLFQGHLHEIYDKGYAQLLEQPLNALLLQKRKEWQGVNRVSETKFCGPQIISVKVGWPLLECSFTDMLLAVAAKSTGYAGLCPYPMDGAPSGVTVIRGRSSRLLPLLGKTLELAGFFNVEMCSRFTAVPSLEADAVVENHGANNVLGWLGTSTTHAKTFELVRSIDGPKTLDMQLLRLRPSCCTRLEIAGPSNLELVTALVTFGMSDLKKATEKGFGSDCGTILVARTAVPLRQPRALRSLAIWGAAALVALAAPPPLLRQCLWDLAALLALAALLPRGLRLDSRLLQLLARAAVLLWAGGSPWPWREM
+>sp|Q8TF46|DI3L1_HUMAN DIS3-like exonuclease 1 OS=Homo sapiens OX=9606 GN=DIS3L PE=1 SV=2
+MLQKREKVLLLRTFQGRTLRIVREHYLRPCVPCHSPLCPQPAACSHDGKLLSSDVTHYVIPDWKVVQDYLEILEFPELKGIIFMQTACQAVQHQRGRRQYNKLRNLLKDARHDCILFANEFQQCCYLPRERGESMEKWQTRSIYNAAVWYYHHCQDRMPIVMVTEDEEAIQQYGSETEGVFVITFKNYLDNFWPDLKAAHELCDSILQSRRERENESQESHGKEYPEHLPLEVLEAGIKSGRYIQGILNVNKHRAQIEAFVRLQGASSKDSDLVSDILIHGMKARNRSIHGDVVVVELLPKNEWKGRTVALCENDCDDKASGESPSEPMPTGRVVGILQKNWRDYVVTFPSKEEVQSQGKNAQKILVTPWDYRIPKIRISTQQAETLQDFRVVVRIDSWESTSVYPNGHFVRVLGRIGDLEGEIATILVENSISVIPFSEAQMCEMPVNTPESPWKVSPEEEQKRKDLRKSHLVFSIDPKGCEDVDDTLSVRTLNNGNLELGVHIADVTHFVAPNSYIDIEARTRATTYYLADRRYDMLPSVLSADLCSLLGGVDRYAVSIMWELDKASYEIKKVWYGRTIIRSAYKLFYEAAQELLDGNLSVVDDIPEFKDLDEKSRQAKLEELVWAIGKLTDIARHVRAKRDGCGALELEGVEVCVQLDDKKNIHDLIPKQPLEVHETVAECMILANHWVAKKIWESFPHQALLRQHPPPHQEFFSELRECAKAKGFFIDTRSNKTLADSLDNANDPHDPIVNRLLRSMATQAMSNALYFSTGSCAEEEFHHYGLALDKYTHFTSPIRRYSDIVVHRLLMAAISKDKKMEIKGNLFSNKDLEELCRHINNRNQAAQHSQKQSTELFQCMYFKDKDPATEERCISDGVIYSIRTNGVLLFIPRFGIKGAAYLKNKDGLVISCGPDSCSEWKPGSLQRFQNKITSTTTDGESVTFHLFDHVTVRISIQASRCHSDTIRLEIISNKPYKIPNTELIHQSSPLLKSELVKEVTKSVEEAQLAQEVKVNIIQEEYQEYRQTKGRSLYTLLEEIRDLALLDVSNNYGI
+>DECOY_sp|Q8TF46|DI3L1_HUMAN DIS3-like exonuclease 1 OS=Homo sapiens OX=9606 GN=DIS3L PE=1 SV=2
+IGYNNSVDLLALDRIEELLTYLSRGKTQRYEQYEEQIINVKVEQALQAEEVSKTVEKVLESKLLPSSQHILETNPIKYPKNSIIELRITDSHCRSAQISIRVTVHDFLHFTVSEGDTTTSTIKNQFRQLSGPKWESCSDPGCSIVLGDKNKLYAAGKIGFRPIFLLVGNTRISYIVGDSICREETAPDKDKFYMCQFLETSQKQSHQAAQNRNNIHRCLEELDKNSFLNGKIEMKKDKSIAAMLLRHVVIDSYRRIPSTFHTYKDLALGYHHFEEEACSGTSFYLANSMAQTAMSRLLRNVIPDHPDNANDLSDALTKNSRTDIFFGKAKACERLESFFEQHPPPHQRLLAQHPFSEWIKKAVWHNALIMCEAVTEHVELPQKPILDHINKKDDLQVCVEVGELELAGCGDRKARVHRAIDTLKGIAWVLEELKAQRSKEDLDKFEPIDDVVSLNGDLLEQAAEYFLKYASRIITRGYWVKKIEYSAKDLEWMISVAYRDVGGLLSCLDASLVSPLMDYRRDALYYTTARTRAEIDIYSNPAVFHTVDAIHVGLELNGNNLTRVSLTDDVDECGKPDISFVLHSKRLDKRKQEEEPSVKWPSEPTNVPMECMQAESFPIVSISNEVLITAIEGELDGIRGLVRVFHGNPYVSTSEWSDIRVVVRFDQLTEAQQTSIRIKPIRYDWPTVLIKQANKGQSQVEEKSPFTVVYDRWNKQLIGVVRGTPMPESPSEGSAKDDCDNECLAVTRGKWENKPLLEVVVVDGHISRNRAKMGHILIDSVLDSDKSSAGQLRVFAEIQARHKNVNLIGQIYRGSKIGAELVELPLHEPYEKGHSEQSENERERRSQLISDCLEHAAKLDPWFNDLYNKFTIVFVGETESGYQQIAEEDETVMVIPMRDQCHHYYWVAANYISRTQWKEMSEGRERPLYCCQQFENAFLICDHRADKLLNRLKNYQRRGRQHQVAQCATQMFIIGKLEPFELIELYDQVVKWDPIVYHTVDSSLLKGDHSCAAPQPCLPSHCPVCPRLYHERVIRLTRGQFTRLLLVKERKQLM
+>sp|O60879|DIAP2_HUMAN Protein diaphanous homolog 2 OS=Homo sapiens OX=9606 GN=DIAPH2 PE=1 SV=1
+MEQPGAAASGAGGGSEEPGGGRSNKRSAGNRAANEEETKNKPKLNIQIKTLADDVRDRITSFRKSTVKKEKPLIQHPIDSQVAMSEFPAAQPLYDERSLNLSEKEVLDLFEKMMEDMNLNEEKKAPLRNKDFTTKREMVVQYISATAKSGGLKNSKHECTLSSQEYVHELRSGISDEKLLNCLESLRVSLTSNPVSWVNNFGHEGLGLLLDELEKLLDKKQQENIDKKNQYKLIQCLKAFMNNKFGLQRILGDERSLLLLARAIDPKQPNMMTEIVKILSAICIVGEENILDKLLGAITTAAERNNRERFSPIVEGLENQEALQLQVACMQFINALVTSPYELDFRIHLRNEFLRSGLKTMLPDLKEKENDELDIQLKVFDENKEDDLTELSHRLNDIRAEMDDMNEVYHLLYNMLKDTAAENYFLSILQHFLLIRNDYYIRPQYYKIIEECVSQIVLHCSGMDPDFKYRQRLDIDLTHLIDSCVNKAKVEESEQKAAEFSKKFDEEFTARQEAQAELQKRDEKIKELEAEIQQLRTQAQVLSSSSGIPGPPAAPPLPGVGPPPPPPAPPLPGGAPLPPPPPPLPGMMGIPPPPPPPLLFGGPPPPPPLGGVPPPPGISLNLPYGMKQKKMYKPEVSMKRINWSKIEPTELSENCFWLRVKEDKFENPDLFAKLALNFATQIKVQKNAEALEEKKTGPTKKKVKELRILDPKTAQNLSIFLGSYRMPYEDIRNVILEVNEDMLSEALIQNLVKHLPEQKILNELAELKNEYDDLCEPEQFGVVMSSVKMLQPRLSSILFKLTFEEHINNIKPSIIAVTLACEELKKSESFNRLLELVLLVGNYMNSGSRNAQSLGFKINFLCKIRDTKSADQKTTLLHFIADICEEKYRDILKFPEELEHVESASKVSAQILKSNLASMEQQIVHLERDIKKFPQAENQHDKFVEKMTSFTKTAREQYEKLSTMHNNMMKLYENLGEYFIFDSKTVSIEEFFGDLNNFRTLFLEAVRENNKRREMEEKTRRAKLAKEKAEQEKLERQKKKKQLIDINKEGDETGVMDNLLEALQSGAAFRDRRKRIPRNPDNRRVPLERSRSRHNGAISSK
+>DECOY_sp|O60879|DIAP2_HUMAN Protein diaphanous homolog 2 OS=Homo sapiens OX=9606 GN=DIAPH2 PE=1 SV=1
+KSSIAGNHRSRSRELPVRRNDPNRPIRKRRDRFAAGSQLAELLNDMVGTEDGEKNIDILQKKKKQRELKEQEAKEKALKARRTKEEMERRKNNERVAELFLTRFNNLDGFFEEISVTKSDFIFYEGLNEYLKMMNNHMTSLKEYQERATKTFSTMKEVFKDHQNEAQPFKKIDRELHVIQQEMSALNSKLIQASVKSASEVHELEEPFKLIDRYKEECIDAIFHLLTTKQDASKTDRIKCLFNIKFGLSQANRSGSNMYNGVLLVLELLRNFSESKKLEECALTVAIISPKINNIHEEFTLKFLISSLRPQLMKVSSMVVGFQEPECLDDYENKLEALENLIKQEPLHKVLNQILAESLMDENVELIVNRIDEYPMRYSGLFISLNQATKPDLIRLEKVKKKTPGTKKEELAEANKQVKIQTAFNLALKAFLDPNEFKDEKVRLWFCNESLETPEIKSWNIRKMSVEPKYMKKQKMGYPLNLSIGPPPPVGGLPPPPPPGGFLLPPPPPPPIGMMGPLPPPPPPLPAGGPLPPAPPPPPPGVGPLPPAAPPGPIGSSSSLVQAQTRLQQIEAELEKIKEDRKQLEAQAEQRATFEEDFKKSFEAAKQESEEVKAKNVCSDILHTLDIDLRQRYKFDPDMGSCHLVIQSVCEEIIKYYQPRIYYDNRILLFHQLISLFYNEAATDKLMNYLLHYVENMDDMEARIDNLRHSLETLDDEKNEDFVKLQIDLEDNEKEKLDPLMTKLGSRLFENRLHIRFDLEYPSTVLANIFQMCAVQLQLAEQNELGEVIPSFRERNNREAATTIAGLLKDLINEEGVICIASLIKVIETMMNPQKPDIARALLLLSREDGLIRQLGFKNNMFAKLCQILKYQNKKDINEQQKKDLLKELEDLLLGLGEHGFNNVWSVPNSTLSVRLSELCNLLKEDSIGSRLEHVYEQSSLTCEHKSNKLGGSKATASIYQVVMERKTTFDKNRLPAKKEENLNMDEMMKEFLDLVEKESLNLSREDYLPQAAPFESMAVQSDIPHQILPKEKKVTSKRFSTIRDRVDDALTKIQINLKPKNKTEEENAARNGASRKNSRGGGPEESGGGAGSAAAGPQEM
+>sp|Q9BTC0|DIDO1_HUMAN Death-inducer obliterator 1 OS=Homo sapiens OX=9606 GN=DIDO1 PE=1 SV=5
+MDDKGDPSNEEAPKAIKPTSKEFRKTWGFRRTTIAKREGAGDAEADPLEPPPPQQQLGLSLRRSGRQPKRTERVEQFLTIARRRGRRSMPVSLEDSGEPTSCPATDAETASEGSVESASETRSGPQSASTAVKERPASSEKVKGGDDHDDTSDSDSDGLTLKELQNRLRRKREQEPTERPLKGIQSRLRKKRREEGPAETVGSEASDTVEGVLPSKQEPENDQGVVSQAGKDDRESKLEGKAAQDIKDEEPGDLGRPKPECEGYDPNALYCICRQPHNNRFMICCDRCEEWFHGDCVGISEARGRLLERNGEDYICPNCTILQVQDETHSETADQQEAKWRPGDADGTDCTSIGTIEQKSSEDQGIKGRIEKAANPSGKKKLKIFQPVIEAPGASKCIGPGCCHVAQPDSVYCSNDCILKHAAATMKFLSSGKEQKPKPKEKMKMKPEKPSLPKCGAQAGIKISSVHKRPAPEKKETTVKKAVVVPARSEALGKEAACESSTPSWASDHNYNAVKPEKTAAPSPSLLYKSTKEDRRSEEKAAAMAASKKTAPPGSAVGKQPAPRNLVPKKSSFANVAAATPAIKKPPSGFKGTIPKRPWLSATPSSGASAARQAGPAPAAATAASKKFPGSAALVGAVRKPVVPSVPMASPAPGRLGAMSAAPSQPNSQIRQNIRRSLKEILWKRVNDSDDLIMTENEVGKIALHIEKEMFNLFQVTDNRYKSKYRSIMFNLKDPKNQGLFHRVLREEISLAKLVRLKPEELVSKELSTWKERPARSVMESRTKLHNESKKTAPRQEAIPDLEDSPPVSDSEEQQESARAVPEKSTAPLLDVFSSMLKDTTSQHRAHLFDLNCKICTGQVPSAEDEPAPKKQKLSASVKKEDLKSKHDSSAPDPAPDSADEVMPEAVPEVASEPGLESASHPNVDRTYFPGPPGDGHPEPSPLEDLSPCPASCGSGVVTTVTVSGRDPRTAPSSSCTAVASAASRPDSTHMVEARQDVPKPVLTSVMVPKSILAKPSSSPDPRYLSVPPSPNISTSESRSPPEGDTTLFLSRLSTIWKGFINMQSVAKFVTKAYPVSGCFDYLSEDLPDTIHIGGRIAPKTVWDYVGKLKSSVSKELCLIRFHPATEEEEVAYISLYSYFSSRGRFGVVANNNRHVKDLYLIPLSAQDPVPSKLLPFEGPGLESPRPNIILGLVICQKIKRPANSGELDKMDEKRTRLQPEEADVPAYPKVATVPQSEKKPSKYPLCSADAAVSTTPPGSPPPPPPLPEPPVLKVLSSLKPAAPSPATAATTAAAASTAASSTASSASKTASPLEHILQTLFGKKKSFDPSAREPPGSTAGLPQEPKTTAEDGVPAPPLLDPIVQQFGQFSKDKALEEEEDDRPYDPEEEYDPERAFDTQLVERGRRHEVERAPEAAAAEREEVAYDPEDETILEEAKVTVDDLPNRMCADVRRNSVERPAEPVAGAATPSLVEQQKMLEELNKQIEEQKRQLEEQEEALRQQRAAVGVSMAHFSVSDALMSPPPKSSLPKAELFQQEQQSADKPASLPPASQASNHRDPRQARRLATETGEGEGEPLSRLSARGAQGALPERDASRGGLVGQAPMPVPEEKEPASSPWASGEKPPAGSEQDGWKAEPGEGTRPATVGDSSARPARRVLLPTPPCGALQPGFPLQHDGERDPFTCPGFASQDKALGSAQYEDPRNLHSAGRSSSPAGETEGDREPQARPGEGTAPLPPPGQKVGGSQPPFQGQREPGPHALGMSGLHGPNFPGPRGPAPPFPEENIASNDGPRGPPPARFGAQKGPIPSLFSGQHGPPPYGDSRGPSPSYLGGPRGVAPSQFEERKDPHGEKREFQDAPYNEVTGAPAQFEGTEQAPFLGSRGGAPFQFGGQRRPLLSQLKGPRGGPPPSQFGGQRGPPPGHFVGPRGPHPSQFETARGPHPNQFEGPRGQAPNFMPGPRGIQPQQFEDQRVHSPPRFTNQRAPAPLQFGGLRGSAPFSEKNEQTPSRFHFQGQAPQVMKPGPRPLLELPSHPPQHRKDRWEEAGPPSALSSSAPGQGPEADGQWASADFREGKGHEYRNQTFEGRQRERFDVGPKEKPLEEPDAQGRASEDRRRERERGRNWSRERDWDRPREWDRHRDKDSSRDWDRNRERSANRDREREADRGKEWDRSRERSRNRERERDRRRDRDRSRSRERDRDKARDRERGRDRKDRSKSKESARDPKPEASRASDAGTASQA
+>DECOY_sp|Q9BTC0|DIDO1_HUMAN Death-inducer obliterator 1 OS=Homo sapiens OX=9606 GN=DIDO1 PE=1 SV=5
+AQSATGADSARSAEPKPDRASEKSKSRDKRDRGRERDRAKDRDRERSRSRDRDRRRDRERERNRSRERSRDWEKGRDAERERDRNASRERNRDWDRSSDKDRHRDWERPRDWDRERSWNRGRERERRRDESARGQADPEELPKEKPGVDFRERQRGEFTQNRYEHGKGERFDASAWQGDAEPGQGPASSSLASPPGAEEWRDKRHQPPHSPLELLPRPGPKMVQPAQGQFHFRSPTQENKESFPASGRLGGFQLPAPARQNTFRPPSHVRQDEFQQPQIGRPGPMFNPAQGRPGEFQNPHPGRATEFQSPHPGRPGVFHGPPPGRQGGFQSPPPGGRPGKLQSLLPRRQGGFQFPAGGRSGLFPAQETGEFQAPAGTVENYPADQFERKEGHPDKREEFQSPAVGRPGGLYSPSPGRSDGYPPPGHQGSFLSPIPGKQAGFRAPPPGRPGDNSAINEEPFPPAPGRPGPFNPGHLGSMGLAHPGPERQGQFPPQSGGVKQGPPPLPATGEGPRAQPERDGETEGAPSSSRGASHLNRPDEYQASGLAKDQSAFGPCTFPDREGDHQLPFGPQLAGCPPTPLLVRRAPRASSDGVTAPRTGEGPEAKWGDQESGAPPKEGSAWPSSAPEKEEPVPMPAQGVLGGRSADREPLAGQAGRASLRSLPEGEGEGTETALRRAQRPDRHNSAQSAPPLSAPKDASQQEQQFLEAKPLSSKPPPSMLADSVSFHAMSVGVAARQQRLAEEQEELQRKQEEIQKNLEELMKQQEVLSPTAAGAVPEAPREVSNRRVDACMRNPLDDVTVKAEELITEDEPDYAVEEREAAAAEPAREVEHRRGREVLQTDFAREPDYEEEPDYPRDDEEEELAKDKSFQGFQQVIPDLLPPAPVGDEATTKPEQPLGATSGPPERASPDFSKKKGFLTQLIHELPSATKSASSATSSAATSAAAATTAATAPSPAAPKLSSLVKLVPPEPLPPPPPPSGPPTTSVAADASCLPYKSPKKESQPVTAVKPYAPVDAEEPQLRTRKEDMKDLEGSNAPRKIKQCIVLGLIINPRPSELGPGEFPLLKSPVPDQASLPILYLDKVHRNNNAVVGFRGRSSFYSYLSIYAVEEEETAPHFRILCLEKSVSSKLKGVYDWVTKPAIRGGIHITDPLDESLYDFCGSVPYAKTVFKAVSQMNIFGKWITSLRSLFLTTDGEPPSRSESTSINPSPPVSLYRPDPSSSPKALISKPVMVSTLVPKPVDQRAEVMHTSDPRSAASAVATCSSSPATRPDRGSVTVTTVVGSGCSAPCPSLDELPSPEPHGDGPPGPFYTRDVNPHSASELGPESAVEPVAEPMVEDASDPAPDPASSDHKSKLDEKKVSASLKQKKPAPEDEASPVQGTCIKCNLDFLHARHQSTTDKLMSSFVDLLPATSKEPVARASEQQEESDSVPPSDELDPIAEQRPATKKSENHLKTRSEMVSRAPREKWTSLEKSVLEEPKLRVLKALSIEERLVRHFLGQNKPDKLNFMISRYKSKYRNDTVQFLNFMEKEIHLAIKGVENETMILDDSDNVRKWLIEKLSRRINQRIQSNPQSPAASMAGLRGPAPSAMPVSPVVPKRVAGVLAASGPFKKSAATAAAPAPGAQRAASAGSSPTASLWPRKPITGKFGSPPKKIAPTAAAVNAFSSKKPVLNRPAPQKGVASGPPATKKSAAMAAAKEESRRDEKTSKYLLSPSPAATKEPKVANYNHDSAWSPTSSECAAEKGLAESRAPVVVAKKVTTEKKEPAPRKHVSSIKIGAQAGCKPLSPKEPKMKMKEKPKPKQEKGSSLFKMTAAAHKLICDNSCYVSDPQAVHCCGPGICKSAGPAEIVPQFIKLKKKGSPNAAKEIRGKIGQDESSKQEITGISTCDTGDADGPRWKAEQQDATESHTEDQVQLITCNPCIYDEGNRELLRGRAESIGVCDGHFWEECRDCCIMFRNNHPQRCICYLANPDYGECEPKPRGLDGPEEDKIDQAAKGELKSERDDKGAQSVVGQDNEPEQKSPLVGEVTDSAESGVTEAPGEERRKKRLRSQIGKLPRETPEQERKRRLRNQLEKLTLGDSDSDSTDDHDDGGKVKESSAPREKVATSASQPGSRTESASEVSGESATEADTAPCSTPEGSDELSVPMSRRGRRRAITLFQEVRETRKPQRGSRRLSLGLQQQPPPPELPDAEADGAGERKAITTRRFGWTKRFEKSTPKIAKPAEENSPDGKDDM
+>sp|O95057|DIRA1_HUMAN GTP-binding protein Di-Ras1 OS=Homo sapiens OX=9606 GN=DIRAS1 PE=1 SV=1
+MPEQSNDYRVVVFGAGGVGKSSLVLRFVKGTFRDTYIPTIEDTYRQVISCDKSVCTLQITDTTGSHQFPAMQRLSISKGHAFILVFSVTSKQSLEELGPIYKLIVQIKGSVEDIPVMLVGNKCDETQREVDTREAQAVAQEWKCAFMETSAKMNYNVKELFQELLTLETRRNMSLNIDGKRSGKQKRTDRVKGKCTLM
+>DECOY_sp|O95057|DIRA1_HUMAN GTP-binding protein Di-Ras1 OS=Homo sapiens OX=9606 GN=DIRAS1 PE=1 SV=1
+MLTCKGKVRDTRKQKGSRKGDINLSMNRRTELTLLEQFLEKVNYNMKASTEMFACKWEQAVAQAERTDVERQTEDCKNGVLMVPIDEVSGKIQVILKYIPGLEELSQKSTVSFVLIFAHGKSISLRQMAPFQHSGTTDTIQLTCVSKDCSIVQRYTDEITPIYTDRFTGKVFRLVLSSKGVGGAGFVVVRYDNSQEPM
+>sp|Q8TBM8|DJB14_HUMAN DnaJ homolog subfamily B member 14 OS=Homo sapiens OX=9606 GN=DNAJB14 PE=1 SV=1
+MEGNRDEAEKCVEIAREALNAGNREKAQRFLQKAEKLYPLPSARALLEIIMKNGSTAGNSPHCRKPSGSGDQSKPNCTKDSTSGSGEGGKGYTKDQVDGVLSINKCKNYYEVLGVTKDAGDEDLKKAYRKLALKFHPDKNHAPGATDAFKKIGNAYAVLSNPEKRKQYDLTGNEEQACNHQNNGRFNFHRGCEADITPEDLFNIFFGGGFPSGSVHSFSNGRAGYSQQHQHRHSGHEREEERGDGGFSVFIQLMPIIVLILVSLLSQLMVSNPPYSLYPRSGTGQTIKMQTENLGVVYYVNKDFKNEYKGMLLQKVEKSVEEDYVTNIRNNCWKERQQKTDMQYAAKVYRDDRLRRKADALSMDNCKELERLTSLYKGG
+>DECOY_sp|Q8TBM8|DJB14_HUMAN DnaJ homolog subfamily B member 14 OS=Homo sapiens OX=9606 GN=DNAJB14 PE=1 SV=1
+GGKYLSTLRELEKCNDMSLADAKRRLRDDRYVKAAYQMDTKQQREKWCNNRINTVYDEEVSKEVKQLLMGKYENKFDKNVYYVVGLNETQMKITQGTGSRPYLSYPPNSVMLQSLLSVLILVIIPMLQIFVSFGGDGREEEREHGSHRHQHQQSYGARGNSFSHVSGSPFGGGFFINFLDEPTIDAECGRHFNFRGNNQHNCAQEENGTLDYQKRKEPNSLVAYANGIKKFADTAGPAHNKDPHFKLALKRYAKKLDEDGADKTVGLVEYYNKCKNISLVGDVQDKTYGKGGEGSGSTSDKTCNPKSQDGSGSPKRCHPSNGATSGNKMIIELLARASPLPYLKEAKQLFRQAKERNGANLAERAIEVCKEAEDRNGEM
+>sp|Q9NVM6|DJC17_HUMAN DnaJ homolog subfamily C member 17 OS=Homo sapiens OX=9606 GN=DNAJC17 PE=1 SV=1
+MAVTKELLQMDLYALLGIEEKAADKEVKKAYRQKALSCHPDKNPDNPRAAELFHQLSQALEVLTDAAARAAYDKVRKAKKQAAERTQKLDEKRKKVKLDLEARERQAQAQESEEEEESRSTRTLEQEIERLREEGSRQLEEQQRLIREQIRQERDQRLRGKAENTEGQGTPKLKLKWKCKKEDESKGGYSKDVLLRLLQKYGEVLNLVLSSKKPGTAVVEFATVKAAELAVQNEVGLVDNPLKISWLEGQPQDAVGRSHSGLSKGSVLSERDYESLVMMRMRQAAERQQLIARMQQEDQEGPPT
+>DECOY_sp|Q9NVM6|DJC17_HUMAN DnaJ homolog subfamily C member 17 OS=Homo sapiens OX=9606 GN=DNAJC17 PE=1 SV=1
+TPPGEQDEQQMRAILQQREAAQRMRMMVLSEYDRESLVSGKSLGSHSRGVADQPQGELWSIKLPNDVLGVENQVALEAAKVTAFEVVATGPKKSSLVLNLVEGYKQLLRLLVDKSYGGKSEDEKKCKWKLKLKPTGQGETNEAKGRLRQDREQRIQERILRQQEELQRSGEERLREIEQELTRTSRSEEEEESEQAQAQRERAELDLKVKKRKEDLKQTREAAQKKAKRVKDYAARAAADTLVELAQSLQHFLEAARPNDPNKDPHCSLAKQRYAKKVEKDAAKEEIGLLAYLDMQLLEKTVAM
+>sp|Q15700|DLG2_HUMAN Disks large homolog 2 OS=Homo sapiens OX=9606 GN=DLG2 PE=1 SV=3
+MFFACYCALRTNVKKYRYQDEDAPHDHSLPRLTHEVRGPELVHVSEKNLSQIENVHGYVLQSHISPLKASPAPIIVNTDTLDTIPYVNGTEIEYEFEEITLERGNSGLGFSIAGGTDNPHIGDDPGIFITKIIPGGAAAEDGRLRVNDCILRVNEVDVSEVSHSKAVEALKEAGSIVRLYVRRRRPILETVVEIKLFKGPKGLGFSIAGGVGNQHIPGDNSIYVTKIIDGGAAQKDGRLQVGDRLLMVNNYSLEEVTHEEAVAILKNTSEVVYLKVGKPTTIYMTDPYGPPDITHSYSPPMENHLLSGNNGTLEYKTSLPPISPGRYSPIPKHMLVDDDYTRPPEPVYSTVNKLCDKPASPRHYSPVECDKSFLLSAPYSHYHLGLLPDSEMTSHSQHSTATRQPSMTLQRAVSLEGEPRKVVLHKGSTGLGFNIVGGEDGEGIFVSFILAGGPADLSGELQRGDQILSVNGIDLRGASHEQAAAALKGAGQTVTIIAQYQPEDYARFEAKIHDLREQMMNHSMSSGSGSLRTNQKRSLYVRAMFDYDKSKDSGLPSQGLSFKYGDILHVINASDDEWWQARRVMLEGDSEEMGVIPSKRRVERKERARLKTVKFNAKPGVIDSKGSFNDKRKKSFIFSRKFPFYKNKEQSEQETSDPERGQEDLILSYEPVTRQEINYTRPVIILGPMKDRINDDLISEFPDKFGSCVPHTTRPKRDYEVDGRDYHFVISREQMEKDIQEHKFIEAGQYNDNLYGTSVQSVRFVAERGKHCILDVSGNAIKRLQVAQLYPIAIFIKPRSLEPLMEMNKRLTEEQAKKTYDRAIKLEQEFGEYFTAIVQGDTLEDIYNQCKLVIEEQSGPFIWIPSKEKL
+>DECOY_sp|Q15700|DLG2_HUMAN Disks large homolog 2 OS=Homo sapiens OX=9606 GN=DLG2 PE=1 SV=3
+LKEKSPIWIFPGSQEEIVLKCQNYIDELTDGQVIATFYEGFEQELKIARDYTKKAQEETLRKNMEMLPELSRPKIFIAIPYLQAVQLRKIANGSVDLICHKGREAVFRVSQVSTGYLNDNYQGAEIFKHEQIDKEMQERSIVFHYDRGDVEYDRKPRTTHPVCSGFKDPFESILDDNIRDKMPGLIIVPRTYNIEQRTVPEYSLILDEQGREPDSTEQESQEKNKYFPFKRSFIFSKKRKDNFSGKSDIVGPKANFKVTKLRAREKREVRRKSPIVGMEESDGELMVRRAQWWEDDSANIVHLIDGYKFSLGQSPLGSDKSKDYDFMARVYLSRKQNTRLSGSGSSMSHNMMQERLDHIKAEFRAYDEPQYQAIITVTQGAGKLAAAAQEHSAGRLDIGNVSLIQDGRQLEGSLDAPGGALIFSVFIGEGDEGGVINFGLGTSGKHLVVKRPEGELSVARQLTMSPQRTATSHQSHSTMESDPLLGLHYHSYPASLLFSKDCEVPSYHRPSAPKDCLKNVTSYVPEPPRTYDDDVLMHKPIPSYRGPSIPPLSTKYELTGNNGSLLHNEMPPSYSHTIDPPGYPDTMYITTPKGVKLYVVESTNKLIAVAEEHTVEELSYNNVMLLRDGVQLRGDKQAAGGDIIKTVYISNDGPIHQNGVGGAISFGLGKPGKFLKIEVVTELIPRRRRVYLRVISGAEKLAEVAKSHSVESVDVENVRLICDNVRLRGDEAAAGGPIIKTIFIGPDDGIHPNDTGGAISFGLGSNGRELTIEEFEYEIETGNVYPITDLTDTNVIIPAPSAKLPSIHSQLVYGHVNEIQSLNKESVHVLEPGRVEHTLRPLSHDHPADEDQYRYKKVNTRLACYCAFFM
+>sp|P80370|DLK1_HUMAN Protein delta homolog 1 OS=Homo sapiens OX=9606 GN=DLK1 PE=1 SV=3
+MTATEALLRVLLLLLAFGHSTYGAECFPACNPQNGFCEDDNVCRCQPGWQGPLCDQCVTSPGCLHGLCGEPGQCICTDGWDGELCDRDVRACSSAPCANNRTCVSLDDGLYECSCAPGYSGKDCQKKDGPCVINGSPCQHGGTCVDDEGRASHASCLCPPGFSGNFCEIVANSCTPNPCENDGVCTDIGGDFRCRCPAGFIDKTCSRPVTNCASSPCQNGGTCLQHTQVSYECLCKPEFTGLTCVKKRALSPQQVTRLPSGYGLAYRLTPGVHELPVQQPEHRILKVSMKELNKKTPLLTEGQAICFTILGVLTSLVVLGTVGIVFLNKCETWVSNLRYNHMLRKKKNLLLQYNSGEDLAVNIIFPEKIDMTTFSKEAGDEEI
+>DECOY_sp|P80370|DLK1_HUMAN Protein delta homolog 1 OS=Homo sapiens OX=9606 GN=DLK1 PE=1 SV=3
+IEEDGAEKSFTTMDIKEPFIINVALDEGSNYQLLLNKKKRLMHNYRLNSVWTECKNLFVIGVTGLVVLSTLVGLITFCIAQGETLLPTKKNLEKMSVKLIRHEPQQVPLEHVGPTLRYALGYGSPLRTVQQPSLARKKVCTLGTFEPKCLCEYSVQTHQLCTGGNQCPSSACNTVPRSCTKDIFGAPCRCRFDGGIDTCVGDNECPNPTCSNAVIECFNGSFGPPCLCSAHSARGEDDVCTGGHQCPSGNIVCPGDKKQCDKGSYGPACSCEYLGDDLSVCTRNNACPASSCARVDRDCLEGDWGDTCICQGPEGCLGHLCGPSTVCQDCLPGQWGPQCRCVNDDECFGNQPNCAPFCEAGYTSHGFALLLLLVRLLAETATM
+>sp|O00548|DLL1_HUMAN Delta-like protein 1 OS=Homo sapiens OX=9606 GN=DLL1 PE=1 SV=2
+MGSRCALALAVLSALLCQVWSSGVFELKLQEFVNKKGLLGNRNCCRGGAGPPPCACRTFFRVCLKHYQASVSPEPPCTYGSAVTPVLGVDSFSLPDGGGADSAFSNPIRFPFGFTWPGTFSLIIEALHTDSPDDLATENPERLISRLATQRHLTVGEEWSQDLHSSGRTDLKYSYRFVCDEHYYGEGCSVFCRPRDDAFGHFTCGERGEKVCNPGWKGPYCTEPICLPGCDEQHGFCDKPGECKCRVGWQGRYCDECIRYPGCLHGTCQQPWQCNCQEGWGGLFCNQDLNYCTHHKPCKNGATCTNTGQGSYTCSCRPGYTGATCELGIDECDPSPCKNGGSCTDLENSYSCTCPPGFYGKICELSAMTCADGPCFNGGRCSDSPDGGYSCRCPVGYSGFNCEKKIDYCSSSPCSNGAKCVDLGDAYLCRCQAGFSGRHCDDNVDDCASSPCANGGTCRDGVNDFSCTCPPGYTGRNCSAPVSRCEHAPCHNGATCHERGHRYVCECARGYGGPNCQFLLPELPPGPAVVDLTEKLEGQGGPFPWVAVCAGVILVLMLLLGCAAVVVCVRLRLQKHRPPADPCRGETETMNNLANCQREKDISVSIIGATQIKNTNKKADFHGDHSADKNGFKARYPAVDYNLVQDLKGDDTAVRDAHSKRDTKCQPQGSSGEEKGTPTTLRGGEASERKRPDSGCSTSKDTKYQSVYVISEEKDECVIATEV
+>DECOY_sp|O00548|DLL1_HUMAN Delta-like protein 1 OS=Homo sapiens OX=9606 GN=DLL1 PE=1 SV=2
+VETAIVCEDKEESIVYVSQYKTDKSTSCGSDPRKRESAEGGRLTTPTGKEEGSSGQPQCKTDRKSHADRVATDDGKLDQVLNYDVAPYRAKFGNKDASHDGHFDAKKNTNKIQTAGIISVSIDKERQCNALNNMTETEGRCPDAPPRHKQLRLRVCVVVAACGLLLMLVLIVGACVAVWPFPGGQGELKETLDVVAPGPPLEPLLFQCNPGGYGRACECVYRHGREHCTAGNHCPAHECRSVPASCNRGTYGPPCTCSFDNVGDRCTGGNACPSSACDDVNDDCHRGSFGAQCRCLYADGLDVCKAGNSCPSSSCYDIKKECNFGSYGVPCRCSYGGDPSDSCRGGNFCPGDACTMASLECIKGYFGPPCTCSYSNELDTCSGGNKCPSPDCEDIGLECTAGTYGPRCSCTYSGQGTNTCTAGNKCPKHHTCYNLDQNCFLGGWGEQCNCQWPQQCTGHLCGPYRICEDCYRGQWGVRCKCEGPKDCFGHQEDCGPLCIPETCYPGKWGPNCVKEGREGCTFHGFADDRPRCFVSCGEGYYHEDCVFRYSYKLDTRGSSHLDQSWEEGVTLHRQTALRSILREPNETALDDPSDTHLAEIILSFTGPWTFGFPFRIPNSFASDAGGGDPLSFSDVGLVPTVASGYTCPPEPSVSAQYHKLCVRFFTRCACPPPGAGGRCCNRNGLLGKKNVFEQLKLEFVGSSWVQCLLASLVALALACRSGM
+>sp|Q9NR61|DLL4_HUMAN Delta-like protein 4 OS=Homo sapiens OX=9606 GN=DLL4 PE=1 SV=1
+MAAASRSASGWALLLLVALWQQRAAGSGVFQLQLQEFINERGVLASGRPCEPGCRTFFRVCLKHFQAVVSPGPCTFGTVSTPVLGTNSFAVRDDSSGGGRNPLQLPFNFTWPGTFSLIIEAWHAPGDDLRPEALPPDALISKIAIQGSLAVGQNWLLDEQTSTLTRLRYSYRVICSDNYYGDNCSRLCKKRNDHFGHYVCQPDGNLSCLPGWTGEYCQQPICLSGCHEQNGYCSKPAECLCRPGWQGRLCNECIPHNGCRHGTCSTPWQCTCDEGWGGLFCDQDLNYCTHHSPCKNGATCSNSGQRSYTCTCRPGYTGVDCELELSECDSNPCRNGGSCKDQEDGYHCLCPPGYYGLHCEHSTLSCADSPCFNGGSCRERNQGANYACECPPNFTGSNCEKKVDRCTSNPCANGGQCLNRGPSRMCRCRPGFTGTYCELHVSDCARNPCAHGGTCHDLENGLMCTCPAGFSGRRCEVRTSIDACASSPCFNRATCYTDLSTDTFVCNCPYGFVGSRCEFPVGLPPSFPWVAVSLGVGLAVLLVLLGMVAVAVRQLRLRRPDDGSREAMNNLSDFQKDNLIPAAQLKNTNQKKELEVDCGLDKSNCGKQQNHTLDYNLAPGPLGRGTMPGKFPHSDKSLGEKAPLRLHSEKPECRISAICSPRDSMYQSVCLISEERNECVIATEV
+>DECOY_sp|Q9NR61|DLL4_HUMAN Delta-like protein 4 OS=Homo sapiens OX=9606 GN=DLL4 PE=1 SV=1
+VETAIVCENREESILCVSQYMSDRPSCIASIRCEPKESHLRLPAKEGLSKDSHPFKGPMTGRGLPGPALNYDLTHNQQKGCNSKDLGCDVELEKKQNTNKLQAAPILNDKQFDSLNNMAERSGDDPRRLRLQRVAVAVMGLLVLLVALGVGLSVAVWPFSPPLGVPFECRSGVFGYPCNCVFTDTSLDTYCTARNFCPSSACADISTRVECRRGSFGAPCTCMLGNELDHCTGGHACPNRACDSVHLECYTGTFGPRCRCMRSPGRNLCQGGNACPNSTCRDVKKECNSGTFNPPCECAYNAGQNRERCSGGNFCPSDACSLTSHECHLGYYGPPCLCHYGDEQDKCSGGNRCPNSDCESLELECDVGTYGPRCTCTYSRQGSNSCTAGNKCPSHHTCYNLDQDCFLGGWGEDCTCQWPTSCTGHRCGNHPICENCLRGQWGPRCLCEAPKSCYGNQEHCGSLCIPQQCYEGTWGPLCSLNGDPQCVYHGFHDNRKKCLRSCNDGYYNDSCIVRYSYRLRTLTSTQEDLLWNQGVALSGQIAIKSILADPPLAEPRLDDGPAHWAEIILSFTGPWTFNFPLQLPNRGGGSSDDRVAFSNTGLVPTSVTGFTCPGPSVVAQFHKLCVRFFTRCGPECPRGSALVGRENIFEQLQLQFVGSGAARQQWLAVLLLLAWGSASRSAAAM
+>sp|Q86YH6|DLP1_HUMAN Decaprenyl-diphosphate synthase subunit 2 OS=Homo sapiens OX=9606 GN=PDSS2 PE=1 SV=2
+MNFRQLLLHLPRYLGASGSPRRLWWSPSLDTISSVGSWRGRSSKSPAHWNQVVSEAEKIVGYPTSFMSLRCLLSDELSNIAMQVRKLVGTQHPLLTTARGLVHDSWNSLQLRGLVVLLISKAAGPSSVNTSCQNYDMVSGIYSCQRSLAEITELIHIALLVHRGIVNLNELQSSDGPLKDMQFGNKIAILSGDFLLANACNGLALLQNTKVVELLASALMDLVQGVYHENSTSKESYITDDIGISTWKEQTFLSHGALLAKSCQAAMELAKHDAEVQNMAFQYGKHMAMSHKINSDVQPFIKEKTSDSMTFNLNSAPVVLHQEFLGRDLWIKQIGEAQEKGRLDYAKLRERIKAGKGVTSAIDLCRYHGNKALEALESFPPSEARSALENIVFAVTRFS
+>DECOY_sp|Q86YH6|DLP1_HUMAN Decaprenyl-diphosphate synthase subunit 2 OS=Homo sapiens OX=9606 GN=PDSS2 PE=1 SV=2
+SFRTVAFVINELASRAESPPFSELAELAKNGHYRCLDIASTVGKGAKIRERLKAYDLRGKEQAEGIQKIWLDRGLFEQHLVVPASNLNFTMSDSTKEKIFPQVDSNIKHSMAMHKGYQFAMNQVEADHKALEMAAQCSKALLAGHSLFTQEKWTSIGIDDTIYSEKSTSNEHYVGQVLDMLASALLEVVKTNQLLALGNCANALLFDGSLIAIKNGFQMDKLPGDSSQLENLNVIGRHVLLAIHILETIEALSRQCSYIGSVMDYNQCSTNVSSPGAAKSILLVVLGRLQLSNWSDHVLGRATTLLPHQTGVLKRVQMAINSLEDSLLCRLSMFSTPYGVIKEAESVVQNWHAPSKSSRGRWSGVSSITDLSPSWWLRRPSGSAGLYRPLHLLLQRFNM
+>sp|P28067|DMA_HUMAN HLA class II histocompatibility antigen, DM alpha chain OS=Homo sapiens OX=9606 GN=HLA-DMA PE=1 SV=1
+MGHEQNQGAALLQMLPLLWLLPHSWAVPEAPTPMWPDDLQNHTFLHTVYCQDGSPSVGLSEAYDEDQLFFFDFSQNTRVPRLPEFADWAQEQGDAPAILFDKEFCEWMIQQIGPKLDGKIPVSRGFPIAEVFTLKPLEFGKPNTLVCFVSNLFPPMLTVNWHDHSVPVEGFGPTFVSAVDGLSFQAFSYLNFTPEPSDIFSCIVTHEIDRYTAIAYWVPRNALPSDLLENVLCGVAFGLGVLGIIVGIVLIIYFRKPCSGD
+>DECOY_sp|P28067|DMA_HUMAN HLA class II histocompatibility antigen, DM alpha chain OS=Homo sapiens OX=9606 GN=HLA-DMA PE=1 SV=1
+DGSCPKRFYIILVIGVIIGLVGLGFAVGCLVNELLDSPLANRPVWYAIATYRDIEHTVICSFIDSPEPTFNLYSFAQFSLGDVASVFTPGFGEVPVSHDHWNVTLMPPFLNSVFCVLTNPKGFELPKLTFVEAIPFGRSVPIKGDLKPGIQQIMWECFEKDFLIAPADGQEQAWDAFEPLRPVRTNQSFDFFFLQDEDYAESLGVSPSGDQCYVTHLFTHNQLDDPWMPTPAEPVAWSHPLLWLLPLMQLLAAGQNQEHGM
+>sp|Q8NFW5|DMBX1_HUMAN Diencephalon/mesencephalon homeobox protein 1 OS=Homo sapiens OX=9606 GN=DMBX1 PE=1 SV=1
+MQHYGVNGYSLHAMNSLSAMYNLHQQAAQQAQHAPDYRPSVHALTLAERLAGCTFQDIILEARYGSQHRKQRRSRTAFTAQQLEALEKTFQKTHYPDVVMRERLAMCTNLPEARVQVWFKNRRAKFRKKQRSLQKEQLQKQKEAEGSHGEGKAEAPTPDTQLDTEQPPRLPGSDPPAELHLSLSEQSASESAPEDQPDREEDPRAGAEDPKAEKSPGADSKGLGCKRGSPKADSPGSLTITPVAPGGGLLGPSHSYSSSPLSLFRLQEQFRQHMAATNNLVHYSSFEVGGPAPAAAAAAAAVPYLGVNMAPLGSLHCQSYYQSLSAAAAAHQGVWGSPLLPAPPAGLAPASATLNSKTTSIENLRLRAKQHAASLGLDTLPN
+>DECOY_sp|Q8NFW5|DMBX1_HUMAN Diencephalon/mesencephalon homeobox protein 1 OS=Homo sapiens OX=9606 GN=DMBX1 PE=1 SV=1
+NPLTDLGLSAAHQKARLRLNEISTTKSNLTASAPALGAPPAPLLPSGWVGQHAAAAASLSQYYSQCHLSGLPAMNVGLYPVAAAAAAAAPAPGGVEFSSYHVLNNTAAMHQRFQEQLRFLSLPSSSYSHSPGLLGGGPAVPTITLSGPSDAKPSGRKCGLGKSDAGPSKEAKPDEAGARPDEERDPQDEPASESASQESLSLHLEAPPDSGPLRPPQETDLQTDPTPAEAKGEGHSGEAEKQKQLQEKQLSRQKKRFKARRNKFWVQVRAEPLNTCMALRERMVVDPYHTKQFTKELAELQQATFATRSRRQKRHQSGYRAELIIDQFTCGALREALTLAHVSPRYDPAHQAQQAAQQHLNYMASLSNMAHLSYGNVGYHQM
+>sp|Q9BWK5|CYREN_HUMAN Cell cycle regulator of non-homologous end joining OS=Homo sapiens OX=9606 GN=CYREN PE=1 SV=2
+METLQSETKTRVLPSWLTAQVATKNVAPMKAPKRMRMAAVPVAAARLPATRTVYCMNEAEIVDVALGILIESRKQEKACEQPALAGADNPEHSPPCSVSPHTSSGSSSEEEDSGKQALAPGLSPSQRPGGSSSACSRSPEEEEEEDVLKYVREIFFS
+>DECOY_sp|Q9BWK5|CYREN_HUMAN Cell cycle regulator of non-homologous end joining OS=Homo sapiens OX=9606 GN=CYREN PE=1 SV=2
+SFFIERVYKLVDEEEEEEPSRSCASSSGGPRQSPSLGPALAQKGSDEEESSSGSSTHPSVSCPPSHEPNDAGALAPQECAKEQKRSEILIGLAVDVIEAENMCYVTRTAPLRAAAVPVAAMRMRKPAKMPAVNKTAVQATLWSPLVRTKTESQLTEM
+>sp|P01040|CYTA_HUMAN Cystatin-A OS=Homo sapiens OX=9606 GN=CSTA PE=1 SV=1
+MIPGGLSEAKPATPEIQEIVDKVKPQLEEKTNETYGKLEAVQYKTQVVAGTNYYIKVRAGDNKYMHLKVFKSLPGQNEDLVLTGYQVDKNKDDELTGF
+>DECOY_sp|P01040|CYTA_HUMAN Cystatin-A OS=Homo sapiens OX=9606 GN=CSTA PE=1 SV=1
+FGTLEDDKNKDVQYGTLVLDENQGPLSKFVKLHMYKNDGARVKIYYNTGAVVQTKYQVAELKGYTENTKEELQPKVKDVIEQIEPTAPKAESLGGPIM
+>sp|Q5VWQ8|DAB2P_HUMAN Disabled homolog 2-interacting protein OS=Homo sapiens OX=9606 GN=DAB2IP PE=1 SV=2
+MSAGGSARKSTGRSSYYYRLLRRPRLQRQRSRSRSRTRPARESPQERPGSRRSLPGSLSEKSPSMEPSAATPFRVTGFLSRRLKGSIKRTKSQPKLDRNHSFRHILPGFRSAAAAAADNERSHLMPRLKESRSHESLLSPSSAVEALDLSMEEEVVIKPVHSSILGQDYCFEVTTSSGSKCFSCRSAAERDKWMENLRRAVHPNKDNSRRVEHILKLWVIEAKDLPAKKKYLCELCLDDVLYARTTGKLKTDNVFWGEHFEFHNLPPLRTVTVHLYRETDKKKKKERNSYLGLVSLPAASVAGRQFVEKWYPVVTPNPKGGKGPGPMIRIKARYQTITILPMEMYKEFAEHITNHYLGLCAALEPILSAKTKEEMASALVHILQSTGKVKDFLTDLMMSEVDRCGDNEHLIFRENTLATKAIEEYLKLVGQKYLQDALGEFIKALYESDENCEVDPSKCSAADLPEHQGNLKMCCELAFCKIINSYCVFPRELKEVFASWRQECSSRGRPDISERLISASLFLRFLCPAIMSPSLFNLLQEYPDDRTARTLTLIAKVTQNLANFAKFGSKEEYMSFMNQFLEHEWTNMQRFLLEISNPETLSNTAGFEGYIDLGRELSSLHSLLWEAVSQLEQSIVSKLGPLPRILRDVHTALSTPGSGQLPGTNDLASTPGSGSSSISAGLQKMVIENDLSGLIDFTRLPSPTPENKDLFFVTRSSGVQPSPARSSSYSEANEPDLQMANGGKSLSMVDLQDARTLDGEAGSPAGPDVLPTDGQAAAAQLVAGWPARATPVNLAGLATVRRAGQTPTTPGTSEGAPGRPQLLAPLSFQNPVYQMAAGLPLSPRGLGDSGSEGHSSLSSHSNSEELAAAAKLGSFSTAAEELARRPGELARRQMSLTEKGGQPTVPRQNSAGPQRRIDQPPPPPPPPPPAPRGRTPPNLLSTLQYPRPSSGTLASASPDWVGPSTRLRQQSSSSKGDSPELKPRAVHKQGPSPVSPNALDRTAAWLLTMNAQLLEDEGLGPDPPHRDRLRSKDELSQAEKDLAVLQDKLRISTKKLEEYETLFKCQEETTQKLVLEYQARLEEGEERLRRQQEDKDIQMKGIISRLMSVEEELKKDHAEMQAAVDSKQKIIDAQEKRIASLDAANARLMSALTQLKERYSMQARNGISPTNPTKLQITENGEFRNSSNC
+>DECOY_sp|Q5VWQ8|DAB2P_HUMAN Disabled homolog 2-interacting protein OS=Homo sapiens OX=9606 GN=DAB2IP PE=1 SV=2
+CNSSNRFEGNETIQLKTPNTPSIGNRAQMSYREKLQTLASMLRANAADLSAIRKEQADIIKQKSDVAAQMEAHDKKLEEEVSMLRSIIGKMQIDKDEQQRRLREEGEELRAQYELVLKQTTEEQCKFLTEYEELKKTSIRLKDQLVALDKEAQSLEDKSRLRDRHPPDPGLGEDELLQANMTLLWAATRDLANPSVPSPGQKHVARPKLEPSDGKSSSSQQRLRTSPGVWDPSASALTGSSPRPYQLTSLLNPPTRGRPAPPPPPPPPPPQDIRRQPGASNQRPVTPQGGKETLSMQRRALEGPRRALEEAATSFSGLKAAAALEESNSHSSLSSHGESGSDGLGRPSLPLGAAMQYVPNQFSLPALLQPRGPAGESTGPTTPTQGARRVTALGALNVPTARAPWGAVLQAAAAQGDTPLVDPGAPSGAEGDLTRADQLDVMSLSKGGNAMQLDPENAESYSSSRAPSPQVGSSRTVFFLDKNEPTPSPLRTFDILGSLDNEIVMKQLGASISSSGSGPTSALDNTGPLQGSGPTSLATHVDRLIRPLPGLKSVISQELQSVAEWLLSHLSSLERGLDIYGEFGATNSLTEPNSIELLFRQMNTWEHELFQNMFSMYEEKSGFKAFNALNQTVKAILTLTRATRDDPYEQLLNFLSPSMIAPCLFRLFLSASILRESIDPRGRSSCEQRWSAFVEKLERPFVCYSNIIKCFALECCMKLNGQHEPLDAASCKSPDVECNEDSEYLAKIFEGLADQLYKQGVLKLYEEIAKTALTNERFILHENDGCRDVESMMLDTLFDKVKGTSQLIHVLASAMEEKTKASLIPELAACLGLYHNTIHEAFEKYMEMPLITITQYRAKIRIMPGPGKGGKPNPTVVPYWKEVFQRGAVSAAPLSVLGLYSNREKKKKKDTERYLHVTVTRLPPLNHFEFHEGWFVNDTKLKGTTRAYLVDDLCLECLYKKKAPLDKAEIVWLKLIHEVRRSNDKNPHVARRLNEMWKDREAASRCSFCKSGSSTTVEFCYDQGLISSHVPKIVVEEEMSLDLAEVASSPSLLSEHSRSEKLRPMLHSRENDAAAAAASRFGPLIHRFSHNRDLKPQSKTRKISGKLRRSLFGTVRFPTAASPEMSPSKESLSGPLSRRSGPREQPSERAPRTRSRSRSRQRQLRPRRLLRYYYSSRGTSKRASGGASM
+>sp|Q96NX9|DACH2_HUMAN Dachshund homolog 2 OS=Homo sapiens OX=9606 GN=DACH2 PE=2 SV=1
+MAVSASPVISATSSGAGVPGGLFRAEPLYSTPREPPRLTPNMINSFVVNNHSNSAGGGGRGNTNTNECRMVDMHGMKVASFLMDGQELICLPQVFDLFLKHLVGGLHTVYTKLKRLDISPVVCTVEQVRILRGLGAIQPGVNRCKLITRKDFETLFTDCTNARRKRQMTRKQAVNSSRPGRPPKRSLGVLQENARLLTHAVPGLLSPGLITPTGITAAAMAEAMKLQKMKLMAMNTLQGNGSQNGTESEPDDLNSNTGGSESSWDKDKMQSPFAAPGPQHGIAHAALAGQPGIGGAPTLNPLQQNHLLTNRLDLPFMMMPHPLLPVSLPPASVAMAMNQMNHLNTIANMAAAAQIHSPLSRAGTSVIKERIPESPSPAPSLEENHRPGSQTSSHTSSSVSSSPSQMDHHLERMEEVPVQIPIMKSPLDKIQLTPGQALPAGFPGPFIFADSLSSVETLLTNIQGLLKVALDNARIQEKQIQQEKKELRLELYREREIRENLERQLAVELQSRTTMQKRLKKEKKTKRKLQEALEFESKRREQVEQALKQATTSDSGLRMLKDTGIPDIEIENNGTPHDSAAMQGGNYYCLEMAQQLYSA
+>DECOY_sp|Q96NX9|DACH2_HUMAN Dachshund homolog 2 OS=Homo sapiens OX=9606 GN=DACH2 PE=2 SV=1
+ASYLQQAMELCYYNGGQMAASDHPTGNNEIEIDPIGTDKLMRLGSDSTTAQKLAQEVQERRKSEFELAEQLKRKTKKEKKLRKQMTTRSQLEVALQRELNERIERERYLELRLEKKEQQIQKEQIRANDLAVKLLGQINTLLTEVSSLSDAFIFPGPFGAPLAQGPTLQIKDLPSKMIPIQVPVEEMRELHHDMQSPSSSVSSSTHSSTQSGPRHNEELSPAPSPSEPIREKIVSTGARSLPSHIQAAAAMNAITNLHNMQNMAMAVSAPPLSVPLLPHPMMMFPLDLRNTLLHNQQLPNLTPAGGIGPQGALAAHAIGHQPGPAAFPSQMKDKDWSSESGGTNSNLDDPESETGNQSGNGQLTNMAMLKMKQLKMAEAMAAATIGTPTILGPSLLGPVAHTLLRANEQLVGLSRKPPRGPRSSNVAQKRTMQRKRRANTCDTFLTEFDKRTILKCRNVGPQIAGLGRLIRVQEVTCVVPSIDLRKLKTYVTHLGGVLHKLFLDFVQPLCILEQGDMLFSAVKMGHMDVMRCENTNTNGRGGGGASNSHNNVVFSNIMNPTLRPPERPTSYLPEARFLGGPVGAGSSTASIVPSASVAM
+>sp|Q14118|DAG1_HUMAN Dystroglycan OS=Homo sapiens OX=9606 GN=DAG1 PE=1 SV=2
+MRMSVGLSLLLPLSGRTFLLLLSVVMAQSHWPSEPSEAVRDWENQLEASMHSVLSDLHEAVPTVVGIPDGTAVVGRSFRVTIPTDLIASSGDIIKVSAAGKEALPSWLHWDSQSHTLEGLPLDTDKGVHYISVSATRLGANGSHIPQTSSVFSIEVYPEDHSELQSVRTASPDPGEVVSSACAADEPVTVLTVILDADLTKMTPKQRIDLLHRMRSFSEVELHNMKLVPVVNNRLFDMSAFMAGPGNAKKVVENGALLSWKLGCSLNQNSVPDIHGVEAPAREGAMSAQLGYPVVGWHIANKKPPLPKRVRRQIHATPTPVTAIGPPTTAIQEPPSRIVPTPTSPAIAPPTETMAPPVRDPVPGKPTVTIRTRGAIIQTPTLGPIQPTRVSEAGTTVPGQIRPTMTIPGYVEPTAVATPPTTTTKKPRVSTPKPATPSTDSTTTTTRRPTKKPRTPRPVPRVTTKVSITRLETASPPTRIRTTTSGVPRGGEPNQRPELKNHIDRVDAWVGTYFEVKIPSDTFYDHEDTTTDKLKLTLKLREQQLVGEKSWVQFNSNSQLMYGLPDSSHVGKHEYFMHATDKGGLSAVDAFEIHVHRRPQGDRAPARFKAKFVGDPALVLNDIHKKIALVKKLAFAFGDRNCSTITLQNITRGSIVVEWTNNTLPLEPCPKEQIAGLSRRIAEDDGKPRPAFSNALEPDFKATSITVTGSGSCRHLQFIPVVPPRRVPSEAPPTEVPDRDPEKSSEDDVYLHTVIPAVVVAAILLIAGIIAMICYRKKRKGKLTLEDQATFIKKGVPIIFADELDDSKPPPSSSMPLILQEEKAPLPPPEYPNQSVPETTPLNQDTMGEYTPLRDEDPNAPPYQPPPPFTAPMEGKGSRPKNMTPYRSPPPYVPP
+>DECOY_sp|Q14118|DAG1_HUMAN Dystroglycan OS=Homo sapiens OX=9606 GN=DAG1 PE=1 SV=2
+PPVYPPPSRYPTMNKPRSGKGEMPATFPPPPQYPPANPDEDRLPTYEGMTDQNLPTTEPVSQNPYEPPPLPAKEEQLILPMSSSPPPKSDDLEDAFIIPVGKKIFTAQDELTLKGKRKKRYCIMAIIGAILLIAAVVVAPIVTHLYVDDESSKEPDRDPVETPPAESPVRRPPVVPIFQLHRCSGSGTVTISTAKFDPELANSFAPRPKGDDEAIRRSLGAIQEKPCPELPLTNNTWEVVISGRTINQLTITSCNRDGFAFALKKVLAIKKHIDNLVLAPDGVFKAKFRAPARDGQPRRHVHIEFADVASLGGKDTAHMFYEHKGVHSSDPLGYMLQSNSNFQVWSKEGVLQQERLKLTLKLKDTTTDEHDYFTDSPIKVEFYTGVWADVRDIHNKLEPRQNPEGGRPVGSTTTRIRTPPSATELRTISVKTTVRPVPRPTRPKKTPRRTTTTTSDTSPTAPKPTSVRPKKTTTTPPTAVATPEVYGPITMTPRIQGPVTTGAESVRTPQIPGLTPTQIIAGRTRITVTPKGPVPDRVPPAMTETPPAIAPSTPTPVIRSPPEQIATTPPGIATVPTPTAHIQRRVRKPLPPKKNAIHWGVVPYGLQASMAGERAPAEVGHIDPVSNQNLSCGLKWSLLAGNEVVKKANGPGAMFASMDFLRNNVVPVLKMNHLEVESFSRMRHLLDIRQKPTMKTLDADLIVTLVTVPEDAACASSVVEGPDPSATRVSQLESHDEPYVEISFVSSTQPIHSGNAGLRTASVSIYHVGKDTDLPLGELTHSQSDWHLWSPLAEKGAASVKIIDGSSAILDTPITVRFSRGVVATGDPIGVVTPVAEHLDSLVSHMSAELQNEWDRVAESPESPWHSQAMVVSLLLLFTRGSLPLLLSLGVSMRM
+>sp|Q8N907|DAND5_HUMAN DAN domain family member 5 OS=Homo sapiens OX=9606 GN=DAND5 PE=2 SV=1
+MLLGQLSTLLCLLSGALPTGSGRPEPQSPRPQSWAAANQTWALGPGALPPLVPASALGSWKAFLGLQKARQLGMGRLQRGQDEVAAVTLPLNPQEVIQGMCKAVPFVQVFSRPGCSAIRLRNHLCFGHCSSLYIPGSDPTPLVLCNSCMPARKRWAPVVLWCLTGSSASRRRVKISTMLIEGCHCSPKA
+>DECOY_sp|Q8N907|DAND5_HUMAN DAN domain family member 5 OS=Homo sapiens OX=9606 GN=DAND5 PE=2 SV=1
+AKPSCHCGEILMTSIKVRRRSASSGTLCWLVVPAWRKRAPMCSNCLVLPTPDSGPIYLSSCHGFCLHNRLRIASCGPRSFVQVFPVAKCMGQIVEQPNLPLTVAAVEDQGRQLRGMGLQRAKQLGLFAKWSGLASAPVLPPLAGPGLAWTQNAAAWSQPRPSQPEPRGSGTPLAGSLLCLLTSLQGLLM
+>sp|P53355|DAPK1_HUMAN Death-associated protein kinase 1 OS=Homo sapiens OX=9606 GN=DAPK1 PE=1 SV=6
+MTVFRQENVDDYYDTGEELGSGQFAVVKKCREKSTGLQYAAKFIKKRRTKSSRRGVSREDIEREVSILKEIQHPNVITLHEVYENKTDVILILELVAGGELFDFLAEKESLTEEEATEFLKQILNGVYYLHSLQIAHFDLKPENIMLLDRNVPKPRIKIIDFGLAHKIDFGNEFKNIFGTPEFVAPEIVNYEPLGLEADMWSIGVITYILLSGASPFLGDTKQETLANVSAVNYEFEDEYFSNTSALAKDFIRRLLVKDPKKRMTIQDSLQHPWIKPKDTQQALSRKASAVNMEKFKKFAARKKWKQSVRLISLCQRLSRSFLSRSNMSVARSDDTLDEEDSFVMKAIIHAINDDNVPGLQHLLGSLSNYDVNQPNKHGTPPLLIAAGCGNIQILQLLIKRGSRIDVQDKGGSNAVYWAARHGHVDTLKFLSENKCPLDVKDKSGEMALHVAARYGHADVAQLLCSFGSNPNIQDKEEETPLHCAAWHGYYSVAKALCEAGCNVNIKNREGETPLLTASARGYHDIVECLAEHGADLNACDKDGHIALHLAVRRCQMEVIKTLLSQGCFVDYQDRHGNTPLHVACKDGNMPIVVALCEANCNLDISNKYGRTPLHLAANNGILDVVRYLCLMGASVEALTTDGKTAEDLARSEQHEHVAGLLARLRKDTHRGLFIQQLRPTQNLQPRIKLKLFGHSGSGKTTLVESLKCGLLRSFFRRRRPRLSSTNSSRFPPSPLASKPTVSVSINNLYPGCENVSVRSRSMMFEPGLTKGMLEVFVAPTHHPHCSADDQSTKAIDIQNAYLNGVGDFSVWEFSGNPVYFCCYDYFAANDPTSIHVVVFSLEEPYEIQLNQVIFWLSFLKSLVPVEEPIAFGGKLKNPLQVVLVATHADIMNVPRPAGGEFGYDKDTSLLKEIRNRFGNDLHISNKLFVLDAGASGSKDMKVLRNHLQEIRSQIVSVCPPMTHLCEKIISTLPSWRKLNGPNQLMSLQQFVYDVQDQLNPLASEEDLRRIAQQLHSTGEINIMQSETVQDVLLLDPRWLCTNVLGKLLSVETPRALHHYRGRYTVEDIQRLVPDSDVEELLQILDAMDICARDLSSGTMVDVPALIKTDNLHRSWADEEDEVMVYGGVRIVPVEHLTPFPCGIFHKVQVNLCRWIHQQSTEGDADIRLWVNGCKLANRGAELLVLLVNHGQGIEVQVRGLETEKIKCCLLLDSVCSTIENVMATTLPGLLTVKHYLSPQQLREHHEPVMIYQPRDFFRAQTLKETSLTNTMGGYKESFSSIMCFGCHDVYSQASLGMDIHASDLNLLTRRKLSRLLDPPDPLGKDWCLLAMNLGLPDLVAKYNTSNGAPKDFLPSPLHALLREWTTYPESTVGTLMSKLRELGRRDAADFLLKASSVFKINLDGNGQEAYASSCNSGTSYNSISSVVSR
+>DECOY_sp|P53355|DAPK1_HUMAN Death-associated protein kinase 1 OS=Homo sapiens OX=9606 GN=DAPK1 PE=1 SV=6
+RSVVSSISNYSTGSNCSSAYAEQGNGDLNIKFVSSAKLLFDAADRRGLERLKSMLTGVTSEPYTTWERLLAHLPSPLFDKPAGNSTNYKAVLDPLGLNMALLCWDKGLPDPPDLLRSLKRRTLLNLDSAHIDMGLSAQSYVDHCGFCMISSFSEKYGGMTNTLSTEKLTQARFFDRPQYIMVPEHHERLQQPSLYHKVTLLGPLTTAMVNEITSCVSDLLLCCKIKETELGRVQVEIGQGHNVLLVLLEAGRNALKCGNVWLRIDADGETSQQHIWRCLNVQVKHFIGCPFPTLHEVPVIRVGGYVMVEDEEDAWSRHLNDTKILAPVDVMTGSSLDRACIDMADLIQLLEEVDSDPVLRQIDEVTYRGRYHHLARPTEVSLLKGLVNTCLWRPDLLLVDQVTESQMINIEGTSHLQQAIRRLDEESALPNLQDQVDYVFQQLSMLQNPGNLKRWSPLTSIIKECLHTMPPCVSVIQSRIEQLHNRLVKMDKSGSAGADLVFLKNSIHLDNGFRNRIEKLLSTDKDYGFEGGAPRPVNMIDAHTAVLVVQLPNKLKGGFAIPEEVPVLSKLFSLWFIVQNLQIEYPEELSFVVVHISTPDNAAFYDYCCFYVPNGSFEWVSFDGVGNLYANQIDIAKTSQDDASCHPHHTPAVFVELMGKTLGPEFMMSRSRVSVNECGPYLNNISVSVTPKSALPSPPFRSSNTSSLRPRRRRFFSRLLGCKLSEVLTTKGSGSHGFLKLKIRPQLNQTPRLQQIFLGRHTDKRLRALLGAVHEHQESRALDEATKGDTTLAEVSAGMLCLYRVVDLIGNNAALHLPTRGYKNSIDLNCNAECLAVVIPMNGDKCAVHLPTNGHRDQYDVFCGQSLLTKIVEMQCRRVALHLAIHGDKDCANLDAGHEALCEVIDHYGRASATLLPTEGERNKINVNCGAECLAKAVSYYGHWAACHLPTEEEKDQINPNSGFSCLLQAVDAHGYRAAVHLAMEGSKDKVDLPCKNESLFKLTDVHGHRAAWYVANSGGKDQVDIRSGRKILLQLIQINGCGAAILLPPTGHKNPQNVDYNSLSGLLHQLGPVNDDNIAHIIAKMVFSDEEDLTDDSRAVSMNSRSLFSRSLRQCLSILRVSQKWKKRAAFKKFKEMNVASAKRSLAQQTDKPKIWPHQLSDQITMRKKPDKVLLRRIFDKALASTNSFYEDEFEYNVASVNALTEQKTDGLFPSAGSLLIYTIVGISWMDAELGLPEYNVIEPAVFEPTGFINKFENGFDIKHALGFDIIKIRPKPVNRDLLMINEPKLDFHAIQLSHLYYVGNLIQKLFETAEEETLSEKEALFDFLEGGAVLELILIVDTKNEYVEHLTIVNPHQIEKLISVEREIDERSVGRRSSKTRRKKIFKAAYQLGTSKERCKKVVAFQGSGLEEGTDYYDDVNEQRFVTM
+>sp|A0PJW8|DAPL1_HUMAN Death-associated protein-like 1 OS=Homo sapiens OX=9606 GN=DAPL1 PE=1 SV=2
+MANEVQDLLSPRKGGHPPAVKAGGMRISKKQEIGTLERHTKKTGFEKTSAIANVAKIQTLDALNDALEKLNYKFPATVHMAHQKPTPALEKVVPLKRIYIIQQPRKC
+>DECOY_sp|A0PJW8|DAPL1_HUMAN Death-associated protein-like 1 OS=Homo sapiens OX=9606 GN=DAPL1 PE=1 SV=2
+CKRPQQIIYIRKLPVVKELAPTPKQHAMHVTAPFKYNLKELADNLADLTQIKAVNAIASTKEFGTKKTHRELTGIEQKKSIRMGGAKVAPPHGGKRPSLLDQVENAM
+>sp|Q9UN19|DAPP1_HUMAN Dual adapter for phosphotyrosine and 3-phosphotyrosine and 3-phosphoinositide OS=Homo sapiens OX=9606 GN=DAPP1 PE=1 SV=1
+MGRAELLEGKMSTQDPSDLWSRSDGEAELLQDLGWYHGNLTRHAAEALLLSNGCDGSYLLRDSNETTGLYSLSVRAKDSVKHFHVEYTGYSFKFGFNEFSSLKDFVKHFANQPLIGSETGTLMVLKHPYPRKVEEPSIYESVRVHTAMQTGRTEDDLVPTAPSLGTKEGYLTKQGGLVKTWKTRWFTLHRNELKYFKDQMSPEPIRILDLTECSAVQFDYSQERVNCFCLVFPFRTFYLCAKTGVEADEWIKILRWKLSQIRKQLNQGEGTIRSRSFIFK
+>DECOY_sp|Q9UN19|DAPP1_HUMAN Dual adapter for phosphotyrosine and 3-phosphotyrosine and 3-phosphoinositide OS=Homo sapiens OX=9606 GN=DAPP1 PE=1 SV=1
+KFIFSRSRITGEGQNLQKRIQSLKWRLIKIWEDAEVGTKACLYFTRFPFVLCFCNVREQSYDFQVASCETLDLIRIPEPSMQDKFYKLENRHLTFWRTKWTKVLGGQKTLYGEKTGLSPATPVLDDETRGTQMATHVRVSEYISPEEVKRPYPHKLVMLTGTESGILPQNAFHKVFDKLSSFENFGFKFSYGTYEVHFHKVSDKARVSLSYLGTTENSDRLLYSGDCGNSLLLAEAAHRTLNGHYWGLDQLLEAEGDSRSWLDSPDQTSMKGELLEARGM
+>sp|Q86SG3|DAZ4_HUMAN Deleted in azoospermia protein 4 OS=Homo sapiens OX=9606 GN=DAZ4 PE=1 SV=2
+MSAANPETPNSTISREASTQSSSAAASQGWVLPEGKIVPNTVFVGGIDARMDETEIGSCFGRYGSVKEVKIITNRTGVSKGYGFVSFVNDVDVQKIVGSQIHFHGKKLKLGPAIRKQKLCARHVQPRPLVVNPPPPPQFQNVWRNPNTETYLQPQITPNPVTQHVQSAANPETPNSTISREASTQSSSAAASQGWVLPEGKIVPNTVFVGGIDARMDETEIGSCFGRYGSVKEVKIITNRTGVSKGYGFVSFVNDVDVQKIVGSQIHFHGKKLKLGPAIRKQKLCARHVQPRPLVVNPPPPPQFQNVWRNPNTETYLQPQITPNPVTQHVQAYSAYPHSPGQVITGCQLLVYNYQEYPTYPDSAFQVTTGYQLPVYNYQPFPAYPRSPFQVTAGYQLPVYNYQAFPAYPNSPFQVATGYQFPVYNYQPFPAYPSSPFQVTAGYQLPVYNYQAFPAYPNSPFQVATGYQFPVYNYQAFPAYPNSPVQVTTGYQLPVYNYQAFPAYPSSPFQVTTGYQLPVYNYQAFPAYPNSAVQVTTGYQFHVYNYQMPPQCPVGEQRRNLWTEAYKWWYLVCLIQRRD
+>DECOY_sp|Q86SG3|DAZ4_HUMAN Deleted in azoospermia protein 4 OS=Homo sapiens OX=9606 GN=DAZ4 PE=1 SV=2
+DRRQILCVLYWWKYAETWLNRRQEGVPCQPPMQYNYVHFQYGTTVQVASNPYAPFAQYNYVPLQYGTTVQFPSSPYAPFAQYNYVPLQYGTTVQVPSNPYAPFAQYNYVPFQYGTAVQFPSNPYAPFAQYNYVPLQYGATVQFPSSPYAPFPQYNYVPFQYGTAVQFPSNPYAPFAQYNYVPLQYGATVQFPSRPYAPFPQYNYVPLQYGTTVQFASDPYTPYEQYNYVLLQCGTIVQGPSHPYASYAQVHQTVPNPTIQPQLYTETNPNRWVNQFQPPPPPNVVLPRPQVHRACLKQKRIAPGLKLKKGHFHIQSGVIKQVDVDNVFSVFGYGKSVGTRNTIIKVEKVSGYRGFCSGIETEDMRADIGGVFVTNPVIKGEPLVWGQSAAASSSQTSAERSITSNPTEPNAASQVHQTVPNPTIQPQLYTETNPNRWVNQFQPPPPPNVVLPRPQVHRACLKQKRIAPGLKLKKGHFHIQSGVIKQVDVDNVFSVFGYGKSVGTRNTIIKVEKVSGYRGFCSGIETEDMRADIGGVFVTNPVIKGEPLVWGQSAAASSSQTSAERSITSNPTEPNAASM
+>sp|A8MXU0|DB108_HUMAN Putative beta-defensin 108A OS=Homo sapiens OX=9606 GN=DEFB108A PE=5 SV=2
+MRIAVLFFTIFFFMSQVLPAKGKFKEICERPNGSCRDFCLETEIHVGRCLNSRPCCLPLGHQPRIESTTPKKD
+>DECOY_sp|A8MXU0|DB108_HUMAN Putative beta-defensin 108A OS=Homo sapiens OX=9606 GN=DEFB108A PE=5 SV=2
+DKKPTTSEIRPQHGLPLCCPRSNLCRGVHIETELCFDRCSGNPRECIEKFKGKAPLVQSMFFFITFFLVAIRM
+>sp|Q96PH6|DB118_HUMAN Beta-defensin 118 OS=Homo sapiens OX=9606 GN=DEFB118 PE=2 SV=1
+MKLLLLALPMLVLLPQVIPAYSGEKKCWNRSGHCRKQCKDGEAVKDTCKNLRACCIPSNEDHRRVPATSPTPLSDSTPGIIDDILTVRFTTDYFEVSSKKDMVEESEAGRGTETSLPNVHHSS
+>DECOY_sp|Q96PH6|DB118_HUMAN Beta-defensin 118 OS=Homo sapiens OX=9606 GN=DEFB118 PE=2 SV=1
+SSHHVNPLSTETGRGAESEEVMDKKSSVEFYDTTFRVTLIDDIIGPTSDSLPTPSTAPVRRHDENSPICCARLNKCTDKVAEGDKCQKRCHGSRNWCKKEGSYAPIVQPLLVLMPLALLLLKM
+>sp|Q8N687|DB125_HUMAN Beta-defensin 125 OS=Homo sapiens OX=9606 GN=DEFB125 PE=2 SV=2
+MNILMLTFIICGLLTRVTKGSFEPQKCWKNNVGHCRRRCLDTERYILLCRNKLSCCISIISHEYTRRPAFPVIHLEDITLDYSDVDSFTGSPVSMLNDLITFDTTKFGETMTPETNTPETTMPPSEATTPETTMPPSETATSETMPPPSQTALTHN
+>DECOY_sp|Q8N687|DB125_HUMAN Beta-defensin 125 OS=Homo sapiens OX=9606 GN=DEFB125 PE=2 SV=2
+NHTLATQSPPPMTESTATESPPMTTEPTTAESPPMTTEPTNTEPTMTEGFKTTDFTILDNLMSVPSGTFSDVDSYDLTIDELHIVPFAPRRTYEHSIISICCSLKNRCLLIYRETDLCRRRCHGVNNKWCKQPEFSGKTVRTLLGCIIFTLMLINM
+>sp|Q9BYW3|DB126_HUMAN Beta-defensin 126 OS=Homo sapiens OX=9606 GN=DEFB126 PE=1 SV=2
+MKSLLFTLAVFMLLAQLVSGNWYVKKCLNDVGICKKKCKPEEMHVKNGWAMCGKQRDCCVPADRRANYPVFCVQTKTTRISTVTATTATTTLMMTTASMSSMAPTPVSPTG
+>DECOY_sp|Q9BYW3|DB126_HUMAN Beta-defensin 126 OS=Homo sapiens OX=9606 GN=DEFB126 PE=1 SV=2
+GTPSVPTPAMSSMSATTMMLTTTATTATVTSIRTTKTQVCFVPYNARRDAPVCCDRQKGCMAWGNKVHMEEPKCKKKCIGVDNLCKKVYWNGSVLQALLMFVALTFLLSKM
+>sp|Q7Z7B8|DB128_HUMAN Beta-defensin 128 OS=Homo sapiens OX=9606 GN=DEFB128 PE=3 SV=1
+MKLFLVLIILLFEVLTDGARLKKCFNKVTGYCRKKCKVGERYEIGCLSGKLCCANDEEEKKHVSFKKPHQHSGEKLSVLQDYIILPTITIFTV
+>DECOY_sp|Q7Z7B8|DB128_HUMAN Beta-defensin 128 OS=Homo sapiens OX=9606 GN=DEFB128 PE=3 SV=1
+VTFITITPLIIYDQLVSLKEGSHQHPKKFSVHKKEEEDNACCLKGSLCGIEYREGVKCKKRCYGTVKNFCKKLRAGDTLVEFLLIILVLFLKM
+>sp|Q13561|DCTN2_HUMAN Dynactin subunit 2 OS=Homo sapiens OX=9606 GN=DCTN2 PE=1 SV=4
+MADPKYADLPGIARNEPDVYETSDLPEDDQAEFDAEELTSTSVEHIIVNPNAAYDKFKDKRVGTKGLDFSDRIGKTKRTGYESGEYEMLGEGLGVKETPQQKYQRLLHEVQELTTEVEKIKTTVKESATEEKLTPVLLAKQLAALKQQLVASHLEKLLGPDAAINLTDPDGALAKRLLLQLEATKNSKGGSGGKTTGTPPDSSLVTYELHSRPEQDKFSQAAKVAELEKRLTELETAVRCDQDAQNPLSAGLQGACLMETVELLQAKVSALDLAVLDQVEARLQSVLGKVNEIAKHKASVEDADTQSKVHQLYETIQRWSPIASTLPELVQRLVTIKQLHEQAMQFGQLLTHLDTTQQMIANSLKDNTTLLTQVQTTMRENLATVEGNFASIDERMKKLGK
+>DECOY_sp|Q13561|DCTN2_HUMAN Dynactin subunit 2 OS=Homo sapiens OX=9606 GN=DCTN2 PE=1 SV=4
+KGLKKMREDISAFNGEVTALNERMTTQVQTLLTTNDKLSNAIMQQTTDLHTLLQGFQMAQEHLQKITVLRQVLEPLTSAIPSWRQITEYLQHVKSQTDADEVSAKHKAIENVKGLVSQLRAEVQDLVALDLASVKAQLLEVTEMLCAGQLGASLPNQADQDCRVATELETLRKELEAVKAAQSFKDQEPRSHLEYTVLSSDPPTGTTKGGSGGKSNKTAELQLLLRKALAGDPDTLNIAADPGLLKELHSAVLQQKLAALQKALLVPTLKEETASEKVTTKIKEVETTLEQVEHLLRQYKQQPTEKVGLGEGLMEYEGSEYGTRKTKGIRDSFDLGKTGVRKDKFKDYAANPNVIIHEVSTSTLEEADFEAQDDEPLDSTEYVDPENRAIGPLDAYKPDAM
+>sp|Q9UJW0|DCTN4_HUMAN Dynactin subunit 4 OS=Homo sapiens OX=9606 GN=DCTN4 PE=1 SV=1
+MASLLQSDRVLYLVQGEKKVRAPLSQLYFCRYCSELRSLECVSHEVDSHYCPSCLENMPSAEAKLKKNRCANCFDCPGCMHTLSTRATSISTQLPDDPAKTTMKKAYYLACGFCRWTSRDVGMADKSVASGGWQEPENPHTQRMNKLIEYYQQLAQKEKVERDRKKLARRRNYMPLAFSDKYGLGTRLQRPRAGASISTLAGLSLKEGEDQKEIKIEPAQAVDEVEPLPEDYYTRPVNLTEVTTLQQRLLQPDFQPVCASQLYPRHKHLLIKRSLRCRKCEHNLSKPEFNPTSIKFKIQLVAVNYIPEVRIMSIPNLRYMKESQVLLTLTNPVENLTHVTLFECEEGDPDDINSTAKVVVPPKELVLAGKDAAAEYDELAEPQDFQDDPDIIAFRKANKVGIFIKVTPQREEGEVTVCFKMKHDFKNLAAPIRPIEESDQGTEVIWLTQHVELSLGPLLP
+>DECOY_sp|Q9UJW0|DCTN4_HUMAN Dynactin subunit 4 OS=Homo sapiens OX=9606 GN=DCTN4 PE=1 SV=1
+PLLPGLSLEVHQTLWIVETGQDSEEIPRIPAALNKFDHKMKFCVTVEGEERQPTVKIFIGVKNAKRFAIIDPDDQFDQPEALEDYEAAADKGALVLEKPPVVVKATSNIDDPDGEECEFLTVHTLNEVPNTLTLLVQSEKMYRLNPISMIRVEPIYNVAVLQIKFKISTPNFEPKSLNHECKRCRLSRKILLHKHRPYLQSACVPQFDPQLLRQQLTTVETLNVPRTYYDEPLPEVEDVAQAPEIKIEKQDEGEKLSLGALTSISAGARPRQLRTGLGYKDSFALPMYNRRRALKKRDREVKEKQALQQYYEILKNMRQTHPNEPEQWGGSAVSKDAMGVDRSTWRCFGCALYYAKKMTTKAPDDPLQTSISTARTSLTHMCGPCDFCNACRNKKLKAEASPMNELCSPCYHSDVEHSVCELSRLESCYRCFYLQSLPARVKKEGQVLYLVRDSQLLSAM
+>sp|Q9BTE1|DCTN5_HUMAN Dynactin subunit 5 OS=Homo sapiens OX=9606 GN=DCTN5 PE=1 SV=1
+MELGELLYNKSEYIETASGNKVSRQSVLCGSQNIVLNGKTIVMNDCIIRGDLANVRVGRHCVVKSRSVIRPPFKKFSKGVAFFPLHIGDHVFIEEDCVVNAAQIGSYVHVGKNCVIGRRCVLKDCCKILDNTVLPPETVVPPFTVFSGCPGLFSGELPECTQELMIDVTKSYYQKFLPLTQV
+>DECOY_sp|Q9BTE1|DCTN5_HUMAN Dynactin subunit 5 OS=Homo sapiens OX=9606 GN=DCTN5 PE=1 SV=1
+VQTLPLFKQYYSKTVDIMLEQTCEPLEGSFLGPCGSFVTFPPVVTEPPLVTNDLIKCCDKLVCRRGIVCNKGVHVYSGIQAANVVCDEEIFVHDGIHLPFFAVGKSFKKFPPRIVSRSKVVCHRGVRVNALDGRIICDNMVITKGNLVINQSGCLVSQRSVKNGSATEIYESKNYLLEGLEM
+>sp|A6NHG4|DDTL_HUMAN D-dopachrome decarboxylase-like protein OS=Homo sapiens OX=9606 GN=DDTL PE=2 SV=1
+MPFLELDTNLPANRVPAGLEKRLCAAAASILGKPADRVNVTVRPGLAMALSGSTEPCAQLSISSIGVVGTAEDNRSHSAHFFEFLTKELALGQDRFPTVLSTSPAAHGGPRCPGEIIEGKKSCLNEEALFIYFI
+>DECOY_sp|A6NHG4|DDTL_HUMAN D-dopachrome decarboxylase-like protein OS=Homo sapiens OX=9606 GN=DDTL PE=2 SV=1
+IFYIFLAEENLCSKKGEIIEGPCRPGGHAAPSTSLVTPFRDQGLALEKTLFEFFHASHSRNDEATGVVGISSISLQACPETSGSLAMALGPRVTVNVRDAPKGLISAAAACLRKELGAPVRNAPLNTDLELFPM
+>sp|Q86XP3|DDX42_HUMAN ATP-dependent RNA helicase DDX42 OS=Homo sapiens OX=9606 GN=DDX42 PE=1 SV=1
+MNWNKGGPGTKRGFGFGGFAISAGKKEEPKLPQQSHSAFGATSSSSGFGKSAPPQLPSFYKIGSKRANFDEENAYFEDEEEDSSNVDLPYIPAENSPTRQQFHSKPVDSDSDDDPLEAFMAEVEDQAARDMKRLEEKDKERKNVKGIRDDIEEEDDQEAYFRYMAENPTAGVVQEEEEDNLEYDSDGNPIAPTKKIIDPLPPIDHSEIDYPPFEKNFYNEHEEITNLTPQQLIDLRHKLNLRVSGAAPPRPGSSFAHFGFDEQLMHQIRKSEYTQPTPIQCQGVPVALSGRDMIGIAKTGSGKTAAFIWPMLIHIMDQKELEPGDGPIAVIVCPTRELCQQIHAECKRFGKAYNLRSVAVYGGGSMWEQAKALQEGAEIVVCTPGRLIDHVKKKATNLQRVSYLVFDEADRMFDMGFEYQVRSIASHVRPDRQTLLFSATFRKKIEKLARDILIDPIRVVQGDIGEANEDVTQIVEILHSGPSKWNWLTRRLVEFTSSGSVLLFVTKKANAEELANNLKQEGHNLGLLHGDMDQSERNKVISDFKKKDIPVLVATDVAARGLDIPSIKTVINYDVARDIDTHTHRIGRTGRAGEKGVAYTLLTPKDSNFAGDLVRNLEGANQHVSKELLDLAMQNAWFRKSRFKGGKGKKLNIGGGGLGYRERPGLGSENMDRGNNNVMSNYEAYKPSTGAMGDRLTAMKAAFQSQYKSHFVAASLSNQKAGSSAAGASGWTSAGSLNSVPTNSAQQGHNSPDSPVTSAAKGIPGFGNTGNISGAPVTYPSAGAQGVNNTASGNNSREGTGGSNGKRERYTENRGSSRHSHGETGNRHSDSPRHGDGGRHGDGYRHPESSSRHTDGHRHGENRHGGSAGRHGENRGANDGRNGESRKEAFNRESKMEPKMEPKVDSSKMDKVDSKTDKTADGFAVPEPPKRKKSRWDS
+>DECOY_sp|Q86XP3|DDX42_HUMAN ATP-dependent RNA helicase DDX42 OS=Homo sapiens OX=9606 GN=DDX42 PE=1 SV=1
+SDWRSKKRKPPEPVAFGDATKDTKSDVKDMKSSDVKPEMKPEMKSERNFAEKRSEGNRGDNAGRNEGHRGASGGHRNEGHRHGDTHRSSSEPHRYGDGHRGGDGHRPSDSHRNGTEGHSHRSSGRNETYRERKGNSGGTGERSNNGSATNNVGQAGASPYTVPAGSINGTNGFGPIGKAASTVPSDPSNHGQQASNTPVSNLSGASTWGSAGAASSGAKQNSLSAAVFHSKYQSQFAAKMATLRDGMAGTSPKYAEYNSMVNNNGRDMNESGLGPRERYGLGGGGINLKKGKGGKFRSKRFWANQMALDLLEKSVHQNAGELNRVLDGAFNSDKPTLLTYAVGKEGARGTRGIRHTHTDIDRAVDYNIVTKISPIDLGRAAVDTAVLVPIDKKKFDSIVKNRESQDMDGHLLGLNHGEQKLNNALEEANAKKTVFLLVSGSSTFEVLRRTLWNWKSPGSHLIEVIQTVDENAEGIDGQVVRIPDILIDRALKEIKKRFTASFLLTQRDPRVHSAISRVQYEFGMDFMRDAEDFVLYSVRQLNTAKKKVHDILRGPTCVVIEAGEQLAKAQEWMSGGGYVAVSRLNYAKGFRKCEAHIQQCLERTPCVIVAIPGDGPELEKQDMIHILMPWIFAATKGSGTKAIGIMDRGSLAVPVGQCQIPTPQTYESKRIQHMLQEDFGFHAFSSGPRPPAAGSVRLNLKHRLDILQQPTLNTIEEHENYFNKEFPPYDIESHDIPPLPDIIKKTPAIPNGDSDYELNDEEEEQVVGATPNEAMYRFYAEQDDEEEIDDRIGKVNKREKDKEELRKMDRAAQDEVEAMFAELPDDDSDSDVPKSHFQQRTPSNEAPIYPLDVNSSDEEEDEFYANEEDFNARKSGIKYFSPLQPPASKGFGSSSSTAGFASHSQQPLKPEEKKGASIAFGGFGFGRKTGPGGKNWNM
+>sp|Q01523|DEF5_HUMAN Defensin-5 OS=Homo sapiens OX=9606 GN=DEFA5 PE=1 SV=1
+MRTIAILAAILLVALQAQAESLQERADEATTQKQSGEDNQDLAISFAGNGLSALRTSGSQARATCYCRTGRCATRESLSGVCEISGRLYRLCCR
+>DECOY_sp|Q01523|DEF5_HUMAN Defensin-5 OS=Homo sapiens OX=9606 GN=DEFA5 PE=1 SV=1
+RCCLRYLRGSIECVGSLSERTACRGTRCYCTARAQSGSTRLASLGNGAFSIALDQNDEGSQKQTTAEDAREQLSEAQAQLAVLLIAALIAITRM
+>sp|P60022|DEFB1_HUMAN Beta-defensin 1 OS=Homo sapiens OX=9606 GN=DEFB1 PE=1 SV=1
+MRTSYLLLFTLCLLLSEMASGGNFLTGLGHRSDHYNCVSSGGQCLYSACPIFTKIQGTCYRGKAKCCK
+>DECOY_sp|P60022|DEFB1_HUMAN Beta-defensin 1 OS=Homo sapiens OX=9606 GN=DEFB1 PE=1 SV=1
+KCCKAKGRYCTGQIKTFIPCASYLCQGGSSVCNYHDSRHGLGTLFNGGSAMESLLLCLTFLLLYSTRM
+>sp|O15121|DEGS1_HUMAN Sphingolipid delta(4)-desaturase DES1 OS=Homo sapiens OX=9606 GN=DEGS1 PE=1 SV=1
+MGSRVSREDFEWVYTDQPHADRRREILAKYPEIKSLMKPDPNLIWIIIMMVLTQLGAFYIVKDLDWKWVIFGAYAFGSCINHSMTLAIHEIAHNAAFGNCKAMWNRWFGMFANLPIGIPYSISFKRYHMDHHRYLGADGVDVDIPTDFEGWFFCTAFRKFIWVILQPLFYAFRPLFINPKPITYLEVINTVAQVTFDILIYYFLGIKSLVYMLAASLLGLGLHPISGHFIAEHYMFLKGHETYSYYGPLNLLTFNVGYHNEHHDFPNIPGKSLPLVRKIAAEYYDNLPHYNSWIKVLYDFVMDDTISPYSRMKRHQKGEMVLE
+>DECOY_sp|O15121|DEGS1_HUMAN Sphingolipid delta(4)-desaturase DES1 OS=Homo sapiens OX=9606 GN=DEGS1 PE=1 SV=1
+ELVMEGKQHRKMRSYPSITDDMVFDYLVKIWSNYHPLNDYYEAAIKRVLPLSKGPINPFDHHENHYGVNFTLLNLPGYYSYTEHGKLFMYHEAIFHGSIPHLGLGLLSAALMYVLSKIGLFYYILIDFTVQAVTNIVELYTIPKPNIFLPRFAYFLPQLIVWIFKRFATCFFWGEFDTPIDVDVGDAGLYRHHDMHYRKFSISYPIGIPLNAFMGFWRNWMAKCNGFAANHAIEHIALTMSHNICSGFAYAGFIVWKWDLDKVIYFAGLQTLVMMIIIWILNPDPKMLSKIEPYKALIERRRDAHPQDTYVWEFDERSVRSGM
+>sp|Q8TEH3|DEN1A_HUMAN DENN domain-containing protein 1A OS=Homo sapiens OX=9606 GN=DENND1A PE=1 SV=2
+MGSRIKQNPETTFEVYVEVAYPRTGGTLSDPEVQRQFPEDYSDQEVLQTLTKFCFPFYVDSLTVSQVGQNFTFVLTDIDSKQRFGFCRLSSGAKSCFCILSYLPWFEVFYKLLNILADYTTKRQENQWNELLETLHKLPIPDPGVSVHLSVHSYFTVPDTRELPSIPENRNLTEYFVAVDVNNMLHLYASMLYERRILIICSKLSTLTACIHGSAAMLYPMYWQHVYIPVLPPHLLDYCCAPMPYLIGIHLSLMEKVRNMALDDVVILNVDTNTLETPFDDLQSLPNDVISSLKNRLKKVSTTTGDGVARAFLKAQAAFFGSYRNALKIEPEEPITFCEEAFVSHYRSGAMRQFLQNATQLQLFKQFIDGRLDLLNSGEGFSDVFEEEINMGEYAGSDKLYHQWLSTVRKGSGAILNTVKTKANPAMKTVYKFAKDHAKMGIKEVKNRLKQKDIAENGCAPTPEEQLPKTAPSPLVEAKDPKLREDRRPITVHFGQVRPPRPHVVKRPKSNIAVEGRRTSVPSPEQPQPYRTLRESDSAEGDEAESPEQQVRKSTGPVPAPPDRAASIDLLEDVFSNLDMEAALQPLGQAKSLEDLRAPKDLREQPGTFDYQRLDLGGSERSRGVTVALKLTHPYNKLWSLGQDDMAIPSKPPAASPEKPSALLGNSLALPRRPQNRDSILNPSDKEEVPTPTLGSITIPRPQGRKTPELGIVPPPPIPRPAKLQAAGAALGDVSERLQTDRDRRAALSPGLLPGVVPQGPTELLQPLSPGPGAAGTSSDALLALLDPLSTAWSGSTLPSRPATPNVATPFTPQFSFPPAGTPTPFPQPPLNPFVPSMPAAPPTLPLVSTPAGPFGAPPASLGPAFASGLLLSSAGFCAPHRSQPNLSALSMPNLFGQMPMGTHTSPLQPLGPPAVAPSRIRTLPLARSSARAAETKQGLALRPGDPPLLPPRPPQGLEPTLQPSAPQQARDPFEDLLQKTKQDVSPSPALAPAPDSVEQLRKQWETFE
+>DECOY_sp|Q8TEH3|DEN1A_HUMAN DENN domain-containing protein 1A OS=Homo sapiens OX=9606 GN=DENND1A PE=1 SV=2
+EFTEWQKRLQEVSDPAPALAPSPSVDQKTKQLLDEFPDRAQQPASPQLTPELGQPPRPPLLPPDGPRLALGQKTEAARASSRALPLTRIRSPAVAPPGLPQLPSTHTGMPMQGFLNPMSLASLNPQSRHPACFGASSLLLGSAFAPGLSAPPAGFPGAPTSVLPLTPPAAPMSPVFPNLPPQPFPTPTGAPPFSFQPTFPTAVNPTAPRSPLTSGSWATSLPDLLALLADSSTGAAGPGPSLPQLLETPGQPVVGPLLGPSLAARRDRDTQLRESVDGLAAGAAQLKAPRPIPPPPVIGLEPTKRGQPRPITISGLTPTPVEEKDSPNLISDRNQPRRPLALSNGLLASPKEPSAAPPKSPIAMDDQGLSWLKNYPHTLKLAVTVGRSRESGGLDLRQYDFTGPQERLDKPARLDELSKAQGLPQLAAEMDLNSFVDELLDISAARDPPAPVPGTSKRVQQEPSEAEDGEASDSERLTRYPQPQEPSPVSTRRGEVAINSKPRKVVHPRPPRVQGFHVTIPRRDERLKPDKAEVLPSPATKPLQEEPTPACGNEAIDKQKLRNKVEKIGMKAHDKAFKYVTKMAPNAKTKVTNLIAGSGKRVTSLWQHYLKDSGAYEGMNIEEEFVDSFGEGSNLLDLRGDIFQKFLQLQTANQLFQRMAGSRYHSVFAEECFTIPEEPEIKLANRYSGFFAAQAKLFARAVGDGTTTSVKKLRNKLSSIVDNPLSQLDDFPTELTNTDVNLIVVDDLAMNRVKEMLSLHIGILYPMPACCYDLLHPPLVPIYVHQWYMPYLMAASGHICATLTSLKSCIILIRREYLMSAYLHLMNNVDVAVFYETLNRNEPISPLERTDPVTFYSHVSLHVSVGPDPIPLKHLTELLENWQNEQRKTTYDALINLLKYFVEFWPLYSLICFCSKAGSSLRCFGFRQKSDIDTLVFTFNQGVQSVTLSDVYFPFCFKTLTQLVEQDSYDEPFQRQVEPDSLTGGTRPYAVEVYVEFTTEPNQKIRSGM
+>sp|Q8IV53|DEN1C_HUMAN DENN domain-containing protein 1C OS=Homo sapiens OX=9606 GN=DENND1C PE=1 SV=1
+MESRAEGGSPAVFDWFFEAACPASLQEDPPILRQFPPDFRDQEAMQMVPKFCFPFDVEREPPSPAVQHFTFALTDLAGNRRFGFCRLRAGTQSCLCILSHLPWFEVFYKLLNTVGDLLAQDQVTEAEELLQNLFQQSLSGPQASVGLELGSGVTVSSGQGIPPPTRGNSKPLSCFVAPDSGRLPSIPENRNLTELVVAVTDENIVGLFAALLAERRVLLTASKLSTLTSCVHASCALLYPMRWEHVLIPTLPPHLLDYCCAPMPYLIGVHASLAERVREKALEDVVVLNVDANTLETTFNDVQALPPDVVSLLRLRLRKVALAPGEGVSRLFLKAQALLFGGYRDALVCSPGQPVTFSEEVFLAQKPGAPLQAFHRRAVHLQLFKQFIEARLEKLNKGEGFSDQFEQEITGCGASSGALRSYQLWADNLKKGGGALLHSVKAKTQPAVKNMYRSAKSGLKGVQSLLMYKDGDSVLQRGGSLRAPALPSRSDRLQQRLPITQHFGKNRPLRPSRRRQLEEGTSEPPGAGTPPLSPEDEGCPWAEEALDSSFLGSGEELDLLSEILDSLSMGAKSAGSLRPSQSLDCCHRGDLDSCFSLPNIPRWQPDDKKLPEPEPQPLSLPSLQNASSLDATSSSKDSRSQLIPSESDQEVTSPSQSSTASADPSIWGDPKPSPLTEPLILHLTPSHKAAEDSTAQENPTPWLSTAPTEPSPPESPQILAPTKPNFDIAWTSQPLDPSSDPSSLEDPRARPPKALLAERAHLQPREEPGALNSPATPTSNCQKSQPSSRPRVADLKKCFEG
+>DECOY_sp|Q8IV53|DEN1C_HUMAN DENN domain-containing protein 1C OS=Homo sapiens OX=9606 GN=DENND1C PE=1 SV=1
+GEFCKKLDAVRPRSSPQSKQCNSTPTAPSNLAGPEERPQLHAREALLAKPPRARPDELSSPDSSPDLPQSTWAIDFNPKTPALIQPSEPPSPETPATSLWPTPNEQATSDEAAKHSPTLHLILPETLPSPKPDGWISPDASATSSQSPSTVEQDSESPILQSRSDKSSSTADLSSANQLSPLSLPQPEPEPLKKDDPQWRPINPLSFCSDLDGRHCCDLSQSPRLSGASKAGMSLSDLIESLLDLEEGSGLFSSDLAEEAWPCGEDEPSLPPTGAGPPESTGEELQRRRSPRLPRNKGFHQTIPLRQQLRDSRSPLAPARLSGGRQLVSDGDKYMLLSQVGKLGSKASRYMNKVAPQTKAKVSHLLAGGGKKLNDAWLQYSRLAGSSAGCGTIEQEFQDSFGEGKNLKELRAEIFQKFLQLHVARRHFAQLPAGPKQALFVEESFTVPQGPSCVLADRYGGFLLAQAKLFLRSVGEGPALAVKRLRLRLLSVVDPPLAQVDNFTTELTNADVNLVVVDELAKERVREALSAHVGILYPMPACCYDLLHPPLTPILVHEWRMPYLLACSAHVCSTLTSLKSATLLVRREALLAAFLGVINEDTVAVVLETLNRNEPISPLRGSDPAVFCSLPKSNGRTPPPIGQGSSVTVGSGLELGVSAQPGSLSQQFLNQLLEEAETVQDQALLDGVTNLLKYFVEFWPLHSLICLCSQTGARLRCFGFRRNGALDTLAFTFHQVAPSPPEREVDFPFCFKPVMQMAEQDRFDPPFQRLIPPDEQLSAPCAAEFFWDFVAPSGGEARSEM
+>sp|Q5VZ89|DEN4C_HUMAN DENN domain-containing protein 4C OS=Homo sapiens OX=9606 GN=DENND4C PE=1 SV=3
+MIEDKGPRVTDYFVVAGLTDTSTLLDQEINRLDTKSTGPKAPITDIAIIIKSAGETVPEGYTCVEATPSALQANLNYGSLKSPELFLCYKRGRDKPPLTDIGVLYEGKERLIPGCEVILATPYGRCANVNNSSTTSQRIFITYRRAPPVRPQNSLAVTDICVIVTSKGETPPHTFCKVDKNLNCGMWGSSVFLCYKKSVPASNAIAYKAGLIFRYPEEDYESFPLSESDVPLFCLPMGATIECWDPETKYPLPVFSTFVLTGSSAKKVYGAAIQFYEPYSRELLSEKQLMHLGLLTPVERKMVSKSINTNKCICLLSHWPFFEAFRKFLMFIYKLSVSGPHPLPIEKHISHFMQNIPFPSPQRPRILVQLSVHDALILSQPVSTPLPLSGANFSTLLMNLGPENCATLLLFVLLESKILLHSLRPAVLTGVAEAVVAMIFPFQWQCPYIPLCPLSLAAVLSAPLPFIVGVDSRYFDLHDPPQDVVCIDLDTNMLYVSDEKKNMNWKQLPKKPCKNLLSTLKKLYPQLSSVHQKTQEGSAIDMTPIEADFSWQKKMTQLEMEIQEAFLRFMASILKGYRTYLRPITEAPSNKATAADSLFDRQGFLKSRDRAYAKFYTLLSKTQIFIRFIEECSFVSDKDTGLAFFDDCIEKLFPDKGTEKTDKVDFDSAEDTRLIELDDSQKSEHTVFIMPPEPPPDDGKDLSPKYSYKYFPRLDLKLFDRPQELKLCFSRHPTGNSITKSPPLMAKRTKQEIKTAHKLAKRCYTNPPQWAKCLFSHCYSLWFICLPAYVRVSHPKVRALQQAYDVLIKMRKTDVDPLDEVCYRVVMQLCGLWGHPVLAVRVLFEMKTARIKPNAITYGYYNKVVLESPWPSSTRSGIFLWTKVRNVVRGLAQFRQPLKKTVQRSQVSSISGGQSDQGYGSKDELIKDDAEIHVPEEQAARELITKTKMQTEEVCDASAIVAKHSQPSPEPHSPTEPPAWGSSIVKVPSGIFDVNSRKSSTGSISNVLFSTQDPVEDAVFGEATNLKKNGDRGEKRQKHFPERSCSFSSESRAGMLLKKSSLDSNSSEMAIMMGADAKILTAALTCPKTSLLHIARTHSFENVSCHLPDSRTCMSESTWNPEHRSSPVPEMLEESQELLEPVVDDVPKTTATVDTYESLLSDSNSNQSRDLKTVSKDLRNKRSSLYGIAKVVQREDVETGLDPLSLLATECTGGKTPDSEDKLFSPVIARNLADEIESYMNLKSPLGSKSSSMELHREENRESGMTTAFIHALERRSSLPLDHGSPAQENPESEKSSPAVSRSKTFTGRFKQQTPSRTHKERSTSLSALVRSSPHGSLGSVVNSLSGLKLDNILSGPKIDVLKSGMKQAATVASKMWVAVASAYSYSDDEEETNRDYSFPAGLEDHILGENISPNTSISGLVPSELTQSNTSLGSSSSSGDVGKLHYPTGEVPFPRGMKGQDFEKSDHGSSQNTSMSSIYQNCAMEVLMSSCSQCRACGALVYDEEIMAGWTADDSNLNTACPFCKSNFLPLLNIEFKDLRGSASFFLKPSTSGDSLQSGSIPLANESLEHKPVSSLAEPDLINFMDFPKHNQIITEETGSAVEPSDEIKRASGDVQTMKISSVPNSLSKRNVSLTRSHSVGGPLQNIDFTQRPFHGISTVSLPNSLQEVVDPLGKRPNPPPVSVPYLSPLVLRKELESLLENEGDQVIHTSSFINQHPIIFWNLVWYFRRLDLPSNLPGLILTSEHCNEGVQLPLSSLSQDSKLVYIQLLWDNINLHQEPREPLYVSWRNFNSEKKSSLLSEEQQETSTLVETIRQSIQHNNVLKPINLLSQQMKPGMKRQRSLYREILFLSLVSLGRENIDIEAFDNEYGIAYNSLSSEILERLQKIDAPPSASVEWCRKCFGAPLI
+>DECOY_sp|Q5VZ89|DEN4C_HUMAN DENN domain-containing protein 4C OS=Homo sapiens OX=9606 GN=DENND4C PE=1 SV=3
+ILPAGFCKRCWEVSASPPADIKQLRELIESSLSNYAIGYENDFAEIDINERGLSVLSLFLIERYLSRQRKMGPKMQQSLLNIPKLVNNHQISQRITEVLTSTEQQEESLLSSKKESNFNRWSVYLPERPEQHLNINDWLLQIYVLKSDQSLSSLPLQVGENCHESTLILGPLNSPLDLRRFYWVLNWFIIPHQNIFSSTHIVQDGENELLSELEKRLVLPSLYPVSVPPPNPRKGLPDVVEQLSNPLSVTSIGHFPRQTFDINQLPGGVSHSRTLSVNRKSLSNPVSSIKMTQVDGSARKIEDSPEVASGTEETIIQNHKPFDMFNILDPEALSSVPKHELSENALPISGSQLSDGSTSPKLFFSASGRLDKFEINLLPLFNSKCFPCATNLNSDDATWGAMIEEDYVLAGCARCQSCSSMLVEMACNQYISSMSTNQSSGHDSKEFDQGKMGRPFPVEGTPYHLKGVDGSSSSSGLSTNSQTLESPVLGSISTNPSINEGLIHDELGAPFSYDRNTEEEDDSYSYASAVAVWMKSAVTAAQKMGSKLVDIKPGSLINDLKLGSLSNVVSGLSGHPSSRVLASLSTSREKHTRSPTQQKFRGTFTKSRSVAPSSKESEPNEQAPSGHDLPLSSRRELAHIFATTMGSERNEERHLEMSSSKSGLPSKLNMYSEIEDALNRAIVPSFLKDESDPTKGGTCETALLSLPDLGTEVDERQVVKAIGYLSSRKNRLDKSVTKLDRSQNSNSDSLLSEYTDVTATTKPVDDVVPELLEQSEELMEPVPSSRHEPNWTSESMCTRSDPLHCSVNEFSHTRAIHLLSTKPCTLAATLIKADAGMMIAMESSNSDLSSKKLLMGARSESSFSCSREPFHKQRKEGRDGNKKLNTAEGFVADEVPDQTSFLVNSISGTSSKRSNVDFIGSPVKVISSGWAPPETPSHPEPSPQSHKAVIASADCVEETQMKTKTILERAAQEEPVHIEADDKILEDKSGYGQDSQGGSISSVQSRQVTKKLPQRFQALGRVVNRVKTWLFIGSRTSSPWPSELVVKNYYGYTIANPKIRATKMEFLVRVALVPHGWLGCLQMVVRYCVEDLPDVDTKRMKILVDYAQQLARVKPHSVRVYAPLCIFWLSYCHSFLCKAWQPPNTYCRKALKHATKIEQKTRKAMLPPSKTISNGTPHRSFCLKLEQPRDFLKLDLRPFYKYSYKPSLDKGDDPPPEPPMIFVTHESKQSDDLEILRTDEASDFDVKDTKETGKDPFLKEICDDFFALGTDKDSVFSCEEIFRIFIQTKSLLTYFKAYARDRSKLFGQRDFLSDAATAKNSPAETIPRLYTRYGKLISAMFRLFAEQIEMELQTMKKQWSFDAEIPTMDIASGEQTKQHVSSLQPYLKKLTSLLNKCPKKPLQKWNMNKKEDSVYLMNTDLDICVVDQPPDHLDFYRSDVGVIFPLPASLVAALSLPCLPIYPCQWQFPFIMAVVAEAVGTLVAPRLSHLLIKSELLVFLLLTACNEPGLNMLLTSFNAGSLPLPTSVPQSLILADHVSLQVLIRPRQPSPFPINQMFHSIHKEIPLPHPGSVSLKYIFMLFKRFAEFFPWHSLLCICKNTNISKSVMKREVPTLLGLHMLQKESLLERSYPEYFQIAAGYVKKASSGTLVFTSFVPLPYKTEPDWCEITAGMPLCFLPVDSESLPFSEYDEEPYRFILGAKYAIANSAPVSKKYCLFVSSGWMGCNLNKDVKCFTHPPTEGKSTVIVCIDTVALSNQPRVPPARRYTIFIRQSTTSSNNVNACRGYPTALIVECGPILREKGEYLVGIDTLPPKDRGRKYCLFLEPSKLSGYNLNAQLASPTAEVCTYGEPVTEGASKIIIAIDTIPAKPGTSKTDLRNIEQDLLTSTDTLGAVVFYDTVRPGKDEIM
+>sp|Q6ZUT9|DEN5B_HUMAN DENN domain-containing protein 5B OS=Homo sapiens OX=9606 GN=DENND5B PE=1 SV=2
+MSGSCAAPGPGSGSSPAACRFAHYFVLCGIDADSGLEPDELAGENFDQSPLRRTFKSKVLAHYPQNIEWNPFDQDAVNMLCMPKGLSFRTQTDNKDPQFHSFIITREDGSRTYGFVLTFYEEVTSKQICTAMQTLYQMHNAEHYSSVYASSSCSMDSLASSLDEGDTTSLLKLQRYNSYDISRDTLYVSKSICLITPLPFMQACKKFLIQLYKAVTSQQPPPLPLESYIHNILYEVPLPPPGRSLKFYGVYEPVICQRPGPSELPLSDYPLREAFELLGLENLVQVFTCVLLEMQILLYSQDYQRLMTVAEGITTLLFPFQWQHVYVPILPASLLHFLDAPVPYLMGLQSKEGTDRSKLELPQEANLCFVDIDNHFIELPEEFPQFPNKVDFIQELSEVLVQFGIPPEGSLHCSESTSKLKNMVLKDLVNDKKNGNVCTNNISMYELLKGNETIARLQALAKRTGVAVEKMDLSASLGEKDKDLKLHCEEAELRDYQLNVQLREVFANRFTQMFADYEAFVIQTAQDMESWLTNREQMQNFDKASFLSDQPEPYLPFLSRFIETQMFATFIDNKIMSQWEEKDPLLRVFDTRIDKIRLYNVRAPTLRTSIYQKCSTLKEAAQSIEQRLMKMDHTAIHPHLLDMKIGQGKYEQGFFPKLQSDVLATGPTSNNRWVSRSATAQRRKERLRQHSEHVGLDNDLREKYMQEARSLGKNLRQPKLSDLSPAVIAQTNCKFVEGLLKECRMKTKRMLVEKMGHEAVELGHGEANITGLEENTLIASLCDLLERIWSHGLQVKQGKSALWSHLIQFQDREEKQEHLAESPVALGPERRKSDSGVMLPTLRVSLIQDMRHIQNMSEIKTDVGRARAWIRLSLEKKLLSQHLKQLLSNQPLTKKLYKRYAFLRCEEEREQFLYHLLSLNAVDYFCFTSVFTTIMIPYRSVIIPIKKLSNAIITSNPWICVSGELGDTGVMQIPKNLLEMTFECQNLGKLTTVQIGHDNSGLLAKWLVDCVMVRNEITGHTYRFPCGRWLGKGIDDGSLERILIGELMTSASDEDLVKQCRTPPQQKSPTTARRLSITSLTGKNNKPNAGQIQEGIGEAVNNIVKHFHKPEKERGSLTVLLCGENGLVAALEQVFHHGFKSARIFHKNVFIWDFIEKVVAYFETTDQILDNEDDVLIQKSSCKTFCHYVNAINTAPRNIGKDGKFQILVCLGTRDRLLPQWIPLLAECPAITRMYEESALLRDRMTVNSLIRILQTIQDFTIVLEGSLIKGVDV
+>DECOY_sp|Q6ZUT9|DEN5B_HUMAN DENN domain-containing protein 5B OS=Homo sapiens OX=9606 GN=DENND5B PE=1 SV=2
+VDVGKILSGELVITFDQITQLIRILSNVTMRDRLLASEEYMRTIAPCEALLPIWQPLLRDRTGLCVLIQFKGDKGINRPATNIANVYHCFTKCSSKQILVDDENDLIQDTTEFYAVVKEIFDWIFVNKHFIRASKFGHHFVQELAAVLGNEGCLLVTLSGREKEPKHFHKVINNVAEGIGEQIQGANPKNNKGTLSTISLRRATTPSKQQPPTRCQKVLDEDSASTMLEGILIRELSGDDIGKGLWRGCPFRYTHGTIENRVMVCDVLWKALLGSNDHGIQVTTLKGLNQCEFTMELLNKPIQMVGTDGLEGSVCIWPNSTIIANSLKKIPIIVSRYPIMITTFVSTFCFYDVANLSLLHYLFQEREEECRLFAYRKYLKKTLPQNSLLQKLHQSLLKKELSLRIWARARGVDTKIESMNQIHRMDQILSVRLTPLMVGSDSKRREPGLAVPSEALHEQKEERDQFQILHSWLASKGQKVQLGHSWIRELLDCLSAILTNEELGTINAEGHGLEVAEHGMKEVLMRKTKMRCEKLLGEVFKCNTQAIVAPSLDSLKPQRLNKGLSRAEQMYKERLDNDLGVHESHQRLREKRRQATASRSVWRNNSTPGTALVDSQLKPFFGQEYKGQGIKMDLLHPHIATHDMKMLRQEISQAAEKLTSCKQYISTRLTPARVNYLRIKDIRTDFVRLLPDKEEWQSMIKNDIFTAFMQTEIFRSLFPLYPEPQDSLFSAKDFNQMQERNTLWSEMDQATQIVFAEYDAFMQTFRNAFVERLQVNLQYDRLEAEECHLKLDKDKEGLSASLDMKEVAVGTRKALAQLRAITENGKLLEYMSINNTCVNGNKKDNVLDKLVMNKLKSTSESCHLSGEPPIGFQVLVESLEQIFDVKNPFQPFEEPLEIFHNDIDVFCLNAEQPLELKSRDTGEKSQLGMLYPVPADLFHLLSAPLIPVYVHQWQFPFLLTTIGEAVTMLRQYDQSYLLIQMELLVCTFVQVLNELGLLEFAERLPYDSLPLESPGPRQCIVPEYVGYFKLSRGPPPLPVEYLINHIYSELPLPPPQQSTVAKYLQILFKKCAQMFPLPTILCISKSVYLTDRSIDYSNYRQLKLLSTTDGEDLSSALSDMSCSSSAYVSSYHEANHMQYLTQMATCIQKSTVEEYFTLVFGYTRSGDERTIIFSHFQPDKNDTQTRFSLGKPMCLMNVADQDFPNWEINQPYHALVKSKFTRRLPSQDFNEGALEDPELGSDADIGCLVFYHAFRCAAPSSGSGPGPAACSGSM
+>sp|O15194|CTDSL_HUMAN CTD small phosphatase-like protein OS=Homo sapiens OX=9606 GN=CTDSPL PE=1 SV=2
+MDGPAIITQVTNPKEDEGRLPGAGEKASQCNVSLKKQRSRSILSSFFCCFRDYNVEAPPPSSPSVLPPLVEENGGLQKGDQRQVIPIPSPPAKYLLPEVTVLDYGKKCVVIDLDETLVHSSFKPISNADFIVPVEIDGTIHQVYVLKRPHVDEFLQRMGQLFECVLFTASLAKYADPVADLLDRWGVFRARLFRESCVFHRGNYVKDLSRLGRELSKVIIVDNSPASYIFHPENAVPVQSWFDDMTDTELLDLIPFFEGLSREDDVYSMLHRLCNR
+>DECOY_sp|O15194|CTDSL_HUMAN CTD small phosphatase-like protein OS=Homo sapiens OX=9606 GN=CTDSPL PE=1 SV=2
+RNCLRHLMSYVDDERSLGEFFPILDLLETDTMDDFWSQVPVANEPHFIYSAPSNDVIIVKSLERGLRSLDKVYNGRHFVCSERFLRARFVGWRDLLDAVPDAYKALSATFLVCEFLQGMRQLFEDVHPRKLVYVQHITGDIEVPVIFDANSIPKFSSHVLTEDLDIVVCKKGYDLVTVEPLLYKAPPSPIPIVQRQDGKQLGGNEEVLPPLVSPSSPPPAEVNYDRFCCFFSSLISRSRQKKLSVNCQSAKEGAGPLRGEDEKPNTVQTIIAPGDM
+>sp|Q8WVB6|CTF18_HUMAN Chromosome transmission fidelity protein 18 homolog OS=Homo sapiens OX=9606 GN=CHTF18 PE=1 SV=1
+MEDYEQELCGVEDDFHNQFAAELEVLAELEGASTPSPSGVPLFTAGRPPRTFEEALARGDAASSPAPAASVGSSQGGARKRQVDADLQPAGSLPHAPRIKRPRLQVVKRLNFRSEEMEEPPPPDSSPTDITPPPSPEDLAELWGHGVSEAAADVGLTRASPAARNPVLRRPPILEDYVHVTSTEGVRAYLVLRADPMAPGVQGSLLHVPWRGGGQLDLLGVSLASLKKQVDGERRERLLQEAQKLSDTLHSLRSGEEEAAQPLGAPEEEPTDGQDASSHCLWVDEFAPRHYTELLSDDFTNRCLLKWLKLWDLVVFGHERPSRKPRPSVEPARVSKEATAPGKWKSHEQVLEEMLEAGLDPSQRPKQKVALLCGPPGLGKTTLAHVIARHAGYSVVEMNASDDRSPEVFRTRIEAATQMESVLGAGGKPNCLVIDEIDGAPVAAINVLLSILNRKGPQEVGPQGPAVPSGGGRRRRAEGGLLMRPIICICNDQFAPSLRQLKQQAFLLHFPPTLPSRLVQRLQEVSLRQGMRADPGVLAALCEKTDNDIRACINTLQFLYSRGQRELSVRDVQATRVGLKDQRRGLFSVWQEVFQLPRAQRRRVGQDPALPADTLLLGDGDAGSLTSASQRFYRVLHAAASAGEHEKVVQGLFDNFLRLRLRDSSLGAVCVALDWLAFDDLLAGAAHHSQSFQLLRYPPFLPVAFHVLFASSHTPRITFPSSQQEAQNRMSQMRNLIQTLVSGIAPATRSRATPQALLLDALCLLLDILAPKLRPVSTQLYSTREKQQLASLVGTMLAYSLTYRQERTPDGQYIYRLEPNVEELCRFPELPARKPLTYQTKQLIAREIEVEKMRRAEASARVENSPQVDGSPPGLEGLLGGIGEKGVHRPAPRNHEQRLEHIMRRAAREEQPEKDFFGRVVVRSTAVPSAGDTAPEQDSVERRMGTAVGRSEVWFRFNEGVSNAVRRSLYIRDLL
+>DECOY_sp|Q8WVB6|CTF18_HUMAN Chromosome transmission fidelity protein 18 homolog OS=Homo sapiens OX=9606 GN=CHTF18 PE=1 SV=1
+LLDRIYLSRRVANSVGENFRFWVESRGVATGMRREVSDQEPATDGASPVATSRVVVRGFFDKEPQEERAARRMIHELRQEHNRPAPRHVGKEGIGGLLGELGPPSGDVQPSNEVRASAEARRMKEVEIERAILQKTQYTLPKRAPLEPFRCLEEVNPELRYIYQGDPTREQRYTLSYALMTGVLSALQQKERTSYLQTSVPRLKPALIDLLLCLADLLLAQPTARSRTAPAIGSVLTQILNRMQSMRNQAEQQSSPFTIRPTHSSAFLVHFAVPLFPPYRLLQFSQSHHAAGALLDDFALWDLAVCVAGLSSDRLRLRLFNDFLGQVVKEHEGASAAAHLVRYFRQSASTLSGADGDGLLLTDAPLAPDQGVRRRQARPLQFVEQWVSFLGRRQDKLGVRTAQVDRVSLERQGRSYLFQLTNICARIDNDTKECLAALVGPDARMGQRLSVEQLRQVLRSPLTPPFHLLFAQQKLQRLSPAFQDNCICIIPRMLLGGEARRRRGGGSPVAPGQPGVEQPGKRNLISLLVNIAAVPAGDIEDIVLCNPKGGAGLVSEMQTAAEIRTRFVEPSRDDSANMEVVSYGAHRAIVHALTTKGLGPPGCLLAVKQKPRQSPDLGAELMEELVQEHSKWKGPATAEKSVRAPEVSPRPKRSPREHGFVVLDWLKLWKLLCRNTFDDSLLETYHRPAFEDVWLCHSSADQGDTPEEEPAGLPQAAEEEGSRLSHLTDSLKQAEQLLRERREGDVQKKLSALSVGLLDLQGGGRWPVHLLSGQVGPAMPDARLVLYARVGETSTVHVYDELIPPRRLVPNRAAPSARTLGVDAAAESVGHGWLEALDEPSPPPTIDTPSSDPPPPEEMEESRFNLRKVVQLRPRKIRPAHPLSGAPQLDADVQRKRAGGQSSGVSAAPAPSSAADGRALAEEFTRPPRGATFLPVGSPSPTSAGELEALVELEAAFQNHFDDEVGCLEQEYDEM
+>sp|Q8IX95|CTGE3_HUMAN Putative cTAGE family member 3 OS=Homo sapiens OX=9606 GN=CTAGE3P PE=5 SV=1
+MTFKGFQMNEEKLEIGIQDASSENCQLQESQKQLLQEAEVWKEQVSELNKQKITFEDSKVHAEQVLNDKENHIETLTERLLKIKDQAAVLEEDITDDGNLELEMNSELKDGAYLDNPPKGALKKLIHAAKLNASLTTLEGERNQFIFSYLKLIKPGRA
+>DECOY_sp|Q8IX95|CTGE3_HUMAN Putative cTAGE family member 3 OS=Homo sapiens OX=9606 GN=CTAGE3P PE=5 SV=1
+ARGPKILKLYSFIFQNREGELTTLSANLKAAHILKKLAGKPPNDLYAGDKLESNMELELNGDDTIDEELVAAQDKIKLLRETLTEIHNEKDNLVQEAHVKSDEFTIKQKNLESVQEKWVEAEQLLQKQSEQLQCNESSADQIGIELKEENMQFGKFTM
+>sp|Q8IX94|CTGE4_HUMAN cTAGE family member 4 OS=Homo sapiens OX=9606 GN=CTAGE4 PE=2 SV=3
+MEEPGATPQPYLGLVLEELRRVVAALPESMRPDENPYGFPSELVVCAAVIGFFVVLLFLWRSFRSVRSRLYVGREQKLGATLSGLIEEKCKLLEKFSLIQKEYEGYEVESSLEDASFEKAAAEEARSLEATCEKLNRSNSELEDEILCLEKDLKEEKSKHSQQDELMADISKSIQSLEDESKSLKSQIAEAKIICKTFKMSEERRAIAIKDALNENSQLQTSHKQLFQQEAEVWKGEVSELNKQKITFEDSKVHAEQVLNDKENHIKTLTGHLPMMKDQAAVLEEDTTDDDNLELEVNSQWENGANLDDPLKGALKKLIHAAKLNVSLKSLEGERNHIIIQLSEVDKTKEELTEHIKNLQTQQASLQSENIYFESENQKLQQKLKIMTEFYQENEMKLYRKLTVEENYRIEEEEKLSRVEEKISRATEGLETYRKLAKDLEEELERTVHFYQKQVISYEKRGHDNWLAARTAERNLSDLRKENAHNKQKLTETELKFELLEKDPNALDVSNTAFGREHSPCSPSPLGRPSSETRAFPSPQTLLEDPLRLSPVLPGGGGRGPSSPGNPLDHQITNERGEPSYDRLIDPHRAPSDTGSLSSPVEQDRRMMFPPPGQSYPDSTLPPQREDRFYSNSERLSGPAEPRSFKMTSLDKMDRSMPSEMESSRNDAKDDLGNLNVPDSSLPAENEATGPGLIPPPLAPISGPLFPVDTRGPFMRRGPPFPPPPPGTMFGASRGYFPPRDFPGPPHAPFAMRNIYPPRGLPPYLHPRPGFYPNPTF
+>DECOY_sp|Q8IX94|CTGE4_HUMAN cTAGE family member 4 OS=Homo sapiens OX=9606 GN=CTAGE4 PE=2 SV=3
+FTPNPYFGPRPHLYPPLGRPPYINRMAFPAHPPGPFDRPPFYGRSAGFMTGPPPPPFPPGRRMFPGRTDVPFLPGSIPALPPPILGPGTAENEAPLSSDPVNLNGLDDKADNRSSEMESPMSRDMKDLSTMKFSRPEAPGSLRESNSYFRDERQPPLTSDPYSQGPPPFMMRRDQEVPSSLSGTDSPARHPDILRDYSPEGRENTIQHDLPNGPSSPGRGGGGPLVPSLRLPDELLTQPSPFARTESSPRGLPSPSCPSHERGFATNSVDLANPDKELLEFKLETETLKQKNHANEKRLDSLNREATRAALWNDHGRKEYSIVQKQYFHVTRELEEELDKALKRYTELGETARSIKEEVRSLKEEEEIRYNEEVTLKRYLKMENEQYFETMIKLKQQLKQNESEFYINESQLSAQQTQLNKIHETLEEKTKDVESLQIIIHNREGELSKLSVNLKAAHILKKLAGKLPDDLNAGNEWQSNVELELNDDDTTDEELVAAQDKMMPLHGTLTKIHNEKDNLVQEAHVKSDEFTIKQKNLESVEGKWVEAEQQFLQKHSTQLQSNENLADKIAIARREESMKFTKCIIKAEAIQSKLSKSEDELSQISKSIDAMLEDQQSHKSKEEKLDKELCLIEDELESNSRNLKECTAELSRAEEAAAKEFSADELSSEVEYGEYEKQILSFKELLKCKEEILGSLTAGLKQERGVYLRSRVSRFSRWLFLLVVFFGIVAACVVLESPFGYPNEDPRMSEPLAAVVRRLEELVLGLYPQPTAGPEEM
+>sp|O15320|CTGE5_HUMAN Endoplasmic reticulum export factor CTAGE5 OS=Homo sapiens OX=9606 GN=CTAGE5 PE=1 SV=4
+MEEPGVTPQPYLGLLLEELRRVVAALPEGMRPDSNLYGFPWELVICAAVVGFFAVLFFLWRSFRSVRSRLYVGREKKLALMLSGLIEEKSKLLEKFSLVQKEYEGYEVESSLKDASFEKEATEAQSLEATCEKLNRSNSELEDEILCLEKELKEEKSKHSEQDELMADISKRIQSLEDESKSLKSQVAEAKMTFKIFQMNEERLKIAIKDALNENSQLQESQKQLLQEAEVWKEQVSELNKQKVTFEDSKVHAEQVLNDKESHIKTLTERLLKMKDWAAMLGEDITDDDNLELEMNSESENGAYLDNPPKGALKKLIHAAKLNASLKTLEGERNQIYIQLSEVDKTKEELTEHIKNLQTEQASLQSENTHFENENQKLQQKLKVMTELYQENEMKLHRKLTVEENYRLEKEEKLSKVDEKISHATEELETYRKRAKDLEEELERTIHSYQGQIISHEKKAHDNWLAARNAERNLNDLRKENAHNRQKLTETELKFELLEKDPYALDVPNTAFGREHSPYGPSPLGWPSSETRAFLSPPTLLEGPLRLSPLLPGGGGRGSRGPGNPLDHQITNERGESSCDRLTDPHRAPSDTGSLSPPWDQDRRMMFPPPGQSYPDSALPPQRQDRFCSNSGRLSGPAELRSFNMPSLDKMDGSMPSEMESSRNDTKDDLGNLNVPDSSLPAENEATGPGFVPPPLAPIRGPLFPVDARGPFLRRGPPFPPPPPGAMFGASRDYFPPGDFPGPPPAPFAMRNVYPPRGFPPYLPPRPGFFPPPPHSEGRSEFPSGLIPPSNEPATEHPEPQQET
+>DECOY_sp|O15320|CTGE5_HUMAN Endoplasmic reticulum export factor CTAGE5 OS=Homo sapiens OX=9606 GN=CTAGE5 PE=1 SV=4
+TEQQPEPHETAPENSPPILGSPFESRGESHPPPPFFGPRPPLYPPFGRPPYVNRMAFPAPPPGPFDGPPFYDRSAGFMAGPPPPPFPPGRRLFPGRADVPFLPGRIPALPPPVFGPGTAENEAPLSSDPVNLNGLDDKTDNRSSEMESPMSGDMKDLSPMNFSRLEAPGSLRGSNSCFRDQRQPPLASDPYSQGPPPFMMRRDQDWPPSLSGTDSPARHPDTLRDCSSEGRENTIQHDLPNGPGRSGRGGGGPLLPSLRLPGELLTPPSLFARTESSPWGLPSPGYPSHERGFATNPVDLAYPDKELLEFKLETETLKQRNHANEKRLDNLNREANRAALWNDHAKKEHSIIQGQYSHITRELEEELDKARKRYTELEETAHSIKEDVKSLKEEKELRYNEEVTLKRHLKMENEQYLETMVKLKQQLKQNENEFHTNESQLSAQETQLNKIHETLEEKTKDVESLQIYIQNREGELTKLSANLKAAHILKKLAGKPPNDLYAGNESESNMELELNDDDTIDEGLMAAWDKMKLLRETLTKIHSEKDNLVQEAHVKSDEFTVKQKNLESVQEKWVEAEQLLQKQSEQLQSNENLADKIAIKLREENMQFIKFTMKAEAVQSKLSKSEDELSQIRKSIDAMLEDQESHKSKEEKLEKELCLIEDELESNSRNLKECTAELSQAETAEKEFSADKLSSEVEYGEYEKQVLSFKELLKSKEEILGSLMLALKKERGVYLRSRVSRFSRWLFFLVAFFGVVAACIVLEWPFGYLNSDPRMGEPLAAVVRRLEELLLGLYPQPTVGPEEM
+>sp|P29279|CTGF_HUMAN Connective tissue growth factor OS=Homo sapiens OX=9606 GN=CTGF PE=1 SV=2
+MTAASMGPVRVAFVVLLALCSRPAVGQNCSGPCRCPDEPAPRCPAGVSLVLDGCGCCRVCAKQLGELCTERDPCDPHKGLFCHFGSPANRKIGVCTAKDGAPCIFGGTVYRSGESFQSSCKYQCTCLDGAVGCMPLCSMDVRLPSPDCPFPRRVKLPGKCCEEWVCDEPKDQTVVGPALAAYRLEDTFGPDPTMIRANCLVQTTEWSACSKTCGMGISTRVTNDNASCRLEKQSRLCMVRPCEADLEENIKKGKKCIRTPKISKPIKFELSGCTSMKTYRAKFCGVCTDGRCCTPHRTTTLPVEFKCPDGEVMKKNMMFIKTCACHYNCPGDNDIFESLYYRKMYGDMA
+>DECOY_sp|P29279|CTGF_HUMAN Connective tissue growth factor OS=Homo sapiens OX=9606 GN=CTGF PE=1 SV=2
+AMDGYMKRYYLSEFIDNDGPCNYHCACTKIFMMNKKMVEGDPCKFEVPLTTTRHPTCCRGDTCVGCFKARYTKMSTCGSLEFKIPKSIKPTRICKKGKKINEELDAECPRVMCLRSQKELRCSANDNTVRTSIGMGCTKSCASWETTQVLCNARIMTPDPGFTDELRYAALAPGVVTQDKPEDCVWEECCKGPLKVRRPFPCDPSPLRVDMSCLPMCGVAGDLCTCQYKCSSQFSEGSRYVTGGFICPAGDKATCVGIKRNAPSGFHCFLGKHPDCPDRETCLEGLQKACVRCCGCGDLVLSVGAPCRPAPEDPCRCPGSCNQGVAPRSCLALLVVFAVRVPGMSAATM
+>sp|Q6PD62|CTR9_HUMAN RNA polymerase-associated protein CTR9 homolog OS=Homo sapiens OX=9606 GN=CTR9 PE=1 SV=1
+MSRGSIEIPLRDTDEVIELDFDQLPEGDEVISILKQEHTQLHIWIALALEYYKQGKTEEFVKLLEAARIDGNLDYRDHEKDQMTCLDTLAAYYVQQARKEKNKDNKKDLITQATLLYTMADKIIMYDQNHLLGRACFCLLEGDKMDQADAQFHFVLNQSPNNIPALLGKACISFNKKDYRGALAYYKKALRTNPGCPAEVRLGMGHCFVKLNKLEKARLAFSRALELNSKCVGALVGLAVLELNNKEADSIKNGVQLLSRAYTIDPSNPMVLNHLANHFFFKKDYSKVQHLALHAFHNTEVEAMQAESCYQLARSFHVQEDYDQAFQYYYQATQFASSSFVLPFFGLGQMYIYRGDKENASQCFEKVLKAYPNNYETMKILGSLYAASEDQEKRDIAKGHLKKVTEQYPDDVEAWIELAQILEQTDIQGALSAYGTATRILQEKVQADVPPEILNNVGALHFRLGNLGEAKKYFLASLDRAKAEAEHDEHYYNAISVTTSYNLARLYEAMCEFHEAEKLYKNILREHPNYVDCYLRLGAMARDKGNFYEASDWFKEALQINQDHPDAWSLIGNLHLAKQEWGPGQKKFERILKQPSTQSDTYSMLALGNVWLQTLHQPTRDREKEKRHQDRALAIYKQVLRNDAKNLYAANGIGAVLAHKGYFREARDVFAQVREATADISDVWLNLAHIYVEQKQYISAVQMYENCLRKFYKHQNTEVVLYLARALFKCGKLQECKQTLLKARHVAPSDTVLMFNVALVLQRLATSVLKDEKSNLKEVLNAVKELELAHRYFSYLSKVGDKMRFDLALAATEARQCSDLLSQAQYHVARARKQDEEERELRAKQEQEKELLRQKLLKEQEEKRLREKEEQKKLLEQRAQYVEKTKNILMFTGETEATKEKKRGGGGGRRSKKGGEFDEFVNDDTDDDLPISKKKKRRKGSGSEQEGEDEEGGERKKKKRRRHPKGEEGSDDDETENGPKPKKRRPPKAEKKKAPKPERLPPSMKGKIKSKAIISSSDDSSDEDKLKIADEGHPRNSNSNSDSDEDEQRKKCASSESDSDENQNKSGSEAGSPRRPRRQRSDQDSDSDQPSRKRRPSGSEQSDNESVQSGRSHSGVSENDSRPASPSAESDHESERGSDNEGSGQGSGNESEPEGSNNEASDRGSEHGSDDSD
+>DECOY_sp|Q6PD62|CTR9_HUMAN RNA polymerase-associated protein CTR9 homolog OS=Homo sapiens OX=9606 GN=CTR9 PE=1 SV=1
+DSDDSGHESGRDSAENNSGEPESENGSGQGSGENDSGRESEHDSEASPSAPRSDNESVGSHSRGSQVSENDSQESGSPRRKRSPQDSDSDQDSRQRRPRRPSGAESGSKNQNEDSDSESSACKKRQEDEDSDSNSNSNRPHGEDAIKLKDEDSSDDSSSIIAKSKIKGKMSPPLREPKPAKKKEAKPPRRKKPKPGNETEDDDSGEEGKPHRRRKKKKREGGEEDEGEQESGSGKRRKKKKSIPLDDDTDDNVFEDFEGGKKSRRGGGGGRKKEKTAETEGTFMLINKTKEVYQARQELLKKQEEKERLRKEEQEKLLKQRLLEKEQEQKARLEREEEDQKRARAVHYQAQSLLDSCQRAETAALALDFRMKDGVKSLYSFYRHALELEKVANLVEKLNSKEDKLVSTALRQLVLAVNFMLVTDSPAVHRAKLLTQKCEQLKGCKFLARALYLVVETNQHKYFKRLCNEYMQVASIYQKQEVYIHALNLWVDSIDATAERVQAFVDRAERFYGKHALVAGIGNAAYLNKADNRLVQKYIALARDQHRKEKERDRTPQHLTQLWVNGLALMSYTDSQTSPQKLIREFKKQGPGWEQKALHLNGILSWADPHDQNIQLAEKFWDSAEYFNGKDRAMAGLRLYCDVYNPHERLINKYLKEAEHFECMAEYLRALNYSTTVSIANYYHEDHEAEAKARDLSALFYKKAEGLNGLRFHLAGVNNLIEPPVDAQVKEQLIRTATGYASLAGQIDTQELIQALEIWAEVDDPYQETVKKLHGKAIDRKEQDESAAYLSGLIKMTEYNNPYAKLVKEFCQSANEKDGRYIYMQGLGFFPLVFSSSAFQTAQYYYQFAQDYDEQVHFSRALQYCSEAQMAEVETNHFAHLALHQVKSYDKKFFFHNALHNLVMPNSPDITYARSLLQVGNKISDAEKNNLELVALGVLAGVCKSNLELARSFALRAKELKNLKVFCHGMGLRVEAPCGPNTRLAKKYYALAGRYDKKNFSICAKGLLAPINNPSQNLVFHFQADAQDMKDGELLCFCARGLLHNQDYMIIKDAMTYLLTAQTILDKKNDKNKEKRAQQVYYAALTDLCTMQDKEHDRYDLNGDIRAAELLKVFEETKGQKYYELALAIWIHLQTHEQKLISIVEDGEPLQDFDLEIVEDTDRLPIEISGRSM
+>sp|P17538|CTRB1_HUMAN Chymotrypsinogen B OS=Homo sapiens OX=9606 GN=CTRB1 PE=2 SV=2
+MASLWLLSCFSLVGAAFGCGVPAIHPVLSGLSRIVNGEDAVPGSWPWQVSLQDKTGFHFCGGSLISEDWVVTAAHCGVRTSDVVVAGEFDQGSDEENIQVLKIAKVFKNPKFSILTVNNDITLLKLATPARFSQTVSAVCLPSADDDFPAGTLCATTGWGKTKYNANKTPDKLQQAALPLLSNAECKKSWGRRITDVMICAGASGVSSCMGDSGGPLVCQKDGAWTLVGIVSWGSDTCSTSSPGVYARVTKLIPWVQKILAAN
+>DECOY_sp|P17538|CTRB1_HUMAN Chymotrypsinogen B OS=Homo sapiens OX=9606 GN=CTRB1 PE=2 SV=2
+NAALIKQVWPILKTVRAYVGPSSTSCTDSGWSVIGVLTWAGDKQCVLPGGSDGMCSSVGSAGACIMVDTIRRGWSKKCEANSLLPLAAQQLKDPTKNANYKTKGWGTTACLTGAPFDDDASPLCVASVTQSFRAPTALKLLTIDNNVTLISFKPNKFVKAIKLVQINEEDSGQDFEGAVVVDSTRVGCHAATVVWDESILSGGCFHFGTKDQLSVQWPWSGPVADEGNVIRSLGSLVPHIAPVGCGFAAGVLSFCSLLWLSAM
+>sp|Q6GPI1|CTRB2_HUMAN Chymotrypsinogen B2 OS=Homo sapiens OX=9606 GN=CTRB2 PE=2 SV=2
+MAFLWLLSCWALLGTTFGCGVPAIHPVLSGLSRIVNGEDAVPGSWPWQVSLQDKTGFHFCGGSLISEDWVVTAAHCGVRTSDVVVAGEFDQGSDEENIQVLKIAKVFKNPKFSILTVNNDITLLKLATPARFSQTVSAVCLPSADDDFPAGTLCATTGWGKTKYNANKTPDKLQQAALPLLSNAECKKSWGRRITDVMICAGASGVSSCMGDSGGPLVCQKDGAWTLVGIVSWGSRTCSTTTPAVYARVAKLIPWVQKILAAN
+>DECOY_sp|Q6GPI1|CTRB2_HUMAN Chymotrypsinogen B2 OS=Homo sapiens OX=9606 GN=CTRB2 PE=2 SV=2
+NAALIKQVWPILKAVRAYVAPTTTSCTRSGWSVIGVLTWAGDKQCVLPGGSDGMCSSVGSAGACIMVDTIRRGWSKKCEANSLLPLAAQQLKDPTKNANYKTKGWGTTACLTGAPFDDDASPLCVASVTQSFRAPTALKLLTIDNNVTLISFKPNKFVKAIKLVQINEEDSGQDFEGAVVVDSTRVGCHAATVVWDESILSGGCFHFGTKDQLSVQWPWSGPVADEGNVIRSLGSLVPHIAPVGCGFTTGLLAWCSLLWLFAM
+>sp|Q99895|CTRC_HUMAN Chymotrypsin-C OS=Homo sapiens OX=9606 GN=CTRC PE=1 SV=2
+MLGITVLAALLACASSCGVPSFPPNLSARVVGGEDARPHSWPWQISLQYLKNDTWRHTCGGTLIASNFVLTAAHCISNTRTYRVAVGKNNLEVEDEEGSLFVGVDTIHVHKRWNALLLRNDIALIKLAEHVELSDTIQVACLPEKDSLLPKDYPCYVTGWGRLWTNGPIADKLQQGLQPVVDHATCSRIDWWGFRVKKTMVCAGGDGVISACNGDSGGPLNCQLENGSWEVFGIVSFGSRRGCNTRKKPVVYTRVSAYIDWINEKMQL
+>DECOY_sp|Q99895|CTRC_HUMAN Chymotrypsin-C OS=Homo sapiens OX=9606 GN=CTRC PE=1 SV=2
+LQMKENIWDIYASVRTYVVPKKRTNCGRRSGFSVIGFVEWSGNELQCNLPGGSDGNCASIVGDGGACVMTKKVRFGWWDIRSCTAHDVVPQLGQQLKDAIPGNTWLRGWGTVYCPYDKPLLSDKEPLCAVQITDSLEVHEALKILAIDNRLLLANWRKHVHITDVGVFLSGEEDEVELNNKGVAVRYTRTNSICHAATLVFNSAILTGGCTHRWTDNKLYQLSIQWPWSHPRADEGGVVRASLNPPFSPVGCSSACALLAALVTIGLM
+>sp|O14578|CTRO_HUMAN Citron Rho-interacting kinase OS=Homo sapiens OX=9606 GN=CIT PE=1 SV=2
+MLKFKYGARNPLDAGAAEPIASRASRLNLFFQGKPPFMTQQQMSPLSREGILDALFVLFEECSQPALMKIKHVSNFVRKYSDTIAELQELQPSAKDFEVRSLVGCGHFAEVQVVREKATGDIYAMKVMKKKALLAQEQVSFFEEERNILSRSTSPWIPQLQYAFQDKNHLYLVMEYQPGGDLLSLLNRYEDQLDENLIQFYLAELILAVHSVHLMGYVHRDIKPENILVDRTGHIKLVDFGSAAKMNSNKMVNAKLPIGTPDYMAPEVLTVMNGDGKGTYGLDCDWWSVGVIAYEMIYGRSPFAEGTSARTFNNIMNFQRFLKFPDDPKVSSDFLDLIQSLLCGQKERLKFEGLCCHPFFSKIDWNNIRNSPPPFVPTLKSDDDTSNFDEPEKNSWVSSSPCQLSPSGFSGEELPFVGFSYSKALGILGRSESVVSGLDSPAKTSSMEKKLLIKSKELQDSQDKCHKMEQEMTRLHRRVSEVEAVLSQKEVELKASETQRSLLEQDLATYITECSSLKRSLEQARMEVSQEDDKALQLLHDIREQSRKLQEIKEQEYQAQVEEMRLMMNQLEEDLVSARRRSDLYESELRESRLAAEEFKRKATECQHKLLKAKDQGKPEVGEYAKLEKINAEQQLKIQELQEKLEKAVKASTEATELLQNIRQAKERAERELEKLQNREDSSEGIRKKLVEAEELEEKHREAQVSAQHLEVHLKQKEQHYEEKIKVLDNQIKKDLADKETLENMMQRHEEEAHEKGKILSEQKAMINAMDSKIRSLEQRIVELSEANKLAANSSLFTQRNMKAQEEMISELRQQKFYLETQAGKLEAQNRKLEEQLEKISHQDHSDKNRLLELETRLREVSLEHEEQKLELKRQLTELQLSLQERESQLTALQAARAALESQLRQAKTELEETTAEAEEEIQALTAHRDEIQRKFDALRNSCTVITDLEEQLNQLTEDNAELNNQNFYLSKQLDEASGANDEIVQLRSEVDHLRREITEREMQLTSQKQTMEALKTTCTMLEEQVMDLEALNDELLEKERQWEAWRSVLGDEKSQFECRVRELQRMLDTEKQSRARADQRITESRQVVELAVKEHKAEILALQQALKEQKLKAESLSDKLNDLEKKHAMLEMNARSLQQKLETERELKQRLLEEQAKLQQQMDLQKNHIFRLTQGLQEALDRADLLKTERSDLEYQLENIQVLYSHEKVKMEGTISQQTKLIDFLQAKMDQPAKKKKGLFSRRKEDPALPTQVPLQYNELKLALEKEKARCAELEEALQKTRIELRSAREEAAHRKATDHPHPSTPATARQQIAMSAIVRSPEHQPSAMSLLAPPSSRRKESSTPEEFSRRLKERMHHNIPHRFNVGLNMRATKCAVCLDTVHFGRQASKCLECQVMCHPKCSTCLPATCGLPAEYATHFTEAFCRDKMNSPGLQTKEPSSSLHLEGWMKVPRNNKRGQQGWDRKYIVLEGSKVLIYDNEAREAGQRPVEEFELCLPDGDVSIHGAVGASELANTAKADVPYILKMESHPHTTCWPGRTLYLLAPSFPDKQRWVTALESVVAGGRVSREKAEADAKLLGNSLLKLEGDDRLDMNCTLPFSDQVVLVGTEEGLYALNVLKNSLTHVPGIGAVFQIYIIKDLEKLLMIAGEERALCLVDVKKVKQSLAQSHLPAQPDISPNIFEAVKGCHLFGAGKIENGLCICAAMPSKVVILRYNENLSKYCIRKEIETSEPCSCIHFTNYSILIGTNKFYEIDMKQYTLEEFLDKNDHSLAPAVFAASSNSFPVSIVQVNSAGQREEYLLCFHEFGVFVDSYGRRSRTDDLKWSRLPLAFAYREPYLFVTHFNSLEVIEIQARSSAGTPARAYLDIPNPRYLGPAISSGAIYLASSYQDKLRVICCKGNLVKESGTEHHRGPSTSRSSPNKRGPPTYNEHITKRVASSPAPPEGPSHPREPSTPHRYREGRTELRRDKSPGRPLEREKSPGRMLSTRRERSPGRLFEDSSRGRLPAGAVRTPLSQVNKVWDQSSV
+>DECOY_sp|O14578|CTRO_HUMAN Citron Rho-interacting kinase OS=Homo sapiens OX=9606 GN=CIT PE=1 SV=2
+VSSQDWVKNVQSLPTRVAGAPLRGRSSDEFLRGPSRERRTSLMRGPSKERELPRGPSKDRRLETRGERYRHPTSPERPHSPGEPPAPSSAVRKTIHENYTPPGRKNPSSRSTSPGRHHETGSEKVLNGKCCIVRLKDQYSSALYIAGSSIAPGLYRPNPIDLYARAPTGASSRAQIEIVELSNFHTVFLYPERYAFALPLRSWKLDDTRSRRGYSDVFVGFEHFCLLYEERQGASNVQVISVPFSNSSAAFVAPALSHDNKDLFEELTYQKMDIEYFKNTGILISYNTFHICSCPESTEIEKRICYKSLNENYRLIVVKSPMAACICLGNEIKGAGFLHCGKVAEFINPSIDPQAPLHSQALSQKVKKVDVLCLAREEGAIMLLKELDKIIYIQFVAGIGPVHTLSNKLVNLAYLGEETGVLVVQDSFPLTCNMDLRDDGELKLLSNGLLKADAEAKERSVRGGAVVSELATVWRQKDPFSPALLYLTRGPWCTTHPHSEMKLIYPVDAKATNALESAGVAGHISVDGDPLCLEFEEVPRQGAERAENDYILVKSGELVIYKRDWGQQGRKNNRPVKMWGELHLSSSPEKTQLGPSNMKDRCFAETFHTAYEAPLGCTAPLCTSCKPHCMVQCELCKSAQRGFHVTDLCVACKTARMNLGVNFRHPINHHMREKLRRSFEEPTSSEKRRSSPPALLSMASPQHEPSRVIASMAIQQRATAPTSPHPHDTAKRHAAEERASRLEIRTKQLAEELEACRAKEKELALKLENYQLPVQTPLAPDEKRRSFLGKKKKAPQDMKAQLFDILKTQQSITGEMKVKEHSYLVQINELQYELDSRETKLLDARDLAEQLGQTLRFIHNKQLDMQQQLKAQEELLRQKLERETELKQQLSRANMELMAHKKELDNLKDSLSEAKLKQEKLAQQLALIEAKHEKVALEVVQRSETIRQDARARSQKETDLMRQLERVRCEFQSKEDGLVSRWAEWQREKELLEDNLAELDMVQEELMTCTTKLAEMTQKQSTLQMERETIERRLHDVESRLQVIEDNAGSAEDLQKSLYFNQNNLEANDETLQNLQEELDTIVTCSNRLADFKRQIEDRHATLAQIEEEAEATTEELETKAQRLQSELAARAAQLATLQSEREQLSLQLETLQRKLELKQEEHELSVERLRTELELLRNKDSHDQHSIKELQEELKRNQAELKGAQTELYFKQQRLESIMEEQAKMNRQTFLSSNAALKNAESLEVIRQELSRIKSDMANIMAKQESLIKGKEHAEEEHRQMMNELTEKDALDKKIQNDLVKIKEEYHQEKQKLHVELHQASVQAERHKEELEEAEVLKKRIGESSDERNQLKELEREAREKAQRINQLLETAETSAKVAKELKEQLEQIKLQQEANIKELKAYEGVEPKGQDKAKLLKHQCETAKRKFEEAALRSERLESEYLDSRRRASVLDEELQNMMLRMEEVQAQYEQEKIEQLKRSQERIDHLLQLAKDDEQSVEMRAQELSRKLSSCETIYTALDQELLSRQTESAKLEVEKQSLVAEVESVRRHLRTMEQEMKHCKDQSDQLEKSKILLKKEMSSTKAPSDLGSVVSESRGLIGLAKSYSFGVFPLEEGSFGSPSLQCPSSSVWSNKEPEDFNSTDDDSKLTPVFPPPSNRINNWDIKSFFPHCCLGEFKLREKQGCLLSQILDLFDSSVKPDDPFKLFRQFNMINNFTRASTGEAFPSRGYIMEYAIVGVSWWDCDLGYTGKGDGNMVTLVEPAMYDPTGIPLKANVMKNSNMKAASGFDVLKIHGTRDVLINEPKIDRHVYGMLHVSHVALILEALYFQILNEDLQDEYRNLLSLLDGGPQYEMVLYLHNKDQFAYQLQPIWPSTSRSLINREEEFFSVQEQALLAKKKMVKMAYIDGTAKERVVQVEAFHGCGVLSRVEFDKASPQLEQLEAITDSYKRVFNSVHKIKMLAPQSCEEFLVFLADLIGERSLPSMQQQTMFPPKGQFFLNLRSARSAIPEAAGADLPNRAGYKFKLM
+>sp|Q05D32|CTSL2_HUMAN CTD small phosphatase-like protein 2 OS=Homo sapiens OX=9606 GN=CTDSPL2 PE=1 SV=2
+MRLRTRKASQQSNQIQTQRTARAKRKYSEVDDSLPSGGEKPSKNETGLLSSIKKFIKGSTPKEERENPSKRSRIERDIDNNLITSTPRAGEKPNKQISRVRRKSQVNGEAGSYEMTNQHVKQNGKLEDNPSSGSPPRTTLLGTIFSPVFNFFSPANKNGTSGSDSPGQAVEAEEIVKQLDMEQVDEITTSTTTSTNGAAYSNQAVQVRPSLNNGLEEAEETVNRDIPPLTAPVTPDSGYSSAHAEATYEEDWEVFDPYYFIKHVPPLTEEQLNRKPALPLKTRSTPEFSLVLDLDETLVHCSLNELEDAALTFPVLFQDVIYQVYVRLRPFFREFLERMSQMYEIILFTASKKVYADKLLNILDPKKQLVRHRLFREHCVCVQGNYIKDLNILGRDLSKTIIIDNSPQAFAYQLSNGIPIESWFMDKNDNELLKLIPFLEKLVELNEDVRPHIRDRFRLHDLLPPD
+>DECOY_sp|Q05D32|CTSL2_HUMAN CTD small phosphatase-like protein 2 OS=Homo sapiens OX=9606 GN=CTDSPL2 PE=1 SV=2
+DPPLLDHLRFRDRIHPRVDENLEVLKELFPILKLLENDNKDMFWSEIPIGNSLQYAFAQPSNDIIITKSLDRGLINLDKIYNGQVCVCHERFLRHRVLQKKPDLINLLKDAYVKKSATFLIIEYMQSMRELFERFFPRLRVYVQYIVDQFLVPFTLAADELENLSCHVLTEDLDLVLSFEPTSRTKLPLAPKRNLQEETLPPVHKIFYYPDFVEWDEEYTAEAHASSYGSDPTVPATLPPIDRNVTEEAEELGNNLSPRVQVAQNSYAAGNTSTTTSTTIEDVQEMDLQKVIEEAEVAQGPSDSGSTGNKNAPSFFNFVPSFITGLLTTRPPSGSSPNDELKGNQKVHQNTMEYSGAEGNVQSKRRVRSIQKNPKEGARPTSTILNNDIDREIRSRKSPNEREEKPTSGKIFKKISSLLGTENKSPKEGGSPLSDDVESYKRKARATRQTQIQNSQQSAKRTRLRM
+>sp|Q96P56|CTSR2_HUMAN Cation channel sperm-associated protein 2 OS=Homo sapiens OX=9606 GN=CATSPER2 PE=1 SV=2
+MAAYQQEEQMQLPRADAIRSRLIDTFSLIEHLQGLSQAVPRHTIRELLDPSRQKKLVLGDQHQLVRFSIKPQRIEQISHAQRLLSRLHVRCSQRPPLSLWAGWVLECPLFKNFIIFLVFLNTIILMVEIELLESTNTKLWPLKLTLEVAAWFILLIFILEILLKWLSNFSVFWKSAWNVFDFVVTMLSLLPEVVVLVGVTGQSVWLQLLRICRVLRSLKLLAQFRQIQIIILVLVRALKSMTFLLMLLLIFFYIFAVTGVYVFSEYTRSPRQDLEYHVFFSDLPNSLVTVFILFTLDHWYALLQDVWKVPEVSRIFSSIYFILWLLLGSIIFRSIIVAMMVTNFQNIRKELNEEMARREVQLKADMFKRQIIQRRKNMSHEALTSSHSKIEDSSRGASQQRESLDLSEVSEVESNYGATEEDLITSASKTEETLSKKREYQSSSCVSSTSSSYSSSSESRFSESIGRLDWETLVHENLPGLMEMDQDDRVWPRDSLFRYFELLEKLQYNLEERKKLQEFAVQALMNLEDK
+>DECOY_sp|Q96P56|CTSR2_HUMAN Cation channel sperm-associated protein 2 OS=Homo sapiens OX=9606 GN=CATSPER2 PE=1 SV=2
+KDELNMLAQVAFEQLKKREELNYQLKELLEFYRFLSDRPWVRDDQDMEMLGPLNEHVLTEWDLRGISESFRSESSSSYSSSTSSVCSSSQYERKKSLTEETKSASTILDEETAGYNSEVESVESLDLSERQQSAGRSSDEIKSHSSTLAEHSMNKRRQIIQRKFMDAKLQVERRAMEENLEKRINQFNTVMMAVIISRFIISGLLLWLIFYISSFIRSVEPVKWVDQLLAYWHDLTFLIFVTVLSNPLDSFFVHYELDQRPSRTYESFVYVGTVAFIYFFILLLMLLFTMSKLARVLVLIIIQIQRFQALLKLSRLVRCIRLLQLWVSQGTVGVLVVVEPLLSLMTVVFDFVNWASKWFVSFNSLWKLLIELIFILLIFWAAVELTLKLPWLKTNTSELLEIEVMLIITNLFVLFIIFNKFLPCELVWGAWLSLPPRQSCRVHLRSLLRQAHSIQEIRQPKISFRVLQHQDGLVLKKQRSPDLLERITHRPVAQSLGQLHEILSFTDILRSRIADARPLQMQEEQQYAAM
+>sp|P58505|CU058_HUMAN Uncharacterized protein C21orf58 OS=Homo sapiens OX=9606 GN=C21orf58 PE=2 SV=2
+MARSRLPATSLRKPWKLDRQKLPSPDSGHSLLCGWSPGGKARPAGNTGAWAPAEQFFPASNRTREGGGLWPPLPLQSSPAAPTMLDSSAAEQVTRLTLKLLGQKLEQERQNVEGGPEGLHLEPGNEDRPDDALQTALKRRRDLLQRLREQHLLDELSRAQAWSGPSRGALGSALPPELPPTGILPTASPSPLAPDPPRIILPTVPQPPATIIQQLPQQPLIAQIPPPQAFPTQRSGSIKEDMVELLLLQNAQVHQLVLQNWMLKALPPALQDPPHVPPRVPRAARPRLPAVHHHHHHHHAVWPPGAATVLQPAPSLWTPGPP
+>DECOY_sp|P58505|CU058_HUMAN Uncharacterized protein C21orf58 OS=Homo sapiens OX=9606 GN=C21orf58 PE=2 SV=2
+PPGPTWLSPAPQLVTAAGPPWVAHHHHHHHHVAPLRPRAARPVRPPVHPPDQLAPPLAKLMWNQLVLQHVQANQLLLLEVMDEKISGSRQTPFAQPPPIQAILPQQPLQQIITAPPQPVTPLIIRPPDPALPSPSATPLIGTPPLEPPLASGLAGRSPGSWAQARSLEDLLHQERLRQLLDRRRKLATQLADDPRDENGPELHLGEPGGEVNQREQELKQGLLKLTLRTVQEAASSDLMTPAAPSSQLPLPPWLGGGERTRNSAPFFQEAPAWAGTNGAPRAKGGPSWGCLLSHGSDPSPLKQRDLKWPKRLSTAPLRSRAM
+>sp|Q9H467|CUED2_HUMAN CUE domain-containing protein 2 OS=Homo sapiens OX=9606 GN=CUEDC2 PE=1 SV=1
+MELERIVSAALLAFVQTHLPEADLSGLDEVIFSYVLGVLEDLGPSGPSEENFDMEAFTEMMEAYVPGFAHIPRGTIGDMMQKLSGQLSDARNKENLQPQSSGVQGQVPISPEPLQRPEMLKEETRSSAAAAADTQDEATGAEEELLPGVDVLLEVFPTCSVEQAQWVLAKARGDLEEAVQMLVEGKEEGPAAWEGPNQDLPRRLRGPQKDELKSFILQKYMMVDSAEDQKIHRPMAPKEAPKKLIRYIDNQVVSTKGERFKDVRNPEAEEMKATYINLKPARKYRFH
+>DECOY_sp|Q9H467|CUED2_HUMAN CUE domain-containing protein 2 OS=Homo sapiens OX=9606 GN=CUEDC2 PE=1 SV=1
+HFRYKRAPKLNIYTAKMEEAEPNRVDKFREGKTSVVQNDIYRILKKPAEKPAMPRHIKQDEASDVMMYKQLIFSKLEDKQPGRLRRPLDQNPGEWAAPGEEKGEVLMQVAEELDGRAKALVWQAQEVSCTPFVELLVDVGPLLEEEAGTAEDQTDAAAAASSRTEEKLMEPRQLPEPSIPVQGQVGSSQPQLNEKNRADSLQGSLKQMMDGITGRPIHAFGPVYAEMMETFAEMDFNEESPGSPGLDELVGLVYSFIVEDLGSLDAEPLHTQVFALLAASVIRELEM
+>sp|O95567|CV031_HUMAN Uncharacterized protein C22orf31 OS=Homo sapiens OX=9606 GN=C22orf31 PE=2 SV=1
+MHPINVRRDPSIPIYGLRQSILLNTRLQDCYVDSPALTNIWMARTCAKQNINAPAPATTSSWEVVRNPLIASSFSLVKLVLRRQLKNKCCPPPCKFGEGKLSKRLKHKDDSVMKATQQARKRNFISSKSKQPAGHRRPAGGIRESKESSKEKKLTVRQDLEDRYAEHVAATQALPQDSGTAAWKGRVLLPETQKRQQLSEDTLTIHGLPTEGYQALYHAVVEPMLWNPSGTPKRYSLELGKAIKQKLWEALCSQGAISEGAQRDRFPGRKQPGVHEEPVLKKWPKLKSKK
+>DECOY_sp|O95567|CV031_HUMAN Uncharacterized protein C22orf31 OS=Homo sapiens OX=9606 GN=C22orf31 PE=2 SV=1
+KKSKLKPWKKLVPEEHVGPQKRGPFRDRQAGESIAGQSCLAEWLKQKIAKGLELSYRKPTGSPNWLMPEVVAHYLAQYGETPLGHITLTDESLQQRKQTEPLLVRGKWAATGSDQPLAQTAAVHEAYRDELDQRVTLKKEKSSEKSERIGGAPRRHGAPQKSKSSIFNRKRAQQTAKMVSDDKHKLRKSLKGEGFKCPPPCCKNKLQRRLVLKVLSFSSAILPNRVVEWSSTTAPAPANINQKACTRAMWINTLAPSDVYCDQLRTNLLISQRLGYIPISPDRRVNIPHM
+>sp|Q9HCG8|CWC22_HUMAN Pre-mRNA-splicing factor CWC22 homolog OS=Homo sapiens OX=9606 GN=CWC22 PE=1 SV=3
+MKSSVAQIKPSSGHDRRENLNSYQRNSSPEDRYEEQERSPRDRDYFDYSRSDYEHSRRGRSYDSSMESRNRDREKRRERERDTDRKRSRKSPSPGRRNPETSVTQSSSAQDEPATKKKKDELDPLLTRTGGAYIPPAKLRMMQEQITDKNSLAYQRMSWEALKKSINGLINKVNISNISIIIQELLQENIVRGRGLLSRSVLQAQSASPIFTHVYAALVAIINSKFPQIGELILKRLILNFRKGYRRNDKQLCLTASKFVAHLINQNVAHEVLCLEMLTLLLERPTDDSVEVAIGFLKECGLKLTQVSPRGINAIFERLRNILHESEIDKRVQYMIEVMFAVRKDGFKDHPIILEGLDLVEEDDQFTHMLPLEDDYNPEDVLNVFKMDPNFMENEEKYKAIKKEILDEGDTDSNTDQDAGSSEEDEEEEEEEGEEDEEGQKVTIHDKTEINLVSFRRTIYLAIQSSLDFEECAHKLLKMEFPESQTKELCNMILDCCAQQRTYEKFFGLLAGRFCMLKKEYMESFEGIFKEQYDTIHRLETNKLRNVAKMFAHLLYTDSLPWSVLECIKLSEETTTSSSRIFVKIFFQELCEYMGLPKLNARLKDETLQPFFEGLLPRDNPRNTRFAINFFTSIGLGGLTDELREHLKNTPKVIVAQKPDVEQNKSSPSSSSSASSSSESDSSDSDSDSSDSSSESSSEESDSSSISSHSSASANDVRKKGHGKTRSKEVDKLIRNQQTNDRKQKERRQEHGHQETRTERERRSEKHRDQNSSGSNWRDPITKYTSDKDVPSERNNYSRVANDRDQEMHIDLENKHGDPKKKRGERRNSFSENEKHTHRIKDSENFRRKDRSKSKEMNRKHSGSRSDEDRYQNGAERRWEKSSRYSEQSRESKKNQDRRREKSPAKQK
+>DECOY_sp|Q9HCG8|CWC22_HUMAN Pre-mRNA-splicing factor CWC22 homolog OS=Homo sapiens OX=9606 GN=CWC22 PE=1 SV=3
+KQKAPSKERRRDQNKKSERSQESYRSSKEWRREAGNQYRDEDSRSGSHKRNMEKSKSRDKRRFNESDKIRHTHKENESFSNRREGRKKKPDGHKNELDIHMEQDRDNAVRSYNNRESPVDKDSTYKTIPDRWNSGSSNQDRHKESRRERETRTEQHGHEQRREKQKRDNTQQNRILKDVEKSRTKGHGKKRVDNASASSHSSISSSDSEESSSESSSDSSDSDSDSSDSESSSSASSSSSPSSKNQEVDPKQAVIVKPTNKLHERLEDTLGGLGISTFFNIAFRTNRPNDRPLLGEFFPQLTEDKLRANLKPLGMYECLEQFFIKVFIRSSSTTTEESLKICELVSWPLSDTYLLHAFMKAVNRLKNTELRHITDYQEKFIGEFSEMYEKKLMCFRGALLGFFKEYTRQQACCDLIMNCLEKTQSEPFEMKLLKHACEEFDLSSQIALYITRRFSVLNIETKDHITVKQGEEDEEGEEEEEEEDEESSGADQDTNSDTDGEDLIEKKIAKYKEENEMFNPDMKFVNLVDEPNYDDELPLMHTFQDDEEVLDLGELIIPHDKFGDKRVAFMVEIMYQVRKDIESEHLINRLREFIANIGRPSVQTLKLGCEKLFGIAVEVSDDTPRELLLTLMELCLVEHAVNQNILHAVFKSATLCLQKDNRRYGKRFNLILRKLILEGIQPFKSNIIAVLAAYVHTFIPSASQAQLVSRSLLGRGRVINEQLLEQIIISINSINVKNILGNISKKLAEWSMRQYALSNKDTIQEQMMRLKAPPIYAGGTRTLLPDLEDKKKKTAPEDQASSSQTVSTEPNRRGPSPSKRSRKRDTDRERERRKERDRNRSEMSSDYSRGRRSHEYDSRSYDFYDRDRPSREQEEYRDEPSSNRQYSNLNERRDHGSSPKIQAVSSKM
+>sp|Q5VT33|CX031_HUMAN Putative uncharacterized protein encoded by LINC01545 OS=Homo sapiens OX=9606 GN=LINC01545 PE=4 SV=1
+MYRMKRSQVRTPIRRLIRNVNLDWEDSMALGCTTVIERGTLRRLKRATLNTFLDSPVHVLLVMPKLIPEHWEKWEDVKR
+>DECOY_sp|Q5VT33|CX031_HUMAN Putative uncharacterized protein encoded by LINC01545 OS=Homo sapiens OX=9606 GN=LINC01545 PE=4 SV=1
+RKVDEWKEWHEPILKPMVLLVHVPSDLFTNLTARKLRRLTGREIVTTCGLAMSDEWDLNVNRILRRIPTRVQSRKMRYM
+>sp|Q8N9T2|CX042_HUMAN Putative uncharacterized protein CXorf42 OS=Homo sapiens OX=9606 GN=NKAPP1 PE=5 SV=3
+MSRFRGCSSSGVRFCSAEREASGSGRGNVLQFVQEPQAQQSRPFPAGAQLSLELLFSDWGMEWAQPRLPKPALPLPVVVAFSRAADCAVDHHFRFCLLLRLLRQLLTLLRDEEREVNPWQRKIVV
+>DECOY_sp|Q8N9T2|CX042_HUMAN Putative uncharacterized protein CXorf42 OS=Homo sapiens OX=9606 GN=NKAPP1 PE=5 SV=3
+VVIKRQWPNVEREEDRLLTLLQRLLRLLLCFRFHHDVACDAARSFAVVVPLPLAPKPLRPQAWEMGWDSFLLELSLQAGAPFPRSQQAQPEQVFQLVNGRGSGSAEREASCFRVGSSSCGRFRSM
+>sp|Q9H5V9|CX056_HUMAN UPF0428 protein CXorf56 OS=Homo sapiens OX=9606 GN=CXorf56 PE=1 SV=1
+MPKVVSRSVVCSDTRDREEYDDGEKPLHVYYCLCGQMVLVLDCQLEKLPMRPRDRSRVIDAAKHAHKFCNTEDEETMYLRRPEGIERQYRKKCAKCGLPLFYQSQPKNAPVTFIVDGAVVKFGQGFGKTNIYTQKQEPPKKVMMTKRTKDMGKFSSVTVSTIDEEEEEIEAREVADSYAQNAKVIEKQLERKGMSKRRLQELAELEAKKAKMKGTLIDNQFK
+>DECOY_sp|Q9H5V9|CX056_HUMAN UPF0428 protein CXorf56 OS=Homo sapiens OX=9606 GN=CXorf56 PE=1 SV=1
+KFQNDILTGKMKAKKAELEALEQLRRKSMGKRELQKEIVKANQAYSDAVERAEIEEEEEDITSVTVSSFKGMDKTRKTMMVKKPPEQKQTYINTKGFGQGFKVVAGDVIFTVPANKPQSQYFLPLGCKACKKRYQREIGEPRRLYMTEEDETNCFKHAHKAADIVRSRDRPRMPLKELQCDLVLVMQGCLCYYVHLPKEGDDYEERDRTDSCVVSRSVVKPM
+>sp|P49238|CX3C1_HUMAN CX3C chemokine receptor 1 OS=Homo sapiens OX=9606 GN=CX3CR1 PE=1 SV=1
+MDQFPESVTENFEYDDLAEACYIGDIVVFGTVFLSIFYSVIFAIGLVGNLLVVFALTNSKKPKSVTDIYLLNLALSDLLFVATLPFWTHYLINEKGLHNAMCKFTTAFFFIGFFGSIFFITVISIDRYLAIVLAANSMNNRTVQHGVTISLGVWAAAILVAAPQFMFTKQKENECLGDYPEVLQEIWPVLRNVETNFLGFLLPLLIMSYCYFRIIQTLFSCKNHKKAKAIKLILLVVIVFFLFWTPYNVMIFLETLKLYDFFPSCDMRKDLRLALSVTETVAFSHCCLNPLIYAFAGEKFRRYLYHLYGKCLAVLCGRSVHVDFSSSESQRSRHGSVLSSNFTYHTSDGDALLLL
+>DECOY_sp|P49238|CX3C1_HUMAN CX3C chemokine receptor 1 OS=Homo sapiens OX=9606 GN=CX3CR1 PE=1 SV=1
+LLLLADGDSTHYTFNSSLVSGHRSRQSESSSFDVHVSRGCLVALCKGYLHYLYRRFKEGAFAYILPNLCCHSFAVTETVSLALRLDKRMDCSPFFDYLKLTELFIMVNYPTWFLFFVIVVLLILKIAKAKKHNKCSFLTQIIRFYCYSMILLPLLFGLFNTEVNRLVPWIEQLVEPYDGLCENEKQKTFMFQPAAVLIAAAWVGLSITVGHQVTRNNMSNAALVIALYRDISIVTIFFISGFFGIFFFATTFKCMANHLGKENILYHTWFPLTAVFLLDSLALNLLYIDTVSKPKKSNTLAFVVLLNGVLGIAFIVSYFISLFVTGFVVIDGIYCAEALDDYEFNETVSEPFQDM
+>sp|Q8TF08|CX7B2_HUMAN Cytochrome c oxidase subunit 7B2, mitochondrial OS=Homo sapiens OX=9606 GN=COX7B2 PE=3 SV=2
+MMFPLARNALSSLKIQSILQSMARHSHVKHSPDFHDKYGNAVLASGTAFCVATWVFTATQIGIEWNLSPVGRVTPKEWKHQ
+>DECOY_sp|Q8TF08|CX7B2_HUMAN Cytochrome c oxidase subunit 7B2, mitochondrial OS=Homo sapiens OX=9606 GN=COX7B2 PE=3 SV=2
+QHKWEKPTVRGVPSLNWEIGIQTATFVWTAVCFATGSALVANGYKDHFDPSHKVHSHRAMSQLISQIKLSSLANRALPFMM
+>sp|Q9Y6H8|CXA3_HUMAN Gap junction alpha-3 protein OS=Homo sapiens OX=9606 GN=GJA3 PE=1 SV=4
+MGDWSFLGRLLENAQEHSTVIGKVWLTVLFIFRILVLGAAAEDVWGDEQSDFTCNTQQPGCENVCYDRAFPISHIRFWALQIIFVSTPTLIYLGHVLHIVRMEEKKKEREEEEQLKRESPSPKEPPQDNPSSRDDRGRVRMAGALLRTYVFNIIFKTLFEVGFIAGQYFLYGFELKPLYRCDRWPCPNTVDCFISRPTEKTIFIIFMLAVACASLLLNMLEIYHLGWKKLKQGVTSRLGPDASEAPLGTADPPPLPPSSRPPAVAIGFPPYYAHTAAPLGQARAVGYPGAPPPAADFKLLALTEARGKGQSAKLYNGHHHLLMTEQNWANQAAERQPPALKAYPAASTPAAPSPVGSSSPPLAHEAEAGAAPLLLDGSGSSLEGSALAGTPEEEEQAVTTAAQMHQPPLPLGDPGRASKASRASSGRARPEDLAI
+>DECOY_sp|Q9Y6H8|CXA3_HUMAN Gap junction alpha-3 protein OS=Homo sapiens OX=9606 GN=GJA3 PE=1 SV=4
+IALDEPRARGSSARSAKSARGPDGLPLPPQHMQAATTVAQEEEEPTGALASGELSSGSGDLLLPAAGAEAEHALPPSSSGVPSPAAPTSAAPYAKLAPPQREAAQNAWNQETMLLHHHGNYLKASQGKGRAETLALLKFDAAPPPAGPYGVARAQGLPAATHAYYPPFGIAVAPPRSSPPLPPPDATGLPAESADPGLRSTVGQKLKKWGLHYIELMNLLLSACAVALMFIIFITKETPRSIFCDVTNPCPWRDCRYLPKLEFGYLFYQGAIFGVEFLTKFIINFVYTRLLAGAMRVRGRDDRSSPNDQPPEKPSPSERKLQEEEEREKKKEEMRVIHLVHGLYILTPTSVFIIQLAWFRIHSIPFARDYCVNECGPQQTNCTFDSQEDGWVDEAAAGLVLIRFIFLVTLWVKGIVTSHEQANELLRGLFSWDGM
+>sp|P48165|CXA8_HUMAN Gap junction alpha-8 protein OS=Homo sapiens OX=9606 GN=GJA8 PE=1 SV=3
+MGDWSFLGNILEEVNEHSTVIGRVWLTVLFIFRILILGTAAEFVWGDEQSDFVCNTQQPGCENVCYDEAFPISHIRLWVLQIIFVSTPSLMYVGHAVHYVRMEEKRKSREAEELGQQAGTNGGPDQGSVKKSSGSKGTKKFRLEGTLLRTYICHIIFKTLFEVGFIVGHYFLYGFRILPLYRCSRWPCPNVVDCFVSRPTEKTIFILFMLSVASVSLFLNVMELGHLGLKGIRSALKRPVEQPLGEIPEKSLHSIAVSSIQKAKGYQLLEEEKIVSHYFPLTEVGMVETSPLPAKPFNQFEEKISTGPLGDLSRGYQETLPSYAQVGAQEVEGEGPPAEEGAEPEVGEKKEEAERLTTEEQEKVAVPEGEKVETPGVDKEGEKEEPQSEKVSKQGLPAEKTPSLCPELTTDDARPLSRLSKASSRARSDDLTV
+>DECOY_sp|P48165|CXA8_HUMAN Gap junction alpha-8 protein OS=Homo sapiens OX=9606 GN=GJA8 PE=1 SV=3
+VTLDDSRARSSAKSLRSLPRADDTTLEPCLSPTKEAPLGQKSVKESQPEEKEGEKDVGPTEVKEGEPVAVKEQEETTLREAEEKKEGVEPEAGEEAPPGEGEVEQAGVQAYSPLTEQYGRSLDGLPGTSIKEEFQNFPKAPLPSTEVMGVETLPFYHSVIKEEELLQYGKAKQISSVAISHLSKEPIEGLPQEVPRKLASRIGKLGLHGLEMVNLFLSVSAVSLMFLIFITKETPRSVFCDVVNPCPWRSCRYLPLIRFGYLFYHGVIFGVEFLTKFIIHCIYTRLLTGELRFKKTGKSGSSKKVSGQDPGGNTGAQQGLEEAERSKRKEEMRVYHVAHGVYMLSPTSVFIIQLVWLRIHSIPFAEDYCVNECGPQQTNCVFDSQEDGWVFEAATGLILIRFIFLVTLWVRGIVTSHENVEELINGLFSWDGM
+>sp|Q9NTQ9|CXB4_HUMAN Gap junction beta-4 protein OS=Homo sapiens OX=9606 GN=GJB4 PE=1 SV=1
+MNWAFLQGLLSGVNKYSTVLSRIWLSVVFIFRVLVYVVAAEEVWDDEQKDFVCNTKQPGCPNVCYDEFFPVSHVRLWALQLILVTCPSLLVVMHVAYREERERKHHLKHGPNAPSLYDNLSKKRGGLWWTYLLSLIFKAAVDAGFLYIFHRLYKDYDMPRVVACSVEPCPHTVDCYISRPTEKKVFTYFMVTTAAICILLNLSEVFYLVGKRCMEIFGPRHRRPRCRECLPDTCPPYVLSQGGHPEDGNSVLMKAGSAPVDAGGYP
+>DECOY_sp|Q9NTQ9|CXB4_HUMAN Gap junction beta-4 protein OS=Homo sapiens OX=9606 GN=GJB4 PE=1 SV=1
+PYGGADVPASGAKMLVSNGDEPHGGQSLVYPPCTDPLCERCRPRRHRPGFIEMCRKGVLYFVESLNLLICIAATTVMFYTFVKKETPRSIYCDVTHPCPEVSCAVVRPMDYDKYLRHFIYLFGADVAAKFILSLLYTWWLGGRKKSLNDYLSPANPGHKLHHKREREERYAVHMVVLLSPCTVLILQLAWLRVHSVPFFEDYCVNPCGPQKTNCVFDKQEDDWVEEAAVVYVLVRFIFVVSLWIRSLVTSYKNVGSLLGQLFAWNM
+>sp|O95452|CXB6_HUMAN Gap junction beta-6 protein OS=Homo sapiens OX=9606 GN=GJB6 PE=1 SV=2
+MDWGTLHTFIGGVNKHSTSIGKVWITVIFIFRVMILVVAAQEVWGDEQEDFVCNTLQPGCKNVCYDHFFPVSHIRLWALQLIFVSTPALLVAMHVAYYRHETTRKFRRGEKRNDFKDIEDIKKQKVRIEGSLWWTYTSSIFFRIIFEAAFMYVFYFLYNGYHLPWVLKCGIDPCPNLVDCFISRPTEKTVFTIFMISASVICMLLNVAELCYLLLKVCFRRSKRAQTQKNHPNHALKESKQNEMNELISDSGQNAITGFPS
+>DECOY_sp|O95452|CXB6_HUMAN Gap junction beta-6 protein OS=Homo sapiens OX=9606 GN=GJB6 PE=1 SV=2
+SPFGTIANQGSDSILENMENQKSEKLAHNPHNKQTQARKSRRFCVKLLLYCLEAVNLLMCIVSASIMFITFVTKETPRSIFCDVLNPCPDIGCKLVWPLHYGNYLFYFVYMFAAEFIIRFFISSTYTWWLSGEIRVKQKKIDEIDKFDNRKEGRRFKRTTEHRYYAVHMAVLLAPTSVFILQLAWLRIHSVPFFHDYCVNKCGPQLTNCVFDEQEDGWVEQAAVVLIMVRFIFIVTIWVKGISTSHKNVGGIFTHLTGWDM
+>sp|P25025|CXCR2_HUMAN C-X-C chemokine receptor type 2 OS=Homo sapiens OX=9606 GN=CXCR2 PE=1 SV=2
+MEDFNMESDSFEDFWKGEDLSNYSYSSTLPPFLLDAAPCEPESLEINKYFVVIIYALVFLLSLLGNSLVMLVILYSRVGRSVTDVYLLNLALADLLFALTLPIWAASKVNGWIFGTFLCKVVSLLKEVNFYSGILLLACISVDRYLAIVHATRTLTQKRYLVKFICLSIWGLSLLLALPVLLFRRTVYSSNVSPACYEDMGNNTANWRMLLRILPQSFGFIVPLLIMLFCYGFTLRTLFKAHMGQKHRAMRVIFAVVLIFLLCWLPYNLVLLADTLMRTQVIQETCERRNHIDRALDATEILGILHSCLNPLIYAFIGQKFRHGLLKILAIHGLISKDSLPKDSRPSFVGSSSGHTSTTL
+>DECOY_sp|P25025|CXCR2_HUMAN C-X-C chemokine receptor type 2 OS=Homo sapiens OX=9606 GN=CXCR2 PE=1 SV=2
+LTTSTHGSSSGVFSPRSDKPLSDKSILGHIALIKLLGHRFKQGIFAYILPNLCSHLIGLIETADLARDIHNRRECTEQIVQTRMLTDALLVLNYPLWCLLFILVVAFIVRMARHKQGMHAKFLTRLTFGYCFLMILLPVIFGFSQPLIRLLMRWNATNNGMDEYCAPSVNSSYVTRRFLLVPLALLLSLGWISLCIFKVLYRKQTLTRTAHVIALYRDVSICALLLIGSYFNVEKLLSVVKCLFTGFIWGNVKSAAWIPLTLAFLLDALALNLLYVDTVSRGVRSYLIVLMVLSNGLLSLLFVLAYIIVVFYKNIELSEPECPAADLLFPPLTSSYSYNSLDEGKWFDEFSDSEMNFDEM
+>sp|P61073|CXCR4_HUMAN C-X-C chemokine receptor type 4 OS=Homo sapiens OX=9606 GN=CXCR4 PE=1 SV=1
+MEGISIYTSDNYTEEMGSGDYDSMKEPCFREENANFNKIFLPTIYSIIFLTGIVGNGLVILVMGYQKKLRSMTDKYRLHLSVADLLFVITLPFWAVDAVANWYFGNFLCKAVHVIYTVNLYSSVLILAFISLDRYLAIVHATNSQRPRKLLAEKVVYVGVWIPALLLTIPDFIFANVSEADDRYICDRFYPNDLWVVVFQFQHIMVGLILPGIVILSCYCIIISKLSHSKGHQKRKALKTTVILILAFFACWLPYYIGISIDSFILLEIIKQGCEFENTVHKWISITEALAFFHCCLNPILYAFLGAKFKTSAQHALTSVSRGSSLKILSKGKRGGHSSVSTESESSSFHSS
+>DECOY_sp|P61073|CXCR4_HUMAN C-X-C chemokine receptor type 4 OS=Homo sapiens OX=9606 GN=CXCR4 PE=1 SV=1
+SSHFSSSESETSVSSHGGRKGKSLIKLSSGRSVSTLAHQASTKFKAGLFAYLIPNLCCHFFALAETISIWKHVTNEFECGQKIIELLIFSDISIGIYYPLWCAFFALILIVTTKLAKRKQHGKSHSLKSIIICYCSLIVIGPLILGVMIHQFQFVVVWLDNPYFRDCIYRDDAESVNAFIFDPITLLLAPIWVGVYVVKEALLKRPRQSNTAHVIALYRDLSIFALILVSSYLNVTYIVHVAKCLFNGFYWNAVADVAWFPLTIVFLLDAVSLHLRYKDTMSRLKKQYGMVLIVLGNGVIGTLFIISYITPLFIKNFNANEERFCPEKMSDYDGSGMEETYNDSTYISIGEM
+>sp|O00574|CXCR6_HUMAN C-X-C chemokine receptor type 6 OS=Homo sapiens OX=9606 GN=CXCR6 PE=2 SV=1
+MAEHDYHEDYGFSSFNDSSQEEHQDFLQFSKVFLPCMYLVVFVCGLVGNSLVLVISIFYHKLQSLTDVFLVNLPLADLVFVCTLPFWAYAGIHEWVFGQVMCKSLLGIYTINFYTSMLILTCITVDRFIVVVKATKAYNQQAKRMTWGKVTSLLIWVISLLVSLPQIIYGNVFNLDKLICGYHDEAISTVVLATQMTLGFFLPLLTMIVCYSVIIKTLLHAGGFQKHRSLKIIFLVMAVFLLTQMPFNLMKFIRSTHWEYYAMTSFHYTIMVTEAIAYLRACLNPVLYAFVSLKFRKNFWKLVKDIGCLPYLGVSHQWKSSEDNSKTFSASHNVEATSMFQL
+>DECOY_sp|O00574|CXCR6_HUMAN C-X-C chemokine receptor type 6 OS=Homo sapiens OX=9606 GN=CXCR6 PE=2 SV=1
+LQFMSTAEVNHSASFTKSNDESSKWQHSVGLYPLCGIDKVLKWFNKRFKLSVFAYLVPNLCARLYAIAETVMITYHFSTMAYYEWHTSRIFKMLNFPMQTLLFVAMVLFIIKLSRHKQFGGAHLLTKIIVSYCVIMTLLPLFFGLTMQTALVVTSIAEDHYGCILKDLNFVNGYIIQPLSVLLSIVWILLSTVKGWTMRKAQQNYAKTAKVVVIFRDVTICTLILMSTYFNITYIGLLSKCMVQGFVWEHIGAYAWFPLTCVFVLDALPLNVLFVDTLSQLKHYFISIVLVLSNGVLGCVFVVLYMCPLFVKSFQLFDQHEEQSSDNFSSFGYDEHYDHEAM
+>sp|P36383|CXG1_HUMAN Gap junction gamma-1 protein OS=Homo sapiens OX=9606 GN=GJC1 PE=1 SV=2
+MSWSFLTRLLEEIHNHSTFVGKIWLTVLIVFRIVLTAVGGESIYYDEQSKFVCNTEQPGCENVCYDAFAPLSHVRFWVFQIILVATPSVMYLGYAIHKIAKMEHGEADKKAARSKPYAMRWKQHRALEETEEDNEEDPMMYPEMELESDKENKEQSQPKPKHDGRRRIREDGLMKIYVLQLLARTVFEVGFLIGQYFLYGFQVHPFYVCSRLPCPHKIDCFISRPTEKTIFLLIMYGVTGLCLLLNIWEMLHLGFGTIRDSLNSKRRELEDPGAYNYPFTWNTPSAPPGYNIAVKPDQIQYTELSNAKIAYKQNKANTAQEQQYGSHEENLPADLEALQREIRMAQERLDLAVQAYSHQNNPHGPREKKAKVGSKAGSNKSTASSKSGDGKTSVWI
+>DECOY_sp|P36383|CXG1_HUMAN Gap junction gamma-1 protein OS=Homo sapiens OX=9606 GN=GJC1 PE=1 SV=2
+IWVSTKGDGSKSSATSKNSGAKSGVKAKKERPGHPNNQHSYAQVALDLREQAMRIERQLAELDAPLNEEHSGYQQEQATNAKNQKYAIKANSLETYQIQDPKVAINYGPPASPTNWTFPYNYAGPDELERRKSNLSDRITGFGLHLMEWINLLLCLGTVGYMILLFITKETPRSIFCDIKHPCPLRSCVYFPHVQFGYLFYQGILFGVEFVTRALLQLVYIKMLGDERIRRRGDHKPKPQSQEKNEKDSELEMEPYMMPDEENDEETEELARHQKWRMAYPKSRAAKKDAEGHEMKAIKHIAYGLYMVSPTAVLIIQFVWFRVHSLPAFADYCVNECGPQETNCVFKSQEDYYISEGGVATLVIRFVILVTLWIKGVFTSHNHIEELLRTLFSWSM
+>sp|Q8NFK1|CXG3_HUMAN Gap junction gamma-3 protein OS=Homo sapiens OX=9606 GN=GJC3 PE=2 SV=1
+MCGRFLRRLLAEESRRSTPVGRLLLPVLLGFRLVLLAASGPGVYGDEQSEFVCHTQQPGCKAACFDAFHPLSPLRFWVFQVILVAVPSALYMGFTLYHVIWHWELSGKGKEEETLIQGREGNTDVPGAGSLRLLWAYVAQLGARLVLEGAALGLQYHLYGFQMPSSFACRREPCLGSITCNLSRPSEKTIFLKTMFGVSGFCLLFTFLELVLLGLGRWWRTWKHKSSSSKYFLTSESTRRHKKATDSLPVVETKEQFQEAVPGRSLAQEKQRPVGPRDA
+>DECOY_sp|Q8NFK1|CXG3_HUMAN Gap junction gamma-3 protein OS=Homo sapiens OX=9606 GN=GJC3 PE=2 SV=1
+ADRPGVPRQKEQALSRGPVAEQFQEKTEVVPLSDTAKKHRRTSESTLFYKSSSSKHKWTRWWRGLGLLVLELFTFLLCFGSVGFMTKLFITKESPRSLNCTISGLCPERRCAFSSPMQFGYLHYQLGLAAGELVLRAGLQAVYAWLLRLSGAGPVDTNGERGQILTEEEKGKGSLEWHWIVHYLTFGMYLASPVAVLIVQFVWFRLPSLPHFADFCAAKCGPQQTHCVFESQEDGYVGPGSAALLVLRFGLLVPLLLRGVPTSRRSEEALLRRLFRGCM
+>sp|P02778|CXL10_HUMAN C-X-C motif chemokine 10 OS=Homo sapiens OX=9606 GN=CXCL10 PE=1 SV=2
+MNQTAILICCLIFLTLSGIQGVPLSRTVRCTCISISNQPVNPRSLEKLEIIPASQFCPRVEIIATMKKKGEKRCLNPESKAIKNLLKAVSKERSKRSP
+>DECOY_sp|P02778|CXL10_HUMAN C-X-C motif chemokine 10 OS=Homo sapiens OX=9606 GN=CXCL10 PE=1 SV=2
+PSRKSREKSVAKLLNKIAKSEPNLCRKEGKKKMTAIIEVRPCFQSAPIIELKELSRPNVPQNSISICTCRVTRSLPVGQIGSLTLFILCCILIATQNM
+>sp|Q6UXB2|CXL17_HUMAN C-X-C motif chemokine 17 OS=Homo sapiens OX=9606 GN=CXCL17 PE=1 SV=1
+MKVLISSLLLLLPLMLMSMVSSSLNPGVARGHRDRGQASRRWLQEGGQECECKDWFLRAPRRKFMTVSGLPKKQCPCDHFKGNVKKTRHQRHHRKPNKHSRACQQFLKQCQLRSFALPL
+>DECOY_sp|Q6UXB2|CXL17_HUMAN C-X-C motif chemokine 17 OS=Homo sapiens OX=9606 GN=CXCL17 PE=1 SV=1
+LPLAFSRLQCQKLFQQCARSHKNPKRHHRQHRTKKVNGKFHDCPCQKKPLGSVTMFKRRPARLFWDKCECEQGGEQLWRRSAQGRDRHGRAVGPNLSSSVMSMLMLPLLLLLSSILVKM
+>sp|P99999|CYC_HUMAN Cytochrome c OS=Homo sapiens OX=9606 GN=CYCS PE=1 SV=2
+MGDVEKGKKIFIMKCSQCHTVEKGGKHKTGPNLHGLFGRKTGQAPGYSYTAANKNKGIIWGEDTLMEYLENPKKYIPGTKMIFVGIKKKEERADLIAYLKKATNE
+>DECOY_sp|P99999|CYC_HUMAN Cytochrome c OS=Homo sapiens OX=9606 GN=CYCS PE=1 SV=2
+ENTAKKLYAILDAREEKKKIGVFIMKTGPIYKKPNELYEMLTDEGWIIGKNKNAATYSYGPAQGTKRGFLGHLNPGTKHKGGKEVTHCQSCKMIFIKKGKEVDGM
+>sp|Q15438|CYH1_HUMAN Cytohesin-1 OS=Homo sapiens OX=9606 GN=CYTH1 PE=1 SV=1
+MEEDDSYVPSDLTAEERQELENIRRRKQELLADIQRLKDEIAEVANEIENLGSTEERKNMQRNKQVAMGRKKFNMDPKKGIQFLIENDLLKNTCEDIAQFLYKGEGLNKTAIGDYLGERDEFNIQVLHAFVELHEFTDLNLVQALRQFLWSFRLPGEAQKIDRMMEAFAQRYCQCNNGVFQSTDTCYVLSFAIIMLNTSLHNPNVKDKPTVERFIAMNRGINDGGDLPEELLRNLYESIKNEPFKIPEDDGNDLTHTFFNPDREGWLLKLGGGRVKTWKRRWFILTDNCLYYFEYTTDKEPRGIIPLENLSIREVEDSKKPNCFELYIPDNKDQVIKACKTEADGRVVEGNHTVYRISAPTPEEKEEWIKCIKAAISRDPFYEMLAARKKKVSSTKRH
+>DECOY_sp|Q15438|CYH1_HUMAN Cytohesin-1 OS=Homo sapiens OX=9606 GN=CYTH1 PE=1 SV=1
+HRKTSSVKKKRAALMEYFPDRSIAAKICKIWEEKEEPTPASIRYVTHNGEVVRGDAETKCAKIVQDKNDPIYLEFCNPKKSDEVERISLNELPIIGRPEKDTTYEFYYLCNDTLIFWRRKWTKVRGGGLKLLWGERDPNFFTHTLDNGDDEPIKFPENKISEYLNRLLEEPLDGGDNIGRNMAIFREVTPKDKVNPNHLSTNLMIIAFSLVYCTDTSQFVGNNCQCYRQAFAEMMRDIKQAEGPLRFSWLFQRLAQVLNLDTFEHLEVFAHLVQINFEDREGLYDGIATKNLGEGKYLFQAIDECTNKLLDNEILFQIGKKPDMNFKKRGMAVQKNRQMNKREETSGLNEIENAVEAIEDKLRQIDALLEQKRRRINELEQREEATLDSPVYSDDEEM
+>sp|Q9UIA0|CYH4_HUMAN Cytohesin-4 OS=Homo sapiens OX=9606 GN=CYTH4 PE=1 SV=1
+MDLCHPEPAELSSGETEELQRIKWHRKQLLEDIQKLKDEIADVFAQIDCFESAEESRMAQKEKELCIGRKKFNMDPAKGIQYFIEHKLLTPDVQDIARFLYKGEGLNKTAIGTYLGERDPINLQVLQAFVDCHEFANLNLVQALRQFLWSFRLPGEAQKIDRMMEAFATRYCLCNPGVFQSTDTCYVLSFSIIMLNTSLHNPNVRDRPPFERFVSMNRGINNGSDLPEDQLRNLFDSIKSEPFSIPEDDGNDLTHTFFNPDREGWLLKLGGRVKTWKRRWFILTDNCLYYFEFTTDKEPRGIIPLENLSVQKVDDPKKPFCLELYNPSCRGQKIKACKTDGDGRVVEGKHESYRISATSAEERDQWIESIRASITRVPFYDLVSTRKKKIASKQ
+>DECOY_sp|Q9UIA0|CYH4_HUMAN Cytohesin-4 OS=Homo sapiens OX=9606 GN=CYTH4 PE=1 SV=1
+QKSAIKKKRTSVLDYFPVRTISARISEIWQDREEASTASIRYSEHKGEVVRGDGDTKCAKIKQGRCSPNYLELCFPKKPDDVKQVSLNELPIIGRPEKDTTFEFYYLCNDTLIFWRRKWTKVRGGLKLLWGERDPNFFTHTLDNGDDEPISFPESKISDFLNRLQDEPLDSGNNIGRNMSVFREFPPRDRVNPNHLSTNLMIISFSLVYCTDTSQFVGPNCLCYRTAFAEMMRDIKQAEGPLRFSWLFQRLAQVLNLNAFEHCDVFAQLVQLNIPDREGLYTGIATKNLGEGKYLFRAIDQVDPTLLKHEIFYQIGKAPDMNFKKRGICLEKEKQAMRSEEASEFCDIQAFVDAIEDKLKQIDELLQKRHWKIRQLEETEGSSLEAPEPHCLDM
+>sp|Q8WTQ1|D104A_HUMAN Beta-defensin 104 OS=Homo sapiens OX=9606 GN=DEFB104A PE=1 SV=2
+MQRLVLLLAISLLLYQDLPVRSEFELDRICGYGTARCRKKCRSQEYRIGRCPNTYACCLRKWDESLLNRTKP
+>DECOY_sp|Q8WTQ1|D104A_HUMAN Beta-defensin 104 OS=Homo sapiens OX=9606 GN=DEFB104A PE=1 SV=2
+PKTRNLLSEDWKRLCCAYTNPCRGIRYEQSRCKKRCRATGYGCIRDLEFESRVPLDQYLLLSIALLLVLRQM
+>sp|Q8NG35|D105A_HUMAN Beta-defensin 105 OS=Homo sapiens OX=9606 GN=DEFB105A PE=2 SV=1
+MALIRKTFYFLFAMFFILVQLPSGCQAGLDFSQPFPSGEFAVCESCKLGRGKCRKECLENEKPDGNCRLNFLCCRQRI
+>DECOY_sp|Q8NG35|D105A_HUMAN Beta-defensin 105 OS=Homo sapiens OX=9606 GN=DEFB105A PE=2 SV=1
+IRQRCCLFNLRCNGDPKENELCEKRCKGRGLKCSECVAFEGSPFPQSFDLGAQCGSPLQVLIFFMAFLFYFTKRILAM
+>sp|Q8N104|D106A_HUMAN Beta-defensin 106 OS=Homo sapiens OX=9606 GN=DEFB106A PE=1 SV=1
+MRTFLFLFAVLFFLTPAKNAFFDEKCNKLKGTCKNNCGKNEELIALCQKSLKCCRTIQPCGSIID
+>DECOY_sp|Q8N104|D106A_HUMAN Beta-defensin 106 OS=Homo sapiens OX=9606 GN=DEFB106A PE=1 SV=1
+DIISGCPQITRCCKLSKQCLAILEENKGCNNKCTGKLKNCKEDFFANKAPTLFFLVAFLFLFTRM
+>sp|P0DP74|D130A_HUMAN Beta-defensin 130A OS=Homo sapiens OX=9606 GN=DEFB130A PE=2 SV=1
+MKLHSLISVLLLFVTLIPKGKTGVIPGQKQCIALKGVCRDKLCSTLDDTIGICNEGKKCCRRWWILEPYPTPVPKGKSP
+>DECOY_sp|P0DP74|D130A_HUMAN Beta-defensin 130A OS=Homo sapiens OX=9606 GN=DEFB130A PE=2 SV=1
+PSKGKPVPTPYPELIWWRRCCKKGENCIGITDDLTSCLKDRCVGKLAICQKQGPIVGTKGKPILTVFLLLVSILSHLKM
+>sp|P59861|D131A_HUMAN Beta-defensin 131A OS=Homo sapiens OX=9606 GN=DEFB131A PE=2 SV=2
+MRVLFFVFGVLSLMFTVPPARSFISNDECPSEYYHCRLKCNADEHAIRYCADFSICCKLKIIEIDGQKKW
+>DECOY_sp|P59861|D131A_HUMAN Beta-defensin 131A OS=Homo sapiens OX=9606 GN=DEFB131A PE=2 SV=2
+WKKQGDIEIIKLKCCISFDACYRIAHEDANCKLRCHYYESPCEDNSIFSRAPPVTFMLSLVGFVFFLVRM
+>sp|Q6ZPD9|D19L3_HUMAN Probable C-mannosyltransferase DPY19L3 OS=Homo sapiens OX=9606 GN=DPY19L3 PE=2 SV=1
+MMSIRQRREIRATEVSEDFPAQEENVKLENKLPSGCTSRRLWKILSLTIGGTIALCIGLLTSVYLATLHENDLWFSNIKEVEREISFRTECGLYYSYYKQMLQAPTLVQGFHGLIYDNKTESMKTINLLQRMNIYQEVFLSILYRVLPIQKYLEPVYFYIYTLFGLQAIYVTALYITSWLLSGTWLSGLLAAFWYVTNRIDTTRVEFTIPLRENWALPFFAIQIAAITYFLRPNLQPLSERLTLLAIFISTFLFSLTWQFNQFMMLMQALVLFTLDSLDMLPAVKATWLYGIQITSLLLVCILQFFNSMILGSLLISFNLSVFIARKLQKNLKTGSFLNRLGKLLLHLFMVLCLTLFLNNIIKKILNLKSDEHIFKFLKAKFGLGATRDFDANLYLCEEAFGLLPFNTFGRLSDTLLFYAYIFVLSITVIVAFVVAFHNLSDSTNQQSVGKMEKGTVDLKPETAYNLIHTILFGFLALSTMRMKYLWTSHMCVFASFGLCSPEIWELLLKSVHLYNPKRICIMRYSVPILILLYLCYKFWPGMMDELSELREFYDPDTVELMNWINSNTPRKAVFAGSMQLLAGVKLCTGRTLTNHPHYEDSSLRERTRAVYQIYAKRAPEEVHALLRSFGTDYVILEDSICYERRHRRGCRLRDLLDIANGHMMDGPGENDPDLKPADHPRFCEEIKRNLPPYVAYFTRVFQNKTFHVYKLSRNK
+>DECOY_sp|Q6ZPD9|D19L3_HUMAN Probable C-mannosyltransferase DPY19L3 OS=Homo sapiens OX=9606 GN=DPY19L3 PE=2 SV=1
+KNRSLKYVHFTKNQFVRTFYAVYPPLNRKIEECFRPHDAPKLDPDNEGPGDMMHGNAIDLLDRLRCGRRHRREYCISDELIVYDTGFSRLLAHVEEPARKAYIQYVARTRERLSSDEYHPHNTLTRGTCLKVGALLQMSGAFVAKRPTNSNIWNMLEVTDPDYFERLESLEDMMGPWFKYCLYLLILIPVSYRMICIRKPNYLHVSKLLLEWIEPSCLGFSAFVCMHSTWLYKMRMTSLALFGFLITHILNYATEPKLDVTGKEMKGVSQQNTSDSLNHFAVVFAVIVTISLVFIYAYFLLTDSLRGFTNFPLLGFAEECLYLNADFDRTAGLGFKAKLFKFIHEDSKLNLIKKIINNLFLTLCLVMFLHLLLKGLRNLFSGTKLNKQLKRAIFVSLNFSILLSGLIMSNFFQLICVLLLSTIQIGYLWTAKVAPLMDLSDLTFLVLAQMLMMFQNFQWTLSFLFTSIFIALLTLRESLPQLNPRLFYTIAAIQIAFFPLAWNERLPITFEVRTTDIRNTVYWFAALLGSLWTGSLLWSTIYLATVYIAQLGFLTYIYFYVPELYKQIPLVRYLISLFVEQYINMRQLLNITKMSETKNDYILGHFGQVLTPAQLMQKYYSYYLGCETRFSIEREVEKINSFWLDNEHLTALYVSTLLGICLAITGGITLSLIKWLRRSTCGSPLKNELKVNEEQAPFDESVETARIERRQRISMM
+>sp|Q6ZN68|D19P2_HUMAN Putative C-mannosyltransferase DPY19L2P2 OS=Homo sapiens OX=9606 GN=DPY19L2P2 PE=5 SV=2
+MADSRRVIIASWYRTFMGIVNLFGLETKTCWNVTRIEPLNEVQSCEGLRDPACFYVGVIFILNGLMMGLFFIYGTYLSGTELGGLITVLCFFFNHGEATCVMWTPPLRESFSYPFLVLQMYVLTLILRTSSNDRRPFIALCLSNVAFMLPWQFAQFILFTQIASLFPMYVVGYIEPSKFQKIIYMNMISVTLSFILMFGNSMYLSSYYSSSLLMTWAIILKRNEIQKLGVSKLNCWLIQGSAWWCGTIILKFLTSKILGVSDHICLSDLIAAGILRYTDFDTLKYTCSPEFDFMEKATLLIYTKTLLLPVVMVITCFIFKKTVGDISRVLATNVYLRCCLCRCHAYNGKCQAVYTSSHCESSTLRRCRLEAWLQHA
+>DECOY_sp|Q6ZN68|D19P2_HUMAN Putative C-mannosyltransferase DPY19L2P2 OS=Homo sapiens OX=9606 GN=DPY19L2P2 PE=5 SV=2
+AHQLWAELRCRRLTSSECHSSTYVAQCKGNYAHCRCLCCRLYVNTALVRSIDGVTKKFIFCTIVMVVPLLLTKTYILLTAKEMFDFEPSCTYKLTDFDTYRLIGAAILDSLCIHDSVGLIKSTLFKLIITGCWWASGQILWCNLKSVGLKQIENRKLIIAWTMLLSSSYYSSLYMSNGFMLIFSLTVSIMNMYIIKQFKSPEIYGVVYMPFLSAIQTFLIFQAFQWPLMFAVNSLCLAIFPRRDNSSTRLILTLVYMQLVLFPYSFSERLPPTWMVCTAEGHNFFFCLVTILGGLETGSLYTGYIFFLGMMLGNLIFIVGVYFCAPDRLGECSQVENLPEIRTVNWCTKTELGFLNVIGMFTRYWSAIIVRRSDAM
+>sp|Q8WUS8|D42E1_HUMAN Short-chain dehydrogenase/reductase family 42E member 1 OS=Homo sapiens OX=9606 GN=SDR42E1 PE=2 SV=2
+MDPKRSQKESVLITGGSGYFGFRLGCALNQNGVHVILFDISSPAQTIPEGIKFIQGDIRHLSDVEKAFQDADVTCVFHIASYGMSGREQLNRNLIKEVNVRGTDNILQVCQRRRVPRLVYTSTFNVIFGGQVIRNGDESLPYLPLHLHPDHYSRTKSIAEQKVLEANATPLDRGDGVLRTCALRPAGIYGPGEQRHLPRIVSYIEKGLFKFVYGDPRSLVEFVHVDNLVQAHILASEALRADKGHIASGQPYFISDGRPVNNFEFFRPLVEGLGYTFPSTRLPLTLVYCFAFLTEMVHFILGRLYNFQPFLTRTEVYKTGVTHYFSLEKAKKELGYKAQPFDLQEAVEWFKAHGHGRSSGSRDSECFVWDGLLVFLLIIAVLMWLPSSVILSL
+>DECOY_sp|Q8WUS8|D42E1_HUMAN Short-chain dehydrogenase/reductase family 42E member 1 OS=Homo sapiens OX=9606 GN=SDR42E1 PE=2 SV=2
+LSLIVSSPLWMLVAIILLFVLLGDWVFCESDRSGSSRGHGHAKFWEVAEQLDFPQAKYGLEKKAKELSFYHTVGTKYVETRTLFPQFNYLRGLIFHVMETLFAFCYVLTLPLRTSPFTYGLGEVLPRFFEFNNVPRGDSIFYPQGSAIHGKDARLAESALIHAQVLNDVHVFEVLSRPDGYVFKFLGKEIYSVIRPLHRQEGPGYIGAPRLACTRLVGDGRDLPTANAELVKQEAISKTRSYHDPHLHLPLYPLSEDGNRIVQGGFIVNFTSTYVLRPVRRRQCVQLINDTGRVNVEKILNRNLQERGSMGYSAIHFVCTVDADQFAKEVDSLHRIDGQIFKIGEPITQAPSSIDFLIVHVGNQNLACGLRFGFYGSGGTILVSEKQSRKPDM
+>sp|Q92904|DAZL_HUMAN Deleted in azoospermia-like OS=Homo sapiens OX=9606 GN=DAZL PE=1 SV=1
+MSTANPETPNSTISREASTQSSSAATSQGYILPEGKIMPNTVFVGGIDVRMDETEIRSFFARYGSVKEVKIITDRTGVSKGYGFVSFFNDVDVQKIVESQINFHGKKLKLGPAIRKQNLCAYHVQPRPLVFNHPPPPQFQNVWTNPNTETYMQPTTTMNPITQYVQAYPTYPNSPVQVITGYQLPVYNYQMPPQWPVGEQRSYVVPPAYSAVNYHCNEVDPGAEVVPNECSVHEATPPSGNGPQKKSVDRSIQTVVSCLFNPENRLRNSVVTQDDYFKDKRVHHFRRSRAMLKSV
+>DECOY_sp|Q92904|DAZL_HUMAN Deleted in azoospermia-like OS=Homo sapiens OX=9606 GN=DAZL PE=1 SV=1
+VSKLMARSRRFHHVRKDKFYDDQTVVSNRLRNEPNFLCSVVTQISRDVSKKQPGNGSPPTAEHVSCENPVVEAGPDVENCHYNVASYAPPVVYSRQEGVPWQPPMQYNYVPLQYGTIVQVPSNPYTPYAQVYQTIPNMTTTPQMYTETNPNTWVNQFQPPPPHNFVLPRPQVHYACLNQKRIAPGLKLKKGHFNIQSEVIKQVDVDNFFSVFGYGKSVGTRDTIIKVEKVSGYRAFFSRIETEDMRVDIGGVFVTNPMIKGEPLIYGQSTAASSSQTSAERSITSNPTEPNATSM
+>sp|Q15038|DAZP2_HUMAN DAZ-associated protein 2 OS=Homo sapiens OX=9606 GN=DAZAP2 PE=1 SV=1
+MNSKGQYPTQPTYPVQPPGNPVYPQTLHLPQAPPYTDAPPAYSELYRPSFVHPGAATVPTMSAAFPGASLYLPMAQSVAVGPLGSTIPMAYYPVGPIYPPGSTVLVEGGYDAGARFGAGATAGNIPPPPPGCPPNAAQLAVMQGANVLVTQRKGNFFMGGSDGGYTIW
+>DECOY_sp|Q15038|DAZP2_HUMAN DAZ-associated protein 2 OS=Homo sapiens OX=9606 GN=DAZAP2 PE=1 SV=1
+WITYGGDSGGMFFNGKRQTVLVNAGQMVALQAANPPCGPPPPPINGATAGAGFRAGADYGGEVLVTSGPPYIPGVPYYAMPITSGLPGVAVSQAMPLYLSAGPFAASMTPVTAAGPHVFSPRYLESYAPPADTYPPAQPLHLTQPYVPNGPPQVPYTPQTPYQGKSNM
+>sp|Q30KQ4|DB116_HUMAN Beta-defensin 116 OS=Homo sapiens OX=9606 GN=DEFB116 PE=3 SV=1
+MSVMKPCLMTIAILMILAQKTPGGLFRSHNGKSREPWNPCELYQGMCRNACREYEIQYLTCPNDQKCCLKLSVKITSSKNVKEDYDSNSNLSVTNSSSYSHI
+>DECOY_sp|Q30KQ4|DB116_HUMAN Beta-defensin 116 OS=Homo sapiens OX=9606 GN=DEFB116 PE=3 SV=1
+IHSYSSSNTVSLNSNSDYDEKVNKSSTIKVSLKLCCKQDNPCTLYQIEYERCANRCMGQYLECPNWPERSKGNHSRFLGGPTKQALIMLIAITMLCPKMVSM
+>sp|Q9NR28|DBLOH_HUMAN Diablo homolog, mitochondrial OS=Homo sapiens OX=9606 GN=DIABLO PE=1 SV=1
+MAALKSWLSRSVTSFFRYRQCLCVPVVANFKKRCFSELIRPWHKTVTIGFGVTLCAVPIAQKSEPHSLSSEALMRRAVSLVTDSTSTFLSQTTYALIEAITEYTKAVYTLTSLYRQYTSLLGKMNSEEEDEVWQVIIGARAEMTSKHQEYLKLETTWMTAVGLSEMAAEAAYQTGADQASITARNHIQLVKLQVEEVHQLSRKAETKLAEAQIEELRQKTQEEGEERAESEQEAYLRED
+>DECOY_sp|Q9NR28|DBLOH_HUMAN Diablo homolog, mitochondrial OS=Homo sapiens OX=9606 GN=DIABLO PE=1 SV=1
+DERLYAEQESEAREEGEEQTKQRLEEIQAEALKTEAKRSLQHVEEVQLKVLQIHNRATISAQDAGTQYAAEAAMESLGVATMWTTELKLYEQHKSTMEARAGIIVQWVEDEEESNMKGLLSTYQRYLSTLTYVAKTYETIAEILAYTTQSLFTSTSDTVLSVARRMLAESSLSHPESKQAIPVACLTVGFGITVTKHWPRILESFCRKKFNAVVPVCLCQRYRFFSTVSRSLWSKLAAM
+>sp|Q10586|DBP_HUMAN D site-binding protein OS=Homo sapiens OX=9606 GN=DBP PE=1 SV=1
+MARPVSDRTPAPLLLGGPAGTPPGGGALLGLRSLLQGTSKPKEPASCLLKEKERKAALPAATTPGPGLETAGPADAPAGAVVGGGSPRGRPGPVPAPGLLAPLLWERTLPFGDVEYVDLDAFLLEHGLPPSPPPPGGPSPEPSPARTPAPSPGPGSCGSASPRSSPGHAPARAALGTASGHRAGLTSRDTPSPVDPDTVEVLMTFEPDPADLALSSIPGHETFDPRRHRFSEEELKPQPIMKKARKIQVPEEQKDEKYWSRRYKNNEAAKRSRDARRLKENQISVRAAFLEKENALLRQEVVAVRQELSHYRAVLSRYQAQHGAL
+>DECOY_sp|Q10586|DBP_HUMAN D site-binding protein OS=Homo sapiens OX=9606 GN=DBP PE=1 SV=1
+LAGHQAQYRSLVARYHSLEQRVAVVEQRLLANEKELFAARVSIQNEKLRRADRSRKAAENNKYRRSWYKEDKQEEPVQIKRAKKMIPQPKLEEESFRHRRPDFTEHGPISSLALDAPDPEFTMLVEVTDPDVPSPTDRSTLGARHGSATGLAARAPAHGPSSRPSASGCSGPGPSPAPTRAPSPEPSPGGPPPPSPPLGHELLFADLDVYEVDGFPLTREWLLPALLGPAPVPGPRGRPSGGGVVAGAPADAPGATELGPGPTTAAPLAAKREKEKLLCSAPEKPKSTGQLLSRLGLLAGGGPPTGAPGGLLLPAPTRDSVPRAM
+>sp|Q9UK59|DBR1_HUMAN Lariat debranching enzyme OS=Homo sapiens OX=9606 GN=DBR1 PE=1 SV=2
+MRVAVAGCCHGELDKIYETLALAERRGPGPVDLLLCCGDFQAVRNEADLRCMAVPPKYRHMQTFYRYYSGEKKAPVLTLFIGGNHEASNHLQELPYGGWVAPNIYYLGLAGVVKYRGVRIGGISGIFKSHDYRKGHFECPPYNSSTIRSIYHVRNIEVYKLKQLKQPIDIFLSHDWPRSIYHYGNKKQLLKTKSFFRQEVENNTLGSPAASELLEHLKPTYWFSAHLHVKFAALMQHQAKDKGQTARATKFLALDKCLPHRDFLQILEIEHDPSAPDYLEYDIEWLTILRATDDLINVTGRLWNMPENNGLHARWDYSATEEGMKEVLEKLNHDLKVPCNFSVTAACYDPSKPQTQMQLIHRINPQTTEFCAQLGIIDINVRLQKSKEEHHVCGEYEEQDDVESNDSGEDQSEYNTDTSALSSINPDEIMLDEEEDEDSIVSAHSGMNTPSVEPSDQASEFSASFSDVRILPGSMIVSSDDTVDSTIDREGKPGGTVESGNGEDLTKVPLKRLSDEHEPEQRKKIKRRNQAIYAAVDDDDDDAA
+>DECOY_sp|Q9UK59|DBR1_HUMAN Lariat debranching enzyme OS=Homo sapiens OX=9606 GN=DBR1 PE=1 SV=2
+AADDDDDDVAAYIAQNRRKIKKRQEPEHEDSLRKLPVKTLDEGNGSEVTGGPKGERDITSDVTDDSSVIMSGPLIRVDSFSASFESAQDSPEVSPTNMGSHASVISDEDEEEDLMIEDPNISSLASTDTNYESQDEGSDNSEVDDQEEYEGCVHHEEKSKQLRVNIDIIGLQACFETTQPNIRHILQMQTQPKSPDYCAATVSFNCPVKLDHNLKELVEKMGEETASYDWRAHLGNNEPMNWLRGTVNILDDTARLITLWEIDYELYDPASPDHEIELIQLFDRHPLCKDLALFKTARATQGKDKAQHQMLAAFKVHLHASFWYTPKLHELLESAAPSGLTNNEVEQRFFSKTKLLQKKNGYHYISRPWDHSLFIDIPQKLQKLKYVEINRVHYISRITSSNYPPCEFHGKRYDHSKFIGSIGGIRVGRYKVVGALGLYYINPAVWGGYPLEQLHNSAEHNGGIFLTLVPAKKEGSYYRYFTQMHRYKPPVAMCRLDAENRVAQFDGCCLLLDVPGPGRREALALTEYIKDLEGHCCGAVAVRM
+>sp|Q8TCX1|DC2L1_HUMAN Cytoplasmic dynein 2 light intermediate chain 1 OS=Homo sapiens OX=9606 GN=DYNC2LI1 PE=1 SV=1
+MPSETLWEIAKAEVEKRGINGSEGDGAEIAEKFVFFIGSKNGGKTTIILRCLDRDEPPKPTLALEYTYGRRAKGHNTPKDIAHFWELGGGTSLLDLISIPITGDTLRTFSLVLVLDLSKPNDLWPTMENLLQATKSHVDKVIMKLGKTNAKAVSEMRQKIWNNMPKDHPDHELIDPFPVPLVIIGSKYDVFQDFESEKRKVICKTLRFVAHYYGASLMFTSKSEALLLKIRGVINQLAFGIDKSKSICVDQNKPLFITAGLDSFGQIGSPPVPENDIGKLHAHSPMELWKKVYEKLFPPKSINTLKDIKDPARDPQYAENEVDEMRIQKDLELEQYKRSSSKSWKQIELDS
+>DECOY_sp|Q8TCX1|DC2L1_HUMAN Cytoplasmic dynein 2 light intermediate chain 1 OS=Homo sapiens OX=9606 GN=DYNC2LI1 PE=1 SV=1
+SDLEIQKWSKSSSRKYQELELDKQIRMEDVENEAYQPDRAPDKIDKLTNISKPPFLKEYVKKWLEMPSHAHLKGIDNEPVPPSGIQGFSDLGATIFLPKNQDVCISKSKDIGFALQNIVGRIKLLLAESKSTFMLSAGYYHAVFRLTKCIVKRKESEFDQFVDYKSGIIVLPVPFPDILEHDPHDKPMNNWIKQRMESVAKANTKGLKMIVKDVHSKTAQLLNEMTPWLDNPKSLDLVLVLSFTRLTDGTIPISILDLLSTGGGLEWFHAIDKPTNHGKARRGYTYELALTPKPPEDRDLCRLIITTKGGNKSGIFFVFKEAIEAGDGESGNIGRKEVEAKAIEWLTESPM
+>sp|Q5H9S7|DCA17_HUMAN DDB1- and CUL4-associated factor 17 OS=Homo sapiens OX=9606 GN=DCAF17 PE=1 SV=1
+MGPTRKPNVCSRLSRRALGCFSRDAGVVQRTNLGILRALVCQESTKFKNVWTTHSRSPIAYERGRIYFDNYRRCVSSVASEPRKLYEMPKCSKSEKIEDALLWECPVGDILPNSSDYKSSLIALTAHNWLLRISATTGKILEKIYLAPYCKFRYLSWDTPQEVIAVKSAQNRGSAVARQAGIQQHVLLYLAVFRVLPFSLVGILEINKKIFGNVTDATLSHGILIVMYSSGLVRLYSFQTIAEQFMQQKLDLGCACRWGGTTGTVGEAPFGIPCNIKITDMPPLLFEVSSLENAFQIGGHPWHYIVTPNKKKQKGVFHICALKDNSLAKNGIQEMDCCSLESDWIYFHPDASGRIIHVGPNQVKVLKLTEIENNSSQHQISEDFVILANRENHKNENVLTVTASGRVVKKSFNLLDDDPEQETFKIVDYEDELDLLSVVAVTQIDAEGKAHLDFHCNEYGTLLKSIPLVESWDVTYSHEVYFDRDLVLHIEQKPNRVFSCYVYQMICDTGEEEETINRSC
+>DECOY_sp|Q5H9S7|DCA17_HUMAN DDB1- and CUL4-associated factor 17 OS=Homo sapiens OX=9606 GN=DCAF17 PE=1 SV=1
+CSRNITEEEEGTDCIMQYVYCSFVRNPKQEIHLVLDRDFYVEHSYTVDWSEVLPISKLLTGYENCHFDLHAKGEADIQTVAVVSLLDLEDEYDVIKFTEQEPDDDLLNFSKKVVRGSATVTLVNENKHNERNALIVFDESIQHQSSNNEIETLKLVKVQNPGVHIIRGSADPHFYIWDSELSCCDMEQIGNKALSNDKLACIHFVGKQKKKNPTVIYHWPHGGIQFANELSSVEFLLPPMDTIKINCPIGFPAEGVTGTTGGWRCACGLDLKQQMFQEAITQFSYLRVLGSSYMVILIGHSLTADTVNGFIKKNIELIGVLSFPLVRFVALYLLVHQQIGAQRAVASGRNQASKVAIVEQPTDWSLYRFKCYPALYIKELIKGTTASIRLLWNHATLAILSSKYDSSNPLIDGVPCEWLLADEIKESKSCKPMEYLKRPESAVSSVCRRYNDFYIRGREYAIPSRSHTTWVNKFKTSEQCVLARLIGLNTRQVVGADRSFCGLARRSLRSCVNPKRTPGM
+>sp|Q58WW2|DCAF6_HUMAN DDB1- and CUL4-associated factor 6 OS=Homo sapiens OX=9606 GN=DCAF6 PE=1 SV=1
+MSRGGSYPHLLWDVRKRSLGLEDPSRLRSRYLGRREFIQRLKLEATLNVHDGCVNTICWNDTGEYILSGSDDTKLVISNPYSRKVLTTIRSGHRANIFSAKFLPCTNDKQIVSCSGDGVIFYTNVEQDAETNRQCQFTCHYGTTYEIMTVPNDPYTFLSCGEDGTVRWFDTRIKTSCTKEDCKDDILINCRRAATSVAICPPIPYYLAVGCSDSSVRIYDRRMLGTRATGNYAGRGTTGMVARFIPSHLNNKSCRVTSLCYSEDGQEILVSYSSDYIYLFDPKDDTARELKTPSAEERREELRQPPVKRLRLRGDWSDTGPRARPESERERDGEQSPNVSLMQRMSDMLSRWFEEASEVAQSNRGRGRSRPRGGTSQSDISTLPTVPSSPDLEVSETAMEVDTPAEQFLQPSTSSTMSAQAHSTSSPTESPHSTPLLSSPDSEQRQSVEASGHHTHHQSDNNNEKLSPKPGTGEPVLSLHYSTEGTTTSTIKLNFTDEWSSIASSSRGIGSHCKSEGQEESFVPQSSVQPPEGDSETKAPEESSEDVTKYQEGVSAENPVENHINITQSDKFTAKPLDSNSGERNDLNLDRSCGVPEESASSEKAKEPETSDQTSTESATNENNTNPEPQFQTEATGPSAHEETSTRDSALQDTDDSDDDPVLIPGARYRAGPGDRRSAVARIQEFFRRRKERKEMEELDTLNIRRPLVKMVYKGHRNSRTMIKEANFWGANFVMSGSDCGHIFIWDRHTAEHLMLLEADNHVVNCLQPHPFDPILASSGIDYDIKIWSPLEESRIFNRKLADEVITRNELMLEETRNTITVPASFMLRMLASLNHIRADRLEGDRSEGSGQENENEDEE
+>DECOY_sp|Q58WW2|DCAF6_HUMAN DDB1- and CUL4-associated factor 6 OS=Homo sapiens OX=9606 GN=DCAF6 PE=1 SV=1
+EEDENENEQGSGESRDGELRDARIHNLSALMRLMFSAPVTITNRTEELMLENRTIVEDALKRNFIRSEELPSWIKIDYDIGSSALIPDFPHPQLCNVVHNDAELLMLHEATHRDWIFIHGCDSGSMVFNAGWFNAEKIMTRSNRHGKYVMKVLPRRINLTDLEEMEKREKRRRFFEQIRAVASRRDGPGARYRAGPILVPDDDSDDTDQLASDRTSTEEHASPGTAETQFQPEPNTNNENTASETSTQDSTEPEKAKESSASEEPVGCSRDLNLDNREGSNSDLPKATFKDSQTINIHNEVPNEASVGEQYKTVDESSEEPAKTESDGEPPQVSSQPVFSEEQGESKCHSGIGRSSSAISSWEDTFNLKITSTTTGETSYHLSLVPEGTGPKPSLKENNNDSQHHTHHGSAEVSQRQESDPSSLLPTSHPSETPSSTSHAQASMTSSTSPQLFQEAPTDVEMATESVELDPSSPVTPLTSIDSQSTGGRPRSRGRGRNSQAVESAEEFWRSLMDSMRQMLSVNPSQEGDRERESEPRARPGTDSWDGRLRLRKVPPQRLEERREEASPTKLERATDDKPDFLYIYDSSYSVLIEQGDESYCLSTVRCSKNNLHSPIFRAVMGTTGRGAYNGTARTGLMRRDYIRVSSDSCGVALYYPIPPCIAVSTAARRCNILIDDKCDEKTCSTKIRTDFWRVTGDEGCSLFTYPDNPVTMIEYTTGYHCTFQCQRNTEADQEVNTYFIVGDGSCSVIQKDNTCPLFKASFINARHGSRITTLVKRSYPNSIVLKTDDSGSLIYEGTDNWCITNVCGDHVNLTAELKLRQIFERRGLYRSRLRSPDELGLSRKRVDWLLHPYSGGRSM
+>sp|Q96PD2|DCBD2_HUMAN Discoidin, CUB and LCCL domain-containing protein 2 OS=Homo sapiens OX=9606 GN=DCBLD2 PE=1 SV=1
+MASRAVVRARRCPQCPQVRAAAAAPAWAALPLSRSLPPCSNSSSFSMPLFLLLLLVLLLLLEDAGAQQGDGCGHTVLGPESGTLTSINYPQTYPNSTVCEWEIRVKMGERVRIKFGDFDIEDSDSCHFNYLRIYNGIGVSRTEIGKYCGLGLQMNHSIESKGNEITLLFMSGIHVSGRGFLASYSVIDKQDLITCLDTASNFLEPEFSKYCPAGCLLPFAEISGTIPHGYRDSSPLCMAGVHAGVVSNTLGGQISVVISKGIPYYESSLANNVTSVVGHLSTSLFTFKTSGCYGTLGMESGVIADPQITASSVLEWTDHTGQENSWKPKKARLKKPGPPWAAFATDEYQWLQIDLNKEKKITGIITTGSTMVEHNYYVSAYRILYSDDGQKWTVYREPGVEQDKIFQGNKDYHQDVRNNFLPPIIARFIRVNPTQWQQKIAMKMELLGCQFIPKGRPPKLTQPPPPRNSNDLKNTTAPPKIAKGRAPKFTQPLQPRSSNEFPAQTEQTTASPDIRNTTVTPNVTKDVALAAVLVPVLVMVLTTLILILVCAWHWRNRKKKTEGTYDLPYWDRAGWWKGMKQFLPAKAVDHEETPVRYSSSEVNHLSPREVTTVLQADSAEYAQPLVGGIVGTLHQRSTFKPEEGKEAGYADLDPYNSPGQEVYHAYAEPLPITGPEYATPIIMDMSGHPTTSVGQPSTSTFKATGNQPPPLVGTYNTLLSRTDSCSSAQAQYDTPKAGKPGLPAPDELVYQVPQSTQEVSGAGRDGECDVFKEIL
+>DECOY_sp|Q96PD2|DCBD2_HUMAN Discoidin, CUB and LCCL domain-containing protein 2 OS=Homo sapiens OX=9606 GN=DCBLD2 PE=1 SV=1
+LIEKFVDCEGDRGAGSVEQTSQPVQYVLEDPAPLGPKGAKPTDYQAQASSCSDTRSLLTNYTGVLPPPQNGTAKFTSTSPQGVSTTPHGSMDMIIPTAYEPGTIPLPEAYAHYVEQGPSNYPDLDAYGAEKGEEPKFTSRQHLTGVIGGVLPQAYEASDAQLVTTVERPSLHNVESSSYRVPTEEHDVAKAPLFQKMGKWWGARDWYPLDYTGETKKKRNRWHWACVLILILTTLVMVLVPVLVAALAVDKTVNPTVTTNRIDPSATTQETQAPFENSSRPQLPQTFKPARGKAIKPPATTNKLDNSNRPPPPQTLKPPRGKPIFQCGLLEMKMAIKQQWQTPNVRIFRAIIPPLFNNRVDQHYDKNGQFIKDQEVGPERYVTWKQGDDSYLIRYASVYYNHEVMTSGTTIIGTIKKEKNLDIQLWQYEDTAFAAWPPGPKKLRAKKPKWSNEQGTHDTWELVSSATIQPDAIVGSEMGLTGYCGSTKFTFLSTSLHGVVSTVNNALSSEYYPIGKSIVVSIQGGLTNSVVGAHVGAMCLPSSDRYGHPITGSIEAFPLLCGAPCYKSFEPELFNSATDLCTILDQKDIVSYSALFGRGSVHIGSMFLLTIENGKSEISHNMQLGLGCYKGIETRSVGIGNYIRLYNFHCSDSDEIDFDGFKIRVREGMKVRIEWECVTSNPYTQPYNISTLTGSEPGLVTHGCGDGQQAGADELLLLLVLLLLLFLPMSFSSSNSCPPLSRSLPLAAWAPAAAAARVQPCQPCRRARVVARSAM
+>sp|P43146|DCC_HUMAN Netrin receptor DCC OS=Homo sapiens OX=9606 GN=DCC PE=1 SV=2
+MENSLRCVWVPKLAFVLFGASLFSAHLQVTGFQIKAFTALRFLSEPSDAVTMRGGNVLLDCSAESDRGVPVIKWKKDGIHLALGMDERKQQLSNGSLLIQNILHSRHHKPDEGLYQCEASLGDSGSIISRTAKVAVAGPLRFLSQTESVTAFMGDTVLLKCEVIGEPMPTIHWQKNQQDLTPIPGDSRVVVLPSGALQISRLQPGDIGIYRCSARNPASSRTGNEAEVRILSDPGLHRQLYFLQRPSNVVAIEGKDAVLECCVSGYPPPSFTWLRGEEVIQLRSKKYSLLGGSNLLISNVTDDDSGMYTCVVTYKNENISASAELTVLVPPWFLNHPSNLYAYESMDIEFECTVSGKPVPTVNWMKNGDVVIPSDYFQIVGGSNLRILGVVKSDEGFYQCVAENEAGNAQTSAQLIVPKPAIPSSSVLPSAPRDVVPVLVSSRFVRLSWRPPAEAKGNIQTFTVFFSREGDNRERALNTTQPGSLQLTVGNLKPEAMYTFRVVAYNEWGPGESSQPIKVATQPELQVPGPVENLQAVSTSPTSILITWEPPAYANGPVQGYRLFCTEVSTGKEQNIEVDGLSYKLEGLKKFTEYSLRFLAYNRYGPGVSTDDITVVTLSDVPSAPPQNVSLEVVNSRSIKVSWLPPPSGTQNGFITGYKIRHRKTTRRGEMETLEPNNLWYLFTGLEKGSQYSFQVSAMTVNGTGPPSNWYTAETPENDLDESQVPDQPSSLHVRPQTNCIIMSWTPPLNPNIVVRGYIIGYGVGSPYAETVRVDSKQRYYSIERLESSSHYVISLKAFNNAGEGVPLYESATTRSITDPTDPVDYYPLLDDFPTSVPDLSTPMLPPVGVQAVALTHDAVRVSWADNSVPKNQKTSEVRLYTVRWRTSFSASAKYKSEDTTSLSYTATGLKPNTMYEFSVMVTKNRRSSTWSMTAHATTYEAAPTSAPKDLTVITREGKPRAVIVSWQPPLEANGKITAYILFYTLDKNIPIDDWIMETISGDRLTHQIMDLNLDTMYYFRIQARNSKGVGPLSDPILFRTLKVEHPDKMANDQGRHGDGGYWPVDTNLIDRSTLNEPPIGQMHPPHGSVTPQKNSNLLVIIVVTVGVITVLVVVIVAVICTRRSSAQQRKKRATHSAGKRKGSQKDLRPPDLWIHHEEMEMKNIEKPSGTDPAGRDSPIQSCQDLTPVSHSQSETQLGSKSTSHSGQDTEEAGSSMSTLERSLAARRAPRAKLMIPMDAQSNNPAVVSAIPVPTLESAQYPGILPSPTCGYPHPQFTLRPVPFPTLSVDRGFGAGRSQSVSEGPTTQQPPMLPPSQPEHSSSEEAPSRTIPTACVRPTHPLRSFANPLLPPPMSAIEPKVPYTPLLSQPGPTLPKTHVKTASLGLAGKARSPLLPVSVPTAPEVSEESHKPTEDSANVYEQDDLSEQMASLEGLMKQLNAITGSAF
+>DECOY_sp|P43146|DCC_HUMAN Netrin receptor DCC OS=Homo sapiens OX=9606 GN=DCC PE=1 SV=2
+FASGTIANLQKMLGELSAMQESLDDQEYVNASDETPKHSEESVEPATPVSVPLLPSRAKGALGLSATKVHTKPLTPGPQSLLPTYPVKPEIASMPPPLLPNAFSRLPHTPRVCATPITRSPAEESSSHEPQSPPLMPPQQTTPGESVSQSRGAGFGRDVSLTPFPVPRLTFQPHPYGCTPSPLIGPYQASELTPVPIASVVAPNNSQADMPIMLKARPARRAALSRELTSMSSGAEETDQGSHSTSKSGLQTESQSHSVPTLDQCSQIPSDRGAPDTGSPKEINKMEMEEHHIWLDPPRLDKQSGKRKGASHTARKKRQQASSRRTCIVAVIVVVLVTIVGVTVVIIVLLNSNKQPTVSGHPPHMQGIPPENLTSRDILNTDVPWYGGDGHRGQDNAMKDPHEVKLTRFLIPDSLPGVGKSNRAQIRFYYMTDLNLDMIQHTLRDGSITEMIWDDIPINKDLTYFLIYATIKGNAELPPQWSVIVARPKGERTIVTLDKPASTPAAEYTTAHATMSWTSSRRNKTVMVSFEYMTNPKLGTATYSLSTTDESKYKASASFSTRWRVTYLRVESTKQNKPVSNDAWSVRVADHTLAVAQVGVPPLMPTSLDPVSTPFDDLLPYYDVPDTPDTISRTTASEYLPVGEGANNFAKLSIVYHSSSELREISYYRQKSDVRVTEAYPSGVGYGIIYGRVVINPNLPPTWSMIICNTQPRVHLSSPQDPVQSEDLDNEPTEATYWNSPPGTGNVTMASVQFSYQSGKELGTFLYWLNNPELTEMEGRRTTKRHRIKYGTIFGNQTGSPPPLWSVKISRSNVVELSVNQPPASPVDSLTVVTIDDTSVGPGYRNYALFRLSYETFKKLGELKYSLGDVEINQEKGTSVETCFLRYGQVPGNAYAPPEWTILISTPSTSVAQLNEVPGPVQLEPQTAVKIPQSSEGPGWENYAVVRFTYMAEPKLNGVTLQLSGPQTTNLARERNDGERSFFVTFTQINGKAEAPPRWSLRVFRSSVLVPVVDRPASPLVSSSPIAPKPVILQASTQANGAENEAVCQYFGEDSKVVGLIRLNSGGVIQFYDSPIVVDGNKMWNVTPVPKGSVTCEFEIDMSEYAYLNSPHNLFWPPVLVTLEASASINENKYTVVCTYMGSDDDTVNSILLNSGGLLSYKKSRLQIVEEGRLWTFSPPPYGSVCCELVADKGEIAVVNSPRQLFYLQRHLGPDSLIRVEAENGTRSSAPNRASCRYIGIDGPQLRSIQLAGSPLVVVRSDGPIPTLDQQNKQWHITPMPEGIVECKLLVTDGMFATVSETQSLFRLPGAVAVKATRSIISGSDGLSAECQYLGEDPKHHRSHLINQILLSGNSLQQKREDMGLALHIGDKKWKIVPVGRDSEASCDLLVNGGRMTVADSPESLFRLATFAKIQFGTVQLHASFLSAGFLVFALKPVWVCRLSNEM
+>sp|Q9BTE7|DCNL5_HUMAN DCN1-like protein 5 OS=Homo sapiens OX=9606 GN=DCUN1D5 PE=1 SV=1
+MPVKKKRKSPGVAAAVAEDGGLKKCKISSYCRSQPPARLISGEEHFSSKKCLAWFYEYAGPDEVVGPEGMEKFCEDIGVEPENIIMLVLAWKLEAESMGFFTKEEWLKGMTSLQCDCTEKLQNKFDFLRSQLNDISSFKNIYRYAFDFARDKDQRSLDIDTAKSMLALLLGRTWPLFSVFYQYLEQSKYRVMNKDQWYNVLEFSRTVHADLSNYDEDGAWPVLLDEFVEWQKVRQTS
+>DECOY_sp|Q9BTE7|DCNL5_HUMAN DCN1-like protein 5 OS=Homo sapiens OX=9606 GN=DCUN1D5 PE=1 SV=1
+STQRVKQWEVFEDLLVPWAGDEDYNSLDAHVTRSFELVNYWQDKNMVRYKSQELYQYFVSFLPWTRGLLLALMSKATDIDLSRQDKDRAFDFAYRYINKFSSIDNLQSRLFDFKNQLKETCDCQLSTMGKLWEEKTFFGMSEAELKWALVLMIINEPEVGIDECFKEMGEPGVVEDPGAYEYFWALCKKSSFHEEGSILRAPPQSRCYSSIKCKKLGGDEAVAAAVGPSKRKKKVPM
+>sp|Q96C86|DCPS_HUMAN m7GpppX diphosphatase OS=Homo sapiens OX=9606 GN=DCPS PE=1 SV=2
+MADAAPQLGKRKRELDVEEAHAASTEEKEAGVGNGTCAPVRLPFSGFRLQKVLRESARDKIIFLHGKVNEASGDGDGEDAVVILEKTPFQVEQVAQLLTGSPELQLQFSNDIYSTYHLFPPRQLNDVKTTVVYPATEKHLQKYLRQDLRLIRETGDDYRNITLPHLESQSLSIQWVYNILDKKAEADRIVFENPDPSDGFVLIPDLKWNQQQLDDLYLIAICHRRGIRSLRDLTPEHLPLLRNILHQGQEAILQRYRMKGDHLRVYLHYLPSYYHLHVHFTALGFEAPGSGVERAHLLAEVIENLECDPRHYQQRTLTFALRADDPLLKLLQEAQQS
+>DECOY_sp|Q96C86|DCPS_HUMAN m7GpppX diphosphatase OS=Homo sapiens OX=9606 GN=DCPS PE=1 SV=2
+SQQAEQLLKLLPDDARLAFTLTRQQYHRPDCELNEIVEALLHAREVGSGPAEFGLATFHVHLHYYSPLYHLYVRLHDGKMRYRQLIAEQGQHLINRLLPLHEPTLDRLSRIGRRHCIAILYLDDLQQQNWKLDPILVFGDSPDPNEFVIRDAEAKKDLINYVWQISLSQSELHPLTINRYDDGTERILRLDQRLYKQLHKETAPYVVTTKVDNLQRPPFLHYTSYIDNSFQLQLEPSGTLLQAVQEVQFPTKELIVVADEGDGDGSAENVKGHLFIIKDRASERLVKQLRFGSFPLRVPACTGNGVGAEKEETSAAHAEEVDLERKRKGLQPAADAM
+>sp|Q6PJP8|DCR1A_HUMAN DNA cross-link repair 1A protein OS=Homo sapiens OX=9606 GN=DCLRE1A PE=1 SV=3
+MLEDISEEDIWEYKSKRKPKRVDPNNGSKNILKSVEKATDGKYQSKRSRNRKRAAEAKEVKDHEVPLGNAGCQTSVASSQNSSCGDGIQQTQDKETTPGKLCRTQKSQHVSPKIRPVYDGYCPNCQMPFSSLIGQTPRWHVFECLDSPPRSETECPDGLLCTSTIPFHYKRYTHFLLAQSRAGDHPFSSPSPASGGSFSETKSGVLCSLEERWSSYQNQTDNSVSNDPLLMTQYFKKSPSLTEASEKISTHIQTSQQALQFTDFVENDKLVGVALRLANNSEHINLPLPENDFSDCEISYSPLQSDEDTHDIDEKPDDSQEQLFFTESSKDGSLEEDDDSCGFFKKRHGPLLKDQDESCPKVNSFLTRDKYDEGLYRFNSLNDLSQPISQNNESTLPYDLACTGGDFVLFPPALAGKLAASVHQATKAKPDEPEFHSAQSNKQKQVIEESSVYNQVSLPLVKSLMLKPFESQVEGYLSSQPTQNTIRKLSSENLNAKNNTNSACFCRKALEGVPVGKATILNTENLSSTPAPKYLKILPSGLKYNARHPSTKVMKQMDIGVYFGLPPKRKEEKLLGESALEGINLNPVPSPNQKRSSQCKRKAEKSLSDLEFDASTLHESQLSVELSSERSQRQKKRCRKSNSLQEGACQKRSDHLINTESEAVNLSKVKVFTKSAHGGLQRGNKKIPESSNVGGSRKKTCPFYKKIPGTGFTVDAFQYGVVEGCTAYFLTHFHSDHYAGLSKHFTFPVYCSEITGNLLKNKLHVQEQYIHPLPLDTECIVNGVKVVLLDANHCPGAVMILFYLPNGTVILHTGDFRADPSMERSLLADQKVHMLYLDTTYCSPEYTFPSQQEVIRFAINTAFEAVTLNPHALVVCGTYSIGKEKVFLAIADVLGSKVGMSQEKYKTLQCLNIPEINSLITTDMCSSLVHLLPMMQINFKGLQSHLKKCGGKYNQILAFRPTGWTHSNKFTRIADVIPQTKGNISIYGIPYSEHSSYLEMKRFVQWLKPQKIIPTVNVGTWKSRSTMEKYFREWKLEAGY
+>DECOY_sp|Q6PJP8|DCR1A_HUMAN DNA cross-link repair 1A protein OS=Homo sapiens OX=9606 GN=DCLRE1A PE=1 SV=3
+YGAELKWERFYKEMTSRSKWTGVNVTPIIKQPKLWQVFRKMELYSSHESYPIGYISINGKTQPIVDAIRTFKNSHTWGTPRFALIQNYKGGCKKLHSQLGKFNIQMMPLLHVLSSCMDTTILSNIEPINLCQLTKYKEQSMGVKSGLVDAIALFVKEKGISYTGCVVLAHPNLTVAEFATNIAFRIVEQQSPFTYEPSCYTTDLYLMHVKQDALLSREMSPDARFDGTHLIVTGNPLYFLIMVAGPCHNADLLVVKVGNVICETDLPLPHIYQEQVHLKNKLLNGTIESCYVPFTFHKSLGAYHDSHFHTLFYATCGEVVGYQFADVTFGTGPIKKYFPCTKKRSGGVNSSEPIKKNGRQLGGHASKTFVKVKSLNVAESETNILHDSRKQCAGEQLSNSKRCRKKQRQSRESSLEVSLQSEHLTSADFELDSLSKEAKRKCQSSRKQNPSPVPNLNIGELASEGLLKEEKRKPPLGFYVGIDMQKMVKTSPHRANYKLGSPLIKLYKPAPTSSLNETNLITAKGVPVGELAKRCFCASNTNNKANLNESSLKRITNQTPQSSLYGEVQSEFPKLMLSKVLPLSVQNYVSSEEIVQKQKNSQASHFEPEDPKAKTAQHVSAALKGALAPPFLVFDGGTCALDYPLTSENNQSIPQSLDNLSNFRYLGEDYKDRTLFSNVKPCSEDQDKLLPGHRKKFFGCSDDDEELSGDKSSETFFLQEQSDDPKEDIDHTDEDSQLPSYSIECDSFDNEPLPLNIHESNNALRLAVGVLKDNEVFDTFQLAQQSTQIHTSIKESAETLSPSKKFYQTMLLPDNSVSNDTQNQYSSWREELSCLVGSKTESFSGGSAPSPSSFPHDGARSQALLFHTYRKYHFPITSTCLLGDPCETESRPPSDLCEFVHWRPTQGILSSFPMQCNPCYGDYVPRIKPSVHQSKQTRCLKGPTTEKDQTQQIGDGCSSNQSSAVSTQCGANGLPVEHDKVEKAEAARKRNRSRKSQYKGDTAKEVSKLINKSGNNPDVRKPKRKSKYEWIDEESIDELM
+>sp|Q96SD1|DCR1C_HUMAN Protein artemis OS=Homo sapiens OX=9606 GN=DCLRE1C PE=1 SV=2
+MSSFEGQMAEYPTISIDRFDRENLRARAYFLSHCHKDHMKGLRAPTLKRRLECSLKVYLYCSPVTKELLLTSPKYRFWKKRIISIEIETPTQISLVDEASGEKEEIVVTLLPAGHCPGSVMFLFQGNNGTVLYTGDFRLAQGEAARMELLHSGGRVKDIQSVYLDTTFCDPRFYQIPSREECLSGVLELVRSWITRSPYHVVWLNCKAAYGYEYLFTNLSEELGVQVHVNKLDMFRNMPEILHHLTTDRNTQIHACRHPKAEEYFQWSKLPCGITSRNRIPLHIISIKPSTMWFGERSRKTNVIVRTGESSYRACFSFHSSYSEIKDFLSYLCPVNAYPNVIPVGTTMDKVVEILKPLCRSSQSTEPKYKPLGKLKRARTVHRDSEEEDDYLFDDPLPIPLRHKVPYPETFHPEVFSMTAVSEKQPEKLRQTPGCCRAECMQSSRFTNFVDCEESNSESEEEVGIPASLQGDLGSVLHLQKADGDVPQWEVFFKRNDEITDESLENFPSSTVAGGSQSPKLFSDSDGESTHISSQNSSQSTHITEQGSQGWDSQSDTVLLSSQERNSGDITSLDKADYRPTIKENIPASLMEQNVICPKDTYSDLKSRDKDVTIVPSTGEPTTLSSETHIPEEKSLLNLSTNADSQSSSDFEVPSTPEAELPKREHLQYLYEKLATGESIAVKKRKCSLLDT
+>DECOY_sp|Q96SD1|DCR1C_HUMAN Protein artemis OS=Homo sapiens OX=9606 GN=DCLRE1C PE=1 SV=2
+TDLLSCKRKKVAISEGTALKEYLYQLHERKPLEAEPTSPVEFDSSSQSDANTSLNLLSKEEPIHTESSLTTPEGTSPVITVDKDRSKLDSYTDKPCIVNQEMLSAPINEKITPRYDAKDLSTIDGSNREQSSLLVTDSQSDWGQSGQETIHTSQSSNQSSIHTSEGDSDSFLKPSQSGGAVTSSPFNELSEDTIEDNRKFFVEWQPVDGDAKQLHLVSGLDGQLSAPIGVEEESESNSEECDVFNTFRSSQMCEARCCGPTQRLKEPQKESVATMSFVEPHFTEPYPVKHRLPIPLPDDFLYDDEEESDRHVTRARKLKGLPKYKPETSQSSRCLPKLIEVVKDMTTGVPIVNPYANVPCLYSLFDKIESYSSHFSFCARYSSEGTRVIVNTKRSREGFWMTSPKISIIHLPIRNRSTIGCPLKSWQFYEEAKPHRCAHIQTNRDTTLHHLIEPMNRFMDLKNVHVQVGLEESLNTFLYEYGYAAKCNLWVVHYPSRTIWSRVLELVGSLCEERSPIQYFRPDCFTTDLYVSQIDKVRGGSHLLEMRAAEGQALRFDGTYLVTGNNGQFLFMVSGPCHGAPLLTVVIEEKEGSAEDVLSIQTPTEIEISIIRKKWFRYKPSTLLLEKTVPSCYLYVKLSCELRRKLTPARLGKMHDKHCHSLFYARARLNERDFRDISITPYEAMQGEFSSM
+>sp|P01034|CYTC_HUMAN Cystatin-C OS=Homo sapiens OX=9606 GN=CST3 PE=1 SV=1
+MAGPLRAPLLLLAILAVALAVSPAAGSSPGKPPRLVGGPMDASVEEEGVRRALDFAVGEYNKASNDMYHSRALQVVRARKQIVAGVNYFLDVELGRTTCTKTQPNLDNCPFHDQPHLKRKAFCSFQIYAVPWQGTMTLSKSTCQDA
+>DECOY_sp|P01034|CYTC_HUMAN Cystatin-C OS=Homo sapiens OX=9606 GN=CST3 PE=1 SV=1
+ADQCTSKSLTMTGQWPVAYIQFSCFAKRKLHPQDHFPCNDLNPQTKTCTTRGLEVDLFYNVGAVIQKRARVVQLARSHYMDNSAKNYEGVAFDLARRVGEEEVSADMPGGVLRPPKGPSSGAAPSVALAVALIALLLLPARLPGAM
+>sp|P09228|CYTT_HUMAN Cystatin-SA OS=Homo sapiens OX=9606 GN=CST2 PE=1 SV=1
+MAWPLCTLLLLLATQAVALAWSPQEEDRIIEGGIYDADLNDERVQRALHFVISEYNKATEDEYYRRLLRVLRAREQIVGGVNYFFDIEVGRTICTKSQPNLDTCAFHEQPELQKKQLCSFQIYEVPWEDRMSLVNSRCQEA
+>DECOY_sp|P09228|CYTT_HUMAN Cystatin-SA OS=Homo sapiens OX=9606 GN=CST2 PE=1 SV=1
+AEQCRSNVLSMRDEWPVEYIQFSCLQKKQLEPQEHFACTDLNPQSKTCITRGVEIDFFYNVGGVIQERARLVRLLRRYYEDETAKNYESIVFHLARQVREDNLDADYIGGEIIRDEEQPSWALAVAQTALLLLLTCLPWAM
+>sp|Q6NUT2|D19L2_HUMAN Probable C-mannosyltransferase DPY19L2 OS=Homo sapiens OX=9606 GN=DPY19L2 PE=1 SV=2
+MRKQGVSSKRLQSSGRSQSKGRRGASLAREPEVEEEMEKSALGGGKLPRGSWRSSPGRIQSLKERKGLELEVVAKTFLLGPFQFVRNSLAQLREKVQELQARRFSSRTTLGIAVFVAILHWLHLVTLFENDRHFSHLSSLEREMTFRTEMGLYYSYFKTIIEAPSFLEGLWMIMNDRLTEYPLIINAIKRFHLYPEVIIASWYCTFMGIMNLFGLETKTCWNVTRIEPLNEVQSCEGLGDPACFYVGVIFILNGLMMGLFFMYGAYLSGTQLGGLITVLCFFFNHGEATRVMWTPPLRESFSYPFLVLQMCILTLILRTSSNDRRPFIALCLSNVAFMLPWQFAQFILFTQIASLFPMYVVGYIEPSKFQKIIYMNMISVTLSFILMFGNSMYLSSYYSSSLLMTWAIILKRNEIQKLGVSKLNFWLIQGSAWWCGTIILKFLTSKILGVSDHIRLSDLIAARILRYTDFDTLIYTCAPEFDFMEKATPLRYTKTLLLPVVMVITCFIFKKTVRDISYVLATNIYLRKQLLEHSELAFHTLQLLVFTALAILIMRLKMFLTPHMCVMASLICSRQLFGWLFRRVRFEKVIFGILTVMSIQGYANLRNQWSIIGEFNNLPQEELLQWIKYSTTSDAVFAGAMPTMASIKLSTLHPIVNHPHYEDADLRARTKIVYSTYSRKSAKEVRDKLLELHVNYYVLEEAWCVVRTKPGCSMLEIWDVEDPSNAANPPLCSVLLEDARPYFTTVFQNSVYRVLKVN
+>DECOY_sp|Q6NUT2|D19L2_HUMAN Probable C-mannosyltransferase DPY19L2 OS=Homo sapiens OX=9606 GN=DPY19L2 PE=1 SV=2
+NVKLVRYVSNQFVTTFYPRADELLVSCLPPNAANSPDEVDWIELMSCGPKTRVVCWAEELVYYNVHLELLKDRVEKASKRSYTSYVIKTRARLDADEYHPHNVIPHLTSLKISAMTPMAGAFVADSTTSYKIWQLLEEQPLNNFEGIISWQNRLNAYGQISMVTLIGFIVKEFRVRRFLWGFLQRSCILSAMVCMHPTLFMKLRMILIALATFVLLQLTHFALESHELLQKRLYINTALVYSIDRVTKKFIFCTIVMVVPLLLTKTYRLPTAKEMFDFEPACTYILTDFDTYRLIRAAILDSLRIHDSVGLIKSTLFKLIITGCWWASGQILWFNLKSVGLKQIENRKLIIAWTMLLSSSYYSSLYMSNGFMLIFSLTVSIMNMYIIKQFKSPEIYGVVYMPFLSAIQTFLIFQAFQWPLMFAVNSLCLAIFPRRDNSSTRLILTLICMQLVLFPYSFSERLPPTWMVRTAEGHNFFFCLVTILGGLQTGSLYAGYMFFLGMMLGNLIFIVGVYFCAPDGLGECSQVENLPEIRTVNWCTKTELGFLNMIGMFTCYWSAIIVEPYLHFRKIANIILPYETLRDNMIMWLGELFSPAEIITKFYSYYLGMETRFTMERELSSLHSFHRDNEFLTVLHLWHLIAVFVAIGLTTRSSFRRAQLEQVKERLQALSNRVFQFPGLLFTKAVVELELGKREKLSQIRGPSSRWSGRPLKGGGLASKEMEEEVEPERALSAGRRGKSQSRGSSQLRKSSVGQKRM
+>sp|Q8N465|D2HDH_HUMAN D-2-hydroxyglutarate dehydrogenase, mitochondrial OS=Homo sapiens OX=9606 GN=D2HGDH PE=1 SV=3
+MLPRRPLAWPAWLLRGAPGAAGSWGRPVGPLARRGCCSAPGTPEVPLTRERYPVRRLPFSTVSKQDLAAFERIVPGGVVTDPEALQAPNVDWLRTLRGCSKVLLRPRTSEEVSHILRHCHERNLAVNPQGGNTGMVGGSVPVFDEIILSTARMNRVLSFHSVSGILVCQAGCVLEELSRYVEERDFIMPLDLGAKGSCHIGGNVATNAGGLRFLRYGSLHGTVLGLEVVLADGTVLDCLTSLRKDNTGYDLKQLFIGSEGTLGIITTVSILCPPKPRAVNVAFLGCPGFAEVLQTFSTCKGMLGEILSAFEFMDAVCMQLVGRHLHLASPVQESPFYVLIETSGSNAGHDAEKLGHFLEHALGSGLVTDGTMATDQRKVKMLWALRERITEALSRDGYVYKYDLSLPVERLYDIVTDLRARLGPHAKHVVGYGHLGDGNLHLNVTAEAFSPSLLAALEPHVYEWTAGQQGSVSAEHGVGFRKRDVLGYSKPPGALQLMQQLKALLDPKGILNPYKTLPSQA
+>DECOY_sp|Q8N465|D2HDH_HUMAN D-2-hydroxyglutarate dehydrogenase, mitochondrial OS=Homo sapiens OX=9606 GN=D2HGDH PE=1 SV=3
+AQSPLTKYPNLIGKPDLLAKLQQMLQLAGPPKSYGLVDRKRFGVGHEASVSGQQGATWEYVHPELAALLSPSFAEATVNLHLNGDGLHGYGVVHKAHPGLRARLDTVIDYLREVPLSLDYKYVYGDRSLAETIRERLAWLMKVKRQDTAMTGDTVLGSGLAHELFHGLKEADHGANSGSTEILVYFPSEQVPSALHLHRGVLQMCVADMFEFASLIEGLMGKCTSFTQLVEAFGPCGLFAVNVARPKPPCLISVTTIIGLTGESGIFLQKLDYGTNDKRLSTLCDLVTGDALVVELGLVTGHLSGYRLFRLGGANTAVNGGIHCSGKAGLDLPMIFDREEVYRSLEELVCGAQCVLIGSVSHFSLVRNMRATSLIIEDFVPVSGGVMGTNGGQPNVALNREHCHRLIHSVEESTRPRLLVKSCGRLTRLWDVNPAQLAEPDTVVGGPVIREFAALDQKSVTSFPLRRVPYRERTLPVEPTGPASCCGRRALPGVPRGWSGAAGPAGRLLWAPWALPRRPLM
+>sp|Q8N9W5|DAAF3_HUMAN Dynein assembly factor 3, axonemal OS=Homo sapiens OX=9606 GN=DNAAF3 PE=1 SV=3
+MTTPAGSGSGFGSVSWWGLSPALDLQAESPPVDPDSQADTVHSNPELDVLLLGSVDGRHLLRTLSRAKFWPRRRFNFFVLENNLEAVARHMLIFSLALEEPEKMGLQERSETFLEVWGNALLRPPVAAFVRAQADLLAHLVPEPDRLEEQLPWLSLRALKFRERDALEAVFRFWAGGEKGPQAFPMSRLWDSRLRHYLGSRYDARRGVSDWDLRMKLHDRGAQVIHPQEFRRWRDTGVAFELRDSSAYHVPNRTLASGRLLSYRGERVAARGYWGDIATGPFVAFGIEADDESLLRTSNGQPVKTAGEITQHNVTELLRDVAAWGRARATGGDLEEQQHAEGSPEPGTPAAPTPESFTVHFLPLDSAQTLHHKSCYNGRFQLLYVACGMVHLLIPELGACVAPGGNLIVELARYLVDVRQEQLQGFNTRVRELAQAAGFAPQTGARPSETFARFCKSQESALGNTVPAVEPGTPPLDILAQPLEASNPALEGLTQPLQGGTPHCEPCQLPSESPGSLSEVLAQPQGALAPPNCESDSKTGV
+>DECOY_sp|Q8N9W5|DAAF3_HUMAN Dynein assembly factor 3, axonemal OS=Homo sapiens OX=9606 GN=DNAAF3 PE=1 SV=3
+VGTKSDSECNPPALAGQPQALVESLSGPSESPLQCPECHPTGGQLPQTLGELAPNSAELPQALIDLPPTGPEVAPVTNGLASEQSKCFRAFTESPRAGTQPAFGAAQALERVRTNFGQLQEQRVDVLYRALEVILNGGPAVCAGLEPILLHVMGCAVYLLQFRGNYCSKHHLTQASDLPLFHVTFSEPTPAAPTGPEPSGEAHQQEELDGGTARARGWAAVDRLLETVNHQTIEGATKVPQGNSTRLLSEDDAEIGFAVFPGTAIDGWYGRAAVREGRYSLLRGSALTRNPVHYASSDRLEFAVGTDRWRRFEQPHIVQAGRDHLKMRLDWDSVGRRADYRSGLYHRLRSDWLRSMPFAQPGKEGGAWFRFVAELADRERFKLARLSLWPLQEELRDPEPVLHALLDAQARVFAAVPPRLLANGWVELFTESREQLGMKEPEELALSFILMHRAVAELNNELVFFNFRRRPWFKARSLTRLLHRGDVSGLLLVDLEPNSHVTDAQSDPDVPPSEAQLDLAPSLGWWSVSGFGSGSGAPTTM
+>sp|Q9P219|DAPLE_HUMAN Protein Daple OS=Homo sapiens OX=9606 GN=CCDC88C PE=1 SV=3
+MDVTVSELLELFLQSPLVTWVKTFGPFGSGSQDNLTMYMDLVDGIFLNQIMLQIDPRPTNQRINKHVNNDVNLRIQNLTILVRNIKTYYQEVLQQLIVMNLPNVLMIGRDPLSGKSMEEIKKVLLLVLGCAVQCERKEEFIERIKQLDIETQAGIVAHIQEVTHNQENVFDLQWLELPDVAPEELEALSRSMVLHLRRLIDQRDECTELIVDLTQERDYLQAQHPPSPIKSSSADSTPSPTSSLSSEDKQHLAVELADTKARLRRVRQELEDKTEQLVDTRHEVDQLVLELQKVKQENIQLAADARSARAYRDELDSLREKANRVERLELELTRCKEKLHDVDFYKARMEELREDNIILIETKAMLEEQLTAARARGDKVHELEKENLQLKSKLHDLELDRDTDKKRIEELLEENMVLEIAQKQSMNESAHLGWELEQLSKNADLSDASRKSFVFELNECASSRILKLEKENQSLQSTIQGLRDASLVLEESGLKCGELEKENHQLSKKIEKLQTQLEREKQSNQDLETLSEELIREKEQLQSDMETLKADKARQIKDLEQEKDHLNRAMWSLRERSQVSSEARMKDVEKENKALHQTVTEANGKLSQLEFEKRQLHRDLEQAKEKGERAEKLERELQRLQEENGRLARKVTSLETATEKVEALEHESQGLQLENRTLRKSLDTLQNVSLQLEGLERDNKQLDAENLELRRLVETMRFTSTKLAQMERENQQLEREKEELRKNVDLLKALGKKSERLELSYQSVSAENLRLQQSLESSSHKTQTLESELGELEAERQALRRDLEALRLANAQLEGAEKDRKALEQEVAQLEKDKKLLEKEAKRLWQQVELKDAVLDDSTAKLSAVEKESRALDKELARCRDAAGKLKELEKDNRDLTKQVTVHARTLTTLREDLVLEKLKSQQLSSELDKLSQELEKVGLNRELLLQEDDSGSDTKYKILEGRNESALKTTLAMKEEKIVLLEAQMEEKASLNRQLESELQMLKKECETLRQNQGEGQHLQNSFKHPAGKTAASHQGKEAWGPGHKEATMELLRVKDRAIELERNNAALQAEKQLLKEQLQHLETQNVTFSSQILTLQKQSAFLQEHNTTLQTQTAKLQVENSTLSSQSAALTAQYTLLQNHHTAKETENESLQRQQEQLTAAYEALLQDHEHLGTLHERQSAEYEALIRQHSCLKTLHRNLELEHKELGERHGDMLKRKAELEEREKVLTTEREALQQEQRTNALAMGENQRLRGELDRVNFLHHQLKGEYEELHAHTKELKTSLNNAQLELNRWQARFDELKEQHQTMDISLTKLDNHCELLSRLKGNLEEENHHLLSQIQLLSQQNQMLLEQNMENKEQYHEEQKQYIDKLNALRRHKEKLEEKIMDQYKFYDPPPKKKNHWIGAKALVKLIKPKKEGSRERLKSTVDSPPWQLESSDPASPAASQPLRSQAENPDTPALGSNCAEERDAHNGSVGKGPGDLKPKRGSPHRGSLDRTDASTDLAMRSWPSELGSRTCSTSATTTAPSNSTPIARHPGRTKGYNSDDNLCEPSLEFEVPNHRQYVSRPSSLESSRNTSSNSSPLNLKGSSEQLHGRSESFSSEDLIPSRDLATLPREASTPGRNALGRHEYPLPRNGPLPQEGAQKRGTAPPYVGVRPCSASPSSEMVTLEEFLEESNRSSPTHDTPSCRDDLLSDYFRKASDPPAIGGQPGPPAKKEGAKMPTNFVAPTVKMAAPTSEGRPLKPGQYVKPNFRLTEAEAPPSVAPRQAQPPQSLSLGRPRQAPVPPASHAPASRSASLSRAFSLASADLLRASGPEACKQESPQKLGAPEALGGRETGSHTLQSPAPPSSHSLARERTPLVGKAGSSCQGPGPRSRPLDTRRFSLAPPKEERLAPLHQSATAPAIATAGAGAAAAGSGSNSQLLHFSPAAAPAARTKPKAPPRSGEVATITPVRAGLSLSEGDGVPGQGCSEGLPAKSPGRSPDLAPHLGRALEDCSRGSVSKSSPASPEPGGDPQTVWYEYGCV
+>DECOY_sp|Q9P219|DAPLE_HUMAN Protein Daple OS=Homo sapiens OX=9606 GN=CCDC88C PE=1 SV=3
+VCGYEYWVTQPDGGPEPSAPSSKSVSGRSCDELARGLHPALDPSRGPSKAPLGESCGQGPVGDGESLSLGARVPTITAVEGSRPPAKPKTRAAPAAAPSFHLLQSNSGSGAAAAGAGATAIAPATASQHLPALREEKPPALSFRRTDLPRSRPGPGQCSSGAKGVLPTRERALSHSSPPAPSQLTHSGTERGGLAEPAGLKQPSEQKCAEPGSARLLDASALSFARSLSASRSAPAHSAPPVPAQRPRGLSLSQPPQAQRPAVSPPAEAETLRFNPKVYQGPKLPRGESTPAAMKVTPAVFNTPMKAGEKKAPPGPQGGIAPPDSAKRFYDSLLDDRCSPTDHTPSSRNSEELFEELTVMESSPSASCPRVGVYPPATGRKQAGEQPLPGNRPLPYEHRGLANRGPTSAERPLTALDRSPILDESSFSESRGHLQESSGKLNLPSSNSSTNRSSELSSPRSVYQRHNPVEFELSPECLNDDSNYGKTRGPHRAIPTSNSPATTTASTSCTRSGLESPWSRMALDTSADTRDLSGRHPSGRKPKLDGPGKGVSGNHADREEACNSGLAPTDPNEAQSRLPQSAAPSAPDSSELQWPPSDVTSKLRERSGEKKPKILKVLAKAGIWHNKKKPPPDYFKYQDMIKEELKEKHRRLANLKDIYQKQEEHYQEKNEMNQELLMQNQQSLLQIQSLLHHNEEELNGKLRSLLECHNDLKTLSIDMTQHQEKLEDFRAQWRNLELQANNLSTKLEKTHAHLEEYEGKLQHHLFNVRDLEGRLRQNEGMALANTRQEQQLAERETTLVKEREELEAKRKLMDGHREGLEKHELELNRHLTKLCSHQRILAEYEASQREHLTGLHEHDQLLAEYAATLQEQQRQLSENETEKATHHNQLLTYQATLAASQSSLTSNEVQLKATQTQLTTNHEQLFASQKQLTLIQSSFTVNQTELHQLQEKLLQKEAQLAANNRELEIARDKVRLLEMTAEKHGPGWAEKGQHSAATKGAPHKFSNQLHQGEGQNQRLTECEKKLMQLESELQRNLSAKEEMQAELLVIKEEKMALTTKLASENRGELIKYKTDSGSDDEQLLLERNLGVKELEQSLKDLESSLQQSKLKELVLDERLTTLTRAHVTVQKTLDRNDKELEKLKGAADRCRALEKDLARSEKEVASLKATSDDLVADKLEVQQWLRKAEKELLKKDKELQAVEQELAKRDKEAGELQANALRLAELDRRLAQREAELEGLESELTQTKHSSSELSQQLRLNEASVSQYSLELRESKKGLAKLLDVNKRLEEKERELQQNEREMQALKTSTFRMTEVLRRLELNEADLQKNDRELGELQLSVNQLTDLSKRLTRNELQLGQSEHELAEVKETATELSTVKRALRGNEEQLRQLERELKEAREGKEKAQELDRHLQRKEFELQSLKGNAETVTQHLAKNEKEVDKMRAESSVQSRERLSWMARNLHDKEQELDKIQRAKDAKLTEMDSQLQEKERILEESLTELDQNSQKERELQTQLKEIKKSLQHNEKELEGCKLGSEELVLSADRLGQITSQLSQNEKELKLIRSSACENLEFVFSKRSADSLDANKSLQELEWGLHASENMSQKQAIELVMNEELLEEIRKKDTDRDLELDHLKSKLQLNEKELEHVKDGRARAATLQEELMAKTEILIINDERLEEMRAKYFDVDHLKEKCRTLELELREVRNAKERLSDLEDRYARASRADAALQINEQKVKQLELVLQDVEHRTDVLQETKDELEQRVRRLRAKTDALEVALHQKDESSLSSTPSPTSDASSSKIPSPPHQAQLYDREQTLDVILETCEDRQDILRRLHLVMSRSLAELEEPAVDPLELWQLDFVNEQNHTVEQIHAVIGAQTEIDLQKIREIFEEKRECQVACGLVLLLVKKIEEMSKGSLPDRGIMLVNPLNMVILQQLVEQYYTKINRVLITLNQIRLNVDNNVHKNIRQNTPRPDIQLMIQNLFIGDVLDMYMTLNDQSGSGFPGFTKVWTVLPSQLFLELLESVTVDM
+>sp|Q9NQZ3|DAZ1_HUMAN Deleted in azoospermia protein 1 OS=Homo sapiens OX=9606 GN=DAZ1 PE=1 SV=2
+MSAANPETPNSTISREASTQSSSAAASQGWVLPEGKIVPNTVFVGGIDARMDETEIGSCFGRYGSVKEVKIITNRTGVSKGYGFVSFVNDVDVQKIVGSQIHFHGKKLKLGPAIRKQKLCARHVQPRPLVVNPPPPPQFQNVWRNPNTETYLQPQITPNPVTQHVQSAANPETPNSTISREASTQSSSAAASQGWVLPEGKIVPNTVFVGGIDARMDETEIGSCFGRYGSVKEVKIITNRTGVSKGYGFVSFVNDVDVQKIVGSQIHFHGKKLKLGPAIRKQKLCARHVQPRPLVVNPPPPPQFQNVWRNPNTETYLQPQITPNPVTQHVQSAANPETPNSTISREASTQSSSAAASQGWVLPEGKIVPNTVFVGGIDARMDETEIGSCFGRYGSVKEVKIITNRTGVSKGYGFVSFVNDVDVQKIVGSQIHFHGKKLKLGPAIRKQKLCARHVQPRPLVVNPPPPPQFQNVWRNPNTETYLQPQITPNPVTQHVQAYSAYPHSPGQVITGCQLLVYNYQEYPTYPDSAFQVTTGYQLPVYNYQPFPAYPRSPFQVTAGYQLPVYNYQAFPAYPNSPFQVATGYQFPVYNYQPFPAYPSSPFQVTAGYQLPVYNYQAFPAYPNSPFQVATGYQFPVYNYQAFPAYPNSPVQVTTGYQLPVYNYQAFPAYPSSPFQVTTGYQLPVYNYQAFPAYPNSAVQVTTGYQFHVYNYQMPPQCPVGEQRRNLWTEAYKWWYLVCLIQRRD
+>DECOY_sp|Q9NQZ3|DAZ1_HUMAN Deleted in azoospermia protein 1 OS=Homo sapiens OX=9606 GN=DAZ1 PE=1 SV=2
+DRRQILCVLYWWKYAETWLNRRQEGVPCQPPMQYNYVHFQYGTTVQVASNPYAPFAQYNYVPLQYGTTVQFPSSPYAPFAQYNYVPLQYGTTVQVPSNPYAPFAQYNYVPFQYGTAVQFPSNPYAPFAQYNYVPLQYGATVQFPSSPYAPFPQYNYVPFQYGTAVQFPSNPYAPFAQYNYVPLQYGATVQFPSRPYAPFPQYNYVPLQYGTTVQFASDPYTPYEQYNYVLLQCGTIVQGPSHPYASYAQVHQTVPNPTIQPQLYTETNPNRWVNQFQPPPPPNVVLPRPQVHRACLKQKRIAPGLKLKKGHFHIQSGVIKQVDVDNVFSVFGYGKSVGTRNTIIKVEKVSGYRGFCSGIETEDMRADIGGVFVTNPVIKGEPLVWGQSAAASSSQTSAERSITSNPTEPNAASQVHQTVPNPTIQPQLYTETNPNRWVNQFQPPPPPNVVLPRPQVHRACLKQKRIAPGLKLKKGHFHIQSGVIKQVDVDNVFSVFGYGKSVGTRNTIIKVEKVSGYRGFCSGIETEDMRADIGGVFVTNPVIKGEPLVWGQSAAASSSQTSAERSITSNPTEPNAASQVHQTVPNPTIQPQLYTETNPNRWVNQFQPPPPPNVVLPRPQVHRACLKQKRIAPGLKLKKGHFHIQSGVIKQVDVDNVFSVFGYGKSVGTRNTIIKVEKVSGYRGFCSGIETEDMRADIGGVFVTNPVIKGEPLVWGQSAAASSSQTSAERSITSNPTEPNAASM
+>sp|A6NGE4|DC8L1_HUMAN DDB1- and CUL4-associated factor 8-like protein 1 OS=Homo sapiens OX=9606 GN=DCAF8L1 PE=2 SV=1
+MSHQEGSTGGLPDLVTESLFSSPEEQSGVAAVTAASSDIEMAATEPSTGDGGDTRDGGFLNDASTENQNTDSESSSEDVELESMGEGLFGYPLVGEETEREEEEEEMEEEGEEEEQPRMCPRCGGTNHDQCLLDEDQALEEWISSETSALPRSRWQVLTALRQRQLGSSARFVYEACGARTFVQRFRLQYLLGSHAGSVSTIHFNQRGTRLASSGDDLRVIVWDWVRQKPVLNFESGHDINVIQAKFFPNCGDSTLAMCGHDGQVRVAELINASYCENTKRVAKHRGPAHELALEPDSPYKFLTSGEDAVVFTIDLRQDRPASKVVVTRENDKKVGLYTISMNPANIYQFAVGGHDQFVRIYDQRRIDKKENNGVLKKFTPHHLVYCDFPTNITCVVYSHDGTELLASYNDEDIYLFNSSLSDGAQYVKRYKGHRNNDTIKCVNFYGPRSEFVVSGSDCGHVFFWEKSSSQIIQFMEGDRGDIVNCLEPHPYLPVLATSGLDQHVRIWTPTAKTATELTGLKDVIKKNKQERDEDNLNYTDSFDNRMLRFFVRHLLQRAHQPGWRDHGAEFPDEEELDESSSTSDTSEEEGQDRVQCIPS
+>DECOY_sp|A6NGE4|DC8L1_HUMAN DDB1- and CUL4-associated factor 8-like protein 1 OS=Homo sapiens OX=9606 GN=DCAF8L1 PE=2 SV=1
+SPICQVRDQGEEESTDSTSSSEDLEEEDPFEAGHDRWGPQHARQLLHRVFFRLMRNDFSDTYNLNDEDREQKNKKIVDKLGTLETATKATPTWIRVHQDLGSTALVPLYPHPELCNVIDGRDGEMFQIIQSSSKEWFFVHGCDSGSVVFESRPGYFNVCKITDNNRHGKYRKVYQAGDSLSSNFLYIDEDNYSALLETGDHSYVVCTINTPFDCYVLHHPTFKKLVGNNEKKDIRRQDYIRVFQDHGGVAFQYINAPNMSITYLGVKKDNERTVVVKSAPRDQRLDITFVVADEGSTLFKYPSDPELALEHAPGRHKAVRKTNECYSANILEAVRVQGDHGCMALTSDGCNPFFKAQIVNIDHGSEFNLVPKQRVWDWVIVRLDDGSSALRTGRQNFHITSVSGAHSGLLYQLRFRQVFTRAGCAEYVFRASSGLQRQRLATLVQWRSRPLASTESSIWEELAQDEDLLCQDHNTGGCRPCMRPQEEEEGEEEMEEEEEERETEEGVLPYGFLGEGMSELEVDESSSESDTNQNETSADNLFGGDRTDGGDGTSPETAAMEIDSSAATVAAVGSQEEPSSFLSETVLDPLGGTSGEQHSM
+>sp|Q9NV06|DCA13_HUMAN DDB1- and CUL4-associated factor 13 OS=Homo sapiens OX=9606 GN=DCAF13 PE=1 SV=2
+MKVKMLSRNPDNYVRETKLDLQRVPRNYDPALHPFEVPREYIRALNATKLERVFAKPFLASLDGHRDGVNCLAKHPEKLATVLSGACDGEVRIWNLTQRNCIRTIQAHEGFVRGICTRFCGTSFFTVGDDKTVKQWKMDGPGYGDEEEPLHTILGKTVYTGIDHHWKEAVFATCGQQVDIWDEQRTNPICSMTWGFDSISSVKFNPIETFLLGSCASDRNIVLYDMRQATPLKKVILDMRTNTICWNPMEAFIFTAANEDYNLYTFDMRALDTPVMVHMDHVSAVLDVDYSPTGKEFVSASFDKSIRIFPVDKSRSREVYHTKRMQHVICVKWTSDSKYIMCGSDEMNIRLWKANASEKLGVLTSREKAAKDYNQKLKEKFQHYPHIKRIARHRHLPKSIYSQIQEQRIMKEARRRKEVNRIKHSKPGSVPLVSEKKKHVVAVVK
+>DECOY_sp|Q9NV06|DCA13_HUMAN DDB1- and CUL4-associated factor 13 OS=Homo sapiens OX=9606 GN=DCAF13 PE=1 SV=2
+KVVAVVHKKKESVLPVSGPKSHKIRNVEKRRRAEKMIRQEQIQSYISKPLHRHRAIRKIHPYHQFKEKLKQNYDKAAKERSTLVGLKESANAKWLRINMEDSGCMIYKSDSTWKVCIVHQMRKTHYVERSRSKDVPFIRISKDFSASVFEKGTPSYDVDLVASVHDMHVMVPTDLARMDFTYLNYDENAATFIFAEMPNWCITNTRMDLIVKKLPTAQRMDYLVINRDSACSGLLFTEIPNFKVSSISDFGWTMSCIPNTRQEDWIDVQQGCTAFVAEKWHHDIGTYVTKGLITHLPEEEDGYGPGDMKWQKVTKDDGVTFFSTGCFRTCIGRVFGEHAQITRICNRQTLNWIRVEGDCAGSLVTALKEPHKALCNVGDRHGDLSALFPKAFVRELKTANLARIYERPVEFPHLAPDYNRPVRQLDLKTERVYNDPNRSLMKVKM
+>sp|Q9NXF7|DCA16_HUMAN DDB1- and CUL4-associated factor 16 OS=Homo sapiens OX=9606 GN=DCAF16 PE=1 SV=1
+MGPRNPSPDHLSESESEEEENISYLNESSGEEWDSSEEEDSMVPNLSPLESLAWQVKCLLKYSTTWKPLNPNSWLYHAKLLDPSTPVHILREIGLRLSHCSHCVPKLEPIPEWPPLASCGVPPFQKPLTSPSRLSRDHATLNGALQFATKQLSRTLSRATPIPEYLKQIPNSCVSGCCCGWLTKTVKETTRTEPINTTYSYTDFQKAVNKLLTASL
+>DECOY_sp|Q9NXF7|DCA16_HUMAN DDB1- and CUL4-associated factor 16 OS=Homo sapiens OX=9606 GN=DCAF16 PE=1 SV=1
+LSATLLKNVAKQFDTYSYTTNIPETRTTEKVTKTLWGCCCGSVCSNPIQKLYEPIPTARSLTRSLQKTAFQLAGNLTAHDRSLRSPSTLPKQFPPVGCSALPPWEPIPELKPVCHSCHSLRLGIERLIHVPTSPDLLKAHYLWSNPNLPKWTTSYKLLCKVQWALSELPSLNPVMSDEEESSDWEEGSSENLYSINEEEESESESLHDPSPNRPGM
+>sp|Q96JK2|DCAF5_HUMAN DDB1- and CUL4-associated factor 5 OS=Homo sapiens OX=9606 GN=DCAF5 PE=1 SV=2
+MKRRAGLGGSMRSVVGFLSQRGLHGDPLLTQDFQRRRLRGCRNLYKKDLLGHFGCVNAIEFSNNGGQWLVSGGDDRRVLLWHMEQAIHSRVKPIQLKGEHHSNIFCLAFNSGNTKVFSGGNDEQVILHDVESSETLDVFAHEDAVYGLSVSPVNDNIFASSSDDGRVLIWDIRESPHGEPFCLANYPSAFHSVMFNPVEPRLLATANSKEGVGLWDIRKPQSSLLRYGGNLSLQSAMSVRFNSNGTQLLALRRRLPPVLYDIHSRLPVFQFDNQGYFNSCTMKSCCFAGDRDQYILSGSDDFNLYMWRIPADPEAGGIGRVVNGAFMVLKGHRSIVNQVRFNPHTYMICSSGVEKIIKIWSPYKQPGCTGDLDGRIEDDSRCLYTHEEYISLVLNSGSGLSHDYANQSVQEDPRMMAFFDSLVRREIEGWSSDSDSDLSESTILQLHAGVSERSGYTDSESSASLPRSPPPTVDESADNAFHLGPLRVTTTNTVASTPPTPTCEDAASRQQRLSALRRYQDKRLLALSNESDSEENVCEVELDTDLFPRPRSPSPEDESSSSSSSSSSEDEEELNERRASTWQRNAMRRRQKTTREDKPSAPIKPTNTYIGEDNYDYPQIKVDDLSSSPTSSPERSTSTLEIQPSRASPTSDIESVERKIYKAYKWLRYSYISYSNNKDGETSLVTGEADEGRAGTSHKDNPAPSSSKEACLNIAMAQRNQDLPPEGCSKDTFKEETPRTPSNGPGHEHSSHAWAEVPEGTSQDTGNSGSVEHPFETKKLNGKALSSRAEEPPSPPVPKASGSTLNSGSGNCPRTQSDDSEERSLETICANHNNGRLHPRPPHPHNNGQNLGELEVVAYSSPGHSDTDRDNSSLTGTLLHKDCCGSEMACETPNAGTREDPTDTPATDSSRAVHGHSGLKRQRIELEDTDSENSSSEKKLKT
+>DECOY_sp|Q96JK2|DCAF5_HUMAN DDB1- and CUL4-associated factor 5 OS=Homo sapiens OX=9606 GN=DCAF5 PE=1 SV=2
+TKLKKESSSNESDTDELEIRQRKLGSHGHVARSSDTAPTDTPDERTGANPTECAMESGCCDKHLLTGTLSSNDRDTDSHGPSSYAVVELEGLNQGNNHPHPPRPHLRGNNHNACITELSREESDDSQTRPCNGSGSNLTSGSAKPVPPSPPEEARSSLAKGNLKKTEFPHEVSGSNGTDQSTGEPVEAWAHSSHEHGPGNSPTRPTEEKFTDKSCGEPPLDQNRQAMAINLCAEKSSSPAPNDKHSTGARGEDAEGTVLSTEGDKNNSYSIYSYRLWKYAKYIKREVSEIDSTPSARSPQIELTSTSREPSSTPSSSLDDVKIQPYDYNDEGIYTNTPKIPASPKDERTTKQRRRMANRQWTSARRENLEEEDESSSSSSSSSSEDEPSPSRPRPFLDTDLEVECVNEESDSENSLALLRKDQYRRLASLRQQRSAADECTPTPPTSAVTNTTTVRLPGLHFANDASEDVTPPPSRPLSASSESDTYGSRESVGAHLQLITSESLDSDSDSSWGEIERRVLSDFFAMMRPDEQVSQNAYDHSLGSGSNLVLSIYEEHTYLCRSDDEIRGDLDGTCGPQKYPSWIKIIKEVGSSCIMYTHPNFRVQNVISRHGKLVMFAGNVVRGIGGAEPDAPIRWMYLNFDDSGSLIYQDRDGAFCCSKMTCSNFYGQNDFQFVPLRSHIDYLVPPLRRRLALLQTGNSNFRVSMASQLSLNGGYRLLSSQPKRIDWLGVGEKSNATALLRPEVPNFMVSHFASPYNALCFPEGHPSERIDWILVRGDDSSSAFINDNVPSVSLGYVADEHAFVDLTESSEVDHLIVQEDNGGSFVKTNGSNFALCFINSHHEGKLQIPKVRSHIAQEMHWLLVRRDDGGSVLWQGGNNSFEIANVCGFHGLLDKKYLNRCGRLRRRQFDQTLLPDGHLGRQSLFGVVSRMSGGLGARRKM
+>sp|P17707|DCAM_HUMAN S-adenosylmethionine decarboxylase proenzyme OS=Homo sapiens OX=9606 GN=AMD1 PE=1 SV=2
+MEAAHFFEGTEKLLEVWFSRQQPDANQGSGDLRTIPRSEWDILLKDVQCSIISVTKTDKQEAYVLSESSMFVSKRRFILKTCGTTLLLKALVPLLKLARDYSGFDSIQSFFYSRKNFMKPSHQGYPHRNFQEEIEFLNAIFPNGAAYCMGRMNSDCWYLYTLDFPESRVISQPDQTLEILMSELDPAVMDQFYMKDGVTAKDVTRESGIRDLIPGSVIDATMFNPCGYSMNGMKSDGTYWTIHITPEPEFSYVSFETNLSQTSYDDLIRKVVEVFKPGKFVTTLFVNQSSKCRTVLASPQKIEGFKRLDCQSAMFNDYNFVFTSFAKKQQQQQS
+>DECOY_sp|P17707|DCAM_HUMAN S-adenosylmethionine decarboxylase proenzyme OS=Homo sapiens OX=9606 GN=AMD1 PE=1 SV=2
+SQQQQQKKAFSTFVFNYDNFMASQCDLRKFGEIKQPSALVTRCKSSQNVFLTTVFKGPKFVEVVKRILDDYSTQSLNTEFSVYSFEPEPTIHITWYTGDSKMGNMSYGCPNFMTADIVSGPILDRIGSERTVDKATVGDKMYFQDMVAPDLESMLIELTQDPQSIVRSEPFDLTYLYWCDSNMRGMCYAAGNPFIANLFEIEEQFNRHPYGQHSPKMFNKRSYFFSQISDFGSYDRALKLLPVLAKLLLTTGCTKLIFRRKSVFMSSESLVYAEQKDTKTVSIISCQVDKLLIDWESRPITRLDGSGQNADPQQRSFWVELLKETGEFFHAAEM
+>sp|Q9BVC3|DCC1_HUMAN Sister chromatid cohesion protein DCC1 OS=Homo sapiens OX=9606 GN=DSCC1 PE=1 SV=2
+MKRTRDEVDATLQIAKLNAAELLPAVHCLGFGPGASGAAAGDFCLLELEPTLCQQLEDGHSLVIRGDKDEQAVLCSKDKTYDLKIADTSNMLLFIPGCKTPDQLKKEDSHCNIIHTEIFGFSNNYWELRRRRPKLKKLKKLLMENPYEGPDSQKEKDSNSSKYTTEDLLDQIQASEEEIMTQLQVLNACKIGGYWRILEFDYEMKLLNHVTQLVDSESWSFGKVPLNTCLQELGPLEPEEMIEHCLKCYGKKYVDEGEVYFELDADKICRAAARMLLQNAVKFNLAEFQEVWQQSVPEGMVTSLDQLKGLALVDRHSRPEIIFLLKVDDLPEDNQERFNSLFSLREKWTEEDIAPYIQDLCGEKQTIGALLTKYSHSSMQNGVKVYNSRRPIS
+>DECOY_sp|Q9BVC3|DCC1_HUMAN Sister chromatid cohesion protein DCC1 OS=Homo sapiens OX=9606 GN=DSCC1 PE=1 SV=2
+SIPRRSNYVKVGNQMSSHSYKTLLAGITQKEGCLDQIYPAIDEETWKERLSFLSNFREQNDEPLDDVKLLFIIEPRSHRDVLALGKLQDLSTVMGEPVSQQWVEQFEALNFKVANQLLMRAAARCIKDADLEFYVEGEDVYKKGYCKLCHEIMEEPELPGLEQLCTNLPVKGFSWSESDVLQTVHNLLKMEYDFELIRWYGGIKCANLVQLQTMIEEESAQIQDLLDETTYKSSNSDKEKQSDPGEYPNEMLLKKLKKLKPRRRRLEWYNNSFGFIETHIINCHSDEKKLQDPTKCGPIFLLMNSTDAIKLDYTKDKSCLVAQEDKDGRIVLSHGDELQQCLTPELELLCFDGAAAGSAGPGFGLCHVAPLLEAANLKAIQLTADVEDRTRKM
+>sp|A2VCK2|DCD2B_HUMAN Doublecortin domain-containing protein 2B OS=Homo sapiens OX=9606 GN=DCDC2B PE=1 SV=1
+MAGGSPAAKRVVVYRNGDPFFPGSQLVVTQRRFPTMEAFLCEVTSAVQAPLAVRALYTPCHGHPVTNLADLKNRGQYVAAGFERFHKLHYLPHRGKDPGGKSCRLQGPPVTRHLCDGAIGRQLPAGAPSYIHVFRNGDLVSPPFSLKLSQAASQDWETVLKLLTEKVKLQSGAVCKLCTLEGLPLSAGKELVTGHYYVAVGEDEFKDLPYLELLVPSPSLPRGCWQPPGSKSRPHRQGAQGHRAQVTQPSPKEPDRIKPSAFYARPQQTIQPRSKLPTLSFPSGVIGVYGAPHRRKETAGALEVADDEDTQTEEPLDQRAAQIVEEALSLENQPGAGAAISASAPALPS
+>DECOY_sp|A2VCK2|DCD2B_HUMAN Doublecortin domain-containing protein 2B OS=Homo sapiens OX=9606 GN=DCDC2B PE=1 SV=1
+SPLAPASASIAAGAGPQNELSLAEEVIQAARQDLPEETQTDEDDAVELAGATEKRRHPAGYVGIVGSPFSLTPLKSRPQITQQPRAYFASPKIRDPEKPSPQTVQARHGQAGQRHPRSKSGPPQWCGRPLSPSPVLLELYPLDKFEDEGVAVYYHGTVLEKGASLPLGELTCLKCVAGSQLKVKETLLKLVTEWDQSAAQSLKLSFPPSVLDGNRFVHIYSPAGAPLQRGIAGDCLHRTVPPGQLRCSKGGPDKGRHPLYHLKHFREFGAAVYQGRNKLDALNTVPHGHCPTYLARVALPAQVASTVECLFAEMTPFRRQTVVLQSGPFFPDGNRYVVVRKAAPSGGAM
+>sp|Q9UHG0|DCDC2_HUMAN Doublecortin domain-containing protein 2 OS=Homo sapiens OX=9606 GN=DCDC2 PE=1 SV=2
+MSGSSARSSHLSQPVVKSVLVYRNGDPFYAGRRVVIHEKKVSSFEVFLKEVTGGVQAPFGAVRNIYTPRTGHRIRKLDQIQSGGNYVAGGQEAFKKLNYLDIGEIKKRPMEVVNTEVKPVIHSRINVSARFRKPLQEPCTIFLIANGDLINPASRLLIPRKTLNQWDHVLQMVTEKITLRSGAVHRLYTLEGKLVESGAELENGQFYVAVGRDKFKKLPYSELLFDKSTMRRPFGQKASSLPPIVGSRKSKGSGNDRHSKSTVGSSDNSSPQPLKRKGKKEDVNSEKLTKLKQNVKLKNSQETIPNSDEGIFKAGAERSETRGAAEVQEDEDTQVEVPVDQRPAEIVDEEEDGEKANKDAEQKEDFSGMNGDLEEEGGREATDAPEQVEEILDHSEQQARPARVNGGTDEENGEELQQVNNELQLVLDKERKSQGAGSGQDEADVDPQRPPRPEVKITSPEENENNQQNKDYAAVA
+>DECOY_sp|Q9UHG0|DCDC2_HUMAN Doublecortin domain-containing protein 2 OS=Homo sapiens OX=9606 GN=DCDC2 PE=1 SV=2
+AVAAYDKNQQNNENEEPSTIKVEPRPPRQPDVDAEDQGSGAGQSKREKDLVLQLENNVQQLEEGNEEDTGGNVRAPRAQQESHDLIEEVQEPADTAERGGEEELDGNMGSFDEKQEADKNAKEGDEEEDVIEAPRQDVPVEVQTDEDEQVEAAGRTESREAGAKFIGEDSNPITEQSNKLKVNQKLKTLKESNVDEKKGKRKLPQPSSNDSSGVTSKSHRDNGSGKSKRSGVIPPLSSAKQGFPRRMTSKDFLLESYPLKKFKDRGVAVYFQGNELEAGSEVLKGELTYLRHVAGSRLTIKETVMQLVHDWQNLTKRPILLRSAPNILDGNAILFITCPEQLPKRFRASVNIRSHIVPKVETNVVEMPRKKIEGIDLYNLKKFAEQGGAVYNGGSQIQDLKRIRHGTRPTYINRVAGFPAQVGGTVEKLFVEFSSVKKEHIVVRRGAYFPDGNRYVLVSKVVPQSLHSSRASSGSM
+>sp|P81605|DCD_HUMAN Dermcidin OS=Homo sapiens OX=9606 GN=DCD PE=1 SV=2
+MRFMTLLFLTALAGALVCAYDPEAASAPGSGNPCHEASAAQKENAGEDPGLARQAPKPRKQRSSLLEKGLDGAKKAVGGLGKLGKDAVEDLESVGKGAVHDVKDVLDSVL
+>DECOY_sp|P81605|DCD_HUMAN Dermcidin OS=Homo sapiens OX=9606 GN=DCD PE=1 SV=2
+LVSDLVDKVDHVAGKGVSELDEVADKGLKGLGGVAKKAGDLGKELLSSRQKRPKPAQRALGPDEGANEKQAASAEHCPNGSGPASAAEPDYACVLAGALATLFLLTMFRM
+>sp|Q05329|DCE2_HUMAN Glutamate decarboxylase 2 OS=Homo sapiens OX=9606 GN=GAD2 PE=1 SV=1
+MASPGSGFWSFGSEDGSGDSENPGTARAWCQVAQKFTGGIGNKLCALLYGDAEKPAESGGSQPPRAAARKAACACDQKPCSCSKVDVNYAFLHATDLLPACDGERPTLAFLQDVMNILLQYVVKSFDRSTKVIDFHYPNELLQEYNWELADQPQNLEEILMHCQTTLKYAIKTGHPRYFNQLSTGLDMVGLAADWLTSTANTNMFTYEIAPVFVLLEYVTLKKMREIIGWPGGSGDGIFSPGGAISNMYAMMIARFKMFPEVKEKGMAALPRLIAFTSEHSHFSLKKGAAALGIGTDSVILIKCDERGKMIPSDLERRILEAKQKGFVPFLVSATAGTTVYGAFDPLLAVADICKKYKIWMHVDAAWGGGLLMSRKHKWKLSGVERANSVTWNPHKMMGVPLQCSALLVREEGLMQNCNQMHASYLFQQDKHYDLSYDTGDKALQCGRHVDVFKLWLMWRAKGTTGFEAHVDKCLELAEYLYNIIKNREGYEMVFDGKPQHTNVCFWYIPPSLRTLEDNEERMSRLSKVAPVIKARMMEYGTTMVSYQPLGDKVNFFRMVISNPAATHQDIDFLIEEIERLGQDL
+>DECOY_sp|Q05329|DCE2_HUMAN Glutamate decarboxylase 2 OS=Homo sapiens OX=9606 GN=GAD2 PE=1 SV=1
+LDQGLREIEEILFDIDQHTAAPNSIVMRFFNVKDGLPQYSVMTTGYEMMRAKIVPAVKSLRSMREENDELTRLSPPIYWFCVNTHQPKGDFVMEYGERNKIINYLYEALELCKDVHAEFGTTGKARWMLWLKFVDVHRGCQLAKDGTDYSLDYHKDQQFLYSAHMQNCNQMLGEERVLLASCQLPVGMMKHPNWTVSNAREVGSLKWKHKRSMLLGGGWAADVHMWIKYKKCIDAVALLPDFAGYVTTGATASVLFPVFGKQKAELIRRELDSPIMKGREDCKILIVSDTGIGLAAAGKKLSFHSHESTFAILRPLAAMGKEKVEPFMKFRAIMMAYMNSIAGGPSFIGDGSGGPWGIIERMKKLTVYELLVFVPAIEYTFMNTNATSTLWDAALGVMDLGTSLQNFYRPHGTKIAYKLTTQCHMLIEELNQPQDALEWNYEQLLENPYHFDIVKTSRDFSKVVYQLLINMVDQLFALTPREGDCAPLLDTAHLFAYNVDVKSCSCPKQDCACAAKRAAARPPQSGGSEAPKEADGYLLACLKNGIGGTFKQAVQCWARATGPNESDGSGDESGFSWFGSGPSAM
+>sp|O15075|DCLK1_HUMAN Serine/threonine-protein kinase DCLK1 OS=Homo sapiens OX=9606 GN=DCLK1 PE=1 SV=2
+MSFGRDMELEHFDERDKAQRYSRGSRVNGLPSPTHSAHCSFYRTRTLQTLSSEKKAKKVRFYRNGDRYFKGIVYAISPDRFRSFEALLADLTRTLSDNVNLPQGVRTIYTIDGLKKISSLDQLVEGESYVCGSIEPFKKLEYTKNVNPNWSVNVKTTSASRAVSSLATAKGSPSEVRENKDFIRPKLVTIIRSGVKPRKAVRILLNKKTAHSFEQVLTDITDAIKLDSGVVKRLYTLDGKQVMCLQDFFGDDDIFIACGPEKFRYQDDFLLDESECRVVKSTSYTKIASSSRRSTTKSPGPSRRSKSPASTSSVNGTPGSQLSTPRSGKSPSPSPTSPGSLRKQRSSQHGGSSTSLASTKVCSSMDENDGPGEEVSEEGFQIPATITERYKVGRTIGDGNFAVVKECVERSTAREYALKIIKKSKCRGKEHMIQNEVSILRRVKHPNIVLLIEEMDVPTELYLVMELVKGGDLFDAITSTNKYTERDASGMLYNLASAIKYLHSLNIVHRDIKPENLLVYEHQDGSKSLKLGDFGLATIVDGPLYTVCGTPTYVAPEIIAETGYGLKVDIWAAGVITYILLCGFPPFRGSGDDQEVLFDQILMGQVDFPSPYWDNVSDSAKELITMMLLVDVDQRFSAVQVLEHPWVNDDGLPENEHQLSVAGKIKKHFNTGPKPNSTAAGVSVIATTALDKERQVFRRRRNQDVRSRYKAQPAPPELNSESEDYSPSSSETVRSPNSPF
+>DECOY_sp|O15075|DCLK1_HUMAN Serine/threonine-protein kinase DCLK1 OS=Homo sapiens OX=9606 GN=DCLK1 PE=1 SV=2
+FPSNPSRVTESSSPSYDESESNLEPPAPQAKYRSRVDQNRRRRFVQREKDLATTAIVSVGAATSNPKPGTNFHKKIKGAVSLQHENEPLGDDNVWPHELVQVASFRQDVDVLLMMTILEKASDSVNDWYPSPFDVQGMLIQDFLVEQDDGSGRFPPFGCLLIYTIVGAAWIDVKLGYGTEAIIEPAVYTPTGCVTYLPGDVITALGFDGLKLSKSGDQHEYVLLNEPKIDRHVINLSHLYKIASALNYLMGSADRETYKNTSTIADFLDGGKVLEMVLYLETPVDMEEILLVINPHKVRRLISVENQIMHEKGRCKSKKIIKLAYERATSREVCEKVVAFNGDGITRGVKYRETITAPIQFGEESVEEGPGDNEDMSSCVKTSALSTSSGGHQSSRQKRLSGPSTPSPSPSKGSRPTSLQSGPTGNVSSTSAPSKSRRSPGPSKTTSRRSSSAIKTYSTSKVVRCESEDLLFDDQYRFKEPGCAIFIDDDGFFDQLCMVQKGDLTYLRKVVGSDLKIADTIDTLVQEFSHATKKNLLIRVAKRPKVGSRIITVLKPRIFDKNERVESPSGKATALSSVARSASTTKVNVSWNPNVNKTYELKKFPEISGCVYSEGEVLQDLSSIKKLGDITYITRVGQPLNVNDSLTRTLDALLAEFSRFRDPSIAYVIGKFYRDGNRYFRVKKAKKESSLTQLTRTRYFSCHASHTPSPLGNVRSGRSYRQAKDREDFHELEMDRGFSM
+>sp|Q9C098|DCLK3_HUMAN Serine/threonine-protein kinase DCLK3 OS=Homo sapiens OX=9606 GN=DCLK3 PE=2 SV=2
+MGKEPLTLKSIQVAVEELYPNKARALTLAQHSRAPSPRLRSRLFSKALKGDHRCGETETPKSCSEVAGCKAAMRHQGKIPEELSLDDRARTQKKWGRGKWEPEPSSKPPREATLEERHARGEKHLGVEIEKTSGEIIRCEKCKRERELQQSLERERLSLGTSELDMGKGPMYDVEKLVRTRSCRRSPEANPASGEEGWKGDSHRSSPRNPTQELRRPSKSMDKKEDRGPEDQESHAQGAAKAKKDLVEVLPVTEEGLREVKKDTRPMSRSKHGGWLLREHQAGFEKLRRTRGEEKEAEKEKKPCMSGGRRMTLRDDQPAKLEKEPKTRPEENKPERPSGRKPRPMGIIAANVEKHYETGRVIGDGNFAVVKECRHRETRQAYAMKIIDKSRLKGKEDMVDSEILIIQSLSHPNIVKLHEVYETDMEIYLILEYVQGGDLFDAIIESVKFPEPDAALMIMDLCKALVHMHDKSIVHRDLKPENLLVQRNEDKSTTLKLADFGLAKHVVRPIFTVCGTPTYVAPEILSEKGYGLEVDMWAAGVILYILLCGFPPFRSPERDQDELFNIIQLGHFEFLPPYWDNISDAAKDLVSRLLVVDPKKRYTAHQVLQHPWIETAGKTNTVKRQKQVSPSSEGHFRSQHKRVVEQVS
+>DECOY_sp|Q9C098|DCLK3_HUMAN Serine/threonine-protein kinase DCLK3 OS=Homo sapiens OX=9606 GN=DCLK3 PE=2 SV=2
+SVQEVVRKHQSRFHGESSPSVQKQRKVTNTKGATEIWPHQLVQHATYRKKPDVVLLRSVLDKAADSINDWYPPLFEFHGLQIINFLEDQDREPSRFPPFGCLLIYLIVGAAWMDVELGYGKESLIEPAVYTPTGCVTFIPRVVHKALGFDALKLTTSKDENRQVLLNEPKLDRHVISKDHMHVLAKCLDMIMLAADPEPFKVSEIIADFLDGGQVYELILYIEMDTEYVEHLKVINPHSLSQIILIESDVMDEKGKLRSKDIIKMAYAQRTERHRCEKVVAFNGDGIVRGTEYHKEVNAAIIGMPRPKRGSPREPKNEEPRTKPEKELKAPQDDRLTMRRGGSMCPKKEKEAEKEEGRTRRLKEFGAQHERLLWGGHKSRSMPRTDKKVERLGEETVPLVEVLDKKAKAAGQAHSEQDEPGRDEKKDMSKSPRRLEQTPNRPSSRHSDGKWGEEGSAPNAEPSRRCSRTRVLKEVDYMPGKGMDLESTGLSLRERELSQQLERERKCKECRIIEGSTKEIEVGLHKEGRAHREELTAERPPKSSPEPEWKGRGWKKQTRARDDLSLEEPIKGQHRMAAKCGAVESCSKPTETEGCRHDGKLAKSFLRSRLRPSPARSHQALTLARAKNPYLEEVAVQISKLTLPEKGM
+>sp|Q6PH85|DCNL2_HUMAN DCN1-like protein 2 OS=Homo sapiens OX=9606 GN=DCUN1D2 PE=1 SV=1
+MHKLKSSQKDKVRQFMACTQAGERTAIYCLTQNEWRLDEATDSFFQNPDSLHRESMRNAVDKKKLERLYGRYKDPQDENKIGVDGIQQFCDDLSLDPASISVLVIAWKFRAATQCEFSRKEFLDGMTELGCDSMEKLKALLPRLEQELKDTAKFKDFYQFTFTFAKNPGQKGLDLEMAVAYWKLVLSGRFKFLDLWNTFLMEHHKRSIPRDTWNLLLDFGNMIADDMSNYDEEGAWPVLIDDFVEYARPVVTGGKRSLF
+>DECOY_sp|Q6PH85|DCNL2_HUMAN DCN1-like protein 2 OS=Homo sapiens OX=9606 GN=DCUN1D2 PE=1 SV=1
+FLSRKGGTVVPRAYEVFDDILVPWAGEEDYNSMDDAIMNGFDLLLNWTDRPISRKHHEMLFTNWLDLFKFRGSLVLKWYAVAMELDLGKQGPNKAFTFTFQYFDKFKATDKLEQELRPLLAKLKEMSDCGLETMGDLFEKRSFECQTAARFKWAIVLVSISAPDLSLDDCFQQIGDVGIKNEDQPDKYRGYLRELKKKDVANRMSERHLSDPNQFFSDTAEDLRWENQTLCYIATREGAQTCAMFQRVKDKQSSKLKHM
+>sp|Q9H773|DCTP1_HUMAN dCTP pyrophosphatase 1 OS=Homo sapiens OX=9606 GN=DCTPP1 PE=1 SV=1
+MSVAGGEIRGDTGGEDTAAPGRFSFSPEPTLEDIRRLHAEFAAERDWEQFHQPRNLLLALVGEVGELAELFQWKTDGEPGPQGWSPRERAALQEELSDVLIYLVALAARCRVDLPLAVLSKMDINRRRYPAHLARSSSRKYTELPHGAISEDQAVGPADIPCDSTGQTST
+>DECOY_sp|Q9H773|DCTP1_HUMAN dCTP pyrophosphatase 1 OS=Homo sapiens OX=9606 GN=DCTPP1 PE=1 SV=1
+TSTQGTSDCPIDAPGVAQDESIAGHPLETYKRSSSRALHAPYRRRNIDMKSLVALPLDVRCRAALAVLYILVDSLEEQLAARERPSWGQPGPEGDTKWQFLEALEGVEGVLALLLNRPQHFQEWDREAAFEAHLRRIDELTPEPSFSFRGPAATDEGGTDGRIEGGAVSM
+>sp|P06132|DCUP_HUMAN Uroporphyrinogen decarboxylase OS=Homo sapiens OX=9606 GN=UROD PE=1 SV=2
+MEANGLGPQGFPELKNDTFLRAAWGEETDYTPVWCMRQAGRYLPEFRETRAAQDFFSTCRSPEACCELTLQPLRRFPLDAAIIFSDILVVPQALGMEVTMVPGKGPSFPEPLREEQDLERLRDPEVVASELGYVFQAITLTRQRLAGRVPLIGFAGAPWTLMTYMVEGGGSSTMAQAKRWLYQRPQASHQLLRILTDALVPYLVGQVVAGAQALQLFESHAGHLGPQLFNKFALPYIRDVAKQVKARLREAGLAPVPMIIFAKDGHFALEELAQAGYEVVGLDWTVAPKKARECVGKTVTLQGNLDPCALYASEEEIGQLVKQMLDDFGPHRYIANLGHGLYPDMDPEHVGAFVDAVHKHSRLLRQN
+>DECOY_sp|P06132|DCUP_HUMAN Uroporphyrinogen decarboxylase OS=Homo sapiens OX=9606 GN=UROD PE=1 SV=2
+NQRLLRSHKHVADVFAGVHEPDMDPYLGHGLNAIYRHPGFDDLMQKVLQGIEEESAYLACPDLNGQLTVTKGVCERAKKPAVTWDLGVVEYGAQALEELAFHGDKAFIIMPVPALGAERLRAKVQKAVDRIYPLAFKNFLQPGLHGAHSEFLQLAQAGAVVQGVLYPVLADTLIRLLQHSAQPRQYLWRKAQAMTSSGGGEVMYTMLTWPAGAFGILPVRGALRQRTLTIAQFVYGLESAVVEPDRLRELDQEERLPEPFSPGKGPVMTVEMGLAQPVVLIDSFIIAADLPFRRLPQLTLECCAEPSRCTSFFDQAARTERFEPLYRGAQRMCWVPTYDTEEGWAARLFTDNKLEPFGQPGLGNAEM
+>sp|Q7Z4W1|DCXR_HUMAN L-xylulose reductase OS=Homo sapiens OX=9606 GN=DCXR PE=1 SV=2
+MELFLAGRRVLVTGAGKGIGRGTVQALHATGARVVAVSRTQADLDSLVRECPGIEPVCVDLGDWEATERALGSVGPVDLLVNNAAVALLQPFLEVTKEAFDRSFEVNLRAVIQVSQIVARGLIARGVPGAIVNVSSQCSQRAVTNHSVYCSTKGALDMLTKVMALELGPHKIRVNAVNPTVVMTSMGQATWSDPHKAKTMLNRIPLGKFAEVEHVVNAILFLLSDRSGMTTGSTLPVEGGFWAC
+>DECOY_sp|Q7Z4W1|DCXR_HUMAN L-xylulose reductase OS=Homo sapiens OX=9606 GN=DCXR PE=1 SV=2
+CAWFGGEVPLTSGTTMGSRDSLLFLIANVVHEVEAFKGLPIRNLMTKAKHPDSWTAQGMSTMVVTPNVANVRIKHPGLELAMVKTLMDLAGKTSCYVSHNTVARQSCQSSVNVIAGPVGRAILGRAVIQSVQIVARLNVEFSRDFAEKTVELFPQLLAVAANNVLLDVPGVSGLARETAEWDGLDVCVPEIGPCERVLSDLDAQTRSVAVVRAGTAHLAQVTGRGIGKGAGTVLVRRGALFLEM
+>sp|Q9UMR2|DD19B_HUMAN ATP-dependent RNA helicase DDX19B OS=Homo sapiens OX=9606 GN=DDX19B PE=1 SV=1
+MATDSWALAVDEQEAAAESLSNLHLKEEKIKPDTNGAVVKTNANAEKTDEEEKEDRAAQSLLNKLIRSNLVDNTNQVEVLQRDPNSPLYSVKSFEELRLKPQLLQGVYAMGFNRPSKIQENALPLMLAEPPQNLIAQSQSGTGKTAAFVLAMLSQVEPANKYPQCLCLSPTYELALQTGKVIEQMGKFYPELKLAYAVRGNKLERGQKISEQIVIGTPGTVLDWCSKLKFIDPKKIKVFVLDEADVMIATQGHQDQSIRIQRMLPRNCQMLLFSATFEDSVWKFAQKVVPDPNVIKLKREEETLDTIKQYYVLCSSRDEKFQALCNLYGAITIAQAMIFCHTRKTASWLAAELSKEGHQVALLSGEMMVEQRAAVIERFREGKEKVLVTTNVCARGIDVEQVSVVINFDLPVDKDGNPDNETYLHRIGRTGRFGKRGLAVNMVDSKHSMNILNRIQEHFNKKIERLDTDDLDEIEKIAN
+>DECOY_sp|Q9UMR2|DD19B_HUMAN ATP-dependent RNA helicase DDX19B OS=Homo sapiens OX=9606 GN=DDX19B PE=1 SV=1
+NAIKEIEDLDDTDLREIKKNFHEQIRNLINMSHKSDVMNVALGRKGFRGTRGIRHLYTENDPNGDKDVPLDFNIVVSVQEVDIGRACVNTTVLVKEKGERFREIVAARQEVMMEGSLLAVQHGEKSLEAALWSATKRTHCFIMAQAITIAGYLNCLAQFKEDRSSCLVYYQKITDLTEEERKLKIVNPDPVVKQAFKWVSDEFTASFLLMQCNRPLMRQIRISQDQHGQTAIMVDAEDLVFVKIKKPDIFKLKSCWDLVTGPTGIVIQESIKQGRELKNGRVAYALKLEPYFKGMQEIVKGTQLALEYTPSLCLCQPYKNAPEVQSLMALVFAATKGTGSQSQAILNQPPEALMLPLANEQIKSPRNFGMAYVGQLLQPKLRLEEFSKVSYLPSNPDRQLVEVQNTNDVLNSRILKNLLSQAARDEKEEEDTKEANANTKVVAGNTDPKIKEEKLHLNSLSEAAAEQEDVALAWSDTAM
+>sp|Q13206|DDX10_HUMAN Probable ATP-dependent RNA helicase DDX10 OS=Homo sapiens OX=9606 GN=DDX10 PE=1 SV=2
+MGKTANSPGSGARPDPVRSFNRWKKKHSHRQNKKKQLRKQLKKPEWQVERESISRLMQNYEKINVNEITRFSDFPLSKKTLKGLQEAQYRLVTEIQKQTIGLALQGKDVLGAAKTGSGKTLAFLVPVLEALYRLQWTSTDGLGVLIISPTRELAYQTFEVLRKVGKNHDFSAGLIIGGKDLKHEAERINNINILVCTPGRLLQHMDETVSFHATDLQMLVLDEADRILDMGFADTMNAVIENLPKKRQTLLFSATQTKSVKDLARLSLKNPEYVWVHEKAKYSTPATLEQNYIVCELQQKISVLYSFLRSHLKKKSIVFFSSCKEVQYLYRVFCRLRPGVSILALHGRQQQMRRMEVYNEFVRKRAAVLFATDIAARGLDFPAVNWVLQFDCPEDANTYIHRAGRTARYKEDGEALLILLPSEKAMVQQLLQKKVPVKEIKINPEKLIDVQKKLESILAQDQDLKERAQRCFVSYVRSVYLMKDKEVFDVSKLPIPEYALSLGLAVAPRVRFLQKMQKQPTKELVRSQADKVIEPRAPSLTNDEVEEFRAYFNEKMSILQKGGKRLEGTEHRQDNDTGNEEQEEEEDDEEEMEEKLAKAKGSQAPSLPNTSEAQKIKEVPTQFLDRDEEEEDADFLKVKRHNVFGLDLKDEKTLQKKEPSKSSIKKKMTKVAEAKKVMKRNFKVNKKITFTDEGELVQQWPQMQKSAIKDAEEDDDTGGINLHKAKERLQEEDKFDKEEYRKKIKAKHREKRLKEREARREANKRQAKAKDEEEAFLDWSDDDDDDDDGFDPSTLPDPDKYRSSEDSDSEDMENKISDTKKKQGMKKRSNSEVEDVGPTSHNRKKARWDTLEPLDTGLSLAEDEELVLHLLRSQS
+>DECOY_sp|Q13206|DDX10_HUMAN Probable ATP-dependent RNA helicase DDX10 OS=Homo sapiens OX=9606 GN=DDX10 PE=1 SV=2
+SQSRLLHLVLEEDEALSLGTDLPELTDWRAKKRNHSTPGVDEVESNSRKKMGQKKKTDSIKNEMDESDSDESSRYKDPDPLTSPDFGDDDDDDDDSWDLFAEEEDKAKAQRKNAERRAEREKLRKERHKAKIKKRYEEKDFKDEEQLREKAKHLNIGGTDDDEEADKIASKQMQPWQQVLEGEDTFTIKKNVKFNRKMVKKAEAVKTMKKKISSKSPEKKQLTKEDKLDLGFVNHRKVKLFDADEEEEDRDLFQTPVEKIKQAESTNPLSPAQSGKAKALKEEMEEEDDEEEEQEENGTDNDQRHETGELRKGGKQLISMKENFYARFEEVEDNTLSPARPEIVKDAQSRVLEKTPQKQMKQLFRVRPAVALGLSLAYEPIPLKSVDFVEKDKMLYVSRVYSVFCRQAREKLDQDQALISELKKQVDILKEPNIKIEKVPVKKQLLQQVMAKESPLLILLAEGDEKYRATRGARHIYTNADEPCDFQLVWNVAPFDLGRAAIDTAFLVAARKRVFENYVEMRRMQQQRGHLALISVGPRLRCFVRYLYQVEKCSSFFVISKKKLHSRLFSYLVSIKQQLECVIYNQELTAPTSYKAKEHVWVYEPNKLSLRALDKVSKTQTASFLLTQRKKPLNEIVANMTDAFGMDLIRDAEDLVLMQLDTAHFSVTEDMHQLLRGPTCVLININNIREAEHKLDKGGIILGASFDHNKGVKRLVEFTQYALERTPSIILVGLGDTSTWQLRYLAELVPVLFALTKGSGTKAAGLVDKGQLALGITQKQIETVLRYQAEQLGKLTKKSLPFDSFRTIENVNIKEYNQMLRSISEREVQWEPKKLQKRLQKKKNQRHSHKKKWRNFSRVPDPRAGSGPSNATKGM
+>sp|Q92841|DDX17_HUMAN Probable ATP-dependent RNA helicase DDX17 OS=Homo sapiens OX=9606 GN=DDX17 PE=1 SV=2
+MPTGFVAPILCVLLPSPTREAATVASATGDSASERESAAPAAAPTAEAPPPSVVTRPEPQALPSPAIRAPLPDLYPFGTMRGGGFGDRDRDRDRGGFGARGGGGLPPKKFGNPGERLRKKKWDLSELPKFEKNFYVEHPEVARLTPYEVDELRRKKEITVRGGDVCPKPVFAFHHANFPQYVMDVLMDQHFTEPTPIQCQGFPLALSGRDMVGIAQTGSGKTLAYLLPAIVHINHQPYLERGDGPICLVLAPTRELAQQVQQVADDYGKCSRLKSTCIYGGAPKGPQIRDLERGVEICIATPGRLIDFLESGKTNLRRCTYLVLDEADRMLDMGFEPQIRKIVDQIRPDRQTLMWSATWPKEVRQLAEDFLRDYTQINVGNLELSANHNILQIVDVCMESEKDHKLIQLMEEIMAEKENKTIIFVETKRRCDDLTRRMRRDGWPAMCIHGDKSQPERDWVLNEFRSGKAPILIATDVASRGLDVEDVKFVINYDYPNSSEDYVHRIGRTARSTNKGTAYTFFTPGNLKQARELIKVLEEANQAINPKLMQLVDHRGGGGGGGGRSRYRTTSSANNPNLMYQDECDRRLRGVKDGGRRDSASYRDRSETDRAGYANGSGYGSPNSAFGAQAGQYTYGQGTYGAAAYGTSSYTAQEYGAGTYGASSTTSTGRSSQSSSQQFSGIGRSGQQPQPLMSQQFAQPPGATNMIGYMGQTAYQYPPPPPPPPPSRK
+>DECOY_sp|Q92841|DDX17_HUMAN Probable ATP-dependent RNA helicase DDX17 OS=Homo sapiens OX=9606 GN=DDX17 PE=1 SV=2
+KRSPPPPPPPPPYQYATQGMYGIMNTAGPPQAFQQSMLPQPQQGSRGIGSFQQSSSQSSRGTSTTSSAGYTGAGYEQATYSSTGYAAAGYTGQGYTYQGAQAGFASNPSGYGSGNAYGARDTESRDRYSASDRRGGDKVGRLRRDCEDQYMLNPNNASSTTRYRSRGGGGGGGGRHDVLQMLKPNIAQNAEELVKILERAQKLNGPTFFTYATGKNTSRATRGIRHVYDESSNPYDYNIVFKVDEVDLGRSAVDTAILIPAKGSRFENLVWDREPQSKDGHICMAPWGDRRMRRTLDDCRRKTEVFIITKNEKEAMIEEMLQILKHDKESEMCVDVIQLINHNASLELNGVNIQTYDRLFDEALQRVEKPWTASWMLTQRDPRIQDVIKRIQPEFGMDLMRDAEDLVLYTCRRLNTKGSELFDILRGPTAICIEVGRELDRIQPGKPAGGYICTSKLRSCKGYDDAVQQVQQALERTPALVLCIPGDGRELYPQHNIHVIAPLLYALTKGSGTQAIGVMDRGSLALPFGQCQIPTPETFHQDMLVDMVYQPFNAHHFAFVPKPCVDGGRVTIEKKRRLEDVEYPTLRAVEPHEVYFNKEFKPLESLDWKKKRLREGPNGFKKPPLGGGGRAGFGGRDRDRDRDGFGGGRMTGFPYLDPLPARIAPSPLAQPEPRTVVSPPPAEATPAAAPAASERESASDGTASAVTAAERTPSPLLVCLIPAVFGTPM
+>sp|Q92499|DDX1_HUMAN ATP-dependent RNA helicase DDX1 OS=Homo sapiens OX=9606 GN=DDX1 PE=1 SV=2
+MAAFSEMGVMPEIAQAVEEMDWLLPTDIQAESIPLILGGGDVLMAAETGSGKTGAFSIPVIQIVYETLKDQQEGKKGKTTIKTGASVLNKWQMNPYDRGSAFAIGSDGLCCQSREVKEWHGCRATKGLMKGKHYYEVSCHDQGLCRVGWSTMQASLDLGTDKFGFGFGGTGKKSHNKQFDNYGEEFTMHDTIGCYLDIDKGHVKFSKNGKDLGLAFEIPPHMKNQALFPACVLKNAELKFNFGEEEFKFPPKDGFVALSKAPDGYIVKSQHSGNAQVTQTKFLPNAPKALIVEPSRELAEQTLNNIKQFKKYIDNPKLRELLIIGGVAARDQLSVLENGVDIVVGTPGRLDDLVSTGKLNLSQVRFLVLDEADGLLSQGYSDFINRMHNQIPQVTSDGKRLQVIVCSATLHSFDVKKLSEKIMHFPTWVDLKGEDSVPDTVHHVVVPVNPKTDRLWERLGKSHIRTDDVHAKDNTRPGANSPEMWSEAIKILKGEYAVRAIKEHKMDQAIIFCRTKIDCDNLEQYFIQQGGGPDKKGHQFSCVCLHGDRKPHERKQNLERFKKGDVRFLICTDVAARGIDIHGVPYVINVTLPDEKQNYVHRIGRVGRAERMGLAISLVATEKEKVWYHVCSSRGKGCYNTRLKEDGGCTIWYNEMQLLSEIEEHLNCTISQVEPDIKVPVDEFDGKVTYGQKRAAGGGSYKGHVDILAPTVQELAALEKEAQTSFLHLGYLPNQLFRTF
+>DECOY_sp|Q92499|DDX1_HUMAN ATP-dependent RNA helicase DDX1 OS=Homo sapiens OX=9606 GN=DDX1 PE=1 SV=2
+FTRFLQNPLYGLHLFSTQAEKELAALEQVTPALIDVHGKYSGGGAARKQGYTVKGDFEDVPVKIDPEVQSITCNLHEEIESLLQMENYWITCGGDEKLRTNYCGKGRSSCVHYWVKEKETAVLSIALGMREARGVRGIRHVYNQKEDPLTVNIVYPVGHIDIGRAAVDTCILFRVDGKKFRELNQKREHPKRDGHLCVCSFQHGKKDPGGGQQIFYQELNDCDIKTRCFIIAQDMKHEKIARVAYEGKLIKIAESWMEPSNAGPRTNDKAHVDDTRIHSKGLREWLRDTKPNVPVVVHHVTDPVSDEGKLDVWTPFHMIKESLKKVDFSHLTASCVIVQLRKGDSTVQPIQNHMRNIFDSYGQSLLGDAEDLVLFRVQSLNLKGTSVLDDLRGPTGVVIDVGNELVSLQDRAAVGGIILLERLKPNDIYKKFQKINNLTQEALERSPEVILAKPANPLFKTQTVQANGSHQSKVIYGDPAKSLAVFGDKPPFKFEEEGFNFKLEANKLVCAPFLAQNKMHPPIEFALGLDKGNKSFKVHGKDIDLYCGITDHMTFEEGYNDFQKNHSKKGTGGFGFGFKDTGLDLSAQMTSWGVRCLGQDHCSVEYYHKGKMLGKTARCGHWEKVERSQCCLGDSGIAFASGRDYPNMQWKNLVSAGTKITTKGKKGEQQDKLTEYVIQIVPISFAGTKGSGTEAAMLVDGGGLILPISEAQIDTPLLWDMEEVAQAIEPMVGMESFAAM
+>sp|Q9NUL7|DDX28_HUMAN Probable ATP-dependent RNA helicase DDX28 OS=Homo sapiens OX=9606 GN=DDX28 PE=1 SV=2
+MALTRPVRLFSLVTRLLLAPRRGLTVRSPDEPLPVVRIPVALQRQLEQRQSRRRNLPRPVLVRPGPLLVSARRPELNQPARLTLGRWERAPLASQGWKSRRARRDHFSIERAQQEAPAVRKLSSKGSFADLGLEPRVLHALQEAAPEVVQPTTVQSSTIPSLLRGRHVVCAAETGSGKTLSYLLPLLQRLLGQPSLDSLPIPAPRGLVLVPSRELAQQVRAVAQPLGRSLGLLVRDLEGGHGMRRIRLQLSRQPSADVLVATPGALWKALKSRLISLEQLSFLVLDEADTLLDESFLELVDYILEKSHIAEGPADLEDPFNPKAQLVLVGATFPEGVGQLLNKVASPDAVTTITSSKLHCIMPHVKQTFLRLKGADKVAELVHILKHRDRAERTGPSGTVLVFCNSSSTVNWLGYILDDHKIQHLRLQGQMPALMRVGIFQSFQKSSRDILLCTDIASRGLDSTGVELVVNYDFPPTLQDYIHRAGRVGRVGSEVPGTVISFVTHPWDVSLVQKIELAARRRRSLPGLASSVKEPLPQAT
+>DECOY_sp|Q9NUL7|DDX28_HUMAN Probable ATP-dependent RNA helicase DDX28 OS=Homo sapiens OX=9606 GN=DDX28 PE=1 SV=2
+TAQPLPEKVSSALGPLSRRRRAALEIKQVLSVDWPHTVFSIVTGPVESGVRGVRGARHIYDQLTPPFDYNVVLEVGTSDLGRSAIDTCLLIDRSSKQFSQFIGVRMLAPMQGQLRLHQIKHDDLIYGLWNVTSSSNCFVLVTGSPGTREARDRHKLIHVLEAVKDAGKLRLFTQKVHPMICHLKSSTITTVADPSAVKNLLQGVGEPFTAGVLVLQAKPNFPDELDAPGEAIHSKELIYDVLELFSEDLLTDAEDLVLFSLQELSILRSKLAKWLAGPTAVLVDASPQRSLQLRIRRMGHGGELDRVLLGLSRGLPQAVARVQQALERSPVLVLGRPAPIPLSDLSPQGLLRQLLPLLYSLTKGSGTEAACVVHRGRLLSPITSSQVTTPQVVEPAAEQLAHLVRPELGLDAFSGKSSLKRVAPAEQQAREISFHDRRARRSKWGQSALPAREWRGLTLRAPQNLEPRRASVLLPGPRVLVPRPLNRRRSQRQELQRQLAVPIRVVPLPEDPSRVTLGRRPALLLRTVLSFLRVPRTLAM
+>sp|O00571|DDX3X_HUMAN ATP-dependent RNA helicase DDX3X OS=Homo sapiens OX=9606 GN=DDX3X PE=1 SV=3
+MSHVAVENALGLDQQFAGLDLNSSDNQSGGSTASKGRYIPPHLRNREATKGFYDKDSSGWSSSKDKDAYSSFGSRSDSRGKSSFFSDRGSGSRGRFDDRGRSDYDGIGSRGDRSGFGKFERGGNSRWCDKSDEDDWSKPLPPSERLEQELFSGGNTGINFEKYDDIPVEATGNNCPPHIESFSDVEMGEIIMGNIELTRYTRPTPVQKHAIPIIKEKRDLMACAQTGSGKTAAFLLPILSQIYSDGPGEALRAMKENGRYGRRKQYPISLVLAPTRELAVQIYEEARKFSYRSRVRPCVVYGGADIGQQIRDLERGCHLLVATPGRLVDMMERGKIGLDFCKYLVLDEADRMLDMGFEPQIRRIVEQDTMPPKGVRHTMMFSATFPKEIQMLARDFLDEYIFLAVGRVGSTSENITQKVVWVEESDKRSFLLDLLNATGKDSLTLVFVETKKGADSLEDFLYHEGYACTSIHGDRSQRDREEALHQFRSGKSPILVATAVAARGLDISNVKHVINFDLPSDIEEYVHRIGRTGRVGNLGLATSFFNERNINITKDLLDLLVEAKQEVPSWLENMAYEHHYKGSSRGRSKSSRFSGGFGARDYRQSSGASSSSFSSSRASSSRSGGGGHGSSRGFGGGGYGGFYNSDGYGGNYNSQGVDWWGN
+>DECOY_sp|O00571|DDX3X_HUMAN ATP-dependent RNA helicase DDX3X OS=Homo sapiens OX=9606 GN=DDX3X PE=1 SV=3
+NGWWDVGQSNYNGGYGDSNYFGGYGGGGFGRSSGHGGGGSRSSSARSSSFSSSSAGSSQRYDRAGFGGSFRSSKSRGRSSGKYHHEYAMNELWSPVEQKAEVLLDLLDKTININRENFFSTALGLNGVRGTRGIRHVYEEIDSPLDFNIVHKVNSIDLGRAAVATAVLIPSKGSRFQHLAEERDRQSRDGHISTCAYGEHYLFDELSDAGKKTEVFVLTLSDKGTANLLDLLFSRKDSEEVWVVKQTINESTSGVRGVALFIYEDLFDRALMQIEKPFTASFMMTHRVGKPPMTDQEVIRRIQPEFGMDLMRDAEDLVLYKCFDLGIKGREMMDVLRGPTAVLLHCGRELDRIQQGIDAGGYVVCPRVRSRYSFKRAEEYIQVALERTPALVLSIPYQKRRGYRGNEKMARLAEGPGDSYIQSLIPLLFAATKGSGTQACAMLDRKEKIIPIAHKQVPTPRTYRTLEINGMIIEGMEVDSFSEIHPPCNNGTAEVPIDDYKEFNIGTNGGSFLEQELRESPPLPKSWDDEDSKDCWRSNGGREFKGFGSRDGRSGIGDYDSRGRDDFRGRSGSGRDSFFSSKGRSDSRSGFSSYADKDKSSSWGSSDKDYFGKTAERNRLHPPIYRGKSATSGGSQNDSSNLDLGAFQQDLGLANEVAVHSM
+>sp|Q8IY21|DDX60_HUMAN Probable ATP-dependent RNA helicase DDX60 OS=Homo sapiens OX=9606 GN=DDX60 PE=1 SV=3
+MERNVLTTFSQEMSQLILNEMPKAEYSSLFNDFVESEFFLIDGDSLLITCICEISFKPGQNLHFFYLVERYLVDLISKGGQFTIVFFKDAEYAYFNFPELLSLRTALILHLQKNTTIDVRTTFSRCLSKEWGSFLEESYPYFLIVADEGLNDLQTQLFNFLIIHSWARKVNVVLSSGQESDVLCLYAYLLPSMYRHQIFSWKNKQNIKDAYTTLLNQLERFKLSALAPLFGSLKWNNITEEAHKTVSLLTQVWPEGSDIRRVFCVTSCSLSLRMYHRFLGNREPSSGQETEIQQVNSNCLTLQEMEDLCKLHCLTVVFLLHLPLSQRACARVITSHWAEDMKPLLQMKKWCEYFILRNIHTFEFWNLNLIHLSDLNDELLLKNIAFYYENENVKGLHLNLGDTIMKDYEYLWNTVSKLVRDFEVGQPFPLRTTKVCFLEKKPSPIKDSSNEMVPNLGFIPTSSFVVDKFAGDILKDLPFLKSDDPIVTSLVKQKEFDELVHWHSHKPLSDDYDRSRCQFDEKSRDPRVLRSVQKYHVFQRFYGNSLETVSSKIIVTQTIKSKKDFSGPKSKKAHETKAEIIARENKKRLFAREEQKEEQKWNALSFSIEEQLKENLHSGIKSLEDFLKSCKSSCVKLQVEMVGLTACLKAWKEHCRSEEGKTTKDLSIAVQVMKRIHSLMEKYSELLQEDDRQLIARCLKYLGFDELASSLHPAQDAENDVKVKKRNKYSVGIGPARFQLQYMGHYLIRDERKDPDPRVQDFIPDTWQRELLDVVDKNESAVIVAPTSSGKTYASYYCMEKVLKESDDGVVVYVAPTKALVNQVAATVQNRFTKNLPSGEVLCGVFTREYRHDALNCQVLITVPACFEILLLAPHRQNWVKKIRYVIFDEVHCLGGEIGAEIWEHLLVMIRCPFLALSATISNPEHLTEWLQSVKWYWKQEDKIIENNTASKRHVGRQAGFPKDYLQVKQSYKVRLVLYGERYNDLEKHVCSIKHGDIHFDHFHPCAALTTDHIERYGFPPDLTLSPRESIQLYDAMFQIWKSWPRAQELCPENFIHFNNKLVIKKMDARKYEESLKAELTSWIKNGNVEQARMVLQNLSPEADLSPENMITMFPLLVEKLRKMEKLPALFFLFKLGAVENAAESVSTFLKKKQETKRPPKADKEAHVMANKLRKVKKSIEKQKIIDEKSQKKTRNVDQSLIHEAEHDNLVKCLEKNLEIPQDCTYADQKAVDTETLQKVFGRVKFERKGEELKALAERGIGYHHSAMSFKEKQLVEILFRKGYLRVVTATGTLALGVNMPCKSVVFAQNSVYLDALNYRQMSGRAGRRGQDLMGDVYFFDIPFPKIGKLIKSNVPELRGHFPLSITLVLRLMLLASKGDDPEDAKAKVLSVLKHSLLSFKQPRVMDMLKLYFLFSLQFLVKEGYLDQEGNPMGFAGLVSHLHYHEPSNLVFVSFLVNGLFHDLCQPTRKGSKHFSQDVMEKLVLVLAHLFGRRYFPPKFQDAHFEFYQSKVFLDDLPEDFSDALDEYNMKIMEDFTTFLRIVSKLADMNQEYQLPLSKIKFTGKECEDSQLVSHLMSCKEGRVAISPFVCLSGNFDDDLLRLETPNHVTLGTIGVNRSQAPVLLSQKFDNRGRKMSLNAYALDFYKHGSLIGLVQDNRMNEGDAYYLLKDFALTIKSISVSLRELCENEDDNVVLAFEQLSTTFWEKLNKV
+>DECOY_sp|Q8IY21|DDX60_HUMAN Probable ATP-dependent RNA helicase DDX60 OS=Homo sapiens OX=9606 GN=DDX60 PE=1 SV=3
+VKNLKEWFTTSLQEFALVVNDDENECLERLSVSISKITLAFDKLLYYADGENMRNDQVLGILSGHKYFDLAYANLSMKRGRNDFKQSLLVPAQSRNVGITGLTVHNPTELRLLDDDFNGSLCVFPSIAVRGEKCSMLHSVLQSDECEKGTFKIKSLPLQYEQNMDALKSVIRLFTTFDEMIKMNYEDLADSFDEPLDDLFVKSQYFEFHADQFKPPFYRRGFLHALVLVLKEMVDQSFHKSGKRTPQCLDHFLGNVLFSVFVLNSPEHYHLHSVLGAFGMPNGEQDLYGEKVLFQLSFLFYLKLMDMVRPQKFSLLSHKLVSLVKAKADEPDDGKSALLMLRLVLTISLPFHGRLEPVNSKILKGIKPFPIDFFYVDGMLDQGRRGARGSMQRYNLADLYVSNQAFVVSKCPMNVGLALTGTATVVRLYGKRFLIEVLQKEKFSMASHHYGIGREALAKLEEGKREFKVRGFVKQLTETDVAKQDAYTCDQPIELNKELCKVLNDHEAEHILSQDVNRTKKQSKEDIIKQKEISKKVKRLKNAMVHAEKDAKPPRKTEQKKKLFTSVSEAANEVAGLKFLFFLAPLKEMKRLKEVLLPFMTIMNEPSLDAEPSLNQLVMRAQEVNGNKIWSTLEAKLSEEYKRADMKKIVLKNNFHIFNEPCLEQARPWSKWIQFMADYLQISERPSLTLDPPFGYREIHDTTLAACPHFHDFHIDGHKISCVHKELDNYREGYLVLRVKYSQKVQLYDKPFGAQRGVHRKSATNNEIIKDEQKWYWKVSQLWETLHEPNSITASLALFPCRIMVLLHEWIEAGIEGGLCHVEDFIVYRIKKVWNQRHPALLLIEFCAPVTILVQCNLADHRYERTFVGCLVEGSPLNKTFRNQVTAAVQNVLAKTPAVYVVVGDDSEKLVKEMCYYSAYTKGSSTPAVIVASENKDVVDLLERQWTDPIFDQVRPDPDKREDRILYHGMYQLQFRAPGIGVSYKNRKKVKVDNEADQAPHLSSALEDFGLYKLCRAILQRDDEQLLESYKEMLSHIRKMVQVAISLDKTTKGEESRCHEKWAKLCATLGVMEVQLKVCSSKCSKLFDELSKIGSHLNEKLQEEISFSLANWKQEEKQEERAFLRKKNERAIIEAKTEHAKKSKPGSFDKKSKITQTVIIKSSVTELSNGYFRQFVHYKQVSRLVRPDRSKEDFQCRSRDYDDSLPKHSHWHVLEDFEKQKVLSTVIPDDSKLFPLDKLIDGAFKDVVFSSTPIFGLNPVMENSSDKIPSPKKELFCVKTTRLPFPQGVEFDRVLKSVTNWLYEYDKMITDGLNLHLGKVNENEYYFAINKLLLEDNLDSLHILNLNWFEFTHINRLIFYECWKKMQLLPKMDEAWHSTIVRACARQSLPLHLLFVVTLCHLKCLDEMEQLTLCNSNVQQIETEQGSSPERNGLFRHYMRLSLSCSTVCFVRRIDSGEPWVQTLLSVTKHAEETINNWKLSGFLPALASLKFRELQNLLTTYADKINQKNKWSFIQHRYMSPLLYAYLCLVDSEQGSSLVVNVKRAWSHIILFNFLQTQLDNLGEDAVILFYPYSEELFSGWEKSLCRSFTTRVDITTNKQLHLILATRLSLLEPFNFYAYEADKFFVITFQGGKSILDVLYREVLYFFHLNQGPKFSIECICTILLSDGDILFFESEVFDNFLSSYEAKPMENLILQSMEQSFTTLVNREM
+>sp|Q9P2X7|DEC1_HUMAN Deleted in esophageal cancer 1 OS=Homo sapiens OX=9606 GN=DEC1 PE=2 SV=1
+MTMNVLEAGKWKSIVPAPGEGLLAVLHMMVFTDALHRERSVKWQAGVCYNGGKDFAVSLARPKAAEGIAD
+>DECOY_sp|Q9P2X7|DEC1_HUMAN Deleted in esophageal cancer 1 OS=Homo sapiens OX=9606 GN=DEC1 PE=2 SV=1
+DAIGEAAKPRALSVAFDKGGNYCVGAQWKVSRERHLADTFVMMHLVALLGEGPAPVISKWKGAELVNMTM
+>sp|Q9NUI1|DECR2_HUMAN Peroxisomal 2,4-dienoyl-CoA reductase OS=Homo sapiens OX=9606 GN=DECR2 PE=1 SV=1
+MAQPPPDVEGDDCLPAYRHLFCPDLLRDKVAFITGGGSGIGFRIAEIFMRHGCHTVIASRSLPRVLTAARKLAGATGRRCLPLSMDVRAPPAVMAAVDQALKEFGRIDILINCAAGNFLCPAGALSFNAFKTVMDIDTSGTFNVSRVLYEKFFRDHGGVIVNITATLGNRGQALQVHAGSAKAAVDAMTRHLAVEWGPQNIRVNSLAPGPISGTEGLRRLGGPQASLSTKVTASPLQRLGNKTEIAHSVLYLASPLASYVTGAVLVADGGAWLTFPNGVKGLPDFASFSAKL
+>DECOY_sp|Q9NUI1|DECR2_HUMAN Peroxisomal 2,4-dienoyl-CoA reductase OS=Homo sapiens OX=9606 GN=DECR2 PE=1 SV=1
+LKASFSAFDPLGKVGNPFTLWAGGDAVLVAGTVYSALPSALYLVSHAIETKNGLRQLPSATVKTSLSAQPGGLRRLGETGSIPGPALSNVRINQPGWEVALHRTMADVAAKASGAHVQLAQGRNGLTATINVIVGGHDRFFKEYLVRSVNFTGSTDIDMVTKFANFSLAGAPCLFNGAACNILIDIRGFEKLAQDVAAMVAPPARVDMSLPLCRRGTAGALKRAATLVRPLSRSAIVTHCGHRMFIEAIRFGIGSGGGTIFAVKDRLLDPCFLHRYAPLCDDGEVDPPPQAM
+>sp|P28325|CYTD_HUMAN Cystatin-D OS=Homo sapiens OX=9606 GN=CST5 PE=1 SV=1
+MMWPMHTPLLLLTALMVAVAGSASAQSRTLAGGIHATDLNDKSVQCALDFAISEYNKVINKDEYYSRPLQVMAAYQQIVGGVNYYFNVKFGRTTCTKSQPNLDNCPFNDQPKLKEEEFCSFQINEVPWEDKISILNYKCRKV
+>DECOY_sp|P28325|CYTD_HUMAN Cystatin-D OS=Homo sapiens OX=9606 GN=CST5 PE=1 SV=1
+VKRCKYNLISIKDEWPVENIQFSCFEEEKLKPQDNFPCNDLNPQSKTCTTRGFKVNFYYNVGGVIQQYAAMVQLPRSYYEDKNIVKNYESIAFDLACQVSKDNLDTAHIGGALTRSQASASGAVAVMLATLLLLPTHMPWMM
+>sp|O60759|CYTIP_HUMAN Cytohesin-interacting protein OS=Homo sapiens OX=9606 GN=CYTIP PE=1 SV=2
+MSLQRLLQHSSNGNLADFCAGPAYSSYSTLTGSLTMDDNRRIQMLADTVATLPRGRKQLALTRSSSLSDFSWSQRKLVTVEKQDNETFGFEIQSYRPQNQNACSSEMFTLICKIQEDSPAHCAGLQAGDVLANINGVSTEGFTYKQVVDLIRSSGNLLTIETLNGTMILKRTELEAKLQVLKQTLKQKWVEYRSLQLQEHRLLHGDAANCPSLENMDLDELSLFGPLPGPGPALVDRNRLSSESSCKSWLSSMTMDSEDGYQTCVSEDSSRGAFSRQTSTDDECFIPKEGDDFLRRSSSRRNRSISNTSSGSMSPLWEGNLSSMFGTLPRKSRKGSVRKQLLKFIPGLHRAVEEEESRF
+>DECOY_sp|O60759|CYTIP_HUMAN Cytohesin-interacting protein OS=Homo sapiens OX=9606 GN=CYTIP PE=1 SV=2
+FRSEEEEVARHLGPIFKLLQKRVSGKRSKRPLTGFMSSLNGEWLPSMSGSSTNSISRNRRSSSRRLFDDGEKPIFCEDDTSTQRSFAGRSSDESVCTQYGDESDMTMSSLWSKCSSESSLRNRDVLAPGPGPLPGFLSLEDLDMNELSPCNAADGHLLRHEQLQLSRYEVWKQKLTQKLVQLKAELETRKLIMTGNLTEITLLNGSSRILDVVQKYTFGETSVGNINALVDGAQLGACHAPSDEQIKCILTFMESSCANQNQPRYSQIEFGFTENDQKEVTVLKRQSWSFDSLSSSRTLALQKRGRPLTAVTDALMQIRRNDDMTLSGTLTSYSSYAPGACFDALNGNSSHQLLRQLSM
+>sp|Q69YQ0|CYTSA_HUMAN Cytospin-A OS=Homo sapiens OX=9606 GN=SPECC1L PE=1 SV=2
+MKKASRSVGSVPKVSAISKTQTAEKIKPENSSSASTGGKLVKPGTAASLSKTKSSDDLLAGMAGGVTVTNGVKGKKSTCPSAAPSASAPAMTTVENKSKISTGTASSTKRSTSTGNKESSSTRERLRERTRLNQSKKLPSAGQGANDMALAKRSRSRTATECDVRMSKSKSDNQISDRAALEAKVKDLLTLAKTKDVEILHLRNELRDMRAQLGINEDHSEGDEKSEKETIMAHQPTDVESTLLQLQEQNTAIREELNQLKNENRMLKDRLNALGFSLEQRLDNSEKLFGYQSLSPEITPDNQSDGGGTLTSSVEGSAPGSVEDLLSQDENTLMDHQHSNSMDNLDSECSEVYQPLTSSDDALDAPSSSESEGIPSIERSRKGSSGNASEVSVACLTERIHQMEENQHSTSEELQATLQELADLQQITQELNSENERLGEEKVILMESLCQQSDKLEHFSRQIEYFRSLLDEHHISYVIDEDVKSGRYMELEQRYMDLAENARFEREQLLGVQQHLSNTLKMAEQDNKEAQEMIGALKERSHHMERIIESEQKGKAALAATLEEYKATVASDQIEMNRLKAQLENEKQKVAELYSIHNSGDKSDIQDLLESVRLDKEKAETLASSLQEDLAHTRNDANRLQDAIAKVEDEYRAFQEEAKKQIEDLNMTLEKLRSDLDEKETERSDMKETIFELEDEVEQHRAVKLHDNLIISDLENTVKKLQDQKHDMEREIKTLHRRLREESAEWRQFQADLQTAVVIANDIKSEAQEEIGDLKRRLHEAQEKNEKLTKELEEIKSRKQEEERGRVYNYMNAVERDLAALRQGMGLSRRSSTSSEPTPTVKTLIKSFDSASQVPNPAAAAIPRTPLSPSPMKTPPAAAVSPMQRHSISGPISTSKPLTALSDKRPNYGEIPVQEHLLRTSSASRPASLPRVPAMESAKTLSVSRRSSEEVKRDISAQEGASPASLMAMGTTSPQLSLSSSPTASVTPTTRSRIREERKDPLSALAREYGGSKRNALLKWCQKKTEGYQNIDITNFSSSWNDGLAFCALLHTYLPAHIPYQELNSQDKRRNFMLAFQAAESVGIKSTLDINEMVRTERPDWQNVMLYVTAIYKYFET
+>DECOY_sp|Q69YQ0|CYTSA_HUMAN Cytospin-A OS=Homo sapiens OX=9606 GN=SPECC1L PE=1 SV=2
+TEFYKYIATVYLMVNQWDPRETRVMENIDLTSKIGVSEAAQFALMFNRRKDQSNLEQYPIHAPLYTHLLACFALGDNWSSSFNTIDINQYGETKKQCWKLLANRKSGGYERALASLPDKREERIRSRTTPTVSATPSSSLSLQPSTTGMAMLSAPSAGEQASIDRKVEESSRRSVSLTKASEMAPVRPLSAPRSASSTRLLHEQVPIEGYNPRKDSLATLPKSTSIPGSISHRQMPSVAAAPPTKMPSPSLPTRPIAAAAPNPVQSASDFSKILTKVTPTPESSTSSRRSLGMGQRLAALDREVANMYNYVRGREEEQKRSKIEELEKTLKENKEQAEHLRRKLDGIEEQAESKIDNAIVVATQLDAQFQRWEASEERLRRHLTKIEREMDHKQDQLKKVTNELDSIILNDHLKVARHQEVEDELEFITEKMDSRETEKEDLDSRLKELTMNLDEIQKKAEEQFARYEDEVKAIADQLRNADNRTHALDEQLSSALTEAKEKDLRVSELLDQIDSKDGSNHISYLEAVKQKENELQAKLRNMEIQDSAVTAKYEELTAALAAKGKQESEIIREMHHSREKLAGIMEQAEKNDQEAMKLTNSLHQQVGLLQEREFRANEALDMYRQELEMYRGSKVDEDIVYSIHHEDLLSRFYEIQRSFHELKDSQQCLSEMLIVKEEGLRENESNLEQTIQQLDALEQLTAQLEESTSHQNEEMQHIRETLCAVSVESANGSSGKRSREISPIGESESSSPADLADDSSTLPQYVESCESDLNDMSNSHQHDMLTNEDQSLLDEVSGPASGEVSSTLTGGGDSQNDPTIEPSLSQYGFLKESNDLRQELSFGLANLRDKLMRNENKLQNLEERIATNQEQLQLLTSEVDTPQHAMITEKESKEDGESHDENIGLQARMDRLENRLHLIEVDKTKALTLLDKVKAELAARDSIQNDSKSKSMRVDCETATRSRSRKALAMDNAGQGASPLKKSQNLRTRERLRERTSSSEKNGTSTSRKTSSATGTSIKSKNEVTTMAPASASPAASPCTSKKGKVGNTVTVGGAMGALLDDSSKTKSLSAATGPKVLKGGTSASSSNEPKIKEATQTKSIASVKPVSGVSRSAKKM
+>sp|Q8IZN7|D107A_HUMAN Beta-defensin 107 OS=Homo sapiens OX=9606 GN=DEFB107A PE=2 SV=3
+MPGAMKIFVFILAALILLAQIFQARTAIHRALISKRMEGHCEAECLTFEVKIGGCRAELAPFCCKNRKKH
+>DECOY_sp|Q8IZN7|D107A_HUMAN Beta-defensin 107 OS=Homo sapiens OX=9606 GN=DEFB107A PE=2 SV=3
+HKKRNKCCFPALEARCGGIKVEFTLCEAECHGEMRKSILARHIATRAQFIQALLILAALIFVFIKMAGPM
+>sp|Q8NET1|D108B_HUMAN Beta-defensin 108B OS=Homo sapiens OX=9606 GN=DEFB108B PE=2 SV=3
+MRIAVLLFAIFFFMSQVLPARGKFKEICERPNGSCRDFCLETEIHVGRCLNSQPCCLPLGHQPRIESTTPKKD
+>DECOY_sp|Q8NET1|D108B_HUMAN Beta-defensin 108B OS=Homo sapiens OX=9606 GN=DEFB108B PE=2 SV=3
+DKKPTTSEIRPQHGLPLCCPQSNLCRGVHIETELCFDRCSGNPRECIEKFKGRAPLVQSMFFFIAFLLVAIRM
+>sp|Q2PZI1|D19L1_HUMAN Probable C-mannosyltransferase DPY19L1 OS=Homo sapiens OX=9606 GN=DPY19L1 PE=2 SV=1
+MEGRPPPEGRPPPRPRTGRAPRGRRRAVFAAVLHWSHITHLFENDRHFSHLSTLEREMAFRTEMGLYYSYFKTIVEAPSFLNGVWMIMNDKLTEYPLVINTLKRFNLYPEVILASWYRIYTKIMDLIGIQTKICWTVTRGEGLSPIESCEGLGDPACFYVAVIFILNGLMMALFFIYGTYLSGSRLGGLVTVLCFFFNHGECTRVMWTPPLRESFSYPFLVLQMLLVTHILRATKLYRGSLIALCISNVFFMLPWQFAQFVLLTQIASLFAVYVVGYIDICKLRKIIYIHMISLALCFVLMFGNSMLLTSYYASSLVIIWGILAMKPHFLKINVSELSLWVIQGCFWLFGTVILKYLTSKIFGIADDAHIGNLLTSKFFSYKDFDTLLYTCAAEFDFMEKETPLRYTKTLLLPVVLVVFVAIVRKIISDMWGVLAKQQTHVRKHQFDHGELVYHALQLLAYTALGILIMRLKLFLTPHMCVMASLICSRQLFGWLFCKVHPGAIVFAILAAMSIQGSANLQTQWNIVGEFSNLPQEELIEWIKYSTKPDAVFAGAMPTMASVKLSALRPIVNHPHYEDAGLRARTKIVYSMYSRKAAEEVKRELIKLKVNYYILEESWCVRRSKPGCSMPEIWDVEDPANAGKTPLCNLLVKDSKPHFTTVFQNSVYKVLEVVKE
+>DECOY_sp|Q2PZI1|D19L1_HUMAN Probable C-mannosyltransferase DPY19L1 OS=Homo sapiens OX=9606 GN=DPY19L1 PE=2 SV=1
+EKVVELVKYVSNQFVTTFHPKSDKVLLNCLPTKGANAPDEVDWIEPMSCGPKSRRVCWSEELIYYNVKLKILERKVEEAAKRSYMSYVIKTRARLGADEYHPHNVIPRLASLKVSAMTPMAGAFVADPKTSYKIWEILEEQPLNSFEGVINWQTQLNASGQISMAALIAFVIAGPHVKCFLWGFLQRSCILSAMVCMHPTLFLKLRMILIGLATYALLQLAHYVLEGHDFQHKRVHTQQKALVGWMDSIIKRVIAVFVVLVVPLLLTKTYRLPTEKEMFDFEAACTYLLTDFDKYSFFKSTLLNGIHADDAIGFIKSTLYKLIVTGFLWFCGQIVWLSLESVNIKLFHPKMALIGWIIVLSSAYYSTLLMSNGFMLVFCLALSIMHIYIIKRLKCIDIYGVVYVAFLSAIQTLLVFQAFQWPLMFFVNSICLAILSGRYLKTARLIHTVLLMQLVLFPYSFSERLPPTWMVRTCEGHNFFFCLVTVLGGLRSGSLYTGYIFFLAMMLGNLIFIVAVYFCAPDGLGECSEIPSLGEGRTVTWCIKTQIGILDMIKTYIRYWSALIVEPYLNFRKLTNIVLPYETLKDNMIMWVGNLFSPAEVITKFYSYYLGMETRFAMERELTSLHSFHRDNEFLHTIHSWHLVAAFVARRRGRPARGTRPRPPPRGEPPPRGEM
+>sp|Q7Z388|D19L4_HUMAN Probable C-mannosyltransferase DPY19L4 OS=Homo sapiens OX=9606 GN=DPY19L4 PE=1 SV=1
+MAEEEGPPVELRQRKKPKSSENKESAKEEKISDIPIPERAPKHVLFQRFAKIFIGCLAAVTSGMMYALYLSAYHERKFWFSNRQELEREITFQGDSAIYYSYYKDMLKAPSFERGVYELTHNNKTVSLKTINAVQQMSLYPELIASILYQATGSNEIIEPVYFYIGIVFGLQGIYVTALFVTSWLMSGTWLAGMLTVAWFVINRVDTTRIEYSIPLRENWALPYFACQIAALTGYLKSNLNTYGERFCYLLMSASTYTFMMMWEYSHYLLFLQAISLFLLDTFSVEQSDKVYEVYKIYIFSLFLGYLLQFENPALLVSPLLSLVAALMLAKCLQLNVKKGSFVAKIIKVINFYLVCTLTITLNIIMKMFVPHKENGHMLKFLEVKFGLNMTKNFTMNWLLCQESLQAPSQDFFLRLTQSSLLPFYILVLIICFLSMLQVIFRRINGKSLKETVTLEDGRIGERPEIIYHVIHTILLGSLAMVIEGLKYIWIPYVCMLAAFGVCSPELWMTLFKWLRLRTVHPILLALILSMAVPTIIGLSLWKEFFPRLMTELMELQEFYDPDTVELMTWIKRQAPVAAVFAGSPQLMGAIKLCTGWMVTSLPLYNDDDLLKRNENIYQIYSKRSAEDIYKILTSYKANYLIVEDAICNEVGPMRGCRVKDLLDIANGHMVCEEGDKLTYSKYGRFCHEVKINYSPYVNYFTRVYWNRSYFVYKINTVISFQS
+>DECOY_sp|Q7Z388|D19L4_HUMAN Probable C-mannosyltransferase DPY19L4 OS=Homo sapiens OX=9606 GN=DPY19L4 PE=1 SV=1
+SQFSIVTNIKYVFYSRNWYVRTFYNVYPSYNIKVEHCFRGYKSYTLKDGEECVMHGNAIDLLDKVRCGRMPGVENCIADEVILYNAKYSTLIKYIDEASRKSYIQYINENRKLLDDDNYLPLSTVMWGTCLKIAGMLQPSGAFVAAVPAQRKIWTMLEVTDPDYFEQLEMLETMLRPFFEKWLSLGIITPVAMSLILALLIPHVTRLRLWKFLTMWLEPSCVGFAALMCVYPIWIYKLGEIVMALSGLLITHIVHYIIEPREGIRGDELTVTEKLSKGNIRRFIVQLMSLFCIILVLIYFPLLSSQTLRLFFDQSPAQLSEQCLLWNMTFNKTMNLGFKVELFKLMHGNEKHPVFMKMIINLTITLTCVLYFNIVKIIKAVFSGKKVNLQLCKALMLAAVLSLLPSVLLAPNEFQLLYGLFLSFIYIKYVEYVKDSQEVSFTDLLFLSIAQLFLLYHSYEWMMMFTYTSASMLLYCFREGYTNLNSKLYGTLAAIQCAFYPLAWNERLPISYEIRTTDVRNIVFWAVTLMGALWTGSMLWSTVFLATVYIGQLGFVIGIYFYVPEIIENSGTAQYLISAILEPYLSMQQVANITKLSVTKNNHTLEYVGREFSPAKLMDKYYSYYIASDGQFTIERELEQRNSFWFKREHYASLYLAYMMGSTVAALCGIFIKAFRQFLVHKPAREPIPIDSIKEEKASEKNESSKPKKRQRLEVPPGEEEAM
+>sp|Q9NRG7|D39U1_HUMAN Epimerase family protein SDR39U1 OS=Homo sapiens OX=9606 GN=SDR39U1 PE=1 SV=2
+MGWRRKRVPQRGRKAPPPQLHGNINNLYFPIRWRDRLHWDSPNPAAECQRHEVTLVSRKPGPGRITWDELAASGLPSCDAAVNLAGENILNPLRRWNETFQKEVIGSRLETTQLLAKAITKAPQPPKAWVLVTGVAYYQPSLTAEYDEDSPGGDFDFFSNLVTKWEAAARLPGDSTRQVVVRSGVVLGRGGGAMGHMLLPFRLGLGGPIGSGHQFFPWIHIGDLAGILTHALEANHVHGVLNGVAPSSATNAEFAQTLGAALGRRAFIPLPSAVVQAVFGRQRAIMLLEGQKVIPQRTLATGYQYSFPELGAALKEIVA
+>DECOY_sp|Q9NRG7|D39U1_HUMAN Epimerase family protein SDR39U1 OS=Homo sapiens OX=9606 GN=SDR39U1 PE=1 SV=2
+AVIEKLAAGLEPFSYQYGTALTRQPIVKQGELLMIARQRGFVAQVVASPLPIFARRGLAAGLTQAFEANTASSPAVGNLVGHVHNAELAHTLIGALDGIHIWPFFQHGSGIPGGLGLRFPLLMHGMAGGGRGLVVGSRVVVQRTSDGPLRAAAEWKTVLNSFFDFDGGPSDEDYEATLSPQYYAVGTVLVWAKPPQPAKTIAKALLQTTELRSGIVEKQFTENWRRLPNLINEGALNVAADCSPLGSAALEDWTIRGPGPKRSVLTVEHRQCEAAPNPSDWHLRDRWRIPFYLNNINGHLQPPPAKRGRQPVRKRRWGM
+>sp|Q9NYF0|DACT1_HUMAN Dapper homolog 1 OS=Homo sapiens OX=9606 GN=DACT1 PE=1 SV=2
+MKPSPAGTAKELEPPAPARGEQRTAEPEGRWREKGEADTERQRTRERQEATLAGLAELEYLRQRQELLVRGALRGAGGAGAAAPRAGELLGEAAQRSRLEEKFLEENILLLRKQLNCLRRRDAGLLNQLQELDKQISDLRLDVEKTSEEHLETDSRPSSGFYELSDGASGSLSNSSNSVFSECLSSCHSSTCFCSPLEATLSLSDGCPKSADLIGLLEYKEGHCEDQASGAVCRSLSTPQFNSLDVIADVNPKYQCDLVSKNGNDVYRYPSPLHAVAVQSPMFLLCLTGNPLREEDRLGNHASDICGGSELDAVKTDSSLPSPSSLWSASHPSSSKKMDGYILSLVQKKTHPVRTNKPRTSVNADPTKGLLRNGSVCVRAPGGVSQGNSVNLKNSKQACLPSGGIPSLNNGTFSPPKQWSKESKAEQAESKRVPLPEGCPSGAASDLQSKHLPKTAKPASQEHARCSAIGTGESPKESAQLSGASPKESPSRGPAPPQENKVVQPLKKMSQKNSLQGVPPATPPLLSTAFPVEERPALDFKSEGSSQSLEEAHLVKAQFIPGQQPSVRLHRGHRNMGVVKNSSLKHRGPALQGLENGLPTVREKTRAGSKKCRFPDDLDTNKKLKKASSKGRKSGGGPEAGVPGRPAGGGHRAGSRAHGHGREAVVAKPKHKRTDYRRWKSSAEISYEEALRRARRGRRENVGLYPAPVPLPYASPYAYVASDSEYSAECESLFHSTVVDTSEDEQSNYTTNCFGDSESSVSEGEFVGESTTTSDSEESGGLIWSQFVQTLPIQTVTAPDLHNHPAKTFVKIKASHNLKKKILRFRSGSLKLMTTV
+>DECOY_sp|Q9NYF0|DACT1_HUMAN Dapper homolog 1 OS=Homo sapiens OX=9606 GN=DACT1 PE=1 SV=2
+VTTMLKLSGSRFRLIKKKLNHSAKIKVFTKAPHNHLDPATVTQIPLTQVFQSWILGGSEESDSTTTSEGVFEGESVSSESDGFCNTTYNSQEDESTDVVTSHFLSECEASYESDSAVYAYPSAYPLPVPAPYLGVNERRGRRARRLAEEYSIEASSKWRRYDTRKHKPKAVVAERGHGHARSGARHGGGAPRGPVGAEPGGGSKRGKSSAKKLKKNTDLDDPFRCKKSGARTKERVTPLGNELGQLAPGRHKLSSNKVVGMNRHGRHLRVSPQQGPIFQAKVLHAEELSQSSGESKFDLAPREEVPFATSLLPPTAPPVGQLSNKQSMKKLPQVVKNEQPPAPGRSPSEKPSAGSLQASEKPSEGTGIASCRAHEQSAPKATKPLHKSQLDSAAGSPCGEPLPVRKSEAQEAKSEKSWQKPPSFTGNNLSPIGGSPLCAQKSNKLNVSNGQSVGGPARVCVSGNRLLGKTPDANVSTRPKNTRVPHTKKQVLSLIYGDMKKSSSPHSASWLSSPSPLSSDTKVADLESGGCIDSAHNGLRDEERLPNGTLCLLFMPSQVAVAHLPSPYRYVDNGNKSVLDCQYKPNVDAIVDLSNFQPTSLSRCVAGSAQDECHGEKYELLGILDASKPCGDSLSLTAELPSCFCTSSHCSSLCESFVSNSSNSLSGSAGDSLEYFGSSPRSDTELHEESTKEVDLRLDSIQKDLEQLQNLLGADRRRLCNLQKRLLLINEELFKEELRSRQAAEGLLEGARPAAAGAGGAGRLAGRVLLEQRQRLYELEALGALTAEQRERTRQRETDAEGKERWRGEPEATRQEGRAPAPPELEKATGAPSPKM
+>sp|Q96B18|DACT3_HUMAN Dapper homolog 3 OS=Homo sapiens OX=9606 GN=DACT3 PE=1 SV=2
+MIRAFSFPVSPERGRLRGWLEGSLAGLCELHWLRERQEYRVQQALRLAQPGMGGAEAEDEEDADEDEDAAAARRAAAALEEQLEALPGLVWDLGQQLGDLSLESGGLEQESGRSSGFYEDPSSTGGPDSPPSTFCGDSGFSGSSSYGRLGPSEPRGIYASERPKSLGDASPSAPEVVGARAAVPRSFSAPYPTAGGSAGPEACSSAERRARAGPFLTPSPLHAVAMRSPRPCGRPPTDSPDAGGAGRPLDGYISALLRRRRRRGAGQPRTSPGGADGGPRRQNSVRQRPPDASPSPGSARPAREPSLERVGGHPTSPAALSRAWASSWESEAAPEPAAPPAAPSPPDSPAEGRLVKAQYIPGAQAATRGLPGRAARRKPPPLTRGRSVEQSPPRERPRAAGRRGRMAEASGRRGSPRARKASRSQSETSLLGRASAVPSGPPKYPTAEREEPRPPRPRRGPAPTLAAQAAGSCRRWRSTAEIDAADGRRVRPRAPAARVPGPGPSPSAPQRRLLYGCAGSDSECSAGRLGPLGRRGPAGGVGGGYGESESSASEGESPAFSSASSDSDGSGGLVWPQQLVAATAASGGGAGAGAPAGPAKVFVKIKASHALKKKILRFRSGSLKVMTTV
+>DECOY_sp|Q96B18|DACT3_HUMAN Dapper homolog 3 OS=Homo sapiens OX=9606 GN=DACT3 PE=1 SV=2
+VTTMVKLSGSRFRLIKKKLAHSAKIKVFVKAPGAPAGAGAGGGSAATAAVLQQPWVLGGSGDSDSSASSFAPSEGESASSESEGYGGGVGGAPGRRGLPGLRGASCESDSGACGYLLRRQPASPSPGPGPVRAAPARPRVRRGDAADIEATSRWRRCSGAAQAALTPAPGRRPRPPRPEEREATPYKPPGSPVASARGLLSTESQSRSAKRARPSGRRGSAEAMRGRRGAARPRERPPSQEVSRGRTLPPPKRRAARGPLGRTAAQAGPIYQAKVLRGEAPSDPPSPAAPPAAPEPAAESEWSSAWARSLAAPSTPHGGVRELSPERAPRASGPSPSADPPRQRVSNQRRPGGDAGGPSTRPQGAGRRRRRRLLASIYGDLPRGAGGADPSDTPPRGCPRPSRMAVAHLPSPTLFPGARARREASSCAEPGASGGATPYPASFSRPVAARAGVVEPASPSADGLSKPRESAYIGRPESPGLRGYSSSGSFGSDGCFTSPPSDPGGTSSPDEYFGSSRGSEQELGGSELSLDGLQQGLDWVLGPLAELQEELAAAARRAAAADEDEDADEEDEAEAGGMGPQALRLAQQVRYEQRERLWHLECLGALSGELWGRLRGREPSVPFSFARIM
+>sp|P61803|DAD1_HUMAN Dolichyl-diphosphooligosaccharide--protein glycosyltransferase subunit DAD1 OS=Homo sapiens OX=9606 GN=DAD1 PE=1 SV=3
+MSASVVSVISRFLEEYLSSTPQRLKLLDAYLLYILLTGALQFGYCLLVGTFPFNSFLSGFISCVGSFILAVCLRIQINPQNKADFQGISPERAFADFLFASTILHLVVMNFVG
+>DECOY_sp|P61803|DAD1_HUMAN Dolichyl-diphosphooligosaccharide--protein glycosyltransferase subunit DAD1 OS=Homo sapiens OX=9606 GN=DAD1 PE=1 SV=3
+GVFNMVVLHLITSAFLFDAFAREPSIGQFDAKNQPNIQIRLCVALIFSGVCSIFGSLFSNFPFTGVLLCYGFQLAGTLLIYLLYADLLKLRQPTSSLYEELFRSIVSVVSASM
+>sp|P08174|DAF_HUMAN Complement decay-accelerating factor OS=Homo sapiens OX=9606 GN=CD55 PE=1 SV=4
+MTVARPSVPAALPLLGELPRLLLLVLLCLPAVWGDCGLPPDVPNAQPALEGRTSFPEDTVITYKCEESFVKIPGEKDSVICLKGSQWSDIEEFCNRSCEVPTRLNSASLKQPYITQNYFPVGTVVEYECRPGYRREPSLSPKLTCLQNLKWSTAVEFCKKKSCPNPGEIRNGQIDVPGGILFGATISFSCNTGYKLFGSTSSFCLISGSSVQWSDPLPECREIYCPAPPQIDNGIIQGERDHYGYRQSVTYACNKGFTMIGEHSIYCTVNNDEGEWSGPPPECRGKSLTSKVPPTVQKPTTVNVPTTEVSPTSQKTTTKTTTPNAQATRSTPVSRTTKHFHETTPNKGSGTTSGTTRLLSGHTCFTLTGLLGTLVTMGLLT
+>DECOY_sp|P08174|DAF_HUMAN Complement decay-accelerating factor OS=Homo sapiens OX=9606 GN=CD55 PE=1 SV=4
+TLLGMTVLTGLLGTLTFCTHGSLLRTTGSTTGSGKNPTTEHFHKTTRSVPTSRTAQANPTTTKTTTKQSTPSVETTPVNVTTPKQVTPPVKSTLSKGRCEPPPGSWEGEDNNVTCYISHEGIMTFGKNCAYTVSQRYGYHDREGQIIGNDIQPPAPCYIERCEPLPDSWQVSSGSILCFSSTSGFLKYGTNCSFSITAGFLIGGPVDIQGNRIEGPNPCSKKKCFEVATSWKLNQLCTLKPSLSPERRYGPRCEYEVVTGVPFYNQTIYPQKLSASNLRTPVECSRNCFEEIDSWQSGKLCIVSDKEGPIKVFSEECKYTIVTDEPFSTRGELAPQANPVDPPLGCDGWVAPLCLLVLLLLRPLEGLLPLAAPVSPRAVTM
+>sp|Q6ZNG2|DBX2_HUMAN Homeobox protein DBX2 OS=Homo sapiens OX=9606 GN=DBX2 PE=2 SV=2
+MLPSAVAAHAGAYWDVVASSALLNLPAAPGFGNLGKSFLIENLLRVGGAPTPRLQPPAPHDPATALATAGAQLRPLPASPVPLKLCPAAEQVSPAGAPYGTRWAFQVLSPSADSARLPGRAPGDRDCTFQPSAPAPSKPFLLSTPPFYSACCGGSCRRPASSTAFPREESMLPLLTQDSNSKARRGILRRAVFSEDQRKALEKMFQKQKYISKTDRKKLAINLGLKESQVKIWFQNRRMKWRNSKEKEVLSNRCIQEVGLQEDPLSRSALGFPSPCPSIWDVPQQHSSPRWRENSPEPSERLIQESSGAPPPEANSLQGALYLCSEEEAGSKGVLTGAV
+>DECOY_sp|Q6ZNG2|DBX2_HUMAN Homeobox protein DBX2 OS=Homo sapiens OX=9606 GN=DBX2 PE=2 SV=2
+VAGTLVGKSGAEEESCLYLAGQLSNAEPPPAGSSEQILRESPEPSNERWRPSSHQQPVDWISPCPSPFGLASRSLPDEQLGVEQICRNSLVEKEKSNRWKMRRNQFWIKVQSEKLGLNIALKKRDTKSIYKQKQFMKELAKRQDESFVARRLIGRRAKSNSDQTLLPLMSEERPFATSSAPRRCSGGCCASYFPPTSLLFPKSPAPASPQFTCDRDGPARGPLRASDASPSLVQFAWRTGYPAGAPSVQEAAPCLKLPVPSAPLPRLQAGATALATAPDHPAPPQLRPTPAGGVRLLNEILFSKGLNGFGPAAPLNLLASSAVVDWYAGAHAAVASPLM
+>sp|O14576|DC1I1_HUMAN Cytoplasmic dynein 1 intermediate chain 1 OS=Homo sapiens OX=9606 GN=DYNC1I1 PE=1 SV=2
+MSDKSDLKAELERKKQRLAQIREEKKRKEEERKKKEADMQQKKEPVQDDSDLDRKRRETEALLQSIGISPEPPLVQPLHFLTWDTCYFHYLVPTPMSPSSKSVSTPSEAGSQDSGDLGPLTRTLQWDTDPSVLQLQSDSELGRRLHKLGVSKVTQVDFLPREVVSYSKETQTPLATHQSEEDEEDEEMVESKVGQDSELENQDKKQEVKEAPPRELTEEEKQQILHSEEFLIFFDRTIRVIERALAEDSDIFFDYSGRELEEKDGDVQAGANLSFNRQFYDEHWSKHRVVTCMDWSLQYPELMVASYNNNEDAPHEPDGVALVWNMKFKKTTPEYVFHCQSSVMSVCFARFHPNLVVGGTYSGQIVLWDNRSHRRTPVQRTPLSAAAHTHPVYCVNVVGTQNAHNLITVSTDGKMCSWSLDMLSTPQESMELVYNKSKPVAVTGMAFPTGDVNNFVVGSEEGTVYTACRHGSKAGIGEVFEGHQGPVTGINCHMAVGPIDFSHLFVTSSFDWTVKLWTTKHNKPLYSFEDNADYVYDVMWSPVHPALFACVDGMGRLDLWNLNNDTEVPTASVAIEGASALNRVRWAQAGKEVAVGDSEGRIWVYDVGELAVPHNDEWTRFARTLVEIRANRADSEEEGTVELSA
+>DECOY_sp|O14576|DC1I1_HUMAN Cytoplasmic dynein 1 intermediate chain 1 OS=Homo sapiens OX=9606 GN=DYNC1I1 PE=1 SV=2
+ASLEVTGEEESDARNARIEVLTRAFRTWEDNHPVALEGVDYVWIRGESDGVAVEKGAQAWRVRNLASAGEIAVSATPVETDNNLNWLDLRGMGDVCAFLAPHVPSWMVDYVYDANDEFSYLPKNHKTTWLKVTWDFSSTVFLHSFDIPGVAMHCNIGTVPGQHGEFVEGIGAKSGHRCATYVTGEESGVVFNNVDGTPFAMGTVAVPKSKNYVLEMSEQPTSLMDLSWSCMKGDTSVTILNHANQTGVVNVCYVPHTHAAASLPTRQVPTRRHSRNDWLVIQGSYTGGVVLNPHFRAFCVSMVSSQCHFVYEPTTKKFKMNWVLAVGDPEHPADENNNYSAVMLEPYQLSWDMCTVVRHKSWHEDYFQRNFSLNAGAQVDGDKEELERGSYDFFIDSDEALAREIVRITRDFFILFEESHLIQQKEEETLERPPAEKVEQKKDQNELESDQGVKSEVMEEDEEDEESQHTALPTQTEKSYSVVERPLFDVQTVKSVGLKHLRRGLESDSQLQLVSPDTDWQLTRTLPGLDGSDQSGAESPTSVSKSSPSMPTPVLYHFYCTDWTLFHLPQVLPPEPSIGISQLLAETERRKRDLDSDDQVPEKKQQMDAEKKKREEEKRKKEERIQALRQKKRELEAKLDSKDSM
+>sp|Q5TAQ9|DCAF8_HUMAN DDB1- and CUL4-associated factor 8 OS=Homo sapiens OX=9606 GN=DCAF8 PE=1 SV=1
+MSSKGSSTDGRTDLANGSLSSSPEEMSGAEEGRETSSGIEVEASDLSLSLTGDDGGPNRTSTESRGTDTESSGEDKDSDSMEDTGHYSINDENRVHDRSEEEEEEEEEEEEEQPRRRVQRKRANRDQDSSDDERALEDWVSSETSALPRPRWQALPALRERELGSSARFVYEACGARVFVQRFRLQHGLEGHTGCVNTLHFNQRGTWLASGSDDLKVVVWDWVRRQPVLDFESGHKSNVFQAKFLPNSGDSTLAMCARDGQVRVAELSATQCCKNTKRVAQHKGASHKLALEPDSPCTFLSAGEDAVVFTIDLRQDRPASKLVVTKEKEKKVGLYTIYVNPANTHQFAVGGRDQFVRIYDQRKIDENENNGVLKKFCPHHLVNSESKANITCLVYSHDGTELLASYNDEDIYLFNSSHSDGAQYVKRYKGHRNNATVKGVNFYGPKSEFVVSGSDCGHIFLWEKSSCQIIQFMEGDKGGVVNCLEPHPHLPVLATSGLDHDVKIWAPTAEASTELTGLKDVIKKNKRERDEDSLHQTDLFDSHMLWFLMHHLRQRRHHRRWREPGVGATDADSDESPSSSDTSDEEEGPDRVQCMPS
+>DECOY_sp|Q5TAQ9|DCAF8_HUMAN DDB1- and CUL4-associated factor 8 OS=Homo sapiens OX=9606 GN=DCAF8 PE=1 SV=1
+SPMCQVRDPGEEEDSTDSSSPSEDSDADTAGVGPERWRRHHRRQRLHHMLFWLMHSDFLDTQHLSDEDRERKNKKIVDKLGTLETSAEATPAWIKVDHDLGSTALVPLHPHPELCNVVGGKDGEMFQIIQCSSKEWLFIHGCDSGSVVFESKPGYFNVGKVTANNRHGKYRKVYQAGDSHSSNFLYIDEDNYSALLETGDHSYVLCTINAKSESNVLHHPCFKKLVGNNENEDIKRQDYIRVFQDRGGVAFQHTNAPNVYITYLGVKKEKEKTVVLKSAPRDQRLDITFVVADEGASLFTCPSDPELALKHSAGKHQAVRKTNKCCQTASLEAVRVQGDRACMALTSDGSNPLFKAQFVNSKHGSEFDLVPQRRVWDWVVVKLDDSGSALWTGRQNFHLTNVCGTHGELGHQLRFRQVFVRAGCAEYVFRASSGLERERLAPLAQWRPRPLASTESSVWDELAREDDSSDQDRNARKRQVRRRPQEEEEEEEEEEEEESRDHVRNEDNISYHGTDEMSDSDKDEGSSETDTGRSETSTRNPGGDDGTLSLSLDSAEVEIGSSTERGEEAGSMEEPSSSLSGNALDTRGDTSSGKSSM
+>sp|Q8WVC6|DCAKD_HUMAN Dephospho-CoA kinase domain-containing protein OS=Homo sapiens OX=9606 GN=DCAKD PE=1 SV=1
+MFLVGLTGGIASGKSSVIQVFQQLGCAVIDVDVMARHVVQPGYPAHRRIVEVFGTEVLLENGDINRKVLGDLIFNQPDRRQLLNAITHPEIRKEMMKETFKYFLRGYRYVILDIPLLFETKKLLKYMKHTVVVYCDRDTQLARLMRRNSLNRKDAEARINAQLPLTDKARMARHVLDNSGEWSVTKRQVILLHTELERSLEYLPLRFGVLTGLAAIASLLYLLTHYLLPYA
+>DECOY_sp|Q8WVC6|DCAKD_HUMAN Dephospho-CoA kinase domain-containing protein OS=Homo sapiens OX=9606 GN=DCAKD PE=1 SV=1
+AYPLLYHTLLYLLSAIAALGTLVGFRLPLYELSRELETHLLIVQRKTVSWEGSNDLVHRAMRAKDTLPLQANIRAEADKRNLSNRRMLRALQTDRDCYVVVTHKMYKLLKKTEFLLPIDLIVYRYGRLFYKFTEKMMEKRIEPHTIANLLQRRDPQNFILDGLVKRNIDGNELLVETGFVEVIRRHAPYGPQVVHRAMVDVDIVACGLQQFVQIVSSKGSAIGGTLGVLFM
+>sp|Q8N8Z6|DCBD1_HUMAN Discoidin, CUB and LCCL domain-containing protein 1 OS=Homo sapiens OX=9606 GN=DCBLD1 PE=1 SV=2
+MVPGARGGGALARAAGRGLLALLLAVSAPLRLQAEELGDGCGHLVTYQDSGTMTSKNYPGTYPNHTVCEKTITVPKGKRLILRLGDLDIESQTCASDYLLFTSSSDQYGPYCGSMTVPKELLLNTSEVTVRFESGSHISGRGFLLTYASSDHPDLITCLERASHYLKTEYSKFCPAGCRDVAGDISGNMVDGYRDTSLLCKAAIHAGIIADELGGQISVLQRKGISRYEGILANGVLSRDGSLSDKRFLFTSNGCSRSLSFEPDGQIRASSSWQSVNESGDQVHWSPGQARLQDQGPSWASGDSSNNHKPREWLEIDLGEKKKITGIRTTGSTQSNFNFYVKSFVMNFKNNNSKWKTYKGIVNNEEKVFQGNSNFRDPVQNNFIPPIVARYVRVVPQTWHQRIALKVELIGCQITQGNDSLVWRKTSQSTSVSTKKEDETITRPIPSEETSTGINITTVAIPLVLLVVLVFAGMGIFAAFRKKKKKGSPYGSAEAQKTDCWKQIKYPFARHQSAEFTISYDNEKEMTQKLDLITSDMADYQQPLMIGTGTVTRKGSTFRPMDTDAEEAGVSTDAGGHYDCPQRAGRHEYALPLAPPEPEYATPIVERHVLRAHTFSAQSGYRVPGPQPGHKHSLSSGGFSPVAGVGAQDGDYQRPHSAQPADRGYDRPKAVSALATESGHPDSQKPPTHPGTSDSYSAPRDCLTPLNQTAMTALL
+>DECOY_sp|Q8N8Z6|DCBD1_HUMAN Discoidin, CUB and LCCL domain-containing protein 1 OS=Homo sapiens OX=9606 GN=DCBLD1 PE=1 SV=2
+LLATMATQNLPTLCDRPASYSDSTGPHTPPKQSDPHGSETALASVAKPRDYGRDAPQASHPRQYDGDQAGVGAVPSFGGSSLSHKHGPQPGPVRYGSQASFTHARLVHREVIPTAYEPEPPALPLAYEHRGARQPCDYHGGADTSVGAEEADTDMPRFTSGKRTVTGTGIMLPQQYDAMDSTILDLKQTMEKENDYSITFEASQHRAFPYKIQKWCDTKQAEASGYPSGKKKKKRFAAFIGMGAFVLVVLLVLPIAVTTINIGTSTEESPIPRTITEDEKKTSVSTSQSTKRWVLSDNGQTIQCGILEVKLAIRQHWTQPVVRVYRAVIPPIFNNQVPDRFNSNGQFVKEENNVIGKYTKWKSNNNKFNMVFSKVYFNFNSQTSGTTRIGTIKKKEGLDIELWERPKHNNSSDGSAWSPGQDQLRAQGPSWHVQDGSENVSQWSSSARIQGDPEFSLSRSCGNSTFLFRKDSLSGDRSLVGNALIGEYRSIGKRQLVSIQGGLEDAIIGAHIAAKCLLSTDRYGDVMNGSIDGAVDRCGAPCFKSYETKLYHSARELCTILDPHDSSAYTLLFGRGSIHSGSEFRVTVESTNLLLEKPVTMSGCYPGYQDSSSTFLLYDSACTQSEIDLDGLRLILRKGKPVTITKECVTHNPYTGPYNKSTMTGSDQYTVLHGCGDGLEEAQLRLPASVALLLALLGRGAARALAGGGRAGPVM
+>sp|A8MYV0|DCD2C_HUMAN Doublecortin domain-containing protein 2C OS=Homo sapiens OX=9606 GN=DCDC2C PE=1 SV=3
+MGTRGPSAPVDTTPAKTIVVYRNGDPFYVGKKFVLSRRRAATFEALLEQLTEQVDVPFGVRRLFTPTRGHRVLGLDALQAGGKYVAAGRERFKELDYIHIVPRKPAKIRKLKEIKPVVHCDINVPSKWQTYHRISRHINVFTNGRLFIPPAKIIIPKFSLSDWDIVLATIGEKVFPLGGVRKLFTMNGHLLGDSKDLQDNHFYVAVGLETFKYFPYWKSPRVPSEVQQRYANVEKNSQRKKKVDSKGKEPCKYDGIPPKTQDSVYYAKEEKKKTLAEPLVQRGAEGDVYKAPTPSKETQGALDVKEEHNVQLEVPVDQAESSCDKHCCHNFAYNKHIHKFWLPVILISIDFEAVE
+>DECOY_sp|A8MYV0|DCD2C_HUMAN Doublecortin domain-containing protein 2C OS=Homo sapiens OX=9606 GN=DCDC2C PE=1 SV=3
+EVAEFDISILIVPLWFKHIHKNYAFNHCCHKDCSSEAQDVPVELQVNHEEKVDLAGQTEKSPTPAKYVDGEAGRQVLPEALTKKKEEKAYYVSDQTKPPIGDYKCPEKGKSDVKKKRQSNKEVNAYRQQVESPVRPSKWYPFYKFTELGVAVYFHNDQLDKSDGLLHGNMTFLKRVGGLPFVKEGITALVIDWDSLSFKPIIIKAPPIFLRGNTFVNIHRSIRHYTQWKSPVNIDCHVVPKIEKLKRIKAPKRPVIHIYDLEKFRERGAAVYKGGAQLADLGLVRHGRTPTFLRRVGFPVDVQETLQELLAEFTAARRRSLVFKKGVYFPDGNRYVVITKAPTTDVPASPGRTGM
+>sp|Q6ZRR9|DCDC5_HUMAN Doublecortin domain-containing protein 5 OS=Homo sapiens OX=9606 GN=DCDC5 PE=1 SV=2
+MKKPICKTTEPYAPVRLRVLQNGEKNKNRSVTILGPDISPGRKTQCTEILNLPSAARRLYNEKGKEIFALKDLQRDELVYVSCGELWINPDLSIAQQKKQIFLRNLESDIAKIQIFCSTHKIEALVLEVQSDIVSGSKLAVHKPVAIFGEEKQVTEPEEKQMQEDPLTTENASSEILDSHVRAHLRMKACHTLPRYAWQETSHDFDEDDSLPKKTEKGLFENVEPQKKHSCSPKHSKLHKHCHQQFEYRDGQIISHAAPQLVLGVQGPNLRSGMEVVLVEKKSDGSHQRWIHQEDSRTFHLVSNPDLVLAVSMTKTRNEVCGYPVIVQKYKPYNNGAANQKWHYMKNIKALVAFHSTALDKEITSANYAGVCTSSVIKEENIDQPGYCYLSPDGKRKTMLCLACGQSMRTEKGLKQLLPGVPFLCISGTKTQKPFLQGPFKVISVAEVDLSCDKAEKTLSYYQARLLSLRMKTCTQAASHSGMAATHQKAVKIIAYKNGDGYRNGKLIVAGTFPMLLTECTEQLGLARAASKVYTKDGTPIFTLRDLVLWALDESFLQRDSEKQKQDAAPVGKEQIIVEKNPRMKVKNRLFAKSVTSDSLDGIDKSLLTLILRNPIAIWVSCGEPFLPPNESRKIRETELAKKGQNFG
+>DECOY_sp|Q6ZRR9|DCDC5_HUMAN Doublecortin domain-containing protein 5 OS=Homo sapiens OX=9606 GN=DCDC5 PE=1 SV=2
+GFNQGKKALETERIKRSENPPLFPEGCSVWIAIPNRLILTLLSKDIGDLSDSTVSKAFLRNKVKMRPNKEVIIQEKGVPAADQKQKESDRQLFSEDLAWLVLDRLTFIPTGDKTYVKSAARALGLQETCETLLMPFTGAVILKGNRYGDGNKYAIIKVAKQHTAAMGSHSAAQTCTKMRLSLLRAQYYSLTKEAKDCSLDVEAVSIVKFPGQLFPKQTKTGSICLFPVGPLLQKLGKETRMSQGCALCLMTKRKGDPSLYCYGPQDINEEKIVSSTCVGAYNASTIEKDLATSHFAVLAKINKMYHWKQNAAGNNYPKYKQVIVPYGCVENRTKTMSVALVLDPNSVLHFTRSDEQHIWRQHSGDSKKEVLVVEMGSRLNPGQVGLVLQPAAHSIIQGDRYEFQQHCHKHLKSHKPSCSHKKQPEVNEFLGKETKKPLSDDEDFDHSTEQWAYRPLTHCAKMRLHARVHSDLIESSANETTLPDEQMQKEEPETVQKEEGFIAVPKHVALKSGSVIDSQVELVLAEIKHTSCFIQIKAIDSELNRLFIQKKQQAISLDPNIWLEGCSVYVLEDRQLDKLAFIEKGKENYLRRAASPLNLIETCQTKRGPSIDPGLITVSRNKNKEGNQLVRLRVPAYPETTKCIPKKM
+>sp|Q99259|DCE1_HUMAN Glutamate decarboxylase 1 OS=Homo sapiens OX=9606 GN=GAD1 PE=1 SV=1
+MASSTPSSSATSSNAGADPNTTNLRPTTYDTWCGVAHGCTRKLGLKICGFLQRTNSLEEKSRLVSAFKERQSSKNLLSCENSDRDARFRRTETDFSNLFARDLLPAKNGEEQTVQFLLEVVDILLNYVRKTFDRSTKVLDFHHPHQLLEGMEGFNLELSDHPESLEQILVDCRDTLKYGVRTGHPRFFNQLSTGLDIIGLAGEWLTSTANTNMFTYEIAPVFVLMEQITLKKMREIVGWSSKDGDGIFSPGGAISNMYSIMAARYKYFPEVKTKGMAAVPKLVLFTSEQSHYSIKKAGAALGFGTDNVILIKCNERGKIIPADFEAKILEAKQKGYVPFYVNATAGTTVYGAFDPIQEIADICEKYNLWLHVDAAWGGGLLMSRKHRHKLNGIERANSVTWNPHKMMGVLLQCSAILVKEKGILQGCNQMCAGYLFQPDKQYDVSYDTGDKAIQCGRHVDIFKFWLMWKAKGTVGFENQINKCLELAEYLYAKIKNREEFEMVFNGEPEHTNVCFWYIPQSLRGVPDSPQRREKLHKVAPKIKALMMESGTTMVGYQPQGDKANFFRMVISNPAATQSDIDFLIEEIERLGQDL
+>DECOY_sp|Q99259|DCE1_HUMAN Glutamate decarboxylase 1 OS=Homo sapiens OX=9606 GN=GAD1 PE=1 SV=1
+LDQGLREIEEILFDIDSQTAAPNSIVMRFFNAKDGQPQYGVMTTGSEMMLAKIKPAVKHLKERRQPSDPVGRLSQPIYWFCVNTHEPEGNFVMEFEERNKIKAYLYEALELCKNIQNEFGVTGKAKWMLWFKFIDVHRGCQIAKDGTDYSVDYQKDPQFLYGACMQNCGQLIGKEKVLIASCQLLVGMMKHPNWTVSNAREIGNLKHRHKRSMLLGGGWAADVHLWLNYKECIDAIEQIPDFAGYVTTGATANVYFPVYGKQKAELIKAEFDAPIIKGRENCKILIVNDTGFGLAAGAKKISYHSQESTFLVLKPVAAMGKTKVEPFYKYRAAMISYMNSIAGGPSFIGDGDKSSWGVIERMKKLTIQEMLVFVPAIEYTFMNTNATSTLWEGALGIIDLGTSLQNFFRPHGTRVGYKLTDRCDVLIQELSEPHDSLELNFGEMGELLQHPHHFDLVKTSRDFTKRVYNLLIDVVELLFQVTQEEGNKAPLLDRAFLNSFDTETRRFRADRDSNECSLLNKSSQREKFASVLRSKEELSNTRQLFGCIKLGLKRTCGHAVGCWTDYTTPRLNTTNPDAGANSSTASSSPTSSAM
+>sp|O95822|DCMC_HUMAN Malonyl-CoA decarboxylase, mitochondrial OS=Homo sapiens OX=9606 GN=MLYCD PE=1 SV=3
+MRGFGPGLTARRLLPLRLPPRPPGPRLASGQAAGALERAMDELLRRAVPPTPAYELREKTPAPAEGQCADFVSFYGGLAETAQRAELLGRLARGFGVDHGQVAEQSAGVLHLRQQQREAAVLLQAEDRLRYALVPRYRGLFHHISKLDGGVRFLVQLRADLLEAQALKLVEGPDVREMNGVLKGMLSEWFSSGFLNLERVTWHSPCEVLQKISEAEAVHPVKNWMDMKRRVGPYRRCYFFSHCSTPGEPLVVLHVALTGDISSNIQAIVKEHPPSETEEKNKITAAIFYSISLTQQGLQGVELGTFLIKRVVKELQREFPHLGVFSSLSPIPGFTKWLLGLLNSQTKEHGRNELFTDSECKEISEITGGPINETLKLLLSSSEWVQSEKLVRALQTPLMRLCAWYLYGEKHRGYALNPVANFHLQNGAVLWRINWMADVSLRGITGSCGLMANYRYFLEETGPNSTSYLGSKIIKASEQVLSLVAQFQKNSKL
+>DECOY_sp|O95822|DCMC_HUMAN Malonyl-CoA decarboxylase, mitochondrial OS=Homo sapiens OX=9606 GN=MLYCD PE=1 SV=3
+LKSNKQFQAVLSLVQESAKIIKSGLYSTSNPGTEELFYRYNAMLGCSGTIGRLSVDAMWNIRWLVAGNQLHFNAVPNLAYGRHKEGYLYWACLRMLPTQLARVLKESQVWESSSLLLKLTENIPGGTIESIEKCESDTFLENRGHEKTQSNLLGLLWKTFGPIPSLSSFVGLHPFERQLEKVVRKILFTGLEVGQLGQQTLSISYFIAATIKNKEETESPPHEKVIAQINSSIDGTLAVHLVVLPEGPTSCHSFFYCRRYPGVRRKMDMWNKVPHVAEAESIKQLVECPSHWTVRELNLFGSSFWESLMGKLVGNMERVDPGEVLKLAQAELLDARLQVLFRVGGDLKSIHHFLGRYRPVLAYRLRDEAQLLVAAERQQQRLHLVGASQEAVQGHDVGFGRALRGLLEARQATEALGGYFSVFDACQGEAPAPTKERLEYAPTPPVARRLLEDMARELAGAAQGSALRPGPPRPPLRLPLLRRATLGPGFGRM
+>sp|P11926|DCOR_HUMAN Ornithine decarboxylase OS=Homo sapiens OX=9606 GN=ODC1 PE=1 SV=2
+MNNFGNEEFDCHFLDEGFTAKDILDQKINEVSSSDDKDAFYVADLGDILKKHLRWLKALPRVTPFYAVKCNDSKAIVKTLAATGTGFDCASKTEIQLVQSLGVPPERIIYANPCKQVSQIKYAANNGVQMMTFDSEVELMKVARAHPKAKLVLRIATDDSKAVCRLSVKFGATLRTSRLLLERAKELNIDVVGVSFHVGSGCTDPETFVQAISDARCVFDMGAEVGFSMYLLDIGGGFPGSEDVKLKFEEITGVINPALDKYFPSDSGVRIIAEPGRYYVASAFTLAVNIIAKKIVLKEQTGSDDEDESSEQTFMYYVNDGVYGSFNCILYDHAHVKPLLQKRPKPDEKYYSSSIWGPTCDGLDRIVERCDLPEMHVGDWMLFENMGAYTVAAASTFNGFQRPTIYYVMSGPAWQLMQQFQNPDFPPEVEEQDASTLPVSCAWESGMKRHRAACASASINV
+>DECOY_sp|P11926|DCOR_HUMAN Ornithine decarboxylase OS=Homo sapiens OX=9606 GN=ODC1 PE=1 SV=2
+VNISASACAARHRKMGSEWACSVPLTSADQEEVEPPFDPNQFQQMLQWAPGSMVYYITPRQFGNFTSAAAVTYAGMNEFLMWDGVHMEPLDCREVIRDLGDCTPGWISSSYYKEDPKPRKQLLPKVHAHDYLICNFSGYVGDNVYYMFTQESSEDEDDSGTQEKLVIKKAIINVALTFASAVYYRGPEAIIRVGSDSPFYKDLAPNIVGTIEEFKLKVDESGPFGGGIDLLYMSFGVEAGMDFVCRADSIAQVFTEPDTCGSGVHFSVGVVDINLEKARELLLRSTRLTAGFKVSLRCVAKSDDTAIRLVLKAKPHARAVKMLEVESDFTMMQVGNNAAYKIQSVQKCPNAYIIREPPVGLSQVLQIETKSACDFGTGTAALTKVIAKSDNCKVAYFPTVRPLAKLWRLHKKLIDGLDAVYFADKDDSSSVENIKQDLIDKATFGEDLFHCDFEENGFNNM
+>sp|Q8IZD4|DCP1B_HUMAN mRNA-decapping enzyme 1B OS=Homo sapiens OX=9606 GN=DCP1B PE=1 SV=2
+MAAVAAGGLVGKGRDISLAALQRHDPYINRIVDVASQVALYTFGHRANEWEKTDVEGTLFVYTRSASPKHGFTIMNRLSMENRTEPITKDLDFQLQDPFLLYRNARLSIYGIWFYDKEECQRIAELMKNLTQYEQLKAHQGTGAGISPVILNSGEGKEVDILRMLIKAKDEYTKCKTCSEPKKITSSSAIYDNPNLIKPIPVKPSENQQQRIPQPNQTLDPEPQHLSLTALFGKQDKATCQETVEPPQTLHQQQQQQQQQQEKLPIRQGVVRSLSYEEPRRHSPPIEKQLCPAIQKLMVRSADLHPLSELPENRPCENGSTHSAGEFFTGPVQPGSPHNIGTSRGVQNASRTQNLFEKLQSTPGAANKCDPSTPAPASSAALNRSRAPTSVTPVAPGKGLAQPPQAYFNGSLPPQTVGHQAHGREQSTLPRQTLPISGSQTGSSGVISPQELLKKLQIVQQEQQLHASNRPALAAKFPVLAQSSGTGKPLESWINKTPNTEQQTPLFQVISPQRIPATAAPSLLMSPMVFAQPTSVPPKERESGLLPVGGQEPPAAATSLLLPIQSPEPSVITSSPLTKLQLQEALLYLIQNDDNFLNIIYEAYLFSMTQAAMKKTM
+>DECOY_sp|Q8IZD4|DCP1B_HUMAN mRNA-decapping enzyme 1B OS=Homo sapiens OX=9606 GN=DCP1B PE=1 SV=2
+MTKKMAAQTMSFLYAEYIINLFNDDNQILYLLAEQLQLKTLPSSTIVSPEPSQIPLLLSTAAAPPEQGGVPLLGSEREKPPVSTPQAFVMPSMLLSPAATAPIRQPSIVQFLPTQQETNPTKNIWSELPKGTGSSQALVPFKAALAPRNSAHLQQEQQVIQLKKLLEQPSIVGSSGTQSGSIPLTQRPLTSQERGHAQHGVTQPPLSGNFYAQPPQALGKGPAVPTVSTPARSRNLAASSAPAPTSPDCKNAAGPTSQLKEFLNQTRSANQVGRSTGINHPSGPQVPGTFFEGASHTSGNECPRNEPLESLPHLDASRVMLKQIAPCLQKEIPPSHRRPEEYSLSRVVGQRIPLKEQQQQQQQQQQHLTQPPEVTEQCTAKDQKGFLATLSLHQPEPDLTQNPQPIRQQQNESPKVPIPKILNPNDYIASSSTIKKPESCTKCKTYEDKAKILMRLIDVEKGEGSNLIVPSIGAGTGQHAKLQEYQTLNKMLEAIRQCEEKDYFWIGYISLRANRYLLFPDQLQFDLDKTIPETRNEMSLRNMITFGHKPSASRTYVFLTGEVDTKEWENARHGFTYLAVQSAVDVIRNIYPDHRQLAALSIDRGKGVLGGAAVAAM
+>sp|Q9H816|DCR1B_HUMAN 5' exonuclease Apollo OS=Homo sapiens OX=9606 GN=DCLRE1B PE=1 SV=1
+MNGVLIPHTPIAVDFWSLRRAGTARLFFLSHMHSDHTVGLSSTWARPLYCSPITAHLLHRHLQVSKQWIQALEVGESHVLPLDEIGQETMTVTLLDANHCPGSVMFLFEGYFGTILYTGDFRYTPSMLKEPALTLGKQIHTLYLDNTNCNPALVLPSRQEAAHQIVQLIRKHPQHNIKIGLYSLGKESLLEQLALEFQTWVVLSPRRLELVQLLGLADVFTVEEKAGRIHAVDHMEICHSNMLRWNQTHPTIAILPTSRKIHSSHPDIHVIPYSDHSSYSELRAFVAALKPCQVVPIVSRRPCGGFQDSLSPRISVPLIPDSVQQYMSSSSRKPSLLWLLERRLKRPRTQGVVFESPEESADQSQADRDSKKAKKEKLSPWPADLEKQPSHHPLRIKKQLFPDLYSKEWNKAVPFCESQKRVTMLTAPLGFSVHLRSTDEEFISQKTREEIGLGSPLVPMGDDDGGPEATGNQSAWMGHGSPLSHSSKGTPLLATEFRGLALKYLLTPVNFFQAGYSSRRFDQQVEKYHKPC
+>DECOY_sp|Q9H816|DCR1B_HUMAN 5' exonuclease Apollo OS=Homo sapiens OX=9606 GN=DCLRE1B PE=1 SV=1
+CPKHYKEVQQDFRRSSYGAQFFNVPTLLYKLALGRFETALLPTGKSSHSLPSGHGMWASQNGTAEPGGDDDGMPVLPSGLGIEERTKQSIFEEDTSRLHVSFGLPATLMTVRKQSECFPVAKNWEKSYLDPFLQKKIRLPHHSPQKELDAPWPSLKEKKAKKSDRDAQSQDASEEPSEFVVGQTRPRKLRRELLWLLSPKRSSSSMYQQVSDPILPVSIRPSLSDQFGGCPRRSVIPVVQCPKLAAVFARLESYSSHDSYPIVHIDPHSSHIKRSTPLIAITPHTQNWRLMNSHCIEMHDVAHIRGAKEEVTFVDALGLLQVLELRRPSLVVWTQFELALQELLSEKGLSYLGIKINHQPHKRILQVIQHAAEQRSPLVLAPNCNTNDLYLTHIQKGLTLAPEKLMSPTYRFDGTYLITGFYGEFLFMVSGPCHNADLLTVTMTEQGIEDLPLVHSEGVELAQIWQKSVQLHRHLLHATIPSCYLPRAWTSSLGVTHDSHMHSLFFLRATGARRLSWFDVAIPTHPILVGNM
+>sp|P20711|DDC_HUMAN Aromatic-L-amino-acid decarboxylase OS=Homo sapiens OX=9606 GN=DDC PE=1 SV=2
+MNASEFRRRGKEMVDYMANYMEGIEGRQVYPDVEPGYLRPLIPAAAPQEPDTFEDIINDVEKIIMPGVTHWHSPYFFAYFPTASSYPAMLADMLCGAIGCIGFSWAASPACTELETVMMDWLGKMLELPKAFLNEKAGEGGGVIQGSASEATLVALLAARTKVIHRLQAASPELTQAAIMEKLVAYSSDQAHSSVERAGLIGGVKLKAIPSDGNFAMRASALQEALERDKAAGLIPFFMVATLGTTTCCSFDNLLEVGPICNKEDIWLHVDAAYAGSAFICPEFRHLLNGVEFADSFNFNPHKWLLVNFDCSAMWVKKRTDLTGAFRLDPTYLKHSHQDSGLITDYRHWQIPLGRRFRSLKMWFVFRMYGVKGLQAYIRKHVQLSHEFESLVRQDPRFEICVEVILGLVCFRLKGSNKVNEALLQRINSAKKIHLVPCHLRDKFVLRFAICSRTVESAHVQRAWEHIKELAADVLRAERE
+>DECOY_sp|P20711|DDC_HUMAN Aromatic-L-amino-acid decarboxylase OS=Homo sapiens OX=9606 GN=DDC PE=1 SV=2
+EREARLVDAALEKIHEWARQVHASEVTRSCIAFRLVFKDRLHCPVLHIKKASNIRQLLAENVKNSGKLRFCVLGLIVEVCIEFRPDQRVLSEFEHSLQVHKRIYAQLGKVGYMRFVFWMKLSRFRRGLPIQWHRYDTILGSDQHSHKLYTPDLRFAGTLDTRKKVWMASCDFNVLLWKHPNFNFSDAFEVGNLLHRFEPCIFASGAYAADVHLWIDEKNCIPGVELLNDFSCCTTTGLTAVMFFPILGAAKDRELAEQLASARMAFNGDSPIAKLKVGGILGAREVSSHAQDSSYAVLKEMIAAQTLEPSAAQLRHIVKTRAALLAVLTAESASGQIVGGGEGAKENLFAKPLELMKGLWDMMVTELETCAPSAAWSFGICGIAGCLMDALMAPYSSATPFYAFFYPSHWHTVGPMIIKEVDNIIDEFTDPEQPAAAPILPRLYGPEVDPYVQRGEIGEMYNAMYDVMEKGRRRFESANM
+>sp|P53621|COPA_HUMAN Coatomer subunit alpha OS=Homo sapiens OX=9606 GN=COPA PE=1 SV=2
+MLTKFETKSARVKGLSFHPKRPWILTSLHNGVIQLWDYRMCTLIDKFDEHDGPVRGIDFHKQQPLFVSGGDDYKIKVWNYKLRRCLFTLLGHLDYIRTTFFHHEYPWILSASDDQTIRVWNWQSRTCVCVLTGHNHYVMCAQFHPTEDLVVSASLDQTVRVWDISGLRKKNLSPGAVESDVRGITGVDLFGTTDAVVKHVLEGHDRGVNWAAFHPTMPLIVSGADDRQVKIWRMNESKAWEVDTCRGHYNNVSCAVFHPRQELILSNSEDKSIRVWDMSKRTGVQTFRRDHDRFWVLAAHPNLNLFAAGHDGGMIVFKLERERPAYAVHGNMLHYVKDRFLRQLDFNSSKDVAVMQLRSGSKFPVFNMSYNPAENAVLLCTRASNLENSTYDLYTIPKDADSQNPDAPEGKRSSGLTAVWVARNRFAVLDRMHSLLIKNLKNEITKKVQVPNCDEIFYAGTGNLLLRDADSITLFDVQQKRTLASVKISKVKYVIWSADMSHVALLAKHAIVICNRKLDALCNIHENIRVKSGAWDESGVFIYTTSNHIKYAVTTGDHGIIRTLDLPIYVTRVKGNNVYCLDRECRPRVLTIDPTEFKFKLALINRKYDEVLHMVRNAKLVGQSIIAYLQKKGYPEVALHFVKDEKTRFSLALECGNIEIALEAAKALDDKNCWEKLGEVALLQGNHQIVEMCYQRTKNFDKLSFLYLITGNLEKLRKMMKIAEIRKDMSGHYQNALYLGDVSERVRILKNCGQKSLAYLTAATHGLDEEAESLKETFDPEKETIPDIDPNAKLLQPPAPIMPLDTNWPLLTVSKGFFEGTIASKGKGGALAADIDIDTVGTEGWGEDAELQLDEDGFVEATEGLGDDALGKGQEEGGGWDVEEDLELPPELDISPGAAGGAEDGFFVPPTKGTSPTQIWCNNSQLPVDHILAGSFETAMRLLHDQVGVIQFGPYKQLFLQTYARGRTTYQALPCLPSMYGYPNRNWKDAGLKNGVPAVGLKLNDLIQRLQLCYQLTTVGKFEEAVEKFRSILLSVPLLVVDNKQEIAEAQQLITICREYIVGLSVETERKKLPKETLEQQKRICEMAAYFTHSNLQPVHMILVLRTALNLFFKLKNFKTAATFARRLLELGPKPEVAQQTRKILSACEKNPTDAYQLNYDMHNPFDICAASYRPIYRGKPVEKCPLSGACYSPEFKGQICRVTTVTEIGKDVIGLRISPLQFR
+>DECOY_sp|P53621|COPA_HUMAN Coatomer subunit alpha OS=Homo sapiens OX=9606 GN=COPA PE=1 SV=2
+RFQLPSIRLGIVDKGIETVTTVRCIQGKFEPSYCAGSLPCKEVPKGRYIPRYSAACIDFPNHMDYNLQYADTPNKECASLIKRTQQAVEPKPGLELLRRAFTAATKFNKLKFFLNLATRLVLIMHVPQLNSHTFYAAMECIRKQQELTEKPLKKRETEVSLGVIYERCITILQQAEAIEQKNDVVLLPVSLLISRFKEVAEEFKGVTTLQYCLQLRQILDNLKLGVAPVGNKLGADKWNRNPYGYMSPLCPLAQYTTRGRAYTQLFLQKYPGFQIVGVQDHLLRMATEFSGALIHDVPLQSNNCWIQTPSTGKTPPVFFGDEAGGAAGPSIDLEPPLELDEEVDWGGGEEQGKGLADDGLGETAEVFGDEDLQLEADEGWGETGVTDIDIDAALAGGKGKSAITGEFFGKSVTLLPWNTDLPMIPAPPQLLKANPDIDPITEKEPDFTEKLSEAEEDLGHTAATLYALSKQGCNKLIRVRESVDGLYLANQYHGSMDKRIEAIKMMKRLKELNGTILYLFSLKDFNKTRQYCMEVIQHNGQLLAVEGLKEWCNKDDLAKAAELAIEINGCELALSFRTKEDKVFHLAVEPYGKKQLYAIISQGVLKANRVMHLVEDYKRNILALKFKFETPDITLVRPRCERDLCYVNNGKVRTVYIPLDLTRIIGHDGTTVAYKIHNSTTYIFVGSEDWAGSKVRINEHINCLADLKRNCIVIAHKALLAVHSMDASWIVYKVKSIKVSALTRKQQVDFLTISDADRLLLNGTGAYFIEDCNPVQVKKTIENKLNKILLSHMRDLVAFRNRAVWVATLGSSRKGEPADPNQSDADKPITYLDYTSNELNSARTCLLVANEAPNYSMNFVPFKSGSRLQMVAVDKSSNFDLQRLFRDKVYHLMNGHVAYAPRERELKFVIMGGDHGAAFLNLNPHAALVWFRDHDRRFTQVGTRKSMDWVRISKDESNSLILEQRPHFVACSVNNYHGRCTDVEWAKSENMRWIKVQRDDAGSVILPMTPHFAAWNVGRDHGELVHKVVADTTGFLDVGTIGRVDSEVAGPSLNKKRLGSIDWVRVTQDLSASVVLDETPHFQACMVYHNHGTLVCVCTRSQWNWVRITQDDSASLIWPYEHHFFTTRIYDLHGLLTFLCRRLKYNWVKIKYDDGGSVFLPQQKHFDIGRVPGDHEDFKDILTCMRYDWLQIVGNHLSTLIWPRKPHFSLGKVRASKTEFKTLM
+>sp|Q9Y5Q5|CORIN_HUMAN Atrial natriuretic peptide-converting enzyme OS=Homo sapiens OX=9606 GN=CORIN PE=1 SV=2
+MKQSPALAPEERCRRAGSPKPVLRADDNNMGNGCSQKLATANLLRFLLLVLIPCICALVLLLVILLSYVGTLQKVYFKSNGSEPLVTDGEIQGSDVILTNTIYNQSTVVSTAHPDQHVPAWTTDASLPGDQSHRNTSACMNITHSQCQMLPYHATLTPLLSVVRNMEMEKFLKFFTYLHRLSCYQHIMLFGCTLAFPECIIDGDDSHGLLPCRSFCEAAKEGCESVLGMVNYSWPDFLRCSQFRNQTESSNVSRICFSPQQENGKQLLCGRGENFLCASGICIPGKLQCNGYNDCDDWSDEAHCNCSENLFHCHTGKCLNYSLVCDGYDDCGDLSDEQNCDCNPTTEHRCGDGRCIAMEWVCDGDHDCVDKSDEVNCSCHSQGLVECRNGQCIPSTFQCDGDEDCKDGSDEENCSVIQTSCQEGDQRCLYNPCLDSCGGSSLCDPNNSLNNCSQCEPITLELCMNLPYNSTSYPNYFGHRTQKEASISWESSLFPALVQTNCYKYLMFFSCTILVPKCDVNTGEHIPPCRALCEHSKERCESVLGIVGLQWPEDTDCSQFPEENSDNQTCLMPDEYVEECSPSHFKCRSGQCVLASRRCDGQADCDDDSDEENCGCKERDLWECPSNKQCLKHTVICDGFPDCPDYMDEKNCSFCQDDELECANHACVSRDLWCDGEADCSDSSDEWDCVTLSINVNSSSFLMVHRAATEHHVCADGWQEILSQLACKQMGLGEPSVTKLIQEQEKEPRWLTLHSNWESLNGTTLHELLVNGQSCESRSKISLLCTKQDCGRRPAARMNKRILGGRTSRPGRWPWQCSLQSEPSGHICGCVLIAKKWVLTVAHCFEGRENAAVWKVVLGINNLDHPSVFMQTRFVKTIILHPRYSRAVVDYDISIVELSEDISETGYVRPVCLPNPEQWLEPDTYCYITGWGHMGNKMPFKLQEGEVRIISLEHCQSYFDMKTITTRMICAGYESGTVDSCMGDSGGPLVCEKPGGRWTLFGLTSWGSVCFSKVLGPGVYSNVSYFVEWIKRQIYIQTFLLN
+>DECOY_sp|Q9Y5Q5|CORIN_HUMAN Atrial natriuretic peptide-converting enzyme OS=Homo sapiens OX=9606 GN=CORIN PE=1 SV=2
+NLLFTQIYIQRKIWEVFYSVNSYVGPGLVKSFCVSGWSTLGFLTWRGGPKECVLPGGSDGMCSDVTGSEYGACIMRTTITKMDFYSQCHELSIIRVEGEQLKFPMKNGMHGWGTIYCYTDPELWQEPNPLCVPRVYGTESIDESLEVISIDYDVVARSYRPHLIITKVFRTQMFVSPHDLNNIGLVVKWVAANERGEFCHAVTLVWKKAILVCGCIHGSPESQLSCQWPWRGPRSTRGGLIRKNMRAAPRRGCDQKTCLLSIKSRSECSQGNVLLEHLTTGNLSEWNSHLTLWRPEKEQEQILKTVSPEGLGMQKCALQSLIEQWGDACVHHETAARHVMLFSSSNVNISLTVCDWEDSSDSCDAEGDCWLDRSVCAHNACELEDDQCFSCNKEDMYDPCDPFGDCIVTHKLCQKNSPCEWLDREKCGCNEEDSDDDCDAQGDCRRSALVCQGSRCKFHSPSCEEVYEDPMLCTQNDSNEEPFQSCDTDEPWQLGVIGLVSECREKSHECLARCPPIHEGTNVDCKPVLITCSFFMLYKYCNTQVLAPFLSSEWSISAEKQTRHGFYNPYSTSNYPLNMCLELTIPECQSCNNLSNNPDCLSSGGCSDLCPNYLCRQDGEQCSTQIVSCNEEDSGDKCDEDGDCQFTSPICQGNRCEVLGQSHCSCNVEDSKDVCDHDGDCVWEMAICRGDGCRHETTPNCDCNQEDSLDGCDDYGDCVLSYNLCKGTHCHFLNESCNCHAEDSWDDCDNYGNCQLKGPICIGSACLFNEGRGCLLQKGNEQQPSFCIRSVNSSETQNRFQSCRLFDPWSYNVMGLVSECGEKAAECFSRCPLLGHSDDGDIICEPFALTCGFLMIHQYCSLRHLYTFFKLFKEMEMNRVVSLLPTLTAHYPLMQCQSHTINMCASTNRHSQDGPLSADTTWAPVHQDPHATSVVTSQNYITNTLIVDSGQIEGDTVLPESGNSKFYVKQLTGVYSLLIVLLLVLACICPILVLLLFRLLNATALKQSCGNGMNNDDARLVPKPSGARRCREEPALAPSQKM
+>sp|Q9BSU1|CP070_HUMAN UPF0183 protein C16orf70 OS=Homo sapiens OX=9606 GN=C16orf70 PE=1 SV=1
+MLDLEVVPERSLGNEQWEFTLGMPLAQAVAILQKHCRIIKNVQVLYSEQSPLSHDLILNLTQDGIKLMFDAFNQRLKVIEVCDLTKVKLKYCGVHFNSQAIAPTIEQIDQSFGATHPGVYNSAEQLFHLNFRGLSFSFQLDSWTEAPKYEPNFAHGLASLQIPHGATVKRMYIYSGNSLQDTKAPMMPLSCFLGNVYAESVDVLRDGTGPAGLRLRLLAAGCGPGLLADAKMRVFERSVYFGDSCQDVLSMLGSPHKVFYKSEDKMKIHSPSPHKQVPSKCNDYFFNYFTLGVDILFDANTHKVKKFVLHTNYPGHYNFNIYHRCEFKIPLAIKKENADGQTETCTTYSKWDNIQELLGHPVEKPVVLHRSSSPNNTNPFGSTFCFGLQRMIFEVMQNNHIASVTLYGPPRPGSHLRTAELP
+>DECOY_sp|Q9BSU1|CP070_HUMAN UPF0183 protein C16orf70 OS=Homo sapiens OX=9606 GN=C16orf70 PE=1 SV=1
+PLEATRLHSGPRPPGYLTVSAIHNNQMVEFIMRQLGFCFTSGFPNTNNPSSSRHLVVPKEVPHGLLEQINDWKSYTTCTETQGDANEKKIALPIKFECRHYINFNYHGPYNTHLVFKKVKHTNADFLIDVGLTFYNFFYDNCKSPVQKHPSPSHIKMKDESKYFVKHPSGLMSLVDQCSDGFYVSREFVRMKADALLGPGCGAALLRLRLGAPGTGDRLVDVSEAYVNGLFCSLPMMPAKTDQLSNGSYIYMRKVTAGHPIQLSALGHAFNPEYKPAETWSDLQFSFSLGRFNLHFLQEASNYVGPHTAGFSQDIQEITPAIAQSNFHVGCYKLKVKTLDCVEIVKLRQNFADFMLKIGDQTLNLILDHSLPSQESYLVQVNKIIRCHKQLIAVAQALPMGLTFEWQENGLSREPVVELDLM
+>sp|Q14CZ0|CP072_HUMAN UPF0472 protein C16orf72 OS=Homo sapiens OX=9606 GN=C16orf72 PE=1 SV=1
+MEERKEEGEAEIQEHGPEHWFSKWERQCLAEAEQDEQLPPELQEEAAAAAQPEHKQQKLWHLFQNSATAVAQLYKDRVCQQPGLSLWVPFQNAATAVTNLYKESVDTHQRSFDIGIQIGYQRRNKDVLAWVKKRRRTIRREDLISFLCGKVPPPRNSRAPPRLTVVSPNRATSTETSSSVETDLQPFREAIALHGLSGAMASISVRSSTPGSPTHVSSGSNASRRRNGLHDVDLNTFISEEMALHLDNGGTRKRTSAQCGDVITDSPTHKRNRMI
+>DECOY_sp|Q14CZ0|CP072_HUMAN UPF0472 protein C16orf72 OS=Homo sapiens OX=9606 GN=C16orf72 PE=1 SV=1
+IMRNRKHTPSDTIVDGCQASTRKRTGGNDLHLAMEESIFTNLDVDHLGNRRRSANSGSSVHTPSGPTSSRVSISAMAGSLGHLAIAERFPQLDTEVSSSTETSTARNPSVVTLRPPARSNRPPPVKGCLFSILDERRITRRRKKVWALVDKNRRQYGIQIGIDFSRQHTDVSEKYLNTVATAANQFPVWLSLGPQQCVRDKYLQAVATASNQFLHWLKQQKHEPQAAAAAEEQLEPPLQEDQEAEALCQREWKSFWHEPGHEQIEAEGEEKREEM
+>sp|P04798|CP1A1_HUMAN Cytochrome P450 1A1 OS=Homo sapiens OX=9606 GN=CYP1A1 PE=1 SV=1
+MLFPISMSATEFLLASVIFCLVFWVIRASRPQVPKGLKNPPGPWGWPLIGHMLTLGKNPHLALSRMSQQYGDVLQIRIGSTPVVVLSGLDTIRQALVRQGDDFKGRPDLYTFTLISNGQSMSFSPDSGPVWAARRRLAQNGLKSFSIASDPASSTSCYLEEHVSKEAEVLISTLQELMAGPGHFNPYRYVVVSVTNVICAICFGRRYDHNHQELLSLVNLNNNFGEVVGSGNPADFIPILRYLPNPSLNAFKDLNEKFYSFMQKMVKEHYKTFEKGHIRDITDSLIEHCQEKQLDENANVQLSDEKIINIVLDLFGAGFDTVTTAISWSLMYLVMNPRVQRKIQEELDTVIGRSRRPRLSDRSHLPYMEAFILETFRHSSFVPFTIPHSTTRDTSLKGFYIPKGRCVFVNQWQINHDQKLWVNPSEFLPERFLTPDGAIDKVLSEKVIIFGMGKRKCIGETIARWEVFLFLAILLQRVEFSVPLGVKVDMTPIYGLTMKHACCEHFQMQLRS
+>DECOY_sp|P04798|CP1A1_HUMAN Cytochrome P450 1A1 OS=Homo sapiens OX=9606 GN=CYP1A1 PE=1 SV=1
+SRLQMQFHECCAHKMTLGYIPTMDVKVGLPVSFEVRQLLIALFLFVEWRAITEGICKRKGMGFIIVKESLVKDIAGDPTLFREPLFESPNVWLKQDHNIQWQNVFVCRGKPIYFGKLSTDRTTSHPITFPVFSSHRFTELIFAEMYPLHSRDSLRPRRSRGIVTDLEEQIKRQVRPNMVLYMLSWSIATTVTDFGAGFLDLVINIIKEDSLQVNANEDLQKEQCHEILSDTIDRIHGKEFTKYHEKVMKQMFSYFKENLDKFANLSPNPLYRLIPIFDAPNGSGVVEGFNNNLNVLSLLEQHNHDYRRGFCIACIVNTVSVVVYRYPNFHGPGAMLEQLTSILVEAEKSVHEELYCSTSSAPDSAISFSKLGNQALRRRAAWVPGSDPSFSMSQGNSILTFTYLDPRGKFDDGQRVLAQRITDLGSLVVVPTSGIRIQLVDGYQQSMRSLALHPNKGLTLMHGILPWGWPGPPNKLGKPVQPRSARIVWFVLCFIVSALLFETASMSIPFLM
+>sp|Q9NR63|CP26B_HUMAN Cytochrome P450 26B1 OS=Homo sapiens OX=9606 GN=CYP26B1 PE=1 SV=1
+MLFEGLDLVSALATLAACLVSVTLLLAVSQQLWQLRWAATRDKSCKLPIPKGSMGFPLIGETGHWLLQGSGFQSSRREKYGNVFKTHLLGRPLIRVTGAENVRKILMGEHHLVSTEWPRSTRMLLGPNTVSNSIGDIHRNKRKVFSKIFSHEALESYLPKIQLVIQDTLRAWSSHPEAINVYQEAQKLTFRMAIRVLLGFSIPEEDLGHLFEVYQQFVDNVFSLPVDLPFSGYRRGIQARQILQKGLEKAIREKLQCTQGKDYLDALDLLIESSKEHGKEMTMQELKDGTLELIFAAYATTASASTSLIMQLLKHPTVLEKLRDELRAHGILHSGGCPCEGTLRLDTLSGLRYLDCVIKEVMRLFTPISGGYRTVLQTFELDGFQIPKGWSVMYSIRDTHDTAPVFKDVNVFDPDRFSQARSEDKDGRFHYLPFGGGVRTCLGKHLAKLFLKVLAVELASTSRFELATRTFPRITLVPVLHPVDGLSVKFFGLDSNQNEILPETEAMLSATV
+>DECOY_sp|Q9NR63|CP26B_HUMAN Cytochrome P450 26B1 OS=Homo sapiens OX=9606 GN=CYP26B1 PE=1 SV=1
+VTASLMAETEPLIENQNSDLGFFKVSLGDVPHLVPVLTIRPFTRTALEFRSTSALEVALVKLFLKALHKGLCTRVGGGFPLYHFRGDKDESRAQSFRDPDFVNVDKFVPATDHTDRISYMVSWGKPIQFGDLEFTQLVTRYGGSIPTFLRMVEKIVCDLYRLGSLTDLRLTGECPCGGSHLIGHARLEDRLKELVTPHKLLQMILSTSASATTAYAAFILELTGDKLEQMTMEKGHEKSSEILLDLADLYDKGQTCQLKERIAKELGKQLIQRAQIGRRYGSFPLDVPLSFVNDVFQQYVEFLHGLDEEPISFGLLVRIAMRFTLKQAEQYVNIAEPHSSWARLTDQIVLQIKPLYSELAEHSFIKSFVKRKNRHIDGISNSVTNPGLLMRTSRPWETSVLHHEGMLIKRVNEAGTVRILPRGLLHTKFVNGYKERRSSQFGSGQLLWHGTEGILPFGMSGKPIPLKCSKDRTAAWRLQWLQQSVALLLTVSVLCAALTALASVLDLGEFLM
+>sp|P33260|CP2CI_HUMAN Cytochrome P450 2C18 OS=Homo sapiens OX=9606 GN=CYP2C18 PE=1 SV=3
+MDPAVALVLCLSCLFLLSLWRQSSGRGRLPSGPTPLPIIGNILQLDVKDMSKSLTNFSKVYGPVFTVYFGLKPIVVLHGYEAVKEALIDHGEEFSGRGSFPVAEKVNKGLGILFSNGKRWKEIRRFCLMTLRNFGMGKRSIEDRVQEEARCLVEELRKTNASPCDPTFILGCAPCNVICSVIFHDRFDYKDQRFLNLMEKFNENLRILSSPWIQVCNNFPALIDYLPGSHNKIAENFAYIKSYVLERIKEHQESLDMNSARDFIDCFLIKMEQEKHNQQSEFTVESLIATVTDMFGAGTETTSTTLRYGLLLLLKYPEVTAKVQEEIECVVGRNRSPCMQDRSHMPYTDAVVHEIQRYIDLLPTNLPHAVTCDVKFKNYLIPKGTTIITSLTSVLHNDKEFPNPEMFDPGHFLDKSGNFKKSDYFMPFSAGKRMCMGEGLARMELFLFLTTILQNFNLKSQVDPKDIDITPIANAFGRVPPLYQLCFIPV
+>DECOY_sp|P33260|CP2CI_HUMAN Cytochrome P450 2C18 OS=Homo sapiens OX=9606 GN=CYP2C18 PE=1 SV=3
+VPIFCLQYLPPVRGFANAIPTIDIDKPDVQSKLNFNQLITTLFLFLEMRALGEGMCMRKGASFPMFYDSKKFNGSKDLFHGPDFMEPNPFEKDNHLVSTLSTIITTGKPILYNKFKVDCTVAHPLNTPLLDIYRQIEHVVADTYPMHSRDQMCPSRNRGVVCEIEEQVKATVEPYKLLLLLGYRLTTSTTETGAGFMDTVTAILSEVTFESQQNHKEQEMKILFCDIFDRASNMDLSEQHEKIRELVYSKIYAFNEAIKNHSGPLYDILAPFNNCVQIWPSSLIRLNENFKEMLNLFRQDKYDFRDHFIVSCIVNCPACGLIFTPDCPSANTKRLEEVLCRAEEQVRDEISRKGMGFNRLTMLCFRRIEKWRKGNSFLIGLGKNVKEAVPFSGRGSFEEGHDILAEKVAEYGHLVVIPKLGFYVTFVPGYVKSFNTLSKSMDKVDLQLINGIIPLPTPGSPLRGRGSSQRWLSLLFLCSLCLVLAVAPDM
+>sp|P51589|CP2J2_HUMAN Cytochrome P450 2J2 OS=Homo sapiens OX=9606 GN=CYP2J2 PE=1 SV=2
+MLAAMGSLAAALWAVVHPRTLLLGTVAFLLAADFLKRRRPKNYPPGPWRLPFLGNFFLVDFEQSHLEVQLFVKKYGNLFSLELGDISAVLITGLPLIKEALIHMDQNFGNRPVTPMREHIFKKNGLIMSSGQAWKEQRRFTLTALRNFGLGKKSLEERIQEEAQHLTEAIKEENGQPFDPHFKINNAVSNIICSITFGERFEYQDSWFQQLLKLLDEVTYLEASKTCQLYNVFPWIMKFLPGPHQTLFSNWKKLKLFVSHMIDKHRKDWNPAETRDFIDAYLKEMSKHTGNPTSSFHEENLICSTLDLFFAGTETTSTTLRWALLYMALYPEIQEKVQAEIDRVIGQGQQPSTAARESMPYTNAVIHEVQRMGNIIPLNVPREVTVDTTLAGYHLPKGTMILTNLTALHRDPTEWATPDTFNPDHFLENGQFKKREAFMPFSIGKRACLGEQLARTELFIFFTSLMQKFTFRPPNNEKLSLKFRMGITISPVSHRLCAVPQV
+>DECOY_sp|P51589|CP2J2_HUMAN Cytochrome P450 2J2 OS=Homo sapiens OX=9606 GN=CYP2J2 PE=1 SV=2
+VQPVACLRHSVPSITIGMRFKLSLKENNPPRFTFKQMLSTFFIFLETRALQEGLCARKGISFPMFAERKKFQGNELFHDPNFTDPTAWETPDRHLATLNTLIMTGKPLHYGALTTDVTVERPVNLPIINGMRQVEHIVANTYPMSERAATSPQQGQGIVRDIEAQVKEQIEPYLAMYLLAWRLTTSTTETGAFFLDLTSCILNEEHFSSTPNGTHKSMEKLYADIFDRTEAPNWDKRHKDIMHSVFLKLKKWNSFLTQHPGPLFKMIWPFVNYLQCTKSAELYTVEDLLKLLQQFWSDQYEFREGFTISCIINSVANNIKFHPDFPQGNEEKIAETLHQAEEQIREELSKKGLGFNRLATLTFRRQEKWAQGSSMILGNKKFIHERMPTVPRNGFNQDMHILAEKILPLGTILVASIDGLELSFLNGYKKVFLQVELHSQEFDVLFFNGLFPLRWPGPPYNKPRRRKLFDAALLFAVTGLLLTRPHVVAWLAAALSGMAALM
+>sp|Q8TAV3|CP2W1_HUMAN Cytochrome P450 2W1 OS=Homo sapiens OX=9606 GN=CYP2W1 PE=1 SV=2
+MALLLLLFLGLLGLWGLLCACAQDPSPAARWPPGPRPLPLVGNLHLLRLSQQDRSLMELSERYGPVFTVHLGRQKTVVLTGFEAVKEALAGPGQELADRPPIAIFQLIQRGGGIFFSSGARWRAARQFTVRALHSLGVGREPVADKILQELKCLSGQLDGYRGRPFPLALLGWAPSNITFALLFGRRFDYRDPVFVSLLGLIDEVMVLLGSPGLQLFNVYPWLGALLQLHRPVLRKIEEVRAILRTLLEARRPHVCPGDPVCSYVDALIQQGQGDDPEGLFAEANAVACTLDMVMAGTETTSATLQWAALLMGRHPDVQGRVQEELDRVLGPGRTPRLEDQQALPYTSAVLHEVQRFITLLPHVPRCTAADTQLGGFLLPKGTPVIPLLTSVLLDETQWQTPGQFNPGHFLDANGHFVKREAFLPFSAGRRVCVGERLARTELFLLFAGLLQRYRLLPPPGVSPASLDTTPARAFTMRPRAQALCAVPRP
+>DECOY_sp|Q8TAV3|CP2W1_HUMAN Cytochrome P450 2W1 OS=Homo sapiens OX=9606 GN=CYP2W1 PE=1 SV=2
+PRPVACLAQARPRMTFARAPTTDLSAPSVGPPPLLRYRQLLGAFLLFLETRALREGVCVRRGASFPLFAERKVFHGNADLFHGPNFQGPTQWQTEDLLVSTLLPIVPTGKPLLFGGLQTDAATCRPVHPLLTIFRQVEHLVASTYPLAQQDELRPTRGPGLVRDLEEQVRGQVDPHRGMLLAAWQLTASTTETGAMVMDLTCAVANAEAFLGEPDDGQGQQILADVYSCVPDGPCVHPRRAELLTRLIARVEEIKRLVPRHLQLLAGLWPYVNFLQLGPSGLLVMVEDILGLLSVFVPDRYDFRRGFLLAFTINSPAWGLLALPFPRGRYGDLQGSLCKLEQLIKDAVPERGVGLSHLARVTFQRAARWRAGSSFFIGGGRQILQFIAIPPRDALEQGPGALAEKVAEFGTLVVTKQRGLHVTFVPGYRESLEMLSRDQQSLRLLHLNGVLPLPRPGPPWRAAPSPDQACACLLGWLGLLGLFLLLLLAM
+>sp|P20815|CP3A5_HUMAN Cytochrome P450 3A5 OS=Homo sapiens OX=9606 GN=CYP3A5 PE=1 SV=1
+MDLIPNLAVETWLLLAVSLVLLYLYGTRTHGLFKRLGIPGPTPLPLLGNVLSYRQGLWKFDTECYKKYGKMWGTYEGQLPVLAITDPDVIRTVLVKECYSVFTNRRSLGPVGFMKSAISLAEDEEWKRIRSLLSPTFTSGKLKEMFPIIAQYGDVLVRNLRREAEKGKPVTLKDIFGAYSMDVITGTSFGVNIDSLNNPQDPFVESTKKFLKFGFLDPLFLSIILFPFLTPVFEALNVSLFPKDTINFLSKSVNRMKKSRLNDKQKHRLDFLQLMIDSQNSKETESHKALSDLELAAQSIIFIFAGYETTSSVLSFTLYELATHPDVQQKLQKEIDAVLPNKAPPTYDAVVQMEYLDMVVNETLRLFPVAIRLERTCKKDVEINGVFIPKGSMVVIPTYALHHDPKYWTEPEEFRPERFSKKKDSIDPYIYTPFGTGPRNCIGMRFALMNMKLALIRVLQNFSFKPCKETQIPLKLDTQGLLQPEKPIVLKVDSRDGTLSGE
+>DECOY_sp|P20815|CP3A5_HUMAN Cytochrome P450 3A5 OS=Homo sapiens OX=9606 GN=CYP3A5 PE=1 SV=1
+EGSLTGDRSDVKLVIPKEPQLLGQTDLKLPIQTEKCPKFSFNQLVRILALKMNMLAFRMGICNRPGTGFPTYIYPDISDKKKSFREPRFEEPETWYKPDHHLAYTPIVVMSGKPIFVGNIEVDKKCTRELRIAVPFLRLTENVVMDLYEMQVVADYTPPAKNPLVADIEKQLKQQVDPHTALEYLTFSLVSSTTEYGAFIFIISQAALELDSLAKHSETEKSNQSDIMLQLFDLRHKQKDNLRSKKMRNVSKSLFNITDKPFLSVNLAEFVPTLFPFLIISLFLPDLFGFKLFKKTSEVFPDQPNNLSDINVGFSTGTIVDMSYAGFIDKLTVPKGKEAERRLNRVLVDGYQAIIPFMEKLKGSTFTPSLLSRIRKWEEDEALSIASKMFGVPGLSRRNTFVSYCEKVLVTRIVDPDTIALVPLQGEYTGWMKGYKKYCETDFKWLGQRYSLVNGLLPLPTPGPIGLRKFLGHTRTGYLYLLVLSVALLLWTEVALNPILDM
+>sp|Q6NT55|CP4FN_HUMAN Cytochrome P450 4F22 OS=Homo sapiens OX=9606 GN=CYP4F22 PE=2 SV=1
+MLPITDRLLHLLGLEKTAFRIYAVSTLLLFLLFFLFRLLLRFLRLCRSFYITCRRLRCFPQPPRRNWLLGHLGMYLPNEAGLQDEKKVLDNMHHVLLVWMGPVLPLLVLVHPDYIKPLLGASAAIAPKDDLFYGFLKPWLGDGLLLSKGDKWSRHRRLLTPAFHFDILKPYMKIFNQSADIMHAKWRHLAEGSAVSLDMFEHISLMTLDSLQKCVFSYNSNCQEKMSDYISAIIELSALSVRRQYRLHHYLDFIYYRSADGRRFRQACDMVHHFTTEVIQERRRALRQQGAEAWLKAKQGKTLDFIDVLLLARDEDGKELSDEDIRAEADTFMFEGHDTTSSGISWMLFNLAKYPEYQEKCREEIQEVMKGRELEELEWDDLTQLPFTTMCIKESLRQYPPVTLVSRQCTEDIKLPDGRIIPKGIICLVSIYGTHHNPTVWPDSKVYNPYRFDPDNPQQRSPLAYVPFSAGPRNCIGQSFAMAELRVVVALTLLRFRLSVDRTRKVRRKPELILRTENGLWLKVEPLPPRA
+>DECOY_sp|Q6NT55|CP4FN_HUMAN Cytochrome P450 4F22 OS=Homo sapiens OX=9606 GN=CYP4F22 PE=2 SV=1
+ARPPLPEVKLWLGNETRLILEPKRRVKRTRDVSLRFRLLTLAVVVRLEAMAFSQGICNRPGASFPVYALPSRQQPNDPDFRYPNYVKSDPWVTPNHHTGYISVLCIIGKPIIRGDPLKIDETCQRSVLTVPPYQRLSEKICMTTFPLQTLDDWELEELERGKMVEQIEERCKEQYEPYKALNFLMWSIGSSTTDHGEFMFTDAEARIDEDSLEKGDEDRALLLVDIFDLTKGQKAKLWAEAGQQRLARRREQIVETTFHHVMDCAQRFRRGDASRYYIFDLYHHLRYQRRVSLASLEIIASIYDSMKEQCNSNYSFVCKQLSDLTMLSIHEFMDLSVASGEALHRWKAHMIDASQNFIKMYPKLIDFHFAPTLLRRHRSWKDGKSLLLGDGLWPKLFGYFLDDKPAIAASAGLLPKIYDPHVLVLLPLVPGMWVLLVHHMNDLVKKEDQLGAENPLYMGLHGLLWNRRPPQPFCRLRRCTIYFSRCLRLFRLLLRFLFFLLFLLLTSVAYIRFATKELGLLHLLRDTIPLM
+>sp|Q7Z5Q1|CPEB2_HUMAN Cytoplasmic polyadenylation element-binding protein 2 OS=Homo sapiens OX=9606 GN=CPEB2 PE=2 SV=3
+MPPPSPDSENGFYPGLPSSMNPAFFPSFSPVSPHGCTGLSVPTSGGGGGGFGGPFSATAVPPPPPPAMNIPQQQPPPPAAPQQPQSRRSPVSPQLQQQHQAAAAAFLQQRNSYNHHQPLLKQSPWSNHQSSGWGTGSMSWGAMHGRDHRRTGNMGIPGTMNQISPLKKPFSGNVIAPPKFTRSTPSLTPKSWIEDNVFRTDNNSNTLLPLQVRSSLQLPAWGSDSLQDSWCTAAGTSRIDQDRSRMYDSLNMHSLENSLIDIMRAEHDPLKGRLSYPHPGTDNLLMLNGRSSLFPIDDGLLDDGHSDQVGVLNSPTCYSAHQNGERIERFSRKVFVGGLPPDIDEDEITASFRRFGPLVVDWPHKAESKSYFPPKGYAFLLFQEESSVQALIDACIEEDGKLYLCVSSPTIKDKPVQIRPWNLSDSDFVMDGSQPLDPRKTIFVGGVPRPLRAVELAMIMDRLYGGVCYAGIDTDPELKYPKGAGRVAFSNQQSYIAAISARFVQLQHGDIDKRVEVKPYVLDDQMCDECQGARCGGKFAPFFCANVTCLQYYCEFCWANIHSRAGREFHKPLVKEGADRPRQIHFRWN
+>DECOY_sp|Q7Z5Q1|CPEB2_HUMAN Cytoplasmic polyadenylation element-binding protein 2 OS=Homo sapiens OX=9606 GN=CPEB2 PE=2 SV=3
+NWRFHIQRPRDAGEKVLPKHFERGARSHINAWCFECYYQLCTVNACFFPAFKGGCRAGQCEDCMQDDLVYPKVEVRKDIDGHQLQVFRASIAAIYSQQNSFAVRGAGKPYKLEPDTDIGAYCVGGYLRDMIMALEVARLPRPVGGVFITKRPDLPQSGDMVFDSDSLNWPRIQVPKDKITPSSVCLYLKGDEEICADILAQVSSEEQFLLFAYGKPPFYSKSEAKHPWDVVLPGFRRFSATIEDEDIDPPLGGVFVKRSFREIREGNQHASYCTPSNLVGVQDSHGDDLLGDDIPFLSSRGNLMLLNDTGPHPYSLRGKLPDHEARMIDILSNELSHMNLSDYMRSRDQDIRSTGAATCWSDQLSDSGWAPLQLSSRVQLPLLTNSNNDTRFVNDEIWSKPTLSPTSRTFKPPAIVNGSFPKKLPSIQNMTGPIGMNGTRRHDRGHMAGWSMSGTGWGSSQHNSWPSQKLLPQHHNYSNRQQLFAAAAAQHQQQLQPSVPSRRSQPQQPAAPPPPQQQPINMAPPPPPPVATASFPGGFGGGGGGSTPVSLGTCGHPSVPSFSPFFAPNMSSPLGPYFGNESDPSPPPM
+>sp|Q7Z7G2|CPLX4_HUMAN Complexin-4 OS=Homo sapiens OX=9606 GN=CPLX4 PE=2 SV=1
+MAFLMKSMISNQVKNLGFGGGSEENKEEGGASDPAAAQGMTREEYEEYQKQMIEEKMERDAAFTQKKAERACLRVHLREKYRLPKSEMDENQIQMAGDDVDLPEDLRKMVDEDQEEEEDKDSILGQIQNLQNMDLDTIKEKAQATFTEIKQTAEQKCSVM
+>DECOY_sp|Q7Z7G2|CPLX4_HUMAN Complexin-4 OS=Homo sapiens OX=9606 GN=CPLX4 PE=2 SV=1
+MVSCKQEATQKIETFTAQAKEKITDLDMNQLNQIQGLISDKDEEEEQDEDVMKRLDEPLDVDDGAMQIQNEDMESKPLRYKERLHVRLCAREAKKQTFAADREMKEEIMQKQYEEYEERTMGQAAAPDSAGGEEKNEESGGGFGLNKVQNSIMSKMLFAM
+>sp|Q86YQ8|CPNE8_HUMAN Copine-8 OS=Homo sapiens OX=9606 GN=CPNE8 PE=1 SV=2
+MDSRYNSTAGIGDLNQLSAAIPATRVEVSVSCRNLLDRDTFSKSDPICVLYVQGVGNKEWREFGRTEVIDNTLNPDFVRKFILDYFFEERENLRFDLYDVDSKSPNLSKHDFLGQVFCTLGEIVGSQGSRLEKPIVGIPGKKCGTIILTAEELNCCRDAVLMQFCANKLDKKDFFGKSDPFLVFYRSNEDGSFTICHKTEVVKNTLNPVWQAFKISVRALCNGDYDRTIKVEVYDWDRDGSHDFIGEFTTSYRELSRGQSQFNVYEVVNPKKKGKKKKYTNSGTVTLLSFLVETEVSFLDYIKGGTQINFTVAIDFTASNGNPAQPTSLHYMNPYQLNAYGMALKAVGEIVQDYDSDKMFPALGFGAKLPPDGRISHEFALNGNPQNPYCDGIEGVMEAYYRSLKSVQLYGPTNFAPVINHVARYASSVKDGSQYFVLLIVTDGVISDMAQTKESIVNASKLPMSIIIVGVGPAEFDAMVELDGDDVRVSSRGKYAERDIVQFVPFRDYIDRSGNHILSMARLAKDVLAEIPEQFLSYMRARGIKPSPAPPPYTPPTHVLQTQI
+>DECOY_sp|Q86YQ8|CPNE8_HUMAN Copine-8 OS=Homo sapiens OX=9606 GN=CPNE8 PE=1 SV=2
+IQTQLVHTPPTYPPPAPSPKIGRARMYSLFQEPIEALVDKALRAMSLIHNGSRDIYDRFPVFQVIDREAYKGRSSVRVDDGDLEVMADFEAPGVGVIIISMPLKSANVISEKTQAMDSIVGDTVILLVFYQSGDKVSSAYRAVHNIVPAFNTPGYLQVSKLSRYYAEMVGEIGDCYPNQPNGNLAFEHSIRGDPPLKAGFGLAPFMKDSDYDQVIEGVAKLAMGYANLQYPNMYHLSTPQAPNGNSATFDIAVTFNIQTGGKIYDLFSVETEVLFSLLTVTGSNTYKKKKGKKKPNVVEYVNFQSQGRSLERYSTTFEGIFDHSGDRDWDYVEVKITRDYDGNCLARVSIKFAQWVPNLTNKVVETKHCITFSGDENSRYFVLFPDSKGFFDKKDLKNACFQMLVADRCCNLEEATLIITGCKKGPIGVIPKELRSGQSGVIEGLTCFVQGLFDHKSLNPSKSDVDYLDFRLNEREEFFYDLIFKRVFDPNLTNDIVETRGFERWEKNGVGQVYLVCIPDSKSFTDRDLLNRCSVSVEVRTAPIAASLQNLDGIGATSNYRSDM
+>sp|A6NMK7|CPS4L_HUMAN Putative cleavage and polyadenylation specificity factor subunit 4-like protein OS=Homo sapiens OX=9606 GN=CPSF4L PE=2 SV=3
+MQEVIAGLERFTFAFEKDVEMQKGTGLLPFQGMDKSASAVCNFFTKGLCEKGKLCPFRHDRGEKMVVCKHWLRGLCKKGDHCKFLHQYDLTRMPECYFYSKFGDCSNKECSFLHVKPAFKSQDCPWYDQGFCKDGPLCKYRHVPRIMCLNYLVGFCPEGPKCQFAQKIREFKLLPGSKI
+>DECOY_sp|A6NMK7|CPS4L_HUMAN Putative cleavage and polyadenylation specificity factor subunit 4-like protein OS=Homo sapiens OX=9606 GN=CPSF4L PE=2 SV=3
+IKSGPLLKFERIKQAFQCKPGEPCFGVLYNLCMIRPVHRYKCLPGDKCFGQDYWPCDQSKFAPKVHLFSCEKNSCDGFKSYFYCEPMRTLDYQHLFKCHDGKKCLGRLWHKCVVMKEGRDHRFPCLKGKECLGKTFFNCVASASKDMGQFPLLGTGKQMEVDKEFAFTFRELGAIVEQM
+>sp|P31327|CPSM_HUMAN Carbamoyl-phosphate synthase [ammonia], mitochondrial OS=Homo sapiens OX=9606 GN=CPS1 PE=1 SV=2
+MTRILTAFKVVRTLKTGFGFTNVTAHQKWKFSRPGIRLLSVKAQTAHIVLEDGTKMKGYSFGHPSSVAGEVVFNTGLGGYPEAITDPAYKGQILTMANPIIGNGGAPDTTALDELGLSKYLESNGIKVSGLLVLDYSKDYNHWLATKSLGQWLQEEKVPAIYGVDTRMLTKIIRDKGTMLGKIEFEGQPVDFVDPNKQNLIAEVSTKDVKVYGKGNPTKVVAVDCGIKNNVIRLLVKRGAEVHLVPWNHDFTKMEYDGILIAGGPGNPALAEPLIQNVRKILESDRKEPLFGISTGNLITGLAAGAKTYKMSMANRGQNQPVLNITNKQAFITAQNHGYALDNTLPAGWKPLFVNVNDQTNEGIMHESKPFFAVQFHPEVTPGPIDTEYLFDSFFSLIKKGKATTITSVLPKPALVASRVEVSKVLILGSGGLSIGQAGEFDYSGSQAVKAMKEENVKTVLMNPNIASVQTNEVGLKQADTVYFLPITPQFVTEVIKAEQPDGLILGMGGQTALNCGVELFKRGVLKEYGVKVLGTSVESIMATEDRQLFSDKLNEINEKIAPSFAVESIEDALKAADTIGYPVMIRSAYALGGLGSGICPNRETLMDLSTKAFAMTNQILVEKSVTGWKEIEYEVVRDADDNCVTVCNMENVDAMGVHTGDSVVVAPAQTLSNAEFQMLRRTSINVVRHLGIVGECNIQFALHPTSMEYCIIEVNARLSRSSALASKATGYPLAFIAAKIALGIPLPEIKNVVSGKTSACFEPSLDYMVTKIPRWDLDRFHGTSSRIGSSMKSVGEVMAIGRTFEESFQKALRMCHPSIEGFTPRLPMNKEWPSNLDLRKELSEPSSTRIYAIAKAIDDNMSLDEIEKLTYIDKWFLYKMRDILNMEKTLKGLNSESMTEETLKRAKEIGFSDKQISKCLGLTEAQTRELRLKKNIHPWVKQIDTLAAEYPSVTNYLYVTYNGQEHDVNFDDHGMMVLGCGPYHIGSSVEFDWCAVSSIRTLRQLGKKTVVVNCNPETVSTDFDECDKLYFEELSLERILDIYHQEACGGCIISVGGQIPNNLAVPLYKNGVKIMGTSPLQIDRAEDRSIFSAVLDELKVAQAPWKAVNTLNEALEFAKSVDYPCLLRPSYVLSGSAMNVVFSEDEMKKFLEEATRVSQEHPVVLTKFVEGAREVEMDAVGKDGRVISHAISEHVEDAGVHSGDATLMLPTQTISQGAIEKVKDATRKIAKAFAISGPFNVQFLVKGNDVLVIECNLRASRSFPFVSKTLGVDFIDVATKVMIGENVDEKHLPTLDHPIIPADYVAIKAPMFSWPRLRDADPILRCEMASTGEVACFGEGIHTAFLKAMLSTGFKIPQKGILIGIQQSFRPRFLGVAEQLHNEGFKLFATEATSDWLNANNVPATPVAWPSQEGQNPSLSSIRKLIRDGSIDLVINLPNNNTKFVHDNYVIRRTAVDSGIPLLTNFQVTKLFAEAVQKSRKVDSKSLFHYRQYSAGKAA
+>DECOY_sp|P31327|CPSM_HUMAN Carbamoyl-phosphate synthase [ammonia], mitochondrial OS=Homo sapiens OX=9606 GN=CPS1 PE=1 SV=2
+AAKGASYQRYHFLSKSDVKRSKQVAEAFLKTVQFNTLLPIGSDVATRRIVYNDHVFKTNNNPLNIVLDISGDRILKRISSLSPNQGEQSPWAVPTAPVNNANLWDSTAETAFLKFGENHLQEAVGLFRPRFSQQIGILIGKQPIKFGTSLMAKLFATHIGEGFCAVEGTSAMECRLIPDADRLRPWSFMPAKIAVYDAPIIPHDLTPLHKEDVNEGIMVKTAVDIFDVGLTKSVFPFSRSARLNCEIVLVDNGKVLFQVNFPGSIAFAKAIKRTADKVKEIAGQSITQTPLMLTADGSHVGADEVHESIAHSIVRGDKGVADMEVERAGEVFKTLVVPHEQSVRTAEELFKKMEDESFVVNMASGSLVYSPRLLCPYDVSKAFELAENLTNVAKWPAQAVKLEDLVASFISRDEARDIQLPSTGMIKVGNKYLPVALNNPIQGGVSIICGGCAEQHYIDLIRELSLEEFYLKDCEDFDTSVTEPNCNVVVTKKGLQRLTRISSVACWDFEVSSGIHYPGCGLVMMGHDDFNVDHEQGNYTVYLYNTVSPYEAALTDIQKVWPHINKKLRLERTQAETLGLCKSIQKDSFGIEKARKLTEETMSESNLGKLTKEMNLIDRMKYLFWKDIYTLKEIEDLSMNDDIAKAIAYIRTSSPESLEKRLDLNSPWEKNMPLRPTFGEISPHCMRLAKQFSEEFTRGIAMVEGVSKMSSGIRSSTGHFRDLDWRPIKTVMYDLSPEFCASTKGSVVNKIEPLPIGLAIKAAIFALPYGTAKSALASSRSLRANVEIICYEMSTPHLAFQINCEGVIGLHRVVNISTRRLMQFEANSLTQAPAVVVSDGTHVGMADVNEMNCVTVCNDDADRVVEYEIEKWGTVSKEVLIQNTMAFAKTSLDMLTERNPCIGSGLGGLAYASRIMVPYGITDAAKLADEISEVAFSPAIKENIENLKDSFLQRDETAMISEVSTGLVKVGYEKLVGRKFLEVGCNLATQGGMGLILGDPQEAKIVETVFQPTIPLFYVTDAQKLGVENTQVSAINPNMLVTKVNEEKMAKVAQSGSYDFEGAQGISLGGSGLILVKSVEVRSAVLAPKPLVSTITTAKGKKILSFFSDFLYETDIPGPTVEPHFQVAFFPKSEHMIGENTQDNVNVFLPKWGAPLTNDLAYGHNQATIFAQKNTINLVPQNQGRNAMSMKYTKAGAALGTILNGTSIGFLPEKRDSELIKRVNQILPEALAPNGPGGAILIGDYEMKTFDHNWPVLHVEAGRKVLLRIVNNKIGCDVAVVKTPNGKGYVKVDKTSVEAILNQKNPDVFDVPQGEFEIKGLMTGKDRIIKTLMRTDVGYIAPVKEEQLWQGLSKTALWHNYDKSYDLVLLGSVKIGNSELYKSLGLEDLATTDPAGGNGIIPNAMTLIQGKYAPDTIAEPYGGLGTNFVVEGAVSSPHGFSYGKMKTGDELVIHATQAKVSLLRIGPRSFKWKQHATVNTFGFGTKLTRVVKFATLIRTM
+>sp|P23786|CPT2_HUMAN Carnitine O-palmitoyltransferase 2, mitochondrial OS=Homo sapiens OX=9606 GN=CPT2 PE=1 SV=2
+MVPRLLLRAWPRGPAVGPGAPSRPLSAGSGPGQYLQRSIVPTMHYQDSLPRLPIPKLEDTIRRYLSAQKPLLNDGQFRKTEQFCKSFENGIGKELHEQLVALDKQNKHTSYISGPWFDMYLSARDSVVLNFNPFMAFNPDPKSEYNDQLTRATNMTVSAIRFLKTLRAGLLEPEVFHLNPAKSDTITFKRLIRFVPSSLSWYGAYLVNAYPLDMSQYFRLFNSTRLPKPSRDELFTDDKARHLLVLRKGNFYIFDVLDQDGNIVSPSEIQAHLKYILSDSSPAPEFPLAYLTSENRDIWAELRQKLMSSGNEESLRKVDSAVFCLCLDDFPIKDLVHLSHNMLHGDGTNRWFDKSFNLIIAKDGSTAVHFEHSWGDGVAVLRFFNEVFKDSTQTPAVTPQSQPATTDSTVTVQKLNFELTDALKTGITAAKEKFDATMKTLTIDCVQFQRGGKEFLKKQKLSPDAVAQLAFQMAFLRQYGQTVATYESCSTAAFKHGRTETIRPASVYTKRCSEAFVREPSRHSAGELQQMMVECSKYHGQLTKEAAMGQGFDRHLFALRHLAAAKGIILPELYLDPAYGQINHNVLSTSTLSSPAVNLGGFAPVVSDGFGVGYAVHDNWIGCNVSSYPGRNAREFLQCVEKALEDMFDALEGKSIKS
+>DECOY_sp|P23786|CPT2_HUMAN Carnitine O-palmitoyltransferase 2, mitochondrial OS=Homo sapiens OX=9606 GN=CPT2 PE=1 SV=2
+SKISKGELADFMDELAKEVCQLFERANRGPYSSVNCGIWNDHVAYGVGFGDSVVPAFGGLNVAPSSLTSTSLVNHNIQGYAPDLYLEPLIIGKAAALHRLAFLHRDFGQGMAAEKTLQGHYKSCEVMMQQLEGASHRSPERVFAESCRKTYVSAPRITETRGHKFAATSCSEYTAVTQGYQRLFAMQFALQAVADPSLKQKKLFEKGGRQFQVCDITLTKMTADFKEKAATIGTKLADTLEFNLKQVTVTSDTTAPQSQPTVAPTQTSDKFVENFFRLVAVGDGWSHEFHVATSGDKAIILNFSKDFWRNTGDGHLMNHSLHVLDKIPFDDLCLCFVASDVKRLSEENGSSMLKQRLEAWIDRNESTLYALPFEPAPSSDSLIYKLHAQIESPSVINGDQDLVDFIYFNGKRLVLLHRAKDDTFLEDRSPKPLRTSNFLRFYQSMDLPYANVLYAGYWSLSSPVFRILRKFTITDSKAPNLHFVEPELLGARLTKLFRIASVTMNTARTLQDNYESKPDPNFAMFPNFNLVVSDRASLYMDFWPGSIYSTHKNQKDLAVLQEHLEKGIGNEFSKCFQETKRFQGDNLLPKQASLYRRITDELKPIPLRPLSDQYHMTPVISRQLYQGPGSGASLPRSPAGPGVAPGRPWARLLLRPVM
+>sp|Q9H3G5|CPVL_HUMAN Probable serine carboxypeptidase CPVL OS=Homo sapiens OX=9606 GN=CPVL PE=1 SV=2
+MVGAMWKVIVSLVLLMPGPCDGLFRSLYRSVSMPPKGDSGQPLFLTPYIEAGKIQKGRELSLVGPFPGLNMKSYAGFLTVNKTYNSNLFFWFFPAQIQPEDAPVVLWLQGGPGGSSMFGLFVEHGPYVVTSNMTLRDRDFPWTTTLSMLYIDNPVGTGFSFTDDTHGYAVNEDDVARDLYSALIQFFQIFPEYKNNDFYVTGESYAGKYVPAIAHLIHSLNPVREVKINLNGIAIGDGYSDPESIIGGYAEFLYQIGLLDEKQKKYFQKQCHECIEHIRKQNWFEAFEILDKLLDGDLTSDPSYFQNVTGCSNYYNFLRCTEPEDQLYYVKFLSLPEVRQAIHVGNQTFNDGTIVEKYLREDTVQSVKPWLTEIMNNYKVLIYNGQLDIIVAAALTERSLMGMDWKGSQEYKKAEKKVWKIFKSDSEVAGYIRQAGDFHQVIIRGGGHILPYDQPLRAFDMINRFIYGKGWDPYVG
+>DECOY_sp|Q9H3G5|CPVL_HUMAN Probable serine carboxypeptidase CPVL OS=Homo sapiens OX=9606 GN=CPVL PE=1 SV=2
+GVYPDWGKGYIFRNIMDFARLPQDYPLIHGGGRIIVQHFDGAQRIYGAVESDSKFIKWVKKEAKKYEQSGKWDMGMLSRETLAAAVIIDLQGNYILVKYNNMIETLWPKVSQVTDERLYKEVITGDNFTQNGVHIAQRVEPLSLFKVYYLQDEPETCRLFNYYNSCGTVNQFYSPDSTLDGDLLKDLIEFAEFWNQKRIHEICEHCQKQFYKKQKEDLLGIQYLFEAYGGIISEPDSYGDGIAIGNLNIKVERVPNLSHILHAIAPVYKGAYSEGTVYFDNNKYEPFIQFFQILASYLDRAVDDENVAYGHTDDTFSFGTGVPNDIYLMSLTTTWPFDRDRLTMNSTVVYPGHEVFLGFMSSGGPGGQLWLVVPADEPQIQAPFFWFFLNSNYTKNVTLFGAYSKMNLGPFPGVLSLERGKQIKGAEIYPTLFLPQGSDGKPPMSVSRYLSRFLGDCPGPMLLVLSVIVKWMAGVM
+>sp|Q8N123|CPXCR_HUMAN CPX chromosomal region candidate gene 1 protein OS=Homo sapiens OX=9606 GN=CPXCR1 PE=2 SV=2
+MSYPTKEGSDTAGNAHKNSENEPPNDCSTDIESPSADPNMIYQVETNPINREPGTATSQEDVVPQAAENSELETEIQKDQREEDLKEELLLLQTPIPRKLVSHKPLNDRSRSHSGKVEMKANNFPINHKTRFRLSTSWRVPFINSHEIRSMILHLLCDRYFSQAAGCQNTMWVKRKYIACLYHPNSFTHHERAITFRRPSRVHYYRPLTERMTSGKFCKSTDTKGKCRFRAIVRSVLFVSQIQIESIFNIKGFVDILTYIHTMNVMITNTNNGWKYFCPICGRLFNTYSELRQHSCSSSGN
+>DECOY_sp|Q8N123|CPXCR_HUMAN CPX chromosomal region candidate gene 1 protein OS=Homo sapiens OX=9606 GN=CPXCR1 PE=2 SV=2
+NGSSSCSHQRLESYTNFLRGCIPCFYKWGNNTNTIMVNMTHIYTLIDVFGKINFISEIQIQSVFLVSRVIARFRCKGKTDTSKCFKGSTMRETLPRYYHVRSPRRFTIAREHHTFSNPHYLCAIYKRKVWMTNQCGAAQSFYRDCLLHLIMSRIEHSNIFPVRWSTSLRFRTKHNIPFNNAKMEVKGSHSRSRDNLPKHSVLKRPIPTQLLLLEEKLDEERQDKQIETELESNEAAQPVVDEQSTATGPERNIPNTEVQYIMNPDASPSEIDTSCDNPPENESNKHANGATDSGEKTPYSM
+>sp|Q8N3J3|CQ053_HUMAN Uncharacterized protein C17orf53 OS=Homo sapiens OX=9606 GN=C17orf53 PE=1 SV=1
+MACSLQKLFAVEEEFEDEDFLSAVEDAENRFTGSLPVNAGRLRPVSSRPQETVQAQSSRLLLLHPTAPSEALGLPDLDLCLPASSTPSADSRPSCIGAAPLRPVSTSSSWIGNQRRVTVTEVLRETARPQSSALHPLLTFESQQQQVGGFEGPEQDEFDKVLASMELEEPGMELECGVSSEAIPILPAQQREGSVLAKKARVVDLSGSCQKGPVPAIHKAGIMSAQDESLDPVIQCRTPRPPLRPGAVGHLPVPTALTVPTQQLHWEVCPQRSPVQALQPLQAARGTIQSSPQNRFPCQPFQSPSSWLSGKAHLPRPRTPNSSCSTPSRTSSGLFPRIPLQPQAPVSSIGSPVGTPKGPQGALQTPIVTNHLVQLVTAASRTPQQPTHPSTRAKTRRFPGPAGILPHQQSGRSLEDIMVSAPQTPTHGALAKFQTEIVASSQASVEEDFGRGPWLTMKSTLGLDERDPSCFLCTYSIVMVLRKQAALKQLPRNKVPNMAVMIKSLTRSTMDASVVFKDPTGEMQGTVHRLLLETCQNELKPGSVLLLKQIGVFSPSLRNHYLNVTPNNLVHIYSPDSGDGSFLKPSQPFPKDSGSFQHDVAAKPEEGFRTAQNLEAEASPEEELPEADDLDGLLSELPEDFFCGTSS
+>DECOY_sp|Q8N3J3|CQ053_HUMAN Uncharacterized protein C17orf53 OS=Homo sapiens OX=9606 GN=C17orf53 PE=1 SV=1
+SSTGCFFDEPLESLLGDLDDAEPLEEEPSAEAELNQATRFGEEPKAAVDHQFSGSDKPFPQSPKLFSGDGSDPSYIHVLNNPTVNLYHNRLSPSFVGIQKLLLVSGPKLENQCTELLLRHVTGQMEGTPDKFVVSADMTSRTLSKIMVAMNPVKNRPLQKLAAQKRLVMVISYTCLFCSPDREDLGLTSKMTLWPGRGFDEEVSAQSSAVIETQFKALAGHTPTQPASVMIDELSRGSQQHPLIGAPGPFRRTKARTSPHTPQQPTRSAATVLQVLHNTVIPTQLAGQPGKPTGVPSGISSVPAQPQLPIRPFLGSSTRSPTSCSSNPTRPRPLHAKGSLWSSPSQFPQCPFRNQPSSQITGRAAQLPQLAQVPSRQPCVEWHLQQTPVTLATPVPLHGVAGPRLPPRPTRCQIVPDLSEDQASMIGAKHIAPVPGKQCSGSLDVVRAKKALVSGERQQAPLIPIAESSVGCELEMGPEELEMSALVKDFEDQEPGEFGGVQQQQSEFTLLPHLASSQPRATERLVETVTVRRQNGIWSSSTSVPRLPAAGICSPRSDASPTSSAPLCLDLDPLGLAESPATPHLLLLRSSQAQVTEQPRSSVPRLRGANVPLSGTFRNEADEVASLFDEDEFEEEVAFLKQLSCAM
+>sp|Q8N4C9|CQ078_HUMAN Uncharacterized protein C17orf78 OS=Homo sapiens OX=9606 GN=C17orf78 PE=2 SV=2
+MDTILVFSLIIASYDANKKDLRDSSCRLEQLPGIFPKDVRSIRELQMQETHTETKRTTFIQNRTIATLQCLGSDSKVKVNLVYLERRPKVKHILKNLRIIAAPRRNSSASSSCHLIPTSKFQTGSLLKGKAFLPGISQCKVLGASSETFPTTAPSITPGNKEGEKTTSTDTDENLEKRQKWSIVVKILIAVTLLLSGVAIIVFVIFEVPCPYQCLGARKLCQCQWLWRWQKKGGQPPGTAESKPDSQPQKVGQDAANSSNPKKAAEITVIHQTYF
+>DECOY_sp|Q8N4C9|CQ078_HUMAN Uncharacterized protein C17orf78 OS=Homo sapiens OX=9606 GN=C17orf78 PE=2 SV=2
+FYTQHIVTIEAAKKPNSSNAADQGVKQPQSDPKSEATGPPQGGKKQWRWLWQCQCLKRAGLCQYPCPVEFIVFVIIAVGSLLLTVAILIKVVISWKQRKELNEDTDTSTTKEGEKNGPTISPATTPFTESSAGLVKCQSIGPLFAKGKLLSGTQFKSTPILHCSSSASSNRRPAAIIRLNKLIHKVKPRRELYVLNVKVKSDSGLCQLTAITRNQIFTTRKTETHTEQMQLERISRVDKPFIGPLQELRCSSDRLDKKNADYSAIILSFVLITDM
+>sp|Q96MF6|CQ10A_HUMAN Coenzyme Q-binding protein COQ10 homolog A, mitochondrial OS=Homo sapiens OX=9606 GN=COQ10A PE=2 SV=2
+MAWAGSRRVPAGTRAAAERCCRLSLSPGAQPAPPPGPLPPPRPMRFLTSCSLLLPRAAQILAAEAGLPSSRSFMGFAAPFTNKRKAYSERRIMGYSMQEMYEVVSNVQEYREFVPWCKKSLVVSSRKGHLKAQLEVGFPPVMERYTSAVSMVKPHMVKAVCTDGKLFNHLETIWRFSPGIPAYPRTCTVDFSISFEFRSLLHSQLATMFFDEVVKQNVAAFERRAATKFGPETAIPRELMFHEVHQT
+>DECOY_sp|Q96MF6|CQ10A_HUMAN Coenzyme Q-binding protein COQ10 homolog A, mitochondrial OS=Homo sapiens OX=9606 GN=COQ10A PE=2 SV=2
+TQHVEHFMLERPIATEPGFKTAARREFAAVNQKVVEDFFMTALQSHLLSRFEFSISFDVTCTRPYAPIGPSFRWITELHNFLKGDTCVAKVMHPKVMSVASTYREMVPPFGVELQAKLHGKRSSVVLSKKCWPVFERYEQVNSVVEYMEQMSYGMIRRESYAKRKNTFPAAFGMFSRSSPLGAEAALIQAARPLLLSCSTLFRMPRPPPLPGPPPAPQAGPSLSLRCCREAAARTGAPVRRSGAWAM
+>sp|Q9BZP3|CR002_HUMAN Putative uncharacterized protein encoded by LINC00470 OS=Homo sapiens OX=9606 GN=LINC00470 PE=5 SV=1
+MVRHPYSVQTQLSTEAKAIWRSMQQQETNLLANLTTNDARDNSKDFQNSKVGAAATSRDEGCNCPIIGEIVISCYWLFEIPPLISE
+>DECOY_sp|Q9BZP3|CR002_HUMAN Putative uncharacterized protein encoded by LINC00470 OS=Homo sapiens OX=9606 GN=LINC00470 PE=5 SV=1
+ESILPPIEFLWYCSIVIEGIIPCNCGEDRSTAAAGVKSNQFDKSNDRADNTTLNALLNTEQQQMSRWIAKAETSLQTQVSYPHRVM
+>sp|Q96FQ7|CR018_HUMAN Putative uncharacterized protein encoded by LINC00526 OS=Homo sapiens OX=9606 GN=LINC00526 PE=5 SV=1
+MSHSYKKAISDEALRPFQMDYFGGLPPGQYATRMTGQVHGSGCHLRSAPCDLGASQRKLSSNFSEIDAGLFSQGKSAAYTDIGATKPVEQRETAS
+>DECOY_sp|Q96FQ7|CR018_HUMAN Putative uncharacterized protein encoded by LINC00526 OS=Homo sapiens OX=9606 GN=LINC00526 PE=5 SV=1
+SATERQEVPKTAGIDTYAASKGQSFLGADIESFNSSLKRQSAGLDCPASRLHCGSGHVQGTMRTAYQGPPLGGFYDMQFPRLAEDSIAKKYSHSM
+>sp|Q68DL7|CR063_HUMAN Uncharacterized protein C18orf63 OS=Homo sapiens OX=9606 GN=C18orf63 PE=2 SV=2
+MNDSRQQSLFFITLPDLNKLCAVRIILSNKVADTEIRTIQMKMCRQLLFLHQDILTSPVSGILNQIWVVMAIPFYKARKLNAYVEKYGAKMEAPQRVIPVILQNCLSYSFMARLAPAWNRTGHLLIQGRDFLSQMGKQSAVVLNINVTETQVCLSIEACTIRLPAPELKEFEISQSIIKDFHANKHAVIERHSILSNWCYVLPSMKMGQIINIFHAIPAACPFHSYGDFQRHWDALYGYKLPGDCGKIKIYCNIYFKMLGERTFTYPLSCIRSQPMQFFPRVDSEVVLKSFLSDLKSKLPHICGFPIKMTSKPCYYTQELTKPNIQEHKVKPPNLTTKKMLRASLTQATSRKPACAQSLLPCSVAVDHKVELSVSQPTSGIFSALHLQPESVQGRKKSLSIRAPQVHSEVLMPNRGNTQVQHTNLSSQSNITPKFVPVFKNRLLQMNKNTSVLGSPKRKQHDVTQSKLFSLKTSMIQHDKLNLGPAIKNRYSSNIQMQAANNLNQENSRPLQEKNTESSENMTKFPSSRGKSTVSLNKNKQLSNSAVFVVSNNNLGVVKSAVDFQMKGKENLTGKGITQILGKSHGSLKLKRQPHIFESDGETEDPRLLQQQSENQAKEVGTSDHRLIVSKIAHRSKRKLCPESSKTSKKHHSDTVHYGQSSSSKKQILDSDKSKLKKSLIIHNA
+>DECOY_sp|Q68DL7|CR063_HUMAN Uncharacterized protein C18orf63 OS=Homo sapiens OX=9606 GN=C18orf63 PE=2 SV=2
+ANHIILSKKLKSKDSDLIQKKSSSSQGYHVTDSHHKKSTKSSEPCLKRKSRHAIKSVILRHDSTGVEKAQNESQQQLLRPDETEGDSEFIHPQRKLKLSGHSKGLIQTIGKGTLNEKGKMQFDVASKVVGLNNNSVVFVASNSLQKNKNLSVTSKGRSSPFKTMNESSETNKEQLPRSNEQNLNNAAQMQINSSYRNKIAPGLNLKDHQIMSTKLSFLKSQTVDHQKRKPSGLVSTNKNMQLLRNKFVPVFKPTINSQSSLNTHQVQTNGRNPMLVESHVQPARISLSKKRGQVSEPQLHLASFIGSTPQSVSLEVKHDVAVSCPLLSQACAPKRSTAQTLSARLMKKTTLNPPKVKHEQINPKTLEQTYYCPKSTMKIPFGCIHPLKSKLDSLFSKLVVESDVRPFFQMPQSRICSLPYTFTREGLMKFYINCYIKIKGCDGPLKYGYLADWHRQFDGYSHFPCAAPIAHFINIIQGMKMSPLVYCWNSLISHREIVAHKNAHFDKIISQSIEFEKLEPAPLRITCAEISLCVQTETVNINLVVASQKGMQSLFDRGQILLHGTRNWAPALRAMFSYSLCNQLIVPIVRQPAEMKAGYKEVYANLKRAKYFPIAMVVWIQNLIGSVPSTLIDQHLFLLQRCMKMQITRIETDAVKNSLIIRVACLKNLDPLTIFFLSQQRSDNM
+>sp|P17927|CR1_HUMAN Complement receptor type 1 OS=Homo sapiens OX=9606 GN=CR1 PE=1 SV=3
+MGASSPRSPEPVGPPAPGLPFCCGGSLLAVVVLLALPVAWGQCNAPEWLPFARPTNLTDEFEFPIGTYLNYECRPGYSGRPFSIICLKNSVWTGAKDRCRRKSCRNPPDPVNGMVHVIKGIQFGSQIKYSCTKGYRLIGSSSATCIISGDTVIWDNETPICDRIPCGLPPTITNGDFISTNRENFHYGSVVTYRCNPGSGGRKVFELVGEPSIYCTSNDDQVGIWSGPAPQCIIPNKCTPPNVENGILVSDNRSLFSLNEVVEFRCQPGFVMKGPRRVKCQALNKWEPELPSCSRVCQPPPDVLHAERTQRDKDNFSPGQEVFYSCEPGYDLRGAASMRCTPQGDWSPAAPTCEVKSCDDFMGQLLNGRVLFPVNLQLGAKVDFVCDEGFQLKGSSASYCVLAGMESLWNSSVPVCEQIFCPSPPVIPNGRHTGKPLEVFPFGKTVNYTCDPHPDRGTSFDLIGESTIRCTSDPQGNGVWSSPAPRCGILGHCQAPDHFLFAKLKTQTNASDFPIGTSLKYECRPEYYGRPFSITCLDNLVWSSPKDVCKRKSCKTPPDPVNGMVHVITDIQVGSRINYSCTTGHRLIGHSSAECILSGNAAHWSTKPPICQRIPCGLPPTIANGDFISTNRENFHYGSVVTYRCNPGSGGRKVFELVGEPSIYCTSNDDQVGIWSGPAPQCIIPNKCTPPNVENGILVSDNRSLFSLNEVVEFRCQPGFVMKGPRRVKCQALNKWEPELPSCSRVCQPPPDVLHAERTQRDKDNFSPGQEVFYSCEPGYDLRGAASMRCTPQGDWSPAAPTCEVKSCDDFMGQLLNGRVLFPVNLQLGAKVDFVCDEGFQLKGSSASYCVLAGMESLWNSSVPVCEQIFCPSPPVIPNGRHTGKPLEVFPFGKAVNYTCDPHPDRGTSFDLIGESTIRCTSDPQGNGVWSSPAPRCGILGHCQAPDHFLFAKLKTQTNASDFPIGTSLKYECRPEYYGRPFSITCLDNLVWSSPKDVCKRKSCKTPPDPVNGMVHVITDIQVGSRINYSCTTGHRLIGHSSAECILSGNTAHWSTKPPICQRIPCGLPPTIANGDFISTNRENFHYGSVVTYRCNLGSRGRKVFELVGEPSIYCTSNDDQVGIWSGPAPQCIIPNKCTPPNVENGILVSDNRSLFSLNEVVEFRCQPGFVMKGPRRVKCQALNKWEPELPSCSRVCQPPPEILHGEHTPSHQDNFSPGQEVFYSCEPGYDLRGAASLHCTPQGDWSPEAPRCAVKSCDDFLGQLPHGRVLFPLNLQLGAKVSFVCDEGFRLKGSSVSHCVLVGMRSLWNNSVPVCEHIFCPNPPAILNGRHTGTPSGDIPYGKEISYTCDPHPDRGMTFNLIGESTIRCTSDPHGNGVWSSPAPRCELSVRAGHCKTPEQFPFASPTIPINDFEFPVGTSLNYECRPGYFGKMFSISCLENLVWSSVEDNCRRKSCGPPPEPFNGMVHINTDTQFGSTVNYSCNEGFRLIGSPSTTCLVSGNNVTWDKKAPICEIISCEPPPTISNGDFYSNNRTSFHNGTVVTYQCHTGPDGEQLFELVGERSIYCTSKDDQVGVWSSPPPRCISTNKCTAPEVENAIRVPGNRSFFSLTEIIRFRCQPGFVMVGSHTVQCQTNGRWGPKLPHCSRVCQPPPEILHGEHTLSHQDNFSPGQEVFYSCEPSYDLRGAASLHCTPQGDWSPEAPRCTVKSCDDFLGQLPHGRVLLPLNLQLGAKVSFVCDEGFRLKGRSASHCVLAGMKALWNSSVPVCEQIFCPNPPAILNGRHTGTPFGDIPYGKEISYACDTHPDRGMTFNLIGESSIRCTSDPQGNGVWSSPAPRCELSVPAACPHPPKIQNGHYIGGHVSLYLPGMTISYICDPGYLLVGKGFIFCTDQGIWSQLDHYCKEVNCSFPLFMNGISKELEMKKVYHYGDYVTLKCEDGYTLEGSPWSQCQADDRWDPPLAKCTSRTHDALIVGTLSGTIFFILLIIFLSWIILKHRKGNNAHENPKEVAIHLHSQGGSSVHPRTLQTNEENSRVLP
+>DECOY_sp|P17927|CR1_HUMAN Complement receptor type 1 OS=Homo sapiens OX=9606 GN=CR1 PE=1 SV=3
+PLVRSNEENTQLTRPHVSSGGQSHLHIAVEKPNEHANNGKRHKLIIWSLFIILLIFFITGSLTGVILADHTRSTCKALPPDWRDDAQCQSWPSGELTYGDECKLTVYDGYHYVKKMELEKSIGNMFLPFSCNVEKCYHDLQSWIGQDTCFIFGKGVLLYGPDCIYSITMGPLYLSVHGGIYHGNQIKPPHPCAAPVSLECRPAPSSWVGNGQPDSTCRISSEGILNFTMGRDPHTDCAYSIEKGYPIDGFPTGTHRGNLIAPPNPCFIQECVPVSSNWLAKMGALVCHSASRGKLRFGEDCVFSVKAGLQLNLPLLVRGHPLQGLFDDCSKVTCRPAEPSWDGQPTCHLSAAGRLDYSPECSYFVEQGPSFNDQHSLTHEGHLIEPPPQCVRSCHPLKPGWRGNTQCQVTHSGVMVFGPQCRFRIIETLSFFSRNGPVRIANEVEPATCKNTSICRPPPSSWVGVQDDKSTCYISREGVLEFLQEGDPGTHCQYTVVTGNHFSTRNNSYFDGNSITPPPECSIIECIPAKKDWTVNNGSVLCTTSPSGILRFGENCSYNVTSGFQTDTNIHVMGNFPEPPPGCSKRRCNDEVSSWVLNELCSISFMKGFYGPRCEYNLSTGVPFEFDNIPITPSAFPFQEPTKCHGARVSLECRPAPSSWVGNGHPDSTCRITSEGILNFTMGRDPHPDCTYSIEKGYPIDGSPTGTHRGNLIAPPNPCFIHECVPVSNNWLSRMGVLVCHSVSSGKLRFGEDCVFSVKAGLQLNLPFLVRGHPLQGLFDDCSKVACRPAEPSWDGQPTCHLSAAGRLDYGPECSYFVEQGPSFNDQHSPTHEGHLIEPPPQCVRSCSPLEPEWKNLAQCKVRRPGKMVFGPQCRFEVVENLSFLSRNDSVLIGNEVNPPTCKNPIICQPAPGSWIGVQDDNSTCYISPEGVLEFVKRGRSGLNCRYTVVSGYHFNERNTSIFDGNAITPPLGCPIRQCIPPKTSWHATNGSLICEASSHGILRHGTTCSYNIRSGVQIDTIVHVMGNVPDPPTKCSKRKCVDKPSSWVLNDLCTISFPRGYYEPRCEYKLSTGIPFDSANTQTKLKAFLFHDPAQCHGLIGCRPAPSSWVGNGQPDSTCRITSEGILDFSTGRDPHPDCTYNVAKGFPFVELPKGTHRGNPIVPPSPCFIQECVPVSSNWLSEMGALVCYSASSGKLQFGEDCVFDVKAGLQLNVPFLVRGNLLQGMFDDCSKVECTPAAPSWDGQPTCRMSAAGRLDYGPECSYFVEQGPSFNDKDRQTREAHLVDPPPQCVRSCSPLEPEWKNLAQCKVRRPGKMVFGPQCRFEVVENLSFLSRNDSVLIGNEVNPPTCKNPIICQPAPGSWIGVQDDNSTCYISPEGVLEFVKRGGSGPNCRYTVVSGYHFNERNTSIFDGNAITPPLGCPIRQCIPPKTSWHAANGSLICEASSHGILRHGTTCSYNIRSGVQIDTIVHVMGNVPDPPTKCSKRKCVDKPSSWVLNDLCTISFPRGYYEPRCEYKLSTGIPFDSANTQTKLKAFLFHDPAQCHGLIGCRPAPSSWVGNGQPDSTCRITSEGILDFSTGRDPHPDCTYNVTKGFPFVELPKGTHRGNPIVPPSPCFIQECVPVSSNWLSEMGALVCYSASSGKLQFGEDCVFDVKAGLQLNVPFLVRGNLLQGMFDDCSKVECTPAAPSWDGQPTCRMSAAGRLDYGPECSYFVEQGPSFNDKDRQTREAHLVDPPPQCVRSCSPLEPEWKNLAQCKVRRPGKMVFGPQCRFEVVENLSFLSRNDSVLIGNEVNPPTCKNPIICQPAPGSWIGVQDDNSTCYISPEGVLEFVKRGGSGPNCRYTVVSGYHFNERNTSIFDGNTITPPLGCPIRDCIPTENDWIVTDGSIICTASSSGILRYGKTCSYKIQSGFQIGKIVHVMGNVPDPPNRCSKRRCRDKAGTWVSNKLCIISFPRGSYGPRCEYNLYTGIPFEFEDTLNTPRAFPLWEPANCQGWAVPLALLVVVALLSGGCCFPLGPAPPGVPEPSRPSSAGM
+>sp|P20023|CR2_HUMAN Complement receptor type 2 OS=Homo sapiens OX=9606 GN=CR2 PE=1 SV=2
+MGAAGLLGVFLALVAPGVLGISCGSPPPILNGRISYYSTPIAVGTVIRYSCSGTFRLIGEKSLLCITKDKVDGTWDKPAPKCEYFNKYSSCPEPIVPGGYKIRGSTPYRHGDSVTFACKTNFSMNGNKSVWCQANNMWGPTRLPTCVSVFPLECPALPMIHNGHHTSENVGSIAPGLSVTYSCESGYLLVGEKIINCLSSGKWSAVPPTCEEARCKSLGRFPNGKVKEPPILRVGVTANFFCDEGYRLQGPPSSRCVIAGQGVAWTKMPVCEEIFCPSPPPILNGRHIGNSLANVSYGSIVTYTCDPDPEEGVNFILIGESTLRCTVDSQKTGTWSGPAPRCELSTSAVQCPHPQILRGRMVSGQKDRYTYNDTVIFACMFGFTLKGSKQIRCNAQGTWEPSAPVCEKECQAPPNILNGQKEDRHMVRFDPGTSIKYSCNPGYVLVGEESIQCTSEGVWTPPVPQCKVAACEATGRQLLTKPQHQFVRPDVNSSCGEGYKLSGSVYQECQGTIPWFMEIRLCKEITCPPPPVIYNGAHTGSSLEDFPYGTTVTYTCNPGPERGVEFSLIGESTIRCTSNDQERGTWSGPAPLCKLSLLAVQCSHVHIANGYKISGKEAPYFYNDTVTFKCYSGFTLKGSSQIRCKADNTWDPEIPVCEKETCQHVRQSLQELPAGSRVELVNTSCQDGYQLTGHAYQMCQDAENGIWFKKIPLCKVIHCHPPPVIVNGKHTGMMAENFLYGNEVSYECDQGFYLLGEKKLQCRSDSKGHGSWSGPSPQCLRSPPVTRCPNPEVKHGYKLNKTHSAYSHNDIVYVDCNPGFIMNGSRVIRCHTDNTWVPGVPTCIKKAFIGCPPPPKTPNGNHTGGNIARFSPGMSILYSCDQGYLLVGEALLLCTHEGTWSQPAPHCKEVNCSSPADMDGIQKGLEPRKMYQYGAVVTLECEDGYMLEGSPQSQCQSDHQWNPPLAVCRSRSLAPVLCGIAAGLILLTFLIVITLYVISKHRARNYYTDTSQKEAFHLEAREVYSVDPYNPAS
+>DECOY_sp|P20023|CR2_HUMAN Complement receptor type 2 OS=Homo sapiens OX=9606 GN=CR2 PE=1 SV=2
+SAPNYPDVSYVERAELHFAEKQSTDTYYNRARHKSIVYLTIVILFTLLILGAAIGCLVPALSRSRCVALPPNWQHDSQCQSQPSGELMYGDECELTVVAGYQYMKRPELGKQIGDMDAPSSCNVEKCHPAPQSWTGEHTCLLLAEGVLLYGQDCSYLISMGPSFRAINGGTHNGNPTKPPPPCGIFAKKICTPVGPVWTNDTHCRIVRSGNMIFGPNCDVYVIDNHSYASHTKNLKYGHKVEPNPCRTVPPSRLCQPSPGSWSGHGKSDSRCQLKKEGLLYFGQDCEYSVENGYLFNEAMMGTHKGNVIVPPPHCHIVKCLPIKKFWIGNEADQCMQYAHGTLQYGDQCSTNVLEVRSGAPLEQLSQRVHQCTEKECVPIEPDWTNDAKCRIQSSGKLTFGSYCKFTVTDNYFYPAEKGSIKYGNAIHVHSCQVALLSLKCLPAPGSWTGREQDNSTCRITSEGILSFEVGREPGPNCTYTVTTGYPFDELSSGTHAGNYIVPPPPCTIEKCLRIEMFWPITGQCEQYVSGSLKYGEGCSSNVDPRVFQHQPKTLLQRGTAECAAVKCQPVPPTWVGESTCQISEEGVLVYGPNCSYKISTGPDFRVMHRDEKQGNLINPPAQCEKECVPASPEWTGQANCRIQKSGKLTFGFMCAFIVTDNYTYRDKQGSVMRGRLIQPHPCQVASTSLECRPAPGSWTGTKQSDVTCRLTSEGILIFNVGEEPDPDCTYTVISGYSVNALSNGIHRGNLIPPPSPCFIEECVPMKTWAVGQGAIVCRSSPPGQLRYGEDCFFNATVGVRLIPPEKVKGNPFRGLSKCRAEECTPPVASWKGSSLCNIIKEGVLLYGSECSYTVSLGPAISGVNESTHHGNHIMPLAPCELPFVSVCTPLRTPGWMNNAQCWVSKNGNMSFNTKCAFTVSDGHRYPTSGRIKYGGPVIPEPCSSYKNFYECKPAPKDWTGDVKDKTICLLSKEGILRFTGSCSYRIVTGVAIPTSYYSIRGNLIPPPSGCSIGLVGPAVLALFVGLLGAAGM
+>sp|Q9Y4K1|CRBG1_HUMAN Beta/gamma crystallin domain-containing protein 1 OS=Homo sapiens OX=9606 GN=CRYBG1 PE=1 SV=3
+MEKRSSGRRSGRRRGSQKSTDSPGADAELPESAARDDAVFDDEVAPNAASDNASAEKKVKSPRAALDGGVASAASPESKPSPGTKGQLRGESDRSKQPPPASSPTKRKGRSRALEAVPAPPASGPRAPAKESPPKRVPDPSPVTKGTAAESGEEAARAIPRELPVKSSSLLPEIKPEHKRGPLPNHFNGRAEGGRSRELGRAAGAPGASDADGLKPRNHFGVGRSTVTTKVTLPAKPKHVELNLKTPKNLDSLGNEHNPFSQPVHKGNTATKISLFENKRTNSSPRHTDIRGQRNTPASSKTFVGRAKLNLAKKAKEMEQPEKKVMPNSPQNGVLVKETAIETKVTVSEEEILPATRGMNGDSSENQALGPQPNQDDKADVQTDAGCLSEPVASALIPVKDHKLLEKEDSEAADSKSLVLENVTDTAQDIPTTVDTKDLPPTAMPKPQHTFSDSQSPAESSPGPSLSLSAPAPGDVPKDTCVQSPISSFPCTDLKVSENHKGCVLPVSRQNNEKMPLLELGGETTPPLSTERSPEAVGSECPSRVLVQVRSFVLPVESTQDVSSQVIPESSEVREVQLPTCHSNEPEVVSVASCAPPQEEVLGNEHSHCTAELAAKSGPQVIPPASEKTLPIQAQSQGSRTPLMAESSPTNSPSSGNHLATPQRPDQTVTNGQDSPASLLNISAGSDDSVFDSSSDMEKFTEIIKQMDSAVCMPMKRKKARMPNSPAPHFAMPPIHEDHLEKVFDPKVFTFGLGKKKESQPEMSPALHLMQNLDTKSKLRPKRASAEQSVLFKSLHTNTNGNSEPLVMPEINDKENRDVTNGGIKRSRLEKSALFSSLLSSLPQDKIFSPSVTSVNTMTTAFSTSQNGSLSQSSVSQPTTEGAPPCGLNKEQSNLLPDNSLKVFNFNSSSTSHSSLKSPSHMEKYPQKEKTKEDLDSRSNLHLPETKFSELSKLKNDDMEKANHIESVIKSNLPNCANSDTDFMGLFKSSRYDPSISFSGMSLSDTMTLRGSVQNKLNPRPGKVVIYSEPDVSEKCIEVFSDIQDCSSWSLSPVILIKVVRGCWILYEQPNFEGHSIPLEEGELELSGLWGIEDILERHEEAESDKPVVIGSIRHVVQDYRVSHIDLFTEPEGLGILSSYFDDTEEMQGFGVMQKTCSMKVHWGTWLIYEEPGFQGVPFILEPGEYPDLSFWDTEEAYIGSMRPLKMGGRKVEFPTDPKVVVYEKPFFEGKCVELETGMCSFVMEGGETEEATGDDHLPFTSVGSMKVLRGIWVAYEKPGFTGHQYLLEEGEYRDWKAWGGYNGELQSLRPILGDFSNAHMIMYSEKNFGSKGSSIDVLGIVANLKETGYGVKTQSINVLSGVWVAYENPDFTGEQYILDKGFYTSFEDWGGKNCKISSVQPICLDSFTGPRRRNQIHLFSEPQFQGHSQSFEETTSQIDDSFSTKSCRVSGGSWVVYDGENFTGNQYVLEEGHYPCLSAMGCPPGATFKSLRFIDVEFSEPTIILFEREDFKGKKIELNAETVNLRSLGFNTQIRSVQVIGGIWVTYEYGSYRGRQFLLSPAEVPNWYEFSGCRQIGSLRPFVQKRIYFRLRNKATGLFMSTNGNLEDLKLLRIQVMEDVGADDQIWIYQEGCIKCRIAEDCCLTIVGSLVTSGSKLGLALDQNADSQFWSLKSDGRIYSKLKPNLVLDIKGGTQYDQNHIILNTVSKEKFTQVWEAMVLYT
+>DECOY_sp|Q9Y4K1|CRBG1_HUMAN Beta/gamma crystallin domain-containing protein 1 OS=Homo sapiens OX=9606 GN=CRYBG1 PE=1 SV=3
+TYLVMAEWVQTFKEKSVTNLIIHNQDYQTGGKIDLVLNPKLKSYIRGDSKLSWFQSDANQDLALGLKSGSTVLSGVITLCCDEAIRCKICGEQYIWIQDDAGVDEMVQIRLLKLDELNGNTSMFLGTAKNRLRFYIRKQVFPRLSGIQRCGSFEYWNPVEAPSLLFQRGRYSGYEYTVWIGGIVQVSRIQTNFGLSRLNVTEANLEIKKGKFDEREFLIITPESFEVDIFRLSKFTAGPPCGMASLCPYHGEELVYQNGTFNEGDYVVWSGGSVRCSKTSFSDDIQSTTEEFSQSHGQFQPESFLHIQNRRRPGTFSDLCIPQVSSIKCNKGGWDEFSTYFGKDLIYQEGTFDPNEYAVWVGSLVNISQTKVGYGTEKLNAVIGLVDISSGKSGFNKESYMIMHANSFDGLIPRLSQLEGNYGGWAKWDRYEGEELLYQHGTFGPKEYAVWIGRLVKMSGVSTFPLHDDGTAEETEGGEMVFSCMGTELEVCKGEFFPKEYVVVKPDTPFEVKRGGMKLPRMSGIYAEETDWFSLDPYEGPELIFPVGQFGPEEYILWTGWHVKMSCTKQMVGFGQMEETDDFYSSLIGLGEPETFLDIHSVRYDQVVHRISGIVVPKDSEAEEHRELIDEIGWLGSLELEGEELPISHGEFNPQEYLIWCGRVVKILIVPSLSWSSCDQIDSFVEICKESVDPESYIVVKGPRPNLKNQVSGRLTMTDSLSMGSFSISPDYRSSKFLGMFDTDSNACNPLNSKIVSEIHNAKEMDDNKLKSLESFKTEPLHLNSRSDLDEKTKEKQPYKEMHSPSKLSSHSTSSSNFNFVKLSNDPLLNSQEKNLGCPPAGETTPQSVSSQSLSGNQSTSFATTMTNVSTVSPSFIKDQPLSSLLSSFLASKELRSRKIGGNTVDRNEKDNIEPMVLPESNGNTNTHLSKFLVSQEASARKPRLKSKTDLNQMLHLAPSMEPQSEKKKGLGFTFVKPDFVKELHDEHIPPMAFHPAPSNPMRAKKRKMPMCVASDMQKIIETFKEMDSSSDFVSDDSGASINLLSAPSDQGNTVTQDPRQPTALHNGSSPSNTPSSEAMLPTRSGQSQAQIPLTKESAPPIVQPGSKAALEATCHSHENGLVEEQPPACSAVSVVEPENSHCTPLQVERVESSEPIVQSSVDQTSEVPLVFSRVQVLVRSPCESGVAEPSRETSLPPTTEGGLELLPMKENNQRSVPLVCGKHNESVKLDTCPFSSIPSQVCTDKPVDGPAPASLSLSPGPSSEAPSQSDSFTHQPKPMATPPLDKTDVTTPIDQATDTVNELVLSKSDAAESDEKELLKHDKVPILASAVPESLCGADTQVDAKDDQNPQPGLAQNESSDGNMGRTAPLIEEESVTVKTEIATEKVLVGNQPSNPMVKKEPQEMEKAKKALNLKARGVFTKSSAPTNRQGRIDTHRPSSNTRKNEFLSIKTATNGKHVPQSFPNHENGLSDLNKPTKLNLEVHKPKAPLTVKTTVTSRGVGFHNRPKLGDADSAGPAGAARGLERSRGGEARGNFHNPLPGRKHEPKIEPLLSSSKVPLERPIARAAEEGSEAATGKTVPSPDPVRKPPSEKAPARPGSAPPAPVAELARSRGKRKTPSSAPPPQKSRDSEGRLQGKTGPSPKSEPSAASAVGGDLAARPSKVKKEASANDSAANPAVEDDFVADDRAASEPLEADAGPSDTSKQSGRRRGSRRGSSRKEM
+>sp|O95196|CSPG5_HUMAN Chondroitin sulfate proteoglycan 5 OS=Homo sapiens OX=9606 GN=CSPG5 PE=1 SV=3
+MGRAGGGGPGRGPPPLLLFLGAALVLASGAVPAREAGSAVEAEELVKGSPAWEPPANDTREEAGPPAAGEDEASWTAPGGELAGPEEVLQESAAVTGTAWLEADSPGLGGVTAEAGSGDAQALPATLQAPHEVLGQSIMPPAIPEATEASGPPSPTPGDKLSPASELPKESPLEVWLNLGGSTPDPQGPELTYPFQGTLEPQPASDIIDIDYFEGLDGEGRGADLGSFPGSPGTSENHPDTEGETPSWSLLDLYDDFTPFDESDFYPTTSFYDDLDEEEEEEEDDKDAVGGGDLEDENELLVPTGKPGLGPGTGQPTSRWHAVPPQHTLGSVPGSSIALRPRPGEPGRDLASSENGTECRSGFVRHNGSCRSVCDLFPSYCHNGGQCYLVENIGAFCRCNTQDYIWHKGMRCESIITDFQVMCVAVGSAALVLLLLFMMTVFFAKKLYLLKTENTKLRRTNKFRTPSELHNDNFSLSTIAEGSHPNVRKLCNTPRTSSPHARALAHYDNVICQDDPSAPHKIQEVLKSCLKEEESFNIQNSMSPKLEGGKGDQADLDVNCLQNNLT
+>DECOY_sp|O95196|CSPG5_HUMAN Chondroitin sulfate proteoglycan 5 OS=Homo sapiens OX=9606 GN=CSPG5 PE=1 SV=3
+TLNNQLCNVDLDAQDGKGGELKPSMSNQINFSEEEKLCSKLVEQIKHPASPDDQCIVNDYHALARAHPSSTRPTNCLKRVNPHSGEAITSLSFNDNHLESPTRFKNTRRLKTNETKLLYLKKAFFVTMMFLLLLVLAASGVAVCMVQFDTIISECRMGKHWIYDQTNCRCFAGINEVLYCQGGNHCYSPFLDCVSRCSGNHRVFGSRCETGNESSALDRGPEGPRPRLAISSGPVSGLTHQPPVAHWRSTPQGTGPGLGPKGTPVLLENEDELDGGGVADKDDEEEEEEEDLDDYFSTTPYFDSEDFPTFDDYLDLLSWSPTEGETDPHNESTGPSGPFSGLDAGRGEGDLGEFYDIDIIDSAPQPELTGQFPYTLEPGQPDPTSGGLNLWVELPSEKPLESAPSLKDGPTPSPPGSAETAEPIAPPMISQGLVEHPAQLTAPLAQADGSGAEATVGGLGPSDAELWATGTVAASEQLVEEPGALEGGPATWSAEDEGAAPPGAEERTDNAPPEWAPSGKVLEEAEVASGAERAPVAGSALVLAAGLFLLLPPPGRGPGGGGARGM
+>sp|Q9H175|CSRN2_HUMAN Cysteine/serine-rich nuclear protein 2 OS=Homo sapiens OX=9606 GN=CSRNP2 PE=1 SV=1
+MDAFTGSGLKRKFDDVDVGSSVSNSDDEISSSDSADSCDSLNPPTTASFTPTSILKRQKQLRRKNVRFDQVTVYYFARRQGFTSVPSQGGSSLGMAQRHNSVRSYTLCEFAQEQEVNHREILREHLKEEKLHAKKMKLTKNGTVESVEADGLTLDDVSDEDIDVENVEVDDYFFLQPLPTKRRRALLRASGVHRIDAEEKQELRAIRLSREECGCDCRLYCDPEACACSQAGIKCQVDRMSFPCGCSRDGCGNMAGRIEFNPIRVRTHYLHTIMKLELESKRQVSRPAAPDEEPSPTASCSLTGAQGSETQDFQEFIAENETAVMHLQSAEELERLKAEEDSSGSSASLDSSIESLGVCILEEPLAVPEELCPGLTAPILIQAQLPPGSSVLCFTENSDHPTASTVNSPSYLNSGPLVYYQVEQRPVLGVKGEPGTEEGSASFPKEKDLNVFSLPVTSLVACSSTDPAALCKSEVGKTPTLEALLPEDCNPEEPENEDFHPSWSPSSLPFRTDNEEGCGMVKTSQQNEDRPPEDSSLELPLAV
+>DECOY_sp|Q9H175|CSRN2_HUMAN Cysteine/serine-rich nuclear protein 2 OS=Homo sapiens OX=9606 GN=CSRNP2 PE=1 SV=1
+VALPLELSSDEPPRDENQQSTKVMGCGEENDTRFPLSSPSWSPHFDENEPEEPNCDEPLLAELTPTKGVESKCLAAPDTSSCAVLSTVPLSFVNLDKEKPFSASGEETGPEGKVGLVPRQEVQYYVLPGSNLYSPSNVTSATPHDSNETFCLVSSGPPLQAQILIPATLGPCLEEPVALPEELICVGLSEISSDLSASSGSSDEEAKLRELEEASQLHMVATENEAIFEQFDQTESGQAGTLSCSATPSPEEDPAAPRSVQRKSELELKMITHLYHTRVRIPNFEIRGAMNGCGDRSCGCPFSMRDVQCKIGAQSCACAEPDCYLRCDCGCEERSLRIARLEQKEEADIRHVGSARLLARRRKTPLPQLFFYDDVEVNEVDIDEDSVDDLTLGDAEVSEVTGNKTLKMKKAHLKEEKLHERLIERHNVEQEQAFECLTYSRVSNHRQAMGLSSGGQSPVSTFGQRRAFYYVTVQDFRVNKRRLQKQRKLISTPTFSATTPPNLSDCSDASDSSSIEDDSNSVSSGVDVDDFKRKLGSGTFADM
+>sp|Q8WYN3|CSRN3_HUMAN Cysteine/serine-rich nuclear protein 3 OS=Homo sapiens OX=9606 GN=CSRNP3 PE=2 SV=1
+MSGILKRKFEEVDGSSPCSSVRESDDEVSSSESADSGDSVNPSTSSHFTPSSILKREKRLRTKNVHFSCVTVYYFTRRQGFTSVPSQGGSTLGMSSRHNSVRQYTLGEFAREQERLHREMLREHLREEKLNSLKLKMTKNGTVESEEASTLTLDDISDDDIDLDNTEVDEYFFLQPLPTKKRRALLRASGVKKIDVEEKHELRAIRLSREDCGCDCRVFCDPDTCTCSLAGIKCQVDRMSFPCGCTKEGCSNTAGRIEFNPIRVRTHFLHTIMKLELEKNREQQIPTLNGCHSEISAHSSSMGPVAHSVEYSIADSFEIETEPQAAVLHLQSAEELDCQGEEEEEEEDGSSFCSGVTDSSTQSLAPSESDEEEEEEEEEEEEEDDDDDKGDGFVEGLGTHAEVVPLPSVLCYSDGTAVHESHAKNASFYANSSTLYYQIDSHIPGTPNQISENYSERDTVKNGTLSLVPYTMTPEQFVDYARQAEEAYGASHYPAANPSVIVCCSSSENDSGVPCNSLYPEHRSNHPQVEFHSYLKGPSQEGFVSALNGDSHISEHPAENSLSLAEKSILHEECIKSPVVETVPV
+>DECOY_sp|Q8WYN3|CSRN3_HUMAN Cysteine/serine-rich nuclear protein 3 OS=Homo sapiens OX=9606 GN=CSRNP3 PE=2 SV=1
+VPVTEVVPSKICEEHLISKEALSLSNEAPHESIHSDGNLASVFGEQSPGKLYSHFEVQPHNSRHEPYLSNCPVGSDNESSSCCVIVSPNAAPYHSAGYAEEAQRAYDVFQEPTMTYPVLSLTGNKVTDRESYNESIQNPTGPIHSDIQYYLTSSNAYFSANKAHSEHVATGDSYCLVSPLPVVEAHTGLGEVFGDGKDDDDDEEEEEEEEEEEEEDSESPALSQTSSDTVGSCFSSGDEEEEEEEGQCDLEEASQLHLVAAQPETEIEFSDAISYEVSHAVPGMSSSHASIESHCGNLTPIQQERNKELELKMITHLFHTRVRIPNFEIRGATNSCGEKTCGCPFSMRDVQCKIGALSCTCTDPDCFVRCDCGCDERSLRIARLEHKEEVDIKKVGSARLLARRKKTPLPQLFFYEDVETNDLDIDDDSIDDLTLTSAEESEVTGNKTMKLKLSNLKEERLHERLMERHLREQERAFEGLTYQRVSNHRSSMGLTSGGQSPVSTFGQRRTFYYVTVCSFHVNKTRLRKERKLISSPTFHSSTSPNVSDGSDASESSSVEDDSERVSSCPSSGDVEEFKRKLIGSM
+>sp|Q5W188|CST9P_HUMAN Putative cystatin-9-like protein CST9LP1 OS=Homo sapiens OX=9606 GN=CST9LP1 PE=5 SV=1
+MWSLPPSRALSCAPLLLLFSFQFLVTYAWRFQEEEEWNDQKQIAVYLPPTLEFAVYTFNKQSKDWYAYKLVPVLASWKEQGYDKMTFSMNLQLGRTMCGKFEDDIDNCPFQESPELNNTCTCFFTIGIEPWRTRFDLWNKTCSGGHS
+>DECOY_sp|Q5W188|CST9P_HUMAN Putative cystatin-9-like protein CST9LP1 OS=Homo sapiens OX=9606 GN=CST9LP1 PE=5 SV=1
+SHGGSCTKNWLDFRTRWPEIGITFFCTCTNNLEPSEQFPCNDIDDEFKGCMTRGLQLNMSFTMKDYGQEKWSALVPVLKYAYWDKSQKNFTYVAFELTPPLYVAIQKQDNWEEEEQFRWAYTVLFQFSFLLLLPACSLARSPPLSWM
+>sp|Q9BQT9|CSTN3_HUMAN Calsyntenin-3 OS=Homo sapiens OX=9606 GN=CLSTN3 PE=1 SV=1
+MTLLLLPLLLASLLASCSCNKANKHKPWIEAEYQGIVMENDNTVLLNPPLFALDKDAPLRYAGEICGFRLHGSGVPFEAVILDKATGEGLIRAKEPVDCEAQKEHTFTIQAYDCGEGPDGANTKKSHKATVHVRVNDVNEFAPVFVERLYRAAVTEGKLYDRILRVEAIDGDCSPQYSQICYYEILTPNTPFLIDNDGNIENTEKLQYSGERLYKFTVTAYDCGKKRAADDAEVEIQVKPTCKPSWQGWNKRIEYAPGAGSLALFPGIRLETCDEPLWNIQATIELQTSHVAKGCDRDNYSERALRKLCGAATGEVDLLPMPGPNANWTAGLSVHYSQDSSLIYWFNGTQAVQVPLGGPSGLGSGPQDSLSDHFTLSFWMKHGVTPNKGKKEEETIVCNTVQNEDGFSHYSLTVHGCRIAFLYWPLLESARPVKFLWKLEQVCDDEWHHYALNLEFPTVTLYTDGISFDPALIHDNGLIHPPRREPALMIGACWTEEKNKEKEKGDNSTDTTQGDPLSIHHYFHGYLAGFSVRSGRLESREVIECLYACREGLDYRDFESLGKGMKVHVNPSQSLLTLEGDDVETFNHALQHVAYMNTLRFATPGVRPLRLTTAVKCFSEESCVSIPEVEGYVVVLQPDAPQILLSGTAHFARPAVDFEGTNGVPLFPDLQITCSISHQVEAKKDESWQGTVTDTRMSDEIVHNLDGCEISLVGDDLDPERESLLLDTTSLQQRGLELTNTSAYLTIAGVESITVYEEILRQARYRLRHGAALYTRKFRLSCSEMNGRYSSNEFIVEVNVLHSMNRVAHPSHVLSSQQFLHRGHQPPPEMAGHSLASSHRNSMIPSAATLIIVVCVGFLVLMVVLGLVRIHSLHRRVSGAGGPPGASSDPKDPDLFWDDSALTIIVNPMESYQNRQSCVTGAVGGQQEDEDSSDSEVADSPSSDERRIIETPPHRY
+>DECOY_sp|Q9BQT9|CSTN3_HUMAN Calsyntenin-3 OS=Homo sapiens OX=9606 GN=CLSTN3 PE=1 SV=1
+YRHPPTEIIRREDSSPSDAVESDSSDEDEQQGGVAGTVCSQRNQYSEMPNVIITLASDDWFLDPDKPDSSAGPPGGAGSVRRHLSHIRVLGLVVMLVLFGVCVVIILTAASPIMSNRHSSALSHGAMEPPPQHGRHLFQQSSLVHSPHAVRNMSHLVNVEVIFENSSYRGNMESCSLRFKRTYLAAGHRLRYRAQRLIEEYVTISEVGAITLYASTNTLELGRQQLSTTDLLLSEREPDLDDGVLSIECGDLNHVIEDSMRTDTVTGQWSEDKKAEVQHSISCTIQLDPFLPVGNTGEFDVAPRAFHATGSLLIQPADPQLVVVYGEVEPISVCSEESFCKVATTLRLPRVGPTAFRLTNMYAVHQLAHNFTEVDDGELTLLSQSPNVHVKMGKGLSEFDRYDLGERCAYLCEIVERSELRGSRVSFGALYGHFYHHISLPDGQTTDTSNDGKEKEKNKEETWCAGIMLAPERRPPHILGNDHILAPDFSIGDTYLTVTPFELNLAYHHWEDDCVQELKWLFKVPRASELLPWYLFAIRCGHVTLSYHSFGDENQVTNCVITEEEKKGKNPTVGHKMWFSLTFHDSLSDQPGSGLGSPGGLPVQVAQTGNFWYILSSDQSYHVSLGATWNANPGPMPLLDVEGTAAGCLKRLARESYNDRDCGKAVHSTQLEITAQINWLPEDCTELRIGPFLALSGAGPAYEIRKNWGQWSPKCTPKVQIEVEADDAARKKGCDYATVTFKYLREGSYQLKETNEINGDNDILFPTNPTLIEYYCIQSYQPSCDGDIAEVRLIRDYLKGETVAARYLREVFVPAFENVDNVRVHVTAKHSKKTNAGDPGEGCDYAQITFTHEKQAECDVPEKARILGEGTAKDLIVAEFPVGSGHLRFGCIEGAYRLPADKDLAFLPPNLLVTNDNEMVIGQYEAEIWPKHKNAKNCSCSALLSALLLPLLLLTM
+>sp|Q9H1P6|CT085_HUMAN Uncharacterized protein C20orf85 OS=Homo sapiens OX=9606 GN=C20orf85 PE=2 SV=1
+MAQKPLSTAAAERMNLVGQDEIWKYRLKAESEARQNWPQNWGFLTTPFEELIKCEEDLPTPKPKIELPERFRIRPVTPVEKYIKVFPSPPVPQTTQGFIGWRSAVPGLNKCLELDDAIRSCKGAFARELCWPKQGVH
+>DECOY_sp|Q9H1P6|CT085_HUMAN Uncharacterized protein C20orf85 OS=Homo sapiens OX=9606 GN=C20orf85 PE=2 SV=1
+HVGQKPWCLERAFAGKCSRIADDLELCKNLGPVASRWGIFGQTTQPVPPSPFVKIYKEVPTVPRIRFREPLEIKPKPTPLDEECKILEEFPTTLFGWNQPWNQRAESEAKLRYKWIEDQGVLNMREAAATSLPKQAM
+>sp|Q8NBC4|CT203_HUMAN Uncharacterized protein C20orf203 OS=Homo sapiens OX=9606 GN=C20orf203 PE=2 SV=2
+MFPRPVLNSRAQAILLPQPPNMLDHRQWPPRLASFPFTKTGMLSRATSVLAGLTAHLWDLGGGAGRRTSKAQRVHPQPSHQRQPPPPQHPGPYQERIWVGGEGWGEVGGLRLSKVGRRDREVGRGLRAPAGRGRAMGGMPRMGTVGDFGQALSSLAWTSTCFQDFCLPSLPGKLPAPLISKQQFLSNSSRSLFN
+>DECOY_sp|Q8NBC4|CT203_HUMAN Uncharacterized protein C20orf203 OS=Homo sapiens OX=9606 GN=C20orf203 PE=2 SV=2
+NFLSRSSNSLFQQKSILPAPLKGPLSPLCFDQFCTSTWALSSLAQGFDGVTGMRPMGGMARGRGAPARLGRGVERDRRGVKSLRLGGVEGWGEGGVWIREQYPGPHQPPPPQRQHSPQPHVRQAKSTRRGAGGGLDWLHATLGALVSTARSLMGTKTFPFSALRPPWQRHDLMNPPQPLLIAQARSNLVPRPFM
+>sp|Q5DJT8|CT452_HUMAN Cancer/testis antigen family 45 member A2 OS=Homo sapiens OX=9606 GN=CT45A2 PE=2 SV=3
+MTDKTEKVAVDPETVFKRPRECDSPSYQKRQRMALLARKQGAGDSLIAGSAMSKEKKLMTGHAIPPSQLDSQIDDFTGFSKDRMMQKPGSNAPVGGNVTSSFSGDDLECRETAFSPKSQQEINADIKRQLVKELRCVGQKYEKIFEMLEGVQGPTAVRKRFFESIIKEAARCMRRDFVKHLKKKLKRMI
+>DECOY_sp|Q5DJT8|CT452_HUMAN Cancer/testis antigen family 45 member A2 OS=Homo sapiens OX=9606 GN=CT45A2 PE=2 SV=3
+IMRKLKKKLHKVFDRRMCRAAEKIISEFFRKRVATPGQVGELMEFIKEYKQGVCRLEKVLQRKIDANIEQQSKPSFATERCELDDGSFSSTVNGGVPANSGPKQMMRDKSFGTFDDIQSDLQSPPIAHGTMLKKEKSMASGAILSDGAGQKRALLAMRQRKQYSPSDCERPRKFVTEPDVAVKETKDTM
+>sp|P0DMU7|CT456_HUMAN Cancer/testis antigen family 45 member A6 OS=Homo sapiens OX=9606 GN=CT45A6 PE=2 SV=1
+MTDKTEKVAVDPETVFKRPRECDSPSYQKRQRMALLARKQGAGDSLIAGSAMSKEKKLMTGHAIPPSQLDSQIDDFTGFSKDGMMQKPGSNAPVGGNVTSSFSGDDLECRETASSPKSQREINADIKRKLVKELRCVGQKYEKIFEMLEGVQGPTAVRKRFFESIIKEAARCMRRDFVKHLKKKLKRMI
+>DECOY_sp|P0DMU7|CT456_HUMAN Cancer/testis antigen family 45 member A6 OS=Homo sapiens OX=9606 GN=CT45A6 PE=2 SV=1
+IMRKLKKKLHKVFDRRMCRAAEKIISEFFRKRVATPGQVGELMEFIKEYKQGVCRLEKVLKRKIDANIERQSKPSSATERCELDDGSFSSTVNGGVPANSGPKQMMGDKSFGTFDDIQSDLQSPPIAHGTMLKKEKSMASGAILSDGAGQKRALLAMRQRKQYSPSDCERPRKFVTEPDVAVKETKDTM
+>sp|A4D2H0|CTGEF_HUMAN cTAGE family member 15 OS=Homo sapiens OX=9606 GN=CTAGE15 PE=2 SV=1
+MEEPGATPQPYLGLVLEELRRVVAALPESMRPDENPYGFPSELVVCAAVIGFFVVLLFLWRSFRSVRSRLYVGREQKLGATLSGLIEEKCKLLEKFSLIQKEYEGYEVESSLEDASFEKAAAEEARSLEATCEKLNRSNSELEDEILCLEKDLKEEKSKHSQQDELMADISKSIQSLEDESKSLKSQIAEAKIICKTFKMSEERRAIAIKDALNENSQLQTSHKQLFQQEAEVWKGQVSELNKQKITFEDSKVHAEQVLNDKENHIKTLTGHLPMMKDQAAVLEEDTTDDDNLELEVNSQWENGANLDDPLKGALKKLIHAAKLNVSLKSLEGERNHIIIQLSEVDKTKEELTEHIKNLQTQQASLQSENIYFESENQKLQQKLKIMTEFYQENEMKLYRKLTVEENYRIEEEEKLSRVEEKLSRATEQLETYRKLAKDLEEELERTVHFYQKQVISYEKRGHDNWLAARTAERNLSDLRKENAHNKQKLTETELKFELLEKDPNALDVSNTAFGREHAPNGPAPLGQRSSETRAFLSPQTLLEDPLGLSPVLPEGGGRGPRGPGNPLDHQITNERGEPSCDRLTDPHRAPSDTGSLSSPVEQDCKMMFPPPGQSYPDSALPPQREDRFYSNSERLSGSAEPRSFKMTSLDKMDGSMPSEMESSRNDAKDDLGNLNVPDSSLPAENEATGPGFIPPPLAPVRGPLFPVDTRGPFMRRGPPFPPPPPGTMFGASRGYFPPRDFPGPPHAPFAMRNIYPPRGLPPYFHPRPGFYPNPAF
+>DECOY_sp|A4D2H0|CTGEF_HUMAN cTAGE family member 15 OS=Homo sapiens OX=9606 GN=CTAGE15 PE=2 SV=1
+FAPNPYFGPRPHFYPPLGRPPYINRMAFPAHPPGPFDRPPFYGRSAGFMTGPPPPPFPPGRRMFPGRTDVPFLPGRVPALPPPIFGPGTAENEAPLSSDPVNLNGLDDKADNRSSEMESPMSGDMKDLSTMKFSRPEASGSLRESNSYFRDERQPPLASDPYSQGPPPFMMKCDQEVPSSLSGTDSPARHPDTLRDCSPEGRENTIQHDLPNGPGRPGRGGGEPLVPSLGLPDELLTQPSLFARTESSRQGLPAPGNPAHERGFATNSVDLANPDKELLEFKLETETLKQKNHANEKRLDSLNREATRAALWNDHGRKEYSIVQKQYFHVTRELEEELDKALKRYTELQETARSLKEEVRSLKEEEEIRYNEEVTLKRYLKMENEQYFETMIKLKQQLKQNESEFYINESQLSAQQTQLNKIHETLEEKTKDVESLQIIIHNREGELSKLSVNLKAAHILKKLAGKLPDDLNAGNEWQSNVELELNDDDTTDEELVAAQDKMMPLHGTLTKIHNEKDNLVQEAHVKSDEFTIKQKNLESVQGKWVEAEQQFLQKHSTQLQSNENLADKIAIARREESMKFTKCIIKAEAIQSKLSKSEDELSQISKSIDAMLEDQQSHKSKEEKLDKELCLIEDELESNSRNLKECTAELSRAEEAAAKEFSADELSSEVEYGEYEKQILSFKELLKCKEEILGSLTAGLKQERGVYLRSRVSRFSRWLFLLVVFFGIVAACVVLESPFGYPNEDPRMSEPLAAVVRRLEELVLGLYPQPTAGPEEM
+>sp|Q99708|CTIP_HUMAN DNA endonuclease RBBP8 OS=Homo sapiens OX=9606 GN=RBBP8 PE=1 SV=2
+MNISGSSCGSPNSADTSSDFKDLWTKLKECHDREVQGLQVKVTKLKQERILDAQRLEEFFTKNQQLREQQKVLHETIKVLEDRLRAGLCDRCAVTEEHMRKKQQEFENIRQQNLKLITELMNERNTLQEENKKLSEQLQQKIENDQQHQAAELECEEDVIPDSPITAFSFSGVNRLRRKENPHVRYIEQTHTKLEHSVCANEMRKVSKSSTHPQHNPNENEILVADTYDQSQSPMAKAHGTSSYTPDKSSFNLATVVAETLGLGVQEESETQGPMSPLGDELYHCLEGNHKKQPFEESTRNTEDSLRFSDSTSKTPPQEELPTRVSSPVFGATSSIKSGLDLNTSLSPSLLQPGKKKHLKTLPFSNTCISRLEKTRSKSEDSALFTHHSLGSEVNKIIIQSSNKQILINKNISESLGEQNRTEYGKDSNTDKHLEPLKSLGGRTSKRKKTEEESEHEVSCPQASFDKENAFPFPMDNQFSMNGDCVMDKPLDLSDRFSAIQRQEKSQGSETSKNKFRQVTLYEALKTIPKGFSSSRKASDGNCTLPKDSPGEPCSQECIILQPLNKCSPDNKPSLQIKEENAVFKIPLRPRESLETENVLDDIKSAGSHEPIKIQTRSDHGGCELASVLQLNPCRTGKIKSLQNNQDVSFENIQWSIDPGADLSQYKMDVTVIDTKDGSQSKLGGETVDMDCTLVSETVLLKMKKQEQKGEKSSNEERKMNDSLEDMFDRTTHEEYESCLADSFSQAADEEEELSTATKKLHTHGDKQDKVKQKAFVEPYFKGDERETSLQNFPHIEVVRKKEERRKLLGHTCKECEIYYADMPAEEREKKLASCSRHRFRYIPPNTPENFWEVGFPSTQTCMERGYIKEDLDPCPRPKRRQPYNAIFSPKGKEQKT
+>DECOY_sp|Q99708|CTIP_HUMAN DNA endonuclease RBBP8 OS=Homo sapiens OX=9606 GN=RBBP8 PE=1 SV=2
+TKQEKGKPSFIANYPQRRKPRPCPDLDEKIYGREMCTQTSPFGVEWFNEPTNPPIYRFRHRSCSALKKEREEAPMDAYYIECEKCTHGLLKRREEKKRVVEIHPFNQLSTEREDGKFYPEVFAKQKVKDQKDGHTHLKKTATSLEEEEDAAQSFSDALCSEYEEHTTRDFMDELSDNMKREENSSKEGKQEQKKMKLLVTESVLTCDMDVTEGGLKSQSGDKTDIVTVDMKYQSLDAGPDISWQINEFSVDQNNQLSKIKGTRCPNLQLVSALECGGHDSRTQIKIPEHSGASKIDDLVNETELSERPRLPIKFVANEEKIQLSPKNDPSCKNLPQLIICEQSCPEGPSDKPLTCNGDSAKRSSSFGKPITKLAEYLTVQRFKNKSTESGQSKEQRQIASFRDSLDLPKDMVCDGNMSFQNDMPFPFANEKDFSAQPCSVEHESEEETKKRKSTRGGLSKLPELHKDTNSDKGYETRNQEGLSESINKNILIQKNSSQIIIKNVESGLSHHTFLASDESKSRTKELRSICTNSFPLTKLHKKKGPQLLSPSLSTNLDLGSKISSTAGFVPSSVRTPLEEQPPTKSTSDSFRLSDETNRTSEEFPQKKHNGELCHYLEDGLPSMPGQTESEEQVGLGLTEAVVTALNFSSKDPTYSSTGHAKAMPSQSQDYTDAVLIENENPNHQPHTSSKSVKRMENACVSHELKTHTQEIYRVHPNEKRRLRNVGSFSFATIPSDPIVDEECELEAAQHQQDNEIKQQLQESLKKNEEQLTNRENMLETILKLNQQRINEFEQQKKRMHEETVACRDCLGARLRDELVKITEHLVKQQERLQQNKTFFEELRQADLIREQKLKTVKVQLGQVERDHCEKLKTWLDKFDSSTDASNPSGCSSGSINM
+>sp|Q8N4M1|CTL3_HUMAN Choline transporter-like protein 3 OS=Homo sapiens OX=9606 GN=SLC44A3 PE=1 SV=4
+MHCLGAEYLVSAEGAPRQREWRPQIYRKCTDTAWLFLFFLFWTGLVFIMGYSVVAGAAGRLLFGYDSFGNMCGKKNSPVEGAPLSGQDMTLKKHVFFMNSCNLEVKGTQLNRMALCVSNCPEEQLDSLEEVQFFANTSGSFLCVYSLNSFNYTHSPKADSLCPRLPVPPSKSFPLFNRCVPQTPECYSLFASVLINDVDTLHRILSGIMSGRDTILGLCILALALSLAMMFTFRFITTLLVHIFISLVILGLLFVCGVLWWLYYDYTNDLSIELDTERENMKCVLGFAIVSTGITAVLLVLIFVLRKRIKLTVELFQITNKAISSAPFLLFQPLWTFAILIFFWVLWVAVLLSLGTAGAAQVMEGGQVEYKPLSGIRYMWSYHLIGLIWTSEFILACQQMTIAGAVVTCYFNRSKNDPPDHPILSSLSILFFYHQGTVVKGSFLISVVRIPRIIVMYMQNALKEQQHGALSRYLFRCCYCCFWCLDKYLLHLNQNAYTTTAINGTDFCTSAKDAFKILSKNSSHFTSINCFGDFIIFLGKVLVVCFTVFGGLMAFNYNRAFQVWAVPLLLVAFFAYLVAHSFLSVFETVLDALFLCFAVDLETNDGSSEKPYFMDQEFLSFVKRSNKLNNARAQQDKHSLRNEEGTELQAIVR
+>DECOY_sp|Q8N4M1|CTL3_HUMAN Choline transporter-like protein 3 OS=Homo sapiens OX=9606 GN=SLC44A3 PE=1 SV=4
+RVIAQLETGEENRLSHKDQQARANNLKNSRKVFSLFEQDMFYPKESSGDNTELDVAFCLFLADLVTEFVSLFSHAVLYAFFAVLLLPVAWVQFARNYNFAMLGGFVTFCVVLVKGLFIIFDGFCNISTFHSSNKSLIKFADKASTCFDTGNIATTTYANQNLHLLYKDLCWFCCYCCRFLYRSLAGHQQEKLANQMYMVIIRPIRVVSILFSGKVVTGQHYFFLISLSSLIPHDPPDNKSRNFYCTVVAGAITMQQCALIFESTWILGILHYSWMYRIGSLPKYEVQGGEMVQAAGATGLSLLVAVWLVWFFILIAFTWLPQFLLFPASSIAKNTIQFLEVTLKIRKRLVFILVLLVATIGTSVIAFGLVCKMNERETDLEISLDNTYDYYLWWLVGCVFLLGLIVLSIFIHVLLTTIFRFTFMMALSLALALICLGLITDRGSMIGSLIRHLTDVDNILVSAFLSYCEPTQPVCRNFLPFSKSPPVPLRPCLSDAKPSHTYNFSNLSYVCLFSGSTNAFFQVEELSDLQEEPCNSVCLAMRNLQTGKVELNCSNMFFVHKKLTMDQGSLPAGEVPSNKKGCMNGFSDYGFLLRGAAGAVVSYGMIFVLGTWFLFFLFLWATDTCKRYIQPRWERQRPAGEASVLYEAGLCHM
+>sp|Q53GD3|CTL4_HUMAN Choline transporter-like protein 4 OS=Homo sapiens OX=9606 GN=SLC44A4 PE=1 SV=2
+MGGKQRDEDDEAYGKPVKYDPSFRGPIKNRSCTDVICCVLFLLFILGYIVVGIVAWLYGDPRQVLYPRNSTGAYCGMGENKDKPYLLYFNIFSCILSSNIISVAENGLQCPTPQVCVSSCPEDPWTVGKNEFSQTVGEVFYTKNRNFCLPGVPWNMTVITSLQQELCPSFLLPSAPALGRCFPWTNVTPPALPGITNDTTIQQGISGLIDSLNARDISVKIFEDFAQSWYWILVALGVALVLSLLFILLLRLVAGPLVLVLILGVLGVLAYGIYYCWEEYRVLRDKGASISQLGFTTNLSAYQSVQETWLAALIVLAVLEAILLLMLIFLRQRIRIAIALLKEASKAVGQMMSTMFYPLVTFVLLLICIAYWAMTALYLATSGQPQYVLWASNISSPGCEKVPINTSCNPTAHLVNSSCPGLMCVFQGYSSKGLIQRSVFNLQIYGVLGLFWTLNWVLALGQCVLAGAFASFYWAFHKPQDIPTFPLISAFIRTLRYHTGSLAFGALILTLVQIARVILEYIDHKLRGVQNPVARCIMCCFKCCLWCLEKFIKFLNRNAYIMIAIYGKNFCVSAKNAFMLLMRNIVRVVVLDKVTDLLLFFGKLLVVGGVGVLSFFFFSGRIPGLGKDFKSPHLNYYWLPIMTSILGAYVIASGFFSVFGMCVDTLFLCFLEDLERNNGSLDRPYYMSKSLLKILGKKNEAPPDNKKRKK
+>DECOY_sp|Q53GD3|CTL4_HUMAN Choline transporter-like protein 4 OS=Homo sapiens OX=9606 GN=SLC44A4 PE=1 SV=2
+KKRKKNDPPAENKKGLIKLLSKSMYYPRDLSGNNRELDELFCLFLTDVCMGFVSFFGSAIVYAGLISTMIPLWYYNLHPSKFDKGLGPIRGSFFFFSLVGVGGVVLLKGFFLLLDTVKDLVVVRVINRMLLMFANKASVCFNKGYIAIMIYANRNLFKIFKELCWLCCKFCCMICRAVPNQVGRLKHDIYELIVRAIQVLTLILAGFALSGTHYRLTRIFASILPFTPIDQPKHFAWYFSAFAGALVCQGLALVWNLTWFLGLVGYIQLNFVSRQILGKSSYGQFVCMLGPCSSNVLHATPNCSTNIPVKECGPSSINSAWLVYQPQGSTALYLATMAWYAICILLLVFTVLPYFMTSMMQGVAKSAEKLLAIAIRIRQRLFILMLLLIAELVALVILAALWTEQVSQYASLNTTFGLQSISAGKDRLVRYEEWCYYIGYALVGLVGLILVLVLPGAVLRLLLIFLLSLVLAVGLAVLIWYWSQAFDEFIKVSIDRANLSDILGSIGQQITTDNTIGPLAPPTVNTWPFCRGLAPASPLLFSPCLEQQLSTIVTMNWPVGPLCFNRNKTYFVEGVTQSFENKGVTWPDEPCSSVCVQPTPCQLGNEAVSIINSSLICSFINFYLLYPKDKNEGMGCYAGTSNRPYLVQRPDGYLWAVIGVVIYGLIFLLFLVCCIVDTCSRNKIPGRFSPDYKVPKGYAEDDEDRQKGGM
+>sp|Q8NCS7|CTL5_HUMAN Choline transporter-like protein 5 OS=Homo sapiens OX=9606 GN=SLC44A5 PE=2 SV=4
+MNDTEKPADTPSEEEDFGDPRTYDPDFKGPVANRSCTDVLCCMIFLLCIIGYIVLGLVAWVHGDPRRAAYPTDSQGHFCGQKGTPNENKTILFYFNLLRCTSPSVLLNLQCPTTQICVSKCPEKFLTYVEMQLLYTKDKSYWEDYRQFCKTTAKPVKSLTQLLLDDDCPTAIFPSKPFLQRCFPDFSTKNGTLTIGSKMMFQDGNGGTRSVVELGIAANGINKLLDAKSLGLKVFEDYARTWYWILIGLTIAMVLSWIFLILLRFIAGCLFWVFMIGVIGIIGYGIWHCYQQYTNLQERPSSVLTIYDIGIQTNISMYFELQQTWFTFMIILCIIEVIVILMLIFLRNRIRVAIILLKEGSKAIGYVPSTLVYPALTFILLSICICYWVVTAVFLATSGVPVYKVIAPGGHCIHENQTCDPEIFNTTEIAKACPGALCNFAFYGGKSLYHQYIPTFHVYNLFVFLWLINFVIALGQCALAGAFATYYWAMKKPDDIPRYPLFTAFGRAIRYHTGSLAFGSLIIALIQMFKIVLEYLDHRLKRTQNTLSKFLQCCLRCCFWCLENAIKFLNRNAYIMIAIYGRNFCRSAKDAFNLLMRNVLKVAVTDEVTYFVLFLGKLLVAGSIGVLAFLFFTQRLPVIAQGPASLNYYWVPLLTVIFGSYLIAHGFFSVYAMCVETIFICFLEDLERNDGSTARPYFVTPNLHGILIKKQLVPQKQKE
+>DECOY_sp|Q8NCS7|CTL5_HUMAN Choline transporter-like protein 5 OS=Homo sapiens OX=9606 GN=SLC44A5 PE=2 SV=4
+EKQKQPVLQKKILIGHLNPTVFYPRATSGDNRELDELFCIFITEVCMAYVSFFGHAILYSGFIVTLLPVWYYNLSAPGQAIVPLRQTFFLFALVGISGAVLLKGLFLVFYTVEDTVAVKLVNRMLLNFADKASRCFNRGYIAIMIYANRNLFKIANELCWFCCRLCCQLFKSLTNQTRKLRHDLYELVIKFMQILAIILSGFALSGTHYRIARGFATFLPYRPIDDPKKMAWYYTAFAGALACQGLAIVFNILWLFVFLNYVHFTPIYQHYLSKGGYFAFNCLAGPCAKAIETTNFIEPDCTQNEHICHGGPAIVKYVPVGSTALFVATVVWYCICISLLIFTLAPYVLTSPVYGIAKSGEKLLIIAVRIRNRLFILMLIVIVEIICLIIMFTFWTQQLEFYMSINTQIGIDYITLVSSPREQLNTYQQYCHWIGYGIIGIVGIMFVWFLCGAIFRLLILFIWSLVMAITLGILIWYWTRAYDEFVKLGLSKADLLKNIGNAAIGLEVVSRTGGNGDQFMMKSGITLTGNKTSFDPFCRQLFPKSPFIATPCDDDLLLQTLSKVPKATTKCFQRYDEWYSKDKTYLLQMEVYTLFKEPCKSVCIQTTPCQLNLLVSPSTCRLLNFYFLITKNENPTGKQGCFHGQSDTPYAARRPDGHVWAVLGLVIYGIICLLFIMCCLVDTCSRNAVPGKFDPDYTRPDGFDEEESPTDAPKETDNM
+>sp|P16410|CTLA4_HUMAN Cytotoxic T-lymphocyte protein 4 OS=Homo sapiens OX=9606 GN=CTLA4 PE=1 SV=3
+MACLGFQRHKAQLNLATRTWPCTLLFFLLFIPVFCKAMHVAQPAVVLASSRGIASFVCEYASPGKATEVRVTVLRQADSQVTEVCAATYMMGNELTFLDDSICTGTSSGNQVNLTIQGLRAMDTGLYICKVELMYPPPYYLGIGNGTQIYVIDPEPCPDSDFLLWILAAVSSGLFFYSFLLTAVSLSKMLKKRSPLTTGVYVKMPPTEPECEKQFQPYFIPIN
+>DECOY_sp|P16410|CTLA4_HUMAN Cytotoxic T-lymphocyte protein 4 OS=Homo sapiens OX=9606 GN=CTLA4 PE=1 SV=3
+NIPIFYPQFQKECEPETPPMKVYVGTTLPSRKKLMKSLSVATLLFSYFFLGSSVAALIWLLFDSDPCPEPDIVYIQTGNGIGLYYPPPYMLEVKCIYLGTDMARLGQITLNVQNGSSTGTCISDDLFTLENGMMYTAACVETVQSDAQRLVTVRVETAKGPSAYECVFSAIGRSSALVVAPQAVHMAKCFVPIFLLFFLLTCPWTRTALNLQAKHRQFGLCAM
+>sp|P35221|CTNA1_HUMAN Catenin alpha-1 OS=Homo sapiens OX=9606 GN=CTNNA1 PE=1 SV=1
+MTAVHAGNINFKWDPKSLEIRTLAVERLLEPLVTQVTTLVNTNSKGPSNKKRGRSKKAHVLAASVEQATENFLEKGDKIAKESQFLKEELVAAVEDVRKQGDLMKAAAGEFADDPCSSVKRGNMVRAARALLSAVTRLLILADMADVYKLLVQLKVVEDGILKLRNAGNEQDLGIQYKALKPEVDKLNIMAAKRQQELKDVGHRDQMAAARGILQKNVPILYTASQACLQHPDVAAYKANRDLIYKQLQQAVTGISNAAQATASDDASQHQGGGGGELAYALNNFDKQIIVDPLSFSEERFRPSLEERLESIISGAALMADSSCTRDDRRERIVAECNAVRQALQDLLSEYMGNAGRKERSDALNSAIDKMTKKTRDLRRQLRKAVMDHVSDSFLETNVPLLVLIEAAKNGNEKEVKEYAQVFREHANKLIEVANLACSISNNEEGVKLVRMSASQLEALCPQVINAALALAAKPQSKLAQENMDLFKEQWEKQVRVLTDAVDDITSIDDFLAVSENHILEDVNKCVIALQEKDVDGLDRTAGAIRGRAARVIHVVTSEMDNYEPGVYTEKVLEATKLLSNTVMPRFTEQVEAAVEALSSDPAQPMDENEFIDASRLVYDGIRDIRKAVLMIRTPEELDDSDFETEDFDVRSRTSVQTEDDQLIAGQSARAIMAQLPQEQKAKIAEQVASFQEEKSKLDAEVSKWDDSGNDIIVLAKQMCMIMMEMTDFTRGKGPLKNTSDVISAAKKIAEAGSRMDKLGRTIADHCPDSACKQDLLAYLQRIALYCHQLNICSKVKAEVQNLGGELVVSGVDSAMSLIQAAKNLMNAVVQTVKASYVASTKYQKSQGMASLNLPAVSWKMKAPEKKPLVKREKQDETQTKIKRASQKKHVNPVQALSEFKAMDSI
+>DECOY_sp|P35221|CTNA1_HUMAN Catenin alpha-1 OS=Homo sapiens OX=9606 GN=CTNNA1 PE=1 SV=1
+ISDMAKFESLAQVPNVHKKQSARKIKTQTEDQKERKVLPKKEPAKMKWSVAPLNLSAMGQSKQYKTSAVYSAKVTQVVANMLNKAAQILSMASDVGSVVLEGGLNQVEAKVKSCINLQHCYLAIRQLYALLDQKCASDPCHDAITRGLKDMRSGAEAIKKAASIVDSTNKLPGKGRTFDTMEMMIMCMQKALVIIDNGSDDWKSVEADLKSKEEQFSAVQEAIKAKQEQPLQAMIARASQGAILQDDETQVSTRSRVDFDETEFDSDDLEEPTRIMLVAKRIDRIGDYVLRSADIFENEDMPQAPDSSLAEVAAEVQETFRPMVTNSLLKTAELVKETYVGPEYNDMESTVVHIVRAARGRIAGATRDLGDVDKEQLAIVCKNVDELIHNESVALFDDISTIDDVADTLVRVQKEWQEKFLDMNEQALKSQPKAALALAANIVQPCLAELQSASMRVLKVGEENNSISCALNAVEILKNAHERFVQAYEKVEKENGNKAAEILVLLPVNTELFSDSVHDMVAKRLQRRLDRTKKTMKDIASNLADSREKRGANGMYESLLDQLAQRVANCEAVIRERRDDRTCSSDAMLAAGSIISELREELSPRFREESFSLPDVIIQKDFNNLAYALEGGGGGQHQSADDSATAQAANSIGTVAQQLQKYILDRNAKYAAVDPHQLCAQSATYLIPVNKQLIGRAAAMQDRHGVDKLEQQRKAAMINLKDVEPKLAKYQIGLDQENGANRLKLIGDEVVKLQVLLKYVDAMDALILLRTVASLLARAARVMNGRKVSSCPDDAFEGAAAKMLDGQKRVDEVAAVLEEKLFQSEKAIKDGKELFNETAQEVSAALVHAKKSRGRKKNSPGKSNTNVLTTVQTVLPELLREVALTRIELSKPDWKFNINGAHVATM
+>sp|Q8NEC5|CTSR1_HUMAN Cation channel sperm-associated protein 1 OS=Homo sapiens OX=9606 GN=CATSPER1 PE=1 SV=3
+MDQNSVPEKAQNEADTNNADRFFRSHSSPPHHRPGHSRALHHYELHHHGVPHQRGESHHPPEFQDFHDQALSSHVHQSHHHSEARNHGRAHGPTGFGLAPSQGAVPSHRSYGEDYHDELQRDGRRHHDGSQYGGFHQQSDSHYHRGSHHGRPQYLGENLSHYSSGVPHHGEASHHGGSYLPHGPNPYSESFHHSEASHLSGLQHDESQHHQVPHRGWPHHHQVHHHGRSRHHEAHQHGKSPHHGETISPHSSVGSYQRGISDYHSEYHQGDHHPSEYHHGDHPHHTQHHYHQTHRHRDYHQHQDHHGAYHSSYLHGDYVQSTSQLSIPHTSRSLIHDAPGPAASRTGVFPYHVAHPRGSAHSMTRSSSTIRSRVTQMSKKVHTQDISTKHSEDWGKEEGQFQKRKTGRLQRTRKKGHSTNLFQWLWEKLTFLIQGFREMIRNLTQSLAFETFIFFVVCLNTVMLVAQTFAEVEIRGEWYFMALDSIFFCIYVVEALLKIIALGLSYFFDFWNNLDFFIMAMAVLDFLLMQTHSFAIYHQSLFRILKVFKSLRALRAIRVLRRLSFLTSVQEVTGTLGQSLPSIAAILILMFTCLFLFSAVLRALFRKSDPKRFQNIFTTIFTLFTLLTLDDWSLIYMDSRAQGAWYIIPILVIYIIIQYFIFLNLVITVLVDSFQTALFKGLEKAKQERAARIQEKLLEDSLTELRAAEPKEVASEGTMLKRLIEKKFGTMTEKQQELLFHYLQLVASVEQEQQKFRSQAAVIDEIVDTTFEAGEEDFRN
+>DECOY_sp|Q8NEC5|CTSR1_HUMAN Cation channel sperm-associated protein 1 OS=Homo sapiens OX=9606 GN=CATSPER1 PE=1 SV=3
+NRFDEEGAEFTTDVIEDIVAAQSRFKQQEQEVSAVLQLYHFLLEQQKETMTGFKKEILRKLMTGESAVEKPEAARLETLSDELLKEQIRAAREQKAKELGKFLATQFSDVLVTIVLNLFIFYQIIIYIVLIPIIYWAGQARSDMYILSWDDLTLLTFLTFITTFINQFRKPDSKRFLARLVASFLFLCTFMLILIAAISPLSQGLTGTVEQVSTLFSLRRLVRIARLARLSKFVKLIRFLSQHYIAFSHTQMLLFDLVAMAMIFFDLNNWFDFFYSLGLAIIKLLAEVVYICFFISDLAMFYWEGRIEVEAFTQAVLMVTNLCVVFFIFTEFALSQTLNRIMERFGQILFTLKEWLWQFLNTSHGKKRTRQLRGTKRKQFQGEEKGWDESHKTSIDQTHVKKSMQTVRSRITSSSRTMSHASGRPHAVHYPFVGTRSAAPGPADHILSRSTHPISLQSTSQVYDGHLYSSHYAGHHDQHQHYDRHRHTQHYHHQTHHPHDGHHYESPHHDGQHYESHYDSIGRQYSGVSSHPSITEGHHPSKGHQHAEHHRSRGHHHVQHHHPWGRHPVQHHQSEDHQLGSLHSAESHHFSESYPNPGHPLYSGGHHSAEGHHPVGSSYHSLNEGLYQPRGHHSGRHYHSDSQQHFGGYQSGDHHRRGDRQLEDHYDEGYSRHSPVAGQSPALGFGTPGHARGHNRAESHHHSQHVHSSLAQDHFDQFEPPHHSEGRQHPVGHHHLEYHHLARSHGPRHHPPSSHSRFFRDANNTDAENQAKEPVSNQDM
+>sp|Q86XM0|CTSRD_HUMAN Cation channel sperm-associated protein subunit delta OS=Homo sapiens OX=9606 GN=CATSPERD PE=1 SV=3
+MLMLMLVAAVTMWLRPLVTAQLCRSRTVRTGKVFNLIQDVQGDRLYFHPTTTRLIKHPCEKNIALYLGKQVFFTMDNFETSLLPFTIPTSMQVGVPEVTSAHFAGSLLLLVVDQKVYIYDYENNSWSMSLGIKHPVTHVSGDNCCYTGSLFCVHVSNLVFAYFRGDQISQTYIYYSNTGGFSFWKYHYDRQAEIIGSLGGIFHFFSLSQVAMLVVNQGKGMFKYSDHPLNRSFGLSFDYNGTLDILIAPGQRGILLLWFENSLLFSHNAGQLVDTVRVKKGDQTLFSSIFEAKITIHNIAVTENELAVITREDNLYYGNLGIVPSSIIKFADQYIWSEDVALMFRSPGTLEILTPLRDTAFPAFDFQKCLVNIQALLMDPELHVGKCKIEFLTGEFIYRMYTIDMHSQLELTASLIPQPGTSLIPLVMVSNPHSLGFQATFYENGYTSDGNTKYKLDIFLKQQQHWGRTDSNFTSSLKKATMSTLTVDIANKEISCVDIKPLSTLISVGCDLDKKIVIQNKVSACSMGILDPLTLQDNYSFIIEKEFYDPGFQGQQSSEDLHVFYSYQQLGCPLLVYYDTLWKPVVELWRKDSFQEVIDAEYVLLEVNGQFSYSYSLTAQSAMCTSQPQNWTTMIKEFGGPFFWNRENYVSCHDPNNNAPLRWPDVQYQILGGRTANQIIFGHNGFYVFYISIVDPYYSYCQLETIFSIYVYGAFPVQLVSAGVVILLIISSILGSVWLAYKTPKLLRTARGRRIKKCATQLCRRCKTVCQFRASATARAGTEPPGRHRTPHGGRSDH
+>DECOY_sp|Q86XM0|CTSRD_HUMAN Cation channel sperm-associated protein subunit delta OS=Homo sapiens OX=9606 GN=CATSPERD PE=1 SV=3
+HDSRGGHPTRHRGPPETGARATASARFQCVTKCRRCLQTACKKIRRGRATRLLKPTKYALWVSGLISSIILLIVVGASVLQVPFAGYVYISFITELQCYSYYPDVISIYFVYFGNHGFIIQNATRGGLIQYQVDPWRLPANNNPDHCSVYNERNWFFPGGFEKIMTTWNQPQSTCMASQATLSYSYSFQGNVELLVYEADIVEQFSDKRWLEVVPKWLTDYYVLLPCGLQQYSYFVHLDESSQQGQFGPDYFEKEIIFSYNDQLTLPDLIGMSCASVKNQIVIKKDLDCGVSILTSLPKIDVCSIEKNAIDVTLTSMTAKKLSSTFNSDTRGWHQQQKLFIDLKYKTNGDSTYGNEYFTAQFGLSHPNSVMVLPILSTGPQPILSATLELQSHMDITYMRYIFEGTLFEIKCKGVHLEPDMLLAQINVLCKQFDFAPFATDRLPTLIELTGPSRFMLAVDESWIYQDAFKIISSPVIGLNGYYLNDERTIVALENETVAINHITIKAEFISSFLTQDGKKVRVTDVLQGANHSFLLSNEFWLLLIGRQGPAILIDLTGNYDFSLGFSRNLPHDSYKFMGKGQNVVLMAVQSLSFFHFIGGLSGIIEAQRDYHYKWFSFGGTNSYYIYTQSIQDGRFYAFVLNSVHVCFLSGTYCCNDGSVHTVPHKIGLSMSWSNNEYDYIYVKQDVVLLLLSGAFHASTVEPVGVQMSTPITFPLLSTEFNDMTFFVQKGLYLAINKECPHKILRTTTPHFYLRDGQVDQILNFVKGTRVTRSRCLQATVLPRLWMTVAAVLMLMLM
+>sp|Q6ZRH7|CTSRG_HUMAN Cation channel sperm-associated protein subunit gamma OS=Homo sapiens OX=9606 GN=CATSPERG PE=2 SV=3
+MCGPAMFPAGPPWPRVRVVQVLWALLAVLLASWRLWAIKDFQECTWQVVLNEFKRVGESGVSDSFFEQEPVDTVSSLFHMLVDSPIDPSEKYLGFPYYLKINYSCEEKPSEDLVRMGHLTGLKPLVLVTFQSPVNFYRWKIEQLQIQMEAAPFRSKEPCMAEEVCSMSWYTPMPIKKGSVVMRVDISSNGLGTFIPDKRFQMNINGFLKRDRDNNIQFTVGEELFNLMPQYFVGVSSRPLWHTVDQSPVLILGGIPNEKYVLMTDTSFKDFSLVELSIDSCWVGSFYCPHSGFTATIYDTIATESTLFIRQNQLVYYFTGTYTTLYERNRGSGSWIRVLASECIKKLCPVYFHSNGSEYIMALTTGKHEGYVHFGTIRDGQVSFEMLPRQWSVCEQIGVTTCSIIWSEYIAGEYTLLLLVESGYGNASKRFQVVSYNTASDDLELLYHIPEFIPEARGLEFLMILGTESYTSTAMAPKGIFCNPYNNLIFIWGNFLLQSSNKENFIYLADFPKELSIKYMARSFRGAVAIVTETEEIWYLLEGSYRVYQLFPSKGWQVHISLKLMQQSSLYASNETMLTLFYEDSKLYQLVYLMNNQKGQLVKRLVPVEQLLMYQQHTSHYDLERKGGYLMLSFIDFCPFSVMRLRSLPSPQRYTRQERYRARPPRVLERSGFHNENSLAIYQGLVYYLLWLHSVYDKPYADPVHDPTWRWWANNKQDQDYYFFLASNWRSAGGVSIEMDSYEKIYNLESAYELPERIFLDKGTEYSFAIFLSAQGHSFRTQSELGTAFQLHSQVDVGVVLADPGCIEASVKQEVLINRNSVLFSITLKDKKLCYDQGISGHHLMETSMTVNVVGSSGLCFQETHLGPHMQGNLMVPVFIGCPPGKRLAFDITYTLEYSRLKNKHYFDCVNVNPEMPCFLFRDIFYPFFLIQDLVTGDSGSFQGSYVLLVVGGGPTLDSLKDYSEDEIYRFNSPLDKTNSLIWTTRTTRTTKDSAFHIMSHESPGIEWLCLENAPCYDNVPQGIFAPEFFFKVLVSNRGVDTSTYCNYQLTFLLHIHGLPLSPKRALFIIMVSASVFVGLVIFYIAFCLLWPLVVKGCTMIRWKINNLIASESYYTYASISGISSMPSLRHSRMGSMFSSRMTEDRAEPKEAVERQLMT
+>DECOY_sp|Q6ZRH7|CTSRG_HUMAN Cation channel sperm-associated protein subunit gamma OS=Homo sapiens OX=9606 GN=CATSPERG PE=2 SV=3
+TMLQREVAEKPEARDETMRSSFMSGMRSHRLSPMSSIGSISAYTYYSESAILNNIKWRIMTCGKVVLPWLLCFAIYFIVLGVFVSASVMIIFLARKPSLPLGHIHLLFTLQYNCYTSTDVGRNSVLVKFFFEPAFIGQPVNDYCPANELCLWEIGPSEHSMIHFASDKTTRTTRTTWILSNTKDLPSNFRYIEDESYDKLSDLTPGGGVVLLVYSGQFSGSDGTVLDQILFFPYFIDRFLFCPMEPNVNVCDFYHKNKLRSYELTYTIDFALRKGPPCGIFVPVMLNGQMHPGLHTEQFCLGSSGVVNVTMSTEMLHHGSIGQDYCLKKDKLTISFLVSNRNILVEQKVSAEICGPDALVVGVDVQSHLQFATGLESQTRFSHGQASLFIAFSYETGKDLFIREPLEYASELNYIKEYSDMEISVGGASRWNSALFFYYDQDQKNNAWWRWTPDHVPDAYPKDYVSHLWLLYYVLGQYIALSNENHFGSRELVRPPRARYREQRTYRQPSPLSRLRMVSFPCFDIFSLMLYGGKRELDYHSTHQQYMLLQEVPVLRKVLQGKQNNMLYVLQYLKSDEYFLTLMTENSAYLSSQQMLKLSIHVQWGKSPFLQYVRYSGELLYWIEETETVIAVAGRFSRAMYKISLEKPFDALYIFNEKNSSQLLFNGWIFILNNYPNCFIGKPAMATSTYSETGLIMLFELGRAEPIFEPIHYLLELDDSATNYSVVQFRKSANGYGSEVLLLLTYEGAIYESWIISCTTVGIQECVSWQRPLMEFSVQGDRITGFHVYGEHKGTTLAMIYESGNSHFYVPCLKKICESALVRIWSGSGRNREYLTTYTGTFYYVLQNQRIFLTSETAITDYITATFGSHPCYFSGVWCSDISLEVLSFDKFSTDTMLVYKENPIGGLILVPSQDVTHWLPRSSVGVFYQPMLNFLEEGVTFQINNDRDRKLFGNINMQFRKDPIFTGLGNSSIDVRMVVSGKKIPMPTYWSMSCVEEAMCPEKSRFPAAEMQIQLQEIKWRYFNVPSQFTVLVLPKLGTLHGMRVLDESPKEECSYNIKLYYPFGLYKESPDIPSDVLMHFLSSVTDVPEQEFFSDSVGSEGVRKFENLVVQWTCEQFDKIAWLRWSALLVALLAWLVQVVRVRPWPPGAPFMAPGCM
+>sp|O96002|CX001_HUMAN Putative transmembrane protein CXorf1 OS=Homo sapiens OX=9606 GN=CXorf1 PE=5 SV=1
+MYSRLFYLKSSYIIYFEPLFSNAIINILSFINSLASPLTIFCFALSAQALSTIFYFRIFIFIFHSWILLFHFYFTCSFKTYEHQHSKMVPAYRMQSPRALPRTYLYVWPYK
+>DECOY_sp|O96002|CX001_HUMAN Putative transmembrane protein CXorf1 OS=Homo sapiens OX=9606 GN=CXorf1 PE=5 SV=1
+KYPWVYLYTRPLARPSQMRYAPVMKSHQHEYTKFSCTFYFHFLLIWSHFIFIFIRFYFITSLAQASLAFCFITLPSALSNIFSLINIIANSFLPEFYIIYSSKLYFLRSYM
+>sp|Q8TB03|CX038_HUMAN Uncharacterized protein CXorf38 OS=Homo sapiens OX=9606 GN=CXorf38 PE=1 SV=1
+MVLSELAARLNCAEYKNWVKAGHCLLLLRSCLQGFVGREVLSFHRGLLAAAPGLGPRAVCRGGSRCSPRARQFQPQCQVCAEWKREILRHHVNRNGDVHWGNCRPGRWPVDAWEVAKAFMPRGLADKQGPEECDAVALLSLINSCDHFVVDRKKVTEVIKCRNEIMHSSEMKVSSTWLRDFQMKIQNFLNEFKNIPEIVAVYSRIEQLLTSDWAVHIPEEDQRDGCECEMGTYLSESQVNEIEMQLLKEKLQEIYLQAEEQEVLPEELSNRLEVVKEFLRNNEDLRNGLTEDMQKLDSLCLHQKLDSQEPGRQTPDRKA
+>DECOY_sp|Q8TB03|CX038_HUMAN Uncharacterized protein CXorf38 OS=Homo sapiens OX=9606 GN=CXorf38 PE=1 SV=1
+AKRDPTQRGPEQSDLKQHLCLSDLKQMDETLGNRLDENNRLFEKVVELRNSLEEPLVEQEEAQLYIEQLKEKLLQMEIENVQSESLYTGMECECGDRQDEEPIHVAWDSTLLQEIRSYVAVIEPINKFENLFNQIKMQFDRLWTSSVKMESSHMIENRCKIVETVKKRDVVFHDCSNILSLLAVADCEEPGQKDALGRPMFAKAVEWADVPWRGPRCNGWHVDGNRNVHHRLIERKWEACVQCQPQFQRARPSCRSGGRCVARPGLGPAAALLGRHFSLVERGVFGQLCSRLLLLCHGAKVWNKYEACNLRAALESLVM
+>sp|A8MYA2|CX049_HUMAN Uncharacterized protein CXorf49 OS=Homo sapiens OX=9606 GN=CXorf49 PE=2 SV=3
+MSSPDKVSVCGAGFDLEGGKKAGSRTASPGAPGAHSHGLDLGVPGSGDGKSESGFTDPEGFSFESESELIEQGRVVLWGREGRPGTPVDDQGDVVDYSFYLADEPAAIVPPPSVQGHPFPEGAAAEGSAENWADAEVGPSGRDVLGHSPGKWQQASAGRLHLCGPGPVRAWKNPERGSKSRWSLRVDPQQPSAKGPTRLPTHDSDSADESSDLPLMKVGICRNEGSQAKPGSPKKRADTSRQASFHCKESYLPVPGRFLTSAPRGLTPVAERPAVGELEDSPQKKMQSRAWGKVEVRPSCSGAAAAGALPQGLSRRKMAGGKKSLGGASQLALGRGFPACGERLSAAPPEPATFPPFSGVRPQGMSKKPQKPKHSSPGKKPAGRKTRESQAAAREDNDPNRDEVPRAQLPTHRPGLPRLSVRRGEFSSSDPNIRAPQLPGTSEPSAYSPGGLVPRRHAPSGNQQPPVHPPRPERQQQPPGAQGCPRCIWLQREIEDLTQQLAAMQFLTDKFQDL
+>DECOY_sp|A8MYA2|CX049_HUMAN Uncharacterized protein CXorf49 OS=Homo sapiens OX=9606 GN=CXorf49 PE=2 SV=3
+LDQFKDTLFQMAALQQTLDEIERQLWICRPCGQAGPPQQQREPRPPHVPPQQNGSPAHRRPVLGGPSYASPESTGPLQPARINPDSSSFEGRRVSLRPLGPRHTPLQARPVEDRNPDNDERAAAQSERTKRGAPKKGPSSHKPKQPKKSMGQPRVGSFPPFTAPEPPAASLREGCAPFGRGLALQSAGGLSKKGGAMKRRSLGQPLAGAAAAGSCSPRVEVKGWARSQMKKQPSDELEGVAPREAVPTLGRPASTLFRGPVPLYSEKCHFSAQRSTDARKKPSGPKAQSGENRCIGVKMLPLDSSEDASDSDHTPLRTPGKASPQQPDVRLSWRSKSGREPNKWARVPGPGCLHLRGASAQQWKGPSHGLVDRGSPGVEADAWNEASGEAAAGEPFPHGQVSPPPVIAAPEDALYFSYDVVDGQDDVPTGPRGERGWLVVRGQEILESESEFSFGEPDTFGSESKGDGSGPVGLDLGHSHAGPAGPSATRSGAKKGGELDFGAGCVSVKDPSSM
+>sp|Q96DE9|CX04B_HUMAN Protein CXorf40B OS=Homo sapiens OX=9606 GN=CXorf40B PE=2 SV=1
+MKFGCLSFRQPYAGFVLNGIKTVETRWRPLLSSQRNCTIAVHIAHRDWEGDACRELLVERLGMTPAQIQALLRKGEKFGRGVIAGLVDIGETLQCPEDLTPDEVVELENQAALTNLKQKYLTVISNPRWLLEPIPRKGGKDVFQVDIPEHLIPLGHEV
+>DECOY_sp|Q96DE9|CX04B_HUMAN Protein CXorf40B OS=Homo sapiens OX=9606 GN=CXorf40B PE=2 SV=1
+VEHGLPILHEPIDVQFVDKGGKRPIPELLWRPNSIVTLYKQKLNTLAAQNELEVVEDPTLDEPCQLTEGIDVLGAIVGRGFKEGKRLLAQIQAPTMGLREVLLERCADGEWDRHAIHVAITCNRQSSLLPRWRTEVTKIGNLVFGAYPQRFSLCGFKM
+>sp|Q8N2A0|CX062_HUMAN Putative uncharacterized protein encoded by LINC00269 OS=Homo sapiens OX=9606 GN=LINC00269 PE=5 SV=1
+MPKSLEIYKGSCNWEESGLLGSCFSQGLALLPRVEWSGAILAHCIVDLPSSSDPPTSASHFSGLQAHTTTARWSLTLLPRLECSGTISAHYNLRLLGSSNSPVSASQVAETTEACHHTRLIFVFSVETGFHHVGQAGLKLLTSGDPPASASQSAGITGVSHSARPKSCFLQLLG
+>DECOY_sp|Q8N2A0|CX062_HUMAN Putative uncharacterized protein encoded by LINC00269 OS=Homo sapiens OX=9606 GN=LINC00269 PE=5 SV=1
+GLLQLFCSKPRASHSVGTIGASQSASAPPDGSTLLKLGAQGVHHFGTEVSFVFILRTHHCAETTEAVQSASVPSNSSGLLRLNYHASITGSCELRPLLTLSWRATTTHAQLGSFHSASTPPDSSSPLDVICHALIAGSWEVRPLLALGQSFCSGLLGSEEWNCSGKYIELSKPM
+>sp|Q86X51|CX067_HUMAN Uncharacterized protein CXorf67 OS=Homo sapiens OX=9606 GN=CXorf67 PE=1 SV=1
+MATQSDMEKEQKHQQDEGQGGLNNETALASGDACGTGNQDPAASVTTVSSQASPSGGAALSSSTAGSSAAAATSAAIFITDEASGLPIIAAVLTERHSDRQDCRSPHEVFGCVVPEGGSQAAVGPQKATGHADEHLAQTKSPGNSRRRKQPCRNQAAPAQKPPGRRLFPEPLPPSSPGFRPSSYPCSGASTSSQATQPGPALLSHASEARPATRSRITLVASALRRRASGPGPVIRGCTAQPGPAFPHRATHLDPARLSPESAPGPARRGRASVPGPARRGCDSAPGPARRGRDSAPVSAPRGRDSAPGSARRGRDSAPGPALRVRTARSDAGHRSTSTTPGTGLRSRSTQQRSALLSRRSLSGSADENPSCGTGSERLAFQSRSGSPDPEVPSRASPPVWHAVRMRASSPSPPGRFFLPIPQQWDESSSSSYASNSSSPSRSPGLSPSSPSPEFLGLRSISTPSPESLRYALMPEFYALSPVPPEEQAEIESTAHPATPPEP
+>DECOY_sp|Q86X51|CX067_HUMAN Uncharacterized protein CXorf67 OS=Homo sapiens OX=9606 GN=CXorf67 PE=1 SV=1
+PEPPTAPHATSEIEAQEEPPVPSLAYFEPMLAYRLSEPSPTSISRLGLFEPSPSSPSLGPSRSPSSSNSAYSSSSSEDWQQPIPLFFRGPPSPSSARMRVAHWVPPSARSPVEPDPSGSRSQFALRESGTGCSPNEDASGSLSRRSLLASRQQTSRSRLGTGPTTSTSRHGADSRATRVRLAPGPASDRGRRASGPASDRGRPASVPASDRGRRAPGPASDCGRRAPGPVSARGRRAPGPASEPSLRAPDLHTARHPFAPGPQATCGRIVPGPGSARRRLASAVLTIRSRTAPRAESAHSLLAPGPQTAQSSTSAGSCPYSSPRFGPSSPPLPEPFLRRGPPKQAPAAQNRCPQKRRRSNGPSKTQALHEDAHGTAKQPGVAAQSGGEPVVCGFVEHPSRCDQRDSHRETLVAAIIPLGSAEDTIFIAASTAAAASSGATSSSLAAGGSPSAQSSVTTVSAAPDQNGTGCADGSALATENNLGGQGEDQQHKQEKEMDSQTAM
+>sp|P12074|CX6A1_HUMAN Cytochrome c oxidase subunit 6A1, mitochondrial OS=Homo sapiens OX=9606 GN=COX6A1 PE=1 SV=4
+MAVVGVSSVSRLLGRSRPQLGRPMSSGAHGEEGSARMWKTLTFFVALPGVAVSMLNVYLKSHHGEHERPEFIAYPHLRIRTKPFPWGDGNHTLFHNPHVNPLPTGYEDE
+>DECOY_sp|P12074|CX6A1_HUMAN Cytochrome c oxidase subunit 6A1, mitochondrial OS=Homo sapiens OX=9606 GN=COX6A1 PE=1 SV=4
+EDEYGTPLPNVHPNHFLTHNGDGWPFPKTRIRLHPYAIFEPREHEGHHSKLYVNLMSVAVGPLAVFFTLTKWMRASGEEGHAGSSMPRGLQPRSRGLLRSVSSVGVVAM
+>sp|Q6YFQ2|CX6B2_HUMAN Cytochrome c oxidase subunit 6B2 OS=Homo sapiens OX=9606 GN=COX6B2 PE=1 SV=1
+MLDVEAQEPPKGKWSTPPFDPRFPSQNQIRNCYQNFLDYHRCLKTRTRRGKSTQPCEYYFRVYHSLCPISWVESWNEQIKNGIFAGKI
+>DECOY_sp|Q6YFQ2|CX6B2_HUMAN Cytochrome c oxidase subunit 6B2 OS=Homo sapiens OX=9606 GN=COX6B2 PE=1 SV=1
+IKGAFIGNKIQENWSEVWSIPCLSHYVRFYYECPQTSKGRRTRTKLCRHYDLFNQYCNRIQNQSPFRPDFPPTSWKGKPPEQAEVDLM
+>sp|Q969M2|CXA10_HUMAN Gap junction alpha-10 protein OS=Homo sapiens OX=9606 GN=GJA10 PE=2 SV=1
+MGDWNLLGGILEEVHSHSTIVGKIWLTILFIFRMLVLRVAAEDVWDDEQSAFACNTRQPGCNNICYDDAFPISLIRFWVLQIIFVSSPSLVYMGHALYRLRAFEKDRQRKKSHLRAQMENPDLDLEEQQRIDRELRRLEEQKRIHKVPLKGCLLRTYVLHILTRSVLEVGFMIGQYILYGFQMHPLYKCTQPPCPNAVDCFVSRPTEKTIFMLFMHSIAAISLLLNILEIFHLGIRKIMRTLYKKSSSEGIEDETGPPFHLKKYSVAQQCMICSSLPERISPLQANNQQQVIRVNVPKSKTMWQIPQPRQLEVDPSNGKKDWSEKDQHSGQLHVHSPCPWAGSAGNQHLGQQSDHSSFGLQNTMSQSWLGTTTAPRNCPSFAVGTWEQSQDPEPSGEPLTDLHSHCRDSEGSMRESGVWIDRSRPGSRKASFLSRLLSEKRHLHSDSGSSGSRNSSCLDFPHWENSPSPLPSVTGHRTSMVRQAALPIMELSQELFHSGCFLFPFFLPGVCMYVCVDREADGGGDYLWRDKIIHSIHSVKFNS
+>DECOY_sp|Q969M2|CXA10_HUMAN Gap junction alpha-10 protein OS=Homo sapiens OX=9606 GN=GJA10 PE=2 SV=1
+SNFKVSHISHIIKDRWLYDGGGDAERDVCVYMCVGPLFFPFLFCGSHFLEQSLEMIPLAAQRVMSTRHGTVSPLPSPSNEWHPFDLCSSNRSGSSGSDSHLHRKESLLRSLFSAKRSGPRSRDIWVGSERMSGESDRCHSHLDTLPEGSPEPDQSQEWTGVAFSPCNRPATTTGLWSQSMTNQLGFSSHDSQQGLHQNGASGAWPCPSHVHLQGSHQDKESWDKKGNSPDVELQRPQPIQWMTKSKPVNVRIVQQQNNAQLPSIREPLSSCIMCQQAVSYKKLHFPPGTEDEIGESSSKKYLTRMIKRIGLHFIELINLLLSIAAISHMFLMFITKETPRSVFCDVANPCPPQTCKYLPHMQFGYLIYQGIMFGVELVSRTLIHLVYTRLLCGKLPVKHIRKQEELRRLERDIRQQEELDLDPNEMQARLHSKKRQRDKEFARLRYLAHGMYVLSPSSVFIIQLVWFRILSIPFADDYCINNCGPQRTNCAFASQEDDWVDEAAVRLVLMRFIFLITLWIKGVITSHSHVEELIGGLLNWDGM
+>sp|P57773|CXA9_HUMAN Gap junction alpha-9 protein OS=Homo sapiens OX=9606 GN=GJA9 PE=2 SV=2
+MGDWNLLGDTLEEVHIHSTMIGKIWLTILFIFRMLVLGVAAEDVWNDEQSGFICNTEQPGCRNVCYDQAFPISLIRYWVLQVIFVSSPSLVYMGHALYRLRVLEEERQRMKAQLRVELEEVEFEMPRDRRRLEQELCQLEKRKLNKAPLRGTLLCTYVIHIFTRSVVEVGFMIGQYLLYGFHLEPLFKCHGHPCPNIIDCFVSRPTEKTIFLLFMQSIATISLFLNILEIFHLGFKKIKRGLWGKYKLKKEHNEFHANKAKQNVAKYQSTSANSLKRLPSAPDYNLLVEKQTHTAVYPSLNSSSVFQPNPDNHSVNDEKCILDEQETVLSNEISTLSTSCSHFQHISSNNNKDTHKIFGKELNGNQLMEKRETEGKDSKRNYYSRGHRSIPGVAIDGENNMRQSPQTVFSLPANCDWKPRWLRATWGSSTEHENRGSPPKGNLKGQFRKGTVRTLPPSQGDSQSLDIPNTADSLGGLSFEPGLVRTCNNPVCPPNHVVSLTNNLIGRRVPTDLQI
+>DECOY_sp|P57773|CXA9_HUMAN Gap junction alpha-9 protein OS=Homo sapiens OX=9606 GN=GJA9 PE=2 SV=2
+IQLDTPVRRGILNNTLSVVHNPPCVPNNCTRVLGPEFSLGGLSDATNPIDLSQSDGQSPPLTRVTGKRFQGKLNGKPPSGRNEHETSSGWTARLWRPKWDCNAPLSFVTQPSQRMNNEGDIAVGPISRHGRSYYNRKSDKGETERKEMLQNGNLEKGFIKHTDKNNNSSIHQFHSCSTSLTSIENSLVTEQEDLICKEDNVSHNDPNPQFVSSSNLSPYVATHTQKEVLLNYDPASPLRKLSNASTSQYKAVNQKAKNAHFENHEKKLKYKGWLGRKIKKFGLHFIELINLFLSITAISQMFLLFITKETPRSVFCDIINPCPHGHCKFLPELHFGYLLYQGIMFGVEVVSRTFIHIVYTCLLTGRLPAKNLKRKELQCLEQELRRRDRPMEFEVEELEVRLQAKMRQREEELVRLRYLAHGMYVLSPSSVFIVQLVWYRILSIPFAQDYCVNRCGPQETNCIFGSQEDNWVDEAAVGLVLMRFIFLITLWIKGIMTSHIHVEELTDGLLNWDGM
+>sp|Q7LFL8|CXXC5_HUMAN CXXC-type zinc finger protein 5 OS=Homo sapiens OX=9606 GN=CXXC5 PE=1 SV=1
+MSSLGGGSQDAGGSSSSSTNGSGGSGSSGPKAGAADKSAVVAAAAPASVADDTPPPERRNKSGIISEPLNKSLRRSRPLSHYSSFGSSGGSGGGSMMGGESADKATAAAAAASLLANGHDLAAAMAVDKSNPTSKHKSGAVASLLSKAERATELAAEGQLTLQQFAQSTEMLKRVVQEHLPLMSEAGAGLPDMEAVAGAEALNGQSDFPYLGAFPINPGLFIMTPAGVFLAESALHMAGLAEYPMQGELASAISSGKKKRKRCGMCAPCRRRINCEQCSSCRNRKTGHQICKFRKCEELKKKPSAALEKVMLPTGAAFRWFQ
+>DECOY_sp|Q7LFL8|CXXC5_HUMAN CXXC-type zinc finger protein 5 OS=Homo sapiens OX=9606 GN=CXXC5 PE=1 SV=1
+QFWRFAAGTPLMVKELAASPKKKLEECKRFKCIQHGTKRNRCSSCQECNIRRRCPACMGCRKRKKKGSSIASALEGQMPYEALGAMHLASEALFVGAPTMIFLGPNIPFAGLYPFDSQGNLAEAGAVAEMDPLGAGAESMLPLHEQVVRKLMETSQAFQQLTLQGEAALETAREAKSLLSAVAGSKHKSTPNSKDVAMAAALDHGNALLSAAAAAATAKDASEGGMMSGGGSGGSSGFSSYHSLPRSRRLSKNLPESIIGSKNRREPPPTDDAVSAPAAAAVVASKDAAGAKPGSSGSGGSGNTSSSSSGGADQSGGGLSSM
+>sp|P49447|CY561_HUMAN Cytochrome b561 OS=Homo sapiens OX=9606 GN=CYB561 PE=1 SV=2
+MEGGAAAATPTALPYYVAFSQLLGLTLVAMTGAWLGLYRGGIAWESDLQFNAHPLCMVIGLIFLQGNALLVYRVFRNEAKRTTKVLHGLLHIFALVIALVGLVAVFDYHRKKGYADLYSLHSWCGILVFVLYFVQWLVGFSFFLFPGASFSLRSRYRPQHIFFGATIFLLSVGTALLGLKEALLFNLGGKYSAFEPEGVLANVLGLLLACFGGAVLYILTRADWKRPSQAEEQALSMDFKTLTEGDSPGSQ
+>DECOY_sp|P49447|CY561_HUMAN Cytochrome b561 OS=Homo sapiens OX=9606 GN=CYB561 PE=1 SV=2
+QSGPSDGETLTKFDMSLAQEEAQSPRKWDARTLIYLVAGGFCALLLGLVNALVGEPEFASYKGGLNFLLAEKLGLLATGVSLLFITAGFFIHQPRYRSRLSFSAGPFLFFSFGVLWQVFYLVFVLIGCWSHLSYLDAYGKKRHYDFVAVLGVLAIVLAFIHLLGHLVKTTRKAENRFVRYVLLANGQLFILGIVMCLPHANFQLDSEWAIGGRYLGLWAGTMAVLTLGLLQSFAVYYPLATPTAAAAGGEM
+>sp|Q96F07|CYFP2_HUMAN Cytoplasmic FMR1-interacting protein 2 OS=Homo sapiens OX=9606 GN=CYFIP2 PE=1 SV=2
+MTTHVTLEDALSNVDLLEELPLPDQQPCIEPPPSSIMYQANFDTNFEDRNAFVTGIARYIEQATVHSSMNEMLEEGHEYAVMLYTWRSCSRAIPQVKCNEQPNRVEIYEKTVEVLEPEVTKLMKFMYFQRKAIERFCSEVKRLCHAERRKDFVSEAYLLTLGKFINMFAVLDELKNMKCSVKNDHSAYKRAAQFLRKMADPQSIQESQNLSMFLANHNRITQCLHQQLEVIPGYEELLADIVNICVDYYENKMYLTPSEKHMLLKVMGFGLYLMDGNVSNIYKLDAKKRINLSKIDKFFKQLQVVPLFGDMQIELARYIKTSAHYEENKSKWTCTQSSISPQYNICEQMVQIRDDHIRFISELARYSNSEVVTGSGLDSQKSDEEYRELFDLALRGLQLLSKWSAHVMEVYSWKLVHPTDKFCNKDCPGTAEEYERATRYNYTSEEKFAFVEVIAMIKGLQVLMGRMESVFNQAIRNTIYAALQDFAQVTLREPLRQAVRKKKNVLISVLQAIRKTICDWEGGREPPNDPCLRGEKDPKGGFDIKVPRRAVGPSSTQACQWSPRALFHPTGGTQGRRGCRSLLYMVRTMLESLIADKSGSKKTLRSSLDGPIVLAIEDFHKQSFFFTHLLNISEALQQCCDLSQLWFREFFLELTMGRRIQFPIEMSMPWILTDHILETKEPSMMEYVLYPLDLYNDSAYYALTKFKKQFLYDEIEAEVNLCFDQFVYKLADQIFAYYKAMAGSVLLDKRFRAECKNYGVIIPYPPSNRYETLLKQRHVQLLGRSIDLNRLITQRISAAMYKSLDQAISRFESEDLTSIVELEWLLEINRLTHRLLCKHMTLDSFDAMFREANHNVSAPYGRITLHVFWELNFDFLPNYCYNGSTNRFVRTAIPFTQEPQRDKPANVQPYYLYGSKPLNIAYSHIYSSYRNFVGPPHFKTICRLLGYQGIAVVMEELLKIVKSLLQGTILQYVKTLIEVMPKICRLPRHEYGSPGILEFFHHQLKDIIEYAELKTDVFQSLREVGNAILFCLLIEQALSQEEVCDLLHAAPFQNILPRVYIKEGERLEVRMKRLEAKYAPLHLVPLIERLGTPQQIAIAREGDLLTKERLCCGLSMFEVILTRIRSYLQDPIWRGPPPTNGVMHVDECVEFHRLWSAMQFVYCIPVGTNEFTAEQCFGDGLNWAGCSIIVLLGQQRRFDLFDFCYHLLKVQRQDGKDEIIKNVPLKKMADRIRKYQILNNEVFAILNKYMKSVETDSSTVEHVRCFQPPIHQSLATTC
+>DECOY_sp|Q96F07|CYFP2_HUMAN Cytoplasmic FMR1-interacting protein 2 OS=Homo sapiens OX=9606 GN=CYFIP2 PE=1 SV=2
+CTTALSQHIPPQFCRVHEVTSSDTEVSKMYKNLIAFVENNLIQYKRIRDAMKKLPVNKIIEDKGDQRQVKLLHYCFDFLDFRRQQGLLVIISCGAWNLGDGFCQEATFENTGVPICYVFQMASWLRHFEVCEDVHMVGNTPPPGRWIPDQLYSRIRTLIVEFMSLGCCLREKTLLDGERAIAIQQPTGLREILPVLHLPAYKAELRKMRVELREGEKIYVRPLINQFPAAHLLDCVEEQSLAQEILLCFLIANGVERLSQFVDTKLEAYEIIDKLQHHFFELIGPSGYEHRPLRCIKPMVEILTKVYQLITGQLLSKVIKLLEEMVVAIGQYGLLRCITKFHPPGVFNRYSSYIHSYAINLPKSGYLYYPQVNAPKDRQPEQTFPIATRVFRNTSGNYCYNPLFDFNLEWFVHLTIRGYPASVNHNAERFMADFSDLTMHKCLLRHTLRNIELLWELEVISTLDESEFRSIAQDLSKYMAASIRQTILRNLDISRGLLQVHRQKLLTEYRNSPPYPIIVGYNKCEARFRKDLLVSGAMAKYYAFIQDALKYVFQDFCLNVEAEIEDYLFQKKFKTLAYYASDNYLDLPYLVYEMMSPEKTELIHDTLIWPMSMEIPFQIRRGMTLELFFERFWLQSLDCCQQLAESINLLHTFFFSQKHFDEIALVIPGDLSSRLTKKSGSKDAILSELMTRVMYLLSRCGRRGQTGGTPHFLARPSWQCAQTSSPGVARRPVKIDFGGKPDKEGRLCPDNPPERGGEWDCITKRIAQLVSILVNKKKRVAQRLPERLTVQAFDQLAAYITNRIAQNFVSEMRGMLVQLGKIMAIVEVFAFKEESTYNYRTAREYEEATGPCDKNCFKDTPHVLKWSYVEMVHASWKSLLQLGRLALDFLERYEEDSKQSDLGSGTVVESNSYRALESIFRIHDDRIQVMQECINYQPSISSQTCTWKSKNEEYHASTKIYRALEIQMDGFLPVVQLQKFFKDIKSLNIRKKADLKYINSVNGDMLYLGFGMVKLLMHKESPTLYMKNEYYDVCINVIDALLEEYGPIVELQQHLCQTIRNHNALFMSLNQSEQISQPDAMKRLFQAARKYASHDNKVSCKMNKLEDLVAFMNIFKGLTLLYAESVFDKRREAHCLRKVESCFREIAKRQFYMFKMLKTVEPELVEVTKEYIEVRNPQENCKVQPIARSCSRWTYLMVAYEHGEELMENMSSHVTAQEIYRAIGTVFANRDEFNTDFNAQYMISSPPPEICPQQDPLPLEELLDVNSLADELTVHTTM
+>sp|O43739|CYH3_HUMAN Cytohesin-3 OS=Homo sapiens OX=9606 GN=CYTH3 PE=1 SV=2
+MDEDGGGEGGGVPEDLSLEEREELLDIRRRKKELIDDIERLKYEIAEVMTEIDNLTSVEESKTTQRNKQIAMGRKKFNMDPKKGIQFLIENDLLQSSPEDVAQFLYKGEGLNKTVIGDYLGERDEFNIKVLQAFVELHEFADLNLVQALRQFLWSFRLPGEAQKIDRMMEAFASRYCLCNPGVFQSTDTCYVLSFAIIMLNTSLHNHNVRDKPTAERFIAMNRGINEGGDLPEELLRNLYESIKNEPFKIPEDDGNDLTHTFFNPDREGWLLKLGGGRVKTWKRRWFILTDNCLYYFEYTTDKEPRGIIPLENLSIREVEDPRKPNCFELYNPSHKGQVIKACKTEADGRVVEGNHVVYRISAPSPEEKEEWMKSIKASISRDPFYDMLATRKRRIANKK
+>DECOY_sp|O43739|CYH3_HUMAN Cytohesin-3 OS=Homo sapiens OX=9606 GN=CYTH3 PE=1 SV=2
+KKNAIRRKRTALMDYFPDRSISAKISKMWEEKEEPSPASIRYVVHNGEVVRGDAETKCAKIVQGKHSPNYLEFCNPKRPDEVERISLNELPIIGRPEKDTTYEFYYLCNDTLIFWRRKWTKVRGGGLKLLWGERDPNFFTHTLDNGDDEPIKFPENKISEYLNRLLEEPLDGGENIGRNMAIFREATPKDRVNHNHLSTNLMIIAFSLVYCTDTSQFVGPNCLCYRSAFAEMMRDIKQAEGPLRFSWLFQRLAQVLNLDAFEHLEVFAQLVKINFEDREGLYDGIVTKNLGEGKYLFQAVDEPSSQLLDNEILFQIGKKPDMNFKKRGMAIQKNRQTTKSEEVSTLNDIETMVEAIEYKLREIDDILEKKRRRIDLLEEREELSLDEPVGGGEGGGDEDM
+>sp|Q717R9|CYS1_HUMAN Cystin-1 OS=Homo sapiens OX=9606 GN=CYS1 PE=1 SV=1
+MGSGSSRSSRTLRRRRSPESLPAGPGAAALEGGTRRRVPVAAAEVPGAAAEEAPGRDPSPVAPPDGRDETLRLLDELLAESAAWGPPEPAPRRPARLRPTAVAGSAVCAEQSTEGHPGSGNVSEAPGSGRKKPERPAAISYDHSEEGLMASIEREYCR
+>DECOY_sp|Q717R9|CYS1_HUMAN Cystin-1 OS=Homo sapiens OX=9606 GN=CYS1 PE=1 SV=1
+RCYEREISAMLGEESHDYSIAAPREPKKRGSGPAESVNGSGPHGETSQEACVASGAVATPRLRAPRRPAPEPPGWAASEALLEDLLRLTEDRGDPPAVPSPDRGPAEEAAAGPVEAAAVPVRRRTGGELAAAGPGAPLSEPSRRRRLTRSSRSSGSGM
+>sp|Q9H1C7|CYTM1_HUMAN Cysteine-rich and transmembrane domain-containing protein 1 OS=Homo sapiens OX=9606 GN=CYSTM1 PE=1 SV=1
+MNQENPPPYPGPGPTAPYPPYPPQPMGPGPMGGPYPPPQGYPYQGYPQYGWQGGPQEPPKTTVYVVEDQRRDELGPSTCLTACWTALCCCCLWDMLT
+>DECOY_sp|Q9H1C7|CYTM1_HUMAN Cysteine-rich and transmembrane domain-containing protein 1 OS=Homo sapiens OX=9606 GN=CYSTM1 PE=1 SV=1
+TLMDWLCCCCLATWCATLCTSPGLEDRRQDEVVYVTTKPPEQPGGQWGYQPYGQYPYGQPPPYPGGMPGPGMPQPPYPPYPATPGPGPYPPPNEQNM
+>sp|Q15828|CYTM_HUMAN Cystatin-M OS=Homo sapiens OX=9606 GN=CST6 PE=1 SV=1
+MARSNLPLALGLALVAFCLLALPRDARARPQERMVGELRDLSPDDPQVQKAAQAAVASYNMGSNSIYYFRDTHIIKAQSQLVAGIKYFLTMEMGSTDCRKTRVTGDHVDLTTCPLAAGAQQEKLRCDFEVLVVPWQNSSQLLKHNCVQM
+>DECOY_sp|Q15828|CYTM_HUMAN Cystatin-M OS=Homo sapiens OX=9606 GN=CST6 PE=1 SV=1
+MQVCNHKLLQSSNQWPVVLVEFDCRLKEQQAGAALPCTTLDVHDGTVRTKRCDTSGMEMTLFYKIGAVLQSQAKIIHTDRFYYISNSGMNYSAVAAQAAKQVQPDDPSLDRLEGVMREQPRARADRPLALLCFAVLALGLALPLNSRAM
+>sp|P01036|CYTS_HUMAN Cystatin-S OS=Homo sapiens OX=9606 GN=CST4 PE=1 SV=3
+MARPLCTLLLLMATLAGALASSSKEENRIIPGGIYDADLNDEWVQRALHFAISEYNKATEDEYYRRPLQVLRAREQTFGGVNYFFDVEVGRTICTKSQPNLDTCAFHEQPELQKKQLCSFEIYEVPWEDRMSLVNSRCQEA
+>DECOY_sp|P01036|CYTS_HUMAN Cystatin-S OS=Homo sapiens OX=9606 GN=CST4 PE=1 SV=3
+AEQCRSNVLSMRDEWPVEYIEFSCLQKKQLEPQEHFACTDLNPQSKTCITRGVEVDFFYNVGGFTQERARLVQLPRRYYEDETAKNYESIAFHLARQVWEDNLDADYIGGPIIRNEEKSSSALAGALTAMLLLLTCLPRAM
+>sp|Q96J86|CYYR1_HUMAN Cysteine and tyrosine-rich protein 1 OS=Homo sapiens OX=9606 GN=CYYR1 PE=2 SV=1
+MDAPRLPVRPGVLLPKLVLLFVYADDCLAQCGKDCKSYCCDGTTPYCCSYYAYIGNILSGTAIAGIVFGIVFIMGVIAGIAICICMCMKNHRATRVGILRTTHINTVSSYPGPPPYGHDHEMEYCADLPPPYSPTPQGPAQRSPPPPYPGNARK
+>DECOY_sp|Q96J86|CYYR1_HUMAN Cysteine and tyrosine-rich protein 1 OS=Homo sapiens OX=9606 GN=CYYR1 PE=2 SV=1
+KRANGPYPPPPSRQAPGQPTPSYPPPLDACYEMEHDHGYPPPGPYSSVTNIHTTRLIGVRTARHNKMCMCICIAIGAIVGMIFVIGFVIGAIATGSLINGIYAYYSCCYPTTGDCCYSKCDKGCQALCDDAYVFLLVLKPLLVGPRVPLRPADM
+>sp|Q9Y4D1|DAAM1_HUMAN Disheveled-associated activator of morphogenesis 1 OS=Homo sapiens OX=9606 GN=DAAM1 PE=1 SV=2
+MAPRKRGGRGISFIFCCFRNNDHPEITYRLRNDSNFALQTMEPALPMPPVEELDVMFSELVDELDLTDKHREAMFALPAEKKWQIYCSKKKDQEENKGATSWPEFYIDQLNSMAARKSLLALEKEEEEERSKTIESLKTALRTKPMRFVTRFIDLDGLSCILNFLKTMDYETSESRIHTSLIGCIKALMNNSQGRAHVLAHSESINVIAQSLSTENIKTKVAVLEILGAVCLVPGGHKKVLQAMLHYQKYASERTRFQTLINDLDKSTGRYRDEVSLKTAIMSFINAVLSQGAGVESLDFRLHLRYEFLMLGIQPVIDKLREHENSTLDRHLDFFEMLRNEDELEFAKRFELVHIDTKSATQMFELTRKRLTHSEAYPHFMSILHHCLQMPYKRSGNTVQYWLLLDRIIQQIVIQNDKGQDPDSTPLENFNIKNVVRMLVNENEVKQWKEQAEKMRKEHNELQQKLEKKERECDAKTQEKEEMMQTLNKMKEKLEKETTEHKQVKQQVADLTAQLHELSRRAVCASIPGGPSPGAPGGPFPSSVPGSLLPPPPPPPLPGGMLPPPPPPLPPGGPPPPPGPPPLGAIMPPPGAPMGLALKKKSIPQPTNALKSFNWSKLPENKLEGTVWTEIDDTKVFKILDLEDLERTFSAYQRQQDFFVNSNSKQKEADAIDDTLSSKLKVKELSVIDGRRAQNCNILLSRLKLSNDEIKRAILTMDEQEDLPKDMLEQLLKFVPEKSDIDLLEEHKHELDRMAKADRFLFEMSRINHYQQRLQSLYFKKKFAERVAEVKPKVEAIRSGSEEVFRSGALKQLLEVVLAFGNYMNKGQRGNAYGFKISSLNKIADTKSSIDKNITLLHYLITIVENKYPSVLNLNEELRDIPQAAKVNMTELDKEISTLRSGLKAVETELEYQKSQPPQPGDKFVSVVSQFITVASFSFSDVEDLLAEAKDLFTKAVKHFGEEAGKIQPDEFFGIFDQFLQAVSEAKQENENMRKKKEEEERRARMEAQLKEQRERERKMRKAKENSEESGEFDDLVSALRSGEVFDKDLSKLKRNRKRITNQMTDSSRERPITKLNF
+>DECOY_sp|Q9Y4D1|DAAM1_HUMAN Disheveled-associated activator of morphogenesis 1 OS=Homo sapiens OX=9606 GN=DAAM1 PE=1 SV=2
+FNLKTIPRERSSDTMQNTIRKRNRKLKSLDKDFVEGSRLASVLDDFEGSEESNEKAKRMKRERERQEKLQAEMRARREEEEKKKRMNENEQKAESVAQLFQDFIGFFEDPQIKGAEEGFHKVAKTFLDKAEALLDEVDSFSFSAVTIFQSVVSVFKDGPQPPQSKQYELETEVAKLGSRLTSIEKDLETMNVKAAQPIDRLEENLNLVSPYKNEVITILYHLLTINKDISSKTDAIKNLSSIKFGYANGRQGKNMYNGFALVVELLQKLAGSRFVEESGSRIAEVKPKVEAVREAFKKKFYLSQLRQQYHNIRSMEFLFRDAKAMRDLEHKHEELLDIDSKEPVFKLLQELMDKPLDEQEDMTLIARKIEDNSLKLRSLLINCNQARRGDIVSLEKVKLKSSLTDDIADAEKQKSNSNVFFDQQRQYASFTRELDELDLIKFVKTDDIETWVTGELKNEPLKSWNFSKLANTPQPISKKKLALGMPAGPPPMIAGLPPPGPPPPPGGPPLPPPPPPLMGGPLPPPPPPPLLSGPVSSPFPGGPAGPSPGGPISACVARRSLEHLQATLDAVQQKVQKHETTEKELKEKMKNLTQMMEEKEQTKADCEREKKELKQQLENHEKRMKEAQEKWQKVENENVLMRVVNKINFNELPTSDPDQGKDNQIVIQQIIRDLLLWYQVTNGSRKYPMQLCHHLISMFHPYAESHTLRKRTLEFMQTASKTDIHVLEFRKAFELEDENRLMEFFDLHRDLTSNEHERLKDIVPQIGLMLFEYRLHLRFDLSEVGAGQSLVANIFSMIATKLSVEDRYRGTSKDLDNILTQFRTRESAYKQYHLMAQLVKKHGGPVLCVAGLIELVAVKTKINETSLSQAIVNISESHALVHARGQSNNMLAKICGILSTHIRSESTEYDMTKLFNLICSLGDLDIFRTVFRMPKTRLATKLSEITKSREEEEEKELALLSKRAAMSNLQDIYFEPWSTAGKNEEQDKKKSCYIQWKKEAPLAFMAERHKDTLDLEDVLESFMVDLEEVPPMPLAPEMTQLAFNSDNRLRYTIEPHDNNRFCCFIFSIGRGGRKRPAM
+>sp|P59103|DAOA_HUMAN D-amino acid oxidase activator OS=Homo sapiens OX=9606 GN=DAOA PE=1 SV=2
+MLEKLMGADSLQLFRSRYTLGKIYFIGFQRSILLSKSENSLNSIAKETEEGRETVTRKEGWKRRHEDGYLEMAQRHLQRSLCPWVSYLPQPYAELEEVSSHVGKVFMARNYEFLAYEASKDRRQPLERMWTCNYNQQKDQSCNHKEITSTKAE
+>DECOY_sp|P59103|DAOA_HUMAN D-amino acid oxidase activator OS=Homo sapiens OX=9606 GN=DAOA PE=1 SV=2
+EAKTSTIEKHNCSQDKQQNYNCTWMRELPQRRDKSAEYALFEYNRAMFVKGVHSSVEELEAYPQPLYSVWPCLSRQLHRQAMELYGDEHRRKWGEKRTVTERGEETEKAISNLSNESKSLLISRQFGIFYIKGLTYRSRFLQLSDAGMLKELM
+>sp|O43293|DAPK3_HUMAN Death-associated protein kinase 3 OS=Homo sapiens OX=9606 GN=DAPK3 PE=1 SV=1
+MSTFRQEDVEDHYEMGEELGSGQFAIVRKCRQKGTGKEYAAKFIKKRRLSSSRRGVSREEIEREVNILREIRHPNIITLHDIFENKTDVVLILELVSGGELFDFLAEKESLTEDEATQFLKQILDGVHYLHSKRIAHFDLKPENIMLLDKNVPNPRIKLIDFGIAHKIEAGNEFKNIFGTPEFVAPEIVNYEPLGLEADMWSIGVITYILLSGASPFLGETKQETLTNISAVNYDFDEEYFSNTSELAKDFIRRLLVKDPKRRMTIAQSLEHSWIKAIRRRNVRGEDSGRKPERRRLKTTRLKEYTIKSHSSLPPNNSYADFERFSKVLEEAAAAEEGLRELQRSRRLCHEDVEALAAIYEEKEAWYREESDSLGQDLRRLRQELLKTEALKRQAQEEAKGALLGTSGLKRRFSRLENRYEALAKQVASEMRFVQDLVRALEQEKLQGVECGLR
+>DECOY_sp|O43293|DAPK3_HUMAN Death-associated protein kinase 3 OS=Homo sapiens OX=9606 GN=DAPK3 PE=1 SV=1
+RLGCEVGQLKEQELARVLDQVFRMESAVQKALAEYRNELRSFRRKLGSTGLLAGKAEEQAQRKLAETKLLEQRLRRLDQGLSDSEERYWAEKEEYIAALAEVDEHCLRRSRQLERLGEEAAAAEELVKSFREFDAYSNNPPLSSHSKITYEKLRTTKLRRREPKRGSDEGRVNRRRIAKIWSHELSQAITMRRKPDKVLLRRIFDKALESTNSFYEEDFDYNVASINTLTEQKTEGLFPSAGSLLIYTIVGISWMDAELGLPEYNVIEPAVFEPTGFINKFENGAEIKHAIGFDILKIRPNPVNKDLLMINEPKLDFHAIRKSHLYHVGDLIQKLFQTAEDETLSEKEALFDFLEGGSVLELILVVDTKNEFIDHLTIINPHRIERLINVEREIEERSVGRRSSSLRRKKIFKAAYEKGTGKQRCKRVIAFQGSGLEEGMEYHDEVDEQRFTSM
+>sp|Q13117|DAZ2_HUMAN Deleted in azoospermia protein 2 OS=Homo sapiens OX=9606 GN=DAZ2 PE=1 SV=3
+MSAANPETPNSTISREASTQSSSAAASQGWVLPEGKIVPNTVFVGGIDARMDETEIGSCFGRYGSVKEVKIITNRTGVSKGYGFVSFVNDVDVQKIVGSQIHFHGKKLKLGPAIRKQKLCARHVQPRPLVVNPPPPPQFQNVWRNPNTETYLQPQITPNPVTQHVQAYSAYPHSPGQVITGCQLLVYNYQEYPTYPDSAFQVTTGYQLPVYNYQPFPAYPRSPFQVTAGYQLPVYNYQAFPAYPNSPFQVATGYQFPVYNYQPFPAYPSSPFQVTAGYQLPVYNYQAFPAYPNSPFQVATGYQFPVYNYQAFPAYPNSPVQVTTGYQLPVYNYQAFPAYPNSPVQVTTGYQLPVYNYQAFPAYPSSPFQVTTGYQLPVYNYQAFPAYPSSPFQVTTGYQLPVYNYQAFPAYPSSPFQVTTGYQLPVYNYQAFPAYPSSPFQVTTGYQLPVYNYQAFPAYPSSPFQVTTGYQLPVYNYQAFPAYPSSPFQVTTGYQLPVYNYQAFPAYPNSAVQVTTGYQFHVYNYQMPPQCPVGEQRRNLWTEAYKWWYLVCLIQRRD
+>DECOY_sp|Q13117|DAZ2_HUMAN Deleted in azoospermia protein 2 OS=Homo sapiens OX=9606 GN=DAZ2 PE=1 SV=3
+DRRQILCVLYWWKYAETWLNRRQEGVPCQPPMQYNYVHFQYGTTVQVASNPYAPFAQYNYVPLQYGTTVQFPSSPYAPFAQYNYVPLQYGTTVQFPSSPYAPFAQYNYVPLQYGTTVQFPSSPYAPFAQYNYVPLQYGTTVQFPSSPYAPFAQYNYVPLQYGTTVQFPSSPYAPFAQYNYVPLQYGTTVQFPSSPYAPFAQYNYVPLQYGTTVQVPSNPYAPFAQYNYVPLQYGTTVQVPSNPYAPFAQYNYVPFQYGTAVQFPSNPYAPFAQYNYVPLQYGATVQFPSSPYAPFPQYNYVPFQYGTAVQFPSNPYAPFAQYNYVPLQYGATVQFPSRPYAPFPQYNYVPLQYGTTVQFASDPYTPYEQYNYVLLQCGTIVQGPSHPYASYAQVHQTVPNPTIQPQLYTETNPNRWVNQFQPPPPPNVVLPRPQVHRACLKQKRIAPGLKLKKGHFHIQSGVIKQVDVDNVFSVFGYGKSVGTRNTIIKVEKVSGYRGFCSGIETEDMRADIGGVFVTNPVIKGEPLVWGQSAAASSSQTSAERSITSNPTEPNAASM
+>sp|Q30KR1|DB109_HUMAN Putative beta-defensin 109B OS=Homo sapiens OX=9606 GN=DEFB109B PE=5 SV=1
+MRLHLLLLILLLFSILLSPVRGGLGPAEGHCLNLFGVCRTDVCNIVEDQIGACRRRMKCCRAWWILMPIPTPLIMSDYQEPLKPNLK
+>DECOY_sp|Q30KR1|DB109_HUMAN Putative beta-defensin 109B OS=Homo sapiens OX=9606 GN=DEFB109B PE=5 SV=1
+KLNPKLPEQYDSMILPTPIPMLIWWARCCKMRRRCAGIQDEVINCVDTRCVGFLNLCHGEAPGLGGRVPSLLISFLLLILLLLHLRM
+>sp|Q30KQ8|DB112_HUMAN Beta-defensin 112 OS=Homo sapiens OX=9606 GN=DEFB112 PE=3 SV=1
+MKLLTTICRLKLEKMYSKTNTSSTIFEKARHGTEKISTARSEGHHITFSRWKSCTAIGGRCKNQCDDSEFRISYCARPTTHCCVTECDPTDPNNWIPKDSVGTQEWYPKDSRH
+>DECOY_sp|Q30KQ8|DB112_HUMAN Beta-defensin 112 OS=Homo sapiens OX=9606 GN=DEFB112 PE=3 SV=1
+HRSDKPYWEQTGVSDKPIWNNPDTPDCETVCCHTTPRACYSIRFESDDCQNKCRGGIATCSKWRSFTIHHGESRATSIKETGHRAKEFITSSTNTKSYMKELKLRCITTLLKM
+>sp|Q30KQ7|DB113_HUMAN Beta-defensin 113 OS=Homo sapiens OX=9606 GN=DEFB113 PE=3 SV=1
+MKILCIFLTFVFTVSCGPSVPQKKTREVAERKRECQLVRGACKPECNSWEYVYYYCNVNPCCAVWEYQKPIINKITSKLHQK
+>DECOY_sp|Q30KQ7|DB113_HUMAN Beta-defensin 113 OS=Homo sapiens OX=9606 GN=DEFB113 PE=3 SV=1
+KQHLKSTIKNIIPKQYEWVACCPNVNCYYYVYEWSNCEPKCAGRVLQCERKREAVERTKKQPVSPGCSVTFVFTLFICLIKM
+>sp|Q30KQ6|DB114_HUMAN Beta-defensin 114 OS=Homo sapiens OX=9606 GN=DEFB114 PE=1 SV=1
+MRIFYYLHFLCYVTFILPATCTLVNADRCTKRYGRCKRDCLESEKQIDICSLPRKICCTEKLYEEDDMF
+>DECOY_sp|Q30KQ6|DB114_HUMAN Beta-defensin 114 OS=Homo sapiens OX=9606 GN=DEFB114 PE=1 SV=1
+FMDDEEYLKETCCIKRPLSCIDIQKESELCDRKCRGYRKTCRDANVLTCTAPLIFTVYCLFHLYYFIRM
+>sp|Q7Z7B7|DB132_HUMAN Beta-defensin 132 OS=Homo sapiens OX=9606 GN=DEFB132 PE=3 SV=1
+MKFLLLVLAALGFLTQVIPASAGGSKCVSNTPGYCRTCCHWGETALFMCNASRKCCISYSFLPKPDLPQLIGNHWQSRRRNTQRKDKKQQTTVTS
+>DECOY_sp|Q7Z7B7|DB132_HUMAN Beta-defensin 132 OS=Homo sapiens OX=9606 GN=DEFB132 PE=3 SV=1
+STVTTQQKKDKRQTNRRRSQWHNGILQPLDPKPLFSYSICCKRSANCMFLATEGWHCCTRCYGPTNSVCKSGGASAPIVQTLFGLAALVLLLFKM
+>sp|Q9UBU7|DBF4A_HUMAN Protein DBF4 homolog A OS=Homo sapiens OX=9606 GN=DBF4 PE=1 SV=1
+MNSGAMRIHSKGHFQGGIQVKNEKNRPSLKSLKTDNRPEKSKCKPLWGKVFYLDLPSVTISEKLQKDIKDLGGRVEEFLSKDISYLISNKKEAKFAQTLGRISPVPSPESAYTAETTSPHPSHDGSSFKSPDTVCLSRGKLLVEKAIKDHDFIPSNSILSNALSWGVKILHIDDIRYYIEQKKKELYLLKKSSTSVRDGGKRVGSGAQKTRTGRLKKPFVKVEDMSQLYRPFYLQLTNMPFINYSIQKPCSPFDVDKPSSMQKQTQVKLRIQTDGDKYGGTSIQLQLKEKKKKGYCECCLQKYEDLETHLLSEQHRNFAQSNQYQVVDDIVSKLVFDFVEYEKDTPKKKRIKYSVGSLSPVSASVLKKTEQKEKVELQHISQKDCQEDDTTVKEQNFLYKETQETEKKLLFISEPIPHPSNELRGLNEKMSNKCSMLSTAEDDIRQNFTQLPLHKNKQECILDISEHTLSENDLEELRVDHYKCNIQASVHVSDFSTDNSGSQPKQKSDTVLFPAKDLKEKDLHSIFTHDSGLITINSSQEHLTVQAKAPFHTPPEEPNECDFKNMDSLPSGKIHRKVKIILGRNRKENLEPNAEFDKRTEFITQEENRICSSPVQSLLDLFQTSEEKSEFLGFTSYTEKSGICNVLDIWEEENSDNLLTAFFSSPSTSTFTGF
+>DECOY_sp|Q9UBU7|DBF4A_HUMAN Protein DBF4 homolog A OS=Homo sapiens OX=9606 GN=DBF4 PE=1 SV=1
+FGTFTSTSPSSFFATLLNDSNEEEWIDLVNCIGSKETYSTFGLFESKEESTQFLDLLSQVPSSCIRNEEQTIFETRKDFEANPELNEKRNRGLIIKVKRHIKGSPLSDMNKFDCENPEEPPTHFPAKAQVTLHEQSSNITILGSDHTFISHLDKEKLDKAPFLVTDSKQKPQSGSNDTSFDSVHVSAQINCKYHDVRLEELDNESLTHESIDLICEQKNKHLPLQTFNQRIDDEATSLMSCKNSMKENLGRLENSPHPIPESIFLLKKETEQTEKYLFNQEKVTTDDEQCDKQSIHQLEVKEKQETKKLVSASVPSLSGVSYKIRKKKPTDKEYEVFDFVLKSVIDDVVQYQNSQAFNRHQESLLHTELDEYKQLCCECYGKKKKEKLQLQISTGGYKDGDTQIRLKVQTQKQMSSPKDVDFPSCPKQISYNIFPMNTLQLYFPRYLQSMDEVKVFPKKLRGTRTKQAGSGVRKGGDRVSTSSKKLLYLEKKKQEIYYRIDDIHLIKVGWSLANSLISNSPIFDHDKIAKEVLLKGRSLCVTDPSKFSSGDHSPHPSTTEATYASEPSPVPSIRGLTQAFKAEKKNSILYSIDKSLFEEVRGGLDKIDKQLKESITVSPLDLYFVKGWLPKCKSKEPRNDTKLSKLSPRNKENKVQIGGQFHGKSHIRMAGSNM
+>sp|Q99966|CITE1_HUMAN Cbp/p300-interacting transactivator 1 OS=Homo sapiens OX=9606 GN=CITED1 PE=1 SV=2
+MPTTSRPALDVKGGTSPAKEDANQEMSSVAYSNLAVKDRKAVAILHYPGVASNGTKASGAPTSSSGSPIGSPTTTPPTKPPSFNLHPAPHLLASMHLQKLNSQYQGMAAATPGQPGEAGPLQNWDFGAQAGGAESLSPSAGAQSPAIIDSDPVDEEVLMSLVVELGLDRANELPELWLGQNEFDFTADFPSSC
+>DECOY_sp|Q99966|CITE1_HUMAN Cbp/p300-interacting transactivator 1 OS=Homo sapiens OX=9606 GN=CITED1 PE=1 SV=2
+CSSPFDATFDFENQGLWLEPLENARDLGLEVVLSMLVEEDVPDSDIIAPSQAGASPSLSEAGGAQAGFDWNQLPGAEGPQGPTAAAMGQYQSNLKQLHMSALLHPAPHLNFSPPKTPPTTTPSGIPSGSSSTPAGSAKTGNSAVGPYHLIAVAKRDKVALNSYAVSSMEQNADEKAPSTGGKVDLAPRSTTPM
+>sp|Q5SWW7|CJ055_HUMAN Uncharacterized protein C10orf55 OS=Homo sapiens OX=9606 GN=C10orf55 PE=1 SV=1
+MFLHLDSHSSLERTKPTVVGVDTHMELDEVHCCPGRDSGGGFIKGPMLQGLQGEGKLAPIPKPTLPSPSRLTLFVSSSQMEDHGFPARRNGLTQASFIYQMPAGWGSPGGLFLPCQPVPTPVVLKPPLPPCPISWGESGPAVDGIRRTPAP
+>DECOY_sp|Q5SWW7|CJ055_HUMAN Uncharacterized protein C10orf55 OS=Homo sapiens OX=9606 GN=C10orf55 PE=1 SV=1
+PAPTRRIGDVAPGSEGWSIPCPPLPPKLVVPTPVPQCPLFLGGPSGWGAPMQYIFSAQTLGNRRAPFGHDEMQSSSVFLTLRSPSPLTPKPIPALKGEGQLGQLMPGKIFGGGSDRGPCCHVEDLEMHTDVGVVTPKTRELSSHSDLHLFM
+>sp|Q8N1V8|CJ085_HUMAN Uncharacterized protein encoded by LINC01561 OS=Homo sapiens OX=9606 GN=LINC01561 PE=2 SV=1
+MAWRVPGVRPASTFFPQVLRASSELPNRLPEGSTVGPKPDSSWEAGSQGNWGLTSSGAGQDSSAQKLGILSVQISLKIWTWEKPSGWGHLHAAVTGASCCSPLSQGGAICLVTAPQDKPDCSPCTSGH
+>DECOY_sp|Q8N1V8|CJ085_HUMAN Uncharacterized protein encoded by LINC01561 OS=Homo sapiens OX=9606 GN=LINC01561 PE=2 SV=1
+HGSTCPSCDPKDQPATVLCIAGGQSLPSCCSAGTVAAHLHGWGSPKEWTWIKLSIQVSLIGLKQASSDQGAGSSTLGWNGQSGAEWSSDPKPGVTSGEPLRNPLESSARLVQPFFTSAPRVGPVRWAM
+>sp|Q9H7T3|CJ095_HUMAN Uncharacterized protein C10orf95 OS=Homo sapiens OX=9606 GN=C10orf95 PE=1 SV=1
+MERSNAATKCGEEPRSGSRRLPKAEGDKSGSAGAPSKNSSRLGGRPCMCTAGRRPNRASGRRRRSCSPAPTWPPLCCYPQSRPTASAAGPGACMRASGRPHGNTTASTAPPRHPRPRRPGGPALRPTPRPCAGPAPPPASRDCRCRRPRRWPRAGRRGRRAGACKPSCAGAAWSARGAPLCSYRTSCAGSCGARTAPTPAPTCASPSAAASSCCRRRRACSSPTTAWSGACGAGPTAATAAQPGKPRSAAAPGRARA
+>DECOY_sp|Q9H7T3|CJ095_HUMAN Uncharacterized protein C10orf95 OS=Homo sapiens OX=9606 GN=C10orf95 PE=1 SV=1
+ARARGPAAASRPKGPQAATAATPGAGCAGSWATTPSSCARRRRCCSSAAASPSACTPAPTPATRAGCSGACSTRYSCLPAGRASWAAGACSPKCAGARRGRRGARPWRRPRRCRCDRSAPPPAPGACPRPTPRLAPGGPRRPRPHRPPATSATTNGHPRGSARMCAGPGAASATPRSQPYCCLPPWTPAPSCSRRRRGSARNPRRGATCMCPRGGLRSSNKSPAGASGSKDGEAKPLRRSGSRPEEGCKTAANSREM
+>sp|A0A1B0GUT2|CJ143_HUMAN Uncharacterized protein C10orf143 OS=Homo sapiens OX=9606 GN=C10orf143 PE=4 SV=1
+MDSLALGRWRQRRAEDLQVPGDVKRVCRRLEASGHERGCHQVNACALASWGPEDRELPSRGCLPAPRPESGQGRLSTGISQNGGRSSAQPCPRCIAGESGHFSHTKNH
+>DECOY_sp|A0A1B0GUT2|CJ143_HUMAN Uncharacterized protein C10orf143 OS=Homo sapiens OX=9606 GN=C10orf143 PE=4 SV=1
+HNKTHSFHGSEGAICRPCPQASSRGGNQSIGTSLRGQGSEPRPAPLCGRSPLERDEPGWSALACANVQHCGREHGSAELRRCVRKVDGPVQLDEARRQRWRGLALSDM
+>sp|Q9H5F2|CK001_HUMAN UPF0686 protein C11orf1 OS=Homo sapiens OX=9606 GN=C11orf1 PE=1 SV=1
+MAASQCLCCSKFLFQRQNLACFLTNPHCGSLVNADGHGEVWTDWNNMSKFFQYGWRCTTNENTYSNRTLMGNWNQERYDLRNIVQPKPLPSQFGHYFETTYDTSYNNKMPLSTHRFKREPHWFPGHQPELDPPRYKCTEKSTYMNSYSKP
+>DECOY_sp|Q9H5F2|CK001_HUMAN UPF0686 protein C11orf1 OS=Homo sapiens OX=9606 GN=C11orf1 PE=1 SV=1
+PKSYSNMYTSKETCKYRPPDLEPQHGPFWHPERKFRHTSLPMKNNYSTDYTTEFYHGFQSPLPKPQVINRLDYREQNWNGMLTRNSYTNENTTCRWGYQFFKSMNNWDTWVEGHGDANVLSGCHPNTLFCALNQRQFLFKSCCLCQSAAM
+>sp|Q96N53|CK037_HUMAN Putative uncharacterized protein encoded by LINC00167 OS=Homo sapiens OX=9606 GN=LINC00167 PE=5 SV=1
+MTEGLFISCSAVRVKPNRRAGLRRRSPAFLLSANQKTRLFALGSSPRCGPRANGEEASSCAWVSRAPRAACARAKPASRAPEGPVSRKTRGGEAALASARPATDCLRSGLAVERRRKPNSRPAPGVGSLPGSRPQDPQGAAGRRLSP
+>DECOY_sp|Q96N53|CK037_HUMAN Putative uncharacterized protein encoded by LINC00167 OS=Homo sapiens OX=9606 GN=LINC00167 PE=5 SV=1
+PSLRRGAAGQPDQPRSGPLSGVGPAPRSNPKRRREVALGSRLCDTAPRASALAAEGGRTKRSVPGEPARSAPKARACAARPARSVWACSSAEEGNARPGCRPSSGLAFLRTKQNASLLFAPSRRRLGARRNPKVRVASCSIFLGETM
+>sp|Q9H6J7|CK049_HUMAN UPF0705 protein C11orf49 OS=Homo sapiens OX=9606 GN=C11orf49 PE=1 SV=2
+MLSPERLALPDYEYLAQRHVLTYMEDAVCQLLENREDISQYGIARFFTEYFNSVCQGTHILFREFSFVQATPHNRVSFLRAFWRCFRTVGKNGDLLTMKEYHCLLQLLCPDFPLELTQKAARIVLMDDAMDCLMSFSDFLFAFQIQFYYSEFLDSVAAIYEDLLSGKNPNTVIVPTSSSGQHRQRPALGGAGTLEGVEASLFYQCLENLCDRHKYSCPPPALVKEALSNVQRLTFYGFLMALSKHRGINQALGALPDKGDLMHDPAMDEELERLLAQVPGLVNSVTASPEASCLPSRTPPRVGSPWRPLHHSRKVDGESDGSTEETDESET
+>DECOY_sp|Q9H6J7|CK049_HUMAN UPF0705 protein C11orf49 OS=Homo sapiens OX=9606 GN=C11orf49 PE=1 SV=2
+TESEDTEETSGDSEGDVKRSHHLPRWPSGVRPPTRSPLCSAEPSATVSNVLGPVQALLRELEEDMAPDHMLDGKDPLAGLAQNIGRHKSLAMLFGYFTLRQVNSLAEKVLAPPPCSYKHRDCLNELCQYFLSAEVGELTGAGGLAPRQRHQGSSSTPVIVTNPNKGSLLDEYIAAVSDLFESYYFQIQFAFLFDSFSMLCDMADDMLVIRAAKQTLELPFDPCLLQLLCHYEKMTLLDGNKGVTRFCRWFARLFSVRNHPTAQVFSFERFLIHTGQCVSNFYETFFRAIGYQSIDERNELLQCVADEMYTLVHRQALYEYDPLALREPSLM
+>sp|Q96A22|CK052_HUMAN Uncharacterized protein C11orf52 OS=Homo sapiens OX=9606 GN=C11orf52 PE=1 SV=2
+MGNRVCCGGSWSCPSTFQKKKKTGSQTRRTLKPQPQQLQQNLPKGHETTGHTYERVLQQQGSQERSPGLMSEDSNLHYADIQVCSRPHAREVKHVHLENATEYATLRFPQATPRYDSKNGTLV
+>DECOY_sp|Q96A22|CK052_HUMAN Uncharacterized protein C11orf52 OS=Homo sapiens OX=9606 GN=C11orf52 PE=1 SV=2
+VLTGNKSDYRPTAQPFRLTAYETANELHVHKVERAHPRSCVQIDAYHLNSDESMLGPSREQSGQQQLVREYTHGTTEHGKPLNQQLQQPQPKLTRRTQSGTKKKKQFTSPCSWSGGCCVRNGM
+>sp|Q8NBR9|CK072_HUMAN Uncharacterized protein C11orf72 OS=Homo sapiens OX=9606 GN=C11orf72 PE=2 SV=1
+MTQLPELGLRSPNNKSPTGPHPLEHLLARLLKRRRRSTLMSSPRSLLCSISGPGSHLLSTHPILCHSVYQPPQPASRPQAKRYQGLLPVPLAPHPLCLSGQLYLPNIPCTVIDGCGPVISHLKLTMYPWGLPPSHLGSSSPFSANMEQWDYYKSQTRFAPFLPESFCGSPLPSEQSSRPFGLAFKVLCAATCQPPQFQLLWLCPYKLDLHQRICLPPNLALVLLGALWTSPPPGSFLQPPYNRPYKLYKTN
+>DECOY_sp|Q8NBR9|CK072_HUMAN Uncharacterized protein C11orf72 OS=Homo sapiens OX=9606 GN=C11orf72 PE=2 SV=1
+NTKYLKYPRNYPPQLFSGPPPSTWLAGLLVLALNPPLCIRQHLDLKYPCLWLLQFQPPQCTAACLVKFALGFPRSSQESPLPSGCFSEPLFPAFRTQSKYYDWQEMNASFPSSSGLHSPPLGWPYMTLKLHSIVPGCGDIVTCPINPLYLQGSLCLPHPALPVPLLGQYRKAQPRSAPQPPQYVSHCLIPHTSLLHSGPGSISCLLSRPSSMLTSRRRRKLLRALLHELPHPGTPSKNNPSRLGLEPLQTM
+>sp|Q3C1V1|CK091_HUMAN Uncharacterized protein C11orf91 OS=Homo sapiens OX=9606 GN=C11orf91 PE=2 SV=2
+MPKGRRGSHSPTMSQRSAPPLYFPSLYDRGISSSPLSDFNIWKKLFVPLKAGGAPVGGAAGARSLSQALPAPAPPPPPPPGLGPSSERPWPSPWPSGLASIPYEPLRFFYSPPPGPEVVASPLVPCPSTPRLASASHPEELCELEIRIKELELLTITGDGFDSQSYTFLKALKDEKLQGLKTKQPGKKSASLS
+>DECOY_sp|Q3C1V1|CK091_HUMAN Uncharacterized protein C11orf91 OS=Homo sapiens OX=9606 GN=C11orf91 PE=2 SV=2
+SLSASKKGPQKTKLGQLKEDKLAKLFTYSQSDFGDGTITLLELEKIRIELECLEEPHSASALRPTSPCPVLPSAVVEPGPPPSYFFRLPEYPISALGSPWPSPWPRESSPGLGPPPPPPPAPAPLAQSLSRAGAAGGVPAGGAKLPVFLKKWINFDSLPSSSIGRDYLSPFYLPPASRQSMTPSHSGRRGKPM
+>sp|C9JXX5|CK094_HUMAN Uncharacterized protein C11orf94 OS=Homo sapiens OX=9606 GN=C11orf94 PE=3 SV=1
+MVLAMLGALHPRAGLSLFLHLILAVALLRSQPLRSQRSVPEAFSAPLELSQPLSGLVDDYGILPKHPRPRGPRPLLSRAQQRKRDGPDLAEYYYDAHL
+>DECOY_sp|C9JXX5|CK094_HUMAN Uncharacterized protein C11orf94 OS=Homo sapiens OX=9606 GN=C11orf94 PE=3 SV=1
+LHADYYYEALDPGDRKRQQARSLLPRPGRPRPHKPLIGYDDVLGSLPQSLELPASFAEPVSRQSRLPQSRLLAVALILHLFLSLGARPHLAGLMALVM
+>sp|Q7Z7L8|CK096_HUMAN Uncharacterized protein C11orf96 OS=Homo sapiens OX=9606 GN=C11orf96 PE=1 SV=3
+MGNKQPQKVTVPTGTALQGVVLIVSTLHQPGGWICGKDPCCSLRPLSNSVQNALACKSKQDYQAGILFKTRAFISRDCGSDAAEDSASKGETYTLTLEHKGAGEGDLRPRGQPGWCRLGDPRRDSARPVAAIEGPCPGAARASRVLRGRGFSRNPRGRGLPSGAGWRGAGGAGEGAVTFPERRGDVRRKGAGRARFKWHSLSSELRAVWAAAGYISREPGRRGADGDSSGGERLGARRNSAPRAPCPPTGPPARPPSRGAPARAREGRRHPAADLDPPPGEPPAAASRGAPAQRPPSESPGAPPPGPADAGGAMAAKPGELMGICSSYQAVMPHFVCLADEFPQPVRPAKLPKGRGRLRRPRQSRFKTQPVTFDEIQEVEEEGVSPMEEEKAKKSFLQSLECLRRSTQSLSLQREQLSSCKLRNSLDSSDSDSAL
+>DECOY_sp|Q7Z7L8|CK096_HUMAN Uncharacterized protein C11orf96 OS=Homo sapiens OX=9606 GN=C11orf96 PE=1 SV=3
+LASDSDSSDLSNRLKCSSLQERQLSLSQTSRRLCELSQLFSKKAKEEEMPSVGEEEVEQIEDFTVPQTKFRSQRPRRLRGRGKPLKAPRVPQPFEDALCVFHPMVAQYSSCIGMLEGPKAAMAGGADAPGPPPAGPSESPPRQAPAGRSAAAPPEGPPPDLDAAPHRRGERARAPAGRSPPRAPPGTPPCPARPASNRRAGLREGGSSDGDAGRRGPERSIYGAAAWVARLESSLSHWKFRARGAGKRRVDGRREPFTVAGEGAGGAGRWGAGSPLGRGRPNRSFGRGRLVRSARAAGPCPGEIAAVPRASDRRPDGLRCWGPQGRPRLDGEGAGKHELTLTYTEGKSASDEAADSGCDRSIFARTKFLIGAQYDQKSKCALANQVSNSLPRLSCCPDKGCIWGGPQHLTSVILVVGQLATGTPVTVKQPQKNGM
+>sp|Q96JB5|CK5P3_HUMAN CDK5 regulatory subunit-associated protein 3 OS=Homo sapiens OX=9606 GN=CDK5RAP3 PE=1 SV=2
+MEDHQHVPIDIQTSKLLDWLVDRRHCSLKWQSLVLTIREKINAAIQDMPESEEIAQLLSGSYIHYFHCLRILDLLKGTEASTKNIFGRYSSQRMKDWQEIIALYEKDNTYLVELSSLLVRNVNYEIPSLKKQIAKCQQLQQEYSRKEEECQAGAAEMREQFYHSCKQYGITGENVRGELLALVKDLPSQLAEIGAAAQQSLGEAIDVYQASVGFVCESPTEQVLPMLRFVQKRGNSTVYEWRTGTEPSVVERPHLEELPEQVAEDAIDWGDFGVEAVSEGTDSGISAEAAGIDWGIFPESDSKDPGGDGIDWGDDAVALQITVLEAGTQAPEGVARGPDALTLLEYTETRNQFLDELMELEIFLAQRAVELSEEADVLSVSQFQLAPAILQGQTKEKMVTMVSVLEDLIGKLTSLQLQHLFMILASPRYVDRVTEFLQQKLKQSQLLALKKELMVQKQQEALEEQAALEPKLDLLLEKTKELQKLIEADISKRYSGRPVNLMGTSL
+>DECOY_sp|Q96JB5|CK5P3_HUMAN CDK5 regulatory subunit-associated protein 3 OS=Homo sapiens OX=9606 GN=CDK5RAP3 PE=1 SV=2
+LSTGMLNVPRGSYRKSIDAEILKQLEKTKELLLDLKPELAAQEELAEQQKQVMLEKKLALLQSQKLKQQLFETVRDVYRPSALIMFLHQLQLSTLKGILDELVSVMTVMKEKTQGQLIAPALQFQSVSLVDAEESLEVARQALFIELEMLEDLFQNRTETYELLTLADPGRAVGEPAQTGAELVTIQLAVADDGWDIGDGGPDKSDSEPFIGWDIGAAEASIGSDTGESVAEVGFDGWDIADEAVQEPLEELHPREVVSPETGTRWEYVTSNGRKQVFRLMPLVQETPSECVFGVSAQYVDIAEGLSQQAAAGIEALQSPLDKVLALLEGRVNEGTIGYQKCSHYFQERMEAAGAQCEEEKRSYEQQLQQCKAIQKKLSPIEYNVNRVLLSSLEVLYTNDKEYLAIIEQWDKMRQSSYRGFINKTSAETGKLLDLIRLCHFYHIYSGSLLQAIEESEPMDQIAANIKERITLVLSQWKLSCHRRDVLWDLLKSTQIDIPVHQHDEM
+>sp|Q96DZ9|CKLF5_HUMAN CKLF-like MARVEL transmembrane domain-containing protein 5 OS=Homo sapiens OX=9606 GN=CMTM5 PE=1 SV=2
+MLSARDRRDRHPEEGVVAELQGFAVDKAFLTSHKGILLETELALTLIIFICFTASISAYMAAALLEFFITLAFLFLYATQYYQRFDRINWPCLLQGHGQSGGPHPLDLLSHSAKVQPQPWPGLTPPGWHTPAAVPWVPAPAPGFWSWLLWFICFHSLGSSDFLRCVSAIIIFLVVSFAAVTSRDGAAIAAFVFGIILVSIFAYDAFKIYRTEMAPGASQGDQQ
+>DECOY_sp|Q96DZ9|CKLF5_HUMAN CKLF-like MARVEL transmembrane domain-containing protein 5 OS=Homo sapiens OX=9606 GN=CMTM5 PE=1 SV=2
+QQDGQSAGPAMETRYIKFADYAFISVLIIGFVFAAIAAGDRSTVAAFSVVLFIIIASVCRLFDSSGLSHFCIFWLLWSWFGPAPAPVWPVAAPTHWGPPTLGPWPQPQVKASHSLLDLPHPGGSQGHGQLLCPWNIRDFRQYYQTAYLFLFALTIFFELLAAAMYASISATFCIFIILTLALETELLIGKHSTLFAKDVAFGQLEAVVGEEPHRDRRDRASLM
+>sp|Q8N5I9|CL045_HUMAN Uncharacterized protein C12orf45 OS=Homo sapiens OX=9606 GN=C12orf45 PE=1 SV=2
+MEVHGKPKASPSCSSPTRDSSGVPVSKELLTAGSDGRGGIWDRLLINSQPKSRKTSTLQTVRIERSPLLDQVQTFLPQMARANEKLRKEMAAAPPGRFNIENIDGPHSKVIQMDVALFEMNQSDSKEVDSSEESSQDSSENSSESEDEDDSIPSEVTIDNIKLPNSEGGKGKIEVLDSPASKKKK
+>DECOY_sp|Q8N5I9|CL045_HUMAN Uncharacterized protein C12orf45 OS=Homo sapiens OX=9606 GN=C12orf45 PE=1 SV=2
+KKKKSAPSDLVEIKGKGGESNPLKINDITVESPISDDEDESESSNESSDQSSEESSDVEKSDSQNMEFLAVDMQIVKSHPGDINEINFRGPPAAAMEKRLKENARAMQPLFTQVQDLLPSREIRVTQLTSTKRSKPQSNILLRDWIGGRGDSGATLLEKSVPVGSSDRTPSSCSPSAKPKGHVEM
+>sp|Q6X4T0|CL054_HUMAN Uncharacterized protein C12orf54 OS=Homo sapiens OX=9606 GN=C12orf54 PE=2 SV=2
+MAQHPCQDQEQKVEMTSKQQRSTSIEETMRPQEKQVTITETLWDQVLTVFKDIQKELQEDARIRGMSNCSMTPMTSAPRTGSIRPPDSLMTPKLRRLQFSSGEQPSGGRIHNLKTQLFSQSAYYPGP
+>DECOY_sp|Q6X4T0|CL054_HUMAN Uncharacterized protein C12orf54 OS=Homo sapiens OX=9606 GN=C12orf54 PE=2 SV=2
+PGPYYASQSFLQTKLNHIRGGSPQEGSSFQLRRLKPTMLSDPPRISGTRPASTMPTMSCNSMGRIRADEQLEKQIDKFVTLVQDWLTETITVQKEQPRMTEEISTSRQQKSTMEVKQEQDQCPHQAM
+>sp|Q5U649|CL060_HUMAN Uncharacterized protein C12orf60 OS=Homo sapiens OX=9606 GN=C12orf60 PE=2 SV=2
+MSSESEKDKERLIQAAKMFFFHVQDLASVINTLTELFSRSMNTQILLMAVKNNSYIKDFFEQMLKIFKEMQSVVDARHDKIQKESLCSKVAMAMCSVVQKSTNVEELHQSAKEVFKSAHTPVIISVLNSSNILGSLESSLSHLMKFPIMNLQLSDFYTEDTKEQSDVTTSERTRSPPGSSKTTMIDTLKKLQDVLKTEDSKNPTKSAADLLEQIVKAMGPILEILQKAIKTMEMNISVFKKASDK
+>DECOY_sp|Q5U649|CL060_HUMAN Uncharacterized protein C12orf60 OS=Homo sapiens OX=9606 GN=C12orf60 PE=2 SV=2
+KDSAKKFVSINMEMTKIAKQLIELIPGMAKVIQELLDAASKTPNKSDETKLVDQLKKLTDIMTTKSSGPPSRTRESTTVDSQEKTDETYFDSLQLNMIPFKMLHSLSSELSGLINSSNLVSIIVPTHASKFVEKASQHLEEVNTSKQVVSCMAMAVKSCLSEKQIKDHRADVVSQMEKFIKLMQEFFDKIYSNNKVAMLLIQTNMSRSFLETLTNIVSALDQVHFFFMKAAQILREKDKESESSM
+>sp|Q9H3J6|CL065_HUMAN Probable peptide chain release factor C12orf65, mitochondrial OS=Homo sapiens OX=9606 GN=C12orf65 PE=2 SV=1
+MSTVGLFHFPTPLTRICPAPWGLRLWEKLTLLSPGIAVTPVQMAGKKDYPALLSLDENELEEQFVKGHGPGGQATNKTSNCVVLKHIPSGIVVKCHQTRSVDQNRKLARKILQEKVDVFYNGENSPVHKEKREAAKKKQERKKRAKETLEKKKLLKELWESSKKVH
+>DECOY_sp|Q9H3J6|CL065_HUMAN Probable peptide chain release factor C12orf65, mitochondrial OS=Homo sapiens OX=9606 GN=C12orf65 PE=2 SV=1
+HVKKSSEWLEKLLKKKELTEKARKKREQKKKAAERKEKHVPSNEGNYFVDVKEQLIKRALKRNQDVSRTQHCKVVIGSPIHKLVVCNSTKNTAQGGPGHGKVFQEELENEDLSLLAPYDKKGAMQVPTVAIGPSLLTLKEWLRLGWPAPCIRTLPTPFHFLGVTSM
+>sp|Q2KHT3|CL16A_HUMAN Protein CLEC16A OS=Homo sapiens OX=9606 GN=CLEC16A PE=1 SV=2
+MFGRSRSWVGGGHGKTSRNIHSLDHLKYLYHVLTKNTTVTEQNRNLLVETIRSITEILIWGDQNDSSVFDFFLEKNMFVFFLNILRQKSGRYVCVQLLQTLNILFENISHETSLYYLLSNNYVNSIIVHKFDFSDEEIMAYYISFLKTLSLKLNNHTVHFFYNEHTNDFALYTEAIKFFNHPESMVRIAVRTITLNVYKVSLDNQAMLHYIRDKTAVPYFSNLVWFIGSHVIELDDCVQTDEEHRNRGKLSDLVAEHLDHLHYLNDILIINCEFLNDVLTDHLLNRLFLPLYVYSLENQDKGGERPKISLPVSLYLLSQVFLIIHHAPLVNSLAEVILNGDLSEMYAKTEQDIQRSSAKPSIRCFIKPTETLERSLEMNKHKGKRRVQKRPNYKNVGEEEDEEKGPTEDAQEDAEKAKGTEGGSKGIKTSGESEEIEMVIMERSKLSELAASTSVQEQNTTDEEKSAAATCSESTQWSRPFLDMVYHALDSPDDDYHALFVLCLLYAMSHNKGMDPEKLERIQLPVPNAAEKTTYNHPLAERLIRIMNNAAQPDGKIRLATLELSCLLLKQQVLMSAGCIMKDVHLACLEGAREESVHLVRHFYKGEDIFLDMFEDEYRSMTMKPMNVEYLMMDASILLPPTGTPLTGIDFVKRLPCGDVEKTRRAIRVFFMLRSLSLQLRGEPETQLPLTREEDLIKTDDVLDLNNSDLIACTVITKDGGMVQRFLAVDIYQMSLVEPDVSRLGWGVVKFAGLLQDMQVTGVEDDSRALNITIHKPASSPHSKPFPILQATFIFSDHIRCIIAKQRLAKGRIQARRMKMQRIAALLDLPIQPTTEVLGFGLGSSTSTQHLPFRFYDQGRRGSSDPTVQRSVFASVDKVPGFAVAQCINQHSSPSLSSQSPPSASGSPSGSGSTSHCDSGGTSSSSTPSTAQSPADAPMSPELPKPHLPDQLVIVNETEADSKPSKNVARSAAVETASLSPSLVPARQPTISLLCEDTADTLSVESLTLVPPVDPHSLRSLTGMPPLSTPAAACTEPVGEEAACAEPVGTAED
+>DECOY_sp|Q2KHT3|CL16A_HUMAN Protein CLEC16A OS=Homo sapiens OX=9606 GN=CLEC16A PE=1 SV=2
+DEATGVPEACAAEEGVPETCAAAPTSLPPMGTLSRLSHPDVPPVLTLSEVSLTDATDECLLSITPQRAPVLSPSLSATEVAASRAVNKSPKSDAETENVIVLQDPLHPKPLEPSMPADAPSQATSPTSSSSTGGSDCHSTSGSGSPSGSASPPSQSSLSPSSHQNICQAVAFGPVKDVSAFVSRQVTPDSSGRRGQDYFRFPLHQTSTSSGLGFGLVETTPQIPLDLLAAIRQMKMRRAQIRGKALRQKAIICRIHDSFIFTAQLIPFPKSHPSSAPKHITINLARSDDEVGTVQMDQLLGAFKVVGWGLRSVDPEVLSMQYIDVALFRQVMGGDKTIVTCAILDSNNLDLVDDTKILDEERTLPLQTEPEGRLQLSLSRLMFFVRIARRTKEVDGCPLRKVFDIGTLPTGTPPLLISADMMLYEVNMPKMTMSRYEDEFMDLFIDEGKYFHRVLHVSEERAGELCALHVDKMICGASMLVQQKLLLCSLELTALRIKGDPQAANNMIRILREALPHNYTTKEAANPVPLQIRELKEPDMGKNHSMAYLLCLVFLAHYDDDPSDLAHYVMDLFPRSWQTSESCTAAASKEEDTTNQEQVSTSAALESLKSREMIVMEIEESEGSTKIGKSGGETGKAKEADEQADETPGKEEDEEEGVNKYNPRKQVRRKGKHKNMELSRELTETPKIFCRISPKASSRQIDQETKAYMESLDGNLIVEALSNVLPAHHIILFVQSLLYLSVPLSIKPREGGKDQNELSYVYLPLFLRNLLHDTLVDNLFECNIILIDNLYHLHDLHEAVLDSLKGRNRHEEDTQVCDDLEIVHSGIFWVLNSFYPVATKDRIYHLMAQNDLSVKYVNLTITRVAIRVMSEPHNFFKIAETYLAFDNTHENYFFHVTHNNLKLSLTKLFSIYYAMIEEDSFDFKHVIISNVYNNSLLYYLSTEHSINEFLINLTQLLQVCVYRGSKQRLINLFFVFMNKELFFDFVSSDNQDGWILIETISRITEVLLNRNQETVTTNKTLVHYLYKLHDLSHINRSTKGHGGGVWSRSRGFM
+>sp|Q6UXF7|CL18B_HUMAN C-type lectin domain family 18 member B OS=Homo sapiens OX=9606 GN=CLEC18B PE=2 SV=2
+MLHPETSPGRGHLLAVLLALLGTTWAEVWPPQLQEQAPMAGALNRKESFLLLSLHNRLRSWVQPPAADMRRLDWSDSLAQLAQARAALCGIPTPSLASGLWRTLQVGWNMQLLPAGLASFVEVVSLWFAEGQRYSHAAGECARNATCTHYTQLVWATSSQLGCGRHLCSAGQTAIEAFVCAYSPGGNWEVNGKTIIPYKKGAWCSLCTASVSGCFKAWDHAGGLCEVPRNPCRMSCQNHGRLNISTCHCHCPPGYTGRYCQVRCSLQCVHGRFREEECSCVCDIGYGGAQCATKVHFPFHTCDLRIDGDCFMVSSEADTYYRARMKCQRKGGVLAQIKSQKVQDILAFYLGRLETTNEVIDSDFETRNFWIGLTYKTAKDSFRWATGEHQAFTSFAFGQPDNHGLVWLSAAMGFGNCVELQASAAFNWNDQRCKTRNRYICQFAQEHISRWGPGS
+>DECOY_sp|Q6UXF7|CL18B_HUMAN C-type lectin domain family 18 member B OS=Homo sapiens OX=9606 GN=CLEC18B PE=2 SV=2
+SGPGWRSIHEQAFQCIYRNRTKCRQDNWNFAASAQLEVCNGFGMAASLWVLGHNDPQGFAFSTFAQHEGTAWRFSDKATKYTLGIWFNRTEFDSDIVENTTELRGLYFALIDQVKQSKIQALVGGKRQCKMRARYYTDAESSVMFCDGDIRLDCTHFPFHVKTACQAGGYGIDCVCSCEEERFRGHVCQLSCRVQCYRGTYGPPCHCHCTSINLRGHNQCSMRCPNRPVECLGGAHDWAKFCGSVSATCLSCWAGKKYPIITKGNVEWNGGPSYACVFAEIATQGASCLHRGCGLQSSTAWVLQTYHTCTANRACEGAAHSYRQGEAFWLSVVEVFSALGAPLLQMNWGVQLTRWLGSALSPTPIGCLAARAQALQALSDSWDLRRMDAAPPQVWSRLRNHLSLLLFSEKRNLAGAMPAQEQLQPPWVEAWTTGLLALLVALLHGRGPSTEPHLM
+>sp|O75122|CLAP2_HUMAN CLIP-associating protein 2 OS=Homo sapiens OX=9606 GN=CLASP2 PE=1 SV=2
+MAMGDDKSFDDEESVDGNRPSSAASAFKVPAPKTSGNPANSARKPGSAGGPKVGGASKEGGAGAVDEDDFIKAFTDVPSIQIYSSRELEETLNKIREILSDDKHDWDQRANALKKIRSLLVAGAAQYDCFFQHLRLLDGALKLSAKDLRSQVVREACITVAHLSTVLGNKFDHGAEAIVPTLFNLVPNSAKVMATSGCAAIRFIIRHTHVPRLIPLITSNCTSKSVPVRRRSFEFLDLLLQEWQTHSLERHAAVLVETIKKGIHDADAEARVEARKTYMGLRNHFPGEAETLYNSLEPSYQKSLQTYLKSSGSVASLPQSDRSSSSSQESLNRPFSSKWSTANPSTVAGRVSAGSSKASSLPGSLQRSRSDIDVNAAAGAKAHHAAGQSVRRGRLGAGALNAGSYASLEDTSDKLDGTASEDGRVRAKLSAPLAGMGNAKADSRGRSRTKMVSQSQPGSRSGSPGRVLTTTALSTVSSGVQRVLVNSASAQKRSKIPRSQGCSREASPSRLSVARSSRIPRPSVSQGCSREASRESSRDTSPVRSFQPLASRHHSRSTGALYAPEVYGASGPGYGISQSSRLSSSVSAMRVLNTGSDVEEAVADALKKPARRRYESYGMHSDDDANSDASSACSERSYSSRNGSIPTYMRQTEDVAEVLNRCASSNWSERKEGLLGLQNLLKNQRTLSRVELKRLCEIFTRMFADPHGKRVFSMFLETLVDFIQVHKDDLQDWLFVLLTQLLKKMGADLLGSVQAKVQKALDVTRESFPNDLQFNILMRFTVDQTQTPSLKVKVAILKYIETLAKQMDPGDFINSSETRLAVSRVITWTTEPKSSDVRKAAQSVLISLFELNTPEFTMLLGALPKTFQDGATKLLHNHLRNTGNGTQSSMGSPLTRPTPRSPANWSSPLTSPTNTSQNTLSPSAFDYDTENMNSEDIYSSLRGVTEAIQNFSFRSQEDMNEPLKRDSKKDDGDSMCGGPGMSDPRAGGDATDSSQTALDNKASLLHSMPTHSSPRSRDYNPYNYSDSISPFNKSALKEAMFDDDADQFPDDLSLDHSDLVAELLKELSNHNERVEERKIALYELMKLTQEESFSVWDEHFKTILLLLLETLGDKEPTIRALALKVLREILRHQPARFKNYAELTVMKTLEAHKDPHKEVVRSAEEAASVLATSISPEQCIKVLCPIIQTADYPINLAAIKMQTKVIERVSKETLNLLLPEIMPGLIQGYDNSESSVRKACVFCLVAVHAVIGDELKPHLSQLTGSKMKLLNLYIKRAQTGSGGADPTTDVSGQS
+>DECOY_sp|O75122|CLAP2_HUMAN CLIP-associating protein 2 OS=Homo sapiens OX=9606 GN=CLASP2 PE=1 SV=2
+SQGSVDTTPDAGGSGTQARKIYLNLLKMKSGTLQSLHPKLEDGIVAHVAVLCFVCAKRVSSESNDYGQILGPMIEPLLLNLTEKSVREIVKTQMKIAALNIPYDATQIIPCLVKICQEPSISTALVSAAEEASRVVEKHPDKHAELTKMVTLEAYNKFRAPQHRLIERLVKLALARITPEKDGLTELLLLLITKFHEDWVSFSEEQTLKMLEYLAIKREEVRENHNSLEKLLEAVLDSHDLSLDDPFQDADDDFMAEKLASKNFPSISDSYNYPNYDRSRPSSHTPMSHLLSAKNDLATQSSDTADGGARPDSMGPGGCMSDGDDKKSDRKLPENMDEQSRFSFNQIAETVGRLSSYIDESNMNETDYDFASPSLTNQSTNTPSTLPSSWNAPSRPTPRTLPSGMSSQTGNGTNRLHNHLLKTAGDQFTKPLAGLLMTFEPTNLEFLSILVSQAAKRVDSSKPETTWTIVRSVALRTESSNIFDGPDMQKALTEIYKLIAVKVKLSPTQTQDVTFRMLINFQLDNPFSERTVDLAKQVKAQVSGLLDAGMKKLLQTLLVFLWDQLDDKHVQIFDVLTELFMSFVRKGHPDAFMRTFIECLRKLEVRSLTRQNKLLNQLGLLGEKRESWNSSACRNLVEAVDETQRMYTPISGNRSSYSRESCASSADSNADDDSHMGYSEYRRRAPKKLADAVAEEVDSGTNLVRMASVSSSLRSSQSIGYGPGSAGYVEPAYLAGTSRSHHRSALPQFSRVPSTDRSSERSAERSCGQSVSPRPIRSSRAVSLRSPSAERSCGQSRPIKSRKQASASNVLVRQVGSSVTSLATTTLVRGPSGSRSGPQSQSVMKTRSRGRSDAKANGMGALPASLKARVRGDESATGDLKDSTDELSAYSGANLAGAGLRGRRVSQGAAHHAKAGAAANVDIDSRSRQLSGPLSSAKSSGASVRGAVTSPNATSWKSSFPRNLSEQSSSSSRDSQPLSAVSGSSKLYTQLSKQYSPELSNYLTEAEGPFHNRLGMYTKRAEVRAEADADHIGKKITEVLVAAHRELSHTQWEQLLLDLFEFSRRRVPVSKSTCNSTILPILRPVHTHRIIFRIAACGSTAMVKASNPVLNFLTPVIAEAGHDFKNGLVTSLHAVTICAERVVQSRLDKASLKLAGDLLRLHQFFCDYQAAGAVLLSRIKKLANARQDWDHKDDSLIERIKNLTEELERSSYIQISPVDTFAKIFDDEDVAGAGGEKSAGGVKPGGASGPKRASNAPNGSTKPAPVKFASAASSPRNGDVSEEDDFSKDDGMAM
+>sp|Q8IUN9|CLC10_HUMAN C-type lectin domain family 10 member A OS=Homo sapiens OX=9606 GN=CLEC10A PE=1 SV=1
+MTRTYENFQYLENKVKVQGFKNGPLPLQSLLQRLCSGPCHLLLSLGLGLLLLVIICVVGFQNSKFQRDLVTLRTDFSNFTSNTVAEIQALTSQGSSLEETIASLKAEVEGFKQERQAGVSELQEHTTQKAHLGHCPHCPSVCVPVHSEMLLRVQQLVQDLKKLTCQVATLNNNASTEGTCCPVNWVEHQDSCYWFSHSGMSWAEAEKYCQLKNAHLVVINSREEQNFVQKYLGSAYTWMGLSDPEGAWKWVDGTDYATGFQNWKPGQPDDWQGHGLGGGEDCAHFHPDGRWNDDVCQRPYHWVCEAGLGQTSQESH
+>DECOY_sp|Q8IUN9|CLC10_HUMAN C-type lectin domain family 10 member A OS=Homo sapiens OX=9606 GN=CLEC10A PE=1 SV=1
+HSEQSTQGLGAECVWHYPRQCVDDNWRGDPHFHACDEGGGLGHGQWDDPQGPKWNQFGTAYDTGDVWKWAGEPDSLGMWTYASGLYKQVFNQEERSNIVVLHANKLQCYKEAEAWSMGSHSFWYCSDQHEVWNVPCCTGETSANNNLTAVQCTLKKLDQVLQQVRLLMESHVPVCVSPCHPCHGLHAKQTTHEQLESVGAQREQKFGEVEAKLSAITEELSSGQSTLAQIEAVTNSTFNSFDTRLTVLDRQFKSNQFGVVCIIVLLLLGLGLSLLLHCPGSCLRQLLSQLPLPGNKFGQVKVKNELYQFNEYTRTM
+>sp|Q86T13|CLC14_HUMAN C-type lectin domain family 14 member A OS=Homo sapiens OX=9606 GN=CLEC14A PE=1 SV=1
+MRPAFALCLLWQALWPGPGGGEHPTADRAGCSASGACYSLHHATMKRQAAEEACILRGGALSTVRAGAELRAVLALLRAGPGPGGGSKDLLFWVALERRRSHCTLENEPLRGFSWLSSDPGGLESDTLQWVEEPQRSCTARRCAVLQATGGVEPAGWKEMRCHLRANGYLCKYQFEVLCPAPRPGAASNLSYRAPFQLHSAALDFSPPGTEVSALCRGQLPISVTCIADEIGARWDKLSGDVLCPCPGRYLRAGKCAELPNCLDDLGGFACECATGFELGKDGRSCVTSGEGQPTLGGTGVPTRRPPATATSPVPQRTWPIRVDEKLGETPLVPEQDNSVTSIPEIPRWGSQSTMSTLQMSLQAESKATITPSGSVISKFNSTTSSATPQAFDSSSAVVFIFVSTAVVVLVILTMTVLGLVKLCFHESPSSQPRKESMGPPGLESDPEPAALGSSSAHCTNNGVKVGDCDLRDRAEGALLAESPLGSSDA
+>DECOY_sp|Q86T13|CLC14_HUMAN C-type lectin domain family 14 member A OS=Homo sapiens OX=9606 GN=CLEC14A PE=1 SV=1
+ADSSGLPSEALLAGEARDRLDCDGVKVGNNTCHASSSGLAAPEPDSELGPPGMSEKRPQSSPSEHFCLKVLGLVTMTLIVLVVVATSVFIFVVASSSDFAQPTASSTTSNFKSIVSGSPTITAKSEAQLSMQLTSMTSQSGWRPIEPISTVSNDQEPVLPTEGLKEDVRIPWTRQPVPSTATAPPRRTPVGTGGLTPQGEGSTVCSRGDKGLEFGTACECAFGGLDDLCNPLEACKGARLYRGPCPCLVDGSLKDWRAGIEDAICTVSIPLQGRCLASVETGPPSFDLAASHLQFPARYSLNSAAGPRPAPCLVEFQYKCLYGNARLHCRMEKWGAPEVGGTAQLVACRRATCSRQPEEVWQLTDSELGGPDSSLWSFGRLPENELTCHSRRRELAVWFLLDKSGGGPGPGARLLALVARLEAGARVTSLAGGRLICAEEAAQRKMTAHHLSYCAGSASCGARDATPHEGGGPGPWLAQWLLCLAFAPRM
+>sp|Q6UXN8|CLC9A_HUMAN C-type lectin domain family 9 member A OS=Homo sapiens OX=9606 GN=CLEC9A PE=1 SV=1
+MHEEEIYTSLQWDSPAPDTYQKCLSSNKCSGACCLVMVISCVFCMGLLTASIFLGVKLLQVSTIAMQQQEKLIQQERALLNFTEWKRSCALQMKYCQAFMQNSLSSAHNSSPCPNNWIQNRESCYYVSEIWSIWHTSQENCLKEGSTLLQIESKEEMDFITGSLRKIKGSYDYWVGLSQDGHSGRWLWQDGSSPSPGLLPAERSQSANQVCGYVKSNSLLSSNCSTWKYFICEKYALRSSV
+>DECOY_sp|Q6UXN8|CLC9A_HUMAN C-type lectin domain family 9 member A OS=Homo sapiens OX=9606 GN=CLEC9A PE=1 SV=1
+VSSRLAYKECIFYKWTSCNSSLLSNSKVYGCVQNASQSREAPLLGPSPSSGDQWLWRGSHGDQSLGVWYDYSGKIKRLSGTIFDMEEKSEIQLLTSGEKLCNEQSTHWISWIESVYYCSERNQIWNNPCPSSNHASSLSNQMFAQCYKMQLACSRKWETFNLLAREQQILKEQQQMAITSVQLLKVGLFISATLLGMCFVCSIVMVLCCAGSCKNSSLCKQYTDPAPSDWQLSTYIEEEHM
+>sp|Q9UQC9|CLCA2_HUMAN Calcium-activated chloride channel regulator 2 OS=Homo sapiens OX=9606 GN=CLCA2 PE=1 SV=2
+MTQRSIAGPICNLKFVTLLVALSSELPFLGAGVQLQDNGYNGLLIAINPQVPENQNLISNIKEMITEASFYLFNATKRRVFFRNIKILIPATWKANNNSKIKQESYEKANVIVTDWYGAHGDDPYTLQYRGCGKEGKYIHFTPNFLLNDNLTAGYGSRGRVFVHEWAHLRWGVFDEYNNDKPFYINGQNQIKVTRCSSDITGIFVCEKGPCPQENCIISKLFKEGCTFIYNSTQNATASIMFMQSLSSVVEFCNASTHNQEAPNLQNQMCSLRSAWDVITDSADFHHSFPMNGTELPPPPTFSLVQAGDKVVCLVLDVSSKMAEADRLLQLQQAAEFYLMQIVEIHTFVGIASFDSKGEIRAQLHQINSNDDRKLLVSYLPTTVSAKTDISICSGLKKGFEVVEKLNGKAYGSVMILVTSGDDKLLGNCLPTVLSSGSTIHSIALGSSAAPNLEELSRLTGGLKFFVPDISNSNSMIDAFSRISSGTGDIFQQHIQLESTGENVKPHHQLKNTVTVDNTVGNDTMFLVTWQASGPPEIILFDPDGRKYYTNNFITNLTFRTASLWIPGTAKPGHWTYTLNNTHHSLQALKVTVTSRASNSAVPPATVEAFVERDSLHFPHPVMIYANVKQGFYPILNATVTATVEPETGDPVTLRLLDDGAGADVIKNDGIYSRYFFSFAANGRYSLKVHVNHSPSISTPAHSIPGSHAMYVPGYTANGNIQMNAPRKSVGRNEEERKWGFSRVSSGGSFSVLGVPAGPHPDVFPPCKIIDLEAVKVEEELTLSWTAPGEDFDQGQATSYEIRMSKSLQNIQDDFNNAILVNTSKRNPQQAGIREIFTFSPQISTNGPEHQPNGETHESHRIYVAIRAMDRNSLQSAVSNIAQAPLFIPPNSDPVPARDYLILKGVLTAMGLIGIICLIIVVTHHTLSRKKRADKKENGTKLL
+>DECOY_sp|Q9UQC9|CLCA2_HUMAN Calcium-activated chloride channel regulator 2 OS=Homo sapiens OX=9606 GN=CLCA2 PE=1 SV=2
+LLKTGNEKKDARKKRSLTHHTVVIILCIIGILGMATLVGKLILYDRAPVPDSNPPIFLPAQAINSVASQLSNRDMARIAVYIRHSEHTEGNPQHEPGNTSIQPSFTFIERIGAQQPNRKSTNVLIANNFDDQINQLSKSMRIEYSTAQGQDFDEGPATWSLTLEEEVKVAELDIIKCPPFVDPHPGAPVGLVSFSGGSSVRSFGWKREEENRGVSKRPANMQINGNATYGPVYMAHSGPISHAPTSISPSHNVHVKLSYRGNAAFSFFYRSYIGDNKIVDAGAGDDLLRLTVPDGTEPEVTATVTANLIPYFGQKVNAYIMVPHPFHLSDREVFAEVTAPPVASNSARSTVTVKLAQLSHHTNNLTYTWHGPKATGPIWLSATRFTLNTIFNNTYYKRGDPDFLIIEPPGSAQWTVLFMTDNGVTNDVTVTNKLQHHPKVNEGTSELQIHQQFIDGTGSSIRSFADIMSNSNSIDPVFFKLGGTLRSLEELNPAASSGLAISHITSGSSLVTPLCNGLLKDDGSTVLIMVSGYAKGNLKEVVEFGKKLGSCISIDTKASVTTPLYSVLLKRDDNSNIQHLQARIEGKSDFSAIGVFTHIEVIQMLYFEAAQQLQLLRDAEAMKSSVDLVLCVVKDGAQVLSFTPPPPLETGNMPFSHHFDASDTIVDWASRLSCMQNQLNPAEQNHTSANCFEVVSSLSQMFMISATANQTSNYIFTCGEKFLKSIICNEQPCPGKECVFIGTIDSSCRTVKIQNQGNIYFPKDNNYEDFVGWRLHAWEHVFVRGRSGYGATLNDNLLFNPTFHIYKGEKGCGRYQLTYPDDGHAGYWDTVIVNAKEYSEQKIKSNNNAKWTAPILIKINRFFVRRKTANFLYFSAETIMEKINSILNQNEPVQPNIAILLGNYGNDQLQVGAGLFPLESSLAVLLTVFKLNCIPGAISRQTM
+>sp|Q96S66|CLCC1_HUMAN Chloride channel CLIC-like protein 1 OS=Homo sapiens OX=9606 GN=CLCC1 PE=1 SV=1
+MLCSLLLCECLLLVAGYAHDDDWIDPTDMLNYDAASGTMRKSQAKYGISGEKDVSPDLSCADEISECYHKLDSLTYKIDECEKKKREDYESQSNPVFRRYLNKILIEAGKLGLPDENKGDMHYDAEIILKRETLLEIQKFLNGEDWKPGALDDALSDILINFKFHDFETWKWRFEDSFGVDPYNVLMVLLCLLCIVVLVATELWTYVRWYTQLRRVLIISFLFSLGWNWMYLYKLAFAQHQAEVAKMEPLNNVCAKKMDWTGSIWEWFRSSWTYKDDPCQKYYELLLVNPIWLVPPTKALAVTFTTFVTEPLKHIGKGTGEFIKALMKEIPALLHLPVLIIMALAILSFCYGAGKSVHVLRHIGGPESEPPQALRPRDRRRQEEIDYRPDGGAGDADFHYRGQMGPTEQGPYAKTYEGRREILRERDVDLRFQTGNKSPEVLRAFDVPDAEAREHPTVVPSHKSPVLDTKPKETGGILGEGTPKESSTESSQSAKPVSGQDTSGNTEGSPAAEKAQLKSEAAGSPDQGSTYSPARGVAGPRGQDPVSSPCG
+>DECOY_sp|Q96S66|CLCC1_HUMAN Chloride channel CLIC-like protein 1 OS=Homo sapiens OX=9606 GN=CLCC1 PE=1 SV=1
+GCPSSVPDQGRPGAVGRAPSYTSGQDPSGAAESKLQAKEAAPSGETNGSTDQGSVPKASQSSETSSEKPTGEGLIGGTEKPKTDLVPSKHSPVVTPHERAEADPVDFARLVEPSKNGTQFRLDVDRERLIERRGEYTKAYPGQETPGMQGRYHFDADGAGGDPRYDIEEQRRRDRPRLAQPPESEPGGIHRLVHVSKGAGYCFSLIALAMIILVPLHLLAPIEKMLAKIFEGTGKGIHKLPETVFTTFTVALAKTPPVLWIPNVLLLEYYKQCPDDKYTWSSRFWEWISGTWDMKKACVNNLPEMKAVEAQHQAFALKYLYMWNWGLSFLFSIILVRRLQTYWRVYTWLETAVLVVICLLCLLVMLVNYPDVGFSDEFRWKWTEFDHFKFNILIDSLADDLAGPKWDEGNLFKQIELLTERKLIIEADYHMDGKNEDPLGLKGAEILIKNLYRRFVPNSQSEYDERKKKECEDIKYTLSDLKHYCESIEDACSLDPSVDKEGSIGYKAQSKRMTGSAADYNLMDTPDIWDDDHAYGAVLLLCECLLLSCLM
+>sp|Q9UBD9|CLCF1_HUMAN Cardiotrophin-like cytokine factor 1 OS=Homo sapiens OX=9606 GN=CLCF1 PE=1 SV=1
+MDLRAGDSWGMLACLCTVLWHLPAVPALNRTGDPGPGPSIQKTYDLTRYLEHQLRSLAGTYLNYLGPPFNEPDFNPPRLGAETLPRATVDLEVWRSLNDKLRLTQNYEAYSHLLCYLRGLNRQAATAELRRSLAHFCTSLQGLLGSIAGVMAALGYPLPQPLPGTEPTWTPGPAHSDFLQKMDDFWLLKELQTWLWRSAKDFNRLKKKMQPPAAAVTLHLGAHGF
+>DECOY_sp|Q9UBD9|CLCF1_HUMAN Cardiotrophin-like cytokine factor 1 OS=Homo sapiens OX=9606 GN=CLCF1 PE=1 SV=1
+FGHAGLHLTVAAAPPQMKKKLRNFDKASRWLWTQLEKLLWFDDMKQLFDSHAPGPTWTPETGPLPQPLPYGLAAMVGAISGLLGQLSTCFHALSRRLEATAAQRNLGRLYCLLHSYAEYNQTLRLKDNLSRWVELDVTARPLTEAGLRPPNFDPENFPPGLYNLYTGALSRLQHELYRTLDYTKQISPGPGPDGTRNLAPVAPLHWLVTCLCALMGWSDGARLDM
+>sp|P51800|CLCKA_HUMAN Chloride channel protein ClC-Ka OS=Homo sapiens OX=9606 GN=CLCNKA PE=1 SV=1
+MEELVGLREGFSGDPVTLQELWGPCPHIRRAIQGGLEWLKQKVFRLGEDWYFLMTLGVLMALVSYAMNFAIGCVVRAHQWLYREIGDSHLLRYLSWTVYPVALVSFSSGFSQSITPSSGGSGIPELKTMLAGVILEDYLDIKNFGAKVVGLSCTLATGSTLFLGKVGPFVHLSVMIAAYLGRVRTTTIGEPENKSKQNEMLVAAAAVGVATVFAAPFSGVLFSIEVMSSHFSVRDYWRGFFAATCGAFIFRLLAVFNSEQETITSLYKTSFRVDVPFDLPEIFFFVALGGICGVLSCAYLFCQRTFLSFIKTNRYSSKLLATSKPVYSALATLLLASITYPPGVGHFLASRLSMKQHLDSLFDNHSWALMTQNSSPPWPEELDPQHLWWEWYHPRFTIFGTLAFFLVMKFWMLILATTIPMPAGYFMPIFILGAAIGRLLGEALAVAFPEGIVTGGVTNPIMPGGYALAGAAAFSGAVTHTISTALLAFELTGQIVHALPVLMAVLAANAIAQSCQPSFYDGTIIVKKLPYLPRILGRNIGSHHVRVEHFMNHSITTLAKDTPLEEVVKVVTSTDVTEYPLVESTESQILVGIVQRAQLVQALQAEPPSRAPGHQQCLQDILARGCPTEPVTLTLFSETTLHQAQNLFKLLNLQSLFVTSRGRAVGCVSWVEMKKAISNLTNPPAPK
+>DECOY_sp|P51800|CLCKA_HUMAN Chloride channel protein ClC-Ka OS=Homo sapiens OX=9606 GN=CLCNKA PE=1 SV=1
+KPAPPNTLNSIAKKMEVWSVCGVARGRSTVFLSQLNLLKFLNQAQHLTTESFLTLTVPETPCGRALIDQLCQQHGPARSPPEAQLAQVLQARQVIGVLIQSETSEVLPYETVDTSTVVKVVEELPTDKALTTISHNMFHEVRVHHSGINRGLIRPLYPLKKVIITGDYFSPQCSQAIANAALVAMLVPLAHVIQGTLEFALLATSITHTVAGSFAAAGALAYGGPMIPNTVGGTVIGEPFAVALAEGLLRGIAAGLIFIPMFYGAPMPITTALILMWFKMVLFFALTGFITFRPHYWEWWLHQPDLEEPWPPSSNQTMLAWSHNDFLSDLHQKMSLRSALFHGVGPPYTISALLLTALASYVPKSTALLKSSYRNTKIFSLFTRQCFLYACSLVGCIGGLAVFFFIEPLDFPVDVRFSTKYLSTITEQESNFVALLRFIFAGCTAAFFGRWYDRVSFHSSMVEISFLVGSFPAAFVTAVGVAAAAVLMENQKSKNEPEGITTTRVRGLYAAIMVSLHVFPGVKGLFLTSGTALTCSLGVVKAGFNKIDLYDELIVGALMTKLEPIGSGGSSPTISQSFGSSFSVLAVPYVTWSLYRLLHSDGIERYLWQHARVVCGIAFNMAYSVLAMLVGLTMLFYWDEGLRFVKQKLWELGGQIARRIHPCPGWLEQLTVPDGSFGERLGVLEEM
+>sp|P51788|CLCN2_HUMAN Chloride channel protein 2 OS=Homo sapiens OX=9606 GN=CLCN2 PE=1 SV=2
+MAAAAAEEGMEPRALQYEQTLMYGRYTQDLGAFAKEEAARIRLGGPEPWKGPPSSRAAPELLEYGRSRCARCRVCSVRCHKFLVSRVGEDWIFLVLLGLLMALVSWVMDYAIAACLQAQQWMSRGLNTSILLQYLAWVTYPVVLITFSAGFTQILAPQAVGSGIPEMKTILRGVVLKEYLTLKTFIAKVIGLTCALGSGMPLGKEGPFVHIASMCAALLSKFLSLFGGIYENESRNTEMLAAACAVGVGCCFAAPIGGVLFSIEVTSTFFAVRNYWRGFFAATFSAFIFRVLAVWNRDEETITALFKTRFRLDFPFDLQELPAFAVIGIASGFGGALFVYLNRKIVQVMRKQKTINRFLMRKRLLFPALVTLLISTLTFPPGFGQFMAGQLSQKETLVTLFDNRTWVRQGLVEELEPPSTSQAWNPPRANVFLTLVIFILMKFWMSALATTIPVPCGAFMPVFVIGAAFGRLVGESMAAWFPDGIHTDSSTYRIVPGGYAVVGAAALAGAVTHTVSTAVIVFELTGQIAHILPVMIAVILANAVAQSLQPSLYDSIIRIKKLPYLPELGWGRHQQYRVRVEDIMVRDVPHVALSCTFRDLRLALHRTKGRMLALVESPESMILLGSIERSQVVALLGAQLSPARRRQHMQERRATQTSPLSDQEGPPTPEASVCFQVNTEDSAFPAARGETHKPLKPALKRGPSVTRNLGESPTGSAESAGIALRSLFCGSPPPEAASEKLESCEKRKLKRVRISLASDADLEGEMSPEEILEWEEQQLDEPVNFSDCKIDPAPFQLVERTSLHKTHTIFSLLGVDHAYVTSIGRLIGIVTLKELRKAIEGSVTAQGVKVRPPLASFRDSATSSSDTETTEVHALWGPHSRHGLPREGSPSDSDDKCQ
+>DECOY_sp|P51788|CLCN2_HUMAN Chloride channel protein 2 OS=Homo sapiens OX=9606 GN=CLCN2 PE=1 SV=2
+QCKDDSDSPSGERPLGHRSHPGWLAHVETTETDSSSTASDRFSALPPRVKVGQATVSGEIAKRLEKLTVIGILRGISTVYAHDVGLLSFITHTKHLSTREVLQFPAPDIKCDSFNVPEDLQQEEWELIEEPSMEGELDADSALSIRVRKLKRKECSELKESAAEPPPSGCFLSRLAIGASEASGTPSEGLNRTVSPGRKLAPKLPKHTEGRAAPFASDETNVQFCVSAEPTPPGEQDSLPSTQTARREQMHQRRRAPSLQAGLLAVVQSREISGLLIMSEPSEVLALMRGKTRHLALRLDRFTCSLAVHPVDRVMIDEVRVRYQQHRGWGLEPLYPLKKIRIISDYLSPQLSQAVANALIVAIMVPLIHAIQGTLEFVIVATSVTHTVAGALAAAGVVAYGGPVIRYTSSDTHIGDPFWAAMSEGVLRGFAAGIVFVPMFAGCPVPITTALASMWFKMLIFIVLTLFVNARPPNWAQSTSPPELEEVLGQRVWTRNDFLTVLTEKQSLQGAMFQGFGPPFTLTSILLTVLAPFLLRKRMLFRNITKQKRMVQVIKRNLYVFLAGGFGSAIGIVAFAPLEQLDFPFDLRFRTKFLATITEEDRNWVALVRFIFASFTAAFFGRWYNRVAFFTSTVEISFLVGGIPAAFCCGVGVACAAALMETNRSENEYIGGFLSLFKSLLAACMSAIHVFPGEKGLPMGSGLACTLGIVKAIFTKLTLYEKLVVGRLITKMEPIGSGVAQPALIQTFGASFTILVVPYTVWALYQLLISTNLGRSMWQQAQLCAAIAYDMVWSVLAMLLGLLVLFIWDEGVRSVLFKHCRVSCVRCRACRSRGYELLEPAARSSPPGKWPEPGGLRIRAAEEKAFAGLDQTYRGYMLTQEYQLARPEMGEEAAAAAM
+>sp|H7C241|CLD34_HUMAN Claudin-34 OS=Homo sapiens OX=9606 GN=CLDN34 PE=3 SV=2
+MVWFCNSADCQFSVFALTTIGWILSSTSTGLVEWRIWYMKDTSLYPPGIACVGIFRVCIYRRRTNSTTTKFCYRYSYQDTFLPFEISMAQRFLLTASIFGFFGRAFNMFALRNMSMRMFEEDTYNSFVVSGILNIAAGVFNLIAVLQNYDAVINSQGITFLPSLQMPFKPDVQEVGTAIQVAGIGVLPMLLTGMFSLFYKCPPYGQVHPGISEM
+>DECOY_sp|H7C241|CLD34_HUMAN Claudin-34 OS=Homo sapiens OX=9606 GN=CLDN34 PE=3 SV=2
+MESIGPHVQGYPPCKYFLSFMGTLLMPLVGIGAVQIATGVEQVDPKFPMQLSPLFTIGQSNIVADYNQLVAILNFVGAAINLIGSVVFSNYTDEEFMRMSMNRLAFMNFARGFFGFISATLLFRQAMSIEFPLFTDQYSYRYCFKTTTSNTRRRYICVRFIGVCAIGPPYLSTDKMYWIRWEVLGTSTSSLIWGITTLAFVSFQCDASNCFWVM
+>sp|O14493|CLD4_HUMAN Claudin-4 OS=Homo sapiens OX=9606 GN=CLDN4 PE=1 SV=1
+MASMGLQVMGIALAVLGWLAVMLCCALPMWRVTAFIGSNIVTSQTIWEGLWMNCVVQSTGQMQCKVYDSLLALPQDLQAARALVIISIIVAALGVLLSVVGGKCTNCLEDESAKAKTMIVAGVVFLLAGLMVIVPVSWTAHNIIQDFYNPLVASGQKREMGASLYVGWAASGLLLLGGGLLCCNCPPRTDKPYSAKYSAARSAAASNYV
+>DECOY_sp|O14493|CLD4_HUMAN Claudin-4 OS=Homo sapiens OX=9606 GN=CLDN4 PE=1 SV=1
+VYNSAAASRAASYKASYPKDTRPPCNCCLLGGGLLLLGSAAWGVYLSAGMERKQGSAVLPNYFDQIINHATWSVPVIVMLGALLFVVGAVIMTKAKASEDELCNTCKGGVVSLLVGLAAVIISIIVLARAAQLDQPLALLSDYVKCQMQGTSQVVCNMWLGEWITQSTVINSGIFATVRWMPLACCLMVALWGLVALAIGMVQLGMSAM
+>sp|Q9NY35|CLDN1_HUMAN Claudin domain-containing protein 1 OS=Homo sapiens OX=9606 GN=CLDND1 PE=1 SV=1
+MDNRFATAFVIACVLSLISTIYMAASIGTDFWYEYRSPVQENSSDLNKSIWDEFISDEADEKTYNDALFRYNGTVGLWRRCITIPKNMHWYSPPERTESFDVVTKCVSFTLTEQFMEKFVDPGNHNSGIDLLRTYLWRCQFLLPFVSLGLMCFGALIGLCACICRSLYPTIATGILHLLAGLCTLGSVSCYVAGIELLHQKLELPDNVSGEFGWSFCLACVSAPLQFMASALFIWAAHTNRKEYTLMKAYRVA
+>DECOY_sp|Q9NY35|CLDN1_HUMAN Claudin domain-containing protein 1 OS=Homo sapiens OX=9606 GN=CLDND1 PE=1 SV=1
+AVRYAKMLTYEKRNTHAAWIFLASAMFQLPASVCALCFSWGFEGSVNDPLELKQHLLEIGAVYCSVSGLTCLGALLHLIGTAITPYLSRCICACLGILAGFCMLGLSVFPLLFQCRWLYTRLLDIGSNHNGPDVFKEMFQETLTFSVCKTVVDFSETREPPSYWHMNKPITICRRWLGVTGNYRFLADNYTKEDAEDSIFEDWISKNLDSSNEQVPSRYEYWFDTGISAAMYITSILSLVCAIVFATAFRNDM
+>sp|O96005|CLPT1_HUMAN Cleft lip and palate transmembrane protein 1 OS=Homo sapiens OX=9606 GN=CLPTM1 PE=1 SV=1
+MAAAQEADGARSAVVAAGGGSSGQVTSNGSIGRDPPAETQPQNPPAQPAPNAWQVIKGVLFRIFIIWAISSWFRRGPAPQDQAGPGGAPRVASRNLFPKDTLMNLHVYISEHEHFTDFNATSALFWEQHDLVYGDWTSGENSDGCYEHFAELDIPQSVQQNGSIYIHVYFTKSGFHPDPRQKALYRRLATVHMSRMINKYKRRRFQKTKNLLTGETEADPEMIKRAEDYGPVEVISHWHPNITINIVDDHTPWVKGSVPPPLDQYVKFDAVSGDYYPIIYFNDYWNLQKDYYPINESLASLPLRVSFCPLSLWRWQLYAAQSTKSPWNFLGDELYEQSDEEQDSVKVALLETNPYLLALTIIVSIVHSVFEFLAFKNDIQFWNSRQSLEGLSVRSVFFGVFQSFVVLLYILDNETNFVVQVSVFIGVLIDLWKITKVMDVRLDREHRVAGIFPRLSFKDKSTYIESSTKVYDDMAFRYLSWILFPLLGCYAVYSLLYLEHKGWYSWVLSMLYGFLLTFGFITMTPQLFINYKLKSVAHLPWRMLTYKALNTFIDDLFAFVIKMPVMYRIGCLRDDVVFFIYLYQRWIYRVDPTRVNEFGMSGEDPTAAAPVAEVPTAAGALTPTPAPTTTTATREEASTSLPTKPTQGASSASEPQEAPPKPAEDKKKD
+>DECOY_sp|O96005|CLPT1_HUMAN Cleft lip and palate transmembrane protein 1 OS=Homo sapiens OX=9606 GN=CLPTM1 PE=1 SV=1
+DKKKDEAPKPPAEQPESASSAGQTPKTPLSTSAEERTATTTTPAPTPTLAGAATPVEAVPAAATPDEGSMGFENVRTPDVRYIWRQYLYIFFVVDDRLCGIRYMVPMKIVFAFLDDIFTNLAKYTLMRWPLHAVSKLKYNIFLQPTMTIFGFTLLFGYLMSLVWSYWGKHELYLLSYVAYCGLLPFLIWSLYRFAMDDYVKTSSEIYTSKDKFSLRPFIGAVRHERDLRVDMVKTIKWLDILVGIFVSVQVVFNTENDLIYLLVVFSQFVGFFVSRVSLGELSQRSNWFQIDNKFALFEFVSHVISVIITLALLYPNTELLAVKVSDQEEDSQEYLEDGLFNWPSKTSQAAYLQWRWLSLPCFSVRLPLSALSENIPYYDKQLNWYDNFYIIPYYDGSVADFKVYQDLPPPVSGKVWPTHDDVINITINPHWHSIVEVPGYDEARKIMEPDAETEGTLLNKTKQFRRRKYKNIMRSMHVTALRRYLAKQRPDPHFGSKTFYVHIYISGNQQVSQPIDLEAFHEYCGDSNEGSTWDGYVLDHQEWFLASTANFDTFHEHESIYVHLNMLTDKPFLNRSAVRPAGGPGAQDQPAPGRRFWSSIAWIIFIRFLVGKIVQWANPAPQAPPNQPQTEAPPDRGISGNSTVQGSSGGGAAVVASRAGDAEQAAAM
+>sp|Q86VU5|CMTD1_HUMAN Catechol O-methyltransferase domain-containing protein 1 OS=Homo sapiens OX=9606 GN=COMTD1 PE=1 SV=1
+MTQPVPRLSVPAALALGSAALGAAFATGLFLGRRCPPWRGRREQCLLPPEDSRLWQYLLSRSMREHPALRSLRLLTLEQPQGDSMMTCEQAQLLANLARLIQAKKALDLGTFTGYSALALALALPADGRVVTCEVDAQPPELGRPLWRQAEAEHKIDLRLKPALETLDELLAAGEAGTFDVAVVDADKENCSAYYERCLQLLRPGGILAVLRVLWRGKVLQPPKGDVAAECVRNLNERIRRDVRVYISLLPLGDGLTLAFKI
+>DECOY_sp|Q86VU5|CMTD1_HUMAN Catechol O-methyltransferase domain-containing protein 1 OS=Homo sapiens OX=9606 GN=COMTD1 PE=1 SV=1
+IKFALTLGDGLPLLSIYVRVDRRIRENLNRVCEAAVDGKPPQLVKGRWLVRLVALIGGPRLLQLCREYYASCNEKDADVVAVDFTGAEGAALLEDLTELAPKLRLDIKHEAEAQRWLPRGLEPPQADVECTVVRGDAPLALALALASYGTFTGLDLAKKAQILRALNALLQAQECTMMSDGQPQELTLLRLSRLAPHERMSRSLLYQWLRSDEPPLLCQERRGRWPPCRRGLFLGTAFAAGLAASGLALAAPVSLRPVPQTM
+>sp|Q8IYT2|CMTR2_HUMAN Cap-specific mRNA (nucleoside-2'-O-)-methyltransferase 2 OS=Homo sapiens OX=9606 GN=CMTR2 PE=1 SV=2
+MSKCRKTPVQQLASPASFSPDILADIFELFAKNFSYGKPLNNEWQLPDPSEIFTCDHTELNAFLDLKNSLNEVKNLLSDKKLDEWHEHTAFTNKAGKIISHVRKSVNAELCTQAWCKFHEILCSFPLIPQEAFQNGKLNSLHLCEAPGAFIASLNHYLKSHRFPCHWSWVANTLNPYHEANDDLMMIMDDRLIANTLHWWYFGPDNTGDIMTLKFLTGLQNFISSMATVHLVTADGSFDCQGNPGEQEALVSSLHYCEVVTALTTLGNGGSFVLKMFTMFEHCSINLMYLLNCCFDQVHVFKPATSKAGNSEVYVVCLHYKGREAIHPLLSKMTLNFGTEMKRKALFPHHVIPDSFLKRHEECCVFFHKYQLETISENIRLFECMGKAEQEKLNNLRDCAIQYFMQKFQLKHLSRNNWLVKKSSIGCSTNTKWFGQRNKYFKTYNERKMLEALSWKDKVAKGYFNSWAEEHGVYHPGQSSILEGTASNLECHLWHILEGKKLPKVKCSPFCNGEILKTLNEAIEKSLGGAFNLDSKFRPKQQYSCSCHVFSEELIFSELCSLTECLQDEQVVVPSNQIKCLLVGFSTLRNIKMHIPLEVRLLESAELTTFSCSLLHDGDPTYQRLFLDCLLHSLRELHTGDVMILPVLSCFTRFMAGLIFVLHSCFRFITFVCPTSSDPLRTCAVLLCVGYQDLPNPVFRYLQSVNELLSTLLNSDSPQQVLQFVPMEVLLKGALLDFLWDLNAAIAKRHLHFIIQREREEIINSLQLQN
+>DECOY_sp|Q8IYT2|CMTR2_HUMAN Cap-specific mRNA (nucleoside-2'-O-)-methyltransferase 2 OS=Homo sapiens OX=9606 GN=CMTR2 PE=1 SV=2
+NQLQLSNIIEERERQIIFHLHRKAIAANLDWLFDLLAGKLLVEMPVFQLVQQPSDSNLLTSLLENVSQLYRFVPNPLDQYGVCLLVACTRLPDSSTPCVFTIFRFCSHLVFILGAMFRTFCSLVPLIMVDGTHLERLSHLLCDLFLRQYTPDGDHLLSCSFTTLEASELLRVELPIHMKINRLTSFGVLLCKIQNSPVVVQEDQLCETLSCLESFILEESFVHCSCSYQQKPRFKSDLNFAGGLSKEIAENLTKLIEGNCFPSCKVKPLKKGELIHWLHCELNSATGELISSQGPHYVGHEEAWSNFYGKAVKDKWSLAELMKRENYTKFYKNRQGFWKTNTSCGISSKKVLWNNRSLHKLQFKQMFYQIACDRLNNLKEQEAKGMCEFLRINESITELQYKHFFVCCEEHRKLFSDPIVHHPFLAKRKMETGFNLTMKSLLPHIAERGKYHLCVVYVESNGAKSTAPKFVHVQDFCCNLLYMLNISCHEFMTFMKLVFSGGNGLTTLATVVECYHLSSVLAEQEGPNGQCDFSGDATVLHVTAMSSIFNQLGTLFKLTMIDGTNDPGFYWWHLTNAILRDDMIMMLDDNAEHYPNLTNAVWSWHCPFRHSKLYHNLSAIFAGPAECLHLSNLKGNQFAEQPILPFSCLIEHFKCWAQTCLEANVSKRVHSIIKGAKNTFATHEHWEDLKKDSLLNKVENLSNKLDLFANLETHDCTFIESPDPLQWENNLPKGYSFNKAFLEFIDALIDPSFSAPSALQQVPTKRCKSM
+>sp|Q86U37|CN023_HUMAN Uncharacterized protein encoded by LINC01551 OS=Homo sapiens OX=9606 GN=LINC01551 PE=2 SV=2
+MELSSMKICAAIPTSRALPEVVRRMPRKRISGLEWLLQQDPGFSLVNTVKAGMIISFPSNNIYSSVCCCQSEIFKYEFSNSKKSSWIQEERHLGKNNVLYSAHDVSPEKVTSALKKTNKQTTTINNFPLQYLPGSKLLDRFLSLSRSLLCLNSWSSSLPLAPQVKKK
+>DECOY_sp|Q86U37|CN023_HUMAN Uncharacterized protein encoded by LINC01551 OS=Homo sapiens OX=9606 GN=LINC01551 PE=2 SV=2
+KKKVQPALPLSSSWSNLCLLSRSLSLFRDLLKSGPLYQLPFNNITTTQKNTKKLASTVKEPSVDHASYLVNNKGLHREEQIWSSKKSNSFEYKFIESQCCCVSSYINNSPFSIIMGAKVTNVLSFGPDQQLLWELGSIRKRPMRRVVEPLARSTPIAACIKMSSLEM
+>sp|Q96I85|CN144_HUMAN Putative uncharacterized protein C14orf144 OS=Homo sapiens OX=9606 GN=C14orf144 PE=3 SV=2
+MCRETAGYGWLLASTELLSLLEPLSPQLLKEHISCTSQVAAGAKVTPDSAVWAP
+>DECOY_sp|Q96I85|CN144_HUMAN Putative uncharacterized protein C14orf144 OS=Homo sapiens OX=9606 GN=C14orf144 PE=3 SV=2
+PAWVASDPTVKAGAAVQSTCSIHEKLLQPSLPELLSLLETSALLWGYGATERCM
+>sp|Q52M58|CN177_HUMAN Putative uncharacterized protein C14orf177 OS=Homo sapiens OX=9606 GN=C14orf177 PE=2 SV=1
+MHRKEPGARLEATRGAARPHKQGTKPMITRPSVSQLGEGKCPSSQHLQSLRHNKQHALTLTKARCCGECSTCFCTEEKSECQRHEETSPGSCNHQIMSASTISAFCATPRFKQLFKGTVEQMSQM
+>DECOY_sp|Q52M58|CN177_HUMAN Putative uncharacterized protein C14orf177 OS=Homo sapiens OX=9606 GN=C14orf177 PE=2 SV=1
+MQSMQEVTGKFLQKFRPTACFASITSASMIQHNCSGPSTEEHRQCESKEETCFCTSCEGCCRAKTLTLAHQKNHRLSQLHQSSPCKGEGLQSVSPRTIMPKTGQKHPRAAGRTAELRAGPEKRHM
+>sp|P09543|CN37_HUMAN 2',3'-cyclic-nucleotide 3'-phosphodiesterase OS=Homo sapiens OX=9606 GN=CNP PE=1 SV=2
+MNRGFSRKSHTFLPKIFFRKMSSSGAKDKPELQFPFLQDEDTVATLLECKTLFILRGLPGSGKSTLARVIVDKYRDGTKMVSADAYKITPGARGAFSEEYKRLDEDLAAYCRRRDIRILVLDDTNHERERLEQLFEMADQYQYQVVLVEPKTAWRLDCAQLKEKNQWQLSADDLKKLKPGLEKDFLPLYFGWFLTKKSSETLRKAGQVFLEELGNHKAFKKELRQFVPGDEPREKMDLVTYFGKRPPGVLHCTTKFCDYGKAPGAEEYAQQDVLKKSYSKAFTLTISALFVTPKTTGARVELSEQQLQLWPSDVDKLSPTDNLPRGSRAHITLGCAADVEAVQTGLDLLEILRQEKGGSRGEEVGELSRGKLYSLGNGRWMLTLAKNMEVRAIFTGYYGKGKPVPTQGSRKGGALQSCTII
+>DECOY_sp|P09543|CN37_HUMAN 2',3'-cyclic-nucleotide 3'-phosphodiesterase OS=Homo sapiens OX=9606 GN=CNP PE=1 SV=2
+IITCSQLAGGKRSGQTPVPKGKGYYGTFIARVEMNKALTLMWRGNGLSYLKGRSLEGVEEGRSGGKEQRLIELLDLGTQVAEVDAACGLTIHARSGRPLNDTPSLKDVDSPWLQLQQESLEVRAGTTKPTVFLASITLTFAKSYSKKLVDQQAYEEAGPAKGYDCFKTTCHLVGPPRKGFYTVLDMKERPEDGPVFQRLEKKFAKHNGLEELFVQGAKRLTESSKKTLFWGFYLPLFDKELGPKLKKLDDASLQWQNKEKLQACDLRWATKPEVLVVQYQYQDAMEFLQELREREHNTDDLVLIRIDRRRCYAALDEDLRKYEESFAGRAGPTIKYADASVMKTGDRYKDVIVRALTSKGSGPLGRLIFLTKCELLTAVTDEDQLFPFQLEPKDKAGSSSMKRFFIKPLFTHSKRSFGRNM
+>sp|Q9BPX3|CND3_HUMAN Condensin complex subunit 3 OS=Homo sapiens OX=9606 GN=NCAPG PE=1 SV=1
+MGAERRLLSIKEAFRLAQQPHQNQAKLVVALSRTYRTMDDKTVFHEEFIHYLKYVMVVYKREPAVERVIEFAAKFVTSFHQSDMEDDEEEEDGGLLNYLFTFLLKSHEANSNAVRFRVCLLINKLLGSMPENAQIDDDVFDKINKAMLIRLKDKIPNVRIQAVLALSRLQDPKDDECPVVNAYATLIENDSNPEVRRAVLSCIAPSAKTLPKIVGRTKDVKEAVRKLAYQVLAEKVHMRAMSIAQRVMLLQQGLNDRSDAVKQAMQKHLLQGWLRFSEGNILELLHRLDVENSSEVAVSVLNALFSITPLSELVGLCKNNDGRKLIPVETLTPEIALYWCALCEYLKSKGDEGEEFLEQILPEPVVYADYLLSYIQSIPVVNEEHRGDFSYIGNLMTKEFIGQQLILIIKSLDTSEEGGRKKLLAVLQEILILPTIPISLVSFLVERLLHIIIDDNKRTQIVTEIISEIRAPIVTVGVNNDPADVRKKELKMAEIKVKLIEAKEALENCITLQDFNRASELKEEIKALEDARINLLKETEQLEIKEVHIEKNDAETLQKCLILCYELLKQMSISTGLSATMNGIIESLILPGIISIHPVVRNLAVLCLGCCGLQNQDFARKHFVLLLQVLQIDDVTIKISALKAIFDQLMTFGIEPFKTKKIKTLHCEGTEINSDDEQESKEVEETATAKNVLKLLSDFLDSEVSELRTGAAEGLAKLMFSGLLVSSRILSRLILLWYNPVTEEDVQLRHCLGVFFPVFAYASRTNQECFEEAFLPTLQTLANAPASSPLAEIDITNVAELLVDLTRPSGLNPQAKTSQDYQALTVHDNLAMKICNEILTSPCSPEIRVYTKALSSLELSSHLAKDLLVLLNEILEQVKDRTCLRALEKIKIQLEKGNKEFGDQAEAAQDATLTTTTFQNEDEKNKEVYMTPLRGVKATQASKSTQLKTNRGQRKVTVSARTNRRCQTAEADSESDHEVPEPESEMKMRLPRRAKTAALEKSKLNLAQFLNEDLS
+>DECOY_sp|Q9BPX3|CND3_HUMAN Condensin complex subunit 3 OS=Homo sapiens OX=9606 GN=NCAPG PE=1 SV=1
+SLDENLFQALNLKSKELAATKARRPLRMKMESEPEPVEHDSESDAEATQCRRNTRASVTVKRQGRNTKLQTSKSAQTAKVGRLPTMYVEKNKEDENQFTTTTLTADQAAEAQDGFEKNGKELQIKIKELARLCTRDKVQELIENLLVLLDKALHSSLELSSLAKTYVRIEPSCPSTLIENCIKMALNDHVTLAQYDQSTKAQPNLGSPRTLDVLLEAVNTIDIEALPSSAPANALTQLTPLFAEEFCEQNTRSAYAFVPFFVGLCHRLQVDEETVPNYWLLILRSLIRSSVLLGSFMLKALGEAAGTRLESVESDLFDSLLKLVNKATATEEVEKSEQEDDSNIETGECHLTKIKKTKFPEIGFTMLQDFIAKLASIKITVDDIQLVQLLLVFHKRAFDQNQLGCCGLCLVALNRVVPHISIIGPLILSEIIGNMTASLGTSISMQKLLEYCLILCKQLTEADNKEIHVEKIELQETEKLLNIRADELAKIEEKLESARNFDQLTICNELAEKAEILKVKIEAMKLEKKRVDAPDNNVGVTVIPARIESIIETVIQTRKNDDIIIHLLREVLFSVLSIPITPLILIEQLVALLKKRGGEESTDLSKIILILQQGIFEKTMLNGIYSFDGRHEENVVPISQIYSLLYDAYVVPEPLIQELFEEGEDGKSKLYECLACWYLAIEPTLTEVPILKRGDNNKCLGVLESLPTISFLANLVSVAVESSNEVDLRHLLELINGESFRLWGQLLHKQMAQKVADSRDNLGQQLLMVRQAISMARMHVKEALVQYALKRVAEKVDKTRGVIKPLTKASPAICSLVARRVEPNSDNEILTAYANVVPCEDDKPDQLRSLALVAQIRVNPIKDKLRILMAKNIKDFVDDDIQANEPMSGLLKNILLCVRFRVANSNAEHSKLLFTFLYNLLGGDEEEEDDEMDSQHFSTVFKAAFEIVREVAPERKYVVMVYKLYHIFEEHFVTKDDMTRYTRSLAVVLKAQNQHPQQALRFAEKISLLRREAGM
+>sp|P42695|CNDD3_HUMAN Condensin-2 complex subunit D3 OS=Homo sapiens OX=9606 GN=NCAPD3 PE=1 SV=2
+MVALRGLGSGLQPWCPLDLRLEWVDTVWELDFTETEPLDPSIEAEIIETGLAAFTKLYESLLPFATGEHGSMESIWTFFIENNVSHSTLVALFYHFVQIVHKKNVSVQYREYGLHAAGLYFLLLEVPGSVANQVFHPVMFDKCIQTLKKSWPQESNLNRKRKKEQPKSSQANPGRHRKRGKPPRREDIEMDEIIEEQEDENICFSARDLSQIRNAIFHLLKNFLRLLPKFSLKEKPQCVQNCIEVFVSLTNFEPVLHECHVTQARALNQAKYIPELAYYGLYLLCSPIHGEGDKVISCVFHQMLSVILMLEVGEGSHRAPLAVTSQVINCRNQAVQFISALVDELKESIFPVVRILLQHICAKVVDKSEYRTFAAQSLVQLLSKLPCGEYAMFIAWLYKYSRSSKIPHRVFTLDVVLALLELPEREVDNTLSLEHQKFLKHKFLVQEIMFDRCLDKAPTVRSKALSSFAHCLELTVTSASESILELLINSPTFSVIESHPGTLLRNSSAFSYQRQTSNRSEPSGEINIDSSGETVGSGERCVMAMLRRRIRDEKTNVRKSALQVLVSILKHCDVSGMKEDLWILQDQCRDPAVSVRKQALQSLTELLMAQPRCVQIQKAWLRGVVPVVMDCESTVQEKALEFLDQLLLQNIRHHSHFHSGDDSQVLAWALLTLLTTESQELSRYLNKAFHIWSKKEKFSPTFINNVISHTGTEHSAPAWMLLSKIAGSSPRLDYSRIIQSWEKISSQQNPNSNTLGHILCVIGHIAKHLPKSTRDKVTDAVKCKLNGFQWSLEVISSAVDALQRLCRASAETPAEEQELLTQVCGDVLSTCEHRLSNIVLKENGTGNMDEDLLVKYIFTLGDIAQLCPARVEKRIFLLIQSVLASSADADHSPSSQGSSEAPASQPPPQVRGSVMPSVIRAHAIITLGKLCLQHEDLAKKSIPALVRELEVCEDVAVRNNVIIVMCDLCIRYTIMVDKYIPNISMCLKDSDPFIRKQTLILLTNLLQEEFVKWKGSLFFRFVSTLIDSHPDIASFGEFCLAHLLLKRNPVMFFQHFIECIFHFNNYEKHEKYNKFPQSEREKRLFSLKGKSNKERRMKIYKFLLEHFTDEQRFNITSKICLSILACFADGILPLDLDASELLSDTFEVLSSKEIKLLAMRSKPDKDLLMEEDDMALANVVMQEAQKKLISQVQKRNFIENIIPIIISLKTVLEKNKIPALRELMHYLREVMQDYRDELKDFFAVDKQLASELEYDMKKYQEQLVQEQELAKHADVAGTAGGAEVAPVAQVALCLETVPVPAGQENPAMSPAVSQPCTPRASAGHVAVSSPTPETGPLQRLLPKARPMSLSTIAILNSVKKAVESKSRHRSRSLGVLPFTLNSGSPEKTCSQVSSYSLEQESNGEIEHVTKRAISTPEKSISDVTFGAGVSYIGTPRTPSSAKEKIEGRSQGNDILCLSLPDKPPPQPQQWNVRSPARNKDTPACSRRSLRKTPLKTAN
+>DECOY_sp|P42695|CNDD3_HUMAN Condensin-2 complex subunit D3 OS=Homo sapiens OX=9606 GN=NCAPD3 PE=1 SV=2
+NATKLPTKRLSRRSCAPTDKNRAPSRVNWQQPQPPPKDPLSLCLIDNGQSRGEIKEKASSPTRPTGIYSVGAGFTVDSISKEPTSIARKTVHEIEGNSEQELSYSSVQSCTKEPSGSNLTFPLVGLSRSRHRSKSEVAKKVSNLIAITSLSMPRAKPLLRQLPGTEPTPSSVAVHGASARPTCPQSVAPSMAPNEQGAPVPVTELCLAVQAVPAVEAGGATGAVDAHKALEQEQVLQEQYKKMDYELESALQKDVAFFDKLEDRYDQMVERLYHMLERLAPIKNKELVTKLSIIIPIINEIFNRKQVQSILKKQAEQMVVNALAMDDEEMLLDKDPKSRMALLKIEKSSLVEFTDSLLESADLDLPLIGDAFCALISLCIKSTINFRQEDTFHELLFKYIKMRREKNSKGKLSFLRKERESQPFKNYKEHKEYNNFHFICEIFHQFFMVPNRKLLLHALCFEGFSAIDPHSDILTSVFRFFLSGKWKVFEEQLLNTLLILTQKRIFPDSDKLCMSINPIYKDVMITYRICLDCMVIIVNNRVAVDECVELERVLAPISKKALDEHQLCLKGLTIIAHARIVSPMVSGRVQPPPQSAPAESSGQSSPSHDADASSALVSQILLFIRKEVRAPCLQAIDGLTFIYKVLLDEDMNGTGNEKLVINSLRHECTSLVDGCVQTLLEQEEAPTEASARCLRQLADVASSIVELSWQFGNLKCKVADTVKDRTSKPLHKAIHGIVCLIHGLTNSNPNQQSSIKEWSQIIRSYDLRPSSGAIKSLLMWAPASHETGTHSIVNNIFTPSFKEKKSWIHFAKNLYRSLEQSETTLLTLLAWALVQSDDGSHFHSHHRINQLLLQDLFELAKEQVTSECDMVVPVVGRLWAKQIQVCRPQAMLLETLSQLAQKRVSVAPDRCQDQLIWLDEKMGSVDCHKLISVLVQLASKRVNTKEDRIRRRLMAMVCREGSGVTEGSSDINIEGSPESRNSTQRQYSFASSNRLLTGPHSEIVSFTPSNILLELISESASTVTLELCHAFSSLAKSRVTPAKDLCRDFMIEQVLFKHKLFKQHELSLTNDVEREPLELLALVVDLTFVRHPIKSSRSYKYLWAIFMAYEGCPLKSLLQVLSQAAFTRYESKDVVKACIHQLLIRVVPFISEKLEDVLASIFQVAQNRCNIVQSTVALPARHSGEGVELMLIVSLMQHFVCSIVKDGEGHIPSCLLYLGYYALEPIYKAQNLARAQTVHCEHLVPEFNTLSVFVEICNQVCQPKEKLSFKPLLRLFNKLLHFIANRIQSLDRASFCINEDEQEEIIEDMEIDERRPPKGRKRHRGPNAQSSKPQEKKRKRNLNSEQPWSKKLTQICKDFMVPHFVQNAVSGPVELLLFYLGAAHLGYERYQVSVNKKHVIQVFHYFLAVLTSHSVNNEIFFTWISEMSGHEGTAFPLLSEYLKTFAALGTEIIEAEISPDLPETETFDLEWVTDVWELRLDLPCWPQLGSGLGRLAVM
+>sp|P18545|CNRG_HUMAN Retinal rod rhodopsin-sensitive cGMP 3',5'-cyclic phosphodiesterase subunit gamma OS=Homo sapiens OX=9606 GN=PDE6G PE=1 SV=1
+MNLEPPKAEFRSATRVAGGPVTPRKGPPKFKQRQTRQFKSKPPKKGVQGFGDDIPGMEGLGTDITVICPWEAFNHLELHELAQYGII
+>DECOY_sp|P18545|CNRG_HUMAN Retinal rod rhodopsin-sensitive cGMP 3',5'-cyclic phosphodiesterase subunit gamma OS=Homo sapiens OX=9606 GN=PDE6G PE=1 SV=1
+IIGYQALEHLELHNFAEWPCIVTIDTGLGEMGPIDDGFGQVGKKPPKSKFQRTQRQKFKPPGKRPTVPGGAVRTASRFEAKPPELNM
+>sp|Q96F85|CNRP1_HUMAN CB1 cannabinoid receptor-interacting protein 1 OS=Homo sapiens OX=9606 GN=CNRIP1 PE=1 SV=1
+MGDLPGLVRLSIALRIQPNDGPVFYKVDGQRFGQNRTIKLLTGSSYKVEVKIKPSTLQVENISIGGVLVPLELKSKEPDGDRVVYTGTYDTEGVTPTKSGERQPIQITMPFTDIGTFETVWQVKFYNYHKRDHCQWGSPFSVIEYECKPNETRSLMWVNKESFL
+>DECOY_sp|Q96F85|CNRP1_HUMAN CB1 cannabinoid receptor-interacting protein 1 OS=Homo sapiens OX=9606 GN=CNRIP1 PE=1 SV=1
+LFSEKNVWMLSRTENPKCEYEIVSFPSGWQCHDRKHYNYFKVQWVTEFTGIDTFPMTIQIPQREGSKTPTVGETDYTGTYVVRDGDPEKSKLELPVLVGGISINEVQLTSPKIKVEVKYSSGTLLKITRNQGFRQGDVKYFVPGDNPQIRLAISLRVLGPLDGM
+>sp|Q96NU0|CNT3B_HUMAN Contactin-associated protein-like 3B OS=Homo sapiens OX=9606 GN=CNTNAP3B PE=2 SV=3
+MASVAWAVLKVLLLLPTQTWSPVGAGNPPDCDSPLASALPRSSFSSSSELSSSHGPGFSRLNRRDGAGGWTPLVSNKYQWLQIDLGERMEVTAVATQGGYGSSDWVTSYLLMFSDGGRNWKQYRREESIWGFPGNTNADSVVHYRLQPPFEARFLRFLPLAWNPRGRIGMRIEVYGCAYKSEVVYFDGQSALLYTLDKKPLKPIRDVISLKFKAMQSNGILLHREGQHGNHITLELIKGKLVFFLNSGNAKLPSTIAPVTLTLGSLLDDQHWHSVLIELLDTQVNFTVDKHTHHFQAKGDSSNLDLNFEISFGGILSPGRSRAFTRKSFHGCLENLYYNGVDVTELAKKHKPQILMMGNVSFSCPQPQTVPVTFLSSRSYLALPGNSGEDKVSVTFQFRTWNRAGHLLFGELQRGSGSFVLFLKDGKLKLSLFQAGQSPRNVTAGAGLNDGQWHSVSFSAKWSHMNVVVDDDTAVQPLVAVLIDSGDTYYFGGCLGNSSGSGCKSPLGGFQGCLRLITIGDKAVDPILVQQGALGSFRDLQIDSCGITDRCLPSYCEHGGECSQSWDTFSCDCLGTGYTGETCHSSLYEQSCEAHKHRGNPSGLYYIDADGSGPLGPFLVYCNMTADSAWTVVRHGGPDAVTLRGAPSGHPLSAVSFAYAAGAGQLRAAVNLAERCEQRLALRCGTARRPDSRDGTPLSWWVGRTNETHTSWGGSLPDAQKCTCGLEGNCIDSQYYCNCDAGQNEWTSDTIVLSQKEHLPVTQIVMTDTGQPHSEADYTLGPLLCRGDKSFWNSASFNTETSYLHFPAFHGELTADVCFFFKTTVSSGVFMENLGITDFIRIELRAPTEVTFSFDVGNGPCEVTVQSPTPFNDNQWHHVRAERNVKGASLQVDQLPQKMQPAPADGHVRLQLNSQLFIGGTATRQRGFLGCIRSLQLNGVALDLEERATVTPGVEPGCAGHCSTYGHLCRNGGRCREKRRGVTCDCAFSAYDGPFCSNEISAYFATGSSMTYHFQEHYTLSENSSSLVSSLHRDVTLTREMITLSFRTTRTPSLLLYVSSFYEEYLSVILANNGSLQIRYKLDRHQNPDAFTFDFKNMADGQLHQVKINREEAVVMVEVNQSAKKQVILSSGTEFNAVKSLILGKVLEAAGADPDTRRAATSGFTGCLSAVRFGCAAPLKAALRPSGPSRVTVRGHVAPMARCAAGAASGSPARELAPRLAGGAGRSGPVDEGEPLVNADRRDSAVIGGVIAVEIFILLCITAIAIRIYQQRKLRKENESKVSKKEEC
+>DECOY_sp|Q96NU0|CNT3B_HUMAN Contactin-associated protein-like 3B OS=Homo sapiens OX=9606 GN=CNTNAP3B PE=2 SV=3
+CEEKKSVKSENEKRLKRQQYIRIAIATICLLIFIEVAIVGGIVASDRRDANVLPEGEDVPGSRGAGGALRPALERAPSGSAAGAACRAMPAVHGRVTVRSPGSPRLAAKLPAACGFRVASLCGTFGSTAARRTDPDAGAAELVKGLILSKVANFETGSSLIVQKKASQNVEVMVVAEERNIKVQHLQGDAMNKFDFTFADPNQHRDLKYRIQLSGNNALIVSLYEEYFSSVYLLLSPTRTTRFSLTIMERTLTVDRHLSSVLSSSNESLTYHEQFHYTMSSGTAFYASIENSCFPGDYASFACDCTVGRRKERCRGGNRCLHGYTSCHGACGPEVGPTVTAREELDLAVGNLQLSRICGLFGRQRTATGGIFLQSNLQLRVHGDAPAPQMKQPLQDVQLSAGKVNREARVHHWQNDNFPTPSQVTVECPGNGVDFSFTVETPARLEIRIFDTIGLNEMFVGSSVTTKFFFCVDATLEGHFAPFHLYSTETNFSASNWFSKDGRCLLPGLTYDAESHPQGTDTMVIQTVPLHEKQSLVITDSTWENQGADCNCYYQSDICNGELGCTCKQADPLSGGWSTHTENTRGVWWSLPTGDRSDPRRATGCRLALRQECREALNVAARLQGAGAAYAFSVASLPHGSPAGRLTVADPGGHRVVTWASDATMNCYVLFPGLPGSGDADIYYLGSPNGRHKHAECSQEYLSSHCTEGTYGTGLCDCSFTDWSQSCEGGHECYSPLCRDTIGCSDIQLDRFSGLAGQQVLIPDVAKDGITILRLCGQFGGLPSKCGSGSSNGLCGGFYYTDGSDILVAVLPQVATDDDVVVNMHSWKASFSVSHWQGDNLGAGATVNRPSQGAQFLSLKLKGDKLFLVFSGSGRQLEGFLLHGARNWTRFQFTVSVKDEGSNGPLALYSRSSLFTVPVTQPQPCSFSVNGMMLIQPKHKKALETVDVGNYYLNELCGHFSKRTFARSRGPSLIGGFSIEFNLDLNSSDGKAQFHHTHKDVTFNVQTDLLEILVSHWHQDDLLSGLTLTVPAITSPLKANGSNLFFVLKGKILELTIHNGHQGERHLLIGNSQMAKFKLSIVDRIPKLPKKDLTYLLASQGDFYVVESKYACGYVEIRMGIRGRPNWALPLFRLFRAEFPPQLRYHVVSDANTNGPFGWISEERRYQKWNRGGDSFMLLYSTVWDSSGYGGQTAVATVEMREGLDIQLWQYKNSVLPTWGGAGDRRNLRSFGPGHSSSLESSSSFSSRPLASALPSDCDPPNGAGVPSWTQTPLLLLVKLVAWAVSAM
+>sp|Q9NXG0|CNTLN_HUMAN Centlein OS=Homo sapiens OX=9606 GN=CNTLN PE=1 SV=5
+MAARSPPSPHPSPPARQLGPRSPRVGRGAEVHAMRSEASGFAGAAREVVADESDKIWVGEEGSGGRRGPGGAAPAHAPLLSAPMGSRRLEGISVEEAMVTRTQLLEEELSSLKEELALCQADKEFVWSLWKRLQVTNPDLTQVVSLVVEREKQKSEAKDRKVLEILQVKDAKIQEFEQRESVLKQEINDLVKRKIAVDEENAFLRKEFSDLEKKFKDKSQEIKDTKECVQNKEEQNRLVIKNLEEENKKLSTRCTDLLNDLEKLRKQEAHLRKEKYSTDAKIKTFEDNLIEARKEVEVSQSKYNALSLQLSNKQTELIQKDMDITLVRKELQELQNLYKQNSTHTAQQAELIQQLQVLNMDTQKVLRNQEDVHTAESISYQKLYNELHICFETTKSNEAMLRQSVTNLQDQLLQKEQENAKLKEKLQESQGAPLPLPQESDPDYSAQVPHRPSLSSLETLMVSQKSEIEYLQEKLKIANEKLSENISANKGFSRKSIMTSAEGKHKEPPVKRSRSLSPKSSFTDSEELQKLRKAERKIENLEKALQLKSQENDELRDAHEKRKERLQMLQTNYRAVKEQLKQWEEGSGMTEIRKIKRADPQQLRQEDSDAVWNELAYFKRENQELMIQKMNLEEELDELKVHISIDKAAIQELNRCVAERREEQLFRSGEDDEVKRSTPEKNGKEMLEQTLQKVTELENRLKSFEKRSRKLKEGNKKLMKENDFLKSLLKQQQEDTETREKELEQIIKGSKDVEKENTELQVKISELETEVTSLRRQVAEANALRNENEELINPMEKSHQSADRAKSEMATMKVRSGRYDCKTTMTKVKFKAAKKNCSVGRHHTVLNHSIKVMSNVFENLSKDGWEDVSESSSDSEAQTSQTLGTIIVETSQKISPTEDGKDQKESDPTEDSQTQGKEIVQTYLNIDGKTPKDYFHDKNAKKPTFQKKNCKMQKSSHTAVPTRVNREKYKNITAQKSSSNIILLRERIISLQQQNSVLQNAKKTAELSVKEYKEVNEKLLHQQQVSDQRFQTSRQTIKKLNLDLAGLRKEKEDLLKKLESSSEITSLAEENSQVTFPRIQVTSLSPSRSMDLEMKQLQYKLKNATNELTKQSSNVKTLKFELLAKEEHIKEMHEKISRMERDITMKRHLIEDLKFRQKVNLESNKSFSEMLQNLDKKVKTLTEECSNKKVSIDSLKQRLNVAVKEKSQYEQMYQKSKEELEKKDLKLTLLVSRISETESAMAEIETAASKQLQELALQSEQVLEGAQKTLLLANEKVEEFTTFVKALAKELQNDVHVVRRQIRELKKMKKNRDACKTSTHKAQTLAASILNISRSDLEEILDTEDQVEIEKTKIDAENDKEWMLYIQKLLEGQSLTLSPRLKCNGAIMAHQNLRLPDSSSSASAS
+>DECOY_sp|Q9NXG0|CNTLN_HUMAN Centlein OS=Homo sapiens OX=9606 GN=CNTLN PE=1 SV=5
+SASASSSSDPLRLNQHAMIAGNCKLRPSLTLSQGELLKQIYLMWEKDNEADIKTKEIEVQDETDLIEELDSRSINLISAALTQAKHTSTKCADRNKKMKKLERIQRRVVHVDNQLEKALAKVFTTFEEVKENALLLTKQAGELVQESQLALEQLQKSAATEIEAMASETESIRSVLLTLKLDKKELEEKSKQYMQEYQSKEKVAVNLRQKLSDISVKKNSCEETLTKVKKDLNQLMESFSKNSELNVKQRFKLDEILHRKMTIDREMRSIKEHMEKIHEEKALLEFKLTKVNSSQKTLENTANKLKYQLQKMELDMSRSPSLSTVQIRPFTVQSNEEALSTIESSSELKKLLDEKEKRLGALDLNLKKITQRSTQFRQDSVQQQHLLKENVEKYEKVSLEATKKANQLVSNQQQLSIIRERLLIINSSSKQATINKYKERNVRTPVATHSSKQMKCNKKQFTPKKANKDHFYDKPTKGDINLYTQVIEKGQTQSDETPDSEKQDKGDETPSIKQSTEVIITGLTQSTQAESDSSSESVDEWGDKSLNEFVNSMVKISHNLVTHHRGVSCNKKAAKFKVKTMTTKCDYRGSRVKMTAMESKARDASQHSKEMPNILEENENRLANAEAVQRRLSTVETELESIKVQLETNEKEVDKSGKIIQELEKERTETDEQQQKLLSKLFDNEKMLKKNGEKLKRSRKEFSKLRNELETVKQLTQELMEKGNKEPTSRKVEDDEGSRFLQEERREAVCRNLEQIAAKDISIHVKLEDLEEELNMKQIMLEQNERKFYALENWVADSDEQRLQQPDARKIKRIETMGSGEEWQKLQEKVARYNTQLMQLREKRKEHADRLEDNEQSKLQLAKELNEIKREAKRLKQLEESDTFSSKPSLSRSRKVPPEKHKGEASTMISKRSFGKNASINESLKENAIKLKEQLYEIESKQSVMLTELSSLSPRHPVQASYDPDSEQPLPLPAGQSEQLKEKLKANEQEKQLLQDQLNTVSQRLMAENSKTTEFCIHLENYLKQYSISEATHVDEQNRLVKQTDMNLVQLQQILEAQQATHTSNQKYLNQLEQLEKRVLTIDMDKQILETQKNSLQLSLANYKSQSVEVEKRAEILNDEFTKIKADTSYKEKRLHAEQKRLKELDNLLDTCRTSLKKNEEELNKIVLRNQEEKNQVCEKTDKIEQSKDKFKKELDSFEKRLFANEEDVAIKRKVLDNIEQKLVSERQEFEQIKADKVQLIELVKRDKAESKQKEREVVLSVVQTLDPNTVQLRKWLSWVFEKDAQCLALEEKLSSLEEELLQTRTVMAEEVSIGELRRSGMPASLLPAHAPAAGGPGRRGGSGEEGVWIKDSEDAVVERAAGAFGSAESRMAHVEAGRGVRPSRPGLQRAPPSPHPSPPSRAAM
+>sp|Q9P232|CNTN3_HUMAN Contactin-3 OS=Homo sapiens OX=9606 GN=CNTN3 PE=1 SV=3
+MMFPWKQLILLSFIGCLGGELLLQGPVFIKEPSNSIFPVGSEDKKITLHCEARGNPSPHYRWQLNGSDIDMSMEHRYKLNGGNLVVINPNRNWDTGTYQCFATNSLGTIVSREAKLQFAYLENFKTKMRSTVSVREGQGVVLLCGPPPHSGELSYAWIFNEYPSFVEEDSRRFVSQETGHLYISKVEPSDVGNYTCVVTSMVTNARVLGSPTPLVLRSDGVMGEYEPKIEVQFPETLPAAKGSTVKLECFALGNPIPQINWRRSDGLPFSSKIKLRKFSGVLEIPNFQQEDAGSYECIAENSRGKNVARGRLTYYAKPHWVQLIKDVEIAVEDSLYWECRASGKPKPSYRWLKNGAALVLEERTQIENGALTISNLSVTDSGMFQCIAENKHGLVYSSAELKVVASAPDFSKNPMKKLVQVQVGSLVSLDCKPRASPRALSSWKKGDVSVQEHERISLLNDGGLKIANVTKADAGTYTCMAENQFGKANGTTHLVVTEPTRITLAPSNMDVSVGESVILPCQVQHDPLLDIIFTWYFNGALADFKKDGSHFEKVGGSSSGDLMIRNIQLKHSGKYVCMVQTGVDSVSSAADLIVRGSPGPPENVKVDEITDTTAQLSWKEGKDNHSPVISYSIQARTPFSVGWQTVTTVPEVIDGKTHTATVVELNPWVEYEFRVVASNKIGGGEPSLPSEKVRTEEAVPEVPPSEVNGGGGSRSELVITWDPVPEELQNGEGFGYVVAFRPLGVTTWIQTVVTSPDTPRYVFRNESIVPYSPYEVKVGVYNNKGEGPFSPVTTVFSAEEEPTVAPSQVSANSLSSSEIEVSWNTIPWKLSNGHLLGYEVRYWNGGGKEESSSKMKVAGNETSARLRGLKSNLAYYTAVRAYNSAGAGPFSATVNVTTKKTPPSQPPGNVVWNATDTKVLLNWEQVKAMENESEVTGYKVFYRTSSQNNVQVLNTNKTSAELVLPIKEDYIIEVKATTDGGDGTSSEQIRIPRITSMDARGSTSAISNVHPMSSYMPIVLFLIVYVLW
+>DECOY_sp|Q9P232|CNTN3_HUMAN Contactin-3 OS=Homo sapiens OX=9606 GN=CNTN3 PE=1 SV=3
+WLVYVILFLVIPMYSSMPHVNSIASTSGRADMSTIRPIRIQESSTGDGGDTTAKVEIIYDEKIPLVLEASTKNTNLVQVNNQSSTRYFVKYGTVESENEMAKVQEWNLLVKTDTANWVVNGPPQSPPTKKTTVNVTASFPGAGASNYARVATYYALNSKLGRLRASTENGAVKMKSSSEEKGGGNWYRVEYGLLHGNSLKWPITNWSVEIESSSLSNASVQSPAVTPEEEASFVTTVPSFPGEGKNNYVGVKVEYPSYPVISENRFVYRPTDPSTVVTQIWTTVGLPRFAVVYGFGEGNQLEEPVPDWTIVLESRSGGGGNVESPPVEPVAEETRVKESPLSPEGGGIKNSAVVRFEYEVWPNLEVVTATHTKGDIVEPVTTVTQWGVSFPTRAQISYSIVPSHNDKGEKWSLQATTDTIEDVKVNEPPGPSGRVILDAASSVSDVGTQVMCVYKGSHKLQINRIMLDGSSSGGVKEFHSGDKKFDALAGNFYWTFIIDLLPDHQVQCPLIVSEGVSVDMNSPALTIRTPETVVLHTTGNAKGFQNEAMCTYTGADAKTVNAIKLGGDNLLSIREHEQVSVDGKKWSSLARPSARPKCDLSVLSGVQVQVLKKMPNKSFDPASAVVKLEASSYVLGHKNEAICQFMGSDTVSLNSITLAGNEIQTREELVLAAGNKLWRYSPKPKGSARCEWYLSDEVAIEVDKILQVWHPKAYYTLRGRAVNKGRSNEAICEYSGADEQQFNPIELVGSFKRLKIKSSFPLGDSRRWNIQPIPNGLAFCELKVTSGKAAPLTEPFQVEIKPEYEGMVGDSRLVLPTPSGLVRANTVMSTVVCTYNGVDSPEVKSIYLHGTEQSVFRRSDEEVFSPYENFIWAYSLEGSHPPPGCLLVVGQGERVSVTSRMKTKFNELYAFQLKAERSVITGLSNTAFCQYTGTDWNRNPNIVVLNGGNLKYRHEMSMDIDSGNLQWRYHPSPNGRAECHLTIKKDESGVPFISNSPEKIFVPGQLLLEGGLCGIFSLLILQKWPFMM
+>sp|Q9UQ52|CNTN6_HUMAN Contactin-6 OS=Homo sapiens OX=9606 GN=CNTN6 PE=1 SV=1
+MRLLWKLVILLPLINSSAGDGLLSRPIFTQEPHDVIFPLDLSKSEVILNCAANGYPSPHYRWKQNGTDIDFTMSYHYRLDGGSLAINSPHTDQDIGMYQCLATNLLGTILSRKAKLQFAYIEDFETKTRSTVSVREGQGVVLLCGPPPHFGDLSYAWTFNDNPLYVQEDNRRFVSQETGNLYIAKVEPSDVGNYTCFITNKEAQRSVQGPPTPLVQRTDGVMGEYEPKIEVRFPETIQAAKDSSVKLECFALGNPVPDISWRRLDGSPLPGKVKYSKSQAILEIPNFQQEDEGFYECIASNLRGRNLAKGQLIFYAPPEWEQKIQNTHLSIYDNLLWECKASGKPNPWYTWLKNGERLNPEERIQIENGTLIITMLNVSDSGVYQCAAENKYQIIYANAELRVLASAPDFSKSPVKKKSFVQVGGDIVIGCKPNAFPRAAISWKRGTETLRQSKRIFLLEDGSLKIYNITRSDAGSYTCIATNQFGTAKNTGSLIVKERTVITVPPSKMDVTVGESIVLPCQVSHDPSIEVVFVWFFNGDVIDLKKGVAHFERIGGESVGDLMIRNIQLHHSGKYLCTVQTTLESLSAVADIIVRGPPGPPEDVQVEDISSTTSQLSWRAGPDNNSPIQIFTIQTRTPFSVGWQAVATVPEILNGKTYNATVVGLSPWVEYEFRVVAGNSIGIGEPSEPSELLRTKASVPVVAPVNIHGGGGSRSELVITWESIPEELQNGEGFGYIIMFRPVGSTTWSKEKVSSVESSRFVYRNESIIPLSPFEVKVGVYNNEGEGSLSTVTIVYSGEDEPQLAPRGTSLQSFSASEMEVSWNAIAWNRNTGRVLGYEVLYWTDDSKESMIGKIRVSGNVTTKNITGLKANTIYFASVRAYNTAGTGPSSPPVNVTTKKSPPSQPPANIAWKLTNSKLCLNWEHVKTMENESEVLGYKILYRQNRQSKTHILETNNTSAELLVPFEEDYLIEIRTVSDGGDGSSSEEIRIPKMSSLSSRGIQFLEPSTHFLSIVIVIFHCFAIQPLI
+>DECOY_sp|Q9UQ52|CNTN6_HUMAN Contactin-6 OS=Homo sapiens OX=9606 GN=CNTN6 PE=1 SV=1
+ILPQIAFCHFIVIVISLFHTSPELFQIGRSSLSSMKPIRIEESSSGDGGDSVTRIEILYDEEFPVLLEASTNNTELIHTKSQRNQRYLIKYGLVESENEMTKVHEWNLCLKSNTLKWAINAPPQSPPSKKTTVNVPPSSPGTGATNYARVSAFYITNAKLGTINKTTVNGSVRIKGIMSEKSDDTWYLVEYGLVRGTNRNWAIANWSVEMESASFSQLSTGRPALQPEDEGSYVITVTSLSGEGENNYVGVKVEFPSLPIISENRYVFRSSEVSSVKEKSWTTSGVPRFMIIYGFGEGNQLEEPISEWTIVLESRSGGGGHINVPAVVPVSAKTRLLESPESPEGIGISNGAVVRFEYEVWPSLGVVTANYTKGNLIEPVTAVAQWGVSFPTRTQITFIQIPSNNDPGARWSLQSTTSSIDEVQVDEPPGPPGRVIIDAVASLSELTTQVTCLYKGSHHLQINRIMLDGVSEGGIREFHAVGKKLDIVDGNFFWVFVVEISPDHSVQCPLVISEGVTVDMKSPPVTIVTREKVILSGTNKATGFQNTAICTYSGADSRTINYIKLSGDELLFIRKSQRLTETGRKWSIAARPFANPKCGIVIDGGVQVFSKKKVPSKSFDPASALVRLEANAYIIQYKNEAACQYVGSDSVNLMTIILTGNEIQIREEPNLREGNKLWTYWPNPKGSAKCEWLLNDYISLHTNQIKQEWEPPAYFILQGKALNRGRLNSAICEYFGEDEQQFNPIELIAQSKSYKVKGPLPSGDLRRWSIDPVPNGLAFCELKVSSDKAAQITEPFRVEIKPEYEGMVGDTRQVLPTPPGQVSRQAEKNTIFCTYNGVDSPEVKAIYLNGTEQSVFRRNDEQVYLPNDNFTWAYSLDGFHPPPGCLLVVGQGERVSVTSRTKTEFDEIYAFQLKAKRSLITGLLNTALCQYMGIDQDTHPSNIALSGGDLRYHYSMTFDIDTGNQKWRYHPSPYGNAACNLIVESKSLDLPFIVDHPEQTFIPRSLLGDGASSNILPLLIVLKWLLRM
+>sp|Q9BZ76|CNTP3_HUMAN Contactin-associated protein-like 3 OS=Homo sapiens OX=9606 GN=CNTNAP3 PE=2 SV=3
+MASVAWAVLKVLLLLPTQTWSPVGAGNPPDCDAPLASALPRSSFSSSSELSSSHGPGFSRLNRRDGAGGWTPLVSNKYQWLQIDLGERMEVTAVATQGGYGSSDWVTSYLLMFSDGGRNWKQYRREESIWGFPGNTNADSVVHYRLQPPFEARFLRFLPLAWNPRGRIGMRIEVYGCAYKSEVVYFDGQSALLYRLDKKPLKPIRDVISLKFKAMQSNGILLHREGQHGNHITLELIKGKLVFFLNSGNAKLPSTIAPVTLTLGSLLDDQHWHSVLIELLDTQVNFTVDKHTHHFQAKGDSSYLDLNFEISFGGIPTPGRSRAFRRKSFHGCLENLYYNGVDVTELAKKHKPQILMMGNVSFSCPQPQTVPVTFLSSRSYLALPGNSGEDKVSVTFQFRTWNRAGHLLFGELRRGSGSFVLFLKDGKLKLSLFQPGQSPRNVTAGAGLNDGQWHSVSFSAKWSHMNVVVDDDTAVQPLVAVLIDSGDTYYFGGCLDNSSGSGCKSPLGGFQGCLRLITIGDKAVDPILVQQGALGSFRDLQIDSCGITDRCLPSYCEHGGECSQSWDTFSCDCLGTGYTGETCHSSLYEQSCEAHKHRGNPSGLYYIDADGSGPLGPFLVYCNMTADAAWTVVQHGGPDAVTLRGAPSGHPRSAVSFAYAAGAGQLRSAVNLAERCEQRLALRCGTARRPDSRDGTPLSWWVGRTNETHTSWGGSLPDAQKCTCGLEGNCIDSQYYCNCDAGRNEWTSDTIVLSQKEHLPVTQIVMTDAGRPHSEAAYTLGPLLCRGDQSFWNSASFNTETSYLHFPAFHGELTADVCFFFKTTVSSGVFMENLGITDFIRIELRAPTEVTFSFDVGNGPCEVTVQSPTPFNDNQWHHVRAERNVKGASLQVDQLPQKMQPAPADGHVRLQLNSQLFIGGTATRQRGFLGCIRSLQLNGVALDLEERATVTPGVEPGCAGHCSTYGHLCRNGGRCREKRRGVTCDCAFSAYDGPFCSNEISAYFATGSSMTYHFQEHYTLSENSSSLVSSLHRDVTLTREMITLSFRTTRTPSLLLYVSSFYEEYLSVILANNGSLQIRYKLDRHQNPDAFTFDFKNMADGQLHQVKINREEAVVMVEVNQSTKKQVILSSGTEFNAVKSLILGKVLEAAGADPDTRRAATSGFTGCLSAVRFGRAAPLKAALRPSGPSRVTVRGHVAPMARCAAGAASGSPARELAPRLAGGAGRSGPADEGEPLVNADRRDSAVIGGVIAVVIFILLCITAIAIRIYQQRKLRKENESKVSKKEEC
+>DECOY_sp|Q9BZ76|CNTP3_HUMAN Contactin-associated protein-like 3 OS=Homo sapiens OX=9606 GN=CNTNAP3 PE=2 SV=3
+CEEKKSVKSENEKRLKRQQYIRIAIATICLLIFIVVAIVGGIVASDRRDANVLPEGEDAPGSRGAGGALRPALERAPSGSAAGAACRAMPAVHGRVTVRSPGSPRLAAKLPAARGFRVASLCGTFGSTAARRTDPDAGAAELVKGLILSKVANFETGSSLIVQKKTSQNVEVMVVAEERNIKVQHLQGDAMNKFDFTFADPNQHRDLKYRIQLSGNNALIVSLYEEYFSSVYLLLSPTRTTRFSLTIMERTLTVDRHLSSVLSSSNESLTYHEQFHYTMSSGTAFYASIENSCFPGDYASFACDCTVGRRKERCRGGNRCLHGYTSCHGACGPEVGPTVTAREELDLAVGNLQLSRICGLFGRQRTATGGIFLQSNLQLRVHGDAPAPQMKQPLQDVQLSAGKVNREARVHHWQNDNFPTPSQVTVECPGNGVDFSFTVETPARLEIRIFDTIGLNEMFVGSSVTTKFFFCVDATLEGHFAPFHLYSTETNFSASNWFSQDGRCLLPGLTYAAESHPRGADTMVIQTVPLHEKQSLVITDSTWENRGADCNCYYQSDICNGELGCTCKQADPLSGGWSTHTENTRGVWWSLPTGDRSDPRRATGCRLALRQECREALNVASRLQGAGAAYAFSVASRPHGSPAGRLTVADPGGHQVVTWAADATMNCYVLFPGLPGSGDADIYYLGSPNGRHKHAECSQEYLSSHCTEGTYGTGLCDCSFTDWSQSCEGGHECYSPLCRDTIGCSDIQLDRFSGLAGQQVLIPDVAKDGITILRLCGQFGGLPSKCGSGSSNDLCGGFYYTDGSDILVAVLPQVATDDDVVVNMHSWKASFSVSHWQGDNLGAGATVNRPSQGPQFLSLKLKGDKLFLVFSGSGRRLEGFLLHGARNWTRFQFTVSVKDEGSNGPLALYSRSSLFTVPVTQPQPCSFSVNGMMLIQPKHKKALETVDVGNYYLNELCGHFSKRRFARSRGPTPIGGFSIEFNLDLYSSDGKAQFHHTHKDVTFNVQTDLLEILVSHWHQDDLLSGLTLTVPAITSPLKANGSNLFFVLKGKILELTIHNGHQGERHLLIGNSQMAKFKLSIVDRIPKLPKKDLRYLLASQGDFYVVESKYACGYVEIRMGIRGRPNWALPLFRLFRAEFPPQLRYHVVSDANTNGPFGWISEERRYQKWNRGGDSFMLLYSTVWDSSGYGGQTAVATVEMREGLDIQLWQYKNSVLPTWGGAGDRRNLRSFGPGHSSSLESSSSFSSRPLASALPADCDPPNGAGVPSWTQTPLLLLVKLVAWAVSAM
+>sp|Q9C0A0|CNTP4_HUMAN Contactin-associated protein-like 4 OS=Homo sapiens OX=9606 GN=CNTNAP4 PE=1 SV=3
+MGSVTGAVLKTLLLLSTQNWNRVEAGNSYDCDDPLVSALPQASFSSSSELSSSHGPGFARLNRRDGAGGWSPLVSNKYQWLQIDLGERMEVTAVATQGGYGSSNWVTSYLLMFSDSGWNWKQYRQEDSIWGFSGNANADSVVYYRLQPSIKARFLRFIPLEWNPKGRIGMRIEVFGCAYRSEVVDLDGKSSLLYRFDQKSLSPIKDIISLKFKTMQSDGILLHREGPNGDHITLQLRRARLFLLINSGEAKLPSTSTLVNLTLGSLLDDQHWHSVLIQRLGKQVNFTVDEHRHHFHARGEFNLMNLDYEISFGGIPAPGKSVSFPHRNFHGCLENLYYNGVDIIDLAKQQKPQIIAMGNVSFSCSQPQSMPVTFLSSRSYLALPDFSGEEEVSATFQFRTWNKAGLLLFSELQLISGGILLFLSDGKLKSNLYQPGKLPSDITAGVELNDGQWHSVSLSAKKNHLSVAVDGQMASAAPLLGPEQIYSGGTYYFGGCPDKSFGSKCKSPLGGFQGCMRLISISGKVVDLISVQQGSLGNFSDLQIDSCGISDRCLPNYCEHGGECSQSWSTFHCNCTNTGYRGATCHNSIYEQSCEAYKHRGNTSGFYYIDSDGSGPLEPFLLYCNMTETAWTIIQHNGSDLTRVRNTNPENPYAGFFEYVASMEQLQATINRAEHCEQEFTYYCKKSRLVNKQDGTPLSWWVGRTNETQTYWGGSSPDLQKCTCGLEGNCIDSQYYCNCDADRNEWTNDTGLLAYKEHLPVTKIVITDTGRLHSEAAYKLGPLLCQGDRSFWNSASFDTEASYLHFPTFHGELSADVSFFFKTTASSGVFLENLGIADFIRIELRSPTVVTFSFDVGNGPFEISVQSPTHFNDNQWHHVRVERNMKEASLQVDQLTPKTQPAPADGHVLLQLNSQLFVGGTATRQRGFLGCIRSLQLNGMTLDLEERAQVTPEVQPGCRGHCSSYGKLCRNGGKCRERPIGFFCDCTFSAYTGPFCSNEISAYFGSGSSVIYNFQENYLLSKNSSSHAASFHGDMKLSREMIKFSFRTTRTPSLLLFVSSFYKEYLSVIIAKNGSLQIRYKLNKYQEPDVVNFDFKNMADGQLHHIMINREEGVVFIEIDDNRRRQVHLSSGTEFSAVKSLVLGRILEHSDVDQDTALAGAQGFTGCLSAVQLSHVAPLKAALHPSHPDPVTVTGHVTESSCMAQPGTDATSRERTHSFADHSGTIDDREPLANAIKSDSAVIGGLIAVVIFILLCITAIAVRIYQQKRLYKRSEAKRSENVDSAEAVLKSELNIQNAVNENQKEYFF
+>DECOY_sp|Q9C0A0|CNTP4_HUMAN Contactin-associated protein-like 4 OS=Homo sapiens OX=9606 GN=CNTNAP4 PE=1 SV=3
+FFYEKQNENVANQINLESKLVAEASDVNESRKAESRKYLRKQQYIRVAIATICLLIFIVVAILGGIVASDSKIANALPERDDITGSHDAFSHTRERSTADTGPQAMCSSETVHGTVTVPDPHSPHLAAKLPAVHSLQVASLCGTFGQAGALATDQDVDSHELIRGLVLSKVASFETGSSLHVQRRRNDDIEIFVVGEERNIMIHHLQGDAMNKFDFNVVDPEQYKNLKYRIQLSGNKAIIVSLYEKYFSSVFLLLSPTRTTRFSFKIMERSLKMDGHFSAAHSSSNKSLLYNEQFNYIVSSGSGFYASIENSCFPGTYASFTCDCFFGIPRERCKGGNRCLKGYSSCHGRCGPQVEPTVQAREELDLTMGNLQLSRICGLFGRQRTATGGVFLQSNLQLLVHGDAPAPQTKPTLQDVQLSAEKMNREVRVHHWQNDNFHTPSQVSIEFPGNGVDFSFTVVTPSRLEIRIFDAIGLNELFVGSSATTKFFFSVDASLEGHFTPFHLYSAETDFSASNWFSRDGQCLLPGLKYAAESHLRGTDTIVIKTVPLHEKYALLGTDNTWENRDADCNCYYQSDICNGELGCTCKQLDPSSGGWYTQTENTRGVWWSLPTGDQKNVLRSKKCYYTFEQECHEARNITAQLQEMSAVYEFFGAYPNEPNTNRVRTLDSGNHQIITWATETMNCYLLFPELPGSGDSDIYYFGSTNGRHKYAECSQEYISNHCTAGRYGTNTCNCHFTSWSQSCEGGHECYNPLCRDSIGCSDIQLDSFNGLSGQQVSILDVVKGSISILRMCGQFGGLPSKCKSGFSKDPCGGFYYTGGSYIQEPGLLPAASAMQGDVAVSLHNKKASLSVSHWQGDNLEVGATIDSPLKGPQYLNSKLKGDSLFLLIGGSILQLESFLLLGAKNWTRFQFTASVEEEGSFDPLALYSRSSLFTVPMSQPQSCSFSVNGMAIIQPKQQKALDIIDVGNYYLNELCGHFNRHPFSVSKGPAPIGGFSIEYDLNMLNFEGRAHFHHRHEDVTFNVQKGLRQILVSHWHQDDLLSGLTLNVLTSTSPLKAEGSNILLFLRARRLQLTIHDGNPGERHLLIGDSQMTKFKLSIIDKIPSLSKQDFRYLLSSKGDLDVVESRYACGFVEIRMGIRGKPNWELPIFRLFRAKISPQLRYYVVSDANANGSFGWISDEQRYQKWNWGSDSFMLLYSTVWNSSGYGGQTAVATVEMREGLDIQLWQYKNSVLPSWGGAGDRRNLRAFGPGHSSSLESSSSFSAQPLASVLPDDCDYSNGAEVRNWNQTSLLLLTKLVAGTVSGM
+>sp|Q8N137|CNTRB_HUMAN Centrobin OS=Homo sapiens OX=9606 GN=CNTROB PE=1 SV=1
+MATSADSPSSPLGAEDLLSDSSEPPGLNQVSSEVTSQLYASLRLSRQAEATARAQLYLPSTSPPHEGLDGFAQELSRSLSVGLEKNLKKKDGSKHIFEMESVRGQLQTMLQTSRDTAYRDPLIPGAGSERREEDSFDSDSTATLLNTRPLQDLSPSSSAQALEELFPRYTSLRPGPPLNPPDFQGLRDALDSEHTRRKHCERHIQSLQTRVLELQQQLAVAVAADRKKDTMIEQLDKTLARVVEGWNRHEAERTEVLRGLQEEHQAAELTRSKQQETVTRLEQSLSEAMEALNREQESARLQQRERETLEEERQALTLRLEAEQQRCCVLQEERDAARAGQLSEHRELETLRAALEEERQTWAQQEHQLKEHYQALQEESQAQLEREKEKSQREAQAAWETQHQLALVQSEVRRLEGELDTARRERDALQLEMSLVQARYESQRIQLESELAVQLEQRVTERLAQAQESSLRQAASLREHHRKQLQDLSGQHQQELASQLAQFKVEMAEREERQQQVAEDYELRLAREQARVCELQSGNQQLEEQRVELVERLQAMLQAHWDEANQLLSTTLPPPNPPAPPAGPSSPGPQEPEKEERRVWTMPPMAVALKPVLQQSREARDELPGAPPVLCSSSSDLSLLLGPSFQSQHSFQPLEPKPDLTSSTAGAFSALGAFHPDHRAERPFPEEDPGPDGEGLLKQGLPPAQLEGLKNFLHQLLETVPQNNENPSVDLLPPKSGPLTVPSWEEAPQVPRIPPPVHKTKVPLAMASSLFRVPEPPSSHSQGSGPSSGSPERGGDGLTFPRQLMEVSQLLRLYQARGWGALPAEDLLLYLKRLEHSGTDGRGDNVPRRNTDSRLGEIPRKEIPSQAVPRRLATAPKTEKPPARKKSGHPAPSSMRSRGGVWR
+>DECOY_sp|Q8N137|CNTRB_HUMAN Centrobin OS=Homo sapiens OX=9606 GN=CNTROB PE=1 SV=1
+RWVGGRSRMSSPAPHGSKKRAPPKETKPATALRRPVAQSPIEKRPIEGLRSDTNRRPVNDGRGDTGSHELRKLYLLLDEAPLAGWGRAQYLRLLQSVEMLQRPFTLGDGGREPSGSSPGSGQSHSSPPEPVRFLSSAMALPVKTKHVPPPIRPVQPAEEWSPVTLPGSKPPLLDVSPNENNQPVTELLQHLFNKLGELQAPPLGQKLLGEGDPGPDEEPFPREARHDPHFAGLASFAGATSSTLDPKPELPQFSHQSQFSPGLLLSLDSSSSCLVPPAGPLEDRAERSQQLVPKLAVAMPPMTWVRREEKEPEQPGPSSPGAPPAPPNPPPLTTSLLQNAEDWHAQLMAQLREVLEVRQEELQQNGSQLECVRAQERALRLEYDEAVQQQREEREAMEVKFQALQSALEQQHQGSLDQLQKRHHERLSAAQRLSSEQAQALRETVRQELQVALESELQIRQSEYRAQVLSMELQLADRERRATDLEGELRRVESQVLALQHQTEWAAQAERQSKEKERELQAQSEEQLAQYHEKLQHEQQAWTQREEELAARLTELERHESLQGARAADREEQLVCCRQQEAELRLTLAQREEELTERERQQLRASEQERNLAEMAESLSQELRTVTEQQKSRTLEAAQHEEQLGRLVETREAEHRNWGEVVRALTKDLQEIMTDKKRDAAVAVALQQQLELVRTQLSQIHRECHKRRTHESDLADRLGQFDPPNLPPGPRLSTYRPFLEELAQASSSPSLDQLPRTNLLTATSDSDFSDEERRESGAGPILPDRYATDRSTQLMTQLQGRVSEMEFIHKSGDKKKLNKELGVSLSRSLEQAFGDLGEHPPSTSPLYLQARATAEAQRSLRLSAYLQSTVESSVQNLGPPESSDSLLDEAGLPSSPSDASTAM
+>sp|Q9H2U6|CO005_HUMAN Putative uncharacterized protein encoded by LINC00597 OS=Homo sapiens OX=9606 GN=LINC00597 PE=5 SV=1
+MLKNRELLKIFEQASHMMKLIEEHCICDCIWMEWEDLEAKTLDRRPPEQTRRERCSCGNGKRWAKPKITTEKKNHPDFMIDWMYFETPLSIQMK
+>DECOY_sp|Q9H2U6|CO005_HUMAN Putative uncharacterized protein encoded by LINC00597 OS=Homo sapiens OX=9606 GN=LINC00597 PE=5 SV=1
+KMQISLPTEFYMWDIMFDPHNKKETTIKPKAWRKGNGCSCRERRTQEPPRRDLTKAELDEWEMWICDCICHEEILKMMHSAQEFIKLLERNKLM
+>sp|Q8WUR7|CO040_HUMAN UPF0235 protein C15orf40 OS=Homo sapiens OX=9606 GN=C15orf40 PE=1 SV=2
+MLRLRSGLRHLRATPNTRGSARLLCAEMPKKAGATTKGKSQSKEPERPLPPLGPVAVDPKGCVTIAIHAKPGSKQNAVTDLTAEAVNVAIAAPPSEGEANAELCRYLSKVLELRKSDVVLDKGGKSREKVVKLLASTTPEEILEKLKKEAKKT
+>DECOY_sp|Q8WUR7|CO040_HUMAN UPF0235 protein C15orf40 OS=Homo sapiens OX=9606 GN=C15orf40 PE=1 SV=2
+TKKAEKKLKELIEEPTTSALLKVVKERSKGGKDLVVDSKRLELVKSLYRCLEANAEGESPPAAIAVNVAEATLDTVANQKSGPKAHIAITVCGKPDVAVPGLPPLPREPEKSQSKGKTTAGAKKPMEACLLRASGRTNPTARLHRLGSRLRLM
+>sp|Q8N8G6|CO054_HUMAN Putative uncharacterized protein C15orf54 OS=Homo sapiens OX=9606 GN=C15orf54 PE=2 SV=1
+MEVKFITGKHGGRRPQRAEPQRICRALWLTPWPSLILKLLSWIILSNLFLHLRATHHMTELPLRFLYIALSEMTFREQTSHQIIQQMSLSNKLEQNQLYGEVINKETDNPVISSGLTLLFAQKPQSPGWKNMSSTKRVCTILADSCRAQAHAADRGERGHFGVQILHHFIEVFNVMAVRSNPF
+>DECOY_sp|Q8N8G6|CO054_HUMAN Putative uncharacterized protein C15orf54 OS=Homo sapiens OX=9606 GN=C15orf54 PE=2 SV=1
+FPNSRVAMVNFVEIFHHLIQVGFHGREGRDAAHAQARCSDALITCVRKTSSMNKWGPSQPKQAFLLTLGSSIVPNDTEKNIVEGYLQNQELKNSLSMQQIIQHSTQERFTMESLAIYLFRLPLETMHHTARLHLFLNSLIIWSLLKLILSPWPTLWLARCIRQPEARQPRRGGHKGTIFKVEM
+>sp|Q2T9L4|CO059_HUMAN UPF0583 protein C15orf59 OS=Homo sapiens OX=9606 GN=C15orf59 PE=1 SV=1
+MNIRGAPDLGQPSDDPSSGGERERIRQRMKMVIGQLEGILRELKEVAKELREVVSQIDKLTSDFDFELEPDDWTTATVSSTSSSDKAGMGGPFDLGHLDFMTADILSDSWEFCSFLDVSTPSDSVDGPESTRPGAGPDYRLMNGGTPIPNGPRVETPDSSSEEAFGAGPTVKSQLPQRTPGTRERVRFSDKVLYHALCCDDEEGDGEQEVEEEEVGLPPEPAHTEAHAGPHKPSPAPYKSRRSPLTSRHSGSTLAPEQTRRVTRNSSTQTVSDKSTQTVLPYTATRQKARGKN
+>DECOY_sp|Q2T9L4|CO059_HUMAN UPF0583 protein C15orf59 OS=Homo sapiens OX=9606 GN=C15orf59 PE=1 SV=1
+NKGRAKQRTATYPLVTQTSKDSVTQTSSNRTVRRTQEPALTSGSHRSTLPSRRSKYPAPSPKHPGAHAETHAPEPPLGVEEEEVEQEGDGEEDDCCLAHYLVKDSFRVRERTGPTRQPLQSKVTPGAGFAEESSSDPTEVRPGNPIPTGGNMLRYDPGAGPRTSEPGDVSDSPTSVDLFSCFEWSDSLIDATMFDLHGLDFPGGMGAKDSSSTSSVTATTWDDPELEFDFDSTLKDIQSVVERLEKAVEKLERLIGELQGIVMKMRQRIREREGGSSPDDSPQGLDPAGRINM
+>sp|A6NNL5|CO061_HUMAN Uncharacterized protein C15orf61 OS=Homo sapiens OX=9606 GN=C15orf61 PE=2 SV=2
+MEALRRAHEVALRLLLCRPWASRAAARPKPSASEVLTRHLLQRRLPHWTSFCVPYSAVRNDQFGLSHFNWPVQGANYHVLRTGCFPFIKYHCSKAPWQDLARQNRFFTALKVVNLGIPTLLYGLGSWLFARVTETVHTSYGPITVYFLNKEDEGAMY
+>DECOY_sp|A6NNL5|CO061_HUMAN Uncharacterized protein C15orf61 OS=Homo sapiens OX=9606 GN=C15orf61 PE=2 SV=2
+YMAGEDEKNLFYVTIPGYSTHVTETVRAFLWSGLGYLLTPIGLNVVKLATFFRNQRALDQWPAKSCHYKIFPFCGTRLVHYNAGQVPWNFHSLGFQDNRVASYPVCFSTWHPLRRQLLHRTLVESASPKPRAAARSAWPRCLLLRLAVEHARRLAEM
+>sp|H3BRN8|CO065_HUMAN Uncharacterized protein C15orf65 OS=Homo sapiens OX=9606 GN=C15orf65 PE=2 SV=1
+MTDRNRDKKSTSPSNSDTEMKSEQLPPCVNPGNPVFSCMLDPKTLQTATSLSKPQMIMYKTNSSHYGEFLPIPQFFPCNYTPKEQVFSSHIRATGFYQNNTLNTAPDRTRTLDFPNIQHTL
+>DECOY_sp|H3BRN8|CO065_HUMAN Uncharacterized protein C15orf65 OS=Homo sapiens OX=9606 GN=C15orf65 PE=2 SV=1
+LTHQINPFDLTRTRDPATNLTNNQYFGTARIHSSFVQEKPTYNCPFFQPIPLFEGYHSSNTKYMIMQPKSLSTATQLTKPDLMCSFVPNGPNVCPPLQESKMETDSNSPSTSKKDRNRDTM
+>sp|P02452|CO1A1_HUMAN Collagen alpha-1(I) chain OS=Homo sapiens OX=9606 GN=COL1A1 PE=1 SV=5
+MFSFVDLRLLLLLAATALLTHGQEEGQVEGQDEDIPPITCVQNGLRYHDRDVWKPEPCRICVCDNGKVLCDDVICDETKNCPGAEVPEGECCPVCPDGSESPTDQETTGVEGPKGDTGPRGPRGPAGPPGRDGIPGQPGLPGPPGPPGPPGPPGLGGNFAPQLSYGYDEKSTGGISVPGPMGPSGPRGLPGPPGAPGPQGFQGPPGEPGEPGASGPMGPRGPPGPPGKNGDDGEAGKPGRPGERGPPGPQGARGLPGTAGLPGMKGHRGFSGLDGAKGDAGPAGPKGEPGSPGENGAPGQMGPRGLPGERGRPGAPGPAGARGNDGATGAAGPPGPTGPAGPPGFPGAVGAKGEAGPQGPRGSEGPQGVRGEPGPPGPAGAAGPAGNPGADGQPGAKGANGAPGIAGAPGFPGARGPSGPQGPGGPPGPKGNSGEPGAPGSKGDTGAKGEPGPVGVQGPPGPAGEEGKRGARGEPGPTGLPGPPGERGGPGSRGFPGADGVAGPKGPAGERGSPGPAGPKGSPGEAGRPGEAGLPGAKGLTGSPGSPGPDGKTGPPGPAGQDGRPGPPGPPGARGQAGVMGFPGPKGAAGEPGKAGERGVPGPPGAVGPAGKDGEAGAQGPPGPAGPAGERGEQGPAGSPGFQGLPGPAGPPGEAGKPGEQGVPGDLGAPGPSGARGERGFPGERGVQGPPGPAGPRGANGAPGNDGAKGDAGAPGAPGSQGAPGLQGMPGERGAAGLPGPKGDRGDAGPKGADGSPGKDGVRGLTGPIGPPGPAGAPGDKGESGPSGPAGPTGARGAPGDRGEPGPPGPAGFAGPPGADGQPGAKGEPGDAGAKGDAGPPGPAGPAGPPGPIGNVGAPGAKGARGSAGPPGATGFPGAAGRVGPPGPSGNAGPPGPPGPAGKEGGKGPRGETGPAGRPGEVGPPGPPGPAGEKGSPGADGPAGAPGTPGPQGIAGQRGVVGLPGQRGERGFPGLPGPSGEPGKQGPSGASGERGPPGPMGPPGLAGPPGESGREGAPGAEGSPGRDGSPGAKGDRGETGPAGPPGAPGAPGAPGPVGPAGKSGDRGETGPAGPTGPVGPVGARGPAGPQGPRGDKGETGEQGDRGIKGHRGFSGLQGPPGPPGSPGEQGPSGASGPAGPRGPPGSAGAPGKDGLNGLPGPIGPPGPRGRTGDAGPVGPPGPPGPPGPPGPPSAGFDFSFLPQPPQEKAHDGGRYYRADDANVVRDRDLEVDTTLKSLSQQIENIRSPEGSRKNPARTCRDLKMCHSDWKSGEYWIDPNQGCNLDAIKVFCNMETGETCVYPTQPSVAQKNWYISKNPKDKRHVWFGESMTDGFQFEYGGQGSDPADVAIQLTFLRLMSTEASQNITYHCKNSVAYMDQQTGNLKKALLLQGSNEIEIRAEGNSRFTYSVTVDGCTSHTGAWGKTVIEYKTTKTSRLPIIDVAPLDVGAPDQEFGFDVGPVCFL
+>DECOY_sp|P02452|CO1A1_HUMAN Collagen alpha-1(I) chain OS=Homo sapiens OX=9606 GN=COL1A1 PE=1 SV=5
+LFCVPGVDFGFEQDPAGVDLPAVDIIPLRSTKTTKYEIVTKGWAGTHSTCGDVTVSYTFRSNGEARIEIENSGQLLLAKKLNGTQQDMYAVSNKCHYTINQSAETSMLRLFTLQIAVDAPDSGQGGYEFQFGDTMSEGFWVHRKDKPNKSIYWNKQAVSPQTPYVCTEGTEMNCFVKIADLNCGQNPDIWYEGSKWDSHCMKLDRCTRAPNKRSGEPSRINEIQQSLSKLTTDVELDRDRVVNADDARYYRGGDHAKEQPPQPLFSFDFGASPPGPPGPPGPPGPPGVPGADGTRGRPGPPGIPGPLGNLGDKGPAGASGPPGRPGAPGSAGSPGQEGPSGPPGPPGQLGSFGRHGKIGRDGQEGTEGKDGRPGQPGAPGRAGVPGVPGTPGAPGTEGRDGSKGAPGVPGPAGPAGPAGPPGAPGTEGRDGKAGPSGDRGPSGEAGPAGERGSEGPPGALGPPGMPGPPGREGSAGSPGQKGPEGSPGPLGPFGREGRQGPLGVVGRQGAIGQPGPTGPAGAPGDAGPSGKEGAPGPPGPPGVEGPRGAPGTEGRPGKGGEKGAPGPPGPPGANGSPGPPGVRGAAGPFGTAGPPGASGRAGKAGPAGVNGIPGPPGAPGAPGPPGADGKAGADGPEGKAGPQGDAGPPGAFGAPGPPGPEGRDGPAGRAGTPGAPGSPGSEGKDGPAGAPGPPGIPGTLGRVGDKGPSGDAGKPGADGRDGKPGPLGAAGREGPMGQLGPAGQSGPAGPAGADGKAGDNGPAGNAGRPGAPGPPGQVGREGPFGREGRAGSPGPAGLDGPVGQEGPKGAEGPPGAPGPLGQFGPSGAPGQEGREGAPGAPGPPGQAGAEGDKGAPGVAGPPGPVGREGAKGPEGAAGKPGPFGMVGAQGRAGPPGPPGPRGDQGAPGPPGTKGDPGPSGPSGTLGKAGPLGAEGPRGAEGPSGKPGAPGPSGREGAPGKPGAVGDAGPFGRSGPGGREGPPGPLGTPGPEGRAGRKGEEGAPGPPGQVGVPGPEGKAGTDGKSGPAGPEGSNGKPGPPGGPGQPGSPGRAGPFGPAGAIGPAGNAGKAGPQGDAGPNGAPGAAGAPGPPGPEGRVGQPGESGRPGQPGAEGKAGVAGPFGPPGAPGTPGPPGAAGTAGDNGRAGAPGPAGPRGREGPLGRPGMQGPAGNEGPSGPEGKPGAPGADGKAGDLGSFGRHGKMGPLGATGPLGRAGQPGPPGREGPRGPKGAEGDDGNKGPPGPPGRPGMPGSAGPEGPEGPPGQFGQPGPAGPPGPLGRPGSPGMPGPVSIGGTSKEDYGYSLQPAFNGGLGPPGPPGPPGPPGPLGPQGPIGDRGPPGAPGRPGRPGTDGKPGEVGTTEQDTPSESGDPCVPCCEGEPVEAGPCNKTEDCIVDDCLVKGNDCVCIRCPEPKWVDRDHYRLGNQVCTIPPIDEDQGEVQGEEQGHTLLATAALLLLLRLDVFSFM
+>sp|P06681|CO2_HUMAN Complement C2 OS=Homo sapiens OX=9606 GN=C2 PE=1 SV=2
+MGPLMVLFCLLFLYPGLADSAPSCPQNVNISGGTFTLSHGWAPGSLLTYSCPQGLYPSPASRLCKSSGQWQTPGATRSLSKAVCKPVRCPAPVSFENGIYTPRLGSYPVGGNVSFECEDGFILRGSPVRQCRPNGMWDGETAVCDNGAGHCPNPGISLGAVRTGFRFGHGDKVRYRCSSNLVLTGSSERECQGNGVWSGTEPICRQPYSYDFPEDVAPALGTSFSHMLGATNPTQKTKESLGRKIQIQRSGHLNLYLLLDCSQSVSENDFLIFKESASLMVDRIFSFEINVSVAIITFASEPKVLMSVLNDNSRDMTEVISSLENANYKDHENGTGTNTYAALNSVYLMMNNQMRLLGMETMAWQEIRHAIILLTDGKSNMGGSPKTAVDHIREILNINQKRNDYLDIYAIGVGKLDVDWRELNELGSKKDGERHAFILQDTKALHQVFEHMLDVSKLTDTICGVGNMSANASDQERTPWHVTIKPKSQETCRGALISDQWVLTAAHCFRDGNDHSLWRVNVGDPKSQWGKEFLIEKAVISPGFDVFAKKNQGILEFYGDDIALLKLAQKVKMSTHARPICLPCTMEANLALRRPQGSTCRDHENELLNKQSVPAHFVALNGSKLNINLKMGVEWTSCAEVVSQEKTMFPNLTDVREVVTDQFLCSGTQEDESPCKGESGGAVFLERRFRFFQVGLVSWGLYNPCLGSADKNSRKRAPRSKVPPPRDFHINLFRMQPWLRQHLGDVLNFLPL
+>DECOY_sp|P06681|CO2_HUMAN Complement C2 OS=Homo sapiens OX=9606 GN=C2 PE=1 SV=2
+LPLFNLVDGLHQRLWPQMRFLNIHFDRPPPVKSRPARKRSNKDASGLCPNYLGWSVLGVQFFRFRRELFVAGGSEGKCPSEDEQTGSCLFQDTVVERVDTLNPFMTKEQSVVEACSTWEVGMKLNINLKSGNLAVFHAPVSQKNLLENEHDRCTSGQPRRLALNAEMTCPLCIPRAHTSMKVKQALKLLAIDDGYFELIGQNKKAFVDFGPSIVAKEILFEKGWQSKPDGVNVRWLSHDNGDRFCHAATLVWQDSILAGRCTEQSKPKITVHWPTREQDSANASMNGVGCITDTLKSVDLMHEFVQHLAKTDQLIFAHREGDKKSGLENLERWDVDLKGVGIAYIDLYDNRKQNINLIERIHDVATKPSGGMNSKGDTLLIIAHRIEQWAMTEMGLLRMQNNMMLYVSNLAAYTNTGTGNEHDKYNANELSSIVETMDRSNDNLVSMLVKPESAFTIIAVSVNIEFSFIRDVMLSASEKFILFDNESVSQSCDLLLYLNLHGSRQIQIKRGLSEKTKQTPNTAGLMHSFSTGLAPAVDEPFDYSYPQRCIPETGSWVGNGQCERESSGTLVLNSSCRYRVKDGHGFRFGTRVAGLSIGPNPCHGAGNDCVATEGDWMGNPRCQRVPSGRLIFGDECEFSVNGGVPYSGLRPTYIGNEFSVPAPCRVPKCVAKSLSRTAGPTQWQGSSKCLRSAPSPYLGQPCSYTLLSGPAWGHSLTFTGGSINVNQPCSPASDALGPYLFLLCFLVMLPGM
+>sp|P02462|CO4A1_HUMAN Collagen alpha-1(IV) chain OS=Homo sapiens OX=9606 GN=COL4A1 PE=1 SV=4
+MGPRLSVWLLLLPAALLLHEEHSRAAAKGGCAGSGCGKCDCHGVKGQKGERGLPGLQGVIGFPGMQGPEGPQGPPGQKGDTGEPGLPGTKGTRGPPGASGYPGNPGLPGIPGQDGPPGPPGIPGCNGTKGERGPLGPPGLPGFAGNPGPPGLPGMKGDPGEILGHVPGMLLKGERGFPGIPGTPGPPGLPGLQGPVGPPGFTGPPGPPGPPGPPGEKGQMGLSFQGPKGDKGDQGVSGPPGVPGQAQVQEKGDFATKGEKGQKGEPGFQGMPGVGEKGEPGKPGPRGKPGKDGDKGEKGSPGFPGEPGYPGLIGRQGPQGEKGEAGPPGPPGIVIGTGPLGEKGERGYPGTPGPRGEPGPKGFPGLPGQPGPPGLPVPGQAGAPGFPGERGEKGDRGFPGTSLPGPSGRDGLPGPPGSPGPPGQPGYTNGIVECQPGPPGDQGPPGIPGQPGFIGEIGEKGQKGESCLICDIDGYRGPPGPQGPPGEIGFPGQPGAKGDRGLPGRDGVAGVPGPQGTPGLIGQPGAKGEPGEFYFDLRLKGDKGDPGFPGQPGMPGRAGSPGRDGHPGLPGPKGSPGSVGLKGERGPPGGVGFPGSRGDTGPPGPPGYGPAGPIGDKGQAGFPGGPGSPGLPGPKGEPGKIVPLPGPPGAEGLPGSPGFPGPQGDRGFPGTPGRPGLPGEKGAVGQPGIGFPGPPGPKGVDGLPGDMGPPGTPGRPGFNGLPGNPGVQGQKGEPGVGLPGLKGLPGLPGIPGTPGEKGSIGVPGVPGEHGAIGPPGLQGIRGEPGPPGLPGSVGSPGVPGIGPPGARGPPGGQGPPGLSGPPGIKGEKGFPGFPGLDMPGPKGDKGAQGLPGITGQSGLPGLPGQQGAPGIPGFPGSKGEMGVMGTPGQPGSPGPVGAPGLPGEKGDHGFPGSSGPRGDPGLKGDKGDVGLPGKPGSMDKVDMGSMKGQKGDQGEKGQIGPIGEKGSRGDPGTPGVPGKDGQAGQPGQPGPKGDPGISGTPGAPGLPGPKGSVGGMGLPGTPGEKGVPGIPGPQGSPGLPGDKGAKGEKGQAGPPGIGIPGLRGEKGDQGIAGFPGSPGEKGEKGSIGIPGMPGSPGLKGSPGSVGYPGSPGLPGEKGDKGLPGLDGIPGVKGEAGLPGTPGPTGPAGQKGEPGSDGIPGSAGEKGEPGLPGRGFPGFPGAKGDKGSKGEVGFPGLAGSPGIPGSKGEQGFMGPPGPQGQPGLPGSPGHATEGPKGDRGPQGQPGLPGLPGPMGPPGLPGIDGVKGDKGNPGWPGAPGVPGPKGDPGFQGMPGIGGSPGITGSKGDMGPPGVPGFQGPKGLPGLQGIKGDQGDQGVPGAKGLPGPPGPPGPYDIIKGEPGLPGPEGPPGLKGLQGLPGPKGQQGVTGLVGIPGPPGIPGFDGAPGQKGEMGPAGPTGPRGFPGPPGPDGLPGSMGPPGTPSVDHGFLVTRHSQTIDDPQCPSGTKILYHGYSLLYVQGNERAHGQDLGTAGSCLRKFSTMPFLFCNINNVCNFASRNDYSYWLSTPEPMPMSMAPITGENIRPFISRCAVCEAPAMVMAVHSQTIQIPPCPSGWSSLWIGYSFVMHTSAGAEGSGQALASPGSCLEEFRSAPFIECHGRGTCNYYANAYSFWLATIERSEMFKKPTPSTLKAGELRTHVSRCQVCMRRT
+>DECOY_sp|P02462|CO4A1_HUMAN Collagen alpha-1(IV) chain OS=Homo sapiens OX=9606 GN=COL4A1 PE=1 SV=4
+TRRMCVQCRSVHTRLEGAKLTSPTPKKFMESREITALWFSYANAYYNCTGRGHCEIFPASRFEELCSGPSALAQGSGEAGASTHMVFSYGIWLSSWGSPCPPIQITQSHVAMVMAPAECVACRSIFPRINEGTIPAMSMPMPEPTSLWYSYDNRSAFNCVNNINCFLFPMTSFKRLCSGATGLDQGHARENGQVYLLSYGHYLIKTGSPCQPDDITQSHRTVLFGHDVSPTGPPGMSGPLGDPGPPGPFGRPGTPGAPGMEGKQGPAGDFGPIGPPGPIGVLGTVGQQGKPGPLGQLGKLGPPGEPGPLGPEGKIIDYPGPPGPPGPLGKAGPVGQDGQDGKIGQLGPLGKPGQFGPVGPPGMDGKSGTIGPSGGIGPMGQFGPDGKPGPVGPAGPWGPNGKDGKVGDIGPLGPPGMPGPLGPLGPQGQPGRDGKPGETAHGPSGPLGPQGQPGPPGMFGQEGKSGPIGPSGALGPFGVEGKSGKDGKAGPFGPFGRGPLGPEGKEGASGPIGDSGPEGKQGAPGTPGPTGPLGAEGKVGPIGDLGPLGKDGKEGPLGPSGPYGVSGPSGKLGPSGPMGPIGISGKEGKEGPSGPFGAIGQDGKEGRLGPIGIGPPGAQGKEGKAGKDGPLGPSGQPGPIGPVGKEGPTGPLGMGGVSGKPGPLGPAGPTGSIGPDGKPGPQGPQGAQGDKGPVGPTGPDGRSGKEGIPGIQGKEGQDGKQGKMSGMDVKDMSGPKGPLGVDGKDGKLGPDGRPGSSGPFGHDGKEGPLGPAGVPGPSGPQGPTGMVGMEGKSGPFGPIGPAGQQGPLGPLGSQGTIGPLGQAGKDGKPGPMDLGPFGPFGKEGKIGPPGSLGPPGQGGPPGRAGPPGIGPVGPSGVSGPLGPPGPEGRIGQLGPPGIAGHEGPVGPVGISGKEGPTGPIGPLGPLGKLGPLGVGPEGKQGQVGPNGPLGNFGPRGPTGPPGMDGPLGDVGKPGPPGPFGIGPQGVAGKEGPLGPRGPTGPFGRDGQPGPFGPSGPLGEAGPPGPLPVIKGPEGKPGPLGPSGPGGPFGAQGKDGIPGAPGYGPPGPPGTDGRSGPFGVGGPPGREGKLGVSGPSGKPGPLGPHGDRGPSGARGPMGPQGPFGPDGKDGKLRLDFYFEGPEGKAGPQGILGPTGQPGPVGAVGDRGPLGRDGKAGPQGPFGIEGPPGQPGPPGRYGDIDCILCSEGKQGKEGIEGIFGPQGPIGPPGQDGPPGPQCEVIGNTYGPQGPPGPSGPPGPLGDRGSPGPLSTGPFGRDGKEGREGPFGPAGAQGPVPLGPPGPQGPLGPFGKPGPEGRPGPTGPYGREGKEGLPGTGIVIGPPGPPGAEGKEGQPGQRGILGPYGPEGPFGPSGKEGKDGDKGPKGRPGPKGPEGKEGVGPMGQFGPEGKQGKEGKTAFDGKEQVQAQGPVGPPGSVGQDGKDGKPGQFSLGMQGKEGPPGPPGPPGPPGTFGPPGVPGQLGPLGPPGPTGPIGPFGREGKLLMGPVHGLIEGPDGKMGPLGPPGPNGAFGPLGPPGLPGREGKTGNCGPIGPPGPPGDQGPIGPLGPNGPYGSAGPPGRTGKTGPLGPEGTDGKQGPPGQPGEPGQMGPFGIVGQLGPLGREGKQGKVGHCDCKGCGSGACGGKAAARSHEEHLLLAAPLLLLWVSLRPGM
+>sp|P12111|CO6A3_HUMAN Collagen alpha-3(VI) chain OS=Homo sapiens OX=9606 GN=COL6A3 PE=1 SV=5
+MRKHRHLPLVAVFCLFLSGFPTTHAQQQQADVKNGAAADIIFLVDSSWTIGEEHFQLVREFLYDVVKSLAVGENDFHFALVQFNGNPHTEFLLNTYRTKQEVLSHISNMSYIGGTNQTGKGLEYIMQSHLTKAAGSRAGDGVPQVIVVLTDGHSKDGLALPSAELKSADVNVFAIGVEDADEGALKEIASEPLNMHMFNLENFTSLHDIVGNLVSCVHSSVSPERAGDTETLKDITAQDSADIIFLIDGSNNTGSVNFAVILDFLVNLLEKLPIGTQQIRVGVVQFSDEPRTMFSLDTYSTKAQVLGAVKALGFAGGELANIGLALDFVVENHFTRAGGSRVEEGVPQVLVLISAGPSSDEIRYGVVALKQASVFSFGLGAQAASRAELQHIATDDNLVFTVPEFRSFGDLQEKLLPYIVGVAQRHIVLKPPTIVTQVIEVNKRDIVFLVDGSSALGLANFNAIRDFIAKVIQRLEIGQDLIQVAVAQYADTVRPEFYFNTHPTKREVITAVRKMKPLDGSALYTGSALDFVRNNLFTSSAGYRAAEGIPKLLVLITGGKSLDEISQPAQELKRSSIMAFAIGNKGADQAELEEIAFDSSLVFIPAEFRAAPLQGMLPGLLAPLRTLSGTPEVHSNKRDIIFLLDGSANVGKTNFPYVRDFVMNLVNSLDIGNDNIRVGLVQFSDTPVTEFSLNTYQTKSDILGHLRQLQLQGGSGLNTGSALSYVYANHFTEAGGSRIREHVPQLLLLLTAGQSEDSYLQAANALTRAGILTFCVGASQANKAELEQIAFNPSLVYLMDDFSSLPALPQQLIQPLTTYVSGGVEEVPLAQPESKRDILFLFDGSANLVGQFPVVRDFLYKIIDELNVKPEGTRIAVAQYSDDVKVESRFDEHQSKPEILNLVKRMKIKTGKALNLGYALDYAQRYIFVKSAGSRIEDGVLQFLVLLVAGRSSDRVDGPASNLKQSGVVPFIFQAKNADPAELEQIVLSPAFILAAESLPKIGDLHPQIVNLLKSVHNGAPAPVSGEKDVVFLLDGSEGVRSGFPLLKEFVQRVVESLDVGQDRVRVAVVQYSDRTRPEFYLNSYMNKQDVVNAVRQLTLLGGPTPNTGAALEFVLRNILVSSAGSRITEGVPQLLIVLTADRSGDDVRNPSVVVKRGGAVPIGIGIGNADITEMQTISFIPDFAVAIPTFRQLGTVQQVISERVTQLTREELSRLQPVLQPLPSPGVGGKRDVVFLIDGSQSAGPEFQYVRTLIERLVDYLDVGFDTTRVAVIQFSDDPKVEFLLNAHSSKDEVQNAVQRLRPKGGRQINVGNALEYVSRNIFKRPLGSRIEEGVPQFLVLISSGKSDDEVDDPAVELKQFGVAPFTIARNADQEELVKISLSPEYVFSVSTFRELPSLEQKLLTPITTLTSEQIQKLLASTRYPPPAVESDAADIVFLIDSSEGVRPDGFAHIRDFVSRIVRRLNIGPSKVRVGVVQFSNDVFPEFYLKTYRSQAPVLDAIRRLRLRGGSPLNTGKALEFVARNLFVKSAGSRIEDGVPQHLVLVLGGKSQDDVSRFAQVIRSSGIVSLGVGDRNIDRTELQTITNDPRLVFTVREFRELPNIEERIMNSFGPSAATPAPPGVDTPPPSRPEKKKADIVFLLDGSINFRRDSFQEVLRFVSEIVDTVYEDGDSIQVGLVQYNSDPTDEFFLKDFSTKRQIIDAINKVVYKGGRHANTKVGLEHLRVNHFVPEAGSRLDQRVPQIAFVITGGKSVEDAQDVSLALTQRGVKVFAVGVRNIDSEEVGKIASNSATAFRVGNVQELSELSEQVLETLHDAMHETLCPGVTDAAKACNLDVILGFDGSRDQNVFVAQKGFESKVDAILNRISQMHRVSCSGGRSPTVRVSVVANTPSGPVEAFDFDEYQPEMLEKFRNMRSQHPYVLTEDTLKVYLNKFRQSSPDSVKVVIHFTDGADGDLADLHRASENLRQEGVRALILVGLERVVNLERLMHLEFGRGFMYDRPLRLNLLDLDYELAEQLDNIAEKACCGVPCKCSGQRGDRGPIGSIGPKGIPGEDGYRGYPGDEGGPGERGPPGVNGTQGFQGCPGQRGVKGSRGFPGEKGEVGEIGLDGLDGEDGDKGLPGSSGEKGNPGRRGDKGPRGEKGERGDVGIRGDPGNPGQDSQERGPKGETGDLGPMGVPGRDGVPGGPGETGKNGGFGRRGPPGAKGNKGGPGQPGFEGEQGTRGAQGPAGPAGPPGLIGEQGISGPRGSGGAAGAPGERGRTGPLGRKGEPGEPGPKGGIGNRGPRGETGDDGRDGVGSEGRRGKKGERGFPGYPGPKGNPGEPGLNGTTGPKGIRGRRGNSGPPGIVGQKGDPGYPGPAGPKGNRGDSIDQCALIQSIKDKCPCCYGPLECPVFPTELAFALDTSEGVNQDTFGRMRDVVLSIVNDLTIAESNCPRGARVAVVTYNNEVTTEIRFADSKRKSVLLDKIKNLQVALTSKQQSLETAMSFVARNTFKRVRNGFLMRKVAVFFSNTPTRASPQLREAVLKLSDAGITPLFLTRQEDRQLINALQINNTAVGHALVLPAGRDLTDFLENVLTCHVCLDICNIDPSCGFGSWRPSFRDRRAAGSDVDIDMAFILDSAETTTLFQFNEMKKYIAYLVRQLDMSPDPKASQHFARVAVVQHAPSESVDNASMPPVKVEFSLTDYGSKEKLVDFLSRGMTQLQGTRALGSAIEYTIENVFESAPNPRDLKIVVLMLTGEVPEQQLEEAQRVILQAKCKGYFFVVLGIGRKVNIKEVYTFASEPNDVFFKLVDKSTELNEEPLMRFGRLLPSFVSSENAFYLSPDIRKQCDWFQGDQPTKNLVKFGHKQVNVPNNVTSSPTSNPVTTTKPVTTTKPVTTTTKPVTTTTKPVTIINQPSVKPAAAKPAPAKPVAAKPVATKMATVRPPVAVKPATAAKPVAAKPAAVRPPAAAAAKPVATKPEVPRPQAAKPAATKPATTKPMVKMSREVQVFEITENSAKLHWERAEPPGPYFYDLTVTSAHDQSLVLKQNLTVTDRVIGGLLAGQTYHVAVVCYLRSQVRATYHGSFSTKKSQPPPPQPARSASSSTINLMVSTEPLALTETDICKLPKDEGTCRDFILKWYYDPNTKSCARFWYGGCGGNENKFGSQKECEKVCAPVLAKPGVISVMGT
+>DECOY_sp|P12111|CO6A3_HUMAN Collagen alpha-3(VI) chain OS=Homo sapiens OX=9606 GN=COL6A3 PE=1 SV=5
+TGMVSIVGPKALVPACVKECEKQSGFKNENGGCGGYWFRACSKTNPDYYWKLIFDRCTGEDKPLKCIDTETLALPETSVMLNITSSSASRAPQPPPPQSKKTSFSGHYTARVQSRLYCVVAVHYTQGALLGGIVRDTVTLNQKLVLSQDHASTVTLDYFYPGPPEAREWHLKASNETIEFVQVERSMKVMPKTTAPKTAAPKAAQPRPVEPKTAVPKAAAAAPPRVAAPKAAVPKAATAPKVAVPPRVTAMKTAVPKAAVPKAPAPKAAAPKVSPQNIITVPKTTTTVPKTTTTVPKTTTVPKTTTVPNSTPSSTVNNPVNVQKHGFKVLNKTPQDGQFWDCQKRIDPSLYFANESSVFSPLLRGFRMLPEENLETSKDVLKFFVDNPESAFTYVEKINVKRGIGLVVFFYGKCKAQLIVRQAEELQQEPVEGTLMLVVIKLDRPNPASEFVNEITYEIASGLARTGQLQTMGRSLFDVLKEKSGYDTLSFEVKVPPMSANDVSESPAHQVVAVRAFHQSAKPDPSMDLQRVLYAIYKKMENFQFLTTTEASDLIFAMDIDVDSGAARRDRFSPRWSGFGCSPDINCIDLCVHCTLVNELFDTLDRGAPLVLAHGVATNNIQLANILQRDEQRTLFLPTIGADSLKLVAERLQPSARTPTNSFFVAVKRMLFGNRVRKFTNRAVFSMATELSQQKSTLAVQLNKIKDLLVSKRKSDAFRIETTVENNYTVVAVRAGRPCNSEAITLDNVISLVVDRMRGFTDQNVGESTDLAFALETPFVPCELPGYCCPCKDKISQILACQDISDGRNGKPGAPGPYGPDGKQGVIGPPGSNGRRGRIGKPGTTGNLGPEGPNGKPGPYGPFGREGKKGRRGESGVGDRGDDGTEGRPGRNGIGGKPGPEGPEGKRGLPGTRGREGPAGAAGGSGRPGSIGQEGILGPPGAPGAPGQAGRTGQEGEFGPQGPGGKNGKAGPPGRRGFGGNKGTEGPGGPVGDRGPVGMPGLDGTEGKPGREQSDQGPNGPDGRIGVDGREGKEGRPGKDGRRGPNGKEGSSGPLGKDGDEGDLGDLGIEGVEGKEGPFGRSGKVGRQGPCGQFGQTGNVGPPGREGPGGEDGPYGRYGDEGPIGKPGISGIPGRDGRQGSCKCPVGCCAKEAINDLQEALEYDLDLLNLRLPRDYMFGRGFELHMLRELNVVRELGVLILARVGEQRLNESARHLDALDGDAGDTFHIVVKVSDPSSQRFKNLYVKLTDETLVYPHQSRMNRFKELMEPQYEDFDFAEVPGSPTNAVVSVRVTPSRGGSCSVRHMQSIRNLIADVKSEFGKQAVFVNQDRSGDFGLIVDLNCAKAADTVGPCLTEHMADHLTELVQESLESLEQVNGVRFATASNSAIKGVEESDINRVGVAFVKVGRQTLALSVDQADEVSKGGTIVFAIQPVRQDLRSGAEPVFHNVRLHELGVKTNAHRGGKYVVKNIADIIQRKTSFDKLFFEDTPDSNYQVLGVQISDGDEYVTDVIESVFRLVEQFSDRRFNISGDLLFVIDAKKKEPRSPPPTDVGPPAPTAASPGFSNMIREEINPLERFERVTFVLRPDNTITQLETRDINRDGVGLSVIGSSRIVQAFRSVDDQSKGGLVLVLHQPVGDEIRSGASKVFLNRAVFELAKGTNLPSGGRLRLRRIADLVPAQSRYTKLYFEPFVDNSFQVVGVRVKSPGINLRRVIRSVFDRIHAFGDPRVGESSDILFVIDAADSEVAPPPYRTSALLKQIQESTLTTIPTLLKQELSPLERFTSVSFVYEPSLSIKVLEEQDANRAITFPAVGFQKLEVAPDDVEDDSKGSSILVLFQPVGEEIRSGLPRKFINRSVYELANGVNIQRGGKPRLRQVANQVEDKSSHANLLFEVKPDDSFQIVAVRTTDFGVDLYDVLREILTRVYQFEPGASQSGDILFVVDRKGGVGPSPLPQLVPQLRSLEERTLQTVRESIVQQVTGLQRFTPIAVAFDPIFSITQMETIDANGIGIGIPVAGGRKVVVSPNRVDDGSRDATLVILLQPVGETIRSGASSVLINRLVFELAAGTNPTPGGLLTLQRVANVVDQKNMYSNLYFEPRTRDSYQVVAVRVRDQGVDLSEVVRQVFEKLLPFGSRVGESGDLLFVVDKEGSVPAPAGNHVSKLLNVIQPHLDGIKPLSEAALIFAPSLVIQELEAPDANKAQFIFPVVGSQKLNSAPGDVRDSSRGAVLLVLFQLVGDEIRSGASKVFIYRQAYDLAYGLNLAKGTKIKMRKVLNLIEPKSQHEDFRSEVKVDDSYQAVAIRTGEPKVNLEDIIKYLFDRVVPFQGVLNASGDFLFLIDRKSEPQALPVEEVGGSVYTTLPQILQQPLAPLSSFDDMLYVLSPNFAIQELEAKNAQSAGVCFTLIGARTLANAAQLYSDESQGATLLLLLQPVHERIRSGGAETFHNAYVYSLASGTNLGSGGQLQLQRLHGLIDSKTQYTNLSFETVPTDSFQVLGVRINDNGIDLSNVLNMVFDRVYPFNTKGVNASGDLLFIIDRKNSHVEPTGSLTRLPALLGPLMGQLPAARFEAPIFVLSSDFAIEELEAQDAGKNGIAFAMISSRKLEQAPQSIEDLSKGGTILVLLKPIGEAARYGASSTFLNNRVFDLASGTYLASGDLPKMKRVATIVERKTPHTNFYFEPRVTDAYQAVAVQILDQGIELRQIVKAIFDRIANFNALGLASSGDVLFVIDRKNVEIVQTVITPPKLVIHRQAVGVIYPLLKEQLDGFSRFEPVTFVLNDDTAIHQLEARSAAQAGLGFSFVSAQKLAVVGYRIEDSSPGASILVLVQPVGEEVRSGGARTFHNEVVFDLALGINALEGGAFGLAKVAGLVQAKTSYTDLSFMTRPEDSFQVVGVRIQQTGIPLKELLNVLFDLIVAFNVSGTNNSGDILFIIDASDQATIDKLTETDGAREPSVSSHVCSVLNGVIDHLSTFNELNFMHMNLPESAIEKLAGEDADEVGIAFVNVDASKLEASPLALGDKSHGDTLVVIVQPVGDGARSGAAKTLHSQMIYELGKGTQNTGGIYSMNSIHSLVEQKTRYTNLLFETHPNGNFQVLAFHFDNEGVALSKVVDYLFERVLQFHEEGITWSSDVLFIIDAAAGNKVDAQQQQAHTTPFGSLFLCFVAVLPLHRHKRM
+>sp|P13671|CO6_HUMAN Complement component C6 OS=Homo sapiens OX=9606 GN=C6 PE=1 SV=3
+MARRSVLYFILLNALINKGQACFCDHYAWTQWTSCSKTCNSGTQSRHRQIVVDKYYQENFCEQICSKQETRECNWQRCPINCLLGDFGPWSDCDPCIEKQSKVRSVLRPSQFGGQPCTAPLVAFQPCIPSKLCKIEEADCKNKFRCDSGRCIARKLECNGENDCGDNSDERDCGRTKAVCTRKYNPIPSVQLMGNGFHFLAGEPRGEVLDNSFTGGICKTVKSSRTSNPYRVPANLENVGFEVQTAEDDLKTDFYKDLTSLGHNENQQGSFSSQGGSSFSVPIFYSSKRSENINHNSAFKQAIQASHKKDSSFIRIHKVMKVLNFTTKAKDLHLSDVFLKALNHLPLEYNSALYSRIFDDFGTHYFTSGSLGGVYDLLYQFSSEELKNSGLTEEEAKHCVRIETKKRVLFAKKTKVEHRCTTNKLSEKHEGSFIQGAEKSISLIRGGRSEYGAALAWEKGSSGLEEKTFSEWLESVKENPAVIDFELAPIVDLVRNIPCAVTKRNNLRKALQEYAAKFDPCQCAPCPNNGRPTLSGTECLCVCQSGTYGENCEKQSPDYKSNAVDGQWGCWSSWSTCDATYKRSRTRECNNPAPQRGGKRCEGEKRQEEDCTFSIMENNGQPCINDDEEMKEVDLPEIEADSGCPQPVPPENGFIRNEKQLYLVGEDVEISCLTGFETVGYQYFRCLPDGTWRQGDVECQRTECIKPVVQEVLTITPFQRLYRIGESIELTCPKGFVVAGPSRYTCQGNSWTPPISNSLTCEKDTLTKLKGHCQLGQKQSGSECICMSPEEDCSHHSEDLCVFDTDSNDYFTSPACKFLAEKCLNNQQLHFLHIGSCQDGRQLEWGLERTRLSSNSTKKESCGYDTCYDWEKCSASTSKCVCLLPPQCFKGGNQLYCVKMGSSTSEKTLNICEVGTIRCANRKMEILHPGKCLA
+>DECOY_sp|P13671|CO6_HUMAN Complement component C6 OS=Homo sapiens OX=9606 GN=C6 PE=1 SV=3
+ALCKGPHLIEMKRNACRITGVECINLTKESTSSGMKVCYLQNGGKFCQPPLLCVCKSTSASCKEWDYCTDYGCSEKKTSNSSLRTRELGWELQRGDQCSGIHLFHLQQNNLCKEALFKCAPSTFYDNSDTDFVCLDESHHSCDEEPSMCICESGSQKQGLQCHGKLKTLTDKECTLSNSIPPTWSNGQCTYRSPGAVVFGKPCTLEISEGIRYLRQFPTITLVEQVVPKICETRQCEVDGQRWTGDPLCRFYQYGVTEFGTLCSIEVDEGVLYLQKENRIFGNEPPVPQPCGSDAEIEPLDVEKMEEDDNICPQGNNEMISFTCDEEQRKEGECRKGGRQPAPNNCERTRSRKYTADCTSWSSWCGWQGDVANSKYDPSQKECNEGYTGSQCVCLCETGSLTPRGNNPCPACQCPDFKAAYEQLAKRLNNRKTVACPINRVLDVIPALEFDIVAPNEKVSELWESFTKEELGSSGKEWALAAGYESRGGRILSISKEAGQIFSGEHKESLKNTTCRHEVKTKKAFLVRKKTEIRVCHKAEEETLGSNKLEESSFQYLLDYVGGLSGSTFYHTGFDDFIRSYLASNYELPLHNLAKLFVDSLHLDKAKTTFNLVKMVKHIRIFSSDKKHSAQIAQKFASNHNINESRKSSYFIPVSFSSGGQSSFSGQQNENHGLSTLDKYFDTKLDDEATQVEFGVNELNAPVRYPNSTRSSKVTKCIGGTFSNDLVEGRPEGALFHFGNGMLQVSPIPNYKRTCVAKTRGCDREDSNDGCDNEGNCELKRAICRGSDCRFKNKCDAEEIKCLKSPICPQFAVLPATCPQGGFQSPRLVSRVKSQKEICPDCDSWPGFDGLLCNIPCRQWNCERTEQKSCIQECFNEQYYKDVVIQRHRSQTGSNCTKSCSTWQTWAYHDCFCAQGKNILANLLIFYLVSRRAM
+>sp|Q96BR5|COA7_HUMAN Cytochrome c oxidase assembly factor 7 OS=Homo sapiens OX=9606 GN=COA7 PE=1 SV=2
+MAGMVDFQDEEQVKSFLENMEVECNYHCYHEKDPDGCYRLVDYLEGIRKNFDEAAKVLKFNCEENQHSDSCYKLGAYYVTGKGGLTQDLKAAARCFLMACEKPGKKSIAACHNVGLLAHDGQVNEDGQPDLGKARDYYTRACDGGYTSSCFNLSAMFLQGAPGFPKDMDLACKYSMKACDLGHIWACANASRMYKLGDGVDKDEAKAEVLKNRAQQLHKEQQKGVQPLTFG
+>DECOY_sp|Q96BR5|COA7_HUMAN Cytochrome c oxidase assembly factor 7 OS=Homo sapiens OX=9606 GN=COA7 PE=1 SV=2
+GFTLPQVGKQQEKHLQQARNKLVEAKAEDKDVGDGLKYMRSANACAWIHGLDCAKMSYKCALDMDKPFGPAGQLFMASLNFCSSTYGGDCARTYYDRAKGLDPQGDENVQGDHALLGVNHCAAISKKGPKECAMLFCRAAAKLDQTLGGKGTVYYAGLKYCSDSHQNEECNFKLVKAAEDFNKRIGELYDVLRYCGDPDKEHYCHYNCEVEMNELFSKVQEEDQFDVMGAM
+>sp|Q03692|COAA1_HUMAN Collagen alpha-1(X) chain OS=Homo sapiens OX=9606 GN=COL10A1 PE=1 SV=2
+MLPQIPFLLLVSLNLVHGVFYAERYQMPTGIKGPLPNTKTQFFIPYTIKSKGIAVRGEQGTPGPPGPAGPRGHPGPSGPPGKPGYGSPGLQGEPGLPGPPGPSAVGKPGVPGLPGKPGERGPYGPKGDVGPAGLPGPRGPPGPPGIPGPAGISVPGKPGQQGPTGAPGPRGFPGEKGAPGVPGMNGQKGEMGYGAPGRPGERGLPGPQGPTGPSGPPGVGKRGENGVPGQPGIKGDRGFPGEMGPIGPPGPQGPPGERGPEGIGKPGAAGAPGQPGIPGTKGLPGAPGIAGPPGPPGFGKPGLPGLKGERGPAGLPGGPGAKGEQGPAGLPGKPGLTGPPGNMGPQGPKGIPGSHGLPGPKGETGPAGPAGYPGAKGERGSPGSDGKPGYPGKPGLDGPKGNPGLPGPKGDPGVGGPPGLPGPVGPAGAKGMPGHNGEAGPRGAPGIPGTRGPIGPPGIPGFPGSKGDPGSPGPPGPAGIATKGLNGPTGPPGPPGPRGHSGEPGLPGPPGPPGPPGQAVMPEGFIKAGQRPSLSGTPLVSANQGVTGMPVSAFTVILSKAYPAIGTPIPFDKILYNRQQHYDPRTGIFTCQIPGIYYFSYHVHVKGTHVWVGLYKNGTPVMYTYDEYTKGYLDQASGSAIIDLTENDQVWLQLPNAESNGLYSSEYVHSSFSGFLVAPM
+>DECOY_sp|Q03692|COAA1_HUMAN Collagen alpha-1(X) chain OS=Homo sapiens OX=9606 GN=COL10A1 PE=1 SV=2
+MPAVLFGSFSSHVYESSYLGNSEANPLQLWVQDNETLDIIASGSAQDLYGKTYEDYTYMVPTGNKYLGVWVHTGKVHVHYSFYYIGPIQCTFIGTRPDYHQQRNYLIKDFPIPTGIAPYAKSLIVTFASVPMGTVGQNASVLPTGSLSPRQGAKIFGEPMVAQGPPGPPGPPGPLGPEGSHGRPGPPGPPGTPGNLGKTAIGAPGPPGPSGPDGKSGPFGPIGPPGIPGRTGPIGPAGRPGAEGNHGPMGKAGAPGVPGPLGPPGGVGPDGKPGPLGPNGKPGDLGPKGPYGPKGDSGPSGREGKAGPYGAPGAPGTEGKPGPLGHSGPIGKPGQPGMNGPPGTLGPKGPLGAPGQEGKAGPGGPLGAPGREGKLGPLGPKGFGPPGPPGAIGPAGPLGKTGPIGPQGPAGAAGPKGIGEPGREGPPGQPGPPGIPGMEGPFGRDGKIGPQGPVGNEGRKGVGPPGSPGTPGQPGPLGREGPRGPAGYGMEGKQGNMGPVGPAGKEGPFGRPGPAGTPGQQGPKGPVSIGAPGPIGPPGPPGRPGPLGAPGVDGKPGYPGREGPKGPLGPVGPKGVASPGPPGPLGPEGQLGPSGYGPKGPPGSPGPHGRPGAPGPPGPTGQEGRVAIGKSKITYPIFFQTKTNPLPGKIGTPMQYREAYFVGHVLNLSVLLLFPIQPLM
+>sp|Q53SF7|COBL1_HUMAN Cordon-bleu protein-like 1 OS=Homo sapiens OX=9606 GN=COBLL1 PE=1 SV=2
+MCGRAAEAAASSRTPGREMGQAVTRRLGAGARAAPRRAMDGRTPRPQDAPARRKPKAKAPLPPAETKYTDVSSAADSVESTAFIMEQKENMIDKDVELSVVLPGDIIKSTTVHGSKPMMDLLIFLCAQYHLNPSSYTIDLLSAEQNHIKFKPNTPIGMLEVEKVILKPKMLDKKKPTPIIPEKTVRVVINFKKTQKTIVRVSPHASLQELAPIICSKCEFDPLHTLLLKDYQSQEPLDLTKSLNDLGLRELYAMDVNRESCQISQNLDIMKEKENKGFFSFFQRSKKKRDQTASAPATPLVNKHRPTFTRSNTISKPYISNTLPSDAPKKRRAPLPPMPASQSVPQDLAHIQERPASCIVKSMSVDETDKSPCEAGRVRAGSLQLSSMSAGNSSLRRTKRKAPSPPSKIPPHQSDENSRVTALQPVDGVPPDSASEANSPEELSSPETFHPGLSSQEQCTAPKLMEETSVFECPGTPEAAITSLTSGISSDYSLEEIDEKEELSEVPKVEAENISPKSQDIPFVSTDIINTLKNDPDSALGNGSGEFSQNSMEEKQETKSTDGQEPHSVVYDTSNGKKVVDSIRNLKSLGPNQENVQNEIIVYPENTEDNMKNGVKKTEINVEGVAKNNNIDMEVERPSNSEAHETDTAISYKENHLAASSVPDQKLNQPSAEKTKDAAIQTTPSCNSFDGKHQDHNLSDSKVEECVQTSNNNISTQHSCLSSQDSVNTSREFRSQGTLIIHSEDPLTVKDPICAHGNDDLLPPVDRIDKNSTASYLKNYPLYRQDYNPKPKPSNEITREYIPKIGMTTYKIVPPKSLEISKDWQSETIEYKDDQDMHALGKKHTHENVKETAIQTEDSAISESPEEPLPNLKPKPNLRTEHQVPSSVSSPDDAMVSPLKPAPKMTRDTGTAPFAPNLEEINNILESKFKSRASNAQAKPSSFFLQMQKRVSGHYVTSAAAKSVHAAPNPAPKELTNKEAERDMLPSPEQTLSPLSKMPHSVPQPLVEKTDDDVIGQAPAEASPPPIAPKPVTIPASQVSTQNLKTLKTFGAPRPYSSSGPSPFALAVVKRSQSFSKERTESPSASALVQPPANTEEGKTHSVNKFVDIPQLGVSDKENNSAHNEQNSQIPTPTDGPSFTVMRQSSLTFQSSDPEQMRQSLLTAIRSGEAAAKLKRVTIPSNTISVNGRSRLSHSMSPDAQDGH
+>DECOY_sp|Q53SF7|COBL1_HUMAN Cordon-bleu protein-like 1 OS=Homo sapiens OX=9606 GN=COBLL1 PE=1 SV=2
+HGDQADPSMSHSLRSRGNVSITNSPITVRKLKAAAEGSRIATLLSQRMQEPDSSQFTLSSQRMVTFSPGDTPTPIQSNQENHASNNEKDSVGLQPIDVFKNVSHTKGEETNAPPQVLASASPSETREKSFSQSRKVVALAFPSPGSSSYPRPAGFTKLTKLNQTSVQSAPITVPKPAIPPPSAEAPAQGIVDDDTKEVLPQPVSHPMKSLPSLTQEPSPLMDREAEKNTLEKPAPNPAAHVSKAAASTVYHGSVRKQMQLFFSSPKAQANSARSKFKSELINNIEELNPAFPATGTDRTMKPAPKLPSVMADDPSSVSSPVQHETRLNPKPKLNPLPEEPSESIASDETQIATEKVNEHTHKKGLAHMDQDDKYEITESQWDKSIELSKPPVIKYTTMGIKPIYERTIENSPKPKPNYDQRYLPYNKLYSATSNKDIRDVPPLLDDNGHACIPDKVTLPDESHIILTGQSRFERSTNVSDQSSLCSHQTSINNNSTQVCEEVKSDSLNHDQHKGDFSNCSPTTQIAADKTKEASPQNLKQDPVSSAALHNEKYSIATDTEHAESNSPREVEMDINNNKAVGEVNIETKKVGNKMNDETNEPYVIIENQVNEQNPGLSKLNRISDVVKKGNSTDYVVSHPEQGDTSKTEQKEEMSNQSFEGSGNGLASDPDNKLTNIIDTSVFPIDQSKPSINEAEVKPVESLEEKEDIEELSYDSSIGSTLSTIAAEPTGPCEFVSTEEMLKPATCQEQSSLGPHFTEPSSLEEPSNAESASDPPVGDVPQLATVRSNEDSQHPPIKSPPSPAKRKTRRLSSNGASMSSLQLSGARVRGAECPSKDTEDVSMSKVICSAPREQIHALDQPVSQSAPMPPLPARRKKPADSPLTNSIYPKSITNSRTFTPRHKNVLPTAPASATQDRKKKSRQFFSFFGKNEKEKMIDLNQSIQCSERNVDMAYLERLGLDNLSKTLDLPEQSQYDKLLLTHLPDFECKSCIIPALEQLSAHPSVRVITKQTKKFNIVVRVTKEPIIPTPKKKDLMKPKLIVKEVELMGIPTNPKFKIHNQEASLLDITYSSPNLHYQACLFILLDMMPKSGHVTTSKIIDGPLVVSLEVDKDIMNEKQEMIFATSEVSDAASSVDTYKTEAPPLPAKAKPKRRAPADQPRPTRGDMARRPAARAGAGLRRTVAQGMERGPTRSSAAAEAARGCM
+>sp|O43405|COCH_HUMAN Cochlin OS=Homo sapiens OX=9606 GN=COCH PE=1 SV=1
+MSAAWIPALGLGVCLLLLPGPAGSEGAAPIAITCFTRGLDIRKEKADVLCPGGCPLEEFSVYGNIVYASVSSICGAAVHRGVISNSGGPVRVYSLPGRENYSSVDANGIQSQMLSRWSASFTVTKGKSSTQEATGQAVSTAHPPTGKRLKKTPEKKTGNKDCKADIAFLIDGSFNIGQRRFNLQKNFVGKVALMLGIGTEGPHVGLVQASEHPKIEFYLKNFTSAKDVLFAIKEVGFRGGNSNTGKALKHTAQKFFTVDAGVRKGIPKVVVVFIDGWPSDDIEEAGIVAREFGVNVFIVSVAKPIPEELGMVQDVTFVDKAVCRNNGFFSYHMPNWFGTTKYVKPLVQKLCTHEQMMCSKTCYNSVNIAFLIDGSSSVGDSNFRLMLEFVSNIAKTFEISDIGAKIAAVQFTYDQRTEFSFTDYSTKENVLAVIRNIRYMSGGTATGDAISFTVRNVFGPIRESPNKNFLVIVTDGQSYDDVQGPAAAAHDAGITIFSVGVAWAPLDDLKDMASKPKESHAFFTREFTGLEPIVSDVIRGICRDFLESQQ
+>DECOY_sp|O43405|COCH_HUMAN Cochlin OS=Homo sapiens OX=9606 GN=COCH PE=1 SV=1
+QQSELFDRCIGRIVDSVIPELGTFERTFFAHSEKPKSAMDKLDDLPAWAVGVSFITIGADHAAAAPGQVDDYSQGDTVIVLFNKNPSERIPGFVNRVTFSIADGTATGGSMYRINRIVALVNEKTSYDTFSFETRQDYTFQVAAIKAGIDSIEFTKAINSVFELMLRFNSDGVSSSGDILFAINVSNYCTKSCMMQEHTCLKQVLPKVYKTTGFWNPMHYSFFGNNRCVAKDVFTVDQVMGLEEPIPKAVSVIFVNVGFERAVIGAEEIDDSPWGDIFVVVVKPIGKRVGADVTFFKQATHKLAKGTNSNGGRFGVEKIAFLVDKASTFNKLYFEIKPHESAQVLGVHPGETGIGLMLAVKGVFNKQLNFRRQGINFSGDILFAIDAKCDKNGTKKEPTKKLRKGTPPHATSVAQGTAEQTSSKGKTVTFSASWRSLMQSQIGNADVSSYNERGPLSYVRVPGGSNSIVGRHVAAGCISSVSAYVINGYVSFEELPCGGPCLVDAKEKRIDLGRTFCTIAIPAAGESGAPGPLLLLCVGLGLAPIWAASM
+>sp|Q9Y281|COF2_HUMAN Cofilin-2 OS=Homo sapiens OX=9606 GN=CFL2 PE=1 SV=1
+MASGVTVNDEVIKVFNDMKVRKSSTQEEIKKRKKAVLFCLSDDKRQIIVEEAKQILVGDIGDTVEDPYTSFVKLLPLNDCRYALYDATYETKESKKEDLVFIFWAPESAPLKSKMIYASSKDAIKKKFTGIKHEWQVNGLDDIKDRSTLGEKLGGNVVVSLEGKPL
+>DECOY_sp|Q9Y281|COF2_HUMAN Cofilin-2 OS=Homo sapiens OX=9606 GN=CFL2 PE=1 SV=1
+LPKGELSVVVNGGLKEGLTSRDKIDDLGNVQWEHKIGTFKKKIADKSSAYIMKSKLPASEPAWFIFVLDEKKSEKTEYTADYLAYRCDNLPLLKVFSTYPDEVTDGIDGVLIQKAEEVIIQRKDDSLCFLVAKKRKKIEEQTSSKRVKMDNFVKIVEDNVTVGSAM
+>sp|Q8WTW3|COG1_HUMAN Conserved oligomeric Golgi complex subunit 1 OS=Homo sapiens OX=9606 GN=COG1 PE=1 SV=1
+MATAATSPALKRLDLRDPAALFETHGAEEIRGLERQVRAEIEHKKEELRQMVGERYRDLIEAADTIGQMRRCAVGLVDAVKATDQYCARLRQAGSAAPRPPRAQQPQQPSQEKFYSMAAQIKLLLEIPEKIWSSMEASQCLHATQLYLLCCHLHSLLQLDSSSSRYSPVLSRFPILIRQVAAASHFRSTILHESKMLLKCQGVSDQAVAEALCSIMLLEESSPRQALTDFLLARKATIQKLLNQPHHGAGIKAQICSLVELLATTLKQAHALFYTLPEGLLPDPALPCGLLFSTLETITGQHPAGKGTGVLQEEMKLCSWFKHLPASIVEFQPTLRTLAHPISQEYLKDTLQKWIHMCNEDIKNGITNLLMYVKSMKGLAGIRDAMWELLTNESTNHSWDVLCRRLLEKPLLFWEDMMQQLFLDRLQTLTKEGFDSISSSSKELLVSALQELESSTSNSPSNKHIHFEYNMSLFLWSESPNDLPSDAAWVSVANRGQFASSGLSMKAQAISPCVQNFCSALDSKLKVKLDDLLAYLPSDDSSLPKDVSPTQAKSSAFDRYADAGTVQEMLRTQSVACIKHIVDCIRAELQSIEEGVQGQQDALNSAKLHSVLFMARLCQSLGELCPHLKQCILGKSESSEKPAREFRALRKQGKVKTQEIIPTQAKWQEVKEVLLQQSVMGYQVWSSAVVKVLIHGFTQSLLLDDAGSVLATATSWDELEIQEEAESGSSVTSKIRLPAQPSWYVQSFLFSLCQEINRVGGHALPKVTLQEMLKSCMVQVVAAYEKLSEEKQIKKEGAFPVTQNRALQLLYDLRYLNIVLTAKGDEVKSGRSKPDSRIEKVTDHLEALIDPFDLDVFTPHLNSNLHRLVQRTSVLFGLVTGTENQLAPRSSTFNSQEPHNILPLASSQIRFGLLPLSMTSTRKAKSTRNIETKAQVVPPARSTAGDPTVPGSLFRQLVSEEDNTSAPSLFKLGWLSSMTK
+>DECOY_sp|Q8WTW3|COG1_HUMAN Conserved oligomeric Golgi complex subunit 1 OS=Homo sapiens OX=9606 GN=COG1 PE=1 SV=1
+KTMSSLWGLKFLSPASTNDEESVLQRFLSGPVTPDGATSRAPPVVQAKTEINRTSKAKRTSTMSLPLLGFRIQSSALPLINHPEQSNFTSSRPALQNETGTVLGFLVSTRQVLRHLNSNLHPTFVDLDFPDILAELHDTVKEIRSDPKSRGSKVEDGKATLVINLYRLDYLLQLARNQTVPFAGEKKIQKEESLKEYAAVVQVMCSKLMEQLTVKPLAHGGVRNIEQCLSFLFSQVYWSPQAPLRIKSTVSSGSEAEEQIELEDWSTATALVSGADDLLLSQTFGHILVKVVASSWVQYGMVSQQLLVEKVEQWKAQTPIIEQTKVKGQKRLARFERAPKESSESKGLICQKLHPCLEGLSQCLRAMFLVSHLKASNLADQQGQVGEEISQLEARICDVIHKICAVSQTRLMEQVTGADAYRDFASSKAQTPSVDKPLSSDDSPLYALLDDLKVKLKSDLASCFNQVCPSIAQAKMSLGSSAFQGRNAVSVWAADSPLDNPSESWLFLSMNYEFHIHKNSPSNSTSSELEQLASVLLEKSSSSISDFGEKTLTQLRDLFLQQMMDEWFLLPKELLRRCLVDWSHNTSENTLLEWMADRIGALGKMSKVYMLLNTIGNKIDENCMHIWKQLTDKLYEQSIPHALTRLTPQFEVISAPLHKFWSCLKMEEQLVGTGKGAPHQGTITELTSFLLGCPLAPDPLLGEPLTYFLAHAQKLTTALLEVLSCIQAKIGAGHHPQNLLKQITAKRALLFDTLAQRPSSEELLMISCLAEAVAQDSVGQCKLLMKSEHLITSRFHSAAAVQRILIPFRSLVPSYRSSSSDLQLLSHLHCCLLYLQTAHLCQSAEMSSWIKEPIELLLKIQAAMSYFKEQSPQQPQQARPPRPAASGAQRLRACYQDTAKVADVLGVACRRMQGITDAAEILDRYREGVMQRLEEKKHEIEARVQRELGRIEEAGHTEFLAAPDRLDLRKLAPSTAATAM
+>sp|Q96JB2|COG3_HUMAN Conserved oligomeric Golgi complex subunit 3 OS=Homo sapiens OX=9606 GN=COG3 PE=1 SV=3
+MAEAALLLLPEAAAERDAREKLALWDRRPDTTAPLTDRQTDSVLELKAAAENLPVPAELPIEDLCSLTSQSLPIELTSVVPESTEDILLKGFTSLGMEEERIETAQQFFSWFAKLQTQMDQDEGTKYRQMRDYLSGFQEQCDAILNDVNSALQHLESLQKQYLFVSNKTGTLHEACEQLLKEQSELVDLAENIQQKLSYFNELETINTKLNSPTLSVNSDGFIPMLAKLDDCITYISSHPNFKDYPIYLLKFKQCLSKALHLMKTYTVNTLQTLTSQLLKRDPSSVPNADNAFTLFYVKFRAAAPKVRTLIEQIELRSEKIPEYQQLLNDIHQCYLDQRELLLGPSIACTVAELTSQNNRDHCALVRSGCAFMVHVCQDEHQLYNEFFTKPTSKLDELLEKLCVSLYDVFRPLIIHVIHLETLSELCGILKNEVLEDHVQNNAEQLGAFAAGVKQMLEDVQERLVYRTHIYIQTDITGYKPAPGDLAYPDKLVMMEQIAQSLKDEQKKVPSEASFSDVHLEEGESNSLTKSGSTESLNPRPQTTISPADLHGMWYPTVRRTLVCLSKLYRCIDRAVFQGLSQEALSACIQSLLGASESISKNKTQIDGQLFLIKHLLILREQIAPFHTEFTIKEISLDLKKTRDAAFKILNPMTVPRFFRLNSNNALIEFLLEGTPEIREHYLDSKKDVDRHLKSACEQFIQQQTKLFVEQLEEFMTKVSALKTMASQGGPKYTLSQQPWAQPAKVNDLAATAYKTIKTKLPVTLRSMSLYLSNKDTEFILFKPVRNNIQQVFQKFHALLKEEFSPEDIQIIACPSMEQLSLLLLVSK
+>DECOY_sp|Q96JB2|COG3_HUMAN Conserved oligomeric Golgi complex subunit 3 OS=Homo sapiens OX=9606 GN=COG3 PE=1 SV=3
+KSVLLLLSLQEMSPCAIIQIDEPSFEEKLLAHFKQFVQQINNRVPKFLIFETDKNSLYLSMSRLTVPLKTKITKYATAALDNVKAPQAWPQQSLTYKPGGQSAMTKLASVKTMFEELQEVFLKTQQQIFQECASKLHRDVDKKSDLYHERIEPTGELLFEILANNSNLRFFRPVTMPNLIKFAADRTKKLDLSIEKITFETHFPAIQERLILLHKILFLQGDIQTKNKSISESAGLLSQICASLAEQSLGQFVARDICRYLKSLCVLTRRVTPYWMGHLDAPSITTQPRPNLSETSGSKTLSNSEGEELHVDSFSAESPVKKQEDKLSQAIQEMMVLKDPYALDGPAPKYGTIDTQIYIHTRYVLREQVDELMQKVGAAFAGLQEANNQVHDELVENKLIGCLESLTELHIVHIILPRFVDYLSVCLKELLEDLKSTPKTFFENYLQHEDQCVHVMFACGSRVLACHDRNNQSTLEAVTCAISPGLLLERQDLYCQHIDNLLQQYEPIKESRLEIQEILTRVKPAAARFKVYFLTFANDANPVSSPDRKLLQSTLTQLTNVTYTKMLHLAKSLCQKFKLLYIPYDKFNPHSSIYTICDDLKALMPIFGDSNVSLTPSNLKTNITELENFYSLKQQINEALDVLESQEKLLQECAEHLTGTKNSVFLYQKQLSELHQLASNVDNLIADCQEQFGSLYDRMQRYKTGEDQDMQTQLKAFWSFFQQATEIREEEMGLSTFGKLLIDETSEPVVSTLEIPLSQSTLSCLDEIPLEAPVPLNEAAAKLELVSDTQRDTLPATTDPRRDWLALKERADREAAAEPLLLLAAEAM
+>sp|Q9Y2V7|COG6_HUMAN Conserved oligomeric Golgi complex subunit 6 OS=Homo sapiens OX=9606 GN=COG6 PE=1 SV=2
+MAEGSGEVVAVSATGAANGLNNGAGGTSATTCNPLSRKLHKILETRLDNDKEMLEALKALSTFFVENSLRTRRNLRGDIERKSLAINEEFVSIFKEVKEELESISEDVQAMSNCCQDMTSRLQAAKEQTQDLIVKTTKLQSESQKLEIRAQVADAFLSKFQLTSDEMSLLRGTREGPITEDFFKALGRVKQIHNDVKVLLRTNQQTAGLEIMEQMALLQETAYERLYRWAQSECRTLTQESCDVSPVLTQAMEALQDRPVLYKYTLDEFGTARRSTVVRGFIDALTRGGPGGTPRPIEMHSHDPLRYVGDMLAWLHQATASEKEHLEALLKHVTTQGVEENIQEVVGHITEGVCRPLKVRIEQVIVAEPGAVLLYKISNLLKFYHHTISGIVGNSATALLTTIEEMHLLSKKIFFNSLSLHASKLMDKVELPPPDLGPSSALNQTLMLLREVLASHDSSVVPLDARQADFVQVLSCVLDPLLQMCTVSASNLGTADMATFMVNSLYMMKTTLALFEFTDRRLEMLQFQIEAHLDTLINEQASYVLTRVGLSYIYNTVQQHKPEQGSLANMPNLDSVTLKAAMVQFDRYLSAPDNLLIPQLNFLLSATVKEQIVKQSTELVCRAYGEVYAAVMNPINEYKDPENILHRSPQQVQTLLS
+>DECOY_sp|Q9Y2V7|COG6_HUMAN Conserved oligomeric Golgi complex subunit 6 OS=Homo sapiens OX=9606 GN=COG6 PE=1 SV=2
+SLLTQVQQPSRHLINEPDKYENIPNMVAAYVEGYARCVLETSQKVIQEKVTASLLFNLQPILLNDPASLYRDFQVMAAKLTVSDLNPMNALSGQEPKHQQVTNYIYSLGVRTLVYSAQENILTDLHAEIQFQLMELRRDTFEFLALTTKMMYLSNVMFTAMDATGLNSASVTCMQLLPDLVCSLVQVFDAQRADLPVVSSDHSALVERLLMLTQNLASSPGLDPPPLEVKDMLKSAHLSLSNFFIKKSLLHMEEITTLLATASNGVIGSITHHYFKLLNSIKYLLVAGPEAVIVQEIRVKLPRCVGETIHGVVEQINEEVGQTTVHKLLAELHEKESATAQHLWALMDGVYRLPDHSHMEIPRPTGGPGGRTLADIFGRVVTSRRATGFEDLTYKYLVPRDQLAEMAQTLVPSVDCSEQTLTRCESQAWRYLREYATEQLLAMQEMIELGATQQNTRLLVKVDNHIQKVRGLAKFFDETIPGERTGRLLSMEDSTLQFKSLFADAVQARIELKQSESQLKTTKVILDQTQEKAAQLRSTMDQCCNSMAQVDESISELEEKVEKFISVFEENIALSKREIDGRLNRRTRLSNEVFFTSLAKLAELMEKDNDLRTELIKHLKRSLPNCTTASTGGAGNNLGNAAGTASVAVVEGSGEAM
+>sp|Q9UMD9|COHA1_HUMAN Collagen alpha-1(XVII) chain OS=Homo sapiens OX=9606 GN=COL17A1 PE=1 SV=3
+MDVTKKNKRDGTEVTERIVTETVTTRLTSLPPKGGTSNGYAKTASLGGGSRLEKQSLTHGSSGYINSTGSTRGHASTSSYRRAHSPASTLPNSPGSTFERKTHVTRHAYEGSSSGNSSPEYPRKEFASSSTRGRSQTRESEIRVRLQSASPSTRWTELDDVKRLLKGSRSASVSPTRNSSNTLPIPKKGTVETKIVTASSQSVSGTYDATILDANLPSHVWSSTLPAGSSMGTYHNNMTTQSSSLLNTNAYSAGSVFGVPNNMASCSPTLHPGLSTSSSVFGMQNNLAPSLTTLSHGTTTTSTAYGVKKNMPQSPAAVNTGVSTSAACTTSVQSDDLLHKDCKFLILEKDNTPAKKEMELLIMTKDSGKVFTASPASIAATSFSEDTLKKEKQAAYNADSGLKAEANGDLKTVSTKGKTTTADIHSYGSSGGGGSGGGGGVGGAGGGPWGPAPAWCPCGSCCSWWKWLLGLLLTWLLLLGLLFGLIALAEEVRKLKARVDELERIRRSILPYGDSMDRIEKDRLQGMAPAAGADLDKIGLHSDSQEELWMFVRKKLMMEQENGNLRGSPGPKGDMGSPGPKGDRGFPGTPGIPGPLGHPGPQGPKGQKGSVGDPGMEGPMGQRGREGPMGPRGEAGPPGSGEKGERGAAGEPGPHGPPGVPGSVGPKGSSGSPGPQGPPGPVGLQGLRGEVGLPGVKGDKGPMGPPGPKGDQGEKGPRGLTGEPGMRGLPGAVGEPGAKGAMGPAGPDGHQGPRGEQGLTGMPGIRGPPGPSGDPGKPGLTGPQGPQGLPGTPGRPGIKGEPGAPGKIVTSEGSSMLTVPGPPGPPGAMGPPGPPGAPGPAGPAGLPGHQEVLNLQGPPGPPGPRGPPGPSIPGPPGPRGPPGEGLPGPPGPPGSFLSNSETFLSGPPGPPGPPGPKGDQGPPGPRGHQGEQGLPGFSTSGSSSFGLNLQGPPGPPGPQGPKGDKGDPGVPGALGIPSGPSEGGSSSTMYVSGPPGPPGPPGPPGSISSSGQEIQQYISEYMQSDSIRSYLSGVQGPPGPPGPPGPVTTITGETFDYSELASHVVSYLRTSGYGVSLFSSSISSEDILAVLQRDDVRQYLRQYLMGPRGPPGPPGASGDGSLLSLDYAELSSRILSYMSSSGISIGLPGPPGPPGLPGTSYEELLSLLRGSEFRGIVGPPGPPGPPGIPGNVWSSISVEDLSSYLHTAGLSFIPGPPGPPGPPGPRGPPGVSGALATYAAENSDSFRSELISYLTSPDVRSFIVGPPGPPGPQGPPGDSRLLSTDASHSRGSSSSSHSSSVRRGSSYSSSMSTGGGGAGSLGAGGAFGEAAGDRGPYGTDIGPGGGYGAAAEGGMYAGNGGLLGADFAGDLDYNELAVRVSESMQRQGLLQGMAYTVQGPPGQPGPQGPPGISKVFSAYSNVTADLMDFFQTYGAIQGPPGQKGEMGTPGPKGDRGPAGPPGHPGPPGPRGHKGEKGDKGDQVYAGRRRRRSIAVKP
+>DECOY_sp|Q9UMD9|COHA1_HUMAN Collagen alpha-1(XVII) chain OS=Homo sapiens OX=9606 GN=COL17A1 PE=1 SV=3
+PKVAISRRRRRGAYVQDGKDGKEGKHGRPGPPGPHGPPGAPGRDGKPGPTGMEGKQGPPGQIAGYTQFFDMLDATVNSYASFVKSIGPPGQPGPQGPPGQVTYAMGQLLGQRQMSESVRVALENYDLDGAFDAGLLGGNGAYMGGEAAAGYGGGPGIDTGYPGRDGAAEGFAGGAGLSGAGGGGTSMSSSYSSGRRVSSSHSSSSSGRSHSADTSLLRSDGPPGQPGPPGPPGVIFSRVDPSTLYSILESRFSDSNEAAYTALAGSVGPPGRPGPPGPPGPPGPIFSLGATHLYSSLDEVSISSWVNGPIGPPGPPGPPGVIGRFESGRLLSLLEEYSTGPLGPPGPPGPLGISIGSSSMYSLIRSSLEAYDLSLLSGDGSAGPPGPPGRPGMLYQRLYQRVDDRQLVALIDESSISSSFLSVGYGSTRLYSVVHSALESYDFTEGTITTVPGPPGPPGPPGQVGSLYSRISDSQMYESIYQQIEQGSSSISGPPGPPGPPGPPGSVYMTSSSGGESPGSPIGLAGPVGPDGKDGKPGQPGPPGPPGQLNLGFSSSGSTSFGPLGQEGQHGRPGPPGQDGKPGPPGPPGPPGSLFTESNSLFSGPPGPPGPLGEGPPGRPGPPGPISPGPPGRPGPPGPPGQLNLVEQHGPLGAPGAPGPAGPPGPPGMAGPPGPPGPVTLMSSGESTVIKGPAGPEGKIGPRGPTGPLGQPGQPGTLGPKGPDGSPGPPGRIGPMGTLGQEGRPGQHGDPGAPGMAGKAGPEGVAGPLGRMGPEGTLGRPGKEGQDGKPGPPGMPGKDGKVGPLGVEGRLGQLGVPGPPGQPGPSGSSGKPGVSGPVGPPGHPGPEGAAGREGKEGSGPPGAEGRPGMPGERGRQGMPGEMGPDGVSGKQGKPGQPGPHGLPGPIGPTGPFGRDGKPGPSGMDGKPGPSGRLNGNEQEMMLKKRVFMWLEEQSDSHLGIKDLDAGAAPAMGQLRDKEIRDMSDGYPLISRRIRELEDVRAKLKRVEEALAILGFLLGLLLLWTLLLGLLWKWWSCCSGCPCWAPAPGWPGGGAGGVGGGGGSGGGGSSGYSHIDATTTKGKTSVTKLDGNAEAKLGSDANYAAQKEKKLTDESFSTAAISAPSATFVKGSDKTMILLEMEKKAPTNDKELILFKCDKHLLDDSQVSTTCAASTSVGTNVAAPSQPMNKKVGYATSTTTTGHSLTTLSPALNNQMGFVSSSTSLGPHLTPSCSAMNNPVGFVSGASYANTNLLSSSQTTMNNHYTGMSSGAPLTSSWVHSPLNADLITADYTGSVSQSSATVIKTEVTGKKPIPLTNSSNRTPSVSASRSGKLLRKVDDLETWRTSPSASQLRVRIESERTQSRGRTSSSAFEKRPYEPSSNGSSSGEYAHRTVHTKREFTSGPSNPLTSAPSHARRYSSTSAHGRTSGTSNIYGSSGHTLSQKELRSGGGLSATKAYGNSTGGKPPLSTLRTTVTETVIRETVETGDRKNKKTVDM
+>sp|Q9P218|COKA1_HUMAN Collagen alpha-1(XX) chain OS=Homo sapiens OX=9606 GN=COL20A1 PE=1 SV=4
+MSSGDPAHLGLCLWLWLGATLGREQVQASGLLRLAVLPEDRLQMKWRESEGSGLGYLVQVKPMAGDSEQEVILTTKTPKATVGGLSPSKGYTLQIFELTGSGRFLLARREFVIEDLKSSSLDRSSQRPLGSGAPEPTPSHTGSPDPEQASEPQVAFTPSQDPRTPAGPQFRCLPPVPADMVFLVDGSWSIGHSHFQQVKDFLASVIAPFEIGPDKVQVGLTQYSGDAQTEWDLNSLSTKEQVLAAVRRLRYKGGNTFTGLALTHVLGQNLQPAAGLRPEAAKVVILVTDGKSQDDVHTAARVLKDLGVNVFAVGVKNADEAELRLLASPPRDITVHSVLDFLQLGALAGLLSRLICQRLQGGSPRQGPAAAPALDTLPAPTSLVLSQVTSSSIRLSWTPAPRHPLKYLIVWRASRGGTPREVVVEGPAASTELHNLASRTEYLVSVFPIYEGGVGEGLRGLVTTAPLPPPRALTLAAVTPRTVHLTWQPSAGATHYLVRCSPASPKGEEEEREVQVGRPEVLLDGLEPGRDYEVSVQSLRGPEGSEARGIRARTPTLAPPRHLGFSDVSHDAARVFWEGAPRPVRLVRVTYVSSEGGHSGQTEAPGNATSATLGPLSSSTTYTVRVTCLYPGGGSSTLTGRVTTKKAPSPSQLSMTELPGDAVQLAWVAAAPSGVLVYQITWTPLGEGKAHEISVPGNLGTAVLPGLGRHTEYDVTILAYYRDGARSDPVSLRYTPSTVSRSPPSNLALASETPDSLQVSWTPPLGRVLHYWLTYAPASGLGPEKSVSVPGARSHVTLPDLQAATKYRVLVSAIYAAGRSEAVSATGQTACPALRPDGSLPGFDLMVAFSLVEKAYASIRGVAMEPSAFGGTPTFTLFKDAQLTRRVSDVYPAPLPPEHTIVFLVRLLPETPREAFALWQMTAEDFQPLLGVLLDAGKKSLTYFHRDPRAALQEATFDPQEVRKIFFGSFHKVHVAVGRSKVRLYVDCRKVAERPLGEMGSPPAAGFVTLGRLAKARGPRSSSAAFQLQMLQIVCSDTWADEDRCCELPASRDGETCPAFVSACSCSSETPGPPGPQGPPGLPGRNGTPGEQGFPGPRGPPGVKGEKGDHGLPGLQGHPGHQGIPGRVGLQGPKGMRGLEGTAGLPGPPGPRGFQGMAGARGTSGERGPPGTVGPTGLPGPKGERGEKGEPQSLATLYQLVSQASHVSKFDSFHENTRPPMPILEQKLEPGTEPLGSPGTRSKALVPGEWGRGGRHLEGRGEPGAVGQMGSPGQQGASTQGLWE
+>DECOY_sp|Q9P218|COKA1_HUMAN Collagen alpha-1(XX) chain OS=Homo sapiens OX=9606 GN=COL20A1 PE=1 SV=4
+EWLGQTSAGQQGPSGMQGVAGPEGRGELHRGGRGWEGPVLAKSRTGPSGLPETGPELKQELIPMPPRTNEHFSDFKSVHSAQSVLQYLTALSQPEGKEGREGKPGPLGTPGVTGPPGREGSTGRAGAMGQFGRPGPPGPLGATGELGRMGKPGQLGVRGPIGQHGPHGQLGPLGHDGKEGKVGPPGRPGPFGQEGPTGNRGPLGPPGQPGPPGPTESSCSCASVFAPCTEGDRSAPLECCRDEDAWTDSCVIQLMQLQFAASSSRPGRAKALRGLTVFGAAPPSGMEGLPREAVKRCDVYLRVKSRGVAVHVKHFSGFFIKRVEQPDFTAEQLAARPDRHFYTLSKKGADLLVGLLPQFDEATMQWLAFAERPTEPLLRVLFVITHEPPLPAPYVDSVRRTLQADKFLTFTPTGGFASPEMAVGRISAYAKEVLSFAVMLDFGPLSGDPRLAPCATQGTASVAESRGAAYIASVLVRYKTAAQLDPLTVHSRAGPVSVSKEPGLGSAPAYTLWYHLVRGLPPTWSVQLSDPTESALALNSPPSRSVTSPTYRLSVPDSRAGDRYYALITVDYETHRGLGPLVATGLNGPVSIEHAKGEGLPTWTIQYVLVGSPAAAVWALQVADGPLETMSLQSPSPAKKTTVRGTLTSSGGGPYLCTVRVTYTTSSSLPGLTASTANGPAETQGSHGGESSVYTVRVLRVPRPAGEWFVRAADHSVDSFGLHRPPALTPTRARIGRAESGEPGRLSQVSVEYDRGPELGDLLVEPRGVQVEREEEEGKPSAPSCRVLYHTAGASPQWTLHVTRPTVAALTLARPPPLPATTVLGRLGEGVGGEYIPFVSVLYETRSALNHLETSAAPGEVVVERPTGGRSARWVILYKLPHRPAPTWSLRISSSTVQSLVLSTPAPLTDLAPAAAPGQRPSGGQLRQCILRSLLGALAGLQLFDLVSHVTIDRPPSALLRLEAEDANKVGVAFVNVGLDKLVRAATHVDDQSKGDTVLIVVKAAEPRLGAAPQLNQGLVHTLALGTFTNGGKYRLRRVAALVQEKTSLSNLDWETQADGSYQTLGVQVKDPGIEFPAIVSALFDKVQQFHSHGISWSGDVLFVMDAPVPPLCRFQPGAPTRPDQSPTFAVQPESAQEPDPSGTHSPTPEPAGSGLPRQSSRDLSSSKLDEIVFERRALLFRGSGTLEFIQLTYGKSPSLGGVTAKPTKTTLIVEQESDGAMPKVQVLYGLGSGESERWKMQLRDEPLVALRLLGSAQVQERGLTAGLWLWLCLGLHAPDGSSM
+>sp|Q6ZS62|COLC1_HUMAN Colorectal cancer-associated protein 1 OS=Homo sapiens OX=9606 GN=COLCA1 PE=2 SV=1
+MESCSVAQAGVLTSPFMWRWTGMAGALSALDNTIEDDADDQLPCGEGRPGWVRGELLGSQGVCKDSKDLFVPTSSSLYGCFCVGLVSGMAISVLLLASDFRKLDFSRPEPCFEKEASLWFVAQH
+>DECOY_sp|Q6ZS62|COLC1_HUMAN Colorectal cancer-associated protein 1 OS=Homo sapiens OX=9606 GN=COLCA1 PE=2 SV=1
+HQAVFWLSAEKEFCPEPRSFDLKRFDSALLLVSIAMGSVLGVCFCGYLSSSTPVFLDKSDKCVGQSGLLEGRVWGPRGEGCPLQDDADDEITNDLASLAGAMGTWRWMFPSTLVGAQAVSCSEM
+>sp|A2RUU4|COLL1_HUMAN Colipase-like protein 1 OS=Homo sapiens OX=9606 GN=CLPSL1 PE=2 SV=1
+MMLPQWLLLLFLLFFFLFLLTRGSLSPTKYNLLELKESCIRNQDCETGCCQRAPDNCESHCAEKGSEGSLCQTQVFFGQYRACPCLRNLTCIYSKNEKWLSIAYGRCQKIGRQKLAKKMFF
+>DECOY_sp|A2RUU4|COLL1_HUMAN Colipase-like protein 1 OS=Homo sapiens OX=9606 GN=CLPSL1 PE=2 SV=1
+FFMKKALKQRGIKQCRGYAISLWKENKSYICTLNRLCPCARYQGFFVQTQCLSGESGKEACHSECNDPARQCCGTECDQNRICSEKLELLNYKTPSLSGRTLLFLFFFLLFLLLLWQPLMM
+>sp|Q9Y215|COLQ_HUMAN Acetylcholinesterase collagenic tail peptide OS=Homo sapiens OX=9606 GN=COLQ PE=1 SV=2
+MVVLNPMTLGIYLQLFFLSIVSQPTFINSVLPISAALPSLDQKKRGGHKACCLLTPPPPPLFPPPFFRGGRSPLLSPDMKNLMLELETSQSPCMQGSLGSPGPPGPQGPPGLPGKTGPKGEKGELGRPGRKGRPGPPGVPGMPGPIGWPGPEGPRGEKGDLGMMGLPGSRGPMGSKGYPGSRGEKGSRGEKGDLGPKGEKGFPGFPGMLGQKGEMGPKGEPGIAGHRGPTGRPGKRGKQGQKGDSGVMGPPGKPGPSGQPGRPGPPGPPPAGQLIMGPKGERGFPGPPGRCLCGPTMNVNNPSYGESVYGPSSPRVPVIFVVNNQEELERLNTQNAIAFRRDQRSLYFKDSLGWLPIQLTPFYPVDYTADQHGTCGDGLLQPGEECDDGNSDVGDDCIRCHRAYCGDGHRHEGVEDCDGSDFGYLTCETYLPGSYGDLQCTQYCYIDSTPCRYFT
+>DECOY_sp|Q9Y215|COLQ_HUMAN Acetylcholinesterase collagenic tail peptide OS=Homo sapiens OX=9606 GN=COLQ PE=1 SV=2
+TFYRCPTSDIYCYQTCQLDGYSGPLYTECTLYGFDSGDCDEVGEHRHGDGCYARHCRICDDGVDSNGDDCEEGPQLLGDGCTGHQDATYDVPYFPTLQIPLWGLSDKFYLSRQDRRFAIANQTNLRELEEQNNVVFIVPVRPSSPGYVSEGYSPNNVNMTPGCLCRGPPGPFGREGKPGMILQGAPPPGPPGPRGPQGSPGPKGPPGMVGSDGKQGQKGRKGPRGTPGRHGAIGPEGKPGMEGKQGLMGPFGPFGKEGKPGLDGKEGRSGKEGRSGPYGKSGMPGRSGPLGMMGLDGKEGRPGEPGPWGIPGPMGPVGPPGPRGKRGPRGLEGKEGKPGTKGPLGPPGQPGPPGPSGLSGQMCPSQSTELELMLNKMDPSLLPSRGGRFFPPPFLPPPPPTLLCCAKHGGRKKQDLSPLAASIPLVSNIFTPQSVISLFFLQLYIGLTMPNLVVM
+>sp|Q8NFW1|COMA1_HUMAN Collagen alpha-1(XXII) chain OS=Homo sapiens OX=9606 GN=COL22A1 PE=2 SV=2
+MAGLRGNAVAGLLWMLLLWSGGGGCQAQRAGCKSVHYDLVFLLDTSSSVGKEDFEKVRQWVANLVDTFEVGPDRTRVGVVRYSDRPTTAFELGLFGSQEEVKAAARRLAYHGGNTNTGDALRYITARSFSPHAGGRPRDRAYKQVAILLTDGRSQDLVLDAAAAAHRAGIRIFAVGVGEALKEELEEIASEPKSAHVFHVSDFNAIDKIRGKLRRRLCENVLCPSVRVEGDRFKHTNGGTKEITGFDLMDLFSVKEILGKRENGAQSSYVRMGSFPVVQSTEDVFPQGLPDEYAFVTTFRFRKTSRKEDWYIWQVIDQYSIPQVSIRLDGENKAVEYNAVGAMKDAVRVVFRGSRVNDLFDRDWHKMALSIQAQNVSLHIDCALVQTLPIEERENIDIQGKTVIGKRLYDSVPIDFDLQRIVIYCDSRHAELETCCDIPSGPCQVTVVTEPPPPPPPQRPPTPGSEQIGFLKTINCSCPAGEKGEMGVAGPMGLPGPKGDIGAIGPVGAPGPKGEKGDVGIGPFGQGEKGEKGSLGLPGPPGRDGSKGMRGEPGELGEPGLPGEVGMRGPQGPPGLPGPPGRVGAPGLQGERGEKGTRGEKGERGLDGFPGKPGDTGQQGRPGPSGVAGPQGEKGDVGPAGPPGVPGSVVQQEGLKGEQGAPGPRGHQGAPGPPGARGPIGPEGRDGPPGLQGLRGKKGDMGPPGIPGLLGLQGPPGPPGVPGPPGPGGSPGLPGEIGFPGKPGPPGPTGPPGKDGPNGPPGPPGTKGEPGERGEDGLPGKPGLRGEIGEQGLAGRPGEKGEAGLPGAPGFPGVRGEKGDQGEKGELGLPGLKGDRGEKGEAGPAGPPGLPGTTSLFTPHPRMPGEQGPKGEKGDPGLPGEPGLQGRPGELGPQGPTGPPGAKGQEGAHGAPGAAGNPGAPGHVGAPGPSGPPGSVGAPGLRGTPGKDGERGEKGAAGEEGSPGPVGPRGDPGAPGLPGPPGKGKDGEPGLRGSPGLPGPLGTKAACGKVRGSENCALGGQCVKGDRGAPGIPGSPGSRGDPGIGVAGPPGPSGPPGDKGSPGSRGLPGFPGPQGPAGRDGAPGNPGERGPPGKPGLSSLLSPGDINLLAKDVCNDCPPGPPGLPGLPGFKGDKGVPGKPGREGTEGKKGEAGPPGLPGPPGIAGPQGSQGERGADGEVGQKGDQGHPGVPGFMGPPGNPGPPGADGIAGAAGPPGIQGSPGKEGPPGPQGPSGLPGIPGEEGKEGRDGKPGPPGEPGKAGEPGLPGPEGARGPPGFKGHTGDSGAPGPRGESGAMGLPGQEGLPGKDGDTGPTGPQGPQGPRGPPGKNGSPGSPGEPGPSGTPGQKGSKGENGSPGLPGFLGPRGPPGEPGEKGVPGKEGVPGKPGEPGFKGERGDPGIKGDKGPPGGKGQPGDPGIPGHKGHTGLMGPQGLPGENGPVGPPGPPGQPGFPGLRGESPSMETLRRLIQEELGKQLETRLAYLLAQMPPAYMKSSQGRPGPPGPPGKDGLPGRAGPMGEPGRPGQGGLEGPSGPIGPKGERGAKGDPGAPGVGLRGEMGPPGIPGQPGEPGYAKDGLPGIPGPQGETGPAGHPGLPGPPGPPGQCDPSQCAYFASLAARPGNVKGP
+>DECOY_sp|Q8NFW1|COMA1_HUMAN Collagen alpha-1(XXII) chain OS=Homo sapiens OX=9606 GN=COL22A1 PE=2 SV=2
+PGKVNGPRAALSAFYACQSPDCQGPPGPPGPLGPHGAPGTEGQPGPIGPLGDKAYGPEGPQGPIGPPGMEGRLGVGPAGPDGKAGREGKPGIPGSPGELGGQGPRGPEGMPGARGPLGDKGPPGPPGPRGQSSKMYAPPMQALLYALRTELQKGLEEQILRRLTEMSPSEGRLGPFGPQGPPGPPGVPGNEGPLGQPGMLGTHGKHGPIGPDGPQGKGGPPGKDGKIGPDGREGKFGPEGPKGPVGEKGPVGKEGPEGPPGRPGLFGPLGPSGNEGKSGKQGPTGSPGPEGPSGPSGNKGPPGRPGQPGQPGTPGTDGDKGPLGEQGPLGMAGSEGRPGPAGSDGTHGKFGPPGRAGEPGPLGPEGAKGPEGPPGPKGDRGEKGEEGPIGPLGSPGQPGPPGEKGPSGQIGPPGAAGAIGDAGPPGPNGPPGMFGPVGPHGQDGKQGVEGDAGREGQSGQPGAIGPPGPLGPPGAEGKKGETGERGPKGPVGKDGKFGPLGPLGPPGPPCDNCVDKALLNIDGPSLLSSLGPKGPPGREGPNGPAGDRGAPGQPGPFGPLGRSGPSGKDGPPGSPGPPGAVGIGPDGRSGPSGPIGPAGRDGKVCQGGLACNESGRVKGCAAKTGLPGPLGPSGRLGPEGDKGKGPPGPLGPAGPDGRPGVPGPSGEEGAAGKEGREGDKGPTGRLGPAGVSGPPGSPGPAGVHGPAGPNGAAGPAGHAGEQGKAGPPGTPGQPGLEGPRGQLGPEGPLGPDGKEGKPGQEGPMRPHPTFLSTTGPLGPPGAPGAEGKEGRDGKLGPLGLEGKEGQDGKEGRVGPFGPAGPLGAEGKEGPRGALGQEGIEGRLGPKGPLGDEGREGPEGKTGPPGPPGNPGDKGPPGTPGPPGPKGPFGIEGPLGPSGGPGPPGPVGPPGPPGQLGLLGPIGPPGMDGKKGRLGQLGPPGDRGEPGIPGRAGPPGPAGQHGRPGPAGQEGKLGEQQVVSGPVGPPGAPGVDGKEGQPGAVGSPGPRGQQGTDGPKGPFGDLGREGKEGRTGKEGREGQLGPAGVRGPPGPLGPPGQPGRMGVEGPLGPEGLEGPEGRMGKSGDRGPPGPLGLSGKEGKEGQGFPGIGVDGKEGKPGPAGVPGIAGIDGKPGPLGMPGAVGMEGKEGAPCSCNITKLFGIQESGPTPPRQPPPPPPPETVVTVQCPGSPIDCCTELEAHRSDCYIVIRQLDFDIPVSDYLRKGIVTKGQIDINEREEIPLTQVLACDIHLSVNQAQISLAMKHWDRDFLDNVRSGRFVVRVADKMAGVANYEVAKNEGDLRISVQPISYQDIVQWIYWDEKRSTKRFRFTTVFAYEDPLGQPFVDETSQVVPFSGMRVYSSQAGNERKGLIEKVSFLDMLDFGTIEKTGGNTHKFRDGEVRVSPCLVNECLRRRLKGRIKDIANFDSVHFVHASKPESAIEELEEKLAEGVGVAFIRIGARHAAAAADLVLDQSRGDTLLIAVQKYARDRPRGGAHPSFSRATIYRLADGTNTNGGHYALRRAAAKVEEQSGFLGLEFATTPRDSYRVVGVRTRDPGVEFTDVLNAVWQRVKEFDEKGVSSSTDLLFVLDYHVSKCGARQAQCGGGGSWLLLMWLLGAVANGRLGAM
+>sp|Q9UBI1|COMD3_HUMAN COMM domain-containing protein 3 OS=Homo sapiens OX=9606 GN=COMMD3 PE=1 SV=1
+MELSESVQKGFQMLADPRSFDSNAFTLLLRAAFQSLLDAQADEAVLDHPDLKHIDPVVLKHCHAAAATYILEAGKHRADKSTLSTYLEDCKFDRERIELFCTEYQNNKNSLEILLGSIGRSLPHITDVSWRLEYQIKTNQLHRMYRPAYLVTLSVQNTDSPSYPEISFSCSMEQLQDLVGKLKDASKSLERATQL
+>DECOY_sp|Q9UBI1|COMD3_HUMAN COMM domain-containing protein 3 OS=Homo sapiens OX=9606 GN=COMMD3 PE=1 SV=1
+LQTARELSKSADKLKGVLDQLQEMSCSFSIEPYSPSDTNQVSLTVLYAPRYMRHLQNTKIQYELRWSVDTIHPLSRGISGLLIELSNKNNQYETCFLEIRERDFKCDELYTSLTSKDARHKGAELIYTAAAAHCHKLVVPDIHKLDPHDLVAEDAQADLLSQFAARLLLTFANSDFSRPDALMQFGKQVSESLEM
+>sp|Q9P000|COMD9_HUMAN COMM domain-containing protein 9 OS=Homo sapiens OX=9606 GN=COMMD9 PE=1 SV=2
+MAALTAEHFAALQSLLKASSKDVVRQLCQESFSSSALGLKKLLDVTCSSLSVTQEEAEELLQALHRLTRLVAFRDLSSAEAILALFPENFHQNLKNLLTKIILEHVSTWRTEAQANQISLPRLVDLDWRVDIKTSSDSISRMAVPTCLLQMKIQEDPSLCGDKPSISAVTVELSKETLDTMLDGLGRIRDQLSAVASK
+>DECOY_sp|Q9P000|COMD9_HUMAN COMM domain-containing protein 9 OS=Homo sapiens OX=9606 GN=COMMD9 PE=1 SV=2
+KSAVASLQDRIRGLGDLMTDLTEKSLEVTVASISPKDGCLSPDEQIKMQLLCTPVAMRSISDSSTKIDVRWDLDVLRPLSIQNAQAETRWTSVHELIIKTLLNKLNQHFNEPFLALIAEASSLDRFAVLRTLRHLAQLLEEAEEQTVSLSSCTVDLLKKLGLASSSFSEQCLQRVVDKSSAKLLSQLAAFHEATLAAM
+>sp|Q8IYS4|CP071_HUMAN Uncharacterized protein C16orf71 OS=Homo sapiens OX=9606 GN=C16orf71 PE=2 SV=3
+MASNDKGMAPSLGSPWASQMGPWDAILKAVKDQLPSLDSDSPLSDYGEEELFIFQRNQTSLIPDLSEELAEDPADGDKSRAWVAAAEESLPEPVLVPAELATEPGCRQNTRTKDASSQEGRDPGRPFESSGEVSALLGMAEEPPRWLEGDLGSLSFNTKGSQGPPWDPQAEATLSCHEGDPKAEPLSTASQESVNRRALRQERRKMIETDILQKVTRDACGPTSSDKGGVKEAPCHAAESAPRSKMPLVEPPEGPPVLSLQQLEAWDLDDILQSLAGQEDNQGNRAPGTVWWAADHRQVQDRMVPSAHNRLMEQLALLCTTQSKASACARKVPADTPQDTKEADSGSRCASRKQGSQAGPGPQLAQGMRLNAESPTIFIDLRQMELPDHLSPESSSHSSSDSEEEEEEEMAALGDAEGASPSSLGLRTCTGKSQLLQQLRAFQKGTAQPELPASKGPAGGRAQAPEDTAGSRTGRKQHMKLCAKGQSAQARLPRGRPRALGDVPEPGAAREALMPPLEQL
+>DECOY_sp|Q8IYS4|CP071_HUMAN Uncharacterized protein C16orf71 OS=Homo sapiens OX=9606 GN=C16orf71 PE=2 SV=3
+LQELPPMLAERAAGPEPVDGLARPRGRPLRAQASQGKACLKMHQKRGTRSGATDEPAQARGGAPGKSAPLEPQATGKQFARLQQLLQSKGTCTRLGLSSPSAGEADGLAAMEEEEEEESDSSSHSSSEPSLHDPLEMQRLDIFITPSEANLRMGQALQPGPGAQSGQKRSACRSGSDAEKTDQPTDAPVKRACASAKSQTTCLLALQEMLRNHASPVMRDQVQRHDAAWWVTGPARNGQNDEQGALSQLIDDLDWAELQQLSLVPPGEPPEVLPMKSRPASEAAHCPAEKVGGKDSSTPGCADRTVKQLIDTEIMKRREQRLARRNVSEQSATSLPEAKPDGEHCSLTAEAQPDWPPGQSGKTNFSLSGLDGELWRPPEEAMGLLASVEGSSEFPRGPDRGEQSSADKTRTNQRCGPETALEAPVLVPEPLSEEAAAVWARSKDGDAPDEALEESLDPILSTQNRQFIFLEEEGYDSLPSDSDLSPLQDKVAKLIADWPGMQSAWPSGLSPAMGKDNSAM
+>sp|A8MZG2|CP090_HUMAN Uncharacterized protein C16orf90 OS=Homo sapiens OX=9606 GN=C16orf90 PE=2 SV=3
+MEALVCAFSELHIREDAVSQAQGRPGHPDAPPNIYEGGLGSPQPQCPSAQGSKPKNFRLRHLRGLGLYLESHPPPTGQCESHWLGRLMAGGCLPQPEGTAWALDLPQGTLGPRNSLCSALLEARLPRDSLGSSASSSSMDPDKGALPQPSPSRLRPKRSWGTWEEAMCPLCKRTRSGALERP
+>DECOY_sp|A8MZG2|CP090_HUMAN Uncharacterized protein C16orf90 OS=Homo sapiens OX=9606 GN=C16orf90 PE=2 SV=3
+PRELAGSRTRKCLPCMAEEWTGWSRKPRLRSPSPQPLAGKDPDMSSSSASSGLSDRPLRAELLASCLSNRPGLTGQPLDLAWATGEPQPLCGGAMLRGLWHSECQGTPPPHSELYLGLGRLHRLRFNKPKSGQASPCQPQPSGLGGEYINPPADPHGPRGQAQSVADERIHLESFACVLAEM
+>sp|Q9H693|CP095_HUMAN Uncharacterized protein C16orf95 OS=Homo sapiens OX=9606 GN=C16orf95 PE=2 SV=1
+MRASRSPPSPRRCHHHHEATGAASGAAAGGPGAGCVGLCRLALTPSAQDGRNSTFQTYKKEVCLPRHSMHPGPWAICCECQTRFGGRLPVSRVEAALPYWVPLSLRPRKQHPCWMHAAGTTAGGSAVMSACCPSSSSSRPPTRTSYRLLQRVCCPSAS
+>DECOY_sp|Q9H693|CP095_HUMAN Uncharacterized protein C16orf95 OS=Homo sapiens OX=9606 GN=C16orf95 PE=2 SV=1
+SASPCCVRQLLRYSTRTPPRSSSSSPCCASMVASGGATTGAAHMWCPHQKRPRLSLPVWYPLAAEVRSVPLRGGFRTQCECCIAWPGPHMSHRPLCVEKKYTQFTSNRGDQASPTLALRCLGVCGAGPGGAAAGSAAGTAEHHHHCRRPSPPSRSARM
+>sp|O43303|CP110_HUMAN Centriolar coiled-coil protein of 110 kDa OS=Homo sapiens OX=9606 GN=CCP110 PE=1 SV=3
+MEEYEKFCEKSLARIQEASLSTESFLPAQSESISLIRFHGVAILSPLLNIEKRKEMQQEKQKALDVEARKQVNRKKALLTRVQEILDNVQVRKAPNASDFDQWEMETVYSNSEVRNLNVPATFPNSFPSHTEHSTAAKLDKIAGILPLDNEDQCKTDGIDLARDSEGFNSPKQCDSSNISHVENEAFPKTSSATPQETLISDGPFSVNEQQDLPLLAEVIPDPYVMSLQNLMKKSKEYIEREQSRRSLRGSINRIVNESHLDKEHDAVEVADCVKEKGQLTGKHCVSVIPDKPSLNKSNVLLQGASTQASSMSMPVLASFSKVDIPIRTGHPTVLESNSDFKVIPTFVTENNVIKSLTGSYAKLPSPEPSMSPKMHRRRSRTSSACHILINNPINACELSPKGKEQAMDLIIQDTDENTNVPEIMPKLPTDLAGVCSSKVYVGKNTSEVKEDVVLGKSNQVCQSSGNHLENKVTHGLVTVEGQLTSDERGAHIMNSTCAAMPKLHEPYASSQCIASPNFGTVSGLKPASMLEKNCSLQTELNKSYDVKNPSPLLMQNQNTRQQMDTPMVSCGNEQFLDNSFEKVKRRLDLDIDGLQKENCPYVITSGITEQERQHLPEKRYPKGSGFVNKNKMLGTSSKESEELLKSKMLAFEEMRKRLEEQHAQQLSLLIAEQEREQERLQKEIEEQEKMLKEKKAMTAEASELDINNAVELEWRKISDSSLLETMLSQADSLHTSNSNSSGFTNSAMQYSFVSANEAPFYLWGSSTSGLTKLSVTRPFGRAKTRWSQVFSLEIQAKFNKITAVAKGFLTRRLMQTDKLKQLRQTVKDTMEFIRSFQSEAPLKRGIVSAQDASLQERVLAQLRAALYGIHDIFFVMDAAERMSILHHDREVRKEKMLRQMDKMKSPRVALSAATQKSLDRKKYMKAAEMGMPNKKFLVKQNPSETRVLQPNQGQNAPVHRLLSRQGTPKTSVKGVVQNRQKPSQSRVPNRVPVSGVYAGKIQRKRPNVATI
+>DECOY_sp|O43303|CP110_HUMAN Centriolar coiled-coil protein of 110 kDa OS=Homo sapiens OX=9606 GN=CCP110 PE=1 SV=3
+ITAVNPRKRQIKGAYVGSVPVRNPVRSQSPKQRNQVVGKVSTKPTGQRSLLRHVPANQGQNPQLVRTESPNQKVLFKKNPMGMEAAKMYKKRDLSKQTAASLAVRPSKMKDMQRLMKEKRVERDHHLISMREAADMVFFIDHIGYLAARLQALVREQLSADQASVIGRKLPAESQFSRIFEMTDKVTQRLQKLKDTQMLRRTLFGKAVATIKNFKAQIELSFVQSWRTKARGFPRTVSLKTLGSTSSGWLYFPAENASVFSYQMASNTFGSSNSNSTHLSDAQSLMTELLSSDSIKRWELEVANNIDLESAEATMAKKEKLMKEQEEIEKQLREQEREQEAILLSLQQAHQEELRKRMEEFALMKSKLLEESEKSSTGLMKNKNVFGSGKPYRKEPLHQREQETIGSTIVYPCNEKQLGDIDLDLRRKVKEFSNDLFQENGCSVMPTDMQQRTNQNQMLLPSPNKVDYSKNLETQLSCNKELMSAPKLGSVTGFNPSAICQSSAYPEHLKPMAACTSNMIHAGREDSTLQGEVTVLGHTVKNELHNGSSQCVQNSKGLVVDEKVESTNKGVYVKSSCVGALDTPLKPMIEPVNTNEDTDQIILDMAQEKGKPSLECANIPNNILIHCASSTRSRRRHMKPSMSPEPSPLKAYSGTLSKIVNNETVFTPIVKFDSNSELVTPHGTRIPIDVKSFSALVPMSMSSAQTSAGQLLVNSKNLSPKDPIVSVCHKGTLQGKEKVCDAVEVADHEKDLHSENVIRNISGRLSRRSQEREIYEKSKKMLNQLSMVYPDPIVEALLPLDQQENVSFPGDSILTEQPTASSTKPFAENEVHSINSSDCQKPSNFGESDRALDIGDTKCQDENDLPLIGAIKDLKAATSHETHSPFSNPFTAPVNLNRVESNSYVTEMEWQDFDSANPAKRVQVNDLIEQVRTLLAKKRNVQKRAEVDLAKQKEQQMEKRKEINLLPSLIAVGHFRILSISESQAPLFSETSLSAEQIRALSKECFKEYEEM
+>sp|Q66GS9|CP135_HUMAN Centrosomal protein of 135 kDa OS=Homo sapiens OX=9606 GN=CEP135 PE=1 SV=2
+MTTAVERKYINIRKRLDQLGYRQTLTVECLPLVEKLFSDLVHTTESLRQSKLSAVKAEKESANFDFVLEPYKLENARLSRENNELYLELMKLREHSDQHVKELKTSLKKCARETADLKFLNNQYAHKLKLLEKESKAKNERIQQLQEKNLHAVVQTPGGKKRSIAFRRQRMQIDEPVPPSEVSSYPVPQPDDPYIADLLQVADNRIQELQQEVHQLQEKLAMMESGVRDYSKQIELREREIERLSVALDGGRSPDVLSLESRNKTNEKLIAHLNIQVDFLQQANKDLEKRIRELMETKETVTSEVVNLSNKNEKLCQELTEIDQLAQQLERHKEEVLETADKELGEAKKEIKRKLSEMQDLEETMAKLQLELNLCQKEKERLSDELLVKSDLETVVHQLEQEKQRLSKKVESFAVTERQLTLEVERMRLEHGIKRRDRSPSRLDTFLKGIEEERDYYKKELERLQHIIQRRSCSTSYSAREKSSIFRTPEKGDYNSEIHQITRERDELQRMLERFEKYMEDIQSNVKLLTAERDKLSVLYNEAQEELSALRKESTQTTAPHNIVSLMEKEKELALSDLRRIMAEKEALREKLEHIEEVSLFGKSELEKTIEHLTCVNHQLESEKYELKSKVLIMKETIESLENKLKVQAQKFSHVAGDSSHQKTEVNSLRIVNEQLQRSVDDYQHRLSIKRGELESAQAQIKILEEKIDELNLKMTSQDEEAHVMKKTIGVIDKEKDFLQETVDEKTEKIANLQENLANKEKAVAQMKIMISECESSVNQLKETLVNRDREINSLRRQLDAAHKELDEVGRSREIAFKENRRLQDDLATMARENQEISLELEAAVQEKEEMKSRVHKYITEVSRWESLMAAKEKENQDLLDRFQMLHNRAEDWEVKAHQAEGESSSVRLELLSIDTERRHLRERVELLEKEIQEHINAHHAYESQISSMAKAMSRLEEELRHQEDEKATVLNDLSSLRELCIKLDSGKDIMTQQLNSKNLEFERVVVELENVKSESDLLKKQLSNERHTVKNLESLLATNRDKEFHSHLTSHEKDTEIQLLKEKLTLSESKLTSQSRENTMLRAKVAQLQTDYDALKRQISTERYERERAIQEMRRHGLATPPLSSTLRSPSHSPEHRNV
+>DECOY_sp|Q66GS9|CP135_HUMAN Centrosomal protein of 135 kDa OS=Homo sapiens OX=9606 GN=CEP135 PE=1 SV=2
+VNRHEPSHSPSRLTSSLPPTALGHRRMEQIAREREYRETSIQRKLADYDTQLQAVKARLMTNERSQSTLKSESLTLKEKLLQIETDKEHSTLHSHFEKDRNTALLSELNKVTHRENSLQKKLLDSESKVNELEVVVREFELNKSNLQQTMIDKGSDLKICLERLSSLDNLVTAKEDEQHRLEEELRSMAKAMSSIQSEYAHHANIHEQIEKELLEVRERLHRRETDISLLELRVSSSEGEAQHAKVEWDEARNHLMQFRDLLDQNEKEKAAMLSEWRSVETIYKHVRSKMEEKEQVAAELELSIEQNERAMTALDDQLRRNEKFAIERSRGVEDLEKHAADLQRRLSNIERDRNVLTEKLQNVSSECESIMIKMQAVAKEKNALNEQLNAIKETKEDVTEQLFDKEKDIVGITKKMVHAEEDQSTMKLNLEDIKEELIKIQAQASELEGRKISLRHQYDDVSRQLQENVIRLSNVETKQHSSDGAVHSFKQAQVKLKNELSEITEKMILVKSKLEYKESELQHNVCTLHEITKELESKGFLSVEEIHELKERLAEKEAMIRRLDSLALEKEKEMLSVINHPATTQTSEKRLASLEEQAENYLVSLKDREATLLKVNSQIDEMYKEFRELMRQLEDRERTIQHIESNYDGKEPTRFISSKERASYSTSCSRRQIIHQLRELEKKYYDREEEIGKLFTDLRSPSRDRRKIGHELRMREVELTLQRETVAFSEVKKSLRQKEQELQHVVTELDSKVLLEDSLREKEKQCLNLELQLKAMTEELDQMESLKRKIEKKAEGLEKDATELVEEKHRELQQALQDIETLEQCLKENKNSLNVVESTVTEKTEMLERIRKELDKNAQQLFDVQINLHAILKENTKNRSELSLVDPSRGGDLAVSLREIERERLEIQKSYDRVGSEMMALKEQLQHVEQQLEQIRNDAVQLLDAIYPDDPQPVPYSSVESPPVPEDIQMRQRRFAISRKKGGPTQVVAHLNKEQLQQIRENKAKSEKELLKLKHAYQNNLFKLDATERACKKLSTKLEKVHQDSHERLKMLELYLENNERSLRANELKYPELVFDFNASEKEAKVASLKSQRLSETTHVLDSFLKEVLPLCEVTLTQRYGLQDLRKRINIYKREVATTM
+>sp|Q6UW02|CP20A_HUMAN Cytochrome P450 20A1 OS=Homo sapiens OX=9606 GN=CYP20A1 PE=1 SV=1
+MLDFAIFAVTFLLALVGAVLYLYPASRQAAGIPGITPTEEKDGNLPDIVNSGSLHEFLVNLHERYGPVVSFWFGRRLVVSLGTVDVLKQHINPNKTSDPFETMLKSLLRYQSGGGSVSENHMRKKLYENGVTDSLKSNFALLLKLSEELLDKWLSYPETQHVPLSQHMLGFAMKSVTQMVMGSTFEDDQEVIRFQKNHGTVWSEIGKGFLDGSLDKNMTRKKQYEDALMQLESVLRNIIKERKGRNFSQHIFIDSLVQGNLNDQQILEDSMIFSLASCIITAKLCTWAICFLTTSEEVQKKLYEEINQVFGNGPVTPEKIEQLRYCQHVLCETVRTAKLTPVSAQLQDIEGKIDRFIIPRETLVLYALGVVLQDPNTWPSPHKFDPDRFDDELVMKTFSSLGFSGTQECPELRFAYMVTTVLLSVLVKRLHLLSVEGQVIETKYELVTSSREEAWITVSKRY
+>DECOY_sp|Q6UW02|CP20A_HUMAN Cytochrome P450 20A1 OS=Homo sapiens OX=9606 GN=CYP20A1 PE=1 SV=1
+YRKSVTIWAEERSSTVLEYKTEIVQGEVSLLHLRKVLVSLLVTTVMYAFRLEPCEQTGSFGLSSFTKMVLEDDFRDPDFKHPSPWTNPDQLVVGLAYLVLTERPIIFRDIKGEIDQLQASVPTLKATRVTECLVHQCYRLQEIKEPTVPGNGFVQNIEEYLKKQVEESTTLFCIAWTCLKATIICSALSFIMSDELIQQDNLNGQVLSDIFIHQSFNRGKREKIINRLVSELQMLADEYQKKRTMNKDLSGDLFGKGIESWVTGHNKQFRIVEQDDEFTSGMVMQTVSKMAFGLMHQSLPVHQTEPYSLWKDLLEESLKLLLAFNSKLSDTVGNEYLKKRMHNESVSGGGSQYRLLSKLMTEFPDSTKNPNIHQKLVDVTGLSVVLRRGFWFSVVPGYREHLNVLFEHLSGSNVIDPLNGDKEETPTIGPIGAAQRSAPYLYLVAGVLALLFTVAFIAFDLM
+>sp|O43174|CP26A_HUMAN Cytochrome P450 26A1 OS=Homo sapiens OX=9606 GN=CYP26A1 PE=1 SV=2
+MGLPALLASALCTFVLPLLLFLAAIKLWDLYCVSGRDRSCALPLPPGTMGFPFFGETLQMVLQRRKFLQMKRRKYGFIYKTHLFGRPTVRVMGADNVRRILLGEHRLVSVHWPASVRTILGSGCLSNLHDSSHKQRKKVIMRAFSREALECYVPVITEEVGSSLEQWLSCGERGLLVYPEVKRLMFRIAMRILLGCEPQLAGDGDSEQQLVEAFEEMTRNLFSLPIDVPFSGLYRGMKARNLIHARIEQNIRAKICGLRASEAGQGCKDALQLLIEHSWERGERLDMQALKQSSTELLFGGHETTASAATSLITYLGLYPHVLQKVREELKSKGLLCKSNQDNKLDMEILEQLKYIGCVIKETLRLNPPVPGGFRVALKTFELNGYQIPKGWNVIYSICDTHDVAEIFTNKEEFNPDRFMLPHPEDASRFSFIPFGGGLRSCVGKEFAKILLKIFTVELARHCDWQLLNGPPTMKTSPTVYPVDNLPARFTHFHGEI
+>DECOY_sp|O43174|CP26A_HUMAN Cytochrome P450 26A1 OS=Homo sapiens OX=9606 GN=CYP26A1 PE=1 SV=2
+IEGHFHTFRAPLNDVPYVTPSTKMTPPGNLLQWDCHRALEVTFIKLLIKAFEKGVCSRLGGGFPIFSFRSADEPHPLMFRDPNFEEKNTFIEAVDHTDCISYIVNWGKPIQYGNLEFTKLAVRFGGPVPPNLRLTEKIVCGIYKLQELIEMDLKNDQNSKCLLGKSKLEERVKQLVHPYLGLYTILSTAASATTEHGGFLLETSSQKLAQMDLREGREWSHEILLQLADKCGQGAESARLGCIKARINQEIRAHILNRAKMGRYLGSFPVDIPLSFLNRTMEEFAEVLQQESDGDGALQPECGLLIRMAIRFMLRKVEPYVLLGREGCSLWQELSSGVEETIVPVYCELAERSFARMIVKKRQKHSSDHLNSLCGSGLITRVSAPWHVSVLRHEGLLIRRVNDAGMVRVTPRGFLHTKYIFGYKRRKMQLFKRRQLVMQLTEGFFPFGMTGPPLPLACSRDRGSVCYLDWLKIAALFLLLPLVFTCLASALLAPLGM
+>sp|O15528|CP27B_HUMAN 25-hydroxyvitamin D-1 alpha hydroxylase, mitochondrial OS=Homo sapiens OX=9606 GN=CYP27B1 PE=1 SV=1
+MTQTLKYASRVFHRVRWAPELGASLGYREYHSARRSLADIPGPSTPSFLAELFCKGGLSRLHELQVQGAAHFGPVWLASFGTVRTVYVAAPALVEELLRQEGPRPERCSFSPWTEHRRCRQRACGLLTAEGEEWQRLRSLLAPLLLRPQAAARYAGTLNNVVCDLVRRLRRQRGRGTGPPALVRDVAGEFYKFGLEGIAAVLLGSRLGCLEAQVPPDTETFIRAVGSVFVSTLLTMAMPHWLRHLVPGPWGRLCRDWDQMFAFAQRHVERREAEAAMRNGGQPEKDLESGAHLTHFLFREELPAQSILGNVTELLLAGVDTVSNTLSWALYELSRHPEVQTALHSEITAALSPGSSAYPSATVLSQLPLLKAVVKEVLRLYPVVPGNSRVPDKDIHVGDYIIPKNTLVTLCHYATSRDPAQFPEPNSFRPARWLGEGPTPHPFASLPFGFGKRSCMGRRLAELELQMALAQILTHFEVQPEPGAAPVRPKTRTVLVPERSINLQFLDR
+>DECOY_sp|O15528|CP27B_HUMAN 25-hydroxyvitamin D-1 alpha hydroxylase, mitochondrial OS=Homo sapiens OX=9606 GN=CYP27B1 PE=1 SV=1
+RDLFQLNISREPVLVTRTKPRVPAAGPEPQVEFHTLIQALAMQLELEALRRGMCSRKGFGFPLSAFPHPTPGEGLWRAPRFSNPEPFQAPDRSTAYHCLTVLTNKPIIYDGVHIDKDPVRSNGPVVPYLRLVEKVVAKLLPLQSLVTASPYASSGPSLAATIESHLATQVEPHRSLEYLAWSLTNSVTDVGALLLETVNGLISQAPLEERFLFHTLHAGSELDKEPQGGNRMAAEAERREVHRQAFAFMQDWDRCLRGWPGPVLHRLWHPMAMTLLTSVFVSGVARIFTETDPPVQAELCGLRSGLLVAAIGELGFKYFEGAVDRVLAPPGTGRGRQRRLRRVLDCVVNNLTGAYRAAAQPRLLLPALLSRLRQWEEGEATLLGCARQRCRRHETWPSFSCREPRPGEQRLLEEVLAPAAVYVTRVTGFSALWVPGFHAAGQVQLEHLRSLGGKCFLEALFSPTSPGPIDALSRRASHYERYGLSAGLEPAWRVRHFVRSAYKLTQTM
+>sp|Q8N118|CP4X1_HUMAN Cytochrome P450 4X1 OS=Homo sapiens OX=9606 GN=CYP4X1 PE=2 SV=1
+MEFSWLETRWARPFYLAFVFCLALGLLQAIKLYLRRQRLLRDLRPFPAPPTHWFLGHQKFIQDDNMEKLEEIIEKYPRAFPFWIGPFQAFFCIYDPDYAKTLLSRTDPKSQYLQKFSPPLLGKGLAALDGPKWFQHRRLLTPGFHFNILKAYIEVMAHSVKMMLDKWEKICSTQDTSVEVYEHINSMSLDIIMKCAFSKETNCQTNSTHDPYAKAIFELSKIIFHRLYSLLYHSDIIFKLSPQGYRFQKLSRVLNQYTDTIIQERKKSLQAGVKQDNTPKRKYQDFLDIVLSAKDESGSSFSDIDVHSEVSTFLLAGHDTLAASISWILYCLALNPEHQERCREEVRGILGDGSSITWDQLGEMSYTTMCIKETCRLIPAVPSISRDLSKPLTFPDGCTLPAGITVVLSIWGLHHNPAVWKNPKVFDPLRFSQENSDQRHPYAYLPFSAGSRNCIGQEFAMIELKVTIALILLHFRVTPDPTRPLTFPNHFILKPKNGMYLHLKKLSEC
+>DECOY_sp|Q8N118|CP4X1_HUMAN Cytochrome P450 4X1 OS=Homo sapiens OX=9606 GN=CYP4X1 PE=2 SV=1
+CESLKKLHLYMGNKPKLIFHNPFTLPRTPDPTVRFHLLILAITVKLEIMAFEQGICNRSGASFPLYAYPHRQDSNEQSFRLPDFVKPNKWVAPNHHLGWISLVVTIGAPLTCGDPFTLPKSLDRSISPVAPILRCTEKICMTTYSMEGLQDWTISSGDGLIGRVEERCREQHEPNLALCYLIWSISAALTDHGALLFTSVESHVDIDSFSSGSEDKASLVIDLFDQYKRKPTNDQKVGAQLSKKREQIITDTYQNLVRSLKQFRYGQPSLKFIIDSHYLLSYLRHFIIKSLEFIAKAYPDHTSNTQCNTEKSFACKMIIDLSMSNIHEYVEVSTDQTSCIKEWKDLMMKVSHAMVEIYAKLINFHFGPTLLRRHQFWKPGDLAALGKGLLPPSFKQLYQSKPDTRSLLTKAYDPDYICFFAQFPGIWFPFARPYKEIIEELKEMNDDQIFKQHGLFWHTPPAPFPRLDRLLRQRRLYLKIAQLLGLALCFVFALYFPRAWRTELWSFEM
+>sp|Q99829|CPNE1_HUMAN Copine-1 OS=Homo sapiens OX=9606 GN=CPNE1 PE=1 SV=1
+MAHCVTLVQLSISCDHLIDKDIGSKSDPLCVLLQDVGGGSWAELGRTERVRNCSSPEFSKTLQLEYRFETVQKLRFGIYDIDNKTPELRDDDFLGGAECSLGQIVSSQVLTLPLMLKPGKPAGRGTITVSAQELKDNRVVTMEVEARNLDKKDFLGKSDPFLEFFRQGDGKWHLVYRSEVIKNNLNPTWKRFSVPVQHFCGGNPSTPIQVQCSDYDSDGSHDLIGTFHTSLAQLQAVPAEFECIHPEKQQKKKSYKNSGTIRVKICRVETEYSFLDYVMGGCQINFTVGVDFTGSNGDPSSPDSLHYLSPTGVNEYLMALWSVGSVVQDYDSDKLFPAFGFGAQVPPDWQVSHEFALNFNPSNPYCAGIQGIVDAYRQALPQVRLYGPTNFAPIINHVARFAAQAAHQGTASQYFMLLLLTDGAVTDVEATREAVVRASNLPMSVIIVGVGGADFEAMEQLDADGGPLHTRSGQAAARDIVQFVPYRRFQNAPREALAQTVLAEVPTQLVSYFRAQGWAPLKPLPPSAKDPAQAPQA
+>DECOY_sp|Q99829|CPNE1_HUMAN Copine-1 OS=Homo sapiens OX=9606 GN=CPNE1 PE=1 SV=1
+AQPAQAPDKASPPLPKLPAWGQARFYSVLQTPVEALVTQALAERPANQFRRYPVFQVIDRAAAQGSRTHLPGGDADLQEMAEFDAGGVGVIIVSMPLNSARVVAERTAEVDTVAGDTLLLLMFYQSATGQHAAQAAFRAVHNIIPAFNTPGYLRVQPLAQRYADVIGQIGACYPNSPNFNLAFEHSVQWDPPVQAGFGFAPFLKDSDYDQVVSGVSWLAMLYENVGTPSLYHLSDPSSPDGNSGTFDVGVTFNIQCGGMVYDLFSYETEVRCIKVRITGSNKYSKKKQQKEPHICEFEAPVAQLQALSTHFTGILDHSGDSDYDSCQVQIPTSPNGGCFHQVPVSFRKWTPNLNNKIVESRYVLHWKGDGQRFFELFPDSKGLFDKKDLNRAEVEMTVVRNDKLEQASVTITGRGAPKGPKLMLPLTLVQSSVIQGLSCEAGGLFDDDRLEPTKNDIDYIGFRLKQVTEFRYELQLTKSFEPSSCNRVRETRGLEAWSGGGVDQLLVCLPDSKSGIDKDILHDCSISLQVLTVCHAM
+>sp|O75131|CPNE3_HUMAN Copine-3 OS=Homo sapiens OX=9606 GN=CPNE3 PE=1 SV=1
+MAAQCVTKVALNVSCANLLDKDIGSKSDPLCVLFLNTSGQQWYEVERTERIKNCLNPQFSKTFIIDYYFEVVQKLKFGVYDIDNKTIELSDDDFLGECECTLGQIVSSKKLTRPLVMKTGRPAGKGSITISAEEIKDNRVVLFEMEARKLDNKDLFGKSDPYLEFHKQTSDGNWLMVHRTEVVKNNLNPVWRPFKISLNSLCYGDMDKTIKVECYDYDNDGSHDLIGTFQTTMTKLKEASRSSPVEFECINEKKRQKKKSYKNSGVISVKQCEITVECTFLDYIMGGCQLNFTVGVDFTGSNGDPRSPDSLHYISPNGVNEYLTALWSVGLVIQDYDADKMFPAFGFGAQIPPQWQVSHEFPMNFNPSNPYCNGIQGIVEAYRSCLPQIKLYGPTNFSPIINHVARFAAAATQQQTASQYFVLLIITDGVITDLDETRQAIVNASRLPMSIIIVGVGGADFSAMEFLDGDGGSLRSPLGEVAIRDIVQFVPFRQFQNAPKEALAQCVLAEIPQQVVGYFNTYKLLPPKNPATKQQKQ
+>DECOY_sp|O75131|CPNE3_HUMAN Copine-3 OS=Homo sapiens OX=9606 GN=CPNE3 PE=1 SV=1
+QKQQKTAPNKPPLLKYTNFYGVVQQPIEALVCQALAEKPANQFQRFPVFQVIDRIAVEGLPSRLSGGDGDLFEMASFDAGGVGVIIISMPLRSANVIAQRTEDLDTIVGDTIILLVFYQSATQQQTAAAAFRAVHNIIPSFNTPGYLKIQPLCSRYAEVIGQIGNCYPNSPNFNMPFEHSVQWQPPIQAGFGFAPFMKDADYDQIVLGVSWLATLYENVGNPSIYHLSDPSRPDGNSGTFDVGVTFNLQCGGMIYDLFTCEVTIECQKVSIVGSNKYSKKKQRKKENICEFEVPSSRSAEKLKTMTTQFTGILDHSGDNDYDYCEVKITKDMDGYCLSNLSIKFPRWVPNLNNKVVETRHVMLWNGDSTQKHFELYPDSKGFLDKNDLKRAEMEFLVVRNDKIEEASITISGKGAPRGTKMVLPRTLKKSSVIQGLTCECEGLFDDDSLEITKNDIDYVGFKLKQVVEFYYDIIFTKSFQPNLCNKIRETREVEYWQQGSTNLFLVCLPDSKSGIDKDLLNACSVNLAVKTVCQAAM
+>sp|Q10570|CPSF1_HUMAN Cleavage and polyadenylation specificity factor subunit 1 OS=Homo sapiens OX=9606 GN=CPSF1 PE=1 SV=2
+MYAVYKQAHPPTGLEFSMYCNFFNNSERNLVVAGTSQLYVYRLNRDAEALTKNDRSTEGKAHREKLELAASFSFFGNVMSMASVQLAGAKRDALLLSFKDAKLSVVEYDPGTHDLKTLSLHYFEEPELRDGFVQNVHTPRVRVDPDGRCAAMLVYGTRLVVLPFRRESLAEEHEGLVGEGQRSSFLPSYIIDVRALDEKLLNIIDLQFLHGYYEPTLLILFEPNQTWPGRVAVRQDTCSIVAISLNITQKVHPVIWSLTSLPFDCTQALAVPKPIGGVVVFAVNSLLYLNQSVPPYGVALNSLTTGTTAFPLRTQEGVRITLDCAQATFISYDKMVISLKGGEIYVLTLITDGMRSVRAFHFDKAAASVLTTSMVTMEPGYLFLGSRLGNSLLLKYTEKLQEPPASAVREAADKEEPPSKKKRVDATAGWSAAGKSVPQDEVDEIEVYGSEAQSGTQLATYSFEVCDSILNIGPCANAAVGEPAFLSEEFQNSPEPDLEIVVCSGHGKNGALSVLQKSIRPQVVTTFELPGCYDMWTVIAPVRKEEEDNPKGEGTEQEPSTTPEADDDGRRHGFLILSREDSTMILQTGQEIMELDTSGFATQGPTVFAGNIGDNRYIVQVSPLGIRLLEGVNQLHFIPVDLGAPIVQCAVADPYVVIMSAEGHVTMFLLKSDSYGGRHHRLALHKPPLHHQSKVITLCLYRDLSGMFTTESRLGGARDELGGRSGPEAEGLGSETSPTVDDEEEMLYGDSGSLFSPSKEEARRSSQPPADRDPAPFRAEPTHWCLLVRENGTMEIYQLPDWRLVFLVKNFPVGQRVLVDSSFGQPTTQGEARREEATRQGELPLVKEVLLVALGSRQSRPYLLVHVDQELLIYEAFPHDSQLGQGNLKVRFKKVPHNINFREKKPKPSKKKAEGGGAEEGAGARGRVARFRYFEDIYGYSGVFICGPSPHWLLVTGRGALRLHPMAIDGPVDSFAPFHNVNCPRGFLYFNRQGELRISVLPAYLSYDAPWPVRKIPLRCTAHYVAYHVESKVYAVATSTNTPCARIPRMTGEEKEFETIERDERYIHPQQEAFSIQLISPVSWEAIPNARIELQEWEHVTCMKTVSLRSEETVSGLKGYVAAGTCLMQGEEVTCRGRILIMDVIEVVPEPGQPLTKNKFKVLYEKEQKGPVTALCHCNGHLVSAIGQKIFLWSLRASELTGMAFIDTQLYIHQMISVKNFILAADVMKSISLLRYQEESKTLSLVSRDAKPLEVYSVDFMVDNAQLGFLVSDRDRNLMVYMYLPEAKESFGGMRLLRRADFHVGAHVNTFWRTPCRGATEGLSKKSVVWENKHITWFATLDGGIGLLLPMQEKTYRRLLMLQNALTTMLPHHAGLNPRAFRMLHVDRRTLQNAVRNVLDGELLNRYLYLSTMERSELAKKIGTTPDIILDDLLETDRVTAHF
+>DECOY_sp|Q10570|CPSF1_HUMAN Cleavage and polyadenylation specificity factor subunit 1 OS=Homo sapiens OX=9606 GN=CPSF1 PE=1 SV=2
+FHATVRDTELLDDLIIDPTTGIKKALESREMTSLYLYRNLLEGDLVNRVANQLTRRDVHLMRFARPNLGAHHPLMTTLANQLMLLRRYTKEQMPLLLGIGGDLTAFWTIHKNEWVVSKKSLGETAGRCPTRWFTNVHAGVHFDARRLLRMGGFSEKAEPLYMYVMLNRDRDSVLFGLQANDVMFDVSYVELPKADRSVLSLTKSEEQYRLLSISKMVDAALIFNKVSIMQHIYLQTDIFAMGTLESARLSWLFIKQGIASVLHGNCHCLATVPGKQEKEYLVKFKNKTLPQGPEPVVEIVDMILIRGRCTVEEGQMLCTGAAVYGKLGSVTEESRLSVTKMCTVHEWEQLEIRANPIAEWSVPSILQISFAEQQPHIYREDREITEFEKEEGTMRPIRACPTNTSTAVAYVKSEVHYAVYHATCRLPIKRVPWPADYSLYAPLVSIRLEGQRNFYLFGRPCNVNHFPAFSDVPGDIAMPHLRLAGRGTVLLWHPSPGCIFVGSYGYIDEFYRFRAVRGRAGAGEEAGGGEAKKKSPKPKKERFNINHPVKKFRVKLNGQGLQSDHPFAEYILLEQDVHVLLYPRSQRSGLAVLLVEKVLPLEGQRTAEERRAEGQTTPQGFSSDVLVRQGVPFNKVLFVLRWDPLQYIEMTGNERVLLCWHTPEARFPAPDRDAPPQSSRRAEEKSPSFLSGSDGYLMEEEDDVTPSTESGLGEAEPGSRGGLEDRAGGLRSETTFMGSLDRYLCLTIVKSQHHLPPKHLALRHHRGGYSDSKLLFMTVHGEASMIVVYPDAVACQVIPAGLDVPIFHLQNVGELLRIGLPSVQVIYRNDGINGAFVTPGQTAFGSTDLEMIEQGTQLIMTSDERSLILFGHRRGDDDAEPTTSPEQETGEGKPNDEEEKRVPAIVTWMDYCGPLEFTTVVQPRISKQLVSLAGNKGHGSCVVIELDPEPSNQFEESLFAPEGVAANACPGINLISDCVEFSYTALQTGSQAESGYVEIEDVEDQPVSKGAASWGATADVRKKKSPPEEKDAAERVASAPPEQLKETYKLLLSNGLRSGLFLYGPEMTVMSTTLVSAAAKDFHFARVSRMGDTILTLVYIEGGKLSIVMKDYSIFTAQACDLTIRVGEQTRLPFATTGTTLSNLAVGYPPVSQNLYLLSNVAFVVVGGIPKPVALAQTCDFPLSTLSWIVPHVKQTINLSIAVISCTDQRVAVRGPWTQNPEFLILLTPEYYGHLFQLDIINLLKEDLARVDIIYSPLFSSRQGEGVLGEHEEALSERRFPLVVLRTGYVLMAACRGDPDVRVRPTHVNQVFGDRLEPEEFYHLSLTKLDHTGPDYEVVSLKADKFSLLLADRKAGALQVSAMSMVNGFFSFSAALELKERHAKGETSRDNKTLAEADRNLRYVYLQSTGAVVLNRESNNFFNCYMSFELGTPPHAQKYVAYM
+>sp|Q5TA50|CPTP_HUMAN Ceramide-1-phosphate transfer protein OS=Homo sapiens OX=9606 GN=CPTP PE=1 SV=1
+MDDSETGFNLKVVLVSFKQCLDEKEEVLLDPYIASWKGLVRFLNSLGTIFSFISKDVVSKLRIMERLRGGPQSEHYRSLQAMVAHELSNRLVDLERRSHHPESGCRTVLRLHRALHWLQLFLEGLRTSPEDARTSALCADSYNASLAAYHPWVVRRAVTVAFCTLPTREVFLEAMNVGPPEQAVQMLGEALPFIQRVYNVSQKLYAEHSLLDLP
+>DECOY_sp|Q5TA50|CPTP_HUMAN Ceramide-1-phosphate transfer protein OS=Homo sapiens OX=9606 GN=CPTP PE=1 SV=1
+PLDLLSHEAYLKQSVNYVRQIFPLAEGLMQVAQEPPGVNMAELFVERTPLTCFAVTVARRVVWPHYAALSANYSDACLASTRADEPSTRLGELFLQLWHLARHLRLVTRCGSEPHHSRRELDVLRNSLEHAVMAQLSRYHESQPGGRLREMIRLKSVVDKSIFSFITGLSNLFRVLGKWSAIYPDLLVEEKEDLCQKFSVLVVKLNFGTESDDM
+>sp|Q8N436|CPXM2_HUMAN Inactive carboxypeptidase-like protein X2 OS=Homo sapiens OX=9606 GN=CPXM2 PE=2 SV=3
+MSRPGTATPALALVLLAVTLAGVGAQGAALEDPDYYGQEIWSREPYYARPEPELETFSPPLPAGPGEEWERRPQEPRPPKRATKPKKAPKREKSAPEPPPPGKHSNKKVMRTKSSEKAANDDHSVRVAREDVRESCPPLGLETLKITDFQLHASTVKRYGLGAHRGRLNIQAGINENDFYDGAWCAGRNDLQQWIEVDARRLTRFTGVITQGRNSLWLSDWVTSYKVMVSNDSHTWVTVKNGSGDMIFEGNSEKEIPVLNELPVPMVARYIRINPQSWFDNGSICMRMEILGCPLPDPNNYYHRRNEMTTTDDLDFKHHNYKEMRQLMKVVNEMCPNITRIYNIGKSHQGLKLYAVEISDHPGEHEVGEPEFHYIAGAHGNEVLGRELLLLLVQFVCQEYLARNARIVHLVEETRIHVLPSLNPDGYEKAYEGGSELGGWSLGRWTHDGIDINNNFPDLNTLLWEAEDRQNVPRKVPNHYIAIPEWFLSENATVAAETRAVIAWMEKIPFVLGGNLQGGELVVAYPYDLVRSPWKTQEHTPTPDDHVFRWLAYSYASTHRLMTDARRRVCHTEDFQKEEGTVNGASWHTVAGSLNDFSYLHTNCFELSIYVGCDKYPHESQLPEEWENNRESLIVFMEQVHRGIKGLVRDSHGKGIPNAIISVEGINHDIRTANDGDYWRLLNPGEYVVTAKAEGFTASTKNCMVGYDMGATRCDFTLSKTNMARIREIMEKFGKQPVSLPARRLKLRGQKRRQRG
+>DECOY_sp|Q8N436|CPXM2_HUMAN Inactive carboxypeptidase-like protein X2 OS=Homo sapiens OX=9606 GN=CPXM2 PE=2 SV=3
+GRQRRKQGRLKLRRAPLSVPQKGFKEMIERIRAMNTKSLTFDCRTAGMDYGVMCNKTSATFGEAKATVVYEGPNLLRWYDGDNATRIDHNIGEVSIIANPIGKGHSDRVLGKIGRHVQEMFVILSERNNEWEEPLQSEHPYKDCGVYISLEFCNTHLYSFDNLSGAVTHWSAGNVTGEEKQFDETHCVRRRADTMLRHTSAYSYALWRFVHDDPTPTHEQTKWPSRVLDYPYAVVLEGGQLNGGLVFPIKEMWAIVARTEAAVTANESLFWEPIAIYHNPVKRPVNQRDEAEWLLTNLDPFNNNIDIGDHTWRGLSWGGLESGGEYAKEYGDPNLSPLVHIRTEEVLHVIRANRALYEQCVFQVLLLLLERGLVENGHAGAIYHFEPEGVEHEGPHDSIEVAYLKLGQHSKGINYIRTINPCMENVVKMLQRMEKYNHHKFDLDDTTTMENRRHYYNNPDPLPCGLIEMRMCISGNDFWSQPNIRIYRAVMPVPLENLVPIEKESNGEFIMDGSGNKVTVWTHSDNSVMVKYSTVWDSLWLSNRGQTIVGTFRTLRRADVEIWQQLDNRGACWAGDYFDNENIGAQINLRGRHAGLGYRKVTSAHLQFDTIKLTELGLPPCSERVDERAVRVSHDDNAAKESSKTRMVKKNSHKGPPPPEPASKERKPAKKPKTARKPPRPEQPRREWEEGPGAPLPPSFTELEPEPRAYYPERSWIEQGYYDPDELAAGQAGVGALTVALLVLALAPTATGPRSM
+>sp|Q6JBY9|CPZIP_HUMAN CapZ-interacting protein OS=Homo sapiens OX=9606 GN=RCSD1 PE=1 SV=1
+MEERPAETNANVDNSASPSVAQLAGRFREQAAAAKETPASKPTRRKPPCSLPLFPPKVDLGQNGEEKSPPNASHPPKFKVKSSPLIEKLQANLTFDPAALLPGASPKSPGLKAMVSPFHSPPSTPSSPGVRSRPSEAEEVPVSFDQPPEGSHLPCYNKVRTRGSIKRRPPSRRFRRSQSDCGELGDFRAVESSQQNGAKEEDGDEVLPSKSKAPGSPLSSEGAAGEGVRTLGPAEKPPLRRSPSRTEKQEEDRATEEAKNGEKARRSSEEVDGQHPAQEEVPESPQTSGPEAENRCGSPREEKPAGEEAEMEKATEVKGERVQNEEVGPEHDSQETKKLEEGAAVKETPHSPPGGVKGGDVPKQEKGKEKQQEGAVLEPGCSPQTGPAQLETSSEVQSEPAVPKPEDDTPVQDTKM
+>DECOY_sp|Q6JBY9|CPZIP_HUMAN CapZ-interacting protein OS=Homo sapiens OX=9606 GN=RCSD1 PE=1 SV=1
+MKTDQVPTDDEPKPVAPESQVESSTELQAPGTQPSCGPELVAGEQQKEKGKEQKPVDGGKVGGPPSHPTEKVAAGEELKKTEQSDHEPGVEENQVREGKVETAKEMEAEEGAPKEERPSGCRNEAEPGSTQPSEPVEEQAPHQGDVEESSRRAKEGNKAEETARDEEQKETRSPSRRLPPKEAPGLTRVGEGAAGESSLPSGPAKSKSPLVEDGDEEKAGNQQSSEVARFDGLEGCDSQSRRFRRSPPRRKISGRTRVKNYCPLHSGEPPQDFSVPVEEAESPRSRVGPSSPTSPPSHFPSVMAKLGPSKPSAGPLLAAPDFTLNAQLKEILPSSKVKFKPPHSANPPSKEEGNQGLDVKPPFLPLSCPPKRRTPKSAPTEKAAAAQERFRGALQAVSPSASNDVNANTEAPREEM
+>sp|Q8N7U9|CQ054_HUMAN Putative uncharacterized protein encoded by LINC00469 OS=Homo sapiens OX=9606 GN=LINC00469 PE=5 SV=1
+MTSSAPTLPDVTIRNVSRHIQMSLMGKGEPPWLCRLQLEPLLQAMEEQQLGNLEARWEVEKHGNEVSGSTSREVWEDADFICPVLKQCTNPKLNENKNIHQAKECEKSPFLSLSPHQQWKPGLPRRNDALPTSLCLCCSEN
+>DECOY_sp|Q8N7U9|CQ054_HUMAN Putative uncharacterized protein encoded by LINC00469 OS=Homo sapiens OX=9606 GN=LINC00469 PE=5 SV=1
+NESCCLCLSTPLADNRRPLGPKWQQHPSLSLFPSKECEKAQHINKNENLKPNTCQKLVPCIFDADEWVERSTSGSVENGHKEVEWRAELNGLQQEEMAQLLPELQLRCLWPPEGKGMLSMQIHRSVNRITVDPLTPASSTM
+>sp|Q86WR6|CQ064_HUMAN Uncharacterized protein C17orf64 OS=Homo sapiens OX=9606 GN=C17orf64 PE=2 SV=2
+MEASDGQGGEGDKPLEQVTNVSCLETSSSASPARDSLMRHAKGLDQDTFKTCKEYLRPLKKFLRKLHLPRDLPQKKKLKYMKQSLVVLGDHINTFLQHYCQAWEIKHWRKMLWRFISLFSELEAKQLRRLYKYTKSSQPAKFLVTFCASDAPERSLLADREDSLPKLCHAWGLHSNISGMKERLSNMQTPGQGSPLPGQPRSQDHVKKDSLRELSQKPKLKRKRIKEAPETPETEP
+>DECOY_sp|Q86WR6|CQ064_HUMAN Uncharacterized protein C17orf64 OS=Homo sapiens OX=9606 GN=C17orf64 PE=2 SV=2
+PETEPTEPAEKIRKRKLKPKQSLERLSDKKVHDQSRPQGPLPSGQGPTQMNSLREKMGSINSHLGWAHCLKPLSDERDALLSREPADSACFTVLFKAPQSSKTYKYLRRLQKAELESFLSIFRWLMKRWHKIEWAQCYHQLFTNIHDGLVVLSQKMYKLKKKQPLDRPLHLKRLFKKLPRLYEKCTKFTDQDLGKAHRMLSDRAPSASSSTELCSVNTVQELPKDGEGGQGDSAEM
+>sp|A8MU93|CQ100_HUMAN Uncharacterized protein C17orf100 OS=Homo sapiens OX=9606 GN=C17orf100 PE=4 SV=2
+MASARGAKQSSPRVGTTRYTETSTVRVETSSHRVETSSRRVETSQRRSEGPSLSPSGKRLPRILEASSRHVESSSQRTETTSRHVRASSLRVETSLHCAESPTPRAKPAARQNEKTAR
+>DECOY_sp|A8MU93|CQ100_HUMAN Uncharacterized protein C17orf100 OS=Homo sapiens OX=9606 GN=C17orf100 PE=4 SV=2
+RATKENQRAAPKARPTPSEACHLSTEVRLSSARVHRSTTETRQSSSEVHRSSAELIRPLRKGSPSLSPGESRRQSTEVRRSSTEVRHSSTEVRVTSTETYRTTGVRPSSQKAGRASAM
+>sp|Q96B23|CR025_HUMAN Uncharacterized protein C18orf25 OS=Homo sapiens OX=9606 GN=C18orf25 PE=1 SV=3
+MKMEEAVGKVEELIESEAPPKASEQETAKEEDGSVELESQVQKDGVADSTVISSMPCLLMELRRDSSESQLASTESDKPTTGRVYESDSSNHCMLSPSSSGHLADSDTLSSAEENEPSQAETAVEGDPSGVSGATVGRKSRRSRSESETSTMAAKKNRQSSDKQNGRVAKVKGHRSQKHKERIRLLRQKREAAARKKYNLLQDSSTSDSDLTCDSSTSSSDDDEEVSGSSKTITAEIPDGPPVVAHYDMSDTNSDPEVVNVDNLLAAAVVQEHSNSVGGQDTGATWRTSGLLEELNAEAGHLDPGFLASDKTSAGNAPLNEEINIASSDSEVEIVGVQEHARCVHPRGGVIQSVSSWKHGSGTQYVSTRQTQSWTAVTPQQTWASPAEVVDLTLDEDSRRKYLL
+>DECOY_sp|Q96B23|CR025_HUMAN Uncharacterized protein C18orf25 OS=Homo sapiens OX=9606 GN=C18orf25 PE=1 SV=3
+LLYKRRSDEDLTLDVVEAPSAWTQQPTVATWSQTQRTSVYQTGSGHKWSSVSQIVGGRPHVCRAHEQVGVIEVESDSSAINIEENLPANGASTKDSALFGPDLHGAEANLEELLGSTRWTAGTDQGGVSNSHEQVVAAALLNDVNVVEPDSNTDSMDYHAVVPPGDPIEATITKSSGSVEEDDDSSSTSSDCTLDSDSTSSDQLLNYKKRAAAERKQRLLRIREKHKQSRHGKVKAVRGNQKDSSQRNKKAAMTSTESESRSRRSKRGVTAGSVGSPDGEVATEAQSPENEEASSLTDSDALHGSSSPSLMCHNSSDSEYVRGTTPKDSETSALQSESSDRRLEMLLCPMSSIVTSDAVGDKQVQSELEVSGDEEKATEQESAKPPAESEILEEVKGVAEEMKM
+>sp|Q2VPA4|CR1L_HUMAN Complement component receptor 1-like protein OS=Homo sapiens OX=9606 GN=CR1L PE=1 SV=3
+MAPPVRLERPFPSRRFPGLLLAALVLLLSSFSDQCNVPEWLPFARPTNLTDDFEFPIGTYLNYECRPGYSGRPFSIICLKNSVWTSAKDKCKRKSCRNPPDPVNGMAHVIKDIQFRSQIKYSCPKGYRLIGSSSATCIISGNTVIWDNKTPVCDRIICGLPPTIANGDFTSISREYFHYGSVVTYHCNLGSRGKKVFELVGEPSIYCTSKDDQVGIWSGPAPQCIIPNKCTPPNVENGILVSDNRSLFSLNEVVEFRCQPGFGMKGPSHVKCQALNKWEPELPSCSRVCQPPPDVLHAERTQRDKDNFSPGQEVFYSCEPGYDLRGSTYLHCTPQGDWSPAAPRCEVKSCDDFLGQLPNGHVLFPLNLQLGAKVDFVCDEGFQLKGSSASYCVLAGMESLWNSSVPVCERKSCETPPVPVNGMVHVITDIHVGSRINYSCTTGHRLIGHSSAECILSGNTAHWSMKPPICQQIFCPNPPAILNGRHTGTPLGDIPYGKEVSYTCDPHPDRGMTFNLIGESTIRRTSEPHGNGVWSSPAPRCELPVGAGSHDALIVGKFYEVFAEEFCHL
+>DECOY_sp|Q2VPA4|CR1L_HUMAN Complement component receptor 1-like protein OS=Homo sapiens OX=9606 GN=CR1L PE=1 SV=3
+LHCFEEAFVEYFKGVILADHSGAGVPLECRPAPSSWVGNGHPESTRRITSEGILNFTMGRDPHPDCTYSVEKGYPIDGLPTGTHRGNLIAPPNPCFIQQCIPPKMSWHATNGSLICEASSHGILRHGTTCSYNIRSGVHIDTIVHVMGNVPVPPTECSKRECVPVSSNWLSEMGALVCYSASSGKLQFGEDCVFDVKAGLQLNLPFLVHGNPLQGLFDDCSKVECRPAAPSWDGQPTCHLYTSGRLDYGPECSYFVEQGPSFNDKDRQTREAHLVDPPPQCVRSCSPLEPEWKNLAQCKVHSPGKMGFGPQCRFEVVENLSFLSRNDSVLIGNEVNPPTCKNPIICQPAPGSWIGVQDDKSTCYISPEGVLEFVKKGRSGLNCHYTVVSGYHFYERSISTFDGNAITPPLGCIIRDCVPTKNDWIVTNGSIICTASSSGILRYGKPCSYKIQSRFQIDKIVHAMGNVPDPPNRCSKRKCKDKASTWVSNKLCIISFPRGSYGPRCEYNLYTGIPFEFDDTLNTPRAFPLWEPVNCQDSFSSLLLVLAALLLGPFRRSPFPRELRVPPAM
+>sp|P05813|CRBA1_HUMAN Beta-crystallin A3 OS=Homo sapiens OX=9606 GN=CRYBA1 PE=1 SV=4
+METQAEQQELETLPTTKMAQTNPTPGSLGPWKITIYDQENFQGKRMEFTSSCPNVSERSFDNVRSLKVESGAWIGYEHTSFCGQQFILERGEYPRWDAWSGSNAYHIERLMSFRPICSANHKESKMTIFEKENFIGRQWEISDDYPSLQAMGWFNNEVGSMKIQSGAWVCYQYPGYRGYQYILECDHHGGDYKHWREWGSHAQTSQIQSIRRIQQ
+>DECOY_sp|P05813|CRBA1_HUMAN Beta-crystallin A3 OS=Homo sapiens OX=9606 GN=CRYBA1 PE=1 SV=4
+QQIRRISQIQSTQAHSGWERWHKYDGGHHDCELIYQYGRYGPYQYCVWAGSQIKMSGVENNFWGMAQLSPYDDSIEWQRGIFNEKEFITMKSEKHNASCIPRFSMLREIHYANSGSWADWRPYEGRELIFQQGCFSTHEYGIWAGSEVKLSRVNDFSRESVNPCSSTFEMRKGQFNEQDYITIKWPGLSGPTPNTQAMKTTPLTELEQQEAQTEM
+>sp|P26998|CRBB3_HUMAN Beta-crystallin B3 OS=Homo sapiens OX=9606 GN=CRYBB3 PE=1 SV=4
+MAEQHGAPEQAAAGKSHGDLGGSYKVILYELENFQGKRCELSAECPSLTDSLLEKVGSIQVESGPWLAFESRAFRGEQFVLEKGDYPRWDAWSNSRDSDSLLSLRPLNIDSPHHKLHLFENPAFSGRKMEIVDDDVPSLWAHGFQDRVASVRAINGTWVGYEFPGYRGRQYVFERGEYRHWNEWDASQPQLQSVRRIRDQKWHKRGRFPSS
+>DECOY_sp|P26998|CRBB3_HUMAN Beta-crystallin B3 OS=Homo sapiens OX=9606 GN=CRYBB3 PE=1 SV=4
+SSPFRGRKHWKQDRIRRVSQLQPQSADWENWHRYEGREFVYQRGRYGPFEYGVWTGNIARVSAVRDQFGHAWLSPVDDDVIEMKRGSFAPNEFLHLKHHPSDINLPRLSLLSDSDRSNSWADWRPYDGKELVFQEGRFARSEFALWPGSEVQISGVKELLSDTLSPCEASLECRKGQFNELEYLIVKYSGGLDGHSKGAAAQEPAGHQEAM
+>sp|Q68DQ2|CRBG3_HUMAN Very large A-kinase anchor protein OS=Homo sapiens OX=9606 GN=CRYBG3 PE=1 SV=3
+MSSGRRRGSAPWHSFSRFFAPRSPSRDKEEEEEERPGTSPPPAPGRSAASVENEPMSTSQKKENVLSSEAVKIRQSEDKRNHAEKPVTLPVQEDPKKAYDLSSSTSDTKIGESDRQPKESFFQFLGNLFNISGKSSLGEAKQSSFKDDQDKTEKDLQNPSDHHEDGIKREREIFSGSLRTQTHPTEEQDSNSSELSDAFSLDTTQDSDQETTNLLKQIDGKPEKPSVTYATYRGPRHIGKYLKQQTGLATVNTLDRENESSDSSTNRHIDPGSEIEAGVLPLLLSASTDSSMKGNLLEGPLEDSDCSKTSFNKENSLTNNPELQNIASSNNLLNKNAWGSIERNRSSPSSVTNSSYDGESDSQHHLSCEPVSQTNRNLVCSALLTGSNHRKVPCSPDFQRVTTTENTIKENSTVMSNRTLVQREELVEPQGPAISDFSCSKSDGSDTTEQESTNLPSPNKSIRHEHLQLPESECSDKQTIDSSSKQAATHTNIIALQRHAVTDTEFVNEGKRLSAQDSQKNVAVREIRRETESASAGESIASSHVKAPEDKIESLPKDTDQYFETKAKKLDFRSHDKIPHIRMNKKDLASLNYISESAVVASLGNENAPELKFELNRSHISETPLDSESPQQAEVSPDAKTSLSLDCKKLNFSISPPTFVSGVGMLSKLDIPDLMNEGSPVPIETGNVNIVGISYQPRKCKEENVKNHVEAAGRKSPPPSFCLEYTSAIFEFKEVLSNSEKCQVLPGSEASGPHLTGLELLSFDSGNLSKDCSSILSQDPNRVELVSSNTKANMSIIEKSDSLSLEAKTANIVSKAEIDGQNNVLVESHSGRGKTISLSKVSLSKVEPRNISQDKMSSFPLKITHVPEKPILSELTFLEVEQGKRFQSINHNEIGEKCSDAGLKENCQAELSPAASKYEDKPEPEVDALGSPPALLKSNISWILPPIHDEKISRQMAQNCEAHTCVFHQSLDICGTKKISGHSEMAELSLTNISPKFQETGSMKVNSPFLDSDSSLEKNSSASEDSSFLKVPSVLKLEKKSSSYRKKENIHFLNGGIDSVSSSSSYPEEVSMIVNSHKPQNNLDSIQVTKDLTHEGTSVTNLLYPTTSYLEFETSVSIGTEVTPFQEHFGIYTGKISIDFPTAAQFDNLVEAETGAVAGPAASVNSSGQQCSEASAEHIEARRRAHDQLLDLKSSLLKKADTLIGEIFNSVREELKFKHTVSTCQEHIAIEGIMNLGTLKEDISEKNPSEVTLTEIQQTEGLEEQGMENMSEVKEKPCVSPTVGEKNLLVDPNSMNVSCLLEDKARELVNEIIYVAQEKLRNDTFEDTEDTWDSELQANTSKILNSDSVKPHDVVREFLVSEQPVNQSTQISENKVLNEFFSLSNLASGTESIKGGEIVLYQKSLFSGNGSGLSDSINLQESDTVLLAEDMSHKRLDDRVKTHLFRSEDCNETMEIENVDNNKTETEDRRTLVLNFKWPPLVNDDIHAPGTSKSSLSDSLVCISEKNLPGHSKNTPLAMSDVGKVHKKDNEINIGKIELIPSMLETGKTNKKDAELNILKYEAVPPMIEMGRIHKMDAELNVTKTEPKANVFKMGEVYQMDAESCIEKTEGSAVILGMEKAYKMKDTEGDIGKIEVIPMMPEVKNIHQKDAEGDIVKTEMTPVTVDMENIYQTHAEGDIGKTGTIALSEVENIHQKGGEGISEKAEVIPVTLAMENTYQKDAEGDIGKAEVMPVRLEMENTYPKDTERDGGKTEVMPLALEVVNTYQKNAKGFTGNTEGSVLKMEATYRKTAEEVIKNTEIVPCVLKVKEAHETAPAPLEMEKACKRDVKETIGATVSTPSVIEMEKISPEDRGENIGKHKVLPAVVDIEKIHGTGLELTTKQGEAMLPAFESKTPQEYAEGSVEETKEEPTEIKEGLIAHENRLPTYFRGYESPTLSKDYEGYPAPAMPDFQPGDTTVRLDKRMSLTAIYDKRRETDYSDKGYNLAFVSQDEQENSSFTILYEEPLQEEDKYASAEARQTQSVLFHDTSADSMPVLACERSESRTDLVHHFEKGTKLGETFDSDSSEMFLSVEAKRYKIYPLALSPIYEDDSSQEDILSSEVSPGHHGPRKSRDSENQSSSVLSLLQSVSERLKMNFDEDDREAADEEEEEEEAAVLHKGDLRAGSGERVTFQLPDPSITFYPDDQESVGISKNSYVMPNEPTTSNLQVGLWPEKTSFLQKSDLTSKLHSSLKSAYHQYLQTSQSHSSEKGARFGGIFQEPVSKYFRVQDSPGRLSPFIENVDKQTLRCNPRPGKMVIYDLHESTYKQEVYCNIPDATSWSFPNGVLIKVVRGCWILYEKPHFRGQKCVLEEGEKVLNRDWILQNRRHPQRNFILGSLKRVLKDCSIPEIELFPQSDPACCPVYIQRAVPNLEELNISKSVSFTVKSGVWLAYPDINFKGQATVLEEDHGLFEISTAEMKSLHPLQMGGLKVEMPMNLKVIIYEKPHFHGQAKEFSEHIDSVPNFLKNNGDFHRIGSIRVIGGVWVAYEKEHFKGQQFLLEEGDFEDSNACGALSSPILSFRYLQANFIESSVTLFESDLESGKFIDITNQEISDLEEIGFGSKTRSIHVKSGVWVAYQQKFFCGEQYILEKGKYKCFFDWGGSNNIIMSIRPIQLEPLGINEPPHLLKAFSKPGFQGECIDFTEETSDLTSLMPCSFKVLRGCWLLYYQEDMFVNHCVLEEGLYADLTSCGCPASKVKSLKPIDYVFEEPSISLFALEHCEGRELHLEEAVNSVLNKDLHFYTQSVWVKSGLWIAYEGSNFLGRQILLRPNEIPNWTAFSRWKTIGSLRPMKQPAVYIRIKNRAQGEYLTVTGSLADTRATSVCISPYSGKNTQIWYYCRGLFKSKASDTCLDVIGGRDTPGAKVALWTEHGQFRQKWRLNKNGTISSYLSDQLVLDVKGGNYCDKTHVIVNQPLEGEETQKWDIEIL
+>DECOY_sp|Q68DQ2|CRBG3_HUMAN Very large A-kinase anchor protein OS=Homo sapiens OX=9606 GN=CRYBG3 PE=1 SV=3
+LIEIDWKQTEEGELPQNVIVHTKDCYNGGKVDLVLQDSLYSSITGNKNLRWKQRFQGHETWLAVKAGPTDRGGIVDLCTDSAKSKFLGRCYYWIQTNKGSYPSICVSTARTDALSGTVTLYEGQARNKIRIYVAPQKMPRLSGITKWRSFATWNPIENPRLLIQRGLFNSGEYAIWLGSKVWVSQTYFHLDKNLVSNVAEELHLERGECHELAFLSISPEEFVYDIPKLSKVKSAPCGCSTLDAYLGEELVCHNVFMDEQYYLLWCGRLVKFSCPMLSTLDSTEETFDICEGQFGPKSFAKLLHPPENIGLPELQIPRISMIINNSGGWDFFCKYKGKELIYQEGCFFKQQYAVWVGSKVHISRTKSGFGIEELDSIEQNTIDIFKGSELDSEFLTVSSEIFNAQLYRFSLIPSSLAGCANSDEFDGEELLFQQGKFHEKEYAVWVGGIVRISGIRHFDGNNKLFNPVSDIHESFEKAQGHFHPKEYIIVKLNMPMEVKLGGMQLPHLSKMEATSIEFLGHDEELVTAQGKFNIDPYALWVGSKVTFSVSKSINLEELNPVARQIYVPCCAPDSQPFLEIEPISCDKLVRKLSGLIFNRQPHRRNQLIWDRNLVKEGEELVCKQGRFHPKEYLIWCGRVVKILVGNPFSWSTADPINCYVEQKYTSEHLDYIVMKGPRPNCRLTQKDVNEIFPSLRGPSDQVRFYKSVPEQFIGGFRAGKESSHSQSTQLYQHYASKLSSHLKSTLDSKQLFSTKEPWLGVQLNSTTPENPMVYSNKSIGVSEQDDPYFTISPDPLQFTVREGSGARLDGKHLVAAEEEEEEEDAAERDDEDFNMKLRESVSQLLSLVSSSQNESDRSKRPGHHGPSVESSLIDEQSSDDEYIPSLALPYIKYRKAEVSLFMESSDSDFTEGLKTGKEFHHVLDTRSESRECALVPMSDASTDHFLVSQTQRAEASAYKDEEQLPEEYLITFSSNEQEDQSVFALNYGKDSYDTERRKDYIATLSMRKDLRVTTDGPQFDPMAPAPYGEYDKSLTPSEYGRFYTPLRNEHAILGEKIETPEEKTEEVSGEAYEQPTKSEFAPLMAEGQKTTLELGTGHIKEIDVVAPLVKHKGINEGRDEPSIKEMEIVSPTSVTAGITEKVDRKCAKEMELPAPATEHAEKVKLVCPVIETNKIVEEATKRYTAEMKLVSGETNGTFGKANKQYTNVVELALPMVETKGGDRETDKPYTNEMELRVPMVEAKGIDGEADKQYTNEMALTVPIVEAKESIGEGGKQHINEVESLAITGTKGIDGEAHTQYINEMDVTVPTMETKVIDGEADKQHINKVEPMMPIVEIKGIDGETDKMKYAKEMGLIVASGETKEICSEADMQYVEGMKFVNAKPETKTVNLEADMKHIRGMEIMPPVAEYKLINLEADKKNTKGTELMSPILEIKGINIENDKKHVKGVDSMALPTNKSHGPLNKESICVLSDSLSSKSTGPAHIDDNVLPPWKFNLVLTRRDETETKNNDVNEIEMTENCDESRFLHTKVRDDLRKHSMDEALLVTDSEQLNISDSLGSGNGSFLSKQYLVIEGGKISETGSALNSLSFFENLVKNESIQTSQNVPQESVLFERVVDHPKVSDSNLIKSTNAQLESDWTDETDEFTDNRLKEQAVYIIENVLERAKDELLCSVNMSNPDVLLNKEGVTPSVCPKEKVESMNEMGQEELGETQQIETLTVESPNKESIDEKLTGLNMIGEIAIHEQCTSVTHKFKLEERVSNFIEGILTDAKKLLSSKLDLLQDHARRRAEIHEASAESCQQGSSNVSAAPGAVAGTEAEVLNDFQAATPFDISIKGTYIGFHEQFPTVETGISVSTEFELYSTTPYLLNTVSTGEHTLDKTVQISDLNNQPKHSNVIMSVEEPYSSSSSVSDIGGNLFHINEKKRYSSSKKELKLVSPVKLFSSDESASSNKELSSDSDLFPSNVKMSGTEQFKPSINTLSLEAMESHGSIKKTGCIDLSQHFVCTHAECNQAMQRSIKEDHIPPLIWSINSKLLAPPSGLADVEPEPKDEYKSAAPSLEAQCNEKLGADSCKEGIENHNISQFRKGQEVELFTLESLIPKEPVHTIKLPFSSMKDQSINRPEVKSLSVKSLSITKGRGSHSEVLVNNQGDIEAKSVINATKAELSLSDSKEIISMNAKTNSSVLEVRNPDQSLISSCDKSLNGSDFSLLELGTLHPGSAESGPLVQCKESNSLVEKFEFIASTYELCFSPPPSKRGAAEVHNKVNEEKCKRPQYSIGVINVNGTEIPVPSGENMLDPIDLKSLMGVGSVFTPPSISFNLKKCDLSLSTKADPSVEAQQPSESDLPTESIHSRNLEFKLEPANENGLSAVVASESIYNLSALDKKNMRIHPIKDHSRFDLKKAKTEFYQDTDKPLSEIKDEPAKVHSSAISEGASASETERRIERVAVNKQSDQASLRKGENVFETDTVAHRQLAIINTHTAAQKSSSDITQKDSCESEPLQLHEHRISKNPSPLNTSEQETTDSGDSKSCSFDSIAPGQPEVLEERQVLTRNSMVTSNEKITNETTTVRQFDPSCPVKRHNSGTLLASCVLNRNTQSVPECSLHHQSDSEGDYSSNTVSSPSSRNREISGWANKNLLNNSSAINQLEPNNTLSNEKNFSTKSCDSDELPGELLNGKMSSDTSASLLLPLVGAEIESGPDIHRNTSSDSSENERDLTNVTALGTQQKLYKGIHRPGRYTAYTVSPKEPKGDIQKLLNTTEQDSDQTTDLSFADSLESSNSDQEETPHTQTRLSGSFIERERKIGDEHHDSPNQLDKETKDQDDKFSSQKAEGLSSKGSINFLNGLFQFFSEKPQRDSEGIKTDSTSSSLDYAKKPDEQVPLTVPKEAHNRKDESQRIKVAESSLVNEKKQSTSMPENEVSAASRGPAPPPSTGPREEEEEEKDRSPSRPAFFRSFSHWPASGRRRGSSM
+>sp|Q9UGL9|CRCT1_HUMAN Cysteine-rich C-terminal protein 1 OS=Homo sapiens OX=9606 GN=CRCT1 PE=1 SV=1
+MSSQQSAVSAKGFSKGSSQGPAPCPAPAPTPAPASSSSCCGSGRGCCGDSGCCGSSSTSCCCFPRRRRRQRSSGCCCCGGGSQRSQRSNNRSSGCCSGC
+>DECOY_sp|Q9UGL9|CRCT1_HUMAN Cysteine-rich C-terminal protein 1 OS=Homo sapiens OX=9606 GN=CRCT1 PE=1 SV=1
+CGSCCGSSRNNSRQSRQSGGGCCCCGSSRQRRRRRPFCCCSTSSSGCCGSDGCCGRGSGCCSSSSAPAPTPAPAPCPAPGQSSGKSFGKASVASQQSSM
+>sp|Q8IUH2|CREG2_HUMAN Protein CREG2 OS=Homo sapiens OX=9606 GN=CREG2 PE=1 SV=1
+MSVRRGRRPARPGTRLSWLLCCSALLSPAAGYVIVSSVSWAVTNEVDEELDSASTEEAMPALLEDSGSIWQQSFPASAHKEDAHLRPRAGAARARPPPAPPGMFSYRREGGQTASAPPGPRLRAATARSLAHASVWGCLATVSTHKKIQGLPFGNCLPVSDGPFNNSTGIPFFYMTAKDPVVADLMKNPMASLMLPESEGEFCRKNIVDPEDPRCVQLTLTGQMIAVSPEEVEFAKQAMFSRHPGMRKWPRQYEWFFMKMRIEHIWLQKWYGGASSISREEYFKAVPRKA
+>DECOY_sp|Q8IUH2|CREG2_HUMAN Protein CREG2 OS=Homo sapiens OX=9606 GN=CREG2 PE=1 SV=1
+AKRPVAKFYEERSISSAGGYWKQLWIHEIRMKMFFWEYQRPWKRMGPHRSFMAQKAFEVEEPSVAIMQGTLTLQVCRPDEPDVINKRCFEGESEPLMLSAMPNKMLDAVVPDKATMYFFPIGTSNNFPGDSVPLCNGFPLGQIKKHTSVTALCGWVSAHALSRATAARLRPGPPASATQGGERRYSFMGPPAPPPRARAAGARPRLHADEKHASAPFSQQWISGSDELLAPMAEETSASDLEEDVENTVAWSVSSVIVYGAAPSLLASCCLLWSLRTGPRAPRRGRRVSM
+>sp|Q03060|CREM_HUMAN cAMP-responsive element modulator OS=Homo sapiens OX=9606 GN=CREM PE=1 SV=5
+MSKCARKKYIKTNPRQMTMETVESQHDGSITASLTESKSAHVQTQTGQNSIPALAQVSVAGSGTRRGSPAVTLVQLPSGQTIHVQGVIQTPQPWVIQSSEIHTVQVAAIAETDESAESEGVIDSHKRREILSRRPSYRKILNELSSDVPGVPKIEEERSEEEGTPPSIATMAVPTSIYQTSTGQYIAIAQGGTIQISNPGSDGVQGLQALTMTNSGAPPPGATIVQYAAQSADGTQQFFVPGSQVVVQDEETELAPSHMAAATGDMPTYQIRAPTAALPQGVVMAASPGSLHSPQQLAEEATRKRELRLMKNREAAKECRRRKKEYVKCLESRVAVLEVQNKKLIEELETLKDICSPKTDY
+>DECOY_sp|Q03060|CREM_HUMAN cAMP-responsive element modulator OS=Homo sapiens OX=9606 GN=CREM PE=1 SV=5
+YDTKPSCIDKLTELEEILKKNQVELVAVRSELCKVYEKKRRRCEKAAERNKMLRLERKRTAEEALQQPSHLSGPSAAMVVGQPLAATPARIQYTPMDGTAAAMHSPALETEEDQVVVQSGPVFFQQTGDASQAAYQVITAGPPPAGSNTMTLAQLGQVGDSGPNSIQITGGQAIAIYQGTSTQYISTPVAMTAISPPTGEEESREEEIKPVGPVDSSLENLIKRYSPRRSLIERRKHSDIVGESEASEDTEAIAAVQVTHIESSQIVWPQPTQIVGQVHITQGSPLQVLTVAPSGRRTGSGAVSVQALAPISNQGTQTQVHASKSETLSATISGDHQSEVTEMTMQRPNTKIYKKRACKSM
+>sp|Q494W8|CRFM7_HUMAN CHRNA7-FAM7A fusion protein OS=Homo sapiens OX=9606 GN=CHRFAM7A PE=2 SV=1
+MQKYCIYQHFQFQLLIQHLWIAANCDIADERFDATFHTNVLVNSSGHCQYLPPGIFKSSCYIDVRWFPFDVQHCKLKFGSWSYGGWSLDLQMQEADISGYIPNGEWDLVGIPGKRSERFYECCKEPYPDVTFTVTMRRRTLYYGLNLLIPCVLISALALLVFLLPADSGEKISLGITVLLSLTVFMLLVAEIMPATSDSVPLIAQYFASTMIIVGLSVVVTVIVLQYHHHDPDGGKMPKWTRVILLNWCAWFLRMKRPGEDKVRPACQHKQRRCSLASVEMSAVAPPPASNGNLLYIGFRGLDGVHCVPTPDSGVVCGRMACSPTHDEHLLHGGQPPEGDPDLAKILEEVRYIANRFRCQDESEAVCSEWKFAACVVDRLCLMAFSVFTIICTIGILMSAPNFVEAVSKDFA
+>DECOY_sp|Q494W8|CRFM7_HUMAN CHRNA7-FAM7A fusion protein OS=Homo sapiens OX=9606 GN=CHRFAM7A PE=2 SV=1
+AFDKSVAEVFNPASMLIGITCIITFVSFAMLCLRDVVCAAFKWESCVAESEDQCRFRNAIYRVEELIKALDPDGEPPQGGHLLHEDHTPSCAMRGCVVGSDPTPVCHVGDLGRFGIYLLNGNSAPPPAVASMEVSALSCRRQKHQCAPRVKDEGPRKMRLFWACWNLLIVRTWKPMKGGDPDHHHYQLVIVTVVVSLGVIIMTSAFYQAILPVSDSTAPMIEAVLLMFVTLSLLVTIGLSIKEGSDAPLLFVLLALASILVCPILLNLGYYLTRRRMTVTFTVDPYPEKCCEYFRESRKGPIGVLDWEGNPIYGSIDAEQMQLDLSWGGYSWSGFKLKCHQVDFPFWRVDIYCSSKFIGPPLYQCHGSSNVLVNTHFTADFREDAIDCNAAIWLHQILLQFQFHQYICYKQM
+>sp|P06850|CRF_HUMAN Corticoliberin OS=Homo sapiens OX=9606 GN=CRH PE=1 SV=1
+MRLPLLVSAGVLLVALLPCPPCRALLSRGPVPGARQAPQHPQPLDFFQPPPQSEQPQQPQARPVLLRMGEEYFLRLGNLNKSPAAPLSPASSLLAGGSGSRPSPEQATANFFRVLLQQLLLPRRSLDSPAALAERGARNALGGHQEAPERERRSEEPPISLDLTFHLLREVLEMARAEQLAQQAHSNRKLMEIIGK
+>DECOY_sp|P06850|CRF_HUMAN Corticoliberin OS=Homo sapiens OX=9606 GN=CRH PE=1 SV=1
+KGIIEMLKRNSHAQQALQEARAMELVERLLHFTLDLSIPPEESRREREPAEQHGGLANRAGREALAAPSDLSRRPLLLQQLLVRFFNATAQEPSPRSGSGGALLSSAPSLPAAPSKNLNGLRLFYEEGMRLLVPRAQPQQPQESQPPPQFFDLPQPHQPAQRAGPVPGRSLLARCPPCPLLAVLLVGASVLLPLRM
+>sp|P11844|CRGA_HUMAN Gamma-crystallin A OS=Homo sapiens OX=9606 GN=CRYGA PE=2 SV=3
+MGKITFYEDRDFQGRCYNCISDCPNLRVYFSRCNSIRVDSGCWMLYERPNYQGHQYFLRRGKYPDYQHWMGLSDSVQSCRIIPHTSSHKLRLYERDDYRGLMSELTDDCACVPELFRLPEIYSLHVLEGCWVLYEMPNYRGRQYLLRPGDYRRYHDWGGADAKVGSLRRVTDLY
+>DECOY_sp|P11844|CRGA_HUMAN Gamma-crystallin A OS=Homo sapiens OX=9606 GN=CRYGA PE=2 SV=3
+YLDTVRRLSGVKADAGGWDHYRRYDGPRLLYQRGRYNPMEYLVWCGELVHLSYIEPLRFLEPVCACDDTLESMLGRYDDREYLRLKHSSTHPIIRCSQVSDSLGMWHQYDPYKGRRLFYQHGQYNPREYLMWCGSDVRISNCRSFYVRLNPCDSICNYCRGQFDRDEYFTIKGM
+>sp|P07315|CRGC_HUMAN Gamma-crystallin C OS=Homo sapiens OX=9606 GN=CRYGC PE=1 SV=2
+MGKITFYEDRAFQGRSYETTTDCPNLQPYFSRCNSIRVESGCWMLYERPNYQGQQYLLRRGEYPDYQQWMGLSDSIRSCCLIPQTVSHRLRLYEREDHKGLMMELSEDCPSIQDRFHLSEIRSLHVLEGCWVLYELPNYRGRQYLLRPQEYRRCQDWGAMDAKAGSLRRVVDLY
+>DECOY_sp|P07315|CRGC_HUMAN Gamma-crystallin C OS=Homo sapiens OX=9606 GN=CRYGC PE=1 SV=2
+YLDVVRRLSGAKADMAGWDQCRRYEQPRLLYQRGRYNPLEYLVWCGELVHLSRIESLHFRDQISPCDESLEMMLGKHDEREYLRLRHSVTQPILCCSRISDSLGMWQQYDPYEGRRLLYQQGQYNPREYLMWCGSEVRISNCRSFYPQLNPCDTTTEYSRGQFARDEYFTIKGM
+>sp|P54108|CRIS3_HUMAN Cysteine-rich secretory protein 3 OS=Homo sapiens OX=9606 GN=CRISP3 PE=1 SV=1
+MTLFPVLLFLVAGLLPSFPANEDKDPAFTALLTTQTQVQREIVNKHNELRRAVSPPARNMLKMEWNKEAAANAQKWANQCNYRHSNPKDRMTSLKCGENLYMSSASSSWSQAIQSWFDEYNDFDFGVGPKTPNAVVGHYTQVVWYSSYLVGCGNAYCPNQKVLKYYYVCQYCPAGNWANRLYVPYEQGAPCASCPDNCDDGLCTNGCKYEDLYSNCKSLKLTLTCKHQLVRDSCKASCNCSNSIY
+>DECOY_sp|P54108|CRIS3_HUMAN Cysteine-rich secretory protein 3 OS=Homo sapiens OX=9606 GN=CRISP3 PE=1 SV=1
+YISNSCNCSAKCSDRVLQHKCTLTLKLSKCNSYLDEYKCGNTCLGDDCNDPCSACPAGQEYPVYLRNAWNGAPCYQCVYYYKLVKQNPCYANGCGVLYSSYWVVQTYHGVVANPTKPGVGFDFDNYEDFWSQIAQSWSSSASSMYLNEGCKLSTMRDKPNSHRYNCQNAWKQANAAAEKNWEMKLMNRAPPSVARRLENHKNVIERQVQTQTTLLATFAPDKDENAPFSPLLGAVLFLLVPFLTM
+>sp|O75462|CRLF1_HUMAN Cytokine receptor-like factor 1 OS=Homo sapiens OX=9606 GN=CRLF1 PE=1 SV=1
+MPAGRRGPAAQSARRPPPLLPLLLLLCVLGAPRAGSGAHTAVISPQDPTLLIGSSLLATCSVHGDPPGATAEGLYWTLNGRRLPPELSRVLNASTLALALANLNGSRQRSGDNLVCHARDGSILAGSCLYVGLPPEKPVNISCWSKNMKDLTCRWTPGAHGETFLHTNYSLKYKLRWYGQDNTCEEYHTVGPHSCHIPKDLALFTPYEIWVEATNRLGSARSDVLTLDILDVVTTDPPPDVHVSRVGGLEDQLSVRWVSPPALKDFLFQAKYQIRYRVEDSVDWKVVDDVSNQTSCRLAGLKPGTVYFVQVRCNPFGIYGSKKAGIWSEWSHPTAASTPRSERPGPGGGACEPRGGEPSSGPVRRELKQFLGWLKKHAYCSNLSFRLYDQWRAWMQKSHKTRNQDEGILPSGRRGTARGPAR
+>DECOY_sp|O75462|CRLF1_HUMAN Cytokine receptor-like factor 1 OS=Homo sapiens OX=9606 GN=CRLF1 PE=1 SV=1
+RAPGRATGRRGSPLIGEDQNRTKHSKQMWARWQDYLRFSLNSCYAHKKLWGLFQKLERRVPGSSPEGGRPECAGGGPGPRESRPTSAATPHSWESWIGAKKSGYIGFPNCRVQVFYVTGPKLGALRCSTQNSVDDVVKWDVSDEVRYRIQYKAQFLFDKLAPPSVWRVSLQDELGGVRSVHVDPPPDTTVVDLIDLTLVDSRASGLRNTAEVWIEYPTFLALDKPIHCSHPGVTHYEECTNDQGYWRLKYKLSYNTHLFTEGHAGPTWRCTLDKMNKSWCSINVPKEPPLGVYLCSGALISGDRAHCVLNDGSRQRSGNLNALALALTSANLVRSLEPPLRRGNLTWYLGEATAGPPDGHVSCTALLSSGILLTPDQPSIVATHAGSGARPAGLVCLLLLLPLLPPPRRASQAAPGRRGAPM
+>sp|Q8IVE0|CROL2_HUMAN Putative ciliary rootlet coiled-coil protein-like 2 protein OS=Homo sapiens OX=9606 GN=CROCCP3 PE=5 SV=1
+MRGRYEASQDLLGTLRKQLSDSESERRALEEQLQRLRDKTDSTMQAHEDAQREVQRLRSAKELLRREKSNLAHSLQVAQQQAKELRQERKKLQAAQEELRRQRYWLGEEQEDAVQDGVRVRRELERSHRQLEQLEGKRSVLAKELVEVREALSRATLQRDMLQAEKAEVAEALTKAEGRGGLPAGLPVQAERPQREPCSGQVGSEPPCHPGHSWRKKSAPCRAGSGRRSRRPQWHGKSRSGWRRCGWNRRWRGRAWRAPYERRSRPRRQWSSSSPRCIMSAAGCRSS
+>DECOY_sp|Q8IVE0|CROL2_HUMAN Putative ciliary rootlet coiled-coil protein-like 2 protein OS=Homo sapiens OX=9606 GN=CROCCP3 PE=5 SV=1
+SSRCGAASMICRPSSSSWQRRPRSRREYPARWARGRWRRNWGCRRWGSRSKGHWQPRRSRRGSGARCPASKKRWSHGPHCPPESGVQGSCPERQPREAQVPLGAPLGGRGEAKTLAEAVEAKEAQLMDRQLTARSLAERVEVLEKALVSRKGELQELQRHSRELERRVRVGDQVADEQEEGLWYRQRRLEEQAAQLKKREQRLEKAQQQAVQLSHALNSKERRLLEKASRLRQVERQADEHAQMTSDTKDRLRQLQEELARRESESDSLQKRLTGLLDQSAEYRGRM
+>sp|A8MQ03|CRTP1_HUMAN Cysteine-rich tail protein 1 OS=Homo sapiens OX=9606 GN=CYSRT1 PE=1 SV=1
+MDPQEMVVKNPYAHISIPRAHLRPDLGQQLEVASTCSSSSEMQPLPVGPCAPEPTHLLQPTEVPGPKGAKGNQGAAPIQNQQAWQQPGNPYSSSQRQAGLTYAGPPPAGRGDDIAHHCCCCPCCHCCHCPPFCRCHSCCCCVIS
+>DECOY_sp|A8MQ03|CRTP1_HUMAN Cysteine-rich tail protein 1 OS=Homo sapiens OX=9606 GN=CYSRT1 PE=1 SV=1
+SIVCCCCSHCRCFPPCHCCHCCPCCCCHHAIDDGRGAPPPGAYTLGAQRQSSSYPNGPQQWAQQNQIPAAGQNGKAGKPGPVETPQLLHTPEPACPGVPLPQMESSSSCTSAVELQQGLDPRLHARPISIHAYPNKVVMEQPDM
+>sp|Q5IJ48|CRUM2_HUMAN Protein crumbs homolog 2 OS=Homo sapiens OX=9606 GN=CRB2 PE=1 SV=2
+MALARPGTPDPQALASVLLLLLWAPALSLLAGTVPSEPPSACASDPCAPGTECQATESGGYTCGPMEPRGCATQPCHHGALCVPQGPDPTGFRCYCVPGFQGPRCELDIDECASRPCHHGATCRNLADRYECHCPLGYAGVTCEMEVDECASAPCLHGGSCLDGVGSFRCVCAPGYGGTRCQLDLDECQSQPCAHGGTCHDLVNGFRCDCAGTGYEGTHCEREVLECASAPCEHNASCLEGLGSFRCLCWPGYSGELCEVDEDECASSPCQHGGRCLQRSDPALYGGVQAAFPGAFSFRHAAGFLCHCPPGFEGADCGVEVDECASRPCLNGGHCQDLPNGFQCHCPDGYAGPTCEEDVDECLSDPCLHGGTCSDTVAGYICRCPETWGGRDCSVQLTGCQGHTCPLAATCIPIFESGVHSYVCHCPPGTHGPFCGQNTTFSVMAGSPIQASVPAGGPLGLALRFRTTLPAGTLATRNDTKESLELALVAATLQATLWSYSTTVLVLRLPDLALNDGHWHQVEVVLHLATLELRLWHEGCPARLCVASGPVALASTASATPLPAGISSAQLGDATFAGCLQDVRVDGHLLLPEDLGENVLLGCERREQCRPLPCVHGGSCVDLWTHFRCDCARPHRGPTCADEIPAATFGLGGAPSSASFLLQELPGPNLTVSFLLRTRESAGLLLQFANDSAAGLTVFLSEGRIRAEVPGSPAVVLPGRWDDGLRHLVMLSFGPDQLQDLGQHVHVGGRLLAADSQPWGGPFRGCLQDLRLDGCHLPFFPLPLDNSSQPSELGGRQSWNLTAGCVSEDMCSPDPCFNGGTCLVTWNDFHCTCPANFTGPTCAQQLWCPGQPCLPPATCEEVPDGFVCVAEATFREGPPAAFSGHNASSGRLLGGLSLAFRTRDSEAWLLRAAAGALEGVWLAVRNGSLAGGVRGGHGLPGAVLPIPGPRVADGAWHRVRLAMERPAATTSRWLLWLDGAATPVALRGLASDLGFLQGPGAVRILLAENFTGCLGRVALGGLPLPLARPRPGAAPGAREHFASWPGTPAPILGCRGAPVCAPSPCLHDGACRDLFDAFACACGPGWEGPRCEAHVDPCHSAPCARGRCHTHPDGRFECRCPPGFGGPRCRLPVPSKECSLNVTCLDGSPCEGGSPAANCSCLEGLAGQRCQVPTLPCEANPCLNGGTCRAAGGVSECICNARFSGQFCEVAKGLPLPLPFPLLEVAVPAACACLLLLLLGLLSGILAARKRRQSEGTYSPSQQEVAGARLEMDSVLKVPPEERLI
+>DECOY_sp|Q5IJ48|CRUM2_HUMAN Protein crumbs homolog 2 OS=Homo sapiens OX=9606 GN=CRB2 PE=1 SV=2
+ILREEPPVKLVSDMELRAGAVEQQSPSYTGESQRRKRAALIGSLLGLLLLLLCACAAPVAVELLPFPLPLPLGKAVECFQGSFRANCICESVGGAARCTGGNLCPNAECPLTPVQCRQGALGELCSCNAAPSGGECPSGDLCTVNLSCEKSPVPLRCRPGGFGPPCRCEFRGDPHTHCRGRACPASHCPDVHAECRPGEWGPGCACAFADFLDRCAGDHLCPSPACVPAGRCGLIPAPTGPWSAFHERAGPAAGPRPRALPLPLGGLAVRGLCGTFNEALLIRVAGPGQLFGLDSALGRLAVPTAAGDLWLLWRSTTAAPREMALRVRHWAGDAVRPGPIPLVAGPLGHGGRVGGALSGNRVALWVGELAGAAARLLWAESDRTRFALSLGGLLRGSSANHGSFAAPPGERFTAEAVCVFGDPVEECTAPPLCPQGPCWLQQACTPGTFNAPCTCHFDNWTVLCTGGNFCPDPSCMDESVCGATLNWSQRGGLESPQSSNDLPLPFFPLHCGDLRLDQLCGRFPGGWPQSDAALLRGGVHVHQGLDQLQDPGFSLMVLHRLGDDWRGPLVVAPSGPVEARIRGESLFVTLGAASDNAFQLLLGASERTRLLFSVTLNPGPLEQLLFSASSPAGGLGFTAAPIEDACTPGRHPRACDCRFHTWLDVCSGGHVCPLPRCQERRECGLLVNEGLDEPLLLHGDVRVDQLCGAFTADGLQASSIGAPLPTASATSALAVPGSAVCLRAPCGEHWLRLELTALHLVVEVQHWHGDNLALDPLRLVLVTTSYSWLTAQLTAAVLALELSEKTDNRTALTGAPLTTRFRLALGLPGGAPVSAQIPSGAMVSFTTNQGCFPGHTGPPCHCVYSHVGSEFIPICTAALPCTHGQCGTLQVSCDRGGWTEPCRCIYGAVTDSCTGGHLCPDSLCEDVDEECTPGAYGDPCHCQFGNPLDQCHGGNLCPRSACEDVEVGCDAGEFGPPCHCLFGAAHRFSFAGPFAAQVGGYLAPDSRQLCRGGHQCPSSACEDEDVECLEGSYGPWCLCRFSGLGELCSANHECPASACELVERECHTGEYGTGACDCRFGNVLDHCTGGHACPQSQCEDLDLQCRTGGYGPACVCRFSGVGDLCSGGHLCPASACEDVEMECTVGAYGLPCHCEYRDALNRCTAGHHCPRSACEDIDLECRPGQFGPVCYCRFGTPDPGQPVCLAGHHCPQTACGRPEMPGCTYGGSETAQCETGPACPDSACASPPESPVTGALLSLAPAWLLLLLVSALAQPDPTGPRALAM
+>sp|Q8NEA5|CS018_HUMAN Uncharacterized protein C19orf18 OS=Homo sapiens OX=9606 GN=C19orf18 PE=2 SV=1
+MDKVQSGFLILFLFLMECQLHLCLPYADGLHPTGNITGLPGSKRSQPPRNITKEPKVFFHKTQLPGIQGAASRSTAASPTNPMKFLRNKAIIRHRPALVKVILISSVAFSIALICGMAISYMIYRLAQAEERQQLESLYKNLRIPLLGDEEEGSEDEGESTHLLPENENELEKFIHSVIISKRSKNIKKKLKEEQNSVTENKTKNASHNGKMEDL
+>DECOY_sp|Q8NEA5|CS018_HUMAN Uncharacterized protein C19orf18 OS=Homo sapiens OX=9606 GN=C19orf18 PE=2 SV=1
+LDEMKGNHSANKTKNETVSNQEEKLKKKINKSRKSIIVSHIFKELENENEPLLHTSEGEDESGEEEDGLLPIRLNKYLSELQQREEAQALRYIMYSIAMGCILAISFAVSSILIVKVLAPRHRIIAKNRLFKMPNTPSAATSRSAAGQIGPLQTKHFFVKPEKTINRPPQSRKSGPLGTINGTPHLGDAYPLCLHLQCEMLFLFLILFGSQVKDM
+>sp|Q9H112|CST11_HUMAN Cystatin-11 OS=Homo sapiens OX=9606 GN=CST11 PE=1 SV=2
+MMAEPWQALQLLLAILLTLMALPYQARKKTFLSVHEVMAVENYAKDSLQWITDQYNKESDDKYHFRIFRVLKVQRQVTDHLEYHLNVEMQWTTCQKPETTNCVPQERELHKQVNCFFSVFAVPWFEQYKILNKSCSSD
+>DECOY_sp|Q9H112|CST11_HUMAN Cystatin-11 OS=Homo sapiens OX=9606 GN=CST11 PE=1 SV=2
+DSSCSKNLIKYQEFWPVAFVSFFCNVQKHLEREQPVCNTTEPKQCTTWQMEVNLHYELHDTVQRQVKLVRFIRFHYKDDSEKNYQDTIWQLSDKAYNEVAMVEHVSLFTKKRAQYPLAMLTLLIALLLQLAQWPEAMM
+>sp|Q12996|CSTF3_HUMAN Cleavage stimulation factor subunit 3 OS=Homo sapiens OX=9606 GN=CSTF3 PE=1 SV=1
+MSGDGATEQAAEYVPEKVKKAEKKLEENPYDLDAWSILIREAQNQPIDKARKTYERLVAQFPSSGRFWKLYIEAEIKAKNYDKVEKLFQRCLMKVLHIDLWKCYLSYVRETKGKLPSYKEKMAQAYDFALDKIGMEIMSYQIWVDYINFLKGVEAVGSYAENQRITAVRRVYQRGCVNPMINIEQLWRDYNKYEEGINIHLAKKMIEDRSRDYMNARRVAKEYETVMKGLDRNAPSVPPQNTPQEAQQVDMWKKYIQWEKSNPLRTEDQTLITKRVMFAYEQCLLVLGHHPDIWYEAAQYLEQSSKLLAEKGDMNNAKLFSDEAANIYERAISTLLKKNMLLYFAYADYEESRMKYEKVHSIYNRLLAIEDIDPTLVYIQYMKFARRAEGIKSGRMIFKKAREDTRTRHHVYVTAALMEYYCSKDKSVAFKIFELGLKKYGDIPEYVLAYIDYLSHLNEDNNTRVLFERVLTSGSLPPEKSGEIWARFLAFESNIGDLASILKVEKRRFTAFKEEYEGKETALLVDRYKFMDLYPCSASELKALGYKDVSRAKLAAIIPDPVVAPSIVPVLKDEVDRKPEYPKPDTQQMIPFQPRHLAPPGLHPVPGGVFPVPPAAVVLMKLLPPPICFQGPFVQVDELMEIFRRCKIPNTVEEAVRIITGGAPELAVEGNGPVESNAVLTKAVKRPNEDSDEDEEKGAVVPPVHDIYRARQQKRIR
+>DECOY_sp|Q12996|CSTF3_HUMAN Cleavage stimulation factor subunit 3 OS=Homo sapiens OX=9606 GN=CSTF3 PE=1 SV=1
+RIRKQQRARYIDHVPPVVAGKEEDEDSDENPRKVAKTLVANSEVPGNGEVALEPAGGTIIRVAEEVTNPIKCRRFIEMLEDVQVFPGQFCIPPPLLKMLVVAAPPVPFVGGPVPHLGPPALHRPQFPIMQQTDPKPYEPKRDVEDKLVPVISPAVVPDPIIAALKARSVDKYGLAKLESASCPYLDMFKYRDVLLATEKGEYEEKFATFRRKEVKLISALDGINSEFALFRAWIEGSKEPPLSGSTLVREFLVRTNNDENLHSLYDIYALVYEPIDGYKKLGLEFIKFAVSKDKSCYYEMLAATVYVHHRTRTDERAKKFIMRGSKIGEARRAFKMYQIYVLTPDIDEIALLRNYISHVKEYKMRSEEYDAYAFYLLMNKKLLTSIAREYINAAEDSFLKANNMDGKEALLKSSQELYQAAEYWIDPHHGLVLLCQEYAFMVRKTILTQDETRLPNSKEWQIYKKWMDVQQAEQPTNQPPVSPANRDLGKMVTEYEKAVRRANMYDRSRDEIMKKALHINIGEEYKNYDRWLQEINIMPNVCGRQYVRRVATIRQNEAYSGVAEVGKLFNIYDVWIQYSMIEMGIKDLAFDYAQAMKEKYSPLKGKTERVYSLYCKWLDIHLVKMLCRQFLKEVKDYNKAKIEAEIYLKWFRGSSPFQAVLREYTKRAKDIPQNQAERILISWADLDYPNEELKKEAKKVKEPVYEAAQETAGDGSM
+>sp|Q9H114|CSTL1_HUMAN Cystatin-like 1 OS=Homo sapiens OX=9606 GN=CSTL1 PE=2 SV=2
+MGIGCWRNPLLLLIALVLSAKLGHFQRWEGFQQKLMSKKNMNSTLNFFIQSYNNASNDTYLYRVQRLIRSQMQLTTGVEYIVTVKIGWTKCKRNDTSNSSCPLQSKKLRKSLICESLIYTMPWINYFQLWNNSCLEAEHVGRNLR
+>DECOY_sp|Q9H114|CSTL1_HUMAN Cystatin-like 1 OS=Homo sapiens OX=9606 GN=CSTL1 PE=2 SV=2
+RLNRGVHEAELCSNNWLQFYNIWPMTYILSECILSKRLKKSQLPCSSNSTDNRKCKTWGIKVTVIYEVGTTLQMQSRILRQVRYLYTDNSANNYSQIFFNLTSNMNKKSMLKQQFGEWRQFHGLKASLVLAILLLLPNRWCGIGM
+>sp|Q9H4D0|CSTN2_HUMAN Calsyntenin-2 OS=Homo sapiens OX=9606 GN=CLSTN2 PE=1 SV=2
+MLPGRLCWVPLLLALGVGSGSGGGGDSRQRRLLAAKVNKHKPWIETSYHGVITENNDTVILDPPLVALDKDAPVPFAGEICAFKIHGQELPFEAVVLNKTSGEGRLRAKSPIDCELQKEYTFIIQAYDCGAGPHETAWKKSHKAVVHIQVKDVNEFAPTFKEPAYKAVVTEGKIYDSILQVEAIDEDCSPQYSQICNYEIVTTDVPFAIDRNGNIRNTEKLSYDKQHQYEILVTAYDCGQKPAAQDTLVQVDVKPVCKPGWQDWTKRIEYQPGSGSMPLFPSIHLETCDGAVSSLQIVTELQTNYIGKGCDRETYSEKSLQKLCGASSGIIDLLPSPSAATNWTAGLLVDSSEMIFKFDGRQGAKVPDGIVPKNLTDQFTITMWMKHGPSPGVRAEKETILCNSDKTEMNRHHYALYVHNCRLVFLLRKDFDQADTFRPAEFHWKLDQICDKEWHYYVINVEFPVVTLYMDGATYEPYLVTNDWPIHPSHIAMQLTVGACWQGGEVTKPQFAQFFHGSLASLTIRPGKMESQKVISCLQACKEGLDINSLESLGQGIKYHFNPSQSILVMEGDDIGNINRALQKVSYINSRQFPTAGVRRLKVSSKVQCFGEDVCISIPEVDAYVMVLQAIEPRITLRGTDHFWRPAAQFESARGVTLFPDIKIVSTFAKTEAPGDVKTTDPKSEVLEEMLHNLDFCDILVIGGDLDPRQECLELNHSELHQRHLDATNSTAGYSIYGVGSMSRYEQVLHHIRYRNWRPASLEARRFRIKCSELNGRYTSNEFNLEVSILHEDQVSDKEHVNHLIVQPPFLQSVHHPESRSSIQHSSVVPSIATVVIIISVCMLVFVVAMGVYRVRIAHQHFIQETEAAKESEMDWDDSALTITVNPMEKHEGPGHGEDETEGEEEEEAEEEMSSSSGSDDSEEEEEEEGMGRGRHGQNGARQAQLEWDDSTLPY
+>DECOY_sp|Q9H4D0|CSTN2_HUMAN Calsyntenin-2 OS=Homo sapiens OX=9606 GN=CLSTN2 PE=1 SV=2
+YPLTSDDWELQAQRAGNQGHRGRGMGEEEEEEESDDSGSSSSMEEEAEEEEEGETEDEGHGPGEHKEMPNVTITLASDDWDMESEKAAETEQIFHQHAIRVRYVGMAVVFVLMCVSIIIVVTAISPVVSSHQISSRSEPHHVSQLFPPQVILHNVHEKDSVQDEHLISVELNFENSTYRGNLESCKIRFRRAELSAPRWNRYRIHHLVQEYRSMSGVGYISYGATSNTADLHRQHLESHNLELCEQRPDLDGGIVLIDCFDLNHLMEELVESKPDTTKVDGPAETKAFTSVIKIDPFLTVGRASEFQAAPRWFHDTGRLTIRPEIAQLVMVYADVEPISICVDEGFCQVKSSVKLRRVGATPFQRSNIYSVKQLARNINGIDDGEMVLISQSPNFHYKIGQGLSELSNIDLGEKCAQLCSIVKQSEMKGPRITLSALSGHFFQAFQPKTVEGGQWCAGVTLQMAIHSPHIPWDNTVLYPEYTAGDMYLTVVPFEVNIVYYHWEKDCIQDLKWHFEAPRFTDAQDFDKRLLFVLRCNHVYLAYHHRNMETKDSNCLITEKEARVGPSPGHKMWMTITFQDTLNKPVIGDPVKAGQRGDFKFIMESSDVLLGATWNTAASPSPLLDIIGSSAGCLKQLSKESYTERDCGKGIYNTQLETVIQLSSVAGDCTELHISPFLPMSGSGPQYEIRKTWDQWGPKCVPKVDVQVLTDQAAPKQGCDYATVLIEYQHQKDYSLKETNRINGNRDIAFPVDTTVIEYNCIQSYQPSCDEDIAEVQLISDYIKGETVVAKYAPEKFTPAFENVDKVQIHVVAKHSKKWATEHPGAGCDYAQIIFTYEKQLECDIPSKARLRGEGSTKNLVVAEFPLEQGHIKFACIEGAFPVPADKDLAVLPPDLIVTDNNETIVGHYSTEIWPKHKNVKAALLRRQRSDGGGGSGSGVGLALLLPVWCLRGPLM
+>sp|Q9NUB4|CT141_HUMAN Uncharacterized protein C20orf141 OS=Homo sapiens OX=9606 GN=C20orf141 PE=2 SV=1
+MTRLCLPRPEAREDPIPVPPRGLGAGEGSGSPVRPPVSTWGPSWAQLLDSVLWLGALGLTIQAVFSTTGPALLLLLVSFLTFDLLHRPAGHTLPQRKLLTRGQSQGAGEGPGQQEALLLQMGTVSGQLSLQDALLLLLMGLGPLLRACGMPLTLLGLAFCLHPWA
+>DECOY_sp|Q9NUB4|CT141_HUMAN Uncharacterized protein C20orf141 OS=Homo sapiens OX=9606 GN=C20orf141 PE=2 SV=1
+AWPHLCFALGLLTLPMGCARLLPGLGMLLLLLADQLSLQGSVTGMQLLLAEQQGPGEGAGQSQGRTLLKRQPLTHGAPRHLLDFTLFSVLLLLLAPGTTSFVAQITLGLAGLWLVSDLLQAWSPGWTSVPPRVPSGSGEGAGLGRPPVPIPDERAEPRPLCLRTM
+>sp|P0C5K6|CT18_HUMAN Putative tumor antigen NA88-A OS=Homo sapiens OX=9606 GN=VENTXP1 PE=5 SV=1
+MSPPSSMCSPVPLLAAASGQNRMTQGQHFLQKV
+>DECOY_sp|P0C5K6|CT18_HUMAN Putative tumor antigen NA88-A OS=Homo sapiens OX=9606 GN=VENTXP1 PE=5 SV=1
+VKQLFHQGQTMRNQGSAAALLPVPSCMSSPPSM
+>sp|Q5TEA3|CT194_HUMAN Uncharacterized protein C20orf194 OS=Homo sapiens OX=9606 GN=C20orf194 PE=1 SV=1
+MDVYPPRRQGLPRARSPGGSSRGSPSVSCSRLRQVQSILTQSSKSRPDGILCILGIDSRYNEGCRELANYLLFGLYNQNTSDFEKTGFSEEVLDDVIILIKSDSVHLYCNPVNFRYLLPYVAHWRNLHFHCMTENEYEDEEAAEEFKITSFVDMVRDCSRIGIPYSSQGHLQIFDMFVVEKWPIVQAFALEGIGGDGFFTMKYELQDVSLNLWNVYSKMDPMSLESLLSDDLVAFEHQWTSFFANFDTEIPFLLELSESQAGEPFRSYFSHGMISSHITENSPNRQPFVLFGNHSTRENLNAGNFNFPSEGHLVRSTGPGGSFAKHMVAQCVSPKGPLACSRTYFFGATHVPYLGGDSKLPKKTEQIRLLSQIYAAVIEAVLAGIACYAKTSSLTKAKEVAEQTLGSGLDSFELIPFKAALRSKMTFHIHAVNNQGRIVPLDSEDSLSFVKTACMAVYDIPDLLGGNGCLGSVVFSESFLTSQILVKEKDGTVTTETSSVVLTAAVPRFCSWLVEDNEVKLSEKTQQAVRGDESFLGTYLTGGEGAYLYSSNLQSWPEEGNVHFFSSGLLFSHCRHRSIIISKDHMNSISFYDGDSTSTVAALLIDFKSSLLPHLPVHFHGSSNFLMIALFPKSKIYQAFYSEVFSLWKQQDNSGISLKVIQEDGLSVEQKRLHSSAQKLFSALSQPAGEKRSSLKLLSAKLPELDWFLQHFAISSISQEPVMRTHLPVLLQQAEINTTHRIESDKVIISIVTGLPGCHASELCAFLVTLHKECGRWMVYRQIMDSSECFHAAHFQRYLSSALEAQQNRSARQSAYIRKKTRLLVVLQGYTDVIDVVQALQTHPDSNVKASFTIGAITACVEPMSCYMEHRFLFPKCLDQCSQGLVSNVVFTSHTTEQRHPLLVQLQSLIRAANPAAAFILAENGIVTRNEDIELILSENSFSSPEMLRSRYLMYPGWYEGKLNAGSVYPLMVQICVWFGRPLEKTRFVAKCKAIQSSIKPSPFSGNIYHILGKVKFSDSERTMEVCYNTLANSLSIMPVLEGPTPPPDSKSVSQDSSGQQECYLVFIGCSLKEDSIKDWLRQSAKQKPQRKALKTRGMLTQQEIRSIHVKRHLEPLPAGYFYNGTQFVNFFGDKTDFHPLMDQFMNDYVEEANREIEKYNQELEQQEYHDLFELKP
+>DECOY_sp|Q5TEA3|CT194_HUMAN Uncharacterized protein C20orf194 OS=Homo sapiens OX=9606 GN=C20orf194 PE=1 SV=1
+PKLEFLDHYEQQELEQNYKEIERNAEEVYDNMFQDMLPHFDTKDGFFNVFQTGNYFYGAPLPELHRKVHISRIEQQTLMGRTKLAKRQPKQKASQRLWDKISDEKLSCGIFVLYCEQQGSSDQSVSKSDPPPTPGELVPMISLSNALTNYCVEMTRESDSFKVKGLIHYINGSFPSPKISSQIAKCKAVFRTKELPRGFWVCIQVMLPYVSGANLKGEYWGPYMLYRSRLMEPSSFSNESLILEIDENRTVIGNEALIFAAAPNAARILSQLQVLLPHRQETTHSTFVVNSVLGQSCQDLCKPFLFRHEMYCSMPEVCATIAGITFSAKVNSDPHTQLAQVVDIVDTYGQLVVLLRTKKRIYASQRASRNQQAELASSLYRQFHAAHFCESSDMIQRYVMWRGCEKHLTVLFACLESAHCGPLGTVISIIVKDSEIRHTTNIEAQQLLVPLHTRMVPEQSISSIAFHQLFWDLEPLKASLLKLSSRKEGAPQSLASFLKQASSHLRKQEVSLGDEQIVKLSIGSNDQQKWLSFVESYFAQYIKSKPFLAIMLFNSSGHFHVPLHPLLSSKFDILLAAVTSTSDGDYFSISNMHDKSIIISRHRCHSFLLGSSFFHVNGEEPWSQLNSSYLYAGEGGTLYTGLFSEDGRVAQQTKESLKVENDEVLWSCFRPVAATLVVSSTETTVTGDKEKVLIQSTLFSESFVVSGLCGNGGLLDPIDYVAMCATKVFSLSDESDLPVIRGQNNVAHIHFTMKSRLAAKFPILEFSDLGSGLTQEAVEKAKTLSSTKAYCAIGALVAEIVAAYIQSLLRIQETKKPLKSDGGLYPVHTAGFFYTRSCALPGKPSVCQAVMHKAFSGGPGTSRVLHGESPFNFNGANLNERTSHNGFLVFPQRNPSNETIHSSIMGHSFYSRFPEGAQSESLELLFPIETDFNAFFSTWQHEFAVLDDSLLSELSMPDMKSYVNWLNLSVDQLEYKMTFFGDGGIGELAFAQVIPWKEVVFMDFIQLHGQSSYPIGIRSCDRVMDVFSTIKFEEAAEEDEYENETMCHFHLNRWHAVYPLLYRFNVPNCYLHVSDSKILIIVDDLVEESFGTKEFDSTNQNYLGFLLYNALERCGENYRSDIGLICLIGDPRSKSSQTLISQVQRLRSCSVSPSGRSSGGPSRARPLGQRRPPYVDM
+>sp|Q5HYN5|CT451_HUMAN Cancer/testis antigen family 45 member A1 OS=Homo sapiens OX=9606 GN=CT45A1 PE=1 SV=1
+MTDKTEKVAVDPETVFKRPRECDSPSYQKRQRMALLARKQGAGDSLIAGSAMSKAKKLMTGHAIPPSQLDSQIDDFTGFSKDRMMQKPGSNAPVGGNVTSSFSGDDLECRETASSPKSQREINADIKRKLVKELRCVGQKYEKIFEMLEGVQGPTAVRKRFFESIIKEAARCMRRDFVKHLKKKLKRMI
+>DECOY_sp|Q5HYN5|CT451_HUMAN Cancer/testis antigen family 45 member A1 OS=Homo sapiens OX=9606 GN=CT45A1 PE=1 SV=1
+IMRKLKKKLHKVFDRRMCRAAEKIISEFFRKRVATPGQVGELMEFIKEYKQGVCRLEKVLKRKIDANIERQSKPSSATERCELDDGSFSSTVNGGVPANSGPKQMMRDKSFGTFDDIQSDLQSPPIAHGTMLKKAKSMASGAILSDGAGQKRALLAMRQRKQYSPSDCERPRKFVTEPDVAVKETKDTM
+>sp|P0DMU9|CT45A_HUMAN Cancer/testis antigen family 45 member A10 OS=Homo sapiens OX=9606 GN=CT45A10 PE=1 SV=1
+MTDKTEKVAVDPETVFKRPRECDSPSYQKRQRMALLARKQGAGDSLIAGSAMSKEKKLMTGHAIPPSQLDSQIDDFTGFSKDGMMQKPGSNAPVGGNVTSNFSGDDLECRGIASSPKSQQEINADIKCQVVKEIRCLGRKYEKIFEMLEGVQGPTAVRKRFFESIIKEAARCMRRDFVKHLKKKLKRMI
+>DECOY_sp|P0DMU9|CT45A_HUMAN Cancer/testis antigen family 45 member A10 OS=Homo sapiens OX=9606 GN=CT45A10 PE=1 SV=1
+IMRKLKKKLHKVFDRRMCRAAEKIISEFFRKRVATPGQVGELMEFIKEYKRGLCRIEKVVQCKIDANIEQQSKPSSAIGRCELDDGSFNSTVNGGVPANSGPKQMMGDKSFGTFDDIQSDLQSPPIAHGTMLKKEKSMASGAILSDGAGQKRALLAMRQRKQYSPSDCERPRKFVTEPDVAVKETKDTM
+>sp|O75638|CTAG2_HUMAN Cancer/testis antigen 2 OS=Homo sapiens OX=9606 GN=CTAG2 PE=1 SV=2
+MQAEGQGTGGSTGDADGPGGPGIPDGPGGNAGGPGEAGATGGRGPRGAGAARASGPRGGAPRGPHGGAASAQDGRCPCGARRPDSRLLQLHITMPFSSPMEAELVRRILSRDAAPLPRPGAVLKDFTVSGNLLFMSVRDQDREGAGRMRVVGWGLGSASPEGQKARDLRTPKHKVSEQRPGTPGPPPPEGAQGDGCRGVAFNVMFSAPHI
+>DECOY_sp|O75638|CTAG2_HUMAN Cancer/testis antigen 2 OS=Homo sapiens OX=9606 GN=CTAG2 PE=1 SV=2
+IHPASFMVNFAVGRCGDGQAGEPPPPGPTGPRQESVKHKPTRLDRAKQGEPSASGLGWGVVRMRGAGERDQDRVSMFLLNGSVTFDKLVAGPRPLPAADRSLIRRVLEAEMPSSFPMTIHLQLLRSDPRRAGCPCRGDQASAAGGHPGRPAGGRPGSARAAGAGRPGRGGTAGAEGPGGANGGPGDPIGPGGPGDADGTSGGTGQGEAQM
+>sp|Q8WYA6|CTBL1_HUMAN Beta-catenin-like protein 1 OS=Homo sapiens OX=9606 GN=CTNNBL1 PE=1 SV=1
+MDVGELLSYQPNRGTKRPRDDEEEEQKMRRKQTGTRERGRYREEEMTVVEEADDDKKRLLQIIDRDGEEEEEEEEPLDESSVKKMILTFEKRSYKNQELRIKFPDNPEKFMESELDLNDIIQEMHVVATMPDLYHLLVELNAVQSLLGLLGHDNTDVSIAVVDLLQELTDIDTLHESEEGAEVLIDALVDGQVVALLVQNLERLDESVKEEADGVHNTLAIVENMAEFRPEMCTEGAQQGLLQWLLKRLKAKMPFDANKLYCSEVLAILLQDNDENRELLGELDGIDVLLQQLSVFKRHNPSTAEEQEMMENLFDSLCSCLMLSSNRERFLKGEGLQLMNLMLREKKISRSSALKVLDHAMIGPEGTDNCHKFVDILGLRTIFPLFMKSPRKIKKVGTTEKEHEEHVCSILASLLRNLRGQQRTRLLNKFTENDSEKVDRLMELHFKYLGAMQVADKKIEGEKHDMVRRGEIIDNDTEEEFYLRRLDAGLFVLQHICYIMAEICNANVPQIRQRVHQILNMRGSSIKIVRHIIKEYAENIGDGRSPEFRENEQKRILGLLENF
+>DECOY_sp|Q8WYA6|CTBL1_HUMAN Beta-catenin-like protein 1 OS=Homo sapiens OX=9606 GN=CTNNBL1 PE=1 SV=1
+FNELLGLIRKQENERFEPSRGDGINEAYEKIIHRVIKISSGRMNLIQHVRQRIQPVNANCIEAMIYCIHQLVFLGADLRRLYFEEETDNDIIEGRRVMDHKEGEIKKDAVQMAGLYKFHLEMLRDVKESDNETFKNLLRTRQQGRLNRLLSALISCVHEEHEKETTGVKKIKRPSKMFLPFITRLGLIDVFKHCNDTGEPGIMAHDLVKLASSRSIKKERLMLNMLQLGEGKLFRERNSSLMLCSCLSDFLNEMMEQEEATSPNHRKFVSLQQLLVDIGDLEGLLERNEDNDQLLIALVESCYLKNADFPMKAKLRKLLWQLLGQQAGETCMEPRFEAMNEVIALTNHVGDAEEKVSEDLRELNQVLLAVVQGDVLADILVEAGEESEHLTDIDTLEQLLDVVAISVDTNDHGLLGLLSQVANLEVLLHYLDPMTAVVHMEQIIDNLDLESEMFKEPNDPFKIRLEQNKYSRKEFTLIMKKVSSEDLPEEEEEEEEGDRDIIQLLRKKDDDAEEVVTMEEERYRGRERTGTQKRRMKQEEEEDDRPRKTGRNPQYSLLEGVDM
+>sp|Q2NKJ3|CTC1_HUMAN CST complex subunit CTC1 OS=Homo sapiens OX=9606 GN=CTC1 PE=1 SV=2
+MAAGRAQVPSSEQAWLEDAQVFIQKTLCPAVKEPNVQLTPLVIDCVKTVWLSQGRNQGSTLPLSYSFVSVQDLKTHQRLPCCSHLSWSSSAYQAWAQEAGPNGNPLPREQLLLLGTLTDLSADLEQECRNGSLYVRDNTGVLSCELIDLDLSWLGHLFLFPRWSYLPPARWNSSGEGHLELWDAPVPVFPLTISPGPVTPIPVLYPESASCLLRLRNKLRGVQRNLAGSLVRLSALVKSKQKAYFILSLGRSHPAVTHVSIIVQVPAQLVWHRALRPGTAYVLTELRVSKIRGQRQHVWMTSQSSRLLLLKPECVQELELELEGPLLEADPKPLPMPSNSEDKKDPESLVRYSRLLSYSGAVTGVLNEPAGLYELDGQLGLCLAYQQFRGLRRVMRPGVCLQLQDVHLLQSVGGGTRRPVLAPCLRGAVLLQSFSRQKPGAHSSRQAYGASLYEQLVWERQLGLPLYLWATKALEELACKLCPHVLRHHQFLQHSSPGSPSLGLQLLAPTLDLLAPPGSPVRNAHNEILEEPHHCPLQKYTRLQTPSSFPTLATLKEEGQRKAWASFDPKALLPLPEASYLPSCQLNRRLAWSWLCLLPSAFCPAQVLLGVLVASSHKGCLQLRDQSGSLPCLLLAKHSQPLSDPRLIGCLVRAERFQLIVERDVRSSFPSWKELSMPGFIQKQQARVYVQFFLADALILPVPRPCLHSATPSTPQTDPTGPEGPHLGQSRLFLLCHKEALMKRNFCVPPGASPEVPKPALSFYVLGSWLGGTQRKEGTGWGLPEPQGNDDNDQKVHLIFFGSSVRWFEFLHPGQVYRLIAPGPATPMLFEKDGSSCISRRPLELAGCASCLTVQDNWTLELESSQDIQDVLDANKSLPESSLTDLLSDNFTDSLVSFSAEILSRTLCEPLVASLWMKLGNTGAMRRCVKLTVALETAECEFPPHLDVYIEDPHLPPSLGLLPGARVHFSQLEKRVSRSHNVYCCFRSSTYVQVLSFPPETTISIPLPHIYLAELLQGGQSPFQATASCHIVSVFSLQLFWVCAYCTSICRQGKCTRLGSTCPTQTAISQAIIRLLVEDGTAEAVVTCRNHHVAAALGLCPREWASLLDFVQVPGRVVLQFAGPGAQLESSARVDEPMTMFLWTLCTSPSVLRPIVLSFELERKPSKIVPLEPPRLQRFQCGELPFLTHVNPRLRLSCLSIRESEYSSSLGILASSC
+>DECOY_sp|Q2NKJ3|CTC1_HUMAN CST complex subunit CTC1 OS=Homo sapiens OX=9606 GN=CTC1 PE=1 SV=2
+CSSALIGLSSSYESERISLCSLRLRPNVHTLFPLEGCQFRQLRPPELPVIKSPKRELEFSLVIPRLVSPSTCLTWLFMTMPEDVRASSELQAGPGAFQLVVRGPVQVFDLLSAWERPCLGLAAAVHHNRCTVVAEATGDEVLLRIIAQSIATQTPCTSGLRTCKGQRCISTCYACVWFLQLSFVSVIHCSATAQFPSQGGQLLEALYIHPLPISITTEPPFSLVQVYTSSRFCCYVNHSRSVRKELQSFHVRAGPLLGLSPPLHPDEIYVDLHPPFECEATELAVTLKVCRRMAGTNGLKMWLSAVLPECLTRSLIEASFSVLSDTFNDSLLDTLSSEPLSKNADLVDQIDQSSELELTWNDQVTLCSACGALELPRRSICSSGDKEFLMPTAPGPAILRYVQGPHLFEFWRVSSGFFILHVKQDNDDNGQPEPLGWGTGEKRQTGGLWSGLVYFSLAPKPVEPSAGPPVCFNRKMLAEKHCLLFLRSQGLHPGEPGTPDTQPTSPTASHLCPRPVPLILADALFFQVYVRAQQKQIFGPMSLEKWSPFSSRVDREVILQFREARVLCGILRPDSLPQSHKALLLCPLSGSQDRLQLCGKHSSAVLVGLLVQAPCFASPLLCLWSWALRRNLQCSPLYSAEPLPLLAKPDFSAWAKRQGEEKLTALTPFSSPTQLRTYKQLPCHHPEELIENHANRVPSGPPALLDLTPALLQLGLSPSGPSSHQLFQHHRLVHPCLKCALEELAKTAWLYLPLGLQREWVLQEYLSAGYAQRSSHAGPKQRSFSQLLVAGRLCPALVPRRTGGGVSQLLHVDQLQLCVGPRMVRRLGRFQQYALCLGLQGDLEYLGAPENLVGTVAGSYSLLRSYRVLSEPDKKDESNSPMPLPKPDAELLPGELELELEQVCEPKLLLLRSSQSTMWVHQRQGRIKSVRLETLVYATGPRLARHWVLQAPVQVIISVHTVAPHSRGLSLIFYAKQKSKVLASLRVLSGALNRQVGRLKNRLRLLCSASEPYLVPIPTVPGPSITLPFVPVPADWLELHGEGSSNWRAPPLYSWRPFLFLHGLWSLDLDILECSLVGTNDRVYLSGNRCEQELDASLDTLTGLLLLQERPLPNGNPGAEQAWAQYASSSWSLHSCCPLRQHTKLDQVSVFSYSLPLTSGQNRGQSLWVTKVCDIVLPTLQVNPEKVAPCLTKQIFVQADELWAQESSPVQARGAAM
+>sp|P49711|CTCF_HUMAN Transcriptional repressor CTCF OS=Homo sapiens OX=9606 GN=CTCF PE=1 SV=1
+MEGDAVEAIVEESETFIKGKERKTYQRRREGGQEEDACHLPQNQTDGGEVVQDVNSSVQMVMMEQLDPTLLQMKTEVMEGTVAPEAEAAVDDTQIITLQVVNMEEQPINIGELQLVQVPVPVTVPVATTSVEELQGAYENEVSKEGLAESEPMICHTLPLPEGFQVVKVGANGEVETLEQGELPPQEDPSWQKDPDYQPPAKKTKKTKKSKLRYTEEGKDVDVSVYDFEEEQQEGLLSEVNAEKVVGNMKPPKPTKIKKKGVKKTFQCELCSYTCPRRSNLDRHMKSHTDERPHKCHLCGRAFRTVTLLRNHLNTHTGTRPHKCPDCDMAFVTSGELVRHRRYKHTHEKPFKCSMCDYASVEVSKLKRHIRSHTGERPFQCSLCSYASRDTYKLKRHMRTHSGEKPYECYICHARFTQSGTMKMHILQKHTENVAKFHCPHCDTVIARKSDLGVHLRKQHSYIEQGKKCRYCDAVFHERYALIQHQKSHKNEKRFKCDQCDYACRQERHMIMHKRTHTGEKPYACSHCDKTFRQKQLLDMHFKRYHDPNFVPAAFVCSKCGKTFTRRNTMARHADNCAGPDGVEGENGGETKKSKRGRKRKMRSKKEDSSDSENAEPDLDDNEDEEEPAVEIEPEPEPQPVTPAPPPAKKRRGRPPGRTNQPKQNQPTAIIQVEDQNTGAIENIIVEVKKEPDAEPAEGEEEEAQPAATDAPNGDLTPEMILSMMDR
+>DECOY_sp|P49711|CTCF_HUMAN Transcriptional repressor CTCF OS=Homo sapiens OX=9606 GN=CTCF PE=1 SV=1
+RDMMSLIMEPTLDGNPADTAAPQAEEEEGEAPEADPEKKVEVIINEIAGTNQDEVQIIATPQNQKPQNTRGPPRGRRKKAPPPAPTVPQPEPEPEIEVAPEEEDENDDLDPEANESDSSDEKKSRMKRKRGRKSKKTEGGNEGEVGDPGACNDAHRAMTNRRTFTKGCKSCVFAAPVFNPDHYRKFHMDLLQKQRFTKDCHSCAYPKEGTHTRKHMIMHREQRCAYDCQDCKFRKENKHSKQHQILAYREHFVADCYRCKKGQEIYSHQKRLHVGLDSKRAIVTDCHPCHFKAVNETHKQLIHMKMTGSQTFRAHCIYCEYPKEGSHTRMHRKLKYTDRSAYSCLSCQFPREGTHSRIHRKLKSVEVSAYDCMSCKFPKEHTHKYRRHRVLEGSTVFAMDCDPCKHPRTGTHTNLHNRLLTVTRFARGCLHCKHPREDTHSKMHRDLNSRRPCTYSCLECQFTKKVGKKKIKTPKPPKMNGVVKEANVESLLGEQQEEEFDYVSVDVDKGEETYRLKSKKTKKTKKAPPQYDPDKQWSPDEQPPLEGQELTEVEGNAGVKVVQFGEPLPLTHCIMPESEALGEKSVENEYAGQLEEVSTTAVPVTVPVPVQVLQLEGINIPQEEMNVVQLTIIQTDDVAAEAEPAVTGEMVETKMQLLTPDLQEMMVMQVSSNVDQVVEGGDTQNQPLHCADEEQGGERRRQYTKREKGKIFTESEEVIAEVADGEM
+>sp|Q9GZU7|CTDS1_HUMAN Carboxy-terminal domain RNA polymerase II polypeptide A small phosphatase 1 OS=Homo sapiens OX=9606 GN=CTDSP1 PE=1 SV=1
+MDSSAVITQISKEEARGPLRGKGDQKSAASQKPRSRGILHSLFCCVCRDDGEALPAHSGAPLLVEENGAIPKQTPVQYLLPEAKAQDSDKICVVIDLDETLVHSSFKPVNNADFIIPVEIDGVVHQVYVLKRPHVDEFLQRMGELFECVLFTASLAKYADPVADLLDKWGAFRARLFRESCVFHRGNYVKDLSRLGRDLRRVLILDNSPASYVFHPDNAVPVASWFDNMSDTELHDLLPFFEQLSRVDDVYSVLRQPRPGS
+>DECOY_sp|Q9GZU7|CTDS1_HUMAN Carboxy-terminal domain RNA polymerase II polypeptide A small phosphatase 1 OS=Homo sapiens OX=9606 GN=CTDSP1 PE=1 SV=1
+SGPRPQRLVSYVDDVRSLQEFFPLLDHLETDSMNDFWSAVPVANDPHFVYSAPSNDLILVRRLDRGLRSLDKVYNGRHFVCSERFLRARFAGWKDLLDAVPDAYKALSATFLVCEFLEGMRQLFEDVHPRKLVYVQHVVGDIEVPIIFDANNVPKFSSHVLTEDLDIVVCIKDSDQAKAEPLLYQVPTQKPIAGNEEVLLPAGSHAPLAEGDDRCVCCFLSHLIGRSRPKQSAASKQDGKGRLPGRAEEKSIQTIVASSDM
+>sp|P0CG12|CTF8A_HUMAN Chromosome transmission fidelity protein 8 homolog isoform 2 OS=Homo sapiens OX=9606 GN=CHTF8 PE=1 SV=1
+MKEPRIFPRERPTPWTRAPLPPRGRLDGSLGPQGGPVLNTGHPLGVNSDPFLMAAGSLGGNLTPFPRNPSPFPASSGSLASNPAPFPAGARDPSMASFPRGMNPTGTGAVSFPRPGGLLGPGPGPGPTLNPRTGALPGPGPLSNPRLGGLPGPGPMSNPRAGGLLGAGPDPRGGGPMGPGSGPNLRAGVLLTSGNGPPNPRPVGLGPGPNPNLRSGFLGTNPAPRSGVFPGPGLGPNPRPSGLGPGPNLDARAGGLLGTGSGLNLRMAGPQGLDLAPILRAAGLLGANSASFSQASGNMGTSPSSMARVPGPMGPNSGPSSRGIGLPGPNPSPMSRAPGPIGPNSAHFSRPVGPMGVNANPFPRGAGSSAFSQSSGTLASNPATFQRSAGLQGSNPTIFPRASGPLGPNPANFPRATGLQGPSPTTFPRSTGPLGPGQVTFPRPAAGHLGPSPAGPVGINPAPFTRPTGTLGLNPASFPRMNGPAGKSFVPFPRVGSLPGTNPAAFPRPGGPMAAMYPNGMLPP
+>DECOY_sp|P0CG12|CTF8A_HUMAN Chromosome transmission fidelity protein 8 homolog isoform 2 OS=Homo sapiens OX=9606 GN=CHTF8 PE=1 SV=1
+PPLMGNPYMAAMPGGPRPFAAPNTGPLSGVRPFPVFSKGAPGNMRPFSAPNLGLTGTPRTFPAPNIGVPGAPSPGLHGAAPRPFTVQGPGLPGTSRPFTTPSPGQLGTARPFNAPNPGLPGSARPFITPNSGQLGASRQFTAPNSALTGSSQSFASSGAGRPFPNANVGMPGVPRSFHASNPGIPGPARSMPSPNPGPLGIGRSSPGSNPGMPGPVRAMSSPSTGMNGSAQSFSASNAGLLGAARLIPALDLGQPGAMRLNLGSGTGLLGGARADLNPGPGLGSPRPNPGLGPGPFVGSRPAPNTGLFGSRLNPNPGPGLGVPRPNPPGNGSTLLVGARLNPGSGPGMPGGGRPDPGAGLLGGARPNSMPGPGPLGGLRPNSLPGPGPLAGTRPNLTPGPGPGPGLLGGPRPFSVAGTGTPNMGRPFSAMSPDRAGAPFPAPNSALSGSSAPFPSPNRPFPTLNGGLSGAAMLFPDSNVGLPHGTNLVPGGQPGLSGDLRGRPPLPARTWPTPRERPFIRPEKM
+>sp|Q96RT6|CTGE2_HUMAN cTAGE family member 2 OS=Homo sapiens OX=9606 GN=CTAGE1 PE=1 SV=2
+MRPDSHPYGFPWELVIRAAVAGFFAVLFLWRSFRSVTSRLYVRREKKFAVALSGLIEEKCKLLEKFSLVQKEYEGYEVESSLKNASFEKEATEAQSLEATCEKLNRFNSELVHEILCLEKELKEEKSKHSEQNELMADISKRIQSLEDESKSLKSQVAEAKMTFKRFQANEERLEIEIQDAWKENSELQESQKQLLQEAEVWKEQVSELIKQKRTFEDSKVHAEQVLNDKENHIKTLTERLLKMKDGVAMLEEDVTDDDNLELEMNSESEDGAYLDNPPKGALKKLIHAAKLNASLKTLEGERNQIYIQLSEVDKTKEELTEHIKNLQTEQASLQSENTHFESENQKLQQKLKVMTELYQENEMKLYRKLIVEEKCRLEKEEKLSKVDEMISHATEELETYRKRAKDLKEFEKTIHFYQKKIILHEKKAHDNWSAAWTAERNLNDLRKENAHNRQKLTEIEFKIKLLEKDPYGLDVPNTAFGRQHSPYGPSPLGWPSSETRASLYPPTLLEGPLRLSPLLPRGGGRGSRGPGNPPDHQITKERGESSCDRLTDPHRAPSDAGPLAPPWEQDYRMMFPPPGQSYPDSALPPQRQDRFYSNCARLSGPAELRSFNMPSLDKMDGSMPSEMESSRNDTKDNLGNLKVPDSSLPAENEATGPGFVPPPLAPIRGLLFPVDTRGPFIRRGPPFPPPPPGTVFGASPDYFSPRDVPGPPRAPFAMRNVYLPRGFLPYRPPRPAFFPPAPTF
+>DECOY_sp|Q96RT6|CTGE2_HUMAN cTAGE family member 2 OS=Homo sapiens OX=9606 GN=CTAGE1 PE=1 SV=2
+FTPAPPFFAPRPPRYPLFGRPLYVNRMAFPARPPGPVDRPSFYDPSAGFVTGPPPPPFPPGRRIFPGRTDVPFLLGRIPALPPPVFGPGTAENEAPLSSDPVKLNGLNDKTDNRSSEMESPMSGDMKDLSPMNFSRLEAPGSLRACNSYFRDQRQPPLASDPYSQGPPPFMMRYDQEWPPALPGADSPARHPDTLRDCSSEGREKTIQHDPPNGPGRSGRGGGRPLLPSLRLPGELLTPPYLSARTESSPWGLPSPGYPSHQRGFATNPVDLGYPDKELLKIKFEIETLKQRNHANEKRLDNLNREATWAASWNDHAKKEHLIIKKQYFHITKEFEKLDKARKRYTELEETAHSIMEDVKSLKEEKELRCKEEVILKRYLKMENEQYLETMVKLKQQLKQNESEFHTNESQLSAQETQLNKIHETLEEKTKDVESLQIYIQNREGELTKLSANLKAAHILKKLAGKPPNDLYAGDESESNMELELNDDDTVDEELMAVGDKMKLLRETLTKIHNEKDNLVQEAHVKSDEFTRKQKILESVQEKWVEAEQLLQKQSEQLESNEKWADQIEIELREENAQFRKFTMKAEAVQSKLSKSEDELSQIRKSIDAMLENQESHKSKEEKLEKELCLIEHVLESNFRNLKECTAELSQAETAEKEFSANKLSSEVEYGEYEKQVLSFKELLKCKEEILGSLAVAFKKERRVYLRSTVSRFSRWLFLVAFFGAVAARIVLEWPFGYPHSDPRM
+>sp|Q96CG8|CTHR1_HUMAN Collagen triple helix repeat-containing protein 1 OS=Homo sapiens OX=9606 GN=CTHRC1 PE=1 SV=1
+MRPQGPAASPQRLRGLLLLLLLQLPAPSSASEIPKGKQKAQLRQREVVDLYNGMCLQGPAGVPGRDGSPGANGIPGTPGIPGRDGFKGEKGECLRESFEESWTPNYKQCSWSSLNYGIDLGKIAECTFTKMRSNSALRVLFSGSLRLKCRNACCQRWYFTFNGAECSGPLPIEAIIYLDQGSPEMNSTINIHRTSSVEGLCEGIGAGLVDVAIWVGTCSDYPKGDASTGWNSVSRIIIEELPK
+>DECOY_sp|Q96CG8|CTHR1_HUMAN Collagen triple helix repeat-containing protein 1 OS=Homo sapiens OX=9606 GN=CTHRC1 PE=1 SV=1
+KPLEEIIIRSVSNWGTSADGKPYDSCTGVWIAVDVLGAGIGECLGEVSSTRHINITSNMEPSGQDLYIIAEIPLPGSCEAGNFTFYWRQCCANRCKLRLSGSFLVRLASNSRMKTFTCEAIKGLDIGYNLSSWSCQKYNPTWSEEFSERLCEGKEGKFGDRGPIGPTGPIGNAGPSGDRGPVGAPGQLCMGNYLDVVERQRLQAKQKGKPIESASSPAPLQLLLLLLLGRLRQPSAAPGQPRM
+>sp|Q9UBT7|CTNL1_HUMAN Alpha-catulin OS=Homo sapiens OX=9606 GN=CTNNAL1 PE=1 SV=2
+MAASPGPAGVGGAGAVYGSGSSGFALDSGLEIKTRSVEQTLLPLVSQITTLINHKDNTKKSDKTLQAIQRVGQAVNLAVGRFVKVGEAIANENWDLKEEINIACIEAKQAGETIAALTDITNLNHLESDGQITIFTDKTGVIKAARLLLSSVTKVLLLADRVVIKQIITSRNKVLATMERLEKVNSFQEFVQIFSQFGNEMVEFAHLSGDRQNDLKDEKKKAKMAAARAVLEKCTMMLLTASKTCLRHPNCESAHKNKEGVFDRMKVALDKVIEIVTDCKPNGETDISSISIFTGIKEFKMNIEALRENLYFQSKENLSVTLEVILERMEDFTDSAYTSHEHRERILELSTQARMELQQLISVWIQAQSKKTKSIAEELELSILKISHSLNELKKELHSTATQLAADLLKYHADHVVLKALKLTGVEGNLEALAEYACKLSEQKEQLVETCRLLRHISGTEPLEITCIHAEETFQVTGQQIISAAETLTLHPSSKIAKENLDVFCEAWESQISDMSTLLREINDVFEGRRGEKYGYLSLPKPMKNNANLKSLKPDKPDSEEQAKIAKLGLKLGLLTSDADCEIEKWEDQENEIVQYGRNMSSMAYSLYLFTRGEGPLKTSQDLIHQLEVFAAEGLKLTSSVQAFSKQLKDDDKLMLLLEINKLIPLCHQLQTVTKTSLQNKVFLKVDKCITKTRSMMALLVQLLSLCYKLLKKLQMENNGWVSVTNKDTMDSKT
+>DECOY_sp|Q9UBT7|CTNL1_HUMAN Alpha-catulin OS=Homo sapiens OX=9606 GN=CTNNAL1 PE=1 SV=2
+TKSDMTDKNTVSVWGNNEMQLKKLLKYCLSLLQVLLAMMSRTKTICKDVKLFVKNQLSTKTVTQLQHCLPILKNIELLLMLKDDDKLQKSFAQVSSTLKLGEAAFVELQHILDQSTKLPGEGRTFLYLSYAMSSMNRGYQVIENEQDEWKEIECDADSTLLGLKLGLKAIKAQEESDPKDPKLSKLNANNKMPKPLSLYGYKEGRRGEFVDNIERLLTSMDSIQSEWAECFVDLNEKAIKSSPHLTLTEAASIIQQGTVQFTEEAHICTIELPETGSIHRLLRCTEVLQEKQESLKCAYEALAELNGEVGTLKLAKLVVHDAHYKLLDAALQTATSHLEKKLENLSHSIKLISLELEEAISKTKKSQAQIWVSILQQLEMRAQTSLELIRERHEHSTYASDTFDEMRELIVELTVSLNEKSQFYLNERLAEINMKFEKIGTFISISSIDTEGNPKCDTVIEIVKDLAVKMRDFVGEKNKHASECNPHRLCTKSATLLMMTCKELVARAAAMKAKKKEDKLDNQRDGSLHAFEVMENGFQSFIQVFEQFSNVKELREMTALVKNRSTIIQKIVVRDALLLVKTVSSLLLRAAKIVGTKDTFITIQGDSELHNLNTIDTLAAITEGAQKAEICAINIEEKLDWNENAIAEGVKVFRGVALNVAQGVRQIAQLTKDSKKTNDKHNILTTIQSVLPLLTQEVSRTKIELGSDLAFGSSGSGYVAGAGGVGAPGPSAAM
+>sp|O43246|CTR4_HUMAN Cationic amino acid transporter 4 OS=Homo sapiens OX=9606 GN=SLC7A4 PE=2 SV=3
+MARGLPTIASLARLCQKLNRLKPLEDSTMETSLRRCLSTLDLTLLGVGGMVGSGLYVLTGAVAKEVAGPAVLLSFGVAAVASLLAALCYAEFGARVPRTGSAYLFTYVSMGELWAFLIGWNVLLEYIIGGAAVARAWSGYLDSMFSHSIRNFTETHVGSWQVPLLGHYPDFLAAGIILLASAFVSCGARVSSWLNHTFSAISLLVILFIVILGFILAQPHNWSADEGGFAPFGFSGVMAGTASCFYAFVGFDVIAASSEEAQNPRRSVPLAIAISLAIAAGAYILVSTVLTLMVPWHSLDPDSALADAFYQRGYRWAGFIVAAGSICAMNTVLLSLLFSLPRIVYAMAADGLFFQVFAHVHPRTQVPVAGTLAFGLLTAFLALLLDLESLVQFLSLGTLLAYTFVATSIIVLRFQKSSPPSSPGPASPGPLTKQQSSFSDHLQLVGTVHASVPEPGELKPALRPYLGFLDGYSPGAVVTWALGVMLASAITIGCVLVFGNSTLHLPHWGYILLLLLTSVMFLLSLLVLGAHQQQYREDLFQIPMVPLIPALSIVLNICLMLKLSYLTWVRFSIWLLMGLAVYFGYGIRHSKENQRELPGLNSTHYVVFPRGSLEETVQAMQPPSQAPAQDPGHME
+>DECOY_sp|O43246|CTR4_HUMAN Cationic amino acid transporter 4 OS=Homo sapiens OX=9606 GN=SLC7A4 PE=2 SV=3
+EMHGPDQAPAQSPPQMAQVTEELSGRPFVVYHTSNLGPLERQNEKSHRIGYGFYVALGMLLWISFRVWTLYSLKLMLCINLVISLAPILPVMPIQFLDERYQQQHAGLVLLSLLFMVSTLLLLLIYGWHPLHLTSNGFVLVCGITIASALMVGLAWTVVAGPSYGDLFGLYPRLAPKLEGPEPVSAHVTGVLQLHDSFSSQQKTLPGPSAPGPSSPPSSKQFRLVIISTAVFTYALLTGLSLFQVLSELDLLLALFATLLGFALTGAVPVQTRPHVHAFVQFFLGDAAMAYVIRPLSFLLSLLVTNMACISGAAVIFGAWRYGRQYFADALASDPDLSHWPVMLTLVTSVLIYAGAAIALSIAIALPVSRRPNQAEESSAAIVDFGVFAYFCSATGAMVGSFGFPAFGGEDASWNHPQALIFGLIVIFLIVLLSIASFTHNLWSSVRAGCSVFASALLIIGAALFDPYHGLLPVQWSGVHTETFNRISHSFMSDLYGSWARAVAAGGIIYELLVNWGILFAWLEGMSVYTFLYASGTRPVRAGFEAYCLAALLSAVAAVGFSLLVAPGAVEKAVAGTLVYLGSGVMGGVGLLTLDLTSLCRRLSTEMTSDELPKLRNLKQCLRALSAITPLGRAM
+>sp|P40313|CTRL_HUMAN Chymotrypsin-like protease CTRL-1 OS=Homo sapiens OX=9606 GN=CTRL PE=2 SV=1
+MLLLSLTLSLVLLGSSWGCGIPAIKPALSFSQRIVNGENAVLGSWPWQVSLQDSSGFHFCGGSLISQSWVVTAAHCNVSPGRHFVVLGEYDRSSNAEPLQVLSVSRAITHPSWNSTTMNNDVTLLKLASPAQYTTRISPVCLASSNEALTEGLTCVTTGWGRLSGVGNVTPAHLQQVALPLVTVNQCRQYWGSSITDSMICAGGAGASSCQGDSGGPLVCQKGNTWVLIGIVSWGTKNCNVRAPAVYTRVSKFSTWINQVIAYN
+>DECOY_sp|P40313|CTRL_HUMAN Chymotrypsin-like protease CTRL-1 OS=Homo sapiens OX=9606 GN=CTRL PE=2 SV=1
+NYAIVQNIWTSFKSVRTYVAPARVNCNKTGWSVIGILVWTNGKQCVLPGGSDGQCSSAGAGGACIMSDTISSGWYQRCQNVTVLPLAVQQLHAPTVNGVGSLRGWGTTVCTLGETLAENSSALCVPSIRTTYQAPSALKLLTVDNNMTTSNWSPHTIARSVSLVQLPEANSSRDYEGLVVFHRGPSVNCHAATVVWSQSILSGGCFHFGSSDQLSVQWPWSGLVANEGNVIRQSFSLAPKIAPIGCGWSSGLLVLSLTLSLLLM
+>sp|P57076|CU059_HUMAN UPF0769 protein C21orf59 OS=Homo sapiens OX=9606 GN=C21orf59 PE=1 SV=1
+MVLLHVKRGDESQFLLQAPGSTELEELTVQVARVYNGRLKVQRLCSEMEELAEHGIFLPPNMQGLTDDQIEELKLKDEWGEKCVPSGGAVFKKDDIGRRNGQAPNEKMKQVLKKTIEEAKAIISKKQVEAGVCVTMEMVKDALDQLRGAVMIVYPMGLPPYDPIRMEFENKEDLSGTQAGLNVIKEAEAQLWWAAKELRRTKKLSDYVGKNEKTKIIAKIQQRGQGAPAREPIISSEEQKQLMLYYHRRQEELKRLEENDDDAYLNSPWADNTALKRHFHGVKDIKWRPR
+>DECOY_sp|P57076|CU059_HUMAN UPF0769 protein C21orf59 OS=Homo sapiens OX=9606 GN=C21orf59 PE=1 SV=1
+RPRWKIDKVGHFHRKLATNDAWPSNLYADDDNEELRKLEEQRRHYYLMLQKQEESSIIPERAPAGQGRQQIKAIIKTKENKGVYDSLKKTRRLEKAAWWLQAEAEKIVNLGAQTGSLDEKNEFEMRIPDYPPLGMPYVIMVAGRLQDLADKVMEMTVCVGAEVQKKSIIAKAEEITKKLVQKMKENPAQGNRRGIDDKKFVAGGSPVCKEGWEDKLKLEEIQDDTLGQMNPPLFIGHEALEEMESCLRQVKLRGNYVRAVQVTLEELETSGPAQLLFQSEDGRKVHLLVM
+>sp|P58512|CU067_HUMAN Uncharacterized protein encoded by LINC01547 OS=Homo sapiens OX=9606 GN=LINC01547 PE=2 SV=1
+MGWDCRRTTVENPSPIRNCVNQEWPEGSSPGLTEGNTGLVRDLRPAHQDRSGTREDPAGQETTAITNPSPSLAADLAGDALPGCLGAAAHQGPLLDRSSESTLGPQALELEHCHERGCCRGCASFSPFPAPRCPSERLGAHSSRWAIRGRSKINPPPWAPACLPGGFPACLPAPKSSTDSASSCFKGGREFSDPLDIPGAGAMG
+>DECOY_sp|P58512|CU067_HUMAN Uncharacterized protein encoded by LINC01547 OS=Homo sapiens OX=9606 GN=LINC01547 PE=2 SV=1
+GMAGAGPIDLPDSFERGGKFCSSASDTSSKPAPLCAPFGGPLCAPAWPPPNIKSRGRIAWRSSHAGLRESPCRPAPFPSFSACGRCCGREHCHELELAQPGLTSESSRDLLPGQHAAAGLCGPLADGALDAALSPSPNTIATTEQGAPDERTGSRDQHAPRLDRVLGTNGETLGPSSGEPWEQNVCNRIPSPNEVTTRRCDWGM
+>sp|P59037|CU084_HUMAN Putative uncharacterized protein encoded by LINC00313 OS=Homo sapiens OX=9606 GN=LINC00313 PE=5 SV=1
+MTTLSKSKQPSAAGNLDEQTPGECFCRSCFVTSSEVWKRWKHLDPACAADPWEAPTPRIEKPDGKECLGRTSCPRLA
+>DECOY_sp|P59037|CU084_HUMAN Putative uncharacterized protein encoded by LINC00313 OS=Homo sapiens OX=9606 GN=LINC00313 PE=5 SV=1
+ALRPCSTRGLCEKGDPKEIRPTPAEWPDAACAPDLHKWRKWVESSTVFCSRCFCEGPTQEDLNGAASPQKSKSLTTM
+>sp|Q6ZN03|CU136_HUMAN Putative uncharacterized protein encoded by LINC00322 OS=Homo sapiens OX=9606 GN=LINC00322 PE=5 SV=1
+MALLITPAGVATVNRHSTIPSDTHTSREKPRFHKPCRNDLESLLSEGRLDTSVQTPCPQHPHTQLSCEPQPLEHSSCLSTCLAGCFLPVPSSPHTHPLLPGSRWLPPPLALLMGTLSPGLAVKPSWVPRFPLLARQSPATSVGMPLSAATQPGSVGRLHFPKLRSSSPFSGHSDENKATGQGRENRDQPQRPSHLCECPEAAKQSATNGVAETNRSVFPLGSEARSLSLRRQESQPHSGSSRRESVSCSPSFWCCWQPLAFLTCGCAAPISVPGVTRPSPRPCCVSPPLVRLQSLGLGPTQI
+>DECOY_sp|Q6ZN03|CU136_HUMAN Putative uncharacterized protein encoded by LINC00322 OS=Homo sapiens OX=9606 GN=LINC00322 PE=5 SV=1
+IQTPGLGLSQLRVLPPSVCCPRPSPRTVGPVSIPAACGCTLFALPQWCCWFSPSCSVSERRSSGSHPQSEQRRLSLSRAESGLPFVSRNTEAVGNTASQKAAEPCECLHSPRQPQDRNERGQGTAKNEDSHGSFPSSSRLKPFHLRGVSGPQTAASLPMGVSTAPSQRALLPFRPVWSPKVALGPSLTGMLLALPPPLWRSGPLLPHTHPSSPVPLFCGALCTSLCSSHELPQPECSLQTHPHQPCPTQVSTDLRGESLLSELDNRCPKHFRPKERSTHTDSPITSHRNVTAVGAPTILLAM
+>sp|Q6P5X5|CV039_HUMAN UPF0545 protein C22orf39 OS=Homo sapiens OX=9606 GN=C22orf39 PE=1 SV=2
+MCRCSLVLLSVDHEVPFSSFFIGWRTEGRAWRAGRPDMADGSGWQPPRPCEAYRAEWKLCRSARHFLHHYYVHGERPACEQWQRDLASCRDWEERRNAEAQQSLCESERARVRAARKHILVWAPRQSPPPDWHLPLPQEKDE
+>DECOY_sp|Q6P5X5|CV039_HUMAN UPF0545 protein C22orf39 OS=Homo sapiens OX=9606 GN=C22orf39 PE=1 SV=2
+EDKEQPLPLHWDPPPSQRPAWVLIHKRAARVRARESECLSQQAEANRREEWDRCSALDRQWQECAPREGHVYYHHLFHRASRCLKWEARYAECPRPPQWGSGDAMDPRGARWARGETRWGIFFSSFPVEHDVSLLVLSCRCM
+>sp|Q9P013|CWC15_HUMAN Spliceosome-associated protein CWC15 homolog OS=Homo sapiens OX=9606 GN=CWC15 PE=1 SV=2
+MTTAARPTFEPARGGRGKGEGDLSQLSKQYSSRDLPSHTKIKYRQTTQDAPEEVRNRDFRRELEERERAAAREKNRDRPTREHTTSSSVSKKPRLDQIPAANLDADDPLTDEEDEDFEEESDDDDTAALLAELEKIKKERAEEQARKEQEQKAEEERIRMENILSGNPLLNLTGPSQPQANFKVKRRWDDDVVFKNCAKGVDDQKKDKRFVNDTLRSEFHKKFMEKYIK
+>DECOY_sp|Q9P013|CWC15_HUMAN Spliceosome-associated protein CWC15 homolog OS=Homo sapiens OX=9606 GN=CWC15 PE=1 SV=2
+KIYKEMFKKHFESRLTDNVFRKDKKQDDVGKACNKFVVDDDWRRKVKFNAQPQSPGTLNLLPNGSLINEMRIREEEAKQEQEKRAQEEAREKKIKELEALLAATDDDDSEEEFDEDEEDTLPDDADLNAAPIQDLRPKKSVSSSTTHERTPRDRNKERAAAREREELERRFDRNRVEEPADQTTQRYKIKTHSPLDRSSYQKSLQSLDGEGKGRGGRAPEFTPRAATTM
+>sp|Q9NXE8|CWC25_HUMAN Pre-mRNA-splicing factor CWC25 homolog OS=Homo sapiens OX=9606 GN=CWC25 PE=1 SV=1
+MGGGDLNLKKSWHPQTLRNVEKVWKAEQKHEAERKKIEELQRELREERAREEMQRYAEDVGAVKKKEEKLDWMYQGPGGMVNRDEYLLGRPIDKYVFEKMEEKEAGCSSETGLLPGSIFAPSGANSLLDMASKIREDPLFIIRKKEEEKKREVLNNPVKMKKIKELLQMSLEKKEKKKKKEKKKKHKKHKHRSSSSDRSSSEDEHSAGRSQKKMANSSPVLSKVPGYGLQVRNSDRNQGLQGPLTAEQKRGHGMKNHSRSRSSSHSPPRHASKKSTREAGSRDRRSRSLGRRSRSPRPSKLHNSKVNRRETGQTRSPSPKKEVYQRRHAPGYTRKLSAEELERKRQEMMENAKWREEERLNILKRHAKDEEREQRLEKLDSRDGKFIHRMKLESASTSSLEDRVKRNIYSLQRTSVALEKNFMKR
+>DECOY_sp|Q9NXE8|CWC25_HUMAN Pre-mRNA-splicing factor CWC25 homolog OS=Homo sapiens OX=9606 GN=CWC25 PE=1 SV=1
+RKMFNKELAVSTRQLSYINRKVRDELSSTSASELKMRHIFKGDRSDLKELRQEREEDKAHRKLINLREEERWKANEMMEQRKRELEEASLKRTYGPAHRRQYVEKKPSPSRTQGTERRNVKSNHLKSPRPSRSRRGLSRSRRDRSGAERTSKKSAHRPPSHSSSRSRSHNKMGHGRKQEATLPGQLGQNRDSNRVQLGYGPVKSLVPSSNAMKKQSRGASHEDESSSRDSSSSRHKHKKHKKKKEKKKKKEKKELSMQLLEKIKKMKVPNNLVERKKEEEKKRIIFLPDERIKSAMDLLSNAGSPAFISGPLLGTESSCGAEKEEMKEFVYKDIPRGLLYEDRNVMGGPGQYMWDLKEEKKKVAGVDEAYRQMEERAREERLERQLEEIKKREAEHKQEAKWVKEVNRLTQPHWSKKLNLDGGGM
+>sp|A6NGU7|CX028_HUMAN Putative uncharacterized protein encoded by LINC01546 OS=Homo sapiens OX=9606 GN=LINC01546 PE=5 SV=1
+MGELAASANHGHSPCYPERKGTPGDLSKRKMLVHFYPRRHSHPRATQQWILKNKTLCRRIKE
+>DECOY_sp|A6NGU7|CX028_HUMAN Putative uncharacterized protein encoded by LINC01546 OS=Homo sapiens OX=9606 GN=LINC01546 PE=5 SV=1
+EKIRRCLTKNKLIWQQTARPHSHRRPYFHVLMKRKSLDGPTGKREPYCPSHGHNASAALEGM
+>sp|Q8TE69|CX04A_HUMAN Protein CXorf40A OS=Homo sapiens OX=9606 GN=CXorf40A PE=1 SV=3
+MKFGCLSFRQPYAGFVLNGIKTVETRWRPLLSSQRNCTIAVHIAHRDWEGDAWRELLVERLGMTPAQIQALLRKGEKFGRGVIAGLVDIGETLQCPEDLTPDEVVELENQAVLTNLKQKYLTVISNPRWLLEPIPRKGGKDVFQVDIPEHLIPLGHEV
+>DECOY_sp|Q8TE69|CX04A_HUMAN Protein CXorf40A OS=Homo sapiens OX=9606 GN=CXorf40A PE=1 SV=3
+VEHGLPILHEPIDVQFVDKGGKRPIPELLWRPNSIVTLYKQKLNTLVAQNELEVVEDPTLDEPCQLTEGIDVLGAIVGRGFKEGKRLLAQIQAPTMGLREVLLERWADGEWDRHAIHVAITCNRQSSLLPRWRTEVTKIGNLVFGAYPQRFSLCGFKM
+>sp|A6NEN9|CX065_HUMAN Uncharacterized protein CXorf65 OS=Homo sapiens OX=9606 GN=CXorf65 PE=4 SV=1
+MFIFIKHGDNQQFLVNTNCAVVVLLYYIRSKVKLPKTNTIDLCEQTGKMKMLFLMKPNHAEYASKYLTARSTYYVCKVERGPPGTRLENAYRAFVPLLKNPEPWLLVALRIQCDALERRRIQMLKMKEAKKVVIIEPPASVPSKQSGRSDKKKSTRKSPTFRNRPDFRKNKGRQLNKTTKQKK
+>DECOY_sp|A6NEN9|CX065_HUMAN Uncharacterized protein CXorf65 OS=Homo sapiens OX=9606 GN=CXorf65 PE=4 SV=1
+KKQKTTKNLQRGKNKRFDPRNRFTPSKRTSKKKDSRGSQKSPVSAPPEIIVVKKAEKMKLMQIRRRELADCQIRLAVLLWPEPNKLLPVFARYANELRTGPPGREVKCVYYTSRATLYKSAYEAHNPKMLFLMKMKGTQECLDITNTKPLKVKSRIYYLLVVVACNTNVLFQQNDGHKIFIFM
+>sp|P24310|CX7A1_HUMAN Cytochrome c oxidase subunit 7A1, mitochondrial OS=Homo sapiens OX=9606 GN=COX7A1 PE=1 SV=2
+MQALRVSQALIRSFSSTARNRFQNRVREKQKLFQEDNDIPLYLKGGIVDNILYRVTMTLCLGGTVYSLYSLGWASFPRN
+>DECOY_sp|P24310|CX7A1_HUMAN Cytochrome c oxidase subunit 7A1, mitochondrial OS=Homo sapiens OX=9606 GN=COX7A1 PE=1 SV=2
+NRPFSAWGLSYLSYVTGGLCLTMTVRYLINDVIGGKLYLPIDNDEQFLKQKERVRNQFRNRATSSFSRILAQSVRLAQM
+>sp|P35212|CXA4_HUMAN Gap junction alpha-4 protein OS=Homo sapiens OX=9606 GN=GJA4 PE=1 SV=3
+MGDWGFLEKLLDQVQEHSTVVGKIWLTVLFIFRILILGLAGESVWGDEQSDFECNTAQPGCTNVCYDQAFPISHIRYWVLQFLFVSTPTLVYLGHVIYLSRREERLRQKEGELRALPAKDPQVERALAAVERQMAKISVAEDGRLRIRGALMGTYVASVLCKSVLEAGFLYGQWRLYGWTMEPVFVCQRAPCPYLVDCFVSRPTEKTIFIIFMLVVGLISLVLNLLELVHLLCRCLSRGMRARQGQDAPPTQGTSSDPYTDQVFFYLPVGQGPSSPPCPTYNGLSSSEQNWANLTTEERLASSRPPLFLDPPPQNGQKPPSRPSSSASKKQYV
+>DECOY_sp|P35212|CXA4_HUMAN Gap junction alpha-4 protein OS=Homo sapiens OX=9606 GN=GJA4 PE=1 SV=3
+VYQKKSASSSPRSPPKQGNQPPPDLFLPPRSSALREETTLNAWNQESSSLGNYTPCPPSSPGQGVPLYFFVQDTYPDSSTGQTPPADQGQRARMGRSLCRCLLHVLELLNLVLSILGVVLMFIIFITKETPRSVFCDVLYPCPARQCVFVPEMTWGYLRWQGYLFGAELVSKCLVSAVYTGMLAGRIRLRGDEAVSIKAMQREVAALAREVQPDKAPLARLEGEKQRLREERRSLYIVHGLYVLTPTSVFLFQLVWYRIHSIPFAQDYCVNTCGPQATNCEFDSQEDGWVSEGALGLILIRFIFLVTLWIKGVVTSHEQVQDLLKELFGWDGM
+>sp|P36382|CXA5_HUMAN Gap junction alpha-5 protein OS=Homo sapiens OX=9606 GN=GJA5 PE=1 SV=3
+MGDWSFLGNFLEEVHKHSTVVGKVWLTVLFIFRMLVLGTAAESSWGDEQADFRCDTIQPGCQNVCYDQAFPISHIRYWVLQIIFVSTPSLVYMGHAMHTVRMQEKRKLREAERAKEVRGSGSYEYPVAEKAELSCWEEGNGRIALQGTLLNTYVCSILIRTTMEVGFIVGQYFIYGIFLTTLHVCRRSPCPHPVNCYVSRPTEKNVFIVFMLAVAALSLLLSLAELYHLGWKKIRQRFVKPRQHMAKCQLSGPSVGIVQSCTPPPDFNQCLENGPGGKFFNPFSNNMASQQNTDNLVTEQVRGQEQTPGEGFIQVRYGQKPEVPNGVSPGHRLPHGYHSDKRRLSKASSKARSDDLSV
+>DECOY_sp|P36382|CXA5_HUMAN Gap junction alpha-5 protein OS=Homo sapiens OX=9606 GN=GJA5 PE=1 SV=3
+VSLDDSRAKSSAKSLRRKDSHYGHPLRHGPSVGNPVEPKQGYRVQIFGEGPTQEQGRVQETVLNDTNQQSAMNNSFPNFFKGGPGNELCQNFDPPPTCSQVIGVSPGSLQCKAMHQRPKVFRQRIKKWGLHYLEALSLLLSLAAVALMFVIFVNKETPRSVYCNVPHPCPSRRCVHLTTLFIGYIFYQGVIFGVEMTTRILISCVYTNLLTGQLAIRGNGEEWCSLEAKEAVPYEYSGSGRVEKAREAERLKRKEQMRVTHMAHGMYVLSPTSVFIIQLVWYRIHSIPFAQDYCVNQCGPQITDCRFDAQEDGWSSEAATGLVLMRFIFLVTLWVKGVVTSHKHVEELFNGLFSWDGM
+>sp|P13498|CY24A_HUMAN Cytochrome b-245 light chain OS=Homo sapiens OX=9606 GN=CYBA PE=1 SV=3
+MGQIEWAMWANEQALASGLILITGGIVATAGRFTQWYFGAYSIVAGVFVCLLEYPRGKRKKGSTMERWGQKYMTAVVKLFGPFTRNYYVRAVLHLLLSVPAGFLLATILGTACLAIASGIYLLAAVRGEQWTPIEPKPRERPQIGGTIKQPPSNPPPRPPAEARKKPSEEEAAVAAGGPPGGPQVNPIPVTDEVV
+>DECOY_sp|P13498|CY24A_HUMAN Cytochrome b-245 light chain OS=Homo sapiens OX=9606 GN=CYBA PE=1 SV=3
+VVEDTVPIPNVQPGGPPGGAAVAAEEESPKKRAEAPPRPPPNSPPQKITGGIQPRERPKPEIPTWQEGRVAALLYIGSAIALCATGLITALLFGAPVSLLLHLVARVYYNRTFPGFLKVVATMYKQGWREMTSGKKRKGRPYELLCVFVGAVISYAGFYWQTFRGATAVIGGTILILGSALAQENAWMAWEIQGM
+>sp|P04839|CY24B_HUMAN Cytochrome b-245 heavy chain OS=Homo sapiens OX=9606 GN=CYBB PE=1 SV=2
+MGNWAVNEGLSIFVILVWLGLNVFLFVWYYRVYDIPPKFFYTRKLLGSALALARAPAACLNFNCMLILLPVCRNLLSFLRGSSACCSTRVRRQLDRNLTFHKMVAWMIALHSAIHTIAHLFNVEWCVNARVNNSDPYSVALSELGDRQNESYLNFARKRIKNPEGGLYLAVTLLAGITGVVITLCLILIITSSTKTIRRSYFEVFWYTHHLFVIFFIGLAIHGAERIVRGQTAESLAVHNITVCEQKISEWGKIKECPIPQFAGNPPMTWKWIVGPMFLYLCERLVRFWRSQQKVVITKVVTHPFKTIELQMKKKGFKMEVGQYIFVKCPKVSKLEWHPFTLTSAPEEDFFSIHIRIVGDWTEGLFNACGCDKQEFQDAWKLPKIAVDGPFGTASEDVFSYEVVMLVGAGIGVTPFASILKSVWYKYCNNATNLKLKKIYFYWLCRDTHAFEWFADLLQLLESQMQERNNAGFLSYNIYLTGWDESQANHFAVHHDEEKDVITGLKQKTLYGRPNWDNEFKTIASQHPNTRIGVFLCGPEALAETLSKQSISNSESGPRGVHFIFNKENF
+>DECOY_sp|P04839|CY24B_HUMAN Cytochrome b-245 heavy chain OS=Homo sapiens OX=9606 GN=CYBB PE=1 SV=2
+FNEKNFIFHVGRPGSESNSISQKSLTEALAEPGCLFVGIRTNPHQSAITKFENDWNPRGYLTKQKLGTIVDKEEDHHVAFHNAQSEDWGTLYINYSLFGANNREQMQSELLQLLDAFWEFAHTDRCLWYFYIKKLKLNTANNCYKYWVSKLISAFPTVGIGAGVLMVVEYSFVDESATGFPGDVAIKPLKWADQFEQKDCGCANFLGETWDGVIRIHISFFDEEPASTLTFPHWELKSVKPCKVFIYQGVEMKFGKKKMQLEITKFPHTVVKTIVVKQQSRWFRVLRECLYLFMPGVIWKWTMPPNGAFQPIPCEKIKGWESIKQECVTINHVALSEATQGRVIREAGHIALGIFFIVFLHHTYWFVEFYSRRITKTSSTIILILCLTIVVGTIGALLTVALYLGGEPNKIRKRAFNLYSENQRDGLESLAVSYPDSNNVRANVCWEVNFLHAITHIASHLAIMWAVMKHFTLNRDLQRRVRTSCCASSGRLFSLLNRCVPLLILMCNFNLCAAPARALALASGLLKRTYFFKPPIDYVRYYWVFLFVNLGLWVLIVFISLGENVAWNGM
+>sp|Q6ZMK1|CYHR1_HUMAN Cysteine and histidine-rich protein 1 OS=Homo sapiens OX=9606 GN=CYHR1 PE=1 SV=2
+MAPKPGAEWSTALSHLVLGVVSLHAAVSTAEASRGAAAGFLLQVLAATTTLAPGLSTHEDCLAGAWVATVIGLPLLAFDFHWCTNGHLMCAGCFIHLLADARLKEEQATCPNCRCEISKSLCCRNLAVEKAVSELPSECGFCLRQFPRSLLERHQKEECQDRVTQCKYKRIGCPWHGPFHELTVHEAACAHPTKTGSELMEILDGMDQSHRKEMQLYNSIFSLLSFEKIGYTEVQFRPYRTDDFITRLYYETPRFTVLNQTWVLKARVNDSERNPNLSCKRTLSFQLLLKSKVTAPLECSFLLLKGPYDDVRISPVIYHFVFTNESNETDYVPLPIIDSVECNKLLAAKNINLRLFLFQIQK
+>DECOY_sp|Q6ZMK1|CYHR1_HUMAN Cysteine and histidine-rich protein 1 OS=Homo sapiens OX=9606 GN=CYHR1 PE=1 SV=2
+KQIQFLFLRLNINKAALLKNCEVSDIIPLPVYDTENSENTFVFHYIVPSIRVDDYPGKLLLFSCELPATVKSKLLLQFSLTRKCSLNPNRESDNVRAKLVWTQNLVTFRPTEYYLRTIFDDTRYPRFQVETYGIKEFSLLSFISNYLQMEKRHSQDMGDLIEMLESGTKTPHACAAEHVTLEHFPGHWPCGIRKYKCQTVRDQCEEKQHRELLSRPFQRLCFGCESPLESVAKEVALNRCCLSKSIECRCNPCTAQEEKLRADALLHIFCGACMLHGNTCWHFDFALLPLGIVTAVWAGALCDEHTSLGPALTTTAALVQLLFGAAAGRSAEATSVAAHLSVVGLVLHSLATSWEAGPKPAM
+>sp|Q9NRR1|CYTL1_HUMAN Cytokine-like protein 1 OS=Homo sapiens OX=9606 GN=CYTL1 PE=1 SV=1
+MRTPGPLPVLLLLLAGAPAARPTPPTCYSRMRALSQEITRDFNLLQVSEPSEPCVRYLPRLYLDIHNYCVLDKLRDFVASPPCWKVAQVDSLKDKARKLYTIMNSFCRRDLVFLLDDCNALEYPIPVTTVLPDRQR
+>DECOY_sp|Q9NRR1|CYTL1_HUMAN Cytokine-like protein 1 OS=Homo sapiens OX=9606 GN=CYTL1 PE=1 SV=1
+RQRDPLVTTVPIPYELANCDDLLFVLDRRCFSNMITYLKRAKDKLSDVQAVKWCPPSAVFDRLKDLVCYNHIDLYLRPLYRVCPESPESVQLLNFDRTIEQSLARMRSYCTPPTPRAAPAGALLLLLVPLPGPTRM
+>sp|P01037|CYTN_HUMAN Cystatin-SN OS=Homo sapiens OX=9606 GN=CST1 PE=1 SV=3
+MAQYLSTLLLLLATLAVALAWSPKEEDRIIPGGIYNADLNDEWVQRALHFAISEYNKATKDDYYRRPLRVLRARQQTVGGVNYFFDVEVGRTICTKSQPNLDTCAFHEQPELQKKQLCSFEIYEVPWENRRSLVKSRCQES
+>DECOY_sp|P01037|CYTN_HUMAN Cystatin-SN OS=Homo sapiens OX=9606 GN=CST1 PE=1 SV=3
+SEQCRSKVLSRRNEWPVEYIEFSCLQKKQLEPQEHFACTDLNPQSKTCITRGVEVDFFYNVGGVTQQRARLVRLPRRYYDDKTAKNYESIAFHLARQVWEDNLDANYIGGPIIRDEEKPSWALAVALTALLLLLTSLYQAM
+>sp|Q8NEP3|DAAF1_HUMAN Dynein assembly factor 1, axonemal OS=Homo sapiens OX=9606 GN=DNAAF1 PE=1 SV=5
+MHPEPSEPATGGAAELDCAQEPGVEESAGDHGSAGRGGCKEEINDPKEICVGSSDTSYHSQQKQSGDNGSGGHFAHPREDREDRGPRMTKSSLQKLCKQHKLYITPALNDTLYLHFKGFDRIENLEEYTGLRCLWLQSNGIQKIENLEAQTELRCLFLQMNLLRKIENLEPLQKLDALNLSNNYIKTIENLSCLPVLNTLQMAHNHLETVEDIQHLQECLRLCVLDLSHNKLSDPEILSILESMPDLRVLNLMGNPVIRQIPNYRRTVTVRLKHLTYLDDRPVFPKDRACAEAWARGGYAAEKEERQQWESRERKKITDSIEALAMIKQRAEERKRQRESQERGEMTSSDDGENVPASAEGKEEPPGDRETRQKMELFVKESFEAKDELCPEKPSGEEPPVEAKREDGGPEPEGTLPAETLLLSSPVEVKGEDGDGEPEGTLPAEAPPPPPPVEVKGEDGDQEPEGTLPAETLLLSPPVKVKGEDGDREPEGTLPAEAPPPPPLGAAREEPTPQAVATEGVFVTELDGTRTEDLETIRLETKETFCIDDLPDLEDDDETGKSLEDQNMCFPKIEVISSLSDDSDPELDYTSLPVLENLPTDTLSNIFAVSKDTSKAARVPFTDIFKKEAKRDLEIRKQDTKSPRPLIQELSDEDPSGQLLMPPTCQRDAAPLTSSGDRDSDFLAASSPVPTESAATPPETCVGVAQPSQALPTWDLTAFPAPKAS
+>DECOY_sp|Q8NEP3|DAAF1_HUMAN Dynein assembly factor 1, axonemal OS=Homo sapiens OX=9606 GN=DNAAF1 PE=1 SV=5
+SAKPAPFATLDWTPLAQSPQAVGVCTEPPTAASETPVPSSAALFDSDRDGSSTLPAADRQCTPPMLLQGSPDEDSLEQILPRPSKTDQKRIELDRKAEKKFIDTFPVRAAKSTDKSVAFINSLTDTPLNELVPLSTYDLEPDSDDSLSSIVEIKPFCMNQDELSKGTEDDDELDPLDDICFTEKTELRITELDETRTGDLETVFVGETAVAQPTPEERAAGLPPPPPAEAPLTGEPERDGDEGKVKVPPSLLLTEAPLTGEPEQDGDEGKVEVPPPPPPAEAPLTGEPEGDGDEGKVEVPSSLLLTEAPLTGEPEPGGDERKAEVPPEEGSPKEPCLEDKAEFSEKVFLEMKQRTERDGPPEEKGEASAPVNEGDDSSTMEGREQSERQRKREEARQKIMALAEISDTIKKRERSEWQQREEKEAAYGGRAWAEACARDKPFVPRDDLYTLHKLRVTVTRRYNPIQRIVPNGMLNLVRLDPMSELISLIEPDSLKNHSLDLVCLRLCEQLHQIDEVTELHNHAMQLTNLVPLCSLNEITKIYNNSLNLADLKQLPELNEIKRLLNMQLFLCRLETQAELNEIKQIGNSQLWLCRLGTYEELNEIRDFGKFHLYLTDNLAPTIYLKHQKCLKQLSSKTMRPGRDERDERPHAFHGGSGNDGSQKQQSHYSTDSSGVCIEKPDNIEEKCGGRGASGHDGASEEVGPEQACDLEAAGGTAPESPEPHM
+>sp|Q86Y56|DAAF5_HUMAN Dynein assembly factor 5, axonemal OS=Homo sapiens OX=9606 GN=DNAAF5 PE=1 SV=4
+MAALGVAEAVAAPHPAEGAETAEAVELSRALSRLLPGLEADSKPGRRRALEALRRALEEPGPAADPTAFQGPWARLLLPRLLRCLSDPAEGCRALAVHLLDLGLRRAARPRDALPRLLPALAARLAGPVPARRPPEACEELRLALVQLLGLAVDLCGAALAPHLDDALRALRCSLLDPFAAVRRESCSCAAALAQATPDHFHMQSESLIGPLMQTISHQHWKVRVAAIEATGAVIHFGNGKSVDDVLSHFAQRLFDDVPQVRRAVASVVGGWLLCLRDRYSFFHKLIPLLLSSLNDEVPEVRQLAASLWEDVGLQWQKENEEDLKDKLDFAPPTPPHYPPHERRPVLGCRELVFRNLSKILPALCHDITDWVVGTRVKSAQLLPVLLLHAEDHATQHLEVVLRTLFQACTDEEAAVVQSCTRSAELVGTFVSPEVFLKLILSTLKKTPSASGLLVLASAMRGCPREALQPHLAAIATELAQAHICQASENDLYLERLLLCVQALVSVCHEDCGVASLQLLDVLLTIVALAGATGLRDKAQETMDSLAMVEGVSSCQDLYRKHIGPLLERVTASHLDWTAHSPELLQFSVIVAQSGPALGEALPHVVPTLRACLQPSQDPQMRLKLFSILSTVLLRATDTINSQGQFPSYLETVTKDILAPNLQWHAGRTAAAIRTAAVSCLWALTSSEVLSAEQIRDVQETLMPQVLTTLEEDSKMTRLISCRIINTFLKTSGGMTDPEKLIRIYPELLKRLDDVSNDVRMAAASTLVTWLQCVKGANAKSYYQSSVQYLYRELLVHLDDPERAIQDAILEVLKEGSGLFPDLLVRETEAVIHKHRSATYCEQLLQHVQAVPATQ
+>DECOY_sp|Q86Y56|DAAF5_HUMAN Dynein assembly factor 5, axonemal OS=Homo sapiens OX=9606 GN=DNAAF5 PE=1 SV=4
+QTAPVAQVHQLLQECYTASRHKHIVAETERVLLDPFLGSGEKLVELIADQIAREPDDLHVLLERYLYQVSSQYYSKANAGKVCQLWTVLTSAAAMRVDNSVDDLRKLLEPYIRILKEPDTMGGSTKLFTNIIRCSILRTMKSDEELTTLVQPMLTEQVDRIQEASLVESSTLAWLCSVAATRIAAATRGAHWQLNPALIDKTVTELYSPFQGQSNITDTARLLVTSLISFLKLRMQPDQSPQLCARLTPVVHPLAEGLAPGSQAVIVSFQLLEPSHATWDLHSATVRELLPGIHKRYLDQCSSVGEVMALSDMTEQAKDRLGTAGALAVITLLVDLLQLSAVGCDEHCVSVLAQVCLLLRELYLDNESAQCIHAQALETAIAALHPQLAERPCGRMASALVLLGSASPTKKLTSLILKLFVEPSVFTGVLEASRTCSQVVAAEEDTCAQFLTRLVVELHQTAHDEAHLLLVPLLQASKVRTGVVWDTIDHCLAPLIKSLNRFVLERCGLVPRREHPPYHPPTPPAFDLKDKLDEENEKQWQLGVDEWLSAALQRVEPVEDNLSSLLLPILKHFFSYRDRLCLLWGGVVSAVARRVQPVDDFLRQAFHSLVDDVSKGNGFHIVAGTAEIAAVRVKWHQHSITQMLPGILSESQMHFHDPTAQALAAACSCSERRVAAFPDLLSCRLARLADDLHPALAAGCLDVALGLLQVLALRLEECAEPPRRAPVPGALRAALAPLLRPLADRPRAARRLGLDLLHVALARCGEAPDSLCRLLRPLLLRAWPGQFATPDAAPGPEELARRLAELARRRGPKSDAELGPLLRSLARSLEVAEATEAGEAPHPAAVAEAVGLAAM
+>sp|Q86T65|DAAM2_HUMAN Disheveled-associated activator of morphogenesis 2 OS=Homo sapiens OX=9606 GN=DAAM2 PE=1 SV=3
+MAPRKRSHHGLGFLCCFGGSDIPEINLRDNHPLQFMEFSSPIPNAEELNIRFAELVDELDLTDKNREAMFALPPEKKWQIYCSKKKEQEDPNKLATSWPDYYIDRINSMAAMQSLYAFDEEETEMRNQVVEDLKTALRTQPMRFVTRFIELEGLTCLLNFLRSMDHATCESRIHTSLIGCIKALMNNSQGRAHVLAQPEAISTIAQSLRTENSKTKVAVLEILGAVCLVPGGHKKVLQAMLHYQVYAAERTRFQTLLNELDRSLGRYRDEVNLKTAIMSFINAVLNAGAGEDNLEFRLHLRYEFLMLGIQPVIDKLRQHENAILDKHLDFFEMVRNEDDLELARRFDMVHIDTKSASQMFELIHKKLKYTEAYPCLLSVLHHCLQMPYKRNGGYFQQWQLLDRILQQIVLQDERGVDPDLAPLENFNVKNIVNMLINENEVKQWRDQAEKFRKEHMELVSRLERKERECETKTLEKEEMMRTLNKMKDKLARESQELRQARGQVAELVAQLSELSTGPVSSPPPPGGPLTLSSSMTTNDLPPPPPPLPFACCPPPPPPPLPPGGPPTPPGAPPCLGMGLPLPQDPYPSSDVPLRKKRVPQPSHPLKSFNWVKLNEERVPGTVWNEIDDMQVFRILDLEDFEKMFSAYQRHQKELGSTEDIYLASRKVKELSVIDGRRAQNCIILLSKLKLSNEEIRQAILKMDEQEDLAKDMLEQLLKFIPEKSDIDLLEEHKHEIERMARADRFLYEMSRIDHYQQRLQALFFKKKFQERLAEAKPKVEAILLASRELVRSKRLRQMLEVILAIGNFMNKGQRGGAYGFRVASLNKIADTKSSIDRNISLLHYLIMILEKHFPDILNMPSELQHLPEAAKVNLAELEKEVGNLRRGLRAVEVELEYQRRQVREPSDKFVPVMSDFITVSSFSFSELEDQLNEARDKFAKALMHFGEHDSKMQPDEFFGIFDTFLQAFSEARQDLEAMRRRKEEEERRARMEAMLKEQRERERWQRQRKVLAAGSSLEEGGEFDDLVSALRSGEVFDKDLCKLKRSRKRSGSQALEVTRERAINRLNY
+>DECOY_sp|Q86T65|DAAM2_HUMAN Disheveled-associated activator of morphogenesis 2 OS=Homo sapiens OX=9606 GN=DAAM2 PE=1 SV=3
+YNLRNIARERTVELAQSGSRKRSRKLKCLDKDFVEGSRLASVLDDFEGGEELSSGAALVKRQRQWRERERQEKLMAEMRARREEEEKRRRMAELDQRAESFAQLFTDFIGFFEDPQMKSDHEGFHMLAKAFKDRAENLQDELESFSFSSVTIFDSMVPVFKDSPERVQRRQYELEVEVARLGRRLNGVEKELEALNVKAAEPLHQLESPMNLIDPFHKELIMILYHLLSINRDISSKTDAIKNLSAVRFGYAGGRQGKNMFNGIALIVELMQRLRKSRVLERSALLIAEVKPKAEALREQFKKKFFLAQLRQQYHDIRSMEYLFRDARAMREIEHKHEELLDIDSKEPIFKLLQELMDKALDEQEDMKLIAQRIEENSLKLKSLLIICNQARRGDIVSLEKVKRSALYIDETSGLEKQHRQYASFMKEFDELDLIRFVQMDDIENWVTGPVREENLKVWNFSKLPHSPQPVRKKRLPVDSSPYPDQPLPLGMGLCPPAGPPTPPGGPPLPPPPPPPCCAFPLPPPPPPLDNTTMSSSLTLPGGPPPPSSVPGTSLESLQAVLEAVQGRAQRLEQSERALKDKMKNLTRMMEEKELTKTECEREKRELRSVLEMHEKRFKEAQDRWQKVENENILMNVINKVNFNELPALDPDVGREDQLVIQQLIRDLLQWQQFYGGNRKYPMQLCHHLVSLLCPYAETYKLKKHILEFMQSASKTDIHVMDFRRALELDDENRVMEFFDLHKDLIANEHQRLKDIVPQIGLMLFEYRLHLRFELNDEGAGANLVANIFSMIATKLNVEDRYRGLSRDLENLLTQFRTREAAYVQYHLMAQLVKKHGGPVLCVAGLIELVAVKTKSNETRLSQAITSIAEPQALVHARGQSNNMLAKICGILSTHIRSECTAHDMSRLFNLLCTLGELEIFRTVFRMPQTRLATKLDEVVQNRMETEEEDFAYLSQMAAMSNIRDIYYDPWSTALKNPDEQEKKKSCYIQWKKEPPLAFMAERNKDTLDLEDVLEAFRINLEEANPIPSSFEMFQLPHNDRLNIEPIDSGGFCCLFGLGHHSRKRPAM
+>sp|O75553|DAB1_HUMAN Disabled homolog 1 OS=Homo sapiens OX=9606 GN=DAB1 PE=1 SV=3
+MSTETELQVAVKTSAKKDSRKKGQDRSEATLIKRFKGEGVRYKAKLIGIDEVSAARGDKLCQDSMMKLKGVVAGARSKGEHKQKIFLTISFGGIKIFDEKTGALQHHHAVHEISYIAKDITDHRAFGYVCGKEGNHRFVAIKTAQAAEPVILDLRDLFQLIYELKQREELEKKAQKDKQCEQAVYQTILEEDVEDPVYQYIVFEAGHEPIRDPETEENIYQVPTSQKKEGVYDVPKSQPVSNGYSFEDFEERFAAATPNRNLPTDFDEIFEATKAVTQLELFGDMSTPPDITSPPTPATPGDAFIPSSSQTLPASADVFSSVPFGTAAVPSGYVAMGAVLPSFWGQQPLVQQQMVMGAQPPVAQVMPGAQPIAWGQPGLFPATQQPWPTVAGQFPPAAFMPTQTVMPLPAAMFQGPLTPLATVPGTSDSTRSSPQTDKPRQKMGKETFKDFQMAQPPPVPSRKPDQPSLTCTSEAFSSYFNKVGVAQDTDDCDDFDISQLNLTPVTSTTPSTNSPPTPAPRQSSPSKSSASHASDPTTDDIFEEGFESPSKSEEQEAPDGSQASSNSDPFGEPSGEPSGDNISPQAGS
+>DECOY_sp|O75553|DAB1_HUMAN Disabled homolog 1 OS=Homo sapiens OX=9606 GN=DAB1 PE=1 SV=3
+SGAQPSINDGSPEGSPEGFPDSNSSAQSGDPAEQEESKSPSEFGEEFIDDTTPDSAHSASSKSPSSQRPAPTPPSNTSPTTSTVPTLNLQSIDFDDCDDTDQAVGVKNFYSSFAESTCTLSPQDPKRSPVPPPQAMQFDKFTEKGMKQRPKDTQPSSRTSDSTGPVTALPTLPGQFMAAPLPMVTQTPMFAAPPFQGAVTPWPQQTAPFLGPQGWAIPQAGPMVQAVPPQAGMVMQQQVLPQQGWFSPLVAGMAVYGSPVAATGFPVSSFVDASAPLTQSSSPIFADGPTAPTPPSTIDPPTSMDGFLELQTVAKTAEFIEDFDTPLNRNPTAAAFREEFDEFSYGNSVPQSKPVDYVGEKKQSTPVQYINEETEPDRIPEHGAEFVIYQYVPDEVDEELITQYVAQECQKDKQAKKELEERQKLEYILQFLDRLDLIVPEAAQATKIAVFRHNGEKGCVYGFARHDTIDKAIYSIEHVAHHHQLAGTKEDFIKIGGFSITLFIKQKHEGKSRAGAVVGKLKMMSDQCLKDGRAASVEDIGILKAKYRVGEGKFRKILTAESRDQGKKRSDKKASTKVAVQLETETSM
+>sp|P98082|DAB2_HUMAN Disabled homolog 2 OS=Homo sapiens OX=9606 GN=DAB2 PE=1 SV=3
+MSNEVETSATNGQPDQQAAPKAPSKKEKKKGPEKTDEYLLARFKGDGVKYKAKLIGIDDVPDARGDKMSQDSMMKLKGMAAAGRSQGQHKQRIWVNISLSGIKIIDEKTGVIEHEHPVNKISFIARDVTDNRAFGYVCGGEGQHQFFAIKTGQQAEPLVVDLKDLFQVIYNVKKKEEEKKKIEEASKAVENGSEALMILDDQTNKLKSGVDQMDLFGDMSTPPDLNSPTESKDILLVDLNSEIDTNQNSLRENPFLTNGITSCSLPRPTPQASFLPENAFSANLNFFPTPNPDPFRDDPFTQPDQSTPSSFDSLKSPDQKKENSSSSSTPLSNGPLNGDVDYFGQQFDQISNRTGKQEAQAGPWPFSSSQTQPAVRTQNGVSEREQNGFSVKSSPNPFVGSPPKGLSIQNGVKQDLESSVQSSPHDSIAIIPPPQSTKPGRGRRTAKSSANDLLASDIFAPPVSEPSGQASPTGQPTALQPNPLDLFKTSAPAPVGPLVGLGGVTVTLPQAGPWNTASLVFNQSPSMAPGAMMGGQPSGFSQPVIFGTSPAVSGWNQPSPFAASTPPPVPVVWGPSASVAPNAWSTTSPLGNPFQSNIFPAPAVSTQPPSMHSSLLVTPPQPPPRAGPPKDISSDAFTALDPLGDKEIKDVKEMFKDFQLRQPPAVPARKGEQTSSGTLSAFASYFNSKVGIPQENADHDDFDANQLLNKINEPPKPAPRQVSLPVTKSTDNAFENPFFKDSFGSSQASVASSQPVSSEMYRDPFGNPFA
+>DECOY_sp|P98082|DAB2_HUMAN Disabled homolog 2 OS=Homo sapiens OX=9606 GN=DAB2 PE=1 SV=3
+AFPNGFPDRYMESSVPQSSAVSAQSSGFSDKFFPNEFANDTSKTVPLSVQRPAPKPPENIKNLLQNADFDDHDANEQPIGVKSNFYSAFASLTGSSTQEGKRAPVAPPQRLQFDKFMEKVDKIEKDGLPDLATFADSSIDKPPGARPPPQPPTVLLSSHMSPPQTSVAPAPFINSQFPNGLPSTTSWANPAVSASPGWVVPVPPPTSAAFPSPQNWGSVAPSTGFIVPQSFGSPQGGMMAGPAMSPSQNFVLSATNWPGAQPLTVTVGGLGVLPGVPAPASTKFLDLPNPQLATPQGTPSAQGSPESVPPAFIDSALLDNASSKATRRGRGPKTSQPPPIIAISDHPSSQVSSELDQKVGNQISLGKPPSGVFPNPSSKVSFGNQERESVGNQTRVAPQTQSSSFPWPGAQAEQKGTRNSIQDFQQGFYDVDGNLPGNSLPTSSSSSNEKKQDPSKLSDFSSPTSQDPQTFPDDRFPDPNPTPFFNLNASFANEPLFSAQPTPRPLSCSTIGNTLFPNERLSNQNTDIESNLDVLLIDKSETPSNLDPPTSMDGFLDMQDVGSKLKNTQDDLIMLAESGNEVAKSAEEIKKKEEEKKKVNYIVQFLDKLDVVLPEAQQGTKIAFFQHQGEGGCVYGFARNDTVDRAIFSIKNVPHEHEIVGTKEDIIKIGSLSINVWIRQKHQGQSRGAAAMGKLKMMSDQSMKDGRADPVDDIGILKAKYKVGDGKFRALLYEDTKEPGKKKEKKSPAKPAAQQDPQGNTASTEVENSM
+>sp|Q5SW24|DACT2_HUMAN Dapper homolog 2 OS=Homo sapiens OX=9606 GN=DACT2 PE=2 SV=1
+MWTPGGPPGSAGWDRRRLGARLRAAFAGLQELQGLRATQQERVRGALALQPPPAPAAPCGPHGLHGPEQQLEAALAALQEQLSRLRQQDIGLKTHLDQLDLQISKLQLDVGTASGEALDSDSRPSSGFYEMSDGGSCSLSTSCASVCSDHISPSLGSLLPVAQAHKARPSMGDWRPRSVDETTVPAWRPQATEEGARPPGSVEDAGQPWGTFWPRPVSTGDLDRALPADTGLQKASADAELLGLLCQGVDIPLHVPDPKYRQDLVSQGGREVYPYPSPLHAVALQSPLFVLTKETPQRGGPSFPRESPRGPAGLNTIQTGPVLEAGPARARAYIDRLLHLWGRETPAKGSEGEQGPLRHAASPSPQRQGGWSTDGGGRLLVFAPGREDEGGPAQSRGAGRGGPQQQGYMPLEGPQQSGSLPEEGSKPSNSCVLRETMVQASPSSKAQQTPSAQDYGRGNIISPSRMLDKSPSPASGHFAHPSFAASLKMGPPKSKAEKIKRSPMDKVLRFARQPLLLLDRPEGAHAAPQPSLEWDPAHWPTGRGGLQRRPALAWEAPGRSCSESTLYPMPVLVPLAVAPQESHRTSAQALFPFEASLLTSVARRKHRRWQSTVEISARARLASCPESNLGPPRPVARRAGGPLARGRPSLVRQDAYTRSDSEPSKHSAECDPRFPSVIPETSEGESSDHTTNRFGDRESSSSDEEGGAQSRDCDLALGYVAAGHAELAWTQEAPVSSGPLLSPVPKLCRIKASKALKKKIRRFQPTALKVMTMV
+>DECOY_sp|Q5SW24|DACT2_HUMAN Dapper homolog 2 OS=Homo sapiens OX=9606 GN=DACT2 PE=2 SV=1
+VMTMVKLATPQFRRIKKKLAKSAKIRCLKPVPSLLPGSSVPAEQTWALEAHGAAVYGLALDCDRSQAGGEEDSSSSERDGFRNTTHDSSEGESTEPIVSPFRPDCEASHKSPESDSRTYADQRVLSPRGRALPGGARRAVPRPPGLNSEPCSALRARASIEVTSQWRRHKRRAVSTLLSAEFPFLAQASTRHSEQPAVALPVLVPMPYLTSESCSRGPAEWALAPRRQLGGRGTPWHAPDWELSPQPAAHAGEPRDLLLLPQRAFRLVKDMPSRKIKEAKSKPPGMKLSAAFSPHAFHGSAPSPSKDLMRSPSIINGRGYDQASPTQQAKSSPSAQVMTERLVCSNSPKSGEEPLSGSQQPGELPMYGQQQPGGRGAGRSQAPGGEDERGPAFVLLRGGGDTSWGGQRQPSPSAAHRLPGQEGESGKAPTERGWLHLLRDIYARARAPGAELVPGTQITNLGAPGRPSERPFSPGGRQPTEKTLVFLPSQLAVAHLPSPYPYVERGGQSVLDQRYKPDPVHLPIDVGQCLLGLLEADASAKQLGTDAPLARDLDGTSVPRPWFTGWPQGADEVSGPPRAGEETAQPRWAPVTTEDVSRPRWDGMSPRAKHAQAVPLLSGLSPSIHDSCVSACSTSLSCSGGDSMEYFGSSPRSDSDLAEGSATGVDLQLKSIQLDLQDLHTKLGIDQQRLRSLQEQLAALAAELQQEPGHLGHPGCPAAPAPPPQLALAGRVREQQTARLGQLEQLGAFAARLRAGLRRRDWGASGPPGGPTWM
+>sp|P30622|CLIP1_HUMAN CAP-Gly domain-containing linker protein 1 OS=Homo sapiens OX=9606 GN=CLIP1 PE=1 SV=2
+MSMLKPSGLKAPTKILKPGSTALKTPTAVVAPVEKTISSEKASSTPSSETQEEFVDDFRVGERVWVNGNKPGFIQFLGETQFAPGQWAGIVLDEPIGKNDGSVAGVRYFQCEPLKGIFTRPSKLTRKVQAEDEANGLQTTPASRATSPLCTSTASMVSSSPSTPSNIPQKPSQPAAKEPSATPPISNLTKTASESISNLSEAGSIKKGERELKIGDRVLVGGTKAGVVRFLGETDFAKGEWCGVELDEPLGKNDGAVAGTRYFQCQPKYGLFAPVHKVTKIGFPSTTPAKAKANAVRRVMATTSASLKRSPSASSLSSMSSVASSVSSRPSRTGLLTETSSRYARKISGTTALQEALKEKQQHIEQLLAERDLERAEVAKATSHVGEIEQELALARDGHDQHVLELEAKMDQLRTMVEAADREKVELLNQLEEEKRKVEDLQFRVEEESITKGDLEQKSQISEDPENTQTKLEHARIKELEQSLLFEKTKADKLQRELEDTRVATVSEKSRIMELEKDLALRVQEVAELRRRLESNKPAGDVDMSLSLLQEISSLQEKLEVTRTDHQREITSLKEHFGAREETHQKEIKALYTATEKLSKENESLKSKLEHANKENSDVIALWKSKLETAIASHQQAMEELKVSFSKGLGTETAEFAELKTQIEKMRLDYQHEIENLQNQQDSERAAHAKEMEALRAKLMKVIKEKENSLEAIRSKLDKAEDQHLVEMEDTLNKLQEAEIKVKELEVLQAKCNEQTKVIDNFTSQLKATEEKLLDLDALRKASSEGKSEMKKLRQQLEAAEKQIKHLEIEKNAESSKASSITRELQGRELKLTNLQENLSEVSQVKETLEKELQILKEKFAEASEEAVSVQRSMQETVNKLHQKEEQFNMLSSDLEKLRENLADMEAKFREKDEREEQLIKAKEKLENDIAEIMKMSGDNSSQLTKMNDELRLKERDVEELQLKLTKANENASFLQKSIEDMTVKAEQSQQEAAKKHEEEKKELERKLSDLEKKMETSHNQCQELKARYERATSETKTKHEEILQNLQKTLLDTEDKLKGAREENSGLLQELEELRKQADKAKAAQTAEDAMQIMEQMTKEKTETLASLEDTKQTNAKLQNELDTLKENNLKNVEELNKSKELLTVENQKMEEFRKEIETLKQAAAQKSQQLSALQEENVKLAEELGRSRDEVTSHQKLEEERSVLNNQLLEMKKRESKFIKDADEEKASLQKSISITSALLTEKDAELEKLRNEVTVLRGENASAKSLHSVVQTLESDKVKLELKVKNLELQLKENKRQLSSSSGNTDTQADEDERAQESQIDFLNSVIVDLQRKNQDLKMKVEMMSEAALNGNGDDLNNYDSDDQEKQSKKKPRLFCDICDCFDLHDTEDCPTQAQMSEDPPHSTHHGSRGEERPYCEICEMFGHWATNCNDDETF
+>DECOY_sp|P30622|CLIP1_HUMAN CAP-Gly domain-containing linker protein 1 OS=Homo sapiens OX=9606 GN=CLIP1 PE=1 SV=2
+FTEDDNCNTAWHGFMECIECYPREEGRSGHHTSHPPDESMQAQTPCDETDHLDFCDCIDCFLRPKKKSQKEQDDSDYNNLDDGNGNLAAESMMEVKMKLDQNKRQLDVIVSNLFDIQSEQAREDEDAQTDTNGSSSSLQRKNEKLQLELNKVKLELKVKDSELTQVVSHLSKASANEGRLVTVENRLKELEADKETLLASTISISKQLSAKEEDADKIFKSERKKMELLQNNLVSREEELKQHSTVEDRSRGLEEALKVNEEQLASLQQSKQAAAQKLTEIEKRFEEMKQNEVTLLEKSKNLEEVNKLNNEKLTDLENQLKANTQKTDELSALTETKEKTMQEMIQMADEATQAAKAKDAQKRLEELEQLLGSNEERAGKLKDETDLLTKQLNQLIEEHKTKTESTAREYRAKLEQCQNHSTEMKKELDSLKRELEKKEEEHKKAAEQQSQEAKVTMDEISKQLFSANENAKTLKLQLEEVDREKLRLEDNMKTLQSSNDGSMKMIEAIDNELKEKAKILQEEREDKERFKAEMDALNERLKELDSSLMNFQEEKQHLKNVTEQMSRQVSVAEESAEAFKEKLIQLEKELTEKVQSVESLNEQLNTLKLERGQLERTISSAKSSEANKEIELHKIQKEAAELQQRLKKMESKGESSAKRLADLDLLKEETAKLQSTFNDIVKTQENCKAQLVELEKVKIEAEQLKNLTDEMEVLHQDEAKDLKSRIAELSNEKEKIVKMLKARLAEMEKAHAARESDQQNQLNEIEHQYDLRMKEIQTKLEAFEATETGLGKSFSVKLEEMAQQHSAIATELKSKWLAIVDSNEKNAHELKSKLSENEKSLKETATYLAKIEKQHTEERAGFHEKLSTIERQHDTRTVELKEQLSSIEQLLSLSMDVDGAPKNSELRRRLEAVEQVRLALDKELEMIRSKESVTAVRTDELERQLKDAKTKEFLLSQELEKIRAHELKTQTNEPDESIQSKQELDGKTISEEEVRFQLDEVKRKEEELQNLLEVKERDAAEVMTRLQDMKAELELVHQDHGDRALALEQEIEGVHSTAKAVEARELDREALLQEIHQQKEKLAEQLATTGSIKRAYRSSTETLLGTRSPRSSVSSAVSSMSSLSSASPSRKLSASTTAMVRRVANAKAKAPTTSPFGIKTVKHVPAFLGYKPQCQFYRTGAVAGDNKGLPEDLEVGCWEGKAFDTEGLFRVVGAKTGGVLVRDGIKLEREGKKISGAESLNSISESATKTLNSIPPTASPEKAAPQSPKQPINSPTSPSSSVMSATSTCLPSTARSAPTTQLGNAEDEAQVKRTLKSPRTFIGKLPECQFYRVGAVSGDNKGIPEDLVIGAWQGPAFQTEGLFQIFGPKNGNVWVREGVRFDDVFEEQTESSPTSSAKESSITKEVPAVVATPTKLATSGPKLIKTPAKLGSPKLMSM
+>sp|Q8N3C7|CLIP4_HUMAN CAP-Gly domain-containing linker protein 4 OS=Homo sapiens OX=9606 GN=CLIP4 PE=1 SV=1
+MTIEDLPDFPLEGNPLFGRYPFIFSASDTPVIFSISAAPMPSDCEFSFFDPNDASCQEILFDPKTSVSELFAILRQWVPQVQQNIDIIGNEILKRGCNVNDRDGLTDMTLLHYTCKSGAHGIGDVETAVKFATQLIDLGADISLRSRWTNMNALHYAAYFDVPELIRVILKTSKPKDVDATCSDFNFGTALHIAAYNLCAGAVKCLLEQGANPAFRNDKGQIPADVVPDPVDMPLEMADAAATAKEIKQMLLDAVPLSCNISKAMLPNYDHVTGKAMLTSLGLKLGDRVVIAGQKVGTLRFCGTTEFASGQWAGIELDEPEGKNNGSVGKVQYFKCAPKYGIFAPLSKISKAKGRRKNITHTPSTKAAVPLIRSQKIDVAHVTSKVNTGLMTSKKDSASESTLSLPPGEELKTVTEKDVALLGSVSSCSSTSSLEHRQSYPKKQNAISSNKKTMSKSPSLSSRASAGLNSSATSTANNSRCEGELRLGERVLVVGQRLGTIRFFGTTNFAPGYWYGIELEKPHGKNDGSVGGVQYFSCSPRYGIFAPPSRVQRVTDSLDTLSEISSNKQNHSYPGFRRSFSTTSASSQKEINRRNAFSKSKAALRRSWSSTPTAGGIEGSVKLHEGSQVLLTSSNEMGTVRYVGPTDFASGIWLGLELRSAKGKNDGSVGDKRYFTCKPNHGVLVRPSRVTYRGINGSKLVDENC
+>DECOY_sp|Q8N3C7|CLIP4_HUMAN CAP-Gly domain-containing linker protein 4 OS=Homo sapiens OX=9606 GN=CLIP4 PE=1 SV=1
+CNEDVLKSGNIGRYTVRSPRVLVGHNPKCTFYRKDGVSGDNKGKASRLELGLWIGSAFDTPGVYRVTGMENSSTLLVQSGEHLKVSGEIGGATPTSSWSRRLAAKSKSFANRRNIEKQSSASTTSFSRRFGPYSHNQKNSSIESLTDLSDTVRQVRSPPAFIGYRPSCSFYQVGGVSGDNKGHPKELEIGYWYGPAFNTTGFFRITGLRQGVVLVREGLRLEGECRSNNATSTASSNLGASARSSLSPSKSMTKKNSSIANQKKPYSQRHELSSTSSCSSVSGLLAVDKETVTKLEEGPPLSLTSESASDKKSTMLGTNVKSTVHAVDIKQSRILPVAAKTSPTHTINKRRGKAKSIKSLPAFIGYKPACKFYQVKGVSGNNKGEPEDLEIGAWQGSAFETTGCFRLTGVKQGAIVVRDGLKLGLSTLMAKGTVHDYNPLMAKSINCSLPVADLLMQKIEKATAAADAMELPMDVPDPVVDAPIQGKDNRFAPNAGQELLCKVAGACLNYAAIHLATGFNFDSCTADVDKPKSTKLIVRILEPVDFYAAYHLANMNTWRSRLSIDAGLDILQTAFKVATEVDGIGHAGSKCTYHLLTMDTLGDRDNVNCGRKLIENGIIDINQQVQPVWQRLIAFLESVSTKPDFLIEQCSADNPDFFSFECDSPMPAASISFIVPTDSASFIFPYRGFLPNGELPFDPLDEITM
+>sp|P49760|CLK2_HUMAN Dual specificity protein kinase CLK2 OS=Homo sapiens OX=9606 GN=CLK2 PE=1 SV=1
+MPHPRRYHSSERGSRGSYREHYRSRKHKRRRSRSWSSSSDRTRRRRREDSYHVRSRSSYDDRSSDRRVYDRRYCGSYRRNDYSRDRGDAYYDTDYRHSYEYQRENSSYRSQRSSRRKHRRRRRRSRTFSRSSSQHSSRRAKSVEDDAEGHLIYHVGDWLQERYEIVSTLGEGTFGRVVQCVDHRRGGARVALKIIKNVEKYKEAARLEINVLEKINEKDPDNKNLCVQMFDWFDYHGHMCISFELLGLSTFDFLKDNNYLPYPIHQVRHMAFQLCQAVKFLHDNKLTHTDLKPENILFVNSDYELTYNLEKKRDERSVKSTAVRVVDFGSATFDHEHHSTIVSTRHYRAPEVILELGWSQPCDVWSIGCIIFEYYVGFTLFQTHDNREHLAMMERILGPIPSRMIRKTRKQKYFYRGRLDWDENTSAGRYVRENCKPLRRYLTSEAEEHHQLFDLIESMLEYEPAKRLTLGEALQHPFFARLRAEPPNKLWDSSRDISR
+>DECOY_sp|P49760|CLK2_HUMAN Dual specificity protein kinase CLK2 OS=Homo sapiens OX=9606 GN=CLK2 PE=1 SV=1
+RSIDRSSDWLKNPPEARLRAFFPHQLAEGLTLRKAPEYELMSEILDFLQHHEEAESTLYRRLPKCNERVYRGASTNEDWDLRGRYFYKQKRTKRIMRSPIPGLIREMMALHERNDHTQFLTFGVYYEFIICGISWVDCPQSWGLELIVEPARYHRTSVITSHHEHDFTASGFDVVRVATSKVSREDRKKELNYTLEYDSNVFLINEPKLDTHTLKNDHLFKVAQCLQFAMHRVQHIPYPLYNNDKLFDFTSLGLLEFSICMHGHYDFWDFMQVCLNKNDPDKENIKELVNIELRAAEKYKEVNKIIKLAVRAGGRRHDVCQVVRGFTGEGLTSVIEYREQLWDGVHYILHGEADDEVSKARRSSHQSSSRSFTRSRRRRRRHKRRSSRQSRYSSNERQYEYSHRYDTDYYADGRDRSYDNRRYSGCYRRDYVRRDSSRDDYSSRSRVHYSDERRRRRTRDSSSSWSRSRRRKHKRSRYHERYSGRSGRESSHYRRPHPM
+>sp|Q96KA5|CLP1L_HUMAN Cleft lip and palate transmembrane protein 1-like protein OS=Homo sapiens OX=9606 GN=CLPTM1L PE=1 SV=1
+MWSGRSSFTSLVVGVFVVYVVHTCWVMYGIVYTRPCSGDANCIQPYLARRPKLQLSVYTTTRSHLGAENNIDLVLNVEDFDVESKFERTVNVSVPKKTRNNGTLYAYIFLHHAGVLPWHDGKQVHLVSPLTTYMVPKPEEINLLTGESDTQQIEAEKKPTSALDEPVSHWRPRLALNVMADNFVFDGSSLPADVHRYMKMIQLGKTVHYLPILFIDQLSNRVKDLMVINRSTTELPLTVSYDKVSLGRLRFWIHMQDAVYSLQQFGFSEKDADEVKGIFVDTNLYFLALTFFVAAFHLLFDFLAFKNDISFWKKKKSMIGMSTKAVLWRCFSTVVIFLFLLDEQTSLLVLVPAGVGAAIELWKVKKALKMTIFWRGLMPEFQFGTYSESERKTEEYDTQAMKYLSYLLYPLCVGGAVYSLLNIKYKSWYSWLINSFVNGVYAFGFLFMLPQLFVNYKLKSVAHLPWKAFTYKAFNTFIDDVFAFIITMPTSHRLACFRDDVVFLVYLYQRWLYPVDKRRVNEFGESYEEKATRAPHTD
+>DECOY_sp|Q96KA5|CLP1L_HUMAN Cleft lip and palate transmembrane protein 1-like protein OS=Homo sapiens OX=9606 GN=CLPTM1L PE=1 SV=1
+DTHPARTAKEEYSEGFENVRRKDVPYLWRQYLYVLFVVDDRFCALRHSTPMTIIFAFVDDIFTNFAKYTFAKWPLHAVSKLKYNVFLQPLMFLFGFAYVGNVFSNILWSYWSKYKINLLSYVAGGVCLPYLLYSLYKMAQTDYEETKRESESYTGFQFEPMLGRWFITMKLAKKVKWLEIAAGVGAPVLVLLSTQEDLLFLFIVVTSFCRWLVAKTSMGIMSKKKKWFSIDNKFALFDFLLHFAAVFFTLALFYLNTDVFIGKVEDADKESFGFQQLSYVADQMHIWFRLRGLSVKDYSVTLPLETTSRNIVMLDKVRNSLQDIFLIPLYHVTKGLQIMKMYRHVDAPLSSGDFVFNDAMVNLALRPRWHSVPEDLASTPKKEAEIQQTDSEGTLLNIEEPKPVMYTTLPSVLHVQKGDHWPLVGAHHLFIYAYLTGNNRTKKPVSVNVTREFKSEVDFDEVNLVLDINNEAGLHSRTTTYVSLQLKPRRALYPQICNADGSCPRTYVIGYMVWCTHVVYVVFVGVVLSTFSSRGSWM
+>sp|Q92989|CLP1_HUMAN Polyribonucleotide 5'-hydroxyl-kinase Clp1 OS=Homo sapiens OX=9606 GN=CLP1 PE=1 SV=1
+MGEEANDDKKPTTKFELERETELRFEVEASQSVQLELLTGMAEIFGTELTRNKKFTFDAGAKVAVFTWHGCSVQLSGRTEVAYVSKDTPMLLYLNTHTALEQMRRQAEKEEERGPRVMVVGPTDVGKSTVCRLLLNYAVRLGRRPTYVELDVGQGSVSIPGTMGALYIERPADVEEGFSIQAPLVYHFGSTTPGTNIKLYNKITSRLADVFNQRCEVNRRASVSGCVINTCGWVKGSGYQALVHAASAFEVDVVVVLDQERLYNELKRDLPHFVRTVLLPKSGGVVERSKDFRRECRDERIREYFYGFRGCFYPHAFNVKFSDVKIYKVGAPTIPDSCLPLGMSQEDNQLKLVPVTPGRDMVHHLLSVSTAEGTEENLSETSVAGFIVVTSVDLEHQVFTVLSPAPRPLPKNFLLIMDIRFMDLK
+>DECOY_sp|Q92989|CLP1_HUMAN Polyribonucleotide 5'-hydroxyl-kinase Clp1 OS=Homo sapiens OX=9606 GN=CLP1 PE=1 SV=1
+KLDMFRIDMILLFNKPLPRPAPSLVTFVQHELDVSTVVIFGAVSTESLNEETGEATSVSLLHHVMDRGPTVPVLKLQNDEQSMGLPLCSDPITPAGVKYIKVDSFKVNFAHPYFCGRFGYFYERIREDRCERRFDKSREVVGGSKPLLVTRVFHPLDRKLENYLREQDLVVVVDVEFASAAHVLAQYGSGKVWGCTNIVCGSVSARRNVECRQNFVDALRSTIKNYLKINTGPTTSGFHYVLPAQISFGEEVDAPREIYLAGMTGPISVSGQGVDLEVYTPRRGLRVAYNLLLRCVTSKGVDTPGVVMVRPGREEEKEAQRRMQELATHTNLYLLMPTDKSVYAVETRGSLQVSCGHWTFVAVKAGADFTFKKNRTLETGFIEAMGTLLELQVSQSAEVEFRLETERELEFKTTPKKDDNAEEGM
+>sp|Q8IUQ0|CLVS1_HUMAN Clavesin-1 OS=Homo sapiens OX=9606 GN=CLVS1 PE=1 SV=1
+MGPVSLLPKYQKLNTWNGDLAKMTHLQAGLSPETIEKARLELNENPDVLHQDIQQVRDMIITRPDIGFLRTDDAFILRFLRARKFHQADAFRLLAQYFQYRQLNLDMFKNFKADDPGIKRALIDGFPGVLENRDHYGRKILLLFAANWDQSRNSFTDILRAILLSLEVLIEDPELQINGFILIIDWSNFSFKQASKLTPSILKLAIEGLQDSFPARFGGVHFVNQPWYIHALYTLIKPFLKDKTRKRIFLHGNNLNSLHQLIHPEFLPSEFGGTLPPYDMGTWARTLLGPDYSDENDYTHTSYNAMHVKHTSSNLERECSPKLMKRSQSVVEAGTLKHEEKGENENTQPLLALD
+>DECOY_sp|Q8IUQ0|CLVS1_HUMAN Clavesin-1 OS=Homo sapiens OX=9606 GN=CLVS1 PE=1 SV=1
+DLALLPQTNENEGKEEHKLTGAEVVSQSRKMLKPSCERELNSSTHKVHMANYSTHTYDNEDSYDPGLLTRAWTGMDYPPLTGGFESPLFEPHILQHLSNLNNGHLFIRKRTKDKLFPKILTYLAHIYWPQNVFHVGGFRAPFSDQLGEIALKLISPTLKSAQKFSFNSWDIILIFGNIQLEPDEILVELSLLIARLIDTFSNRSQDWNAAFLLLIKRGYHDRNELVGPFGDILARKIGPDDAKFNKFMDLNLQRYQFYQALLRFADAQHFKRARLFRLIFADDTRLFGIDPRTIIMDRVQQIDQHLVDPNENLELRAKEITEPSLGAQLHTMKALDGNWTNLKQYKPLLSVPGM
+>sp|Q9Y6Y1|CMTA1_HUMAN Calmodulin-binding transcription activator 1 OS=Homo sapiens OX=9606 GN=CAMTA1 PE=1 SV=4
+MWRAEGKWLPKTSRKSVSQSVFCGTSTYCVLNTVPPIEDDHGNSNSSHVKIFLPKKLLECLPKCSSLPKERHRWNTNEEIAAYLITFEKHEEWLTTSPKTRPQNGSMILYNRKKVKYRKDGYCWKKRKDGKTTREDHMKLKVQGVECLYGCYVHSSIIPTFHRRCYWLLQNPDIVLVHYLNVPAIEDCGKPCGPILCSINTDKKEWAKWTKEELIGQLKPMFHGIKWTCSNGNSSSGFSVEQLVQQILDSHQTKPQPRTHNCLCTGSLGAGGSVHHKCNSAKHRIISPKVEPRTGGYGSHSEVQHNDVSEGKHEHSHSKGSSREKRNGKVAKPVLLHQSSTEVSSTNQVEVPDTTQSSPVSISSGLNSDPDMVDSPVVTGVSGMAVASVMGSLSQSATVFMSEVTNEAVYTMSPTAGPNHHLLSPDASQGLVLAVSSDGHKFAFPTTGSSESLSMLPTNVSEELVLSTTLDGGRKIPETTMNFDPDCFLNNPKQGQTYGGGGLKAEMVSSNIRHSPPGERSFSFTTVLTKEIKTEDTSFEQQMAKEAYSSSAAAVAASSLTLTAGSSLLPSGGGLSPSTTLEQMDFSAIDSNKDYTSSFSQTGHSPHIHQTPSPSFFLQDASKPLPVEQNTHSSLSDSGGTFVMPTVKTEASSQTSSCSGHVETRIESTSSLHLMQFQANFQAMTAEGEVTMETSQAAEGSEVLLKSGELQACSSEHYLQPETNGVIRSAGGVPILPGNVVQGLYPVAQPSLGNASNMELSLDHFDISFSNQFSDLINDFISVEGGSSTIYGHQLVSGDSTALSQSEDGARAPFTQAEMCLPCCSPQQGSLQLSSSEGGASTMAYMHVAEVVSAASAQGTLGMLQQSGRVFMVTDYSPEWSYPEGGVKVLITGPWQEASNNYSCLFDQISVPASLIQPGVLRCYCPAHDTGLVTLQVAFNNQIISNSVVFEYKARALPTLPSSQHDWLSLDDNQFRMSILERLEQMERRMAEMTGSQQHKQASGGGSSGGGSGSGNGGSQAQCASGTGALGSCFESRVVVVCEKMMSRACWAKSKHLIHSKTFRGMTLLHLAAAQGYATLIQTLIKWRTKHADSIDLELEVDPLNVDHFSCTPLMWACALGHLEAAVVLYKWDRRAISIPDSLGRLPLGIARSRGHVKLAECLEHLQRDEQAQLGQNPRIHCPASEEPSTESWMAQWHSEAISSPEIPKGVTVIASTNPELRRPRSEPSNYYSSESHKDYPAPKKHKLNPEYFQTRQEKLLPTALSLEEPNIRKQSPSSKQSVPETLSPSEGVRDFSRELSPPTPETAAFQASGSQPVGKWNSKDLYIGVSTVQVTGNPKGTSVGKEAAPSQVRPREPMSVLMMANREVVNTELGSYRDSAENEECGQPMDDIQVNMMTLAEHIIEATPDRIKQENFVPMESSGLERTDPATISSTMSWLASYLADADCLPSAAQIRSAYNEPLTPSSNTSLSPVGSPVSEIAFEKPNLPSAADWSEFLSASTSEKVENEFAQLTLSDHEQRELYEAARLVQTAFRKYKGRPLREQQEVAAAVIQRCYRKYKQYALYKKMTQAAILIQSKFRSYYEQKKFQQSRRAAVLIQKYYRSYKKCGKRRQARRTAVIVQQKLRSSLLTKKQDQAARKIMRFLRRCRHSPLVDHRLYKRSERIEKGQGT
+>DECOY_sp|Q9Y6Y1|CMTA1_HUMAN Calmodulin-binding transcription activator 1 OS=Homo sapiens OX=9606 GN=CAMTA1 PE=1 SV=4
+TGQGKEIRESRKYLRHDVLPSHRCRRLFRMIKRAAQDQKKTLLSSRLKQQVIVATRRAQRRKGCKKYSRYYKQILVAARRSQQFKKQEYYSRFKSQILIAAQTMKKYLAYQKYKRYCRQIVAAAVEQQERLPRGKYKRFATQVLRAAEYLERQEHDSLTLQAFENEVKESTSASLFESWDAASPLNPKEFAIESVPSGVPSLSTNSSPTLPENYASRIQAASPLCDADALYSALWSMTSSITAPDTRELGSSEMPVFNEQKIRDPTAEIIHEALTMMNVQIDDMPQGCEENEASDRYSGLETNVVERNAMMLVSMPERPRVQSPAAEKGVSTGKPNGTVQVTSVGIYLDKSNWKGVPQSGSAQFAATEPTPPSLERSFDRVGESPSLTEPVSQKSSPSQKRINPEELSLATPLLKEQRTQFYEPNLKHKKPAPYDKHSESSYYNSPESRPRRLEPNTSAIVTVGKPIEPSSIAESHWQAMWSETSPEESAPCHIRPNQGLQAQEDRQLHELCEALKVHGRSRAIGLPLRGLSDPISIARRDWKYLVVAAELHGLACAWMLPTCSFHDVNLPDVELELDISDAHKTRWKILTQILTAYGQAAALHLLTMGRFTKSHILHKSKAWCARSMMKECVVVVRSEFCSGLAGTGSACQAQSGGNGSGSGGGSSGGGSAQKHQQSGTMEAMRREMQELRELISMRFQNDDLSLWDHQSSPLTPLARAKYEFVVSNSIIQNNFAVQLTVLGTDHAPCYCRLVGPQILSAPVSIQDFLCSYNNSAEQWPGTILVKVGGEPYSWEPSYDTVMFVRGSQQLMGLTGQASAASVVEAVHMYAMTSAGGESSSLQLSGQQPSCCPLCMEAQTFPARAGDESQSLATSDGSVLQHGYITSSGGEVSIFDNILDSFQNSFSIDFHDLSLEMNSANGLSPQAVPYLGQVVNGPLIPVGGASRIVGNTEPQLYHESSCAQLEGSKLLVESGEAAQSTEMTVEGEATMAQFNAQFQMLHLSSTSEIRTEVHGSCSSTQSSAETKVTPMVFTGGSDSLSSHTNQEVPLPKSADQLFFSPSPTQHIHPSHGTQSFSSTYDKNSDIASFDMQELTTSPSLGGGSPLLSSGATLTLSSAAVAAASSSYAEKAMQQEFSTDETKIEKTLVTTFSFSREGPPSHRINSSVMEAKLGGGGYTQGQKPNNLFCDPDFNMTTEPIKRGGDLTTSLVLEESVNTPLMSLSESSGTTPFAFKHGDSSVALVLGQSADPSLLHHNPGATPSMTYVAENTVESMFVTASQSLSGMVSAVAMGSVGTVVPSDVMDPDSNLGSSISVPSSQTTDPVEVQNTSSVETSSQHLLVPKAVKGNRKERSSGKSHSHEHKGESVDNHQVESHSGYGGTRPEVKPSIIRHKASNCKHHVSGGAGLSGTCLCNHTRPQPKTQHSDLIQQVLQEVSFGSSSNGNSCTWKIGHFMPKLQGILEEKTWKAWEKKDTNISCLIPGCPKGCDEIAPVNLYHVLVIDPNQLLWYCRRHFTPIISSHVYCGYLCEVGQVKLKMHDERTTKGDKRKKWCYGDKRYKVKKRNYLIMSGNQPRTKPSTTLWEEHKEFTILYAAIEENTNWRHREKPLSSCKPLCELLKKPLFIKVHSSNSNGHDDEIPPVTNLVCYTSTGCFVSQSVSKRSTKPLWKGEARWM
+>sp|Q8N1G2|CMTR1_HUMAN Cap-specific mRNA (nucleoside-2'-O-)-methyltransferase 1 OS=Homo sapiens OX=9606 GN=CMTR1 PE=1 SV=1
+MKRRTDPECTAPIKKQKKRVAELALSLSSTSDDEPPSSVSHGAKASTTSLSGSDSETEGKQHSSDSFDDAFKADSLVEGTSSRYSMYNSVSQKLMAKMGFREGEGLGKYSQGRKDIVEASSQKGRRGLGLTLRGFDQELNVDWRDEPEPSACEQVSWFPECTTEIPDTQEMSDWMVVGKRKMIIEDETEFCGEELLHSVLQCKSVFDVLDGEEMRRARTRANPYEMIRGVFFLNRAAMKMANMDFVFDRMFTNPRDSYGKPLVKDREAELLYFADVCAGPGGFSEYVLWRKKWHAKGFGMTLKGPNDFKLEDFYSASSELFEPYYGEGGIDGDGDITRPENISAFRNFVLDNTDRKGVHFLMADGGFSVEGQENLQEILSKQLLLCQFLMALSIVRTGGHFICKTFDLFTPFSVGLVYLLYCCFERVCLFKPITSRPANSERYVVCKGLKVGIDDVRDYLFAVNIKLNQLRNTDSDVNLVVPLEVIKGDHEFTDYMIRSNESHCSLQIKALAKIHAFVQDTTLSEPRQAEIRKECLRLWGIPDQARVAPSSSDPKSKFFELIQGTEIDIFSYKPTLLTSKTLEKIRPVFDYRCMVSGSEQKFLIGLGKSQIYTWDGRQSDRWIKLDLKTELPRDTLLSVEIVHELKGEGKAQRKISAIHILDVLVLNGTDVREQHFNQRIQLAEKFVKAVSKPSRPDMNPIRVKEVYRLEEMEKIFVRLEMKIIKGSSGTPKLSYTGRDDRHFVPMGLYIVRTVNEPWTMGFSKSFKKKFFYNKKTKDSTFDLPADSIAPFHICYYGRLFWEWGDGIRVHDSQKPQDQDKLSKEDVLSFIQMHRA
+>DECOY_sp|Q8N1G2|CMTR1_HUMAN Cap-specific mRNA (nucleoside-2'-O-)-methyltransferase 1 OS=Homo sapiens OX=9606 GN=CMTR1 PE=1 SV=1
+ARHMQIFSLVDEKSLKDQDQPKQSDHVRIGDGWEWFLRGYYCIHFPAISDAPLDFTSDKTKKNYFFKKKFSKSFGMTWPENVTRVIYLGMPVFHRDDRGTYSLKPTGSSGKIIKMELRVFIKEMEELRYVEKVRIPNMDPRSPKSVAKVFKEALQIRQNFHQERVDTGNLVLVDLIHIASIKRQAKGEGKLEHVIEVSLLTDRPLETKLDLKIWRDSQRGDWTYIQSKGLGILFKQESGSVMCRYDFVPRIKELTKSTLLTPKYSFIDIETGQILEFFKSKPDSSSPAVRAQDPIGWLRLCEKRIEAQRPESLTTDQVFAHIKALAKIQLSCHSENSRIMYDTFEHDGKIVELPVVLNVDSDTNRLQNLKINVAFLYDRVDDIGVKLGKCVVYRESNAPRSTIPKFLCVREFCCYLLYVLGVSFPTFLDFTKCIFHGGTRVISLAMLFQCLLLQKSLIEQLNEQGEVSFGGDAMLFHVGKRDTNDLVFNRFASINEPRTIDGDGDIGGEGYYPEFLESSASYFDELKFDNPGKLTMGFGKAHWKKRWLVYESFGGPGACVDAFYLLEAERDKVLPKGYSDRPNTFMRDFVFDMNAMKMAARNLFFVGRIMEYPNARTRARRMEEGDLVDFVSKCQLVSHLLEEGCFETEDEIIMKRKGVVMWDSMEQTDPIETTCEPFWSVQECASPEPEDRWDVNLEQDFGRLTLGLGRRGKQSSAEVIDKRGQSYKGLGEGERFGMKAMLKQSVSNYMSYRSSTGEVLSDAKFADDFSDSSHQKGETESDSGSLSTTSAKAGHSVSSPPEDDSTSSLSLALEAVRKKQKKIPATCEPDTRRKM
+>sp|Q9NWQ9|CN119_HUMAN Uncharacterized protein C14orf119 OS=Homo sapiens OX=9606 GN=C14orf119 PE=1 SV=1
+MPLESSSSMPLSFPSLLPSVPHNTNPSPPLMSYITSQEMKCILHWFANWSGPQRERFLEDLVAKAVPEKLQPLLDSLEQLSVSGADRPPSIFECQLHLWDQWFRGWAEQERNEFVRQLEFSEPDFVAKFYQAVAATAGKD
+>DECOY_sp|Q9NWQ9|CN119_HUMAN Uncharacterized protein C14orf119 OS=Homo sapiens OX=9606 GN=C14orf119 PE=1 SV=1
+DKGATAAVAQYFKAVFDPESFELQRVFENREQEAWGRFWQDWLHLQCEFISPPRDAGSVSLQELSDLLPQLKEPVAKAVLDELFRERQPGSWNAFWHLICKMEQSTIYSMLPPSPNTNHPVSPLLSPFSLPMSSSSELPM
+>sp|Q15021|CND1_HUMAN Condensin complex subunit 1 OS=Homo sapiens OX=9606 GN=NCAPD2 PE=1 SV=3
+MAPQMYEFHLPLSPEELLKSGGVNQYVVQEVLSIKHLPPQLRAFQAAFRAQGPLAMLQHFDTIYSILHHFRSIDPGLKEDTLQFLIKVVSRHSQELPAILDDTTLSGSDRNAHLNALKMNCYALIRLLESFETMASQTNLVDLDLGGKGKKARTKAAHGFDWEEERQPILQLLTQLLQLDIRHLWNHSIIEEEFVSLVTGCCYRLLENPTINHQKNRPTREAITHLLGVALTRYNHMLSATVKIIQMLQHFEHLAPVLVAAVSLWATDYGMKSIVGEIVREIGQKCPQELSRDPSGTKGFAAFLTELAERVPAILMSSMCILLDHLDGENYMMRNAVLAAMAEMVLQVLSGDQLEAAARDTRDQFLDTLQAHGHDVNSFVRSRVLQLFTRIVQQKALPLTRFQAVVALAVGRLADKSVLVCKNAIQLLASFLANNPFSCKLSDADLAGPLQKETQKLQEMRAQRRTAAASAVLDPEEEWEAMLPELKSTLQQLLQLPQGEEEIPEQIANTETTEDVKGRIYQLLAKASYKKAIILTREATGHFQESEPFSHIDPEESEETRLLNILGLIFKGPAASTQEKNPRESTGNMVTGQTVCKNKPNMSDPEESRGNDELVKQEMLVQYLQDAYSFSRKITEAIGIISKMMYENTTTVVQEVIEFFVMVFQFGVPQALFGVRRMLPLIWSKEPGVREAVLNAYRQLYLNPKGDSARAKAQALIQNLSLLLVDASVGTIQCLEEILCEFVQKDELKPAVTQLLWERATEKVACCPLERCSSVMLLGMMARGKPEIVGSNLDTLVSIGLDEKFPQDYRLAQQVCHAIANISDRRKPSLGKRHPPFRLPQEHRLFERLRETVTKGFVHPDPLWIPFKEVAVTLIYQLAEGPEVICAQILQGCAKQALEKLEEKRTSQEDPKESPAMLPTFLLMNLLSLAGDVALQQLVHLEQAVSGELCRRRVLREEQEHKTKDPKEKNTSSETTMEEELGLVGATADDTEAELIRGICEMELLDGKQTLAAFVPLLLKVCNNPGLYSNPDLSAAASLALGKFCMISATFCDSQLRLLFTMLEKSPLPIVRSNLMVATGDLAIRFPNLVDPWTPHLYARLRDPAQQVRKTAGLVMTHLILKDMVKVKGQVSEMAVLLIDPEPQIAALAKNFFNELSHKGNAIYNLLPDIISRLSDPELGVEEEPFHTIMKQLLSYITKDKQTESLVEKLCQRFRTSRTERQQRDLAYCVSQLPLTERGLRKMLDNFDCFGDKLSDESIFSAFLSVVGKLRRGAKPEGKAIIDEFEQKLRACHTRGLDGIKELEIGQAGSQRAPSAKKPSTGSRYQPLASTASDNDFVTPEPRRTTRRHPNTQQRASKKKPKVVFSSDESSEEDLSAEMTEDETPKKTTPILRASARRHRS
+>DECOY_sp|Q15021|CND1_HUMAN Condensin complex subunit 1 OS=Homo sapiens OX=9606 GN=NCAPD2 PE=1 SV=3
+SRHRRASARLIPTTKKPTEDETMEASLDEESSEDSSFVVKPKKKSARQQTNPHRRTTRRPEPTVFDNDSATSALPQYRSGTSPKKASPARQSGAQGIELEKIGDLGRTHCARLKQEFEDIIAKGEPKAGRRLKGVVSLFASFISEDSLKDGFCDFNDLMKRLGRETLPLQSVCYALDRQQRETRSTRFRQCLKEVLSETQKDKTIYSLLQKMITHFPEEEVGLEPDSLRSIIDPLLNYIANGKHSLENFFNKALAAIQPEPDILLVAMESVQGKVKVMDKLILHTMVLGATKRVQQAPDRLRAYLHPTWPDVLNPFRIALDGTAVMLNSRVIPLPSKELMTFLLRLQSDCFTASIMCFKGLALSAAASLDPNSYLGPNNCVKLLLPVFAALTQKGDLLEMECIGRILEAETDDATAGVLGLEEEMTTESSTNKEKPDKTKHEQEERLVRRRCLEGSVAQELHVLQQLAVDGALSLLNMLLFTPLMAPSEKPDEQSTRKEELKELAQKACGQLIQACIVEPGEALQYILTVAVEKFPIWLPDPHVFGKTVTERLREFLRHEQPLRFPPHRKGLSPKRRDSINAIAHCVQQALRYDQPFKEDLGISVLTDLNSGVIEPKGRAMMGLLMVSSCRELPCCAVKETAREWLLQTVAPKLEDKQVFECLIEELCQITGVSADVLLLSLNQILAQAKARASDGKPNLYLQRYANLVAERVGPEKSWILPLMRRVGFLAQPVGFQFVMVFFEIVEQVVTTTNEYMMKSIIGIAETIKRSFSYADQLYQVLMEQKVLEDNGRSEEPDSMNPKNKCVTQGTVMNGTSERPNKEQTSAAPGKFILGLINLLRTEESEEPDIHSFPESEQFHGTAERTLIIAKKYSAKALLQYIRGKVDETTETNAIQEPIEEEGQPLQLLQQLTSKLEPLMAEWEEEPDLVASAAATRRQARMEQLKQTEKQLPGALDADSLKCSFPNNALFSALLQIANKCVLVSKDALRGVALAVVAQFRTLPLAKQQVIRTFLQLVRSRVFSNVDHGHAQLTDLFQDRTDRAAAELQDGSLVQLVMEAMAALVANRMMYNEGDLHDLLICMSSMLIAPVREALETLFAAFGKTGSPDRSLEQPCKQGIERVIEGVISKMGYDTAWLSVAAVLVPALHEFHQLMQIIKVTASLMHNYRTLAVGLLHTIAERTPRNKQHNITPNELLRYCCGTVLSVFEEEIISHNWLHRIDLQLLQTLLQLIPQREEEWDFGHAAKTRAKKGKGGLDLDVLNTQSAMTEFSELLRILAYCNMKLANLHANRDSGSLTTDDLIAPLEQSHRSVVKILFQLTDEKLGPDISRFHHLISYITDFHQLMALPGQARFAAQFARLQPPLHKISLVEQVVYQNVGGSKLLEEPSLPLHFEYMQPAM
+>sp|Q96KP4|CNDP2_HUMAN Cytosolic non-specific dipeptidase OS=Homo sapiens OX=9606 GN=CNDP2 PE=1 SV=2
+MAALTTLFKYIDENQDRYIKKLAKWVAIQSVSAWPEKRGEIRRMMEVAAADVKQLGGSVELVDIGKQKLPDGSEIPLPPILLGRLGSDPQKKTVCIYGHLDVQPAALEDGWDSEPFTLVERDGKLYGRGSTDDKGPVAGWINALEAYQKTGQEIPVNVRFCLEGMEESGSEGLDELIFARKDTFFKDVDYVCISDNYWLGKKKPCITYGLRGICYFFIEVECSNKDLHSGVYGGSVHEAMTDLILLMGSLVDKRGNILIPGINEAVAAVTEEEHKLYDDIDFDIEEFAKDVGAQILLHSHKKDILMHRWRYPSLSLHGIEGAFSGSGAKTVIPRKVVGKFSIRLVPNMTPEVVGEQVTSYLTKKFAELRSPNEFKVYMGHGGKPWVSDFSHPHYLAGRRAMKTVFGVEPDLTREGGSIPVTLTFQEATGKNVMLLPVGSADDGAHSQNEKLNRYNYIEGTKMLAAYLYEVSQLKD
+>DECOY_sp|Q96KP4|CNDP2_HUMAN Cytosolic non-specific dipeptidase OS=Homo sapiens OX=9606 GN=CNDP2 PE=1 SV=2
+DKLQSVEYLYAALMKTGEIYNYRNLKENQSHAGDDASGVPLLMVNKGTAEQFTLTVPISGGERTLDPEVGFVTKMARRGALYHPHSFDSVWPKGGHGMYVKFENPSRLEAFKKTLYSTVQEGVVEPTMNPVLRISFKGVVKRPIVTKAGSGSFAGEIGHLSLSPYRWRHMLIDKKHSHLLIQAGVDKAFEEIDFDIDDYLKHEEETVAAVAENIGPILINGRKDVLSGMLLILDTMAEHVSGGYVGSHLDKNSCEVEIFFYCIGRLGYTICPKKKGLWYNDSICVYDVDKFFTDKRAFILEDLGESGSEEMGELCFRVNVPIEQGTKQYAELANIWGAVPGKDDTSGRGYLKGDREVLTFPESDWGDELAAPQVDLHGYICVTKKQPDSGLRGLLIPPLPIESGDPLKQKGIDVLEVSGGLQKVDAAAVEMMRRIEGRKEPWASVSQIAVWKALKKIYRDQNEDIYKFLTTLAAM
+>sp|Q96LI5|CNO6L_HUMAN CCR4-NOT transcription complex subunit 6-like OS=Homo sapiens OX=9606 GN=CNOT6L PE=1 SV=2
+MRLIGMPKEKYDPPDPRRIYTIMSAEEVANGKKSHWAELEISGRVRSLSTSLWSLTHLTALHLNDNYLSRIPPDIAKLHNLVYLDLSSNKLRSLPAELGNMVSLRELLLNNNLLRVLPYELGRLFQLQTLGLKGNPLSQDILNLYQDPDGTRKLLNFMLDNLAVHPEQLPPRPWITLKERDQILPSASFTVMCYNVLCDKYATRQLYGYCPSWALNWEYRKKGIMEEIVNCDADIISLQEVETEQYFTLFLPALKERGYDGFFSPKSRAKIMSEQERKHVDGCAIFFKTEKFTLVQKHTVEFNQVAMANSDGSEAMLNRVMTKDNIGVAVVLEVHKELFGAGMKPIHAADKQLLIVANAHMHWDPEYSDVKLIQTMMFVSEVKNILEKASSRPGSPTADPNSIPLVLCADLNSLPDSGVVEYLSNGGVADNHKDFKELRYNECLMNFSCNGKNGSSEGRITHGFQLKSAYENNLMPYTNYTFDFKGVIDYIFYSKTHMNVLGVLGPLDPQWLVENNITGCPHPHIPSDHFSLLTQLELHPPLLPLVNGVHLPNRR
+>DECOY_sp|Q96LI5|CNO6L_HUMAN CCR4-NOT transcription complex subunit 6-like OS=Homo sapiens OX=9606 GN=CNOT6L PE=1 SV=2
+RRNPLHVGNVLPLLPPHLELQTLLSFHDSPIHPHPCGTINNEVLWQPDLPGLVGLVNMHTKSYFIYDIVGKFDFTYNTYPMLNNEYASKLQFGHTIRGESSGNKGNCSFNMLCENYRLEKFDKHNDAVGGNSLYEVVGSDPLSNLDACLVLPISNPDATPSGPRSSAKELINKVESVFMMTQILKVDSYEPDWHMHANAVILLQKDAAHIPKMGAGFLEKHVELVVAVGINDKTMVRNLMAESGDSNAMAVQNFEVTHKQVLTFKETKFFIACGDVHKREQESMIKARSKPSFFGDYGREKLAPLFLTFYQETEVEQLSIIDADCNVIEEMIGKKRYEWNLAWSPCYGYLQRTAYKDCLVNYCMVTFSASPLIQDREKLTIWPRPPLQEPHVALNDLMFNLLKRTGDPDQYLNLIDQSLPNGKLGLTQLQFLRGLEYPLVRLLNNNLLLERLSVMNGLEAPLSRLKNSSLDLYVLNHLKAIDPPIRSLYNDNLHLATLHTLSWLSTSLSRVRGSIELEAWHSKKGNAVEEASMITYIRRPDPPDYKEKPMGILRM
+>sp|Q9NZN8|CNOT2_HUMAN CCR4-NOT transcription complex subunit 2 OS=Homo sapiens OX=9606 GN=CNOT2 PE=1 SV=1
+MVRTDGHTLSEKRNYQVTNSMFGASRKKFVEGVDSDYHDENMYYSQSSMFPHRSEKDMLASPSTSGQLSQFGASLYGQQSALGLPMRGMSNNTPQLNRSLSQGTQLPSHVTPTTGVPTMSLHTPPSPSRGILPMNPRNMMNHSQVGQGIGIPSRTNSMSSSGLGSPNRSSPSIICMPKQQPSRQPFTVNSMSGFGMNRNQAFGMNNSLSSNIFNGTDGSENVTGLDLSDFPALADRNRREGSGNPTPLINPLAGRAPYVGMVTKPANEQSQDFSIHNEDFPALPGSSYKDPTSSNDDSKSNLNTSGKTTSSTDGPKFPGDKSSTTQNNNQQKKGIQVLPDGRVTNIPQGMVTDQFGMIGLLTFIRAAETDPGMVHLALGSDLTTLGLNLNSPENLYPKFASPWASSPCRPQDIDFHVPSEYLTNIHIRDKLAAIKLGRYGEDLLFYLYYMNGGDVLQLLAAVELFNRDWRYHKEERVWITRAPGMEPTMKTNTYERGTYYFFDCLNWRKVAKEFHLEYDKLEERPHLPSTFNYNPAQQAF
+>DECOY_sp|Q9NZN8|CNOT2_HUMAN CCR4-NOT transcription complex subunit 2 OS=Homo sapiens OX=9606 GN=CNOT2 PE=1 SV=1
+FAQQAPNYNFTSPLHPREELKDYELHFEKAVKRWNLCDFFYYTGREYTNTKMTPEMGPARTIWVREEKHYRWDRNFLEVAALLQLVDGGNMYYLYFLLDEGYRGLKIAALKDRIHINTLYESPVHFDIDQPRCPSSAWPSAFKPYLNEPSNLNLGLTTLDSGLALHVMGPDTEAARIFTLLGIMGFQDTVMGQPINTVRGDPLVQIGKKQQNNNQTTSSKDGPFKPGDTSSTTKGSTNLNSKSDDNSSTPDKYSSGPLAPFDENHISFDQSQENAPKTVMGVYPARGALPNILPTPNGSGERRNRDALAPFDSLDLGTVNESGDTGNFINSSLSNNMGFAQNRNMGFGSMSNVTFPQRSPQQKPMCIISPSSRNPSGLGSSSMSNTRSPIGIGQGVQSHNMMNRPNMPLIGRSPSPPTHLSMTPVGTTPTVHSPLQTGQSLSRNLQPTNNSMGRMPLGLASQQGYLSAGFQSLQGSTSPSALMDKESRHPFMSSQSYYMNEDHYDSDVGEVFKKRSAGFMSNTVQYNRKESLTHGDTRVM
+>sp|Q9UFF9|CNOT8_HUMAN CCR4-NOT transcription complex subunit 8 OS=Homo sapiens OX=9606 GN=CNOT8 PE=1 SV=1
+MPAALVENSQVICEVWASNLEEEMRKIREIVLSYSYIAMDTEFPGVVVRPIGEFRSSIDYQYQLLRCNVDLLKIIQLGLTFTNEKGEYPSGINTWQFNFKFNLTEDMYSQDSIDLLANSGLQFQKHEEEGIDTLHFAELLMTSGVVLCDNVKWLSFHSGYDFGYMVKLLTDSRLPEEEHEFFHILNLFFPSIYDVKYLMKSCKNLKGGLQEVADQLDLQRIGRQHQAGSDSLLTGMAFFRMKELFFEDSIDDAKYCGRLYGLGTGVAQKQNEDVDSAQEKMSILAIINNMQQ
+>DECOY_sp|Q9UFF9|CNOT8_HUMAN CCR4-NOT transcription complex subunit 8 OS=Homo sapiens OX=9606 GN=CNOT8 PE=1 SV=1
+QQMNNIIALISMKEQASDVDENQKQAVGTGLGYLRGCYKADDISDEFFLEKMRFFAMGTLLSDSGAQHQRGIRQLDLQDAVEQLGGKLNKCSKMLYKVDYISPFFLNLIHFFEHEEEPLRSDTLLKVMYGFDYGSHFSLWKVNDCLVVGSTMLLEAFHLTDIGEEEHKQFQLGSNALLDISDQSYMDETLNFKFNFQWTNIGSPYEGKENTFTLGLQIIKLLDVNCRLLQYQYDISSRFEGIPRVVVGPFETDMAIYSYSLVIERIKRMEEELNSAWVECIVQSNEVLAAPM
+>sp|Q92600|CNOT9_HUMAN CCR4-NOT transcription complex subunit 9 OS=Homo sapiens OX=9606 GN=CNOT9 PE=1 SV=1
+MHSLATAAPVPTTLAQVDREKIYQWINELSSPETRENALLELSKKRESVPDLAPMLWHSFGTIAALLQEIVNIYPSINPPTLTAHQSNRVCNALALLQCVASHPETRSAFLAAHIPLFLYPFLHTVSKTRPFEYLRLTSLGVIGALVKTDEQEVINFLLTTEIIPLCLRIMESGSELSKTVATFILQKILLDDTGLAYICQTYERFSHVAMILGKMVLQLSKEPSARLLKHVVRCYLRLSDNPRAREALRQCLPDQLKDTTFAQVLKDDTTTKRWLAQLVKNLQEGQVTDPRGIPLPPQ
+>DECOY_sp|Q92600|CNOT9_HUMAN CCR4-NOT transcription complex subunit 9 OS=Homo sapiens OX=9606 GN=CNOT9 PE=1 SV=1
+QPPLPIGRPDTVQGEQLNKVLQALWRKTTTDDKLVQAFTTDKLQDPLCQRLAERARPNDSLRLYCRVVHKLLRASPEKSLQLVMKGLIMAVHSFREYTQCIYALGTDDLLIKQLIFTAVTKSLESGSEMIRLCLPIIETTLLFNIVEQEDTKVLAGIVGLSTLRLYEFPRTKSVTHLFPYLFLPIHAALFASRTEPHSAVCQLLALANCVRNSQHATLTPPNISPYINVIEQLLAAITGFSHWLMPALDPVSERKKSLELLANERTEPSSLENIWQYIKERDVQALTTPVPAATALSHM
+>sp|Q9Y2B0|CNPY2_HUMAN Protein canopy homolog 2 OS=Homo sapiens OX=9606 GN=CNPY2 PE=1 SV=1
+MKGWGWLALLLGALLGTAWARRSQDLHCGACRALVDELEWEIAQVDPKKTIQMGSFRINPDGSQSVVEVPYARSEAHLTELLEEICDRMKEYGEQIDPSTHRKNYVRVVGRNGESSELDLQGIRIDSDISGTLKFACESIVEEYEDELIEFFSREADNVKDKLCSKRTDLCDHALHISHDEL
+>DECOY_sp|Q9Y2B0|CNPY2_HUMAN Protein canopy homolog 2 OS=Homo sapiens OX=9606 GN=CNPY2 PE=1 SV=1
+LEDHSIHLAHDCLDTRKSCLKDKVNDAERSFFEILEDEYEEVISECAFKLTGSIDSDIRIGQLDLESSEGNRGVVRVYNKRHTSPDIQEGYEKMRDCIEELLETLHAESRAYPVEVVSQSGDPNIRFSGMQITKKPDVQAIEWELEDVLARCAGCHLDQSRRAWATGLLAGLLLALWGWGKM
+>sp|P34972|CNR2_HUMAN Cannabinoid receptor 2 OS=Homo sapiens OX=9606 GN=CNR2 PE=1 SV=1
+MEECWVTEIANGSKDGLDSNPMKDYMILSGPQKTAVAVLCTLLGLLSALENVAVLYLILSSHQLRRKPSYLFIGSLAGADFLASVVFACSFVNFHVFHGVDSKAVFLLKIGSVTMTFTASVGSLLLTAIDRYLCLRYPPSYKALLTRGRALVTLGIMWVLSALVSYLPLMGWTCCPRPCSELFPLIPNDYLLSWLLFIAFLFSGIIYTYGHVLWKAHQHVASLSGHQDRQVPGMARMRLDVRLAKTLGLVLAVLLICWFPVLALMAHSLATTLSDQVKKAFAFCSMLCLINSMVNPVIYALRSGEIRSSAHHCLAHWKKCVRGLGSEAKEEAPRSSVTETEADGKITPWPDSRDLDLSDC
+>DECOY_sp|P34972|CNR2_HUMAN Cannabinoid receptor 2 OS=Homo sapiens OX=9606 GN=CNR2 PE=1 SV=1
+CDSLDLDRSDPWPTIKGDAETETVSSRPAEEKAESGLGRVCKKWHALCHHASSRIEGSRLAYIVPNVMSNILCLMSCFAFAKKVQDSLTTALSHAMLALVPFWCILLVALVLGLTKALRVDLRMRAMGPVQRDQHGSLSAVHQHAKWLVHGYTYIIGSFLFAIFLLWSLLYDNPILPFLESCPRPCCTWGMLPLYSVLASLVWMIGLTVLARGRTLLAKYSPPYRLCLYRDIATLLLSGVSATFTMTVSGIKLLFVAKSDVGHFVHFNVFSCAFVVSALFDAGALSGIFLYSPKRRLQHSSLILYLVAVNELASLLGLLTCLVAVATKQPGSLIMYDKMPNSDLGDKSGNAIETVWCEEM
+>sp|P08123|CO1A2_HUMAN Collagen alpha-2(I) chain OS=Homo sapiens OX=9606 GN=COL1A2 PE=1 SV=7
+MLSFVDTRTLLLLAVTLCLATCQSLQEETVRKGPAGDRGPRGERGPPGPPGRDGEDGPTGPPGPPGPPGPPGLGGNFAAQYDGKGVGLGPGPMGLMGPRGPPGAAGAPGPQGFQGPAGEPGEPGQTGPAGARGPAGPPGKAGEDGHPGKPGRPGERGVVGPQGARGFPGTPGLPGFKGIRGHNGLDGLKGQPGAPGVKGEPGAPGENGTPGQTGARGLPGERGRVGAPGPAGARGSDGSVGPVGPAGPIGSAGPPGFPGAPGPKGEIGAVGNAGPAGPAGPRGEVGLPGLSGPVGPPGNPGANGLTGAKGAAGLPGVAGAPGLPGPRGIPGPVGAAGATGARGLVGEPGPAGSKGESGNKGEPGSAGPQGPPGPSGEEGKRGPNGEAGSAGPPGPPGLRGSPGSRGLPGADGRAGVMGPPGSRGASGPAGVRGPNGDAGRPGEPGLMGPRGLPGSPGNIGPAGKEGPVGLPGIDGRPGPIGPAGARGEPGNIGFPGPKGPTGDPGKNGDKGHAGLAGARGAPGPDGNNGAQGPPGPQGVQGGKGEQGPPGPPGFQGLPGPSGPAGEVGKPGERGLHGEFGLPGPAGPRGERGPPGESGAAGPTGPIGSRGPSGPPGPDGNKGEPGVVGAVGTAGPSGPSGLPGERGAAGIPGGKGEKGEPGLRGEIGNPGRDGARGAPGAVGAPGPAGATGDRGEAGAAGPAGPAGPRGSPGERGEVGPAGPNGFAGPAGAAGQPGAKGERGAKGPKGENGVVGPTGPVGAAGPAGPNGPPGPAGSRGDGGPPGMTGFPGAAGRTGPPGPSGISGPPGPPGPAGKEGLRGPRGDQGPVGRTGEVGAVGPPGFAGEKGPSGEAGTAGPPGTPGPQGLLGAPGILGLPGSRGERGLPGVAGAVGEPGPLGIAGPPGARGPPGAVGSPGVNGAPGEAGRDGNPGNDGPPGRDGQPGHKGERGYPGNIGPVGAAGAPGPHGPVGPAGKHGNRGETGPSGPVGPAGAVGPRGPSGPQGIRGDKGEPGEKGPRGLPGLKGHNGLQGLPGIAGHHGDQGAPGSVGPAGPRGPAGPSGPAGKDGRTGHPGTVGPAGIRGPQGHQGPAGPPGPPGPPGPPGVSGGGYDFGYDGDFYRADQPRSAPSLRPKDYEVDATLKSLNNQIETLLTPEGSRKNPARTCRDLRLSHPEWSSGYYWIDPNQGCTMDAIKVYCDFSTGETCIRAQPENIPAKNWYRSSKDKKHVWLGETINAGSQFEYNVEGVTSKEMATQLAFMRLLANYASQNITYHCKNSIAYMDEETGNLKKAVILQGSNDVELVAEGNSRFTYTVLVDGCSKKTNEWGKTIIEYKTNKPSRLPFLDIAPLDIGGADQEFFVDIGPVCFK
+>DECOY_sp|P08123|CO1A2_HUMAN Collagen alpha-2(I) chain OS=Homo sapiens OX=9606 GN=COL1A2 PE=1 SV=7
+KFCVPGIDVFFEQDAGGIDLPAIDLFPLRSPKNTKYEIITKGWENTKKSCGDVLVTYTFRSNGEAVLEVDNSGQLIVAKKLNGTEEDMYAISNKCHYTINQSAYNALLRMFALQTAMEKSTVGEVNYEFQSGANITEGLWVHKKDKSSRYWNKAPINEPQARICTEGTSFDCYVKIADMTCGQNPDIWYYGSSWEPHSLRLDRCTRAPNKRSGEPTLLTEIQNNLSKLTADVEYDKPRLSPASRPQDARYFDGDYGFDYGGGSVGPPGPPGPPGPPGAPGQHGQPGRIGAPGVTGPHGTRGDKGAPGSPGAPGRPGAPGVSGPAGQDGHHGAIGPLGQLGNHGKLGPLGRPGKEGPEGKDGRIGQPGSPGRPGVAGAPGVPGSPGTEGRNGHKGAPGVPGHPGPAGAAGVPGINGPYGREGKHGPQGDRGPPGDNGPNGDRGAEGPAGNVGPSGVAGPPGRAGPPGAIGLPGPEGVAGAVGPLGREGRSGPLGLIGPAGLLGQPGPTGPPGATGAEGSPGKEGAFGPPGVAGVEGTRGVPGQDGRPGRLGEKGAPGPPGPPGSIGSPGPPGTRGAAGPFGTMGPPGGDGRSGAPGPPGNPGAPGAAGVPGTPGVVGNEGKPGKAGREGKAGPQGAAGAPGAFGNPGAPGVEGREGPSGRPGAPGAPGAAGAEGRDGTAGAPGPAGVAGPAGRAGDRGPNGIEGRLGPEGKEGKGGPIGAAGREGPLGSPGSPGATGVAGVVGPEGKNGDPGPPGSPGRSGIPGTPGAAGSEGPPGREGRPGAPGPLGFEGHLGREGPKGVEGAPGSPGPLGQFGPPGPPGQEGKGGQVGQPGPPGQAGNNGDPGPAGRAGALGAHGKDGNKGPDGTPGKPGPFGINGPEGRAGAPGIPGPRGDIGPLGVPGEKGAPGINGPSGPLGRPGMLGPEGPRGADGNPGRVGAPGSAGRSGPPGMVGARGDAGPLGRSGPSGRLGPPGPPGASGAEGNPGRKGEEGSPGPPGQPGASGPEGKNGSEGKSGAPGPEGVLGRAGTAGAAGVPGPIGRPGPLGPAGAVGPLGAAGKAGTLGNAGPNGPPGVPGSLGPLGVEGRPGAPGAPGANGVAGIEGKPGPAGPFGPPGASGIPGAPGVPGVSGDSGRAGAPGPAGVRGREGPLGRAGTQGPTGNEGPAGPEGKVGPAGPQGKLGDLGNHGRIGKFGPLGPTGPFGRAGQPGVVGREGPRGPKGPHGDEGAKGPPGAPGRAGAPGTQGPEGPEGAPGQFGQPGPAGAAGPPGRPGMLGMPGPGLGVGKGDYQAAFNGGLGPPGPPGPPGPPGTPGDEGDRGPPGPPGREGRPGRDGAPGKRVTEEQLSQCTALCLTVALLLLTRTDVFSLM
+>sp|P02458|CO2A1_HUMAN Collagen alpha-1(II) chain OS=Homo sapiens OX=9606 GN=COL2A1 PE=1 SV=3
+MIRLGAPQTLVLLTLLVAAVLRCQGQDVQEAGSCVQDGQRYNDKDVWKPEPCRICVCDTGTVLCDDIICEDVKDCLSPEIPFGECCPICPTDLATASGQPGPKGQKGEPGDIKDIVGPKGPPGPQGPAGEQGPRGDRGDKGEKGAPGPRGRDGEPGTPGNPGPPGPPGPPGPPGLGGNFAAQMAGGFDEKAGGAQLGVMQGPMGPMGPRGPPGPAGAPGPQGFQGNPGEPGEPGVSGPMGPRGPPGPPGKPGDDGEAGKPGKAGERGPPGPQGARGFPGTPGLPGVKGHRGYPGLDGAKGEAGAPGVKGESGSPGENGSPGPMGPRGLPGERGRTGPAGAAGARGNDGQPGPAGPPGPVGPAGGPGFPGAPGAKGEAGPTGARGPEGAQGPRGEPGTPGSPGPAGASGNPGTDGIPGAKGSAGAPGIAGAPGFPGPRGPPGPQGATGPLGPKGQTGEPGIAGFKGEQGPKGEPGPAGPQGAPGPAGEEGKRGARGEPGGVGPIGPPGERGAPGNRGFPGQDGLAGPKGAPGERGPSGLAGPKGANGDPGRPGEPGLPGARGLTGRPGDAGPQGKVGPSGAPGEDGRPGPPGPQGARGQPGVMGFPGPKGANGEPGKAGEKGLPGAPGLRGLPGKDGETGAAGPPGPAGPAGERGEQGAPGPSGFQGLPGPPGPPGEGGKPGDQGVPGEAGAPGLVGPRGERGFPGERGSPGAQGLQGPRGLPGTPGTDGPKGASGPAGPPGAQGPPGLQGMPGERGAAGIAGPKGDRGDVGEKGPEGAPGKDGGRGLTGPIGPPGPAGANGEKGEVGPPGPAGSAGARGAPGERGETGPPGPAGFAGPPGADGQPGAKGEQGEAGQKGDAGAPGPQGPSGAPGPQGPTGVTGPKGARGAQGPPGATGFPGAAGRVGPPGSNGNPGPPGPPGPSGKDGPKGARGDSGPPGRAGEPGLQGPAGPPGEKGEPGDDGPSGAEGPPGPQGLAGQRGIVGLPGQRGERGFPGLPGPSGEPGKQGAPGASGDRGPPGPVGPPGLTGPAGEPGREGSPGADGPPGRDGAAGVKGDRGETGAVGAPGAPGPPGSPGPAGPTGKQGDRGEAGAQGPMGPSGPAGARGIQGPQGPRGDKGEAGEPGERGLKGHRGFTGLQGLPGPPGPSGDQGASGPAGPSGPRGPPGPVGPSGKDGANGIPGPIGPPGPRGRSGETGPAGPPGNPGPPGPPGPPGPGIDMSAFAGLGPREKGPDPLQYMRADQAAGGLRQHDAEVDATLKSLNNQIESIRSPEGSRKNPARTCRDLKLCHPEWKSGDYWIDPNQGCTLDAMKVFCNMETGETCVYPNPANVPKKNWWSSKSKEKKHIWFGETINGGFHFSYGDDNLAPNTANVQMTFLRLLSTEGSQNITYHCKNSIAYLDEAAGNLKKALLIQGSNDVEIRAEGNSRFTYTALKDGCTKHTGKWGKTVIEYRSQKTSRLPIIDIAPMDIGGPEQEFGVDIGPVCFL
+>DECOY_sp|P02458|CO2A1_HUMAN Collagen alpha-1(II) chain OS=Homo sapiens OX=9606 GN=COL2A1 PE=1 SV=3
+LFCVPGIDVGFEQEPGGIDMPAIDIIPLRSTKQSRYEIVTKGWKGTHKTCGDKLATYTFRSNGEARIEVDNSGQILLAKKLNGAAEDLYAISNKCHYTINQSGETSLLRLFTMQVNATNPALNDDGYSFHFGGNITEGFWIHKKEKSKSSWWNKKPVNAPNPYVCTEGTEMNCFVKMADLTCGQNPDIWYDGSKWEPHCLKLDRCTRAPNKRSGEPSRISEIQNNLSKLTADVEADHQRLGGAAQDARMYQLPDPGKERPGLGAFASMDIGPGPPGPPGPPGPNGPPGAPGTEGSRGRPGPPGIPGPIGNAGDKGSPGVPGPPGRPGSPGAPGSAGQDGSPGPPGPLGQLGTFGRHGKLGREGPEGAEGKDGRPGQPGQIGRAGAPGSPGMPGQAGAEGRDGQKGTPGAPGPSGPPGPAGPAGVAGTEGRDGKVGAAGDRGPPGDAGPSGERGPEGAPGTLGPPGVPGPPGRDGSAGPAGQKGPEGSPGPLGPFGREGRQGPLGVIGRQGALGQPGPPGEAGSPGDDGPEGKEGPPGAPGQLGPEGARGPPGSDGRAGKPGDKGSPGPPGPPGPNGNSGPPGVRGAAGPFGTAGPPGQAGRAGKPGTVGTPGQPGPAGSPGQPGPAGADGKQGAEGQEGKAGPQGDAGPPGAFGAPGPPGTEGREGPAGRAGASGAPGPPGVEGKEGNAGAPGPPGIPGTLGRGGDKGPAGEPGKEGVDGRDGKPGAIGAAGREGPMGQLGPPGQAGPPGAPGSAGKPGDTGPTGPLGRPGQLGQAGPSGREGPFGREGRPGVLGPAGAEGPVGQDGPKGGEGPPGPPGPLGQFGSPGPAGQEGREGAPGAPGPPGAAGTEGDKGPLGRLGPAGPLGKEGAKGPEGNAGKPGPFGMVGPQGRAGQPGPPGPRGDEGPAGSPGVKGQPGADGPRGTLGRAGPLGPEGPRGPDGNAGKPGALGSPGREGPAGKPGALGDQGPFGRNGPAGREGPPGIPGVGGPEGRAGRKGEEGAPGPAGQPGAPGPEGKPGQEGKFGAIGPEGTQGKPGLPGTAGQPGPPGRPGPFGPAGAIGPAGASGKAGPIGDTGPNGSAGAPGPSGPTGPEGRPGQAGEPGRAGTPGAEGKAGPAGPFGPGGAPGVPGPPGAPGPQGDNGRAGAAGAPGTRGREGPLGRPGMPGPSGNEGPSGSEGKVGPAGAEGKAGDLGPYGRHGKVGPLGPTGPFGRAGQPGPPGREGAKGPKGAEGDDGPKGPPGPPGRPGMPGSVGPEGPEGPNGQFGQPGPAGAPGPPGRPGMPGMPGQMVGLQAGGAKEDFGGAMQAAFNGGLGPPGPPGPPGPPGPNGPTGPEGDRGRPGPAGKEGKDGRDGRPGQEGAPGQPGPPGKPGVIDKIDGPEGKQGKPGPQGSATALDTPCIPCCEGFPIEPSLCDKVDECIIDDCLVTGTDCVCIRCPEPKWVDKDNYRQGDQVCSGAEQVDQGQCRLVAAVLLTLLVLTQPAGLRIM
+>sp|P05997|CO5A2_HUMAN Collagen alpha-2(V) chain OS=Homo sapiens OX=9606 GN=COL5A2 PE=1 SV=3
+MMANWAEARPLLILIVLLGQFVSIKAQEEDEDEGYGEEIACTQNGQMYLNRDIWKPAPCQICVCDNGAILCDKIECQDVLDCADPVTPPGECCPVCSQTPGGGNTNFGRGRKGQKGEPGLVPVVTGIRGRPGPAGPPGSQGPRGERGPKGRPGPRGPQGIDGEPGVPGQPGAPGPPGHPSHPGPDGLSRPFSAQMAGLDEKSGLGSQVGLMPGSVGPVGPRGPQGLQGQQGGAGPTGPPGEPGDPGPMGPIGSRGPEGPPGKPGEDGEPGRNGNPGEVGFAGSPGARGFPGAPGLPGLKGHRGHKGLEGPKGEVGAPGSKGEAGPTGPMGAMGPLGPRGMPGERGRLGPQGAPGQRGAHGMPGKPGPMGPLGIPGSSGFPGNPGMKGEAGPTGARGPEGPQGQRGETGPPGPVGSPGLPGAIGTDGTPGAKGPTGSPGTSGPPGSAGPPGSPGPQGSTGPQGIRGQPGDPGVPGFKGEAGPKGEPGPHGIQGPIGPPGEEGKRGPRGDPGTVGPPGPVGERGAPGNRGFPGSDGLPGPKGAQGERGPVGSSGPKGSQGDPGRPGEPGLPGARGLTGNPGVQGPEGKLGPLGAPGEDGRPGPPGSIGIRGQPGSMGLPGPKGSSGDPGKPGEAGNAGVPGQRGAPGKDGEVGPSGPVGPPGLAGERGEQGPPGPTGFQGLPGPPGPPGEGGKPGDQGVPGDPGAVGPLGPRGERGNPGERGEPGITGLPGEKGMAGGHGPDGPKGSPGPSGTPGDTGPPGLQGMPGERGIAGTPGPKGDRGGIGEKGAEGTAGNDGARGLPGPLGPPGPAGPTGEKGEPGPRGLVGPPGSRGNPGSRGENGPTGAVGFAGPQGPDGQPGVKGEPGEPGQKGDAGSPGPQGLAGSPGPHGPNGVPGLKGGRGTQGPPGATGFPGSAGRVGPPGPAGAPGPAGPLGEPGKEGPPGLRGDPGSHGRVGDRGPAGPPGGPGDKGDPGEDGQPGPDGPPGPAGTTGQRGIVGMPGQRGERGMPGLPGPAGTPGKVGPTGATGDKGPPGPVGPPGSNGPVGEPGPEGPAGNDGTPGRDGAVGERGDRGDPGPAGLPGSQGAPGTPGPVGAPGDAGQRGDPGSRGPIGPPGRAGKRGLPGPQGPRGDKGDHGDRGDRGQKGHRGFTGLQGLPGPPGPNGEQGSAGIPGPFGPRGPPGPVGPSGKEGNPGPLGPIGPPGVRGSVGEAGPEGPPGEPGPPGPPGPPGHLTAALGDIMGHYDESMPDPLPEFTEDQAAPDDKNKTDPGVHATLKSLSSQIETMRSPDGSKKHPARTCDDLKLCHSAKQSGEYWIDPNQGSVEDAIKVYCNMETGETCISANPSSVPRKTWWASKSPDNKPVWYGLDMNRGSQFAYGDHQSPNTAITQMTFLRLLSKEASQNITYICKNSVGYMDDQAKNLKKAVVLKGANDLDIKAEGNIRFRYIVLQDTCSKRNGNVGKTVFEYRTQNVARLPIIDLAPVDVGGTDQEFGVEIGPVCFV
+>DECOY_sp|P05997|CO5A2_HUMAN Collagen alpha-2(V) chain OS=Homo sapiens OX=9606 GN=COL5A2 PE=1 SV=3
+VFCVPGIEVGFEQDTGGVDVPALDIIPLRAVNQTRYEFVTKGVNGNRKSCTDQLVIYRFRINGEAKIDLDNAGKLVVAKKLNKAQDDMYGVSNKCIYTINQSAEKSLLRLFTMQTIATNPSQHDGYAFQSGRNMDLGYWVPKNDPSKSAWWTKRPVSSPNASICTEGTEMNCYVKIADEVSGQNPDIWYEGSQKASHCLKLDDCTRAPHKKSGDPSRMTEIQSSLSKLTAHVGPDTKNKDDPAAQDETFEPLPDPMSEDYHGMIDGLAATLHGPPGPPGPPGPEGPPGEPGAEGVSGRVGPPGIPGLPGPNGEKGSPGVPGPPGRPGFPGPIGASGQEGNPGPPGPLGQLGTFGRHGKQGRDGRDGHDGKDGRPGQPGPLGRKGARGPPGIPGRSGPDGRQGADGPAGVPGPTGPAGQSGPLGAPGPDGRDGREGVAGDRGPTGDNGAPGEPGPEGVPGNSGPPGVPGPPGKDGTAGTPGVKGPTGAPGPLGPMGREGRQGPMGVIGRQGTTGAPGPPGDPGPQGDEGPDGKDGPGGPPGAPGRDGVRGHSGPDGRLGPPGEKGPEGLPGAPGPAGAPGPPGVRGASGPFGTAGPPGQTGRGGKLGPVGNPGHPGPSGALGQPGPSGADGKQGPEGPEGKVGPQGDPGQPGAFGVAGTPGNEGRSGPNGRSGPPGVLGRPGPEGKEGTPGAPGPPGLPGPLGRAGDNGATGEAGKEGIGGRDGKPGPTGAIGREGPMGQLGPPGTDGPTGSPGPSGKPGDPGHGGAMGKEGPLGTIGPEGREGPNGREGRPGLPGVAGPDGPVGQDGPKGGEGPPGPPGPLGQFGTPGPPGQEGREGALGPPGVPGSPGVEGDKGPAGRQGPVGANGAEGPKGPDGSSGKPGPLGMSGPQGRIGISGPPGPRGDEGPAGLPGLKGEPGQVGPNGTLGRAGPLGPEGPRGPDGQSGKPGSSGVPGREGQAGKPGPLGDSGPFGRNGPAGREGVPGPPGVTGPDGRPGRKGEEGPPGIPGQIGHPGPEGKPGAEGKFGPVGPDGPQGRIGQPGTSGQPGPSGPPGASGPPGSTGPSGTPGKAGPTGDTGIAGPLGPSGVPGPPGTEGRQGQPGEPGRAGTPGAEGKMGPNGPFGSSGPIGLPGMPGPKGPMGHAGRQGPAGQPGLRGREGPMGRPGLPGMAGMPGTPGAEGKSGPAGVEGKPGELGKHGRHGKLGPLGPAGPFGRAGPSGAFGVEGPNGNRGPEGDEGPKGPPGEPGRSGIPGMPGPDGPEGPPGTPGAGGQQGQLGQPGRPGVPGVSGPMLGVQSGLGSKEDLGAMQASFPRSLGDPGPHSPHGPPGPAGPQGPVGPEGDIGQPGRPGPRGKPGREGRPGQSGPPGAPGPRGRIGTVVPVLGPEGKQGKRGRGFNTNGGGPTQSCVPCCEGPPTVPDACDLVDQCEIKDCLIAGNDCVCIQCPAPKWIDRNLYMQGNQTCAIEEGYGEDEDEEQAKISVFQGLLVILILLPRAEAWNAMM
+>sp|P01031|CO5_HUMAN Complement C5 OS=Homo sapiens OX=9606 GN=C5 PE=1 SV=4
+MGLLGILCFLIFLGKTWGQEQTYVISAPKIFRVGASENIVIQVYGYTEAFDATISIKSYPDKKFSYSSGHVHLSSENKFQNSAILTIQPKQLPGGQNPVSYVYLEVVSKHFSKSKRMPITYDNGFLFIHTDKPVYTPDQSVKVRVYSLNDDLKPAKRETVLTFIDPEGSEVDMVEEIDHIGIISFPDFKIPSNPRYGMWTIKAKYKEDFSTTGTAYFEVKEYVLPHFSVSIEPEYNFIGYKNFKNFEITIKARYFYNKVVTEADVYITFGIREDLKDDQKEMMQTAMQNTMLINGIAQVTFDSETAVKELSYYSLEDLNNKYLYIAVTVIESTGGFSEEAEIPGIKYVLSPYKLNLVATPLFLKPGIPYPIKVQVKDSLDQLVGGVPVTLNAQTIDVNQETSDLDPSKSVTRVDDGVASFVLNLPSGVTVLEFNVKTDAPDLPEENQAREGYRAIAYSSLSQSYLYIDWTDNHKALLVGEHLNIIVTPKSPYIDKITHYNYLILSKGKIIHFGTREKFSDASYQSINIPVTQNMVPSSRLLVYYIVTGEQTAELVSDSVWLNIEEKCGNQLQVHLSPDADAYSPGQTVSLNMATGMDSWVALAAVDSAVYGVQRGAKKPLERVFQFLEKSDLGCGAGGGLNNANVFHLAGLTFLTNANADDSQENDEPCKEILRPRRTLQKKIEEIAAKYKHSVVKKCCYDGACVNNDETCEQRAARISLGPRCIKAFTECCVVASQLRANISHKDMQLGRLHMKTLLPVSKPEIRSYFPESWLWEVHLVPRRKQLQFALPDSLTTWEIQGVGISNTGICVADTVKAKVFKDVFLEMNIPYSVVRGEQIQLKGTVYNYRTSGMQFCVKMSAVEGICTSESPVIDHQGTKSSKCVRQKVEGSSSHLVTFTVLPLEIGLHNINFSLETWFGKEILVKTLRVVPEGVKRESYSGVTLDPRGIYGTISRRKEFPYRIPLDLVPKTEIKRILSVKGLLVGEILSAVLSQEGINILTHLPKGSAEAELMSVVPVFYVFHYLETGNHWNIFHSDPLIEKQKLKKKLKEGMLSIMSYRNADYSYSVWKGGSASTWLTAFALRVLGQVNKYVEQNQNSICNSLLWLVENYQLDNGSFKENSQYQPIKLQGTLPVEARENSLYLTAFTVIGIRKAFDICPLVKIDTALIKADNFLLENTLPAQSTFTLAISAYALSLGDKTHPQFRSIVSALKREALVKGNPPIYRFWKDNLQHKDSSVPNTGTARMVETTAYALLTSLNLKDINYVNPVIKWLSEEQRYGGGFYSTQDTINAIEGLTEYSLLVKQLRLSMDIDVSYKHKGALHNYKMTDKNFLGRPVEVLLNDDLIVSTGFGSGLATVHVTTVVHKTSTSEEVCSFYLKIDTQDIEASHYRGYGNSDYKRIVACASYKPSREESSSGSSHAVMDISLPTGISANEEDLKALVEGVDQLFTDYQIKDGHVILQLNSIPSSDFLCVRFRIFELFEVGFLSPATFTVYEYHRPDKQCTMFYSTSNIKIQKVCEGAACKCVEADCGQMQEELDLTISAETRKQTACKPEIAYAYKVSITSITVENVFVKYKATLLDIYKTGEAVAEKDSEITFIKKVTCTNAELVKGRQYLIMGKEALQIKYNFSFRYIYPLDSLTWIEYWPRDTTCSSCQAFLANLDEFAEDIFLNGC
+>DECOY_sp|P01031|CO5_HUMAN Complement C5 OS=Homo sapiens OX=9606 GN=C5 PE=1 SV=4
+CGNLFIDEAFEDLNALFAQCSSCTTDRPWYEIWTLSDLPYIYRFSFNYKIQLAEKGMILYQRGKVLEANTCTVKKIFTIESDKEAVAEGTKYIDLLTAKYKVFVNEVTISTISVKYAYAIEPKCATQKRTEASITLDLEEQMQGCDAEVCKCAAGECVKQIKINSTSYFMTCQKDPRHYEYVTFTAPSLFGVEFLEFIRFRVCLFDSSPISNLQLIVHGDKIQYDTFLQDVGEVLAKLDEENASIGTPLSIDMVAHSSGSSSEERSPKYSACAVIRKYDSNGYGRYHSAEIDQTDIKLYFSCVEESTSTKHVVTTVHVTALGSGFGTSVILDDNLLVEVPRGLFNKDTMKYNHLAGKHKYSVDIDMSLRLQKVLLSYETLGEIANITDQTSYFGGGYRQEESLWKIVPNVYNIDKLNLSTLLAYATTEVMRATGTNPVSSDKHQLNDKWFRYIPPNGKVLAERKLASVISRFQPHTKDGLSLAYASIALTFTSQAPLTNELLFNDAKILATDIKVLPCIDFAKRIGIVTFATLYLSNERAEVPLTGQLKIPQYQSNEKFSGNDLQYNEVLWLLSNCISNQNQEVYKNVQGLVRLAFATLWTSASGGKWVSYSYDANRYSMISLMGEKLKKKLKQKEILPDSHFINWHNGTELYHFVYFVPVVSMLEAEASGKPLHTLINIGEQSLVASLIEGVLLGKVSLIRKIETKPVLDLPIRYPFEKRRSITGYIGRPDLTVGSYSERKVGEPVVRLTKVLIEKGFWTELSFNINHLGIELPLVTFTVLHSSSGEVKQRVCKSSKTGQHDIVPSESTCIGEVASMKVCFQMGSTRYNYVTGKLQIQEGRVVSYPINMELFVDKFVKAKVTDAVCIGTNSIGVGQIEWTTLSDPLAFQLQKRRPVLHVEWLWSEPFYSRIEPKSVPLLTKMHLRGLQMDKHSINARLQSAVVCCETFAKICRPGLSIRAARQECTEDNNVCAGDYCCKKVVSHKYKAAIEEIKKQLTRRPRLIEKCPEDNEQSDDANANTLFTLGALHFVNANNLGGGAGCGLDSKELFQFVRELPKKAGRQVGYVASDVAALAVWSDMGTAMNLSVTQGPSYADADPSLHVQLQNGCKEEINLWVSDSVLEATQEGTVIYYVLLRSSPVMNQTVPINISQYSADSFKERTGFHIIKGKSLILYNYHTIKDIYPSKPTVIINLHEGVLLAKHNDTWDIYLYSQSLSSYAIARYGERAQNEEPLDPADTKVNFELVTVGSPLNLVFSAVGDDVRTVSKSPDLDSTEQNVDITQANLTVPVGGVLQDLSDKVQVKIPYPIGPKLFLPTAVLNLKYPSLVYKIGPIEAEESFGGTSEIVTVAIYLYKNNLDELSYYSLEKVATESDFTVQAIGNILMTNQMATQMMEKQDDKLDERIGFTIYVDAETVVKNYFYRAKITIEFNKFNKYGIFNYEPEISVSFHPLVYEKVEFYATGTTSFDEKYKAKITWMGYRPNSPIKFDPFSIIGIHDIEEVMDVESGEPDIFTLVTERKAPKLDDNLSYVRVKVSQDPTYVPKDTHIFLFGNDYTIPMRKSKSFHKSVVELYVYSVPNQGGPLQKPQITLIASNQFKNESSLHVHGSSYSFKKDPYSKISITADFAETYGYVQIVINESAGVRFIKPASIVYTQEQGWTKGLFILFCLIGLLGM
+>sp|P12109|CO6A1_HUMAN Collagen alpha-1(VI) chain OS=Homo sapiens OX=9606 GN=COL6A1 PE=1 SV=3
+MRAARALLPLLLQACWTAAQDEPETPRAVAFQDCPVDLFFVLDTSESVALRLKPYGALVDKVKSFTKRFIDNLRDRYYRCDRNLVWNAGALHYSDEVEIIQGLTRMPGGRDALKSSVDAVKYFGKGTYTDCAIKKGLEQLLVGGSHLKENKYLIVVTDGHPLEGYKEPCGGLEDAVNEAKHLGVKVFSVAITPDHLEPRLSIIATDHTYRRNFTAADWGQSRDAEEAISQTIDTIVDMIKNNVEQVCCSFECQPARGPPGLRGDPGFEGERGKPGLPGEKGEAGDPGRPGDLGPVGYQGMKGEKGSRGEKGSRGPKGYKGEKGKRGIDGVDGVKGEMGYPGLPGCKGSPGFDGIQGPPGPKGDPGAFGLKGEKGEPGADGEAGRPGSSGPSGDEGQPGEPGPPGEKGEAGDEGNPGPDGAPGERGGPGERGPRGTPGTRGPRGDPGEAGPQGDQGREGPVGVPGDPGEAGPIGPKGYRGDEGPPGSEGARGAPGPAGPPGDPGLMGERGEDGPAGNGTEGFPGFPGYPGNRGAPGINGTKGYPGLKGDEGEAGDPGDDNNDIAPRGVKGAKGYRGPEGPQGPPGHQGPPGPDECEILDIIMKMCSCCECKCGPIDLLFVLDSSESIGLQNFEIAKDFVVKVIDRLSRDELVKFEPGQSYAGVVQYSHSQMQEHVSLRSPSIRNVQELKEAIKSLQWMAGGTFTGEALQYTRDQLLPPSPNNRIALVITDGRSDTQRDTTPLNVLCSPGIQVVSVGIKDVFDFIPGSDQLNVISCQGLAPSQGRPGLSLVKENYAELLEDAFLKNVTAQICIDKKCPDYTCPITFSSPADITILLDGSASVGSHNFDTTKRFAKRLAERFLTAGRTDPAHDVRVAVVQYSGTGQQRPERASLQFLQNYTALASAVDAMDFINDATDVNDALGYVTRFYREASSGAAKKRLLLFSDGNSQGATPAAIEKAVQEAQRAGIEIFVVVVGRQVNEPHIRVLVTGKTAEYDVAYGESHLFRVPSYQALLRGVFHQTVSRKVALG
+>DECOY_sp|P12109|CO6A1_HUMAN Collagen alpha-1(VI) chain OS=Homo sapiens OX=9606 GN=COL6A1 PE=1 SV=3
+GLAVKRSVTQHFVGRLLAQYSPVRFLHSEGYAVDYEATKGTVLVRIHPENVQRGVVVVFIEIGARQAEQVAKEIAAPTAGQSNGDSFLLLRKKAAGSSAERYFRTVYGLADNVDTADNIFDMADVASALATYNQLFQLSAREPRQQGTGSYQVVAVRVDHAPDTRGATLFREALRKAFRKTTDFNHSGVSASGDLLITIDAPSSFTIPCTYDPCKKDICIQATVNKLFADELLEAYNEKVLSLGPRGQSPALGQCSIVNLQDSGPIFDFVDKIGVSVVQIGPSCLVNLPTTDRQTDSRGDTIVLAIRNNPSPPLLQDRTYQLAEGTFTGGAMWQLSKIAEKLEQVNRISPSRLSVHEQMQSHSYQVVGAYSQGPEFKVLEDRSLRDIVKVVFDKAIEFNQLGISESSDLVFLLDIPGCKCECCSCMKMIIDLIECEDPGPPGQHGPPGQPGEPGRYGKAGKVGRPAIDNNDDGPDGAEGEDGKLGPYGKTGNIGPAGRNGPYGPFGPFGETGNGAPGDEGREGMLGPDGPPGAPGPAGRAGESGPPGEDGRYGKPGIPGAEGPDGPVGVPGERGQDGQPGAEGPDGRPGRTGPTGRPGREGPGGREGPAGDPGPNGEDGAEGKEGPPGPEGPQGEDGSPGSSGPRGAEGDAGPEGKEGKLGFAGPDGKPGPPGQIGDFGPSGKCGPLGPYGMEGKVGDVGDIGRKGKEGKYGKPGRSGKEGRSGKEGKMGQYGVPGLDGPRGPDGAEGKEGPLGPKGREGEFGPDGRLGPPGRAPQCEFSCCVQEVNNKIMDVITDITQSIAEEADRSQGWDAATFNRRYTHDTAIISLRPELHDPTIAVSFVKVGLHKAENVADELGGCPEKYGELPHGDTVVILYKNEKLHSGGVLLQELGKKIACDTYTGKGFYKVADVSSKLADRGGPMRTLGQIIEVEDSYHLAGANWVLNRDCRYYRDRLNDIFRKTFSKVKDVLAGYPKLRLAVSESTDLVFFLDVPCDQFAVARPTEPEDQAATWCAQLLLPLLARAARM
+>sp|A8TX70|CO6A5_HUMAN Collagen alpha-5(VI) chain OS=Homo sapiens OX=9606 GN=COL6A5 PE=1 SV=1
+MKILLIIFVLIIWTETLADQSPGPGPVYADVVFLVDSSDHLGPKSFPFVKTFINKMINSLPIEANKYRVALAQYSDEFHSEFHLSTFKGRSPMLNHLKKNFQFIGGSLQIGKALQEAHRTYFSAPINGRDRKQFPPILVVLASAESEDEVEEASKALQKDGVKIISVGVQKASEENLKAMATSHFHFNLRTIRDLSTFSQNMTQIIKDVTKYKEGAVDADMQVHFPISCQKDSLADLVFLVDESLGTGGNLRHLQTFLENITSSMDVKENCMRLGLMSYSNSAKTISFLKSSTTQSEFQQQIKNLSIQVGKSNTGAAIDQMRRDGFSESYGSRRAQGVPQIAVLVTHRPSDDEVHDAALNLRLEDVNVFALSIQGANNTQLEEIVSYPPEQTISTLKSYADLETYSTKFLKKLQNEIWSQISTYAEQRNLDKTGCVDTKEADIHFLIDGSSSIQEKQFEQIKRFMLEVTEMFSIGPDKVRVGVVQYSDDTEVEFYITDYSNDIDLRKAIFNIKQLTGGTYTGKALDYILQIIKNGMKDRMSKVPCYLIVLTDGMSTDRVVEPAKRLRAEQITVHAVGIGAANKIELQEIAGKEERVSFGQNFDALKSIKNEVVREICAEKGCEDMKADIMFLVDSSWSIGNENFRKMKIFMKNLLTKIQIGADKTQIGVVQFSDKTKEEFQLNRYFTQQEISDAIDRMSLINEGTLTGKALNFVGQYFTHSKGARLGAKKFLILITDGVAQDDVRDPARILRGKDVTIFSVGVYNANRSQLEEISGDSSLVFHVENFDHLKALERKLIFRVCALHDCKRITLLDVVFVLDHSGSIKKQYQDHMINLTIHLVKKADVGRDRVQFGALKYSDQPNILFYLNTYSNRSAIIENLRKRRDTGGNTYTAKALKHANALFTEEHGSRIKQNVKQMLIVITDGESHDHDQLNDTALELRNKGITIFAVGVGKANQKELEGMAGNKNNTIYVDNFDKLKDVFTLVQERMCTEAPEVCHLQEADVIFLCDGSDRVSNSDFVTMTTFLSDLIDNFDIQSQRMKIGMAQFGSNYQSIIELKNSLTKTQWKTQIQNVSKSGGFPRIDFALKKVSNMFNLHAGGRRNAGVPQTLVVITSGDPRYDVADAVKTLKDLGICVLVLGIGDVYKEHLLPITGNSEKIITFQDFDKLKNVDVKKRIIREICQSCGKTNCFMDIVVGFDISTHVQGQPLFQGHPQLESYLPGILEDISSIKGVSCGAGTEAQVSLAFKVNSDQGFPAKFQIYQKAVFDSLLQVNVSGPTHLNAQFLRSLWDTFKDKSASRGQVLLIFSDGLQSESNIMLENQSDRLREAGLDALLVVSLNTTAHHEFSSFEFGKRFDYRTHLTIGMRELGKKLSQYLGNIAERTCCCTFCKCPGIPGPHGTRGLQAMKGSQGLKGSRGHRGEDGNPGVRGDTGPQGDKGIAGCPGAWGQKGLKGFSGPKGGHGDDGIDGLDGEEGCHGFPGIKGEKGDPGSQGSPGSRGAPGQYGEKGFPGDPGNPGQNNNIKGQKGSKGEQGRQGRSGQKGVQGSPSSRGSRGREGQRGLRGVSGEPGNPGPTGTLGAEGLQGPQGSQGNPGRKGEKGSQGQKGPQGSPGLMGAKGSTGRPGLLGKKGEPGLPGDLGPVGQTGQRGRQGDSGIPGYGQMGRKGVKGPRGFPGDAGQKGDIGNPGIPGGPGPKGFRGLALTVGLKGEEGSRGLPGPPGQRGIKGMAGQPVYSQCDLIRFLREHSPCWKEKCPAYPTELVFALDNSYDVTEESFNKTRDIITSIVNDLNIRENNCPVGARVAMVSYNSGTSYLIRWSDYNRKKQLLQQLSQIKYQDTTEPRDVGNAMRFVTRNVFKRTYAGANVRRVAVFFSNGQTASRSSIITATMEFSALDISPTVFAFDERVFLEAFGFDNTGTFQVIPVPPNGENQTLERLRRCALCYDKCFPNACIREAFLPEDSYMDVVFLIDNSRNIAKDEFKAVKALVSSVIDNFNIASDPLISDSGDRIALLSYSPWESSRRKMGTVKTEFDFITYDNQLLMKNHIQTSFQQLNGEATIGRALLWTTENLFPETPYLRKHKVIFVVSAGENYERKEFVKMMALRAKCQGYVIFVISLGSTRKDDMEELASYPLDQHLIQLGRIHKPDLNYIAKFLKPFLYSVRRGFNQYPPPMLEDACRLINLGGENIQNDGFQFVTELQEDFLGGNGFIGQELNSGRESPFVKTEDNGSDYLVYLPSQMFEPQKLMINYEKDQKSAEIASLTSGHENYGRKEEPDHTYEPGDVSLQEYYMDVAFLIDASQRVGSDEFKEVKAFITSVLDYFHIAPTPLTSTLGDRVAVLSYSPPGYMPNTEECPVYLEFDLVTYNSIHQMKHHLQDSQQLNGDVFIGHALQWTIDNVFVGTPNLRKNKVIFVISAGETNSLDKDVLRNVSLRAKCQGYSIFVFSFGPKHNDKELEELASHPLDHHLVQLGRTHKPDWNYIIKFVKPFVHLIRRAINKYPTEDMKATCVNMTSPNPENGGTENTVLLLPGIYEIKTENGDLFDEFDSQAQHLLVLGNNHSSGSETATDLMQKLYLLFSTEKLAMKDKEKAHLEEISALVVDKQQEKEDKEMEATDI
+>DECOY_sp|A8TX70|CO6A5_HUMAN Collagen alpha-5(VI) chain OS=Homo sapiens OX=9606 GN=COL6A5 PE=1 SV=1
+IDTAEMEKDEKEQQKDVVLASIEELHAKEKDKMALKETSFLLYLKQMLDTATESGSSHNNGLVLLHQAQSDFEDFLDGNETKIEYIGPLLLVTNETGGNEPNPSTMNVCTAKMDETPYKNIARRILHVFPKVFKIIYNWDPKHTRGLQVLHHDLPHSALEELEKDNHKPGFSFVFISYGQCKARLSVNRLVDKDLSNTEGASIVFIVKNKRLNPTGVFVNDITWQLAHGIFVDGNLQQSDQLHHKMQHISNYTVLDFELYVPCEETNPMYGPPSYSLVAVRDGLTSTLPTPAIHFYDLVSTIFAKVEKFEDSGVRQSADILFAVDMYYEQLSVDGPEYTHDPEEKRGYNEHGSTLSAIEASKQDKEYNIMLKQPEFMQSPLYVLYDSGNDETKVFPSERGSNLEQGIFGNGGLFDEQLETVFQFGDNQINEGGLNILRCADELMPPPYQNFGRRVSYLFPKLFKAIYNLDPKHIRGLQILHQDLPYSALEEMDDKRTSGLSIVFIVYGQCKARLAMMKVFEKREYNEGASVVFIVKHKRLYPTEPFLNETTWLLARGITAEGNLQQFSTQIHNKMLLQNDYTIFDFETKVTGMKRRSSEWPSYSLLAIRDGSDSILPDSAINFNDIVSSVLAKVAKFEDKAINRSNDILFVVDMYSDEPLFAERICANPFCKDYCLACRRLRELTQNEGNPPVPIVQFTGTNDFGFAELFVREDFAFVTPSIDLASFEMTATIISSRSATQGNSFFVAVRRVNAGAYTRKFVNRTVFRMANGVDRPETTDQYKIQSLQQLLQKKRNYDSWRILYSTGSNYSVMAVRAGVPCNNERINLDNVISTIIDRTKNFSEETVDYSNDLAFVLETPYAPCKEKWCPSHERLFRILDCQSYVPQGAMGKIGRQGPPGPLGRSGEEGKLGVTLALGRFGKPGPGGPIGPNGIDGKQGADGPFGRPGKVGKRGMQGYGPIGSDGQRGRQGTQGVPGLDGPLGPEGKKGLLGPRGTSGKAGMLGPSGQPGKQGQSGKEGKRGPNGQSGQPGQLGEAGLTGTPGPNGPEGSVGRLGRQGERGRSGRSSPSGQVGKQGSRGQRGQEGKSGKQGKINNNQGPNGPDGPFGKEGYQGPAGRSGPSGQSGPDGKEGKIGPFGHCGEEGDLGDIGDDGHGGKPGSFGKLGKQGWAGPCGAIGKDGQPGTDGRVGPNGDEGRHGRSGKLGQSGKMAQLGRTGHPGPIGPCKCFTCCCTREAINGLYQSLKKGLERMGITLHTRYDFRKGFEFSSFEHHATTNLSVVLLADLGAERLRDSQNELMINSESQLGDSFILLVQGRSASKDKFTDWLSRLFQANLHTPGSVNVQLLSDFVAKQYIQFKAPFGQDSNVKFALSVQAETGAGCSVGKISSIDELIGPLYSELQPHGQFLPQGQVHTSIDFGVVIDMFCNTKGCSQCIERIIRKKVDVNKLKDFDQFTIIKESNGTIPLLHEKYVDGIGLVLVCIGLDKLTKVADAVDYRPDGSTIVVLTQPVGANRRGGAHLNFMNSVKKLAFDIRPFGGSKSVNQIQTKWQTKTLSNKLEIISQYNSGFQAMGIKMRQSQIDFNDILDSLFTTMTVFDSNSVRDSGDCLFIVDAEQLHCVEPAETCMREQVLTFVDKLKDFNDVYITNNKNGAMGELEKQNAKGVGVAFITIGKNRLELATDNLQDHDHSEGDTIVILMQKVNQKIRSGHEETFLANAHKLAKATYTNGGTDRRKRLNEIIASRNSYTNLYFLINPQDSYKLAGFQVRDRGVDAKKVLHITLNIMHDQYQKKISGSHDLVFVVDLLTIRKCDHLACVRFILKRELAKLHDFNEVHFVLSSDGSIEELQSRNANYVGVSFITVDKGRLIRAPDRVDDQAVGDTILILFKKAGLRAGKSHTFYQGVFNLAKGTLTGENILSMRDIADSIEQQTFYRNLQFEEKTKDSFQVVGIQTKDAGIQIKTLLNKMFIKMKRFNENGISWSSDVLFMIDAKMDECGKEACIERVVENKISKLADFNQGFSVREEKGAIEQLEIKNAAGIGVAHVTIQEARLRKAPEVVRDTSMGDTLVILYCPVKSMRDKMGNKIIQLIYDLAKGTYTGGTLQKINFIAKRLDIDNSYDTIYFEVETDDSYQVVGVRVKDPGISFMETVELMFRKIQEFQKEQISSSGDILFHIDAEKTDVCGTKDLNRQEAYTSIQSWIENQLKKLFKTSYTELDAYSKLTSITQEPPYSVIEELQTNNAGQISLAFVNVDELRLNLAADHVEDDSPRHTVLVAIQPVGQARRSGYSESFGDRRMQDIAAGTNSKGVQISLNKIQQQFESQTTSSKLFSITKASNSYSMLGLRMCNEKVDMSSTINELFTQLHRLNGGTGLSEDVLFVLDALSDKQCSIPFHVQMDADVAGEKYKTVDKIIQTMNQSFTSLDRITRLNFHFHSTAMAKLNEESAKQVGVSIIKVGDKQLAKSAEEVEDESEASALVVLIPPFQKRDRGNIPASFYTRHAEQLAKGIQLSGGIFQFNKKLHNLMPSRGKFTSLHFESHFEDSYQALAVRYKNAEIPLSNIMKNIFTKVFPFSKPGLHDSSDVLFVVDAYVPGPGPSQDALTETWIILVFIILLIKM
+>sp|Q02388|CO7A1_HUMAN Collagen alpha-1(VII) chain OS=Homo sapiens OX=9606 GN=COL7A1 PE=1 SV=2
+MTLRLLVAALCAGILAEAPRVRAQHRERVTCTRLYAADIVFLLDGSSSIGRSNFREVRSFLEGLVLPFSGAASAQGVRFATVQYSDDPRTEFGLDALGSGGDVIRAIRELSYKGGNTRTGAAILHVADHVFLPQLARPGVPKVCILITDGKSQDLVDTAAQRLKGQGVKLFAVGIKNADPEELKRVASQPTSDFFFFVNDFSILRTLLPLVSRRVCTTAGGVPVTRPPDDSTSAPRDLVLSEPSSQSLRVQWTAASGPVTGYKVQYTPLTGLGQPLPSERQEVNVPAGETSVRLRGLRPLTEYQVTVIALYANSIGEAVSGTARTTALEGPELTIQNTTAHSLLVAWRSVPGATGYRVTWRVLSGGPTQQQELGPGQGSVLLRDLEPGTDYEVTVSTLFGRSVGPATSLMARTDASVEQTLRPVILGPTSILLSWNLVPEARGYRLEWRRETGLEPPQKVVLPSDVTRYQLDGLQPGTEYRLTLYTLLEGHEVATPATVVPTGPELPVSPVTDLQATELPGQRVRVSWSPVPGATQYRIIVRSTQGVERTLVLPGSQTAFDLDDVQAGLSYTVRVSARVGPREGSASVLTVRREPETPLAVPGLRVVVSDATRVRVAWGPVPGASGFRISWSTGSGPESSQTLPPDSTATDITGLQPGTTYQVAVSVLRGREEGPAAVIVARTDPLGPVRTVHVTQASSSSVTITWTRVPGATGYRVSWHSAHGPEKSQLVSGEATVAELDGLEPDTEYTVHVRAHVAGVDGPPASVVVRTAPEPVGRVSRLQILNASSDVLRITWVGVTGATAYRLAWGRSEGGPMRHQILPGNTDSAEIRGLEGGVSYSVRVTALVGDREGTPVSIVVTTPPEAPPALGTLHVVQRGEHSLRLRWEPVPRAQGFLLHWQPEGGQEQSRVLGPELSSYHLDGLEPATQYRVRLSVLGPAGEGPSAEVTARTESPRVPSIELRVVDTSIDSVTLAWTPVSRASSYILSWRPLRGPGQEVPGSPQTLPGISSSQRVTGLEPGVSYIFSLTPVLDGVRGPEASVTQTPVCPRGLADVVFLPHATQDNAHRAEATRRVLERLVLALGPLGPQAVQVGLLSYSHRPSPLFPLNGSHDLGIILQRIRDMPYMDPSGNNLGTAVVTAHRYMLAPDAPGRRQHVPGVMVLLVDEPLRGDIFSPIREAQASGLNVVMLGMAGADPEQLRRLAPGMDSVQTFFAVDDGPSLDQAVSGLATALCQASFTTQPRPEPCPVYCPKGQKGEPGEMGLRGQVGPPGDPGLPGRTGAPGPQGPPGSATAKGERGFPGADGRPGSPGRAGNPGTPGAPGLKGSPGLPGPRGDPGERGPRGPKGEPGAPGQVIGGEGPGLPGRKGDPGPSGPPGPRGPLGDPGPRGPPGLPGTAMKGDKGDRGERGPPGPGEGGIAPGEPGLPGLPGSPGPQGPVGPPGKKGEKGDSEDGAPGLPGQPGSPGEQGPRGPPGAIGPKGDRGFPGPLGEAGEKGERGPPGPAGSRGLPGVAGRPGAKGPEGPPGPTGRQGEKGEPGRPGDPAVVGPAVAGPKGEKGDVGPAGPRGATGVQGERGPPGLVLPGDPGPKGDPGDRGPIGLTGRAGPPGDSGPPGEKGDPGRPGPPGPVGPRGRDGEVGEKGDEGPPGDPGLPGKAGERGLRGAPGVRGPVGEKGDQGDPGEDGRNGSPGSSGPKGDRGEPGPPGPPGRLVDTGPGAREKGEPGDRGQEGPRGPKGDPGLPGAPGERGIEGFRGPPGPQGDPGVRGPAGEKGDRGPPGLDGRSGLDGKPGAAGPSGPNGAAGKAGDPGRDGLPGLRGEQGLPGPSGPPGLPGKPGEDGKPGLNGKNGEPGDPGEDGRKGEKGDSGASGREGRDGPKGERGAPGILGPQGPPGLPGPVGPPGQGFPGVPGGTGPKGDRGETGSKGEQGLPGERGLRGEPGSVPNVDRLLETAGIKASALREIVETWDESSGSFLPVPERRRGPKGDSGEQGPPGKEGPIGFPGERGLKGDRGDPGPQGPPGLALGERGPPGPSGLAGEPGKPGIPGLPGRAGGVGEAGRPGERGERGEKGERGEQGRDGPPGLPGTPGPPGPPGPKVSVDEPGPGLSGEQGPPGLKGAKGEPGSNGDQGPKGDRGVPGIKGDRGEPGPRGQDGNPGLPGERGMAGPEGKPGLQGPRGPPGPVGGHGDPGPPGAPGLAGPAGPQGPSGLKGEPGETGPPGRGLTGPTGAVGLPGPPGPSGLVGPQGSPGLPGQVGETGKPGAPGRDGASGKDGDRGSPGVPGSPGLPGPVGPKGEPGPTGAPGQAVVGLPGAKGEKGAPGGLAGDLVGEPGAKGDRGLPGPRGEKGEAGRAGEPGDPGEDGQKGAPGPKGFKGDPGVGVPGSPGPPGPPGVKGDLGLPGLPGAPGVVGFPGQTGPRGEMGQPGPSGERGLAGPPGREGIPGPLGPPGPPGSVGPPGASGLKGDKGDPGVGLPGPRGERGEPGIRGEDGRPGQEGPRGLTGPPGSRGERGEKGDVGSAGLKGDKGDSAVILGPPGPRGAKGDMGERGPRGLDGDKGPRGDNGDPGDKGSKGEPGDKGSAGLPGLRGLLGPQGQPGAAGIPGDPGSPGKDGVPGIRGEKGDVGFMGPRGLKGERGVKGACGLDGEKGDKGEAGPPGRPGLAGHKGEMGEPGVPGQSGAPGKEGLIGPKGDRGFDGQPGPKGDQGEKGERGTPGIGGFPGPSGNDGSAGPPGPPGSVGPRGPEGLQGQKGERGPPGERVVGAPGVPGAPGERGEQGRPGPAGPRGEKGEAALTEDDIRGFVRQEMSQHCACQGQFIASGSRPLPSYAADTAGSQLHAVPVLRVSHAEEEERVPPEDDEYSEYSEYSVEEYQDPEAPWDSDDPCSLPLDEGSCTAYTLRWYHRAVTGSTEACHPFVYGGCGGNANRFGTREACERRCPPRVVQSQGTGTAQD
+>DECOY_sp|Q02388|CO7A1_HUMAN Collagen alpha-1(VII) chain OS=Homo sapiens OX=9606 GN=COL7A1 PE=1 SV=2
+DQATGTGQSQVVRPPCRRECAERTGFRNANGGCGGYVFPHCAETSGTVARHYWRLTYATCSGEDLPLSCPDDSDWPAEPDQYEEVSYESYESYEDDEPPVREEEEAHSVRLVPVAHLQSGATDAAYSPLPRSGSAIFQGQCACHQSMEQRVFGRIDDETLAAEGKEGRPGAPGPRGQEGREGPAGPVGPAGVVREGPPGREGKQGQLGEPGRPGVSGPPGPPGASGDNGSPGPFGGIGPTGREGKEGQDGKPGPQGDFGRDGKPGILGEKGPAGSQGPVGPEGMEGKHGALGPRGPPGAEGKDGKEGDLGCAGKVGREGKLGRPGMFGVDGKEGRIGPVGDKGPSGPDGPIGAAGPQGQPGLLGRLGPLGASGKDGPEGKSGKDGPDGNDGRPGKDGDLGRPGREGMDGKAGRPGPPGLIVASDGKDGKLGASGVDGKEGREGRSGPPGTLGRPGEQGPRGDEGRIGPEGREGRPGPLGVGPDGKDGKLGSAGPPGVSGPPGPPGLPGPIGERGPPGALGREGSPGPQGMEGRPGTQGPFGVVGPAGPLGPLGLDGKVGPPGPPGPSGPVGVGPDGKFGKPGPAGKQGDEGPDGPEGARGAEGKEGRPGPLGRDGKAGPEGVLDGALGGPAGKEGKAGPLGVVAQGPAGTPGPEGKPGVPGPLGPSGPVGPSGRDGDKGSAGDRGPAGPKGTEGVQGPLGPSGQPGVLGSPGPPGPLGVAGTPGTLGRGPPGTEGPEGKLGSPGQPGAPGALGPAGPPGPDGHGGVPGPPGRPGQLGPKGEPGAMGREGPLGPNGDQGRPGPEGRDGKIGPVGRDGKPGQDGNSGPEGKAGKLGPPGQEGSLGPGPEDVSVKPGPPGPPGPTGPLGPPGDRGQEGREGKEGREGREGPRGAEGVGGARGPLGPIGPKGPEGALGSPGPPGREGLALGPPGQPGPDGRDGKLGREGPFGIPGEKGPPGQEGSDGKPGRRREPVPLFSGSSEDWTEVIERLASAKIGATELLRDVNPVSGPEGRLGREGPLGQEGKSGTEGRDGKPGTGGPVGPFGQGPPGVPGPLGPPGQPGLIGPAGREGKPGDRGERGSAGSDGKEGKRGDEGPDGPEGNKGNLGPKGDEGPKGPLGPPGSPGPLGQEGRLGPLGDRGPDGAKGAAGNPGSPGAAGPKGDLGSRGDLGPPGRDGKEGAPGRVGPDGQPGPPGRFGEIGREGPAGPLGPDGKPGRPGEQGRDGPEGKERAGPGTDVLRGPPGPPGPEGRDGKPGSSGPSGNRGDEGPDGQDGKEGVPGRVGPAGRLGREGAKGPLGPDGPPGEDGKEGVEGDRGRPGVPGPPGPRGPDGKEGPPGSDGPPGARGTLGIPGRDGPDGKPGPDGPLVLGPPGREGQVGTAGRPGAPGVDGKEGKPGAVAPGVVAPDGPRGPEGKEGQRGTPGPPGEPGKAGPRGAVGPLGRSGAPGPPGREGKEGAEGLPGPFGRDGKPGIAGPPGRPGQEGPSGPQGPLGPAGDESDGKEGKKGPPGVPGQPGPSGPLGPLGPEGPAIGGEGPGPPGREGRDGKDGKMATGPLGPPGRPGPDGLPGRPGPPGSPGPDGKRGPLGPGEGGIVQGPAGPEGKPGRPGREGPDGRPGPLGPSGKLGPAGPTGPNGARGPSGPRGDAGPFGREGKATASGPPGQPGPAGTRGPLGPDGPPGVQGRLGMEGPEGKQGKPCYVPCPEPRPQTTFSAQCLATALGSVAQDLSPGDDVAFFTQVSDMGPALRRLQEPDAGAMGLMVVNLGSAQAERIPSFIDGRLPEDVLLVMVGPVHQRRGPADPALMYRHATVVATGLNNGSPDMYPMDRIRQLIIGLDHSGNLPFLPSPRHSYSLLGVQVAQPGLPGLALVLRELVRRTAEARHANDQTAHPLFVVDALGRPCVPTQTVSAEPGRVGDLVPTLSFIYSVGPELGTVRQSSSIGPLTQPSGPVEQGPGRLPRWSLIYSSARSVPTWALTVSDISTDVVRLEISPVRPSETRATVEASPGEGAPGLVSLRVRYQTAPELGDLHYSSLEPGLVRSQEQGGEPQWHLLFGQARPVPEWRLRLSHEGRQVVHLTGLAPPAEPPTTVVISVPTGERDGVLATVRVSYSVGGELGRIEASDTNGPLIQHRMPGGESRGWALRYATAGTVGVWTIRLVDSSANLIQLRSVRGVPEPATRVVVSAPPGDVGAVHARVHVTYETDPELGDLEAVTAEGSVLQSKEPGHASHWSVRYGTAGPVRTWTITVSSSSAQTVHVTRVPGLPDTRAVIVAAPGEERGRLVSVAVQYTTGPQLGTIDTATSDPPLTQSSEPGSGTSWSIRFGSAGPVPGWAVRVRTADSVVVRLGPVALPTEPERRVTLVSASGERPGVRASVRVTYSLGAQVDDLDFATQSGPLVLTREVGQTSRVIIRYQTAGPVPSWSVRVRQGPLETAQLDTVPSVPLEPGTPVVTAPTAVEHGELLTYLTLRYETGPQLGDLQYRTVDSPLVVKQPPELGTERRWELRYGRAEPVLNWSLLISTPGLIVPRLTQEVSADTRAMLSTAPGVSRGFLTSVTVEYDTGPELDRLLVSGQGPGLEQQQTPGGSLVRWTVRYGTAGPVSRWAVLLSHATTNQITLEPGELATTRATGSVAEGISNAYLAIVTVQYETLPRLGRLRVSTEGAPVNVEQRESPLPQGLGTLPTYQVKYGTVPGSAATWQVRLSQSSPESLVLDRPASTSDDPPRTVPVGGATTCVRRSVLPLLTRLISFDNVFFFFDSTPQSAVRKLEEPDANKIGVAFLKVGQGKLRQAATDVLDQSKGDTILICVKPVGPRALQPLFVHDAVHLIAAGTRTNGGKYSLERIARIVDGGSGLADLGFETRPDDSYQVTAFRVGQASAAGSFPLVLGELFSRVERFNSRGISSSGDLLFVIDAAYLRTCTVRERHQARVRPAEALIGACLAAVLLRLTM
+>sp|P10643|CO7_HUMAN Complement component C7 OS=Homo sapiens OX=9606 GN=C7 PE=1 SV=2
+MKVISLFILVGFIGEFQSFSSASSPVNCQWDFYAPWSECNGCTKTQTRRRSVAVYGQYGGQPCVGNAFETQSCEPTRGCPTEEGCGERFRCFSGQCISKSLVCNGDSDCDEDSADEDRCEDSERRPSCDIDKPPPNIELTGNGYNELTGQFRNRVINTKSFGGQCRKVFSGDGKDFYRLSGNVLSYTFQVKINNDFNYEFYNSTWSYVKHTSTEHTSSSRKRSFFRSSSSSSRSYTSHTNEIHKGKSYQLLVVENTVEVAQFINNNPEFLQLAEPFWKELSHLPSLYDYSAYRRLIDQYGTHYLQSGSLGGEYRVLFYVDSEKLKQNDFNSVEEKKCKSSGWHFVVKFSSHGCKELENALKAASGTQNNVLRGEPFIRGGGAGFISGLSYLELDNPAGNKRRYSAWAESVTNLPQVIKQKLTPLYELVKEVPCASVKKLYLKWALEEYLDEFDPCHCRPCQNGGLATVEGTHCLCHCKPYTFGAACEQGVLVGNQAGGVDGGWSCWSSWSPCVQGKKTRSRECNNPPPSGGGRSCVGETTESTQCEDEELEHLRLLEPHCFPLSLVPTEFCPSPPALKDGFVQDEGTMFPVGKNVVYTCNEGYSLIGNPVARCGEDLRWLVGEMHCQKIACVLPVLMDGIQSHPQKPFYTVGEKVTVSCSGGMSLEGPSAFLCGSSLKWSPEMKNARCVQKENPLTQAVPKCQRWEKLQNSRCVCKMPYECGPSLDVCAQDERSKRILPLTVCKMHVLHCQGRNYTLTGRDSCTLPASAEKACGACPLWGKCDAESSKCVCREASECEEEGFSICVEVNGKEQTMSECEAGALRCRGQSISVTSIRPCAAETQ
+>DECOY_sp|P10643|CO7_HUMAN Complement component C7 OS=Homo sapiens OX=9606 GN=C7 PE=1 SV=2
+QTEAACPRISTVSISQGRCRLAGAECESMTQEKGNVEVCISFGEEECESAERCVCKSSEADCKGWLPCAGCAKEASAPLTCSDRGTLTYNRGQCHLVHMKCVTLPLIRKSREDQACVDLSPGCEYPMKCVCRSNQLKEWRQCKPVAQTLPNEKQVCRANKMEPSWKLSSGCLFASPGELSMGGSCSVTVKEGVTYFPKQPHSQIGDMLVPLVCAIKQCHMEGVLWRLDEGCRAVPNGILSYGENCTYVVNKGVPFMTGEDQVFGDKLAPPSPCFETPVLSLPFCHPELLRLHELEEDECQTSETTEGVCSRGGGSPPPNNCERSRTKKGQVCPSWSSWCSWGGDVGGAQNGVLVGQECAAGFTYPKCHCLCHTGEVTALGGNQCPRCHCPDFEDLYEELAWKLYLKKVSACPVEKVLEYLPTLKQKIVQPLNTVSEAWASYRRKNGAPNDLELYSLGSIFGAGGGRIFPEGRLVNNQTGSAAKLANELEKCGHSSFKVVFHWGSSKCKKEEVSNFDNQKLKESDVYFLVRYEGGLSGSQLYHTGYQDILRRYASYDYLSPLHSLEKWFPEALQLFEPNNNIFQAVEVTNEVVLLQYSKGKHIENTHSTYSRSSSSSSRFFSRKRSSSTHETSTHKVYSWTSNYFEYNFDNNIKVQFTYSLVNGSLRYFDKGDGSFVKRCQGGFSKTNIVRNRFQGTLENYGNGTLEINPPPKDIDCSPRRESDECRDEDASDEDCDSDGNCVLSKSICQGSFCRFREGCGEETPCGRTPECSQTEFANGVCPQGGYQGYVAVSRRRTQTKTCGNCESWPAYFDWQCNVPSSASSFSQFEGIFGVLIFLSIVKM
+>sp|P27658|CO8A1_HUMAN Collagen alpha-1(VIII) chain OS=Homo sapiens OX=9606 GN=COL8A1 PE=1 SV=2
+MAVLPGPLQLLGVLLTISLSSIRLIQAGAYYGIKPLPPQIPPQMPPQIPQYQPLGQQVPHMPLAKDGLAMGKEMPHLQYGKEYPHLPQYMKEIQPAPRMGKEAVPKKGKEIPLASLRGEQGPRGEPGPRGPPGPPGLPGHGIPGIKGKPGPQGYPGVGKPGMPGMPGKPGAMGMPGAKGEIGQKGEIGPMGIPGPQGPPGPHGLPGIGKPGGPGLPGQPGPKGDRGPKGLPGPQGLRGPKGDKGFGMPGAPGVKGPPGMHGPPGPVGLPGVGKPGVTGFPGPQGPLGKPGAPGEPGPQGPIGVPGVQGPPGIPGIGKPGQDGIPGQPGFPGGKGEQGLPGLPGPPGLPGIGKPGFPGPKGDRGMGGVPGALGPRGEKGPIGAPGIGGPPGEPGLPGIPGPMGPPGAIGFPGPKGEGGIVGPQGPPGPKGEPGLQGFPGKPGFLGEVGPPGMRGLPGPIGPKGEAGQKGVPGLPGVPGLLGPKGEPGIPGDQGLQGPPGIPGIGGPSGPIGPPGIPGPKGEPGLPGPPGFPGIGKPGVAGLHGPPGKPGALGPQGQPGLPGPPGPPGPPGPPAVMPPTPPPQGEYLPDMGLGIDGVKPPHAYGAKKGKNGGPAYEMPAFTAELTAPFPPVGAPVKFNKLLYNGRQNYNPQTGIFTCEVPGVYYFAYHVHCKGGNVWVALFKNNEPVMYTYDEYKKGFLDQASGSAVLLLRPGDRVFLQMPSEQAAGLYAGQYVHSSFSGYLLYPM
+>DECOY_sp|P27658|CO8A1_HUMAN Collagen alpha-1(VIII) chain OS=Homo sapiens OX=9606 GN=COL8A1 PE=1 SV=2
+MPYLLYGSFSSHVYQGAYLGAAQESPMQLFVRDGPRLLLVASGSAQDLFGKKYEDYTYMVPENNKFLAVWVNGGKCHVHYAFYYVGPVECTFIGTQPNYNQRGNYLLKNFKVPAGVPPFPATLEATFAPMEYAPGGNKGKKAGYAHPPKVGDIGLGMDPLYEGQPPPTPPMVAPPGPPGPPGPPGPLGPQGQPGLAGPKGPPGHLGAVGPKGIGPFGPPGPLGPEGKPGPIGPPGIPGSPGGIGPIGPPGQLGQDGPIGPEGKPGLLGPVGPLGPVGKQGAEGKPGIPGPLGRMGPPGVEGLFGPKGPFGQLGPEGKPGPPGQPGVIGGEGKPGPFGIAGPPGMPGPIGPLGPEGPPGGIGPAGIPGKEGRPGLAGPVGGMGRDGKPGPFGPKGIGPLGPPGPLGPLGQEGKGGPFGPQGPIGDQGPKGIGPIGPPGQVGPVGIPGQPGPEGPAGPKGLPGQPGPFGTVGPKGVGPLGVPGPPGHMGPPGKVGPAGPMGFGKDGKPGRLGQPGPLGKPGRDGKPGPQGPLGPGGPKGIGPLGHPGPPGQPGPIGMPGIEGKQGIEGKAGPMGMAGPKGPMGPMGPKGVGPYGQPGPKGKIGPIGHGPLGPPGPPGRPGPEGRPGQEGRLSALPIEKGKKPVAEKGMRPAPQIEKMYQPLHPYEKGYQLHPMEKGMALGDKALPMHPVQQGLPQYQPIQPPMQPPIQPPLPKIGYYAGAQILRISSLSITLLVGLLQLPGPLVAM
+>sp|P12107|COBA1_HUMAN Collagen alpha-1(XI) chain OS=Homo sapiens OX=9606 GN=COL11A1 PE=1 SV=4
+MEPWSSRWKTKRWLWDFTVTTLALTFLFQAREVRGAAPVDVLKALDFHNSPEGISKTTGFCTNRKNSKGSDTAYRVSKQAQLSAPTKQLFPGGTFPEDFSILFTVKPKKGIQSFLLSIYNEHGIQQIGVEVGRSPVFLFEDHTGKPAPEDYPLFRTVNIADGKWHRVAISVEKKTVTMIVDCKKKTTKPLDRSERAIVDTNGITVFGTRILDEEVFEGDIQQFLITGDPKAAYDYCEHYSPDCDSSAPKAAQAQEPQIDEYAPEDIIEYDYEYGEAEYKEAESVTEGPTVTEETIAQTEANIVDDFQEYNYGTMESYQTEAPRHVSGTNEPNPVEEIFTEEYLTGEDYDSQRKNSEDTLYENKEIDGRDSDLLVDGDLGEYDFYEYKEYEDKPTSPPNEEFGPGVPAETDITETSINGHGAYGEKGQKGEPAVVEPGMLVEGPPGPAGPAGIMGPPGLQGPTGPPGDPGDRGPPGRPGLPGADGLPGPPGTMLMLPFRYGGDGSKGPTISAQEAQAQAILQQARIALRGPPGPMGLTGRPGPVGGPGSSGAKGESGDPGPQGPRGVQGPPGPTGKPGKRGRPGADGGRGMPGEPGAKGDRGFDGLPGLPGDKGHRGERGPQGPPGPPGDDGMRGEDGEIGPRGLPGEAGPRGLLGPRGTPGAPGQPGMAGVDGPPGPKGNMGPQGEPGPPGQQGNPGPQGLPGPQGPIGPPGEKGPQGKPGLAGLPGADGPPGHPGKEGQSGEKGALGPPGPQGPIGYPGPRGVKGADGVRGLKGSKGEKGEDGFPGFKGDMGLKGDRGEVGQIGPRGEDGPEGPKGRAGPTGDPGPSGQAGEKGKLGVPGLPGYPGRQGPKGSTGFPGFPGANGEKGARGVAGKPGPRGQRGPTGPRGSRGARGPTGKPGPKGTSGGDGPPGPPGERGPQGPQGPVGFPGPKGPPGPPGKDGLPGHPGQRGETGFQGKTGPPGPGGVVGPQGPTGETGPIGERGHPGPPGPPGEQGLPGAAGKEGAKGDPGPQGISGKDGPAGLRGFPGERGLPGAQGAPGLKGGEGPQGPPGPVGSPGERGSAGTAGPIGLPGRPGPQGPPGPAGEKGAPGEKGPQGPAGRDGVQGPVGLPGPAGPAGSPGEDGDKGEIGEPGQKGSKGDKGENGPPGPPGLQGPVGAPGIAGGDGEPGPRGQQGMFGQKGDEGARGFPGPPGPIGLQGLPGPPGEKGENGDVGPMGPPGPPGPRGPQGPNGADGPQGPPGSVGSVGGVGEKGEPGEAGNPGPPGEAGVGGPKGERGEKGEAGPPGAAGPPGAKGPPGDDGPKGNPGPVGFPGDPGPPGEPGPAGQDGVGGDKGEDGDPGQPGPPGPSGEAGPPGPPGKRGPPGAAGAEGRQGEKGAKGEAGAEGPPGKTGPVGPQGPAGKPGPEGLRGIPGPVGEQGLPGAAGQDGPPGPMGPPGLPGLKGDPGSKGEKGHPGLIGLIGPPGEQGEKGDRGLPGTQGSPGAKGDGGIPGPAGPLGPPGPPGLPGPQGPKGNKGSTGPAGQKGDSGLPGPPGSPGPPGEVIQPLPILSSKKTRRHTEGMQADADDNILDYSDGMEEIFGSLNSLKQDIEHMKFPMGTQTNPARTCKDLQLSHPDFPDGEYWIDPNQGCSGDSFKVYCNFTSGGETCIYPDKKSEGVRISSWPKEKPGSWFSEFKRGKLLSYLDVEGNSINMVQMTFLKLLTASARQNFTYHCHQSAAWYDVSSGSYDKALRFLGSNDEEMSYDNNPFIKTLYDGCASRKGYEKTVIEINTPKIDQVPIVDVMINDFGDQNQKFGFEVGPVCFLG
+>DECOY_sp|P12107|COBA1_HUMAN Collagen alpha-1(XI) chain OS=Homo sapiens OX=9606 GN=COL11A1 PE=1 SV=4
+GLFCVPGVEFGFKQNQDGFDNIMVDVIPVQDIKPTNIEIVTKEYGKRSACGDYLTKIFPNNDYSMEEDNSGLFRLAKDYSGSSVDYWAASQHCHYTFNQRASATLLKLFTMQVMNISNGEVDLYSLLKGRKFESFWSGPKEKPWSSIRVGESKKDPYICTEGGSTFNCYVKFSDGSCGQNPDIWYEGDPFDPHSLQLDKCTRAPNTQTGMPFKMHEIDQKLSNLSGFIEEMGDSYDLINDDADAQMGETHRRTKKSSLIPLPQIVEGPPGPSGPPGPLGSDGKQGAPGTSGKNGKPGQPGPLGPPGPPGLPGAPGPIGGDGKAGPSGQTGPLGRDGKEGQEGPPGILGILGPHGKEGKSGPDGKLGPLGPPGMPGPPGDQGAAGPLGQEGVPGPIGRLGEPGPKGAPGQPGVPGTKGPPGEAGAEGKAGKEGQRGEAGAAGPPGRKGPPGPPGAEGSPGPPGPQGPDGDEGKDGGVGDQGAPGPEGPPGPDGPFGVPGPNGKPGDDGPPGKAGPPGAAGPPGAEGKEGREGKPGGVGAEGPPGPNGAEGPEGKEGVGGVSGVSGPPGQPGDAGNPGQPGRPGPPGPPGMPGVDGNEGKEGPPGPLGQLGIPGPPGPFGRAGEDGKQGFMGQQGRPGPEGDGGAIGPAGVPGQLGPPGPPGNEGKDGKSGKQGPEGIEGKDGDEGPSGAPGAPGPLGVPGQVGDRGAPGQPGKEGPAGKEGAPGPPGQPGPRGPLGIPGATGASGREGPSGVPGPPGQPGEGGKLGPAGQAGPLGREGPFGRLGAPGDKGSIGQPGPDGKAGEKGAAGPLGQEGPPGPPGPHGREGIPGTEGTPGQPGVVGGPGPPGTKGQFGTEGRQGPHGPLGDKGPPGPPGKPGPFGVPGQPGQPGREGPPGPPGDGGSTGKPGPKGTPGRAGRSGRPGTPGRQGRPGPKGAVGRAGKEGNAGPFGPFGTSGKPGQRGPYGPLGPVGLKGKEGAQGSPGPDGTPGARGKPGEPGDEGRPGIQGVEGRDGKLGMDGKFGPFGDEGKEGKSGKLGRVGDAGKVGRPGPYGIPGQPGPPGLAGKEGSQGEKGPHGPPGDAGPLGALGPKGQPGKEGPPGIPGQPGPLGQPGPNGQQGPPGPEGQPGMNGKPGPPGDVGAMGPQGPAGPTGRPGLLGRPGAEGPLGRPGIEGDEGRMGDDGPPGPPGQPGREGRHGKDGPLGPLGDFGRDGKAGPEGPMGRGGDAGPRGRKGPKGTPGPPGQVGRPGQPGPDGSEGKAGSSGPGGVPGPRGTLGMPGPPGRLAIRAQQLIAQAQAEQASITPGKSGDGGYRFPLMLMTGPPGPLGDAGPLGPRGPPGRDGPDGPPGTPGQLGPPGMIGAPGAPGPPGEVLMGPEVVAPEGKQGKEGYAGHGNISTETIDTEAPVGPGFEENPPSTPKDEYEKYEYFDYEGLDGDVLLDSDRGDIEKNEYLTDESNKRQSDYDEGTLYEETFIEEVPNPENTGSVHRPAETQYSEMTGYNYEQFDDVINAETQAITEETVTPGETVSEAEKYEAEGYEYDYEIIDEPAYEDIQPEQAQAAKPASSDCDPSYHECYDYAAKPDGTILFQQIDGEFVEEDLIRTGFVTIGNTDVIARESRDLPKTTKKKCDVIMTVTKKEVSIAVRHWKGDAINVTRFLPYDEPAPKGTHDEFLFVPSRGVEVGIQQIGHENYISLLFSQIGKKPKVTFLISFDEPFTGGPFLQKTPASLQAQKSVRYATDSGKSNKRNTCFGTTKSIGEPSNHFDLAKLVDVPAAGRVERAQFLFTLALTTVTFDWLWRKTKWRSSWPEM
+>sp|Q9H4W6|COE3_HUMAN Transcription factor COE3 OS=Homo sapiens OX=9606 GN=EBF3 PE=1 SV=2
+MFGIQENIPRGGTTMKEEPLGSGMNPVRSWMHTAGVVDANTAAQSGVGLARAHFEKQPPSNLRKSNFFHFVLALYDRQGQPVEIERTAFVDFVEKEKEPNNEKTNNGIHYKLQLLYSNGVRTEQDLYVRLIDSMTKQAIVYEGQDKNPEMCRVLLTHEIMCSRCCDKKSCGNRNETPSDPVIIDRFFLKFFLKCNQNCLKNAGNPRDMRRFQVVVSTTVNVDGHVLAVSDNMFVHNNSKHGRRARRLDPSEGTAPSYLENATPCIKAISPSEGWTTGGATVIIIGDNFFDGLQVVFGTMLVWSELITPHAIRVQTPPRHIPGVVEVTLSYKSKQFCKGAPGRFVYTALNEPTIDYGFQRLQKVIPRHPGDPERLPKEVLLKRAADLVEALYGMPHNNQEIILKRAADIAEALYSVPRNHNQIPTLGNNPAHTGMMGVNSFSSQLAVNVSETSQANDQVGYSRNTSSVSPRGYVPSSTPQQSNYNTVSTSMNGYGSGAMASLGVPGSPGFLNGSSANSPYGIVPSSPTMAASSVTLPSNCSSTHGIFSFSPANVISAVKQKSAFAPVVRPQASPPPSCTSANGNGLQAMSGLVVPPM
+>DECOY_sp|Q9H4W6|COE3_HUMAN Transcription factor COE3 OS=Homo sapiens OX=9606 GN=EBF3 PE=1 SV=2
+MPPVVLGSMAQLGNGNASTCSPPPSAQPRVVPAFASKQKVASIVNAPSFSFIGHTSSCNSPLTVSSAAMTPSSPVIGYPSNASSGNLFGPSGPVGLSAMAGSGYGNMSTSVTNYNSQQPTSSPVYGRPSVSSTNRSYGVQDNAQSTESVNVALQSSFSNVGMMGTHAPNNGLTPIQNHNRPVSYLAEAIDAARKLIIEQNNHPMGYLAEVLDAARKLLVEKPLREPDGPHRPIVKQLRQFGYDITPENLATYVFRGPAGKCFQKSKYSLTVEVVGPIHRPPTQVRIAHPTILESWVLMTGFVVQLGDFFNDGIIIVTAGGTTWGESPSIAKICPTANELYSPATGESPDLRRARRGHKSNNHVFMNDSVALVHGDVNVTTSVVVQFRRMDRPNGANKLCNQNCKLFFKLFFRDIIVPDSPTENRNGCSKKDCCRSCMIEHTLLVRCMEPNKDQGEYVIAQKTMSDILRVYLDQETRVGNSYLLQLKYHIGNNTKENNPEKEKEVFDVFATREIEVPQGQRDYLALVFHFFNSKRLNSPPQKEFHARALGVGSQAATNADVVGATHMWSRVPNMGSGLPEEKMTTGGRPINEQIGFM
+>sp|Q9H9E3|COG4_HUMAN Conserved oligomeric Golgi complex subunit 4 OS=Homo sapiens OX=9606 GN=COG4 PE=1 SV=3
+MADLDSPPKLSGVQQPSEGVGGGRCSEISAELIRSLTELQELEAVYERLCGEEKVVERELDALLEQQNTIESKMVTLHRMGPNLQLIEGDAKQLAGMITFTCNLAENVSSKVRQLDLAKNRLYQAIQRADDILDLKFCMDGVQTALRSEDYEQAAAHTHRYLCLDKSVIELSRQGKEGSMIDANLKLLQEAEQRLKAIVAEKFAIATKEGDLPQVERFFKIFPLLGLHEEGLRKFSEYLCKQVASKAEENLLMVLGTDMSDRRAAVIFADTLTLLFEGIARIVETHQPIVETYYGPGRLYTLIKYLQVECDRQVEKVVDKFIKQRDYHQQFRHVQNNLMRNSTTEKIEPRELDPILTEVTLMNARSELYLRFLKKRISSDFEVGDSMASEEVKQEHQKCLDKLLNNCLLSCTMQELIGLYVTMEEYFMRETVNKAVALDTYEKGQLTSSMVDDVFYIVKKCIGRALSSSSIDCLCAMINLATTELESDFRDVLCNKLRMGFPATTFQDIQRGVTSAVNIMHSSLQQGKFDTKGIESTDEAKMSFLVTLNNVEVCSENISTLKKTLESDCTKLFSQGIGGEQAQAKFDSCLSDLAAVSNKFRDLLQEGLTELNSTAIKPQVQPWINSFFSVSHNIEEEEFNDYEANDPWVQQFILNLEQQMAEFKASLSPVIYDSLTGLMTSLVAVELEKVVLKSTFNRLGGLQFDKELRSLIAYLTTVTTWTIRDKFARLSQMATILNLERVTEILDYWGPNSGPLTWRLTPAEVRQVLALRIDFRSEDIKRLRL
+>DECOY_sp|Q9H9E3|COG4_HUMAN Conserved oligomeric Golgi complex subunit 4 OS=Homo sapiens OX=9606 GN=COG4 PE=1 SV=3
+LRLRKIDESRFDIRLALVQRVEAPTLRWTLPGSNPGWYDLIETVRELNLITAMQSLRAFKDRITWTTVTTLYAILSRLEKDFQLGGLRNFTSKLVVKELEVAVLSTMLGTLSDYIVPSLSAKFEAMQQELNLIFQQVWPDNAEYDNFEEEEINHSVSFFSNIWPQVQPKIATSNLETLGEQLLDRFKNSVAALDSLCSDFKAQAQEGGIGQSFLKTCDSELTKKLTSINESCVEVNNLTVLFSMKAEDTSEIGKTDFKGQQLSSHMINVASTVGRQIDQFTTAPFGMRLKNCLVDRFDSELETTALNIMACLCDISSSSLARGICKKVIYFVDDVMSSTLQGKEYTDLAVAKNVTERMFYEEMTVYLGILEQMTCSLLCNNLLKDLCKQHEQKVEESAMSDGVEFDSSIRKKLFRLYLESRANMLTVETLIPDLERPEIKETTSNRMLNNQVHRFQQHYDRQKIFKDVVKEVQRDCEVQLYKILTYLRGPGYYTEVIPQHTEVIRAIGEFLLTLTDAFIVAARRDSMDTGLVMLLNEEAKSAVQKCLYESFKRLGEEHLGLLPFIKFFREVQPLDGEKTAIAFKEAVIAKLRQEAEQLLKLNADIMSGEKGQRSLEIVSKDLCLYRHTHAAAQEYDESRLATQVGDMCFKLDLIDDARQIAQYLRNKALDLQRVKSSVNEALNCTFTIMGALQKADGEILQLNPGMRHLTVMKSEITNQQELLADLEREVVKEEGCLREYVAELEQLETLSRILEASIESCRGGGVGESPQQVGSLKPPSDLDAM
+>sp|P38432|COIL_HUMAN Coilin OS=Homo sapiens OX=9606 GN=COIL PE=1 SV=1
+MAASETVRLRLQFDYPPPATPHCTAFWLLVDLNRCRVVTDLISLIRQRFGFSSGAFLGLYLEGGLLPPAESARLVRDNDCLRVKLEERGVAENSVVISNGDINLSLRKAKKRAFQLEEGEETEPDCKYSKKHWKSRENNNNNEKVLDLEPKAVTDQTVSKKNKRKNKATCGTVGDDNEEAKRKSPKKKEKCEYKKKAKNPKSPKVQAVKDWANQRCSSPKGSARNSLVKAKRKGSVSVCSKESPSSSSESESCDESISDGPSKVTLEARNSSEKLPTELSKEEPSTKNTTADKLAIKLGFSLTPSKGKTSGTTSSSSDSSAESDDQCLMSSSTPECAAGFLKTVGLFAGRGRPGPGLSSQTAGAAGWRRSGSNGGGQAPGASPSVSLPASLGRGWGREENLFSWKGAKGRGMRGRGRGRGHPVSCVVNRSTDNQRQQQLNDVVKNSSTIIQNPVETPKKDYSLLPLLAAAPQVGEKIAFKLLELTSSYSPDVSDYKEGRILSHNPETQQVDIEILSSLPALREPGKFDLVYHNENGAEVVEYAVTQESKITVFWKELIDPRLIIESPSNTSSTEPA
+>DECOY_sp|P38432|COIL_HUMAN Coilin OS=Homo sapiens OX=9606 GN=COIL PE=1 SV=1
+APETSSTNSPSEIILRPDILEKWFVTIKSEQTVAYEVVEAGNENHYVLDFKGPERLAPLSSLIEIDVQQTEPNHSLIRGEKYDSVDPSYSSTLELLKFAIKEGVQPAAALLPLLSYDKKPTEVPNQIITSSNKVVDNLQQQRQNDTSRNVVCSVPHGRGRGRGRMGRGKAGKWSFLNEERGWGRGLSAPLSVSPSAGPAQGGGNSGSRRWGAAGATQSSLGPGPRGRGAFLGVTKLFGAACEPTSSSMLCQDDSEASSDSSSSTTGSTKGKSPTLSFGLKIALKDATTNKTSPEEKSLETPLKESSNRAELTVKSPGDSISEDCSESESSSSPSEKSCVSVSGKRKAKVLSNRASGKPSSCRQNAWDKVAQVKPSKPNKAKKKYECKEKKKPSKRKAEENDDGVTGCTAKNKRKNKKSVTQDTVAKPELDLVKENNNNNERSKWHKKSYKCDPETEEGEELQFARKKAKRLSLNIDGNSIVVSNEAVGREELKVRLCDNDRVLRASEAPPLLGGELYLGLFAGSSFGFRQRILSILDTVVRCRNLDVLLWFATCHPTAPPPYDFQLRLRVTESAAM
+>sp|Q5KU26|COL12_HUMAN Collectin-12 OS=Homo sapiens OX=9606 GN=COLEC12 PE=1 SV=3
+MKDDFAEEEEVQSFGYKRFGIQEGTQCTKCKNNWALKFSIILLYILCALLTITVAILGYKVVEKMDNVTGGMETSRQTYDDKLTAVESDLKKLGDQTGKKAISTNSELSTFRSDILDLRQQLREITEKTSKNKDTLEKLQASGDALVDRQSQLKETLENNSFLITTVNKTLQAYNGYVTNLQQDTSVLQGNLQNQMYSHNVVIMNLNNLNLTQVQQRNLITNLQRSVDDTSQAIQRIKNDFQNLQQVFLQAKKDTDWLKEKVQSLQTLAANNSALAKANNDTLEDMNSQLNSFTGQMENITTISQANEQNLKDLQDLHKDAENRTAIKFNQLEERFQLFETDIVNIISNISYTAHHLRTLTSNLNEVRTTCTDTLTKHTDDLTSLNNTLANIRLDSVSLRMQQDLMRSRLDTEVANLSVIMEEMKLVDSKHGQLIKNFTILQGPPGPRGPRGDRGSQGPPGPTGNKGQKGEKGEPGPPGPAGERGPIGPAGPPGERGGKGSKGSQGPKGSRGSPGKPGPQGSSGDPGPPGPPGKEGLPGPQGPPGFQGLQGTVGEPGVPGPRGLPGLPGVPGMPGPKGPPGPPGPSGAVVPLALQNEPTPAPEDNGCPPHWKNFTDKCYYFSVEKEIFEDAKLFCEDKSSHLVFINTREEQQWIKKQMVGRESHWIGLTDSERENEWKWLDGTSPDYKNWKAGQPDNWGHGHGPGEDCAGLIYAGQWNDFQCEDVNNFICEKDRETVLSSAL
+>DECOY_sp|Q5KU26|COL12_HUMAN Collectin-12 OS=Homo sapiens OX=9606 GN=COLEC12 PE=1 SV=3
+LASSLVTERDKECIFNNVDECQFDNWQGAYILGACDEGPGHGHGWNDPQGAKWNKYDPSTGDLWKWENERESDTLGIWHSERGVMQKKIWQQEERTNIFVLHSSKDECFLKADEFIEKEVSFYYCKDTFNKWHPPCGNDEPAPTPENQLALPVVAGSPGPPGPPGKPGPMGPVGPLGPLGRPGPVGPEGVTGQLGQFGPPGQPGPLGEKGPPGPPGPDGSSGQPGPKGPSGRSGKPGQSGKSGKGGREGPPGAPGIPGREGAPGPPGPEGKEGKQGKNGTPGPPGQSGRDGRPGRPGPPGQLITFNKILQGHKSDVLKMEEMIVSLNAVETDLRSRMLDQQMRLSVSDLRINALTNNLSTLDDTHKTLTDTCTTRVENLNSTLTRLHHATYSINSIINVIDTEFLQFREELQNFKIATRNEADKHLDQLDKLNQENAQSITTINEMQGTFSNLQSNMDELTDNNAKALASNNAALTQLSQVKEKLWDTDKKAQLFVQQLNQFDNKIRQIAQSTDDVSRQLNTILNRQQVQTLNLNNLNMIVVNHSYMQNQLNGQLVSTDQQLNTVYGNYAQLTKNVTTILFSNNELTEKLQSQRDVLADGSAQLKELTDKNKSTKETIERLQQRLDLIDSRFTSLESNTSIAKKGTQDGLKKLDSEVATLKDDYTQRSTEMGGTVNDMKEVVKYGLIAVTITLLACLIYLLIISFKLAWNNKCKTCQTGEQIGFRKYGFSQVEEEEAFDDKM
+>sp|Q8N668|COMD1_HUMAN COMM domain-containing protein 1 OS=Homo sapiens OX=9606 GN=COMMD1 PE=1 SV=1
+MAAGELEGGKPLSGLLNALAQDTFHGYPGITEELLRSQLYPEVPPEEFRPFLAKMRGILKSIASADMDFNQLEAFLTAQTKKQGGITSDQAAVISKFWKSHKTKIRESLMNQSRWNSGLRGLSWRVDGKSQSRHSAQIHTPVAIIELELGKYGQESEFLCLEFDEVKVNQILKTLSEVEESISTLISQPN
+>DECOY_sp|Q8N668|COMD1_HUMAN COMM domain-containing protein 1 OS=Homo sapiens OX=9606 GN=COMMD1 PE=1 SV=1
+NPQSILTSISEEVESLTKLIQNVKVEDFELCLFESEQGYKGLELEIIAVPTHIQASHRSQSKGDVRWSLGRLGSNWRSQNMLSERIKTKHSKWFKSIVAAQDSTIGGQKKTQATLFAELQNFDMDASAISKLIGRMKALFPRFEEPPVEPYLQSRLLEETIGPYGHFTDQALANLLGSLPKGGELEGAAM
+>sp|Q9GZQ3|COMD5_HUMAN COMM domain-containing protein 5 OS=Homo sapiens OX=9606 GN=COMMD5 PE=1 SV=1
+MSAVGAATPYLHHPGDSHSGRVSFLGAQLPPEVAAMARLLGDLDRSTFRKLLKFVVSSLQGEDCREAVQRLGVSANLPEEQLGALLAGMHTLLQQALRLPPTSLKPDTFRDQLQELCIPQDLVGDLASVVFGSQRPLLDSVAQQQGAWLPHVADFRWRVDVAISTSALARSLQPSVLMQLKLSDGSAYRFEVPTAKFQELRYSVALVLKEMADLEKRCERRLQD
+>DECOY_sp|Q9GZQ3|COMD5_HUMAN COMM domain-containing protein 5 OS=Homo sapiens OX=9606 GN=COMMD5 PE=1 SV=1
+DQLRRECRKELDAMEKLVLAVSYRLEQFKATPVEFRYASGDSLKLQMLVSPQLSRALASTSIAVDVRWRFDAVHPLWAGQQQAVSDLLPRQSGFVVSALDGVLDQPICLEQLQDRFTDPKLSTPPLRLAQQLLTHMGALLAGLQEEPLNASVGLRQVAERCDEGQLSSVVFKLLKRFTSRDLDGLLRAMAAVEPPLQAGLFSVRGSHSDGPHHLYPTAAGVASM
+>sp|Q9NX08|COMD8_HUMAN COMM domain-containing protein 8 OS=Homo sapiens OX=9606 GN=COMMD8 PE=1 SV=1
+MEPEEGTPLWRLQKLPAELGPQLLHKIIDGICGRAYPVYQDYHTVWESEEWMHVLEDIAKFFKAIVGKNLPDEEIFQQLNQLNSLHQETIMKCVKSRKDEIKQALSREIVAISSAQLQDFDWQVKLALSSDKIAALRMPLLSLHLDVKENGEVKPYSIEMSREELQNLIQSLEAANKVVLQLK
+>DECOY_sp|Q9NX08|COMD8_HUMAN COMM domain-containing protein 8 OS=Homo sapiens OX=9606 GN=COMMD8 PE=1 SV=1
+KLQLVVKNAAELSQILNQLEERSMEISYPKVEGNEKVDLHLSLLPMRLAAIKDSSLALKVQWDFDQLQASSIAVIERSLAQKIEDKRSKVCKMITEQHLSNLQNLQQFIEEDPLNKGVIAKFFKAIDELVHMWEESEWVTHYDQYVPYARGCIGDIIKHLLQPGLEAPLKQLRWLPTGEEPEM
+>sp|P49747|COMP_HUMAN Cartilage oligomeric matrix protein OS=Homo sapiens OX=9606 GN=COMP PE=1 SV=2
+MVPDTACVLLLTLAALGASGQGQSPLGSDLGPQMLRELQETNAALQDVRELLRQQVREITFLKNTVMECDACGMQQSVRTGLPSVRPLLHCAPGFCFPGVACIQTESGARCGPCPAGFTGNGSHCTDVNECNAHPCFPRVRCINTSPGFRCEACPPGYSGPTHQGVGLAFAKANKQVCTDINECETGQHNCVPNSVCINTRGSFQCGPCQPGFVGDQASGCQRRAQRFCPDGSPSECHEHADCVLERDGSRSCVCAVGWAGNGILCGRDTDLDGFPDEKLRCPERQCRKDNCVTVPNSGQEDVDRDGIGDACDPDADGDGVPNEKDNCPLVRNPDQRNTDEDKWGDACDNCRSQKNDDQKDTDQDGRGDACDDDIDGDRIRNQADNCPRVPNSDQKDSDGDGIGDACDNCPQKSNPDQADVDHDFVGDACDSDQDQDGDGHQDSRDNCPTVPNSAQEDSDHDGQGDACDDDDDNDGVPDSRDNCRLVPNPGQEDADRDGVGDVCQDDFDADKVVDKIDVCPENAEVTLTDFRAFQTVVLDPEGDAQIDPNWVVLNQGREIVQTMNSDPGLAVGYTAFNGVDFEGTFHVNTVTDDDYAGFIFGYQDSSSFYVVMWKQMEQTYWQANPFRAVAEPGIQLKAVKSSTGPGEQLRNALWHTGDTESQVRLLWKDPRNVGWKDKKSYRWFLQHRPQVGYIRVRFYEGPELVADSNVVLDTTMRGGRLGVFCFSQENIIWANLRYRCNDTIPEDYETHQLRQA
+>DECOY_sp|P49747|COMP_HUMAN Cartilage oligomeric matrix protein OS=Homo sapiens OX=9606 GN=COMP PE=1 SV=2
+AQRLQHTEYDEPITDNCRYRLNAWIINEQSFCFVGLRGGRMTTDLVVNSDAVLEPGEYFRVRIYGVQPRHQLFWRYSKKDKWGVNRPDKWLLRVQSETDGTHWLANRLQEGPGTSSKVAKLQIGPEAVARFPNAQWYTQEMQKWMVVYFSSSDQYGFIFGAYDDDTVTNVHFTGEFDVGNFATYGVALGPDSNMTQVIERGQNLVVWNPDIQADGEPDLVVTQFARFDTLTVEANEPCVDIKDVVKDADFDDQCVDGVGDRDADEQGPNPVLRCNDRSDPVGDNDDDDDCADGQGDHDSDEQASNPVTPCNDRSDQHGDGDQDQDSDCADGVFDHDVDAQDPNSKQPCNDCADGIGDGDSDKQDSNPVRPCNDAQNRIRDGDIDDDCADGRGDQDTDKQDDNKQSRCNDCADGWKDEDTNRQDPNRVLPCNDKENPVGDGDADPDCADGIGDRDVDEQGSNPVTVCNDKRCQREPCRLKEDPFGDLDTDRGCLIGNGAWGVACVCSRSGDRELVCDAHEHCESPSGDPCFRQARRQCGSAQDGVFGPQCPGCQFSGRTNICVSNPVCNHQGTECENIDTCVQKNAKAFALGVGQHTPGSYGPPCAECRFGPSTNICRVRPFCPHANCENVDTCHSGNGTFGAPCPGCRAGSETQICAVGPFCFGPACHLLPRVSPLGTRVSQQMGCADCEMVTNKLFTIERVQQRLLERVDQLAANTEQLERLMQPGLDSGLPSQGQGSAGLAALTLLLVCATDPVM
+>sp|O75534|CSDE1_HUMAN Cold shock domain-containing protein E1 OS=Homo sapiens OX=9606 GN=CSDE1 PE=1 SV=2
+MSFDPNLLHNNGHNGYPNGTSAALRETGVIEKLLTSYGFIQCSERQARLFFHCSQYNGNLQDLKVGDDVEFEVSSDRRTGKPIAVKLVKIKQEILPEERMNGQVVCAVPHNLESKSPAAPGQSPTGSVCYERNGEVFYLTYTPEDVEGNVQLETGDKINFVIDNNKHTGAVSARNIMLLKKKQARCQGVVCAMKEAFGFIERGDVVKEIFFHYSEFKGDLETLQPGDDVEFTIKDRNGKEVATDVRLLPQGTVIFEDISIEHFEGTVTKVIPKVPSKNQNDPLPGRIKVDFVIPKELPFGDKDTKSKVTLLEGDHVRFNISTDRRDKLERATNIEVLSNTFQFTNEAREMGVIAAMRDGFGFIKCVDRDVRMFFHFSEILDGNQLHIADEVEFTVVPDMLSAQRNHAIRIKKLPKGTVSFHSHSDHRFLGTVEKEATFSNPKTTSPNKGKEKEAEDGIIAYDDCGVKLTIAFQAKDVEGSTSPQIGDKVEFSISDKQRPGQQVATCVRLLGRNSNSKRLLGYVATLKDNFGFIETANHDKEIFFHYSEFSGDVDSLELGDMVEYSLSKGKGNKVSAEKVNKTHSVNGITEEADPTIYSGKVIRPLRSVDPTQTEYQGMIEIVEEGDMKGEVYPFGIVGMANKGDCLQKGESVKFQLCVLGQNAQTMAYNITPLRRATVECVKDQFGFINYEVGDSKKLFFHVKEVQDGIELQAGDEVEFSVILNQRTGKCSACNVWRVCEGPKAVAAPRPDRLVNRLKNITLDDASAPRLMVLRQPRGPDNSMGFGAERKIRQAGVID
+>DECOY_sp|O75534|CSDE1_HUMAN Cold shock domain-containing protein E1 OS=Homo sapiens OX=9606 GN=CSDE1 PE=1 SV=2
+DIVGAQRIKREAGFGMSNDPGRPQRLVMLRPASADDLTINKLRNVLRDPRPAAVAKPGECVRWVNCASCKGTRQNLIVSFEVEDGAQLEIGDQVEKVHFFLKKSDGVEYNIFGFQDKVCEVTARRLPTINYAMTQANQGLVCLQFKVSEGKQLCDGKNAMGVIGFPYVEGKMDGEEVIEIMGQYETQTPDVSRLPRIVKGSYITPDAEETIGNVSHTKNVKEASVKNGKGKSLSYEVMDGLELSDVDGSFESYHFFIEKDHNATEIFGFNDKLTAVYGLLRKSNSNRGLLRVCTAVQQGPRQKDSISFEVKDGIQPSTSGEVDKAQFAITLKVGCDDYAIIGDEAEKEKGKNPSTTKPNSFTAEKEVTGLFRHDSHSHFSVTGKPLKKIRIAHNRQASLMDPVVTFEVEDAIHLQNGDLIESFHFFMRVDRDVCKIFGFGDRMAAIVGMERAENTFQFTNSLVEINTARELKDRRDTSINFRVHDGELLTVKSKTDKDGFPLEKPIVFDVKIRGPLPDNQNKSPVKPIVKTVTGEFHEISIDEFIVTGQPLLRVDTAVEKGNRDKITFEVDDGPQLTELDGKFESYHFFIEKVVDGREIFGFAEKMACVVGQCRAQKKKLLMINRASVAGTHKNNDIVFNIKDGTELQVNGEVDEPTYTLYFVEGNREYCVSGTPSQGPAAPSKSELNHPVACVVQGNMREEPLIEQKIKVLKVAIPKGTRRDSSVEFEVDDGVKLDQLNGNYQSCHFFLRAQRESCQIFGYSTLLKEIVGTERLAASTGNPYGNHGNNHLLNPDFSM
+>sp|Q8WXD9|CSKI1_HUMAN Caskin-1 OS=Homo sapiens OX=9606 GN=CASKIN1 PE=1 SV=1
+MGKEQELVQAVKAEDVGTAQRLLQRPRPGKAKLLGSTKKINVNFQDPDGFSALHHAALNGNTELISLLLEAQAAVDIKDNKGMRPLHYAAWQGRKEPMKLVLKAGSAVNIPSDEGHIPLHLAAQHGHYDVSEMLLQHQSNPCMVDNSGKTPLDLACEFGRVGVVQLLLSSNMCAALLEPRPGDATDPNGTSPLHLAAKNGHIDIIRLLLQAGIDINRQTKSGTALHEAALCGKTEVVRLLLDSGINAHVRNTYSQTALDIVHQFTTSQASREIKQLLREASAALQVRATKDYCNNYDLTSLNVKAGDIITVLEQHPDGRWKGCIHDNRTGNDRVGYFPSSLGEAIVKRAGSRAGTEPSLPQGSSSSGPSAPPEEIWVLRKPFAGGDRSGSISGMAGGRGSGGHALHAGSEGVKLLATVLSQKSVSESGPGDSPAKPPEGSAGVARSQPPVAHAGQVYGEQPPKKLEPASEGKSSEAVSQWLTAFQLQLYAPNFISAGYDLPTISRMTPEDLTAIGVTKPGHRKKIAAEISGLSIPDWLPEHKPANLAVWLSMIGLAQYYKVLVDNGYENIDFITDITWEDLQEIGITKLGHQKKLMLAVRKLAELQKAEYAKYEGGPLRRKAPQSLEVMAIESPPPPEPTPADCQSPKMTTFQDSELSDELQAAMTGPAEVGPTTEKPSSHLPPTPRATTRQDSSLGGRARHMSSSQELLGDGPPGPSSPMSRSQEYLLDEGPAPGTPPREARPGRHGHSIKRASVPPVPGKPRQVLPPGTSHFTPPQTPTKTRPGSPQALGGPHGPAPATAKVKPTPQLLPPTERPMSPRSLPQSPTHRGFAYVLPQPVEGEVGPAAPGPAPPPVPTAVPTLCLPPEADAEPGRPKKRAHSLNRYAASDSEPERDELLVPAAAGPYATVQRRVGRSHSVRAPAGADKNVNRSQSFAVRPRKKGPPPPPPKRSSSALASANLADEPVPDAEPEDGLLGVRAQCRRASDLAGSVDTGSAGSVKSIAAMLELSSIGGGGRAARRPPEGHPTPRPASPEPGRVATVLASVKHKEAIGPGGEVVNRRRTLSGPVTGLLATARRGPGESADPGPFVEDGTGRQRPRGPSKGEAGVEGPPLAKVEASATLKRRIRAKQNQQENVKFILTESDTVKRRPKAKEREAGPEPPPPLSVYHNGTGTVRRRPASEQAGPPELPPPPPPAEPPPTDLAHLPPLPPPEGEARKPAKPPVSPKPVLTQPVPKLQGSPTPTSKKVPLPGPGSPEVKRAHGTPPPVSPKPPPPPTAPKPVKAVAGLPSGSAGPSPAPSPARQPPAALAKPPGTPPSLGASPAKPPSPGAPALHVPAKPPRAAAAAAAAAAAPPAPPEGASPGDSARQKLEETSACLAAALQAVEEKIRQEDAQGPRDSAAEKSTGSILDDIGSMFDDLADQLDAMLE
+>DECOY_sp|Q8WXD9|CSKI1_HUMAN Caskin-1 OS=Homo sapiens OX=9606 GN=CASKIN1 PE=1 SV=1
+ELMADLQDALDDFMSGIDDLISGTSKEAASDRPGQADEQRIKEEVAQLAAALCASTEELKQRASDGPSAGEPPAPPAAAAAAAAAAARPPKAPVHLAPAGPSPPKAPSAGLSPPTGPPKALAAPPQRAPSPAPSPGASGSPLGAVAKVPKPATPPPPPKPSVPPPTGHARKVEPSGPGPLPVKKSTPTPSGQLKPVPQTLVPKPSVPPKAPKRAEGEPPPLPPLHALDTPPPEAPPPPPPLEPPGAQESAPRRRVTGTGNHYVSLPPPPEPGAEREKAKPRRKVTDSETLIFKVNEQQNQKARIRRKLTASAEVKALPPGEVGAEGKSPGRPRQRGTGDEVFPGPDASEGPGRRATALLGTVPGSLTRRRNVVEGGPGIAEKHKVSALVTAVRGPEPSAPRPTPHGEPPRRAARGGGGISSLELMAAISKVSGASGTDVSGALDSARRCQARVGLLGDEPEADPVPEDALNASALASSSRKPPPPPPGKKRPRVAFSQSRNVNKDAGAPARVSHSRGVRRQVTAYPGAAAPVLLEDREPESDSAAYRNLSHARKKPRGPEADAEPPLCLTPVATPVPPPAPGPAAPGVEGEVPQPLVYAFGRHTPSQPLSRPSMPRETPPLLQPTPKVKATAPAPGHPGGLAQPSGPRTKTPTQPPTFHSTGPPLVQRPKGPVPPVSARKISHGHRGPRAERPPTGPAPGEDLLYEQSRSMPSSPGPPGDGLLEQSSSMHRARGGLSSDQRTTARPTPPLHSSPKETTPGVEAPGTMAAQLEDSLESDQFTTMKPSQCDAPTPEPPPPSEIAMVELSQPAKRRLPGGEYKAYEAKQLEALKRVALMLKKQHGLKTIGIEQLDEWTIDTIFDINEYGNDVLVKYYQALGIMSLWVALNAPKHEPLWDPISLGSIEAAIKKRHGPKTVGIATLDEPTMRSITPLDYGASIFNPAYLQLQFATLWQSVAESSKGESAPELKKPPQEGYVQGAHAVPPQSRAVGASGEPPKAPSDGPGSESVSKQSLVTALLKVGESGAHLAHGGSGRGGAMGSISGSRDGGAFPKRLVWIEEPPASPGSSSSGQPLSPETGARSGARKVIAEGLSSPFYGVRDNGTRNDHICGKWRGDPHQELVTIIDGAKVNLSTLDYNNCYDKTARVQLAASAERLLQKIERSAQSTTFQHVIDLATQSYTNRVHANIGSDLLLRVVETKGCLAAEHLATGSKTQRNIDIGAQLLLRIIDIHGNKAALHLPSTGNPDTADGPRPELLAACMNSSLLLQVVGVRGFECALDLPTKGSNDVMCPNSQHQLLMESVDYHGHQAALHLPIHGEDSPINVASGAKLVLKMPEKRGQWAAYHLPRMGKNDKIDVAAQAELLLSILETNGNLAAHHLASFGDPDQFNVNIKKTSGLLKAKGPRPRQLLRQATGVDEAKVAQVLEQEKGM
+>sp|Q9H8E8|CSR2B_HUMAN Cysteine-rich protein 2-binding protein OS=Homo sapiens OX=9606 GN=KAT14 PE=1 SV=3
+MDSSIHLSSLISRHDDEATRTSTSEGLEEGEVEGETLLIVESEDQASVDLSHDQSGDSLNSDEGDVSWMEEQLSYFCDKCQKWIPASQLREQLSYLKGDNFFRFTCSDCSADGKEQYERLKLTWQQVVMLAMYNLSLEGSGRQGYFRWKEDICAFIEKHWTFLLGNRKKTSTWWSTVAGCLSVGSPMYFRSGAQEFGEPGWWKLVHNKPPTMKPEGEKLSASTLKIKAASKPTLDPIITVEGLRKRASRNPVESAMELKEKRSRTQEAKDIRRAQKEAAGFLDRSTSSTPVKFISRGRRPDVILEKGEVIDFSSLSSSDRTPLTSPSPSPSLDFSAPGTPASHSATPSLLSEADLIPDVMPPQALFHDDDEMEGDGVIDPGMEYVPPPAGSVASGPVVGVRKKVRGPEQIKQEVESEEEKPDRMDIDSEDTDSNTSLQTRAREKRKPQLEKDTKPKEPRYTPVSIYEEKLLLKRLEACPGAVAMTPEARRLKRKLIVRQAKRDRGLPLFDLDQVVNAALLLVDGIYGAKEGGISRLPAGQATYRTTCQDFRILDRYQTSLPSRKGFRHQTTKFLYRLVGSEDMAVDQSIVSPYTSRILKPYIRRDYETKPPKLQLLSQIRSHLHRSDPHWTPEPDAPLDYCYVRPNHIPTINSMCQEFFWPGIDLSECLQYPDFSVVVLYKKVIIAFGFMVPDVKYNEAYISFLFVHPEWRRAGIATFMIYHLIQTCMGKDVTLHVSASNPAMLLYQKFGFKTEEYVLDFYDKYYPLESTECKHAFFLRLRR
+>DECOY_sp|Q9H8E8|CSR2B_HUMAN Cysteine-rich protein 2-binding protein OS=Homo sapiens OX=9606 GN=KAT14 PE=1 SV=3
+RRLRLFFAHKCETSELPYYKDYFDLVYEETKFGFKQYLLMAPNSASVHLTVDKGMCTQILHYIMFTAIGARRWEPHVFLFSIYAENYKVDPVMFGFAIIVKKYLVVVSFDPYQLCESLDIGPWFFEQCMSNITPIHNPRVYCYDLPADPEPTWHPDSRHLHSRIQSLLQLKPPKTEYDRRIYPKLIRSTYPSVISQDVAMDESGVLRYLFKTTQHRFGKRSPLSTQYRDLIRFDQCTTRYTAQGAPLRSIGGEKAGYIGDVLLLAANVVQDLDFLPLGRDRKAQRVILKRKLRRAEPTMAVAGPCAELRKLLLKEEYISVPTYRPEKPKTDKELQPKRKERARTQLSTNSDTDESDIDMRDPKEEESEVEQKIQEPGRVKKRVGVVPGSAVSGAPPPVYEMGPDIVGDGEMEDDDHFLAQPPMVDPILDAESLLSPTASHSAPTGPASFDLSPSPSPSTLPTRDSSSLSSFDIVEGKELIVDPRRGRSIFKVPTSSTSRDLFGAAEKQARRIDKAEQTRSRKEKLEMASEVPNRSARKRLGEVTIIPDLTPKSAAKIKLTSASLKEGEPKMTPPKNHVLKWWGPEGFEQAGSRFYMPSGVSLCGAVTSWWTSTKKRNGLLFTWHKEIFACIDEKWRFYGQRGSGELSLNYMALMVVQQWTLKLREYQEKGDASCDSCTFRFFNDGKLYSLQERLQSAPIWKQCKDCFYSLQEEMWSVDGEDSNLSDGSQDHSLDVSAQDESEVILLTEGEVEGEELGESTSTRTAEDDHRSILSSLHISSDM
+>sp|P21291|CSRP1_HUMAN Cysteine and glycine-rich protein 1 OS=Homo sapiens OX=9606 GN=CSRP1 PE=1 SV=3
+MPNWGGGKKCGVCQKTVYFAEEVQCEGNSFHKSCFLCMVCKKNLDSTTVAVHGEEIYCKSCYGKKYGPKGYGYGQGAGTLSTDKGESLGIKHEEAPGHRPTTNPNASKFAQKIGGSERCPRCSQAVYAAEKVIGAGKSWHKACFRCAKCGKGLESTTLADKDGEIYCKGCYAKNFGPKGFGFGQGAGALVHSE
+>DECOY_sp|P21291|CSRP1_HUMAN Cysteine and glycine-rich protein 1 OS=Homo sapiens OX=9606 GN=CSRP1 PE=1 SV=3
+ESHVLAGAGQGFGFGKPGFNKAYCGKCYIEGDKDALTTSELGKGCKACRFCAKHWSKGAGIVKEAAYVAQSCRPCRESGGIKQAFKSANPNTTPRHGPAEEHKIGLSEGKDTSLTGAGQGYGYGKPGYKKGYCSKCYIEEGHVAVTTSDLNKKCVMCLFCSKHFSNGECQVEEAFYVTKQCVGCKKGGGWNPM
+>sp|Q16527|CSRP2_HUMAN Cysteine and glycine-rich protein 2 OS=Homo sapiens OX=9606 GN=CSRP2 PE=1 SV=3
+MPVWGGGNKCGACGRTVYHAEEVQCDGRSFHRCCFLCMVCRKNLDSTTVAIHDEEIYCKSCYGKKYGPKGYGYGQGAGTLNMDRGERLGIKPESVQPHRPTTNPNTSKFAQKYGGAEKCSRCGDSVYAAEKIIGAGKPWHKNCFRCAKCGKSLESTTLTEKEGEIYCKGCYAKNFGPKGFGYGQGAGALVHAQ
+>DECOY_sp|Q16527|CSRP2_HUMAN Cysteine and glycine-rich protein 2 OS=Homo sapiens OX=9606 GN=CSRP2 PE=1 SV=3
+QAHVLAGAGQGYGFGKPGFNKAYCGKCYIEGEKETLTTSELSKGCKACRFCNKHWPKGAGIIKEAAYVSDGCRSCKEAGGYKQAFKSTNPNTTPRHPQVSEPKIGLREGRDMNLTGAGQGYGYGKPGYKKGYCSKCYIEEDHIAVTTSDLNKRCVMCLFCCRHFSRGDCQVEEAHYVTRGCAGCKNGGGWVPM
+>sp|P50461|CSRP3_HUMAN Cysteine and glycine-rich protein 3 OS=Homo sapiens OX=9606 GN=CSRP3 PE=1 SV=1
+MPNWGGGAKCGACEKTVYHAEEIQCNGRSFHKTCFHCMACRKALDSTTVAAHESEIYCKVCYGRRYGPKGIGYGQGAGCLSTDTGEHLGLQFQQSPKPARSVTTSNPSKFTAKFGESEKCPRCGKSVYAAEKVMGGGKPWHKTCFRCAICGKSLESTNVTDKDGELYCKVCYAKNFGPTGIGFGGLTQQVEKKE
+>DECOY_sp|P50461|CSRP3_HUMAN Cysteine and glycine-rich protein 3 OS=Homo sapiens OX=9606 GN=CSRP3 PE=1 SV=1
+EKKEVQQTLGGFGIGTPGFNKAYCVKCYLEGDKDTVNTSELSKGCIACRFCTKHWPKGGGMVKEAAYVSKGCRPCKESEGFKATFKSPNSTTVSRAPKPSQQFQLGLHEGTDTSLCGAGQGYGIGKPGYRRGYCVKCYIESEHAAVTTSDLAKRCAMCHFCTKHFSRGNCQIEEAHYVTKECAGCKAGGGWNPM
+>sp|Q05048|CSTF1_HUMAN Cleavage stimulation factor subunit 1 OS=Homo sapiens OX=9606 GN=CSTF1 PE=1 SV=1
+MYRTKVGLKDRQQLYKLIISQLLYDGYISIANGLINEIKPQSVCAPSEQLLHLIKLGMENDDTAVQYAIGRSDTVAPGTGIDLEFDADVQTMSPEASEYETCYVTSHKGPCRVATYSRDGQLIATGSADASIKILDTERMLAKSAMPIEVMMNETAQQNMENHPVIRTLYDHVDEVTCLAFHPTEQILASGSRDYTLKLFDYSKPSAKRAFKYIQEAEMLRSISFHPSGDFILVGTQHPTLRLYDINTFQCFVSCNPQDQHTDAICSVNYNSSANMYVTGSKDGCIKLWDGVSNRCITTFEKAHDGAEVCSAIFSKNSKYILSSGKDSVAKLWEISTGRTLVRYTGAGLSGRQVHRTQAVFNHTEDYVLLPDERTISLCCWDSRTAERRNLLSLGHNNIVRCIVHSPTNPGFMTCSDDFRARFWYRRSTTD
+>DECOY_sp|Q05048|CSTF1_HUMAN Cleavage stimulation factor subunit 1 OS=Homo sapiens OX=9606 GN=CSTF1 PE=1 SV=1
+DTTSRRYWFRARFDDSCTMFGPNTPSHVICRVINNHGLSLLNRREATRSDWCCLSITREDPLLVYDETHNFVAQTRHVQRGSLGAGTYRVLTRGTSIEWLKAVSDKGSSLIYKSNKSFIASCVEAGDHAKEFTTICRNSVGDWLKICGDKSGTVYMNASSNYNVSCIADTHQDQPNCSVFCQFTNIDYLRLTPHQTGVLIFDGSPHFSISRLMEAEQIYKFARKASPKSYDFLKLTYDRSGSALIQETPHFALCTVEDVHDYLTRIVPHNEMNQQATENMMVEIPMASKALMRETDLIKISADASGTAILQGDRSYTAVRCPGKHSTVYCTEYESAEPSMTQVDADFELDIGTGPAVTDSRGIAYQVATDDNEMGLKILHLLQESPACVSQPKIENILGNAISIYGDYLLQSIILKYLQQRDKLGVKTRYM
+>sp|P33240|CSTF2_HUMAN Cleavage stimulation factor subunit 2 OS=Homo sapiens OX=9606 GN=CSTF2 PE=1 SV=1
+MAGLTVRDPAVDRSLRSVFVGNIPYEATEEQLKDIFSEVGPVVSFRLVYDRETGKPKGYGFCEYQDQETALSAMRNLNGREFSGRALRVDNAASEKNKEELKSLGTGAPVIESPYGETISPEDAPESISKAVASLPPEQMFELMKQMKLCVQNSPQEARNMLLQNPQLAYALLQAQVVMRIVDPEIALKILHRQTNIPTLIAGNPQPVHGAGPGSGSNVSMNQQNPQAPQAQSLGGMHVNGAPPLMQASMQGGVPAPGQMPAAVTGPGPGSLAPGGGMQAQVGMPGSGPVSMERGQVPMQDPRAAMQRGSLPANVPTPRGLLGDAPNDPRGGTLLSVTGEVEPRGYLGPPHQGPPMHHVPGHESRGPPPHELRGGPLPEPRPLMAEPRGPMLDQRGPPLDGRGGRDPRGIDARGMEARAMEARGLDARGLEARAMEARAMEARAMEARAMEARAMEVRGMEARGMDTRGPVPGPRGPIPSGMQGPSPINMGAVVPQGSRQVPVMQGTGMQGASIQGGSQPGGFSPGQNQVTPQDHEKAALIMQVLQLTADQIAMLPPEQRQSILILKEQIQKSTGAP
+>DECOY_sp|P33240|CSTF2_HUMAN Cleavage stimulation factor subunit 2 OS=Homo sapiens OX=9606 GN=CSTF2 PE=1 SV=1
+PAGTSKQIQEKLILISQRQEPPLMAIQDATLQLVQMILAAKEHDQPTVQNQGPSFGGPQSGGQISAGQMGTGQMVPVQRSGQPVVAGMNIPSPGQMGSPIPGRPGPVPGRTDMGRAEMGRVEMARAEMARAEMARAEMARAEMARAELGRADLGRAEMARAEMGRADIGRPDRGGRGDLPPGRQDLMPGRPEAMLPRPEPLPGGRLEHPPPGRSEHGPVHHMPPGQHPPGLYGRPEVEGTVSLLTGGRPDNPADGLLGRPTPVNAPLSGRQMAARPDQMPVQGREMSVPGSGPMGVQAQMGGGPALSGPGPGTVAAPMQGPAPVGGQMSAQMLPPAGNVHMGGLSQAQPAQPNQQNMSVNSGSGPGAGHVPQPNGAILTPINTQRHLIKLAIEPDVIRMVVQAQLLAYALQPNQLLMNRAEQPSNQVCLKMQKMLEFMQEPPLSAVAKSISEPADEPSITEGYPSEIVPAGTGLSKLEEKNKESAANDVRLARGSFERGNLNRMASLATEQDQYECFGYGKPKGTERDYVLRFSVVPGVESFIDKLQEETAEYPINGVFVSRLSRDVAPDRVTLGAM
+>sp|Q9GZN8|CT027_HUMAN UPF0687 protein C20orf27 OS=Homo sapiens OX=9606 GN=C20orf27 PE=1 SV=3
+MAAANKGNKPRVRSIRFAAGHDAEGSHSHVHFDEKLHDSVVMVTQESDSSFLVKVGFLKILHRYEITFTLPPVHRLSKDVREAPVPSLHLKLLSVVPVPEGYSVKCEYSAHKEGVLKEEILLACEGGTGTCVRVTVQARVMDRHHGTPMLLDGVKCVGAELEYDSEHSDWHGFD
+>DECOY_sp|Q9GZN8|CT027_HUMAN UPF0687 protein C20orf27 OS=Homo sapiens OX=9606 GN=C20orf27 PE=1 SV=3
+DFGHWDSHESDYELEAGVCKVGDLLMPTGHHRDMVRAQVTVRVCTGTGGECALLIEEKLVGEKHASYECKVSYGEPVPVVSLLKLHLSPVPAERVDKSLRHVPPLTFTIEYRHLIKLFGVKVLFSSDSEQTVMVVSDHLKEDFHVHSHSGEADHGAAFRISRVRPKNGKNAAAM
+>sp|Q96LM9|CT173_HUMAN Uncharacterized protein C20orf173 OS=Homo sapiens OX=9606 GN=C20orf173 PE=2 SV=1
+MLSGPHPSPTFRPNPCPWPCLHSLWMEISPTQLCFLSPGPSPQSPSCCFQGMNSGSELGKLWRKLFKGIPRLSVSHFDFYCGTCVLLGRPQIPQGSSLGNDIDQYPVVFRNASDQGSWMQLEMLLRKLSDLVWTSDALSDKILEDGLVP
+>DECOY_sp|Q96LM9|CT173_HUMAN Uncharacterized protein C20orf173 OS=Homo sapiens OX=9606 GN=C20orf173 PE=2 SV=1
+PVLGDELIKDSLADSTWVLDSLKRLLMELQMWSGQDSANRFVVPYQDIDNGLSSGQPIQPRGLLVCTGCYFDFHSVSLRPIGKFLKRWLKGLESGSNMGQFCCSPSQPSPGPSLFCLQTPSIEMWLSHLCPWPCPNPRFTPSPHPGSLM
+>sp|A0A1B0GTL2|CT204_HUMAN Uncharacterized protein C20orf204 OS=Homo sapiens OX=9606 GN=C20orf204 PE=3 SV=1
+MVPPKPALWALLLALLGTAPSRAYSPACSVPDVLRHYRAIIFEDLQAAVKWGGAGAEKTRPGSRHFHFIQKNLTRPGSSGRRGRPRASCGAQKEHSILLSISSLGRTLRGAVAGGRRGALERAAWTVAVRTEAVMRRHCRTLRQRSRRPKMRPARRRGGRRQLLLRALDAVATCWEKLFALRAPASRDS
+>DECOY_sp|A0A1B0GTL2|CT204_HUMAN Uncharacterized protein C20orf204 OS=Homo sapiens OX=9606 GN=C20orf204 PE=3 SV=1
+SDRSAPARLAFLKEWCTAVADLARLLLQRRGGRRRAPRMKPRRSRQRLTRCHRRMVAETRVAVTWAARELAGRRGGAVAGRLTRGLSSISLLISHEKQAGCSARPRGRRGSSGPRTLNKQIFHFHRSGPRTKEAGAGGWKVAAQLDEFIIARYHRLVDPVSCAPSYARSPATGLLALLLAWLAPKPPVM
+>sp|P0C2W7|CT47B_HUMAN Cancer/testis antigen 47B OS=Homo sapiens OX=9606 GN=CT47B1 PE=4 SV=1
+MSATGDRHPTQGDQEAPVSQEGAQAEAAGAGNQEGGDSGPDSSDMVPAAEVVGVAGPVEGLGEEEGEQAAGLAAVPQGGSAEEDSDIGPATEEEEEEEEGNEAANFDLAVATRRYPAAGIGFVFLYLVHSLLRRLYHNDHIQIANRHLSRLMVGPHAAVPNLWDNPPLLLLSQRLGAGAAAPEGEGLGLIQEAASVQEAASVPEPAVPADLAEMAREPAEEAADEKPPEEAAEEKLTEEATEEPAAEEPTSEEAVAPEEVTKSQPEKWDEEAQDAAGEEEKEQEKEKDVENKVKNSKGT
+>DECOY_sp|P0C2W7|CT47B_HUMAN Cancer/testis antigen 47B OS=Homo sapiens OX=9606 GN=CT47B1 PE=4 SV=1
+TGKSNKVKNEVDKEKEQEKEEEGAADQAEEDWKEPQSKTVEEPAVAEESTPEEAAPEETAEETLKEEAAEEPPKEDAAEEAPERAMEALDAPVAPEPVSAAEQVSAAEQILGLGEGEPAAAGAGLRQSLLLLPPNDWLNPVAAHPGVMLRSLHRNAIQIHDNHYLRRLLSHVLYLFVFGIGAAPYRRTAVALDFNAAENGEEEEEEEETAPGIDSDEEASGGQPVAALGAAQEGEEEGLGEVPGAVGVVEAAPVMDSSDPGSDGGEQNGAGAAEAQAGEQSVPAEQDGQTPHRDGTASM
+>sp|Q9HC47|CTGE1_HUMAN Cutaneous T-cell lymphoma-associated antigen 1 OS=Homo sapiens OX=9606 GN=CTAGE1 PE=2 SV=1
+MFVIISLHNCVVISFVLFLFGGNNFIQNFYLPQNYIDQFLLTSFPTFTSVGVLIVLVLCSAFLLLWQGEGVNLR
+>DECOY_sp|Q9HC47|CTGE1_HUMAN Cutaneous T-cell lymphoma-associated antigen 1 OS=Homo sapiens OX=9606 GN=CTAGE1 PE=2 SV=1
+RLNVGEGQWLLLFASCLVLVILVGVSTFTPFSTLLFQDIYNQPLYFNQIFNNGGFLFLVFSIVVCNHLSIIVFM
+>sp|P0CG41|CTGE8_HUMAN cTAGE family member 8 OS=Homo sapiens OX=9606 GN=CTAGE8 PE=3 SV=1
+MEEPGATPQPYLGLVLEELRRVVAALPESMRPDENPYGFPSELVVCAAVIGFFVVLLFLWRSFRSVRSRLYVGREQKLGATLSGLIEEKCKLLEKFSLIQKEYEGYEVESSLEDASFEKAAAEEARSLEATCEKLNRSNSELEDEILCLEKDLKEEKSKHSQQDELMADISKSIQSLEDESKSLKSQIAEAKIICKTFKMSEERRAIAIKDALNENSQLQTSHKQLFQQEAEVWKGQVSELNKQKITFEDSKVHAEQVLNDKENHIKTLTGHLPMMKDQAAVLEEDTTDDDNLELEVNSQWENGANLDDPLKGALKKLIHAAKLNVSLKSLEGERNHIIIQLSEVDKTKEELTEHIKNLQTQQASLQSENIYFESENQKLQQKLKIMTEFYQENEMKLYRKLTVEENYRIEEEEKLSRVEEKISRATEGLETYRKLAKDLEEELERTVHFYQKQVISYEKRGHDNWLAARTAERNLSDLRKENAHNKQKLTETELKFELLEKDPNALDVSNTAFGREHSPCSPSPLGRPSSETRAFPSPQTLLEDPLRLSPVLPGGGGRGPSSPGNPLDHQITNERGEPSYDRLIDPHRAPSDTGSLSSPVEQDRRMMFPPPGQSYPDSTLPPQREDRFYSNSERLSGPAEPRSFKMTSLDKMDRSMPSEMESSRNDAKDDLGNLNVPDSSLPAENEATGPGFIPPPLAPVRGPLFPVDTRGPFMRRGPPFPPPPPGTMFGASRGYFPPRDFPGPPHAPFAMRNIYPPRGLPPYLHPRPGFYPNPTF
+>DECOY_sp|P0CG41|CTGE8_HUMAN cTAGE family member 8 OS=Homo sapiens OX=9606 GN=CTAGE8 PE=3 SV=1
+FTPNPYFGPRPHLYPPLGRPPYINRMAFPAHPPGPFDRPPFYGRSAGFMTGPPPPPFPPGRRMFPGRTDVPFLPGRVPALPPPIFGPGTAENEAPLSSDPVNLNGLDDKADNRSSEMESPMSRDMKDLSTMKFSRPEAPGSLRESNSYFRDERQPPLTSDPYSQGPPPFMMRRDQEVPSSLSGTDSPARHPDILRDYSPEGRENTIQHDLPNGPSSPGRGGGGPLVPSLRLPDELLTQPSPFARTESSPRGLPSPSCPSHERGFATNSVDLANPDKELLEFKLETETLKQKNHANEKRLDSLNREATRAALWNDHGRKEYSIVQKQYFHVTRELEEELDKALKRYTELGETARSIKEEVRSLKEEEEIRYNEEVTLKRYLKMENEQYFETMIKLKQQLKQNESEFYINESQLSAQQTQLNKIHETLEEKTKDVESLQIIIHNREGELSKLSVNLKAAHILKKLAGKLPDDLNAGNEWQSNVELELNDDDTTDEELVAAQDKMMPLHGTLTKIHNEKDNLVQEAHVKSDEFTIKQKNLESVQGKWVEAEQQFLQKHSTQLQSNENLADKIAIARREESMKFTKCIIKAEAIQSKLSKSEDELSQISKSIDAMLEDQQSHKSKEEKLDKELCLIEDELESNSRNLKECTAELSRAEEAAAKEFSADELSSEVEYGEYEKQILSFKELLKCKEEILGSLTAGLKQERGVYLRSRVSRFSRWLFLLVVFFGIVAACVVLESPFGYPNEDPRMSEPLAAVVRRLEELVLGLYPQPTAGPEEM
+>sp|P26232|CTNA2_HUMAN Catenin alpha-2 OS=Homo sapiens OX=9606 GN=CTNNA2 PE=1 SV=5
+MTSATSPIILKWDPKSLEIRTLTVERLLEPLVTQVTTLVNTSNKGPSGKKKGRSKKAHVLAASVEQATQNFLEKGEQIAKESQDLKEELVAAVEDVRKQGETMRIASSEFADDPCSSVKRGTMVRAARALLSAVTRLLILADMADVMRLLSHLKIVEEALEAVKNATNEQDLANRFKEFGKEMVKLNYVAARRQQELKDPHCRDEMAAARGALKKNATMLYTASQAFLRHPDVAATRANRDYVFKQVQEAIAGISNAAQATSPTDEAKGHTGIGELAAALNEFDNKIILDPMTFSEARFRPSLEERLESIISGAALMADSSCTRDDRRERIVAECNAVRQALQDLLSEYMNNTGRKEKGDPLNIAIDKMTKKTRDLRRQLRKAVMDHISDSFLETNVPLLVLIEAAKSGNEKEVKEYAQVFREHANKLVEVANLACSISNNEEGVKLVRMAATQIDSLCPQVINAALTLAARPQSKVAQDNMDVFKDQWEKQVRVLTEAVDDITSVDDFLSVSENHILEDVNKCVIALQEGDVDTLDRTAGAIRGRAARVIHIINAEMENYEAGVYTEKVLEATKLLSETVMPRFAEQVEVAIEALSANVPQPFEENEFIDASRLVYDGVRDIRKAVLMIRTPEELEDDSDFEQEDYDVRSRTSVQTEDDQLIAGQSARAIMAQLPQEEKAKIAEQVEIFHQEKSKLDAEVAKWDDSGNDIIVLAKQMCMIMMEMTDFTRGKGPLKNTSDVINAAKKIAEAGSRMDKLARAVADQCPDSACKQDLLAYLQRIALYCHQLNICSKVKAEVQNLGGELIVSGTGVQSTFTTFYEVDCDVIDGGRASQLSTHLPTCAEGAPIGSGSSDSSMLDSATSLIQAAKNLMNAVVLTVKASYVASTKYQKVYGTAAVNSPVVSWKMKAPEKKPLVKREKPEEFQTRVRRGSQKKHISPVQALSEFKAMDSF
+>DECOY_sp|P26232|CTNA2_HUMAN Catenin alpha-2 OS=Homo sapiens OX=9606 GN=CTNNA2 PE=1 SV=5
+FSDMAKFESLAQVPSIHKKQSGRRVRTQFEEPKERKVLPKKEPAKMKWSVVPSNVAATGYVKQYKTSAVYSAKVTLVVANMLNKAAQILSTASDLMSSDSSGSGIPAGEACTPLHTSLQSARGGDIVDCDVEYFTTFTSQVGTGSVILEGGLNQVEAKVKSCINLQHCYLAIRQLYALLDQKCASDPCQDAVARALKDMRSGAEAIKKAANIVDSTNKLPGKGRTFDTMEMMIMCMQKALVIIDNGSDDWKAVEADLKSKEQHFIEVQEAIKAKEEQPLQAMIARASQGAILQDDETQVSTRSRVDYDEQEFDSDDELEEPTRIMLVAKRIDRVGDYVLRSADIFENEEFPQPVNASLAEIAVEVQEAFRPMVTESLLKTAELVKETYVGAEYNEMEANIIHIVRAARGRIAGATRDLTDVDGEQLAIVCKNVDELIHNESVSLFDDVSTIDDVAETLVRVQKEWQDKFVDMNDQAVKSQPRAALTLAANIVQPCLSDIQTAAMRVLKVGEENNSISCALNAVEVLKNAHERFVQAYEKVEKENGSKAAEILVLLPVNTELFSDSIHDMVAKRLQRRLDRTKKTMKDIAINLPDGKEKRGTNNMYESLLDQLAQRVANCEAVIRERRDDRTCSSDAMLAAGSIISELREELSPRFRAESFTMPDLIIKNDFENLAAALEGIGTHGKAEDTPSTAQAANSIGAIAEQVQKFVYDRNARTAAVDPHRLFAQSATYLMTANKKLAGRAAAMEDRCHPDKLEQQRRAAVYNLKVMEKGFEKFRNALDQENTANKVAELAEEVIKLHSLLRMVDAMDALILLRTVASLLARAARVMTGRKVSSCPDDAFESSAIRMTEGQKRVDEVAAVLEEKLDQSEKAIQEGKELFNQTAQEVSAALVHAKKSRGKKKGSPGKNSTNVLTTVQTVLPELLREVTLTRIELSKPDWKLIIPSTASTM
+>sp|Q9UI47|CTNA3_HUMAN Catenin alpha-3 OS=Homo sapiens OX=9606 GN=CTNNA3 PE=1 SV=2
+MSAETPITLNIDPQDLQVQTFTVEKLLEPLIIQVTTLVNCPQNPSSRKKGRSKRASVLLASVEEATWNLLDKGEKIAQEATVLKDELTASLEEVRKESEALKVSAERFTDDPCFLPKREAVVQAARALLAAVTRLLILADMIDVMCLLQHVSAFQRTFESLKNVANKSDLQKTYQKLGKELENLDYLAFKRQQDLKSPNQRDEIAGARASLKENSPLLHSICSACLEHSDVASLKASKDTVCEEIQNALNVISNASQGIQNMTTPPEPQAATLGSALDELENLIVLNPLTVTEEEIRPSLEKRLEAIISGAALLADSSCTRDLHRERIIAECNAIRQALQDLLSEYMNNAGKKERSNTLNIALDNMCKKTRDLRRQLRKAIIDHVSDSFLDTTVPLLVLIEAAKNGREKEIKEYAAIFHEHTSRLVEVANLACSMSTNEDGIKIVKIAANHLETLCPQIINAALALAARPKSQAVKNTMEMYKRTWENHIHVLTEAVDDITSIDDFLAVSESHILEDVNKCIIALRDQDADNLDRAAGAIRGRAARVAHIVTGEMDSYEPGAYTEGVMRNVNFLTSTVIPEFVTQVNVALEALSKSSLNVLDDNQFVDISKKIYDTIHDIRCSVMMIRTPEELEDVSDLEEEHEVRSHTSIQTEGKTDRAKMTQLPEAEKEKIAEQVADFKKVKSKLDAEIEIWDDTSNDIIVLAKNMCMIMMEMTDFTRGKGPLKHTTDVIYAAKMISESGSRMDVLARQIANQCPDPSCKQDLLAYLEQIKFYSHQLKICSQVKAEIQNLGGELIMSALDSVTSLIQAAKNLMNAVVQTVKMSYIASTKIIRIQSPAGPRHPVVMWRMKAPAKKPLIKREKPEETCAAVRRGSAKKKIHPLQVMSEFRGRQIY
+>DECOY_sp|Q9UI47|CTNA3_HUMAN Catenin alpha-3 OS=Homo sapiens OX=9606 GN=CTNNA3 PE=1 SV=2
+YIQRGRFESMVQLPHIKKKASGRRVAACTEEPKERKILPKKAPAKMRWMVVPHRPGAPSQIRIIKTSAIYSMKVTQVVANMLNKAAQILSTVSDLASMILEGGLNQIEAKVQSCIKLQHSYFKIQELYALLDQKCSPDPCQNAIQRALVDMRSGSESIMKAAYIVDTTHKLPGKGRTFDTMEMMIMCMNKALVIIDNSTDDWIEIEADLKSKVKKFDAVQEAIKEKEAEPLQTMKARDTKGETQISTHSRVEHEEELDSVDELEEPTRIMMVSCRIDHITDYIKKSIDVFQNDDLVNLSSKSLAELAVNVQTVFEPIVTSTLFNVNRMVGETYAGPEYSDMEGTVIHAVRAARGRIAGAARDLNDADQDRLAIICKNVDELIHSESVALFDDISTIDDVAETLVHIHNEWTRKYMEMTNKVAQSKPRAALALAANIIQPCLTELHNAAIKVIKIGDENTSMSCALNAVEVLRSTHEHFIAAYEKIEKERGNKAAEILVLLPVTTDLFSDSVHDIIAKRLQRRLDRTKKCMNDLAINLTNSREKKGANNMYESLLDQLAQRIANCEAIIRERHLDRTCSSDALLAAGSIIAELRKELSPRIEEETVTLPNLVILNELEDLASGLTAAQPEPPTTMNQIGQSANSIVNLANQIEECVTDKSAKLSAVDSHELCASCISHLLPSNEKLSARAGAIEDRQNPSKLDQQRKFALYDLNELEKGLKQYTKQLDSKNAVNKLSEFTRQFASVHQLLCMVDIMDALILLRTVAALLARAAQVVAERKPLFCPDDTFREASVKLAESEKRVEELSATLEDKLVTAEQAIKEGKDLLNWTAEEVSALLVSARKSRGKKRSSPNQPCNVLTTVQIILPELLKEVTFTQVQLDQPDINLTIPTEASM
+>sp|O60716|CTND1_HUMAN Catenin delta-1 OS=Homo sapiens OX=9606 GN=CTNND1 PE=1 SV=1
+MDDSEVESTASILASVKEQEAQFEKLTRALEEERRHVSAQLERVRVSPQDANPLMANGTLTRRHQNGRFVGDADLERQKFSDLKLNGPQDHSHLLYSTIPRMQEPGQIVETYTEEDPEGAMSVVSVETSDDGTTRRTETTVKKVVKTVTTRTVQPVAMGPDGLPVDASSVSNNYIQTLGRDFRKNGNGGPGPYVGQAGTATLPRNFHYPPDGYSRHYEDGYPGGSDNYGSLSRVTRIEERYRPSMEGYRAPSRQDVYGPQPQVRVGGSSVDLHRFHPEPYGLEDDQRSMGYDDLDYGMMSDYGTARRTGTPSDPRRRLRSYEDMIGEEVPSDQYYWAPLAQHERGSLASLDSLRKGGPPPPNWRQPELPEVIAMLGFRLDAVKSNAAAYLQHLCYRNDKVKTDVRKLKGIPVLVGLLDHPKKEVHLGACGALKNISFGRDQDNKIAIKNCDGVPALVRLLRKARDMDLTEVITGTLWNLSSHDSIKMEIVDHALHALTDEVIIPHSGWEREPNEDCKPRHIEWESVLTNTAGCLRNVSSERSEARRKLRECDGLVDALIFIVQAEIGQKDSDSKLVENCVCLLRNLSYQVHREIPQAERYQEAAPNVANNTGPHAASCFGAKKGKDEWFSRGKKPIEDPANDTVDFPKRTSPARGYELLFQPEVVRIYISLLKESKTPAILEASAGAIQNLCAGRWTYGRYIRSALRQEKALSAIADLLTNEHERVVKAASGALRNLAVDARNKELIGKHAIPNLVKNLPGGQQNSSWNFSEDTVISILNTINEVIAENLEAAKKLRETQGIEKLVLINKSGNRSEKEVRAAALVLQTIWGYKELRKPLEKEGWKKSDFQVNLNNASRSQSSHSYDDSTLPLIDRNQKSDKKPDREEIQMSNMGSNTKSLDNNYSTPNERGDHNRTLDRSGDLGDMEPLKGTTPLMQDEGQESLEEELDVLVLDDEGGQVSYPSMQKI
+>DECOY_sp|O60716|CTND1_HUMAN Catenin delta-1 OS=Homo sapiens OX=9606 GN=CTNND1 PE=1 SV=1
+IKQMSPYSVQGGEDDLVLVDLEEELSEQGEDQMLPTTGKLPEMDGLDGSRDLTRNHDGRENPTSYNNDLSKTNSGMNSMQIEERDPKKDSKQNRDILPLTSDDYSHSSQSRSANNLNVQFDSKKWGEKELPKRLEKYGWITQLVLAAARVEKESRNGSKNILVLKEIGQTERLKKAAELNEAIVENITNLISIVTDESFNWSSNQQGGPLNKVLNPIAHKGILEKNRADVALNRLAGSAAKVVREHENTLLDAIASLAKEQRLASRIYRGYTWRGACLNQIAGASAELIAPTKSEKLLSIYIRVVEPQFLLEYGRAPSTRKPFDVTDNAPDEIPKKGRSFWEDKGKKAGFCSAAHPGTNNAVNPAAEQYREAQPIERHVQYSLNRLLCVCNEVLKSDSDKQGIEAQVIFILADVLGDCERLKRRAESRESSVNRLCGATNTLVSEWEIHRPKCDENPEREWGSHPIIVEDTLAHLAHDVIEMKISDHSSLNWLTGTIVETLDMDRAKRLLRVLAPVGDCNKIAIKNDQDRGFSINKLAGCAGLHVEKKPHDLLGVLVPIGKLKRVDTKVKDNRYCLHQLYAAANSKVADLRFGLMAIVEPLEPQRWNPPPPGGKRLSDLSALSGREHQALPAWYYQDSPVEEGIMDEYSRLRRRPDSPTGTRRATGYDSMMGYDLDDYGMSRQDDELGYPEPHFRHLDVSSGGVRVQPQPGYVDQRSPARYGEMSPRYREEIRTVRSLSGYNDSGGPYGDEYHRSYGDPPYHFNRPLTATGAQGVYPGPGGNGNKRFDRGLTQIYNNSVSSADVPLGDPGMAVPQVTRTTVTKVVKKVTTETRRTTGDDSTEVSVVSMAGEPDEETYTEVIQGPEQMRPITSYLLHSHDQPGNLKLDSFKQRELDADGVFRGNQHRRTLTGNAMLPNADQPSVRVRELQASVHRREEELARTLKEFQAEQEKVSALISATSEVESDDM
+>sp|P60606|CTXN1_HUMAN Cortexin-1 OS=Homo sapiens OX=9606 GN=CTXN1 PE=3 SV=1
+MSATWTLSPEPLPPSTGPPVGAGLDAEQRTVFAFVLCLLVVLVLLMVRCVRILLDPYSRMPASSWTDHKEALERGQFDYALV
+>DECOY_sp|P60606|CTXN1_HUMAN Cortexin-1 OS=Homo sapiens OX=9606 GN=CTXN1 PE=3 SV=1
+VLAYDFQGRELAEKHDTWSSAPMRSYPDLLIRVCRVMLLVLVVLLCLVFAFVTRQEADLGAGVPPGTSPPLPEPSLTWTASM
+>sp|A6NIU2|CU037_HUMAN Putative uncharacterized protein encoded by LINC01549 OS=Homo sapiens OX=9606 GN=LINC01549 PE=5 SV=1
+MERAQCLRKGILSFERSLEQRSLILSPRLEYSGAITAHCSLDLLDSTNPPASAFWVVETTDTEDEREKKREITE
+>DECOY_sp|A6NIU2|CU037_HUMAN Putative uncharacterized protein encoded by LINC01549 OS=Homo sapiens OX=9606 GN=LINC01549 PE=5 SV=1
+ETIERKKEREDETDTTEVVWFASAPPNTSDLLDLSCHATIAGSYELRPSLILSRQELSREFSLIGKRLCQAREM
+>sp|Q8TCZ7|CU074_HUMAN Putative uncharacterized protein encoded by LINC00308 OS=Homo sapiens OX=9606 GN=LINC00308 PE=5 SV=2
+MAYVFNLSCLGSQVERLLEARSSRPTWIIQPSPKKAPEACFSFHSSYERNWA
+>DECOY_sp|Q8TCZ7|CU074_HUMAN Putative uncharacterized protein encoded by LINC00308 OS=Homo sapiens OX=9606 GN=LINC00308 PE=5 SV=2
+AWNREYSSHFSFCAEPAKKPSPQIIWTPRSSRAELLREVQSGLCSLNFVYAM
+>sp|P59089|CU086_HUMAN Putative uncharacterized protein encoded by LINC00205 OS=Homo sapiens OX=9606 GN=LINC00205 PE=5 SV=1
+MTPARPPRFPDDGSWRAFLRQRPQATERRPRRERMFVKLLLEGKLPPTLNTPVSRHRQGSGHKPASPFYSQNRGLRTFFNDFRATECTGRPRLCFHSLRCGAFSPGAPCWGRFNRRPCLPPTVLRKDRPSLPQERPGWRSPGVGGSSELALSPPGLVSPQSGPWP
+>DECOY_sp|P59089|CU086_HUMAN Putative uncharacterized protein encoded by LINC00205 OS=Homo sapiens OX=9606 GN=LINC00205 PE=5 SV=1
+PWPGSQPSVLGPPSLALESSGGVGPSRWGPREQPLSPRDKRLVTPPLCPRRNFRGWCPAGPSFAGCRLSHFCLRPRGTCETARFDNFFTRLGRNQSYFPSAPKHGSGQRHRSVPTNLTPPLKGELLLKVFMRERRPRRETAQPRQRLFARWSGDDPFRPPRAPTM
+>sp|Q13620|CUL4B_HUMAN Cullin-4B OS=Homo sapiens OX=9606 GN=CUL4B PE=1 SV=4
+MMSQSSGSGDGNDDEATTSKDGGFSSPSPSAAAAAQEVRSATDGNTSTTPPTSAKKRKLNSSSSSSSNSSNEREDFDSTSSSSSTPPLQPRDSASPSTSSFCLGVSVAASSHVPIQKKLRFEDTLEFVGFDAKMAEESSSSSSSSSPTAATSQQQQLKNKSILISSVASVHHANGLAKSSTTVSSFANSKPGSAKKLVIKNFKDKPKLPENYTDETWQKLKEAVEAIQNSTSIKYNLEELYQAVENLCSYKISANLYKQLRQICEDHIKAQIHQFREDSLDSVLFLKKIDRCWQNHCRQMIMIRSIFLFLDRTYVLQNSMLPSIWDMGLELFRAHIISDQKVQNKTIDGILLLIERERNGEAIDRSLLRSLLSMLSDLQIYQDSFEQRFLEETNRLYAAEGQKLMQEREVPEYLHHVNKRLEEEADRLITYLDQTTQKSLIATVEKQLLGEHLTAILQKGLNNLLDENRIQDLSLLYQLFSRVRGGVQVLLQQWIEYIKAFGSTIVINPEKDKTMVQELLDFKDKVDHIIDICFLKNEKFINAMKEAFETFINKRPNKPAELIAKYVDSKLRAGNKEATDEELEKMLDKIMIIFRFIYGKDVFEAFYKKDLAKRLLVGKSASVDAEKSMLSKLKHECGAAFTSKLEGMFKDMELSKDIMIQFKQYMQNQNVPGNIELTVNILTMGYWPTYVPMEVHLPPEMVKLQEIFKTFYLGKHSGRKLQWQSTLGHCVLKAEFKEGKKELQVSLFQTLVLLMFNEGEEFSLEEIKQATGIEDGELRRTLQSLACGKARVLAKNPKGKDIEDGDKFICNDDFKHKLFRIKINQIQMKETVEEQASTTERVFQDRQYQIDAAIVRIMKMRKTLSHNLLVSEVYNQLKFPVKPADLKKRIESLIDRDYMERDKENPNQYNYIA
+>DECOY_sp|Q13620|CUL4B_HUMAN Cullin-4B OS=Homo sapiens OX=9606 GN=CUL4B PE=1 SV=4
+AIYNYQNPNEKDREMYDRDILSEIRKKLDAPKVPFKLQNYVESVLLNHSLTKRMKMIRVIAADIQYQRDQFVRETTSAQEEVTEKMQIQNIKIRFLKHKFDDNCIFKDGDEIDKGKPNKALVRAKGCALSQLTRRLEGDEIGTAQKIEELSFEEGENFMLLVLTQFLSVQLEKKGEKFEAKLVCHGLTSQWQLKRGSHKGLYFTKFIEQLKVMEPPLHVEMPVYTPWYGMTLINVTLEINGPVNQNQMYQKFQIMIDKSLEMDKFMGELKSTFAAGCEHKLKSLMSKEADVSASKGVLLRKALDKKYFAEFVDKGYIFRFIIMIKDLMKELEEDTAEKNGARLKSDVYKAILEAPKNPRKNIFTEFAEKMANIFKENKLFCIDIIHDVKDKFDLLEQVMTKDKEPNIVITSGFAKIYEIWQQLLVQVGGRVRSFLQYLLSLDQIRNEDLLNNLGKQLIATLHEGLLQKEVTAILSKQTTQDLYTILRDAEEELRKNVHHLYEPVEREQMLKQGEAAYLRNTEELFRQEFSDQYIQLDSLMSLLSRLLSRDIAEGNREREILLLIGDITKNQVKQDSIIHARFLELGMDWISPLMSNQLVYTRDLFLFISRIMIMQRCHNQWCRDIKKLFLVSDLSDERFQHIQAKIHDECIQRLQKYLNASIKYSCLNEVAQYLEELNYKISTSNQIAEVAEKLKQWTEDTYNEPLKPKDKFNKIVLKKASGPKSNAFSSVTTSSKALGNAHHVSAVSSILISKNKLQQQQSTAATPSSSSSSSSSEEAMKADFGVFELTDEFRLKKQIPVHSSAAVSVGLCFSSTSPSASDRPQLPPTSSSSSTSDFDERENSSNSSSSSSSNLKRKKASTPPTTSTNGDTASRVEQAAAAASPSPSSFGGDKSTTAEDDNGDGSGSSQSMM
+>sp|Q9NTM9|CUTC_HUMAN Copper homeostasis protein cutC homolog OS=Homo sapiens OX=9606 GN=CUTC PE=1 SV=1
+MKRQGASSERKRARIPSGKAGAANGFLMEVCVDSVESAVNAERGGADRIELCSGLSEGGTTPSMGVLQVVKQSVQIPVFVMIRPRGGDFLYSDREIEVMKADIRLAKLYGADGLVFGALTEDGHIDKELCMSLMAICRPLPVTFHRAFDMVHDPMAALETLLTLGFERVLTSGCDSSALEGLPLIKRLIEQAKGRIVVMPGGGITDRNLQRILEGSGATEFHCSARSTRDSGMKFRNSSVAMGASLSCSEYSLKVTDVTKVRTLNAIAKNILV
+>DECOY_sp|Q9NTM9|CUTC_HUMAN Copper homeostasis protein cutC homolog OS=Homo sapiens OX=9606 GN=CUTC PE=1 SV=1
+VLINKAIANLTRVKTVDTVKLSYESCSLSAGMAVSSNRFKMGSDRTSRASCHFETAGSGELIRQLNRDTIGGGPMVVIRGKAQEILRKILPLGELASSDCGSTLVREFGLTLLTELAAMPDHVMDFARHFTVPLPRCIAMLSMCLEKDIHGDETLAGFVLGDAGYLKALRIDAKMVEIERDSYLFDGGRPRIMVFVPIQVSQKVVQLVGMSPTTGGESLGSCLEIRDAGGREANVASEVSDVCVEMLFGNAAGAKGSPIRARKRESSAGQRKM
+>sp|Q8WYQ4|CV015_HUMAN Uncharacterized protein C22orf15 OS=Homo sapiens OX=9606 GN=C22orf15 PE=2 SV=1
+MFIKVMFGAGCSVLVNTSCRLVNLTAHLRQKAGLPPDATIALLAEDGNLVSLEEDLKEGASRAQTMGNSLLKERAIYVLVRIIKGEDMASTRYESLLENLDDHYPELAEELRRLSGLSSVGHNWRKRMGTRRGRHEQSPTSRPRKGPD
+>DECOY_sp|Q8WYQ4|CV015_HUMAN Uncharacterized protein C22orf15 OS=Homo sapiens OX=9606 GN=C22orf15 PE=2 SV=1
+DPGKRPRSTPSQEHRGRRTGMRKRWNHGVSSLGSLRRLEEALEPYHDDLNELLSEYRTSAMDEGKIIRVLVYIAREKLLSNGMTQARSAGEKLDEELSVLNGDEALLAITADPPLGAKQRLHATLNVLRCSTNVLVSCGAGFMVKIFM
+>sp|Q8TB33|CX024_HUMAN Putative uncharacterized protein encoded by LINC01560 OS=Homo sapiens OX=9606 GN=LINC01560 PE=4 SV=2
+MGALFRLLLQERGKAAEFYSRGRKQRRPSASCTFGGIWSSGVDMLPNGAVGSLTQLGPSRPVLGIDYSKKEFRGTAENVTGLNTILHFRKRTRS
+>DECOY_sp|Q8TB33|CX024_HUMAN Putative uncharacterized protein encoded by LINC01560 OS=Homo sapiens OX=9606 GN=LINC01560 PE=4 SV=2
+SRTRKRFHLITNLGTVNEATGRFEKKSYDIGLVPRSPGLQTLSGVAGNPLMDVGSSWIGGFTCSASPRRQKRGRSYFEAAKGREQLLLRFLAGM
+>sp|Q5JRM2|CX066_HUMAN Uncharacterized protein CXorf66 OS=Homo sapiens OX=9606 GN=CXorf66 PE=2 SV=1
+MNLVICVLLLSIWKNNCMTTNQTNGSSTTGDKPVESMQTKLNYLRRNLLILVGIIIMVFVFICFCYLHYNCLSDDASKAGMVKKKGIAAKSSKTSFSEAKTASQCSPETQPMLSTADKSSDSSSPERASAQSSTEKLIRPSSLQKPSIPNSAGKLTRPSYPKRSSKSSCSKKLSKSSHLEKAHKKGSLEKLCKLDYACKLASSDKPVRPPQLFKPLYSSHPQNEISPSKPFGPQELAKPPKHFNPKRSVSLGRAALLSNSELAETCQPYKKKHLVAKTYRPLVNDISEAKEKNTQNLHVSSKVKSSSRSFRKLDSRNNAYGDHVNDSDTMKYYSEVDSDKVIIITCDRGYNQVTSEVTLND
+>DECOY_sp|Q5JRM2|CX066_HUMAN Uncharacterized protein CXorf66 OS=Homo sapiens OX=9606 GN=CXorf66 PE=2 SV=1
+DNLTVESTVQNYGRDCTIIIVKDSDVESYYKMTDSDNVHDGYANNRSDLKRFSRSSSKVKSSVHLNQTNKEKAESIDNVLPRYTKAVLHKKKYPQCTEALESNSLLAARGLSVSRKPNFHKPPKALEQPGFPKSPSIENQPHSSYLPKFLQPPRVPKDSSALKCAYDLKCLKELSGKKHAKELHSSKSLKKSCSSKSSRKPYSPRTLKGASNPISPKQLSSPRILKETSSQASAREPSSSDSSKDATSLMPQTEPSCQSATKAESFSTKSSKAAIGKKKVMGAKSADDSLCNYHLYCFCIFVFVMIIIGVLILLNRRLYNLKTQMSEVPKDGTTSSGNTQNTTMCNNKWISLLLVCIVLNM
+>sp|P14406|CX7A2_HUMAN Cytochrome c oxidase subunit 7A2, mitochondrial OS=Homo sapiens OX=9606 GN=COX7A2 PE=1 SV=1
+MLRNLLALRQIGQRTISTASRRHFKNKVPEKQKLFQEDDEIPLYLKGGVADALLYRATMILTVGGTAYAIYELAVASFPKKQE
+>DECOY_sp|P14406|CX7A2_HUMAN Cytochrome c oxidase subunit 7A2, mitochondrial OS=Homo sapiens OX=9606 GN=COX7A2 PE=1 SV=1
+EQKKPFSAVALEYIAYATGGVTLIMTARYLLADAVGGKLYLPIEDDEQFLKQKEPVKNKFHRRSATSITRQGIQRLALLNRLM
+>sp|P78310|CXAR_HUMAN Coxsackievirus and adenovirus receptor OS=Homo sapiens OX=9606 GN=CXADR PE=1 SV=1
+MALLLCFVLLCGVVDFARSLSITTPEEMIEKAKGETAYLPCKFTLSPEDQGPLDIEWLISPADNQKVDQVIILYSGDKIYDDYYPDLKGRVHFTSNDLKSGDASINVTNLQLSDIGTYQCKVKKAPGVANKKIHLVVLVKPSGARCYVDGSEEIGSDFKIKCEPKEGSLPLQYEWQKLSDSQKMPTSWLAEMTSSVISVKNASSEYSGTYSCTVRNRVGSDQCLLRLNVVPPSNKAGLIAGAIIGTLLALALIGLIIFCCRKKRREEKYEKEVHHDIREDVPPPKSRTSTARSYIGSNHSSLGSMSPSNMEGYSKTQYNQVPSEDFERTPQSPTLPPAKVAAPNLSRMGAIPVMIPAQSKDGSIV
+>DECOY_sp|P78310|CXAR_HUMAN Coxsackievirus and adenovirus receptor OS=Homo sapiens OX=9606 GN=CXADR PE=1 SV=1
+VISGDKSQAPIMVPIAGMRSLNPAAVKAPPLTPSQPTREFDESPVQNYQTKSYGEMNSPSMSGLSSHNSGIYSRATSTRSKPPPVDERIDHHVEKEYKEERRKKRCCFIILGILALALLTGIIAGAILGAKNSPPVVNLRLLCQDSGVRNRVTCSYTGSYESSANKVSIVSSTMEALWSTPMKQSDSLKQWEYQLPLSGEKPECKIKFDSGIEESGDVYCRAGSPKVLVVLHIKKNAVGPAKKVKCQYTGIDSLQLNTVNISADGSKLDNSTFHVRGKLDPYYDDYIKDGSYLIIVQDVKQNDAPSILWEIDLPGQDEPSLTFKCPLYATEGKAKEIMEEPTTISLSRAFDVVGCLLVFCLLLAM
+>sp|P08034|CXB1_HUMAN Gap junction beta-1 protein OS=Homo sapiens OX=9606 GN=GJB1 PE=1 SV=1
+MNWTGLYTLLSGVNRHSTAIGRVWLSVIFIFRIMVLVVAAESVWGDEKSSFICNTLQPGCNSVCYDQFFPISHVRLWSLQLILVSTPALLVAMHVAHQQHIEKKMLRLEGHGDPLHLEEVKRHKVHISGTLWWTYVISVVFRLLFEAVFMYVFYLLYPGYAMVRLVKCDVYPCPNTVDCFVSRPTEKTVFTVFMLAASGICIILNVAEVVYLIIRACARRAQRRSNPPSRKGSGFGHRLSPEYKQNEINKLLSEQDGSLKDILRRSPGTGAGLAEKSDRCSAC
+>DECOY_sp|P08034|CXB1_HUMAN Gap junction beta-1 protein OS=Homo sapiens OX=9606 GN=GJB1 PE=1 SV=1
+CASCRDSKEALGAGTGPSRRLIDKLSGDQESLLKNIENQKYEPSLRHGFGSGKRSPPNSRRQARRACARIILYVVEAVNLIICIGSAALMFVTFVTKETPRSVFCDVTNPCPYVDCKVLRVMAYGPYLLYFVYMFVAEFLLRFVVSIVYTWWLTGSIHVKHRKVEELHLPDGHGELRLMKKEIHQQHAVHMAVLLAPTSVLILQLSWLRVHSIPFFQDYCVSNCGPQLTNCIFSSKEDGWVSEAAVVLVMIRFIFIVSLWVRGIATSHRNVGSLLTYLGTWNM
+>sp|O75712|CXB3_HUMAN Gap junction beta-3 protein OS=Homo sapiens OX=9606 GN=GJB3 PE=1 SV=1
+MDWKTLQALLSGVNKYSTAFGRIWLSVVFVFRVLVYVVAAERVWGDEQKDFDCNTKQPGCTNVCYDNYFPISNIRLWALQLIFVTCPSLLVILHVAYREERERRHRQKHGDQCAKLYDNAGKKHGGLWWTYLFSLIFKLIIEFLFLYLLHTLWHGFNMPRLVQCANVAPCPNIVDCYIARPTEKKIFTYFMVGASAVCIVLTICELCYLICHRVLRGLHKDKPRGGCSPSSSASRASTCRCHHKLVEAGEVDPDPGNNKLQASAPNLTPI
+>DECOY_sp|O75712|CXB3_HUMAN Gap junction beta-3 protein OS=Homo sapiens OX=9606 GN=GJB3 PE=1 SV=1
+IPTLNPASAQLKNNGPDPDVEGAEVLKHHCRCTSARSASSSPSCGGRPKDKHLGRLVRHCILYCLECITLVICVASAGVMFYTFIKKETPRAIYCDVINPCPAVNACQVLRPMNFGHWLTHLLYLFLFEIILKFILSFLYTWWLGGHKKGANDYLKACQDGHKQRHRREREERYAVHLIVLLSPCTVFILQLAWLRINSIPFYNDYCVNTCGPQKTNCDFDKQEDGWVREAAVVYVLVRFVFVVSLWIRGFATSYKNVGSLLAQLTKWDM
+>sp|P19875|CXCL2_HUMAN C-X-C motif chemokine 2 OS=Homo sapiens OX=9606 GN=CXCL2 PE=1 SV=1
+MARATLSAAPSNPRLLRVALLLLLLVAASRRAAGAPLATELRCQCLQTLQGIHLKNIQSVKVKSPGPHCAQTEVIATLKNGQKACLNPASPMVKKIIEKMLKNGKSN
+>DECOY_sp|P19875|CXCL2_HUMAN C-X-C motif chemokine 2 OS=Homo sapiens OX=9606 GN=CXCL2 PE=1 SV=1
+NSKGNKLMKEIIKKVMPSAPNLCAKQGNKLTAIVETQACHPGPSKVKVSQINKLHIGQLTQLCQCRLETALPAGAARRSAAVLLLLLLAVRLLRPNSPAASLTARAM
+>sp|P19876|CXCL3_HUMAN C-X-C motif chemokine 3 OS=Homo sapiens OX=9606 GN=CXCL3 PE=1 SV=1
+MAHATLSAAPSNPRLLRVALLLLLLVAASRRAAGASVVTELRCQCLQTLQGIHLKNIQSVNVRSPGPHCAQTEVIATLKNGKKACLNPASPMVQKIIEKILNKGSTN
+>DECOY_sp|P19876|CXCL3_HUMAN C-X-C motif chemokine 3 OS=Homo sapiens OX=9606 GN=CXCL3 PE=1 SV=1
+NTSGKNLIKEIIKQVMPSAPNLCAKKGNKLTAIVETQACHPGPSRVNVSQINKLHIGQLTQLCQCRLETVVSAGAARRSAAVLLLLLLAVRLLRPNSPAASLTAHAM
+>sp|Q07325|CXCL9_HUMAN C-X-C motif chemokine 9 OS=Homo sapiens OX=9606 GN=CXCL9 PE=1 SV=1
+MKKSGVLFLLGIILLVLIGVQGTPVVRKGRCSCISTNQGTIHLQSLKDLKQFAPSPSCEKIEIIATLKNGVQTCLNPDSADVKELIKKWEKQVSQKKKQKNGKKHQKKKVLKVRKSQRSRQKKTT
+>DECOY_sp|Q07325|CXCL9_HUMAN C-X-C motif chemokine 9 OS=Homo sapiens OX=9606 GN=CXCL9 PE=1 SV=1
+TTKKQRSRQSKRVKLVKKKQHKKGNKQKKKQSVQKEWKKILEKVDASDPNLCTQVGNKLTAIIEIKECSPSPAFQKLDKLSQLHITGQNTSICSCRGKRVVPTGQVGILVLLIIGLLFLVGSKKM
+>sp|P49682|CXCR3_HUMAN C-X-C chemokine receptor type 3 OS=Homo sapiens OX=9606 GN=CXCR3 PE=1 SV=2
+MVLEVSDHQVLNDAEVAALLENFSSSYDYGENESDSCCTSPPCPQDFSLNFDRAFLPALYSLLFLLGLLGNGAVAAVLLSRRTALSSTDTFLLHLAVADTLLVLTLPLWAVDAAVQWVFGSGLCKVAGALFNINFYAGALLLACISFDRYLNIVHATQLYRRGPPARVTLTCLAVWGLCLLFALPDFIFLSAHHDERLNATHCQYNFPQVGRTALRVLQLVAGFLLPLLVMAYCYAHILAVLLVSRGQRRLRAMRLVVVVVVAFALCWTPYHLVVLVDILMDLGALARNCGRESRVDVAKSVTSGLGYMHCCLNPLLYAFVGVKFRERMWMLLLRLGCPNQRGLQRQPSSSRRDSSWSETSEASYSGL
+>DECOY_sp|P49682|CXCR3_HUMAN C-X-C chemokine receptor type 3 OS=Homo sapiens OX=9606 GN=CXCR3 PE=1 SV=2
+LGSYSAESTESWSSDRRSSSPQRQLGRQNPCGLRLLLMWMRERFKVGVFAYLLPNLCCHMYGLGSTVSKAVDVRSERGCNRALAGLDMLIDVLVVLHYPTWCLAFAVVVVVVLRMARLRRQGRSVLLVALIHAYCYAMVLLPLLFGAVLQLVRLATRGVQPFNYQCHTANLREDHHASLFIFDPLAFLLCLGWVALCTLTVRAPPGRRYLQTAHVINLYRDFSICALLLAGAYFNINFLAGAVKCLGSGFVWQVAADVAWLPLTLVLLTDAVALHLLFTDTSSLATRRSLLVAAVAGNGLLGLLFLLSYLAPLFARDFNLSFDQPCPPSTCCSDSENEGYDYSSSFNELLAAVEADNLVQHDSVELVM
+>sp|Q96KN9|CXD4_HUMAN Gap junction delta-4 protein OS=Homo sapiens OX=9606 GN=GJD4 PE=2 SV=1
+MEGVDLLGFLIITLNCNVTMVGKLWFVLTMLLRMLVIVLAGRPVYQDEQERFVCNTLQPGCANVCYDVFSPVSHLRFWLIQGVCVLLPSAVFSVYVLHRGATLAALGPRRCPDPREPASGQRRCPRPFGERGGLQVPDFSAGYIIHLLLRTLLEAAFGALHYFLFGFLAPKKFPCTRPPCTGVVDCYVSRPTEKSLLMLFLWAVSALSFLLGLADLVCSLRRRMRRRPGPPTSPSIRKQSGASGHAEGRRTDEEGGREEEGAPAPPGARAGGEGAGSPRRTSRVSGHTKIPDEDESEVTSSASEKLGRQPRGRPHREAAQDPRGSGSEEQPSAAPSRLAAPPSCSSLQPPDPPASSSGAPHLRARKSEWV
+>DECOY_sp|Q96KN9|CXD4_HUMAN Gap junction delta-4 protein OS=Homo sapiens OX=9606 GN=GJD4 PE=2 SV=1
+VWESKRARLHPAGSSSAPPDPPQLSSCSPPAALRSPAASPQEESGSGRPDQAAERHPRGRPQRGLKESASSTVESEDEDPIKTHGSVRSTRRPSGAGEGGARAGPPAPAGEEERGGEEDTRRGEAHGSAGSQKRISPSTPPGPRRRMRRRLSCVLDALGLLFSLASVAWLFLMLLSKETPRSVYCDVVGTCPPRTCPFKKPALFGFLFYHLAGFAAELLTRLLLHIIYGASFDPVQLGGREGFPRPCRRQGSAPERPDPCRRPGLAALTAGRHLVYVSFVASPLLVCVGQILWFRLHSVPSFVDYCVNACGPQLTNCVFREQEDQYVPRGALVIVLMRLLMTLVFWLKGVMTVNCNLTIILFGLLDVGEM
+>sp|A6NN92|CXE1_HUMAN Putative gap junction epsilon-1 protein OS=Homo sapiens OX=9606 GN=GJE1 PE=5 SV=1
+MSLNYIKNFYEGCVKPPTVIGQFHTLFFGSIRIFFLGVLGFAVYGNEALHFICDPDKREVNLFCYNQFRPITPQVSFSALQLVIVLVPGALFHLYAACKSINQECILQKPIYTIIYILSVLLRISLAAIAFWLQIYLFGFQVKSLYLCDARSLGENMIIRCMVPEHFEKTIFLIAINTFTTITILLFVAEIFEIIFRRLYFPFRQ
+>DECOY_sp|A6NN92|CXE1_HUMAN Putative gap junction epsilon-1 protein OS=Homo sapiens OX=9606 GN=GJE1 PE=5 SV=1
+QRFPFYLRRFIIEFIEAVFLLITITTFTNIAILFITKEFHEPVMCRIIMNEGLSRADCLYLSKVQFGFLYIQLWFAIAALSIRLLVSLIYIITYIPKQLICEQNISKCAAYLHFLAGPVLVIVLQLASFSVQPTIPRFQNYCFLNVERKDPDCIFHLAENGYVAFGLVGLFFIRISGFFLTHFQGIVTPPKVCGEYFNKIYNLSM
+>sp|P00167|CYB5_HUMAN Cytochrome b5 OS=Homo sapiens OX=9606 GN=CYB5A PE=1 SV=2
+MAEQSDEAVKYYTLEEIQKHNHSKSTWLILHHKVYDLTKFLEEHPGGEEVLREQAGGDATENFEDVGHSTDAREMSKTFIIGELHPDDRPKLNKPPETLITTIDSSSSWWTNWVIPAISAVAVALMYRLYMAED
+>DECOY_sp|P00167|CYB5_HUMAN Cytochrome b5 OS=Homo sapiens OX=9606 GN=CYB5A PE=1 SV=2
+DEAMYLRYMLAVAVASIAPIVWNTWWSSSSDITTILTEPPKNLKPRDDPHLEGIIFTKSMERADTSHGVDEFNETADGGAQERLVEEGGPHEELFKTLDYVKHHLILWTSKSHNHKQIEELTYYKVAEDSQEAM
+>sp|Q7L576|CYFP1_HUMAN Cytoplasmic FMR1-interacting protein 1 OS=Homo sapiens OX=9606 GN=CYFIP1 PE=1 SV=1
+MAAQVTLEDALSNVDLLEELPLPDQQPCIEPPPSSLLYQPNFNTNFEDRNAFVTGIARYIEQATVHSSMNEMLEEGQEYAVMLYTWRSCSRAIPQVKCNEQPNRVEIYEKTVEVLEPEVTKLMNFMYFQRNAIERFCGEVRRLCHAERRKDFVSEAYLITLGKFINMFAVLDELKNMKCSVKNDHSAYKRAAQFLRKMADPQSIQESQNLSMFLANHNKITQSLQQQLEVISGYEELLADIVNLCVDYYENRMYLTPSEKHMLLKVMGFGLYLMDGSVSNIYKLDAKKRINLSKIDKYFKQLQVVPLFGDMQIELARYIKTSAHYEENKSRWTCTSSGSSPQYNICEQMIQIREDHMRFISELARYSNSEVVTGSGRQEAQKTDAEYRKLFDLALQGLQLLSQWSAHVMEVYSWKLVHPTDKYSNKDCPDSAEEYERATRYNYTSEEKFALVEVIAMIKGLQVLMGRMESVFNHAIRHTVYAALQDFSQVTLREPLRQAIKKKKNVIQSVLQAIRKTVCDWETGHEPFNDPALRGEKDPKSGFDIKVPRRAVGPSSTQLYMVRTMLESLIADKSGSKKTLRSSLEGPTILDIEKFHRESFFYTHLINFSETLQQCCDLSQLWFREFFLELTMGRRIQFPIEMSMPWILTDHILETKEASMMEYVLYSLDLYNDSAHYALTRFNKQFLYDEIEAEVNLCFDQFVYKLADQIFAYYKVMAGSLLLDKRLRSECKNQGATIHLPPSNRYETLLKQRHVQLLGRSIDLNRLITQRVSAAMYKSLELAIGRFESEDLTSIVELDGLLEINRMTHKLLSRYLTLDGFDAMFREANHNVSAPYGRITLHVFWELNYDFLPNYCYNGSTNRFVRTVLPFSQEFQRDKQPNAQPQYLHGSKALNLAYSSIYGSYRNFVGPPHFQVICRLLGYQGIAVVMEELLKVVKSLLQGTILQYVKTLMEVMPKICRLPRHEYGSPGILEFFHHQLKDIVEYAELKTVCFQNLREVGNAILFCLLIEQSLSLEEVCDLLHAAPFQNILPRVHVKEGERLDAKMKRLESKYAPLHLVPLIERLGTPQQIAIAREGDLLTKERLCCGLSMFEVILTRIRSFLDDPIWRGPLPSNGVMHVDECVEFHRLWSAMQFVYCIPVGTHEFTVEQCFGDGLHWAGCMIIVLLGQQRRFAVLDFCYHLLKVQKHDGKDEIIKNVPLKKMVERIRKFQILNDEIITILDKYLKSGDGEGTPVEHVRCFQPPIHQSLASS
+>DECOY_sp|Q7L576|CYFP1_HUMAN Cytoplasmic FMR1-interacting protein 1 OS=Homo sapiens OX=9606 GN=CYFIP1 PE=1 SV=1
+SSALSQHIPPQFCRVHEVPTGEGDGSKLYKDLITIIEDNLIQFKRIREVMKKLPVNKIIEDKGDHKQVKLLHYCFDLVAFRRQQGLLVIIMCGAWHLGDGFCQEVTFEHTGVPICYVFQMASWLRHFEVCEDVHMVGNSPLPGRWIPDDLFSRIRTLIVEFMSLGCCLREKTLLDGERAIAIQQPTGLREILPVLHLPAYKSELRKMKADLREGEKVHVRPLINQFPAAHLLDCVEELSLSQEILLCFLIANGVERLNQFCVTKLEAYEVIDKLQHHFFELIGPSGYEHRPLRCIKPMVEMLTKVYQLITGQLLSKVVKLLEEMVVAIGQYGLLRCIVQFHPPGVFNRYSGYISSYALNLAKSGHLYQPQANPQKDRQFEQSFPLVTRVFRNTSGNYCYNPLFDYNLEWFVHLTIRGYPASVNHNAERFMADFGDLTLYRSLLKHTMRNIELLGDLEVISTLDESEFRGIALELSKYMAASVRQTILRNLDISRGLLQVHRQKLLTEYRNSPPLHITAGQNKCESRLRKDLLLSGAMVKYYAFIQDALKYVFQDFCLNVEAEIEDYLFQKNFRTLAYHASDNYLDLSYLVYEMMSAEKTELIHDTLIWPMSMEIPFQIRRGMTLELFFERFWLQSLDCCQQLTESFNILHTYFFSERHFKEIDLITPGELSSRLTKKSGSKDAILSELMTRVMYLQTSSPGVARRPVKIDFGSKPDKEGRLAPDNFPEHGTEWDCVTKRIAQLVSQIVNKKKKIAQRLPERLTVQSFDQLAAYVTHRIAHNFVSEMRGMLVQLGKIMAIVEVLAFKEESTYNYRTAREYEEASDPCDKNSYKDTPHVLKWSYVEMVHASWQSLLQLGQLALDFLKRYEADTKQAEQRGSGTVVESNSYRALESIFRMHDERIQIMQECINYQPSSGSSTCTWRSKNEEYHASTKIYRALEIQMDGFLPVVQLQKFYKDIKSLNIRKKADLKYINSVSGDMLYLGFGMVKLLMHKESPTLYMRNEYYDVCLNVIDALLEEYGSIVELQQQLSQTIKNHNALFMSLNQSEQISQPDAMKRLFQAARKYASHDNKVSCKMNKLEDLVAFMNIFKGLTILYAESVFDKRREAHCLRRVEGCFREIANRQFYMFNMLKTVEPELVEVTKEYIEVRNPQENCKVQPIARSCSRWTYLMVAYEQGEELMENMSSHVTAQEIYRAIGTVFANRDEFNTNFNPQYLLSSPPPEICPQQDPLPLEELLDVNSLADELTVQAAM
+>sp|Q14093|CYLC2_HUMAN Cylicin-2 OS=Homo sapiens OX=9606 GN=CYLC2 PE=2 SV=1
+MSLPRFQRVNFGPYDNYIPVSELSKKSWNQQHFALLFPKPQRPGTKRRSKPSQIRDNTVSIIDEEQLRGDRRQPLWMYRSLMRISERPSVYLAARRQPLKPTRTVEVDSKAAEIGKKGEDKTTQKDTTDSESELKQGKKDSKKGKDIEKGKEEKLDAKKDSKKGKKDAEKGKDSATESEDEKGGAKKDNKKDKKDSNKGKDSATESEGEKGGTEKDSKKGKKDSKKGKDSAIELQAVKADEKKDEDGKKDANKGDESKDAKKDAKEIKKGKKDKKKPSSTDSDSKDDVKKESKKDATKDAKKVAKKDTEKESADSKKDAKKNAKKDAKKDAKKNAKKDEKKDAKKKGK
+>DECOY_sp|Q14093|CYLC2_HUMAN Cylicin-2 OS=Homo sapiens OX=9606 GN=CYLC2 PE=2 SV=1
+KGKKKADKKEDKKANKKADKKADKKANKKADKKSDASEKETDKKAVKKADKTADKKSEKKVDDKSDSDTSSPKKKDKKGKKIEKADKKADKSEDGKNADKKGDEDKKEDAKVAQLEIASDKGKKSDKKGKKSDKETGGKEGESETASDKGKNSDKKDKKNDKKAGGKEDESETASDKGKEADKKGKKSDKKADLKEEKGKEIDKGKKSDKKGQKLESESDTTDKQTTKDEGKKGIEAAKSDVEVTRTPKLPQRRAALYVSPRESIRMLSRYMWLPQRRDGRLQEEDIISVTNDRIQSPKSRRKTGPRQPKPFLLAFHQQNWSKKSLESVPIYNDYPGFNVRQFRPLSM
+>sp|Q9NQC7|CYLD_HUMAN Ubiquitin carboxyl-terminal hydrolase CYLD OS=Homo sapiens OX=9606 GN=CYLD PE=1 SV=1
+MSSGLWSQEKVTSPYWEERIFYLLLQECSVTDKQTQKLLKVPKGSIGQYIQDRSVGHSRIPSAKGKKNQIGLKILEQPHAVLFVDEKDVVEINEKFTELLLAITNCEERFSLFKNRNRLSKGLQIDVGCPVKVQLRSGEEKFPGVVRFRGPLLAERTVSGIFFGVELLEEGRGQGFTDGVYQGKQLFQCDEDCGVFVALDKLELIEDDDTALESDYAGPGDTMQVELPPLEINSRVSLKVGETIESGTVIFCDVLPGKESLGYFVGVDMDNPIGNWDGRFDGVQLCSFACVESTILLHINDIIPALSESVTQERRPPKLAFMSRGVGDKGSSSHNKPKATGSTSDPGNRNRSELFYTLNGSSVDSQPQSKSKNTWYIDEVAEDPAKSLTEISTDFDRSSPPLQPPPVNSLTTENRFHSLPFSLTKMPNTNGSIGHSPLSLSAQSVMEELNTAPVQESPPLAMPPGNSHGLEVGSLAEVKENPPFYGVIRWIGQPPGLNEVLAGLELEDECAGCTDGTFRGTRYFTCALKKALFVKLKSCRPDSRFASLQPVSNQIERCNSLAFGGYLSEVVEENTPPKMEKEGLEIMIGKKKGIQGHYNSCYLDSTLFCLFAFSSVLDTVLLRPKEKNDVEYYSETQELLRTEIVNPLRIYGYVCATKIMKLRKILEKVEAASGFTSEEKDPEEFLNILFHHILRVEPLLKIRSAGQKVQDCYFYQIFMEKNEKVGVPTIQQLLEWSFINSNLKFAEAPSCLIIQMPRFGKDFKLFKKIFPSLELNITDLLEDTPRQCRICGGLAMYECRECYDDPDISAGKIKQFCKTCNTQVHLHPKRLNHKYNPVSLPKDLPDWDWRHGCIPCQNMELFAVLCIETSHYVAFVKYGKDDSAWLFFDSMADRDGGQNGFNIPQVTPCPEVGEYLKMSLEDLHSLDSRRIQGCARRLLCDAYMCMYQSPTMSLYK
+>DECOY_sp|Q9NQC7|CYLD_HUMAN Ubiquitin carboxyl-terminal hydrolase CYLD OS=Homo sapiens OX=9606 GN=CYLD PE=1 SV=1
+KYLSMTPSQYMCMYADCLLRRACGQIRRSDLSHLDELSMKLYEGVEPCPTVQPINFGNQGGDRDAMSDFFLWASDDKGYKVFAVYHSTEICLVAFLEMNQCPICGHRWDWDPLDKPLSVPNYKHNLRKPHLHVQTNCTKCFQKIKGASIDPDDYCERCEYMALGGCIRCQRPTDELLDTINLELSPFIKKFLKFDKGFRPMQIILCSPAEAFKLNSNIFSWELLQQITPVGVKENKEMFIQYFYCDQVKQGASRIKLLPEVRLIHHFLINLFEEPDKEESTFGSAAEVKELIKRLKMIKTACVYGYIRLPNVIETRLLEQTESYYEVDNKEKPRLLVTDLVSSFAFLCFLTSDLYCSNYHGQIGKKKGIMIELGEKEMKPPTNEEVVESLYGGFALSNCREIQNSVPQLSAFRSDPRCSKLKVFLAKKLACTFYRTGRFTGDTCGACEDELELGALVENLGPPQGIWRIVGYFPPNEKVEALSGVELGHSNGPPMALPPSEQVPATNLEEMVSQASLSLPSHGISGNTNPMKTLSFPLSHFRNETTLSNVPPPQLPPSSRDFDTSIETLSKAPDEAVEDIYWTNKSKSQPQSDVSSGNLTYFLESRNRNGPDSTSGTAKPKNHSSSGKDGVGRSMFALKPPRREQTVSESLAPIIDNIHLLITSEVCAFSCLQVGDFRGDWNGIPNDMDVGVFYGLSEKGPLVDCFIVTGSEITEGVKLSVRSNIELPPLEVQMTDGPGAYDSELATDDDEILELKDLAVFVGCDEDCQFLQKGQYVGDTFGQGRGEELLEVGFFIGSVTREALLPGRFRVVGPFKEEGSRLQVKVPCGVDIQLGKSLRNRNKFLSFREECNTIALLLETFKENIEVVDKEDVFLVAHPQELIKLGIQNKKGKASPIRSHGVSRDQIYQGISGKPVKLLKQTQKDTVSCEQLLLYFIREEWYPSTVKEQSWLGSSM
+>sp|P82279|CRUM1_HUMAN Protein crumbs homolog 1 OS=Homo sapiens OX=9606 GN=CRB1 PE=1 SV=2
+MALKNINYLLIFYLSFSLLIYIKNSFCNKNNTRCLSNSCQNNSTCKDFSKDNDCSCSDTANNLDKDCDNMKDPCFSNPCQGSATCVNTPGERSFLCKCPPGYSGTICETTIGSCGKNSCQHGGICHQDPIYPVCICPAGYAGRFCEIDHDECASSPCQNGAVCQDGIDGYSCFCVPGYQGRHCDLEVDECASDPCKNEATCLNEIGRYTCICPHNYSGVNCELEIDECWSQPCLNGATCQDALGAYFCDCAPGFLGDHCELNTDECASQPCLHGGLCVDGENRYSCNCTGSGFTGTHCETLMPLCWSKPCHNNATCEDSVDNYTCHCWPGYTGAQCEIDLNECNSNPCQSNGECVELSSEKQYGRITGLPSSFSYHEASGYVCICQPGFTGIHCEEDVNECSSNPCQNGGTCENLPGNYTCHCPFDNLSRTFYGGRDCSDILLGCTHQQCLNNGTCIPHFQDGQHGFSCLCPSGYTGSLCEIATTLSFEGDGFLWVKSGSVTTKGSVCNIALRFQTVQPMALLLFRSNRDVFVKLELLSGYIHLSIQVNNQSKVLLFISHNTSDGEWHFVEVIFAEAVTLTLIDDSCKEKCIAKAPTPLESDQSICAFQNSFLGGLPVGMTSNGVALLNFYNMPSTPSFVGCLQDIKIDWNHITLENISSGSSLNVKAGCVRKDWCESQPCQSRGRCINLWLSYQCDCHRPYEGPNCLREYVAGRFGQDDSTGYVIFTLDESYGDTISLSMFVRTLQPSGLLLALENSTYQYIRVWLERGRLAMLTPNSPKLVVKFVLNDGNVHLISLKIKPYKIELYQSSQNLGFISASTWKIEKGDVIYIGGLPDKQETELNGGFFKGCIQDVRLNNQNLEFFPNPTNNASLNPVLVNVTQGCAGDNSCKSNPCHNGGVCHSRWDDFSCSCPALTSGKACEEVQWCGFSPCPHGAQCQPVLQGFECIANAVFNGQSGQILFRSNGNITRELTNITFGFRTRDANVIILHAEKEPEFLNISIQDSRLFFQLQSGNSFYMLSLTSLQSVNDGTWHEVTLSMTDPLSQTSRWQMEVDNETPFVTSTIATGSLNFLKDNTDIYVGDRAIDNIKGLQGCLSTIEIGGIYLSYFENVHGFINKPQEEQFLKISTNSVVTGCLQLNVCNSNPCLHGGNCEDIYSSYHCSCPLGWSGKHCELNIDECFSNPCIHGNCSDRVAAYHCTCEPGYTGVNCEVDIDNCQSHQCANGATCISHTNGYSCLCFGNFTGKFCRQSRLPSTVCGNEKTNLTCYNGGNCTEFQTELKCMCRPGFTGEWCEKDIDECASDPCVNGGLCQDLLNKFQCLCDVAFAGERCEVDLADDLISDIFTTIGSVTVALLLILLLAIVASVVTSNKRATQGTYSPSRQEKEGSRVEMWNLMPPPAMERLI
+>DECOY_sp|P82279|CRUM1_HUMAN Protein crumbs homolog 1 OS=Homo sapiens OX=9606 GN=CRB1 PE=1 SV=2
+ILREMAPPPMLNWMEVRSGEKEQRSPSYTGQTARKNSTVVSAVIALLLILLLAVTVSGITTFIDSILDDALDVECREGAFAVDCLCQFKNLLDQCLGGNVCPDSACEDIDKECWEGTFGPRCMCKLETQFETCNGGNYCTLNTKENGCVTSPLRSQRCFKGTFNGFCLCSYGNTHSICTAGNACQHSQCNDIDVECNVGTYGPECTCHYAAVRDSCNGHICPNSFCEDINLECHKGSWGLPCSCHYSSYIDECNGGHLCPNSNCVNLQLCGTVVSNTSIKLFQEEQPKNIFGHVNEFYSLYIGGIEITSLCGQLGKINDIARDGVYIDTNDKLFNLSGTAITSTVFPTENDVEMQWRSTQSLPDTMSLTVEHWTGDNVSQLSTLSLMYFSNGSQLQFFLRSDQISINLFEPEKEAHLIIVNADRTRFGFTINTLERTINGNSRFLIQGSQGNFVANAICEFGQLVPQCQAGHPCPSFGCWQVEECAKGSTLAPCSCSFDDWRSHCVGGNHCPNSKCSNDGACGQTVNVLVPNLSANNTPNPFFELNQNNLRVDQICGKFFGGNLETEQKDPLGGIYIVDGKEIKWTSASIFGLNQSSQYLEIKYPKIKLSILHVNGDNLVFKVVLKPSNPTLMALRGRELWVRIYQYTSNELALLLGSPQLTRVFMSLSITDGYSEDLTFIVYGTSDDQGFRGAVYERLCNPGEYPRHCDCQYSLWLNICRGRSQCPQSECWDKRVCGAKVNLSSGSSINELTIHNWDIKIDQLCGVFSPTSPMNYFNLLAVGNSTMGVPLGGLFSNQFACISQDSELPTPAKAICKEKCSDDILTLTVAEAFIVEVFHWEGDSTNHSIFLLVKSQNNVQISLHIYGSLLELKVFVDRNSRFLLLAMPQVTQFRLAINCVSGKTTVSGSKVWLFGDGEFSLTTAIECLSGTYGSPCLCSFGHQGDQFHPICTGNNLCQQHTCGLLIDSCDRGGYFTRSLNDFPCHCTYNGPLNECTGGNQCPNSSCENVDEECHIGTFGPQCICVYGSAEHYSFSSPLGTIRGYQKESSLEVCEGNSQCPNSNCENLDIECQAGTYGPWCHCTYNDVSDECTANNHCPKSWCLPMLTECHTGTFGSGTCNCSYRNEGDVCLGGHLCPQSACEDTNLECHDGLFGPACDCFYAGLADQCTAGNLCPQSWCEDIELECNVGSYNHPCICTYRGIENLCTAENKCPDSACEDVELDCHRGQYGPVCFCSYGDIGDQCVAGNQCPSSACEDHDIECFRGAYGAPCICVPYIPDQHCIGGHQCSNKGCSGITTECITGSYGPPCKCLFSREGPTNVCTASGQCPNSFCPDKMNDCDKDLNNATDSCSCDNDKSFDKCTSNNQCSNSLCRTNNKNCFSNKIYILLSFSLYFILLYNINKLAM
+>sp|I3L1E1|CS084_HUMAN Uncharacterized protein C19orf84 OS=Homo sapiens OX=9606 GN=C19orf84 PE=4 SV=1
+MEQPKDGAGPEGNNLSLPSSGTEPWPPAPLPAPPPLLLNSTDPTHLGLPESVASVTVPIRLDTLSCLLHSALLGAYTFQQALPSCPCCSQAGHSQPGAVRRPPRGRGGWEVRHRPGWGRGLHRRGLGRAEQPERGRAGGPGAGPRTPPMTLPSPPTLPAQDGKKEARGPEPPLETPLAAEDWETEY
+>DECOY_sp|I3L1E1|CS084_HUMAN Uncharacterized protein C19orf84 OS=Homo sapiens OX=9606 GN=C19orf84 PE=4 SV=1
+YETEWDEAALPTELPPEPGRAEKKGDQAPLTPPSPLTMPPTRPGAGPGGARGREPQEARGLGRRHLGRGWGPRHRVEWGGRGRPPRRVAGPQSHGAQSCCPCSPLAQQFTYAGLLASHLLCSLTDLRIPVTVSAVSEPLGLHTPDTSNLLLPPPAPLPAPPWPETGSSPLSLNNGEPGAGDKPQEM
+>sp|Q7Z7A3|CTU1_HUMAN Cytoplasmic tRNA 2-thiolation protein 1 OS=Homo sapiens OX=9606 GN=CTU1 PE=1 SV=1
+MPAPPCASCHAARAALRRPLSGQALCGACFCAAFEAEVLHTVLAGRLLPPGAVVAVGASGGKDSTVLAHVLRALAPRLGISLQLVAVDEGIGGYRDAALAAVRRQAARWELPLTVVAYEDLFGGWTMDAVARSTAGSGRSRSCCTFCGVLRRRALEEGARRVGATHIVTGHNADDMAETVLMNFLRGDAGRLARGGGLGSPGEGGALPRCRPLQFASQKEVVLYAHFRRLDYFSEECVYAPEAFRGHARDLLKRLEAARPSAVLDLVHSAERLALAPAARPPRPGACSRCGALASRALCQACALLDGLNRGRPRLAIGKGRRGLDEEATPGTPGDPARPPASKAVPTF
+>DECOY_sp|Q7Z7A3|CTU1_HUMAN Cytoplasmic tRNA 2-thiolation protein 1 OS=Homo sapiens OX=9606 GN=CTU1 PE=1 SV=1
+FTPVAKSAPPRAPDGPTGPTAEEDLGRRGKGIALRPRGRNLGDLLACAQCLARSALAGCRSCAGPRPPRAAPALALREASHVLDLVASPRAAELRKLLDRAHGRFAEPAYVCEESFYDLRRFHAYLVVEKQSAFQLPRCRPLAGGEGPSGLGGGRALRGADGRLFNMLVTEAMDDANHGTVIHTAGVRRAGEELARRRLVGCFTCCSRSRGSGATSRAVADMTWGGFLDEYAVVTLPLEWRAAQRRVAALAADRYGGIGEDVAVLQLSIGLRPALARLVHALVTSDKGGSAGVAVVAGPPLLRGALVTHLVEAEFAACFCAGCLAQGSLPRRLAARAAHCSACPPAPM
+>sp|O43822|CU002_HUMAN Protein C21orf2 OS=Homo sapiens OX=9606 GN=C21orf2 PE=1 SV=1
+MKLTRKMVLTRAKASELHSVRKLNCWGSRLTDISICQEMPSLEVITLSVNSISTLEPVSRCQRLSELYLRRNRIPSLAELFYLKGLPRLRVLWLAENPCCGTSPHRYRMTVLRTLPRLQKLDNQAVTEEELSRALSEGEEITAAPEREGTGHGGPKLCCTLSSLSSAAETGRDPLDSEEEATSGAQDERGLKPPSRGQFPSLSARDASSSHRGRNVLTAILLLLRELDAEGLEAVQQTVGSRLQALRGEEVQEHAE
+>DECOY_sp|O43822|CU002_HUMAN Protein C21orf2 OS=Homo sapiens OX=9606 GN=C21orf2 PE=1 SV=1
+EAHEQVEEGRLAQLRSGVTQQVAELGEADLERLLLLIATLVNRGRHSSSADRASLSPFQGRSPPKLGREDQAGSTAEEESDLPDRGTEAASSLSSLTCCLKPGGHGTGEREPAATIEEGESLARSLEEETVAQNDLKQLRPLTRLVTMRYRHPSTGCCPNEALWLVRLRPLGKLYFLEALSPIRNRRLYLESLRQCRSVPELTSISNVSLTIVELSPMEQCISIDTLRSGWCNLKRVSHLESAKARTLVMKRTLKM
+>sp|P59036|CU082_HUMAN Putative uncharacterized protein encoded by LINC00310 OS=Homo sapiens OX=9606 GN=LINC00310 PE=5 SV=1
+MRQGCKFRGSSQKIRWSRSPPSSLLHTLRPRLLSAEITLQTNLPLQSPCCRLCFLRGTQAKTLK
+>DECOY_sp|P59036|CU082_HUMAN Putative uncharacterized protein encoded by LINC00310 OS=Homo sapiens OX=9606 GN=LINC00310 PE=5 SV=1
+KLTKAQTGRLFCLRCCPSQLPLNTQLTIEASLLRPRLTHLLSSPPSRSWRIKQSSGRFKCGQRM
+>sp|Q9UJ94|CU104_HUMAN Putative uncharacterized protein encoded by LINC00527 OS=Homo sapiens OX=9606 GN=LINC00527 PE=5 SV=2
+MEGCLRIEEALTLGGACLFAGLAFLWAAFAQHFSAADSPGTEFTPATTTSRLLHVLYVCSCSLVAEKDDFSSPHSHSLLLVSLPEPHFELSEGLRLAFRGSQSSDSIRYRRGGNEGVRPWRAGATGLPPTGKDISVSLAHELYSLLKQLQMSI
+>DECOY_sp|Q9UJ94|CU104_HUMAN Putative uncharacterized protein encoded by LINC00527 OS=Homo sapiens OX=9606 GN=LINC00527 PE=5 SV=2
+ISMQLQKLLSYLEHALSVSIDKGTPPLGTAGARWPRVGENGGRRYRISDSSQSGRFALRLGESLEFHPEPLSVLLLSHSHPSSFDDKEAVLSCSCVYLVHLLRSTTTAPTFETGPSDAASFHQAFAAWLFALGAFLCAGGLTLAEEIRLCGEM
+>sp|Q96M42|CU129_HUMAN Putative uncharacterized protein encoded by LINC00479 OS=Homo sapiens OX=9606 GN=LINC00479 PE=5 SV=2
+MDGGSLRASPAAMDGGALEPAQQLSSLEGWTGQDRLLIPRWREARSLSWMQSPDLESSKCLTQGCCYPQAWILACSAALLQALASSDLQGSLDRVNYSRGLGPRVRLFVFPDTVGSTRKSGSTGNICSVMLNVATGCVRIEK
+>DECOY_sp|Q96M42|CU129_HUMAN Putative uncharacterized protein encoded by LINC00479 OS=Homo sapiens OX=9606 GN=LINC00479 PE=5 SV=2
+KEIRVCGTAVNLMVSCINGTSGSKRTSGVTDPFVFLRVRPGLGRSYNVRDLSGQLDSSALAQLLAASCALIWAQPYCCGQTLCKSSELDPSQMWSLSRAERWRPILLRDQGTWGELSSLQQAPELAGGDMAAPSARLSGGDM
+>sp|Q9NWM3|CUED1_HUMAN CUE domain-containing protein 1 OS=Homo sapiens OX=9606 GN=CUEDC1 PE=1 SV=1
+MTSLFRRSSSGSGGGGTAGARGGGGGTAAPQELNNSRPARQVRRLEFNQAMDDFKTMFPNMDYDIIECVLRANSGAVDATIDQLLQMNLEGGGSSGGVYEDSSDSEDSIPPEILERTLEPDSSDEEPPPVYSPPAYHMHVFDRPYPLAPPTPPPRIDALGSGAPTSQRRYRNWNPPLLGNLPDDFLRILPQQLDSIQGNAGGPKPGSGEGCPPAMAGPGPGDQESRWKQYLEDERIALFLQNEEFMKELQRNRDFLLALERDRLKYESQKSKSSSVAVGNDFGFSSPVPGTGDANPAVSEDALFRDKLKHMGKSTRRKLFELARAFSEKTKMRKSKRKHLLKHQSLGAAASTANLLDDVEGHACDEDFRGRRQEAPKVEEGLREGQ
+>DECOY_sp|Q9NWM3|CUED1_HUMAN CUE domain-containing protein 1 OS=Homo sapiens OX=9606 GN=CUEDC1 PE=1 SV=1
+QGERLGEEVKPAEQRRGRFDEDCAHGEVDDLLNATSAAAGLSQHKLLHKRKSKRMKTKESFARALEFLKRRTSKGMHKLKDRFLADESVAPNADGTGPVPSSFGFDNGVAVSSSKSKQSEYKLRDRELALLFDRNRQLEKMFEENQLFLAIREDELYQKWRSEQDGPGPGAMAPPCGEGSGPKPGGANGQISDLQQPLIRLFDDPLNGLLPPNWNRYRRQSTPAGSGLADIRPPPTPPALPYPRDFVHMHYAPPSYVPPPEEDSSDPELTRELIEPPISDESDSSDEYVGGSSGGGELNMQLLQDITADVAGSNARLVCEIIDYDMNPFMTKFDDMAQNFELRRVQRAPRSNNLEQPAATGGGGGRAGATGGGGSGSSSRRFLSTM
+>sp|Q13619|CUL4A_HUMAN Cullin-4A OS=Homo sapiens OX=9606 GN=CUL4A PE=1 SV=3
+MADEAPRKGSFSALVGRTNGLTKPAALAAAPAKPGGAGGSKKLVIKNFRDRPRLPDNYTQDTWRKLHEAVRAVQSSTSIRYNLEELYQAVENLCSHKVSPMLYKQLRQACEDHVQAQILPFREDSLDSVLFLKKINTCWQDHCRQMIMIRSIFLFLDRTYVLQNSTLPSIWDMGLELFRTHIISDKMVQSKTIDGILLLIERERSGEAVDRSLLRSLLGMLSDLQVYKDSFELKFLEETNCLYAAEGQRLMQEREVPEYLNHVSKRLEEEGDRVITYLDHSTQKPLIACVEKQLLGEHLTAILQKGLDHLLDENRVPDLAQMYQLFSRVRGGQQALLQHWSEYIKTFGTAIVINPEKDKDMVQDLLDFKDKVDHVIEVCFQKNERFVNLMKESFETFINKRPNKPAELIAKHVDSKLRAGNKEATDEELERTLDKIMILFRFIHGKDVFEAFYKKDLAKRLLVGKSASVDAEKSMLSKLKHECGAAFTSKLEGMFKDMELSKDIMVHFKQHMQNQSDSGPIDLTVNILTMGYWPTYTPMEVHLTPEMIKLQEVFKAFYLGKHSGRKLQWQTTLGHAVLKAEFKEGKKEFQVSLFQTLVLLMFNEGDGFSFEEIKMATGIEDSELRRTLQSLACGKARVLIKSPKGKEVEDGDKFIFNGEFKHKLFRIKINQIQMKETVEEQVSTTERVFQDRQYQIDAAIVRIMKMRKTLGHNLLVSELYNQLKFPVKPGDLKKRIESLIDRDYMERDKDNPNQYHYVA
+>DECOY_sp|Q13619|CUL4A_HUMAN Cullin-4A OS=Homo sapiens OX=9606 GN=CUL4A PE=1 SV=3
+AVYHYQNPNDKDREMYDRDILSEIRKKLDGPKVPFKLQNYLESVLLNHGLTKRMKMIRVIAADIQYQRDQFVRETTSVQEEVTEKMQIQNIKIRFLKHKFEGNFIFKDGDEVEKGKPSKILVRAKGCALSQLTRRLESDEIGTAMKIEEFSFGDGENFMLLVLTQFLSVQFEKKGEKFEAKLVAHGLTTQWQLKRGSHKGLYFAKFVEQLKIMEPTLHVEMPTYTPWYGMTLINVTLDIPGSDSQNQMHQKFHVMIDKSLEMDKFMGELKSTFAAGCEHKLKSLMSKEADVSASKGVLLRKALDKKYFAEFVDKGHIFRFLIMIKDLTRELEEDTAEKNGARLKSDVHKAILEAPKNPRKNIFTEFSEKMLNVFRENKQFCVEIVHDVKDKFDLLDQVMDKDKEPNIVIATGFTKIYESWHQLLAQQGGRVRSFLQYMQALDPVRNEDLLHDLGKQLIATLHEGLLQKEVCAILPKQTSHDLYTIVRDGEEELRKSVHNLYEPVEREQMLRQGEAAYLCNTEELFKLEFSDKYVQLDSLMGLLSRLLSRDVAEGSREREILLLIGDITKSQVMKDSIIHTRFLELGMDWISPLTSNQLVYTRDLFLFISRIMIMQRCHDQWCTNIKKLFLVSDLSDERFPLIQAQVHDECAQRLQKYLMPSVKHSCLNEVAQYLEELNYRISTSSQVARVAEHLKRWTDQTYNDPLRPRDRFNKIVLKKSGGAGGPKAPAAALAAPKTLGNTRGVLASFSGKRPAEDAM
+>sp|Q6ZV56|CV034_HUMAN Putative uncharacterized protein C22orf34 OS=Homo sapiens OX=9606 GN=C22orf34 PE=5 SV=1
+MCTVDVEGFDDVGETLSDAVRDGLGTILRGGAEEGSYDNWPHTRKSWGPLSPGHQRELWTQPDPWTEVLSGHKGDAGACGCCCFCSQFINARCAHPLCLARGLDRRASEEMPILQALCLLPKVSTRSITVPSPQRSAPRASLCPPHKGKSP
+>DECOY_sp|Q6ZV56|CV034_HUMAN Putative uncharacterized protein C22orf34 OS=Homo sapiens OX=9606 GN=C22orf34 PE=5 SV=1
+PSKGKHPPCLSARPASRQPSPVTISRTSVKPLLCLAQLIPMEESARRDLGRALCLPHACRANIFQSCFCCCGCAGADGKHGSLVETWPDPQTWLERQHGPSLPGWSKRTHPWNDYSGEEAGGRLITGLGDRVADSLTEGVDDFGEVDVTCM
+>sp|Q96LI9|CX058_HUMAN Putative uncharacterized protein CXorf58 OS=Homo sapiens OX=9606 GN=CXorf58 PE=2 SV=2
+MNRSSNVPRKGILKSGTRSLQKVRRVHFANARNARSLLSMLKDISAQIIQRAWLSHTNKMIFRLLKHAICAAEFYVTHEILKKVAPLEAKLIKDPTMQCKIRFRFRGETFPPFIVFKIFLHTDGHGYKYFSGKNVLMPSSKAVDDACKLMGERKFHRIIMEDERIFPKSKVTDIMDVVTMQDYVQYRSFFDEAPAFSGGRNNSWRKLNLENIPRTMLMYDIVHYSESGVISNRLRNEMKFLLQRPVTQEIHKHQLRIVSEIRGPYLTVQPLYRPYKQQNQVKFLGRRSKQAQMKVEKMRKVYLAKEKNTSEVTEPKTGPSGTKDNYHLHSIF
+>DECOY_sp|Q96LI9|CX058_HUMAN Putative uncharacterized protein CXorf58 OS=Homo sapiens OX=9606 GN=CXorf58 PE=2 SV=2
+FISHLHYNDKTGSPGTKPETVESTNKEKALYVKRMKEVKMQAQKSRRGLFKVQNQQKYPRYLPQVTLYPGRIESVIRLQHKHIEQTVPRQLLFKMENRLRNSIVGSESYHVIDYMLMTRPINELNLKRWSNNRGGSFAPAEDFFSRYQVYDQMTVVDMIDTVKSKPFIREDEMIIRHFKREGMLKCADDVAKSSPMLVNKGSFYKYGHGDTHLFIKFVIFPPFTEGRFRFRIKCQMTPDKILKAELPAVKKLIEHTVYFEAACIAHKLLRFIMKNTHSLWARQIIQASIDKLMSLLSRANRANAFHVRRVKQLSRTGSKLIGKRPVNSSRNM
+>sp|P29033|CXB2_HUMAN Gap junction beta-2 protein OS=Homo sapiens OX=9606 GN=GJB2 PE=1 SV=3
+MDWGTLQTILGGVNKHSTSIGKIWLTVLFIFRIMILVVAAKEVWGDEQADFVCNTLQPGCKNVCYDHYFPISHIRLWALQLIFVSTPALLVAMHVAYRRHEKKRKFIKGEIKSEFKDIEEIKTQKVRIEGSLWWTYTSSIFFRVIFEAAFMYVFYVMYDGFSMQRLVKCNAWPCPNTVDCFVSRPTEKTVFTVFMIAVSGICILLNVTELCYLLIRYCSGKSKKPV
+>DECOY_sp|P29033|CXB2_HUMAN Gap junction beta-2 protein OS=Homo sapiens OX=9606 GN=GJB2 PE=1 SV=3
+VPKKSKGSCYRILLYCLETVNLLICIGSVAIMFVTFVTKETPRSVFCDVTNPCPWANCKVLRQMSFGDYMVYFVYMFAAEFIVRFFISSTYTWWLSGEIRVKQTKIEEIDKFESKIEGKIFKRKKEHRRYAVHMAVLLAPTSVFILQLAWLRIHSIPFYHDYCVNKCGPQLTNCVFDAQEDGWVEKAAVVLIMIRFIFLVTLWIKGISTSHKNVGGLITQLTGWDM
+>sp|O95377|CXB5_HUMAN Gap junction beta-5 protein OS=Homo sapiens OX=9606 GN=GJB5 PE=2 SV=2
+MNWSIFEGLLSGVNKYSTAFGRIWLSLVFIFRVLVYLVTAERVWSDDHKDFDCNTRQPGCSNVCFDEFFPVSHVRLWALQLILVTCPSLLVVMHVAYREVQEKRHREAHGENSGRLYLNPGKKRGGLWWTYVCSLVFKASVDIAFLYVFHSFYPKYILPPVVKCHADPCPNIVDCFISKPSEKNIFTLFMVATAAICILLNLVELIYLVSKRCHECLAARKAQAMCTGHHPHGTTSSCKQDDLLSGDLIFLGSDSHPPLLPDRPRDHVKKTIL
+>DECOY_sp|O95377|CXB5_HUMAN Gap junction beta-5 protein OS=Homo sapiens OX=9606 GN=GJB5 PE=2 SV=2
+LITKKVHDRPRDPLLPPHSDSGLFILDGSLLDDQKCSSTTGHPHHGTCMAQAKRAALCEHCRKSVLYILEVLNLLICIAATAVMFLTFINKESPKSIFCDVINPCPDAHCKVVPPLIYKPYFSHFVYLFAIDVSAKFVLSCVYTWWLGGRKKGPNLYLRGSNEGHAERHRKEQVERYAVHMVVLLSPCTVLILQLAWLRVHSVPFFEDFCVNSCGPQRTNCDFDKHDDSWVREATVLYVLVRFIFVLSLWIRGFATSYKNVGSLLGEFISWNM
+>sp|Q6PEY0|CXB7_HUMAN Gap junction beta-7 protein OS=Homo sapiens OX=9606 GN=GJB7 PE=2 SV=1
+MSWMFLRDLLSGVNKYSTGTGWIWLAVVFVFRLLVYMVAAEHVWKDEQKEFECNSRQPGCKNVCFDDFFPISQVRLWALQLIMVSTPSLLVVLHVAYHEGREKRHRKKLYVSPGTMDGGLWYAYLISLIVKTGFEIGFLVLFYKLYDGFSVPYLIKCDLKPCPNTVDCFISKPTEKTIFILFLVITSCLCIVLNFIELSFLVLKCFIKCCLQKYLKKPQVLSV
+>DECOY_sp|Q6PEY0|CXB7_HUMAN Gap junction beta-7 protein OS=Homo sapiens OX=9606 GN=GJB7 PE=2 SV=1
+VSLVQPKKLYKQLCCKIFCKLVLFSLEIFNLVICLCSTIVLFLIFITKETPKSIFCDVTNPCPKLDCKILYPVSFGDYLKYFLVLFGIEFGTKVILSILYAYWLGGDMTGPSVYLKKRHRKERGEHYAVHLVVLLSPTSVMILQLAWLRVQSIPFFDDFCVNKCGPQRSNCEFEKQEDKWVHEAAVMYVLLRFVFVVALWIWGTGTSYKNVGSLLDRLFMWSM
+>sp|P42830|CXCL5_HUMAN C-X-C motif chemokine 5 OS=Homo sapiens OX=9606 GN=CXCL5 PE=1 SV=1
+MSLLSSRAARVPGPSSSLCALLVLLLLLTQPGPIASAGPAAAVLRELRCVCLQTTQGVHPKMISNLQVFAIGPQCSKVEVVASLKNGKEICLDPEAPFLKKVIQKILDGGNKEN
+>DECOY_sp|P42830|CXCL5_HUMAN C-X-C motif chemokine 5 OS=Homo sapiens OX=9606 GN=CXCL5 PE=1 SV=1
+NEKNGGDLIKQIVKKLFPAEPDLCIEKGNKLSAVVEVKSCQPGIAFVQLNSIMKPHVGQTTQLCVCRLERLVAAAPGASAIPGPQTLLLLLVLLACLSSSPGPVRAARSSLLSM
+>sp|P02775|CXCL7_HUMAN Platelet basic protein OS=Homo sapiens OX=9606 GN=PPBP PE=1 SV=3
+MSLRLDTTPSCNSARPLHALQVLLLLSLLLTALASSTKGQTKRNLAKGKEESLDSDLYAELRCMCIKTTSGIHPKNIQSLEVIGKGTHCNQVEVIATLKDGRKICLDPDAPRIKKIVQKKLAGDESAD
+>DECOY_sp|P02775|CXCL7_HUMAN Platelet basic protein OS=Homo sapiens OX=9606 GN=PPBP PE=1 SV=3
+DASEDGALKKQVIKKIRPADPDLCIKRGDKLTAIVEVQNCHTGKGIVELSQINKPHIGSTTKICMCRLEAYLDSDLSEEKGKALNRKTQGKTSSALATLLLSLLLLVQLAHLPRASNCSPTTDLRLSM
+>sp|P25024|CXCR1_HUMAN C-X-C chemokine receptor type 1 OS=Homo sapiens OX=9606 GN=CXCR1 PE=1 SV=2
+MSNITDPQMWDFDDLNFTGMPPADEDYSPCMLETETLNKYVVIIAYALVFLLSLLGNSLVMLVILYSRVGRSVTDVYLLNLALADLLFALTLPIWAASKVNGWIFGTFLCKVVSLLKEVNFYSGILLLACISVDRYLAIVHATRTLTQKRHLVKFVCLGCWGLSMNLSLPFFLFRQAYHPNNSSPVCYEVLGNDTAKWRMVLRILPHTFGFIVPLFVMLFCYGFTLRTLFKAHMGQKHRAMRVIFAVVLIFLLCWLPYNLVLLADTLMRTQVIQESCERRNNIGRALDATEILGFLHSCLNPIIYAFIGQNFRHGFLKILAMHGLVSKEFLARHRVTSYTSSSVNVSSNL
+>DECOY_sp|P25024|CXCR1_HUMAN C-X-C chemokine receptor type 1 OS=Homo sapiens OX=9606 GN=CXCR1 PE=1 SV=2
+LNSSVNVSSSTYSTVRHRALFEKSVLGHMALIKLFGHRFNQGIFAYIIPNLCSHLFGLIETADLARGINNRRECSEQIVQTRMLTDALLVLNYPLWCLLFILVVAFIVRMARHKQGMHAKFLTRLTFGYCFLMVFLPVIFGFTHPLIRLVMRWKATDNGLVEYCVPSSNNPHYAQRFLFFPLSLNMSLGWCGLCVFKVLHRKQTLTRTAHVIALYRDVSICALLLIGSYFNVEKLLSVVKCLFTGFIWGNVKSAAWIPLTLAFLLDALALNLLYVDTVSRGVRSYLIVLMVLSNGLLSLLFVLAYAIIVVYKNLTETELMCPSYDEDAPPMGTFNLDDFDWMQPDTINSM
+>sp|P32302|CXCR5_HUMAN C-X-C chemokine receptor type 5 OS=Homo sapiens OX=9606 GN=CXCR5 PE=1 SV=1
+MNYPLTLEMDLENLEDLFWELDRLDNYNDTSLVENHLCPATEGPLMASFKAVFVPVAYSLIFLLGVIGNVLVLVILERHRQTRSSTETFLFHLAVADLLLVFILPFAVAEGSVGWVLGTFLCKTVIALHKVNFYCSSLLLACIAVDRYLAIVHAVHAYRHRRLLSIHITCGTIWLVGFLLALPEILFAKVSQGHHNNSLPRCTFSQENQAETHAWFTSRFLYHVAGFLLPMLVMGWCYVGVVHRLRQAQRRPQRQKAVRVAILVTSIFFLCWSPYHIVIFLDTLARLKAVDNTCKLNGSLPVAITMCEFLGLAHCCLNPMLYTFAGVKFRSDLSRLLTKLGCTGPASLCQLFPSWRRSSLSESENATSLTTF
+>DECOY_sp|P32302|CXCR5_HUMAN C-X-C chemokine receptor type 5 OS=Homo sapiens OX=9606 GN=CXCR5 PE=1 SV=1
+FTTLSTANESESLSSRRWSPFLQCLSAPGTCGLKTLLRSLDSRFKVGAFTYLMPNLCCHALGLFECMTIAVPLSGNLKCTNDVAKLRALTDLFIVIHYPSWCLFFISTVLIAVRVAKQRQPRRQAQRLRHVVGVYCWGMVLMPLLFGAVHYLFRSTFWAHTEAQNEQSFTCRPLSNNHHGQSVKAFLIEPLALLFGVLWITGCTIHISLLRRHRYAHVAHVIALYRDVAICALLLSSCYFNVKHLAIVTKCLFTGLVWGVSGEAVAFPLIFVLLLDAVALHFLFTETSSRTQRHRELIVLVLVNGIVGLLFILSYAVPVFVAKFSAMLPGETAPCLHNEVLSTDNYNDLRDLEWFLDELNELDMELTLPYNM
+>sp|Q8N144|CXD3_HUMAN Gap junction delta-3 protein OS=Homo sapiens OX=9606 GN=GJD3 PE=1 SV=1
+MGEWAFLGSLLDAVQLQSPLVGRLWLVVMLIFRILVLATVGGAVFEDEQEEFVCNTLQPGCRQTCYDRAFPVSHYRFWLFHILLLSAPPVLFVVYSMHRAGKEAGGAEAAAQCAPGLPEAQCAPCALRARRARRCYLLSVALRLLAELTFLGGQALLYGFRVAPHFACAGPPCPHTVDCFVSRPTEKTVFVLFYFAVGLLSALLSVAELGHLLWKGRPRAGERDNRCNRAHEEAQKLLPPPPPPPPPPALPSRRPGPEPCAPPAYAHPAPASLRECGSGRGKASPATGRRDLAI
+>DECOY_sp|Q8N144|CXD3_HUMAN Gap junction delta-3 protein OS=Homo sapiens OX=9606 GN=GJD3 PE=1 SV=1
+IALDRRGTAPSAKGRGSGCERLSAPAPHAYAPPACPEPGPRRSPLAPPPPPPPPPPLLKQAEEHARNCRNDREGARPRGKWLLHGLEAVSLLASLLGVAFYFLVFVTKETPRSVFCDVTHPCPPGACAFHPAVRFGYLLAQGGLFTLEALLRLAVSLLYCRRARRARLACPACQAEPLGPACQAAAEAGGAEKGARHMSYVVFLVPPASLLLIHFLWFRYHSVPFARDYCTQRCGPQLTNCVFEEQEDEFVAGGVTALVLIRFILMVVLWLRGVLPSQLQVADLLSGLFAWEGM
+>sp|O95715|CXL14_HUMAN C-X-C motif chemokine 14 OS=Homo sapiens OX=9606 GN=CXCL14 PE=1 SV=2
+MSLLPRRAPPVSMRLLAAALLLLLLALYTARVDGSKCKCSRKGPKIRYSDVKKLEMKPKYPHCEEKMVIITTKSVSRYRGQEHCLHPKLQSTKRFIKWYNAWNEKRRVYEE
+>DECOY_sp|O95715|CXL14_HUMAN C-X-C motif chemokine 14 OS=Homo sapiens OX=9606 GN=CXCL14 PE=1 SV=2
+EEYVRRKENWANYWKIFRKTSQLKPHLCHEQGRYRSVSKTTIIVMKEECHPYKPKMELKKVDSYRIKPGKRSCKCKSGDVRATYLALLLLLLAAALLRMSVPPARRPLLSM
+>sp|Q9H2A7|CXL16_HUMAN C-X-C motif chemokine 16 OS=Homo sapiens OX=9606 GN=CXCL16 PE=2 SV=4
+MGRDLRPGSRVLLLLLLLLLVYLTQPGNGNEGSVTGSCYCGKRISSDSPPSVQFMNRLRKHLRAYHRCLYYTRFQLLSWSVCGGNKDPWVQELMSCLDLKECGHAYSGIVAHQKHLLPTSPPISQASEGASSDIHTPAQMLLSTLQSTQRPTLPVGSLSSDKELTRPNETTIHTAGHSLAAGPEAGENQKQPEKNAGPTARTSATVPVLCLLAIIFILTAALSYVLCKRRRGQSPQSSPDLPVHYIPVAPDSNT
+>DECOY_sp|Q9H2A7|CXL16_HUMAN C-X-C motif chemokine 16 OS=Homo sapiens OX=9606 GN=CXCL16 PE=2 SV=4
+TNSDPAVPIYHVPLDPSSQPSQGRRRKCLVYSLAATLIFIIALLCLVPVTASTRATPGANKEPQKQNEGAEPGAALSHGATHITTENPRTLEKDSSLSGVPLTPRQTSQLTSLLMQAPTHIDSSAGESAQSIPPSTPLLHKQHAVIGSYAHGCEKLDLCSMLEQVWPDKNGGCVSWSLLQFRTYYLCRHYARLHKRLRNMFQVSPPSDSSIRKGCYCSGTVSGENGNGPQTLYVLLLLLLLLLVRSGPRLDRGM
+>sp|Q9H2H0|CXXC4_HUMAN CXXC-type zinc finger protein 4 OS=Homo sapiens OX=9606 GN=CXXC4 PE=1 SV=1
+MHHRNDSQRLGKAGCPPEPSLQMANTNFLSTLSPEHCRPLAGECMNKLKCGAAEAEIMNLPERVGTFSAIPALGGISLPPGVIVMTALHSPAAASAAVTDSAFQIANLADCPQNHSSSSSSSSGGAGGANPAKKKRKRCGVCVPCKRLINCGVCSSCRNRKTGHQICKFRKCEELKKKPGTSLERTPVPSAEAFRWFF
+>DECOY_sp|Q9H2H0|CXXC4_HUMAN CXXC-type zinc finger protein 4 OS=Homo sapiens OX=9606 GN=CXXC4 PE=1 SV=1
+FFWRFAEASPVPTRELSTGPKKKLEECKRFKCIQHGTKRNRCSSCVGCNILRKCPVCVGCRKRKKKAPNAGGAGGSSSSSSSSHNQPCDALNAIQFASDTVAASAAAPSHLATMVIVGPPLSIGGLAPIASFTGVREPLNMIEAEAAGCKLKNMCEGALPRCHEPSLTSLFNTNAMQLSPEPPCGAKGLRQSDNRHHM
+>sp|Q8NBI2|CYAC3_HUMAN Cytochrome b ascorbate-dependent protein 3 OS=Homo sapiens OX=9606 GN=CYB561A3 PE=1 SV=1
+MVSGRFYLSCLLLGSLGSMCILFTIYWMQYWRGGFAWNGSIYMFNWHPVLMVAGMVVFYGGASLVYRLPQSWVGPKLPWKLLHAALHLMAFVLTVVGLVAVFTFHNHGRTANLYSLHSWLGITTVFLFACQWFLGFAVFLLPWASMWLRSLLKPIHVFFGAAILSLSIASVISGINEKLFFSLKNTTRPYHSLPSEAVFANSTGMLVVAFGLLVLYILLASSWKRPEPGILTDRQPLLHDGE
+>DECOY_sp|Q8NBI2|CYAC3_HUMAN Cytochrome b ascorbate-dependent protein 3 OS=Homo sapiens OX=9606 GN=CYB561A3 PE=1 SV=1
+EGDHLLPQRDTLIGPEPRKWSSALLIYLVLLGFAVVLMGTSNAFVAESPLSHYPRTTNKLSFFLKENIGSIVSAISLSLIAAGFFVHIPKLLSRLWMSAWPLLFVAFGLFWQCAFLFVTTIGLWSHLSYLNATRGHNHFTFVAVLGVVTLVFAMLHLAAHLLKWPLKPGVWSQPLRYVLSAGGYFVVMGAVMLVPHWNFMYISGNWAFGGRWYQMWYITFLICMSGLSGLLLCSLYFRGSVM
+>sp|Q9HB71|CYBP_HUMAN Calcyclin-binding protein OS=Homo sapiens OX=9606 GN=CACYBP PE=1 SV=2
+MASEELQKDLEEVKVLLEKATRKRVRDALTAEKSKIETEIKNKMQQKSQKKAELLDNEKPAAVVAPITTGYTVKISNYGWDQSDKFVKIYITLTGVHQVPTENVQVHFTERSFDLLVKNLNGKSYSMIVNNLLKPISVEGSSKKVKTDTVLILCRKKVENTRWDYLTQVEKECKEKEKPSYDTETDPSEGLMNVLKKIYEDGDDDMKRTINKAWVESREKQAKGDTEF
+>DECOY_sp|Q9HB71|CYBP_HUMAN Calcyclin-binding protein OS=Homo sapiens OX=9606 GN=CACYBP PE=1 SV=2
+FETDGKAQKERSEVWAKNITRKMDDDGDEYIKKLVNMLGESPDTETDYSPKEKEKCEKEVQTLYDWRTNEVKKRCLILVTDTKVKKSSGEVSIPKLLNNVIMSYSKGNLNKVLLDFSRETFHVQVNETPVQHVGTLTIYIKVFKDSQDWGYNSIKVTYGTTIPAVVAAPKENDLLEAKKQSKQQMKNKIETEIKSKEATLADRVRKRTAKELLVKVEELDKQLEESAM
+>sp|P00156|CYB_HUMAN Cytochrome b OS=Homo sapiens OX=9606 GN=MT-CYB PE=1 SV=2
+MTPMRKTNPLMKLINHSFIDLPTPSNISAWWNFGSLLGACLILQITTGLFLAMHYSPDASTAFSSIAHITRDVNYGWIIRYLHANGASMFFICLFLHIGRGLYYGSFLYSETWNIGIILLLATMATAFMGYVLPWGQMSFWGATVITNLLSAIPYIGTDLVQWIWGGYSVDSPTLTRFFTFHFILPFIIAALATLHLLFLHETGSNNPLGITSHSDKITFHPYYTIKDALGLLLFLLSLMTLTLFSPDLLGDPDNYTLANPLNTPPHIKPEWYFLFAYTILRSVPNKLGGVLALLLSILILAMIPILHMSKQQSMMFRPLSQSLYWLLAADLLILTWIGGQPVSYPFTIIGQVASVLYFTTILILMPTISLIENKMLKWA
+>DECOY_sp|P00156|CYB_HUMAN Cytochrome b OS=Homo sapiens OX=9606 GN=MT-CYB PE=1 SV=2
+AWKLMKNEILSITPMLILITTFYLVSAVQGIITFPYSVPQGGIWTLILLDAALLWYLSQSLPRFMMSQQKSMHLIPIMALILISLLLALVGGLKNPVSRLITYAFLFYWEPKIHPPTNLPNALTYNDPDGLLDPSFLTLTMLSLLFLLLGLADKITYYPHFTIKDSHSTIGLPNNSGTEHLFLLHLTALAAIIFPLIFHFTFFRTLTPSDVSYGGWIWQVLDTGIYPIASLLNTIVTAGWFSMQGWPLVYGMFATAMTALLLIIGINWTESYLFSGYYLGRGIHLFLCIFFMSAGNAHLYRIIWGYNVDRTIHAISSFATSADPSYHMALFLGTTIQLILCAGLLSGFNWWASINSPTPLDIFSHNILKMLPNTKRMPTM
+>sp|Q8WWM9|CYGB_HUMAN Cytoglobin OS=Homo sapiens OX=9606 GN=CYGB PE=1 SV=1
+MEKVPGEMEIERRERSEELSEAERKAVQAMWARLYANCEDVGVAILVRFFVNFPSAKQYFSQFKHMEDPLEMERSPQLRKHACRVMGALNTVVENLHDPDKVSSVLALVGKAHALKHKVEPVYFKILSGVILEVVAEEFASDFPPETQRAWAKLRGLIYSHVTAAYKEVGWVQQVPNATTPPATLPSSGP
+>DECOY_sp|Q8WWM9|CYGB_HUMAN Cytoglobin OS=Homo sapiens OX=9606 GN=CYGB PE=1 SV=1
+PGSSPLTAPPTTANPVQQVWGVEKYAATVHSYILGRLKAWARQTEPPFDSAFEEAVVELIVGSLIKFYVPEVKHKLAHAKGVLALVSSVKDPDHLNEVVTNLAGMVRCAHKRLQPSREMELPDEMHKFQSFYQKASPFNVFFRVLIAVGVDECNAYLRAWMAQVAKREAESLEESRERREIEMEGPVKEM
+>sp|Q99418|CYH2_HUMAN Cytohesin-2 OS=Homo sapiens OX=9606 GN=CYTH2 PE=1 SV=2
+MEDGVYEPPDLTPEERMELENIRRRKQELLVEIQRLREELSEAMSEVEGLEANEGSKTLQRNRKMAMGRKKFNMDPKKGIQFLVENELLQNTPEEIARFLYKGEGLNKTAIGDYLGEREELNLAVLHAFVDLHEFTDLNLVQALRQFLWSFRLPGEAQKIDRMMEAFAQRYCLCNPGVFQSTDTCYVLSFAVIMLNTSLHNPNVRDKPGLERFVAMNRGINEGGDLPEELLRNLYDSIRNEPFKIPEDDGNDLTHTFFNPDREGWLLKLGGGRVKTWKRRWFILTDNCLYYFEYTTDKEPRGIIPLENLSIREVDDPRKPNCFELYIPNNKGQLIKACKTEADGRVVEGNHMVYRISAPTQEEKDEWIKSIQAAVSVDPFYEMLAARKKRISVKKKQEQP
+>DECOY_sp|Q99418|CYH2_HUMAN Cytohesin-2 OS=Homo sapiens OX=9606 GN=CYTH2 PE=1 SV=2
+PQEQKKKVSIRKKRAALMEYFPDVSVAAQISKIWEDKEEQTPASIRYVMHNGEVVRGDAETKCAKILQGKNNPIYLEFCNPKRPDDVERISLNELPIIGRPEKDTTYEFYYLCNDTLIFWRRKWTKVRGGGLKLLWGERDPNFFTHTLDNGDDEPIKFPENRISDYLNRLLEEPLDGGENIGRNMAVFRELGPKDRVNPNHLSTNLMIVAFSLVYCTDTSQFVGPNCLCYRQAFAEMMRDIKQAEGPLRFSWLFQRLAQVLNLDTFEHLDVFAHLVALNLEEREGLYDGIATKNLGEGKYLFRAIEEPTNQLLENEVLFQIGKKPDMNFKKRGMAMKRNRQLTKSGENAELGEVESMAESLEERLRQIEVLLEQKRRRINELEMREEPTLDPPEYVGDEM
+>sp|O00622|CYR61_HUMAN Protein CYR61 OS=Homo sapiens OX=9606 GN=CYR61 PE=1 SV=1
+MSSRIARALALVVTLLHLTRLALSTCPAACHCPLEAPKCAPGVGLVRDGCGCCKVCAKQLNEDCSKTQPCDHTKGLECNFGASSTALKGICRAQSEGRPCEYNSRIYQNGESFQPNCKHQCTCIDGAVGCIPLCPQELSLPNLGCPNPRLVKVTGQCCEEWVCDEDSIKDPMEDQDGLLGKELGFDASEVELTRNNELIAVGKGSSLKRLPVFGMEPRILYNPLQGQKCIVQTTSWSQCSKTCGTGISTRVTNDNPECRLVKETRICEVRPCGQPVYSSLKKGKKCSKTKKSPEPVRFTYAGCLSVKKYRPKYCGSCVDGRCCTPQLTRTVKMRFRCEDGETFSKNVMMIQSCKCNYNCPHANEAAFPFYRLFNDIHKFRD
+>DECOY_sp|O00622|CYR61_HUMAN Protein CYR61 OS=Homo sapiens OX=9606 GN=CYR61 PE=1 SV=1
+DRFKHIDNFLRYFPFAAENAHPCNYNCKCSQIMMVNKSFTEGDECRFRMKVTRTLQPTCCRGDVCSGCYKPRYKKVSLCGAYTFRVPEPSKKTKSCKKGKKLSSYVPQGCPRVECIRTEKVLRCEPNDNTVRTSIGTGCTKSCQSWSTTQVICKQGQLPNYLIRPEMGFVPLRKLSSGKGVAILENNRTLEVESADFGLEKGLLGDQDEMPDKISDEDCVWEECCQGTVKVLRPNPCGLNPLSLEQPCLPICGVAGDICTCQHKCNPQFSEGNQYIRSNYECPRGESQARCIGKLATSSAGFNCELGKTHDCPQTKSCDENLQKACVKCCGCGDRVLGVGPACKPAELPCHCAAPCTSLALRTLHLLTVVLALARAIRSSM
+>sp|P04080|CYTB_HUMAN Cystatin-B OS=Homo sapiens OX=9606 GN=CSTB PE=1 SV=2
+MMCGAPSATQPATAETQHIADQVRSQLEEKENKKFPVFKAVSFKSQVVAGTNYFIKVHVGDEDFVHLRVFQSLPHENKPLTLSNYQTNKAKHDELTYF
+>DECOY_sp|P04080|CYTB_HUMAN Cystatin-B OS=Homo sapiens OX=9606 GN=CSTB PE=1 SV=2
+FYTLEDHKAKNTQYNSLTLPKNEHPLSQFVRLHVFDEDGVHVKIFYNTGAVVQSKFSVAKFVPFKKNEKEELQSRVQDAIHQTEATAPQTASPAGCMM
+>sp|O76096|CYTF_HUMAN Cystatin-F OS=Homo sapiens OX=9606 GN=CST7 PE=1 SV=1
+MRAAGTLLAFCCLVLSTTGGPSPDTCSQDLNSRVKPGFPKTIKTNDPGVLQAARYSVEKFNNCTNDMFLFKESRITRALVQIVKGLKYMLEVEIGRTTCKKNQHLRLDDCDFQTNHTLKQTLSCYSEVWVVPWLQHFEVPVLRCH
+>DECOY_sp|O76096|CYTF_HUMAN Cystatin-F OS=Homo sapiens OX=9606 GN=CST7 PE=1 SV=1
+HCRLVPVEFHQLWPVVWVESYCSLTQKLTHNTQFDCDDLRLHQNKKCTTRGIEVELMYKLGKVIQVLARTIRSEKFLFMDNTCNNFKEVSYRAAQLVGPDNTKITKPFGPKVRSNLDQSCTDPSPGGTTSLVLCCFALLTGAARM
+>sp|Q5M775|CYTSB_HUMAN Cytospin-B OS=Homo sapiens OX=9606 GN=SPECC1 PE=1 SV=1
+MRSAAKPWNPAIRAGGHGPDRVRPLPAASSGMKSSKSSTSLAFESRLSRLKRASSEDTLNKPGSTAASGVVRLKKTATAGAISELTESRLRSGTGAFTTTKRTGIPAPREFSVTVSRERSVPRGPSNPRKSVSSPTSSNTPTPTKHLRTPSTKPKQENEGGEKAALESQVRELLAEAKAKDSEINRLRSELKKYKEKRTLNAEGTDALGPNVDGTSVSPGDTEPMIRALEEKNKNFQKELSDLEEENRVLKEKLIYLEHSPNSEGAASHTGDSSCPTSITQESSFGSPTGNQMSSDIDEYKKNIHGNALRTSGSSSSDVTKASLSPDASDFEHITAETPSRPLSSTSNPFKSSKCSTAGSSPNSVSELSLASLTEKIQKMEENHHSTAEELQATLQELSDQQQMVQELTAENEKLVDEKTILETSFHQHRERAEQLSQENEKLMNLLQERVKNEEPTTQEGKIIELEQKCTGILEQGRFEREKLLNIQQQLTCSLRKVEEENQGALEMIKRLKEENEKLNEFLELERHNNNMMAKTLEECRVTLEGLKMENGSLKSHLQGEKQKATEASAVEQTAESCEVQEMLKVARAEKDLLELSCNELRQELLKANGEIKHVSSLLAKVEKDYSYLKEICDHQAEQLSRTSLKLQEKASESDAEIKDMKETIFELEDQVEQHRAVKLHNNQLISELESSVIKLEEQKSDLERQLKTLTKQMKEETEEWRRFQADLQTAVVVANDIKCEAQQELRTVKRKLLEEEEKNARLQKELGDVQGHGRVVTSRAAPPPVDEEPESSEVDAAGRWPGVCVSRTSPTPPESATTVKSLIKSFDLGRPGGAGQNISVHKTPRSPLSGIPVRTAPAAAVSPMQRHSTYSSVRPASRGVTQRLDLPDLPLSDILKGRTETLKPDPHLRKSPSLESLSRPPSLGFGDTRLLSASTRAWKPQSKLSVERKDPLAALAREYGGSKRNALLKWCQKKTQGYANIDITNFSSSWSDGLAFCALLHTYLPAHIPYQELNSQEKKRNLLLAFEAAESVGIKPSLELSEMLYTDRPDWQSVMQYVAQIYKYFET
+>DECOY_sp|Q5M775|CYTSB_HUMAN Cytospin-B OS=Homo sapiens OX=9606 GN=SPECC1 PE=1 SV=1
+TEFYKYIQAVYQMVSQWDPRDTYLMESLELSPKIGVSEAAEFALLLNRKKEQSNLEQYPIHAPLYTHLLACFALGDSWSSSFNTIDINAYGQTKKQCWKLLANRKSGGYERALAALPDKREVSLKSQPKWARTSASLLRTDGFGLSPPRSLSELSPSKRLHPDPKLTETRGKLIDSLPLDPLDLRQTVGRSAPRVSSYTSHRQMPSVAAAPATRVPIGSLPSRPTKHVSINQGAGGPRGLDFSKILSKVTTASEPPTPSTRSVCVGPWRGAADVESSEPEEDVPPPAARSTVVRGHGQVDGLEKQLRANKEEEELLKRKVTRLEQQAECKIDNAVVVATQLDAQFRRWEETEEKMQKTLTKLQRELDSKQEELKIVSSELESILQNNHLKVARHQEVQDELEFITEKMDKIEADSESAKEQLKLSTRSLQEAQHDCIEKLYSYDKEVKALLSSVHKIEGNAKLLEQRLENCSLELLDKEARAVKLMEQVECSEATQEVASAETAKQKEGQLHSKLSGNEMKLGELTVRCEELTKAMMNNNHRELELFENLKENEEKLRKIMELAGQNEEEVKRLSCTLQQQINLLKEREFRGQELIGTCKQELEIIKGEQTTPEENKVREQLLNMLKENEQSLQEARERHQHFSTELITKEDVLKENEATLEQVMQQQDSLEQLTAQLEEATSHHNEEMKQIKETLSALSLESVSNPSSGATSCKSSKFPNSTSSLPRSPTEATIHEFDSADPSLSAKTVDSSSSGSTRLANGHINKKYEDIDSSMQNGTPSGFSSEQTISTPCSSDGTHSAAGESNPSHELYILKEKLVRNEEELDSLEKQFNKNKEELARIMPETDGPSVSTGDVNPGLADTGEANLTRKEKYKKLESRLRNIESDKAKAEALLERVQSELAAKEGGENEQKPKTSPTRLHKTPTPTNSSTPSSVSKRPNSPGRPVSRERSVTVSFERPAPIGTRKTTTFAGTGSRLRSETLESIAGATATKKLRVVGSAATSGPKNLTDESSARKLRSLRSEFALSTSSKSSKMGSSAAPLPRVRDPGHGGARIAPNWPKAASRM
+>sp|Q5D0E6|DALD3_HUMAN DALR anticodon-binding domain-containing protein 3 OS=Homo sapiens OX=9606 GN=DALRD3 PE=1 SV=2
+MATRRLGVGETLGALNAALGPGGPVWIKETRTRHLRSRDFLAPHRALQARFDDGQVPEHLLHALACLQGPGVAPVLRCAPTPAGLSLQLQRSAVFERVLSAVAAYATPASPASLGQRVLLHCPALRSSPCALRLSQLRTVLVADHLARALRAHGVCVRLVPAVRDPHMLTFLQQLRVDWPAASERASSHTLRSHALEELTSANDGRTLSPGILGRLCLKELVEEQGRTAGYDPNLDNCLVTEDLLSVLAELQEALWHWPEDSHPGLAGASDTGTGGCLVVHVVSCEEEFQQQKLDLLWQKLVDKAPLRQKHLICGPVKVAGAPGTLMTAPEYYEFRHTQVCKASALKHGGDLAQDPAWTEIFGVLSVATIKFEMLSTAPQSQLFLALADSSISTKGTKSGTFVMYNCARLATLFESYKCSMEQGLYPTFPPVSSLDFSLLHDEGEWLLLFNSILPFPDLLSRTAVLDCTAPGLHIAVRTEMICKFLVQLSMDFSSYYNRVHILGEPRPHLFGQMFVRLQLLRAVREVLHTGLAMLGLPPLSHI
+>DECOY_sp|Q5D0E6|DALD3_HUMAN DALR anticodon-binding domain-containing protein 3 OS=Homo sapiens OX=9606 GN=DALRD3 PE=1 SV=2
+IHSLPPLGLMALGTHLVERVARLLQLRVFMQGFLHPRPEGLIHVRNYYSSFDMSLQVLFKCIMETRVAIHLGPATCDLVATRSLLDPFPLISNFLLLWEGEDHLLSFDLSSVPPFTPYLGQEMSCKYSEFLTALRACNYMVFTGSKTGKTSISSDALALFLQSQPATSLMEFKITAVSLVGFIETWAPDQALDGGHKLASAKCVQTHRFEYYEPATMLTGPAGAVKVPGCILHKQRLPAKDVLKQWLLDLKQQQFEEECSVVHVVLCGGTGTDSAGALGPHSDEPWHWLAEQLEALVSLLDETVLCNDLNPDYGATRGQEEVLEKLCLRGLIGPSLTRGDNASTLEELAHSRLTHSSARESAAPWDVRLQQLFTLMHPDRVAPVLRVCVGHARLARALHDAVLVTRLQSLRLACPSSRLAPCHLLVRQGLSAPSAPTAYAAVASLVREFVASRQLQLSLGAPTPACRLVPAVGPGQLCALAHLLHEPVQGDDFRAQLARHPALFDRSRLHRTRTEKIWVPGGPGLAANLAGLTEGVGLRRTAM
+>sp|O75881|CP7B1_HUMAN 25-hydroxycholesterol 7-alpha-hydroxylase OS=Homo sapiens OX=9606 GN=CYP7B1 PE=1 SV=2
+MAGEVSAATGRFSLERLGLPGLALAAALLLLALCLLVRRTRRPGEPPLIKGWLPYLGVVLNLRKDPLRFMKTLQKQHGDTFTVLLGGKYITFILDPFQYQLVIKNHKQLSFRVFSNKLLEKAFSISQLQKNHDMNDELHLCYQFLQGKSLDILLESMMQNLKQVFEPQLLKTTSWDTAELYPFCSSIIFEITFTTIYGKVIVCDNNKFISELRDDFLKFDDKFAYLVSNIPIELLGNVKSIREKIIKCFSSEKLAKMQGWSEVFQSRQDVLEKYYVHEDLEIGAHHLGFLWASVANTIPTMFWAMYYLLRHPEAMAAVRDEIDRLLQSTGQKKGSGFPIHLTREQLDSLICLESSIFEALRLSSYSTTIRFVEEDLTLSSETGDYCVRKGDLVAIFPPVLHGDPEIFEAPEEFRYDRFIEDGKKKTTFFKRGKKLKCYLMPFGTGTSKCPGRFFALMEIKQLLVILLTYFDLEIIDDKPIGLNYSRLLFGIQYPDSDVLFRYKVKS
+>DECOY_sp|O75881|CP7B1_HUMAN 25-hydroxycholesterol 7-alpha-hydroxylase OS=Homo sapiens OX=9606 GN=CYP7B1 PE=1 SV=2
+SKVKYRFLVDSDPYQIGFLLRSYNLGIPKDDIIELDFYTLLIVLLQKIEMLAFFRGPCKSTGTGFPMLYCKLKKGRKFFTTKKKGDEIFRDYRFEEPAEFIEPDGHLVPPFIAVLDGKRVCYDGTESSLTLDEEVFRITTSYSSLRLAEFISSELCILSDLQERTLHIPFGSGKKQGTSQLLRDIEDRVAAMAEPHRLLYYMAWFMTPITNAVSAWLFGLHHAGIELDEHVYYKELVDQRSQFVESWGQMKALKESSFCKIIKERISKVNGLLEIPINSVLYAFKDDFKLFDDRLESIFKNNDCVIVKGYITTFTIEFIISSCFPYLEATDWSTTKLLQPEFVQKLNQMMSELLIDLSKGQLFQYCLHLEDNMDHNKQLQSISFAKELLKNSFVRFSLQKHNKIVLQYQFPDLIFTIYKGGLLVTFTDGHQKQLTKMFRLPDKRLNLVVGLYPLWGKILPPEGPRRTRRVLLCLALLLLAAALALGPLGLRELSFRGTAASVEGAM
+>sp|Q9BZB8|CPEB1_HUMAN Cytoplasmic polyadenylation element-binding protein 1 OS=Homo sapiens OX=9606 GN=CPEB1 PE=1 SV=1
+MALSLEEEAGRIKDCWDNQEAPALSTCSNANIFRRINAILDNSLDFSRVCTTPINRGIHDHLPDFQDSEETVTSRMLFPTSAQESSRGLPDANDLCLGLQSLSLTGWDRPWSTQDSDSSAQSSTHSVLSMLHNPLGNVLGKPPLSFLPLDPLGSDLVDKFPAPSVRGSRLDTRPILDSRSSSPSDSDTSGFSSGSDHLSDLISSLRISPPLPFLSLSGGGPRDPLKMGVGSRMDQEQAALAAVTPSPTSASKRWPGASVWPSWDLLEAPKDPFSIEREARLHRQAAAVNEATCTWSGQLPPRNYKNPIYSCKVFLGGVPWDITEAGLVNTFRVFGSLSVEWPGKDGKHPRCPPKGNMPKGYVYLVFELEKSVRSLLQACSHDPLSPDGLSEYYFKMSSRRMRCKEVQVIPWVLADSNFVRSPSQRLDPSRTVFVGALHGMLNAEALAAILNDLFGGVVYAGIDTDKHKYPIGSGRVTFNNQRSYLKAVSAAFVEIKTTKFTKKVQIDPYLEDSLCHICSSQPGPFFCRDQVCFKYFCRSCWHWRHSMEGLRHHSPLMRNQKNRDSS
+>DECOY_sp|Q9BZB8|CPEB1_HUMAN Cytoplasmic polyadenylation element-binding protein 1 OS=Homo sapiens OX=9606 GN=CPEB1 PE=1 SV=1
+SSDRNKQNRMLPSHHRLGEMSHRWHWCSRCFYKFCVQDRCFFPGPQSSCIHCLSDELYPDIQVKKTFKTTKIEVFAASVAKLYSRQNNFTVRGSGIPYKHKDTDIGAYVVGGFLDNLIAALAEANLMGHLAGVFVTRSPDLRQSPSRVFNSDALVWPIVQVEKCRMRRSSMKFYYESLGDPSLPDHSCAQLLSRVSKELEFVLYVYGKPMNGKPPCRPHKGDKGPWEVSLSGFVRFTNVLGAETIDWPVGGLFVKCSYIPNKYNRPPLQGSWTCTAENVAAAQRHLRAEREISFPDKPAELLDWSPWVSAGPWRKSASTPSPTVAALAAQEQDMRSGVGMKLPDRPGGGSLSLFPLPPSIRLSSILDSLHDSGSSFGSTDSDSPSSSRSDLIPRTDLRSGRVSPAPFKDVLDSGLPDLPLFSLPPKGLVNGLPNHLMSLVSHTSSQASSDSDQTSWPRDWGTLSLSQLGLCLDNADPLGRSSEQASTPFLMRSTVTEESDQFDPLHDHIGRNIPTTCVRSFDLSNDLIANIRRFINANSCTSLAPAEQNDWCDKIRGAEEELSLAM
+>sp|Q8IZJ3|CPMD8_HUMAN C3 and PZP-like alpha-2-macroglobulin domain-containing protein 8 OS=Homo sapiens OX=9606 GN=CPAMD8 PE=1 SV=2
+MSGALLWPLLPLLLLLLSARDGVRAAQPQAPGYLIAAPSVFRAGVEEVISVTIFNSPREVTVQAQLVAQGEPVVQSQGAILDKGTIKLKVPTGLRGQALLKVWGRGWQAEEGPLFHNQTSVTVDGRGASVFIQTDKPVYRPQHRVLISIFTVSPNLRPVNEKLEAYILDPRGSRMIEWRHLKPFCCGITNMSFPLSDQPVLGEWFIFVEMQGHAYNKSFEVQKYVLPKFELLIDPPRYIQDLDACETGTVRARYTFGKPVAGALMINMTVNGVGYYSHEVGRPVLRTTKILGSRDFDICVRDMIPADVPEHFRGRVSIWAMVTSVDGSQQVAFDDSTPVQRQLVDIRYSKDTRKQFKPGLAYVGKVELSYPDGSPAEGVTVQIKAELTPKDNIYTSEVVSQRGLVGFEIPSIPTSAQHVWLETKVMALNGKPVGAQYLPSYLSLGSWYSPSQCYLQLQPPSHPLQVGEEAYFSVKSTCPCNFTLYYEVAARGNIVLSGQQPAHTTQQRSKRAAPALEKPIRLTHLSETEPPPAPEAEVDVCVTSLHLAVTPSMVPLGRLLVFYVRENGEGVADSLQFAVETFFENQVSVTYSANETQPGEVVDLRIRAARGSCVCVAAVDKSVYLLRSGFRLTPAQVFQELEDYDVSDSFGVSREDGPFWWAGLTAQRRRRSSVFPWPWGITKDSGFAFTETGLVVMTDRVSLNHRQDGGLYTDEAVPAFQPHTGSLVAVAPSRHPPRTEKRKRTFFPETWIWHCLNISDPSGEGTLSVKVPDSITSWVGEAVALSTSQGLGIAEPSLLKTFKPFFVDFMLPALIIRGEQVKIPLSVYNYMGTCAEVYMKLSVPKGIQFVGHPGKRHVTKKMCVAPGEAEPIWVVLSFSDLGLNNITAKALAYGDTNCCRDGRSSKHPEENHADRRVPIGVDHVRRSVMVEAEGVPRAYTYSAFFCPSERVHISTPNKYEFQYVQRPLRLTRFDVAVRAHNDARVALSSGPQDTAGMIEIVLGGHQNTRSWISTSKMGEPVASAHTAKILSWDEFRTFWISWRGGLIQVGHGPEPSNESVIVAWTLPRPPEVQFIGFSTGWGSMGEFRIWRKMEVDESYSEAFTLGVPHGAIPGSERATASIIGDVMGPTLNHLNNLLRLPFGCGEQNMIHFAPNVFVLKYLQKTQQLSPEVERETTDYLVQGYQRQLTYKRQDGSYSAFGERDASGSMWLTAFVLKSFAQARSFIFVDPRELAAAKSWIIQQQQADGSFLAVGRVLNKDIQGGIHGTVPLTAYVVVALLETGTASEEERGSTDKARHFLESAAPLAMDPYSCALTTYALTLLRSPAAPEALRKLRSLAIMRDGVTHWSLSNSWDVDKGTFLSFSDRVSQSVVSAEVEMTAYALLTYTLLGDVAAALPVVKWLSQQRNALGGFSSTQDTCVALQALAEYAILSYAGGINLTVSLASTNLDYQETFELHRTNQKVLQTAAIPSLPTGLFVSAKGDGCCLMQIDVTYNVPDPVAKPAFQLLVSLQEPEAQGRPPPMPASAAEGSRGDWPPADDDDPAADQHHQEYKVMLEVCTRWLHAGSSNMAVLEVPLLSGFRADIESLEQLLLDKHMGMKRYEVAGRRVLFYFDEIPSRCLTCVRFRALRECVVGRTSALPVSVYDYYEPAFEATRFYNVSTHSPLARELCAGPACNEVERAPARGPGWFPGESGPAVAPEEGAAIARCGCDHDCGAQGNPVCGSDGVVYASACRLREAACRQAAPLEPAPPSCCALEQRLPASSSSTYGDDLASVAPGPLQQDVKLNGAGLEVEDSDPEPEGEAEDRVTAGPRPPVSSGNLESSTQSASPFHRWGQTPAPQRHSGRVVGAHRPGLLSPVFVYSPAFQSGGEEGLWMSNTCTLR
+>DECOY_sp|Q8IZJ3|CPMD8_HUMAN C3 and PZP-like alpha-2-macroglobulin domain-containing protein 8 OS=Homo sapiens OX=9606 GN=CPAMD8 PE=1 SV=2
+RLTCTNSMWLGEEGGSQFAPSYVFVPSLLGPRHAGVVRGSHRQPAPTQGWRHFPSASQTSSELNGSSVPPRPGATVRDEAEGEPEPDSDEVELGAGNLKVDQQLPGPAVSALDDGYTSSSSAPLRQELACCSPPAPELPAAQRCAAERLRCASAYVVGDSGCVPNGQAGCDHDCGCRAIAAGEEPAVAPGSEGPFWGPGRAPAREVENCAPGACLERALPSHTSVNYFRTAEFAPEYYDYVSVPLASTRGVVCERLARFRVCTLCRSPIEDFYFLVRRGAVEYRKMGMHKDLLLQELSEIDARFGSLLPVELVAMNSSGAHLWRTCVELMVKYEQHHQDAAPDDDDAPPWDGRSGEAASAPMPPPRGQAEPEQLSVLLQFAPKAVPDPVNYTVDIQMLCCGDGKASVFLGTPLSPIAATQLVKQNTRHLEFTEQYDLNTSALSVTLNIGGAYSLIAYEALAQLAVCTDQTSSFGGLANRQQSLWKVVPLAAAVDGLLTYTLLAYATMEVEASVVSQSVRDSFSLFTGKDVDWSNSLSWHTVGDRMIALSRLKRLAEPAAPSRLLTLAYTTLACSYPDMALPAASELFHRAKDTSGREEESATGTELLAVVVYATLPVTGHIGGQIDKNLVRGVALFSGDAQQQQIIWSKAAALERPDVFIFSRAQAFSKLVFATLWMSGSADREGFASYSGDQRKYTLQRQYGQVLYDTTEREVEPSLQQTKQLYKLVFVNPAFHIMNQEGCGFPLRLLNNLHNLTPGMVDGIISATARESGPIAGHPVGLTFAESYSEDVEMKRWIRFEGMSGWGTSFGIFQVEPPRPLTWAVIVSENSPEPGHGVQILGGRWSIWFTRFEDWSLIKATHASAVPEGMKSTSIWSRTNQHGGLVIEIMGATDQPGSSLAVRADNHARVAVDFRTLRLPRQVYQFEYKNPTSIHVRESPCFFASYTYARPVGEAEVMVSRRVHDVGIPVRRDAHNEEPHKSSRGDRCCNTDGYALAKATINNLGLDSFSLVVWIPEAEGPAVCMKKTVHRKGPHGVFQIGKPVSLKMYVEACTGMYNYVSLPIKVQEGRIILAPLMFDVFFPKFTKLLSPEAIGLGQSTSLAVAEGVWSTISDPVKVSLTGEGSPDSINLCHWIWTEPFFTRKRKETRPPHRSPAVAVLSGTHPQFAPVAEDTYLGGDQRHNLSVRDTMVVLGTETFAFGSDKTIGWPWPFVSSRRRRQATLGAWWFPGDERSVGFSDSVDYDELEQFVQAPTLRFGSRLLYVSKDVAAVCVCSGRAARIRLDVVEGPQTENASYTVSVQNEFFTEVAFQLSDAVGEGNERVYFVLLRGLPVMSPTVALHLSTVCVDVEAEPAPPPETESLHTLRIPKELAPAARKSRQQTTHAPQQGSLVINGRAAVEYYLTFNCPCTSKVSFYAEEGVQLPHSPPQLQLYCQSPSYWSGLSLYSPLYQAGVPKGNLAMVKTELWVHQASTPISPIEFGVLGRQSVVESTYINDKPTLEAKIQVTVGEAPSGDPYSLEVKGVYALGPKFQKRTDKSYRIDVLQRQVPTSDDFAVQQSGDVSTVMAWISVRGRFHEPVDAPIMDRVCIDFDRSGLIKTTRLVPRGVEHSYYGVGNVTMNIMLAGAVPKGFTYRARVTGTECADLDQIYRPPDILLEFKPLVYKQVEFSKNYAHGQMEVFIFWEGLVPQDSLPFSMNTIGCCFPKLHRWEIMRSGRPDLIYAELKENVPRLNPSVTFISILVRHQPRYVPKDTQIFVSAGRGDVTVSTQNHFLPGEEAQWGRGWVKLLAQGRLGTPVKLKITGKDLIAGQSQVVPEGQAVLQAQVTVERPSNFITVSIVEEVGARFVSPAAILYGPAQPQAARVGDRASLLLLLLPLLPWLLAGSM
+>sp|Q96FN4|CPNE2_HUMAN Copine-2 OS=Homo sapiens OX=9606 GN=CPNE2 PE=1 SV=3
+MAHIPSGGAPAAGAAPMGPQYCVCKVELSVSGQNLLDRDVTSKSDPFCVLFTENNGRWIEYDRTETAINNLNPAFSKKFVLDYHFEEVQKLKFALFDQDKSSMRLDEHDFLGQFSCSLGTIVSSKKITRPLLLLNDKPAGKGLITIAAQELSDNRVITLSLAGRRLDKKDLFGKSDPFLEFYKPGDDGKWMLVHRTEVIKYTLDPVWKPFTVPLVSLCDGDMEKPIQVMCYDYDNDGGHDFIGEFQTSVSQMCEARDSVPLEFECINPKKQRKKKNYKNSGIIILRSCKINRDYSFLDYILGGCQLMFTVGIDFTASNGNPLDPSSLHYINPMGTNEYLSAIWAVGQIIQDYDSDKMFPALGFGAQLPPDWKVSHEFAINFNPTNPFCSGVDGIAQAYSACLPHIRFYGPTNFSPIVNHVARFAAQATQQRTATQYFILLIITDGVISDMEETRHAVVQASKLPMSIIIVGVGNADFAAMEFLDGDSRMLRSHTGEEAARDIVQFVPFREFRNAAKETLAKAVLAELPQQVVQYFKHKNLPPTNSEPA
+>DECOY_sp|Q96FN4|CPNE2_HUMAN Copine-2 OS=Homo sapiens OX=9606 GN=CPNE2 PE=1 SV=3
+APESNTPPLNKHKFYQVVQQPLEALVAKALTEKAANRFERFPVFQVIDRAAEEGTHSRLMRSDGDLFEMAAFDANGVGVIIISMPLKSAQVVAHRTEEMDSIVGDTIILLIFYQTATRQQTAQAAFRAVHNVIPSFNTPGYFRIHPLCASYAQAIGDVGSCFPNTPNFNIAFEHSVKWDPPLQAGFGLAPFMKDSDYDQIIQGVAWIASLYENTGMPNIYHLSSPDLPNGNSATFDIGVTFMLQCGGLIYDLFSYDRNIKCSRLIIIGSNKYNKKKRQKKPNICEFELPVSDRAECMQSVSTQFEGIFDHGGDNDYDYCMVQIPKEMDGDCLSVLPVTFPKWVPDLTYKIVETRHVLMWKGDDGPKYFELFPDSKGFLDKKDLRRGALSLTIVRNDSLEQAAITILGKGAPKDNLLLLPRTIKKSSVITGLSCSFQGLFDHEDLRMSSKDQDFLAFKLKQVEEFHYDLVFKKSFAPNLNNIATETRDYEIWRGNNETFLVCFPDSKSTVDRDLLNQGSVSLEVKCVCYQPGMPAAGAAPAGGSPIHAM
+>sp|Q9HCH3|CPNE5_HUMAN Copine-5 OS=Homo sapiens OX=9606 GN=CPNE5 PE=1 SV=2
+MEQPEDMASLSEFDSLAGSIPATKVEITVSCRNLLDKDMFSKSDPLCVMYTQGMENKQWREFGRTEVIDNTLNPDFVRKFIVDYFFEEKQNLRFDLYDVDSKSPDLSKHDFLGQAFCTLGEIVGSPGSRLEKPLTIGAFSLNSRTGKPMPAVSNGGVPGKKCGTIILSAEELSNCRDVATMQFCANKLDKKDFFGKSDPFLVFYRSNEDGTFTICHKTEVMKNTLNPVWQTFSIPVRALCNGDYDRTIKVEVYDWDRDGSHDFIGEFTTSYRELARGQSQFNIYEVVNPKKKMKKKKYVNSGTVTLLSFAVESECTFLDYIKGGTQINFTVAIDFTASNGNPSQSTSLHYMSPYQLNAYALALTAVGEIIQHYDSDKMFPALGFGAKLPPDGRVSHEFPLNGNQENPSCCGIDGILEAYHRSLRTVQLYGPTNFAPVVTHVARNAAAVQDGSQYSVLLIITDGVISDMAQTKEAIVNAAKLPMSIIIVGVGQAEFDAMVELDGDDVRISSRGKLAERDIVQFVPFRDYVDRTGNHVLSMARLARDVLAEIPDQLVSYMKAQGIRPRPPPAAPTHSPSQSPARTPPASPLHTHI
+>DECOY_sp|Q9HCH3|CPNE5_HUMAN Copine-5 OS=Homo sapiens OX=9606 GN=CPNE5 PE=1 SV=2
+IHTHLPSAPPTRAPSQSPSHTPAAPPPRPRIGQAKMYSVLQDPIEALVDRALRAMSLVHNGTRDVYDRFPVFQVIDREALKGRSSIRVDDGDLEVMADFEAQGVGVIIISMPLKAANVIAEKTQAMDSIVGDTIILLVSYQSGDQVAAANRAVHTVVPAFNTPGYLQVTRLSRHYAELIGDIGCCSPNEQNGNLPFEHSVRGDPPLKAGFGLAPFMKDSDYHQIIEGVATLALAYANLQYPSMYHLSTSQSPNGNSATFDIAVTFNIQTGGKIYDLFTCESEVAFSLLTVTGSNVYKKKKMKKKPNVVEYINFQSQGRALERYSTTFEGIFDHSGDRDWDYVEVKITRDYDGNCLARVPISFTQWVPNLTNKMVETKHCITFTGDENSRYFVLFPDSKGFFDKKDLKNACFQMTAVDRCNSLEEASLIITGCKKGPVGGNSVAPMPKGTRSNLSFAGITLPKELRSGPSGVIEGLTCFAQGLFDHKSLDPSKSDVDYLDFRLNQKEEFFYDVIFKRVFDPNLTNDIVETRGFERWQKNEMGQTYMVCLPDSKSFMDKDLLNRCSVTIEVKTAPISGALSDFESLSAMDEPQEM
+>sp|Q9UBL6|CPNE7_HUMAN Copine-7 OS=Homo sapiens OX=9606 GN=CPNE7 PE=1 SV=1
+MSAGSERGAAATPGGLPAPCASKVELRLSCRHLLDRDPLTKSDPSVALLQQAQGQWVQVGRTEVVRSSLHPVFSKVFTVDYYFEEVQRLRFEVYDTHGPSGFSCQEDDFLGGMECTLGQPAQKWLLQVVMRVSVDVLGPAGHCAKHFLCCTESSHLARTGPSFLLRYDDLCLPWATAGAVRWWTCRGGHTQGWQIVAQKKVTRPLLLKFGRNAGKSTITVIAEDISGNNGYVELSFRARKLDDKDLFSKSDPFLELYRVNDDQGLQLVYRTEVVKNNLNPVWEAFKVSLSSLCSCEETRPLKCLVWDYDSRGKHDFIGEFSTTFEEMQKAFEEGQAQWDCVNPKYKQKRRSYKNSGVVVLADLKFHRVYSFLDYIMGGCQIHFTVAIDFTASNGDPRNSCSLHYINPYQPNEYLKALVSVGEICQDYDSDKRFSALGFGARIPPKYEVSHDFAINFNPEDDECEGIQGVVEAYQNCLPRVQLYGPTNVAPIISKVARVAAAEESTGKASQYYILLILTDGVVTDMADTREAIVRASRLPMSIIIVGVGNADFTDMQVLDGDDGVLRSPRGEPALRDIVQFVPFRELKNASPAALAKCVLAEVPKQVVEYYSHRGLPPRSLGVPAGEASPGCTP
+>DECOY_sp|Q9UBL6|CPNE7_HUMAN Copine-7 OS=Homo sapiens OX=9606 GN=CPNE7 PE=1 SV=1
+PTCGPSAEGAPVGLSRPPLGRHSYYEVVQKPVEALVCKALAAPSANKLERFPVFQVIDRLAPEGRPSRLVGDDGDLVQMDTFDANGVGVIIISMPLRSARVIAERTDAMDTVVGDTLILLIYYQSAKGTSEEAAAVRAVKSIIPAVNTPGYLQVRPLCNQYAEVVGQIGECEDDEPNFNIAFDHSVEYKPPIRAGFGLASFRKDSDYDQCIEGVSVLAKLYENPQYPNIYHLSCSNRPDGNSATFDIAVTFHIQCGGMIYDLFSYVRHFKLDALVVVGSNKYSRRKQKYKPNVCDWQAQGEEFAKQMEEFTTSFEGIFDHKGRSDYDWVLCKLPRTEECSCLSSLSVKFAEWVPNLNNKVVETRYVLQLGQDDNVRYLELFPDSKSFLDKDDLKRARFSLEVYGNNGSIDEAIVTITSKGANRGFKLLLPRTVKKQAVIQWGQTHGGRCTWWRVAGATAWPLCLDDYRLLFSPGTRALHSSETCCLFHKACHGAPGLVDVSVRMVVQLLWKQAPQGLTCEMGGLFDDEQCSFGSPGHTDYVEFRLRQVEEFYYDVTFVKSFVPHLSSRVVETRGVQVWQGQAQQLLAVSPDSKTLPDRDLLHRCSLRLEVKSACPAPLGGPTAAAGRESGASM
+>sp|Q9P2I0|CPSF2_HUMAN Cleavage and polyadenylation specificity factor subunit 2 OS=Homo sapiens OX=9606 GN=CPSF2 PE=1 SV=2
+MTSIIKLTTLSGVQEESALCYLLQVDEFRFLLDCGWDEHFSMDIIDSLRKHVHQIDAVLLSHPDPLHLGALPYAVGKLGLNCAIYATIPVYKMGQMFMYDLYQSRHNTEDFTLFTLDDVDAAFDKIQQLKFSQIVNLKGKGHGLSITPLPAGHMIGGTIWKIVKDGEEEIVYAVDFNHKREIHLNGCSLEMLSRPSLLITDSFNATYVQPRRKQRDEQLLTNVLETLRGDGNVLIAVDTAGRVLELAQLLDQIWRTKDAGLGVYSLALLNNVSYNVVEFSKSQVEWMSDKLMRCFEDKRNNPFQFRHLSLCHGLSDLARVPSPKVVLASQPDLECGFSRDLFIQWCQDPKNSIILTYRTTPGTLARFLIDNPSEKITEIELRKRVKLEGKELEEYLEKEKLKKEAAKKLEQSKEADIDSSDESDIEEDIDQPSAHKTKHDLMMKGEGSRKGSFFKQAKKSYPMFPAPEERIKWDEYGEIIKPEDFLVPELQATEEEKSKLESGLTNGDEPMDQDLSDVPTKCISTTESIEIKARVTYIDYEGRSDGDSIKKIINQMKPRQLIIVHGPPEASQDLAECCRAFGGKDIKVYMPKLHETVDATSETHIYQVRLKDSLVSSLQFCKAKDAELAWIDGVLDMRVSKVDTGVILEEGELKDDGEDSEMQVEAPSDSSVIAQQKAMKSLFGDDEKETGEESEIIPTLEPLPPHEVPGHQSVFMNEPRLSDFKQVLLREGIQAEFVGGVLVCNNQVAVRRTETGRIGLEGCLCQDFYRIRDLLYEQYAIV
+>DECOY_sp|Q9P2I0|CPSF2_HUMAN Cleavage and polyadenylation specificity factor subunit 2 OS=Homo sapiens OX=9606 GN=CPSF2 PE=1 SV=2
+VIAYQEYLLDRIRYFDQCLCGELGIRGTETRRVAVQNNCVLVGGVFEAQIGERLLVQKFDSLRPENMFVSQHGPVEHPPLPELTPIIESEEGTEKEDDGFLSKMAKQQAIVSSDSPAEVQMESDEGDDKLEGEELIVGTDVKSVRMDLVGDIWALEADKAKCFQLSSVLSDKLRVQYIHTESTADVTEHLKPMYVKIDKGGFARCCEALDQSAEPPGHVIILQRPKMQNIIKKISDGDSRGEYDIYTVRAKIEISETTSICKTPVDSLDQDMPEDGNTLGSELKSKEEETAQLEPVLFDEPKIIEGYEDWKIREEPAPFMPYSKKAQKFFSGKRSGEGKMMLDHKTKHASPQDIDEEIDSEDSSDIDAEKSQELKKAAEKKLKEKELYEELEKGELKVRKRLEIETIKESPNDILFRALTGPTTRYTLIISNKPDQCWQIFLDRSFGCELDPQSALVVKPSPVRALDSLGHCLSLHRFQFPNNRKDEFCRMLKDSMWEVQSKSFEVVNYSVNNLLALSYVGLGADKTRWIQDLLQALELVRGATDVAILVNGDGRLTELVNTLLQEDRQKRRPQVYTANFSDTILLSPRSLMELSCGNLHIERKHNFDVAYVIEEEGDKVIKWITGGIMHGAPLPTISLGHGKGKLNVIQSFKLQQIKDFAADVDDLTFLTFDETNHRSQYLDYMFMQGMKYVPITAYIACNLGLKGVAYPLAGLHLPDPHSLLVADIQHVHKRLSDIIDMSFHEDWGCDLLFRFEDVQLLYCLASEEQVGSLTTLKIISTM
+>sp|O43809|CPSF5_HUMAN Cleavage and polyadenylation specificity factor subunit 5 OS=Homo sapiens OX=9606 GN=NUDT21 PE=1 SV=1
+MSVVPPNRSQTGWPRGVTQFGNKYIQQTKPLTLERTINLYPLTNYTFGTKEPLYEKDSSVAARFQRMREEFDKIGMRRTVEGVLIVHEHRLPHVLLLQLGTTFFKLPGGELNPGEDEVEGLKRLMTEILGRQDGVLQDWVIDDCIGNWWRPNFEPPQYPYIPAHITKPKEHKKLFLVQLQEKALFAVPKNYKLVAAPLFELYDNAPGYGPIISSLPQLLSRFNFIYN
+>DECOY_sp|O43809|CPSF5_HUMAN Cleavage and polyadenylation specificity factor subunit 5 OS=Homo sapiens OX=9606 GN=NUDT21 PE=1 SV=1
+NYIFNFRSLLQPLSSIIPGYGPANDYLEFLPAAVLKYNKPVAFLAKEQLQVLFLKKHEKPKTIHAPIYPYQPPEFNPRWWNGICDDIVWDQLVGDQRGLIETMLRKLGEVEDEGPNLEGGPLKFFTTGLQLLLVHPLRHEHVILVGEVTRRMGIKDFEERMRQFRAAVSSDKEYLPEKTGFTYNTLPYLNITRELTLPKTQQIYKNGFQTVGRPWGTQSRNPPVVSM
+>sp|Q92523|CPT1B_HUMAN Carnitine O-palmitoyltransferase 1, muscle isoform OS=Homo sapiens OX=9606 GN=CPT1B PE=1 SV=2
+MAEAHQAVAFQFTVTPDGVDFRLSREALKHVYLSGINSWKKRLIRIKNGILRGVYPGSPTSWLVVIMATVGSSFCNVDISLGLVSCIQRCLPQGCGPYQTPQTRALLSMAIFSTGVWVTGIFFFRQTLKLLLCYHGWMFEMHGKTSNLTRIWAMCIRLLSSRHPMLYSFQTSLPKLPVPRVSATIQRYLESVRPLLDDEEYYRMELLAKEFQDKTAPRLQKYLVLKSWWASNYVSDWWEEYIYLRGRSPLMVNSNYYVMDLVLIKNTDVQAARLGNIIHAMIMYRRKLDREEIKPVMALGIVPMCSYQMERMFNTTRIPGKDTDVLQHLSDSRHVAVYHKGRFFKLWLYEGARLLKPQDLEMQFQRILDDPSPPQPGEEKLAALTAGGRVEWAQARQAFFSSGKNKAALEAIERAAFFVALDEESYSYDPEDEASLSLYGKALLHGNCYNRWFDKSFTLISFKNGQLGLNAEHAWADAPIIGHLWEFVLGTDSFHLGYTETGHCLGKPNPALAPPTRLQWDIPKQCQAVIESSYQVAKALADDVELYCFQFLPFGKGLIKKCRTSPDAFVQIALQLAHFRDRGKFCLTYEASMTRMFREGRTETVRSCTSESTAFVQAMMEGSHTKADLRDLFQKAAKKHQNMYRLAMTGAGIDRHLFCLYLVSKYLGVSSPFLAEVLSEPWRLSTSQIPQSQIRMFDPEQHPNHLGAGGGFGPVADDGYGVSYMIAGENTIFFHISSKFSSSETNAQRFGNHIRKALLDIADLFQVPKAYS
+>DECOY_sp|Q92523|CPT1B_HUMAN Carnitine O-palmitoyltransferase 1, muscle isoform OS=Homo sapiens OX=9606 GN=CPT1B PE=1 SV=2
+SYAKPVQFLDAIDLLAKRIHNGFRQANTESSSFKSSIHFFITNEGAIMYSVGYGDDAVPGFGGGAGLHNPHQEPDFMRIQSQPIQSTSLRWPESLVEALFPSSVGLYKSVLYLCFLHRDIGAGTMALRYMNQHKKAAKQFLDRLDAKTHSGEMMAQVFATSESTCSRVTETRGERFMRTMSAEYTLCFKGRDRFHALQLAIQVFADPSTRCKKILGKGFPLFQFCYLEVDDALAKAVQYSSEIVAQCQKPIDWQLRTPPALAPNPKGLCHGTETYGLHFSDTGLVFEWLHGIIPADAWAHEANLGLQGNKFSILTFSKDFWRNYCNGHLLAKGYLSLSAEDEPDYSYSEEDLAVFFAAREIAELAAKNKGSSFFAQRAQAWEVRGGATLAALKEEGPQPPSPDDLIRQFQMELDQPKLLRAGEYLWLKFFRGKHYVAVHRSDSLHQLVDTDKGPIRTTNFMREMQYSCMPVIGLAMVPKIEERDLKRRYMIMAHIINGLRAAQVDTNKILVLDMVYYNSNVMLPSRGRLYIYEEWWDSVYNSAWWSKLVLYKQLRPATKDQFEKALLEMRYYEEDDLLPRVSELYRQITASVRPVPLKPLSTQFSYLMPHRSSLLRICMAWIRTLNSTKGHMEFMWGHYCLLLKLTQRFFFIGTVWVGTSFIAMSLLARTQPTQYPGCGQPLCRQICSVLGLSIDVNCFSSGVTAMIVVLWSTPSGPYVGRLIGNKIRILRKKWSNIGSLYVHKLAERSLRFDVGDPTVTFQFAVAQHAEAM
+>sp|Q96SM3|CPXM1_HUMAN Probable carboxypeptidase X1 OS=Homo sapiens OX=9606 GN=CPXM1 PE=2 SV=2
+MWGLLLALAAFAPAVGPALGAPRNSVLGLAQPGTTKVPGSTPALHSSPAQPPAETANGTSEQHVRIRVIKKKKVIMKKRKKLTLTRPTPLVTAGPLVTPTPAGTLDPAEKQETGCPPLGLESLRVSDSRLEASSSQSFGLGPHRGRLNIQSGLEDGDLYDGAWCAEEQDADPWFQVDAGHPTRFSGVITQGRNSVWRYDWVTSYKVQFSNDSRTWWGSRNHSSGMDAVFPANSDPETPVLNLLPEPQVARFIRLLPQTWLQGGAPCLRAEILACPVSDPNDLFLEAPASGSSDPLDFQHHNYKAMRKLMKQVQEQCPNITRIYSIGKSYQGLKLYVMEMSDKPGEHELGEPEVRYVAGMHGNEALGRELLLLLMQFLCHEFLRGNPRVTRLLSEMRIHLLPSMNPDGYEIAYHRGSELVGWAEGRWNNQSIDLNHNFADLNTPLWEAQDDGKVPHIVPNHHLPLPTYYTLPNATVAPETRAVIKWMKRIPFVLSANLHGGELVVSYPFDMTRTPWAARELTPTPDDAVFRWLSTVYAGSNLAMQDTSRRPCHSQDFSVHGNIINGADWHTVPGSMNDFSYLHTNCFEVTVELSCDKFPHENELPQEWENNKDALLTYLEQVRMGIAGVVRDKDTELGIADAVIAVDGINHDVTTAWGGDYWRLLTPGDYMVTASAEGYHSVTRNCRVTFEEGPFPCNFVLTKTPKQRLRELLAAGAKVPPDLRRRLERLRGQKD
+>DECOY_sp|Q96SM3|CPXM1_HUMAN Probable carboxypeptidase X1 OS=Homo sapiens OX=9606 GN=CPXM1 PE=2 SV=2
+DKQGRLRELRRRLDPPVKAGAALLERLRQKPTKTLVFNCPFPGEEFTVRCNRTVSHYGEASATVMYDGPTLLRWYDGGWATTVDHNIGDVAIVADAIGLETDKDRVVGAIGMRVQELYTLLADKNNEWEQPLENEHPFKDCSLEVTVEFCNTHLYSFDNMSGPVTHWDAGNIINGHVSFDQSHCPRRSTDQMALNSGAYVTSLWRFVADDPTPTLERAAWPTRTMDFPYSVVLEGGHLNASLVFPIRKMWKIVARTEPAVTANPLTYYTPLPLHHNPVIHPVKGDDQAEWLPTNLDAFNHNLDISQNNWRGEAWGVLESGRHYAIEYGDPNMSPLLHIRMESLLRTVRPNGRLFEHCLFQMLLLLLERGLAENGHMGAVYRVEPEGLEHEGPKDSMEMVYLKLGQYSKGISYIRTINPCQEQVQKMLKRMAKYNHHQFDLPDSSGSAPAELFLDNPDSVPCALIEARLCPAGGQLWTQPLLRIFRAVQPEPLLNLVPTEPDSNAPFVADMGSSHNRSGWWTRSDNSFQVKYSTVWDYRWVSNRGQTIVGSFRTPHGADVQFWPDADQEEACWAGDYLDGDELGSQINLRGRHPGLGFSQSSSAELRSDSVRLSELGLPPCGTEQKEAPDLTGAPTPTVLPGATVLPTPRTLTLKKRKKMIVKKKKIVRIRVHQESTGNATEAPPQAPSSHLAPTSGPVKTTGPQALGLVSNRPAGLAPGVAPAFAALALLLGWM
+>sp|Q9BQA9|CQ062_HUMAN Uncharacterized protein C17orf62 OS=Homo sapiens OX=9606 GN=C17orf62 PE=1 SV=1
+MYLQVETRTSSRLHLKRAPGIRSWSLLVGILSIGLAAAYYSGDSLGWKLFYVTGCLFVAVQNLEDWEEAIFDKSTGKVVLKTFSLYKKLLTLFRAGHDQVVVLLHDVRDVSVEEEKVRYFGKGYMVVLRLATGFSHPLTQSAVMGHRSDVEAIAKLITSFLELHCLESPTELSQSSDSEAGDPASQS
+>DECOY_sp|Q9BQA9|CQ062_HUMAN Uncharacterized protein C17orf62 OS=Homo sapiens OX=9606 GN=C17orf62 PE=1 SV=1
+SQSAPDGAESDSSQSLETPSELCHLELFSTILKAIAEVDSRHGMVASQTLPHSFGTALRLVVMYGKGFYRVKEEEVSVDRVDHLLVVVQDHGARFLTLLKKYLSFTKLVVKGTSKDFIAEEWDELNQVAVFLCGTVYFLKWGLSDGSYYAAALGISLIGVLLSWSRIGPARKLHLRSSTRTEVQLYM
+>sp|Q96MU5|CQ077_HUMAN Uncharacterized protein C17orf77 OS=Homo sapiens OX=9606 GN=C17orf77 PE=2 SV=2
+MDELALSFSLTCLLPENRASLSPSQPLSFQCLKAPATLTWEDEKQQRWGQPHGPVSSPLLGDHRCLVPFRDLNPSSEVNTANLLESPSSLLLTSCYICSYFSFYILGEKRCHSLKRLRYSVCCKVCPNFCACGKENVSGTGQVCTGVHVGAKEQEEPGGTQALRSCGIYCLEERTDKASHEECRERSTLGRPQCTGLTPSLAGESPCPRLLPGSPTVRHLIASSCPGLSDPLPLPPGTLPLGS
+>DECOY_sp|Q96MU5|CQ077_HUMAN Uncharacterized protein C17orf77 OS=Homo sapiens OX=9606 GN=C17orf77 PE=2 SV=2
+SGLPLTGPPLPLPDSLGPCSSAILHRVTPSGPLLRPCPSEGALSPTLGTCQPRGLTSRERCEEHSAKDTREELCYIGCSRLAQTGGPEEQEKAGVHVGTCVQGTGSVNEKGCACFNPCVKCCVSYRLRKLSHCRKEGLIYFSFYSCIYCSTLLLSSPSELLNATNVESSPNLDRFPVLCRHDGLLPSSVPGHPQGWRQQKEDEWTLTAPAKLCQFSLPQSPSLSARNEPLLCTLSFSLALEDM
+>sp|Q9Y2W7|CSEN_HUMAN Calsenilin OS=Homo sapiens OX=9606 GN=KCNIP3 PE=1 SV=1
+MQPAKEVTKASDGSLLGDLGHTPLSKKEGIKWQRPRLSRQALMRCCLVKWILSSTAPQGSDSSDSELELSTVRHQPEGLDQLQAQTKFTKKELQSLYRGFKNECPTGLVDEDTFKLIYAQFFPQGDATTYAHFLFNAFDADGNGAIHFEDFVVGLSILLRGTVHEKLKWAFNLYDINKDGYITKEEMLAIMKSIYDMMGRHTYPILREDAPAEHVERFFEKMDRNQDGVVTIEEFLEACQKDENIMSSMQLFENVI
+>DECOY_sp|Q9Y2W7|CSEN_HUMAN Calsenilin OS=Homo sapiens OX=9606 GN=KCNIP3 PE=1 SV=1
+IVNEFLQMSSMINEDKQCAELFEEITVVGDQNRDMKEFFREVHEAPADERLIPYTHRGMMDYISKMIALMEEKTIYGDKNIDYLNFAWKLKEHVTGRLLISLGVVFDEFHIAGNGDADFANFLFHAYTTADGQPFFQAYILKFTDEDVLGTPCENKFGRYLSQLEKKTFKTQAQLQDLGEPQHRVTSLELESDSSDSGQPATSSLIWKVLCCRMLAQRSLRPRQWKIGEKKSLPTHGLDGLLSGDSAKTVEKAPQM
+>sp|P04141|CSF2_HUMAN Granulocyte-macrophage colony-stimulating factor OS=Homo sapiens OX=9606 GN=CSF2 PE=1 SV=1
+MWLQSLLLLGTVACSISAPARSPSPSTQPWEHVNAIQEARRLLNLSRDTAAEMNETVEVISEMFDLQEPTCLQTRLELYKQGLRGSLTKLKGPLTMMASHYKQHCPPTPETSCATQIITFESFKENLKDFLLVIPFDCWEPVQE
+>DECOY_sp|P04141|CSF2_HUMAN Granulocyte-macrophage colony-stimulating factor OS=Homo sapiens OX=9606 GN=CSF2 PE=1 SV=1
+EQVPEWCDFPIVLLFDKLNEKFSEFTIIQTACSTEPTPPCHQKYHSAMMTLPGKLKTLSGRLGQKYLELRTQLCTPEQLDFMESIVEVTENMEAATDRSLNLLRRAEQIANVHEWPQTSPSPSRAPASISCAVTGLLLLSQLWM
+>sp|P67870|CSK2B_HUMAN Casein kinase II subunit beta OS=Homo sapiens OX=9606 GN=CSNK2B PE=1 SV=1
+MSSSEEVSWISWFCGLRGNEFFCEVDEDYIQDKFNLTGLNEQVPHYRQALDMILDLEPDEELEDNPNQSDLIEQAAEMLYGLIHARYILTNRGIAQMLEKYQQGDFGYCPRVYCENQPMLPIGLSDIPGEAMVKLYCPKCMDVYTPKSSRHHHTDGAYFGTGFPHMLFMVHPEYRPKRPANQFVPRLYGFKIHPMAYQLQLQAASNFKSPVKTIR
+>DECOY_sp|P67870|CSK2B_HUMAN Casein kinase II subunit beta OS=Homo sapiens OX=9606 GN=CSNK2B PE=1 SV=1
+RITKVPSKFNSAAQLQLQYAMPHIKFGYLRPVFQNAPRKPRYEPHVMFLMHPFGTGFYAGDTHHHRSSKPTYVDMCKPCYLKVMAEGPIDSLGIPLMPQNECYVRPCYGFDGQQYKELMQAIGRNTLIYRAHILGYLMEAAQEILDSQNPNDELEEDPELDLIMDLAQRYHPVQENLGTLNFKDQIYDEDVECFFENGRLGCFWSIWSVEESSSM
+>sp|A8MUP2|CSKMT_HUMAN Citrate synthase-lysine N-methyltransferase CSKMT, mitochondrial OS=Homo sapiens OX=9606 GN=CSKMT PE=1 SV=1
+MAALRRMLHLPSLMMGTCRPFAGSLADSCLADRCLWDRLHAQPRLGTVPTFDWFFGYDEVQGLLLPLLQEAQAASPLRVLDVGCGTSSLCTGLYTKSPHPVDVLGVDFSPVAVAHMNSLLEGGPGQTPLCPGHPASSLHFMHADAQNLGAVASSGSFQLLLDKGTWDAVARGGLPRAYQLLSECLRVLNPQGTLIQFSDEDPDVRLPCLEQGSYGWTVTVQELGPFRGITYFAYLIQGSH
+>DECOY_sp|A8MUP2|CSKMT_HUMAN Citrate synthase-lysine N-methyltransferase CSKMT, mitochondrial OS=Homo sapiens OX=9606 GN=CSKMT PE=1 SV=1
+HSGQILYAFYTIGRFPGLEQVTVTWGYSGQELCPLRVDPDEDSFQILTGQPNLVRLCESLLQYARPLGGRAVADWTGKDLLLQFSGSSAVAGLNQADAHMFHLSSAPHGPCLPTQGPGGELLSNMHAVAVPSFDVGLVDVPHPSKTYLGTCLSSTGCGVDLVRLPSAAQAEQLLPLLLGQVEDYGFFWDFTPVTGLRPQAHLRDWLCRDALCSDALSGAFPRCTGMMLSPLHLMRRLAAM
+>sp|P41240|CSK_HUMAN Tyrosine-protein kinase CSK OS=Homo sapiens OX=9606 GN=CSK PE=1 SV=1
+MSAIQAAWPSGTECIAKYNFHGTAEQDLPFCKGDVLTIVAVTKDPNWYKAKNKVGREGIIPANYVQKREGVKAGTKLSLMPWFHGKITREQAERLLYPPETGLFLVRESTNYPGDYTLCVSCDGKVEHYRIMYHASKLSIDEEVYFENLMQLVEHYTSDADGLCTRLIKPKVMEGTVAAQDEFYRSGWALNMKELKLLQTIGKGEFGDVMLGDYRGNKVAVKCIKNDATAQAFLAEASVMTQLRHSNLVQLLGVIVEEKGGLYIVTEYMAKGSLVDYLRSRGRSVLGGDCLLKFSLDVCEAMEYLEGNNFVHRDLAARNVLVSEDNVAKVSDFGLTKEASSTQDTGKLPVKWTAPEALREKKFSTKSDVWSFGILLWEIYSFGRVPYPRIPLKDVVPRVEKGYKMDAPDGCPPAVYEVMKNCWHLDAAMRPSFLQLREQLEHIKTHELHL
+>DECOY_sp|P41240|CSK_HUMAN Tyrosine-protein kinase CSK OS=Homo sapiens OX=9606 GN=CSK PE=1 SV=1
+LHLEHTKIHELQERLQLFSPRMAADLHWCNKMVEYVAPPCGDPADMKYGKEVRPVVDKLPIRPYPVRGFSYIEWLLIGFSWVDSKTSFKKERLAEPATWKVPLKGTDQTSSAEKTLGFDSVKAVNDESVLVNRAALDRHVFNNGELYEMAECVDLSFKLLCDGGLVSRGRSRLYDVLSGKAMYETVIYLGGKEEVIVGLLQVLNSHRLQTMVSAEALFAQATADNKICKVAVKNGRYDGLMVDGFEGKGITQLLKLEKMNLAWGSRYFEDQAAVTGEMVKPKILRTCLGDADSTYHEVLQMLNEFYVEEDISLKSAHYMIRYHEVKGDCSVCLTYDGPYNTSERVLFLGTEPPYLLREAQERTIKGHFWPMLSLKTGAKVGERKQVYNAPIIGERGVKNKAKYWNPDKTVAVITLVDGKCFPLDQEATGHFNYKAICETGSPWAAQIASM
+>sp|Q13098|CSN1_HUMAN COP9 signalosome complex subunit 1 OS=Homo sapiens OX=9606 GN=GPS1 PE=1 SV=4
+MPLPVQVFNLQGAVEPMQIDVDPQEDPQNAPDVNYVVENPSLDLEQYAASYSGLMRIERLQFIADHCPTLRVEALKMALSFVQRTFNVDMYEEIHRKLSEATRSSLRELQNAPDAIPESGVEPPALDTAWVEATRKKALLKLEKLDTDLKNYKGNSIKESIRRGHDDLGDHYLDCGDLSNALKCYSRARDYCTSAKHVINMCLNVIKVSVYLQNWSHVLSYVSKAESTPEIAEQRGERDSQTQAILTKLKCAAGLAELAARKYKQAAKCLLLASFDHCDFPELLSPSNVAIYGGLCALATFDRQELQRNVISSSSFKLFLELEPQVRDIIFKFYESKYASCLKMLDEMKDNLLLDMYLAPHVRTLYTQIRNRALIQYFSPYVSADMHRMAAAFNTTVAALEDELTQLILEGLISARVDSHSKILYARDVDQRSTTFEKSLLMGKEFQRRAKAMMLRAAVLRNQIHVKSPPREGSQGELTPANSQSRMSTNM
+>DECOY_sp|Q13098|CSN1_HUMAN COP9 signalosome complex subunit 1 OS=Homo sapiens OX=9606 GN=GPS1 PE=1 SV=4
+MNTSMRSQSNAPTLEGQSGERPPSKVHIQNRLVAARLMMAKARRQFEKGMLLSKEFTTSRQDVDRAYLIKSHSDVRASILGELILQTLEDELAAVTTNFAAAMRHMDASVYPSFYQILARNRIQTYLTRVHPALYMDLLLNDKMEDLMKLCSAYKSEYFKFIIDRVQPELELFLKFSSSSIVNRQLEQRDFTALACLGGYIAVNSPSLLEPFDCHDFSALLLCKAAQKYKRAALEALGAACKLKTLIAQTQSDREGRQEAIEPTSEAKSVYSLVHSWNQLYVSVKIVNLCMNIVHKASTCYDRARSYCKLANSLDGCDLYHDGLDDHGRRISEKISNGKYNKLDTDLKELKLLAKKRTAEVWATDLAPPEVGSEPIADPANQLERLSSRTAESLKRHIEEYMDVNFTRQVFSLAMKLAEVRLTPCHDAIFQLREIRMLGSYSAAYQELDLSPNEVVYNVDPANQPDEQPDVDIQMPEVAGQLNFVQVPLPM
+>sp|P61201|CSN2_HUMAN COP9 signalosome complex subunit 2 OS=Homo sapiens OX=9606 GN=COPS2 PE=1 SV=1
+MSDMEDDFMCDDEEDYDLEYSEDSNSEPNVDLENQYYNSKALKEDDPKAALSSFQKVLELEGEKGEWGFKALKQMIKINFKLTNFPEMMNRYKQLLTYIRSAVTRNYSEKSINSILDYISTSKQMDLLQEFYETTLEALKDAKNDRLWFKTNTKLGKLYLEREEYGKLQKILRQLHQSCQTDDGEDDLKKGTQLLEIYALEIQMYTAQKNNKKLKALYEQSLHIKSAIPHPLIMGVIRECGGKMHLREGEFEKAHTDFFEAFKNYDESGSPRRTTCLKYLVLANMLMKSGINPFDSQEAKPYKNDPEILAMTNLVSAYQNNDITEFEKILKTNHSNIMDDPFIREHIEELLRNIRTQVLIKLIKPYTRIHIPFISKELNIDVADVESLLVQCILDNTIHGRIDQVNQLLELDHQKRGGARYTALDKWTNQLNSLNQAVVSKLA
+>DECOY_sp|P61201|CSN2_HUMAN COP9 signalosome complex subunit 2 OS=Homo sapiens OX=9606 GN=COPS2 PE=1 SV=1
+ALKSVVAQNLSNLQNTWKDLATYRAGGRKQHDLELLQNVQDIRGHITNDLICQVLLSEVDAVDINLEKSIFPIHIRTYPKILKILVQTRINRLLEEIHERIFPDDMINSHNTKLIKEFETIDNNQYASVLNTMALIEPDNKYPKAEQSDFPNIGSKMLMNALVLYKLCTTRRPSGSEDYNKFAEFFDTHAKEFEGERLHMKGGCERIVGMILPHPIASKIHLSQEYLAKLKKNNKQATYMQIELAYIELLQTGKKLDDEGDDTQCSQHLQRLIKQLKGYEERELYLKGLKTNTKFWLRDNKADKLAELTTEYFEQLLDMQKSTSIYDLISNISKESYNRTVASRIYTLLQKYRNMMEPFNTLKFNIKIMQKLAKFGWEGKEGELELVKQFSSLAAKPDDEKLAKSNYYQNELDVNPESNSDESYELDYDEEDDCMFDDEMDSM
+>sp|Q9UNS2|CSN3_HUMAN COP9 signalosome complex subunit 3 OS=Homo sapiens OX=9606 GN=COPS3 PE=1 SV=3
+MASALEQFVNSVRQLSAQGQMTQLCELINKSGELLAKNLSHLDTVLGALDVQEHSLGVLAVLFVKFSMPSVPDFETLFSQVQLFISTCNGEHIRYATDTFAGLCHQLTNALVERKQPLRGIGILKQAIDKMQMNTNQLTSIHADLCQLCLLAKCFKPALPYLDVDMMDICKENGAYDAKHFLCYYYYGGMIYTGLKNFERALYFYEQAITTPAMAVSHIMLESYKKYILVSLILLGKVQQLPKYTSQIVGRFIKPLSNAYHELAQVYSTNNPSELRNLVNKHSETFTRDNNMGLVKQCLSSLYKKNIQRLTKTFLTLSLQDMASRVQLSGPQEAEKYVLHMIEDGEIFASINQKDGMVSFHDNPEKYNNPAMLHNIDQEMLKCIELDERLKAMDQEITVNPQFVQKSMGSQEDDSGNKPSSYS
+>DECOY_sp|Q9UNS2|CSN3_HUMAN COP9 signalosome complex subunit 3 OS=Homo sapiens OX=9606 GN=COPS3 PE=1 SV=3
+SYSSPKNGSDDEQSGMSKQVFQPNVTIEQDMAKLREDLEICKLMEQDINHLMAPNNYKEPNDHFSVMGDKQNISAFIEGDEIMHLVYKEAEQPGSLQVRSAMDQLSLTLFTKTLRQINKKYLSSLCQKVLGMNNDRTFTESHKNVLNRLESPNNTSYVQALEHYANSLPKIFRGVIQSTYKPLQQVKGLLILSVLIYKKYSELMIHSVAMAPTTIAQEYFYLAREFNKLGTYIMGGYYYYCLFHKADYAGNEKCIDMMDVDLYPLAPKFCKALLCLQCLDAHISTLQNTNMQMKDIAQKLIGIGRLPQKREVLANTLQHCLGAFTDTAYRIHEGNCTSIFLQVQSFLTEFDPVSPMSFKVFLVALVGLSHEQVDLAGLVTDLHSLNKALLEGSKNILECLQTMQGQASLQRVSNVFQELASAM
+>sp|Q9UBW8|CSN7A_HUMAN COP9 signalosome complex subunit 7a OS=Homo sapiens OX=9606 GN=COPS7A PE=1 SV=1
+MSAEVKVTGQNQEQFLLLAKSAKGAALATLIHQVLEAPGVYVFGELLDMPNVRELAESDFASTFRLLTVFAYGTYADYLAEARNLPPLTEAQKNKLRHLSVVTLAAKVKCIPYAVLLEALALRNVRQLEDLVIEAVYADVLRGSLDQRNQRLEVDYSIGRDIQRQDLSAIARTLQEWCVGCEVVLSGIEEQVSRANQHKEQQLGLKQQIESEVANLKKTIKVTTAAAAAATSQDPEQHLTELREPAPGTNQRQPSKKASKGKGLRGSAKIWSKSN
+>DECOY_sp|Q9UBW8|CSN7A_HUMAN COP9 signalosome complex subunit 7a OS=Homo sapiens OX=9606 GN=COPS7A PE=1 SV=1
+NSKSWIKASGRLGKGKSAKKSPQRQNTGPAPERLETLHQEPDQSTAAAAAATTVKITKKLNAVESEIQQKLGLQQEKHQNARSVQEEIGSLVVECGVCWEQLTRAIASLDQRQIDRGISYDVELRQNRQDLSGRLVDAYVAEIVLDELQRVNRLALAELLVAYPICKVKAALTVVSLHRLKNKQAETLPPLNRAEALYDAYTGYAFVTLLRFTSAFDSEALERVNPMDLLEGFVYVGPAELVQHILTALAAGKASKALLLFQEQNQGTVKVEASM
+>sp|Q99627|CSN8_HUMAN COP9 signalosome complex subunit 8 OS=Homo sapiens OX=9606 GN=COPS8 PE=1 SV=1
+MPVAVMAESAFSFKKLLDQCENQELEAPGGIATPPVYGQLLALYLLHNDMNNARYLWKRIPPAIKSANSELGGIWSVGQRIWQRDFPGIYTTINAHQWSETVQPIMEALRDATRRRAFALVSQAYTSIIADDFAAFVGLPVEEAVKGILEQGWQADSTTRMVLPRKPVAGALDVSFNKFIPLSEPAPVPPIPNEQQLARLTDYVAFLEN
+>DECOY_sp|Q99627|CSN8_HUMAN COP9 signalosome complex subunit 8 OS=Homo sapiens OX=9606 GN=COPS8 PE=1 SV=1
+NELFAVYDTLRALQQENPIPPVPAPESLPIFKNFSVDLAGAVPKRPLVMRTTSDAQWGQELIGKVAEEVPLGVFAAFDDAIISTYAQSVLAFARRRTADRLAEMIPQVTESWQHANITTYIGPFDRQWIRQGVSWIGGLESNASKIAPPIRKWLYRANNMDNHLLYLALLQGYVPPTAIGGPAELEQNECQDLLKKFSFASEAMVAVPM
+>sp|O60676|CST8_HUMAN Cystatin-8 OS=Homo sapiens OX=9606 GN=CST8 PE=1 SV=1
+MPRCRWLSLILLTIPLALVARKDPKKNETGVLRKLKPVNASNANVKQCLWFAMQEYNKESEDKYVFLVVKTLQAQLQVTNLLEYLIDVEIARSDCRKPLSTNEICAIQENSKLKRKLSCSFLVGALPWNGEFTVMEKKCEDA
+>DECOY_sp|O60676|CST8_HUMAN Cystatin-8 OS=Homo sapiens OX=9606 GN=CST8 PE=1 SV=1
+ADECKKEMVTFEGNWPLAGVLFSCSLKRKLKSNEQIACIENTSLPKRCDSRAIEVDILYELLNTVQLQAQLTKVVLFVYKDESEKNYEQMAFWLCQKVNANSANVPKLKRLVGTENKKPDKRAVLALPITLLILSLWRCRPM
+>sp|Q9H4G1|CST9L_HUMAN Cystatin-9-like OS=Homo sapiens OX=9606 GN=CST9L PE=1 SV=1
+MLGLPWKGGLSWALLLLLLGSQILLIYAWHFHEQRDCDEHNVMARYLPATVEFAVHTFNQQSKDYYAYRLGHILNSWKEQVESKTVFSMELLLGRTRCGKFEDDIDNCHFQESTELNNTFTCFFTISTRPWMTQFSLLNKTCLEGFH
+>DECOY_sp|Q9H4G1|CST9L_HUMAN Cystatin-9-like OS=Homo sapiens OX=9606 GN=CST9L PE=1 SV=1
+HFGELCTKNLLSFQTMWPRTSITFFCTFTNNLETSEQFHCNDIDDEFKGCRTRGLLLEMSFVTKSEVQEKWSNLIHGLRYAYYDKSQQNFTHVAFEVTAPLYRAMVNHEDCDRQEHFHWAYILLIQSGLLLLLLAWSLGGKWPLGLM
+>sp|Q9BUV8|CT024_HUMAN Uncharacterized protein C20orf24 OS=Homo sapiens OX=9606 GN=C20orf24 PE=2 SV=1
+MSGGRRKEEPPQPQLANGALKVSVWSKVLRSDAAWEDKDEFLDVIYWFRQIIAVVLGVIWGVLPLRGFLGIAGFCLINAGVLYLYFSNYLQIDEEEYGGTWELTKEGFMTSFALFMVCVADSFTTGHLDHLLHCHPL
+>DECOY_sp|Q9BUV8|CT024_HUMAN Uncharacterized protein C20orf24 OS=Homo sapiens OX=9606 GN=C20orf24 PE=2 SV=1
+LPHCHLLHDLHGTTFSDAVCVMFLAFSTMFGEKTLEWTGGYEEEDIQLYNSFYLYLVGANILCFGAIGLFGRLPLVGWIVGLVVAIIQRFWYIVDLFEDKDEWAADSRLVKSWVSVKLAGNALQPQPPEEKRRGGSM
+>sp|Q16619|CTF1_HUMAN Cardiotrophin-1 OS=Homo sapiens OX=9606 GN=CTF1 PE=1 SV=1
+MSRREGSLEDPQTDSSVSLLPHLEAKIRQTHSLAHLLTKYAEQLLQEYVQLQGDPFGLPSFSPPRLPVAGLSAPAPSHAGLPVHERLRLDAAALAALPPLLDAVCRRQAELNPRAPRLLRRLEDAARQARALGAAVEALLAALGAANRGPRAEPPAATASAASATGVFPAKVLGLRVCGLYREWLSRTEGDLGQLLPGGSA
+>DECOY_sp|Q16619|CTF1_HUMAN Cardiotrophin-1 OS=Homo sapiens OX=9606 GN=CTF1 PE=1 SV=1
+ASGGPLLQGLDGETRSLWERYLGCVRLGLVKAPFVGTASAASATAAPPEARPGRNAAGLAALLAEVAAGLARAQRAADELRRLLRPARPNLEAQRRCVADLLPPLAALAAADLRLREHVPLGAHSPAPASLGAVPLRPPSFSPLGFPDGQLQVYEQLLQEAYKTLLHALSHTQRIKAELHPLLSVSSDTQPDELSGERRSM
+>sp|P78358|CTG1B_HUMAN Cancer/testis antigen 1 OS=Homo sapiens OX=9606 GN=CTAG1A PE=1 SV=1
+MQAEGRGTGGSTGDADGPGGPGIPDGPGGNAGGPGEAGATGGRGPRGAGAARASGPGGGAPRGPHGGAASGLNGCCRCGARGPESRLLEFYLAMPFATPMEAELARRSLAQDAPPLPVPGVLLKEFTVSGNILTIRLTAADHRQLQLSISSCLQQLSLLMWITQCFLPVFLAQPPSGQRR
+>DECOY_sp|P78358|CTG1B_HUMAN Cancer/testis antigen 1 OS=Homo sapiens OX=9606 GN=CTAG1A PE=1 SV=1
+RRQGSPPQALFVPLFCQTIWMLLSLQQLCSSISLQLQRHDAATLRITLINGSVTFEKLLVGPVPLPPADQALSRRALEAEMPTAFPMALYFELLRSEPGRAGCRCCGNLGSAAGGHPGRPAGGGPGSARAAGAGRPGRGGTAGAEGPGGANGGPGDPIGPGGPGDADGTSGGTGRGEAQM
+>sp|Q86UF2|CTGE6_HUMAN cTAGE family member 6 OS=Homo sapiens OX=9606 GN=CTAGE6 PE=2 SV=2
+MEEPGATPQPYLGLVLEELRRVVAALPESMRPDENPYGFPSELVVCAAVIGFFVVLLFLWRSFRSVRSRLYVGREQKLGATLSGLIEEKCKLLEKFSLIQKEYEGYEVESSLEDASFEKAAAEEARSLEATCEKLNRSNSELEDEILCLEKDLKEEKSKHSQQDELMADISKSIQSLEDESKSLKSQIAEAKIICKTFKMSEERRAIAIKDALNENSQLQTSHKQLFQQEAEVWKGEVSELNKQKITFEDSKVHAEQVLNDKENHIKTLTGHLPMMKDQAAVLEEDTTDDDNLELEVNSQWENGANLDDPLKGALKKLIHAAKLNVSLKSLEGERNHIIIQLSEVDKTKEELTEHIKNLQTQQESLQSENIYFESENQKLQQKLKIMTEFYQEDEMKLYRKLTVEENYRIEEEEKLSKVEEKLSRATEQLETYRKLAKDLEEELERTVHFYQKQVISYEKRGHDNWLAARTAERNLSDLRKENAHNKQKLTETELKFELLEKDPNALDVSNTAFGREHAPNGPAPLGQRSSETRAFLSPQTLLEDPLGLSPVLPEGGGRGPRGPGNPLDHQITNERGEPSCDRLTDPHRAPSDTGSLSSPVEQDCKMMFPPPGQSYPDSALPPQREDRFYSNSERLSGSAEPRSFKMTSLDKMDGSMPSEMESSRNDAKDDLGNLNVPDSSLPAENEATGPGFIPPPLAPVRGPLFPVDTRGPFMRRGPPFPPPPPGTMFGASRGYFPPRDFPGPPHAPFAMRNIYPPRGLPPYFHPRPGFYPNPAF
+>DECOY_sp|Q86UF2|CTGE6_HUMAN cTAGE family member 6 OS=Homo sapiens OX=9606 GN=CTAGE6 PE=2 SV=2
+FAPNPYFGPRPHFYPPLGRPPYINRMAFPAHPPGPFDRPPFYGRSAGFMTGPPPPPFPPGRRMFPGRTDVPFLPGRVPALPPPIFGPGTAENEAPLSSDPVNLNGLDDKADNRSSEMESPMSGDMKDLSTMKFSRPEASGSLRESNSYFRDERQPPLASDPYSQGPPPFMMKCDQEVPSSLSGTDSPARHPDTLRDCSPEGRENTIQHDLPNGPGRPGRGGGEPLVPSLGLPDELLTQPSLFARTESSRQGLPAPGNPAHERGFATNSVDLANPDKELLEFKLETETLKQKNHANEKRLDSLNREATRAALWNDHGRKEYSIVQKQYFHVTRELEEELDKALKRYTELQETARSLKEEVKSLKEEEEIRYNEEVTLKRYLKMEDEQYFETMIKLKQQLKQNESEFYINESQLSEQQTQLNKIHETLEEKTKDVESLQIIIHNREGELSKLSVNLKAAHILKKLAGKLPDDLNAGNEWQSNVELELNDDDTTDEELVAAQDKMMPLHGTLTKIHNEKDNLVQEAHVKSDEFTIKQKNLESVEGKWVEAEQQFLQKHSTQLQSNENLADKIAIARREESMKFTKCIIKAEAIQSKLSKSEDELSQISKSIDAMLEDQQSHKSKEEKLDKELCLIEDELESNSRNLKECTAELSRAEEAAAKEFSADELSSEVEYGEYEKQILSFKELLKCKEEILGSLTAGLKQERGVYLRSRVSRFSRWLFLLVVFFGIVAACVVLESPFGYPNEDPRMSEPLAAVVRRLEELVLGLYPQPTAGPEEM
+>sp|Q8WY07|CTR3_HUMAN Cationic amino acid transporter 3 OS=Homo sapiens OX=9606 GN=SLC7A3 PE=1 SV=1
+MPWQAFRRFGQKLVRRRTLESGMAETRLARCLSTLDLVALGVGSTLGAGVYVLAGEVAKDKAGPSIVICFLVAALSSVLAGLCYAEFGARVPRSGSAYLYSYVTVGELWAFTTGWNLILSYVIGTASVARAWSSAFDNLIGNHISKTLQGSIALHVPHVLAEYPDFFALGLVLLLTGLLALGASESALVTKVFTGVNLLVLGFVMISGFVKGDVHNWKLTEEDYELAMAELNDTYSLGPLGSGGFVPFGFEGILRGAATCFYAFVGFDCIATTGEEAQNPQRSIPMGIVISLSVCFLAYFAVSSALTLMMPYYQLQPESPLPEAFLYIGWAPARYVVAVGSLCALSTSLLGSMFPMPRVIYAMAEDGLLFRVLARIHTGTRTPIIATVVSGIIAAFMAFLFKLTDLVDLMSIGTLLAYSLVSICVLILRYQPDQETKTGEEVELQEEAITTESEKLTLWGLFFPLNSIPTPLSGQIVYVCSSLLAVLLTALCLVLAQWSVPLLSGDLLWTAVVVLLLLLIIGIIVVIWRQPQSSTPLHFKVPALPLLPLMSIFVNIYLMMQMTAGTWARFGVWMLIGFAIYFGYGIQHSLEEIKSNQPSRKSRAKTVDLDPGTLYVHSV
+>DECOY_sp|Q8WY07|CTR3_HUMAN Cationic amino acid transporter 3 OS=Homo sapiens OX=9606 GN=SLC7A3 PE=1 SV=1
+VSHVYLTGPDLDVTKARSKRSPQNSKIEELSHQIGYGFYIAFGILMWVGFRAWTGATMQMMLYINVFISMLPLLPLAPVKFHLPTSSQPQRWIVVIIGIILLLLLVVVATWLLDGSLLPVSWQALVLCLATLLVALLSSCVYVIQGSLPTPISNLPFFLGWLTLKESETTIAEEQLEVEEGTKTEQDPQYRLILVCISVLSYALLTGISMLDVLDTLKFLFAMFAAIIGSVVTAIIPTRTGTHIRALVRFLLGDEAMAYIVRPMPFMSGLLSTSLACLSGVAVVYRAPAWGIYLFAEPLPSEPQLQYYPMMLTLASSVAFYALFCVSLSIVIGMPISRQPNQAEEGTTAICDFGVFAYFCTAAGRLIGEFGFPVFGGSGLPGLSYTDNLEAMALEYDEETLKWNHVDGKVFGSIMVFGLVLLNVGTFVKTVLASESAGLALLGTLLLVLGLAFFDPYEALVHPVHLAISGQLTKSIHNGILNDFASSWARAVSATGIVYSLILNWGTTFAWLEGVTVYSYLYASGSRPVRAGFEAYCLGALVSSLAAVLFCIVISPGAKDKAVEGALVYVGAGLTSGVGLAVLDLTSLCRALRTEAMGSELTRRRVLKQGFRRFAQWPM
+>sp|Q7RTX7|CTSR4_HUMAN Cation channel sperm-associated protein 4 OS=Homo sapiens OX=9606 GN=CATSPER4 PE=2 SV=1
+MRDNEKAWWQQWTSHTGLEGWGGTQEDRMGFGGAVAALRGRPSPLQSTIHESYGRPEEQVLINRQEITNKADAWDMQEFITHMYIKQLLRHPAFQLLLALLLVINAITIALRTNSYLDQKHYELFSTIDDIVLTILLCEVLLGWLNGFWIFWKDGWNILNFIIVFILLLRFFINEINIPSINYTLRALRLVHVCMAVEPLARIIRVILQSVPDMANIMVLILFFMLVFSVFGVTLFGAFVPKHFQNIQVALYTLFICITQDGWVDIYSDFQTEKREYAMEIGGAIYFTIFITIGAFIGINLFVIVVTTNLEQMMKAGEQGQQQRITFSETGAEEEEENDQLPLVHCVVARSEKSGLLQEPLAGGPLSNLSENTCDNFCLVLEAIQENLRQYKEIRDELNMIVEEVRAIRFNQEQESEVLNRRSSTSGSLETTSSKDIRQMSQQQDLLSALVSMEKVHDSSSQILLKKHKSSH
+>DECOY_sp|Q7RTX7|CTSR4_HUMAN Cation channel sperm-associated protein 4 OS=Homo sapiens OX=9606 GN=CATSPER4 PE=2 SV=1
+HSSKHKKLLIQSSSDHVKEMSVLASLLDQQQSMQRIDKSSTTELSGSTSSRRNLVESEQEQNFRIARVEEVIMNLEDRIEKYQRLNEQIAELVLCFNDCTNESLNSLPGGALPEQLLGSKESRAVVCHVLPLQDNEEEEEAGTESFTIRQQQGQEGAKMMQELNTTVVIVFLNIGIFAGITIFITFYIAGGIEMAYERKETQFDSYIDVWGDQTICIFLTYLAVQINQFHKPVFAGFLTVGFVSFVLMFFLILVMINAMDPVSQLIVRIIRALPEVAMCVHVLRLARLTYNISPINIENIFFRLLLIFVIIFNLINWGDKWFIWFGNLWGLLVECLLITLVIDDITSFLEYHKQDLYSNTRLAITIANIVLLLALLLQFAPHRLLQKIYMHTIFEQMDWADAKNTIEQRNILVQEEPRGYSEHITSQLPSPRGRLAAVAGGFGMRDEQTGGWGELGTHSTWQQWWAKENDRM
+>sp|Q8WZ74|CTTB2_HUMAN Cortactin-binding protein 2 OS=Homo sapiens OX=9606 GN=CTTNBP2 PE=1 SV=1
+MATDGASCEPDLSRAPEDAAGAAAEAAKKEFDVDTLSKSELRMLLSVMEGELEARDLVIEALRARRKEVFIQERYGRFNLNDPFLALQRDYEAGAGDKEKKPVCTNPLSILEAVMAHCKKMQERMSAQLAAAESRQKKLEMEKLQLQALEQEHKKLAARLEEERGKNKQVVLMLVKECKQLSGKVIEEAQKLEDVMAKLEEEKKKTNELEEELSAEKRRSTEMEAQMEKQLSEFDTEREQLRAKLNREEAHTTDLKEEIDKMRKMIEQLKRGSDSKPSLSLPRKTKDRRLVSISVGTEGTVTRSVACQTDLVTENADHMKKLPLTMPVKPSTGSPLVSANAKGSVCTSATMARPGIDRQASYGDLIGASVPAFPPPSANKIEENGPSTGSTPDPTSSTPPLPSNAAPPTAQTPGIAPQNSQAPPMHSLHSPCANTSLHPGLNPRIQAARFRFQGNANDPDQNGNTTQSPPSRDVSPTSRDNLVAKQLARNTVTQALSRFTSPQAGAPSRPGVPPTGDVGTHPPVGRTSLKTHGVARVDRGNPPPIPPKKPGLSQTPSPPHPQLKVIIDSSRASNTGAKVDNKTVASTPSSLPQGNRVINEENLPKSSSPQLPPKPSIDLTVAPAGCAVSALATSQVGAWPAATPGLNQPACSDSSLVIPTTIAFCSSINPVSASSCRPGASDSLLVTASGWSPSLTPLLMSGGPAPLAGRPTLLQQAAAQGNVTLLSMLLNEEGLDINYSCEDGHSALYSAAKNGHTDCVRLLLSAEAQVNAADKNGFTPLCAAAAQGHFECVELLISYDANINHAADGGQTPLYLACKNGNKECIKLLLEAGTNRSVKTTDGWTPVHAAVDTGNVDSLKLLMYHRIPAHGNSFNEEESESSVFDLDGGEESPEGISKPVVPADLINHANREGWTAAHIAASKGFKNCLEILCRHGGLEPERRDKCNRTVHDVATDDCKHLLENLNALKIPLRISVGEIEPSNYGSDDLECENTICALNIRKQTSWDDFSKAVSQALTNHFQAISSDGWWSLEDVTCNNTTDSNIGLSARSIRSITLGNVPWSVGQSFAQSPWDFMRKNKAEHITVLLSGPQEGCLSSVTYASMIPLQMMQNYLRLVEQYHNVIFHGPEGSLQDYIVHQLALCLKHRQMAAGFSCEIVRAEVDAGFSKEQLLDLFISSACLIPVKQSPSKKKIIIILENLEKSSLSELLRDFLAPLENRSTESPCTFQKGNGLSECYYFHENCFLMGTIAKACLQGSDLLVQQHFRWVQLRWDGEPMQGLLQRFLRRKVVNKFKGQAPSPCDPVCKIVDWALSVWRQLNSCLARLGTPEALLGPKYFLSCPVVPGHAQVTVKWMSKLWNGVIAPRVQEAILSRASVKRQPGFGQTTAKRHPSQGQQAVVKAALSILLNKAVLHGCPLPRAELDQHTADFKGGSFPLSIVSSYNTCNKKKGESGAWRKVNTSPRRKSGRFSLPTWNKPDLSTEGMKNKTISQLNCNRNASLSKQKSLENDLSLTLNLDQRLSLGSDDEADLVKELQSMCSSKSESDISKIADSRDDLRMFDSSGNNPVLSATINNLRMPVSQKEVSPLSSHQTTECSNSKSKTELGVSRVKSFLPVPRSKVTQCSQNTKRSSSSSNTRQIEINNNSKEVNWNLHKNEHLEKPNK
+>DECOY_sp|Q8WZ74|CTTB2_HUMAN Cortactin-binding protein 2 OS=Homo sapiens OX=9606 GN=CTTNBP2 PE=1 SV=1
+KNPKELHENKHLNWNVEKSNNNIEIQRTNSSSSSRKTNQSCQTVKSRPVPLFSKVRSVGLETKSKSNSCETTQHSSLPSVEKQSVPMRLNNITASLVPNNGSSDFMRLDDRSDAIKSIDSESKSSCMSQLEKVLDAEDDSGLSLRQDLNLTLSLDNELSKQKSLSANRNCNLQSITKNKMGETSLDPKNWTPLSFRGSKRRPSTNVKRWAGSEGKKKNCTNYSSVISLPFSGGKFDATHQDLEARPLPCGHLVAKNLLISLAAKVVAQQGQSPHRKATTQGFGPQRKVSARSLIAEQVRPAIVGNWLKSMWKVTVQAHGPVVPCSLFYKPGLLAEPTGLRALCSNLQRWVSLAWDVIKCVPDCPSPAQGKFKNVVKRRLFRQLLGQMPEGDWRLQVWRFHQQVLLDSGQLCAKAITGMLFCNEHFYYCESLGNGKQFTCPSETSRNELPALFDRLLESLSSKELNELIIIIKKKSPSQKVPILCASSIFLDLLQEKSFGADVEARVIECSFGAAMQRHKLCLALQHVIYDQLSGEPGHFIVNHYQEVLRLYNQMMQLPIMSAYTVSSLCGEQPGSLLVTIHEAKNKRMFDWPSQAFSQGVSWPVNGLTISRISRASLGINSDTTNNCTVDELSWWGDSSIAQFHNTLAQSVAKSFDDWSTQKRINLACITNECELDDSGYNSPEIEGVSIRLPIKLANLNELLHKCDDTAVDHVTRNCKDRREPELGGHRCLIELCNKFGKSAAIHAATWGERNAHNILDAPVVPKSIGEPSEEGGDLDFVSSESEEENFSNGHAPIRHYMLLKLSDVNGTDVAAHVPTWGDTTKVSRNTGAELLLKICEKNGNKCALYLPTQGGDAAHNINADYSILLEVCEFHGQAAAACLPTFGNKDAANVQAEASLLLRVCDTHGNKAASYLASHGDECSYNIDLGEENLLMSLLTVNGQAAAQQLLTPRGALPAPGGSMLLPTLSPSWGSATVLLSDSAGPRCSSASVPNISSCFAITTPIVLSSDSCAPQNLGPTAAPWAGVQSTALASVACGAPAVTLDISPKPPLQPSSSKPLNEENIVRNGQPLSSPTSAVTKNDVKAGTNSARSSDIIVKLQPHPPSPTQSLGPKKPPIPPPNGRDVRAVGHTKLSTRGVPPHTGVDGTPPVGPRSPAGAQPSTFRSLAQTVTNRALQKAVLNDRSTPSVDRSPPSQTTNGNQDPDNANGQFRFRAAQIRPNLGPHLSTNACPSHLSHMPPAQSNQPAIGPTQATPPAANSPLPPTSSTPDPTSGTSPGNEEIKNASPPPFAPVSAGILDGYSAQRDIGPRAMTASTCVSGKANASVLPSGTSPKVPMTLPLKKMHDANETVLDTQCAVSRTVTGETGVSISVLRRDKTKRPLSLSPKSDSGRKLQEIMKRMKDIEEKLDTTHAEERNLKARLQERETDFESLQKEMQAEMETSRRKEASLEEELENTKKKEEELKAMVDELKQAEEIVKGSLQKCEKVLMLVVQKNKGREEELRAALKKHEQELAQLQLKEMELKKQRSEAAALQASMREQMKKCHAMVAELISLPNTCVPKKEKDGAGAEYDRQLALFPDNLNFRGYREQIFVEKRRARLAEIVLDRAELEGEMVSLLMRLESKSLTDVDFEKKAAEAAAGAADEPARSLDPECSAGDTAM
+>sp|Q9NYP8|CU062_HUMAN Uncharacterized protein C21orf62 OS=Homo sapiens OX=9606 GN=C21orf62 PE=2 SV=2
+MAPPSRHCLLLISTLGVFALNCFTKGQKNSTLIFTRENTIRNCSCSADIRDCDYSLANLMCNCKTVLPLAVERTSYNGHLTIWFTDTSALGHLLNFTLVQDLKLSLCSTNTLPTEYLAICGLKRLRINMEAKHPFPEQSLLIHSGGDSDSREKPMWLHKGWQPCMYISFLDMALFNRDSAFKSYSIENVTSIANNFPDFSYFRTFPMPSNKSYVVTFIY
+>DECOY_sp|Q9NYP8|CU062_HUMAN Uncharacterized protein C21orf62 OS=Homo sapiens OX=9606 GN=C21orf62 PE=2 SV=2
+YIFTVVYSKNSPMPFTRFYSFDPFNNAISTVNEISYSKFASDRNFLAMDLFSIYMCPQWGKHLWMPKERSDSDGGSHILLSQEPFPHKAEMNIRLRKLGCIALYETPLTNTSCLSLKLDQVLTFNLLHGLASTDTFWITLHGNYSTREVALPLVTKCNCMLNALSYDCDRIDASCSCNRITNERTFILTSNKQGKTFCNLAFVGLTSILLLCHRSPPAM
+>sp|Q14999|CUL7_HUMAN Cullin-7 OS=Homo sapiens OX=9606 GN=CUL7 PE=1 SV=2
+MVGELRYREFRVPLGPGLHAYPDELIRQRVGHDGHPEYQIRWLILRRGDEGDGGSGQVDCKAEHILLWMSKDEIYANCHKMLGEDGQVIGPSQESAGEVGALDKSVLEEMETDVKSLIQRALRQLEECVGTIPPAPLLHTVHVLSAYASIEPLTGVFKDPRVLDLLMHMLSSPDYQIRWSAGRMIQALSSHDAGTRTQILLSLSQQEAIEKHLDFDSRCALLALFAQATLSEHPMSFEGIQLPQVPGRVLFSLVKRYLHVTSLLDQLNDSAAEPGAQNTSAPEELSGERGQLELEFSMAMGTLISELVQAMRWDQASDRPRSSARSPGSIFQPQLADVSPGLPAAQAQPSFRRSRRFRPRSEFASGNTYALYVRDTLQPGMRVRMLDDYEEISAGDEGEFRQSNNGVPPVQVFWESTGRTYWVHWHMLEILGFEEDIEDMVEADEYQGAVASRVLGRALPAWRWRPMTELYAVPYVLPEDEDTEECEHLTLAEWWELLFFIKKLDGPDHQEVLQILQENLDGEILDDEILAELAVPIELAQDLLLTLPQRLNDSALRDLINCHVYKKYGPEALAGNQAYPSLLEAQEDVLLLDAQAQAKDSEDAAKVEAKEPPSQSPNTPLQRLVEGYGPAGKILLDLEQALSSEGTQENKVKPLLLQLQRQPQPFLALMQSLDTPETNRTLHLTVLRILKQLVDFPEALLLPWHEAVDACMACLRSPNTDREVLQELIFFLHRLTSVSRDYAVVLNQLGARDAISKALEKHLGKLELAQELRDMVFKCEKHAHLYRKLITNILGGCIQMVLGQIEDHRRTHQPINIPFFDVFLRYLCQGSSVEVKEDKCWEKVEVSSNPHRASKLTDHNPKTYWESNGSAGSHYITLHMRRGILIRQLTLLVASEDSSYMPARVVVCGGDSTSSLHTELNSVNVMPSASRVILLENLTRFWPIIQIRIKRCQQGGIDTRIRGLEILGPKPTFWPVFREQLCRHTRLFYMVRAQAWSQDMAEDRRSLLHLSSRLNGALRQEQNFADRFLPDDEAAQALGKTCWEALVSPVVQNITSPDEDGISPLGWLLDQYLECQEAVFNPQSRGPAFFSRVRRLTHLLVHVEPCEAPPPVVATPRPKGRNRSHDWSSLATRGLPSSIMRNLTRCWRAVVEKQVNNFLTSSWRDDDFVPRYCEHFNILQNSSSELFGPRAAFLLALQNGCAGALLKLPFLKAAHVSEQFARHIDQQIQGSRIGGAQEMERLAQLQQCLQAVLIFSGLEIATTFEHYYQHYMADRLLGVVSSWLEGAVLEQIGPCFPNRLPQQMLQSLSTSKELQRQFHVYQLQQLDQELLKLEDTEKKIQVGLGASGKEHKSEKEEEAGAAAVVDVAEGEEEEEENEDLYYEGAMPEVSVLVLSRHSWPVASICHTLNPRTCLPSYLRGTLNRYSNFYNKSQSHPALERGSQRRLQWTWLGWAELQFGNQTLHVSTVQMWLLLYLNDLKAVSVESLLAFSGLSADMLNQAIGPLTSSRGPLDLHEQKDIPGGVLKIRDGSKEPRSRWDIVRLIPPQTYLQAEGEDGQNLEKRRNLLNCLIVRILKAHGDEGLHIDQLVCLVLEAWQKGPCPPRGLVSSLGKGSACSSTDVLSCILHLLGKGTLRRHDDRPQVLSYAVPVTVMEPHTESLNPGSSGPNPPLTFHTLQIRSRGVPYASCTATQSFSTFR
+>DECOY_sp|Q14999|CUL7_HUMAN Cullin-7 OS=Homo sapiens OX=9606 GN=CUL7 PE=1 SV=2
+RFTSFSQTATCSAYPVGRSRIQLTHFTLPPNPGSSGPNLSETHPEMVTVPVAYSLVQPRDDHRRLTGKGLLHLICSLVDTSSCASGKGLSSVLGRPPCPGKQWAELVLCVLQDIHLGEDGHAKLIRVILCNLLNRRKELNQGDEGEAQLYTQPPILRVIDWRSRPEKSGDRIKLVGGPIDKQEHLDLPGRSSTLPGIAQNLMDASLGSFALLSEVSVAKLDNLYLLLWMQVTSVHLTQNGFQLEAWGLWTWQLRRQSGRELAPHSQSKNYFNSYRNLTGRLYSPLCTRPNLTHCISAVPWSHRSLVLVSVEPMAGEYYLDENEEEEEEGEAVDVVAAAGAEEEKESKHEKGSAGLGVQIKKETDELKLLEQDLQQLQYVHFQRQLEKSTSLSQLMQQPLRNPFCPGIQELVAGELWSSVVGLLRDAMYHQYYHEFTTAIELGSFILVAQLCQQLQALREMEQAGGIRSGQIQQDIHRAFQESVHAAKLFPLKLLAGACGNQLALLFAARPGFLESSSNQLINFHECYRPVFDDDRWSSTLFNNVQKEVVARWCRTLNRMISSPLGRTALSSWDHSRNRGKPRPTAVVPPPAECPEVHVLLHTLRRVRSFFAPGRSQPNFVAEQCELYQDLLWGLPSIGDEDPSTINQVVPSVLAEWCTKGLAQAAEDDPLFRDAFNQEQRLAGNLRSSLHLLSRRDEAMDQSWAQARVMYFLRTHRCLQERFVPWFTPKPGLIELGRIRTDIGGQQCRKIRIQIIPWFRTLNELLIVRSASPMVNVSNLETHLSSTSDGGCVVVRAPMYSSDESAVLLTLQRILIGRRMHLTIYHSGASGNSEWYTKPNHDTLKSARHPNSSVEVKEWCKDEKVEVSSGQCLYRLFVDFFPINIPQHTRRHDEIQGLVMQICGGLINTILKRYLHAHKECKFVMDRLEQALELKGLHKELAKSIADRAGLQNLVVAYDRSVSTLRHLFFILEQLVERDTNPSRLCAMCADVAEHWPLLLAEPFDVLQKLIRLVTLHLTRNTEPTDLSQMLALFPQPQRQLQLLLPKVKNEQTGESSLAQELDLLIKGAPGYGEVLRQLPTNPSQSPPEKAEVKAADESDKAQAQADLLLVDEQAELLSPYAQNGALAEPGYKKYVHCNILDRLASDNLRQPLTLLLDQALEIPVALEALIEDDLIEGDLNEQLIQLVEQHDPGDLKKIFFLLEWWEALTLHECEETDEDEPLVYPVAYLETMPRWRWAPLARGLVRSAVAGQYEDAEVMDEIDEEFGLIELMHWHVWYTRGTSEWFVQVPPVGNNSQRFEGEDGASIEEYDDLMRVRMGPQLTDRVYLAYTNGSAFESRPRFRRSRRFSPQAQAAPLGPSVDALQPQFISGPSRASSRPRDSAQDWRMAQVLESILTGMAMSFELELQGREGSLEEPASTNQAGPEAASDNLQDLLSTVHLYRKVLSFLVRGPVQPLQIGEFSMPHESLTAQAFLALLACRSDFDLHKEIAEQQSLSLLIQTRTGADHSSLAQIMRGASWRIQYDPSSLMHMLLDLVRPDKFVGTLPEISAYASLVHVTHLLPAPPITGVCEELQRLARQILSKVDTEMEELVSKDLAGVEGASEQSPGIVQGDEGLMKHCNAYIEDKSMWLLIHEAKCDVQGSGGDGEDGRRLILWRIQYEPHGDHGVRQRILEDPYAHLGPGLPVRFERYRLEGVM
+>sp|Q9Y442|CV024_HUMAN Uncharacterized protein C22orf24 OS=Homo sapiens OX=9606 GN=C22orf24 PE=2 SV=1
+MTTQEDTTGLHQKTSLWTMSRPGAKKVMNSYFIAGCGPAVCYYAVSWLRQGFSINLTSFGRIPWPHAGVGTCPSPQSWISPFLQSHREHHYAKTSSHSQPSPQSLALCLAYSRCSINICQMTECISLASGCHQALREPGRSEESFWIPATPYISNIFSES
+>DECOY_sp|Q9Y442|CV024_HUMAN Uncharacterized protein C22orf24 OS=Homo sapiens OX=9606 GN=C22orf24 PE=2 SV=1
+SESFINSIYPTAPIWFSEESRGPERLAQHCGSALSICETMQCINISCRSYALCLALSQPSPQSHSSTKAYHHERHSQLFPSIWSQPSPCTGVGAHPWPIRGFSTLNISFGQRLWSVAYYCVAPGCGAIFYSNMVKKAGPRSMTWLSTKQHLGTTDEQTTM
+>sp|C9J442|CV046_HUMAN Uncharacterized protein C22orf46 OS=Homo sapiens OX=9606 GN=C22orf46 PE=2 SV=1
+MLLSLLGACAVVGPFHGPEWEPVQGLLSQNHSCRDPQCCGNLLVLCLFLVWQVRHCWHQVTRTRFSTRNVIKVPLQKRAVPSMRCETVFKLTPEFFSPGKSRGLDSQQCAQRQRWGYRRSLQESWAQNLLSPQHPCPGPPSGVHTHSEPIFCTTSISNTCLLPQNSSWKAWQVPWCLHDGQTRPALDMCQEMEQLLLHSQERLVSLEPVISVRSRPTSMTLTTSLPNLLSAERLQFCPQRAPA
+>DECOY_sp|C9J442|CV046_HUMAN Uncharacterized protein C22orf46 OS=Homo sapiens OX=9606 GN=C22orf46 PE=2 SV=1
+APARQPCFQLREASLLNPLSTTLTMSTPRSRVSIVPELSVLREQSHLLLQEMEQCMDLAPRTQGDHLCWPVQWAKWSSNQPLLCTNSISTTCFIPESHTHVGSPPGPCPHQPSLLNQAWSEQLSRRYGWRQRQACQQSDLGRSKGPSFFEPTLKFVTECRMSPVARKQLPVKIVNRTSFRTRTVQHWCHRVQWVLFLCLVLLNGCCQPDRCSHNQSLLGQVPEWEPGHFPGVVACAGLLSLLM
+>sp|P14854|CX6B1_HUMAN Cytochrome c oxidase subunit 6B1 OS=Homo sapiens OX=9606 GN=COX6B1 PE=1 SV=2
+MAEDMETKIKNYKTAPFDSRFPNQNQTRNCWQNYLDFHRCQKAMTAKGGDISVCEWYQRVYQSLCPTSWVTDWDEQRAEGTFPGKI
+>DECOY_sp|P14854|CX6B1_HUMAN Cytochrome c oxidase subunit 6B1 OS=Homo sapiens OX=9606 GN=COX6B1 PE=1 SV=2
+IKGPFTGEARQEDWDTVWSTPCLSQYVRQYWECVSIDGGKATMAKQCRHFDLYNQWCNRTQNQNPFRSDFPATKYNKIKTEMDEAM
+>sp|P80162|CXCL6_HUMAN C-X-C motif chemokine 6 OS=Homo sapiens OX=9606 GN=CXCL6 PE=1 SV=4
+MSLPSSRAARVPGPSGSLCALLALLLLLTPPGPLASAGPVSAVLTELRCTCLRVTLRVNPKTIGKLQVFPAGPQCSKVEVVASLKNGKQVCLDPEAPFLKKVIQKILDSGNKKN
+>DECOY_sp|P80162|CXCL6_HUMAN C-X-C motif chemokine 6 OS=Homo sapiens OX=9606 GN=CXCL6 PE=1 SV=4
+NKKNGSDLIKQIVKKLFPAEPDLCVQKGNKLSAVVEVKSCQPGAPFVQLKGITKPNVRLTVRLCTCRLETLVASVPGASALPGPPTLLLLLALLACLSGSPGPVRAARSSPLSM
+>sp|O14625|CXL11_HUMAN C-X-C motif chemokine 11 OS=Homo sapiens OX=9606 GN=CXCL11 PE=1 SV=1
+MSVKGMAIALAVILCATVVQGFPMFKRGRCLCIGPGVKAVKVADIEKASIMYPSNNCDKIEVIITLKENKGQRCLNPKSKQARLIIKKVERKNF
+>DECOY_sp|O14625|CXL11_HUMAN C-X-C motif chemokine 11 OS=Homo sapiens OX=9606 GN=CXCL11 PE=1 SV=1
+FNKREVKKIILRAQKSKPNLCRQGKNEKLTIIVEIKDCNNSPYMISAKEIDAVKVAKVGPGICLCRGRKFMPFGQVVTACLIVALAIAMGKVSM
+>sp|Q53TN4|CYBR1_HUMAN Cytochrome b reductase 1 OS=Homo sapiens OX=9606 GN=CYBRD1 PE=1 SV=1
+MAMEGYWRFLALLGSALLVGFLSVIFALVWVLHYREGLGWDGSALEFNWHPVLMVTGFVFIQGIAIIVYRLPWTWKCSKLLMKSIHAGLNAVAAILAIISVVAVFENHNVNNIANMYSLHSWVGLIAVICYLLQLLSGFSVFLLPWAPLSLRAFLMPIHVYSGIVIFGTVIATALMGLTEKLIFSLRDPAYSTFPPEGVFVNTLGLLILVFGALIFWIVTRPQWKRPKEPNSTILHPNGGTEQGARGSMPAYSGNNMDKSDSELNSEVAARKRNLALDEAGQRSTM
+>DECOY_sp|Q53TN4|CYBR1_HUMAN Cytochrome b reductase 1 OS=Homo sapiens OX=9606 GN=CYBRD1 PE=1 SV=1
+MTSRQGAEDLALNRKRAAVESNLESDSKDMNNGSYAPMSGRAGQETGGNPHLITSNPEKPRKWQPRTVIWFILAGFVLILLGLTNVFVGEPPFTSYAPDRLSFILKETLGMLATAIVTGFIVIGSYVHIPMLFARLSLPAWPLLFVSFGSLLQLLYCIVAILGVWSHLSYMNAINNVNHNEFVAVVSIIALIAAVANLGAHISKMLLKSCKWTWPLRYVIIAIGQIFVFGTVMLVPHWNFELASGDWGLGERYHLVWVLAFIVSLFGVLLASGLLALFRWYGEMAM
+>sp|P35663|CYLC1_HUMAN Cylicin-1 OS=Homo sapiens OX=9606 GN=CYLC1 PE=2 SV=2
+MSLPRLLKVNIRTYDNSIPISESSRKSWNQKHFALTFPKPLQRGTNDKSRPLKSQITVTRHDKRKLEEGQKPAHKWIRHSFRKILQWPPIYTAAREQTPFRHLYTSKTHLKKAEYKKSKDEKGGTPLKKDSKKKGGSYATNPESKQIVEEKTKRQNEADKTPLKSSHENEQSKKSKSSSETNPESQNSKTVSKNCSQKDKKDSKNSKKTNTEFLHTKNNPKKDLKRSKTSNDPISEICSENSLNVDFLMLVGQSDDESINFDAWLRNYSQNNSKNYSLKYTKYTKKDTKKNAKKSSDAESEDSKDAKKDSKKVKKNVKKDDKKKDVKKDTESTDAESGDSKDERKDTKKDKKKLKKDDKKKDTKKYPESTDTESGDAKDARNDSRNLKKASKNDDKKKDAKKITFSTDSESELESKESQKDEKKDKKDSKTDNKKSVKNDEESTDADSEPKGDSKKGKKDEKKGKKDSKKDDKKKDAKKNAESTEMESDLELKKDKKHSKEKKGSKKDIKKDARKDTESTDAEFDESSKTGFKTSTKIKGSDTESEESLYKPGAKKKIDESDGTSANSKMEGLESKRGFRMSSKKTTFNEKGEKASTGRVPPSREKPPLPACEPSLPSPKVRRLCWCKMPPPPPKPRYAPLPEAPWIHKLL
+>DECOY_sp|P35663|CYLC1_HUMAN Cylicin-1 OS=Homo sapiens OX=9606 GN=CYLC1 PE=2 SV=2
+LLKHIWPAEPLPAYRPKPPPPPMKCWCLRRVKPSPLSPECAPLPPKERSPPVRGTSAKEGKENFTTKKSSMRFGRKSELGEMKSNASTGDSEDIKKKAGPKYLSEESETDSGKIKTSTKFGTKSSEDFEADTSETDKRADKKIDKKSGKKEKSHKKDKKLELDSEMETSEANKKADKKKDDKKSDKKGKKEDKKGKKSDGKPESDADTSEEDNKVSKKNDTKSDKKDKKEDKQSEKSELESESDTSFTIKKADKKKDDNKSAKKLNRSDNRADKADGSETDTSEPYKKTDKKKDDKKLKKKDKKTDKREDKSDGSEADTSETDKKVDKKKDDKKVNKKVKKSDKKADKSDESEADSSKKANKKTDKKTYKTYKLSYNKSNNQSYNRLWADFNISEDDSQGVLMLFDVNLSNESCIESIPDNSTKSRKLDKKPNNKTHLFETNTKKSNKSDKKDKQSCNKSVTKSNQSEPNTESSSKSKKSQENEHSSKLPTKDAENQRKTKEEVIQKSEPNTAYSGGKKKSDKKLPTGGKEDKSKKYEAKKLHTKSTYLHRFPTQERAATYIPPWQLIKRFSHRIWKHAPKQGEELKRKDHRTVTIQSKLPRSKDNTGRQLPKPFTLAFHKQNWSKRSSESIPISNDYTRINVKLLRPLSM
+>sp|H7BZ55|CRCC2_HUMAN Putative ciliary rootlet coiled-coil protein 2 OS=Homo sapiens OX=9606 GN=CROCC2 PE=5 SV=3
+MSSASSEPGNGDASQQPLLGLDTVIQRLEDTILSPTASREDRALTVRGEGRQASPTPVPTRIREIVAGSLSEEPPQAGVQEPTATVARVQEENELLQEELTRLGDLLAQASAERDELASRCRVVSEQLQARLETTEAQLRRSELEHSVDLEEALGRLEAAEERSTGLCQVNALLREQLEHMKKANDALGRELAGMTGSVQRLQGELELRRWAQRQTRSGGLGQPRDLLLLWRQAVVLGTDLAELRVATERGLADLQADTARTARRLHTACLNLDSNLRLSASSTASTLGQQLRDKAGEMLQLQGRWDAEKVALQARLSEQTLLVEKLTEQNEQKAKTIAALRTDLQNLVAQEDARCLELAGSSITELGEPRRPLRSPQRATSPHQGASPPHICSPATLDPALQAMRAAIERRWRREQELCLQLKSSQALVASLQEQLSESRRELWAAQKLQQERAREQAREREALRGQLEAQRLEVQQCRASCKLLGREKAALEMVVEELKGKADAADAEKQGLEAEAAELQRSLLLQAERREELALRRERSCRALETSQGRLQQLEEKVSGLREELASVREALSTAQLQRDVVESEREGLRSALARAECSNADLELLVRRLKSEGVEQRDSLAAMAALMEGLAQDKSALNHLALQLEQERDQLREQRKTLEQERARAGEQLAQAEQQLALERAERRGLQQACGRLEQRQEQLEGQAALLGREKAQLQEQVGQVTCQKQALEEQLAQSLQDQEAQMGTLQQALQGKDALSEERAQLLAKQEALERQGRLAAEEAADLRVERDSLESSLLEAQQLATKLQEQLEEEARSAGLARQALQVEMEQLQSDWEVQEMKLRQDTVRLQRQVAQQEREAQRALESQALAHREALAQLQREKETLSLTLAEEKEVARCQLEQEKELVTKSAAEREALKGEIQSLKQERDESLLQLEHKMQQVMALSLKETERSLLSEELSRARRTLERVQQEAQSQQEQAQATISATTEELKALQAQFEDAITAHQRETTALRESLQDLAAERGDVEREAERLRAQLTVAQEGLAALRQELQGVEESREGLHREAQEARRALSDEAREKDVLLLFNSELRATICRAEQEKASFKRSKEEKEQKLLILEEAQAALQQEASALRAHLWELEQAGGDARQELRELHRQVRTLKAENQRRSGEAHELQAQCSQEVLELRRQAAKAEAKHEGARKEVLGLQRKLAEVEAAGEAHGQRLQEHLRESRGAEQTLRAELHSVTRKLQEASGVADALQARLDQACHRIHSLEQELAQAEGARQDAEAQLGRLCSTLRRGLGLQRQSPWASPEQPGSPTKGSDSSQALPGQQGTSPPARPHSPLRWPSPTPGGRSSELMDVATVQDILRDFVQKLREAQRERDDSRIQMATLSSRLSEAECRCARAQSRVGQLQKALAEAEEGQRRVEGALSSARAARALQKEALRRLELEHLASVRAAGQEKRRLQEQLETLRQALEESRRHSQGLAKQGKLLEEQLTNLEHRCQKAEVSLEPLRQMEQETLKREEDVARLGAEKEQLDQSLNSLHQEVDGALRQNQQLQAQMTEMEQAHTQRLQDLTAQHQRDLATEAERLHGARPQATQALESQEWTHQQQVKVLEEQVASLKEQLDQEVQWRQQAHLGQAFQTGHAQRD
+>DECOY_sp|H7BZ55|CRCC2_HUMAN Putative ciliary rootlet coiled-coil protein 2 OS=Homo sapiens OX=9606 GN=CROCC2 PE=5 SV=3
+DRQAHGTQFAQGLHAQQRWQVEQDLQEKLSAVQEELVKVQQQHTWEQSELAQTAQPRAGHLREAETALDRQHQATLDQLRQTHAQEMETMQAQLQQNQRLAGDVEQHLSNLSQDLQEKEAGLRAVDEERKLTEQEMQRLPELSVEAKQCRHELNTLQEELLKGQKALGQSHRRSEELAQRLTELQEQLRRKEQGAARVSALHELELRRLAEKQLARAARASSLAGEVRRQGEEAEALAKQLQGVRSQARACRCEAESLRSSLTAMQIRSDDRERQAERLKQVFDRLIDQVTAVDMLESSRGGPTPSPWRLPSHPRAPPSTGQQGPLAQSSDSGKTPSGPQEPSAWPSQRQLGLGRRLTSCLRGLQAEADQRAGEAQALEQELSHIRHCAQDLRAQLADAVGSAEQLKRTVSHLEARLTQEAGRSERLHEQLRQGHAEGAAEVEALKRQLGLVEKRAGEHKAEAKAAQRRLELVEQSCQAQLEHAEGSRRQNEAKLTRVQRHLERLEQRADGGAQELEWLHARLASAEQQLAAQAEELILLKQEKEEKSRKFSAKEQEARCITARLESNFLLLVDKERAEDSLARRAEQAERHLGERSEEVGQLEQRLAALGEQAVTLQARLREAEREVDGREAALDQLSERLATTERQHATIADEFQAQLAKLEETTASITAQAQEQQSQAEQQVRELTRRARSLEESLLSRETEKLSLAMVQQMKHELQLLSEDREQKLSQIEGKLAEREAASKTVLEKEQELQCRAVEKEEALTLSLTEKERQLQALAERHALAQSELARQAEREQQAVQRQLRVTDQRLKMEQVEWDSQLQEMEVQLAQRALGASRAEEELQEQLKTALQQAELLSSELSDREVRLDAAEEAALRGQRELAEQKALLQAREESLADKGQLAQQLTGMQAEQDQLSQALQEELAQKQCTVQGVQEQLQAKERGLLAAQGELQEQRQELRGCAQQLGRREARELALQQEAQALQEGARAREQELTKRQERLQDREQELQLALHNLASKDQALGEMLAAMAALSDRQEVGESKLRRVLLELDANSCEARALASRLGERESEVVDRQLQATSLAERVSALEERLGSVKEELQQLRGQSTELARCSRERRLALEERREAQLLLSRQLEAAEAELGQKEADAADAKGKLEEVVMELAAKERGLLKCSARCQQVELRQAELQGRLAERERAQERAREQQLKQAAWLERRSESLQEQLSAVLAQSSKLQLCLEQERRWRREIAARMAQLAPDLTAPSCIHPPSAGQHPSTARQPSRLPRRPEGLETISSGALELCRADEQAVLNQLDTRLAAITKAKQENQETLKEVLLTQESLRAQLAVKEADWRGQLQLMEGAKDRLQQGLTSATSSASLRLNSDLNLCATHLRRATRATDAQLDALGRETAVRLEALDTGLVVAQRWLLLLDRPQGLGGSRTQRQAWRRLELEGQLRQVSGTMGALERGLADNAKKMHELQERLLANVQCLGTSREEAAELRGLAEELDVSHELESRRLQAETTELRAQLQESVVRCRSALEDREASAQALLDGLRTLEEQLLENEEQVRAVTATPEQVGAQPPEESLSGAVIERIRTPVPTPSAQRGEGRVTLARDERSATPSLITDELRQIVTDLGLLPQQSADGNGPESSASSM
+>sp|Q96HD1|CREL1_HUMAN Cysteine-rich with EGF-like domain protein 1 OS=Homo sapiens OX=9606 GN=CRELD1 PE=1 SV=3
+MAPWPPKGLVPAMLWGLSLFLNLPGPIWLQPSPPPQSSPPPQPHPCHTCRGLVDSFNKGLERTIRDNFGGGNTAWEEENLSKYKDSETRLVEVLEGVCSKSDFECHRLLELSEELVESWWFHKQQEAPDLFQWLCSDSLKLCCPAGTFGPSCLPCPGGTERPCGGYGQCEGEGTRGGSGHCDCQAGYGGEACGQCGLGYFEAERNASHLVCSACFGPCARCSGPEESNCLQCKKGWALHHLKCVDIDECGTEGANCGADQFCVNTEGSYECRDCAKACLGCMGAGPGRCKKCSPGYQQVGSKCLDVDECETEVCPGENKQCENTEGGYRCICAEGYKQMEGICVKEQIPESAGFFSEMTEDELVVLQQMFFGIIICALATLAAKGDLVFTAIFIGAVAAMTGYWLSERSDRVLEGFIKGR
+>DECOY_sp|Q96HD1|CREL1_HUMAN Cysteine-rich with EGF-like domain protein 1 OS=Homo sapiens OX=9606 GN=CRELD1 PE=1 SV=3
+RGKIFGELVRDSRESLWYGTMAAVAGIFIATFVLDGKAALTALACIIIGFFMQQLVVLEDETMESFFGASEPIQEKVCIGEMQKYGEACICRYGGETNECQKNEGPCVETECEDVDLCKSGVQQYGPSCKKCRGPGAGMCGLCAKACDRCEYSGETNVCFQDAGCNAGETGCEDIDVCKLHHLAWGKKCQLCNSEEPGSCRACPGFCASCVLHSANREAEFYGLGCQGCAEGGYGAQCDCHGSGGRTGEGECQGYGGCPRETGGPCPLCSPGFTGAPCCLKLSDSCLWQFLDPAEQQKHFWWSEVLEESLELLRHCEFDSKSCVGELVEVLRTESDKYKSLNEEEWATNGGGFNDRITRELGKNFSDVLGRCTHCPHPQPPPSSQPPPSPQLWIPGPLNLFLSLGWLMAPVLGKPPWPAM
+>sp|Q13324|CRFR2_HUMAN Corticotropin-releasing factor receptor 2 OS=Homo sapiens OX=9606 GN=CRHR2 PE=1 SV=2
+MDAALLHSLLEANCSLALAEELLLDGWGPPLDPEGPYSYCNTTLDQIGTCWPRSAAGALVERPCPEYFNGVKYNTTRNAYRECLENGTWASKINYSQCEPILDDKQRKYDLHYRIALVVNYLGHCVSVAALVAAFLLFLALRSIRCLRNVIHWNLITTFILRNVMWFLLQLVDHEVHESNEVWCRCITTIFNYFVVTNFFWMFVEGCYLHTAIVMTYSTERLRKCLFLFIGWCIPFPIIVAWAIGKLYYENEQCWFGKEPGDLVDYIYQGPIILVLLINFVFLFNIVRILMTKLRASTTSETIQYRKAVKATLVLLPLLGITYMLFFVNPGEDDLSQIMFIYFNSFLQSFQGFFVSVFYCFFNGEVRSAVRKRWHRWQDHHSLRVPMARAMSIPTSPTRISFHSIKQTAAV
+>DECOY_sp|Q13324|CRFR2_HUMAN Corticotropin-releasing factor receptor 2 OS=Homo sapiens OX=9606 GN=CRHR2 PE=1 SV=2
+VAATQKISHFSIRTPSTPISMARAMPVRLSHHDQWRHWRKRVASRVEGNFFCYFVSVFFGQFSQLFSNFYIFMIQSLDDEGPNVFFLMYTIGLLPLLVLTAKVAKRYQITESTTSARLKTMLIRVINFLFVFNILLVLIIPGQYIYDVLDGPEKGFWCQENEYYLKGIAWAVIIPFPICWGIFLFLCKRLRETSYTMVIATHLYCGEVFMWFFNTVVFYNFITTICRCWVENSEHVEHDVLQLLFWMVNRLIFTTILNWHIVNRLCRISRLALFLLFAAVLAAVSVCHGLYNVVLAIRYHLDYKRQKDDLIPECQSYNIKSAWTGNELCERYANRTTNYKVGNFYEPCPREVLAGAASRPWCTGIQDLTTNCYSYPGEPDLPPGWGDLLLEEALALSCNAELLSHLLAADM
+>sp|P46109|CRKL_HUMAN Crk-like protein OS=Homo sapiens OX=9606 GN=CRKL PE=1 SV=1
+MSSARFDSSDRSAWYMGPVSRQEAQTRLQGQRHGMFLVRDSSTCPGDYVLSVSENSRVSHYIINSLPNRRFKIGDQEFDHLPALLEFYKIHYLDTTTLIEPAPRYPSPPMGSVSAPNLPTAEDNLEYVRTLYDFPGNDAEDLPFKKGEILVIIEKPEEQWWSARNKDGRVGMIPVPYVEKLVRSSPHGKHGNRNSNSYGIPEPAHAYAQPQTTTPLPAVSGSPGAAITPLPSTQNGPVFAKAIQKRVPCAYDKTALALEVGDIVKVTRMNINGQWEGEVNGRKGLFPFTHVKIFDPQNPDENE
+>DECOY_sp|P46109|CRKL_HUMAN Crk-like protein OS=Homo sapiens OX=9606 GN=CRKL PE=1 SV=1
+ENEDPNQPDFIKVHTFPFLGKRGNVEGEWQGNINMRTVKVIDGVELALATKDYACPVRKQIAKAFVPGNQTSPLPTIAAGPSGSVAPLPTTTQPQAYAHAPEPIGYSNSNRNGHKGHPSSRVLKEVYPVPIMGVRGDKNRASWWQEEPKEIIVLIEGKKFPLDEADNGPFDYLTRVYELNDEATPLNPASVSGMPPSPYRPAPEILTTTDLYHIKYFELLAPLHDFEQDGIKFRRNPLSNIIYHSVRSNESVSLVYDGPCTSSDRVLFMGHRQGQLRTQAEQRSVPGMYWASRDSSDFRASSM
+>sp|A5YM72|CRNS1_HUMAN Carnosine synthase 1 OS=Homo sapiens OX=9606 GN=CARNS1 PE=1 SV=3
+MLLCLSPAWLMKVPAPGQPGEAALLVSKAVSFHPGGLTFLDDFVPPRRATYFLAGLGLGPGRGREAAELARDLTCPTGASAELARLLEDRLLTRQLLAQQGGVAVPATLAFTYKPPGLLRGGDASLGLRLVELSGKEGQETLVKEEVEAFLRSEALGDILQVAVKLSGWRWRGRQAWRLHPRAELGAVVDTVLALLEKLEEEESVLVEAVYPPAQLPCSDGPSPGPGLAVRICAVVCRTQGDRPLLSKVVCGVGRGDRPLRHHNSLPRTLEVALAQCGLGEEAQVAAVRQRVKAAAEAALAAVLALEAGLSAEQRGGRRAHTDFLGVDFALTAAGGVLTPVALELNGGLCLEACGALEGLWAAPRLGPAADEAVAAPLVETMLRRSARCLMEGKQLLVVGAGGVSKKFVWEAARDYGLQLHLVESDPNHFASQLVQTFIHFDMTEHRRDEENARLLAELVRARGLKLDGCFSYWDDCLVLTALLCQELGLPCSSPAAMRLAKQKSLTQLHLLHHHGPPWPAPSLHAVPCCPLESEADVERAVHQVPLPGVMKLEFGAGAVGVRLVEDAPQCHEHFSRITRDLQGEADHPGIGLGWGNAMLLMEFVEGTEHDVDLVLFGGRLLAAFVSDNGPTRLPGFTETAACMPTGLAPEQEAQMVQAAFRCCLGCGLLDGVFNVELKLTGAGPRLIEINPRMGGFYLRDWILELYGVDLLLAAVMVACGLRPALPTRPRARGHLVGVMCLVSQHLQALSSTASRETLQALHDRGLLRLNLLEEALVPGEYEEPYCSVACAGPSPTEARLRLLGLCQGLGIDGPSYPVAHFLSHFK
+>DECOY_sp|A5YM72|CRNS1_HUMAN Carnosine synthase 1 OS=Homo sapiens OX=9606 GN=CARNS1 PE=1 SV=3
+KFHSLFHAVPYSPGDIGLGQCLGLLRLRAETPSPGACAVSCYPEEYEGPVLAEELLNLRLLGRDHLAQLTERSATSSLAQLHQSVLCMVGVLHGRARPRTPLAPRLGCAVMVAALLLDVGYLELIWDRLYFGGMRPNIEILRPGAGTLKLEVNFVGDLLGCGLCCRFAAQVMQAEQEPALGTPMCAATETFGPLRTPGNDSVFAALLRGGFLVLDVDHETGEVFEMLLMANGWGLGIGPHDAEGQLDRTIRSFHEHCQPADEVLRVGVAGAGFELKMVGPLPVQHVAREVDAESELPCCPVAHLSPAPWPPGHHHLLHLQTLSKQKALRMAAPSSCPLGLEQCLLATLVLCDDWYSFCGDLKLGRARVLEALLRANEEDRRHETMDFHIFTQVLQSAFHNPDSEVLHLQLGYDRAAEWVFKKSVGGAGVVLLQKGEMLCRASRRLMTEVLPAAVAEDAAPGLRPAAWLGELAGCAELCLGGNLELAVPTLVGGAATLAFDVGLFDTHARRGGRQEASLGAELALVAALAAEAAAKVRQRVAAVQAEEGLGCQALAVELTRPLSNHHRLPRDGRGVGCVVKSLLPRDGQTRCVVACIRVALGPGPSPGDSCPLQAPPYVAEVLVSEEEELKELLALVTDVVAGLEARPHLRWAQRGRWRWGSLKVAVQLIDGLAESRLFAEVEEKVLTEQGEKGSLEVLRLGLSADGGRLLGPPKYTFALTAPVAVGGQQALLQRTLLRDELLRALEASAGTPCTLDRALEAAERGRGPGLGLGALFYTARRPPVFDDLFTLGGPHFSVAKSVLLAAEGPQGPAPVKMLWAPSLCLLM
+>sp|Q13536|CROC4_HUMAN Protein CROC-4 OS=Homo sapiens OX=9606 GN=C1orf61 PE=2 SV=1
+MFLTEDLITFNLRNFLLFQLWESSFSPGAGGFCTTLPPSFLRVDDRATSSTTDSSRAPSSPRPPGSTSHCGISTRCTERCLCVLPLRTSQVPDVMAPQHDQEKFHDLAYSCLGKSFSMSNQDLYGYSTSSLALGLAWLSWETKKKNVLHLVGLDSL
+>DECOY_sp|Q13536|CROC4_HUMAN Protein CROC-4 OS=Homo sapiens OX=9606 GN=C1orf61 PE=2 SV=1
+LSDLGVLHLVNKKKTEWSLWALGLALSSTSYGYLDQNSMSFSKGLCSYALDHFKEQDHQPAMVDPVQSTRLPLVCLCRETCRTSIGCHSTSGPPRPSSPARSSDTTSSTARDDVRLFSPPLTTCFGGAGPSFSSEWLQFLLFNRLNFTILDETLFM
+>sp|O75718|CRTAP_HUMAN Cartilage-associated protein OS=Homo sapiens OX=9606 GN=CRTAP PE=1 SV=1
+MEPGRRGAAALLALLCVACALRAGRAQYERYSFRSFPRDELMPLESAYRHALDKYSGEHWAESVGYLEISLRLHRLLRDSEAFCHRNCSAAPQPEPAAGLASYPELRLFGGLLRRAHCLKRCKQGLPAFRQSQPSREVLADFQRREPYKFLQFAYFKANNLPKAIAAAHTFLLKHPDDEMMKRNMAYYKSLPGAEDYIKDLETKSYESLFIRAVRAYNGENWRTSITDMELALPDFFKAFYECLAACEGSREIKDFKDFYLSIADHYVEVLECKIQCEENLTPVIGGYPVEKFVATMYHYLQFAYYKLNDLKNAAPCAVSYLLFDQNDKVMQQNLVYYQYHRDTWGLSDEHFQPRPEAVQFFNVTTLQKELYDFAKENIMDDDEGEVVEYVDDLLELEETS
+>DECOY_sp|O75718|CRTAP_HUMAN Cartilage-associated protein OS=Homo sapiens OX=9606 GN=CRTAP PE=1 SV=1
+STEELELLDDVYEVVEGEDDDMINEKAFDYLEKQLTTVNFFQVAEPRPQFHEDSLGWTDRHYQYYVLNQQMVKDNQDFLLYSVACPAANKLDNLKYYAFQLYHYMTAVFKEVPYGGIVPTLNEECQIKCELVEVYHDAISLYFDKFDKIERSGECAALCEYFAKFFDPLALEMDTISTRWNEGNYARVARIFLSEYSKTELDKIYDEAGPLSKYYAMNRKMMEDDPHKLLFTHAAAIAKPLNNAKFYAFQLFKYPERRQFDALVERSPQSQRFAPLGQKCRKLCHARRLLGGFLRLEPYSALGAAPEPQPAASCNRHCFAESDRLLRHLRLSIELYGVSEAWHEGSYKDLAHRYASELPMLEDRPFSRFSYREYQARGARLACAVCLLALLAAAGRRGPEM
+>sp|Q6UUV9|CRTC1_HUMAN CREB-regulated transcription coactivator 1 OS=Homo sapiens OX=9606 GN=CRTC1 PE=1 SV=2
+MATSNNPRKFSEKIALHNQKQAEETAAFEEVMKDLSLTRAARLQLQKSQYLQLGPSRGQYYGGSLPNVNQIGSGTMDLPFQTPFQSSGLDTSRTTRHHGLVDRVYRERGRLGSPHRRPLSVDKHGRQADSCPYGTMYLSPPADTSWRRTNSDSALHQSTMTPTQPESFSSGSQDVHQKRVLLLTVPGMEETTSEADKNLSKQAWDTKKTGSRPKSCEVPGINIFPSADQENTTALIPATHNTGGSLPDLTNIHFPSPLPTPLDPEEPTFPALSSSSSTGNLAANLTHLGIGGAGQGMSTPGSSPQHRPAGVSPLSLSTEARRQQASPTLSPLSPITQAVAMDALSLEQQLPYAFFTQAGSQQPPPQPQPPPPPPPASQQPPPPPPPQAPVRLPPGGPLLPSASLTRGPQPPPLAVTVPSSLPQSPPENPGQPSMGIDIASAPALQQYRTSAGSPANQSPTSPVSNQGFSPGSSPQHTSTLGSVFGDAYYEQQMAARQANALSHQLEQFNMMENAISSSSLYSPGSTLNYSQAAMMGLTGSHGSLPDSQQLGYASHSGIPNIILTVTGESPPSLSKELTSSLAGVGDVSFDSDSQFPLDELKIDPLTLDGLHMLNDPDMVLADPATEDTFRMDRL
+>DECOY_sp|Q6UUV9|CRTC1_HUMAN CREB-regulated transcription coactivator 1 OS=Homo sapiens OX=9606 GN=CRTC1 PE=1 SV=2
+LRDMRFTDETAPDALVMDPDNLMHLGDLTLPDIKLEDLPFQSDSDFSVDGVGALSSTLEKSLSPPSEGTVTLIINPIGSHSAYGLQQSDPLSGHSGTLGMMAAQSYNLTSGPSYLSSSSIANEMMNFQELQHSLANAQRAAMQQEYYADGFVSGLTSTHQPSSGPSFGQNSVPSTPSQNAPSGASTRYQQLAPASAIDIGMSPQGPNEPPSQPLSSPVTVALPPPQPGRTLSASPLLPGGPPLRVPAQPPPPPPPQQSAPPPPPPPQPQPPPQQSGAQTFFAYPLQQELSLADMAVAQTIPSLPSLTPSAQQRRAETSLSLPSVGAPRHQPSSGPTSMGQGAGGIGLHTLNAALNGTSSSSSLAPFTPEEPDLPTPLPSPFHINTLDPLSGGTNHTAPILATTNEQDASPFINIGPVECSKPRSGTKKTDWAQKSLNKDAESTTEEMGPVTLLLVRKQHVDQSGSSFSEPQTPTMTSQHLASDSNTRRWSTDAPPSLYMTGYPCSDAQRGHKDVSLPRRHPSGLRGRERYVRDVLGHHRTTRSTDLGSSQFPTQFPLDMTGSGIQNVNPLSGGYYQGRSPGLQLYQSKQLQLRAARTLSLDKMVEEFAATEEAQKQNHLAIKESFKRPNNSTAM
+>sp|O43186|CRX_HUMAN Cone-rod homeobox protein OS=Homo sapiens OX=9606 GN=CRX PE=1 SV=1
+MMAYMNPGPHYSVNALALSGPSVDLMHQAVPYPSAPRKQRRERTTFTRSQLEELEALFAKTQYPDVYAREEVALKINLPESRVQVWFKNRRAKCRQQRQQQKQQQQPPGGQAKARPAKRKAGTSPRPSTDVCPDPLGISDSYSPPLPGPSGSPTTAVATVSIWSPASESPLPEAQRAGLVASGPSLTSAPYAMTYAPASAFCSSPSAYGSPSSYFSGLDPYLSPMVPQLGGPALSPLSGPSVGPSLAQSPTSLSGQSYGAYSPVDSLEFKDPTGTWKFTYNPMDPLDYKDQSAWKFQIL
+>DECOY_sp|O43186|CRX_HUMAN Cone-rod homeobox protein OS=Homo sapiens OX=9606 GN=CRX PE=1 SV=1
+LIQFKWASQDKYDLPDMPNYTFKWTGTPDKFELSDVPSYAGYSQGSLSTPSQALSPGVSPGSLPSLAPGGLQPVMPSLYPDLGSFYSSPSGYASPSSCFASAPAYTMAYPASTLSPGSAVLGARQAEPLPSESAPSWISVTAVATTPSGSPGPLPPSYSDSIGLPDPCVDTSPRPSTGAKRKAPRAKAQGGPPQQQQKQQQRQQRCKARRNKFWVQVRSEPLNIKLAVEERAYVDPYQTKAFLAELEELQSRTFTTRERRQKRPASPYPVAQHMLDVSPGSLALANVSYHPGPNMYAMM
+>sp|Q49AN0|CRY2_HUMAN Cryptochrome-2 OS=Homo sapiens OX=9606 GN=CRY2 PE=1 SV=2
+MAATVATAAAVAPAPAPGTDSASSVHWFRKGLRLHDNPALLAAVRGARCVRCVYILDPWFAASSSVGINRWRFLLQSLEDLDTSLRKLNSRLFVVRGQPADVFPRLFKEWGVTRLTFEYDSEPFGKERDAAIMKMAKEAGVEVVTENSHTLYDLDRIIELNGQKPPLTYKRFQAIISRMELPKKPVGLVTSQQMESCRAEIQENHDETYGVPSLEELGFPTEGLGPAVWQGGETEALARLDKHLERKAWVANYERPRMNANSLLASPTGLSPYLRFGCLSCRLFYYRLWDLYKKVKRNSTPPLSLFGQLLWREFFYTAATNNPRFDRMEGNPICIQIPWDRNPEALAKWAEGKTGFPWIDAIMTQLRQEGWIHHLARHAVACFLTRGDLWVSWESGVRVFDELLLDADFSVNAGSWMWLSCSAFFQQFFHCYCPVGFGRRTDPSGDYIRRYLPKLKAFPSRYIYEPWNAPESIQKAAKCIIGVDYPRPIVNHAETSRLNIERMKQIYQQLSRYRGLCLLASVPSCVEDLSHPVAEPSSSQAGSMSSAGPRPLPSGPASPKRKLEAAEEPPGEELSKRARVAELPTPELPSKDA
+>DECOY_sp|Q49AN0|CRY2_HUMAN Cryptochrome-2 OS=Homo sapiens OX=9606 GN=CRY2 PE=1 SV=2
+ADKSPLEPTPLEAVRARKSLEEGPPEEAAELKRKPSAPGSPLPRPGASSMSGAQSSSPEAVPHSLDEVCSPVSALLCLGRYRSLQQYIQKMREINLRSTEAHNVIPRPYDVGIICKAAKQISEPANWPEYIYRSPFAKLKPLYRRIYDGSPDTRRGFGVPCYCHFFQQFFASCSLWMWSGANVSFDADLLLEDFVRVGSEWSVWLDGRTLFCAVAHRALHHIWGEQRLQTMIADIWPFGTKGEAWKALAEPNRDWPIQICIPNGEMRDFRPNNTAATYFFERWLLQGFLSLPPTSNRKVKKYLDWLRYYFLRCSLCGFRLYPSLGTPSALLSNANMRPREYNAVWAKRELHKDLRALAETEGGQWVAPGLGETPFGLEELSPVGYTEDHNEQIEARCSEMQQSTVLGVPKKPLEMRSIIAQFRKYTLPPKQGNLEIIRDLDYLTHSNETVVEVGAEKAMKMIAADREKGFPESDYEFTLRTVGWEKFLRPFVDAPQGRVVFLRSNLKRLSTDLDELSQLLFRWRNIGVSSSAAFWPDLIYVCRVCRAGRVAALLAPNDHLRLGKRFWHVSSASDTGPAPAPAVAAATAVTAAM
+>sp|Q6RUI8|CS048_HUMAN Uncharacterized protein C19orf48 OS=Homo sapiens OX=9606 GN=C19orf48 PE=4 SV=1
+MTVLEAVLEIQAITGSRLLSMVPGPARPPGSCWDPTQCTRTWLLSHTPRRRWISGLPRASCRLGEEPPPLPYCDQAYGEELSIRHRETWAWLSRTDTAWPGAPGVKQARILGELLLV
+>DECOY_sp|Q6RUI8|CS048_HUMAN Uncharacterized protein C19orf48 OS=Homo sapiens OX=9606 GN=C19orf48 PE=4 SV=1
+VLLLEGLIRAQKVGPAGPWATDTRSLWAWTERHRISLEEGYAQDCYPLPPPEEGLRCSARPLGSIWRRRPTHSLLWTRTCQTPDWCSGPPRAPGPVMSLLRSGTIAQIELVAELVTM
+>sp|Q5BKX5|CS054_HUMAN UPF0692 protein C19orf54 OS=Homo sapiens OX=9606 GN=C19orf54 PE=1 SV=2
+MTSPCSPPLKPPISPPKTPVPQASSIPSPPLPPSPLDFSALPSPPWSQQTPVPPPLPLPPPPAATGPAPRHVFGLEKSQLLKEAFDKAGPVPKGREDVKRLLKLHKDRFRGDLRWILFCADLPSLIQEGPQCGLVALWMAGTLLSPPSGVPLERLIRVATERGYTAQGEMFSVADMGRLAQEVLGCQAKLLSGGLGGPNRDLVLQHLVTGHPLLIPYDEDFNHEPCQRKGHKAHWAVSAGVLLGVRAVPSLGYTEDPELPGLFHPVLGTPCQPPSLPEEGSPGAVYLLSKQGKSWHYQLWDYDQVRESNLQLTDFSPSRATDGRVYVVPVGGVRAGLCGQALLLTPQDCSH
+>DECOY_sp|Q5BKX5|CS054_HUMAN UPF0692 protein C19orf54 OS=Homo sapiens OX=9606 GN=C19orf54 PE=1 SV=2
+HSCDQPTLLLAQGCLGARVGGVPVVYVRGDTARSPSFDTLQLNSERVQDYDWLQYHWSKGQKSLLYVAGPSGEEPLSPPQCPTGLVPHFLGPLEPDETYGLSPVARVGLLVGASVAWHAKHGKRQCPEHNFDEDYPILLPHGTVLHQLVLDRNPGGLGGSLLKAQCGLVEQALRGMDAVSFMEGQATYGRETAVRILRELPVGSPPSLLTGAMWLAVLGCQPGEQILSPLDACFLIWRLDGRFRDKHLKLLRKVDERGKPVPGAKDFAEKLLQSKELGFVHRPAPGTAAPPPPLPLPPPVPTQQSWPPSPLASFDLPSPPLPPSPISSAQPVPTKPPSIPPKLPPSCPSTM
+>sp|A6NJJ6|CS067_HUMAN UPF0575 protein C19orf67 OS=Homo sapiens OX=9606 GN=C19orf67 PE=3 SV=3
+MATEQWFEGSLPLDPGETPPPDALEPGTPPCGDPSRSTPPGRPGNPSEPDPEDAEGRLAEARASTSSPKPLVPRPGPAPPRLSLDTLFSPITQQLRYLLKKADDFQSYLLYSRDQVQKEQLAKAMPTFLQMCEPYFLYLEAAARSIPPIYGPLQELVRKGLLEISQQLTLRLEQLVLMYASFGFVDLEEMNPLSISCFFCGRFSISLSHEVSIFRYCAPTAYTASRFPRYLYKKMRWHLEATPEAPGRGQDSLVDYYFLCYRDTWEDTGQSPANSCPQIQKLWSIGRWVPLGPAEDDLYSWILCPQPLGDYQQLLTIGFEEPTPTLATDLLVQILTGQAGQARPPSAAGPAGWAAQGS
+>DECOY_sp|A6NJJ6|CS067_HUMAN UPF0575 protein C19orf67 OS=Homo sapiens OX=9606 GN=C19orf67 PE=3 SV=3
+SGQAAWGAPGAASPPRAQGAQGTLIQVLLDTALTPTPEEFGITLLQQYDGLPQPCLIWSYLDDEAPGLPVWRGISWLKQIQPCSNAPSQGTDEWTDRYCLFYYDVLSDQGRGPAEPTAELHWRMKKYLYRPFRSATYATPACYRFISVEHSLSISFRGCFFCSISLPNMEELDVFGFSAYMLVLQELRLTLQQSIELLGKRVLEQLPGYIPPISRAAAELYLFYPECMQLFTPMAKALQEKQVQDRSYLLYSQFDDAKKLLYRLQQTIPSFLTDLSLRPPAPGPRPVLPKPSSTSARAEALRGEADEPDPESPNGPRGPPTSRSPDGCPPTGPELADPPPTEGPDLPLSGEFWQETAM
+>sp|Q9Y600|CSAD_HUMAN Cysteine sulfinic acid decarboxylase OS=Homo sapiens OX=9606 GN=CSAD PE=1 SV=2
+MADSEALPSLAGDPVAVEALLRAVFGVVVDEAIQKGTSVSQKVCEWKEPEELKQLLDLELRSQGESQKQILERCRAVIRYSVKTGHPRFFNQLFSGLDPHALAGRIITESLNTSQYTYEIAPVFVLMEEEVLRKLRALVGWSSGDGIFCPGGSISNMYAVNLARYQRYPDCKQRGLRTLPPLALFTSKECHYSIQKGAAFLGLGTDSVRVVKADERGKMVPEDLERQIGMAEAEGAVPFLVSATSGTTVLGAFDPLEAIADVCQRHGLWLHVDAAWGGSVLLSQTHRHLLDGIQRADSVAWNPHKLLAAGLQCSALLLQDTSNLLKRCHGSQASYLFQQDKFYDVALDTGDKVVQCGRRVDCLKLWLMWKAQGDQGLERRIDQAFVLARYLVEEMKKREGFELVMEPEFVNVCFWFVPPSLRGKQESPDYHERLSKVAPVLKERMVKEGSMMIGYQPHGTRGNFFRVVVANSALTCADMDFLLNELERLGQDL
+>DECOY_sp|Q9Y600|CSAD_HUMAN Cysteine sulfinic acid decarboxylase OS=Homo sapiens OX=9606 GN=CSAD PE=1 SV=2
+LDQGLRELENLLFDMDACTLASNAVVVRFFNGRTGHPQYGIMMSGEKVMREKLVPAVKSLREHYDPSEQKGRLSPPVFWFCVNVFEPEMVLEFGERKKMEEVLYRALVFAQDIRRELGQDGQAKWMLWLKLCDVRRGCQVVKDGTDLAVDYFKDQQFLYSAQSGHCRKLLNSTDQLLLASCQLGAALLKHPNWAVSDARQIGDLLHRHTQSLLVSGGWAADVHLWLGHRQCVDAIAELPDFAGLVTTGSTASVLFPVAGEAEAMGIQRELDEPVMKGREDAKVVRVSDTGLGLFAAGKQISYHCEKSTFLALPPLTRLGRQKCDPYRQYRALNVAYMNSISGGPCFIGDGSSWGVLARLKRLVEEEMLVFVPAIEYTYQSTNLSETIIRGALAHPDLGSFLQNFFRPHGTKVSYRIVARCRELIQKQSEGQSRLELDLLQKLEEPEKWECVKQSVSTGKQIAEDVVVGFVARLLAEVAVPDGALSPLAESDAM
+>sp|Q8TBR5|CSAS1_HUMAN Putative uncharacterized protein CIRBP-AS1 OS=Homo sapiens OX=9606 GN=CIRBP-AS1 PE=5 SV=1
+MAPEVIRQDFQAGEVAFRRTGYLRGRSVLTQTKHSLAGNGRHPVALRTRLGSLALGAVPTWTKLWAQSTTWQTRNHTRTGHAYPRFTRPSFPSCNRNGKRRKLRLGLPY
+>DECOY_sp|Q8TBR5|CSAS1_HUMAN Putative uncharacterized protein CIRBP-AS1 OS=Homo sapiens OX=9606 GN=CIRBP-AS1 PE=5 SV=1
+YPLGLRLKRRKGNRNCSPFSPRTFRPYAHGTRTHNRTQWTTSQAWLKTWTPVAGLALSGLRTRLAVPHRGNGALSHKTQTLVSRGRLYGTRRFAVEGAQFDQRIVEPAM
+>sp|Q5T4H9|CSC10_HUMAN Protein CASC10 OS=Homo sapiens OX=9606 GN=CASC10 PE=2 SV=1
+MQSREPSGWRTAERRRGWRCRGVPTPSGDRGPGAARPAARGGAGETTGQQRPLQVPGASAAAARTRLLRWHHRVPSPRATRSPGSIRRTSPCSGGPDRPERPECADACCYLLDPFTLPLIQDFFRGCAASDFDRRD
+>DECOY_sp|Q5T4H9|CSC10_HUMAN Protein CASC10 OS=Homo sapiens OX=9606 GN=CASC10 PE=2 SV=1
+DRRDFDSAACGRFFDQILPLTFPDLLYCCADACEPREPRDPGGSCPSTRRISGPSRTARPSPVRHHWRLLRTRAAAASAGPVQLPRQQGTTEGAGGRAAPRAAGPGRDGSPTPVGRCRWGRRREATRWGSPERSQM
+>sp|Q9BT78|CSN4_HUMAN COP9 signalosome complex subunit 4 OS=Homo sapiens OX=9606 GN=COPS4 PE=1 SV=1
+MAAAVRQDLAQLMNSSGSHKDLAGKYRQILEKAIQLSGAEQLEALKAFVEAMVNENVSLVISRQLLTDFCTHLPNLPDSTAKEIYHFTLEKIQPRVISFEEQVASIRQHLASIYEKEEDWRNAAQVLVGIPLETGQKQYNVDYKLETYLKIARLYLEDDDPVQAEAYINRASLLQNESTNEQLQIHYKVCYARVLDYRRKFIEAAQRYNELSYKTIVHESERLEALKHALHCTILASAGQQRSRMLATLFKDERCQQLAAYGILEKMYLDRIIRGNQLQEFAAMLMPHQKATTADGSSILDRAVIEHNLLSASKLYNNITFEELGALLEIPAAKAEKIASQMITEGRMNGFIDQIDGIVHFETREALPTWDKQIQSLCFQVNNLLEKISQTAPEWTAQAMEAQMAQ
+>DECOY_sp|Q9BT78|CSN4_HUMAN COP9 signalosome complex subunit 4 OS=Homo sapiens OX=9606 GN=COPS4 PE=1 SV=1
+QAMQAEMAQATWEPATQSIKELLNNVQFCLSQIQKDWTPLAERTEFHVIGDIQDIFGNMRGETIMQSAIKEAKAAPIELLAGLEEFTINNYLKSASLLNHEIVARDLISSGDATTAKQHPMLMAAFEQLQNGRIIRDLYMKELIGYAALQQCREDKFLTALMRSRQQGASALITCHLAHKLAELRESEHVITKYSLENYRQAAEIFKRRYDLVRAYCVKYHIQLQENTSENQLLSARNIYAEAQVPDDDELYLRAIKLYTELKYDVNYQKQGTELPIGVLVQAANRWDEEKEYISALHQRISAVQEEFSIVRPQIKELTFHYIEKATSDPLNPLHTCFDTLLQRSIVLSVNENVMAEVFAKLAELQEAGSLQIAKELIQRYKGALDKHSGSSNMLQALDQRVAAAM
+>sp|O14595|CTDS2_HUMAN Carboxy-terminal domain RNA polymerase II polypeptide A small phosphatase 2 OS=Homo sapiens OX=9606 GN=CTDSP2 PE=1 SV=2
+MEHGSIITQARREDALVLTKQGLVSKSSPKKPRGRNIFKALFCCFRAQHVGQSSSSTELAAYKEEANTIAKSDLLQCLQYQFYQIPGTCLLPEVTEEDQGRICVVIDLDETLVHSSFKPINNADFIVPIEIEGTTHQVYVLKRPYVDEFLRRMGELFECVLFTASLAKYADPVTDLLDRCGVFRARLFRESCVFHQGCYVKDLSRLGRDLRKTLILDNSPASYIFHPENAVPVQSWFDDMADTELLNLIPIFEELSGAEDVYTSLGQLRAP
+>DECOY_sp|O14595|CTDS2_HUMAN Carboxy-terminal domain RNA polymerase II polypeptide A small phosphatase 2 OS=Homo sapiens OX=9606 GN=CTDSP2 PE=1 SV=2
+PARLQGLSTYVDEAGSLEEFIPILNLLETDAMDDFWSQVPVANEPHFIYSAPSNDLILTKRLDRGLRSLDKVYCGQHFVCSERFLRARFVGCRDLLDTVPDAYKALSATFLVCEFLEGMRRLFEDVYPRKLVYVQHTTGEIEIPVIFDANNIPKFSSHVLTEDLDIVVCIRGQDEETVEPLLCTGPIQYFQYQLCQLLDSKAITNAEEKYAALETSSSSQGVHQARFCCFLAKFINRGRPKKPSSKSVLGQKTLVLADERRAQTIISGHEM
+>sp|P0CG13|CTF8_HUMAN Chromosome transmission fidelity protein 8 homolog OS=Homo sapiens OX=9606 GN=CHTF8 PE=1 SV=1
+MVQIVISSARAGGLAEWVLMELQGEIEARYSTGLAGNLLGDLHYTTEGIPVLIVGHHILYGKIIHLEKPFAVLVKHTPGDQDCDELGRETGTRYLVTALIKDKILFKTRPKPIITSVPKKV
+>DECOY_sp|P0CG13|CTF8_HUMAN Chromosome transmission fidelity protein 8 homolog OS=Homo sapiens OX=9606 GN=CHTF8 PE=1 SV=1
+VKKPVSTIIPKPRTKFLIKDKILATVLYRTGTERGLEDCDQDGPTHKVLVAFPKELHIIKGYLIHHGVILVPIGETTYHLDGLLNGALGTSYRAEIEGQLEMLVWEALGGARASSIVIQVM
+>sp|A4FU28|CTGE9_HUMAN cTAGE family member 9 OS=Homo sapiens OX=9606 GN=CTAGE9 PE=2 SV=2
+MEEPGATPQPYLGLVLEELGRVVAALPESMRPDENPYGFPSELVVCAAVIGFFVVLLFLWRSFRSVRSRLYVGREQKLGATLSGLIEEKCKLLEKFSLIQKEYEGYEVESSLEDASFEKAAAEEARSLEATCEKLSRSNSELEDEILCLEKDLKEEKSKHSQQDELMADISKSIQSLEDESKSLKSQIAEAKIICKTFKMSEERRAIAIKDALNENSQLQTSHKQLFQQEAEVWKGQVSELNKQKITFEDSKVHAEQVLNDKENHIKTLTGHLPMMKDQAAVLEEDTTDDDNLELKVNSQWENGANLDDPPKGALKKLIHAAKLNVSLKSLEGERNHIIIQLSEVDKTKEELTEHIKNLQTQQASLQSENIYFESENQKLQQKLKIMTEFYQENEMKLYRKLTVEENYRIEEEEKLSRVEEKISHATEELETYRKLAKDLEEELERTVHFYQKQVISYEKRGHDNWLAARTAERNLSDLRKENAHNKQKLTERELKFELLEKDPNALDVSNTAFGREHSPCSPSPLGRPSSETRAFPSPQTLLEDPLRLSPVLPGGGGRGPSSPGNPLDHQITNERGEPSYDRLIDPHRAPSDTGSLSSPVEQDRRMMFPPPGQSYPDSTLPPQREDRFYSNSERLSGPAEPRSFKMTSLDKMDRSMPSEMESSRNDAKDDLGNLNVPDSSLPAENEATGPGLIPPPLAPISGPLFPVDTRGPFMRRGPPFPPPPPGTMFGASRGYFPPRDFPGPPHAPFAMRNIYPPRGLPPYLHPRPGFYPNPTF
+>DECOY_sp|A4FU28|CTGE9_HUMAN cTAGE family member 9 OS=Homo sapiens OX=9606 GN=CTAGE9 PE=2 SV=2
+FTPNPYFGPRPHLYPPLGRPPYINRMAFPAHPPGPFDRPPFYGRSAGFMTGPPPPPFPPGRRMFPGRTDVPFLPGSIPALPPPILGPGTAENEAPLSSDPVNLNGLDDKADNRSSEMESPMSRDMKDLSTMKFSRPEAPGSLRESNSYFRDERQPPLTSDPYSQGPPPFMMRRDQEVPSSLSGTDSPARHPDILRDYSPEGRENTIQHDLPNGPSSPGRGGGGPLVPSLRLPDELLTQPSPFARTESSPRGLPSPSCPSHERGFATNSVDLANPDKELLEFKLERETLKQKNHANEKRLDSLNREATRAALWNDHGRKEYSIVQKQYFHVTRELEEELDKALKRYTELEETAHSIKEEVRSLKEEEEIRYNEEVTLKRYLKMENEQYFETMIKLKQQLKQNESEFYINESQLSAQQTQLNKIHETLEEKTKDVESLQIIIHNREGELSKLSVNLKAAHILKKLAGKPPDDLNAGNEWQSNVKLELNDDDTTDEELVAAQDKMMPLHGTLTKIHNEKDNLVQEAHVKSDEFTIKQKNLESVQGKWVEAEQQFLQKHSTQLQSNENLADKIAIARREESMKFTKCIIKAEAIQSKLSKSEDELSQISKSIDAMLEDQQSHKSKEEKLDKELCLIEDELESNSRSLKECTAELSRAEEAAAKEFSADELSSEVEYGEYEKQILSFKELLKCKEEILGSLTAGLKQERGVYLRSRVSRFSRWLFLLVVFFGIVAACVVLESPFGYPNEDPRMSEPLAAVVRGLEELVLGLYPQPTAGPEEM
+>sp|P35222|CTNB1_HUMAN Catenin beta-1 OS=Homo sapiens OX=9606 GN=CTNNB1 PE=1 SV=1
+MATQADLMELDMAMEPDRKAAVSHWQQQSYLDSGIHSGATTTAPSLSGKGNPEEEDVDTSQVLYEWEQGFSQSFTQEQVADIDGQYAMTRAQRVRAAMFPETLDEGMQIPSTQFDAAHPTNVQRLAEPSQMLKHAVVNLINYQDDAELATRAIPELTKLLNDEDQVVVNKAAVMVHQLSKKEASRHAIMRSPQMVSAIVRTMQNTNDVETARCTAGTLHNLSHHREGLLAIFKSGGIPALVKMLGSPVDSVLFYAITTLHNLLLHQEGAKMAVRLAGGLQKMVALLNKTNVKFLAITTDCLQILAYGNQESKLIILASGGPQALVNIMRTYTYEKLLWTTSRVLKVLSVCSSNKPAIVEAGGMQALGLHLTDPSQRLVQNCLWTLRNLSDAATKQEGMEGLLGTLVQLLGSDDINVVTCAAGILSNLTCNNYKNKMMVCQVGGIEALVRTVLRAGDREDITEPAICALRHLTSRHQEAEMAQNAVRLHYGLPVVVKLLHPPSHWPLIKATVGLIRNLALCPANHAPLREQGAIPRLVQLLVRAHQDTQRRTSMGGTQQQFVEGVRMEEIVEGCTGALHILARDVHNRIVIRGLNTIPLFVQLLYSPIENIQRVAAGVLCELAQDKEAAEAIEAEGATAPLTELLHSRNEGVATYAAAVLFRMSEDKPQDYKKRLSVELTSSLFRTEPMAWNETADLGLDIGAQGEPLGYRQDDPSYRSFHSGGYGQDALGMDPMMEHEMGGHHPGADYPVDGLPDLGHAQDLMDGLPPGDSNQLAWFDTDL
+>DECOY_sp|P35222|CTNB1_HUMAN Catenin beta-1 OS=Homo sapiens OX=9606 GN=CTNNB1 PE=1 SV=1
+LDTDFWALQNSDGPPLGDMLDQAHGLDPLGDVPYDAGPHHGGMEHEMMPDMGLADQGYGGSHFSRYSPDDQRYGLPEGQAGIDLGLDATENWAMPETRFLSSTLEVSLRKKYDQPKDESMRFLVAAAYTAVGENRSHLLETLPATAGEAEIAEAAEKDQALECLVGAAVRQINEIPSYLLQVFLPITNLGRIVIRNHVDRALIHLAGTCGEVIEEMRVGEVFQQQTGGMSTRRQTDQHARVLLQVLRPIAGQERLPAHNAPCLALNRILGVTAKILPWHSPPHLLKVVVPLGYHLRVANQAMEAEQHRSTLHRLACIAPETIDERDGARLVTRVLAEIGGVQCVMMKNKYNNCTLNSLIGAACTVVNIDDSGLLQVLTGLLGEMGEQKTAADSLNRLTWLCNQVLRQSPDTLHLGLAQMGGAEVIAPKNSSCVSLVKLVRSTTWLLKEYTYTRMINVLAQPGGSALIILKSEQNGYALIQLCDTTIALFKVNTKNLLAVMKQLGGALRVAMKAGEQHLLLNHLTTIAYFLVSDVPSGLMKVLAPIGGSKFIALLGERHHSLNHLTGATCRATEVDNTNQMTRVIASVMQPSRMIAHRSAEKKSLQHVMVAAKNVVVQDEDNLLKTLEPIARTALEADDQYNILNVVAHKLMQSPEALRQVNTPHAADFQTSPIQMGEDLTEPFMAARVRQARTMAYQGDIDAVQEQTFSQSFGQEWEYLVQSTDVDEEEPNGKGSLSPATTTAGSHIGSDLYSQQQWHSVAAKRDPEMAMDLEMLDAQTAM
+>sp|P30825|CTR1_HUMAN High affinity cationic amino acid transporter 1 OS=Homo sapiens OX=9606 GN=SLC7A1 PE=1 SV=1
+MGCKVLLNIGQQMLRRKVVDCSREETRLSRCLNTFDLVALGVGSTLGAGVYVLAGAVARENAGPAIVISFLIAALASVLAGLCYGEFGARVPKTGSAYLYSYVTVGELWAFITGWNLILSYIIGTSSVARAWSATFDELIGRPIGEFSRTHMTLNAPGVLAENPDIFAVIIILILTGLLTLGVKESAMVNKIFTCINVLVLGFIMVSGFVKGSVKNWQLTEEDFGNTSGRLCLNNDTKEGKPGVGGFMPFGFSGVLSGAATCFYAFVGFDCIATTGEEVKNPQKAIPVGIVASLLICFIAYFGVSAALTLMMPYFCLDNNSPLPDAFKHVGWEGAKYAVAVGSLCALSASLLGSMFPMPRVIYAMAEDGLLFKFLANVNDRTKTPIIATLASGAVAAVMAFLFDLKDLVDLMSIGTLLAYSLVAACVLVLRYQPEQPNLVYQMASTSDELDPADQNELASTNDSQLGFLPEAEMFSLKTILSPKNMEPSKISGLIVNISTSLIAVLIITFCIVTVLGREALTKGALWAVFLLAGSALLCAVVTGVIWRQPESKTKLSFKVPFLPVLPILSIFVNVYLMMQLDQGTWVRFAVWMLIGFIIYFGYGLWHSEEASLDADQARTPDGNLDQCK
+>DECOY_sp|P30825|CTR1_HUMAN High affinity cationic amino acid transporter 1 OS=Homo sapiens OX=9606 GN=SLC7A1 PE=1 SV=1
+KCQDLNGDPTRAQDADLSAEESHWLGYGFYIIFGILMWVAFRVWTGQDLQMMLYVNVFISLIPLVPLFPVKFSLKTKSEPQRWIVGTVVACLLASGALLFVAWLAGKTLAERGLVTVICFTIILVAILSTSINVILGSIKSPEMNKPSLITKLSFMEAEPLFGLQSDNTSALENQDAPDLEDSTSAMQYVLNPQEPQYRLVLVCAAVLSYALLTGISMLDVLDKLDFLFAMVAAVAGSALTAIIPTKTRDNVNALFKFLLGDEAMAYIVRPMPFMSGLLSASLACLSGVAVAYKAGEWGVHKFADPLPSNNDLCFYPMMLTLAASVGFYAIFCILLSAVIGVPIAKQPNKVEEGTTAICDFGVFAYFCTAAGSLVGSFGFPMFGGVGPKGEKTDNNLCLRGSTNGFDEETLQWNKVSGKVFGSVMIFGLVLVNICTFIKNVMASEKVGLTLLGTLILIIIVAFIDPNEALVGPANLTMHTRSFEGIPRGILEDFTASWARAVSSTGIIYSLILNWGTIFAWLEGVTVYSYLYASGTKPVRAGFEGYCLGALVSALAAILFSIVIAPGANERAVAGALVYVGAGLTSGVGLAVLDFTNLCRSLRTEERSCDVVKRRLMQQGINLLVKCGM
+>sp|Q86XQ3|CTSR3_HUMAN Cation channel sperm-associated protein 3 OS=Homo sapiens OX=9606 GN=CATSPER3 PE=2 SV=1
+MSQHRHQRHSRVISSSPVDTTSVGFCPTFKKFKRNDDECRAFVKRVIMSRFFKIIMISTVTSNAFFMALWTSYDIRYRLFRLLEFSEIFFVSICTSELSMKVYVDPINYWKNGYNLLDVIIIIVMFLPYALRQLMGKQFTYLYIADGMQSLRILKLIGYSQGIRTLITAVGQTVYTVASVLLLLFLLMYIFAILGFCLFGSPDNGDHDNWGNLAAAFFTLFSLATVDGWTDLQKQLDNREFALSRAFTIIFILLASFIFLNMFVGVMIMHTEDSIRKFERELMLEQQEMLMGEKQVILQRQQEEISRLMHIQKNADCTSFSELVENFKKTLSHTDPMVLDDFGTSLPFIDIYFSTLDYQDTTVHKLQELYYEIVHVLSLMLEDLPQEKPQSLEKVDEK
+>DECOY_sp|Q86XQ3|CTSR3_HUMAN Cation channel sperm-associated protein 3 OS=Homo sapiens OX=9606 GN=CATSPER3 PE=2 SV=1
+KEDVKELSQPKEQPLDELMLSLVHVIEYYLEQLKHVTTDQYDLTSFYIDIFPLSTGFDDLVMPDTHSLTKKFNEVLESFSTCDANKQIHMLRSIEEQQRQLIVQKEGMLMEQQELMLEREFKRISDETHMIMVGVFMNLFIFSALLIFIITFARSLAFERNDLQKQLDTWGDVTALSFLTFFAAALNGWNDHDGNDPSGFLCFGLIAFIYMLLFLLLLVSAVTYVTQGVATILTRIGQSYGILKLIRLSQMGDAIYLYTFQKGMLQRLAYPLFMVIIIIVDLLNYGNKWYNIPDVYVKMSLESTCISVFFIESFELLRFLRYRIDYSTWLAMFFANSTVTSIMIIKFFRSMIVRKVFARCEDDNRKFKKFTPCFGVSTTDVPSSSIVRSHRQHRHQSM
+>sp|Q9H7T0|CTSRB_HUMAN Cation channel sperm-associated protein subunit beta OS=Homo sapiens OX=9606 GN=CATSPERB PE=2 SV=2
+MESPLIYVSVLLLNIFEFSSGIVYNKDDTEKRFACSNKGFPQENEIIKLYLFLENLKIQCFFQTENEIASKAMLSVFTSGGLAPSLGIMNSTYNGIFHFNLTLFSDRILWLVDIPRENITQSTDIAAVEEWLVRITLHHGLNIYATEGTLLDVIREPILQWTPGDVIPESEISKLYPHVVDLKVTKCPCANDVALLGFIVDTIVDGVYIGITFGGFWHDYDTTWFNMTQTIYSQLQEEYEDLSLVDMVLTNHFLVILTSLGLFVSEDLRYPSRHSLSFSRADFCGFERVDYVKGKLWYNERCFANREHFEVDYVTVTFERNRTLSESSSCFYSQEPFLEWVPCLPHIFKGIKIFPTVLTFLVDQERGTGVYLFYNKVRKTAIASVSTLRNNEPNSQSKFPIFRFPSSFSSPVGMVFHPRSHFLYAYGNQIWLSVDGGNTFQLIANFHDDIIKKTFHSFYTSAITFVSQRGKVYSTKAGMGRYSAVGSVTERIFTLYYDHLGFLHKLTLGRFEASGPPTAFGNSRNLFGQPPDMGFETALAPQHTSLDEIIFFAYVPENEPQETIYSKKFGNIHYGKVIHSGKTGRAYIRKVLQHTTPKGFLSSVIAEMKEPFGLEEVNESSCLSSSLLINKAGNVYKLTLDSQVVQALFEDTDIEKTVVLPGYSSFLITSILDNKNALAIATMPESAPNNMTFLKSTWFLYNFGQRNGRTWKIYSKPCNYWFQHDDSPSLNIVKYIDLGNSYVLKAKVIRNAKGFRMLEIPLLTVFVGNPNLLEVTAEVTFDDTDSYVITISAASKVLHQGSTSLAFIMWSASTECFVTTMVPTLKSSCSYLRSMHHIPSKFIPFEDWISGVHKDSQGFNLIKTLPINYRPPSNMGIAIPLTDNFYHADPSKPIPRNMFHMSKKTGKFKQCANVSTREECNCTKDQKFSHAVAFSDCREKVPRFKFPITQYPVSLEIINEDGRVPLQSPYLVTVTEVNMRHNWKLKHTVPENIKRMKQLVEPILGAAVYNPSGLNLSIKGSELFHFRVTVISGVTFCNLIEEFQIYVDEAPLPFPGHTLIAVATAVVLGGLIFIAFMFQLQGIHPWRTFQRWIRRNQEKFSSISLSELIHRSKSEE
+>DECOY_sp|Q9H7T0|CTSRB_HUMAN Cation channel sperm-associated protein subunit beta OS=Homo sapiens OX=9606 GN=CATSPERB PE=2 SV=2
+EESKSRHILESLSISSFKEQNRRIWRQFTRWPHIGQLQFMFAIFILGGLVVATAVAILTHGPFPLPAEDVYIQFEEILNCFTVGSIVTVRFHFLESGKISLNLGSPNYVAAGLIPEVLQKMRKINEPVTHKLKWNHRMNVETVTVLYPSQLPVRGDENIIELSVPYQTIPFKFRPVKERCDSFAVAHSFKQDKTCNCEERTSVNACQKFKGTKKSMHFMNRPIPKSPDAHYFNDTLPIAIGMNSPPRYNIPLTKILNFGQSDKHVGSIWDEFPIFKSPIHHMSRLYSCSSKLTPVMTTVFCETSASWMIFALSTSGQHLVKSAASITIVYSDTDDFTVEATVELLNPNGVFVTLLPIELMRFGKANRIVKAKLVYSNGLDIYKVINLSPSDDHQFWYNCPKSYIKWTRGNRQGFNYLFWTSKLFTMNNPASEPMTAIALANKNDLISTILFSSYGPLVVTKEIDTDEFLAQVVQSDLTLKYVNGAKNILLSSSLCSSENVEELGFPEKMEAIVSSLFGKPTTHQLVKRIYARGTKGSHIVKGYHINGFKKSYITEQPENEPVYAFFIIEDLSTHQPALATEFGMDPPQGFLNRSNGFATPPGSAEFRGLTLKHLFGLHDYYLTFIRETVSGVASYRGMGAKTSYVKGRQSVFTIASTYFSHFTKKIIDDHFNAILQFTNGGDVSLWIQNGYAYLFHSRPHFVMGVPSSFSSPFRFIPFKSQSNPENNRLTSVSAIATKRVKNYFLYVGTGREQDVLFTLVTPFIKIGKFIHPLCPVWELFPEQSYFCSSSESLTRNREFTVTVYDVEFHERNAFCRENYWLKGKVYDVREFGCFDARSFSLSHRSPYRLDESVFLGLSTLIVLFHNTLVMDVLSLDEYEEQLQSYITQTMNFWTTDYDHWFGGFTIGIYVGDVITDVIFGLLAVDNACPCKTVKLDVVHPYLKSIESEPIVDGPTWQLIPERIVDLLTGETAYINLGHHLTIRVLWEEVAAIDTSQTINERPIDVLWLIRDSFLTLNFHFIGNYTSNMIGLSPALGGSTFVSLMAKSAIENETQFFCQIKLNELFLYLKIIENEQPFGKNSCAFRKETDDKNYVIGSSFEFINLLLVSVYILPSEM
+>sp|Q5SY80|CTSRE_HUMAN Cation channel sperm-associated protein subunit epsilon OS=Homo sapiens OX=9606 GN=CATSPERE PE=2 SV=1
+MSAREVAVLLLWLSCYGSALWRYSTNSPNYRIFSTRSTIKLEYEGTLFTEWSVPETCFVLNKSSPTTELRCSSPGVHAIKPIVTGPDEEERYLFVESSHTCFLWYYRVRHFFNNFTQLITVWAYDPESADPDELLGNAEEPSINSIVLSTQMATLGQKPVIHTVLKRKVYSSNEKMRRGTWRIVVPMTKDDALKEIRGNQVTFQDCFIADFLILLTFPLLTIPEIPGYLPISSPRGSQLMASWDACVVASAVLVTDMETFHTTDSFKSWTRIRVPPDILSDDERRSVAHVILSRDGIVFLINGVLYIKSFRGFIRLGGIVNLPDGGITGISSRKWCWVNYLLKAKGRRSTFAVWTENEIYLGSILLKFARLVTTTELKNILSLSVTATLTIDRVEYTGHPLEIAVFLNYCTVCNVTKKIFLVIYNEDTKQWVSQDFTLDAPIDSVTMPHFTFSALPGLLLWNKHSIYYCYHNFTFTGILQTPAGHGNLSMLSNDSIIHEVFIDYYGDILVKMENNVIFYSKINTRDAVKLHLWTNYTTRAFIFLSTSGQTYFLYALDDGTIQIQDYPLHLEAQSIAFTTKDKCPYMAFHNNVAHVFYFLDKGEALTVWTQIVYPENTGLYVIVESYGPKILQESHEISFEAAFGYCTKTLTLTFYQNVDYERISDYFETQDKHTGLVLVQFRPSEYSKACPIAQKVFQIAVGCDDKKFIAIKGFSKKGCHHHDFSYVIEKSYLRHQPSKNLRVRYIWGEYGCPLRLDFTEKFQPVVQLFDDNGYVKDVEANFIVWEIHGRDDYSFNNTMAQSGCLHEAQTWKSMIELNKHLPLEEVWGPENYKHCFSYAIGKPGDLNQPYEIINSSNGNHIFWPMGHSGMYVFRVKILDPNYSFCNLTAMFAIETFGLIPSPSVYLVASFLFVLMLLFFTILVLSYFRYMRIYRRYIYEPLHKPQRKRKKN
+>DECOY_sp|Q5SY80|CTSRE_HUMAN Cation channel sperm-associated protein subunit epsilon OS=Homo sapiens OX=9606 GN=CATSPERE PE=2 SV=1
+NKKRKRQPKHLPEYIYRRYIRMYRFYSLVLITFFLLMLVFLFSAVLYVSPSPILGFTEIAFMATLNCFSYNPDLIKVRFVYMGSHGMPWFIHNGNSSNIIEYPQNLDGPKGIAYSFCHKYNEPGWVEELPLHKNLEIMSKWTQAEHLCGSQAMTNNFSYDDRGHIEWVIFNAEVDKVYGNDDFLQVVPQFKETFDLRLPCGYEGWIYRVRLNKSPQHRLYSKEIVYSFDHHHCGKKSFGKIAIFKKDDCGVAIQFVKQAIPCAKSYESPRFQVLVLGTHKDQTEFYDSIREYDVNQYFTLTLTKTCYGFAAEFSIEHSEQLIKPGYSEVIVYLGTNEPYVIQTWVTLAEGKDLFYFVHAVNNHFAMYPCKDKTTFAISQAELHLPYDQIQITGDDLAYLFYTQGSTSLFIFARTTYNTWLHLKVADRTNIKSYFIVNNEMKVLIDGYYDIFVEHIISDNSLMSLNGHGAPTQLIGTFTFNHYCYYISHKNWLLLGPLASFTFHPMTVSDIPADLTFDQSVWQKTDENYIVLFIKKTVNCVTCYNLFVAIELPHGTYEVRDITLTATVSLSLINKLETTTVLRAFKLLISGLYIENETWVAFTSRRGKAKLLYNVWCWKRSSIGTIGGDPLNVIGGLRIFGRFSKIYLVGNILFVIGDRSLIVHAVSRREDDSLIDPPVRIRTWSKFSDTTHFTEMDTVLVASAVVCADWSAMLQSGRPSSIPLYGPIEPITLLPFTLLILFDAIFCDQFTVQNGRIEKLADDKTMPVVIRWTGRRMKENSSYVKRKLVTHIVPKQGLTAMQTSLVISNISPEEANGLLEDPDASEPDYAWVTILQTFNNFFHRVRYYWLFCTHSSEVFLYREEEDPGTVIPKIAHVGPSSCRLETTPSSKNLVFCTEPVSWETFLTGEYELKITSRTSFIRYNPSNTSYRWLASGYCSLWLLLVAVERASM
+>sp|A0A1B0GTU2|CTXD1_HUMAN Cortexin domain-containing 1 OS=Homo sapiens OX=9606 GN=CTXND1 PE=3 SV=1
+MEEPTPEPVYVDVDKGLTLACFVFLCLFLVVMIIRCAKVIMDPYSAIPTSTWEEQHLDD
+>DECOY_sp|A0A1B0GTU2|CTXD1_HUMAN Cortexin domain-containing 1 OS=Homo sapiens OX=9606 GN=CTXND1 PE=3 SV=1
+DDLHQEEWTSTPIASYPDMIVKACRIIMVVLFLCLFVFCALTLGKDVDVYVPEPTPEEM
+>sp|A0A1B0GV90|CTXD2_HUMAN Cortexin domain containing 2 OS=Homo sapiens OX=9606 GN=CTXND2 PE=3 SV=1
+MEDSSLSSGVDVDKGFAIAFVVLLFLFLIVMIFRCAKLVKNPYKASSTTTEPSLS
+>DECOY_sp|A0A1B0GV90|CTXD2_HUMAN Cortexin domain containing 2 OS=Homo sapiens OX=9606 GN=CTXND2 PE=3 SV=1
+SLSPETTTSSAKYPNKVLKACRFIMVILFLFLLVVFAIAFGKDVDVGSSLSSDEM
+>sp|P0C2S0|CTXN2_HUMAN Cortexin-2 OS=Homo sapiens OX=9606 GN=CTXN2 PE=3 SV=1
+MSSTYCGNSSAKMSVNEVSAFSLTLEQKTGFAFVGILCIFLGLLIIRCFKILLDPYSSMPSSTWEDEVEEFDKGTFEYALA
+>DECOY_sp|P0C2S0|CTXN2_HUMAN Cortexin-2 OS=Homo sapiens OX=9606 GN=CTXN2 PE=3 SV=1
+ALAYEFTGKDFEEVEDEWTSSPMSSYPDLLIKFCRIILLGLFICLIGVFAFGTKQELTLSFASVENVSMKASSNGCYTSSM
+>sp|Q4LDR2|CTXN3_HUMAN Cortexin-3 OS=Homo sapiens OX=9606 GN=CTXN3 PE=1 SV=1
+MDGGQPIPSSLVPLGNESADSSMSLEQKMTFVFVILLFIFLGILIVRCFRILLDPYRSMPTSTWADGLEGLEKGQFDHALA
+>DECOY_sp|Q4LDR2|CTXN3_HUMAN Cortexin-3 OS=Homo sapiens OX=9606 GN=CTXN3 PE=1 SV=1
+ALAHDFQGKELGELGDAWTSTPMSRYPDLLIRFCRVILIGLFIFLLIVFVFTMKQELSMSSDASENGLPVLSSPIPQGGDM
+>sp|A6NM66|CU054_HUMAN Uncharacterized protein encoded by LINC01548 OS=Homo sapiens OX=9606 GN=LINC01548 PE=4 SV=1
+MLAKGAEEGRSGGPRPAITLPGSLHFTCDLKTSPYCLTRAELMEHLPLRVAVHSMSPCHRSCFCGELKRGHPWNTPQVSSFPSSTTSLSHSCTTSHLDCSQQVESGSK
+>DECOY_sp|A6NM66|CU054_HUMAN Uncharacterized protein encoded by LINC01548 OS=Homo sapiens OX=9606 GN=LINC01548 PE=4 SV=1
+KSGSEVQQSCDLHSTTCSHSLSTTSSPFSSVQPTNWPHGRKLEGCFCSRHCPSMSHVAVRLPLHEMLEARTLCYPSTKLDCTFHLSGPLTIAPRPGGSRGEEAGKALM
+>sp|B9A014|CU140_HUMAN Uncharacterized protein C21orf140 OS=Homo sapiens OX=9606 GN=C21orf140 PE=2 SV=1
+MPRFASPLLRNVIIRSQFDGIKRKQCLQYLKTLRTLQYDGFKTVYFGETNIPESLVTGEDISDGYFIQTPTWCIVHAAGSQGWVPWKYRVFLRDELCIKQEDSLFSEFCDVVRKAYGKCVIVVKERRQQEEQRPKEDREAEGQFYIPTVISLASIMCCPEVAKSCGHELLSLPSPCNYLNPLDSAWSSLKWFIINNRNEFCLQSIDSGYSYQCILFSNLISKGIERINASKWRTLTSKVRRWENYYLGKFS
+>DECOY_sp|B9A014|CU140_HUMAN Uncharacterized protein C21orf140 OS=Homo sapiens OX=9606 GN=C21orf140 PE=2 SV=1
+SFKGLYYNEWRRVKSTLTRWKSANIREIGKSILNSFLICQYSYGSDISQLCFENRNNIIFWKLSSWASDLPNLYNCPSPLSLLEHGCSKAVEPCCMISALSIVTPIYFQGEAERDEKPRQEEQQRREKVVIVCKGYAKRVVDCFESFLSDEQKICLEDRLFVRYKWPVWGQSGAAHVICWTPTQIFYGDSIDEGTVLSEPINTEGFYVTKFGDYQLTRLTKLYQLCQKRKIGDFQSRIIVNRLLPSAFRPM
+>sp|O60494|CUBN_HUMAN Cubilin OS=Homo sapiens OX=9606 GN=CUBN PE=1 SV=5
+MMNMSLPFLWSLLTLLIFAEVNGEAGELELQRQKRSINLQQPRMATERGNLVFLTGSAQNIEFRTGSLGKIKLNDEDLSECLHQIQKNKEDIIELKGSAIGLPQNISSQIYQLNSKLVDLERKFQGLQQTVDKKVCSSNPCQNGGTCLNLHDSFFCICPPQWKGPLCSADVNECEIYSGTPLSCQNGGTCVNTMGSYSCHCPPETYGPQCASKYDDCEGGSVARCVHGICEDLMREQAGEPKYSCVCDAGWMFSPNSPACTLDRDECSFQPGPCSTLVQCFNTQGSFYCGACPTGWQGNGYICEDINECEINNGGCSVAPPVECVNTPGSSHCQACPPGYQGDGRVCTLTDICSVSNGGCHPDASCSSTLGSLPLCTCLPGYTGNGYGPNGCVQLSNICLSHPCLNGQCIDTVSGYFCKCDSGWTGVNCTENINECLSNPCLNGGTCVDGVDSFSCECTRLWTGALCQVPQQVCGESLSGINGSFSYRSPDVGYVHDVNCFWVIKTEMGKVLRITFTFFRLESMDNCPHEFLQVYDGDSSSAFQLGRFCGSSLPHELLSSDNALYFHLYSEHLRNGRGFTVRWETQQPECGGILTGPYGSIKSPGYPGNYPPGRDCVWIVVTSPDLLVTFTFGTLSLEHHDDCNKDYLEIRDGPLYQDPLLGKFCTTFSVPPLQTTGPFARIHFHSDSQISDQGFHITYLTSPSDLRCGGNYTDPEGELFLPELSGPFTHTRQCVYMMKQPQGEQIQINFTHVELQCQSDSSQNYIEVRDGETLLGKVCGNGTISHIKSITNSVWIRFKIDASVEKASFRAVYQVACGDELTGEGVIRSPFFPNVYPGERTCRWTIHQPQSQVILLNFTVFEIGSSAHCETDYVEIGSSSILGSPENKKYCGTDIPSFITSVYNFLYVTFVKSSSTENHGFMAKFSAEDLACGEILTESTGTIQSPGHPNVYPHGINCTWHILVQPNHLIHLMFETFHLEFHYNCTNDYLEVYDTDSETSLGRYCGKSIPPSLTSSGNSLMLVFVTDSDLAYEGFLINYEAISAATACLQDYTDDLGTFTSPNFPNNYPNNWECIYRITVRTGQLIAVHFTNFSLEEAIGNYYTDFLEIRDGGYEKSPLLGIFYGSNLPPTIISHSNKLWLKFKSDQIDTRSGFSAYWDGSSTGCGGNLTTSSGTFISPNYPMPYYHSSECYWWLKSSHGSAFELEFKDFHLEHHPNCTLDYLAVYDGPSSNSHLLTQLCGDEKPPLIRSSGDSMFIKLRTDEGQQGRGFKAEYRQTCENVVIVNQTYGILESIGYPNPYSENQHCNWTIRATTGNTVNYTFLAFDLEHHINCSTDYLELYDGPRQMGRYCGVDLPPPGSTTSSKLQVLLLTDGVGRREKGFQMQWFVYGCGGELSGATGSFSSPGFPNRYPPNKECIWYIRTDPGSSIQLTIHDFDVEYHSRCNFDVLEIYGGPDFHSPRIAQLCTQRSPENPMQVSSTGNELAIRFKTDLSINGRGFNASWQAVTGGCGGIFQAPSGEIHSPNYPSPYRSNTDCSWVIRVDRNHRVLLNFTDFDLEPQDSCIMAYDGLSSTMSRLARTCGREQLANPIVSSGNSLFLRFQSGPSRQNRGFRAQFRQACGGHILTSSFDTVSSPRFPANYPNNQNCSWIIQAQPPLNHITLSFTHFELERSTTCARDFVEILDGGHEDAPLRGRYCGTDMPHPITSFSSALTLRFVSDSSISAGGFHTTVTASVSACGGTFYMAEGIFNSPGYPDIYPPNVECVWNIVSSPGNRLQLSFISFQLEDSQDCSRDFVEIREGNATGHLVGRYCGNSFPLNYSSIVGHTLWVRFISDGSGSGTGFQATFMKIFGNDNIVGTHGKVASPFWPENYPHNSNYQWTVNVNASHVVHGRILEMDIEEIQNCYYDKLRIYDGPSIHARLIGAYCGTQTESFSSTGNSLTFHFYSDSSISGKGFLLEWFAVDAPDGVLPTIAPGACGGFLRTGDAPVFLFSPGWPDSYSNRVDCTWLIQAPDSTVELNILSLDIESHRTCAYDSLVIRDGDNNLAQQLAVLCGREIPGPIRSTGEYMFIRFTSDSSVTRAGFNASFHKSCGGYLHADRGIITSPKYPETYPSNLNCSWHVLVQSGLTIAVHFEQPFQIPNGDSSCNQGDYLVLRNGPDICSPPLGPPGGNGHFCGSHASSTLFTSDNQMFVQFISDHSNEGQGFKIKYEAKSLACGGNVYIHDADSAGYVTSPNHPHNYPPHADCIWILAAPPETRIQLQFEDRFDIEVTPNCTSNYLELRDGVDSDAPILSKFCGTSLPSSQWSSGEVMYLRFRSDNSPTHVGFKAKYSIAQCGGRVPGQSGVVESIGHPTLPYRDNLFCEWHLQGLSGHYLTISFEDFNLQNSSGCEKDFVEIWDNHTSGNILGRYCGNTIPDSIDTSSNTAVVRFVTDGSVTASGFRLRFESSMEECGGDLQGSIGTFTSPNYPNPNPHGRICEWRITAPEGRRITLMFNNLRLATHPSCNNEHVIVFNGIRSNSPQLEKLCSSVNVSNEIKSSGNTMKVIFFTDGSRPYGGFTASYTSSEDAVCGGSLPNTPEGNFTSPGYDGVRNYSRNLNCEWTLSNPNQGNSSISIHFEDFYLESHQDCQFDVLEFRVGDADGPLMWRLCGPSKPTLPLVIPYSQVWIHFVTNERVEHIGFHAKYSFTDCGGIQIGDSGVITSPNYPNAYDSLTHCSSLLEAPQGHTITLTFSDFDIEPHTTCAWDSVTVRNGGSPESPIIGQYCGNSNPRTIQSGSNQLVVTFNSDHSLQGGGFYATWNTQTLGCGGIFHSDNGTIRSPHWPQNFPENSRCSWTAITHKSKHLEISFDNNFLIPSGDGQCQNSFVKVWAGTEEVDKALLATGCGNVAPGPVITPSNTFTAVFQSQEAPAQGFSASFVSRCGSNFTGPSGYIISPNYPKQYDNNMNCTYVIEANPLSVVLLTFVSFHLEARSAVTGSCVNDGVHIIRGYSVMSTPFATVCGDEMPAPLTIAGPVLLNFYSNEQITDFGFKFSYRIISCGGVFNFSSGIITSPAYSYADYPNDMHCLYTITVSDDKVIELKFSDFDVVPSTSCSHDYLAIYDGANTSDPLLGKFCGSKRPPNVKSSNNSMLLVFKTDSFQTAKGWKMSFRQTLGPQQGCGGYLTGSNNTFASPDSDSNGMYDKNLNCVWIIIAPVNKVIHLTFNTFALEAASTRQRCLYDYVKLYDGDSENANLAGTFCGSTVPAPFISSGNFLTVQFISDLTLEREGFNATYTIMDMPCGGTYNATWTPQNISSPNSSDPDVPFSICTWVIDSPPHQQVKITVWALQLTSQDCTQNYLQLQDSPQGHGNSRFQFCGRNASAVPVFYSSMSTAMVIFKSGVVNRNSRMSFTYQIADCNRDYHKAFGNLRSPGWPDNYDNDKDCTVTLTAPQNHTISLFFHSLGIENSVECRNDFLEVRNGSNSNSPLLGKYCGTLLPNPVFSQNNELYLRFKSDSVTSDRGYEIIWTSSPSGCGGTLYGDRGSFTSPGYPGTYPNNTYCEWVLVAPAGRLVTINFYFISIDDPGDCVQNYLTLYDGPNASSPSSGPYCGGDTSIAPFVASSNQVFIKFHADYARRPSAFRLTWDS
+>DECOY_sp|O60494|CUBN_HUMAN Cubilin OS=Homo sapiens OX=9606 GN=CUBN PE=1 SV=5
+SDWTLRFASPRRAYDAHFKIFVQNSSAVFPAISTDGGCYPGSSPSSANPGDYLTLYNQVCDGPDDISIFYFNITVLRGAPAVLVWECYTNNPYTGPYGPSTFSGRDGYLTGGCGSPSSTWIIEYGRDSTVSDSKFRLYLENNQSFVPNPLLTGCYKGLLPSNSNSGNRVELFDNRCEVSNEIGLSHFFLSITHNQPATLTVTCDKDNDYNDPWGPSRLNGFAKHYDRNCDAIQYTFSMRSNRNVVGSKFIVMATSMSSYFVPVASANRGCFQFRSNGHGQPSDQLQLYNQTCDQSTLQLAWVTIKVQQHPPSDIVWTCISFPVDPDSSNPSSINQPTWTANYTGGCPMDMITYTANFGERELTLDSIFQVTLFNGSSIFPAPVTSGCFTGALNANESDGDYLKVYDYLCRQRTSAAELAFTNFTLHIVKNVPAIIIWVCNLNKDYMGNSDSDPSAFTNNSGTLYGGCGQQPGLTQRFSMKWGKATQFSDTKFVLLMSNNSSKVNPPRKSGCFKGLLPDSTNAGDYIALYDHSCSTSPVVDFDSFKLEIVKDDSVTITYLCHMDNPYDAYSYAPSTIIGSSFNFVGGCSIIRYSFKFGFDTIQENSYFNLLVPGAITLPAPMEDGCVTAFPTSMVSYGRIIHVGDNVCSGTVASRAELHFSVFTLLVVSLPNAEIVYTCNMNNDYQKPYNPSIIYGSPGTFNSGCRSVFSASFGQAPAEQSQFVATFTNSPTIVPGPAVNGCGTALLAKDVEETGAWVKVFSNQCQGDGSPILFNNDFSIELHKSKHTIATWSCRSNEPFNQPWHPSRITGNDSHFIGGCGLTQTNWTAYFGGGQLSHDSNFTVVLQNSGSQITRPNSNGCYQGIIPSEPSGGNRVTVSDWACTTHPEIDFDSFTLTITHGQPAELLSSCHTLSDYANPYNPSTIVGSDGIQIGGCDTFSYKAHFGIHEVRENTVFHIWVQSYPIVLPLTPKSPGCLRWMLPGDADGVRFELVDFQCDQHSELYFDEFHISISSNGQNPNSLTWECNLNRSYNRVGDYGPSTFNGEPTNPLSGGCVADESSTYSATFGGYPRSGDTFFIVKMTNGSSKIENSVNVSSCLKELQPSNSRIGNFVIVHENNCSPHTALRLNNFMLTIRRGEPATIRWECIRGHPNPNPYNPSTFTGISGQLDGGCEEMSSEFRLRFGSATVSGDTVFRVVATNSSTDISDPITNGCYRGLINGSTHNDWIEVFDKECGSSNQLNFDEFSITLYHGSLGQLHWECFLNDRYPLTPHGISEVVGSQGPVRGGCQAISYKAKFGVHTPSNDSRFRLYMVEGSSWQSSPLSTGCFKSLIPADSDVGDRLELYNSTCNPTVEIDFRDEFQLQIRTEPPAALIWICDAHPPYNHPHNPSTVYGASDADHIYVNGGCALSKAEYKIKFGQGENSHDSIFQVFMQNDSTFLTSSAHSGCFHGNGGPPGLPPSCIDPGNRLVLYDGQNCSSDGNPIQFPQEFHVAITLGSQVLVHWSCNLNSPYTEPYKPSTIIGRDAHLYGGCSKHFSANFGARTVSSDSTFRIFMYEGTSRIPGPIERGCLVALQQALNNDGDRIVLSDYACTRHSEIDLSLINLEVTSDPAQILWTCDVRNSYSDPWGPSFLFVPADGTRLFGGCAGPAITPLVGDPADVAFWELLFGKGSISSDSYFHFTLSNGTSSFSETQTGCYAGILRAHISPGDYIRLKDYYCNQIEEIDMELIRGHVVHSANVNVTWQYNSNHPYNEPWFPSAVKGHTGVINDNGFIKMFTAQFGTGSGSGDSIFRVWLTHGVISSYNLPFSNGCYRGVLHGTANGERIEVFDRSCDQSDELQFSIFSLQLRNGPSSVINWVCEVNPPYIDPYGPSNFIGEAMYFTGGCASVSATVTTHFGGASISSDSVFRLTLASSFSTIPHPMDTGCYRGRLPADEHGGDLIEVFDRACTTSRELEFHTFSLTIHNLPPQAQIIWSCNQNNPYNAPFRPSSVTDFSSTLIHGGCAQRFQARFGRNQRSPGSQFRLFLSNGSSVIPNALQERGCTRALRSMTSSLGDYAMICSDQPELDFDTFNLLVRHNRDVRIVWSCDTNSRYPSPYNPSHIEGSPAQFIGGCGGTVAQWSANFGRGNISLDTKFRIALENGTSSVQMPNEPSRQTCLQAIRPSHFDPGGYIELVDFNCRSHYEVDFDHITLQISSGPDTRIYWICEKNPPYRNPFGPSSFSGTAGSLEGGCGYVFWQMQFGKERRGVGDTLLLVQLKSSTTSGPPPLDVGCYRGMQRPGDYLELYDTSCNIHHELDFALFTYNVTNGTTARITWNCHQNESYPNPYGISELIGYTQNVIVVNECTQRYEAKFGRGQQGEDTRLKIFMSDGSSRILPPKEDGCLQTLLHSNSSPGDYVALYDLTCNPHHELHFDKFELEFASGHSSKLWWYCESSHYYPMPYNPSIFTGSSTTLNGGCGTSSGDWYASFGSRTDIQDSKFKLWLKNSHSIITPPLNSGYFIGLLPSKEYGGDRIELFDTYYNGIAEELSFNTFHVAILQGTRVTIRYICEWNNPYNNPFNPSTFTGLDDTYDQLCATAASIAEYNILFGEYALDSDTVFVLMLSNGSSTLSPPISKGCYRGLSTESDTDYVELYDNTCNYHFELHFTEFMLHILHNPQVLIHWTCNIGHPYVNPHGPSQITGTSETLIEGCALDEASFKAMFGHNETSSSKVFTVYLFNYVSTIFSPIDTGCYKKNEPSGLISSSGIEVYDTECHASSGIEFVTFNLLIVQSQPQHITWRCTREGPYVNPFFPSRIVGEGTLEDGCAVQYVARFSAKEVSADIKFRIWVSNTISKIHSITGNGCVKGLLTEGDRVEIYNQSSDSQCQLEVHTFNIQIQEGQPQKMMYVCQRTHTFPGSLEPLFLEGEPDTYNGGCRLDSPSTLYTIHFGQDSIQSDSHFHIRAFPGTTQLPPVSFTTCFKGLLPDQYLPGDRIELYDKNCDDHHELSLTGFTFTVLLDPSTVVIWVCDRGPPYNGPYGPSKISGYPGTLIGGCEPQQTEWRVTFGRGNRLHESYLHFYLANDSSLLEHPLSSGCFRGLQFASSSDGDYVQLFEHPCNDMSELRFFTFTIRLVKGMETKIVWFCNVDHVYGVDPSRYSFSGNIGSLSEGCVQQPVQCLAGTWLRTCECSFSDVGDVCTGGNLCPNSLCENINETCNVGTWGSDCKCFYGSVTDICQGNLCPHSLCINSLQVCGNPGYGNGTYGPLCTCLPLSGLTSSCSADPHCGGNSVSCIDTLTCVRGDGQYGPPCAQCHSSGPTNVCEVPPAVSCGGNNIECENIDECIYGNGQWGTPCAGCYFSGQTNFCQVLTSCPGPQFSCEDRDLTCAPSNPSFMWGADCVCSYKPEGAQERMLDECIGHVCRAVSGGECDDYKSACQPGYTEPPCHCSYSGMTNVCTGGNQCSLPTGSYIECENVDASCLPGKWQPPCICFFSDHLNLCTGGNQCPNSSCVKKDVTQQLGQFKRELDVLKSNLQYIQSSINQPLGIASGKLEIIDEKNKQIQHLCESLDEDNLKIKGLSGTRFEINQASGTLFVLNGRETAMRPQQLNISRKQRQLELEGAEGNVEAFILLTLLSWLFPLSMNMM
+>sp|Q13617|CUL2_HUMAN Cullin-2 OS=Homo sapiens OX=9606 GN=CUL2 PE=1 SV=2
+MSLKPRVVDFDETWNKLLTTIKAVVMLEYVERATWNDRFSDIYALCVAYPEPLGERLYTETKIFLENHVRHLHKRVLESEEQVLVMYHRYWEEYSKGADYMDCLYRYLNTQFIKKNKLTEADLQYGYGGVDMNEPLMEIGELALDMWRKLMVEPLQAILIRMLLREIKNDRGGEDPNQKVIHGVINSFVHVEQYKKKFPLKFYQEIFESPFLTETGEYYKQEASNLLQESNCSQYMEKVLGRLKDEEIRCRKYLHPSSYTKVIHECQQRMVADHLQFLHAECHNIIRQEKKNDMANMYVLLRAVSTGLPHMIQELQNHIHDEGLRATSNLTQENMPTLFVESVLEVHGKFVQLINTVLNGDQHFMSALDKALTSVVNYREPKSVCKAPELLAKYCDNLLKKSAKGMTENEVEDRLTSFITVFKYIDDKDVFQKFYARMLAKRLIHGLSMSMDSEEAMINKLKQACGYEFTSKLHRMYTDMSVSADLNNKFNNFIKNQDTVIDLGISFQIYVLQAGAWPLTQAPSSTFAIPQELEKSVQMFELFYSQHFSGRKLTWLHYLCTGEVKMNYLGKPYVAMVTTYQMAVLLAFNNSETVSYKELQDSTQMNEKELTKTIKSLLDVKMINHDSEKEDIDAESSFSLNMNFSSKRTKFKITTSMQKDTPQEMEQTRSAVDEDRKMYLQAAIVRIMKARKVLRHNALIQEVISQSRARFNPSISMIKKCIEVLIDKQYIERSQASADEYSYVA
+>DECOY_sp|Q13617|CUL2_HUMAN Cullin-2 OS=Homo sapiens OX=9606 GN=CUL2 PE=1 SV=2
+AVYSYEDASAQSREIYQKDILVEICKKIMSISPNFRARSQSIVEQILANHRLVKRAKMIRVIAAQLYMKRDEDVASRTQEMEQPTDKQMSTTIKFKTRKSSFNMNLSFSSEADIDEKESDHNIMKVDLLSKITKTLEKENMQTSDQLEKYSVTESNNFALLVAMQYTTVMAVYPKGLYNMKVEGTCLYHLWTLKRGSFHQSYFLEFMQVSKELEQPIAFTSSPAQTLPWAGAQLVYIQFSIGLDIVTDQNKIFNNFKNNLDASVSMDTYMRHLKSTFEYGCAQKLKNIMAEESDMSMSLGHILRKALMRAYFKQFVDKDDIYKFVTIFSTLRDEVENETMGKASKKLLNDCYKALLEPAKCVSKPERYNVVSTLAKDLASMFHQDGNLVTNILQVFKGHVELVSEVFLTPMNEQTLNSTARLGEDHIHNQLEQIMHPLGTSVARLLVYMNAMDNKKEQRIINHCEAHLFQLHDAVMRQQCEHIVKTYSSPHLYKRCRIEEDKLRGLVKEMYQSCNSEQLLNSAEQKYYEGTETLFPSEFIEQYFKLPFKKKYQEVHVFSNIVGHIVKQNPDEGGRDNKIERLLMRILIAQLPEVMLKRWMDLALEGIEMLPENMDVGGYGYQLDAETLKNKKIFQTNLYRYLCDMYDAGKSYEEWYRHYMVLVQEESELVRKHLHRVHNELFIKTETYLREGLPEPYAVCLAYIDSFRDNWTAREVYELMVVAKITTLLKNWTEDFDVVRPKLSM
+>sp|Q13618|CUL3_HUMAN Cullin-3 OS=Homo sapiens OX=9606 GN=CUL3 PE=1 SV=2
+MSNLSKGTGSRKDTKMRIRAFPMTMDEKYVNSIWDLLKNAIQEIQRKNNSGLSFEELYRNAYTMVLHKHGEKLYTGLREVVTEHLINKVREDVLNSLNNNFLQTLNQAWNDHQTAMVMIRDILMYMDRVYVQQNNVENVYNLGLIIFRDQVVRYGCIRDHLRQTLLDMIARERKGEVVDRGAIRNACQMLMILGLEGRSVYEEDFEAPFLEMSAEFFQMESQKFLAENSASVYIKKVEARINEEIERVMHCLDKSTEEPIVKVVERELISKHMKTIVEMENSGLVHMLKNGKTEDLGCMYKLFSRVPNGLKTMCECMSSYLREQGKALVSEEGEGKNPVDYIQGLLDLKSRFDRFLLESFNNDRLFKQTIAGDFEYFLNLNSRSPEYLSLFIDDKLKKGVKGLTEQEVETILDKAMVLFRFMQEKDVFERYYKQHLARRLLTNKSVSDDSEKNMISKLKTECGCQFTSKLEGMFRDMSISNTTMDEFRQHLQATGVSLGGVDLTVRVLTTGYWPTQSATPKCNIPPAPRHAFEIFRRFYLAKHSGRQLTLQHHMGSADLNATFYGPVKKEDGSEVGVGGAQVTGSNTRKHILQVSTFQMTILMLFNNREKYTFEEIQQETDIPERELVRALQSLACGKPTQRVLTKEPKSKEIENGHIFTVNDQFTSKLHRVKIQTVAAKQGESDPERKETRQKVDDDRKHEIEAAIVRIMKSRKKMQHNVLVAEVTQQLKARFLPSPVVIKKRIEGLIEREYLARTPEDRKVYTYVA
+>DECOY_sp|Q13618|CUL3_HUMAN Cullin-3 OS=Homo sapiens OX=9606 GN=CUL3 PE=1 SV=2
+AVYTYVKRDEPTRALYEREILGEIRKKIVVPSPLFRAKLQQTVEAVLVNHQMKKRSKMIRVIAAEIEHKRDDDVKQRTEKREPDSEGQKAAVTQIKVRHLKSTFQDNVTFIHGNEIEKSKPEKTLVRQTPKGCALSQLARVLEREPIDTEQQIEEFTYKERNNFLMLITMQFTSVQLIHKRTNSGTVQAGGVGVESGDEKKVPGYFTANLDASGMHHQLTLQRGSHKALYFRRFIEFAHRPAPPINCKPTASQTPWYGTTLVRVTLDVGGLSVGTAQLHQRFEDMTTNSISMDRFMGELKSTFQCGCETKLKSIMNKESDDSVSKNTLLRRALHQKYYREFVDKEQMFRFLVMAKDLITEVEQETLGKVGKKLKDDIFLSLYEPSRSNLNLFYEFDGAITQKFLRDNNFSELLFRDFRSKLDLLGQIYDVPNKGEGEESVLAKGQERLYSSMCECMTKLGNPVRSFLKYMCGLDETKGNKLMHVLGSNEMEVITKMHKSILEREVVKVIPEETSKDLCHMVREIEENIRAEVKKIYVSASNEALFKQSEMQFFEASMELFPAEFDEEYVSRGELGLIMLMQCANRIAGRDVVEGKRERAIMDLLTQRLHDRICGYRVVQDRFIILGLNYVNEVNNQQVYVRDMYMLIDRIMVMATQHDNWAQNLTQLFNNNLSNLVDERVKNILHETVVERLGTYLKEGHKHLVMTYANRYLEEFSLGSNNKRQIEQIANKLLDWISNVYKEDMTMPFARIRMKTDKRSGTGKSLNSM
+>sp|Q8IWT3|CUL9_HUMAN Cullin-9 OS=Homo sapiens OX=9606 GN=CUL9 PE=1 SV=2
+MVGERHAGDLMVPLGPRLQAYPEELIRQRPGHDGHPEYLIRWSVLKCGEVGKVGVEEGKAEHILMWLSAPEVYANCPGLLGERALSKGLQHEPAGVSGSFPRDPGGLDEVAMGEMEADVQALVRRAARQLAESGTPSLTAAVLHTIHVLSAYASIGPLTGVFRETGALDLLMHMLCNPEPQIRRSAGKMLQALAAHDAGSRAHVLLSLSQQDGIEQHMDFDSRYTLLELFAETTSSEEHCMAFEGIHLPQIPGKLLFSLVKRYLCVTSLLDQLNSSPELGAGDQSSPCATREKSRGQRELEFSMAVGNLISELVRSMGWARNLSEQGMSPPRPTRSIFQPYISGPSLLLPTIVTTPRRQGWVFRQRSEFSSRSGYGEYVQQTLQPGMRVRMLDDYEEISAGDEGEFRQSNNGIPPVQVFWQSTGRTYWVHWHMLEILGPEEATEDKASAAVEKGAGATVLGTAFPSWDWNPMDGLYPLPYLQPEPQKNERVGYLTQAEWWELLFFIKKLDLCEQQPIFQNLWKNLDETLGEKALGEISVSVEMAESLLQVLSSRFEGSTLNDLLNSQIYTKYGLLSNEPSSSSTSRNHSCTPDPEEESKSEASFSEEETESLKAKAEAPKTEAEPTKTRTETPMAQSDSQLFNQLLVTEGMTLPTEMKEAASEMARALRGPGPRSSLDQHVAAVVATVQISSLDTNLQLSGLSALSQAVEEVTERDHPLVRPDRSLREKLVKMLVELLTNQVGEKMVVVQALRLLYLLMTKHEWRPLFAREGGIYAVLVCMQEYKTSVLVQQAGLAALKMLAVASSSEIPTFVTGRDSIHSLFDAQMTREIFASIDSATRPGSESLLLTVPAAVILMLNTEGCSSAARNGLLLLNLLLCNHHTLGDQIITQELRDTLFRHSGIAPRTEPMPTTRTILMMLLNRYSEPPGSPERAALETPIIQGQDGSPELLIRSLVGGPSAELLLDLERVLCREGSPGGAVRPLLKRLQQETQPFLLLLRTLDAPGPNKTLLLSVLRVITRLLDFPEAMVLPWHEVLEPCLNCLSGPSSDSEIVQELTCFLHRLASMHKDYAVVLCCLGAKEILSKVLDKHSAQLLLGCELRDLVTECEKYAQLYSNLTSSILAGCIQMVLGQIEDHRRTHQPINIPFFDVFLRHLCQGSSVEVKEDKCWEKVEVSSNPHRASKLTDHNPKTYWESNGSTGSHYITLHMHRGVLVRQLTLLVASEDSSYMPARVVVFGGDSTSCIGTELNTVNVMPSASRVILLENLNRFWPIIQIRIKRCQQGGIDTRVRGVEVLGPKPTFWPLFREQLCRRTCLFYTIRAQAWSRDIAEDHRRLLQLCPRLNRVLRHEQNFADRFLPDDEAAQALGKTCWEALVSPLVQNITSPDAEGVSALGWLLDQYLEQRETSRNPLSRAASFASRVRRLCHLLVHVEPPPGPSPEPSTRPFSKNSKGRDRSPAPSPVLPSSSLRNITQCWLSVVQEQVSRFLAAAWRAPDFVPRYCKLYEHLQRAGSELFGPRAAFMLALRSGFSGALLQQSFLTAAHMSEQFARYIDQQIQGGLIGGAPGVEMLGQLQRHLEPIMVLSGLELATTFEHFYQHYMADRLLSFGSSWLEGAVLEQIGLCFPNRLPQLMLQSLSTSEELQRQFHLFQLQRLDKLFLEQEDEEEKRLEEEEEEEEEEEAEKELFIEDPSPAISILVLSPRCWPVSPLCYLYHPRKCLPTEFCDALDRFSSFYSQSQNHPVLDMGPHRRLQWTWLGRAELQFGKQILHVSTVQMWLLLKFNQTEEVSVETLLKDSDLSPELLLQALVPLTSGNGPLTLHEGQDFPHGGVLRLHEPGPQRSGEALWLIPPQAYLNVEKDEGRTLEQKRNLLSCLLVRILKAHGEKGLHIDQLVCLVLEAWQKGPNPPGTLGHTVAGGVACTSTDVLSCILHLLGQGYVKRRDDRPQILMYAAPEPMGPCRGQADVPFCGSQSETSKPSPEAVATLASLQLPAGRTMSPQEVEGLMKQTVRQVQETLNLEPDVAQHLLAHSHWGAEQLLQSYSEDPEPLLLAAGLCVHQAQAVPVRPDHCPVCVSPLGCDDDLPSLCCMHYCCKSCWNEYLTTRIEQNLVLNCTCPIADCPAQPTGAFIRAIVSSPEVISKYEKALLRGYVESCSNLTWCTNPQGCDRILCRQGLGCGTTCSKCGWASCFNCSFPEAHYPASCGHMSQWVDDGGYYDGMSVEAQSKHLAKLISKRCPSCQAPIEKNEGCLHMTCAKCNHGFCWRCLKSWKPNHKDYYNCSAMVSKAARQEKRFQDYNERCTFHHQAREFAVNLRNRVSAIHEVPPPRSFTFLNDACQGLEQARKVLAYACVYSFYSQDAEYMDVVEQQTENLELHTNALQILLEETLLRCRDLASSLRLLRADCLSTGMELLRRIQERLLAILQHSAQDFRVGLQSPSVEAWEAKGPNMPGSQPQASSGPEAEEEEEDDEDDVPEWQQDEFDEELDNDSFSYDESENLDQETFFFGDEEEDEDEAYD
+>DECOY_sp|Q8IWT3|CUL9_HUMAN Cullin-9 OS=Homo sapiens OX=9606 GN=CUL9 PE=1 SV=2
+DYAEDEDEEEDGFFFTEQDLNESEDYSFSDNDLEEDFEDQQWEPVDDEDDEEEEEAEPGSSAQPQSGPMNPGKAEWAEVSPSQLGVRFDQASHQLIALLREQIRRLLEMGTSLCDARLLRLSSALDRCRLLTEELLIQLANTHLELNETQQEVVDMYEADQSYFSYVCAYALVKRAQELGQCADNLFTFSRPPPVEHIASVRNRLNVAFERAQHHFTCRENYDQFRKEQRAAKSVMASCNYYDKHNPKWSKLCRWCFGHNCKACTMHLCGENKEIPAQCSPCRKSILKALHKSQAEVSMGDYYGGDDVWQSMHGCSAPYHAEPFSCNFCSAWGCKSCTTGCGLGQRCLIRDCGQPNTCWTLNSCSEVYGRLLAKEYKSIVEPSSVIARIFAGTPQAPCDAIPCTCNLVLNQEIRTTLYENWCSKCCYHMCCLSPLDDDCGLPSVCVPCHDPRVPVAQAQHVCLGAALLLPEPDESYSQLLQEAGWHSHALLHQAVDPELNLTEQVQRVTQKMLGEVEQPSMTRGAPLQLSALTAVAEPSPKSTESQSGCFPVDAQGRCPGMPEPAAYMLIQPRDDRRKVYGQGLLHLICSLVDTSTCAVGGAVTHGLTGPPNPGKQWAELVLCVLQDIHLGKEGHAKLIRVLLCSLLNRKQELTRGEDKEVNLYAQPPILWLAEGSRQPGPEHLRLVGGHPFDQGEHLTLPGNGSTLPVLAQLLLEPSLDSDKLLTEVSVEETQNFKLLLWMQVTSVHLIQKGFQLEARGLWTWQLRRHPGMDLVPHNQSQSYFSSFRDLADCFETPLCKRPHYLYCLPSVPWCRPSLVLISIAPSPDEIFLEKEAEEEEEEEEEEELRKEEEDEQELFLKDLRQLQFLHFQRQLEESTSLSQLMLQPLRNPFCLGIQELVAGELWSSGFSLLRDAMYHQYFHEFTTALELGSLVMIPELHRQLQGLMEVGPAGGILGGQIQQDIYRAFQESMHAATLFSQQLLAGSFGSRLALMFAARPGFLESGARQLHEYLKCYRPVFDPARWAAALFRSVQEQVVSLWCQTINRLSSSPLVPSPAPSRDRGKSNKSFPRTSPEPSPGPPPEVHVLLHCLRRVRSAFSAARSLPNRSTERQELYQDLLWGLASVGEADPSTINQVLPSVLAEWCTKGLAQAAEDDPLFRDAFNQEHRLVRNLRPCLQLLRRHDEAIDRSWAQARITYFLCTRRCLQERFLPWFTPKPGLVEVGRVRTDIGGQQCRKIRIQIIPWFRNLNELLIVRSASPMVNVTNLETGICSTSDGGFVVVRAPMYSSDESAVLLTLQRVLVGRHMHLTIYHSGTSGNSEWYTKPNHDTLKSARHPNSSVEVKEWCKDEKVEVSSGQCLHRLFVDFFPINIPQHTRRHDEIQGLVMQICGALISSTLNSYLQAYKECETVLDRLECGLLLQASHKDLVKSLIEKAGLCCLVVAYDKHMSALRHLFCTLEQVIESDSSPGSLCNLCPELVEHWPLVMAEPFDLLRTIVRLVSLLLTKNPGPADLTRLLLLFPQTEQQLRKLLPRVAGGPSGERCLVRELDLLLEASPGGVLSRILLEPSGDQGQIIPTELAAREPSGPPESYRNLLMMLITRTTPMPETRPAIGSHRFLTDRLEQTIIQDGLTHHNCLLLNLLLLGNRAASSCGETNLMLIVAAPVTLLLSESGPRTASDISAFIERTMQADFLSHISDRGTVFTPIESSSAVALMKLAALGAQQVLVSTKYEQMCVLVAYIGGERAFLPRWEHKTMLLYLLRLAQVVVMKEGVQNTLLEVLMKVLKERLSRDPRVLPHDRETVEEVAQSLASLGSLQLNTDLSSIQVTAVVAAVHQDLSSRPGPGRLARAMESAAEKMETPLTMGETVLLQNFLQSDSQAMPTETRTKTPEAETKPAEAKAKLSETEEESFSAESKSEEEPDPTCSHNRSTSSSSPENSLLGYKTYIQSNLLDNLTSGEFRSSLVQLLSEAMEVSVSIEGLAKEGLTEDLNKWLNQFIPQQECLDLKKIFFLLEWWEAQTLYGVRENKQPEPQLYPLPYLGDMPNWDWSPFATGLVTAGAGKEVAASAKDETAEEPGLIELMHWHVWYTRGTSQWFVQVPPIGNNSQRFEGEDGASIEEYDDLMRVRMGPQLTQQVYEGYGSRSSFESRQRFVWGQRRPTTVITPLLLSPGSIYPQFISRTPRPPSMGQESLNRAWGMSRVLESILNGVAMSFELERQGRSKERTACPSSQDGAGLEPSSNLQDLLSTVCLYRKVLSFLLKGPIQPLHIGEFAMCHEESSTTEAFLELLTYRSDFDMHQEIGDQQSLSLLVHARSGADHAALAQLMKGASRRIQPEPNCLMHMLLDLAGTERFVGTLPGISAYASLVHITHLVAATLSPTGSEALQRAARRVLAQVDAEMEGMAVEDLGGPDRPFSGSVGAPEHQLGKSLAREGLLGPCNAYVEPASLWMLIHEAKGEEVGVKGVEGCKLVSWRILYEPHGDHGPRQRILEEPYAQLRPGLPVMLDGAHREGVM
+>sp|O60888|CUTA_HUMAN Protein CutA OS=Homo sapiens OX=9606 GN=CUTA PE=1 SV=2
+MSGGRAPAVLLGGVASLLLSFVWMPALLPVASRLLLLPRVLLTMASGSPPTQPSPASDSGSGYVPGSVSAAFVTCPNEKVAKEIARAVVEKRLAACVNLIPQITSIYEWKGKIEEDSEVLMMIKTQSSLVPALTDFVRSVHPYEVAEVIALPVEQGNFPYLQWVRQVTESVSDSITVLP
+>DECOY_sp|O60888|CUTA_HUMAN Protein CutA OS=Homo sapiens OX=9606 GN=CUTA PE=1 SV=2
+PLVTISDSVSETVQRVWQLYPFNGQEVPLAIVEAVEYPHVSRVFDTLAPVLSSQTKIMMLVESDEEIKGKWEYISTIQPILNVCAALRKEVVARAIEKAVKENPCTVFAASVSGPVYGSGSDSAPSPQTPPSGSAMTLLVRPLLLLRSAVPLLAPMWVFSLLLSAVGGLLVAPARGGSM
+>sp|Q86UP6|CUZD1_HUMAN CUB and zona pellucida-like domain-containing protein 1 OS=Homo sapiens OX=9606 GN=CUZD1 PE=2 SV=1
+MELVRRLMPLTLLILSCLAELTMAEAEGNASCTVSLGGANMAETHKAMILQLNPSENCTWTIERPENKSIRIIFSYVQLDPDGSCESENIKVFDGTSSNGPLLGQVCSKNDYVPVFESSSSTLTFQIVTDSARIQRTVFVFYYFFSPNISIPNCGGYLDTLEGSFTSPNYPKPHPELAYCVWHIQVEKDYKIKLNFKEIFLEIDKQCKFDFLAIYDGPSTNSGLIGQVCGRVTPTFESSSNSLTVVLSTDYANSYRGFSASYTSIYAENINTTSLTCSSDRMRVIISKSYLEAFNSNGNNLQLKDPTCRPKLSNVVEFSVPLNGCGTIRKVEDQSITYTNIITFSASSTSEVITRQKQLQIIVKCEMGHNSTVEIIYITEDDVIQSQNALGKYNTSMALFESNSFEKTILESPYYVDLNQTLFVQVSLHTSDPNLVVFLDTCRASPTSDFASPTYDLIKSGCSRDETCKVYPLFGHYGRFQFNAFKFLRSMSSVYLQCKVLICDSSDHQSRCNQGCVSRSKRDISSYKWKTDSIIGPIRLKRDRSASGNSGFQHETHAEETPNQPFNSVHLFSFMVLALNVVTVATITVRHFVNQRADYKYQKLQNY
+>DECOY_sp|Q86UP6|CUZD1_HUMAN CUB and zona pellucida-like domain-containing protein 1 OS=Homo sapiens OX=9606 GN=CUZD1 PE=2 SV=1
+YNQLKQYKYDARQNVFHRVTITAVTVVNLALVMFSFLHVSNFPQNPTEEAHTEHQFGSNGSASRDRKLRIPGIISDTKWKYSSIDRKSRSVCGQNCRSQHDSSDCILVKCQLYVSSMSRLFKFANFQFRGYHGFLPYVKCTEDRSCGSKILDYTPSAFDSTPSARCTDLFVVLNPDSTHLSVQVFLTQNLDVYYPSELITKEFSNSEFLAMSTNYKGLANQSQIVDDETIYIIEVTSNHGMECKVIIQLQKQRTIVESTSSASFTIINTYTISQDEVKRITGCGNLPVSFEVVNSLKPRCTPDKLQLNNGNSNFAELYSKSIIVRMRDSSCTLSTTNINEAYISTYSASFGRYSNAYDTSLVVTLSNSSSEFTPTVRGCVQGILGSNTSPGDYIALFDFKCQKDIELFIEKFNLKIKYDKEVQIHWVCYALEPHPKPYNPSTFSGELTDLYGGCNPISINPSFFYYFVFVTRQIRASDTVIQFTLTSSSSEFVPVYDNKSCVQGLLPGNSSTGDFVKINESECSGDPDLQVYSFIIRISKNEPREITWTCNESPNLQLIMAKHTEAMNAGGLSVTCSANGEAEAMTLEALCSLILLTLPMLRRVLEM
+>sp|Q6UX04|CWC27_HUMAN Spliceosome-associated protein CWC27 homolog OS=Homo sapiens OX=9606 GN=CWC27 PE=1 SV=1
+MSNIYIQEPPTNGKVLLKTTAGDIDIELWSKEAPKACRNFIQLCLEAYYDNTIFHRVVPGFIVQGGDPTGTGSGGESIYGAPFKDEFHSRLRFNRRGLVAMANAGSHDNGSQFFFTLGRADELNNKHTIFGKVTGDTVYNMLRLSEVDIDDDERPHNPHKIKSCEVLFNPFDDIIPREIKRLKKEKPEEEVKKLKPKGTKNFSLLSFGEEAEEEEEEVNRVSQSMKGKSKSSHDLLKDDPHLSSVPVVESEKGDAPDLVDDGEDESAEHDEYIDGDEKNLMRERIAKKLKKDTSANVKSAGEGEVEKKSVSRSEELRKEARQLKRELLAAKQKKVENAAKQAEKRSEEEEAPPDGAVAEYRREKQKYEALRKQQSKKGTSREDQTLALLNQFKSKLTQAIAETPENDIPETEVEDDEGWMSHVLQFEDKSRKVKDASMQDSDTFEIYDPRNPVNKRRREESKKLMREKKERR
+>DECOY_sp|Q6UX04|CWC27_HUMAN Spliceosome-associated protein CWC27 homolog OS=Homo sapiens OX=9606 GN=CWC27 PE=1 SV=1
+RREKKERMLKKSEERRRKNVPNRPDYIEFTDSDQMSADKVKRSKDEFQLVHSMWGEDDEVETEPIDNEPTEAIAQTLKSKFQNLLALTQDERSTGKKSQQKRLAEYKQKERRYEAVAGDPPAEEEESRKEAQKAANEVKKQKAALLERKLQRAEKRLEESRSVSKKEVEGEGASKVNASTDKKLKKAIRERMLNKEDGDIYEDHEASEDEGDDVLDPADGKESEVVPVSSLHPDDKLLDHSSKSKGKMSQSVRNVEEEEEEAEEGFSLLSFNKTGKPKLKKVEEEPKEKKLRKIERPIIDDFPNFLVECSKIKHPNHPREDDDIDVESLRLMNYVTDGTVKGFITHKNNLEDARGLTFFFQSGNDHSGANAMAVLGRRNFRLRSHFEDKFPAGYISEGGSGTGTPDGGQVIFGPVVRHFITNDYYAELCLQIFNRCAKPAEKSWLEIDIDGATTKLLVKGNTPPEQIYINSM
+>sp|Q9HAI6|CX021_HUMAN Uncharacterized protein CXorf21 OS=Homo sapiens OX=9606 GN=CXorf21 PE=2 SV=1
+MLSEGYLSGLEYWNDIHWSCASYNEQVAGEKEEETNSVATLSYSSVDETQVRSLYVSCKSSGKFISSVHSRESQHSRSQRVTVLQTNPNPVFESPNLAAVEICRDASRETYLVPSSCKSICKNYNDLQIAGGQVMAINSVTTDFPSESSFEYGPLLKSSEIPLPMEDSISTQPSDFPQKPIQRYSSYWRITSIKEKSSLQMQNPISNAVLNEYLEQKVVELYKQYIMDTVFHDSSPTQILASELIMTSVDQISLQVSREKNLETSKARDIVFSRLLQLMSTEITEISTPSLHISQYSNVNP
+>DECOY_sp|Q9HAI6|CX021_HUMAN Uncharacterized protein CXorf21 OS=Homo sapiens OX=9606 GN=CXorf21 PE=2 SV=1
+PNVNSYQSIHLSPTSIETIETSMLQLLRSFVIDRAKSTELNKERSVQLSIQDVSTMILESALIQTPSSDHFVTDMIYQKYLEVVKQELYENLVANSIPNQMQLSSKEKISTIRWYSSYRQIPKQPFDSPQTSISDEMPLPIESSKLLPGYEFSSESPFDTTVSNIAMVQGGAIQLDNYNKCISKCSSPVLYTERSADRCIEVAALNPSEFVPNPNTQLVTVRQSRSHQSERSHVSSIFKGSSKCSVYLSRVQTEDVSSYSLTAVSNTEEEKEGAVQENYSACSWHIDNWYELGSLYGESLM
+>sp|O43169|CYB5B_HUMAN Cytochrome b5 type B OS=Homo sapiens OX=9606 GN=CYB5B PE=1 SV=2
+MATAEASGSDGKGQEVETSVTYYRLEEVAKRNSLKELWLVIHGRVYDVTRFLNEHPGGEEVLLEQAGVDASESFEDVGHSSDAREMLKQYYIGDIHPSDLKPESGSKDPSKNDTCKSCWAYWILPIIGAVLLGFLYRYYTSESKSS
+>DECOY_sp|O43169|CYB5B_HUMAN Cytochrome b5 type B OS=Homo sapiens OX=9606 GN=CYB5B PE=1 SV=2
+SSKSESTYYRYLFGLLVAGIIPLIWYAWCSKCTDNKSPDKSGSEPKLDSPHIDGIYYQKLMERADSSHGVDEFSESADVGAQELLVEEGGPHENLFRTVDYVRGHIVLWLEKLSNRKAVEELRYYTVSTEVEQGKGDSGSAEATAM
+>sp|Q96D31|CRCM1_HUMAN Calcium release-activated calcium channel protein 1 OS=Homo sapiens OX=9606 GN=ORAI1 PE=1 SV=2
+MHPEPAPPPSRSSPELPPSGGSTTSGSRRSRRRSGDGEPPGAPPPPPSAVTYPDWIGQSYSEVMSLNEHSMQALSWRKLYLSRAKLKASSRTSALLSGFAMVAMVEVQLDADHDYPPGLLIAFSACTTVLVAVHLFALMISTCILPNIEAVSNVHNLNSVKESPHERMHRHIELAWAFSTVIGTLLFLAEVVLLCWVKFLPLKKQPGQPRPTSKPPASGAAANVSTSGITPGQAAAIASTTIMVPFGLIFIVFAVHFYRSLVSHKTDRQFQELNELAEFARLQDQLDHRGDHPLTPGSHYA
+>DECOY_sp|Q96D31|CRCM1_HUMAN Calcium release-activated calcium channel protein 1 OS=Homo sapiens OX=9606 GN=ORAI1 PE=1 SV=2
+AYHSGPTLPHDGRHDLQDQLRAFEALENLEQFQRDTKHSVLSRYFHVAFVIFILGFPVMITTSAIAAAQGPTIGSTSVNAAAGSAPPKSTPRPQGPQKKLPLFKVWCLLVVEALFLLTGIVTSFAWALEIHRHMREHPSEKVSNLNHVNSVAEINPLICTSIMLAFLHVAVLVTTCASFAILLGPPYDHDADLQVEVMAVMAFGSLLASTRSSAKLKARSLYLKRWSLAQMSHENLSMVESYSQGIWDPYTVASPPPPPAGPPEGDGSRRRSRRSGSTTSGGSPPLEPSSRSPPPAPEPHM
+>sp|P16220|CREB1_HUMAN Cyclic AMP-responsive element-binding protein 1 OS=Homo sapiens OX=9606 GN=CREB1 PE=1 SV=2
+MTMESGAENQQSGDAAVTEAENQQMTVQAQPQIATLAQVSMPAAHATSSAPTVTLVQLPNGQTVQVHGVIQAAQPSVIQSPQVQTVQSSCKDLKRLFSGTQISTIAESEDSQESVDSVTDSQKRREILSRRPSYRKILNDLSSDAPGVPRIEEEKSEEETSAPAITTVTVPTPIYQTSSGQYIAITQGGAIQLANNGTDGVQGLQTLTMTNAAATQPGTTILQYAQTTDGQQILVPSNQVVVQAASGDVQTYQIRTAPTSTIAPGVVMASSPALPTQPAEEAARKREVRLMKNREAARECRRKKKEYVKCLENRVAVLENQNKTLIEELKALKDLYCHKSD
+>DECOY_sp|P16220|CREB1_HUMAN Cyclic AMP-responsive element-binding protein 1 OS=Homo sapiens OX=9606 GN=CREB1 PE=1 SV=2
+DSKHCYLDKLAKLEEILTKNQNELVAVRNELCKVYEKKKRRCERAAERNKMLRVERKRAAEEAPQTPLAPSSAMVVGPAITSTPATRIQYTQVDGSAAQVVVQNSPVLIQQGDTTQAYQLITTGPQTAAANTMTLTQLGQVGDTGNNALQIAGGQTIAIYQGSSTQYIPTPVTVTTIAPASTEEESKEEEIRPVGPADSSLDNLIKRYSPRRSLIERRKQSDTVSDVSEQSDESEAITSIQTGSFLRKLDKCSSQVTQVQPSQIVSPQAAQIVGHVQVTQGNPLQVLTVTPASSTAHAAPMSVQALTAIQPQAQVTMQQNEAETVAADGSQQNEAGSEMTM
+>sp|O43889|CREB3_HUMAN Cyclic AMP-responsive element-binding protein 3 OS=Homo sapiens OX=9606 GN=CREB3 PE=1 SV=2
+MELELDAGDQDLLAFLLEESGDLGTAPDEAVRAPLDWALPLSEVPSDWEVDDLLCSLLSPPASLNILSSSNPCLVHHDHTYSLPRETVSMDLESESCRKEGTQMTPQHMEELAEQEIARLVLTDEEKSLLEKEGLILPETLPLTKTEEQILKRVRRKIRNKRSAQESRRKKKVYVGGLESRVLKYTAQNMELQNKVQLLEEQNLSLLDQLRKLQAMVIEISNKTSSSSTCILVLLVSFCLLLVPAMYSSDTRGSLPAEHGVLSRQLRALPSEDPYQLELPALQSEVPKDSTHQWLDGSDCVLQAPGNTSCLLHYMPQAPSAEPPLEWPFPDLFSEPLCRGPILPLQANLTRKGGWLPTGSPSVILQDRYSG
+>DECOY_sp|O43889|CREB3_HUMAN Cyclic AMP-responsive element-binding protein 3 OS=Homo sapiens OX=9606 GN=CREB3 PE=1 SV=2
+GSYRDQLIVSPSGTPLWGGKRTLNAQLPLIPGRCLPESFLDPFPWELPPEASPAQPMYHLLCSTNGPAQLVCDSGDLWQHTSDKPVESQLAPLELQYPDESPLARLQRSLVGHEAPLSGRTDSSYMAPVLLLCFSVLLVLICTSSSSTKNSIEIVMAQLKRLQDLLSLNQEELLQVKNQLEMNQATYKLVRSELGGVYVKKKRRSEQASRKNRIKRRVRKLIQEETKTLPLTEPLILGEKELLSKEEDTLVLRAIEQEALEEMHQPTMQTGEKRCSESELDMSVTERPLSYTHDHHVLCPNSSSLINLSAPPSLLSCLLDDVEWDSPVESLPLAWDLPARVAEDPATGLDGSEELLFALLDQDGADLELEM
+>sp|Q8IUR6|CRERF_HUMAN CREB3 regulatory factor OS=Homo sapiens OX=9606 GN=CREBRF PE=1 SV=2
+MPQPSVSGMDPPFGDAFRSHTFSEQTLMSTDLLANSSDPDFMYELDREMNYQQNPRDNFLSLEDCKDIENLESFTDVLDNEGALTSNWEQWDTYCEDLTKYTKLTSCDIWGTKEVDYLGLDDFSSPYQDEEVISKTPTLAQLNSEDSQSVSDSLYYPDSLFSVKQNPLPSSFPGKKITSRAAAPVCSSKTLQAEVPLSDCVQKASKPTSSTQIMVKTNMYHNEKVNFHVECKDYVKKAKVKINPVQQSRPLLSQIHTDAAKENTCYCGAVAKRQEKKGMEPLQGHATPALPFKETQELLLSPLPQEGPGSLAAGESSSLSASTSVSDSSQKKEEHNYSLFVSDNLGEQPTKCSPEEDEEDEEDVDDEDHDEGFGSEHELSENEEEEEEEEDYEDDKDDDISDTFSEPGYENDSVEDLKEVTSISSRKRGKRRYFWEYSEQLTPSQQERMLRPSEWNRDTLPSNMYQKNGLHHGKYAVKKSRRTDVEDLTPNPKKLLQIGNELRKLNKVISDLTPVSELPLTARPRSRKEKNKLASRACRLKKKAQYEANKVKLWGLNTEYDNLLFVINSIKQEIVNRVQNPRDERGPNMGQKLEILIKDTLGLPVAGQTSEFVNQVLEKTAEGNPTGGLVGLRIPTSKV
+>DECOY_sp|Q8IUR6|CRERF_HUMAN CREB3 regulatory factor OS=Homo sapiens OX=9606 GN=CREBRF PE=1 SV=2
+VKSTPIRLGVLGGTPNGEATKELVQNVFESTQGAVPLGLTDKILIELKQGMNPGREDRPNQVRNVIEQKISNIVFLLNDYETNLGWLKVKNAEYQAKKKLRCARSALKNKEKRSRPRATLPLESVPTLDSIVKNLKRLENGIQLLKKPNPTLDEVDTRRSKKVAYKGHHLGNKQYMNSPLTDRNWESPRLMREQQSPTLQESYEWFYRRKGRKRSSISTVEKLDEVSDNEYGPESFTDSIDDDKDDEYDEEEEEEEENESLEHESGFGEDHDEDDVDEEDEEDEEPSCKTPQEGLNDSVFLSYNHEEKKQSSDSVSTSASLSSSEGAALSGPGEQPLPSLLLEQTEKFPLAPTAHGQLPEMGKKEQRKAVAGCYCTNEKAADTHIQSLLPRSQQVPNIKVKAKKVYDKCEVHFNVKENHYMNTKVMIQTSSTPKSAKQVCDSLPVEAQLTKSSCVPAAARSTIKKGPFSSPLPNQKVSFLSDPYYLSDSVSQSDESNLQALTPTKSIVEEDQYPSSFDDLGLYDVEKTGWIDCSTLKTYKTLDECYTDWQEWNSTLAGENDLVDTFSELNEIDKCDELSLFNDRPNQQYNMERDLEYMFDPDSSNALLDTSMLTQESFTHSRFADGFPPDMGSVSPQPM
+>sp|P07320|CRGD_HUMAN Gamma-crystallin D OS=Homo sapiens OX=9606 GN=CRYGD PE=1 SV=3
+MGKITLYEDRGFQGRHYECSSDHPNLQPYLSRCNSARVDSGCWMLYEQPNYSGLQYFLRRGDYADHQQWMGLSDSVRSCRLIPHSGSHRIRLYEREDYRGQMIEFTEDCSCLQDRFRFNEIHSLNVLEGSWVLYELSNYRGRQYLLMPGDYRRYQDWGATNARVGSLRRVIDFS
+>DECOY_sp|P07320|CRGD_HUMAN Gamma-crystallin D OS=Homo sapiens OX=9606 GN=CRYGD PE=1 SV=3
+SFDIVRRLSGVRANTAGWDQYRRYDGPMLLYQRGRYNSLEYLVWSGELVNLSHIENFRFRDQLCSCDETFEIMQGRYDEREYLRIRHSGSHPILRCSRVSDSLGMWQQHDAYDGRRLFYQLGSYNPQEYLMWCGSDVRASNCRSLYPQLNPHDSSCEYHRGQFGRDEYLTIKGM
+>sp|Q5TZA2|CROCC_HUMAN Rootletin OS=Homo sapiens OX=9606 GN=CROCC PE=1 SV=1
+MSLGLARAQEVELTLETVIQTLESSVLCQEKGLGARDLAQDAQITSLPALIREIVTRNLSQPESPVLLPATEMASLLSLQEENQLLQQELSRVEDLLAQSRAERDELAIKYNAVSERLEQALRLEPGELETQEPRGLVRQSVELRRQLQEEQASYRRKLQAYQEGQQRQAQLVQRLQGKILQYKKRCSELEQQLLERSGELEQQRLRDTEHSQDLESALIRLEEEQQRSASLAQVNAMLREQLDQAGSANQALSEDIRKVTNDWTRCRKELEHREAAWRREEESFNAYFSNEHSRLLLLWRQVVGFRRLVSEVKMFTERDLLQLGGELARTSRAVQEAGLGLSTGLRLAESRAEAALEKQALLQAQLEEQLRDKVLREKDLAQQQMQSDLDKADLSARVTELGLAVKRLEKQNLEKDQVNKDLTEKLEALESLRLQEQAALETEDGEGLQQTLRDLAQAVLSDSESGVQLSGSERTADASNGSLRGLSGQRTPSPPRRSSPGRGRSPRRGPSPACSDSSTLALIHSALHKRQLQVQDMRGRYEASQDLLGTLRKQLSDSESERRALEEQLQRLRDKTDGAMQAHEDAQREVQRLRSANELLSREKSNLAHSLQVAQQQAEELRQEREKLQAAQEELRRQRDRLEEEQEDAVQDGARVRRELERSHRQLEQLEGKRSVLAKELVEVREALSRATLQRDMLQAEKAEVAEALTKAEAGRVELELSMTKLRAEEASLQDSLSKLSALNESLAQDKLDLNRLVAQLEEEKSALQGRQRQAEQEATVAREEQERLEELRLEQEVARQGLEGSLRVAEQAQEALEQQLPTLRHERSQLQEQLAQLSRQLSGREQELEQARREAQRQVEALERAAREKEALAKEHAGLAVQLVAAEREGRTLSEEATRLRLEKEALEGSLFEVQRQLAQLEARREQLEAEGQALLLAKETLTGELAGLRQQIIATQEKASLDKELMAQKLVQAEREAQASLREQRAAHEEDLQRLQREKEAAWRELEAERAQLQSQLQREQEELLARLEAEKEELSEEIAALQQERDEGLLLAESEKQQALSLKESEKTALSEKLMGTRHSLATISLEMERQKRDAQSRQEQDRSTVNALTSELRDLRAQREEAAAAHAQEVRRLQEQARDLGKQRDSCLREAEELRTQLRLLEDARDGLRRELLEAQRKLRESQEGREVQRQEAGELRRSLGEGAKEREALRRSNEELRSAVKKAESERISLKLANEDKEQKLALLEEARTAVGKEAGELRTGLQEVERSRLEARRELQELRRQMKMLDSENTRLGRELAELQGRLALGERAEKESRRETLGLRQRLLKGEASLEVMRQELQVAQRKLQEQEGEFRTRERRLLGSLEEARGTEKQQLDHARGLELKLEAARAEAAELGLRLSAAEGRAQGLEAELARVEVQRRAAEAQLGGLRSALRRGLGLGRAPSPAPRPVPGSPARDAPAEGSGEGLNSPSTLECSPGSQPPSPGPATSPASPDLDPEAVRGALREFLQELRSAQRERDELRTQTSALNRQLAEMEAERDSATSRARQLQKAVAESEEARRSVDGRLSGVQAELALQEESVRRSERERRATLDQVATLERSLQATESELRASQEKISKMKANETKLEGDKRRLKEVLDASESRTVKLELQRRSLEGELQRSRLGLSDREAQAQALQDRVDSLQRQVADSEVKAGTLQLTVERLNGALAKVEESEGALRDKVRGLTEALAQSSASLNSTRDKNLHLQKALTACEHDRQVLQERLDAARQALSEARKQSSSLGEQVQTLRGEVADLELQRVEAEGQLQQLREVLRQRQEGEAAALNTVQKLQDERRLLQERLGSLQRALAQLEAEKREVERSALRLEKDRVALRRTLDKVEREKLRSHEDTVRLSAEKGRLDRTLTGAELELAEAQRQIQQLEAQVVVLEQSHSPAQLEVDAQQQQLELQQEVERLRSAQAQTERTLEARERAHRQRVRGLEEQVSTLKGQLQQELRRSSAPFSPPSGPPEK
+>DECOY_sp|Q5TZA2|CROCC_HUMAN Rootletin OS=Homo sapiens OX=9606 GN=CROCC PE=1 SV=1
+KEPPGSPPSFPASSRRLEQQLQGKLTSVQEELGRVRQRHARERAELTRETQAQASRLREVEQQLELQQQQADVELQAPSHSQELVVVQAELQQIQRQAEALELEAGTLTRDLRGKEASLRVTDEHSRLKEREVKDLTRRLAVRDKELRLASREVERKEAELQALARQLSGLREQLLRREDQLKQVTNLAAAEGEQRQRLVERLQQLQGEAEVRQLELDAVEGRLTQVQEGLSSSQKRAESLAQRAADLREQLVQRDHECATLAKQLHLNKDRTSNLSASSQALAETLGRVKDRLAGESEEVKALAGNLREVTLQLTGAKVESDAVQRQLSDVRDQLAQAQAERDSLGLRSRQLEGELSRRQLELKVTRSESADLVEKLRRKDGELKTENAKMKSIKEQSARLESETAQLSRELTAVQDLTARRERESRRVSEEQLALEAQVGSLRGDVSRRAEESEAVAKQLQRARSTASDREAEMEALQRNLASTQTRLEDRERQASRLEQLFERLAGRVAEPDLDPSAPSTAPGPSPPQSGPSCELTSPSNLGEGSGEAPADRAPSGPVPRPAPSPARGLGLGRRLASRLGGLQAEAARRQVEVRALEAELGQARGEAASLRLGLEAAEARAAELKLELGRAHDLQQKETGRAEELSGLLRRERTRFEGEQEQLKRQAVQLEQRMVELSAEGKLLRQRLGLTERRSEKEAREGLALRGQLEALERGLRTNESDLMKMQRRLEQLERRAELRSREVEQLGTRLEGAEKGVATRAEELLALKQEKDENALKLSIRESEAKKVASRLEENSRRLAEREKAGEGLSRRLEGAEQRQVERGEQSERLKRQAELLERRLGDRADELLRLQTRLEEAERLCSDRQKGLDRAQEQLRRVEQAHAAAAEERQARLDRLESTLANVTSRDQEQRSQADRKQREMELSITALSHRTGMLKESLATKESEKLSLAQQKESEALLLGEDREQQLAAIEESLEEKEAELRALLEEQERQLQSQLQAREAELERWAAEKERQLRQLDEEHAARQERLSAQAEREAQVLKQAMLEKDLSAKEQTAIIQQRLGALEGTLTEKALLLAQGEAELQERRAELQALQRQVEFLSGELAEKELRLRTAEESLTRGEREAAVLQVALGAHEKALAEKERAARELAEVQRQAERRAQELEQERGSLQRSLQALQEQLQSREHRLTPLQQELAEQAQEAVRLSGELGQRAVEQELRLEELREQEERAVTAEQEAQRQRGQLASKEEELQAVLRNLDLKDQALSENLASLKSLSDQLSAEEARLKTMSLELEVRGAEAKTLAEAVEAKEAQLMDRQLTARSLAERVEVLEKALVSRKGELQELQRHSRELERRVRAGDQVADEQEEELRDRQRRLEEQAAQLKEREQRLEEAQQQAVQLSHALNSKERSLLENASRLRQVERQADEHAQMAGDTKDRLRQLQEELARRESESDSLQKRLTGLLDQSAEYRGRMDQVQLQRKHLASHILALTSSDSCAPSPGRRPSRGRGPSSRRPPSPTRQGSLGRLSGNSADATRESGSLQVGSESDSLVAQALDRLTQQLGEGDETELAAQEQLRLSELAELKETLDKNVQDKELNQKELRKVALGLETVRASLDAKDLDSQMQQQALDKERLVKDRLQEELQAQLLAQKELAAEARSEALRLGTSLGLGAEQVARSTRALEGGLQLLDRETFMKVESVLRRFGVVQRWLLLLRSHENSFYANFSEEERRWAAERHELEKRCRTWDNTVKRIDESLAQNASGAQDLQERLMANVQALSASRQQEEELRILASELDQSHETDRLRQQELEGSRELLQQELESCRKKYQLIKGQLRQVLQAQRQQGEQYAQLKRRYSAQEEQLQRRLEVSQRVLGRPEQTELEGPELRLAQELRESVANYKIALEDREARSQALLDEVRSLEQQLLQNEEQLSLLSAMETAPLLVPSEPQSLNRTVIERILAPLSTIQADQALDRAGLGKEQCLVSSELTQIVTELTLEVEQARALGLSM
+>sp|Q6UUV7|CRTC3_HUMAN CREB-regulated transcription coactivator 3 OS=Homo sapiens OX=9606 GN=CRTC3 PE=1 SV=2
+MAASPGSGSANPRKFSEKIALHTQRQAEETRAFEQLMTDLTLSRVQFQKLQQLRLTQYHGGSLPNVSQLRSSASEFQPSFHQADNVRGTRHHGLVERPSRNRFHPLHRRSGDKPGRQFDGSAFGANYSSQPLDESWPRQQPPWKDEKHPGFRLTSALNRTNSDSALHTSALSTKPQDPYGGGGQSAWPAPYMGFCDGENNGHGEVASFPGPLKEENLLNVPKPLPKQLWETKEIQSLSGRPRSCDVGGGNAFPHNGQNLGLSPFLGTLNTGGSLPDLTNLHYSTPLPASLDTTDHHFGSMSVGNSVNNIPAAMTHLGIRSSSGLQSSRSNPSIQATLNKTVLSSSLNNHPQTSVPNASALHPSLRLFSLSNPSLSTTNLSGPSRRRQPPVSPLTLSPGPEAHQGFSRQLSSTSPLAPYPTSQMVSSDRSQLSFLPTEAQAQVSPPPPYPAPQELTQPLLQQPRAPEAPAQQPQAASSLPQSDFQLLPAQGSSLTNFFPDVGFDQQSMRPGPAFPQQVPLVQQGSRELQDSFHLRPSPYSNCGSLPNTILPEDSSTSLFKDLNSALAGLPEVSLNVDTPFPLEEELQIEPLSLDGLNMLSDSSMGLLDPSVEETFRADRL
+>DECOY_sp|Q6UUV7|CRTC3_HUMAN CREB-regulated transcription coactivator 3 OS=Homo sapiens OX=9606 GN=CRTC3 PE=1 SV=2
+LRDARFTEEVSPDLLGMSSDSLMNLGDLSLPEIQLEEELPFPTDVNLSVEPLGALASNLDKFLSTSSDEPLITNPLSGCNSYPSPRLHFSDQLERSGQQVLPVQQPFAPGPRMSQQDFGVDPFFNTLSSGQAPLLQFDSQPLSSAAQPQQAPAEPARPQQLLPQTLEQPAPYPPPPSVQAQAETPLFSLQSRDSSVMQSTPYPALPSTSSLQRSFGQHAEPGPSLTLPSVPPQRRRSPGSLNTTSLSPNSLSFLRLSPHLASANPVSTQPHNNLSSSLVTKNLTAQISPNSRSSQLGSSSRIGLHTMAAPINNVSNGVSMSGFHHDTTDLSAPLPTSYHLNTLDPLSGGTNLTGLFPSLGLNQGNHPFANGGGVDCSRPRGSLSQIEKTEWLQKPLPKPVNLLNEEKLPGPFSAVEGHGNNEGDCFGMYPAPWASQGGGGYPDQPKTSLASTHLASDSNTRNLASTLRFGPHKEDKWPPQQRPWSEDLPQSSYNAGFASGDFQRGPKDGSRRHLPHFRNRSPREVLGHHRTGRVNDAQHFSPQFESASSRLQSVNPLSGGHYQTLRLQQLKQFQVRSLTLDTMLQEFARTEEAQRQTHLAIKESFKRPNASGSGPSAAM
+>sp|P02511|CRYAB_HUMAN Alpha-crystallin B chain OS=Homo sapiens OX=9606 GN=CRYAB PE=1 SV=2
+MDIAIHHPWIRRPFFPFHSPSRLFDQFFGEHLLESDLFPTSTSLSPFYLRPPSFLRAPSWFDTGLSEMRLEKDRFSVNLDVKHFSPEELKVKVLGDVIEVHGKHEERQDEHGFISREFHRKYRIPADVDPLTITSSLSSDGVLTVNGPRKQVSGPERTIPITREEKPAVTAAPKK
+>DECOY_sp|P02511|CRYAB_HUMAN Alpha-crystallin B chain OS=Homo sapiens OX=9606 GN=CRYAB PE=1 SV=2
+KKPAATVAPKEERTIPITREPGSVQKRPGNVTLVGDSSLSSTITLPDVDAPIRYKRHFERSIFGHEDQREEHKGHVEIVDGLVKVKLEEPSFHKVDLNVSFRDKELRMESLGTDFWSPARLFSPPRLYFPSLSTSTPFLDSELLHEGFFQDFLRSPSHFPFFPRRIWPHHIAIDM
+>sp|A6NCJ1|CS071_HUMAN Uncharacterized protein C19orf71 OS=Homo sapiens OX=9606 GN=C19orf71 PE=4 SV=2
+MQTLRQEAARPCIPSGTLEASFPAPLYSDDYLSLEGSRWPPAIRQATRWKYTPMGRDAAGQLWYTGLTNSDAWEAWYNLPRAPASPFREAYNRWHSCYQHRECSMPSAYTQHLRETAWHDPIVPAQYQAPSTRWGSALWKDRPIRGKEYVLNRNRYGVEPLWRASDYVPSLSAPQRPPGTTQNYREWVLEPYCPSTCQRSPPSLTPTPR
+>DECOY_sp|A6NCJ1|CS071_HUMAN Uncharacterized protein C19orf71 OS=Homo sapiens OX=9606 GN=C19orf71 PE=4 SV=2
+RPTPTLSPPSRQCTSPCYPELVWERYNQTTGPPRQPASLSPVYDSARWLPEVGYRNRNLVYEKGRIPRDKWLASGWRTSPAQYQAPVIPDHWATERLHQTYASPMSCERHQYCSHWRNYAERFPSAPARPLNYWAEWADSNTLGTYWLQGAADRGMPTYKWRTAQRIAPPWRSGELSLYDDSYLPAPFSAELTGSPICPRAAEQRLTQM
+>sp|Q5T3F8|CSCL2_HUMAN CSC1-like protein 2 OS=Homo sapiens OX=9606 GN=TMEM63B PE=1 SV=1
+MLPFLLATLGTTALNNSNPKDYCYSARIRSTVLQGLPFGGVPTVLALDFMCFLALLFLFSILRKVAWDYGRLALVTDADRLRRQERDRVEQEYVASAMHGDSHDRYERLTSVSSSVDFDQRDNGFCSWLTAIFRIKDDEIRDKCGGDAVHYLSFQRHIIGLLVVVGVLSVGIVLPVNFSGDLLENNAYSFGRTTIANLKSGNNLLWLHTSFAFLYLLLTVYSMRRHTSKMRYKEDDLVKRTLFINGISKYAESEKIKKHFEEAYPNCTVLEARPCYNVARLMFLDAERKKAERGKLYFTNLQSKENVPTMINPKPCGHLCCCVVRGCEQVEAIEYYTKLEQKLKEDYKREKEKVNEKPLGMAFVTFHNETITAIILKDFNVCKCQGCTCRGEPRPSSCSESLHISNWTVSYAPDPQNIYWEHLSIRGFIWWLRCLVINVVLFILLFFLTTPAIIITTMDKFNVTKPVEYLNNPIITQFFPTLLLWCFSALLPTIVYYSAFFEAHWTRSGENRTTMHKCYTFLIFMVLLLPSLGLSSLDLFFRWLFDKKFLAEAAIRFECVFLPDNGAFFVNYVIASAFIGNAMDLLRIPGLLMYMIRLCLARSAAERRNVKRHQAYEFQFGAAYAWMMCVFTVVMTYSITCPIIVPFGLMYMLLKHLVDRYNLYYAYLPAKLDKKIHSGAVNQVVAAPILCLFWLLFFSTMRTGFLAPTSMFTFVVLVITIVICLCHVCFGHFKYLSAHNYKIEHTETDTVDPRSNGRPPTAAAVPKSAKYIAQVLQDSEVDGDGDGAPGSSGDEPPSSSSQDEELLMPPDALTDTDFQSCEDSLIENEIHQ
+>DECOY_sp|Q5T3F8|CSCL2_HUMAN CSC1-like protein 2 OS=Homo sapiens OX=9606 GN=TMEM63B PE=1 SV=1
+QHIENEILSDECSQFDTDTLADPPMLLEEDQSSSSPPEDGSSGPAGDGDGDVESDQLVQAIYKASKPVAAATPPRGNSRPDVTDTETHEIKYNHASLYKFHGFCVHCLCIVITIVLVVFTFMSTPALFGTRMTSFFLLWFLCLIPAAVVQNVAGSHIKKDLKAPLYAYYLNYRDVLHKLLMYMLGFPVIIPCTISYTMVVTFVCMMWAYAAGFQFEYAQHRKVNRREAASRALCLRIMYMLLGPIRLLDMANGIFASAIVYNVFFAGNDPLFVCEFRIAAEALFKKDFLWRFFLDLSSLGLSPLLLVMFILFTYCKHMTTRNEGSRTWHAEFFASYYVITPLLASFCWLLLTPFFQTIIPNNLYEVPKTVNFKDMTTIIIAPTTLFFLLIFLVVNIVLCRLWWIFGRISLHEWYINQPDPAYSVTWNSIHLSESCSSPRPEGRCTCGQCKCVNFDKLIIATITENHFTVFAMGLPKENVKEKERKYDEKLKQELKTYYEIAEVQECGRVVCCCLHGCPKPNIMTPVNEKSQLNTFYLKGREAKKREADLFMLRAVNYCPRAELVTCNPYAEEFHKKIKESEAYKSIGNIFLTRKVLDDEKYRMKSTHRRMSYVTLLLYLFAFSTHLWLLNNGSKLNAITTRGFSYANNELLDGSFNVPLVIGVSLVGVVVLLGIIHRQFSLYHVADGGCKDRIEDDKIRFIATLWSCFGNDRQDFDVSSSVSTLREYRDHSDGHMASAVYEQEVRDREQRRLRDADTVLALRGYDWAVKRLISFLFLLALFCMFDLALVTPVGGFPLGQLVTSRIRASYCYDKPNSNNLATTGLTALLFPLM
+>sp|P07333|CSF1R_HUMAN Macrophage colony-stimulating factor 1 receptor OS=Homo sapiens OX=9606 GN=CSF1R PE=1 SV=2
+MGPGVLLLLLVATAWHGQGIPVIEPSVPELVVKPGATVTLRCVGNGSVEWDGPPSPHWTLYSDGSSSILSTNNATFQNTGTYRCTEPGDPLGGSAAIHLYVKDPARPWNVLAQEVVVFEDQDALLPCLLTDPVLEAGVSLVRVRGRPLMRHTNYSFSPWHGFTIHRAKFIQSQDYQCSALMGGRKVMSISIRLKVQKVIPGPPALTLVPAELVRIRGEAAQIVCSASSVDVNFDVFLQHNNTKLAIPQQSDFHNNRYQKVLTLNLDQVDFQHAGNYSCVASNVQGKHSTSMFFRVVESAYLNLSSEQNLIQEVTVGEGLNLKVMVEAYPGLQGFNWTYLGPFSDHQPEPKLANATTKDTYRHTFTLSLPRLKPSEAGRYSFLARNPGGWRALTFELTLRYPPEVSVIWTFINGSGTLLCAASGYPQPNVTWLQCSGHTDRCDEAQVLQVWDDPYPEVLSQEPFHKVTVQSLLTVETLEHNQTYECRAHNSVGSGSWAFIPISAGAHTHPPDEFLFTPVVVACMSIMALLLLLLLLLLYKYKQKPKYQVRWKIIESYEGNSYTFIDPTQLPYNEKWEFPRNNLQFGKTLGAGAFGKVVEATAFGLGKEDAVLKVAVKMLKSTAHADEKEALMSELKIMSHLGQHENIVNLLGACTHGGPVLVITEYCCYGDLLNFLRRKAEAMLGPSLSPGQDPEGGVDYKNIHLEKKYVRRDSGFSSQGVDTYVEMRPVSTSSNDSFSEQDLDKEDGRPLELRDLLHFSSQVAQGMAFLASKNCIHRDVAARNVLLTNGHVAKIGDFGLARDIMNDSNYIVKGNARLPVKWMAPESIFDCVYTVQSDVWSYGILLWEIFSLGLNPYPGILVNSKFYKLVKDGYQMAQPAFAPKNIYSIMQACWALEPTHRPTFQQICSFLQEQAQEDRRERDYTNLPSSSRSGGSGSSSSELEEESSSEHLTCCEQGDIAQPLLQPNNYQFC
+>DECOY_sp|P07333|CSF1R_HUMAN Macrophage colony-stimulating factor 1 receptor OS=Homo sapiens OX=9606 GN=CSF1R PE=1 SV=2
+CFQYNNPQLLPQAIDGQECCTLHESSSEEELESSSSGSGGSRSSSPLNTYDRERRDEQAQEQLFSCIQQFTPRHTPELAWCAQMISYINKPAFAPQAMQYGDKVLKYFKSNVLIGPYPNLGLSFIEWLLIGYSWVDSQVTYVCDFISEPAMWKVPLRANGKVIYNSDNMIDRALGFDGIKAVHGNTLLVNRAAVDRHICNKSALFAMGQAVQSSFHLLDRLELPRGDEKDLDQESFSDNSSTSVPRMEVYTDVGQSSFGSDRRVYKKELHINKYDVGGEPDQGPSLSPGLMAEAKRRLFNLLDGYCCYETIVLVPGGHTCAGLLNVINEHQGLHSMIKLESMLAEKEDAHATSKLMKVAVKLVADEKGLGFATAEVVKGFAGAGLTKGFQLNNRPFEWKENYPLQTPDIFTYSNGEYSEIIKWRVQYKPKQKYKYLLLLLLLLLLAMISMCAVVVPTFLFEDPPHTHAGASIPIFAWSGSGVSNHARCEYTQNHELTEVTLLSQVTVKHFPEQSLVEPYPDDWVQLVQAEDCRDTHGSCQLWTVNPQPYGSAACLLTGSGNIFTWIVSVEPPYRLTLEFTLARWGGPNRALFSYRGAESPKLRPLSLTFTHRYTDKTTANALKPEPQHDSFPGLYTWNFGQLGPYAEVMVKLNLGEGVTVEQILNQESSLNLYASEVVRFFMSTSHKGQVNSAVCSYNGAHQFDVQDLNLTLVKQYRNNHFDSQQPIALKTNNHQLFVDFNVDVSSASCVIQAAEGRIRVLEAPVLTLAPPGPIVKQVKLRISISMVKRGGMLASCQYDQSQIFKARHITFGHWPSFSYNTHRMLPRGRVRVLSVGAELVPDTLLCPLLADQDEFVVVEQALVNWPRAPDKVYLHIAASGGLPDGPETCRYTGTNQFTANNTSLISSSGDSYLTWHPSPPGDWEVSGNGVCRLTVTAGPKVVLEPVSPEIVPIGQGHWATAVLLLLLVGPGM
+>sp|P09919|CSF3_HUMAN Granulocyte colony-stimulating factor OS=Homo sapiens OX=9606 GN=CSF3 PE=1 SV=1
+MAGPATQSPMKLMALQLLLWHSALWTVQEATPLGPASSLPQSFLLKCLEQVRKIQGDGAALQEKLVSECATYKLCHPEELVLLGHSLGIPWAPLSSCPSQALQLAGCLSQLHSGLFLYQGLLQALEGISPELGPTLDTLQLDVADFATTIWQQMEELGMAPALQPTQGAMPAFASAFQRRAGGVLVASHLQSFLEVSYRVLRHLAQP
+>DECOY_sp|P09919|CSF3_HUMAN Granulocyte colony-stimulating factor OS=Homo sapiens OX=9606 GN=CSF3 PE=1 SV=1
+PQALHRLVRYSVELFSQLHSAVLVGGARRQFASAFAPMAGQTPQLAPAMGLEEMQQWITTAFDAVDLQLTDLTPGLEPSIGELAQLLGQYLFLGSHLQSLCGALQLAQSPCSSLPAWPIGLSHGLLVLEEPHCLKYTACESVLKEQLAAGDGQIKRVQELCKLLFSQPLSSAPGLPTAEQVTWLASHWLLLQLAMLKMPSQTAPGAM
+>sp|Q4G0I0|CSMT1_HUMAN Protein CCSMST1 OS=Homo sapiens OX=9606 GN=CCSMST1 PE=2 SV=1
+MNRVLCAPAAGAVRALRLIGWASRSLHPLPGSRDRAHPAAEEEDDPDRPIEFSSSKANPHRWSVGHTMGKGHQRPWWKVLPLSCFLVALIIWCYLREESEADQWLRQVWGEVPEPSDRSEEPETPAAYRART
+>DECOY_sp|Q4G0I0|CSMT1_HUMAN Protein CCSMST1 OS=Homo sapiens OX=9606 GN=CCSMST1 PE=2 SV=1
+TRARYAAPTEPEESRDSPEPVEGWVQRLWQDAESEERLYCWIILAVLFCSLPLVKWWPRQHGKGMTHGVSWRHPNAKSSSFEIPRDPDDEEEAAPHARDRSGPLPHLSRSAWGILRLARVAGAAPACLVRNM
+>sp|Q92905|CSN5_HUMAN COP9 signalosome complex subunit 5 OS=Homo sapiens OX=9606 GN=COPS5 PE=1 SV=4
+MAASGSGMAQKTWELANNMQEAQSIDEIYKYDKKQQQEILAAKPWTKDHHYFKYCKISALALLKMVMHARSGGNLEVMGLMLGKVDGETMIIMDSFALPVEGTETRVNAQAAAYEYMAAYIENAKQVGRLENAIGWYHSHPGYGCWLSGIDVSTQMLNQQFQEPFVAVVIDPTRTISAGKVNLGAFRTYPKGYKPPDEGPSEYQTIPLNKIEDFGVHCKQYYALEVSYFKSSLDRKLLELLWNKYWVNTLSSSSLLTNADYTTGQVFDLSEKLEQSEAQLGRGSFMLGLETHDRKSEDKLAKATRDSCKTTIEAIHGLMSQVIKDKLFNQINIS
+>DECOY_sp|Q92905|CSN5_HUMAN COP9 signalosome complex subunit 5 OS=Homo sapiens OX=9606 GN=COPS5 PE=1 SV=4
+SINIQNFLKDKIVQSMLGHIAEITTKCSDRTAKALKDESKRDHTELGLMFSGRGLQAESQELKESLDFVQGTTYDANTLLSSSSLTNVWYKNWLLELLKRDLSSKFYSVELAYYQKCHVGFDEIKNLPITQYESPGEDPPKYGKPYTRFAGLNVKGASITRTPDIVVAVFPEQFQQNLMQTSVDIGSLWCGYGPHSHYWGIANELRGVQKANEIYAAMYEYAAAQANVRTETGEVPLAFSDMIIMTEGDVKGLMLGMVELNGGSRAHMVMKLLALASIKCYKFYHHDKTWPKAALIEQQQKKDYKYIEDISQAEQMNNALEWTKQAMGSGSAAM
+>sp|Q7L5N1|CSN6_HUMAN COP9 signalosome complex subunit 6 OS=Homo sapiens OX=9606 GN=COPS6 PE=1 SV=1
+MAAAAAAAAATNGTGGSSGMEVDAAVVPSVMACGVTGSVSVALHPLVILNISDHWIRMRSQEGRPVQVIGALIGKQEGRNIEVMNSFELLSHTVEEKIIIDKEYYYTKEEQFKQVFKELEFLGWYTTGGPPDPSDIHVHKQVCEIIESPLFLKLNPMTKHTDLPVSVFESVIDIINGEATMLFAELTYTLATEEAERIGVDHVARMTATGSGENSTVAEHLIAQHSAIKMLHSRVKLILEYVKASEAGEVPFNHEILREAYALCHCLPVLSTDKFKTDFYDQCNDVGLMAYLGTITKTCNTMNQFVNKFNVLYDRQGIGRRMRGLFF
+>DECOY_sp|Q7L5N1|CSN6_HUMAN COP9 signalosome complex subunit 6 OS=Homo sapiens OX=9606 GN=COPS6 PE=1 SV=1
+FFLGRMRRGIGQRDYLVNFKNVFQNMTNCTKTITGLYAMLGVDNCQDYFDTKFKDTSLVPLCHCLAYAERLIEHNFPVEGAESAKVYELILKVRSHLMKIASHQAILHEAVTSNEGSGTATMRAVHDVGIREAEETALTYTLEAFLMTAEGNIIDIVSEFVSVPLDTHKTMPNLKLFLPSEIIECVQKHVHIDSPDPPGGTTYWGLFELEKFVQKFQEEKTYYYEKDIIIKEEVTHSLLEFSNMVEINRGEQKGILAGIVQVPRGEQSRMRIWHDSINLIVLPHLAVSVSGTVGCAMVSPVVAADVEMGSSGGTGNTAAAAAAAAAM
+>sp|Q9H9Q2|CSN7B_HUMAN COP9 signalosome complex subunit 7b OS=Homo sapiens OX=9606 GN=COPS7B PE=1 SV=1
+MAGEQKPSSNLLEQFILLAKGTSGSALTALISQVLEAPGVYVFGELLELANVQELAEGANAAYLQLLNLFAYGTYPDYIANKESLPELSTAQQNKLKHLTIVSLASRMKCIPYSVLLKDLEMRNLRELEDLIIEAVYTDIIQGKLDQRNQLLEVDFCIGRDIRKKDINNIVKTLHEWCDGCEAVLLGIEQQVLRANQYKENHNRTQQQVEAEVTNIKKTLKATASSSAQEMEQQLAERECPPHAEQRQPTKKMSKVKGLVSSRH
+>DECOY_sp|Q9H9Q2|CSN7B_HUMAN COP9 signalosome complex subunit 7b OS=Homo sapiens OX=9606 GN=COPS7B PE=1 SV=1
+HRSSVLGKVKSMKKTPQRQEAHPPCEREALQQEMEQASSSATAKLTKKINTVEAEVQQQTRNHNEKYQNARLVQQEIGLLVAECGDCWEHLTKVINNIDKKRIDRGICFDVELLQNRQDLKGQIIDTYVAEIILDELERLNRMELDKLLVSYPICKMRSALSVITLHKLKNQQATSLEPLSEKNAIYDPYTGYAFLNLLQLYAANAGEALEQVNALELLEGFVYVGPAELVQSILATLASGSTGKALLIFQELLNSSPKQEGAM
+>sp|P13611|CSPG2_HUMAN Versican core protein OS=Homo sapiens OX=9606 GN=VCAN PE=1 SV=3
+MFINIKSILWMCSTLIVTHALHKVKVGKSPPVRGSLSGKVSLPCHFSTMPTLPPSYNTSEFLRIKWSKIEVDKNGKDLKETTVLVAQNGNIKIGQDYKGRVSVPTHPEAVGDASLTVVKLLASDAGLYRCDVMYGIEDTQDTVSLTVDGVVFHYRAATSRYTLNFEAAQKACLDVGAVIATPEQLFAAYEDGFEQCDAGWLADQTVRYPIRAPRVGCYGDKMGKAGVRTYGFRSPQETYDVYCYVDHLDGDVFHLTVPSKFTFEEAAKECENQDARLATVGELQAAWRNGFDQCDYGWLSDASVRHPVTVARAQCGGGLLGVRTLYRFENQTGFPPPDSRFDAYCFKPKEATTIDLSILAETASPSLSKEPQMVSDRTTPIIPLVDELPVIPTEFPPVGNIVSFEQKATVQPQAITDSLATKLPTPTGSTKKPWDMDDYSPSASGPLGKLDISEIKEEVLQSTTGVSHYATDSWDGVVEDKQTQESVTQIEQIEVGPLVTSMEILKHIPSKEFPVTETPLVTARMILESKTEKKMVSTVSELVTTGHYGFTLGEEDDEDRTLTVGSDESTLIFDQIPEVITVSKTSEDTIHTHLEDLESVSASTTVSPLIMPDNNGSSMDDWEERQTSGRITEEFLGKYLSTTPFPSQHRTEIELFPYSGDKILVEGISTVIYPSLQTEMTHRRERTETLIPEMRTDTYTDEIQEEITKSPFMGKTEEEVFSGMKLSTSLSEPIHVTESSVEMTKSFDFPTLITKLSAEPTEVRDMEEDFTATPGTTKYDENITTVLLAHGTLSVEAATVSKWSWDEDNTTSKPLESTEPSASSKLPPALLTTVGMNGKDKDIPSFTEDGADEFTLIPDSTQKQLEEVTDEDIAAHGKFTIRFQPTTSTGIAEKSTLRDSTTEEKVPPITSTEGQVYATMEGSALGEVEDVDLSKPVSTVPQFAHTSEVEGLAFVSYSSTQEPTTYVDSSHTIPLSVIPKTDWGVLVPSVPSEDEVLGEPSQDILVIDQTRLEATISPETMRTTKITEGTTQEEFPWKEQTAEKPVPALSSTAWTPKEAVTPLDEQEGDGSAYTVSEDELLTGSERVPVLETTPVGKIDHSVSYPPGAVTEHKVKTDEVVTLTPRIGPKVSLSPGPEQKYETEGSSTTGFTSSLSPFSTHITQLMEETTTEKTSLEDIDLGSGLFEKPKATELIEFSTIKVTVPSDITTAFSSVDRLHTTSAFKPSSAITKKPPLIDREPGEETTSDMVIIGESTSHVPPTTLEDIVAKETETDIDREYFTTSSPPATQPTRPPTVEDKEAFGPQALSTPQPPASTKFHPDINVYIIEVRENKTGRMSDLSVIGHPIDSESKEDEPCSEETDPVHDLMAEILPEFPDIIEIDLYHSEENEEEEEECANATDVTTTPSVQYINGKHLVTTVPKDPEAAEARRGQFESVAPSQNFSDSSESDTHPFVIAKTELSTAVQPNESTETTESLEVTWKPETYPETSEHFSGGEPDVFPTVPFHEEFESGTAKKGAESVTERDTEVGHQAHEHTEPVSLFPEESSGEIAIDQESQKIAFARATEVTFGEEVEKSTSVTYTPTIVPSSASAYVSEEEAVTLIGNPWPDDLLSTKESWVEATPRQVVELSGSSSIPITEGSGEAEEDEDTMFTMVTDLSQRNTTDTLITLDTSRIITESFFEVPATTIYPVSEQPSAKVVPTKFVSETDTSEWISSTTVEEKKRKEEEGTTGTASTFEVYSSTQRSDQLILPFELESPNVATSSDSGTRKSFMSLTTPTQSEREMTDSTPVFTETNTLENLGAQTTEHSSIHQPGVQEGLTTLPRSPASVFMEQGSGEAAADPETTTVSSFSLNVEYAIQAEKEVAGTLSPHVETTFSTEPTGLVLSTVMDRVVAENITQTSREIVISERLGEPNYGAEIRGFSTGFPLEEDFSGDFREYSTVSHPIAKEETVMMEGSGDAAFRDTQTSPSTVPTSVHISHISDSEGPSSTMVSTSAFPWEEFTSSAEGSGEQLVTVSSSVVPVLPSAVQKFSGTASSIIDEGLGEVGTVNEIDRRSTILPTAEVEGTKAPVEKEEVKVSGTVSTNFPQTIEPAKLWSRQEVNPVRQEIESETTSEEQIQEEKSFESPQNSPATEQTIFDSQTFTETELKTTDYSVLTTKKTYSDDKEMKEEDTSLVNMSTPDPDANGLESYTTLPEATEKSHFFLATALVTESIPAEHVVTDSPIKKEESTKHFPKGMRPTIQESDTELLFSGLGSGEEVLPTLPTESVNFTEVEQINNTLYPHTSQVESTSSDKIEDFNRMENVAKEVGPLVSQTDIFEGSGSVTSTTLIEILSDTGAEGPTVAPLPFSTDIGHPQNQTVRWAEEIQTSRPQTITEQDSNKNSSTAEINETTTSSTDFLARAYGFEMAKEFVTSAPKPSDLYYEPSGEGSGEVDIVDSFHTSATTQATRQESSTTFVSDGSLEKHPEVPSAKAVTADGFPTVSVMLPLHSEQNKSSPDPTSTLSNTVSYERSTDGSFQDRFREFEDSTLKPNRKKPTENIIIDLDKEDKDLILTITESTILEILPELTSDKNTIIDIDHTKPVYEDILGMQTDIDTEVPSEPHDSNDESNDDSTQVQEIYEAAVNLSLTEETFEGSADVLASYTQATHDESMTYEDRSQLDHMGFHFTTGIPAPSTETELDVLLPTATSLPIPRKSATVIPEIEGIKAEAKALDDMFESSTLSDGQAIADQSEIIPTLGQFERTQEEYEDKKHAGPSFQPEFSSGAEEALVDHTPYLSIATTHLMDQSVTEVPDVMEGSNPPYYTDTTLAVSTFAKLSSQTPSSPLTIYSGSEASGHTEIPQPSALPGIDVGSSVMSPQDSFKEIHVNIEATFKPSSEEYLHITEPPSLSPDTKLEPSEDDGKPELLEEMEASPTELIAVEGTEILQDFQNKTDGQVSGEAIKMFPTIKTPEAGTVITTADEIELEGATQWPHSTSASATYGVEAGVVPWLSPQTSERPTLSSSPEINPETQAALIRGQDSTIAASEQQVAARILDSNDQATVNPVEFNTEVATPPFSLLETSNETDFLIGINEESVEGTAIYLPGPDRCKMNPCLNGGTCYPTETSYVCTCVPGYSGDQCELDFDECHSNPCRNGATCVDGFNTFRCLCLPSYVGALCEQDTETCDYGWHKFQGQCYKYFAHRRTWDAAERECRLQGAHLTSILSHEEQMFVNRVGHDYQWIGLNDKMFEHDFRWTDGSTLQYENWRPNQPDSFFSAGEDCVVIIWHENGQWNDVPCNYHLTYTCKKGTVACGQPPVVENAKTFGKMKPRYEINSLIRYHCKDGFIQRHLPTIRCLGNGRWAIPKITCMNPSAYQRTYSMKYFKNSSSAKDNSINTSKHDHRWSRRWQESRR
+>DECOY_sp|P13611|CSPG2_HUMAN Versican core protein OS=Homo sapiens OX=9606 GN=VCAN PE=1 SV=3
+RRSEQWRRSWRHDHKSTNISNDKASSSNKFYKMSYTRQYASPNMCTIKPIAWRGNGLCRITPLHRQIFGDKCHYRILSNIEYRPKMKGFTKANEVVPPQGCAVTGKKCTYTLHYNCPVDNWQGNEHWIIVVCDEGASFFSDPQNPRWNEYQLTSGDTWRFDHEFMKDNLGIWQYDHGVRNVFMQEEHSLISTLHAGQLRCEREAADWTRRHAFYKYCQGQFKHWGYDCTETDQECLAGVYSPLCLCRFTNFGDVCTAGNRCPNSHCEDFDLECQDGSYGPVCTCVYSTETPYCTGGNLCPNMKCRDPGPLYIATGEVSEENIGILFDTENSTELLSFPPTAVETNFEVPNVTAQDNSDLIRAAVQQESAAITSDQGRILAAQTEPNIEPSSSLTPRESTQPSLWPVVGAEVGYTASASTSHPWQTAGELEIEDATTIVTGAEPTKITPFMKIAEGSVQGDTKNQFDQLIETGEVAILETPSAEMEELLEPKGDDESPELKTDPSLSPPETIHLYEESSPKFTAEINVHIEKFSDQPSMVSSGVDIGPLASPQPIETHGSAESGSYITLPSSPTQSSLKAFTSVALTTDTYYPPNSGEMVDPVETVSQDMLHTTAISLYPTHDVLAEEAGSSFEPQFSPGAHKKDEYEEQTREFQGLTPIIESQDAIAQGDSLTSSEFMDDLAKAEAKIGEIEPIVTASKRPIPLSTATPLLVDLETETSPAPIGTTFHFGMHDLQSRDEYTMSEDHTAQTYSALVDASGEFTEETLSLNVAAEYIEQVQTSDDNSEDNSDHPESPVETDIDTQMGLIDEYVPKTHDIDIITNKDSTLEPLIELITSETITLILDKDEKDLDIIINETPKKRNPKLTSDEFERFRDQFSGDTSREYSVTNSLTSTPDPSSKNQESHLPLMVSVTPFGDATVAKASPVEPHKELSGDSVFTTSSEQRTAQTTASTHFSDVIDVEGSGEGSPEYYLDSPKPASTVFEKAMEFGYARALFDTSSTTTENIEATSSNKNSDQETITQPRSTQIEEAWRVTQNQPHGIDTSFPLPAVTPGEAGTDSLIEILTTSTVSGSGEFIDTQSVLPGVEKAVNEMRNFDEIKDSSTSEVQSTHPYLTNNIQEVETFNVSETPLTPLVEEGSGLGSFLLETDSEQITPRMGKPFHKTSEEKKIPSDTVVHEAPISETVLATALFFHSKETAEPLTTYSELGNADPDPTSMNVLSTDEEKMEKDDSYTKKTTLVSYDTTKLETETFTQSDFITQETAPSNQPSEFSKEEQIQEESTTESEIEQRVPNVEQRSWLKAPEITQPFNTSVTGSVKVEEKEVPAKTGEVEATPLITSRRDIENVTGVEGLGEDIISSATGSFKQVASPLVPVVSSSVTVLQEGSGEASSTFEEWPFASTSVMTSSPGESDSIHSIHVSTPVTSPSTQTDRFAADGSGEMMVTEEKAIPHSVTSYERFDGSFDEELPFGTSFGRIEAGYNPEGLRESIVIERSTQTINEAVVRDMVTSLVLGTPETSFTTEVHPSLTGAVEKEAQIAYEVNLSFSSVTTTEPDAAAEGSGQEMFVSAPSRPLTTLGEQVGPQHISSHETTQAGLNELTNTETFVPTSDTMERESQTPTTLSMFSKRTGSDSSTAVNPSELEFPLILQDSRQTSSYVEFTSATGTTGEEEKRKKEEVTTSSIWESTDTESVFKTPVVKASPQESVPYITTAPVEFFSETIIRSTDLTILTDTTNRQSLDTVMTFMTDEDEEAEGSGETIPISSSGSLEVVQRPTAEVWSEKTSLLDDPWPNGILTVAEEESVYASASSPVITPTYTVSTSKEVEEGFTVETARAFAIKQSEQDIAIEGSSEEPFLSVPETHEHAQHGVETDRETVSEAGKKATGSEFEEHFPVTPFVDPEGGSFHESTEPYTEPKWTVELSETTETSENPQVATSLETKAIVFPHTDSESSDSFNQSPAVSEFQGRRAEAAEPDKPVTTVLHKGNIYQVSPTTTVDTANACEEEEEENEESHYLDIEIIDPFEPLIEAMLDHVPDTEESCPEDEKSESDIPHGIVSLDSMRGTKNERVEIIYVNIDPHFKTSAPPQPTSLAQPGFAEKDEVTPPRTPQTAPPSSTTFYERDIDTETEKAVIDELTTPPVHSTSEGIIVMDSTTEEGPERDILPPKKTIASSPKFASTTHLRDVSSFATTIDSPVTVKITSFEILETAKPKEFLGSGLDIDELSTKETTTEEMLQTIHTSFPSLSSTFGTTSSGETEYKQEPGPSLSVKPGIRPTLTVVEDTKVKHETVAGPPYSVSHDIKGVPTTELVPVRESGTLLEDESVTYASGDGEQEDLPTVAEKPTWATSSLAPVPKEATQEKWPFEEQTTGETIKTTRMTEPSITAELRTQDIVLIDQSPEGLVEDESPVSPVLVGWDTKPIVSLPITHSSDVYTTPEQTSSYSVFALGEVESTHAFQPVTSVPKSLDVDEVEGLASGEMTAYVQGETSTIPPVKEETTSDRLTSKEAIGTSTTPQFRITFKGHAAIDEDTVEELQKQTSDPILTFEDAGDETFSPIDKDKGNMGVTTLLAPPLKSSASPETSELPKSTTNDEDWSWKSVTAAEVSLTGHALLVTTINEDYKTTGPTATFDEEMDRVETPEASLKTILTPFDFSKTMEVSSETVHIPESLSTSLKMGSFVEEETKGMFPSKTIEEQIEDTYTDTRMEPILTETRERRHTMETQLSPYIVTSIGEVLIKDGSYPFLEIETRHQSPFPTTSLYKGLFEETIRGSTQREEWDDMSSGNNDPMILPSVTTSASVSELDELHTHITDESTKSVTIVEPIQDFILTSEDSGVTLTRDEDDEEGLTFGYHGTTVLESVTSVMKKETKSELIMRATVLPTETVPFEKSPIHKLIEMSTVLPGVEIQEIQTVSEQTQKDEVVGDWSDTAYHSVGTTSQLVEEKIESIDLKGLPGSASPSYDDMDWPKKTSGTPTPLKTALSDTIAQPQVTAKQEFSVINGVPPFETPIVPLEDVLPIIPTTRDSVMQPEKSLSPSATEALISLDITTAEKPKFCYADFRSDPPPFGTQNEFRYLTRVGLLGGGCQARAVTVPHRVSADSLWGYDCQDFGNRWAAQLEGVTALRADQNECEKAAEEFTFKSPVTLHFVDGDLHDVYCYVDYTEQPSRFGYTRVGAKGMKDGYCGVRPARIPYRVTQDALWGADCQEFGDEYAAFLQEPTAIVAGVDLCAKQAAEFNLTYRSTAARYHFVVGDVTLSVTDQTDEIGYMVDCRYLGADSALLKVVTLSADGVAEPHTPVSVRGKYDQGIKINGNQAVLVTTEKLDKGNKDVEIKSWKIRLFESTNYSPPLTPMTSFHCPLSVKGSLSGRVPPSKGVKVKHLAHTVILTSCMWLISKINIFM
+>sp|Q1MSJ5|CSPP1_HUMAN Centrosome and spindle pole-associated protein 1 OS=Homo sapiens OX=9606 GN=CSPP1 PE=1 SV=4
+MLFPLQVAAVTSSVRDDPLEHCVSPRTRARSPEICKMADNLDEFIEEQKARLAEDKAELESDPPYMEMKGKLSAKLSENSKILISMAKENIPPNSQQTRGSLGIDYGLSLPLGEDYERKKHKLKEELRQDYRRYLTQGITQGKRKKNFLSTSETDPSTLGVSLPIGERLSAKERLKLERNKEYNQFLRGKEESSEKFRQVEKSTEPKSQRNKKPIGQVKPDLTSQIQTSCENSEGPRKDVLTPSEAYEELLNQRRLEEDRYRQLDDEIELRNRRIIKKANEEVGISNLKHQRFASKAGIPDRRFHRFNEDRVFDRRYHRPDQDPEVSEEMDERFRYESDFDRRLSRVYTNDRMHRNKRGNMPPMEHDGDVIEQSNIRISSAENKSAPDNETSKSANQDTCSPFAGMLFGGEDRELIQRRKEKYRLELLEQMAEQQRNKRREKDLELRVAASGAQDPEKSPDRLKQFSVAPRHFEEMIPPERPRIAFQTPLPPLSAPSVPPIPSVHPVPSQNEDLRSGLSSALGEMVSPRIAPLPPPPLLPPLATNYRTPYDDAYYFYGSRNTFDPSLAYYGSGMMGVQPAAYVSAPVTHQLAQPVVNTVGQNELKITSDQVINSGLIFEDKPKPSKQSLQSYQEALQQQIREREERRKKEREEKEEYEAKLEAEMRTYNPWGKGGGGAPLRDAKGNLITDLNRMHRQNIDAYHNPDARTYEDKRAVVSLDPNLATSNAENLEDAANKSSGHMQTQSSPFARGNVFGEPPTELQIKQQELYKNFLRFQIEEKKQREEAERERLRIAEEKEERRLAEQRARIQQEYEEEQEKKREKEEEQRLKNEEHIRLAEERQKEAERKKKEEEEKYNLQLQHYCERDNLIGEETKHMRQPSPIVPALQNKIASKLQRPPSVDSIIRSFIHESSMSRAQSPPVPARKNQLRAEEEKKNVIMELSEMRKQLRSEERRLQERLLHMDSDDEIPIRKKERNPMDIFDMARHRLQAPVRRQSPKGLDAATFQNVHDFNELKDRDSETRVDLKFMYLDPPRDHHTLEIQQQALLREQQKRLNRIKMQEGAKVDLDAIPSAKVREQRMPRDDTSDFLKNSLLESDSAFIGAYGETYPAIEDDVLPPPSQLPSARERRRNKWKGLDIDSSRPNVAPDGLSLKSISSVNVDELRVRNEERMRRLNEFHNKPINTDDESSLVDPDDIMKHIGDDGSNSVATEPWLRPGTSETLKRFMAEQLNQEQQQIPGKPGTFTWQGLSTAHG
+>DECOY_sp|Q1MSJ5|CSPP1_HUMAN Centrosome and spindle pole-associated protein 1 OS=Homo sapiens OX=9606 GN=CSPP1 PE=1 SV=4
+GHATSLGQWTFTGPKGPIQQQEQNLQEAMFRKLTESTGPRLWPETAVSNSGDDGIHKMIDDPDVLSSEDDTNIPKNHFENLRRMREENRVRLEDVNVSSISKLSLGDPAVNPRSSDIDLGKWKNRRRERASPLQSPPPLVDDEIAPYTEGYAGIFASDSELLSNKLFDSTDDRPMRQERVKASPIADLDVKAGEQMKIRNLRKQQERLLAQQQIELTHHDRPPDLYMFKLDVRTESDRDKLENFDHVNQFTAADLGKPSQRRVPAQLRHRAMDFIDMPNREKKRIPIEDDSDMHLLREQLRREESRLQKRMESLEMIVNKKEEEARLQNKRAPVPPSQARSMSSEHIFSRIISDVSPPRQLKSAIKNQLAPVIPSPQRMHKTEEGILNDRECYHQLQLNYKEEEEKKKREAEKQREEALRIHEENKLRQEEEKERKKEQEEEYEQQIRARQEALRREEKEEAIRLREREAEERQKKEEIQFRLFNKYLEQQKIQLETPPEGFVNGRAFPSSQTQMHGSSKNAADELNEANSTALNPDLSVVARKDEYTRADPNHYADINQRHMRNLDTILNGKADRLPAGGGGKGWPNYTRMEAELKAEYEEKEEREKKRREERERIQQQLAEQYSQLSQKSPKPKDEFILGSNIVQDSTIKLENQGVTNVVPQALQHTVPASVYAAPQVGMMGSGYYALSPDFTNRSGYFYYADDYPTRYNTALPPLLPPPPLPAIRPSVMEGLASSLGSRLDENQSPVPHVSPIPPVSPASLPPLPTQFAIRPREPPIMEEFHRPAVSFQKLRDPSKEPDQAGSAAVRLELDKERRKNRQQEAMQELLELRYKEKRRQILERDEGGFLMGAFPSCTDQNASKSTENDPASKNEASSIRINSQEIVDGDHEMPPMNGRKNRHMRDNTYVRSLRRDFDSEYRFREDMEESVEPDQDPRHYRRDFVRDENFRHFRRDPIGAKSAFRQHKLNSIGVEENAKKIIRRNRLEIEDDLQRYRDEELRRQNLLEEYAESPTLVDKRPGESNECSTQIQSTLDPKVQGIPKKNRQSKPETSKEVQRFKESSEEKGRLFQNYEKNRELKLREKASLREGIPLSVGLTSPDTESTSLFNKKRKGQTIGQTLYRRYDQRLEEKLKHKKREYDEGLPLSLGYDIGLSGRTQQSNPPINEKAMSILIKSNESLKASLKGKMEMYPPDSELEAKDEALRAKQEEIFEDLNDAMKCIEPSRARTRPSVCHELPDDRVSSTVAAVQLPFLM
+>sp|Q5JQC4|CT47A_HUMAN Cancer/testis antigen 47A OS=Homo sapiens OX=9606 GN=CT47A1 PE=2 SV=1
+MSATGDRHPTQGDQEAPVSQEGAQAEAAGAGNQEGGDSGPDSSDVVPAAEVVGVAGPVEGLGEEEGEQAAGLAAVPRGGSAEEDSDIGPATEEEEEEEGNEAANFDLAVVARRYPASGIHFVLLDMVHSLLHRLSHNDHILIENRQLSRLMVGPHAAARNLWGNLPPLLLPQRLGAGAAARAGEGLGLIQEAASVPEPAVPADLAEMAREPAEEAAEEKLSEEATEEPDAEEPATEEPTAQEATAPEEVTKSQPEKWDEEAQDAAGEEEKEQEKEKDAENKVKNSKGT
+>DECOY_sp|Q5JQC4|CT47A_HUMAN Cancer/testis antigen 47A OS=Homo sapiens OX=9606 GN=CT47A1 PE=2 SV=1
+TGKSNKVKNEADKEKEQEKEEEGAADQAEEDWKEPQSKTVEEPATAEQATPEETAPEEADPEETAEESLKEEAAEEAPERAMEALDAPVAPEPVSAAEQILGLGEGARAAAGAGLRQPLLLPPLNGWLNRAAAHPGVMLRSLQRNEILIHDNHSLRHLLSHVMDLLVFHIGSAPYRRAVVALDFNAAENGEEEEEEETAPGIDSDEEASGGRPVAALGAAQEGEEEGLGEVPGAVGVVEAAPVVDSSDPGSDGGEQNGAGAAEAQAGEQSVPAEQDGQTPHRDGTASM
+>sp|Q13363|CTBP1_HUMAN C-terminal-binding protein 1 OS=Homo sapiens OX=9606 GN=CTBP1 PE=1 SV=2
+MGSSHLLNKGLPLGVRPPIMNGPLHPRPLVALLDGRDCTVEMPILKDVATVAFCDAQSTQEIHEKVLNEAVGALMYHTITLTREDLEKFKALRIIVRIGSGFDNIDIKSAGDLGIAVCNVPAASVEETADSTLCHILNLYRRATWLHQALREGTRVQSVEQIREVASGAARIRGETLGIIGLGRVGQAVALRAKAFGFNVLFYDPYLSDGVERALGLQRVSTLQDLLFHSDCVTLHCGLNEHNHHLINDFTVKQMRQGAFLVNTARGGLVDEKALAQALKEGRIRGAALDVHESEPFSFSQGPLKDAPNLICTPHAAWYSEQASIEMREEAAREIRRAITGRIPDSLKNCVNKDHLTAATHWASMDPAVVHPELNGAAYRYPPGVVGVAPTGIPAAVEGIVPSAMSLSHGLPPVAHPPHAPSPGQTVKPEADRDHASDQL
+>DECOY_sp|Q13363|CTBP1_HUMAN C-terminal-binding protein 1 OS=Homo sapiens OX=9606 GN=CTBP1 PE=1 SV=2
+LQDSAHDRDAEPKVTQGPSPAHPPHAVPPLGHSLSMASPVIGEVAAPIGTPAVGVVGPPYRYAAGNLEPHVVAPDMSAWHTAATLHDKNVCNKLSDPIRGTIARRIERAAEERMEISAQESYWAAHPTCILNPADKLPGQSFSFPESEHVDLAAGRIRGEKLAQALAKEDVLGGRATNVLFAGQRMQKVTFDNILHHNHENLGCHLTVCDSHFLLDQLTSVRQLGLAREVGDSLYPDYFLVNFGFAKARLAVAQGVRGLGIIGLTEGRIRAAGSAVERIQEVSQVRTGERLAQHLWTARRYLNLIHCLTSDATEEVSAAPVNCVAIGLDGASKIDINDFGSGIRVIIRLAKFKELDERTLTITHYMLAGVAENLVKEHIEQTSQADCFAVTAVDKLIPMEVTCDRGDLLAVLPRPHLPGNMIPPRVGLPLGKNLLHSSGM
+>sp|Q8NI51|CTCFL_HUMAN Transcriptional repressor CTCFL OS=Homo sapiens OX=9606 GN=CTCFL PE=1 SV=2
+MAATEISVLSEQFTKIKELELMPEKGLKEEEKDGVCREKDHRSPSELEAERTSGAFQDSVLEEEVELVLAPSEESEKYILTLQTVHFTSEAVELQDMSLLSIQQQEGVQVVVQQPGPGLLWLEEGPRQSLQQCVAISIQQELYSPQEMEVLQFHALEENVMVASEDSKLAVSLAETTGLIKLEEEQEKNQLLAERTKEQLFFVETMSGDERSDEIVLTVSNSNVEEQEDQPTAGQADAEKAKSTKNQRKTKGAKGTFHCDVCMFTSSRMSSFNRHMKTHTSEKPHLCHLCLKTFRTVTLLRNHVNTHTGTRPYKCNDCNMAFVTSGELVRHRRYKHTHEKPFKCSMCKYASVEASKLKRHVRSHTGERPFQCCQCSYASRDTYKLKRHMRTHSGEKPYECHICHTRFTQSGTMKIHILQKHGENVPKYQCPHCATIIARKSDLRVHMRNLHAYSAAELKCRYCSAVFHERYALIQHQKTHKNEKRFKCKHCSYACKQERHMTAHIRTHTGEKPFTCLSCNKCFRQKQLLNAHFRKYHDANFIPTVYKCSKCGKGFSRWINLHRHSEKCGSGEAKSAASGKGRRTRKRKQTILKEATKGQKEAAKGWKEAANGDEAAAEEASTTKGEQFPGEMFPVACRETTARVKEEVDEGVTCEMLLNTMDK
+>DECOY_sp|Q8NI51|CTCFL_HUMAN Transcriptional repressor CTCFL OS=Homo sapiens OX=9606 GN=CTCFL PE=1 SV=2
+KDMTNLLMECTVGEDVEEKVRATTERCAVPFMEGPFQEGKTTSAEEAAAEDGNAAEKWGKAAEKQGKTAEKLITQKRKRTRRGKGSAASKAEGSGCKESHRHLNIWRSFGKGCKSCKYVTPIFNADHYKRFHANLLQKQRFCKNCSLCTFPKEGTHTRIHATMHREQKCAYSCHKCKFRKENKHTKQHQILAYREHFVASCYRCKLEAASYAHLNRMHVRLDSKRAIITACHPCQYKPVNEGHKQLIHIKMTGSQTFRTHCIHCEYPKEGSHTRMHRKLKYTDRSAYSCQCCQFPREGTHSRVHRKLKSAEVSAYKCMSCKFPKEHTHKYRRHRVLEGSTVFAMNCDNCKYPRTGTHTNVHNRLLTVTRFTKLCLHCLHPKESTHTKMHRNFSSMRSSTFMCVDCHFTGKAGKTKRQNKTSKAKEADAQGATPQDEQEEVNSNSVTLVIEDSREDGSMTEVFFLQEKTREALLQNKEQEEELKILGTTEALSVALKSDESAVMVNEELAHFQLVEMEQPSYLEQQISIAVCQQLSQRPGEELWLLGPGPQQVVVQVGEQQQISLLSMDQLEVAESTFHVTQLTLIYKESEESPALVLEVEEELVSDQFAGSTREAELESPSRHDKERCVGDKEEEKLGKEPMLELEKIKTFQESLVSIETAAM
+>sp|O43310|CTIF_HUMAN CBP80/20-dependent translation initiation factor OS=Homo sapiens OX=9606 GN=CTIF PE=1 SV=1
+MENSSAASASSEAGSSRSQEIEELERFIDSYVLEYQVQGLLADKTEGDGESERTQSHISQWTADCSEPLDSSCSFSRGRAPPQQNGSKDNSLDMLGTDIWAANTFDSFSGATWDLQPEKLDFTQFHRKVRHTPKQPLPHIDREGCGKGKLEDGDGINLNDIEKVLPAWQGYHPMPHEVEIAHTKKLFRRRRNDRRRQQRPPGGNKPQQHGDHQPGSAKHNRDHQKSYQGGSAPHPSGRPTHHGYSQNRRWHHGNMKHPPGDKGEAGAHRNAKETMTIENPKLEDTAGDTGHSSLEAPRSPDTLAPVASERLPPQQSGGPEVETKRKDSILPERIGERPKITLLQSSKDRLRRRLKEKDEVAVETTTPQQNKMDKLIEILNSMRNNSSDVDTKLTTFMEEAQNSTNSEEMLGEIVRTIYQKAVSDRSFAFTAAKLCDKMALFMVEGTKFRSLLLNMLQKDFTVREELQQQDVERWLGFITFLCEVFGTMRSSTGEPFRVLVCPIYTCLRELLQSQDVKEDAVLCCSMELQSTGRLLEEQLPEMMTELLASARDKMLCPSESMLTRSLLLEVIELHANSWNPLTPPITQYYNRTIQKLTA
+>DECOY_sp|O43310|CTIF_HUMAN CBP80/20-dependent translation initiation factor OS=Homo sapiens OX=9606 GN=CTIF PE=1 SV=1
+ATLKQITRNYYQTIPPTLPNWSNAHLEIVELLLSRTLMSESPCLMKDRASALLETMMEPLQEELLRGTSQLEMSCCLVADEKVDQSQLLERLCTYIPCVLVRFPEGTSSRMTGFVECLFTIFGLWREVDQQQLEERVTFDKQLMNLLLSRFKTGEVMFLAMKDCLKAATFAFSRDSVAKQYITRVIEGLMEESNTSNQAEEMFTTLKTDVDSSNNRMSNLIEILKDMKNQQPTTTEVAVEDKEKLRRRLRDKSSQLLTIKPREGIREPLISDKRKTEVEPGGSQQPPLRESAVPALTDPSRPAELSSHGTDGATDELKPNEITMTEKANRHAGAEGKDGPPHKMNGHHWRRNQSYGHHTPRGSPHPASGGQYSKQHDRNHKASGPQHDGHQQPKNGGPPRQQRRRDNRRRRFLKKTHAIEVEHPMPHYGQWAPLVKEIDNLNIGDGDELKGKGCGERDIHPLPQKPTHRVKRHFQTFDLKEPQLDWTAGSFSDFTNAAWIDTGLMDLSNDKSGNQQPPARGRSFSCSSDLPESCDATWQSIHSQTRESEGDGETKDALLGQVQYELVYSDIFRELEEIEQSRSSGAESSASAASSNEM
+>sp|Q8WWI5|CTL1_HUMAN Choline transporter-like protein 1 OS=Homo sapiens OX=9606 GN=SLC44A1 PE=1 SV=1
+MGCCSSASSAAQSSKREWKPLEDRSCTDIPWLLLFILFCIGMGFICGFSIATGAAARLVSGYDSYGNICGQKNTKLEAIPNSGMDHTQRKYVFFLDPCNLDLINRKIKSVALCVAACPRQELKTLSDVQKFAEINGSALCSYNLKPSEYTTSPKSSVLCPKLPVPASAPIPFFHRCAPVNISCYAKFAEALITFVSDNSVLHRLISGVMTSKEIILGLCLLSLVLSMILMVIIRYISRVLVWILTILVILGSLGGTGVLWWLYAKQRRSPKETVTPEQLQIAEDNLRALLIYAISATVFTVILFLIMLVMRKRVALTIALFHVAGKVFIHLPLLVFQPFWTFFALVLFWVYWIMTLLFLGTTGSPVQNEQGFVEFKISGPLQYMWWYHVVGLIWISEFILACQQMTVAGAVVTYYFTRDKRNLPFTPILASVNRLIRYHLGTVAKGSFIITLVKIPRMILMYIHSQLKGKENACARCVLKSCICCLWCLEKCLNYLNQNAYTATAINSTNFCTSAKDAFVILVENALRVATINTVGDFMLFLGKVLIVCSTGLAGIMLLNYQQDYTVWVLPLIIVCLFAFLVAHCFLSIYEMVVDVLFLCFAIDTKYNDGSPGREFYMDKVLMEFVENSRKAMKEAGKGGVADSRELKPMASGASSA
+>DECOY_sp|Q8WWI5|CTL1_HUMAN Choline transporter-like protein 1 OS=Homo sapiens OX=9606 GN=SLC44A1 PE=1 SV=1
+ASSAGSAMPKLERSDAVGGKGAEKMAKRSNEVFEMLVKDMYFERGPSGDNYKTDIAFCLFLVDVVMEYISLFCHAVLFAFLCVIILPLVWVTYDQQYNLLMIGALGTSCVILVKGLFLMFDGVTNITAVRLANEVLIVFADKASTCFNTSNIATATYANQNLYNLCKELCWLCCICSKLVCRACANEKGKLQSHIYMLIMRPIKVLTIIFSGKAVTGLHYRILRNVSALIPTFPLNRKDRTFYYTVVAGAVTMQQCALIFESIWILGVVHYWWMYQLPGSIKFEVFGQENQVPSGTTGLFLLTMIWYVWFLVLAFFTWFPQFVLLPLHIFVKGAVHFLAITLAVRKRMVLMILFLIVTFVTASIAYILLARLNDEAIQLQEPTVTEKPSRRQKAYLWWLVGTGGLSGLIVLITLIWVLVRSIYRIIVMLIMSLVLSLLCLGLIIEKSTMVGSILRHLVSNDSVFTILAEAFKAYCSINVPACRHFFPIPASAPVPLKPCLVSSKPSTTYESPKLNYSCLASGNIEAFKQVDSLTKLEQRPCAAVCLAVSKIKRNILDLNCPDLFFVYKRQTHDMGSNPIAELKTNKQGCINGYSDYGSVLRAAAGTAISFGCIFGMGICFLIFLLLWPIDTCSRDELPKWERKSSQAASSASSCCGM
+>sp|Q8IWA5|CTL2_HUMAN Choline transporter-like protein 2 OS=Homo sapiens OX=9606 GN=SLC44A2 PE=1 SV=3
+MGDERPHYYGKHGTPQKYDPTFKGPIYNRGCTDIICCVFLLLAIVGYVAVGIIAWTHGDPRKVIYPTDSRGEFCGQKGTKNENKPYLFYFNIVKCASPLVLLEFQCPTPQICVEKCPDRYLTYLNARSSRDFEYYKQFCVPGFKNNKGVAEVLQDGDCPAVLIPSKPLARRCFPAIHAYKGVLMVGNETTYEDGHGSRKNITDLVEGAKKANGVLEARQLAMRIFEDYTVSWYWIIIGLVIAMAMSLLFIILLRFLAGIMVWVMIIMVILVLGYGIFHCYMEYSRLRGEAGSDVSLVDLGFQTDFRVYLHLRQTWLAFMIILSILEVIIILLLIFLRKRILIAIALIKEASRAVGYVMCSLLYPLVTFFLLCLCIAYWASTAVFLSTSNEAVYKIFDDSPCPFTAKTCNPETFPSSNESRQCPNARCQFAFYGGESGYHRALLGLQIFNAFMFFWLANFVLALGQVTLAGAFASYYWALRKPDDLPAFPLFSAFGRALRYHTGSLAFGALILAIVQIIRVILEYLDQRLKAAENKFAKCLMTCLKCCFWCLEKFIKFLNRNAYIMIAIYGTNFCTSARNAFFLLMRNIIRVAVLDKVTDFLFLLGKLLIVGSVGILAFFFFTHRIRIVQDTAPPLNYYWVPILTVIVGSYLIAHGFFSVYGMCVDTLFLCFLEDLERNDGSAERPYFMSSTLKKLLNKTNKKAAES
+>DECOY_sp|Q8IWA5|CTL2_HUMAN Choline transporter-like protein 2 OS=Homo sapiens OX=9606 GN=SLC44A2 PE=1 SV=3
+SEAAKKNTKNLLKKLTSSMFYPREASGDNRELDELFCLFLTDVCMGYVSFFGHAILYSGVIVTLIPVWYYNLPPATDQVIRIRHTFFFFALIGVSGVILLKGLLFLFDTVKDLVAVRIINRMLLFFANRASTCFNTGYIAIMIYANRNLFKIFKELCWFCCKLCTMLCKAFKNEAAKLRQDLYELIVRIIQVIALILAGFALSGTHYRLARGFASFLPFAPLDDPKRLAWYYSAFAGALTVQGLALVFNALWFFMFANFIQLGLLARHYGSEGGYFAFQCRANPCQRSENSSPFTEPNCTKATFPCPSDDFIKYVAENSTSLFVATSAWYAICLCLLFFTVLPYLLSCMVYGVARSAEKILAIAILIRKRLFILLLIIIVELISLIIMFALWTQRLHLYVRFDTQFGLDVLSVDSGAEGRLRSYEMYCHFIGYGLVLIVMIIMVWVMIGALFRLLIIFLLSMAMAIVLGIIIWYWSVTYDEFIRMALQRAELVGNAKKAGEVLDTINKRSGHGDEYTTENGVMLVGKYAHIAPFCRRALPKSPILVAPCDGDQLVEAVGKNNKFGPVCFQKYYEFDRSSRANLYTLYRDPCKEVCIQPTPCQFELLVLPSACKVINFYFLYPKNENKTGKQGCFEGRSDTPYIVKRPDGHTWAIIGVAVYGVIALLLFVCCIIDTCGRNYIPGKFTPDYKQPTGHKGYYHPREDGM
+>sp|O60931|CTNS_HUMAN Cystinosin OS=Homo sapiens OX=9606 GN=CTNS PE=1 SV=2
+MIRNWLTIFILFPLKLVEKCESSVSLTVPPVVKLENGSSTNVSLTLRPPLNATLVITFEITFRSKNITILELPDEVVVPPGVTNSSFQVTSQNVGQLTVYLHGNHSNQTGPRIRFLVIRSSAISIINQVIGWIYFVAWSISFYPQVIMNWRRKSVIGLSFDFVALNLTGFVAYSVFNIGLLWVPYIKEQFLLKYPNGVNPVNSNDVFFSLHAVVLTLIIIVQCCLYERGGQRVSWPAIGFLVLAWLFAFVTMIVAAVGVTTWLQFLFCFSYIKLAVTLVKYFPQAYMNFYYKSTEGWSIGNVLLDFTGGSFSLLQMFLQSYNNDQWTLIFGDPTKFGLGVFSIVFDVVFFIQHFCLYRKRPGYDQLN
+>DECOY_sp|O60931|CTNS_HUMAN Cystinosin OS=Homo sapiens OX=9606 GN=CTNS PE=1 SV=2
+NLQDYGPRKRYLCFHQIFFVVDFVISFVGLGFKTPDGFILTWQDNNYSQLFMQLLSFSGGTFDLLVNGISWGETSKYYFNMYAQPFYKVLTVALKIYSFCFLFQLWTTVGVAAVIMTVFAFLWALVLFGIAPWSVRQGGREYLCCQVIIILTLVVAHLSFFVDNSNVPNVGNPYKLLFQEKIYPVWLLGINFVSYAVFGTLNLAVFDFSLGIVSKRRWNMIVQPYFSISWAVFYIWGIVQNIISIASSRIVLFRIRPGTQNSHNGHLYVTLQGVNQSTVQFSSNTVGPPVVVEDPLELITINKSRFTIEFTIVLTANLPPRLTLSVNTSSGNELKVVPPVTLSVSSECKEVLKLPFLIFITLWNRIM
+>sp|P52569|CTR2_HUMAN Cationic amino acid transporter 2 OS=Homo sapiens OX=9606 GN=SLC7A2 PE=1 SV=2
+MIPCRAALTFARCLIRRKIVTLDSLEDTKLCRCLSTMDLIALGVGSTLGAGVYVLAGEVAKADSGPSIVVSFLIAALASVMAGLCYAEFGARVPKTGSAYLYTYVTVGELWAFITGWNLILSYVIGTSSVARAWSGTFDELLSKQIGQFLRTYFRMNYTGLAEYPDFFAVCLILLLAGLLSFGVKESAWVNKVFTAVNILVLLFVMVAGFVKGNVANWKISEEFLKNISASAREPPSENGTSIYGAGGFMPYGFTGTLAGAATCFYAFVGFDCIATTGEEVRNPQKAIPIGIVTSLLVCFMAYFGVSAALTLMMPYYLLDEKSPLPVAFEYVGWGPAKYVVAAGSLCALSTSLLGSIFPMPRVIYAMAEDGLLFKCLAQINSKTKTPIIATLSSGAVAALMAFLFDLKALVDMMSIGTLMAYSLVAACVLILRYQPGLSYDQPKCSPEKDGLGSSPRVTSKSESQVTMLQRQGFSMRTLFCPSLLPTQQSASLVSFLVGFLAFLVLGLSVLTTYGVHAITRLEAWSLALLALFLVLFVAIVLTIWRQPQNQQKVAFMVPFLPFLPAFSILVNIYLMVQLSADTWVRFSIWMAIGFLIYFSYGIRHSLEGHLRDENNEEDAYPDNVHAAAEEKSAIQANDHHPRNLSSPFIFHEKTSEF
+>DECOY_sp|P52569|CTR2_HUMAN Cationic amino acid transporter 2 OS=Homo sapiens OX=9606 GN=SLC7A2 PE=1 SV=2
+FESTKEHFIFPSSLNRPHHDNAQIASKEEAAAHVNDPYADEENNEDRLHGELSHRIGYSFYILFGIAMWISFRVWTDASLQVMLYINVLISFAPLFPLFPVMFAVKQQNQPQRWITLVIAVFLVLFLALLALSWAELRTIAHVGYTTLVSLGLVLFALFGVLFSVLSASQQTPLLSPCFLTRMSFGQRQLMTVQSESKSTVRPSSGLGDKEPSCKPQDYSLGPQYRLILVCAAVLSYAMLTGISMMDVLAKLDFLFAMLAAVAGSSLTAIIPTKTKSNIQALCKFLLGDEAMAYIVRPMPFISGLLSTSLACLSGAAVVYKAPGWGVYEFAVPLPSKEDLLYYPMMLTLAASVGFYAMFCVLLSTVIGIPIAKQPNRVEEGTTAICDFGVFAYFCTAAGALTGTFGYPMFGGAGYISTGNESPPERASASINKLFEESIKWNAVNGKVFGAVMVFLLVLINVATFVKNVWASEKVGFSLLGALLLILCVAFFDPYEALGTYNMRFYTRLFQGIQKSLLEDFTGSWARAVSSTGIVYSLILNWGTIFAWLEGVTVYTYLYASGTKPVRAGFEAYCLGAMVSALAAILFSVVISPGSDAKAVEGALVYVGAGLTSGVGLAILDMTSLCRCLKTDELSDLTVIKRRILCRAFTLAARCPIM
+>sp|Q9NTU4|CTSRZ_HUMAN Cation channel sperm-associated protein subunit zeta OS=Homo sapiens OX=9606 GN=CATSPERZ PE=2 SV=1
+MEEKPSKVSLKSSDRQGSDEESVHSDTRDLWTTTTLSQAQLNMPLSEVCEGFDEEGRNISKTRGWHSPGRGSLDEGYKASHKPEELDEHALVELELHRGSSMEINLGEKDTASQIEAEKSSSMSSLNIAKHMPHRAYWAEQQSRLPLPLMELMENEALEILTKALRSYQLGIGRDHFLTKELQRYIEGLKKRRSKRLYVN
+>DECOY_sp|Q9NTU4|CTSRZ_HUMAN Cation channel sperm-associated protein subunit zeta OS=Homo sapiens OX=9606 GN=CATSPERZ PE=2 SV=1
+NVYLRKSRRKKLGEIYRQLEKTLFHDRGIGLQYSRLAKTLIELAENEMLEMLPLPLRSQQEAWYARHPMHKAINLSSMSSSKEAEIQSATDKEGLNIEMSSGRHLELEVLAHEDLEEPKHSAKYGEDLSGRGPSHWGRTKSINRGEEDFGECVESLPMNLQAQSLTTTTWLDRTDSHVSEEDSGQRDSSKLSVKSPKEEM
+>sp|Q6XXX2|CU024_HUMAN Putative uncharacterized protein encoded by LINC00114 OS=Homo sapiens OX=9606 GN=LINC00114 PE=5 SV=1
+MQTTWQPGCSYPTSWLSSQESFSKMRTGWRGAIPLRWRNRARNREKPHSPRAVSSPATHSLPPSNPCRLTPTLSSARPREGSCPSKCSCPGGNWSNTALSAELMWAEGRFSGGCLPVYMRQNINPGCQEQWEGEERSRWL
+>DECOY_sp|Q6XXX2|CU024_HUMAN Putative uncharacterized protein encoded by LINC00114 OS=Homo sapiens OX=9606 GN=LINC00114 PE=5 SV=1
+LWRSREEGEWQEQCGPNINQRMYVPLCGGSFRGEAWMLEASLATNSWNGGPCSCKSPCSGERPRASSLTPTLRCPNSPPLSHTAPSSVARPSHPKERNRARNRWRLPIAGRWGTRMKSFSEQSSLWSTPYSCGPQWTTQM
+>sp|Q9NV44|CU077_HUMAN Putative uncharacterized protein encoded by LINC00846 OS=Homo sapiens OX=9606 GN=LINC00846 PE=5 SV=1
+MERPLIWHLPGLFPRPQFCPCSCGCFLHCGSHGEETRVPLVESGKNSIFTSLPANDHSSFTTSAGTYLGKETGPMWWPSLRCGRASGVALMHSTAQACGHHSPWAAWHLDSFPSLAEVCVALQGRI
+>DECOY_sp|Q9NV44|CU077_HUMAN Putative uncharacterized protein encoded by LINC00846 OS=Homo sapiens OX=9606 GN=LINC00846 PE=5 SV=1
+IRGQLAVCVEALSPFSDLHWAAWPSHHGCAQATSHMLAVGSARGCRLSPWWMPGTEKGLYTGASTTFSSHDNAPLSTFISNKGSEVLPVRTEEGHSGCHLFCGCSCPCFQPRPFLGPLHWILPREM
+>sp|P59091|CU093_HUMAN Putative uncharacterized protein encoded by LINC00315 OS=Homo sapiens OX=9606 GN=LINC00315 PE=5 SV=1
+MDSLTERCRPQPLAALGPADAQLHGPAAAGGGVGPRVMLSSLCREHPWQGRCPPIPAHGKEVRQLRHLHRTRPPDTHQDMARGPGLPHTHGLPARPSHVRHQTEPVQVASRWHVPSDGLCRPCHVHQLLHPPLVPALGA
+>DECOY_sp|P59091|CU093_HUMAN Putative uncharacterized protein encoded by LINC00315 OS=Homo sapiens OX=9606 GN=LINC00315 PE=5 SV=1
+AGLAPVLPPHLLQHVHCPRCLGDSPVHWRSAVQVPETQHRVHSPRAPLGHTHPLGPGRAMDQHTDPPRTRHLHRLQRVEKGHAPIPPCRGQWPHERCLSSLMVRPGVGGGAAAPGHLQADAPGLAALPQPRCRETLSDM
+>sp|O14529|CUX2_HUMAN Homeobox protein cut-like 2 OS=Homo sapiens OX=9606 GN=CUX2 PE=1 SV=4
+MAANVGSMFQYWKRFDLRRLQKELNSVASELSARQEESEHSHKHLIELRREFKKNVPEEIREMVAPVLKSFQAEVVALSKRSQEAEAAFLSVYKQLIEAPDPVPVFEAARSLDDRLQPPSFDPSGQPRRDLHTSWKRNPELLSPKEQREGTSPAGPTLTEGSRLPGIPGKALLTETLLQRNEAEKQKGLQEVQITLAARLGEAEEKIKVLHSALKATQAELLELRRKYDEEAASKADEVGLIMTNLEKANQRAEAAQREVESLREQLASVNSSIRLACCSPQGPSGDKVNFTLCSGPRLEAALASKDREILRLLKDVQHLQSSLQELEEASANQIADLERQLTAKSEAIEKLEEKLQAQSDYEEIKTELSILKAMKLASSTCSLPQGMAKPEDSLLIAKEAFFPTQKFLLEKPSLLASPEEDPSEDDSIKDSLGTEQSYPSPQQLPPPPGPEDPLSPSPGQPLLGPSLGPDGTRTFSLSPFPSLASGERLMMPPAAFKGEAGGLLVFPPAFYGAKPPTAPATPAPGPEPLGGPEPADGGGGGAAGPGAEEEQLDTAEIAFQVKEQLLKHNIGQRVFGHYVLGLSQGSVSEILARPKPWRKLTVKGKEPFIKMKQFLSDEQNVLALRTIQVRQRGSITPRIRTPETGSDDAIKSILEQAKKEIESQKGGEPKTSVAPLSIANGTTPASTSEDAIKSILEQARREMQAQQQALLEMEVAPRGRSVPPSPPERPSLATASQNGAPALVKQEEGSGGPAQAPLPVLSPAAFVQSIIRKVKSEIGDAGYFDHHWASDRGLLSRPYASVSPSLSSSSSSGYSGQPNGRAWPRGDEAPVPPEDEAAAGAEDEPPRTGELKAEGATAEAGARLPYYPAYVPRTLKPTVPPLTPEQYELYMYREVDTLELTRQVKEKLAKNGICQRIFGEKVLGLSQGSVSDMLSRPKPWSKLTQKGREPFIRMQLWLSDQLGQAVGQQPGASQASPTEPRSSPSPPPSPTEPEKSSQEPLSLSLESSKENQQPEGRSSSSLSGKMYSGSQAPGGIQEIVAMSPELDTYSITKRVKEVLTDNNLGQRLFGESILGLTQGSVSDLLSRPKPWHKLSLKGREPFVRMQLWLNDPHNVEKLRDMKKLEKKAYLKRRYGLISTGSDSESPATRSECPSPCLQPQDLSLLQIKKPRVVLAPEEKEALRKAYQLEPYPSQQTIELLSFQLNLKTNTVINWFHNYRSRMRREMLVEGTQDEPDLDPSGGPGILPPGHSHPDPTPQSPDSETEDQKPTVKELELQEGPEENSTPLTTQDKAQVRIKQEQMEEDAEEEAGSQPQDSGELDKGQGPPKEEHPDPPGNDGLPKVAPGPLLPGGSTPDCPSLHPQQESEAGERLHPDPLSFKSASESSRCSLEVSLNSPSAASSPGLMMSVSPVPSSSAPISPSPPGAPPAKVPSASPTADMAGALHPSAKVNPNLQRRHEKMANLNNIIYRVERAANREEALEWEF
+>DECOY_sp|O14529|CUX2_HUMAN Homeobox protein cut-like 2 OS=Homo sapiens OX=9606 GN=CUX2 PE=1 SV=4
+FEWELAEERNAAREVRYIINNLNAMKEHRRQLNPNVKASPHLAGAMDATPSASPVKAPPAGPPSPSIPASSSPVPSVSMMLGPSSAASPSNLSVELSCRSSESASKFSLPDPHLREGAESEQQPHLSPCDPTSGGPLLPGPAVKPLGDNGPPDPHEEKPPGQGKDLEGSDQPQSGAEEEADEEMQEQKIRVQAKDQTTLPTSNEEPGEQLELEKVTPKQDETESDPSQPTPDPHSHGPPLIGPGGSPDLDPEDQTGEVLMERRMRSRYNHFWNIVTNTKLNLQFSLLEITQQSPYPELQYAKRLAEKEEPALVVRPKKIQLLSLDQPQLCPSPCESRTAPSESDSGTSILGYRRKLYAKKELKKMDRLKEVNHPDNLWLQMRVFPERGKLSLKHWPKPRSLLDSVSGQTLGLISEGFLRQGLNNDTLVEKVRKTISYTDLEPSMAVIEQIGGPAQSGSYMKGSLSSSSRGEPQQNEKSSELSLSLPEQSSKEPETPSPPPSPSSRPETPSAQSAGPQQGVAQGLQDSLWLQMRIFPERGKQTLKSWPKPRSLMDSVSGQSLGLVKEGFIRQCIGNKALKEKVQRTLELTDVERYMYLEYQEPTLPPVTPKLTRPVYAPYYPLRAGAEATAGEAKLEGTRPPEDEAGAAAEDEPPVPAEDGRPWARGNPQGSYGSSSSSSLSPSVSAYPRSLLGRDSAWHHDFYGADGIESKVKRIISQVFAAPSLVPLPAQAPGGSGEEQKVLAPAGNQSATALSPREPPSPPVSRGRPAVEMELLAQQQAQMERRAQELISKIADESTSAPTTGNAISLPAVSTKPEGGKQSEIEKKAQELISKIADDSGTEPTRIRPTISGRQRVQITRLALVNQEDSLFQKMKIFPEKGKVTLKRWPKPRALIESVSGQSLGLVYHGFVRQGINHKLLQEKVQFAIEATDLQEEEAGPGAAGGGGGDAPEPGGLPEPGPAPTAPATPPKAGYFAPPFVLLGGAEGKFAAPPMMLREGSALSPFPSLSFTRTGDPGLSPGLLPQGPSPSLPDEPGPPPPLQQPSPYSQETGLSDKISDDESPDEEPSALLSPKELLFKQTPFFAEKAILLSDEPKAMGQPLSCTSSALKMAKLISLETKIEEYDSQAQLKEELKEIAESKATLQRELDAIQNASAEELEQLSSQLHQVDKLLRLIERDKSALAAELRPGSCLTFNVKDGSPGQPSCCALRISSNVSALQERLSEVERQAAEARQNAKELNTMILGVEDAKSAAEEDYKRRLELLEAQTAKLASHLVKIKEEAEGLRAALTIQVEQLGKQKEAENRQLLTETLLAKGPIGPLRSGETLTPGAPSTGERQEKPSLLEPNRKWSTHLDRRPQGSPDFSPPQLRDDLSRAAEFVPVPDPAEILQKYVSLFAAEAEQSRKSLAVVEAQFSKLVPAVMERIEEPVNKKFERRLEILHKHSHESEEQRASLESAVSNLEKQLRRLDFRKWYQFMSGVNAAM
+>sp|Q8N1L1|CV037_HUMAN Putative uncharacterized protein encoded by LINC00528 OS=Homo sapiens OX=9606 GN=LINC00528 PE=5 SV=1
+MALLLSDWCPDGDADTHTGTDPGRTTHRLCARERGVRGTQPCPRIYLRLPAQNCEETRFCCASPGSVVLGHGAPRTASPPSALSHPSPLEGLSFSPFPPSVLSHPSPPEGLSFSLFHCLCSGKLSESPGCFWNSLGWSFSVLTEPGVWKVGEAIWVAENLAQPLTSPCAC
+>DECOY_sp|Q8N1L1|CV037_HUMAN Putative uncharacterized protein encoded by LINC00528 OS=Homo sapiens OX=9606 GN=LINC00528 PE=5 SV=1
+CACPSTLPQALNEAVWIAEGVKWVGPETLVSFSWGLSNWFCGPSESLKGSCLCHFLSFSLGEPPSPHSLVSPPFPSFSLGELPSPHSLASPPSATRPAGHGLVVSGPSACCFRTEECNQAPLRLYIRPCPQTGRVGRERACLRHTTRGPDTGTHTDADGDPCWDSLLLAM
+>sp|Q02221|CX6A2_HUMAN Cytochrome c oxidase subunit 6A2, mitochondrial OS=Homo sapiens OX=9606 GN=COX6A2 PE=2 SV=2
+MALPLRPLTRGLASAAKGGHGGAGARTWRLLTFVLALPSVALCTFNSYLHSGHRPRPEFRPYQHLRIRTKPYPWGDGNHTLFHNSHVNPLPTGYEHP
+>DECOY_sp|Q02221|CX6A2_HUMAN Cytochrome c oxidase subunit 6A2, mitochondrial OS=Homo sapiens OX=9606 GN=COX6A2 PE=2 SV=2
+PHEYGTPLPNVHSNHFLTHNGDGWPYPKTRIRLHQYPRFEPRPRHGSHLYSNFTCLAVSPLALVFTLLRWTRAGAGGHGGKAASALGRTLPRLPLAM
+>sp|P17302|CXA1_HUMAN Gap junction alpha-1 protein OS=Homo sapiens OX=9606 GN=GJA1 PE=1 SV=2
+MGDWSALGKLLDKVQAYSTAGGKVWLSVLFIFRILLLGTAVESAWGDEQSAFRCNTQQPGCENVCYDKSFPISHVRFWVLQIIFVSVPTLLYLAHVFYVMRKEEKLNKKEEELKVAQTDGVNVDMHLKQIEIKKFKYGIEEHGKVKMRGGLLRTYIISILFKSIFEVAFLLIQWYIYGFSLSAVYTCKRDPCPHQVDCFLSRPTEKTIFIIFMLVVSLVSLALNIIELFYVFFKGVKDRVKGKSDPYHATSGALSPAKDCGSQKYAYFNGCSSPTAPLSPMSPPGYKLVTGDRNNSSCRNYNKQASEQNWANYSAEQNRMGQAGSTISNSHAQPFDFPDDNQNSKKLAAGHELQPLAIVDQRPSSRASSRASSRPRPDDLEI
+>DECOY_sp|P17302|CXA1_HUMAN Gap junction alpha-1 protein OS=Homo sapiens OX=9606 GN=GJA1 PE=1 SV=2
+IELDDPRPRSSARSSARSSPRQDVIALPQLEHGAALKKSNQNDDPFDFPQAHSNSITSGAQGMRNQEASYNAWNQESAQKNYNRCSSNNRDGTVLKYGPPSMPSLPATPSSCGNFYAYKQSGCDKAPSLAGSTAHYPDSKGKVRDKVGKFFVYFLEIINLALSVLSVVLMFIIFITKETPRSLFCDVQHPCPDRKCTYVASLSFGYIYWQILLFAVEFISKFLISIIYTRLLGGRMKVKGHEEIGYKFKKIEIQKLHMDVNVGDTQAVKLEEEKKNLKEEKRMVYFVHALYLLTPVSVFIIQLVWFRVHSIPFSKDYCVNECGPQQTNCRFASQEDGWASEVATGLLLIRFIFLVSLWVKGGATSYAQVKDLLKGLASWDGM
+>sp|Q9UKL4|CXD2_HUMAN Gap junction delta-2 protein OS=Homo sapiens OX=9606 GN=GJD2 PE=1 SV=1
+MGEWTILERLLEAAVQQHSTMIGRILLTVVVIFRILIVAIVGETVYDDEQTMFVCNTLQPGCNQACYDRAFPISHIRYWVFQIIMVCTPSLCFITYSVHQSAKQRERRYSTVFLALDRDPPESIGGPGGTGGGGSGGGKREDKKLQNAIVNGVLQNTENTSKETEPDCLEVKELTPHPSGLRTASKSKLRRQEGISRFYIIQVVFRNALEIGFLVGQYFLYGFSVPGLYECNRYPCIKEVECYVSRPTEKTVFLVFMFAVSGICVVLNLAELNHLGWRKIKLAVRGAQAKRKSIYEIRNKDLPRVSVPNFGRTQSSDSAYV
+>DECOY_sp|Q9UKL4|CXD2_HUMAN Gap junction delta-2 protein OS=Homo sapiens OX=9606 GN=GJD2 PE=1 SV=1
+VYASDSSQTRGFNPVSVRPLDKNRIEYISKRKAQAGRVALKIKRWGLHNLEALNLVVCIGSVAFMFVLFVTKETPRSVYCEVEKICPYRNCEYLGPVSFGYLFYQGVLFGIELANRFVVQIIYFRSIGEQRRLKSKSATRLGSPHPTLEKVELCDPETEKSTNETNQLVGNVIANQLKKDERKGGGSGGGGTGGPGGISEPPDRDLALFVTSYRRERQKASQHVSYTIFCLSPTCVMIIQFVWYRIHSIPFARDYCAQNCGPQLTNCVFMTQEDDYVTEGVIAVILIRFIVVVTLLIRGIMTSHQQVAAELLRELITWEGM
+>sp|Q5T442|CXG2_HUMAN Gap junction gamma-2 protein OS=Homo sapiens OX=9606 GN=GJC2 PE=1 SV=1
+MTNMSWSFLTRLLEEIHNHSTFVGKVWLTVLVVFRIVLTAVGGEAIYSDEQAKFTCNTRQPGCDNVCYDAFAPLSHVRFWVFQIVVISTPSVMYLGYAVHRLARASEQERRRALRRRPGPRRAPRAHLPPPHAGWPEPADLGEEEPMLGLGEEEEEEETGAAEGAGEEAEEAGAEEACTKAVGADGKAAGTPGPTGQHDGRRRIQREGLMRVYVAQLVARAAFEVAFLVGQYLLYGFEVRPFFPCSRQPCPHVVDCFVSRPTEKTVFLLVMYVVSCLCLLLNLCEMAHLGLGSAQDAVRGRRGPPASAPAPAPRPPPCAFPAAAAGLACPPDYSLVVRAAERARAHDQNLANLALQALRDGAAAGDRDRDSSPCVGLPAASRGPPRAGAPASRTGSATSAGTVGEQGRPGTHERPGAKPRAGSEKGSASSRDGKTTVWI
+>DECOY_sp|Q5T442|CXG2_HUMAN Gap junction gamma-2 protein OS=Homo sapiens OX=9606 GN=GJC2 PE=1 SV=1
+IWVTTKGDRSSASGKESGARPKAGPREHTGPRGQEGVTGASTASGTRSAPAGARPPGRSAAPLGVCPSSDRDRDGAAAGDRLAQLALNALNQDHARAREAARVVLSYDPPCALGAAAAPFACPPPRPAPAPASAPPGRRGRVADQASGLGLHAMECLNLLLCLCSVVYMVLLFVTKETPRSVFCDVVHPCPQRSCPFFPRVEFGYLLYQGVLFAVEFAARAVLQAVYVRMLGERQIRRRGDHQGTPGPTGAAKGDAGVAKTCAEEAGAEEAEEGAGEAAGTEEEEEEEGLGLMPEEEGLDAPEPWGAHPPPLHARPARRPGPRRRLARRREQESARALRHVAYGLYMVSPTSIVVIQFVWFRVHSLPAFADYCVNDCGPQRTNCTFKAQEDSYIAEGGVATLVIRFVVLVTLWVKGVFTSHNHIEELLRTLFSWSMNTM
+>sp|O43927|CXL13_HUMAN C-X-C motif chemokine 13 OS=Homo sapiens OX=9606 GN=CXCL13 PE=1 SV=1
+MKFISTSLLLMLLVSSLSPVQGVLEVYYTSLRCRCVQESSVFIPRRFIDRIQILPRGNGCPRKEIIVWKKNKSIVCVDPQAEWIQRMMEVLRKRSSSTLPVPVFKRKIP
+>DECOY_sp|O43927|CXL13_HUMAN C-X-C motif chemokine 13 OS=Homo sapiens OX=9606 GN=CXCL13 PE=1 SV=1
+PIKRKFVPVPLTSSSRKRLVEMMRQIWEAQPDVCVISKNKKWVIIEKRPCGNGRPLIQIRDIFRRPIFVSSEQVCRCRLSTYYVELVGQVPSLSSVLLMLLLSTSIFKM
+>sp|O15255|CXX1_HUMAN CAAX box protein 1 OS=Homo sapiens OX=9606 GN=RTL8C PE=2 SV=1
+MGGGRGLLGRETLGPGGGCSGEGPLCYWPPPGSPPAPSLRASLPLEPPRCPLRSCSLPRSACLCSRNSAPGSCCRPWASLWSEPPPSPSSQPAPPMYIWTLSCAPAASWAPVTHWTDHPLPPLPSPLLPTRLPDDYIILPTDLRCHSHRHPSHPTDRLLLLVIWTHLGGIWAGHSPWTVIQTAGRPPRDLSPSARPISSPPPETSCVLA
+>DECOY_sp|O15255|CXX1_HUMAN CAAX box protein 1 OS=Homo sapiens OX=9606 GN=RTL8C PE=2 SV=1
+ALVCSTEPPPSSIPRASPSLDRPPRGATQIVTWPSHGAWIGGLHTWIVLLLLRDTPHSPHRHSHCRLDTPLIIYDDPLRTPLLPSPLPPLPHDTWHTVPAWSAAPACSLTWIYMPPAPQSSPSPPPESWLSAWPRCCSGPASNRSCLCASRPLSCSRLPCRPPELPLSARLSPAPPSGPPPWYCLPGEGSCGGGPGLTERGLLGRGGGM
+>sp|Q9P0U4|CXXC1_HUMAN CXXC-type zinc finger protein 1 OS=Homo sapiens OX=9606 GN=CXXC1 PE=1 SV=2
+MEGDGSDPEPPDAGEDSKSENGENAPIYCICRKPDINCFMIGCDNCNEWFHGDCIRITEKMAKAIREWYCRECREKDPKLEIRYRHKKSRERDGNERDSSEPRDEGGGRKRPVPDPDLQRRAGSGTGVGAMLARGSASPHKSSPQPLVATPSQHHQQQQQQIKRSARMCGECEACRRTEDCGHCDFCRDMKKFGGPNKIRQKCRLRQCQLRARESYKYFPSSLSPVTPSESLPRPRRPLPTQQQPQPSQKLGRIREDEGAVASSTVKEPPEATATPEPLSDEDLPLDPDLYQDFCAGAFDDHGLPWMSDTEESPFLDPALRKRAVKVKHVKRREKKSEKKKEERYKRHRQKQKHKDKWKHPERADAKDPASLPQCLGPGCVRPAQPSSKYCSDDCGMKLAANRIYEILPQRIQQWQQSPCIAEEHGKKLLERIRREQQSARTRLQEMERRFHELEAIILRAKQQAVREDEESNEGDSDDTDLQIFCVSCGHPINPRVALRHMERCYAKYESQTSFGSMYPTRIEGATRLFCDVYNPQSKTYCKRLQVLCPEHSRDPKVPADEVCGCPLVRDVFELTGDFCRLPKRQCNRHYCWEKLRRAEVDLERVRVWYKLDELFEQERNVRTAMTNRAGLLALMLHQTIQHDPLTTDLRSSADR
+>DECOY_sp|Q9P0U4|CXXC1_HUMAN CXXC-type zinc finger protein 1 OS=Homo sapiens OX=9606 GN=CXXC1 PE=1 SV=2
+RDASSRLDTTLPDHQITQHLMLALLGARNTMATRVNREQEFLEDLKYWVRVRELDVEARRLKEWCYHRNCQRKPLRCFDGTLEFVDRVLPCGCVEDAPVKPDRSHEPCLVQLRKCYTKSQPNYVDCFLRTAGEIRTPYMSGFSTQSEYKAYCREMHRLAVRPNIPHGCSVCFIQLDTDDSDGENSEEDERVAQQKARLIIAELEHFRREMEQLRTRASQQERRIRELLKKGHEEAICPSQQWQQIRQPLIEYIRNAALKMGCDDSCYKSSPQAPRVCGPGLCQPLSAPDKADAREPHKWKDKHKQKQRHRKYREEKKKESKKERRKVHKVKVARKRLAPDLFPSEETDSMWPLGHDDFAGACFDQYLDPDLPLDEDSLPEPTATAEPPEKVTSSAVAGEDERIRGLKQSPQPQQQTPLPRRPRPLSESPTVPSLSSPFYKYSERARLQCQRLRCKQRIKNPGGFKKMDRCFDCHGCDETRRCAECEGCMRASRKIQQQQQQHHQSPTAVLPQPSSKHPSASGRALMAGVGTGSGARRQLDPDPVPRKRGGGEDRPESSDRENGDRERSKKHRYRIELKPDKERCERCYWERIAKAMKETIRICDGHFWENCNDCGIMFCNIDPKRCICYIPANEGNESKSDEGADPPEPDSGDGEM
+>sp|P08574|CY1_HUMAN Cytochrome c1, heme protein, mitochondrial OS=Homo sapiens OX=9606 GN=CYC1 PE=1 SV=3
+MAAAAASLRGVVLGPRGAGLPGARARGLLCSARPGQLPLRTPQAVALSSKSGLSRGRKVMLSALGMLAAGGAGLAMALHSAVSASDLELHPPSYPWSHRGLLSSLDHTSIRRGFQVYKQVCASCHSMDFVAYRHLVGVCYTEDEAKELAAEVEVQDGPNEDGEMFMRPGKLFDYFPKPYPNSEAARAANNGALPPDLSYIVRARHGGEDYVFSLLTGYCEPPTGVSLREGLYFNPYFPGQAIAMAPPIYTDVLEFDDGTPATMSQIAKDVCTFLRWASEPEHDHRKRMGLKMLMMMALLVPLVYTIKRHKWSVLKSRKLAYRPPK
+>DECOY_sp|P08574|CY1_HUMAN Cytochrome c1, heme protein, mitochondrial OS=Homo sapiens OX=9606 GN=CYC1 PE=1 SV=3
+KPPRYALKRSKLVSWKHRKITYVLPVLLAMMMLMKLGMRKRHDHEPESAWRLFTCVDKAIQSMTAPTGDDFELVDTYIPPAMAIAQGPFYPNFYLGERLSVGTPPECYGTLLSFVYDEGGHRARVIYSLDPPLAGNNAARAAESNPYPKPFYDFLKGPRMFMEGDENPGDQVEVEAALEKAEDETYCVGVLHRYAVFDMSHCSACVQKYVQFGRRISTHDLSSLLGRHSWPYSPPHLELDSASVASHLAMALGAGGAALMGLASLMVKRGRSLGSKSSLAVAQPTRLPLQGPRASCLLGRARAGPLGAGRPGLVVGRLSAAAAAM
+>sp|Q9BXS0|COPA1_HUMAN Collagen alpha-1(XXV) chain OS=Homo sapiens OX=9606 GN=COL25A1 PE=1 SV=2
+MLLKKHAGKGGGREPRSEDPTPAEQHCARTMPPCAVLAALLSVVAVVSCLYLGVKTNDLQARIAALESAKGAPSIHLLPDTLDHLKTMVQEKVERLLAQKSYEHMAKIRIAREAPSECNCPAGPPGKRGKRGRRGESGPPGQPGPQGPPGPKGDKGEQGDQGPRMVFPKINHGFLSADQQLIKRRLIKGDQGQAGPPGPPGPPGPRGPPGDTGKDGPRGMPGVPGEPGKPGEQGLMGPLGPPGQKGSIGAPGIPGMNGQKGEPGLPGAVGQNGIPGPKGEPGEQGEKGDAGENGPKGDTGEKGDPGSSAAGIKGEPGESGRPGQKGEPGLPGLPGLPGIKGEPGFIGPQGEPGLPGLPGTKGERGEAGPPGRGERGEPGAPGPKGKQGESGTRGPKGSKGDRGEKGDSGAQGPRGPPGQKGDQGATEIIDYNGNLHEALQRITTLTVTGPPGPPGPQGLQGPKGEQGSPGIPGMDGEQGLKGSKGDMGDPGMTGEKGGIGLPGLPGANGMKGEKGDSGMPGPQGPSIIGPPGPPGPHGPPGPMGPHGLPGPKGTDGPMGPHGPAGPKGERGEKGAMGEPGPRGPYGLPGKDGEPGLDGFPGPRGEKGDLGEKGEKGFRGVKGEKGEPGQPGLDGLDAPCQLGPDGLPMPGCWQK
+>DECOY_sp|Q9BXS0|COPA1_HUMAN Collagen alpha-1(XXV) chain OS=Homo sapiens OX=9606 GN=COL25A1 PE=1 SV=2
+KQWCGPMPLGDPGLQCPADLGDLGPQGPEGKEGKVGRFGKEGKEGLDGKEGRPGPFGDLGPEGDKGPLGYPGRPGPEGMAGKEGREGKPGAPGHPGMPGDTGKPGPLGHPGMPGPPGHPGPPGPPGIISPGQPGPMGSDGKEGKMGNAGPLGPLGIGGKEGTMGPDGMDGKSGKLGQEGDMGPIGPSGQEGKPGQLGQPGPPGPPGTVTLTTIRQLAEHLNGNYDIIETAGQDGKQGPPGRPGQAGSDGKEGRDGKSGKPGRTGSEGQKGKPGPAGPEGREGRGPPGAEGREGKTGPLGPLGPEGQPGIFGPEGKIGPLGPLGPLGPEGKQGPRGSEGPEGKIGAASSGPDGKEGTDGKPGNEGADGKEGQEGPEGKPGPIGNQGVAGPLGPEGKQGNMGPIGPAGISGKQGPPGLPGMLGQEGPKGPEGPVGPMGRPGDKGTDGPPGRPGPPGPPGPPGAQGQDGKILRRKILQQDASLFGHNIKPFVMRPGQDGQEGKDGKPGPPGQPGPQGPPGSEGRRGRKGRKGPPGAPCNCESPAERAIRIKAMHEYSKQALLREVKEQVMTKLHDLTDPLLHISPAGKASELAAIRAQLDNTKVGLYLCSVVAVVSLLAALVACPPMTRACHQEAPTPDESRPERGGGKGAHKKLLM
+>sp|P35606|COPB2_HUMAN Coatomer subunit beta' OS=Homo sapiens OX=9606 GN=COPB2 PE=1 SV=2
+MPLRLDIKRKLTARSDRVKSVDLHPTEPWMLASLYNGSVCVWNHETQTLVKTFEVCDLPVRAAKFVARKNWVVTGADDMQIRVFNYNTLERVHMFEAHSDYIRCIAVHPTQPFILTSSDDMLIKLWDWDKKWSCSQVFEGHTHYVMQIVINPKDNNQFASASLDRTIKVWQLGSSSPNFTLEGHEKGVNCIDYYSGGDKPYLISGADDRLVKIWDYQNKTCVQTLEGHAQNVSCASFHPELPIIITGSEDGTVRIWHSSTYRLESTLNYGMERVWCVASLRGSNNVALGYDEGSIIVKLGREEPAMSMDANGKIIWAKHSEVQQANLKAMGDAEIKDGERLPLAVKDMGSCEIYPQTIQHNPNGRFVVVCGDGEYIIYTAMALRNKSFGSAQEFAWAHDSSEYAIRESNSIVKIFKNFKEKKSFKPDFGAESIYGGFLLGVRSVNGLAFYDWDNTELIRRIEIQPKHIFWSDSGELVCIATEESFFILKYLSEKVLAAQETHEGVTEDGIEDAFEVLGEIQEIVKTGLWVGDCFIYTSSVNRLNYYVGGEIVTIAHLDRTMYLLGYIPKDNRLYLGDKELNIISYSLLVSVLEYQTAVMRRDFSMADKVLPTIPKEQRTRVAHFLEKQGFKQQALTVSTDPEHRFELALQLGELKIAYQLAVEAESEQKWKQLAELAISKCQFGLAQECLHHAQDYGGLLLLATASGNANMVNKLAEGAERDGKNNVAFMSYFLQGKVDACLELLIRTGRLPEAAFLARTYLPSQVSRVVKLWRENLSKVNQKAAESLADPTEYENLFPGLKEAFVVEEWVKETHADLWPAKQYPLVTPNEERNVMEEGKDFQPSRSTAQQELDGKPASPTPVIVASHTANKEEKSLLELEVDLDNLELEDIDTTDINLDEDILDD
+>DECOY_sp|P35606|COPB2_HUMAN Coatomer subunit beta' OS=Homo sapiens OX=9606 GN=COPB2 PE=1 SV=2
+DDLIDEDLNIDTTDIDELELNDLDVELELLSKEEKNATHSAVIVPTPSAPKGDLEQQATSRSPQFDKGEEMVNREENPTVLPYQKAPWLDAHTEKVWEEVVFAEKLGPFLNEYETPDALSEAAKQNVKSLNERWLKVVRSVQSPLYTRALFAAEPLRGTRILLELCADVKGQLFYSMFAVNNKGDREAGEALKNVMNANGSATALLLLGGYDQAHHLCEQALGFQCKSIALEALQKWKQESEAEVALQYAIKLEGLQLALEFRHEPDTSVTLAQQKFGQKELFHAVRTRQEKPITPLVKDAMSFDRRMVATQYELVSVLLSYSIINLEKDGLYLRNDKPIYGLLYMTRDLHAITVIEGGVYYNLRNVSSTYIFCDGVWLGTKVIEQIEGLVEFADEIGDETVGEHTEQAALVKESLYKLIFFSEETAICVLEGSDSWFIHKPQIEIRRILETNDWDYFALGNVSRVGLLFGGYISEAGFDPKFSKKEKFNKFIKVISNSERIAYESSDHAWAFEQASGFSKNRLAMATYIIYEGDGCVVVFRGNPNHQITQPYIECSGMDKVALPLREGDKIEADGMAKLNAQQVESHKAWIIKGNADMSMAPEERGLKVIISGEDYGLAVNNSGRLSAVCWVREMGYNLTSELRYTSSHWIRVTGDESGTIIIPLEPHFSACSVNQAHGELTQVCTKNQYDWIKVLRDDAGSILYPKDGGSYYDICNVGKEHGELTFNPSSSGLQWVKITRDLSASAFQNNDKPNIVIQMVYHTHGEFVQSCSWKKDWDWLKILMDDSSTLIFPQTPHVAICRIYDSHAEFMHVRELTNYNFVRIQMDDAGTVVWNKRAVFKAARVPLDCVEFTKVLTQTEHNWVCVSGNYLSALMWPETPHLDVSKVRDSRATLKRKIDLRLPM
+>sp|P53618|COPB_HUMAN Coatomer subunit beta OS=Homo sapiens OX=9606 GN=COPB1 PE=1 SV=3
+MTAAENVCYTLINVPMDSEPPSEISLKNDLEKGDVKSKTEALKKVIIMILNGEKLPGLLMTIIRFVLPLQDHTIKKLLLVFWEIVPKTTPDGRLLHEMILVCDAYRKDLQHPNEFIRGSTLRFLCKLKEAELLEPLMPAIRACLEHRHSYVRRNAVLAIYTIYRNFEHLIPDAPELIHDFLVNEKDASCKRNAFMMLIHADQDRALDYLSTCIDQVQTFGDILQLVIVELIYKVCHANPSERARFIRCIYNLLQSSSPAVKYEAAGTLVTLSSAPTAIKAAAQCYIDLIIKESDNNVKLIVLDRLIELKEHPAHERVLQDLVMDILRVLSTPDLEVRKKTLQLALDLVSSRNVEELVIVLKKEVIKTNNVSEHEDTDKYRQLLVRTLHSCSVRFPDMAANVIPVLMEFLSDNNEAAAADVLEFVREAIQRFDNLRMLIVEKMLEVFHAIKSVKIYRGALWILGEYCSTKEDIQSVMTEIRRSLGEIPIVESEIKKEAGELKPEEEITVGPVQKLVTEMGTYATQSALSSSRPTKKEEDRPPLRGFLLDGDFFVAASLATTLTKIALRYVALVQEKKKQNSFVAEAMLLMATILHLGKSSLPKKPITDDDVDRISLCLKVLSECSPLMNDIFNKECRQSLSHMLSAKLEEEKLSQKKESEKRNVTVQPDDPISFMQLTAKNEMNCKEDQFQLSLLAAMGNTQRKEAADPLASKLNKVTQLTGFSDPVYAEAYVHVNQYDIVLDVLVVNQTSDTLQNCTLELATLGDLKLVEKPSPLTLAPHDFANIKANVKVASTENGIIFGNIVYDVSGAASDRNCVVLSDIHIDIMDYIQPATCTDAEFRQMWAEFEWENKVTVNTNMVDLNDYLQHILKSTNMKCLTPEKALSGYCGFMAANLYARSIFGEDALANVSIEKPIHQGPDAAVTGHIRIRAKSQGMALSLGDKINLSQKKTSI
+>DECOY_sp|P53618|COPB_HUMAN Coatomer subunit beta OS=Homo sapiens OX=9606 GN=COPB1 PE=1 SV=3
+ISTKKQSLNIKDGLSLAMGQSKARIRIHGTVAADPGQHIPKEISVNALADEGFISRAYLNAAMFGCYGSLAKEPTLCKMNTSKLIHQLYDNLDVMNTNVTVKNEWEFEAWMQRFEADTCTAPQIYDMIDIHIDSLVVCNRDSAAGSVDYVINGFIIGNETSAVKVNAKINAFDHPALTLPSPKEVLKLDGLTALELTCNQLTDSTQNVVLVDLVIDYQNVHVYAEAYVPDSFGTLQTVKNLKSALPDAAEKRQTNGMAALLSLQFQDEKCNMENKATLQMFSIPDDPQVTVNRKESEKKQSLKEEELKASLMHSLSQRCEKNFIDNMLPSCESLVKLCLSIRDVDDDTIPKKPLSSKGLHLITAMLLMAEAVFSNQKKKEQVLAVYRLAIKTLTTALSAAVFFDGDLLFGRLPPRDEEKKTPRSSSLASQTAYTGMETVLKQVPGVTIEEEPKLEGAEKKIESEVIPIEGLSRRIETMVSQIDEKTSCYEGLIWLAGRYIKVSKIAHFVELMKEVILMRLNDFRQIAERVFELVDAAAAENNDSLFEMLVPIVNAAMDPFRVSCSHLTRVLLQRYKDTDEHESVNNTKIVEKKLVIVLEEVNRSSVLDLALQLTKKRVELDPTSLVRLIDMVLDQLVREHAPHEKLEILRDLVILKVNNDSEKIILDIYCQAAAKIATPASSLTVLTGAAEYKVAPSSSQLLNYICRIFRARESPNAHCVKYILEVIVLQLIDGFTQVQDICTSLYDLARDQDAHILMMFANRKCSADKENVLFDHILEPADPILHEFNRYITYIALVANRRVYSHRHELCARIAPMLPELLEAEKLKCLFRLTSGRIFENPHQLDKRYADCVLIMEHLLRGDPTTKPVIEWFVLLLKKITHDQLPLVFRIITMLLGPLKEGNLIMIIVKKLAETKSKVDGKELDNKLSIESPPESDMPVNILTYCVNEAATM
+>sp|O14579|COPE_HUMAN Coatomer subunit epsilon OS=Homo sapiens OX=9606 GN=COPE PE=1 SV=3
+MAPPAPGPASGGSGEVDELFDVKNAFYIGSYQQCINEAQRVKLSSPERDVERDVFLYRAYLAQRKFGVVLDEIKPSSAPELQAVRMFADYLAHESRRDSIVAELDREMSRSVDVTNTTFLLMAASIYLHDQNPDAALRALHQGDSLECTAMTVQILLKLDRLDLARKELKRMQDLDEDATLTQLATAWVSLATGGEKLQDAYYIFQEMADKCSPTLLLLNGQAACHMAQGRWEAAEGLLQEALDKDSGYPETLVNLIVLSQHLGKPPEVTNRYLSQLKDAHRSHPFIKEYQAKENDFDRLVLQYAPSA
+>DECOY_sp|O14579|COPE_HUMAN Coatomer subunit epsilon OS=Homo sapiens OX=9606 GN=COPE PE=1 SV=3
+ASPAYQLVLRDFDNEKAQYEKIFPHSRHADKLQSLYRNTVEPPKGLHQSLVILNVLTEPYGSDKDLAEQLLGEAAEWRGQAMHCAAQGNLLLLTPSCKDAMEQFIYYADQLKEGGTALSVWATALQTLTADEDLDQMRKLEKRALDLRDLKLLIQVTMATCELSDGQHLARLAADPNQDHLYISAAMLLFTTNTVDVSRSMERDLEAVISDRRSEHALYDAFMRVAQLEPASSPKIEDLVVGFKRQALYARYLFVDREVDREPSSLKVRQAENICQQYSGIYFANKVDFLEDVEGSGGSAPGPAPPAM
+>sp|Q9UBF2|COPG2_HUMAN Coatomer subunit gamma-2 OS=Homo sapiens OX=9606 GN=COPG2 PE=1 SV=1
+MIKKFDKKDEESGSGSNPFQHLEKSAVLQEARIFNETPINPRRCLHILTKILYLLNQGEHFGTTEATEAFFAMTRLFQSNDQTLRRMCYLTIKEMATISEDVIIVTSSLTKDMTGKEDVYRGPAIRALCRITDGTMLQAIERYMKQAIVDKVSSVSSSALVSSLHMMKISYDVVKRWINEAQEAASSDNIMVQYHALGVLYHLRKNDRLAVSKMLNKFTKSGLKSQFAYCMLIRIASRLLKETEDGHESPLFDFIESCLRNKHEMVIYEAASAIIHLPNCTARELAPAVSVLQLFCSSPKPALRYAAVRTLNKVAMKHPSAVTACNLDLENLITDSNRSIATLAITTLLKTGSESSVDRLMKQISSFVSEISDEFKVVVVQAISALCQKYPRKHSVMMTFLSNMLRDDGGFEYKRAIVDCIISIVEENPESKEAGLAHLCEFIEDCEHTVLATKILHLLGKEGPRTPVPSKYIRFIFNRVVLENEAVRAAAVSALAKFGAQNESLLPSILVLLQRCMMDTDDEVRDRATFYLNVLQQRQMALNATYIFNGLTVSVPGMEKALHQYTLEPSEKPFDMKSIPLAMAPVFEQKAEITLVATKPEKLAPSRQDIFQEQLAAIPEFLNIGPLFKSSEPVQLTEAETEYFVRCIKHMFTNHIVFQFDCTNTLNDQLLEKVTVQMEPSDSYEVLSCIPAPSLPYNQPGICYTLVRLPDDDPTAVAGSFSCTMKFTVRDCDPNTGVPDEDGYDDEYVLEDLEVTVSDHIQKVLKPNFAAAWEEVGDTFEKEETFALSSTKTLEEAVNNIITFLGMQPCERSDKVPENKNSHSLYLAGIFRGGYDLLVRSRLALADGVTMQVTVRSKERTPVDVILASVG
+>DECOY_sp|Q9UBF2|COPG2_HUMAN Coatomer subunit gamma-2 OS=Homo sapiens OX=9606 GN=COPG2 PE=1 SV=1
+GVSALIVDVPTREKSRVTVQMTVGDALALRSRVLLDYGGRFIGALYLSHSNKNEPVKDSRECPQMGLFTIINNVAEELTKTSSLAFTEEKEFTDGVEEWAAAFNPKLVKQIHDSVTVELDELVYEDDYGDEDPVGTNPDCDRVTFKMTCSFSGAVATPDDDPLRVLTYCIGPQNYPLSPAPICSLVEYSDSPEMQVTVKELLQDNLTNTCDFQFVIHNTFMHKICRVFYETEAETLQVPESSKFLPGINLFEPIAALQEQFIDQRSPALKEPKTAVLTIEAKQEFVPAMALPISKMDFPKESPELTYQHLAKEMGPVSVTLGNFIYTANLAMQRQQLVNLYFTARDRVEDDTDMMCRQLLVLISPLLSENQAGFKALASVAAARVAENELVVRNFIFRIYKSPVPTRPGEKGLLHLIKTALVTHECDEIFECLHALGAEKSEPNEEVISIICDVIARKYEFGGDDRLMNSLFTMMVSHKRPYKQCLASIAQVVVVKFEDSIESVFSSIQKMLRDVSSESGTKLLTTIALTAISRNSDTILNELDLNCATVASPHKMAVKNLTRVAAYRLAPKPSSCFLQLVSVAPALERATCNPLHIIASAAEYIVMEHKNRLCSEIFDFLPSEHGDETEKLLRSAIRILMCYAFQSKLGSKTFKNLMKSVALRDNKRLHYLVGLAHYQVMINDSSAAEQAENIWRKVVDYSIKMMHLSSVLASSSVSSVKDVIAQKMYREIAQLMTGDTIRCLARIAPGRYVDEKGTMDKTLSSTVIIVDESITAMEKITLYCMRRLTQDNSQFLRTMAFFAETAETTGFHEGQNLLYLIKTLIHLCRRPNIPTENFIRAEQLVASKELHQFPNSGSGSEEDKKDFKKIM
+>sp|P61923|COPZ1_HUMAN Coatomer subunit zeta-1 OS=Homo sapiens OX=9606 GN=COPZ1 PE=1 SV=1
+MEALILEPSLYTVKAILILDNDGDRLFAKYYDDTYPSVKEQKAFEKNIFNKTHRTDSEIALLEGLTVVYKSSIDLYFYVIGSSYENELMLMAVLNCLFDSLSQMLRKNVEKRALLENMEGLFLAVDEIVDGGVILESDPQQVVHRVALRGEDVPLTEQTVSQVLQSAKEQIKWSLLR
+>DECOY_sp|P61923|COPZ1_HUMAN Coatomer subunit zeta-1 OS=Homo sapiens OX=9606 GN=COPZ1 PE=1 SV=1
+RLLSWKIQEKASQLVQSVTQETLPVDEGRLAVRHVVQQPDSELIVGGDVIEDVALFLGEMNELLARKEVNKRLMQSLSDFLCNLVAMLMLENEYSSGIVYFYLDISSKYVVTLGELLAIESDTRHTKNFINKEFAKQEKVSPYTDDYYKAFLRDGDNDLILIAKVTYLSPELILAEM
+>sp|Q9Y6N1|COX11_HUMAN Cytochrome c oxidase assembly protein COX11, mitochondrial OS=Homo sapiens OX=9606 GN=COX11 PE=1 SV=3
+MGGLWRPGWRCVPFCGWRWIHPGSPTRAAERVEPFLRPEWSGTGGAERGLRWLGTWKRCSLRARHPALQPPRRPKSSNPFTRAQEEERRRQNKTTLTYVAAVAVGMLGASYAAVPLYRLYCQTTGLGGSAVAGHASDKIENMVPVKDRIIKISFNADVHASLQWNFRPQQTEIYVVPGETALAFYRAKNPTDKPVIGISTYNIVPFEAGQYFNKIQCFCFEEQRLNPQEEVDMPVFFYIDPEFAEDPRMIKVDLITLSYTFFEAKEGHKLPVPGYN
+>DECOY_sp|Q9Y6N1|COX11_HUMAN Cytochrome c oxidase assembly protein COX11, mitochondrial OS=Homo sapiens OX=9606 GN=COX11 PE=1 SV=3
+NYGPVPLKHGEKAEFFTYSLTILDVKIMRPDEAFEPDIYFFVPMDVEEQPNLRQEEFCFCQIKNFYQGAEFPVINYTSIGIVPKDTPNKARYFALATEGPVVYIETQQPRFNWQLSAHVDANFSIKIIRDKVPVMNEIKDSAHGAVASGGLGTTQCYLRYLPVAAYSAGLMGVAVAAVYTLTTKNQRRREEEQARTFPNSSKPRRPPQLAPHRARLSCRKWTGLWRLGREAGGTGSWEPRLFPEVREAARTPSGPHIWRWGCFPVCRWGPRWLGGM
+>sp|Q96I36|COX14_HUMAN Cytochrome c oxidase assembly protein COX14 OS=Homo sapiens OX=9606 GN=COX14 PE=1 SV=1
+MPTGKQLADIGYKTFSTSMMLLTVYGGYLCSVRVYHYFQWRRAQRQAAEEQKTSGIM
+>DECOY_sp|Q96I36|COX14_HUMAN Cytochrome c oxidase assembly protein COX14 OS=Homo sapiens OX=9606 GN=COX14 PE=1 SV=1
+MIGSTKQEEAAQRQARRWQFYHYVRVSCLYGGYVTLLMMSTSFTKYGIDALQKGTPM
+>sp|Q8N8Q8|COX18_HUMAN Cytochrome c oxidase assembly protein COX18, mitochondrial OS=Homo sapiens OX=9606 GN=COX18 PE=1 SV=1
+MLCRLGGRWLRPLPALQLWARDLPLAPVPTSGAKRPTLPVWAVAPVSAVHANGWYEALAASSPVRVAEEVLLGVHAATGLPWWGSILLSTVALRGAVTLPLAAYQHYILAKVENLQPEIKTIARHLNQEVAVRANQLGWSKRDARLTYLKNMRRLISELYVRDNCHPFKATVLVWIQLPMWIFMSFALRNLSTGAAHSEGFSVQEQLATGGILWFPDLTAPDSTWILPISVGVINLLIVEICALQKIGMSRFQTYITYFVRAMSVLMIPIAATVPSSIVLYWLCSSFVGLSQNLLLRSPGFRQLCRIPSTKSDSETPYKDIFAAFNTKFISRK
+>DECOY_sp|Q8N8Q8|COX18_HUMAN Cytochrome c oxidase assembly protein COX18, mitochondrial OS=Homo sapiens OX=9606 GN=COX18 PE=1 SV=1
+KRSIFKTNFAAFIDKYPTESDSKTSPIRCLQRFGPSRLLLNQSLGVFSSCLWYLVISSPVTAAIPIMLVSMARVFYTIYTQFRSMGIKQLACIEVILLNIVGVSIPLIWTSDPATLDPFWLIGGTALQEQVSFGESHAAGTSLNRLAFSMFIWMPLQIWVLVTAKFPHCNDRVYLESILRRMNKLYTLRADRKSWGLQNARVAVEQNLHRAITKIEPQLNEVKALIYHQYAALPLTVAGRLAVTSLLISGWWPLGTAAHVGLLVEEAVRVPSSAALAEYWGNAHVASVPAVAWVPLTPRKAGSTPVPALPLDRAWLQLAPLPRLWRGGLRCLM
+>sp|Q49B96|COX19_HUMAN Cytochrome c oxidase assembly protein COX19 OS=Homo sapiens OX=9606 GN=COX19 PE=1 SV=1
+MSTAMNFGTKSFQPRPPDKGSFPLDHLGECKSFKEKFMKCLHNNNFENALCRKESKEYLECRMERKLMLQEPLEKLGFGDLTSGKSEAKK
+>DECOY_sp|Q49B96|COX19_HUMAN Cytochrome c oxidase assembly protein COX19 OS=Homo sapiens OX=9606 GN=COX19 PE=1 SV=1
+KKAESKGSTLDGFGLKELPEQLMLKREMRCELYEKSEKRCLANEFNNNHLCKMFKEKFSKCEGLHDLPFSGKDPPRPQFSKTGFNMATSM
+>sp|P00403|COX2_HUMAN Cytochrome c oxidase subunit 2 OS=Homo sapiens OX=9606 GN=MT-CO2 PE=1 SV=1
+MAHAAQVGLQDATSPIMEELITFHDHALMIIFLICFLVLYALFLTLTTKLTNTNISDAQEMETVWTILPAIILVLIALPSLRILYMTDEVNDPSLTIKSIGHQWYWTYEYTDYGGLIFNSYMLPPLFLEPGDLRLLDVDNRVVLPIEAPIRMMITSQDVLHSWAVPTLGLKTDAIPGRLNQTTFTATRPGVYYGQCSEICGANHSFMPIVLELIPLKIFEMGPVFTL
+>DECOY_sp|P00403|COX2_HUMAN Cytochrome c oxidase subunit 2 OS=Homo sapiens OX=9606 GN=MT-CO2 PE=1 SV=1
+LTFVPGMEFIKLPILELVIPMFSHNAGCIESCQGYYVGPRTATFTTQNLRGPIADTKLGLTPVAWSHLVDQSTIMMRIPAEIPLVVRNDVDLLRLDGPELFLPPLMYSNFILGGYDTYEYTWYWQHGISKITLSPDNVEDTMYLIRLSPLAILVLIIAPLITWVTEMEQADSINTNTLKTTLTLFLAYLVLFCILFIIMLAHDHFTILEEMIPSTADQLGVQAAHAM
+>sp|P00414|COX3_HUMAN Cytochrome c oxidase subunit 3 OS=Homo sapiens OX=9606 GN=MT-CO3 PE=1 SV=2
+MTHQSHAYHMVKPSPWPLTGALSALLMTSGLAMWFHFHSMTLLMLGLLTNTLTMYQWWRDVTRESTYQGHHTPPVQKGLRYGMILFITSEVFFFAGFFWAFYHSSLAPTPQLGGHWPPTGITPLNPLEVPLLNTSVLLASGVSITWAHHSLMENNRNQMIQALLITILLGLYFTLLQASEYFESPFTISDGIYGSTFFVATGFHGLHVIIGSTFLTICFIRQLMFHFTSKHHFGFEAAAWYWHFVDVVWLFLYVSIYWWGS
+>DECOY_sp|P00414|COX3_HUMAN Cytochrome c oxidase subunit 3 OS=Homo sapiens OX=9606 GN=MT-CO3 PE=1 SV=2
+SGWWYISVYLFLWVVDVFHWYWAAAEFGFHHKSTFHFMLQRIFCITLFTSGIIVHLGHFGTAVFFTSGYIGDSITFPSEFYESAQLLTFYLGLLITILLAQIMQNRNNEMLSHHAWTISVGSALLVSTNLLPVELPNLPTIGTPPWHGGLQPTPALSSHYFAWFFGAFFFVESTIFLIMGYRLGKQVPPTHHGQYTSERTVDRWWQYMTLTNTLLGLMLLTMSHFHFWMALGSTMLLASLAGTLPWPSPKVMHYAHSQHTM
+>sp|P34998|CRFR1_HUMAN Corticotropin-releasing factor receptor 1 OS=Homo sapiens OX=9606 GN=CRHR1 PE=1 SV=1
+MGGHPQLRLVKALLLLGLNPVSASLQDQHCESLSLASNISGLQCNASVDLIGTCWPRSPAGQLVVRPCPAFFYGVRYNTTNNGYRECLANGSWAARVNYSECQEILNEEKKSKVHYHVAVIINYLGHCISLVALLVAFVLFLRLRPGCTHWGDQADGALEVGAPWSGAPFQVRRSIRCLRNIIHWNLISAFILRNATWFVVQLTMSPEVHQSNVGWCRLVTAAYNYFHVTNFFWMFGEGCYLHTAIVLTYSTDRLRKWMFICIGWGVPFPIIVAWAIGKLYYDNEKCWFGKRPGVYTDYIYQGPMILVLLINFIFLFNIVRILMTKLRASTTSETIQYRKAVKATLVLLPLLGITYMLFFVNPGEDEVSRVVFIYFNSFLESFQGFFVSVFYCFLNSEVRSAIRKRWHRWQDKHSIRARVARAMSIPTSPTRVSFHSIKQSTAV
+>DECOY_sp|P34998|CRFR1_HUMAN Corticotropin-releasing factor receptor 1 OS=Homo sapiens OX=9606 GN=CRHR1 PE=1 SV=1
+VATSQKISHFSVRTPSTPISMARAVRARISHKDQWRHWRKRIASRVESNLFCYFVSVFFGQFSELFSNFYIFVVRSVEDEGPNVFFLMYTIGLLPLLVLTAKVAKRYQITESTTSARLKTMLIRVINFLFIFNILLVLIMPGQYIYDTYVGPRKGFWCKENDYYLKGIAWAVIIPFPVGWGICIFMWKRLRDTSYTLVIATHLYCGEGFMWFFNTVHFYNYAATVLRCWGVNSQHVEPSMTLQVVFWTANRLIFASILNWHIINRLCRISRRVQFPAGSWPAGVELAGDAQDGWHTCGPRLRLFLVFAVLLAVLSICHGLYNIIVAVHYHVKSKKEENLIEQCESYNVRAAWSGNALCERYGNNTTNYRVGYFFAPCPRVVLQGAPSRPWCTGILDVSANCQLGSINSALSLSECHQDQLSASVPNLGLLLLAKVLRLQPHGGM
+>sp|P24387|CRHBP_HUMAN Corticotropin-releasing factor-binding protein OS=Homo sapiens OX=9606 GN=CRHBP PE=1 SV=2
+MSPNFKLQCHFILIFLTALRGESRYLELREAADYDPFLLFSANLKRELAGEQPYRRALRCLDMLSLQGQFTFTADRPQLHCAAFFISEPEEFITIHYDQVSIDCQGGDFLKVFDGWILKGEKFPSSQDHPLPSAERYIDFCESGLSRRSIRSSQNVAMIFFRVHEPGNGFTLTIKTDPNLFPCNVISQTPNGKFTLVVPHQHRNCSFSIIYPVVIKISDLTLGHVNGLQLKKSSAGCEGIGDFVELLGGTGLDPSKMTPLADLCYPFHGPAQMKVGCDNTVVRMVSSGKHVNRVTFEYRQLEPYELENPNGNSIGEFCLSGL
+>DECOY_sp|P24387|CRHBP_HUMAN Corticotropin-releasing factor-binding protein OS=Homo sapiens OX=9606 GN=CRHBP PE=1 SV=2
+LGSLCFEGISNGNPNELEYPELQRYEFTVRNVHKGSSVMRVVTNDCGVKMQAPGHFPYCLDALPTMKSPDLGTGGLLEVFDGIGECGASSKKLQLGNVHGLTLDSIKIVVPYIISFSCNRHQHPVVLTFKGNPTQSIVNCPFLNPDTKITLTFGNGPEHVRFFIMAVNQSSRISRRSLGSECFDIYREASPLPHDQSSPFKEGKLIWGDFVKLFDGGQCDISVQDYHITIFEEPESIFFAACHLQPRDATFTFQGQLSLMDLCRLARRYPQEGALERKLNASFLLFPDYDAAERLELYRSEGRLATLFILIFHCQLKFNPSM
+>sp|P52943|CRIP2_HUMAN Cysteine-rich protein 2 OS=Homo sapiens OX=9606 GN=CRIP2 PE=1 SV=1
+MASKCPKCDKTVYFAEKVSSLGKDWHKFCLKCERCSKTLTPGGHAEHDGKPFCHKPCYATLFGPKGVNIGGAGSYIYEKPLAEGPQVTGPIEVPAARAEERKASGPPKGPSRASSVTTFTGEPNTCPRCSKKVYFAEKVTSLGKDWHRPCLRCERCGKTLTPGGHAEHDGQPYCHKPCYGILFGPKGVNTGAVGSYIYDRDPEGKVQP
+>DECOY_sp|P52943|CRIP2_HUMAN Cysteine-rich protein 2 OS=Homo sapiens OX=9606 GN=CRIP2 PE=1 SV=1
+PQVKGEPDRDYIYSGVAGTNVGKPGFLIGYCPKHCYPQGDHEAHGGPTLTKGCRECRLCPRHWDKGLSTVKEAFYVKKSCRPCTNPEGTFTTVSSARSPGKPPGSAKREEARAAPVEIPGTVQPGEALPKEYIYSGAGGINVGKPGFLTAYCPKHCFPKGDHEAHGGPTLTKSCRECKLCFKHWDKGLSSVKEAFYVTKDCKPCKSAM
+>sp|P46108|CRK_HUMAN Adapter molecule crk OS=Homo sapiens OX=9606 GN=CRK PE=1 SV=2
+MAGNFDSEERSSWYWGRLSRQEAVALLQGQRHGVFLVRDSSTSPGDYVLSVSENSRVSHYIINSSGPRPPVPPSPAQPPPGVSPSRLRIGDQEFDSLPALLEFYKIHYLDTTTLIEPVSRSRQGSGVILRQEEAEYVRALFDFNGNDEEDLPFKKGDILRIRDKPEEQWWNAEDSEGKRGMIPVPYVEKYRPASASVSALIGGNQEGSHPQPLGGPEPGPYAQPSVNTPLPNLQNGPIYARVIQKRVPNAYDKTALALEVGELVKVTKINVSGQWEGECNGKRGHFPFTHVRLLDQQNPDEDFS
+>DECOY_sp|P46108|CRK_HUMAN Adapter molecule crk OS=Homo sapiens OX=9606 GN=CRK PE=1 SV=2
+SFDEDPNQQDLLRVHTFPFHGRKGNCEGEWQGSVNIKTVKVLEGVELALATKDYANPVRKQIVRAYIPGNQLNPLPTNVSPQAYPGPEPGGLPQPHSGEQNGGILASVSASAPRYKEVYPVPIMGRKGESDEANWWQEEPKDRIRLIDGKKFPLDEEDNGNFDFLARVYEAEEQRLIVGSGQRSRSVPEILTTTDLYHIKYFELLAPLSDFEQDGIRLRSPSVGPPPQAPSPPVPPRPGSSNIIYHSVRSNESVSLVYDGPSTSSDRVLFVGHRQGQLLAVAEQRSLRGWYWSSREESDFNGAM
+>sp|Q9HC73|CRLF2_HUMAN Cytokine receptor-like factor 2 OS=Homo sapiens OX=9606 GN=CRLF2 PE=1 SV=1
+MGRLVLLWGAAVFLLGGWMALGQGGAAEGVQIQIIYFNLETVQVTWNASKYSRTNLTFHYRFNGDEAYDQCTNYLLQEGHTSGCLLDAEQRDDILYFSIRNGTHPVFTASRWMVYYLKPSSPKHVRFSWHQDAVTVTCSDLSYGDLLYEVQYRSPFDTEWQSKQENTCNVTIEGLDAEKCYSFWVRVKAMEDVYGPDTYPSDWSEVTCWQRGEIRDACAETPTPPKPKLSKFILISSLAILLMVSLLLLSLWKLWRVKKFLIPSVPDPKSIFPGLFEIHQGNFQEWITDTQNVAHLHKMAGAEQESGPEEPLVVQLAKTEAESPRMLDPQTEEKEASGGSLQLPHQPLQGGDVVTIGGFTFVMNDRSYVAL
+>DECOY_sp|Q9HC73|CRLF2_HUMAN Cytokine receptor-like factor 2 OS=Homo sapiens OX=9606 GN=CRLF2 PE=1 SV=1
+LAVYSRDNMVFTFGGITVVDGGQLPQHPLQLSGGSAEKEETQPDLMRPSEAETKALQVVLPEEPGSEQEAGAMKHLHAVNQTDTIWEQFNGQHIEFLGPFISKPDPVSPILFKKVRWLKWLSLLLLSVMLLIALSSILIFKSLKPKPPTPTEACADRIEGRQWCTVESWDSPYTDPGYVDEMAKVRVWFSYCKEADLGEITVNCTNEQKSQWETDFPSRYQVEYLLDGYSLDSCTVTVADQHWSFRVHKPSSPKLYYVMWRSATFVPHTGNRISFYLIDDRQEADLLCGSTHGEQLLYNTCQDYAEDGNFRYHFTLNTRSYKSANWTVQVTELNFYIIQIQVGEAAGGQGLAMWGGLLFVAAGWLLVLRGM
+>sp|Q8IUI8|CRLF3_HUMAN Cytokine receptor-like factor 3 OS=Homo sapiens OX=9606 GN=CRLF3 PE=1 SV=2
+MRGAMELEPELLLQEARENVEAAQSYRRELGHRLEGLREARRQIKESASQTRDVLKQHFNDLKGTLGKLLDERLVTLLQEVDTIEQETIKPLDDCQKLIEHGVNTAEDLVREGEIAMLGGVGEENEKLWSFTKKASHIQLDSLPEVPLLVDVPCLSAQLDDSILNIVKDHIFKHGTVASRPPVQIEELIEKPGGIIVRWCKVDDDFTAQDYRLQFRKCTSNHFEDVYVGSETEFIVLHIDPNVDYQFRVCARGDGRQEWSPWSVPQIGHSTLVPHEWTAGFEGYSLSSRRNIALRNDSESSGVLYSRAPTYFCGQTLTFRVETVGQPDRRDSIGVCAEKQDGYDSLQRDQAVCISTNGAVFVNGKEMTNQLPAVTSGSTVTFDIEAVTLGTTSNNEGGHFKLRVTISSNNREVVFDWLLDQSCGSLYFGCSFFYPGWKVLVF
+>DECOY_sp|Q8IUI8|CRLF3_HUMAN Cytokine receptor-like factor 3 OS=Homo sapiens OX=9606 GN=CRLF3 PE=1 SV=2
+FVLVKWGPYFFSCGFYLSGCSQDLLWDFVVERNNSSITVRLKFHGGENNSTTGLTVAEIDFTVTSGSTVAPLQNTMEKGNVFVAGNTSICVAQDRQLSDYGDQKEACVGISDRRDPQGVTEVRFTLTQGCFYTPARSYLVGSSESDNRLAINRRSSLSYGEFGATWEHPVLTSHGIQPVSWPSWEQRGDGRACVRFQYDVNPDIHLVIFETESGVYVDEFHNSTCKRFQLRYDQATFDDDVKCWRVIIGGPKEILEEIQVPPRSAVTGHKFIHDKVINLISDDLQASLCPVDVLLPVEPLSDLQIHSAKKTFSWLKENEEGVGGLMAIEGERVLDEATNVGHEILKQCDDLPKITEQEITDVEQLLTVLREDLLKGLTGKLDNFHQKLVDRTQSASEKIQRRAERLGELRHGLERRYSQAAEVNERAEQLLLEPELEMAGRM
+>sp|Q96RY5|CRML_HUMAN Protein cramped-like OS=Homo sapiens OX=9606 GN=CRAMP1 PE=1 SV=3
+MTVKLGDGGSGEDGLKKLGKRAADEESLEGEGAGGADAAEESSGTKRDEKTPRAGADGPPAPPGAPQAPSPPQGSPQDQHHFLRSSVRPQSKRPRKDPPSAVGSGNAGGSGPRGKGAEGGGSSSGNVSGVAPAAPAGGSRSSSRNLGSSGGEKEEGKKVRRQWESWSTEDKNTFFEGLYEHGKDFEAIQNNIALKYKKKGKPASMVKNKEQVRHFYYRTWHKITKYIDFDHVFSRGLKKSSQELYGLICYGELRKKIGGCMDDKNATKLNELIQVGATTVRYKGRNLRIKAPMCRALKKLCDPDGLSDEEDQKPVRLPLKVPIELQPRNNHAWARVQSLAQNPRLRMIVELHRKVSSLIEFLKQKWALHEVRVRKTLEERQLQDSCSAPMQEKVTLHLFPGENCTLTPLPGVARVVHSKAFCTVHWQEGGRCKQSAKDAHVLPPAQILGIQSGQGTARGQVKCPRSGAEGKGVGRPPPAADALQSSGESSPESAPGEGAALSLSSPDAPDRPPPRHQDTGPCLEKTPAEGRDSPTREPGALPCACGQLPDLEDELSLLDPLPRYLKSCQDLIVPEQCRCADTRPGSEQPPLGGAASPEVLAPVSKEAADLAPTGPSPRPGPGLLLDVCTKDLADAPAEELQEKGSPAGPPPSQGQPAARPPKEVPASRLAQQLREEGWNLQTSESLTLAEVYLMMGKPSKLQLEYDWLGPGRQDPRPGSLPTALHKQRLLSCLLKLISTEVNPKLALEANTISTASVRPAQEEQSMTPPGKVVTVSSRSPRCPRNQASLRSSKTFPPSSAPCSSGLRNPPRPLLVPGPSSTGSNDSDGGLFAVPTTLPPNSRHGKLFSPSKEAELTFRQHLNSISMQSDFFLPKPRKLRNRHLRKPLVVQRTLLPRPSENQSHNVCSFSILSNSSVTGRGSFRPIQSSLTKAALSRPIVPKVLPPQATSHLASAIDLAATSAGILSGNPLPALDTEGLSGISPLSSDEVTGAISGQDSTGTHQDGDTLPTVGGSDPFVSIPSRPEQEPVADSFQGSSVLSLSELPKAPLQNGLSIPLSSSESSSTRLSPPDVSALLDISLPGPPEDALSQGEPATHISDSIIEIAISSGQYGEGVPLSPAKLNGSDSSKSLPSPSSSPQPHWIASPTHDPQWYPSDSTDSSLSSLFASFISPEKSRKMLPTPIGTNSGTSLLGPSLLDGNSRDSFVSRSLADVAEVVDSQLVCMMNENSIDYISRFNDLAQELSIAEPGRREALFDGGGGGPAVSDLSQ
+>DECOY_sp|Q96RY5|CRML_HUMAN Protein cramped-like OS=Homo sapiens OX=9606 GN=CRAMP1 PE=1 SV=3
+QSLDSVAPGGGGGDFLAERRGPEAISLEQALDNFRSIYDISNENMMCVLQSDVVEAVDALSRSVFSDRSNGDLLSPGLLSTGSNTGIPTPLMKRSKEPSIFSAFLSSLSSDTSDSPYWQPDHTPSAIWHPQPSSSPSPLSKSSDSGNLKAPSLPVGEGYQGSSIAIEIISDSIHTAPEGQSLADEPPGPLSIDLLASVDPPSLRTSSSESSSLPISLGNQLPAKPLESLSLVSSGQFSDAVPEQEPRSPISVFPDSGGVTPLTDGDQHTGTSDQGSIAGTVEDSSLPSIGSLGETDLAPLPNGSLIGASTAALDIASALHSTAQPPLVKPVIPRSLAAKTLSSQIPRFSGRGTVSSNSLISFSCVNHSQNESPRPLLTRQVVLPKRLHRNRLKRPKPLFFDSQMSISNLHQRFTLEAEKSPSFLKGHRSNPPLTTPVAFLGGDSDNSGTSSPGPVLLPRPPNRLGSSCPASSPPFTKSSRLSAQNRPCRPSRSSVTVVKGPPTMSQEEQAPRVSATSITNAELALKPNVETSILKLLCSLLRQKHLATPLSGPRPDQRGPGLWDYELQLKSPKGMMLYVEALTLSESTQLNWGEERLQQALRSAPVEKPPRAAPQGQSPPPGAPSGKEQLEEAPADALDKTCVDLLLGPGPRPSPGTPALDAAEKSVPALVEPSAAGGLPPQESGPRTDACRCQEPVILDQCSKLYRPLPDLLSLEDELDPLQGCACPLAGPERTPSDRGEAPTKELCPGTDQHRPPPRDPADPSSLSLAAGEGPASEPSSEGSSQLADAAPPPRGVGKGEAGSRPCKVQGRATGQGSQIGLIQAPPLVHADKASQKCRGGEQWHVTCFAKSHVVRAVGPLPTLTCNEGPFLHLTVKEQMPASCSDQLQREELTKRVRVEHLAWKQKLFEILSSVKRHLEVIMRLRPNQALSQVRAWAHNNRPQLEIPVKLPLRVPKQDEEDSLGDPDCLKKLARCMPAKIRLNRGKYRVTTAGVQILENLKTANKDDMCGGIKKRLEGYCILGYLEQSSKKLGRSFVHDFDIYKTIKHWTRYYFHRVQEKNKVMSAPKGKKKYKLAINNQIAEFDKGHEYLGEFFTNKDETSWSEWQRRVKKGEEKEGGSSGLNRSSSRSGGAPAAPAVGSVNGSSSGGGEAGKGRPGSGGANGSGVASPPDKRPRKSQPRVSSRLFHHQDQPSGQPPSPAQPAGPPAPPGDAGARPTKEDRKTGSSEEAADAGGAGEGELSEEDAARKGLKKLGDEGSGGDGLKVTM
+>sp|Q9UBG3|CRNN_HUMAN Cornulin OS=Homo sapiens OX=9606 GN=CRNN PE=1 SV=1
+MPQLLQNINGIIEAFRRYARTEGNCTALTRGELKRLLEQEFADVIVKPHDPATVDEVLRLLDEDHTGTVEFKEFLVLVFKVAQACFKTLSESAEGACGSQESGSLHSGASQELGEGQRSGTEVGRAGKGQHYEGSSHRQSQQGSRGQNRPGVQTQGQATGSAWVSSYDRQAESQSQERISPQIQLSGQTEQTQKAGEGKRNQTTEMRPERQPQTREQDRAHQTGETVTGSGTQTQAGATQTVEQDSSHQTGRTSKQTQEATNDQNRGTETHGQGRSQTSQAVTGGHAQIQAGTHTQTPTQTVEQDSSHQTGSTSTQTQESTNGQNRGTEIHGQGRSQTSQAVTGGHTQIQAGSHTETVEQDRSQTVSHGGAREQGQTQTQPGSGQRWMQVSNPEAGETVPGGQAQTGASTESGRQEWSSTHPRRCVTEGQGDRQPTVVGEEWVDDHSRETVILRLDQGNLHTSVSSAQGQDAAQSEEKRGITARELYSYLRSTKP
+>DECOY_sp|Q9UBG3|CRNN_HUMAN Cornulin OS=Homo sapiens OX=9606 GN=CRNN PE=1 SV=1
+PKTSRLYSYLERATIGRKEESQAADQGQASSVSTHLNGQDLRLIVTERSHDDVWEEGVVTPQRDGQGETVCRRPHTSSWEQRGSETSAGTQAQGGPVTEGAEPNSVQMWRQGSGPQTQTQGQERAGGHSVTQSRDQEVTETHSGAQIQTHGGTVAQSTQSRGQGHIETGRNQGNTSEQTQTSTSGTQHSSDQEVTQTPTQTHTGAQIQAHGGTVAQSTQSRGQGHTETGRNQDNTAEQTQKSTRGTQHSSDQEVTQTAGAQTQTGSGTVTEGTQHARDQERTQPQREPRMETTQNRKGEGAKQTQETQGSLQIQPSIREQSQSEAQRDYSSVWASGTAQGQTQVGPRNQGRSGQQSQRHSSGEYHQGKGARGVETGSRQGEGLEQSAGSHLSGSEQSGCAGEASESLTKFCAQAVKFVLVLFEKFEVTGTHDEDLLRLVEDVTAPDHPKVIVDAFEQELLRKLEGRTLATCNGETRAYRRFAEIIGNINQLLQPM
+>sp|A0A1B0GUS0|CS085_HUMAN Uncharacterized protein C19orf85 OS=Homo sapiens OX=9606 GN=C19orf85 PE=2 SV=1
+MHPGVPEGPGVSEPGPRELCAFVSGAAAHMLRALQPRRTRPPKRRPNHRRFLHNQICRQFTKIEAATQRLALSILSQEAPPQRPSLQKPPPPPPSPFLGVACAVAPTEAPHASASLSLAALDTSTLDLFDNIALTPECASMPWDPSSGSDAPLPAPGLSHRDLGQLDLRQVPHFCGPLPLPQHALGEEADLVAPDWGWVDCWEVPRAWDSQGIPEGWGTSSP
+>DECOY_sp|A0A1B0GUS0|CS085_HUMAN Uncharacterized protein C19orf85 OS=Homo sapiens OX=9606 GN=C19orf85 PE=2 SV=1
+PSSTGWGEPIGQSDWARPVEWCDVWGWDPAVLDAEEGLAHQPLPLPGCFHPVQRLDLQGLDRHSLGPAPLPADSGSSPDWPMSACEPTLAINDFLDLTSTDLAALSLSASAHPAETPAVACAVGLFPSPPPPPPKQLSPRQPPAEQSLISLALRQTAAEIKTFQRCIQNHLFRRHNPRRKPPRTRRPQLARLMHAAAGSVFACLERPGPESVGPGEPVGPHM
+>sp|Q9Y5P2|CSAG2_HUMAN Chondrosarcoma-associated gene 2/3 protein OS=Homo sapiens OX=9606 GN=CSAG2 PE=2 SV=2
+MWMGLIQLVEGVKRKDQGFLEKEFYHKTNIKMRCEFLACWPAFTVLGEAWRDQVDWSRLLRDAGLVKMSRKPRASSPLSNNHPPTPKRRGSGRHPLNPGPEALSKFPRQPGREKGPIKEVPGTKGSP
+>DECOY_sp|Q9Y5P2|CSAG2_HUMAN Chondrosarcoma-associated gene 2/3 protein OS=Homo sapiens OX=9606 GN=CSAG2 PE=2 SV=2
+PSGKTGPVEKIPGKERGPQRPFKSLAEPGPNLPHRGSGRRKPTPPHNNSLPSSARPKRSMKVLGADRLLRSWDVQDRWAEGLVTFAPWCALFECRMKINTKHYFEKELFGQDKRKVGEVLQILGMWM
+>sp|Q8NEV1|CSK23_HUMAN Casein kinase II subunit alpha 3 OS=Homo sapiens OX=9606 GN=CSNK2A3 PE=1 SV=2
+MSGPVPSRARVYTDVNTHRPREYWDYESHVVEWGNQDDYQLVRKLGRGKYSEVFEAINITNNEKVVVKILKPVKKKKIKREIKILENLRGGPNIITLADIVKDPVSRTPALVFEHVNNTDFKQLYQTLTDYDIRFYMYEILKALDYCHSMGIMHRDVKPHNVMIDHEHRKLRLIDWGLAEFYHPGQEYNVRVASRYFKGPELLVDYQMYDYSLDMWRLGCMLASMIFRKEPFFHGRDNYDQLVRIAKFLGTEDLYGYIDKYNIELDPRFNDILGRHSRKRWERFVHSENQHLVSPEALDFLDKLLRYDHQSRLTAREAMEHPYFYTVVKDQARMGSSSMPGGSTPVSSANVMSGISSVPTPSPLGPLAGSPVIAAANPLGMPVPAATGAQQ
+>DECOY_sp|Q8NEV1|CSK23_HUMAN Casein kinase II subunit alpha 3 OS=Homo sapiens OX=9606 GN=CSNK2A3 PE=1 SV=2
+QQAGTAAPVPMGLPNAAAIVPSGALPGLPSPTPVSSIGSMVNASSVPTSGGPMSSSGMRAQDKVVTYFYPHEMAERATLRSQHDYRLLKDLFDLAEPSVLHQNESHVFREWRKRSHRGLIDNFRPDLEINYKDIYGYLDETGLFKAIRVLQDYNDRGHFFPEKRFIMSALMCGLRWMDLSYDYMQYDVLLEPGKFYRSAVRVNYEQGPHYFEALGWDILRLKRHEHDIMVNHPKVDRHMIGMSHCYDLAKLIEYMYFRIDYDTLTQYLQKFDTNNVHEFVLAPTRSVPDKVIDALTIINPGGRLNELIKIERKIKKKKVPKLIKVVVKENNTINIAEFVESYKGRGLKRVLQYDDQNGWEVVHSEYDWYERPRHTNVDTYVRARSPVPGSM
+>sp|Q8WXC6|CSN9_HUMAN COP9 signalosome complex subunit 9 OS=Homo sapiens OX=9606 GN=COPS9 PE=1 SV=3
+MKPAVDEMFPEGAGPYVDLDEAGGSTGLLMDLAANEKAVHADFFNDFEDLFDDDDIQ
+>DECOY_sp|Q8WXC6|CSN9_HUMAN COP9 signalosome complex subunit 9 OS=Homo sapiens OX=9606 GN=COPS9 PE=1 SV=3
+QIDDDDFLDEFDNFFDAHVAKENAALDMLLGTSGGAEDLDVYPGAGEPFMEDVAPKM
+>sp|Q6UVK1|CSPG4_HUMAN Chondroitin sulfate proteoglycan 4 OS=Homo sapiens OX=9606 GN=CSPG4 PE=1 SV=2
+MQSGPRPPLPAPGLALALTLTMLARLASAASFFGENHLEVPVATALTDIDLQLQFSTSQPEALLLLAAGPADHLLLQLYSGRLQVRLVLGQEELRLQTPAETLLSDSIPHTVVLTVVEGWATLSVDGFLNASSAVPGAPLEVPYGLFVGGTGTLGLPYLRGTSRPLRGCLHAATLNGRSLLRPLTPDVHEGCAEEFSASDDVALGFSGPHSLAAFPAWGTQDEGTLEFTLTTQSRQAPLAFQAGGRRGDFIYVDIFEGHLRAVVEKGQGTVLLHNSVPVADGQPHEVSVHINAHRLEISVDQYPTHTSNRGVLSYLEPRGSLLLGGLDAEASRHLQEHRLGLTPEATNASLLGCMEDLSVNGQRRGLREALLTRNMAAGCRLEEEEYEDDAYGHYEAFSTLAPEAWPAMELPEPCVPEPGLPPVFANFTQLLTISPLVVAEGGTAWLEWRHVQPTLDLMEAELRKSQVLFSVTRGARHGELELDIPGAQARKMFTLLDVVNRKARFIHDGSEDTSDQLVLEVSVTARVPMPSCLRRGQTYLLPIQVNPVNDPPHIIFPHGSLMVILEHTQKPLGPEVFQAYDPDSACEGLTFQVLGTSSGLPVERRDQPGEPATEFSCRELEAGSLVYVHRGGPAQDLTFRVSDGLQASPPATLKVVAIRPAIQIHRSTGLRLAQGSAMPILPANLSVETNAVGQDVSVLFRVTGALQFGELQKQGAGGVEGAEWWATQAFHQRDVEQGRVRYLSTDPQHHAYDTVENLALEVQVGQEILSNLSFPVTIQRATVWMLRLEPLHTQNTQQETLTTAHLEATLEEAGPSPPTFHYEVVQAPRKGNLQLQGTRLSDGQGFTQDDIQAGRVTYGATARASEAVEDTFRFRVTAPPYFSPLYTFPIHIGGDPDAPVLTNVLLVVPEGGEGVLSADHLFVKSLNSASYLYEVMERPRHGRLAWRGTQDKTTMVTSFTNEDLLRGRLVYQHDDSETTEDDIPFVATRQGESSGDMAWEEVRGVFRVAIQPVNDHAPVQTISRIFHVARGGRRLLTTDDVAFSDADSGFADAQLVLTRKDLLFGSIVAVDEPTRPIYRFTQEDLRKRRVLFVHSGADRGWIQLQVSDGQHQATALLEVQASEPYLRVANGSSLVVPQGGQGTIDTAVLHLDTNLDIRSGDEVHYHVTAGPRWGQLVRAGQPATAFSQQDLLDGAVLYSHNGSLSPRDTMAFSVEAGPVHTDATLQVTIALEGPLAPLKLVRHKKIYVFQGEAAEIRRDQLEAAQEAVPPADIVFSVKSPPSAGYLVMVSRGALADEPPSLDPVQSFSQEAVDTGRVLYLHSRPEAWSDAFSLDVASGLGAPLEGVLVELEVLPAAIPLEAQNFSVPEGGSLTLAPPLLRVSGPYFPTLLGLSLQVLEPPQHGALQKEDGPQARTLSAFSWRMVEEQLIRYVHDGSETLTDSFVLMANASEMDRQSHPVAFTVTVLPVNDQPPILTTNTGLQMWEGATAPIPAEALRSTDGDSGSEDLVYTIEQPSNGRVVLRGAPGTEVRSFTQAQLDGGLVLFSHRGTLDGGFRFRLSDGEHTSPGHFFRVTAQKQVLLSLKGSQTLTVCPGSVQPLSSQTLRASSSAGTDPQLLLYRVVRGPQLGRLFHAQQDSTGEALVNFTQAEVYAGNILYEHEMPPEPFWEAHDTLELQLSSPPARDVAATLAVAVSFEAACPQRPSHLWKNKGLWVPEGQRARITVAALDASNLLASVPSPQRSEHDVLFQVTQFPSRGQLLVSEEPLHAGQPHFLQSQLAAGQLVYAHGGGGTQQDGFHFRAHLQGPAGASVAGPQTSEAFAITVRDVNERPPQPQASVPLRLTRGSRAPISRAQLSVVDPDSAPGEIEYEVQRAPHNGFLSLVGGGLGPVTRFTQADVDSGRLAFVANGSSVAGIFQLSMSDGASPPLPMSLAVDILPSAIEVQLRAPLEVPQALGRSSLSQQQLRVVSDREEPEAAYRLIQGPQYGHLLVGGRPTSAFSQFQIDQGEVVFAFTNFSSSHDHFRVLALARGVNASAVVNVTVRALLHVWAGGPWPQGATLRLDPTVLDAGELANRTGSVPRFRLLEGPRHGRVVRVPRARTEPGGSQLVEQFTQQDLEDGRLGLEVGRPEGRAPGPAGDSLTLELWAQGVPPAVASLDFATEPYNAARPYSVALLSVPEAARTEAGKPESSTPTGEPGPMASSPEPAVAKGGFLSFLEANMFSVIIPMCLVLLLLALILPLLFYLRKRNKTGKHDVQVLTAKPRNGLAGDTETFRKVEPGQAIPLTAVPGQGPPPGGQPDPELLQFCRTPNPALKNGQYWV
+>DECOY_sp|Q6UVK1|CSPG4_HUMAN Chondroitin sulfate proteoglycan 4 OS=Homo sapiens OX=9606 GN=CSPG4 PE=1 SV=2
+VWYQGNKLAPNPTRCFQLLEPDPQGGPPPGQGPVATLPIAQGPEVKRFTETDGALGNRPKATLVQVDHKGTKNRKRLYFLLPLILALLLLVLCMPIIVSFMNAELFSLFGGKAVAPEPSSAMPGPEGTPTSSEPKGAETRAAEPVSLLAVSYPRAANYPETAFDLSAVAPPVGQAWLELTLSDGAPGPARGEPRGVELGLRGDELDQQTFQEVLQSGGPETRARPVRVVRGHRPGELLRFRPVSGTRNALEGADLVTPDLRLTAGQPWPGGAWVHLLARVTVNVVASANVGRALALVRFHDHSSSFNTFAFVVEGQDIQFQSFASTPRGGVLLHGYQPGQILRYAAEPEERDSVVRLQQQSLSSRGLAQPVELPARLQVEIASPLIDVALSMPLPPSAGDSMSLQFIGAVSSGNAVFALRGSDVDAQTFRTVPGLGGGVLSLFGNHPARQVEYEIEGPASDPDVVSLQARSIPARSGRTLRLPVSAQPQPPRENVDRVTIAFAESTQPGAVSAGAPGQLHARFHFGDQQTGGGGHAYVLQGAALQSQLFHPQGAHLPEESVLLQGRSPFQTVQFLVDHESRQPSPVSALLNSADLAAVTIRARQGEPVWLGKNKWLHSPRQPCAAEFSVAVALTAAVDRAPPSSLQLELTDHAEWFPEPPMEHEYLINGAYVEAQTFNVLAEGTSDQQAHFLRGLQPGRVVRYLLLQPDTGASSSARLTQSSLPQVSGPCVTLTQSGKLSLLVQKQATVRFFHGPSTHEGDSLRFRFGGDLTGRHSFLVLGGDLQAQTFSRVETGPAGRLVVRGNSPQEITYVLDESGSDGDTSRLAEAPIPATAGEWMQLGTNTTLIPPQDNVPLVTVTFAVPHSQRDMESANAMLVFSDTLTESGDHVYRILQEEVMRWSFASLTRAQPGDEKQLAGHQPPELVQLSLGLLTPFYPGSVRLLPPALTLSGGEPVSFNQAELPIAAPLVELEVLVGELPAGLGSAVDLSFADSWAEPRSHLYLVRGTDVAEQSFSQVPDLSPPEDALAGRSVMVLYGASPPSKVSFVIDAPPVAEQAAELQDRRIEAAEGQFVYIKKHRVLKLPALPGELAITVQLTADTHVPGAEVSFAMTDRPSLSGNHSYLVAGDLLDQQSFATAPQGARVLQGWRPGATVHYHVEDGSRIDLNTDLHLVATDITGQGGQPVVLSSGNAVRLYPESAQVELLATAQHQGDSVQLQIWGRDAGSHVFLVRRKRLDEQTFRYIPRTPEDVAVISGFLLDKRTLVLQADAFGSDADSFAVDDTTLLRRGGRAVHFIRSITQVPAHDNVPQIAVRFVGRVEEWAMDGSSEGQRTAVFPIDDETTESDDHQYVLRGRLLDENTFSTVMTTKDQTGRWALRGHRPREMVEYLYSASNLSKVFLHDASLVGEGGEPVVLLVNTLVPADPDGGIHIPFTYLPSFYPPATVRFRFTDEVAESARATAGYTVRGAQIDDQTFGQGDSLRTGQLQLNGKRPAQVVEYHFTPPSPGAEELTAELHATTLTEQQTNQTHLPELRLMWVTARQITVPFSLNSLIEQGVQVELALNEVTDYAHHQPDTSLYRVRGQEVDRQHFAQTAWWEAGEVGGAGQKQLEGFQLAGTVRFLVSVDQGVANTEVSLNAPLIPMASGQALRLGTSRHIQIAPRIAVVKLTAPPSAQLGDSVRFTLDQAPGGRHVYVLSGAELERCSFETAPEGPQDRREVPLGSSTGLVQFTLGECASDPDYAQFVEPGLPKQTHELIVMLSGHPFIIHPPDNVPNVQIPLLYTQGRRLCSPMPVRATVSVELVLQDSTDESGDHIFRAKRNVVDLLTFMKRAQAGPIDLELEGHRAGRTVSFLVQSKRLEAEMLDLTPQVHRWELWATGGEAVVLPSITLLQTFNAFVPPLGPEPVCPEPLEMAPWAEPALTSFAEYHGYADDEYEEEELRCGAAMNRTLLAERLGRRQGNVSLDEMCGLLSANTAEPTLGLRHEQLHRSAEADLGGLLLSGRPELYSLVGRNSTHTPYQDVSIELRHANIHVSVEHPQGDAVPVSNHLLVTGQGKEVVARLHGEFIDVYIFDGRRGGAQFALPAQRSQTTLTFELTGEDQTGWAPFAALSHPGSFGLAVDDSASFEEACGEHVDPTLPRLLSRGNLTAAHLCGRLPRSTGRLYPLGLTGTGGVFLGYPVELPAGPVASSANLFGDVSLTAWGEVVTLVVTHPISDSLLTEAPTQLRLEEQGLVLRVQLRGSYLQLLLHDAPGAALLLLAEPQSTSFQLQLDIDTLATAVPVELHNEGFFSAASALRALMTLTLALALGPAPLPPRPGSQM
+>sp|Q9BR46|CT078_HUMAN Putative uncharacterized protein C20orf78 OS=Homo sapiens OX=9606 GN=C20orf78 PE=4 SV=1
+MFQVFKPHAGEDYKYPRETETIWSHPYVVEGSHKSPLESLSLHGCLAAMAPSITSSEDSSPSQRDKKDLSLDLLLTRKKRSQGLHWSHWQGLNHMSIRIHTPEQGSPSLGQNWSWGNRKEKGVAQRQVPTTGTHSFFHCTSEGNKEKPHHF
+>DECOY_sp|Q9BR46|CT078_HUMAN Putative uncharacterized protein C20orf78 OS=Homo sapiens OX=9606 GN=C20orf78 PE=4 SV=1
+FHHPKEKNGESTCHFFSHTGTTPVQRQAVGKEKRNGWSWNQGLSPSGQEPTHIRISMHNLGQWHSWHLGQSRKKRTLLLDLSLDKKDRQSPSSDESSTISPAMAALCGHLSLSELPSKHSGEVVYPHSWITETERPYKYDEGAHPKFVQFM
+>sp|A1L168|CT202_HUMAN Uncharacterized protein C20orf202 OS=Homo sapiens OX=9606 GN=C20orf202 PE=2 SV=2
+MYKSKIPRAQNQVSVKVTPKNTEMKIAEEPSPSLGQTLEWLRKELSEMQIQDQSLLLTLRHLHSVLEELRADSAHWEDARSSGGTSPIRARAGSEGRGCQPVCSRGLAQLLRGEDSRRSSLP
+>DECOY_sp|A1L168|CT202_HUMAN Uncharacterized protein C20orf202 OS=Homo sapiens OX=9606 GN=C20orf202 PE=2 SV=2
+PLSSRRSDEGRLLQALGRSCVPQCGRGESGARARIPSTGGSSRADEWHASDARLEELVSHLHRLTLLLSQDQIQMESLEKRLWELTQGLSPSPEEAIKMETNKPTVKVSVQNQARPIKSKYM
+>sp|Q8WUE5|CT55_HUMAN Cancer/testis antigen 55 OS=Homo sapiens OX=9606 GN=CT55 PE=1 SV=1
+MLRLLRLALAFYGRTADPAERQGPQQQGLPQGDTQLTTVQGVVTSFCGDYGMIDESIYFSSDVVTGNVPLKVGQKVNVVVEEDKPHYGLRAIKVDVVPRHLYGAGPSDSGTRVLIGCVTSINEDNIYISNSIYFSIAIVSEDFVPYKGDLLEVEYSTEPGISNIKATSVKPIRCIHTEEVCITSVHGRNGVIDYTIFFTLDSVKLPDGYVPQVDDIVNVVMVESIQFCFIWRAISITPVHKSSSGFQDDGGLGRPKRERRSQSI
+>DECOY_sp|Q8WUE5|CT55_HUMAN Cancer/testis antigen 55 OS=Homo sapiens OX=9606 GN=CT55 PE=1 SV=1
+ISQSRRERKPRGLGGDDQFGSSSKHVPTISIARWIFCFQISEVMVVNVIDDVQPVYGDPLKVSDLTFFITYDIVGNRGHVSTICVEETHICRIPKVSTAKINSIGPETSYEVELLDGKYPVFDESVIAISFYISNSIYINDENISTVCGILVRTGSDSPGAGYLHRPVVDVKIARLGYHPKDEEVVVNVKQGVKLPVNGTVVDSSFYISEDIMGYDGCFSTVVGQVTTLQTDGQPLGQQQPGQREAPDATRGYFALALRLLRLM
+>sp|P0C5K7|CT62_HUMAN Cancer/testis antigen 62 OS=Homo sapiens OX=9606 GN=CT62 PE=2 SV=1
+MMHTTSYRRLSPPHLTDQPSAYSHTHRTFSHFSCGSQPAAQRLHVELWNADLQSEFLCPCLGLTLYLTCNPQLGKRKFCSHSSEDMSKMVSRRNVKDSHEVSGSLQATLQVISFSFPFLLHTCSHPLSHPTSGQRR
+>DECOY_sp|P0C5K7|CT62_HUMAN Cancer/testis antigen 62 OS=Homo sapiens OX=9606 GN=CT62 PE=2 SV=1
+RRQGSTPHSLPHSCTHLLFPFSFSIVQLTAQLSGSVEHSDKVNRRSVMKSMDESSHSCFKRKGLQPNCTLYLTLGLCPCLFESQLDANWLEVHLRQAAPQSGCSFHSFTRHTHSYASPQDTLHPPSLRRYSTTHMM
+>sp|P56545|CTBP2_HUMAN C-terminal-binding protein 2 OS=Homo sapiens OX=9606 GN=CTBP2 PE=1 SV=1
+MALVDKHKVKRQRLDRICEGIRPQIMNGPLHPRPLVALLDGRDCTVEMPILKDLATVAFCDAQSTQEIHEKVLNEAVGAMMYHTITLTREDLEKFKALRVIVRIGSGYDNVDIKAAGELGIAVCNIPSAAVEETADSTICHILNLYRRNTWLYQALREGTRVQSVEQIREVASGAARIRGETLGLIGFGRTGQAVAVRAKAFGFSVIFYDPYLQDGIERSLGVQRVYTLQDLLYQSDCVSLHCNLNEHNHHLINDFTIKQMRQGAFLVNAARGGLVDEKALAQALKEGRIRGAALDVHESEPFSFAQGPLKDAPNLICTPHTAWYSEQASLEMREAAATEIRRAITGRIPESLRNCVNKEFFVTSAPWSVIDQQAIHPELNGATYRYPPGIVGVAPGGLPAAMEGIIPGGIPVTHNLPTVAHPSQAPSPNQPTKHGDNREHPNEQ
+>DECOY_sp|P56545|CTBP2_HUMAN C-terminal-binding protein 2 OS=Homo sapiens OX=9606 GN=CTBP2 PE=1 SV=1
+QENPHERNDGHKTPQNPSPAQSPHAVTPLNHTVPIGGPIIGEMAAPLGGPAVGVIGPPYRYTAGNLEPHIAQQDIVSWPASTVFFEKNVCNRLSEPIRGTIARRIETAAAERMELSAQESYWATHPTCILNPADKLPGQAFSFPESEHVDLAAGRIRGEKLAQALAKEDVLGGRAANVLFAGQRMQKITFDNILHHNHENLNCHLSVCDSQYLLDQLTYVRQVGLSREIGDQLYPDYFIVSFGFAKARVAVAQGTRGFGILGLTEGRIRAAGSAVERIQEVSQVRTGERLAQYLWTNRRYLNLIHCITSDATEEVAASPINCVAIGLEGAAKIDVNDYGSGIRVIVRLAKFKELDERTLTITHYMMAGVAENLVKEHIEQTSQADCFAVTALDKLIPMEVTCDRGDLLAVLPRPHLPGNMIQPRIGECIRDLRQRKVKHKDVLAM
+>sp|Q9Y5B0|CTDP1_HUMAN RNA polymerase II subunit A C-terminal domain phosphatase OS=Homo sapiens OX=9606 GN=CTDP1 PE=1 SV=3
+MEVPAAGRVPAEGAPTAAVAEVRCPGPAPLRLLEWRVAAGAAVRIGSVLAVFEAAASAQSSGASQSRVASGGCVRPARPERRLRSERAGVVRELCAQPGQVVAPGAVLVRLEGCSHPVVMKGLCAECGQDLTQLQSKNGKQQVPLSTATVSMVHSVPELMVSSEQAEQLGREDQQRLHRNRKLVLMVDLDQTLIHTTEQHCQQMSNKGIFHFQLGRGEPMLHTRLRPHCKDFLEKIAKLYELHVFTFGSRLYAHTIAGFLDPEKKLFSHRILSRDECIDPFSKTGNLRNLFPCGDSMVCIIDDREDVWKFAPNLITVKKYVYFQGTGDMNAPPGSRESQTRKKVNHSRGTEVSEPSPPVRDPEGVTQAPGVEPSNGLEKPARELNGSEAATPRDSPRPGKPDERDIWPPAQAPTSSQELAGAPEPQGSCAQGGRVAPGQRPAQGATGTDLDFDLSSDSESSSESEGTKSSSSASDGESEGKRGRQKPKAAPEGAGALAQGSSLEPGRPAAPSLPGEAEPGAHAPDKEPELGGQEEGERDGLCGLGNGCADRKEAETESQNSELSGVTAGESLDQSMEEEEEEDTDEDDHLIYLEEILVRVHTDYYAKYDRYLNKEIEEAPDIRKIVPELKSKVLADVAIIFSGLHPTNFPIEKTREHYHATALGAKILTRLVLSPDAPDRATHLIAARAGTEKVLQAQECGHLHVVNPDWLWSCLERWDKVEEQLFPLRDDHTKAQRENSPAAFPDREGVPPTALFHPMPVLPKAQPGPEVRIYDSNTGKLIRTGARGPPAPSSSLPIRQEPSSFRAVPPPQPQMFGEELPDAQDGEQPGPSRRKRQPSMSETMPLYTLCKEDLESMDKEVDDILGEGSDDSDSEKRRPEEQEEEPQPRKPGTRRERTLGAPASSERSAAGGRGPRGHKRKLNEEDAASESSRESSNEDEGSSSEADEMAKALEAELNDLM
+>DECOY_sp|Q9Y5B0|CTDP1_HUMAN RNA polymerase II subunit A C-terminal domain phosphatase OS=Homo sapiens OX=9606 GN=CTDP1 PE=1 SV=3
+MLDNLEAELAKAMEDAESSSGEDENSSERSSESAADEENLKRKHGRPGRGGAASRESSAPAGLTRERRTGPKRPQPEEEQEEPRRKESDSDDSGEGLIDDVEKDMSELDEKCLTYLPMTESMSPQRKRRSPGPQEGDQADPLEEGFMQPQPPPVARFSSPEQRIPLSSSPAPPGRAGTRILKGTNSDYIRVEPGPQAKPLVPMPHFLATPPVGERDPFAAPSNERQAKTHDDRLPFLQEEVKDWRELCSWLWDPNVVHLHGCEQAQLVKETGARAAILHTARDPADPSLVLRTLIKAGLATAHYHERTKEIPFNTPHLGSFIIAVDALVKSKLEPVIKRIDPAEEIEKNLYRDYKAYYDTHVRVLIEELYILHDDEDTDEEEEEEMSQDLSEGATVGSLESNQSETEAEKRDACGNGLGCLGDREGEEQGGLEPEKDPAHAGPEAEGPLSPAAPRGPELSSGQALAGAGEPAAKPKQRGRKGESEGDSASSSSKTGESESSSESDSSLDFDLDTGTAGQAPRQGPAVRGGQACSGQPEPAGALEQSSTPAQAPPWIDREDPKGPRPSDRPTAAESGNLERAPKELGNSPEVGPAQTVGEPDRVPPSPESVETGRSHNVKKRTQSERSGPPANMDGTGQFYVYKKVTILNPAFKWVDERDDIICVMSDGCPFLNRLNGTKSFPDICEDRSLIRHSFLKKEPDLFGAITHAYLRSGFTFVHLEYLKAIKELFDKCHPRLRTHLMPEGRGLQFHFIGKNSMQQCHQETTHILTQDLDVMLVLKRNRHLRQQDERGLQEAQESSVMLEPVSHVMSVTATSLPVQQKGNKSQLQTLDQGCEACLGKMVVPHSCGELRVLVAGPAVVQGPQACLERVVGARESRLRREPRAPRVCGGSAVRSQSAGSSQASAAAEFVALVSGIRVAAGAAVRWELLRLPAPGPCRVEAVAATPAGEAPVRGAAPVEM
+>sp|Q9UQB3|CTND2_HUMAN Catenin delta-2 OS=Homo sapiens OX=9606 GN=CTNND2 PE=1 SV=3
+MFARKPPGAAPLGAMPVPDQPSSASEKTSSLSPGLNTSNGDGSETETTSAILASVKEQELQFERLTRELEAERQIVASQLERCKLGSETGSMSSMSSAEEQFQWQSQDGQKDIEDELTTGLELVDSCIRSLQESGILDPQDYSTGERPSLLSQSALQLNSKPEGSFQYPASYHSNQTLALGETTPSQLPARGTQARATGQSFSQGTTSRAGHLAGPEPAPPPPPPPREPFAPSLGSAFHLPDAPPAAAAAALYYSSSTLPAPPRGGSPLAAPQGGSPTKLQRGGSAPEGATYAAPRGSSPKQSPSRLAKSYSTSSPINIVVSSAGLSPIRVTSPPTVQSTISSSPIHQLSSTIGTYATLSPTKRLVHASEQYSKHSQELYATATLQRPGSLAAGSRASYSSQHGHLGPELRALQSPEHHIDPIYEDRVYQKPPMRSLSQSQGDPLPPAHTGTYRTSTAPSSPGVDSVPLQRTGSQHGPQNAAAATFQRASYAAGPASNYADPYRQLQYCPSVESPYSKSGPALPPEGTLARSPSIDSIQKDPREFGWRDPELPEVIQMLQHQFPSVQSNAAAYLQHLCFGDNKIKAEIRRQGGIQLLVDLLDHRMTEVHRSACGALRNLVYGKANDDNKIALKNCGGIPALVRLLRKTTDLEIRELVTGVLWNLSSCDALKMPIIQDALAVLTNAVIIPHSGWENSPLQDDRKIQLHSSQVLRNATGCLRNVSSAGEEARRRMRECDGLTDALLYVIQSALGSSEIDSKTVENCVCILRNLSYRLAAETSQGQHMGTDELDGLLCGEANGKDAESSGCWGKKKKKKKSQDQWDGVGPLPDCAEPPKGIQMLWHPSIVKPYLTLLSECSNPDTLEGAAGALQNLAAGSWKWSVYIRAAVRKEKGLPILVELLRIDNDRVVCAVATALRNMALDVRNKELIGKYAMRDLVHRLPGGNNSNNTASKAMSDDTVTAVCCTLHEVITKNMENAKALRDAGGIEKLVGISKSKGDKHSPKVVKAASQVLNSMWQYRDLRSLYKKDGWSQYHFVASSSTIERDRQRPYSSSRTPSISPVRVSPNNRSASAPASPREMISLKERKTDYECTGSNATYHGAKGEHTSRKDAMTAQNTGISTLYRNSYGAPAEDIKHNQVSAQPVPQEPSRKDYETYQPFQNSTRNYDESFFEDQVHHRPPASEYTMHLGLKSTGNYVDFYSAARPYSELNYETSHYPASPDSWV
+>DECOY_sp|Q9UQB3|CTND2_HUMAN Catenin delta-2 OS=Homo sapiens OX=9606 GN=CTNND2 PE=1 SV=3
+VWSDPSAPYHSTEYNLESYPRAASYFDVYNGTSKLGLHMTYESAPPRHHVQDEFFSEDYNRTSNQFPQYTEYDKRSPEQPVPQASVQNHKIDEAPAGYSNRYLTSIGTNQATMADKRSTHEGKAGHYTANSGTCEYDTKREKLSIMERPSAPASASRNNPSVRVPSISPTRSSSYPRQRDREITSSSAVFHYQSWGDKKYLSRLDRYQWMSNLVQSAAKVVKPSHKDGKSKSIGVLKEIGGADRLAKANEMNKTIVEHLTCCVATVTDDSMAKSATNNSNNGGPLRHVLDRMAYKGILEKNRVDLAMNRLATAVACVVRDNDIRLLEVLIPLGKEKRVAARIYVSWKWSGAALNQLAGAAGELTDPNSCESLLTLYPKVISPHWLMQIGKPPEACDPLPGVGDWQDQSKKKKKKKGWCGSSEADKGNAEGCLLGDLEDTGMHQGQSTEAALRYSLNRLICVCNEVTKSDIESSGLASQIVYLLADTLGDCERMRRRAEEGASSVNRLCGTANRLVQSSHLQIKRDDQLPSNEWGSHPIIVANTLVALADQIIPMKLADCSSLNWLVGTVLERIELDTTKRLLRVLAPIGGCNKLAIKNDDNAKGYVLNRLAGCASRHVETMRHDLLDVLLQIGGQRRIEAKIKNDGFCLHQLYAAANSQVSPFQHQLMQIVEPLEPDRWGFERPDKQISDISPSRALTGEPPLAPGSKSYPSEVSPCYQLQRYPDAYNSAPGAAYSARQFTAAAANQPGHQSGTRQLPVSDVGPSSPATSTRYTGTHAPPLPDGQSQSLSRMPPKQYVRDEYIPDIHHEPSQLARLEPGLHGHQSSYSARSGAALSGPRQLTATAYLEQSHKSYQESAHVLRKTPSLTAYTGITSSLQHIPSSSITSQVTPPSTVRIPSLGASSVVINIPSSTSYSKALRSPSQKPSSGRPAAYTAGEPASGGRQLKTPSGGQPAALPSGGRPPAPLTSSSYYLAAAAAAPPADPLHFASGLSPAFPERPPPPPPPAPEPGALHGARSTTGQSFSQGTARAQTGRAPLQSPTTEGLALTQNSHYSAPYQFSGEPKSNLQLASQSLLSPREGTSYDQPDLIGSEQLSRICSDVLELGTTLEDEIDKQGDQSQWQFQEEASSMSSMSGTESGLKCRELQSAVIQREAELERTLREFQLEQEKVSALIASTTETESGDGNSTNLGPSLSSTKESASSPQDPVPMAGLPAAGPPKRAFM
+>sp|Q2VPK5|CTU2_HUMAN Cytoplasmic tRNA 2-thiolation protein 2 OS=Homo sapiens OX=9606 GN=CTU2 PE=1 SV=1
+MCQVGEDYGEPAPEEPPPAPRPSREQKCVKCKEAQPVVVIRAGDAFCRDCFKAFYVHKFRAMLGKNRLIFPGEKVLLAWSGGPSSSSMVWQVLEGLSQDSAKRLRFVAGVIFVDEGAACGQSLEERSKTLAEVKPILQATGFPWHVVALEEVFSLPPSVLWCSAQELVGSEGAYKAAVDSFLQQQHVLGAGGGPGPTQGEEQPPQPPLDPQNLARPPAPAQTEALSQLFCSVRTLTAKEELLQTLRTHLILHMARAHGYSKVMTGDSCTRLAIKLMTNLALGRGAFLAWDTGFSDERHGDVVVVRPMRDHTLKEVAFYNRLFSVPSVFTPAVDTKAPEKASIHRLMEAFILRLQTQFPSTVSTVYRTSEKLVKGPRDGPAAGDSGPRCLLCMCALDVDAADSATAFGAQTSSRLSQMQSPIPLTETRTPPGPCCSPGVGWAQRCGQGACRREDPQACIEEQLCYSCRVNMKDLPSLDPLPPYILAEAQLRTQRAWGLQEIRDCLIEDSDDEAGQS
+>DECOY_sp|Q2VPK5|CTU2_HUMAN Cytoplasmic tRNA 2-thiolation protein 2 OS=Homo sapiens OX=9606 GN=CTU2 PE=1 SV=1
+SQGAEDDSDEILCDRIEQLGWARQTRLQAEALIYPPLPDLSPLDKMNVRCSYCLQEEICAQPDERRCAGQGCRQAWGVGPSCCPGPPTRTETLPIPSQMQSLRSSTQAGFATASDAADVDLACMCLLCRPGSDGAAPGDRPGKVLKESTRYVTSVTSPFQTQLRLIFAEMLRHISAKEPAKTDVAPTFVSPVSFLRNYFAVEKLTHDRMPRVVVVDGHREDSFGTDWALFAGRGLALNTMLKIALRTCSDGTMVKSYGHARAMHLILHTRLTQLLEEKATLTRVSCFLQSLAETQAPAPPRALNQPDLPPQPPQEEGQTPGPGGGAGLVHQQQLFSDVAAKYAGESGVLEQASCWLVSPPLSFVEELAVVHWPFGTAQLIPKVEALTKSREELSQGCAAGEDVFIVGAVFRLRKASDQSLGELVQWVMSSSSPGGSWALLVKEGPFILRNKGLMARFKHVYFAKFCDRCFADGARIVVVPQAEKCKVCKQERSPRPAPPPEEPAPEGYDEGVQCM
+>sp|P58513|CU042_HUMAN Putative uncharacterized protein encoded by LINC00158 OS=Homo sapiens OX=9606 GN=LINC00158 PE=5 SV=1
+MFSLFIENRYLHLLHALSLVTDVSRIQSHFGTLPRIKDEHRSHQESKYHFGGHVQIRFGSDQDWRQGHQSFLLKTGPCKKR
+>DECOY_sp|P58513|CU042_HUMAN Putative uncharacterized protein encoded by LINC00158 OS=Homo sapiens OX=9606 GN=LINC00158 PE=5 SV=1
+RKKCPGTKLLFSQHGQRWDQDSGFRIQVHGGFHYKSEQHSRHEDKIRPLTGFHSQIRSVDTVLSLAHLLHLYRNEIFLSFM
+>sp|Q13616|CUL1_HUMAN Cullin-1 OS=Homo sapiens OX=9606 GN=CUL1 PE=1 SV=2
+MSSTRSQNPHGLKQIGLDQIWDDLRAGIQQVYTRQSMAKSRYMELYTHVYNYCTSVHQSNQARGAGVPPSKSKKGQTPGGAQFVGLELYKRLKEFLKNYLTNLLKDGEDLMDESVLKFYTQQWEDYRFSSKVLNGICAYLNRHWVRRECDEGRKGIYEIYSLALVTWRDCLFRPLNKQVTNAVLKLIEKERNGETINTRLISGVVQSYVELGLNEDDAFAKGPTLTVYKESFESQFLADTERFYTRESTEFLQQNPVTEYMKKAEARLLEEQRRVQVYLHESTQDELARKCEQVLIEKHLEIFHTEFQNLLDADKNEDLGRMYNLVSRIQDGLGELKKLLETHIHNQGLAAIEKCGEAALNDPKMYVQTVLDVHKKYNALVMSAFNNDAGFVAALDKACGRFINNNAVTKMAQSSSKSPELLARYCDSLLKKSSKNPEEAELEDTLNQVMVVFKYIEDKDVFQKFYAKMLAKRLVHQNSASDDAEASMISKLKQACGFEYTSKLQRMFQDIGVSKDLNEQFKKHLTNSEPLDLDFSIQVLSSGSWPFQQSCTFALPSELERSYQRFTAFYASRHSGRKLTWLYQLSKGELVTNCFKNRYTLQASTFQMAILLQYNTEDAYTVQQLTDSTQIKMDILAQVLQILLKSKLLVLEDENANVDEVELKPDTLIKLYLGYKNKKLRVNINVPMKTEQKQEQETTHKNIEEDRKLLIQAAIVRIMKMRKVLKHQQLLGEVLTQLSSRFKPRVPVIKKCIDILIEKEYLERVDGEKDTYSYLA
+>DECOY_sp|Q13616|CUL1_HUMAN Cullin-1 OS=Homo sapiens OX=9606 GN=CUL1 PE=1 SV=2
+ALYSYTDKEGDVRELYEKEILIDICKKIVPVRPKFRSSLQTLVEGLLQQHKLVKRMKMIRVIAAQILLKRDEEINKHTTEQEQKQETKMPVNINVRLKKNKYGLYLKILTDPKLEVEDVNANEDELVLLKSKLLIQLVQALIDMKIQTSDTLQQVTYADETNYQLLIAMQFTSAQLTYRNKFCNTVLEGKSLQYLWTLKRGSHRSAYFATFRQYSRELESPLAFTCSQQFPWSGSSLVQISFDLDLPESNTLHKKFQENLDKSVGIDQFMRQLKSTYEFGCAQKLKSIMSAEADDSASNQHVLRKALMKAYFKQFVDKDEIYKFVVMVQNLTDELEAEEPNKSSKKLLSDCYRALLEPSKSSSQAMKTVANNNIFRGCAKDLAAVFGADNNFASMVLANYKKHVDLVTQVYMKPDNLAAEGCKEIAALGQNHIHTELLKKLEGLGDQIRSVLNYMRGLDENKDADLLNQFETHFIELHKEILVQECKRALEDQTSEHLYVQVRRQEELLRAEAKKMYETVPNQQLFETSERTYFRETDALFQSEFSEKYVTLTPGKAFADDENLGLEVYSQVVGSILRTNITEGNREKEILKLVANTVQKNLPRFLCDRWTVLALSYIEYIGKRGEDCERRVWHRNLYACIGNLVKSSFRYDEWQQTYFKLVSEDMLDEGDKLLNTLYNKLFEKLRKYLELGVFQAGGPTQGKKSKSPPVGAGRAQNSQHVSTCYNYVHTYLEMYRSKAMSQRTYVQQIGARLDDWIQDLGIQKLGHPNQSRTSSM
+>sp|Q93034|CUL5_HUMAN Cullin-5 OS=Homo sapiens OX=9606 GN=CUL5 PE=1 SV=4
+MATSNLLKNKGSLQFEDKWDFMRPIVLKLLRQESVTKQQWFDLFSDVHAVCLWDDKGPAKIHQALKEDILEFIKQAQARVLSHQDDTALLKAYIVEWRKFFTQCDILPKPFCQLEITLMGKQGSNKKSNVEDSIVRKLMLDTWNESIFSNIKNRLQDSAMKLVHAERLGEAFDSQLVIGVRESYVNLCSNPEDKLQIYRDNFEKAYLDSTERFYRTQAPSYLQQNGVQNYMKYADAKLKEEEKRALRYLETRRECNSVEALMECCVNALVTSFKETILAECQGMIKRNETEKLHLMFSLMDKVPNGIEPMLKDLEEHIISAGLADMVAAAETITTDSEKYVEQLLTLFNRFSKLVKEAFQDDPRFLTARDKAYKAVVNDATIFKLELPLKQKGVGLKTQPESKCPELLANYCDMLLRKTPLSKKLTSEEIEAKLKEVLLVLKYVQNKDVFMRYHKAHLTRRLILDISADSEIEENMVEWLREVGMPADYVNKLARMFQDIKVSEDLNQAFKEMHKNNKLALPADSVNIKILNAGAWSRSSEKVFVSLPTELEDLIPEVEEFYKKNHSGRKLHWHHLMSNGIITFKNEVGQYDLEVTTFQLAVLFAWNQRPREKISFENLKLATELPDAELRRTLWSLVAFPKLKRQVLLYEPQVNSPKDFTEGTLFSVNQEFSLIKNAKVQKRGKINLIGRLQLTTERMREEENEGIVQLRILRTQEAIIQIMKMRKKISNAQLQTELVEILKNMFLPQKKMIKEQIEWLIEHKYIRRDESDINTFIYMA
+>DECOY_sp|Q93034|CUL5_HUMAN Cullin-5 OS=Homo sapiens OX=9606 GN=CUL5 PE=1 SV=4
+AMYIFTNIDSEDRRIYKHEILWEIQEKIMKKQPLFMNKLIEVLETQLQANSIKKRMKMIQIIAEQTRLIRLQVIGENEEERMRETTLQLRGILNIKGRKQVKANKILSFEQNVSFLTGETFDKPSNVQPEYLLVQRKLKPFAVLSWLTRRLEADPLETALKLNEFSIKERPRQNWAFLVALQFTTVELDYQGVENKFTIIGNSMLHHWHLKRGSHNKKYFEEVEPILDELETPLSVFVKESSRSWAGANLIKINVSDAPLALKNNKHMEKFAQNLDESVKIDQFMRALKNVYDAPMGVERLWEVMNEEIESDASIDLILRRTLHAKHYRMFVDKNQVYKLVLLVEKLKAEIEESTLKKSLPTKRLLMDCYNALLEPCKSEPQTKLGVGKQKLPLELKFITADNVVAKYAKDRATLFRPDDQFAEKVLKSFRNFLTLLQEVYKESDTTITEAAAVMDALGASIIHEELDKLMPEIGNPVKDMLSFMLHLKETENRKIMGQCEALITEKFSTVLANVCCEMLAEVSNCERRTELYRLARKEEEKLKADAYKMYNQVGNQQLYSPAQTRYFRETSDLYAKEFNDRYIQLKDEPNSCLNVYSERVGIVLQSDFAEGLREAHVLKMASDQLRNKINSFISENWTDLMLKRVISDEVNSKKNSGQKGMLTIELQCFPKPLIDCQTFFKRWEVIYAKLLATDDQHSLVRAQAQKIFELIDEKLAQHIKAPGKDDWLCVAHVDSFLDFWQQKTVSEQRLLKLVIPRMFDWKDEFQLSGKNKLLNSTAM
+>sp|P39880|CUX1_HUMAN Homeobox protein cut-like 1 OS=Homo sapiens OX=9606 GN=CUX1 PE=1 SV=3
+MLCVAGARLKRELDATATVLANRQDESEQSRKRLIEQSREFKKNTPEDLRKQVAPLLKSFQGEIDALSKRSKEAEAAFLNVYKRLIDVPDPVPALDLGQQLQLKVQRLHDIETENQKLRETLEEYNKEFAEVKNQEVTIKALKEKIREYEQTLKNQAETIALEKEQKLQNDFAEKERKLQETQMSTTSKLEEAEHKVQSLQTALEKTRTELFDLKTKYDEETTAKADEIEMIMTDLERANQRAEVAQREAETLREQLSSANHSLQLASQIQKAPDVEQAIEVLTRSSLEVELAAKEREIAQLVEDVQRLQASLTKLRENSASQISQLEQQLSAKNSTLKQLEEKLKGQADYEEVKKELNILKSMEFAPSEGAGTQDAAKPLEVLLLEKNRSLQSENAALRISNSDLSGSARRKGKDQPESRRPGSLPAPPPSQLPRNPGEQASNTNGTHQFSPAGLSQDFFSSSLASPSLPLASTGKFALNSLLQRQLMQSFYSKAMQEAGSTSMIFSTGPYSTNSISSQSPLQQSPDVNGMAPSPSQSESAGSVSEGEEMDTAEIARQVKEQLIKHNIGQRIFGHYVLGLSQGSVSEILARPKPWNKLTVRGKEPFHKMKQFLSDEQNILALRSIQGRQRENPGQSLNRLFQEVPKRRNGSEGNITTRIRASETGSDEAIKSILEQAKRELQVQKTAEPAQPSSASGSGNSDDAIRSILQQARREMEAQQAALDPALKQAPLSQSDITILTPKLLSTSPMPTVSSYPPLAISLKKPSAAPEAGASALPNPPALKKEAQDAPGLDPQGAADCAQGVLRQVKNEVGRSGAWKDHWWSAVQPERRNAASSEEAKAEETGGGKEKGSGGSGGGSQPRAERSQLQGPSSSEYWKEWPSAESPYSQSSELSLTGASRSETPQNSPLPSSPIVPMSKPTKPSVPPLTPEQYEVYMYQEVDTIELTRQVKEKLAKNGICQRIFGEKVLGLSQGSVSDMLSRPKPWSKLTQKGREPFIRMQLWLNGELGQGVLPVQGQQQGPVLHSVTSLQDPLQQGCVSSESTPKTSASCSPAPESPMSSSESVKSLTELVQQPCPPIEASKDSKPPEPSDPPASDSQPTTPLPLSGHSALSIQELVAMSPELDTYGITKRVKEVLTDNNLGQRLFGETILGLTQGSVSDLLARPKPWHKLSLKGREPFVRMQLWLNDPNNVEKLMDMKRMEKKAYMKRRHSSVSDSQPCEPPSVGTEYSQGASPQPQHQLKKPRVVLAPEEKEALKRAYQQKPYPSPKTIEDLATQLNLKTSTVINWFHNYRSRIRRELFIEEIQAGSQGQAGASDSPSARSGRAAPSSEGDSCDGVEATEGPGSADTEEPKSQGEAEREEVPRPAEQTEPPPSGTPGPDDARDDDHEGGPVEGPGPLPSPASATATAAPAAPEDAATSAAAAPGEGPAAPSSAPPPSNSSSSSAPRRPSSLQSLFGLPEAAGARDSRDNPLRKKKAANLNSIIHRLEKAASREEPIEWEF
+>DECOY_sp|P39880|CUX1_HUMAN Homeobox protein cut-like 1 OS=Homo sapiens OX=9606 GN=CUX1 PE=1 SV=3
+FEWEIPEERSAAKELRHIISNLNAAKKKRLPNDRSDRAGAAEPLGFLSQLSSPRRPASSSSSNSPPPASSPAAPGEGPAAAASTAADEPAAPAATATASAPSPLPGPGEVPGGEHDDDRADDPGPTGSPPPETQEAPRPVEEREAEGQSKPEETDASGPGETAEVGDCSDGESSPAARGSRASPSDSAGAQGQSGAQIEEIFLERRIRSRYNHFWNIVTSTKLNLQTALDEITKPSPYPKQQYARKLAEKEEPALVVRPKKLQHQPQPSAGQSYETGVSPPECPQSDSVSSHRRKMYAKKEMRKMDMLKEVNNPDNLWLQMRVFPERGKLSLKHWPKPRALLDSVSGQTLGLITEGFLRQGLNNDTLVEKVRKTIGYTDLEPSMAVLEQISLASHGSLPLPTTPQSDSAPPDSPEPPKSDKSAEIPPCPQQVLETLSKVSESSSMPSEPAPSCSASTKPTSESSVCGQQLPDQLSTVSHLVPGQQQGQVPLVGQGLEGNLWLQMRIFPERGKQTLKSWPKPRSLMDSVSGQSLGLVKEGFIRQCIGNKALKEKVQRTLEITDVEQYMYVEYQEPTLPPVSPKTPKSMPVIPSSPLPSNQPTESRSAGTLSLESSQSYPSEASPWEKWYESSSPGQLQSREARPQSGGGSGGSGKEKGGGTEEAKAEESSAANRREPQVASWWHDKWAGSRGVENKVQRLVGQACDAAGQPDLGPADQAEKKLAPPNPLASAGAEPAASPKKLSIALPPYSSVTPMPSTSLLKPTLITIDSQSLPAQKLAPDLAAQQAEMERRAQQLISRIADDSNGSGSASSPQAPEATKQVQLERKAQELISKIAEDSGTESARIRTTINGESGNRRKPVEQFLRNLSQGPNERQRGQISRLALINQEDSLFQKMKHFPEKGRVTLKNWPKPRALIESVSGQSLGLVYHGFIRQGINHKILQEKVQRAIEATDMEEGESVSGASESQSPSPAMGNVDPSQQLPSQSSISNTSYPGTSFIMSTSGAEQMAKSYFSQMLQRQLLSNLAFKGTSALPLSPSALSSSFFDQSLGAPSFQHTGNTNSAQEGPNRPLQSPPPAPLSGPRRSEPQDKGKRRASGSLDSNSIRLAANESQLSRNKELLLVELPKAADQTGAGESPAFEMSKLINLEKKVEEYDAQGKLKEELQKLTSNKASLQQELQSIQSASNERLKTLSAQLRQVDEVLQAIEREKAALEVELSSRTLVEIAQEVDPAKQIQSALQLSHNASSLQERLTEAERQAVEARQNARELDTMIMEIEDAKATTEEDYKTKLDFLETRTKELATQLSQVKHEAEELKSTTSMQTEQLKREKEAFDNQLKQEKELAITEAQNKLTQEYERIKEKLAKITVEQNKVEAFEKNYEELTERLKQNETEIDHLRQVKLQLQQGLDLAPVPDPVDILRKYVNLFAAEAEKSRKSLADIEGQFSKLLPAVQKRLDEPTNKKFERSQEILRKRSQESEDQRNALVTATADLERKLRAGAVCLM
+>sp|Q6IC83|CV042_HUMAN Uncharacterized protein C22orf42 OS=Homo sapiens OX=9606 GN=C22orf42 PE=2 SV=1
+MGSKLTCCLGPSGGLNCDCCRPDVGPCHECEIPETVAATAPASTTAKPAKLDLKAKKAQLMQYLSLPKTPKMLKMSKGLDARSKRWLKIIWRRHGIWPLENIGPTEDVQASAHGGVEENMTSDIEIPEAKHDHRPTEDVQVSAHGGVEENITSDIEISEAKHDHHLVEDLSESLSVCLEDFMTSDLSESLSVSLEDFMTSGLSESLSVSLEDLMTPEMAKERYEDYLCWVKMARSRLNEPISSQVLGLLRL
+>DECOY_sp|Q6IC83|CV042_HUMAN Uncharacterized protein C22orf42 OS=Homo sapiens OX=9606 GN=C22orf42 PE=2 SV=1
+LRLLGLVQSSIPENLRSRAMKVWCLYDEYREKAMEPTMLDELSVSLSESLGSTMFDELSVSLSESLDSTMFDELCVSLSESLDEVLHHDHKAESIEIDSTINEEVGGHASVQVDETPRHDHKAEPIEIDSTMNEEVGGHASAQVDETPGINELPWIGHRRWIIKLWRKSRADLGKSMKLMKPTKPLSLYQMLQAKKAKLDLKAPKATTSAPATAAVTEPIECEHCPGVDPRCCDCNLGGSPGLCCTLKSGM
+>sp|C9J202|AG1L2_HUMAN Putative glycosyltransferase ALG1L2 OS=Homo sapiens OX=9606 GN=ALG1L2 PE=3 SV=1
+MGATAGWAVTVYDKPASFFKEAPLDLQHRLFMKLGSTHSPFRARSEPEDPDTERSAFTERDSGSGLVTRLHERPALLVSSTSWTEFEQLTLDGQNLPSLVCVITGKGPLREYYSRLIHQKHFQHIQVCIPWLEGRGLPPLLGSVDLDVCLDTSSSGLDLPMKVVDMFRCCLPACAVNFKCLHELVKHEENRLVFEDSEELAAQLQYFADAFLKLS
+>DECOY_sp|C9J202|AG1L2_HUMAN Putative glycosyltransferase ALG1L2 OS=Homo sapiens OX=9606 GN=ALG1L2 PE=3 SV=1
+SLKLFADAFYQLQAALEESDEFVLRNEEHKVLEHLCKFNVACAPLCCRFMDVVKMPLDLGSSSTDLCVDLDVSGLLPPLGRGELWPICVQIHQFHKQHILRSYYERLPGKGTIVCVLSPLNQGDLTLQEFETWSTSSVLLAPREHLRTVLGSGSDRETFASRETDPDEPESRARFPSHTSGLKMFLRHQLDLPAEKFFSAPKDYVTVAWGATAGM
+>sp|Q8TF27|AGA11_HUMAN Arf-GAP with GTPase, ANK repeat and PH domain-containing protein 11 OS=Homo sapiens OX=9606 GN=AGAP11 PE=2 SV=2
+MTIISVTLEIHHHITERDADRSLTILDEQLYSFAFSTVHITKKRNGGGSLNNYSSSIPLTPSTSQEDLYFSVPPTANTPTPICKQSMGWSNLFTSEKGSDPDKGRKALESHADTIGSGRAIPIKQGMLLKRSGKWLKTWKKKYVTLCSNGVLTYYSSLGDYMKNIHKKEIDLRTSTIKVPGKWPSLATSACAPISSSKSNGLSKDMEALHMSANSDIGLGDSICFSPSISSTTSPKLNLPPSPHANKKKHLKKKSTNNLKDDGLSSTAEEEEEKFMIVSVTGQTCHFKATTYEERDAWVQAIQSQILASLQSCESSKSKSQLTSQSEAMALQSIQNMRGNSHCVDCETQNPKWASLNLGVLMCIECSGIHRSLGTRLSRVRSLELDDWPVELRKVMSSIGNDLANSIWEGSSQGQTKPSIESTREEKERWIRSKYEHKLFLAPLPCTELSLGQHLLRATADEDLRTAILLLAHGSREEVNETCGEGDGCTALHLACRKGNVVLAQLLIWYGVDVMARDAHGNTALTYARQASSQECINVLLQYGCPDECV
+>DECOY_sp|Q8TF27|AGA11_HUMAN Arf-GAP with GTPase, ANK repeat and PH domain-containing protein 11 OS=Homo sapiens OX=9606 GN=AGAP11 PE=2 SV=2
+VCEDPCGYQLLVNICEQSSAQRAYTLATNGHADRAMVDVGYWILLQALVVNGKRCALHLATCGDGEGCTENVEERSGHALLLIATRLDEDATARLLHQGLSLETCPLPALFLKHEYKSRIWREKEERTSEISPKTQGQSSGEWISNALDNGISSMVKRLEVPWDDLELSRVRSLRTGLSRHIGSCEICMLVGLNLSAWKPNQTECDVCHSNGRMNQISQLAMAESQSTLQSKSKSSECSQLSALIQSQIAQVWADREEYTTAKFHCTQGTVSVIMFKEEEEEATSSLGDDKLNNTSKKKLHKKKNAHPSPPLNLKPSTTSSISPSFCISDGLGIDSNASMHLAEMDKSLGNSKSSSIPACASTALSPWKGPVKITSTRLDIEKKHINKMYDGLSSYYTLVGNSCLTVYKKKWTKLWKGSRKLLMGQKIPIARGSGITDAHSELAKRGKDPDSGKESTFLNSWGMSQKCIPTPTNATPPVSFYLDEQSTSPTLPISSSYNNLSGGGNRKKTIHVTSFAFSYLQEDLITLSRDADRETIHHHIELTVSIITM
+>sp|Q8IVF2|AHNK2_HUMAN Protein AHNAK2 OS=Homo sapiens OX=9606 GN=AHNAK2 PE=1 SV=2
+MCDCFHMVLPTWPGTPGSVSGRQLQPGEPGAETEDDHSVTEGPADEGIRPRPQGSSPVYEYTTEAADFGLQEDAPGRQGSAGRRRSWWKRDSGDSRTFFRMSRPEAVQEATEVTLKTEVEAGASGYSVTGGGDQGIFVKQVLKDSSAAKLFNLREGDQLLSTTVFFENIKYEDALKILQYSEPYKVQFKIRRQLPAPQDEEWASSDAQHGPQGKEKEDTDVADGCRETPTKTLEGDGDQERLISKPRVGRGRQSQRERLSWPKFQSIKSKRGPGPQRSHSSSEAYEPRDAHDVSPTSTDTEAQLTVERQEQKAGPGSQRRRKFLNLRFRTGSGQGPSSTGQPGRGFQSGVGRAGVLEELGPWGDSLEETGAATGSRREERAEQDREVMPAQSMPLPTELGDPRLCEGTPQEGGLRAARLHGKTLEGQAQETAVAQRKPRAQPTPGMSREGEGEGLQSLEIGIARLSLRDTTEGGTQIGPPEIRVRVHDLKTPKFAFSTEKEPERERRLSTPQRGKRQDASSKAGTGLKGEEVEGAGWMPGREPTTHAEAQGDEGDGEEGLQRTRITEEQDKGREDTEGQIRMPKFKIPSLGWSPSKHTKTGREKATEDTEQGREGEATATADRREQRRTEEGLKDKEDSDSMTNTTKIQLIHDEKRLKKEQILTEKEVATKDSKFKMPKFKMPLFGASAPGKSMEASVDVSAPKVEADVSLLSMQGDLKTTDLSVQTPSADLEVQDGQVDVKLPEGPLPEGASLKGHLPKVQRPSLKMPKVDLKGPKLDLKGPKAEVTAPDVKMSLSSMEVDVQAPRAKLDGARLEGDLSLADKEVTAKDSKFKMPKFKMPSFGVSAPGKSMEDSVDVSAPKVEADVSLSSMQGDLKATDLSIQPPSADLEVQAGQVDVKLPEGPVPEGAGPKVHLPKVEMPSFKMPKVDLKGPQIDVKGPKLDLKGPKAEVTAPDGEVSLPSMEVDVQAQKAKLDGAWLEGDLSLADKDVTAKDSKFKMPKFKMPSFGVSAPGKSIKALVDVSAPKVEADLSLPSMQGDLKTTDLSIQPASTDLKVQADQVDVKLPEGHLPEGAGLKGHLPKVEMPSFKMPKVALKGPQVDVKGPKLDLKSPKAEVTAPDVEVSLPSVEVDVEAPGAKLDSARLEGELSLADKDVTAKDSRFKMPKFKMPSFGASAPGKSIEASVDVSAPKVEADVSLPSMQGDLKTTDLSIQPPSADLEVHAGQVDVKLLEGHVPEGAGFKGHLPKVQMPSLKMPKVDLKGPQVEVRGPKLDLKGHKAEVTAHEVAVSLPSVEVDMQAPGAKLDGAQLDGDLSLADKDVTAKDSKFKMPKFKMPSFGVSAPGKSIEASVDLSAPKVEADMSLPSMQGDLKTTDLSIQPPSTDLELQAGQLDVKLPEGPVPEGAGLKGHLPKLQMPSFKVPKVDLKGPEIDIKGPKLDLKDPKVEVTAPDVEVSLPSVEVDVEAPGAKLDGGRLEEDMSLADKDLTTKDSKFKMPKFKMPSFGVSAPGKSIEASVDVSAPKVEADVSLPSMQGDLKATDLSIQPPSADLEVQAGQVDVKLPEGPVSEGAGLKGHLPKVQMPSFKMPKVDLKGPQIDVKGPKLDLKGPKVEVTAPDVKMSLSSMEVDVQAPRAKLDGAQLEGDLSLADKAVTAKDSKFKMPKFKMPSFGVSAPGKSIEASVDVSEPKVEADVSLPSMQGDLKTTDLSIQSPSADLEVQAGQVNVKLPEGPLPEGAGFKGHLPKVQMPSLKMPKVALKGPQMDVKGPKLDLKGPKAEVMAPDVEVSLPSVEVDVEAPGAKLDSVRLEGDLSLADKDVTAKDSKFKMPKFKMPSFGVSAPGKSIEASVDVSAPKVEAEVSLPSMQGDLKTTDLCIPLPSADLVVQAGQVDMKLPEGQVPEGAGLKGHLPKVDMPSFKMPKVDLKGPQTDVKGAKLDLKGPKAEVTAPDVEVSLPSMEVDVQAQKAKLDGARLEGDLSLADKDMTAKDSKFKMPKFKMPSFGVSAPGRSIEASVDVPAPKVEADVSLPSMQGDLKTTDLSIQPPSADLKVQTGQVDVKLPEGHVPEGAGLKGHLPKVEMPSLKMPKVDLKGPQVDIKGPKLDLKDPKVEMRVPDVEVSLPSMEVDVQAPRAKLDSAHLQGDLTLANKDLTTKDSKFKMPKFKMPSFGVSAPGKSIEASVDVSPPKVEADMSLPSMQGDLKTTDLSIQPLSADVKVQAGQVDVKLLEGPVPEEVGLKGHLPKLQMPSFKVPKVDLKGPEIDIKGPKLDLKDPKVEVTAPDVEVSLPSVEVDVKAPGAKLDGARLEGDMSLADKDVTAKDSKFKMPKFKMLSFGVSALGKSIEASADVSALKVEADVSLPSMQGDLKTTDLSVQPPSADLEVQAGQVDVKLPEGPVPEGAGLKGHLPKLQMPSFKMPKVDLKGPQIDVKGPKLDLKGPKTDVMAPDVEVSQPSVEVDVEAPGAKLDGAWLEGDLSVADKDVTTKDSRFKIPKFKMPSFGVSAPGKSIEASVDVSAPKVEADGSLSSMQGDLKATDLSIQPPSADLEVQAGQVDVKLPEGPVPEGAGLKGHLPKVQMPSFKMPEMDLKGPQLDVKGPKLDLKGPKAEVTAPDVEMSLSSMEVDVQAPRAKLDGARLEGDLSLADKGVTAKDSKFKMPKFKMPSFRVSAPGESIEALVDVSELKVEADMSLPSMQGDLKTTDISIQPPSAQLEVQAGQVDVKLPEGHVPEGAGLKGHLPKLQMPSFKMPEVDLKGPQIDVKGPNVDLKGPKAEVTAPDVKMSLSSMEVDVQAPRAKLDGARLEGDLSLADKGMTAKDSKFKMPKFKMPSFGVSAPGKSIEASVDVSELKVEADGSFPSMQGDLKTTDIRIQPPSAQLEVQAGQVDVKLPEGHVPEGAGLKGHLPKVQMPSFKMPKVDLKGPQIDVKGPKLDLKGPKAEVTAPDVEVSLPSVEVDVEAPRAKLDGARLEGDLSLADKDVTAKDSKFKMPKFKMPSFGVSAPGKSIEVSVDVSAPKVEAEVSLPSMQGDLKTTDISIEPPSAQLEVQAGQVDLKLPEGHVPEGAGLKGHLPKLQMPSFKMPKVDRKGPQIDVKGPKLDLKGPKTDVTAPDVEVSQPGMEVDVEAPGAKLDGARLEGDLSLADKDVTAKDSKFKMPKFKMPSFGVSAPGKSIEVLVDVSAPKVEADLSLPSMQGDLKNTDISIEPPSAQLEVQAGQVDVKLPEGHVLEGAGLKGHLPKLQMPSFKMPKVDRKGPQIDIKGPKLDLKGPKMDVTAPDVEVSQPSMEVDVEAPGAKLDGARLEGDLSLADKDVTAKDSKFKMPKFKMPSYRASAPGKSIQASVDVSAPKAEADVSLPSMQGDLKTTDLSIQLPSVDLEVQAGQVDVKLPEGHVPEGAGLKGHLPKVEMPSFKMPKVDLKSPQVDIKGPKLDLKVPKAEVTVPDVEVSLPSVEVDVQAPRAKLDGARLEGDLSLAEKDVTAKDSKFKMPKFKMPSFGVSAPGRSIEASLDVSAPKVEADVSLSSMQGDLKATDLSIQPPSADLEVQAVQVDVELLEGPVPEGAGLKGHLPKVEMPSLKTPKVDLKGPQIDVKGPKLDLKGPKAEVRVPDVEVSLPSVEVDVQAPKAKLDAGRLEGDLSLADKDVTAKDSKFKMPKFKMPSFRVSAPGKSMEASVDVSAPKVEADVSLPSMQGDLKTTDLSIQPPSADLKVQAGQMDVKLPEGQVPEGAGLKEHLPKVEMPSLKMPKVDLKGPQVDIKGPKLDLKVSKAEVTAPDVEVSLPSVEVDVQAPRAKLDSAQLEGDLSLADKDVTAKDSKFKMPKFKMPSFGVSAPGKSIEASVHVSAPKVEADVSLPSMQGDLKTTDLSIQPHSADLTVQARQVDMKLLEGHVPEEAGLKGHLPKVQMPSFKMPKVDLKGPEIDIKGPKLDLKDPKVEVTAPDVEVSLPSVEVDVEAPGAKLDGARLEGDLSLADKDMTAKDSKFKMPKFKMPSFGVSAPGKSMEASVDVTAPKVEADVSLPSMQGDLKATDLSVQPPSADLEVQAGQVDVKLPEGPVPEGASLKGHLPKVQMPSFKMPKVDLKGPQIDVKGPKLDLKGPKAEVTAPDVKMSLSSMEVDVQAPRAKLDGVQLEGDLSLADKDVTAKDSKFKMPKFKMPSFGVSAPGKSMEASVDVSELKAKADVSLPSMQGDLKTTDLSIQSPSADLEVQAGQVDVKLPEGPLPKGAGLKGHLPKVQMPCLKMPKVALKGPQVDVKGPKLDLKGPKADVMTPVVEVSLPSMEVDVEAPGAKLDSVRLEGDLSLADKDMTAKDSKFKMPKFKMPSFGVSAPGKSIEASLDVSALKVEADVSLPSMQGDLKTTHLSIQPPSADLEVQAGQEDVKLPEGPVHEGAGLKGHLPKLQMPSFKVPKVDLKGPQIDVNVPKLDLKGPKVEVTSPNLDVSLPSMEVDIQAPGAKLDSTRLEGDLSLADKDVTAKDSKFKMPKFKMPSFGMLSPGKSIEVSVDVSAPKMEADMSIPSMQGDLKTTDLRIQAPSADLEVQAGQVDLKLPEGHMPEVAGLKGHLPKVEMPSFKMPKVDLKGPQVDVKGPKLDLKGPKAEVMAPDVEVSLPSVETDVQAPGSMLDGARLEGDLSLAHEDVAGKDSKFQGPKLSTSGFEWSSKKVSMSSSEIEGNVTFHEKTSTFPIVESVVHEGDLHDPSRDGNLGLAVGEVGMDSKFKKLHFKVPKVSFSSTKTPKDSLVPGAKSSIGLSTIPLSSSECSSFELQQVSACSEPSMQMPKVGFAGFPSSRLDLTGPHFESSILSPCEDVTLTKYQVTVPRAALAPELALEIPSGSQADIPLPKTECSTDLQPPEGVPTSQAESHSGPLNSMIPVSLGQVSFPKFYKPKFVFSVPQMAVPEGDLHAAVGAPVMSPLSPGERVQCPLPSTQLPSPGTCVSQGPEELVASLQTSVVAPGEAPSEDADHEGKGSPLKMPKIKLPSFRWSPKKETGPKVDPECSVEDSKLSLVLDKDEVAPQSAIHMDLPPERDGEKGRSTKPGFAMPKLALPKMKASKSGVSLPQRDVDPSLSSATAGGSFQDTEKASSDGGRGGLGATASATGSEGVNLHRPQVHIPSLGFAKPDLRSSKAKVEVSQPEADLPLPKHDLSTEGDSRGCGLGDVPVSQPCGEGIAPTPEDPLQPSCRKPDAEVLTVESPEEEAMTKYSQESWFKMPKFRMPSLRRSFRDRGGAGKLEVAQTQAPAATGGEAAAKVKEFLVSGSNVEAAMSLQLPEADAEVTASESKSSTDILRCDLDSTGLKLHLSTAGMTGDELSTSEVRIHPSKGPLPFQMPGMRLPETQVLPGEIDETPLSKPGHDLASMEDKTEKWSSQPEGPLKLKASSTDMPSQISVVNVDQLWEDSVLTVKFPKLMVPRFSFPAPSSEDDVFIPTVREVQCPEANIDTALCKESPGLWGASILKAGAGVPGEQPVDLNLPLEAPPISKVRVHIQGAQVESQEVTIHSIVTPEFVDLSVPRTFSTQIVRESEIPTSEIQTPSYGFSLLKVKIPEPHTQARVYTTMTQHSRTQEGTEEAPIQATPGVDSISGDLQPDTGEPFEMISSSVNVLGQQTLTFEVPSGHQLADSCSDEEPAEILEFPPDDSQEATTPLADEGRAPKDKPESKKSGLLWFWLPNIGFSSSVDETGVDSKNDVQRSAPIQTQPEARPEAELPKKQEKAGWFRFPKLGFSSSPTKKSKSTEDGAELEEQKLQEETITFFDARESFSPEEKEEGELIGPVGTGLDSRVMVTSAARTELILPEQDRKADDESKGSGLGPNEG
+>DECOY_sp|Q8IVF2|AHNK2_HUMAN Protein AHNAK2 OS=Homo sapiens OX=9606 GN=AHNAK2 PE=1 SV=2
+GENPGLGSGKSEDDAKRDQEPLILETRAASTVMVRSDLGTGVPGILEGEEKEEPSFSERADFFTITEEQLKQEELEAGDETSKSKKTPSSSFGLKPFRFWGAKEQKKPLEAEPRAEPQTQIPASRQVDNKSDVGTEDVSSSFGINPLWFWLLGSKKSEPKDKPARGEDALPTTAEQSDDPPFELIEAPEEDSCSDALQHGSPVEFTLTQQGLVNVSSSIMEFPEGTDPQLDGSISDVGPTAQIPAEETGEQTRSHQTMTTYVRAQTHPEPIKVKLLSFGYSPTQIESTPIESERVIQTSFTRPVSLDVFEPTVISHITVEQSEVQAGQIHVRVKSIPPAELPLNLDVPQEGPVGAGAKLISAGWLGPSEKCLATDINAEPCQVERVTPIFVDDESSPAPFSFRPVMLKPFKVTLVSDEWLQDVNVVSIQSPMDTSSAKLKLPGEPQSSWKETKDEMSALDHGPKSLPTEDIEGPLVQTEPLRMGPMQFPLPGKSPHIRVESTSLEDGTMGATSLHLKLGTSDLDCRLIDTSSKSESATVEADAEPLQLSMAAEVNSGSVLFEKVKAAAEGGTAAPAQTQAVELKGAGGRDRFSRRLSPMRFKPMKFWSEQSYKTMAEEEPSEVTLVEADPKRCSPQLPDEPTPAIGEGCPQSVPVDGLGCGRSDGETSLDHKPLPLDAEPQSVEVKAKSSRLDPKAFGLSPIHVQPRHLNVGESGTASATAGLGGRGGDSSAKETDQFSGGATASSLSPDVDRQPLSVGSKSAKMKPLALKPMAFGPKTSRGKEGDREPPLDMHIASQPAVEDKDLVLSLKSDEVSCEPDVKPGTEKKPSWRFSPLKIKPMKLPSGKGEHDADESPAEGPAVVSTQLSAVLEEPGQSVCTGPSPLQTSPLPCQVREGPSLPSMVPAGVAAHLDGEPVAMQPVSFVFKPKYFKPFSVQGLSVPIMSNLPGSHSEAQSTPVGEPPQLDTSCETKPLPIDAQSGSPIELALEPALAARPVTVQYKTLTVDECPSLISSEFHPGTLDLRSSPFGAFGVKPMQMSPESCASVQQLEFSSCESSSLPITSLGISSKAGPVLSDKPTKTSSFSVKPVKFHLKKFKSDMGVEGVALGLNGDRSPDHLDGEHVVSEVIPFTSTKEHFTVNGEIESSSMSVKKSSWEFGSTSLKPGQFKSDKGAVDEHALSLDGELRAGDLMSGPAQVDTEVSPLSVEVDPAMVEAKPGKLDLKPGKVDVQPGKLDVKPMKFSPMEVKPLHGKLGAVEPMHGEPLKLDVQGAQVELDASPAQIRLDTTKLDGQMSPISMDAEMKPASVDVSVEISKGPSLMGFSPMKFKPMKFKSDKATVDKDALSLDGELRTSDLKAGPAQIDVEMSPLSVDLNPSTVEVKPGKLDLKPVNVDIQPGKLDVKPVKFSPMQLKPLHGKLGAGEHVPGEPLKVDEQGAQVELDASPPQISLHTTKLDGQMSPLSVDAEVKLASVDLSAEISKGPASVGFSPMKFKPMKFKSDKATMDKDALSLDGELRVSDLKAGPAEVDVEMSPLSVEVVPTMVDAKPGKLDLKPGKVDVQPGKLAVKPMKLCPMQVKPLHGKLGAGKPLPGEPLKVDVQGAQVELDASPSQISLDTTKLDGQMSPLSVDAKAKLESVDVSAEMSKGPASVGFSPMKFKPMKFKSDKATVDKDALSLDGELQVGDLKARPAQVDVEMSSLSMKVDPATVEAKPGKLDLKPGKVDIQPGKLDVKPMKFSPMQVKPLHGKLSAGEPVPGEPLKVDVQGAQVELDASPPQVSLDTAKLDGQMSPLSVDAEVKPATVDVSAEMSKGPASVGFSPMKFKPMKFKSDKATMDKDALSLDGELRAGDLKAGPAEVDVEVSPLSVEVDPATVEVKPDKLDLKPGKIDIEPGKLDVKPMKFSPMQVKPLHGKLGAEEPVHGELLKMDVQRAQVTLDASHPQISLDTTKLDGQMSPLSVDAEVKPASVHVSAEISKGPASVGFSPMKFKPMKFKSDKATVDKDALSLDGELQASDLKARPAQVDVEVSPLSVEVDPATVEAKSVKLDLKPGKIDVQPGKLDVKPMKLSPMEVKPLHEKLGAGEPVQGEPLKVDMQGAQVKLDASPPQISLDTTKLDGQMSPLSVDAEVKPASVDVSAEMSKGPASVRFSPMKFKPMKFKSDKATVDKDALSLDGELRGADLKAKPAQVDVEVSPLSVEVDPVRVEAKPGKLDLKPGKVDIQPGKLDVKPTKLSPMEVKPLHGKLGAGEPVPGELLEVDVQVAQVELDASPPQISLDTAKLDGQMSSLSVDAEVKPASVDLSAEISRGPASVGFSPMKFKPMKFKSDKATVDKEALSLDGELRAGDLKARPAQVDVEVSPLSVEVDPVTVEAKPVKLDLKPGKIDVQPSKLDVKPMKFSPMEVKPLHGKLGAGEPVHGEPLKVDVQGAQVELDVSPLQISLDTTKLDGQMSPLSVDAEAKPASVDVSAQISKGPASARYSPMKFKPMKFKSDKATVDKDALSLDGELRAGDLKAGPAEVDVEMSPQSVEVDPATVDMKPGKLDLKPGKIDIQPGKRDVKPMKFSPMQLKPLHGKLGAGELVHGEPLKVDVQGAQVELQASPPEISIDTNKLDGQMSPLSLDAEVKPASVDVLVEISKGPASVGFSPMKFKPMKFKSDKATVDKDALSLDGELRAGDLKAGPAEVDVEMGPQSVEVDPATVDTKPGKLDLKPGKVDIQPGKRDVKPMKFSPMQLKPLHGKLGAGEPVHGEPLKLDVQGAQVELQASPPEISIDTTKLDGQMSPLSVEAEVKPASVDVSVEISKGPASVGFSPMKFKPMKFKSDKATVDKDALSLDGELRAGDLKARPAEVDVEVSPLSVEVDPATVEAKPGKLDLKPGKVDIQPGKLDVKPMKFSPMQVKPLHGKLGAGEPVHGEPLKVDVQGAQVELQASPPQIRIDTTKLDGQMSPFSGDAEVKLESVDVSAEISKGPASVGFSPMKFKPMKFKSDKATMGKDALSLDGELRAGDLKARPAQVDVEMSSLSMKVDPATVEAKPGKLDVNPGKVDIQPGKLDVEPMKFSPMQLKPLHGKLGAGEPVHGEPLKVDVQGAQVELQASPPQISIDTTKLDGQMSPLSMDAEVKLESVDVLAEISEGPASVRFSPMKFKPMKFKSDKATVGKDALSLDGELRAGDLKARPAQVDVEMSSLSMEVDPATVEAKPGKLDLKPGKVDLQPGKLDMEPMKFSPMQVKPLHGKLGAGEPVPGEPLKVDVQGAQVELDASPPQISLDTAKLDGQMSSLSGDAEVKPASVDVSAEISKGPASVGFSPMKFKPIKFRSDKTTVDKDAVSLDGELWAGDLKAGPAEVDVEVSPQSVEVDPAMVDTKPGKLDLKPGKVDIQPGKLDVKPMKFSPMQLKPLHGKLGAGEPVPGEPLKVDVQGAQVELDASPPQVSLDTTKLDGQMSPLSVDAEVKLASVDASAEISKGLASVGFSLMKFKPMKFKSDKATVDKDALSMDGELRAGDLKAGPAKVDVEVSPLSVEVDPATVEVKPDKLDLKPGKIDIEPGKLDVKPVKFSPMQLKPLHGKLGVEEPVPGELLKVDVQGAQVKVDASLPQISLDTTKLDGQMSPLSMDAEVKPPSVDVSAEISKGPASVGFSPMKFKPMKFKSDKTTLDKNALTLDGQLHASDLKARPAQVDVEMSPLSVEVDPVRMEVKPDKLDLKPGKIDVQPGKLDVKPMKLSPMEVKPLHGKLGAGEPVHGEPLKVDVQGTQVKLDASPPQISLDTTKLDGQMSPLSVDAEVKPAPVDVSAEISRGPASVGFSPMKFKPMKFKSDKATMDKDALSLDGELRAGDLKAKQAQVDVEMSPLSVEVDPATVEAKPGKLDLKAGKVDTQPGKLDVKPMKFSPMDVKPLHGKLGAGEPVQGEPLKMDVQGAQVVLDASPLPICLDTTKLDGQMSPLSVEAEVKPASVDVSAEISKGPASVGFSPMKFKPMKFKSDKATVDKDALSLDGELRVSDLKAGPAEVDVEVSPLSVEVDPAMVEAKPGKLDLKPGKVDMQPGKLAVKPMKLSPMQVKPLHGKFGAGEPLPGEPLKVNVQGAQVELDASPSQISLDTTKLDGQMSPLSVDAEVKPESVDVSAEISKGPASVGFSPMKFKPMKFKSDKATVAKDALSLDGELQAGDLKARPAQVDVEMSSLSMKVDPATVEVKPGKLDLKPGKVDIQPGKLDVKPMKFSPMQVKPLHGKLGAGESVPGEPLKVDVQGAQVELDASPPQISLDTAKLDGQMSPLSVDAEVKPASVDVSAEISKGPASVGFSPMKFKPMKFKSDKTTLDKDALSMDEELRGGDLKAGPAEVDVEVSPLSVEVDPATVEVKPDKLDLKPGKIDIEPGKLDVKPVKFSPMQLKPLHGKLGAGEPVPGEPLKVDLQGAQLELDTSPPQISLDTTKLDGQMSPLSMDAEVKPASLDVSAEISKGPASVGFSPMKFKPMKFKSDKATVDKDALSLDGDLQAGDLKAGPAQMDVEVSPLSVAVEHATVEAKHGKLDLKPGRVEVQPGKLDVKPMKLSPMQVKPLHGKFGAGEPVHGELLKVDVQGAHVELDASPPQISLDTTKLDGQMSPLSVDAEVKPASVDVSAEISKGPASAGFSPMKFKPMKFRSDKATVDKDALSLEGELRASDLKAGPAEVDVEVSPLSVEVDPATVEAKPSKLDLKPGKVDVQPGKLAVKPMKFSPMEVKPLHGKLGAGEPLHGEPLKVDVQDAQVKLDTSAPQISLDTTKLDGQMSPLSLDAEVKPASVDVLAKISKGPASVGFSPMKFKPMKFKSDKATVDKDALSLDGELWAGDLKAKQAQVDVEMSPLSVEGDPATVEAKPGKLDLKPGKVDIQPGKLDVKPMKFSPMEVKPLHVKPGAGEPVPGEPLKVDVQGAQVELDASPPQISLDTAKLDGQMSSLSVDAEVKPASVDVSDEMSKGPASVGFSPMKFKPMKFKSDKATVEKDALSLDGELRAGDLKARPAQVDVEMSSLSMKVDPATVEAKPGKLDLKPGKLDVKPMKLSPRQVKPLHGKLSAGEPLPGEPLKVDVQGDQVELDASPTQVSLDTTKLDGQMSLLSVDAEVKPASVDVSAEMSKGPASAGFLPMKFKPMKFKSDKTAVEKETLIQEKKLRKEDHILQIKTTNTMSDSDEKDKLGEETRRQERRDATATAEGERGQETDETAKERGTKTHKSPSWGLSPIKFKPMRIQGETDERGKDQEETIRTRQLGEEGDGEDGQAEAHTTPERGPMWGAGEVEEGKLGTGAKSSADQRKGRQPTSLRREREPEKETSFAFKPTKLDHVRVRIEPPGIQTGGETTDRLSLRAIGIELSQLGEGEGERSMGPTPQARPKRQAVATEQAQGELTKGHLRAARLGGEQPTGECLRPDGLETPLPMSQAPMVERDQEAREERRSGTAAGTEELSDGWPGLEELVGARGVGSQFGRGPQGTSSPGQGSGTRFRLNLFKRRRQSGPGAKQEQREVTLQAETDTSTPSVDHADRPEYAESSSHSRQPGPGRKSKISQFKPWSLRERQSQRGRGVRPKSILREQDGDGELTKTPTERCGDAVDTDEKEKGQPGHQADSSAWEEDQPAPLQRRIKFQVKYPESYQLIKLADEYKINEFFVTTSLLQDGERLNFLKAASSDKLVQKVFIGQDGGGTVSYGSAGAEVETKLTVETAEQVAEPRSMRFFTRSDGSDRKWWSRRRGASGQRGPADEQLGFDAAETTYEYVPSSGQPRPRIGEDAPGETVSHDDETEAGPEGPQLQRGSVSGPTGPWTPLVMHFCDCM
+>sp|Q09666|AHNK_HUMAN Neuroblast differentiation-associated protein AHNAK OS=Homo sapiens OX=9606 GN=AHNAK PE=1 SV=2
+MEKEETTRELLLPNWQGSGSHGLTIAQRDDGVFVQEVTQNSPAARTGVVKEGDQIVGATIYFDNLQSGEVTQLLNTMGHHTVGLKLHRKGDRSPEPGQTWTREVFSSCSSEVVLSGDDEEYQRIYTTKIKPRLKSEDGVEGDLGETQSRTITVTRRVTAYTVDVTGREGAKDIDISSPEFKIKIPRHELTEISNVDVETQSGKTVIRLPSGSGAASPTGSAVDIRAGAISASGPELQGAGHSKLQVTMPGIKVGGSGVNVNAKGLDLGGRGGVQVPAVDISSSLGGRAVEVQGPSLESGDHGKIKFPTMKVPKFGVSTGREGQTPKAGLRVSAPEVSVGHKGGKPGLTIQAPQLEVSVPSANIEGLEGKLKGPQITGPSLEGDLGLKGAKPQGHIGVDASAPQIGGSITGPSVEVQAPDIDVQGPGSKLNVPKMKVPKFSVSGAKGEETGIDVTLPTGEVTVPGVSGDVSLPEIATGGLEGKMKGTKVKTPEMIIQKPKISMQDVDLSLGSPKLKGDIKVSAPGVQGDVKGPQVALKGSRVDIETPNLEGTLTGPRLGSPSGKTGTCRISMSEVDLNVAAPKVKGGVDVTLPRVEGKVKVPEVDVRGPKVDVSAPDVEAHGPEWNLKMPKMKMPTFSTPGAKGEGPDVHMTLPKGDISISGPKVNVEAPDVNLEGLGGKLKGPDVKLPDMSVKTPKISMPDVDLHVKGTKVKGEYDVTVPKLEGELKGPKVDIDAPDVDVHGPDWHLKMPKMKMPKFSVPGFKAEGPEVDVNLPKADVDISGPKIDVTAPDVSIEEPEGKLKGPKFKMPEMNIKVPKISMPDVDLHLKGPNVKGEYDVTMPKVESEIKVPDVELKSAKMDIDVPDVEVQGPDWHLKMPKMKMPKFSMPGFKAEGPEVDVNLPKADVDISGPKVGVEVPDVNIEGPEGKLKGPKFKMPEMNIKAPKISMPDVDLHMKGPKVKGEYDMTVPKLEGDLKGPKVDVSAPDVEMQGPDWNLKMPKIKMPKFSMPSLKGEGPEFDVNLSKANVDISAPKVDTNAPDLSLEGPEGKLKGPKFKMPEMHFRAPKMSLPDVDLDLKGPKMKGNVDISAPKIEGEMQVPDVDIRGPKVDIKAPDVEGQGLDWSLKIPKMKMPKFSMPSLKGEGPEVDVNLPKADVVVSGPKVDIEAPDVSLEGPEGKLKGPKFKMPEMHFKTPKISMPDVDLHLKGPKVKGDVDVSVPKVEGEMKVPDVEIKGPKMDIDAPDVEVQGPDWHLKMPKMKMPKFSMPGFKGEGREVDVNLPKADIDVSGPKVDVEVPDVSLEGPEGKLKGPKFKMPEMHFKAPKISMPDVDLNLKGPKLKGDVDVSLPEVEGEMKVPDVDIKGPKVDISAPDVDVHGPDWHLKMPKVKMPKFSMPGFKGEGPEVDVKLPKADVDVSGPKMDAEVPDVNIEGPDAKLKGPKFKMPEMSIKPQKISIPDVGLHLKGPKMKGDYDVTVPKVEGEIKAPDVDIKGPKVDINAPDVEVHGPDWHLKMPKVKMPKFSMPGFKGEGPEVDMNLPKADLGVSGPKVDIDVPDVNLEAPEGKLKGPKFKMPSMNIQTHKISMPDVGLNLKAPKLKTDVDVSLPKVEGDLKGPEIDVKAPKMDVNVGDIDIEGPEGKLKGPKFKMPEMHFKAPKISMPDVDLHLKGPKVKGDMDVSVPKVEGEMKVPDVDIKGPKVDIDAPDVEVHDPDWHLKMPKMKMPKFSMPGFKAEGPEVDVNLPKADIDVSGPSVDTDAPDLDIEGPEGKLKGSKFKMPKLNIKAPKVSMPDVDLNLKGPKLKGEIDASVPELEGDLRGPQVDVKGPFVEAEVPDVDLECPDAKLKGPKFKMPEMHFKAPKISMPDVDLHLKGPKVKGDADVSVPKLEGDLTGPSVGVEVPDVELECPDAKLKGPKFKMPDMHFKAPKISMPDVDLHLKGPKVKGDVDVSVPKLEGDLTGPSVGVEVPDVELECPDAKLKGPKFKMPEMHFKTPKISMPDVDLHLKGPKVKGDMDVSVPKVEGEMKVPDVDIKGPKMDIDAPDVDVHGPDWHLKMPKMKMPKFSMPGFKAEGPEVDVNLPKADVVVSGPKVDVEVPDVSLEGPEGKLKGPKLKMPEMHFKAPKISMPDVDLHLKGPKVKGDVDVSLPKLEGDLTGPSVDVEVPDVELECPDAKLKGPKFKMPEMHFKTPKISMPDVNLNLKGPKVKGDMDVSVPKVEGEMKVPDVDIRGPKVDIDAPDVDVHGPDWHLKMPKMKMPKFSMPGFKGEGPEVDVNLPKADVDVSGPKVDVEVPDVSLEGPEGKLKGPKFKMPEMHFKTPKISMPDVDFNLKGPKIKGDVDVSAPKLEGELKGPELDVKGPKLDADMPEVAVEGPNGKWKTPKFKMPDMHFKAPKISMPDLDLHLKSPKAKGEVDVDVPKLEGDLKGPHVDVSGPDIDIEGPEGKLKGPKFKMPDMHFKAPNISMPDVDLNLKGPKIKGDVDVSVPEVEGKLEVPDMNIRGPKVDVNAPDVQAPDWHLKMPKMKMPKFSMPGFKAEGPEVDVNLPKADVDISGPKVDIEGPDVNIEGPEGKLKGPKLKMPEMNIKAPKISMPDFDLHLKGPKVKGDVDVSLPKVEGDLKGPEVDIKGPKVDINAPDVGVQGPDWHLKMPKVKMPKFSMPGFKGEGPDGDVKLPKADIDVSGPKVDIEGPDVNIEGPEGKLKGPKFKMPEMNIKAPKISMPDIDLNLKGPKVKGDVDVSLPKVEGDLKGPEVDIKGPKVDIDAPDVDVHGPDWHLKMPKIKMPKISMPGFKGEGPDVDVNLPKADIDVSGPKVDVECPDVNIEGPEGKWKSPKFKMPEMHFKTPKISMPDIDLNLTGPKIKGDVDVTGPKVEGDLKGPEVDLKGPKVDIDVPDVNVQGPDWHLKMPKMKMPKFSMPGFKAEGPEVDVNLPKADVDVSGPKVDVEGPDVNIEGPEGKLKGPKFKMPEMNIKAPKIPMPDFDLHLKGPKVKGDVDISLPKVEGDLKGPEVDIRGPQVDIDVPDVGVQGPDWHLKMPKVKMPKFSMPGFKGEGPDVDVNLPKADLDVSGPKVDIDVPDVNIEGPEGKLKGPKFKMPEMNIKAPKISMPDIDLNLKGPKVKGDMDVSLPKVEGDMKVPDVDIKGPKVDINAPDVDVQGPDWHLKMPKIKMPKISMPGFKGEGPEVDVNLPKADLDVSGPKVDVDVPDVNIEGPDAKLKGPKFKMPEMNIKAPKISMPDLDLNLKGPKMKGEVDVSLANVEGDLKGPALDIKGPKIDVDAPDIDIHGPDAKLKGPKLKMPDMHVNMPKISMPEIDLNLKGSKLKGDVDVSGPKLEGDIKAPSLDIKGPEVDVSGPKLNIEGKSKKSRFKLPKFNFSGSKVQTPEVDVKGKKPDIDITGPKVDINAPDVEVQGKVKGSKFKMPFLSISSPKVSMPDVELNLKSPKVKGDLDIAGPNLEGDFKGPKVDIKAPEVNLNAPDVDVHGPDWNLKMPKMKMPKFSVSGLKAEGPDVAVDLPKGDINIEGPSMNIEGPDLNVEGPEGGLKGPKFKMPDMNIKAPKISMPDIDLNLKGPKVKGDVDISLPKLEGDLKGPEVDIKGPKVDINAPDVDVHGPDWHLKMPKVKMPKFSMPGFKGEGPEVDVTLPKADIDISGPNVDVDVPDVNIEGPDAKLKGPKFKMPEMNIKAPKISMPDFDLNLKGPKMKGDVVVSLPKVEGDLKGPEVDIKGPKVDIDTPDINIEGSEGKFKGPKFKIPEMHLKAPKISMPDIDLNLKGPKVKGDVDVSLPKMEGDLKGPEVDIKGPKVDINAPDVDVQGPDWHLKMPKVKMPKFSMPGFKGEGPDVDVNLPKADLDVSGPKVDIDVPDVNIEGPEGKLKGPKFKMPEMNIKAPKISMPDIDLNLKGPKVKGDMDVSLPKVEGDMQVPDLDIKGPKVDINAPDVDVRGPDWHLKMPKIKMPKISMPGFKGEGPEVDVNLPKADLDVSGPKVDVDVPDVNIEGPDAKLKGPKFKMPEMNIKAPKISMPDFDLHLKGPKVKGDVDVSLPKMEGDLKAPEVDIKGPKVDIDAPDVDVHGPDWHLKMPKVKMPKFSMPGFKGEGPEVDVNLPKADIDVSGPKVDIDTPDIDIHGPEGKLKGPKFKMPDLHLKAPKISMPEVDLNLKGPKMKGDVDVSLPKVEGDLKGPEVDIKGPKVDIDVPDVDVQGPDWHLKMPKVKMPKFSMPGFKGEGPDVDVNLPKADLDVSGPKVDIDVPDVNIEGPDAKLKGPKFKMPEMNIKAPKISMPDFDLHLKGPKVKGDVDVSLPKVEGDLKGPEVDIKGPKVDIDAPDVDVHGPDWHLKMPKVKMPKFSMPGFKGEGPDVDVTLPKADIEISGPKVDIDAPDVSIEGPDAKLKGPKFKMPEMNIKAPKISMPDIDFNLKGPKVKGDVDVSLPKVEGDLKGPEIDIKGPSLDIDTPDVNIEGPEGKLKGPKFKMPEMNIKAPKISMPDFDLHLKGPKVKGDVDVSLPKVESDLKGPEVDIEGPEGKLKGPKFKMPDVHFKSPQISMSDIDLNLKGPKIKGDMDISVPKLEGDLKGPKVDVKGPKVGIDTPDIDIHGPEGKLKGPKFKMPDLHLKAPKISMPEVDLNLKGPKVKGDMDISLPKVEGDLKGPEVDIRDPKVDIDVPDVDVQGPDWHLKMPKVKMPKFSMPGFKGEGPDVDVNLPKADIDVSGPKVDVDVPDVNIEGPDAKLKGPKFKMPEMSIKAPKISMPDIDLNLKGPKVKGDVDVTLPKVEGDLKGPEADIKGPKVDINTPDVDVHGPDWHLKMPKVKMPKFSMPGFKGEGPDVDVSLPKADIDVSGPKVDVDIPDVNIEGPDAKLKGPKFKMPEINIKAPKISIPDVDLDLKGPKVKGDFDVSVPKVEGTLKGPEVDLKGPRLDFEGPDAKLSGPSLKMPSLEISAPKVTAPDVDLHLKAPKIGFSGPKLEGGEVDLKGPKVEAPSLDVHMDSPDINIEGPDVKIPKFKKPKFGFGAKSPKADIKSPSLDVTVPEAELNLETPEISVGGKGKKSKFKMPKIHMSGPKIKAKKQGFDLNVPGGEIDASLKAPDVDVNIAGPDAALKVDVKSPKTKKTMFGKMYFPDVEFDIKSPKFKAEAPLPSPKLEGELQAPDLELSLPAIHVEGLDIKAKAPKVKMPDVDISVPKIEGDLKGPKVQANLGAPDINIEGLDAKVKTPSFGISAPQVSIPDVNVNLKGPKIKGDVPSVGLEGPDVDLQGPEAKIKFPKFSMPKIGIPGVKMEGGGAEVHAQLPSLEGDLRGPDVKLEGPDVSLKGPGVDLPSVNLSMPKVSGPDLDLNLKGPSLKGDLDASVPSMKVHAPGLNLSGVGGKMQVGGDGVKVPGIDATTKLNVGAPDVTLRGPSLQGDLAVSGDIKCPKVSVGAPDLSLEASEGSIKLPKMKLPQFGISTPGSDLHVNAKGPQVSGELKGPGVDVNLKGPRISAPNVDFNLEGPKVKGSLGATGEIKGPTVGGGLPGIGVQGLEGNLQMPGIKSSGCDVNLPGVNVKLPTGQISGPEIKGGLKGSEVGFHGAAPDISVKGPAFNMASPESDFGINLKGPKIKGGADVSGGVSAPDISLGEGHLSVKGSGGEWKGPQVSSALNLDTSKFAGGLHFSGPKVEGGVKGGQIGLQAPGLSVSGPQGHLESGSGKVTFPKMKIPKFTFSGRELVGREMGVDVHFPKAEASIQAGAGDGEWEESEVKLKKSKIKMPKFNFSKPKGKGGVTGSPEASISGSKGDLKSSKASLGSLEGEAEAEASSPKGKFSLFKSKKPRHRSNSFSDEREFSGPSTPTGTLEFEGGEVSLEGGKVKGKHGKLKFGTFGGLGSKSKGHYEVTGSDDETGKLQGSGVSLASKKSRLSSSSSNDSGNKVGIQLPEVELSVSTKKE
+>DECOY_sp|Q09666|AHNK_HUMAN Neuroblast differentiation-associated protein AHNAK OS=Homo sapiens OX=9606 GN=AHNAK PE=1 SV=2
+EKKTSVSLEVEPLQIGVKNGSDNSSSSSLRSKKSALSVGSGQLKGTEDDSGTVEYHGKSKSGLGGFTGFKLKGHKGKVKGGELSVEGGEFELTGTPTSPGSFEREDSFSNSRHRPKKSKFLSFKGKPSSAEAEAEGELSGLSAKSSKLDGKSGSISAEPSGTVGGKGKPKSFNFKPMKIKSKKLKVESEEWEGDGAGAQISAEAKPFHVDVGMERGVLERGSFTFKPIKMKPFTVKGSGSELHGQPGSVSLGPAQLGIQGGKVGGEVKPGSFHLGGAFKSTDLNLASSVQPGKWEGGSGKVSLHGEGLSIDPASVGGSVDAGGKIKPGKLNIGFDSEPSAMNFAPGKVSIDPAAGHFGVESGKLGGKIEPGSIQGTPLKVNVGPLNVDCGSSKIGPMQLNGELGQVGIGPLGGGVTPGKIEGTAGLSGKVKPGELNFDVNPASIRPGKLNVDVGPGKLEGSVQPGKANVHLDSGPTSIGFQPLKMKPLKISGESAELSLDPAGVSVKPCKIDGSVALDGQLSPGRLTVDPAGVNLKTTADIGPVKVGDGGVQMKGGVGSLNLGPAHVKMSPVSADLDGKLSPGKLNLDLDPGSVKPMSLNVSPLDVGPGKLSVDPGELKVDPGRLDGELSPLQAHVEAGGGEMKVGPIGIKPMSFKPFKIKAEPGQLDVDPGELGVSPVDGKIKPGKLNVNVDPISVQPASIGFSPTKVKADLGEINIDPAGLNAQVKPGKLDGEIKPVSIDVDPMKVKPAKAKIDLGEVHIAPLSLELDPAQLEGELKPSPLPAEAKFKPSKIDFEVDPFYMKGFMTKKTKPSKVDVKLAADPGAINVDVDPAKLSADIEGGPVNLDFGQKKAKIKPGSMHIKPMKFKSKKGKGGVSIEPTELNLEAEPVTVDLSPSKIDAKPSKAGFGFKPKKFKPIKVDPGEINIDPSDMHVDLSPAEVKPGKLDVEGGELKPGSFGIKPAKLHLDVDPATVKPASIELSPMKLSPGSLKADPGEFDLRPGKLDVEPGKLTGEVKPVSVDFDGKVKPGKLDLDVDPISIKPAKINIEPMKFKPGKLKADPGEINVDPIDVDVKPGSVDIDAKPLSVDVDPGEGKFGPMSFKPMKVKPMKLHWDPGHVDVDPTNIDVKPGKIDAEPGKLDGEVKPLTVDVDGKVKPGKLNLDIDPMSIKPAKISMEPMKFKPGKLKADPGEINVDPVDVDVKPGSVDIDAKPLNVDVDPGEGKFGPMSFKPMKVKPMKLHWDPGQVDVDPVDIDVKPDRIDVEPGKLDGEVKPLSIDMDGKVKPGKLNLDVEPMSIKPAKLHLDPMKFKPGKLKGEPGHIDIDPTDIGVKPGKVDVKPGKLDGELKPVSIDMDGKIKPGKLNLDIDSMSIQPSKFHVDPMKFKPGKLKGEPGEIDVEPGKLDSEVKPLSVDVDGKVKPGKLHLDFDPMSIKPAKINMEPMKFKPGKLKGEPGEINVDPTDIDLSPGKIDIEPGKLDGEVKPLSVDVDGKVKPGKLNFDIDPMSIKPAKINMEPMKFKPGKLKADPGEISVDPADIDVKPGSIEIDAKPLTVDVDPGEGKFGPMSFKPMKVKPMKLHWDPGHVDVDPADIDVKPGKIDVEPGKLDGEVKPLSVDVDGKVKPGKLHLDFDPMSIKPAKINMEPMKFKPGKLKADPGEINVDPVDIDVKPGSVDLDAKPLNVDVDPGEGKFGPMSFKPMKVKPMKLHWDPGQVDVDPVDIDVKPGKIDVEPGKLDGEVKPLSVDVDGKMKPGKLNLDVEPMSIKPAKLHLDPMKFKPGKLKGEPGHIDIDPTDIDVKPGSVDIDAKPLNVDVEPGEGKFGPMSFKPMKVKPMKLHWDPGHVDVDPADIDVKPGKIDVEPAKLDGEMKPLSVDVDGKVKPGKLHLDFDPMSIKPAKINMEPMKFKPGKLKADPGEINVDPVDVDVKPGSVDLDAKPLNVDVEPGEGKFGPMSIKPMKIKPMKLHWDPGRVDVDPANIDVKPGKIDLDPVQMDGEVKPLSVDMDGKVKPGKLNLDIDPMSIKPAKINMEPMKFKPGKLKGEPGEINVDPVDIDVKPGSVDLDAKPLNVDVDPGEGKFGPMSFKPMKVKPMKLHWDPGQVDVDPANIDVKPGKIDVEPGKLDGEMKPLSVDVDGKVKPGKLNLDIDPMSIKPAKLHMEPIKFKPGKFKGESGEINIDPTDIDVKPGKIDVEPGKLDGEVKPLSVVVDGKMKPGKLNLDFDPMSIKPAKINMEPMKFKPGKLKADPGEINVDPVDVDVNPGSIDIDAKPLTVDVEPGEGKFGPMSFKPMKVKPMKLHWDPGHVDVDPANIDVKPGKIDVEPGKLDGELKPLSIDVDGKVKPGKLNLDIDPMSIKPAKINMDPMKFKPGKLGGEPGEVNLDPGEINMSPGEINIDGKPLDVAVDPGEAKLGSVSFKPMKMKPMKLNWDPGHVDVDPANLNVEPAKIDVKPGKFDGELNPGAIDLDGKVKPSKLNLEVDPMSVKPSSISLFPMKFKSGKVKGQVEVDPANIDVKPGTIDIDPKKGKVDVEPTQVKSGSFNFKPLKFRSKKSKGEINLKPGSVDVEPGKIDLSPAKIDGELKPGSVDVDGKLKSGKLNLDIEPMSIKPMNVHMDPMKLKPGKLKADPGHIDIDPADVDIKPGKIDLAPGKLDGEVNALSVDVEGKMKPGKLNLDLDPMSIKPAKINMEPMKFKPGKLKADPGEINVDPVDVDVKPGSVDLDAKPLNVDVEPGEGKFGPMSIKPMKIKPMKLHWDPGQVDVDPANIDVKPGKIDVDPVKMDGEVKPLSVDMDGKVKPGKLNLDIDPMSIKPAKINMEPMKFKPGKLKGEPGEINVDPVDIDVKPGSVDLDAKPLNVDVDPGEGKFGPMSFKPMKVKPMKLHWDPGQVGVDPVDIDVQPGRIDVEPGKLDGEVKPLSIDVDGKVKPGKLHLDFDPMPIKPAKINMEPMKFKPGKLKGEPGEINVDPGEVDVKPGSVDVDAKPLNVDVEPGEAKFGPMSFKPMKMKPMKLHWDPGQVNVDPVDIDVKPGKLDVEPGKLDGEVKPGTVDVDGKIKPGTLNLDIDPMSIKPTKFHMEPMKFKPSKWKGEPGEINVDPCEVDVKPGSVDIDAKPLNVDVDPGEGKFGPMSIKPMKIKPMKLHWDPGHVDVDPADIDVKPGKIDVEPGKLDGEVKPLSVDVDGKVKPGKLNLDIDPMSIKPAKINMEPMKFKPGKLKGEPGEINVDPGEIDVKPGSVDIDAKPLKVDGDPGEGKFGPMSFKPMKVKPMKLHWDPGQVGVDPANIDVKPGKIDVEPGKLDGEVKPLSVDVDGKVKPGKLHLDFDPMSIKPAKINMEPMKLKPGKLKGEPGEINVDPGEIDVKPGSIDVDAKPLNVDVEPGEAKFGPMSFKPMKMKPMKLHWDPAQVDPANVDVKPGRINMDPVELKGEVEPVSVDVDGKIKPGKLNLDVDPMSINPAKFHMDPMKFKPGKLKGEPGEIDIDPGSVDVHPGKLDGELKPVDVDVEGKAKPSKLHLDLDPMSIKPAKFHMDPMKFKPTKWKGNPGEVAVEPMDADLKPGKVDLEPGKLEGELKPASVDVDGKIKPGKLNFDVDPMSIKPTKFHMEPMKFKPGKLKGEPGELSVDPVEVDVKPGSVDVDAKPLNVDVEPGEGKFGPMSFKPMKMKPMKLHWDPGHVDVDPADIDVKPGRIDVDPVKMEGEVKPVSVDMDGKVKPGKLNLNVDPMSIKPTKFHMEPMKFKPGKLKADPCELEVDPVEVDVSPGTLDGELKPLSVDVDGKVKPGKLHLDVDPMSIKPAKFHMEPMKLKPGKLKGEPGELSVDPVEVDVKPGSVVVDAKPLNVDVEPGEAKFGPMSFKPMKMKPMKLHWDPGHVDVDPADIDMKPGKIDVDPVKMEGEVKPVSVDMDGKVKPGKLHLDVDPMSIKPTKFHMEPMKFKPGKLKADPCELEVDPVEVGVSPGTLDGELKPVSVDVDGKVKPGKLHLDVDPMSIKPAKFHMDPMKFKPGKLKADPCELEVDPVEVGVSPGTLDGELKPVSVDADGKVKPGKLHLDVDPMSIKPAKFHMEPMKFKPGKLKADPCELDVDPVEAEVFPGKVDVQPGRLDGELEPVSADIEGKLKPGKLNLDVDPMSVKPAKINLKPMKFKSGKLKGEPGEIDLDPADTDVSPGSVDIDAKPLNVDVEPGEAKFGPMSFKPMKMKPMKLHWDPDHVEVDPADIDVKPGKIDVDPVKMEGEVKPVSVDMDGKVKPGKLHLDVDPMSIKPAKFHMEPMKFKPGKLKGEPGEIDIDGVNVDMKPAKVDIEPGKLDGEVKPLSVDVDTKLKPAKLNLGVDPMSIKHTQINMSPMKFKPGKLKGEPAELNVDPVDIDVKPGSVGLDAKPLNMDVEPGEGKFGPMSFKPMKVKPMKLHWDPGHVEVDPANIDVKPGKIDVDPAKIEGEVKPVTVDYDGKMKPGKLHLGVDPISIKQPKISMEPMKFKPGKLKADPGEINVDPVEADMKPGSVDVDAKPLKVDVEPGEGKFGPMSFKPMKVKPMKLHWDPGHVDVDPASIDVKPGKIDVDPVKMEGEVEPLSVDVDGKLKPGKLNLDVDPMSIKPAKFHMEPMKFKPGKLKGEPGELSVDPVEVDVKPGSVDIDAKPLNVDVERGEGKFGPMSFKPMKMKPMKLHWDPGQVEVDPADIDMKPGKIEVDPVKMEGEVKPVSVDVDGKVKPGKLHLDVDPMSIKPTKFHMEPMKFKPGKLKGEPGELSVDPAEIDVKPGSVVVDAKPLNVDVEPGEGKLSPMSFKPMKMKPIKLSWDLGQGEVDPAKIDVKPGRIDVDPVQMEGEIKPASIDVNGKMKPGKLDLDVDPLSMKPARFHMEPMKFKPGKLKGEPGELSLDPANTDVKPASIDVNAKSLNVDFEPGEGKLSPMSFKPMKIKPMKLNWDPGQMEVDPASVDVKPGKLDGELKPVTMDYEGKVKPGKMHLDVDPMSIKPAKINMEPMKFKPGKLKGEPGEINVDPVEVGVKPGSIDVDAKPLNVDVEPGEAKFGPMSFKPMKMKPMKLHWDPGQVEVDPVDIDMKASKLEVDPVKIESEVKPMTVDYEGKVNPGKLHLDVDPMSIKPVKINMEPMKFKPGKLKGEPEEISVDPATVDIKPGSIDVDAKPLNVDVEPGEAKFGPVSFKPMKMKPMKLHWDPGHVDVDPADIDVKPGKLEGELKPVTVDYEGKVKTGKVHLDVDPMSIKPTKVSMDPLKVDPGKLKGGLGELNVDPAEVNVKPGSISIDGKPLTMHVDPGEGKAGPTSFTPMKMKPMKLNWEPGHAEVDPASVDVKPGRVDVEPVKVKGEVRPLTVDVGGKVKPAAVNLDVESMSIRCTGTKGSPSGLRPGTLTGELNPTEIDVRSGKLAVQPGKVDGQVGPASVKIDGKLKPSGLSLDVDQMSIKPKQIIMEPTKVKTGKMKGELGGTAIEPLSVDGSVGPVTVEGTPLTVDIGTEEGKAGSVSFKPVKMKPVNLKSGPGQVDIDPAQVEVSPGTISGGIQPASADVGIHGQPKAGKLGLDGELSPGTIQPGKLKGELGEINASPVSVELQPAQITLGPKGGKHGVSVEPASVRLGAKPTQGERGTSVGFKPVKMTPFKIKGHDGSELSPGQVEVARGGLSSSIDVAPVQVGGRGGLDLGKANVNVGSGGVKIGPMTVQLKSHGAGQLEPGSASIAGARIDVASGTPSAAGSGSPLRIVTKGSQTEVDVNSIETLEHRPIKIKFEPSSIDIDKAGERGTVDVTYATVRRTVTITRSQTEGLDGEVGDESKLRPKIKTTYIRQYEEDDGSLVVESSCSSFVERTWTQGPEPSRDGKRHLKLGVTHHGMTNLLQTVEGSQLNDFYITAGVIQDGEKVVGTRAAPSNQTVEQVFVGDDRQAITLGHSGSGQWNPLLLERTTEEKEM
+>sp|A9YTQ3|AHRR_HUMAN Aryl hydrocarbon receptor repressor OS=Homo sapiens OX=9606 GN=AHRR PE=1 SV=3
+MPRTMIPPGECTYAGRKRRRPLQKQRPAVGAEKSNPSKRHRDRLNAELDHLASLLPFPPDIISKLDKLSVLRLSVSYLRVKSFFQVVQEQSSRQPAAGAPSPGDSCPLAGSAVLEGRLLLESLNGFALVVSAEGTIFYASATIVDYLGFHQTDVMHQNIYDYIHVDDRQDFCRQLHWAMDPPQVVFGQPPPLETGDDAILGRLLRAQEWGTGTPTEYSAFLTRCFICRVRCLLDSTSGFLTMQFQGKLKFLFGQKKKAPSGAMLPPRLSLFCIAAPVLLPSAAEMKMRSALLRAKPRADTAATADAKVKATTSLCESELHGKPNYSAGRSSRESGVLVLREQTDAGRWAQVPARAPCLCLRGGPDLVLDPKGGSGDREEEQHRMLSRASGVTGRRETPGPTKPLPWTAGKHSEDGARPRLQPSKNDPPSLRPMPRGSCLPCPCVQGTFRNSPISHPPSPSPSAYSSRTSRPMRDVGEDQVHPPLCHFPQRSLQHQLPQPGAQRFATRGYPMEDMKLQGVPMPPGDLCGPTLLLDVSIKMEKDSGCEGAADGCVPSQVWLGASDRSHPATFPTRMHLKTEPDSRQQVYISHLGHGVRGAQPHGRATAGRSRELTPFHPAHCACLEPTDGLPQSEPPHQLCARGRGEQSCTCRAAEAAPVVKREPLDSPQWATHSQGMVPGMLPKSALATLVPPQASGCTFLP
+>DECOY_sp|A9YTQ3|AHRR_HUMAN Aryl hydrocarbon receptor repressor OS=Homo sapiens OX=9606 GN=AHRR PE=1 SV=3
+PLFTCGSAQPPVLTALASKPLMGPVMGQSHTAWQPSDLPERKVVPAAEAARCTCSQEGRGRACLQHPPESQPLGDTPELCACHAPHFPTLERSRGATARGHPQAGRVGHGLHSIYVQQRSDPETKLHMRTPFTAPHSRDSAGLWVQSPVCGDAAGECGSDKEMKISVDLLLTPGCLDGPPMPVGQLKMDEMPYGRTAFRQAGPQPLQHQLSRQPFHCLPPHVQDEGVDRMPRSTRSSYASPSPSPPHSIPSNRFTGQVCPCPLCSGRPMPRLSPPDNKSPQLRPRAGDESHKGATWPLPKTPGPTERRGTVGSARSLMRHQEEERDGSGGKPDLVLDPGGRLCLCPARAPVQAWRGADTQERLVLVGSERSSRGASYNPKGHLESECLSTTAKVKADATAATDARPKARLLASRMKMEAASPLLVPAAICFLSLRPPLMAGSPAKKKQGFLFKLKGQFQMTLFGSTSDLLCRVRCIFCRTLFASYETPTGTGWEQARLLRGLIADDGTELPPPQGFVVQPPDMAWHLQRCFDQRDDVHIYDYINQHMVDTQHFGLYDVITASAYFITGEASVVLAFGNLSELLLRGELVASGALPCSDGPSPAGAAPQRSSQEQVVQFFSKVRLYSVSLRLVSLKDLKSIIDPPFPLLSALHDLEANLRDRHRKSPNSKEAGVAPRQKQLPRRRKRGAYTCEGPPIMTRPM
+>sp|Q719I0|AHSA2_HUMAN Putative activator of 90 kDa heat shock protein ATPase homolog 2 OS=Homo sapiens OX=9606 GN=AHSA2P PE=5 SV=2
+MAKWGQGNPHWIVEEREDGTNVNNWRWTERDATSLSKGKFQELLVGIVVENDAGRGEINELKQVEGEASCSSRKGKLIFFYEWNIKLGWKGIVKESGVKHKGLIEIPNLSEENEVDDTEVSLSKKKGDGVILKDLMKTAGTAKVREALGDYLKALKTEFTTGMILPTKAMATQELTVKRKLSGNTLQVQASSPVALGVRIPTVALHMMELFDTTVEQLYSIFTVKELTNKKIIMKWRCGNWPEEHYAMVALNFVPTLGQTELQLKEFLSICKEENMKFCWQKQHFEEIKGSLQLTPLNG
+>DECOY_sp|Q719I0|AHSA2_HUMAN Putative activator of 90 kDa heat shock protein ATPase homolog 2 OS=Homo sapiens OX=9606 GN=AHSA2P PE=5 SV=2
+GNLPTLQLSGKIEEFHQKQWCFKMNEEKCISLFEKLQLETQGLTPVFNLAVMAYHEEPWNGCRWKMIIKKNTLEKVTFISYLQEVTTDFLEMMHLAVTPIRVGLAVPSSAQVQLTNGSLKRKVTLEQTAMAKTPLIMGTTFETKLAKLYDGLAERVKATGATKMLDKLIVGDGKKKSLSVETDDVENEESLNPIEILGKHKVGSEKVIGKWGLKINWEYFFILKGKRSSCSAEGEVQKLENIEGRGADNEVVIGVLLEQFKGKSLSTADRETWRWNNVNTGDEREEVIWHPNGQGWKAM
+>sp|P55008|AIF1_HUMAN Allograft inflammatory factor 1 OS=Homo sapiens OX=9606 GN=AIF1 PE=1 SV=1
+MSQTRDLQGGKAFGLLKAQQEERLDEINKQFLDDPKYSSDEDLPSKLEGFKEKYMEFDLNGNGDIDIMSLKRMLEKLGVPKTHLELKKLIGEVSSGSGETFSYPDFLRMMLGKRSAILKMILMYEEKAREKEKPTGPPAKKAISELP
+>DECOY_sp|P55008|AIF1_HUMAN Allograft inflammatory factor 1 OS=Homo sapiens OX=9606 GN=AIF1 PE=1 SV=1
+PLESIAKKAPPGTPKEKERAKEEYMLIMKLIASRKGLMMRLFDPYSFTEGSGSSVEGILKKLELHTKPVGLKELMRKLSMIDIDGNGNLDFEMYKEKFGELKSPLDEDSSYKPDDLFQKNIEDLREEQQAKLLGFAKGGQLDRTQSM
+>sp|O95831|AIFM1_HUMAN Apoptosis-inducing factor 1, mitochondrial OS=Homo sapiens OX=9606 GN=AIFM1 PE=1 SV=1
+MFRCGGLAAGALKQKLVPLVRTVCVRSPRQRNRLPGNLFQRWHVPLELQMTRQMASSGASGGKIDNSVLVLIVGLSTVGAGAYAYKTMKEDEKRYNERISGLGLTPEQKQKKAALSASEGEEVPQDKAPSHVPFLLIGGGTAAFAAARSIRARDPGARVLIVSEDPELPYMRPPLSKELWFSDDPNVTKTLRFKQWNGKERSIYFQPPSFYVSAQDLPHIENGGVAVLTGKKVVQLDVRDNMVKLNDGSQITYEKCLIATGGTPRSLSAIDRAGAEVKSRTTLFRKIGDFRSLEKISREVKSITIIGGGFLGSELACALGRKARALGTEVIQLFPEKGNMGKILPEYLSNWTMEKVRREGVKVMPNAIVQSVGVSSGKLLIKLKDGRKVETDHIVAAVGLEPNVELAKTGGLEIDSDFGGFRVNAELQARSNIWVAGDAACFYDIKLGRRRVEHHDHAVVSGRLAGENMTGAAKPYWHQSMFWSDLGPDVGYEAIGLVDSSLPTVGVFAKATAQDNPKSATEQSGTGIRSESETESEASEITIPPSTPAVPQAPVQGEDYGKGVIFYLRDKVVVGIVLWNIFNRMPIARKIIKDGEQHEDLNEVAKLFNIHED
+>DECOY_sp|O95831|AIFM1_HUMAN Apoptosis-inducing factor 1, mitochondrial OS=Homo sapiens OX=9606 GN=AIFM1 PE=1 SV=1
+DEHINFLKAVENLDEHQEGDKIIKRAIPMRNFINWLVIGVVVKDRLYFIVGKGYDEGQVPAQPVAPTSPPITIESAESETESESRIGTGSQETASKPNDQATAKAFVGVTPLSSDVLGIAEYGVDPGLDSWFMSQHWYPKAAGTMNEGALRGSVVAHDHHEVRRRGLKIDYFCAADGAVWINSRAQLEANVRFGGFDSDIELGGTKALEVNPELGVAAVIHDTEVKRGDKLKILLKGSSVGVSQVIANPMVKVGERRVKEMTWNSLYEPLIKGMNGKEPFLQIVETGLARAKRGLACALESGLFGGGIITISKVERSIKELSRFDGIKRFLTTRSKVEAGARDIASLSRPTGGTAILCKEYTIQSGDNLKVMNDRVDLQVVKKGTLVAVGGNEIHPLDQASVYFSPPQFYISREKGNWQKFRLTKTVNPDDSFWLEKSLPPRMYPLEPDESVILVRAGPDRARISRAAAFAATGGGILLFPVHSPAKDQPVEEGESASLAAKKQKQEPTLGLGSIRENYRKEDEKMTKYAYAGAGVTSLGVILVLVSNDIKGGSAGSSAMQRTMQLELPVHWRQFLNGPLRNRQRPSRVCVTRVLPVLKQKLAGAALGGCRFM
+>sp|Q6P6C2|ALKB5_HUMAN RNA demethylase ALKBH5 OS=Homo sapiens OX=9606 GN=ALKBH5 PE=1 SV=2
+MAAASGYTDLREKLKSMTSRDNYKAGSREAAAAAAAAVAAAAAAAAAAEPYPVSGAKRKYQEDSDPERSDYEEQQLQKEEEARKVKSGIRQMRLFSQDECAKIEARIDEVVSRAEKGLYNEHTVDRAPLRNKYFFGEGYTYGAQLQKRGPGQERLYPPGDVDEIPEWVHQLVIQKLVEHRVIPEGFVNSAVINDYQPGGCIVSHVDPIHIFERPIVSVSFFSDSALCFGCKFQFKPIRVSEPVLSLPVRRGSVTVLSGYAADEITHCIRPQDIKERRAVIILRKTRLDAPRLETKSLSSSVLPPSYASDRLSGNNRDPALKPKRSHRKADPDAAHRPRILEMDKEENRRSVLLPTHRRRGSFSSENYWRKSYESSEDCSEAAGSPARKVKMRRH
+>DECOY_sp|Q6P6C2|ALKB5_HUMAN RNA demethylase ALKBH5 OS=Homo sapiens OX=9606 GN=ALKBH5 PE=1 SV=2
+HRRMKVKRAPSGAAESCDESSEYSKRWYNESSFSGRRRHTPLLVSRRNEEKDMELIRPRHAADPDAKRHSRKPKLAPDRNNGSLRDSAYSPPLVSSSLSKTELRPADLRTKRLIIVARREKIDQPRICHTIEDAAYGSLVTVSGRRVPLSLVPESVRIPKFQFKCGFCLASDSFFSVSVIPREFIHIPDVHSVICGGPQYDNIVASNVFGEPIVRHEVLKQIVLQHVWEPIEDVDGPPYLREQGPGRKQLQAGYTYGEGFFYKNRLPARDVTHENYLGKEARSVVEDIRAEIKACEDQSFLRMQRIGSKVKRAEEEKQLQQEEYDSREPDSDEQYKRKAGSVPYPEAAAAAAAAAAVAAAAAAAAERSGAKYNDRSTMSKLKERLDTYGSAAAM
+>sp|Q8TCU4|ALMS1_HUMAN Alstrom syndrome protein 1 OS=Homo sapiens OX=9606 GN=ALMS1 PE=1 SV=4
+MEPEDLPWPGELEEEEEEEEEEEEEEEEAAAAAAANVDDVVVVEEVEEEAGRELDSDSHYGPQHLESIDDEEDEEAKAWLQAHPGRILPPLSPPQHRYSEGERTSLEKIVPLTCHVWQQIVYQGNSRTQISDTNVVCLETTAQRGSGDDQKTESWHCLPQEMDSSQTLDTSQTRFNVRTEDTEVTDFPSLEEGILTQSENQVKEPNRDLFCSPLLVIQDSFASPDLPLLTCLTQDQEFAPDSLFHQSELSFAPLRGIPDKSEDTEWSSRPSEVSEALFQATAEVASDLASSRFSVSQHPLIGSTAVGSQCPFLPSEQGNNEETISSVDELKIPKDCDRYDDLCSYMSWKTRKDTQWPENNLADKDQVSVATSFDITDENIATKRSDHFDAARSYGQYWTQEDSSKQAETYLTKGLQGKVESDVITLDGLNENAVVCSERVAELQRKPTRESEYHSSDLRMLRMSPDTVPKAPKHLKAGDTSKGGIAKVTQSNLKSGITTTPVDSDIGSHLSLSLEDLSQLAVSSPLETTTGQHTDTLNQKTLADTHLTEETLKVTAIPEPADQKTATPTVLSSSHSHRGKPSIFYQQGLPDSHLTEEALKVSAAPGLADQTTGMSTLTSTSYSHREKPGTFYQQELPESNLTEEPLEVSAAPGPVEQKTGIPTVSSTSHSHVEDLLFFYRQTLPDGHLTDQALKVSAVSGPADQKTGTATVLSTPHSHREKPGIFYQQEFADSHQTEETLTKVSATPGPADQKTEIPAVQSSSYSQREKPSILYPQDLADSHLPEEGLKVSAVAGPADQKTGLPTVPSSAYSHREKLLVFYQQALLDSHLPEEALKVSAVSGPADGKTGTPAVTSTSSASSSLGEKPSAFYQQTLPNSHLTEEALKVSIVPGPGDQKTGIPSAPSSFYSHREKPIIFSQQTLPDFLFPEEALKVSAVSVLAAQKTGTPTVSSNSHSHSEKSSVFYQQELPDSDLPRESLKMSAIPGLTDQKTVPTPTVPSGSFSHREKPSIFYQQEWPDSYATEKALKVSTGPGPADQKTEIPAVQSSSYPQREKPSVLYPQVLSDSHLPEESLKVSAFPGPADQMTDTPAVPSTFYSQREKPGIFYQQTLPESHLPKEALKISVAPGLADQKTGTPTVTSTSYSQHREKPSIFHQQALPGTHIPEEAQKVSAVTGPGNQKTWIPRVLSTFYSQREKPGIFYQQTLPGSHIPEEAQKVSPVLGPADQKTGTPTPTSASYSHTEKPGIFYQQVLPDNHPTEEALKISVASEPVDQTTGTPAVTSTSYSQYREKPSIFYQQSLPSSHLTEEAKNVSAVPGPADQKTVIPILPSTFYSHTEKPGVFYQQVLPHSHPTEEALKISVASEPVDQTTGTPTVTSTSYSQHTEKPSIFYQQSLPGSHLTEEAKNVSAVPGPGDRKTGIPTLPSTFYSHTEKPGSFYQQVLPHSHLPEEALEVSVAPGPVDQTIGTPTVTSPSSSFGEKPIVIYKQAFPEGHLPEESLKVSVAPGPVGQTTGAPTITSPSYSQHRAKSGSFYQLALLGSQIPEEALRVSSAPGPADQTTGIPTITSTSYSFGEKPIVNYKQAFPDGHLPEEALKVSIVSGPTEKKTDIPAGPLGSSALGEKPITFYRQALLDSPLNKEVVKVSAAPGPADQKTETLPVHSTSYSNRGKPVIFYQQTLSDSHLPEEALKVPPVPGPDAQKTETPSVSSSLYSYREKPIVFYQQALPDSELTQEALKVSAVPQPADQKTGLSTVTSSFYSHTEKPNISYQQELPDSHLTEEALKVSNVPGPADQKTGVSTVTSTSYSHREKPIVSYQRELPHFTEAGLKILRVPGPADQKTGINILPSNSYPQREHSVISYEQELPDLTEVTLKAIGVPGPADQKTGIQIASSSSYSNREKASIFHQQELPDVTEEALNVFVVPGQGDRKTEIPTVPLSYYSRREKPSVISQQELPDSHLTEEALKVSPVSIPAEQKTGIPIGLSSSYSHSHKEKLKISTVHIPDDQKTEFPAATLSSYSQIEKPKISTVIGPNDQKTPSQTAFHSSYSQTVKPNILFQQQLPDRDQSKGILKISAVPELTDVNTGKPVSLSSSYFHREKSNIFSPQELPGSHVTEDVLKVSTIPGPAGQKTVLPTALPSSFSHREKPDIFYQKDLPDRHLTEDALKISSALGQADQITGLQTVPSGTYSHGENHKLVSEHVQRLIDNLNSSDSSVSSNNVLLNSQADDRVVINKPESAGFRDVGSEEIQDAENSAKTLKEIRTLLMEAENMALKRCNFPAPLARFRDISDISFIQSKKVVCFKEPSSTGVSNGDLLHRQPFTEESPSSRCIQKDIGTQTNLKCRRGIENWEFISSTTVRSPLQEAESKVSMALEETLRQYQAAKSVMRSEPEGCSGTIGNKIIIPMMTVIKSDSSSDASDGNGSCSWDSNLPESLESVSDVLLNFFPYVSPKTSITDSREEEGVSESEDGGGSSVDSLAAHVKNLLQCESSLNHAKEILRNAEEEESRVRAHAWNMKFNLAHDCGYSISELNEDDRRKVEEIKAELFGHGRTTDLSKGLQSPRGMGCKPEAVCSHIIIESHEKGCFRTLTSEHPQLDRHPCAFRSAGPSEMTRGRQNPSSCRAKHVNLSASLDQNNSHFKVWNSLQLKSHSPFQNFIPDEFKISKGLRMPFDEKMDPWLSELVEPAFVPPKEVDFHSSSQMPSPEPMKKFTTSITFSSHRHSKCISNSSVVKVGVTEGSQCTGASVGVFNSHFTEEQNPPRDLKQKTSSPSSFKMHSNSQDKEVTILAEGRRQSQKLPVDFERSFQEEKPLERSDFTGSHSEPSTRANCSNFKEIQISDNHTLISMGRPSSTLGVNRSSSRLGVKEKNVTITPDLPSCIFLEQRELFEQSKAPRADDHVRKHHSPSPQHQDYVAPDLPSCIFLEQRELFEQCKAPYVDHQMRENHSPLPQGQDSIASDLPSPISLEQCQSKAPGVDDQMNKHHFPLPQGQDCVVEKNNQHKPKSHISNINVEAKFNTVVSQSAPNHCTLAASASTPPSNRKALSCVHITLCPKTSSKLDSGTLDERFHSLDAASKARMNSEFNFDLHTVSSRSLEPTSKLLTSKPVAQDQESLGFLGPKSSLDFQVVQPSLPDSNTITQDLKTIPSQNSQIVTSRQIQVNISDFEGHSNPEGTPVFADRLPEKMKTPLSAFSEKLSSDAVTQITTESPEKTLFSSEIFINAEDRGHEIIEPGNQKLRKAPVKFASSSSVQQVTFSRGTDGQPLLLPYKPSGSTKMYYVPQLRQIPPSPDSKSDTTVESSHSGSNDAIAPDFPAQVLGTRDDDLSATVNIKHKEGIYSKRVVTKASLPVGEKPLQNENADASVQVLITGDENLSDKKQQEIHSTRAVTEAAQAKEKESLQKDTADSSAAAAAEHSAQVGDPEMKNLPDTKAITQKEEIHRKKTVPEEAWPNNKESLQINIEESECHSEFENTTRSVFRSAKFYIHHPVHLPSDQDICHESLGKSVFMRHSWKDFFQHHPDKHREHMCLPLPYQNMDKTKTDYTRIKSLSINVNLGNKEVMDTTKSQVRDYPKHNGQISDPQRDQKVTPEQTTQHTVSLNELWNKYRERQRQQRQPELGDRKELSLVDRLDRLAKILQNPITHSLQVSESTHDDSRGERSVKEWSGRQQQRNKLQKKKRFKSLEKSHKNTGELKKSKVLSHHRAGRSNQIKIEQIKFDKYILSKQPGFNYISNTSSDCRPSEESELLTDTTTNILSGTTSTVESDILTQTDREVALHERSSSVSTIDTARLIQAFGHERVCLSPRRIKLYSSITNQQRRYLEKRSKHSKKVLNTGHPLVTSEHTRRRHIQVANHVISSDSISSSASSFLSSNSTFCNKQNVHMLNKGIQAGNLEIVNGAKKHTRDVGITFPTPSSSEAKLEENSDVTSWSEEKREEKMLFTGYPEDRKLKKNKKNSHEGVSWFVPVENVESRSKKENVPNTCGPGISWFEPITKTRPWREPLREQNCQGQHLDGRGYLAGPGREAGRDLLRPFVRATLQESLQFHRPDFISRSGERIKRLKLIVQERKLQSMLQTERDALFNIDRERQGHQNRMCPLPKRVFLAIQKNKPISKKEMIQRSKRIYEQLPEVQKKREEEKRKSEYKSYRLRAQLYKKRVTNQLLGRKVPWD
+>DECOY_sp|Q8TCU4|ALMS1_HUMAN Alstrom syndrome protein 1 OS=Homo sapiens OX=9606 GN=ALMS1 PE=1 SV=4
+DWPVKRGLLQNTVRKKYLQARLRYSKYESKRKEEERKKQVEPLQEYIRKSRQIMEKKSIPKNKQIALFVRKPLPCMRNQHGQRERDINFLADRETQLMSQLKREQVILKLRKIREGSRSIFDPRHFQLSEQLTARVFPRLLDRGAERGPGALYGRGDLHQGQCNQERLPERWPRTKTIPEFWSIGPGCTNPVNEKKSRSEVNEVPVFWSVGEHSNKKNKKLKRDEPYGTFLMKEERKEESWSTVDSNEELKAESSSPTPFTIGVDRTHKKAGNVIELNGAQIGKNLMHVNQKNCFTSNSSLFSSASSSISDSSIVHNAVQIHRRRTHESTVLPHGTNLVKKSHKSRKELYRRQQNTISSYLKIRRPSLCVREHGFAQILRATDITSVSSSREHLAVERDTQTLIDSEVTSTTGSLINTTTDTLLESEESPRCDSSTNSIYNFGPQKSLIYKDFKIQEIKIQNSRGARHHSLVKSKKLEGTNKHSKELSKFRKKKQLKNRQQQRGSWEKVSREGRSDDHTSESVQLSHTIPNQLIKALRDLRDVLSLEKRDGLEPQRQQRQRERYKNWLENLSVTHQTTQEPTVKQDRQPDSIQGNHKPYDRVQSKTTDMVEKNGLNVNISLSKIRTYDTKTKDMNQYPLPLCMHERHKDPHHQFFDKWSHRMFVSKGLSEHCIDQDSPLHVPHHIYFKASRFVSRTTNEFESHCESEEINIQLSEKNNPWAEEPVTKKRHIEEKQTIAKTDPLNKMEPDGVQASHEAAAAASSDATDKQLSEKEKAQAAETVARTSHIEQQKKDSLNEDGTILVQVSADANENQLPKEGVPLSAKTVVRKSYIGEKHKINVTASLDDDRTGLVQAPFDPAIADNSGSHSSEVTTDSKSDPSPPIQRLQPVYYMKTSGSPKYPLLLPQGDTGRSFTVQQVSSSSAFKVPAKRLKQNGPEIIEHGRDEANIFIESSFLTKEPSETTIQTVADSSLKESFASLPTKMKEPLRDAFVPTGEPNSHGEFDSINVQIQRSTVIQSNQSPITKLDQTITNSDPLSPQVVQFDLSSKPGLFGLSEQDQAVPKSTLLKSTPELSRSSVTHLDFNFESNMRAKSAADLSHFREDLTGSDLKSSTKPCLTIHVCSLAKRNSPPTSASAALTCHNPASQSVVTNFKAEVNINSIHSKPKHQNNKEVVCDQGQPLPFHHKNMQDDVGPAKSQCQELSIPSPLDSAISDQGQPLPSHNERMQHDVYPAKCQEFLERQELFICSPLDPAVYDQHQPSPSHHKRVHDDARPAKSQEFLERQELFICSPLDPTITVNKEKVGLRSSSRNVGLTSSPRGMSILTHNDSIQIEKFNSCNARTSPESHSGTFDSRELPKEEQFSREFDVPLKQSQRRGEALITVEKDQSNSHMKFSSPSSTKQKLDRPPNQEETFHSNFVGVSAGTCQSGETVGVKVVSSNSICKSHRHSSFTISTTFKKMPEPSPMQSSSHFDVEKPPVFAPEVLESLWPDMKEDFPMRLGKSIKFEDPIFNQFPSHSKLQLSNWVKFHSNNQDLSASLNVHKARCSSPNQRGRTMESPGASRFACPHRDLQPHESTLTRFCGKEHSEIIIHSCVAEPKCGMGRPSQLGKSLDTTRGHGFLEAKIEEVKRRDDENLESISYGCDHALNFKMNWAHARVRSEEEEANRLIEKAHNLSSECQLLNKVHAALSDVSSGGGDESESVGEEERSDTISTKPSVYPFFNLLVDSVSELSEPLNSDWSCSGNGDSADSSSDSKIVTMMPIIIKNGITGSCGEPESRMVSKAAQYQRLTEELAMSVKSEAEQLPSRVTTSSIFEWNEIGRRCKLNTQTGIDKQICRSSPSEETFPQRHLLDGNSVGTSSPEKFCVVKKSQIFSIDSIDRFRALPAPFNCRKLAMNEAEMLLTRIEKLTKASNEADQIEESGVDRFGASEPKNIVVRDDAQSNLLVNNSSVSSDSSNLNDILRQVHESVLKHNEGHSYTGSPVTQLGTIQDAQGLASSIKLADETLHRDPLDKQYFIDPKERHSFSSPLATPLVTKQGAPGPITSVKLVDETVHSGPLEQPSFINSKERHFYSSSLSVPKGTNVDTLEPVASIKLIGKSQDRDPLQQQFLINPKVTQSYSSHFATQSPTKQDNPGIVTSIKPKEIQSYSSLTAAPFETKQDDPIHVTSIKLKEKHSHSYSSSLGIPIGTKQEAPISVPSVKLAEETLHSDPLEQQSIVSPKERRSYYSLPVTPIETKRDGQGPVVFVNLAEETVDPLEQQHFISAKERNSYSSSSAIQIGTKQDAPGPVGIAKLTVETLDPLEQEYSIVSHERQPYSNSPLINIGTKQDAPGPVRLIKLGAETFHPLERQYSVIPKERHSYSTSTVTSVGTKQDAPGPVNSVKLAEETLHSDPLEQQYSINPKETHSYFSSTVTSLGTKQDAPQPVASVKLAEQTLESDPLAQQYFVIPKERYSYLSSSVSPTETKQADPGPVPPVKLAEEPLHSDSLTQQYFIVPKGRNSYSTSHVPLTETKQDAPGPAASVKVVEKNLPSDLLAQRYFTIPKEGLASSGLPGAPIDTKKETPGSVISVKLAEEPLHGDPFAQKYNVIPKEGFSYSTSTITPIGTTQDAPGPASSVRLAEEPIQSGLLALQYFSGSKARHQSYSPSTITPAGTTQGVPGPAVSVKLSEEPLHGEPFAQKYIVIPKEGFSSSPSTVTPTGITQDVPGPAVSVELAEEPLHSHPLVQQYFSGPKETHSYFTSPLTPIGTKRDGPGPVASVNKAEETLHSGPLSQQYFISPKETHQSYSTSTVTPTGTTQDVPESAVSIKLAEETPHSHPLVQQYFVGPKETHSYFTSPLIPIVTKQDAPGPVASVNKAEETLHSSPLSQQYFISPKERYQSYSTSTVAPTGTTQDVPESAVSIKLAEETPHNDPLVQQYFIGPKETHSYSASTPTPTGTKQDAPGLVPSVKQAEEPIHSGPLTQQYFIGPKERQSYFTSLVRPIWTKQNGPGTVASVKQAEEPIHTGPLAQQHFISPKERHQSYSTSTVTPTGTKQDALGPAVSIKLAEKPLHSEPLTQQYFIGPKERQSYFTSPVAPTDTMQDAPGPFASVKLSEEPLHSDSLVQPYLVSPKERQPYSSSQVAPIETKQDAPGPGTSVKLAKETAYSDPWEQQYFISPKERHSFSGSPVTPTPVTKQDTLGPIASMKLSERPLDSDPLEQQYFVSSKESHSHSNSSVTPTGTKQAALVSVASVKLAEEPFLFDPLTQQSFIIPKERHSYFSSPASPIGTKQDGPGPVISVKLAEETLHSNPLTQQYFASPKEGLSSSASSTSTVAPTGTKGDAPGSVASVKLAEEPLHSDLLAQQYFVLLKERHSYASSPVTPLGTKQDAPGAVASVKLGEEPLHSDALDQPYLISPKERQSYSSSQVAPIETKQDAPGPTASVKTLTEETQHSDAFEQQYFIGPKERHSHPTSLVTATGTKQDAPGSVASVKLAQDTLHGDPLTQRYFFLLDEVHSHSTSSVTPIGTKQEVPGPAASVELPEETLNSEPLEQQYFTGPKERHSYSTSTLTSMGTTQDALGPAASVKLAEETLHSDPLGQQYFISPKGRHSHSSSLVTPTATKQDAPEPIATVKLTEETLHTDALTKQNLTDTHQGTTTELPSSVALQSLDELSLSLHSGIDSDVPTTTIGSKLNSQTVKAIGGKSTDGAKLHKPAKPVTDPSMRLMRLDSSHYESERTPKRQLEAVRESCVVANENLGDLTIVDSEVKGQLGKTLYTEAQKSSDEQTWYQGYSRAADFHDSRKTAINEDTIDFSTAVSVQDKDALNNEPWQTDKRTKWSMYSCLDDYRDCDKPIKLEDVSSITEENNGQESPLFPCQSGVATSGILPHQSVSFRSSALDSAVEATAQFLAESVESPRSSWETDESKDPIGRLPAFSLESQHFLSDPAFEQDQTLCTLLPLDPSAFSDQIVLLPSCFLDRNPEKVQNESQTLIGEELSPFDTVETDETRVNFRTQSTDLTQSSDMEQPLCHWSETKQDDGSGRQATTELCVVNTDSIQTRSNGQYVIQQWVHCTLPVIKELSTREGESYRHQPPSLPPLIRGPHAQLWAKAEEDEEDDISELHQPGYHSDSDLERGAEEEVEEVVVVDDVNAAAAAAAEEEEEEEEEEEEEEEELEGPWPLDEPEM
+>sp|Q96Q42|ALS2_HUMAN Alsin OS=Homo sapiens OX=9606 GN=ALS2 PE=1 SV=2
+MDSKKRSSTEAEGSKERGLVHIWQAGSFPITPERLPGWGGKTVLQAALGVKHGVLLTEDGEVYSFGTLPWRSGPVEICPSSPILENALVGQYVITVATGSFHSGAVTDNGVAYMWGENSAGQCAVANQQYVPEPNPVSIADSEASPLLAVRILQLACGEEHTLALSISREIWAWGTGCQLGLITTAFPVTKPQKVEHLAGRVVLQVACGAFHSLALVQCLPSQDLKPVPERCNQCSQLLITMTDKEDHVIISDSHCCPLGVTLTESQAENHASTALSPSTETLDRQEEVFENTLVANDQSVATELNAVSAQITSSDAMSSQQNVMGTTEISSARNIPSYPDTQAVNEYLRKLSDHSVREDSEHGEKPVPSQPLLEEAIPNLHSPPTTSTSALNSLVVSCASAVGVRVAATYEAGALSLKKVMNFYSTTPCETGAQAGSSAIGPEGLKDSREEQVKQESMQGKKSSSLVDIREEETEGGSRRLSLPGLLSQVSPRLLRKAARVKTRTVVLTPTYSGEADALLPSLRTEVWTWGKGKEGQLGHGDVLPRLQPLCVKCLDGKEVIHLEAGGYHSLALTAKSQVYSWGSNTFGQLGHSDFPTTVPRLAKISSENGVWSIAAGRDYSLFLVDTEDFQPGLYYSGRQDPTEGDNLPENHSGSKTPVLLSCSKLGYISRVTAGKDSYLALVDKNIMGYIASLHELATTERRFYSKLSDIKSQILRPLLSLENLGTTTTVQLLQEVASRFSKLCYLIGQHGASLSSFLHGVKEARSLVILKHSSLFLDSYTEYCTSITNFLVMGGFQLLAKPAIDFLNKNQELLQDLSEVNDENTQLMEILNTLFFLPIRRLHNYAKVLLKLATCFEVASPEYQKLQDSSSCYECLALHLGRKRKEAEYTLGFWKTFPGKMTDSLRKPERRLLCESSNRALSLQHAGRFSVNWFILFNDALVHAQFSTHHVFPLATLWAEPLSEEAGGVNGLKITTPEEQFTLISSTPQEKTKWLRAISQAVDQALRGMSDLPPYGSGSSVQRQEPPISRSAKYTFYKDPRLKDATYDGRWLSGKPHGRGVLKWPDGKMYSGMFRNGLEDGYGEYRIPNKAMNKEDHYVGHWKEGKMCGQGVYSYASGEVFEGCFQDNMRHGHGLLRSGKLTSSSPSMFIGQWVMDKKAGYGVFDDITRGEKYMGMWQDDVCQGNGVVVTQFGLYYEGNFHLNKMMGNGVLLSEDDTIYEGEFSDDWTLSGKGTLTMPNGDYIEGYFSGEWGSGIKITGTYFKPSLYESDKDRPKVFRKLGNLAVPADEKWKAVFDECWRQLGCEGPGQGEVWKAWDNIAVALTTSRRQHRDSPEILSRSQTQTLESLEFIPQHVGAFSVEKYDDIRKYLIKACDTPLHPLGRLVETLVAVYRMTYVGVGANRRLLQEAVKEIKSYLKRIFQLVRFLFPELPEEGSTIPLSAPLPTERKSFCTGKSDSRSESPEPGYVVTSSGLLLPVLLPRLYPPLFMLYALDNDREEDIYWECVLRLNKQPDIALLGFLGVQRKFWPATLSILGESKKVLPTTKDACFASAVECLQQISTTFTPSDKLKVIQQTFEEISQSVLASLHEDFLWSMDDLFPVFLYVVLRARIRNLGSEVHLIEDLMDPYLQHGEQGIMFTTLKACYYQIQREKLN
+>DECOY_sp|Q96Q42|ALS2_HUMAN Alsin OS=Homo sapiens OX=9606 GN=ALS2 PE=1 SV=2
+NLKERQIQYYCAKLTTFMIGQEGHQLYPDMLDEILHVESGLNRIRARLVVYLFVPFLDDMSWLFDEHLSALVSQSIEEFTQQIVKLKDSPTFTTSIQQLCEVASAFCADKTTPLVKKSEGLISLTAPWFKRQVGLFGLLAIDPQKNLRLVCEWYIDEERDNDLAYLMFLPPYLRPLLVPLLLGSSTVVYGPEPSESRSDSKGTCFSKRETPLPASLPITSGEEPLEPFLFRVLQFIRKLYSKIEKVAEQLLRRNAGVGVYTMRYVAVLTEVLRGLPHLPTDCAKILYKRIDDYKEVSFAGVHQPIFELSELTQTQSRSLIEPSDRHQRRSTTLAVAINDWAKWVEGQGPGECGLQRWCEDFVAKWKEDAPVALNGLKRFVKPRDKDSEYLSPKFYTGTIKIGSGWEGSFYGEIYDGNPMTLTGKGSLTWDDSFEGEYITDDESLLVGNGMMKNLHFNGEYYLGFQTVVVGNGQCVDDQWMGMYKEGRTIDDFVGYGAKKDMVWQGIFMSPSSSTLKGSRLLGHGHRMNDQFCGEFVEGSAYSYVGQGCMKGEKWHGVYHDEKNMAKNPIRYEGYGDELGNRFMGSYMKGDPWKLVGRGHPKGSLWRGDYTADKLRPDKYFTYKASRSIPPEQRQVSSGSGYPPLDSMGRLAQDVAQSIARLWKTKEQPTSSILTFQEEPTTIKLGNVGGAEESLPEAWLTALPFVHHTSFQAHVLADNFLIFWNVSFRGAHQLSLARNSSECLLRREPKRLSDTMKGPFTKWFGLTYEAEKRKRGLHLALCEYCSSSDQLKQYEPSAVEFCTALKLLVKAYNHLRRIPLFFLTNLIEMLQTNEDNVESLDQLLEQNKNLFDIAPKALLQFGGMVLFNTISTCYETYSDLFLSSHKLIVLSRAEKVGHLFSSLSAGHQGILYCLKSFRSAVEQLLQVTTTTGLNELSLLPRLIQSKIDSLKSYFRRETTALEHLSAIYGMINKDVLALYSDKGATVRSIYGLKSCSLLVPTKSGSHNEPLNDGETPDQRGSYYLGPQFDETDVLFLSYDRGAAISWVGNESSIKALRPVTTPFDSHGLQGFTNSGWSYVQSKATLALSHYGGAELHIVEKGDLCKVCLPQLRPLVDGHGLQGEKGKGWTWVETRLSPLLADAEGSYTPTLVVTRTKVRAAKRLLRPSVQSLLGPLSLRRSGGETEEERIDVLSSSKKGQMSEQKVQEERSDKLGEPGIASSGAQAGTECPTTSYFNMVKKLSLAGAEYTAAVRVGVASACSVVLSNLASTSTTPPSHLNPIAEELLPQSPVPKEGHESDERVSHDSLKRLYENVAQTDPYSPINRASSIETTGMVNQQSSMADSSTIQASVANLETAVSQDNAVLTNEFVEEQRDLTETSPSLATSAHNEAQSETLTVGLPCCHSDSIIVHDEKDTMTILLQSCQNCREPVPKLDQSPLCQVLALSHFAGCAVQLVVRGALHEVKQPKTVPFATTILGLQCGTGWAWIERSISLALTHEEGCALQLIRVALLPSAESDAISVPNPEPVYQQNAVACQGASNEGWMYAVGNDTVAGSHFSGTAVTIVYQGVLANELIPSSPCIEVPGSRWPLTGFSYVEGDETLLVGHKVGLAAQLVTKGGWGPLREPTIPFSGAQWIHVLGREKSGEAETSSRKKSDM
+>sp|Q9UHK6|AMACR_HUMAN Alpha-methylacyl-CoA racemase OS=Homo sapiens OX=9606 GN=AMACR PE=1 SV=2
+MALQGISVVELSGLAPGPFCAMVLADFGARVVRVDRPGSRYDVSRLGRGKRSLVLDLKQPRGAAVLRRLCKRSDVLLEPFRRGVMEKLQLGPEILQRENPRLIYARLSGFGQSGSFCRLAGHDINYLALSGVLSKIGRSGENPYAPLNLLADFAGGGLMCALGIIMALFDRTRTGKGQVIDANMVEGTAYLSSFLWKTQKLSLWEAPRGQNMLDGGAPFYTTYRTADGEFMAVGAIEPQFYELLIKGLGLKSDELPNQMSMDDWPEMKKKFADVFAEKTKAEWCQIFDGTDACVTPVLTFEEVVHHDHNKERGSFITSEEQDVSPRPAPLLLNTPAIPSFKRDPFIGEHTEEILEEFGFSREEIYQLNSDKIIESNKVKASL
+>DECOY_sp|Q9UHK6|AMACR_HUMAN Alpha-methylacyl-CoA racemase OS=Homo sapiens OX=9606 GN=AMACR PE=1 SV=2
+LSAKVKNSEIIKDSNLQYIEERSFGFEELIEETHEGIFPDRKFSPIAPTNLLLPAPRPSVDQEESTIFSGREKNHDHHVVEEFTLVPTVCADTGDFIQCWEAKTKEAFVDAFKKKMEPWDDMSMQNPLEDSKLGLGKILLEYFQPEIAGVAMFEGDATRYTTYFPAGGDLMNQGRPAEWLSLKQTKWLFSSLYATGEVMNADIVQGKGTRTRDFLAMIIGLACMLGGGAFDALLNLPAYPNEGSRGIKSLVGSLALYNIDHGALRCFSGSQGFGSLRAYILRPNERQLIEPGLQLKEMVGRRFPELLVDSRKCLRRLVAAGRPQKLDLVLSRKGRGLRSVDYRSGPRDVRVVRAGFDALVMACFPGPALGSLEVVSIGQLAM
+>sp|P23582|ANFC_HUMAN C-type natriuretic peptide OS=Homo sapiens OX=9606 GN=NPPC PE=1 SV=1
+MHLSQLLACALLLTLLSLRPSEAKPGAPPKVPRTPPAEELAEPQAAGGGQKKGDKAPGGGGANLKGDRSRLLRDLRVDTKSRAAWARLLQEHPNARKYKGANKKGLSKGCFGLKLDRIGSMSGLGC
+>DECOY_sp|P23582|ANFC_HUMAN C-type natriuretic peptide OS=Homo sapiens OX=9606 GN=NPPC PE=1 SV=1
+CGLGSMSGIRDLKLGFCGKSLGKKNAGKYKRANPHEQLLRAWAARSKTDVRLDRLLRSRDGKLNAGGGGPAKDGKKQGGGAAQPEALEEAPPTRPVKPPAGPKAESPRLSLLTLLLACALLQSLHM
+>sp|P03950|ANGI_HUMAN Angiogenin OS=Homo sapiens OX=9606 GN=ANG PE=1 SV=1
+MVMGLGVLLLVFVLGLGLTPPTLAQDNSRYTHFLTQHYDAKPQGRDDRYCESIMRRRGLTSPCKDINTFIHGNKRSIKAICENKNGNPHRENLRISKSSFQVTTCKLHGGSPWPPCQYRATAGFRNVVVACENGLPVHLDQSIFRRP
+>DECOY_sp|P03950|ANGI_HUMAN Angiogenin OS=Homo sapiens OX=9606 GN=ANG PE=1 SV=1
+PRRFISQDLHVPLGNECAVVVNRFGATARYQCPPWPSGGHLKCTTVQFSSKSIRLNERHPNGNKNECIAKISRKNGHIFTNIDKCPSTLGRRRMISECYRDDRGQPKADYHQTLFHTYRSNDQALTPPTLGLGLVFVLLLVGLGMVM
+>sp|E9PGG2|ANHX_HUMAN Anomalous homeobox protein OS=Homo sapiens OX=9606 GN=ANHX PE=2 SV=1
+MQSFLTLLKEHEDTCAPPAELVTLAGRLCRDFQDDLAQLQPLVTAILDSQLRLHLLDNADVALACARVLDQQEQQQAACRLLEGCQVPGGSQELVQLWNDIHYRLVMRRLGVAALTPVQKFRCRKRNPPPPSLCPEGLKSRNFPREVREKLHNFAVGVNTNPSKAERENLALETSLTPEQVYNWFANYRRRQRALPQHMKPAQQATAEDPGARERGPDLLQPSGNPRVDSGFVDRPQWSEEREEKGPPQSPQTTQGPWEPLALAPDFPADETVSKPLDVSGHPQSVQLEEGLGTSSGRTELRVGSFLVTQPPLQAPEFILTQSPPELAPAPSAFPGPVSAMELSQALPSSQVQCSDSQASGDAFWGARMLLEFSGSSLG
+>DECOY_sp|E9PGG2|ANHX_HUMAN Anomalous homeobox protein OS=Homo sapiens OX=9606 GN=ANHX PE=2 SV=1
+GLSSGSFELLMRAGWFADGSAQSDSCQVQSSPLAQSLEMASVPGPFASPAPALEPPSQTLIFEPAQLPPQTVLFSGVRLETRGSSTGLGEELQVSQPHGSVDLPKSVTEDAPFDPALALPEWPGQTTQPSQPPGKEEREESWQPRDVFGSDVRPNGSPQLLDPGRERAGPDEATAQQAPKMHQPLARQRRRYNAFWNYVQEPTLSTELALNEREAKSPNTNVGVAFNHLKERVERPFNRSKLGEPCLSPPPPNRKRCRFKQVPTLAAVGLRRMVLRYHIDNWLQVLEQSGGPVQCGELLRCAAQQQEQQDLVRACALAVDANDLLHLRLQSDLIATVLPQLQALDDQFDRCLRGALTVLEAPPACTDEHEKLLTLFSQM
+>sp|Q9NU02|ANKE1_HUMAN Ankyrin repeat and EF-hand domain-containing protein 1 OS=Homo sapiens OX=9606 GN=ANKEF1 PE=2 SV=2
+MALADKRLENLQIYKVLQCVRNKDKKQIEKLTKLGYPELINYTEPINGLSALHLASVSNDIDMVSFLLDLGAHPDVQDRMGCTPTMRAAELGHELSMEILAKAKADMTIVDNEGKGVLFYCILPTKRHYRCALIALEHGADVNNSTYEGKPIFLRACEDAHDVKDVCLTFLEKGANPNAINSSTGRTALMEASREGVVEIVRGILERGGEVNAFDNDRHHAAHFAAKGGFFDILKLLFAYNGDVGLISINGNTPLHYAAMGGFADCCKYIAQRGCDLKWKNLDHKTPRAVAKEGGFKAASKEIRRAERIANKLARPGAKNPNPLWALRLHDWSVEREAFLREAFAVLDRGDGSISKNDFVMVLEERQDYASSEQLAAIAHLHEKTRGGGVNINEFFKGTRYLNKSFVLGSYGPKKKEKGMGKKGKKGKFVLPLPICVIPEYAFPRRQDGGPPYYMIETYKNVTDSSRFNRDHPPEHPIQDDSVWYIDDSEKVFSNINIITKAGDLASLKKAFESGIPVDMKDNYYKTPLMTACASGNIDVVKFLLEKGANVNATDNFLWTPLHFACHAGQQDIVELLVESGALIDAASINNSTPLNRAIESCRLDTVKYLLDIGAKFQLENRKGHSAMDVAKAYADYRIIDLIKEKLDNLPKPAENQKLKGKTPPILKTEGPEIKKEEELLSSIYGVPTTSEGKKVQKGNVVHLNSLITSGYTKKVDITFIPRRIWSPEATTAELIRKRELRRERFTHEVDFDDFMMPFQKNITEKARALEAALKT
+>DECOY_sp|Q9NU02|ANKE1_HUMAN Ankyrin repeat and EF-hand domain-containing protein 1 OS=Homo sapiens OX=9606 GN=ANKEF1 PE=2 SV=2
+TKLAAELARAKETINKQFPMMFDDFDVEHTFRERRLERKRILEATTAEPSWIRRPIFTIDVKKTYGSTILSNLHVVNGKQVKKGESTTPVGYISSLLEEEKKIEPGETKLIPPTKGKLKQNEAPKPLNDLKEKILDIIRYDAYAKAVDMASHGKRNELQFKAGIDLLYKVTDLRCSEIARNLPTSNNISAADILAGSEVLLEVIDQQGAHCAFHLPTWLFNDTANVNAGKELLFKVVDINGSACATMLPTKYYNDKMDVPIGSEFAKKLSALDGAKTIININSFVKESDDIYWVSDDQIPHEPPHDRNFRSSDTVNKYTEIMYYPPGGDQRRPFAYEPIVCIPLPLVFKGKKGKKGMGKEKKKPGYSGLVFSKNLYRTGKFFENINVGGGRTKEHLHAIAALQESSAYDQREELVMVFDNKSISGDGRDLVAFAERLFAEREVSWDHLRLAWLPNPNKAGPRALKNAIREARRIEKSAAKFGGEKAVARPTKHDLNKWKLDCGRQAIYKCCDAFGGMAAYHLPTNGNISILGVDGNYAFLLKLIDFFGGKAAFHAAHHRDNDFANVEGGRELIGRVIEVVGERSAEMLATRGTSSNIANPNAGKELFTLCVDKVDHADECARLFIPKGEYTSNNVDAGHELAILACRYHRKTPLICYFLVGKGENDVITMDAKAKALIEMSLEHGLEAARMTPTCGMRDQVDPHAGLDLLFSVMDIDNSVSALHLASLGNIPETYNILEPYGLKTLKEIQKKDKNRVCQLVKYIQLNELRKDALAM
+>sp|Q8IWZ3|ANKH1_HUMAN Ankyrin repeat and KH domain-containing protein 1 OS=Homo sapiens OX=9606 GN=ANKHD1 PE=1 SV=1
+MLTDSGGGGTSFEEDLDSVAPRSAPAGASEPPPPGGVGLGIRTVRLFGEAGPASGVGSSGGGGSGSGTGGGDAALDFKLAAAVLRTGGGGGASGSDEDEVSEVESFILDQEDLDNPVLKTTSEIFLSSTAEGADLRTVDPETQARLEALLEAAGIGKLSTADGKAFADPEVLRRLTSSVSCALDEAAAALTRMKAENSHNAGQVDTRSLAEACSDGDVNAVRKLLDEGRSVNEHTEEGESLLCLACSAGYYELAQVLLAMHANVEDRGNKGDITPLMAASSGGYLDIVKLLLLHDADVNSQSATGNTALTYACAGGFVDIVKVLLNEGANIEDHNENGHTPLMEAASAGHVEVARVLLDHGAGINTHSNEFKESALTLACYKGHLDMVRFLLEAGADQEHKTDEMHTALMEACMDGHVEVARLLLDSGAQVNMPADSFESPLTLAACGGHVELAALLIERGANLEEVNDEGYTPLMEAAREGHEEMVALLLAQGANINAQTEETQETALTLACCGGFSEVADFLIKAGADIELGCSTPLMEASQEGHLELVKYLLASGANVHATTATGDTALTYACENGHTDVADVLLQAGADLEHESEGGRTPLMKAARAGHLCTVQFLISKGANVNRATANNDHTVVSLACAGGHLAVVELLLAHGADPTHRLKDGSTMLIEAAKGGHTNVVSYLLDYPNNVLSVPTTDVSQLPPPSQDQSQVPRVPTHTLAMVVPPQEPDRTSQENSPALLGVQKGTSKQKSSSLQVADQDLLPSFHPYQPLECIVEETEGKLNELGQRISAIEKAQLKSLELIQGEPLNKDKIEELKKNREEQVQKKKKILKELQKVERQLQMKTQQQFTKEYLETKGQKDTVSLHQQCSHRGVFPEGEGDGSLPEDHFSELPQVDTILFKDNDVDDEQQSPPSAEQIDFVPVQPLSSPQCNFSSDLGSNGTNSLELQKVSGNQQIVGQPQIAITGHDQGLLVQEPDGLMVATPAQTLTDTLDDLIAAVSTRVPTGSNSSSQTTECLTPESCSQTTSNVASQSMPPVYPSVDIDAHTESNHDTALTLACAGGHEELVSVLIARDAKIEHRDKKGFTPLILAATAGHVGVVEILLDKGGDIEAQSERTKDTPLSLACSGGRQEVVDLLLARGANKEHRNVSDYTPLSLAASGGYVNIIKILLNAGAEINSRTGSKLGISPLMLAAMNGHVPAVKLLLDMGSDINAQIETNRNTALTLACFQGRAEVVSLLLDRKANVEHRAKTGLTPLMEAASGGYAEVGRVLLDKGADVNAPPVPSSRDTALTIAADKGHYKFCELLIHRGAHIDVRNKKGNTPLWLASNGGHFDVVQLLVQAGADVDAADNRKITPLMSAFRKGHVKVVQYLVKEVNQFPSDIECMRYIATITDKELLKKCHQCVETIVKAKDQQAAEANKNASILLKELDLEKSREESRKQALAAKREKRKEKRKKKKEEQKRKQEEDEENKPKENSELPEDEDEEENDEDVEQEVPIEPPSATTTTTIGISATSATFTNVFGKKRANVVTTPSTNRKNKKNKTKETPPTAHLILPEQHMSLAQQKADKNKINGEPRGGGAGGNSDSDNLDSTDCNSESSSGGKSQELNFVMDVNSSKYPSLLLHSQEEKTSTATSKTQTRLEGEVTPNSLSTSYKTVSLPLSSPNIKLNLTSPKRGQKREEGWKEVVRRSKKLSVPASVVSRIMGRGGCNITAIQDVTGAHIDVDKQKDKNGERMITIRGGTESTRYAVQLINALIQDPAKELEDLIPKNHIRTPASTKSIHANFSSGVGTTAASSKNAFPLGAPTLVTSQATTLSTFQPANKLNKNVPTNVRSSFPVSLPLAYPHPHFALLAAQTMQQIRHPRLPMAQFGGTFSPSPNTWGPFPVRPVNPGNTNSSPKHNNTSRLPNQNGTVLPSESAGLATASCPITVSSVVAASQQLCVTNTRTPSSVRKQLFACVPKTSPPATVISSVTSTCSSLPSVSSAPITSGQAPTTFLPASTSQAQLSSQKMESFSAVPPTKEKVSTQDQPMANLCTPSSTANSCSSSASNTPGAPETHPSSSPTPTSSNTQEEAQPSSVSDLSPMSMPFASNSEPAPLTLTSPRMVAADNQDTSNLPQLAVPAPRVSHRMQPRGSFYSMVPNATIHQDPQSIFVTNPVTLTPPQGPPAAVQLSSAVNIMNGSQMHINPANKSLPPTFGPATLFNHFSSLFDSSQVPANQGWGDGPLSSRVATDASFTVQSAFLGNSVLGHLENMHPDNSKAPGFRPPSQRVSTSPVGLPSIDPSGSSPSSSSAPLASFSGIPGTRVFLQGPAPVGTPSFNRQHFSPHPWTSASNSSTSAPPTLGQPKGVSASQDRKIPPPIGTERLARIRQGGSVAQAPAGTSFVAPVGHSGIWSFGVNAVSEGLSGWSQSVMGNHPMHQQLSDPSTFSQHQPMERDDSGMVAPSNIFHQPMASGFVDFSKGLPISMYGGTIIPSHPQLADVPGGPLFNGLHNPDPAWNPMIKVIQNSTECTDAQQIWPGTWAPHIGNMHLKYVN
+>DECOY_sp|Q8IWZ3|ANKH1_HUMAN Ankyrin repeat and KH domain-containing protein 1 OS=Homo sapiens OX=9606 GN=ANKHD1 PE=1 SV=1
+NVYKLHMNGIHPAWTGPWIQQADTCETSNQIVKIMPNWAPDPNHLGNFLPGGPVDALQPHSPIITGGYMSIPLGKSFDVFGSAMPQHFINSPAVMGSDDREMPQHQSFTSPDSLQQHMPHNGMVSQSWGSLGESVANVGFSWIGSHGVPAVFSTGAPAQAVSGGQRIRALRETGIPPPIKRDQSASVGKPQGLTPPASTSSNSASTWPHPSFHQRNFSPTGVPAPGQLFVRTGPIGSFSALPASSSSPSSGSPDISPLGVPSTSVRQSPPRFGPAKSNDPHMNELHGLVSNGLFASQVTFSADTAVRSSLPGDGWGQNAPVQSSDFLSSFHNFLTAPGFTPPLSKNAPNIHMQSGNMINVASSLQVAAPPGQPPTLTVPNTVFISQPDQHITANPVMSYFSGRPQMRHSVRPAPVALQPLNSTDQNDAAVMRPSTLTLPAPESNSAFPMSMPSLDSVSSPQAEEQTNSSTPTPSSSPHTEPAGPTNSASSSCSNATSSPTCLNAMPQDQTSVKEKTPPVASFSEMKQSSLQAQSTSAPLFTTPAQGSTIPASSVSPLSSCTSTVSSIVTAPPSTKPVCAFLQKRVSSPTRTNTVCLQQSAAVVSSVTIPCSATALGASESPLVTGNQNPLRSTNNHKPSSNTNGPNVPRVPFPGWTNPSPSFTGGFQAMPLRPHRIQQMTQAALLAFHPHPYALPLSVPFSSRVNTPVNKNLKNAPQFTSLTTAQSTVLTPAGLPFANKSSAATTGVGSSFNAHISKTSAPTRIHNKPILDELEKAPDQILANILQVAYRTSETGGRITIMREGNKDKQKDVDIHAGTVDQIATINCGGRGMIRSVVSAPVSLKKSRRVVEKWGEERKQGRKPSTLNLKINPSSLPLSVTKYSTSLSNPTVEGELRTQTKSTATSTKEEQSHLLLSPYKSSNVDMVFNLEQSKGGSSSESNCDTSDLNDSDSNGGAGGGRPEGNIKNKDAKQQALSMHQEPLILHATPPTEKTKNKKNKRNTSPTTVVNARKKGFVNTFTASTASIGITTTTTASPPEIPVEQEVDEDNEEEDEDEPLESNEKPKNEEDEEQKRKQEEKKKKRKEKRKERKAALAQKRSEERSKELDLEKLLISANKNAEAAQQDKAKVITEVCQHCKKLLEKDTITAIYRMCEIDSPFQNVEKVLYQVVKVHGKRFASMLPTIKRNDAADVDAGAQVLLQVVDFHGGNSALWLPTNGKKNRVDIHAGRHILLECFKYHGKDAAITLATDRSSPVPPANVDAGKDLLVRGVEAYGGSAAEMLPTLGTKARHEVNAKRDLLLSVVEARGQFCALTLATNRNTEIQANIDSGMDLLLKVAPVHGNMAALMLPSIGLKSGTRSNIEAGANLLIKIINVYGGSAALSLPTYDSVNRHEKNAGRALLLDVVEQRGGSCALSLPTDKTRESQAEIDGGKDLLIEVVGVHGATAALILPTFGKKDRHEIKADRAILVSVLEEHGGACALTLATDHNSETHADIDVSPYVPPMSQSAVNSTTQSCSEPTLCETTQSSSNSGTPVRTSVAAILDDLTDTLTQAPTAVMLGDPEQVLLGQDHGTIAIQPQGVIQQNGSVKQLELSNTGNSGLDSSFNCQPSSLPQVPVFDIQEASPPSQQEDDVDNDKFLITDVQPLESFHDEPLSGDGEGEPFVGRHSCQQHLSVTDKQGKTELYEKTFQQQTKMQLQREVKQLEKLIKKKKQVQEERNKKLEEIKDKNLPEGQILELSKLQAKEIASIRQGLENLKGETEEVICELPQYPHFSPLLDQDAVQLSSSKQKSTGKQVGLLAPSNEQSTRDPEQPPVVMALTHTPVRPVQSQDQSPPPLQSVDTTPVSLVNNPYDLLYSVVNTHGGKAAEILMTSGDKLRHTPDAGHALLLEVVALHGGACALSVVTHDNNATARNVNAGKSILFQVTCLHGARAAKMLPTRGGESEHELDAGAQLLVDAVDTHGNECAYTLATDGTATTAHVNAGSALLYKVLELHGEQSAEMLPTSCGLEIDAGAKILFDAVESFGGCCALTLATEQTEETQANINAGQALLLAVMEEHGERAAEMLPTYGEDNVEELNAGREILLAALEVHGGCAALTLPSEFSDAPMNVQAGSDLLLRAVEVHGDMCAEMLATHMEDTKHEQDAGAELLFRVMDLHGKYCALTLASEKFENSHTNIGAGHDLLVRAVEVHGASAAEMLPTHGNENHDEINAGENLLVKVIDVFGGACAYTLATNGTASQSNVDADHLLLLKVIDLYGGSSAAMLPTIDGKNGRDEVNAHMALLVQALEYYGASCALCLLSEGEETHENVSRGEDLLKRVANVDGDSCAEALSRTDVQGANHSNEAKMRTLAAAAEDLACSVSSTLRRLVEPDAFAKGDATSLKGIGAAELLAELRAQTEPDVTRLDAGEATSSLFIESTTKLVPNDLDEQDLIFSEVESVEDEDSGSAGGGGGTRLVAAALKFDLAADGGGTGSGSGGGGSSGVGSAPGAEGFLRVTRIGLGVGGPPPPESAGAPASRPAVSDLDEEFSTGGGGSDTLM
+>sp|Q92527|ANKR7_HUMAN Ankyrin repeat domain-containing protein 7 OS=Homo sapiens OX=9606 GN=ANKRD7 PE=2 SV=3
+MNKLFSFWKRKNETRSQGYNLREKDLKKLHRAASVGDLKKLKEYLQIKKYDVNMQDKKYRTPLHLACANGHTDVVLFLIEQQCKINVRDSENKSPLIKAVQCQNEDCATILLNFGADPDLRDIRYNTVLHYAVCGQSLSLVEKLLEYEADLEAKNKDGYTPLLVAVINNNPKMVKFLLEKGADVNASDNYQRTALILAVSGEPPCLVKLLLQQGVELCYEGIVDSQLRNMFISMVLLHRYPQFTASHGKKKHAK
+>DECOY_sp|Q92527|ANKR7_HUMAN Ankyrin repeat domain-containing protein 7 OS=Homo sapiens OX=9606 GN=ANKRD7 PE=2 SV=3
+KAHKKKGHSATFQPYRHLLVMSIFMNRLQSDVIGEYCLEVGQQLLLKVLCPPEGSVALILATRQYNDSANVDAGKELLFKVMKPNNNIVAVLLPTYGDKNKAELDAEYELLKEVLSLSQGCVAYHLVTNYRIDRLDPDAGFNLLITACDENQCQVAKILPSKNESDRVNIKCQQEILFLVVDTHGNACALHLPTRYKKDQMNVDYKKIQLYEKLKKLDGVSAARHLKKLDKERLNYGQSRTENKRKWFSFLKNM
+>sp|Q8IV38|ANKY2_HUMAN Ankyrin repeat and MYND domain-containing protein 2 OS=Homo sapiens OX=9606 GN=ANKMY2 PE=1 SV=1
+MVHIKKGELTQEEKELLEVIGKGTVQEAGTLLSSKNVRVNCLDENGMTPLMHAAYKGKLDMCKLLLRHGADVNCHQHEHGYTALMFAALSGNKDITWVMLEAGAETDVVNSVGRTAAQMAAFVGQHDCVTIINNFFPRERLDYYTKPQGLDKEPKLPPKLAGPLHKIITTTNLHPVKIVMLVNENPLLTEEAALNKCYRVMDLICEKCMKQRDMNEVLAMKMHYISCIFQKCINFLKDGENKLDTLIKSLLKGRASDGFPVYQEKIIRESIRKFPYCEATLLQQLVRSIAPVEIGSDPTAFSVLTQAITGQVGFVDVEFCTTCGEKGASKRCSVCKMVIYCDQTCQKTHWFTHKKICKNLKDIYEKQQLEAAKEKRQEENHGKLDVNSNCVNEEQPEAEVGISQKDSNPEDSGEGKKESLESEAELEGLQDAPAGPQVSEE
+>DECOY_sp|Q8IV38|ANKY2_HUMAN Ankyrin repeat and MYND domain-containing protein 2 OS=Homo sapiens OX=9606 GN=ANKMY2 PE=1 SV=1
+EESVQPGAPADQLGELEAESELSEKKGEGSDEPNSDKQSIGVEAEPQEENVCNSNVDLKGHNEEQRKEKAAELQQKEYIDKLNKCIKKHTFWHTKQCTQDCYIVMKCVSCRKSAGKEGCTTCFEVDVFGVQGTIAQTLVSFATPDSGIEVPAISRVLQQLLTAECYPFKRISERIIKEQYVPFGDSARGKLLSKILTDLKNEGDKLFNICKQFICSIYHMKMALVENMDRQKMCKECILDMVRYCKNLAAEETLLPNENVLMVIKVPHLNTTTIIKHLPGALKPPLKPEKDLGQPKTYYDLRERPFFNNIITVCDHQGVFAAMQAATRGVSNVVDTEAGAELMVWTIDKNGSLAAFMLATYGHEHQHCNVDAGHRLLLKCMDLKGKYAAHMLPTMGNEDLCNVRVNKSSLLTGAEQVTGKGIVELLEKEEQTLEGKKIHVM
+>sp|Q9NR22|ANM8_HUMAN Protein arginine N-methyltransferase 8 OS=Homo sapiens OX=9606 GN=PRMT8 PE=1 SV=2
+MGMKHSSRCLLLRRKMAENAAESTEVNSPPSQPPQPVVPAKPVQCVHHVSTQPSCPGRGKMSKLLNPEEMTSRDYYFDSYAHFGIHEEMLKDEVRTLTYRNSMYHNKHVFKDKVVLDVGSGTGILSMFAAKAGAKKVFGIECSSISDYSEKIIKANHLDNIITIFKGKVEEVELPVEKVDIIISEWMGYCLFYESMLNTVIFARDKWLKPGGLMFPDRAALYVVAIEDRQYKDFKIHWWENVYGFDMTCIRDVAMKEPLVDIVDPKQVVTNACLIKEVDIYTVKTEELSFTSAFCLQIQRNDYVHALVTYFNIEFTKCHKKMGFSTAPDAPYTHWKQTVFYLEDYLTVRRGEEIYGTISMKPNAKNVRDLDFTVDLDFKGQLCETSVSNDYKMR
+>DECOY_sp|Q9NR22|ANM8_HUMAN Protein arginine N-methyltransferase 8 OS=Homo sapiens OX=9606 GN=PRMT8 PE=1 SV=2
+RMKYDNSVSTECLQGKFDLDVTFDLDRVNKANPKMSITGYIEEGRRVTLYDELYFVTQKWHTYPADPATSFGMKKHCKTFEINFYTVLAHVYDNRQIQLCFASTFSLEETKVTYIDVEKILCANTVVQKPDVIDVLPEKMAVDRICTMDFGYVNEWWHIKFDKYQRDEIAVVYLAARDPFMLGGPKLWKDRAFIVTNLMSEYFLCYGMWESIIIDVKEVPLEVEEVKGKFITIINDLHNAKIIKESYDSISSCEIGFVKKAGAKAAFMSLIGTGSGVDLVVKDKFVHKNHYMSNRYTLTRVEDKLMEEHIGFHAYSDFYYDRSTMEEPNLLKSMKGRGPCSPQTSVHHVCQVPKAPVVPQPPQSPPSNVETSEAANEAMKRRLLLCRSSHKMGM
+>sp|Q53RE8|ANR39_HUMAN Ankyrin repeat domain-containing protein 39 OS=Homo sapiens OX=9606 GN=ANKRD39 PE=1 SV=1
+MATPRPCADGPCCSHPSAVLGVQQTLEEMDFERGIWSAALNGDLGRVKHLIQKAEDPSQPDSAGYTALHYASRNGHYAVCQFLLESGAKCDAQTHGGATALHRASYCGHTEIARLLLSHGSNPRVVDDDGMTSLHKAAERGHGDICSLLLQHSPALKAIRDRKARLACDLLPCNSDLRDLLSS
+>DECOY_sp|Q53RE8|ANR39_HUMAN Ankyrin repeat domain-containing protein 39 OS=Homo sapiens OX=9606 GN=ANKRD39 PE=1 SV=1
+SSLLDRLDSNCPLLDCALRAKRDRIAKLAPSHQLLLSCIDGHGREAAKHLSTMGDDDVVRPNSGHSLLLRAIETHGCYSARHLATAGGHTQADCKAGSELLFQCVAYHGNRSAYHLATYGASDPQSPDEAKQILHKVRGLDGNLAASWIGREFDMEELTQQVGLVASPHSCCPGDACPRPTAM
+>sp|Q8N8A2|ANR44_HUMAN Serine/threonine-protein phosphatase 6 regulatory ankyrin repeat subunit B OS=Homo sapiens OX=9606 GN=ANKRD44 PE=1 SV=3
+MAVLKLTDQPPLVQAIFSGDPEEIRMLIHKTEDVNTLDSEKRTPLHVAAFLGDAEIIELLILSGARVNAKDNMWLTPLHRAVASRSEEAVQVLIKHSADVNARDKNWQTPLHVAAANKAVKCAEVIIPLLSSVNVSDRGGRTALHHAALNGHVEMVNLLLAKGANINAFDKKDRRALHWAAYMGHLDVVALLINHGAEVTCKDKKGYTPLHAAASNGQINVVKHLLNLGVEIDEINVYGNTALHIACYNGQDAVVNELIDYGANVNQPNNNGFTPLHFAAASTHGALCLELLVNNGADVNIQSKDGKSPLHMTAVHGRFTRSQTLIQNGGEIDCVDKDGNTPLHVAARYGHELLINTLITSGADTAKCGIHSMFPLHLAALNAHSDCCRKLLSSGFEIDTPDKFGRTCLHAAAAGGNVECIKLLQSSGADFHKKDKCGRTPLHYAAANCHFHCIETLVTTGANVNETDDWGRTALHYAAASDMDRNKTILGNAHDNSEELERARELKEKEATLCLEFLLQNDANPSIRDKEGYNSIHYAAAYGHRQCLELLLERTNSGFEESDSGATKSPLHLAAYNGHHQALEVLLQSLVDLDIRDEKGRTALDLAAFKGHTECVEALINQGASIFVKDNVTKRTPLHASVINGHTLCLRLLLEIADNPEAVDVKDAKGQTPLMLAVAYGHIDAVSLLLEKEANVDTVDILGCTALHRGIMTGHEECVQMLLEQEVSILCKDSRGRTPLHYAAARGHATWLSELLQMALSEEDCCFKDNQGYTPLHWACYNGNENCIEVLLEQKCFRKFIGNPFTPLHCAIINDHGNCASLLLGAIDSSIVSCRDDKGRTPLHAAAFADHVECLQLLLRHSAPVNAVDNSGKTALMMAAENGQAGAVDILVNSAQADLTVKDKDLNTPLHLACSKGHEKCALLILDKIQDESLINEKNNALQTPLHVAARNGLKVVVEELLAKGACVLAVDENASRSNGPRSTPGTAVQKEE
+>DECOY_sp|Q8N8A2|ANR44_HUMAN Serine/threonine-protein phosphatase 6 regulatory ankyrin repeat subunit B OS=Homo sapiens OX=9606 GN=ANKRD44 PE=1 SV=3
+EEKQVATGPTSRPGNSRSANEDVALVCAGKALLEEVVVKLGNRAAVHLPTQLANNKENILSEDQIKDLILLACKEHGKSCALHLPTNLDKDKVTLDAQASNVLIDVAGAQGNEAAMMLATKGSNDVANVPASHRLLLQLCEVHDAFAAAHLPTRGKDDRCSVISSDIAGLLLSACNGHDNIIACHLPTFPNGIFKRFCKQELLVEICNENGNYCAWHLPTYGQNDKFCCDEESLAMQLLESLWTAHGRAAAYHLPTRGRSDKCLISVEQELLMQVCEEHGTMIGRHLATCGLIDVTDVNAEKELLLSVADIHGYAVALMLPTQGKADKVDVAEPNDAIELLLRLCLTHGNIVSAHLPTRKTVNDKVFISAGQNILAEVCETHGKFAALDLATRGKEDRIDLDVLSQLLVELAQHHGNYAALHLPSKTAGSDSEEFGSNTRELLLELCQRHGYAAAYHISNYGEKDRISPNADNQLLFELCLTAEKEKLERARELEESNDHANGLITKNRDMDSAAAYHLATRGWDDTENVNAGTTVLTEICHFHCNAAAYHLPTRGCKDKKHFDAGSSQLLKICEVNGGAAAAHLCTRGFKDPTDIEFGSSLLKRCCDSHANLAALHLPFMSHIGCKATDAGSTILTNILLEHGYRAAVHLPTNGDKDVCDIEGGNQILTQSRTFRGHVATMHLPSKGDKSQINVDAGNNVLLELCLAGHTSAAAFHLPTFGNNNPQNVNAGYDILENVVADQGNYCAIHLATNGYVNIEDIEVGLNLLHKVVNIQGNSAAAHLPTYGKKDKCTVEAGHNILLAVVDLHGMYAAWHLARRDKKDFANINAGKALLLNVMEVHGNLAAHHLATRGGRDSVNVSSLLPIIVEACKVAKNAAAVHLPTQWNKDRANVDASHKILVQVAEESRSAVARHLPTLWMNDKANVRAGSLILLEIIEADGLFAAVHLPTRKESDLTNVDETKHILMRIEEPDGSFIAQVLPPQDTLKLVAM
+>sp|P50995|ANX11_HUMAN Annexin A11 OS=Homo sapiens OX=9606 GN=ANXA11 PE=1 SV=1
+MSYPGYPPPPGGYPPAAPGGGPWGGAAYPPPPSMPPIGLDNVATYAGQFNQDYLSGMAANMSGTFGGANMPNLYPGAPGAGYPPVPPGGFGQPPSAQQPVPPYGMYPPPGGNPPSRMPSYPPYPGAPVPGQPMPPPGQQPPGAYPGQPPVTYPGQPPVPLPGQQQPVPSYPGYPGSGTVTPAVPPTQFGSRGTITDAPGFDPLRDAEVLRKAMKGFGTDEQAIIDCLGSRSNKQRQQILLSFKTAYGKDLIKDLKSELSGNFEKTILALMKTPVLFDIYEIKEAIKGVGTDEACLIEILASRSNEHIRELNRAYKAEFKKTLEEAIRSDTSGHFQRLLISLSQGNRDESTNVDMSLAQRDAQELYAAGENRLGTDESKFNAVLCSRSRAHLVAVFNEYQRMTGRDIEKSICREMSGDLEEGMLAVVKCLKNTPAFFAERLNKAMRGAGTKDRTLIRIMVSRSETDLLDIRSEYKRMYGKSLYHDISGDTSGDYRKILLKICGGND
+>DECOY_sp|P50995|ANX11_HUMAN Annexin A11 OS=Homo sapiens OX=9606 GN=ANXA11 PE=1 SV=1
+DNGGCIKLLIKRYDGSTDGSIDHYLSKGYMRKYESRIDLLDTESRSVMIRILTRDKTGAGRMAKNLREAFFAPTNKLCKVVALMGEELDGSMERCISKEIDRGTMRQYENFVAVLHARSRSCLVANFKSEDTGLRNEGAAYLEQADRQALSMDVNTSEDRNGQSLSILLRQFHGSTDSRIAEELTKKFEAKYARNLERIHENSRSALIEILCAEDTGVGKIAEKIEYIDFLVPTKMLALITKEFNGSLESKLDKILDKGYATKFSLLIQQRQKNSRSGLCDIIAQEDTGFGKMAKRLVEADRLPDFGPADTITGRSGFQTPPVAPTVTGSGPYGPYSPVPQQQGPLPVPPQGPYTVPPQGPYAGPPQQGPPPMPQGPVPAGPYPPYSPMRSPPNGGPPPYMGYPPVPQQASPPQGFGGPPVPPYGAGPAGPYLNPMNAGGFTGSMNAAMGSLYDQNFQGAYTAVNDLGIPPMSPPPPYAAGGWPGGGPAAPPYGGPPPPYGPYSM
+>sp|P13928|ANXA8_HUMAN Annexin A8 OS=Homo sapiens OX=9606 GN=ANXA8 PE=1 SV=3
+MAWWKSWIEQEGVTVKSSSHFNPDPDAETLYKAMKGIGTNEQAIIDVLTKRSNTQRQQIAKSFKAQFGKDLTETLKSELSGKFERLIVALMYPPYRYEAKELHDAMKGLGTKEGVIIEILASRTKNQLREIMKAYEEDYGSSLEEDIQADTSGYLERILVCLLQGSRDDVSSFVDPGLALQDAQDLYAAGEKIRGTDEMKFITILCTRSATHLLRVFEEYEKIANKSIEDSIKSETHGSLEEAMLTVVKCTQNLHSYFAERLYYAMKGAGTRDGTLIRNIVSRSEIDLNLIKCHFKKMYGKTLSSMIMEDTSGDYKNALLSLVGSDP
+>DECOY_sp|P13928|ANXA8_HUMAN Annexin A8 OS=Homo sapiens OX=9606 GN=ANXA8 PE=1 SV=3
+PDSGVLSLLANKYDGSTDEMIMSSLTKGYMKKFHCKILNLDIESRSVINRILTGDRTGAGKMAYYLREAFYSHLNQTCKVVTLMAEELSGHTESKISDEISKNAIKEYEEFVRLLHTASRTCLITIFKMEDTGRIKEGAAYLDQADQLALGPDVFSSVDDRSGQLLCVLIRELYGSTDAQIDEELSSGYDEEYAKMIERLQNKTRSALIEIIVGEKTGLGKMADHLEKAEYRYPPYMLAVILREFKGSLESKLTETLDKGFQAKFSKAIQQRQTNSRKTLVDIIAQENTGIGKMAKYLTEADPDPNFHSSSKVTVGEQEIWSKWWAM
+>sp|P28039|AOAH_HUMAN Acyloxyacyl hydrolase OS=Homo sapiens OX=9606 GN=AOAH PE=1 SV=1
+MQSPWKILTVAPLFLLLSLQSSASPANDDQSRPSLSNGHTCVGCVLVVSVIEQLAQVHNSTVQASMERLCSYLPEKLFLKTTCYLVIDKFGSDIIKLLSADMNADVVCHTLEFCKQNTGQPLCHLYPLPKETWKFTLQKARQIVKKSPILKYSRSGSDICSLPVLAKICQKIKLAMEQSVPFKDVDSDKYSVFPTLRGYHWRGRDCNDSDESVYPGRRPNNWDVHQDSNCNGIWGVDPKDGVPYEKKFCEGSQPRGIILLGDSAGAHFHISPEWITASQMSLNSFINLPTALTNELDWPQLSGATGFLDSTVGIKEKSIYLRLWKRNHCNHRDYQNISRNGASSRNLKKFIESLSRNKVLDYPAIVIYAMIGNDVCSGKSDPVPAMTTPEKLYSNVMQTLKHLNSHLPNGSHVILYGLPDGTFLWDNLHNRYHPLGQLNKDMTYAQLYSFLNCLQVSPCHGWMSSNKTLRTLTSERAEQLSNTLKKIAASEKFTNFNLFYMDFAFHEIIQEWQKRGGQPWQLIEPVDGFHPNEVALLLLADHFWKKVQLQWPQILGKENPFNPQIKQVFGDQGGH
+>DECOY_sp|P28039|AOAH_HUMAN Acyloxyacyl hydrolase OS=Homo sapiens OX=9606 GN=AOAH PE=1 SV=1
+HGGQDGFVQKIQPNFPNEKGLIQPWQLQVKKWFHDALLLLAVENPHFGDVPEILQWPQGGRKQWEQIIEHFAFDMYFLNFNTFKESAAIKKLTNSLQEARESTLTRLTKNSSMWGHCPSVQLCNLFSYLQAYTMDKNLQGLPHYRNHLNDWLFTGDPLGYLIVHSGNPLHSNLHKLTQMVNSYLKEPTTMAPVPDSKGSCVDNGIMAYIVIAPYDLVKNRSLSEIFKKLNRSSAGNRSINQYDRHNCHNRKWLRLYISKEKIGVTSDLFGTAGSLQPWDLENTLATPLNIFSNLSMQSATIWEPSIHFHAGASDGLLIIGRPQSGECFKKEYPVGDKPDVGWIGNCNSDQHVDWNNPRRGPYVSEDSDNCDRGRWHYGRLTPFVSYKDSDVDKFPVSQEMALKIKQCIKALVPLSCIDSGSRSYKLIPSKKVIQRAKQLTFKWTEKPLPYLHCLPQGTNQKCFELTHCVVDANMDASLLKIIDSGFKDIVLYCTTKLFLKEPLYSCLREMSAQVTSNHVQALQEIVSVVLVCGVCTHGNSLSPRSQDDNAPSASSQLSLLLFLPAVTLIKWPSQM
+>sp|P19801|AOC1_HUMAN Amiloride-sensitive amine oxidase [copper-containing] OS=Homo sapiens OX=9606 GN=AOC1 PE=1 SV=4
+MPALGWAVAAILMLQTAMAEPSPGTLPRKAGVFSDLSNQELKAVHSFLWSKKELRLQPSSTTTMAKNTVFLIEMLLPKKYHVLRFLDKGERHPVREARAVIFFGDQEHPNVTEFAVGPLPGPCYMRALSPRPGYQSSWASRPISTAEYALLYHTLQEATKPLHQFFLNTTGFSFQDCHDRCLAFTDVAPRGVASGQRRSWLIIQRYVEGYFLHPTGLELLVDHGSTDAGHWAVEQVWYNGKFYGSPEELARKYADGEVDVVVLEDPLPGGKGHDSTEEPPLFSSHKPRGDFPSPIHVSGPRLVQPHGPRFRLEGNAVLYGGWSFAFRLRSSSGLQVLNVHFGGERIAYEVSVQEAVALYGGHTPAGMQTKYLDVGWGLGSVTHELAPGIDCPETATFLDTFHYYDADDPVHYPRALCLFEMPTGVPLRRHFNSNFKGGFNFYAGLKGQVLVLRTTSTVYNYDYIWDFIFYPNGVMEAKMHATGYVHATFYTPEGLRHGTRLHTHLIGNIHTHLVHYRVDLDVAGTKNSFQTLQMKLENITNPWSPRHRVVQPTLEQTQYSWERQAAFRFKRKLPKYLLFTSPQENPWGHKRTYRLQIHSMADQVLPPGWQEEQAITWARYPLAVTKYRESELCSSSIYHQNDPWHPPVVFEQFLHNNENIENEDLVAWVTVGFLHIPHSEDIPNTATPGNSVGFLLRPFNFFPEDPSLASRDTVIVWPRDNGPNYVQRWIPEDRDCSMPPPFSYNGTYRPV
+>DECOY_sp|P19801|AOC1_HUMAN Amiloride-sensitive amine oxidase [copper-containing] OS=Homo sapiens OX=9606 GN=AOC1 PE=1 SV=4
+VPRYTGNYSFPPPMSCDRDEPIWRQVYNPGNDRPWVIVTDRSALSPDEPFFNFPRLLFGVSNGPTATNPIDESHPIHLFGVTVWAVLDENEINENNHLFQEFVVPPHWPDNQHYISSSCLESERYKTVALPYRAWTIAQEEQWGPPLVQDAMSHIQLRYTRKHGWPNEQPSTFLLYKPLKRKFRFAAQREWSYQTQELTPQVVRHRPSWPNTINELKMQLTQFSNKTGAVDLDVRYHVLHTHINGILHTHLRTGHRLGEPTYFTAHVYGTAHMKAEMVGNPYFIFDWIYDYNYVTSTTRLVLVQGKLGAYFNFGGKFNSNFHRRLPVGTPMEFLCLARPYHVPDDADYYHFTDLFTATEPCDIGPALEHTVSGLGWGVDLYKTQMGAPTHGGYLAVAEQVSVEYAIREGGFHVNLVQLGSSSRLRFAFSWGGYLVANGELRFRPGHPQVLRPGSVHIPSPFDGRPKHSSFLPPEETSDHGKGGPLPDELVVVDVEGDAYKRALEEPSGYFKGNYWVQEVAWHGADTSGHDVLLELGTPHLFYGEVYRQIILWSRRQGSAVGRPAVDTFALCRDHCDQFSFGTTNLFFQHLPKTAEQLTHYLLAYEATSIPRSAWSSQYGPRPSLARMYCPGPLPGVAFETVNPHEQDGFFIVARAERVPHREGKDLFRLVHYKKPLLMEILFVTNKAMTTTSSPQLRLEKKSWLFSHVAKLEQNSLDSFVGAKRPLTGPSPEAMATQLMLIAAVAWGLAPM
+>sp|Q16853|AOC3_HUMAN Membrane primary amine oxidase OS=Homo sapiens OX=9606 GN=AOC3 PE=1 SV=3
+MNQKTILVLLILAVITIFALVCVLLVGRGGDGGEPSQLPHCPSVSPSAQPWTHPGQSQLFADLSREELTAVMRFLTQRLGPGLVDAAQARPSDNCVFSVELQLPPKAAALAHLDRGSPPPAREALAIVFFGRQPQPNVSELVVGPLPHPSYMRDVTVERHGGPLPYHRRPVLFQEYLDIDQMIFNRELPQASGLLHHCCFYKHRGRNLVTMTTAPRGLQSGDRATWFGLYYNISGAGFFLHHVGLELLVNHKALDPARWTIQKVFYQGRYYDSLAQLEAQFEAGLVNVVLIPDNGTGGSWSLKSPVPPGPAPPLQFYPQGPRFSVQGSRVASSLWTFSFGLGAFSGPRIFDVRFQGERLVYEISLQEALAIYGGNSPAAMTTRYVDGGFGMGKYTTPLTRGVDCPYLATYVDWHFLLESQAPKTIRDAFCVFEQNQGLPLRRHHSDLYSHYFGGLAETVLVVRSMSTLLNYDYVWDTVFHPSGAIEIRFYATGYISSAFLFGATGKYGNQVSEHTLGTVHTHSAHFKVDLDVAGLENWVWAEDMVFVPMAVPWSPEHQLQRLQVTRKLLEMEEQAAFLVGSATPRYLYLASNHSNKWGHPRGYRIQMLSFAGEPLPQNSSMARGFSWERYQLAVTQRKEEEPSSSSVFNQNDPWAPTVDFSDFINNETIAGKDLVAWVTAGFLHIPHAEDIPNTVTVGNGVGFFLRPYNFFDEDPSFYSADSIYFRGDQDAGACEVNPLACLPQAAACAPDLPAFSHGGFSHN
+>DECOY_sp|Q16853|AOC3_HUMAN Membrane primary amine oxidase OS=Homo sapiens OX=9606 GN=AOC3 PE=1 SV=3
+NHSFGGHSFAPLDPACAAAQPLCALPNVECAGADQDGRFYISDASYFSPDEDFFNYPRLFFGVGNGVTVTNPIDEAHPIHLFGATVWAVLDKGAITENNIFDSFDVTPAWPDNQNFVSSSSPEEEKRQTVALQYREWSFGRAMSSNQPLPEGAFSLMQIRYGRPHGWKNSHNSALYLYRPTASGVLFAAQEEMELLKRTVQLRQLQHEPSWPVAMPVFVMDEAWVWNELGAVDLDVKFHASHTHVTGLTHESVQNGYKGTAGFLFASSIYGTAYFRIEIAGSPHFVTDWVYDYNLLTSMSRVVLVTEALGGFYHSYLDSHHRRLPLGQNQEFVCFADRITKPAQSELLFHWDVYTALYPCDVGRTLPTTYKGMGFGGDVYRTTMAAPSNGGYIALAEQLSIEYVLREGQFRVDFIRPGSFAGLGFSFTWLSSAVRSGQVSFRPGQPYFQLPPAPGPPVPSKLSWSGGTGNDPILVVNVLGAEFQAELQALSDYYRGQYFVKQITWRAPDLAKHNVLLELGVHHLFFGAGSINYYLGFWTARDGSQLGRPATTMTVLNRGRHKYFCCHHLLGSAQPLERNFIMQDIDLYEQFLVPRRHYPLPGGHREVTVDRMYSPHPLPGVVLESVNPQPQRGFFVIALAERAPPPSGRDLHALAAAKPPLQLEVSFVCNDSPRAQAADVLGPGLRQTLFRMVATLEERSLDAFLQSQGPHTWPQASPSVSPCHPLQSPEGGDGGRGVLLVCVLAFITIVALILLVLITKQNM
+>sp|O60641|AP180_HUMAN Clathrin coat assembly protein AP180 OS=Homo sapiens OX=9606 GN=SNAP91 PE=1 SV=2
+MSGQTLTDRIAAAQYSVTGSAVARAVCKATTHEVMGPKKKHLDYLIQATNETNVNIPQMADTLFERATNSSWVVVFKALVTTHHLMVHGNERFIQYLASRNTLFNLSNFLDKSGSHGYDMSTFIRRYSRYLNEKAFSYRQMAFDFARVKKGADGVMRTMAPEKLLKSMPILQGQIDALLEFDVHPNELTNGVINAAFMLLFKDLIKLFACYNDGVINLLEKFFEMKKGQCKDALEIYKRFLTRMTRVSEFLKVAEQVGIDKGDIPDLTQAPSSLMETLEQHLNTLEGKKPGNNEGSGAPSPLSKSSPATTVTSPNSTPAKTIDTSPPVDLFATASAAVPVSTSKPSSDLLDLQPDFSSGGAAAAAAPAPPPPAGGATAWGDLLGEDSLAALSSVPSEAQISDPFAPEPTPPTTTAEIATASASASTTTTVTAVTAEVDLFGDAFAASPGEAPAASEGAAAPATPTPVAAALDACSGNDPFAPSEGSAEAAPELDLFAMKPPETSVPVVTPTASTAPPVPATAPSPAPAVAAAAAATTAATAAATTTTTTSAATATTAPPALDIFGDLFESTPEVAAAPKPDAAPSIDLFSTDAFSSPPQGASPVPESSLTADLLSVDAFAAPSPATTASPAKVDSSGVIDLFGDAFGSSASEPQPASQAASSSSASADLLAGFGGSFMAPSPSPVTPAQNNLLQPNFEAAFGTTPSTSSSSSFDPSVFDGLGDLLMPTMAPAGQPAPVSMVPPSPAMAASKALGSDLDSSLASLVGNLGISGTTTKKGDLQWNAGEKKLTGGANWQPKVAPATWSAGVPPSAPLQGAVPPTSSVPPVAGAPSVGQPGAGFGMPPAGTGMPMMPQQPVMFAQPMMRPPFGAAAVPGTQLSPSPTPASQSPKKPPAKDPLADLNIKDFL
+>DECOY_sp|O60641|AP180_HUMAN Clathrin coat assembly protein AP180 OS=Homo sapiens OX=9606 GN=SNAP91 PE=1 SV=2
+LFDKINLDALPDKAPPKKPSQSAPTPSPSLQTGPVAAAGFPPRMMPQAFMVPQQPMMPMGTGAPPMGFGAGPQGVSPAGAVPPVSSTPPVAGQLPASPPVGASWTAPAVKPQWNAGGTLKKEGANWQLDGKKTTTGSIGLNGVLSALSSDLDSGLAKSAAMAPSPPVMSVPAPQGAPAMTPMLLDGLGDFVSPDFSSSSSTSPTTGFAAEFNPQLLNNQAPTVPSPSPAMFSGGFGALLDASASSSSAAQSAPQPESASSGFADGFLDIVGSSDVKAPSATTAPSPAAFADVSLLDATLSSEPVPSAGQPPSSFADTSFLDISPAADPKPAAAVEPTSEFLDGFIDLAPPATTATAASTTTTTTAAATAATTAAAAAAVAPAPSPATAPVPPATSATPTVVPVSTEPPKMAFLDLEPAAEASGESPAFPDNGSCADLAAAVPTPTAPAAAGESAAPAEGPSAAFADGFLDVEATVATVTTTTSASASATAIEATTTPPTPEPAFPDSIQAESPVSSLAALSDEGLLDGWATAGGAPPPPAPAAAAAAGGSSFDPQLDLLDSSPKSTSVPVAASATAFLDVPPSTDITKAPTSNPSTVTTAPSSKSLPSPAGSGENNGPKKGELTNLHQELTEMLSSPAQTLDPIDGKDIGVQEAVKLFESVRTMRTLFRKYIELADKCQGKKMEFFKELLNIVGDNYCAFLKILDKFLLMFAANIVGNTLENPHVDFELLADIQGQLIPMSKLLKEPAMTRMVGDAGKKVRAFDFAMQRYSFAKENLYRSYRRIFTSMDYGHSGSKDLFNSLNFLTNRSALYQIFRENGHVMLHHTTVLAKFVVVWSSNTAREFLTDAMQPINVNTENTAQILYDLHKKKPGMVEHTTAKCVARAVASGTVSYQAAAIRDTLTQGSM
+>sp|P61966|AP1S1_HUMAN AP-1 complex subunit sigma-1A OS=Homo sapiens OX=9606 GN=AP1S1 PE=1 SV=1
+MMRFMLLFSRQGKLRLQKWYLATSDKERKKMVRELMQVVLARKPKMCSFLEWRDLKVVYKRYASLYFCCAIEGQDNELITLELIHRYVELLDKYFGSVCELDIIFNFEKAYFILDEFLMGGDVQDTSKKSVLKAIEQADLLQEEDESPRSVLEEMGLA
+>DECOY_sp|P61966|AP1S1_HUMAN AP-1 complex subunit sigma-1A OS=Homo sapiens OX=9606 GN=AP1S1 PE=1 SV=1
+ALGMEELVSRPSEDEEQLLDAQEIAKLVSKKSTDQVDGGMLFEDLIFYAKEFNFIIDLECVSGFYKDLLEVYRHILELTILENDQGEIACCFYLSAYRKYVVKLDRWELFSCMKPKRALVVQMLERVMKKREKDSTALYWKQLRLKGQRSFLLMFRMM
+>sp|O95782|AP2A1_HUMAN AP-2 complex subunit alpha-1 OS=Homo sapiens OX=9606 GN=AP2A1 PE=1 SV=3
+MPAVSKGDGMRGLAVFISDIRNCKSKEAEIKRINKELANIRSKFKGDKALDGYSKKKYVCKLLFIFLLGHDIDFGHMEAVNLLSSNKYTEKQIGYLFISVLVNSNSELIRLINNAIKNDLASRNPTFMCLALHCIANVGSREMGEAFAADIPRILVAGDSMDSVKQSAALCLLRLYKASPDLVPMGEWTARVVHLLNDQHMGVVTAAVSLITCLCKKNPDDFKTCVSLAVSRLSRIVSSASTDLQDYTYYFVPAPWLSVKLLRLLQCYPPPEDAAVKGRLVECLETVLNKAQEPPKSKKVQHSNAKNAILFETISLIIHYDSEPNLLVRACNQLGQFLQHRETNLRYLALESMCTLASSEFSHEAVKTHIDTVINALKTERDVSVRQRAADLLYAMCDRSNAKQIVSEMLRYLETADYAIREEIVLKVAILAEKYAVDYSWYVDTILNLIRIAGDYVSEEVWYRVLQIVTNRDDVQGYAAKTVFEALQAPACHENMVKVGGYILGEFGNLIAGDPRSSPPVQFSLLHSKFHLCSVATRALLLSTYIKFINLFPETKATIQGVLRAGSQLRNADVELQQRAVEYLTLSSVASTDVLATVLEEMPPFPERESSILAKLKRKKGPGAGSALDDGRRDPSSNDINGGMEPTPSTVSTPSPSADLLGLRAAPPPAAPPASAGAGNLLVDVFDGPAAQPSLGPTPEEAFLSELEPPAPESPMALLADPAPAADPGPEDIGPPIPEADELLNKFVCKNNGVLFENQLLQIGVKSEFRQNLGRMYLFYGNKTSVQFQNFSPTVVHPGDLQTQLAVQTKRVAAQVDGGAQVQQVLNIECLRDFLTPPLLSVRFRYGGAPQALTLKLPVTINKFFQPTEMAAQDFFQRWKQLSLPQQEAQKIFKANHPMDAEVTKAKLLGFGSALLDNVDPNPENFVGAGIIQTKALQVGCLLRLEPNAQAQMYRLTLRTSKEPVSRHLCELLAQQF
+>DECOY_sp|O95782|AP2A1_HUMAN AP-2 complex subunit alpha-1 OS=Homo sapiens OX=9606 GN=AP2A1 PE=1 SV=3
+FQQALLECLHRSVPEKSTRLTLRYMQAQANPELRLLCGVQLAKTQIIGAGVFNEPNPDVNDLLASGFGLLKAKTVEADMPHNAKFIKQAEQQPLSLQKWRQFFDQAAMETPQFFKNITVPLKLTLAQPAGGYRFRVSLLPPTLFDRLCEINLVQQVQAGGDVQAAVRKTQVALQTQLDGPHVVTPSFNQFQVSTKNGYFLYMRGLNQRFESKVGIQLLQNEFLVGNNKCVFKNLLEDAEPIPPGIDEPGPDAAPAPDALLAMPSEPAPPELESLFAEEPTPGLSPQAAPGDFVDVLLNGAGASAPPAAPPPAARLGLLDASPSPTSVTSPTPEMGGNIDNSSPDRRGDDLASGAGPGKKRKLKALISSEREPFPPMEELVTALVDTSAVSSLTLYEVARQQLEVDANRLQSGARLVGQITAKTEPFLNIFKIYTSLLLARTAVSCLHFKSHLLSFQVPPSSRPDGAILNGFEGLIYGGVKVMNEHCAPAQLAEFVTKAAYGQVDDRNTVIQLVRYWVEESVYDGAIRILNLITDVYWSYDVAYKEALIAVKLVIEERIAYDATELYRLMESVIQKANSRDCMAYLLDAARQRVSVDRETKLANIVTDIHTKVAEHSFESSALTCMSELALYRLNTERHQLFQGLQNCARVLLNPESDYHIILSITEFLIANKANSHQVKKSKPPEQAKNLVTELCEVLRGKVAADEPPPYCQLLRLLKVSLWPAPVFYYTYDQLDTSASSVIRSLRSVALSVCTKFDDPNKKCLCTILSVAATVVGMHQDNLLHVVRATWEGMPVLDPSAKYLRLLCLAASQKVSDMSDGAVLIRPIDAAFAEGMERSGVNAICHLALCMFTPNRSALDNKIANNILRILESNSNVLVSIFLYGIQKETYKNSSLLNVAEMHGFDIDHGLLFIFLLKCVYKKKSYGDLAKDGKFKSRINALEKNIRKIEAEKSKCNRIDSIFVALGRMGDGKSVAPM
+>sp|P05549|AP2A_HUMAN Transcription factor AP-2-alpha OS=Homo sapiens OX=9606 GN=TFAP2A PE=1 SV=1
+MLWKLTDNIKYEDCEDRHDGTSNGTARLPQLGTVGQSPYTSAPPLSHTPNADFQPPYFPPPYQPIYPQSQDPYSHVNDPYSLNPLHAQPQPQHPGWPGQRQSQESGLLHTHRGLPHQLSGLDPRRDYRRHEDLLHGPHALSSGLGDLSIHSLPHAIEEVPHVEDPGINIPDQTVIKKGPVSLSKSNSNAVSAIPINKDNLFGGVVNPNEVFCSVPGRLSLLSSTSKYKVTVAEVQRRLSPPECLNASLLGGVLRRAKSKNGGRSLREKLDKIGLNLPAGRRKAANVTLLTSLVEGEAVHLARDFGYVCETEFPAKAVAEFLNRQHSDPNEQVTRKNMLLATKQICKEFTDLLAQDRSPLGNSRPNPILEPGIQSCLTHFNLISHGFGSPAVCAAVTALQNYLTEALKAMDKMYLSNNPNSHTDNNAKSSDKEEKHRK
+>DECOY_sp|P05549|AP2A_HUMAN Transcription factor AP-2-alpha OS=Homo sapiens OX=9606 GN=TFAP2A PE=1 SV=1
+KRHKEEKDSSKANNDTHSNPNNSLYMKDMAKLAETLYNQLATVAACVAPSGFGHSILNFHTLCSQIGPELIPNPRSNGLPSRDQALLDTFEKCIQKTALLMNKRTVQENPDSHQRNLFEAVAKAPFETECVYGFDRALHVAEGEVLSTLLTVNAAKRRGAPLNLGIKDLKERLSRGGNKSKARRLVGGLLSANLCEPPSLRRQVEAVTVKYKSTSSLLSLRGPVSCFVENPNVVGGFLNDKNIPIASVANSNSKSLSVPGKKIVTQDPINIGPDEVHPVEEIAHPLSHISLDGLGSSLAHPGHLLDEHRRYDRRPDLGSLQHPLGRHTHLLGSEQSQRQGPWGPHQPQPQAHLPNLSYPDNVHSYPDQSQPYIPQYPPPFYPPQFDANPTHSLPPASTYPSQGVTGLQPLRATGNSTGDHRDECDEYKINDTLKWLM
+>sp|O00213|APBB1_HUMAN Amyloid-beta A4 precursor protein-binding family B member 1 OS=Homo sapiens OX=9606 GN=APBB1 PE=1 SV=2
+MSVPSSLSQSAINANSHGGPALSLPLPLHAAHNQLLNAKLQATAVGPKDLRSAMGEGGGPEPGPANAKWLKEGQNQLRRAATAHRDQNRNVTLTLAEEASQEPEMAPLGPKGLIHLYSELELSAHNAANRGLRGPGLIISTQEQGPDEGEEKAAGEAEEEEEDDDDEEEEEDLSSPPGLPEPLESVEAPPRPQALTDGPREHSKSASLLFGMRNSAASDEDSSWATLSQGSPSYGSPEDTDSFWNPNAFETDSDLPAGWMRVQDTSGTYYWHIPTGTTQWEPPGRASPSQGSSPQEESQLTWTGFAHGEGFEDGEFWKDEPSDEAPMELGLKEPEEGTLTFPAQSLSPEPLPQEEEKLPPRNTNPGIKCFAVRSLGWVEMTEEELAPGRSSVAVNNCIRQLSYHKNNLHDPMSGGWGEGKDLLLQLEDETLKLVEPQSQALLHAQPIISIRVWGVGRDSGRERDFAYVARDKLTQMLKCHVFRCEAPAKNIATSLHEICSKIMAERRNARCLVNGLSLDHSKLVDVPFQVEFPAPKNELVQKFQVYYLGNVPVAKPVGVDVINGALESVLSSSSREQWTPSHVSVAPATLTILHQQTEAVLGECRVRFLSFLAVGRDVHTFAFIMAAGPASFCCHMFWCEPNAASLSEAVQAACMLRYQKCLDARSQASTSCLPAPPAESVARRVGWTVRRGVQSLWGSLKPKRLGAHTP
+>DECOY_sp|O00213|APBB1_HUMAN Amyloid-beta A4 precursor protein-binding family B member 1 OS=Homo sapiens OX=9606 GN=APBB1 PE=1 SV=2
+PTHAGLRKPKLSGWLSQVGRRVTWGVRRAVSEAPPAPLCSTSAQSRADLCKQYRLMCAAQVAESLSAANPECWFMHCCFSAPGAAMIFAFTHVDRGVALFSLFRVRCEGLVAETQQHLITLTAPAVSVHSPTWQERSSSSLVSELAGNIVDVGVPKAVPVNGLYYVQFKQVLENKPAPFEVQFPVDVLKSHDLSLGNVLCRANRREAMIKSCIEHLSTAINKAPAECRFVHCKLMQTLKDRAVYAFDRERGSDRGVGWVRISIIPQAHLLAQSQPEVLKLTEDELQLLLDKGEGWGGSMPDHLNNKHYSLQRICNNVAVSSRGPALEEETMEVWGLSRVAFCKIGPNTNRPPLKEEEQPLPEPSLSQAPFTLTGEEPEKLGLEMPAEDSPEDKWFEGDEFGEGHAFGTWTLQSEEQPSSGQSPSARGPPEWQTTGTPIHWYYTGSTDQVRMWGAPLDSDTEFANPNWFSDTDEPSGYSPSGQSLTAWSSDEDSAASNRMGFLLSASKSHERPGDTLAQPRPPAEVSELPEPLGPPSSLDEEEEEDDDDEEEEEAEGAAKEEGEDPGQEQTSIILGPGRLGRNAANHASLELESYLHILGKPGLPAMEPEQSAEEALTLTVNRNQDRHATAARRLQNQGEKLWKANAPGPEPGGGEGMASRLDKPGVATAQLKANLLQNHAAHLPLPLSLAPGGHSNANIASQSLSSPVSM
+>sp|P02647|APOA1_HUMAN Apolipoprotein A-I OS=Homo sapiens OX=9606 GN=APOA1 PE=1 SV=1
+MKAAVLTLAVLFLTGSQARHFWQQDEPPQSPWDRVKDLATVYVDVLKDSGRDYVSQFEGSALGKQLNLKLLDNWDSVTSTFSKLREQLGPVTQEFWDNLEKETEGLRQEMSKDLEEVKAKVQPYLDDFQKKWQEEMELYRQKVEPLRAELQEGARQKLHELQEKLSPLGEEMRDRARAHVDALRTHLAPYSDELRQRLAARLEALKENGGARLAEYHAKATEHLSTLSEKAKPALEDLRQGLLPVLESFKVSFLSALEEYTKKLNTQ
+>DECOY_sp|P02647|APOA1_HUMAN Apolipoprotein A-I OS=Homo sapiens OX=9606 GN=APOA1 PE=1 SV=1
+QTNLKKTYEELASLFSVKFSELVPLLGQRLDELAPKAKESLTSLHETAKAHYEALRAGGNEKLAELRAALRQRLEDSYPALHTRLADVHARARDRMEEGLPSLKEQLEHLKQRAGEQLEARLPEVKQRYLEMEEQWKKQFDDLYPQVKAKVEELDKSMEQRLGETEKELNDWFEQTVPGLQERLKSFTSTVSDWNDLLKLNLQKGLASGEFQSVYDRGSDKLVDVYVTALDKVRDWPSQPPEDQQWFHRAQSGTLFLVALTLVAAKM
+>sp|P02649|APOE_HUMAN Apolipoprotein E OS=Homo sapiens OX=9606 GN=APOE PE=1 SV=1
+MKVLWAALLVTFLAGCQAKVEQAVETEPEPELRQQTEWQSGQRWELALGRFWDYLRWVQTLSEQVQEELLSSQVTQELRALMDETMKELKAYKSELEEQLTPVAEETRARLSKELQAAQARLGADMEDVCGRLVQYRGEVQAMLGQSTEELRVRLASHLRKLRKRLLRDADDLQKRLAVYQAGAREGAERGLSAIRERLGPLVEQGRVRAATVGSLAGQPLQERAQAWGERLRARMEEMGSRTRDRLDEVKEQVAEVRAKLEEQAQQIRLQAEAFQARLKSWFEPLVEDMQRQWAGLVEKVQAAVGTSAAPVPSDNH
+>DECOY_sp|P02649|APOE_HUMAN Apolipoprotein E OS=Homo sapiens OX=9606 GN=APOE PE=1 SV=1
+HNDSPVPAASTGVAAQVKEVLGAWQRQMDEVLPEFWSKLRAQFAEAQLRIQQAQEELKARVEAVQEKVEDLRDRTRSGMEEMRARLREGWAQAREQLPQGALSGVTAARVRGQEVLPGLRERIASLGREAGERAGAQYVALRKQLDDADRLLRKRLKRLHSALRVRLEETSQGLMAQVEGRYQVLRGCVDEMDAGLRAQAAQLEKSLRARTEEAVPTLQEELESKYAKLEKMTEDMLARLEQTVQSSLLEEQVQESLTQVWRLYDWFRGLALEWRQGSQWETQQRLEPEPETEVAQEVKAQCGALFTVLLAAWLVKM
+>sp|Q13790|APOF_HUMAN Apolipoprotein F OS=Homo sapiens OX=9606 GN=APOF PE=1 SV=2
+MTGLCGYSAPDMRGLRLIMIPVELLLCYLLLHPVDATSYGKQTNVLMHFPLSLESQTPSSDPLSCQFLHPKSLPGFSHMAPLPKFLVSLALRNALEEAGCQADVWALQLQLYRQGGVNATQVLIQHLRGLQKGRSTERNVSVEALASALQLLAREQQSTGRVGRSLPTEDCENEKEQAVHNVVQLLPGVGTFYNLGTALYYATQNCLGKARERGRDGAIDLGYDLLMTMAGMSGGPMGLAISAALKPALRSGVQQLIQYYQDQKDANISQPETTKEGLRAISDVSDLEETTTLASFISEVVSSAPYWGWAIIKSYDLDPGAGSLEI
+>DECOY_sp|Q13790|APOF_HUMAN Apolipoprotein F OS=Homo sapiens OX=9606 GN=APOF PE=1 SV=2
+IELSGAGPDLDYSKIIAWGWYPASSVVESIFSALTTTEELDSVDSIARLGEKTTEPQSINADKQDQYYQILQQVGSRLAPKLAASIALGMPGGSMGAMTMLLDYGLDIAGDRGRERAKGLCNQTAYYLATGLNYFTGVGPLLQVVNHVAQEKENECDETPLSRGVRGTSQQERALLQLASALAEVSVNRETSRGKQLGRLHQILVQTANVGGQRYLQLQLAWVDAQCGAEELANRLALSVLFKPLPAMHSFGPLSKPHLFQCSLPDSSPTQSELSLPFHMLVNTQKGYSTADVPHLLLYCLLLEVPIMILRLGRMDPASYGCLGTM
+>sp|O95236|APOL3_HUMAN Apolipoprotein L3 OS=Homo sapiens OX=9606 GN=APOL3 PE=1 SV=3
+MGLGQGWGWEASCFACLIRSCCQVVTFTFPFGFQGISQSLENVSGYYADARLEVGSTQLRTAGSCSHSFKRSFLEKKRFTEEATKYFRERVSPVHLQILLTNNEAWKRFVTAAELPRDEADALYEALKKLRTYAAIEDEYVQQKDEQFREWFLKEFPQVKRKIQESIEKLRALANGIEEVHRGCTISNVVSSSTGAASGIMSLAGLVLAPFTAGTSLALTAAGVGLGAASAVTGITTSIVEHSYTSSAEAEASRLTATSIDRLKVFKEVMRDITPNLLSLLNNYYEATQTIGSEIRAIRQARARARLPVTTWRISAGSGGQAERTIAGTTRAVSRGARILSATTSGIFLALDVVNLVYESKHLHEGAKSASAEELRRQAQELEENLMELTQIYQRLNPCHTH
+>DECOY_sp|O95236|APOL3_HUMAN Apolipoprotein L3 OS=Homo sapiens OX=9606 GN=APOL3 PE=1 SV=3
+HTHCPNLRQYIQTLEMLNEELEQAQRRLEEASASKAGEHLHKSEYVLNVVDLALFIGSTTASLIRAGRSVARTTGAITREAQGGSGASIRWTTVPLRARARAQRIARIESGITQTAEYYNNLLSLLNPTIDRMVEKFVKLRDISTATLRSAEAEASSTYSHEVISTTIGTVASAAGLGVGAATLALSTGATFPALVLGALSMIGSAAGTSSSVVNSITCGRHVEEIGNALARLKEISEQIKRKVQPFEKLFWERFQEDKQQVYEDEIAAYTRLKKLAEYLADAEDRPLEAATVFRKWAENNTLLIQLHVPSVRERFYKTAEETFRKKELFSRKFSHSCSGATRLQTSGVELRADAYYGSVNELSQSIGQFGFPFTFTVVQCCSRILCAFCSAEWGWGQGLGM
+>sp|Q8IXF9|AQ12A_HUMAN Aquaporin-12A OS=Homo sapiens OX=9606 GN=AQP12A PE=2 SV=1
+MAGLNVSLSFFFATFALCEAARRASKALLPVGAYEVFAREAMRTLVELGPWAGDFGPDLLLTLLFLLFLAHGVTLDGASANPTVSLQEFLMAEQSLPGTLLKLAAQGLGMQAACTLMRLCWAWELSDLHLLQSLMAQSCSSALRTSVPHGALVEAACAFCFHLTLLHLRHSPPAYSGPAVALLVTVTAYTAGPFTSAFFNPALAASVTFACSGHTLLEYVQVYWLGPLTGMVLAVLLHQGRLPHLFQRNLFYGQKNKYRAPRGKPAPASGDTQTPAKGSSVREPGRSGVEGPHSS
+>DECOY_sp|Q8IXF9|AQ12A_HUMAN Aquaporin-12A OS=Homo sapiens OX=9606 GN=AQP12A PE=2 SV=1
+SSHPGEVGSRGPERVSSGKAPTQTDGSAPAPKGRPARYKNKQGYFLNRQFLHPLRGQHLLVALVMGTLPGLWYVQVYELLTHGSCAFTVSAALAPNFFASTFPGATYATVTVLLAVAPGSYAPPSHRLHLLTLHFCFACAAEVLAGHPVSTRLASSCSQAMLSQLLHLDSLEWAWCLRMLTCAAQMGLGQAALKLLTGPLSQEAMLFEQLSVTPNASAGDLTVGHALFLLFLLTLLLDPGFDGAWPGLEVLTRMAERAFVEYAGVPLLAKSARRAAECLAFTAFFFSLSVNLGAM
+>sp|Q96PS8|AQP10_HUMAN Aquaporin-10 OS=Homo sapiens OX=9606 GN=AQP10 PE=1 SV=2
+MVFTQAPAEIMGHLRIRSLLARQCLAEFLGVFVLMLLTQGAVAQAVTSGETKGNFFTMFLAGSLAVTIAIYVGGNVSGAHLNPAFSLAMCIVGRLPWVKLPIYILVQLLSAFCASGATYVLYHDALQNYTGGNLTVTGPKETASIFATYPAPYLSLNNGFLDQVLGTGMLIVGLLAILDRRNKGVPAGLEPVVVGMLILALGLSMGANCGIPLNPARDLGPRLFTYVAGWGPEVFSAGNGWWWVPVVAPLVGATVGTATYQLLVALHHPEGPEPAQDLVSAQHKASELETPASAQMLECKL
+>DECOY_sp|Q96PS8|AQP10_HUMAN Aquaporin-10 OS=Homo sapiens OX=9606 GN=AQP10 PE=1 SV=2
+LKCELMQASAPTELESAKHQASVLDQAPEPGEPHHLAVLLQYTATGVTAGVLPAVVPVWWWGNGASFVEPGWGAVYTFLRPGLDRAPNLPIGCNAGMSLGLALILMGVVVPELGAPVGKNRRDLIALLGVILMGTGLVQDLFGNNLSLYPAPYTAFISATEKPGTVTLNGGTYNQLADHYLVYTAGSACFASLLQVLIYIPLKVWPLRGVICMALSFAPNLHAGSVNGGVYIAITVALSGALFMTFFNGKTEGSTVAQAVAGQTLLMLVFVGLFEALCQRALLSRIRLHGMIEAPAQTFVM
+>sp|O43315|AQP9_HUMAN Aquaporin-9 OS=Homo sapiens OX=9606 GN=AQP9 PE=2 SV=2
+MQPEGAEKGKSFKQRLVLKSSLAKETLSEFLGTFILIVLGCGCVAQAILSRGRFGGVITINVGFSMAVAMAIYVAGGVSGGHINPAVSLAMCLFGRMKWFKLPFYVGAQFLGAFVGAATVFGIYYDGLMSFAGGKLLIVGENATAHIFATYPAPYLSLANAFADQVVATMILLIIVFAIFDSRNLGAPRGLEPIAIGLLIIVIASSLGLNSGCAMNPARDLSPRLFTALAGWGFEVFRAGNNFWWIPVVGPLVGAVIGGLIYVLVIEIHHPEPDSVFKTEQSEDKPEKYELSVIM
+>DECOY_sp|O43315|AQP9_HUMAN Aquaporin-9 OS=Homo sapiens OX=9606 GN=AQP9 PE=2 SV=2
+MIVSLEYKEPKDESQETKFVSDPEPHHIEIVLVYILGGIVAGVLPGVVPIWWFNNGARFVEFGWGALATFLRPSLDRAPNMACGSNLGLSSAIVIILLGIAIPELGRPAGLNRSDFIAFVIILLIMTAVVQDAFANALSLYPAPYTAFIHATANEGVILLKGGAFSMLGDYYIGFVTAAGVFAGLFQAGVYFPLKFWKMRGFLCMALSVAPNIHGGSVGGAVYIAMAVAMSFGVNITIVGGFRGRSLIAQAVCGCGLVILIFTGLFESLTEKALSSKLVLRQKFSKGKEAGEPQM
+>sp|P10398|ARAF_HUMAN Serine/threonine-protein kinase A-Raf OS=Homo sapiens OX=9606 GN=ARAF PE=1 SV=2
+MEPPRGPPANGAEPSRAVGTVKVYLPNKQRTVVTVRDGMSVYDSLDKALKVRGLNQDCCVVYRLIKGRKTVTAWDTAIAPLDGEELIVEVLEDVPLTMHNFVRKTFFSLAFCDFCLKFLFHGFRCQTCGYKFHQHCSSKVPTVCVDMSTNRQQFYHSVQDLSGGSRQHEAPSNRPLNELLTPQGPSPRTQHCDPEHFPFPAPANAPLQRIRSTSTPNVHMVSTTAPMDSNLIQLTGQSFSTDAAGSRGGSDGTPRGSPSPASVSSGRKSPHSKSPAEQRERKSLADDKKKVKNLGYRDSGYYWEVPPSEVQLLKRIGTGSFGTVFRGRWHGDVAVKVLKVSQPTAEQAQAFKNEMQVLRKTRHVNILLFMGFMTRPGFAIITQWCEGSSLYHHLHVADTRFDMVQLIDVARQTAQGMDYLHAKNIIHRDLKSNNIFLHEGLTVKIGDFGLATVKTRWSGAQPLEQPSGSVLWMAAEVIRMQDPNPYSFQSDVYAYGVVLYELMTGSLPYSHIGCRDQIIFMVGRGYLSPDLSKISSNCPKAMRRLLSDCLKFQREERPLFPQILATIELLQRSLPKIERSASEPSLHRTQADELPACLLSAARLVP
+>DECOY_sp|P10398|ARAF_HUMAN Serine/threonine-protein kinase A-Raf OS=Homo sapiens OX=9606 GN=ARAF PE=1 SV=2
+PVLRAASLLCAPLEDAQTRHLSPESASREIKPLSRQLLEITALIQPFLPREERQFKLCDSLLRRMAKPCNSSIKSLDPSLYGRGVMFIIQDRCGIHSYPLSGTMLEYLVVGYAYVDSQFSYPNPDQMRIVEAAMWLVSGSPQELPQAGSWRTKVTALGFDGIKVTLGEHLFINNSKLDRHIINKAHLYDMGQATQRAVDILQVMDFRTDAVHLHHYLSSGECWQTIIAFGPRTMFGMFLLINVHRTKRLVQMENKFAQAQEATPQSVKLVKVAVDGHWRGRFVTGFSGTGIRKLLQVESPPVEWYYGSDRYGLNKVKKKDDALSKRERQEAPSKSHPSKRGSSVSAPSPSGRPTGDSGGRSGAADTSFSQGTLQILNSDMPATTSVMHVNPTSTSRIRQLPANAPAPFPFHEPDCHQTRPSPGQPTLLENLPRNSPAEHQRSGGSLDQVSHYFQQRNTSMDVCVTPVKSSCHQHFKYGCTQCRFGHFLFKLCFDCFALSFFTKRVFNHMTLPVDELVEVILEEGDLPAIATDWATVTKRGKILRYVVCCDQNLGRVKLAKDLSDYVSMGDRVTVVTRQKNPLYVKVTGVARSPEAGNAPPGRPPEM
+>sp|Q6UW56|ARAID_HUMAN All-trans retinoic acid-induced differentiation factor OS=Homo sapiens OX=9606 GN=ATRAID PE=1 SV=2
+MAPHDPGSLTTLVPWAAALLLALGVERALALPEICTQCPGSVQNLSKVAFYCKTTRELMLHARCCLNQKGTILGLDLQNCSLEDPGPNFHQAHTTVIIDLQANPLKGDLANTFRGFTQLQTLILPQHVNCPGGINAWNTITSYIDNQICQGQKNLCNNTGDPEMCPENGSCVPDGPGLLQCVCADGFHGYKCMRQGSFSLLMFFGILGATTLSVSILLWATQRRKAKTS
+>DECOY_sp|Q6UW56|ARAID_HUMAN All-trans retinoic acid-induced differentiation factor OS=Homo sapiens OX=9606 GN=ATRAID PE=1 SV=2
+STKAKRRQTAWLLISVSLTTAGLIGFFMLLSFSGQRMCKYGHFGDACVCQLLGPGDPVCSGNEPCMEPDGTNNCLNKQGQCIQNDIYSTITNWANIGGPCNVHQPLILTQLQTFGRFTNALDGKLPNAQLDIIVTTHAQHFNPGPDELSCNQLDLGLITGKQNLCCRAHLMLERTTKCYFAVKSLNQVSGPCQTCIEPLALAREVGLALLLAAAWPVLTTLSGPDHPAM
+>sp|Q8WZ64|ARAP2_HUMAN Arf-GAP with Rho-GAP domain, ANK repeat and PH domain-containing protein 2 OS=Homo sapiens OX=9606 GN=ARAP2 PE=1 SV=3
+MSSVSEVNVDIKDFLMSINLEQYLLHFHESGFTTVKDCAAINDSLLQKIGISPTGHRRRILKQLQIILSKMQDIPIYANVHKTKKNDDPSKDYHVPSSDQNICIELSNSGSVQTSSPPQLETVRKNLEDSDASVERSQYPQSDDKLSPPKRDFPTAEEPHLNLGSLNDSLFGSDNIKIESLITKKTVDHTVEEQQTEKVKLITENLSKLPNADSECLSFVGCSTSGTNSGNGTNGLLEGSPPSPFFKFQGEMIVNDLYVPSSPILAPVRSRSKLVSRPSRSFLLRHRPVPEIPGSTKGVSGSYFRERRNVATSTEKSVAWQNSNEENSSSIFPYGETFLFQRLENSKKRSIKNEFLTQGEALKGEAATATNSFIIKSSIYDNRKEKISEDKVEDIWIPREDKNNFLIDTASESEYSTVEECFQSLRRKNSKASKSRTQKALILDSVNRHSYPLSSTSGNADSSAVSSQAISPYACFYGASAKKVKSGWLDKLSPQGKRMFQKRWVKFDGLSISYYNNEKEMYSKGIIPLSAISTVRVQGDNKFEVVTTQRTFVFRVEKEEERNDWISILLNALKSQSLTSQSQAVVTPEKCGYLELRGYKAKIFTVLSGNSVWLCKNEQDFKSGLGITIIPMNVANVKQVDRTVKQSFEIITPYRSFSFTAETEKEKQDWIEAVQQSIAETLSDYEVAEKIWFNESNRSCADCKAPDPDWASINLCVVICKKCAGQHRSLGPKDSKVRSLKMDASIWSNELIELFIVIGNKRANDFWAGNLQKDEELHMDSPVEKRKNFITQKYKEGKFRKTLLASLTKEELNKALCAAVVKPDVLETMALLFSGADVMCATGDPVHSTPYLLAKKAGQSLQMEFLYHNKFSDFPQHDIHSEGVLSQESSQSTFLCDFLYQAPSAASKLSSEKKLLEETNKKWCVLEGGFLSYYENDKSTTPNGTININEVICLAIHKEDFYLNTGPIFIFEIYLPSERVFLFGAETSQAQRKWTEAIAKHFVPLFAENLTEADYDLIGQLFYKDCHALDQWRKGWFAMDKSSLHFCLQMQEVQGDRMHLRRLQELTISTMVQNGEKLDVLLLVEKGRTLYIHGHTKLDFTVWHTAIEKAAGTDGNALQDQQLSKNDVPIIVNSCIAFVTQYGLGCKYIYQKNGDPLHISELLESFKKDARSFKLRAGKHQLEDVTAVLKSFLSDIDDALLTKELYPYWISALDTQDDKERIKKYGAFIRSLPGVNRATLAAIIEHLYRVQKCSEINHMNAHNLALVFSSCLFQTKGQTSEEVNVIEDLINNYVEIFEVKEDQVKQMDIENSFITKWKDTQVSQAGDLLIEVYVERKEPDCSIIIRISPVMEAEELTNDILAIKNIIPTKGDIWATFEVIENEELERPLHYKENVLEQVLRWSSLAEPGSAYLVVKRFLTADTIKHCSDRSTLGSIKEGILKIKEEPSKILSGNKFQDRYFVLRDGFLFLYKDVKSSKHDKMFSLSSMKFYRGVKKKMKPPTSWGLTAYSEKHHWHLCCDSSRTQTEWMTSIFIAQHEYDIWPPAGKERKRSITKNPKIGGLPLIPIQHEGNATLARKNIESARAELERLRLSEKCDKESVDSSLKERASMVAHCLEHKDDKLRNRPRKHRSFNCLEDTEPEAPLGQPKGHKGLKTLRKTEDRNSKATLDSDHKLPSRVIEELNVVLQRSRTLPKELQDEQILK
+>DECOY_sp|Q8WZ64|ARAP2_HUMAN Arf-GAP with Rho-GAP domain, ANK repeat and PH domain-containing protein 2 OS=Homo sapiens OX=9606 GN=ARAP2 PE=1 SV=3
+KLIQEDQLEKPLTRSRQLVVNLEEIVRSPLKHDSDLTAKSNRDETKRLTKLGKHGKPQGLPAEPETDELCNFSRHKRPRNRLKDDKHELCHAVMSAREKLSSDVSEKDCKESLRLRELEARASEINKRALTANGEHQIPILPLGGIKPNKTISRKREKGAPPWIDYEHQAIFISTMWETQTRSSDCCLHWHHKESYATLGWSTPPKMKKKVGRYFKMSSLSFMKDHKSSKVDKYLFLFGDRLVFYRDQFKNGSLIKSPEEKIKLIGEKISGLTSRDSCHKITDATLFRKVVLYASGPEALSSWRLVQELVNEKYHLPRELEENEIVEFTAWIDGKTPIINKIALIDNTLEEAEMVPSIRIIISCDPEKREVYVEILLDGAQSVQTDKWKTIFSNEIDMQKVQDEKVEFIEVYNNILDEIVNVEESTQGKTQFLCSSFVLALNHANMHNIESCKQVRYLHEIIAALTARNVGPLSRIFAGYKKIREKDDQTDLASIWYPYLEKTLLADDIDSLFSKLVATVDELQHKGARLKFSRADKKFSELLESIHLPDGNKQYIYKCGLGYQTVFAICSNVIIPVDNKSLQQDQLANGDTGAAKEIATHWVTFDLKTHGHIYLTRGKEVLLLVDLKEGNQVMTSITLEQLRRLHMRDGQVEQMQLCFHLSSKDMAFWGKRWQDLAHCDKYFLQGILDYDAETLNEAFLPVFHKAIAETWKRQAQSTEAGFLFVRESPLYIEFIFIPGTNLYFDEKHIALCIVENINITGNPTTSKDNEYYSLFGGELVCWKKNTEELLKKESSLKSAASPAQYLFDCLFTSQSSEQSLVGESHIDHQPFDSFKNHYLFEMQLSQGAKKALLYPTSHVPDGTACMVDAGSFLLAMTELVDPKVVAACLAKNLEEKTLSALLTKRFKGEKYKQTIFNKRKEVPSDMHLEEDKQLNGAWFDNARKNGIVIFLEILENSWISADMKLSRVKSDKPGLSRHQGACKKCIVVCLNISAWDPDPAKCDACSRNSENFWIKEAVEYDSLTEAISQQVAEIWDQKEKETEATFSFSRYPTIIEFSQKVTRDVQKVNAVNMPIITIGLGSKFDQENKCLWVSNGSLVTFIKAKYGRLELYGCKEPTVVAQSQSTLSQSKLANLLISIWDNREEEKEVRFVFTRQTTVVEFKNDGQVRVTSIASLPIIGKSYMEKENNYYSISLGDFKVWRKQFMRKGQPSLKDLWGSKVKKASAGYFCAYPSIAQSSVASSDANGSTSSLPYSHRNVSDLILAKQTRSKSAKSNKRRLSQFCEEVTSYESESATDILFNNKDERPIWIDEVKDESIKEKRNDYISSKIIFSNTATAAEGKLAEGQTLFENKISRKKSNELRQFLFTEGYPFISSSNEENSNQWAVSKETSTAVNRRERFYSGSVGKTSGPIEPVPRHRLLFSRSPRSVLKSRSRVPALIPSSPVYLDNVIMEGQFKFFPSPPSGELLGNTGNGSNTGSTSCGVFSLCESDANPLKSLNETILKVKETQQEEVTHDVTKKTILSEIKINDSGFLSDNLSGLNLHPEEATPFDRKPPSLKDDSQPYQSREVSADSDELNKRVTELQPPSSTQVSGSNSLEICINQDSSPVHYDKSPDDNKKTKHVNAYIPIDQMKSLIIQLQKLIRRRHGTPSIGIKQLLSDNIAACDKVTTFGSEHFHLLYQELNISMLFDKIDVNVESVSSM
+>sp|O15033|AREL1_HUMAN Apoptosis-resistant E3 ubiquitin protein ligase 1 OS=Homo sapiens OX=9606 GN=AREL1 PE=1 SV=3
+MFYVIGGITVSVVAFFFTIKFLFELAARVVSFLQNEDRERRGDRTIYDYVRGNYLDPRSCKVSWDWKDPYEVGHSMAFRVHLFYKNGQPFPAHRPVGLRVHISHVELAVEIPVTQEVLQEPNSNVVKVAFTVRKAGRYEITVKLGGLNVAYSPYYKIFQPGMVVPSKTKIVCHFSTLVLTCGQPHTLQIVPRDEYDNPTNNSMSLRDEHNYTLSIHELGPQEEESTGVSFEKSVTSNRQTFQVFLRLTLHSRGCFHACISYQNQPINNGEFDIIVLSEDEKNIVERNVSTSGVSIYFEAYLYNATNCSSTPWHLPPMHMTSSQRRPSTAVDEEDEDSPSECHTPEKVKKPKKVYCYVSPKQFSVKEFYLKIIPWRLYTFRVCPGTKFSYLGPDPVHKLLTLVVDDGIQPPVELSCKERNILAATFIRSLHKNIGGSETFQDKVNFFQRELRQVHMKRPHSKVTLKVSRHALLESSLKATRNFSISDWSKNFEVVFQDEEALDWGGPRREWFELICKALFDTTNQLFTRFSDNNQALVHPNPNRPAHLRLKMYEFAGRLVGKCLYESSLGGAYKQLVRARFTRSFLAQIIGLRMHYKYFETDDPEFYKSKVCFILNNDMSEMELVFAEEKYNKSGQLDKVVELMTGGAQTPVTNANKIFYLNLLAQYRLASQVKEEVEHFLKGLNELVPENLLAIFDENELELLMCGTGDISVSDFKAHAVVVGGSWHFREKVMRWFWTVVSSLTQEELARLLQFTTGSSQLPPGGFAALCPSFQIIAAPTHSTLPTAHTCFNQLCLPTYDSYEEVHRMLQLAISEGCEGFGML
+>DECOY_sp|O15033|AREL1_HUMAN Apoptosis-resistant E3 ubiquitin protein ligase 1 OS=Homo sapiens OX=9606 GN=AREL1 PE=1 SV=3
+LMGFGECGESIALQLMRHVEEYSDYTPLCLQNFCTHATPLTSHTPAAIIQFSPCLAAFGGPPLQSSGTTFQLLRALEEQTLSSVVTWFWRMVKERFHWSGGVVVAHAKFDSVSIDGTGCMLLELENEDFIALLNEPVLENLGKLFHEVEEKVQSALRYQALLNLYFIKNANTVPTQAGGTMLEVVKDLQGSKNYKEEAFVLEMESMDNNLIFCVKSKYFEPDDTEFYKYHMRLGIIQALFSRTFRARVLQKYAGGLSSEYLCKGVLRGAFEYMKLRLHAPRNPNPHVLAQNNDSFRTFLQNTTDFLAKCILEFWERRPGGWDLAEEDQFVVEFNKSWDSISFNRTAKLSSELLAHRSVKLTVKSHPRKMHVQRLERQFFNVKDQFTESGGINKHLSRIFTAALINREKCSLEVPPQIGDDVVLTLLKHVPDPGLYSFKTGPCVRFTYLRWPIIKLYFEKVSFQKPSVYCYVKKPKKVKEPTHCESPSDEDEEDVATSPRRQSSTMHMPPLHWPTSSCNTANYLYAEFYISVGSTSVNREVINKEDESLVIIDFEGNNIPQNQYSICAHFCGRSHLTLRLFVQFTQRNSTVSKEFSVGTSEEEQPGLEHISLTYNHEDRLSMSNNTPNDYEDRPVIQLTHPQGCTLVLTSFHCVIKTKSPVVMGPQFIKYYPSYAVNLGGLKVTIEYRGAKRVTFAVKVVNSNPEQLVEQTVPIEVALEVHSIHVRLGVPRHAPFPQGNKYFLHVRFAMSHGVEYPDKWDWSVKCSRPDLYNGRVYDYITRDGRRERDENQLFSVVRAALEFLFKITFFFAVVSVTIGGIVYFM
+>sp|Q96F25|ALG14_HUMAN UDP-N-acetylglucosamine transferase subunit ALG14 homolog OS=Homo sapiens OX=9606 GN=ALG14 PE=1 SV=1
+MVCVLVLAAAAGAVAVFLILRIWVVLRSMDVTPRESLSILVVAGSGGHTTEILRLLGSLSNAYSPRHYVIADTDEMSANKINSFELDRADRDPSNMYTKYYIHRIPRSREVQQSWPSTVFTTLHSMWLSFPLIHRVKPDLVLCNGPGTCVPICVSALLLGILGIKKVIIVYVESICRVETLSMSGKILFHLSDYFIVQWPALKEKYPKSVYLGRIV
+>DECOY_sp|Q96F25|ALG14_HUMAN UDP-N-acetylglucosamine transferase subunit ALG14 homolog OS=Homo sapiens OX=9606 GN=ALG14 PE=1 SV=1
+VIRGLYVSKPYKEKLAPWQVIFYDSLHFLIKGSMSLTEVRCISEVYVIIVKKIGLIGLLLASVCIPVCTGPGNCLVLDPKVRHILPFSLWMSHLTTFVTSPWSQQVERSRPIRHIYYKTYMNSPDRDARDLEFSNIKNASMEDTDAIVYHRPSYANSLSGLLRLIETTHGGSGAVVLISLSERPTVDMSRLVVWIRLILFVAVAGAAAALVLVCVM
+>sp|Q6ZNB7|ALKMO_HUMAN Alkylglycerol monooxygenase OS=Homo sapiens OX=9606 GN=AGMO PE=1 SV=1
+MKNPEAQQDVSVSQGFRMLFYTMKPSETSFQTLEEVPDYVKKATPFFISLMLLELVVSWILKGKPPGRLDDALTSISAGVLSRLPSLFFRSIELTSYIYIWENYRLFNLPWDSPWTWYSAFLGVDFGYYWFHRMAHEVNIMWAGHQTHHSSEDYNLSTALRQSVLQIYTSWIFYSPLALFIPPSVYAVHLQFNLLYQFWIHTEVINNLGPLELILNTPSHHRVHHGRNRYCIDKNYAGVLIIWDKIFGTFEAENEKVVYGLTHPINTFEPIKVQFHHLFSIWTTFWATPGFFNKFSVIFKGPGWGPGKPRLGLSEEIPEVTGKEVPFSSSSSQLLKIYTVVQFALMLAFYEETFADTAALSQVTLLLRVCFIILTLTSIGFLLDQRPKAAIMETLRCLMFLMLYRFGHLKPLVPSLSSAFEIVFSICIAFWGVRSMKQLTSHPWK
+>DECOY_sp|Q6ZNB7|ALKMO_HUMAN Alkylglycerol monooxygenase OS=Homo sapiens OX=9606 GN=AGMO PE=1 SV=1
+KWPHSTLQKMSRVGWFAICISFVIEFASSLSPVLPKLHGFRYLMLFMLCRLTEMIAAKPRQDLLFGISTLTLIIFCVRLLLTVQSLAATDAFTEEYFALMLAFQVVTYIKLLQSSSSSFPVEKGTVEPIEESLGLRPKGPGWGPGKFIVSFKNFFGPTAWFTTWISFLHHFQVKIPEFTNIPHTLGYVVKENEAEFTGFIKDWIILVGAYNKDICYRNRGHHVRHHSPTNLILELPGLNNIVETHIWFQYLLNFQLHVAYVSPPIFLALPSYFIWSTYIQLVSQRLATSLNYDESSHHTQHGAWMINVEHAMRHFWYYGFDVGLFASYWTWPSDWPLNFLRYNEWIYIYSTLEISRFFLSPLRSLVGASISTLADDLRGPPKGKLIWSVVLELLMLSIFFPTAKKVYDPVEELTQFSTESPKMTYFLMRFGQSVSVDQQAEPNKM
+>sp|Q9UM73|ALK_HUMAN ALK tyrosine kinase receptor OS=Homo sapiens OX=9606 GN=ALK PE=1 SV=3
+MGAIGLLWLLPLLLSTAAVGSGMGTGQRAGSPAAGPPLQPREPLSYSRLQRKSLAVDFVVPSLFRVYARDLLLPPSSSELKAGRPEARGSLALDCAPLLRLLGPAPGVSWTAGSPAPAEARTLSRVLKGGSVRKLRRAKQLVLELGEEAILEGCVGPPGEAAVGLLQFNLSELFSWWIRQGEGRLRIRLMPEKKASEVGREGRLSAAIRASQPRLLFQIFGTGHSSLESPTNMPSPSPDYFTWNLTWIMKDSFPFLSHRSRYGLECSFDFPCELEYSPPLHDLRNQSWSWRRIPSEEASQMDLLDGPGAERSKEMPRGSFLLLNTSADSKHTILSPWMRSSSEHCTLAVSVHRHLQPSGRYIAQLLPHNEAAREILLMPTPGKHGWTVLQGRIGRPDNPFRVALEYISSGNRSLSAVDFFALKNCSEGTSPGSKMALQSSFTCWNGTVLQLGQACDFHQDCAQGEDESQMCRKLPVGFYCNFEDGFCGWTQGTLSPHTPQWQVRTLKDARFQDHQDHALLLSTTDVPASESATVTSATFPAPIKSSPCELRMSWLIRGVLRGNVSLVLVENKTGKEQGRMVWHVAAYEGLSLWQWMVLPLLDVSDRFWLQMVAWWGQGSRAIVAFDNISISLDCYLTISGEDKILQNTAPKSRNLFERNPNKELKPGENSPRQTPIFDPTVHWLFTTCGASGPHGPTQAQCNNAYQNSNLSVEVGSEGPLKGIQIWKVPATDTYSISGYGAAGGKGGKNTMMRSHGVSVLGIFNLEKDDMLYILVGQQGEDACPSTNQLIQKVCIGENNVIEEEIRVNRSVHEWAGGGGGGGGATYVFKMKDGVPVPLIIAAGGGGRAYGAKTDTFHPERLENNSSVLGLNGNSGAAGGGGGWNDNTSLLWAGKSLQEGATGGHSCPQAMKKWGWETRGGFGGGGGGCSSGGGGGGYIGGNAASNNDPEMDGEDGVSFISPLGILYTPALKVMEGHGEVNIKHYLNCSHCEVDECHMDPESHKVICFCDHGTVLAEDGVSCIVSPTPEPHLPLSLILSVVTSALVAALVLAFSGIMIVYRRKHQELQAMQMELQSPEYKLSKLRTSTIMTDYNPNYCFAGKTSSISDLKEVPRKNITLIRGLGHGAFGEVYEGQVSGMPNDPSPLQVAVKTLPEVCSEQDELDFLMEALIISKFNHQNIVRCIGVSLQSLPRFILLELMAGGDLKSFLRETRPRPSQPSSLAMLDLLHVARDIACGCQYLEENHFIHRDIAARNCLLTCPGPGRVAKIGDFGMARDIYRASYYRKGGCAMLPVKWMPPEAFMEGIFTSKTDTWSFGVLLWEIFSLGYMPYPSKSNQEVLEFVTSGGRMDPPKNCPGPVYRIMTQCWQHQPEDRPNFAIILERIEYCTQDPDVINTALPIEYGPLVEEEEKVPVRPKDPEGVPPLLVSQQAKREEERSPAAPPPLPTTSSGKAAKKPTAAEISVRVPRGPAVEGGHVNMAFSQSNPPSELHKVHGSRNKPTSLWNPTYGSWFTEKPTKKNNPIAKKEPHDRGNLGLEGSCTVPPNVATGRLPGASLLLEPSSLTANMKEVPLFRLRHFPCGNVNYGYQQQGLPLEAATAPGAGHYEDTILKSKNSMNQPGP
+>DECOY_sp|Q9UM73|ALK_HUMAN ALK tyrosine kinase receptor OS=Homo sapiens OX=9606 GN=ALK PE=1 SV=3
+PGPQNMSNKSKLITDEYHGAGPATAAELPLGQQQYGYNVNGCPFHRLRFLPVEKMNATLSSPELLLSAGPLRGTAVNPPVTCSGELGLNGRDHPEKKAIPNNKKTPKETFWSGYTPNWLSTPKNRSGHVKHLESPPNSQSFAMNVHGGEVAPGRPVRVSIEAATPKKAAKGSSTTPLPPPAAPSREEERKAQQSVLLPPVGEPDKPRVPVKEEEEVLPGYEIPLATNIVDPDQTCYEIRELIIAFNPRDEPQHQWCQTMIRYVPGPCNKPPDMRGGSTVFELVEQNSKSPYPMYGLSFIEWLLVGFSWTDTKSTFIGEMFAEPPMWKVPLMACGGKRYYSARYIDRAMGFDGIKAVRGPGPCTLLCNRAAIDRHIFHNEELYQCGCAIDRAVHLLDLMALSSPQSPRPRTERLFSKLDGGAMLELLIFRPLSQLSVGICRVINQHNFKSIILAEMLFDLEDQESCVEPLTKVAVQLPSPDNPMGSVQGEYVEGFAGHGLGRILTINKRPVEKLDSISSTKGAFCYNPNYDTMITSTRLKSLKYEPSQLEMQMAQLEQHKRRYVIMIGSFALVLAAVLASTVVSLILSLPLHPEPTPSVICSVGDEALVTGHDCFCIVKHSEPDMHCEDVECHSCNLYHKINVEGHGEMVKLAPTYLIGLPSIFSVGDEGDMEPDNNSAANGGIYGGGGGGSSCGGGGGGFGGRTEWGWKKMAQPCSHGGTAGEQLSKGAWLLSTNDNWGGGGGAAGSNGNLGLVSSNNELREPHFTDTKAGYARGGGGAAIILPVPVGDKMKFVYTAGGGGGGGGAWEHVSRNVRIEEEIVNNEGICVKQILQNTSPCADEGQQGVLIYLMDDKELNFIGLVSVGHSRMMTNKGGKGGAAGYGSISYTDTAPVKWIQIGKLPGESGVEVSLNSNQYANNCQAQTPGHPGSAGCTTFLWHVTPDFIPTQRPSNEGPKLEKNPNREFLNRSKPATNQLIKDEGSITLYCDLSISINDFAVIARSGQGWWAVMQLWFRDSVDLLPLVMWQWLSLGEYAAVHWVMRGQEKGTKNEVLVLSVNGRLVGRILWSMRLECPSSKIPAPFTASTVTASESAPVDTTSLLLAHDQHDQFRADKLTRVQWQPTHPSLTGQTWGCFGDEFNCYFGVPLKRCMQSEDEGQACDQHFDCAQGLQLVTGNWCTFSSQLAMKSGPSTGESCNKLAFFDVASLSRNGSSIYELAVRFPNDPRGIRGQLVTWGHKGPTPMLLIERAAENHPLLQAIYRGSPQLHRHVSVALTCHESSSRMWPSLITHKSDASTNLLLFSGRPMEKSREAGPGDLLDMQSAEESPIRRWSWSQNRLDHLPPSYELECPFDFSCELGYRSRHSLFPFSDKMIWTLNWTFYDPSPSPMNTPSELSSHGTGFIQFLLRPQSARIAASLRGERGVESAKKEPMLRIRLRGEGQRIWWSFLESLNFQLLGVAAEGPPGVCGELIAEEGLELVLQKARRLKRVSGGKLVRSLTRAEAPAPSGATWSVGPAPGLLRLLPACDLALSGRAEPRGAKLESSSPPLLLDRAYVRFLSPVVFDVALSKRQLRSYSLPERPQLPPGAAPSGARQGTGMGSGVAATSLLLPLLWLLGIAGM
+>sp|Q96QP1|ALPK1_HUMAN Alpha-protein kinase 1 OS=Homo sapiens OX=9606 GN=ALPK1 PE=2 SV=3
+MNNQKVVAVLLQECKQVLDQLLLEAPDVSEEDKSEDQRCRALLPSELRTLIQEAKEMKWPFVPEKWQYKQAVGPEDKTNLKDVIGAGLQQLLASLRASILARDCAAAAAIVFLVDRFLYGLDVSGKLLQVAKGLHKLQPATPIAPQVVIRQARISVNSGKLLKAEYILSSLISNNGATGTWLYRNESDKVLVQSVCIQIRGQILQKLGMWYEAAELIWASIVGYLALPQPDKKGLSTSLGILADIFVSMSKNDYEKFKNNPQINLSLLKEFDHHLLSAAEACKLAAAFSAYTPLFVLTAVNIRGTCLLSYSSSNDCPPELKNLHLCEAKEAFEIGLLTKRDDEPVTGKQELHSFVKAAFGLTTVHRRLHGETGTVHAASQLCKEAMGKLYNFSTSSRSQDREALSQEVMSVIAQVKEHLQVQSFSNVDDRSYVPESFECRLDKLILHGQGDFQKILDTYSQHHTSVCEVFESDCGNNKNEQKDAKTGVCITALKTEIKNIDTVSTTQEKPHCQRDTGISSSLMGKNVQRELRRGGRRNWTHSDAFRVSLDQDVETETEPSDYSNGEGAVFNKSLSGSQTSSAWSNLSGFSSSASWEEVNYHVDDRSARKEPGKEHLVDTQCSTALSEELENDREGRAMHSLHSQLHDLSLQEPNNDNLEPSQNQPQQQMPLTPFSPHNTPGIFLAPGAGLLEGAPEGIQEVRNMGPRNTSAHSRPSYRSASWSSDSGRPKNMGTHPSVQKEEAFEIIVEFPETNCDVKDRQGKEQGEEISERGAGPTFKASPSWVDPEGETAESTEDAPLDFHRVLHNSLGNISMLPCSSFTPNWPVQNPDSRKSGGPVAEQGIDPDASTVDEEGQLLDSMDVPCTNGHGSHRLCILRQPPGQRAETPNSSVSGNILFPVLSEDCTTTEEGNQPGNMLNCSQNSSSSSVWWLKSPAFSSGSSEGDSPWSYLNSSGSSWVSLPGKMRKEILEARTLQPDDFEKLLAGVRHDWLFQRLENTGVFKPSQLHRAHSALLLKYSKKSELWTAQETIVYLGDYLTVKKKGRQRNAFWVHHLHQEEILGRYVGKDYKEQKGLWHHFTDVERQMTAQHYVTEFNKRLYEQNIPTQIFYIPSTILLILEDKTIKGCISVEPYILGEFVKLSNNTKVVKTEYKATEYGLAYGHFSYEFSNHRDVVVDLQGWVTGNGKGLIYLTDPQIHSVDQKVFTTNFGKRGIFYFFNNQHVECNEICHRLSLTRPSMEKPCT
+>DECOY_sp|Q96QP1|ALPK1_HUMAN Alpha-protein kinase 1 OS=Homo sapiens OX=9606 GN=ALPK1 PE=2 SV=3
+TCPKEMSPRTLSLRHCIENCEVHQNNFFYFIGRKGFNTTFVKQDVSHIQPDTLYILGKGNGTVWGQLDVVVDRHNSFEYSFHGYALGYETAKYETKVVKTNNSLKVFEGLIYPEVSICGKITKDELILLITSPIYFIQTPINQEYLRKNFETVYHQATMQREVDTFHHWLGKQEKYDKGVYRGLIEEQHLHHVWFANRQRGKKKVTLYDGLYVITEQATWLESKKSYKLLLASHARHLQSPKFVGTNELRQFLWDHRVGALLKEFDDPQLTRAELIEKRMKGPLSVWSSGSSNLYSWPSDGESSGSSFAPSKLWWVSSSSSNQSCNLMNGPQNGEETTTCDESLVPFLINGSVSSNPTEARQGPPQRLICLRHSGHGNTCPVDMSDLLQGEEDVTSADPDIGQEAVPGGSKRSDPNQVPWNPTFSSCPLMSINGLSNHLVRHFDLPADETSEATEGEPDVWSPSAKFTPGAGRESIEEGQEKGQRDKVDCNTEPFEVIIEFAEEKQVSPHTGMNKPRGSDSSWSASRYSPRSHASTNRPGMNRVEQIGEPAGELLGAGPALFIGPTNHPSFPTLPMQQQPQNQSPELNDNNPEQLSLDHLQSHLSHMARGERDNELEESLATSCQTDVLHEKGPEKRASRDDVHYNVEEWSASSSFGSLNSWASSTQSGSLSKNFVAGEGNSYDSPETETEVDQDLSVRFADSHTWNRRGGRRLERQVNKGMLSSSIGTDRQCHPKEQTTSVTDINKIETKLATICVGTKADKQENKNNGCDSEFVECVSTHHQSYTDLIKQFDGQGHLILKDLRCEFSEPVYSRDDVNSFSQVQLHEKVQAIVSMVEQSLAERDQSRSSTSFNYLKGMAEKCLQSAAHVTGTEGHLRRHVTTLGFAAKVFSHLEQKGTVPEDDRKTLLGIEFAEKAECLHLNKLEPPCDNSSSYSLLCTGRINVATLVFLPTYASFAAALKCAEAASLLHHDFEKLLSLNIQPNNKFKEYDNKSMSVFIDALIGLSTSLGKKDPQPLALYGVISAWILEAAEYWMGLKQLIQGRIQICVSQVLVKDSENRYLWTGTAGNNSILSSLIYEAKLLKGSNVSIRAQRIVVQPAIPTAPQLKHLGKAVQLLKGSVDLGYLFRDVLFVIAAAAACDRALISARLSALLQQLGAGIVDKLNTKDEPGVAQKYQWKEPVFPWKMEKAEQILTRLESPLLARCRQDESKDEESVDPAELLLQDLVQKCEQLLVAVVKQNNM
+>sp|Q96L96|ALPK3_HUMAN Alpha-protein kinase 3 OS=Homo sapiens OX=9606 GN=ALPK3 PE=1 SV=2
+MEVAWLVYVLGQQPLARQGEGQSRLVPGRGLVLWLPGLPRSSPSWPAVDLAPLAPARPRGPLICHTGHEQAGREPGPGSSTKGPVLHDQDTRCAFLPRPPGPLQTRRYCRHQGRQGSGLGAGPGAGTWAPAPPGVSKPRCPGRARPGEGQQQVTTARPPAINRGARQPRAGAAAAGRGPGAGAWRTGEAAASAGPAVGEGGAMGSRRAPSRGWGAGGRSGAGGDGEDDGPVWIPSPASRSYLLSVRPETSLSSNRLSHPSSGRSTFCSIIAQLTEETQPLFETTLKSRSVSEDSDVRFTCIVTGYPEPEVTWYKDDTELDRYCGLPKYEITHQGNRHTLQLYRCREEDAAIYQASAQNSKGIVSCSGVLEVGTMTEYKIHQRWFAKLKRKAAAKLREIEQSWKHEKAVPGEVDTLRKLSPDRFQRKRRLSGAQAPGPSVPTREPEGGTLAAWQEGETETAQHSGLGLINSFASGEVTTNGEAAPENGEDGEHGLLTYICDAMELGPQRALKEESGAKKKKKDEESKQGLRKPELEKAAQSRRSSENCIPSSDEPDSCGTQGPVGVEQVQTQPRGRAARGPGSSGTDSTRKPASAVGTPDKAQKAPGPGPGQEVYFSLKDMYLENTQAVRPLGEEGPQTLSVRAPGESPKGKAPLRARSEGVPGAPGQPTHSLTPQPTRPFNRKRFAPPKPKGEATTDSKPISSLSQAPECGAQSLGKAPPQASVQVPTPPARRRHGTRDSTLQGQAGHRTPGEVLECQTTTAPTMSASSSSDVASIGVSTSGSQGIIEPMDMETQEDGRTSANQRTGSKKNVQADGKIQVDGRTRGDGTQTAQRTRADRKTQVDAGTQESKRPQSDRSAQKGMMTQGRAETQLETTQAGEKIQEDRKAQADKGTQEDRRMQGEKGMQGEKGTQSEGSAPTAMEGQSEQEVATSLGPPSRTPKLPPTAGPRAPLNIECFVQTPEGSCFPKKPGCLPRSEEAVVTASRNHEQTVLGPLSGNLMLPAQPPHEGSVEQVGGERCRGPQSSGPVEAKQEDSPFQCPKEERPGGVPCMDQGGCPLAGLSQEVPTMPSLPGTGLTASPKAGPCSTPTSQHGSTATFLPSEDQVLMSSAPTLHLGLGTPTQSHPPETMATSSEGACAQVPDVEGRTPGPRSCDPGLIDSLKNYLLLLLKLSSTETSGAGGESQVGAATGGLVPSATLTPTVEVAGLSPRTSRRILERVENNHLVQSAQTLLLSPCTSRRLTGLLDREVQAGRQALAAARGSWGPGPSSLTVPAIVVDEEDPGLASEGASEGEGEVSPEGPGLLGASQESSMAGRLGEAGGQAAPGQGPSAESIAQEPSQEEKFPGEALTGLPAATPEELALGARRKRFLPKVRAAGDGEATTPEERESPTVSPRGPRKSLVPGSPGTPGRERRSPTQGRKASMLEVPRAEEELAAGDLGPSPKAGGLDTEVALDEGKQETLAKPRKAKDLLKAPQVIRKIRVEQFPDASGSLKLWCQFFNILSDSVLTWAKDQRPVGEVGRSAGDEGPAALAIVQASPVDCGVYRCTIHNEHGSASTDFCLSPEVLSGFISREEGEVGEEIEMTPMVFAKGLADSGCWGDKLFGRLVSEELRGGGYGCGLRKASQAKVIYGLEPIFESGRTCIIKVSSLLVFGPSSETSLVGRNYDVTIQGCKIQNMSREYCKIFAAEARAAPGFGEVPEIIPLYLIYRPANNIPYATLEEDLGKPLESYCSREWGCAEAPTASGSSEAMQKCQTFQHWLYQWTNGSFLVTDLAGVDWKMTDVQIATKLRGYQGLKESCFPALLDRFASSHQCNAYCELLGLTPLKGPEAAHPQAKAKGSKSPSAGRKGSQLSPQPQKKGLPSPQGTRKSAPSSKATPQASEPVTTQLLGQPPTQEEGSKAQGMR
+>DECOY_sp|Q96L96|ALPK3_HUMAN Alpha-protein kinase 3 OS=Homo sapiens OX=9606 GN=ALPK3 PE=1 SV=2
+RMGQAKSGEEQTPPQGLLQTTVPESAQPTAKSSPASKRTGQPSPLGKKQPQPSLQSGKRGASPSKSGKAKAQPHAAEPGKLPTLGLLECYANCQHSSAFRDLLAPFCSEKLGQYGRLKTAIQVDTMKWDVGALDTVLFSGNTWQYLWHQFTQCKQMAESSGSATPAEACGWERSCYSELPKGLDEELTAYPINNAPRYILYLPIIEPVEGFGPAARAEAAFIKCYERSMNQIKCGQITVDYNRGVLSTESSPGFVLLSSVKIICTRGSEFIPELGYIVKAQSAKRLGCGYGGGRLEESVLRGFLKDGWCGSDALGKAFVMPTMEIEEGVEGEERSIFGSLVEPSLCFDTSASGHENHITCRYVGCDVPSAQVIALAAPGEDGASRGVEGVPRQDKAWTLVSDSLINFFQCWLKLSGSADPFQEVRIKRIVQPAKLLDKAKRPKALTEQKGEDLAVETDLGGAKPSPGLDGAALEEEARPVELMSAKRGQTPSRRERGPTGPSGPVLSKRPGRPSVTPSEREEPTTAEGDGAARVKPLFRKRRAGLALEEPTAAPLGTLAEGPFKEEQSPEQAISEASPGQGPAAQGGAEGLRGAMSSEQSAGLLGPGEPSVEGEGESAGESALGPDEEDVVIAPVTLSSPGPGWSGRAAALAQRGAQVERDLLGTLRRSTCPSLLLTQASQVLHNNEVRELIRRSTRPSLGAVEVTPTLTASPVLGGTAAGVQSEGGAGSTETSSLKLLLLLYNKLSDILGPDCSRPGPTRGEVDPVQACAGESSTAMTEPPHSQTPTGLGLHLTPASSMLVQDESPLFTATSGHQSTPTSCPGAKPSATLGTGPLSPMTPVEQSLGALPCGGQDMCPVGGPREEKPCQFPSDEQKAEVPGSSQPGRCREGGVQEVSGEHPPQAPLMLNGSLPGLVTQEHNRSATVVAEESRPLCGPKKPFCSGEPTQVFCEINLPARPGATPPLKPTRSPPGLSTAVEQESQGEMATPASGESQTGKEGQMGKEGQMRRDEQTGKDAQAKRDEQIKEGAQTTELQTEARGQTMMGKQASRDSQPRKSEQTGADVQTKRDARTRQATQTGDGRTRGDVQIKGDAQVNKKSGTRQNASTRGDEQTEMDMPEIIGQSGSTSVGISAVDSSSSASMTPATTTQCELVEGPTRHGAQGQLTSDRTGHRRRAPPTPVQVSAQPPAKGLSQAGCEPAQSLSSIPKSDTTAEGKPKPPAFRKRNFPRTPQPTLSHTPQGPAGPVGESRARLPAKGKPSEGPARVSLTQPGEEGLPRVAQTNELYMDKLSFYVEQGPGPGPAKQAKDPTGVASAPKRTSDTGSSGPGRAARGRPQTQVQEVGVPGQTGCSDPEDSSPICNESSRRSQAAKELEPKRLGQKSEEDKKKKKAGSEEKLARQPGLEMADCIYTLLGHEGDEGNEPAAEGNTTVEGSAFSNILGLGSHQATETEGEQWAALTGGEPERTPVSPGPAQAGSLRRKRQFRDPSLKRLTDVEGPVAKEHKWSQEIERLKAAAKRKLKAFWRQHIKYETMTGVELVGSCSVIGKSNQASAQYIAADEERCRYLQLTHRNGQHTIEYKPLGCYRDLETDDKYWTVEPEPYGTVICTFRVDSDESVSRSKLTTEFLPQTEETLQAIISCFTSRGSSPHSLRNSSLSTEPRVSLLYSRSAPSPIWVPGDDEGDGGAGSRGGAGWGRSPARRSGMAGGEGVAPGASAAAEGTRWAGAGPGRGAAAAGARPQRAGRNIAPPRATTVQQQGEGPRARGPCRPKSVGPPAPAWTGAGPGAGLGSGQRGQHRCYRRTQLPGPPRPLFACRTDQDHLVPGKTSSGPGPERGAQEHGTHCILPGRPRAPALPALDVAPWSPSSRPLGPLWLVLGRGPVLRSQGEGQRALPQQGLVYVLWAVEM
+>sp|P55789|ALR_HUMAN FAD-linked sulfhydryl oxidase ALR OS=Homo sapiens OX=9606 GN=GFER PE=1 SV=2
+MAAPGERGRFHGGNLFFLPGGARSEMMDDLATDARGRGAGRRDAAASASTPAQAPTSDSPVAEDASRRRPCRACVDFKTWMRTQQKRDTKFREDCPPDREELGRHSWAVLHTLAAYYPDLPTPEQQQDMAQFIHLFSKFYPCEECAEDLRKRLCRNHPDTRTRACFTQWLCHLHNEVNRKLGKPDFDCSKVDERWRDGWKDGSCD
+>DECOY_sp|P55789|ALR_HUMAN FAD-linked sulfhydryl oxidase ALR OS=Homo sapiens OX=9606 GN=GFER PE=1 SV=2
+DCSGDKWGDRWREDVKSCDFDPKGLKRNVENHLHCLWQTFCARTRTDPHNRCLRKRLDEACEECPYFKSFLHIFQAMDQQQEPTPLDPYYAALTHLVAWSHRGLEERDPPCDERFKTDRKQQTRMWTKFDVCARCPRRRSADEAVPSDSTPAQAPTSASAAADRRGAGRGRADTALDDMMESRAGGPLFFLNGGHFRGREGPAAM
+>sp|Q9NP70|AMBN_HUMAN Ameloblastin OS=Homo sapiens OX=9606 GN=AMBN PE=1 SV=1
+MSASKIPLFKMKDLILILCLLEMSFAVPFFPQQSGTPGMASLSLETMRQLGSLQRLNTLSQYSRYGFGKSFNSLWMHGLLPPHSSLPWMRPREHETQQYEYSLPVHPPPLPSQPSLKPQQPGLKPFLQSAAATTNQATALKEALQPPIHLGHLPLQEGELPLVQQQVAPSDKPPKPELPGVDFADPQGPSLPGMDFPDPQGPSLPGLDFADPQGSTIFQIARLISHGPMPQNKQSPLYPGMLYVPFGANQLNAPARLGIMSSEEVAGGREDPMAYGAMFPGFGGMRPGFEGMPHNPAMGGDFTLEFDSPVAATKGPENEEGGAQGSPMPEANPDNLENPAFLTELEPAPHAGLLALPKDDIPGLPRSPSGKMKGLPSVTPAAADPLMTPELADVYRTYDADMTTSVDFQEEATMDTTMAPNSLQTSMPGNKAQEPEMMHDAWHFQEP
+>DECOY_sp|Q9NP70|AMBN_HUMAN Ameloblastin OS=Homo sapiens OX=9606 GN=AMBN PE=1 SV=1
+PEQFHWADHMMEPEQAKNGPMSTQLSNPAMTTDMTAEEQFDVSTTMDADYTRYVDALEPTMLPDAAAPTVSPLGKMKGSPSRPLGPIDDKPLALLGAHPAPELETLFAPNELNDPNAEPMPSGQAGGEENEPGKTAAVPSDFELTFDGGMAPNHPMGEFGPRMGGFGPFMAGYAMPDERGGAVEESSMIGLRAPANLQNAGFPVYLMGPYLPSQKNQPMPGHSILRAIQFITSGQPDAFDLGPLSPGQPDPFDMGPLSPGQPDAFDVGPLEPKPPKDSPAVQQQVLPLEGEQLPLHGLHIPPQLAEKLATAQNTTAAASQLFPKLGPQQPKLSPQSPLPPPHVPLSYEYQQTEHERPRMWPLSSHPPLLGHMWLSNFSKGFGYRSYQSLTNLRQLSGLQRMTELSLSAMGPTGSQQPFFPVAFSMELLCLILILDKMKFLPIKSASM
+>sp|Q99217|AMELX_HUMAN Amelogenin, X isoform OS=Homo sapiens OX=9606 GN=AMELX PE=1 SV=1
+MGTWILFACLLGAAFAMPLPPHPGHPGYINFSYEVLTPLKWYQSIRPPYPSYGYEPMGGWLHHQIIPVLSQQHPPTHTLQPHHHIPVVPAQQPVIPQQPMMPVPGQHSMTPIQHHQPNLPPPAQQPYQPQPVQPQPHQPMQPQPPVHPMQPLPPQPPLPPMFPMQPLPPMLPDLTLEAWPSTDKTKREEVD
+>DECOY_sp|Q99217|AMELX_HUMAN Amelogenin, X isoform OS=Homo sapiens OX=9606 GN=AMELX PE=1 SV=1
+DVEERKTKDTSPWAELTLDPLMPPLPQMPFMPPLPPQPPLPQMPHVPPQPQMPQHPQPQVPQPQYPQQAPPPLNPQHHQIPTMSHQGPVPMMPQQPIVPQQAPVVPIHHHPQLTHTPPHQQSLVPIIQHHLWGGMPEYGYSPYPPRISQYWKLPTLVEYSFNIYGPHGPHPPLPMAFAAGLLCAFLIWTGM
+>sp|Q86YJ7|AN13B_HUMAN Ankyrin repeat domain-containing protein 13B OS=Homo sapiens OX=9606 GN=ANKRD13B PE=1 SV=4
+MIPANASARKGPEGKYPLHYLVWHNRHRELEKEVRAGQVDIEQLDPRGRTPLHLATTLGHLECARVLLAHGADVGRENRSGWTVLQEAVSTRDLELVQLVLRYRDYQRVVKRLAGIPVLLEKLRKAQDFYVEMKWEFTSWVPLVSKICPSDTYKVWKSGQNLRVDTTLLGFDHMTWQRGNRSFVFRGQDTSAVVMEIDHDRRVVYTETLALAGQDRELLLAAAQPTEEQVLSRLTAPVVTTQLDTKNISFERNKTGILGWRSEKTEMVNGYEAKVYGASNVELITRTRTEHLSEQHKGKVKGCKTPLQSFLGIAEQHGGPQNGTLITQTLSQANPTAITAEEYFNPNFELGNRDMGRPMELTTKTQKFKAKLWLCEEHPLSLCEQVAPIIDLMAVSNALFAKLRDFITLRLPPGFPVKIEIPIFHILNARITFGNLNGCDEPVPSVRGSPSSETPSPGSDSSSVSSSSSTTSCRGCEISPALFEAPRGYSMMGGQREAATRDDDDDLLQFAIQQSLLEAGSEYDQVTIWEALTNSKPGTHPMSYEGRRQDRSAPPTPQRQPAPPASVPSPRPSSGPGSGGHVFRSYDEQLRLAMELSAQEQEERRRRARQEEEELERILRLSLTEQ
+>DECOY_sp|Q86YJ7|AN13B_HUMAN Ankyrin repeat domain-containing protein 13B OS=Homo sapiens OX=9606 GN=ANKRD13B PE=1 SV=4
+QETLSLRLIRELEEEEQRARRRREEQEQASLEMALRLQEDYSRFVHGGSGPGSSPRPSPVSAPPAPQRQPTPPASRDQRRGEYSMPHTGPKSNTLAEWITVQDYESGAELLSQQIAFQLLDDDDDRTAAERQGGMMSYGRPAEFLAPSIECGRCSTTSSSSSVSSSDSGPSPTESSPSGRVSPVPEDCGNLNGFTIRANLIHFIPIEIKVPFGPPLRLTIFDRLKAFLANSVAMLDIIPAVQECLSLPHEECLWLKAKFKQTKTTLEMPRGMDRNGLEFNPNFYEEATIATPNAQSLTQTILTGNQPGGHQEAIGLFSQLPTKCGKVKGKHQESLHETRTRTILEVNSAGYVKAEYGNVMETKESRWGLIGTKNREFSINKTDLQTTVVPATLRSLVQEETPQAAALLLERDQGALALTETYVVRRDHDIEMVVASTDQGRFVFSRNGRQWTMHDFGLLTTDVRLNQGSKWVKYTDSPCIKSVLPVWSTFEWKMEVYFDQAKRLKELLVPIGALRKVVRQYDRYRLVLQVLELDRTSVAEQLVTWGSRNERGVDAGHALLVRACELHGLTTALHLPTRGRPDLQEIDVQGARVEKELERHRNHWVLYHLPYKGEPGKRASANAPIM
+>sp|Q8N6S4|AN13C_HUMAN Ankyrin repeat domain-containing protein 13C OS=Homo sapiens OX=9606 GN=ANKRD13C PE=2 SV=2
+MTGEKIRSLRRDHKPSKEEGDLLEPGDEEAAAALGGTFTRSRIGKGGKACHKIFSNHHHRLQLKAAPASSNPPGAPALPLHNSSVTANSQSPALLAGTNPVAVVADGGSCPAHYPVHECVFKGDVRRLSSLIRTHNIGQKDNHGNTPLHLAVMLGNKECAHLLLAHNAPVKVKNAQGWSPLAEAISYGDRQMITALLRKLKQQSRESVEEKRPRLLKALKELGDFYLELHWDFQSWVPLLSRILPSDACKIYKQGINIRLDTTLIDFTDMKCQRGDLSFIFNGDAAPSESFVVLDNEQKVYQRIHHEESEMETEEEVDILMSSDIYSATLSTKSISFTRAQTGWLFREDKTERVGNFLADFYLVNGLVLESRKRREHLSEEDILRNKAIMESLSKGGNIMEQNFEPIRRQSLTPPPQNTITWEEYISAENGKAPHLGRELVCKESKKTFKATIAMSQEFPLGIELLLNVLEVVAPFKHFNKLREFVQMKLPPGFPVKLDIPVFPTITATVTFQEFRYDEFDGSIFTIPDDYKEDPSRFPDL
+>DECOY_sp|Q8N6S4|AN13C_HUMAN Ankyrin repeat domain-containing protein 13C OS=Homo sapiens OX=9606 GN=ANKRD13C PE=2 SV=2
+LDPFRSPDEKYDDPITFISGDFEDYRFEQFTVTATITPFVPIDLKVPFGPPLKMQVFERLKNFHKFPAVVELVNLLLEIGLPFEQSMAITAKFTKKSEKCVLERGLHPAKGNEASIYEEWTITNQPPPTLSQRRIPEFNQEMINGGKSLSEMIAKNRLIDEESLHERRKRSELVLGNVLYFDALFNGVRETKDERFLWGTQARTFSISKTSLTASYIDSSMLIDVEEETEMESEEHHIRQYVKQENDLVVFSESPAADGNFIFSLDGRQCKMDTFDILTTDLRINIGQKYIKCADSPLIRSLLPVWSQFDWHLELYFDGLEKLAKLLRPRKEEVSERSQQKLKRLLATIMQRDGYSIAEALPSWGQANKVKVPANHALLLHACEKNGLMVALHLPTNGHNDKQGINHTRILSSLRRVDGKFVCEHVPYHAPCSGGDAVVAVPNTGALLAPSQSNATVSSNHLPLAPAGPPNSSAPAAKLQLRHHHNSFIKHCAKGGKGIRSRTFTGGLAAAAEEDGPELLDGEEKSPKHDRRLSRIKEGTM
+>sp|Q8IVF6|AN18A_HUMAN Ankyrin repeat domain-containing protein 18A OS=Homo sapiens OX=9606 GN=ANKRD18A PE=2 SV=3
+MRKLFSFGRRLGQALLSSMDQEYAGPGYDIRDWELRKIHRAAIKGDAAEVERCLTRRFRDLDARDRKDRTVLHLACAHGRVQVVTLLLHRRCQIDICDRLNRTPLMKAVHSQEEACAIVLLECGANPNIEDIYGNTALHYAVYNKGTSLAERLLSHHANIEALNKEGNTPLLFAINSRRQHMVEFLLKNQANIHAVDNFKRTALILAVQHNLSSIVTLLLQQNIRISSQDMFGQTAEDYALCSDLRSIRQQILEHKNKMLKNHLRNDNQETAAMKPANLKKRKERAKAEHNLKVASEEKQERLQRSENKQPQDSQSYGKKKDAMYGNFMLKKDIAMLKEELYAIKNDSLRKEKKYIQEIKSITEINANFEKSVRLNEKMITKTVARYSQQLNDLKAENARLNSELEKEKHNKERLEAEVESLHSSLATAINEYNEIVERKDLELVLWRADDVSRHEKMGSNISQLTDKNELLTEQVHKARVKFNTLKGKLRETRDALREKTLALGSVQLDLRQAQHRIKEMKQMHPNGEAKESQSIGKQNSLEERIRQQELENLLLERQLEDARKEGDNKEIVINIHRDCLENGKEDLLEERNKELMKEYNYLKEKLLQCEKEKAEREVIVREFQEELVDHLKTFSISESPLEGTSHCHINLNETWTSKKKLFQVEIQPEEKHEEFRKLFELISLLNYTADQIRKKNRELEEEATGYKKCLEMTINMLNAFANEDFSCHGDLNTDQLKMDILFKKLKQKFNDLVAEKEAVSSECVNLAKDNEVLHQELLSMRNVQEKCEKLEKDKKMLEEEVLNLKTHMEKDMVELGKLQEYKSELDERAVQEIEKLEEIHLQKQAEYEKQLEQLNKDNTASLKKKELTLKDVECKFSKMKTAYEEVTTELEEFKEAFAGAVKANNSMSKKLMKSDKKIAVISTKLFTEKQRMKYFLSTLPTRPEPELPCVENLNSIELNRKYIPKTAIRIPTSNPQTSNNCKNFLTEVLLC
+>DECOY_sp|Q8IVF6|AN18A_HUMAN Ankyrin repeat domain-containing protein 18A OS=Homo sapiens OX=9606 GN=ANKRD18A PE=2 SV=3
+CLLVETLFNKCNNSTQPNSTPIRIATKPIYKRNLEISNLNEVCPLEPEPRTPLTSLFYKMRQKETFLKTSIVAIKKDSKMLKKSMSNNAKVAGAFAEKFEELETTVEEYATKMKSFKCEVDKLTLEKKKLSATNDKNLQELQKEYEAQKQLHIEELKEIEQVAREDLESKYEQLKGLEVMDKEMHTKLNLVEEELMKKDKELKECKEQVNRMSLLEQHLVENDKALNVCESSVAEKEAVLDNFKQKLKKFLIDMKLQDTNLDGHCSFDENAFANLMNITMELCKKYGTAEEELERNKKRIQDATYNLLSILEFLKRFEEHKEEPQIEVQFLKKKSTWTENLNIHCHSTGELPSESISFTKLHDVLEEQFERVIVEREAKEKECQLLKEKLYNYEKMLEKNREELLDEKGNELCDRHINIVIEKNDGEKRADELQRELLLNELEQQRIREELSNQKGISQSEKAEGNPHMQKMEKIRHQAQRLDLQVSGLALTKERLADRTERLKGKLTNFKVRAKHVQETLLENKDTLQSINSGMKEHRSVDDARWLVLELDKREVIENYENIATALSSHLSEVEAELREKNHKEKELESNLRANEAKLDNLQQSYRAVTKTIMKENLRVSKEFNANIETISKIEQIYKKEKRLSDNKIAYLEEKLMAIDKKLMFNGYMADKKKGYSQSDQPQKNESRQLREQKEESAVKLNHEAKAREKRKKLNAPKMAATEQNDNRLHNKLMKNKHELIQQRISRLDSCLAYDEATQGFMDQSSIRINQQLLLTVISSLNHQVALILATRKFNDVAHINAQNKLLFEVMHQRRSNIAFLLPTNGEKNLAEINAHHSLLREALSTGKNYVAYHLATNGYIDEINPNAGCELLVIACAEEQSHVAKMLPTRNLRDCIDIQCRRHLLLTVVQVRGHACALHLVTRDKRDRADLDRFRRTLCREVEAADGKIAARHIKRLEWDRIDYGPGAYEQDMSSLLAQGLRRGFSFLKRM
+>sp|Q5VTE6|ANGE2_HUMAN Protein angel homolog 2 OS=Homo sapiens OX=9606 GN=ANGEL2 PE=2 SV=1
+MEAWRCVRKGYGHCVVGRGRYPMFPHHSRSLGRDWTTPWENLQRCCWNRHISSCMRWPGHYSRAPYPYFSSRHFSLNWRPPCLFESRTQFQYCNWRPDNLSQTSLIHLSSYVMNAEGDEPSSKRRKHQGVIKRNWEYICSHDKEKTKILGDKNVDPKCEDSENKFDFSVMSYNILSQDLLEDNSHLYRHCRRPVLHWSFRFPNILKEIKHFDADVLCLQEVQEDHYGAEIRPSLESLGYHCEYKMRTGRKPDGCAICFKHSKFSLLSVNPVEFFRPDISLLDRDNVGLVLLLQPKIPYAACPAICVANTHLLYNPRRGDIKLTQLAMLLAEISSVAHQKDGSFCPIVMCGDFNSVPGSPLYSFIKEGKLNYEGLPIGKVSGQEQSSRGQRILSIPIWPPNLGISQNCVYEVQQVPKVEKTDSDLTQTQLKQTEVLVTAEKLSSNLQHHFSLSSVYSHYFPDTGIPEVTTCHSRSAITVDYIFYSAEKEDVAGHPGAEVALVGGLKLLARLSLLTEQDLWTVNGLPNENNSSDHLPLLAKFRLEL
+>DECOY_sp|Q5VTE6|ANGE2_HUMAN Protein angel homolog 2 OS=Homo sapiens OX=9606 GN=ANGEL2 PE=2 SV=1
+LELRFKALLPLHDSSNNENPLGNVTWLDQETLLSLRALLKLGGVLAVEAGPHGAVDEKEASYFIYDVTIASRSHCTTVEPIGTDPFYHSYVSSLSFHHQLNSSLKEATVLVETQKLQTQTLDSDTKEVKPVQQVEYVCNQSIGLNPPWIPISLIRQGRSSQEQGSVKGIPLGEYNLKGEKIFSYLPSGPVSNFDGCMVIPCFSGDKQHAVSSIEALLMALQTLKIDGRRPNYLLHTNAVCIAPCAAYPIKPQLLLVLGVNDRDLLSIDPRFFEVPNVSLLSFKSHKFCIACGDPKRGTRMKYECHYGLSELSPRIEAGYHDEQVEQLCLVDADFHKIEKLINPFRFSWHLVPRRCHRYLHSNDELLDQSLINYSMVSFDFKNESDECKPDVNKDGLIKTKEKDHSCIYEWNRKIVGQHKRRKSSPEDGEANMVYSSLHILSTQSLNDPRWNCYQFQTRSEFLCPPRWNLSFHRSSFYPYPARSYHGPWRMCSSIHRNWCCRQLNEWPTTWDRGLSRSHHPFMPYRGRGVVCHGYGKRVCRWAEM
+>sp|Q9UKU9|ANGL2_HUMAN Angiopoietin-related protein 2 OS=Homo sapiens OX=9606 GN=ANGPTL2 PE=1 SV=1
+MRPLCVTCWWLGLLAAMGAVAGQEDGFEGTEEGSPREFIYLNRYKRAGESQDKCTYTFIVPQQRVTGAICVNSKEPEVLLENRVHKQELELLNNELLKQKRQIETLQQLVEVDGGIVSEVKLLRKESRNMNSRVTQLYMQLLHEIIRKRDNALELSQLENRILNQTADMLQLASKYKDLEHKYQHLATLAHNQSEIIAQLEEHCQRVPSARPVPQPPPAAPPRVYQPPTYNRIINQISTNEIQSDQNLKVLPPPLPTMPTLTSLPSSTDKPSGPWRDCLQALEDGHDTSSIYLVKPENTNRLMQVWCDQRHDPGGWTVIQRRLDGSVNFFRNWETYKQGFGNIDGEYWLGLENIYWLTNQGNYKLLVTMEDWSGRKVFAEYASFRLEPESEYYKLRLGRYHGNAGDSFTWHNGKQFTTLDRDHDVYTGNCAHYQKGGWWYNACAHSNLNGVWYRGGHYRSRYQDGVYWAEFRGGSYSLKKVVMMIRPNPNTFH
+>DECOY_sp|Q9UKU9|ANGL2_HUMAN Angiopoietin-related protein 2 OS=Homo sapiens OX=9606 GN=ANGPTL2 PE=1 SV=1
+HFTNPNPRIMMVVKKLSYSGGRFEAWYVGDQYRSRYHGGRYWVGNLNSHACANYWWGGKQYHACNGTYVDHDRDLTTFQKGNHWTFSDGANGHYRGLRLKYYESEPELRFSAYEAFVKRGSWDEMTVLLKYNGQNTLWYINELGLWYEGDINGFGQKYTEWNRFFNVSGDLRRQIVTWGGPDHRQDCWVQMLRNTNEPKVLYISSTDHGDELAQLCDRWPGSPKDTSSPLSTLTPMTPLPPPLVKLNQDSQIENTSIQNIIRNYTPPQYVRPPAAPPPQPVPRASPVRQCHEELQAIIESQNHALTALHQYKHELDKYKSALQLMDATQNLIRNELQSLELANDRKRIIEHLLQMYLQTVRSNMNRSEKRLLKVESVIGGDVEVLQQLTEIQRKQKLLENNLLELEQKHVRNELLVEPEKSNVCIAGTVRQQPVIFTYTCKDQSEGARKYRNLYIFERPSGEETGEFGDEQGAVAGMAALLGLWWCTVCLPRM
+>sp|Q9BY76|ANGL4_HUMAN Angiopoietin-related protein 4 OS=Homo sapiens OX=9606 GN=ANGPTL4 PE=1 SV=2
+MSGAPTAGAALMLCAATAVLLSAQGGPVQSKSPRFASWDEMNVLAHGLLQLGQGLREHAERTRSQLSALERRLSACGSACQGTEGSTDLPLAPESRVDPEVLHSLQTQLKAQNSRIQQLFHKVAQQQRHLEKQHLRIQHLQSQFGLLDHKHLDHEVAKPARRKRLPEMAQPVDPAHNVSRLHRLPRDCQELFQVGERQSGLFEIQPQGSPPFLVNCKMTSDGGWTVIQRRHDGSVDFNRPWEAYKAGFGDPHGEFWLGLEKVHSITGDRNSRLAVQLRDWDGNAELLQFSVHLGGEDTAYSLQLTAPVAGQLGATTVPPSGLSVPFSTWDQDHDLRRDKNCAKSLSGGWWFGTCSHSNLNGQYFRSIPQQRQKLKKGIFWKTWRGRYYPLQATTMLIQPMAAEAAS
+>DECOY_sp|Q9BY76|ANGL4_HUMAN Angiopoietin-related protein 4 OS=Homo sapiens OX=9606 GN=ANGPTL4 PE=1 SV=2
+SAAEAAMPQILMTTAQLPYYRGRWTKWFIGKKLKQRQQPISRFYQGNLNSHSCTGFWWGGSLSKACNKDRRLDHDQDWTSFPVSLGSPPVTTAGLQGAVPATLQLSYATDEGGLHVSFQLLEANGDWDRLQVALRSNRDGTISHVKELGLWFEGHPDGFGAKYAEWPRNFDVSGDHRRQIVTWGGDSTMKCNVLFPPSGQPQIEFLGSQREGVQFLEQCDRPLRHLRSVNHAPDVPQAMEPLRKRRAPKAVEHDLHKHDLLGFQSQLHQIRLHQKELHRQQQAVKHFLQQIRSNQAKLQTQLSHLVEPDVRSEPALPLDTSGETGQCASGCASLRRELASLQSRTREAHERLGQGLQLLGHALVNMEDWSAFRPSKSQVPGGQASLLVATAACLMLAAGATPAGSM
+>sp|Q9Y264|ANGP4_HUMAN Angiopoietin-4 OS=Homo sapiens OX=9606 GN=ANGPT4 PE=1 SV=1
+MLSQLAMLQGSLLLVVATMSVAQQTRQEADRGCETLVVQHGHCSYTFLLPKSEPCPPGPEVSRDSNTLQRESLANPLHLGKLPTQQVKQLEQALQNNTQWLKKLERAIKTILRSKLEQVQQQMAQNQTAPMLELGTSLLNQTTAQIRKLTDMEAQLLNQTSRMDAQMPETFLSTNKLENQLLLQRQKLQQLQGQNSALEKRLQALETKQQEELASILSKKAKLLNTLSRQSAALTNIERGLRGVRHNSSLLQDQQHSLRQLLVLLRHLVQERANASAPAFIMAGEQVFQDCAEIQRSGASASGVYTIQVSNATKPRKVFCDLQSSGGRWTLIQRRENGTVNFQRNWKDYKQGFGDPAGEHWLGNEVVHQLTRRAAYSLRVELQDWEGHEAYAQYEHFHLGSENQLYRLSVVGYSGSAGRQSSLVLQNTSFSTLDSDNDHCLCKCAQVMSGGWWFDACGLSNLNGVYYHAPDNKYKMDGIRWHYFKGPSYSLRASRMMIRPLDI
+>DECOY_sp|Q9Y264|ANGP4_HUMAN Angiopoietin-4 OS=Homo sapiens OX=9606 GN=ANGPT4 PE=1 SV=1
+IDLPRIMMRSARLSYSPGKFYHWRIGDMKYKNDPAHYYVGNLNSLGCADFWWGGSMVQACKCLCHDNDSDLTSFSTNQLVLSSQRGASGSYGVVSLRYLQNESGLHFHEYQAYAEHGEWDQLEVRLSYAARRTLQHVVENGLWHEGAPDGFGQKYDKWNRQFNVTGNERRQILTWRGGSSQLDCFVKRPKTANSVQITYVGSASAGSRQIEACDQFVQEGAMIFAPASANAREQVLHRLLVLLQRLSHQQDQLLSSNHRVGRLGREINTLAASQRSLTNLLKAKKSLISALEEQQKTELAQLRKELASNQGQLQQLKQRQLLLQNELKNTSLFTEPMQADMRSTQNLLQAEMDTLKRIQATTQNLLSTGLELMPATQNQAMQQQVQELKSRLITKIARELKKLWQTNNQLAQELQKVQQTPLKGLHLPNALSERQLTNSDRSVEPGPPCPESKPLLFTYSCHGHQVVLTECGRDAEQRTQQAVSMTAVVLLLSGQLMALQSLM
+>sp|Q9NVM4|ANM7_HUMAN Protein arginine N-methyltransferase 7 OS=Homo sapiens OX=9606 GN=PRMT7 PE=1 SV=1
+MKIFCSRANPTTGSVEWLEEDEHYDYHQEIARSSYADMLHDKDRNVKYYQGIRAAVSRVKDRGQKALVLDIGTGTGLLSMMAVTAGADFCYAIEVFKPMADAAVKIVEKNGFSDKIKVINKHSTEVTVGPEGDMPCRANILVTELFDTELIGEGALPSYEHAHRHLVEENCEAVPHRATVYAQLVESGRMWSWNKLFPIHVQTSLGEQVIVPPVDVESCPGAPSVCDIQLNQVSPADFTVLSDVLPMFSIDFSKQVSSSAACHSRRFEPLTSGRAQVVLSWWDIEMDPEGKIKCTMAPFWAHSDPEEMQWRDHWMQCVYFLPQEEPVVQGSALYLVAHHDDYCVWYSLQRTSPEKNERVRQMRPVCDCQAHLLWNRPRFGEINDQDRTDRYVQALRTVLKPDSVCLCVSDGSLLSVLAHHLGVEQVFTVESSAASHKLLRKIFKANHLEDKINIIEKRPELLTNEDLQGRKVSLLLGEPFFTTSLLPWHNLYFWYVRTAVDQHLGPGAMVMPQAASLHAVVVEFRDLWRIRSPCGDCEGFDVHIMDDMIKRALDFRESREAEPHPLWEYPCRSLSEPWQILTFDFQQPVPLQPLCAEGTVELRRPGQSHAAVLWMEYHLTPECTLSTGLLEPADPEGGCCWNPHCKQAVYFFSPAPDPRALLGGPRTVSYAVEFHPDTGDIIMEFRHADTPD
+>DECOY_sp|Q9NVM4|ANM7_HUMAN Protein arginine N-methyltransferase 7 OS=Homo sapiens OX=9606 GN=PRMT7 PE=1 SV=1
+DPTDAHRFEMIIDGTDPHFEVAYSVTRPGGLLARPDPAPSFFYVAQKCHPNWCCGGEPDAPELLGTSLTCEPTLHYEMWLVAAHSQGPRRLEVTGEACLPQLPVPQQFDFTLIQWPESLSRCPYEWLPHPEAERSERFDLARKIMDDMIHVDFGECDGCPSRIRWLDRFEVVVAHLSAAQPMVMAGPGLHQDVATRVYWFYLNHWPLLSTTFFPEGLLLSVKRGQLDENTLLEPRKEIINIKDELHNAKFIKRLLKHSAASSEVTFVQEVGLHHALVSLLSGDSVCLCVSDPKLVTRLAQVYRDTRDQDNIEGFRPRNWLLHAQCDCVPRMQRVRENKEPSTRQLSYWVCYDDHHAVLYLASGQVVPEEQPLFYVCQMWHDRWQMEEPDSHAWFPAMTCKIKGEPDMEIDWWSLVVQARGSTLPEFRRSHCAASSSVQKSFDISFMPLVDSLVTFDAPSVQNLQIDCVSPAGPCSEVDVPPVIVQEGLSTQVHIPFLKNWSWMRGSEVLQAYVTARHPVAECNEEVLHRHAHEYSPLAGEGILETDFLETVLINARCPMDGEPGVTVETSHKNIVKIKDSFGNKEVIKVAADAMPKFVEIAYCFDAGATVAMMSLLGTGTGIDLVLAKQGRDKVRSVAARIGQYYKVNRDKDHLMDAYSSRAIEQHYDYHEDEELWEVSGTTPNARSCFIKM
+>sp|Q8N283|ANR35_HUMAN Ankyrin repeat domain-containing protein 35 OS=Homo sapiens OX=9606 GN=ANKRD35 PE=2 SV=2
+MKRIFSCSSTQVAVERWNRHDQKLLEAVHRGDVGRVAALASRKSARPTKLDSNGQSPFHLAASKGLTECLTILLANGADINSKNEDGSTALHLATISCQPQCVKVLLQHGANEDAVDAENRSPLHWAASSGCASSVLLLCDHEAFLDVLDNDGRTPLMIASLGGHAAICSQLLQRGARVNVTDKNDKSALILACEKGSAEVAELLLSHGADAGAVDSTGHDALHYALHTQDKALWRHLQQALSRRRRGGQRLVQHPDLASQASPSEPQAGSPPKSSWRAEPEEEQEEKEDEDPCSEEWRWKYEEERRKVVRLEQELVQKTEECKTQAAAYLDLENQIREQAQELGVLLSWEPRASGKQGSSLRPGGDGMEQGCPKDLLAESTQELKKQQQAAATVNPVLAPKKAEDSAPGKIQYEVHGRSQPEEQGPPQSPASETIRKATGQQLTTNGAQTFGPDHADQLPAGQKESSQVLGVEPGGTVAEPVGPAAMNQLLLQLREELAAVWREKDAARGALSRPVMEGALGTPRAEAAAAAWEKMEARLERVLARLEWAKAGLQVKPEVPSQESREGALKAAPGSIKQDEEKEKRVPGARGEPLGALGGEKALGGLAKGQLEKEMSVLRLSNSNLLEELGELGRERQRLQRELQSLSQRLQREFVPKPEAQVQLQQLRQSVGLLTNELAMEKEATEKLRKLLASQSSGLRGLWDCLPADLVGERSAQSKAAESLEELRACISTLVDRHREAQQVLARLQEENQQLRGSLSPCREPGTSLKAPASPQVAALEQDLGKLEEELRAVQATMSGKSQEIGKLKQLLYQATEEVAELRAREAASLRQHEKTRGSLVAQAQAWGQELKALLEKYNTACREVGRLREAVAEERRRSGDLAAQAAEQERQASEMRGRSEQFEKTAELLKEKMEHLIGACRDKEAKIKELLKKLEQLSEEVLAIRGENARLALQLQDSQKNHEEIISTYRNHLLNAARGYMEHEVYNILLQILSMEEE
+>DECOY_sp|Q8N283|ANR35_HUMAN Ankyrin repeat domain-containing protein 35 OS=Homo sapiens OX=9606 GN=ANKRD35 PE=2 SV=2
+EEEMSLIQLLINYVEHEMYGRAANLLHNRYTSIIEEHNKQSDQLQLALRANEGRIALVEESLQELKKLLEKIKAEKDRCAGILHEMKEKLLEATKEFQESRGRMESAQREQEAAQAALDGSRRREEAVAERLRGVERCATNYKELLAKLEQGWAQAQAVLSGRTKEHQRLSAAERARLEAVEETAQYLLQKLKGIEQSKGSMTAQVARLEEELKGLDQELAAVQPSAPAKLSTGPERCPSLSGRLQQNEEQLRALVQQAERHRDVLTSICARLEELSEAAKSQASREGVLDAPLCDWLGRLGSSQSALLKRLKETAEKEMALENTLLGVSQRLQQLQVQAEPKPVFERQLRQSLSQLERQLRQRERGLEGLEELLNSNSLRLVSMEKELQGKALGGLAKEGGLAGLPEGRAGPVRKEKEEDQKISGPAAKLAGERSEQSPVEPKVQLGAKAWELRALVRELRAEMKEWAAAAAEARPTGLAGEMVPRSLAGRAADKERWVAALEERLQLLLQNMAAPGVPEAVTGGPEVGLVQSSEKQGAPLQDAHDPGFTQAGNTTLQQGTAKRITESAPSQPPGQEEPQSRGHVEYQIKGPASDEAKKPALVPNVTAAAQQQKKLEQTSEALLDKPCGQEMGDGGPRLSSGQKGSARPEWSLLVGLEQAQERIQNELDLYAAAQTKCEETKQVLEQELRVVKRREEEYKWRWEESCPDEDEKEEQEEEPEARWSSKPPSGAQPESPSAQSALDPHQVLRQGGRRRRSLAQQLHRWLAKDQTHLAYHLADHGTSDVAGADAGHSLLLEAVEASGKECALILASKDNKDTVNVRAGRQLLQSCIAAHGGLSAIMLPTRGDNDLVDLFAEHDCLLLVSSACGSSAAWHLPSRNEADVADENAGHQLLVKVCQPQCSITALHLATSGDENKSNIDAGNALLITLCETLGKSAALHFPSQGNSDLKTPRASKRSALAAVRGVDGRHVAELLKQDHRNWREVAVQTSSCSFIRKM
+>sp|Q8N9B4|ANR42_HUMAN Ankyrin repeat domain-containing protein 42 OS=Homo sapiens OX=9606 GN=ANKRD42 PE=2 SV=2
+MPGVANSGPSTSSRETANPCSRKKVHFGSIHDAVRAGDVKQLSEIVCLHWLLWHGADITHVTTRGWTASHIAAIRGQDACVQALIMNGANLTAQDDRGCTPLHLAATHGHSFTLQIMLRSGVDPSVTDKREWRPVHYAAFHGRLGCLQLLVKWGCSIEDVDYNGNLPVHLAAMEGHLHCFKFLVSRMSSATQVLKAFNDNGENVLDLAQRFFKQNILQFIQGAEYEGKDLEDQETLAFPGHVAAFKGDLGMLKKLVEDGVININERADNGSTPMHKAAGQGHIECLQWLIKMGADSNITNKAGERPSDVAKRFAHLAAVKLLEELQKYDIDDENEIDENDVKYFIRHGVEGSTDAKDDLCLSDLDKTDARRPSKNCRASWSMNDYVEKN
+>DECOY_sp|Q8N9B4|ANR42_HUMAN Ankyrin repeat domain-containing protein 42 OS=Homo sapiens OX=9606 GN=ANKRD42 PE=2 SV=2
+NKEVYDNMSWSARCNKSPRRADTKDLDSLCLDDKADTSGEVGHRIFYKVDNEDIENEDDIDYKQLEELLKVAALHAFRKAVDSPREGAKNTINSDAGMKILWQLCEIHGQGAAKHMPTSGNDARENINIVGDEVLKKLMGLDGKFAAVHGPFALTEQDELDKGEYEAGQIFQLINQKFFRQALDLVNEGNDNFAKLVQTASSMRSVLFKFCHLHGEMAALHVPLNGNYDVDEISCGWKVLLQLCGLRGHFAAYHVPRWERKDTVSPDVGSRLMIQLTFSHGHTAALHLPTCGRDDQATLNAGNMILAQVCADQGRIAAIHSATWGRTTVHTIDAGHWLLWHLCVIESLQKVDGARVADHISGFHVKKRSCPNATERSSTSPGSNAVGPM
+>sp|Q86W74|ANR46_HUMAN Ankyrin repeat domain-containing protein 46 OS=Homo sapiens OX=9606 GN=ANKRD46 PE=1 SV=1
+MSYVFVNDSSQTNVPLLQACIDGDFNYSKRLLESGFDPNIRDSRGRTGLHLAAARGNVDICQLLHKFGADLLATDYQGNTALHLCGHVDTIQFLVSNGLKIDICNHQGATPLVLAKRRGVNKDVIRLLESLEEQEVKGFNRGTHSKLETMQTAESESAMESHSLLNPNLQQGEGVLSSFRTTWQEFVEDLGFWRVLLLIFVIALLSLGIAYYRRTLRLGSFARQDRSRIQAI
+>DECOY_sp|Q86W74|ANR46_HUMAN Ankyrin repeat domain-containing protein 46 OS=Homo sapiens OX=9606 GN=ANKRD46 PE=1 SV=1
+IAQIRSRDQRAFSGLRLTRRYYAIGLSLLAIVFILLLVRWFGLDEVFEQWTTRFSSLVGEGQQLNPNLLSHSEMASESEATQMTELKSHTGRNFGKVEQEELSELLRIVDKNVGRRKALVLPTAGQHNCIDIKLGNSVLFQITDVHGCLHLATNGQYDTALLDAGFKHLLQCIDVNGRAAALHLGTRGRSDRINPDFGSELLRKSYNFDGDICAQLLPVNTQSSDNVFVYSM
+>sp|Q8WVL7|ANR49_HUMAN Ankyrin repeat domain-containing protein 49 OS=Homo sapiens OX=9606 GN=ANKRD49 PE=1 SV=1
+MEKEKGNDDGIPDQENSLDFSEHFNQLELLETHGHLIPTGTQSLWVGNSDEDEEQDDKNEEWYRLQEKKMEKDPSRLLLWAAEKNRLTTVRRLLSEKATHVNTRDEDEYTPLHRAAYSGHLDIVQELIAQGADVHAVTVDGWTPLHSACKWNNTRVASFLLQHDADINAQTKGLLTPLHLAAGNRDSKDTLELLLMNRYVKPGLKNNLEETAFDIARRTSIYHYLFEIVEGCTNSSPQS
+>DECOY_sp|Q8WVL7|ANR49_HUMAN Ankyrin repeat domain-containing protein 49 OS=Homo sapiens OX=9606 GN=ANKRD49 PE=1 SV=1
+SQPSSNTCGEVIEFLYHYISTRRAIDFATEELNNKLGPKVYRNMLLLELTDKSDRNGAALHLPTLLGKTQANIDADHQLLFSAVRTNNWKCASHLPTWGDVTVAHVDAGQAILEQVIDLHGSYAARHLPTYEDEDRTNVHTAKESLLRRVTTLRNKEAAWLLLRSPDKEMKKEQLRYWEENKDDQEEDEDSNGVWLSQTGTPILHGHTELLELQNFHESFDLSNEQDPIGDDNGKEKEM
+>sp|Q8NB46|ANR52_HUMAN Serine/threonine-protein phosphatase 6 regulatory ankyrin repeat subunit C OS=Homo sapiens OX=9606 GN=ANKRD52 PE=1 SV=3
+MGILSITDQPPLVQAIFSRDVEEVRSLLSQKENINVLDQERRTPLHAAAYVGDVPILQLLLMSGANVNAKDTLWLTPLHRAAASRNEKVLGLLLAHSADVNARDKLWQTPLHVAAANRATKCAEALAPLLSSLNVADRSGRSALHHAVHSGHLETVNLLLNKGASLNVCDKKERQPLHWAAFLGHLEVLKLLVARGADLGCKDRKGYGLLHTAAASGQIEVVKYLLRMGAEIDEPNAFGNTALHIACYLGQDAVAIELVNAGANVNQPNDKGFTPLHVAAVSTNGALCLELLVNNGADVNYQSKEGKSPLHMAAIHGRFTRSQILIQNGSEIDCADKFGNTPLHVAARYGHELLISTLMTNGADTARRGIHDMFPLHLAVLFGFSDCCRKLLSSGQLYSIVSSLSNEHVLSAGFDINTPDNLGRTCLHAAASGGNVECLNLLLSSGADLRRRDKFGRTPLHYAAANGSYQCAVTLVTAGAGVNEADCKGCSPLHYAAASDTYRRAEPHTPSSHDAEEDEPLKESRRKEAFFCLEFLLDNGADPSLRDRQGYTAVHYAAAYGNRQNLELLLEMSFNCLEDVESTIPVSPLHLAAYNGHCEALKTLAETLVNLDVRDHKGRTALFLATERGSTECVEVLTAHGASALIKERKRKWTPLHAAAASGHTDSLHLLIDSGERADITDVMDAYGQTPLMLAIMNGHVDCVHLLLEKGSTADAADLRGRTALHRGAVTGCEDCLAALLDHDAFVLCRDFKGRTPIHLASACGHTAVLRTLLQAALSTDPLDAGVDYSGYSPMHWASYTGHEDCLELLLEHSPFSYLEGNPFTPLHCAVINNQDSTTEMLLGALGAKIVNSRDAKGRTPLHAAAFADNVSGLRMLLQHQAEVNATDHTGRTALMTAAENGQTAAVEFLLYRGKADLTVLDENKNTALHLACSKGHEKCALMILAETQDLGLINATNSALQMPLHIAARNGLASVVQALLSHGATVLAVDEEGHTPALACAPNKDVADCLALILSTMKPFPPKDAVSPFSFSLLKNCSIAAAKTVGGCGALPHGASCPYSQERPGAIGLDGCYSE
+>DECOY_sp|Q8NB46|ANR52_HUMAN Serine/threonine-protein phosphatase 6 regulatory ankyrin repeat subunit C OS=Homo sapiens OX=9606 GN=ANKRD52 PE=1 SV=3
+ESYCGDLGIAGPREQSYPCSAGHPLAGCGGVTKAAAISCNKLLSFSFPSVADKPPFPKMTSLILALCDAVDKNPACALAPTHGEEDVALVTAGHSLLAQVVSALGNRAAIHLPMQLASNTANILGLDQTEALIMLACKEHGKSCALHLATNKNEDLVTLDAKGRYLLFEVAATQGNEAATMLATRGTHDTANVEAQHQLLMRLGSVNDAFAAAHLPTRGKADRSNVIKAGLAGLLMETTSDQNNIVACHLPTFPNGELYSFPSHELLLELCDEHGTYSAWHMPSYGSYDVGADLPDTSLAAQLLTRLVATHGCASALHIPTRGKFDRCLVFADHDLLAALCDECGTVAGRHLATRGRLDAADATSGKELLLHVCDVHGNMIALMLPTQGYADMVDTIDAREGSDILLHLSDTHGSAAAAHLPTWKRKREKILASAGHATLVEVCETSGRETALFLATRGKHDRVDLNVLTEALTKLAECHGNYAALHLPSVPITSEVDELCNFSMELLLELNQRNGYAAAYHVATYGQRDRLSPDAGNDLLFELCFFAEKRRSEKLPEDEEADHSSPTHPEARRYTDSAAAYHLPSCGKCDAENVGAGATVLTVACQYSGNAAAYHLPTRGFKDRRRLDAGSSLLLNLCEVNGGSAAAHLCTRGLNDPTNIDFGASLVHENSLSSVISYLQGSSLLKRCCDSFGFLVALHLPFMDHIGRRATDAGNTMLTSILLEHGYRAAVHLPTNGFKDACDIESGNQILIQSRTFRGHIAAMHLPSKGEKSQYNVDAGNNVLLELCLAGNTSVAAVHLPTFGKDNPQNVNAGANVLEIAVADQGLYCAIHLATNGFANPEDIEAGMRLLYKVVEIQGSAAATHLLGYGKRDKCGLDAGRAVLLKLVELHGLFAAWHLPQREKKDCVNLSAGKNLLLNVTELHGSHVAHHLASRGSRDAVNLSSLLPALAEACKTARNAAAVHLPTQWLKDRANVDASHALLLGLVKENRSAAARHLPTLWLTDKANVNAGSMLLLQLIPVDGVYAAAHLPTRREQDLVNINEKQSLLSRVEEVDRSFIAQVLPPQDTISLIGM
+>sp|Q9BZ19|ANR60_HUMAN Ankyrin repeat domain-containing protein 60 OS=Homo sapiens OX=9606 GN=ANKRD60 PE=4 SV=3
+MTRGRAWGMRRAAAGAGGARAAGPTGGASRLHPNAGRRSGARAGAQGCGGPRVGSADSRALPAQPLACARGRSQRLVCDPKAASALPDLAPDVFVLRVRLEETGEMFRVANCRGDMTVRELKEELDLMVGIPFNLQRLQYLDEGVLMDDTTLKFHDVVPGGIISLCIWHHDGWTELVLAAVEGDPSKLSCLGLTEDSFYRTANSEHFEGEKWKHWTSQRAFVALYVASHRGHFDAVQYLLEHGASCLSRSPLGRTPLHVAAAMGRSDCIILLLQHGASIHDRDAKGETPISIAHRLNHTLSERQMVLLHRIAKSGIRDLNDLVMKNALQRVKSGFRSEKMTMTPH
+>DECOY_sp|Q9BZ19|ANR60_HUMAN Ankyrin repeat domain-containing protein 60 OS=Homo sapiens OX=9606 GN=ANKRD60 PE=4 SV=3
+HPTMTMKESRFGSKVRQLANKMVLDNLDRIGSKAIRHLLVMQRESLTHNLRHAISIPTEGKADRDHISAGHQLLLIICDSRGMAAAVHLPTRGLPSRSLCSAGHELLYQVADFHGRHSAVYLAVFARQSTWHKWKEGEFHESNATRYFSDETLGLCSLKSPDGEVAALVLETWGDHHWICLSIIGGPVVDHFKLTTDDMLVGEDLYQLRQLNFPIGVMLDLEEKLERVTMDGRCNAVRFMEGTEELRVRLVFVDPALDPLASAAKPDCVLRQSRGRACALPQAPLARSDASGVRPGGCGQAGARAGSRRGANPHLRSAGGTPGAARAGGAGAAARRMGWARGRTM
+>sp|E5RJM6|ANR65_HUMAN Ankyrin repeat domain-containing protein 65 OS=Homo sapiens OX=9606 GN=ANKRD65 PE=2 SV=2
+MDSQRPEPREEEEEEQELRWMELDSEEALGTRTEGPSVVQGWGHLLQAVWRGPAGLVTQLLRQGASVEERDHAGRTPLHLAVLRGHAPLVRLLLQRGAPVGAVDRAGRTALHEAAWHGHSRVAELLLQRGASAAARSGTGLTPLHWAAALGHTLLAARLLEAPGPGPAAAEAEDARGWTAAHWAAAGGRLAVLELLAAGGAGLDGALLVAAAAGRGAALRFLLARGARVDARDGAGATALGLAAALGRSQDIEVLLGHGADPGIRDRHGRSALHRAAARGHLLAVQLLVTQGAEVDARDTLGLTPLHHASREGHVEVAGCLLDRGAQVDATGWLRKTPLHLAAERGHGPTVGLLLSRGASPTLRTQWAEVAQMPEGDLPQALPELGGGEKECEGIESTG
+>DECOY_sp|E5RJM6|ANR65_HUMAN Ankyrin repeat domain-containing protein 65 OS=Homo sapiens OX=9606 GN=ANKRD65 PE=2 SV=2
+GTSEIGECEKEGGGLEPLAQPLDGEPMQAVEAWQTRLTPSAGRSLLLGVTPGHGREAALHLPTKRLWGTADVQAGRDLLCGAVEVHGERSAHHLPTLGLTDRADVEAGQTVLLQVALLHGRAAARHLASRGHRDRIGPDAGHGLLVEIDQSRGLAAALGLATAGAGDRADVRAGRALLFRLAAGRGAAAAVLLAGDLGAGGAALLELVALRGGAAAWHAATWGRADEAEAAAPGPGPAELLRAALLTHGLAAAWHLPTLGTGSRAAASAGRQLLLEAVRSHGHWAAEHLATRGARDVAGVPAGRQLLLRVLPAHGRLVALHLPTRGAHDREEVSAGQRLLQTVLGAPGRWVAQLLHGWGQVVSPGETRTGLAEESDLEMWRLEQEEEEEERPEPRQSDM
+>sp|Q8N8V4|ANS4B_HUMAN Ankyrin repeat and SAM domain-containing protein 4B OS=Homo sapiens OX=9606 GN=ANKS4B PE=1 SV=2
+MSTRYHQAASDSYLELLKEATKRDLNLSDEDGMTPTLLAAYHGNLEALEIICSRGGDPDRCDIWGNTPLHFAASNGHAHCVSFLVNFGANIFALDNDLQTPLDAAASREQNECVALLDKAATAQNIMNPKKVTRLKEQAQKNARRQIKECERLQEKHQNKMAHTYSKEESGTLSSSKGTFSRSSPSNASAPGTFGSLSKGIKDTFKIKFKKNKDTAEQVGKEGRSGQRNVMEVFREEEEDSFSGDFKEKLQLSAEEDGSVHHESILNRPGLGSIVFRRNRISSPEDISDSKREFGFKLPSELLQRQGASEADEGAADEEGEENGLKDDLPWDDDEVEWEEDVVDATPLEVFLLSQHLEEFLPIFKREQIDLEALLLCSDEDLQSIQMQLGPRKKVLNAINRRKQVLQQPGQLVDTSL
+>DECOY_sp|Q8N8V4|ANS4B_HUMAN Ankyrin repeat and SAM domain-containing protein 4B OS=Homo sapiens OX=9606 GN=ANKS4B PE=1 SV=2
+LSTDVLQGPQQLVQKRRNIANLVKKRPGLQMQISQLDEDSCLLLAELDIQERKFIPLFEELHQSLLFVELPTADVVDEEWEVEDDDWPLDDKLGNEEGEEDAAGEDAESAGQRQLLESPLKFGFERKSDSIDEPSSIRNRRFVISGLGPRNLISEHHVSGDEEASLQLKEKFDGSFSDEEEERFVEMVNRQGSRGEKGVQEATDKNKKFKIKFTDKIGKSLSGFTGPASANSPSSRSFTGKSSSLTGSEEKSYTHAMKNQHKEQLRECEKIQRRANKQAQEKLRTVKKPNMINQATAAKDLLAVCENQERSAAADLPTQLDNDLAFINAGFNVLFSVCHAHGNSAAFHLPTNGWIDCRDPDGGRSCIIELAELNGHYAALLTPTMGDEDSLNLDRKTAEKLLELYSDSAAQHYRTSM
+>sp|Q63HQ0|AP1AR_HUMAN AP-1 complex-associated regulatory protein OS=Homo sapiens OX=9606 GN=AP1AR PE=1 SV=1
+MGNCCWTQCFGLLRKEAGRLQRVGGGGGSKYFRTCSRGEHLTIEFENLVESDEGESPGSSHRPLTEEEIVDLRERHYDSIAEKQKDLDKKIQKELALQEEKLRLEEEALYAAQREAARAAKQRKLLEQERQRIVQQYHPSNNGEYQSSGPEDDFESCLRNMKSQYEVFRSSRLSSDATVLTPNTESSCDLMTKTKSTSGNDDSTSLDLEWEDEEGMNRMLPMRERSKTEEDILRAALKYSNKKTGSNPTSASDDSNGLEWENDFVSAEMDDNGNSEYSGFVNPVLELSDSGIRHSDTDQQTR
+>DECOY_sp|Q63HQ0|AP1AR_HUMAN AP-1 complex-associated regulatory protein OS=Homo sapiens OX=9606 GN=AP1AR PE=1 SV=1
+RTQQDTDSHRIGSDSLELVPNVFGSYESNGNDDMEASVFDNEWELGNSDDSASTPNSGTKKNSYKLAARLIDEETKSRERMPLMRNMGEEDEWELDLSTSDDNGSTSKTKTMLDCSSETNPTLVTADSSLRSSRFVEYQSKMNRLCSEFDDEPGSSQYEGNNSPHYQQVIRQREQELLKRQKAARAAERQAAYLAEEELRLKEEQLALEKQIKKDLDKQKEAISDYHRERLDVIEEETLPRHSSGPSEGEDSEVLNEFEITLHEGRSCTRFYKSGGGGGVRQLRGAEKRLLGFCQTWCCNGM
+>sp|Q10567|AP1B1_HUMAN AP-1 complex subunit beta-1 OS=Homo sapiens OX=9606 GN=AP1B1 PE=1 SV=2
+MTDSKYFTTTKKGEIFELKAELNSDKKEKKKEAVKKVIASMTVGKDVSALFPDVVNCMQTDNLELKKLVYLYLMNYAKSQPDMAIMAVNTFVKDCEDPNPLIRALAVRTMGCIRVDKITEYLCEPLRKCLKDEDPYVRKTAAVCVAKLHDINAQLVEDQGFLDTLKDLISDSNPMVVANAVAALSEIAESHPSSNLLDLNPQSINKLLTALNECTEWGQIFILDCLANYMPKDDREAQSICERVTPRLSHANSAVVLSAVKVLMKFMEMLSKDLDYYGTLLKKLAPPLVTLLSAEPELQYVALRNINLIVQKRPEILKHEMKVFFVKYNDPIYVKLEKLDIMIRLASQANIAQVLAELKEYATEVDVDFVRKAVRAIGRCAIKVEQSAERCVSTLLDLIQTKVNYVVQEAIVVIKDIFRKYPNKYESVIATLCENLDSLDEPEARAAMIWIVGEYAERIDNADELLESFLEGFHDESTQVQLQLLTAIVKLFLKKPTETQELVQQVLSLATQDSDNPDLRDRGYIYWRLLSTDPVAAKEVVLAEKPLISEETDLIEPTLLDELICYIGTLASVYHKPPSAFVEGGRGVVHKSLPPRTASSESAESPETAPTGAPPGEQPDVIPAQGDLLGDLLNLDLGPPVSGPPLATSSVQMGAVDLLGGGLDSLMGDEPEGIGGTNFVAPPTAAVPANLGAPIGSGLSDLFDLTSGVGTLSGSYVAPKAVWLPAMKAKGLEISGTFTRQVGSISMDLQLTNKALQVMTDFAIQFNRNSFGLAPATPLQVHAPLSPNQTVEISLPLSTVGSVMKMEPLNNLQVAVKNNIDVFYFSTLYPLHILFVEDGKMDRQMFLATWKDIPNENEAQFQIRDCPLNAEAASSKLQSSNIFTVAKRNVEGQDMLYQSLKLTNGIWVLAELRIQPGNPSCTDLELSLKCRAPEVSQHVYQAYETILKN
+>DECOY_sp|Q10567|AP1B1_HUMAN AP-1 complex subunit beta-1 OS=Homo sapiens OX=9606 GN=AP1B1 PE=1 SV=2
+NKLITEYAQYVHQSVEPARCKLSLELDTCSPNGPQIRLEALVWIGNTLKLSQYLMDQGEVNRKAVTFINSSQLKSSAAEANLPCDRIQFQAENENPIDKWTALFMQRDMKGDEVFLIHLPYLTSFYFVDINNKVAVQLNNLPEMKMVSGVTSLPLSIEVTQNPSLPAHVQLPTAPALGFSNRNFQIAFDTMVQLAKNTLQLDMSISGVQRTFTGSIELGKAKMAPLWVAKPAVYSGSLTGVGSTLDFLDSLGSGIPAGLNAPVAATPPAVFNTGGIGEPEDGMLSDLGGGLLDVAGMQVSSTALPPGSVPPGLDLNLLDGLLDGQAPIVDPQEGPPAGTPATEPSEASESSATRPPLSKHVVGRGGEVFASPPKHYVSALTGIYCILEDLLTPEILDTEESILPKEALVVEKAAVPDTSLLRWYIYGRDRLDPNDSDQTALSLVQQVLEQTETPKKLFLKVIATLLQLQVQTSEDHFGELFSELLEDANDIREAYEGVIWIMAARAEPEDLSDLNECLTAIVSEYKNPYKRFIDKIVVIAEQVVYNVKTQILDLLTSVCREASQEVKIACRGIARVAKRVFDVDVETAYEKLEALVQAINAQSALRIMIDLKELKVYIPDNYKVFFVKMEHKLIEPRKQVILNINRLAVYQLEPEASLLTVLPPALKKLLTGYYDLDKSLMEMFKMLVKVASLVVASNAHSLRPTVRECISQAERDDKPMYNALCDLIFIQGWETCENLATLLKNISQPNLDLLNSSPHSEAIESLAAVANAVVMPNSDSILDKLTDLFGQDEVLQANIDHLKAVCVAATKRVYPDEDKLCKRLPECLYETIKDVRICGMTRVALARILPNPDECDKVFTNVAMIAMDPQSKAYNMLYLYVLKKLELNDTQMCNVVDPFLASVDKGVTMSAIVKKVAEKKKEKKDSNLEAKLEFIEGKKTTTFYKSDTM
+>sp|Q9Y6Q5|AP1M2_HUMAN AP-1 complex subunit mu-2 OS=Homo sapiens OX=9606 GN=AP1M2 PE=1 SV=4
+MSASAVFILDVKGKPLISRNYKGDVAMSKIEHFMPLLVQREEEGALAPLLSHGQVHFLWIKHSNLYLVATTSKNANASLVYSFLYKTIEVFCEYFKELEEESIRDNFVIVYELLDELMDFGFPQTTDSKILQEYITQQSNKLETGKSRVPPTVTNAVSWRSEGIKYKKNEVFIDVIESVNLLVNANGSVLLSEIVGTIKLKVFLSGMPELRLGLNDRVLFELTGRSKNKSVELEDVKFHQCVRLSRFDNDRTISFIPPDGDFELMSYRLSTQVKPLIWIESVIEKFSHSRVEIMVKAKGQFKKQSVANGVEISVPVPSDADSPRFKTSVGSAKYVPERNVVIWSIKSFPGGKEYLMRAHFGLPSVEKEEVEGRPPIGVKFEIPYFTVSGIQVRYMKIIEKSGYQALPWVRYITQSGDYQLRTS
+>DECOY_sp|Q9Y6Q5|AP1M2_HUMAN AP-1 complex subunit mu-2 OS=Homo sapiens OX=9606 GN=AP1M2 PE=1 SV=4
+STRLQYDGSQTIYRVWPLAQYGSKEIIKMYRVQIGSVTFYPIEFKVGIPPRGEVEEKEVSPLGFHARMLYEKGGPFSKISWIVVNREPVYKASGVSTKFRPSDADSPVPVSIEVGNAVSQKKFQGKAKVMIEVRSHSFKEIVSEIWILPKVQTSLRYSMLEFDGDPPIFSITRDNDFRSLRVCQHFKVDELEVSKNKSRGTLEFLVRDNLGLRLEPMGSLFVKLKITGVIESLLVSGNANVLLNVSEIVDIFVENKKYKIGESRWSVANTVTPPVRSKGTELKNSQQTIYEQLIKSDTTQPFGFDMLEDLLEYVIVFNDRISEEELEKFYECFVEITKYLFSYVLSANANKSTTAVLYLNSHKIWLFHVQGHSLLPALAGEEERQVLLPMFHEIKSMAVDGKYNRSILPKGKVDLIFVASASM
+>sp|O94973|AP2A2_HUMAN AP-2 complex subunit alpha-2 OS=Homo sapiens OX=9606 GN=AP2A2 PE=1 SV=2
+MPAVSKGDGMRGLAVFISDIRNCKSKEAEIKRINKELANIRSKFKGDKALDGYSKKKYVCKLLFIFLLGHDIDFGHMEAVNLLSSNRYTEKQIGYLFISVLVNSNSELIRLINNAIKNDLASRNPTFMGLALHCIASVGSREMAEAFAGEIPKVLVAGDTMDSVKQSAALCLLRLYRTSPDLVPMGDWTSRVVHLLNDQHLGVVTAATSLITTLAQKNPEEFKTSVSLAVSRLSRIVTSASTDLQDYTYYFVPAPWLSVKLLRLLQCYPPPDPAVRGRLTECLETILNKAQEPPKSKKVQHSNAKNAVLFEAISLIIHHDSEPNLLVRACNQLGQFLQHRETNLRYLALESMCTLASSEFSHEAVKTHIETVINALKTERDVSVRQRAVDLLYAMCDRSNAPQIVAEMLSYLETADYSIREEIVLKVAILAEKYAVDYTWYVDTILNLIRIAGDYVSEEVWYRVIQIVINRDDVQGYAAKTVFEALQAPACHENLVKVGGYILGEFGNLIAGDPRSSPLIQFHLLHSKFHLCSVPTRALLLSTYIKFVNLFPEVKPTIQDVLRSDSQLRNADVELQQRAVEYLRLSTVASTDILATVLEEMPPFPERESSILAKLKKKKGPSTVTDLEDTKRDRSVDVNGGPEPAPASTSAVSTPSPSADLLGLGAAPPAPAGPPPSSGGSGLLVDVFSDSASVVAPLAPGSEDNFARFVCKNNGVLFENQLLQIGLKSEFRQNLGRMFIFYGNKTSTQFLNFTPTLICSDDLQPNLNLQTKPVDPTVEGGAQVQQVVNIECVSDFTEAPVLNIQFRYGGTFQNVSVQLPITLNKFFQPTEMASQDFFQRWKQLSNPQQEVQNIFKAKHPMDTEVTKAKIIGFGSALLEEVDPNPANFVGAGIIHTKTTQIGCLLRLEPNLQAQMYRLTLRTSKEAVSQRLCELLSAQF
+>DECOY_sp|O94973|AP2A2_HUMAN AP-2 complex subunit alpha-2 OS=Homo sapiens OX=9606 GN=AP2A2 PE=1 SV=2
+FQASLLECLRQSVAEKSTRLTLRYMQAQLNPELRLLCGIQTTKTHIIGAGVFNAPNPDVEELLASGFGIIKAKTVETDMPHKAKFINQVEQQPNSLQKWRQFFDQSAMETPQFFKNLTIPLQVSVNQFTGGYRFQINLVPAETFDSVCEINVVQQVQAGGEVTPDVPKTQLNLNPQLDDSCILTPTFNLFQTSTKNGYFIFMRGLNQRFESKLGIQLLQNEFLVGNNKCVFRAFNDESGPALPAVVSASDSFVDVLLGSGGSSPPPGAPAPPAAGLGLLDASPSPTSVASTSAPAPEPGGNVDVSRDRKTDELDTVTSPGKKKKLKALISSEREPFPPMEELVTALIDTSAVTSLRLYEVARQQLEVDANRLQSDSRLVDQITPKVEPFLNVFKIYTSLLLARTPVSCLHFKSHLLHFQILPSSRPDGAILNGFEGLIYGGVKVLNEHCAPAQLAEFVTKAAYGQVDDRNIVIQIVRYWVEESVYDGAIRILNLITDVYWTYDVAYKEALIAVKLVIEERISYDATELYSLMEAVIQPANSRDCMAYLLDVARQRVSVDRETKLANIVTEIHTKVAEHSFESSALTCMSELALYRLNTERHQLFQGLQNCARVLLNPESDHHIILSIAEFLVANKANSHQVKKSKPPEQAKNLITELCETLRGRVAPDPPPYCQLLRLLKVSLWPAPVFYYTYDQLDTSASTVIRSLRSVALSVSTKFEEPNKQALTTILSTAATVVGLHQDNLLHVVRSTWDGMPVLDPSTRYLRLLCLAASQKVSDMTDGAVLVKPIEGAFAEAMERSGVSAICHLALGMFTPNRSALDNKIANNILRILESNSNVLVSIFLYGIQKETYRNSSLLNVAEMHGFDIDHGLLFIFLLKCVYKKKSYGDLAKDGKFKSRINALEKNIRKIEAEKSKCNRIDSIFVALGRMGDGKSVAPM
+>sp|P63010|AP2B1_HUMAN AP-2 complex subunit beta OS=Homo sapiens OX=9606 GN=AP2B1 PE=1 SV=1
+MTDSKYFTTNKKGEIFELKAELNNEKKEKRKEAVKKVIAAMTVGKDVSSLFPDVVNCMQTDNLELKKLVYLYLMNYAKSQPDMAIMAVNSFVKDCEDPNPLIRALAVRTMGCIRVDKITEYLCEPLRKCLKDEDPYVRKTAAVCVAKLHDINAQMVEDQGFLDSLRDLIADSNPMVVANAVAALSEISESHPNSNLLDLNPQNINKLLTALNECTEWGQIFILDCLSNYNPKDDREAQSICERVTPRLSHANSAVVLSAVKVLMKFLELLPKDSDYYNMLLKKLAPPLVTLLSGEPEVQYVALRNINLIVQKRPEILKQEIKVFFVKYNDPIYVKLEKLDIMIRLASQANIAQVLAELKEYATEVDVDFVRKAVRAIGRCAIKVEQSAERCVSTLLDLIQTKVNYVVQEAIVVIRDIFRKYPNKYESIIATLCENLDSLDEPDARAAMIWIVGEYAERIDNADELLESFLEGFHDESTQVQLTLLTAIVKLFLKKPSETQELVQQVLSLATQDSDNPDLRDRGYIYWRLLSTDPVTAKEVVLSEKPLISEETDLIEPTLLDELICHIGSLASVYHKPPNAFVEGSHGIHRKHLPIHHGSTDAGDSPVGTTTATNLEQPQVIPSQGDLLGDLLNLDLGPPVNVPQVSSMQMGAVDLLGGGLDSLVGQSFIPSSVPATFAPSPTPAVVSSGLNDLFELSTGIGMAPGGYVAPKAVWLPAVKAKGLEISGTFTHRQGHIYMEMNFTNKALQHMTDFAIQFNKNSFGVIPSTPLAIHTPLMPNQSIDVSLPLNTLGPVMKMEPLNNLQVAVKNNIDVFYFSCLIPLNVLFVEDGKMERQVFLATWKDIPNENELQFQIKECHLNADTVSSKLQNNNVYTIAKRNVEGQDMLYQSLKLTNGIWILAELRIQPGNPNYTLSLKCRAPEVSQYIYQVYDSILKN
+>DECOY_sp|P63010|AP2B1_HUMAN AP-2 complex subunit beta OS=Homo sapiens OX=9606 GN=AP2B1 PE=1 SV=1
+NKLISDYVQYIYQSVEPARCKLSLTYNPNGPQIRLEALIWIGNTLKLSQYLMDQGEVNRKAITYVNNNQLKSSVTDANLHCEKIQFQLENENPIDKWTALFVQREMKGDEVFLVNLPILCSFYFVDINNKVAVQLNNLPEMKMVPGLTNLPLSVDISQNPMLPTHIALPTSPIVGFSNKNFQIAFDTMHQLAKNTFNMEMYIHGQRHTFTGSIELGKAKVAPLWVAKPAVYGGPAMGIGTSLEFLDNLGSSVVAPTPSPAFTAPVSSPIFSQGVLSDLGGGLLDVAGMQMSSVQPVNVPPGLDLNLLDGLLDGQSPIVQPQELNTATTTGVPSDGADTSGHHIPLHKRHIGHSGEVFANPPKHYVSALSGIHCILEDLLTPEILDTEESILPKESLVVEKATVPDTSLLRWYIYGRDRLDPNDSDQTALSLVQQVLEQTESPKKLFLKVIATLLTLQVQTSEDHFGELFSELLEDANDIREAYEGVIWIMAARADPEDLSDLNECLTAIISEYKNPYKRFIDRIVVIAEQVVYNVKTQILDLLTSVCREASQEVKIACRGIARVAKRVFDVDVETAYEKLEALVQAINAQSALRIMIDLKELKVYIPDNYKVFFVKIEQKLIEPRKQVILNINRLAVYQVEPEGSLLTVLPPALKKLLMNYYDSDKPLLELFKMLVKVASLVVASNAHSLRPTVRECISQAERDDKPNYNSLCDLIFIQGWETCENLATLLKNINQPNLDLLNSNPHSESIESLAAVANAVVMPNSDAILDRLSDLFGQDEVMQANIDHLKAVCVAATKRVYPDEDKLCKRLPECLYETIKDVRICGMTRVALARILPNPDECDKVFSNVAMIAMDPQSKAYNMLYLYVLKKLELNDTQMCNVVDPFLSSVDKGVTMAAIVKKVAEKRKEKKENNLEAKLEFIEGKKNTTFYKSDTM
+>sp|Q7Z6R9|AP2D_HUMAN Transcription factor AP-2-delta OS=Homo sapiens OX=9606 GN=TFAP2D PE=1 SV=1
+MSTTFPGLVHDAEIRHDGSNSYRLMQLGCLESVANSTVAYSSSSPLTYSTTGTEFASPYFSTNHQYTPLHHQSFHYEFQHSHPAVTPDAYSLNSLHHSQQYYQQIHHGEPTDFINLHNARALKSSCLDEQRRELGCLDAYRRHDLSLMSHGSQYGMHPDQRLLPGPSLGLAAAGADDLQGSVEAQCGLVLNGQGGVIRRGGTCVVNPTDLFCSVPGRLSLLSSTSKYKVTIAEVKRRLSPPECLNASLLGGILRRAKSKNGGRCLREKLDRLGLNLPAGRRKAANVTLLTSLVEGEALHLARDFGYTCETEFPAKAVGEHLARQHMEQKEQTARKKMILATKQICKEFQDLLSQDRSPLGSSRPTPILDLDIQRHLTHFSLITHGFGTPAICAALSTFQTVLSEMLNYLEKHTTHKNGGAADSGQGHANSEKAPLRKTSEAAVKEGKTEKTD
+>DECOY_sp|Q7Z6R9|AP2D_HUMAN Transcription factor AP-2-delta OS=Homo sapiens OX=9606 GN=TFAP2D PE=1 SV=1
+DTKETKGEKVAAESTKRLPAKESNAHGQGSDAAGGNKHTTHKELYNLMESLVTQFTSLAACIAPTGFGHTILSFHTLHRQIDLDLIPTPRSSGLPSRDQSLLDQFEKCIQKTALIMKKRATQEKQEMHQRALHEGVAKAPFETECTYGFDRALHLAEGEVLSTLLTVNAAKRRGAPLNLGLRDLKERLCRGGNKSKARRLIGGLLSANLCEPPSLRRKVEAITVKYKSTSSLLSLRGPVSCFLDTPNVVCTGGRRIVGGQGNLVLGCQAEVSGQLDDAGAAALGLSPGPLLRQDPHMGYQSGHSMLSLDHRRYADLCGLERRQEDLCSSKLARANHLNIFDTPEGHHIQQYYQQSHHLSNLSYADPTVAPHSHQFEYHFSQHHLPTYQHNTSFYPSAFETGTTSYTLPSSSSYAVTSNAVSELCGLQMLRYSNSGDHRIEADHVLGPFTTSM
+>sp|Q2VPB7|AP5B1_HUMAN AP-5 complex subunit beta-1 OS=Homo sapiens OX=9606 GN=AP5B1 PE=1 SV=4
+MGPLSRDAWAQRLGAFRASPSAFMAGPEGEDLGRDLLSDLRSEKLSEQTKVSLLALSMEYPAQLWPDASAAEVAATSLLDTLVLLPPRPSALRRPLLLAATTALAAGGALGPTSGASCRLLPLLLGLAAGSDLGRGFVPASEQRPLQATACECLRELESCKPGLLGGSLGLLRGLLGQEGPVQPLSLLLALALRNTLVLQSRVGAGLGGLLTDKVSPTGGGPWDWTLVEEGDGRLQPQAPSWPAAEEGEGERSLTAREHSPEEARELRAAVIQLLDTSYLLTPVAQAQLLWLLGWALRGLQGQPPALFKPQLVRLLGTAQLTLLHAMLALKAAFGEALFTAQDEALLLRRLTLAAQHPALPPPTHLFYLHCVLSFPENWPLGPEGEEAAPLLLGPQLCRGLLPSLLHDPMALLARLHLLCLLCAEEEEEEKGQLPSPRHYLEELLAGLRQRAALDGGPRALATLCFQASYLVACCLAGQPTVLTPLIHGLAQLYQARPMLAPHFVDLLDQVDSELREPLKVVLRQVVVSRPGRDEALCWHLQMLAKVADGDAQSATLNFLQAAAAHCTNWDLQQGLLRVCRALLRAGVRGGLVDLLQVLARQLEDPDGRDHARLYYILLAHLAAPKLGVALGPSLAAPALASSLVAENQGFVAALMVQEAPALVRLSLGSHRVKGPLPVLKLQPEALEPIYSLELRFRVEGQLYAPLEAVHVPCLCPGRPARPLLLPLQPRCPAPARLDVHALYTTSTGLTCHAHLPPLFVNFADLFLPFPQPPEGAGLGFFEELWDSCLPEGAESRVWCPLGPQGLEGLVSRHLEPFVVVAQPPTSYCVAIHLPPDSKLLLRLEAALADGVPVALRTDDWAVLPLAGDYLRGLAAAV
+>DECOY_sp|Q2VPB7|AP5B1_HUMAN AP-5 complex subunit beta-1 OS=Homo sapiens OX=9606 GN=AP5B1 PE=1 SV=4
+VAAALGRLYDGALPLVAWDDTRLAVPVGDALAAELRLLLKSDPPLHIAVCYSTPPQAVVVFPELHRSVLGELGQPGLPCWVRSEAGEPLCSDWLEEFFGLGAGEPPQPFPLFLDAFNVFLPPLHAHCTLGTSTTYLAHVDLRAPAPCRPQLPLLLPRAPRGPCLCPVHVAELPAYLQGEVRFRLELSYIPELAEPQLKLVPLPGKVRHSGLSLRVLAPAEQVMLAAVFGQNEAVLSSALAPAALSPGLAVGLKPAALHALLIYYLRAHDRGDPDELQRALVQLLDVLGGRVGARLLARCVRLLGQQLDWNTCHAAAAQLFNLTASQADGDAVKALMQLHWCLAEDRGPRSVVVQRLVVKLPERLESDVQDLLDVFHPALMPRAQYLQALGHILPTLVTPQGALCCAVLYSAQFCLTALARPGGDLAARQRLGALLEELYHRPSPLQGKEEEEEEACLLCLLHLRALLAMPDHLLSPLLGRCLQPGLLLPAAEEGEPGLPWNEPFSLVCHLYFLHTPPPLAPHQAALTLRRLLLAEDQATFLAEGFAAKLALMAHLLTLQATGLLRVLQPKFLAPPQGQLGRLAWGLLWLLQAQAVPTLLYSTDLLQIVAARLERAEEPSHERATLSREGEGEEAAPWSPAQPQLRGDGEEVLTWDWPGGGTPSVKDTLLGGLGAGVRSQLVLTNRLALALLLSLPQVPGEQGLLGRLLGLSGGLLGPKCSELERLCECATAQLPRQESAPVFGRGLDSGAALGLLLPLLRCSAGSTPGLAGGAALATTAALLLPRRLASPRPPLLVLTDLLSTAAVEAASADPWLQAPYEMSLALLSVKTQESLKESRLDSLLDRGLDEGEPGAMFASPSARFAGLRQAWADRSLPGM
+>sp|O43299|AP5Z1_HUMAN AP-5 complex subunit zeta-1 OS=Homo sapiens OX=9606 GN=AP5Z1 PE=1 SV=2
+MFSAGAESLLHQAREIQDEELKKFCSRICKLLQAEDLGPDTLDSLQRLFLIISATKYSRRLEKTCVDLLQATLGLPACPEQLQVLCAAILREMSPSDSLSLAWDHTQNSRQLSLVASVLLAQGDRNEEVRAVGQGVLRALESRQPEGPSLRHLLPVMAKVVVLSPGTLQEDQATLLSKRLVDWLRYASLQQGLPHSGGFFSTPRARQPGPVTEVDGAVATDFFTVLSSGHRFTDDQWLNVQAFSMLRAWLLHSGPEGPGTLDTDDRSEQEGSTLSVISATSSAGRLLPPRERLREVAFEYCQRLIEQSNRRALRKGDSDLQKACLVEAVLVLDVLCRQDPSFLYRSLSCLKALHGRVRGDPASVRVLLPLAHFFLSHGEAAAVDSEAVYQHLFTRIPVEQFHSPMLAFEFIQFCRDNLHLFSGHLSTLRLSFPNLFKFLAWNSPPLTSEFVALLPALVDAGTALEMLHALLDLPCLTAVLDLQLRSAPAASERPLWDTSLRAPSCLEAFRDPQFQGLFQYLLRPKASGATERLAPLHQLLQPMAGCARVAQCAQAVPTLLQAFFSAVTQVADGSLINQLALLLLGRSDSLYPAPGYAAGVHSVLSSQFLALCTLKPSLVVELARDLLEFLGSVNGLCSRASLVTSVVWAIGEYLSVTYDRRCTVEQINKFFEALEALLFEVTQCRPSAALPRCPPQVVTVLMTTLTKLASRSQDLIPRASLLLSKMRTLAHSPATSSTHSEEGAEAIRTRATELLTLLKMPSVAQFVLTPSTEVCSPRYHRDANTALPLALRTVSRLVEREAGLMPG
+>DECOY_sp|O43299|AP5Z1_HUMAN AP-5 complex subunit zeta-1 OS=Homo sapiens OX=9606 GN=AP5Z1 PE=1 SV=2
+GPMLGAEREVLRSVTRLALPLATNADRHYRPSCVETSPTLVFQAVSPMKLLTLLETARTRIAEAGEESHTSSTAPSHALTRMKSLLLSARPILDQSRSALKTLTTMLVTVVQPPCRPLAASPRCQTVEFLLAELAEFFKNIQEVTCRRDYTVSLYEGIAWVVSTVLSARSCLGNVSGLFELLDRALEVVLSPKLTCLALFQSSLVSHVGAAYGPAPYLSDSRGLLLLALQNILSGDAVQTVASFFAQLLTPVAQACQAVRACGAMPQLLQHLPALRETAGSAKPRLLYQFLGQFQPDRFAELCSPARLSTDWLPRESAAPASRLQLDLVATLCPLDLLAHLMELATGADVLAPLLAVFESTLPPSNWALFKFLNPFSLRLTSLHGSFLHLNDRCFQIFEFALMPSHFQEVPIRTFLHQYVAESDVAAAEGHSLFFHALPLLVRVSAPDGRVRGHLAKLCSLSRYLFSPDQRCLVDLVLVAEVLCAKQLDSDGKRLARRNSQEILRQCYEFAVERLRERPPLLRGASSTASIVSLTSGEQESRDDTDLTGPGEPGSHLLWARLMSFAQVNLWQDDTFRHGSSLVTFFDTAVAGDVETVPGPQRARPTSFFGGSHPLGQQLSAYRLWDVLRKSLLTAQDEQLTGPSLVVVKAMVPLLHRLSPGEPQRSELARLVGQGVARVEENRDGQALLVSAVLSLQRSNQTHDWALSLSDSPSMERLIAACLVQLQEPCAPLGLTAQLLDVCTKELRRSYKTASIILFLRQLSDLTDPGLDEAQLLKCIRSCFKKLEEDQIERAQHLLSEAGASFM
+>sp|P02749|APOH_HUMAN Beta-2-glycoprotein 1 OS=Homo sapiens OX=9606 GN=APOH PE=1 SV=3
+MISPVLILFSSFLCHVAIAGRTCPKPDDLPFSTVVPLKTFYEPGEEITYSCKPGYVSRGGMRKFICPLTGLWPINTLKCTPRVCPFAGILENGAVRYTTFEYPNTISFSCNTGFYLNGADSAKCTEEGKWSPELPVCAPIICPPPSIPTFATLRVYKPSAGNNSLYRDTAVFECLPQHAMFGNDTITCTTHGNWTKLPECREVKCPFPSRPDNGFVNYPAKPTLYYKDKATFGCHDGYSLDGPEEIECTKLGNWSAMPSCKASCKVPVKKATVVYQGERVKIQEKFKNGMLHGDKVSFFCKNKEKKCSYTEDAQCIDGTIEVPKCFKEHSSLAFWKTDASDVKPC
+>DECOY_sp|P02749|APOH_HUMAN Beta-2-glycoprotein 1 OS=Homo sapiens OX=9606 GN=APOH PE=1 SV=3
+CPKVDSADTKWFALSSHEKFCKPVEITGDICQADETYSCKKEKNKCFFSVKDGHLMGNKFKEQIKVREGQYVVTAKKVPVKCSAKCSPMASWNGLKTCEIEEPGDLSYGDHCGFTAKDKYYLTPKAPYNVFGNDPRSPFPCKVERCEPLKTWNGHTTCTITDNGFMAHQPLCEFVATDRYLSNNGASPKYVRLTAFTPISPPPCIIPACVPLEPSWKGEETCKASDAGNLYFGTNCSFSITNPYEFTTYRVAGNELIGAFPCVRPTCKLTNIPWLGTLPCIFKRMGGRSVYGPKCSYTIEEGPEYFTKLPVVTSFPLDDPKPCTRGAIAVHCLFSSFLILVPSIM
+>sp|O14791|APOL1_HUMAN Apolipoprotein L1 OS=Homo sapiens OX=9606 GN=APOL1 PE=1 SV=5
+MEGAALLRVSVLCIWMSALFLGVGVRAEEAGARVQQNVPSGTDTGDPQSKPLGDWAAGTMDPESSIFIEDAIKYFKEKVSTQNLLLLLTDNEAWNGFVAAAELPRNEADELRKALDNLARQMIMKDKNWHDKGQQYRNWFLKEFPRLKSELEDNIRRLRALADGVQKVHKGTTIANVVSGSLSISSGILTLVGMGLAPFTEGGSLVLLEPGMELGITAALTGITSSTMDYGKKWWTQAQAHDLVIKSLDKLKEVREFLGENISNFLSLAGNTYQLTRGIGKDIRALRRARANLQSVPHASASRPRVTEPISAESGEQVERVNEPSILEMSRGVKLTDVAPVSFFLVLDVVYLVYESKHLHEGAKSETAEELKKVAQELEEKLNILNNNYKILQADQEL
+>DECOY_sp|O14791|APOL1_HUMAN Apolipoprotein L1 OS=Homo sapiens OX=9606 GN=APOL1 PE=1 SV=5
+LEQDAQLIKYNNNLINLKEELEQAVKKLEEATESKAGEHLHKSEYVLYVVDLVLFFSVPAVDTLKVGRSMELISPENVREVQEGSEASIPETVRPRSASAHPVSQLNARARRLARIDKGIGRTLQYTNGALSLFNSINEGLFERVEKLKDLSKIVLDHAQAQTWWKKGYDMTSSTIGTLAATIGLEMGPELLVLSGGETFPALGMGVLTLIGSSISLSGSVVNAITTGKHVKQVGDALARLRRINDELESKLRPFEKLFWNRYQQGKDHWNKDKMIMQRALNDLAKRLEDAENRPLEAAAVFGNWAENDTLLLLLNQTSVKEKFYKIADEIFISSEPDMTGAAWDGLPKSQPDGTDTGSPVNQQVRAGAEEARVGVGLFLASMWICLVSVRLLAAGEM
+>sp|Q7Z2E3|APTX_HUMAN Aprataxin OS=Homo sapiens OX=9606 GN=APTX PE=1 SV=2
+MSNVNLSVSDFWRVMMRVCWLVRQDSRHQRIRLPHLEAVVIGRGPETKITDKKCSRQQVQLKAECNKGYVKVKQVGVNPTSIDSVVIGKDQEVKLQPGQVLHMVNELYPYIVEFEEEAKNPGLETHRKRKRSGNSDSIERDAAQEAEAGTGLEPGSNSGQCSVPLKKGKDAPIKKESLGHWSQGLKISMQDPKMQVYKDEQVVVIKDKYPKARYHWLVLPWTSISSLKAVAREHLELLKHMHTVGEKVIVDFAGSSKLRFRLGYHAIPSMSHVHLHVISQDFDSPCLKNKKHWNSFNTEYFLESQAVIEMVQEAGRVTVRDGMPELLKLPLRCHECQQLLPSIPQLKEHLRKHWTQ
+>DECOY_sp|Q7Z2E3|APTX_HUMAN Aprataxin OS=Homo sapiens OX=9606 GN=APTX PE=1 SV=2
+QTWHKRLHEKLQPISPLLQQCEHCRLPLKLLEPMGDRVTVRGAEQVMEIVAQSELFYETNFSNWHKKNKLCPSDFDQSIVHLHVHSMSPIAHYGLRFRLKSSGAFDVIVKEGVTHMHKLLELHERAVAKLSSISTWPLVLWHYRAKPYKDKIVVVQEDKYVQMKPDQMSIKLGQSWHGLSEKKIPADKGKKLPVSCQGSNSGPELGTGAEAEQAADREISDSNGSRKRKRHTELGPNKAEEEFEVIYPYLENVMHLVQGPQLKVEQDKGIVVSDISTPNVGVQKVKVYGKNCEAKLQVQQRSCKKDTIKTEPGRGIVVAELHPLRIRQHRSDQRVLWCVRMMVRWFDSVSLNVNSM
+>sp|O15013|ARHGA_HUMAN Rho guanine nucleotide exchange factor 10 OS=Homo sapiens OX=9606 GN=ARHGEF10 PE=1 SV=4
+MRPPGFLSRAPSLNRAERGIWSCSMDQREPLPPAPAENEMKYDTNNNEEEEGEQFDFDSGDEIPEADRQAPSAPETGGAGASEAPAPTGGEDGAGAETTPVAEPTKLVLPMKVNPYSVIDITPFQEDQPPTPVPSAEEENVGLHVPCGYLVPVPCGYAVPSNLPLLLPAYSSPVIICATSLDEEAETPEVTEDRQPNSLSSEEPPTSEDQVGREDSALARWAADPANTAWMENPEEAIYDDVPRENSDSEPDEMIYDDVENGDEGGNSSLEYGWSSSEFESYEEQSDSECKNGIPRSFLRSNHKKQLSHDLTRLKEHYEKKMRDLMASTVGVVEIQQLRQKHELKMQKLVKAAKDGTKDGLERTRAAVKRGRSFIRTKSLIAQDHRSSLEEEQNLFIDVDCKHPEAILTPMPEGLSQQQVVRRYILGSVVDSEKNYVDALKRILEQYEKPLSEMEPKVLSERKLKTVFYRVKEILQCHSLFQIALASRVSEWDSVEMIGDVFVASFSKSMVLDAYSEYVNNFSTAVAVLKKTCATKPAFLEFLKQEQEASPDRTTLYSLMMKPIQRFPQFILLLQDMLKNTSKGHPDRLPLQMALTELETLAEKLNERKRDADQRCEVKQIAKAINERYLNKLLSSGSRYLIRSDDMIETVYNDRGEIVKTKERRVFMLNDVLMCATVSSRPSHDSRVMSSQRYLLKWSVPLGHVDAIEYGSSAGTGEHSRHLAVHPPESLAVVANAKPNKVYMGPGQLYQDLQNLLHDLNVIGQITQLIGNLKGNYQNLNQSVAHDWTSGLQRLILKKEDEIRAADCCRIQLQLPGKQDKSGRPTFFTAVFNTFTPAIKESWVNSLQMAKLALEEENHMGWFCVEDDGNHIKKEKHPLLVGHMPVMVAKQQEFKIECAAYNPEPYLNNESQPDSFSTAHGFLWIGSCTHQMGQIAIVSFQNSTPKVIECFNVESRILCMLYVPVEEKRREPGAPPDPETPAVRASDVPTICVGTEEGSISIYKSSQGSKKVRLQHFFTPEKSTVMSLACTSQSLYAGLVNGAVASYARAPDGSWDSEPQKVIKLGVLPVRSLLMMEDTLWAASGGQVFIISVETHAVEGQLEAHQEEGMVISHMAVSGVGIWIAFTSGSTLRLFHTETLKHLQDINIATPVHNMLPGHQRLSVTSLLVCHGLLMVGTSLGVLVALPVPRLQGIPKVTGRGMVSYHAHNSPVKFIVLATALHEKDKDKSRDSLAPGPEPQDEDQKDALPSGGAGSSLSQGDPDAAIWLGDSLGSMTQKSDLSSSSGSLSLSHGSSSLEHRSEDSTIYDLLKDPVSLRSKARRAKKAKASSALVVCGGQGHRRVHRKARQPHQEELAPTVMVWQIPLLNI
+>DECOY_sp|O15013|ARHGA_HUMAN Rho guanine nucleotide exchange factor 10 OS=Homo sapiens OX=9606 GN=ARHGEF10 PE=1 SV=4
+INLLPIQWVMVTPALEEQHPQRAKRHVRRHGQGGCVVLASSAKAKKARRAKSRLSVPDKLLDYITSDESRHELSSSGHSLSLSGSSSSLDSKQTMSGLSDGLWIAADPDGQSLSSGAGGSPLADKQDEDQPEPGPALSDRSKDKDKEHLATALVIFKVPSNHAHYSVMGRGTVKPIGQLRPVPLAVLVGLSTGVMLLGHCVLLSTVSLRQHGPLMNHVPTAINIDQLHKLTETHFLRLTSGSTFAIWIGVGSVAMHSIVMGEEQHAELQGEVAHTEVSIIFVQGGSAAWLTDEMMLLSRVPLVGLKIVKQPESDWSGDPARAYSAVAGNVLGAYLSQSTCALSMVTSKEPTFFHQLRVKKSGQSSKYISISGEETGVCITPVDSARVAPTEPDPPAGPERRKEEVPVYLMCLIRSEVNFCEIVKPTSNQFSVIAIQGMQHTCSGIWLFGHATSFSDPQSENNLYPEPNYAACEIKFEQQKAVMVPMHGVLLPHKEKKIHNGDDEVCFWGMHNEEELALKAMQLSNVWSEKIAPTFTNFVATFFTPRGSKDQKGPLQLQIRCCDAARIEDEKKLILRQLGSTWDHAVSQNLNQYNGKLNGILQTIQGIVNLDHLLNQLDQYLQGPGMYVKNPKANAVVALSEPPHVALHRSHEGTGASSGYEIADVHGLPVSWKLLYRQSSMVRSDHSPRSSVTACMLVDNLMFVRREKTKVIEGRDNYVTEIMDDSRILYRSGSSLLKNLYRENIAKAIQKVECRQDADRKRENLKEALTELETLAMQLPLRDPHGKSTNKLMDQLLLIFQPFRQIPKMMLSYLTTRDPSAEQEQKLFELFAPKTACTKKLVAVATSFNNVYESYADLVMSKSFSAVFVDGIMEVSDWESVRSALAIQFLSHCQLIEKVRYFVTKLKRESLVKPEMESLPKEYQELIRKLADVYNKESDVVSGLIYRRVVQQQSLGEPMPTLIAEPHKCDVDIFLNQEEELSSRHDQAILSKTRIFSRGRKVAARTRELGDKTGDKAAKVLKQMKLEHKQRLQQIEVVGVTSAMLDRMKKEYHEKLRTLDHSLQKKHNSRLFSRPIGNKCESDSQEEYSEFESSSWGYELSSNGGEDGNEVDDYIMEDPESDSNERPVDDYIAEEPNEMWATNAPDAAWRALASDERGVQDESTPPEESSLSNPQRDETVEPTEAEEDLSTACIIVPSSYAPLLLPLNSPVAYGCPVPVLYGCPVHLGVNEEEASPVPTPPQDEQFPTIDIVSYPNVKMPLVLKTPEAVPTTEAGAGDEGGTPAPAESAGAGGTEPASPAQRDAEPIEDGSDFDFQEGEEEENNNTDYKMENEAPAPPLPERQDMSCSWIGREARNLSPARSLFGPPRM
+>sp|Q9NZN5|ARHGC_HUMAN Rho guanine nucleotide exchange factor 12 OS=Homo sapiens OX=9606 GN=ARHGEF12 PE=1 SV=1
+MSGTQSTITDRFPLKKPIRHGSILNRESPTDKKQKVERIASHDFDPTDSSSKKTKSSSEESRSEIYGLVQRCVIIQKDDNGFGLTVSGDNPVFVQSVKEDGAAMRAGVQTGDRIIKVNGTLVTHSNHLEVVKLIKSGSYVALTVQGRPPGSPQIPLADSEVEPSVIGHMSPIMTSPHSPGASGNMERITSPVLMGEENNVVHNQKVEILRKMLQKEQERLQLLQEDYNRTPAQRLLKEIQEAKKHIPQLQEQLSKATGSAQDGAVVTPSRPLGDTLTVSEAETDPGDVLGRTDCSSGDASRPSSDNADSPKSGPKERIYLEENPEKSETIQDTDTQSLVGSPSTRIAPHIIGAEDDDFGTEHEQINGQCSCFQSIELLKSRPAHLAVFLHHVVSQFDPATLLCYLYSDLYKHTNSKETRRIFLEFHQFFLDRSAHLKVSVPDEMSADLEKRRPELIPEDLHRHYIQTMQERVHPEVQRHLEDFRQKRSMGLTLAESELTKLDAERDKDRLTLEKERTCAEQIVAKIEEVLMTAQAVEEDKSSTMQYVILMYMKHLGVKVKEPRNLEHKRGRIGFLPKIKQSMKKDKEGEEKGKRRGFPSILGPPRRPSRHDNSAIGRAMELQKARHPKHLSTPSSVSPEPQDSAKLRQSGLANEGTDAGYLPANSMSSVASGASFSQEGGKENDTGSKQVGETSAPGDTLDGTPRTLNTVFDFPPPPLDQVQEEECEVERVTEHGTPKPFRKFDSVAFGESQSEDEQFENDLETDPPNWQQLVSREVLLGLKPCEIKRQEVINELFYTERAHVRTLKVLDQVFYQRVSREGILSPSELRKIFSNLEDILQLHIGLNEQMKAVRKRNETSVIDQIGEDLLTWFSGPGEEKLKHAAATFCSNQPFALEMIKSRQKKDSRFQTFVQDAESNPLCRRLQLKDIIPTQMQRLTKYPLLLDNIAKYTEWPTEREKVKKAADHCRQILNYVNQAVKEAENKQRLEDYQRRLDTSSLKLSEYPNVEELRNLDLTKRKMIHEGPLVWKVNRDKTIDLYTLLLEDILVLLQKQDDRLVLRCHSKILASTADSKHTFSPVIKLSTVLVRQVATDNKALFVISMSDNGAQIYELVAQTVSEKTVWQDLICRMAASVKEQSTKPIPLPQSTPGEGDNDEEDPSKLKEEQHGISVTGLQSPDRDLGLESTLISSKPQSHSLSTSGKSEVRDLFVAERQFAKEQHTDGTLKEVGEDYQIAIPDSHLPVSEERWALDALRNLGLLKQLLVQQLGLTEKSVQEDWQHFPRYRTASQGPQTDSVIQNSENIKAYHSGEGHMPFRTGTGDIATCYSPRTSTESFAPRDSVGLAPQDSQASNILVMDHMIMTPEMPTMEPEGGLDDSGEHFFDAREAHSDENPSEGDGAVNKEEKDVNLRISGNYLILDGYDPVQESSTDEEVASSLTLQPMTGIPAVESTHQQQHSPQNTHSDGAISPFTPEFLVQQRWGAMEYSCFEIQSPSSCADSQSQIMEYIHKIEADLEHLKKVEESYTILCQRLAGSALTDKHSDKS
+>DECOY_sp|Q9NZN5|ARHGC_HUMAN Rho guanine nucleotide exchange factor 12 OS=Homo sapiens OX=9606 GN=ARHGEF12 PE=1 SV=1
+SKDSHKDTLASGALRQCLITYSEEVKKLHELDAEIKHIYEMIQSQSDACSSPSQIEFCSYEMAGWRQQVLFEPTFPSIAGDSHTNQPSHQQQHTSEVAPIGTMPQLTLSSAVEEDTSSEQVPDYGDLILYNGSIRLNVDKEEKNVAGDGESPNEDSHAERADFFHEGSDDLGGEPEMTPMEPTMIMHDMVLINSAQSDQPALGVSDRPAFSETSTRPSYCTAIDGTGTRFPMHGEGSHYAKINESNQIVSDTQPGQSATRYRPFHQWDEQVSKETLGLQQVLLQKLLGLNRLADLAWREESVPLHSDPIAIQYDEGVEKLTGDTHQEKAFQREAVFLDRVESKGSTSLSHSQPKSSILTSELGLDRDPSQLGTVSIGHQEEKLKSPDEEDNDGEGPTSQPLPIPKTSQEKVSAAMRCILDQWVTKESVTQAVLEYIQAGNDSMSIVFLAKNDTAVQRVLVTSLKIVPSFTHKSDATSALIKSHCRLVLRDDQKQLLVLIDELLLTYLDITKDRNVKWVLPGEHIMKRKTLDLNRLEEVNPYESLKLSSTDLRRQYDELRQKNEAEKVAQNVYNLIQRCHDAAKKVKERETPWETYKAINDLLLPYKTLRQMQTPIIDKLQLRRCLPNSEADQVFTQFRSDKKQRSKIMELAFPQNSCFTAAAHKLKEEGPGSFWTLLDEGIQDIVSTENRKRVAKMQENLGIHLQLIDELNSFIKRLESPSLIGERSVRQYFVQDLVKLTRVHARETYFLENIVEQRKIECPKLGLLVERSVLQQWNPPDTELDNEFQEDESQSEGFAVSDFKRFPKPTGHETVREVECEEEQVQDLPPPPFDFVTNLTRPTGDLTDGPASTEGVQKSGTDNEKGGEQSFSAGSAVSSMSNAPLYGADTGENALGSQRLKASDQPEPSVSSPTSLHKPHRAKQLEMARGIASNDHRSPRRPPGLISPFGRRKGKEEGEKDKKMSQKIKPLFGIRGRKHELNRPEKVKVGLHKMYMLIVYQMTSSKDEEVAQATMLVEEIKAVIQEACTREKELTLRDKDREADLKTLESEALTLGMSRKQRFDELHRQVEPHVREQMTQIYHRHLDEPILEPRRKELDASMEDPVSVKLHASRDLFFQHFELFIRRTEKSNTHKYLDSYLYCLLTAPDFQSVVHHLFVALHAPRSKLLEISQFCSCQGNIQEHETGFDDDEAGIIHPAIRTSPSGVLSQTDTDQITESKEPNEELYIREKPGSKPSDANDSSPRSADGSSCDTRGLVDGPDTEAESVTLTDGLPRSPTVVAGDQASGTAKSLQEQLQPIHKKAEQIEKLLRQAPTRNYDEQLLQLREQEKQLMKRLIEVKQNHVVNNEEGMLVPSTIREMNGSAGPSHPSTMIPSMHGIVSPEVESDALPIQPSGPPRGQVTLAVYSGSKILKVVELHNSHTVLTGNVKIIRDGTQVGARMAAGDEKVSQVFVPNDGSVTLGFGNDDKQIIVCRQVLGYIESRSEESSSKTKKSSSDTPDFDHSAIREVKQKKDTPSERNLISGHRIPKKLPFRDTITSQTGSM
+>sp|Q5VV41|ARHGG_HUMAN Rho guanine nucleotide exchange factor 16 OS=Homo sapiens OX=9606 GN=ARHGEF16 PE=1 SV=1
+MAQRHSDSSLEEKLLGHRFHSELRLDAGGNPASGLPMVRGSPRVRDDAAFQPQVPAPPQPRPPGHEEPWPIVLSTESPAALKLGTQQLIPKSLAVASKAKTPARHQSFGAAVLSREAARRDPKLLPAPSFSLDDMDVDKDPGGMLRRNLRNQSYRAAMKGLGKPGGQGDAIQLSPKLQALAEEPSQPHTRSPAKNKKTLGRKRGHKGSFKDDPQLYQEIQERGLNTSQESDDDILDESSSPEGTQKVDATIVVKSYRPAQVTWSQLPEVVELGILDQLSTEERKRQEAMFEILTSEFSYQHSLSILVEEFLQSKELRATVTQMEHHHLFSNILDVLGASQRFFEDLEQRHKAQVLVEDISDILEEHAEKHFHPYIAYCSNEVYQQRTLQKLISSNAAFREALREIERRPACGGLPMLSFLILPMQRVTRLPLLMDTLCLKTQGHSERYKAASRALKAISKLVRQCNEGAHRMERMEQMYTLHTQLDFSKVKSLPLISASRWLLKRGELFLVEETGLFRKIASRPTCYLFLFNDVLVVTKKKSEESYMVQDYAQMNHIQVEKIEPSELPLPGGGNRSSSVPHPFQVTLLRNSEGRQEQLLLSSDSASDRARWIVALTHSERQWQGLSSKGDLPQVEITKAFFAKQADEVTLQQADVVLVLQQEDGWLYGERLRDGETGWFPEDFARFITSRVAVEGNVRRMERLRVETDV
+>DECOY_sp|Q5VV41|ARHGG_HUMAN Rho guanine nucleotide exchange factor 16 OS=Homo sapiens OX=9606 GN=ARHGEF16 PE=1 SV=1
+VDTEVRLREMRRVNGEVAVRSTIFRAFDEPFWGTEGDRLREGYLWGDEQQLVLVVDAQQLTVEDAQKAFFAKTIEVQPLDGKSSLGQWQRESHTLAVIWRARDSASDSSLLLQEQRGESNRLLTVQFPHPVSSSRNGGGPLPLESPEIKEVQIHNMQAYDQVMYSEESKKKTVVLVDNFLFLYCTPRSAIKRFLGTEEVLFLEGRKLLWRSASILPLSKVKSFDLQTHLTYMQEMREMRHAGENCQRVLKSIAKLARSAAKYRESHGQTKLCLTDMLLPLRTVRQMPLILFSLMPLGGCAPRREIERLAERFAANSSILKQLTRQQYVENSCYAIYPHFHKEAHEELIDSIDEVLVQAKHRQELDEFFRQSAGLVDLINSFLHHHEMQTVTARLEKSQLFEEVLISLSHQYSFESTLIEFMAEQRKREETSLQDLIGLEVVEPLQSWTVQAPRYSKVVITADVKQTGEPSSSEDLIDDDSEQSTNLGREQIEQYLQPDDKFSGKHGRKRGLTKKNKAPSRTHPQSPEEALAQLKPSLQIADGQGGPKGLGKMAARYSQNRLNRRLMGGPDKDVDMDDLSFSPAPLLKPDRRAAERSLVAAGFSQHRAPTKAKSAVALSKPILQQTGLKLAAPSETSLVIPWPEEHGPPRPQPPAPVQPQFAADDRVRPSGRVMPLGSAPNGGADLRLESHFRHGLLKEELSSDSHRQAM
+>sp|Q8IW93|ARHGJ_HUMAN Rho guanine nucleotide exchange factor 19 OS=Homo sapiens OX=9606 GN=ARHGEF19 PE=1 SV=1
+MDCGPPATLQPHLTGPPGTAHHPVAVCQQESLSFAELPALKPPSPVCLDLFPVAPEELRAPGSRWSLGTPAPLQGLLWPLSPGGSDTEITSGGMRPSRAGSWPHCPGAQPPALEGPWSPRHTQPQRRASHGSEKKSAWRKMRVYQREEVPGCPEAHAVFLEPGQVVQEQALSTEEPRVELSGSTRVSLEGPERRRFSASELMTRLHSSLRLGRNSAARALISGSGTGAAREGKASGMEARSVEMSGDRVSRPAPGDSREGDWSEPRLDTQEEPPLGSRSTNERRQSRFLLNSVLYQEYSDVASARELRRQQREEEGPGDEAEGAEEGPGPPRANLSPSSSFRAQRSARGSTFSLWQDIPDVRGSGVLATLSLRDCKLQEAKFELITSEASYIHSLSVAVGHFLGSAELSECLGAQDKQWLFSKLPEVKSTSERFLQDLEQRLEADVLRFSVCDVVLDHCPAFRRVYLPYVTNQAYQERTYQRLLLENPRFPGILARLEESPVCQRLPLTSFLILPFQRITRLKMLVENILKRTAQGSEDEDMATKAFNALKELVQECNASVQSMKRTEELIHLSKKIHFEGKIFPLISQARWLVRHGELVELAPLPAAPPAKLKLSSKAVYLHLFNDCLLLSRRKELGKFAVFVHAKMAELQVRDLSLKLQGIPGHVFLLQLLHGQHMKHQFLLRARTESEKQRWISALCPSSPQEDKEVISEGEDCPQVQCVRTYKALHPDELTLEKTDILSVRTWTSDGWLEGVRLADGEKGWVPQAYVEEISSLSARLRNLRENKRVTSATSKLGEAPV
+>DECOY_sp|Q8IW93|ARHGJ_HUMAN Rho guanine nucleotide exchange factor 19 OS=Homo sapiens OX=9606 GN=ARHGEF19 PE=1 SV=1
+VPAEGLKSTASTVRKNERLNRLRASLSSIEEVYAQPVWGKEGDALRVGELWGDSTWTRVSLIDTKELTLEDPHLAKYTRVCQVQPCDEGESIVEKDEQPSSPCLASIWRQKESETRARLLFQHKMHQGHLLQLLFVHGPIGQLKLSLDRVQLEAMKAHVFVAFKGLEKRRSLLLCDNFLHLYVAKSSLKLKAPPAAPLPALEVLEGHRVLWRAQSILPFIKGEFHIKKSLHILEETRKMSQVSANCEQVLEKLANFAKTAMDEDESGQATRKLINEVLMKLRTIRQFPLILFSTLPLRQCVPSEELRALIGPFRPNELLLRQYTREQYAQNTVYPLYVRRFAPCHDLVVDCVSFRLVDAELRQELDQLFRESTSKVEPLKSFLWQKDQAGLCESLEASGLFHGVAVSLSHIYSAESTILEFKAEQLKCDRLSLTALVGSGRVDPIDQWLSFTSGRASRQARFSSSPSLNARPPGPGEEAGEAEDGPGEEERQQRRLERASAVDSYEQYLVSNLLFRSQRRENTSRSGLPPEEQTDLRPESWDGERSDGPAPRSVRDGSMEVSRAEMGSAKGERAAGTGSGSILARAASNRGLRLSSHLRTMLESASFRRREPGELSVRTSGSLEVRPEETSLAQEQVVQGPELFVAHAEPCGPVEERQYVRMKRWASKKESGHSARRQPQTHRPSWPGELAPPQAGPCHPWSGARSPRMGGSTIETDSGGPSLPWLLGQLPAPTGLSWRSGPARLEEPAVPFLDLCVPSPPKLAPLEAFSLSEQQCVAVPHHATGPPGTLHPQLTAPPGCDM
+>sp|Q8NDY3|ARHL1_HUMAN [Protein ADP-ribosylarginine] hydrolase-like protein 1 OS=Homo sapiens OX=9606 GN=ADPRHL1 PE=2 SV=1
+MEKFKAAMLLGSVGDALGYRNVCKENSTVGMKIQEELQRSGGLDHLVLSPGEWPVSDNTIMHIATAEALTTDYWCLDDLYREMVRCYVEIVEKLPERRPDPATIEGCAQLKPNNYLLAWHTPFNEKGSGFGAATKAMCIGLRYWKPERLETLIEVSVECGRMTHNHPTGFLGSLCTALFVSFAAQGKPLVQWGRDMLRAVPLAEEYCRKTIRHTAEYQEHWFYFEAKWQFYLEERKISKDSENKAIFPDNYDAEEREKTYRKWSSEGRGGRRGHDAPMIAYDALLAAGNSWTELCHRAMFHGGESAATGTIAGCLFGLLYGLDLVPKGLYQDLEDKEKLEDLGAALYRLSTEEK
+>DECOY_sp|Q8NDY3|ARHL1_HUMAN [Protein ADP-ribosylarginine] hydrolase-like protein 1 OS=Homo sapiens OX=9606 GN=ADPRHL1 PE=2 SV=1
+KEETSLRYLAAGLDELKEKDELDQYLGKPVLDLGYLLGFLCGAITGTAASEGGHFMARHCLETWSNGAALLADYAIMPADHGRRGGRGESSWKRYTKEREEADYNDPFIAKNESDKSIKREELYFQWKAEFYFWHEQYEATHRITKRCYEEALPVARLMDRGWQVLPKGQAAFSVFLATCLSGLFGTPHNHTMRGCEVSVEILTELREPKWYRLGICMAKTAAGFGSGKENFPTHWALLYNNPKLQACGEITAPDPRREPLKEVIEVYCRVMERYLDDLCWYDTTLAEATAIHMITNDSVPWEGPSLVLHDLGGSRQLEEQIKMGVTSNEKCVNRYGLADGVSGLLMAAKFKEM
+>sp|Q4LE39|ARI4B_HUMAN AT-rich interactive domain-containing protein 4B OS=Homo sapiens OX=9606 GN=ARID4B PE=1 SV=2
+MKALDEPPYLTVGTDVSAKYRGAFCEAKIKTAKRLVKVKVTFRHDSSTVEVQDDHIKGPLKVGAIVEVKNLDGAYQEAVINKLTDASWYTVVFDDGDEKTLRRSSLCLKGERHFAESETLDQLPLTNPEHFGTPVIGKKTNRGRRSNHIPEEESSSSSSDEDEDDRKQIDELLGKVVCVDYISLDKKKALWFPALVVCPDCSDEIAVKKDNILVRSFKDGKFTSVPRKDVHEITSDTAPKPDAVLKQAFEQALEFHKSRTIPANWKTELKEDSSSSEAEEEEEEEDDEKEKEDNSSEEEEEIEPFPEERENFLQQLYKFMEDRGTPINKRPVLGYRNLNLFKLFRLVHKLGGFDNIESGAVWKQVYQDLGIPVLNSAAGYNVKCAYKKYLYGFEEYCRSANIEFQMALPEKVVNKQCKECENVKEIKVKEENETEIKEIKMEEERNIIPREEKPIEDEIERKENIKPSLGSKKNLLESIPTHSDQEKEVNIKKPEDNENLDDKDDDTTRVDESLNIKVEAEEEKAKSGDETNKEEDEDDEEAEEEEEEEEEEEDEDDDDNNEEEEFECYPPGMKVQVRYGRGKNQKMYEASIKDSDVEGGEVLYLVHYCGWNVRYDEWIKADKIVRPADKNVPKIKHRKKIKNKLDKEKDKDEKYSPKNCKLRRLSKPPFQTNPSPEMVSKLDLTDAKNSDTAHIKSIEITSILNGLQASESSAEDSEQEDERGAQDMDNNGKEESKIDHLTNNRNDLISKEEQNSSSLLEENKVHADLVISKPVSKSPERLRKDIEVLSEDTDYEEDEVTKKRKDVKKDTTDKSSKPQIKRGKRRYCNTEECLKTGSPGKKEEKAKNKESLCMENSSNSSSDEDEEETKAKMTPTKKYNGLEEKRKSLRTTGFYSGFSEVAEKRIKLLNNSDERLQNSRAKDRKDVWSSIQGQWPKKTLKELFSDSDTEAAASPPHPAPEEGVAEESLQTVAEEESCSPSVELEKPPPVNVDSKPIEEKTVEVNDRKAEFPSSGSNSVLNTPPTTPESPSSVTVTEGSRQQSSVTVSEPLAPNQEEVRSIKSETDSTIEVDSVAGELQDLQSEGNSSPAGFDASVSSSSSNQPEPEHPEKACTGQKRVKDAQGGGSSSKKQKRSHKATVVNNKKKGKGTNSSDSEELSAGESITKSQPVKSVSTGMKSHSTKSPARTQSPGKCGKNGDKDPDLKEPSNRLPKVYKWSFQMSDLENMTSAERITILQEKLQEIRKHYLSLKSEVASIDRRRKRLKKKERESAATSSSSSSPSSSSITAAVMLTLAEPSMSSASQNGMSVECR
+>DECOY_sp|Q4LE39|ARI4B_HUMAN AT-rich interactive domain-containing protein 4B OS=Homo sapiens OX=9606 GN=ARID4B PE=1 SV=2
+RCEVSMGNQSASSMSPEALTLMVAATISSSSPSSSSSSTAASEREKKKLRKRRRDISAVESKLSLYHKRIEQLKEQLITIREASTMNELDSMQFSWKYVKPLRNSPEKLDPDKDGNKGCKGPSQTRAPSKTSHSKMGTSVSKVPQSKTISEGASLEESDSSNTGKGKKKNNVVTAKHSRKQKKSSSGGGQADKVRKQGTCAKEPHEPEPQNSSSSSVSADFGAPSSNGESQLDQLEGAVSDVEITSDTESKISRVEEQNPALPESVTVSSQQRSGETVTVSSPSEPTTPPTNLVSNSGSSPFEAKRDNVEVTKEEIPKSDVNVPPPKELEVSPSCSEEEAVTQLSEEAVGEEPAPHPPSAAAETDSDSFLEKLTKKPWQGQISSWVDKRDKARSNQLREDSNNLLKIRKEAVESFGSYFGTTRLSKRKEELGNYKKTPTMKAKTEEEDEDSSSNSSNEMCLSEKNKAKEEKKGPSGTKLCEETNCYRRKGRKIQPKSSKDTTDKKVDKRKKTVEDEEYDTDESLVEIDKRLREPSKSVPKSIVLDAHVKNEELLSSSNQEEKSILDNRNNTLHDIKSEEKGNNDMDQAGREDEQESDEASSESAQLGNLISTIEISKIHATDSNKADTLDLKSVMEPSPNTQFPPKSLRRLKCNKPSYKEDKDKEKDLKNKIKKRHKIKPVNKDAPRVIKDAKIWEDYRVNWGCYHVLYLVEGGEVDSDKISAEYMKQNKGRGYRVQVKMGPPYCEFEEEENNDDDDEDEEEEEEEEEEEAEEDDEDEEKNTEDGSKAKEEEAEVKINLSEDVRTTDDDKDDLNENDEPKKINVEKEQDSHTPISELLNKKSGLSPKINEKREIEDEIPKEERPIINREEEMKIEKIETENEEKVKIEKVNECEKCQKNVVKEPLAMQFEINASRCYEEFGYLYKKYACKVNYGAASNLVPIGLDQYVQKWVAGSEINDFGGLKHVLRFLKFLNLNRYGLVPRKNIPTGRDEMFKYLQQLFNEREEPFPEIEEEEESSNDEKEKEDDEEEEEEEAESSSSDEKLETKWNAPITRSKHFELAQEFAQKLVADPKPATDSTIEHVDKRPVSTFKGDKFSRVLINDKKVAIEDSCDPCVVLAPFWLAKKKDLSIYDVCVVKGLLEDIQKRDDEDEDSSSSSSEEEPIHNSRRGRNTKKGIVPTGFHEPNTLPLQDLTESEAFHREGKLCLSSRRLTKEDGDDFVVTYWSADTLKNIVAEQYAGDLNKVEVIAGVKLPGKIHDDQVEVTSSDHRFTVKVKVLRKATKIKAECFAGRYKASVDTGVTLYPPEDLAKM
+>sp|Q14865|ARI5B_HUMAN AT-rich interactive domain-containing protein 5B OS=Homo sapiens OX=9606 GN=ARID5B PE=1 SV=3
+MEPNSLQWVGSPCGLHGPYIFYKAFQFHLEGKPRILSLGDFFFVRCTPKDPICIAELQLLWEERTSRQLLSSSKLYFLPEDTPQGRNSDHGEDEVIAVSEKVIVKLEDLVKWVHSDFSKWRCGFHAGPVKTEALGRNGQKEALLKYRQSTLNSGLNFKDVLKEKADLGEDEEETNVIVLSYPQYCRYRSMLKRIQDKPSSILTDQFALALGGIAVVSRNPQILYCRDTFDHPTLIENESICDEFAPNLKGRPRKKKPCPQRRDSFSGVKDSNNNSDGKAVAKVKCEARSALTKPKNNHNCKKVSNEEKPKVAIGEECRADEQAFLVALYKYMKERKTPIERIPYLGFKQINLWTMFQAAQKLGGYETITARRQWKHIYDELGGNPGSTSAATCTRRHYERLILPYERFIKGEEDKPLPPIKPRKQENSSQENENKTKVSGTKRIKHEIPKSKKEKENAPKPQDAAEVSSEQEKEQETLISQKSIPEPLPAADMKKKIEGYQEFSAKPLASRVDPEKDNETDQGSNSEKVAEEAGEKGPTPPLPSAPLAPEKDSALVPGASKQPLTSPSALVDSKQESKLCCFTESPESEPQEASFPSFPTTQPPLANQNETEDDKLPAMADYIANCTVKVDQLGSDDIHNALKQTPKVLVVQSFDMFKDKDLTGPMNENHGLNYTPLLYSRGNPGIMSPLAKKKLLSQVSGASLSSSYPYGSPPPLISKKKLIARDDLCSSLSQTHHGQSTDHMAVSRPSVIQHVQSFRSKPSEERKTINDIFKHEKLSRSDPHRCSFSKHHLNPLADSYVLKQEIQEGKDKLLEKRALPHSHMPSFLADFYSSPHLHSLYRHTEHHLHNEQTSKYPSRDMYRESENSSFPSHRHQEKLHVNYLTSLHLQDKKSAAAEAPTDDQPTDLSLPKNPHKPTGKVLGLAHSTTGPQESKGISQFQVLGSQSRDCHPKACRVSPMTMSGPKKYPESLSRSGKPHHVRLENFRKMEGMVHPILHRKMSPQNIGAARPIKRSLEDLDLVIAGKKARAVSPLDPSKEVSGKEKASEQESEGSKAAHGGHSGGGSEGHKLPLSSPIFPGLYSGSLCNSGLNSRLPAGYSHSLQYLKNQTVLSPLMQPLAFHSLVMQRGIFTSPTNSQQLYRHLAAATPVGSSYGDLLHNSIYPLAAINPQAAFPSSQLSSVHPSTKL
+>DECOY_sp|Q14865|ARI5B_HUMAN AT-rich interactive domain-containing protein 5B OS=Homo sapiens OX=9606 GN=ARID5B PE=1 SV=3
+LKTSPHVSSLQSSPFAAQPNIAALPYISNHLLDGYSSGVPTAAALHRYLQQSNTPSTFIGRQMVLSHFALPQMLPSLVTQNKLYQLSHSYGAPLRSNLGSNCLSGSYLGPFIPSSLPLKHGESGGGSHGGHAAKSGESEQESAKEKGSVEKSPDLPSVARAKKGAIVLDLDELSRKIPRAAGINQPSMKRHLIPHVMGEMKRFNELRVHHPKGSRSLSEPYKKPGSMTMPSVRCAKPHCDRSQSGLVQFQSIGKSEQPGTTSHALGLVKGTPKHPNKPLSLDTPQDDTPAEAAASKKDQLHLSTLYNVHLKEQHRHSPFSSNESERYMDRSPYKSTQENHLHHETHRYLSHLHPSSYFDALFSPMHSHPLARKELLKDKGEQIEQKLVYSDALPNLHHKSFSCRHPDSRSLKEHKFIDNITKREESPKSRFSQVHQIVSPRSVAMHDTSQGHHTQSLSSCLDDRAILKKKSILPPPSGYPYSSSLSAGSVQSLLKKKALPSMIGPNGRSYLLPTYNLGHNENMPGTLDKDKFMDFSQVVLVKPTQKLANHIDDSGLQDVKVTCNAIYDAMAPLKDDETENQNALPPQTTPFSPFSAEQPESEPSETFCCLKSEQKSDVLASPSTLPQKSAGPVLASDKEPALPASPLPPTPGKEGAEEAVKESNSGQDTENDKEPDVRSALPKASFEQYGEIKKKMDAAPLPEPISKQSILTEQEKEQESSVEAADQPKPANEKEKKSKPIEHKIRKTGSVKTKNENEQSSNEQKRPKIPPLPKDEEGKIFREYPLILREYHRRTCTAASTSGPNGGLEDYIHKWQRRATITEYGGLKQAAQFMTWLNIQKFGLYPIREIPTKREKMYKYLAVLFAQEDARCEEGIAVKPKEENSVKKCNHNNKPKTLASRAECKVKAVAKGDSNNNSDKVGSFSDRRQPCPKKKRPRGKLNPAFEDCISENEILTPHDFTDRCYLIQPNRSVVAIGGLALAFQDTLISSPKDQIRKLMSRYRCYQPYSLVIVNTEEEDEGLDAKEKLVDKFNLGSNLTSQRYKLLAEKQGNRGLAETKVPGAHFGCRWKSFDSHVWKVLDELKVIVKESVAIVEDEGHDSNRGQPTDEPLFYLKSSSLLQRSTREEWLLQLEAICIPDKPTCRVFFFDGLSLIRPKGELHFQFAKYFIYPGHLGCPSGVWQLSNPEM
+>sp|Q6T311|ARL9_HUMAN ADP-ribosylation factor-like protein 9 OS=Homo sapiens OX=9606 GN=ARL9 PE=2 SV=1
+MRPTWKALSHPAWPEEKNKQILVLGLDGAGKTSVLHSLASNRVQHSVAPTQGFHAVCINTEDSQMEFLEIGGSKPFRSYWEMYLSKGLLLIFVVDSADHSRLPEAKKYLHQLIAANPVLPLVVFANKQDLEAAYHITDIHEALALSEVGNDRKMFLFGTYLTKNGSEIPSTMQDAKDLIAQLAADVQ
+>DECOY_sp|Q6T311|ARL9_HUMAN ADP-ribosylation factor-like protein 9 OS=Homo sapiens OX=9606 GN=ARL9 PE=2 SV=1
+QVDAALQAILDKADQMTSPIESGNKTLYTGFLFMKRDNGVESLALAEHIDTIHYAAELDQKNAFVVLPLVPNAAILQHLYKKAEPLRSHDASDVVFILLLGKSLYMEWYSRFPKSGGIELFEMQSDETNICVAHFGQTPAVSHQVRNSALSHLVSTKGAGDLGLVLIQKNKEEPWAPHSLAKWTPRM
+>sp|Q7L4S7|ARMX6_HUMAN Protein ARMCX6 OS=Homo sapiens OX=9606 GN=ARMCX6 PE=2 SV=1
+MGRAREVGWMAAGLMIGAGACYCVYKLTIGRDDSEKLEEEGEEEWDDDQELDEEEPDIWFDFETMARPWTEDGDWTEPGAPGGTEDRPSGGGKANRAHPIKQRPFPYEHKNTWSAQNCKNGSCVLDLSKCLFIQGKLLFAEPKDAGFPFSQDINSHLASLSMARNTSPTPDPTVREALCAPDNLNASIESQGQIKMYINEVCRETVSRCCNSFLQQAGLNLLISMTVINNMLAKSASDLKFPLISEGSGCAKVQVLKPLMGLSEKPVLAGELVGAQMLFSFMSLFIRNGNREILLETPAP
+>DECOY_sp|Q7L4S7|ARMX6_HUMAN Protein ARMCX6 OS=Homo sapiens OX=9606 GN=ARMCX6 PE=2 SV=1
+PAPTELLIERNGNRIFLSMFSFLMQAGVLEGALVPKESLGMLPKLVQVKACGSGESILPFKLDSASKALMNNIVTMSILLNLGAQQLFSNCCRSVTERCVENIYMKIQGQSEISANLNDPACLAERVTPDPTPSTNRAMSLSALHSNIDQSFPFGADKPEAFLLKGQIFLCKSLDLVCSGNKCNQASWTNKHEYPFPRQKIPHARNAKGGGSPRDETGGPAGPETWDGDETWPRAMTEFDFWIDPEEEDLEQDDDWEEEGEEELKESDDRGITLKYVCYCAGAGIMLGAAMWGVERARGM
+>sp|P17516|AK1C4_HUMAN Aldo-keto reductase family 1 member C4 OS=Homo sapiens OX=9606 GN=AKR1C4 PE=1 SV=3
+MDPKYQRVELNDGHFMPVLGFGTYAPPEVPRNRAVEVTKLAIEAGFRHIDSAYLYNNEEQVGLAIRSKIADGSVKREDIFYTSKLWCTFFQPQMVQPALESSLKKLQLDYVDLYLLHFPMALKPGETPLPKDENGKVIFDTVDLSATWEVMEKCKDAGLAKSIGVSNFNCRQLEMILNKPGLKYKPVCNQVECHPYLNQSKLLDFCKSKDIVLVAHSALGTQRHKLWVDPNSPVLLEDPVLCALAKKHKQTPALIALRYQLQRGVVVLAKSYNEQRIRENIQVFEFQLTSEDMKVLDGLNRNYRYVVMDFLMDHPDYPFSDEY
+>DECOY_sp|P17516|AK1C4_HUMAN Aldo-keto reductase family 1 member C4 OS=Homo sapiens OX=9606 GN=AKR1C4 PE=1 SV=3
+YEDSFPYDPHDMLFDMVVYRYNRNLGDLVKMDESTLQFEFVQINERIRQENYSKALVVVGRQLQYRLAILAPTQKHKKALACLVPDELLVPSNPDVWLKHRQTGLASHAVLVIDKSKCFDLLKSQNLYPHCEVQNCVPKYKLGPKNLIMELQRCNFNSVGISKALGADKCKEMVEWTASLDVTDFIVKGNEDKPLPTEGPKLAMPFHLLYLDVYDLQLKKLSSELAPQVMQPQFFTCWLKSTYFIDERKVSGDAIKSRIALGVQEENNYLYASDIHRFGAEIALKTVEVARNRPVEPPAYTGFGLVPMFHGDNLEVRQYKPDM
+>sp|Q9UKA4|AKA11_HUMAN A-kinase anchor protein 11 OS=Homo sapiens OX=9606 GN=AKAP11 PE=1 SV=1
+MATFRNNHMKTKASVRKSFSEDVFQSVKSLLQSQKELCSVTAEDCLQQDEHANLTEVTFLGFNEETDAAHIQDLAAVSLELPDILNSLHFCSLNENEIICMKNINKPLDISSDPLNQSHPSGMLCVMRVSPTSPRLRIDFIFSLLSKYATGIRYTLDTFLHQKHQLETTDEDDDDTNQSVSSIEDDFVTAFEHLEEEETSKPYNDGMNITVLRSQCDAASQTVTGHHLETHDLKILISSGQQKSLAKPSTSSVNVLGHKELPSVKTSVTTSISEPWTQRSFYRSSNASDKDSDLQKTFFSSSPAYSSESECSSPSPVIFLDEEGYQKSLKAKLELPKIPVMKDDIEDSDSEVSEFFDSFDQFDELEQTLETCLFNKDPVIGKSSQRKGHKHGKSCMNPQKFKFDRPALPANVRKPTPRKPESPYGNLCDAPDSPRPVKASREDSGLFSPIRSSAFSPLGGCTPAECFCQTDIGGDRIHENHDSVYYTYEDYAKSISCEVLGSVLRTHHTNTLSNINSIKHGENKTVTFKHGNLDQKNKSKNKSLMIKDSIQKFAADLVEKSFGSAFKDLQKGVSSCTNALYHLAIKLTSSVLQMAFDELRRQRAFSLKERAISGLANFLVSEALSNALKDLQYVKKQIFTNTVARFAADLAEELVFEGIMEVCQFSYPQTPASPQCGSFDFEDKVVKLYAKDLSESVIQEAFIELSQVDVTFTTKAAVSVSTDNIKYVSAESVVPSTQAVTFSPSFHNQAIMVTKPVQEYKKEYTVQQALFCTSGIVTSIPVPLAGSALLPYHISSTACQAKAHLSSDDSNSNGDSAQVHIATKNREEKAACLRNICLPSEHNPGNQNDFKPTNDDIEMQSSSKLPNDPAIISNFSAAVVHTIVNETLESMTSLEVTKMVDERTDYLTKSLKEKTPPFSHCDQAVLQCSEASSNKDMFADRLSKSIIKHSIDKSKSVIPNIDKNAVYKESLPVSGEESQLTPEKSPKFPDSQNQLTHCSLSAAKDCVPECKVSMVHGSSLETLPSCPAVTGQKSDLKESAKDQPLKKHNLNSTSLEALSFGQENPFPHSHTFSSTALTCVDGLHVEDKQKVRDRNVIPDTPPSTPLVPSRASSEWDIKKLTKKLKGELAKEFAPATPPSTPHNSSVGSLSENEQNTIEKEEFMLKLMRSLSEEVESSESGELPEVDVKSEHSGKKVQFAEALATHILSLATEMAASHLDNKIIQEPKVKNPCLNVQSQRSVSPTFLNPSDENLKTLCNFAGDLAAEVITEAEKIAKVRNCMLFKQKKNSCYADGDEDYKVEEKLDIEAVVHPREVDPFILSLPPSSCMSGLMYKYPSCESVTDEYAGHLIQILKQEGGNSELIMDQYANRLAYRSVKSGLQEAAKTTKVQCNSRMFPVPSSQVKTNKELLMFSNKEHHQEADKKRQSKRNEGYFCKNQTCERTLDPYRNEVSQLYSFSTSLVHSITKDAKEELTASLVGLPKSLTDSCLFEKSGYEEDNECHVTPELPKSLQPSSQNHRFYHSTGSLNGYGCGDNVVQAVEQYAKKVVDDTLELTLGSTVFRVSETTKSADRVTYAEKLSPLTGQACRYCDLKELHNCTGNSSQHFFRQGSLASSKPASNPKFSSRYQKSRIFHLSVPQIHVNLDKKAVLAEKIVAEAIEKAERELSSTSLAADSGIGQEGASFAESLATETMTAAVTNVGHAVSSSKEIEDFQSTESVSSQQMNLSIGDDSTGSWSNLSFEDEHQDESSSFHHLSESNGNSSSWSSLGLEGDLYEDNLSFPTSDSDGPDDKDEEHEDEVEGLGQDGKTLLITNIDMEPCTVDPQLRIILQWLIASEAEVAELYFHDSANKEFMLLSKQLQEKGWKVGDLLQAVLQYYEVMEKASSEERCKSLFDWLLENA
+>DECOY_sp|Q9UKA4|AKA11_HUMAN A-kinase anchor protein 11 OS=Homo sapiens OX=9606 GN=AKAP11 PE=1 SV=1
+ANELLWDFLSKCREESSAKEMVEYYQLVAQLLDGVKWGKEQLQKSLLMFEKNASDHFYLEAVEAESAILWQLIIRLQPDVTCPEMDINTILLTKGDQGLGEVEDEHEEDKDDPGDSDSTPFSLNDEYLDGELGLSSWSSSNGNSESLHHFSSSEDQHEDEFSLNSWSGTSDDGISLNMQQSSVSETSQFDEIEKSSSVAHGVNTVAATMTETALSEAFSAGEQGIGSDAALSTSSLEREAKEIAEAVIKEALVAKKDLNVHIQPVSLHFIRSKQYRSSFKPNSAPKSSALSGQRFFHQSSNGTCNHLEKLDCYRCAQGTLPSLKEAYTVRDASKTTESVRFVTSGLTLELTDDVVKKAYQEVAQVVNDGCGYGNLSGTSHYFRHNQSSPQLSKPLEPTVHCENDEEYGSKEFLCSDTLSKPLGVLSATLEEKADKTISHVLSTSFSYLQSVENRYPDLTRECTQNKCFYGENRKSQRKKDAEQHHEKNSFMLLEKNTKVQSSPVPFMRSNCQVKTTKAAEQLGSKVSRYALRNAYQDMILESNGGEQKLIQILHGAYEDTVSECSPYKYMLGSMCSSPPLSLIFPDVERPHVVAEIDLKEEVKYDEDGDAYCSNKKQKFLMCNRVKAIKEAETIVEAALDGAFNCLTKLNEDSPNLFTPSVSRQSQVNLCPNKVKPEQIIKNDLHSAAMETALSLIHTALAEAFQVKKGSHESKVDVEPLEGSESSEVEESLSRMLKLMFEEKEITNQENESLSGVSSNHPTSPPTAPAFEKALEGKLKKTLKKIDWESSARSPVLPTSPPTDPIVNRDRVKQKDEVHLGDVCTLATSSFTHSHPFPNEQGFSLAELSTSNLNHKKLPQDKASEKLDSKQGTVAPCSPLTELSSGHVMSVKCEPVCDKAASLSCHTLQNQSDPFKPSKEPTLQSEEGSVPLSEKYVANKDINPIVSKSKDISHKIISKSLRDAFMDKNSSAESCQLVAQDCHSFPPTKEKLSKTLYDTREDVMKTVELSTMSELTENVITHVVAASFNSIIAPDNPLKSSSQMEIDDNTPKFDNQNGPNHESPLCINRLCAAKEERNKTAIHVQASDGNSNSDDSSLHAKAQCATSSIHYPLLASGALPVPISTVIGSTCFLAQQVTYEKKYEQVPKTVMIAQNHFSPSFTVAQTSPVVSEASVYKINDTSVSVAAKTTFTVDVQSLEIFAEQIVSESLDKAYLKVVKDEFDFSGCQPSAPTQPYSFQCVEMIGEFVLEEALDAAFRAVTNTFIQKKVYQLDKLANSLAESVLFNALGSIAREKLSFARQRRLEDFAMQLVSSTLKIALHYLANTCSSVGKQLDKFASGFSKEVLDAAFKQISDKIMLSKNKSKNKQDLNGHKFTVTKNEGHKISNINSLTNTHHTRLVSGLVECSISKAYDEYTYYVSDHNEHIRDGGIDTQCFCEAPTCGGLPSFASSRIPSFLGSDERSAKVPRPSDPADCLNGYPSEPKRPTPKRVNAPLAPRDFKFKQPNMCSKGHKHGKRQSSKGIVPDKNFLCTELTQELEDFQDFSDFFESVESDSDEIDDKMVPIKPLELKAKLSKQYGEEDLFIVPSPSSCESESSYAPSSSFFTKQLDSDKDSANSSRYFSRQTWPESISTTVSTKVSPLEKHGLVNVSSTSPKALSKQQGSSILIKLDHTELHHGTVTQSAADCQSRLVTINMGDNYPKSTEEEELHEFATVFDDEISSVSQNTDDDDEDTTELQHKQHLFTDLTYRIGTAYKSLLSFIFDIRLRPSTPSVRMVCLMGSPHSQNLPDSSIDLPKNINKMCIIENENLSCFHLSNLIDPLELSVAALDQIHAADTEENFGLFTVETLNAHEDQQLCDEATVSCLEKQSQLLSKVSQFVDESFSKRVSAKTKMHNNRFTAM
+>sp|Q02952|AKA12_HUMAN A-kinase anchor protein 12 OS=Homo sapiens OX=9606 GN=AKAP12 PE=1 SV=4
+MGAGSSTEQRSPEQPPEGSSTPAEPEPSGGGPSAEAAPDTTADPAIAASDPATKLLQKNGQLSTINGVAEQDELSLQEGDLNGQKGALNGQGALNSQEEEEVIVTEVGQRDSEDVSKRDSDKEMATKSAVVHDITDDGQEETPEIIEQIPSSESNLEELTQPTESQANDIGFKKVFKFVGFKFTVKKDKTEKPDTVQLLTVKKDEGEGAAGAGDHKDPSLGAGEAASKESEPKQSTEKPEETLKREQSHAEISPPAESGQAVEECKEEGEEKQEKEPSKSAESPTSPVTSETGSTFKKFFTQGWAGWRKKTSFRKPKEDEVEASEKKKEQEPEKVDTEEDGKAEVASEKLTASEQAHPQEPAESAHEPRLSAEYEKVELPSEEQVSGSQGPSEEKPAPLATEVFDEKIEVHQEEVVAEVHVSTVEERTEEQKTEVEETAGSVPAEELVEMDAEPQEAEPAKELVKLKETCVSGEDPTQGADLSPDEKVLSKPPEGVVSEVEMLSSQERMKVQGSPLKKLFTSTGLKKLSGKKQKGKRGGGDEESGEHTQVPADSPDSQEEQKGESSASSPEEPEEITCLEKGLAEVQQDGEAEEGATSDGEKKREGVTPWASFKKMVTPKKRVRRPSESDKEDELDKVKSATLSSTESTASEMQEEMKGSVEEPKPEEPKRKVDTSVSWEALICVGSSKKRARRGSSSDEEGGPKAMGGDHQKADEAGKDKETGTDGILAGSQEHDPGQGSSSPEQAGSPTEGEGVSTWESFKRLVTPRKKSKSKLEEKSEDSIAGSGVEHSTPDTEPGKEESWVSIKKFIPGRRKKRPDGKQEQAPVEDAGPTGANEDDSDVPAVVPLSEYDAVEREKMEAQQAQKSAEQPEQKAATEVSKELSESQVHMMAAAVADGTRAATIIEERSPSWISASVTEPLEQVEAEAALLTEEVLEREVIAEEEPPTVTEPLPENREARGDTVVSEAELTPEAVTAAETAGPLGAEEGTEASAAEETTEMVSAVSQLTDSPDTTEEATPVQEVEGGVPDIEEQERRTQEVLQAVAEKVKEESQLPGTGGPEDVLQPVQRAEAERPEEQAEASGLKKETDVVLKVDAQEAKTEPFTQGKVVGQTTPESFEKAPQVTESIESSELVTTCQAETLAGVKSQEMVMEQAIPPDSVETPTDSETDGSTPVADFDAPGTTQKDEIVEIHEENEVASGTQSGGTEAEAVPAQKERPPAPSSFVFQEETKEQSKMEDTLEHTDKEVSVETVSILSKTEGTQEADQYADEKTKDVPFFEGLEGSIDTGITVSREKVTEVALKGEGTEEAECKKDDALELQSHAKSPPSPVEREMVVQVEREKTEAEPTHVNEEKLEHETAVTVSEEVSKQLLQTVNVPIIDGAKEVSSLEGSPPPCLGQEEAVCTKIQVQSSEASFTLTAAAEEEKVLGETANILETGETLEPAGAHLVLEEKSSEKNEDFAAHPGEDAVPTGPDCQAKSTPVIVSATTKKGLSSDLEGEKTTSLKWKSDEVDEQVACQEVKVSVAIEDLEPENGILELETKSSKLVQNIIQTAVDQFVRTEETATEMLTSELQTQAHVIKADSQDAGQETEKEGEEPQASAQDETPITSAKEESESTAVGQAHSDISKDMSEASEKTMTVEVEGSTVNDQQLEEVVLPSEEEGGGAGTKSVPEDDGHALLAERIEKSLVEPKEDEKGDDVDDPENQNSALADTDASGGLTKESPDTNGPKQKEKEDAQEVELQEGKVHSESDKAITPQAQEELQKQERESAKSELTES
+>DECOY_sp|Q02952|AKA12_HUMAN A-kinase anchor protein 12 OS=Homo sapiens OX=9606 GN=AKAP12 PE=1 SV=4
+SETLESKASEREQKQLEEQAQPTIAKDSESHVKGEQLEVEQADEKEKQKPGNTDPSEKTLGGSADTDALASNQNEPDDVDDGKEDEKPEVLSKEIREALLAHGDDEPVSKTGAGGGEEESPLVVEELQQDNVTSGEVEVTMTKESAESMDKSIDSHAQGVATSESEEKASTIPTEDQASAQPEEGEKETEQGADQSDAKIVHAQTQLESTLMETATEETRVFQDVATQIINQVLKSSKTELELIGNEPELDEIAVSVKVEQCAVQEDVEDSKWKLSTTKEGELDSSLGKKTTASVIVPTSKAQCDPGTPVADEGPHAAFDENKESSKEELVLHAGAPELTEGTELINATEGLVKEEEAAATLTFSAESSQVQIKTCVAEEQGLCPPPSGELSSVEKAGDIIPVNVTQLLQKSVEESVTVATEHELKEENVHTPEAETKEREVQVVMEREVPSPPSKAHSQLELADDKKCEAEETGEGKLAVETVKERSVTIGTDISGELGEFFPVDKTKEDAYQDAEQTGETKSLISVTEVSVEKDTHELTDEMKSQEKTEEQFVFSSPAPPREKQAPVAEAETGGSQTGSAVENEEHIEVIEDKQTTGPADFDAVPTSGDTESDTPTEVSDPPIAQEMVMEQSKVGALTEAQCTTVLESSEISETVQPAKEFSEPTTQGVVKGQTFPETKAEQADVKLVVDTEKKLGSAEAQEEPREAEARQVPQLVDEPGGTGPLQSEEKVKEAVAQLVEQTRREQEEIDPVGGEVEQVPTAEETTDPSDTLQSVASVMETTEEAASAETGEEAGLPGATEAATVAEPTLEAESVVTDGRAERNEPLPETVTPPEEEAIVERELVEETLLAAEAEVQELPETVSASIWSPSREEIITAARTGDAVAAAMMHVQSESLEKSVETAAKQEPQEASKQAQQAEMKEREVADYESLPVVAPVDSDDENAGTPGADEVPAQEQKGDPRKKRRGPIFKKISVWSEEKGPETDPTSHEVGSGAISDESKEELKSKSKKRPTVLRKFSEWTSVGEGETPSGAQEPSSSGQGPDHEQSGALIGDTGTEKDKGAEDAKQHDGGMAKPGGEEDSSSGRRARKKSSGVCILAEWSVSTDVKRKPEEPKPEEVSGKMEEQMESATSETSSLTASKVKDLEDEKDSESPRRVRKKPTVMKKFSAWPTVGERKKEGDSTAGEEAEGDQQVEALGKELCTIEEPEEPSSASSEGKQEEQSDPSDAPVQTHEGSEEDGGGRKGKQKKGSLKKLGTSTFLKKLPSGQVKMREQSSLMEVESVVGEPPKSLVKEDPSLDAGQTPDEGSVCTEKLKVLEKAPEAEQPEADMEVLEEAPVSGATEEVETKQEETREEVTSVHVEAVVEEQHVEIKEDFVETALPAPKEESPGQSGSVQEESPLEVKEYEASLRPEHASEAPEQPHAQESATLKESAVEAKGDEETDVKEPEQEKKKESAEVEDEKPKRFSTKKRWGAWGQTFFKKFTSGTESTVPSTPSEASKSPEKEQKEEGEEKCEEVAQGSEAPPSIEAHSQERKLTEEPKETSQKPESEKSAAEGAGLSPDKHDGAGAAGEGEDKKVTLLQVTDPKETKDKKVTFKFGVFKFVKKFGIDNAQSETPQTLEELNSESSPIQEIIEPTEEQGDDTIDHVVASKTAMEKDSDRKSVDESDRQGVETVIVEEEEQSNLAGQGNLAGKQGNLDGEQLSLEDQEAVGNITSLQGNKQLLKTAPDSAAIAPDATTDPAAEASPGGGSPEPEAPTSSGEPPQEPSRQETSSGAGM
+>sp|Q9Y2D5|AKAP2_HUMAN A-kinase anchor protein 2 OS=Homo sapiens OX=9606 GN=AKAP2 PE=1 SV=3
+MEIEVSVAECKSVPGITSTPHPMDHPSAFYSPPHNGLLTDHHESLDNDVAREIRYLDEVLEANCCDSAVDGTYNGTSSPEPGAVVLVGGLSPPVHEATQPEPTERTASRQAPPHIELSNSSPDPMAEAERTNGHSPSQPRDALGDSLQVPVSPSSTTSSRCSSRDGEFTLTTLKKEAKFELRAFHEDKKPSKLFEDDEHEKEQYCIRKVRPSEEMLELEKERRELIRSQAVKKNPGIAAKWWNPPQEKTIEEQLDEEHLESHKKYKERKERRAQQEQLLLQKQLQQQQQQPPSQLCTAPASSHERASMIDKAKEDIVTEQIDFSAARKQFQLMENSRQAVAKGQSTPRLFSIKPFYRPLGSVNSDKPLTNPRPPSVGGPPEDSGASAAKGQKSPGALETPSAAGSQGNTASQGKEGPYSEPSKRGPLSKLWAEDGEFTSARAVLTVVKDDDHGILDQFSRSVNVSLTQEELDSGLDELSVRSQDTTVLETLSNDFSMDNISDSGASNETTNALQENSLADFSLPQTPQTDNPSEGRGEGVSKSFSDHGFYSPSSTLGDSPLVDDPLEYQAGLLVQNAIQQAIAEQVDKAVSKTSRDGAEQQGPEATVEEAEAAAFGSEKPQSMFEPPQVSSPVQEKRDVLPKILPAEDRALRERGPPQPLPAVQPSGPINMEETRPEGSYFSKYSEAAELRSTASLLATQESDVMVGPFKLRSRKQRTLSMIEEEIRAAQEREEELKRQRQVLQSTQSPRTKNAPSLPSRTCYKTAPGKIEKVKPPPSPTTEGPSLQPDLAPEEAAGTQRPKNLMQTLMEDYETHKSKRRERMDDSSVLEATRVNRRKSALALRWEAGIYANQEEEDNE
+>DECOY_sp|Q9Y2D5|AKAP2_HUMAN A-kinase anchor protein 2 OS=Homo sapiens OX=9606 GN=AKAP2 PE=1 SV=3
+ENDEEEQNAYIGAEWRLALASKRRNVRTAELVSSDDMRERRKSKHTEYDEMLTQMLNKPRQTGAAEEPALDPQLSPGETTPSPPPKVKEIKGPATKYCTRSPLSPANKTRPSQTSQLVQRQRKLEEEREQAARIEEEIMSLTRQKRSRLKFPGVMVDSEQTALLSATSRLEAAESYKSFYSGEPRTEEMNIPGSPQVAPLPQPPGRERLARDEAPLIKPLVDRKEQVPSSVQPPEFMSQPKESGFAAAEAEEVTAEPGQQEAGDRSTKSVAKDVQEAIAQQIANQVLLGAQYELPDDVLPSDGLTSSPSYFGHDSFSKSVGEGRGESPNDTQPTQPLSFDALSNEQLANTTENSAGSDSINDMSFDNSLTELVTTDQSRVSLEDLGSDLEEQTLSVNVSRSFQDLIGHDDDKVVTLVARASTFEGDEAWLKSLPGRKSPESYPGEKGQSATNGQSGAASPTELAGPSKQGKAASAGSDEPPGGVSPPRPNTLPKDSNVSGLPRYFPKISFLRPTSQGKAVAQRSNEMLQFQKRAASFDIQETVIDEKAKDIMSAREHSSAPATCLQSPPQQQQQQLQKQLLLQEQQARREKREKYKKHSELHEEDLQEEITKEQPPNWWKAAIGPNKKVAQSRILERREKELELMEESPRVKRICYQEKEHEDDEFLKSPKKDEHFARLEFKAEKKLTTLTFEGDRSSCRSSTTSSPSVPVQLSDGLADRPQSPSHGNTREAEAMPDPSSNSLEIHPPAQRSATRETPEPQTAEHVPPSLGGVLVVAGPEPSSTGNYTGDVASDCCNAELVEDLYRIERAVDNDLSEHHDTLLGNHPPSYFASPHDMPHPTSTIGPVSKCEAVSVEIEM
+>sp|Q5JQC9|AKAP4_HUMAN A-kinase anchor protein 4 OS=Homo sapiens OX=9606 GN=AKAP4 PE=1 SV=1
+MMAYSDTTMMSDDIDWLRSHRGVCKVDLYNPEGQQDQDRKVICFVDVSTLNVEDKDYKDAASSSSEGNLNLGSLEEKEIIVIKDTEKKDQSKTEGSVCLFKQAPSDPVSVLNWLLSDLQKYALGFQHALSPSTSTCKHKVGDTEGEYHRASSENCYSVYADQVNIDYLMNRPQNLRLEMTAAKNTNNNQSPSAPPAKPPSTQRAVISPDGECSIDDLSFYVNRLSSLVIQMAHKEIKEKLEGKSKCLHHSICPSPGNKERISPRTPASKIASEMAYEAVELTAAEMRGTGEESREGGQKSFLYSELSNKSKSGDKQMSQRESKEFADSISKGLMVYANQVASDMMVSLMKTLKVHSSGKPIPASVVLKRVLLRHTKEIVSDLIDSCMKNLHNITGVLMTDSDFVSAVKRNLFNQWKQNATDIMEAMLKRLVSALIGEEKETKSQSLSYASLKAGSHDPKCRNQSLEFSTMKAEMKERDKGKMKSDPCKSLTSAEKVGEHILKEGLTIWNQKQGNSCKVATKACSNKDEKGEKINASTDSLAKDLIVSALKLIQYHLTQQTKGKDTCEEDCPGSTMGYMAQSTQYEKCGGGQSAKALSVKQLESHRAPGPSTCQKENQHLDSQKMDMSNIVLMLIQKLLNENPFKCEDPCEGENKCSEPRASKAASMSNRSDKAEEQCQEHQELDCTSGMKQANGQFIDKLVESVMKLCLIMAKYSNDGAALAELEEQAASANKPNFRGTRCIHSGAMPQNYQDSLGHEVIVNNQCSTNSLQKQLQAVLQWIAASQFNVPMLYFMGDKDGQLEKLPQVSAKAAEKGYSVGGLLQEVMKFAKERQPDEAVGKVARKQLLDWLLANL
+>DECOY_sp|Q5JQC9|AKAP4_HUMAN A-kinase anchor protein 4 OS=Homo sapiens OX=9606 GN=AKAP4 PE=1 SV=1
+LNALLWDLLQKRAVKGVAEDPQREKAFKMVEQLLGGVSYGKEAAKASVQPLKELQGDKDGMFYLMPVNFQSAAIWQLVAQLQKQLSNTSCQNNVIVEHGLSDQYNQPMAGSHICRTGRFNPKNASAAQEELEALAAGDNSYKAMILCLKMVSEVLKDIFQGNAQKMGSTCDLEQHEQCQEEAKDSRNSMSAAKSARPESCKNEGECPDECKFPNENLLKQILMLVINSMDMKQSDLHQNEKQCTSPGPARHSELQKVSLAKASQGGGCKEYQTSQAMYGMTSGPCDEECTDKGKTQQTLHYQILKLASVILDKALSDTSANIKEGKEDKNSCAKTAVKCSNGQKQNWITLGEKLIHEGVKEASTLSKCPDSKMKGKDREKMEAKMTSFELSQNRCKPDHSGAKLSAYSLSQSKTEKEEGILASVLRKLMAEMIDTANQKWQNFLNRKVASVFDSDTMLVGTINHLNKMCSDILDSVIEKTHRLLVRKLVVSAPIPKGSSHVKLTKMLSVMMDSAVQNAYVMLGKSISDAFEKSERQSMQKDGSKSKNSLESYLFSKQGGERSEEGTGRMEAATLEVAEYAMESAIKSAPTRPSIREKNGPSPCISHHLCKSKGELKEKIEKHAMQIVLSSLRNVYFSLDDISCEGDPSIVARQTSPPKAPPASPSQNNNTNKAATMELRLNQPRNMLYDINVQDAYVSYCNESSARHYEGETDGVKHKCTSTSPSLAHQFGLAYKQLDSLLWNLVSVPDSPAQKFLCVSGETKSQDKKETDKIVIIEKEELSGLNLNGESSSSAADKYDKDEVNLTSVDVFCIVKRDQDQQGEPNYLDVKCVGRHSRLWDIDDSMMTTDSYAMM
+>sp|P02768|ALBU_HUMAN Serum albumin OS=Homo sapiens OX=9606 GN=ALB PE=1 SV=2
+MKWVTFISLLFLFSSAYSRGVFRRDAHKSEVAHRFKDLGEENFKALVLIAFAQYLQQCPFEDHVKLVNEVTEFAKTCVADESAENCDKSLHTLFGDKLCTVATLRETYGEMADCCAKQEPERNECFLQHKDDNPNLPRLVRPEVDVMCTAFHDNEETFLKKYLYEIARRHPYFYAPELLFFAKRYKAAFTECCQAADKAACLLPKLDELRDEGKASSAKQRLKCASLQKFGERAFKAWAVARLSQRFPKAEFAEVSKLVTDLTKVHTECCHGDLLECADDRADLAKYICENQDSISSKLKECCEKPLLEKSHCIAEVENDEMPADLPSLAADFVESKDVCKNYAEAKDVFLGMFLYEYARRHPDYSVVLLLRLAKTYETTLEKCCAAADPHECYAKVFDEFKPLVEEPQNLIKQNCELFEQLGEYKFQNALLVRYTKKVPQVSTPTLVEVSRNLGKVGSKCCKHPEAKRMPCAEDYLSVVLNQLCVLHEKTPVSDRVTKCCTESLVNRRPCFSALEVDETYVPKEFNAETFTFHADICTLSEKERQIKKQTALVELVKHKPKATKEQLKAVMDDFAAFVEKCCKADDKETCFAEEGKKLVAASQAALGL
+>DECOY_sp|P02768|ALBU_HUMAN Serum albumin OS=Homo sapiens OX=9606 GN=ALB PE=1 SV=2
+LGLAAQSAAVLKKGEEAFCTEKDDAKCCKEVFAAFDDMVAKLQEKTAKPKHKVLEVLATQKKIQREKESLTCIDAHFTFTEANFEKPVYTEDVELASFCPRRNVLSETCCKTVRDSVPTKEHLVCLQNLVVSLYDEACPMRKAEPHKCCKSGVKGLNRSVEVLTPTSVQPVKKTYRVLLANQFKYEGLQEFLECNQKILNQPEEVLPKFEDFVKAYCEHPDAAACCKELTTEYTKALRLLLVVSYDPHRRAYEYLFMGLFVDKAEAYNKCVDKSEVFDAALSPLDAPMEDNEVEAICHSKELLPKECCEKLKSSISDQNECIYKALDARDDACELLDGHCCETHVKTLDTVLKSVEAFEAKPFRQSLRAVAWAKFAREGFKQLSACKLRQKASSAKGEDRLEDLKPLLCAAKDAAQCCETFAAKYRKAFFLLEPAYFYPHRRAIEYLYKKLFTEENDHFATCMVDVEPRVLRPLNPNDDKHQLFCENREPEQKACCDAMEGYTERLTAVTCLKDGFLTHLSKDCNEASEDAVCTKAFETVENVLKVHDEFPCQQLYQAFAILVLAKFNEEGLDKFRHAVESKHADRRFVGRSYASSFLFLLSIFTVWKM
+>sp|P05062|ALDOB_HUMAN Fructose-bisphosphate aldolase B OS=Homo sapiens OX=9606 GN=ALDOB PE=1 SV=2
+MAHRFPALTQEQKKELSEIAQSIVANGKGILAADESVGTMGNRLQRIKVENTEENRRQFREILFSVDSSINQSIGGVILFHETLYQKDSQGKLFRNILKEKGIVVGIKLDQGGAPLAGTNKETTIQGLDGLSERCAQYKKDGVDFGKWRAVLRIADQCPSSLAIQENANALARYASICQQNGLVPIVEPEVIPDGDHDLEHCQYVTEKVLAAVYKALNDHHVYLEGTLLKPNMVTAGHACTKKYTPEQVAMATVTALHRTVPAAVPGICFLSGGMSEEDATLNLNAINLCPLPKPWKLSFSYGRALQASALAAWGGKAANKEATQEAFMKRAMANCQAAKGQYVHTGSSGAASTQSLFTACYTY
+>DECOY_sp|P05062|ALDOB_HUMAN Fructose-bisphosphate aldolase B OS=Homo sapiens OX=9606 GN=ALDOB PE=1 SV=2
+YTYCATFLSQTSAAGSSGTHVYQGKAAQCNAMARKMFAEQTAEKNAAKGGWAALASAQLARGYSFSLKWPKPLPCLNIANLNLTADEESMGGSLFCIGPVAAPVTRHLATVTAMAVQEPTYKKTCAHGATVMNPKLLTGELYVHHDNLAKYVAALVKETVYQCHELDHDGDPIVEPEVIPVLGNQQCISAYRALANANEQIALSSPCQDAIRLVARWKGFDVGDKKYQACRESLGDLGQITTEKNTGALPAGGQDLKIGVVIGKEKLINRFLKGQSDKQYLTEHFLIVGGISQNISSDVSFLIERFQRRNEETNEVKIRQLRNGMTGVSEDAALIGKGNAVISQAIESLEKKQEQTLAPFRHAM
+>sp|Q9NP73|ALG13_HUMAN Putative bifunctional UDP-N-acetylglucosamine transferase and deubiquitinase ALG13 OS=Homo sapiens OX=9606 GN=ALG13 PE=1 SV=2
+MKCVFVTVGTTSFDDLIACVSAPDSLQKIESLGYNRLILQIGRGTVVPEPFSTESFTLDVYRYKDSLKEDIQKADLVISHAGAGSCLETLEKGKPLVVVINEKLMNNHQLELAKQLHKEGHLFYCTCRVLTCPGQAKSIASAPGKCQDSAALTSTAFSGLDFGLLSGYLHKQALVTATHPTCTLLFPSCHAFFPLPLTPTLYKMHKGWKNYCSQKSLNEASMDEYLGSLGLFRKLTAKDASCLFRAISEQLFCSQVHHLEIRKACVSYMRENQQTFESYVEGSFEKYLERLGDPKESAGQLEIRALSLIYNRDFILYRFPGKPPTYVTDNGYEDKILLCYSSSGHYDSVYSKQFQSSAAVCQAVLYEILYKDVFVVDEEELKTAIKLFRSGSKKNRNNAVTGSEDAHTDYKSSNQNRMEEWGACYNAENIPEGYNKGTEETKSPENPSKMPFPYKVLKALDPEIYRNVEFDVWLDSRKELQKSDYMEYAGRQYYLGDKCQVCLESEGRYYNAHIQEVGNENNSVTVFIEELAEKHVVPLANLKPVTQVMSVPAWNAMPSRKGRGYQKMPGGYVPEIVISEMDIKQQKKMFKKIRGKEVYMTMAYGKGDPLLPPRLQHSMHYGHDPPMHYSQTAGNVMSNEHFHPQHPSPRQGRGYGMPRNSSRFINRHNMPGPKVDFYPGPGKRCCQSYDNFSYRSRSFRRSHRQMSCVNKESQYGFTPGNGQMPRGLEETITFYEVEEGDETAYPTLPNHGGPSTMVPATSGYCVGRRGHSSGKQTLNLEEGNGQSENGRYHEEYLYRAEPDYETSGVYSTTASTANLSLQDRKSCSMSPQDTVTSYNYPQKMMGNIAAVAASCANNVPAPVLSNGAAANQAISTTSVSSQNAIQPLFVSPPTHGRPVIASPSYPCHSAIPHAGASLPPPPPPPPPPPPPPPPPPPPPPPPPPPALDVGETSNLQPPPPLPPPPYSCDPSGSDLPQDTKVLQYYFNLGLQCYYHSYWHSMVYVPQMQQQLHVENYPVYTEPPLVDQTVPQCYSEVRREDGIQAEASANDTFPNADSSSVPHGAVYYPVMSDPYGQPPLPGFDSCLPVVPDYSCVPPWHPVGTAYGGSSQIHGAINPGPIGCIAPSPPASHYVPQGM
+>DECOY_sp|Q9NP73|ALG13_HUMAN Putative bifunctional UDP-N-acetylglucosamine transferase and deubiquitinase ALG13 OS=Homo sapiens OX=9606 GN=ALG13 PE=1 SV=2
+MGQPVYHSAPPSPAICGIPGPNIAGHIQSSGGYATGVPHWPPVCSYDPVVPLCSDFGPLPPQGYPDSMVPYYVAGHPVSSSDANPFTDNASAEAQIGDERRVESYCQPVTQDVLPPETYVPYNEVHLQQQMQPVYVMSHWYSHYYCQLGLNFYYQLVKTDQPLDSGSPDCSYPPPPLPPPPQLNSTEGVDLAPPPPPPPPPPPPPPPPPPPPPPPPPPPLSAGAHPIASHCPYSPSAIVPRGHTPPSVFLPQIANQSSVSTTSIAQNAAAGNSLVPAPVNNACSAAVAAINGMMKQPYNYSTVTDQPSMSCSKRDQLSLNATSATTSYVGSTEYDPEARYLYEEHYRGNESQGNGEELNLTQKGSSHGRRGVCYGSTAPVMTSPGGHNPLTPYATEDGEEVEYFTITEELGRPMQGNGPTFGYQSEKNVCSMQRHSRRFSRSRYSFNDYSQCCRKGPGPYFDVKPGPMNHRNIFRSSNRPMGYGRGQRPSPHQPHFHENSMVNGATQSYHMPPDHGYHMSHQLRPPLLPDGKGYAMTMYVEKGRIKKFMKKQQKIDMESIVIEPVYGGPMKQYGRGKRSPMANWAPVSMVQTVPKLNALPVVHKEALEEIFVTVSNNENGVEQIHANYYRGESELCVQCKDGLYYQRGAYEMYDSKQLEKRSDLWVDFEVNRYIEPDLAKLVKYPFPMKSPNEPSKTEETGKNYGEPINEANYCAGWEEMRNQNSSKYDTHADESGTVANNRNKKSGSRFLKIATKLEEEDVVFVDKYLIEYLVAQCVAASSQFQKSYVSDYHGSSSYCLLIKDEYGNDTVYTPPKGPFRYLIFDRNYILSLARIELQGASEKPDGLRELYKEFSGEVYSEFTQQNERMYSVCAKRIELHHVQSCFLQESIARFLCSADKATLKRFLGLSGLYEDMSAENLSKQSCYNKWGKHMKYLTPTLPLPFFAHCSPFLLTCTPHTATVLAQKHLYGSLLGFDLGSFATSTLAASDQCKGPASAISKAQGPCTLVRCTCYFLHGEKHLQKALELQHNNMLKENIVVVLPKGKELTELCSGAGAHSIVLDAKQIDEKLSDKYRYVDLTFSETSFPEPVVTGRGIQLILRNYGLSEIKQLSDPASVCAILDDFSTTGVTVFVCKM
+>sp|Q9NXW9|ALKB4_HUMAN Alpha-ketoglutarate-dependent dioxygenase alkB homolog 4 OS=Homo sapiens OX=9606 GN=ALKBH4 PE=1 SV=1
+MAAAAAETPEVLRECGCKGIRTCLICERQRGSDPPWELPPAKTYRFIYCSDTGWAVGTEESDFEGWAFPFPGVMLIEDFVTREEEAELVRLMDRDPWKLSQSGRRKQDYGPKVNFRKQKLKTEGFCGLPSFSREVVRRMGLYPGLEGFRPVEQCNLDYCPERGSAIDPHLDDAWLWGERLVSLNLLSPTVLSMCREAPGSLLLCSAPSAAPEALVDSVIAPSRSVLCQEVEVAIPLPARSLLVLTGAARHQWKHAIHRRHIEARRVCVTFRELSAEFGPGGRQQELGQELLRIALSFQGRPV
+>DECOY_sp|Q9NXW9|ALKB4_HUMAN Alpha-ketoglutarate-dependent dioxygenase alkB homolog 4 OS=Homo sapiens OX=9606 GN=ALKBH4 PE=1 SV=1
+VPRGQFSLAIRLLEQGLEQQRGGPGFEASLERFTVCVRRAEIHRRHIAHKWQHRAAGTLVLLSRAPLPIAVEVEQCLVSRSPAIVSDVLAEPAASPASCLLLSGPAERCMSLVTPSLLNLSVLREGWLWADDLHPDIASGREPCYDLNCQEVPRFGELGPYLGMRRVVERSFSPLGCFGETKLKQKRFNVKPGYDQKRRGSQSLKWPDRDMLRVLEAEEERTVFDEILMVGPFPFAWGEFDSEETGVAWGTDSCYIFRYTKAPPLEWPPDSGRQRECILCTRIGKCGCERLVEPTEAAAAAM
+>sp|Q5JTC6|AMER1_HUMAN APC membrane recruitment protein 1 OS=Homo sapiens OX=9606 GN=AMER1 PE=1 SV=2
+METQKDEAAQAKGAAASGSTREQTAEKGAKNKAAEATEGPTSEPSSSGPGRLKKTAMKLFGGKKGICTLPSFFGGGRSKGSGKGSSKKGLSKSKTHDGLSEAAHGPEDVVSEGTGFSLPLPELPCQFPSSQSAHGALETGSRCKTSVAGATEKAVAEKFPSMPKPKKGLKGFFSSIRRHRKSKVTGAEQSEPGAKGPERVRARPHEHVSSAPQVPCFEETFQAPRKENANPQDAPGPKVSPTPEPSPPATEKMACKDPEKPMEACASAHVQPKPAPEASSLEEPHSPETGEKVVAGEVNPPNGPVGDPLSLLFGDVTSLKSFDSLTGCGDIIAEQDMDSMTDSMASGGQRANRDGTKRSSCLVTYQGGGEEMALPDDDDEEEEEEEEVELEEEEEEVKEEEEDDDLEYLWETAQMYPRPNMNLGYHPTTSPGHHGYMLLDPVRSYPGLAPGELLTPQSDQQESAPNSDEGYYDSTTPGFEDDSGEALGLVRRDCLPRDSYSGDALYEFYEPDDSLENSPPGDDCLYDLHGRSSEMFDPFLNFEPFLSSRPPGAMETEEERLVTIQKQLLYWELRREQLEAQEARAREAHAREAHAREAYTREAYGREAYAREAHTWEAHGREARTREAQAREVRCRETQVRETQARQEKPVLEYQMRPLGPSVMGLAAGVSGTSQISHRGITSAFPTTASSEPDWRDFRPLEKRYEGTCSKKDQSTCLMQLFQSDAMFEPDMQEANFGGSPRRAYPTYSPPEDPEEEEVEKEGNATVSFSQALVEFTSNGNLFSSMSCSSDSDSSFTQNLPELPPMVTFDIADVERDGEGKCEENPEFHNDEDLAASLEAFELGYYHKHAFNNYHSRFYQGLPWGVSSLPRYLGLPGLHPRPPPAAMALNRRSRSLDTAETLEMELSNSHLVQGYLESDELQAQQEDSDEEDEEEEEGEWSRDSPLSLYTEPPGAYDWPAWAPCPLPVGPGPAWISPNQLDRPSSQSPYRQATCCIPPMTMSISLSVPESRAPGESGPQLARPSHLHLPMGPCYNLQPQASQSMRARPRDVLLPVDEPSCSSSSGGFSPSPLPQAKPVGITHGIPQLPRVRPEHPQPQPTHYGPSSLDLSKERAEQGASLATSYSSTAMNGNLAK
+>DECOY_sp|Q5JTC6|AMER1_HUMAN APC membrane recruitment protein 1 OS=Homo sapiens OX=9606 GN=AMER1 PE=1 SV=2
+KALNGNMATSSYSTALSAGQEAREKSLDLSSPGYHTPQPQPHEPRVRPLQPIGHTIGVPKAQPLPSPSFGGSSSSCSPEDVPLLVDRPRARMSQSAQPQLNYCPGMPLHLHSPRALQPGSEGPARSEPVSLSISMTMPPICCTAQRYPSQSSPRDLQNPSIWAPGPGVPLPCPAWAPWDYAGPPETYLSLPSDRSWEGEEEEEDEEDSDEQQAQLEDSELYGQVLHSNSLEMELTEATDLSRSRRNLAMAAPPPRPHLGPLGLYRPLSSVGWPLGQYFRSHYNNFAHKHYYGLEFAELSAALDEDNHFEPNEECKGEGDREVDAIDFTVMPPLEPLNQTFSSDSDSSCSMSSFLNGNSTFEVLAQSFSVTANGEKEVEEEEPDEPPSYTPYARRPSGGFNAEQMDPEFMADSQFLQMLCTSQDKKSCTGEYRKELPRFDRWDPESSATTPFASTIGRHSIQSTGSVGAALGMVSPGLPRMQYELVPKEQRAQTERVQTERCRVERAQAERTRAERGHAEWTHAERAYAERGYAERTYAERAHAERAHAERARAEQAELQERRLEWYLLQKQITVLREEETEMAGPPRSSLFPEFNLFPDFMESSRGHLDYLCDDGPPSNELSDDPEYFEYLADGSYSDRPLCDRRVLGLAEGSDDEFGPTTSDYYGEDSNPASEQQDSQPTLLEGPALGPYSRVPDLLMYGHHGPSTTPHYGLNMNPRPYMQATEWLYELDDDEEEEKVEEEEEELEVEEEEEEEEDDDDPLAMEEGGGQYTVLCSSRKTGDRNARQGGSAMSDTMSDMDQEAIIDGCGTLSDFSKLSTVDGFLLSLPDGVPGNPPNVEGAVVKEGTEPSHPEELSSAEPAPKPQVHASACAEMPKEPDKCAMKETAPPSPEPTPSVKPGPADQPNANEKRPAQFTEEFCPVQPASSVHEHPRARVREPGKAGPESQEAGTVKSKRHRRISSFFGKLGKKPKPMSPFKEAVAKETAGAVSTKCRSGTELAGHASQSSPFQCPLEPLPLSFGTGESVVDEPGHAAESLGDHTKSKSLGKKSSGKGSGKSRGGGFFSPLTCIGKKGGFLKMATKKLRGPGSSSPESTPGETAEAAKNKAGKEATQERTSGSAAAGKAQAAEDKQTEM
+>sp|Q01433|AMPD2_HUMAN AMP deaminase 2 OS=Homo sapiens OX=9606 GN=AMPD2 PE=1 SV=2
+MRNRGQGLFRLRSRCFLHQSLPLGAGRRKGLDVAEPGPSRCRSDSPAVAAVVPAMASYPSGSGKPKAKYPFKKRASLQASTAAPEARGGLGAPPLQSARSLPGPAPCLKHFPLDLRTSMDGKCKEIAEELFTRSLAESELRSAPYEFPEESPIEQLEERRQRLERQISQDVKLEPDILLRAKQDFLKTDSDSDLQLYKEQGEGQGDRSLRERDVLEREFQRVTISGEEKCGVPFTDLLDAAKSVVRALFIREKYMALSLQSFCPTTRRYLQQLAEKPLETRTYEQGPDTPVSADAPVHPPALEQHPYEHCEPSTMPGDLGLGLRMVRGVVHVYTRREPDEHCSEVELPYPDLQEFVADVNVLMALIINGPIKSFCYRRLQYLSSKFQMHVLLNEMKELAAQKKVPHRDFYNIRKVDTHIHASSCMNQKHLLRFIKRAMKRHLEEIVHVEQGREQTLREVFESMNLTAYDLSVDTLDVHADRNTFHRFDKFNAKYNPIGESVLREIFIKTDNRVSGKYFAHIIKEVMSDLEESKYQNAELRLSIYGRSRDEWDKLARWAVMHRVHSPNVRWLVQVPRLFDVYRTKGQLANFQEMLENIFLPLFEATVHPASHPELHLFLEHVDGFDSVDDESKPENHVFNLESPLPEAWVEEDNPPYAYYLYYTFANMAMLNHLRRQRGFHTFVLRPHCGEAGPIHHLVSAFMLAENISHGLLLRKAPVLQYLYYLAQIGIAMSPLSNNSLFLSYHRNPLPEYLSRGLMVSLSTDDPLQFHFTKEPLMEEYSIATQVWKLSSCDMCELARNSVLMSGFSHKVKSHWLGPNYTKEGPEGNDIRRTNVPDIRVGYRYETLCQELALITQAVQSEMLETIPEEAGITMSPGPQ
+>DECOY_sp|Q01433|AMPD2_HUMAN AMP deaminase 2 OS=Homo sapiens OX=9606 GN=AMPD2 PE=1 SV=2
+QPGPSMTIGAEEPITELMESQVAQTILALEQCLTEYRYGVRIDPVNTRRIDNGEPGEKTYNPGLWHSKVKHSFGSMLVSNRALECMDCSSLKWVQTAISYEEMLPEKTFHFQLPDDTSLSVMLGRSLYEPLPNRHYSLFLSNNSLPSMAIGIQALYYLYQLVPAKRLLLGHSINEALMFASVLHHIPGAEGCHPRLVFTHFGRQRRLHNLMAMNAFTYYLYYAYPPNDEEVWAEPLPSELNFVHNEPKSEDDVSDFGDVHELFLHLEPHSAPHVTAEFLPLFINELMEQFNALQGKTRYVDFLRPVQVLWRVNPSHVRHMVAWRALKDWEDRSRGYISLRLEANQYKSEELDSMVEKIIHAFYKGSVRNDTKIFIERLVSEGIPNYKANFKDFRHFTNRDAHVDLTDVSLDYATLNMSEFVERLTQERGQEVHVIEELHRKMARKIFRLLHKQNMCSSAHIHTDVKRINYFDRHPVKKQAALEKMENLLVHMQFKSSLYQLRRYCFSKIPGNIILAMLVNVDAVFEQLDPYPLEVESCHEDPERRTYVHVVGRVMRLGLGLDGPMTSPECHEYPHQELAPPHVPADASVPTDPGQEYTRTELPKEALQQLYRRTTPCFSQLSLAMYKERIFLARVVSKAADLLDTFPVGCKEEGSITVRQFERELVDRERLSRDGQGEGQEKYLQLDSDSDTKLFDQKARLLIDPELKVDQSIQRELRQRREELQEIPSEEPFEYPASRLESEALSRTFLEEAIEKCKGDMSTRLDLPFHKLCPAPGPLSRASQLPPAGLGGRAEPAATSAQLSARKKFPYKAKPKGSGSPYSAMAPVVAAVAPSDSRCRSPGPEAVDLGKRRGAGLPLSQHLFCRSRLRFLGQGRNRM
+>sp|Q07075|AMPE_HUMAN Glutamyl aminopeptidase OS=Homo sapiens OX=9606 GN=ENPEP PE=1 SV=3
+MNFAEREGSKRYCIQTKHVAILCAVVVGVGLIVGLAVGLTRSCDSSGDGGPGTAPAPSHLPSSTASPSGPPAQDQDICPASEDESGQWKNFRLPDFVNPVHYDLHVKPLLEEDTYTGTVSISINLSAPTRYLWLHLRETRITRLPELKRPSGDQVQVRRCFEYKKQEYVVVEAEEELTPSSGDGLYLLTMEFAGWLNGSLVGFYRTTYTENGQVKSIVATDHEPTDARKSFPCFDEPNKKATYTISITHPKEYGALSNMPVAKEESVDDKWTRTTFEKSVPMSTYLVCFAVHQFDSVKRISNSGKPLTIYVQPEQKHTAEYAANITKSVFDYFEEYFAMNYSLPKLDKIAIPDFGTGAMENWGLITYRETNLLYDPKESASSNQQRVATVVAHELVHQWFGNIVTMDWWEDLWLNEGFASFFEFLGVNHAETDWQMRDQMLLEDVLPVQEDDSLMSSHPIIVTVTTPDEITSVFDGISYSKGSSILRMLEDWIKPENFQKGCQMYLEKYQFKNAKTSDFWAALEEASRLPVKEVMDTWTRQMGYPVLNVNGVKNITQKRFLLDPRANPSQPPSDLGYTWNIPVKWTEDNITSSVLFNRSEKEGITLNSSNPSGNAFLKINPDHIGFYRVNYEVATWDSIATALSLNHKTFSSADRASLIDDAFALARAQLLDYKVALNLTKYLKREENFLPWQRVISAVTYIISMFEDDKELYPMIEEYFQGQVKPIADSLGWNDAGDHVTKLLRSSVLGFACKMGDREALNNASSLFEQWLNGTVSLPVNLRLLVYRYGMQNSGNEISWNYTLEQYQKTSLAQEKEKLLYGLASVKNVTLLSRYLDLLKDTNLIKTQDVFTVIRYISYNSYGKNMAWNWIQLNWDYLVNRYTLNNRNLGRIVTIAEPFNTELQLWQMESFFAKYPQAGAGEKPREQVLETVKNNIEWLKQHRNTIREWFFNLLESG
+>DECOY_sp|Q07075|AMPE_HUMAN Glutamyl aminopeptidase OS=Homo sapiens OX=9606 GN=ENPEP PE=1 SV=3
+GSELLNFFWERITNRHQKLWEINNKVTELVQERPKEGAGAQPYKAFFSEMQWLQLETNFPEAITVIRGLNRNNLTYRNVLYDWNLQIWNWAMNKGYSNYSIYRIVTFVDQTKILNTDKLLDLYRSLLTVNKVSALGYLLKEKEQALSTKQYQELTYNWSIENGSNQMGYRYVLLRLNVPLSVTGNLWQEFLSSANNLAERDGMKCAFGLVSSRLLKTVHDGADNWGLSDAIPKVQGQFYEEIMPYLEKDDEFMSIIYTVASIVRQWPLFNEERKLYKTLNLAVKYDLLQARALAFADDILSARDASSFTKHNLSLATAISDWTAVEYNVRYFGIHDPNIKLFANGSPNSSNLTIGEKESRNFLVSSTINDETWKVPINWTYGLDSPPQSPNARPDLLFRKQTINKVGNVNLVPYGMQRTWTDMVEKVPLRSAEELAAWFDSTKANKFQYKELYMQCGKQFNEPKIWDELMRLISSGKSYSIGDFVSTIEDPTTVTVIIPHSSMLSDDEQVPLVDELLMQDRMQWDTEAHNVGLFEFFSAFGENLWLDEWWDMTVINGFWQHVLEHAVVTAVRQQNSSASEKPDYLLNTERYTILGWNEMAGTGFDPIAIKDLKPLSYNMAFYEEFYDFVSKTINAAYEATHKQEPQVYITLPKGSNSIRKVSDFQHVAFCVLYTSMPVSKEFTTRTWKDDVSEEKAVPMNSLAGYEKPHTISITYTAKKNPEDFCPFSKRADTPEHDTAVISKVQGNETYTTRYFGVLSGNLWGAFEMTLLYLGDGSSPTLEEEAEVVVYEQKKYEFCRRVQVQDGSPRKLEPLRTIRTERLHLWLYRTPASLNISISVTGTYTDEELLPKVHLDYHVPNVFDPLRFNKWQGSEDESAPCIDQDQAPPGSPSATSSPLHSPAPATGPGGDGSSDCSRTLGVALGVILGVGVVVACLIAVHKTQICYRKSGEREAFNM
+>sp|P28838|AMPL_HUMAN Cytosol aminopeptidase OS=Homo sapiens OX=9606 GN=LAP3 PE=1 SV=3
+MFLLPLPAAGRVVVRRLAVRRFGSRSLSTADMTKGLVLGIYSKEKEDDVPQFTSAGENFDKLLAGKLRETLNISGPPLKAGKTRTFYGLHQDFPSVVLVGLGKKAAGIDEQENWHEGKENIRAAVAAGCRQIQDLELSSVEVDPCGDAQAAAEGAVLGLYEYDDLKQKKKMAVSAKLYGSGDQEAWQKGVLFASGQNLARQLMETPANEMTPTRFAEIIEKNLKSASSKTEVHIRPKSWIEEQAMGSFLSVAKGSDEPPVFLEIHYKGSPNANEPPLVFVGKGITFDSGGISIKASANMDLMRADMGGAATICSAIVSAAKLNLPINIIGLAPLCENMPSGKANKPGDVVRAKNGKTIQVDNTDAEGRLILADALCYAHTFNPKVILNAATLTGAMDVALGSGATGVFTNSSWLWNKLFEASIETGDRVWRMPLFEHYTRQVVDCQLADVNNIGKYRSAGACTAAAFLKEFVTHPKWAHLDIAGVMTNKDEVPYLRKGMTGRPTRTLIEFLLRFSQDNA
+>DECOY_sp|P28838|AMPL_HUMAN Cytosol aminopeptidase OS=Homo sapiens OX=9606 GN=LAP3 PE=1 SV=3
+ANDQSFRLLFEILTRTPRGTMGKRLYPVEDKNTMVGAIDLHAWKPHTVFEKLFAAATCAGASRYKGINNVDALQCDVVQRTYHEFLPMRWVRDGTEISAEFLKNWLWSSNTFVGTAGSGLAVDMAGTLTAANLIVKPNFTHAYCLADALILRGEADTNDVQITKGNKARVVDGPKNAKGSPMNECLPALGIINIPLNLKAASVIASCITAAGGMDARMLDMNASAKISIGGSDFTIGKGVFVLPPENANPSGKYHIELFVPPEDSGKAVSLFSGMAQEEIWSKPRIHVETKSSASKLNKEIIEAFRTPTMENAPTEMLQRALNQGSAFLVGKQWAEQDGSGYLKASVAMKKKQKLDDYEYLGLVAGEAAAQADGCPDVEVSSLELDQIQRCGAAVAARINEKGEHWNEQEDIGAAKKGLGVLVVSPFDQHLGYFTRTKGAKLPPGSINLTERLKGALLKDFNEGASTFQPVDDEKEKSYIGLVLGKTMDATSLSRSGFRRVALRRVVVRGAAPLPLLFM
+>sp|Q9C0C7|AMRA1_HUMAN Activating molecule in BECN1-regulated autophagy protein 1 OS=Homo sapiens OX=9606 GN=AMBRA1 PE=1 SV=2
+MKVVPEKNAVRILWGRERGARAMGAQRLLQELVEDKTRWMKWEGKRVELPDSPRSTFLLAFSPDRTLLASTHVNHNIYITEVKTGKCVHSLIGHRRTPWCVTFHPTISGLIASGCLDGEVRIWDLHGGSESWFTDSNNAIASLAFHPTAQLLLIATANEIHFWDWSRREPFAVVKTASEMERVRLVRFDPLGHYLLTAIVNPSNQQGDDEPEIPIDGTELSHYRQRALLQSQPVRRTPLLHNFLHMLSSRSSGIQVGEQSTVQDSATPSPPPPPPQPSTERPRTSAYIRLRQRVSYPTAECCQHLGILCLCSRCSGTRVPSLLPHQDSVPPASARATTPSFSFVQTEPFHPPEQASSTQQDQGLLNRPSAFSTVQSSTAGNTLRNLSLGPTRRSLGGPLSSHPSRYHREIAPGLTGSEWTRTVLSLNSRSEAESMPPPRTSASSVSLLSVLRQQEGGSQASVYTSATEGRGFPASGLATESDGGNGSSQNNSGSIRHELQCDLRRFFLEYDRLQELDQSLSGEAPQTQQAQEMLNNNIESERPGPSHQPTPHSSENNSNLSRGHLNRCRACHNLLTFNNDTLRWERTTPNYSSGEASSSWQVPSSFESVPSSGSQLPPLERTEGQTPSSSRLELSSSASPQEERTVGVAFNQETGHWERIYTQSSRSGTVSQEALHQDMPEESSEEDSLRRRLLESSLISLSRYDGAGSREHPIYPDPARLSPAAYYAQRMIQYLSRRDSIRQRSMRYQQNRLRSSTSSSSSDNQGPSVEGTDLEFEDFEDNGDRSRHRAPRNARMSAPSLGRFVPRRFLLPEYLPYAGIFHERGQPGLATHSSVNRVLAGAVIGDGQSAVASNIANTTYRLQWWDFTKFDLPEISNASVNVLVQNCKIYNDASCDISADGQLLAAFIPSSQRGFPDEGILAVYSLAPHNLGEMLYTKRFGPNAISVSLSPMGRYVMVGLASRRILLHPSTEHMVAQVFRLQQAHGGETSMRRVFNVLYPMPADQRRHVSINSARWLPEPGLGLAYGTNKGDLVICRPEALNSGVEYYWDQLNETVFTVHSNSRSSERPGTSRATWRTDRDMGLMNAIGLQPRNPATSVTSQGTQTLALQLQNAETQTEREVPEPGTAASGPGEGEGSEYGASGEDALSRIQRLMAEGGMTAVVQREQSTTMASMGGFGNNIIVSHRIHRSSQTGTEPGAAHTSSPQPSTSRGLLPEAGQLAERGLSPRTASWDQPGTPGREPTQPTLPSSSPVPIPVSLPSAEGPTLHCELTNNNHLLDGGSSRGDAAGPRGEPRNR
+>DECOY_sp|Q9C0C7|AMRA1_HUMAN Activating molecule in BECN1-regulated autophagy protein 1 OS=Homo sapiens OX=9606 GN=AMBRA1 PE=1 SV=2
+RNRPEGRPGAADGRSSGGDLLHNNNTLECHLTPGEASPLSVPIPVPSSSPLTPQTPERGPTGPQDWSATRPSLGREALQGAEPLLGRSTSPQPSSTHAAGPETGTQSSRHIRHSVIINNGFGGMSAMTTSQERQVVATMGGEAMLRQIRSLADEGSAGYESGEGEGPGSAATGPEPVERETQTEANQLQLALTQTGQSTVSTAPNRPQLGIANMLGMDRDTRWTARSTGPRESSRSNSHVTFVTENLQDWYYEVGSNLAEPRCIVLDGKNTGYALGLGPEPLWRASNISVHRRQDAPMPYLVNFVRRMSTEGGHAQQLRFVQAVMHETSPHLLIRRSALGVMVYRGMPSLSVSIANPGFRKTYLMEGLNHPALSYVALIGEDPFGRQSSPIFAALLQGDASIDCSADNYIKCNQVLVNVSANSIEPLDFKTFDWWQLRYTTNAINSAVASQGDGIVAGALVRNVSSHTALGPQGREHFIGAYPLYEPLLFRRPVFRGLSPASMRANRPARHRSRDGNDEFDEFELDTGEVSPGQNDSSSSSTSSRLRNQQYRMSRQRISDRRSLYQIMRQAYYAAPSLRAPDPYIPHERSGAGDYRSLSILSSELLRRRLSDEESSEEPMDQHLAEQSVTGSRSSQTYIREWHGTEQNFAVGVTREEQPSASSSLELRSSSPTQGETRELPPLQSGSSPVSEFSSPVQWSSSAEGSSYNPTTREWRLTDNNFTLLNHCARCRNLHGRSLNSNNESSHPTPQHSPGPRESEINNNLMEQAQQTQPAEGSLSQDLEQLRDYELFFRRLDCQLEHRISGSNNQSSGNGGDSETALGSAPFGRGETASTYVSAQSGGEQQRLVSLLSVSSASTRPPPMSEAESRSNLSLVTRTWESGTLGPAIERHYRSPHSSLPGGLSRRTPGLSLNRLTNGATSSQVTSFASPRNLLGQDQQTSSAQEPPHFPETQVFSFSPTTARASAPPVSDQHPLLSPVRTGSCRSCLCLIGLHQCCEATPYSVRQRLRIYASTRPRETSPQPPPPPPSPTASDQVTSQEGVQIGSSRSSLMHLFNHLLPTRRVPQSQLLARQRYHSLETGDIPIEPEDDGQQNSPNVIATLLYHGLPDFRVLRVREMESATKVVAFPERRSWDWFHIENATAILLLQATPHFALSAIANNSDTFWSESGGHLDWIRVEGDLCGSAILGSITPHFTVCWPTRRHGILSHVCKGTKVETIYINHNVHTSALLTRDPSFALLFTSRPSDPLEVRKGEWKMWRTKDEVLEQLLRQAGMARAGRERGWLIRVANKEPVVKM
+>sp|P04745|AMY1_HUMAN Alpha-amylase 1 OS=Homo sapiens OX=9606 GN=AMY1A PE=1 SV=2
+MKLFWLLFTIGFCWAQYSSNTQQGRTSIVHLFEWRWVDIALECERYLAPKGFGGVQVSPPNENVAIHNPFRPWWERYQPVSYKLCTRSGNEDEFRNMVTRCNNVGVRIYVDAVINHMCGNAVSAGTSSTCGSYFNPGSRDFPAVPYSGWDFNDGKCKTGSGDIENYNDATQVRDCRLSGLLDLALGKDYVRSKIAEYMNHLIDIGVAGFRIDASKHMWPGDIKAILDKLHNLNSNWFPEGSKPFIYQEVIDLGGEPIKSSDYFGNGRVTEFKYGAKLGTVIRKWNGEKMSYLKNWGEGWGFMPSDRALVFVDNHDNQRGHGAGGASILTFWDARLYKMAVGFMLAHPYGFTRVMSSYRWPRYFENGKDVNDWVGPPNDNGVTKEVTINPDTTCGNDWVCEHRWRQIRNMVNFRNVVDGQPFTNWYDNGSNQVAFGRGNRGFIVFNNDDWTFSLTLQTGLPAGTYCDVISGDKINGNCTGIKIYVSDDGKAHFSISNSAEDPFIAIHAESKL
+>DECOY_sp|P04745|AMY1_HUMAN Alpha-amylase 1 OS=Homo sapiens OX=9606 GN=AMY1A PE=1 SV=2
+LKSEAHIAIFPDEASNSISFHAKGDDSVYIKIGTCNGNIKDGSIVDCYTGAPLGTQLTLSFTWDDNNFVIFGRNGRGFAVQNSGNDYWNTFPQGDVVNRFNVMNRIQRWRHECVWDNGCTTDPNITVEKTVGNDNPPGVWDNVDKGNEFYRPWRYSSMVRTFGYPHALMFGVAMKYLRADWFTLISAGGAGHGRQNDHNDVFVLARDSPMFGWGEGWNKLYSMKEGNWKRIVTGLKAGYKFETVRGNGFYDSSKIPEGGLDIVEQYIFPKSGEPFWNSNLNHLKDLIAKIDGPWMHKSADIRFGAVGIDILHNMYEAIKSRVYDKGLALDLLGSLRCDRVQTADNYNEIDGSGTKCKGDNFDWGSYPVAPFDRSGPNFYSGCTSSTGASVANGCMHNIVADVYIRVGVNNCRTVMNRFEDENGSRTCLKYSVPQYREWWPRFPNHIAVNENPPSVQVGGFGKPALYRECELAIDVWRWEFLHVISTRGQQTNSSYQAWCFGITFLLWFLKM
+>sp|P04746|AMYP_HUMAN Pancreatic alpha-amylase OS=Homo sapiens OX=9606 GN=AMY2A PE=1 SV=2
+MKFFLLLFTIGFCWAQYSPNTQQGRTSIVHLFEWRWVDIALECERYLAPKGFGGVQVSPPNENVAIYNPFRPWWERYQPVSYKLCTRSGNEDEFRNMVTRCNNVGVRIYVDAVINHMCGNAVSAGTSSTCGSYFNPGSRDFPAVPYSGWDFNDGKCKTGSGDIENYNDATQVRDCRLTGLLDLALEKDYVRSKIAEYMNHLIDIGVAGFRLDASKHMWPGDIKAILDKLHNLNSNWFPAGSKPFIYQEVIDLGGEPIKSSDYFGNGRVTEFKYGAKLGTVIRKWNGEKMSYLKNWGEGWGFVPSDRALVFVDNHDNQRGHGAGGASILTFWDARLYKMAVGFMLAHPYGFTRVMSSYRWPRQFQNGNDVNDWVGPPNNNGVIKEVTINPDTTCGNDWVCEHRWRQIRNMVIFRNVVDGQPFTNWYDNGSNQVAFGRGNRGFIVFNNDDWSFSLTLQTGLPAGTYCDVISGDKINGNCTGIKIYVSDDGKAHFSISNSAEDPFIAIHAESKL
+>DECOY_sp|P04746|AMYP_HUMAN Pancreatic alpha-amylase OS=Homo sapiens OX=9606 GN=AMY2A PE=1 SV=2
+LKSEAHIAIFPDEASNSISFHAKGDDSVYIKIGTCNGNIKDGSIVDCYTGAPLGTQLTLSFSWDDNNFVIFGRNGRGFAVQNSGNDYWNTFPQGDVVNRFIVMNRIQRWRHECVWDNGCTTDPNITVEKIVGNNNPPGVWDNVDNGNQFQRPWRYSSMVRTFGYPHALMFGVAMKYLRADWFTLISAGGAGHGRQNDHNDVFVLARDSPVFGWGEGWNKLYSMKEGNWKRIVTGLKAGYKFETVRGNGFYDSSKIPEGGLDIVEQYIFPKSGAPFWNSNLNHLKDLIAKIDGPWMHKSADLRFGAVGIDILHNMYEAIKSRVYDKELALDLLGTLRCDRVQTADNYNEIDGSGTKCKGDNFDWGSYPVAPFDRSGPNFYSGCTSSTGASVANGCMHNIVADVYIRVGVNNCRTVMNRFEDENGSRTCLKYSVPQYREWWPRFPNYIAVNENPPSVQVGGFGKPALYRECELAIDVWRWEFLHVISTRGQQTNPSYQAWCFGITFLLLFFKM
+>sp|Q400G9|AMZ1_HUMAN Archaemetzincin-1 OS=Homo sapiens OX=9606 GN=AMZ1 PE=1 SV=1
+MLQCRPAQEFSFGPRALKDALVSTDAALQQLYVSAFSPAERLFLAEAYNPQRTLFCTLLIRTGFDWLLSRPEAPEDFQTFHASLQHRKPRLARKHIYLQPIDLSEEPVGSSLLHQLCSCTEAFFLGLRVKCLPSVAAASIRCSSRPSRDSDRLQLHTDGILSFLKNNKPGDALCVLGLTLSDLYPHEAWSFTFSKFLPGHEVGVCSFARFSGEFPKSGPSAPDLALVEAAADGPEAPLQDRGWALCFSALGMVQCCKVTCHELCHLLGLGNCRWLRCLMQGALSLDEALRRPLDLCPICLRKLQHVLGFRLIERYQRLYTWTQAVVGTWPSQEAGEPSVWEDTPPASADSGMCCESDSEPGTSVSEPLTPDAGSHTFASGPEEGLSYLAASEAPLPPGGPAEAIKEHERWLAMCIQALQREVAEEDLVQVDRAVDALDRWEMFTGQLPATRQDPPSSRDSVGLRKVLGDKFSSLRRKLSARKLARAESAPRPWDGEES
+>DECOY_sp|Q400G9|AMZ1_HUMAN Archaemetzincin-1 OS=Homo sapiens OX=9606 GN=AMZ1 PE=1 SV=1
+SEEGDWPRPASEARALKRASLKRRLSSFKDGLVKRLGVSDRSSPPDQRTAPLQGTFMEWRDLADVARDVQVLDEEAVERQLAQICMALWREHEKIAEAPGGPPLPAESAALYSLGEEPGSAFTHSGADPTLPESVSTGPESDSECCMGSDASAPPTDEWVSPEGAEQSPWTGVVAQTWTYLRQYREILRFGLVHQLKRLCIPCLDLPRRLAEDLSLAGQMLCRLWRCNGLGLLHCLEHCTVKCCQVMGLASFCLAWGRDQLPAEPGDAAAEVLALDPASPGSKPFEGSFRAFSCVGVEHGPLFKSFTFSWAEHPYLDSLTLGLVCLADGPKNNKLFSLIGDTHLQLRDSDRSPRSSCRISAAAVSPLCKVRLGLFFAETCSCLQHLLSSGVPEESLDIPQLYIHKRALRPKRHQLSAHFTQFDEPAEPRSLLWDFGTRILLTCFLTRQPNYAEALFLREAPSFASVYLQQLAADTSVLADKLARPGFSFEQAPRCQLM
+>sp|Q86W34|AMZ2_HUMAN Archaemetzincin-2 OS=Homo sapiens OX=9606 GN=AMZ2 PE=1 SV=2
+MQIIRHSEQTLKTALISKNPVLVSQYEKLNAGEQRLMNEAFQPASDLFGPITLHSPSDWITSHPEAPQDFEQFFSDPYRKTPSPNKRSIYIQSIGSLGNTRIISEEYIKWLTGYCKAYFYGLRVKLLEPVPVSVTRCSFRVNENTHNLQIHAGDILKFLKKKKPEDAFCVVGITMIDLYPRDSWNFVFGQASLTDGVGIFSFARYGSDFYSMHYKGKVKKLKKTSSSDYSIFDNYYIPEITSVLLLRSCKTLTHEIGHIFGLRHCQWLACLMQGSNHLEEADRRPLNLCPICLHKLQCAVGFSIVERYKALVRWIDDESSDTPGATPEHSHEDNGNLPKPVEAFKEWKEWIIKCLAVLQK
+>DECOY_sp|Q86W34|AMZ2_HUMAN Archaemetzincin-2 OS=Homo sapiens OX=9606 GN=AMZ2 PE=1 SV=2
+KQLVALCKIIWEKWEKFAEVPKPLNGNDEHSHEPTAGPTDSSEDDIWRVLAKYREVISFGVACQLKHLCIPCLNLPRRDAEELHNSGQMLCALWQCHRLGFIHGIEHTLTKCSRLLLVSTIEPIYYNDFISYDSSSTKKLKKVKGKYHMSYFDSGYRAFSFIGVGDTLSAQGFVFNWSDRPYLDIMTIGVVCFADEPKKKKLFKLIDGAHIQLNHTNENVRFSCRTVSVPVPELLKVRLGYFYAKCYGTLWKIYEESIIRTNGLSGISQIYISRKNPSPTKRYPDSFFQEFDQPAEPHSTIWDSPSHLTIPGFLDSAPQFAENMLRQEGANLKEYQSVLVPNKSILATKLTQESHRIIQM
+>sp|Q8IZ07|AN13A_HUMAN Ankyrin repeat domain-containing protein 13A OS=Homo sapiens OX=9606 GN=ANKRD13A PE=1 SV=3
+MSSACDAGDHYPLHLLVWKNDYRQLEKELQGQNVEAVDPRGRTLLHLAVSLGHLESARVLLRHKADVTKENRQGWTVLHEAVSTGDPEMVYTVLQHRDYHNTSMALEGVPELLQKILEAPDFYVQMKWEFTSWVPLVSRICPNDVCRIWKSGAKLRVDITLLGFENMSWIRGRRSFIFKGEDNWAELMEVNHDDKVVTTERFDLSQEMERLTLDLMKPKSREVERRLTSPVINTSLDTKNIAFERTKSGFWGWRTDKAEVVNGYEAKVYTVNNVNVITKIRTEHLTEEEKKRYKADRNPLESLLGTVEHQFGAQGDLTTECATANNPTAITPDEYFNEEFDLKDRDIGRPKELTIRTQKFKAMLWMCEEFPLSLVEQVIPIIDLMARTSAHFARLRDFIKLEFPPGFPVKIEIPLFHVLNARITFGNVNGCSTAEESVSQNVEGTQADSASHITNFEVDQSVFEIPESYYVQDNGRNVHLQDEDYEIMQFAIQQSLLESSRSQELSGPASNGGISQTNTYDAQYERAIQESLLTSTEGLCPSALSETSRFDNDLQLAMELSAKELEEWELRLQEEEAELQQVLQLSLTDK
+>DECOY_sp|Q8IZ07|AN13A_HUMAN Ankyrin repeat domain-containing protein 13A OS=Homo sapiens OX=9606 GN=ANKRD13A PE=1 SV=3
+KDTLSLQLVQQLEAEEEQLRLEWEELEKASLEMALQLDNDFRSTESLASPCLGETSTLLSEQIAREYQADYTNTQSIGGNSAPGSLEQSRSSELLSQQIAFQMIEYDEDQLHVNRGNDQVYYSEPIEFVSQDVEFNTIHSASDAQTGEVNQSVSEEATSCGNVNGFTIRANLVHFLPIEIKVPFGPPFELKIFDRLRAFHASTRAMLDIIPIVQEVLSLPFEECMWLMAKFKQTRITLEKPRGIDRDKLDFEENFYEDPTIATPNNATACETTLDGQAGFQHEVTGLLSELPNRDAKYRKKEEETLHETRIKTIVNVNNVTYVKAEYGNVVEAKDTRWGWFGSKTREFAINKTDLSTNIVPSTLRREVERSKPKMLDLTLREMEQSLDFRETTVVKDDHNVEMLEAWNDEGKFIFSRRGRIWSMNEFGLLTIDVRLKAGSKWIRCVDNPCIRSVLPVWSTFEWKMQVYFDPAELIKQLLEPVGELAMSTNHYDRHQLVTYVMEPDGTSVAEHLVTWGQRNEKTVDAKHRLLVRASELHGLSVALHLLTRGRPDVAEVNQGQLEKELQRYDNKWVLLHLPYHDGADCASSM
+>sp|Q5CZ79|AN20B_HUMAN Ankyrin repeat domain-containing protein 20B OS=Homo sapiens OX=9606 GN=ANKRD20A8P PE=2 SV=2
+MKLFGFGSRRGQTAEGSIDHVYTGSGYRIRDSELQKIHRAAVKGDAAEVERCLARRSGDLDARDKQHRTALHLACASGHVQVVTLLVNRKCQIDICDKENRTPLIQAVHCQEEACAVILLKHGANPNLKDIYGNTALHYAVYSESTSLAEKLLSHGAHIEALDKDSNTPLLFAIICKKEKMVEFLLKKKASTHAVDRLRRSALMLAVYYDSPGIVSILLKQNIDVFAQDMCGRDAEDYAISHHLTKIQQQILEHKQKILKKEKSDVGSSDESAVSIFHELRVDSLPASDDKDLSVATKQCVPEKVSEPLPGPSHEKGNRIVNGQGEGPPAKHPSLKPTTGVEDPAVKGAVQRKNVQTLRAEQALPVASEEEQERHERSEKKQPQVKKGNNTNKSEKIQLSENICDSTSSAAAGRLTQQRKIGKTYPQQFPKKLKEEHDKCTLKQENEEKTNVNMLYKKNREELERKEKQYKKEVEAKQLEPTVQSLEMKSKTARNTPNRDFHNHEETKGLMDENCILKADIAILRQEICTMKNDNLEKENKYLKDIKIVKETNAALEKYIKLNEEMITETAFRYQQELNDLKAENTRLNAELLKEKESKKRLEADIESYQSRLAAAIGKHSESVKTERNVKLALERTQDVSVQVEMSSAISKVKDENEFLTEQLSETQIKFNALKDKFRKTRDSLRKKSLALETVQNDLRKTQQQTQEMKEMYQNAEAKVNNSTGKWNCVEERICHLQRENAWLVQQLDDVHQKEDHKEIVTNIQRGFIESGKKDLVLEEKSKKLMNECDHLKESLFQYEREKAEGVVSIKEDKYFQTSRKKI
+>DECOY_sp|Q5CZ79|AN20B_HUMAN Ankyrin repeat domain-containing protein 20B OS=Homo sapiens OX=9606 GN=ANKRD20A8P PE=2 SV=2
+IKKRSTQFYKDEKISVVGEAKEREYQFLSEKLHDCENMLKKSKEELVLDKKGSEIFGRQINTVIEKHDEKQHVDDLQQVLWANERQLHCIREEVCNWKGTSNNVKAEANQYMEKMEQTQQQTKRLDNQVTELALSKKRLSDRTKRFKDKLANFKIQTESLQETLFENEDKVKSIASSMEVQVSVDQTRELALKVNRETKVSESHKGIAAALRSQYSEIDAELRKKSEKEKLLEANLRTNEAKLDNLEQQYRFATETIMEENLKIYKELAANTEKVIKIDKLYKNEKELNDNKMTCIEQRLIAIDAKLICNEDMLGKTEEHNHFDRNPTNRATKSKMELSQVTPELQKAEVEKKYQKEKRELEERNKKYLMNVNTKEENEQKLTCKDHEEKLKKPFQQPYTKGIKRQQTLRGAAASSTSDCINESLQIKESKNTNNGKKVQPQKKESREHREQEEESAVPLAQEARLTQVNKRQVAGKVAPDEVGTTPKLSPHKAPPGEGQGNVIRNGKEHSPGPLPESVKEPVCQKTAVSLDKDDSAPLSDVRLEHFISVASEDSSGVDSKEKKLIKQKHELIQQQIKTLHHSIAYDEADRGCMDQAFVDINQKLLISVIGPSDYYVALMLASRRLRDVAHTSAKKKLLFEVMKEKKCIIAFLLPTNSDKDLAEIHAGHSLLKEALSTSESYVAYHLATNGYIDKLNPNAGHKLLIVACAEEQCHVAQILPTRNEKDCIDIQCKRNVLLTVVQVHGSACALHLATRHQKDRADLDGSRRALCREVEAADGKVAARHIKQLESDRIRYGSGTYVHDISGEATQGRRSGFGFLKM
+>sp|Q9BXX2|AN30B_HUMAN Ankyrin repeat domain-containing protein 30B OS=Homo sapiens OX=9606 GN=ANKRD30B PE=2 SV=3
+MKRLLAAAGKGVRGPEPPNPFSERVYTEKDYGTIYFGDLGKIHTAASRGQVQKLEKMTVGKKPVNLNKRDMKKRTALHWACVNGHAEVVTFLVDRKCQLNVLDGEGRTPLMKALQCEREACANILIDAGADLNYVDVYGNTALHYAVYSENLLMVATLLSYGAVIEVQNKASLTPLLLAIQKRSKQTVEFLLTKNANANAFNESKCTALMLAICEGSSEIVGMLLQQNVDVFAEDIHGITAERYAAACGVNYIHQQLLEHIRKLPKNPQNTNPEGTSTGTPDEAAPLAERTPDTAESLLEKTPDEAARLVEGTSAKIQCLGKATSGKFEQSTEETPRKILRPTKETSEKFSWPAKERSRKITWEEKETSVKTECVAGVTPNKTEVLEKGTSNMIACPTKETSTKASTNVDVSSVEPIFSLFGTRTIENSQCTKVEEDFNLATKIISKSAAQNYTCLPDATYQKDIKTINHKIEDQMFPSESKREEDEEYSWDSGSLFESSAKTQVCIPESMYQKVMEINREVEELPEKPSAFKPAVEMQKTVPNKAFELKNEQTLRAAQMFPSESKQKDDEENSWDSESPCETVSQKDVYLPKATHQKEFDTLSGKLEESPVKDGLLKPTCGRKVSLPNKALELKDRETFKAESPDKDGLLKPTCGRKVSLPNKALELKDRETLKAESPDNDGLLKPTCGRKVSLPNKALELKDRETFKAAQMFPSESKQKDDEENSWDFESFLETLLQNDVCLPKATHQKEFDTLSGKLEESPDKDGLLKPTCGMKISLPNKALELKDRETFKAEDVSSVESTFSLFGKPTTENSQSTKVEEDFNLTTKEGATKTVTGQQERDIGIIERAPQDQTNKMPTSELGRKEDTKSTSDSEIISVSDTQNYECLPEATYQKEIKTTNGKIEESPEKPSHFEPATEMQNSVPNKGLEWKNKQTLRADSTTLSKILDALPSCERGRELKKDNCEQITAKMEQTKNKFCVLQKELSEAKEIKSQLENQKAKWEQELCSVRLTLNQEEEKRRNVDILKEKIRPEEQLRKKLEVKQQLEQTLRIQDIELKSVTSNLNQVSHTHESENDLFHENCMLKKEIAMLKLEVATLKHQHQVKENKYFEDIKILQEKNAELQMTLKLKQKTVTKRASQYREQLKVLTAENTMLTSKLKEKQDKEILETEIESHHPRLASALQDHDQSVTSRKNQELAFHSAGDAPLQGIMNVDVSNTIYNNEVLHQPLYEAQRKSKSPKINLNYAGDDLRENALVSEHAQRDRCETQCQMKKAEHMYQNEQDNVDKHTEQQESLEQKLFQLESKNRWLRQQLVYAHKKVNKSKVTINIQFPEMKMQRHLNEKNEEVFNYGNHLKERIDQYEKEKAEREVSIKKYKYFSNFLKESGLG
+>DECOY_sp|Q9BXX2|AN30B_HUMAN Ankyrin repeat domain-containing protein 30B OS=Homo sapiens OX=9606 GN=ANKRD30B PE=2 SV=3
+GLGSEKLFNSFYKYKKISVEREAKEKEYQDIREKLHNGYNFVEENKENLHRQMKMEPFQINITVKSKNVKKHAYVLQQRLWRNKSELQFLKQELSEQQETHKDVNDQENQYMHEAKKMQCQTECRDRQAHESVLANERLDDGAYNLNIKPSKSKRQAEYLPQHLVENNYITNSVDVNMIGQLPADGASHFALEQNKRSTVSQDHDQLASALRPHHSEIETELIEKDQKEKLKSTLMTNEATLVKLQERYQSARKTVTKQKLKLTMQLEANKEQLIKIDEFYKNEKVQHQHKLTAVELKLMAIEKKLMCNEHFLDNESEHTHSVQNLNSTVSKLEIDQIRLTQELQQKVELKKRLQEEPRIKEKLIDVNRRKEEEQNLTLRVSCLEQEWKAKQNELQSKIEKAESLEKQLVCFKNKTQEMKATIQECNDKKLERGRECSPLADLIKSLTTSDARLTQKNKWELGKNPVSNQMETAPEFHSPKEPSEEIKGNTTKIEKQYTAEPLCEYNQTDSVSIIESDSTSKTDEKRGLESTPMKNTQDQPAREIIGIDREQQGTVTKTAGEKTTLNFDEEVKTSQSNETTPKGFLSFTSEVSSVDEAKFTERDKLELAKNPLSIKMGCTPKLLGDKDPSEELKGSLTDFEKQHTAKPLCVDNQLLTELFSEFDWSNEEDDKQKSESPFMQAAKFTERDKLELAKNPLSVKRGCTPKLLGDNDPSEAKLTERDKLELAKNPLSVKRGCTPKLLGDKDPSEAKFTERDKLELAKNPLSVKRGCTPKLLGDKVPSEELKGSLTDFEKQHTAKPLYVDKQSVTECPSESDWSNEEDDKQKSESPFMQAARLTQENKLEFAKNPVTKQMEVAPKFASPKEPLEEVERNIEMVKQYMSEPICVQTKASSEFLSGSDWSYEEDEERKSESPFMQDEIKHNITKIDKQYTADPLCTYNQAASKSIIKTALNFDEEVKTCQSNEITRTGFLSFIPEVSSVDVNTSAKTSTEKTPCAIMNSTGKELVETKNPTVGAVCETKVSTEKEEWTIKRSREKAPWSFKESTEKTPRLIKRPTEETSQEFKGSTAKGLCQIKASTGEVLRAAEDPTKELLSEATDPTREALPAAEDPTGTSTGEPNTNQPNKPLKRIHELLQQHIYNVGCAAAYREATIGHIDEAFVDVNQQLLMGVIESSGECIALMLATCKSENFANANANKTLLFEVTQKSRKQIALLLPTLSAKNQVEIVAGYSLLTAVMLLNESYVAYHLATNGYVDVYNLDAGADILINACAERECQLAKMLPTRGEGDLVNLQCKRDVLFTVVEAHGNVCAWHLATRKKMDRKNLNVPKKGVTMKELKQVQGRSAATHIKGLDGFYITGYDKETYVRESFPNPPEPGRVGKGAAALLRKM
+>sp|P39687|AN32A_HUMAN Acidic leucine-rich nuclear phosphoprotein 32 family member A OS=Homo sapiens OX=9606 GN=ANP32A PE=1 SV=1
+MEMGRRIHLELRNRTPSDVKELVLDNSRSNEGKLEGLTDEFEELEFLSTINVGLTSIANLPKLNKLKKLELSDNRVSGGLEVLAEKCPNLTHLNLSGNKIKDLSTIEPLKKLENLKSLDLFNCEVTNLNDYRENVFKLLPQLTYLDGYDRDDKEAPDSDAEGYVEGLDDEEEDEDEEEYDEDAQVVEDEEDEDEEEEGEEEDVSGEEEEDEEGYNDGEVDDEEDEEELGEEERGQKRKREPEDEGEDDD
+>DECOY_sp|P39687|AN32A_HUMAN Acidic leucine-rich nuclear phosphoprotein 32 family member A OS=Homo sapiens OX=9606 GN=ANP32A PE=1 SV=1
+DDDEGEDEPERKRKQGREEEGLEEEDEEDDVEGDNYGEEDEEEEGSVDEEEGEEEEDEDEEDEVVQADEDYEEEDEDEEEDDLGEVYGEADSDPAEKDDRDYGDLYTLQPLLKFVNERYDNLNTVECNFLDLSKLNELKKLPEITSLDKIKNGSLNLHTLNPCKEALVELGGSVRNDSLELKKLKNLKPLNAISTLGVNITSLFELEEFEDTLGELKGENSRSNDLVLEKVDSPTRNRLELHIRRGMEM
+>sp|O95626|AN32D_HUMAN Acidic leucine-rich nuclear phosphoprotein 32 family member D OS=Homo sapiens OX=9606 GN=ANP32D PE=2 SV=2
+MEMGKWIHLELRNRTPSDVKELFLDNSQSNEGKLEGLTDEFEELELLNTINIGLTSIANLPKLNKLKKLELSSNRASVGLEVLAEKCPNLIHLNLSGNKIKDLSTIEPLKKLENLESLDLFTCEVTNLNNY
+>DECOY_sp|O95626|AN32D_HUMAN Acidic leucine-rich nuclear phosphoprotein 32 family member D OS=Homo sapiens OX=9606 GN=ANP32D PE=2 SV=2
+YNNLNTVECTFLDLSELNELKKLPEITSLDKIKNGSLNLHILNPCKEALVELGVSARNSSLELKKLKNLKPLNAISTLGINITNLLELEEFEDTLGELKGENSQSNDLFLEKVDSPTRNRLELHIWKGMEM
+>sp|Q9BTT0|AN32E_HUMAN Acidic leucine-rich nuclear phosphoprotein 32 family member E OS=Homo sapiens OX=9606 GN=ANP32E PE=1 SV=1
+MEMKKKINLELRNRSPEEVTELVLDNCLCVNGEIEGLNDTFKELEFLSMANVELSSLARLPSLNKLRKLELSDNIISGGLEVLAEKCPNLTYLNLSGNKIKDLSTVEALQNLKNLKSLDLFNCEITNLEDYRESIFELLQQITYLDGFDQEDNEAPDSEEEDDEDGDEDDEEEEENEAGPPEGYEEEEEEEEEEDEDEDEDEDEAGSELGEGEEEVGLSYLMKEEIQDEEDDDDYVEEGEEEEEEEEGGLRGEKRKRDAEDDGEEEDD
+>DECOY_sp|Q9BTT0|AN32E_HUMAN Acidic leucine-rich nuclear phosphoprotein 32 family member E OS=Homo sapiens OX=9606 GN=ANP32E PE=1 SV=1
+DDEEEGDDEADRKRKEGRLGGEEEEEEEEGEEVYDDDDEEDQIEEKMLYSLGVEEEGEGLESGAEDEDEDEDEDEEEEEEEEEEYGEPPGAENEEEEEDDEDGDEDDEEESDPAENDEQDFGDLYTIQQLLEFISERYDELNTIECNFLDLSKLNKLNQLAEVTSLDKIKNGSLNLYTLNPCKEALVELGGSIINDSLELKRLKNLSPLRALSSLEVNAMSLFELEKFTDNLGEIEGNVCLCNDLVLETVEEPSRNRLELNIKKKMEM
+>sp|A6NCL7|AN33B_HUMAN Ankyrin repeat domain-containing protein 33B OS=Homo sapiens OX=9606 GN=ANKRD33B PE=3 SV=1
+MVLLAGTGPEGGGARCMTPPPPSPPRGAQVEEDPADYEEFEDFSSLPDTRSIASDDSFYPFEDEEEHGVESAESVPEGVPESVPETATLLRAACANNVGLLRTLVRRGVSVEEAQETDRNGRTGLIVACYHGFVDTVVALAECPHVDVNWQDSEGNTALITAAQAGHAIITNYLLNYFPGLDLERRNAFGFTALMKAAMQGRTDCIRALMLAGADVHARDPRRGMSPQEWATYTGRVDAVRLMQRLLERPCPEQFWEKYRPELPPPPEAARKPAGSKNCLQRLTDCVLSVLTPRSVRGPEDGGVLDHMVRMTTSLYSPAVAIVCQTVCPESPPSVGKRRLAVQEILAARAARGPQAQEEDEVGGAGQRGRTGQEDADSREGSPRAGLPPALGSRGPAAPAPRKASLLPLQRLRRRSVRPGVVVPRVRVSKAPAPTFQPERPARKGSTKDSGHLQIPKWRYKEAKEEKRKAEEAEKKRQAEAQKERRTAPWKKRT
+>DECOY_sp|A6NCL7|AN33B_HUMAN Ankyrin repeat domain-containing protein 33B OS=Homo sapiens OX=9606 GN=ANKRD33B PE=3 SV=1
+TRKKWPATRREKQAEAQRKKEAEEAKRKEEKAEKYRWKPIQLHGSDKTSGKRAPREPQFTPAPAKSVRVRPVVVGPRVSRRRLRQLPLLSAKRPAPAAPGRSGLAPPLGARPSGERSDADEQGTRGRQGAGGVEDEEQAQPGRAARAALIEQVALRRKGVSPPSEPCVTQCVIAVAPSYLSTTMRVMHDLVGGDEPGRVSRPTLVSLVCDTLRQLCNKSGAPKRAAEPPPPLEPRYKEWFQEPCPRELLRQMLRVADVRGTYTAWEQPSMGRRPDRAHVDAGALMLARICDTRGQMAAKMLATFGFANRRELDLGPFYNLLYNTIIAHGAQAATILATNGESDQWNVDVHPCEALAVVTDVFGHYCAVILGTRGNRDTEQAEEVSVGRRVLTRLLGVNNACAARLLTATEPVSEPVGEPVSEASEVGHEEEDEFPYFSDDSAISRTDPLSSFDEFEEYDAPDEEVQAGRPPSPPPPTMCRAGGGEPGTGALLVM
+>sp|Q69YU3|AN34A_HUMAN Ankyrin repeat domain-containing protein 34A OS=Homo sapiens OX=9606 GN=ANKRD34A PE=2 SV=2
+MLHTEGHALLRAVGQGKLRLARLLLEGGAYVNEGDAQGETALMAACRARYDDPQNKARMVRYLLEQGADPNIADRLGRTALMHACAGGGGAAVASLLLAHGADPSVRDHAGASALVHALDRGDRETLATLLDACKAKGTEVIIITTDTSPSGTKKTRQYLNSPPSPGVEDPAPASPSPGFCTSPSEIQLQTAGGGGRGMLSPRAQEEEEKRDVFEFPLPKPPDDPSPSEPLPKPPRHPPKPLKRLNSEPWGLVAPPQPVPPTEGRPGIERLTAEFNGLTLTGRPRLSRRHSTEGPEDPPPWAEKVTSGGPLSRRNTAPEAQESGPPSGLRQKLSRMEPVELDTPGHLCPDSPESSRLSLERRRYSASPLTLPPAGSAPSPRQSQESLPGAVSPLSGRRRSPGLLERRGSGTLLLDHISQTRPGFLPPLNVSPHPPIPDIRPQPGGRAPSLPAPPYAGAPGSPRTKRKLVRRHSMQTEQIRLLGGFQSLGGPGEPGR
+>DECOY_sp|Q69YU3|AN34A_HUMAN Ankyrin repeat domain-containing protein 34A OS=Homo sapiens OX=9606 GN=ANKRD34A PE=2 SV=2
+RGPEGPGGLSQFGGLLRIQETQMSHRRVLKRKTRPSGPAGAYPPAPLSPARGGPQPRIDPIPPHPSVNLPPLFGPRTQSIHDLLLTGSGRRELLGPSRRRGSLPSVAGPLSEQSQRPSPASGAPPLTLPSASYRRRELSLRSSEPSDPCLHGPTDLEVPEMRSLKQRLGSPPGSEQAEPATNRRSLPGGSTVKEAWPPPDEPGETSHRRSLRPRGTLTLGNFEATLREIGPRGETPPVPQPPAVLGWPESNLRKLPKPPHRPPKPLPESPSPDDPPKPLPFEFVDRKEEEEQARPSLMGRGGGGATQLQIESPSTCFGPSPSAPAPDEVGPSPPSNLYQRTKKTGSPSTDTTIIIVETGKAKCADLLTALTERDGRDLAHVLASAGAHDRVSPDAGHALLLSAVAAGGGGACAHMLATRGLRDAINPDAGQELLYRVMRAKNQPDDYRARCAAMLATEGQADGENVYAGGELLLRALRLKGQGVARLLAHGETHLM
+>sp|P0C6C1|AN34C_HUMAN Ankyrin repeat domain-containing protein 34C OS=Homo sapiens OX=9606 GN=ANKRD34C PE=3 SV=2
+MMDDDTELRTDGNSLLKAVWLGRLRLTRLLLEGGAYINESNDKGETALMVACITKHVDQQSISKSKMVKYLLDNRADPNIQDKSGKTALIHACIRRAGGEVVSLLLENGADPSLEDRTGASALVYAINADDKDALKHLLDACKAKGKEVIIITTDKSSSGTKTTKQYLNVPPSPKVEDRHSPPLCASPSDIELKALGLDSPLTEKEDDFFSLQAGHPSSCNTSKAVNEPGSPTRKVSNLKRARLPQLKRLQSEPWGLIAPSVLAASTRQDETHGASTDNEVIKSISDISFPKRGPLSRTNSIDSKDPTLFHTVTEQVLKIPVSSAPASWKAAYEKGQAPHPRLARRGTLPVDQEKCGMGPSGPSALKEPASLKWLENDLYDLDIQPGPDPPNSISLESGKGPLDRKKLNSSHLSLFHGSRESLDTVPSTSPSSARRRPPHLLERRGSGTLLLDRISHTRPGFLPPLNVNLNPPIPDIRSSSKPSCSLASGLKSMVPVAPSSPKRVDLRSKKKLLRRHSMQIEQMKQLSDFEEIMT
+>DECOY_sp|P0C6C1|AN34C_HUMAN Ankyrin repeat domain-containing protein 34C OS=Homo sapiens OX=9606 GN=ANKRD34C PE=3 SV=2
+TMIEEFDSLQKMQEIQMSHRRLLKKKSRLDVRKPSSPAVPVMSKLGSALSCSPKSSSRIDPIPPNLNVNLPPLFGPRTHSIRDLLLTGSGRRELLHPPRRRASSPSTSPVTDLSERSGHFLSLHSSNLKKRDLPGKGSELSISNPPDPGPQIDLDYLDNELWKLSAPEKLASPGSPGMGCKEQDVPLTGRRALRPHPAQGKEYAAKWSAPASSVPIKLVQETVTHFLTPDKSDISNTRSLPGRKPFSIDSISKIVENDTSAGHTEDQRTSAALVSPAILGWPESQLRKLQPLRARKLNSVKRTPSGPENVAKSTNCSSPHGAQLSFFDDEKETLPSDLGLAKLEIDSPSACLPPSHRDEVKPSPPVNLYQKTTKTGSSSKDTTIIIVEKGKAKCADLLHKLADKDDANIAYVLASAGTRDELSPDAGNELLLSVVEGGARRICAHILATKGSKDQINPDARNDLLYKVMKSKSISQQDVHKTICAVMLATEGKDNSENIYAGGELLLRTLRLRGLWVAKLLSNGDTRLETDDDMM
+>sp|Q5JPF3|AN36C_HUMAN Ankyrin repeat domain-containing protein 36C OS=Homo sapiens OX=9606 GN=ANKRD36C PE=1 SV=3
+MDDKEPKRWPTLRDRLCSDGFLFPQYPIKPYHLKGIHRAVFYRDLEELKFVLLTRYDINKRDRKERTALHLACATGQPEMVHLLVSRRCELNLCDREDRTPLIKAVQLRQEACATLLLQNGADPNITDVFGRTALHYAVYNEDTSMIEKLLSYGANIEECSEDEYPPLFLAVSQRKVKMVEFLLKKKANINAVDYLGRSALIHAVTLGEKDIVILLLQHNIDVFSRDVYGKLAEDYASEAKNRVIFELIYEYERKKHEELSINSNPVSSQKQPALKATSGKEDSISNIATEIKDGQKSGTVSSQKQPALKGTSDKNDSVSNTATEIKDEQKSGTVSSQKQPALKDTSDKNDSVSNTATEIKDEQKSGTVLPAVEQCLNRSLYRPDAVAQPVTEDEFALESEIISKLYIPKRKIISPRSIEDVLPPVEEAVDRCLYLLDRFAQAVTKDKFALESENISEPYFTNRRTISQQSAEKLDAACGIDKTENGTLFEDQNVDKEGKALPATGQKANVSPEQPPLFTHTVKDSDHISTRFLGSMDSLTSSEESSERPPLSTLTLKEADPSSKAAMRRKDSPPPGKVSSQKQPAEKATSDDKDSVSNIATEIKEGPISGTVSSQKQPAEKATSDEKDSVSNIATEIKEGQQSGTVSPQKQSAWKVIFKKKVSLLNIATRITGGGKSGTVSSQKQPPSKATSDKTDSALNIATEIKDGLQCGTVSSQKQPALKATTDEEDSVSNIATEIKDGEKSGTVSSQKQPALKATTDEEDSVSIIATEIKDGEKSGTVSSRKKPALKATSDEKDSFSNITREKKDGEISRTVTSEKPAGLKATSDEEDSVLNIARGKEDGEKTRRVSSRKKPALKATSDEKDSFSNITREKKDGETSRTVSSQKPPALKATSDEEDSVLNIAREKKDGEKSRTVSSEKPSGLKATSDEKDSVLNIARGKKHGEKTRRVSSHKQPALKATSDKENSVPNMATETKDEQISGTVSSQKQPALKATSDKKDSVSNIPTEIKDGQQSGTVSSQKQLAWKATSVKKDSVSNIATEIKDGQIRGTVSSQRQPALKATGDEKDSVSNIAREIKDGEKSGTVSPQKQSAQKVIFKKKVSLLNIATRITGGWKSGTEYPENLPTLKATIENKNSVLNTATKMKDVQTSTPEQDLEMASEGEQKRLEEYENNQPQVKNQIHSRDDLDDIIQSSQTVSEDGDSLCCNCKNVILLIDQHEMKCKDCVHLLKIKKTFCLCKRLTELKDNHCEQLRVKIRKLKNKASVLQKRLSEKEEIKSQLKHETLELEKELCSLRFAIQQEKKKRRNVEELHQKVREKLRITEEQYRIEADVTKPIKPALKSAEVELKTGGNNSNQVSETDEKEDLLHENRLMQDEIARLRLEKDTIKNQNLEKKYLKDFEIVKRKHEDLQKALKRNEETLAETIACYSGQLAALTDENTTLRSKLEKQRESGQRLETEMQSYRCRLNAALCDHDQSHSSKRDQELAFQGTVDKCCHLQENLNSHVLILSLQLSKAESKFRVLETELHYTGEALKEKALVFEHVQSELKQKQSQMKDIEKMYKSGYNTMEKCIEKQERFCQLKKQNMLLQQQLDDARNKADNQEKAILNIQARCDARVENLQAECRKHRLLLEEDNKMLVNELNHSKEKKCQYEKEKAEREVAVRQLQQKQDDVLNKRSATKALLDASSRHCIYLENGMQDSRKKLDQMRSQFQEIQDQLTATIRCTKEMEGDAQKLEVENVMMRKIIKKQDEQIERFEKILQHSSLMLQVFES
+>DECOY_sp|Q5JPF3|AN36C_HUMAN Ankyrin repeat domain-containing protein 36C OS=Homo sapiens OX=9606 GN=ANKRD36C PE=1 SV=3
+SEFVQLMLSSHQLIKEFREIQEDQKKIIKRMMVNEVELKQADGEMEKTCRITATLQDQIEQFQSRMQDLKKRSDQMGNELYICHRSSADLLAKTASRKNLVDDQKQQLQRVAVEREAKEKEYQCKKEKSHNLENVLMKNDEELLLRHKRCEAQLNEVRADCRAQINLIAKEQNDAKNRADDLQQQLLMNQKKLQCFREQKEICKEMTNYGSKYMKEIDKMQSQKQKLESQVHEFVLAKEKLAEGTYHLETELVRFKSEAKSLQLSLILVHSNLNEQLHCCKDVTGQFALEQDRKSSHSQDHDCLAANLRCRYSQMETELRQGSERQKELKSRLTTNEDTLAALQGSYCAITEALTEENRKLAKQLDEHKRKVIEFDKLYKKELNQNKITDKELRLRAIEDQMLRNEHLLDEKEDTESVQNSNNGGTKLEVEASKLAPKIPKTVDAEIRYQEETIRLKERVKQHLEEVNRRKKKEQQIAFRLSCLEKELELTEHKLQSKIEEKESLRKQLVSAKNKLKRIKVRLQECHNDKLETLRKCLCFTKKIKLLHVCDKCKMEHQDILLIVNKCNCCLSDGDESVTQSSQIIDDLDDRSHIQNKVQPQNNEYEELRKQEGESAMELDQEPTSTQVDKMKTATNLVSNKNEITAKLTPLNEPYETGSKWGGTIRTAINLLSVKKKFIVKQASQKQPSVTGSKEGDKIERAINSVSDKEDGTAKLAPQRQSSVTGRIQGDKIETAINSVSDKKVSTAKWALQKQSSVTGSQQGDKIETPINSVSDKKDSTAKLAPQKQSSVTGSIQEDKTETAMNPVSNEKDSTAKLAPQKHSSVRRTKEGHKKGRAINLVSDKEDSTAKLGSPKESSVTRSKEGDKKERAINLVSDEEDSTAKLAPPKQSSVTRSTEGDKKERTINSFSDKEDSTAKLAPKKRSSVRRTKEGDEKGRAINLVSDEEDSTAKLGAPKESTVTRSIEGDKKERTINSFSDKEDSTAKLAPKKRSSVTGSKEGDKIETAIISVSDEEDTTAKLAPQKQSSVTGSKEGDKIETAINSVSDEEDTTAKLAPQKQSSVTGCQLGDKIETAINLASDTKDSTAKSPPQKQSSVTGSKGGGTIRTAINLLSVKKKFIVKWASQKQPSVTGSQQGEKIETAINSVSDKEDSTAKEAPQKQSSVTGSIPGEKIETAINSVSDKDDSTAKEAPQKQSSVKGPPPSDKRRMAAKSSPDAEKLTLTSLPPRESSEESSTLSDMSGLFRTSIHDSDKVTHTFLPPQEPSVNAKQGTAPLAKGEKDVNQDEFLTGNETKDIGCAADLKEASQQSITRRNTFYPESINESELAFKDKTVAQAFRDLLYLCRDVAEEVPPLVDEISRPSIIKRKPIYLKSIIESELAFEDETVPQAVADPRYLSRNLCQEVAPLVTGSKQEDKIETATNSVSDNKDSTDKLAPQKQSSVTGSKQEDKIETATNSVSDNKDSTGKLAPQKQSSVTGSKQGDKIETAINSISDEKGSTAKLAPQKQSSVPNSNISLEEHKKREYEYILEFIVRNKAESAYDEALKGYVDRSFVDINHQLLLIVIDKEGLTVAHILASRGLYDVANINAKKKLLFEVMKVKRQSVALFLPPYEDESCEEINAGYSLLKEIMSTDENYVAYHLATRGFVDTINPDAGNQLLLTACAEQRLQVAKILPTRDERDCLNLECRRSVLLHVMEPQGTACALHLATREKRDRKNIDYRTLLVFKLEELDRYFVARHIGKLHYPKIPYQPFLFGDSCLRDRLTPWRKPEKDDM
+>sp|P01160|ANF_HUMAN Natriuretic peptides A OS=Homo sapiens OX=9606 GN=NPPA PE=1 SV=1
+MSSFSTTTVSFLLLLAFQLLGQTRANPMYNAVSNADLMDFKNLLDHLEEKMPLEDEVVPPQVLSEPNEEAGAALSPLPEVPPWTGEVSPAQRDGGALGRGPWDSSDRSALLKSKLRALLTAPRSLRRSSCFGGRMDRIGAQSGLGCNSFRYRR
+>DECOY_sp|P01160|ANF_HUMAN Natriuretic peptides A OS=Homo sapiens OX=9606 GN=NPPA PE=1 SV=1
+RRYRFSNCGLGSQAGIRDMRGGFCSSRRLSRPATLLARLKSKLLASRDSSDWPGRGLAGGDRQAPSVEGTWPPVEPLPSLAAGAEENPESLVQPPVVEDELPMKEELHDLLNKFDMLDANSVANYMPNARTQGLLQFALLLLFSVTTTSFSSM
+>sp|O95841|ANGL1_HUMAN Angiopoietin-related protein 1 OS=Homo sapiens OX=9606 GN=ANGPTL1 PE=2 SV=1
+MKTFTWTLGVLFFLLVDTGHCRGGQFKIKKINQRRYPRATDGKEEAKKCAYTFLVPEQRITGPICVNTKGQDASTIKDMITRMDLENLKDVLSRQKREIDVLQLVVDVDGNIVNEVKLLRKESRNMNSRVTQLYMQLLHEIIRKRDNSLELSQLENKILNVTTEMLKMATRYRELEVKYASLTDLVNNQSVMITLLEEQCLRIFSRQDTHVSPPLVQVVPQHIPNSQQYTPGLLGGNEIQRDPGYPRDLMPPPDLATSPTKSPFKIPPVTFINEGPFKDCQQAKEAGHSVSGIYMIKPENSNGPMQLWCENSLDPGGWTVIQKRTDGSVNFFRNWENYKKGFGNIDGEYWLGLENIYMLSNQDNYKLLIELEDWSDKKVYAEYSSFRLEPESEFYRLRLGTYQGNAGDSMMWHNGKQFTTLDRDKDMYAGNCAHFHKGGWWYNACAHSNLNGVWYRGGHYRSKHQDGIFWAEYRGGSYSLRAVQMMIKPID
+>DECOY_sp|O95841|ANGL1_HUMAN Angiopoietin-related protein 1 OS=Homo sapiens OX=9606 GN=ANGPTL1 PE=2 SV=1
+DIPKIMMQVARLSYSGGRYEAWFIGDQHKSRYHGGRYWVGNLNSHACANYWWGGKHFHACNGAYMDKDRDLTTFQKGNHWMMSDGANGQYTGLRLRYFESEPELRFSSYEAYVKKDSWDELEILLKYNDQNSLMYINELGLWYEGDINGFGKKYNEWNRFFNVSGDTRKQIVTWGGPDLSNECWLQMPGNSNEPKIMYIGSVSHGAEKAQQCDKFPGENIFTVPPIKFPSKTPSTALDPPPMLDRPYGPDRQIENGGLLGPTYQQSNPIHQPVVQVLPPSVHTDQRSFIRLCQEELLTIMVSQNNVLDTLSAYKVELERYRTAMKLMETTVNLIKNELQSLELSNDRKRIIEHLLQMYLQTVRSNMNRSEKRLLKVENVINGDVDVVLQLVDIERKQRSLVDKLNELDMRTIMDKITSADQGKTNVCIPGTIRQEPVLFTYACKKAEEKGDTARPYRRQNIKKIKFQGGRCHGTDVLLFFLVGLTWTFTKM
+>sp|Q6UXH0|ANGL8_HUMAN Angiopoietin-like protein 8 OS=Homo sapiens OX=9606 GN=ANGPTL8 PE=1 SV=1
+MPVPALCLLWALAMVTRPASAAPMGGPELAQHEELTLLFHGTLQLGQALNGVYRTTEGRLTKARNSLGLYGRTIELLGQEVSRGRDAAQELRASLLETQMEEDILQLQAEATAEVLGEVAQAQKVLRDSVQRLEVQLRSAWLGPAYREFEVLKAHADKQSHILWALTGHVQRQRREMVAQQHRLRQIQERLHTAALPA
+>DECOY_sp|Q6UXH0|ANGL8_HUMAN Angiopoietin-like protein 8 OS=Homo sapiens OX=9606 GN=ANGPTL8 PE=1 SV=1
+APLAATHLREQIQRLRHQQAVMERRQRQVHGTLAWLIHSQKDAHAKLVEFERYAPGLWASRLQVELRQVSDRLVKQAQAVEGLVEATAEAQLQLIDEEMQTELLSARLEQAADRGRSVEQGLLEITRGYLGLSNRAKTLRGETTRYVGNLAQGLQLTGHFLLTLEEHQALEPGGMPAASAPRTVMALAWLLCLAPVPM
+>sp|O15123|ANGP2_HUMAN Angiopoietin-2 OS=Homo sapiens OX=9606 GN=ANGPT2 PE=1 SV=1
+MWQIVFFTLSCDLVLAAAYNNFRKSMDSIGKKQYQVQHGSCSYTFLLPEMDNCRSSSSPYVSNAVQRDAPLEYDDSVQRLQVLENIMENNTQWLMKLENYIQDNMKKEMVEIQQNAVQNQTAVMIEIGTNLLNQTAEQTRKLTDVEAQVLNQTTRLELQLLEHSLSTNKLEKQILDQTSEINKLQDKNSFLEKKVLAMEDKHIIQLQSIKEEKDQLQVLVSKQNSIIEELEKKIVTATVNNSVLQKQQHDLMETVNNLLTMMSTSNSAKDPTVAKEEQISFRDCAEVFKSGHTTNGIYTLTFPNSTEEIKAYCDMEAGGGGWTIIQRREDGSVDFQRTWKEYKVGFGNPSGEYWLGNEFVSQLTNQQRYVLKIHLKDWEGNEAYSLYEHFYLSSEELNYRIHLKGLTGTAGKISSISQPGNDFSTKDGDNDKCICKCSQMLTGGWWFDACGPSNLNGMYYPQRQNTNKFNGIKWYYWKGSGYSLKATTMMIRPADF
+>DECOY_sp|O15123|ANGP2_HUMAN Angiopoietin-2 OS=Homo sapiens OX=9606 GN=ANGPT2 PE=1 SV=1
+FDAPRIMMTTAKLSYGSGKWYYWKIGNFKNTNQRQPYYMGNLNSPGCADFWWGGTLMQSCKCICKDNDGDKTSFDNGPQSISSIKGATGTLGKLHIRYNLEESSLYFHEYLSYAENGEWDKLHIKLVYRQQNTLQSVFENGLWYEGSPNGFGVKYEKWTRQFDVSGDERRQIITWGGGGAEMDCYAKIEETSNPFTLTYIGNTTHGSKFVEACDRFSIQEEKAVTPDKASNSTSMMTLLNNVTEMLDHQQKQLVSNNVTATVIKKELEEIISNQKSVLVQLQDKEEKISQLQIIHKDEMALVKKELFSNKDQLKNIESTQDLIQKELKNTSLSHELLQLELRTTQNLVQAEVDTLKRTQEATQNLLNTGIEIMVATQNQVANQQIEVMEKKMNDQIYNELKMLWQTNNEMINELVQLRQVSDDYELPADRQVANSVYPSSSSRCNDMEPLLFTYSCSGHQVQYQKKGISDMSKRFNNYAAALVLDCSLTFFVIQWM
+>sp|Q7Z5J8|ANKAR_HUMAN Ankyrin and armadillo repeat-containing protein OS=Homo sapiens OX=9606 GN=ANKAR PE=2 SV=3
+MLRLPKKGLPRFEQVQDEDTYLENLAIQRNASAFFEKYDRSEIQELLTTALVSWLSAKEDVRSQVDLPCGIMSQMNNVGFSTAILLTPVDPTALLDYREVHQMIRELAIGIYCLNQIPSISLEANYDQSSSCQLPPAYYDTRIGQILINIDYMLKALWHGIYMPKEKRARFSELWRAIMDIDPDGKPQTNKDIFSEFSSAGLTDITKDPDFNEIYDEDVNEDPTYDPNSPEETAVFMKYAENIMLKLTFSTTQIQQYENVFIFETGYWLTNAIKYNQDYLDICTYQRLQQRLYLQKKIIQKHFEKKKDIRRGIGYLKLICFLIPFLLSLKKKMKVPYLSSLLQPFSDDKVKTERELPPFIYGRDFKCQNFHYKENQYFHVHGGIEFDISTPSIENALEDFQKNLEKIRDCAANTFIEDSGYKEYYSIPVMEFHGKSYYVIYFELETFYQQLYKTQWWGAINEIVNNLRLKRLPLTDAQLHEQFKKKLGFKRAMKCKSIPFGMKSAVERGLSAVFHTFSRKTSSSTINVSDEAGYTIFHHAALHNRVSIICQLCNANFKVNQRRFVTFSQGPTPLHLAAQACSLETTVCLLCSKADYTLSEKRGWMPIHFAAFYDNVCIIIALCRKDPSLLEAEATAENQCTPLLLAATSGALDTIQYLFSIGANWRKTDIKGNNIIHLSVLTFHTEVLKYIIKLNIPELPVWKTLVEMLQCESYKRRMMAVMSLEVICLANDQYWRCILDAGTIPALINLLKSSKIKLQCKTVGLLSNISTHKSAVHALVEAGGIPSLINLLVCDEPEVHSRCAVILYDIAQCENKDVIAKYNGIPSLINLLNLNIENVLVNVMNCIRVLCIGNENNQRAVREHKGLPYLIRFLSSDSDVLKAVSSAAIAEVGRDNKEIQDAIAMEGAIPPLVALFKGKQISVQMKGAMAVESLASHNALIQKAFLEKSLTKYLLKLLKAFQIDVKEQGAVALWALAGQTLKQQKYMAEQIGYSFIINMLLSPSAKMQYVGGEAVIALSKDSRMHQNQICEGNGIAPLVRLLRISTIAEGTLLSVIRAVGSICIGVAHTSNPVSQQLVVDENAFPVLIQLLRNHPSPNIKVEVAFSLACIVLGNDVLQKDLHENEGFEYADVLYLLHSTEKDICLRAGYALTLFAFNNRFQQYLILESGIMTISIFERFLESTVETEKAMAAFQIVVLAKVIRDMDHITLSARGVTILVDSLYSVQTSTIVLTGNLIASLAHSRAGIPEAFTTLGTIQRLCYHLYSGIEEVRAACSSALGYLTYNANAFRILLKECRNKPNQFIRIKNNISRDASINPAFLKEFQMQQTLVGLPSLSLEKNGGPSIIPIFKRGKEHRRKLKPKIQPKDSLTLLPPVTNFMGLFKATKKTKDSHNIFSFSSTITSDITNVSRPRIVCLNQLGKHVQKANPEPAEG
+>DECOY_sp|Q7Z5J8|ANKAR_HUMAN Ankyrin and armadillo repeat-containing protein OS=Homo sapiens OX=9606 GN=ANKAR PE=2 SV=3
+GEAPEPNAKQVHKGLQNLCVIRPRSVNTIDSTITSSFSFINHSDKTKKTAKFLGMFNTVPPLLTLSDKPQIKPKLKRRHEKGRKFIPIISPGGNKELSLSPLGVLTQQMQFEKLFAPNISADRSINNKIRIFQNPKNRCEKLLIRFANANYTLYGLASSCAARVEEIGSYLHYCLRQITGLTTFAEPIGARSHALSAILNGTLVITSTQVSYLSDVLITVGRASLTIHDMDRIVKALVVIQFAAMAKETEVTSELFREFISITMIGSELILYQQFRNNFAFLTLAYGARLCIDKETSHLLYLVDAYEFGENEHLDKQLVDNGLVICALSFAVEVKINPSPHNRLLQILVPFANEDVVLQQSVPNSTHAVGICISGVARIVSLLTGEAITSIRLLRVLPAIGNGECIQNQHMRSDKSLAIVAEGGVYQMKASPSLLMNIIFSYGIQEAMYKQQKLTQGALAWLAVAGQEKVDIQFAKLLKLLYKTLSKELFAKQILANHSALSEVAMAGKMQVSIQKGKFLAVLPPIAGEMAIADQIEKNDRGVEAIAASSVAKLVDSDSSLFRILYPLGKHERVARQNNENGICLVRICNMVNVLVNEINLNLLNILSPIGNYKAIVDKNECQAIDYLIVACRSHVEPEDCVLLNILSPIGGAEVLAHVASKHTSINSLLGVTKCQLKIKSSKLLNILAPITGADLICRWYQDNALCIVELSMVAMMRRKYSECQLMEVLTKWVPLEPINLKIIYKLVETHFTLVSLHIINNGKIDTKRWNAGISFLYQITDLAGSTAALLLPTCQNEATAEAELLSPDKRCLAIIICVNDYFAAFHIPMWGRKESLTYDAKSCLLCVTTELSCAQAALHLPTPGQSFTVFRRQNVKFNANCLQCIISVRNHLAAHHFITYGAEDSVNITSSSTKRSFTHFVASLGREVASKMGFPISKCKMARKFGLKKKFQEHLQADTLPLRKLRLNNVIENIAGWWQTKYLQQYFTELEFYIVYYSKGHFEMVPISYYEKYGSDEIFTNAACDRIKELNKQFDELANEISPTSIDFEIGGHVHFYQNEKYHFNQCKFDRGYIFPPLERETKVKDDSFPQLLSSLYPVKMKKKLSLLFPILFCILKLYGIGRRIDKKKEFHKQIIKKQLYLRQQLRQYTCIDLYDQNYKIANTLWYGTEFIFVNEYQQIQTTSFTLKLMINEAYKMFVATEEPSNPDYTPDENVDEDYIENFDPDKTIDTLGASSFESFIDKNTQPKGDPDIDMIARWLESFRARKEKPMYIGHWLAKLMYDINILIQGIRTDYYAPPLQCSSSQDYNAELSISPIQNLCYIGIALERIMQHVERYDLLATPDVPTLLIATSFGVNNMQSMIGCPLDVQSRVDEKASLWSVLATTLLEQIESRDYKEFFASANRQIALNELYTDEDQVQEFRPLGKKPLRLM
+>sp|Q9HCJ1|ANKH_HUMAN Progressive ankylosis protein homolog OS=Homo sapiens OX=9606 GN=ANKH PE=1 SV=2
+MVKFPALTHYWPLIRFLVPLGITNIAIDFGEQALNRGIAAVKEDAVEMLASYGLAYSLMKFFTGPMSDFKNVGLVFVNSKRDRTKAVLCMVVAGAIAAVFHTLIAYSDLGYYIINKLHHVDESVGSKTRRAFLYLAAFPFMDAMAWTHAGILLKHKYSFLVGCASISDVIAQVVFVAILLHSHLECREPLLIPILSLYMGALVRCTTLCLGYYKNIHDIIPDRSGPELGGDATIRKMLSFWWPLALILATQRISRPIVNLFVSRDLGGSSAATEAVAILTATYPVGHMPYGWLTEIRAVYPAFDKNNPSNKLVSTSNTVTAAHIKKFTFVCMALSLTLCFVMFWTPNVSEKILIDIIGVDFAFAELCVVPLRIFSFFPVPVTVRAHLTGWLMTLKKTFVLAPSSVLRIIVLIASLVVLPYLGVHGATLGVGSLLAGFVGESTMVAIAACYVYRKQKKKMENESATEGEDSAMTDMPPTEEVTDIVEMREENE
+>DECOY_sp|Q9HCJ1|ANKH_HUMAN Progressive ankylosis protein homolog OS=Homo sapiens OX=9606 GN=ANKH PE=1 SV=2
+ENEERMEVIDTVEETPPMDTMASDEGETASENEMKKKQKRYVYCAAIAVMTSEGVFGALLSGVGLTAGHVGLYPLVVLSAILVIIRLVSSPALVFTKKLTMLWGTLHARVTVPVPFFSFIRLPVVCLEAFAFDVGIIDILIKESVNPTWFMVFCLTLSLAMCVFTFKKIHAATVTNSTSVLKNSPNNKDFAPYVARIETLWGYPMHGVPYTATLIAVAETAASSGGLDRSVFLNVIPRSIRQTALILALPWWFSLMKRITADGGLEPGSRDPIIDHINKYYGLCLTTCRVLAGMYLSLIPILLPERCELHSHLLIAVFVVQAIVDSISACGVLFSYKHKLLIGAHTWAMADMFPFAALYLFARRTKSGVSEDVHHLKNIIYYGLDSYAILTHFVAAIAGAVVMCLVAKTRDRKSNVFVLGVNKFDSMPGTFFKMLSYALGYSALMEVADEKVAAIGRNLAQEGFDIAINTIGLPVLFRILPWYHTLAPFKVM
+>sp|Q8NFD2|ANKK1_HUMAN Ankyrin repeat and protein kinase domain-containing protein 1 OS=Homo sapiens OX=9606 GN=ANKK1 PE=2 SV=1
+MAADPTELRLGSLPVFTRDDFEGDWRLVASGGFSQVFQARHRRWRTEYAIKCAPCLPPDAASSDVNYLIEEAAKMKKIKFQHIVSIYGVCKQPLGIVMEFMANGSLEKVLSTHSLCWKLRFRIIHETSLAMNFLHSIKPPLLHLDLKPGNILLDSNMHVKISDFGLSKWMEQSTRMQYIERSALRGMLSYIPPEMFLESNKAPGPKYDVYSFAIVIWELLTQKKPYSGFNMMMIIIRVAAGMRPSLQPVSDQWPSEAQQMVDLMKRCWDQDPKKRPCFLDITIETDILLSLLQSRVAVPESKALARKVSCKLSLRQPGEVNEDISQELMDSDSGNYLKRALQLSDRKNLVPRDEELCIYENKVTPLHFLVAQGSVEQVRLLLAHEVDVDCQTASGYTPLLIAAQDQQPDLCALLLAHGADANRVDEDGWAPLHFAAQNGDDGTARLLLDHGACVDAQEREGWTPLHLAAQNNFENVARLLVSRQADPNLHEAEGKTPLHVAAYFGHVSLVKLLTSQGAELDAQQRNLRTPLHLAVERGKVRAIQHLLKSGAVPDALDQSGYGPLHTAAARGKYLICKMLLRYGASLELPTHQGWTPLHLAAYKGHLEIIHLLAESHANMGALGAVNWTPLHLAARHGEEAVVSALLQCGADPNAAEQSGWTPLHLAVQRSTFLSVINLLEHHANVHARNKVGWTPAHLAALKGNTAILKVLVEAGAQLDVQDGVSCTPLQLALRSRKQGIMSFLEGKEPSVATLGGSKPGAEMEI
+>DECOY_sp|Q8NFD2|ANKK1_HUMAN Ankyrin repeat and protein kinase domain-containing protein 1 OS=Homo sapiens OX=9606 GN=ANKK1 PE=2 SV=1
+IEMEAGPKSGGLTAVSPEKGELFSMIGQKRSRLALQLPTCSVGDQVDLQAGAEVLVKLIATNGKLAALHAPTWGVKNRAHVNAHHELLNIVSLFTSRQVALHLPTWGSQEAANPDAGCQLLASVVAEEGHRAALHLPTWNVAGLAGMNAHSEALLHIIELHGKYAALHLPTWGQHTPLELSAGYRLLMKCILYKGRAAATHLPGYGSQDLADPVAGSKLLHQIARVKGREVALHLPTRLNRQQADLEAGQSTLLKVLSVHGFYAAVHLPTKGEAEHLNPDAQRSVLLRAVNEFNNQAALHLPTWGEREQADVCAGHDLLLRATGDDGNQAAFHLPAWGDEDVRNADAGHALLLACLDPQQDQAAILLPTYGSATQCDVDVEHALLLRVQEVSGQAVLFHLPTVKNEYICLEEDRPVLNKRDSLQLARKLYNGSDSDMLEQSIDENVEGPQRLSLKCSVKRALAKSEPVAVRSQLLSLLIDTEITIDLFCPRKKPDQDWCRKMLDVMQQAESPWQDSVPQLSPRMGAAVRIIIMMMNFGSYPKKQTLLEWIVIAFSYVDYKPGPAKNSELFMEPPIYSLMGRLASREIYQMRTSQEMWKSLGFDSIKVHMNSDLLINGPKLDLHLLPPKISHLFNMALSTEHIIRFRLKWCLSHTSLVKELSGNAMFEMVIGLPQKCVGYISVIHQFKIKKMKAAEEILYNVDSSAADPPLCPACKIAYETRWRRHRAQFVQSFGGSAVLRWDGEFDDRTFVPLSGLRLETPDAAM
+>sp|Q86XL3|ANKL2_HUMAN Ankyrin repeat and LEM domain-containing protein 2 OS=Homo sapiens OX=9606 GN=ANKLE2 PE=1 SV=4
+MLWPRLAAAEWAALAWELLGASVLLIAVRWLVRRLGPRPGGLGRSGTPVPPPSAAAAPASGEMTMDALLARLKLLNPDDLREEIVKAGLKCGPITSTTRFIFEKKLAQALLEQGGRLSSFYHHEAGVTALSQDPQRILKPAEGNPTDQAGFSEDRDFGYSVGLNPPEEEAVTSKTCSVPPSDTDTYRAGATASKEPPLYYGVCPVYEDVPARNERIYVYENKKEALQAVKMIKGSRFKAFSTREDAEKFARGICDYFPSPSKTSLPLSPVKTAPLFSNDRLKDGLCLSESETVNKERANSYKNPRTQDLTAKLRKAVEKGEEDTFSDLIWSNPRYLIGSGDNPTIVQEGCRYNVMHVAAKENQASICQLTLDVLENPDFMRLMYPDDDEAMLQKRIRYVVDLYLNTPDKMGYDTPLHFACKFGNADVVNVLSSHHLIVKNSRNKYDKTPEDVICERSKNKSVELKERIREYLKGHYYVPLLRAEETSSPVIGELWSPDQTAEASHVSRYGGSPRDPVLTLRAFAGPLSPAKAEDFRKLWKTPPREKAGFLHHVKKSDPERGFERVGRELAHELGYPWVEYWEFLGCFVDLSSQEGLQRLEEYLTQQEIGKKAQQETGEREASCRDKATTSGSNSISVRAFLDEDDMSLEEIKNRQNAARNNSPPTVGAFGHTRCSAFPLEQEADLIEAAEPGGPHSSRNGLCHPLNHSRTLAGKRPKAPRGEEAHLPPVSDLTVEFDKLNLQNIGRSVSKTPDESTKTKDQILTSRINAVERDLLEPSPADQLGNGHRRTESEMSARIAKMSLSPSSPRHEDQLEVTREPARRLFLFGEEPSKLDQDVLAALECADVDPHQFPAVHRWKSAVLCYSPSDRQSWPSPAVKGRFKSQLPDLSGPHSYSPGRNSVAGSNPAKPGLGSPGRYSPVHGSQLRRMARLAELAAL
+>DECOY_sp|Q86XL3|ANKL2_HUMAN Ankyrin repeat and LEM domain-containing protein 2 OS=Homo sapiens OX=9606 GN=ANKLE2 PE=1 SV=4
+LAALEALRAMRRLQSGHVPSYRGPSGLGPKAPNSGAVSNRGPSYSHPGSLDPLQSKFRGKVAPSPWSQRDSPSYCLVASKWRHVAPFQHPDVDACELAALVDQDLKSPEEGFLFLRRAPERTVELQDEHRPSSPSLSMKAIRASMESETRRHGNGLQDAPSPELLDREVANIRSTLIQDKTKTSEDPTKSVSRGINQLNLKDFEVTLDSVPPLHAEEGRPAKPRKGALTRSHNLPHCLGNRSSHPGGPEAAEILDAEQELPFASCRTHGFAGVTPPSNNRAANQRNKIEELSMDDEDLFARVSISNSGSTTAKDRCSAEREGTEQQAKKGIEQQTLYEELRQLGEQSSLDVFCGLFEWYEVWPYGLEHALERGVREFGREPDSKKVHHLFGAKERPPTKWLKRFDEAKAPSLPGAFARLTLVPDRPSGGYRSVHSAEATQDPSWLEGIVPSSTEEARLLPVYYHGKLYERIREKLEVSKNKSRECIVDEPTKDYKNRSNKVILHHSSLVNVVDANGFKCAFHLPTDYGMKDPTNLYLDVVYRIRKQLMAEDDDPYMLRMFDPNELVDLTLQCISAQNEKAAVHMVNYRCGEQVITPNDGSGILYRPNSWILDSFTDEEGKEVAKRLKATLDQTRPNKYSNAREKNVTESESLCLGDKLRDNSFLPATKVPSLPLSTKSPSPFYDCIGRAFKEADERTSFAKFRSGKIMKVAQLAEKKNEYVYIRENRAPVDEYVPCVGYYLPPEKSATAGARYTDTDSPPVSCTKSTVAEEEPPNLGVSYGFDRDESFGAQDTPNGEAPKLIRQPDQSLATVGAEHHYFSSLRGGQELLAQALKKEFIFRTTSTIPGCKLGAKVIEERLDDPNLLKLRALLADMTMEGSAPAAAASPPPVPTGSRGLGGPRPGLRRVLWRVAILLVSAGLLEWALAAWEAAALRPWLM
+>sp|Q96BM1|ANKR9_HUMAN Ankyrin repeat domain-containing protein 9 OS=Homo sapiens OX=9606 GN=ANKRD9 PE=2 SV=1
+MPWDARRPGGGADGGPEASGAARSRAQKQCRKSSFAFYQAVRDLLPVWLLEDMRASEAFHWDERGRAAAYSPSEALLYALVHDHQAYAHYLLATFPRRALAPPSAGFRCCAAPGPHVALAVRYNRVGILRRILRTLRDFPAEERARVLDRRGCSRVEGGGTSLHVACELARPECLFLLLGHGASPGLRDGGGLTPLELLLRQLGRDAGATPSAAGAPASAPGEPRQRRLLLLDLLALYTPVGAAGSARQELLGDRPRWQRLLGEDKFQWLAGLAPPSLFARAMQVLVTAISPGRFPEALDELPLPPFLQPLDLTGKG
+>DECOY_sp|Q96BM1|ANKR9_HUMAN Ankyrin repeat domain-containing protein 9 OS=Homo sapiens OX=9606 GN=ANKRD9 PE=2 SV=1
+GKGTLDLPQLFPPLPLEDLAEPFRGPSIATVLVQMARAFLSPPALGALWQFKDEGLLRQWRPRDGLLEQRASGAAGVPTYLALLDLLLLRRQRPEGPASAPAGAASPTAGADRGLQRLLLELPTLGGGDRLGPSAGHGLLLFLCEPRALECAVHLSTGGGEVRSCGRRDLVRAREEAPFDRLTRLIRRLIGVRNYRVALAVHPGPAACCRFGASPPALARRPFTALLYHAYAQHDHVLAYLLAESPSYAAARGREDWHFAESARMDELLWVPLLDRVAQYFAFSSKRCQKQARSRAAGSAEPGGDAGGGPRRADWPM
+>sp|Q6ZW76|ANKS3_HUMAN Ankyrin repeat and SAM domain-containing protein 3 OS=Homo sapiens OX=9606 GN=ANKS3 PE=1 SV=1
+MSELSDEASEPELLNRSLSMWHGLGTQVSGEELDVPLDLHTAASIGQYEVVKECVQRRELDLNKKNGGGWTPLMYASYIGHDTIVHLLLEAGVSVNVPTPEGQTPLMLASSCGNESIAYFLLQQGAELEMKDIQGWTALFHCTSAGHQHMVRFLLDSGANANVREPICGFTPLMEAAAAGHEIIVQYFLNHGVKVDARDHSGATARMLAKQYGHMKIVALMDTYSPSLPKSLYRSPEKYEDLSSSDESCPAPQRQRPCRKKGVSIHEGPRALARITGIGLGGRAPRPRYEQAPPRGYVTFNSSGENPLEEEGLCCRDVTSPINERDVESSSSSSSREEHAFCANLGPVQSSSSSEGLARAQGLSSEASVESNEDSDHACKSSARKQAKSYMKTKNPDSQWPPRAATDREGFLAESSPQTQRAPYSGPQDLAALLEQIGCLKYLQVFEEQDVDLRIFLTLTESDLKEIGITLFGPKRKMTSAIARWHSSARPPGDALELAYADRLEAEMQELAIQLHKRCEEVEATRGQVCQEQELRAVVESCLLEQDRAREDLQARLRETWALARDAALVLDQLRACQAELSSRVRQDQPPGAATLGLAVPPADSKGWQASLQAMSLPELSGALEDRVREMGQALCLVTQSLEKLQVLNGKKWRET
+>DECOY_sp|Q6ZW76|ANKS3_HUMAN Ankyrin repeat and SAM domain-containing protein 3 OS=Homo sapiens OX=9606 GN=ANKS3 PE=1 SV=1
+TERWKKGNLVQLKELSQTVLCLAQGMERVRDELAGSLEPLSMAQLSAQWGKSDAPPVALGLTAAGPPQDQRVRSSLEAQCARLQDLVLAADRALAWTERLRAQLDERARDQELLCSEVVARLEQEQCVQGRTAEVEECRKHLQIALEQMEAELRDAYALELADGPPRASSHWRAIASTMKRKPGFLTIGIEKLDSETLTLFIRLDVDQEEFVQLYKLCGIQELLAALDQPGSYPARQTQPSSEALFGERDTAARPPWQSDPNKTKMYSKAQKRASSKCAHDSDENSEVSAESSLGQARALGESSSSSQVPGLNACFAHEERSSSSSSSEVDRENIPSTVDRCCLGEEELPNEGSSNFTVYGRPPAQEYRPRPARGGLGIGTIRALARPGEHISVGKKRCPRQRQPAPCSEDSSSLDEYKEPSRYLSKPLSPSYTDMLAVIKMHGYQKALMRATAGSHDRADVKVGHNLFYQVIIEHGAAAAEMLPTFGCIPERVNANAGSDLLFRVMHQHGASTCHFLATWGQIDKMELEAGQQLLFYAISENGCSSALMLPTQGEPTPVNVSVGAELLLHVITDHGIYSAYMLPTWGGGNKKNLDLERRQVCEKVVEYQGISAATHLDLPVDLEEGSVQTGLGHWMSLSRNLLEPESAEDSLESM
+>sp|A6NFN9|ANKUB_HUMAN Protein ANKUB1 OS=Homo sapiens OX=9606 GN=ANKUB1 PE=2 SV=3
+MRIFIAFEGSFEPFDVSADETVEVVKLMIKDYFHIPLSEDKQGRRYLELMYAGAALKDSWSLADVGISFCSTLKCFVKEEDKPTLYVFNAVTQDTMPVMESISLLDKTVSDLRTLVTLRCGLPVSVYCLRTPRGLEMYDCNTLKDYQTDIGTTLRLDVWDGWKEFLMGCLLGQKLKVQRYLSKEGPVLKYQKRVALYIAAFCGYIELTEWALKQGARPHEAVGVHPYRAWCHEALHADVSKCPIHAAAEAGQLLILKAFVNYSVLCLECKNAAGQTPLTIVFKHKHKDCVLYLLSKMWSTVSFPKISVPMRIYIKIKQWILRAQSHSLHKSQFCGARVFGAKVGDTVMVDGFTKPKMTSKSWHKAGNSDSQSIVLKLPSLSKQTASSKPVNPLAISQPDTRKQALKFHPLVNASSFSELQKHQQQNQKKITATARKKEKLIKNTYLPQVPLPPVSRVGYSHPSFFYATPSADFLLKSSFSSFLEHSGKTPWENAIYCLAVAR
+>DECOY_sp|A6NFN9|ANKUB_HUMAN Protein ANKUB1 OS=Homo sapiens OX=9606 GN=ANKUB1 PE=2 SV=3
+RAVALCYIANEWPTKGSHELFSSFSSKLLFDASPTAYFFSPHSYGVRSVPPLPVQPLYTNKILKEKKRATATIKKQNQQQHKQLESFSSANVLPHFKLAQKRTDPQSIALPNVPKSSATQKSLSPLKLVISQSDSNGAKHWSKSTMKPKTFGDVMVTDGVKAGFVRAGCFQSKHLSHSQARLIWQKIKIYIRMPVSIKPFSVTSWMKSLLYLVCDKHKHKFVITLPTQGAANKCELCLVSYNVFAKLILLQGAEAAAHIPCKSVDAHLAEHCWARYPHVGVAEHPRAGQKLAWETLEIYGCFAAIYLAVRKQYKLVPGEKSLYRQVKLKQGLLCGMLFEKWGDWVDLRLTTGIDTQYDKLTNCDYMELGRPTRLCYVSVPLGCRLTVLTRLDSVTKDLLSISEMVPMTDQTVANFVYLTPKDEEKVFCKLTSCFSIGVDALSWSDKLAAGAYMLELYRRGQKDESLPIHFYDKIMLKVVEVTEDASVDFPEFSGEFAIFIRM
+>sp|Q9HCE9|ANO8_HUMAN Anoctamin-8 OS=Homo sapiens OX=9606 GN=ANO8 PE=1 SV=3
+MAEAASGAGGTSLEGERGKRPPPEGEPAAPASGVLDKLFGKRLLQAGRYLVSHKAWMKTVPTENCDVLMTFPDTTDDHTLLWLLNHIRVGIPELIVQVRHHRHTRAYAFFVTATYESLLRGADELGLRKAVKAEFGGGTRGFSCEEDFIYENVESELRFFTSQERQSIIRFWLQNLRAKQGEALHNVRFLEDQPIIPELAARGIIQQVFPVHEQRILNRLMKSWVQAVCENQPLDDICDYFGVKIAMYFAWLGFYTSAMVYPAVFGSVLYTFTEADQTSRDVSCVVFALFNVIWSTLFLEEWKRRGAELAYKWGTLDSPGEAVEEPRPQFRGVRRISPITRAEEFYYPPWKRLLFQLLVSLPLCLACLVCVFLLMLGCFQLQELVLSVKGLPRLARFLPKVMLALLVSVSAEGYKKLAIWLNDMENYRLESAYEKHLIIKVVLFQFVNSYLSLFYIGFYLKDMERLKEMLATLLITRQFLQNVREVLQPHLYRRLGRGELGLRAVWELARALLGLLSLRRPAPRRLEPQADEGGGGGSGGGGRRCLSGGCGAPEEEEEAALVERRRAGEGGEEGDGPPGGKEEDEDDEEEEDEEEEEDEEEGEEGGLLDCGLRLKKVSFAERGAGRRRPGPSPEALLEEGSPTMVEKGLEPGVFTLAEEDDEAEGAPGSPEREPPAILFRRAGGEGRDQGPDGGPDPEPGSNSDSTRRQRRQNRSSWIDPPEEEHSPQLTQAELESCMKKYEDTFQDYQEMFVQFGYVVLFSSAFPLAALCALVNNLIEIRSDAFKLCTGLQRPFGQRVESIGQWQKVMEAMGVLAIVVNCYLIGQCGQLQRLFPWLSPEAAIVSVVVLEHFALLLKYLIHVAIPDIPGWVAEEMAKLEYQRREAFKRHERQAQHRYQQQQRRRREEEERQRHAEHHARREHDSGGREEARAEGSGLDPATSSEKASAKAKGSTAGGHGPERPKRPGSLLAPNNVMKLKQIIPLQGKFLSSGATSSLAAAGAGATTRPPPAQSPTGSDTRLPAFLSFKFLKSPETRRDSERSHSPPKAFHAGKLFPFGGTRAEPGSNGAGGQARPDGTPSSGSSRVQRSGPVDEALAEELEAPRPEEEGSGTALAPVGAPALRTRRSRSPAPPPPMPLPRPPTPPAGCWQWDGPWGCGGEGAAPRQALAAAECPPCAMAGPPPAPQPLPGDASFYSLPPPPLPPTSDPLETPAPSPSPSPSPQAVCWPSGWH
+>DECOY_sp|Q9HCE9|ANO8_HUMAN Anoctamin-8 OS=Homo sapiens OX=9606 GN=ANO8 PE=1 SV=3
+HWGSPWCVAQPSPSPSPSPAPTELPDSTPPLPPPPLSYFSADGPLPQPAPPPGAMACPPCEAAALAQRPAAGEGGCGWPGDWQWCGAPPTPPRPLPMPPPPAPSRSRRTRLAPAGVPALATGSGEEEPRPAELEEALAEDVPGSRQVRSSGSSPTGDPRAQGGAGNSGPEARTGGFPFLKGAHFAKPPSHSRESDRRTEPSKLFKFSLFAPLRTDSGTPSQAPPPRTTAGAGAAALSSTAGSSLFKGQLPIIQKLKMVNNPALLSGPRKPREPGHGGATSGKAKASAKESSTAPDLGSGEARAEERGGSDHERRAHHEAHRQREEEERRRRQQQQYRHQAQREHRKFAERRQYELKAMEEAVWGPIDPIAVHILYKLLLAFHELVVVSVIAAEPSLWPFLRQLQGCQGILYCNVVIALVGMAEMVKQWQGISEVRQGFPRQLGTCLKFADSRIEILNNVLACLAALPFASSFLVVYGFQVFMEQYDQFTDEYKKMCSELEAQTLQPSHEEEPPDIWSSRNQRRQRRTSDSNSGPEPDPGGDPGQDRGEGGARRFLIAPPEREPSGPAGEAEDDEEALTFVGPELGKEVMTPSGEELLAEPSPGPRRRGAGREAFSVKKLRLGCDLLGGEEGEEEDEEEEEDEEEEDDEDEEKGGPPGDGEEGGEGARRREVLAAEEEEEPAGCGGSLCRRGGGGSGGGGGEDAQPELRRPAPRRLSLLGLLARALEWVARLGLEGRGLRRYLHPQLVERVNQLFQRTILLTALMEKLREMDKLYFGIYFLSLYSNVFQFLVVKIILHKEYASELRYNEMDNLWIALKKYGEASVSVLLALMVKPLFRALRPLGKVSLVLEQLQFCGLMLLFVCVLCALCLPLSVLLQFLLRKWPPYYFEEARTIPSIRRVGRFQPRPEEVAEGPSDLTGWKYALEAGRRKWEELFLTSWIVNFLAFVVCSVDRSTQDAETFTYLVSGFVAPYVMASTYFGLWAFYMAIKVGFYDCIDDLPQNECVAQVWSKMLRNLIRQEHVPFVQQIIGRAALEPIIPQDELFRVNHLAEGQKARLNQLWFRIISQREQSTFFRLESEVNEYIFDEECSFGRTGGGFEAKVAKRLGLEDAGRLLSEYTATVFFAYARTHRHHRVQVILEPIGVRIHNLLWLLTHDDTTDPFTMLVDCNETPVTKMWAKHSVLYRGAQLLRKGFLKDLVGSAPAAPEGEPPPRKGREGELSTGGAGSAAEAM
+>sp|Q6P6B7|ANR16_HUMAN Ankyrin repeat domain-containing protein 16 OS=Homo sapiens OX=9606 GN=ANKRD16 PE=1 SV=1
+MAQPGDPRRLCRLVQEGRLRALKEELQAAGGCPGPAGDTLLHCAARHGHRDVLAYLAEAWGMDIEATNRDYKRPLHEAASMGHRDCVRYLLGRGAAVDCLKKADWTPLMMACTRKNLGVIQELVEHGANPLLKNKDGWNSFHIASREGDPLILQYLLTVCPGAWKTESKIRRTPLHTAAMHGHLEAVKVLLKRCQYEPDYRDNCGVTALMDAIQCGHIDVARLLLDEHGACLSAEDSLGAQALHRAAVTGQDEAIRFLVSELGVDVDVRATSTHLTALHYAAKEGHTSTIQTLLSLGADINSKDEKNRSALHLACAGQHLACAKFLLQSGLKDSEDITGTLAQQLPRRADVLQGSGHSAMT
+>DECOY_sp|Q6P6B7|ANR16_HUMAN Ankyrin repeat domain-containing protein 16 OS=Homo sapiens OX=9606 GN=ANKRD16 PE=1 SV=1
+TMASHGSGQLVDARRPLQQALTGTIDESDKLGSQLLFKACALHQGACALHLASRNKEDKSNIDAGLSLLTQITSTHGEKAAYHLATLHTSTARVDVDVGLESVLFRIAEDQGTVAARHLAQAGLSDEASLCAGHEDLLLRAVDIHGCQIADMLATVGCNDRYDPEYQCRKLLVKVAELHGHMAATHLPTRRIKSETKWAGPCVTLLYQLILPDGERSAIHFSNWGDKNKLLPNAGHEVLEQIVGLNKRTCAMMLPTWDAKKLCDVAAGRGLLYRVCDRHGMSAAEHLPRKYDRNTAEIDMGWAEALYALVDRHGHRAACHLLTDGAPGPCGGAAQLEEKLARLRGEQVLRCLRRPDGPQAM
+>sp|Q9UPS8|ANR26_HUMAN Ankyrin repeat domain-containing protein 26 OS=Homo sapiens OX=9606 GN=ANKRD26 PE=1 SV=3
+MKKIFSKKGESPLGSFARRQRSSAGGGGEPGEGAYSQPGYHVRDRDLGKIHKAASAGNVAKVQQILLLRKNGLNDRDKMNRTALHLACANGHPEVVTLLVDRKCQLNVCDNENRTALMKAVQCQEEKCATILLEHGADPNLADVHGNTALHYAVYNEDISVATKLLLYDANIEAKNKDDLTPLLLAVSGKKQQMVEFLIKKKANVNAVDKLESSHQLISEYKEERIPKHSSQNSNSVDESSEDSLSRLSGKPGVDDSWPTSDDEDLNFDTKNVPKPSLAKLMTASQQSRKNLEATYGTVRTGNRTLFEDRDSDSQDEVVVESLPTTSIKVQCFSHPTYQSPDLLPKPSHKSLANPGLMKEEPTKPGIAKKENGIDIIESAPLEQTNNDNLTYVDEVHKNNRSDMMSALGLGQEEDIESPWDSESISENFPQKYVDPLAGAADGKEKNIGNEQAEDVFYIPSCMSGSRNFKMAKLEDTRNVGMPVAHMESPERYLHLKPTIEMKDSVPNKAGGMKDVQTSKAAEHDLEVASEEEQEREGSENNQPQVEEERKKHRNNEMEVSANIHDGATDDAEDDDDDDGLIQKRKSGETDHQQFPRKENKEYASGPALQMKEVKSTEKEKRTSKESVNSPVFGKASLLTGGLLQVDDDSSLSEIDEDEGRPTKKTSNEKNKVKNQIQSMDDVDDLTQSSETASEDCELPHSSYKNFMLLIEQLGMECKDSVSLLKIQDAALSCERLLELKKNHCELLTVKIKKMEDKVNVLQRELSETKEIKSQLEHQKVEWERELCSLRFSLNQEEEKRRNADTLYEKIREQLRRKEEQYRKEVEVKQQLELSLQTLEMELRTVKSNLNQVVQERNDAQRQLSREQNARMLQDGILTNHLSKQKEIEMAQKKMNSENSHSHEEEKDLSHKNSMLQEEIAMLRLEIDTIKNQNQEKEKKCFEDLKIVKEKNEDLQKTIKQNEETLTQTISQYNGRLSVLTAENAMLNSKLENEKQSKERLEAEVESYHSRLAAAIHDRDQSETSKRELELAFQRARDECSRLQDKMNFDVSNLKDNNEILSQQLFKTESKLNSLEIEFHHTRDALREKTLGLERVQKDLSQTQCQMKEMEQKYQNEQVKVNKYIGKQESVEERLSQLQSENMLLRQQLDDAHNKADNKEKTVINIQDQFHAIVQKLQAESEKQSLLLEERNKELISECNHLKERQYQYENEKAEREVVVRQLQQELADTLKKQSMSEASLEVTSRYRINLEDETQDLKKKLGQIRNQLQEAQDRHTEAVRCAEKMQDHKQKLEKDNAKLKVTVKKQMDKIEELQKNLLNANLSEDEKEQLKKLMELKQSLECNLDQEMKKNVELEREITGFKNLLKMTRKKLNEYENGEFSFHGDLKTSQFEMDIQINKLKHKIDDLTAELETAGSKCLHLDTKNQILQEELLSMKTVQKKCEKLQKNKKKLEQEVINLRSHIERNMVELGQVKQYKQEIEERARQEIAEKLKEVNLFLQAQAASQENLEQFRENNFASMKSQMELRIKDLESELSKIKTSQEDFNKTELEKYKQLYLEELKVRKSLSSKLTKTNERLAEVNTKLLVEKQQSRSLFTTLTTRPVMEPPCVGNLNNSLDLNRKLIPRENLVISTSNPRASNNSMENYLSKMQQELEKNITRELKEAAAELESGSIASPLGSTDESNLNQDLVWKASREYVQVLKKNYMI
+>DECOY_sp|Q9UPS8|ANR26_HUMAN Ankyrin repeat domain-containing protein 26 OS=Homo sapiens OX=9606 GN=ANKRD26 PE=1 SV=3
+IMYNKKLVQVYERSAKWVLDQNLNSEDTSGLPSAISGSELEAAAEKLERTINKELEQQMKSLYNEMSNNSARPNSTSIVLNERPILKRNLDLSNNLNGVCPPEMVPRTTLTTFLSRSQQKEVLLKTNVEALRENTKTLKSSLSKRVKLEELYLQKYKELETKNFDEQSTKIKSLESELDKIRLEMQSKMSAFNNERFQELNEQSAAQAQLFLNVEKLKEAIEQRAREEIEQKYQKVQGLEVMNREIHSRLNIVEQELKKKNKQLKECKKQVTKMSLLEEQLIQNKTDLHLCKSGATELEATLDDIKHKLKNIQIDMEFQSTKLDGHFSFEGNEYENLKKRTMKLLNKFGTIERELEVNKKMEQDLNCELSQKLEMLKKLQEKEDESLNANLLNKQLEEIKDMQKKVTVKLKANDKELKQKHDQMKEACRVAETHRDQAEQLQNRIQGLKKKLDQTEDELNIRYRSTVELSAESMSQKKLTDALEQQLQRVVVEREAKENEYQYQREKLHNCESILEKNREELLLSQKESEAQLKQVIAHFQDQINIVTKEKNDAKNHADDLQQRLLMNESQLQSLREEVSEQKGIYKNVKVQENQYKQEMEKMQCQTQSLDKQVRELGLTKERLADRTHHFEIELSNLKSETKFLQQSLIENNDKLNSVDFNMKDQLRSCEDRARQFALELERKSTESQDRDHIAAALRSHYSEVEAELREKSQKENELKSNLMANEATLVSLRGNYQSITQTLTEENQKITKQLDENKEKVIKLDEFCKKEKEQNQNKITDIELRLMAIEEQLMSNKHSLDKEEEHSHSNESNMKKQAMEIEKQKSLHNTLIGDQLMRANQERSLQRQADNREQVVQNLNSKVTRLEMELTQLSLELQQKVEVEKRYQEEKRRLQERIKEYLTDANRRKEEEQNLSFRLSCLEREWEVKQHELQSKIEKTESLERQLVNVKDEMKKIKVTLLECHNKKLELLRECSLAADQIKLLSVSDKCEMGLQEILLMFNKYSSHPLECDESATESSQTLDDVDDMSQIQNKVKNKENSTKKTPRGEDEDIESLSSDDDVQLLGGTLLSAKGFVPSNVSEKSTRKEKETSKVEKMQLAPGSAYEKNEKRPFQQHDTEGSKRKQILGDDDDDDEADDTAGDHINASVEMENNRHKKREEEVQPQNNESGEREQEEESAVELDHEAAKSTQVDKMGGAKNPVSDKMEITPKLHLYREPSEMHAVPMGVNRTDELKAMKFNRSGSMCSPIYFVDEAQENGINKEKGDAAGALPDVYKQPFNESISESDWPSEIDEEQGLGLASMMDSRNNKHVEDVYTLNDNNTQELPASEIIDIGNEKKAIGPKTPEEKMLGPNALSKHSPKPLLDPSQYTPHSFCQVKISTTPLSEVVVEDQSDSDRDEFLTRNGTRVTGYTAELNKRSQQSATMLKALSPKPVNKTDFNLDEDDSTPWSDDVGPKGSLRSLSDESSEDVSNSNQSSHKPIREEKYESILQHSSELKDVANVNAKKKILFEVMQQKKGSVALLLPTLDDKNKAEINADYLLLKTAVSIDENYVAYHLATNGHVDALNPDAGHELLITACKEEQCQVAKMLATRNENDCVNLQCKRDVLLTVVEPHGNACALHLATRNMKDRDNLGNKRLLLIQQVKAVNGASAAKHIKGLDRDRVHYGPQSYAGEGPEGGGGASSRQRRAFSGLPSEGKKSFIKKM
+>sp|Q6AI12|ANR40_HUMAN Ankyrin repeat domain-containing protein 40 OS=Homo sapiens OX=9606 GN=ANKRD40 PE=1 SV=2
+MNALLEQKEQQERLREAAALGDIREVQKLVESGVDVNSQNEVNGWTCLHWACKRNHGQVVSYLLKSGADKEILTTKGEMPVQLTSRREIRKIMGVEEEDDDDDDDDNLPQLKKESELPFVPNYLANPAFPFIYTPTAEDSAQMQNGGPSTPPASPPADGSPPLLPPGEPPLLGTFPRDHTSLALVQNGDVSAPSAILRTPESTKPGPVCQPPVSQSRSLFSSVPSKPPMSLEPQNGTYAGPAPAFQPFFFTGAFPFNMQELVLKVRIQNPSLRENDFIEIELDRQELTYQELLRVCCCELGVNPDQVEKIRKLPNTLLRKDKDVARLQDFQELELVLMISENNFLFRNAASTLTERPCYNRRASKLTY
+>DECOY_sp|Q6AI12|ANR40_HUMAN Ankyrin repeat domain-containing protein 40 OS=Homo sapiens OX=9606 GN=ANKRD40 PE=1 SV=2
+YTLKSARRNYCPRETLTSAANRFLFNNESIMLVLELEQFDQLRAVDKDKRLLTNPLKRIKEVQDPNVGLECCCVRLLEQYTLEQRDLEIEIFDNERLSPNQIRVKLVLEQMNFPFAGTFFFPQFAPAPGAYTGNQPELSMPPKSPVSSFLSRSQSVPPQCVPGPKTSEPTRLIASPASVDGNQVLALSTHDRPFTGLLPPEGPPLLPPSGDAPPSAPPTSPGGNQMQASDEATPTYIFPFAPNALYNPVFPLESEKKLQPLNDDDDDDDDEEEVGMIKRIERRSTLQVPMEGKTTLIEKDAGSKLLYSVVQGHNRKCAWHLCTWGNVENQSNVDVGSEVLKQVERIDGLAAAERLREQQEKQELLANM
+>sp|Q5TZF3|ANR45_HUMAN Ankyrin repeat domain-containing protein 45 OS=Homo sapiens OX=9606 GN=ANKRD45 PE=1 SV=1
+MVTEEAGARVWVFLELMESEGPPESESSEFFSQQEEENEEEEAQEPEETGPKNPLLQPALTGDVEGLQKIFEDPENPHHEQAMQLLLEEDIVGRNLLYAACMAGQSDVIRALAKYGVNLNEKTTRGYTLLHCAAAWGRLETLKALVELDVDIEALNFREERARDVAARYSQTECVEFLDWADARLTLKKYIAKVSLAVTDTEKGSGKLLKEDKNTILSACRAKNEWLETHTEASINELFEQRQQLEDIVTPIFTKMTTPCQVKSAKSVTSHDQKRSQDDTSN
+>DECOY_sp|Q5TZF3|ANR45_HUMAN Ankyrin repeat domain-containing protein 45 OS=Homo sapiens OX=9606 GN=ANKRD45 PE=1 SV=1
+NSTDDQSRKQDHSTVSKASKVQCPTTMKTFIPTVIDELQQRQEFLENISAETHTELWENKARCASLITNKDEKLLKGSGKETDTVALSVKAIYKKLTLRADAWDLFEVCETQSYRAAVDRAREERFNLAEIDVDLEVLAKLTELRGWAAACHLLTYGRTTKENLNVGYKALARIVDSQGAMCAAYLLNRGVIDEELLLQMAQEHHPNEPDEFIKQLGEVDGTLAPQLLPNKPGTEEPEQAEEEENEEEQQSFFESSESEPPGESEMLELFVWVRAGAEETVM
+>sp|Q9ULJ7|ANR50_HUMAN Ankyrin repeat domain-containing protein 50 OS=Homo sapiens OX=9606 GN=ANKRD50 PE=1 SV=4
+MTNPWEEKVCKMAQTSLLQGKQFYCREWVFHKLQHCLQEKSNCCNSAVNAPSLVMNSGNNASGVSGKGAAWGVLLVGGPGSGKTALCTELLWPSSPASLQRGLHRQALAFHFCKAQDSDTLCVGGFIRGLVAQICRSGLLQGYEDKLRDPAVQSLLQPGECERNPAEAFKRCVLLPLLGMKPPQQSLYLLVDSVDEGCNITEGEQTSTSLSGTVAALLAGHHEFFPPWLLLLCSARKQSKAVTKMFTGFRKISLDDLRKAYIVKDVQQYILHRLDQEEALRQHLTKETAEMLNQLHIKSSGCFLYLERVLDGVVENFIMLREIRDIPGTLNGLYLWLCQRLFVRKQFAKVQPILNVILAACRPLTITELYHAVWTKNMSLTLEDFQRKLDILSKLLVDGLGNTKILFHYSFAEWLLDVKHCTQKYLCNAAEGHRMLAMSYTCQAKNLTPLEAQEFALHLINSNLQLETAELALWMIWNGTPVRDSLSTLIPKEQEVLQLLVKAGAHVNSEDDRTSCIVRQALEREDSIRTLLDNGASVNQCDSNGRTLLANAAYSGSLDVVNLLVSRGADLEIEDAHGHTPLTLAARQGHTKVVNCLIGCGANINHTDQDGWTALRSAAWGGHTEVVSALLYAGVKVDCADADSRTALRAAAWGGHEDIVLNLLQHGAEVNKADNEGRTALIAAAYMGHREIVEHLLDHGAEVNHEDVDGRTALSVAALCVPASKGHASVVSLLIDRGAEVDHCDKDGMTPLLVAAYEGHVDVVDLLLEGGADVDHTDNNGRTPLLAAASMGHASVVNTLLFWGAAVDSIDSEGRTVLSIASAQGNVEVVRTLLDRGLDENHRDDAGWTPLHMAAFEGHRLICEALIEQGARTNEIDNDGRIPFILASQEGHYDCVQILLENKSNIDQRGYDGRNALRVAALEGHRDIVELLFSHGADVNCKDADGRPTLYILALENQLTMAEYFLENGANVEASDAEGRTALHVSCWQGHMEMVQVLIAYHADVNAADNEKRSALQSAAWQGHVKVVQLLIEHGAVVDHTCNQGATALCIAAQEGHIDVVQVLLEHGADPNHADQFGRTAMRVAAKNGHSQIIKLLEKYGASSLNGCSPSPVHTMEQKPLQSLSSKVQSLTIKSNSSGSTGGGDMQPSLRGLPNGPTHAFSSPSESPDSTVDRQKSSLSNNSLKSSKNSSLRTTSSTATAQTVPIDSFHNLSFTEQIQQHSLPRSRSRQSIVSPSSTTQSLGQSHNSPSSEFEWSQVKPSLKSTKASKGGKSENSAKSGSAGKKAKQSNSSQPKVLEYEMTQFDRRGPIAKSGTAAPPKQMPAESQCKIMIPSAQQEIGRSQQQFLIHQQSGEQKKRNGIMTNPNYHLQSNQVFLGRVSVPRTMQDRGHQEVLEGYPSSETELSLKQALKLQIEGSDPSFNYKKETPL
+>DECOY_sp|Q9ULJ7|ANR50_HUMAN Ankyrin repeat domain-containing protein 50 OS=Homo sapiens OX=9606 GN=ANKRD50 PE=1 SV=4
+LPTEKKYNFSPDSGEIQLKLAQKLSLETESSPYGELVEQHGRDQMTRPVSVRGLFVQNSQLHYNPNTMIGNRKKQEGSQQHILFQQQSRGIEQQASPIMIKCQSEAPMQKPPAATGSKAIPGRRDFQTMEYELVKPQSSNSQKAKKGASGSKASNESKGGKSAKTSKLSPKVQSWEFESSPSNHSQGLSQTTSSPSVISQRSRSRPLSHQQIQETFSLNHFSDIPVTQATATSSTTRLSSNKSSKLSNNSLSSKQRDVTSDPSESPSSFAHTPGNPLGRLSPQMDGGGTSGSSNSKITLSQVKSSLSQLPKQEMTHVPSPSCGNLSSAGYKELLKIIQSHGNKAAVRMATRGFQDAHNPDAGHELLVQVVDIHGEQAAICLATAGQNCTHDVVAGHEILLQVVKVHGQWAASQLASRKENDAANVDAHYAILVQVMEMHGQWCSVHLATRGEADSAEVNAGNELFYEAMTLQNELALIYLTPRGDADKCNVDAGHSFLLEVIDRHGELAAVRLANRGDYGRQDINSKNELLIQVCDYHGEQSALIFPIRGDNDIENTRAGQEILAECILRHGEFAAMHLPTWGADDRHNEDLGRDLLTRVVEVNGQASAISLVTRGESDISDVAAGWFLLTNVVSAHGMSAAALLPTRGNNDTHDVDAGGELLLDVVDVHGEYAAVLLPTMGDKDCHDVEAGRDILLSVVSAHGKSAPVCLAAVSLATRGDVDEHNVEAGHDLLHEVIERHGMYAAAILATRGENDAKNVEAGHQLLNLVIDEHGGWAAARLATRSDADACDVKVGAYLLASVVETHGGWAASRLATWGDQDTHNINAGCGILCNVVKTHGQRAALTLPTHGHADEIELDAGRSVLLNVVDLSGSYAANALLTRGNSDCQNVSAGNDLLTRISDERELAQRVICSTRDDESNVHAGAKVLLQLVEQEKPILTSLSDRVPTGNWIMWLALEATELQLNSNILHLAFEQAELPTLNKAQCTYSMALMRHGEAANCLYKQTCHKVDLLWEAFSYHFLIKTNGLGDVLLKSLIDLKRQFDELTLSMNKTWVAHYLETITLPRCAALIVNLIPQVKAFQKRVFLRQCLWLYLGNLTGPIDRIERLMIFNEVVGDLVRELYLFCGSSKIHLQNLMEATEKTLHQRLAEEQDLRHLIYQQVDKVIYAKRLDDLSIKRFGTFMKTVAKSQKRASCLLLLWPPFFEHHGALLAAVTGSLSTSTQEGETINCGEDVSDVLLYLSQQPPKMGLLPLLVCRKFAEAPNRECEGPQLLSQVAPDRLKDEYGQLLGSRCIQAVLGRIFGGVCLTDSDQAKCFHFALAQRHLGRQLSAPSSPWLLETCLATKGSGPGGVLLVGWAAGKGSVGSANNGSNMVLSPANVASNCCNSKEQLCHQLKHFVWERCYFQKGQLLSTQAMKCVKEEWPNTM
+>sp|Q6NXT1|ANR54_HUMAN Ankyrin repeat domain-containing protein 54 OS=Homo sapiens OX=9606 GN=ANKRD54 PE=1 SV=2
+MAAAAGDADDEPRSGHSSSEGECAVAPEPLTDAEGLFSFADFGSALGGGGAGLSGRASGGAQSPLRYLHVLWQQDAEPRDELRCKIPAGRLRRAARPHRRLGPTGKEVHALKRLRDSANANDVETVQQLLEDGADPCAADDKGRTALHFASCNGNDQIVQLLLDHGADPNQRDGLGNTPLHLAACTNHVPVITTLLRGGARVDALDRAGRTPLHLAKSKLNILQEGHAQCLEAVRLEVKQIIHMLREYLERLGQHEQRERLDDLCTRLQMTSTKEQVDEVTDLLASFTSLSLQMQSMEKR
+>DECOY_sp|Q6NXT1|ANR54_HUMAN Ankyrin repeat domain-containing protein 54 OS=Homo sapiens OX=9606 GN=ANKRD54 PE=1 SV=2
+RKEMSQMQLSLSTFSALLDTVEDVQEKTSTMQLRTCLDDLRERQEHQGLRELYERLMHIIQKVELRVAELCQAHGEQLINLKSKALHLPTRGARDLADVRAGGRLLTTIVPVHNTCAALHLPTNGLGDRQNPDAGHDLLLQVIQDNGNCSAFHLATRGKDDAACPDAGDELLQQVTEVDNANASDRLRKLAHVEKGTPGLRRHPRAARRLRGAPIKCRLEDRPEADQQWLVHLYRLPSQAGGSARGSLGAGGGGLASGFDAFSFLGEADTLPEPAVACEGESSSHGSRPEDDADGAAAAM
+>sp|C9JTQ0|ANR63_HUMAN Ankyrin repeat domain-containing protein 63 OS=Homo sapiens OX=9606 GN=ANKRD63 PE=3 SV=1
+MLKPKDLCPRAGTRTFLEAMQAGKVHLARFVLDALDRSIIDCRAEQGRTPLMVAVGLPDPALRARFVRLLLEQGAAVNLRDERGRTALSLACERGHLDAVQLLVQFSGDPEAADSAGNSPVMWAAACGHGAVLEFLVRSFRRLGLRLDRTNRAGLTALQLAAARGHGTCVQALTGPWGRAAAAAAARGSNSDSPPGRPAPAASPEHRRPSPRRLPRPLLARFARAAGGHGGEAGSAGKNSGRHRAQGSERPELGRSMSLALGAVTEEEAARLRAGALMALPNSPQSSGTGRWRSQEVLEGAPPTLAQAPIGLSPHPEGGPGSGRLGLRRRSTAPDIPSLVGEAPGPESGPELEANALSVSVPGPNPWQAGTEAVVLRAQR
+>DECOY_sp|C9JTQ0|ANR63_HUMAN Ankyrin repeat domain-containing protein 63 OS=Homo sapiens OX=9606 GN=ANKRD63 PE=3 SV=1
+RQARLVVAETGAQWPNPGPVSVSLANAELEPGSEPGPAEGVLSPIDPATSRRRLGLRGSGPGGEPHPSLGIPAQALTPPAGELVEQSRWRGTGSSQPSNPLAMLAGARLRAAEEETVAGLALSMSRGLEPRESGQARHRGSNKGASGAEGGHGGAARAFRALLPRPLRRPSPRRHEPSAAPAPRGPPSDSNSGRAAAAAAARGWPGTLAQVCTGHGRAAALQLATLGARNTRDLRLGLRRFSRVLFELVAGHGCAAAWMVPSNGASDAAEPDGSFQVLLQVADLHGRECALSLATRGREDRLNVAAGQELLLRVFRARLAPDPLGVAVMLPTRGQEARCDIISRDLADLVFRALHVKGAQMAELFTRTGARPCLDKPKLM
+>sp|P27216|ANX13_HUMAN Annexin A13 OS=Homo sapiens OX=9606 GN=ANXA13 PE=1 SV=3
+MGNRHAKASSPQGFDVDRDAKKLNKACKGMGTNEAAIIEILSGRTSDERQQIKQKYKATYGKELEEVLKSELSGNFEKTALALLDRPSEYAARQLQKAMKGLGTDESVLIEVLCTRTNKEIIAIKEAYQRLFDRSLESDVKGDTSGNLKKILVSLLQANRNEGDDVDKDLAGQDAKDLYDAGEGRWGTDELAFNEVLAKRSYKQLRATFQAYQILIGKDIEEAIEEETSGDLQKAYLTLVRCAQDCEDYFAERLYKSMKGAGTDEETLIRIVVTRAEVDLQGIKAKFQEKYQKSLSDMVRSDTSGDFRKLLVALLH
+>DECOY_sp|P27216|ANX13_HUMAN Annexin A13 OS=Homo sapiens OX=9606 GN=ANXA13 PE=1 SV=3
+HLLAVLLKRFDGSTDSRVMDSLSKQYKEQFKAKIGQLDVEARTVVIRILTEEDTGAGKMSKYLREAFYDECDQACRVLTLYAKQLDGSTEEEIAEEIDKGILIQYAQFTARLQKYSRKALVENFALEDTGWRGEGADYLDKADQGALDKDVDDGENRNAQLLSVLIKKLNGSTDGKVDSELSRDFLRQYAEKIAIIEKNTRTCLVEILVSEDTGLGKMAKQLQRAAYESPRDLLALATKEFNGSLESKLVEELEKGYTAKYKQKIQQREDSTRGSLIEIIAAENTGMGKCAKNLKKADRDVDFGQPSSAKAHRNGM
+>sp|P04083|ANXA1_HUMAN Annexin A1 OS=Homo sapiens OX=9606 GN=ANXA1 PE=1 SV=2
+MAMVSEFLKQAWFIENEEQEYVQTVKSSKGGPGSAVSPYPTFNPSSDVAALHKAIMVKGVDEATIIDILTKRNNAQRQQIKAAYLQETGKPLDETLKKALTGHLEEVVLALLKTPAQFDADELRAAMKGLGTDEDTLIEILASRTNKEIRDINRVYREELKRDLAKDITSDTSGDFRNALLSLAKGDRSEDFGVNEDLADSDARALYEAGERRKGTDVNVFNTILTTRSYPQLRRVFQKYTKYSKHDMNKVLDLELKGDIEKCLTAIVKCATSKPAFFAEKLHQAMKGVGTRHKALIRIMVSRSEIDMNDIKAFYQKMYGISLCQAILDETKGDYEKILVALCGGN
+>DECOY_sp|P04083|ANXA1_HUMAN Annexin A1 OS=Homo sapiens OX=9606 GN=ANXA1 PE=1 SV=2
+NGGCLAVLIKEYDGKTEDLIAQCLSIGYMKQYFAKIDNMDIESRSVMIRILAKHRTGVGKMAQHLKEAFFAPKSTACKVIATLCKEIDGKLELDLVKNMDHKSYKTYKQFVRRLQPYSRTTLITNFVNVDTGKRREGAEYLARADSDALDENVGFDESRDGKALSLLANRFDGSTDSTIDKALDRKLEERYVRNIDRIEKNTRSALIEILTDEDTGLGKMAARLEDADFQAPTKLLALVVEELHGTLAKKLTEDLPKGTEQLYAAKIQQRQANNRKTLIDIITAEDVGKVMIAKHLAAVDSSPNFTPYPSVASGPGGKSSKVTQVYEQEENEIFWAQKLFESVMAM
+>sp|P56377|AP1S2_HUMAN AP-1 complex subunit sigma-2 OS=Homo sapiens OX=9606 GN=AP1S2 PE=1 SV=1
+MQFMLLFSRQGKLRLQKWYVPLSDKEKKKITRELVQTVLARKPKMCSFLEWRDLKIVYKRYASLYFCCAIEDQDNELITLEIIHRYVELLDKYFGSVCELDIIFNFEKAYFILDEFLLGGEVQETSKKNVLKAIEQADLLQEEAETPRSVLEEIGLT
+>DECOY_sp|P56377|AP1S2_HUMAN AP-1 complex subunit sigma-2 OS=Homo sapiens OX=9606 GN=AP1S2 PE=1 SV=1
+TLGIEELVSRPTEAEEQLLDAQEIAKLVNKKSTEQVEGGLLFEDLIFYAKEFNFIIDLECVSGFYKDLLEVYRHIIELTILENDQDEIACCFYLSAYRKYVIKLDRWELFSCMKPKRALVTQVLERTIKKKEKDSLPVYWKQLRLKGQRSFLLMFQM
+>sp|O14727|APAF_HUMAN Apoptotic protease-activating factor 1 OS=Homo sapiens OX=9606 GN=APAF1 PE=1 SV=2
+MDAKARNCLLQHREALEKDIKTSYIMDHMISDGFLTISEEEKVRNEPTQQQRAAMLIKMILKKDNDSYVSFYNALLHEGYKDLAALLHDGIPVVSSSSGKDSVSGITSYVRTVLCEGGVPQRPVVFVTRKKLVNAIQQKLSKLKGEPGWVTIHGMAGCGKSVLAAEAVRDHSLLEGCFPGGVHWVSVGKQDKSGLLMKLQNLCTRLDQDESFSQRLPLNIEEAKDRLRILMLRKHPRSLLILDDVWDSWVLKAFDSQCQILLTTRDKSVTDSVMGPKYVVPVESSLGKEKGLEILSLFVNMKKADLPEQAHSIIKECKGSPLVVSLIGALLRDFPNRWEYYLKQLQNKQFKRIRKSSSYDYEALDEAMSISVEMLREDIKDYYTDLSILQKDVKVPTKVLCILWDMETEEVEDILQEFVNKSLLFCDRNGKSFRYYLHDLQVDFLTEKNCSQLQDLHKKIITQFQRYHQPHTLSPDQEDCMYWYNFLAYHMASAKMHKELCALMFSLDWIKAKTELVGPAHLIHEFVEYRHILDEKDCAVSENFQEFLSLNGHLLGRQPFPNIVQLGLCEPETSEVYQQAKLQAKQEVDNGMLYLEWINKKNITNLSRLVVRPHTDAVYHACFSEDGQRIASCGADKTLQVFKAETGEKLLEIKAHEDEVLCCAFSTDDRFIATCSVDKKVKIWNSMTGELVHTYDEHSEQVNCCHFTNSSHHLLLATGSSDCFLKLWDLNQKECRNTMFGHTNSVNHCRFSPDDKLLASCSADGTLKLWDATSANERKSINVKQFFLNLEDPQEDMEVIVKCCSWSADGARIMVAAKNKIFLFDIHTSGLLGEIHTGHHSTIQYCDFSPQNHLAVVALSQYCVELWNTDSRSKVADCRGHLSWVHGVMFSPDGSSFLTSSDDQTIRLWETKKVCKNSAVMLKQEVDVVFQENEVMVLAVDHIRRLQLINGRTGQIDYLTEAQVSCCCLSPHLQYIAFGDENGAIEILELVNNRIFQSRFQHKKTVWHIQFTADEKTLISSSDDAEIQVWNWQLDKCIFLRGHQETVKDFRLLKNSRLLSWSFDGTVKVWNIITGNKEKDFVCHQGTVLSCDISHDATKFSSTSADKTAKIWSFDLLLPLHELRGHNGCVRCSAFSVDSTLLATGDDNGEIRIWNVSNGELLHLCAPLSEEGAATHGGWVTDLCFSPDGKMLISAGGYIKWWNVVTGESSQTFYTNGTNLKKIHVSPDFKTYVTVDNLGILYILQTLE
+>DECOY_sp|O14727|APAF_HUMAN Apoptotic protease-activating factor 1 OS=Homo sapiens OX=9606 GN=APAF1 PE=1 SV=2
+ELTQLIYLIGLNDVTVYTKFDPSVHIKKLNTGNTYFTQSSEGTVVNWWKIYGGASILMKGDPSFCLDTVWGGHTAAGEESLPACLHLLEGNSVNWIRIEGNDDGTALLTSDVSFASCRVCGNHGRLEHLPLLLDFSWIKATKDASTSSFKTADHSIDCSLVTGQHCVFDKEKNGTIINWVKVTGDFSWSLLRSNKLLRFDKVTEQHGRLFICKDLQWNWVQIEADDSSSILTKEDATFQIHWVTKKHQFRSQFIRNNVLELIEIAGNEDGFAIYQLHPSLCCCSVQAETLYDIQGTRGNILQLRRIHDVALVMVENEQFVVDVEQKLMVASNKCVKKTEWLRITQDDSSTLFSSGDPSFMVGHVWSLHGRCDAVKSRSDTNWLEVCYQSLAVVALHNQPSFDCYQITSHHGTHIEGLLGSTHIDFLFIKNKAAVMIRAGDASWSCCKVIVEMDEQPDELNLFFQKVNISKRENASTADWLKLTGDASCSALLKDDPSFRCHNVSNTHGFMTNRCEKQNLDWLKLFCDSSGTALLLHHSSNTFHCCNVQESHEDYTHVLEGTMSNWIKVKKDVSCTAIFRDDTSFACCLVEDEHAKIELLKEGTEAKFVQLTKDAGCSAIRQGDESFCAHYVADTHPRVVLRSLNTINKKNIWELYLMGNDVEQKAQLKAQQYVESTEPECLGLQVINPFPQRGLLHGNLSLFEQFNESVACDKEDLIHRYEVFEHILHAPGVLETKAKIWDLSFMLACLEKHMKASAMHYALFNYWYMCDEQDPSLTHPQHYRQFQTIIKKHLDQLQSCNKETLFDVQLDHLYYRFSKGNRDCFLLSKNVFEQLIDEVEETEMDWLICLVKTPVKVDKQLISLDTYYDKIDERLMEVSISMAEDLAEYDYSSSKRIRKFQKNQLQKLYYEWRNPFDRLLAGILSVVLPSGKCEKIISHAQEPLDAKKMNVFLSLIELGKEKGLSSEVPVVYKPGMVSDTVSKDRTTLLIQCQSDFAKLVWSDWVDDLILLSRPHKRLMLIRLRDKAEEINLPLRQSFSEDQDLRTCLNQLKMLLGSKDQKGVSVWHVGGPFCGELLSHDRVAEAALVSKGCGAMGHITVWGPEGKLKSLKQQIANVLKKRTVFVVPRQPVGGECLVTRVYSTIGSVSDKGSSSSVVPIGDHLLAALDKYGEHLLANYFSVYSDNDKKLIMKILMAARQQQTPENRVKEEESITLFGDSIMHDMIYSTKIDKELAERHQLLCNRAKADM
+>sp|O96018|APBA3_HUMAN Amyloid-beta A4 precursor protein-binding family A member 3 OS=Homo sapiens OX=9606 GN=APBA3 PE=1 SV=1
+MDFPTISRSPSGPPAMDLEGPRDILVPSEDLTPDSQWDPMPGGPGSLSRMELDESSLQELVQQFEALPGDLVGPSPGGAPCPLHIATGHGLASQEIADAHGLLSAEAGRDDLLGLLHCEECPPSQTGPEEPLEPAPRLLQPPEDPDEDSDSPEWVEGASAEQEGSRSSSSSPEPWLETVPLVTPEEPPAGAQSPETLASYPAPQEVPGPCDHEDLLDGVIFGARYLGSTQLVSERNPPTSTRMAQAREAMDRVKAPDGETQPMTEVDLFVSTKRIKVLTADSQEAMMDHALHTISYTADIGCVLVLMARRRLARRPAPQDHGRRLYKMLCHVFYAEDAQLIAQAIGQAFAAAYSQFLRESGIDPSQVGVHPSPGACHLHNGDLDHFSNSDNCREVHLEKRRGEGLGVALVESGWGSLLPTAVIANLLHGGPAERSGALSIGDRLTAINGTSLVGLPLAACQAAVRETKSQTSVTLSIVHCPPVTTAIIHRPHAREQLGFCVEDGIICSLLRGGIAERGGIRVGHRIIEINGQSVVATPHARIIELLTEAYGEVHIKTMPAATYRLLTGQEQPVYL
+>DECOY_sp|O96018|APBA3_HUMAN Amyloid-beta A4 precursor protein-binding family A member 3 OS=Homo sapiens OX=9606 GN=APBA3 PE=1 SV=1
+LYVPQEQGTLLRYTAAPMTKIHVEGYAETLLEIIRAHPTAVVSQGNIEIIRHGVRIGGREAIGGRLLSCIIGDEVCFGLQERAHPRHIIATTVPPCHVISLTVSTQSKTERVAAQCAALPLGVLSTGNIATLRDGISLAGSREAPGGHLLNAIVATPLLSGWGSEVLAVGLGEGRRKELHVERCNDSNSFHDLDGNHLHCAGPSPHVGVQSPDIGSERLFQSYAAAFAQGIAQAILQADEAYFVHCLMKYLRRGHDQPAPRRALRRRAMLVLVCGIDATYSITHLAHDMMAEQSDATLVKIRKTSVFLDVETMPQTEGDPAKVRDMAERAQAMRTSTPPNRESVLQTSGLYRAGFIVGDLLDEHDCPGPVEQPAPYSALTEPSQAGAPPEEPTVLPVTELWPEPSSSSSRSGEQEASAGEVWEPSDSDEDPDEPPQLLRPAPELPEEPGTQSPPCEECHLLGLLDDRGAEASLLGHADAIEQSALGHGTAIHLPCPAGGPSPGVLDGPLAEFQQVLEQLSSEDLEMRSLSGPGGPMPDWQSDPTLDESPVLIDRPGELDMAPPGSPSRSITPFDM
+>sp|Q92870|APBB2_HUMAN Amyloid-beta A4 precursor protein-binding family B member 2 OS=Homo sapiens OX=9606 GN=APBB2 PE=1 SV=3
+MSEVLPADSGVDTLAVFMASSGTTDVTNRNSPATPPNTLNLRSSHNELLNAEIKHTETKNSTPPKCRKKYALTNIQAAMGLSDPAAQPLLGNGSANIKLVKNGENQLRKAAEQGQQDPNKNLSPTAVINITSEKLEGKEPHPQDSSSCEILPSQPRRTKSFLNYYADLETSARELEQNRGNHHGTAEEKSQPVQGQASTIIGNGDLLLQKPNRPQSSPEDGQVATVSSSPETKKDHPKTGAKTDCALHRIQNLAPSDEESSWTTLSQDSASPSSPDETDIWSDHSFQTDPDLPPGWKRVSDIAGTYYWHIPTGTTQWERPVSIPADLQGSRKGSLSSVTPSPTPENEKQPWSDFAVLNGGKINSDIWKDLHAATVNPDPSLKEFEGATLRYASLKLRNAPHPDDDDSCSINSDPEAKCFAVRSLGWVEMAEEDLAPGKSSVAVNNCIRQLSYCKNDIRDTVGIWGEGKDMYLILENDMLSLVDPMDRSVLHSQPIVSIRVWGVGRDNGRDFAYVARDKDTRILKCHVFRCDTPAKAIATSLHEICSKIMAERKNAKALACSSLQERANVNLDVPLQVDFPTPKTELVQKFHVQYLGMLPVDKPVGMDILNSAIENLMTSSNKEDWLSVNMNVADATVTVISEKNEEEVLVECRVRFLSFMGVGKDVHTFAFIMDTGNQRFECHVFWCEPNAGNVSEAVQAACMLRYQKCLVARPPSQKVRPPPPPADSVTRRVTTNVKRGVLSLIDTLKQKRPVTEMP
+>DECOY_sp|Q92870|APBB2_HUMAN Amyloid-beta A4 precursor protein-binding family B member 2 OS=Homo sapiens OX=9606 GN=APBB2 PE=1 SV=3
+PMETVPRKQKLTDILSLVGRKVNTTVRRTVSDAPPPPPRVKQSPPRAVLCKQYRLMCAAQVAESVNGANPECWFVHCEFRQNGTDMIFAFTHVDKGVGMFSLFRVRCEVLVEEENKESIVTVTADAVNMNVSLWDEKNSSTMLNEIASNLIDMGVPKDVPLMGLYQVHFKQVLETKPTPFDVQLPVDLNVNAREQLSSCALAKANKREAMIKSCIEHLSTAIAKAPTDCRFVHCKLIRTDKDRAVYAFDRGNDRGVGWVRISVIPQSHLVSRDMPDVLSLMDNELILYMDKGEGWIGVTDRIDNKCYSLQRICNNVAVSSKGPALDEEAMEVWGLSRVAFCKAEPDSNISCSDDDDPHPANRLKLSAYRLTAGEFEKLSPDPNVTAAHLDKWIDSNIKGGNLVAFDSWPQKENEPTPSPTVSSLSGKRSGQLDAPISVPREWQTTGTPIHWYYTGAIDSVRKWGPPLDPDTQFSHDSWIDTEDPSSPSASDQSLTTWSSEEDSPALNQIRHLACDTKAGTKPHDKKTEPSSSVTAVQGDEPSSQPRNPKQLLLDGNGIITSAQGQVPQSKEEATGHHNGRNQELERASTELDAYYNLFSKTRRPQSPLIECSSSDQPHPEKGELKESTINIVATPSLNKNPDQQGQEAAKRLQNEGNKVLKINASGNGLLPQAAPDSLGMAAQINTLAYKKRCKPPTSNKTETHKIEANLLENHSSRLNLTNPPTAPSNRNTVDTTGSSAMFVALTDVGSDAPLVESM
+>sp|O95704|APBB3_HUMAN Amyloid-beta A4 precursor protein-binding family B member 3 OS=Homo sapiens OX=9606 GN=APBB3 PE=1 SV=2
+MLGKDYMLAIILVNCDDDLWGDHSLEVEAGLPPGWRKIHDAAGTYYWHVPSGSTQWQRPTWELGDAEDPGTGTEGIWGLRPPKGRSFSSLESSLDRSNSLSWYGGESYIQSMEPGAKCFAVRSLGWVEVPEEDLAPGKSSIAVNNCIQQLAQTRSRSQPPDGAWGEGQNMLMILKKDAMSLVNPLDHSLIHCQPLVHIRVWGVGSSKGRDRDFAFVASDKDSCMLKCHVFCCDVPAKAIASALHGLCAQILSERVEVSGDASCCSPDPISPEDLPRQVELLDAVSQAAQKYEALYMGTLPVTKAMGMDVLNEAIGTLTARGDRNAWVPTMLSVSDSLMTAHPIQAEASTEEEPLWQCPVRLVTFIGVGRDPHTFGLIADLGRQSFQCAAFWCQPHAGGLSEAVQAACMVQYQKCLVASAARGKAWGAQARARLRLKRTSSMDSPGGPLPLPLLKGGVGGAGATPRKRGVFSFLDAFRLKPSLLHMP
+>DECOY_sp|O95704|APBB3_HUMAN Amyloid-beta A4 precursor protein-binding family B member 3 OS=Homo sapiens OX=9606 GN=APBB3 PE=1 SV=2
+PMHLLSPKLRFADLFSFVGRKRPTAGAGGVGGKLLPLPLPGGPSDMSSTRKLRLRARAQAGWAKGRAASAVLCKQYQVMCAAQVAESLGGAHPQCWFAACQFSQRGLDAILGFTHPDRGVGIFTVLRVPCQWLPEEETSAEAQIPHATMLSDSVSLMTPVWANRDGRATLTGIAENLVDMGMAKTVPLTGMYLAEYKQAAQSVADLLEVQRPLDEPSIPDPSCCSADGSVEVRESLIQACLGHLASAIAKAPVDCCFVHCKLMCSDKDSAVFAFDRDRGKSSGVGWVRIHVLPQCHILSHDLPNVLSMADKKLIMLMNQGEGWAGDPPQSRSRTQALQQICNNVAISSKGPALDEEPVEVWGLSRVAFCKAGPEMSQIYSEGGYWSLSNSRDLSSELSSFSRGKPPRLGWIGETGTGPDEADGLEWTPRQWQTSGSPVHWYYTGAADHIKRWGPPLGAEVELSHDGWLDDDCNVLIIALMYDKGLM
+>sp|Q9UJX3|APC7_HUMAN Anaphase-promoting complex subunit 7 OS=Homo sapiens OX=9606 GN=ANAPC7 PE=1 SV=4
+MDPGDAAILESSLRILYRLFESVLPPLPAALQSRMNVIDHVRDMAAAGLHSNVRLLSSLLLTMSNNNPELFSPPQKYQLLVYHADSLFHDKEYRNAVSKYTMALQQKKALSKTSKVRPSTGNSASTPQSQCLPSEIEVKYKMAECYTMLKQDKDAIAILDGIPSRQRTPKINMMLANLYKKAGQERPSVTSYKEVLRQCPLALDAILGLLSLSVKGAEVASMTMNVIQTVPNLDWLSVWIKAYAFVHTGDNSRAISTICSLEKKSLLRDNVDLLGSLADLYFRAGDNKNSVLKFEQAQMLDPYLIKGMDVYGYLLAREGRLEDVENLGCRLFNISDQHAEPWVVSGCHSFYSKRYSRALYLGAKAIQLNSNSVQALLLKGAALRNMGRVQEAIIHFREAIRLAPCRLDCYEGLIECYLASNSIREAMVMANNVYKTLGANAQTLTLLATVCLEDPVTQEKAKTLLDKALTQRPDYIKAVVKKAELLSREQKYEDGIALLRNALANQSDCVLHRILGDFLVAVNEYQEAMDQYSIALSLDPNDQKSLEGMQKMEKEESPTDATQEEDVDDMEGSGEEGDLEGSDSEAAQWADQEQWFGMQ
+>DECOY_sp|Q9UJX3|APC7_HUMAN Anaphase-promoting complex subunit 7 OS=Homo sapiens OX=9606 GN=ANAPC7 PE=1 SV=4
+QMGFWQEQDAWQAAESDSGELDGEEGSGEMDDVDEEQTADTPSEEKEMKQMGELSKQDNPDLSLAISYQDMAEQYENVAVLFDGLIRHLVCDSQNALANRLLAIGDEYKQERSLLEAKKVVAKIYDPRQTLAKDLLTKAKEQTVPDELCVTALLTLTQANAGLTKYVNNAMVMAERISNSALYCEILGEYCDLRCPALRIAERFHIIAEQVRGMNRLAAGKLLLAQVSNSNLQIAKAGLYLARSYRKSYFSHCGSVVWPEAHQDSINFLRCGLNEVDELRGERALLYGYVDMGKILYPDLMQAQEFKLVSNKNDGARFYLDALSGLLDVNDRLLSKKELSCITSIARSNDGTHVFAYAKIWVSLWDLNPVTQIVNMTMSAVEAGKVSLSLLGLIADLALPCQRLVEKYSTVSPREQGAKKYLNALMMNIKPTRQRSPIGDLIAIADKDQKLMTYCEAMKYKVEIESPLCQSQPTSASNGTSPRVKSTKSLAKKQQLAMTYKSVANRYEKDHFLSDAHYVLLQYKQPPSFLEPNNNSMTLLLSSLLRVNSHLGAAAMDRVHDIVNMRSQLAAPLPPLVSEFLRYLIRLSSELIAADGPDM
+>sp|Q9UBZ4|APEX2_HUMAN DNA-(apurinic or apyrimidinic site) lyase 2 OS=Homo sapiens OX=9606 GN=APEX2 PE=1 SV=1
+MLRVVSWNINGIRRPLQGVANQEPSNCAAVAVGRILDELDADIVCLQETKVTRDALTEPLAIVEGYNSYFSFSRNRSGYSGVATFCKDNATPVAAEEGLSGLFATQNGDVGCYGNMDEFTQEELRALDSEGRALLTQHKIRTWEGKEKTLTLINVYCPHADPGRPERLVFKMRFYRLLQIRAEALLAAGSHVIILGDLNTAHRPIDHWDAVNLECFEEDPGRKWMDSLLSNLGCQSASHVGPFIDSYRCFQPKQEGAFTCWSAVTGARHLNYGSRLDYVLGDRTLVIDTFQASFLLPEVMGSDHCPVGAVLSVSSVPAKQCPPLCTRFLPEFAGTQLKILRFLVPLEQSPVLEQSTLQHNNQTRVQTCQNKAQVRSTRPQPSQVGSSRGQKNLKSYFQPSPSCPQASPDIELPSLPLMSALMTPKTPEEKAVAKVVKGQAKTSEAKDEKELRTSFWKSVLAGPLRTPLCGGHREPCVMRTVKKPGPNLGRRFYMCARPRGPPTDPSSRCNFFLWSRPS
+>DECOY_sp|Q9UBZ4|APEX2_HUMAN DNA-(apurinic or apyrimidinic site) lyase 2 OS=Homo sapiens OX=9606 GN=APEX2 PE=1 SV=1
+SPRSWLFFNCRSSPDTPPGRPRACMYFRRGLNPGPKKVTRMVCPERHGGCLPTRLPGALVSKWFSTRLEKEDKAESTKAQGKVVKAVAKEEPTKPTMLASMLPLSPLEIDPSAQPCSPSPQFYSKLNKQGRSSGVQSPQPRTSRVQAKNQCTQVRTQNNHQLTSQELVPSQELPVLFRLIKLQTGAFEPLFRTCLPPCQKAPVSSVSLVAGVPCHDSGMVEPLLFSAQFTDIVLTRDGLVYDLRSGYNLHRAGTVASWCTFAGEQKPQFCRYSDIFPGVHSASQCGLNSLLSDMWKRGPDEEFCELNVADWHDIPRHATNLDGLIIVHSGAALLAEARIQLLRYFRMKFVLREPRGPDAHPCYVNILTLTKEKGEWTRIKHQTLLARGESDLARLEEQTFEDMNGYCGVDGNQTAFLGSLGEEAAVPTANDKCFTAVGSYGSRNRSFSFYSNYGEVIALPETLADRTVKTEQLCVIDADLEDLIRGVAVAACNSPEQNAVGQLPRRIGNINWSVVRLM
+>sp|Q96BI3|APH1A_HUMAN Gamma-secretase subunit APH-1A OS=Homo sapiens OX=9606 GN=APH1A PE=1 SV=1
+MGAAVFFGCTFVAFGPAFALFLITVAGDPLRVIILVAGAFFWLVSLLLASVVWFILVHVTDRSDARLQYGLLIFGAAVSVLLQEVFRFAYYKLLKKADEGLASLSEDGRSPISIRQMAYVSGLSFGIISGVFSVINILADALGPGVVGIHGDSPYYFLTSAFLTAAIILLHTFWGVVFFDACERRRYWALGLVVGSHLLTSGLTFLNPWYEASLLPIYAVTVSMGLWAFITAGGSLRSIQRSLLCRRQEDSRVMVYSALRIPPED
+>DECOY_sp|Q96BI3|APH1A_HUMAN Gamma-secretase subunit APH-1A OS=Homo sapiens OX=9606 GN=APH1A PE=1 SV=1
+DEPPIRLASYVMVRSDEQRRCLLSRQISRLSGGATIFAWLGMSVTVAYIPLLSAEYWPNLFTLGSTLLHSGVVLGLAWYRRRECADFFVVGWFTHLLIIAATLFASTLFYYPSDGHIGVVGPGLADALINIVSFVGSIIGFSLGSVYAMQRISIPSRGDESLSALGEDAKKLLKYYAFRFVEQLLVSVAAGFILLGYQLRADSRDTVHVLIFWVVSALLLSVLWFFAGAVLIIVRLPDGAVTILFLAFAPGFAVFTCGFFVAAGM
+>sp|Q8IW19|APLF_HUMAN Aprataxin and PNK-like factor OS=Homo sapiens OX=9606 GN=APLF PE=1 SV=1
+MSGGFELQPRDGGPRVALAPGETVIGRGPLLGITDKRVSRRHAILEVAGGQLRIKPIHTNPCFYQSSEKSQLLPLKPNLWCYLNPGDSFSLLVDKYIFRILSIPSEVEMQCTLRNSQVLDEDNILNETPKSPVINLPHETTGASQLEGSTEIAKTQMTPTNSVSFLGENRDCNKQQPILAERKRILPTWMLAEHLSDQNLSVPAISGGNVIQGSGKEEICKDKSQLNTTQQGRRQLISSGSSENTSAEQDTGEECKNTDQEESTISSKEMPQSFSAITLSNTEMNNIKTNAQRNKLPIEELGKVSKHKIATKRTPHKEDEAMSCSENCSSAQGDSLQDESQGSHSESSSNPSNPETLHAKATDSVLQGSEGNKVKRTSCMYGANCYRKNPVHFQHFSHPGDSDYGGVQIVGQDETDDRPECPYGPSCYRKNPQHKIEYRHNTLPVRNVLDEDNDNVGQPNEYDLNDSFLDDEEEDYEPTDEDSDWEPGKEDEEKEDVEELLKEAKRFMKRK
+>DECOY_sp|Q8IW19|APLF_HUMAN Aprataxin and PNK-like factor OS=Homo sapiens OX=9606 GN=APLF PE=1 SV=1
+KRKMFRKAEKLLEEVDEKEEDEKGPEWDSDEDTPEYDEEEDDLFSDNLDYENPQGVNDNDEDLVNRVPLTNHRYEIKHQPNKRYCSPGYPCEPRDDTEDQGVIQVGGYDSDGPHSFHQFHVPNKRYCNAGYMCSTRKVKNGESGQLVSDTAKAHLTEPNSPNSSSESHSGQSEDQLSDGQASSCNESCSMAEDEKHPTRKTAIKHKSVKGLEEIPLKNRQANTKINNMETNSLTIASFSQPMEKSSITSEEQDTNKCEEGTDQEASTNESSGSSILQRRGQQTTNLQSKDKCIEEKGSGQIVNGGSIAPVSLNQDSLHEALMWTPLIRKREALIPQQKNCDRNEGLFSVSNTPTMQTKAIETSGELQSAGTTEHPLNIVPSKPTENLINDEDLVQSNRLTCQMEVESPISLIRFIYKDVLLSFSDGPNLYCWLNPKLPLLQSKESSQYFCPNTHIPKIRLQGGAVELIAHRRSVRKDTIGLLPGRGIVTEGPALAVRPGGDRPQLEFGGSM
+>sp|P55056|APOC4_HUMAN Apolipoprotein C-IV OS=Homo sapiens OX=9606 GN=APOC4 PE=1 SV=1
+MSLLRNRLQALPALCLCVLVLACIGACQPEAQEGTLSPPPKLKMSRWSLVRGRMKELLETVVNRTRDGWQWFWSPSTFRGFMQTYYDDHLRDLGPLTKAWFLESKDSLLKKTHSLCPRLVCGDKDQG
+>DECOY_sp|P55056|APOC4_HUMAN Apolipoprotein C-IV OS=Homo sapiens OX=9606 GN=APOC4 PE=1 SV=1
+GQDKDGCVLRPCLSHTKKLLSDKSELFWAKTLPGLDRLHDDYYTQMFGRFTSPSWFWQWGDRTRNVVTELLEKMRGRVLSWRSMKLKPPPSLTGEQAEPQCAGICALVLVCLCLAPLAQLRNRLLSM
+>sp|P05090|APOD_HUMAN Apolipoprotein D OS=Homo sapiens OX=9606 GN=APOD PE=1 SV=1
+MVMLLLLLSALAGLFGAAEGQAFHLGKCPNPPVQENFDVNKYLGRWYEIEKIPTTFENGRCIQANYSLMENGKIKVLNQELRADGTVNQIEGEATPVNLTEPAKLEVKFSWFMPSAPYWILATDYENYALVYSCTCIIQLFHVDFAWILARNPNLPPETVDSLKNILTSNNIDVKKMTVTDQVNCPKLS
+>DECOY_sp|P05090|APOD_HUMAN Apolipoprotein D OS=Homo sapiens OX=9606 GN=APOD PE=1 SV=1
+SLKPCNVQDTVTMKKVDINNSTLINKLSDVTEPPLNPNRALIWAFDVHFLQIICTCSYVLAYNEYDTALIWYPASPMFWSFKVELKAPETLNVPTAEGEIQNVTGDARLEQNLVKIKGNEMLSYNAQICRGNEFTTPIKEIEYWRGLYKNVDFNEQVPPNPCKGLHFAQGEAAGFLGALASLLLLLMVM
+>sp|Q9BWW9|APOL5_HUMAN Apolipoprotein L5 OS=Homo sapiens OX=9606 GN=APOL5 PE=2 SV=1
+MPCGKQGNLQVPGSKVLPGLGEGCKEMWLRKVIYGGEVWGKSPEPEFPSLVNLCQSWKINNLMSTVHSDEAGMLSYFLFEELMRCDKDSMPDGNLSEEEKLFLSYFPLHKFELEQNIKELNTLADQVDTTHELLTKTSLVASSSGAVSGVMNILGLALAPVTAGGSLMLSATGTGLGAAAAITNIVTNVLENRSNSAARDKASRLGPLTTSHEAFGGINWSEIEAAGFCVNKCVKAIQGIKDLHAYQMAKSNSGFMAMVKNFVAKRHIPFWTARGVQRAFEGTTLAMTNGAWVMGAAGAGFLLMKDMSSFLQSWKHLEDGARTETAEELRALAKKLEQELDRLTQHHRHLPQKASQTCSSSRGRAVRGSRVVKPEGSRSPLPWPVVEHQPRLGPGVALRTPKRTVSAPRMLGHQPAPPAPARKGRQAPGRHRQ
+>DECOY_sp|Q9BWW9|APOL5_HUMAN Apolipoprotein L5 OS=Homo sapiens OX=9606 GN=APOL5 PE=2 SV=1
+QRHRGPAQRGKRAPAPPAPQHGLMRPASVTRKPTRLAVGPGLRPQHEVVPWPLPSRSGEPKVVRSGRVARGRSSSCTQSAKQPLHRHHQTLRDLEQELKKALARLEEATETRAGDELHKWSQLFSSMDKMLLFGAGAAGMVWAGNTMALTTGEFARQVGRATWFPIHRKAVFNKVMAMFGSNSKAMQYAHLDKIGQIAKVCKNVCFGAAEIESWNIGGFAEHSTTLPGLRSAKDRAASNSRNELVNTVINTIAAAAGLGTGTASLMLSGGATVPALALGLINMVGSVAGSSSAVLSTKTLLEHTTDVQDALTNLEKINQELEFKHLPFYSLFLKEEESLNGDPMSDKDCRMLEEFLFYSLMGAEDSHVTSMLNNIKWSQCLNVLSPFEPEPSKGWVEGGYIVKRLWMEKCGEGLGPLVKSGPVQLNGQKGCPM
+>sp|Q53RT3|APRV1_HUMAN Retroviral-like aspartic protease 1 OS=Homo sapiens OX=9606 GN=ASPRV1 PE=1 SV=1
+MGSPGASLGIKKALQSEQATALPASAPAVSQPTAPAPSCLPKAGQVIPTLLREAPFSSVIAPTLLCGFLFLAWVAAEVPEESSRMAGSGARSEEGRRQHAFVPEPFDGANVVPNLWLHSFEVINDLNHWDHITKLRFLKESLRGEALGVYNRLSPQDQGDYGTVKEALLKAFGVPGAAPSHLPKEIVFANSMGKGYYLKGKIGKVPVRFLVDSGAQVSVVHPNLWEEVTDGDLDTLQPFENVVKVANGAEMKILGVWDTAVSLGKLKLKAQFLVANASAEEAIIGTDVLQDHNAILDFEHRTCTLKGKKFRLLPVGGSLEDEFDLELIEEDPSSEEGRQELSH
+>DECOY_sp|Q53RT3|APRV1_HUMAN Retroviral-like aspartic protease 1 OS=Homo sapiens OX=9606 GN=ASPRV1 PE=1 SV=1
+HSLEQRGEESSPDEEILELDFEDELSGGVPLLRFKKGKLTCTRHEFDLIANHDQLVDTGIIAEEASANAVLFQAKLKLKGLSVATDWVGLIKMEAGNAVKVVNEFPQLTDLDGDTVEEWLNPHVVSVQAGSDVLFRVPVKGIKGKLYYGKGMSNAFVIEKPLHSPAAGPVGFAKLLAEKVTGYDGQDQPSLRNYVGLAEGRLSEKLFRLKTIHDWHNLDNIVEFSHLWLNPVVNAGDFPEPVFAHQRRGEESRAGSGAMRSSEEPVEAAVWALFLFGCLLTPAIVSSFPAERLLTPIVQGAKPLCSPAPATPQSVAPASAPLATAQESQLAKKIGLSAGPSGM
+>sp|Q8N7J2|AMER2_HUMAN APC membrane recruitment protein 2 OS=Homo sapiens OX=9606 GN=AMER2 PE=1 SV=3
+METSRSRGGGGAVSERGGAGASVGVCRRKAEAGAGTGTLAADMDLHCDCAAETPAAEPPSGKINKAAFKLFKKRKSGGTMPSIFGVKNKGDGKSSGPTGLVRSRTHDGLAEVLVLESGRKEEPRGGGDSGGGGGGRPNPGPPRAAGPGGGSLASSSVAKSHSFFSLLKKNGRSENGKGEPVDASKAGGKQKRGLRGLFSGMRWHRKDKRAKAEAAEGRAPGGGLILPGSLTASLECVKEETPRAAREPEEPSQDAPRDPAGEPAGGEEVPAPADRAPARSCREAEGLAHPGDTGARGEDAAGHRRAEPGPGEVRTAEDASRTGAVPVKTVPLVDSEGGSGRAPAAPDPASVDPPSDPSADRICLMFSDVTSLKSFDSLTGCGDIIADQEEEAGPSCDKHVPGPGKPALSKKNPGVVAYQGGGEEMASPDEVDDTYLQEFWDMLSQTEEQGPEPQEGAAKVAAALETKVVPETPKDTRCVEAAKDASSVKRRRLNRIPIEPHPKEEPKHPEKEQQEGVPNSDEGYWDSTTPGPEEDSSSSGKKAGIPRDSYSGDALYDLYADPDGSPATLPGGKDNEETSSLSRLKPVSPGTITCPLRTPGSLLKDSKIPISIKHLTNLPSSHPVVHQQPSRSEMPRTKIPVSKVLVRRVSNRGLAGTTIRATACHDSAKKL
+>DECOY_sp|Q8N7J2|AMER2_HUMAN APC membrane recruitment protein 2 OS=Homo sapiens OX=9606 GN=AMER2 PE=1 SV=3
+LKKASDHCATARITTGALGRNSVRRVLVKSVPIKTRPMESRSPQQHVVPHSSPLNTLHKISIPIKSDKLLSGPTRLPCTITGPSVPKLRSLSSTEENDKGGPLTAPSGDPDAYLDYLADGSYSDRPIGAKKGSSSSDEEPGPTTSDWYGEDSNPVGEQQEKEPHKPEEKPHPEIPIRNLRRRKVSSADKAAEVCRTDKPTEPVVKTELAAAVKAAGEQPEPGQEETQSLMDWFEQLYTDDVEDPSAMEEGGGQYAVVGPNKKSLAPKGPGPVHKDCSPGAEEEQDAIIDGCGTLSDFSKLSTVDSFMLCIRDASPDSPPDVSAPDPAAPARGSGGESDVLPVTKVPVAGTRSADEATRVEGPGPEARRHGAADEGRAGTDGPHALGEAERCSRAPARDAPAPVEEGGAPEGAPDRPADQSPEEPERAARPTEEKVCELSATLSGPLILGGGPARGEAAEAKARKDKRHWRMGSFLGRLGRKQKGGAKSADVPEGKGNESRGNKKLLSFFSHSKAVSSSALSGGGPGAARPPGPNPRGGGGGGSDGGGRPEEKRGSELVLVEALGDHTRSRVLGTPGSSKGDGKNKVGFISPMTGGSKRKKFLKFAAKNIKGSPPEAAPTEAACDCHLDMDAALTGTGAGAEAKRRCVGVSAGAGGRESVAGGGGRSRSTEM
+>sp|Q86WK7|AMGO3_HUMAN Amphoterin-induced protein 3 OS=Homo sapiens OX=9606 GN=AMIGO3 PE=2 SV=1
+MTWLVLLGTLLCMLRVGLGTPDSEGFPPRALHNCPYKCICAADLLSCTGLGLQDVPAELPAATADLDLSHNALQRLRPGWLAPLFQLRALHLDHNELDALGRGVFVNASGLRLLDLSSNTLRALGRHDLDGLGALEKLLLFNNRLVHLDEHAFHGLRALSHLYLGCNELASFSFDHLHGLSATHLLTLDLSSNRLGHISVPELAALPAFLKNGLYLHNNPLPCDCRLYHLLQRWHQRGLSAVRDFAREYVCLAFKVPASRVRFFQHSRVFENCSSAPALGLERPEEHLYALVGRSLRLYCNTSVPAMRIAWVSPQQELLRAPGSRDGSIAVLADGSLAIGNVQEQHAGLFVCLATGPRLHHNQTHEYNVSVHFPRPEPEAFNTGFTTLLGCAVGLVLVLLYLFAPPCRCCRRACRCRRWPQTPSPLQELSAQSSVLSTTPPDAPSRKASVHKHVVFLEPGRRGLNGRVQLAVAEEFDLYNPGGLQLKAGSESASSIGSEGPMTT
+>DECOY_sp|Q86WK7|AMGO3_HUMAN Amphoterin-induced protein 3 OS=Homo sapiens OX=9606 GN=AMIGO3 PE=2 SV=1
+TTMPGESGISSASESGAKLQLGGPNYLDFEEAVALQVRGNLGRRGPELFVVHKHVSAKRSPADPPTTSLVSSQASLEQLPSPTQPWRRCRCARRCCRCPPAFLYLLVLVLGVACGLLTTFGTNFAEPEPRPFHVSVNYEHTQNHHLRPGTALCVFLGAHQEQVNGIALSGDALVAISGDRSGPARLLEQQPSVWAIRMAPVSTNCYLRLSRGVLAYLHEEPRELGLAPASSCNEFVRSHQFFRVRSAPVKFALCVYERAFDRVASLGRQHWRQLLHYLRCDCPLPNNHLYLGNKLFAPLAALEPVSIHGLRNSSLDLTLLHTASLGHLHDFSFSALENCGLYLHSLARLGHFAHEDLHVLRNNFLLLKELAGLGDLDHRGLARLTNSSLDLLRLGSANVFVGRGLADLENHDLHLARLQFLPALWGPRLRQLANHSLDLDATAAPLEAPVDQLGLGTCSLLDAACICKYPCNHLARPPFGESDPTGLGVRLMCLLTGLLVLWTM
+>sp|Q4VCS5|AMOT_HUMAN Angiomotin OS=Homo sapiens OX=9606 GN=AMOT PE=1 SV=1
+MRNSEEQPSGGTTVLQRLLQEQLRYGNPSENRSLLAIHQQATGNGPPFPSGSGNPGPQSDVLSPQDHHQQLVAHAARQEPQGQEIQSENLIMEKQLSPRMQNNEELPTYEEAKVQSQYFRGQQHASVGAAFYVTGVTNQKMRTEGRPSVQRLNPGKMHQDEGLRDLKQGHVRSLSERLMQMSLATSGVKAHPPVTSAPLSPPQPNDLYKNPTSSSEFYKAQGPLPNQHSLKGMEHRGPPPEYPFKGMPPQSVVCKPQEPGHFYSEHRLNQPGRTEGQLMRYQHPPEYGAARPAQDISLPLSARNSQPHSPTSSLTSGGSLPLLQSPPSTRLSPARHPLVPNQGDHSAHLPRPQQHFLPNQAHQGDHYRLSQPGLSQQQQQQQQQHHHHHHHQQQQQQQPQQQPGEAYSAMPRAQPSSASYQPVPADPFAIVSRAQQMVEILSDENRNLRQELEGCYEKVARLQKVETEIQRVSEAYENLVKSSSKREALEKAMRNKLEGEIRRMHDFNRDLRERLETANKQLAEKEYEGSEDTRKTISQLFAKNKESQREKEKLEAELATARSTNEDQRRHIEIRDQALSNAQAKVVKLEEELKKKQVYVDKVEKMQQALVQLQAACEKREQLEHRLRTRLERELESLRIQQRQGNCQPTNVSEYNAAALMELLREKEERILALEADMTKWEQKYLEENVMRHFALDAAATVAAQRDTTVISHSPNTSYDTALEARIQKEEEEILMANKRCLDMEGRIKTLHAQIIEKDAMIKVLQQRSRKEPSKTEQLSCMRPAKSLMSISNAGSGLLSHSSTLTGSPIMEEKRDDKSWKGSLGILLGGDYRAEYVPSTPSPVPPSTPLLSAHSKTGSRDCSTQTERGTESNKTAAVAPISVPAPVAAAATAAAITATAATITTTMVAAAPVAVAAAAAPAAAAAPSPATAAATAAAVSPAAAGQIPAAASVASAAAVAPSAAAAAAVQVAPAAPAPVPAPALVPVPAPAAAQASAPAQTQAPTSAPAVAPTPAPTPTPAVAQAEVPASPATGPGPHRLSIPSLTCNPDKTDGPVFHSNTLERKTPIQILGQEPDAEMVEYLI
+>DECOY_sp|Q4VCS5|AMOT_HUMAN Angiomotin OS=Homo sapiens OX=9606 GN=AMOT PE=1 SV=1
+ILYEVMEADPEQGLIQIPTKRELTNSHFVPGDTKDPNCTLSPISLRHPGPGTAPSAPVEAQAVAPTPTPAPTPAVAPASTPAQTQAPASAQAAAPAPVPVLAPAPVPAPAAPAVQVAAAAAASPAVAAASAVSAAAPIQGAAAPSVAAATAAATAPSPAAAAAPAAAAAVAVPAAAVMTTTITAATATIAAATAAAAVPAPVSIPAVAATKNSETGRETQTSCDRSGTKSHASLLPTSPPVPSPTSPVYEARYDGGLLIGLSGKWSKDDRKEEMIPSGTLTSSHSLLGSGANSISMLSKAPRMCSLQETKSPEKRSRQQLVKIMADKEIIQAHLTKIRGEMDLCRKNAMLIEEEEKQIRAELATDYSTNPSHSIVTTDRQAAVTAAADLAFHRMVNEELYKQEWKTMDAELALIREEKERLLEMLAAANYESVNTPQCNGQRQQIRLSELERELRTRLRHELQERKECAAQLQVLAQQMKEVKDVYVQKKKLEEELKVVKAQANSLAQDRIEIHRRQDENTSRATALEAELKEKERQSEKNKAFLQSITKRTDESGEYEKEALQKNATELRERLDRNFDHMRRIEGELKNRMAKELAERKSSSKVLNEYAESVRQIETEVKQLRAVKEYCGELEQRLNRNEDSLIEVMQQARSVIAFPDAPVPQYSASSPQARPMASYAEGPQQQPQQQQQQQHHHHHHHQQQQQQQQQSLGPQSLRYHDGQHAQNPLFHQQPRPLHASHDGQNPVLPHRAPSLRTSPPSQLLPLSGGSTLSSTPSHPQSNRASLPLSIDQAPRAAGYEPPHQYRMLQGETRGPQNLRHESYFHGPEQPKCVVSQPPMGKFPYEPPPGRHEMGKLSHQNPLPGQAKYFESSSTPNKYLDNPQPPSLPASTVPPHAKVGSTALSMQMLRESLSRVHGQKLDRLGEDQHMKGPNLRQVSPRGETRMKQNTVGTVYFAAGVSAHQQGRFYQSQVKAEEYTPLEENNQMRPSLQKEMILNESQIEQGQPEQRAAHAVLQQHHDQPSLVDSQPGPNGSGSPFPPGNGTAQQHIALLSRNESPNGYRLQEQLLRQLVTTGGSPQEESNRM
+>sp|P49418|AMPH_HUMAN Amphiphysin OS=Homo sapiens OX=9606 GN=AMPH PE=1 SV=1
+MADIKTGIFAKNVQKRLNRAQEKVLQKLGKADETKDEQFEEYVQNFKRQEAEGTRLQRELRGYLAAIKGMQEASMKLTESLHEVYEPDWYGREDVKMVGEKCDVLWEDFHQKLVDGSLLTLDTYLGQFPDIKNRIAKRSRKLVDYDSARHHLEALQSSKRKDESRISKAEEEFQKAQKVFEEFNVDLQEELPSLWSRRVGFYVNTFKNVSSLEAKFHKEIAVLCHKLYEVMTKLGDQHADKAFTIQGAPSDSGPLRIAKTPSPPEEPSPLPSPTASPNHTLAPASPAPARPRSPSQTRKGPPVPPLPKVTPTKELQQENIISFFEDNFVPEISVTTPSQNEVPEVKKEETLLDLDFDPFKPEVTPAGSAGVTHSPMSQTLPWDLWTTSTDLVQPASGGSFNGFTQPQDTSLFTMQTDQSMICNLAESEQAPPTEPKAEEPLAAVTPAVGLDLGMDTRAEEPVEEAVIIPGADADAAVGTLVSAAEGAPGEEAEAEKATVPAGEGVSLEEAKIGTETTEGAESAQPEAEELEATVPQEKVIPSVVIEPASNHEEEGENEITIGAEPKETTEDAAPPGPTSETPELATEQKPIQDPQPTPSAPAMGAADQLASAREASQELPPGFLYKVETLHDFEAANSDELTLQRGDVVLVVPSDSEADQDAGWLVGVKESDWLQYRDLATYKGLFPENFTRRLD
+>DECOY_sp|P49418|AMPH_HUMAN Amphiphysin OS=Homo sapiens OX=9606 GN=AMPH PE=1 SV=1
+DLRRTFNEPFLGKYTALDRYQLWDSEKVGVLWGADQDAESDSPVVLVVDGRQLTLEDSNAAEFDHLTEVKYLFGPPLEQSAERASALQDAAGMAPASPTPQPDQIPKQETALEPTESTPGPPAADETTEKPEAGITIENEGEEEHNSAPEIVVSPIVKEQPVTAELEEAEPQASEAGETTETGIKAEELSVGEGAPVTAKEAEAEEGPAGEAASVLTGVAADADAGPIIVAEEVPEEARTDMGLDLGVAPTVAALPEEAKPETPPAQESEALNCIMSQDTQMTFLSTDQPQTFGNFSGGSAPQVLDTSTTWLDWPLTQSMPSHTVGASGAPTVEPKFPDFDLDLLTEEKKVEPVENQSPTTVSIEPVFNDEFFSIINEQQLEKTPTVKPLPPVPPGKRTQSPSRPRAPAPSAPALTHNPSATPSPLPSPEEPPSPTKAIRLPGSDSPAGQITFAKDAHQDGLKTMVEYLKHCLVAIEKHFKAELSSVNKFTNVYFGVRRSWLSPLEEQLDVNFEEFVKQAKQFEEEAKSIRSEDKRKSSQLAELHHRASDYDVLKRSRKAIRNKIDPFQGLYTDLTLLSGDVLKQHFDEWLVDCKEGVMKVDERGYWDPEYVEHLSETLKMSAEQMGKIAALYGRLERQLRTGEAEQRKFNQVYEEFQEDKTEDAKGLKQLVKEQARNLRKQVNKAFIGTKIDAM
+>sp|O43423|AN32C_HUMAN Acidic leucine-rich nuclear phosphoprotein 32 family member C OS=Homo sapiens OX=9606 GN=ANP32C PE=2 SV=1
+MEMGRRIHSELRNRAPSDVKELALDNSRSNEGKLEALTDEFEELEFLSKINGGLTSISDLPKLKLRKLELRVSGGLEVLAEKCPNLTHLYLSGNKIKDLSTIEPLKQLENLKSLDLFNCEVTNLNDYGENVFKLLLQLTYLDSCYWDHKEAPYSDIEDHVEGLDDEEEGEHEEEYDEDAQVVEDEEGEEEEEEGEEEDVSGGDEEDEEGYNDGEVDGEEDEEELGEEERGQKRK
+>DECOY_sp|O43423|AN32C_HUMAN Acidic leucine-rich nuclear phosphoprotein 32 family member C OS=Homo sapiens OX=9606 GN=ANP32C PE=2 SV=1
+KRKQGREEEGLEEEDEEGDVEGDNYGEEDEEDGGSVDEEEGEEEEEEGEEDEVVQADEDYEEEHEGEEEDDLGEVHDEIDSYPAEKHDWYCSDLYTLQLLLKFVNEGYDNLNTVECNFLDLSKLNELQKLPEITSLDKIKNGSLYLHTLNPCKEALVELGGSVRLELKRLKLKPLDSISTLGGNIKSLFELEEFEDTLAELKGENSRSNDLALEKVDSPARNRLESHIRRGMEM
+>sp|A5PLL1|AN34B_HUMAN Ankyrin repeat domain-containing protein 34B OS=Homo sapiens OX=9606 GN=ANKRD34B PE=2 SV=3
+MDEGMEISSEGNSLIKAVHQSRLRLTRLLLEGGAYINESNDRGETPLMIACKTKHVDHQSVSKAKMVKYLLENNADPNIQDKSGKTALMHACLEKAGPEVVSLLLKSGADLSLQDHSSYSALVYAINSEDTETLKVLLSACKAKGKEVIIITTAKLPCGKHTTKQYLNMPPVDIDGCHSPATCTTPSEIDIKTASSPLSHSSETELTLFGFKDLELAGSNDDTWDPGSPVRKPALAPKGPKLPHAPPWVKSPPLLMHQNRVASLQEELQDITPEEELSYKTNGLALSKRFITRHQSIDVKDTAHLLRAFDQASSRKMSYDEINCQSYLSEGNQQCIEVPVDQDPDSNQTIFASTLRSIVQKRNLGANHYSSDSQLSAGLTPPTSEDGKALIGKKKILSPSPSQLSESKELLENIPPGPLSRRNHAVLERRGSGAFPLDHSVTQTRQGFLPPLNVNSHPPISDINVNNKICSLLSCGQKVLMPTVPIFPKEFKSKKMLLRRQSLQTEQIKQLVNF
+>DECOY_sp|A5PLL1|AN34B_HUMAN Ankyrin repeat domain-containing protein 34B OS=Homo sapiens OX=9606 GN=ANKRD34B PE=2 SV=3
+FNVLQKIQETQLSQRRLLMKKSKFEKPFIPVTPMLVKQGCSLLSCIKNNVNIDSIPPHSNVNLPPLFGQRTQTVSHDLPFAGSGRRELVAHNRRSLPGPPINELLEKSESLQSPSPSLIKKKGILAKGDESTPPTLGASLQSDSSYHNAGLNRKQVISRLTSAFITQNSDPDQDVPVEICQQNGESLYSQCNIEDYSMKRSSAQDFARLLHATDKVDISQHRTIFRKSLALGNTKYSLEEEPTIDQLEEQLSAVRNQHMLLPPSKVWPPAHPLKPGKPALAPKRVPSGPDWTDDNSGALELDKFGFLTLETESSHSLPSSATKIDIESPTTCTAPSHCGDIDVPPMNLYQKTTHKGCPLKATTIIIVEKGKAKCASLLVKLTETDESNIAYVLASYSSHDQLSLDAGSKLLLSVVEPGAKELCAHMLATKGSKDQINPDANNELLYKVMKAKSVSQHDVHKTKCAIMLPTEGRDNSENIYAGGELLLRTLRLRSQHVAKILSNGESSIEMGEDM
+>sp|P54802|ANAG_HUMAN Alpha-N-acetylglucosaminidase OS=Homo sapiens OX=9606 GN=NAGLU PE=1 SV=2
+MEAVAVAAAVGVLLLAGAGGAAGDEAREAAAVRALVARLLGPGPAADFSVSVERALAAKPGLDTYSLGGGGAARVRVRGSTGVAAAAGLHRYLRDFCGCHVAWSGSQLRLPRPLPAVPGELTEATPNRYRYYQNVCTQSYSFVWWDWARWEREIDWMALNGINLALAWSGQEAIWQRVYLALGLTQAEINEFFTGPAFLAWGRMGNLHTWDGPLPPSWHIKQLYLQHRVLDQMRSFGMTPVLPAFAGHVPEAVTRVFPQVNVTKMGSWGHFNCSYSCSFLLAPEDPIFPIIGSLFLRELIKEFGTDHIYGADTFNEMQPPSSEPSYLAAATTAVYEAMTAVDTEAVWLLQGWLFQHQPQFWGPAQIRAVLGAVPRGRLLVLDLFAESQPVYTRTASFQGQPFIWCMLHNFGGNHGLFGALEAVNGGPEAARLFPNSTMVGTGMAPEGISQNEVVYSLMAELGWRKDPVPDLAAWVTSFAARRYGVSHPDAGAAWRLLLRSVYNCSGEACRGHNRSPLVRRPSLQMNTSIWYNRSDVFEAWRLLLTSAPSLATSPAFRYDLLDLTRQAVQELVSLYYEEARSAYLSKELASLLRAGGVLAYELLPALDEVLASDSRFLLGSWLEQARAAAVSEAEADFYEQNSRYQLTLWGPEGNILDYANKQLAGLVANYYTPRWRLFLEALVDSVAQGIPFQQHQFDKNVFQLEQAFVLSKQRYPSQPRGDTVDLAKKIFLKYYPRWVAGSW
+>DECOY_sp|P54802|ANAG_HUMAN Alpha-N-acetylglucosaminidase OS=Homo sapiens OX=9606 GN=NAGLU PE=1 SV=2
+WSGAVWRPYYKLFIKKALDVTDGRPQSPYRQKSLVFAQELQFVNKDFQHQQFPIGQAVSDVLAELFLRWRPTYYNAVLGALQKNAYDLINGEPGWLTLQYRSNQEYFDAEAESVAAARAQELWSGLLFRSDSALVEDLAPLLEYALVGGARLLSALEKSLYASRAEEYYLSVLEQVAQRTLDLLDYRFAPSTALSPASTLLLRWAEFVDSRNYWISTNMQLSPRRVLPSRNHGRCAEGSCNYVSRLLLRWAAGADPHSVGYRRAAFSTVWAALDPVPDKRWGLEAMLSYVVENQSIGEPAMGTGVMTSNPFLRAAEPGGNVAELAGFLGHNGGFNHLMCWIFPQGQFSATRTYVPQSEAFLDLVLLRGRPVAGLVARIQAPGWFQPQHQFLWGQLLWVAETDVATMAEYVATTAAALYSPESSPPQMENFTDAGYIHDTGFEKILERLFLSGIIPFIPDEPALLFSCSYSCNFHGWSGMKTVNVQPFVRTVAEPVHGAFAPLVPTMGFSRMQDLVRHQLYLQKIHWSPPLPGDWTHLNGMRGWALFAPGTFFENIEAQTLGLALYVRQWIAEQGSWALALNIGNLAMWDIEREWRAWDWWVFSYSQTCVNQYYRYRNPTAETLEGPVAPLPRPLRLQSGSWAVHCGCFDRLYRHLGAAAAVGTSGRVRVRAAGGGGLSYTDLGPKAALAREVSVSFDAAPGPGLLRAVLARVAAAERAEDGAAGGAGALLLVGVAAAVAVAEM
+>sp|Q9UJX6|ANC2_HUMAN Anaphase-promoting complex subunit 2 OS=Homo sapiens OX=9606 GN=ANAPC2 PE=1 SV=1
+MAAAVVVAEGDSDSRPGQELLVAWNTVSTGLVPPAALGLVSSRTSGAVPPKEEELRAAVEVLRGHGLHSVLEEWFVEVLQNDLQANISPEFWNAISQCENSADEPQCLLLLLDAFGLLESRLDPYLRSLELLEKWTRLGLLMGTGAQGLREEVHTMLRGVLFFSTPRTFQEMIQRLYGCFLRVYMQSKRKGEGGTDPELEGELDSRYARRRYYRLLQSPLCAGCSSDKQQCWCRQALEQFHQLSQVLHRLSLLERVSAEAVTTTLHQVTRERMEDRCRGEYERSFLREFHKWIERVVGWLGKVFLQDGPARPASPEAGNTLRRWRCHVQRFFYRIYASLRIEELFSIVRDFPDSRPAIEDLKYCLERTDQRQQLLVSLKAALETRLLHPGVNTCDIITLYISAIKALRVLDPSMVILEVACEPIRRYLRTREDTVRQIVAGLTGDSDGTGDLAVELSKTDPASLETGQDSEDDSGEPEDWVPDPVDADPGKSSSKRRSSDIISLLVSIYGSKDLFINEYRSLLADRLLHQFSFSPEREIRNVELLKLRFGEAPMHFCEVMLKDMADSRRINANIREEDEKRPAEEQPPFGVYAVILSSEFWPPFKDEKLEVPEDIRAALEAYCKKYEQLKAMRTLSWKHTLGLVTMDVELADRTLSVAVTPVQAVILLYFQDQASWTLEELSKAVKMPVALLRRRMSVWLQQGVLREEPPGTFSVIEEERPQDRDNMVLIDSDDESDSGMASQADQKEEELLLFWTYIQAMLTNLESLSLDRIYNMLRMFVVTGPALAEIDLQELQGYLQKKVRDQQLVYSAGVYRLPKNCS
+>DECOY_sp|Q9UJX6|ANC2_HUMAN Anaphase-promoting complex subunit 2 OS=Homo sapiens OX=9606 GN=ANAPC2 PE=1 SV=1
+SCNKPLRYVGASYVLQQDRVKKQLYGQLEQLDIEALAPGTVVFMRLMNYIRDLSLSELNTLMAQIYTWFLLLEEEKQDAQSAMGSDSEDDSDILVMNDRDQPREEEIVSFTGPPEERLVGQQLWVSMRRRLLAVPMKVAKSLEELTWSAQDQFYLLIVAQVPTVAVSLTRDALEVDMTVLGLTHKWSLTRMAKLQEYKKCYAELAARIDEPVELKEDKFPPWFESSLIVAYVGFPPQEEAPRKEDEERINANIRRSDAMDKLMVECFHMPAEGFRLKLLEVNRIEREPSFSFQHLLRDALLSRYENIFLDKSGYISVLLSIIDSSRRKSSSKGPDADVPDPVWDEPEGSDDESDQGTELSAPDTKSLEVALDGTGDSDGTLGAVIQRVTDERTRLYRRIPECAVELIVMSPDLVRLAKIASIYLTIIDCTNVGPHLLRTELAAKLSVLLQQRQDTRELCYKLDEIAPRSDPFDRVISFLEEIRLSAYIRYFFRQVHCRWRRLTNGAEPSAPRAPGDQLFVKGLWGVVREIWKHFERLFSREYEGRCRDEMRERTVQHLTTTVAEASVRELLSLRHLVQSLQHFQELAQRCWCQQKDSSCGACLPSQLLRYYRRRAYRSDLEGELEPDTGGEGKRKSQMYVRLFCGYLRQIMEQFTRPTSFFLVGRLMTHVEERLGQAGTGMLLGLRTWKELLELSRLYPDLRSELLGFADLLLLLCQPEDASNECQSIANWFEPSINAQLDNQLVEVFWEELVSHLGHGRLVEVAARLEEEKPPVAGSTRSSVLGLAAPPVLGTSVTNWAVLLEQGPRSDSDGEAVVVAAAM
+>sp|Q9P2S6|ANKY1_HUMAN Ankyrin repeat and MYND domain-containing protein 1 OS=Homo sapiens OX=9606 GN=ANKMY1 PE=2 SV=2
+MYQGEFGLNMKLGYGKFSWPTGESYHGQFYRDHCHGLGTYMWPDGSSFTGTFYLSHREGYGTMYMKTRLFQGLYKADQRFGPGVETYPDGSQDVGLWFREQLIKLCTQIPSGFSLLRYPEFSSFITHSPARISLSEEEKTEWGLQEGQDPFFYDYKRFLLNDNLTLPPEMYVYSTNSDHLPMTSSFRKELDARIFLNEIPPFVEDGEPWFIINETPLLVKIQKQTYKFRNKPAHTSWNMGAILEGKRSGFAPCGPKEQLSMEMILKAEEGNHEWICRILKDNFASADVADAKGYTVLAAAATHCHNDIVNLLLDCGADVNKCSDEGLTALSMCFLLHYPAQSFKPNVAERTIPEPQEPPKFPVVPILSSSFMDTNLESLYYEVNVPSQGSYELRPPPAPLLLPRVSGSHEGGHFQDTGQCGGSIDHRSSSLKGDSPLVKGSLGHVESGLEDVLGNTDRGSLCSAETKFESNVCVCDFSIELSQAMLERSAQSHSLLKMASPSPCTSSFDKGTMRRMALSMIERRKRWRTIKLLLRRGADPNLCCVPMQVLFLAVKAGDVDGVRLLLEHGARTDICFPPQLSTLTPLHIAAALPGEEGVQIVELLLHAITDVDAKASDEDDTYKPGKLDLLPSSLKLSNEPGPPQAYYSTDTALPEEGGRTALHMACEREDDNKCARDIVRLLLSHGANPNLLWSGHSPLSLSIASGNELVVKELLTQGADPNLPLTKGLGSALCVACDLTYEHQRNMDSKLALIDRLISHGADILKPVMLRQGEKEAVGTAVDYGYFRFFQDRRIARCPFHTLMPAERETFLARKRLLEYMGLQLRQAVFAKESQWDPTWLYLCKRAELIPSHRMKKKGPSLPRGLDVKEQGQIPFFKFCYQCGRSIGVRLLPCPRCYGILTCSKYCKTKAWTEFHKKDCGDLVAIVTQLEQVSRRREEFQ
+>DECOY_sp|Q9P2S6|ANKY1_HUMAN Ankyrin repeat and MYND domain-containing protein 1 OS=Homo sapiens OX=9606 GN=ANKMY1 PE=2 SV=2
+QFEERRRSVQELQTVIAVLDGCDKKHFETWAKTKCYKSCTLIGYCRPCPLLRVGISRGCQYCFKFFPIQGQEKVDLGRPLSPGKKKMRHSPILEARKCLYLWTPDWQSEKAFVAQRLQLGMYELLRKRALFTEREAPMLTHFPCRAIRRDQFFRFYGYDVATGVAEKEGQRLMVPKLIDAGHSILRDILALKSDMNRQHEYTLDCAVCLASGLGKTLPLNPDAGQTLLEKVVLENGSAISLSLPSHGSWLLNPNAGHSLLLRVIDRACKNDDERECAMHLATRGGEEPLATDTSYYAQPPGPENSLKLSSPLLDLKGPKYTDDEDSAKADVDTIAHLLLEVIQVGEEGPLAAAIHLPTLTSLQPPFCIDTRAGHELLLRVGDVDGAKVALFLVQMPVCCLNPDAGRRLLLKITRWRKRREIMSLAMRRMTGKDFSSTCPSPSAMKLLSHSQASRELMAQSLEISFDCVCVNSEFKTEASCLSGRDTNGLVDELGSEVHGLSGKVLPSDGKLSSSRHDISGGCQGTDQFHGGEHSGSVRPLLLPAPPPRLEYSGQSPVNVEYYLSELNTDMFSSSLIPVVPFKPPEQPEPITREAVNPKFSQAPYHLLFCMSLATLGEDSCKNVDAGCDLLLNVIDNHCHTAAAALVTYGKADAVDASAFNDKLIRCIWEHNGEEAKLIMEMSLQEKPGCPAFGSRKGELIAGMNWSTHAPKNRFKYTQKQIKVLLPTENIIFWPEGDEVFPPIENLFIRADLEKRFSSTMPLHDSNTSYVYMEPPLTLNDNLLFRKYDYFFPDQGEQLGWETKEEESLSIRAPSHTIFSSFEPYRLLSFGSPIQTCLKILQERFWLGVDQSGDPYTEVGPGFRQDAKYLGQFLRTKMYMTGYGERHSLYFTGTFSSGDPWMYTGLGHCHDRYFQGHYSEGTPWSFKGYGLKMNLGFEGQYM
+>sp|Q9H8Y5|ANKZ1_HUMAN Ankyrin repeat and zinc finger domain-containing protein 1 OS=Homo sapiens OX=9606 GN=ANKZF1 PE=1 SV=1
+MSPAPDAAPAPASISLFDLSADAPVFQGLSLVSHAPGEALARAPRTSCSGSGERESPERKLLQGPMDISEKLFCSTCDQTFQNHQEQREHYKLDWHRFNLKQRLKDKPLLSALDFEKQSSTGDLSSISGSEDSDSASEEDLQTLDRERATFEKLSRPPGFYPHRVLFQNAQGQFLYAYRCVLGPHQDPPEEAELLLQNLQSRGPRDCVVLMAAAGHFAGAIFQGREVVTHKTFHRYTVRAKRGTAQGLRDARGGPSHSAGANLRRYNEATLYKDVRDLLAGPSWAKALEEAGTILLRAPRSGRSLFFGGKGAPLQRGDPRLWDIPLATRRPTFQELQRVLHKLTTLHVYEEDPREAVRLHSPQTHWKTVREERKKPTEEEIRKICRDEKEALGQNEESPKQGSGSEGEDGFQVELELVELTVGTLDLCESEVLPKRRRRKRNKKEKSRDQEAGAHRTLLQQTQEEEPSTQSSQAVAAPLGPLLDEAKAPGQPELWNALLAACRAGDVGVLKLQLAPSPADPRVLSLLSAPLGSGGFTLLHAAAAAGRGSVVRLLLEAGADPTVQDSRARPPYTVAADKSTRNEFRRFMEKNPDAYDYNKAQVPGPLTPEMEARQATRKREQKAARRQREEQQQRQQEQEEREREEQRRFAALSDREKRALAAERRLAAQLGAPTSPIPDSAIVNTRRCWSCGASLQGLTPFHYLDFSFCSTRCLQDHRRQAGRPSS
+>DECOY_sp|Q9H8Y5|ANKZ1_HUMAN Ankyrin repeat and zinc finger domain-containing protein 1 OS=Homo sapiens OX=9606 GN=ANKZF1 PE=1 SV=1
+SSPRGAQRRHDQLCRTSCFSFDLYHFPTLGQLSAGCSWCRRTNVIASDPIPSTPAGLQAALRREAALARKERDSLAAFRRQEEREREEQEQQRQQQEERQRRAAKQERKRTAQRAEMEPTLPGPVQAKNYDYADPNKEMFRRFENRTSKDAAVTYPPRARSDQVTPDAGAELLLRVVSGRGAAAAAHLLTFGGSGLPASLLSLVRPDAPSPALQLKLVGVDGARCAALLANWLEPQGPAKAEDLLPGLPAAVAQSSQTSPEEEQTQQLLTRHAGAEQDRSKEKKNRKRRRRKPLVESECLDLTGVTLEVLELEVQFGDEGESGSGQKPSEENQGLAEKEDRCIKRIEEETPKKREERVTKWHTQPSHLRVAERPDEEYVHLTTLKHLVRQLEQFTPRRTALPIDWLRPDGRQLPAGKGGFFLSRGSRPARLLITGAEELAKAWSPGALLDRVDKYLTAENYRRLNAGASHSPGGRADRLGQATGRKARVTYRHFTKHTVVERGQFIAGAFHGAAAMLVVCDRPGRSQLNQLLLEAEEPPDQHPGLVCRYAYLFQGQANQFLVRHPYFGPPRSLKEFTARERDLTQLDEESASDSDESGSISSLDGTSSQKEFDLASLLPKDKLRQKLNFRHWDLKYHERQEQHNQFTQDCTSCFLKESIDMPGQLLKREPSEREGSGSCSTRPARALAEGPAHSVLSLGQFVPADASLDFLSISAPAPAADPAPSM
+>sp|Q9NQW6|ANLN_HUMAN Anillin OS=Homo sapiens OX=9606 GN=ANLN PE=1 SV=2
+MDPFTEKLLERTRARRENLQRKMAERPTAAPRSMTHAKRARQPLSEASNQQPLSGGEEKSCTKPSPSKKRCSDNTEVEVSNLENKQPVESTSAKSCSPSPVSPQVQPQAADTISDSVAVPASLLGMRRGLNSRLEATAASSVKTRMQKLAEQRRRWDNDDMTDDIPESSLFSPMPSEEKAASPPRPLLSNASATPVGRRGRLANLAATICSWEDDVNHSFAKQNSVQEQPGTACLSKFSSASGASARINSSSVKQEATFCSQRDGDASLNKALSSSADDASLVNASISSSVKATSPVKSTTSITDAKSCEGQNPELLPKTPISPLKTGVSKPIVKSTLSQTVPSKGELSREICLQSQSKDKSTTPGGTGIKPFLERFGERCQEHSKESPARSTPHRTPIITPNTKAIQERLFKQDTSSSTTHLAQQLKQERQKELACLRGRFDKGNIWSAEKGGNSKSKQLETKQETHCQSTPLKKHQGVSKTQSLPVTEKVTENQIPAKNSSTEPKGFTECEMTKSSPLKITLFLEEDKSLKVTSDPKVEQKIEVIREIEMSVDDDDINSSKVINDLFSDVLEEGELDMEKSQEEMDQALAESSEEQEDALNISSMSLLAPLAQTVGVVSPESLVSTPRLELKDTSRSDESPKPGKFQRTRVPRAESGDSLGSEDRDLLYSIDAYRSQRFKETERPSIKQVIVRKEDVTSKLDEKNNAFPCQVNIKQKMQELNNEINMQQTVIYQASQALNCCVDEEHGKGSLEEAEAERLLLIATGKRTLLIDELNKLKNEGPQRKNKASPQSEFMPSKGSVTLSEIRLPLKADFVCSTVQKPDAANYYYLIILKAGAENMVATPLASTSNSLNGDALTFTTTFTLQDVSNDFEINIEVYSLVQKKDPSGLDKKKKTSKSKAITPKRLLTSITTKSNIHSSVMASPGGLSAVRTSNFALVGSYTLSLSSVGNTKFVLDKVPFLSSLEGHIYLKIKCQVNSSVEERGFLTIFEDVSGFGAWHRRWCVLSGNCISYWTYPDDEKRKNPIGRINLANCTSRQIEPANREFCARRNTFELITVRPQREDDRETLVSQCRDTLCVTKNWLSADTKEERDLWMQKLNQVLVDIRLWQPDACYKPIGKP
+>DECOY_sp|Q9NQW6|ANLN_HUMAN Anillin OS=Homo sapiens OX=9606 GN=ANLN PE=1 SV=2
+PKGIPKYCADPQWLRIDVLVQNLKQMWLDREEKTDASLWNKTVCLTDRCQSVLTERDDERQPRVTILEFTNRRACFERNAPEIQRSTCNALNIRGIPNKRKEDDPYTWYSICNGSLVCWRRHWAGFGSVDEFITLFGREEVSSNVQCKIKLYIHGELSSLFPVKDLVFKTNGVSSLSLTYSGVLAFNSTRVASLGGPSAMVSSHINSKTTISTLLRKPTIAKSKSTKKKKDLGSPDKKQVLSYVEINIEFDNSVDQLTFTTTFTLADGNLSNSTSALPTAVMNEAGAKLIILYYYNAADPKQVTSCVFDAKLPLRIESLTVSGKSPMFESQPSAKNKRQPGENKLKNLEDILLTRKGTAILLLREAEAEELSGKGHEEDVCCNLAQSAQYIVTQQMNIENNLEQMKQKINVQCPFANNKEDLKSTVDEKRVIVQKISPRETEKFRQSRYADISYLLDRDESGLSDGSEARPVRTRQFKGPKPSEDSRSTDKLELRPTSVLSEPSVVGVTQALPALLSMSSINLADEQEESSEALAQDMEEQSKEMDLEGEELVDSFLDNIVKSSNIDDDDVSMEIERIVEIKQEVKPDSTVKLSKDEELFLTIKLPSSKTMECETFGKPETSSNKAPIQNETVKETVPLSQTKSVGQHKKLPTSQCHTEQKTELQKSKSNGGKEASWINGKDFRGRLCALEKQREQKLQQALHTTSSSTDQKFLREQIAKTNPTIIPTRHPTSRAPSEKSHEQCREGFRELFPKIGTGGPTTSKDKSQSQLCIERSLEGKSPVTQSLTSKVIPKSVGTKLPSIPTKPLLEPNQGECSKADTISTTSKVPSTAKVSSSISANVLSADDASSSLAKNLSADGDRQSCFTAEQKVSSSNIRASAGSASSFKSLCATGPQEQVSNQKAFSHNVDDEWSCITAALNALRGRRGVPTASANSLLPRPPSAAKEESPMPSFLSSEPIDDTMDDNDWRRRQEALKQMRTKVSSAATAELRSNLGRRMGLLSAPVAVSDSITDAAQPQVQPSVPSPSCSKASTSEVPQKNELNSVEVETNDSCRKKSPSPKTCSKEEGGSLPQQNSAESLPQRARKAHTMSRPAATPREAMKRQLNERRARTRELLKETFPDM
+>sp|O60678|ANM3_HUMAN Protein arginine N-methyltransferase 3 OS=Homo sapiens OX=9606 GN=PRMT3 PE=1 SV=4
+MCSLASGATGGRGAVENEEDLPELSDSGDEAAWEDEDDADLPHGKQQTPCLFCNRLFTSAEETFSHCKSEHQFNIDSMVHKHGLEFYGYIKLINFIRLKNPTVEYMNSIYNPVPWEKEEYLKPVLEDDLLLQFDVEDLYEPVSVPFSYPNGLSENTSVVEKLKHMEARALSAEAALARAREDLQKMKQFAQDFVMHTDVRTCSSSTSVIADLQEDEDGVYFSSYGHYGIHEEMLKDKIRTESYRDFIYQNPHIFKDKVVLDVGCGTGILSMFAAKAGAKKVLGVDQSEILYQAMDIIRLNKLEDTITLIKGKIEEVHLPVEKVDVIISEWMGYFLLFESMLDSVLYAKNKYLAKGGSVYPDICTISLVAVSDVNKHADRIAFWDDVYGFKMSCMKKAVIPEAVVEVLDPKTLISEPCGIKHIDCHTTSISDLEFSSDFTLKITRTSMCTAIAGYFDIYFEKNCHNRVVFSTGPQSTKTHWKQTVFLLEKPFSVKAGEALKGKVTVHKNKKDPRSLTVTLTLNNSTQTYGLQ
+>DECOY_sp|O60678|ANM3_HUMAN Protein arginine N-methyltransferase 3 OS=Homo sapiens OX=9606 GN=PRMT3 PE=1 SV=4
+QLGYTQTSNNLTLTVTLSRPDKKNKHVTVKGKLAEGAKVSFPKELLFVTQKWHTKTSQPGTSFVVRNHCNKEFYIDFYGAIATCMSTRTIKLTFDSSFELDSISTTHCDIHKIGCPESILTKPDLVEVVAEPIVAKKMCSMKFGYVDDWFAIRDAHKNVDSVAVLSITCIDPYVSGGKALYKNKAYLVSDLMSEFLLFYGMWESIIVDVKEVPLHVEEIKGKILTITDELKNLRIIDMAQYLIESQDVGLVKKAGAKAAFMSLIGTGCGVDLVVKDKFIHPNQYIFDRYSETRIKDKLMEEHIGYHGYSSFYVGDEDEQLDAIVSTSSSCTRVDTHMVFDQAFQKMKQLDERARALAAEASLARAEMHKLKEVVSTNESLGNPYSFPVSVPEYLDEVDFQLLLDDELVPKLYEEKEWPVPNYISNMYEVTPNKLRIFNILKIYGYFELGHKHVMSDINFQHESKCHSFTEEASTFLRNCFLCPTQQKGHPLDADDEDEWAAEDGSDSLEPLDEENEVAGRGGTAGSALSCM
+>sp|Q6IWH7|ANO7_HUMAN Anoctamin-7 OS=Homo sapiens OX=9606 GN=ANO7 PE=1 SV=2
+MRMAATAWAGLQGPPLPTLCPAVRTGLYCRDQAHAERWAMTSETSSGSHCARSRMLRRRAQEEDSTVLIDVSPPEAEKRGSYGSTAHASEPGGQQAAACRAGSPAKPRIADFVLVWEEDLKLDRQQDSAARDRTDMHRTWRETFLDNLRAAGLCVDQQDVQDGNTTVHYALLSASWAVLCYYAEDLRLKLPLQELPNQASNWSAGLLAWLGIPNVLLEVVPDVPPEYYSCRFRVNKLPRFLGSDNQDTFFTSTKRHQILFEILAKTPYGHEKKNLLGIHQLLAEGVLSAAFPLHDGPFKTPPEGPQAPRLNQRQVLFQHWARWGKWNKYQPLDHVRRYFGEKVALYFAWLGFYTGWLLPAAVVGTLVFLVGCFLVFSDIPTQELCGSKDSFEMCPLCLDCPFWLLSSACALAQAGRLFDHGGTVFFSLFMALWAVLLLEYWKRKSATLAYRWDCSDYEDTEERPRPQFAASAPMTAPNPITGEDEPYFPERSRARRMLAGSVVIVVMVAVVVMCLVSIILYRAIMAIVVSRSGNTLLAAWASRIASLTGSVVNLVFILILSKIYVSLAHVLTRWEMHRTQTKFEDAFTLKVFIFQFVNFYSSPVYIAFFKGRFVGYPGNYHTLFGVRNEECAAGGCLIELAQELLVIMVGKQVINNMQEVLIPKLKGWWQKFRLRSKKRKAGASAGASQGPWEDDYELVPCEGLFDEYLEMVLQFGFVTIFVAACPLAPLFALLNNWVEIRLDARKFVCEYRRPVAERAQDIGIWFHILAGLTHLAVISNAFLLAFSSDFLPRAYYRWTRAHDLRGFLNFTLARAPSSFAAAHNRTCRYRAFRDDDGHYSQTYWNLLAIRLAFVIVFEHVVFSVGRLLDLLVPDIPESVEIKVKREYYLAKQALAENEVLFGTNGTKDEQPEGSELSSHWTPFTVPKASQLQQ
+>DECOY_sp|Q6IWH7|ANO7_HUMAN Anoctamin-7 OS=Homo sapiens OX=9606 GN=ANO7 PE=1 SV=2
+QQLQSAKPVTFPTWHSSLESGEPQEDKTGNTGFLVENEALAQKALYYERKVKIEVSEPIDPVLLDLLRGVSFVVHEFVIVFALRIALLNWYTQSYHGDDDRFARYRCTRNHAAAFSSPARALTFNLFGRLDHARTWRYYARPLFDSSFALLFANSIVALHTLGALIHFWIGIDQAREAVPRRYECVFKRADLRIEVWNNLLAFLPALPCAAVFITVFGFQLVMELYEDFLGECPVLEYDDEWPGQSAGASAGAKRKKSRLRFKQWWGKLKPILVEQMNNIVQKGVMIVLLEQALEILCGGAACEENRVGFLTHYNGPYGVFRGKFFAIYVPSSYFNVFQFIFVKLTFADEFKTQTRHMEWRTLVHALSVYIKSLILIFVLNVVSGTLSAIRSAWAALLTNGSRSVVIAMIARYLIISVLCMVVVAVMVVIVVSGALMRRARSREPFYPEDEGTIPNPATMPASAAFQPRPREETDEYDSCDWRYALTASKRKWYELLLVAWLAMFLSFFVTGGHDFLRGAQALACASSLLWFPCDLCLPCMEFSDKSGCLEQTPIDSFVLFCGVLFVLTGVVAAPLLWGTYFGLWAFYLAVKEGFYRRVHDLPQYKNWKGWRAWHQFLVQRQNLRPAQPGEPPTKFPGDHLPFAASLVGEALLQHIGLLNKKEHGYPTKALIEFLIQHRKTSTFFTDQNDSGLFRPLKNVRFRCSYYEPPVDPVVELLVNPIGLWALLGASWNSAQNPLEQLPLKLRLDEAYYCLVAWSASLLAYHVTTNGDQVDQQDVCLGAARLNDLFTERWTRHMDTRDRAASDQQRDLKLDEEWVLVFDAIRPKAPSGARCAAAQQGGPESAHATSGYSGRKEAEPPSVDILVTSDEEQARRRLMRSRACHSGSSTESTMAWREAHAQDRCYLGTRVAPCLTPLPPGQLGAWATAAMRM
+>sp|Q9H560|ANR19_HUMAN Putative ankyrin repeat domain-containing protein 19 OS=Homo sapiens OX=9606 GN=ANKRD19P PE=5 SV=1
+MRKLFSFGRRLGQALLDSMDQEYAGRGYHIRDWELRKIHRAAIKGDAAEVEHCLTRRFRDLDARDRKDRTVLHLTCAHGRVEVVTLLLSRRCQINIYDRLNRTPLMKAVHCQEEACAIILLEHGANPNIKDIYSNTALHYAVYNKGTSLAEKLLSHHANIEALNEEGNTPLLFAINSRRQQIVEFLLKNQANLHAIDNFRRTALMLAVQHNSSSIVSLLLQQNINIFSQDLFGQTAEDYAVCYNFRSIQQQILEHKNKILKSHL
+>DECOY_sp|Q9H560|ANR19_HUMAN Putative ankyrin repeat domain-containing protein 19 OS=Homo sapiens OX=9606 GN=ANKRD19P PE=5 SV=1
+LHSKLIKNKHELIQQQISRFNYCVAYDEATQGFLDQSFININQQLLLSVISSSNHQVALMLATRRFNDIAHLNAQNKLLFEVIQQRRSNIAFLLPTNGEENLAEINAHHSLLKEALSTGKNYVAYHLATNSYIDKINPNAGHELLIIACAEEQCHVAKMLPTRNLRDYINIQCRRSLLLTVVEVRGHACTLHLVTRDKRDRADLDRFRRTLCHEVEAADGKIAARHIKRLEWDRIHYGRGAYEQDMSDLLAQGLRRGFSFLKRM
+>sp|Q86SG2|ANR23_HUMAN Ankyrin repeat domain-containing protein 23 OS=Homo sapiens OX=9606 GN=ANKRD23 PE=1 SV=1
+MDFISIQQLVSGERVEGKVLGFGHGVPDPGAWPSDWRRGPQEAVAREKLKLEEEKKKKLERFNSTRFNLDNLADLENLVQRRKKRLRHRVPPRKPEPLVKPQSQAQVEPVGLEMFLKAAAENQEYLIDKYLTDGGDPNAHDKLHRTALHWACLKGHSQLVNKLLVAGATVDARDLLDRTPVFWACRGGHLVILKQLLNQGARVNARDKIGSTPLHVAVRTRHPDCLEHLIECGAHLNAQDKEGDTALHEAVRHGSYKAMKLLLLYGAELGVRNAASVTPVQLARDWQRGIREALQAHVAHPRTRC
+>DECOY_sp|Q86SG2|ANR23_HUMAN Ankyrin repeat domain-containing protein 23 OS=Homo sapiens OX=9606 GN=ANKRD23 PE=1 SV=1
+CRTRPHAVHAQLAERIGRQWDRALQVPTVSAANRVGLEAGYLLLLKMAKYSGHRVAEHLATDGEKDQANLHAGCEILHELCDPHRTRVAVHLPTSGIKDRANVRAGQNLLQKLIVLHGGRCAWFVPTRDLLDRADVTAGAVLLKNVLQSHGKLCAWHLATRHLKDHANPDGGDTLYKDILYEQNEAAAKLFMELGVPEVQAQSQPKVLPEPKRPPVRHRLRKKRRQVLNELDALNDLNFRTSNFRELKKKKEEELKLKERAVAEQPGRRWDSPWAGPDPVGHGFGLVKGEVREGSVLQQISIFDM
+>sp|Q3KP44|ANR55_HUMAN Ankyrin repeat domain-containing protein 55 OS=Homo sapiens OX=9606 GN=ANKRD55 PE=1 SV=3
+MMRQATMDFSTPSVFDQQRGDSSEEVDLTMVYQAASNGDVNALTAVIREDPSILECCDSEGCTPLMHAVSGRQADTVKLLLKMGANINMQDAYGRTSLCLATYLGWLEGCVSLLRNGAKHNIPDKNGRLPLHAATAEPDMRLLTVLLQQSNISEINHQDNEGMTPLHWAAFHNQPQHTQMLLKKGADPTLVDKDFKTALHWAVQSGNRILCSIILSHHQGPSIINYDDESGKTCVHIAAAAGFSDIIHELARVPECNLQALDVDDRTPLHWAAAAGKAECVQSLLELGMDSNLRDINESTPLAYALYCGHTACVKLLSQESRTEPTRPPPSQSSRPQKKERRFNVLNQIFCKNKKEEQRAHQKDPSRDRYREEDTSEVNDIITTFDSIVGTNCQEQPGDQVAMVEFKKKTSDNSKYLLPEKKPLARKGLPPIRTQSLPPITLGNNFLTASHRATSHAGLSSAPHHMAQRSQKSRSEQDLLNNRTGCQMLLDNPWKSDSNQVFSYKVWTVSSSDKLLDRLLSVRPGHQEVSVPPHLRHLHNPSSGQNFQHLSPNRHKIRDLPFTRNNLAPLPDQKFLSGEPLRTNRVLPAIPSQRRHSTAAEESEHSANPTSDEN
+>DECOY_sp|Q3KP44|ANR55_HUMAN Ankyrin repeat domain-containing protein 55 OS=Homo sapiens OX=9606 GN=ANKRD55 PE=1 SV=3
+NEDSTPNASHESEEAATSHRRQSPIAPLVRNTRLPEGSLFKQDPLPALNNRTFPLDRIKHRNPSLHQFNQGSSPNHLHRLHPPVSVEQHGPRVSLLRDLLKDSSSVTWVKYSFVQNSDSKWPNDLLMQCGTRNNLLDQESRSKQSRQAMHHPASSLGAHSTARHSATLFNNGLTIPPLSQTRIPPLGKRALPKKEPLLYKSNDSTKKKFEVMAVQDGPQEQCNTGVISDFTTIIDNVESTDEERYRDRSPDKQHARQEEKKNKCFIQNLVNFRREKKQPRSSQSPPPRTPETRSEQSLLKVCATHGCYLAYALPTSENIDRLNSDMGLELLSQVCEAKGAAAAWHLPTRDDVDLAQLNCEPVRALEHIIDSFGAAAAIHVCTKGSEDDYNIISPGQHHSLIISCLIRNGSQVAWHLATKFDKDVLTPDAGKKLLMQTHQPQNHFAAWHLPTMGENDQHNIESINSQQLLVTLLRMDPEATAAHLPLRGNKDPINHKAGNRLLSVCGELWGLYTALCLSTRGYADQMNINAGMKLLLKVTDAQRGSVAHMLPTCGESDCCELISPDERIVATLANVDGNSAAQYVMTLDVEESSDGRQQDFVSPTSFDMTAQRMM
+>sp|B4E2M5|ANR66_HUMAN Ankyrin repeat domain-containing protein 66 OS=Homo sapiens OX=9606 GN=ANKRD66 PE=2 SV=2
+MDTTLRMVRTACQHRAPQISHKTGCSHISMHSPGGLTTTKMAGPLPRVSDSLFSAMELAKMSDMTKLHQAVAAGDYSLVKKILKKGLCDPNYKDVDWNDRTPLHWAAIKGQMEVIRLLIEYGARPCLVTSVGWTPAHFAAEAGHLNILKTLHALHAAIDAPDFFGDTPKRIAQIYGQKACVAFLEKAEPECQDHRCAAQQKGLPLDERDEDWDAKKRELELSLPSLNQNMNKKNKKSRGPTRPSNTKGRRV
+>DECOY_sp|B4E2M5|ANR66_HUMAN Ankyrin repeat domain-containing protein 66 OS=Homo sapiens OX=9606 GN=ANKRD66 PE=2 SV=2
+VRRGKTNSPRTPGRSKKNKKNMNQNLSPLSLELERKKADWDEDREDLPLGKQQAACRHDQCEPEAKELFAVCAKQGYIQAIRKPTDGFFDPADIAAHLAHLTKLINLHGAEAAFHAPTWGVSTVLCPRAGYEILLRIVEMQGKIAAWHLPTRDNWDVDKYNPDCLGKKLIKKVLSYDGAAVAQHLKTMDSMKALEMASFLSDSVRPLPGAMKTTTLGGPSHMSIHSCGTKHSIQPARHQCATRVMRLTTDM
+>sp|Q9H9E1|ANRA2_HUMAN Ankyrin repeat family A protein 2 OS=Homo sapiens OX=9606 GN=ANKRA2 PE=1 SV=1
+MDTSTNLDIGAQLIVEECPSTYSLTGMPDIKIEHPLDPNSEEGSAQGVAMGMKFILPNRFDMNVCSRFVKSLNEEDSKNIQDQVNSDLEVASVLFKAECNIHTSPSPGIQVRHVYTPSTTKHFSPIKQSTTLTNKHRGNEVSTTPLLANSLSVHQLAAQGEMLYLATRIEQENVINHTDEEGFTPLMWAAAHGQIAVVEFLLQNGADPQLLGKGRESALSLACSKGYTDIVKMLLDCGVDVNEYDWNGGTPLLYAVHGNHVKCVKMLLESGADPTIETDSGYNSMDLAVALGYRSVQQVIESHLLKLLQNIKE
+>DECOY_sp|Q9H9E1|ANRA2_HUMAN Ankyrin repeat family A protein 2 OS=Homo sapiens OX=9606 GN=ANKRA2 PE=1 SV=1
+EKINQLLKLLHSEIVQQVSRYGLAVALDMSNYGSDTEITPDAGSELLMKVCKVHNGHVAYLLPTGGNWDYENVDVGCDLLMKVIDTYGKSCALSLASERGKGLLQPDAGNQLLFEVVAIQGHAAAWMLPTFGEEDTHNIVNEQEIRTALYLMEGQAALQHVSLSNALLPTTSVENGRHKNTLTTSQKIPSFHKTTSPTYVHRVQIGPSPSTHINCEAKFLVSAVELDSNVQDQINKSDEENLSKVFRSCVNMDFRNPLIFKMGMAVGQASGEESNPDLPHEIKIDPMGTLSYTSPCEEVILQAGIDLNTSTDM
+>sp|Q92625|ANS1A_HUMAN Ankyrin repeat and SAM domain-containing protein 1A OS=Homo sapiens OX=9606 GN=ANKS1A PE=1 SV=4
+MGKEQELLEAARTGHLPAVEKLLSGKRLSSGFGGGGGGGSGGGGGGSGGGGGGLGSSSHPLSSLLSMWRGPNVNCVDSTGYTPLHHAALNGHKDVVEVLLRNDALTNVADSKGCYPLHLAAWKGDAQIVRLLIHQGPSHTRVNEQNNDNETALHCAAQYGHTEVVKVLLEELTDPTMRNNKFETPLDLAALYGRLEVVKMLLNAHPNLLSCNTKKHTPLHLAARNGHKAVVQVLLDAGMDSNYQTEMGSALHEAALFGKTDVVQILLAAGTDVNIKDNHGLTALDTVRELPSQKSQQIAALIEDHMTGKRSTKEVDKTPPPQPPLISSMDSISQKSQGDVEKAVTELIIDFDANAEEEGPYEALYNAISCHSLDSMASGRSSDQDSTNKEAEAAGVKPAGVRPRERPPPPAKPPPDEEEEDHIDKKYFPLTASEVLSMRPRIHGSAAREEDEHPYELLLTAETKKVVLVDGKTKDHRRSSSSRSQDSAEGQDGQVPEQFSGLLHGSSPVCEVGQDPFQLLCTAGQSHPDGSPQQGACHKASMQLEETGVHAPGASQPSALDQSKRVGYLTGLPTTNSRSHPETLTHTASPHPGGAEEGDRSGARSRAPPTSKPKAELKLSRSLSKSDSDLLTCSPTEDATMGSRSESLSNCSIGKKRLEKSPSFASEWDEIEKIMSSIGEGIDFSQERQKISGLRTLEQSVGEWLESIGLQQYESKLLLNGFDDVHFLGSNVMEEQDLRDIGISDPQHRRKLLQAARSLPKVKALGYDGNSPPSVPSWLDSLGLQDYVHSFLSSGYSSIDTVKNLWELELVNVLKVQLLGHRKRIIASLADRPYEEPPQKPPRFSQLRCQDLLSQTSSPLSQNDSCTGRSADLLLPPGDTGRRRHDSLHDPAAPSRAERFRIQEEHREAKLTLRPPSLAAPYAPVQSWQHQPEKLIFESCGYEANYLGSMLIKDLRGTESTQDACAKMRKSTEHMKKIPTIILSITYKGVKFIDASNKNVIAEHEIRNISCAAQDPEDLCTFAYITKDLQTSHHYCHVFSTVDVNLTYEIILTLGQAFEVAYQLALQAQKSRATGASAAEMIETKSSKPVPKPRVGVRKSALEPPDMDQDAQSHASVSWVVDPKPDSKRSLSTN
+>DECOY_sp|Q92625|ANS1A_HUMAN Ankyrin repeat and SAM domain-containing protein 1A OS=Homo sapiens OX=9606 GN=ANKS1A PE=1 SV=4
+NTSLSRKSDPKPDVVWSVSAHSQADQDMDPPELASKRVGVRPKPVPKSSKTEIMEAASAGTARSKQAQLALQYAVEFAQGLTLIIEYTLNVDVTSFVHCYHHSTQLDKTIYAFTCLDEPDQAACSINRIEHEAIVNKNSADIFKVGKYTISLIITPIKKMHETSKRMKACADQTSETGRLDKILMSGLYNAEYGCSEFILKEPQHQWSQVPAYPAALSPPRLTLKAERHEEQIRFREARSPAAPDHLSDHRRRGTDGPPLLLDASRGTCSDNQSLPSSTQSLLDQCRLQSFRPPKQPPEEYPRDALSAIIRKRHGLLQVKLVNVLELEWLNKVTDISSYGSSLFSHVYDQLGLSDLWSPVSPPSNGDYGLAKVKPLSRAAQLLKRRHQPDSIGIDRLDQEEMVNSGLFHVDDFGNLLLKSEYQQLGISELWEGVSQELTRLGSIKQREQSFDIGEGISSMIKEIEDWESAFSPSKELRKKGISCNSLSESRSGMTADETPSCTLLDSDSKSLSRSLKLEAKPKSTPPARSRAGSRDGEEAGGPHPSATHTLTEPHSRSNTTPLGTLYGVRKSQDLASPQSAGPAHVGTEELQMSAKHCAGQQPSGDPHSQGATCLLQFPDQGVECVPSSGHLLGSFQEPVQGDQGEASDQSRSSSSRRHDKTKGDVLVVKKTEATLLLEYPHEDEERAASGHIRPRMSLVESATLPFYKKDIHDEEEEDPPPKAPPPPRERPRVGAPKVGAAEAEKNTSDQDSSRGSAMSDLSHCSIANYLAEYPGEEEANADFDIILETVAKEVDGQSKQSISDMSSILPPQPPPTKDVEKTSRKGTMHDEILAAIQQSKQSPLERVTDLATLGHNDKINVDTGAALLIQVVDTKGFLAAEHLASGMETQYNSDMGADLLVQVVAKHGNRAALHLPTHKKTNCSLLNPHANLLMKVVELRGYLAALDLPTEFKNNRMTPDTLEELLVKVVETHGYQAACHLATENDNNQENVRTHSPGQHILLRVIQADGKWAALHLPYCGKSDAVNTLADNRLLVEVVDKHGNLAAHHLPTYGTSDVCNVNPGRWMSLLSSLPHSSSGLGGGGGGSGGGGGGSGGGGGGGFGSSLRKGSLLKEVAPLHGTRAAELLEQEKGM
+>sp|Q7Z6G8|ANS1B_HUMAN Ankyrin repeat and sterile alpha motif domain-containing protein 1B OS=Homo sapiens OX=9606 GN=ANKS1B PE=1 SV=2
+MGKDQELLEAARTGNVALVEKLLSGRKGGILGGGSGPLPLSNLLSIWRGPNVNCTDSSGYTALHHAALNGHKDIVLKLLQYEASTNVADNKGYFPIHLAAWKGDVEIVKILIHHGPSHSRVNEQNNENETALHCAAQYGHSEVVAVLLEELTDPTIRNSKLETPLDLAALYGRLRVVKMIISAHPNLMSCNTRKHTPLHLAARNGHKAVVQVLLEAGMDVSCQTEKGSALHEAALFGKVDVVRVLLETGIDANIKDSLGRTVLDILKEHPSQKSLQIATLLQEYLEGVGRSTVLEEPVQEDATQETHISSPVESPSQKTKSETVTGELSKLLDEIKLCQEKDYSFEDLCHTISDHYLDNLSKISEEELGKNGSQSVRTSSTINLSPGEVEEEDDDENTCGPSGLWEALTPCNGCRNLGFPMLAQESYPKKRNYTMEIVPSASLDTFPSENENFLCDLMDTAVTKKPCSLEIARAPSPRTDNASEVAVTTPGTSNHRNSSTGPTPDCSPPSPDTALKNIVKVIRPQPKQRTSIVSSLDFHRMNHNQEYFEINTSTGCTSFTASPPASPPTSSVGTTEVKNEGTNHTDDLSRQDDNDPPKEYDPGQFAGLLHGSSPACESPENPFHLYGKREQCEKGQDEVSLANSPLPFKQSPIENNSEPLVKKIKPKVVSRTIFHKKSNQLENHTIVGTRSTRSGSRNGDQWVMNAGGFVERACTLGRIRSLPKALIDMHLSKSVSKSDSDLIAYPSNEKTSRVNWSESSTAEHSSKGNSERTPSFTSEWEEIDKIMSSIDVGINNELKEMNGETTRPRCPVQTVGQWLESIGLPQYENHLMANGFDNVQFMGSNVMEDQDLLEIGILNSGHRQRILQAIQLLPKMRPIGHDGYHPTSVAEWLDSIELGDYTKAFLINGYTSMDLLKKIWEVELINVLKINLIGHRKRILASLGDRLHDDPPQKPPRSITLREPSGNHTPPQLSPSLSQSTYTTGGSLDVPHIIMQGDARRRRNENYFDDIPRSKLERQMAQTGDWGEPSITLRPPNEATASTPVQYWQHHPEKLIFQSCDYKAFYLGSMLIKELRGTESTQDACAKMRANCQKSTEQMKKVPTIILSVSYKGVKFIDATNKNIIAEHEIRNISCAAQDPEDLSTFAYITKDLKSNHHYCHVFTAFDVNLAYEIILTLGQAFEVAYQLALQARKGGHSSTLPESFENKPSKPIPKPRVSIRKSVDLLHASHTGQEPSERHTEEALRKF
+>DECOY_sp|Q7Z6G8|ANS1B_HUMAN Ankyrin repeat and sterile alpha motif domain-containing protein 1B OS=Homo sapiens OX=9606 GN=ANKS1B PE=1 SV=2
+FKRLAEETHRESPEQGTHSAHLLDVSKRISVRPKPIPKSPKNEFSEPLTSSHGGKRAQLALQYAVEFAQGLTLIIEYALNVDFATFVHCYHHNSKLDKTIYAFTSLDEPDQAACSINRIEHEAIINKNTADIFKVGKYSVSLIITPVKKMQETSKQCNARMKACADQTSETGRLEKILMSGLYFAKYDCSQFILKEPHHQWYQVPTSATAENPPRLTISPEGWDGTQAMQRELKSRPIDDFYNENRRRRADGQMIIHPVDLSGGTTYTSQSLSPSLQPPTHNGSPERLTISRPPKQPPDDHLRDGLSALIRKRHGILNIKLVNILEVEWIKKLLDMSTYGNILFAKTYDGLEISDLWEAVSTPHYGDHGIPRMKPLLQIAQLIRQRHGSNLIGIELLDQDEMVNSGMFQVNDFGNAMLHNEYQPLGISELWQGVTQVPCRPRTTEGNMEKLENNIGVDISSMIKDIEEWESTFSPTRESNGKSSHEATSSESWNVRSTKENSPYAILDSDSKSVSKSLHMDILAKPLSRIRGLTCAREVFGGANMVWQDGNRSGSRTSRTGVITHNELQNSKKHFITRSVVKPKIKKVLPESNNEIPSQKFPLPSNALSVEDQGKECQERKGYLHFPNEPSECAPSSGHLLGAFQGPDYEKPPDNDDQRSLDDTHNTGENKVETTGVSSTPPSAPPSATFSTCGTSTNIEFYEQNHNMRHFDLSSVISTRQKPQPRIVKVINKLATDPSPPSCDPTPGTSSNRHNSTGPTTVAVESANDTRPSPARAIELSCPKKTVATDMLDCLFNENESPFTDLSASPVIEMTYNRKKPYSEQALMPFGLNRCGNCPTLAEWLGSPGCTNEDDDEEEVEGPSLNITSSTRVSQSGNKGLEEESIKSLNDLYHDSITHCLDEFSYDKEQCLKIEDLLKSLEGTVTESKTKQSPSEVPSSIHTEQTADEQVPEELVTSRGVGELYEQLLTAIQLSKQSPHEKLIDLVTRGLSDKINADIGTELLVRVVDVKGFLAAEHLASGKETQCSVDMGAELLVQVVAKHGNRAALHLPTHKRTNCSMLNPHASIIMKVVRLRGYLAALDLPTELKSNRITPDTLEELLVAVVESHGYQAACHLATENENNQENVRSHSPGHHILIKVIEVDGKWAALHIPFYGKNDAVNTSAEYQLLKLVIDKHGNLAAHHLATYGSSDTCNVNPGRWISLLNSLPLPGSGGGLIGGKRGSLLKEVLAVNGTRAAELLEQDKGM
+>sp|P01008|ANT3_HUMAN Antithrombin-III OS=Homo sapiens OX=9606 GN=SERPINC1 PE=1 SV=1
+MYSNVIGTVTSGKRKVYLLSLLLIGFWDCVTCHGSPVDICTAKPRDIPMNPMCIYRSPEKKATEDEGSEQKIPEATNRRVWELSKANSRFATTFYQHLADSKNDNDNIFLSPLSISTAFAMTKLGACNDTLQQLMEVFKFDTISEKTSDQIHFFFAKLNCRLYRKANKSSKLVSANRLFGDKSLTFNETYQDISELVYGAKLQPLDFKENAEQSRAAINKWVSNKTEGRITDVIPSEAINELTVLVLVNTIYFKGLWKSKFSPENTRKELFYKADGESCSASMMYQEGKFRYRRVAEGTQVLELPFKGDDITMVLILPKPEKSLAKVEKELTPEVLQEWLDELEEMMLVVHMPRFRIEDGFSLKEQLQDMGLVDLFSPEKSKLPGIVAEGRDDLYVSDAFHKAFLEVNEEGSEAAASTAVVIAGRSLNPNRVTFKANRPFLVFIREVPLNTIIFMGRVANPCVK
+>DECOY_sp|P01008|ANT3_HUMAN Antithrombin-III OS=Homo sapiens OX=9606 GN=SERPINC1 PE=1 SV=1
+KVCPNAVRGMFIITNLPVERIFVLFPRNAKFTVRNPNLSRGAIVVATSAAAESGEENVELFAKHFADSVYLDDRGEAVIGPLKSKEPSFLDVLGMDQLQEKLSFGDEIRFRPMHVVLMMEELEDLWEQLVEPTLEKEVKALSKEPKPLILVMTIDDGKFPLELVQTGEAVRRYRFKGEQYMMSASCSEGDAKYFLEKRTNEPSFKSKWLGKFYITNVLVLVTLENIAESPIVDTIRGETKNSVWKNIAARSQEANEKFDLPQLKAGYVLESIDQYTENFTLSKDGFLRNASVLKSSKNAKRYLRCNLKAFFFHIQDSTKESITDFKFVEMLQQLTDNCAGLKTMAFATSISLPSLFINDNDNKSDALHQYFTTAFRSNAKSLEWVRRNTAEPIKQESGEDETAKKEPSRYICMPNMPIDRPKATCIDVPSGHCTVCDWFGILLLSLLYVKRKGSTVTGIVNSYM
+>sp|O75106|AOC2_HUMAN Retina-specific copper amine oxidase OS=Homo sapiens OX=9606 GN=AOC2 PE=1 SV=2
+MHLKIVLAFLALSLITIFALAYVLLTSPGGSSQPPHCPSVSHRAQPWPHPGQSQLFADLSREELTAVMRFLTQRLGPGLVDAAQAQPSDNCIFSVELQLPPKAAALAHLDRGSPPPAREALAIVLFGGQPQPNVSELVVGPLPHPSYMRDVTVERHGGPLPYHRRPVLRAEFTQMWRHLKEVELPKAPIFLSSTFNYNGSTLAAVHATPRGLRSGDRATWMALYHNISGVGLFLHPVGLELLLDHRALDPAHWTVQQVFYLGHYYADLGQLEREFKSGRLEVVRVPLPPPNGASSLRSRNSPGPLPPLQFSPQGSQYSVQGNLVVSSLWSFTFGHGVFSGLRIFDVRFQGERIAYEVSVQECVSIYGADSPKTMLTRYLDSSFGLGRNSRGLVRGVDCPYQATMVDIHILVGKGAVQLLPGAVCVFEEAQGLPLRRHHNYLQNHFYGGLASSALVVRSVSSVGNYDYIWDFVLYPNGALEGRVHATGYINTAFLKGGEEGLLFGNRVGERVLGTVHTHAFHFKLDLDVAGLKNWVVAEDVVFKPVAAPWNPEHWLQRPQLTRQVLGKEDLTAFSLGSPLPRYLYLASNQTNAWGHQRGYRIQIHSPLGIHIPLESDMERALSWGRYQLVVTQRKEEESQSSSIYHQNDIWTPTVTFADFINNETLLGEDLVAWVTASFLHIPHAEDIPNTVTLGNRVGFLLRPYNFFDEDPSIFSPGSVYFEKGQDAGLCSINPVACLPDLAACVPDLPPFSYHGF
+>DECOY_sp|O75106|AOC2_HUMAN Retina-specific copper amine oxidase OS=Homo sapiens OX=9606 GN=AOC2 PE=1 SV=2
+FGHYSFPPLDPVCAALDPLCAVPNISCLGADQGKEFYVSGPSFISPDEDFFNYPRLLFGVRNGLTVTNPIDEAHPIHLFSATVWAVLDEGLLTENNIFDAFTVTPTWIDNQHYISSSQSEEEKRQTVVLQYRGWSLAREMDSELPIHIGLPSHIQIRYGRQHGWANTQNSALYLYRPLPSGLSFATLDEKGLVQRTLQPRQLWHEPNWPAAVPKFVVDEAVVWNKLGAVDLDLKFHFAHTHVTGLVREGVRNGFLLGEEGGKLFATNIYGTAHVRGELAGNPYLVFDWIYDYNGVSSVSRVVLASSALGGYFHNQLYNHHRRLPLGQAEEFVCVAGPLLQVAGKGVLIHIDVMTAQYPCDVGRVLGRSNRGLGFSSDLYRTLMTKPSDAGYISVCEQVSVEYAIREGQFRVDFIRLGSFVGHGFTFSWLSSVVLNGQVSYQSGQPSFQLPPLPGPSNRSRLSSAGNPPPLPVRVVELRGSKFERELQGLDAYYHGLYFVQQVTWHAPDLARHDLLLELGVPHLFLGVGSINHYLAMWTARDGSRLGRPTAHVAALTSGNYNFTSSLFIPAKPLEVEKLHRWMQTFEARLVPRRHYPLPGGHREVTVDRMYSPHPLPGVVLESVNPQPQGGFLVIALAERAPPPSGRDLHALAAAKPPLQLEVSFICNDSPQAQAADVLGPGLRQTLFRMVATLEERSLDAFLQSQGPHPWPQARHSVSPCHPPQSSGGPSTLLVYALAFITILSLALFALVIKLHM
+>sp|O75843|AP1G2_HUMAN AP-1 complex subunit gamma-like 2 OS=Homo sapiens OX=9606 GN=AP1G2 PE=1 SV=1
+MVVPSLKLQDLIEEIRGAKTQAQEREVIQKECAHIRASFRDGDPVHRHRQLAKLLYVHMLGYPAHFGQMECLKLIASSRFTDKRVGYLGAMLLLDERHDAHLLITNSIKNDLSQGIQPVQGLALCTLSTMGSAEMCRDLAPEVEKLLLQPSPYVRKKAILTAVHMIRKVPELSSVFLPPCAQLLHERHHGILLGTITLITELCERSPAALRHFRKVVPQLVHILRTLVTMGYSTEHSISGVSDPFLQVQILRLLRILGRNHEESSETMNDLLAQVATNTDTSRNAGNAVLFETVLTIMDIRSAAGLRVLAVNILGRFLLNSDRNIRYVALTSLLRLVQSDHSAVQRHRPTVVECLRETDASLSRRALELSLALVNSSNVRAMMQELQAFLESCPPDLRADCASGILLAAERFAPTKRWHIDTILHVLTTAGTHVRDDAVANLTQLIGGAQELHAYSVRRLYNALAEDISQQPLVQVAAWCIGEYGDLLLAGNCEEIEPLQVDEEEVLALLEKVLQSHMSLPATRGYALTALMKLSTRLCGDNNRIRQVVSIYGSCLDVELQQRAVEYDTLFRKYDHMRAAILEKMPLVERDGPQADEEAKESKEAAQLSEAAPVPTEPQASQLLDLLDLLDGASGDVQHPPHLDPSPGGALVHLLDLPCVPPPPAPIPDLKVFEREGVQLNLSFIRPPENPALLLITITATNFSEGDVTHFICQAAVPKSLQLQLQAPSGNTVPARGGLPITQLFRILNPNKAPLRLKLRLTYDHFHQSVQEIFEVNNLPVESWQ
+>DECOY_sp|O75843|AP1G2_HUMAN AP-1 complex subunit gamma-like 2 OS=Homo sapiens OX=9606 GN=AP1G2 PE=1 SV=1
+QWSEVPLNNVEFIEQVSQHFHDYTLRLKLRLPAKNPNLIRFLQTIPLGGRAPVTNGSPAQLQLQLSKPVAAQCIFHTVDGESFNTATITILLLAPNEPPRIFSLNLQVGEREFVKLDPIPAPPPPVCPLDLLHVLAGGPSPDLHPPHQVDGSAGDLLDLLDLLQSAQPETPVPAAESLQAAEKSEKAEEDAQPGDREVLPMKELIAARMHDYKRFLTDYEVARQQLEVDLCSGYISVVQRIRNNDGCLRTSLKMLATLAYGRTAPLSMHSQLVKELLALVEEEDVQLPEIEECNGALLLDGYEGICWAAVQVLPQQSIDEALANYLRRVSYAHLEQAGGILQTLNAVADDRVHTGATTLVHLITDIHWRKTPAFREAALLIGSACDARLDPPCSELFAQLEQMMARVNSSNVLALSLELARRSLSADTERLCEVVTPRHRQVASHDSQVLRLLSTLAVYRINRDSNLLFRGLINVALVRLGAASRIDMITLVTEFLVANGANRSTDTNTAVQALLDNMTESSEEHNRGLIRLLRLIQVQLFPDSVGSISHETSYGMTVLTRLIHVLQPVVKRFHRLAAPSRECLETILTITGLLIGHHREHLLQACPPLFVSSLEPVKRIMHVATLIAKKRVYPSPQLLLKEVEPALDRCMEASGMTSLTCLALGQVPQIGQSLDNKISNTILLHADHREDLLLMAGLYGVRKDTFRSSAILKLCEMQGFHAPYGLMHVYLLKALQRHRHVPDGDRFSARIHACEKQIVEREQAQTKAGRIEEILDQLKLSPVVM
+>sp|Q9BXS5|AP1M1_HUMAN AP-1 complex subunit mu-1 OS=Homo sapiens OX=9606 GN=AP1M1 PE=1 SV=3
+MSASAVYVLDLKGKVLICRNYRGDVDMSEVEHFMPILMEKEEEGMLSPILAHGGVRFMWIKHNNLYLVATSKKNACVSLVFSFLYKVVQVFSEYFKELEEESIRDNFVIIYELLDELMDFGYPQTTDSKILQEYITQEGHKLETGAPRPPATVTNAVSWRSEGIKYRKNEVFLDVIESVNLLVSANGNVLRSEIVGSIKMRVFLSGMPELRLGLNDKVLFDNTGRGKSKSVELEDVKFHQCVRLSRFENDRTISFIPPDGEFELMSYRLNTHVKPLIWIESVIEKHSHSRIEYMIKAKSQFKRRSTANNVEIHIPVPNDADSPKFKTTVGSVKWVPENSEIVWSIKSFPGGKEYLMRAHFGLPSVEAEDKEGKPPISVKFEIPYFTTSGIQVRYLKIIEKSGYQALPWVRYITQNGDYQLRTQ
+>DECOY_sp|Q9BXS5|AP1M1_HUMAN AP-1 complex subunit mu-1 OS=Homo sapiens OX=9606 GN=AP1M1 PE=1 SV=3
+QTRLQYDGNQTIYRVWPLAQYGSKEIIKLYRVQIGSTTFYPIEFKVSIPPKGEKDEAEVSPLGFHARMLYEKGGPFSKISWVIESNEPVWKVSGVTTKFKPSDADNPVPIHIEVNNATSRRKFQSKAKIMYEIRSHSHKEIVSEIWILPKVHTNLRYSMLEFEGDPPIFSITRDNEFRSLRVCQHFKVDELEVSKSKGRGTNDFLVKDNLGLRLEPMGSLFVRMKISGVIESRLVNGNASVLLNVSEIVDLFVENKRYKIGESRWSVANTVTAPPRPAGTELKHGEQTIYEQLIKSDTTQPYGFDMLEDLLEYIIVFNDRISEEELEKFYESFVQVVKYLFSFVLSVCANKKSTAVLYLNNHKIWMFRVGGHALIPSLMGEEEKEMLIPMFHEVESMDVDGRYNRCILVKGKLDLVYVASASM
+>sp|Q92754|AP2C_HUMAN Transcription factor AP-2 gamma OS=Homo sapiens OX=9606 GN=TFAP2C PE=1 SV=1
+MLWKITDNVKYEEDCEDRHDGSSNGNPRVPHLSSAGQHLYSPAPPLSHTGVAEYQPPPYFPPPYQQLAYSQSADPYSHLGEAYAAAINPLHQPAPTGSQQQAWPGRQSQEGAGLPSHHGRPAGLLPHLSGLEAGAVSARRDAYRRSDLLLPHAHALDAAGLAENLGLHDMPHQMDEVQNVDDQHLLLHDQTVIRKGPISMTKNPLNLPCQKELVGAVMNPTEVFCSVPGRLSLLSSTSKYKVTVAEVQRRLSPPECLNASLLGGVLRRAKSKNGGRSLREKLDKIGLNLPAGRRKAAHVTLLTSLVEGEAVHLARDFAYVCEAEFPSKPVAEYLTRPHLGGRNEMAARKNMLLAAQQLCKEFTELLSQDRTPHGTSRLAPVLETNIQNCLSHFSLITHGFGSQAICAAVSALQNYIKEALIVIDKSYMNPGDQSPADSNKTLEKMEKHRK
+>DECOY_sp|Q92754|AP2C_HUMAN Transcription factor AP-2 gamma OS=Homo sapiens OX=9606 GN=TFAP2C PE=1 SV=1
+KRHKEMKELTKNSDAPSQDGPNMYSKDIVILAEKIYNQLASVAACIAQSGFGHTILSFHSLCNQINTELVPALRSTGHPTRDQSLLETFEKCLQQAALLMNKRAAMENRGGLHPRTLYEAVPKSPFEAECVYAFDRALHVAEGEVLSTLLTVHAAKRRGAPLNLGIKDLKERLSRGGNKSKARRLVGGLLSANLCEPPSLRRQVEAVTVKYKSTSSLLSLRGPVSCFVETPNMVAGVLEKQCPLNLPNKTMSIPGKRIVTQDHLLLHQDDVNQVEDMQHPMDHLGLNEALGAADLAHAHPLLLDSRRYADRRASVAGAELGSLHPLLGAPRGHHSPLGAGEQSQRGPWAQQQSGTPAPQHLPNIAAAYAEGLHSYPDASQSYALQQYPPPFYPPPQYEAVGTHSLPPAPSYLHQGASSLHPVRPNGNSSGDHRDECDEEYKVNDTIKWLM
+>sp|Q96CW1|AP2M1_HUMAN AP-2 complex subunit mu OS=Homo sapiens OX=9606 GN=AP2M1 PE=1 SV=2
+MIGGLFIYNHKGEVLISRVYRDDIGRNAVDAFRVNVIHARQQVRSPVTNIARTSFFHVKRSNIWLAAVTKQNVNAAMVFEFLYKMCDVMAAYFGKISEENIKNNFVLIYELLDEILDFGYPQNSETGALKTFITQQGIKSQHQTKEEQSQITSQVTGQIGWRREGIKYRRNELFLDVLESVNLLMSPQGQVLSAHVSGRVVMKSYLSGMPECKFGMNDKIVIEKQGKGTADETSKSGKQSIAIDDCTFHQCVRLSKFDSERSISFIPPDGEFELMRYRTTKDIILPFRVIPLVREVGRTKLEVKVVIKSNFKPSLLAQKIEVRIPTPLNTSGVQVICMKGKAKYKASENAIVWKIKRMAGMKESQISAEIELLPTNDKKKWARPPISMNFEVPFAPSGLKVRYLKVFEPKLNYSDHDVIKWVRYIGRSGIYETRC
+>DECOY_sp|Q96CW1|AP2M1_HUMAN AP-2 complex subunit mu OS=Homo sapiens OX=9606 GN=AP2M1 PE=1 SV=2
+CRTEYIGSRGIYRVWKIVDHDSYNLKPEFVKLYRVKLGSPAFPVEFNMSIPPRAWKKKDNTPLLEIEASIQSEKMGAMRKIKWVIANESAKYKAKGKMCIVQVGSTNLPTPIRVEIKQALLSPKFNSKIVVKVELKTRGVERVLPIVRFPLIIDKTTRYRMLEFEGDPPIFSISRESDFKSLRVCQHFTCDDIAISQKGSKSTEDATGKGQKEIVIKDNMGFKCEPMGSLYSKMVVRGSVHASLVQGQPSMLLNVSELVDLFLENRRYKIGERRWGIQGTVQSTIQSQEEKTQHQSKIGQQTIFTKLAGTESNQPYGFDLIEDLLEYILVFNNKINEESIKGFYAAMVDCMKYLFEFVMAANVNQKTVAALWINSRKVHFFSTRAINTVPSRVQQRAHIVNVRFADVANRGIDDRYVRSILVEGKHNYIFLGGIM
+>sp|Q13367|AP3B2_HUMAN AP-3 complex subunit beta-2 OS=Homo sapiens OX=9606 GN=AP3B2 PE=1 SV=2
+MSAAPAYSEDKGGSAGPGEPEYGHDPASGGIFSSDYKRHDDLKEMLDTNKDSLKLEAMKRIVAMIARGKNASDLFPAVVKNVACKNIEVKKLVYVYLVRYAEEQQDLALLSISTFQRGLKDPNQLIRASALRVLSSIRVPIIVPIMMLAIKEAASDMSPYVRKTAAHAIPKLYSLDSDQKDQLIEVIEKLLADKTTLVAGSVVMAFEEVCPERIDLIHKNYRKLCNLLIDVEEWGQVVIISMLTRYARTQFLSPTQNESLLEENAEKAFYGSEEDEAKGAGSEETAAAAAPSRKPYVMDPDHRLLLRNTKPLLQSRSAAVVMAVAQLYFHLAPKAEVGVIAKALVRLLRSHSEVQYVVLQNVATMSIKRRGMFEPYLKSFYIRSTDPTQIKILKLEVLTNLANETNIPTVLREFQTYIRSMDKDFVAATIQAIGRCATNIGRVRDTCLNGLVQLLSNRDELVVAESVVVIKKLLQMQPAQHGEIIKHLAKLTDNIQVPMARASILWLIGEYCEHVPRIAPDVLRKMAKSFTAEEDIVKLQVINLAAKLYLTNSKQTKLLTQYVLSLAKYDQNYDIRDRARFTRQLIVPSEQGGALSRHAKKLFLAPKPAPVLESSFKDRDHFQLGSLSHLLNAKATGYQELPDWPEEAPDPSVRNVEVPEWTKCSNREKRKEKEKPFYSDSEGESGPTESADSDPESESESDSKSSSESGSGESSSESDNEDQDEDEEKGRGSESEQSEEDGKRKTKKKVPERKGEASSSDEGSDSSSSSSESEMTSESEEEQLEPASWSRKTPPSSKSAPATKEISLLDLEDFTPPSVQPVSPPAIVSTSLAADLEGLTLTDSTLVPSLLSPVSGVGRQELLHRVAGEGLAVDYTFSRQPFSGDPHMVSVHIHFSNSSDTPIKGLHVGTPKLPAGISIQEFPEIESLAPGESATAVMGINFCDSTQAANFQLCTQTRQFYVSIQPPVGELMAPVFMSENEFKKEQGKLMGMNEITEKLMLPDTCRSDHIVVQKVTATANLGRVPCGTSDEYRFAGRTLTGGSLVLLTLDARPAGAAQLTVNSEKMVIGTMLVKDVIQALTQ
+>DECOY_sp|Q13367|AP3B2_HUMAN AP-3 complex subunit beta-2 OS=Homo sapiens OX=9606 GN=AP3B2 PE=1 SV=2
+QTLAQIVDKVLMTGIVMKESNVTLQAAGAPRADLTLLVLSGGTLTRGAFRYEDSTGCPVRGLNATATVKQVVIHDSRCTDPLMLKETIENMGMLKGQEKKFENESMFVPAMLEGVPPQISVYFQRTQTCLQFNAAQTSDCFNIGMVATASEGPALSEIEPFEQISIGAPLKPTGVHLGKIPTDSSNSFHIHVSVMHPDGSFPQRSFTYDVALGEGAVRHLLEQRGVGSVPSLLSPVLTSDTLTLGELDAALSTSVIAPPSVPQVSPPTFDELDLLSIEKTAPASKSSPPTKRSWSAPELQEEESESTMESESSSSSSDSGEDSSSAEGKREPVKKKTKRKGDEESQESESGRGKEEDEDQDENDSESSSEGSGSESSSKSDSESESEPDSDASETPGSEGESDSYFPKEKEKRKERNSCKTWEPVEVNRVSPDPAEEPWDPLEQYGTAKANLLHSLSGLQFHDRDKFSSELVPAPKPALFLKKAHRSLAGGQESPVILQRTFRARDRIDYNQDYKALSLVYQTLLKTQKSNTLYLKAALNIVQLKVIDEEATFSKAMKRLVDPAIRPVHECYEGILWLISARAMPVQINDTLKALHKIIEGHQAPQMQLLKKIVVVSEAVVLEDRNSLLQVLGNLCTDRVRGINTACRGIAQITAAVFDKDMSRIYTQFERLVTPINTENALNTLVELKLIKIQTPDTSRIYFSKLYPEFMGRRKISMTAVNQLVVYQVESHSRLLRVLAKAIVGVEAKPALHFYLQAVAMVVAASRSQLLPKTNRLLLRHDPDMVYPKRSPAAAAATEESGAGKAEDEESGYFAKEANEELLSENQTPSLFQTRAYRTLMSIIVVQGWEEVDILLNCLKRYNKHILDIREPCVEEFAMVVSGAVLTTKDALLKEIVEILQDKQDSDLSYLKPIAHAATKRVYPSMDSAAEKIALMMIPVIIPVRISSLVRLASARILQNPDKLGRQFTSISLLALDQQEEAYRVLYVYVLKKVEINKCAVNKVVAPFLDSANKGRAIMAVIRKMAELKLSDKNTDLMEKLDDHRKYDSSFIGGSAPDHGYEPEGPGASGGKDESYAPAASM
+>sp|P53677|AP3M2_HUMAN AP-3 complex subunit mu-2 OS=Homo sapiens OX=9606 GN=AP3M2 PE=2 SV=1
+MIHSLFLINSSGDIFLEKHWKSVVSRSVCDYFFEAQERATEAENVPPVIPTPHHYLLSVYRHKIFFVAVIQTEVPPLFVIEFLHRVVDTFQDYFGVCSEPVIKDNVVVVYEVLEEMLDNGFPLATESNILKELIKPPTILRTVVNTITGSTNVGDQLPTGQLSVVPWRRTGVKYTNNEAYFDVIEEIDAIIDKSGSTITAEIQGVIDACVKLTGMPDLTLSFMNPRLLDDVSFHPCVRFKRWESERILSFIPPDGNFRLLSYHVSAQNLVAIPVYVKHNISFRDSSSLGRFEITVGPKQTMGKTIEGVTVTSQMPKGVLNMSLTPSQGTHTFDPVTKMLSWDVGKINPQKLPSLKGTMSLQAGASKPDENPTINLQFKIQQLAISGLKVNRLDMYGEKYKPFKGIKYMTKAGKFQVRT
+>DECOY_sp|P53677|AP3M2_HUMAN AP-3 complex subunit mu-2 OS=Homo sapiens OX=9606 GN=AP3M2 PE=2 SV=1
+TRVQFKGAKTMYKIGKFPKYKEGYMDLRNVKLGSIALQQIKFQLNITPNEDPKSAGAQLSMTGKLSPLKQPNIKGVDWSLMKTVPDFTHTGQSPTLSMNLVGKPMQSTVTVGEITKGMTQKPGVTIEFRGLSSSDRFSINHKVYVPIAVLNQASVHYSLLRFNGDPPIFSLIRESEWRKFRVCPHFSVDDLLRPNMFSLTLDPMGTLKVCADIVGQIEATITSGSKDIIADIEEIVDFYAENNTYKVGTRRWPVVSLQGTPLQDGVNTSGTITNVVTRLITPPKILEKLINSETALPFGNDLMEELVEYVVVVNDKIVPESCVGFYDQFTDVVRHLFEIVFLPPVETQIVAVFFIKHRYVSLLYHHPTPIVPPVNEAETAREQAEFFYDCVSRSVVSKWHKELFIDGSSNILFLSHIM
+>sp|Q9Y6B7|AP4B1_HUMAN AP-4 complex subunit beta-1 OS=Homo sapiens OX=9606 GN=AP4B1 PE=1 SV=2
+MPYLGSEDVVKELKKALCNPHIQADRLRYRNVIQRVIRYMTQGLDMSGVFMEMVKASATVDIVQKKLVYLYMCTYAPLKPDLALLAINTLCKDCSDPNPMVRGLALRSMCSLRMPGVQEYIQQPILNGLRDKASYVRRVAVLGCAKMHNLHGDSEVDGALVNELYSLLRDQDPIVVVNCLRSLEEILKQEGGVVINKPIAHHLLNRMSKLDQWGQAEVLNFLLRYQPRSEEELFDILNLLDSFLKSSSPGVVMGATKLFLILAKMFPHVQTDVLVRVKGPLLAACSSESRELCFVALCHVRQILHSLPGHFSSHYKKFFCSYSEPHYIKLQKVEVLCELVNDENVQQVLEELRGYCTDVSADFAQAAIFAIGGIARTYTDQCVQILTELLGLRQEHITTVVVQTFRDLVWLCPQCTEAVCQALPGCEENIQDSEGKQALIWLLGVHGERIPNAPYVLEDFVENVKSETFPAVKMELLTALLRLFLSRPAECQDMLGRLLYYCIEEEKDMAVRDRGLFYYRLLLVGIDEVKRILCSPKSDPTLGLLEDPAERPVNSWASDFNTLVPVYGKAHWATISKCQGAERCDPELPKTSSFAASGPLIPEENKERVQELPDSGALMLVPNRQLTADYFEKTWLSLKVAHQQVLPWRGEFHPDTLQMALQVVNIQTIAMSRAGSRPWKAYLSAQDDTGCLFLTELLLEPGNSEMQISVKQNEARTETLNSFISVLETVIGTIEEIKS
+>DECOY_sp|Q9Y6B7|AP4B1_HUMAN AP-4 complex subunit beta-1 OS=Homo sapiens OX=9606 GN=AP4B1 PE=1 SV=2
+SKIEEITGIVTELVSIFSNLTETRAENQKVSIQMESNGPELLLETLFLCGTDDQASLYAKWPRSGARSMAITQINVVQLAMQLTDPHFEGRWPLVQQHAVKLSLWTKEFYDATLQRNPVLMLAGSDPLEQVREKNEEPILPGSAAFSSTKPLEPDCREAGQCKSITAWHAKGYVPVLTNFDSAWSNVPREAPDELLGLTPDSKPSCLIRKVEDIGVLLLRYYFLGRDRVAMDKEEEICYYLLRGLMDQCEAPRSLFLRLLATLLEMKVAPFTESKVNEVFDELVYPANPIREGHVGLLWILAQKGESDQINEECGPLAQCVAETCQPCLWVLDRFTQVVVTTIHEQRLGLLETLIQVCQDTYTRAIGGIAFIAAQAFDASVDTCYGRLEELVQQVNEDNVLECLVEVKQLKIYHPESYSCFFKKYHSSFHGPLSHLIQRVHCLAVFCLERSESSCAALLPGKVRVLVDTQVHPFMKALILFLKTAGMVVGPSSSKLFSDLLNLIDFLEEESRPQYRLLFNLVEAQGWQDLKSMRNLLHHAIPKNIVVGGEQKLIEELSRLCNVVVIPDQDRLLSYLENVLAGDVESDGHLNHMKACGLVAVRRVYSAKDRLGNLIPQQIYEQVGPMRLSCMSRLALGRVMPNPDSCDKCLTNIALLALDPKLPAYTCMYLYVLKKQVIDVTASAKVMEMFVGSMDLGQTMYRIVRQIVNRYRLRDAQIHPNCLAKKLEKVVDESGLYPM
+>sp|O00189|AP4M1_HUMAN AP-4 complex subunit mu-1 OS=Homo sapiens OX=9606 GN=AP4M1 PE=1 SV=2
+MISQFFILSSKGDPLIYKDFRGDSGGRDVAELFYRKLTGLPGDESPVVMHHHGRHFIHIRHSGLYLVVTTSENVSPFSLLELLSRLATLLGDYCGSLGEGTISRNVALVYELLDEVLDYGYVQTTSTEMLRNFIQTEAVVSKPFSLFDLSSVGLFGAETQQSKVAPSSAASRPVLSSRSDQSQKNEVFLDVVERLSVLIASNGSLLKVDVQGEIRLKSFLPSGSEMRIGLTEEFCVGKSELRGYGPGIRVDEVSFHSSVNLDEFESHRILRLQPPQGELTVMRYQLSDDLPSPLPFRLFPSVQWDRGSGRLQVYLKLRCDLLSKSQALNVRLHLPLPRGVVSLSQELSSPEQKAELAEGALRWDLPRVQGGSQLSGLFQMDVPGPPGPPSHGLSTSASPLGLGPASLSFELPRHTCSGLQVRFLRLAFRPCGNANPHKWVRHLSHSDAYVIRI
+>DECOY_sp|O00189|AP4M1_HUMAN AP-4 complex subunit mu-1 OS=Homo sapiens OX=9606 GN=AP4M1 PE=1 SV=2
+IRIVYADSHSLHRVWKHPNANGCPRFALRLFRVQLGSCTHRPLEFSLSAPGLGLPSASTSLGHSPPGPPGPVDMQFLGSLQSGGQVRPLDWRLAGEALEAKQEPSSLEQSLSVVGRPLPLHLRVNLAQSKSLLDCRLKLYVQLRGSGRDWQVSPFLRFPLPSPLDDSLQYRMVTLEGQPPQLRLIRHSEFEDLNVSSHFSVEDVRIGPGYGRLESKGVCFEETLGIRMESGSPLFSKLRIEGQVDVKLLSGNSAILVSLREVVDLFVENKQSQDSRSSLVPRSAASSPAVKSQQTEAGFLGVSSLDFLSFPKSVVAETQIFNRLMETSTTQVYGYDLVEDLLEYVLAVNRSITGEGLSGCYDGLLTALRSLLELLSFPSVNESTTVVLYLGSHRIHIFHRGHHHMVVPSEDGPLGTLKRYFLEAVDRGGSDGRFDKYILPDGKSSLIFFQSIM
+>sp|Q9BPW4|APOL4_HUMAN Apolipoprotein L4 OS=Homo sapiens OX=9606 GN=APOL4 PE=2 SV=3
+MEGAALLKIFVVCIWVQQNHPGWTVAGQFQEKKRFTEEVIEYFQKKVSPVHLKILLTSDEAWKRFVRVAELPREEADALYEALKNLTPYVAIEDKDMQQKEQQFREWFLKEFPQIRWKIQESIERLRVIANEIEKVHRGCVIANVVSGSTGILSVIGVMLAPFTAGLSLSITAAGVGLGIASATAGIASSIVENTYTRSAELTASRLTATSTDQLEALRDILRDITPNVLSFALDFDEATKMIANDVHTLRRSKATVGRPLIAWRYVPINVVETLRTRGAPTRIVRKVARNLGKATSGVLVVLDVVNLVQDSLDLHKGAKSESAESLRQWAQELEENLNELTHIHQSLKAG
+>DECOY_sp|Q9BPW4|APOL4_HUMAN Apolipoprotein L4 OS=Homo sapiens OX=9606 GN=APOL4 PE=2 SV=3
+GAKLSQHIHTLENLNEELEQAWQRLSEASESKAGKHLDLSDQVLNVVDLVVLVGSTAKGLNRAVKRVIRTPAGRTRLTEVVNIPVYRWAILPRGVTAKSRRLTHVDNAIMKTAEDFDLAFSLVNPTIDRLIDRLAELQDTSTATLRSATLEASRTYTNEVISSAIGATASAIGLGVGAATISLSLGATFPALMVGIVSLIGTSGSVVNAIVCGRHVKEIENAIVRLREISEQIKWRIQPFEKLFWERFQQEKQQMDKDEIAVYPTLNKLAEYLADAEERPLEAVRVFRKWAEDSTLLIKLHVPSVKKQFYEIVEETFRKKEQFQGAVTWGPHNQQVWICVVFIKLLAAGEM
+>sp|Q13794|APR_HUMAN Phorbol-12-myristate-13-acetate-induced protein 1 OS=Homo sapiens OX=9606 GN=PMAIP1 PE=1 SV=1
+MPGKKARKNAQPSPARAPAELEVECATQLRRFGDKLNFRQKLLNLISKLFCSGT
+>DECOY_sp|Q13794|APR_HUMAN Phorbol-12-myristate-13-acetate-induced protein 1 OS=Homo sapiens OX=9606 GN=PMAIP1 PE=1 SV=1
+TGSCFLKSILNLLKQRFNLKDGFRRLQTACEVELEAPARAPSPQANKRAKKGPM
+>sp|P07741|APT_HUMAN Adenine phosphoribosyltransferase OS=Homo sapiens OX=9606 GN=APRT PE=1 SV=2
+MADSELQLVEQRIRSFPDFPTPGVVFRDISPVLKDPASFRAAIGLLARHLKATHGGRIDYIAGLDSRGFLFGPSLAQELGLGCVLIRKRGKLPGPTLWASYSLEYGKAELEIQKDALEPGQRVVVVDDLLATGGTMNAACELLGRLQAEVLECVSLVELTSLKGREKLAPVPFFSLLQYE
+>DECOY_sp|P07741|APT_HUMAN Adenine phosphoribosyltransferase OS=Homo sapiens OX=9606 GN=APRT PE=1 SV=2
+EYQLLSFFPVPALKERGKLSTLEVLSVCELVEAQLRGLLECAANMTGGTALLDDVVVVRQGPELADKQIELEAKGYELSYSAWLTPGPLKGRKRILVCGLGLEQALSPGFLFGRSDLGAIYDIRGGHTAKLHRALLGIAARFSAPDKLVPSIDRFVVGPTPFDPFSRIRQEVLQLESDAM
+>sp|Q15041|AR6P1_HUMAN ADP-ribosylation factor-like protein 6-interacting protein 1 OS=Homo sapiens OX=9606 GN=ARL6IP1 PE=1 SV=2
+MAEGDNRSTNLLAAETASLEEQLQGWGEVMLMADKVLRWERAWFPPAIMGVVSLVFLIIYYLDPSVLSGVSCFVMFLCLADYLVPILAPRIFGSNKWTTEQQQRFHEICSNLVKTRRRAVGWWKRLFTLKEEKPKMYFMTMIVSLAAVAWVGQQVHNLLLTYLIVTSLLLLPGLNQHGIILKYIGMAKREINKLLKQKEKKNE
+>DECOY_sp|Q15041|AR6P1_HUMAN ADP-ribosylation factor-like protein 6-interacting protein 1 OS=Homo sapiens OX=9606 GN=ARL6IP1 PE=1 SV=2
+ENKKEKQKLLKNIERKAMGIYKLIIGHQNLGPLLLLSTVILYTLLLNHVQQGVWAVAALSVIMTMFYMKPKEEKLTFLRKWWGVARRRTKVLNSCIEHFRQQQETTWKNSGFIRPALIPVLYDALCLFMVFCSVGSLVSPDLYYIILFVLSVVGMIAPPFWAREWRLVKDAMLMVEGWGQLQEELSATEAALLNTSRNDGEAM
+>sp|Q96P48|ARAP1_HUMAN Arf-GAP with Rho-GAP domain, ANK repeat and PH domain-containing protein 1 OS=Homo sapiens OX=9606 GN=ARAP1 PE=1 SV=3
+MAEAGDAALSVAEWLRALHLEQYTGLFEQHGLVWATECQGLSDTRLMDMGMLLPGHRRRILAGLLRAHTSPAPAPRPTPRPVPMKRHIFRSPPVPATPPEPLPTTTEDEGLPAAPPIPPRRSCLPPTCFTTPSTAAPDPVLPPLPAKRHLAELSVPPVPPRTGPPRLLVSLPTKEEESLLPSLSSPPQPQSEEPLSTLPQGPPQPPSPPPCPPEIPPKPVRLFPEFDDSDYDEVPEEGPGAPARVMTKKEEPPPSRVPRAVRVASLLSEGEELSGDDQGDEEEDDHAYEGVPNGGWHTSSLSLSLPSTIAAPHPMDGPPGGSTPVTPVIKAGWLDKNPPQGSYIYQKRWVRLDTDHLRYFDSNKDAYSKRFISVACISHVAAIGDQKFEVITNNRTFAFRAESDVERKEWMQALQQAMAEQRARARLSSAYLLGVPGSEQPDRAGSLELRGFKNKLYVAVVGDKVQLYKNLEEYHLGIGITFIDMSVGNVKEVDRRSFDLTTPYRIFSFSADSELEKEQWLEAMQGAIAEALSTSEVAERIWAAAPNRFCADCGAPQPDWASINLCVVICKRCAGEHRGLGAGVSKVRSLKMDRKVWTETLIELFLQLGNGAGNRFWAANVPPSEALQPSSSPSTRRCHLEAKYREGKYRRYHPLFGNQEELDKALCAAVTTTDLAETQALLGCGAGINCFSGDPEAPTPLALAEQAGQTLQMEFLRNNRTTEVPRLDSMKPLEKHYSVVLPTVSHSGFLYKTASAGKLLQDRRAREEFSRRWCVLGDGVLSYFENERAVTPNGEIRASEIVCLAVPPPDTHGFEHTFEVYTEGERLYLFGLESAEQAHEWVKCIAKAFVPPLAEDLLARDFERLGRLPYKAGLSLQRAQEGWFSLSGSELRAVFPEGPCEEPLQLRKLQELSIQGDSENQVLVLVERRRTLYIQGERRLDFMGWLGAIQKAAASMGDTLSEQQLGDSDIPVIVYRCVDYITQCGLTSEGIYRKCGQTSKTQRLLESLRQDARSVHLKEGEQHVDDVSSALKRFLRDLPDGLFTRAQRLTWLEASEIEDEEEKVSRYRELLVRLPPVNRATVKALISHLYCVQCFSDTNQMNVHNLAIVFGPTLFQTDGQDYKAGRVVEDLINHYVVVFSVDEEELRKQREEITAIVKMRVAGTASGTQHAGDFICTVYLEEKKAETEQHIKVPASMTAEELTLEILDRRNVGIREKDYWTCFEVNEREEAERPLHFAEKVLPILHGLGTDSHLVVKKHQAMEAMLLYLASRVGDTKHGMMKFREDRSLLGLGLPSGGFHDRYFILNSSCLRLYKEVRSQRPWSGAPETSHRPEKEWPIKSLKVYLGVKKKLRPPTCWGFTVVHETEKHEKQQWYLCCDTQMELREWFATFLFVQHDGLVWPSEPSRVSRAVPEVRLGSVSLIPLRGSENEMRRSVAAFTADPLSLLRNV
+>DECOY_sp|Q96P48|ARAP1_HUMAN Arf-GAP with Rho-GAP domain, ANK repeat and PH domain-containing protein 1 OS=Homo sapiens OX=9606 GN=ARAP1 PE=1 SV=3
+VNRLLSLPDATFAAVSRRMENESGRLPILSVSGLRVEPVARSVRSPESPWVLGDHQVFLFTAFWERLEMQTDCCLYWQQKEHKETEHVVTFGWCTPPRLKKKVGLYVKLSKIPWEKEPRHSTEPAGSWPRQSRVEKYLRLCSSNLIFYRDHFGGSPLGLGLLSRDERFKMMGHKTDGVRSALYLLMAEMAQHKKVVLHSDTGLGHLIPLVKEAFHLPREAEERENVEFCTWYDKERIGVNRRDLIELTLEEATMSAPVKIHQETEAKKEELYVTCIFDGAHQTGSATGAVRMKVIATIEERQKRLEEEDVSFVVVYHNILDEVVRGAKYDQGDTQFLTPGFVIALNHVNMQNTDSFCQVCYLHSILAKVTARNVPPLRVLLERYRSVKEEEDEIESAELWTLRQARTFLGDPLDRLFRKLASSVDDVHQEGEKLHVSRADQRLSELLRQTKSTQGCKRYIGESTLGCQTIYDVCRYVIVPIDSDGLQQESLTDGMSAAAKQIAGLWGMFDLRREGQIYLTRRREVLVLVQNESDGQISLEQLKRLQLPEECPGEPFVARLESGSLSFWGEQARQLSLGAKYPLRGLREFDRALLDEALPPVFAKAICKVWEHAQEASELGFLYLREGETYVEFTHEFGHTDPPPVALCVIESARIEGNPTVARENEFYSLVGDGLVCWRRSFEERARRDQLLKGASATKYLFGSHSVTPLVVSYHKELPKMSDLRPVETTRNNRLFEMQLTQGAQEALALPTPAEPDGSFCNIGAGCGLLAQTEALDTTTVAACLAKDLEEQNGFLPHYRRYKGERYKAELHCRRTSPSSSPQLAESPPVNAAWFRNGAGNGLQLFLEILTETWVKRDMKLSRVKSVGAGLGRHEGACRKCIVVCLNISAWDPQPAGCDACFRNPAAAWIREAVESTSLAEAIAGQMAELWQEKELESDASFSFIRYPTTLDFSRRDVEKVNGVSMDIFTIGIGLHYEELNKYLQVKDGVVAVYLKNKFGRLELSGARDPQESGPVGLLYASSLRARARQEAMAQQLAQMWEKREVDSEARFAFTRNNTIVEFKQDGIAAVHSICAVSIFRKSYADKNSDFYRLHDTDLRVWRKQYIYSGQPPNKDLWGAKIVPTVPTSGGPPGDMPHPAAITSPLSLSLSSTHWGGNPVGEYAHDDEEEDGQDDGSLEEGESLLSAVRVARPVRSPPPEEKKTMVRAPAGPGEEPVEDYDSDDFEPFLRVPKPPIEPPCPPPSPPQPPGQPLTSLPEESQPQPPSSLSPLLSEEEKTPLSVLLRPPGTRPPVPPVSLEALHRKAPLPPLVPDPAATSPTTFCTPPLCSRRPPIPPAAPLGEDETTTPLPEPPTAPVPPSRFIHRKMPVPRPTPRPAPAPSTHARLLGALIRRRHGPLLMGMDMLRTDSLGQCETAWVLGHQEFLGTYQELHLARLWEAVSLAADGAEAM
+>sp|Q96IT6|ARAS1_HUMAN Putative uncharacterized protein ARHGAP5-AS1 OS=Homo sapiens OX=9606 GN=ARHGAP5-AS1 PE=5 SV=1
+MQAPDSVRSVKVEREAKTWIEKPRGAGLRVAQKTPVHATTSLTLGTVVHLAFIILP
+>DECOY_sp|Q96IT6|ARAS1_HUMAN Putative uncharacterized protein ARHGAP5-AS1 OS=Homo sapiens OX=9606 GN=ARHGAP5-AS1 PE=5 SV=1
+PLIIFALHVVTGLTLSTTAHVPTKQAVRLGAGRPKEIWTKAEREVKVSRVSDPAQM
+>sp|Q9UL18|AGO1_HUMAN Protein argonaute-1 OS=Homo sapiens OX=9606 GN=AGO1 PE=1 SV=3
+MEAGPSGAAAGAYLPPLQQVFQAPRRPGIGTVGKPIKLLANYFEVDIPKIDVYHYEVDIKPDKCPRRVNREVVEYMVQHFKPQIFGDRKPVYDGKKNIYTVTALPIGNERVDFEVTIPGEGKDRIFKVSIKWLAIVSWRMLHEALVSGQIPVPLESVQALDVAMRHLASMRYTPVGRSFFSPPEGYYHPLGGGREVWFGFHQSVRPAMWKMMLNIDVSATAFYKAQPVIEFMCEVLDIRNIDEQPKPLTDSQRVRFTKEIKGLKVEVTHCGQMKRKYRVCNVTRRPASHQTFPLQLESGQTVECTVAQYFKQKYNLQLKYPHLPCLQVGQEQKHTYLPLEVCNIVAGQRCIKKLTDNQTSTMIKATARSAPDRQEEISRLMKNASYNLDPYIQEFGIKVKDDMTEVTGRVLPAPILQYGGRNRAIATPNQGVWDMRGKQFYNGIEIKVWAIACFAPQKQCREEVLKNFTDQLRKISKDAGMPIQGQPCFCKYAQGADSVEPMFRHLKNTYSGLQLIIVILPGKTPVYAEVKRVGDTLLGMATQCVQVKNVVKTSPQTLSNLCLKINVKLGGINNILVPHQRSAVFQQPVIFLGADVTHPPAGDGKKPSITAVVGSMDAHPSRYCATVRVQRPRQEIIEDLSYMVRELLIQFYKSTRFKPTRIIFYRDGVPEGQLPQILHYELLAIRDACIKLEKDYQPGITYIVVQKRHHTRLFCADKNERIGKSGNIPAGTTVDTNITHPFEFDFYLCSHAGIQGTSRPSHYYVLWDDNRFTADELQILTYQLCHTYVRCTRSVSIPAPAYYARLVAFRARYHLVDKEHDSGEGSHISGQSNGRDPQALAKAVQVHQDTLRTMYFA
+>DECOY_sp|Q9UL18|AGO1_HUMAN Protein argonaute-1 OS=Homo sapiens OX=9606 GN=AGO1 PE=1 SV=3
+AFYMTRLTDQHVQVAKALAQPDRGNSQGSIHSGEGSDHEKDVLHYRARFAVLRAYYAPAPISVSRTCRVYTHCLQYTLIQLEDATFRNDDWLVYYHSPRSTGQIGAHSCLYFDFEFPHTINTDVTTGAPINGSKGIRENKDACFLRTHHRKQVVIYTIGPQYDKELKICADRIALLEYHLIQPLQGEPVGDRYFIIRTPKFRTSKYFQILLERVMYSLDEIIEQRPRQVRVTACYRSPHADMSGVVATISPKKGDGAPPHTVDAGLFIVPQQFVASRQHPVLINNIGGLKVNIKLCLNSLTQPSTKVVNKVQVCQTAMGLLTDGVRKVEAYVPTKGPLIVIILQLGSYTNKLHRFMPEVSDAGQAYKCFCPQGQIPMGADKSIKRLQDTFNKLVEERCQKQPAFCAIAWVKIEIGNYFQKGRMDWVGQNPTAIARNRGGYQLIPAPLVRGTVETMDDKVKIGFEQIYPDLNYSANKMLRSIEEQRDPASRATAKIMTSTQNDTLKKICRQGAVINCVELPLYTHKQEQGVQLCPLHPYKLQLNYKQKFYQAVTCEVTQGSELQLPFTQHSAPRRTVNCVRYKRKMQGCHTVEVKLGKIEKTFRVRQSDTLPKPQEDINRIDLVECMFEIVPQAKYFATASVDINLMMKWMAPRVSQHFGFWVERGGGLPHYYGEPPSFFSRGVPTYRMSALHRMAVDLAQVSELPVPIQGSVLAEHLMRWSVIALWKISVKFIRDKGEGPITVEFDVRENGIPLATVTYINKKGDYVPKRDGFIQPKFHQVMYEVVERNVRRPCKDPKIDVEYHYVDIKPIDVEFYNALLKIPKGVTGIGPRRPAQFVQQLPPLYAGAAAGSPGAEM
+>sp|Q9UKV8|AGO2_HUMAN Protein argonaute-2 OS=Homo sapiens OX=9606 GN=AGO2 PE=1 SV=3
+MYSGAGPALAPPAPPPPIQGYAFKPPPRPDFGTSGRTIKLQANFFEMDIPKIDIYHYELDIKPEKCPRRVNREIVEHMVQHFKTQIFGDRKPVFDGRKNLYTAMPLPIGRDKVELEVTLPGEGKDRIFKVSIKWVSCVSLQALHDALSGRLPSVPFETIQALDVVMRHLPSMRYTPVGRSFFTASEGCSNPLGGGREVWFGFHQSVRPSLWKMMLNIDVSATAFYKAQPVIEFVCEVLDFKSIEEQQKPLTDSQRVKFTKEIKGLKVEITHCGQMKRKYRVCNVTRRPASHQTFPLQQESGQTVECTVAQYFKDRHKLVLRYPHLPCLQVGQEQKHTYLPLEVCNIVAGQRCIKKLTDNQTSTMIRATARSAPDRQEEISKLMRSASFNTDPYVREFGIMVKDEMTDVTGRVLQPPSILYGGRNKAIATPVQGVWDMRNKQFHTGIEIKVWAIACFAPQRQCTEVHLKSFTEQLRKISRDAGMPIQGQPCFCKYAQGADSVEPMFRHLKNTYAGLQLVVVILPGKTPVYAEVKRVGDTVLGMATQCVQMKNVQRTTPQTLSNLCLKINVKLGGVNNILLPQGRPPVFQQPVIFLGADVTHPPAGDGKKPSIAAVVGSMDAHPNRYCATVRVQQHRQEIIQDLAAMVRELLIQFYKSTRFKPTRIIFYRDGVSEGQFQQVLHHELLAIREACIKLEKDYQPGITFIVVQKRHHTRLFCTDKNERVGKSGNIPAGTTVDTKITHPTEFDFYLCSHAGIQGTSRPSHYHVLWDDNRFSSDELQILTYQLCHTYVRCTRSVSIPAPAYYAHLVAFRARYHLVDKEHDSAEGSHTSGQSNGRDHQALAKAVQVHQDTLRTMYFA
+>DECOY_sp|Q9UKV8|AGO2_HUMAN Protein argonaute-2 OS=Homo sapiens OX=9606 GN=AGO2 PE=1 SV=3
+AFYMTRLTDQHVQVAKALAQHDRGNSQGSTHSGEASDHEKDVLHYRARFAVLHAYYAPAPISVSRTCRVYTHCLQYTLIQLEDSSFRNDDWLVHYHSPRSTGQIGAHSCLYFDFETPHTIKTDVTTGAPINGSKGVRENKDTCFLRTHHRKQVVIFTIGPQYDKELKICAERIALLEHHLVQQFQGESVGDRYFIIRTPKFRTSKYFQILLERVMAALDQIIEQRHQQVRVTACYRNPHADMSGVVAAISPKKGDGAPPHTVDAGLFIVPQQFVPPRGQPLLINNVGGLKVNIKLCLNSLTQPTTRQVNKMQVCQTAMGLVTDGVRKVEAYVPTKGPLIVVVLQLGAYTNKLHRFMPEVSDAGQAYKCFCPQGQIPMGADRSIKRLQETFSKLHVETCQRQPAFCAIAWVKIEIGTHFQKNRMDWVGQVPTAIAKNRGGYLISPPQLVRGTVDTMEDKVMIGFERVYPDTNFSASRMLKSIEEQRDPASRATARIMTSTQNDTLKKICRQGAVINCVELPLYTHKQEQGVQLCPLHPYRLVLKHRDKFYQAVTCEVTQGSEQQLPFTQHSAPRRTVNCVRYKRKMQGCHTIEVKLGKIEKTFKVRQSDTLPKQQEEISKFDLVECVFEIVPQAKYFATASVDINLMMKWLSPRVSQHFGFWVERGGGLPNSCGESATFFSRGVPTYRMSPLHRMVVDLAQITEFPVSPLRGSLADHLAQLSVCSVWKISVKFIRDKGEGPLTVELEVKDRGIPLPMATYLNKRGDFVPKRDGFIQTKFHQVMHEVIERNVRRPCKEPKIDLEYHYIDIKPIDMEFFNAQLKITRGSTGFDPRPPPKFAYGQIPPPPAPPALAPGAGSYM
+>sp|Q7Z7M1|AGRD2_HUMAN Adhesion G-protein coupled receptor D2 OS=Homo sapiens OX=9606 GN=ADGRD2 PE=2 SV=1
+MDAPWGAGERWLHGAAVDRSGVSLGPPPTPQVNQGTLGPQVAPVAAGEVVKTAGGVCKFSGQRLSWWQAQESCEQQFGHLALQPPDGVLASRLRDPVWVGQREAPLRRPPQRRARTTAVLVFDERTADRAARLRSPLPELAALTACTHVQWDCASPDPAALFSVAAPALPNALQLRAFAEPGGVVRAALVVRGQHAPFLAAFRADGRWHHVCATWEQRGGRWALFSDGRRRAGARGLGAGHPVPSGGILVLGQDQDSLGGGFSVRHALSGNLTDFHLWARALSPAQLHRARACAPPSEGLLFRWDPGALDVTPSLLPTVWVRLLCPVPSEECPTWNPGPRSEGSELCLEPQPFLCCYRTEPYRRLQDAQSWPGQDVISRVNALANDIVLLPDPLSEVHGALSPAEASSFLGLLEHVLAMEMAPLGPAALLAVVRFLKRVVALGAGDPELLLTGPWEQLSQGVVSVASLVLEEQVADTWLSLREVIGGPMALVASVQRLAPLLSTSMTSERPRMRIQHRHAGLSGVTVIHSWFTSRVFQHTLEGPDLEPQAPASSEEANRVQRFLSTQVGSAIISSEVWDVTGEVNVAMTFHLQHRAQSPLFPPHPPSPYTGGAWATTGCSVAALYLDSTACFCNHSTSFAILLQIYEVQRGPEEESLLRTLSFVGCGVSFCALTTTFLLFLVAGVPKSERTTVHKNLTFSLASAEGFLMTSEWAKANEVACVAVTVAMHFLFLVAFSWMLVEGLLLWRKVVAVSMHPGPGMRLYHATGWGVPVGIVAVTLAMLPHDYVAPGHCWLNVHTNAIWAFVGPVLFVLTANTCILARVVMITVSSARRRARMLSPQPCLQQQIWTQIWATVKPVLVLLPVLGLTWLAGILVHLSPAWAYAAVGLNSIQGLYIFLVYAACNEEVRSALQRMAEKKVAEVLRALGVWGGAAKEHSLPFSVLPLFLPPKPSTPRHPLKAPA
+>DECOY_sp|Q7Z7M1|AGRD2_HUMAN Adhesion G-protein coupled receptor D2 OS=Homo sapiens OX=9606 GN=ADGRD2 PE=2 SV=1
+APAKLPHRPTSPKPPLFLPLVSFPLSHEKAAGGWVGLARLVEAVKKEAMRQLASRVEENCAAYVLFIYLGQISNLGVAAYAWAPSLHVLIGALWTLGLVPLLVLVPKVTAWIQTWIQQQLCPQPSLMRARRRASSVTIMVVRALICTNATLVFLVPGVFAWIANTHVNLWCHGPAVYDHPLMALTVAVIGVPVGWGTAHYLRMGPGPHMSVAVVKRWLLLGEVLMWSFAVLFLFHMAVTVAVCAVENAKAWESTMLFGEASALSFTLNKHVTTRESKPVGAVLFLLFTTTLACFSVGCGVFSLTRLLSEEEPGRQVEYIQLLIAFSTSHNCFCATSDLYLAAVSCGTTAWAGGTYPSPPHPPFLPSQARHQLHFTMAVNVEGTVDWVESSIIASGVQTSLFRQVRNAEESSAPAQPELDPGELTHQFVRSTFWSHIVTVGSLGAHRHQIRMRPRESTMSTSLLPALRQVSAVLAMPGGIVERLSLWTDAVQEELVLSAVSVVGQSLQEWPGTLLLEPDGAGLAVVRKLFRVVALLAAPGLPAMEMALVHELLGLFSSAEAPSLAGHVESLPDPLLVIDNALANVRSIVDQGPWSQADQLRRYPETRYCCLFPQPELCLESGESRPGPNWTPCEESPVPCLLRVWVTPLLSPTVDLAGPDWRFLLGESPPACARARHLQAPSLARAWLHFDTLNGSLAHRVSFGGGLSDQDQGLVLIGGSPVPHGAGLGRAGARRRGDSFLAWRGGRQEWTACVHHWRGDARFAALFPAHQGRVVLAARVVGGPEAFARLQLANPLAPAAVSFLAAPDPSACDWQVHTCATLAALEPLPSRLRAARDATREDFVLVATTRARRQPPRRLPAERQGVWVPDRLRSALVGDPPQLALHGFQQECSEQAQWWSLRQGSFKCVGGATKVVEGAAVPAVQPGLTGQNVQPTPPPGLSVGSRDVAAGHLWREGAGWPADM
+>sp|Q86Y34|AGRG3_HUMAN Adhesion G protein-coupled receptor G3 OS=Homo sapiens OX=9606 GN=ADGRG3 PE=1 SV=1
+MATPRGLGALLLLLLLPTSGQEKPTEGPRNTCLGSNNMYDIFNLNDKALCFTKCRQSGSDSCNVENLQRYWLNYEAHLMKEGLTQKVNTPFLKALVQNLSTNTAEDFYFSLEPSQVPRQVMKDEDKPPDRVRLPKSLFRSLPGNRSVVRLAVTILDIGPGTLFKGPRLGLGDGSGVLNNRLVGLSVGQMHVTKLAEPLEIVFSHQRPPPNMTLTCVFWDVTKGTTGDWSSEGCSTEVRPEGTVCCCDHLTFFALLLRPTLDQSTVHILTRISQAGCGVSMIFLAFTIILYAFLRLSRERFKSEDAPKIHVALGGSLFLLNLAFLVNVGSGSKGSDAACWARGAVFHYFLLCAFTWMGLEAFHLYLLAVRVFNTYFGHYFLKLSLVGWGLPALMVIGTGSANSYGLYTIRDRENRTSLELCWFREGTTMYALYITVHGYFLITFLFGMVVLALVVWKIFTLSRATAVKERGKNRKKVLTLLGLSSLVGVTWGLAIFTPLGLSTVYIFALFNSLQGVFICCWFTILYLPSQSTTVSSSTARLDQAHSASQE
+>DECOY_sp|Q86Y34|AGRG3_HUMAN Adhesion G protein-coupled receptor G3 OS=Homo sapiens OX=9606 GN=ADGRG3 PE=1 SV=1
+EQSASHAQDLRATSSSVTTSQSPLYLITFWCCIFVGQLSNFLAFIYVTSLGLPTFIALGWTVGVLSSLGLLTLVKKRNKGREKVATARSLTFIKWVVLALVVMGFLFTILFYGHVTIYLAYMTTGERFWCLELSTRNERDRITYLGYSNASGTGIVMLAPLGWGVLSLKLFYHGFYTNFVRVALLYLHFAELGMWTFACLLFYHFVAGRAWCAADSGKSGSGVNVLFALNLLFLSGGLAVHIKPADESKFRERSLRLFAYLIITFALFIMSVGCGAQSIRTLIHVTSQDLTPRLLLAFFTLHDCCCVTGEPRVETSCGESSWDGTTGKTVDWFVCTLTMNPPPRQHSFVIELPEALKTVHMQGVSLGVLRNNLVGSGDGLGLRPGKFLTGPGIDLITVALRVVSRNGPLSRFLSKPLRVRDPPKDEDKMVQRPVQSPELSFYFDEATNTSLNQVLAKLFPTNVKQTLGEKMLHAEYNLWYRQLNEVNCSDSGSQRCKTFCLAKDNLNFIDYMNNSGLCTNRPGETPKEQGSTPLLLLLLLAGLGRPTAM
+>sp|Q86SQ4|AGRG6_HUMAN Adhesion G-protein coupled receptor G6 OS=Homo sapiens OX=9606 GN=ADGRG6 PE=1 SV=3
+MMFRSDRMWSCHWKWKPSPLLFLFALYIMCVPHSVWGCANCRVVLSNPSGTFTSPCYPNDYPNSQACMWTLRAPTGYIIQITFNDFDIEEAPNCIYDSLSLDNGESQTKFCGATAKGLSFNSSANEMHVSFSSDFSIQKKGFNASYIRVAVSLRNQKVILPQTSDAYQVSVAKSISIPELSAFTLCFEATKVGHEDSDWTAFSYSNASFTQLLSFGKAKSGYFLSISDSKCLLNNALPVKEKEDIFAESFEQLCLVWNNSLGSIGVNFKRNYETVPCDSTISKVIPGNGKLLLGSNQNEIVSLKGDIYNFRLWNFTMNAKILSNLSCNVKGNVVDWQNDFWNIPNLALKAESNLSCGSYLIPLPAAELASCADLGTLCQATVNSPSTTPPTVTTNMPVTNRIDKQRNDGIIYRISVVIQNILRHPEVKVQSKVAEWLNSTFQNWNYTVYVVNISFHLSAGEDKIKVKRSLEDEPRLVLWALLVYNATNNTNLEGKIIQQKLLKNNESLDEGLRLHTVNVRQLGHCLAMEEPKGYYWPSIQPSEYVLPCPDKPGFSASRICFYNATNPLVTYWGPVDISNCLKEANEVANQILNLTADGQNLTSANITNIVEQVKRIVNKEENIDITLGSTLMNIFSNILSSSDSDLLESSSEALKTIDELAFKIDLNSTSHVNITTRNLALSVSSLLPGTNAISNFSIGLPSNNESYFQMDFESGQVDPLASVILPPNLLENLSPEDSVLVRRAQFTFFNKTGLFQDVGPQRKTLVSYVMACSIGNITIQNLKDPVQIKIKHTRTQEVHHPICAFWDLNKNKSFGGWNTSGCVAHRDSDASETVCLCNHFTHFGVLMDLPRSASQLDARNTKVLTFISYIGCGISAIFSAATLLTYVAFEKLRRDYPSKILMNLSTALLFLNLLFLLDGWITSFNVDGLCIAVAVLLHFFLLATFTWMGLEAIHMYIALVKVFNTYIRRYILKFCIIGWGLPALVVSVVLASRNNNEVYGKESYGKEKGDEFCWIQDPVIFYVTCAGYFGVMFFLNIAMFIVVMVQICGRNGKRSNRTLREEVLRNLRSVVSLTFLLGMTWGFAFFAWGPLNIPFMYLFSIFNSLQGLFIFIFHCAMKENVQKQWRQHLCCGRFRLADNSDWSKTATNIIKKSSDNLGKSLSSSSIGSNSTYLTSKSKSSSTTYFKRNSHTDNVSYEHSFNKSGSLRQCFHGQVLVKTGPC
+>DECOY_sp|Q86SQ4|AGRG6_HUMAN Adhesion G-protein coupled receptor G6 OS=Homo sapiens OX=9606 GN=ADGRG6 PE=1 SV=3
+CPGTKVLVQGHFCQRLSGSKNFSHEYSVNDTHSNRKFYTTSSSKSKSTLYTSNSGISSSSLSKGLNDSSKKIINTATKSWDSNDALRFRGCCLHQRWQKQVNEKMACHFIFIFLGQLSNFISFLYMFPINLPGWAFFAFGWTMGLLFTLSVVSRLNRLVEERLTRNSRKGNRGCIQVMVVIFMAINLFFMVGFYGACTVYFIVPDQIWCFEDGKEKGYSEKGYVENNNRSALVVSVVLAPLGWGIICFKLIYRRIYTNFVKVLAIYMHIAELGMWTFTALLFFHLLVAVAICLGDVNFSTIWGDLLFLLNLFLLATSLNMLIKSPYDRRLKEFAVYTLLTAASFIASIGCGIYSIFTLVKTNRADLQSASRPLDMLVGFHTFHNCLCVTESADSDRHAVCGSTNWGGFSKNKNLDWFACIPHHVEQTRTHKIKIQVPDKLNQITINGISCAMVYSVLTKRQPGVDQFLGTKNFFTFQARRVLVSDEPSLNELLNPPLIVSALPDVQGSEFDMQFYSENNSPLGISFNSIANTGPLLSSVSLALNRTTINVHSTSNLDIKFALEDITKLAESSSELLDSDSSSLINSFINMLTSGLTIDINEEKNVIRKVQEVINTINASTLNQGDATLNLIQNAVENAEKLCNSIDVPGWYTVLPNTANYFCIRSASFGPKDPCPLVYESPQISPWYYGKPEEMALCHGLQRVNVTHLRLGEDLSENNKLLKQQIIKGELNTNNTANYVLLAWLVLRPEDELSRKVKIKDEGASLHFSINVVYVTYNWNQFTSNLWEAVKSQVKVEPHRLINQIVVSIRYIIGDNRQKDIRNTVPMNTTVTPPTTSPSNVTAQCLTGLDACSALEAAPLPILYSGCSLNSEAKLALNPINWFDNQWDVVNGKVNCSLNSLIKANMTFNWLRFNYIDGKLSVIENQNSGLLLKGNGPIVKSITSDCPVTEYNRKFNVGISGLSNNWVLCLQEFSEAFIDEKEKVPLANNLLCKSDSISLFYGSKAKGFSLLQTFSANSYSFATWDSDEHGVKTAEFCLTFASLEPISISKAVSVQYADSTQPLIVKQNRLSVAVRIYSANFGKKQISFDSSFSVHMENASSNFSLGKATAGCFKTQSEGNDLSLSDYICNPAEEIDFDNFTIQIIYGTPARLTWMCAQSNPYDNPYCPSTFTGSPNSLVVRCNACGWVSHPVCMIYLAFLFLLPSPKWKWHCSWMRDSRFMM
+>sp|Q9HAR2|AGRL3_HUMAN Adhesion G protein-coupled receptor L3 OS=Homo sapiens OX=9606 GN=ADGRL3 PE=1 SV=2
+MWPSQLLIFMMLLAPIIHAFSRAPIPMAVVRRELSCESYPIELRCPGTDVIMIESANYGRTDDKICDSDPAQMENIRCYLPDAYKIMSQRCNNRTQCAVVAGPDVFPDPCPGTYKYLEVQYECVPYKVEQKVFLCPGLLKGVYQSEHLFESDHQSGAWCKDPLQASDKIYYMPWTPYRTDTLTEYSSKDDFIAGRPTTTYKLPHRVDGTGFVVYDGALFFNKERTRNIVKFDLRTRIKSGEAIIANANYHDTSPYRWGGKSDIDLAVDENGLWVIYATEQNNGKIVISQLNPYTLRIEGTWDTAYDKRSASNAFMICGILYVVKSVYEDDDNEATGNKIDYIYNTDQSKDSLVDVPFPNSYQYIAAVDYNPRDNLLYVWNNYHVVKYSLDFGPLDSRSGQAHHGQVSYISPPIHLDSELERPSVKDISTTGPLGMGSTTTSTTLRTTTLSPGRSTTPSVSGRRNRSTSTPSPAVEVLDDMTTHLPSASSQIPALEESCEAVEAREIMWFKTRQGQIAKQPCPAGTIGVSTYLCLAPDGIWDPQGPDLSNCSSPWVNHITQKLKSGETAANIARELAEQTRNHLNAGDITYSVRAMDQLVGLLDVQLRNLTPGGKDSAARSLNKAMVETVNNLLQPQALNAWRDLTTSDQLRAATMLLHTVEESAFVLADNLLKTDIVRENTDNIKLEVARLSTEGNLEDLKFPENMGHGSTIQLSANTLKQNGRNGEIRVAFVLYNNLGPYLSTENASMKLGTEALSTNHSVIVNSPVITAAINKEFSNKVYLADPVVFTVKHIKQSEENFNPNCSFWSYSKRTMTGYWSTQGCRLLTTNKTHTTCSCNHLTNFAVLMAHVEVKHSDAVHDLLLDVITWVGILLSLVCLLICIFTFCFFRGLQSDRNTIHKNLCISLFVAELLFLIGINRTDQPIACAVFAALLHFFFLAAFTWMFLEGVQLYIMLVEVFESEHSRRKYFYLVGYGMPALIVAVSAAVDYRSYGTDKVCWLRLDTYFIWSFIGPATLIIMLNVIFLGIALYKMFHHTAILKPESGCLDNIKSWVIGAIALLCLLGLTWAFGLMYINESTVIMAYLFTIFNSLQGMFIFIFHCVLQKKVRKEYGKCLRTHCCSGKSTESSIGSGKTSGSRTPGRYSTGSQSRIRRMWNDTVRKQSESSFITGDINSSASLNREGLLNNARDTSVMDTLPLNGNHGNSYSIASGEYLSNCVQIIDRGYNHNETALEKKILKELTSNYIPSYLNNHERSSEQNRNLMNKLVNNLGSGREDDAIVLDDATSFNHEESLGLELIHEESDAPLLPPRVYSTENHQPHHYTRRRIPQDHSESFFPLLTNEHTEDLQSPHRDSLYTSMPTLAGVAATESVTTSTQTEPPPAKCGDAEDVYYKSMPNLGSRNHVHQLHTYYQLGRGSSDGFIVPPNKDGTPPEGSSKGPAHLVTSL
+>DECOY_sp|Q9HAR2|AGRL3_HUMAN Adhesion G protein-coupled receptor L3 OS=Homo sapiens OX=9606 GN=ADGRL3 PE=1 SV=2
+LSTVLHAPGKSSGEPPTGDKNPPVIFGDSSGRGLQYYTHLQHVHNRSGLNPMSKYYVDEADGCKAPPPETQTSTTVSETAAVGALTPMSTYLSDRHPSQLDETHENTLLPFFSESHDQPIRRRTYHHPQHNETSYVRPPLLPADSEEHILELGLSEEHNFSTADDLVIADDERGSGLNNVLKNMLNRNQESSREHNNLYSPIYNSTLEKLIKKELATENHNYGRDIIQVCNSLYEGSAISYSNGHNGNLPLTDMVSTDRANNLLGERNLSASSNIDGTIFSSESQKRVTDNWMRRIRSQSGTSYRGPTRSGSTKGSGISSETSKGSCCHTRLCKGYEKRVKKQLVCHFIFIFMGQLSNFITFLYAMIVTSENIYMLGFAWTLGLLCLLAIAGIVWSKINDLCGSEPKLIATHHFMKYLAIGLFIVNLMIILTAPGIFSWIFYTDLRLWCVKDTGYSRYDVAASVAVILAPMGYGVLYFYKRRSHESEFVEVLMIYLQVGELFMWTFAALFFFHLLAAFVACAIPQDTRNIGILFLLEAVFLSICLNKHITNRDSQLGRFFCFTFICILLCVLSLLIGVWTIVDLLLDHVADSHKVEVHAMLVAFNTLHNCSCTTHTKNTTLLRCGQTSWYGTMTRKSYSWFSCNPNFNEESQKIHKVTFVVPDALYVKNSFEKNIAATIVPSNVIVSHNTSLAETGLKMSANETSLYPGLNNYLVFAVRIEGNRGNQKLTNASLQITSGHGMNEPFKLDELNGETSLRAVELKINDTNERVIDTKLLNDALVFASEEVTHLLMTAARLQDSTTLDRWANLAQPQLLNNVTEVMAKNLSRAASDKGGPTLNRLQVDLLGVLQDMARVSYTIDGANLHNRTQEALERAINAATEGSKLKQTIHNVWPSSCNSLDPGQPDWIGDPALCLYTSVGITGAPCPQKAIQGQRTKFWMIERAEVAECSEELAPIQSSASPLHTTMDDLVEVAPSPTSTSRNRRGSVSPTTSRGPSLTTTRLTTSTTTSGMGLPGTTSIDKVSPRELESDLHIPPSIYSVQGHHAQGSRSDLPGFDLSYKVVHYNNWVYLLNDRPNYDVAAIYQYSNPFPVDVLSDKSQDTNYIYDIKNGTAENDDDEYVSKVVYLIGCIMFANSASRKDYATDWTGEIRLTYPNLQSIVIKGNNQETAYIVWLGNEDVALDIDSKGGWRYPSTDHYNANAIIAEGSKIRTRLDFKVINRTREKNFFLAGDYVVFGTGDVRHPLKYTTTPRGAIFDDKSSYETLTDTRYPTWPMYYIKDSAQLPDKCWAGSQHDSEFLHESQYVGKLLGPCLFVKQEVKYPVCEYQVELYKYTGPCPDPFVDPGAVVACQTRNNCRQSMIKYADPLYCRINEMQAPDSDCIKDDTRGYNASEIMIVDTGPCRLEIPYSECSLERRVVAMPIPARSFAHIIPALLMMFILLQSPWM
+>sp|Q9BYV1|AGT2_HUMAN Alanine--glyoxylate aminotransferase 2, mitochondrial OS=Homo sapiens OX=9606 GN=AGXT2 PE=1 SV=1
+MTLIWRHLLRPLCLVTSAPRILEMHPFLSLGTSRTSVTKLSLHTKPRMPPCDFMPERYQSLGYNRVLEIHKEHLSPVVTAYFQKPLLLHQGHMEWLFDAEGSRYLDFFSGIVTVSVGHCHPKVNAVAQKQLGRLWHTSTVFFHPPMHEYAEKLAALLPEPLKVIFLVNSGSEANELAMLMARAHSNNIDIISFRGAYHGCSPYTLGLTNVGTYKMELPGGTGCQPTMCPDVFRGPWGGSHCRDSPVQTIRKCSCAPDCCQAKDQYIEQFKDTLSTSVAKSIAGFFAEPIQGVNGVVQYPKGFLKEAFELVRARGGVCIADEVQTGFGRLGSHFWGFQTHDVLPDIVTMAKGIGNGFPMAAVITTPEIAKSLAKCLQHFNTFGGNPMACAIGSAVLEVIKEENLQENSQEVGTYMLLKFAKLRDEFEIVGDVRGKGLMIGIEMVQDKISCRPLPREEVNQIHEDCKHMGLLVGRGSIFSQTFRIAPSMCITKPEVDFAVEVFRSALTQHMERRAK
+>DECOY_sp|Q9BYV1|AGT2_HUMAN Alanine--glyoxylate aminotransferase 2, mitochondrial OS=Homo sapiens OX=9606 GN=AGXT2 PE=1 SV=1
+KARREMHQTLASRFVEVAFDVEPKTICMSPAIRFTQSFISGRGVLLGMHKCDEHIQNVEERPLPRCSIKDQVMEIGIMLGKGRVDGVIEFEDRLKAFKLLMYTGVEQSNEQLNEEKIVELVASGIACAMPNGGFTNFHQLCKALSKAIEPTTIVAAMPFGNGIGKAMTVIDPLVDHTQFGWFHSGLRGFGTQVEDAICVGGRARVLEFAEKLFGKPYQVVGNVGQIPEAFFGAISKAVSTSLTDKFQEIYQDKAQCCDPACSCKRITQVPSDRCHSGGWPGRFVDPCMTPQCGTGGPLEMKYTGVNTLGLTYPSCGHYAGRFSIIDINNSHARAMLMALENAESGSNVLFIVKLPEPLLAALKEAYEHMPPHFFVTSTHWLRGLQKQAVANVKPHCHGVSVTVIGSFFDLYRSGEADFLWEMHGQHLLLPKQFYATVVPSLHEKHIELVRNYGLSQYREPMFDCPPMRPKTHLSLKTVSTRSTGLSLFPHMELIRPASTVLCLPRLLHRWILTM
+>sp|P30556|AGTR1_HUMAN Type-1 angiotensin II receptor OS=Homo sapiens OX=9606 GN=AGTR1 PE=1 SV=1
+MILNSSTEDGIKRIQDDCPKAGRHNYIFVMIPTLYSIIFVVGIFGNSLVVIVIYFYMKLKTVASVFLLNLALADLCFLLTLPLWAVYTAMEYRWPFGNYLCKIASASVSFNLYASVFLLTCLSIDRYLAIVHPMKSRLRRTMLVAKVTCIIIWLLAGLASLPAIIHRNVFFIENTNITVCAFHYESQNSTLPIGLGLTKNILGFLFPFLIILTSYTLIWKALKKAYEIQKNKPRNDDIFKIIMAIVLFFFFSWIPHQIFTFLDVLIQLGIIRDCRIADIVDTAMPITICIAYFNNCLNPLFYGFLGKKFKRYFLQLLKYIPPKAKSHSNLSTKMSTLSYRPSDNVSSSTKKPAPCFEVE
+>DECOY_sp|P30556|AGTR1_HUMAN Type-1 angiotensin II receptor OS=Homo sapiens OX=9606 GN=AGTR1 PE=1 SV=1
+EVEFCPAPKKTSSSVNDSPRYSLTSMKTSLNSHSKAKPPIYKLLQLFYRKFKKGLFGYFLPNLCNNFYAICITIPMATDVIDAIRCDRIIGLQILVDLFTFIQHPIWSFFFFLVIAMIIKFIDDNRPKNKQIEYAKKLAKWILTYSTLIILFPFLFGLINKTLGLGIPLTSNQSEYHFACVTINTNEIFFVNRHIIAPLSALGALLWIIICTVKAVLMTRRLRSKMPHVIALYRDISLCTLLFVSAYLNFSVSASAIKCLYNGFPWRYEMATYVAWLPLTLLFCLDALALNLLFVSAVTKLKMYFYIVIVVLSNGFIGVVFIISYLTPIMVFIYNHRGAKPCDDQIRKIGDETSSNLIM
+>sp|P50052|AGTR2_HUMAN Type-2 angiotensin II receptor OS=Homo sapiens OX=9606 GN=AGTR2 PE=1 SV=1
+MKGNSTLATTSKNITSGLHFGLVNISGNNESTLNCSQKPSDKHLDAIPILYYIIFVIGFLVNIVVVTLFCCQKGPKKVSSIYIFNLAVADLLLLATLPLWATYYSYRYDWLFGPVMCKVFGSFLTLNMFASIFFITCMSVDRYQSVIYPFLSQRRNPWQASYIVPLVWCMACLSSLPTFYFRDVRTIEYLGVNACIMAFPPEKYAQWSAGIALMKNILGFIIPLIFIATCYFGIRKHLLKTNSYGKNRITRDQVLKMAAAVVLAFIICWLPFHVLTFLDALAWMGVINSCEVIAVIDLALPFAILLGFTNSCVNPFLYCFVGNRFQQKLRSVFRVPITWLQGKRESMSCRKSSSLREMETFVS
+>DECOY_sp|P50052|AGTR2_HUMAN Type-2 angiotensin II receptor OS=Homo sapiens OX=9606 GN=AGTR2 PE=1 SV=1
+SVFTEMERLSSSKRCSMSERKGQLWTIPVRFVSRLKQQFRNGVFCYLFPNVCSNTFGLLIAFPLALDIVAIVECSNIVGMWALADLFTLVHFPLWCIIFALVVAAAMKLVQDRTIRNKGYSNTKLLHKRIGFYCTAIFILPIIFGLINKMLAIGASWQAYKEPPFAMICANVGLYEITRVDRFYFTPLSSLCAMCWVLPVIYSAQWPNRRQSLFPYIVSQYRDVSMCTIFFISAFMNLTLFSGFVKCMVPGFLWDYRYSYYTAWLPLTALLLLDAVALNFIYISSVKKPGKQCCFLTVVVINVLFGIVFIIYYLIPIADLHKDSPKQSCNLTSENNGSINVLGFHLGSTINKSTTALTSNGKM
+>sp|Q9NZD4|AHSP_HUMAN Alpha-hemoglobin-stabilizing protein OS=Homo sapiens OX=9606 GN=AHSP PE=1 SV=1
+MALLKANKDLISAGLKEFSVLLNQQVFNDPLVSEEDMVTVVEDWMNFYINYYRQQVTGEPQERDKALQELRQELNTLANPFLAKYRDFLKSHELPSHPPPSS
+>DECOY_sp|Q9NZD4|AHSP_HUMAN Alpha-hemoglobin-stabilizing protein OS=Homo sapiens OX=9606 GN=AHSP PE=1 SV=1
+SSPPPHSPLEHSKLFDRYKALFPNALTNLEQRLEQLAKDREQPEGTVQQRYYNIYFNMWDEVVTVMDEESVLPDNFVQQNLLVSFEKLGASILDKNAKLLAM
+>sp|Q9GZX7|AICDA_HUMAN Single-stranded DNA cytosine deaminase OS=Homo sapiens OX=9606 GN=AICDA PE=1 SV=1
+MDSLLMNRRKFLYQFKNVRWAKGRRETYLCYVVKRRDSATSFSLDFGYLRNKNGCHVELLFLRYISDWDLDPGRCYRVTWFTSWSPCYDCARHVADFLRGNPNLSLRIFTARLYFCEDRKAEPEGLRRLHRAGVQIAIMTFKDYFYCWNTFVENHERTFKAWEGLHENSVRLSRQLRRILLPLYEVDDLRDAFRTLGL
+>DECOY_sp|Q9GZX7|AICDA_HUMAN Single-stranded DNA cytosine deaminase OS=Homo sapiens OX=9606 GN=AICDA PE=1 SV=1
+LGLTRFADRLDDVEYLPLLIRRLQRSLRVSNEHLGEWAKFTREHNEVFTNWCYFYDKFTMIAIQVGARHLRRLGEPEAKRDECFYLRATFIRLSLNPNGRLFDAVHRACDYCPSWSTFWTVRYCRGPDLDWDSIYRLFLLEVHCGNKNRLYGFDLSFSTASDRRKVVYCLYTERRGKAWRVNKFQYLFKRRNMLLSDM
+>sp|Q9P0M2|AKA7G_HUMAN A-kinase anchor protein 7 isoform gamma OS=Homo sapiens OX=9606 GN=AKAP7 PE=1 SV=2
+MERPEAGGINSNECENVSRKKKMSEEFEANTMDSLVDMPFATVDIQDDCGITDEPQINLKRSQENEWVKSDQVKKRKKKRKDYQPNYFLSIPITNKEIIKGIKILQNAIIQQDERLAKAMVSDGSFHITLLVMQLLNEDEVNIGIDALLELKPFIEELLQGKHLTLPFQGIGTFGNQVGFVKLAEGDHVNSLLEIAETANRTFQEKGILVGESRSFKPHLTFMKLSKSPWLRKNGVKKIDPDLYEKFISHRFGEEILYRIDLCSMLKKKQSNGYYHCESSIVIGEKNGGEPDDAELVRLSKRLVENAVLKAVQQYLEETQNKNKPGEGSSVKTEAADQNGNDNENNRK
+>DECOY_sp|Q9P0M2|AKA7G_HUMAN A-kinase anchor protein 7 isoform gamma OS=Homo sapiens OX=9606 GN=AKAP7 PE=1 SV=2
+KRNNENDNGNQDAAETKVSSGEGPKNKNQTEELYQQVAKLVANEVLRKSLRVLEADDPEGGNKEGIVISSECHYYGNSQKKKLMSCLDIRYLIEEGFRHSIFKEYLDPDIKKVGNKRLWPSKSLKMFTLHPKFSRSEGVLIGKEQFTRNATEAIELLSNVHDGEALKVFGVQNGFTGIGQFPLTLHKGQLLEEIFPKLELLADIGINVEDENLLQMVLLTIHFSGDSVMAKALREDQQIIANQLIKIGKIIEKNTIPISLFYNPQYDKRKKKRKKVQDSKVWENEQSRKLNIQPEDTIGCDDQIDVTAFPMDVLSDMTNAEFEESMKKKRSVNECENSNIGGAEPREM
+>sp|O75969|AKAP3_HUMAN A-kinase anchor protein 3 OS=Homo sapiens OX=9606 GN=AKAP3 PE=1 SV=2
+MSEKVDWLQSQNGVCKVDVYSPGDNQAQDWKMDTSTDPVRVLSWLRRDLEKSTAEFQDVRFKPGESFGGETSNSGDPHKGFSVDYYNTTTKGTPERLHFEMTHKEIPCQGPRAQLGNGSSVDEVSFYANRLTNLVIAMARKEINEKIDGSENKCVYQSLYMGNEPTPTKSLSKIASELVNETVSACSRNAAPDKAPGSGDRVSGSSQSPPNLKYKSTLKIKESTKERQGPDDKPPSKKSFFYKEVFESRNGDYAREGGRFFPRERKRFRGQERPDDFTASVSEGIMTYANSVVSDMMVSIMKTLKIQVKDTTIATILLKKVLLKHAKEVVSDLIDSFLRNLHSVTGTLMTDTQFVSAVKRTVFSHGSQKATDIMDAMLRKLYNVMFAKKVPEHVRKAQDKAESYSLISMKGMGDPKNRNVNFAMKSETKLREKMYSEPKSEEETCAKTLGEHIIKEGLTLWHKTQQKECKSLGFQHAAFEAPNTQRKPASDISFEYPEDIGNLSLPPYPPEKPENFMYDSDSWAEDLIVSALLLIQYHLAQGGRRDARSFVEAAGTTNFPANEPPVAPDESCLKSAPIVGDQEQAEKKDLRSVFFNFIRNLLSETIFKRDQSPEPKVPEQPVKEDRKLCERPLASSPPRLYEDDETPGALSGLTKMAVSQIDGHMSGQMVEHLMNSVMKLCVIIAKSCDASLAELGDDKSGDASRLTSAFPDSLYECLPAKGTGSAEAVLQNAYQAIHNEMRGTSGQPPEGCAAPTVIVSNHNLTDTVQNKQLQAVLQWVAASELNVPILYFAGDDEGIQEKLLQLSAAAVDKGCSVGEVLQSVLRYEKERQLNEAVGNVTPLQLLDWLMVNL
+>DECOY_sp|O75969|AKAP3_HUMAN A-kinase anchor protein 3 OS=Homo sapiens OX=9606 GN=AKAP3 PE=1 SV=2
+LNVMLWDLLQLPTVNGVAENLQREKEYRLVSQLVEGVSCGKDVAAASLQLLKEQIGEDDGAFYLIPVNLESAAVWQLVAQLQKNQVTDTLNHNSVIVTPAACGEPPQGSTGRMENHIAQYANQLVAEASGTGKAPLCEYLSDPFASTLRSADGSKDDGLEALSADCSKAIIVCLKMVSNMLHEVMQGSMHGDIQSVAMKTLGSLAGPTEDDEYLRPPSSALPRECLKRDEKVPQEPVKPEPSQDRKFITESLLNRIFNFFVSRLDKKEAQEQDGVIPASKLCSEDPAVPPENAPFNTTGAAEVFSRADRRGGQALHYQILLLASVILDEAWSDSDYMFNEPKEPPYPPLSLNGIDEPYEFSIDSAPKRQTNPAEFAAHQFGLSKCEKQQTKHWLTLGEKIIHEGLTKACTEEESKPESYMKERLKTESKMAFNVNRNKPDGMGKMSILSYSEAKDQAKRVHEPVKKAFMVNYLKRLMADMIDTAKQSGHSFVTRKVASVFQTDTMLTGTVSHLNRLFSDILDSVVEKAHKLLVKKLLITAITTDKVQIKLTKMISVMMDSVVSNAYTMIGESVSATFDDPREQGRFRKRERPFFRGGERAYDGNRSEFVEKYFFSKKSPPKDDPGQREKTSEKIKLTSKYKLNPPSQSSGSVRDGSGPAKDPAANRSCASVTENVLESAIKSLSKTPTPENGMYLSQYVCKNESGDIKENIEKRAMAIVLNTLRNAYFSVEDVSSGNGLQARPGQCPIEKHTMEFHLREPTGKTTTNYYDVSFGKHPDGSNSTEGGFSEGPKFRVDQFEATSKELDRRLWSLVRVPDTSTDMKWDQAQNDGPSYVDVKCVGNQSQLWDVKESM
+>sp|Q99996|AKAP9_HUMAN A-kinase anchor protein 9 OS=Homo sapiens OX=9606 GN=AKAP9 PE=1 SV=4
+MEDEERQKKLEAGKAKLAQFRQRKAQSDGQSPSKKQKKKRKTSSSKHDVSAHHDLNIDQSQCNEMYINSSQRVESTVIPESTIMRTLHSGEITSHEQGFSVELESEISTTADDCSSEVNGCSFVMRTGKPTNLLREEEFGVDDSYSEQGAQDSPTHLEMMESELAGKQHEIEELNRELEEMRVTYGTEGLQQLQEFEAAIKQRDGIITQLTANLQQARREKDETMREFLELTEQSQKLQIQFQQLQASETLRNSTHSSTAADLLQAKQQILTHQQQLEEQDHLLEDYQKKKEDFTMQISFLQEKIKVYEMEQDKKVENSNKEEIQEKETIIEELNTKIIEEEKKTLELKDKLTTADKLLGELQEQIVQKNQEIKNMKLELTNSKQKERQSSEEIKQLMGTVEELQKRNHKDSQFETDIVQRMEQETQRKLEQLRAELDEMYGQQIVQMKQELIRQHMAQMEEMKTRHKGEMENALRSYSNITVNEDQIKLMNVAINELNIKLQDTNSQKEKLKEELGLILEEKCALQRQLEDLVEELSFSREQIQRARQTIAEQESKLNEAHKSLSTVEDLKAEIVSASESRKELELKHEAEVTNYKIKLEMLEKEKNAVLDRMAESQEAELERLRTQLLFSHEEELSKLKEDLEIEHRINIEKLKDNLGIHYKQQIDGLQNEMSQKIETMQFEKDNLITKQNQLILEISKLKDLQQSLVNSKSEEMTLQINELQKEIEILRQEEKEKGTLEQEVQELQLKTELLEKQMKEKENDLQEKFAQLEAENSILKDEKKTLEDMLKIHTPVSQEERLIFLDSIKSKSKDSVWEKEIEILIEENEDLKQQCIQLNEEIEKQRNTFSFAEKNFEVNYQELQEEYACLLKVKDDLEDSKNKQELEYKSKLKALNEELHLQRINPTTVKMKSSVFDEDKTFVAETLEMGEVVEKDTTELMEKLEVTKREKLELSQRLSDLSEQLKQKHGEISFLNEEVKSLKQEKEQVSLRCRELEIIINHNRAENVQSCDTQVSSLLDGVVTMTSRGAEGSVSKVNKSFGEESKIMVEDKVSFENMTVGEESKQEQLILDHLPSVTKESSLRATQPSENDKLQKELNVLKSEQNDLRLQMEAQRICLSLVYSTHVDQVREYMENEKDKALCSLKEELIFAQEEKIKELQKIHQLELQTMKTQETGDEGKPLHLLIGKLQKAVSEECSYFLQTLCSVLGEYYTPALKCEVNAEDKENSGDYISENEDPELQDYRYEVQDFQENMHTLLNKVTEEYNKLLVLQTRLSKIWGQQTDGMKLEFGEENLPKEETEFLSIHSQMTNLEDIDVNHKSKLSSLQDLEKTKLEEQVQELESLISSLQQQLKETEQNYEAEIHCLQKRLQAVSESTVPPSLPVDSVVITESDAQRTMYPGSCVKKNIDGTIEFSGEFGVKEETNIVKLLEKQYQEQLEEEVAKVIVSMSIAFAQQTELSRISGGKENTASSKQAHAVCQQEQHYFNEMKLSQDQIGFQTFETVDVKFKEEFKPLSKELGEHGKEILLSNSDPHDIPESKDCVLTISEEMFSKDKTFIVRQSIHDEISVSSMDASRQLMLNEEQLEDMRQELVRQYQEHQQATELLRQAHMRQMERQREDQEQLQEEIKRLNRQLAQRSSIDNENLVSERERVLLEELEALKQLSLAGREKLCCELRNSSTQTQNGNENQGEVEEQTFKEKELDRKPEDVPPEILSNERYALQKANNRLLKILLEVVKTTAAVEETIGRHVLGILDRSSKSQSSASLIWRSEAEASVKSCVHEEHTRVTDESIPSYSGSDMPRNDINMWSKVTEEGTELSQRLVRSGFAGTEIDPENEELMLNISSRLQAAVEKLLEAISETSSQLEHAKVTQTELMRESFRQKQEATESLKCQEELRERLHEESRAREQLAVELSKAEGVIDGYADEKTLFERQIQEKTDIIDRLEQELLCASNRLQELEAEQQQIQEERELLSRQKEAMKAEAGPVEQQLLQETEKLMKEKLEVQCQAEKVRDDLQKQVKALEIDVEEQVSRFIELEQEKNTELMDLRQQNQALEKQLEKMRKFLDEQAIDREHERDVFQQEIQKLEQQLKVVPRFQPISEHQTREVEQLANHLKEKTDKCSELLLSKEQLQRDIQERNEEIEKLEFRVRELEQALLVSADTFQKVEDRKHFGAVEAKPELSLEVQLQAERDAIDRKEKEITNLEEQLEQFREELENKNEEVQQLHMQLEIQKKESTTRLQELEQENKLFKDDMEKLGLAIKESDAMSTQDQHVLFGKFAQIIQEKEVEIDQLNEQVTKLQQQLKITTDNKVIEEKNELIRDLETQIECLMSDQECVKRNREEEIEQLNEVIEKLQQELANIGQKTSMNAHSLSEEADSLKHQLDVVIAEKLALEQQVETANEEMTFMKNVLKETNFKMNQLTQELFSLKRERESVEKIQSIPENSVNVAIDHLSKDKPELEVVLTEDALKSLENQTYFKSFEENGKGSIINLETRLLQLESTVSAKDLELTQCYKQIKDMQEQGQFETEMLQKKIVNLQKIVEEKVAAALVSQIQLEAVQEYAKFCQDNQTISSEPERTNIQNLNQLREDELGSDISALTLRISELESQVVEMHTSLILEKEQVEIAEKNVLEKEKKLLELQKLLEGNEKKQREKEKKRSPQDVEVLKTTTELFHSNEESGFFNELEALRAESVATKAELASYKEKAEKLQEELLVKETNMTSLQKDLSQVRDHLAEAKEKLSILEKEDETEVQESKKACMFEPLPIKLSKSIASQTDGTLKISSSNQTPQILVKNAGIQINLQSECSSEEVTEIISQFTEKIEKMQELHAAEILDMESRHISETETLKREHYVAVQLLKEECGTLKAVIQCLRSKEGSSIPELAHSDAYQTREICSSDSGSDWGQGIYLTHSQGFDIASEGRGEESESATDSFPKKIKGLLRAVHNEGMQVLSLTESPYSDGEDHSIQQVSEPWLEERKAYINTISSLKDLITKMQLQREAEVYDSSQSHESFSDWRGELLLALQQVFLEERSVLLAAFRTELTALGTTDAVGLLNCLEQRIQEQGVEYQAAMECLQKADRRSLLSEIQALHAQMNGRKITLKREQESEKPSQELLEYNIQQKQSQMLEMQVELSSMKDRATELQEQLSSEKMVVAELKSELAQTKLELETTLKAQHKHLKELEAFRLEVKDKTDEVHLLNDTLASEQKKSRELQWALEKEKAKLGRSEERDKEELEDLKFSLESQKQRNLQLNLLLEQQKQLLNESQQKIESQRMLYDAQLSEEQGRNLELQVLLESEKVRIREMSSTLDRERELHAQLQSSDGTGQSRPPLPSEDLLKELQKQLEEKHSRIVELLNETEKYKLDSLQTRQQMEKDRQVHRKTLQTEQEANTEGQKKMHELQSKVEDLQRQLEEKRQQVYKLDLEGQRLQGIMQEFQKQELEREEKRESRRILYQNLNEPTTWSLTSDRTRNWVLQQKIEGETKESNYAKLIEMNGGGTGCNHELEMIRQKLQCVASKLQVLPQKASERLQFETADDEDFIWVQENIDEIILQLQKLTGQQGEEPSLVSPSTSCGSLTERLLRQNAELTGHISQLTEEKNDLRNMVMKLEEQIRWYRQTGAGRDNSSRFSLNGGANIEAIIASEKEVWNREKLTLQKSLKRAEAEVYKLKAELRNDSLLQTLSPDSEHVTLKRIYGKYLRAESFRKALIYQKKYLLLLLGGFQECEDATLALLARMGGQPAFTDLEVITNRPKGFTRFRSAVRVSIAISRMKFLVRRWHRVTGSVSININRDGFGLNQGAEKTDSFYHSSGGLELYGEPRHTTYRSRSDLDYIRSPLPFQNRYPGTPADFNPGSLACSQLQNYDPDRALTDYITRLEALQRRLGTIQSGSTTQFHAGMRR
+>DECOY_sp|Q99996|AKAP9_HUMAN A-kinase anchor protein 9 OS=Homo sapiens OX=9606 GN=AKAP9 PE=1 SV=4
+RRMGAHFQTTSGSQITGLRRQLAELRTIYDTLARDPDYNQLQSCALSGPNFDAPTGPYRNQFPLPSRIYDLDSRSRYTTHRPEGYLELGGSSHYFSDTKEAGQNLGFGDRNINISVSGTVRHWRRVLFKMRSIAISVRVASRFRTFGKPRNTIVELDTFAPQGGMRALLALTADECEQFGGLLLLLYKKQYILAKRFSEARLYKGYIRKLTVHESDPSLTQLLSDNRLEAKLKYVEAEARKLSKQLTLKERNWVEKESAIIAEINAGGNLSFRSSNDRGAGTQRYWRIQEELKMVMNRLDNKEETLQSIHGTLEANQRLLRETLSGCSTSPSVLSPEEGQQGTLKQLQLIIEDINEQVWIFDEDDATEFQLRESAKQPLVQLKSAVCQLKQRIMELEHNCGTGGGNMEILKAYNSEKTEGEIKQQLVWNRTRDSTLSWTTPENLNQYLIRRSERKEERELEQKQFEQMIGQLRQGELDLKYVQQRKEELQRQLDEVKSQLEHMKKQGETNAEQETQLTKRHVQRDKEMQQRTQLSDLKYKETENLLEVIRSHKEELQKQLEKLLDESPLPPRSQGTGDSSQLQAHLERERDLTSSMERIRVKESELLVQLELNRGQEESLQADYLMRQSEIKQQSENLLQKQQELLLNLQLNRQKQSELSFKLDELEEKDREESRGLKAKEKELAWQLERSKKQESALTDNLLHVEDTKDKVELRFAELEKLHKHQAKLTTELELKTQALESKLEAVVMKESSLQEQLETARDKMSSLEVQMELMQSQKQQINYELLEQSPKESEQERKLTIKRGNMQAHLAQIESLLSRRDAKQLCEMAAQYEVGQEQIRQELCNLLGVADTTGLATLETRFAALLVSREELFVQQLALLLEGRWDSFSEHSQSSDYVEAERQLQMKTILDKLSSITNIYAKREELWPESVQQISHDEGDSYPSETLSLVQMGENHVARLLGKIKKPFSDTASESEEGRGESAIDFGQSHTLYIGQGWDSGSDSSCIERTQYADSHALEPISSGEKSRLCQIVAKLTGCEEKLLQVAVYHERKLTETESIHRSEMDLIEAAHLEQMKEIKETFQSIIETVEESSCESQLNIQIGANKVLIQPTQNSSSIKLTGDTQSAISKSLKIPLPEFMCAKKSEQVETEDEKELISLKEKAEALHDRVQSLDKQLSTMNTEKVLLEEQLKEAKEKYSALEAKTAVSEARLAELENFFGSEENSHFLETTTKLVEVDQPSRKKEKERQKKENGELLKQLELLKKEKELVNKEAIEVQEKELILSTHMEVVQSELESIRLTLASIDSGLEDERLQNLNQINTREPESSITQNDQCFKAYEQVAELQIQSVLAAAVKEEVIKQLNVIKKQLMETEFQGQEQMDKIQKYCQTLELDKASVTSELQLLRTELNIISGKGNEEFSKFYTQNELSKLADETLVVELEPKDKSLHDIAVNVSNEPISQIKEVSERERKLSFLEQTLQNMKFNTEKLVNKMFTMEENATEVQQELALKEAIVVDLQHKLSDAEESLSHANMSTKQGINALEQQLKEIVENLQEIEEERNRKVCEQDSMLCEIQTELDRILENKEEIVKNDTTIKLQQQLKTVQENLQDIEVEKEQIIQAFKGFLVHQDQTSMADSEKIALGLKEMDDKFLKNEQELEQLRTTSEKKQIELQMHLQQVEENKNELEERFQELQEELNTIEKEKRDIADREAQLQVELSLEPKAEVAGFHKRDEVKQFTDASVLLAQELERVRFELKEIEENREQIDRQLQEKSLLLESCKDTKEKLHNALQEVERTQHESIPQFRPVVKLQQELKQIEQQFVDREHERDIAQEDLFKRMKELQKELAQNQQRLDMLETNKEQELEIFRSVQEEVDIELAKVQKQLDDRVKEAQCQVELKEKMLKETEQLLQQEVPGAEAKMAEKQRSLLEREEQIQQQEAELEQLRNSACLLEQELRDIIDTKEQIQREFLTKEDAYGDIVGEAKSLEVALQERARSEEHLRERLEEQCKLSETAEQKQRFSERMLETQTVKAHELQSSTESIAELLKEVAAQLRSSINLMLEENEPDIETGAFGSRVLRQSLETGEETVKSWMNIDNRPMDSGSYSPISEDTVRTHEEHVCSKVSAEAESRWILSASSQSKSSRDLIGLVHRGITEEVAATTKVVELLIKLLRNNAKQLAYRENSLIEPPVDEPKRDLEKEKFTQEEVEGQNENGNQTQTSSNRLECCLKERGALSLQKLAELEELLVRERESVLNENDISSRQALQRNLRKIEEQLQEQDERQREMQRMHAQRLLETAQQHEQYQRVLEQRMDELQEENLMLQRSADMSSVSIEDHISQRVIFTKDKSFMEESITLVCDKSEPIDHPDSNSLLIEKGHEGLEKSLPKFEEKFKVDVTEFTQFGIQDQSLKMENFYHQEQQCVAHAQKSSATNEKGGSIRSLETQQAFAISMSVIVKAVEEELQEQYQKELLKVINTEEKVGFEGSFEITGDINKKVCSGPYMTRQADSETIVVSDVPLSPPVTSESVAQLRKQLCHIEAEYNQETEKLQQQLSSILSELEQVQEELKTKELDQLSSLKSKHNVDIDELNTMQSHISLFETEEKPLNEEGFELKMGDTQQGWIKSLRTQLVLLKNYEETVKNLLTHMNEQFDQVEYRYDQLEPDENESIYDGSNEKDEANVECKLAPTYYEGLVSCLTQLFYSCEESVAKQLKGILLHLPKGEDGTEQTKMTQLELQHIKQLEKIKEEQAFILEEKLSCLAKDKENEMYERVQDVHTSYVLSLCIRQAEMQLRLDNQESKLVNLEKQLKDNESPQTARLSSEKTVSPLHDLILQEQKSEEGVTMNEFSVKDEVMIKSEEGFSKNVKSVSGEAGRSTMTVVGDLLSSVQTDCSQVNEARNHNIIIELERCRLSVQEKEQKLSKVEENLFSIEGHKQKLQESLDSLRQSLELKERKTVELKEMLETTDKEVVEGMELTEAVFTKDEDFVSSKMKVTTPNIRQLHLEENLAKLKSKYELEQKNKSDELDDKVKLLCAYEEQLEQYNVEFNKEAFSFTNRQKEIEENLQICQQKLDENEEILIEIEKEWVSDKSKSKISDLFILREEQSVPTHIKLMDELTKKEDKLISNEAELQAFKEQLDNEKEKMQKELLETKLQLEQVEQELTGKEKEEQRLIEIEKQLENIQLTMEESKSNVLSQQLDKLKSIELILQNQKTILNDKEFQMTEIKQSMENQLGDIQQKYHIGLNDKLKEINIRHEIELDEKLKSLEEEHSFLLQTRLRELEAEQSEAMRDLVANKEKELMELKIKYNTVEAEHKLELEKRSESASVIEAKLDEVTSLSKHAENLKSEQEAITQRARQIQERSFSLEEVLDELQRQLACKEELILGLEEKLKEKQSNTDQLKINLENIAVNMLKIQDENVTINSYSRLANEMEGKHRTKMEEMQAMHQRILEQKMQVIQQGYMEDLEARLQELKRQTEQEMRQVIDTEFQSDKHNRKQLEEVTGMLQKIEESSQREKQKSNTLELKMNKIEQNKQVIQEQLEGLLKDATTLKDKLELTKKEEEIIKTNLEEIITEKEQIEEKNSNEVKKDQEMEYVKIKEQLFSIQMTFDEKKKQYDELLHDQEELQQQHTLIQQKAQLLDAATSSHTSNRLTESAQLQQFQIQLKQSQETLELFERMTEDKERRAQQLNATLQTIIGDRQKIAAEFEQLQQLGETGYTVRMEELERNLEEIEHQKGALESEMMELHTPSDQAGQESYSDDVGFEEERLLNTPKGTRMVFSCGNVESSCDDATTSIESELEVSFGQEHSTIEGSHLTRMITSEPIVTSEVRQSSNIYMENCQSQDINLDHHASVDHKSSSTKRKKKQKKSPSQGDSQAKRQRFQALKAKGAELKKQREEDEM
+>sp|Q9P2G1|AKIB1_HUMAN Ankyrin repeat and IBR domain-containing protein 1 OS=Homo sapiens OX=9606 GN=ANKIB1 PE=1 SV=3
+MGNTTTKFRKALINGDENLACQIYENNPQLKESLDPNTSYGEPYQHNTPLHYAARHGMNKILGTFLGRDGNPNKRNVHNETSMHLLCMGPQIMISEGALHPRLARPTEDDFRRADCLQMILKWKGAKLDQGEYERAAIDAVDNKKNTPLHYAAASGMKACVELLVKHGGDLFAENENKDTPCDCAEKQHHKDLALNLESQMVFSRDPEAEEIEAEYAALDKREPYEGLRPQDLRRLKDMLIVETADMLQAPLFTAEALLRAHDWDREKLLEAWMSNPENCCQRSGVQMPTPPPSGYNAWDTLPSPRTPRTTRSSVTSPDEISLSPGDLDTSLCDICMCSISVFEDPVDMPCGHDFCRGCWESFLNLKIQEGEAHNIFCPAYDCFQLVPVDIIESVVSKEMDKRYLQFDIKAFVENNPAIKWCPTPGCDRAVRLTKQGSNTSGSDTLSFPLLRAPAVDCGKGHLFCWECLGEAHEPCDCQTWKNWLQKITEMKPEELVGVSEAYEDAANCLWLLTNSKPCANCKSPIQKNEGCNHMQCAKCKYDFCWICLEEWKKHSSSTGGYYRCTRYEVIQHVEEQSKEMTVEAEKKHKRFQELDRFMHYYTRFKNHEHSYQLEQRLLKTAKEKMEQLSRALKETEGGCPDTTFIEDAVHVLLKTRRILKCSYPYGFFLEPKSTKKEIFELMQTDLEMVTEDLAQKVNRPYLRTPRHKIIKAACLVQQKRQEFLASVARGVAPADSPEAPRRSFAGGTWDWEYLGFASPEEYAEFQYRRRHRQRRRGDVHSLLSNPPDPDEPSESTLDIPEGGSSSRRPGTSVVSSASMSVLHSSSLRDYTPASRSENQDSLQALSSLDEDDPNILLAIQLSLQESGLALDEETRDFLSNEASLGAIGTSLPSRLDSVPRNTDSPRAALSSSELLELGDSLMRLGAENDPFSTDTLSSHPLSEARSDFCPSSSDPDSAGQDPNINDNLLGNIMAWFHDMNPQSIALIPPATTEISADSQLPCIKDGSEGVKDVELVLPEDSMFEDASVSEGRGTQIEENPLEENILAGEAASQAGDSGNEAANRGDGSDVSSQTPQTSSDWLEQVHLV
+>DECOY_sp|Q9P2G1|AKIB1_HUMAN Ankyrin repeat and IBR domain-containing protein 1 OS=Homo sapiens OX=9606 GN=ANKIB1 PE=1 SV=3
+VLHVQELWDSSTQPTQSSVDSGDGRNAAENGSDGAQSAAEGALINEELPNEEIQTGRGESVSADEFMSDEPLVLEVDKVGESGDKICPLQSDASIETTAPPILAISQPNMDHFWAMINGLLNDNINPDQGASDPDSSSPCFDSRAESLPHSSLTDTSFPDNEAGLRMLSDGLELLESSSLAARPSDTNRPVSDLRSPLSTGIAGLSAENSLFDRTEEDLALGSEQLSLQIALLINPDDEDLSSLAQLSDQNESRSAPTYDRLSSSHLVSMSASSVVSTGPRRSSSGGEPIDLTSESPEDPDPPNSLLSHVDGRRRQRHRRRYQFEAYEEPSAFGLYEWDWTGGAFSRRPAEPSDAPAVGRAVSALFEQRKQQVLCAAKIIKHRPTRLYPRNVKQALDETVMELDTQMLEFIEKKTSKPELFFGYPYSCKLIRRTKLLVHVADEIFTTDPCGGETEKLARSLQEMKEKATKLLRQELQYSHEHNKFRTYYHMFRDLEQFRKHKKEAEVTMEKSQEEVHQIVEYRTCRYYGGTSSSHKKWEELCIWCFDYKCKACQMHNCGENKQIPSKCNACPKSNTLLWLCNAADEYAESVGVLEEPKMETIKQLWNKWTQCDCPEHAEGLCEWCFLHGKGCDVAPARLLPFSLTDSGSTNSGQKTLRVARDCGPTPCWKIAPNNEVFAKIDFQLYRKDMEKSVVSEIIDVPVLQFCDYAPCFINHAEGEQIKLNLFSEWCGRCFDHGCPMDVPDEFVSISCMCIDCLSTDLDGPSLSIEDPSTVSSRTTRPTRPSPLTDWANYGSPPPTPMQVGSRQCCNEPNSMWAELLKERDWDHARLLAEATFLPAQLMDATEVILMDKLRRLDQPRLGEYPERKDLAAYEAEIEEAEPDRSFVMQSELNLALDKHHQKEACDCPTDKNENEAFLDGGHKVLLEVCAKMGSAAAYHLPTNKKNDVADIAAREYEGQDLKAGKWKLIMQLCDARRFDDETPRALRPHLAGESIMIQPGMCLLHMSTENHVNRKNPNGDRGLFTGLIKNMGHRAAYHLPTNHQYPEGYSTNPDLSEKLQPNNEYIQCALNEDGNILAKRFKTTTNGM
+>sp|Q9H9L7|AKIR1_HUMAN Akirin-1 OS=Homo sapiens OX=9606 GN=AKIRIN1 PE=1 SV=1
+MACGATLKRPMEFEAALLSPGSPKRRRCAPLPGPTPGLRPPDAEPPPPFQTQTPPQSLQQPAPPGSERRLPTPEQIFQNIKQEYSRYQRWRHLEVVLNQSEACASESQPHSSALTAPSSPGSSWMKKDQPTFTLRQVGIICERLLKDYEDKIREEYEQILNTKLAEQYESFVKFTHDQIMRRYGTRPTSYVS
+>DECOY_sp|Q9H9L7|AKIR1_HUMAN Akirin-1 OS=Homo sapiens OX=9606 GN=AKIRIN1 PE=1 SV=1
+SVYSTPRTGYRRMIQDHTFKVFSEYQEALKTNLIQEYEERIKDEYDKLLRECIIGVQRLTFTPQDKKMWSSGPSSPATLASSHPQSESACAESQNLVVELHRWRQYRSYEQKINQFIQEPTPLRRESGPPAPQQLSQPPTQTQFPPPPEADPPRLGPTPGPLPACRRRKPSGPSLLAAEFEMPRKLTAGCAM
+>sp|P43353|AL3B1_HUMAN Aldehyde dehydrogenase family 3 member B1 OS=Homo sapiens OX=9606 GN=ALDH3B1 PE=1 SV=1
+MDPLGDTLRRLREAFHAGRTRPAEFRAAQLQGLGRFLQENKQLLHDALAQDLHKSAFESEVSEVAISQGEVTLALRNLRAWMKDERVPKNLATQLDSAFIRKEPFGLVLIIAPWNYPLNLTLVPLVGALAAGNCVVLKPSEISKNVEKILAEVLPQYVDQSCFAVVLGGPQETGQLLEHRFDYIFFTGSPRVGKIVMTAAAKHLTPVTLELGGKNPCYVDDNCDPQTVANRVAWFRYFNAGQTCVAPDYVLCSPEMQERLLPALQSTITRFYGDDPQSSPNLGRIINQKQFQRLRALLGCGRVAIGGQSDESDRYIAPTVLVDVQEMEPVMQEEIFGPILPIVNVQSLDEAIEFINRREKPLALYAFSNSSQVVKRVLTQTSSGGFCGNDGFMHMTLASLPFGGVGASGMGRYHGKFSFDTFSHHRACLLRSPGMEKLNALRYPPQSPRRLRMLLVAMEAQGCSCTLL
+>DECOY_sp|P43353|AL3B1_HUMAN Aldehyde dehydrogenase family 3 member B1 OS=Homo sapiens OX=9606 GN=ALDH3B1 PE=1 SV=1
+LLTCSCGQAEMAVLLMRLRRPSQPPYRLANLKEMGPSRLLCARHHSFTDFSFKGHYRGMGSAGVGGFPLSALTMHMFGDNGCFGGSSTQTLVRKVVQSSNSFAYLALPKERRNIFEIAEDLSQVNVIPLIPGFIEEQMVPEMEQVDVLVTPAIYRDSEDSQGGIAVRGCGLLARLRQFQKQNIIRGLNPSSQPDDGYFRTITSQLAPLLREQMEPSCLVYDPAVCTQGANFYRFWAVRNAVTQPDCNDDVYCPNKGGLELTVPTLHKAAATMVIKGVRPSGTFFIYDFRHELLQGTEQPGGLVVAFCSQDVYQPLVEALIKEVNKSIESPKLVVCNGAALAGVLPVLTLNLPYNWPAIILVLGFPEKRIFASDLQTALNKPVREDKMWARLNRLALTVEGQSIAVESVESEFASKHLDQALADHLLQKNEQLFRGLGQLQAARFEAPRTRGAHFAERLRRLTDGLPDM
+>sp|P49419|AL7A1_HUMAN Alpha-aminoadipic semialdehyde dehydrogenase OS=Homo sapiens OX=9606 GN=ALDH7A1 PE=1 SV=5
+MWRLPRALCVHAAKTSKLSGPWSRPAAFMSTLLINQPQYAWLKELGLREENEGVYNGSWGGRGEVITTYCPANNEPIARVRQASVADYEETVKKAREAWKIWADIPAPKRGEIVRQIGDALREKIQVLGSLVSLEMGKILVEGVGEVQEYVDICDYAVGLSRMIGGPILPSERSGHALIEQWNPVGLVGIITAFNFPVAVYGWNNAIAMICGNVCLWKGAPTTSLISVAVTKIIAKVLEDNKLPGAICSLTCGGADIGTAMAKDERVNLLSFTGSTQVGKQVGLMVQERFGRSLLELGGNNAIIAFEDADLSLVVPSALFAAVGTAGQRCTTARRLFIHESIHDEVVNRLKKAYAQIRVGNPWDPNVLYGPLHTKQAVSMFLGAVEEAKKEGGTVVYGGKVMDRPGNYVEPTIVTGLGHDASIAHTETFAPILYVFKFKNEEEVFAWNNEVKQGLSSSIFTKDLGRIFRWLGPKGSDCGIVNVNIPTSGAEIGGAFGGEKHTGGGRESGSDAWKQYMRRSTCTINYSKDLPLAQGIKFQ
+>DECOY_sp|P49419|AL7A1_HUMAN Alpha-aminoadipic semialdehyde dehydrogenase OS=Homo sapiens OX=9606 GN=ALDH7A1 PE=1 SV=5
+QFKIGQALPLDKSYNITCTSRRMYQKWADSGSERGGGTHKEGGFAGGIEAGSTPINVNVIGCDSGKPGLWRFIRGLDKTFISSSLGQKVENNWAFVEEENKFKFVYLIPAFTETHAISADHGLGTVITPEVYNGPRDMVKGGYVVTGGEKKAEEVAGLFMSVAQKTHLPGYLVNPDWPNGVRIQAYAKKLRNVVEDHISEHIFLRRATTCRQGATGVAAFLASPVVLSLDADEFAIIANNGGLELLSRGFREQVMLGVQKGVQTSGTFSLLNVREDKAMATGIDAGGCTLSCIAGPLKNDELVKAIIKTVAVSILSTTPAGKWLCVNGCIMAIANNWGYVAVPFNFATIIGVLGVPNWQEILAHGSRESPLIPGGIMRSLGVAYDCIDVYEQVEGVGEVLIKGMELSVLSGLVQIKERLADGIQRVIEGRKPAPIDAWIKWAERAKKVTEEYDAVSAQRVRAIPENNAPCYTTIVEGRGGWSGNYVGENEERLGLEKLWAYQPQNILLTSMFAAPRSWPGSLKSTKAAHVCLARPLRWM
+>sp|P49189|AL9A1_HUMAN 4-trimethylaminobutyraldehyde dehydrogenase OS=Homo sapiens OX=9606 GN=ALDH9A1 PE=1 SV=3
+MSTGTFVVSQPLNYRGGARVEPADASGTEKAFEPATGRVIATFTCSGEKEVNLAVQNAKAAFKIWSQKSGMERCRILLEAARIIREREDEIATMECINNGKSIFEARLDIDISWQCLEYYAGLAASMAGEHIQLPGGSFGYTRREPLGVCVGIGAWNYPFQIASWKSAPALACGNAMVFKPSPFTPVSALLLAEIYSEAGVPPGLFNVVQGGAATGQFLCQHPDVAKVSFTGSVPTGMKIMEMSAKGIKPVTLELGGKSPLIIFSDCDMNNAVKGALMANFLTQGQVCCNGTRVFVQKEILDKFTEEVVKQTQRIKIGDPLLEDTRMGPLINRPHLERVLGFVKVAKEQGAKVLCGGDIYVPEDPKLKDGYYMRPCVLTNCRDDMTCVKEEIFGPVMSILSFDTEAEVLERANDTTFGLAAGVFTRDIQRAHRVVAELQAGTCFINNYNVSPVELPFGGYKKSGFGRENGRVTIEYYSQLKTVCVEMGDVESAF
+>DECOY_sp|P49189|AL9A1_HUMAN 4-trimethylaminobutyraldehyde dehydrogenase OS=Homo sapiens OX=9606 GN=ALDH9A1 PE=1 SV=3
+FASEVDGMEVCVTKLQSYYEITVRGNERGFGSKKYGGFPLEVPSVNYNNIFCTGAQLEAVVRHARQIDRTFVGAALGFTTDNARELVEAETDFSLISMVPGFIEEKVCTMDDRCNTLVCPRMYYGDKLKPDEPVYIDGGCLVKAGQEKAVKVFGLVRELHPRNILPGMRTDELLPDGIKIRQTQKVVEETFKDLIEKQVFVRTGNCCVQGQTLFNAMLAGKVANNMDCDSFIILPSKGGLELTVPKIGKASMEMIKMGTPVSGTFSVKAVDPHQCLFQGTAAGGQVVNFLGPPVGAESYIEALLLASVPTFPSPKFVMANGCALAPASKWSAIQFPYNWAGIGVCVGLPERRTYGFSGGPLQIHEGAMSAALGAYYELCQWSIDIDLRAEFISKGNNICEMTAIEDERERIIRAAELLIRCREMGSKQSWIKFAAKANQVALNVEKEGSCTFTAIVRGTAPEFAKETGSADAPEVRAGGRYNLPQSVVFTGTSM
+>sp|Q9BV10|ALG12_HUMAN Dol-P-Man:Man(7)GlcNAc(2)-PP-Dol alpha-1,6-mannosyltransferase OS=Homo sapiens OX=9606 GN=ALG12 PE=1 SV=1
+MAGKGSSGRRPLLLGLLVAVATVHLVICPYTKVEESFNLQATHDLLYHWQDLEQYDHLEFPGVVPRTFLGPVVIAVFSSPAVYVLSLLEMSKFYSQLIVRGVLGLGVIFGLWTLQKEVRRHFGAMVATMFCWVTAMQFHLMFYCTRTLPNVLALPVVLLALAAWLRHEWARFIWLSAFAIIVFRVELCLFLGLLLLLALGNRKVSVVRALRHAVPAGILCLGLTVAVDSYFWRQLTWPEGKVLWYNTVLNKSSNWGTSPLLWYFYSALPRGLGCSLLFIPLGLVDRRTHAPTVLALGFMALYSLLPHKELRFIIYAFPMLNITAARGCSYLLNNYKKSWLYKAGSLLVIGHLVVNAAYSATALYVSHFNYPGGVAMQRLHQLVPPQTDVLLHIDVAAAQTGVSRFLQVNSAWRYDKREDVQPGTGMLAYTHILMEAAPGLLALYRDTHRVLASVVGTTGVSLNLTQLPPFNVHLQTKLVLLERLPRPS
+>DECOY_sp|Q9BV10|ALG12_HUMAN Dol-P-Man:Man(7)GlcNAc(2)-PP-Dol alpha-1,6-mannosyltransferase OS=Homo sapiens OX=9606 GN=ALG12 PE=1 SV=1
+SPRPLRELLVLKTQLHVNFPPLQTLNLSVGTTGVVSALVRHTDRYLALLGPAAEMLIHTYALMGTGPQVDERKDYRWASNVQLFRSVGTQAAAVDIHLLVDTQPPVLQHLRQMAVGGPYNFHSVYLATASYAANVVLHGIVLLSGAKYLWSKKYNNLLYSCGRAATINLMPFAYIIFRLEKHPLLSYLAMFGLALVTPAHTRRDVLGLPIFLLSCGLGRPLASYFYWLLPSTGWNSSKNLVTNYWLVKGEPWTLQRWFYSDVAVTLGLCLIGAPVAHRLARVVSVKRNGLALLLLLGLFLCLEVRFVIIAFASLWIFRAWEHRLWAALALLVVPLALVNPLTRTCYFMLHFQMATVWCFMTAVMAGFHRRVEKQLTWLGFIVGLGLVGRVILQSYFKSMELLSLVYVAPSSFVAIVVPGLFTRPVVGPFELHDYQELDQWHYLLDHTAQLNFSEEVKTYPCIVLHVTAVAVLLGLLLPRRGSSGKGAM
+>sp|Q9Y672|ALG6_HUMAN Dolichyl pyrophosphate Man9GlcNAc2 alpha-1,3-glucosyltransferase OS=Homo sapiens OX=9606 GN=ALG6 PE=1 SV=2
+MEKWYLMTVVVLIGLTVRWTVSLNSYSGAGKPPMFGDYEAQRHWQEITFNLPVKQWYFNSSDNNLQYWGLDYPPLTAYHSLLCAYVAKFINPDWIALHTSRGYESQAHKLFMRTTVLIADLLIYIPAVVLYCCCLKEISTKKKIANALCILLYPGLILIDYGHFQYNSVSLGFALWGVLGISCDCDLLGSLAFCLAINYKQMELYHALPFFCFLLGKCFKKGLKGKGFVLLVKLACIVVASFVLCWLPFFTEREQTLQVLRRLFPVDRGLFEDKVANIWCSFNVFLKIKDILPRHIQLIMSFCSTFLSLLPACIKLILQPSSKGFKFTLVSCALSFFLFSFQVHEKSILLVSLPVCLVLSEIPFMSTWFLLVSTFSMLPLLLKDELLMPSVVTTMAFFIACVTSFSIFEKTSEEELQLKSFSISVRKYLPCFTFLSRIIQYLFLISVITMVLLTLMTVTLDPPQKLPDLFSVLVCFVSCLNFLFFLVYFNIIIMWDSKSGRNQKKIS
+>DECOY_sp|Q9Y672|ALG6_HUMAN Dolichyl pyrophosphate Man9GlcNAc2 alpha-1,3-glucosyltransferase OS=Homo sapiens OX=9606 GN=ALG6 PE=1 SV=2
+SIKKQNRGSKSDWMIIINFYVLFFLFNLCSVFCVLVSFLDPLKQPPDLTVTMLTLLVMTIVSILFLYQIIRSLFTFCPLYKRVSISFSKLQLEEESTKEFISFSTVCAIFFAMTTVVSPMLLEDKLLLPLMSFTSVLLFWTSMFPIESLVLCVPLSVLLISKEHVQFSFLFFSLACSVLTFKFGKSSPQLILKICAPLLSLFTSCFSMILQIHRPLIDKIKLFVNFSCWINAVKDEFLGRDVPFLRRLVQLTQERETFFPLWCLVFSAVVICALKVLLVFGKGKLGKKFCKGLLFCFFPLAHYLEMQKYNIALCFALSGLLDCDCSIGLVGWLAFGLSVSNYQFHGYDILILGPYLLICLANAIKKKTSIEKLCCCYLVVAPIYILLDAILVTTRMFLKHAQSEYGRSTHLAIWDPNIFKAVYACLLSHYATLPPYDLGWYQLNNDSSNFYWQKVPLNFTIEQWHRQAEYDGFMPPKGAGSYSNLSVTWRVTLGILVVVTMLYWKEM
+>sp|Q6NS38|ALKB2_HUMAN DNA oxidative demethylase ALKBH2 OS=Homo sapiens OX=9606 GN=ALKBH2 PE=1 SV=1
+MDRFLVKGAQGGLLRKQEEQEPTGEEPAVLGGDKESTRKRPRREAPGNGGHSAGPSWRHIRAEGLDCSYTVLFGKAEADEIFQELEKEVEYFTGALARVQVFGKWHSVPRKQATYGDAGLTYTFSGLTLSPKPWIPVLERIRDHVSGVTGQTFNFVLINRYKDGCDHIGEHRDDERELAPGSPIASVSFGACRDFVFRHKDSRGKSPSRRVAVVRLPLAHGSLLMMNHPTNTHWYHSLPVRKKVLAPRVNLTFRKILLTKK
+>DECOY_sp|Q6NS38|ALKB2_HUMAN DNA oxidative demethylase ALKBH2 OS=Homo sapiens OX=9606 GN=ALKBH2 PE=1 SV=1
+KKTLLIKRFTLNVRPALVKKRVPLSHYWHTNTPHNMMLLSGHALPLRVVAVRRSPSKGRSDKHRFVFDRCAGFSVSAIPSGPALEREDDRHEGIHDCGDKYRNILVFNFTQGTVGSVHDRIRELVPIWPKPSLTLGSFTYTLGADGYTAQKRPVSHWKGFVQVRALAGTFYEVEKELEQFIEDAEAKGFLVTYSCDLGEARIHRWSPGASHGGNGPAERRPRKRTSEKDGGLVAPEEGTPEQEEQKRLLGGQAGKVLFRDM
+>sp|Q96Q83|ALKB3_HUMAN Alpha-ketoglutarate-dependent dioxygenase alkB homolog 3 OS=Homo sapiens OX=9606 GN=ALKBH3 PE=1 SV=1
+MEEKRRRARVQGAWAAPVKSQAIAQPATTAKSHLHQKPGQTWKNKEHHLSDREFVFKEPQQVVRRAPEPRVIDREGVYEISLSPTGVSRVCLYPGFVDVKEADWILEQLCQDVPWKQRTGIREDITYQQPRLTAWYGELPYTYSRITMEPNPHWHPVLRTLKNRIEENTGHTFNSLLCNLYRNEKDSVDWHSDDEPSLGRCPIIASLSFGATRTFEMRKKPPPEENGDYTYVERVKIPLDHGTLLIMEGATQADWQHRVPKEYHSREPRVNLTFRTVYPDPRGAPW
+>DECOY_sp|Q96Q83|ALKB3_HUMAN Alpha-ketoglutarate-dependent dioxygenase alkB homolog 3 OS=Homo sapiens OX=9606 GN=ALKBH3 PE=1 SV=1
+WPAGRPDPYVTRFTLNVRPERSHYEKPVRHQWDAQTAGEMILLTGHDLPIKVREVYTYDGNEEPPPKKRMEFTRTAGFSLSAIIPCRGLSPEDDSHWDVSDKENRYLNCLLSNFTHGTNEEIRNKLTRLVPHWHPNPEMTIRSYTYPLEGYWATLRPQQYTIDERIGTRQKWPVDQCLQELIWDAEKVDVFGPYLCVRSVGTPSLSIEYVGERDIVRPEPARRVVQQPEKFVFERDSLHHEKNKWTQGPKQHLHSKATTAPQAIAQSKVPAAWAGQVRARRRKEEM
+>sp|Q6UXT8|ALKL1_HUMAN ALK and LTK ligand 1 OS=Homo sapiens OX=9606 GN=ALKAL1 PE=1 SV=1
+MRPLKPGAPLPALFLLALALSPHGAHGRPRGRRGARVTDKEPKPLLFLPAAGAGRTPSGSRSAEIFPRDSNLKDKFIKHFTGPVTFSPECSKHFHRLYYNTRECSTPAYYKRCARLLTRLAVSPLCSQT
+>DECOY_sp|Q6UXT8|ALKL1_HUMAN ALK and LTK ligand 1 OS=Homo sapiens OX=9606 GN=ALKAL1 PE=1 SV=1
+TQSCLPSVALRTLLRACRKYYAPTSCERTNYYLRHFHKSCEPSFTVPGTFHKIFKDKLNSDRPFIEASRSGSPTRGAGAAPLFLLPKPEKDTVRAGRRGRPRGHAGHPSLALALLFLAPLPAGPKLPRM
+>sp|Q8N6M5|ALLC_HUMAN Probable allantoicase OS=Homo sapiens OX=9606 GN=ALLC PE=2 SV=4
+MDMASESVGGKILFATDDFFAPAENLIKSDSPCFKEHEYTEFGKWMDGWETRRKRIPGHDWCVLRLGIQGVIRGFDVDVSYFTGDYAPRVSIQAANLEEDKLPEIPERGTRTGAAATPEEFEAIAELKSDDWSYLVPMTELKPGNPASGHNYFLVNSQQRWTHIRLNIFPDGGIARLRVFGTGQKDWTATDPKEPADLVAIAFGGVCVGFSNAKFGHPNNIIGVGGAKSMADGWETARRLDRPPILENDENGILLVPGCEWAVFRLAHPGVITRIEIDTKYFEGNAPDSCKVDGCILTTQEEEAVIRQKWILPAHKWKPLLPVTKLSPNQSHLFDSLTLELQDVITHARLTIVPDGGVSRLRLRGFPSSICLLRPREKPMLKFSVSFKANP
+>DECOY_sp|Q8N6M5|ALLC_HUMAN Probable allantoicase OS=Homo sapiens OX=9606 GN=ALLC PE=2 SV=4
+PNAKFSVSFKLMPKERPRLLCISSPFGRLRLRSVGGDPVITLRAHTIVDQLELTLSDFLHSQNPSLKTVPLLPKWKHAPLIWKQRIVAEEEQTTLICGDVKCSDPANGEFYKTDIEIRTIVGPHALRFVAWECGPVLLIGNEDNELIPPRDLRRATEWGDAMSKAGGVGIINNPHGFKANSFGVCVGGFAIAVLDAPEKPDTATWDKQGTGFVRLRAIGGDPFINLRIHTWRQQSNVLFYNHGSAPNGPKLETMPVLYSWDDSKLEAIAEFEEPTAAAGTRTGREPIEPLKDEELNAAQISVRPAYDGTFYSVDVDFGRIVGQIGLRLVCWDHGPIRKRRTEWGDMWKGFETYEHEKFCPSDSKILNEAPAFFDDTAFLIKGGVSESAMDM
+>sp|Q86TB3|ALPK2_HUMAN Alpha-protein kinase 2 OS=Homo sapiens OX=9606 GN=ALPK2 PE=2 SV=3
+MKDSEGPQRPPLCFLSTLLSQKVPEKSDAVLRCIISGQPKPEVTWYKNGQAIDGSGIISNYEFFENQYIHVLHLSCCTKNDAAVYQISAKNSFGMICCSASVEVECSSENPQLSPNLEDDRDRGWKHETGTHEEERANQIDEKEHPYKEEESISPGTPRSADSSPSKSNHSLSLQSLGNLDISVSSSENPLGVKGTRHTGEAYDPSNTEEIANGLLFLNSSHIYEKQDRCCHKTVHSMASKFTDGDLNNDGPHDEGLRSSQQNPKVQKYISFSLPLSEATAHIYPGDSAVANKQPSPQLSSEDSDSDYELCPEITLTYTEEFSDDDLEYLECSDVMTDYSNAVWQRNLLGTEHVFLLESDDEEMEFGEHCLGGCEHFLSGMGCGSRVSGDAGPMVATAGFCGHHSQPQEVGVRSSRVSKHGPSSPQTGMTLILGPHQDGTSSVTEQGRYKLPTAPEAAENDYPGIQGETRDSHQAREEFASDNLLNMDESVRETEMKLLSGESENSGMSQCWETAADKRVGGKDLWSKRGSRKSARVRQPGMKGNPKKPNANLRESTTEGTLHLCSAKESAEPPLTQSDKRETSHTTAAATGRSSHADARECAISTQAEQEAKTLQTSTDSVSKEGNTNCKGEGMQVNTLFETSQVPDWSDPPQVQVQETVRETISCSQMPAFSEPAGEESPFTGTTTISFSNLGGVHKENASLAQHSEVKPCTCGPQHEEKQDRDGNIPDNFREDLKYEQSISEANDETMSPGVFSRHLPKDARADFREPVAVSVASPEPTDTALTLENVCDEPRDREAVCAMECFEAGDQGTCFDTIDSLVGRPVDKYSPQEICSVDTELAEGQNKVSDLCSSNDKTLEVFFQTQVSETSVSTCKSSKDGNSVMSPLFTSTFTLNISHTASEGATGENLAKVENSTYPLASTVHAGQEQPSPSNSGGLDETQLLSSENNPLVQFKEGGDKSPSPSAADTTATPASYSSIVSFPWEKPTTLTANNECFQATRETEDTSTVTIATEVHPAKYLAVSIPEDKHAGGTEERFPRASHEKVSQFPSQVQLDHILSGATIKSTKELLCRAPSVPGVPHHVLQLPEGEGFCSNSPLQVDNLSGDKSQTVDRADFRSYEENFQERGSETKQGVQQQSLSQQGSLSAPDFQQSLPTTSAAQEERNLVPTAHSPASSREGAGQRSGWGTRVSVVAETAGEEDSQALSNVPSLSDILLEESKEYRPGNWEAGNKLKIITLEASASEIWPPRQLTNSESKASDGGLIIPDKVWAVPDSLKADAVVPELAPSEIAALAHSPEDAESALADSRESHKGEEPTISVHWRSLSSRGFSQPRLLESSVDPVDEKELSVTDSLSAASETGGKENVNNVSQDQEEKQLKMDHTAFFKKFLTCPKILESSVDPIDEISVIEYTRAGKPEPSETTPQGAREGGQSNDGNMGHEAEIQPAILQVPCLQGTILSENRISRSQEGSMKQEAEQIQPEEAKTAIWQVLQPSEGGERIPSGCSIGQIQESSDGSLGEAEQSKKDKAELISPTSPLSSCLPIMTHASLGVDTHNSTGQIHDVPENDIVEPRKRQYVFPVSQKRGTIENERGKPLPSSPDLTRFPCTSSPEGNVTDFLISHKMEEPKIEVLQIGETKPPSSSSSSAKTLAFISGERELEKAPKLLQDPCQKGTLGCAKKSREREKSLEARAGKSPGTLTAVTGSEEVKRKPEAPGSGHLAEGVKKKILSRVAALRLKLEEKENIRKNSAFLKKMPKLETSLSHTEEKQDPKKPSCKREGRAPVLLKKIQAEMFPEHSGNVKLSCQFAEIHEDSTICWTKDSKSIAQVQRSAGDNSTVSFAIVQASPKDQGLYYCCIKNSYGKVTAEFNLTAEVLKQLSSRQDTKGCEEIEFSQLIFKEDFLHDSYFGGRLRGQIATEELHFGEGVHRKAFRSTVMHGLMPVFKPGHACVLKVHNAIAYGTRNNDELIQRNYKLAAQECYVQNTARYYAKIYAAEAQPLEGFGEVPEIIPIFLIHRPENNIPYATVEEELIGEFVKYSIRDGKEINFLRRESEAGQKCCTFQHWVYQKTSGCLLVTDMQGVGMKLTDVGIATLAKGYKGFKGNCSMTFIDQFKALHQCNKYCKMLGLKSLQNNNQKQKQPSIGKSKVQTNSMTIKKAGPETPGEKKT
+>DECOY_sp|Q86TB3|ALPK2_HUMAN Alpha-protein kinase 2 OS=Homo sapiens OX=9606 GN=ALPK2 PE=2 SV=3
+TKKEGPTEPGAKKITMSNTQVKSKGISPQKQKQNNNQLSKLGLMKCYKNCQHLAKFQDIFTMSCNGKFGKYGKALTAIGVDTLKMGVGQMDTVLLCGSTKQYVWHQFTCCKQGAESERRLFNIEKGDRISYKVFEGILEEEVTAYPINNEPRHILFIPIIEPVEGFGELPQAEAAYIKAYYRATNQVYCEQAALKYNRQILEDNNRTGYAIANHVKLVCAHGPKFVPMLGHMVTSRFAKRHVGEGFHLEETAIQGRLRGGFYSDHLFDEKFILQSFEIEECGKTDQRSSLQKLVEATLNFEATVKGYSNKICCYYLGQDKPSAQVIAFSVTSNDGASRQVQAISKSDKTWCITSDEHIEAFQCSLKVNGSHEPFMEAQIKKLLVPARGERKCSPKKPDQKEETHSLSTELKPMKKLFASNKRINEKEELKLRLAAVRSLIKKKVGEALHGSGPAEPKRKVEESGTVATLTGPSKGARAELSKERERSKKACGLTGKQCPDQLLKPAKELEREGSIFALTKASSSSSSPPKTEGIQLVEIKPEEMKHSILFDTVNGEPSSTCPFRTLDPSSPLPKGRENEITGRKQSVPFVYQRKRPEVIDNEPVDHIQGTSNHTDVGLSAHTMIPLCSSLPSTPSILEAKDKKSQEAEGLSGDSSEQIQGISCGSPIREGGESPQLVQWIATKAEEPQIQEAEQKMSGEQSRSIRNESLITGQLCPVQLIAPQIEAEHGMNGDNSQGGERAGQPTTESPEPKGARTYEIVSIEDIPDVSSELIKPCTLFKKFFATHDMKLQKEEQDQSVNNVNEKGGTESAASLSDTVSLEKEDVPDVSSELLRPQSFGRSSLSRWHVSITPEEGKHSERSDALASEADEPSHALAAIESPALEPVVADAKLSDPVAWVKDPIILGGDSAKSESNTLQRPPWIESASAELTIIKLKNGAEWNGPRYEKSEELLIDSLSPVNSLAQSDEEGATEAVVSVRTGWGSRQGAGERSSAPSHATPVLNREEQAASTTPLSQQFDPASLSGQQSLSQQQVGQKTESGREQFNEEYSRFDARDVTQSKDGSLNDVQLPSNSCFGEGEPLQLVHHPVGPVSPARCLLEKTSKITAGSLIHDLQVQSPFQSVKEHSARPFREETGGAHKDEPISVALYKAPHVETAITVTSTDETERTAQFCENNATLTTPKEWPFSVISSYSAPTATTDAASPSPSKDGGEKFQVLPNNESSLLQTEDLGGSNSPSPQEQGAHVTSALPYTSNEVKALNEGTAGESATHSINLTFTSTFLPSMVSNGDKSSKCTSVSTESVQTQFFVELTKDNSSCLDSVKNQGEALETDVSCIEQPSYKDVPRGVLSDITDFCTGQDGAEFCEMACVAERDRPEDCVNELTLATDTPEPSAVSVAVPERFDARADKPLHRSFVGPSMTEDNAESISQEYKLDERFNDPINGDRDQKEEHQPGCTCPKVESHQALSANEKHVGGLNSFSITTTGTFPSEEGAPESFAPMQSCSITERVTEQVQVQPPDSWDPVQSTEFLTNVQMGEGKCNTNGEKSVSDTSTQLTKAEQEAQTSIACERADAHSSRGTAAATTHSTERKDSQTLPPEASEKASCLHLTGETTSERLNANPKKPNGKMGPQRVRASKRSGRKSWLDKGGVRKDAATEWCQSMGSNESEGSLLKMETERVSEDMNLLNDSAFEERAQHSDRTEGQIGPYDNEAAEPATPLKYRGQETVSSTGDQHPGLILTMGTQPSSPGHKSVRSSRVGVEQPQSHHGCFGATAVMPGADGSVRSGCGMGSLFHECGGLCHEGFEMEEDDSELLFVHETGLLNRQWVANSYDTMVDSCELYELDDDSFEETYTLTIEPCLEYDSDSDESSLQPSPQKNAVASDGPYIHATAESLPLSFSIYKQVKPNQQSSRLGEDHPGDNNLDGDTFKSAMSHVTKHCCRDQKEYIHSSNLFLLGNAIEETNSPDYAEGTHRTGKVGLPNESSSVSIDLNGLSQLSLSHNSKSPSSDASRPTGPSISEEEKYPHEKEDIQNAREEEHTGTEHKWGRDRDDELNPSLQPNESSCEVEVSASCCIMGFSNKASIQYVAADNKTCCSLHLVHIYQNEFFEYNSIIGSGDIAQGNKYWTVEPKPQGSIICRLVADSKEPVKQSLLTSLFCLPPRQPGESDKM
+>sp|Q9UNK9|ANGE1_HUMAN Protein angel homolog 1 OS=Homo sapiens OX=9606 GN=ANGEL1 PE=1 SV=1
+MIASCLCYLLLPATRLFRALSDAFFTCRKNVLLANSSSPQVEGDFAMAPRGPEQEECEGLLQQWREEGLSQVLSTASEGPLIDKGLAQSSLALLMDNPGEENAASEDRWSSRQLSDLRAAENLDEPFPEMLGEEPLLEVEGVEGSMWAAIPMQSEPQYADCAALPVGALATEQWEEDPAVLAWSIAPEPVPQEEASIWPFEGLGQLQPPAVEIPYHEILWREWEDFSTQPDAQGLKAGDGPQFQFTLMSYNILAQDLMQQSSELYLHCHPDILNWNYRFVNLMQEFQHWDPDILCLQEVQEDHYWEQLEPSLRMMGFTCFYKRRTGCKTDGCAVCYKPTRFRLLCASPVEYFRPGLELLNRDNVGLVLLLQPLVPEGLGQVSVAPLCVANTHILYNPRRGDVKLAQMAILLAEVDKVARLSDGSHCPIILCGDLNSVPDSPLYNFIRDGELQYHGMPAWKVSGQEDFSHQLYQRKLQAPLWPSSLGITDCCQYVTSCHPKRSERRKYGRDFLLRFRFCSIACQRPVGLVLMEGVTDTKPERPAGWAESVLEEDASELEPAFSRTVGTIQHCLHLTSVYTHFLPQRGRPEVTTMPLGLGMTVDYIFFSAESCENGNRTDHRLYRDGTLKLLGRLSLLSEEILWAANGLPNPFCSSDHLCLLASFGMEVTAP
+>DECOY_sp|Q9UNK9|ANGE1_HUMAN Protein angel homolog 1 OS=Homo sapiens OX=9606 GN=ANGEL1 PE=1 SV=1
+PATVEMGFSALLCLHDSSCFPNPLGNAAWLIEESLLSLRGLLKLTGDRYLRHDTRNGNECSEASFFIYDVTMGLGLPMTTVEPRGRQPLFHTYVSTLHLCHQITGVTRSFAPELESADEELVSEAWGAPREPKTDTVGEMLVLGVPRQCAISCFRFRLLFDRGYKRRESRKPHCSTVYQCCDTIGLSSPWLPAQLKRQYLQHSFDEQGSVKWAPMGHYQLEGDRIFNYLPSDPVSNLDGCLIIPCHSGDSLRAVKDVEALLIAMQALKVDGRRPNYLIHTNAVCLPAVSVQGLGEPVLPQLLLVLGVNDRNLLELGPRFYEVPSACLLRFRTPKYCVACGDTKCGTRRKYFCTFGMMRLSPELQEWYHDEQVEQLCLIDPDWHQFEQMLNVFRYNWNLIDPHCHLYLESSQQMLDQALINYSMLTFQFQPGDGAKLGQADPQTSFDEWERWLIEHYPIEVAPPQLQGLGEFPWISAEEQPVPEPAISWALVAPDEEWQETALAGVPLAACDAYQPESQMPIAAWMSGEVGEVELLPEEGLMEPFPEDLNEAARLDSLQRSSWRDESAANEEGPNDMLLALSSQALGKDILPGESATSLVQSLGEERWQQLLGECEEQEPGRPAMAFDGEVQPSSSNALLVNKRCTFFADSLARFLRTAPLLLYCLCSAIM
+>sp|Q9Y5C1|ANGL3_HUMAN Angiopoietin-related protein 3 OS=Homo sapiens OX=9606 GN=ANGPTL3 PE=1 SV=1
+MFTIKLLLFIVPLVISSRIDQDNSSFDSLSPEPKSRFAMLDDVKILANGLLQLGHGLKDFVHKTKGQINDIFQKLNIFDQSFYDLSLQTSEIKEEEKELRRTTYKLQVKNEEVKNMSLELNSKLESLLEEKILLQQKVKYLEEQLTNLIQNQPETPEHPEVTSLKTFVEKQDNSIKDLLQTVEDQYKQLNQQHSQIKEIENQLRRTSIQEPTEISLSSKPRAPRTTPFLQLNEIRNVKHDGIPAECTTIYNRGEHTSGMYAIRPSNSQVFHVYCDVISGSPWTLIQHRIDGSQNFNETWENYKYGFGRLDGEFWLGLEKIYSIVKQSNYVLRIELEDWKDNKHYIEYSFYLGNHETNYTLHLVAITGNVPNAIPENKDLVFSTWDHKAKGHFNCPEGYSGGWWWHDECGENNLNGKYNKPRAKSKPERRRGLSWKSQNGRLYSIKSTKMLIHPTDSESFE
+>DECOY_sp|Q9Y5C1|ANGL3_HUMAN Angiopoietin-related protein 3 OS=Homo sapiens OX=9606 GN=ANGPTL3 PE=1 SV=1
+EFSESDTPHILMKTSKISYLRGNQSKWSLGRRREPKSKARPKNYKGNLNNEGCEDHWWWGGSYGEPCNFHGKAKHDWTSFVLDKNEPIANPVNGTIAVLHLTYNTEHNGLYFSYEIYHKNDKWDELEIRLVYNSQKVISYIKELGLWFEGDLRGFGYKYNEWTENFNQSGDIRHQILTWPSGSIVDCYVHFVQSNSPRIAYMGSTHEGRNYITTCEAPIGDHKVNRIENLQLFPTTRPARPKSSLSIETPEQISTRRLQNEIEKIQSHQQNLQKYQDEVTQLLDKISNDQKEVFTKLSTVEPHEPTEPQNQILNTLQEELYKVKQQLLIKEELLSELKSNLELSMNKVEENKVQLKYTTRRLEKEEEKIESTQLSLDYFSQDFINLKQFIDNIQGKTKHVFDKLGHGLQLLGNALIKVDDLMAFRSKPEPSLSDFSSNDQDIRSSIVLPVIFLLLKITFM
+>sp|Q8NI99|ANGL6_HUMAN Angiopoietin-related protein 6 OS=Homo sapiens OX=9606 GN=ANGPTL6 PE=1 SV=1
+MGKPWLRALQLLLLLGASWARAGAPRCTYTFVLPPQKFTGAVCWSGPASTRATPEAANASELAALRMRVGRHEELLRELQRLAAADGAVAGEVRALRKESRGLSARLGQLRAQLQHEAGPGAGPGADLGAEPAAALALLGERVLNASAEAQRAAARFHQLDVKFRELAQLVTQQSSLIARLERLCPGGAGGQQQVLPPPPLVPVVPVRLVGSTSDTSRMLDPAPEPQRDQTQRQQEPMASPMPAGHPAVPTKPVGPWQDCAEARQAGHEQSGVYELRVGRHVVSVWCEQQLEGGGWTVIQRRQDGSVNFFTTWQHYKAGFGRPDGEYWLGLEPVYQLTSRGDHELLVLLEDWGGRGARAHYDGFSLEPESDHYRLRLGQYHGDAGDSLSWHNDKPFSTVDRDRDSYSGNCALYQRGGWWYHACAHSNLNGVWHHGGHYRSRYQDGVYWAEFRGGAYSLRKAAMLIRPLKL
+>DECOY_sp|Q8NI99|ANGL6_HUMAN Angiopoietin-related protein 6 OS=Homo sapiens OX=9606 GN=ANGPTL6 PE=1 SV=1
+LKLPRILMAAKRLSYAGGRFEAWYVGDQYRSRYHGGHHWVGNLNSHACAHYWWGGRQYLACNGSYSDRDRDVTSFPKDNHWSLSDGADGHYQGLRLRYHDSEPELSFGDYHARAGRGGWDELLVLLEHDGRSTLQYVPELGLWYEGDPRGFGAKYHQWTTFFNVSGDQRRQIVTWGGGELQQECWVSVVHRGVRLEYVGSQEHGAQRAEACDQWPGVPKTPVAPHGAPMPSAMPEQQRQTQDRQPEPAPDLMRSTDSTSGVLRVPVVPVLPPPPLVQQQGGAGGPCLRELRAILSSQQTVLQALERFKVDLQHFRAAARQAEASANLVREGLLALAAAPEAGLDAGPGAGPGAEHQLQARLQGLRASLGRSEKRLARVEGAVAGDAAALRQLERLLEEHRGVRMRLAALESANAAEPTARTSAPGSWCVAGTFKQPPLVFTYTCRPAGARAWSAGLLLLLQLARLWPKGM
+>sp|Q01484|ANK2_HUMAN Ankyrin-2 OS=Homo sapiens OX=9606 GN=ANK2 PE=1 SV=4
+MMNEDAAQKSDSGEKFNGSSQRRKRPKKSDSNASFLRAARAGNLDKVVEYLKGGIDINTCNQNGLNALHLAAKEGHVGLVQELLGRGSSVDSATKKGNTALHIASLAGQAEVVKVLVKEGANINAQSQNGFTPLYMAAQENHIDVVKYLLENGANQSTATEDGFTPLAVALQQGHNQAVAILLENDTKGKVRLPALHIAARKDDTKSAALLLQNDHNADVQSKMMVNRTTESGFTPLHIAAHYGNVNVATLLLNRGAAVDFTARNGITPLHVASKRGNTNMVKLLLDRGGQIDAKTRDGLTPLHCAARSGHDQVVELLLERGAPLLARTKNGLSPLHMAAQGDHVECVKHLLQHKAPVDDVTLDYLTALHVAAHCGHYRVTKLLLDKRANPNARALNGFTPLHIACKKNRIKVMELLVKYGASIQAITESGLTPIHVAAFMGHLNIVLLLLQNGASPDVTNIRGETALHMAARAGQVEVVRCLLRNGALVDARAREEQTPLHIASRLGKTEIVQLLLQHMAHPDAATTNGYTPLHISAREGQVDVASVLLEAGAAHSLATKKGFTPLHVAAKYGSLDVAKLLLQRRAAADSAGKNGLTPLHVAAHYDNQKVALLLLEKGASPHATAKNGYTPLHIAAKKNQMQIASTLLNYGAETNIVTKQGVTPLHLASQEGHTDMVTLLLDKGANIHMSTKSGLTSLHLAAQEDKVNVADILTKHGADQDAHTKLGYTPLIVACHYGNVKMVNFLLKQGANVNAKTKNGYTPLHQAAQQGHTHIINVLLQHGAKPNATTANGNTALAIAKRLGYISVVDTLKVVTEEVTTTTTTITEKHKLNVPETMTEVLDVSDEEGDDTMTGDGGEYLRPEDLKELGDDSLPSSQFLDGMNYLRYSLEGGRSDSLRSFSSDRSHTLSHASYLRDSAVMDDSVVIPSHQVSTLAKEAERNSYRLSWGTENLDNVALSSSPIHSGFLVSFMVDARGGAMRGCRHNGLRIIIPPRKCTAPTRVTCRLVKRHRLATMPPMVEGEGLASRLIEVGPSGAQFLGKLHLPTAPPPLNEGESLVSRILQLGPPGTKFLGPVIVEIPHFAALRGKERELVVLRSENGDSWKEHFCDYTEDELNEILNGMDEVLDSPEDLEKKRICRIITRDFPQYFAVVSRIKQDSNLIGPEGGVLSSTVVPQVQAVFPEGALTKRIRVGLQAQPMHSELVKKILGNKATFSPIVTLEPRRRKFHKPITMTIPVPKASSDVMLNGFGGDAPTLRLLCSITGGTTPAQWEDITGTTPLTFVNECVSFTTNVSARFWLIDCRQIQESVTFASQVYREIICVPYMAKFVVFAKSHDPIEARLRCFCMTDDKVDKTLEQQENFAEVARSRDVEVLEGKPIYVDCFGNLVPLTKSGQHHIFSFFAFKENRLPLFVKVRDTTQEPCGRLSFMKEPKSTRGLVHQAICNLNITLPIYTKESESDQEQEEEIDMTSEKNDETESTETSVLKSHLVNEVPVLASPDLLSEVSEMKQDLIKMTAILTTDVSDKAGSIKVKELVKAAEEEPGEPFEIVERVKEDLEKVNEILRSGTCTRDESSVQSSRSERGLVEEEWVIVSDEEIEEARQKAPLEITEYPCVEVRIDKEIKGKVEKDSTGLVNYLTDDLNTCVPLPKEQLQTVQDKAGKKCEALAVGRSSEKEGKDIPPDETQSTQKQHKPSLGIKKPVRRKLKEKQKQKEEGLQASAEKAELKKGSSEESLGEDPGLAPEPLPTVKATSPLIEETPIGSIKDKVKALQKRVEDEQKGRSKLPIRVKGKEDVPKKTTHRPHPAASPSLKSERHAPGSPSPKTERHSTLSSSAKTERHPPVSPSSKTEKHSPVSPSAKTERHSPASSSSKTEKHSPVSPSTKTERHSPVSSTKTERHPPVSPSGKTDKRPPVSPSGRTEKHPPVSPGRTEKRLPVSPSGRTDKHQPVSTAGKTEKHLPVSPSGKTEKQPPVSPTSKTERIEETMSVRELMKAFQSGQDPSKHKTGLFEHKSAKQKQPQEKGKVRVEKEKGPILTQREAQKTENQTIKRGQRLPVTGTAESKRGVRVSSIGVKKEDAAGGKEKVLSHKIPEPVQSVPEEESHRESEVPKEKMADEQGDMDLQISPDRKTSTDFSEVIKQELEDNDKYQQFRLSEETEKAQLHLDQVLTSPFNTTFPLDYMKDEFLPALSLQSGALDGSSESLKNEGVAGSPCGSLMEGTPQISSEESYKHEGLAETPETSPESLSFSPKKSEEQTGETKESTKTETTTEIRSEKEHPTTKDITGGSEERGATVTEDSETSTESFQKEATLGSPKDTSPKRQDDCTGSCSVALAKETPTGLTEEAACDEGQRTFGSSAHKTQTDSEVQESTATSDETKALPLPEASVKTDTGTESKPQGVIRSPQGLELALPSRDSEVLSAVADDSLAVSHKDSLEASPVLEDNSSHKTPDSLEPSPLKESPCRDSLESSPVEPKMKAGIFPSHFPLPAAVAKTELLTEVASVRSRLLRDPDGSAEDDSLEQTSLMESSGKSPLSPDTPSSEEVSYEVTPKTTDVSTPKPAVIHECAEEDDSENGEKKRFTPEEEMFKMVTKIKMFDELEQEAKQKRDYKKEPKQEESSSSSDPDADCSVDVDEPKHTGSGEDESGVPVLVTSESRKVSSSSESEPELAQLKKGADSGLLPEPVIRVQPPSPLPSSMDSNSSPEEVQFQPVVSKQYTFKMNEDTQEEPGKSEEEKDSESHLAEDRHAVSTEAEDRSYDKLNRDTDQPKICDGHGCEAMSPSSSAAPVSSGLQSPTGDDVDEQPVIYKESLALQGTHEKDTEGEELDVSRAESPQADCPSESFSSSSSLPHCLVSEGKELDEDISATSSIQKTEVTKTDETFENLPKDCPSQDSSITTQTDRFSMDVPVSDLAENDEIYDPQITSPYENVPSQSFFSSEESKTQTDANHTTSFHSSEVYSVTITSPVEDVVVASSSSGTVLSKESNFEGQDIKMESQQESTLWEMQSDSVSSSFEPTMSATTTVVGEQISKVIITKTDVDSDSWSEIREDDEAFEARVKEEEQKIFGLMVDRQSQGTTPDTTPARTPTEEGTPTSEQNPFLFQEGKLFEMTRSGAIDMTKRSYADESFHFFQIGQESREETLSEDVKEGATGADPLPLETSAESLALSESKETVDDEADLLPDDVSEEVEEIPASDAQLNSQMGISASTETPTKEAVSVGTKDLPTVQTGDIPPLSGVKQISCPDSSEPAVQVQLDFSTLTRSVYSDRGDDSPDSSPEEQKSVIEIPTAPMENVPFTESKSKIPVRTMPTSTPAPPSAEYESSVSEDFLSSVDEENKADEAKPKSKLPVKVPLQRVEQQLSDLDTSVQKTVAPQGQDMASIAPDNRSKSESDASSLDSKTKCPVKTRSYTETETESRERAEELELESEEGATRPKILTSRLPVKSRSTTSSCRGGTSPTKESKEHFFDLYRNSIEFFEEISDEASKLVDRLTQSEREQEIVSDDESSSALEVSVIENLPPVETEHSVPEDIFDTRPIWDESIETLIERIPDENGHDHAEDPQDEQERIEERLAYIADHLGFSWTELARELDFTEEQIHQIRIENPNSLQDQSHALLKYWLERDGKHATDTNLVECLTKINRMDIVHLMETNTEPLQERISHSYAEIEQTITLDHSEGFSVLQEELCTAQHKQKEEQAVSKESETCDHPPIVSEEDISVGYSTFQDGVPKTEGDSSATALFPQTHKEQVQQDFSGKMQDLPEESSLEYQQEYFVTTPGTETSETQKAMIVPSSPSKTPEEVSTPAEEEKLYLQTPTSSERGGSPIIQEPEEPSEHREESSPRKTSLVIVESADNQPETCERLDEDAAFEKGDDMPEIPPETVTEEEYIDEHGHTVVKKVTRKIIRRYVSSEGTEKEEIMVQGMPQEPVNIEEGDGYSKVIKRVVLKSDTEQSEDNNE
+>DECOY_sp|Q01484|ANK2_HUMAN Ankyrin-2 OS=Homo sapiens OX=9606 GN=ANK2 PE=1 SV=4
+ENNDESQETDSKLVVRKIVKSYGDGEEINVPEQPMGQVMIEEKETGESSVYRRIIKRTVKKVVTHGHEDIYEEETVTEPPIEPMDDGKEFAADEDLRECTEPQNDASEVIVLSTKRPSSEERHESPEEPEQIIPSGGRESSTPTQLYLKEEEAPTSVEEPTKSPSSPVIMAKQTESTETGPTTVFYEQQYELSSEEPLDQMKGSFDQQVQEKHTQPFLATASSDGETKPVGDQFTSYGVSIDEESVIPPHDCTESEKSVAQEEKQKHQATCLEEQLVSFGESHDLTITQEIEAYSHSIREQLPETNTEMLHVIDMRNIKTLCEVLNTDTAHKGDRELWYKLLAHSQDQLSNPNEIRIQHIQEETFDLERALETWSFGLHDAIYALREEIREQEDQPDEAHDHGNEDPIREILTEISEDWIPRTDFIDEPVSHETEVPPLNEIVSVELASSSEDDSVIEQERESQTLRDVLKSAEDSIEEFFEISNRYLDFFHEKSEKTPSTGGRCSSTTSRSKVPLRSTLIKPRTAGEESELELEEARERSETETETYSRTKVPCKTKSDLSSADSESKSRNDPAISAMDQGQPAVTKQVSTDLDSLQQEVRQLPVKVPLKSKPKAEDAKNEEDVSSLFDESVSSEYEASPPAPTSTPMTRVPIKSKSETFPVNEMPATPIEIVSKQEEPSSDPSDDGRDSYVSRTLTSFDLQVQVAPESSDPCSIQKVGSLPPIDGTQVTPLDKTGVSVAEKTPTETSASIGMQSNLQADSAPIEEVEESVDDPLLDAEDDVTEKSESLALSEASTELPLPDAGTAGEKVDESLTEERSEQGIQFFHFSEDAYSRKTMDIAGSRTMEFLKGEQFLFPNQESTPTGEETPTRAPTTDPTTGQSQRDVMLGFIKQEEEKVRAEFAEDDERIESWSDSDVDTKTIIVKSIQEGVVTTTASMTPEFSSSVSDSQMEWLTSEQQSEMKIDQGEFNSEKSLVTGSSSSAVVVDEVPSTITVSYVESSHFSTTHNADTQTKSEESSFFSQSPVNEYPSTIQPDYIEDNEALDSVPVDMSFRDTQTTISSDQSPCDKPLNEFTEDTKTVETKQISSTASIDEDLEKGESVLCHPLSSSSSFSESPCDAQPSEARSVDLEEGETDKEHTGQLALSEKYIVPQEDVDDGTPSQLGSSVPAASSSPSMAECGHGDCIKPQDTDRNLKDYSRDEAETSVAHRDEALHSESDKEEESKGPEEQTDENMKFTYQKSVVPQFQVEEPSSNSDMSSPLPSPPQVRIVPEPLLGSDAGKKLQALEPESESSSSVKRSESTVLVPVGSEDEGSGTHKPEDVDVSCDADPDSSSSSEEQKPEKKYDRKQKAEQELEDFMKIKTVMKFMEEEPTFRKKEGNESDDEEACEHIVAPKPTSVDTTKPTVEYSVEESSPTDPSLPSKGSSEMLSTQELSDDEASGDPDRLLRSRVSAVETLLETKAVAAPLPFHSPFIGAKMKPEVPSSELSDRCPSEKLPSPELSDPTKHSSNDELVPSAELSDKHSVALSDDAVASLVESDRSPLALELGQPSRIVGQPKSETGTDTKVSAEPLPLAKTEDSTATSEQVESDTQTKHASSGFTRQGEDCAAEETLGTPTEKALAVSCSGTCDDQRKPSTDKPSGLTAEKQFSETSTESDETVTAGREESGGTIDKTTPHEKESRIETTTETKTSEKTEGTQEESKKPSFSLSEPSTEPTEALGEHKYSEESSIQPTGEMLSGCPSGAVGENKLSESSGDLAGSQLSLAPLFEDKMYDLPFTTNFPSTLVQDLHLQAKETEESLRFQQYKDNDELEQKIVESFDTSTKRDPSIQLDMDGQEDAMKEKPVESERHSEEEPVSQVPEPIKHSLVKEKGGAADEKKVGISSVRVGRKSEATGTVPLRQGRKITQNETKQAERQTLIPGKEKEVRVKGKEQPQKQKASKHEFLGTKHKSPDQGSQFAKMLERVSMTEEIRETKSTPSVPPQKETKGSPSVPLHKETKGATSVPQHKDTRGSPSVPLRKETRGPSVPPHKETRGSPSVPPRKDTKGSPSVPPHRETKTSSVPSHRETKTSPSVPSHKETKSSSSAPSHRETKASPSVPSHKETKSSPSVPPHRETKASSSLTSHRETKPSPSGPAHRESKLSPSAAPHPRHTTKKPVDEKGKVRIPLKSRGKQEDEVRKQLAKVKDKISGIPTEEILPSTAKVTPLPEPALGPDEGLSEESSGKKLEAKEASAQLGEEKQKQKEKLKRRVPKKIGLSPKHQKQTSQTEDPPIDKGEKESSRGVALAECKKGAKDQVTQLQEKPLPVCTNLDDTLYNVLGTSDKEVKGKIEKDIRVEVCPYETIELPAKQRAEEIEEDSVIVWEEEVLGRESRSSQVSSEDRTCTGSRLIENVKELDEKVREVIEFPEGPEEEAAKVLEKVKISGAKDSVDTTLIATMKILDQKMESVESLLDPSALVPVENVLHSKLVSTETSETEDNKESTMDIEEEQEQDSESEKTYIPLTINLNCIAQHVLGRTSKPEKMFSLRGCPEQTTDRVKVFLPLRNEKFAFFSFIHHQGSKTLPVLNGFCDVYIPKGELVEVDRSRAVEAFNEQQELTKDVKDDTMCFCRLRAEIPDHSKAFVVFKAMYPVCIIERYVQSAFTVSEQIQRCDILWFRASVNTTFSVCENVFTLPTTGTIDEWQAPTTGGTISCLLRLTPADGGFGNLMVDSSAKPVPITMTIPKHFKRRRPELTVIPSFTAKNGLIKKVLESHMPQAQLGVRIRKTLAGEPFVAQVQPVVTSSLVGGEPGILNSDQKIRSVVAFYQPFDRTIIRCIRKKELDEPSDLVEDMGNLIENLEDETYDCFHEKWSDGNESRLVVLEREKGRLAAFHPIEVIVPGLFKTGPPGLQLIRSVLSEGENLPPPATPLHLKGLFQAGSPGVEILRSALGEGEVMPPMTALRHRKVLRCTVRTPATCKRPPIIIRLGNHRCGRMAGGRADVMFSVLFGSHIPSSSLAVNDLNETGWSLRYSNREAEKALTSVQHSPIVVSDDMVASDRLYSAHSLTHSRDSSFSRLSDSRGGELSYRLYNMGDLFQSSPLSDDGLEKLDEPRLYEGGDGTMTDDGEEDSVDLVETMTEPVNLKHKETITTTTTTVEETVVKLTDVVSIYGLRKAIALATNGNATTANPKAGHQLLVNIIHTHGQQAAQHLPTYGNKTKANVNAGQKLLFNVMKVNGYHCAVILPTYGLKTHADQDAGHKTLIDAVNVKDEQAALHLSTLGSKTSMHINAGKDLLLTVMDTHGEQSALHLPTVGQKTVINTEAGYNLLTSAIQMQNKKAAIHLPTYGNKATAHPSAGKELLLLAVKQNDYHAAVHLPTLGNKGASDAAARRQLLLKAVDLSGYKAAVHLPTFGKKTALSHAAGAELLVSAVDVQGERASIHLPTYGNTTAADPHAMHQLLLQVIETKGLRSAIHLPTQEERARADVLAGNRLLCRVVEVQGARAAMHLATEGRINTVDPSAGNQLLLLVINLHGMFAAVHIPTLGSETIAQISAGYKVLLEMVKIRNKKCAIHLPTFGNLARANPNARKDLLLKTVRYHGCHAAVHLATLYDLTVDDVPAKHQLLHKVCEVHDGQAAMHLPSLGNKTRALLPAGRELLLEVVQDHGSRAACHLPTLGDRTKADIQGGRDLLLKVMNTNGRKSAVHLPTIGNRATFDVAAGRNLLLTAVNVNGYHAAIHLPTFGSETTRNVMMKSQVDANHDNQLLLAASKTDDKRAAIHLAPLRVKGKTDNELLIAVAQNHGQQLAVALPTFGDETATSQNAGNELLYKVVDIHNEQAAMYLPTFGNQSQANINAGEKVLVKVVEAQGALSAIHLATNGKKTASDVSSGRGLLEQVLGVHGEKAALHLANLGNQNCTNIDIGGKLYEVVKDLNGARAARLFSANSDSKKPRKRRQSSGNFKEGSDSKQAADENMM
+>sp|Q12955|ANK3_HUMAN Ankyrin-3 OS=Homo sapiens OX=9606 GN=ANK3 PE=1 SV=3
+MAHAASQLKKNRDLEINAEEEPEKKRKHRKRSRDRKKKSDANASYLRAARAGHLEKALDYIKNGVDINICNQNGLNALHLASKEGHVEVVSELLQREANVDAATKKGNTALHIASLAGQAEVVKVLVTNGANVNAQSQNGFTPLYMAAQENHLEVVKFLLDNGASQSLATEDGFTPLAVALQQGHDQVVSLLLENDTKGKVRLPALHIAARKDDTKAAALLLQNDNNADVESKSGFTPLHIAAHYGNINVATLLLNRAAAVDFTARNDITPLHVASKRGNANMVKLLLDRGAKIDAKTRDGLTPLHCGARSGHEQVVEMLLDRAAPILSKTKNGLSPLHMATQGDHLNCVQLLLQHNVPVDDVTNDYLTALHVAAHCGHYKVAKVLLDKKANPNAKALNGFTPLHIACKKNRIKVMELLLKHGASIQAVTESGLTPIHVAAFMGHVNIVSQLMHHGASPNTTNVRGETALHMAARSGQAEVVRYLVQDGAQVEAKAKDDQTPLHISARLGKADIVQQLLQQGASPNAATTSGYTPLHLSAREGHEDVAAFLLDHGASLSITTKKGFTPLHVAAKYGKLEVANLLLQKSASPDAAGKSGLTPLHVAAHYDNQKVALLLLDQGASPHAAAKNGYTPLHIAAKKNQMDIATTLLEYGADANAVTRQGIASVHLAAQEGHVDMVSLLLGRNANVNLSNKSGLTPLHLAAQEDRVNVAEVLVNQGAHVDAQTKMGYTPLHVGCHYGNIKIVNFLLQHSAKVNAKTKNGYTPLHQAAQQGHTHIINVLLQNNASPNELTVNGNTALGIARRLGYISVVDTLKIVTEETMTTTTVTEKHKMNVPETMNEVLDMSDDEVRKANAPEMLSDGEYISDVEEGEDAMTGDTDKYLGPQDLKELGDDSLPAEGYMGFSLGARSASLRSFSSDRSYTLNRSSYARDSMMIEELLVPSKEQHLTFTREFDSDSLRHYSWAADTLDNVNLVSSPIHSGFLVSFMVDARGGSMRGSRHHGMRIIIPPRKCTAPTRITCRLVKRHKLANPPPMVEGEGLASRLVEMGPAGAQFLGPVIVEIPHFGSMRGKERELIVLRSENGETWKEHQFDSKNEDLTELLNGMDEELDSPEELGKKRICRIITKDFPQYFAVVSRIKQESNQIGPEGGILSSTTVPLVQASFPEGALTKRIRVGLQAQPVPDEIVKKILGNKATFSPIVTVEPRRRKFHKPITMTIPVPPPSGEGVSNGYKGDTTPNLRLLCSITGGTSPAQWEDITGTTPLTFIKDCVSFTTNVSARFWLADCHQVLETVGLATQLYRELICVPYMAKFVVFAKMNDPVESSLRCFCMTDDKVDKTLEQQENFEEVARSKDIEVLEGKPIYVDCYGNLAPLTKGGQQLVFNFYSFKENRLPFSIKIRDTSQEPCGRLSFLKEPKTTKGLPQTAVCNLNITLPAHKKETESDQDDEIEKTDRRQSFASLALRKRYSYLTEPGMIERSTGATRSLPTTYSYKPFFSTRPYQSWTTAPITVPGPAKSGFTSLSSSSSNTPSASPLKSIWSVSTPSPIKSTLGASTTSSVKSISDVASPIRSFRTMSSPIKTVVSQSPYNIQVSSGTLARAPAVTEATPLKGLASNSTFSSRTSPVTTAGSLLERSSITMTPPASPKSNINMYSSSLPFKSIITSAAPLISSPLKSVVSPVKSAVDVISSAKITMASSLSSPVKQMPGHAEVALVNGSISPLKYPSSSTLINGCKATATLQEKISSATNSVSSVVSAATDTVEKVFSTTTAMPFSPLRSYVSAAPSAFQSLRTPSASALYTSLGSSISATTSSVTSSIITVPVYSVVNVLPEPALKKLPDSNSFTKSAAALLSPIKTLTTETHPQPHFSRTSSPVKSSLFLAPSALKLSTPSSLSSSQEILKDVAEMKEDLMRMTAILQTDVPEEKPFQPELPKEGRIDDEEPFKIVEKVKEDLVKVSEILKKDVCVDNKGSPKSPKSDKGHSPEDDWIEFSSEEIREARQQAAASQSPSLPERVQVKAKAASEKDYNLTKVIDYLTNDIGSSSLTNLKYKFEDAKKDGEERQKRVLKPAIALQEHKLKMPPASMRTSTSEKELCKMADSFFGTDTILESPDDFSQHDQDKSPLSDSGFETRSEKTPSAPQSAESTGPKPLFHEVPIPPVITETRTEVVHVIRSYDPSAGDVPQTQPEEPVSPKPSPTFMELEPKPTTSSIKEKVKAFQMKASSEEDDHNRVLSKGMRVKEETHITTTTRMVYHSPPGGEGASERIEETMSVHDIMKAFQSGRDPSKELAGLFEHKSAVSPDVHKSAAETSAQHAEKDNQMKPKLERIIEVHIEKGNQAEPTEVIIRETKKHPEKEMYVYQKDLSRGDINLKDFLPEKHDAFPCSEEQGQQEEEELTAEESLPSYLESSRVNTPVSQEEDSRPSSAQLISDDSYKTLKLLSQHSIEYHDDELSELRGESYRFAEKMLLSEKLDVSHSDTEESVTDHAGPPSSELQGSDKRSREKIATAPKKEILSKIYKDVSENGVGKVSKDEHFDKVTVLHYSGNVSSPKHAMWMRFTEDRLDRGREKLIYEDRVDRTVKEAEEKLTEVSQFFRDKTEKLNDELQSPEKKARPKNGKEYSSQSPTSSSPEKVLLTELLASNDEWVKARQHGPDGQGFPKAEEKAPSLPSSPEKMVLSQQTEDSKSTVEAKGSISQSKAPDGPQSGFQLKQSKLSSIRLKFEQGTHAKSKDMSQEDRKSDGQSRIPVKKIQESKLPVYQVFAREKQQKAIDLPDESVSVQKDFMVLKTKDEHAQSNEIVVNDSGSDNVKKQRTEMSSKAMPDSFSEQQAKDLACHITSDLATRGPWDKKVFRTWESSGATNNKSQKEKLSHVLVHDVRENHIGHPESKSVDQKNEFMSVTERERKLLTNGSLSEIKEMTVKSPSKKVLYREYVVKEGDHPGGLLDQPSRRSESSAVSHIPVRVADERRMLSSNIPDGFCEQSAFPKHELSQKLSQSSMSKETVETQHFNSIEDEKVTYSEISKVSKHQSYVGLCPPLEETETSPTKSPDSLEFSPGKESPSSDVFDHSPIDGLEKLAPLAQTEGGKEIKTLPVYVSFVQVGKQYEKEIQQGGVKKIISQECKTVQETRGTFYTTRQQKQPPSPQGSPEDDTLEQVSFLDSSGKSPLTPETPSSEEVSYEFTSKTPDSLIAYIPGKPSPIPEVSEESEEEEQAKSTSLKQTTVEETAVEREMPNDVSKDSNQRPKNNRVAYIEFPPPPPLDADQIESDKKHHYLPEKEVDMIEVNLQDEHDKYQLAEPVIRVQPPSPVPPGADVSDSSDDESIYQPVPVKKYTFKLKEVDDEQKEKPKASAEKASNQKELESNGSGKDNEFGLGLDSPQNEIAQNGNNDQSITECSIATTAEFSHDTDATEIDSLDGYDLQDEDDGLTESDSKLPIQAMEIKKDIWNTEGILKPADRSFSQSKLEVIEEEGKVGPDEDKPPSKSSSSEKTPDKTDQKSGAQFFTLEGRHPDRSVFPDTYFSYKVDEEFATPFKTVATKGLDFDPWSNNRGDDEVFDSKSREDETKPFGLAVEDRSPATTPDTTPARTPTDESTPTSEPNPFPFHEGKMFEMTRSGAIDMSKRDFVEERLQFFQIGEHTSEGKSGDQGEGDKSMVTATPQPQSGDTTVETNLERNVETPTVEPNPSIPTSGECQEGTSSSGSLEKSAAATNTSKVDPKLRTPIKMGISASTMTMKKEGPGEITDKIEAVMTSCQGLENETITMISNTANSQMGVRPHEKHDFQKDNFNNNNNLDSSTIQTDNIMSNIVLTEHSAPTCTTEKDNPVKVSSGKKTGVLQGHCVRDKQKVLGEQQKTKELIGIRQKSKLPIKATSPKDTFPPNHMSNTKASKMKQVSQSEKTKALTTSSCVDVKSRIPVKNTHRDNIIAVRKACATQKQGQPEKGKAKQLPSKLPVKVRSTCVTTTTTTATTTTTTTTTTTTSCTVKVRKSQLKEVCKHSIEYFKGISGETLKLVDRLSEEEKKMQSELSDEEESTSRNTSLSETSRGGQPSVTTKSARDKKTEAAPLKSKSEKAGSEKRSSRRTGPQSPCERTDIRMAIVADHLGLSWTELARELNFSVDEINQIRVENPNSLISQSFMLLKKWVTRDGKNATTDALTSVLTKINRIDIVTLLEGPIFDYGNISGTRSFADENNVFHDPVDGWQNETSSGNLESCAQARRVTGGLLDRLDDSPDQCRDSITSYLKGEAGKFEANGSHTEITPEAKTKSYFPESQNDVGKQSTKETLKPKIHGSGHVEEPASPLAAYQKSLEETSKLIIEETKPCVPVSMKKMSRTSPADGKPRLSLHEEEGSSGSEQKQGEGFKVKTKKEIRHVEKKSHS
+>DECOY_sp|Q12955|ANK3_HUMAN Ankyrin-3 OS=Homo sapiens OX=9606 GN=ANK3 PE=1 SV=3
+SHSKKEVHRIEKKTKVKFGEGQKQESGSSGEEEHLSLRPKGDAPSTRSMKKMSVPVCPKTEEIILKSTEELSKQYAALPSAPEEVHGSGHIKPKLTEKTSQKGVDNQSEPFYSKTKAEPTIETHSGNAEFKGAEGKLYSTISDRCQDPSDDLRDLLGGTVRRAQACSELNGSSTENQWGDVPDHFVNNEDAFSRTGSINGYDFIPGELLTVIDIRNIKTLVSTLADTTANKGDRTVWKKLLMFSQSILSNPNEVRIQNIEDVSFNLERALETWSLGLHDAVIAMRIDTRECPSQPGTRRSSRKESGAKESKSKLPAAETKKDRASKTTVSPQGGRSTESLSTNRSTSEEEDSLESQMKKEEESLRDVLKLTEGSIGKFYEISHKCVEKLQSKRVKVTCSTTTTTTTTTTTTATTTTTTVCTSRVKVPLKSPLQKAKGKEPQGQKQTACAKRVAIINDRHTNKVPIRSKVDVCSSTTLAKTKESQSVQKMKSAKTNSMHNPPFTDKPSTAKIPLKSKQRIGILEKTKQQEGLVKQKDRVCHGQLVGTKKGSSVKVPNDKETTCTPASHETLVINSMINDTQITSSDLNNNNNFNDKQFDHKEHPRVGMQSNATNSIMTITENELGQCSTMVAEIKDTIEGPGEKKMTMTSASIGMKIPTRLKPDVKSTNTAAASKELSGSSSTGEQCEGSTPISPNPEVTPTEVNRELNTEVTTDGSQPQPTATVMSKDGEGQDGSKGESTHEGIQFFQLREEVFDRKSMDIAGSRTMEFMKGEHFPFPNPESTPTSEDTPTRAPTTDPTTAPSRDEVALGFPKTEDERSKSDFVEDDGRNNSWPDFDLGKTAVTKFPTAFEEDVKYSFYTDPFVSRDPHRGELTFFQAGSKQDTKDPTKESSSSKSPPKDEDPGVKGEEEIVELKSQSFSRDAPKLIGETNWIDKKIEMAQIPLKSDSETLGDDEDQLDYGDLSDIETADTDHSFEATTAISCETISQDNNGNQAIENQPSDLGLGFENDKGSGNSELEKQNSAKEASAKPKEKQEDDVEKLKFTYKKVPVPQYISEDDSSDSVDAGPPVPSPPQVRIVPEALQYKDHEDQLNVEIMDVEKEPLYHHKKDSEIQDADLPPPPPFEIYAVRNNKPRQNSDKSVDNPMEREVATEEVTTQKLSTSKAQEEEESEESVEPIPSPKGPIYAILSDPTKSTFEYSVEESSPTEPTLPSKGSSDLFSVQELTDDEPSGQPSPPQKQQRTTYFTGRTEQVTKCEQSIIKKVGGQQIEKEYQKGVQVFSVYVPLTKIEKGGETQALPALKELGDIPSHDFVDSSPSEKGPSFELSDPSKTPSTETEELPPCLGVYSQHKSVKSIESYTVKEDEISNFHQTEVTEKSMSSQSLKQSLEHKPFASQECFGDPINSSLMRREDAVRVPIHSVASSESRRSPQDLLGGPHDGEKVVYERYLVKKSPSKVTMEKIESLSGNTLLKRERETVSMFENKQDVSKSEPHGIHNERVDHVLVHSLKEKQSKNNTAGSSEWTRFVKKDWPGRTALDSTIHCALDKAQQESFSDPMAKSSMETRQKKVNDSGSDNVVIENSQAHEDKTKLVMFDKQVSVSEDPLDIAKQQKERAFVQYVPLKSEQIKKVPIRSQGDSKRDEQSMDKSKAHTGQEFKLRISSLKSQKLQFGSQPGDPAKSQSISGKAEVTSKSDETQQSLVMKEPSSPLSPAKEEAKPFGQGDPGHQRAKVWEDNSALLETLLVKEPSSSTPSQSSYEKGNKPRAKKEPSQLEDNLKETKDRFFQSVETLKEEAEKVTRDVRDEYILKERGRDLRDETFRMWMAHKPSSVNGSYHLVTVKDFHEDKSVKGVGNESVDKYIKSLIEKKPATAIKERSRKDSGQLESSPPGAHDTVSEETDSHSVDLKESLLMKEAFRYSEGRLESLEDDHYEISHQSLLKLTKYSDDSILQASSPRSDEEQSVPTNVRSSELYSPLSEEATLEEEEQQGQEESCPFADHKEPLFDKLNIDGRSLDKQYVYMEKEPHKKTERIIVETPEAQNGKEIHVEIIRELKPKMQNDKEAHQASTEAASKHVDPSVASKHEFLGALEKSPDRGSQFAKMIDHVSMTEEIRESAGEGGPPSHYVMRTTTTIHTEEKVRMGKSLVRNHDDEESSAKMQFAKVKEKISSTTPKPELEMFTPSPKPSVPEEPQTQPVDGASPDYSRIVHVVETRTETIVPPIPVEHFLPKPGTSEASQPASPTKESRTEFGSDSLPSKDQDHQSFDDPSELITDTGFFSDAMKCLEKESTSTRMSAPPMKLKHEQLAIAPKLVRKQREEGDKKADEFKYKLNTLSSSGIDNTLYDIVKTLNYDKESAAKAKVQVREPLSPSQSAAAQQRAERIEESSFEIWDDEPSHGKDSKPSKPSGKNDVCVDKKLIESVKVLDEKVKEVIKFPEEDDIRGEKPLEPQFPKEEPVDTQLIATMRMLDEKMEAVDKLIEQSSSLSSPTSLKLASPALFLSSKVPSSTRSFHPQPHTETTLTKIPSLLAAASKTFSNSDPLKKLAPEPLVNVVSYVPVTIISSTVSSTTASISSGLSTYLASASPTRLSQFASPAASVYSRLPSFPMATTTSFVKEVTDTAASVVSSVSNTASSIKEQLTATAKCGNILTSSSPYKLPSISGNVLAVEAHGPMQKVPSSLSSAMTIKASSIVDVASKVPSVVSKLPSSILPAASTIISKFPLSSSYMNINSKPSAPPTMTISSRELLSGATTVPSTRSSFTSNSALGKLPTAETVAPARALTGSSVQINYPSQSVVTKIPSSMTRFSRIPSAVDSISKVSSTTSAGLTSKIPSPTSVSWISKLPSASPTNSSSSSLSTFGSKAPGPVTIPATTWSQYPRTSFFPKYSYTTPLSRTAGTSREIMGPETLYSYRKRLALSAFSQRRDTKEIEDDQDSETEKKHAPLTINLNCVATQPLGKTTKPEKLFSLRGCPEQSTDRIKISFPLRNEKFSYFNFVLQQGGKTLPALNGYCDVYIPKGELVEIDKSRAVEEFNEQQELTKDVKDDTMCFCRLSSEVPDNMKAFVVFKAMYPVCILERYLQTALGVTELVQHCDALWFRASVNTTFSVCDKIFTLPTTGTIDEWQAPSTGGTISCLLRLNPTTDGKYGNSVGEGSPPPVPITMTIPKHFKRRRPEVTVIPSFTAKNGLIKKVIEDPVPQAQLGVRIRKTLAGEPFSAQVLPVTTSSLIGGEPGIQNSEQKIRSVVAFYQPFDKTIIRCIRKKGLEEPSDLEEDMGNLLETLDENKSDFQHEKWTEGNESRLVILEREKGRMSGFHPIEVIVPGLFQAGAPGMEVLRSALGEGEVMPPPNALKHRKVLRCTIRTPATCKRPPIIIRMGHHRSGRMSGGRADVMFSVLFGSHIPSSVLNVNDLTDAAWSYHRLSDSDFERTFTLHQEKSPVLLEEIMMSDRAYSSRNLTYSRDSSFSRLSASRAGLSFGMYGEAPLSDDGLEKLDQPGLYKDTDGTMADEGEEVDSIYEGDSLMEPANAKRVEDDSMDLVENMTEPVNMKHKETVTTTTMTEETVIKLTDVVSIYGLRRAIGLATNGNVTLENPSANNQLLVNIIHTHGQQAAQHLPTYGNKTKANVKASHQLLFNVIKINGYHCGVHLPTYGMKTQADVHAGQNVLVEAVNVRDEQAALHLPTLGSKNSLNVNANRGLLLSVMDVHGEQAALHVSAIGQRTVANADAGYELLTTAIDMQNKKAAIHLPTYGNKAAAHPSAGQDLLLLAVKQNDYHAAVHLPTLGSKGAADPSASKQLLLNAVELKGYKAAVHLPTFGKKTTISLSAGHDLLFAAVDEHGERASLHLPTYGSTTAANPSAGQQLLQQVIDAKGLRASIHLPTQDDKAKAEVQAGDQVLYRVVEAQGSRAAMHLATEGRVNTTNPSAGHHMLQSVINVHGMFAAVHIPTLGSETVAQISAGHKLLLEMVKIRNKKCAIHLPTFGNLAKANPNAKKDLLVKAVKYHGCHAAVHLATLYDNTVDDVPVNHQLLLQVCNLHDGQTAMHLPSLGNKTKSLIPAARDLLMEVVQEHGSRAGCHLPTLGDRTKADIKAGRDLLLKVMNANGRKSAVHLPTIDNRATFDVAAARNLLLTAVNINGYHAAIHLPTFGSKSEVDANNDNQLLLAAAKTDDKRAAIHLAPLRVKGKTDNELLLSVVQDHGQQLAVALPTFGDETALSQSAGNDLLFKVVELHNEQAAMYLPTFGNQSQANVNAGNTVLVKVVEAQGALSAIHLATNGKKTAADVNAERQLLESVVEVHGEKSALHLANLGNQNCINIDVGNKIYDLAKELHGARAARLYSANADSKKKRDRSRKRHKRKKEPEEEANIELDRNKKLQSAAHAM
+>sp|Q8NAG6|ANKL1_HUMAN Ankyrin repeat and LEM domain-containing protein 1 OS=Homo sapiens OX=9606 GN=ANKLE1 PE=1 SV=2
+MCSEARLARRLRDALREEEPWAVEELLRCGADPNLVLEDGAAAVHLAAGARHPRGLRCLGALLRQGGDPNARSVEALTPLHVAAAWGCRRGLELLLSQGADPALRDQDGLRPLDLALQQGHLECARVLQDLDTRTRTRTRIGAETQEPEPAPGTPGLSGPTDETLDSIALQKQPCRGDNRDIGLEADPGPPSLPVPLETVDKHGSSASPPGHWDYSSDASFVTAVEVSGAEDPASDTPPWAGSLPPTRQGLLHVVHANQRVPRSQGTEAELNARLQALTLTPPNAAGFQSSPSSMPLLDRSPAHSPPRTPTPGASDCHCLWEHQTSIDSDMATLWLTEDEASSTGGREPVGPCRHLPVSTVSDLELLKGLRALGENPHPITPFTRQLYHQQLEEAQIAPGPEFSGHSLELAAALRTGCIPDVQADEDALAQQFEQPDPARRWREGVVKSSFTYLLLDPRETQDLPARAFSLTPAERLQTFIRAIFYVGKGTRARPYVHLWEALGHHGRSRKQPHQACPKVRQILDIWASGCGVVSLHCFQHVVAVEAYTREACIVEALGIQTLTNQKQGHCYGVVAGWPPARRRRLGVHLLHRALLVFLAEGERQLHPQDIQARG
+>DECOY_sp|Q8NAG6|ANKL1_HUMAN Ankyrin repeat and LEM domain-containing protein 1 OS=Homo sapiens OX=9606 GN=ANKLE1 PE=1 SV=2
+GRAQIDQPHLQREGEALFVLLARHLLHVGLRRRRAPPWGAVVGYCHGQKQNTLTQIGLAEVICAERTYAEVAVVHQFCHLSVVGCGSAWIDLIQRVKPCAQHPQKRSRGHHGLAEWLHVYPRARTGKGVYFIARIFTQLREAPTLSFARAPLDQTERPDLLLYTFSSKVVGERWRRAPDPQEFQQALADEDAQVDPICGTRLAAALELSHGSFEPGPAIQAEELQQHYLQRTFPTIPHPNEGLARLGKLLELDSVTSVPLHRCPGVPERGGTSSAEDETLWLTAMDSDISTQHEWLCHCDSAGPTPTRPPSHAPSRDLLPMSSPSSQFGAANPPTLTLAQLRANLEAETGQSRPVRQNAHVVHLLGQRTPPLSGAWPPTDSAPDEAGSVEVATVFSADSSYDWHGPPSASSGHKDVTELPVPLSPPGPDAELGIDRNDGRCPQKQLAISDLTEDTPGSLGPTGPAPEPEQTEAGIRTRTRTRTDLDQLVRACELHGQQLALDLPRLGDQDRLAPDAGQSLLLELGRRCGWAAAVHLPTLAEVSRANPDGGQRLLAGLCRLGRPHRAGAALHVAAAGDELVLNPDAGCRLLEEVAWPEEERLADRLRRALRAESCM
+>sp|Q9GZV1|ANKR2_HUMAN Ankyrin repeat domain-containing protein 2 OS=Homo sapiens OX=9606 GN=ANKRD2 PE=1 SV=3
+MAKAPSWAGVGALAYKAPEALWPAEAVMDGTMEDSEAVQRATALIEQRLAQEEENEKLRGDARQKLPMDLLVLEDEKHHGAQSAALQKVKGQERVRKTSLDLRREIIDVGGIQNLIELRKKRKQKKRDALAASHEPPPEPEEITGPVDEETFLKAAVEGKMKVIEKFLADGGSADTCDQFRRTALHRASLEGHMEILEKLLDNGATVDFQDRLDCTAMHWACRGGHLEVVKLLQSHGADTNVRDKLLSTPLHVAVRTGQVEIVEHFLSLGLEINARDREGDTALHDAVRLNRYKIIKLLLLHGADMMTKNLAGKTPTDLVQLWQADTRHALEHPEPGAEHNGLEGPNDSGRETPQPVPAQ
+>DECOY_sp|Q9GZV1|ANKR2_HUMAN Ankyrin repeat domain-containing protein 2 OS=Homo sapiens OX=9606 GN=ANKRD2 PE=1 SV=3
+QAPVPQPTERGSDNPGELGNHEAGPEPHELAHRTDAQWLQVLDTPTKGALNKTMMDAGHLLLLKIIKYRNLRVADHLATDGERDRANIELGLSLFHEVIEVQGTRVAVHLPTSLLKDRVNTDAGHSQLLKVVELHGGRCAWHMATCDLRDQFDVTAGNDLLKELIEMHGELSARHLATRRFQDCTDASGGDALFKEIVKMKGEVAAKLFTEEDVPGTIEEPEPPPEHSAALADRKKQKRKKRLEILNQIGGVDIIERRLDLSTKRVREQGKVKQLAASQAGHHKEDELVLLDMPLKQRADGRLKENEEEQALRQEILATARQVAESDEMTGDMVAEAPWLAEPAKYALAGVGAWSPAKAM
+>sp|Q99873|ANM1_HUMAN Protein arginine N-methyltransferase 1 OS=Homo sapiens OX=9606 GN=PRMT1 PE=1 SV=3
+MAAAEAANCIMENFVATLANGMSLQPPLEEVSCGQAESSEKPNAEDMTSKDYYFDSYAHFGIHEEMLKDEVRTLTYRNSMFHNRHLFKDKVVLDVGSGTGILCMFAAKAGARKVIGIECSSISDYAVKIVKANKLDHVVTIIKGKVEEVELPVEKVDIIISEWMGYCLFYESMLNTVLYARDKWLAPDGLIFPDRATLYVTAIEDRQYKDYKIHWWENVYGFDMSCIKDVAIKEPLVDVVDPKQLVTNACLIKEVDIYTVKVEDLTFTSPFCLQVKRNDYVHALVAYFNIEFTRCHKRTGFSTSPESPYTHWKQTVFYMEDYLTVKTGEEIFGTIGMRPNAKNNRDLDFTIDLDFKGQLCELSCSTDYRMR
+>DECOY_sp|Q99873|ANM1_HUMAN Protein arginine N-methyltransferase 1 OS=Homo sapiens OX=9606 GN=PRMT1 PE=1 SV=3
+RMRYDTSCSLECLQGKFDLDITFDLDRNNKANPRMGITGFIEEGTKVTLYDEMYFVTQKWHTYPSEPSTSFGTRKHCRTFEINFYAVLAHVYDNRKVQLCFPSTFTLDEVKVTYIDVEKILCANTVLQKPDVVDVLPEKIAVDKICSMDFGYVNEWWHIKYDKYQRDEIATVYLTARDPFILGDPALWKDRAYLVTNLMSEYFLCYGMWESIIIDVKEVPLEVEEVKGKIITVVHDLKNAKVIKVAYDSISSCEIGIVKRAGAKAAFMCLIGTGSGVDLVVKDKFLHRNHFMSNRYTLTRVEDKLMEEHIGFHAYSDFYYDKSTMDEANPKESSEAQGCSVEELPPQLSMGNALTAVFNEMICNAAEAAAM
+>sp|O14744|ANM5_HUMAN Protein arginine N-methyltransferase 5 OS=Homo sapiens OX=9606 GN=PRMT5 PE=1 SV=4
+MAAMAVGGAGGSRVSSGRDLNCVPEIADTLGAVAKQGFDFLCMPVFHPRFKREFIQEPAKNRPGPQTRSDLLLSGRDWNTLIVGKLSPWIRPDSKVEKIRRNSEAAMLQELNFGAYLGLPAFLLPLNQEDNTNLARVLTNHIHTGHHSSMFWMRVPLVAPEDLRDDIIENAPTTHTEEYSGEEKTWMWWHNFRTLCDYSKRIAVALEIGADLPSNHVIDRWLGEPIKAAILPTSIFLTNKKGFPVLSKMHQRLIFRLLKLEVQFIITGTNHHSEKEFCSYLQYLEYLSQNRPPPNAYELFAKGYEDYLQSPLQPLMDNLESQTYEVFEKDPIKYSQYQQAIYKCLLDRVPEEEKDTNVQVLMVLGAGRGPLVNASLRAAKQADRRIKLYAVEKNPNAVVTLENWQFEEWGSQVTVVSSDMREWVAPEKADIIVSELLGSFADNELSPECLDGAQHFLKDDGVSIPGEYTSFLAPISSSKLYNEVRACREKDRDPEAQFEMPYVVRLHNFHQLSAPQPCFTFSHPNRDPMIDNNRYCTLEFPVEVNTVLHGFAGYFETVLYQDITLSIRPETHSPGMFSWFPILFPIKQPITVREGQTICVRFWRCSNSKKVWYEWAVTAPVCSAIHNPTGRSYTIGL
+>DECOY_sp|O14744|ANM5_HUMAN Protein arginine N-methyltransferase 5 OS=Homo sapiens OX=9606 GN=PRMT5 PE=1 SV=4
+LGITYSRGTPNHIASCVPATVAWEYWVKKSNSCRWFRVCITQGERVTIPQKIPFLIPFWSFMGPSHTEPRISLTIDQYLVTEFYGAFGHLVTNVEVPFELTCYRNNDIMPDRNPHSFTFCPQPASLQHFNHLRVVYPMEFQAEPDRDKERCARVENYLKSSSIPALFSTYEGPISVGDDKLFHQAGDLCEPSLENDAFSGLLESVIIDAKEPAVWERMDSSVVTVQSGWEEFQWNELTVVANPNKEVAYLKIRRDAQKAARLSANVLPGRGAGLVMLVQVNTDKEEEPVRDLLCKYIAQQYQSYKIPDKEFVEYTQSELNDMLPQLPSQLYDEYGKAFLEYANPPPRNQSLYELYQLYSCFEKESHHNTGTIIFQVELKLLRFILRQHMKSLVPFGKKNTLFISTPLIAAKIPEGLWRDIVHNSPLDAGIELAVAIRKSYDCLTRFNHWWMWTKEEGSYEETHTTPANEIIDDRLDEPAVLPVRMWFMSSHHGTHIHNTLVRALNTNDEQNLPLLFAPLGLYAGFNLEQLMAAESNRRIKEVKSDPRIWPSLKGVILTNWDRGSLLLDSRTQPGPRNKAPEQIFERKFRPHFVPMCLFDFGQKAVAGLTDAIEPVCNLDRGSSVRSGGAGGVAMAAM
+>sp|P20594|ANPRB_HUMAN Atrial natriuretic peptide receptor 2 OS=Homo sapiens OX=9606 GN=NPR2 PE=1 SV=1
+MALPSLLLLVAALAGGVRPPGARNLTLAVVLPEHNLSYAWAWPRVGPAVALAVEALGRALPVDLRFVSSELEGACSEYLAPLSAVDLKLYHDPDLLLGPGCVYPAASVARFASHWRLPLLTAGAVASGFSAKNDHYRTLVRTGPSAPKLGEFVVTLHGHFNWTARAALLYLDARTDDRPHYFTIEGVFEALQGSNLSVQHQVYAREPGGPEQATHFIRANGRIVYICGPLEMLHEILLQAQRENLTNGDYVFFYLDVFGESLRAGPTRATGRPWQDNRTREQAQALREAFQTVLVITYREPPNPEYQEFQNRLLIRAREDFGVELGPSLMNLIAGCFYDGILLYAEVLNETIQEGGTREDGLRIVEKMQGRRYHGVTGLVVMDKNNDRETDFVLWAMGDLDSGDFQPAAHYSGAEKQIWWTGRPIPWVKGAPPSDNPPCAFDLDDPSCDKTPLSTLAIVALGTGITFIMFGVSSFLIFRKLMLEKELASMLWRIRWEELQFGNSERYHKGAGSRLTLSLRGSSYGSLMTAHGKYQIFANTGHFKGNVVAIKHVNKKRIELTRQVLFELKHMRDVQFNHLTRFIGACIDPPNICIVTEYCPRGSLQDILENDSINLDWMFRYSLINDLVKGMAFLHNSIISSHGSLKSSNCVVDSRFVLKITDYGLASFRSTAEPDDSHALYAKKLWTAPELLSGNPLPTTGMQKADVYSFGIILQEIALRSGPFYLEGLDLSPKEIVQKVRNGQRPYFRPSIDRTQLNEELVLLMERCWAQDPAERPDFGQIKGFIRRFNKEGGTSILDNLLLRMEQYANNLEKLVEERTQAYLEEKRKAEALLYQILPHSVAEQLKRGETVQAEAFDSVTIYFSDIVGFTALSAESTPMQVVTLLNDLYTCFDAIIDNFDVYKVETIGDAYMVVSGLPGRNGQRHAPEIARMALALLDAVSSFRIRHRPHDQLRLRIGVHTGPVCAGVVGLKMPRYCLFGDTVNTASRMESNGQALKIHVSSTTKDALDELGCFQLELRGDVEMKGKGKMRTYWLLGERKGPPGLL
+>DECOY_sp|P20594|ANPRB_HUMAN Atrial natriuretic peptide receptor 2 OS=Homo sapiens OX=9606 GN=NPR2 PE=1 SV=1
+LLGPPGKREGLLWYTRMKGKGKMEVDGRLELQFCGLEDLADKTTSSVHIKLAQGNSEMRSATNVTDGFLCYRPMKLGVVGACVPGTHVGIRLRLQDHPRHRIRFSSVADLLALAMRAIEPAHRQGNRGPLGSVVMYADGITEVKYVDFNDIIADFCTYLDNLLTVVQMPTSEASLATFGVIDSFYITVSDFAEAQVTEGRKLQEAVSHPLIQYLLAEAKRKEELYAQTREEVLKELNNAYQEMRLLLNDLISTGGEKNFRRIFGKIQGFDPREAPDQAWCREMLLVLEENLQTRDISPRFYPRQGNRVKQVIEKPSLDLGELYFPGSRLAIEQLIIGFSYVDAKQMGTTPLPNGSLLEPATWLKKAYLAHSDDPEATSRFSALGYDTIKLVFRSDVVCNSSKLSGHSSIISNHLFAMGKVLDNILSYRFMWDLNISDNELIDQLSGRPCYETVICINPPDICAGIFRTLHNFQVDRMHKLEFLVQRTLEIRKKNVHKIAVVNGKFHGTNAFIQYKGHATMLSGYSSGRLSLTLRSGAGKHYRESNGFQLEEWRIRWLMSALEKELMLKRFILFSSVGFMIFTIGTGLAVIALTSLPTKDCSPDDLDFACPPNDSPPAGKVWPIPRGTWWIQKEAGSYHAAPQFDGSDLDGMAWLVFDTERDNNKDMVVLGTVGHYRRGQMKEVIRLGDERTGGEQITENLVEAYLLIGDYFCGAILNMLSPGLEVGFDERARILLRNQFEQYEPNPPERYTIVLVTQFAERLAQAQERTRNDQWPRGTARTPGARLSEGFVDLYFFVYDGNTLNERQAQLLIEHLMELPGCIYVIRGNARIFHTAQEPGGPERAYVQHQVSLNSGQLAEFVGEITFYHPRDDTRADLYLLAARATWNFHGHLTVVFEGLKPASPGTRVLTRYHDNKASFGSAVAGATLLPLRWHSAFRAVSAAPYVCGPGLLLDPDHYLKLDVASLPALYESCAGELESSVFRLDVPLARGLAEVALAVAPGVRPWAWAYSLNHEPLVVALTLNRAGPPRVGGALAAVLLLLSPLAM
+>sp|Q9NXR5|ANR10_HUMAN Ankyrin repeat domain-containing protein 10 OS=Homo sapiens OX=9606 GN=ANKRD10 PE=1 SV=2
+MSAAGAGAGVEAGFSSEELLSLRFPLHRACRDGDLATLCSLLQQTPHAHLASEDSFYGWTPVHWAAHFGKLECLVQLVRAGATLNVSTTRYAQTPAHIAAFGGHPQCLVWLIQAGANINKPDCEGETPIHKAARSGSLECISALVANGAHVDLRNASGLTAADIAQTQGFQECAQFLLNLQNCHLNHFYNNGILNGGHQNVFPNHISVGTNRKRCLEDSEDFGVKKARTEAQSLDSAVPLTNGDTEDDADKMHVDREFAVVTDMKNSSSVSNTLTNGCVINGHLDFPSTTPLSGMESRNGQCLTGTNGISSGLAPGQPFPSSQGSLCISGTEEPEKTLRANPELCGSLHLNGSPSSCIASRPSWVEDIGDNLYYGHYHGFGDTAESIPELNSVVEHSKSVKVQERYDSAVLGTMHLHHGS
+>DECOY_sp|Q9NXR5|ANR10_HUMAN Ankyrin repeat domain-containing protein 10 OS=Homo sapiens OX=9606 GN=ANKRD10 PE=1 SV=2
+SGHHLHMTGLVASDYREQVKVSKSHEVVSNLEPISEATDGFGHYHGYYLNDGIDEVWSPRSAICSSPSGNLHLSGCLEPNARLTKEPEETGSICLSGQSSPFPQGPALGSSIGNTGTLCQGNRSEMGSLPTTSPFDLHGNIVCGNTLTNSVSSSNKMDTVVAFERDVHMKDADDETDGNTLPVASDLSQAETRAKKVGFDESDELCRKRNTGVSIHNPFVNQHGGNLIGNNYFHNLHCNQLNLLFQACEQFGQTQAIDAATLGSANRLDVHAGNAVLASICELSGSRAAKHIPTEGECDPKNINAGAQILWVLCQPHGGFAAIHAPTQAYRTTSVNLTAGARVLQVLCELKGFHAAWHVPTWGYFSDESALHAHPTQQLLSCLTALDGDRCARHLPFRLSLLEESSFGAEVGAGAGAASM
+>sp|Q8TF21|ANR24_HUMAN Ankyrin repeat domain-containing protein 24 OS=Homo sapiens OX=9606 GN=ANKRD24 PE=2 SV=2
+MKTLRARFKKTELRLSPTDLGSCPPCGPCPIPKPAARGRRQSQDWGKSDERLLQAVENNDAPRVAALIARKGLVPTKLDPEGKSAFHLAAMRGAASCLEVMIAHGSNVMSADGAGYNALHLAAKYGHPQCLKQLLQASCVVDVVDSSGWTALHHAAAGGCLSCSEVLCSFKAHLNPQDRSGATPLIIAAQMCHTDLCRLLLQQGAAANDQDLQGRTALMLACEGASPETVEVLLQGGAQPGITDALGQDAAHYGALAGDKLILHLLQEAAQRPSPPSALTEDDSGEASSQNSMSSHGKQGAPKKRKAPPPPASIPMPDDRDAYEEIVRLRQERGRLLQKIRGLEQHKERRQQESPEASSLHILERQVQELQQLLVERQEEKESLGREVESLQSRLSLLENERENTSYDVTTLQDEEGELPDLPGAEVLLSRQLSPSAQEHLASLQEQVAVLTRQNQELMEKVQILENFEKDETQMEVEALAEVIPLALYDSLRAEFDQLRRQHAEALQALRQQETREVPREEGAACGESEVAGATATKNGPTHMELNGSVAPETKVNGAETIDEEAAGDETMEARTMEAEATGAEATGAEATGAKVTETKPTGAEVREMETTEEEANMETKPTGAQATDTETTGVEAMGVEATKTKAEEAEMQAYGVGAGQAEPPVTGTTNMEATGSRATGMESTGVSATGVENPGVEATVPGISAGPILHPGAAEASEKLQVELETRIRGLEEALRQREREAAAELEAALGKCEAAEAEAGRLRERVREAEGSGASGGGGGDTTQLRAALEQAREDLRDRDSRLRELEAASACLDEARASRLLAEEEARGLRAELAQREEARLEQSRELEVLREQLATARATGEQQRTAAAELGRARDAAEARVAELPAACEEARQGLAELREASEALRQSVVPASEHRRLQEEALELRGRAASLEQEVVATGKEAARLRAELERERVCSVALSEHERIVGTLQANVAQLEGQLEELGRRHEKTSAEVFQVQREALFMKSERHAAEAQLATAEQQLRGLRTEAERARQAQSRAQEALDKAKEKDKKITELSKEVFNLKEALKEQPAALATPEVEALRDQVKDLQQQLQEAARDHSSVVALYRSHLLYAIQGQMDEDVQRILSQILQMQRLQAQGR
+>DECOY_sp|Q8TF21|ANR24_HUMAN Ankyrin repeat domain-containing protein 24 OS=Homo sapiens OX=9606 GN=ANKRD24 PE=2 SV=2
+RGQAQLRQMQLIQSLIRQVDEDMQGQIAYLLHSRYLAVVSSHDRAAEQLQQQLDKVQDRLAEVEPTALAAPQEKLAEKLNFVEKSLETIKKDKEKAKDLAEQARSQAQRAREAETRLGRLQQEATALQAEAAHRESKMFLAERQVQFVEASTKEHRRGLEELQGELQAVNAQLTGVIREHESLAVSCVRERELEARLRAAEKGTAVVEQELSAARGRLELAEEQLRRHESAPVVSQRLAESAERLEALGQRAEECAAPLEAVRAEAADRARGLEAAATRQQEGTARATALQERLVELERSQELRAEERQALEARLGRAEEEALLRSARAEDLCASAAELERLRSDRDRLDERAQELAARLQTTDGGGGGSAGSGEAERVRERLRGAEAEAAECKGLAAELEAAAERERQRLAEELGRIRTELEVQLKESAEAAGPHLIPGASIGPVTAEVGPNEVGTASVGTSEMGTARSGTAEMNTTGTVPPEAQGAGVGYAQMEAEEAKTKTAEVGMAEVGTTETDTAQAGTPKTEMNAEEETTEMERVEAGTPKTETVKAGTAEAGTAEAGTAEAEMTRAEMTEDGAAEEDITEAGNVKTEPAVSGNLEMHTPGNKTATAGAVESEGCAAGEERPVERTEQQRLAQLAEAHQRRLQDFEARLSDYLALPIVEALAEVEMQTEDKEFNELIQVKEMLEQNQRTLVAVQEQLSALHEQASPSLQRSLLVEAGPLDPLEGEEDQLTTVDYSTNERENELLSLRSQLSEVERGLSEKEEQREVLLQQLEQVQRELIHLSSAEPSEQQRREKHQELGRIKQLLRGREQRLRVIEEYADRDDPMPISAPPPPAKRKKPAGQKGHSSMSNQSSAEGSDDETLASPPSPRQAAEQLLHLILKDGALAGYHAADQGLADTIGPQAGGQLLVEVTEPSAGECALMLATRGQLDQDNAAAGQQLLLRCLDTHCMQAAIILPTAGSRDQPNLHAKFSCLVESCSLCGGAAAHHLATWGSSDVVDVVCSAQLLQKLCQPHGYKAALHLANYGAGDASMVNSGHAIMVELCSAAGRMAALHFASKGEPDLKTPVLGKRAILAAVRPADNNEVAQLLREDSKGWDQSQRRGRAAPKPIPCPGCPPCSGLDTPSLRLETKKFRARLTKM
+>sp|Q96NW4|ANR27_HUMAN Ankyrin repeat domain-containing protein 27 OS=Homo sapiens OX=9606 GN=ANKRD27 PE=1 SV=2
+MALYDEDLLKNPFYLALQKCRPDLCSKVAQIHGIVLVPCKGSLSSSIQSTCQFESYILIPVEEHFQTLNGKDVFIQGNRIKLGAGFACLLSVPILFEETFYNEKEESFSILCIAHPLEKRESSEEPLAPSDPFSLKTIEDVREFLGRHSERFDRNIASFHRTFRECERKSLRHHIDSANALYTKCLQQLLRDSHLKMLAKQEAQMNLMKQAVEIYVHHEIYNLIFKYVGTMEASEDAAFNKITRSLQDLQQKDIGVKPEFSFNIPRAKRELAQLNKCTSPQQKLVCLRKVVQLITQSPSQRVNLETMCADDLLSVLLYLLVKTEIPNWMANLSYIKNFRFSSLAKDELGYCLTSFEAAIEYIRQGSLSAKPPESEGFGDRLFLKQRMSLLSQMTSSPTDCLFKHIASGNQKEVERLLSQEDHDKDTVQKMCHPLCFCDDCEKLVSGRLNDPSVVTPFSRDDRGHTPLHVAAVCGQASLIDLLVSKGAMVNATDYHGATPLHLACQKGYQSVTLLLLHYKASAEVQDNNGNTPLHLACTYGHEDCVKALVYYDVESCRLDIGNEKGDTPLHIAARWGYQGVIETLLQNGASTEIQNRLKETPLKCALNSKILSVMEAYHLSFERRQKSSEAPVQSPQRSVDSISQESSTSSFSSMSASSRQEETKKDYREVEKLLRAVADGDLEMVRYLLEWTEEDLEDAEDTVSAADPEFCHPLCQCPKCAPAQKRLAKVPASGLGVNVTSQDGSSPLHVAALHGRADLIPLLLKHGANAGARNADQAVPLHLACQQGHFQVVKCLLDSNAKPNKKDLSGNTPLIYACSGGHHELVALLLQHGASINASNNKGNTALHEAVIEKHVFVVELLLLHGASVQVLNKRQRTAVDCAEQNSKIMELLQVVPSCVASLDDVAETDRKEYVTVKIRKKWNSKLYDLPDEPFTRQFYFVHSAGQFKGKTSREIMARDRSVPNLTEGSLHEPGRQSVTLRQNNLPAQSGSHAAEKGNSDWPERPGLTQTGPGHRRMLRRHTVEDAVVSQGPEAAGPLSTPQEVSASRS
+>DECOY_sp|Q96NW4|ANR27_HUMAN Ankyrin repeat domain-containing protein 27 OS=Homo sapiens OX=9606 GN=ANKRD27 PE=1 SV=2
+SRSASVEQPTSLPGAAEPGQSVVADEVTHRRLMRRHGPGTQTLGPREPWDSNGKEAAHSGSQAPLNNQRLTVSQRGPEHLSGETLNPVSRDRAMIERSTKGKFQGASHVFYFQRTFPEDPLDYLKSNWKKRIKVTVYEKRDTEAVDDLSAVCSPVVQLLEMIKSNQEACDVATRQRKNLVQVSAGHLLLLEVVFVHKEIVAEHLATNGKNNSANISAGHQLLLAVLEHHGGSCAYILPTNGSLDKKNPKANSDLLCKVVQFHGQQCALHLPVAQDANRAGANAGHKLLLPILDARGHLAAVHLPSSGDQSTVNVGLGSAPVKALRKQAPACKPCQCLPHCFEPDAASVTDEADELDEETWELLYRVMELDGDAVARLLKEVERYDKKTEEQRSSASMSSFSSTSSEQSISDVSRQPSQVPAESSKQRREFSLHYAEMVSLIKSNLACKLPTEKLRNQIETSAGNQLLTEIVGQYGWRAAIHLPTDGKENGIDLRCSEVDYYVLAKVCDEHGYTCALHLPTNGNNDQVEASAKYHLLLLTVSQYGKQCALHLPTAGHYDTANVMAGKSVLLDILSAQGCVAAVHLPTHGRDDRSFPTVVSPDNLRGSVLKECDDCFCLPHCMKQVTDKDHDEQSLLREVEKQNGSAIHKFLCDTPSSTMQSLLSMRQKLFLRDGFGESEPPKASLSGQRIYEIAAEFSTLCYGLEDKALSSFRFNKIYSLNAMWNPIETKVLLYLLVSLLDDACMTELNVRQSPSQTILQVVKRLCVLKQQPSTCKNLQALERKARPINFSFEPKVGIDKQQLDQLSRTIKNFAADESAEMTGVYKFILNYIEHHVYIEVAQKMLNMQAEQKALMKLHSDRLLQQLCKTYLANASDIHHRLSKRECERFTRHFSAINRDFRESHRGLFERVDEITKLSFPDSPALPEESSERKELPHAICLISFSEEKENYFTEEFLIPVSLLCAFGAGLKIRNGQIFVDKGNLTQFHEEVPILIYSEFQCTSQISSSLSGKCPVLVIGHIQAVKSCLDPRCKQLALYFPNKLLDEDYLAM
+>sp|Q8N6D5|ANR29_HUMAN Ankyrin repeat domain-containing protein 29 OS=Homo sapiens OX=9606 GN=ANKRD29 PE=2 SV=2
+MCRMSFKKETPLANAAFWAARRGNLALLKLLLNSGRVDVDCRDSHGTTLLMVAAYAGHIDCVRELVLQGADINLQRESGTTALFFAAQQGHNDVVRFLFGFGASTEFRTKDGGTALLAASQYGHMQVVETLLKHGANIHDQLYDGATALFLAAQGGYLDVIRLLLASGAKVNQPRQDGTAPLWIASQMGHSEVVRVMLLRGADRDAARNDGTTALLKAANKGYNDVIKELLKFSPTLGILKNGTSALHAAVLSGNIKTVALLLEAGADPSLRNKANELPAELTKNERILRLLRSKEGPRKS
+>DECOY_sp|Q8N6D5|ANR29_HUMAN Ankyrin repeat domain-containing protein 29 OS=Homo sapiens OX=9606 GN=ANKRD29 PE=2 SV=2
+SKRPGEKSRLLRLIRENKTLEAPLENAKNRLSPDAGAELLLAVTKINGSLVAAHLASTGNKLIGLTPSFKLLEKIVDNYGKNAAKLLATTGDNRAADRDAGRLLMVRVVESHGMQSAIWLPATGDQRPQNVKAGSALLLRIVDLYGGQAALFLATAGDYLQDHINAGHKLLTEVVQMHGYQSAALLATGGDKTRFETSAGFGFLFRVVDNHGQQAAFFLATTGSERQLNIDAGQLVLERVCDIHGAYAAVMLLTTGHSDRCDVDVRGSNLLLKLLALNGRRAAWFAANALPTEKKFSMRCM
+>sp|Q8N9V6|ANR53_HUMAN Ankyrin repeat domain-containing protein 53 OS=Homo sapiens OX=9606 GN=ANKRD53 PE=1 SV=3
+MASAGSTARRAGSGSWHSERGEGRGARPQPTPSGSMQQANKVSLKATWTDAESKQPSQPLPDLADHLSAQATALARPRRPASLTPPRADPSPSKESDQTAIDQTAIGSYYQLFAAAVGNVEWLRFCLNQSLREIPTDDKGFTAIHFAAQWGKLACLQVLVEEYKFPVDLLTNNSQTPLHLVIHRDNTTVALPCIYYLLEKGADLNAQTCNGSTPLHLAARDGLLDCVKVLVQSGANVHAQDAMGYKPIDFCKIWNHRACARFLKDAMWKKDKKDFAREMTKMKMFKSQLTLMEHNYLIEYQKEHKILREAAIRKWLHGKLHPGHSLVSNTKQARATALSKTPEQRESQRSRSFHPSVDARLQCIPQPTEMPKPIYRKPTVKRPTMWNVSNNPARPPTTQISHSQGIRLGVHPDPTPEHDFSSFLEVRPDGHGGARLHTVDGHWVAPVPRLPFEVLLRMLYPRVWPYRMKVPQGFYPISMREVPRKRHLGDNTFWTDTLAMNLRDTFDEAFLAAVRSHQGLPTLPSPQTNP
+>DECOY_sp|Q8N9V6|ANR53_HUMAN Ankyrin repeat domain-containing protein 53 OS=Homo sapiens OX=9606 GN=ANKRD53 PE=1 SV=3
+PNTQPSPLTPLGQHSRVAALFAEDFTDRLNMALTDTWFTNDGLHRKRPVERMSIPYFGQPVKMRYPWVRPYLMRLLVEFPLRPVPAVWHGDVTHLRAGGHGDPRVELFSSFDHEPTPDPHVGLRIGQSHSIQTTPPRAPNNSVNWMTPRKVTPKRYIPKPMETPQPICQLRADVSPHFSRSRQSERQEPTKSLATARAQKTNSVLSHGPHLKGHLWKRIAAERLIKHEKQYEILYNHEMLTLQSKFMKMKTMERAFDKKDKKWMADKLFRACARHNWIKCFDIPKYGMADQAHVNAGSQVLVKVCDLLGDRAALHLPTSGNCTQANLDAGKELLYYICPLAVTTNDRHIVLHLPTQSNNTLLDVPFKYEEVLVQLCALKGWQAAFHIATFGKDDTPIERLSQNLCFRLWEVNGVAAAFLQYYSGIATQDIATQDSEKSPSPDARPPTLSAPRRPRALATAQASLHDALDPLPQSPQKSEADTWTAKLSVKNAQQMSGSPTPQPRAGRGEGRESHWSGSGARRATSGASAM
+>sp|P12429|ANXA3_HUMAN Annexin A3 OS=Homo sapiens OX=9606 GN=ANXA3 PE=1 SV=3
+MASIWVGHRGTVRDYPDFSPSVDAEAIQKAIRGIGTDEKMLISILTERSNAQRQLIVKEYQAAYGKELKDDLKGDLSGHFEHLMVALVTPPAVFDAKQLKKSMKGAGTNEDALIEILTTRTSRQMKDISQAYYTVYKKSLGDDISSETSGDFRKALLTLADGRRDESLKVDEHLAKQDAQILYKAGENRWGTDEDKFTEILCLRSFPQLKLTFDEYRNISQKDIVDSIKGELSGHFEDLLLAIVNCVRNTPAFLAERLHRALKGIGTDEFTLNRIMVSRSEIDLLDIRTEFKKHYGYSLYSAIKSDTSGDYEITLLKICGGDD
+>DECOY_sp|P12429|ANXA3_HUMAN Annexin A3 OS=Homo sapiens OX=9606 GN=ANXA3 PE=1 SV=3
+DDGGCIKLLTIEYDGSTDSKIASYLSYGYHKKFETRIDLLDIESRSVMIRNLTFEDTGIGKLARHLREALFAPTNRVCNVIALLLDEFHGSLEGKISDVIDKQSINRYEDFTLKLQPFSRLCLIETFKDEDTGWRNEGAKYLIQADQKALHEDVKLSEDRRGDALTLLAKRFDGSTESSIDDGLSKKYVTYYAQSIDKMQRSTRTTLIEILADENTGAGKMSKKLQKADFVAPPTVLAVMLHEFHGSLDGKLDDKLEKGYAAQYEKVILQRQANSRETLISILMKEDTGIGRIAKQIAEADVSPSFDPYDRVTGRHGVWISAM
+>sp|P08758|ANXA5_HUMAN Annexin A5 OS=Homo sapiens OX=9606 GN=ANXA5 PE=1 SV=2
+MAQVLRGTVTDFPGFDERADAETLRKAMKGLGTDEESILTLLTSRSNAQRQEISAAFKTLFGRDLLDDLKSELTGKFEKLIVALMKPSRLYDAYELKHALKGAGTNEKVLTEIIASRTPEELRAIKQVYEEEYGSSLEDDVVGDTSGYYQRMLVVLLQANRDPDAGIDEAQVEQDAQALFQAGELKWGTDEEKFITIFGTRSVSHLRKVFDKYMTISGFQIEETIDRETSGNLEQLLLAVVKSIRSIPAYLAETLYYAMKGAGTDDHTLIRVMVSRSEIDLFNIRKEFRKNFATSLYSMIKGDTSGDYKKALLLLCGEDD
+>DECOY_sp|P08758|ANXA5_HUMAN Annexin A5 OS=Homo sapiens OX=9606 GN=ANXA5 PE=1 SV=2
+DDEGCLLLLAKKYDGSTDGKIMSYLSTAFNKRFEKRINFLDIESRSVMVRILTHDDTGAGKMAYYLTEALYAPISRISKVVALLLQELNGSTERDITEEIQFGSITMYKDFVKRLHSVSRTGFITIFKEEDTGWKLEGAQFLAQADQEVQAEDIGADPDRNAQLLVVLMRQYYGSTDGVVDDELSSGYEEEYVQKIARLEEPTRSAIIETLVKENTGAGKLAHKLEYADYLRSPKMLAVILKEFKGTLESKLDDLLDRGFLTKFAASIEQRQANSRSTLLTLISEEDTGLGKMAKRLTEADAREDFGPFDTVTGRLVQAM
+>sp|P20073|ANXA7_HUMAN Annexin A7 OS=Homo sapiens OX=9606 GN=ANXA7 PE=1 SV=3
+MSYPGYPPTGYPPFPGYPPAGQESSFPPSGQYPYPSGFPPMGGGAYPQVPSSGYPGAGGYPAPGGYPAPGGYPGAPQPGGAPSYPGVPPGQGFGVPPGGAGFSGYPQPPSQSYGGGPAQVPLPGGFPGGQMPSQYPGGQPTYPSQINTDSFSSYPVFSPVSLDYSSEPATVTQVTQGTIRPAANFDAIRDAEILRKAMKGFGTDEQAIVDVVANRSNDQRQKIKAAFKTSYGKDLIKDLKSELSGNMEELILALFMPPTYYDAWSLRKAMQGAGTQERVLIEILCTRTNQEIREIVRCYQSEFGRDLEKDIRSDTSGHFERLLVSMCQGNRDENQSINHQMAQEDAQRLYQAGEGRLGTDESCFNMILATRSFPQLRATMEAYSRMANRDLLSSVSREFSGYVESGLKTILQCALNRPAFFAERLYYAMKGAGTDDSTLVRIVVTRSEIDLVQIKQMFAQMYQKTLGTMIAGDTSGDYRRLLLAIVGQ
+>DECOY_sp|P20073|ANXA7_HUMAN Annexin A7 OS=Homo sapiens OX=9606 GN=ANXA7 PE=1 SV=3
+QGVIALLLRRYDGSTDGAIMTGLTKQYMQAFMQKIQVLDIESRTVVIRVLTSDDTGAGKMAYYLREAFFAPRNLACQLITKLGSEVYGSFERSVSSLLDRNAMRSYAEMTARLQPFSRTALIMNFCSEDTGLRGEGAQYLRQADEQAMQHNISQNEDRNGQCMSVLLREFHGSTDSRIDKELDRGFESQYCRVIERIEQNTRTCLIEILVREQTGAGQMAKRLSWADYYTPPMFLALILEEMNGSLESKLDKILDKGYSTKFAAKIKQRQDNSRNAVVDVIAQEDTGFGKMAKRLIEADRIADFNAAPRITGQTVQTVTAPESSYDLSVPSFVPYSSFSDTNIQSPYTPQGGPYQSPMQGGPFGGPLPVQAPGGGYSQSPPQPYGSFGAGGPPVGFGQGPPVGPYSPAGGPQPAGPYGGPAPYGGPAPYGGAGPYGSSPVQPYAGGGMPPFGSPYPYQGSPPFSSEQGAPPYGPFPPYGTPPYGPYSM
+>sp|O43747|AP1G1_HUMAN AP-1 complex subunit gamma-1 OS=Homo sapiens OX=9606 GN=AP1G1 PE=1 SV=5
+MPAPIRLRELIRTIRTARTQAEEREMIQKECAAIRSSFREEDNTYRCRNVAKLLYMHMLGYPAHFGQLECLKLIASQKFTDKRIGYLGAMLLLDERQDVHLLMTNCIKNDLNHSTQFVQGLALCTLGCMGSSEMCRDLAGEVEKLLKTSNSYLRKKAALCAVHVIRKVPELMEMFLPATKNLLNEKNHGVLHTSVVLLTEMCERSPDMLAHFRKLVPQLVRILKNLIMSGYSPEHDVSGISDPFLQVRILRLLRILGRNDDDSSEAMNDILAQVATNTETSKNVGNAILYETVLTIMDIKSESGLRVLAINILGRFLLNNDKNIRYVALTSLLKTVQTDHNAVQRHRSTIVDCLKDLDVSIKRRAMELSFALVNGNNIRGMMKELLYFLDSCEPEFKADCASGIFLAAEKYAPSKRWHIDTIMRVLTTAGSYVRDDAVPNLIQLITNSVEMHAYTVQRLYKAILGDYSQQPLVQVAAWCIGEYGDLLVSGQCEEEEPIQVTEDEVLDILESVLISNMSTSVTRGYALTAIMKLSTRFTCTVNRIKKVVSIYGSSIDVELQQRAVEYNALFKKYDHMRSALLERMPVMEKVTTNGPTEIVQTNGETEPAPLETKPPPSGPQPTSQANDLLDLLGGNDITPVIPTAPTSKPSSAGGELLDLLGDINLTGAPAAAPAPASVPQISQPPFLLDGLSSQPLFNDIAAGIPSITAYSKNGLKIEFTFERSNTNPSVTVITIQASNSTELDMTDFVFQAAVPKTFQLQLLSPSSSIVPAFNTGTITQVIKVLNPQKQQLRMRIKLTYNHKGSAMQDLAEVNNFPPQSWQ
+>DECOY_sp|O43747|AP1G1_HUMAN AP-1 complex subunit gamma-1 OS=Homo sapiens OX=9606 GN=AP1G1 PE=1 SV=5
+QWSQPPFNNVEALDQMASGKHNYTLKIRMRLQQKQPNLVKIVQTITGTNFAPVISSSPSLLQLQFTKPVAAQFVFDTMDLETSNSAQITIVTVSPNTNSREFTFEIKLGNKSYATISPIGAAIDNFLPQSSLGDLLFPPQSIQPVSAPAPAAAPAGTLNIDGLLDLLEGGASSPKSTPATPIVPTIDNGGLLDLLDNAQSTPQPGSPPPKTELPAPETEGNTQVIETPGNTTVKEMVPMRELLASRMHDYKKFLANYEVARQQLEVDISSGYISVVKKIRNVTCTFRTSLKMIATLAYGRTVSTSMNSILVSELIDLVEDETVQIPEEEECQGSVLLDGYEGICWAAVQVLPQQSYDGLIAKYLRQVTYAHMEVSNTILQILNPVADDRVYSGATTLVRMITDIHWRKSPAYKEAALFIGSACDAKFEPECSDLFYLLEKMMGRINNGNVLAFSLEMARRKISVDLDKLCDVITSRHRQVANHDTQVTKLLSTLAVYRINKDNNLLFRGLINIALVRLGSESKIDMITLVTEYLIANGVNKSTETNTAVQALIDNMAESSDDDNRGLIRLLRLIRVQLFPDSIGSVDHEPSYGSMILNKLIRVLQPVLKRFHALMDPSRECMETLLVVSTHLVGHNKENLLNKTAPLFMEMLEPVKRIVHVACLAAKKRLYSNSTKLLKEVEGALDRCMESSGMCGLTCLALGQVFQTSHNLDNKICNTMLLHVDQREDLLLMAGLYGIRKDTFKQSAILKLCELQGFHAPYGLMHMYLLKAVNRCRYTNDEERFSSRIAACEKQIMEREEAQTRATRITRILERLRIPAPM
+>sp|Q96PC3|AP1S3_HUMAN AP-1 complex subunit sigma-3 OS=Homo sapiens OX=9606 GN=AP1S3 PE=1 SV=1
+MIHFILLFSRQGKLRLQKWYITLPDKERKKITREIVQIILSRGHRTSSFVDWKELKLVYKRYASLYFCCAIENQDNELLTLEIVHRYVELLDKYFGNVCELDIIFNFEKAYFILDEFIIGGEIQETSKKIAVKAIEDSDMLQEVSTVSQTMGER
+>DECOY_sp|Q96PC3|AP1S3_HUMAN AP-1 complex subunit sigma-3 OS=Homo sapiens OX=9606 GN=AP1S3 PE=1 SV=1
+REGMTQSVTSVEQLMDSDEIAKVAIKKSTEQIEGGIIFEDLIFYAKEFNFIIDLECVNGFYKDLLEVYRHVIELTLLENDQNEIACCFYLSAYRKYVLKLEKWDVFSSTRHGRSLIIQVIERTIKKREKDPLTIYWKQLRLKGQRSFLLIFHIM
+>sp|P53680|AP2S1_HUMAN AP-2 complex subunit sigma OS=Homo sapiens OX=9606 GN=AP2S1 PE=1 SV=2
+MIRFILIQNRAGKTRLAKWYMQFDDDEKQKLIEEVHAVVTVRDAKHTNFVEFRNFKIIYRRYAGLYFCICVDVNDNNLAYLEAIHNFVEVLNEYFHNVCELDLVFNFYKVYTVVDEMFLAGEIRETSQTKVLKQLLMLQSLE
+>DECOY_sp|P53680|AP2S1_HUMAN AP-2 complex subunit sigma OS=Homo sapiens OX=9606 GN=AP2S1 PE=1 SV=2
+ELSQLMLLQKLVKTQSTERIEGALFMEDVVTYVKYFNFVLDLECVNHFYENLVEVFNHIAELYALNNDNVDVCICFYLGAYRRYIIKFNRFEVFNTHKADRVTVVAHVEEILKQKEDDDFQMYWKALRTKGARNQILIFRIM
+>sp|O14617|AP3D1_HUMAN AP-3 complex subunit delta-1 OS=Homo sapiens OX=9606 GN=AP3D1 PE=1 SV=1
+MALKMVKGSIDRMFDKNLQDLVRGIRNHKEDEAKYISQCIDEIKQELKQDNIAVKANAVCKLTYLQMLGYDISWAAFNIIEVMSASKFTFKRIGYLAASQSFHEGTDVIMLTTNQIRKDLSSPSQYDTGVALTGLSCFVTPDLARDLANDIMTLMSHTKPYIRKKAVLIMYKVFLKYPESLRPAFPRLKEKLEDPDPGVQSAAVNVICELARRNPKNYLSLAPLFFKLMTSSTNNWVLIKIIKLFGALTPLEPRLGKKLIEPLTNLIHSTSAMSLLYECVNTVIAVLISLSSGMPNHSASIQLCVQKLRILIEDSDQNLKYLGLLAMSKILKTHPKSVQSHKDLILQCLDDKDESIRLRALDLLYGMVSKKNLMEIVKKLMTHVDKAEGTTYRDELLTKIIDICSQSNYQYITNFEWYISILVELTRLEGTRHGHLIAAQMLDVAIRVKAIRKFAVSQMSALLDSAHLLASSTQRNGICEVLYAAAWICGEFSEHLQEPHHTLEAMLRPRVTTLPGHIQAVYVQNVVKLYASILQQKEQAGEAEGAQAVTQLMVDRLPQFVQSADLEVQERASCILQLVKHIQKLQAKDVPVAEEVSALFAGELNPVAPKAQKKVPVPEGLDLDAWINEPLSDSESEDERPRAVFHEEEQRRPKHRPSEADEEELARRREARKQEQANNPFYIKSSPSPQKRYQDTPGVEHIPVVQIDLSVPLKVPGLPMSDQYVKLEEERRHRQKLEKDKRRKKRKEKEKKGKRRHSSLPTESDEDIAPAQQVDIVTEEMPENALPSDEDDKDPNDPYRALDIDLDKPLADSEKLPIQKHRNTETSKSPEKDVPMVEKKSKKPKKKEKKHKEKERDKEKKKEKEKKKSPKPKKKKHRKEKEERTKGKKKSKKQPPGSEEAAGEPVQNGAPEEEQLPPESSYSLLAENSYVKMTCDIRGSLQEDSQVTVAIVLENRSSSILKGMELSVLDSLNARMARPQGSSVHDGVPVPFQLPPGVSNEAQYVFTIQSIVMAQKLKGTLSFIAKNDEGATHEKLDFRLHFSCSSYLITTPCYSDAFAKLLESGDLSMSSIKVDGIRMSFQNLLAKICFHHHFSVVERVDSCASMYSRSIQGHHVCLLVKKGENSVSVDGKCSDSTLLSNLLEEMKATLAKC
+>DECOY_sp|O14617|AP3D1_HUMAN AP-3 complex subunit delta-1 OS=Homo sapiens OX=9606 GN=AP3D1 PE=1 SV=1
+CKALTAKMEELLNSLLTSDSCKGDVSVSNEGKKVLLCVHHGQISRSYMSACSDVREVVSFHHHFCIKALLNQFSMRIGDVKISSMSLDGSELLKAFADSYCPTTILYSSCSFHLRFDLKEHTAGEDNKAIFSLTGKLKQAMVISQITFVYQAENSVGPPLQFPVPVGDHVSSGQPRAMRANLSDLVSLEMGKLISSSRNELVIAVTVQSDEQLSGRIDCTMKVYSNEALLSYSSEPPLQEEEPAGNQVPEGAAEESGPPQKKSKKKGKTREEKEKRHKKKKPKPSKKKEKEKKKEKDREKEKHKKEKKKPKKSKKEVMPVDKEPSKSTETNRHKQIPLKESDALPKDLDIDLARYPDNPDKDDEDSPLANEPMEETVIDVQQAPAIDEDSETPLSSHRRKGKKEKEKRKKRRKDKELKQRHRREEELKVYQDSMPLGPVKLPVSLDIQVVPIHEVGPTDQYRKQPSPSSKIYFPNNAQEQKRAERRRALEEEDAESPRHKPRRQEEEHFVARPREDESESDSLPENIWADLDLGEPVPVKKQAKPAVPNLEGAFLASVEEAVPVDKAQLKQIHKVLQLICSAREQVELDASQVFQPLRDVMLQTVAQAGEAEGAQEKQQLISAYLKVVNQVYVAQIHGPLTTVRPRLMAELTHHPEQLHESFEGCIWAAAYLVECIGNRQTSSALLHASDLLASMQSVAFKRIAKVRIAVDLMQAAILHGHRTGELRTLEVLISIYWEFNTIYQYNSQSCIDIIKTLLEDRYTTGEAKDVHTMLKKVIEMLNKKSVMGYLLDLARLRISEDKDDLCQLILDKHSQVSKPHTKLIKSMALLGLYKLNQDSDEILIRLKQVCLQISASHNPMGSSLSILVAIVTNVCEYLLSMASTSHILNTLPEILKKGLRPELPTLAGFLKIIKILVWNNTSSTMLKFFLPALSLYNKPNRRALECIVNVAASQVGPDPDELKEKLRPFAPRLSEPYKLFVKYMILVAKKRIYPKTHSMLTMIDNALDRALDPTVFCSLGTLAVGTDYQSPSSLDKRIQNTTLMIVDTGEHFSQSAALYGIRKFTFKSASMVEIINFAAWSIDYGLMQLYTLKCVANAKVAINDQKLEQKIEDICQSIYKAEDEKHNRIGRVLDQLNKDFMRDISGKVMKLAM
+>sp|Q9Y2T2|AP3M1_HUMAN AP-3 complex subunit mu-1 OS=Homo sapiens OX=9606 GN=AP3M1 PE=1 SV=1
+MIHSLFLINCSGDIFLEKHWKSVVSQSVCDYFFEAQEKAADVENVPPVISTPHHYLISIYRDKLFFVSVIQTEVPPLFVIEFLHRVADTFQDYFGECSEAAIKDNVVIVYELLEEMLDNGFPLATESNILKELIKPPTILRSVVNSITGSSNVGDTLPTGQLSNIPWRRAGVKYTNNEAYFDVVEEIDAIIDKSGSTVFAEIQGVIDACIKLSGMPDLSLSFMNPRLLDDVSFHPCIRFKRWESERVLSFIPPDGNFRLISYRVSSQNLVAIPVYVKHSISFKENSSCGRFDITIGPKQNMGKTIEGITVTVHMPKVVLNMNLTPTQGSYTFDPVTKVLTWDVGKITPQKLPSLKGLVNLQSGAPKPEENPSLNIQFKIQQLAISGLKVNRLDMYGEKYKPFKGVKYVTKAGKFQVRT
+>DECOY_sp|Q9Y2T2|AP3M1_HUMAN AP-3 complex subunit mu-1 OS=Homo sapiens OX=9606 GN=AP3M1 PE=1 SV=1
+TRVQFKGAKTVYKVGKFPKYKEGYMDLRNVKLGSIALQQIKFQINLSPNEEPKPAGSQLNVLGKLSPLKQPTIKGVDWTLVKTVPDFTYSGQTPTLNMNLVVKPMHVTVTIGEITKGMNQKPGITIDFRGCSSNEKFSISHKVYVPIAVLNQSSVRYSILRFNGDPPIFSLVRESEWRKFRICPHFSVDDLLRPNMFSLSLDPMGSLKICADIVGQIEAFVTSGSKDIIADIEEVVDFYAENNTYKVGARRWPINSLQGTPLTDGVNSSGTISNVVSRLITPPKILEKLINSETALPFGNDLMEELLEYVIVVNDKIAAESCEGFYDQFTDAVRHLFEIVFLPPVETQIVSVFFLKDRYISILYHHPTSIVPPVNEVDAAKEQAEFFYDCVSQSVVSKWHKELFIDGSCNILFLSHIM
+>sp|Q9Y587|AP4S1_HUMAN AP-4 complex subunit sigma-1 OS=Homo sapiens OX=9606 GN=AP4S1 PE=1 SV=1
+MIKFFLMVNKQGQTRLSKYYEHVDINKRTLLETEVIKSCLSRSNEQCSFIEYKDFKLIYRQYAALFIVVGVNDTENEMAIYEFIHNFVEVLDEYFSRVSELDIMFNLDKVHIILDEMVLNGCIVETNRARILAPLLILDKMSES
+>DECOY_sp|Q9Y587|AP4S1_HUMAN AP-4 complex subunit sigma-1 OS=Homo sapiens OX=9606 GN=AP4S1 PE=1 SV=1
+SESMKDLILLPALIRARNTEVICGNLVMEDLIIHVKDLNFMIDLESVRSFYEDLVEVFNHIFEYIAMENETDNVGVVIFLAAYQRYILKFDKYEIFSCQENSRSLCSKIVETELLTRKNIDVHEYYKSLRTQGQKNVMLFFKIM
+>sp|Q02410|APBA1_HUMAN Amyloid-beta A4 precursor protein-binding family A member 1 OS=Homo sapiens OX=9606 GN=APBA1 PE=1 SV=3
+MNHLEGSAEVEVTDEAAGGEVNESVEADLEHPEVEEEQQQPPQQQHYVGRHQRGRALEDLRAQLGQEEEERGECLARSASTESGFHNHTDTAEGDVIAAARDGYDAERAQDPEDESAYAVQYRPEAEEYTEQAEAEHAEATHRRALPNHLHFHSLEHEEAMNAAYSGYVYTHRLFHRGEDEPYSEPYADYGGLQEHVYEEIGDAPELDARDGLRLYEQERDEAAAYRQEALGARLHHYDERSDGESDSPEKEAEFAPYPRMDSYEQEEDIDQIVAEVKQSMSSQSLDKAAEDMPEAEQDLERPPTPAGGRPDSPGLQAPAGQQRAVGPAGGGEAGQRYSKEKRDAISLAIKDIKEAIEEVKTRTIRSPYTPDEPKEPIWVMRQDISPTRDCDDQRPMDGDSPSPGSSSPLGAESSSTSLHPSDPVEASTNKESRKSLASFPTYVEVPGPCDPEDLIDGIIFAANYLGSTQLLSDKTPSKNVRMMQAQEAVSRIKMAQKLAKSRKKAPEGESQPMTEVDLFISTQRIKVLNADTQETMMDHPLRTISYIADIGNIVVLMARRRMPRSNSQENVEASHPSQDGKRQYKMICHVFESEDAQLIAQSIGQAFSVAYQEFLRANGINPEDLSQKEYSDLLNTQDMYNDDLIHFSKSENCKDVFIEKQKGEILGVVIVESGWGSILPTVIIANMMHGGPAEKSGKLNIGDQIMSINGTSLVGLPLSTCQSIIKGLKNQSRVKLNIVRCPPVTTVLIRRPDLRYQLGFSVQNGIICSLMRGGIAERGGVRVGHRIIEINGQSVVATPHEKIVHILSNAVGEIHMKTMPAAMYRLLTAQEQPVYI
+>DECOY_sp|Q02410|APBA1_HUMAN Amyloid-beta A4 precursor protein-binding family A member 1 OS=Homo sapiens OX=9606 GN=APBA1 PE=1 SV=3
+IYVPQEQATLLRYMAAPMTKMHIEGVANSLIHVIKEHPTAVVSQGNIEIIRHGVRVGGREAIGGRMLSCIIGNQVSFGLQYRLDPRRILVTTVPPCRVINLKVRSQNKLGKIISQCTSLPLGVLSTGNISMIQDGINLKGSKEAPGGHMMNAIIVTPLISGWGSEVIVVGLIEGKQKEIFVDKCNESKSFHILDDNYMDQTNLLDSYEKQSLDEPNIGNARLFEQYAVSFAQGISQAILQADESEFVHCIMKYQRKGDQSPHSAEVNEQSNSRPMRRRAMLVVINGIDAIYSITRLPHDMMTEQTDANLVKIRQTSIFLDVETMPQSEGEPAKKRSKALKQAMKIRSVAEQAQMMRVNKSPTKDSLLQTSGLYNAAFIIGDILDEPDCPGPVEVYTPFSALSKRSEKNTSAEVPDSPHLSTSSSEAGLPSSSGPSPSDGDMPRQDDCDRTPSIDQRMVWIPEKPEDPTYPSRITRTKVEEIAEKIDKIALSIADRKEKSYRQGAEGGGAPGVARQQGAPAQLGPSDPRGGAPTPPRELDQEAEPMDEAAKDLSQSSMSQKVEAVIQDIDEEQEYSDMRPYPAFEAEKEPSDSEGDSREDYHHLRAGLAEQRYAAAEDREQEYLRLGDRADLEPADGIEEYVHEQLGGYDAYPESYPEDEGRHFLRHTYVYGSYAANMAEEHELSHFHLHNPLARRHTAEAHEAEAQETYEEAEPRYQVAYASEDEPDQAREADYGDRAAAIVDGEATDTHNHFGSETSASRALCEGREEEEQGLQARLDELARGRQHRGVYHQQQPPQQQEEEVEPHELDAEVSENVEGGAAEDTVEVEASGELHNM
+>sp|Q9UM13|APC10_HUMAN Anaphase-promoting complex subunit 10 OS=Homo sapiens OX=9606 GN=ANAPC10 PE=1 SV=1
+MTTPNKTPPGADPKQLERTGTVREIGSQAVWSLSSCKPGFGVDQLRDDNLETYWQSDGSQPHLVNIQFRRKTTVKTLCIYADYKSDESYTPSKISVRVGNNFHNLQEIRQLELVEPSGWIHVPLTDNHKKPTRTFMIQIAVLANHQNGRDTHMRQIKIYTPVEESSIGKFPRCTTIDFMMYRSIR
+>DECOY_sp|Q9UM13|APC10_HUMAN Anaphase-promoting complex subunit 10 OS=Homo sapiens OX=9606 GN=ANAPC10 PE=1 SV=1
+RISRYMMFDITTCRPFKGISSEEVPTYIKIQRMHTDRGNQHNALVAIQIMFTRTPKKHNDTLPVHIWGSPEVLELQRIEQLNHFNNGVRVSIKSPTYSEDSKYDAYICLTKVTTKRRFQINVLHPQSGDSQWYTELNDDRLQDVGFGPKCSSLSWVAQSGIERVTGTRELQKPDAGPPTKNPTTM
+>sp|Q9H1A4|APC1_HUMAN Anaphase-promoting complex subunit 1 OS=Homo sapiens OX=9606 GN=ANAPC1 PE=1 SV=1
+MSNFYEERTTMIAARDLQEFVPFGRDHCKHHPNALNLQLRQLQPASELWSSDGAAGLVGSLQEVTIHEKQKESWQLRKGVSEIGEDVDYDEELYVAGNMVIWSKGSKSQALAVYKAFTVDSPVQQALWCDFIISQDKSEKAYSSNEVEKCICILQSSCINMHSIEGKDYIASLPFQVANVWPTKYGLLFERSASSHEVPPGSPREPLPTMFSMLHPLDEITPLVCKSGSLFGSSRVQYVVDHAMKIVFLNTDPSIVMTYDAVQNVHSVWTLRRVKSEEENVVLKFSEQGGTPQNVATSSSLTAHLRSLSKGDSPVTSPFQNYSSIHSQSRSTSSPSLHSRSPSISNMAALSRAHSPALGVHSFSGVQRFNISSHNQSPKRHSISHSPNSNSNGSFLAPETEPIVPELCIDHLWTETITNIREKNSQASKVFITSDLCGQKFLCFLVESQLQLRCVKFQESNDKTQLIFGSVTNIPAKDAAPVEKIDTMLVLEGSGNLVLYTGVVRVGKVFIPGLPAPSLTMSNTMPRPSTPLDGVSTPKPLSKLLGSLDEVVLLSPVPELRDSSKLHDSLYNEDCTFQQLGTYIHSIRDPVHNRVTLELSNGSMVRITIPEIATSELVQTCLQAIKFILPKEIAVQMLVKWYNVHSAPGGPSYHSEWNLFVTCLMNMMGYNTDRLAWTRNFDFEGSLSPVIAPKKARPSETGSDDDWEYLLNSDYHQNVESHLLNRSLCLSPSEASQMKDEDFSQNLSLDSSTLLFTHIPAIFFVLHLVYEELKLNTLMGEGICSLVELLVQLARDLKLGPYVDHYYRDYPTLVRTTGQVCTIDPGQTGFMHHPSFFTSEPPSIYQWVSSCLKGEGMPPYPYLPGICERSRLVVLSIALYILGDESLVSDESSQYLTRITIAPQKLQVEQEENRFSFRHSTSVSSLAERLVVWMTNVGFTLRDLETLPFGIALPIRDAIYHCREQPASDWPEAVCLLIGRQDLSKQACEGNLPKGKSVLSSDVPSGTETEEEDDGMNDMNHEVMSLIWSEDLRVQDVRRLLQSAHPVRVNVVQYPELSDHEFIEEKENRLLQLCQRTMALPVGRGMFTLFSYHPVPTEPLPIPKLNLTGRAPPRNTTVDLNSGNIDVPPNMTSWASFHNGVAAGLKIAPASQIDSAWIVYNKPKHAELANEYAGFLMALGLNGHLTKLATLNIHDYLTKGHEMTSIGLLLGVSAAKLGTMDMSITRLLSIHIPALLPPTSTELDVPHNVQVAAVVGIGLVYQGTAHRHTAEVLLAEIGRPPGPEMEYCTDRESYSLAAGLALGMVCLGHGSNLIGMSDLNVPEQLYQYMVGGHRRFQTGMHREKHKSPSYQIKEGDTINVDVTCPGATLALAMIYLKTNNRSIADWLRAPDTMYLLDFVKPEFLLLRTLARCLILWDDILPNSKWVDSNVPQIIRENSISLSEIELPCSEDLNLETLSQAHVYIIAGACLSLGFRFAGSENLSAFNCLHKFAKDFMTYLSAPNASVTGPHNLETCLSVVLLSLAMVMAGSGNLKVLQLCRFLHMKTGGEMNYGFHLAHHMALGLLFLGGGRYSLSTSNSSIAALLCALYPHFPAHSTDNRYHLQALRHLYVLAAEPRLLVPVDVDTNTPCYALLEVTYKGTQWYEQTKEELMAPTLLPELHLLKQIKVKGPRYWELLIDLSKGTQHLKSILSKDGVLYVKLRAGQLSYKEDPMGWQSLLAQTVANRNSEARAFKPETISAFTSDPALLSFAEYFCKPTVNMGQKQEILDLFSSVLYECVTQETPEMLPAYIAMDQAIRRLGRREMSETSELWQIKLVLEFFSSRSHQERLQNHPKRGLFMNSEFLPVVKCTIDNTLDQWLQVGGDMCVHAYLSGQPLEESQLSMLACFLVYHSVPAPQHLPPIGLEGSTSFAELLFKFKQLKMPVRALLRLAPLLLGNPQPMVM
+>DECOY_sp|Q9H1A4|APC1_HUMAN Anaphase-promoting complex subunit 1 OS=Homo sapiens OX=9606 GN=ANAPC1 PE=1 SV=1
+MVMPQPNGLLLPALRLLARVPMKLQKFKFLLEAFSTSGELGIPPLHQPAPVSHYVLFCALMSLQSEELPQGSLYAHVCMDGGVQLWQDLTNDITCKVVPLFESNMFLGRKPHNQLREQHSRSSFFELVLKIQWLESTESMERRGLRRIAQDMAIYAPLMEPTEQTVCEYLVSSFLDLIEQKQGMNVTPKCFYEAFSLLAPDSTFASITEPKFARAESNRNAVTQALLSQWGMPDEKYSLQGARLKVYLVGDKSLISKLHQTGKSLDILLEWYRPGKVKIQKLLHLEPLLTPAMLEEKTQEYWQTGKYTVELLAYCPTNTDVDVPVLLRPEAALVYLHRLAQLHYRNDTSHAPFHPYLACLLAAISSNSTSLSYRGGGLFLLGLAMHHALHFGYNMEGGTKMHLFRCLQLVKLNGSGAMVMALSLLVVSLCTELNHPGTVSANPASLYTMFDKAFKHLCNFASLNESGAFRFGLSLCAGAIIYVHAQSLTELNLDESCPLEIESLSISNERIIQPVNSDVWKSNPLIDDWLILCRALTRLLLFEPKVFDLLYMTDPARLWDAISRNNTKLYIMALALTAGPCTVDVNITDGEKIQYSPSKHKERHMGTQFRRHGGVMYQYLQEPVNLDSMGILNSGHGLCVMGLALGAALSYSERDTCYEMEPGPPRGIEALLVEATHRHATGQYVLGIGVVAAVQVNHPVDLETSTPPLLAPIHISLLRTISMDMTGLKAASVGLLLGISTMEHGKTLYDHINLTALKTLHGNLGLAMLFGAYENALEAHKPKNYVIWASDIQSAPAIKLGAAVGNHFSAWSTMNPPVDINGSNLDVTTNRPPARGTLNLKPIPLPETPVPHYSFLTFMGRGVPLAMTRQCLQLLRNEKEEIFEHDSLEPYQVVNVRVPHASQLLRRVDQVRLDESWILSMVEHNMDNMGDDEEETETGSPVDSSLVSKGKPLNGECAQKSLDQRGILLCVAEPWDSAPQERCHYIADRIPLAIGFPLTELDRLTFGVNTMWVVLREALSSVSTSHRFSFRNEEQEVQLKQPAITIRTLYQSSEDSVLSEDGLIYLAISLVVLRSRECIGPLYPYPPMGEGKLCSSVWQYISPPESTFFSPHHMFGTQGPDITCVQGTTRVLTPYDRYYHDVYPGLKLDRALQVLLEVLSCIGEGMLTNLKLEEYVLHLVFFIAPIHTFLLTSSDLSLNQSFDEDKMQSAESPSLCLSRNLLHSEVNQHYDSNLLYEWDDDSGTESPRAKKPAIVPSLSGEFDFNRTWALRDTNYGMMNMLCTVFLNWESHYSPGGPASHVNYWKVLMQVAIEKPLIFKIAQLCTQVLESTAIEPITIRVMSGNSLELTVRNHVPDRISHIYTGLQQFTCDENYLSDHLKSSDRLEPVPSLLVVEDLSGLLKSLPKPTSVGDLPTSPRPMTNSMTLSPAPLGPIFVKGVRVVGTYLVLNGSGELVLMTDIKEVPAADKAPINTVSGFILQTKDNSEQFKVCRLQLQSEVLFCLFKQGCLDSTIFVKSAQSNKERINTITETWLHDICLEPVIPETEPALFSGNSNSNPSHSISHRKPSQNHSSINFRQVGSFSHVGLAPSHARSLAAMNSISPSRSHLSPSSTSRSQSHISSYNQFPSTVPSDGKSLSRLHATLSSSTAVNQPTGGQESFKLVVNEEESKVRRLTWVSHVNQVADYTMVISPDTNLFVIKMAHDVVYQVRSSGFLSGSKCVLPTIEDLPHLMSFMTPLPERPSGPPVEHSSASREFLLGYKTPWVNAVQFPLSAIYDKGEISHMNICSSQLICICKEVENSSYAKESKDQSIIFDCWLAQQVPSDVTFAKYVALAQSKSGKSWIVMNGAVYLEEDYDVDEGIESVGKRLQWSEKQKEHITVEQLSGVLGAAGDSSWLESAPQLQRLQLNLANPHHKCHDRGFPVFEQLDRAAIMTTREEYFNSM
+>sp|Q9UJX4|APC5_HUMAN Anaphase-promoting complex subunit 5 OS=Homo sapiens OX=9606 GN=ANAPC5 PE=1 SV=2
+MASVHESLYFNPMMTNGVVHANVFGIKDWVTPYKIAVLVLLNEMSRTGEGAVSLMERRRLNQLLLPLLQGPDITLSKLYKLIEESCPQLANSVQIRIKLMAEGELKDMEQFFDDLSDSFSGTEPEVHKTSVVGLFLRHMILAYSKLSFSQVFKLYTALQQYFQNGEKKTVEDADMELTSRDEGERKMEKEELDVSVREEEVSCSGPLSQKQAEFFLSQQASLLKNDETKALTPASLQKELNNLLKFNPDFAEAHYLSYLNNLRVQDVFSSTHSLLHYFDRLILTGAESKSNGEEGYGRSLRYAALNLAALHCRFGHYQQAELALQEAIRIAQESNDHVCLQHCLSWLYVLGQKRSDSYVLLEHSVKKAVHFGLPYLASLGIQSLVQQRAFAGKTANKLMDALKDSDLLHWKHSLSELIDISIAQKTAIWRLYGRSTMALQQAQMLLSMNSLEAVNAGVQQNNTESFAVALCHLAELHAEQGCFAAASEVLKHLKERFPPNSQHAQLWMLCDQKIQFDRAMNDGKYHLADSLVTGITALNSIEGVYRKAVVLQAQNQMSEAHKLLQKLLVHCQKLKNTEMVISVLLSVAELYWRSSSPTIALPMLLQALALSKEYRLQYLASETVLNLAFAQLILGIPEQALSLLHMAIEPILADGAILDKGRAMFLVAKCQVASAASYDQPKKAEALEAAIENLNEAKNYFAKVDCKERIRDVVYFQARLYHTLGKTQERNRCAMLFRQLHQELPSHGVPLINHL
+>DECOY_sp|Q9UJX4|APC5_HUMAN Anaphase-promoting complex subunit 5 OS=Homo sapiens OX=9606 GN=ANAPC5 PE=1 SV=2
+LHNILPVGHSPLEQHLQRFLMACRNREQTKGLTHYLRAQFYVVDRIREKCDVKAFYNKAENLNEIAAELAEAKKPQDYSAASAVQCKAVLFMARGKDLIAGDALIPEIAMHLLSLAQEPIGLILQAFALNLVTESALYQLRYEKSLALAQLLMPLAITPSSSRWYLEAVSLLVSIVMETNKLKQCHVLLKQLLKHAESMQNQAQLVVAKRYVGEISNLATIGTVLSDALHYKGDNMARDFQIKQDCLMWLQAHQSNPPFREKLHKLVESAAAFCGQEAHLEALHCLAVAFSETNNQQVGANVAELSNMSLLMQAQQLAMTSRGYLRWIATKQAISIDILESLSHKWHLLDSDKLADMLKNATKGAFARQQVLSQIGLSALYPLGFHVAKKVSHELLVYSDSRKQGLVYLWSLCHQLCVHDNSEQAIRIAEQLALEAQQYHGFRCHLAALNLAAYRLSRGYGEEGNSKSEAGTLILRDFYHLLSHTSSFVDQVRLNNLYSLYHAEAFDPNFKLLNNLEKQLSAPTLAKTEDNKLLSAQQSLFFEAQKQSLPGSCSVEEERVSVDLEEKEMKREGEDRSTLEMDADEVTKKEGNQFYQQLATYLKFVQSFSLKSYALIMHRLFLGVVSTKHVEPETGSFSDSLDDFFQEMDKLEGEAMLKIRIQVSNALQPCSEEILKYLKSLTIDPGQLLPLLLQNLRRREMLSVAGEGTRSMENLLVLVAIKYPTVWDKIGFVNAHVVGNTMMPNFYLSEHVSAM
+>sp|Q8J025|APCD1_HUMAN Protein APCDD1 OS=Homo sapiens OX=9606 GN=APCDD1 PE=1 SV=1
+MSWPRRLLLRYLFPALLLHGLGEGSALLHPDSRSHPRSLEKSAWRAFKESQCHHMLKHLHNGARITVQMPPTIEGHWVSTGCEVRSGPEFITRSYRFYHNNTFKAYQFYYGSNRCTNPTYTLIIRGKIRLRQASWIIRGGTEADYQLHNVQVICHTEAVAEKLGQQVNRTCPGFLADGGPWVQDVAYDLWREENGCECTKAVNFAMHELQLIRVEKQYLHHNLDHLVEELFLGDIHTDATQRMFYRPSSYQPPLQNAKNHDHACIACRIIYRSDEHHPPILPPKADLTIGLHGEWVSQRCEVRPEVLFLTRHFIFHDNNNTWEGHYYHYSDPVCKHPTFSIYARGRYSRGVLSSRVMGGTEFVFKVNHMKVTPMDAATASLLNVFNGNECGAEGSWQVGIQQDVTHTNGCVALGIKLPHTEYEIFKMEQDARGRYLLFNGQRPSDGSSPDRPEKRATSYQMPLVQCASSSPRAEDLAEDSGSSLYGRAPGRHTWSLLLAALACLVPLLHWNIRR
+>DECOY_sp|Q8J025|APCD1_HUMAN Protein APCDD1 OS=Homo sapiens OX=9606 GN=APCDD1 PE=1 SV=1
+RRINWHLLPVLCALAALLLSWTHRGPARGYLSSGSDEALDEARPSSSACQVLPMQYSTARKEPRDPSSGDSPRQGNFLLYRGRADQEMKFIEYETHPLKIGLAVCGNTHTVDQQIGVQWSGEAGCENGNFVNLLSATAADMPTVKMHNVKFVFETGGMVRSSLVGRSYRGRAYISFTPHKCVPDSYHYYHGEWTNNNDHFIFHRTLFLVEPRVECRQSVWEGHLGITLDAKPPLIPPHHEDSRYIIRCAICAHDHNKANQLPPQYSSPRYFMRQTADTHIDGLFLEEVLHDLNHHLYQKEVRILQLEHMAFNVAKTCECGNEERWLDYAVDQVWPGGDALFGPCTRNVQQGLKEAVAETHCIVQVNHLQYDAETGGRIIWSAQRLRIKGRIILTYTPNTCRNSGYYFQYAKFTNNHYFRYSRTIFEPGSRVECGTSVWHGEITPPMQVTIRAGNHLHKLMHHCQSEKFARWASKELSRPHSRSDPHLLASGEGLGHLLLAPFLYRLLLRRPWSM
+>sp|Q9BZZ5|API5_HUMAN Apoptosis inhibitor 5 OS=Homo sapiens OX=9606 GN=API5 PE=1 SV=3
+MPTVEELYRNYGILADATEQVGQHKDAYQVILDGVKGGTKEKRLAAQFIPKFFKHFPELADSAINAQLDLCEDEDVSIRRQAIKELPQFATGENLPRVADILTQLLQTDDSAEFNLVNNALLSIFKMDAKGTLGGLFSQILQGEDIVRERAIKFLSTKLKTLPDEVLTKEVEELILTESKKVLEDVTGEEFVLFMKILSGLKSLQTVSGRQQLVELVAEQADLEQTFNPSDPDCVDRLLQCTRQAVPLFSKNVHSTRFVTYFCEQVLPNLGTLTTPVEGLDIQLEVLKLLAEMSSFCGDMEKLETNLRKLFDKLLEYMPLPPEEAENGENAGNEEPKLQFSYVECLLYSFHQLGRKLPDFLTAKLNAEKLKDFKIRLQYFARGLQVYIRQLRLALQGKTGEALKTEENKIKVVALKITNNINVLIKDLFHIPPSYKSTVTLSWKPVQKVEIGQKRASEDTTSGSPPKKSSAGPKRDARQIYNPPSGKYSSNLGNFNYEQRGAFRGSRGGRGWGTRGNRSRGRLY
+>DECOY_sp|Q9BZZ5|API5_HUMAN Apoptosis inhibitor 5 OS=Homo sapiens OX=9606 GN=API5 PE=1 SV=3
+YLRGRSRNGRTGWGRGGRSGRFAGRQEYNFNGLNSSYKGSPPNYIQRADRKPGASSKKPPSGSTTDESARKQGIEVKQVPKWSLTVTSKYSPPIHFLDKILVNINNTIKLAVVKIKNEETKLAEGTKGQLALRLQRIYVQLGRAFYQLRIKFDKLKEANLKATLFDPLKRGLQHFSYLLCEVYSFQLKPEENGANEGNEAEEPPLPMYELLKDFLKRLNTELKEMDGCFSSMEALLKLVELQIDLGEVPTTLTGLNPLVQECFYTVFRTSHVNKSFLPVAQRTCQLLRDVCDPDSPNFTQELDAQEAVLEVLQQRGSVTQLSKLGSLIKMFLVFEEGTVDELVKKSETLILEEVEKTLVEDPLTKLKTSLFKIARERVIDEGQLIQSFLGGLTGKADMKFISLLANNVLNFEASDDTQLLQTLIDAVRPLNEGTAFQPLEKIAQRRISVDEDECLDLQANIASDALEPFHKFFKPIFQAALRKEKTGGKVGDLIVQYADKHQGVQETADALIGYNRYLEEVTPM
+>sp|P35414|APJ_HUMAN Apelin receptor OS=Homo sapiens OX=9606 GN=APLNR PE=1 SV=1
+MEEGGDFDNYYGADNQSECEYTDWKSSGALIPAIYMLVFLLGTTGNGLVLWTVFRSSREKRRSADIFIASLAVADLTFVVTLPLWATYTYRDYDWPFGTFFCKLSSYLIFVNMYASVFCLTGLSFDRYLAIVRPVANARLRLRVSGAVATAVLWVLAALLAMPVMVLRTTGDLENTTKVQCYMDYSMVATVSSEWAWEVGLGVSSTTVGFVVPFTIMLTCYFFIAQTIAGHFRKERIEGLRKRRRLLSIIVVLVVTFALCWMPYHLVKTLYMLGSLLHWPCDFDLFLMNIFPYCTCISYVNSCLNPFLYAFFDPRFRQACTSMLCCGQSRCAGTSHSSSGEKSASYSSGHSQGPGPNMGKGGEQMHEKSIPYSQETLVVD
+>DECOY_sp|P35414|APJ_HUMAN Apelin receptor OS=Homo sapiens OX=9606 GN=APLNR PE=1 SV=1
+DVVLTEQSYPISKEHMQEGGKGMNPGPGQSHGSSYSASKEGSSSHSTGACRSQGCCLMSTCAQRFRPDFFAYLFPNLCSNVYSICTCYPFINMLFLDFDCPWHLLSGLMYLTKVLHYPMWCLAFTVVLVVIISLLRRRKRLGEIREKRFHGAITQAIFFYCTLMITFPVVFGVTTSSVGLGVEWAWESSVTAVMSYDMYCQVKTTNELDGTTRLVMVPMALLAALVWLVATAVAGSVRLRLRANAVPRVIALYRDFSLGTLCFVSAYMNVFILYSSLKCFFTGFPWDYDRYTYTAWLPLTVVFTLDAVALSAIFIDASRRKERSSRFVTWLVLGNGTTGLLFVLMYIAPILAGSSKWDTYECESQNDAGYYNDFDGGEEM
+>sp|Q06481|APLP2_HUMAN Amyloid-like protein 2 OS=Homo sapiens OX=9606 GN=APLP2 PE=1 SV=2
+MAATGTAAAAATGRLLLLLLVGLTAPALALAGYIEALAANAGTGFAVAEPQIAMFCGKLNMHVNIQTGKWEPDPTGTKSCFETKEEVLQYCQEMYPELQITNVMEANQRVSIDNWCRRDKKQCKSRFVTPFKCLVGEFVSDVLLVPEKCQFFHKERMEVCENHQHWHTVVKEACLTQGMTLYSYGMLLPCGVDQFHGTEYVCCPQTKIIGSVSKEEEEEDEEEEEEEDEEEDYDVYKSEFPTEADLEDFTEAAVDEDDEDEEEGEEVVEDRDYYYDTFKGDDYNEENPTEPGSDGTMSDKEITHDVKAVCSQEAMTGPCRAVMPRWYFDLSKGKCVRFIYGGCGGNRNNFESEDYCMAVCKAMIPPTPLPTNDVDVYFETSADDNEHARFQKAKEQLEIRHRNRMDRVKKEWEEAELQAKNLPKAERQTLIQHFQAMVKALEKEAASEKQQLVETHLARVEAMLNDRRRMALENYLAALQSDPPRPHRILQALRRYVRAENKDRLHTIRHYQHVLAVDPEKAAQMKSQVMTHLHVIEERRNQSLSLLYKVPYVAQEIQEEIDELLQEQRADMDQFTASISETPVDVRVSSEESEEIPPFHPFHPFPALPENEDTQPELYHPMKKGSGVGEQDGGLIGAEEKVINSKNKVDENMVIDETLDVKEMIFNAERVGGLEEERESVGPLREDFSLSSSALIGLLVIAVAIATVIVISLVMLRKRQYGTISHGIVEVDPMLTPEERHLNKMQNHGYENPTYKYLEQMQI
+>DECOY_sp|Q06481|APLP2_HUMAN Amyloid-like protein 2 OS=Homo sapiens OX=9606 GN=APLP2 PE=1 SV=2
+IQMQELYKYTPNEYGHNQMKNLHREEPTLMPDVEVIGHSITGYQRKRLMVLSIVIVTAIAVAIVLLGILASSSLSFDERLPGVSEREEELGGVREANFIMEKVDLTEDIVMNEDVKNKSNIVKEEAGILGGDQEGVGSGKKMPHYLEPQTDENEPLAPFPHFPHFPPIEESEESSVRVDVPTESISATFQDMDARQEQLLEDIEEQIEQAVYPVKYLLSLSQNRREEIVHLHTMVQSKMQAAKEPDVALVHQYHRITHLRDKNEARVYRRLAQLIRHPRPPDSQLAALYNELAMRRRDNLMAEVRALHTEVLQQKESAAEKELAKVMAQFHQILTQREAKPLNKAQLEAEEWEKKVRDMRNRHRIELQEKAKQFRAHENDDASTEFYVDVDNTPLPTPPIMAKCVAMCYDESEFNNRNGGCGGYIFRVCKGKSLDFYWRPMVARCPGTMAEQSCVAKVDHTIEKDSMTGDSGPETPNEENYDDGKFTDYYYDRDEVVEEGEEEDEDDEDVAAETFDELDAETPFESKYVDYDEEEDEEEEEEEDEEEEEKSVSGIIKTQPCCVYETGHFQDVGCPLLMGYSYLTMGQTLCAEKVVTHWHQHNECVEMREKHFFQCKEPVLLVDSVFEGVLCKFPTVFRSKCQKKDRRCWNDISVRQNAEMVNTIQLEPYMEQCYQLVEEKTEFCSKTGTPDPEWKGTQINVHMNLKGCFMAIQPEAVAFGTGANAALAEIYGALALAPATLGVLLLLLLRGTAAAAATGTAAM
+>sp|Q3MIX3|ADCK5_HUMAN Uncharacterized aarF domain-containing protein kinase 5 OS=Homo sapiens OX=9606 GN=ADCK5 PE=1 SV=2
+MWRPVQLCHFHSALLHRRQKPWPSPAVFFRRNVRGLPPRFSSPTPLWRKVLSTAVVGAPLLLGARYVMAEAREKRRMRLVVDGMGRFGRSLKVGLQISLDYWWCTNVVLRGVEENSPGYLEVMSACHQRAADALVAGAISNGGLYVKLGQGLCSFNHLLPPEYTRTLRVLEDRALKRGFQEVDELFLEDFQALPHELFQEFDYQPIAAASLAQVHRAKLHDGTSVAVKVQYIDLRDRFDGDIHTLELLLRLVEVMHPSFGFSWVLQDLKGTLAQELDFENEGRNAERCARELAHFPYVVVPRVHWDKSSKRVLTADFCAGCKVNDVEAIRSQGLAVHDIAEKLIKAFAEQIFYTGFIHSDPHPGNVLVRKGPDGKAELVLLDHGLYQFLEEKDRAALCQLWRAIILRDDAAMRAHAAALGVQDYLLFAEMLMQRPVRLGQLWGSHLLSREEAAYMVDMARERFEAVMAVLRELPRPMLLVLRNINTVRAINVALGAPVDRYFLMAKRAVRGWSRLAGATYRGVYGTSLLRHAKVVWEMLKFEVALRLETLAMRLTALLARALVHLSLVPPAEELYQYLET
+>DECOY_sp|Q3MIX3|ADCK5_HUMAN Uncharacterized aarF domain-containing protein kinase 5 OS=Homo sapiens OX=9606 GN=ADCK5 PE=1 SV=2
+TELYQYLEEAPPVLSLHVLARALLATLRMALTELRLAVEFKLMEWVVKAHRLLSTGYVGRYTAGALRSWGRVARKAMLFYRDVPAGLAVNIARVTNINRLVLLMPRPLERLVAMVAEFRERAMDVMYAAEERSLLHSGWLQGLRVPRQMLMEAFLLYDQVGLAAAHARMAADDRLIIARWLQCLAARDKEELFQYLGHDLLVLEAKGDPGKRVLVNGPHPDSHIFGTYFIQEAFAKILKEAIDHVALGQSRIAEVDNVKCGACFDATLVRKSSKDWHVRPVVVYPFHALERACREANRGENEFDLEQALTGKLDQLVWSFGFSPHMVEVLRLLLELTHIDGDFRDRLDIYQVKVAVSTGDHLKARHVQALSAAAIPQYDFEQFLEHPLAQFDELFLEDVEQFGRKLARDELVRLTRTYEPPLLHNFSCLGQGLKVYLGGNSIAGAVLADAARQHCASMVELYGPSNEEVGRLVVNTCWWYDLSIQLGVKLSRGFRGMGDVVLRMRRKERAEAMVYRAGLLLPAGVVATSLVKRWLPTPSSFRPPLGRVNRRFFVAPSPWPKQRRHLLASHFHCLQVPRWM
+>sp|Q5VUY2|ADCL4_HUMAN Arylacetamide deacetylase-like 4 OS=Homo sapiens OX=9606 GN=AADACL4 PE=3 SV=1
+MAVPWLVLLLALPIFFLGVFVWAVFEHFLTTDIPATLQHPAKLRFLHCIFLYLVTLGNIFEKLGICSMPKFIRFLHDSVRIKKDPELVVTDLRFGTIPVRLFQPKAASSRPRRGIIFYHGGATVFGSLDCYHGLCNYLARETESVLLMIGYRKLPDHHSPALFQDCMNASIHFLKALETYGVDPSRVVVCGESVGGAAVAAITQALVGRSDLPRIRAQVLIYPVVQAFCLQLPSFQQNQNVPLLSRKFMVTSLCNYLAIDLSWRDAILNGTCVPPDVWRKYEKWLSPDNIPKKFKNRGYQPWSPGPFNEAAYLEAKHMLDVENSPLIADDEVIAQLPEAFLVSCENDILRDDSLLYKKRLEDQGVRVTWYHLYDGFHGSIIFFDKKALSFPCSLKIVNAVVSYIKGI
+>DECOY_sp|Q5VUY2|ADCL4_HUMAN Arylacetamide deacetylase-like 4 OS=Homo sapiens OX=9606 GN=AADACL4 PE=3 SV=1
+IGKIYSVVANVIKLSCPFSLAKKDFFIISGHFGDYLHYWTVRVGQDELRKKYLLSDDRLIDNECSVLFAEPLQAIVEDDAILPSNEVDLMHKAELYAAENFPGPSWPQYGRNKFKKPINDPSLWKEYKRWVDPPVCTGNLIADRWSLDIALYNCLSTVMFKRSLLPVNQNQQFSPLQLCFAQVVPYILVQARIRPLDSRGVLAQTIAAVAAGGVSEGCVVVRSPDVGYTELAKLFHISANMCDQFLAPSHHDPLKRYGIMLLVSETERALYNCLGHYCDLSGFVTAGGHYFIIGRRPRSSAAKPQFLRVPITGFRLDTVVLEPDKKIRVSDHLFRIFKPMSCIGLKEFINGLTVLYLFICHLFRLKAPHQLTAPIDTTLFHEFVAWVFVGLFFIPLALLLVLWPVAM
+>sp|O60266|ADCY3_HUMAN Adenylate cyclase type 3 OS=Homo sapiens OX=9606 GN=ADCY3 PE=1 SV=3
+MPRNQGFSEPEYSAEYSAEYSVSLPSDPDRGVGRTHEISVRNSGSCLCLPRFMRLTFVPESLENLYQTYFKRQRHETLLVLVVFAALFDCYVVVMCAVVFSSDKLASLAVAGIGLVLDIILFVLCKKGLLPDRVTRRVLPYVLWLLITAQIFSYLGLNFARAHAASDTVGWQVFFVFSFFITLPLSLSPIVIISVVSCVVHTLVLGVTVAQQQQEELKGMQLLREILANVFLYLCAIAVGIMSYYMADRKHRKAFLEARQSLEVKMNLEEQSQQQENLMLSILPKHVADEMLKDMKKDESQKDQQQFNTMYMYRHENVSILFADIVGFTQLSSACSAQELVKLLNELFARFDKLAAKYHQLRIKILGDCYYCICGLPDYREDHAVCSILMGLAMVEAISYVREKTKTGVDMRVGVHTGTVLGGVLGQKRWQYDVWSTDVTVANKMEAGGIPGRVHISQSTMDCLKGEFDVEPGDGGSRCDYLEEKGIETYLIIASKPEVKKTATQNGLNGSALPNGAPASSKSSSPALIETKEPNGSAHSSGSTSEKPEEQDAQADNPSFPNPRRRLRLQDLADRVVDASEDEHELNQLLNEALLERESAQVVKKRNTFLLSMRFMDPEMETRYSVEKEKQSGAAFSCSCVVLLCTALVEILIDPWLMTNYVTFMVGEILLLILTICSLAAIFPRAFPKKLVAFSTWIDRTRWARNTWAMLAIFILVMANVVDMLSCLQYYTGPSNATAGMETEGSCLENPKYYNYVAVLSLIATIMLVQVSHMVKLTLMLLVAGAVATINLYAWRPVFDEYDHKRFREHDLPMVALEQMQGFNPGLNGTDRLPLVPSKYSMTVMVFLMMLSFYYFSRHVEKLARTLFLWKIEVHDQKERVYEMRRWNEALVTNMLPEHVARHFLGSKKRDEELYSQTYDEIGVMFASLPNFADFYTEESINNGGIECLRFLNEIISDFDSLLDNPKFRVITKIKTIGSTYMAASGVTPDVNTNGFASSNKEDKSERERWQHLADLADFALAMKDTLTNINNQSFNNFMLRIGMNKGGVLAGVIGARKPHYDIWGNTVNVASRMESTGVMGNIQVVEETQVILREYGFRFVRRGPIFVKGKGELLTFFLKGRDKLATFPNGPSVTLPHQVVDNS
+>DECOY_sp|O60266|ADCY3_HUMAN Adenylate cyclase type 3 OS=Homo sapiens OX=9606 GN=ADCY3 PE=1 SV=3
+SNDVVQHPLTVSPGNPFTALKDRGKLFFTLLEGKGKVFIPGRRVFRFGYERLIVQTEEVVQINGMVGTSEMRSAVNVTNGWIDYHPKRAGIVGALVGGKNMGIRLMFNNFSQNNINTLTDKMALAFDALDALHQWRERESKDEKNSSAFGNTNVDPTVGSAAMYTSGITKIKTIVRFKPNDLLSDFDSIIENLFRLCEIGGNNISEETYFDAFNPLSAFMVGIEDYTQSYLEEDRKKSGLFHRAVHEPLMNTVLAENWRRMEYVREKQDHVEIKWLFLTRALKEVHRSFYYFSLMMLFVMVTMSYKSPVLPLRDTGNLGPNFGQMQELAVMPLDHERFRKHDYEDFVPRWAYLNITAVAGAVLLMLTLKVMHSVQVLMITAILSLVAVYNYYKPNELCSGETEMGATANSPGTYYQLCSLMDVVNAMVLIFIALMAWTNRAWRTRDIWTSFAVLKKPFARPFIAALSCITLILLLIEGVMFTVYNTMLWPDILIEVLATCLLVVCSCSFAAGSQKEKEVSYRTEMEPDMFRMSLLFTNRKKVVQASERELLAENLLQNLEHEDESADVVRDALDQLRLRRRPNPFSPNDAQADQEEPKESTSGSSHASGNPEKTEILAPSSSKSSAPAGNPLASGNLGNQTATKKVEPKSAIILYTEIGKEELYDCRSGGDGPEVDFEGKLCDMTSQSIHVRGPIGGAEMKNAVTVDTSWVDYQWRKQGLVGGLVTGTHVGVRMDVGTKTKERVYSIAEVMALGMLISCVAHDERYDPLGCICYYCDGLIKIRLQHYKAALKDFRAFLENLLKVLEQASCASSLQTFGVIDAFLISVNEHRYMYMTNFQQQDKQSEDKKMDKLMEDAVHKPLISLMLNEQQQSQEELNMKVELSQRAELFAKRHKRDAMYYSMIGVAIACLYLFVNALIERLLQMGKLEEQQQQAVTVGLVLTHVVCSVVSIIVIPSLSLPLTIFFSFVFFVQWGVTDSAAHARAFNLGLYSFIQATILLWLVYPLVRRTVRDPLLGKKCLVFLIIDLVLGIGAVALSALKDSSFVVACMVVVYCDFLAAFVVLVLLTEHRQRKFYTQYLNELSEPVFTLRMFRPLCLCSGSNRVSIEHTRGVGRDPDSPLSVSYEASYEASYEPESFGQNRPM
+>sp|P51828|ADCY7_HUMAN Adenylate cyclase type 7 OS=Homo sapiens OX=9606 GN=ADCY7 PE=2 SV=1
+MPAKGRYFLNEGEEGPDQDALYEKYQLTSQHGPLLLTLLLVAATACVALIIIAFSQGDPSRHQAILGMAFLVLAVFAALSVLMYVECLLRRWLRALALLTWACLVALGYVLVFDAWTKAACAWEQVPFFLFIVFVVYTLLPFSMRGAVAVGAVSTASHLLVLGSLMGGFTTPSVRVGLQLLANAVIFLCGNLTGAFHKHQMQDASRDLFTYTVKCIQIRRKLRIEKRQQENLLLSVLPAHISMGMKLAIIERLKEHGDRRCMPDNNFHSLYVKRHQNVSILYADIVGFTQLASDCSPKELVVVLNELFGKFDQIAKANECMRIKILGDCYYCVSGLPVSLPTHARNCVKMGLDMCQAIKQVREATGVDINMRVGIHSGNVLCGVIGLRKWQYDVWSHDVSLANRMEAAGVPGRVHITEATLKHLDKAYEVEDGHGQQRDPYLKEMNIRTYLVIDPRSQQPPPPSQHLPRPKGDAALKMRASVRMTRYLESWGAARPFAHLNHRESVSSGETHVPNGRRPKSVPQRHRRTPDRSMSPKGRSEDDSYDDEMLSAIEGLSSTRPCCSKSDDFYTFGSIFLEKGFEREYRLAPIPRARHDFACASLIFVCILLVHVLLMPRTAALGVSFGLVACVLGLVLGLCFATKFSRCCPARGTLCTISERVETQPLLRLTLAVLTIGSLLTVAIINLPLMPFQVPELPVGNETGLLAASSKTRALCEPLPYYTCSCVLGFIACSVFLRMSLEPKVVLLTVALVAYLVLFNLSPCWQWDCCGQGLGNLTKPNGTTSGTPSCSWKDLKTMTNFYLVLFYITLLTLSRQIDYYCRLDCLWKKKFKKEHEEFETMENVNRLLLENVLPAHVAAHFIGDKLNEDWYHQSYDCVCVMFASVPDFKVFYTECDVNKEGLECLRLLNEIIADFDELLLKPKFSGVEKIKTIGSTYMAAAGLSVASGHENQELERQHAHIGVMVEFSIALMSKLDGINRHSFNSFRLRVGINHGPVIAGVIGARKPQYDIWGNTVNVASRMESTGELGKIQVTEETCTILQGLGYSCECRGLINVKGKGELRTYFVCTDTAKFQGLGLN
+>DECOY_sp|P51828|ADCY7_HUMAN Adenylate cyclase type 7 OS=Homo sapiens OX=9606 GN=ADCY7 PE=2 SV=1
+NLGLGQFKATDTCVFYTRLEGKGKVNILGRCECSYGLGQLITCTEETVQIKGLEGTSEMRSAVNVTNGWIDYQPKRAGIVGAIVPGHNIGVRLRFSNFSHRNIGDLKSMLAISFEVMVGIHAHQRELEQNEHGSAVSLGAAAMYTSGITKIKEVGSFKPKLLLEDFDAIIENLLRLCELGEKNVDCETYFVKFDPVSAFMVCVCDYSQHYWDENLKDGIFHAAVHAPLVNELLLRNVNEMTEFEEHEKKFKKKWLCDLRCYYDIQRSLTLLTIYFLVLYFNTMTKLDKWSCSPTGSTTGNPKTLNGLGQGCCDWQWCPSLNFLVLYAVLAVTLLVVKPELSMRLFVSCAIFGLVCSCTYYPLPECLARTKSSAALLGTENGVPLEPVQFPMLPLNIIAVTLLSGITLVALTLRLLPQTEVRESITCLTGRAPCCRSFKTAFCLGLVLGLVCAVLGFSVGLAATRPMLLVHVLLICVFILSACAFDHRARPIPALRYEREFGKELFISGFTYFDDSKSCCPRTSSLGEIASLMEDDYSDDESRGKPSMSRDPTRRHRQPVSKPRRGNPVHTEGSSVSERHNLHAFPRAAGWSELYRTMRVSARMKLAADGKPRPLHQSPPPPQQSRPDIVLYTRINMEKLYPDRQQGHGDEVEYAKDLHKLTAETIHVRGPVGAAEMRNALSVDHSWVDYQWKRLGIVGCLVNGSHIGVRMNIDVGTAERVQKIAQCMDLGMKVCNRAHTPLSVPLGSVCYYCDGLIKIRMCENAKAIQDFKGFLENLVVVLEKPSCDSALQTFGVIDAYLISVNQHRKVYLSHFNNDPMCRRDGHEKLREIIALKMGMSIHAPLVSLLLNEQQRKEIRLKRRIQICKVTYTFLDRSADQMQHKHFAGTLNGCLFIVANALLQLGVRVSPTTFGGMLSGLVLLHSATSVAGVAVAGRMSFPLLTYVVFVIFLFFPVQEWACAAKTWADFVLVYGLAVLCAWTLLALARLWRRLLCEVYMLVSLAAFVALVLFAMGLIAQHRSPDGQSFAIIILAVCATAAVLLLTLLLPGHQSTLQYKEYLADQDPGEEGENLFYRGKAPM
+>sp|Q6IQ32|ADNP2_HUMAN Activity-dependent neuroprotector homeobox protein 2 OS=Homo sapiens OX=9606 GN=ADNP2 PE=1 SV=1
+MFQIPVENLDNIRKVRKKVKGILVDIGLDSCKELLKDLKGFDPGEKYFHNTSWGDVSLWEPSGKKVRYRTKPYCCGLCKYSTKVLTSFKNHLHRYHEDEIDQELVIPCPNCVFASQPKVVGRHFRMFHAPVRKVQNYTVNILGETKSSRSDVISFTCLKCNFSNTLYYSMKKHVLVAHFHYLINSYFGLRTEEMGEQPKTNDTVSIEKIPPPDKYYCKKCNANASSQDALMYHILTSDIHRDLENKLRSVISEHIKRTGLLKQTHIAPKPAAHLAAPANGSAPSAPAQPPCFHLALPQNSPSPAAGQPVTVAQGAPGSLTHSPPAAGQSHMTLVSSPLPVGQNSLTLQPPAPQPVFLSHGVPLHQSVNPPVLPLSQPVGPVNKSVGTSVLPINQTVRPGVLPLTQPVGPINRPVGPGVLPVSPSVTPGVLQAVSPGVLSVSRAVPSGVLPAGQMTPAGQMTPAGVIPGQTATSGVLPTGQMVQSGVLPVGQTAPSRVLPPGQTAPLRVISAGQVVPSGLLSPNQTVSSSAVVPVNQGVNSGVLQLSQPVVSGVLPVGQPVRPGVLQLNQTVGTNILPVNQPVRPGASQNTTFLTSGSILRQLIPTGKQVNGIPTYTLAPVSVTLPVPPGGLATVAPPQMPIQLLPSGAAAPMAGSMPGMPSPPVLVNAAQSVFVQASSSAADTNQVLKQAKQWKTCPVCNELFPSNVYQVHMEVAHKHSESKSGEKLEPEKLAACAPFLKWMREKTVRCLSCKCLVSEEELIHHLLMHGLGCLFCPCTFHDIKGLSEHSRNRHLGKKKLPMDYSNRGFQLDVDANGNLLFPHLDFITILPKEKLGEREVYLAILAGIHSKSLVPVYVKVRPQAEGTPGSTGKRVSTCPFCFGPFVTTEAYELHLKERHHIMPTVHTVLKSPAFKCIHCCGVYTGNMTLAAIAVHLVRCRSAPKDSSSDLQAQPGFIHNSELLLVSGEVMHDSSFSVKRKLPDGHLGAEDQRHGEEQPPILNADAAPGPEKVTSVVPFKRQRNESRTEGPIVKDEALQILALDPKKYEGRSYEEKKQFLKDYFHKKPYPSKKEIELLSSLFWVWKIDVASFFGKRRYICMKAIKNHKPSVLLGFDMSELKNVKHRLNFEYEP
+>DECOY_sp|Q6IQ32|ADNP2_HUMAN Activity-dependent neuroprotector homeobox protein 2 OS=Homo sapiens OX=9606 GN=ADNP2 PE=1 SV=1
+PEYEFNLRHKVNKLESMDFGLLVSPKHNKIAKMCIYRRKGFFSAVDIKWVWFLSSLLEIEKKSPYPKKHFYDKLFQKKEEYSRGEYKKPDLALIQLAEDKVIPGETRSENRQRKFPVVSTVKEPGPAADANLIPPQEEGHRQDEAGLHGDPLKRKVSFSSDHMVEGSVLLLESNHIFGPQAQLDSSSDKPASRCRVLHVAIAALTMNGTYVGCCHICKFAPSKLVTHVTPMIHHREKLHLEYAETTVFPGFCFPCTSVRKGTSGPTGEAQPRVKVYVPVLSKSHIGALIALYVEREGLKEKPLITIFDLHPFLLNGNADVDLQFGRNSYDMPLKKKGLHRNRSHESLGKIDHFTCPCFLCGLGHMLLHHILEEESVLCKCSLCRVTKERMWKLFPACAALKEPELKEGSKSESHKHAVEMHVQYVNSPFLENCVPCTKWQKAQKLVQNTDAASSSAQVFVSQAANVLVPPSPMGPMSGAMPAAAGSPLLQIPMQPPAVTALGGPPVPLTVSVPALTYTPIGNVQKGTPILQRLISGSTLFTTNQSAGPRVPQNVPLINTGVTQNLQLVGPRVPQGVPLVGSVVPQSLQLVGSNVGQNVPVVASSSVTQNPSLLGSPVVQGASIVRLPATQGPPLVRSPATQGVPLVGSQVMQGTPLVGSTATQGPIVGAPTMQGAPTMQGAPLVGSPVARSVSLVGPSVAQLVGPTVSPSVPLVGPGVPRNIPGVPQTLPLVGPRVTQNIPLVSTGVSKNVPGVPQSLPLVPPNVSQHLPVGHSLFVPQPAPPQLTLSNQGVPLPSSVLTMHSQGAAPPSHTLSGPAGQAVTVPQGAAPSPSNQPLALHFCPPQAPASPASGNAPAALHAAPKPAIHTQKLLGTRKIHESIVSRLKNELDRHIDSTLIHYMLADQSSANANCKKCYYKDPPPIKEISVTDNTKPQEGMEETRLGFYSNILYHFHAVLVHKKMSYYLTNSFNCKLCTFSIVDSRSSKTEGLINVTYNQVKRVPAHFMRFHRGVVKPQSAFVCNPCPIVLEQDIEDEHYRHLHNKFSTLVKTSYKCLGCCYPKTRYRVKKGSPEWLSVDGWSTNHFYKEGPDFGKLDKLLEKCSDLGIDVLIGKVKKRVKRINDLNEVPIQFM
+>sp|Q9BRR6|ADPGK_HUMAN ADP-dependent glucokinase OS=Homo sapiens OX=9606 GN=ADPGK PE=1 SV=1
+MALWRGSAYAGFLALAVGCVFLLEPELPGSALRSLWSSLCLGPAPAPPGPVSPEGRLAAAWDALIVRPVRRWRRVAVGVNACVDVVLSGVKLLQALGLSPGNGKDHSILHSRNDLEEAFIHFMGKGAAAERFFSDKETFHDIAQVASEFPGAQHYVGGNAALIGQKFAANSDLKVLLCGPVGPKLHELLDDNVFVPPESLQEVDEFHLILEYQAGEEWGQLKAPHANRFIFSHDLSNGAMNMLEVFVSSLEEFQPDLVVLSGLHMMEGQSKELQRKRLLEVVTSISDIPTGIPVHLELASMTNRELMSSIVHQQVFPAVTSLGLNEQELLFLTQSASGPHSSLSSWNGVPDVGMVSDILFWILKEHGRSKSRASDLTRIHFHTLVYHILATVDGHWANQLAAVAAGARVAGTQACATETIDTSRVSLRAPQEFMTSHSEAGSRIVLNPNKPVVEWHREGISFHFTPVLVCKDPIRTVGLGDAISAEGLFYSEVHPHY
+>DECOY_sp|Q9BRR6|ADPGK_HUMAN ADP-dependent glucokinase OS=Homo sapiens OX=9606 GN=ADPGK PE=1 SV=1
+YHPHVESYFLGEASIADGLGVTRIPDKCVLVPTFHFSIGERHWEVVPKNPNLVIRSGAESHSTMFEQPARLSVRSTDITETACAQTGAVRAGAAVAALQNAWHGDVTALIHYVLTHFHIRTLDSARSKSRGHEKLIWFLIDSVMGVDPVGNWSSLSSHPGSASQTLFLLEQENLGLSTVAPFVQQHVISSMLERNTMSALELHVPIGTPIDSISTVVELLRKRQLEKSQGEMMHLGSLVVLDPQFEELSSVFVELMNMAGNSLDHSFIFRNAHPAKLQGWEEGAQYELILHFEDVEQLSEPPVFVNDDLLEHLKPGVPGCLLVKLDSNAAFKQGILAANGGVYHQAGPFESAVQAIDHFTEKDSFFREAAAGKGMFHIFAEELDNRSHLISHDKGNGPSLGLAQLLKVGSLVVDVCANVGVAVRRWRRVPRVILADWAAALRGEPSVPGPPAPAPGLCLSSWLSRLASGPLEPELLFVCGVALALFGAYASGRWLAM
+>sp|P05141|ADT2_HUMAN ADP/ATP translocase 2 OS=Homo sapiens OX=9606 GN=SLC25A5 PE=1 SV=7
+MTDAAVSFAKDFLAGGVAAAISKTAVAPIERVKLLLQVQHASKQITADKQYKGIIDCVVRIPKEQGVLSFWRGNLANVIRYFPTQALNFAFKDKYKQIFLGGVDKRTQFWLYFAGNLASGGAAGATSLCFVYPLDFARTRLAADVGKAGAEREFRGLGDCLVKIYKSDGIKGLYQGFNVSVQGIIIYRAAYFGIYDTAKGMLPDPKNTHIVISWMIAQTVTAVAGLTSYPFDTVRRRMMMQSGRKGTDIMYTGTLDCWRKIARDEGGKAFFKGAWSNVLRGMGGAFVLVLYDEIKKYT
+>DECOY_sp|P05141|ADT2_HUMAN ADP/ATP translocase 2 OS=Homo sapiens OX=9606 GN=SLC25A5 PE=1 SV=7
+TYKKIEDYLVLVFAGGMGRLVNSWAGKFFAKGGEDRAIKRWCDLTGTYMIDTGKRGSQMMMRRRVTDFPYSTLGAVATVTQAIMWSIVIHTNKPDPLMGKATDYIGFYAARYIIIGQVSVNFGQYLGKIGDSKYIKVLCDGLGRFEREAGAKGVDAALRTRAFDLPYVFCLSTAGAAGGSALNGAFYLWFQTRKDVGGLFIQKYKDKFAFNLAQTPFYRIVNALNGRWFSLVGQEKPIRVVCDIIGKYQKDATIQKSAHQVQLLLKVREIPAVATKSIAAAVGGALFDKAFSVAADTM
+>sp|Q8IUX7|AEBP1_HUMAN Adipocyte enhancer-binding protein 1 OS=Homo sapiens OX=9606 GN=AEBP1 PE=1 SV=1
+MAAVRGAPLLSCLLALLALCPGGRPQTVLTDDEIEEFLEGFLSELEPEPREDDVEAPPPPEPTPRVRKAQAGGKPGKRPGTAAEVPPEKTKDKGKKGKKDKGPKVPKESLEGSPRPPKKGKEKPPKATKKPKEKPPKATKKPKEKPPKATKKPKEKPPKATKKPPSGKRPPILAPSETLEWPLPPPPSPGPEELPQEGGAPLSNNWQNPGEETHVEAREHQPEPEEETEQPTLDYNDQIEREDYEDFEYIRRQKQPRPPPSRRRRPERVWPEPPEEKAPAPAPEERIEPPVKPLLPPLPPDYGDGYVIPNYDDMDYYFGPPPPQKPDAERQTDEEKEELKKPKKEDSSPKEETDKWAVEKGKDHKEPRKGEELEEEWTPTEKVKCPPIGMESHRIEDNQIRASSMLRHGLGAQRGRLNMQTGATEDDYYDGAWCAEDDARTQWIEVDTRRTTRFTGVITQGRDSSIHDDFVTTFFVGFSNDSQTWVMYTNGYEEMTFHGNVDKDTPVLSELPEPVVARFIRIYPLTWNGSLCMRLEVLGCSVAPVYSYYAQNEVVATDDLDFRHHSYKDMRQLMKVVNEECPTITRTYSLGKSSRGLKIYAMEISDNPGEHELGEPEFRYTAGIHGNEVLGRELLLLLMQYLCREYRDGNPRVRSLVQDTRIHLVPSLNPDGYEVAAQMGSEFGNWALGLWTEEGFDIFEDFPDLNSVLWGAEERKWVPYRVPNNNLPIPERYLSPDATVSTEVRAIIAWMEKNPFVLGANLNGGERLVSYPYDMARTPTQEQLLAAAMAAARGEDEDEVSEAQETPDHAIFRWLAISFASAHLTLTEPYRGGCQAQDYTGGMGIVNGAKWNPRTGTINDFSYLHTNCLELSFYLGCDKFPHESELPREWENNKEALLTFMEQVHRGIKGVVTDEQGIPIANATISVSGINHGVKTASGGDYWRILNPGEYRVTAHAEGYTPSAKTCNVDYDIGATQCNFILARSNWKRIREIMAMNGNRPIPHIDPSRPMTPQQRRLQQRRLQHRLRLRAQMRLRRLNATTTLGPHTVPPTLPPAPATTLSTTIEPWGLIPPTTAGWEESETETYTEVVTEFGTEVEPEFGTKVEPEFETQLEPEFETQLEPEFEEEEEEEKEEEIATGQAFPFTTVETYTVNFGDF
+>DECOY_sp|Q8IUX7|AEBP1_HUMAN Adipocyte enhancer-binding protein 1 OS=Homo sapiens OX=9606 GN=AEBP1 PE=1 SV=1
+FDGFNVTYTEVTTFPFAQGTAIEEEKEEEEEEEFEPELQTEFEPELQTEFEPEVKTGFEPEVETGFETVVETYTETESEEWGATTPPILGWPEITTSLTTAPAPPLTPPVTHPGLTTTANLRRLRMQARLRLRHQLRRQQLRRQQPTMPRSPDIHPIPRNGNMAMIERIRKWNSRALIFNCQTAGIDYDVNCTKASPTYGEAHATVRYEGPNLIRWYDGGSATKVGHNIGSVSITANAIPIGQEDTVVGKIGRHVQEMFTLLAEKNNEWERPLESEHPFKDCGLYFSLELCNTHLYSFDNITGTRPNWKAGNVIGMGGTYDQAQCGGRYPETLTLHASAFSIALWRFIAHDPTEQAESVEDEDEGRAAAMAAALLQEQTPTRAMDYPYSVLREGGNLNAGLVFPNKEMWAIIARVETSVTADPSLYREPIPLNNNPVRYPVWKREEAGWLVSNLDPFDEFIDFGEETWLGLAWNGFESGMQAAVEYGDPNLSPVLHIRTDQVLSRVRPNGDRYERCLYQMLLLLLERGLVENGHIGATYRFEPEGLEHEGPNDSIEMAYIKLGRSSKGLSYTRTITPCEENVVKMLQRMDKYSHHRFDLDDTAVVENQAYYSYVPAVSCGLVELRMCLSGNWTLPYIRIFRAVVPEPLESLVPTDKDVNGHFTMEEYGNTYMVWTQSDNSFGVFFTTVFDDHISSDRGQTIVGTFRTTRRTDVEIWQTRADDEACWAGDYYDDETAGTQMNLRGRQAGLGHRLMSSARIQNDEIRHSEMGIPPCKVKETPTWEEELEEGKRPEKHDKGKEVAWKDTEEKPSSDEKKPKKLEEKEEDTQREADPKQPPPPGFYYDMDDYNPIVYGDGYDPPLPPLLPKVPPEIREEPAPAPAKEEPPEPWVREPRRRRSPPPRPQKQRRIYEFDEYDEREIQDNYDLTPQETEEEPEPQHERAEVHTEEGPNQWNNSLPAGGEQPLEEPGPSPPPPLPWELTESPALIPPRKGSPPKKTAKPPKEKPKKTAKPPKEKPKKTAKPPKEKPKKTAKPPKEKGKKPPRPSGELSEKPVKPGKDKKGKKGKDKTKEPPVEAATGPRKGPKGGAQAKRVRPTPEPPPPAEVDDERPEPELESLFGELFEEIEDDTLVTQPRGGPCLALLALLCSLLPAGRVAAM
+>sp|Q08117|AES_HUMAN Amino-terminal enhancer of split OS=Homo sapiens OX=9606 GN=AES PE=1 SV=4
+MMFPQSRHSGSSHLPQQLKFTTSDSCDRIKDEFQLLQAQYHSLKLECDKLASEKSEMQRHYVMYYEMSYGLNIEMHKQAEIVKRLNGICAQVLPYLSQEHQQQVLGAIERAKQVTAPELNSIIRQQLQAHQLSQLQALALPLTPLPVGLQPPSLPAVSAGTGLLSLSALGSQAHLSKEDKNGHDGDTHQEDDGEKSD
+>DECOY_sp|Q08117|AES_HUMAN Amino-terminal enhancer of split OS=Homo sapiens OX=9606 GN=AES PE=1 SV=4
+DSKEGDDEQHTDGDHGNKDEKSLHAQSGLASLSLLGTGASVAPLSPPQLGVPLPTLPLALAQLQSLQHAQLQQRIISNLEPATVQKAREIAGLVQQQHEQSLYPLVQACIGNLRKVIEAQKHMEINLGYSMEYYMVYHRQMESKESALKDCELKLSHYQAQLLQFEDKIRDCSDSTTFKLQQPLHSSGSHRSQPFMM
+>sp|Q13015|AF1Q_HUMAN Protein AF1q OS=Homo sapiens OX=9606 GN=MLLT11 PE=1 SV=1
+MRDPVSSQYSSFLFWRMPIPELDLSELEGLGLSDTATYKVKDSSVGKMIGQATAADQEKNPEGDGLLEYSTFNFWRAPIASIHSFELDLL
+>DECOY_sp|Q13015|AF1Q_HUMAN Protein AF1q OS=Homo sapiens OX=9606 GN=MLLT11 PE=1 SV=1
+LLDLEFSHISAIPARWFNFTSYELLGDGEPNKEQDAATAQGIMKGVSSDKVKYTATDSLGLGELESLDLEPIPMRWFLFSSYQSSVPDRM
+>sp|O95081|AGFG2_HUMAN Arf-GAP domain and FG repeat-containing protein 2 OS=Homo sapiens OX=9606 GN=AGFG2 PE=1 SV=2
+MVMAAKKGPGPGGGVSGGKAEAEAASEVWCRRVRELGGCSQAGNRHCFECAQRGVTYVDITVGSFVCTTCSGLLRGLNPPHRVKSISMTTFTEPEVVFLQSRGNEVCRKIWLGLFDARTSLVPDSRDPQKVKEFLQEKYEKKRWYVPPDQVKGPTYTKGSASTPVQGSIPEGKPLRTLLGDPAPSLSVAASTSSQPVSQSHARTSQARSTQPPPHSSVKKASTDLLADIGGDPFAAPQMAPAFAAFPAFGGQTPSQGGFANFDAFSSGPSSSVFGSLPPAGQASFQAQPTPAGSSQGTPFGATPLAPASQPNSLADVGSFLGPGVPAAGVPSSLFGMAGQVPPLQSVTMGGGGGSSTGLAFGAFTNPFTAPAAQSPLPSTNPFQPNGLAPGPGFGMSSAGPGFPQAVPPTGAFASSFPAPLFPPQTPLVQQQNGSSFGDLGSAKLGQRPLSQPAGISTNPFMTGPSSSPFASKPPTTNPFL
+>DECOY_sp|O95081|AGFG2_HUMAN Arf-GAP domain and FG repeat-containing protein 2 OS=Homo sapiens OX=9606 GN=AGFG2 PE=1 SV=2
+LFPNTTPPKSAFPSSSPGTMFPNTSIGAPQSLPRQGLKASGLDGFSSGNQQQVLPTQPPFLPAPFSSAFAGTPPVAQPFGPGASSMGFGPGPALGNPQFPNTSPLPSQAAPATFPNTFAGFALGTSSGGGGGMTVSQLPPVQGAMGFLSSPVGAAPVGPGLFSGVDALSNPQSAPALPTAGFPTGQSSGAPTPQAQFSAQGAPPLSGFVSSSPGSSFADFNAFGGQSPTQGGFAPFAAFAPAMQPAAFPDGGIDALLDTSAKKVSSHPPPQTSRAQSTRAHSQSVPQSSTSAAVSLSPAPDGLLTRLPKGEPISGQVPTSASGKTYTPGKVQDPPVYWRKKEYKEQLFEKVKQPDRSDPVLSTRADFLGLWIKRCVENGRSQLFVVEPETFTTMSISKVRHPPNLGRLLGSCTTCVFSGVTIDVYTVGRQACEFCHRNGAQSCGGLERVRRCWVESAAEAEAKGGSVGGGPGPGKKAAMVM
+>sp|Q9H0P7|AGIT1_HUMAN Putative uncharacterized protein encoded by AGPAT4-IT1 OS=Homo sapiens OX=9606 GN=AGPAT4-IT1 PE=5 SV=1
+MADTQCCPPPCEFISSAGTDLALGMGWDATLCLLPFTGFGKCAGIWNHMDEEPDNGDDRGSRRTTGQGRKWAAHGTMAAPRVHTDYHPGGGSACSSVKVRSHVGHTGVFFFVDQDPLAVSLTSQSLIPPLIKPGLLKAWGFLLLCAQPSANGHSLCCLLYTDLVSSHELSPFRALCLGPSDAPSACASCNCLASTYYL
+>DECOY_sp|Q9H0P7|AGIT1_HUMAN Putative uncharacterized protein encoded by AGPAT4-IT1 OS=Homo sapiens OX=9606 GN=AGPAT4-IT1 PE=5 SV=1
+LYYTSALCNCSACASPADSPGLCLARFPSLEHSSVLDTYLLCCLSHGNASPQACLLLFGWAKLLGPKILPPILSQSTLSVALPDQDVFFFVGTHGVHSRVKVSSCASGGGPHYDTHVRPAAMTGHAAWKRGQGTTRRSGRDDGNDPEEDMHNWIGACKGFGTFPLLCLTADWGMGLALDTGASSIFECPPPCCQTDAM
+>sp|Q53H12|AGK_HUMAN Acylglycerol kinase, mitochondrial OS=Homo sapiens OX=9606 GN=AGK PE=1 SV=2
+MTVFFKTLRNHWKKTTAGLCLLTWGGHWLYGKHCDNLLRRAACQEAQVFGNQLIPPNAQVKKATVFLNPAACKGKARTLFEKNAAPILHLSGMDVTIVKTDYEGQAKKLLELMENTDVIIVAGGDGTLQEVVTGVLRRTDEATFSKIPIGFIPLGETSSLSHTLFAESGNKVQHITDATLAIVKGETVPLDVLQIKGEKEQPVFAMTGLRWGSFRDAGVKVSKYWYLGPLKIKAAHFFSTLKEWPQTHQASISYTGPTERPPNEPEETPVQRPSLYRRILRRLASYWAQPQDALSQEVSPEVWKDVQLSTIELSITTRNNQLDPTSKEDFLNICIEPDTISKGDFITIGSRKVRNPKLHVEGTECLQASQCTLLIPEGAGGSFSIDSEEYEAMPVEVKLLPRKLQFFCDPRKREQMLTSPTQ
+>DECOY_sp|Q53H12|AGK_HUMAN Acylglycerol kinase, mitochondrial OS=Homo sapiens OX=9606 GN=AGK PE=1 SV=2
+QTPSTLMQERKRPDCFFQLKRPLLKVEVPMAEYEESDISFSGGAGEPILLTCQSAQLCETGEVHLKPNRVKRSGITIFDGKSITDPEICINLFDEKSTPDLQNNRTTISLEITSLQVDKWVEPSVEQSLADQPQAWYSALRRLIRRYLSPRQVPTEEPENPPRETPGTYSISAQHTQPWEKLTSFFHAAKIKLPGLYWYKSVKVGADRFSGWRLGTMAFVPQEKEGKIQLVDLPVTEGKVIALTADTIHQVKNGSEAFLTHSLSSTEGLPIFGIPIKSFTAEDTRRLVGTVVEQLTGDGGAVIIVDTNEMLELLKKAQGEYDTKVITVDMGSLHLIPAANKEFLTRAKGKCAAPNLFVTAKKVQANPPILQNGFVQAEQCAARRLLNDCHKGYLWHGGWTLLCLGATTKKWHNRLTKFFVTM
+>sp|Q86SQ3|AGRE4_HUMAN Putative adhesion G protein-coupled receptor E4P OS=Homo sapiens OX=9606 GN=ADGRE4P PE=5 SV=1
+MGSRFLLVLLSGASCPPCPKYASCHNSTHCTCEDGFRARSGRTYFHDSSEKCEDINECETGLAKCKYKAYCRNKVGGYICSCLVKYTLFNFLAGIIDYDHPDCYENNSQGTTQSNVDIWVSGVKPGFGKQLPGDKRTKHICVYWEGSEGGWSTEGCSHVHSNGSYTKCKCFHLSSFAVLVALAPKEDPVLTVITQVGLTISLLCLFLAILTFLLCRPIQNTSTSLHLELSLCLFLAHLLFLTGINRTEPEVLCSIIAGLLHFLYLACFTWMLLEGLHLFLTVRNLKVANYTSTGRFKKRFMYPVGYGIPAVIIAVSAIVGPQNYGTFTCWLKLDKGFIWSFMGPVAVIILINLVFYFQVLWILRSKLSSLNKEVSTIQDTRVMTFKAISQLFILGCSWGLGFFMVEEVGKTIGSIIAYSFTIINTLQGVLLFVVHCLLNRQVRLIILSVISLVPKSN
+>DECOY_sp|Q86SQ3|AGRE4_HUMAN Putative adhesion G protein-coupled receptor E4P OS=Homo sapiens OX=9606 GN=ADGRE4P PE=5 SV=1
+NSKPVLSIVSLIILRVQRNLLCHVVFLLVGQLTNIITFSYAIISGITKGVEEVMFFGLGWSCGLIFLQSIAKFTMVRTDQITSVEKNLSSLKSRLIWLVQFYFVLNILIIVAVPGMFSWIFGKDLKLWCTFTGYNQPGVIASVAIIVAPIGYGVPYMFRKKFRGTSTYNAVKLNRVTLFLHLGELLMWTFCALYLFHLLGAIISCLVEPETRNIGTLFLLHALFLCLSLELHLSTSTNQIPRCLLFTLIALFLCLLSITLGVQTIVTLVPDEKPALAVLVAFSSLHFCKCKTYSGNSHVHSCGETSWGGESGEWYVCIHKTRKDGPLQKGFGPKVGSVWIDVNSQTTGQSNNEYCDPHDYDIIGALFNFLTYKVLCSCIYGGVKNRCYAKYKCKALGTECENIDECKESSDHFYTRGSRARFGDECTCHTSNHCSAYKPCPPCSAGSLLVLLFRSGM
+>sp|Q5T601|AGRF1_HUMAN Adhesion G-protein coupled receptor F1 OS=Homo sapiens OX=9606 GN=ADGRF1 PE=1 SV=2
+MKVGVLWLISFFTFTDGHGGFLGKNDGIKTKKELIVNKKKHLGPVEEYQLLLQVTYRDSKEKRDLRNFLKLLKPPLLWSHGLIRIIRAKATTDCNSLNGVLQCTCEDSYTWFPPSCLDPQNCYLHTAGALPSCECHLNNLSQSVNFCERTKIWGTFKINERFTNDLLNSSSAIYSKYANGIEIQLKKAYERIQGFESVQVTQFRNGSIVAGYEVVGSSSASELLSAIEHVAEKAKTALHKLFPLEDGSFRVFGKAQCNDIVFGFGSKDDEYTLPCSSGYRGNITAKCESSGWQVIRETCVLSLLEELNKNFSMIVGNATEAAVSSFVQNLSVIIRQNPSTTVGNLASVVSILSNISSLSLASHFRVSNSTMEDVISIADNILNSASVTNWTVLLREEKYASSRLLETLENISTLVPPTALPLNFSRKFIDWKGIPVNKSQLKRGYSYQIKMCPQNTSIPIRGRVLIGSDQFQRSLPETIISMASLTLGNILPVSKNGNAQVNGPVISTVIQNYSINEVFLFFSKIESNLSQPHCVFWDFSHLQWNDAGCHLVNETQDIVTCQCTHLTSFSILMSPFVPSTIFPVVKWITYVGLGISIGSLILCLIIEALFWKQIKKSQTSHTRRICMVNIALSLLIADVWFIVGATVDTTVNPSGVCTAAVFFTHFFYLSLFFWMLMLGILLAYRIILVFHHMAQHLMMAVGFCLGYGCPLIISVITIAVTQPSNTYKRKDVCWLNWSNGSKPLLAFVVPALAIVAVNFVVVLLVLTKLWRPTVGERLSRDDKATIIRVGKSLLILTPLLGLTWGFGIGTIVDSQNLAWHVIFALLNAFQGFFILCFGILLDSKLRQLLFNKLSALSSWKQTEKQNSSDLSAKPKFSKPFNPLQNKGHYAFSHTGDSSDNIMLTQFVSNE
+>DECOY_sp|Q5T601|AGRF1_HUMAN Adhesion G-protein coupled receptor F1 OS=Homo sapiens OX=9606 GN=ADGRF1 PE=1 SV=2
+ENSVFQTLMINDSSDGTHSFAYHGKNQLPNFPKSFKPKASLDSSNQKETQKWSSLASLKNFLLQRLKSDLLIGFCLIFFGQFANLLAFIVHWALNQSDVITGIGFGWTLGLLPTLILLSKGVRIITAKDDRSLREGVTPRWLKTLVLLVVVFNVAVIALAPVVFALLPKSGNSWNLWCVDKRKYTNSPQTVAITIVSIILPCGYGLCFGVAMMLHQAMHHFVLIIRYALLIGLMLMWFFLSLYFFHTFFVAATCVGSPNVTTDVTAGVIFWVDAILLSLAINVMCIRRTHSTQSKKIQKWFLAEIILCLILSGISIGLGVYTIWKVVPFITSPVFPSMLISFSTLHTCQCTVIDQTENVLHCGADNWQLHSFDWFVCHPQSLNSEIKSFFLFVENISYNQIVTSIVPGNVQANGNKSVPLINGLTLSAMSIITEPLSRQFQDSGILVRGRIPISTNQPCMKIQYSYGRKLQSKNVPIGKWDIFKRSFNLPLATPPVLTSINELTELLRSSAYKEERLLVTWNTVSASNLINDAISIVDEMTSNSVRFHSALSLSSINSLISVVSALNGVTTSPNQRIIVSLNQVFSSVAAETANGVIMSFNKNLEELLSLVCTERIVQWGSSECKATINGRYGSSCPLTYEDDKSGFGFVIDNCQAKGFVRFSGDELPFLKHLATKAKEAVHEIASLLESASSSGVVEYGAVISGNRFQTVQVSEFGQIREYAKKLQIEIGNAYKSYIASSSNLLDNTFRENIKFTGWIKTRECFNVSQSLNNLHCECSPLAGATHLYCNQPDLCSPPFWTYSDECTCQLVGNLSNCDTTAKARIIRILGHSWLLPPKLLKLFNRLDRKEKSDRYTVQLLLQYEEVPGLHKKKNVILEKKTKIGDNKGLFGGHGDTFTFFSILWLVGVKM
+>sp|Q8IZF2|AGRF5_HUMAN Adhesion G protein-coupled receptor F5 OS=Homo sapiens OX=9606 GN=ADGRF5 PE=1 SV=3
+MKSPRRTTLCLMFIVIYSSKAALNWNYESTIHPLSLHEHEPAGEEALRQKRAVATKSPTAEEYTVNIEISFENASFLDPIKAYLNSLSFPIHGNNTDQITDILSINVTTVCRPAGNEIWCSCETGYGWPRERCLHNLICQERDVFLPGHHCSCLKELPPNGPFCLLQEDVTLNMRVRLNVGFQEDLMNTSSALYRSYKTDLETAFRKGYGILPGFKGVTVTGFKSGSVVVTYEVKTTPPSLELIHKANEQVVQSLNQTYKMDYNSFQAVTINESNFFVTPEIIFEGDTVSLVCEKEVLSSNVSWRYEEQQLEIQNSSRFSIYTALFNNMTSVSKLTIHNITPGDAGEYVCKLILDIFEYECKKKIDVMPIQILANEEMKVMCDNNPVSLNCCSQGNVNWSKVEWKQEGKINIPGTPETDIDSSCSRYTLKADGTQCPSGSSGTTVIYTCEFISAYGARGSANIKVTFISVANLTITPDPISVSEGQNFSIKCISDVSNYDEVYWNTSAGIKIYQRFYTTRRYLDGAESVLTVKTSTREWNGTYHCIFRYKNSYSIATKDVIVHPLPLKLNIMVDPLEATVSCSGSHHIKCCIEEDGDYKVTFHTGSSSLPAAKEVNKKQVCYKHNFNASSVSWCSKTVDVCCHFTNAANNSVWSPSMKLNLVPGENITCQDPVIGVGEPGKVIQKLCRFSNVPSSPESPIGGTITYKCVGSQWEEKRNDCISAPINSLLQMAKALIKSPSQDEMLPTYLKDLSISIDKAEHEISSSPGSLGAIINILDLLSTVPTQVNSEMMTHVLSTVNVILGKPVLNTWKVLQQQWTNQSSQLLHSVERFSQALQSGDSPPLSFSQTNVQMSSMVIKSSHPETYQQRFVFPYFDLWGNVVIDKSYLENLQSDSSIVTMAFPTLQAILAQDIQENNFAESLVMTTTVSHNTTMPFRISMTFKNNSPSGGETKCVFWNFRLANNTGGWDSSGCYVEEGDGDNVTCICDHLTSFSILMSPDSPDPSSLLGILLDIISYVGVGFSILSLAACLVVEAVVWKSVTKNRTSYMRHTCIVNIAASLLVANTWFIVVAAIQDNRYILCKTACVAATFFIHFFYLSVFFWMLTLGLMLFYRLVFILHETSRSTQKAIAFCLGYGCPLAISVITLGATQPREVYTRKNVCWLNWEDTKALLAFAIPALIIVVVNITITIVVITKILRPSIGDKPCKQEKSSLFQISKSIGVLTPLLGLTWGFGLTTVFPGTNLVFHIIFAILNVFQGLFILLFGCLWDLKVQEALLNKFSLSRWSSQHSKSTSLGSSTPVFSMSSPISRRFNNLFGKTGTYNVSTPEATSSSLENSSSASSLLN
+>DECOY_sp|Q8IZF2|AGRF5_HUMAN Adhesion G protein-coupled receptor F5 OS=Homo sapiens OX=9606 GN=ADGRF5 PE=1 SV=3
+NLLSSASSSNELSSSTAEPTSVNYTGTKGFLNNFRRSIPSSMSFVPTSSGLSTSKSHQSSWRSLSFKNLLAEQVKLDWLCGFLLIFLGQFVNLIAFIIHFVLNTGPFVTTLGFGWTLGLLPTLVGISKSIQFLSSKEQKCPKDGISPRLIKTIVVITITINVVVIILAPIAFALLAKTDEWNLWCVNKRTYVERPQTAGLTIVSIALPCGYGLCFAIAKQTSRSTEHLIFVLRYFLMLGLTLMWFFVSLYFFHIFFTAAVCATKCLIYRNDQIAAVVIFWTNAVLLSAAINVICTHRMYSTRNKTVSKWVVAEVVLCAALSLISFGVGVYSIIDLLIGLLSSPDPSDPSMLISFSTLHDCICTVNDGDGEEVYCGSSDWGGTNNALRFNWFVCKTEGGSPSNNKFTMSIRFPMTTNHSVTTTMVLSEAFNNEQIDQALIAQLTPFAMTVISSDSQLNELYSKDIVVNGWLDFYPFVFRQQYTEPHSSKIVMSSMQVNTQSFSLPPSDGSQLAQSFREVSHLLQSSQNTWQQQLVKWTNLVPKGLIVNVTSLVHTMMESNVQTPVTSLLDLINIIAGLSGPSSSIEHEAKDISISLDKLYTPLMEDQSPSKILAKAMQLLSNIPASICDNRKEEWQSGVCKYTITGGIPSEPSSPVNSFRCLKQIVKGPEGVGIVPDQCTINEGPVLNLKMSPSWVSNNAANTFHCCVDVTKSCWSVSSANFNHKYCVQKKNVEKAAPLSSSGTHFTVKYDGDEEICCKIHHSGSCSVTAELPDVMINLKLPLPHVIVDKTAISYSNKYRFICHYTGNWERTSTKVTLVSEAGDLYRRTTYFRQYIKIGASTNWYVEDYNSVDSICKISFNQGESVSIPDPTITLNAVSIFTVKINASGRAGYASIFECTYIVTTGSSGSPCQTGDAKLTYRSCSSDIDTEPTGPINIKGEQKWEVKSWNVNGQSCCNLSVPNNDCMVKMEENALIQIPMVDIKKKCEYEFIDLILKCVYEGADGPTINHITLKSVSTMNNFLATYISFRSSNQIELQQEEYRWSVNSSLVEKECVLSVTDGEFIIEPTVFFNSENITVAQFSNYDMKYTQNLSQVVQENAKHILELSPPTTKVEYTVVVSGSKFGTVTVGKFGPLIGYGKRFATELDTKYSRYLASSTNMLDEQFGVNLRVRMNLTVDEQLLCFPGNPPLEKLCSCHHGPLFVDREQCILNHLCRERPWGYGTECSCWIENGAPRCVTTVNISLIDTIQDTNNGHIPFSLSNLYAKIPDLFSANEFSIEINVTYEEATPSKTAVARKQRLAEEGAPEHEHLSLPHITSEYNWNLAAKSSYIVIFMLCLTTRRPSKM
+>sp|Q8IZF4|AGRG5_HUMAN Adhesion G-protein coupled receptor G5 OS=Homo sapiens OX=9606 GN=ADGRG5 PE=2 SV=3
+MDHCGALFLCLCLLTLQNATTETWEELLSYMENMQVSRGRSSVFSSRQLHQLEQMLLNTSFPGYNLTLQTPTIQSLAFKLSCDFSGLSLTSATLKRVPQAGGQHARGQHAMQFPAELTRDACKTRPRELRLICIYFSNTHFFKDENNSSLLNNYVLGAQLSHGHVNNLRDPVNISFWHNQSLEGYTLTCVFWKEGARKQPWGGWSPEGCRTEQPSHSQVLCRCNHLTYFAVLMQLSPALVPAELLAPLTYISLVGCSISIVASLITVLLHFHFRKQSDSLTRIHMNLHASVLLLNIAFLLSPAFAMSPVPGSACTALAAALHYALLSCLTWMAIEGFNLYLLLGRVYNIYIRRYVFKLGVLGWGAPALLVLLSLSVKSSVYGPCTIPVFDSWENGTGFQNMSICWVRSPVVHSVLVMGYGGLTSLFNLVVLAWALWTLRRLRERADAPSVRACHDTVTVLGLTVLLGTTWALAFFSFGVFLLPQLFLFTILNSLYGFFLFLWFCSQRCRSEAEAKAQIEAFSSSQTTQ
+>DECOY_sp|Q8IZF4|AGRG5_HUMAN Adhesion G-protein coupled receptor G5 OS=Homo sapiens OX=9606 GN=ADGRG5 PE=2 SV=3
+QTTQSSSFAEIQAKAEAESRCRQSCFWLFLFFGYLSNLITFLFLQPLLFVGFSFFALAWTTGLLVTLGLVTVTDHCARVSPADARERLRRLTWLAWALVVLNFLSTLGGYGMVLVSHVVPSRVWCISMNQFGTGNEWSDFVPITCPGYVSSKVSLSLLVLLAPAGWGLVGLKFVYRRIYINYVRGLLLYLNFGEIAMWTLCSLLAYHLAAALATCASGPVPSMAFAPSLLFAINLLLVSAHLNMHIRTLSDSQKRFHFHLLVTILSAVISISCGVLSIYTLPALLEAPVLAPSLQMLVAFYTLHNCRCLVQSHSPQETRCGEPSWGGWPQKRAGEKWFVCTLTYGELSQNHWFSINVPDRLNNVHGHSLQAGLVYNNLLSSNNEDKFFHTNSFYICILRLERPRTKCADRTLEAPFQMAHQGRAHQGGAQPVRKLTASTLSLGSFDCSLKFALSQITPTQLTLNYGPFSTNLLMQELQHLQRSSFVSSRGRSVQMNEMYSLLEEWTETTANQLTLLCLCLFLAGCHDM
+>sp|Q96K78|AGRG7_HUMAN Adhesion G-protein coupled receptor G7 OS=Homo sapiens OX=9606 GN=ADGRG7 PE=1 SV=2
+MASCRAWNLRVLVAVVCGLLTGIILGLGIWRIVIRIQRGKSTSSSSTPTEFCRNGGTWENGRCICTEEWKGLRCTIANFCENSTYMGFTFARIPVGRYGPSLQTCGKDTPNAGNPMAVRLCSLSLYGEIELQKVTIGNCNENLETLEKQVKDVTAPLNNISSEVQILTSDANKLTAENITSATRVVGQIFNTSRNASPEAKKVAIVTVSQLLDASEDAFQRVAATANDDALTTLIEQMETYSLSLGNQSVVEPNIAIQSANFSSENAVGPSNVRFSVQKGASSSLVSSSTFIHTNVDGLNPDAQTELQVLLNMTKNYTKTCGFVVYQNDKLFQSKTFTAKSDFSQKIISSKTDENEQDQSASVDMVFSPKYNQKEFQLYSYACVYWNLSAKDWDTYGCQKDKGTDGFLRCRCNHTTNFAVLMTFKKDYQYPKSLDILSNVGCALSVTGLALTVIFQIVTRKVRKTSVTWVLVNLCISMLIFNLLFVFGIENSNKNLQTSDGDINNIDFDNNDIPRTDTINIPNPMCTAIAALLHYFLLVTFTWNALSAAQLYYLLIRTMKPLPRHFILFISLIGWGVPAIVVAITVGVIYSQNGNNPQWELDYRQEKICWLAIPEPNGVIKSPLLWSFIVPVTIILISNVVMFITISIKVLWKNNQNLTSTKKVSSMKKIVSTLSVAVVFGITWILAYLMLVNDDSIRIVFSYIFCLFNTTQGLQIFILYTVRTKVFQSEASKVLMLLSSIGRRKSLPSVTRPRLRVKMYNFLRSLPTLHERFRLLETSPSTEEITLSESDNAKESI
+>DECOY_sp|Q96K78|AGRG7_HUMAN Adhesion G-protein coupled receptor G7 OS=Homo sapiens OX=9606 GN=ADGRG7 PE=1 SV=2
+ISEKANDSESLTIEETSPSTELLRFREHLTPLSRLFNYMKVRLRPRTVSPLSKRRGISSLLMLVKSAESQFVKTRVTYLIFIQLGQTTNFLCFIYSFVIRISDDNVLMLYALIWTIGFVVAVSLTSVIKKMSSVKKTSTLNQNNKWLVKISITIFMVVNSILIITVPVIFSWLLPSKIVGNPEPIALWCIKEQRYDLEWQPNNGNQSYIVGVTIAVVIAPVGWGILSIFLIFHRPLPKMTRILLYYLQAASLANWTFTVLLFYHLLAAIATCMPNPINITDTRPIDNNDFDINNIDGDSTQLNKNSNEIGFVFLLNFILMSICLNVLVWTVSTKRVKRTVIQFIVTLALGTVSLACGVNSLIDLSKPYQYDKKFTMLVAFNTTHNCRCRLFGDTGKDKQCGYTDWDKASLNWYVCAYSYLQFEKQNYKPSFVMDVSASQDQENEDTKSSIIKQSFDSKATFTKSQFLKDNQYVVFGCTKTYNKTMNLLVQLETQADPNLGDVNTHIFTSSSVLSSSAGKQVSFRVNSPGVANESSFNASQIAINPEVVSQNGLSLSYTEMQEILTTLADDNATAAVRQFADESADLLQSVTVIAVKKAEPSANRSTNFIQGVVRTASTINEATLKNADSTLIQVESSINNLPATVDKVQKELTELNENCNGITVKQLEIEGYLSLSCLRVAMPNGANPTDKGCTQLSPGYRGVPIRAFTFGMYTSNECFNAITCRLGKWEETCICRGNEWTGGNRCFETPTSSSSTSKGRQIRIVIRWIGLGLIIGTLLGCVVAVLVRLNWARCSAM
+>sp|O94910|AGRL1_HUMAN Adhesion G protein-coupled receptor L1 OS=Homo sapiens OX=9606 GN=ADGRL1 PE=1 SV=1
+MARLAAVLWNLCVTAVLVTSATQGLSRAGLPFGLMRRELACEGYPIELRCPGSDVIMVENANYGRTDDKICDADPFQMENVQCYLPDAFKIMSQRCNNRTQCVVVAGSDAFPDPCPGTYKYLEVQYDCVPYKVEQKVFVCPGTLQKVLEPTSTHESEHQSGAWCKDPLQAGDRIYVMPWIPYRTDTLTEYASWEDYVAARHTTTYRLPNRVDGTGFVVYDGAVFYNKERTRNIVKYDLRTRIKSGETVINTANYHDTSPYRWGGKTDIDLAVDENGLWVIYATEGNNGRLVVSQLNPYTLRFEGTWETGYDKRSASNAFMVCGVLYVLRSVYVDDDSEAAGNRVDYAFNTNANREEPVSLTFPNPYQFISSVDYNPRDNQLYVWNNYFVVRYSLEFGPPDPSAGPATSPPLSTTTTARPTPLTSTASPAATTPLRRAPLTTHPVGAINQLGPDLPPATAPVPSTRRPPAPNLHVSPELFCEPREVRRVQWPATQQGMLVERPCPKGTRGIASFQCLPALGLWNPRGPDLSNCTSPWVNQVAQKIKSGENAANIASELARHTRGSIYAGDVSSSVKLMEQLLDILDAQLQALRPIERESAGKNYNKMHKRERTCKDYIKAVVETVDNLLRPEALESWKDMNATEQVHTATMLLDVLEEGAFLLADNVREPARFLAAKENVVLEVTVLNTEGQVQELVFPQEEYPRKNSIQLSAKTIKQNSRNGVVKVVFILYNNLGLFLSTENATVKLAGEAGPGGPGGASLVVNSQVIAASINKESSRVFLMDPVIFTVAHLEDKNHFNANCSFWNYSERSMLGYWSTQGCRLVESNKTHTTCACSHLTNFAVLMAHREIYQGRINELLLSVITWVGIVISLVCLAICISTFCFLRGLQTDRNTIHKNLCINLFLAELLFLVGIDKTQYEIACPIFAGLLHYFFLAAFSWLCLEGVHLYLLLVEVFESEYSRTKYYYLGGYCFPALVVGIAAAIDYRSYGTEKACWLRVDNYFIWSFIGPVSFVIVVNLVFLMVTLHKMIRSSSVLKPDSSRLDNIKSWALGAIALLFLLGLTWAFGLLFINKESVVMAYLFTTFNAFQGVFIFVFHCALQKKVHKEYSKCLRHSYCCIRSPPGGTHGSLKTSAMRSNTRYYTGTQSRIRRMWNDTVRKQTESSFMAGDINSTPTLNRGTMGNHLLTNPVLQPRGGTSPYNTLIAESVGFNPSSPPVFNSPGSYREPKHPLGGREACGMDTLPLNGNFNNSYSLRSGDFPPGDGGPEPPRGRNLADAAAFEKMIISELVHNNLRGSSSAAKGPPPPEPPVPPVPGGGGEEEAGGPGGADRAEIELLYKALEEPLLLPRAQSVLYQSDLDESESCTAEDGATSRPLSSPPGRDSLYASGANLRDSPSYPDSSPEGPSEALPPPPPAPPGPPEIYYTSRPPALVARNPLQGYYQVRRPSHEGYLAAPGLEGPGPDGDGQMQLVTSL
+>DECOY_sp|O94910|AGRL1_HUMAN Adhesion G protein-coupled receptor L1 OS=Homo sapiens OX=9606 GN=ADGRL1 PE=1 SV=1
+LSTVLQMQGDGDPGPGELGPAALYGEHSPRRVQYYGQLPNRAVLAPPRSTYYIEPPGPPAPPPPPLAESPGEPSSDPYSPSDRLNAGSAYLSDRGPPSSLPRSTAGDEATCSESEDLDSQYLVSQARPLLLPEELAKYLLEIEARDAGGPGGAEEEGGGGPVPPVPPEPPPPGKAASSSGRLNNHVLESIIMKEFAAADALNRGRPPEPGGDGPPFDGSRLSYSNNFNGNLPLTDMGCAERGGLPHKPERYSGPSNFVPPSSPNFGVSEAILTNYPSTGGRPQLVPNTLLHNGMTGRNLTPTSNIDGAMFSSETQKRVTDNWMRRIRSQTGTYYRTNSRMASTKLSGHTGGPPSRICCYSHRLCKSYEKHVKKQLACHFVFIFVGQFANFTTFLYAMVVSEKNIFLLGFAWTLGLLFLLAIAGLAWSKINDLRSSDPKLVSSSRIMKHLTVMLFVLNVVIVFSVPGIFSWIFYNDVRLWCAKETGYSRYDIAAAIGVVLAPFCYGGLYYYKTRSYESEFVEVLLLYLHVGELCLWSFAALFFYHLLGAFIPCAIEYQTKDIGVLFLLEALFLNICLNKHITNRDTQLGRLFCFTSICIALCVLSIVIGVWTIVSLLLENIRGQYIERHAMLVAFNTLHSCACTTHTKNSEVLRCGQTSWYGLMSRESYNWFSCNANFHNKDELHAVTFIVPDMLFVRSSEKNISAAIVQSNVVLSAGGPGGPGAEGALKVTANETSLFLGLNNYLIFVVKVVGNRSNQKITKASLQISNKRPYEEQPFVLEQVQGETNLVTVELVVNEKAALFRAPERVNDALLFAGEELVDLLMTATHVQETANMDKWSELAEPRLLNDVTEVVAKIYDKCTRERKHMKNYNKGASEREIPRLAQLQADLIDLLQEMLKVSSSVDGAYISGRTHRALESAINAANEGSKIKQAVQNVWPSTCNSLDPGRPNWLGLAPLCQFSAIGRTGKPCPREVLMGQQTAPWQVRRVERPECFLEPSVHLNPAPPRRTSPVPATAPPLDPGLQNIAGVPHTTLPARRLPTTAAPSATSTLPTPRATTTTSLPPSTAPGASPDPPGFELSYRVVFYNNWVYLQNDRPNYDVSSIFQYPNPFTLSVPEERNANTNFAYDVRNGAAESDDDVYVSRLVYLVGCVMFANSASRKDYGTEWTGEFRLTYPNLQSVVLRGNNGETAYIVWLGNEDVALDIDTKGGWRYPSTDHYNATNIVTEGSKIRTRLDYKVINRTREKNYFVAGDYVVFGTGDVRNPLRYTTTHRAAVYDEWSAYETLTDTRYPIWPMVYIRDGAQLPDKCWAGSQHESEHTSTPELVKQLTGPCVFVKQEVKYPVCDYQVELYKYTGPCPDPFADSGAVVVCQTRNNCRQSMIKFADPLYCQVNEMQFPDADCIKDDTRGYNANEVMIVDSGPCRLEIPYGECALERRMLGFPLGARSLGQTASTVLVATVCLNWLVAALRAM
+>sp|Q9HBW9|AGRL4_HUMAN Adhesion G protein-coupled receptor L4 OS=Homo sapiens OX=9606 GN=ADGRL4 PE=1 SV=3
+MKRLPLLVVFSTLLNCSYTQNCTKTPCLPNAKCEIRNGIEACYCNMGFSGNGVTICEDDNECGNLTQSCGENANCTNTEGSYYCMCVPGFRSSSNQDRFITNDGTVCIENVNANCHLDNVCIAANINKTLTKIRSIKEPVALLQEVYRNSVTDLSPTDIITYIEILAESSSLLGYKNNTISAKDTLSNSTLTEFVKTVNNFVQRDTFVVWDKLSVNHRRTHLTKLMHTVEQATLRISQSFQKTTEFDTNSTDIALKVFFFDSYNMKHIHPHMNMDGDYINIFPKRKAAYDSNGNVAVAFVYYKSIGPLLSSSDNFLLKPQNYDNSEEEERVISSVISVSMSSNPPTLYELEKITFTLSHRKVTDRYRSLCAFWNYSPDTMNGSWSSEGCELTYSNETHTSCRCNHLTHFAILMSSGPSIGIKDYNILTRITQLGIIISLICLAICIFTFWFFSEIQSTRTTIHKNLCCSLFLAELVFLVGINTNTNKLFCSIIAGLLHYFFLAAFAWMCIEGIHLYLIVVGVIYNKGFLHKNFYIFGYLSPAVVVGFSAALGYRYYGTTKVCWLSTENNFIWSFIGPACLIILVNLLAFGVIIYKVFRHTAGLKPEVSCFENIRSCARGALALLFLLGTTWIFGVLHVVHASVVTAYLFTVSNAFQGMFIFLFLCVLSRKIQEEYYRLFKNVPCCFGCLR
+>DECOY_sp|Q9HBW9|AGRL4_HUMAN Adhesion G protein-coupled receptor L4 OS=Homo sapiens OX=9606 GN=ADGRL4 PE=1 SV=3
+RLCGFCCPVNKFLRYYEEQIKRSLVCLFLFIFMGQFANSVTFLYATVVSAHVVHLVGFIWTTGLLFLLALAGRACSRINEFCSVEPKLGATHRFVKYIIVGFALLNVLIILCAPGIFSWIFNNETSLWCVKTTGYYRYGLAASFGVVVAPSLYGFIYFNKHLFGKNYIVGVVILYLHIGEICMWAFAALFFYHLLGAIISCFLKNTNTNIGVLFVLEALFLSCCLNKHITTRTSQIESFFWFTFICIALCILSIIIGLQTIRTLINYDKIGISPGSSMLIAFHTLHNCRCSTHTENSYTLECGESSWSGNMTDPSYNWFACLSRYRDTVKRHSLTFTIKELEYLTPPNSSMSVSIVSSIVREEEESNDYNQPKLLFNDSSSLLPGISKYYVFAVAVNGNSDYAAKRKPFINIYDGDMNMHPHIHKMNYSDFFFVKLAIDTSNTDFETTKQFSQSIRLTAQEVTHMLKTLHTRRHNVSLKDWVVFTDRQVFNNVTKVFETLTSNSLTDKASITNNKYGLLSSSEALIEIYTIIDTPSLDTVSNRYVEQLLAVPEKISRIKTLTKNINAAICVNDLHCNANVNEICVTGDNTIFRDQNSSSRFGPVCMCYYSGETNTCNANEGCSQTLNGCENDDECITVGNGSFGMNCYCAEIGNRIECKANPLCPTKTCNQTYSCNLLTSFVVLLPLRKM
+>sp|O00253|AGRP_HUMAN Agouti-related protein OS=Homo sapiens OX=9606 GN=AGRP PE=1 SV=1
+MLTAAVLSCALLLALPATRGAQMGLAPMEGIRRPDQALLPELPGLGLRAPLKKTTAEQAEEDLLQEAQALAEVLDLQDREPRSSRRCVRLHESCLGQQVPCCDPCATCYCRFFNAFCYCRKLGTAMNPCSRT
+>DECOY_sp|O00253|AGRP_HUMAN Agouti-related protein OS=Homo sapiens OX=9606 GN=AGRP PE=1 SV=1
+TRSCPNMATGLKRCYCFANFFRCYCTACPDCCPVQQGLCSEHLRVCRRSSRPERDQLDLVEALAQAEQLLDEEAQEATTKKLPARLGLGPLEPLLAQDPRRIGEMPALGMQAGRTAPLALLLACSLVAATLM
+>sp|Q5TGY3|AHDC1_HUMAN AT-hook DNA-binding motif-containing protein 1 OS=Homo sapiens OX=9606 GN=AHDC1 PE=1 SV=1
+MRVKPQGLVVTSSAVCSSPDYLREPKYYPGGPPTPRPLLPTRPPASPPDKAFSTHAFSENPRPPPRRDPSTRRPPVLAKGDDPLPPRAARPVSQARCPTPVGDGSSSRRCWDNGRVNLRPVVQLIDIMKDLTRLSQDLQHSGVHLDCGGLRLSRPPAPPPGDLQYSFFSSPSLANSIRSPEERATPHAKSERPSHPLYEPEPEPRDSPQPGQGHSPGATAAATGLPPEPEPDSTDYSELADADILSELASLTCPEAQLLEAQALEPPSPEPEPQLLDPQPRFLDPQALEPLGEALELPPLQPLADPLGLPGLALQALDTLPDSLESQLLDPQALDPLPKLLDVPGRRLEPQQPLGHCPLAEPLRLDLCSPHGPPGPEGHPKYALRRTDRPKILCRRRKAGRGRKADAGPEGRLLPLPMPTGLVAALAEPPPPPPPPPPALPGPGPVSVPELKPESSQTPVVSTRKGKCRGVRRMVVKMAKIPVSLGRRNKTTYKVSSLSSSLSVEGKELGLRVSAEPTPLLKMKNNGRNVVVVFPPGEMPIILKRKRGRPPKNLLLGPGKPKEPAVVAAEAATVAAATMAMPEVKKRRRRKQKLASPQPSYAADANDSKAEYSDVLAKLAFLNRQSQCAGRCSPPRCWTPSEPESVHQAPDTQSISHFLHRVQGFRRRGGKAGGFGGRGGGHAAKSARCSFSDFFEGIGKKKKVVAVAAAGVGGPGLTELGHPRKRGRGEVDAVTGKPKRKRRSRKNGTLFPEQVPSGPGFGEAGAEWAGDKGGGWAPHHGHPGGQAGRNCGFQGTEARAFASTGLESGASGRGSYYSTGAPSGQTELSQERQNLFTGYFRSLLDSDDSSDLLDFALSASRPESRKASGTYAGPPTSALPAQRGLATFPSRGAKASPVAVGSSGAGADPSFQPVLSARQTFPPGRAASYGLTPAASDCRAAETFPKLVPPPSAMARSPTTHPPANTYLPQYGGYGAGQSVFAPTKPFTGQDCANSKDCSFAYGSGNSLPASPSSAHSAGYAPPPTGGPCLPPSKASFFSSSEGAPFSGSAPTPLRCDSRASTVSPGGYMVPKGTTASATSAASAASSSSSSFQPSPENCRQFAGASQWPFRQGYGGLDWASEAFSQLYNPSFDCHVSEPNVILDISNYTPQKVKQQTAVSETFSESSSDSTQFNQPVGGGGFRRANSEASSSEGQSSLSSLEKLMMDWNEASSAPGYNWNQSVLFQSSSKPGRGRRKKVDLFEASHLGFPTSASAAASGYPSKRSTGPRQPRGGRGGGACSAKKERGGAAAKAKFIPKPQPVNPLFQDSPDLGLDYYSGDSSMSPLPSQSRAFGVGERDPCDFIGPYSMNPSTPSDGTFGQGFHCDSPSLGAPELDGKHFPPLAHPPTVFDAGLQKAYSPTCSPTLGFKEELRPPPTKLAACEPLKHGLQGASLGHAAAAQAHLSCRDLPLGQPHYDSPSCKGTAYWYPPGSAARSPPYEGKVGTGLLADFLGRTEAACLSAPHLASPPATPKADKEPLEMARPPGPPRGPAAAAAGYGCPLLSDLTLSPVPRDSLLPLQDTAYRYPGFMPQAHPGLGGGPKSGFLGPMAEPHPEDTFTVTSL
+>DECOY_sp|Q5TGY3|AHDC1_HUMAN AT-hook DNA-binding motif-containing protein 1 OS=Homo sapiens OX=9606 GN=AHDC1 PE=1 SV=1
+LSTVTFTDEPHPEAMPGLFGSKPGGGLGPHAQPMFGPYRYATDQLPLLSDRPVPSLTLDSLLPCGYGAAAAAPGRPPGPPRAMELPEKDAKPTAPPSALHPASLCAAETRGLFDALLGTGVKGEYPPSRAASGPPYWYATGKCSPSDYHPQGLPLDRCSLHAQAAAAHGLSAGQLGHKLPECAALKTPPPRLEEKFGLTPSCTPSYAKQLGADFVTPPHALPPFHKGDLEPAGLSPSDCHFGQGFTGDSPTSPNMSYPGIFDCPDREGVGFARSQSPLPSMSSDGSYYDLGLDPSDQFLPNVPQPKPIFKAKAAAGGREKKASCAGGGRGGRPQRPGTSRKSPYGSAAASASTPFGLHSAEFLDVKKRRGRGPKSSSQFLVSQNWNYGPASSAENWDMMLKELSSLSSQGESSSAESNARRFGGGGVPQNFQTSDSSSESFTESVATQQKVKQPTYNSIDLIVNPESVHCDFSPNYLQSFAESAWDLGGYGQRFPWQSAGAFQRCNEPSPQFSSSSSSAASAASTASATTGKPVMYGGPSVTSARSDCRLPTPASGSFPAGESSSFFSAKSPPLCPGGTPPPAYGASHASSPSAPLSNGSGYAFSCDKSNACDQGTFPKTPAFVSQGAGYGGYQPLYTNAPPHTTPSRAMASPPPVLKPFTEAARCDSAAPTLGYSAARGPPFTQRASLVPQFSPDAGAGSSGVAVPSAKAGRSPFTALGRQAPLASTPPGAYTGSAKRSEPRSASLAFDLLDSSDDSDLLSRFYGTFLNQREQSLETQGSPAGTSYYSGRGSAGSELGTSAFARAETGQFGCNRGAQGGPHGHHPAWGGGKDGAWEAGAEGFGPGSPVQEPFLTGNKRSRRKRKPKGTVADVEGRGRKRPHGLETLGPGGVGAAAVAVVKKKKGIGEFFDSFSCRASKAAHGGGRGGFGGAKGGRRRFGQVRHLFHSISQTDPAQHVSEPESPTWCRPPSCRGACQSQRNLFALKALVDSYEAKSDNADAAYSPQPSALKQKRRRRKKVEPMAMTAAAVTAAEAAVVAPEKPKGPGLLLNKPPRGRKRKLIIPMEGPPFVVVVNRGNNKMKLLPTPEASVRLGLEKGEVSLSSSLSSVKYTTKNRRGLSVPIKAMKVVMRRVGRCKGKRTSVVPTQSSEPKLEPVSVPGPGPLAPPPPPPPPPPEALAAVLGTPMPLPLLRGEPGADAKRGRGAKRRRCLIKPRDTRRLAYKPHGEPGPPGHPSCLDLRLPEALPCHGLPQQPELRRGPVDLLKPLPDLAQPDLLQSELSDPLTDLAQLALGPLGLPDALPQLPPLELAEGLPELAQPDLFRPQPDLLQPEPEPSPPELAQAELLQAEPCTLSALESLIDADALESYDTSDPEPEPPLGTAAATAGPSHGQGPQPSDRPEPEPEYLPHSPRESKAHPTAREEPSRISNALSPSSFFSYQLDGPPPAPPRSLRLGGCDLHVGSHQLDQSLRTLDKMIDILQVVPRLNVRGNDWCRRSSSGDGVPTPCRAQSVPRAARPPLPDDGKALVPPRRTSPDRRPPPRPNESFAHTSFAKDPPSAPPRTPLLPRPTPPGGPYYKPERLYDPSSCVASSTVVLGQPKVRM
+>sp|Q8N157|AHI1_HUMAN Jouberin OS=Homo sapiens OX=9606 GN=AHI1 PE=1 SV=1
+MPTAESEAKVKTKVRFEELLKTHSDLMREKKKLKKKLVRSEENISPDTIRSNLHYMKETTSDDPDTIRSNLPHIKETTSDDVSAANTNNLKKSTRVTKNKLRNTQLATENPNGDASVEEDKQGKPNKKVIKTVPQLTTQDLKPETPENKVDSTHQKTHTKPQPGVDHQKSEKANEGREETDLEEDEELMQAYQCHVTEEMAKEIKRKIRKKLKEQLTYFPSDTLFHDDKLSSEKRKKKKEVPVFSKAETSTLTISGDTVEGEQKKESSVRSVSSDSHQDDEISSMEQSTEDSMQDDTKPKPKKTKKKTKAVADNNEDVDGDGVHEITSRDSPVYPKCLLDDDLVLGVYIHRTDRLKSDFMISHPMVKIHVVDEHTGQYVKKDDSGRPVSSYYEKENVDYILPIMTQPYDFKQLKSRLPEWEEQIVFNENFPYLLRGSDESPKVILFFEILDFLSVDEIKNNSEVQNQECGFRKIAWAFLKLLGANGNANINSKLRLQLYYPPTKPRSPLSVVEAFEWWSKCPRNHYPSTLYVTVRGLKVPDCIKPSYRSMMALQEEKGKPVHCERHHESSSVDTEPGLEESKEVIKWKRLPGQACRIPNKHLFSLNAGERGCFCLDFSHNGRILAAACASRDGYPIILYEIPSGRFMRELCGHLNIIYDLSWSKDDHYILTSSSDGTARIWKNEINNTNTFRVLPHPSFVYTAKFHPAVRELVVTGCYDSMIRIWKVEMREDSAILVRQFDVHKSFINSLCFDTEGHHMYSGDCTGVIVVWNTYVKINDLEHSVHHWTINKEIKETEFKGIPISYLEIHPNGKRLLIHTKDSTLRIMDLRILVARKFVGAANYREKIHSTLTPCGTFLFAGSEDGIVYVWNPETGEQVAMYSDLPFKSPIRDISYHPFENMVAFCAFGQNEPILLYIYDFHVAQQEAEMFKRYNGTFPLPGIHQSQDALCTCPKLPHQGSFQIDEFVHTESSSTKMQLVKQRLETVTEVIRSCAAKVNKNLSFTSPPAVSSQQSKLKQSNMLTAQEILHQFGFTQTGIISIERKPCNHQVDTAPTVVALYDYTANRSDELTIHRGDIIRVFFKDNEDWWYGSIGKGQEGYFPANHVASETLYQELPPEIKERSPPLSPEEKTKIEKSPAPQKQSINKNKSQDFRLGSESMTHSEMRKEQSHEDQGHIMDTRMRKNKQAGRKVTLIE
+>DECOY_sp|Q8N157|AHI1_HUMAN Jouberin OS=Homo sapiens OX=9606 GN=AHI1 PE=1 SV=1
+EILTVKRGAQKNKRMRTDMIHGQDEHSQEKRMESHTMSESGLRFDQSKNKNISQKQPAPSKEIKTKEEPSLPPSREKIEPPLEQYLTESAVHNAPFYGEQGKGISGYWWDENDKFFVRIIDGRHITLEDSRNATYDYLAVVTPATDVQHNCPKREISIIGTQTFGFQHLIEQATLMNSQKLKSQQSSVAPPSTFSLNKNVKAACSRIVETVTELRQKVLQMKTSSSETHVFEDIQFSGQHPLKPCTCLADQSQHIGPLPFTGNYRKFMEAEQQAVHFDYIYLLIPENQGFACFAVMNEFPHYSIDRIPSKFPLDSYMAVQEGTEPNWVYVIGDESGAFLFTGCPTLTSHIKERYNAAGVFKRAVLIRLDMIRLTSDKTHILLRKGNPHIELYSIPIGKFETEKIEKNITWHHVSHELDNIKVYTNWVVIVGTCDGSYMHHGETDFCLSNIFSKHVDFQRVLIASDERMEVKWIRIMSDYCGTVVLERVAPHFKATYVFSPHPLVRFTNTNNIENKWIRATGDSSSTLIYHDDKSWSLDYIINLHGCLERMFRGSPIEYLIIPYGDRSACAAALIRGNHSFDLCFCGREGANLSFLHKNPIRCAQGPLRKWKIVEKSEELGPETDVSSSEHHRECHVPKGKEEQLAMMSRYSPKICDPVKLGRVTVYLTSPYHNRPCKSWWEFAEVVSLPSRPKTPPYYLQLRLKSNINANGNAGLLKLFAWAIKRFGCEQNQVESNNKIEDVSLFDLIEFFLIVKPSEDSGRLLYPFNENFVIQEEWEPLRSKLQKFDYPQTMIPLIYDVNEKEYYSSVPRGSDDKKVYQGTHEDVVHIKVMPHSIMFDSKLRDTRHIYVGLVLDDDLLCKPYVPSDRSTIEHVGDGDVDENNDAVAKTKKKTKKPKPKTDDQMSDETSQEMSSIEDDQHSDSSVSRVSSEKKQEGEVTDGSITLTSTEAKSFVPVEKKKKRKESSLKDDHFLTDSPFYTLQEKLKKRIKRKIEKAMEETVHCQYAQMLEEDEELDTEERGENAKESKQHDVGPQPKTHTKQHTSDVKNEPTEPKLDQTTLQPVTKIVKKNPKGQKDEEVSADGNPNETALQTNRLKNKTVRTSKKLNNTNAASVDDSTTEKIHPLNSRITDPDDSTTEKMYHLNSRITDPSINEESRVLKKKLKKKERMLDSHTKLLEEFRVKTKVKAESEATPM
+>sp|Q9NVV5|AIG1_HUMAN Androgen-induced gene 1 protein OS=Homo sapiens OX=9606 GN=AIG1 PE=1 SV=2
+MALVPCQVLRMAILLSYCSILCNYKAIEMPSHQTYGGSWKFLTFIDLVIQAVFFGICVLTDLSSLLTRGSGNQEQERQLKKLISLRDWMLAVLAFPVGVFVVAVFWIIYAYDREMIYPKLLDNFIPGWLNHGMHTTVLPFILIEMRTSHHQYPSRSSGLTAICTFSVGYILWVCWVHHVTGMWVYPFLEHIGPGARIIFFGSTTILMNFLYLLGEVLNNYIWDTQKKPPSWQDMKIKFMYLGPSS
+>DECOY_sp|Q9NVV5|AIG1_HUMAN Androgen-induced gene 1 protein OS=Homo sapiens OX=9606 GN=AIG1 PE=1 SV=2
+SSPGLYMFKIKMDQWSPPKKQTDWIYNNLVEGLLYLFNMLITTSGFFIIRAGPGIHELFPYVWMGTVHHVWCVWLIYGVSFTCIATLGSSRSPYQHHSTRMEILIFPLVTTHMGHNLWGPIFNDLLKPYIMERDYAYIIWFVAVVFVGVPFALVALMWDRLSILKKLQREQEQNGSGRTLLSSLDTLVCIGFFVAQIVLDIFTLFKWSGGYTQHSPMEIAKYNCLISCYSLLIAMRLVQCPVLAM
+>sp|O14862|AIM2_HUMAN Interferon-inducible protein AIM2 OS=Homo sapiens OX=9606 GN=AIM2 PE=1 SV=1
+MESKYKEILLLTGLDNITDEELDRFKFFLSDEFNIATGKLHTANRIQVATLMIQNAGAVSAVMKTIRIFQKLNYMLLAKRLQEEKEKVDKQYKSVTKPKPLSQAEMSPAASAAIRNDVAKQRAAPKVSPHVKPEQKQMVAQQESIREGFQKRCLPVMVLKAKKPFTFETQEGKQEMFHATVATEKEFFFVKVFNTLLKDKFIPKRIIIIARYYRHSGFLEVNSASRVLDAESDQKVNVPLNIIRKAGETPKINTLQTQPLGTIVNGLFVVQKVTEKKKNILFDLSDNTGKMEVLGVRNEDTMKCKEGDKVRLTFFTLSKNGEKLQLTSGVHSTIKVIKAKKKT
+>DECOY_sp|O14862|AIM2_HUMAN Interferon-inducible protein AIM2 OS=Homo sapiens OX=9606 GN=AIM2 PE=1 SV=1
+TKKKAKIVKITSHVGSTLQLKEGNKSLTFFTLRVKDGEKCKMTDENRVGLVEMKGTNDSLDFLINKKKETVKQVVFLGNVITGLPQTQLTNIKPTEGAKRIINLPVNVKQDSEADLVRSASNVELFGSHRYYRAIIIIRKPIFKDKLLTNFVKVFFFEKETAVTAHFMEQKGEQTEFTFPKKAKLVMVPLCRKQFGERISEQQAVMQKQEPKVHPSVKPAARQKAVDNRIAASAAPSMEAQSLPKPKTVSKYQKDVKEKEEQLRKALLMYNLKQFIRITKMVASVAGANQIMLTAVQIRNATHLKGTAINFEDSLFFKFRDLEEDTINDLGTLLLIEKYKSEM
+>sp|Q13155|AIMP2_HUMAN Aminoacyl tRNA synthase complex-interacting multifunctional protein 2 OS=Homo sapiens OX=9606 GN=AIMP2 PE=1 SV=2
+MPMYQVKPYHGGGAPLRVELPTCMYRLPNVHGRSYGPAPGAGHVQEESNLSLQALESRQDDILKRLYELKAAVDGLSKMIQTPDADLDVTNIIQADEPTTLTTNALDLNSVLGKDYGALKDIVINANPASPPLSLLVLHRLLCEHFRVLSTVHTHSSVKSVPENLLKCFGEQNKKQPRQDYQLGFTLIWKNVPKTQMKFSIQTMCPIEGEGNIARFLFSLFGQKHNAVNATLIDSWVDIAIFQLKEGSSKEKAAVFRSMNSALGKSPWLAGNELTVADVVLWSVLQQIGGCSVTVPANVQRWMRSCENLAPFNTALKLLK
+>DECOY_sp|Q13155|AIMP2_HUMAN Aminoacyl tRNA synthase complex-interacting multifunctional protein 2 OS=Homo sapiens OX=9606 GN=AIMP2 PE=1 SV=2
+KLLKLATNFPALNECSRMWRQVNAPVTVSCGGIQQLVSWLVVDAVTLENGALWPSKGLASNMSRFVAAKEKSSGEKLQFIAIDVWSDILTANVANHKQGFLSFLFRAINGEGEIPCMTQISFKMQTKPVNKWILTFGLQYDQRPQKKNQEGFCKLLNEPVSKVSSHTHVTSLVRFHECLLRHLVLLSLPPSAPNANIVIDKLAGYDKGLVSNLDLANTTLTTPEDAQIINTVDLDADPTQIMKSLGDVAAKLEYLRKLIDDQRSELAQLSLNSEEQVHGAGPAPGYSRGHVNPLRYMCTPLEVRLPAGGGHYPKVQYMPM
+>sp|Q9NZN9|AIPL1_HUMAN Aryl-hydrocarbon-interacting protein-like 1 OS=Homo sapiens OX=9606 GN=AIPL1 PE=1 SV=2
+MDAALLLNVEGVKKTILHGGTGELPNFITGSRVIFHFRTMKCDEERTVIDDSRQVGQPMHIIIGNMFKLEVWEILLTSMRVHEVAEFWCDTIHTGVYPILSRSLRQMAQGKDPTEWHVHTCGLANMFAYHTLGYEDLDELQKEPQPLVFVIELLQVDAPSDYQRETWNLSNHEKMKAVPVLHGEGNRLFKLGRYEEASSKYQEAIICLRNLQTKEKPWEVQWLKLEKMINTLILNYCQCLLKKEEYYEVLEHTSDILRHHPGIVKAYYVRARAHAEVWNEAEAKADLQKVLELEPSMQKAVRRELRLLENRMAEKQEEERLRCRNMLSQGATQPPAEPPTEPPAQSSTEPPAEPPTAPSAELSAGPPAEPATEPPPSPGHSLQH
+>DECOY_sp|Q9NZN9|AIPL1_HUMAN Aryl-hydrocarbon-interacting protein-like 1 OS=Homo sapiens OX=9606 GN=AIPL1 PE=1 SV=2
+HQLSHGPSPPPETAPEAPPGASLEASPATPPEAPPETSSQAPPETPPEAPPQTAGQSLMNRCRLREEEQKEAMRNELLRLERRVAKQMSPELELVKQLDAKAEAENWVEAHARARVYYAKVIGPHHRLIDSTHELVEYYEEKKLLCQCYNLILTNIMKELKLWQVEWPKEKTQLNRLCIIAEQYKSSAEEYRGLKFLRNGEGHLVPVAKMKEHNSLNWTERQYDSPADVQLLEIVFVLPQPEKQLEDLDEYGLTHYAFMNALGCTHVHWETPDKGQAMQRLSRSLIPYVGTHITDCWFEAVEHVRMSTLLIEWVELKFMNGIIIHMPQGVQRSDDIVTREEDCKMTRFHFIVRSGTIFNPLEGTGGHLITKKVGEVNLLLAADM
+>sp|P04075|ALDOA_HUMAN Fructose-bisphosphate aldolase A OS=Homo sapiens OX=9606 GN=ALDOA PE=1 SV=2
+MPYQYPALTPEQKKELSDIAHRIVAPGKGILAADESTGSIAKRLQSIGTENTEENRRFYRQLLLTADDRVNPCIGGVILFHETLYQKADDGRPFPQVIKSKGGVVGIKVDKGVVPLAGTNGETTTQGLDGLSERCAQYKKDGADFAKWRCVLKIGEHTPSALAIMENANVLARYASICQQNGIVPIVEPEILPDGDHDLKRCQYVTEKVLAAVYKALSDHHIYLEGTLLKPNMVTPGHACTQKFSHEEIAMATVTALRRTVPPAVTGITFLSGGQSEEEASINLNAINKCPLLKPWALTFSYGRALQASALKAWGGKKENLKAAQEEYVKRALANSLACQGKYTPSGQAGAAASESLFVSNHAY
+>DECOY_sp|P04075|ALDOA_HUMAN Fructose-bisphosphate aldolase A OS=Homo sapiens OX=9606 GN=ALDOA PE=1 SV=2
+YAHNSVFLSESAAAGAQGSPTYKGQCALSNALARKVYEEQAAKLNEKKGGWAKLASAQLARGYSFTLAWPKLLPCKNIANLNISAEEESQGGSLFTIGTVAPPVTRRLATVTAMAIEEHSFKQTCAHGPTVMNPKLLTGELYIHHDSLAKYVAALVKETVYQCRKLDHDGDPLIEPEVIPVIGNQQCISAYRALVNANEMIALASPTHEGIKLVCRWKAFDAGDKKYQACRESLGDLGQTTTEGNTGALPVVGKDVKIGVVGGKSKIVQPFPRGDDAKQYLTEHFLIVGGICPNVRDDATLLLQRYFRRNEETNETGISQLRKAISGTSEDAALIGKGPAVIRHAIDSLEKKQEPTLAPYQYPM
+>sp|Q9H161|ALX4_HUMAN Homeobox protein aristaless-like 4 OS=Homo sapiens OX=9606 GN=ALX4 PE=1 SV=2
+MNAETCVSYCESPAAAMDAYYSPVSQSREGSSPFRAFPGGDKFGTTFLSAAAKAQGFGDAKSRARYGAGQQDLATPLESGAGARGSFNKFQPQPSTPQPQPPPQPQPQQQQPQPQPPAQPHLYLQRGACKTPPDGSLKLQEGSSGHSAALQVPCYAKESSLGEPELPPDSDTVGMDSSYLSVKEAGVKGPQDRASSDLPSPLEKADSESNKGKKRRNRTTFTSYQLEELEKVFQKTHYPDVYAREQLAMRTDLTEARVQVWFQNRRAKWRKRERFGQMQQVRTHFSTAYELPLLTRAENYAQIQNPSWLGNNGAASPVPACVVPCDPVPACMSPHAHPPGSGASSVTDFLSVSGAGSHVGQTHMGSLFGAASLSPGLNGYELNGEPDRKTSSIAALRMKAKEHSAAISWAT
+>DECOY_sp|Q9H161|ALX4_HUMAN Homeobox protein aristaless-like 4 OS=Homo sapiens OX=9606 GN=ALX4 PE=1 SV=2
+TAWSIAASHEKAKMRLAAISSTKRDPEGNLEYGNLGPSLSAAGFLSGMHTQGVHSGAGSVSLFDTVSSAGSGPPHAHPSMCAPVPDCPVVCAPVPSAAGNNGLWSPNQIQAYNEARTLLPLEYATSFHTRVQQMQGFRERKRWKARRNQFWVQVRAETLDTRMALQERAYVDPYHTKQFVKELEELQYSTFTTRNRRKKGKNSESDAKELPSPLDSSARDQPGKVGAEKVSLYSSDMGVTDSDPPLEPEGLSSEKAYCPVQLAASHGSSGEQLKLSGDPPTKCAGRQLYLHPQAPPQPQPQQQQPQPQPPPQPQPTSPQPQFKNFSGRAGAGSELPTALDQQGAGYRARSKADGFGQAKAAASLFTTGFKDGGPFARFPSSGERSQSVPSYYADMAAAPSECYSVCTEANM
+>sp|P02760|AMBP_HUMAN Protein AMBP OS=Homo sapiens OX=9606 GN=AMBP PE=1 SV=1
+MRSLGALLLLLSACLAVSAGPVPTPPDNIQVQENFNISRIYGKWYNLAIGSTCPWLKKIMDRMTVSTLVLGEGATEAEISMTSTRWRKGVCEETSGAYEKTDTDGKFLYHKSKWNITMESYVVHTNYDEYAIFLTKKFSRHHGPTITAKLYGRAPQLRETLLQDFRVVAQGVGIPEDSIFTMADRGECVPGEQEPEPILIPRVRRAVLPQEEEGSGGGQLVTEVTKKEDSCQLGYSAGPCMGMTSRYFYNGTSMACETFQYGGCMGNGNNFVTEKECLQTCRTVAACNLPIVRGPCRAFIQLWAFDAVKGKCVLFPYGGCQGNGNKFYSEKECREYCGVPGDGDEELLRFSN
+>DECOY_sp|P02760|AMBP_HUMAN Protein AMBP OS=Homo sapiens OX=9606 GN=AMBP PE=1 SV=1
+NSFRLLEEDGDGPVGCYERCEKESYFKNGNGQCGGYPFLVCKGKVADFAWLQIFARCPGRVIPLNCAAVTRCTQLCEKETVFNNGNGMCGGYQFTECAMSTGNYFYRSTMGMCPGASYGLQCSDEKKTVETVLQGGGSGEEEQPLVARRVRPILIPEPEQEGPVCEGRDAMTFISDEPIGVGQAVVRFDQLLTERLQPARGYLKATITPGHHRSFKKTLFIAYEDYNTHVVYSEMTINWKSKHYLFKGDTDTKEYAGSTEECVGKRWRTSTMSIEAETAGEGLVLTSVTMRDMIKKLWPCTSGIALNYWKGYIRSINFNEQVQINDPPTPVPGASVALCASLLLLLAGLSRM
+>sp|Q6DCA0|AMERL_HUMAN AMMECR1-like protein OS=Homo sapiens OX=9606 GN=AMMECR1L PE=1 SV=1
+MGKRRCVPPLEPKLAAGCCGVKKPKLSGSGTHSHGNQSTTVPGSSSGPLQNHQHVDSSSGRENVSDLTLGPGNSPITRMNPASGALSPLPRPNGTANTTKNLVVTAEMCCYCFDVLYCHLYGFPQPRLPRFTNDPYPLFVTWKTGRDKRLRGCIGTFSAMNLHSGLREYTLTSALKDSRFPPLTREELPKLFCSVSLLTNFEDASDYLDWEVGVHGIRIEFINEKGVKRTATYLPEVAKEQDWDQIQTIDSLLRKGGFKAPITSEFRKTIKLTRYRSEKVTISYAEYIASRQHCFQNGTLHAPPLYNHYS
+>DECOY_sp|Q6DCA0|AMERL_HUMAN AMMECR1-like protein OS=Homo sapiens OX=9606 GN=AMMECR1L PE=1 SV=1
+SYHNYLPPAHLTGNQFCHQRSAIYEAYSITVKESRYRTLKITKRFESTIPAKFGGKRLLSDITQIQDWDQEKAVEPLYTATRKVGKENIFEIRIGHVGVEWDLYDSADEFNTLLSVSCFLKPLEERTLPPFRSDKLASTLTYERLGSHLNMASFTGICGRLRKDRGTKWTVFLPYPDNTFRPLRPQPFGYLHCYLVDFCYCCMEATVVLNKTTNATGNPRPLPSLAGSAPNMRTIPSNGPGLTLDSVNERGSSSDVHQHNQLPGSSSGPVTTSQNGHSHTGSGSLKPKKVGCCGAALKPELPPVCRRKGM
+>sp|Q9UKV5|AMFR_HUMAN E3 ubiquitin-protein ligase AMFR OS=Homo sapiens OX=9606 GN=AMFR PE=1 SV=2
+MPLLFLERFPWPSLRTYTGLSGLALLGTIISAYRALSQPEAGPGEPDQLTASLQPEPPAPARPSAGGPRARDVAQYLLSDSLFVWVLVNTACCVLMLVAKLIQCIVFGPLRVSERQHLKDKFWNFIFYKFIFIFGVLNVQTVEEVVMWCLWFAGLVFLHLMVQLCKDRFEYLSFSPTTPMSSHGRVLSLLVAMLLSCCGLAAVCSITGYTHGMHTLAFMAAESLLVTVRTAHVILRYVIHLWDLNHEGTWEGKGTYVYYTDFVMELTLLSLDLMHHIHMLLFGNIWLSMASLVIFMQLRYLFHEVQRRIRRHKNYLRVVGNMEARFAVATPEELAVNNDDCAICWDSMQAARKLPCGHLFHNSCLRSWLEQDTSCPTCRMSLNIADNNRVREEHQGENLDENLVPVAAAEGRPRLNQHNHFFHFDGSRIASWLPSFSVEVMHTTNILGITQASNSQLNAMAHQIQEMFPQVPYHLVLQDLQLTRSVEITTDNILEGRIQVPFPTQRSDSIRPALNSPVERPSSDQEEGETSAQTERVPLDLSPRLEETLDFGEVEVEPSEVEDFEARGSRFSKSADERQRMLVQRKDELLQQARKRFLNKSSEDDAASESFLPSEGASSDPVTLRRRMLAAAAERRLQKQQTS
+>DECOY_sp|Q9UKV5|AMFR_HUMAN E3 ubiquitin-protein ligase AMFR OS=Homo sapiens OX=9606 GN=AMFR PE=1 SV=2
+STQQKQLRREAAAALMRRRLTVPDSSAGESPLFSESAADDESSKNLFRKRAQQLLEDKRQVLMRQREDASKSFRSGRAEFDEVESPEVEVEGFDLTEELRPSLDLPVRETQASTEGEEQDSSPREVPSNLAPRISDSRQTPFPVQIRGELINDTTIEVSRTLQLDQLVLHYPVQPFMEQIQHAMANLQSNSAQTIGLINTTHMVEVSFSPLWSAIRSGDFHFFHNHQNLRPRGEAAAVPVLNEDLNEGQHEERVRNNDAINLSMRCTPCSTDQELWSRLCSNHFLHGCPLKRAAQMSDWCIACDDNNVALEEPTAVAFRAEMNGVVRLYNKHRRIRRQVEHFLYRLQMFIVLSAMSLWINGFLLMHIHHMLDLSLLTLEMVFDTYYVYTGKGEWTGEHNLDWLHIVYRLIVHATRVTVLLSEAAMFALTHMGHTYGTISCVAALGCCSLLMAVLLSLVRGHSSMPTTPSFSLYEFRDKCLQVMLHLFVLGAFWLCWMVVEEVTQVNLVGFIFIFKYFIFNWFKDKLHQRESVRLPGFVICQILKAVLMLVCCATNVLVWVFLSDSLLYQAVDRARPGGASPRAPAPPEPQLSATLQDPEGPGAEPQSLARYASIITGLLALGSLGTYTRLSPWPFRELFLLPM
+>sp|Q9Y4X0|AMMR1_HUMAN AMME syndrome candidate gene 1 protein OS=Homo sapiens OX=9606 GN=AMMECR1 PE=1 SV=1
+MAAGCCGVKKQKLSSSPPSGSGGGGGASSSSHCSGESQCRAGELGLGGAGTRLNGLGGLTGGGSGSGCTLSPPQGCGGGGGGIALSPPPSCGVGTLLSTPAAATSSSPSSSSAASSSSPGSRKMVVSAEMCCFCFDVLYCHLYGYQQPRTPRFTNEPYPLFVTWKIGRDKRLRGCIGTFSAMNLHSGLREYTLTSALKDSRFPPMTRDELPRLFCSVSLLTNFEDVCDYLDWEVGVHGIRIEFINEKGSKRTATYLPEVAKEQGWDHIQTIDSLLRKGGYKAPITNEFRKTIKLTRYRSEKMTLSYAEYLAHRQHHHFQNGIGHPLPPYNHYS
+>DECOY_sp|Q9Y4X0|AMMR1_HUMAN AMME syndrome candidate gene 1 protein OS=Homo sapiens OX=9606 GN=AMMECR1 PE=1 SV=1
+SYHNYPPLPHGIGNQFHHHQRHALYEAYSLTMKESRYRTLKITKRFENTIPAKYGGKRLLSDITQIHDWGQEKAVEPLYTATRKSGKENIFEIRIGHVGVEWDLYDCVDEFNTLLSVSCFLRPLEDRTMPPFRSDKLASTLTYERLGSHLNMASFTGICGRLRKDRGIKWTVFLPYPENTFRPTRPQQYGYLHCYLVDFCFCCMEASVVMKRSGPSSSSAASSSSPSSSTAAAPTSLLTGVGCSPPPSLAIGGGGGGCGQPPSLTCGSGSGGGTLGGLGNLRTGAGGLGLEGARCQSEGSCHSSSSAGGGGGSGSPPSSSLKQKKVGCCGAAM
+>sp|Q8IY63|AMOL1_HUMAN Angiomotin-like protein 1 OS=Homo sapiens OX=9606 GN=AMOTL1 PE=1 SV=1
+MWRAKLRRGTCEPAVKGSPSACYSPSSPVQVLEDSTYFSPDFQLYSGRHETSALTVEATSSIREKVVEDPLCNFHSPNFLRISEVEMRGSEDAAAGTVLQRLIQEQLRYGTPTENMNLLAIQHQATGSAGPAHPTNNFSSTENLTQEDPQMVYQSARQEPQGQEHQVDNTVMEKQVRSTQPQQNNEELPTYEEAKAQSQFFRGQQQQQQQQGAVGHGYYMAGGTSQKSRTEGRPTVNRANSGQAHKDEALKELKQGHVRSLSERIMQLSLERNGAKQHLPGSGNGKGFKVGGGPSPAQPAGKVLDPRGPPPEYPFKTKQMMSPVSKTQEHGLFYGDQHPGMLHEMVKPYPAPQPVRTDVAVLRYQPPPEYGVTSRPCQLPFPSTMQQHSPMSSQTSSASGPLHSVSLPLPLPMALGAPQPPPAASPSQQLGPDAFAIVERAQQMVEILTEENRVLHQELQGYYDNADKLHKFEKELQRISEAYESLVKSTTKRESLDKAMRNKLEGEIRRLHDFNRDLRDRLETANRQLSSREYEGHEDKAAEGHYASQNKEFLKEKEKLEMELAAVRTASEDHRRHIEILDQALSNAQARVIKLEEELREKQAYVEKVEKLQQALTQLQSACEKREQMERRLRTWLERELDALRTQQKHGNGQPANMPEYNAPALLELVREKEERILALEADMTKWEQKYLEESTIRHFAMNAAATAAAERDTTIINHSRNGSYGESSLEAHIWQEEEEVVQANRRCQDMEYTIKNLHAKIIEKDAMIKVLQQRSRKDAGKTDSSSLRPARSVPSIAAATGTHSRQTSLTSSQLAEEKKEEKTWKGSIGLLLGKEHHEHASAPLLPPPPTSALSSIASTTAASSAHAKTGSKDSSTQTDKSAELFWPSMASLPSRGRLSTTPAHSPVLKHPAAKGTAEKLENSPGHGKSPDHRGRVSSLLHKPEFPDGEMMEVLI
+>DECOY_sp|Q8IY63|AMOL1_HUMAN Angiomotin-like protein 1 OS=Homo sapiens OX=9606 GN=AMOTL1 PE=1 SV=1
+ILVEMMEGDPFEPKHLLSSVRGRHDPSKGHGPSNELKEATGKAAPHKLVPSHAPTTSLRGRSPLSAMSPWFLEASKDTQTSSDKSGTKAHASSAATTSAISSLASTPPPPLLPASAHEHHEKGLLLGISGKWTKEEKKEEALQSSTLSTQRSHTGTAAAISPVSRAPRLSSSDTKGADKRSRQQLVKIMADKEIIKAHLNKITYEMDQCRRNAQVVEEEEQWIHAELSSEGYSGNRSHNIITTDREAAATAAANMAFHRITSEELYKQEWKTMDAELALIREEKERVLELLAPANYEPMNAPQGNGHKQQTRLADLERELWTRLRREMQERKECASQLQTLAQQLKEVKEVYAQKERLEEELKIVRAQANSLAQDLIEIHRRHDESATRVAALEMELKEKEKLFEKNQSAYHGEAAKDEHGEYERSSLQRNATELRDRLDRNFDHLRRIEGELKNRMAKDLSERKTTSKVLSEYAESIRQLEKEFKHLKDANDYYGQLEQHLVRNEETLIEVMQQAREVIAFADPGLQQSPSAAPPPQPAGLAMPLPLPLSVSHLPGSASSTQSSMPSHQQMTSPFPLQCPRSTVGYEPPPQYRLVAVDTRVPQPAPYPKVMEHLMGPHQDGYFLGHEQTKSVPSMMQKTKFPYEPPPGRPDLVKGAPQAPSPGGGVKFGKGNGSGPLHQKAGNRELSLQMIRESLSRVHGQKLEKLAEDKHAQGSNARNVTPRGETRSKQSTGGAMYYGHGVAGQQQQQQQQGRFFQSQAKAEEYTPLEENNQQPQTSRVQKEMVTNDVQHEQGQPEQRASQYVMQPDEQTLNETSSFNNTPHAPGASGTAQHQIALLNMNETPTGYRLQEQILRQLVTGAAADESGRMEVESIRLFNPSHFNCLPDEVVKERISSTAEVTLASTEHRGSYLQFDPSFYTSDELVQVPSSPSYCASPSGKVAPECTGRRLKARWM
+>sp|Q9Y2J4|AMOL2_HUMAN Angiomotin-like protein 2 OS=Homo sapiens OX=9606 GN=AMOTL2 PE=1 SV=3
+MRTLEDSSGTVLHRLIQEQLRYGNLTETRTLLAIQQQALRGGAGTGGTGSPQASLEILAPEDSQVLQQATRQEPQGQEHQGGENHLAENTLYRLCPQPSKGEELPTYEEAKAHSQYYAAQQAGTRPHAGDRDPRGAPGGSRRQDEALRELRHGHVRSLSERLLQLSLERNGARAPSHMSSSHSFPQLARNQQGPPLRGPPAEGPESRGPPPQYPHVVLAHETTTAVTDPRYRARGSPHFQHAEVRILQAQVPPVFLQQQQQYQYLQQSQEHPPPPHPAALGHGPLSSLSPPAVEGPVSAQASSATSGSAHLAQMEAVLRENARLQRDNERLQRELESSAEKAGRIEKLESEIQRLSEAHESLTRASSKREALEKTMRNKMDSEMRRLQDFNRDLRERLESANRRLASKTQEAQAGSQDMVAKLLAQSYEQQQEQEKLEREMALLRGAIEDQRRRAELLEQALGNAQGRAARAEEELRKKQAYVEKVERLQQALGQLQAACEKREQLELRLRTRLEQELKALRAQQRQAGAPGGSSGSGGSPELSALRLSEQLREKEEQILALEADMTKWEQKYLEERAMRQFAMDAAATAAAQRDTTLIRHSPQPSPSSSFNEGLLTGGHRHQEMESRLKVLHAQILEKDAVIKVLQQRSRRDPGKAIQGSLRPAKSVPSVFAAAAAGTQGWQGLSSSERQTADAPARLTTDRAPTEEPVVTAPPAAHAKHGSRDGSTQTEGPPDSTSTCLPPEPDSLLGCSSSQRAASLDSVATSRVQDLSDMVEILI
+>DECOY_sp|Q9Y2J4|AMOL2_HUMAN Angiomotin-like protein 2 OS=Homo sapiens OX=9606 GN=AMOTL2 PE=1 SV=3
+ILIEVMDSLDQVRSTAVSDLSAARQSSSCGLLSDPEPPLCTSTSDPPGETQTSGDRSGHKAHAAPPATVVPEETPARDTTLRAPADATQRESSSLGQWGQTGAAAAAFVSPVSKAPRLSGQIAKGPDRRSRQQLVKIVADKELIQAHLVKLRSEMEQHRHGGTLLGENFSSSPSPQPSHRILTTDRQAAATAAADMAFQRMAREELYKQEWKTMDAELALIQEEKERLQESLRLASLEPSGGSGSSGGPAGAQRQQARLAKLEQELRTRLRLELQERKECAAQLQGLAQQLREVKEVYAQKKRLEEEARAARGQANGLAQELLEARRRQDEIAGRLLAMERELKEQEQQQEYSQALLKAVMDQSGAQAEQTKSALRRNASELRERLDRNFDQLRRMESDMKNRMTKELAERKSSARTLSEHAESLRQIESELKEIRGAKEASSELERQLRENDRQLRANERLVAEMQALHASGSTASSAQASVPGEVAPPSLSSLPGHGLAAPHPPPPHEQSQQLYQYQQQQQLFVPPVQAQLIRVEAHQFHPSGRARYRPDTVATTTEHALVVHPYQPPPGRSEPGEAPPGRLPPGQQNRALQPFSHSSSMHSPARAGNRELSLQLLRESLSRVHGHRLERLAEDQRRSGGPAGRPDRDGAHPRTGAQQAAYYQSHAKAEEYTPLEEGKSPQPCLRYLTNEALHNEGGQHEQGQPEQRTAQQLVQSDEPALIELSAQPSGTGGTGAGGRLAQQQIALLTRTETLNGYRLQEQILRHLVTGSSDELTRM
+>sp|P30533|AMRP_HUMAN Alpha-2-macroglobulin receptor-associated protein OS=Homo sapiens OX=9606 GN=LRPAP1 PE=1 SV=1
+MAPRRVRSFLRGLPALLLLLLFLGPWPAASHGGKYSREKNQPKPSPKRESGEEFRMEKLNQLWEKAQRLHLPPVRLAELHADLKIQERDELAWKKLKLDGLDEDGEKEARLIRNLNVILAKYGLDGKKDARQVTSNSLSGTQEDGLDDPRLEKLWHKAKTSGKFSGEELDKLWREFLHHKEKVHEYNVLLETLSRTEEIHENVISPSDLSDIKGSVLHSRHTELKEKLRSINQGLDRLRRVSHQGYSTEAEFEEPRVIDLWDLAQSANLTDKELEAFREELKHFEAKIEKHNHYQKQLEIAHEKLRHAESVGDGERVSRSREKHALLEGRTKELGYTVKKHLQDLSGRISRARHNEL
+>DECOY_sp|P30533|AMRP_HUMAN Alpha-2-macroglobulin receptor-associated protein OS=Homo sapiens OX=9606 GN=LRPAP1 PE=1 SV=1
+LENHRARSIRGSLDQLHKKVTYGLEKTRGELLAHKERSRSVREGDGVSEAHRLKEHAIELQKQYHNHKEIKAEFHKLEERFAELEKDTLNASQALDWLDIVRPEEFEAETSYGQHSVRRLRDLGQNISRLKEKLETHRSHLVSGKIDSLDSPSIVNEHIEETRSLTELLVNYEHVKEKHHLFERWLKDLEEGSFKGSTKAKHWLKELRPDDLGDEQTGSLSNSTVQRADKKGDLGYKALIVNLNRILRAEKEGDEDLGDLKLKKWALEDREQIKLDAHLEALRVPPLHLRQAKEWLQNLKEMRFEEGSERKPSPKPQNKERSYKGGHSAAPWPGLFLLLLLLAPLGRLFSRVRRPAM
+>sp|Q92688|AN32B_HUMAN Acidic leucine-rich nuclear phosphoprotein 32 family member B OS=Homo sapiens OX=9606 GN=ANP32B PE=1 SV=1
+MDMKRRIHLELRNRTPAAVRELVLDNCKSNDGKIEGLTAEFVNLEFLSLINVGLISVSNLPKLPKLKKLELSENRIFGGLDMLAEKLPNLTHLNLSGNKLKDISTLEPLKKLECLKSLDLFNCEVTNLNDYRESVFKLLPQLTYLDGYDREDQEAPDSDAEVDGVDEEEEDEEGEDEEDEDDEDGEEEEFDEEDDEDEDVEGDEDDDEVSEEEEEFGLDEEDEDEDEDEEEEEGGKGEKRKRETDDEGEDD
+>DECOY_sp|Q92688|AN32B_HUMAN Acidic leucine-rich nuclear phosphoprotein 32 family member B OS=Homo sapiens OX=9606 GN=ANP32B PE=1 SV=1
+DDEGEDDTERKRKEGKGGEEEEEDEDEDEDEEDLGFEEEEESVEDDDEDGEVDEDEDDEEDFEEEEGDEDDEDEEDEGEEDEEEEDVGDVEADSDPAEQDERDYGDLYTLQPLLKFVSERYDNLNTVECNFLDLSKLCELKKLPELTSIDKLKNGSLNLHTLNPLKEALMDLGGFIRNESLELKKLKPLKPLNSVSILGVNILSLFELNVFEATLGEIKGDNSKCNDLVLERVAAPTRNRLELHIRRKMDM
+>sp|Q8N2N9|AN36B_HUMAN Ankyrin repeat domain-containing protein 36B OS=Homo sapiens OX=9606 GN=ANKRD36B PE=1 SV=4
+MERLCSDGFAFPHYYIKPYHLKRIHRAVLRGNLEKLKYLLLTYYDANKRDRKERTALHLACATGQPEMVHLLVSRRCELNLCDREDRTPLIKAVQLRQEACATLLLQNGADPNITDVFGRTALHYAVYNEDTSMIEKLLSHGTNIEECSKNEYQPLLLAVSRRKVKMVEFLLKKKANVNAIDYLGRSALILAVTLGEKDIVILLLQHNIDVFSRDVYGKLAEDYASEAENRVIFDLIYEYKRKRYEDLPINSNPVSPQKQRAEKATSDDKDSVSNIATEIKEGPISGTVSSQKQPAEKATSDEKDSVSNIATEIKEGQQSGTVSPQKQSAQKVIFKKKVSLLNIATRIMGGGKSGTVSSQKQPASKTASDKTDSALNTATEIKDGLQCGTVSSQKQQALKATTDEEGSVSNIATEIKDGEKSGTVSSQKKPALKATSDEKDSFSNITREKKDGEISRTVSSQKPPALKATSVKEDSVLNIAREKKDGEKSRTVSFEQPPGLKATRDEKDSLLNIARGKKDGEKTRRVSSHKQPSLKATSDKEDSVPNMATETKDEQISGTVSCQKQPALKATSDKKDSVSNIPTEIKDGQQSGTVSSQKQPAWKATSVKKDSVSNIATEIKDGQIRGTVSSQRRPALKTTGDEKDSVSNIAREIKDGEKSGTVSPQKQSAQKVIFKKKVSLLNIATRITGGGKSGTEYPENLRTLKATIENKDSVLNTATKMKEVQTSTPAEQDLEMASEGEQKRLEEYENNQPQVKNQIHSRDDLDDIIQSSQTVSEDGDSLCCNCKNVILLIDQHEMKCKDCVHLLKIKNTFCLWKRLIKLKDNHCEQLRVKIRKLKNKASVLQKRISEKEEIKSQLKHEILELEKELCSLRFAIQQEKKKRRNVEELHQKVREKLRITEEQYRIEADVTKPIKPALKSAEVELKTGGNNSNQVSETDEKEDLLHENRLMQDEIARLRLEKDTIKNQNLEKKYLKDFEIVKRKHEDLQKALKRNGETLAKTIACYSGQLAALTDENTTLRSKLEKQRESRQRLETEMQSYRCRLNAARCDHDQSHSSKRDQELAFQGTVDKCRHLQENLNSHVLILSLQLSKAESKSRVLKTELHYTGEALKEKALVFEHVQSELKQKQSQMKDIEKMYKSGYNTMEKCIEKQERFCQLKKQNMLLQQQLDDARNKADNQEKAILNIQARCDARVQNLQAECRKHRLLLEEDNKMLVNELNHSKEKECQYEKEKAEREVAVRQLQQKRDDVLNKGSATKALLDASSRHCTYLENGMQDSRKKLDQMRSQFQEIQDQLTATIRCTKEMEGDTQKLEVEHVMMRKIIKKQDDQIERLEKILQHSSLMLQVFES
+>DECOY_sp|Q8N2N9|AN36B_HUMAN Ankyrin repeat domain-containing protein 36B OS=Homo sapiens OX=9606 GN=ANKRD36B PE=1 SV=4
+SEFVQLMLSSHQLIKELREIQDDQKKIIKRMMVHEVELKQTDGEMEKTCRITATLQDQIEQFQSRMQDLKKRSDQMGNELYTCHRSSADLLAKTASGKNLVDDRKQQLQRVAVEREAKEKEYQCEKEKSHNLENVLMKNDEELLLRHKRCEAQLNQVRADCRAQINLIAKEQNDAKNRADDLQQQLLMNQKKLQCFREQKEICKEMTNYGSKYMKEIDKMQSQKQKLESQVHEFVLAKEKLAEGTYHLETKLVRSKSEAKSLQLSLILVHSNLNEQLHRCKDVTGQFALEQDRKSSHSQDHDCRAANLRCRYSQMETELRQRSERQKELKSRLTTNEDTLAALQGSYCAITKALTEGNRKLAKQLDEHKRKVIEFDKLYKKELNQNKITDKELRLRAIEDQMLRNEHLLDEKEDTESVQNSNNGGTKLEVEASKLAPKIPKTVDAEIRYQEETIRLKERVKQHLEEVNRRKKKEQQIAFRLSCLEKELELIEHKLQSKIEEKESIRKQLVSAKNKLKRIKVRLQECHNDKLKILRKWLCFTNKIKLLHVCDKCKMEHQDILLIVNKCNCCLSDGDESVTQSSQIIDDLDDRSHIQNKVQPQNNEYEELRKQEGESAMELDQEAPTSTQVEKMKTATNLVSDKNEITAKLTRLNEPYETGSKGGGTIRTAINLLSVKKKFIVKQASQKQPSVTGSKEGDKIERAINSVSDKEDGTTKLAPRRQSSVTGRIQGDKIETAINSVSDKKVSTAKWAPQKQSSVTGSQQGDKIETPINSVSDKKDSTAKLAPQKQCSVTGSIQEDKTETAMNPVSDEKDSTAKLSPQKHSSVRRTKEGDKKGRAINLLSDKEDRTAKLGPPQEFSVTRSKEGDKKERAINLVSDEKVSTAKLAPPKQSSVTRSIEGDKKERTINSFSDKEDSTAKLAPKKQSSVTGSKEGDKIETAINSVSGEEDTTAKLAQQKQSSVTGCQLGDKIETATNLASDTKDSATKSAPQKQSSVTGSKGGGMIRTAINLLSVKKKFIVKQASQKQPSVTGSQQGEKIETAINSVSDKEDSTAKEAPQKQSSVTGSIPGEKIETAINSVSDKDDSTAKEARQKQPSVPNSNIPLDEYRKRKYEYILDFIVRNEAESAYDEALKGYVDRSFVDINHQLLLIVIDKEGLTVALILASRGLYDIANVNAKKKLLFEVMKVKRRSVALLLPQYENKSCEEINTGHSLLKEIMSTDENYVAYHLATRGFVDTINPDAGNQLLLTACAEQRLQVAKILPTRDERDCLNLECRRSVLLHVMEPQGTACALHLATREKRDRKNADYYTLLLYKLKELNGRLVARHIRKLHYPKIYYHPFAFGDSCLREM
+>sp|Q96K21|ANCHR_HUMAN Abscission/NoCut checkpoint regulator OS=Homo sapiens OX=9606 GN=ZFYVE19 PE=1 SV=3
+MNYDSQQPPLPPLPYAGCRRASGFPALGRGGTVPVGVWGGAGQGREGRSWGEGPRGPGLGRRDLSSADPAVLGATMESRCYGCAVKFTLFKKEYGCKNCGRAFCSGCLSFSAAVPRTGNTQQKVCKQCHEVLTRGSSANASKWSPPQNYKKRVAALEAKQKPSTSQSQGLTRQDQMIAERLARLRQENKPKLVPSQAEIEARLAALKDERQGSIPSTQEMEARLAALQGRVLPSQTPQPAHHTPDTRTQAQQTQDLLTQLAAEVAIDESWKGGGPAASLQNDLNQGGPGSTNSKRQANWSLEEEKSRLLAEAALELREENTRQERILALAKRLAMLRGQDPERVTLQDYRLPDSDDDEDEETAIQRVLQQLTEEASLDEASGFNIPAEQASRPWTQPRGAEPEAQDVDPRPEAEEEELPWCCICNEDATLRCAGCDGDLFCARCFREGHDAFELKEHQTSAYSPPRAGQEH
+>DECOY_sp|Q96K21|ANCHR_HUMAN Abscission/NoCut checkpoint regulator OS=Homo sapiens OX=9606 GN=ZFYVE19 PE=1 SV=3
+HEQGARPPSYASTQHEKLEFADHGERFCRACFLDGDCGACRLTADENCICCWPLEEEEAEPRPDVDQAEPEAGRPQTWPRSAQEAPINFGSAEDLSAEETLQQLVRQIATEEDEDDDSDPLRYDQLTVREPDQGRLMALRKALALIREQRTNEERLELAAEALLRSKEEELSWNAQRKSNTSGPGGQNLDNQLSAAPGGGKWSEDIAVEAALQTLLDQTQQAQTRTDPTHHAPQPTQSPLVRGQLAALRAEMEQTSPISGQREDKLAALRAEIEAQSPVLKPKNEQRLRALREAIMQDQRTLGQSQSTSPKQKAELAAVRKKYNQPPSWKSANASSGRTLVEHCQKCVKQQTNGTRPVAASFSLCGSCFARGCNKCGYEKKFLTFKVACGYCRSEMTAGLVAPDASSLDRRGLGPGRPGEGWSRGERGQGAGGWVGVPVTGGRGLAPFGSARRCGAYPLPPLPPQQSDYNM
+>sp|P10275|ANDR_HUMAN Androgen receptor OS=Homo sapiens OX=9606 GN=AR PE=1 SV=3
+MEVQLGLGRVYPRPPSKTYRGAFQNLFQSVREVIQNPGPRHPEAASAAPPGASLLLLQQQQQQQQQQQQQQQQQQQQQQQETSPRQQQQQQGEDGSPQAHRRGPTGYLVLDEEQQPSQPQSALECHPERGCVPEPGAAVAASKGLPQQLPAPPDEDDSAAPSTLSLLGPTFPGLSSCSADLKDILSEASTMQLLQQQQQEAVSEGSSSGRAREASGAPTSSKDNYLGGTSTISDNAKELCKAVSVSMGLGVEALEHLSPGEQLRGDCMYAPLLGVPPAVRPTPCAPLAECKGSLLDDSAGKSTEDTAEYSPFKGGYTKGLEGESLGCSGSAAAGSSGTLELPSTLSLYKSGALDEAAAYQSRDYYNFPLALAGPPPPPPPPHPHARIKLENPLDYGSAWAAAAAQCRYGDLASLHGAGAAGPGSGSPSAAASSSWHTLFTAEEGQLYGPCGGGGGGGGGGGGGGGGGGGGGGGEAGAVAPYGYTRPPQGLAGQESDFTAPDVWYPGGMVSRVPYPSPTCVKSEMGPWMDSYSGPYGDMRLETARDHVLPIDYYFPPQKTCLICGDEASGCHYGALTCGSCKVFFKRAAEGKQKYLCASRNDCTIDKFRRKNCPSCRLRKCYEAGMTLGARKLKKLGNLKLQEEGEASSTTSPTEETTQKLTVSHIEGYECQPIFLNVLEAIEPGVVCAGHDNNQPDSFAALLSSLNELGERQLVHVVKWAKALPGFRNLHVDDQMAVIQYSWMGLMVFAMGWRSFTNVNSRMLYFAPDLVFNEYRMHKSRMYSQCVRMRHLSQEFGWLQITPQEFLCMKALLLFSIIPVDGLKNQKFFDELRMNYIKELDRIIACKRKNPTSCSRRFYQLTKLLDSVQPIARELHQFTFDLLIKSHMVSVDFPEMMAEIISVQVPKILSGKVKPIYFHTQ
+>DECOY_sp|P10275|ANDR_HUMAN Androgen receptor OS=Homo sapiens OX=9606 GN=AR PE=1 SV=3
+QTHFYIPKVKGSLIKPVQVSIIEAMMEPFDVSVMHSKILLDFTFQHLERAIPQVSDLLKTLQYFRRSCSTPNKRKCAIIRDLEKIYNMRLEDFFKQNKLGDVPIISFLLLAKMCLFEQPTIQLWGFEQSLHRMRVCQSYMRSKHMRYENFVLDPAFYLMRSNVNTFSRWGMAFVMLGMWSYQIVAMQDDVHLNRFGPLAKAWKVVHVLQREGLENLSSLLAAFSDPQNNDHGACVVGPEIAELVNLFIPQCEYGEIHSVTLKQTTEETPSTTSSAEGEEQLKLNGLKKLKRAGLTMGAEYCKRLRCSPCNKRRFKDITCDNRSACLYKQKGEAARKFFVKCSGCTLAGYHCGSAEDGCILCTKQPPFYYDIPLVHDRATELRMDGYPGSYSDMWPGMESKVCTPSPYPVRSVMGGPYWVDPATFDSEQGALGQPPRTYGYPAVAGAEGGGGGGGGGGGGGGGGGGGGGGGCPGYLQGEEATFLTHWSSSAAASPSGSGPGAAGAGHLSALDGYRCQAAAAAWASGYDLPNELKIRAHPHPPPPPPPPGALALPFNYYDRSQYAAAEDLAGSKYLSLTSPLELTGSSGAAASGSCGLSEGELGKTYGGKFPSYEATDETSKGASDDLLSGKCEALPACPTPRVAPPVGLLPAYMCDGRLQEGPSLHELAEVGLGMSVSVAKCLEKANDSITSTGGLYNDKSSTPAGSAERARGSSSGESVAEQQQQQLLQMTSAESLIDKLDASCSSLGPFTPGLLSLTSPAASDDEDPPAPLQQPLGKSAAVAAGPEPVCGREPHCELASQPQSPQQEEDLVLYGTPGRRHAQPSGDEGQQQQQQRPSTEQQQQQQQQQQQQQQQQQQQQQQQLLLLSAGPPAASAAEPHRPGPNQIVERVSQFLNQFAGRYTKSPPRPYVRGLGLQVEM
+>sp|Q9P2R3|ANFY1_HUMAN Rabankyrin-5 OS=Homo sapiens OX=9606 GN=ANKFY1 PE=1 SV=2
+MAEEEVAKLEKHLMLLRQEYVKLQKKLAETEKRCALLAAQANKESSSESFISRLLAIVADLYEQEQYSDLKIKVGDRHISAHKFVLAARSDSWSLANLSSTKELDLSDANPEVTMTMLRWIYTDELEFREDDVFLTELMKLANRFQLQLLRERCEKGVMSLVNVRNCIRFYQTAEELNASTLMNYCAEIIASHWDDLRKEDFSSMSAQLLYKMIKSKTEYPLHKAIKVEREDVVFLYLIEMDSQLPGKLNEADHNGDLALDLALSRRLESIATTLVSHKADVDMVDKSGWSLLHKGIQRGDLFAATFLIKNGAFVNAATLGAQETPLHLVALYSSKKHSADVMSEMAQIAEALLQAGANPNMQDSKGRTPLHVSIMAGNEYVFSQLLQCKQLDLELKDHEGSTALWLAVQHITVSSDQSVNPFEDVPVVNGTSFDENSFAARLIQRGSHTDAPDTATGNCLLQRAAGAGNEAAALFLATNGAHVNHRNKWGETPLHTACRHGLANLTAELLQQGANPNLQTEEALPLPKEAASLTSLADSVHLQTPLHMAIAYNHPDVVSVILEQKANALHATNNLQIIPDFSLKDSRDQTVLGLALWTGMHTIAAQLLGSGAAINDTMSDGQTLLHMAIQRQDSKSALFLLEHQADINVRTQDGETALQLAIRNQLPLVVDAICTRGADMSVPDEKGNPPLWLALANNLEDIASTLVRHGCDATCWGPGPGGCLQTLLHRAIDENNEPTACFLIRSGCDVNSPRQPGANGEGEEEARDGQTPLHLAASWGLEETVQCLLEFGANVNAQDAEGRTPIHVAISSQHGVIIQLLVSHPDIHLNVRDRQGLTPFACAMTFKNNKSAEAILKRESGAAEQVDNKGRNFLHVAVQNSDIESVLFLISVHANVNSRVQDASKLTPLHLAVQAGSEIIVRNLLLAGAKVNELTKHRQTALHLAAQQDLPTICSVLLENGVDFAAVDENGNNALHLAVMHGRLNNIRVLLTECTVDAEAFNLRGQSPLHILGQYGKENAAAIFDLFLECMPGYPLDKPDADGSTVLLLAYMKGNANLCRAIVRSGARLGVNNNQGVNIFNYQVATKQLLFRLLDMLSKEPPWCDGSYCYECTARFGVTTRKHHCRHCGRLLCHKCSTKEIPIIKFDLNKPVRVCNICFDVLTLGGVS
+>DECOY_sp|Q9P2R3|ANFY1_HUMAN Rabankyrin-5 OS=Homo sapiens OX=9606 GN=ANKFY1 PE=1 SV=2
+SVGGLTLVDFCINCVRVPKNLDFKIIPIEKTSCKHCLLRGCHRCHHKRTTVGFRATCEYCYSGDCWPPEKSLMDLLRFLLQKTAVQYNFINVGQNNNVGLRAGSRVIARCLNANGKMYALLLVTSGDADPKDLPYGPMCELFLDFIAAANEKGYQGLIHLPSQGRLNFAEADVTCETLLVRINNLRGHMVALHLANNGNEDVAAFDVGNELLVSCITPLDQQAALHLATQRHKTLENVKAGALLLNRVIIESGAQVALHLPTLKSADQVRSNVNAHVSILFLVSEIDSNQVAVHLFNRGKNDVQEAAGSERKLIAEASKNNKFTMACAFPTLGQRDRVNLHIDPHSVLLQIIVGHQSSIAVHIPTRGEADQANVNAGFELLCQVTEELGWSAALHLPTQGDRAEEEGEGNAGPQRPSNVDCGSRILFCATPENNEDIARHLLTQLCGGPGPGWCTADCGHRVLTSAIDELNNALALWLPPNGKEDPVSMDAGRTCIADVVLPLQNRIALQLATEGDQTRVNIDAQHELLFLASKSDQRQIAMHLLTQGDSMTDNIAAGSGLLQAAITHMGTWLALGLVTQDRSDKLSFDPIIQLNNTAHLANAKQELIVSVVDPHNYAIAMHLPTQLHVSDALSTLSAAEKPLPLAEETQLNPNAGQQLLEATLNALGHRCATHLPTEGWKNRHNVHAGNTALFLAAAENGAGAARQLLCNGTATDPADTHSGRQILRAAFSNEDFSTGNVVPVDEFPNVSQDSSVTIHQVALWLATSGEHDKLELDLQKCQLLQSFVYENGAMISVHLPTRGKSDQMNPNAGAQLLAEAIQAMESMVDASHKKSSYLAVLHLPTEQAGLTAANVFAGNKILFTAAFLDGRQIGKHLLSWGSKDVMDVDAKHSVLTTAISELRRSLALDLALDGNHDAENLKGPLQSDMEILYLFVVDEREVKIAKHLPYETKSKIMKYLLQASMSSFDEKRLDDWHSAIIEACYNMLTSANLEEATQYFRICNRVNVLSMVGKECRERLLQLQFRNALKMLETLFVDDERFELEDTYIWRLMTMTVEPNADSLDLEKTSSLNALSWSDSRAALVFKHASIHRDGVKIKLDSYQEQEYLDAVIALLRSIFSESSSEKNAQAALLACRKETEALKKQLKVYEQRLLMLHKELKAVEEEAM
+>sp|Q86XS5|ANGL5_HUMAN Angiopoietin-related protein 5 OS=Homo sapiens OX=9606 GN=ANGPTL5 PE=1 SV=3
+MMSPSQASLLFLNVCIFICGEAVQGNCVHHSTDSSVVNIVEDGSNAKDESKSNDTVCKEDCEESCDVKTKITREEKHFMCRNLQNSIVSYTRSTKKLLRNMMDEQQASLDYLSNQVNELMNRVLLLTTEVFRKQLDPFPHRPVQSHGLDCTDIKDTIGSVTKTPSGLYIIHPEGSSYPFEVMCDMDYRGGGRTVIQKRIDGIIDFQRLWCDYLDGFGDLLGEFWLGLKKIFYIVNQKNTSFMLYVALESEDDTLAYASYDNFWLEDETRFFKMHLGRYSGNAGDAFRGLKKEDNQNAMPFSTSDVDNDGCRPACLVNGQSVKSCSHLHNKTGWWFNECGLANLNGIHHFSGKLLATGIQWGTWTKNNSPVKIKSVSMKIRRMYNPYFK
+>DECOY_sp|Q86XS5|ANGL5_HUMAN Angiopoietin-related protein 5 OS=Homo sapiens OX=9606 GN=ANGPTL5 PE=1 SV=3
+KFYPNYMRRIKMSVSKIKVPSNNKTWTGWQIGTALLKGSFHHIGNLNALGCENFWWGTKNHLHSCSKVSQGNVLCAPRCGDNDVDSTSFPMANQNDEKKLGRFADGANGSYRGLHMKFFRTEDELWFNDYSAYALTDDESELAVYLMFSTNKQNVIYFIKKLGLWFEGLLDGFGDLYDCWLRQFDIIGDIRKQIVTRGGGRYDMDCMVEFPYSSGEPHIIYLGSPTKTVSGITDKIDTCDLGHSQVPRHPFPDLQKRFVETTLLLVRNMLENVQNSLYDLSAQQEDMMNRLLKKTSRTYSVISNQLNRCMFHKEERTIKTKVDCSEECDEKCVTDNSKSEDKANSGDEVINVVSSDTSHHVCNGQVAEGCIFICVNLFLLSAQSPSMM
+>sp|O43827|ANGL7_HUMAN Angiopoietin-related protein 7 OS=Homo sapiens OX=9606 GN=ANGPTL7 PE=1 SV=1
+MLKKPLSAVTWLCIFIVAFVSHPAWLQKLSKHKTPAQPQLKAANCCEEVKELKAQVANLSSLLSELNKKQERDWVSVVMQVMELESNSKRMESRLTDAESKYSEMNNQIDIMQLQAAQTVTQTSADAIYDCSSLYQKNYRISGVYKLPPDDFLGSPELEVFCDMETSGGGWTIIQRRKSGLVSFYRDWKQYKQGFGSIRGDFWLGNEHIHRLSRQPTRLRVEMEDWEGNLRYAEYSHFVLGNELNSYRLFLGNYTGNVGNDALQYHNNTAFSTKDKDNDNCLDKCAQLRKGGYWYNCCTDSNLNGVYYRLGEHNKHLDGITWYGWHGSTYSLKRVEMKIRPEDFKP
+>DECOY_sp|O43827|ANGL7_HUMAN Angiopoietin-related protein 7 OS=Homo sapiens OX=9606 GN=ANGPTL7 PE=1 SV=1
+PKFDEPRIKMEVRKLSYTSGHWGYWTIGDLHKNHEGLRYYVGNLNSDTCCNYWYGGKRLQACKDLCNDNDKDKTSFATNNHYQLADNGVNGTYNGLFLRYSNLENGLVFHSYEAYRLNGEWDEMEVRLRTPQRSLRHIHENGLWFDGRISGFGQKYQKWDRYFSVLGSKRRQIITWGGGSTEMDCFVELEPSGLFDDPPLKYVGSIRYNKQYLSSCDYIADASTQTVTQAAQLQMIDIQNNMESYKSEADTLRSEMRKSNSELEMVQMVVSVWDREQKKNLESLLSSLNAVQAKLEKVEECCNAAKLQPQAPTKHKSLKQLWAPHSVFAVIFICLWTVASLPKKLM
+>sp|Q15389|ANGP1_HUMAN Angiopoietin-1 OS=Homo sapiens OX=9606 GN=ANGPT1 PE=1 SV=2
+MTVFLSFAFLAAILTHIGCSNQRRSPENSGRRYNRIQHGQCAYTFILPEHDGNCRESTTDQYNTNALQRDAPHVEPDFSSQKLQHLEHVMENYTQWLQKLENYIVENMKSEMAQIQQNAVQNHTATMLEIGTSLLSQTAEQTRKLTDVETQVLNQTSRLEIQLLENSLSTYKLEKQLLQQTNEILKIHEKNSLLEHKILEMEGKHKEELDTLKEEKENLQGLVTRQTYIIQELEKQLNRATTNNSVLQKQQLELMDTVHNLVNLCTKEGVLLKGGKREEEKPFRDCADVYQAGFNKSGIYTIYINNMPEPKKVFCNMDVNGGGWTVIQHREDGSLDFQRGWKEYKMGFGNPSGEYWLGNEFIFAITSQRQYMLRIELMDWEGNRAYSQYDRFHIGNEKQNYRLYLKGHTGTAGKQSSLILHGADFSTKDADNDNCMCKCALMLTGGWWFDACGPSNLNGMFYTAGQNHGKLNGIKWHYFKGPSYSLRSTTMMIRPLDF
+>DECOY_sp|Q15389|ANGP1_HUMAN Angiopoietin-1 OS=Homo sapiens OX=9606 GN=ANGPT1 PE=1 SV=2
+FDLPRIMMTTSRLSYSPGKFYHWKIGNLKGHNQGATYFMGNLNSPGCADFWWGGTLMLACKCMCNDNDADKTSFDAGHLILSSQKGATGTHGKLYLRYNQKENGIHFRDYQSYARNGEWDMLEIRLMYQRQSTIAFIFENGLWYEGSPNGFGMKYEKWGRQFDLSGDERHQIVTWGGGNVDMNCFVKKPEPMNNIYITYIGSKNFGAQYVDACDRFPKEEERKGGKLLVGEKTCLNVLNHVTDMLELQQKQLVSNNTTARNLQKELEQIIYTQRTVLGQLNEKEEKLTDLEEKHKGEMELIKHELLSNKEHIKLIENTQQLLQKELKYTSLSNELLQIELRSTQNLVQTEVDTLKRTQEATQSLLSTGIELMTATHNQVANQQIQAMESKMNEVIYNELKQLWQTYNEMVHELHQLKQSSFDPEVHPADRQLANTNYQDTTSERCNGDHEPLIFTYACQGHQIRNYRRGSNEPSRRQNSCGIHTLIAALFAFSLFVTM
+>sp|P01019|ANGT_HUMAN Angiotensinogen OS=Homo sapiens OX=9606 GN=AGT PE=1 SV=1
+MRKRAPQSEMAPAGVSLRATILCLLAWAGLAAGDRVYIHPFHLVIHNESTCEQLAKANAGKPKDPTFIPAPIQAKTSPVDEKALQDQLVLVAAKLDTEDKLRAAMVGMLANFLGFRIYGMHSELWGVVHGATVLSPTAVFGTLASLYLGALDHTADRLQAILGVPWKDKNCTSRLDAHKVLSALQAVQGLLVAQGRADSQAQLLLSTVVGVFTAPGLHLKQPFVQGLALYTPVVLPRSLDFTELDVAAEKIDRFMQAVTGWKTGCSLMGASVDSTLAFNTYVHFQGKMKGFSLLAEPQEFWVDNSTSVSVPMLSGMGTFQHWSDIQDNFSVTQVPFTESACLLLIQPHYASDLDKVEGLTFQQNSLNWMKKLSPRTIHLTMPQLVLQGSYDLQDLLAQAELPAILHTELNLQKLSNDRIRVGEVLNSIFFELEADEREPTESTQQLNKPEVLEVTLNRPFLFAVYDQSATALHFLGRVANPLSTA
+>DECOY_sp|P01019|ANGT_HUMAN Angiotensinogen OS=Homo sapiens OX=9606 GN=AGT PE=1 SV=1
+ATSLPNAVRGLFHLATASQDYVAFLFPRNLTVELVEPKNLQQTSETPEREDAELEFFISNLVEGVRIRDNSLKQLNLETHLIAPLEAQALLDQLDYSGQLVLQPMTLHITRPSLKKMWNLSNQQFTLGEVKDLDSAYHPQILLLCASETFPVQTVSFNDQIDSWHQFTGMGSLMPVSVSTSNDVWFEQPEALLSFGKMKGQFHVYTNFALTSDVSAGMLSCGTKWGTVAQMFRDIKEAAVDLETFDLSRPLVVPTYLALGQVFPQKLHLGPATFVGVVTSLLLQAQSDARGQAVLLGQVAQLASLVKHADLRSTCNKDKWPVGLIAQLRDATHDLAGLYLSALTGFVATPSLVTAGHVVGWLESHMGYIRFGLFNALMGVMAARLKDETDLKAAVLVLQDQLAKEDVPSTKAQIPAPIFTPDKPKGANAKALQECTSENHIVLHFPHIYVRDGAALGAWALLCLITARLSVGAPAMESQPARKRM
+>sp|P16157|ANK1_HUMAN Ankyrin-1 OS=Homo sapiens OX=9606 GN=ANK1 PE=1 SV=3
+MPYSVGFREADAATSFLRAARSGNLDKALDHLRNGVDINTCNQNGLNGLHLASKEGHVKMVVELLHKEIILETTTKKGNTALHIAALAGQDEVVRELVNYGANVNAQSQKGFTPLYMAAQENHLEVVKFLLENGANQNVATEDGFTPLAVALQQGHENVVAHLINYGTKGKVRLPALHIAARNDDTRTAAVLLQNDPNPDVLSKTGFTPLHIAAHYENLNVAQLLLNRGASVNFTPQNGITPLHIASRRGNVIMVRLLLDRGAQIETKTKDELTPLHCAARNGHVRISEILLDHGAPIQAKTKNGLSPIHMAAQGDHLDCVRLLLQYDAEIDDITLDHLTPLHVAAHCGHHRVAKVLLDKGAKPNSRALNGFTPLHIACKKNHVRVMELLLKTGASIDAVTESGLTPLHVASFMGHLPIVKNLLQRGASPNVSNVKVETPLHMAARAGHTEVAKYLLQNKAKVNAKAKDDQTPLHCAARIGHTNMVKLLLENNANPNLATTAGHTPLHIAAREGHVETVLALLEKEASQACMTKKGFTPLHVAAKYGKVRVAELLLERDAHPNAAGKNGLTPLHVAVHHNNLDIVKLLLPRGGSPHSPAWNGYTPLHIAAKQNQVEVARSLLQYGGSANAESVQGVTPLHLAAQEGHAEMVALLLSKQANGNLGNKSGLTPLHLVAQEGHVPVADVLIKHGVMVDATTRMGYTPLHVASHYGNIKLVKFLLQHQADVNAKTKLGYSPLHQAAQQGHTDIVTLLLKNGASPNEVSSDGTTPLAIAKRLGYISVTDVLKVVTDETSFVLVSDKHRMSFPETVDEILDVSEDEGEELISFKAERRDSRDVDEEKELLDFVPKLDQVVESPAIPRIPCAMPETVVIRSEEQEQASKEYDEDSLIPSSPATETSDNISPVASPVHTGFLVSFMVDARGGSMRGSRHNGLRVVIPPRTCAAPTRITCRLVKPQKLSTPPPLAEEEGLASRIIALGPTGAQFLSPVIVEIPHFASHGRGDRELVVLRSENGSVWKEHRSRYGESYLDQILNGMDEELGSLEELEKKRVCRIITTDFPLYFVIMSRLCQDYDTIGPEGGSLKSKLVPLVQATFPENAVTKRVKLALQAQPVPDELVTKLLGNQATFSPIVTVEPRRRKFHRPIGLRIPLPPSWTDNPRDSGEGDTTSLRLLCSVIGGTDQAQWEDITGTTKLVYANECANFTTNVSARFWLSDCPRTAEAVNFATLLYKELTAVPYMAKFVIFAKMNDPREGRLRCYCMTDDKVDKTLEQHENFVEVARSRDIEVLEGMSLFAELSGNLVPVKKAAQQRSFHFQSFRENRLAMPVKVRDSSREPGGSLSFLRKAMKYEDTQHILCHLNITMPPCAKGSGAEDRRRTPTPLALRYSILSESTPGSLSGTEQAEMKMAVISEHLGLSWAELARELQFSVEDINRIRVENPNSLLEQSVALLNLWVIREGQNANMENLYTALQSIDRGEIVNMLEGSGRQSRNLKPDRRHTDRDYSLSPSQMNGYSSLQDELLSPASLGCALSSPLRADQYWNEVAVLDAIPLAATEHDTMLEMSDMQVWSAGLTPSLVTAEDSSLECSKAEDSDATGHEWKLEGALSEEPRGPELGSLELVEDDTVDSDATNGLIDLLEQEEGQRSEEKLPGSKRQDDATGAGQDSENEVSLVSGHQRGQARITHSPTVSQVTERSQDRLQDWDADGSIVSYLQDAAQGSWQEEVTQGPHSFQGTSTMTEGLEPGGSQEYEKVLVSVSEHTWTEQPEAESSQADRDRRQQGQEEQVQEAKNTFTQVVQGNEFQNIPGEQVTEEQFTDEQGNIVTKKIIRKVVRQIDLSSADAAQEHEEVTVEGPLEDPSELEVDIDYFMKHSKDHTSTPNP
+>DECOY_sp|P16157|ANK1_HUMAN Ankyrin-1 OS=Homo sapiens OX=9606 GN=ANK1 PE=1 SV=3
+PNPTSTHDKSHKMFYDIDVELESPDELPGEVTVEEHEQAADASSLDIQRVVKRIIKKTVINGQEDTFQEETVQEGPINQFENGQVVQTFTNKAEQVQEEQGQQRRDRDAQSSEAEPQETWTHESVSVLVKEYEQSGGPELGETMTSTGQFSHPGQTVEEQWSGQAADQLYSVISGDADWDQLRDQSRETVQSVTPSHTIRAQGRQHGSVLSVENESDQGAGTADDQRKSGPLKEESRQGEEQELLDILGNTADSDVTDDEVLELSGLEPGRPEESLAGELKWEHGTADSDEAKSCELSSDEATVLSPTLGASWVQMDSMELMTDHETAALPIADLVAVENWYQDARLPSSLACGLSAPSLLEDQLSSYGNMQSPSLSYDRDTHRRDPKLNRSQRGSGELMNVIEGRDISQLATYLNEMNANQGERIVWLNLLAVSQELLSNPNEVRIRNIDEVSFQLERALEAWSLGLHESIVAMKMEAQETGSLSGPTSESLISYRLALPTPTRRRDEAGSGKACPPMTINLHCLIHQTDEYKMAKRLFSLSGGPERSSDRVKVPMALRNERFSQFHFSRQQAAKKVPVLNGSLEAFLSMGELVEIDRSRAVEVFNEHQELTKDVKDDTMCYCRLRGERPDNMKAFIVFKAMYPVATLEKYLLTAFNVAEATRPCDSLWFRASVNTTFNACENAYVLKTTGTIDEWQAQDTGGIVSCLLRLSTTDGEGSDRPNDTWSPPLPIRLGIPRHFKRRRPEVTVIPSFTAQNGLLKTVLEDPVPQAQLALKVRKTVANEPFTAQVLPVLKSKLSGGEPGITDYDQCLRSMIVFYLPFDTTIIRCVRKKELEELSGLEEDMGNLIQDLYSEGYRSRHEKWVSGNESRLVVLERDGRGHSAFHPIEVIVPSLFQAGTPGLAIIRSALGEEEALPPPTSLKQPKVLRCTIRTPAACTRPPIVVRLGNHRSGRMSGGRADVMFSVLFGTHVPSAVPSINDSTETAPSSPILSDEDYEKSAQEQEESRIVVTEPMACPIRPIAPSEVVQDLKPVFDLLEKEEDVDRSDRREAKFSILEEGEDESVDLIEDVTEPFSMRHKDSVLVFSTEDTVVKLVDTVSIYGLRKAIALPTTGDSSVENPSAGNKLLLTVIDTHGQQAAQHLPSYGLKTKANVDAQHQLLFKVLKINGYHSAVHLPTYGMRTTADVMVGHKILVDAVPVHGEQAVLHLPTLGSKNGLNGNAQKSLLLAVMEAHGEQAALHLPTVGQVSEANASGGYQLLSRAVEVQNQKAAIHLPTYGNWAPSHPSGGRPLLLKVIDLNNHHVAVHLPTLGNKGAANPHADRELLLEAVRVKGYKAAVHLPTFGKKTMCAQSAEKELLALVTEVHGERAAIHLPTHGATTALNPNANNELLLKVMNTHGIRAACHLPTQDDKAKANVKAKNQLLYKAVETHGARAAMHLPTEVKVNSVNPSAGRQLLNKVIPLHGMFSAVHLPTLGSETVADISAGTKLLLEMVRVHNKKCAIHLPTFGNLARSNPKAGKDLLVKAVRHHGCHAAVHLPTLHDLTIDDIEADYQLLLRVCDLHDGQAAMHIPSLGNKTKAQIPAGHDLLIESIRVHGNRAACHLPTLEDKTKTEIQAGRDLLLRVMIVNGRRSAIHLPTIGNQPTFNVSAGRNLLLQAVNLNEYHAAIHLPTFGTKSLVDPNPDNQLLVAATRTDDNRAAIHLAPLRVKGKTGYNILHAVVNEHGQQLAVALPTFGDETAVNQNAGNELLFKVVELHNEQAAMYLPTFGKQSQANVNAGYNVLERVVEDQGALAAIHLATNGKKTTTELIIEKHLLEVVMKVHGEKSALHLGNLGNQNCTNIDVGNRLHDLAKDLNGSRAARLFSTAADAERFGVSYPM
+>sp|Q8N957|ANKF1_HUMAN Ankyrin repeat and fibronectin type-III domain-containing protein 1 OS=Homo sapiens OX=9606 GN=ANKFN1 PE=2 SV=2
+MEASLTRRLLFKDRHFTCSKIIGRRFACFAQRLSHRRKQSQCDLLNESTGQLPTTCSSAASNSINWNCRVKMTQQMQNLHLCQSKKHSAPSSPNAAKRLYRNLSEKLKGSHSSFDEAYFRTRTDRLSLRKTSVNFQGNEAMFEAVEQQDMDAVQILLYQYTPEELDLNTPNSEGLTPLDIAIMTNNVPIARILLRTGARESPHFVSLESRAMHLNTLVQEAQERVSELSAQVENEGFTLDNTEKEKQLKAWEWRYRLYRRMKTGFEHARAPEMPTNVCLMVTSSTSLTVSFQEPLSVNAAVVTRYKVEWSMSEDFSPLAGEIIMDNLQTLRCTITGLTMGQQYFVQVSAYNMKGWGPAQTTTPACASPSNWKDYDDREPRHKGQSEVLEGLLQQVRALHQHYSCRESTKLQTTGRKQSVSRSLKHLFHSSNKFVKTLKRGLYIAVIFYYKDNILVTNEDQVPIVEIDDSHTSSITQDFLWFTKLSCMWEDIRWLRQSIPISSSSSTVLQTRQKMLAATAQLQNLLGTHNLGRVYYEPIKDRHGNILIVTIREVEMLYSFFNGKWMQISKLQSQRKSLSTPEEPTALDILLITIQDILSYHKRSHQRLFPGLYLGYLKLCSSVDQIKVLVTQKLPNILCHVKIRENNNISREEWEWIQKLSGSESMESVDHTSDCPMQLFFYELQMAVKALLQQINIPLHQARNFRLYTQEVLEMGHNVSFLLLLPASDDVCTAPGQNNPYTPHSGFLNLPLQMFELGIVACFT
+>DECOY_sp|Q8N957|ANKF1_HUMAN Ankyrin repeat and fibronectin type-III domain-containing protein 1 OS=Homo sapiens OX=9606 GN=ANKFN1 PE=2 SV=2
+TFCAVIGLEFMQLPLNLFGSHPTYPNNQGPATCVDDSAPLLLLFSVNHGMELVEQTYLRFNRAQHLPINIQQLLAKVAMQLEYFFLQMPCDSTHDVSEMSESGSLKQIWEWEERSINNNERIKVHCLINPLKQTVLVKIQDVSSCLKLYGLYLGPFLRQHSRKHYSLIDQITILLIDLATPEEPTSLSKRQSQLKSIQMWKGNFFSYLMEVERITVILINGHRDKIPEYYVRGLNHTGLLNQLQATAALMKQRTQLVTSSSSSIPISQRLWRIDEWMCSLKTFWLFDQTISSTHSDDIEVIPVQDENTVLINDKYYFIVAIYLGRKLTKVFKNSSHFLHKLSRSVSQKRGTTQLKTSERCSYHQHLARVQQLLGELVESQGKHRPERDDYDKWNSPSACAPTTTQAPGWGKMNYASVQVFYQQGMTLGTITCRLTQLNDMIIEGALPSFDESMSWEVKYRTVVAANVSLPEQFSVTLSTSSTVMLCVNTPMEPARAHEFGTKMRRYLRYRWEWAKLQKEKETNDLTFGENEVQASLESVREQAEQVLTNLHMARSELSVFHPSERAGTRLLIRAIPVNNTMIAIDLPTLGESNPTNLDLEEPTYQYLLIQVADMDQQEVAEFMAENGQFNVSTKRLSLRDTRTRFYAEDFSSHSGKLKESLNRYLRKAANPSSPASHKKSQCLHLNQMQQTMKVRCNWNISNSAASSCTTPLQGTSENLLDCQSQKRRHSLRQAFCAFRRGIIKSCTFHRDKFLLRRTLSAEM
+>sp|Q15327|ANKR1_HUMAN Ankyrin repeat domain-containing protein 1 OS=Homo sapiens OX=9606 GN=ANKRD1 PE=1 SV=2
+MMVLKVEELVTGKKNGNGEAGEFLPEDFRDGEYEAAVTLEKQEDLKTLLAHPVTLGEQQWKSEKQREAELKKKKLEQRSKLENLEDLEIIIQLKKRKKYRKTKVPVVKEPEPEIITEPVDVPTFLKAALENKLPVVEKFLSDKNNPDVCDEYKRTALHRACLEGHLAIVEKLMEAGAQIEFRDMLESTAIHWASRGGNLDVLKLLLNKGAKISARDKLLSTALHVAVRTGHYECAEHLIACEADLNAKDREGDTPLHDAVRLNRYKMIRLLIMYGADLNIKNCAGKTPMDLVLHWQNGTKAIFDSLRENSYKTSRIATF
+>DECOY_sp|Q15327|ANKR1_HUMAN Ankyrin repeat domain-containing protein 1 OS=Homo sapiens OX=9606 GN=ANKRD1 PE=1 SV=2
+FTAIRSTKYSNERLSDFIAKTGNQWHLVLDMPTKGACNKINLDAGYMILLRIMKYRNLRVADHLPTDGERDKANLDAECAILHEACEYHGTRVAVHLATSLLKDRASIKAGKNLLLKLVDLNGGRSAWHIATSELMDRFEIQAGAEMLKEVIALHGELCARHLATRKYEDCVDPNNKDSLFKEVVPLKNELAAKLFTPVDVPETIIEPEPEKVVPVKTKRYKKRKKLQIIIELDELNELKSRQELKKKKLEAERQKESKWQQEGLTVPHALLTKLDEQKELTVAAEYEGDRFDEPLFEGAEGNGNKKGTVLEEVKLVMM
+>sp|Q9NW15|ANO10_HUMAN Anoctamin-10 OS=Homo sapiens OX=9606 GN=ANO10 PE=1 SV=2
+MKVTLSALDTSESSFTPLVVIELAQDVKEETKEWLKNRIIAKKKDGGAQLLFRPLLNKYEQETLENQNLYLVGASKIRMLLGAEAVGLVKECNDNTMRAFTYRTRQNFKGFDDNNDDFLTMAECQFIIKHELENLRAKDEKMIPGYPQAKLYPGKSLLRRLLTSGIVIQVFPLHDSEALKKLEDTWYTRFALKYQPIDSIRGYFGETIALYFGFLEYFTFALIPMAVIGLPYYLFVWEDYDKYVIFASFNLIWSTVILELWKRGCANMTYRWGTLLMKRKFEEPRPGFHGVLGINSITGKEEPLYPSYKRQLRIYLVSLPFVCLCLYFSLYVMMIYFDMEVWALGLHENSGSEWTSVLLYVPSIIYAIVIEIMNRLYRYAAEFLTSWENHRLESAYQNHLILKVLVFNFLNCFASLFYIAFVLKDMKLLRQSLATLLITSQILNQIMESFLPYWLQRKHGVRVKRKVQALKADIDATLYEQVILEKEMGTYLGTFDDYLELFLQFGYVSLFSCVYPLAAAFAVLNNFTEVNSDALKMCRVFKRPFSEPSANIGVWQLAFETMSVISVVTNCALIGMSPQVNAVFPESKADLILIVVAVEHALLALKFILAFAIPDKPRHIQMKLARLEFESLEALKQQQMKLVTENLKEEPMESGKEKAT
+>DECOY_sp|Q9NW15|ANO10_HUMAN Anoctamin-10 OS=Homo sapiens OX=9606 GN=ANO10 PE=1 SV=2
+TAKEKGSEMPEEKLNETVLKMQQQKLAELSEFELRALKMQIHRPKDPIAFALIFKLALLAHEVAVVILILDAKSEPFVANVQPSMGILACNTVVSIVSMTEFALQWVGINASPESFPRKFVRCMKLADSNVETFNNLVAFAAALPYVCSFLSVYGFQLFLELYDDFTGLYTGMEKELIVQEYLTADIDAKLAQVKRKVRVGHKRQLWYPLFSEMIQNLIQSTILLTALSQRLLKMDKLVFAIYFLSAFCNLFNFVLVKLILHNQYASELRHNEWSTLFEAAYRYLRNMIEIVIAYIISPVYLLVSTWESGSNEHLGLAWVEMDFYIMMVYLSFYLCLCVFPLSVLYIRLQRKYSPYLPEEKGTISNIGLVGHFGPRPEEFKRKMLLTGWRYTMNACGRKWLELIVTSWILNFSAFIVYKDYDEWVFLYYPLGIVAMPILAFTFYELFGFYLAITEGFYGRISDIPQYKLAFRTYWTDELKKLAESDHLPFVQIVIGSTLLRRLLSKGPYLKAQPYGPIMKEDKARLNELEHKIIFQCEAMTLFDDNNDDFGKFNQRTRYTFARMTNDNCEKVLGVAEAGLLMRIKSAGVLYLNQNELTEQEYKNLLPRFLLQAGGDKKKAIIRNKLWEKTEEKVDQALEIVVLPTFSSESTDLASLTVKM
+>sp|Q5XXA6|ANO1_HUMAN Anoctamin-1 OS=Homo sapiens OX=9606 GN=ANO1 PE=1 SV=1
+MRVNEKYSTLPAEDRSVHIINICAIEDIGYLPSEGTLLNSLSVDPDAECKYGLYFRDGRRKVDYILVYHHKRPSGNRTLVRRVQHSDTPSGARSVKQDHPLPGKGASLDAGSGEPPMDYHEDDKRFRREEYEGNLLEAGLELERDEDTKIHGVGFVKIHAPWNVLCREAEFLKLKMPTKKMYHINETRGLLKKINSVLQKITDPIQPKVAEHRPQTMKRLSYPFSREKQHLFDLSDKDSFFDSKTRSTIVYEILKRTTCTKAKYSMGITSLLANGVYAAAYPLHDGDYNGENVEFNDRKLLYEEWARYGVFYKYQPIDLVRKYFGEKIGLYFAWLGVYTQMLIPASIVGIIVFLYGCATMDENIPSMEMCDQRHNITMCPLCDKTCSYWKMSSACATARASHLFDNPATVFFSVFMALWAATFMEHWKRKQMRLNYRWDLTGFEEEEEAVKDHPRAEYEARVLEKSLKKESRNKEKRRHIPEESTNKWKQRVKTAMAGVKLTDKVKLTWRDRFPAYLTNLVSIIFMIAVTFAIVLGVIIYRISMAAALAMNSSPSVRSNIRVTVTATAVIINLVVIILLDEVYGCIARWLTKIEVPKTEKSFEERLIFKAFLLKFVNSYTPIFYVAFFKGRFVGRPGDYVYIFRSFRMEECAPGGCLMELCIQLSIIMLGKQLIQNNLFEIGIPKMKKLIRYLKLKQQSPPDHEECVKRKQRYEVDYNLEPFAGLTPEYMEMIIQFGFVTLFVASFPLAPLFALLNNIIEIRLDAKKFVTELRRPVAVRAKDIGIWYNILRGIGKLAVIINAFVISFTSDFIPRLVYLYMYSKNGTMHGFVNHTLSSFNVSDFQNGTAPNDPLDLGYEVQICRYKDYREPPWSENKYDISKDFWAVLAARLAFVIVFQNLVMFMSDFVDWVIPDIPKDISQQIHKEKVLMVELFMREEQDKQQLLETWMEKERQKDEPPCNHHNTKACPDSLGSPAPSHAYHGGVL
+>DECOY_sp|Q5XXA6|ANO1_HUMAN Anoctamin-1 OS=Homo sapiens OX=9606 GN=ANO1 PE=1 SV=1
+LVGGHYAHSPAPSGLSDPCAKTNHHNCPPEDKQREKEMWTELLQQKDQEERMFLEVMLVKEKHIQQSIDKPIDPIVWDVFDSMFMVLNQFVIVFALRAALVAWFDKSIDYKNESWPPERYDKYRCIQVEYGLDLPDNPATGNQFDSVNFSSLTHNVFGHMTGNKSYMYLYVLRPIFDSTFSIVFANIIVALKGIGRLINYWIGIDKARVAVPRRLETVFKKADLRIEIINNLLAFLPALPFSAVFLTVFGFQIIMEMYEPTLGAFPELNYDVEYRQKRKVCEEHDPPSQQKLKLYRILKKMKPIGIEFLNNQILQKGLMIISLQICLEMLCGGPACEEMRFSRFIYVYDGPRGVFRGKFFAVYFIPTYSNVFKLLFAKFILREEFSKETKPVEIKTLWRAICGYVEDLLIIVVLNIIVATATVTVRINSRVSPSSNMALAAAMSIRYIIVGLVIAFTVAIMFIISVLNTLYAPFRDRWTLKVKDTLKVGAMATKVRQKWKNTSEEPIHRRKEKNRSEKKLSKELVRAEYEARPHDKVAEEEEEFGTLDWRYNLRMQKRKWHEMFTAAWLAMFVSFFVTAPNDFLHSARATACASSMKWYSCTKDCLPCMTINHRQDCMEMSPINEDMTACGYLFVIIGVISAPILMQTYVGLWAFYLGIKEGFYKRVLDIPQYKYFVGYRAWEEYLLKRDNFEVNEGNYDGDHLPYAAAYVGNALLSTIGMSYKAKTCTTRKLIEYVITSRTKSDFFSDKDSLDFLHQKERSFPYSLRKMTQPRHEAVKPQIPDTIKQLVSNIKKLLGRTENIHYMKKTPMKLKLFEAERCLVNWPAHIKVFGVGHIKTDEDRELELGAELLNGEYEERRFRKDDEHYDMPPEGSGADLSAGKGPLPHDQKVSRAGSPTDSHQVRRVLTRNGSPRKHHYVLIYDVKRRGDRFYLGYKCEADPDVSLSNLLTGESPLYGIDEIACINIIHVSRDEAPLTSYKENVRM
+>sp|Q9NQ90|ANO2_HUMAN Anoctamin-2 OS=Homo sapiens OX=9606 GN=ANO2 PE=1 SV=2
+MATPGPRDIPLLPGSPRRLSPQAGSRGGQGPKHGQQCLKMPGPRAPGLQGGSNRDPGQPCGGESTRSSSVINNYLDANEPVSLEARLSRMHFHDSQRKVDYVLAYHYRKRGVHLAQGFPGHSLAIVSNGETGKEPHAGGPGDIELGPLDALEEERKEQREEFEHNLMEAGLELEKDLENKSQGSIFVRIHAPWQVLAREAEFLKIKVPTKKEMYEIKAGGSIAKKFSAALQKLSSHLQPRVPEHSNNKMKNLSYPFSREKMYLYNIQEKDTFFDNATRSRIVHEILKRTACSRANNTMGINSLIANNIYEAAYPLHDGEYDSPEDDMNDRKLLYQEWARYGVFYKFQPIDLIRKYFGEKIGLYFAWLGLYTSFLIPSSVIGVIVFLYGCATIEEDIPSREMCDQQNAFTMCPLCDKSCDYWNLSSACGTAQASHLFDNPATVFFSIFMALWATMFLENWKRLQMRLGYFWDLTGIEEEEERAQEHSRPEYETKVREKMLKESNQSAVQKLETNTTECGDEDDEDKLTWKDRFPGYLMNFASILFMIALTFSIVFGVIVYRITTAAALSLNKATRSNVRVTVTATAVIINLVVILILDEIYGAVAKWLTKIEVPKTEQTFEERLILKAFLLKFVNAYSPIFYVAFFKGRFVGRPGSYVYVFDGYRMEECAPGGCLMELCIQLSIIMLGKQLIQNNIFEIGVPKLKKLFRKLKDETEAGETDSAHSKHPEQWDLDYSLEPYTGLTPEYMEMIIQFGFVTLFVASFPLAPVFALLNNVIEVRLDAKKFVTELRRPDAVRTKDIGIWFDILSGIGKFSVISNAFVIAITSDFIPRLVYQYSYSHNGTLHGFVNHTLSFFNVSQLKEGTQPENSQFDQEVQFCRFKDYREPPWAPNPYEFSKQYWFILSARLAFVIIFQNLVMFLSVLVDWMIPDIPTDISDQIKKEKSLLVDFFLKEEHEKLKLMDEPALRSPGGGDRSRSRAASSAPSGQSQLGSMMSSGSQHTNV
+>DECOY_sp|Q9NQ90|ANO2_HUMAN Anoctamin-2 OS=Homo sapiens OX=9606 GN=ANO2 PE=1 SV=2
+VNTHQSGSSMMSGLQSQGSPASSAARSRSRDGGGPSRLAPEDMLKLKEHEEKLFFDVLLSKEKKIQDSIDTPIDPIMWDVLVSLFMVLNQFIIVFALRASLIFWYQKSFEYPNPAWPPERYDKFRCFQVEQDFQSNEPQTGEKLQSVNFFSLTHNVFGHLTGNHSYSYQYVLRPIFDSTIAIVFANSIVSFKGIGSLIDFWIGIDKTRVADPRRLETVFKKADLRVEIVNNLLAFVPALPFSAVFLTVFGFQIIMEMYEPTLGTYPELSYDLDWQEPHKSHASDTEGAETEDKLKRFLKKLKPVGIEFINNQILQKGLMIISLQICLEMLCGGPACEEMRYGDFVYVYSGPRGVFRGKFFAVYFIPSYANVFKLLFAKLILREEFTQETKPVEIKTLWKAVAGYIEDLILIVVLNIIVATATVTVRVNSRTAKNLSLAAATTIRYVIVGFVISFTLAIMFLISAFNMLYGPFRDKWTLKDEDDEDGCETTNTELKQVASQNSEKLMKERVKTEYEPRSHEQAREEEEEIGTLDWFYGLRMQLRKWNELFMTAWLAMFISFFVTAPNDFLHSAQATGCASSLNWYDCSKDCLPCMTFANQQDCMERSPIDEEITACGYLFVIVGIVSSPILFSTYLGLWAFYLGIKEGFYKRILDIPQFKYFVGYRAWEQYLLKRDNMDDEPSDYEGDHLPYAAEYINNAILSNIGMTNNARSCATRKLIEHVIRSRTANDFFTDKEQINYLYMKERSFPYSLNKMKNNSHEPVRPQLHSSLKQLAASFKKAISGGAKIEYMEKKTPVKIKLFEAERALVQWPAHIRVFISGQSKNELDKELELGAEMLNHEFEERQEKREEELADLPGLEIDGPGGAHPEKGTEGNSVIALSHGPFGQALHVGRKRYHYALVYDVKRQSDHFHMRSLRAELSVPENADLYNNIVSSSRTSEGGCPQGPDRNSGGQLGPARPGPMKLCQQGHKPGQGGRSGAQPSLRRPSGPLLPIDRPGPTAM
+>sp|Q75V66|ANO5_HUMAN Anoctamin-5 OS=Homo sapiens OX=9606 GN=ANO5 PE=1 SV=1
+MGDPDLLEVLAEEGEKVNKHIDYSFQMSEQSLSSRETSFLINEETMPAKRFNLFLRRRLMFQKNQQSKDSIFFRDGIRQIDFVLSYVDDVKKDAELKAERRKEFETNLRKTGLELEIEDKRDSEDGRTYFVKIHAPWEVLVTYAEVLGIKMPIKESDIPRPKHTPISYVLGPVRLPLSVKYPHPEYFTAQFSRHRQELFLIEDQATFFPSSSRNRIVYYILSRCPFGIEDGKKRFGIERLLNSNTYSSAYPLHDGQYWKPSEPPNPTNERYTLHQNWARFSYFYKEQPLDLIKNYYGEKIGIYFVFLGFYTEMLFFAAVVGLACFIYGLLSMEHNTSSTEICDPEIGGQMIMCPLCDQVCDYWRLNSTCLASKFSHLFDNESTVFFAIFMGIWVTLFLEFWKQRQARLEYEWDLVDFEEEQQQLQLRPEFEAMCKHRKLNAVTKEMEPYMPLYTRIPWYFLSGATVTLWMSLVVTSMVAVIVYRLSVFATFASFMESDASLKQVKSFLTPQITTSLTGSCLNFIVILILNFFYEKISAWITKMEIPRTYQEYESSLTLKMFLFQFVNFYSSCFYVAFFKGKFVGYPGKYTYLFNEWRSEECDPGGCLIELTTQLTIIMTGKQIFGNIKEAIYPLALNWWRRRKARTNSEKLYSRWEQDHDLESFGPLGLFYEYLETVTQFGFVTLFVASFPLAPLLALINNIVEIRVDAWKLTTQYRRTVASKAHSIGVWQDILYGMAVLSVATNAFIVAFTSDIIPRLVYYYAYSTNATQPMTGYVNNSLSVFLIADFPNHTAPSEKRDFITCRYRDYRYPPDDENKYFHNMQFWHVLAAKMTFIIVMEHVVFLVKFLLAWMIPDVPKDVVERIKREKLMTIKILHDFELNKLKENLGINSNEFAKHVMIEENKAQLAKSTL
+>DECOY_sp|Q75V66|ANO5_HUMAN Anoctamin-5 OS=Homo sapiens OX=9606 GN=ANO5 PE=1 SV=1
+LTSKALQAKNEEIMVHKAFENSNIGLNEKLKNLEFDHLIKITMLKERKIREVVDKPVDPIMWALLFKVLFVVHEMVIIFTMKAALVHWFQMNHFYKNEDDPPYRYDRYRCTIFDRKESPATHNPFDAILFVSLSNNVYGTMPQTANTSYAYYYVLRPIIDSTFAVIFANTAVSLVAMGYLIDQWVGISHAKSAVTRRYQTTLKWADVRIEVINNILALLPALPFSAVFLTVFGFQTVTELYEYFLGLPGFSELDHDQEWRSYLKESNTRAKRRRWWNLALPYIAEKINGFIQKGTMIITLQTTLEILCGGPDCEESRWENFLYTYKGPYGVFKGKFFAVYFCSSYFNVFQFLFMKLTLSSEYEQYTRPIEMKTIWASIKEYFFNLILIVIFNLCSGTLSTTIQPTLFSKVQKLSADSEMFSAFTAFVSLRYVIVAVMSTVVLSMWLTVTAGSLFYWPIRTYLPMYPEMEKTVANLKRHKCMAEFEPRLQLQQQEEEFDVLDWEYELRAQRQKWFELFLTVWIGMFIAFFVTSENDFLHSFKSALCTSNLRWYDCVQDCLPCMIMQGGIEPDCIETSSTNHEMSLLGYIFCALGVVAAFFLMETYFGLFVFYIGIKEGYYNKILDLPQEKYFYSFRAWNQHLTYRENTPNPPESPKWYQGDHLPYASSYTNSNLLREIGFRKKGDEIGFPCRSLIYYVIRNRSSSPFFTAQDEILFLEQRHRSFQATFYEPHPYKVSLPLRVPGLVYSIPTHKPRPIDSEKIPMKIGLVEAYTVLVEWPAHIKVFYTRGDESDRKDEIELELGTKRLNTEFEKRREAKLEADKKVDDVYSLVFDIQRIGDRFFISDKSQQNKQFMLRRRLFLNFRKAPMTEENILFSTERSSLSQESMQFSYDIHKNVKEGEEALVELLDPDGM
+>sp|Q4KMQ2|ANO6_HUMAN Anoctamin-6 OS=Homo sapiens OX=9606 GN=ANO6 PE=1 SV=2
+MKKMSRNVLLQMEEEEDDDDGDIVLENLGQTIVPDLGSLESQHDFRTPEFEEFNGKPDSLFFNDGQRRIDFVLVYEDESRKETNKKGTNEKQRRKRQAYESNLICHGLQLEATRSVLDDKLVFVKVHAPWEVLCTYAEIMHIKLPLKPNDLKNRSSAFGTLNWFTKVLSVDESIIKPEQEFFTAPFEKNRMNDFYIVDRDAFFNPATRSRIVYFILSRVKYQVINNVSKFGINRLVNSGIYKAAFPLHDCKFRRQSEDPSCPNERYLLYREWAHPRSIYKKQPLDLIRKYYGEKIGIYFAWLGYYTQMLLLAAVVGVACFLYGYLNQDNCTWSKEVCHPDIGGKIIMCPQCDRLCPFWKLNITCESSKKLCIFDSFGTLVFAVFMGVWVTLFLEFWKRRQAELEYEWDTVELQQEEQARPEYEARCTHVVINEITQEEERIPFTAWGKCIRITLCASAVFFWILLIIASVIGIIVYRLSVFIVFSAKLPKNINGTDPIQKYLTPQTATSITASIISFIIIMILNTIYEKVAIMITNFELPRTQTDYENSLTMKMFLFQFVNYYSSCFYIAFFKGKFVGYPGDPVYWLGKYRNEECDPGGCLLELTTQLTIIMGGKAIWNNIQEVLLPWIMNLIGRFHRVSGSEKITPRWEQDYHLQPMGKLGLFYEYLEMIIQFGFVTLFVASFPLAPLLALVNNILEIRVDAWKLTTQFRRLVPEKAQDIGAWQPIMQGIAILAVVTNAMIIAFTSDMIPRLVYYWSFSVPPYGDHTSYTMEGYINNTLSIFKVADFKNKSKGNPYSDLGNHTTCRYRDFRYPPGHPQEYKHNIYYWHVIAAKLAFIIVMEHVIYSVKFFISYAIPDVSKRTKSKIQREKYLTQKLLHENHLKDMTKNMGVIAERMIEAVDNNLRPKSE
+>DECOY_sp|Q4KMQ2|ANO6_HUMAN Anoctamin-6 OS=Homo sapiens OX=9606 GN=ANO6 PE=1 SV=2
+ESKPRLNNDVAEIMREAIVGMNKTMDKLHNEHLLKQTLYKERQIKSKTRKSVDPIAYSIFFKVSYIVHEMVIIFALKAAIVHWYYINHKYEQPHGPPYRFDRYRCTTHNGLDSYPNGKSKNKFDAVKFISLTNNIYGEMTYSTHDGYPPVSFSWYYVLRPIMDSTFAIIMANTVVALIAIGQMIPQWAGIDQAKEPVLRRFQTTLKWADVRIELINNVLALLPALPFSAVFLTVFGFQIIMELYEYFLGLKGMPQLHYDQEWRPTIKESGSVRHFRGILNMIWPLLVEQINNWIAKGGMIITLQTTLELLCGGPDCEENRYKGLWYVPDGPYGVFKGKFFAIYFCSSYYNVFQFLFMKMTLSNEYDTQTRPLEFNTIMIAVKEYITNLIMIIIFSIISATISTATQPTLYKQIPDTGNINKPLKASFVIFVSLRYVIIGIVSAIILLIWFFVASACLTIRICKGWATFPIREEEQTIENIVVHTCRAEYEPRAQEEQQLEVTDWEYELEAQRRKWFELFLTVWVGMFVAFVLTGFSDFICLKKSSECTINLKWFPCLRDCQPCMIIKGGIDPHCVEKSWTCNDQNLYGYLFCAVGVVAALLLMQTYYGLWAFYIGIKEGYYKRILDLPQKKYISRPHAWERYLLYRENPCSPDESQRRFKCDHLPFAAKYIGSNVLRNIGFKSVNNIVQYKVRSLIFYVIRSRTAPNFFADRDVIYFDNMRNKEFPATFFEQEPKIISEDVSLVKTFWNLTGFASSRNKLDNPKLPLKIHMIEAYTCLVEWPAHVKVFVLKDDLVSRTAELQLGHCILNSEYAQRKRRQKENTGKKNTEKRSEDEYVLVFDIRRQGDNFFLSDPKGNFEEFEPTRFDHQSELSGLDPVITQGLNELVIDGDDDDEEEEMQLLVNRSMKKM
+>sp|A1A5B4|ANO9_HUMAN Anoctamin-9 OS=Homo sapiens OX=9606 GN=ANO9 PE=1 SV=3
+MQGEESLRILVEPEGDSFPLMEISTCETEASEQWDYVLVAQRHTQRDPRQARQQQFLEELRRKGFHIKVIRDQKQVFFGIRADNSVFGLYRTLLLEPEGPAPHAELAAPTTIPVTTSLRIRIVNFVVMNNKTSAGETFEDLMKDGVFEARFPLHKGEGRLKKTWARWRHMFREQPVDEIRNYFGEKVALYFVWLGWYTYMLVPAALTGLLVFLSGFSLFEASQISKEICEAHDILMCPLGDHSRRYQRLSETCTFAKLTHLFDNDGTVVFAIFMALWATVFLEIWKRQRARVVLHWDLYVWDEEQEEMALQLINCPDYKLRPYQHSYLRSTVILVLTLLMICLMIGMAHVLVVYRVLASALFSSSAVPFLEEQVTTAVVVTGALVHYVTIIIMTKINRCVALKLCDFEMPRTFSERESRFTIRFFTLQFFTHFSSLIYIAFILGRINGHPGKSTRLAGLWKLEECHASGCMMDLFVQMAIIMGLKQTLSNCVEYLVPWVTHKCRSLRASESGHLPRDPELRDWRRNYLLNPVNTFSLFDEFMEMMIQYGFTTIFVAAFPLAPLLALFSNLVEIRLDAIKMVWLQRRLVPRKAKDIGTWLQVLETIGVLAVIANGMVIAFTSEFIPRVVYKYRYSPCLKEGNSTVDCLKGYVNHSLSVFHTKDFQDPDGIEGSENVTLCRYRDYRNPPDYNFSEQFWFLLAIRLAFVILFEHVALCIKLIAAWFVPDIPQSVKNKVLEVKYQRLREKMWHGRQRLGGVGAGSRPPMPAHPTPASIFSARSTDV
+>DECOY_sp|A1A5B4|ANO9_HUMAN Anoctamin-9 OS=Homo sapiens OX=9606 GN=ANO9 PE=1 SV=3
+VDTSRASFISAPTPHAPMPPRSGAGVGGLRQRGHWMKERLRQYKVELVKNKVSQPIDPVFWAAILKICLAVHEFLIVFALRIALLFWFQESFNYDPPNRYDRYRCLTVNESGEIGDPDQFDKTHFVSLSHNVYGKLCDVTSNGEKLCPSYRYKYVVRPIFESTFAIVMGNAIVALVGITELVQLWTGIDKAKRPVLRRQLWVMKIADLRIEVLNSFLALLPALPFAAVFITTFGYQIMMEMFEDFLSFTNVPNLLYNRRWDRLEPDRPLHGSESARLSRCKHTVWPVLYEVCNSLTQKLGMIIAMQVFLDMMCGSAHCEELKWLGALRTSKGPHGNIRGLIFAIYILSSFHTFFQLTFFRITFRSERESFTRPMEFDCLKLAVCRNIKTMIIITVYHVLAGTVVVATTVQEELFPVASSSFLASALVRYVVLVHAMGIMLCIMLLTLVLIVTSRLYSHQYPRLKYDPCNILQLAMEEQEEDWVYLDWHLVVRARQRKWIELFVTAWLAMFIAFVVTGDNDFLHTLKAFTCTESLRQYRRSHDGLPCMLIDHAECIEKSIQSAEFLSFGSLFVLLGTLAAPVLMYTYWGLWVFYLAVKEGFYNRIEDVPQERFMHRWRAWTKKLRGEGKHLPFRAEFVGDKMLDEFTEGASTKNNMVVFNVIRIRLSTTVPITTPAALEAHPAPGEPELLLTRYLGFVSNDARIGFFVQKQDRIVKIHFGKRRLEELFQQQRAQRPDRQTHRQAVLVYDWQESAETECTSIEMLPFSDGEPEVLIRLSEEGQM
+>sp|P16066|ANPRA_HUMAN Atrial natriuretic peptide receptor 1 OS=Homo sapiens OX=9606 GN=NPR1 PE=1 SV=1
+MPGPRRPAGSRLRLLLLLLLPPLLLLLRGSHAGNLTVAVVLPLANTSYPWSWARVGPAVELALAQVKARPDLLPGWTVRTVLGSSENALGVCSDTAAPLAAVDLKWEHNPAVFLGPGCVYAAAPVGRFTAHWRVPLLTAGAPALGFGVKDEYALTTRAGPSYAKLGDFVAALHRRLGWERQALMLYAYRPGDEEHCFFLVEGLFMRVRDRLNITVDHLEFAEDDLSHYTRLLRTMPRKGRVIYICSSPDAFRTLMLLALEAGLCGEDYVFFHLDIFGQSLQGGQGPAPRRPWERGDGQDVSARQAFQAAKIITYKDPDNPEYLEFLKQLKHLAYEQFNFTMEDGLVNTIPASFHDGLLLYIQAVTETLAHGGTVTDGENITQRMWNRSFQGVTGYLKIDSSGDRETDFSLWDMDPENGAFRVVLNYNGTSQELVAVSGRKLNWPLGYPPPDIPKCGFDNEDPACNQDHLSTLEVLALVGSLSLLGILIVSFFIYRKMQLEKELASELWRVRWEDVEPSSLERHLRSAGSRLTLSGRGSNYGSLLTTEGQFQVFAKTAYYKGNLVAVKRVNRKRIELTRKVLFELKHMRDVQNEHLTRFVGACTDPPNICILTEYCPRGSLQDILENESITLDWMFRYSLTNDIVKGMLFLHNGAICSHGNLKSSNCVVDGRFVLKITDYGLESFRDLDPEQGHTVYAKKLWTAPELLRMASPPVRGSQAGDVYSFGIILQEIALRSGVFHVEGLDLSPKEIIERVTRGEQPPFRPSLALQSHLEELGLLMQRCWAEDPQERPPFQQIRLTLRKFNRENSSNILDNLLSRMEQYANNLEELVEERTQAYLEEKRKAEALLYQILPHSVAEQLKRGETVQAEAFDSVTIYFSDIVGFTALSAESTPMQVVTLLNDLYTCFDAVIDNFDVYKVETIGDAYMVVSGLPVRNGRLHACEVARMALALLDAVRSFRIRHRPQEQLRLRIGIHTGPVCAGVVGLKMPRYCLFGDTVNTASRMESNGEALKIHLSSETKAVLEEFGGFELELRGDVEMKGKGKVRTYWLLGERGSSTRG
+>DECOY_sp|P16066|ANPRA_HUMAN Atrial natriuretic peptide receptor 1 OS=Homo sapiens OX=9606 GN=NPR1 PE=1 SV=1
+GRTSSGREGLLWYTRVKGKGKMEVDGRLELEFGGFEELVAKTESSLHIKLAEGNSEMRSATNVTDGFLCYRPMKLGVVGACVPGTHIGIRLRLQEQPRHRIRFSRVADLLALAMRAVECAHLRGNRVPLGSVVMYADGITEVKYVDFNDIVADFCTYLDNLLTVVQMPTSEASLATFGVIDSFYITVSDFAEAQVTEGRKLQEAVSHPLIQYLLAEAKRKEELYAQTREEVLEELNNAYQEMRSLLNDLINSSNERNFKRLTLRIQQFPPREQPDEAWCRQMLLGLEELHSQLALSPRFPPQEGRTVREIIEKPSLDLGEVHFVGSRLAIEQLIIGFSYVDGAQSGRVPPSAMRLLEPATWLKKAYVTHGQEPDLDRFSELGYDTIKLVFRGDVVCNSSKLNGHSCIAGNHLFLMGKVIDNTLSYRFMWDLTISENELIDQLSGRPCYETLICINPPDTCAGVFRTLHENQVDRMHKLEFLVKRTLEIRKRNVRKVAVLNGKYYATKAFVQFQGETTLLSGYNSGRGSLTLRSGASRLHRELSSPEVDEWRVRWLESALEKELQMKRYIFFSVILIGLLSLSGVLALVELTSLHDQNCAPDENDFGCKPIDPPPYGLPWNLKRGSVAVLEQSTGNYNLVVRFAGNEPDMDWLSFDTERDGSSDIKLYGTVGQFSRNWMRQTINEGDTVTGGHALTETVAQIYLLLGDHFSAPITNVLGDEMTFNFQEYALHKLQKLFELYEPNDPDKYTIIKAAQFAQRASVDQGDGREWPRRPAPGQGGQLSQGFIDLHFFVYDEGCLGAELALLMLTRFADPSSCIYIVRGKRPMTRLLRTYHSLDDEAFELHDVTINLRDRVRMFLGEVLFFCHEEDGPRYAYLMLAQREWGLRRHLAAVFDGLKAYSPGARTTLAYEDKVGFGLAPAGATLLPVRWHATFRGVPAAAYVCGPGLFVAPNHEWKLDVAALPAATDSCVGLANESSGLVTRVTWGPLLDPRAKVQALALEVAPGVRAWSWPYSTNALPLVVAVTLNGAHSGRLLLLLPPLLLLLLLRLRSGAPRRPGPM
+>sp|Q6UB99|ANR11_HUMAN Ankyrin repeat domain-containing protein 11 OS=Homo sapiens OX=9606 GN=ANKRD11 PE=1 SV=3
+MPKGGCPKAPQQEELPLSSDMVEKQTGKKDKDKVSLTKTPKLERGDGGKEVRERASKRKLPFTAGANGEQKDSDTEKQGPERKRIKKEPVTRKAGLLFGMGLSGIRAGYPLSERQQVALLMQMTAEESANSPVDTTPKHPSQSTVCQKGTPNSASKTKDKVNKRNERGETRLHRAAIRGDARRIKELISEGADVNVKDFAGWTALHEACNRGYYDVAKQLLAAGAEVNTKGLDDDTPLHDAANNGHYKVVKLLLRYGGNPQQSNRKGETPLKVANSPTMVNLLLGKGTYTSSEESSTESSEEEDAPSFAPSSSVDGNNTDSEFEKGLKHKAKNPEPQKATAPVKDEYEFDEDDEQDRVPPVDDKHLLKKDYRKETKSNSFISIPKMEVKSYTKNNTIAPKKASHRILSDTSDEEDASVTVGTGEKLRLSAHTILPGSKTREPSNAKQQKEKNKVKKKRKKETKGREVRFGKRSDKFCSSESESESSESGEDDRDSLGSSGCLKGSPLVLKDPSLFSSLSASSTSSHGSSAAQKQNPSHTDQHTKHWRTDNWKTISSPAWSEVSSLSDSTRTRLTSESDYSSEGSSVESLKPVRKRQEHRKRASLSEKKSPFLSSAEGAVPKLDKEGKVVKKHKTKHKHKNKEKGQCSISQELKLKSFTYEYEDSKQKSDKAILLENDLSTENKLKVLKHDRDHFKKEEKLSKMKLEEKEWLFKDEKSLKRIKDTNKDISRSFREEKDRSNKAEKERSLKEKSPKEEKLRLYKEERKKKSKDRPSKLEKKNDLKEDKISKEKEKIFKEDKEKLKKEKVYREDSAFDEYCNKNQFLENEDTKFSLSDDQRDRWFSDLSDSSFDFKGEDSWDSPVTDYRDMKSDSVAKLILETVKEDSKERRRDSRAREKRDYREPFFRKKDRDYLDKNSEKRKEQTEKHKSVPGYLSEKDKKRRESAEAGRDRKDALESCKERRDGRAKPEEAHREELKECGCESGFKDKSDGDFGKGLEPWERHHPAREKEKKDGPDKERKEKTKPERYKEKSSDKDKSEKSILEKCQKDKEFDKCFKEKKDTKEKHKDTHGKDKERKASLDQGKEKKEKAFPGIISEDFSEKKDDKKGKEKSWYIADIFTDESEDDRDSCMGSGFKMGEASDLPRTDGLQEKEEGREAYASDRHRKSSDKQHPERQKDKEPRDRRKDRGAADAGRDKKEKVFEKHKEKKDKESTEKYKDRKDRASVDSTQDKKNKQKLPEKAEKKHAAEDKAKSKHKEKSDKEHSKERKSSRSADAEKSLLEKLEEEALHEYREDSNDKISEVSSDSFTDRGQEPGLTAFLEVSFTEPPGDDKPRESACLPEKLKEKERHRHSSSSSKKSHDRERAKKEKAEKKEKGEDYKEGGSRKDSGQYEKDFLEADAYGVSYNMKADIEDELDKTIELFSTEKKDKNDSEREPSKKIEKELKPYGSSAINILKEKKKREKHREKWRDEKERHRDRHADGLLRHHRDELLRHHRDEQKPATRDKDSPPRVLKDKSRDEGPRLGDAKLKEKFKDGAEKEKGDPVKMSNGNDKVAPSKDPGKKDARPREKLLGDGDLMMTSFERMLSQKDLEIEERHKRHKERMKQMEKLRHRSGDPKLKEKAKPADDGRKKGLDIPAKKPPGLDPPFKDKKLKESTPIPPAAENKLHPASGADSKDWLAGPHMKEVLPASPRPDQSRPTGVPTPTSVLSCPSYEEVMHTPRTPSCSADDYADLVFDCADSQHSTPVPTAPTSACSPSFFDRFSVASSGLSENASQAPARPLSTNLYRSVSVDIRRTPEEEFSVGDKLFRQQSVPAASSYDSPMPPSMEDRAPLPPVPAEKFACLSPGYYSPDYGLPSPKVDALHCPPAAVVTVTPSPEGVFSSLQAKPSPSPRAELLVPSLEGALPPDLDTSEDQQATAAIIPPEPSYLEPLDEGPFSAVITEEPVEWAHPSEQALASSLIGGTSENPVSWPVGSDLLLKSPQRFPESPKRFCPADPLHSAAPGPFSASEAPYPAPPASPAPYALPVAEPGLEDVKDGVDAVPAAISTSEAAPYAPPSGLESFFSNCKSLPEAPLDVAPEPACVAAVAQVEALGPLENSFLDGSRGLSHLGQVEPVPWADAFAGPEDDLDLGPFSLPELPLQTKDAADGEAEPVEESLAPPEEMPPGAPGVINGGDVSTVVAEEPPALPPDQASTRLPAELEPEPSGEPKLDVALEAAVEAETVPEERARGDPDSSVEPAPVPPEQRPLGSGDQGAEAEGPPAASLCAPDGPAPNTVAQAQAADGAGPEDDTEASRAAAPAEGPPGGIQPEAAEPKPTAEAPKAPRVEEIPQRMTRNRAQMLANQSKQGPPPSEKECAPTPAPVTRAKARGSEDDDAQAQHPRKRRFQRSTQQLQQQLNTSTQQTREVIQQTLAAIVDAIKLDAIEPYHSDRANPYFEYLQIRKKIEEKRKILCCITPQAPQCYAEYVTYTGSYLLDGKPLSKLHIPVIAPPPSLAEPLKELFRQQEAVRGKLRLQHSIEREKLIVSCEQEILRVHCRAARTIANQAVPFSACTMLLDSEVYNMPLESQGDENKSVRDRFNARQFISWLQDVDDKYDRMKTCLLMRQQHEAAALNAVQRMEWQLKVQELDPAGHKSLCVNEVPSFYVPMVDVNDDFVLLPA
+>DECOY_sp|Q6UB99|ANR11_HUMAN Ankyrin repeat domain-containing protein 11 OS=Homo sapiens OX=9606 GN=ANKRD11 PE=1 SV=3
+APLLVFDDNVDVMPVYFSPVENVCLSKHGAPDLEQVKLQWEMRQVANLAAAEHQQRMLLCTKMRDYKDDVDQLWSIFQRANFRDRVSKNEDGQSELPMNYVESDLLMTCASFPVAQNAITRAARCHVRLIEQECSVILKEREISHQLRLKGRVAEQQRFLEKLPEALSPPPAIVPIHLKSLPKGDLLYSGTYTVYEAYCQPAQPTICCLIKRKEEIKKRIQLYEFYPNARDSHYPEIADLKIADVIAALTQQIVERTQQTSTNLQQQLQQTSRQFRRKRPHQAQADDDESGRAKARTVPAPTPACEKESPPPGQKSQNALMQARNRTMRQPIEEVRPAKPAEATPKPEAAEPQIGGPPGEAPAAARSAETDDEPGAGDAAQAQAVTNPAPGDPACLSAAPPGEAEAGQDGSGLPRQEPPVPAPEVSSDPDGRAREEPVTEAEVAAELAVDLKPEGSPEPELEAPLRTSAQDPPLAPPEEAVVTSVDGGNIVGPAGPPMEEPPALSEEVPEAEGDAADKTQLPLEPLSFPGLDLDDEPGAFADAWPVPEVQGLHSLGRSGDLFSNELPGLAEVQAVAAVCAPEPAVDLPAEPLSKCNSFFSELGSPPAYPAAESTSIAAPVADVGDKVDELGPEAVPLAYPAPSAPPAPYPAESASFPGPAASHLPDAPCFRKPSEPFRQPSKLLLDSGVPWSVPNESTGGILSSALAQESPHAWEVPEETIVASFPGEDLPELYSPEPPIIAATAQQDESTDLDPPLAGELSPVLLEARPSPSPKAQLSSFVGEPSPTVTVVAAPPCHLADVKPSPLGYDPSYYGPSLCAFKEAPVPPLPARDEMSPPMPSDYSSAAPVSQQRFLKDGVSFEEEPTRRIDVSVSRYLNTSLPRAPAQSANESLGSSAVSFRDFFSPSCASTPATPVPTSHQSDACDFVLDAYDDASCSPTRPTHMVEEYSPCSLVSTPTPVGTPRSQDPRPSAPLVEKMHPGALWDKSDAGSAPHLKNEAAPPIPTSEKLKKDKFPPDLGPPKKAPIDLGKKRGDDAPKAKEKLKPDGSRHRLKEMQKMREKHRKHREEIELDKQSLMREFSTMMLDGDGLLKERPRADKKGPDKSPAVKDNGNSMKVPDGKEKEAGDKFKEKLKADGLRPGEDRSKDKLVRPPSDKDRTAPKQEDRHHRLLEDRHHRLLGDAHRDRHREKEDRWKERHKERKKKEKLINIASSGYPKLEKEIKKSPERESDNKDKKETSFLEITKDLEDEIDAKMNYSVGYADAELFDKEYQGSDKRSGGEKYDEGKEKKEAKEKKARERDHSKKSSSSSHRHREKEKLKEPLCASERPKDDGPPETFSVELFATLGPEQGRDTFSDSSVESIKDNSDERYEHLAEEELKELLSKEADASRSSKREKSHEKDSKEKHKSKAKDEAAHKKEAKEPLKQKNKKDQTSDVSARDKRDKYKETSEKDKKEKHKEFVKEKKDRGADAAGRDKRRDRPEKDKQREPHQKDSSKRHRDSAYAERGEEKEQLGDTRPLDSAEGMKFGSGMCSDRDDESEDTFIDAIYWSKEKGKKDDKKESFDESIIGPFAKEKKEKGQDLSAKREKDKGHTDKHKEKTDKKEKFCKDFEKDKQCKELISKESKDKDSSKEKYREPKTKEKREKDPGDKKEKERAPHHREWPELGKGFDGDSKDKFGSECGCEKLEERHAEEPKARGDRREKCSELADKRDRGAEASERRKKDKESLYGPVSKHKETQEKRKESNKDLYDRDKKRFFPERYDRKERARSDRRREKSDEKVTELILKAVSDSKMDRYDTVPSDWSDEGKFDFSSDSLDSFWRDRQDDSLSFKTDENELFQNKNCYEDFASDERYVKEKKLKEKDEKFIKEKEKSIKDEKLDNKKELKSPRDKSKKKREEKYLRLKEEKPSKEKLSREKEAKNSRDKEERFSRSIDKNTDKIRKLSKEDKFLWEKEELKMKSLKEEKKFHDRDHKLVKLKNETSLDNELLIAKDSKQKSDEYEYTFSKLKLEQSISCQGKEKNKHKHKTKHKKVVKGEKDLKPVAGEASSLFPSKKESLSARKRHEQRKRVPKLSEVSSGESSYDSESTLRTRTSDSLSSVESWAPSSITKWNDTRWHKTHQDTHSPNQKQAASSGHSSTSSASLSSFLSPDKLVLPSGKLCGSSGLSDRDDEGSESSESESESSCFKDSRKGFRVERGKTEKKRKKKVKNKEKQQKANSPERTKSGPLITHASLRLKEGTGVTVSADEEDSTDSLIRHSAKKPAITNNKTYSKVEMKPISIFSNSKTEKRYDKKLLHKDDVPPVRDQEDDEDFEYEDKVPATAKQPEPNKAKHKLGKEFESDTNNGDVSSSPAFSPADEEESSETSSEESSTYTGKGLLLNVMTPSNAVKLPTEGKRNSQQPNGGYRLLLKVVKYHGNNAADHLPTDDDLGKTNVEAGAALLQKAVDYYGRNCAEHLATWGAFDKVNVDAGESILEKIRRADGRIAARHLRTEGRENRKNVKDKTKSASNPTGKQCVTSQSPHKPTTDVPSNASEEATMQMLLAVQQRESLPYGARIGSLGMGFLLGAKRTVPEKKIRKREPGQKETDSDKQEGNAGATFPLKRKSARERVEKGGDGRELKPTKTLSVKDKDKKGTQKEVMDSSLPLEEQQPAKPCGGKPM
+>sp|O75179|ANR17_HUMAN Ankyrin repeat domain-containing protein 17 OS=Homo sapiens OX=9606 GN=ANKRD17 PE=1 SV=3
+MEKATVPVAAATAAEGEGSPPAVAAVAGPPAAAEVGGGVGGSSRARSASSPRGMVRVCDLLLKKKPPQQQHHKAKRNRTCRPPSSSESSSDSDNSGGGGGGGGGGGGGGGTSSNNSEEEEDDDDEEEEVSEVESFILDQDDLENPMLETASKLLLSGTADGADLRTVDPETQARLEALLEAAGIGKLSTADGKAFADPEVLRRLTSSVSCALDEAAAALTRMRAESTANAGQSDNRSLAEACSEGDVNAVRKLLIEGRSVNEHTEEGESLLCLACSAGYYELAQVLLAMHANVEDRGIKGDITPLMAAANGGHVKIVKLLLAHKADVNAQSSTGNTALTYACAGGYVDVVKVLLESGASIEDHNENGHTPLMEAGSAGHVEVARLLLENGAGINTHSNEFKESALTLACYKGHLEMVRFLLEAGADQEHKTDEMHTALMEACMDGHVEVARLLLDSGAQVNMPADSFESPLTLAACGGHVELAALLIERGASLEEVNDEGYTPLMEAAREGHEEMVALLLGQGANINAQTEETQETALTLACCGGFLEVADFLIKAGADIELGCSTPLMEAAQEGHLELVKYLLAAGANVHATTATGDTALTYACENGHTDVADVLLQAGADLEHESEGGRTPLMKAARAGHVCTVQFLISKGANVNRTTANNDHTVLSLACAGGHLAVVELLLAHGADPTHRLKDGSTMLIEAAKGGHTSVVCYLLDYPNNLLSAPPPDVTQLTPPSHDLNRAPRVPVQALPMVVPPQEPDKPPANVATTLPIRNKAASKQKSSSHLPANSQDVQGYITNQSPESIVEEAQGKLTELEQRIKEAIEKNAQLQSLELAHADQLTKEKIEELNKTREEQIQKKQKILEELQKVERELQLKTQQQLKKQYLEVKAQRIQLQQQQQQSCQHLGLLTPVGVGEQLSEGDYARLQQVDPVLLKDEPQQTAAQMGFAPIQPLAMPQALPLAAGPLPPGSIANLTELQGVIVGQPVLGQAQLAGLGQGILTETQQGLMVASPAQTLNDTLDDIMAAVSGRASAMSNTPTHSIAASISQPQTPTPSPIISPSAMLPIYPAIDIDAQTESNHDTALTLACAGGHEELVQTLLERGASIEHRDKKGFTPLILAATAGHVGVVEILLDNGADIEAQSERTKDTPLSLACSGGRQEVVELLLARGANKEHRNVSDYTPLSLAASGGYVNIIKILLNAGAEINSRTGSKLGISPLMLAAMNGHTAAVKLLLDMGSDINAQIETNRNTALTLACFQGRTEVVSLLLDRKANVEHRAKTGLTPLMEAASGGYAEVGRVLLDKGADVNAPPVPSSRDTALTIAADKGHYKFCELLIGRGAHIDVRNKKGNTPLWLAANGGHLDVVQLLVQAGADVDAADNRKITPLMAAFRKGHVKVVRYLVKEVNQFPSDSECMRYIATITDKEMLKKCHLCMESIVQAKDRQAAEANKNASILLEELDLEKLREESRRLALAAKREKRKEKRRKKKEEQRRKLEEIEAKNKENFELQAAQEKEKLKVEDEPEVLTEPPSATTTTTIGISATWTTLAGSHGKRNNTITTTSSKRKNRKNKITPENVQIIFDDPLPISYSQPEKVNGESKSSSTSESGDSDNMRISSCSDESSNSNSSRKSDNHSPAVVTTTVSSKKQPSVLVTFPKEERKSVSGKASIKLSETISEGTSNSLSTCTKSGPSPLSSPNGKLTVASPKRGQKREEGWKEVVRRSKKVSVPSTVISRVIGRGGCNINAIREFTGAHIDIDKQKDKTGDRIITIRGGTESTRQATQLINALIKDPDKEIDELIPKNRLKSSSANSKIGSSAPTTTAANTSLMGIKMTTVALSSTSQTATALTVPAISSASTHKTIKNPVNNVRPGFPVSLPLAYPPPQFAHALLAAQTFQQIRPPRLPMTHFGGTFPPAQSTWGPFPVRPLSPARATNSPKPHMVPRHSNQNSSGSQVNSAGSLTSSPTTTTSSSASTVPGTSTNGSPSSPSVRRQLFVTVVKTSNATTTTVTTTASNNNTAPTNATYPMPTAKEHYPVSSPSSPSPPAQPGGVSRNSPLDCGSASPNKVASSSEQEAGSPPVVETTNTRPPNSSSSSGSSSAHSNQQQPPGSVSQEPRPPLQQSQVPPPEVRMTVPPLATSSAPVAVPSTAPVTYPMPQTPMGCPQPTPKMETPAIRPPPHGTTAPHKNSASVQNSSVAVLSVNHIKRPHSVPSSVQLPSTLSTQSACQNSVHPANKPIAPNFSAPLPFGPFSTLFENSPTSAHAFWGGSVVSSQSTPESMLSGKSSYLPNSDPLHQSDTSKAPGFRPPLQRPAPSPSGIVNMDSPYGSVTPSSTHLGNFASNISGGQMYGPGAPLGGAPAAANFNRQHFSPLSLLTPCSSASNDSSAQSVSSGVRAPSPAPSSVPLGSEKPSNVSQDRKVPVPIGTERSARIRQTGTSAPSVIGSNLSTSVGHSGIWSFEGIGGNQDKVDWCNPGMGNPMIHRPMSDPGVFSQHQAMERDSTGIVTPSGTFHQHVPAGYMDFPKVGGMPFSVYGNAMIPPVAPIPDGAGGPIFNGPHAADPSWNSLIKMVSSSTENNGPQTVWTGPWAPHMNSVHMNQLG
+>DECOY_sp|O75179|ANR17_HUMAN Ankyrin repeat domain-containing protein 17 OS=Homo sapiens OX=9606 GN=ANKRD17 PE=1 SV=3
+GLQNMHVSNMHPAWPGTWVTQPGNNETSSSVMKILSNWSPDAAHPGNFIPGGAGDPIPAVPPIMANGYVSFPMGGVKPFDMYGAPVHQHFTGSPTVIGTSDREMAQHQSFVGPDSMPRHIMPNGMGPNCWDVKDQNGGIGEFSWIGSHGVSTSLNSGIVSPASTGTQRIRASRETGIPVPVKRDQSVNSPKESGLPVSSPAPSPARVGSSVSQASSDNSASSCPTLLSLPSFHQRNFNAAAPAGGLPAGPGYMQGGSINSAFNGLHTSSPTVSGYPSDMNVIGSPSPAPRQLPPRFGPAKSTDSQHLPDSNPLYSSKGSLMSEPTSQSSVVSGGWFAHASTPSNEFLTSFPGFPLPASFNPAIPKNAPHVSNQCASQTSLTSPLQVSSPVSHPRKIHNVSLVAVSSNQVSASNKHPATTGHPPPRIAPTEMKPTPQPCGMPTQPMPYTVPATSPVAVPASSTALPPVTMRVEPPPVQSQQLPPRPEQSVSGPPQQQNSHASSSGSSSSSNPPRTNTTEVVPPSGAEQESSSAVKNPSASGCDLPSNRSVGGPQAPPSPSSPSSVPYHEKATPMPYTANTPATNNNSATTTVTTTTANSTKVVTVFLQRRVSPSSPSGNTSTGPVTSASSSTTTTPSSTLSGASNVQSGSSNQNSHRPVMHPKPSNTARAPSLPRVPFPGWTSQAPPFTGGFHTMPLRPPRIQQFTQAALLAHAFQPPPYALPLSVPFGPRVNNVPNKITKHTSASSIAPVTLATATQSTSSLAVTTMKIGMLSTNAATTTPASSGIKSNASSSKLRNKPILEDIEKDPDKILANILQTAQRTSETGGRITIIRDGTKDKQKDIDIHAGTFERIANINCGGRGIVRSIVTSPVSVKKSRRVVEKWGEERKQGRKPSAVTLKGNPSSLPSPGSKTCTSLSNSTGESITESLKISAKGSVSKREEKPFTVLVSPQKKSSVTTTVVAPSHNDSKRSSNSNSSEDSCSSIRMNDSDGSESTSSSKSEGNVKEPQSYSIPLPDDFIIQVNEPTIKNKRNKRKSSTTTITNNRKGHSGALTTWTASIGITTTTTASPPETLVEPEDEVKLKEKEQAAQLEFNEKNKAEIEELKRRQEEKKKRRKEKRKERKAALALRRSEERLKELDLEELLISANKNAEAAQRDKAQVISEMCLHCKKLMEKDTITAIYRMCESDSPFQNVEKVLYRVVKVHGKRFAAMLPTIKRNDAADVDAGAQVLLQVVDLHGGNAALWLPTNGKKNRVDIHAGRGILLECFKYHGKDAAITLATDRSSPVPPANVDAGKDLLVRGVEAYGGSAAEMLPTLGTKARHEVNAKRDLLLSVVETRGQFCALTLATNRNTEIQANIDSGMDLLLKVAATHGNMAALMLPSIGLKSGTRSNIEAGANLLIKIINVYGGSAALSLPTYDSVNRHEKNAGRALLLEVVEQRGGSCALSLPTDKTRESQAEIDAGNDLLIEVVGVHGATAALILPTFGKKDRHEISAGRELLTQVLEEHGGACALTLATDHNSETQADIDIAPYIPLMASPSIIPSPTPTQPQSISAAISHTPTNSMASARGSVAAMIDDLTDNLTQAPSAVMLGQQTETLIGQGLGALQAQGLVPQGVIVGQLETLNAISGPPLPGAALPLAQPMALPQIPAFGMQAATQQPEDKLLVPDVQQLRAYDGESLQEGVGVPTLLGLHQCSQQQQQQLQIRQAKVELYQKKLQQQTKLQLEREVKQLEELIKQKKQIQEERTKNLEEIKEKTLQDAHALELSQLQANKEIAEKIRQELETLKGQAEEVISEPSQNTIYGQVDQSNAPLHSSSKQKSAAKNRIPLTTAVNAPPKDPEQPPVVMPLAQVPVRPARNLDHSPPTLQTVDPPPASLLNNPYDLLYCVVSTHGGKAAEILMTSGDKLRHTPDAGHALLLEVVALHGGACALSLVTHDNNATTRNVNAGKSILFQVTCVHGARAAKMLPTRGGESEHELDAGAQLLVDAVDTHGNECAYTLATDGTATTAHVNAGAALLYKVLELHGEQAAEMLPTSCGLEIDAGAKILFDAVELFGGCCALTLATEQTEETQANINAGQGLLLAVMEEHGERAAEMLPTYGEDNVEELSAGREILLAALEVHGGCAALTLPSEFSDAPMNVQAGSDLLLRAVEVHGDMCAEMLATHMEDTKHEQDAGAELLFRVMELHGKYCALTLASEKFENSHTNIGAGNELLLRAVEVHGASGAEMLPTHGNENHDEISAGSELLVKVVDVYGGACAYTLATNGTSSQANVDAKHALLLKVIKVHGGNAAAMLPTIDGKIGRDEVNAHMALLVQALEYYGASCALCLLSEGEETHENVSRGEILLKRVANVDGESCAEALSRNDSQGANATSEARMRTLAAAAEDLACSVSSTLRRLVEPDAFAKGDATSLKGIGAAELLAELRAQTEPDVTRLDAGDATGSLLLKSATELMPNELDDQDLIFSEVESVEEEEDDDDEEEESNNSSTGGGGGGGGGGGGGGGSNDSDSSSESSSPPRCTRNRKAKHHQQQPPKKKLLLDCVRVMGRPSSASRARSSGGVGGGVEAAAPPGAVAAVAPPSGEGEAATAAAVPVTAKEM
+>sp|O15084|ANR28_HUMAN Serine/threonine-protein phosphatase 6 regulatory ankyrin repeat subunit A OS=Homo sapiens OX=9606 GN=ANKRD28 PE=1 SV=5
+MAFLKLRDQPSLVQAIFNGDPDEVRALIFKKEDVNFQDNEKRTPLHAAAYLGDAEIIELLILSGARVNAKDSKWLTPLHRAVASCSEEAVQVLLKHSADVNARDKNWQTPLHIAAANKAVKCAEALVPLLSNVNVSDRAGRTALHHAAFSGHGEMVKLLLSRGANINAFDKKDRRAIHWAAYMGHIEVVKLLVSHGAEVTCKDKKSYTPLHAAASSGMISVVKYLLDLGVDMNEPNAYGNTPLHVACYNGQDVVVNELIDCGAIVNQKNEKGFTPLHFAAASTHGALCLELLVGNGADVNMKSKDGKTPLHMTALHGRFSRSQTIIQSGAVIDCEDKNGNTPLHIAARYGHELLINTLITSGADTAKRGIHGMFPLHLAALSGFSDCCRKLLSSGFDIDTPDDFGRTCLHAAAAGGNLECLNLLLNTGADFNKKDKFGRSPLHYAAANCNYQCLFALVGSGASVNDLDERGCTPLHYAATSDTDGKCLEYLLRNDANPGIRDKQGYNAVHYSAAYGHRLCLQLIASETPLDVLMETSGTDMLSDSDNRATISPLHLAAYHGHHQALEVLVQSLLDLDVRNSSGRTPLDLAAFKGHVECVDVLINQGASILVKDYILKRTPIHAAATNGHSECLRLLIGNAEPQNAVDIQDGNGQTPLMLSVLNGHTDCVYSLLNKGANVDAKDKWGRTALHRGAVTGHEECVDALLQHGAKCLLRDSRGRTPIHLSAACGHIGVLGALLQSAASMDANPATADNHGYTALHWACYNGHETCVELLLEQEVFQKTEGNAFSPLHCAVINDNEGAAEMLIDTLGASIVNATDSKGRTPLHAAAFTDHVECLQLLLSHNAQVNSVDSTGKTPLMMAAENGQTNTVEMLVSSASAELTLQDNSKNTALHLACSKGHETSALLILEKITDRNLINATNAALQTPLHVAARNGLTMVVQELLGKGASVLAVDENGYTPALACAPNKDVADCLALILATMMPVSSSSPLSSLTFNAINRYTNTSKTVSFEALPIMRNEPSSYCSFNNIGGEQEYLYTDVDELNDSDSETY
+>DECOY_sp|O15084|ANR28_HUMAN Serine/threonine-protein phosphatase 6 regulatory ankyrin repeat subunit A OS=Homo sapiens OX=9606 GN=ANKRD28 PE=1 SV=5
+YTESDSDNLEDVDTYLYEQEGGINNFSCYSSPENRMIPLAEFSVTKSTNTYRNIANFTLSSLPSSSSVPMMTALILALCDAVDKNPACALAPTYGNEDVALVSAGKGLLEQVVMTLGNRAAVHLPTQLAANTANILNRDTIKELILLASTEHGKSCALHLATNKSNDQLTLEASASSVLMEVTNTQGNEAAMMLPTKGTSDVSNVQANHSLLLQLCEVHDTFAAAHLPTRGKSDTANVISAGLTDILMEAAGENDNIVACHLPSFANGETKQFVEQELLLEVCTEHGNYCAWHLATYGHNDATAPNADMSAASQLLAGLVGIHGCAASLHIPTRGRSDRLLCKAGHQLLADVCEEHGTVAGRHLATRGWKDKADVNAGKNLLSYVCDTHGNLVSLMLPTQGNGDQIDVANQPEANGILLRLCESHGNTAAAHIPTRKLIYDKVLISAGQNILVDVCEVHGKFAALDLPTRGSSNRVDLDLLSQVLVELAQHHGHYAALHLPSITARNDSDSLMDTGSTEMLVDLPTESAILQLCLRHGYAASYHVANYGQKDRIGPNADNRLLYELCKGDTDSTAAYHLPTCGREDLDNVSAGSGVLAFLCQYNCNAAAYHLPSRGFKDKKNFDAGTNLLLNLCELNGGAAAAHLCTRGFDDPTDIDFGSSLLKRCCDSFGSLAALHLPFMGHIGRKATDAGSTILTNILLEHGYRAAIHLPTNGNKDECDIVAGSQIITQSRSFRGHLATMHLPTKGDKSKMNVDAGNGVLLELCLAGHTSAAAFHLPTFGKENKQNVIAGCDILENVVVDQGNYCAVHLPTNGYANPENMDVGLDLLYKVVSIMGSSAAAHLPTYSKKDKCTVEAGHSVLLKVVEIHGMYAAWHIARRDKKDFANINAGRSLLLKVMEGHGSFAAHHLATRGARDSVNVNSLLPVLAEACKVAKNAAAIHLPTQWNKDRANVDASHKLLVQVAEESCSAVARHLPTLWKSDKANVRAGSLILLEIIEADGLYAAAHLPTRKENDQFNVDEKKFILARVEDPDGNFIAQVLSPQDRLKLFAM
+>sp|P58335|ANTR2_HUMAN Anthrax toxin receptor 2 OS=Homo sapiens OX=9606 GN=ANTXR2 PE=1 SV=5
+MVAERSPARSPGSWLFPGLWLLVLSGPGGLLRAQEQPSCRRAFDLYFVLDKSGSVANNWIEIYNFVQQLAERFVSPEMRLSFIVFSSQATIILPLTGDRGKISKGLEDLKRVSPVGETYIHEGLKLANEQIQKAGGLKTSSIIIALTDGKLDGLVPSYAEKEAKISRSLGASVYCVGVLDFEQAQLERIADSKEQVFPVKGGFQALKGIINSILAQSCTEILELQPSSVCVGEEFQIVLSGRGFMLGSRNGSVLCTYTVNETYTTSVKPVSVQLNSMLCPAPILNKAGETLDVSVSFNGGKSVISGSLIVTATECSNGIAAIIVILVLLLLLGIGLMWWFWPLCCKVVIKDPPPPPAPAPKEEEEEPLPTKKWPTVDASYYGGRGVGGIKRMEVRWGDKGSTEEGARLEKAKNAVVKIPEETEEPIRPRPPRPKPTHQPPQTKWYTPIKGRLDALWALLRRQYDRVSLMRPQEGDEVCIWECIEKELTA
+>DECOY_sp|P58335|ANTR2_HUMAN Anthrax toxin receptor 2 OS=Homo sapiens OX=9606 GN=ANTXR2 PE=1 SV=5
+ATLEKEICEWICVEDGEQPRMLSVRDYQRRLLAWLADLRGKIPTYWKTQPPQHTPKPRPPRPRIPEETEEPIKVVANKAKELRAGEETSGKDGWRVEMRKIGGVGRGGYYSADVTPWKKTPLPEEEEEKPAPAPPPPPDKIVVKCCLPWFWWMLGIGLLLLLVLIVIIAAIGNSCETATVILSGSIVSKGGNFSVSVDLTEGAKNLIPAPCLMSNLQVSVPKVSTTYTENVTYTCLVSGNRSGLMFGRGSLVIQFEEGVCVSSPQLELIETCSQALISNIIGKLAQFGGKVPFVQEKSDAIRELQAQEFDLVGVCYVSAGLSRSIKAEKEAYSPVLGDLKGDTLAIIISSTKLGGAKQIQENALKLGEHIYTEGVPSVRKLDELGKSIKGRDGTLPLIITAQSSFVIFSLRMEPSVFREALQQVFNYIEIWNNAVSGSKDLVFYLDFARRCSPQEQARLLGGPGSLVLLWLGPFLWSGPSRAPSREAVM
+>sp|A6NF34|ANTRL_HUMAN Anthrax toxin receptor-like OS=Homo sapiens OX=9606 GN=ANTXRL PE=3 SV=3
+MGSHESLGPYFLVFLLLLLLPPPLFRAGSLRYHGPDWRIFHRLALGSRRAHHHHGPGWRQHWRQGQAGHRCQGSFDLYFILDKSGSVNNNWIDLYMWVEETVARFQSPNIRMCFITYSTDGQTVLPLTSDKNRIKNGLDQLQKIVPDGHTFMQAGFRKAIQQIESFNSGNKVPSMIIAMTDGELVAHAFQDTLREAQKARKLGANVYTLGVADYNLDQITAIADSPGHVFAVENGFKALRSTIDALTSKVCLDVTSVEPSSECVGEPYHVVIHGNGFQNLKKRDEVICRFIFNESTIIDEKPTSIDNNSMNCPGPKLEKPGEEYSIEVSLNKGKTFFKSNVSITSTTCGIFRNWLYFVPLLLLVPLLLCCVWRLCRKQTVKEPPPVQKPEKEPEQEKPPSPPPPPPPPPPPLPPPPPAPVNTCPTVIICCCGCQGVGGMRRIEGNLDTFCDLSHASCHQVPWMCCQSRDQGRYLSLALAQSQYAQAPCCPRICFPHSQECLSLPQAPCSPRMCLRHSRECLALKQARCSPNICLRHSQHSRECLARKQAPCSPRICLRHSPEYFSQAQTLCNPKSCLQPSRECLPLTCSSRCRLPPARCLRPPSRMLPLLSPLLRHTAEPPLSLPPSEPNF
+>DECOY_sp|A6NF34|ANTRL_HUMAN Anthrax toxin receptor-like OS=Homo sapiens OX=9606 GN=ANTXRL PE=3 SV=3
+FNPESPPLSLPPEATHRLLPSLLPLMRSPPRLCRAPPLRCRSSCTLPLCERSPQLCSKPNCLTQAQSFYEPSHRLCIRPSCPAQKRALCERSHQSHRLCINPSCRAQKLALCERSHRLCMRPSCPAQPLSLCEQSHPFCIRPCCPAQAYQSQALALSLYRGQDRSQCCMWPVQHCSAHSLDCFTDLNGEIRRMGGVGQCGCCCIIVTPCTNVPAPPPPPLPPPPPPPPPPPSPPKEQEPEKEPKQVPPPEKVTQKRCLRWVCCLLLPVLLLLPVFYLWNRFIGCTTSTISVNSKFFTKGKNLSVEISYEEGPKELKPGPCNMSNNDISTPKEDIITSENFIFRCIVEDRKKLNQFGNGHIVVHYPEGVCESSPEVSTVDLCVKSTLADITSRLAKFGNEVAFVHGPSDAIATIQDLNYDAVGLTYVNAGLKRAKQAERLTDQFAHAVLEGDTMAIIMSPVKNGSNFSEIQQIAKRFGAQMFTHGDPVIKQLQDLGNKIRNKDSTLPLVTQGDTSYTIFCMRINPSQFRAVTEEVWMYLDIWNNNVSGSKDLIFYLDFSGQCRHGAQGQRWHQRWGPGHHHHARRSGLALRHFIRWDPGHYRLSGARFLPPPLLLLLLFVLFYPGLSEHSGM
+>sp|P08133|ANXA6_HUMAN Annexin A6 OS=Homo sapiens OX=9606 GN=ANXA6 PE=1 SV=3
+MAKPAQGAKYRGSIHDFPGFDPNQDAEALYTAMKGFGSDKEAILDIITSRSNRQRQEVCQSYKSLYGKDLIADLKYELTGKFERLIVGLMRPPAYCDAKEIKDAISGIGTDEKCLIEILASRTNEQMHQLVAAYKDAYERDLEADIIGDTSGHFQKMLVVLLQGTREEDDVVSEDLVQQDVQDLYEAGELKWGTDEAQFIYILGNRSKQHLRLVFDEYLKTTGKPIEASIRGELSGDFEKLMLAVVKCIRSTPEYFAERLFKAMKGLGTRDNTLIRIMVSRSELDMLDIREIFRTKYEKSLYSMIKNDTSGEYKKTLLKLSGGDDDAAGQFFPEAAQVAYQMWELSAVARVELKGTVRPANDFNPDADAKALRKAMKGLGTDEDTIIDIITHRSNVQRQQIRQTFKSHFGRDLMTDLKSEISGDLARLILGLMMPPAHYDAKQLKKAMEGAGTDEKALIEILATRTNAEIRAINEAYKEDYHKSLEDALSSDTSGHFRRILISLATGHREEGGENLDQAREDAQVAAEILEIADTPSGDKTSLETRFMTILCTRSYPHLRRVFQEFIKMTNYDVEHTIKKEMSGDVRDAFVAIVQSVKNKPLFFADKLYKSMKGAGTDEKTLTRIMVSRSEIDLLNIRREFIEKYDKSLHQAIEGDTSGDFLKALLALCGGED
+>DECOY_sp|P08133|ANXA6_HUMAN Annexin A6 OS=Homo sapiens OX=9606 GN=ANXA6 PE=1 SV=3
+DEGGCLALLAKLFDGSTDGEIAQHLSKDYKEIFERRINLLDIESRSVMIRTLTKEDTGAGKMSKYLKDAFFLPKNKVSQVIAVFADRVDGSMEKKITHEVDYNTMKIFEQFVRRLHPYSRTCLITMFRTELSTKDGSPTDAIELIEAAVQADERAQDLNEGGEERHGTALSILIRRFHGSTDSSLADELSKHYDEKYAENIARIEANTRTALIEILAKEDTGAGEMAKKLQKADYHAPPMMLGLILRALDGSIESKLDTMLDRGFHSKFTQRIQQRQVNSRHTIIDIITDEDTGLGKMAKRLAKADADPNFDNAPRVTGKLEVRAVASLEWMQYAVQAAEPFFQGAADDDGGSLKLLTKKYEGSTDNKIMSYLSKEYKTRFIERIDLMDLESRSVMIRILTNDRTGLGKMAKFLREAFYEPTSRICKVVALMLKEFDGSLEGRISAEIPKGTTKLYEDFVLRLHQKSRNGLIYIFQAEDTGWKLEGAEYLDQVDQQVLDESVVDDEERTGQLLVVLMKQFHGSTDGIIDAELDREYADKYAAVLQHMQENTRSALIEILCKEDTGIGSIADKIEKADCYAPPRMLGVILREFKGTLEYKLDAILDKGYLSKYSQCVEQRQRNSRSTIIDLIAEKDSGFGKMATYLAEADQNPDFGPFDHISGRYKAGQAPKAM
+>sp|O76027|ANXA9_HUMAN Annexin A9 OS=Homo sapiens OX=9606 GN=ANXA9 PE=1 SV=3
+MSVTGGKMAPSLTQEILSHLGLASKTAAWGTLGTLRTFLNFSVDKDAQRLLRAITGQGVDRSAIVDVLTNRSREQRQLISRNFQERTQQDLMKSLQAALSGNLERIVMALLQPTAQFDAQELRTALKASDSAVDVAIEILATRTPPQLQECLAVYKHNFQVEAVDDITSETSGILQDLLLALAKGGRDSYSGIIDYNLAEQDVQALQRAEGPSREETWVPVFTQRNPEHLIRVFDQYQRSTGQELEEAVQNRFHGDAQVALLGLASVIKNTPLYFADKLHQALQETEPNYQVLIRILISRCETDLLSIRAEFRKKFGKSLYSSLQDAVKGDCQSALLALCRAEDM
+>DECOY_sp|O76027|ANXA9_HUMAN Annexin A9 OS=Homo sapiens OX=9606 GN=ANXA9 PE=1 SV=3
+MDEARCLALLASQCDGKVADQLSSYLSKGFKKRFEARISLLDTECRSILIRILVQYNPETEQLAQHLKDAFYLPTNKIVSALGLLAVQADGHFRNQVAEELEQGTSRQYQDFVRILHEPNRQTFVPVWTEERSPGEARQLAQVDQEALNYDIIGSYSDRGGKALALLLDQLIGSTESTIDDVAEVQFNHKYVALCEQLQPPTRTALIEIAVDVASDSAKLATRLEQADFQATPQLLAMVIRELNGSLAAQLSKMLDQQTREQFNRSILQRQERSRNTLVDVIASRDVGQGTIARLLRQADKDVSFNLFTRLTGLTGWAATKSALGLHSLIEQTLSPAMKGGTVSM
+>sp|Q6VUC0|AP2E_HUMAN Transcription factor AP-2-epsilon OS=Homo sapiens OX=9606 GN=TFAP2E PE=2 SV=1
+MLVHTYSAMERPDGLGAAAGGARLSSLPQAAYGPAPPLCHTPAATAAAEFQPPYFPPPYPQPPLPYGQAPDAAAAFPHLAGDPYGGLAPLAQPQPPQAAWAAPRAAARAHEEPPGLLAPPARALGLDPRRDYATAVPRLLHGLADGAHGLADAPLGLPGLAAAPGLEDLQAMDEPGMSLLDQSVIKKVPIPSKASSLSALSLAKDSLVGGITNPGEVFCSVPGRLSLLSSTSKYKVTVGEVQRRLSPPECLNASLLGGVLRRAKSKNGGRCLRERLEKIGLNLPAGRRKAANVTLLTSLVEGEAVHLARDFGYVCETEFPAKAAAEYLCRQHADPGELHSRKSMLLAAKQICKEFADLMAQDRSPLGNSRPALILEPGVQSCLTHFSLITHGFGGPAICAALTAFQNYLLESLKGLDKMFLSSVGSGHGETKASEKDAKHRK
+>DECOY_sp|Q6VUC0|AP2E_HUMAN Transcription factor AP-2-epsilon OS=Homo sapiens OX=9606 GN=TFAP2E PE=2 SV=1
+KRHKADKESAKTEGHGSGVSSLFMKDLGKLSELLYNQFATLAACIAPGGFGHTILSFHTLCSQVGPELILAPRSNGLPSRDQAMLDAFEKCIQKAALLMSKRSHLEGPDAHQRCLYEAAAKAPFETECVYGFDRALHVAEGEVLSTLLTVNAAKRRGAPLNLGIKELRERLCRGGNKSKARRLVGGLLSANLCEPPSLRRQVEGVTVKYKSTSSLLSLRGPVSCFVEGPNTIGGVLSDKALSLASLSSAKSPIPVKKIVSQDLLSMGPEDMAQLDELGPAAALGPLGLPADALGHAGDALGHLLRPVATAYDRRPDLGLARAPPALLGPPEEHARAAARPAAWAAQPPQPQALPALGGYPDGALHPFAAAADPAQGYPLPPQPYPPPFYPPQFEAAATAAPTHCLPPAPGYAAQPLSSLRAGGAAAGLGDPREMASYTHVLM
+>sp|O00203|AP3B1_HUMAN AP-3 complex subunit beta-1 OS=Homo sapiens OX=9606 GN=AP3B1 PE=1 SV=3
+MSSNSFPYNEQSGGGEATELGQEATSTISPSGAFGLFSSDLKKNEDLKQMLESNKDSAKLDAMKRIVGMIAKGKNASELFPAVVKNVASKNIEIKKLVYVYLVRYAEEQQDLALLSISTFQRALKDPNQLIRASALRVLSSIRVPIIVPIMMLAIKEASADLSPYVRKNAAHAIQKLYSLDPEQKEMLIEVIEKLLKDKSTLVAGSVVMAFEEVCPDRIDLIHKNYRKLCNLLVDVEEWGQVVIIHMLTRYARTQFVSPWKEGDELEDNGKNFYESDDDQKEKTDKKKKPYTMDPDHRLLIRNTKPLLQSRNAAVVMAVAQLYWHISPKSEAGIISKSLVRLLRSNREVQYIVLQNIATMSIQRKGMFEPYLKSFYVRSTDPTMIKTLKLEILTNLANEANISTLLREFQTYVKSQDKQFAAATIQTIGRCATNILEVTDTCLNGLVCLLSNRDEIVVAESVVVIKKLLQMQPAQHGEIIKHMAKLLDSITVPVARASILWLIGENCERVPKIAPDVLRKMAKSFTSEDDLVKLQILNLGAKLYLTNSKQTKLLTQYILNLGKYDQNYDIRDRTRFIRQLIVPNVKSGALSKYAKKIFLAQKPAPLLESPFKDRDHFQLGTLSHTLNIKATGYLELSNWPEVAPDPSVRNVEVIELAKEWTPAGKAKQENSAKKFYSESEEEEDSSDSSSDSESESGSESGEQGESGEEGDSNEDSSEDSSSEQDSESGRESGLENKRTAKRNSKAKGKSDSEDGEKENEKSKTSDSSNDESSSIEDSSSDSESESEPESESESRRVTKEKEKKTKQDRTPLTKDVSLLDLDDFNPVSTPVALPTPALSPSLMADLEGLHLSTSSSVISVSTPAFVPTKTHVLLHRMSGKGLAAHYFFPRQPCIFGDKMVSIQITLNNTTDRKIENIHIGEKKLPIGMKMHVFNPIDSLEPEGSITVSMGIDFCDSTQTASFQLCTKDDCFNVNIQPPVGELLLPVAMSEKDFKKEQGVLTGMNETSAVIIAAPQNFTPSVIFQKVVNVANVGAVPSGQDNIHRFAAKTVHSGSLMLVTVELKEGSTAQLIINTEKTVIGSVLLRELKPVLSQG
+>DECOY_sp|O00203|AP3B1_HUMAN AP-3 complex subunit beta-1 OS=Homo sapiens OX=9606 GN=AP3B1 PE=1 SV=3
+GQSLVPKLERLLVSGIVTKETNIILQATSGEKLEVTVLMLSGSHVTKAAFRHINDQGSPVAGVNAVNVVKQFIVSPTFNQPAAIIVASTENMGTLVGQEKKFDKESMAVPLLLEGVPPQINVNFCDDKTCLQFSATQTSDCFDIGMSVTISGEPELSDIPNFVHMKMGIPLKKEGIHINEIKRDTTNNLTIQISVMKDGFICPQRPFFYHAALGKGSMRHLLVHTKTPVFAPTSVSIVSSSTSLHLGELDAMLSPSLAPTPLAVPTSVPNFDDLDLLSVDKTLPTRDQKTKKEKEKTVRRSESESEPESESESDSSSDEISSSEDNSSDSTKSKENEKEGDESDSKGKAKSNRKATRKNELGSERGSESDQESSSDESSDENSDGEEGSEGQEGSESGSESESDSSSDSSDEEEESESYFKKASNEQKAKGAPTWEKALEIVEVNRVSPDPAVEPWNSLELYGTAKINLTHSLTGLQFHDRDKFPSELLPAPKQALFIKKAYKSLAGSKVNPVILQRIFRTRDRIDYNQDYKGLNLIYQTLLKTQKSNTLYLKAGLNLIQLKVLDDESTFSKAMKRLVDPAIKPVRECNEGILWLISARAVPVTISDLLKAMHKIIEGHQAPQMQLLKKIVVVSEAVVIEDRNSLLCVLGNLCTDTVELINTACRGITQITAAAFQKDQSKVYTQFERLLTSINAENALNTLIELKLTKIMTPDTSRVYFSKLYPEFMGKRQISMTAINQLVIYQVERNSRLLRVLSKSIIGAESKPSIHWYLQAVAMVVAANRSQLLPKTNRILLRHDPDMTYPKKKKDTKEKQDDDSEYFNKGNDELEDGEKWPSVFQTRAYRTLMHIIVVQGWEEVDVLLNCLKRYNKHILDIRDPCVEEFAMVVSGAVLTSKDKLLKEIVEILMEKQEPDLSYLKQIAHAANKRVYPSLDASAEKIALMMIPVIIPVRISSLVRLASARILQNPDKLARQFTSISLLALDQQEEAYRVLYVYVLKKIEINKSAVNKVVAPFLESANKGKAIMGVIRKMADLKASDKNSELMQKLDENKKLDSSFLGFAGSPSITSTAEQGLETAEGGGSQENYPFSNSSM
+>sp|Q03154|ACY1_HUMAN Aminoacylase-1 OS=Homo sapiens OX=9606 GN=ACY1 PE=1 SV=1
+MTSKGPEEEHPSVTLFRQYLRIRTVQPKPDYGAAVAFFEETARQLGLGCQKVEVAPGYVVTVLTWPGTNPTLSSILLNSHTDVVPVFKEHWSHDPFEAFKDSEGYIYARGAQDMKCVSIQYLEAVRRLKVEGHRFPRTIHMTFVPDEEVGGHQGMELFVQRPEFHALRAGFALDEGIANPTDAFTVFYSERSPWWVRVTSTGRPGHASRFMEDTAAEKLHKVVNSILAFREKEWQRLQSNPHLKEGSVTSVNLTKLEGGVAYNVIPATMSASFDFRVAPDVDFKAFEEQLQSWCQAAGEGVTLEFAQKWMHPQVTPTDDSNPWWAAFSRVCKDMNLTLEPEIMPAATDNRYIRAVGVPALGFSPMNRTPVLLHDHDERLHEAVFLRGVDIYTRLLPALASVPALPSDS
+>DECOY_sp|Q03154|ACY1_HUMAN Aminoacylase-1 OS=Homo sapiens OX=9606 GN=ACY1 PE=1 SV=1
+SDSPLAPVSALAPLLRTYIDVGRLFVAEHLREDHDHLLVPTRNMPSFGLAPVGVARIYRNDTAAPMIEPELTLNMDKCVRSFAAWWPNSDDTPTVQPHMWKQAFELTVGEGAAQCWSQLQEEFAKFDVDPAVRFDFSASMTAPIVNYAVGGELKTLNVSTVSGEKLHPNSQLRQWEKERFALISNVVKHLKEAATDEMFRSAHGPRGTSTVRVWWPSRESYFVTFADTPNAIGEDLAFGARLAHFEPRQVFLEMGQHGGVEEDPVFTMHITRPFRHGEVKLRRVAELYQISVCKMDQAGRAYIYGESDKFAEFPDHSWHEKFVPVVDTHSNLLISSLTPNTGPWTLVTVVYGPAVEVKQCGLGLQRATEEFFAVAAGYDPKPQVTRIRLYQRFLTVSPHEEEPGKSTM
+>sp|Q96HD9|ACY3_HUMAN N-acyl-aromatic-L-amino acid amidohydrolase (carboxylate-forming) OS=Homo sapiens OX=9606 GN=ACY3 PE=1 SV=1
+MCSLPVPREPLRRVAVTGGTHGNEMSGVYLARHWLHAPAELQRASFSAVPVLANPAATSGCRRYVDHDLNRTFTSSFLNSRPTPDDPYEVTRARELNQLLGPKASGQAFDFVLDLHNTTANMGTCLIAKSSHEVFAMHLCRHLQLQYPELSCQVFLYQRSGEESYNLDSVAKNGLGLELGPQPQGVLRADIFSRMRTLVATVLDFIELFNQGTAFPAFEMEAYRPVGVVDFPRTEAGHLAGTVHPQLQDRDFQPLQPGAPIFQMFSGEDLLYEGESTVYPVFINEAAYYEKGVAFVQTEKFTFTVPAMPALTPAPSPAS
+>DECOY_sp|Q96HD9|ACY3_HUMAN N-acyl-aromatic-L-amino acid amidohydrolase (carboxylate-forming) OS=Homo sapiens OX=9606 GN=ACY3 PE=1 SV=1
+SAPSPAPTLAPMAPVTFTFKETQVFAVGKEYYAAENIFVPYVTSEGEYLLDEGSFMQFIPAGPQLPQFDRDQLQPHVTGALHGAETRPFDVVGVPRYAEMEFAPFATGQNFLEIFDLVTAVLTRMRSFIDARLVGQPQPGLELGLGNKAVSDLNYSEEGSRQYLFVQCSLEPYQLQLHRCLHMAFVEHSSKAILCTGMNATTNHLDLVFDFAQGSAKPGLLQNLERARTVEYPDDPTPRSNLFSSTFTRNLDHDVYRRCGSTAAPNALVPVASFSARQLEAPAHLWHRALYVGSMENGHTGGTVAVRRLPERPVPLSCM
+>sp|P08913|ADA2A_HUMAN Alpha-2A adrenergic receptor OS=Homo sapiens OX=9606 GN=ADRA2A PE=1 SV=3
+MGSLQPDAGNASWNGTEAPGGGARATPYSLQVTLTLVCLAGLLMLLTVFGNVLVIIAVFTSRALKAPQNLFLVSLASADILVATLVIPFSLANEVMGYWYFGKAWCEIYLALDVLFCTSSIVHLCAISLDRYWSITQAIEYNLKRTPRRIKAIIITVWVISAVISFPPLISIEKKGGGGGPQPAEPRCEINDQKWYVISSCIGSFFAPCLIMILVYVRIYQIAKRRTRVPPSRRGPDAVAAPPGGTERRPNGLGPERSAGPGGAEAEPLPTQLNGAPGEPAPAGPRDTDALDLEESSSSDHAERPPGPRRPERGPRGKGKARASQVKPGDSLPRRGPGATGIGTPAAGPGEERVGAAKASRWRGRQNREKRFTFVLAVVIGVFVVCWFPFFFTYTLTAVGCSVPRTLFKFFFWFGYCNSSLNPVIYTIFNHDFRRAFKKILCRGDRKRIV
+>DECOY_sp|P08913|ADA2A_HUMAN Alpha-2A adrenergic receptor OS=Homo sapiens OX=9606 GN=ADRA2A PE=1 SV=3
+VIRKRDGRCLIKKFARRFDHNFITYIVPNLSSNCYGFWFFFKFLTRPVSCGVATLTYTFFFPFWCVVFVGIVVALVFTFRKERNQRGRWRSAKAAGVREEGPGAAPTGIGTAGPGRRPLSDGPKVQSARAKGKGRPGREPRRPGPPREAHDSSSSEELDLADTDRPGAPAPEGPAGNLQTPLPEAEAGGPGASREPGLGNPRRETGGPPAAVADPGRRSPPVRTRRKAIQYIRVYVLIMILCPAFFSGICSSIVYWKQDNIECRPEAPQPGGGGGKKEISILPPFSIVASIVWVTIIIAKIRRPTRKLNYEIAQTISWYRDLSIACLHVISSTCFLVDLALYIECWAKGFYWYGMVENALSFPIVLTAVLIDASALSVLFLNQPAKLARSTFVAIIVLVNGFVTLLMLLGALCVLTLTVQLSYPTARAGGGPAETGNWSANGADPQLSGM
+>sp|P18089|ADA2B_HUMAN Alpha-2B adrenergic receptor OS=Homo sapiens OX=9606 GN=ADRA2B PE=1 SV=4
+MDHQDPYSVQATAAIAAAITFLILFTIFGNALVILAVLTSRSLRAPQNLFLVSLAAADILVATLIIPFSLANELLGYWYFRRTWCEVYLALDVLFCTSSIVHLCAISLDRYWAVSRALEYNSKRTPRRIKCIILTVWLIAAVISLPPLIYKGDQGPQPRGRPQCKLNQEAWYILASSIGSFFAPCLIMILVYLRIYLIAKRSNRRGPRAKGGPGQGESKQPRPDHGGALASAKLPALASVASAREVNGHSKSTGEKEEGETPEDTGTRALPPSWAALPNSGQGQKEGVCGASPEDEAEEEEEEEEEEEECEPQAVPVSPASACSPPLQQPQGSRVLATLRGQVLLGRGVGAIGGQWWRRRAQLTREKRFTFVLAVVIGVFVLCWFPFFFSYSLGAICPKHCKVPHGLFQFFFWIGYCNSSLNPVIYTIFNQDFRRAFRRILCRPWTQTAW
+>DECOY_sp|P18089|ADA2B_HUMAN Alpha-2B adrenergic receptor OS=Homo sapiens OX=9606 GN=ADRA2B PE=1 SV=4
+WATQTWPRCLIRRFARRFDQNFITYIVPNLSSNCYGIWFFFQFLGHPVKCHKPCIAGLSYSFFFPFWCLVFVGIVVALVFTFRKERTLQARRRWWQGGIAGVGRGLLVQGRLTALVRSGQPQQLPPSCASAPSVPVAQPECEEEEEEEEEEEEAEDEPSAGCVGEKQGQGSNPLAAWSPPLARTGTDEPTEGEEKEGTSKSHGNVERASAVSALAPLKASALAGGHDPRPQKSEGQGPGGKARPGRRNSRKAILYIRLYVLIMILCPAFFSGISSALIYWAEQNLKCQPRGRPQPGQDGKYILPPLSIVAAILWVTLIICKIRRPTRKSNYELARSVAWYRDLSIACLHVISSTCFLVDLALYVECWTRRFYWYGLLENALSFPIILTAVLIDAAALSVLFLNQPARLSRSTLVALIVLANGFITFLILFTIAAAIAATAQVSYPDQHDM
+>sp|P18825|ADA2C_HUMAN Alpha-2C adrenergic receptor OS=Homo sapiens OX=9606 GN=ADRA2C PE=2 SV=2
+MASPALAAALAVAAAAGPNASGAGERGSGGVANASGASWGPPRGQYSAGAVAGLAAVVGFLIVFTVVGNVLVVIAVLTSRALRAPQNLFLVSLASADILVATLVMPFSLANELMAYWYFGQVWCGVYLALDVLFCTSSIVHLCAISLDRYWSVTQAVEYNLKRTPRRVKATIVAVWLISAVISFPPLVSLYRQPDGAAYPQCGLNDETWYILSSCIGSFFAPCLIMGLVYARIYRVAKLRTRTLSEKRAPVGPDGASPTTENGLGAAAGAGENGHCAPPPADVEPDESSAAAERRRRRGALRRGGRRRAGAEGGAGGADGQGAGPGAAESGALTASRSPGPGGRLSRASSRSVEFFLSRRRRARSSVCRRKVAQAREKRFTFVLAVVMGVFVLCWFPFFFSYSLYGICREACQVPGPLFKFFFWIGYCNSSLNPVIYTVFNQDFRRSFKHILFRRRRRGFRQ
+>DECOY_sp|P18825|ADA2C_HUMAN Alpha-2C adrenergic receptor OS=Homo sapiens OX=9606 GN=ADRA2C PE=2 SV=2
+QRFGRRRRRFLIHKFSRRFDQNFVTYIVPNLSSNCYGIWFFFKFLPGPVQCAERCIGYLSYSFFFPFWCLVFVGMVVALVFTFRKERAQAVKRRCVSSRARRRRSLFFEVSRSSARSLRGGPGPSRSATLAGSEAAGPGAGQGDAGGAGGEAGARRRGGRRLAGRRRRREAAASSEDPEVDAPPPACHGNEGAGAAAGLGNETTPSAGDPGVPARKESLTRTRLKAVRYIRAYVLGMILCPAFFSGICSSLIYWTEDNLGCQPYAAGDPQRYLSVLPPFSIVASILWVAVITAKVRRPTRKLNYEVAQTVSWYRDLSIACLHVISSTCFLVDLALYVGCWVQGFYWYAMLENALSFPMVLTAVLIDASALSVLFLNQPARLARSTLVAIVVLVNGVVTFVILFGVVAALGAVAGASYQGRPPGWSAGSANAVGGSGREGAGSANPGAAAAVALAAALAPSAM
+>sp|Q9NZK5|ADA2_HUMAN Adenosine deaminase 2 OS=Homo sapiens OX=9606 GN=ADA2 PE=1 SV=2
+MLVDGPSERPALCFLLLAVAMSFFGSALSIDETRAHLLLKEKMMRLGGRLVLNTKEELANERLMTLKIAEMKEAMRTLIFPPSMHFFQAKHLIERSQVFNILRMMPKGAALHLHDIGIVTMDWLVRNVTYRPHCHICFTPRGIMQFRFAHPTPRPSEKCSKWILLEDYRKRVQNVTEFDDSLLRNFTLVTQHPEVIYTNQNVVWSKFETIFFTISGLIHYAPVFRDYVFRSMQEFYEDNVLYMEIRARLLPVYELSGEHHDEEWSVKTYQEVAQKFVETHPEFIGIKIIYSDHRSKDVAVIAESIRMAMGLRIKFPTVVAGFDLVGHEDTGHSLHDYKEALMIPAKDGVKLPYFFHAGETDWQGTSIDRNILDALMLNTTRIGHGFALSKHPAVRTYSWKKDIPIEVCPISNQVLKLVSDLRNHPVATLMATGHPMVISSDDPAMFGAKGLSYDFYEVFMGIGGMKADLRTLKQLAMNSIKYSTLLESEKNTFMEIWKKRWDKFIADVATK
+>DECOY_sp|Q9NZK5|ADA2_HUMAN Adenosine deaminase 2 OS=Homo sapiens OX=9606 GN=ADA2 PE=1 SV=2
+KTAVDAIFKDWRKKWIEMFTNKESELLTSYKISNMALQKLTRLDAKMGGIGMFVEYFDYSLGKAGFMAPDDSSIVMPHGTAMLTAVPHNRLDSVLKLVQNSIPCVEIPIDKKWSYTRVAPHKSLAFGHGIRTTNLMLADLINRDISTGQWDTEGAHFFYPLKVGDKAPIMLAEKYDHLSHGTDEHGVLDFGAVVTPFKIRLGMAMRISEAIVAVDKSRHDSYIIKIGIFEPHTEVFKQAVEQYTKVSWEEDHHEGSLEYVPLLRARIEMYLVNDEYFEQMSRFVYDRFVPAYHILGSITFFITEFKSWVVNQNTYIVEPHQTVLTFNRLLSDDFETVNQVRKRYDELLIWKSCKESPRPTPHAFRFQMIGRPTFCIHCHPRYTVNRVLWDMTVIGIDHLHLAAGKPMMRLINFVQSREILHKAQFFHMSPPFILTRMAEKMEAIKLTMLRENALEEKTNLVLRGGLRMMKEKLLLHARTEDISLASGFFSMAVALLLFCLAPRESPGDVLM
+>sp|Q9UKF2|ADA30_HUMAN Disintegrin and metalloproteinase domain-containing protein 30 OS=Homo sapiens OX=9606 GN=ADAM30 PE=1 SV=2
+MRSVQIFLSQCRLLLLLVPTMLLKSLGEDVIFHPEGEFDSYEVTIPEKLSFRGEVQGVVSPVSYLLQLKGKKHVLHLWPKRLLLPRHLRVFSFTEHGELLEDHPYIPKDCNYMGSVKESLDSKATISTCMGGLRGVFNIDAKHYQIEPLKASPSFEHVVYLLKKEQFGNQVCGLSDDEIEWQMAPYENKARLRDFPGSYKHPKYLELILLFDQSRYRFVNNNLSQVIHDAILLTGIMDTYFQDVRMRIHLKALEVWTDFNKIRVGYPELAEVLGRFVIYKKSVLNARLSSDWAHLYLQRKYNDALAWSFGKVCSLEYAGSVSTLLDTNILAPATWSAHELGHAVGMSHDEQYCQCRGRLNCIMGSGRTGFSNCSYISFFKHISSGATCLNNIPGLGYVLKRCGNKIVEDNEECDCGSTEECQKDRCCQSNCKLQPGANCSIGLCCHDCRFRPSGYVCRQEGNECDLAEYCDGNSSSCPNDVYKQDGTPCKYEGRCFRKGCRSRYMQCQSIFGPDAMEAPSECYDAVNLIGDQFGNCEITGIRNFKKCESANSICGRLQCINVETIPDLPEHTTIISTHLQAENLMCWGTGYHLSMKPMGIPDLGMINDGTSCGEGRVCFKKNCVNSSVLQFDCLPEKCNTRGVCNNRKNCHCMYGWAPPFCEEVGYGGSIDSGPPGLLRGAIPSSIWVVSIIMFRLILLILSVVFVFFRQVIGNHLKPKQEKMPLSKAKTEQEESKTKTVQEESKTKTGQEESEAKTGQEESKAKTGQEESKANIESKRPKAKSVKKQKK
+>DECOY_sp|Q9UKF2|ADA30_HUMAN Disintegrin and metalloproteinase domain-containing protein 30 OS=Homo sapiens OX=9606 GN=ADAM30 PE=1 SV=2
+KKQKKVSKAKPRKSEINAKSEEQGTKAKSEEQGTKAESEEQGTKTKSEEQVTKTKSEEQETKAKSLPMKEQKPKLHNGIVQRFFVFVVSLILLILRFMIISVVWISSPIAGRLLGPPGSDISGGYGVEECFPPAWGYMCHCNKRNNCVGRTNCKEPLCDFQLVSSNVCNKKFCVRGEGCSTGDNIMGLDPIGMPKMSLHYGTGWCMLNEAQLHTSIITTHEPLDPITEVNICQLRGCISNASECKKFNRIGTIECNGFQDGILNVADYCESPAEMADPGFISQCQMYRSRCGKRFCRGEYKCPTGDQKYVDNPCSSSNGDCYEALDCENGEQRCVYGSPRFRCDHCCLGISCNAGPQLKCNSQCCRDKQCEETSGCDCEENDEVIKNGCRKLVYGLGPINNLCTAGSSIHKFFSIYSCNSFGTRGSGMICNLRGRCQCYQEDHSMGVAHGLEHASWTAPALINTDLLTSVSGAYELSCVKGFSWALADNYKRQLYLHAWDSSLRANLVSKKYIVFRGLVEALEPYGVRIKNFDTWVELAKLHIRMRVDQFYTDMIGTLLIADHIVQSLNNNVFRYRSQDFLLILELYKPHKYSGPFDRLRAKNEYPAMQWEIEDDSLGCVQNGFQEKKLLYVVHEFSPSAKLPEIQYHKADINFVGRLGGMCTSITAKSDLSEKVSGMYNCDKPIYPHDELLEGHETFSFVRLHRPLLLRKPWLHLVHKKGKLQLLYSVPSVVGQVEGRFSLKEPITVEYSDFEGEPHFIVDEGLSKLLMTPVLLLLLRCQSLFIQVSRM
+>sp|Q5VUY0|ADCL3_HUMAN Arylacetamide deacetylase-like 3 OS=Homo sapiens OX=9606 GN=AADACL3 PE=2 SV=5
+MWDLALIFLAAACVFSLGVTLWVICSHFFTVHIPAAVGHPVKLRVLHCIFQLLLTWGMIFEKLRICSMPQFFCFMQDLPPLKYDPDVVVTDFRFGTIPVKLYQPKASTCTLKPGIVYYHGGGGVMGSLKTHHGICSRLCKESDSVVLAVGYRKLPKHKFPVPVRDCLVATIHFLKSLDAYGVDPARVVVCGDSFGGAIAAVVCQQLVDRPDLPRIRAQILIYAILQALDLQTPSFQQRKNIPLLTWSFICYFFFQNLDFSSSWQEVIMKGAHLPAEVWEKYRKWLGPENIPERFKERGYQLKPHEPMNEAAYLEVSVVLDVMCSPLIAEDDIVSQLPETCIVSCEYDALRDNSLLYKKRLEDLGVPVTWHHMEDGFHGVLRTIDMSFLHFPCSMRILSALVQFVKGL
+>DECOY_sp|Q5VUY0|ADCL3_HUMAN Arylacetamide deacetylase-like 3 OS=Homo sapiens OX=9606 GN=AADACL3 PE=2 SV=5
+LGKVFQVLASLIRMSCPFHLFSMDITRLVGHFGDEMHHWTVPVGLDELRKKYLLSNDRLADYECSVICTEPLQSVIDDEAILPSCMVDLVVSVELYAAENMPEHPKLQYGREKFREPINEPGLWKRYKEWVEAPLHAGKMIVEQWSSSFDLNQFFFYCIFSWTLLPINKRQQFSPTQLDLAQLIAYILIQARIRPLDPRDVLQQCVVAAIAGGFSDGCVVVRAPDVGYADLSKLFHITAVLCDRVPVPFKHKPLKRYGVALVVSDSEKCLRSCIGHHTKLSGMVGGGGHYYVIGPKLTCTSAKPQYLKVPITGFRFDTVVVDPDYKLPPLDQMFCFFQPMSCIRLKEFIMGWTLLLQFICHLVRLKVPHGVAAPIHVTFFHSCIVWLTVGLSFVCAAALFILALDWM
+>sp|O43306|ADCY6_HUMAN Adenylate cyclase type 6 OS=Homo sapiens OX=9606 GN=ADCY6 PE=1 SV=2
+MSWFSGLLVPKVDERKTAWGERNGQKRSRRRGTRAGGFCTPRYMSCLRDAEPPSPTPAGPPRCPWQDDAFIRRGGPGKGKELGLRAVALGFEDTEVTTTAGGTAEVAPDAVPRSGRSCWRRLVQVFQSKQFRSAKLERLYQRYFFQMNQSSLTLLMAVLVLLTAVLLAFHAAPARPQPAYVALLACAAALFVGLMVVCNRHSFRQDSMWVVSYVVLGILAAVQVGGALAADPRSPSAGLWCPVFFVYIAYTLLPIRMRAAVLSGLGLSTLHLILAWQLNRGDAFLWKQLGANVLLFLCTNVIGICTHYPAEVSQRQAFQETRGYIQARLHLQHENRQQERLLLSVLPQHVAMEMKEDINTKKEDMMFHKIYIQKHDNVSILFADIEGFTSLASQCTAQELVMTLNELFARFDKLAAENHCLRIKILGDCYYCVSGLPEARADHAHCCVEMGVDMIEAISLVREVTGVNVNMRVGIHSGRVHCGVLGLRKWQFDVWSNDVTLANHMEAGGRAGRIHITRATLQYLNGDYEVEPGRGGERNAYLKEQHIETFLILGASQKRKEEKAMLAKLQRTRANSMEGLMPRWVPDRAFSRTKDSKAFRQMGIDDSSKDNRGTQDALNPEDEVDEFLSRAIDARSIDQLRKDHVRRFLLTFQREDLEKKYSRKVDPRFGAYVACALLVFCFICFIQLLIFPHSTLMLGIYASIFLLLLITVLICAVYSCGSLFPKALQRLSRSIVRSRAHSTAVGIFSVLLVFTSAIANMFTCNHTPIRSCAARMLNLTPADITACHLQQLNYSLGLDAPLCEGTMPTCSFPEYFIGNMLLSLLASSVFLHISSIGKLAMIFVLGLIYLVLLLLGPPATIFDNYDLLLGVHGLASSNETFDGLDCPAAGRVALKYMTPVILLVFALALYLHAQQVESTARLDFLWKLQATGEKEEMEELQAYNRRLLHNILPKDVAAHFLARERRNDELYYQSCECVAVMFASIANFSEFYVELEANNEGVECLRLLNEIIADFDEIISEERFRQLEKIKTIGSTYMAASGLNASTYDQVGRSHITALADYAMRLMEQMKHINEHSFNNFQMKIGLNMGPVVAGVIGARKPQYDIWGNTVNVSSRMDSTGVPDRIQVTTDLYQVLAAKGYQLECRGVVKVKGKGEMTTYFLNGGPSS
+>DECOY_sp|O43306|ADCY6_HUMAN Adenylate cyclase type 6 OS=Homo sapiens OX=9606 GN=ADCY6 PE=1 SV=2
+SSPGGNLFYTTMEGKGKVKVVGRCELQYGKAALVQYLDTTVQIRDPVGTSDMRSSVNVTNGWIDYQPKRAGIVGAVVPGMNLGIKMQFNNFSHENIHKMQEMLRMAYDALATIHSRGVQDYTSANLGSAAMYTSGITKIKELQRFREESIIEDFDAIIENLLRLCEVGENNAELEVYFESFNAISAFMVAVCECSQYYLEDNRRERALFHAAVDKPLINHLLRRNYAQLEEMEEKEGTAQLKWLFDLRATSEVQQAHLYLALAFVLLIVPTMYKLAVRGAAPCDLGDFTENSSALGHVGLLLDYNDFITAPPGLLLLVLYILGLVFIMALKGISSIHLFVSSALLSLLMNGIFYEPFSCTPMTGECLPADLGLSYNLQQLHCATIDAPTLNLMRAACSRIPTHNCTFMNAIASTFVLLVSFIGVATSHARSRVISRSLRQLAKPFLSGCSYVACILVTILLLLFISAYIGLMLTSHPFILLQIFCIFCFVLLACAVYAGFRPDVKRSYKKELDERQFTLLFRRVHDKRLQDISRADIARSLFEDVEDEPNLADQTGRNDKSSDDIGMQRFAKSDKTRSFARDPVWRPMLGEMSNARTRQLKALMAKEEKRKQSAGLILFTEIHQEKLYANREGGRGPEVEYDGNLYQLTARTIHIRGARGGAEMHNALTVDNSWVDFQWKRLGLVGCHVRGSHIGVRMNVNVGTVERVLSIAEIMDVGMEVCCHAHDARAEPLGSVCYYCDGLIKIRLCHNEAALKDFRAFLENLTMVLEQATCQSALSTFGEIDAFLISVNDHKQIYIKHFMMDEKKTNIDEKMEMAVHQPLVSLLLREQQRNEHQLHLRAQIYGRTEQFAQRQSVEAPYHTCIGIVNTCLFLLVNAGLQKWLFADGRNLQWALILHLTSLGLGSLVAARMRIPLLTYAIYVFFVPCWLGASPSRPDAALAGGVQVAALIGLVVYSVVWMSDQRFSHRNCVVMLGVFLAAACALLAVYAPQPRAPAAHFALLVATLLVLVAMLLTLSSQNMQFFYRQYLRELKASRFQKSQFVQVLRRWCSRGSRPVADPAVEATGGATTTVETDEFGLAVARLGLEKGKGPGGRRIFADDQWPCRPPGAPTPSPPEADRLCSMYRPTCFGGARTGRRRSRKQGNREGWATKREDVKPVLLGSFWSM
+>sp|O15204|ADEC1_HUMAN ADAM DEC1 OS=Homo sapiens OX=9606 GN=ADAMDEC1 PE=1 SV=2
+MLRGISQLPAVATMSWVLLPVLWLIVQTQAIAIKQTPELTLHEIVCPKKLHILHKREIKNNQTEKHGKEERYEPEVQYQMILNGEEIILSLQKTKHLLGPDYTETLYSPRGEEITTKPENMEHCYYKGNILNEKNSVASISTCDGLRGYFTHHHQRYQIKPLKSTDEKEHAVFTSNQEEQDPANHTCGVKSTDGKQGPIRISRSLKSPEKEDFLRAQKYIDLYLVLDNAFYKNYNENLTLIRSFVFDVMNLLNVIYNTIDVQVALVGMEIWSDGDKIKVVPSASTTFDNFLRWHSSNLGKKIHDHAQLLSGISFNNRRVGLAASNSLCSPSSVAVIEAKKKNNVALVGVMSHELGHVLGMPDVPFNTKCPSGSCVMNQYLSSKFPKDFSTSCRAHFERYLLSQKPKCLLQAPIPTNIMTTPVCGNHLLEVGEDCDCGSPKECTNLCCEALTCKLKPGTDCGGDAPNHTTE
+>DECOY_sp|O15204|ADEC1_HUMAN ADAM DEC1 OS=Homo sapiens OX=9606 GN=ADAMDEC1 PE=1 SV=2
+ETTHNPADGGCDTGPKLKCTLAECCLNTCEKPSGCDCDEGVELLHNGCVPTTMINTPIPAQLLCKPKQSLLYREFHARCSTSFDKPFKSSLYQNMVCSGSPCKTNFPVDPMGLVHGLEHSMVGVLAVNNKKKAEIVAVSSPSCLSNSAALGVRRNNFSIGSLLQAHDHIKKGLNSSHWRLFNDFTTSASPVVKIKDGDSWIEMGVLAVQVDITNYIVNLLNMVDFVFSRILTLNENYNKYFANDLVLYLDIYKQARLFDEKEPSKLSRSIRIPGQKGDTSKVGCTHNAPDQEEQNSTFVAHEKEDTSKLPKIQYRQHHHTFYGRLGDCTSISAVSNKENLINGKYYCHEMNEPKTTIEEGRPSYLTETYDPGLLHKTKQLSLIIEEGNLIMQYQVEPEYREEKGHKETQNNKIERKHLIHLKKPCVIEHLTLEPTQKIAIAQTQVILWLVPLLVWSMTAVAPLQSIGRLM
+>sp|P00325|ADH1B_HUMAN Alcohol dehydrogenase 1B OS=Homo sapiens OX=9606 GN=ADH1B PE=1 SV=2
+MSTAGKVIKCKAAVLWEVKKPFSIEDVEVAPPKAYEVRIKMVAVGICRTDDHVVSGNLVTPLPVILGHEAAGIVESVGEGVTTVKPGDKVIPLFTPQCGKCRVCKNPESNYCLKNDLGNPRGTLQDGTRRFTCRGKPIHHFLGTSTFSQYTVVDENAVAKIDAASPLEKVCLIGCGFSTGYGSAVNVAKVTPGSTCAVFGLGGVGLSAVMGCKAAGAARIIAVDINKDKFAKAKELGATECINPQDYKKPIQEVLKEMTDGGVDFSFEVIGRLDTMMASLLCCHEACGTSVIVGVPPASQNLSINPMLLLTGRTWKGAVYGGFKSKEGIPKLVADFMAKKFSLDALITHVLPFEKINEGFDLLHSGKSIRTVLTF
+>DECOY_sp|P00325|ADH1B_HUMAN Alcohol dehydrogenase 1B OS=Homo sapiens OX=9606 GN=ADH1B PE=1 SV=2
+FTLVTRISKGSHLLDFGENIKEFPLVHTILADLSFKKAMFDAVLKPIGEKSKFGGYVAGKWTRGTLLLMPNISLNQSAPPVGVIVSTGCAEHCCLLSAMMTDLRGIVEFSFDVGGDTMEKLVEQIPKKYDQPNICETAGLEKAKAFKDKNIDVAIIRAAGAAKCGMVASLGVGGLGFVACTSGPTVKAVNVASGYGTSFGCGILCVKELPSAADIKAVANEDVVTYQSFTSTGLFHHIPKGRCTFRRTGDQLTGRPNGLDNKLCYNSEPNKCVRCKGCQPTFLPIVKDGPKVTTVGEGVSEVIGAAEHGLIVPLPTVLNGSVVHDDTRCIGVAVMKIRVEYAKPPAVEVDEISFPKKVEWLVAAKCKIVKGATSM
+>sp|P00326|ADH1G_HUMAN Alcohol dehydrogenase 1C OS=Homo sapiens OX=9606 GN=ADH1C PE=1 SV=2
+MSTAGKVIKCKAAVLWELKKPFSIEEVEVAPPKAHEVRIKMVAAGICRSDEHVVSGNLVTPLPVILGHEAAGIVESVGEGVTTVKPGDKVIPLFTPQCGKCRICKNPESNYCLKNDLGNPRGTLQDGTRRFTCSGKPIHHFVGVSTFSQYTVVDENAVAKIDAASPLEKVCLIGCGFSTGYGSAVKVAKVTPGSTCAVFGLGGVGLSVVMGCKAAGAARIIAVDINKDKFAKAKELGATECINPQDYKKPIQEVLKEMTDGGVDFSFEVIGRLDTMMASLLCCHEACGTSVIVGVPPDSQNLSINPMLLLTGRTWKGAIFGGFKSKESVPKLVADFMAKKFSLDALITNILPFEKINEGFDLLRSGKSIRTVLTF
+>DECOY_sp|P00326|ADH1G_HUMAN Alcohol dehydrogenase 1C OS=Homo sapiens OX=9606 GN=ADH1C PE=1 SV=2
+FTLVTRISKGSRLLDFGENIKEFPLINTILADLSFKKAMFDAVLKPVSEKSKFGGFIAGKWTRGTLLLMPNISLNQSDPPVGVIVSTGCAEHCCLLSAMMTDLRGIVEFSFDVGGDTMEKLVEQIPKKYDQPNICETAGLEKAKAFKDKNIDVAIIRAAGAAKCGMVVSLGVGGLGFVACTSGPTVKAVKVASGYGTSFGCGILCVKELPSAADIKAVANEDVVTYQSFTSVGVFHHIPKGSCTFRRTGDQLTGRPNGLDNKLCYNSEPNKCIRCKGCQPTFLPIVKDGPKVTTVGEGVSEVIGAAEHGLIVPLPTVLNGSVVHEDSRCIGAAVMKIRVEHAKPPAVEVEEISFPKKLEWLVAAKCKIVKGATSM
+>sp|Q15847|ADIRF_HUMAN Adipogenesis regulatory factor OS=Homo sapiens OX=9606 GN=ADIRF PE=1 SV=1
+MASKGLQDLKQQVEGTAQEAVSAAGAAAQQVVDQATEAGQKAMDQLAKTTQETIDKTANQASDTFSGIGKKFGLLK
+>DECOY_sp|Q15847|ADIRF_HUMAN Adipogenesis regulatory factor OS=Homo sapiens OX=9606 GN=ADIRF PE=1 SV=1
+KLLGFKKGIGSFTDSAQNATKDITEQTTKALQDMAKQGAETAQDVVQQAAAGAASVAEQATGEVQQKLDQLGKSAM
+>sp|P07550|ADRB2_HUMAN Beta-2 adrenergic receptor OS=Homo sapiens OX=9606 GN=ADRB2 PE=1 SV=3
+MGQPGNGSAFLLAPNGSHAPDHDVTQERDEVWVVGMGIVMSLIVLAIVFGNVLVITAIAKFERLQTVTNYFITSLACADLVMGLAVVPFGAAHILMKMWTFGNFWCEFWTSIDVLCVTASIETLCVIAVDRYFAITSPFKYQSLLTKNKARVIILMVWIVSGLTSFLPIQMHWYRATHQEAINCYANETCCDFFTNQAYAIASSIVSFYVPLVIMVFVYSRVFQEAKRQLQKIDKSEGRFHVQNLSQVEQDGRTGHGLRRSSKFCLKEHKALKTLGIIMGTFTLCWLPFFIVNIVHVIQDNLIRKEVYILLNWIGYVNSGFNPLIYCRSPDFRIAFQELLCLRRSSLKAYGNGYSSNGNTGEQSGYHVEQEKENKLLCEDLPGTEDFVGHQGTVPSDNIDSQGRNCSTNDSLL
+>DECOY_sp|P07550|ADRB2_HUMAN Beta-2 adrenergic receptor OS=Homo sapiens OX=9606 GN=ADRB2 PE=1 SV=3
+LLSDNTSCNRGQSDINDSPVTGQHGVFDETGPLDECLLKNEKEQEVHYGSQEGTNGNSSYGNGYAKLSSRRLCLLEQFAIRFDPSRCYILPNFGSNVYGIWNLLIYVEKRILNDQIVHVINVIFFPLWCLTFTGMIIGLTKLAKHEKLCFKSSRRLGHGTRGDQEVQSLNQVHFRGESKDIKQLQRKAEQFVRSYVFVMIVLPVYFSVISSAIAYAQNTFFDCCTENAYCNIAEQHTARYWHMQIPLFSTLGSVIWVMLIIVRAKNKTLLSQYKFPSTIAFYRDVAIVCLTEISATVCLVDISTWFECWFNGFTWMKMLIHAAGFPVVALGMVLDACALSTIFYNTVTQLREFKAIATIVLVNGFVIALVILSMVIGMGVVWVEDREQTVDHDPAHSGNPALLFASGNGPQGM
+>sp|Q6UXC1|AEGP_HUMAN Apical endosomal glycoprotein OS=Homo sapiens OX=9606 GN=MAMDC4 PE=2 SV=2
+MPLSSHLLPALVLFLAGSSGWAWVPNHCRSPGQAVCNFVCDCRDCSDEAQCGYHGASPTLGAPFACDFEQDPCGWRDISTSGYSWLRDRAGAALEGPGPHSDHTLGTDLGWYMAVGTHRGKEASTAALRSPTLREAASSCKLRLWYHAASGDVAELRVELTHGAETLTLWQSTGPWGPGWQELAVTTGRIRGDFRVTFSATRNATHRGAVALDDLEFWDCGLPTPQANCPPGHHHCQNKVCVEPQQLCDGEDNCGDLSDENPLTCGRHIATDFETGLGPWNRSEGWSRNHRAGGPERPSWPRRDHSRNSAQGSFLVSVAEPGTPAILSSPEFQASGTSNCSLVFYQYLSGSEAGCLQLFLQTLGPGAPRAPVLLRRRRGELGTAWVRDRVDIQSAYPFQILLAGQTGPGGVVGLDDLILSDHCRPVSEVSTLQPLPPGPRAPAPQPLPPSSRLQDSCKQGHLACGDLCVPPEQLCDFEEQCAGGEDEQACGTTDFESPEAGGWEDASVGRLQWRRVSAQESQGSSAAAAGHFLSLQRAWGQLGAEARVLTPLLGPSGPSCELHLAYYLQSQPRGFLALVVVDNGSRELAWQALSSSAGIWKVDKVLLGARRRPFRLEFVGLVDLDGPDQQGAGVDNVTLRDCSPTVTTERDREVSCNFERDTCSWYPGHLSDTHWRWVESRGPDHDHTTGQGHFVLLDPTDPLAWGHSAHLLSRPQVPAAPTECLSFWYHLHGPQIGTLRLAMRREGEETHLWSRSGTQGNRWHEAWATLSHQPGSHAQYQLLFEGLRDGYHGTMALDDVAVRPGPCWAPNYCSFEDSDCGFSPGGQGLWRRQANASGHAAWGPPTDHTTETAQGHYMVVDTSPDALPRGQTASLTSKEHRPLAQPACLTFWYHGSLRSPGTLRVYLEERGRHQVLSLSAHGGLAWRLGSMDVQAERAWRVVFEAVAAGVAHSYVALDDLLLQDGPCPQPGSCDFESGLCGWSHLAWPGLGGYSWDWGGGATPSRYPQPPVDHTLGTEAGHFAFFETGVLGPGGRAAWLRSEPLPATPASCLRFWYHMGFPEHFYKGELKVLLHSAQGQLAVWGAGGHRRHQWLEAQVEVASAKEFQIVFEATLGGQPALGPIALDDVEYLAGQHCQQPAPSPGNTAAPGSVPAVVGSALLLLMLLVLLGLGGRRWLQKKGSCPFQSNTEATAPGFDNILFNADGVTLPASVTSDP
+>DECOY_sp|Q6UXC1|AEGP_HUMAN Apical endosomal glycoprotein OS=Homo sapiens OX=9606 GN=MAMDC4 PE=2 SV=2
+PDSTVSAPLTVGDANFLINDFGPATAETNSQFPCSGKKQLWRRGGLGLLVLLMLLLLASGVVAPVSGPAATNGPSPAPQQCHQGALYEVDDLAIPGLAPQGGLTAEFVIQFEKASAVEVQAELWQHRRHGGAGWVALQGQASHLLVKLEGKYFHEPFGMHYWFRLCSAPTAPLPESRLWAARGGPGLVGTEFFAFHGAETGLTHDVPPQPYRSPTAGGGWDWSYGGLGPWALHSWGCLGSEFDCSGPQPCPGDQLLLDDLAVYSHAVGAAVAEFVVRWAREAQVDMSGLRWALGGHASLSLVQHRGREELYVRLTGPSRLSGHYWFTLCAPQALPRHEKSTLSATQGRPLADPSTDVVMYHGQATETTHDTPPGWAAHGSANAQRRWLGQGGPSFGCDSDEFSCYNPAWCPGPRVAVDDLAMTGHYGDRLGEFLLQYQAHSGPQHSLTAWAEHWRNGQTGSRSWLHTEEGERRMALRLTGIQPGHLHYWFSLCETPAAPVQPRSLLHASHGWALPDTPDLLVFHGQGTTHDHDPGRSEVWRWHTDSLHGPYWSCTDREFNCSVERDRETTVTPSCDRLTVNDVGAGQQDPGDLDVLGVFELRFPRRRAGLLVKDVKWIGASSSLAQWALERSGNDVVVLALFGRPQSQLYYALHLECSPGSPGLLPTLVRAEAGLQGWARQLSLFHGAAAASSGQSEQASVRRWQLRGVSADEWGGAEPSEFDTTGCAQEDEGGACQEEFDCLQEPPVCLDGCALHGQKCSDQLRSSPPLPQPAPARPGPPLPQLTSVESVPRCHDSLILDDLGVVGGPGTQGALLIQFPYASQIDVRDRVWATGLEGRRRRLLVPARPAGPGLTQLFLQLCGAESGSLYQYFVLSCNSTGSAQFEPSSLIAPTGPEAVSVLFSGQASNRSHDRRPWSPREPGGARHNRSWGESRNWPGLGTEFDTAIHRGCTLPNEDSLDGCNDEGDCLQQPEVCVKNQCHHHGPPCNAQPTPLGCDWFELDDLAVAGRHTANRTASFTVRFDGRIRGTTVALEQWGPGWPGTSQWLTLTEAGHTLEVRLEAVDGSAAHYWLRLKCSSAAERLTPSRLAATSAEKGRHTGVAMYWGLDTGLTHDSHPGPGELAAGARDRLWSYGSTSIDRWGCPDQEFDCAFPAGLTPSAGHYGCQAEDSCDRCDCVFNCVAQGPSRCHNPVWAWGSSGALFLVLAPLLHSSLPM
+>sp|Q8N4X5|AF1L2_HUMAN Actin filament-associated protein 1-like 2 OS=Homo sapiens OX=9606 GN=AFAP1L2 PE=1 SV=1
+MERYKALEQLLTELDDFLKILDQENLSSTALVKKSCLAELLRLYTKSSSSDEEYIYMNKVTINKQQNAESQGKAPEEQGLLPNGEPSQHSSAPQKSLPDLPPPKMIPERKQLAIPKTESPEGYYEEAEPYDTSLNEDGEAVSSSYESYDEEDGSKGKSAPYQWPSPEAGIELMRDARICAFLWRKKWLGQWAKQLCVIKDNRLLCYKSSKDHSPQLDVNLLGSSVIHKEKQVRKKEHKLKITPMNADVIVLGLQSKDQAEQWLRVIQEVSGLPSEGASEGNQYTPDAQRFNCQKPDIAEKYLSASEYGSSVDGHPEVPETKDVKKKCSAGLKLSNLMNLGRKKSTSLEPVERSLETSSYLNVLVNSQWKSRWCSVRDNHLHFYQDRNRSKVAQQPLSLVGCEVVPDPSPDHLYSFRILHKGEELAKLEAKSSEEMGHWLGLLLSESGSKTDPEEFTYDYVDADRVSCIVSAAKNSLLLMQRKFSEPNTYIDGLPSQDRQEELYDDVDLSELTAAVEPTEEATPVADDPNERESDRVYLDLTPVKSFLHGPSSAQAQASSPTLSCLDNATEALPADSGPGPTPDEPCIKCPENLGEQQLESLEPEDPSLRITTVKIQTEQQRISFPPSCPDAVVATPPGASPPVKDRLRVTSAEIKLGKNRTEAEVKRYTEEKERLEKKKEEIRGHLAQLRKEKRELKETLLKCTDKEVLASLEQKLKEIDEECRGEESRRVDLELSIMEVKDNLKKAEAGPVTLGTTVDTTHLENVSPRPKAVTPASAPDCTPVNSATTLKNRPLSVVVTGKGTVLQKAKEWEKKGAS
+>DECOY_sp|Q8N4X5|AF1L2_HUMAN Actin filament-associated protein 1-like 2 OS=Homo sapiens OX=9606 GN=AFAP1L2 PE=1 SV=1
+SAGKKEWEKAKQLVTGKGTVVVSLPRNKLTTASNVPTCDPASAPTVAKPRPSVNELHTTDVTTGLTVPGAEAKKLNDKVEMISLELDVRRSEEGRCEEDIEKLKQELSALVEKDTCKLLTEKLERKEKRLQALHGRIEEKKKELREKEETYRKVEAETRNKGLKIEASTVRLRDKVPPSAGPPTAVVADPCSPPFSIRQQETQIKVTTIRLSPDEPELSELQQEGLNEPCKICPEDPTPGPGSDAPLAETANDLCSLTPSSAQAQASSPGHLFSKVPTLDLYVRDSERENPDDAVPTAEETPEVAATLESLDVDDYLEEQRDQSPLGDIYTNPESFKRQMLLLSNKAASVICSVRDADVYDYTFEEPDTKSGSESLLLGLWHGMEESSKAELKALEEGKHLIRFSYLHDPSPDPVVECGVLSLPQQAVKSRNRDQYFHLHNDRVSCWRSKWQSNVLVNLYSSTELSREVPELSTSKKRGLNMLNSLKLGASCKKKVDKTEPVEPHGDVSSGYESASLYKEAIDPKQCNFRQADPTYQNGESAGESPLGSVEQIVRLWQEAQDKSQLGLVIVDANMPTIKLKHEKKRVQKEKHIVSSGLLNVDLQPSHDKSSKYCLLRNDKIVCLQKAWQGLWKKRWLFACIRADRMLEIGAEPSPWQYPASKGKSGDEEDYSEYSSSVAEGDENLSTDYPEAEEYYGEPSETKPIALQKREPIMKPPPLDPLSKQPASSHQSPEGNPLLGQEEPAKGQSEANQQKNITVKNMYIYEEDSSSSKTYLRLLEALCSKKVLATSSLNEQDLIKLFDDLETLLQELAKYREM
+>sp|P42568|AF9_HUMAN Protein AF-9 OS=Homo sapiens OX=9606 GN=MLLT3 PE=1 SV=2
+MASSCAVQVKLELGHRAQVRKKPTVEGFTHDWMVFVRGPEHSNIQHFVEKVVFHLHESFPRPKRVCKDPPYKVEESGYAGFILPIEVYFKNKEEPRKVRFDYDLFLHLEGHPPVNHLRCEKLTFNNPTEDFRRKLLKAGGDPNRSIHTSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSTSFSKPHKLMKEHKEKPSKDSREHKSAFKEPSRDHNKSSKESSKKPKENKPLKEEKIVPKMAFKEPKPMSKEPKPDSNLLTITSGQDKKAPSKRPPISDSEELSAKKRKKSSSEALFKSFSSAPPLILTCSADKKQIKDKSHVKMGKVKIESETSEKKKSTLPPFDDIVDPNDSDVEENISSKSDSEQPSPASSSSSSSSSFTPSQTRQQGPLRSIMKDLHSDDNEEESDEVEDNDNDSEMERPVNRGGSRSRRVSLSDGSDSESSSASSPLHHEPPPPLLKTNNNQILEVKSPIKQSKSDKQIKNGECDKAYLDELVELHRRLMTLRERHILQQIVNLIEETGHFHITNTTFDFDLCSLDKTTVRKLQSYLETSGTS
+>DECOY_sp|P42568|AF9_HUMAN Protein AF-9 OS=Homo sapiens OX=9606 GN=MLLT3 PE=1 SV=2
+STGSTELYSQLKRVTTKDLSCLDFDFTTNTIHFHGTEEILNVIQQLIHRERLTMLRRHLEVLEDLYAKDCEGNKIQKDSKSQKIPSKVELIQNNNTKLLPPPPEHHLPSSASSSESDSGDSLSVRRSRSGGRNVPREMESDNDNDEVEDSEEENDDSHLDKMISRLPGQQRTQSPTFSSSSSSSSSAPSPQESDSKSSINEEVDSDNPDVIDDFPPLTSKKKESTESEIKVKGMKVHSKDKIQKKDASCTLILPPASSFSKFLAESSSKKRKKASLEESDSIPPRKSPAKKDQGSTITLLNSDPKPEKSMPKPEKFAMKPVIKEEKLPKNEKPKKSSEKSSKNHDRSPEKFASKHERSDKSPKEKHEKMLKHPKSFSTSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSTHISRNPDGGAKLLKRRFDETPNNFTLKECRLHNVPPHGELHLFLDYDFRVKRPEEKNKFYVEIPLIFGAYGSEEVKYPPDKCVRKPRPFSEHLHFVVKEVFHQINSHEPGRVFVMWDHTFGEVTPKKRVQARHGLELKVQVACSSAM
+>sp|P51816|AFF2_HUMAN AF4/FMR2 family member 2 OS=Homo sapiens OX=9606 GN=AFF2 PE=1 SV=4
+MDLFDFFRDWDLEQQCHYEQDRSALKKREWERRNQEVQQEDDLFSSGFDLFGEPYKVAEYTNKGDALANRVQNTLGNYDEMKNLLTNHSNQNHLVGIPKNSVPQNPNNKNEPSFFPEQKNRIIPPHQDNTHPSAPMPPPSVVILNSTLIHSNRKSKPEWSRDSHNPSTVLASQASGQPNKMQTLTQDQSQAKLEDFFVYPAEQPQIGEVEESNPSAKEDSNPNSSGEDAFKEIFQSNSPEESEFAVQAPGSPLVASSLLAPSSGLSVQNFPPGLYCKTSMGQQKPTAYVRPMDGQDQAPDISPTLKPSIEFENSFGNLSFGTLLDGKPSAASSKTKLPKFTILQTSEVSLPSDPSCVEEILREMTHSWPTPLTSMHTAGHSEQSTFSIPGQESQHLTPGFTLQKWNDPTTRASTKSVSFKSMLEDDLKLSSDEDDLEPVKTLTTQCTATELYQAVEKAKPRNNPVNPPLATPQPPPAVQASGGSGSSSESESSSESDSDTESSTTDSESNEAPRVATPEPEPPSTNKWQLDKWLNKVTSQNKSFICGQNETPMETISLPPPIIQPMEVQMKVKTNASQVPAEPKERPLLSLIREKARPRPTQKIPETKALKHKLSTTSETVSQRTIGKKQPKKVEKNTSTDEFTWPKPNITSSTPKEKESVELHDPPRGRNKATAHKPAPRKEPRPNIPLAPEKKKYRGPGKIVPKSREFIETDSSTSDSNTDQEETLQIKVLPPCIISGGNTAKSKEICGASLTLSTLMSSSGSNNNLSISNEEPTFSPIPVMQTEILSPLRDHENLKNLWVKIDLDLLSRVPGHSSLHAAPAKPDHKETATKPKRQTAVTAVEKPAPKGKRKHKPIEVAEKIPEKKQRLEEATTICLLPPCISPAPPHKPPNTRENNSSRRANRRKEEKLFPPPLSPLPEDPPRRRNVSGNNGPFGQDKNIAMTGQITSTKPKRTEGKFCATFKGISVNEGDTPKKASSATITVTNTAIATATVTATAIVTTTVTATATATATTTTTTTTISTITSTITTGLMDSSHLEMTSWAALPLLSSSSTNVRRPKLTFDDSVHNADYYMQEAKKLKHKADALFEKFGKAVNYADAALSFTECGNAMERDPLEAKSPYTMYSETVELLRYAMRLKNFASPLASDGDKKLAVLCYRCLSLLYLRMFKLKKDHAMKYSRSLMEYFKQNASKVAQIPSPWVSNGKNTPSPVSLNNVSPINAMGNCNNGPVTIPQRIHHMAASHVNITSNVLRGYEHWDMADKLTRENKEFFGDLDTLMGPLTQHSSMTNLVRYVRQGLCWLRIDAHLL
+>DECOY_sp|P51816|AFF2_HUMAN AF4/FMR2 family member 2 OS=Homo sapiens OX=9606 GN=AFF2 PE=1 SV=4
+LLHADIRLWCLGQRVYRVLNTMSSHQTLPGMLTDLDGFFEKNERTLKDAMDWHEYGRLVNSTINVHSAAMHHIRQPITVPGNNCNGMANIPSVNNLSVPSPTNKGNSVWPSPIQAVKSANQKFYEMLSRSYKMAHDKKLKFMRLYLLSLCRYCLVALKKDGDSALPSAFNKLRMAYRLLEVTESYMTYPSKAELPDREMANGCETFSLAADAYNVAKGFKEFLADAKHKLKKAEQMYYDANHVSDDFTLKPRRVNTSSSSLLPLAAWSTMELHSSDMLGTTITSTITSITTTTTTTTATATATATVTTTVIATATVTATAIATNTVTITASSAKKPTDGENVSIGKFTACFKGETRKPKTSTIQGTMAINKDQGFPGNNGSVNRRRPPDEPLPSLPPPFLKEEKRRNARRSSNNERTNPPKHPPAPSICPPLLCITTAEELRQKKEPIKEAVEIPKHKRKGKPAPKEVATVATQRKPKTATEKHDPKAPAAHLSSHGPVRSLLDLDIKVWLNKLNEHDRLPSLIETQMVPIPSFTPEENSISLNNNSGSSSMLTSLTLSAGCIEKSKATNGGSIICPPLVKIQLTEEQDTNSDSTSSDTEIFERSKPVIKGPGRYKKKEPALPINPRPEKRPAPKHATAKNRGRPPDHLEVSEKEKPTSSTINPKPWTFEDTSTNKEVKKPQKKGITRQSVTESTTSLKHKLAKTEPIKQTPRPRAKERILSLLPREKPEAPVQSANTKVKMQVEMPQIIPPPLSITEMPTENQGCIFSKNQSTVKNLWKDLQWKNTSPPEPEPTAVRPAENSESDTTSSETDSDSESSSESESSSGSGGSAQVAPPPQPTALPPNVPNNRPKAKEVAQYLETATCQTTLTKVPELDDEDSSLKLDDELMSKFSVSKTSARTTPDNWKQLTFGPTLHQSEQGPISFTSQESHGATHMSTLPTPWSHTMERLIEEVCSPDSPLSVESTQLITFKPLKTKSSAASPKGDLLTGFSLNGFSNEFEISPKLTPSIDPAQDQGDMPRVYATPKQQGMSTKCYLGPPFNQVSLGSSPALLSSAVLPSGPAQVAFESEEPSNSQFIEKFADEGSSNPNSDEKASPNSEEVEGIQPQEAPYVFFDELKAQSQDQTLTQMKNPQGSAQSALVTSPNHSDRSWEPKSKRNSHILTSNLIVVSPPPMPASPHTNDQHPPIIRNKQEPFFSPENKNNPNQPVSNKPIGVLHNQNSHNTLLNKMEDYNGLTNQVRNALADGKNTYEAVKYPEGFLDFGSSFLDDEQQVEQNRREWERKKLASRDQEYHCQQELDWDRFFDFLDM
+>sp|Q9Y4W6|AFG32_HUMAN AFG3-like protein 2 OS=Homo sapiens OX=9606 GN=AFG3L2 PE=1 SV=2
+MAHRCLRLWGRGGCWPRGLQQLLVPGGVGPGEQPCLRTLYRFVTTQARASRNSLLTDIIAAYQRFCSRPPKGFEKYFPNGKNGKKASEPKEVMGEKKESKPAATTRSSGGGGGGGGKRGGKKDDSHWWSRFQKGDIPWDDKDFRMFFLWTALFWGGVMFYLLLKRSGREITWKDFVNNYLSKGVVDRLEVVNKRFVRVTFTPGKTPVDGQYVWFNIGSVDTFERNLETLQQELGIEGENRVPVVYIAESDGSFLLSMLPTVLIIAFLLYTIRRGPAGIGRTGRGMGGLFSVGETTAKVLKDEIDVKFKDVAGCEEAKLEIMEFVNFLKNPKQYQDLGAKIPKGAILTGPPGTGKTLLAKATAGEANVPFITVSGSEFLEMFVGVGPARVRDLFALARKNAPCILFIDEIDAVGRKRGRGNFGGQSEQENTLNQLLVEMDGFNTTTNVVILAGTNRPDILDPALLRPGRFDRQIFIGPPDIKGRASIFKVHLRPLKLDSTLEKDKLARKLASLTPGFSGADVANVCNEAALIAARHLSDSINQKHFEQAIERVIGGLEKKTQVLQPEEKKTVAYHEAGHAVAGWYLEHADPLLKVSIIPRGKGLGYAQYLPKEQYLYTKEQLLDRMCMTLGGRVSEEIFFGRITTGAQDDLRKVTQSAYAQIVQFGMNEKVGQISFDLPRQGDMVLEKPYSEATARLIDDEVRILINDAYKRTVALLTEKKADVEKVALLLLEKEVLDKNDMVELLGPRPFAEKSTYEEFVEGTGSLDEDTSLPEGLKDWNKEREKEKEEPPGEKVAN
+>DECOY_sp|Q9Y4W6|AFG32_HUMAN AFG3-like protein 2 OS=Homo sapiens OX=9606 GN=AFG3L2 PE=1 SV=2
+NAVKEGPPEEKEKEREKNWDKLGEPLSTDEDLSGTGEVFEEYTSKEAFPRPGLLEVMDNKDLVEKELLLLAVKEVDAKKETLLAVTRKYADNILIRVEDDILRATAESYPKELVMDGQRPLDFSIQGVKENMGFQVIQAYASQTVKRLDDQAGTTIRGFFIEESVRGGLTMCMRDLLQEKTYLYQEKPLYQAYGLGKGRPIISVKLLPDAHELYWGAVAHGAEHYAVTKKEEPQLVQTKKELGGIVREIAQEFHKQNISDSLHRAAILAAENCVNAVDAGSFGPTLSALKRALKDKELTSDLKLPRLHVKFISARGKIDPPGIFIQRDFRGPRLLAPDLIDPRNTGALIVVNTTTNFGDMEVLLQNLTNEQESQGGFNGRGRKRGVADIEDIFLICPANKRALAFLDRVRAPGVGVFMELFESGSVTIFPVNAEGATAKALLTKGTGPPGTLIAGKPIKAGLDQYQKPNKLFNVFEMIELKAEECGAVDKFKVDIEDKLVKATTEGVSFLGGMGRGTRGIGAPGRRITYLLFAIILVTPLMSLLFSGDSEAIYVVPVRNEGEIGLEQQLTELNREFTDVSGINFWVYQGDVPTKGPTFTVRVFRKNVVELRDVVGKSLYNNVFDKWTIERGSRKLLLYFMVGGWFLATWLFFMRFDKDDWPIDGKQFRSWWHSDDKKGGRKGGGGGGGGSSRTTAAPKSEKKEGMVEKPESAKKGNKGNPFYKEFGKPPRSCFRQYAAIIDTLLSNRSARAQTTVFRYLTRLCPQEGPGVGGPVLLQQLGRPWCGGRGWLRLCRHAM
+>sp|Q5BKT4|AG10A_HUMAN Dol-P-Glc:Glc(2)Man(9)GlcNAc(2)-PP-Dol alpha-1,2-glucosyltransferase OS=Homo sapiens OX=9606 GN=ALG10 PE=2 SV=1
+MAQLEGYYFSAALSCTFLVSCLLFSAFSRALREPYMDEIFHLPQAQRYCEGHFSLSQWDPMITTLPGLYLVSIGVIKPAIWIFGWSEHVVCSIGMLRFVNLLFSVGNFYLLYLLFCKVQPRNKAASSIQRVLSTLTLAVFPTLYFFNFLYYTEAGSMFFTLFAYLMCLYGNHKTSAFLGFCGFMFRQTNIIWAVFCAGNVIAQKLTEAWKTELQKKEDRLPPIKGPFAEFRKILQFLLAYSMSFKNLSMLLLLTWPYILLGFLFCAFVVVNGGIVIGDRSSHEACLHFPQLFYFFSFTLFFSFPHLLSPSKIKTFLSLVWKRRILFFVVTLVSVFLVWKFTYAHKYLLADNRHYTFYVWKRVFQRYETVKYLLVPAYIFAGWSIADSLKSKSIFWNLMFFICLFTVIVPQKLLEFRYFILPYVIYRLNIPLPPTSRLICELSCYAVVNFITFFIFLNKTFQWPNSQDIQRFMW
+>DECOY_sp|Q5BKT4|AG10A_HUMAN Dol-P-Glc:Glc(2)Man(9)GlcNAc(2)-PP-Dol alpha-1,2-glucosyltransferase OS=Homo sapiens OX=9606 GN=ALG10 PE=2 SV=1
+WMFRQIDQSNPWQFTKNLFIFFTIFNVVAYCSLECILRSTPPLPINLRYIVYPLIFYRFELLKQPVIVTFLCIFFMLNWFISKSKLSDAISWGAFIYAPVLLYKVTEYRQFVRKWVYFTYHRNDALLYKHAYTFKWVLFVSVLTVVFFLIRRKWVLSLFTKIKSPSLLHPFSFFLTFSFFYFLQPFHLCAEHSSRDGIVIGGNVVVFACFLFGLLIYPWTLLLLMSLNKFSMSYALLFQLIKRFEAFPGKIPPLRDEKKQLETKWAETLKQAIVNGACFVAWIINTQRFMFGCFGLFASTKHNGYLCMLYAFLTFFMSGAETYYLFNFFYLTPFVALTLTSLVRQISSAAKNRPQVKCFLLYLLYFNGVSFLLNVFRLMGISCVVHESWGFIWIAPKIVGISVLYLGPLTTIMPDWQSLSFHGECYRQAQPLHFIEDMYPERLARSFASFLLCSVLFTCSLAASFYYGELQAM
+>sp|O95433|AHSA1_HUMAN Activator of 90 kDa heat shock protein ATPase homolog 1 OS=Homo sapiens OX=9606 GN=AHSA1 PE=1 SV=1
+MAKWGEGDPRWIVEERADATNVNNWHWTERDASNWSTDKLKTLFLAVQVQNEEGKCEVTEVSKLDGEASINNRKGKLIFFYEWSVKLNWTGTSKSGVQYKGHVEIPNLSDENSVDEVEISVSLAKDEPDTNLVALMKEEGVKLLREAMGIYISTLKTEFTQGMILPTMNGESVDPVGQPALKTEERKAKPAPSKTQARPVGVKIPTCKITLKETFLTSPEELYRVFTTQELVQAFTHAPATLEADRGGKFHMVDGNVSGEFTDLVPEKHIVMKWRFKSWPEGHFATITLTFIDKNGETELCMEGRGIPAPEEERTRQGWQRYYFEGIKQTFGYGARLF
+>DECOY_sp|O95433|AHSA1_HUMAN Activator of 90 kDa heat shock protein ATPase homolog 1 OS=Homo sapiens OX=9606 GN=AHSA1 PE=1 SV=1
+FLRAGYGFTQKIGEFYYRQWGQRTREEEPAPIGRGEMCLETEGNKDIFTLTITAFHGEPWSKFRWKMVIHKEPVLDTFEGSVNGDVMHFKGGRDAELTAPAHTFAQVLEQTTFVRYLEEPSTLFTEKLTIKCTPIKVGVPRAQTKSPAPKAKREETKLAPQGVPDVSEGNMTPLIMGQTFETKLTSIYIGMAERLLKVGEEKMLAVLNTDPEDKALSVSIEVEDVSNEDSLNPIEVHGKYQVGSKSTGTWNLKVSWEYFFILKGKRNNISAEGDLKSVETVECKGEENQVQVALFLTKLKDTSWNSADRETWHWNNVNTADAREEVIWRPDGEGWKAM
+>sp|Q92667|AKAP1_HUMAN A-kinase anchor protein 1, mitochondrial OS=Homo sapiens OX=9606 GN=AKAP1 PE=1 SV=1
+MAIQFRSLFPLALPGMLALLGWWWFFSRKKGHVSSHDEQQVEAGAVQLRADPAIKEPLPVEDVCPKVVSTPPSVTEPPEKELSTVSKLPAEPPALLQTHPPCRRSESSGILPNTTDMRLRPGTRRDDSTKLELALTGGEAKSIPLECPLSSPKGVLFSSKSAEVCKQDSPFSRVPRKVQPGYPVVPAEKRSSGERARETGGAEGTGDAVLGEKVLEEALLSREHVLELENSKGPSLASLEGEEDKGKSSSSQVVGPVQEEEYVAEKLPSRFIESAHTELAKDDAAPAPPVADAKAQDRGVEGELGNEESLDRNEEGLDRNEEGLDRNEESLDRNEEGLDRNEEIKRAAFQIISQVISEATEQVLATTVGKVAGRVCQASQLQGQKEESCVPVHQKTVLGPDTAEPATAEAAVAPPDAGLPLPGLPAEGSPPPKTYVSCLKSLLSSPTKDSKPNISAHHISLASCLALTTPSEELPDRAGILVEDATCVTCMSDSSQSVPLVASPGHCSDSFSTSGLEDSCTETSSSPRDKAITPPLPESTVPFSNGVLKGELSDLGAEDGWTMDAEADHSGGSDRNSMDSVDSCCSLKKTESFQNAQAGSNPKKVDLIIWEIEVPKHLVGRLIGKQGRYVSFLKQTSGAKIYISTLPYTQSVQICHIEGSQHHVDKALNLIGKKFKELNLTNIYAPPLPSLALPSLPMTSWLMLPDGITVEVIVVNQVNAGHLFVQQHTHPTFHALRSLDQQMYLCYSQPGIPTLPTPVEITVICAAPGADGAWWRAQVVASYEETNEVEIRYVDYGGYKRVKVDVLRQIRSDFVTLPFQGAEVLLDSVMPLSDDDQFSPEADAAMSEMTGNTALLAQVTSYSPTGLPLIQLWSVVGDEVVLINRSLVERGLAQWVDSYYTSL
+>DECOY_sp|Q92667|AKAP1_HUMAN A-kinase anchor protein 1, mitochondrial OS=Homo sapiens OX=9606 GN=AKAP1 PE=1 SV=1
+LSTYYSDVWQALGREVLSRNILVVEDGVVSWLQILPLGTPSYSTVQALLATNGTMESMAADAEPSFQDDDSLPMVSDLLVEAGQFPLTVFDSRIQRLVDVKVRKYGGYDVYRIEVENTEEYSAVVQARWWAGDAGPAACIVTIEVPTPLTPIGPQSYCLYMQQDLSRLAHFTPHTHQQVFLHGANVQNVVIVEVTIGDPLMLWSTMPLSPLALSPLPPAYINTLNLEKFKKGILNLAKDVHHQSGEIHCIQVSQTYPLTSIYIKAGSTQKLFSVYRGQKGILRGVLHKPVEIEWIILDVKKPNSGAQANQFSETKKLSCCSDVSDMSNRDSGGSHDAEADMTWGDEAGLDSLEGKLVGNSFPVTSEPLPPTIAKDRPSSSTETCSDELGSTSFSDSCHGPSAVLPVSQSSDSMCTVCTADEVLIGARDPLEESPTTLALCSALSIHHASINPKSDKTPSSLLSKLCSVYTKPPPSGEAPLGPLPLGADPPAVAAEATAPEATDPGLVTKQHVPVCSEEKQGQLQSAQCVRGAVKGVTTALVQETAESIVQSIIQFAARKIEENRDLGEENRDLSEENRDLGEENRDLGEENRDLSEENGLEGEVGRDQAKADAVPPAPAADDKALETHASEIFRSPLKEAVYEEEQVPGVVQSSSSKGKDEEGELSALSPGKSNELELVHERSLLAEELVKEGLVADGTGEAGGTERAREGSSRKEAPVVPYGPQVKRPVRSFPSDQKCVEASKSSFLVGKPSSLPCELPISKAEGGTLALELKTSDDRRTGPRLRMDTTNPLIGSSESRRCPPHTQLLAPPEAPLKSVTSLEKEPPETVSPPTSVVKPCVDEVPLPEKIAPDARLQVAGAEVQQEDHSSVHGKKRSFFWWWGLLALMGPLALPFLSRFQIAM
+>sp|P24588|AKAP5_HUMAN A-kinase anchor protein 5 OS=Homo sapiens OX=9606 GN=AKAP5 PE=1 SV=3
+METTISEIHVENKDEKRSAEGSPGAERQKEKASMLCFKRRKKAAKALKPKAGSEAADVARKCPQEAGASDQPEPTRGAWASLKRLVTRRKRSESSKQQKPLEGEMQPAINAEDADLSKKKAKSRLKIPCIKFPRGPKRSNHSKIIEDSDCSIKVQEEAEILDIQTQTPLNDQATKAKSTQDLSEGISRKDGDEVCESNVSNSTTSGEKVISVELGLDNGHSAIQTGTLILEEIETIKEKQDVQPQQASPLETSETDHQQPVLSDVPPLPAIPDQQIVEEASNSTLESAPNGKDYESTEIVAEETKPKDTELSQESDFKENGITEEKSKSEESKRMEPIAIIITDTEISEFDVTKSKNVPKQFLISAENEQVGVFANDNGFEDRTSEQYETLLIETASSLVKNAIQLSIEQLVNEMASDDNKINNLLQ
+>DECOY_sp|P24588|AKAP5_HUMAN A-kinase anchor protein 5 OS=Homo sapiens OX=9606 GN=AKAP5 PE=1 SV=3
+QLLNNIKNDDSAMENVLQEISLQIANKVLSSATEILLTEYQESTRDEFGNDNAFVGVQENEASILFQKPVNKSKTVDFESIETDTIIIAIPEMRKSEESKSKEETIGNEKFDSEQSLETDKPKTEEAVIETSEYDKGNPASELTSNSAEEVIQQDPIAPLPPVDSLVPQQHDTESTELPSAQQPQVDQKEKITEIEELILTGTQIASHGNDLGLEVSIVKEGSTTSNSVNSECVEDGDKRSIGESLDQTSKAKTAQDNLPTQTQIDLIEAEEQVKISCDSDEIIKSHNSRKPGRPFKICPIKLRSKAKKKSLDADEANIAPQMEGELPKQQKSSESRKRRTVLRKLSAWAGRTPEPQDSAGAEQPCKRAVDAAESGAKPKLAKAAKKRRKFCLMSAKEKQREAGPSGEASRKEDKNEVHIESITTEM
+>sp|Q13023|AKAP6_HUMAN A-kinase anchor protein 6 OS=Homo sapiens OX=9606 GN=AKAP6 PE=1 SV=3
+MLTMSVTLSPLRSQDLDPMATDASPMAINMTPTVEQGEGEEAMKDMDSDQQYEKPPPLHTGADWKIVLHLPEIETWLRMTSERVRDLTYSVQQDSDSKHVDVHLVQLKDICEDISDHVEQIHALLETEFSLKLLSYSVNVIVDIHAVQLLWHQLRVSVLVLRERILQGLQDANGNYTRQTDILQAFSEETKEGRLDSLTEVDDSGQLTIKCSQNYLSLDCGITAFELSDYSPSEDLLSGLGDMTSSQVKTKPFDSWSYSEMEKEFPELIRSVGLLTVAADSISTNGSEAVTEEVSQVSLSVDDKGGCEEDNASAVEEQPGLTLGVSSSSGEALTNAAQPSSETVQQESSSSSHHDAKNQQPVPCENATPKRTIRDCFNYNEDSPTQPTLPKRGLFLKEETFKNDLKGNGGKRQMVDLKPEMSRSTPSLVDPPDRSKLCLVLQSSYPNSPSAASQSYECLHKVGNGNLENTVKFHIKEISSSLGRLNDCYKEKSRLKKPHKTSEEVPPCRTPKRGTGSGKQAKNTKSSAVPNGELSYTSKAIEGPQTNSASTSSLEPCNQRSWNAKLQLQSETSSSPAFTQSSESSVGSDNIMSPVPLLSKHKSKKGQASSPSHVTRNGEVVEAWYGSDEYLALPSHLKQTEVLALKLENLTKLLPQKPRGETIQNIDDWELSEMNSDSEIYPTYHVKKKHTRLGRVSPSSSSDIASSLGESIESGPLSDILSDEESSMPLAGMKKYADEKSERASSSEKNESHSATKSALIQKLMQDIQHQDNYEAIWEKIEGFVNKLDEFIQWLNEAMETTENWTPPKAEMDDLKLYLETHLSFKLNVDSHCALKEAVEEEGHQLLELIASHKAGLKDMLRMIASQWKELQRQIKRQHSWILRALDTIKAEILATDVSVEDEEGTGSPKAEVQLCYLEAQRDAVEQMSLKLYSEQYTSSSKRKEEFADMSKVHSVGSNGLLDFDSEYQELWDWLIDMESLVMDSHDLMMSEEQQQHLYKRYSVEMSIRHLKKTELLSKVEALKKGGVLLPNDLLEKVDSINEKWELLGKTLGEKIQDTMAGHSGSSPRDLLSPESGSLVRQLEVRIKELKGWLRDTELFIFNSCLRQEKEGTMNTEKQLQYFKSLCREIKQRRRGVASILRLCQHLLDDRETCNLNADHQPMQLIIVNLERRWEAIVMQAVQWQTRLQKKMGKESETLNVIDPGLMDLNGMSEDALEWDEMDISNKLISLNEESNDLDQELQPVIPSLKLGETSNEDPGYDEEADNHGGSQYASNITAPSSPHIYQVYSLHNVELYEDNHMPFLKNNPKVTGMTQPNVLTKSLSKDSSFSSTKSLPDLLGGSNLVKPCACHGGDMSQNSGSESGIVSEGDTETTTNSEMCLLNAVDGSPSNLETEHLDPQMGDAVNVLKQKFTDEGESIKLPNSSQSSISPVGCVNGKVGDLNSITKHTPDCLGEELQGKHDVFTFYDYSYLQGSKLKLPMIMKQSQSEKAHVEDPLLRGFYFDKKSCKSKHQTTELQPDVPPHERILASASHEMDRISYKSGNIEKTFTGMQNAKQLSLLSHSSSIESLSPGGDLFGLGIFKNGSDSLQRSTSLESWLTSYKSNEDLFSCHSSGDISVSSGSVGELSKRTLDLLNRLENIQSPSEQKIKRSVSDITLQSSSQKMSFTGQMSLDIASSINEDSAASLTELSSSDELSLCSEDIVLHKNKIPESNASFRKRLTRSVADESDVNVSMIVNVSCTSACTDDEDDSDLLSSSTLTLTEEELCIKDEDDDSSIATDDEIYEDCTLMSGLDYIKNELQTWIRPKLSLTRDKKRCNVSDEMKGSKDISSSEMTNPSDTLNIETLLNGSVKRVSENNGNGKNSSHTHELGTKRENKKTIFKVNKDPYVADMENGNIEGIPERQKGKPNVTSKVSENLGSHGKEISESEHCKCKALMDSLDDSNTAGKEFVSQDVRHLPKKCPNHHHFENQSTASTPTEKSFSELALETRFNNRQDSDALKSSDDAPSMAGKSAGCCLALEQNGTEENASISNISCCNCEPDVFHQKDAEDCSVHNFVKEIIDMASTALKSKSQPENEVAAPTSLTQIKEKVLEHSHRPIQLRKGDFYSYLSLSSHDSDCGEVTNYIEEKSSTPLPLDTTDSGLDDKEDIECFFEACVEGDSDGEEPCFSSAPPNESAVPSEAAMPLQATACSSEFSDSSLSADDADTVALSSPSSQERAEVGKEVNGLPQTSSGCAENLEFTPSKLDSEKESSGKPGESGMPEEHNAASAKSKVQDLSLKANQPTDKAALHPSPKTLTCEENLLNLHEKRHRNMHR
+>DECOY_sp|Q13023|AKAP6_HUMAN A-kinase anchor protein 6 OS=Homo sapiens OX=9606 GN=AKAP6 PE=1 SV=3
+RHMNRHRKEHLNLLNEECTLTKPSPHLAAKDTPQNAKLSLDQVKSKASAANHEEPMGSEGPKGSSEKESDLKSPTFELNEACGSSTQPLGNVEKGVEAREQSSPSSLAVTDADDASLSSDSFESSCATAQLPMAAESPVASENPPASSFCPEEGDSDGEVCAEFFCEIDEKDDLGSDTTDLPLPTSSKEEIYNTVEGCDSDHSSLSLYSYFDGKRLQIPRHSHELVKEKIQTLSTPAAVENEPQSKSKLATSAMDIIEKVFNHVSCDEADKQHFVDPECNCCSINSISANEETGNQELALCCGASKGAMSPADDSSKLADSDQRNNFRTELALESFSKETPTSATSQNEFHHHNPCKKPLHRVDQSVFEKGATNSDDLSDMLAKCKCHESESIEKGHSGLNESVKSTVNPKGKQREPIGEINGNEMDAVYPDKNVKFITKKNERKTGLEHTHSSNKGNGNNESVRKVSGNLLTEINLTDSPNTMESSSIDKSGKMEDSVNCRKKDRTLSLKPRIWTQLENKIYDLGSMLTCDEYIEDDTAISSDDDEDKICLEEETLTLTSSSLLDSDDEDDTCASTCSVNVIMSVNVDSEDAVSRTLRKRFSANSEPIKNKHLVIDESCLSLEDSSSLETLSAASDENISSAIDLSMQGTFSMKQSSSQLTIDSVSRKIKQESPSQINELRNLLDLTRKSLEGVSGSSVSIDGSSHCSFLDENSKYSTLWSELSTSRQLSDSGNKFIGLGFLDGGPSLSEISSSHSLLSLQKANQMGTFTKEINGSKYSIRDMEHSASALIREHPPVDPQLETTQHKSKCSKKDFYFGRLLPDEVHAKESQSQKMIMPLKLKSGQLYSYDYFTFVDHKGQLEEGLCDPTHKTISNLDGVKGNVCGVPSISSQSSNPLKISEGEDTFKQKLVNVADGMQPDLHETELNSPSGDVANLLCMESNTTTETDGESVIGSESGSNQSMDGGHCACPKVLNSGGLLDPLSKTSSFSSDKSLSKTLVNPQTMGTVKPNNKLFPMHNDEYLEVNHLSYVQYIHPSSPATINSAYQSGGHNDAEEDYGPDENSTEGLKLSPIVPQLEQDLDNSEENLSILKNSIDMEDWELADESMGNLDMLGPDIVNLTESEKGMKKQLRTQWQVAQMVIAEWRRELNVIILQMPQHDANLNCTERDDLLHQCLRLISAVGRRRQKIERCLSKFYQLQKETNMTGEKEQRLCSNFIFLETDRLWGKLEKIRVELQRVLSGSEPSLLDRPSSGSHGAMTDQIKEGLTKGLLEWKENISDVKELLDNPLLVGGKKLAEVKSLLETKKLHRISMEVSYRKYLHQQQEESMMLDHSDMVLSEMDILWDWLEQYESDFDLLGNSGVSHVKSMDAFEEKRKSSSTYQESYLKLSMQEVADRQAELYCLQVEAKPSGTGEEDEVSVDTALIEAKITDLARLIWSHQRKIQRQLEKWQSAIMRLMDKLGAKHSAILELLQHGEEEVAEKLACHSDVNLKFSLHTELYLKLDDMEAKPPTWNETTEMAENLWQIFEDLKNVFGEIKEWIAEYNDQHQIDQMLKQILASKTASHSENKESSSARESKEDAYKKMGALPMSSEEDSLIDSLPGSEISEGLSSAIDSSSSPSVRGLRTHKKKVHYTPYIESDSNMESLEWDDINQITEGRPKQPLLKTLNELKLALVETQKLHSPLALYEDSGYWAEVVEGNRTVHSPSSAQGKKSKHKSLLPVPSMINDSGVSSESSQTFAPSSSTESQLQLKANWSRQNCPELSSTSASNTQPGEIAKSTYSLEGNPVASSKTNKAQKGSGTGRKPTRCPPVEESTKHPKKLRSKEKYCDNLRGLSSSIEKIHFKVTNELNGNGVKHLCEYSQSAASPSNPYSSQLVLCLKSRDPPDVLSPTSRSMEPKLDVMQRKGGNGKLDNKFTEEKLFLGRKPLTPQTPSDENYNFCDRITRKPTANECPVPQQNKADHHSSSSSEQQVTESSPQAANTLAEGSSSSVGLTLGPQEEVASANDEECGGKDDVSLSVQSVEETVAESGNTSISDAAVTLLGVSRILEPFEKEMESYSWSDFPKTKVQSSTMDGLGSLLDESPSYDSLEFATIGCDLSLYNQSCKITLQGSDDVETLSDLRGEKTEESFAQLIDTQRTYNGNADQLGQLIRERLVLVSVRLQHWLLQVAHIDVIVNVSYSLLKLSFETELLAHIQEVHDSIDECIDKLQVLHVDVHKSDSDQQVSYTLDRVRESTMRLWTEIEPLHLVIKWDAGTHLPPPKEYQQDSDMDKMAEEGEGQEVTPTMNIAMPSADTAMPDLDQSRLPSLTVSMTLM
+>sp|A6NHY2|AKD1B_HUMAN Ankyrin repeat and death domain-containing protein 1B OS=Homo sapiens OX=9606 GN=ANKDD1B PE=4 SV=3
+MDPAGRARGQGATAGGLLLRAAAAAKGLREDLWGAAALPWRSLSRIPKREGLGEEDTAVAGHELLLPNERSFQNAAKSNNLDLMEKLFEKKVNINVVNNMNRTALHFAVGRNHLSAVDFLLKHKARVDVADKHGLTVIHLAAWSGSLEVMLMLVKAGADQRAKNQDGMSALHFATQSNHVRIVEYLIQDLHLKDLNQPDEKGRKPFLLAAERGHVEMIEKLTFLNLHTSEKDKGGNTALHLAAKHGHSPAVQVLLAQWQDINEMNELNISSLQIATRNGHASLVNFLLSENVDLHQKVEPKESPLHLVVINNHITVVNSLLSAQHDIDILNQKQQTPLHVAADRGNVELVETLLKAGCDLKAVDKQGKTALAVASRSNHSLVVGMLIKAERYYAWREEHHESIRDPSTGFTLTFKQDHSLETRHIRTLLWDLAYHQLKANEWQRLARSWNFTDDQIRAIEEQWSGNESFREHGHRALLIWLHGTLMTQGDPAKQLYEELVHAGFPKLAEKTRHFKSKTDSNSKKCVVS
+>DECOY_sp|A6NHY2|AKD1B_HUMAN Ankyrin repeat and death domain-containing protein 1B OS=Homo sapiens OX=9606 GN=ANKDD1B PE=4 SV=3
+SVVCKKSNSDTKSKFHRTKEALKPFGAHVLEEYLQKAPDGQTMLTGHLWILLARHGHERFSENGSWQEEIARIQDDTFNWSRALRQWENAKLQHYALDWLLTRIHRTELSHDQKFTLTFGTSPDRISEHHEERWAYYREAKILMGVVLSHNSRSAVALATKGQKDVAKLDCGAKLLTEVLEVNGRDAAVHLPTQQKQNLIDIDHQASLLSNVVTIHNNIVVLHLPSEKPEVKQHLDVNESLLFNVLSAHGNRTAIQLSSINLENMENIDQWQALLVQVAPSHGHKAALHLATNGGKDKESTHLNLFTLKEIMEVHGREAALLFPKRGKEDPQNLDKLHLDQILYEVIRVHNSQTAFHLASMGDQNKARQDAGAKVLMLMVELSGSWAALHIVTLGHKDAVDVRAKHKLLFDVASLHNRGVAFHLATRNMNNVVNINVKKEFLKEMLDLNNSKAANQFSRENPLLLEHGAVATDEEGLGERKPIRSLSRWPLAAAGWLDERLGKAAAAARLLLGGATAGQGRARGAPDM
+>sp|Q9NWT8|AKIP_HUMAN Aurora kinase A-interacting protein OS=Homo sapiens OX=9606 GN=AURKAIP1 PE=1 SV=1
+MLLGRLTSQLLRAVPWAGGRPPWPVSGVLGSRVCGPLYSTSPAGPGRAASLPRKGAQLELEEMLVPRKMSVSPLESWLTARCFLPRLDTGTAGTVAPPQSYQCPPSQIGEGAEQGDEGVADAPQIQCKNVLKIRRRKMNHHKYRKLVKKTRFLRRKVQEGRLRRKQIKFEKDLRRIWLKAGLKEAPEGWQTPKIYLRGK
+>DECOY_sp|Q9NWT8|AKIP_HUMAN Aurora kinase A-interacting protein OS=Homo sapiens OX=9606 GN=AURKAIP1 PE=1 SV=1
+KGRLYIKPTQWGEPAEKLGAKLWIRRLDKEFKIQKRRLRGEQVKRRLFRTKKVLKRYKHHNMKRRRIKLVNKCQIQPADAVGEDGQEAGEGIQSPPCQYSQPPAVTGATGTDLRPLFCRATLWSELPSVSMKRPVLMEELELQAGKRPLSAARGPGAPSTSYLPGCVRSGLVGSVPWPPRGGAWPVARLLQSTLRGLLM
+>sp|Q7Z591|AKNA_HUMAN AT-hook-containing transcription factor OS=Homo sapiens OX=9606 GN=AKNA PE=1 SV=2
+MASSETEIRWAEPGLGKGPQRRRWAWAEDKRDVDRSSSQSWEEERLFPNATSPELLEDFRLAQQHLPPLEWDPHPQPDGHQDSESGETSGEEAEAEDVDSPASSHEPLAWLPQQGRQLDMTEEEPDGTLGSLEVEEAGESSSRLGYEAGLSLEGHGNTSPMALGHGQARGWVASGEQASGDKLSEHSEVNPSVELSPARSWSSGTVSLDHPSDSLDSTWEGETDGPQPTALAETLPEGPSHHLLSPDGRTGGSVARATPMEFQDSSAPPAQSPQHATDRWRRETTRFFCPQPKEHIWKQTKTSPKPLPSRFIGSISPLNPQPRPTRQGRPLPRQGATLAGRSSSNAPKYGRGQLNYPLPDFSKVGPRVRFPKDESYRPPKSRSHNRKPQAPARPLIFKSPAEIVQEVLLSSGEAALAKDTPPAHPITRVPQEFQTPEQATELVHQLQEDYHRLLTKYAEAENTIDQLRLGAKVNLFSDPPQPNHSIHTGMVPQGTKVLSFTIPQPRSAEWWPGPAEDPQASAASGWPSARGDLSPSSLTSMPTLGWLPENRDISEDQSSAEQTQALASQASQFLAKVESFERLIQAGRLMPQDQVKGFQRLKAAHAALEEEYLKACREQHPAQPLAGSKGTPGRFDPRRELEAEIYRLGSCLEELKEHIDQTQQEPEPPGSDSALDSTPALPCLHQPTHLPAPSGQAPMPAIKTSCPEPATTTAAASTGPCPLHVNVEVSSGNSEVEDRPQDPLARLRHKELQMEQVYHGLMERYLSVKSLPEAMRMEEEEEGEEEEEEEGGGDSLEVDGVAATPGKAEATRVLPRQCPVQAEKSHGAPLEEATEKMVSMKPPGFQASLARDGHMSGLGKAEAAPPGPGVPPHPPGTKSAASHQSSMTSLEGSGISERLPQKPLHRGGGPHLEETWMASPETDSGFVGSETSRVSPLTQTPEHRLSHISTAGTLAQPFAASVPRDGASYPKARGSLIPRRATEPSTPRSQAQRYLSSPSGPLRQRAPNFSLERTLAAEMAVPGSEFEGHKRISEQPLPNKTISPPPAPAPAAAPLPCGPTETIPSFLLTRAGRDQAICELQEEVSRLRLRLEDSLHQPLQGSPTRPASAFDRPARTRGRPADSPATWGSHYGSKSTERLPGEPRGEEQIVPPGRQRARSSSVPREVLRLSLSSESELPSLPLFSEKSKTTKDSPQAARDGKRGVGSAGWPDRVTFRGQYTGHEYHVLSPKAVPKGNGTVSCPHCRPIRTQDAGGAVTGDPLGPPPADTLQCPLCGQVGSPPEADGPGSATSGAEKATTRRKASSTPSPKQRSKQAGSSPRPPPGLWYLATAPPAPAPPAFAYISSVPIMPYPPAAVYYAPAGPTSAQPAAKWPPTASPPPARRHRHSIQLDLGDLEELNKALSRAVQAAESVRSTTRQMRSSLSADLRQAHSLRGSCLF
+>DECOY_sp|Q7Z591|AKNA_HUMAN AT-hook-containing transcription factor OS=Homo sapiens OX=9606 GN=AKNA PE=1 SV=2
+FLCSGRLSHAQRLDASLSSRMQRTTSRVSEAAQVARSLAKNLEELDGLDLQISHRHRRAPPPSATPPWKAAPQASTPGAPAYYVAAPPYPMIPVSSIYAFAPPAPAPPATALYWLGPPPRPSSGAQKSRQKPSPTSSAKRRTTAKEAGSTASGPGDAEPPSGVQGCLPCQLTDAPPPGLPDGTVAGGADQTRIPRCHPCSVTGNGKPVAKPSLVHYEHGTYQGRFTVRDPWGASGVGRKGDRAAQPSDKTTKSKESFLPLSPLESESSLSLRLVERPVSSSRARQRGPPVIQEEGRPEGPLRETSKSGYHSGWTAPSDAPRGRTRAPRDFASAPRTPSGQLPQHLSDELRLRLRSVEEQLECIAQDRGARTLLFSPITETPGCPLPAAAPAPAPPPSITKNPLPQESIRKHGEFESGPVAMEAALTRELSFNPARQRLPGSPSSLYRQAQSRPTSPETARRPILSGRAKPYSAGDRPVSAAFPQALTGATSIHSLRHEPTQTLPSVRSTESGVFGSDTEPSAMWTEELHPGGGRHLPKQPLRESIGSGELSTMSSQHSAASKTGPPHPPVGPGPPAAEAKGLGSMHGDRALSAQFGPPKMSVMKETAEELPAGHSKEAQVPCQRPLVRTAEAKGPTAAVGDVELSDGGGEEEEEEEGEEEEEMRMAEPLSKVSLYREMLGHYVQEMQLEKHRLRALPDQPRDEVESNGSSVEVNVHLPCPGTSAAATTTAPEPCSTKIAPMPAQGSPAPLHTPQHLCPLAPTSDLASDSGPPEPEQQTQDIHEKLEELCSGLRYIEAELERRPDFRGPTGKSGALPQAPHQERCAKLYEEELAAHAAKLRQFGKVQDQPMLRGAQILREFSEVKALFQSAQSALAQTQEASSQDESIDRNEPLWGLTPMSTLSSPSLDGRASPWGSAASAQPDEAPGPWWEASRPQPITFSLVKTGQPVMGTHISHNPQPPDSFLNVKAGLRLQDITNEAEAYKTLLRHYDEQLQHVLETAQEPTQFEQPVRTIPHAPPTDKALAAEGSSLLVEQVIEAPSKFILPRAPAQPKRNHSRSKPPRYSEDKPFRVRPGVKSFDPLPYNLQGRGYKPANSSSRGALTAGQRPLPRGQRTPRPQPNLPSISGIFRSPLPKPSTKTQKWIHEKPQPCFFRTTERRWRDTAHQPSQAPPASSDQFEMPTARAVSGGTRGDPSLLHHSPGEPLTEALATPQPGDTEGEWTSDLSDSPHDLSVTGSSWSRAPSLEVSPNVESHESLKDGSAQEGSAVWGRAQGHGLAMPSTNGHGELSLGAEYGLRSSSEGAEEVELSGLTGDPEEETMDLQRGQQPLWALPEHSSAPSDVDEAEAEEGSTEGSESDQHGDPQPHPDWELPPLHQQALRFDELLEPSTANPFLREEEWSQSSSRDVDRKDEAWAWRRRQPGKGLGPEAWRIETESSAM
+>sp|Q9Y243|AKT3_HUMAN RAC-gamma serine/threonine-protein kinase OS=Homo sapiens OX=9606 GN=AKT3 PE=1 SV=1
+MSDVTIVKEGWVQKRGEYIKNWRPRYFLLKTDGSFIGYKEKPQDVDLPYPLNNFSVAKCQLMKTERPKPNTFIIRCLQWTTVIERTFHVDTPEEREEWTEAIQAVADRLQRQEEERMNCSPTSQIDNIGEEEMDASTTHHKRKTMNDFDYLKLLGKGTFGKVILVREKASGKYYAMKILKKEVIIAKDEVAHTLTESRVLKNTRHPFLTSLKYSFQTKDRLCFVMEYVNGGELFFHLSRERVFSEDRTRFYGAEIVSALDYLHSGKIVYRDLKLENLMLDKDGHIKITDFGLCKEGITDAATMKTFCGTPEYLAPEVLEDNDYGRAVDWWGLGVVMYEMMCGRLPFYNQDHEKLFELILMEDIKFPRTLSSDAKSLLSGLLIKDPNKRLGGGPDDAKEIMRHSFFSGVNWQDVYDKKLVPPFKPQVTSETDTRYFDEEFTAQTITITPPEKYDEDGMDCMDNERRPHFPQFSYSASGRE
+>DECOY_sp|Q9Y243|AKT3_HUMAN RAC-gamma serine/threonine-protein kinase OS=Homo sapiens OX=9606 GN=AKT3 PE=1 SV=1
+ERGSASYSFQPFHPRRENDMCDMGDEDYKEPPTITITQATFEEDFYRTDTESTVQPKFPPVLKKDYVDQWNVGSFFSHRMIEKADDPGGGLRKNPDKILLGSLLSKADSSLTRPFKIDEMLILEFLKEHDQNYFPLRGCMMEYMVVGLGWWDVARGYDNDELVEPALYEPTGCFTKMTAADTIGEKCLGFDTIKIHGDKDLMLNELKLDRYVIKGSHLYDLASVIEAGYFRTRDESFVRERSLHFFLEGGNVYEMVFCLRDKTQFSYKLSTLFPHRTNKLVRSETLTHAVEDKAIIVEKKLIKMAYYKGSAKERVLIVKGFTGKGLLKLYDFDNMTKRKHHTTSADMEEEGINDIQSTPSCNMREEEQRQLRDAVAQIAETWEEREEPTDVHFTREIVTTWQLCRIIFTNPKPRETKMLQCKAVSFNNLPYPLDVDQPKEKYGIFSGDTKLLFYRPRWNKIYEGRKQVWGEKVITVDSM
+>sp|Q8N8R7|AL14E_HUMAN ARL14 effector protein OS=Homo sapiens OX=9606 GN=ARL14EP PE=1 SV=1
+MMDPCSVGVQLRTTNECHKTYYTRHTGFKTLQELSSNDMLLLQLRTGMTLSGNNTICFHHVKIYIDRFEDLQKSCCDPFNIHKKLAKKNLHVIDLDDATFLSAKFGRQLVPGWKLCPKCTQIINGSVDVDTEDRQKRKPESDGRTAKALRSLQFTNPGRQTEFAPETGKREKRRLTKNATAGSDRQVIPAKSKVYDSQGLLIFSGMDLCDCLDEDCLGCFYACPACGSTKCGAECRCDRKWLYEQIEIEGGEIIHNKHAG
+>DECOY_sp|Q8N8R7|AL14E_HUMAN ARL14 effector protein OS=Homo sapiens OX=9606 GN=ARL14EP PE=1 SV=1
+GAHKNHIIEGGEIEIQEYLWKRDCRCEAGCKTSGCAPCAYFCGLCDEDLCDCLDMGSFILLGQSDYVKSKAPIVQRDSGATANKTLRRKERKGTEPAFETQRGPNTFQLSRLAKATRGDSEPKRKQRDETDVDVSGNIIQTCKPCLKWGPVLQRGFKASLFTADDLDIVHLNKKALKKHINFPDCCSKQLDEFRDIYIKVHHFCITNNGSLTMGTRLQLLLMDNSSLEQLTKFGTHRTYYTKHCENTTRLQVGVSCPDMM
+>sp|P00352|AL1A1_HUMAN Retinal dehydrogenase 1 OS=Homo sapiens OX=9606 GN=ALDH1A1 PE=1 SV=2
+MSSSGTPDLPVLLTDLKIQYTKIFINNEWHDSVSGKKFPVFNPATEEELCQVEEGDKEDVDKAVKAARQAFQIGSPWRTMDASERGRLLYKLADLIERDRLLLATMESMNGGKLYSNAYLNDLAGCIKTLRYCAGWADKIQGRTIPIDGNFFTYTRHEPIGVCGQIIPWNFPLVMLIWKIGPALSCGNTVVVKPAEQTPLTALHVASLIKEAGFPPGVVNIVPGYGPTAGAAISSHMDIDKVAFTGSTEVGKLIKEAAGKSNLKRVTLELGGKSPCIVLADADLDNAVEFAHHGVFYHQGQCCIAASRIFVEESIYDEFVRRSVERAKKYILGNPLTPGVTQGPQIDKEQYDKILDLIESGKKEGAKLECGGGPWGNKGYFVQPTVFSNVTDEMRIAKEEIFGPVQQIMKFKSLDDVIKRANNTFYGLSAGVFTKDIDKAITISSALQAGTVWVNCYGVVSAQCPFGGFKMSGNGRELGEYGFHEYTEVKTVTVKISQKNS
+>DECOY_sp|P00352|AL1A1_HUMAN Retinal dehydrogenase 1 OS=Homo sapiens OX=9606 GN=ALDH1A1 PE=1 SV=2
+SNKQSIKVTVTKVETYEHFGYEGLERGNGSMKFGGFPCQASVVGYCNVWVTGAQLASSITIAKDIDKTFVGASLGYFTNNARKIVDDLSKFKMIQQVPGFIEEKAIRMEDTVNSFVTPQVFYGKNGWPGGGCELKAGEKKGSEILDLIKDYQEKDIQPGQTVGPTLPNGLIYKKAREVSRRVFEDYISEEVFIRSAAICCQGQHYFVGHHAFEVANDLDADALVICPSKGGLELTVRKLNSKGAAEKILKGVETSGTFAVKDIDMHSSIAAGATPGYGPVINVVGPPFGAEKILSAVHLATLPTQEAPKVVVTNGCSLAPGIKWILMVLPFNWPIIQGCVGIPEHRTYTFFNGDIPITRGQIKDAWGACYRLTKICGALDNLYANSYLKGGNMSEMTALLLRDREILDALKYLLRGRESADMTRWPSGIQFAQRAAKVAKDVDEKDGEEVQCLEEETAPNFVPFKKGSVSDHWENNIFIKTYQIKLDTLLVPLDPTGSSSM
+>sp|O75891|AL1L1_HUMAN Cytosolic 10-formyltetrahydrofolate dehydrogenase OS=Homo sapiens OX=9606 GN=ALDH1L1 PE=1 SV=2
+MKIAVIGQSLFGQEVYCHLRKEGHEVVGVFTVPDKDGKADPLGLEAEKDGVPVFKYSRWRAKGQALPDVVAKYQALGAELNVLPFCSQFIPMEIISAPRHGSIIYHPSLLPRHRGASAINWTLIHGDKKGGFSIFWADDGLDTGDLLLQKECEVLPDDTVSTLYNRFLFPEGIKGMVQAVRLIAEGKAPRLPQPEEGATYEGIQKKETAKINWDQPAEAIHNWIRGNDKVPGAWTEACEQKLTFFNSTLNTSGLVPEGDALPIPGAHRPGVVTKAGLILFGNDDKMLLVKNIQLEDGKMILASNFFKGAASSVLELTEAELVTAEAVRSVWQRILPKVLEVEDSTDFFKSGAASVDVVRLVEEVKELCDGLELENEDVYMASTFGDFIQLLVRKLRGDDEEGECSIDYVEMAVNKRTVRMPHQLFIGGEFVDAEGAKTSETINPTDGSVICQVSLAQVTDVDKAVAAAKDAFENGRWGKISARDRGRLMYRLADLMEQHQEELATIEALDAGAVYTLALKTHVGMSIQTFRYFAGWCDKIQGSTIPINQARPNRNLTLTRKEPVGVCGIIIPWNYPLMMLSWKTAACLAAGNTVVIKPAQVTPLTALKFAELTLKAGIPKGVVNVLPGSGSLVGQRLSDHPDVRKIGFTGSTEVGKHIMKSCAISNVKKVSLELGGKSPLIIFADCDLNKAVQMGMSSVFFNKGENCIAAGRLFVEDSIHDEFVRRVVEEVRKMKVGNPLDRDTDHGPQNHHAHLVKLMEYCQHGVKEGATLVCGGNQVPRPGFFFEPTVFTDVEDHMFIAKEESFGPVMIISRFADGDLDAVLSRANATEFGLASGVFTRDINKALYVSDKLQAGTVFVNTYNKTDVAAPFGGFKQSGFGKDLGEAALNEYLRVKTVTFEY
+>DECOY_sp|O75891|AL1L1_HUMAN Cytosolic 10-formyltetrahydrofolate dehydrogenase OS=Homo sapiens OX=9606 GN=ALDH1L1 PE=1 SV=2
+YEFTVTKVRLYENLAAEGLDKGFGSQKFGGFPAAVDTKNYTNVFVTGAQLKDSVYLAKNIDRTFVGSALGFETANARSLVADLDGDAFRSIIMVPGFSEEKAIFMHDEVDTFVTPEFFFGPRPVQNGGCVLTAGEKVGHQCYEMLKVLHAHHNQPGHDTDRDLPNGVKMKRVEEVVRRVFEDHISDEVFLRGAAICNEGKNFFVSSMGMQVAKNLDCDAFIILPSKGGLELSVKKVNSIACSKMIHKGVETSGTFGIKRVDPHDSLRQGVLSGSGPLVNVVGKPIGAKLTLEAFKLATLPTVQAPKIVVTNGAALCAATKWSLMMLPYNWPIIIGCVGVPEKRTLTLNRNPRAQNIPITSGQIKDCWGAFYRFTQISMGVHTKLALTYVAGADLAEITALEEQHQEMLDALRYMLRGRDRASIKGWRGNEFADKAAAVAKDVDTVQALSVQCIVSGDTPNITESTKAGEADVFEGGIFLQHPMRVTRKNVAMEVYDISCEGEEDDGRLKRVLLQIFDGFTSAMYVDENELELGDCLEKVEEVLRVVDVSAAGSKFFDTSDEVELVKPLIRQWVSRVAEATVLEAETLELVSSAAGKFFNSALIMKGDELQINKVLLMKDDNGFLILGAKTVVGPRHAGPIPLADGEPVLGSTNLTSNFFTLKQECAETWAGPVKDNGRIWNHIAEAPQDWNIKATEKKQIGEYTAGEEPQPLRPAKGEAILRVAQVMGKIGEPFLFRNYLTSVTDDPLVECEKQLLLDGTDLGDDAWFISFGGKKDGHILTWNIASAGRHRPLLSPHYIISGHRPASIIEMPIFQSCFPLVNLEAGLAQYKAVVDPLAQGKARWRSYKFVPVGDKEAELGLPDAKGDKDPVTFVGVVEHGEKRLHCYVEQGFLSQGIVAIKM
+>sp|Q60I27|AL2CL_HUMAN ALS2 C-terminal-like protein OS=Homo sapiens OX=9606 GN=ALS2CL PE=1 SV=1
+MCNPEEAALLRLEEVFSATLAHVNSLVLQPLLPAAPDPSDPWGRECLRLLQQLHKSSQQLWEVTEESLHSLQERLRYPDSTGLESLLLLRGADRVLQAHIEYIESYTSCMVVQAFQKAAKRRSEYWRGQRKALRQLLSGVSSEGSVGASLGQALHQPLAHHVQQYVLLLLSLGDTIGEHHPTRELVVNAVTLFGNLQSFMKQELDQAVATQALWHTLRGRLRDVLCTPAHRLLQDSQDVPVTVAPLRAERVLLFDDALVLLQGHNVHTFDLKLVWVDPGQDGCTFHLLTPEEEFSFCAKDSQGQAVWQWKVTWAVHQALHGKKDFPVLGAGLEPSQPPDCRCAEYTFQAEGRLCQATYEGEWCRGRPHGKGTLKWPDGRNHVGNFCQGLEHGFGIRLLPQASEDKFDCYKCHWREGSMCGYGICEYSTDEVYKGYFQEGLRHGFGVLESGPQAPQPFRYTGHWERGQRSGYGIEEDGDRGERYIGMWQAGQRHGPGVMVTQAGVCYQGTFQADKTVGPGILLSEDDSLYEGTFTRDLTLMGKGKVTFPNGFTLEGSFGSGAGRGLHTQGVLDTAALPPDPSSTCKRQLGVGAFPVESRWQGVYSPFRDFVCAGCPRDLQEALLGFDVQSSRELRRSQDYLSCERTHPEDSVGSMEDILEELLQHREPKALQLYLRKALSNSLHPLGKLLRTLMLTFQATYAGVGANKHLQELAQEEVKQHAQELWAAYRGLLRVALERKGQALEEDEDTETRDLQVHGLVLPLMLPSFYSELFTLYLLLHEREDSFYSQGIANLSLFPDTQLLEFLDVQKHLWPLKDLTLTSNQRYSLVRDKCFLSATECLQKIMTTVDPREKLEVLERTYGEIEGTVSRVLGREYKLPMDDLLPLLIYVVSRARIQHLGAEIHLIRDMMDPNHTGGLYDFLLTALESCYEHIQKEDMRLHRLPGHWHSRELW
+>DECOY_sp|Q60I27|AL2CL_HUMAN ALS2 C-terminal-like protein OS=Homo sapiens OX=9606 GN=ALS2CL PE=1 SV=1
+WLERSHWHGPLRHLRMDEKQIHEYCSELATLLFDYLGGTHNPDMMDRILHIEAGLHQIRARSVVYILLPLLDDMPLKYERGLVRSVTGEIEGYTRELVELKERPDVTTMIKQLCETASLFCKDRVLSYRQNSTLTLDKLPWLHKQVDLFELLQTDPFLSLNAIGQSYFSDEREHLLLYLTFLESYFSPLMLPLVLGHVQLDRTETDEDEELAQGKRELAVRLLGRYAAWLEQAHQKVEEQALEQLHKNAGVGAYTAQFTLMLTRLLKGLPHLSNSLAKRLYLQLAKPERHQLLEELIDEMSGVSDEPHTRECSLYDQSRRLERSSQVDFGLLAEQLDRPCGACVFDRFPSYVGQWRSEVPFAGVGLQRKCTSSPDPPLAATDLVGQTHLGRGAGSGFSGELTFGNPFTVKGKGMLTLDRTFTGEYLSDDESLLIGPGVTKDAQFTGQYCVGAQTVMVGPGHRQGAQWMGIYREGRDGDEEIGYGSRQGREWHGTYRFPQPAQPGSELVGFGHRLGEQFYGKYVEDTSYECIGYGCMSGERWHCKYCDFKDESAQPLLRIGFGHELGQCFNGVHNRGDPWKLTGKGHPRGRCWEGEYTAQCLRGEAQFTYEACRCDPPQSPELGAGLVPFDKKGHLAQHVAWTVKWQWVAQGQSDKACFSFEEEPTLLHFTCGDQGPDVWVLKLDFTHVNHGQLLVLADDFLLVREARLPAVTVPVDQSDQLLRHAPTCLVDRLRGRLTHWLAQTAVAQDLEQKMFSQLNGFLTVANVVLERTPHHEGITDGLSLLLLVYQQVHHALPQHLAQGLSAGVSGESSVGSLLQRLAKRQGRWYESRRKAAKQFAQVVMCSTYSEIYEIHAQLVRDAGRLLLLSELGTSDPYRLREQLSHLSEETVEWLQQSSKHLQQLLRLCERGWPDSPDPAAPLLPQLVLSNVHALTASFVEELRLLAAEEPNCM
+>sp|P48448|AL3B2_HUMAN Aldehyde dehydrogenase family 3 member B2 OS=Homo sapiens OX=9606 GN=ALDH3B2 PE=2 SV=3
+MKDEPRSTNLFMKLDSVFIWKEPFGLVLIIAPWNYPLNLTLVLLVGALAAGSCVVLKPSEISQGTEKVLAEVLPQYLDQSCFAVVLGGPQETGQLLEHKLDYIFFTGSPRVGKIVMTAATKHLTPVTLELGGKNPCYVDDNCDPQTVANRVAWFCYFNAGQTCVAPDYVLCSPEMQERLLPALQSTITRFYGDDPQSSPNLGHIINQKQFQRLRALLGCSRVAIGGQSNESDRYIAPTVLVDVQETEPVMQEEIFGPILPIVNVQSVDEAIKFINRQEKPLALYAFSNSSQVVNQMLERTSSGSFGGNEGFTYISLLSVPFGGVGHSGMGRYHGKFTFDTFSHHRTCLLAPSGLEKLKEIHYPPYTDWNQQLLRWGMGSQSCTLL
+>DECOY_sp|P48448|AL3B2_HUMAN Aldehyde dehydrogenase family 3 member B2 OS=Homo sapiens OX=9606 GN=ALDH3B2 PE=2 SV=3
+LLTCSQSGMGWRLLQQNWDTYPPYHIEKLKELGSPALLCTRHHSFTDFTFKGHYRGMGSHGVGGFPVSLLSIYTFGENGGFSGSSTRELMQNVVQSSNSFAYLALPKEQRNIFKIAEDVSQVNVIPLIPGFIEEQMVPETEQVDVLVTPAIYRDSENSQGGIAVRSCGLLARLRQFQKQNIIHGLNPSSQPDDGYFRTITSQLAPLLREQMEPSCLVYDPAVCTQGANFYCFWAVRNAVTQPDCNDDVYCPNKGGLELTVPTLHKTAATMVIKGVRPSGTFFIYDLKHELLQGTEQPGGLVVAFCSQDLYQPLVEALVKETGQSIESPKLVVCSGAALAGVLLVLTLNLPYNWPAIILVLGFPEKWIFVSDLKMFLNTSRPEDKM
+>sp|P30038|AL4A1_HUMAN Delta-1-pyrroline-5-carboxylate dehydrogenase, mitochondrial OS=Homo sapiens OX=9606 GN=ALDH4A1 PE=1 SV=3
+MLLPAPALRRALLSRPWTGAGLRWKHTSSLKVANEPVLAFTQGSPERDALQKALKDLKGRMEAIPCVVGDEEVWTSDVQYQVSPFNHGHKVAKFCYADKSLLNKAIEAALAARKEWDLKPIADRAQIFLKAADMLSGPRRAEILAKTMVGQGKTVIQAEIDAAAELIDFFRFNAKYAVELEGQQPISVPPSTNSTVYRGLEGFVAAISPFNFTAIGGNLAGAPALMGNVVLWKPSDTAMLASYAVYRILREAGLPPNIIQFVPADGPLFGDTVTSSEHLCGINFTGSVPTFKHLWKQVAQNLDRFHTFPRLAGECGGKNFHFVHRSADVESVVSGTLRSAFEYGGQKCSACSRLYVPHSLWPQIKGRLLEEHSRIKVGDPAEDFGTFFSAVIDAKSFARIKKWLEHARSSPSLTILAGGKCDDSVGYFVEPCIVESKDPQEPIMKEEIFGPVLSVYVYPDDKYKETLQLVDSTTSYGLTGAVFSQDKDVVQEATKVLRNAAGNFYINDKSTGSIVGQQPFGGARASGTNDKPGGPHYILRWTSPQVIKETHKPLGDWSYAYMQ
+>DECOY_sp|P30038|AL4A1_HUMAN Delta-1-pyrroline-5-carboxylate dehydrogenase, mitochondrial OS=Homo sapiens OX=9606 GN=ALDH4A1 PE=1 SV=3
+QMYAYSWDGLPKHTEKIVQPSTWRLIYHPGGPKDNTGSARAGGFPQQGVISGTSKDNIYFNGAANRLVKTAEQVVDKDQSFVAGTLGYSTTSDVLQLTEKYKDDPYVYVSLVPGFIEEKMIPEQPDKSEVICPEVFYGVSDDCKGGALITLSPSSRAHELWKKIRAFSKADIVASFFTGFDEAPDGVKIRSHEELLRGKIQPWLSHPVYLRSCASCKQGGYEFASRLTGSVVSEVDASRHVFHFNKGGCEGALRPFTHFRDLNQAVQKWLHKFTPVSGTFNIGCLHESSTVTDGFLPGDAPVFQIINPPLGAERLIRYVAYSALMATDSPKWLVVNGMLAPAGALNGGIATFNFPSIAAVFGELGRYVTSNTSPPVSIPQQGELEVAYKANFRFFDILEAAADIEAQIVTKGQGVMTKALIEARRPGSLMDAAKLFIQARDAIPKLDWEKRAALAAEIAKNLLSKDAYCFKAVKHGHNFPSVQYQVDSTWVEEDGVVCPIAEMRGKLDKLAKQLADREPSGQTFALVPENAVKLSSTHKWRLGAGTWPRSLLARRLAPAPLLM
+>sp|P09972|ALDOC_HUMAN Fructose-bisphosphate aldolase C OS=Homo sapiens OX=9606 GN=ALDOC PE=1 SV=2
+MPHSYPALSAEQKKELSDIALRIVAPGKGILAADESVGSMAKRLSQIGVENTEENRRLYRQVLFSADDRVKKCIGGVIFFHETLYQKDDNGVPFVRTIQDKGIVVGIKVDKGVVPLAGTDGETTTQGLDGLSERCAQYKKDGADFAKWRCVLKISERTPSALAILENANVLARYASICQQNGIVPIVEPEILPDGDHDLKRCQYVTEKVLAAVYKALSDHHVYLEGTLLKPNMVTPGHACPIKYTPEEIAMATVTALRRTVPPAVPGVTFLSGGQSEEEASFNLNAINRCPLPRPWALTFSYGRALQASALNAWRGQRDNAGAATEEFIKRAEVNGLAAQGKYEGSGEDGGAAAQSLYIANHAY
+>DECOY_sp|P09972|ALDOC_HUMAN Fructose-bisphosphate aldolase C OS=Homo sapiens OX=9606 GN=ALDOC PE=1 SV=2
+YAHNAIYLSQAAAGGDEGSGEYKGQAALGNVEARKIFEETAAGANDRQGRWANLASAQLARGYSFTLAWPRPLPCRNIANLNFSAEEESQGGSLFTVGPVAPPVTRRLATVTAMAIEEPTYKIPCAHGPTVMNPKLLTGELYVHHDSLAKYVAALVKETVYQCRKLDHDGDPLIEPEVIPVIGNQQCISAYRALVNANELIALASPTRESIKLVCRWKAFDAGDKKYQACRESLGDLGQTTTEGDTGALPVVGKDVKIGVVIGKDQITRVFPVGNDDKQYLTEHFFIVGGICKKVRDDASFLVQRYLRRNEETNEVGIQSLRKAMSGVSEDAALIGKGPAVIRLAIDSLEKKQEASLAPYSHPM
+>sp|P84996|ALEX_HUMAN Protein ALEX OS=Homo sapiens OX=9606 GN=GNAS PE=1 SV=1
+MMARPVDPQRSPDPTFRSSTRHSGKLEPMEATAHLLRKQCPSRLNSPAWEASGLHWSSLDSPVGSMQALRPSAQHSWSPEPSVVPDQAWEDTALHQKKLCPLSLTSLPREAAVNFSYRSQTLLQEAQVLQGSPELLPRSPKPSGLQRLAPEEATALPLRRLCHLSLMEKDLGTTAHPRGFPELSHKSTAAASSRQSRPRVRSASLPPRTRLPSGSQAPSAAHPKRLSDLLLTSRAAAPGWRSPDPRSRLAAPPLGSTTLPSTWTAPQSRLTARPSRSPEPQIRESEQRDPQLRRKQQRWKEPLMPRREEKYPLRGTDPLPPGQPQRIPLPGQPLQPQPILTPGQPQKIPTPGQHQPILTPGHSQPIPTPGQPLPPQPIPTPGRPLTPQPIPTPGRPLTPQPIQMPGRPLRLPPPLRLLRPGQPMSPQLRQTQGLPLPQPLLPPGQPKSAGRPLQPLPPGPDARSISDPPAPRSRLPIRLLRGLLARLPGGASPRAAAAAACTTMKGWPAATMTPAETSPTMGPPDASAGFSIGEIAAAESPSATYSATFSCKPSGAASVDLRVPSPKPRALSRSRRYPWRRSADRCAKKPWRSGPRSAQRRNAVSSSTNNSRTKRWATCVRTACCF
+>DECOY_sp|P84996|ALEX_HUMAN Protein ALEX OS=Homo sapiens OX=9606 GN=GNAS PE=1 SV=1
+FCCATRVCTAWRKTRSNNTSSSVANRRQASRPGSRWPKKACRDASRRWPYRRSRSLARPKPSPVRLDVSAAGSPKCSFTASYTASPSEAAAIEGISFGASADPPGMTPSTEAPTMTAAPWGKMTTCAAAAAARPSAGGPLRALLGRLLRIPLRSRPAPPDSISRADPGPPLPQLPRGASKPQGPPLLPQPLPLGQTQRLQPSMPQGPRLLRLPPPLRLPRGPMQIPQPTLPRGPTPIPQPTLPRGPTPIPQPPLPQGPTPIPQSHGPTLIPQHQGPTPIKQPQGPTLIPQPQLPQGPLPIRQPQGPPLPDTGRLPYKEERRPMLPEKWRQQKRRLQPDRQESERIQPEPSRSPRATLRSQPATWTSPLTTSGLPPAALRSRPDPSRWGPAAARSTLLLDSLRKPHAASPAQSGSPLRTRPPLSASRVRPRSQRSSAAATSKHSLEPFGRPHATTGLDKEMLSLHCLRRLPLATAEEPALRQLGSPKPSRPLLEPSGQLVQAEQLLTQSRYSFNVAAERPLSTLSLPCLKKQHLATDEWAQDPVVSPEPSWSHQASPRLAQMSGVPSDLSSWHLGSAEWAPSNLRSPCQKRLLHATAEMPELKGSHRTSSRFTPDPSRQPDVPRAMM
+>sp|Q2TAA5|ALG11_HUMAN GDP-Man:Man(3)GlcNAc(2)-PP-Dol alpha-1,2-mannosyltransferase OS=Homo sapiens OX=9606 GN=ALG11 PE=1 SV=2
+MAAGERSWCLCKLLRFFYSLFFPGLIVCGTLCVCLVIVLWGIRLLLQRKKKLVSTSKNGKNQMVIAFFHPYCNAGGGGERVLWCALRALQKKYPEAVYVVYTGDVNVNGQQILEGAFRRFNIRLIHPVQFVFLRKRYLVEDSLYPHFTLLGQSLGSIFLGWEALMQCVPDVYIDSMGYAFTLPLFKYIGGCQVGSYVHYPTISTDMLSVVKNQNIGFNNAAFITRNPFLSKVKLIYYYLFAFIYGLVGSCSDVVMVNSSWTLNHILSLWKVGNCTNIVYPPCDVQTFLDIPLHEKKMTPGHLLVSVGQFRPEKNHPLQIRAFAKLLNKKMVESPPSLKLVLIGGCRNKDDELRVNQLRRLSEDLGVQEYVEFKINIPFDELKNYLSEATIGLHTMWNEHFGIGVVECMAAGTIILAHNSGGPKLDIVVPHEGDITGFLAESEEDYAETIAHILSMSAEKRLQIRKSARASVSRFSDQEFEVTFLSSVEKLFK
+>DECOY_sp|Q2TAA5|ALG11_HUMAN GDP-Man:Man(3)GlcNAc(2)-PP-Dol alpha-1,2-mannosyltransferase OS=Homo sapiens OX=9606 GN=ALG11 PE=1 SV=2
+KFLKEVSSLFTVEFEQDSFRSVSARASKRIQLRKEASMSLIHAITEAYDEESEALFGTIDGEHPVVIDLKPGGSNHALIITGAAMCEVVGIGFHENWMTHLGITAESLYNKLEDFPINIKFEVYEQVGLDESLRRLQNVRLEDDKNRCGGILVLKLSPPSEVMKKNLLKAFARIQLPHNKEPRFQGVSVLLHGPTMKKEHLPIDLFTQVDCPPYVINTCNGVKWLSLIHNLTWSSNVMVVDSCSGVLGYIFAFLYYYILKVKSLFPNRTIFAANNFGINQNKVVSLMDTSITPYHVYSGVQCGGIYKFLPLTFAYGMSDIYVDPVCQMLAEWGLFISGLSQGLLTFHPYLSDEVLYRKRLFVFQVPHILRINFRRFAGELIQQGNVNVDGTYVVYVAEPYKKQLARLACWLVREGGGGANCYPHFFAIVMQNKGNKSTSVLKKKRQLLLRIGWLVIVLCVCLTGCVILGPFFLSYFFRLLKCLCWSREGAAM
+>sp|Q6GMV1|ALG1L_HUMAN Putative glycosyltransferase ALG1-like OS=Homo sapiens OX=9606 GN=ALG1L PE=2 SV=2
+MERSAFMELDAGSRLVMHLREWPALLVSSTGWTEFEQLTLDGHNLPSLVCVITGSVDLGVCLHMSSSGLDLPMKVVDMFGCCLPVCAVNFKCLHELVKHEENGLVFEDSEELAALQMLFSNFPDPAGKLNQFWKNLRESQQLRWDESWVQTVLPLVMDIQLLGQRLKPRDPCCPSRSFFSESQGKPF
+>DECOY_sp|Q6GMV1|ALG1L_HUMAN Putative glycosyltransferase ALG1-like OS=Homo sapiens OX=9606 GN=ALG1L PE=2 SV=2
+FPKGQSESFFSRSPCCPDRPKLRQGLLQIDMVLPLVTQVWSEDWRLQQSERLNKWFQNLKGAPDPFNSFLMQLAALEESDEFVLGNEEHKVLEHLCKFNVACVPLCCGFMDVVKMPLDLGSSSMHLCVGLDVSGTIVCVLSPLNHGDLTLQEFETWGTSSVLLAPWERLHMVLRSGADLEMFASREM
+>sp|Q9BT22|ALG1_HUMAN Chitobiosyldiphosphodolichol beta-mannosyltransferase OS=Homo sapiens OX=9606 GN=ALG1 PE=1 SV=2
+MAASCLVLLALCLLLPLLLLGGWKRWRRGRAARHVVAVVLGDVGRSPRMQYHALSLAMHGFSVTLLGFCNSKPHDELLQNNRIQIVGLTELQSLAVGPRVFQYGVKVVLQAMYLLWKLMWREPGAYIFLQNPPGLPSIAVCWFVGCLCGSKLVIDWHNYGYSIMGLVHGPNHPLVLLAKWYEKFFGRLSHLNLCVTNAMREDLADNWHIRAVTVYDKPASFFKETPLDLQHRLFMKLGSMHSPFRARSEPEDPVTERSAFTERDAGSGLVTRLRERPALLVSSTSWTEDEDFSILLAALEKFEQLTLDGHNLPSLVCVITGKGPLREYYSRLIHQKHFQHIQVCTPWLEAEDYPLLLGSADLGVCLHTSSSGLDLPMKVVDMFGCCLPVCAVNFKCLHELVKHEENGLVFEDSEELAAQLQMLFSNFPDPAGKLNQFRKNLRESQQLRWDESWVQTVLPLVMDT
+>DECOY_sp|Q9BT22|ALG1_HUMAN Chitobiosyldiphosphodolichol beta-mannosyltransferase OS=Homo sapiens OX=9606 GN=ALG1 PE=1 SV=2
+TDMVLPLVTQVWSEDWRLQQSERLNKRFQNLKGAPDPFNSFLMQLQAALEESDEFVLGNEEHKVLEHLCKFNVACVPLCCGFMDVVKMPLDLGSSSTHLCVGLDASGLLLPYDEAELWPTCVQIHQFHKQHILRSYYERLPGKGTIVCVLSPLNHGDLTLQEFKELAALLISFDEDETWSTSSVLLAPRERLRTVLGSGADRETFASRETVPDEPESRARFPSHMSGLKMFLRHQLDLPTEKFFSAPKDYVTVARIHWNDALDERMANTVCLNLHSLRGFFKEYWKALLVLPHNPGHVLGMISYGYNHWDIVLKSGCLCGVFWCVAISPLGPPNQLFIYAGPERWMLKWLLYMAQLVVKVGYQFVRPGVALSQLETLGVIQIRNNQLLEDHPKSNCFGLLTVSFGHMALSLAHYQMRPSRGVDGLVVAVVHRAARGRRWRKWGGLLLLPLLLCLALLVLCSAAM
+>sp|Q9H6U8|ALG9_HUMAN Alpha-1,2-mannosyltransferase ALG9 OS=Homo sapiens OX=9606 GN=ALG9 PE=1 SV=2
+MASRGARQRLKGSGASSGDTAPAADKLRELLGSREAGGAEHRTELSGNKAGQVWAPEGSTAFKCLLSARLCAALLSNISDCDETFNYWEPTHYLIYGEGFQTWEYSPAYAIRSYAYLLLHAWPAAFHARILQTNKILVFYFLRCLLAFVSCICELYFYKAVCKKFGLHVSRMMLAFLVLSTGMFCSSSAFLPSSFCMYTTLIAMTGWYMDKTSIAVLGVAAGAILGWPFSAALGLPIAFDLLVMKHRWKSFFHWSLMALILFLVPVVVIDSYYYGKLVIAPLNIVLYNVFTPHGPDLYGTEPWYFYLINGFLNFNVAFALALLVLPLTSLMEYLLQRFHVQNLGHPYWLTLAPMYIWFIIFFIQPHKEERFLFPVYPLICLCGAVALSALQKCYHFVFQRYRLEHYTVTSNWLALGTVFLFGLLSFSRSVALFRGYHGPLDLYPEFYRIATDPTIHTVPEGRPVNVCVGKEWYRFPSSFLLPDNWQLQFIPSEFRGQLPKPFAEGPLATRIVPTDMNDQNLEEPSRYIDISKCHYLVDLDTMRETPREPKYSSNKEEWISLAYRPFLDASRSSKLLRAFYVPFLSDQYTVYVNYTILKPRKAKQIRKKSGG
+>DECOY_sp|Q9H6U8|ALG9_HUMAN Alpha-1,2-mannosyltransferase ALG9 OS=Homo sapiens OX=9606 GN=ALG9 PE=1 SV=2
+GGSKKRIQKAKRPKLITYNVYVTYQDSLFPVYFARLLKSSRSADLFPRYALSIWEEKNSSYKPERPTERMTDLDVLYHCKSIDIYRSPEELNQDNMDTPVIRTALPGEAFPKPLQGRFESPIFQLQWNDPLLFSSPFRYWEKGVCVNVPRGEPVTHITPDTAIRYFEPYLDLPGHYGRFLAVSRSFSLLGFLFVTGLALWNSTVTYHELRYRQFVFHYCKQLASLAVAGCLCILPYVPFLFREEKHPQIFFIIFWIYMPALTLWYPHGLNQVHFRQLLYEMLSTLPLVLLALAFAVNFNLFGNILYFYWPETGYLDPGHPTFVNYLVINLPAIVLKGYYYSDIVVVPVLFLILAMLSWHFFSKWRHKMVLLDFAIPLGLAASFPWGLIAGAAVGLVAISTKDMYWGTMAILTTYMCFSSPLFASSSCFMGTSLVLFALMMRSVHLGFKKCVAKYFYLECICSVFALLCRLFYFVLIKNTQLIRAHFAAPWAHLLLYAYSRIAYAPSYEWTQFGEGYILYHTPEWYNFTEDCDSINSLLAACLRASLLCKFATSGEPAWVQGAKNGSLETRHEAGGAERSGLLERLKDAAPATDGSSAGSGKLRQRAGRSAM
+>sp|Q13686|ALKB1_HUMAN Nucleic acid dioxygenase ALKBH1 OS=Homo sapiens OX=9606 GN=ALKBH1 PE=1 SV=2
+MGKMAAAVGSVATLATEPGEDAFRKLFRFYRQSRPGTADLEGVIDFSAAHAARGKGPGAQKVIKSQLNVSSVSEQNAYRAGLQPVSKWQAYGLKGYPGFIFIPNPFLPGYQWHWVKQCLKLYSQKPNVCNLDKHMSKEETQDLWEQSKEFLRYKEATKRRPRSLLEKLRWVTVGYHYNWDSKKYSADHYTPFPSDLGFLSEQVAAACGFEDFRAEAGILNYYRLDSTLGIHVDRSELDHSKPLLSFSFGQSAIFLLGGLQRDEAPTAMFMHSGDIMIMSGFSRLLNHAVPRVLPNPEGEGLPHCLEAPLPAVLPRDSMVEPCSMEDWQVCASYLKTARVNMTVRQVLATDQNFPLEPIEDEKRDISTEGFCHLDDQNSEVKRARINPDS
+>DECOY_sp|Q13686|ALKB1_HUMAN Nucleic acid dioxygenase ALKBH1 OS=Homo sapiens OX=9606 GN=ALKBH1 PE=1 SV=2
+SDPNIRARKVESNQDDLHCFGETSIDRKEDEIPELPFNQDTALVQRVTMNVRATKLYSACVQWDEMSCPEVMSDRPLVAPLPAELCHPLGEGEPNPLVRPVAHNLLRSFGSMIMIDGSHMFMATPAEDRQLGGLLFIASQGFSFSLLPKSHDLESRDVHIGLTSDLRYYNLIGAEARFDEFGCAAAVQESLFGLDSPFPTYHDASYKKSDWNYHYGVTVWRLKELLSRPRRKTAEKYRLFEKSQEWLDQTEEKSMHKDLNCVNPKQSYLKLCQKVWHWQYGPLFPNPIFIFGPYGKLGYAQWKSVPQLGARYANQESVSSVNLQSKIVKQAGPGKGRAAHAASFDIVGELDATGPRSQRYFRFLKRFADEGPETALTAVSGVAAAMKGM
+>sp|Q3KRA9|ALKB6_HUMAN Alpha-ketoglutarate-dependent dioxygenase alkB homolog 6 OS=Homo sapiens OX=9606 GN=ALKBH6 PE=1 SV=2
+MEEQDARVPALEPFRVEQAPPVIYYVPDFISKEEEEYLLRQVFNAPKPKWTQLSGRKLQNWGGLPHPRGMVPERLPPWLQRYVDKVSNLSLFGGLPANHVLVNQYLPGEGIMPHEDGPLYYPTVSTISLGSHTVLDFYEPRRPEDDDPTEQPRPPPRPTTSLLLEPRSLLVLRGPAYTRLLHGIAAARVDALDAASSPPNAAACPSARPGACLVRGTRVSLTIRRVPRVLRAGLLLGK
+>DECOY_sp|Q3KRA9|ALKB6_HUMAN Alpha-ketoglutarate-dependent dioxygenase alkB homolog 6 OS=Homo sapiens OX=9606 GN=ALKBH6 PE=1 SV=2
+KGLLLGARLVRPVRRITLSVRTGRVLCAGPRASPCAAANPPSSAADLADVRAAAIGHLLRTYAPGRLVLLSRPELLLSTTPRPPPRPQETPDDDEPRRPEYFDLVTHSGLSITSVTPYYLPGDEHPMIGEGPLYQNVLVHNAPLGGFLSLNSVKDVYRQLWPPLREPVMGRPHPLGGWNQLKRGSLQTWKPKPANFVQRLLYEEEEKSIFDPVYYIVPPAQEVRFPELAPVRADQEEM
+>sp|Q9BT30|ALKB7_HUMAN Alpha-ketoglutarate-dependent dioxygenase alkB homolog 7, mitochondrial OS=Homo sapiens OX=9606 GN=ALKBH7 PE=1 SV=1
+MAGTGLLALRTLPGPSWVRGSGPSVLSRLQDAAVVRPGFLSTAEEETLSRELEPELRRRRYEYDHWDAAIHGFRETEKSRWSEASRAILQRVQAAAFGPGQTLLSSVHVLDLEARGYIKPHVDSIKFCGATIAGLSLLSPSVMRLVHTQEPGEWLELLLEPGSLYILRGSARYDFSHEILRDEESFFGERRIPRGRRISVICRSLPEGMGPGESGQPPPAC
+>DECOY_sp|Q9BT30|ALKB7_HUMAN Alpha-ketoglutarate-dependent dioxygenase alkB homolog 7, mitochondrial OS=Homo sapiens OX=9606 GN=ALKBH7 PE=1 SV=1
+CAPPPQGSEGPGMGEPLSRCIVSIRRGRPIRREGFFSEEDRLIEHSFDYRASGRLIYLSGPELLLELWEGPEQTHVLRMVSPSLLSLGAITAGCFKISDVHPKIYGRAELDLVHVSSLLTQGPGFAAAQVRQLIARSAESWRSKETERFGHIAADWHDYEYRRRRLEPELERSLTEEEATSLFGPRVVAADQLRSLVSPGSGRVWSPGPLTRLALLGTGAM
+>sp|Q96BT7|ALKB8_HUMAN Alkylated DNA repair protein alkB homolog 8 OS=Homo sapiens OX=9606 GN=ALKBH8 PE=1 SV=2
+MDSNHQSNYKLSKTEKKFLRKQIKAKHTLLRHEGIETVSYATQSLVVANGGLGNGVSRNQLLPVLEKCGLVDALLMPPNKPYSFARYRTTEESKRAYVTLNGKEVVDDLGQKITLYLNFVEKVQWKELRPQALPPGLMVVEEIISSEEEKMLLESVDWTEDTDNQNSQKSLKHRRVKHFGYEFHYENNNVDKDKPLSGGLPDICESFLEKWLRKGYIKHKPDQMTINQYEPGQGIPAHIDTHSAFEDEIVSLSLGSEIVMDFKHPDGIAVPVMLPRRSLLVMTGESRYLWTHGITCRKFDTVQASESLKSGIITSDVGDLTLSKRGLRTSFTFRKVRQTPCNCSYPLVCDSQRKETPPSFPESDKEASRLEQEYVHQVYEEIAGHFSSTRHTPWPHIVEFLKALPSGSIVADIGCGNGKYLGINKELYMIGCDRSQNLVDICRERQFQAFVCDALAVPVRSGSCDACISIAVIHHFATAERRVAALQEIVRLLRPGGKALIYVWAMEQEYNKQKSKYLRGNRNSQGKKEEMNSDTSVQRSLVEQMRDMGSRDSASSVPRINDSQEGGCNSRQVSNSKLPVHVNRTSFYSQDVLVPWHLKGNPDKGKPVEPFGPIGSQDPSPVFHRYYHVFREGELEGACRTVSDVRILQSYYDQGNWCVILQKA
+>DECOY_sp|Q96BT7|ALKB8_HUMAN Alkylated DNA repair protein alkB homolog 8 OS=Homo sapiens OX=9606 GN=ALKBH8 PE=1 SV=2
+AKQLIVCWNGQDYYSQLIRVDSVTRCAGELEGERFVHYYRHFVPSPDQSGIPGFPEVPKGKDPNGKLHWPVLVDQSYFSTRNVHVPLKSNSVQRSNCGGEQSDNIRPVSSASDRSGMDRMQEVLSRQVSTDSNMEEKKGQSNRNGRLYKSKQKNYEQEMAWVYILAKGGPRLLRVIEQLAAVRREATAFHHIVAISICADCSGSRVPVALADCVFAQFQRERCIDVLNQSRDCGIMYLEKNIGLYKGNGCGIDAVISGSPLAKLFEVIHPWPTHRTSSFHGAIEEYVQHVYEQELRSAEKDSEPFSPPTEKRQSDCVLPYSCNCPTQRVKRFTFSTRLGRKSLTLDGVDSTIIGSKLSESAQVTDFKRCTIGHTWLYRSEGTMVLLSRRPLMVPVAIGDPHKFDMVIESGLSLSVIEDEFASHTDIHAPIGQGPEYQNITMQDPKHKIYGKRLWKELFSECIDPLGGSLPKDKDVNNNEYHFEYGFHKVRRHKLSKQSNQNDTDETWDVSELLMKEEESSIIEEVVMLGPPLAQPRLEKWQVKEVFNLYLTIKQGLDDVVEKGNLTVYARKSEETTRYRAFSYPKNPPMLLADVLGCKELVPLLQNRSVGNGLGGNAVVLSQTAYSVTEIGEHRLLTHKAKIQKRLFKKETKSLKYNSQHNSDM
+>sp|P63267|ACTH_HUMAN Actin, gamma-enteric smooth muscle OS=Homo sapiens OX=9606 GN=ACTG2 PE=1 SV=1
+MCEEETTALVCDNGSGLCKAGFAGDDAPRAVFPSIVGRPRHQGVMVGMGQKDSYVGDEAQSKRGILTLKYPIEHGIITNWDDMEKIWHHSFYNELRVAPEEHPTLLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVTHNVPIYEGYALPHAIMRLDLAGRDLTDYLMKILTERGYSFVTTAEREIVRDIKEKLCYVALDFENEMATAASSSSLEKSYELPDGQVITIGNERFRCPETLFQPSFIGMESAGIHETTYNSIMKCDIDIRKDLYANNVLSGGTTMYPGIADRMQKEITALAPSTMKIKIIAPPERKYSVWIGGSILASLSTFQQMWISKPEYDEAGPSIVHRKCF
+>DECOY_sp|P63267|ACTH_HUMAN Actin, gamma-enteric smooth muscle OS=Homo sapiens OX=9606 GN=ACTG2 PE=1 SV=1
+FCKRHVISPGAEDYEPKSIWMQQFTSLSALISGGIWVSYKREPPAIIKIKMTSPALATIEKQMRDAIGPYMTTGGSLVNNAYLDKRIDIDCKMISNYTTEHIGASEMGIFSPQFLTEPCRFRENGITIVQGDPLEYSKELSSSSAATAMENEFDLAVYCLKEKIDRVIEREATTVFSYGRETLIKMLYDTLDRGALDLRMIAHPLAYGEYIPVNHTVGDGSDLVIGTTRGSAYLSLVAQIAVYMAPVNFTEFMIQTMKERNAKPNLPAETLLTPHEEPAVRLENYFSHHWIKEMDDWNTIIGHEIPYKLTLIGRKSQAEDGVYSDKQGMGVMVGQHRPRGVISPFVARPADDGAFGAKCLGSGNDCVLATTEEECM
+>sp|P12814|ACTN1_HUMAN Alpha-actinin-1 OS=Homo sapiens OX=9606 GN=ACTN1 PE=1 SV=2
+MDHYDSQQTNDYMQPEEDWDRDLLLDPAWEKQQRKTFTAWCNSHLRKAGTQIENIEEDFRDGLKLMLLLEVISGERLAKPERGKMRVHKISNVNKALDFIASKGVKLVSIGAEEIVDGNVKMTLGMIWTIILRFAIQDISVEETSAKEGLLLWCQRKTAPYKNVNIQNFHISWKDGLGFCALIHRHRPELIDYGKLRKDDPLTNLNTAFDVAEKYLDIPKMLDAEDIVGTARPDEKAIMTYVSSFYHAFSGAQKAETAANRICKVLAVNQENEQLMEDYEKLASDLLEWIRRTIPWLENRVPENTMHAMQQKLEDFRDYRRLHKPPKVQEKCQLEINFNTLQTKLRLSNRPAFMPSEGRMVSDINNAWGCLEQVEKGYEEWLLNEIRRLERLDHLAEKFRQKASIHEAWTDGKEAMLRQKDYETATLSEIKALLKKHEAFESDLAAHQDRVEQIAAIAQELNELDYYDSPSVNARCQKICDQWDNLGALTQKRREALERTEKLLETIDQLYLEYAKRAAPFNNWMEGAMEDLQDTFIVHTIEEIQGLTTAHEQFKATLPDADKERLAILGIHNEVSKIVQTYHVNMAGTNPYTTITPQEINGKWDHVRQLVPRRDQALTEEHARQQHNERLRKQFGAQANVIGPWIQTKMEEIGRISIEMHGTLEDQLSHLRQYEKSIVNYKPKIDQLEGDHQLIQEALIFDNKHTNYTMEHIRVGWEQLLTTIARTINEVENQILTRDAKGISQEQMNEFRASFNHFDRDHSGTLGPEEFKACLISLGYDIGNDPQGEAEFARIMSIVDPNRLGVVTFQAFIDFMSRETADTDTADQVMASFKILAGDKNYITMDELRRELPPDQAEYCIARMAPYTGPDSVPGALDYMSFSTALYGESDL
+>DECOY_sp|P12814|ACTN1_HUMAN Alpha-actinin-1 OS=Homo sapiens OX=9606 GN=ACTN1 PE=1 SV=2
+LDSEGYLATSFSMYDLAGPVSDPGTYPAMRAICYEAQDPPLERRLEDMTIYNKDGALIKFSAMVQDATDTDATERSMFDIFAQFTVVGLRNPDVISMIRAFEAEGQPDNGIDYGLSILCAKFEEPGLTGSHDRDFHNFSARFENMQEQSIGKADRTLIQNEVENITRAITTLLQEWGVRIHEMTYNTHKNDFILAEQILQHDGELQDIKPKYNVISKEYQRLHSLQDELTGHMEISIRGIEEMKTQIWPGIVNAQAGFQKRLRENHQQRAHEETLAQDRRPVLQRVHDWKGNIEQPTITTYPNTGAMNVHYTQVIKSVENHIGLIALREKDADPLTAKFQEHATTLGQIEEITHVIFTDQLDEMAGEMWNNFPAARKAYELYLQDITELLKETRELAERRKQTLAGLNDWQDCIKQCRANVSPSDYYDLENLEQAIAAIQEVRDQHAALDSEFAEHKKLLAKIESLTATEYDKQRLMAEKGDTWAEHISAKQRFKEALHDLRELRRIENLLWEEYGKEVQELCGWANNIDSVMRGESPMFAPRNSLRLKTQLTNFNIELQCKEQVKPPKHLRRYDRFDELKQQMAHMTNEPVRNELWPITRRIWELLDSALKEYDEMLQENEQNVALVKCIRNAATEAKQAGSFAHYFSSVYTMIAKEDPRATGVIDEADLMKPIDLYKEAVDFATNLNTLPDDKRLKGYDILEPRHRHILACFGLGDKWSIHFNQINVNKYPATKRQCWLLLGEKASTEEVSIDQIAFRLIITWIMGLTMKVNGDVIEEAGISVLKVGKSAIFDLAKNVNSIKHVRMKGREPKALREGSIVELLLMLKLGDRFDEEINEIQTGAKRLHSNCWATFTKRQQKEWAPDLLLDRDWDEEPQMYDNTQQSDYHDM
+>sp|P35609|ACTN2_HUMAN Alpha-actinin-2 OS=Homo sapiens OX=9606 GN=ACTN2 PE=1 SV=1
+MNQIEPGVQYNYVYDEDEYMIQEEEWDRDLLLDPAWEKQQRKTFTAWCNSHLRKAGTQIENIEEDFRNGLKLMLLLEVISGERLPKPDRGKMRFHKIANVNKALDYIASKGVKLVSIGAEEIVDGNVKMTLGMIWTIILRFAIQDISVEETSAKEGLLLWCQRKTAPYRNVNIQNFHTSWKDGLGLCALIHRHRPDLIDYSKLNKDDPIGNINLAMEIAEKHLDIPKMLDAEDIVNTPKPDERAIMTYVSCFYHAFAGAEQAETAANRICKVLAVNQENERLMEEYERLASELLEWIRRTIPWLENRTPEKTMQAMQKKLEDFRDYRRKHKPPKVQEKCQLEINFNTLQTKLRISNRPAFMPSEGKMVSDIAGAWQRLEQAEKGYEEWLLNEIRRLERLEHLAEKFRQKASTHETWAYGKEQILLQKDYESASLTEVRALLRKHEAFESDLAAHQDRVEQIAAIAQELNELDYHDAVNVNDRCQKICDQWDRLGTLTQKRREALERMEKLLETIDQLHLEFAKRAAPFNNWMEGAMEDLQDMFIVHSIEEIQSLITAHEQFKATLPEADGERQSIMAIQNEVEKVIQSYNIRISSSNPYSTVTMDELRTKWDKVKQLVPIRDQSLQEELARQHANERLRRQFAAQANAIGPWIQNKMEEIARSSIQITGALEDQMNQLKQYEHNIINYKNNIDKLEGDHQLIQEALVFDNKHTNYTMEHIRVGWELLLTTIARTINEVETQILTRDAKGITQEQMNEFRASFNHFDRRKNGLMDHEDFRACLISMGYDLGEAEFARIMTLVDPNGQGTVTFQSFIDFMTRETADTDTAEQVIASFRILASDKPYILAEELRRELPPDQAQYCIKRMPAYSGPGSVPGALDYAAFSSALYGESDL
+>DECOY_sp|P35609|ACTN2_HUMAN Alpha-actinin-2 OS=Homo sapiens OX=9606 GN=ACTN2 PE=1 SV=1
+LDSEGYLASSFAAYDLAGPVSGPGSYAPMRKICYQAQDPPLERRLEEALIYPKDSALIRFSAIVQEATDTDATERTMFDIFSQFTVTGQGNPDVLTMIRAFEAEGLDYGMSILCARFDEHDMLGNKRRDFHNFSARFENMQEQTIGKADRTLIQTEVENITRAITTLLLEWGVRIHEMTYNTHKNDFVLAEQILQHDGELKDINNKYNIINHEYQKLQNMQDELAGTIQISSRAIEEMKNQIWPGIANAQAAFQRRLRENAHQRALEEQLSQDRIPVLQKVKDWKTRLEDMTVTSYPNSSSIRINYSQIVKEVENQIAMISQREGDAEPLTAKFQEHATILSQIEEISHVIFMDQLDEMAGEMWNNFPAARKAFELHLQDITELLKEMRELAERRKQTLTGLRDWQDCIKQCRDNVNVADHYDLENLEQAIAAIQEVRDQHAALDSEFAEHKRLLARVETLSASEYDKQLLIQEKGYAWTEHTSAKQRFKEALHELRELRRIENLLWEEYGKEAQELRQWAGAIDSVMKGESPMFAPRNSIRLKTQLTNFNIELQCKEQVKPPKHKRRYDRFDELKKQMAQMTKEPTRNELWPITRRIWELLESALREYEEMLRENEQNVALVKCIRNAATEAQEAGAFAHYFCSVYTMIAREDPKPTNVIDEADLMKPIDLHKEAIEMALNINGIPDDKNLKSYDILDPRHRHILACLGLGDKWSTHFNQINVNRYPATKRQCWLLLGEKASTEEVSIDQIAFRLIITWIMGLTMKVNGDVIEEAGISVLKVGKSAIYDLAKNVNAIKHFRMKGRDPKPLREGSIVELLLMLKLGNRFDEEINEIQTGAKRLHSNCWATFTKRQQKEWAPDLLLDRDWEEEQIMYEDEDYVYNYQVGPEIQNM
+>sp|Q8TDY3|ACTT2_HUMAN Actin-related protein T2 OS=Homo sapiens OX=9606 GN=ACTRT2 PE=2 SV=2
+MFNPHALDSPAVIFDNGSGFCKAGLSGEFGPRHMVSSIVGHLKFQAPSAEANQKKYFVGEEALYKQEALQLHSPFERGLITGWDDVERLWKHLFEWELGVKPSDQPLLATEPSLNPRENREKMAEVMFENFGVPAFYLSDQAVLALYASACVTGLVVDSGDAVTCTVPIFEGYSLPHAVTKLHVAGRDITELLMQLLLASGHTFPCQLDKGLVDDIKKKLCYVALEPEKELSRRPEEVLREYKLPDGNIISLGDPLHQAPEALFVPQQLGSQSPGLSNMVSSSITKCDTDIQKILFGEIVLSGGTTLFHGLDDRLLKELEQLASKDTPIKITAPPDRWFSTWIGASIVTSLSSFKQMWVTAADFKEFGTSVVQRRCF
+>DECOY_sp|Q8TDY3|ACTT2_HUMAN Actin-related protein T2 OS=Homo sapiens OX=9606 GN=ACTRT2 PE=2 SV=2
+FCRRQVVSTGFEKFDAATVWMQKFSSLSTVISAGIWTSFWRDPPATIKIPTDKSALQELEKLLRDDLGHFLTTGGSLVIEGFLIKQIDTDCKTISSSVMNSLGPSQSGLQQPVFLAEPAQHLPDGLSIINGDPLKYERLVEEPRRSLEKEPELAVYCLKKKIDDVLGKDLQCPFTHGSALLLQMLLETIDRGAVHLKTVAHPLSYGEFIPVTCTVADGSDVVLGTVCASAYLALVAQDSLYFAPVGFNEFMVEAMKERNERPNLSPETALLPQDSPKVGLEWEFLHKWLREVDDWGTILGREFPSHLQLAEQKYLAEEGVFYKKQNAEASPAQFKLHGVISSVMHRPGFEGSLGAKCFGSGNDFIVAPSDLAHPNFM
+>sp|Q8NER5|ACV1C_HUMAN Activin receptor type-1C OS=Homo sapiens OX=9606 GN=ACVR1C PE=1 SV=1
+MTRALCSALRQALLLLAAAAELSPGLKCVCLLCDSSNFTCQTEGACWASVMLTNGKEQVIKSCVSLPELNAQVFCHSSNNVTKTECCFTDFCNNITLHLPTASPNAPKLGPMELAIIITVPVCLLSIAAMLTVWACQGRQCSYRKKKRPNVEEPLSECNLVNAGKTLKDLIYDVTASGSGSGLPLLVQRTIARTIVLQEIVGKGRFGEVWHGRWCGEDVAVKIFSSRDERSWFREAEIYQTVMLRHENILGFIAADNKDNGTWTQLWLVSEYHEQGSLYDYLNRNIVTVAGMIKLALSIASGLAHLHMEIVGTQGKPAIAHRDIKSKNILVKKCETCAIADLGLAVKHDSILNTIDIPQNPKVGTKRYMAPEMLDDTMNVNIFESFKRADIYSVGLVYWEIARRCSVGGIVEEYQLPYYDMVPSDPSIEEMRKVVCDQKFRPSIPNQWQSCEALRVMGRIMRECWYANGAARLTALRIKKTISQLCVKEDCKA
+>DECOY_sp|Q8NER5|ACV1C_HUMAN Activin receptor type-1C OS=Homo sapiens OX=9606 GN=ACVR1C PE=1 SV=1
+AKCDEKVCLQSITKKIRLATLRAAGNAYWCERMIRGMVRLAECSQWQNPISPRFKQDCVVKRMEEISPDSPVMDYYPLQYEEVIGGVSCRRAIEWYVLGVSYIDARKFSEFINVNMTDDLMEPAMYRKTGVKPNQPIDITNLISDHKVALGLDAIACTECKKVLINKSKIDRHAIAPKGQTGVIEMHLHALGSAISLALKIMGAVTVINRNLYDYLSGQEHYESVLWLQTWTGNDKNDAAIFGLINEHRLMVTQYIEAERFWSREDRSSFIKVAVDEGCWRGHWVEGFRGKGVIEQLVITRAITRQVLLPLGSGSGSATVDYILDKLTKGANVLNCESLPEEVNPRKKKRYSCQRGQCAWVTLMAAISLLCVPVTIIIALEMPGLKPANPSATPLHLTINNCFDTFCCETKTVNNSSHCFVQANLEPLSVCSKIVQEKGNTLMVSAWCAGETQCTFNSSDCLLCVCKLGPSLEAAAALLLLAQRLASCLARTM
+>sp|O95622|ADCY5_HUMAN Adenylate cyclase type 5 OS=Homo sapiens OX=9606 GN=ADCY5 PE=1 SV=3
+MSGSKSVSPPGYAAQKTAAPAPRGGPEHRSAWGEADSRANGYPHAPGGSARGSTKKPGGAVTPQQQQRLASRWRSDDDDDPPLSGDDPLAGGFGFSFRSKSAWQERGGDDCGRGSRRQRRGAASGGSTRAPPAGGGGGSAAAAASAGGTEVRPRSVEVGLEERRGKGRAADELEAGAVEGGEGSGDGGSSADSGSGAGPGAVLSLGACCLALLQIFRSKKFPSDKLERLYQRYFFRLNQSSLTMLMAVLVLVCLVMLAFHAARPPLQLPYLAVLAAAVGVILIMAVLCNRAAFHQDHMGLACYALIAVVLAVQVVGLLLPQPRSASEGIWWTVFFIYTIYTLLPVRMRAAVLSGVLLSALHLAIALRTNAQDQFLLKQLVSNVLIFSCTNIVGVCTHYPAEVSQRQAFQETRECIQARLHSQRENQQQERLLLSVLPRHVAMEMKADINAKQEDMMFHKIYIQKHDNVSILFADIEGFTSLASQCTAQELVMTLNELFARFDKLAAENHCLRIKILGDCYYCVSGLPEARADHAHCCVEMGMDMIEAISLVREVTGVNVNMRVGIHSGRVHCGVLGLRKWQFDVWSNDVTLANHMEAGGKAGRIHITKATLNYLNGDYEVEPGCGGERNAYLKEHSIETFLILRCTQKRKEEKAMIAKMNRQRTNSIGHNPPHWGAERPFYNHLGGNQVSKEMKRMGFEDPKDKNAQESANPEDEVDEFLGRAIDARSIDRLRSEHVRKFLLTFREPDLEKKYSKQVDDRFGAYVACASLVFLFICFVQITIVPHSIFMLSFYLTCSLLLTLVVFVSVIYSCVKLFPSPLQTLSRKIVRSKMNSTLVGVFTITLVFLAAFVNMFTCNSRDLLGCLAQEHNISASQVNACHVAESAVNYSLGDEQGFCGSPWPNCNFPEYFTYSVLLSLLACSVFLQISCIGKLVLMLAIELIYVLIVEVPGVTLFDNADLLVTANAIDFFNNGTSQCPEHATKVALKVVTPIIISVFVLALYLHAQQVESTARLDFLWKLQATEEKEEMEELQAYNRRLLHNILPKDVAAHFLARERRNDELYYQSCECVAVMFASIANFSEFYVELEANNEGVECLRLLNEIIADFDEIISEDRFRQLEKIKTIGSTYMAASGLNDSTYDKVGKTHIKALADFAMKLMDQMKYINEHSFNNFQMKIGLNIGPVVAGVIGARKPQYDIWGNTVNVASRMDSTGVPDRIQVTTDMYQVLAANTYQLECRGVVKVKGKGEMMTYFLNGGPPLS
+>DECOY_sp|O95622|ADCY5_HUMAN Adenylate cyclase type 5 OS=Homo sapiens OX=9606 GN=ADCY5 PE=1 SV=3
+SLPPGGNLFYTMMEGKGKVKVVGRCELQYTNAALVQYMDTTVQIRDPVGTSDMRSAVNVTNGWIDYQPKRAGIVGAVVPGINLGIKMQFNNFSHENIYKMQDMLKMAFDALAKIHTKGVKDYTSDNLGSAAMYTSGITKIKELQRFRDESIIEDFDAIIENLLRLCEVGENNAELEVYFESFNAISAFMVAVCECSQYYLEDNRRERALFHAAVDKPLINHLLRRNYAQLEEMEEKEETAQLKWLFDLRATSEVQQAHLYLALVFVSIIIPTVVKLAVKTAHEPCQSTGNNFFDIANATVLLDANDFLTVGPVEVILVYILEIALMLVLKGICSIQLFVSCALLSLLVSYTFYEPFNCNPWPSGCFGQEDGLSYNVASEAVHCANVQSASINHEQALCGLLDRSNCTFMNVFAALFVLTITFVGVLTSNMKSRVIKRSLTQLPSPFLKVCSYIVSVFVVLTLLLSCTLYFSLMFISHPVITIQVFCIFLFVLSACAVYAGFRDDVQKSYKKELDPERFTLLFKRVHESRLRDISRADIARGLFEDVEDEPNASEQANKDKPDEFGMRKMEKSVQNGGLHNYFPREAGWHPPNHGISNTRQRNMKAIMAKEEKRKQTCRLILFTEISHEKLYANREGGCGPEVEYDGNLYNLTAKTIHIRGAKGGAEMHNALTVDNSWVDFQWKRLGLVGCHVRGSHIGVRMNVNVGTVERVLSIAEIMDMGMEVCCHAHDARAEPLGSVCYYCDGLIKIRLCHNEAALKDFRAFLENLTMVLEQATCQSALSTFGEIDAFLISVNDHKQIYIKHFMMDEQKANIDAKMEMAVHRPLVSLLLREQQQNERQSHLRAQICERTEQFAQRQSVEAPYHTCVGVINTCSFILVNSVLQKLLFQDQANTRLAIALHLASLLVGSLVAARMRVPLLTYITYIFFVTWWIGESASRPQPLLLGVVQVALVVAILAYCALGMHDQHFAARNCLVAMILIVGVAAALVALYPLQLPPRAAHFALMVLCVLVLVAMLMTLSSQNLRFFYRQYLRELKDSPFKKSRFIQLLALCCAGLSLVAGPGAGSGSDASSGGDGSGEGGEVAGAELEDAARGKGRREELGVEVSRPRVETGGASAAAAASGGGGGAPPARTSGGSAAGRRQRRSGRGCDDGGREQWASKSRFSFGFGGALPDDGSLPPDDDDDSRWRSALRQQQQPTVAGGPKKTSGRASGGPAHPYGNARSDAEGWASRHEPGGRPAPAATKQAAYGPPSVSKSGSM
+>sp|P40145|ADCY8_HUMAN Adenylate cyclase type 8 OS=Homo sapiens OX=9606 GN=ADCY8 PE=1 SV=1
+MELSDVRCLTGSEELYTIHPTPPAGDGRSASRPQRLLWQTAVRHITEQRFIHGHRGGSGSGSGGSGKASDPAGGGPNHHAPQLSGDSALPLYSLGPGERAHSTCGTKVFPERSGSGSASGSGGGGDLGFLHLDCAPSNSDFFLNGGYSYRGVIFPTLRNSFKSRDLERLYQRYFLGQRRKSEVVMNVLDVLTKLTLLVLHLSLASAPMDPLKGILLGFFTGIEVVICALVVVRKDTTSHTYLQYSGVVTWVAMTTQILAAGLGYGLLGDGIGYVLFTLFATYSMLPLPLTWAILAGLGTSLLQVILQVVIPRLAVISINQVVAQAVLFMCMNTAGIFISYLSDRAQRQAFLETRRCVEARLRLETENQRQERLVLSVLPRFVVLEMINDMTNVEDEHLQHQFHRIYIHRYENVSILFADVKGFTNLSTTLSAQELVRMLNELFARFDRLAHEHHCLRIKILGDCYYCVSGLPEPRQDHAHCCVEMGLSMIKTIRYVRSRTKHDVDMRIGIHSGSVLCGVLGLRKWQFDVWSWDVDIANKLESGGIPGRIHISKATLDCLNGDYNVEEGHGKERNEFLRKHNIETYLIKQPEDSLLSLPEDIVKESVSSSDRRNSGATFTEGSWSPELPFDNIVGKQNTLAALTRNSINLLPNHLAQALHVQSGPEEINKRIEHTIDLRSGDKLRREHIKPFSLMFKDSSLEHKYSQMRDEVFKSNLVCAFIVLLFITAIQSLLPSSRVMPMTIQFSILIMLHSALVLITTAEDYKCLPLILRKTCCWINETYLARNVIIFASILINFLGAILNILWCDFDKSIPLKNLTFNSSAVFTDICSYPEYFVFTGVLAMVTCAVFLRLNSVLKLAVLLIMIAIYALLTETVYAGLFLRYDNLNHSGEDFLGTKEVSLLLMAMFLLAVFYHGQQLEYTARLDFLWRVQAKEEINEMKELREHNENMLRNILPSHVARHFLEKDRDNEELYSQSYDAVGVMFASIPGFADFYSQTEMNNQGVECLRLLNEIIADFDELLGEDRFQDIEKIKTIGSTYMAVSGLSPEKQQCEDKWGHLCALADFSLALTESIQEINKHSFNNFELRIGISHGSVVAGVIGAKKPQYDIWGKTVNLASRMDSTGVSGRIQVPEETYLILKDQGFAFDYRGEIYVKGISEQEGKIKTYFLLGRVQPNPFILPPRRLPGQYSLAAVVLGLVQSLNRQRQKQLLNENNNTGIIKGHYNRRTLLSPSGTEPGAQAEGTDKSDLP
+>DECOY_sp|P40145|ADCY8_HUMAN Adenylate cyclase type 8 OS=Homo sapiens OX=9606 GN=ADCY8 PE=1 SV=1
+PLDSKDTGEAQAGPETGSPSLLTRRNYHGKIIGTNNNENLLQKQRQRNLSQVLGLVVAALSYQGPLRRPPLIFPNPQVRGLLFYTKIKGEQESIGKVYIEGRYDFAFGQDKLILYTEEPVQIRGSVGTSDMRSALNVTKGWIDYQPKKAGIVGAVVSGHSIGIRLEFNNFSHKNIEQISETLALSFDALACLHGWKDECQQKEPSLGSVAMYTSGITKIKEIDQFRDEGLLEDFDAIIENLLRLCEVGQNNMETQSYFDAFGPISAFMVGVADYSQSYLEENDRDKELFHRAVHSPLINRLMNENHERLEKMENIEEKAQVRWLFDLRATYELQQGHYFVALLFMAMLLLSVEKTGLFDEGSHNLNDYRLFLGAYVTETLLAYIAIMILLVALKLVSNLRLFVACTVMALVGTFVFYEPYSCIDTFVASSNFTLNKLPISKDFDCWLINLIAGLFNILISAFIIVNRALYTENIWCCTKRLILPLCKYDEATTILVLASHLMILISFQITMPMVRSSPLLSQIATIFLLVIFACVLNSKFVEDRMQSYKHELSSDKFMLSFPKIHERRLKDGSRLDITHEIRKNIEEPGSQVHLAQALHNPLLNISNRTLAALTNQKGVINDFPLEPSWSGETFTAGSNRRDSSSVSEKVIDEPLSLLSDEPQKILYTEINHKRLFENREKGHGEEVNYDGNLCDLTAKSIHIRGPIGGSELKNAIDVDWSWVDFQWKRLGLVGCLVSGSHIGIRMDVDHKTRSRVYRITKIMSLGMEVCCHAHDQRPEPLGSVCYYCDGLIKIRLCHHEHALRDFRAFLENLMRVLEQASLTTSLNTFGKVDAFLISVNEYRHIYIRHFQHQLHEDEVNTMDNIMELVVFRPLVSLVLREQRQNETELRLRAEVCRRTELFAQRQARDSLYSIFIGATNMCMFLVAQAVVQNISIVALRPIVVQLIVQLLSTGLGALIAWTLPLPLMSYTAFLTFLVYGIGDGLLGYGLGAALIQTTMAVWTVVGSYQLYTHSTTDKRVVVLACIVVEIGTFFGLLIGKLPDMPASALSLHLVLLTLKTLVDLVNMVVESKRRQGLFYRQYLRELDRSKFSNRLTPFIVGRYSYGGNLFFDSNSPACDLHLFGLDGGGGSGSASGSGSREPFVKTGCTSHAREGPGLSYLPLASDGSLQPAHHNPGGGAPDSAKGSGGSGSGSGGRHGHIFRQETIHRVATQWLLRQPRSASRGDGAPPTPHITYLEESGTLCRVDSLEM
+>sp|O60503|ADCY9_HUMAN Adenylate cyclase type 9 OS=Homo sapiens OX=9606 GN=ADCY9 PE=1 SV=4
+MASPPHQQLLHHHSTEVSCDSSGDSNSVRVKINPKQLSSNSHPKHCKYSISSSCSSSGDSGGVPRRVGGGGRLRRQKKLPQLFERASSRWWDPKFDSVNLEEACLERCFPQTQRRFRYALFYIGFACLLWSIYFAVHMRSRLIVMVAPALCFLLVCVGFFLFTFTKLYARHYAWTSLALTLLVFALTLAAQFQVLTPVSGRGDSSNLTATARPTDTCLSQVGSFSMCIEVLFLLYTVMHLPLYLSLCLGVAYSVLFETFGYHFRDEACFPSPGAGALHWELLSRGLLHGCIHAIGVHLFVMSQVRSRSTFLKVGQSIMHGKDLEVEKALKERMIHSVMPRIIADDLMKQGDEESENSVKRHATSSPKNRKKKSSIQKAPIAFRPFKMQQIEEVSILFADIVGFTKMSANKSAHALVGLLNDLFGRFDRLCEETKCEKISTLGDCYYCVAGCPEPRADHAYCCIEMGLGMIKAIEQFCQEKKEMVNMRVGVHTGTVLCGILGMRRFKFDVWSNDVNLANLMEQLGVAGKVHISEATAKYLDDRYEMEDGKVIERLGQSVVADQLKGLKTYLISGQRAKESRCSCAEALLSGFEVIDGSQVSSGPRGQGTASSGNVSDLAQTVKTFDNLKTCPSCGITFAPKSEAGAEGGAPQNGCQDEHKNSTKASGGPNPKTQNGLLSPPQEEKLTNSQTSLCEILQEKGRWAGVSLDQSALLPLRFKNIREKTDAHFVDVIKEDSLMKDYFFKPPINQFSLNFLDQELERSYRTSYQEEVIKNSPVKTFASPTFSSLLDVFLSTTVFLTLSTTCFLKYEAATVPPPPAALAVFSAALLLEVLSLAVSIRMVFFLEDVMACTKRLLEWIAGWLPRHCIGAILVSLPALAVYSHVTSEYETNIHFPVFTGSAALIAVVHYCNFCQLSSWMRSSLATVVGAGPLLLLYVSLCPDSSVLTSPLDAVQNFSSERNPCNSSVPRDLRRPASLIGQEVVLVFFLLLLLVWFLNREFEVSYRLHYHGDVEADLHRTKIQSMRDQADWLLRNIIPYHVAEQLKVSQTYSKNHDSGGVIFASIVNFSEFYEENYEGGKECYRVLNELIGDFDELLSKPDYSSIEKIKTIGATYMAASGLNTAQAQDGSHPQEHLQILFEFAKEMMRVVDDFNNNMLWFNFKLRVGFNHGPLTAGVIGTTKLLYDIWGDTVNIASRMDTTGVECRIQVSEESYRVLSKMGYDFDYRGTVNVKGKGQMKTYLYPKCTDHRVIPQHQLSISPDIRVQVDGSIGRSPTDEIANLVPSVQYVDKTSLGSDSSTQAKDAHLSPKRPWKEPVKAEERGRFGKAIEKDDCDETGIEEANELTKLNVSKSV
+>DECOY_sp|O60503|ADCY9_HUMAN Adenylate cyclase type 9 OS=Homo sapiens OX=9606 GN=ADCY9 PE=1 SV=4
+VSKSVNLKTLENAEEIGTEDCDDKEIAKGFRGREEAKVPEKWPRKPSLHADKAQTSSDSGLSTKDVYQVSPVLNAIEDTPSRGISGDVQVRIDPSISLQHQPIVRHDTCKPYLYTKMQGKGKVNVTGRYDFDYGMKSLVRYSEESVQIRCEVGTTDMRSAINVTDGWIDYLLKTTGIVGATLPGHNFGVRLKFNFWLMNNNFDDVVRMMEKAFEFLIQLHEQPHSGDQAQATNLGSAAMYTAGITKIKEISSYDPKSLLEDFDGILENLVRYCEKGGEYNEEYFESFNVISAFIVGGSDHNKSYTQSVKLQEAVHYPIINRLLWDAQDRMSQIKTRHLDAEVDGHYHLRYSVEFERNLFWVLLLLLFFVLVVEQGILSAPRRLDRPVSSNCPNRESSFNQVADLPSTLVSSDPCLSVYLLLLPGAGVVTALSSRMWSSLQCFNCYHVVAILAASGTFVPFHINTEYESTVHSYVALAPLSVLIAGICHRPLWGAIWELLRKTCAMVDELFFVMRISVALSLVELLLAASFVALAAPPPPVTAAEYKLFCTTSLTLFVTTSLFVDLLSSFTPSAFTKVPSNKIVEEQYSTRYSRELEQDLFNLSFQNIPPKFFYDKMLSDEKIVDVFHADTKERINKFRLPLLASQDLSVGAWRGKEQLIECLSTQSNTLKEEQPPSLLGNQTKPNPGGSAKTSNKHEDQCGNQPAGGEAGAESKPAFTIGCSPCTKLNDFTKVTQALDSVNGSSATGQGRPGSSVQSGDIVEFGSLLAEACSCRSEKARQGSILYTKLGKLQDAVVSQGLREIVKGDEMEYRDDLYKATAESIHVKGAVGLQEMLNALNVDNSWVDFKFRRMGLIGCLVTGTHVGVRMNVMEKKEQCFQEIAKIMGLGMEICCYAHDARPEPCGAVCYYCDGLTSIKECKTEECLRDFRGFLDNLLGVLAHASKNASMKTFGVIDAFLISVEEIQQMKFPRFAIPAKQISSKKKRNKPSSTAHRKVSNESEEDGQKMLDDAIIRPMVSHIMREKLAKEVELDKGHMISQGVKLFTSRSRVQSMVFLHVGIAHICGHLLGRSLLEWHLAGAGPSPFCAEDRFHYGFTEFLVSYAVGLCLSLYLPLHMVTYLLFLVEICMSFSGVQSLCTDTPRATATLNSSDGRGSVPTLVQFQAALTLAFVLLTLALSTWAYHRAYLKTFTFLFFGVCVLLFCLAPAVMVILRSRMHVAFYISWLLCAFGIYFLAYRFRRQTQPFCRELCAEELNVSDFKPDWWRSSAREFLQPLKKQRRLRGGGGVRRPVGGSDGSSSCSSSISYKCHKPHSNSSLQKPNIKVRVSNSDGSSDCSVETSHHHLLQQHPPSAM
+>sp|Q96PN6|ADCYA_HUMAN Adenylate cyclase type 10 OS=Homo sapiens OX=9606 GN=ADCY10 PE=1 SV=3
+MNTPKEEFQDWPIVRIAAHLPDLIVYGHFSPERPFMDYFDGVLMFVDISGFTAMTEKFSSAMYMDRGAEQLVEILNYHISAIVEKVLIFGGDILKFAGDALLALWRVERKQLKNIITVVIKCSLEIHGLFETQEWEEGLDIRVKIGLAAGHISMLVFGDETHSHFLVIGQAVDDVRLAQNMAQMNDVILSPNCWQLCDRSMIEIESVPDQRAVKVNFLKPPPNFNFDEFFTKCTTFMHYYPSGEHKNLLRLACTLKPDPELEMSLQKYVMESILKQIDNKQLQGYLSELRPVTIVFVNLMFEDQDKAEEIGPAIQDAYMHITSVLKIFQGQINKVFMFDKGCSFLCVFGFPGEKVPDELTHALECAMDIFDFCSQVHKIQTVSIGVASGIVFCGIVGHTVRHEYTVIGQKVNLAARMMMYYPGIVTCDSVTYNGSNLPAYFFKELPKKVMKGVADSGPLYQYWGRTEKVMFGMACLICNRKEDYPLLGRNKEINYFMYTMKKFLISNSSQVLMYEGLPGYGKSQILMKIEYLAQGKNHRIIAISLNKISFHQTFYTIQMFMANVLGLDTCKHYKERQTNLRNKVMTLLDEKFYCLLNDIFHVQFPISREISRMSTLKKQKQLEILFMKILKLIVKEERIIFIIDEAQFVDSTSWRFMEKLIRTLPIFIIMSLCPFVNIPCAAARAVIKNRNTTYIVIGAVQPNDISNKICLDLNVSCISKELDSYLGEGSCGIPFYCEELLKNLEHHEVLVFQQTESEEKTNRTWNNLFKYSIKLTEKLNMVTLHSDKESEEVCHLTSGVRLKNLSPPTSLKEISLIQLDSMRLSHQMLVRCAAIIGLTFTTELLFEILPCWNMKMMIKTLATLVESNIFYCFRNGKELQKALKQNDPSFEVHYRSLSLKPSEGMDHGEEEQLRELENEVIECHRIRFCNPMMQKTAYELWLKDQRKAMHLKCARFLEEDAHRCDHCRGRDFIPYHHFTVNIRLNALDMDAIKKMAMSHGFKTEEKLILSNSEIPETSAFFPENRSPEEIREKILNFFDHVLTKMKTSDEDIIPLESCQCEEILEIVILPLAHHFLALGENDKALYYFLEIASAYLIFCDNYMAYMYLNEGQKLLKTLKKDKSWSQTFESATFYSLKGEVCFNMGQIVLAKKMLRKALKLLNRIFPYNLISLFLHIHVEKNRHFHYVNRQAQESPPPGKKRLAQLYRQTVCLSLLWRIYSYSYLFHCKYYAHLAVMMQMNTALETQNCFQIIKAYLDYSLYHHLAGYKGVWFKYEVMAMEHIFNLPLKGEGIEIVAYVAETLVFNKLIMGHLDLAIELGSRALQMWALLQNPNRHYQSLCRLSRCLLLNSRYPQLIQVLGRLWELSVTQEHIFSKAFFYFVCLDILLYSGFVYRTFEECLEFIHQYENNRILKFHSGLLLGLYSSVAIWYARLQEWDNFYKFSNRAKNLLPRRTMTLTYYDGISRYMEGQVLHLQKQIKEQSENAQASGEELLKNLENLVAQNTTGPVFCPRLYHLMAYVCILMGDGQKCGLFLNTALRLSETQGNILEKCWLNMNKESWYSTSELKEDQWLQTILSLPSWEKIVAGRVNIQDLQKNKFLMRANTVDNHF
+>DECOY_sp|Q96PN6|ADCYA_HUMAN Adenylate cyclase type 10 OS=Homo sapiens OX=9606 GN=ADCY10 PE=1 SV=3
+FHNDVTNARMLFKNKQLDQINVRGAVIKEWSPLSLITQLWQDEKLESTSYWSEKNMNLWCKELINGQTESLRLATNLFLGCKQGDGMLICVYAMLHYLRPCFVPGTTNQAVLNELNKLLEEGSAQANESQEKIQKQLHLVQGEMYRSIGDYYTLTMTRRPLLNKARNSFKYFNDWEQLRAYWIAVSSYLGLLLGSHFKLIRNNEYQHIFELCEEFTRYVFGSYLLIDLCVFYFFAKSFIHEQTVSLEWLRGLVQILQPYRSNLLLCRSLRCLSQYHRNPNQLLAWMQLARSGLEIALDLHGMILKNFVLTEAVYAVIEIGEGKLPLNFIHEMAMVEYKFWVGKYGALHHYLSYDLYAKIIQFCNQTELATNMQMMVALHAYYKCHFLYSYSYIRWLLSLCVTQRYLQALRKKGPPPSEQAQRNVYHFHRNKEVHIHLFLSILNYPFIRNLLKLAKRLMKKALVIQGMNFCVEGKLSYFTASEFTQSWSKDKKLTKLLKQGENLYMYAMYNDCFILYASAIELFYYLAKDNEGLALFHHALPLIVIELIEECQCSELPIIDEDSTKMKTLVHDFFNLIKERIEEPSRNEPFFASTEPIESNSLILKEETKFGHSMAMKKIADMDLANLRINVTFHHYPIFDRGRCHDCRHADEELFRACKLHMAKRQDKLWLEYATKQMMPNCFRIRHCEIVENELERLQEEEGHDMGESPKLSLSRYHVEFSPDNQKLAKQLEKGNRFCYFINSEVLTALTKIMMKMNWCPLIEFLLETTFTLGIIAACRVLMQHSLRMSDLQILSIEKLSTPPSLNKLRVGSTLHCVEESEKDSHLTVMNLKETLKISYKFLNNWTRNTKEESETQQFVLVEHHELNKLLEECYFPIGCSGEGLYSDLEKSICSVNLDLCIKNSIDNPQVAGIVIYTTNRNKIVARAAACPINVFPCLSMIIFIPLTRILKEMFRWSTSDVFQAEDIIFIIREEKVILKLIKMFLIELQKQKKLTSMRSIERSIPFQVHFIDNLLCYFKEDLLTMVKNRLNTQREKYHKCTDLGLVNAMFMQITYFTQHFSIKNLSIAIIRHNKGQALYEIKMLIQSKGYGPLGEYMLVQSSNSILFKKMTYMFYNIEKNRGLLPYDEKRNCILCAMGFMVKETRGWYQYLPGSDAVGKMVKKPLEKFFYAPLNSGNYTVSDCTVIGPYYMMMRAALNVKQGIVTYEHRVTHGVIGCFVIGSAVGISVTQIKHVQSCFDFIDMACELAHTLEDPVKEGPFGFVCLFSCGKDFMFVKNIQGQFIKLVSTIHMYADQIAPGIEEAKDQDEFMLNVFVITVPRLESLYGQLQKNDIQKLISEMVYKQLSMELEPDPKLTCALRLLNKHEGSPYYHMFTTCKTFFEDFNFNPPPKLFNVKVARQDPVSEIEIMSRDCLQWCNPSLIVDNMQAMNQALRVDDVAQGIVLFHSHTEDGFVLMSIHGAALGIKVRIDLGEEWEQTEFLGHIELSCKIVVTIINKLQKREVRWLALLADGAFKLIDGGFILVKEVIASIHYNLIEVLQEAGRDMYMASSFKETMATFGSIDVFMLVGDFYDMFPREPSFHGYVILDPLHAAIRVIPWDQFEEKPTNM
+>sp|Q8N7X0|ADGB_HUMAN Androglobin OS=Homo sapiens OX=9606 GN=ADGB PE=2 SV=3
+MASKQTKKKEVHRINSAHGSDKSKDFYPFGSNVQSGSTEQKKGKFPLWPEWSEADINSEKWDAGKGAKEKDKTGKSPVFHFFEDPEGKIELPPSLKIYSWKRPQDILFSQTPVVVKNEITFDLFSANEHLLCSELMRWIISEIYAVWKIFNGGILSNYFKGTSGEPPLLPWKPWEHIYSLCKAVKGHMPLFNSYGKYVVKLYWMGCWRKITIDDFLPFDEDNNLLLPATTYEFELWPMLLSKAIIKLANIDIHVADRRELGEFTVIHALTGWLPEVISLHPGYMDKVWELLKEILPEFKLSDEASSESKIAVLDSKLKEPGKEGKEGKEIKDGKEVKDVKEFKPESSLTTLKAPEKSDKVPKEKADARDIGKKRSKDGEKEKFKFSLHGSRPSSEVQYSVQSLSDCSSAIQTSHMVVYATFTPLYLFENKIFSLEKMADSAEKLREYGLSHICSHPVLVTRSRSCPLVAPPKPPPLPPWKLIRQKKETVITDEAQELIVKKPERFLEISSPFLNYRMTPFTIPTEMHFVRSLIKKGIPPGSDLPSVSETDETATHSQTDLSQITKATSQGNTASQVILGKGTDEQTDFGLGDAHQSDGLNLEREIVSQTTATQEKSQEELPTTNNSVSKEIWLDFEDFCVCFQNIYIFHKPSSYCLNFQKSEFKFSEERVSYYLFVDSLKPIELLVCFSALVRWGEYGALTKDSPPIEPGLLTAETFSWKSLKPGSLVLKIHTYATKATVVRLPVGRHMLLFNAYSPVGHSIHICSMVSFVIGDEHVVLPNFEPESCRFTEQSLLIMKAIGNVIANFKDKGKLSAALKDLQTAHYPVPFHDKELTAQHFRVFHLSLWRLMKKVQITKPPPNFKFAFRAMVLDLELLNSSLEEVSLVEWLDVKYCMPTSDKEYSAEEVAAAIKIQAMWRGTYVRLLMKARIPDTKENISVADTLQKVWAVLEMNLEQYAVSLLRLMFKSKCKSLESYPCYQDEETKIAFADYTVTYQEQPPNSWFIVFRETFLVHQDMILVPKVYTTLPICILHIVNNDTMEQVPKVFQKVVPYLYTKNKKGYTFVAEAFTGDTYVAASRWKLRLIGSSAPLPCLSRDSPCNSFAIKEIRDYYIPNDKKILFRYSVKVLTPQPATIQVRTSKPDAFIKLQVLENEETMVSSTGKGQAIIPAFHFLKSEKGLSSQSSKHILSFHSASKKEQEVYVKKKAAQGIQKSPKGRAVSAIQDIGLPLVEEETTSTPTREDSSSTPLQNYKYIIQCSVLYNSWPLTESQLTFVQALKDLKKSNTKAYGERHEELINLGSPDSHTISEGQKSSVTSKTTRKGKEKSSEKEKTAKEKQAPRFEPQISTVHPQQEDPNKPYWILRLVTEHNESELFEVKKDTERADEIRAMKQAWETTEPGRAIKASQARLHYLSGFIKKTSDAESPPISESQTKPKEEVETAARGVKEPNSKNSAGSESKEMTQTGSGSAVWKKWQLTKGLRDVAKSTSSESGGVSSPGKEEREQSTRKENIQTGPRTRSPTILETSPRLIRKALEFMDLSQYVRKTDTDPLLQTDELNQQQAMQKAEEIHQFRQHRTRVLSIRNIDQEERLKLKDEVLDMYKEMQDSLDEARQKIFDIREEYRNKLLEAEHLKLETLAAQEAAMKLETEKMTPAPDTQKKKKGKKK
+>DECOY_sp|Q8N7X0|ADGB_HUMAN Androglobin OS=Homo sapiens OX=9606 GN=ADGB PE=2 SV=3
+KKKGKKKKQTDPAPTMKETELKMAAEQAALTELKLHEAELLKNRYEERIDFIKQRAEDLSDQMEKYMDLVEDKLKLREEQDINRISLVRTRHQRFQHIEEAKQMAQQQNLEDTQLLPDTDTKRVYQSLDMFELAKRILRPSTELITPSRTRPGTQINEKRTSQEREEKGPSSVGGSESSTSKAVDRLGKTLQWKKWVASGSGTQTMEKSESGASNKSNPEKVGRAATEVEEKPKTQSESIPPSEADSTKKIFGSLYHLRAQSAKIARGPETTEWAQKMARIEDARETDKKVEFLESENHETVLRLIWYPKNPDEQQPHVTSIQPEFRPAQKEKATKEKESSKEKGKRTTKSTVSSKQGESITHSDPSGLNILEEHREGYAKTNSKKLDKLAQVFTLQSETLPWSNYLVSCQIIYKYNQLPTSSSDERTPTSTTEEEVLPLGIDQIASVARGKPSKQIGQAAKKKVYVEQEKKSASHFSLIHKSSQSSLGKESKLFHFAPIIAQGKGTSSVMTEENELVQLKIFADPKSTRVQITAPQPTLVKVSYRFLIKKDNPIYYDRIEKIAFSNCPSDRSLCPLPASSGILRLKWRSAAVYTDGTFAEAVFTYGKKNKTYLYPVVKQFVKPVQEMTDNNVIHLICIPLTTYVKPVLIMDQHVLFTERFVIFWSNPPQEQYTVTYDAFAIKTEEDQYCPYSELSKCKSKFMLRLLSVAYQELNMELVAWVKQLTDAVSINEKTDPIRAKMLLRVYTGRWMAQIKIAAAVEEASYEKDSTPMCYKVDLWEVLSVEELSSNLLELDLVMARFAFKFNPPPKTIQVKKMLRWLSLHFVRFHQATLEKDHFPVPYHATQLDKLAASLKGKDKFNAIVNGIAKMILLSQETFRCSEPEFNPLVVHEDGIVFSVMSCIHISHGVPSYANFLLMHRGVPLRVVTAKTAYTHIKLVLSGPKLSKWSFTEATLLGPEIPPSDKTLAGYEGWRVLASFCVLLEIPKLSDVFLYYSVREESFKFESKQFNLCYSSPKHFIYINQFCVCFDEFDLWIEKSVSNNTTPLEEQSKEQTATTQSVIERELNLGDSQHADGLGFDTQEDTGKGLIVQSATNGQSTAKTIQSLDTQSHTATEDTESVSPLDSGPPIGKKILSRVFHMETPITFPTMRYNLFPSSIELFREPKKVILEQAEDTIVTEKKQRILKWPPLPPPKPPAVLPCSRSRTVLVPHSCIHSLGYERLKEASDAMKELSFIKNEFLYLPTFTAYVVMHSTQIASSCDSLSQVSYQVESSPRSGHLSFKFKEKEGDKSRKKGIDRADAKEKPVKDSKEPAKLTTLSSEPKFEKVDKVEKGDKIEKGEKGEKGPEKLKSDLVAIKSESSAEDSLKFEPLIEKLLEWVKDMYGPHLSIVEPLWGTLAHIVTFEGLERRDAVHIDINALKIIAKSLLMPWLEFEYTTAPLLLNNDEDFPLFDDITIKRWCGMWYLKVVYKGYSNFLPMHGKVAKCLSYIHEWPKWPLLPPEGSTGKFYNSLIGGNFIKWVAYIESIIWRMLESCLLHENASFLDFTIENKVVVPTQSFLIDQPRKWSYIKLSPPLEIKGEPDEFFHFVPSKGTKDKEKAGKGADWKESNIDAESWEPWLPFKGKKQETSGSQVNSGFPYFDKSKDSGHASNIRHVEKKKTQKSAM
+>sp|P08319|ADH4_HUMAN Alcohol dehydrogenase 4 OS=Homo sapiens OX=9606 GN=ADH4 PE=1 SV=5
+MGTKGKVIKCKAAIAWEAGKPLCIEEVEVAPPKAHEVRIQIIATSLCHTDATVIDSKFEGLAFPVIVGHEAAGIVESIGPGVTNVKPGDKVIPLYAPLCRKCKFCLSPLTNLCGKISNLKSPASDQQLMEDKTSRFTCKGKPVYHFFGTSTFSQYTVVSDINLAKIDDDANLERVCLLGCGFSTGYGAAINNAKVTPGSTCAVFGLGGVGLSAVMGCKAAGASRIIGIDINSEKFVKAKALGATDCLNPRDLHKPIQEVIIELTKGGVDFALDCAGGSETMKAALDCTTAGWGSCTFIGVAAGSKGLTIFPEELIIGRTINGTFFGGWKSVDSIPKLVTDYKNKKFNLDALVTHTLPFDKISEAFDLMNQGKSVRTILIF
+>DECOY_sp|P08319|ADH4_HUMAN Alcohol dehydrogenase 4 OS=Homo sapiens OX=9606 GN=ADH4 PE=1 SV=5
+FILITRVSKGQNMLDFAESIKDFPLTHTVLADLNFKKNKYDTVLKPISDVSKWGGFFTGNITRGIILEEPFITLGKSGAAVGIFTCSGWGATTCDLAAKMTESGGACDLAFDVGGKTLEIIVEQIPKHLDRPNLCDTAGLAKAKVFKESNIDIGIIRSAGAAKCGMVASLGVGGLGFVACTSGPTVKANNIAAGYGTSFGCGLLCVRELNADDDIKALNIDSVVTYQSFTSTGFFHYVPKGKCTFRSTKDEMLQQDSAPSKLNSIKGCLNTLPSLCFKCKRCLPAYLPIVKDGPKVNTVGPGISEVIGAAEHGVIVPFALGEFKSDIVTADTHCLSTAIIQIRVEHAKPPAVEVEEICLPKGAEWAIAAKCKIVKGKTGM
+>sp|P11766|ADHX_HUMAN Alcohol dehydrogenase class-3 OS=Homo sapiens OX=9606 GN=ADH5 PE=1 SV=4
+MANEVIKCKAAVAWEAGKPLSIEEIEVAPPKAHEVRIKIIATAVCHTDAYTLSGADPEGCFPVILGHEGAGIVESVGEGVTKLKAGDTVIPLYIPQCGECKFCLNPKTNLCQKIRVTQGKGLMPDGTSRFTCKGKTILHYMGTSTFSEYTVVADISVAKIDPLAPLDKVCLLGCGISTGYGAAVNTAKLEPGSVCAVFGLGGVGLAVIMGCKVAGASRIIGVDINKDKFARAKEFGATECINPQDFSKPIQEVLIEMTDGGVDYSFECIGNVKVMRAALEACHKGWGVSVVVGVAASGEEIATRPFQLVTGRTWKGTAFGGWKSVESVPKLVSEYMSKKIKVDEFVTHNLSFDEINKAFELMHSGKSIRTVVKI
+>DECOY_sp|P11766|ADHX_HUMAN Alcohol dehydrogenase class-3 OS=Homo sapiens OX=9606 GN=ADH5 PE=1 SV=4
+IKVVTRISKGSHMLEFAKNIEDFSLNHTVFEDVKIKKSMYESVLKPVSEVSKWGGFATGKWTRGTVLQFPRTAIEEGSAAVGVVVSVGWGKHCAELAARMVKVNGICEFSYDVGGDTMEILVEQIPKSFDQPNICETAGFEKARAFKDKNIDVGIIRSAGAVKCGMIVALGVGGLGFVACVSGPELKATNVAAGYGTSIGCGLLCVKDLPALPDIKAVSIDAVVTYESFTSTGMYHLITKGKCTFRSTGDPMLGKGQTVRIKQCLNTKPNLCFKCEGCQPIYLPIVTDGAKLKTVGEGVSEVIGAGEHGLIVPFCGEPDAGSLTYADTHCVATAIIKIRVEHAKPPAVEIEEISLPKGAEWAVAAKCKIVENAM
+>sp|P55198|AF17_HUMAN Protein AF-17 OS=Homo sapiens OX=9606 GN=MLLT6 PE=1 SV=3
+MKEMVGGCCVCSDERGWAENPLVYCDGHACSVAVHQACYGIVQVPTGPWFCRKCESQERAARVRCELCPHKDGALKRTDNGGWAHVVCALYIPEVQFANVLTMEPIVLQYVPHDRFNKTCYICEEQGRESKAASGACMTCNRHGCRQAFHVTCAQMAGLLCEEEVLEVDNVKYCGYCKYHFSKMKTSRHSSGGGGGGAGGGGGSMGGGGSGFISGRRSRSASPSTQQEKHPTHHERGQKKSRKDKERLKQKHKKRPESPPSILTPPVVPTADKVSSSASSSSHHEASTQETSESSRESKGKKSSSHSLSHKGKKLSSGKGVSSFTSASSSSSSSSSSSGGPFQPAVSSLQSSPDFSAFPKLEQPEEDKYSKPTAPAPSAPPSPSAPEPPKADLFEQKVVFSGFGPIMRFSTTTSSSGRARAPSPGDYKSPHVTGSGASAGTHKRMPALSATPVPADETPETGLKEKKHKASKRSRHGPGRPKGSRNKEGTGGPAAPSLPSAQLAGFTATAASPFSGGSLVSSGLGGLSSRTFGPSGSLPSLSLESPLLGAGIYTSNKDPISHSGGMLRAVCSTPLSSSLLGPPGTSALPRLSRSPFTSTLPSSSASISTTQVFSLAGSTFSLPSTHIFGTPMGAVNPLLSQAESSHTEPDLEDCSFRCRGTSPQESLSSMSPISSLPALFDQTASAPCGGGQLDPAAPGTTNMEQLLEKQGDGEAGVNIVEMLKALHALQKENQRLQEQILSLTAKKERLQILNVQLSVPFPALPAALPAANGPVPGPYGLPPQAGSSDSLSTSKSPPGKSSLGLDNSLSTSSEDPHSGCPSRSSSSLSFHSTPPPLPLLQQSPATLPLALPGAPAPLPPQPQNGLGRAPGAAGLGAMPMAEGLLGGLAGSGGLPLNGLLGGLNGAAAPNPASLSQAGGAPTLQLPGCLNSLTEQQRHLLQQQEQQLQQLQQLLASPQLTPEHQTVVYQMIQQIQQKRELQRLQMAGGSQLPMASLLAGSSTPLLSAGTPGLLPTASAPPLLPAGALVAPSLGNNTSLMAAAAAAAAVAAAGGPPVLTAQTNPFLSLSGAEGSGGGPKGGTADKGASANQEKG
+>DECOY_sp|P55198|AF17_HUMAN Protein AF-17 OS=Homo sapiens OX=9606 GN=MLLT6 PE=1 SV=3
+GKEQNASAGKDATGGKPGGGSGEAGSLSLFPNTQATLVPPGGAAAVAAAAAAAAMLSTNNGLSPAVLAGAPLLPPASATPLLGPTGASLLPTSSGALLSAMPLQSGGAMQLRQLERKQQIQQIMQYVVTQHEPTLQPSALLQQLQQLQQEQQQLLHRQQETLSNLCGPLQLTPAGGAQSLSAPNPAAAGNLGGLLGNLPLGGSGALGGLLGEAMPMAGLGAAGPARGLGNQPQPPLPAPAGPLALPLTAPSQQLLPLPPPTSHFSLSSSSRSPCGSHPDESSTSLSNDLGLSSKGPPSKSTSLSDSSGAQPPLGYPGPVPGNAAPLAAPLAPFPVSLQVNLIQLREKKATLSLIQEQLRQNEKQLAHLAKLMEVINVGAEGDGQKELLQEMNTTGPAAPDLQGGGCPASATQDFLAPLSSIPSMSSLSEQPSTGRCRFSCDELDPETHSSEAQSLLPNVAGMPTGFIHTSPLSFTSGALSFVQTTSISASSSPLTSTFPSRSLRPLASTGPPGLLSSSLPTSCVARLMGGSHSIPDKNSTYIGAGLLPSELSLSPLSGSPGFTRSSLGGLGSSVLSGGSFPSAATATFGALQASPLSPAAPGGTGEKNRSGKPRGPGHRSRKSAKHKKEKLGTEPTEDAPVPTASLAPMRKHTGASAGSGTVHPSKYDGPSPARARGSSSTTTSFRMIPGFGSFVVKQEFLDAKPPEPASPSPPASPAPATPKSYKDEEPQELKPFASFDPSSQLSSVAPQFPGGSSSSSSSSSSSASTFSSVGKGSSLKKGKHSLSHSSSKKGKSERSSESTEQTSAEHHSSSSASSSVKDATPVVPPTLISPPSEPRKKHKQKLREKDKRSKKQGREHHTPHKEQQTSPSASRSRRGSIFGSGGGGMSGGGGGAGGGGGGSSHRSTKMKSFHYKCYGCYKVNDVELVEEECLLGAMQACTVHFAQRCGHRNCTMCAGSAAKSERGQEECIYCTKNFRDHPVYQLVIPEMTLVNAFQVEPIYLACVVHAWGGNDTRKLAGDKHPCLECRVRAAREQSECKRCFWPGTPVQVIGYCAQHVAVSCAHGDCYVLPNEAWGREDSCVCCGGVMEKM
+>sp|Q8IWK6|AGRA3_HUMAN Adhesion G protein-coupled receptor A3 OS=Homo sapiens OX=9606 GN=ADGRA3 PE=1 SV=2
+MEPPGRRRGRAQPPLLLPLSLLALLALLGGGGGGGAAALPAGCKHDGRPRGAGRAAGAAEGKVVCSSLELAQVLPPDTLPNRTVTLILSNNKISELKNGSFSGLSLLERLDLRNNLISSIDPGAFWGLSSLKRLDLTNNRIGCLNADIFRGLTNLVRLNLSGNLFSSLSQGTFDYLASLRSLEFQTEYLLCDCNILWMHRWVKEKNITVRDTRCVYPKSLQAQPVTGVKQELLTCDPPLELPSFYMTPSHRQVVFEGDSLPFQCMASYIDQDMQVLWYQDGRIVETDESQGIFVEKNMIHNCSLIASALTISNIQAGSTGNWGCHVQTKRGNNTRTVDIVVLESSAQYCPPERVVNNKGDFRWPRTLAGITAYLQCTRNTHGSGIYPGNPQDERKAWRRCDRGGFWADDDYSRCQYANDVTRVLYMFNQMPLNLTNAVATARQLLAYTVEAANFSDKMDVIFVAEMIEKFGRFTKEEKSKELGDVMVDIASNIMLADERVLWLAQREAKACSRIVQCLQRIATYRLAGGAHVYSTYSPNIALEAYVIKSTGFTGMTCTVFQKVAASDRTGLSDYGRRDPEGNLDKQLSFKCNVSNTFSSLALKNTIVEASIQLPPSLFSPKQKRELRPTDDSLYKLQLIAFRNGKLFPATGNSTNLADDGKRRTVVTPVILTKIDGVNVDTHHIPVNVTLRRIAHGADAVAARWDFDLLNGQGGWKSDGCHILYSDENITTIQCYSLSNYAVLMDLTGSELYTQAASLLHPVVYTTAIILLLCLLAVIVSYIYHHSLIRISLKSWHMLVNLCFHIFLTCVVFVGGITQTRNASICQAVGIILHYSTLATVLWVGVTARNIYKQVTKKAKRCQDPDEPPPPPRPMLRFYLIGGGIPIIVCGITAAANIKNYGSRPNAPYCWMAWEPSLGAFYGPASFITFVNCMYFLSIFIQLKRHPERKYELKEPTEEQQRLAANENGEINHQDSMSLSLISTSALENEHTFHSQLLGASLTLLLYVALWMFGALAVSLYYPLDLVFSFVFGATSLSFSAFFVVHHCVNREDVRLAWIMTCCPGRSSYSVQVNVQPPNSNGTNGEAPKCPNSSAESSCTNKSASSFKNSSQGCKLTNLQAAAAQCHANSLPLNSTPQLDNSLTEHSMDNDIKMHVAPLEVQFRTNVHSSRHHKNRSKGHRASRLTVLREYAYDVPTSVEGSVQNGLPKSRLGNNEGHSRSRRAYLAYRERQYNPPQQDSSDACSTLPKSSRNFEKPVSTTSKKDALRKPAVVELENQQKSYGLNLAIQNGPIKSNGQEGPLLGTDSTGNVRTGLWKHETTV
+>DECOY_sp|Q8IWK6|AGRA3_HUMAN Adhesion G protein-coupled receptor A3 OS=Homo sapiens OX=9606 GN=ADGRA3 PE=1 SV=2
+VTTEHKWLGTRVNGTSDTGLLPGEQGNSKIPGNQIALNLGYSKQQNELEVVAPKRLADKKSTTSVPKEFNRSSKPLTSCADSSDQQPPNYQRERYALYARRSRSHGENNGLRSKPLGNQVSGEVSTPVDYAYERLVTLRSARHGKSRNKHHRSSHVNTRFQVELPAVHMKIDNDMSHETLSNDLQPTSNLPLSNAHCQAAAAQLNTLKCGQSSNKFSSASKNTCSSEASSNPCKPAEGNTGNSNPPQVNVQVSYSSRGPCCTMIWALRVDERNVCHHVVFFASFSLSTAGFVFSFVLDLPYYLSVALAGFMWLAVYLLLTLSAGLLQSHFTHENELASTSILSLSMSDQHNIEGNENAALRQQEETPEKLEYKREPHRKLQIFISLFYMCNVFTIFSAPGYFAGLSPEWAMWCYPANPRSGYNKINAAATIGCVIIPIGGGILYFRLMPRPPPPPEDPDQCRKAKKTVQKYINRATVGVWLVTALTSYHLIIGVAQCISANRTQTIGGVFVVCTLFIHFCLNVLMHWSKLSIRILSHHYIYSVIVALLCLLLIIATTYVVPHLLSAAQTYLESGTLDMLVAYNSLSYCQITTINEDSYLIHCGDSKWGGQGNLLDFDWRAAVADAGHAIRRLTVNVPIHHTDVNVGDIKTLIVPTVVTRRKGDDALNTSNGTAPFLKGNRFAILQLKYLSDDTPRLERKQKPSFLSPPLQISAEVITNKLALSSFTNSVNCKFSLQKDLNGEPDRRGYDSLGTRDSAAVKQFVTCTMGTFGTSKIVYAELAINPSYTSYVHAGGALRYTAIRQLCQVIRSCAKAERQALWLVREDALMINSAIDVMVDGLEKSKEEKTFRGFKEIMEAVFIVDMKDSFNAAEVTYALLQRATAVANTLNLPMQNFMYLVRTVDNAYQCRSYDDDAWFGGRDCRRWAKREDQPNGPYIGSGHTNRTCQLYATIGALTRPWRFDGKNNVVREPPCYQASSELVVIDVTRTNNGRKTQVHCGWNGTSGAQINSITLASAILSCNHIMNKEVFIGQSEDTEVIRGDQYWLVQMDQDIYSAMCQFPLSDGEFVVQRHSPTMYFSPLELPPDCTLLEQKVGTVPQAQLSKPYVCRTDRVTINKEKVWRHMWLINCDCLLYETQFELSRLSALYDFTGQSLSSFLNGSLNLRVLNTLGRFIDANLCGIRNNTLDLRKLSSLGWFAGPDISSILNNRLDLRELLSLGSFSGNKLESIKNNSLILTVTRNPLTDPPLVQALELSSCVVKGEAAGAARGAGRPRGDHKCGAPLAAAGGGGGGGLLALLALLSLPLLLPPQARGRRRGPPEM
+>sp|O14514|AGRB1_HUMAN Adhesion G protein-coupled receptor B1 OS=Homo sapiens OX=9606 GN=ADGRB1 PE=1 SV=2
+MRGQAAAPGPVWILAPLLLLLLLLGRRARAAAGADAGPGPEPCATLVQGKFFGYFSAAAVFPANASRCSWTLRNPDPRRYTLYMKVAKAPVPCSGPGRVRTYQFDSFLESTRTYLGVESFDEVLRLCDPSAPLAFLQASKQFLQMRRQQPPQHDGLRPRAGPPGPTDDFSVEYLVVGNRNPSRAACQMLCRWLDACLAGSRSSHPCGIMQTPCACLGGEAGGPAAGPLAPRGDVCLRDAVAGGPENCLTSLTQDRGGHGATGGWKLWSLWGECTRDCGGGLQTRTRTCLPAPGVEGGGCEGVLEEGRQCNREACGPAGRTSSRSQSLRSTDARRREELGDELQQFGFPAPQTGDPAAEEWSPWSVCSSTCGEGWQTRTRFCVSSSYSTQCSGPLREQRLCNNSAVCPVHGAWDEWSPWSLCSSTCGRGFRDRTRTCRPPQFGGNPCEGPEKQTKFCNIALCPGRAVDGNWNEWSSWSACSASCSQGRQQRTRECNGPSYGGAECQGHWVETRDCFLQQCPVDGKWQAWASWGSCSVTCGAGSQRRERVCSGPFFGGAACQGPQDEYRQCGTQRCPEPHEICDEDNFGAVIWKETPAGEVAAVRCPRNATGLILRRCELDEEGIAYWEPPTYIRCVSIDYRNIQMMTREHLAKAQRGLPGEGVSEVIQTLVEISQDGTSYSGDLLSTIDVLRNMTEIFRRAYYSPTPGDVQNFVQILSNLLAEENRDKWEEAQLAGPNAKELFRLVEDFVDVIGFRMKDLRDAYQVTDNLVLSIHKLPASGATDISFPMKGWRATGDWAKVPEDRVTVSKSVFSTGLTEADEASVFVVGTVLYRNLGSFLALQRNTTVLNSKVISVTVKPPPRSLRTPLEIEFAHMYNGTTNQTCILWDETDVPSSSAPPQLGPWSWRGCRTVPLDALRTRCLCDRLSTFAILAQLSADANMEKATLPSVTLIVGCGVSSLTLLMLVIIYVSVWRYIRSERSVILINFCLSIISSNALILIGQTQTRNKVVCTLVAAFLHFFFLSSFCWVLTEAWQSYMAVTGHLRNRLIRKRFLCLGWGLPALVVAISVGFTKAKGYSTMNYCWLSLEGGLLYAFVGPAAAVVLVNMVIGILVFNKLVSKDGITDKKLKERAGASLWSSCVVLPLLALTWMSAVLAVTDRRSALFQILFAVFDSLEGFVIVMVHCILRREVQDAVKCRVVDRQEEGNGDSGGSFQNGHAQLMTDFEKDVDLACRSVLNKDIAACRTATITGTLKRPSLPEEEKLKLAHAKGPPTNFNSLPANVSKLHLHGSPRYPGGPLPDFPNHSLTLKRDKAPKSSFVGDGDIFKKLDSELSRAQEKALDTSYVILPTATATLRPKPKEEPKYSIHIDQMPQTRLIHLSTAPEASLPARSPPSRQPPSGGPPEAPPAQPPPPPPPPPPPPQQPLPPPPNLEPAPPSLGDPGEPAAHPGPSTGPSTKNENVATLSVSSLERRKSRYAELDFEKIMHTRKRHQDMFQDLNRKLQHAAEKDKEVLGPDSKPEKQQTPNKRPWESLRKAHGTPTWVKKELEPLQPSPLELRSVEWERSGATIPLVGQDIIDLQTEV
+>DECOY_sp|O14514|AGRB1_HUMAN Adhesion G protein-coupled receptor B1 OS=Homo sapiens OX=9606 GN=ADGRB1 PE=1 SV=2
+VETQLDIIDQGVLPITAGSREWEVSRLELPSPQLPELEKKVWTPTGHAKRLSEWPRKNPTQQKEPKSDPGLVEKDKEAAHQLKRNLDQFMDQHRKRTHMIKEFDLEAYRSKRRELSSVSLTAVNENKTSPGTSPGPHAAPEGPDGLSPPAPELNPPPPLPQQPPPPPPPPPPPPQAPPAEPPGGSPPQRSPPSRAPLSAEPATSLHILRTQPMQDIHISYKPEEKPKPRLTATATPLIVYSTDLAKEQARSLESDLKKFIDGDGVFSSKPAKDRKLTLSHNPFDPLPGGPYRPSGHLHLKSVNAPLSNFNTPPGKAHALKLKEEEPLSPRKLTGTITATRCAAIDKNLVSRCALDVDKEFDTMLQAHGNQFSGGSDGNGEEQRDVVRCKVADQVERRLICHVMVIVFGELSDFVAFLIQFLASRRDTVALVASMWTLALLPLVVCSSWLSAGAREKLKKDTIGDKSVLKNFVLIGIVMNVLVVAAAPGVFAYLLGGELSLWCYNMTSYGKAKTFGVSIAVVLAPLGWGLCLFRKRILRNRLHGTVAMYSQWAETLVWCFSSLFFFHLFAAVLTCVVKNRTQTQGILILANSSIISLCFNILIVSRESRIYRWVSVYIIVLMLLTLSSVGCGVILTVSPLTAKEMNADASLQALIAFTSLRDCLCRTRLADLPVTRCGRWSWPGLQPPASSSPVDTEDWLICTQNTTGNYMHAFEIELPTRLSRPPPKVTVSIVKSNLVTTNRQLALFSGLNRYLVTGVVFVSAEDAETLGTSFVSKSVTVRDEPVKAWDGTARWGKMPFSIDTAGSAPLKHISLVLNDTVQYADRLDKMRFGIVDVFDEVLRFLEKANPGALQAEEWKDRNEEALLNSLIQVFNQVDGPTPSYYARRFIETMNRLVDITSLLDGSYSTGDQSIEVLTQIVESVGEGPLGRQAKALHERTMMQINRYDISVCRIYTPPEWYAIGEEDLECRRLILGTANRPCRVAAVEGAPTEKWIVAGFNDEDCIEHPEPCRQTGCQRYEDQPGQCAAGGFFPGSCVRERRQSGAGCTVSCSGWSAWAQWKGDVPCQQLFCDRTEVWHGQCEAGGYSPGNCERTRQQRGQSCSASCASWSSWENWNGDVARGPCLAINCFKTQKEPGECPNGGFQPPRCTRTRDRFGRGCTSSCLSWPSWEDWAGHVPCVASNNCLRQERLPGSCQTSYSSSVCFRTRTQWGEGCTSSCVSWPSWEEAAPDGTQPAPFGFQQLEDGLEERRRADTSRLSQSRSSTRGAPGCAERNCQRGEELVGECGGGEVGPAPLCTRTRTQLGGGCDRTCEGWLSWLKWGGTAGHGGRDQTLSTLCNEPGGAVADRLCVDGRPALPGAAPGGAEGGLCACPTQMIGCPHSSRSGALCADLWRCLMQCAARSPNRNGVVLYEVSFDDTPGPPGARPRLGDHQPPQQRRMQLFQKSAQLFALPASPDCLRLVEDFSEVGLYTRTSELFSDFQYTRVRGPGSCPVPAKAVKMYLTYRRPDPNRLTWSCRSANAPFVAAASFYGFFKGQVLTACPEPGPGADAGAAARARRGLLLLLLLLPALIWVPGPAAAQGRM
+>sp|O60241|AGRB2_HUMAN Adhesion G protein-coupled receptor B2 OS=Homo sapiens OX=9606 GN=ADGRB2 PE=1 SV=2
+MENTGWMGKGHRMTPACPLLLSVILSLRLATAFDPAPSACSALASGVLYGAFSLQDLFPTIASGCSWTLENPDPTKYSLYLRFNRQEQVCAHFAPRLLPLDHYLVNFTCLRPSPEEAVAQAESEVGRPEEEEAEAAAGLELCSGSGPFTFLHFDKNFVQLCLSAEPSEAPRLLAPAALAFRFVEVLLINNNNSSQFTCGVLCRWSEECGRAAGRACGFAQPGCSCPGEAGAGSTTTTSPGPPAAHTLSNALVPGGPAPPAEADLHSGSSNDLFTTEMRYGEEPEEEPKVKTQWPRSADEPGLYMAQTGDPAAEEWSPWSVCSLTCGQGLQVRTRSCVSSPYGTLCSGPLRETRPCNNSATCPVHGVWEEWGSWSLCSRSCGRGSRSRMRTCVPPQHGGKACEGPELQTKLCSMAACPVEGQWLEWGPWGPCSTSCANGTQQRSRKCSVAGPAWATCTGALTDTRECSNLECPATDSKWGPWNAWSLCSKTCDTGWQRRFRMCQATGTQGYPCEGTGEEVKPCSEKRCPAFHEMCRDEYVMLMTWKKAAAGEIIYNKCPPNASGSASRRCLLSAQGVAYWGLPSFARCISHEYRYLYLSLREHLAKGQRMLAGEGMSQVVRSLQELLARRTYYSGDLLFSVDILRNVTDTFKRATYVPSADDVQRFFQVVSFMVDAENKEKWDDAQQVSPGSVHLLRVVEDFIHLVGDALKAFQSSLIVTDNLVISIQREPVSAVSSDITFPMRGRRGMKDWVRHSEDRLFLPKEVLSLSSPGKPATSGAAGSPGRGRGPGTVPPGPGHSHQRLLPADPDESSYFVIGAVLYRTLGLILPPPRPPLAVTSRVMTVTVRPPTQPPAEPLITVELSYIINGTTDPHCASWDYSRADASSGDWDTENCQTLETQAAHTRCQCQHLSTFAVLAQPPKDLTLELAGSPSVPLVIGCAVSCMALLTLLAIYAAFWRFIKSERSIILLNFCLSILASNILILVGQSRVLSKGVCTMTAAFLHFFFLSSFCWVLTEAWQSYLAVIGRMRTRLVRKRFLCLGWGLPALVVAVSVGFTRTKGYGTSSYCWLSLEGGLLYAFVGPAAVIVLVNMLIGIIVFNKLMARDGISDKSKKQRAGSERCPWASLLLPCSACGAVPSPLLSSASARNAMASLWSSCVVLPLLALTWMSAVLAMTDRRSVLFQALFAVFNSAQGFVITAVHCFLRREVQDVVKCQMGVCRADESEDSPDSCKNGQLQILSDFEKDVDLACQTVLFKEVNTCNPSTITGTLSRLSLDEDEEPKSCLVGPEGSLSFSPLPGNILVPMAASPGLGEPPPPQEANPVYMCGEGGLRQLDLTWLRPTEPGSEGDYMVLPRRTLSLQPGGGGGGGEDAPRARPEGTPRRAAKTVAHTEGYPSFLSVDHSGLGLGPAYGSLQNPYGMTFQPPPPTPSARQVPEPGERSRTMPRTVPGSTMKMGSLERKKLRYSDLDFEKVMHTRKRHSELYHELNQKFHTFDRYRSQSTAKREKRWSVSSGGAAERSVCTDKPSPGERPSLSQHRRHQSWSTFKSMTLGSLPPKPRERLTLHRAAAWEPTEPPDGDFQTEV
+>DECOY_sp|O60241|AGRB2_HUMAN Adhesion G protein-coupled receptor B2 OS=Homo sapiens OX=9606 GN=ADGRB2 PE=1 SV=2
+VETQFDGDPPETPEWAAARHLTLRERPKPPLSGLTMSKFTSWSQHRRHQSLSPREGPSPKDTCVSREAAGGSSVSWRKERKATSQSRYRDFTHFKQNLEHYLESHRKRTHMVKEFDLDSYRLKKRELSGMKMTSGPVTRPMTRSREGPEPVQRASPTPPPPQFTMGYPNQLSGYAPGLGLGSHDVSLFSPYGETHAVTKAARRPTGEPRARPADEGGGGGGGPQLSLTRRPLVMYDGESGPETPRLWTLDLQRLGGEGCMYVPNAEQPPPPEGLGPSAAMPVLINGPLPSFSLSGEPGVLCSKPEEDEDLSLRSLTGTITSPNCTNVEKFLVTQCALDVDKEFDSLIQLQGNKCSDPSDESEDARCVGMQCKVVDQVERRLFCHVATIVFGQASNFVAFLAQFLVSRRDTMALVASMWTLALLPLVVCSSWLSAMANRASASSLLPSPVAGCASCPLLLSAWPCRESGARQKKSKDSIGDRAMLKNFVIIGILMNVLVIVAAPGVFAYLLGGELSLWCYSSTGYGKTRTFGVSVAVVLAPLGWGLCLFRKRVLRTRMRGIVALYSQWAETLVWCFSSLFFFHLFAATMTCVGKSLVRSQGVLILINSALISLCFNLLIISRESKIFRWFAAYIALLTLLAMCSVACGIVLPVSPSGALELTLDKPPQALVAFTSLHQCQCRTHAAQTELTQCNETDWDGSSADARSYDWSACHPDTTGNIIYSLEVTILPEAPPQTPPRVTVTMVRSTVALPPRPPPLILGLTRYLVAGIVFYSSEDPDAPLLRQHSHGPGPPVTGPGRGRGPSGAAGSTAPKGPSSLSLVEKPLFLRDESHRVWDKMGRRGRMPFTIDSSVASVPERQISIVLNDTVILSSQFAKLADGVLHIFDEVVRLLHVSGPSVQQADDWKEKNEADVMFSVVQFFRQVDDASPVYTARKFTDTVNRLIDVSFLLDGSYYTRRALLEQLSRVVQSMGEGALMRQGKALHERLSLYLYRYEHSICRAFSPLGWYAVGQASLLCRRSASGSANPPCKNYIIEGAAAKKWTMLMVYEDRCMEHFAPCRKESCPKVEEGTGECPYGQTGTAQCMRFRRQWGTDCTKSCLSWANWPGWKSDTAPCELNSCERTDTLAGTCTAWAPGAVSCKRSRQQTGNACSTSCPGWPGWELWQGEVPCAAMSCLKTQLEPGECAKGGHQPPVCTRMRSRSGRGCSRSCLSWSGWEEWVGHVPCTASNNCPRTERLPGSCLTGYPSSVCSRTRVQLGQGCTLSCVSWPSWEEAAPDGTQAMYLGPEDASRPWQTKVKPEEEPEEGYRMETTFLDNSSGSHLDAEAPPAPGGPVLANSLTHAAPPGPSTTTTSGAGAEGPCSCGPQAFGCARGAARGCEESWRCLVGCTFQSSNNNNILLVEVFRFALAAPALLRPAESPEASLCLQVFNKDFHLFTFPGSGSCLELGAAAEAEEEEPRGVESEAQAVAEEPSPRLCTFNVLYHDLPLLRPAFHACVQEQRNFRLYLSYKTPDPNELTWSCGSAITPFLDQLSFAGYLVGSALASCASPAPDFATALRLSLIVSLLLPCAPTMRHGKGMWGTNEM
+>sp|Q9BRQ8|AIFM2_HUMAN Apoptosis-inducing factor 2 OS=Homo sapiens OX=9606 GN=AIFM2 PE=1 SV=1
+MGSQVSVESGALHVVIVGGGFGGIAAASQLQALNVPFMLVDMKDSFHHNVAALRASVETGFAKKTFISYSVTFKDNFRQGLVVGIDLKNQMVLLQGGEALPFSHLILATGSTGPFPGKFNEVSSQQAAIQAYEDMVRQVQRSRFIVVVGGGSAGVEMAAEIKTEYPEKEVTLIHSQVALADKELLPSVRQEVKEILLRKGVQLLLSERVSNLEELPLNEYREYIKVQTDKGTEVATNLVILCTGIKINSSAYRKAFESRLASSGALRVNEHLQVEGHSNVYAIGDCADVRTPKMAYLAGLHANIAVANIVNSVKQRPLQAYKPGALTFLLSMGRNDGVGQISGFYVGRLMVRLTKSRDLFVSTSWKTMRQSPP
+>DECOY_sp|Q9BRQ8|AIFM2_HUMAN Apoptosis-inducing factor 2 OS=Homo sapiens OX=9606 GN=AIFM2 PE=1 SV=1
+PPSQRMTKWSTSVFLDRSKTLRVMLRGVYFGSIQGVGDNRGMSLLFTLAGPKYAQLPRQKVSNVINAVAINAHLGALYAMKPTRVDACDGIAYVNSHGEVQLHENVRLAGSSALRSEFAKRYASSNIKIGTCLIVLNTAVETGKDTQVKIYERYENLPLEELNSVRESLLLQVGKRLLIEKVEQRVSPLLEKDALAVQSHILTVEKEPYETKIEAAMEVGASGGGVVVIFRSRQVQRVMDEYAQIAAQQSSVENFKGPFPGTSGTALILHSFPLAEGGQLLVMQNKLDIGVVLGQRFNDKFTVSYSIFTKKAFGTEVSARLAAVNHHFSDKMDVLMFPVNLAQLQSAAAIGGFGGGVIVVHLAGSEVSVQSGM
+>sp|O00170|AIP_HUMAN AH receptor-interacting protein OS=Homo sapiens OX=9606 GN=AIP PE=1 SV=2
+MADIIARLREDGIQKRVIQEGRGELPDFQDGTKATFHYRTLHSDDEGTVLDDSRARGKPMELIIGKKFKLPVWETIVCTMREGEIAQFLCDIKHVVLYPLVAKSLRNIAVGKDPLEGQRHCCGVAQMREHSSLGHADLDALQQNPQPLIFHMEMLKVESPGTYQQDPWAMTDEEKAKAVPLIHQEGNRLYREGHVKEAAAKYYDAIACLKNLQMKEQPGSPEWIQLDQQITPLLLNYCQCKLVVEEYYEVLDHCSSILNKYDDNVKAYFKRGKAHAAVWNAQEAQADFAKVLELDPALAPVVSRELQALEARIRQKDEEDKARFRGIFSH
+>DECOY_sp|O00170|AIP_HUMAN AH receptor-interacting protein OS=Homo sapiens OX=9606 GN=AIP PE=1 SV=2
+HSFIGRFRAKDEEDKQRIRAELAQLERSVVPALAPDLELVKAFDAQAEQANWVAAHAKGRKFYAKVNDDYKNLISSCHDLVEYYEEVVLKCQCYNLLLPTIQQDLQIWEPSGPQEKMQLNKLCAIADYYKAAAEKVHGERYLRNGEQHILPVAKAKEEDTMAWPDQQYTGPSEVKLMEMHFILPQPNQQLADLDAHGLSSHERMQAVGCCHRQGELPDKGVAINRLSKAVLPYLVVHKIDCLFQAIEGERMTCVITEWVPLKFKKGIILEMPKGRARSDDLVTGEDDSHLTRYHFTAKTGDQFDPLEGRGEQIVRKQIGDERLRAIIDAM
+>sp|C9J069|AJM1_HUMAN Apical junction component 1 homolog OS=Homo sapiens OX=9606 GN=AJM1 PE=3 SV=1
+MTRTDPPDLLVSTVYQDIKVATPGPASKCSPCERSVARPAEPAPFNKRHCRSFDFLEALDGPAMETLPEPPPPESAVPRARTREAEPRRRARSKSAPRAPPGLTPAPASPPVLPRRGREAQRAARAEASPRREPAYPALRALANELHPIKLQPQRGGPGRVAPLCAAAGRCAPPEPPAGPAPHVRCRLDIKPDDAVLQHATRGSRSCGPTEAAHWARPAPQFHGLTVPGPRHMALSRTPTPSDSYCADPRAFYCDGPLPGPRDYAERRSLPFTTPPGPTQFFYTEEPQGFRGSFAASPGPTFDAYYPRPYPSEELSGPSPRRMGGYYAGEVRTFPIQEPPSRSYYGEAPRAYGLPYGPRYVPEEPRAHSTARPFYTEDFGRYRERDVLARTYPHPRSSPAWADWGPRPYRTLQVVPPSDPDPLLASWHGGTGTSPPRLATDSRHYSRSWDNILAPGPRREDPLGRGRSYENLLGREVREPRGVSPEGRRPPVVVNLSTSPRRYAALSLSETSLTEKGRAGEGLGRNWYVTPEITITDNDLRATERPSARAWELPGGRTRPPPHAAPDGPTSGRQRSLEQLDELITDLVIDSRPTAGQASEPAADCLGPQLRRLLDSRPAGSGAPALAPPRSPPASAGSAEEPAAPGEAADASPEPSADEDDLMTCSNARCRRTETMFNACLYFKSCHSCYTYYCSRLCRREDWDAHKARCVYGRVGSVCRHVLQFCRDSGPVHRAFSRIARVGFLSRGRGVLFLGFPSPGSADNFLRFGLEGLLLSPTYLSLRELATHAAPLGSYARELAAAGRLYEPAECFLLSVSVAVGPGTAPPGTPALPAPAPRSHGPTVRKFAKVALAAGSPARPPPARSREPDMETLILTPPPGTAGLDQDGEAGRRAREVAFIHIQRELRLRGVFLRHEFPRVYEQLCEFVEANRRFTPTTIYPTDRRTGRPFMCMIMAASEPRALDWVASANLLDDIM
+>DECOY_sp|C9J069|AJM1_HUMAN Apical junction component 1 homolog OS=Homo sapiens OX=9606 GN=AJM1 PE=3 SV=1
+MIDDLLNASAVWDLARPESAAMIMCMFPRGTRRDTPYITTPTFRRNAEVFECLQEYVRPFEHRLFVGRLRLERQIHIFAVERARRGAEGDQDLGATGPPPTLILTEMDPERSRAPPPRAPSGAALAVKAFKRVTPGHSRPAPAPLAPTGPPATGPGVAVSVSLLFCEAPEYLRGAAALERAYSGLPAAHTALERLSLYTPSLLLGELGFRLFNDASGPSPFGLFLVGRGRSLFGVRAIRSFARHVPGSDRCFQLVHRCVSGVRGYVCRAKHADWDERRCLRSCYYTYCSHCSKFYLCANFMTETRRCRANSCTMLDDEDASPEPSADAAEGPAAPEEASGASAPPSRPPALAPAGSGAPRSDLLRRLQPGLCDAAPESAQGATPRSDIVLDTILEDLQELSRQRGSTPGDPAAHPPPRTRGGPLEWARASPRETARLDNDTITIEPTVYWNRGLGEGARGKETLSTESLSLAAYRRPSTSLNVVVPPRRGEPSVGRPERVERGLLNEYSRGRGLPDERRPGPALINDWSRSYHRSDTALRPPSTGTGGHWSALLPDPDSPPVVQLTRYPRPGWDAWAPSSRPHPYTRALVDRERYRGFDETYFPRATSHARPEEPVYRPGYPLGYARPAEGYYSRSPPEQIPFTRVEGAYYGGMRRPSPGSLEESPYPRPYYADFTPGPSAAFSGRFGQPEETYFFQTPGPPTTFPLSRREAYDRPGPLPGDCYFARPDACYSDSPTPTRSLAMHRPGPVTLGHFQPAPRAWHAAETPGCSRSGRTAHQLVADDPKIDLRCRVHPAPGAPPEPPACRGAAACLPAVRGPGGRQPQLKIPHLENALARLAPYAPERRPSAEARAARQAERGRRPLVPPSAPAPTLGPPARPASKSRARRRPEAERTRARPVASEPPPPEPLTEMAPGDLAELFDFSRCHRKNFPAPEAPRAVSRECPSCKSAPGPTAVKIDQYVTSVLLDPPDTRTM
+>sp|Q02040|AK17A_HUMAN A-kinase anchor protein 17A OS=Homo sapiens OX=9606 GN=AKAP17A PE=1 SV=2
+MAAATIVHDTSEAVELCPAYGLYLKPITKMTISVALPQLKQPGKSISNWEVMERLKGMVQNHQFSTLRISKSTMDFIRFEGEVENKSLVKSFLACLDGKTIKLSGFSDILKVRAAEFKIDFPTRHDWDSFFRDAKDMNETLPGERPDTIHLEGLPCKWFALKESGSEKPSEDVLVKVFEKFGEIRNVDIPMLDPYREEMTGRNFHTFSFGGHLNFEAYVQYREYMGFIQAMSALRGMKLMYKGEDGKAVACNIKVSFDSTKHLSDASIKKRQLERQKLQELEQQREEQKRREKEAEERQRAEERKQKELEELERERKREEKLRKREQKQRDRELRRNQKKLEKLQAEEQKQLQEKIKLEERKLLLAQRNLQSIRLIAELLSRAKAVKLREQEQKEEKLRLQQQEERRRLQEAELRRVEEEKERALGLQRKERELRERLLSILLSKKPDDSHTHDELGVAHADLLQPVLDILQTVSSGCVSATTLHPLGGQPPAGAPKESPAHPEADGAPKSVNGSVAEEAPCKEVQSSCRVVPEDGSPEKRCPGGVLSCIPDNNQQPKGIPACEQNVSRKDTRSEQDKCNREPSKGRGRATGDGLADRHKRERSRARRASSREDGRPRKERRPHKKHAYKDDSPRRRSTSPDHTRSRRSHSKDRHRRERSRERRGSASRKHSRHRRRSERSRSRSPSRHRSTWNR
+>DECOY_sp|Q02040|AK17A_HUMAN A-kinase anchor protein 17A OS=Homo sapiens OX=9606 GN=AKAP17A PE=1 SV=2
+RNWTSRHRSPSRSRSRESRRRHRSHKRSASGRRERSRERRHRDKSHSRRSRTHDPSTSRRRPSDDKYAHKKHPRREKRPRGDERSSARRARSRERKHRDALGDGTARGRGKSPERNCKDQESRTDKRSVNQECAPIGKPQQNNDPICSLVGGPCRKEPSGDEPVVRCSSQVEKCPAEEAVSGNVSKPAGDAEPHAPSEKPAGAPPQGGLPHLTTASVCGSSVTQLIDLVPQLLDAHAVGLEDHTHSDDPKKSLLISLLRERLEREKRQLGLAREKEEEVRRLEAEQLRRREEQQQLRLKEEKQEQERLKVAKARSLLEAILRISQLNRQALLLKREELKIKEQLQKQEEAQLKELKKQNRRLERDRQKQERKRLKEERKRERELEELEKQKREEARQREEAEKERRKQEERQQELEQLKQRELQRKKISADSLHKTSDFSVKINCAVAKGDEGKYMLKMGRLASMAQIFGMYERYQVYAEFNLHGGFSFTHFNRGTMEERYPDLMPIDVNRIEGFKEFVKVLVDESPKESGSEKLAFWKCPLGELHITDPREGPLTENMDKADRFFSDWDHRTPFDIKFEAARVKLIDSFGSLKITKGDLCALFSKVLSKNEVEGEFRIFDMTSKSIRLTSFQHNQVMGKLREMVEWNSISKGPQKLQPLAVSITMKTIPKLYLGYAPCLEVAESTDHVITAAAM
+>sp|O60218|AK1BA_HUMAN Aldo-keto reductase family 1 member B10 OS=Homo sapiens OX=9606 GN=AKR1B10 PE=1 SV=2
+MATFVELSTKAKMPIVGLGTWKSPLGKVKEAVKVAIDAGYRHIDCAYVYQNEHEVGEAIQEKIQEKAVKREDLFIVSKLWPTFFERPLVRKAFEKTLKDLKLSYLDVYLIHWPQGFKSGDDLFPKDDKGNAIGGKATFLDAWEAMEELVDEGLVKALGVSNFSHFQIEKLLNKPGLKYKPVTNQVECHPYLTQEKLIQYCHSKGITVTAYSPLGSPDRPWAKPEDPSLLEDPKIKEIAAKHKKTAAQVLIRFHIQRNVIVIPKSVTPARIVENIQVFDFKLSDEEMATILSFNRNWRACNVLQSSHLEDYPFNAEY
+>DECOY_sp|O60218|AK1BA_HUMAN Aldo-keto reductase family 1 member B10 OS=Homo sapiens OX=9606 GN=AKR1B10 PE=1 SV=2
+YEANFPYDELHSSQLVNCARWNRNFSLITAMEEDSLKFDFVQINEVIRAPTVSKPIVIVNRQIHFRILVQAATKKHKAAIEKIKPDELLSPDEPKAWPRDPSGLPSYATVTIGKSHCYQILKEQTLYPHCEVQNTVPKYKLGPKNLLKEIQFHSFNSVGLAKVLGEDVLEEMAEWADLFTAKGGIANGKDDKPFLDDGSKFGQPWHILYVDLYSLKLDKLTKEFAKRVLPREFFTPWLKSVIFLDERKVAKEQIKEQIAEGVEHENQYVYACDIHRYGADIAVKVAEKVKGLPSKWTGLGVIPMKAKTSLEVFTAM
+>sp|O43687|AKA7A_HUMAN A-kinase anchor protein 7 isoforms alpha and beta OS=Homo sapiens OX=9606 GN=AKAP7 PE=1 SV=4
+MGQLCCFPFSRDEGKISELESSSSAVLQRYSKDIPSWSSGEKNGGEPDDAELVRLSKRLVENAVLKAVQQYLEETQNKNKPGEGSSVKTEAADQNGNDNENNRK
+>DECOY_sp|O43687|AKA7A_HUMAN A-kinase anchor protein 7 isoforms alpha and beta OS=Homo sapiens OX=9606 GN=AKAP7 PE=1 SV=4
+KRNNENDNGNQDAAETKVSSGEGPKNKNQTEELYQQVAKLVANEVLRKSLRVLEADDPEGGNKEGSSWSPIDKSYRQLVASSSSELESIKGEDRSFPFCCLQGM
+>sp|Q12802|AKP13_HUMAN A-kinase anchor protein 13 OS=Homo sapiens OX=9606 GN=AKAP13 PE=1 SV=2
+MKLNPQQAPLYGDCVVTVLLAEEDKAEDDVVFYLVFLGSTLRHCTSTRKVSSDTLETIAPGHDCCETVKVQLCASKEGLPVFVVAEEDFHFVQDEAYDAAQFLATSAGNQQALNFTRFLDQSGPPSGDVNSLDKKLVLAFRHLKLPTEWNVLGTDQSLHDAGPRETLMHFAVRLGLLRLTWFLLQKPGGRGALSIHNQEGATPVSLALERGYHKLHQLLTEENAGEPDSWSSLSYEIPYGDCSVRHHRELDIYTLTSESDSHHEHPFPGDGCTGPIFKLMNIQQQLMKTNLKQMDSLMPLMMTAQDPSSAPETDGQFLPCAPEPTDPQRLSSSEETESTQCCPGSPVAQTESPCDLSSIVEEENTDRSCRKKNKGVERKGEEVEPAPIVDSGTVSDQDSCLQSLPDCGVKGTEGLSSCGNRNEETGTKSSGMPTDQESLSSGDAVLQRDLVMEPGTAQYSSGGELGGISTTNVSTPDTAGEMEHGLMNPDATVWKNVLQGGESTKERFENSNIGTAGASDVHVTSKPVDKISVPNCAPAASSLDGNKPAESSLAFSNEETSTEKTAETETSRSREESADAPVDQNSVVIPAAAKDKISDGLEPYTLLAAGIGEAMSPSDLALLGLEEDVMPHQNSETNSSHAQSQKGKSSPICSTTGDDKLCADSACQQNTVTSSGDLVAKLCDNIVSESESTTARQPSSQDPPDASHCEDPQAHTVTSDPVRDTQERADFCPFKVVDNKGQRKDVKLDKPLTNMLEVVSHPHPVVPKMEKELVPDQAVISDSTFSLANSPGSESVTKDDALSFVPSQKEKGTATPELHTATDYRDGPDGNSNEPDTRPLEDRAVGLSTSSTAAELQHGMGNTSLTGLGGEHEGPAPPAIPEALNIKGNTDSSLQSVGKATLALDSVLTEEGKLLVVSESSAAQEQDKDKAVTCSSIKENALSSGTLQEEQRTPPPGQDTQQFHEKSISADCAKDKALQLSNSPGASSAFLKAETEHNKEVAPQVSLLTQGGAAQSLVPPGASLATESRQEALGAEHNSSALLPCLLPDGSDGSDALNCSQPSPLDVGVKNTQSQGKTSACEVSGDVTVDVTGVNALQGMAEPRRENISHNTQDILIPNVLLSQEKNAVLGLPVALQDKAVTDPQGVGTPEMIPLDWEKGKLEGADHSCTMGDAEEAQIDDEAHPVLLQPVAKELPTDMELSAHDDGAPAGVREVMRAPPSGRERSTPSLPCMVSAQDAPLPKGADLIEEAASRIVDAVIEQVKAAGALLTEGEACHMSLSSPELGPLTKGLESAFTEKVSTFPPGESLPMGSTPEEATGSLAGCFAGREEPEKIILPVQGPEPAAEMPDVKAEDEVDFRASSISEEVAVGSIAATLKMKQGPMTQAINRENWCTIEPCPDAASLLASKQSPECENFLDVGLGRECTSKQGVLKRESGSDSDLFHSPSDDMDSIIFPKPEEEHLACDITGSSSSTDDTASLDRHSSHGSDVSLSQILKPNRSRDRQSLDGFYSHGMGAEGRESESEPADPGDVEEEEMDSITEVPANCSVLRSSMRSLSPFRRHSWGPGKNAASDAEMNHRSSMRVLGDVVRRPPIHRRSFSLEGLTGGAGVGNKPSSSLEVSSANAEELRHPFSGEERVDSLVSLSEEDLESDQREHRMFDQQICHRSKQQGFNYCTSAISSPLTKSISLMTISHPGLDNSRPFHSTFHNTSANLTESITEENYNFLPHSPSKKDSEWKSGTKVSRTFSYIKNKMSSSKKSKEKEKEKDKIKEKEKDSKDKEKDKKTVNGHTFSSIPVVGPISCSQCMKPFTNKDAYTCANCSAFVHKGCRESLASCAKVKMKQPKGSLQAHDTSSLPTVIMRNKPSQPKERPRSAVLLVDETATTPIFANRRSQQSVSLSKSVSIQNITGVGNDENMSNTWKFLSHSTDSLNKISKVNESTESLTDEGVGTDMNEGQLLGDFEIESKQLEAESWSRIIDSKFLKQQKKDVVKRQEVIYELMQTEFHHVRTLKIMSGVYSQGMMADLLFEQQMVEKLFPCLDELISIHSQFFQRILERKKESLVDKSEKNFLIKRIGDVLVNQFSGENAERLKKTYGKFCGQHNQSVNYFKDLYAKDKRFQAFVKKKMSSSVVRRLGIPECILLVTQRITKYPVLFQRILQCTKDNEVEQEDLAQSLSLVKDVIGAVDSKVASYEKKVRLNEIYTKTDSKSIMRMKSGQMFAKEDLKRKKLVRDGSVFLKNAAGRLKEVQAVLLTDILVFLQEKDQKYIFASLDQKSTVISLKKLIVREVAHEEKGLFLISMGMTDPEMVEVHASSKEERNSWIQIIQDTINTLNRDEDEGIPSENEEEKKMLDTRARELKEQLHQKDQKILLLLEEKEMIFRDMAECSTPLPEDCSPTHSPRVLFRSNTEEALKGGPLMKSAINEVEILQGLVSGNLGGTLGPTVSSPIEQDVVGPVSLPRRAETFGGFDSHQMNASKGGEKEEGDDGQDLRRTESDSGLKKGGNANLVFMLKRNSEQVVQSVVHLYELLSALQGVVLQQDSYIEDQKLVLSERALTRSLSRPSSLIEQEKQRSLEKQRQDLANLQKQQAQYLEEKRRREREWEARERELREREALLAQREEEVQQGQQDLEKEREELQQKKGTYQYDLERLRAAQKQLEREQEQLRREAERLSQRQTERDLCQVSHPHTKLMRIPSFFPSPEEPPSPSAPSIAKSGSLDSELSVSPKRNSISRTHKDKGPFHILSSTSQTNKGPEGQSQAPASTSASTRLFGLTKPKEKKEKKKKNKTSRSQPGDGPASEVSAEGEEIFC
+>DECOY_sp|Q12802|AKP13_HUMAN A-kinase anchor protein 13 OS=Homo sapiens OX=9606 GN=AKAP13 PE=1 SV=2
+CFIEEGEASVESAPGDGPQSRSTKNKKKKEKKEKPKTLGFLRTSASTSAPAQSQGEPGKNTQSTSSLIHFPGKDKHTRSISNRKPSVSLESDLSGSKAISPASPSPPEEPSPFFSPIRMLKTHPHSVQCLDRETQRQSLREAERRLQEQERELQKQAARLRELDYQYTGKKQQLEEREKELDQQGQQVEEERQALLAERERLERERAEWERERRRKEELYQAQQKQLNALDQRQKELSRQKEQEILSSPRSLSRTLARESLVLKQDEIYSDQQLVVGQLASLLEYLHVVSQVVQESNRKLMFVLNANGGKKLGSDSETRRLDQGDDGEEKEGGKSANMQHSDFGGFTEARRPLSVPGVVDQEIPSSVTPGLTGGLNGSVLGQLIEVENIASKMLPGGKLAEETNSRFLVRPSHTPSCDEPLPTSCEAMDRFIMEKEELLLLIKQDKQHLQEKLERARTDLMKKEEENESPIGEDEDRNLTNITDQIIQIWSNREEKSSAHVEVMEPDTMGMSILFLGKEEHAVERVILKKLSIVTSKQDLSAFIYKQDKEQLFVLIDTLLVAQVEKLRGAANKLFVSGDRVLKKRKLDEKAFMQGSKMRMISKSDTKTYIENLRVKKEYSAVKSDVAGIVDKVLSLSQALDEQEVENDKTCQLIRQFLVPYKTIRQTVLLICEPIGLRRVVSSSMKKKVFAQFRKDKAYLDKFYNVSQNHQGCFKGYTKKLREANEGSFQNVLVDGIRKILFNKESKDVLSEKKRELIRQFFQSHISILEDLCPFLKEVMQQEFLLDAMMGQSYVGSMIKLTRVHHFETQMLEYIVEQRKVVDKKQQKLFKSDIIRSWSEAELQKSEIEFDGLLQGENMDTGVGEDTLSETSENVKSIKNLSDTSHSLFKWTNSMNEDNGVGTINQISVSKSLSVSQQSRRNAFIPTTATEDVLLVASRPREKPQSPKNRMIVTPLSSTDHAQLSGKPQKMKVKACSALSERCGKHVFASCNACTYADKNTFPKMCQSCSIPGVVPISSFTHGNVTKKDKEKDKSDKEKEKIKDKEKEKEKSKKSSSMKNKIYSFTRSVKTGSKWESDKKSPSHPLFNYNEETISETLNASTNHFTSHFPRSNDLGPHSITMLSISKTLPSSIASTCYNFGQQKSRHCIQQDFMRHERQDSELDEESLSVLSDVREEGSFPHRLEEANASSVELSSSPKNGVGAGGTLGELSFSRRHIPPRRVVDGLVRMSSRHNMEADSAANKGPGWSHRRFPSLSRMSSRLVSCNAPVETISDMEEEEVDGPDAPESESERGEAGMGHSYFGDLSQRDRSRNPKLIQSLSVDSGHSSHRDLSATDDTSSSSGTIDCALHEEEPKPFIISDMDDSPSHFLDSDSGSERKLVGQKSTCERGLGVDLFNECEPSQKSALLSAADPCPEITCWNERNIAQTMPGQKMKLTAAISGVAVEESISSARFDVEDEAKVDPMEAAPEPGQVPLIIKEPEERGAFCGALSGTAEEPTSGMPLSEGPPFTSVKETFASELGKTLPGLEPSSLSMHCAEGETLLAGAAKVQEIVADVIRSAAEEILDAGKPLPADQASVMCPLSPTSRERGSPPARMVERVGAPAGDDHASLEMDTPLEKAVPQLLVPHAEDDIQAEEADGMTCSHDAGELKGKEWDLPIMEPTGVGQPDTVAKDQLAVPLGLVANKEQSLLVNPILIDQTNHSINERRPEAMGQLANVGTVDVTVDGSVECASTKGQSQTNKVGVDLPSPQSCNLADSGDSGDPLLCPLLASSNHEAGLAEQRSETALSAGPPVLSQAAGGQTLLSVQPAVEKNHETEAKLFASSAGPSNSLQLAKDKACDASISKEHFQQTDQGPPPTRQEEQLTGSSLANEKISSCTVAKDKDQEQAASSESVVLLKGEETLVSDLALTAKGVSQLSSDTNGKINLAEPIAPPAPGEHEGGLGTLSTNGMGHQLEAATSSTSLGVARDELPRTDPENSNGDPGDRYDTATHLEPTATGKEKQSPVFSLADDKTVSESGPSNALSFTSDSIVAQDPVLEKEMKPVVPHPHSVVELMNTLPKDLKVDKRQGKNDVVKFPCFDAREQTDRVPDSTVTHAQPDECHSADPPDQSSPQRATTSESESVINDCLKAVLDGSSTVTNQQCASDACLKDDGTTSCIPSSKGKQSQAHSSNTESNQHPMVDEELGLLALDSPSMAEGIGAALLTYPELGDSIKDKAAAPIVVSNQDVPADASEERSRSTETEATKETSTEENSFALSSEAPKNGDLSSAAPACNPVSIKDVPKSTVHVDSAGATGINSNEFREKTSEGGQLVNKWVTADPNMLGHEMEGATDPTSVNTTSIGGLEGGSSYQATGPEMVLDRQLVADGSSLSEQDTPMGSSKTGTEENRNGCSSLGETGKVGCDPLSQLCSDQDSVTGSDVIPAPEVEEGKREVGKNKKRCSRDTNEEEVISSLDCPSETQAVPSGPCCQTSETEESSSLRQPDTPEPACPLFQGDTEPASSPDQATMMLPMLSDMQKLNTKMLQQQINMLKFIPGTCGDGPFPHEHHSDSESTLTYIDLERHHRVSCDGYPIEYSLSSWSDPEGANEETLLQHLKHYGRELALSVPTAGEQNHISLAGRGGPKQLLFWTLRLLGLRVAFHMLTERPGADHLSQDTGLVNWETPLKLHRFALVLKKDLSNVDGSPPGSQDLFRTFNLAQQNGASTALFQAADYAEDQVFHFDEEAVVFVPLGEKSACLQVKVTECCDHGPAITELTDSSVKRTSTCHRLTSGLFVLYFVVDDEAKDEEALLVTVVCDGYLPAQQPNLKM
+>sp|Q9ULX6|AKP8L_HUMAN A-kinase anchor protein 8-like OS=Homo sapiens OX=9606 GN=AKAP8L PE=1 SV=3
+MSYTGFVQGSETTLQSTYSDTSAQPTCDYGYGTWNSGTNRGYEGYGYGYGYGQDNTTNYGYGMATSHSWEMPSSDTNANTSASGSASADSVLSRINQRLDMVPHLETDMMQGGVYGSGGERYDSYESCDSRAVLSERDLYRSGYDYSELDPEMEMAYEGQYDAYRDQFRMRGNDTFGPRAQGWARDARSGRPMASGYGRMWEDPMGARGQCMSGASRLPSLFSQNIIPEYGMFQGMRGGGAFPGGSRFGFGFGNGMKQMRRTWKTWTTADFRTKKKKRKQGGSPDEPDSKATRTDCSDNSDSDNDEGTEGEATEGLEGTEAVEKGSRVDGEDEEGKEDGREEGKEDPEKGALTTQDENGQTKRKLQAGKKSQDKQKKRQRDRMVERIQFVCSLCKYRTFYEDEMASHLDSKFHKEHFKYVGTKLPKQTADFLQEYVTNKTKKTEELRKTVEDLDGLIHQIYRDQDLTQEIAMEHFVKKVEAAHCAACDLFIPMQFGIIQKHLKTMDHNRNRRLMMEQSKKSSLMVARSILNNKLISKKLERYLKGENPFTDSPEEEKEQEEAEGGALDEGAQGEAAGISEGAEGVPAQPPVPPEPAPGAVSPPPPPPPEEEEEGAVPLLGGALQRQIRGIPGLDVEDDEEGGGGAP
+>DECOY_sp|Q9ULX6|AKP8L_HUMAN A-kinase anchor protein 8-like OS=Homo sapiens OX=9606 GN=AKAP8L PE=1 SV=3
+PAGGGGEEDDEVDLGPIGRIQRQLAGGLLPVAGEEEEEPPPPPPPSVAGPAPEPPVPPQAPVGEAGESIGAAEGQAGEDLAGGEAEEQEKEEEPSDTFPNEGKLYRELKKSILKNNLISRAVMLSSKKSQEMMLRRNRNHDMTKLHKQIIGFQMPIFLDCAACHAAEVKKVFHEMAIEQTLDQDRYIQHILGDLDEVTKRLEETKKTKNTVYEQLFDATQKPLKTGVYKFHEKHFKSDLHSAMEDEYFTRYKCLSCVFQIREVMRDRQRKKQKDQSKKGAQLKRKTQGNEDQTTLAGKEPDEKGEERGDEKGEEDEGDVRSGKEVAETGELGETAEGETGEDNDSDSNDSCDTRTAKSDPEDPSGGQKRKKKKTRFDATTWTKWTRRMQKMGNGFGFGFRSGGPFAGGGRMGQFMGYEPIINQSFLSPLRSAGSMCQGRAGMPDEWMRGYGSAMPRGSRADRAWGQARPGFTDNGRMRFQDRYADYQGEYAMEMEPDLESYDYGSRYLDRESLVARSDCSEYSDYREGGSGYVGGQMMDTELHPVMDLRQNIRSLVSDASASGSASTNANTDSSPMEWSHSTAMGYGYNTTNDQGYGYGYGYGEYGRNTGSNWTGYGYDCTPQASTDSYTSQLTTESGQVFGTYSM
+>sp|P31751|AKT2_HUMAN RAC-beta serine/threonine-protein kinase OS=Homo sapiens OX=9606 GN=AKT2 PE=1 SV=2
+MNEVSVIKEGWLHKRGEYIKTWRPRYFLLKSDGSFIGYKERPEAPDQTLPPLNNFSVAECQLMKTERPRPNTFVIRCLQWTTVIERTFHVDSPDEREEWMRAIQMVANSLKQRAPGEDPMDYKCGSPSDSSTTEEMEVAVSKARAKVTMNDFDYLKLLGKGTFGKVILVREKATGRYYAMKILRKEVIIAKDEVAHTVTESRVLQNTRHPFLTALKYAFQTHDRLCFVMEYANGGELFFHLSRERVFTEERARFYGAEIVSALEYLHSRDVVYRDIKLENLMLDKDGHIKITDFGLCKEGISDGATMKTFCGTPEYLAPEVLEDNDYGRAVDWWGLGVVMYEMMCGRLPFYNQDHERLFELILMEEIRFPRTLSPEAKSLLAGLLKKDPKQRLGGGPSDAKEVMEHRFFLSINWQDVVQKKLLPPFKPQVTSEVDTRYFDDEFTAQSITITPPDRYDSLGLLELDQRTHFPQFSYSASIRE
+>DECOY_sp|P31751|AKT2_HUMAN RAC-beta serine/threonine-protein kinase OS=Homo sapiens OX=9606 GN=AKT2 PE=1 SV=2
+ERISASYSFQPFHTRQDLELLGLSDYRDPPTITISQATFEDDFYRTDVESTVQPKFPPLLKKQVVDQWNISLFFRHEMVEKADSPGGGLRQKPDKKLLGALLSKAEPSLTRPFRIEEMLILEFLREHDQNYFPLRGCMMEYMVVGLGWWDVARGYDNDELVEPALYEPTGCFTKMTAGDSIGEKCLGFDTIKIHGDKDLMLNELKIDRYVVDRSHLYELASVIEAGYFRAREETFVRERSLHFFLEGGNAYEMVFCLRDHTQFAYKLATLFPHRTNQLVRSETVTHAVEDKAIIVEKRLIKMAYYRGTAKERVLIVKGFTGKGLLKLYDFDNMTVKARAKSVAVEMEETTSSDSPSGCKYDMPDEGPARQKLSNAVMQIARMWEEREDPSDVHFTREIVTTWQLCRIVFTNPRPRETKMLQCEAVSFNNLPPLTQDPAEPREKYGIFSGDSKLLFYRPRWTKIYEGRKHLWGEKIVSVENM
+>sp|Q9H8T0|AKTIP_HUMAN AKT-interacting protein OS=Homo sapiens OX=9606 GN=AKTIP PE=1 SV=1
+MNPFWSMSTSSVRKRSEGEEKTLTGDVKTSPPRTAPKKQLPSIPKNALPITKPTSPAPAAQSTNGTHASYGPFYLEYSLLAEFTLVVKQKLPGVYVQPSYRSALMWFGVIFIRHGLYQDGVFKFTVYIPDNYPDGDCPRLVFDIPVFHPLVDPTSGELDVKRAFAKWRRNHNHIWQVLMYARRVFYKIDTASPLNPEAAVLYEKDIQLFKSKVVDSVKVCTARLFDQPKIEDPYAISFSPWNPSVHDEAREKMLTQKKPEEQHNKSVHVAGLSWVKPGSVQPFSKEEKTVAT
+>DECOY_sp|Q9H8T0|AKTIP_HUMAN AKT-interacting protein OS=Homo sapiens OX=9606 GN=AKTIP PE=1 SV=1
+TAVTKEEKSFPQVSGPKVWSLGAVHVSKNHQEEPKKQTLMKERAEDHVSPNWPSFSIAYPDEIKPQDFLRATCVKVSDVVKSKFLQIDKEYLVAAEPNLPSATDIKYFVRRAYMLVQWIHNHNRRWKAFARKVDLEGSTPDVLPHFVPIDFVLRPCDGDPYNDPIYVTFKFVGDQYLGHRIFIVGFWMLASRYSPQVYVGPLKQKVVLTFEALLSYELYFPGYSAHTGNTSQAAPAPSTPKTIPLANKPISPLQKKPATRPPSTKVDGTLTKEEGESRKRVSSTSMSWFPNM
+>sp|P51648|AL3A2_HUMAN Fatty aldehyde dehydrogenase OS=Homo sapiens OX=9606 GN=ALDH3A2 PE=1 SV=1
+MELEVRRVRQAFLSGRSRPLRFRLQQLEALRRMVQEREKDILTAIAADLCKSEFNVYSQEVITVLGEIDFMLENLPEWVTAKPVKKNVLTMLDEAYIQPQPLGVVLIIGAWNYPFVLTIQPLIGAIAAGNAVIIKPSELSENTAKILAKLLPQYLDQDLYIVINGGVEETTELLKQRFDHIFYTGNTAVGKIVMEAAAKHLTPVTLELGGKSPCYIDKDCDLDIVCRRITWGKYMNCGQTCIAPDYILCEASLQNQIVWKIKETVKEFYGENIKESPDYERIINLRHFKRILSLLEGQKIAFGGETDEATRYIAPTVLTDVDPKTKVMQEEIFGPILPIVPVKNVDEAINFINEREKPLALYVFSHNHKLIKRMIDETSSGGVTGNDVIMHFTLNSFPFGGVGSSGMGAYHGKHSFDTFSHQRPCLLKSLKREGANKLRYPPNSQSKVDWGKFFLLKRFNKEKLGLLLLTFLGIVAAVLVKAEYY
+>DECOY_sp|P51648|AL3A2_HUMAN Fatty aldehyde dehydrogenase OS=Homo sapiens OX=9606 GN=ALDH3A2 PE=1 SV=1
+YYEAKVLVAAVIGLFTLLLLGLKEKNFRKLLFFKGWDVKSQSNPPYRLKNAGERKLSKLLCPRQHSFTDFSHKGHYAGMGSSGVGGFPFSNLTFHMIVDNGTVGGSSTEDIMRKILKHNHSFVYLALPKERENIFNIAEDVNKVPVIPLIPGFIEEQMVKTKPDVDTLVTPAIYRTAEDTEGGFAIKQGELLSLIRKFHRLNIIREYDPSEKINEGYFEKVTEKIKWVIQNQLSAECLIYDPAICTQGCNMYKGWTIRRCVIDLDCDKDIYCPSKGGLELTVPTLHKAAAEMVIKGVATNGTYFIHDFRQKLLETTEEVGGNIVIYLDQDLYQPLLKALIKATNESLESPKIIVANGAAIAGILPQITLVFPYNWAGIILVVGLPQPQIYAEDLMTLVNKKVPKATVWEPLNELMFDIEGLVTIVEQSYVNFESKCLDAAIATLIDKEREQVMRRLAELQQLRFRLPRSRGSLFAQRVRRVELEM
+>sp|P24298|ALAT1_HUMAN Alanine aminotransferase 1 OS=Homo sapiens OX=9606 GN=GPT PE=1 SV=3
+MASSTGDRSQAVRHGLRAKVLTLDGMNPRVRRVEYAVRGPIVQRALELEQELRQGVKKPFTEVIRANIGDAQAMGQRPITFLRQVLALCVNPDLLSSPNFPDDAKKRAERILQACGGHSLGAYSVSSGIQLIREDVARYIERRDGGIPADPNNVFLSTGASDAIVTVLKLLVAGEGHTRTGVLIPIPQYPLYSATLAELGAVQVDYYLDEERAWALDVAELHRALGQARDHCRPRALCVINPGNPTGQVQTRECIEAVIRFAFEERLFLLADEVYQDNVYAAGSQFHSFKKVLMEMGPPYAGQQELASFHSTSKGYMGECGFRGGYVEVVNMDAAVQQQMLKLMSVRLCPPVPGQALLDLVVSPPAPTDPSFAQFQAEKQAVLAELAAKAKLTEQVFNEAPGISCNPVQGAMYSFPRVQLPPRAVERAQELGLAPDMFFCLRLLEETGICVVPGSGFGQREGTYHFRMTILPPLEKLRLLLEKLSRFHAKFTLEYS
+>DECOY_sp|P24298|ALAT1_HUMAN Alanine aminotransferase 1 OS=Homo sapiens OX=9606 GN=GPT PE=1 SV=3
+SYELTFKAHFRSLKELLLRLKELPPLITMRFHYTGERQGFGSGPVVCIGTEELLRLCFFMDPALGLEQAREVARPPLQVRPFSYMAGQVPNCSIGPAENFVQETLKAKAALEALVAQKEAQFQAFSPDTPAPPSVVLDLLAQGPVPPCLRVSMLKLMQQQVAADMNVVEVYGGRFGCEGMYGKSTSHFSALEQQGAYPPGMEMLVKKFSHFQSGAAYVNDQYVEDALLFLREEFAFRIVAEICERTQVQGTPNGPNIVCLARPRCHDRAQGLARHLEAVDLAWAREEDLYYDVQVAGLEALTASYLPYQPIPILVGTRTHGEGAVLLKLVTVIADSAGTSLFVNNPDAPIGGDRREIYRAVDERILQIGSSVSYAGLSHGGCAQLIREARKKADDPFNPSSLLDPNVCLALVQRLFTIPRQGMAQADGINARIVETFPKKVGQRLEQELELARQVIPGRVAYEVRRVRPNMGDLTLVKARLGHRVAQSRDGTSSAM
+>sp|P05091|ALDH2_HUMAN Aldehyde dehydrogenase, mitochondrial OS=Homo sapiens OX=9606 GN=ALDH2 PE=1 SV=2
+MLRAAARFGPRLGRRLLSAAATQAVPAPNQQPEVFCNQIFINNEWHDAVSRKTFPTVNPSTGEVICQVAEGDKEDVDKAVKAARAAFQLGSPWRRMDASHRGRLLNRLADLIERDRTYLAALETLDNGKPYVISYLVDLDMVLKCLRYYAGWADKYHGKTIPIDGDFFSYTRHEPVGVCGQIIPWNFPLLMQAWKLGPALATGNVVVMKVAEQTPLTALYVANLIKEAGFPPGVVNIVPGFGPTAGAAIASHEDVDKVAFTGSTEIGRVIQVAAGSSNLKRVTLELGGKSPNIIMSDADMDWAVEQAHFALFFNQGQCCCAGSRTFVQEDIYDEFVERSVARAKSRVVGNPFDSKTEQGPQVDETQFKKILGYINTGKQEGAKLLCGGGIAADRGYFIQPTVFGDVQDGMTIAKEEIFGPVMQILKFKTIEEVVGRANNSTYGLAAAVFTKDLDKANYLSQALQAGTVWVNCYDVFGAQSPFGGYKMSGSGRELGEYGLQAYTEVKTVTVKVPQKNS
+>DECOY_sp|P05091|ALDH2_HUMAN Aldehyde dehydrogenase, mitochondrial OS=Homo sapiens OX=9606 GN=ALDH2 PE=1 SV=2
+SNKQPVKVTVTKVETYAQLGYEGLERGSGSMKYGGFPSQAGFVDYCNVWVTGAQLAQSLYNAKDLDKTFVAAALGYTSNNARGVVEEITKFKLIQMVPGFIEEKAITMGDQVDGFVTPQIFYGRDAAIGGGCLLKAGEQKGTNIYGLIKKFQTEDVQPGQETKSDFPNGVVRSKARAVSREVFEDYIDEQVFTRSGACCCQGQNFFLAFHAQEVAWDMDADSMIINPSKGGLELTVRKLNSSGAAVQIVRGIETSGTFAVKDVDEHSAIAAGATPGFGPVINVVGPPFGAEKILNAVYLATLPTQEAVKMVVVNGTALAPGLKWAQMLLPFNWPIIQGCVGVPEHRTYSFFDGDIPITKGHYKDAWGAYYRLCKLVMDLDVLYSIVYPKGNDLTELAALYTRDREILDALRNLLRGRHSADMRRWPSGLQFAARAAKVAKDVDEKDGEAVQCIVEGTSPNVTPFTKRSVADHWENNIFIQNCFVEPQQNPAPVAQTAAASLLRRGLRPGFRAAARLM
+>sp|Q8N944|AMER3_HUMAN APC membrane recruitment protein 3 OS=Homo sapiens OX=9606 GN=AMER3 PE=1 SV=2
+MELKRGKTFIKSSLQVSHEKPPDPAAVAAAREGTGPWSVLPGGQQRPHSEKGPQASPSAQEYDRCPNKGAQLDPKGGPAALCGATFKPVRKCKTHDSMSGAGRATAATGQLVGSASFPGSPGSRRMIDYRHFVPQMPFVPAVAKSIPRKRISLKRPKKCFRNLFHIRRNKTEDLASLAAEGKSLPSPGDPSDPGGRRSKAFLPPGEGPGLDGLCQDLLDSELLADASFGLCRALCEDVASLQSFDSLTGCGEVFADESSVPSLELNEGPESPTQAAQGLESKVPRGPLQGSVEQLASPAQNEASDFTRFWDSVNRSVRQQQRALLGPWLSGPQGTDRDQSRLDTAGLAELPLCPCRDPRSGSKASSIDTGTPKSEQPESVSTSDEGYYDSFSPGLEEDKKEAESPGTPAATFPRDSYSGDALYELFHDPSEGPLGPSPDDDLCVSESLSGPALGTPLSICSFRVGAEENLAPAPGPDLLSQGFLQSSWKGKECLLKLCDTELAITMGIVSWLRRGPTPRAPPTPGQPAAPPGSQGAPRAPTEKLGGREGLASDAGGATVCSAPSRQELWAHPGTTGLLAGESKALGGATQGTGTLSRDASREEETRGHSEGLFSSMESAATSTTDTSGKNKAPVPSTWPCSQKEPGPPGVLGCFRGPWRPGHGGDTLDAEPMLAGCVARVAALKISSNEQPPAAWPPRQDMGSGLFGQRWARGPDMLEQKQSSSSPSMTTIHGLPYSASTQDQRCRDRVQDLSWLRVEPTGLGVQAWASVEDQPLQLSTEAVEQVAHGSQLDSEPRSAPAARWSSQGHHPESLGLTLNSQQEGGVSASAPECRCSLLAREGLLCGQPEVGASGPAMAEPHL
+>DECOY_sp|Q8N944|AMER3_HUMAN APC membrane recruitment protein 3 OS=Homo sapiens OX=9606 GN=AMER3 PE=1 SV=2
+LHPEAMAPGSAGVEPQGCLLGERALLSCRCEPASASVGGEQQSNLTLGLSEPHHGQSSWRAAPASRPESDLQSGHAVQEVAETSLQLPQDEVSAWAQVGLGTPEVRLWSLDQVRDRCRQDQTSASYPLGHITTMSPSSSSQKQELMDPGRAWRQGFLGSGMDQRPPWAAPPQENSSIKLAAVRAVCGALMPEADLTDGGHGPRWPGRFCGLVGPPGPEKQSCPWTSPVPAKNKGSTDTTSTAASEMSSFLGESHGRTEEERSADRSLTGTGQTAGGLAKSEGALLGTTGPHAWLEQRSPASCVTAGGADSALGERGGLKETPARPAGQSGPPAAPQGPTPPARPTPGRRLWSVIGMTIALETDCLKLLCEKGKWSSQLFGQSLLDPGPAPALNEEAGVRFSCISLPTGLAPGSLSESVCLDDDPSPGLPGESPDHFLEYLADGSYSDRPFTAAPTGPSEAEKKDEELGPSFSDYYGEDSTSVSEPQESKPTGTDISSAKSGSRPDRCPCLPLEALGATDLRSQDRDTGQPGSLWPGLLARQQQRVSRNVSDWFRTFDSAENQAPSALQEVSGQLPGRPVKSELGQAAQTPSEPGENLELSPVSSEDAFVEGCGTLSDFSQLSAVDECLARCLGFSADALLESDLLDQCLGDLGPGEGPPLFAKSRRGGPDSPDGPSPLSKGEAALSALDETKNRRIHFLNRFCKKPRKLSIRKRPISKAVAPVFPMQPVFHRYDIMRRSGPSGPFSASGVLQGTAATARGAGSMSDHTKCKRVPKFTAGCLAAPGGKPDLQAGKNPCRDYEQASPSAQPGKESHPRQQGGPLVSWPGTGERAAAVAAPDPPKEHSVQLSSKIFTKGRKLEM
+>sp|Q86WK6|AMGO1_HUMAN Amphoterin-induced protein 1 OS=Homo sapiens OX=9606 GN=AMIGO1 PE=1 SV=1
+MHPHRDPRGLWLLLPSLSLLLFEVARAGRAVVSCPAACLCASNILSCSKQQLPNVPHSLPSYTALLDLSHNNLSRLRAEWTPTRLTQLHSLLLSHNHLNFISSEAFSPVPNLRYLDLSSNQLRTLDEFLFSDLQVLEVLLLYNNHIMAVDRCAFDDMAQLQKLYLSQNQISRFPLELVKEGAKLPKLTLLDLSSNKLKNLPLPDLQKLPAWIKNGLYLHNNPLNCDCELYQLFSHWQYRQLSSVMDFQEDLYCMNSKKLHNVFNLSFLNCGEYKERAWEAHLGDTLIIKCDTKQQGMTKVWVTPSNERVLDEVTNGTVSVSKDGSLLFQQVQVEDGGVYTCYAMGETFNETLSVELKVHNFTLHGHHDTLNTAYTTLVGCILSVVLVLIYLYLTPCRCWCRGVEKPSSHQGDSLSSSMLSTTPNHDPMAGGDKDDGFDRRVAFLEPAGPGQGQNGKLKPGNTLPVPEATGKGQRRMSDPESVSSVFSDTPIVV
+>DECOY_sp|Q86WK6|AMGO1_HUMAN Amphoterin-induced protein 1 OS=Homo sapiens OX=9606 GN=AMIGO1 PE=1 SV=1
+VVIPTDSFVSSVSEPDSMRRQGKGTAEPVPLTNGPKLKGNQGQGPGAPELFAVRRDFGDDKDGGAMPDHNPTTSLMSSSLSDGQHSSPKEVGRCWCRCPTLYLYILVLVVSLICGVLTTYATNLTDHHGHLTFNHVKLEVSLTENFTEGMAYCTYVGGDEVQVQQFLLSGDKSVSVTGNTVEDLVRENSPTVWVKTMGQQKTDCKIILTDGLHAEWAREKYEGCNLFSLNFVNHLKKSNMCYLDEQFDMVSSLQRYQWHSFLQYLECDCNLPNNHLYLGNKIWAPLKQLDPLPLNKLKNSSLDLLTLKPLKAGEKVLELPFRSIQNQSLYLKQLQAMDDFACRDVAMIHNNYLLLVELVQLDSFLFEDLTRLQNSSLDLYRLNPVPSFAESSIFNLHNHSLLLSHLQTLRTPTWEARLRSLNNHSLDLLATYSPLSHPVNPLQQKSCSLINSACLCAAPCSVVARGARAVEFLLLSLSPLLLWLGRPDRHPHM
+>sp|Q86SJ2|AMGO2_HUMAN Amphoterin-induced protein 2 OS=Homo sapiens OX=9606 GN=AMIGO2 PE=1 SV=1
+MSLRVHTLPTLLGAVVRPGCRELLCLLMITVTVGPGASGVCPTACICATDIVSCTNKNLSKVPGNLFRLIKRLDLSYNRIGLLDSEWIPVSFAKLNTLILRHNNITSISTGSFSTTPNLKCLDLSSNKLKTVKNAVFQELKVLEVLLLYNNHISYLDPSAFGGLSQLQKLYLSGNFLTQFPMDLYVGRFKLAELMFLDVSYNRIPSMPMHHINLVPGKQLRGIYLHGNPFVCDCSLYSLLVFWYRRHFSSVMDFKNDYTCRLWSDSRHSRQVLLLQDSFMNCSDSIINGSFRALGFIHEAQVGERLMVHCDSKTGNANTDFIWVGPDNRLLEPDKEMENFYVFHNGSLVIESPRFEDAGVYSCIAMNKQRLLNETVDVTINVSNFTVSRSHAHEAFNTAFTTLAACVASIVLVLLYLYLTPCPCKCKTKRQKNMLHQSNAHSSILSPGPASDASADERKAGAGKRVVFLEPLKDTAAGQNGKVRLFPSEAVIAEGILKSTRGKSDSDSVNSVFSDTPFVAST
+>DECOY_sp|Q86SJ2|AMGO2_HUMAN Amphoterin-induced protein 2 OS=Homo sapiens OX=9606 GN=AMIGO2 PE=1 SV=1
+TSAVFPTDSFVSNVSDSDSKGRTSKLIGEAIVAESPFLRVKGNQGAATDKLPELFVVRKGAGAKREDASADSAPGPSLISSHANSQHLMNKQRKTKCKCPCPTLYLYLLVLVISAVCAALTTFATNFAEHAHSRSVTFNSVNITVDVTENLLRQKNMAICSYVGADEFRPSEIVLSGNHFVYFNEMEKDPELLRNDPGVWIFDTNANGTKSDCHVMLREGVQAEHIFGLARFSGNIISDSCNMFSDQLLLVQRSHRSDSWLRCTYDNKFDMVSSFHRRYWFVLLSYLSCDCVFPNGHLYIGRLQKGPVLNIHHMPMSPIRNYSVDLFMLEALKFRGVYLDMPFQTLFNGSLYLKQLQSLGGFASPDLYSIHNNYLLLVELVKLEQFVANKVTKLKNSSLDLCKLNPTTSFSGTSISTINNHRLILTNLKAFSVPIWESDLLGIRNYSLDLRKILRFLNGPVKSLNKNTCSVIDTACICATPCVGSAGPGVTVTIMLLCLLERCGPRVVAGLLTPLTHVRLSM
+>sp|Q16671|AMHR2_HUMAN Anti-Muellerian hormone type-2 receptor OS=Homo sapiens OX=9606 GN=AMHR2 PE=1 SV=1
+MLGSLGLWALLPTAVEAPPNRRTCVFFEAPGVRGSTKTLGELLDTGTELPRAIRCLYSRCCFGIWNLTQDRAQVEMQGCRDSDEPGCESLHCDPSPRAHPSPGSTLFTCSCGTDFCNANYSHLPPPGSPGTPGSQGPQAAPGESIWMALVLLGLFLLLLLLLGSIILALLQRKNYRVRGEPVPEPRPDSGRDWSVELQELPELCFSQVIREGGHAVVWAGQLQGKLVAIKAFPPRSVAQFQAERALYELPGLQHDHIVRFITASRGGPGRLLSGPLLVLELHPKGSLCHYLTQYTSDWGSSLRMALSLAQGLAFLHEERWQNGQYKPGIAHRDLSSQNVLIREDGSCAIGDLGLALVLPGLTQPPAWTPTQPQGPAAIMEAGTQRYMAPELLDKTLDLQDWGMALRRADIYSLALLLWEILSRCPDLRPDSSPPPFQLAYEAELGNTPTSDELWALAVQERRRPYIPSTWRCFATDPDGLRELLEDCWDADPEARLTAECVQQRLAALAHPQESHPFPESCPRGCPPLCPEDCTSIPAPTILPCRPQRSACHFSVQQGPCSRNPQPACTLSPV
+>DECOY_sp|Q16671|AMHR2_HUMAN Anti-Muellerian hormone type-2 receptor OS=Homo sapiens OX=9606 GN=AMHR2 PE=1 SV=1
+VPSLTCAPQPNRSCPGQQVSFHCASRQPRCPLITPAPISTCDEPCLPPCGRPCSEPFPHSEQPHALAALRQQVCEATLRAEPDADWCDELLERLGDPDTAFCRWTSPIYPRRREQVALAWLEDSTPTNGLEAEYALQFPPPSSDPRLDPCRSLIEWLLLALSYIDARRLAMGWDQLDLTKDLLEPAMYRQTGAEMIAAPGQPQTPTWAPPQTLGPLVLALGLDGIACSGDERILVNQSSLDRHAIGPKYQGNQWREEHLFALGQALSLAMRLSSGWDSTYQTLYHCLSGKPHLELVLLPGSLLRGPGGRSATIFRVIHDHQLGPLEYLAREAQFQAVSRPPFAKIAVLKGQLQGAWVVAHGGERIVQSFCLEPLEQLEVSWDRGSDPRPEPVPEGRVRYNKRQLLALIISGLLLLLLLFLGLLVLAMWISEGPAAQPGQSGPTGPSGPPPLHSYNANCFDTGCSCTFLTSGPSPHARPSPDCHLSECGPEDSDRCGQMEVQARDQTLNWIGFCCRSYLCRIARPLETGTDLLEGLTKTSGRVGPAEFFVCTRRNPPAEVATPLLAWLGLSGLM
+>sp|Q8IY45|AMN1_HUMAN Protein AMN1 homolog OS=Homo sapiens OX=9606 GN=AMN1 PE=2 SV=4
+MPRPRRVSQLLDLCLWCFMKNISRYLTDIKPLPPNIKDRLIKIMSMQGQITDSNISEILHPEVQTLDLRSCDISDAALLHLSNCRKLKKLNLNASKGNRVSVTSEGIKAVASSCSYLHEASLKRCCNLTDEGVVALALNCQLLKIIDLGGCLSITDVSLHALGKNCPFLQCVDFSATQVSDSGVIALVSGPCAKKLEEIHMGHCVNLTDGAVEAVLTYCPQIRILLFHGCPLITDHSREVLEQLVGPNKLKQVTWTVY
+>DECOY_sp|Q8IY45|AMN1_HUMAN Protein AMN1 homolog OS=Homo sapiens OX=9606 GN=AMN1 PE=2 SV=4
+YVTWTVQKLKNPGVLQELVERSHDTILPCGHFLLIRIQPCYTLVAEVAGDTLNVCHGMHIEELKKACPGSVLAIVGSDSVQTASFDVCQLFPCNKGLAHLSVDTISLCGGLDIIKLLQCNLALAVVGEDTLNCCRKLSAEHLYSCSSAVAKIGESTVSVRNGKSANLNLKKLKRCNSLHLLAADSIDCSRLDLTQVEPHLIESINSDTIQGQMSMIKILRDKINPPLPKIDTLYRSINKMFCWLCLDLLQSVRRPRPM
+>sp|Q9BXJ7|AMNLS_HUMAN Protein amnionless OS=Homo sapiens OX=9606 GN=AMN PE=1 SV=2
+MGVLGRVLLWLQLCALTQAVSKLWVPNTDFDVAANWSQNRTPCAGGAVEFPADKMVSVLVQEGHAVSDMLLPLDGELVLASGAGFGVSDVGSHLDCGAGEPAVFRDSDRFSWHDPHLWRSGDEAPGLFFVDAERVPCRHDDVFFPPSASFRVGLGPGASPVRVRSISALGRTFTRDEDLAVFLASRAGRLRFHGPGALSVGPEDCADPSGCVCGNAEAQPWICAALLQPLGGRCPQAACHSALRPQGQCCDLCGAVVLLTHGPAFDLERYRARILDTFLGLPQYHGLQVAVSKVPRSSRLREADTEIQVVLVENGPETGGAGRLARALLADVAENGEALGVLEATMRESGAHVWGSSAAGLAGGVAAAVLLALLVLLVAPPLLRRAGRLRWRRHEAAAPAGAPLGFRNPVFDVTASEELPLPRRLSLVPKAAADSTSHSYFVNPLFAGAEAEA
+>DECOY_sp|Q9BXJ7|AMNLS_HUMAN Protein amnionless OS=Homo sapiens OX=9606 GN=AMN PE=1 SV=2
+AEAEAGAFLPNVFYSHSTSDAAAKPVLSLRRPLPLEESATVDFVPNRFGLPAGAPAAAEHRRWRLRGARRLLPPAVLLVLLALLVAAAVGGALGAASSGWVHAGSERMTAELVGLAEGNEAVDALLARALRGAGGTEPGNEVLVVQIETDAERLRSSRPVKSVAVQLGHYQPLGLFTDLIRARYRELDFAPGHTLLVVAGCLDCCQGQPRLASHCAAQPCRGGLPQLLAACIWPQAEANGCVCGSPDACDEPGVSLAGPGHFRLRGARSALFVALDEDRTFTRGLASISRVRVPSAGPGLGVRFSASPPFFVDDHRCPVREADVFFLGPAEDGSRWLHPDHWSFRDSDRFVAPEGAGCDLHSGVDSVGFGAGSALVLEGDLPLLMDSVAHGEQVLVSVMKDAPFEVAGGACPTRNQSWNAAVDFDTNPVWLKSVAQTLACLQLWLLVRGLVGM
+>sp|A6QL64|AN36A_HUMAN Ankyrin repeat domain-containing protein 36A OS=Homo sapiens OX=9606 GN=ANKRD36 PE=2 SV=3
+MEDGKRERWPTLMERLCSDGFAFPQYPIKPYHLKRIHRAVLHGNLEKLKYLLLTYYDANKRDRKERTALHLACATGQPEMVHLLVSRRCELNLCDREDRTPLIKAVQLRQEACATLLLQNGANPNITDFFGRTALHYAVYNEDTSMIEKLLSHGTNIEECSKCEYQPLLFAVSRRKVKMVEFLLKKKANVNAIDYLGRSALIHAVTLGEKDIVILLLQHNIDVLSRDAFRKIAGDYAIEAKNRVIFDLIYEYERKRYEDLPINSNPVSSQKQPALKATSGKEDSISNIATEIKDGQKSGTVSSQKQPALKDTSDKDDSVSNTATEIKDEQKSGTVLPAVEQCLNRSLYRPDAVAQPVTENEFSLESEIISKLYIPKRKIISPRSIKDVLPPVEEAVDRCLYLLDRFAQPVTKDKFALESENISEPYFTNRRTISQQSAENLDAACGIDKTENGNMFEDQNVDKEGKALPATGQKANVSPEQPPLFTHTVKDRDHISTRFLGGMDSLTSSEESSERPPLSTLTLKEADPSSKAAMRRKDSPPPGKVSSQKQPAEKATSDDKDSVSNIATEIKEGPISGTVSSQKQPAEKATSDEKDSVSNIATEIKKGQQSGTVSPQKQSAWKVIFKKKVSLLNIATRIMGGGKSGTVSSQKQPASKATSDKTDSALNIATEIKDGLQCGTVSSQKQPALKATTDEEDSVSNIATEIKDGEKSGTVSSQKQPALKATTDEEDSVSNIATEIKDGEKSGTVSSQKQPALKATTDEKDSVSNIATEIKDGEKSGTVSSQKPPALTATSDEEGSVLSIARENKDGEKSRTVSSRKKPALKATSDEKDSFSNITRGKKDGEISRKVSSQKPPTLKGTSDEEDSVLGIARENKDGEKSRTVSSEKPPGLKASSAEKDSVLNIARGKKDGEKTKRVSSRKKPSLEATSDEKDSFSNITREKKDGEISRKVSSQKPPALKGTSDEEDSVLGIARENKDGEKSRTVSSEKPPGLKATSDEKDSVLNIARGKKDGEKTRTVSSQKPPTLKATSDEEDSVLSIARENKDGEKSRTVSSEKPSGLKATSAEKDSVLNIARGKKYGEKTKRVSSRKKPALKATSDEKDSVLYIAREKKDGEKSRTVSSPKQPALKAICDKEDSVPNMATEKKDEQISGTVSCQKQPALKATSDKKDSVSNIPTEIKDGQQSGTVSSQKQPAWKATSVKKDSVSNIATEIKDGQIRGTGILEYTFNVMFDQIEEKFTSLNKSAGVSPQKQSAQKVIFKKKVSLLNIATRITGGWKSGTEYPENLPTLKATIENKNSVLNTATKMKDVQTSTPAEQDLEMASEGEQKRLEEYENNQPQVKNQIHSRDDLDDIIQSSQTVSEDGDSLCCNCKNVILLIDQHEMKCKDCVHLLKIKNTFCLWKRLIKLKDNHCEQLRVKIRKLKNKASVLQKRISEKEEIKSQLKHEILELEKELCSLRFAIQQEKKKRRNVEEVHQKVREKLRITEEQYRIEADVTKPIKPALKSAEVELKTGGNNSNQVSETDEKEDLLHENRLMQDEIARLRLEKDTIKNQNLEKKYLKDFEIVKRKHEDLQKALKRNGETLAKTIACYSGQLAALTDENTTLRSKLEKQRESRQRLETEMQSYHCRLNAARCDHDQSHSSKRDQELAFQGTVDKCRHLQENLNSHVLILSLQLSKAESKSRVLKTELHYTGEALKEKALVFEHVQSELKQKQSQMKDIEKMYKSGYNTMEKCIEKQERFCQLKKQNMLLQQQLDDARNKADNQEKAILNIQARCDARVQNLQAECRKHRLLLEEDNKMLVNELNHSKEKECQYEKEKAEREVAVRQLQQKRDDVLNKGSATKALLDASSRHCTYLENGMQDSRKKLDQMRSQFQEIQDQLTATIRCTKEMEGDTQKLEVEHVMMRKIIKKQDDQIERLEKILQHSSLMLQVFES
+>DECOY_sp|A6QL64|AN36A_HUMAN Ankyrin repeat domain-containing protein 36A OS=Homo sapiens OX=9606 GN=ANKRD36 PE=2 SV=3
+SEFVQLMLSSHQLIKELREIQDDQKKIIKRMMVHEVELKQTDGEMEKTCRITATLQDQIEQFQSRMQDLKKRSDQMGNELYTCHRSSADLLAKTASGKNLVDDRKQQLQRVAVEREAKEKEYQCEKEKSHNLENVLMKNDEELLLRHKRCEAQLNQVRADCRAQINLIAKEQNDAKNRADDLQQQLLMNQKKLQCFREQKEICKEMTNYGSKYMKEIDKMQSQKQKLESQVHEFVLAKEKLAEGTYHLETKLVRSKSEAKSLQLSLILVHSNLNEQLHRCKDVTGQFALEQDRKSSHSQDHDCRAANLRCHYSQMETELRQRSERQKELKSRLTTNEDTLAALQGSYCAITKALTEGNRKLAKQLDEHKRKVIEFDKLYKKELNQNKITDKELRLRAIEDQMLRNEHLLDEKEDTESVQNSNNGGTKLEVEASKLAPKIPKTVDAEIRYQEETIRLKERVKQHVEEVNRRKKKEQQIAFRLSCLEKELELIEHKLQSKIEEKESIRKQLVSAKNKLKRIKVRLQECHNDKLKILRKWLCFTNKIKLLHVCDKCKMEHQDILLIVNKCNCCLSDGDESVTQSSQIIDDLDDRSHIQNKVQPQNNEYEELRKQEGESAMELDQEAPTSTQVDKMKTATNLVSNKNEITAKLTPLNEPYETGSKWGGTIRTAINLLSVKKKFIVKQASQKQPSVGASKNLSTFKEEIQDFMVNFTYELIGTGRIQGDKIETAINSVSDKKVSTAKWAPQKQSSVTGSQQGDKIETPINSVSDKKDSTAKLAPQKQCSVTGSIQEDKKETAMNPVSDEKDCIAKLAPQKPSSVTRSKEGDKKERAIYLVSDKEDSTAKLAPKKRSSVRKTKEGYKKGRAINLVSDKEASTAKLGSPKESSVTRSKEGDKNERAISLVSDEEDSTAKLTPPKQSSVTRTKEGDKKGRAINLVSDKEDSTAKLGPPKESSVTRSKEGDKNERAIGLVSDEEDSTGKLAPPKQSSVKRSIEGDKKERTINSFSDKEDSTAELSPKKRSSVRKTKEGDKKGRAINLVSDKEASSAKLGPPKESSVTRSKEGDKNERAIGLVSDEEDSTGKLTPPKQSSVKRSIEGDKKGRTINSFSDKEDSTAKLAPKKRSSVTRSKEGDKNERAISLVSGEEDSTATLAPPKQSSVTGSKEGDKIETAINSVSDKEDTTAKLAPQKQSSVTGSKEGDKIETAINSVSDEEDTTAKLAPQKQSSVTGSKEGDKIETAINSVSDEEDTTAKLAPQKQSSVTGCQLGDKIETAINLASDTKDSTAKSAPQKQSSVTGSKGGGMIRTAINLLSVKKKFIVKWASQKQPSVTGSQQGKKIETAINSVSDKEDSTAKEAPQKQSSVTGSIPGEKIETAINSVSDKDDSTAKEAPQKQSSVKGPPPSDKRRMAAKSSPDAEKLTLTSLPPRESSEESSTLSDMGGLFRTSIHDRDKVTHTFLPPQEPSVNAKQGTAPLAKGEKDVNQDEFMNGNETKDIGCAADLNEASQQSITRRNTFYPESINESELAFKDKTVPQAFRDLLYLCRDVAEEVPPLVDKISRPSIIKRKPIYLKSIIESELSFENETVPQAVADPRYLSRNLCQEVAPLVTGSKQEDKIETATNSVSDDKDSTDKLAPQKQSSVTGSKQGDKIETAINSISDEKGSTAKLAPQKQSSVPNSNIPLDEYRKREYEYILDFIVRNKAEIAYDGAIKRFADRSLVDINHQLLLIVIDKEGLTVAHILASRGLYDIANVNAKKKLLFEVMKVKRRSVAFLLPQYECKSCEEINTGHSLLKEIMSTDENYVAYHLATRGFFDTINPNAGNQLLLTACAEQRLQVAKILPTRDERDCLNLECRRSVLLHVMEPQGTACALHLATREKRDRKNADYYTLLLYKLKELNGHLVARHIRKLHYPKIPYQPFAFGDSCLREMLTPWRERKGDEM
+>sp|P16860|ANFB_HUMAN Natriuretic peptides B OS=Homo sapiens OX=9606 GN=NPPB PE=1 SV=1
+MDPQTAPSRALLLLLFLHLAFLGGRSHPLGSPGSASDLETSGLQEQRNHLQGKLSELQVEQTSLEPLQESPRPTGVWKSREVATEGIRGHRKMVLYTLRAPRSPKMVQGSGCFGRKMDRISSSSGLGCKVLRRH
+>DECOY_sp|P16860|ANFB_HUMAN Natriuretic peptides B OS=Homo sapiens OX=9606 GN=NPPB PE=1 SV=1
+HRRLVKCGLGSSSSIRDMKRGFCGSGQVMKPSRPARLTYLVMKRHGRIGETAVERSKWVGTPRPSEQLPELSTQEVQLESLKGQLHNRQEQLGSTELDSASGPSGLPHSRGGLFALHLFLLLLLARSPATQPDM
+>sp|Q9Y2G4|ANKR6_HUMAN Ankyrin repeat domain-containing protein 6 OS=Homo sapiens OX=9606 GN=ANKRD6 PE=2 SV=3
+MSQQDAVAALSERLLVAAYKGQTENVVQLINKGARVAVTKHGRTPLHLAANKGHLPVVQILLKAGCDLDVQDDGDQTALHRATVVGNTEIIAALIHEGCALDRQDKDGNTALHEASWHGFSQSAKLLIKAGANVLAKNKAGNTALHLACQNSHSQSTRVLLLAGSRADLKNNAGDTCLHVAARYNHLSIIRLLLTAFCSVHEKNQAGDTALHVAAALNHKKVAKILLEAGADTTIVNNAGQTPLETARYHNNPEVALLLTKAPQVLRFSRGRSLRKKRERLKEERRAQSVPRDEVAQSKGSVSAGDTPSSEQAVARKEEAREEFLSASPEPRAKDDRRRKSRPKVSAFSDPTPPADQQPGHQKNLHAHNHPKKRNRHRCSSPPPPHEFRAYQLYTLYRGKDGKVMQAPINGCRCEPLINKLENQLEATVEEIKAELGSVQDKMNTKLGQMENKTQHQMRVLDKLMVERLSAERTECLNRLQQHSDTEKHEGEKRQISLVDELKTWCMLKIQNLEQKLSGDSRACRAKSTPSTCESSTGVDQLVVTAGPAAASDSSPPVVRPKEKALNSTATQRLQQELSSSDCTGSRLRNVKVQTALLPMNEAARSDQQAGPCVNRGTQTKKSGKSGPTRHRAQQPAASSTCGQPPPATGSEQTGPHIRDTSQALELTQYFFEAVSTQMEKWYERKIEEARSQANQKAQQDKATLKEHIKSLEEELAKLRTRVQKEN
+>DECOY_sp|Q9Y2G4|ANKR6_HUMAN Ankyrin repeat domain-containing protein 6 OS=Homo sapiens OX=9606 GN=ANKRD6 PE=2 SV=3
+NEKQVRTRLKALEEELSKIHEKLTAKDQQAKQNAQSRAEEIKREYWKEMQTSVAEFFYQTLELAQSTDRIHPGTQESGTAPPPQGCTSSAAPQQARHRTPGSKGSKKTQTGRNVCPGAQQDSRAAENMPLLATQVKVNRLRSGTCDSSSLEQQLRQTATSNLAKEKPRVVPPSSDSAAAPGATVVLQDVGTSSECTSPTSKARCARSDGSLKQELNQIKLMCWTKLEDVLSIQRKEGEHKETDSHQQLRNLCETREASLREVMLKDLVRMQHQTKNEMQGLKTNMKDQVSGLEAKIEEVTAELQNELKNILPECRCGNIPAQMVKGDKGRYLTYLQYARFEHPPPPSSCRHRNRKKPHNHAHLNKQHGPQQDAPPTPDSFASVKPRSKRRRDDKARPEPSASLFEERAEEKRAVAQESSPTDGASVSGKSQAVEDRPVSQARREEKLRERKKRLSRGRSFRLVQPAKTLLLAVEPNNHYRATELPTQGANNVITTDAGAELLIKAVKKHNLAAAVHLATDGAQNKEHVSCFATLLLRIISLHNYRAAVHLCTDGANNKLDARSGALLLVRTSQSHSNQCALHLATNGAKNKALVNAGAKILLKASQSFGHWSAEHLATNGDKDQRDLACGEHILAAIIETNGVVTARHLATQDGDDQVDLDCGAKLLIQVVPLHGKNAALHLPTRGHKTVAVRAGKNILQVVNETQGKYAAVLLRESLAAVADQQSM
+>sp|Q68DC2|ANKS6_HUMAN Ankyrin repeat and SAM domain-containing protein 6 OS=Homo sapiens OX=9606 GN=ANKS6 PE=1 SV=2
+MGEGGLPPAFQLLLRACDQGDTETARRLLEPGAAEPAERGAEPEAGAEPAGAEVAGPGAAAAGAVGAPVPVDCSDEAGNTALQFAAAGGHEPLVRFLLRRGASVNSRNHYGWSALMQAARFGHVSVAHLLLDHGADVNAQNRLGASVLTVASRGGHLGVVKLLLEAGAFVDHHHPSGEQLGLGGSRDEPLDITALMAAIQHGHEAVVRLLMEWGADPNHAARTVGWSPLMLAALTGRLGVAQQLVEKGANPDHLSVLEKTAFEVALDCKHRDLVDYLDPLTTVRPKTDEEKRRPDIFHALKMGNFQLVKEIADEDPSHVNLVNGDGATPLMLAAVTGQLALVQLLVERHADVDKQDSVHGWTALMQATYHGNKEIVKYLLNQGADVTLRAKNGYTAFDLVMLLNDPDTELVRLLASVCMQVNKDKGRPSHQPPLPHSKVRQPWSIPVLPDDKGGLKSWWNRMSNRFRKLKLMQTLPRGLSSNQPLPFSDEPEPALDSTMRAAPQDKTSRSALPDAAPVTKDNGPGSTRGEKEDTLLTTMLRNGAPLTRLPSDKLKAVIPPFLPPSSFELWSSDRSRTRHNGKADPMKTALPQRASRGHPVGGGGTDTTPVRPVKFPSLPRSPASSANSGNFNHSPHSSGGSSGVGVSRHGGELLNRSGGSIDNVLSQIAAQRKKAAGLLEQKPSHRSSPVGPAPGSSPSELPASPAGGSAPVGKKLETSKRPPSGTSTTSKSTSPTLTPSPSPKGHTAESSVSSSSSHRQSKSSGGSSSGTITDEDELTGILKKLSLEKYQPIFEEQEVDMEAFLTLTDGDLKELGIKTDGSRQQILAAISELNAGKGRERQILQETIHNFHSSFESSASNTRAPGNSPCA
+>DECOY_sp|Q68DC2|ANKS6_HUMAN Ankyrin repeat and SAM domain-containing protein 6 OS=Homo sapiens OX=9606 GN=ANKS6 PE=1 SV=2
+ACPSNGPARTNSASSEFSSHFNHITEQLIQRERGKGANLESIAALIQQRSGDTKIGLEKLDGDTLTLFAEMDVEQEEFIPQYKELSLKKLIGTLEDEDTITGSSSGGSSKSQRHSSSSSVSSEATHGKPSPSPTLTPSTSKSTTSTGSPPRKSTELKKGVPASGGAPSAPLESPSSGPAPGVPSSRHSPKQELLGAAKKRQAAIQSLVNDISGGSRNLLEGGHRSVGVGSSGGSSHPSHNFNGSNASSAPSRPLSPFKVPRVPTTDTGGGGVPHGRSARQPLATKMPDAKGNHRTRSRDSSWLEFSSPPLFPPIVAKLKDSPLRTLPAGNRLMTTLLTDEKEGRTSGPGNDKTVPAADPLASRSTKDQPAARMTSDLAPEPEDSFPLPQNSSLGRPLTQMLKLKRFRNSMRNWWSKLGGKDDPLVPISWPQRVKSHPLPPQHSPRGKDKNVQMCVSALLRVLETDPDNLLMVLDFATYGNKARLTVDAGQNLLYKVIEKNGHYTAQMLATWGHVSDQKDVDAHREVLLQVLALQGTVAALMLPTAGDGNVLNVHSPDEDAIEKVLQFNGMKLAHFIDPRRKEEDTKPRVTTLPDLYDVLDRHKCDLAVEFATKELVSLHDPNAGKEVLQQAVGLRGTLAALMLPSWGVTRAAHNPDAGWEMLLRVVAEHGHQIAAMLATIDLPEDRSGGLGLQEGSPHHHDVFAGAELLLKVVGLHGGRSAVTLVSAGLRNQANVDAGHDLLLHAVSVHGFRAAQMLASWGYHNRSNVSAGRRLLFRVLPEHGGAAAFQLATNGAEDSCDVPVPAGVAGAAAAGPGAVEAGAPEAGAEPEAGREAPEAAGPELLRRATETDGQDCARLLLQFAPPLGGEGM
+>sp|P55345|ANM2_HUMAN Protein arginine N-methyltransferase 2 OS=Homo sapiens OX=9606 GN=PRMT2 PE=1 SV=1
+MATSGDCPRSESQGEEPAECSEAGLLQEGVQPEEFVAIADYAATDETQLSFLRGEKILILRQTTADWWWGERAGCCGYIPANHVGKHVDEYDPEDTWQDEEYFGSYGTLKLHLEMLADQPRTTKYHSVILQNKESLTDKVILDVGCGTGIISLFCAHYARPRAVYAVEASEMAQHTGQLVLQNGFADIITVYQQKVEDVVLPEKVDVLVSEWMGTCLLFEFMIESILYARDAWLKEDGVIWPTMAALHLVPCSADKDYRSKVLFWDNAYEFNLSALKSLAVKEFFSKPKYNHILKPEDCLSEPCTILQLDMRTVQISDLETLRGELRFDIRKAGTLHGFTAWFSVHFQSLQEGQPPQVLSTGPFHPTTHWKQTLFMMDDPVPVHTGDVVTGSVVLQRNPVWRRHMSVALSWAVTSRQDPTSQKVGEKVFPIWR
+>DECOY_sp|P55345|ANM2_HUMAN Protein arginine N-methyltransferase 2 OS=Homo sapiens OX=9606 GN=PRMT2 PE=1 SV=1
+RWIPFVKEGVKQSTPDQRSTVAWSLAVSMHRRWVPNRQLVVSGTVVDGTHVPVPDDMMFLTQKWHTTPHFPGTSLVQPPQGEQLSQFHVSFWATFGHLTGAKRIDFRLEGRLTELDSIQVTRMDLQLITCPESLCDEPKLIHNYKPKSFFEKVALSKLASLNFEYANDWFLVKSRYDKDASCPVLHLAAMTPWIVGDEKLWADRAYLISEIMFEFLLCTGMWESVLVDVKEPLVVDEVKQQYVTIIDAFGNQLVLQGTHQAMESAEVAYVARPRAYHACFLSIIGTGCGVDLIVKDTLSEKNQLIVSHYKTTRPQDALMELHLKLTGYSGFYEEDQWTDEPDYEDVHKGVHNAPIYGCCGAREGWWWDATTQRLILIKEGRLFSLQTEDTAAYDAIAVFEEPQVGEQLLGAESCEAPEEGQSESRPCDGSTAM
+>sp|Q96LA8|ANM6_HUMAN Protein arginine N-methyltransferase 6 OS=Homo sapiens OX=9606 GN=PRMT6 PE=1 SV=1
+MSQPKKRKLESGGGGEGGEGTEEEDGAEREAALERPRRTKRERDQLYYECYSDVSVHEEMIADRVRTDAYRLGILRNWAALRGKTVLDVGAGTGILSIFCAQAGARRVYAVEASAIWQQAREVVRFNGLEDRVHVLPGPVETVELPEQVDAIVSEWMGYGLLHESMLSSVLHARTKWLKEGGLLLPASAELFIAPISDQMLEWRLGFWSQVKQHYGVDMSCLEGFATRCLMGHSEIVVQGLSGEDVLARPQRFAQLELSRAGLEQELEAGVGGRFRCSCYGSAPMHGFAIWFQVTFPGGESEKPLVLSTSPFHPATHWKQALLYLNEPVQVEQDTDVSGEITLLPSRDNPRRLRVLLRYKVGDQEEKTKDFAMED
+>DECOY_sp|Q96LA8|ANM6_HUMAN Protein arginine N-methyltransferase 6 OS=Homo sapiens OX=9606 GN=PRMT6 PE=1 SV=1
+DEMAFDKTKEEQDGVKYRLLVRLRRPNDRSPLLTIEGSVDTDQEVQVPENLYLLAQKWHTAPHFPSTSLVLPKESEGGPFTVQFWIAFGHMPASGYCSCRFRGGVGAELEQELGARSLELQAFRQPRALVDEGSLGQVVIESHGMLCRTAFGELCSMDVGYHQKVQSWFGLRWELMQDSIPAIFLEASAPLLLGGEKLWKTRAHLVSSLMSEHLLGYGMWESVIADVQEPLEVTEVPGPLVHVRDELGNFRVVERAQQWIASAEVAYVRRAGAQACFISLIGTGAGVDLVTKGRLAAWNRLIGLRYADTRVRDAIMEEHVSVDSYCEYYLQDRERKTRRPRELAAEREAGDEEETGEGGEGGGGSELKRKKPQSM
+>sp|Q6P2P2|ANM9_HUMAN Protein arginine N-methyltransferase 9 OS=Homo sapiens OX=9606 GN=PRMT9 PE=1 SV=1
+MSNSRPRSRRDAGGGAGAAGRDELVSRSLQSAEHCLGVQDFGTAYAHYLLVLSLAPELKHDVKETFQYTLFRWAEELDALSRIQDLLGCYEQALELFPDDEVICNSMGEHLFRMGFRDEAAGYFHKAVKLNPDFSDAKENFYRVANWLVERWHFIMLNDTKRNTIYNAAIQKAVCLGSKSVLDIGAGTGILSMFAKKAGAHSVYACELSKTMYELACDVVAANKMEAGIKLLHTKSLDIEIPKHIPERVSLVVTETVDAGLFGEGIVESLIHAWEHLLLQPKTKGESANCEKYGKVIPASAVIFGMAVECAEIRRHHRVGIKDIAGIHLPTNVKFQSPAYSSVDTEETIEPYTTEKMSRVPGGYLALTECFEIMTVDFNNLQELKSLATKKPDKIGIPVIKEGILDAIMVWFVLQLDDEHSLSTSPSEETCWEQAVYPVQDLADYWIKPGDHVMMEVSCQDCYLRIQSISVLGLECEMDVAKSFTQNKDLLSLGNEAELCSALANLQTSKPDAVEQTCILESTEIALLNNIPYHEGFKMAMSKVLSSLTPEKLYQTMDTHCQNEMSSGTGQSNTVQNILEPFYVLDVSEGFSVLPVIAGTLGQVKPYSSVEKDQHRIALDLISEANHFPKETLEFWLRHVEDESAMLQRPKSDKLWSIIILDVIEPSGLIQQEIMEKAAISRCLLQSGGKIFPQYVLMFGLLVESQTLLEENAVQGTERTLGLNIAPFINQFQVPIRVFLDLSSLPCIPLSKPVELLRLDLMTPYLNTSNREVKVYVCKSGRLTAIPFWYHMYLDEEIRLDTSSEASHWKQAAVVLDNPIQVEMGEELVLSIQHHKSNVSITVKQ
+>DECOY_sp|Q6P2P2|ANM9_HUMAN Protein arginine N-methyltransferase 9 OS=Homo sapiens OX=9606 GN=PRMT9 PE=1 SV=1
+QKVTISVNSKHHQISLVLEEGMEVQIPNDLVVAAQKWHSAESSTDLRIEEDLYMHYWFPIATLRGSKCVYVKVERNSTNLYPTMLDLRLLEVPKSLPICPLSSLDLFVRIPVQFQNIFPAINLGLTRETGQVANEELLTQSEVLLGFMLVYQPFIKGGSQLLCRSIAAKEMIEQQILGSPEIVDLIIISWLKDSKPRQLMASEDEVHRLWFELTEKPFHNAESILDLAIRHQDKEVSSYPKVQGLTGAIVPLVSFGESVDLVYFPELINQVTNSQGTGSSMENQCHTDMTQYLKEPTLSSLVKSMAMKFGEHYPINNLLAIETSELICTQEVADPKSTQLNALASCLEAENGLSLLDKNQTFSKAVDMECELGLVSISQIRLYCDQCSVEMMVHDGPKIWYDALDQVPYVAQEWCTEESPSTSLSHEDDLQLVFWVMIADLIGEKIVPIGIKDPKKTALSKLEQLNNFDVTMIEFCETLALYGGPVRSMKETTYPEITEETDVSSYAPSQFKVNTPLHIGAIDKIGVRHHRRIEACEVAMGFIVASAPIVKGYKECNASEGKTKPQLLLHEWAHILSEVIGEGFLGADVTETVVLSVREPIHKPIEIDLSKTHLLKIGAEMKNAAVVDCALEYMTKSLECAYVSHAGAKKAFMSLIGTGAGIDLVSKSGLCVAKQIAANYITNRKTDNLMIFHWREVLWNAVRYFNEKADSFDPNLKVAKHFYGAAEDRFGMRFLHEGMSNCIVEDDPFLELAQEYCGLLDQIRSLADLEEAWRFLTYQFTEKVDHKLEPALSLVLLYHAYATGFDQVGLCHEASQLSRSVLEDRGAAGAGGGADRRSRPRSNSM
+>sp|Q9BYT9|ANO3_HUMAN Anoctamin-3 OS=Homo sapiens OX=9606 GN=ANO3 PE=1 SV=2
+MVHHSGSIQSFKQQKGMNISKSEITKETSLKPSRRSLPCLAQSYAYSKSLSQSTSLFQSTESESQAPTSITLISTDKAEQVNTEENKNDSVLRCSFADLSDFCLALGKDKDYTDESEHATYDRSRLINDFVIKDKSEFKTKLSKNDMNYIASSGPLFKDGKKRIDYILVYRKTNIQYDKRNTFEKNLRAEGLMLEKEPAIASPDIMFIKIHIPWDTLCKYAERLNIRMPFRKKCYYTDGRSKSMGRMQTYFRRIKNWMAQNPMVLDKSAFPDLEESDCYTGPFSRARIHHFIINNKDTFFSNATRSRIVYHMLERTKYENGISKVGIRKLINNGSYIAAFPPHEGAYKSSQPIKTHGPQNNRHLLYERWARWGMWYKHQPLDLIRLYFGEKIGLYFAWLGWYTGMLIPAAIVGLCVFFYGLFTMNNSQVSQEICKATEVFMCPLCDKNCSLQRLNDSCIYAKVTYLFDNGGTVFFAIFMAIWATVFLEFWKRRRSILTYTWDLIEWEEEEETLRPQFEAKYYKMEIVNPITGKPEPHQPSSDKVTRLLVSVSGIFFMISLVITAVFGVVVYRLVVMEQFASFKWNFIKQYWQFATSAAAVCINFIIIMLLNLAYEKIAYLLTNLEYPRTESEWENSFALKMFLFQFVNLNSSIFYIAFFLGRFVGHPGKYNKLFDRWRLEECHPSGCLIDLCLQMGVIMFLKQIWNNFMELGYPLIQNWWSRHKIKRGIHDASIPQWENDWNLQPMNLHGLMDEYLEMVLQFGFTTIFVAAFPLAPLLALLNNIIEIRLDAYKFVTQWRRPLPARATDIGIWLGILEGIGILAVITNAFVIAITSDYIPRFVYEYKYGPCANHVEPSENCLKGYVNNSLSFFDLSELGMGKSGYCRYRDYRGPPWSSKPYEFTLQYWHILAARLAFIIVFEHLVFGIKSFIAYLIPDVPKGLHDRIRREKYLVQEMMYEAELEHLQQQRRKSGQPVHHEWP
+>DECOY_sp|Q9BYT9|ANO3_HUMAN Anoctamin-3 OS=Homo sapiens OX=9606 GN=ANO3 PE=1 SV=2
+PWEHHVPQGSKRRQQQLHELEAEYMMEQVLYKERRIRDHLGKPVDPILYAIFSKIGFVLHEFVIIFALRAALIHWYQLTFEYPKSSWPPGRYDRYRCYGSKGMGLESLDFFSLSNNVYGKLCNESPEVHNACPGYKYEYVFRPIYDSTIAIVFANTIVALIGIGELIGLWIGIDTARAPLPRRWQTVFKYADLRIEIINNLLALLPALPFAAVFITTFGFQLVMELYEDMLGHLNMPQLNWDNEWQPISADHIGRKIKHRSWWNQILPYGLEMFNNWIQKLFMIVGMQLCLDILCGSPHCEELRWRDFLKNYKGPHGVFRGLFFAIYFISSNLNVFQFLFMKLAFSNEWESETRPYELNTLLYAIKEYALNLLMIIIFNICVAAASTAFQWYQKIFNWKFSAFQEMVVLRYVVVGFVATIVLSIMFFIGSVSVLLRTVKDSSPQHPEPKGTIPNVIEMKYYKAEFQPRLTEEEEEWEILDWTYTLISRRRKWFELFVTAWIAMFIAFFVTGGNDFLYTVKAYICSDNLRQLSCNKDCLPCMFVETAKCIEQSVQSNNMTFLGYFFVCLGVIAAPILMGTYWGLWAFYLGIKEGFYLRILDLPQHKYWMGWRAWREYLLHRNNQPGHTKIPQSSKYAGEHPPFAAIYSGNNILKRIGVKSIGNEYKTRELMHYVIRSRTANSFFTDKNNIIFHHIRARSFPGTYCDSEELDPFASKDLVMPNQAMWNKIRRFYTQMRGMSKSRGDTYYCKKRFPMRINLREAYKCLTDWPIHIKIFMIDPSAIAPEKELMLGEARLNKEFTNRKDYQINTKRYVLIYDIRKKGDKFLPGSSAIYNMDNKSLKTKFESKDKIVFDNILRSRDYTAHESEDTYDKDKGLALCFDSLDAFSCRLVSDNKNEETNVQEAKDTSILTISTPAQSESETSQFLSTSQSLSKSYAYSQALCPLSRRSPKLSTEKTIESKSINMGKQQKFSQISGSHHVM
+>sp|Q32M45|ANO4_HUMAN Anoctamin-4 OS=Homo sapiens OX=9606 GN=ANO4 PE=2 SV=1
+MEASSSGITNGKTKVFHPEGGVDLQGYQLDMQILPDGPKSDVDFSEILNAIQEMAKDVNILFDELEAVSSPCKDDDSLLHPGNLTSTSDDASRLEAGGETVPERNKSNGLYFRDGKCRIDYILVYRKSNPQTEKREVFERNIRAEGLQMEKESSLINSDIIFVKLHAPWEVLGRYAEQMNVRMPFRRKIYYLPRRYKFMSRIDKQISRFRRWLPKKPMRLDKETLPDLEENDCYTAPFSQQRIHHFIIHNKETFFNNATRSRIVHHILQRIKYEEGKNKIGLNRLLTNGSYEAAFPLHEGSYRSKNSIRTHGAENHRHLLYECWASWGVWYKYQPLDLVRRYFGEKIGLYFAWLGWYTGMLFPAAFIGLFVFLYGVTTLDHSQVSKEVCQATDIIMCPVCDKYCPFMRLSDSCVYAKVTHLFDNGATVFFAVFMAVWATVFLEFWKRRRAVIAYDWDLIDWEEEEEEIRPQFEAKYSKKERMNPISGKPEPYQAFTDKCSRLIVSASGIFFMICVVIAAVFGIVIYRVVTVSTFAAFKWALIRNNSQVATTGTAVCINFCIIMLLNVLYEKVALLLTNLEQPRTESEWENSFTLKMFLFQFVNLNSSTFYIAFFLGRFTGHPGAYLRLINRWRLEECHPSGCLIDLCMQMGIIMVLKQTWNNFMELGYPLIQNWWTRRKVRQEHGPERKISFPQWEKDYNLQPMNAYGLFDEYLEMILQFGFTTIFVAAFPLAPLLALLNNIIEIRLDAYKFVTQWRRPLASRAKDIGIWYGILEGIGILSVITNAFVIAITSDFIPRLVYAYKYGPCAGQGEAGQKCMVGYVNASLSVFRISDFENRSEPESDGSEFSGTPLKYCRYRDYRDPPHSLVPYGYTLQFWHVLAARLAFIIVFEHLVFCIKHLISYLIPDLPKDLRDRMRREKYLIQEMMYEAELERLQKERKERKKNGKAHHNEWP
+>DECOY_sp|Q32M45|ANO4_HUMAN Anoctamin-4 OS=Homo sapiens OX=9606 GN=ANO4 PE=2 SV=1
+PWENHHAKGNKKREKREKQLRELEAEYMMEQILYKERRMRDRLDKPLDPILYSILHKICFVLHEFVIIFALRAALVHWFQLTYGYPVLSHPPDRYDRYRCYKLPTGSFESGDSEPESRNEFDSIRFVSLSANVYGVMCKQGAEGQGACPGYKYAYVLRPIFDSTIAIVFANTIVSLIGIGELIGYWIGIDKARSALPRRWQTVFKYADLRIEIINNLLALLPALPFAAVFITTFGFQLIMELYEDFLGYANMPQLNYDKEWQPFSIKREPGHEQRVKRRTWWNQILPYGLEMFNNWTQKLVMIIGMQMCLDILCGSPHCEELRWRNILRLYAGPHGTFRGLFFAIYFTSSNLNVFQFLFMKLTFSNEWESETRPQELNTLLLAVKEYLVNLLMIICFNICVATGTTAVQSNNRILAWKFAAFTSVTVVRYIVIGFVAAIVVCIMFFIGSASVILRSCKDTFAQYPEPKGSIPNMREKKSYKAEFQPRIEEEEEEWDILDWDYAIVARRRKWFELFVTAWVAMFVAFFVTAGNDFLHTVKAYVCSDSLRMFPCYKDCVPCMIIDTAQCVEKSVQSHDLTTVGYLFVFLGIFAAPFLMGTYWGLWAFYLGIKEGFYRRVLDLPQYKYWVGWSAWCEYLLHRHNEAGHTRISNKSRYSGEHLPFAAEYSGNTLLRNLGIKNKGEEYKIRQLIHHVIRSRTANNFFTEKNHIIFHHIRQQSFPATYCDNEELDPLTEKDLRMPKKPLWRRFRSIQKDIRSMFKYRRPLYYIKRRFPMRVNMQEAYRGLVEWPAHLKVFIIDSNILSSEKEMQLGEARINREFVERKETQPNSKRYVLIYDIRCKGDRFYLGNSKNREPVTEGGAELRSADDSTSTLNGPHLLSDDDKCPSSVAELEDFLINVDKAMEQIANLIESFDVDSKPGDPLIQMDLQYGQLDVGGEPHFVKTKGNTIGSSSAEM
+>sp|P17342|ANPRC_HUMAN Atrial natriuretic peptide receptor 3 OS=Homo sapiens OX=9606 GN=NPR3 PE=1 SV=2
+MPSLLVLTFSPCVLLGWALLAGGTGGGGVGGGGGGAGIGGGRQEREALPPQKIEVLVLLPQDDSYLFSLTRVRPAIEYALRSVEGNGTGRRLLPPGTRFQVAYEDSDCGNRALFSLVDRVAAARGAKPDLILGPVCEYAAAPVARLASHWDLPMLSAGALAAGFQHKDSEYSHLTRVAPAYAKMGEMMLALFRHHHWSRAALVYSDDKLERNCYFTLEGVHEVFQEEGLHTSIYSFDETKDLDLEDIVRNIQASERVVIMCASSDTIRSIMLVAHRHGMTSGDYAFFNIELFNSSSYGDGSWKRGDKHDFEAKQAYSSLQTVTLLRTVKPEFEKFSMEVKSSVEKQGLNMEDYVNMFVEGFHDAILLYVLALHEVLRAGYSKKDGGKIIQQTWNRTFEGIAGQVSIDANGDRYGDFSVIAMTDVEAGTQEVIGDYFGKEGRFEMRPNVKYPWGPLKLRIDENRIVEHTNSSPCKSSGGLEESAVTGIVVGALLGAGLLMAFYFFRKKYRITIERRTQQEESNLGKHRELREDSIRSHFSVA
+>DECOY_sp|P17342|ANPRC_HUMAN Atrial natriuretic peptide receptor 3 OS=Homo sapiens OX=9606 GN=NPR3 PE=1 SV=2
+AVSFHSRISDERLERHKGLNSEEQQTRREITIRYKKRFFYFAMLLGAGLLAGVVIGTVASEELGGSSKCPSSNTHEVIRNEDIRLKLPGWPYKVNPRMEFRGEKGFYDGIVEQTGAEVDTMAIVSFDGYRDGNADISVQGAIGEFTRNWTQQIIKGGDKKSYGARLVEHLALVYLLIADHFGEVFMNVYDEMNLGQKEVSSKVEMSFKEFEPKVTRLLTVTQLSSYAQKAEFDHKDGRKWSGDGYSSSNFLEINFFAYDGSTMGHRHAVLMISRITDSSACMIVVRESAQINRVIDELDLDKTEDFSYISTHLGEEQFVEHVGELTFYCNRELKDDSYVLAARSWHHHRFLALMMEGMKAYAPAVRTLHSYESDKHQFGAALAGASLMPLDWHSALRAVPAAAYECVPGLILDPKAGRAAAVRDVLSFLARNGCDSDEYAVQFRTGPPLLRRGTGNGEVSRLAYEIAPRVRTLSFLYSDDQPLLVLVEIKQPPLAEREQRGGGIGAGGGGGGVGGGGTGGALLAWGLLVCPSFTLVLLSPM
+>sp|Q6UB98|ANR12_HUMAN Ankyrin repeat domain-containing protein 12 OS=Homo sapiens OX=9606 GN=ANKRD12 PE=1 SV=3
+MPKSGFTKPIQSENSDSDSNMVEKPYGRKSKDKIASYSKTPKIERSDVSKEMKEKSSMKRKLPFTISPSRNEERDSDTDSDPGHTSENWGERLISSYRTYSEKEGPEKKKTKKEAGNKKSTPVSILFGYPLSERKQMALLMQMTARDNSPDSTPNHPSQTTPAQKKTPSSSSRQKDKVNKRNERGETPLHMAAIRGDVKQVKELISLGANVNVKDFAGWTPLHEACNVGYYDVAKILIAAGADVNTQGLDDDTPLHDSASSGHRDIVKLLLRHGGNPFQANKHGERPVDVAETEELELLLKREVPLSDDDESYTDSEEAQSVNPSSVDENIDSETEKDSLICESKQILPSKTPLPSALDEYEFKDDDDEEINKMIDDRHILRKEQRKENEPEAEKTHLFAKQEKAFYPKSFKSKKQKPSRVLYSSTESSDEEALQNKKISTSCSVIPETSNSDMQTKKEYVVSGEHKQKGKVKRKLKNQNKNKENQELKQEKEGKENTRITNLTVNTGLDCSEKTREEGNFRKSFSPKDDTSLHLFHISTGKSPKHSCGLSEKQSTPLKQEHTKTCLSPGSSEMSLQPDLVRYDNTESEFLPESSSVKSCKHKEKSKHQKDFHLEFGEKSNAKIKDEDHSPTFENSDCTLKKMDKEGKTLKKHKLKHKEREKEKHKKEIEGEKEKYKTKDSAKELQRSVEFDREFWKENFFKSDETEDLFLNMEHESLTLEKKSKLEKNIKDDKSTKEKHVSKERNFKEERDKIKKESEKSFREEKIKDLKEERENIPTDKDSEFTSLGMSAIEESIGLHLVEKEIDIEKQEKHIKESKEKPEKRSQIKEKDIEKMERKTFEKEKKIKHEHKSEKDKLDLSECVDKIKEKDKLYSHHTEKCHKEGEKSKNTAAIKKTDDREKSREKMDRKHDKEKPEKERHLAESKEKHLMEKKNKQSDNSEYSKSEKGKNKEKDRELDKKEKSRDKESINITNSKHIQEEKKSSIVDGNKAQHEKPLSLKEKTKDEPLKTPDGKEKDKKDKDIDRYKERDKHKDKIQINSLLKLKSEADKPKPKSSPASKDTRPKEKRLVNDDLMQTSFERMLSLKDLEIEQWHKKHKEKIKQKEKERLRNRNCLELKIKDKEKTKHTPTESKNKELTRSKSSEVTDAYTKEKQPKDAVSNRSQSVDTKNVMTLGKSSFVSDNSLNRSPRSENEKPGLSSRSVSMISVASSEDSCHTTVTTPRPPVEYDSDFMLESSESQMSFSQSPFLSIAKSPALHERELDSLADLPERIKPPYANRLSTSHLRSSSVEDVKLIISEGRPTIEVRRCSMPSVICEHTKQFQTISEESNQGSLLTVPGDTSPSPKPEVFSNVPERDLSNVSNIHSSFATSPTGASNSKYVSADRNLIKNTAPVNTVMDSPVHLEPSSQVGVIQNKSWEMPVDRLETLSTRDFICPNSNIPDQESSLQSFCNSENKVLKENADFLSLRQTELPGNSCAQDPASFMPPQQPCSFPSQSLSDAESISKHMSLSYVANQEPGILQQKNAVQIISSALDTDNESTKDTENTFVLGDVQKTDAFVPVYSDSTIQEASPNFEKAYTLPVLPSEKDFNGSDASTQLNTHYAFSKLTYKSSSGHEVENSTTDTQVISHEKENKLESLVLTHLSRCDSDLCEMNAGMPKGNLNEQDPKHCPESEKCLLSIEDEESQQSILSSLENHSQQSTQPEMHKYGQLVKVELEENAEDDKTENQIPQRMTRNKANTMANQSKQILASCTLLSEKDSESSSPRGRIRLTEDDDPQIHHPRKRKVSRVPQPVQVSPSLLQAKEKTQQSLAAIVDSLKLDEIQPYSSERANPYFEYLHIRKKIEEKRKLLCSVIPQAPQYYDEYVTFNGSYLLDGNPLSKICIPTITPPPSLSDPLKELFRQQEVVRMKLRLQHSIEREKLIVSNEQEVLRVHYRAARTLANQTLPFSACTVLLDAEVYNVPLDSQSDDSKTSVRDRFNARQFMSWLQDVDDKFDKLKTCLLMRQQHEAAALNAVQRLEWQLKLQELDPATYKSISIYEIQEFYVPLVDVNDDFELTPI
+>DECOY_sp|Q6UB98|ANR12_HUMAN Ankyrin repeat domain-containing protein 12 OS=Homo sapiens OX=9606 GN=ANKRD12 PE=1 SV=3
+IPTLEFDDNVDVLPVYFEQIEYISISKYTAPDLEQLKLQWELRQVANLAAAEHQQRMLLCTKLKDFKDDVDQLWSMFQRANFRDRVSTKSDDSQSDLPVNYVEADLLVTCASFPLTQNALTRAARYHVRLVEQENSVILKEREISHQLRLKMRVVEQQRFLEKLPDSLSPPPTITPICIKSLPNGDLLYSGNFTVYEDYYQPAQPIVSCLLKRKEEIKKRIHLYEFYPNARESSYPQIEDLKLSDVIAALSQQTKEKAQLLSPSVQVPQPVRSVKRKRPHHIQPDDDETLRIRGRPSSSESDKESLLTCSALIQKSQNAMTNAKNRTMRQPIQNETKDDEANEELEVKVLQGYKHMEPQTSQQSHNELSSLISQQSEEDEISLLCKESEPCHKPDQENLNGKPMGANMECLDSDCRSLHTLVLSELKNEKEHSIVQTDTTSNEVEHGSSSKYTLKSFAYHTNLQTSADSGNFDKESPLVPLTYAKEFNPSAEQITSDSYVPVFADTKQVDGLVFTNETDKTSENDTDLASSIIQVANKQQLIGPEQNAVYSLSMHKSISEADSLSQSPFSCPQQPPMFSAPDQACSNGPLETQRLSLFDANEKLVKNESNCFSQLSSEQDPINSNPCIFDRTSLTELRDVPMEWSKNQIVGVQSSPELHVPSDMVTNVPATNKILNRDASVYKSNSAGTPSTAFSSHINSVNSLDREPVNSFVEPKPSPSTDGPVTLLSGQNSEESITQFQKTHECIVSPMSCRRVEITPRGESIILKVDEVSSSRLHSTSLRNAYPPKIREPLDALSDLEREHLAPSKAISLFPSQSFSMQSESSELMFDSDYEVPPRPTTVTTHCSDESSAVSIMSVSRSSLGPKENESRPSRNLSNDSVFSSKGLTMVNKTDVSQSRNSVADKPQKEKTYADTVESSKSRTLEKNKSETPTHKTKEKDKIKLELCNRNRLREKEKQKIKEKHKKHWQEIELDKLSLMREFSTQMLDDNVLRKEKPRTDKSAPSSKPKPKDAESKLKLLSNIQIKDKHKDREKYRDIDKDKKDKEKGDPTKLPEDKTKEKLSLPKEHQAKNGDVISSKKEEQIHKSNTINISEKDRSKEKKDLERDKEKNKGKESKSYESNDSQKNKKEMLHKEKSEALHREKEPKEKDHKRDMKERSKERDDTKKIAATNKSKEGEKHCKETHHSYLKDKEKIKDVCESLDLKDKESKHEHKIKKEKEFTKREMKEIDKEKIQSRKEPKEKSEKIHKEQKEIDIEKEVLHLGISEEIASMGLSTFESDKDTPINEREEKLDKIKEERFSKESEKKIKDREEKFNREKSVHKEKTSKDDKINKELKSKKELTLSEHEMNLFLDETEDSKFFNEKWFERDFEVSRQLEKASDKTKYKEKEGEIEKKHKEKEREKHKLKHKKLTKGEKDMKKLTCDSNEFTPSHDEDKIKANSKEGFELHFDKQHKSKEKHKCSKVSSSEPLFESETNDYRVLDPQLSMESSGPSLCTKTHEQKLPTSQKESLGCSHKPSKGTSIHFLHLSTDDKPSFSKRFNGEERTKESCDLGTNVTLNTIRTNEKGEKEQKLEQNEKNKNQNKLKRKVKGKQKHEGSVVYEKKTQMDSNSTEPIVSCSTSIKKNQLAEEDSSETSSYLVRSPKQKKSKFSKPYFAKEQKAFLHTKEAEPENEKRQEKRLIHRDDIMKNIEEDDDDKFEYEDLASPLPTKSPLIQKSECILSDKETESDINEDVSSPNVSQAEESDTYSEDDDSLPVERKLLLELEETEAVDVPREGHKNAQFPNGGHRLLLKVIDRHGSSASDHLPTDDDLGQTNVDAGAAILIKAVDYYGVNCAEHLPTWGAFDKVNVNAGLSILEKVQKVDGRIAAMHLPTEGRENRKNVKDKQRSSSSPTKKQAPTTQSPHNPTSDPSNDRATMQMLLAMQKRESLPYGFLISVPTSKKNGAEKKTKKKEPGEKESYTRYSSILREGWNESTHGPDSDTDSDREENRSPSITFPLKRKMSSKEKMEKSVDSREIKPTKSYSAIKDKSKRGYPKEVMNSDSDSNESQIPKTFGSKPM
+>sp|Q5VYY1|ANR22_HUMAN Ankyrin repeat domain-containing protein 22 OS=Homo sapiens OX=9606 GN=ANKRD22 PE=2 SV=1
+MGILYSEPICQAAYQNDFGQVWRWVKEDSSYANVQDGFNGDTPLICACRRGHVRIVSFLLRRNANVNLKNQKERTCLHYAVKKKFTFIDYLLIILLMPVLLIGYFLMVSKTKQNEALVRMLLDAGVEVNATDCYGCTALHYACEMKNQSLIPLLLEARADPTIKNKHGESSLDIARRLKFSQIELMLRKAL
+>DECOY_sp|Q5VYY1|ANR22_HUMAN Ankyrin repeat domain-containing protein 22 OS=Homo sapiens OX=9606 GN=ANKRD22 PE=2 SV=1
+LAKRLMLEIQSFKLRRAIDLSSEGHKNKITPDARAELLLPILSQNKMECAYHLATCGYCDTANVEVGADLLMRVLAENQKTKSVMLFYGILLVPMLLIILLYDIFTFKKKVAYHLCTREKQNKLNVNANRRLLFSVIRVHGRRCACILPTDGNFGDQVNAYSSDEKVWRWVQGFDNQYAAQCIPESYLIGM
+>sp|Q8N7Z5|ANR31_HUMAN Putative ankyrin repeat domain-containing protein 31 OS=Homo sapiens OX=9606 GN=ANKRD31 PE=5 SV=2
+MEEGVQAPDWDSDETVIEGSVTESDLEEKELPWRRLLFDQDASLKSEFSLHPDTRGMCKGMPSPEIQLGFKLREDLQEQMNKNKMMPVLSEDTILQSQDETERNQALLQTRKNCSMFIGSFRQSGLSLNHQNIEGPEAESPEVLPHIEKELSEGRDSPEVSLLSGTAITVSDTVAVKETSLVEPEKILAAPNTFFEPRKEVTMTMTSEETKDEESSLETFVSALESLLTSPESTQEERLFELVSDFDRKELMNPLSDSLSSISIPLNSWSACHRDLLEDAKDDALPAELLEALNTLSEAKVETICHRKEGGSSLIARNECLEVEFNTSQTNEDCTQIAETLQDPNPSGLQTLAHQNITSCEPLSNKRNSNSVTNSSDQETACVLRRSSRLEKLKVSRDAKYSDHMYKMPEKILPKILGCEDLTNNNSSAQNFRMQDPALMIDGKEKNMHSARFKNGKQIRKNEQFSGKKEKMKVNKISLHSINRRNIFGENLVYKAALHDDADLVHHCIKKGGNVNQPSYAGWTALHEASVGGFYRTASELLKGGADVNIKGLYQITPLHDAVMNGHYKVAELLLLNGADPLFRNDDGKCALDEAKDLCMKRLLERYIPKHQKCLTSAQRSSIDPLDIEDVYQHKKPKFSSKSHIWHVYNENSNRQKLEHVKVNKGSKASLFINKEDVYEYYQKDPKNTKFGKSKHKQSTLDQIYSTGLRKGNLHNVKDPNTNVPKGIGRRKTQHKRTQVDDVDCNPRKILAVSPSRRINRLVTYQQHIPETHNDLPEELCEPSSLTLSSLRNGLDSSTEACSVSKEKHIQNLDLSDSQEVQCLELESVDQTEAVSFPGLLLHKEIKLPVVTTDKQPHTLQEQHHVLYKSHENSNLVPKDERFNKWENSFLSFVKENSDNDDDDDCSTSEKAITSKKVLCSTGGKKHYNFKENLTNKKEMGFQQFLLSEDHLSQENELKAVSLTTLPEQEAVNFSYSDNAVISEHVANYEQCIFGPSFDHSNGNPEQNSLACMRTLLTHEASKLTNHVELFKKPQDYIPRAPTFLMNQTDTHIVEKMAKNCDTERNYIDRDQKIIYSNEPLSIVAHSQVIETTKVEKRRQNHLESETIHNIDSHSTDNMSKELANISKLSQREKKEISHKPGMKAGRINKRNARGESQLHLAVRRGNLPLVKALIESGADVNLNDNAGWTPLHEASNEGSIDIIVELLKAGAKVNCENIDGILPLHDAVANNHLKAAEILLQNGANPNQKDQKQKSALDEADDEKMKELLRSYGAIETVNRDESDAIVNEKIPAVRSKRHKQCFCDDGKTIDSSSLSHQERSRESLSVHQTLSAILQDIEEKQEYLLEFEIRNPEDAEQYIEKMLKIKKIMDNVLAKQKAERDDLAKKYRVSIESFKHGALREQLANLAARQKSLLVVAKKQKKISLKIQNCRNVTSLPCLSLRKLPPRSEISSEKDSQELTSLENLEHPQSGSLSPVSGSMQETQLSLETWNYSQNTNICLNSEAVRRGEFSGNDMNSKQNGSDCTLDGFPKSRHSDGTEKNKLPSQPVAFIGQTEYSQKENDLTEATDKDHEFYVSSPVIGKLNISETASVLAENAAHPSNIICDQDLSNYDPKRGNRKTSSQQSPTGASESLAHQGIAVLGSDTVHQMKPYLKKSVSVVPCADDSQISSSSGSGQQDTIKKALNYSTAPKKKCIQIKDLILLGRINPGNNILEFKTQETTHKASILLNGKLKVESGQIYKNPVTWLKDLLGGNSYVTWNYAWSKVTYLGKELLRYVSEDAPILPEPNSVPQQYQPCLPEVACLDDPVQEPNKSMFEKTKFGQGTSRESMQSSPRYLQINEILLISDQEFLPCHIMDQHWKFCVECEELTP
+>DECOY_sp|Q8N7Z5|ANR31_HUMAN Putative ankyrin repeat domain-containing protein 31 OS=Homo sapiens OX=9606 GN=ANKRD31 PE=5 SV=2
+PTLEECEVCFKWHQDMIHCPLFEQDSILLIENIQLYRPSSQMSERSTGQGFKTKEFMSKNPEQVPDDLCAVEPLCPQYQQPVSNPEPLIPADESVYRLLEKGLYTVKSWAYNWTVYSNGGLLDKLWTVPNKYIQGSEVKLKGNLLISAKHTTEQTKFELINNGPNIRGLLILDKIQICKKKPATSYNLAKKITDQQGSGSSSSIQSDDACPVVSVSKKLYPKMQHVTDSGLVAIGQHALSESAGTPSQQSSTKRNGRKPDYNSLDQDCIINSPHAANEALVSATESINLKGIVPSSVYFEHDKDTAETLDNEKQSYETQGIFAVPQSPLKNKETGDSHRSKPFGDLTCDSGNQKSNMDNGSFEGRRVAESNLCINTNQSYNWTELSLQTEQMSGSVPSLSGSQPHELNELSTLEQSDKESSIESRPPLKRLSLCPLSTVNRCNQIKLSIKKQKKAVVLLSKQRAALNALQERLAGHKFSEISVRYKKALDDREAKQKALVNDMIKKIKLMKEIYQEADEPNRIEFELLYEQKEEIDQLIASLTQHVSLSERSREQHSLSSSDITKGDDCFCQKHRKSRVAPIKENVIADSEDRNVTEIAGYSRLLEKMKEDDAEDLASKQKQDKQNPNAGNQLLIEAAKLHNNAVADHLPLIGDINECNVKAGAKLLEVIIDISGENSAEHLPTWGANDNLNVDAGSEILAKVLPLNGRRVALHLQSEGRANRKNIRGAKMGPKHSIEKKERQSLKSINALEKSMNDTSHSDINHITESELHNQRRKEVKTTEIVQSHAVISLPENSYIIKQDRDIYNRETDCNKAMKEVIHTDTQNMLFTPARPIYDQPKKFLEVHNTLKSAEHTLLTRMCALSNQEPNGNSHDFSPGFICQEYNAVHESIVANDSYSFNVAEQEPLTTLSVAKLENEQSLHDESLLFQQFGMEKKNTLNEKFNYHKKGGTSCLVKKSTIAKESTSCDDDDDNDSNEKVFSLFSNEWKNFREDKPVLNSNEHSKYLVHHQEQLTHPQKDTTVVPLKIEKHLLLGPFSVAETQDVSELELCQVEQSDSLDLNQIHKEKSVSCAETSSDLGNRLSSLTLSSPECLEEPLDNHTEPIHQQYTVLRNIRRSPSVALIKRPNCDVDDVQTRKHQTKRRGIGKPVNTNPDKVNHLNGKRLGTSYIQDLTSQKHKSKGFKTNKPDKQYYEYVDEKNIFLSAKSGKNVKVHELKQRNSNENYVHWIHSKSSFKPKKHQYVDEIDLPDISSRQASTLCKQHKPIYRELLRKMCLDKAEDLACKGDDNRFLPDAGNLLLLEAVKYHGNMVADHLPTIQYLGKINVDAGGKLLESATRYFGGVSAEHLATWGAYSPQNVNGGKKICHHVLDADDHLAAKYVLNEGFINRRNISHLSIKNVKMKEKKGSFQENKRIQKGNKFRASHMNKEKGDIMLAPDQMRFNQASSNNNTLDECGLIKPLIKEPMKYMHDSYKADRSVKLKELRSSRRLVCATEQDSSNTVSNSNRKNSLPECSTINQHALTQLGSPNPDQLTEAIQTCDENTQSTNFEVELCENRAILSSGGEKRHCITEVKAESLTNLAELLEAPLADDKADELLDRHCASWSNLPISISSLSDSLPNMLEKRDFDSVLEFLREEQTSEPSTLLSELASVFTELSSEEDKTEESTMTMTVEKRPEFFTNPAALIKEPEVLSTEKVAVTDSVTIATGSLLSVEPSDRGESLEKEIHPLVEPSEAEPGEINQHNLSLGSQRFSGIFMSCNKRTQLLAQNRETEDQSQLITDESLVPMMKNKNMQEQLDERLKFGLQIEPSPMGKCMGRTDPHLSFESKLSADQDFLLRRWPLEKEELDSETVSGEIVTEDSDWDPAQVGEEM
+>sp|P28332|ADH6_HUMAN Alcohol dehydrogenase 6 OS=Homo sapiens OX=9606 GN=ADH6 PE=1 SV=2
+MCTTGQVIRCKAAILWKPGAPFSIEEVEVAPPKAKEVRIKVVATGLCGTEMKVLGSKHLDLLYPTILGHEGAGIVESIGEGVSTVKPGDKVITLFLPQCGECTSCLNSEGNFCIQFKQSKTQLMSDGTSRFTCKGKSIYHFGNTSTFCEYTVIKEISVAKIDAVAPLEKVCLISCGFSTGFGAAINTAKVTPGSTCAVFGLGGVGLSVVMGCKAAGAARIIGVDVNKEKFKKAQELGATECLNPQDLKKPIQEVLFDMTDAGIDFCFEAIGNLDVLAAALASCNESYGVCVVVGVLPASVQLKISGQLFFSGRSLKGSVFGGWKSRQHIPKLVADYMAEKLNLDPLITHTLNLDKINEAVELMKTGKW
+>DECOY_sp|P28332|ADH6_HUMAN Alcohol dehydrogenase 6 OS=Homo sapiens OX=9606 GN=ADH6 PE=1 SV=2
+WKGTKMLEVAENIKDLNLTHTILPDLNLKEAMYDAVLKPIHQRSKWGGFVSGKLSRGSFFLQGSIKLQVSAPLVGVVVCVGYSENCSALAAALVDLNGIAEFCFDIGADTMDFLVEQIPKKLDQPNLCETAGLEQAKKFKEKNVDVGIIRAAGAAKCGMVVSLGVGGLGFVACTSGPTVKATNIAAGFGTSFGCSILCVKELPAVADIKAVSIEKIVTYECFTSTNGFHYISKGKCTFRSTGDSMLQTKSQKFQICFNGESNLCSTCEGCQPLFLTIVKDGPKVTSVGEGISEVIGAGEHGLITPYLLDLHKSGLVKMETGCLGTAVVKIRVEKAKPPAVEVEEISFPAGPKWLIAAKCRIVQGTTCM
+>sp|P40394|ADH7_HUMAN Alcohol dehydrogenase class 4 mu/sigma chain OS=Homo sapiens OX=9606 GN=ADH7 PE=1 SV=2
+MFAEIQIQDKDRMGTAGKVIKCKAAVLWEQKQPFSIEEIEVAPPKTKEVRIKILATGICRTDDHVIKGTMVSKFPVIVGHEATGIVESIGEGVTTVKPGDKVIPLFLPQCRECNACRNPDGNLCIRSDITGRGVLADGTTRFTCKGKPVHHFMNTSTFTEYTVVDESSVAKIDDAAPPEKVCLIGCGFSTGYGAAVKTGKVKPGSTCVVFGLGGVGLSVIMGCKSAGASRIIGIDLNKDKFEKAMAVGATECISPKDSTKPISEVLSEMTGNNVGYTFEVIGHLETMIDALASCHMNYGTSVVVGVPPSAKMLTYDPMLLFTGRTWKGCVFGGLKSRDDVPKLVTEFLAKKFDLDQLITHVLPFKKISEGFELLNSGQSIRTVLTF
+>DECOY_sp|P40394|ADH7_HUMAN Alcohol dehydrogenase class 4 mu/sigma chain OS=Homo sapiens OX=9606 GN=ADH7 PE=1 SV=2
+FTLVTRISQGSNLLEFGESIKKFPLVHTILQDLDFKKALFETVLKPVDDRSKLGGFVCGKWTRGTFLLMPDYTLMKASPPVGVVVSTGYNMHCSALADIMTELHGIVEFTYGVNNGTMESLVESIPKTSDKPSICETAGVAMAKEFKDKNLDIGIIRSAGASKCGMIVSLGVGGLGFVVCTSGPKVKGTKVAAGYGTSFGCGILCVKEPPAADDIKAVSSEDVVTYETFTSTNMFHHVPKGKCTFRTTGDALVGRGTIDSRICLNGDPNRCANCERCQPLFLPIVKDGPKVTTVGEGISEVIGTAEHGVIVPFKSVMTGKIVHDDTRCIGTALIKIRVEKTKPPAVEIEEISFPQKQEWLVAAKCKIVKGATGMRDKDQIQIEAFM
+>sp|Q15848|ADIPO_HUMAN Adiponectin OS=Homo sapiens OX=9606 GN=ADIPOQ PE=1 SV=1
+MLLLGAVLLLLALPGHDQETTTQGPGVLLPLPKGACTGWMAGIPGHPGHNGAPGRDGRDGTPGEKGEKGDPGLIGPKGDIGETGVPGAEGPRGFPGIQGRKGEPGEGAYVYRSAFSVGLETYVTIPNMPIRFTKIFYNQQNHYDGSTGKFHCNIPGLYYFAYHITVYMKDVKVSLFKKDKAMLFTYDQYQENNVDQASGSVLLHLEVGDQVWLQVYGEGERNGLYADNDNDSTFTGFLLYHDTN
+>DECOY_sp|Q15848|ADIPO_HUMAN Adiponectin OS=Homo sapiens OX=9606 GN=ADIPOQ PE=1 SV=1
+NTDHYLLFGTFTSDNDNDAYLGNREGEGYVQLWVQDGVELHLLVSGSAQDVNNEQYQDYTFLMAKDKKFLSVKVDKMYVTIHYAFYYLGPINCHFKGTSGDYHNQQNYFIKTFRIPMNPITVYTELGVSFASRYVYAGEGPEGKRGQIGPFGRPGEAGPVGTEGIDGKPGILGPDGKEGKEGPTGDRGDRGPAGNHGPHGPIGAMWGTCAGKPLPLLVGPGQTTTEQDHGPLALLLLVAGLLLM
+>sp|Q7Z4H4|ADM2_HUMAN ADM2 OS=Homo sapiens OX=9606 GN=ADM2 PE=2 SV=1
+MARIPTAALGCISLLCLQLPGSLSRSLGGDPRPVKPREPPARSPSSSLQPRHPAPRPVVWKLHRALQAQRGAGLAPVMGQPLRDGGRQHSGPRRHSGPRRTQAQLLRVGCVLGTCQVQNLSHRLWQLMGPAGRQDSAPVDPSSPHSYG
+>DECOY_sp|Q7Z4H4|ADM2_HUMAN ADM2 OS=Homo sapiens OX=9606 GN=ADM2 PE=2 SV=1
+GYSHPSSPDVPASDQRGAPGMLQWLRHSLNQVQCTGLVCGVRLLQAQTRRPGSHRRPGSHQRGGDRLPQGMVPALGAGRQAQLARHLKWVVPRPAPHRPQLSSSPSRAPPERPKVPRPDGGLSRSLSGPLQLCLLSICGLAATPIRAM
+>sp|P55197|AF10_HUMAN Protein AF-10 OS=Homo sapiens OX=9606 GN=MLLT10 PE=1 SV=2
+MVSSDRPVSLEDEVSHSMKEMIGGCCVCSDERGWAENPLVYCDGHGCSVAVHQACYGIVQVPTGPWFCRKCESQERAARVRCELCPHKDGALKRTDNGGWAHVVCALYIPEVQFANVSTMEPIVLQSVPHDRYNKTCYICDEQGRESKAATGACMTCNKHGCRQAFHVTCAQFAGLLCEEEGNGADNVQYCGYCKYHFSKLKKSKRGSNRSYDQSLSDSSSHSQDKHHEKEKKKYKEKDKHKQKHKKQPEPSPALVPSLTVTTEKTYTSTSNNSISGSLKRLEDTTARFTNANFQEVSAHTSSGKDVSETRGSEGKGKKSSAHSSGQRGRKPGGGRNPGTTVSAASPFPQGSFSGTPGSVKSSSGSSVQSPQDFLSFTDSDLRNDSYSHSQQSSATKDVHKGESGSQEGGVNSFSTLIGLPSTSAVTSQPKSFENSPGDLGNSSLPTAGYKRAQTSGIEEETVKEKKRKGNKQSKHGPGRPKGNKNQENVSHLSVSSASPTSSVASAAGSITSSSLQKSPTLLRNGSLQSLSVGSSPVGSEISMQYRHDGACPTTTFSELLNAIHNGIYNSNDVAVSFPNVVSGSGSSTPVSSSHLPQQSSGHLQQVGALSPSAVSSAAPAVATTQANTLSGSSLSQAPSHMYGNRSNSSMAALIAQSENNQTDQDLGDNSRNLVGRGSSPRGSLSPRSPVSSLQIRYDQPGNSSLENLPPVAASIEQLLERQWSEGQQFLLEQGTPSDILGMLKSLHQLQVENRRLEEQIKNLTAKKERLQLLNAQLSVPFPTITANPSPSHQIHTFSAQTAPTTDSLNSSKSPHIGNSFLPDNSLPVLNQDLTSSGQSTSSSSALSTPPPAGQSPAQQGSGVSGVQQVNGVTVGALASGMQPVTSTIPAVSAVGGIIGALPGNQLAINGIVGALNGVMQTPVTMSQNPTPLTHTTVPPNATHPMPATLTNSASGLGLLSDQQRQILIHQQQFQQLLNSQQLTPEQHQAFLYQLMQHHHQQHHQPELQQLQIPGPTQIPINNLLAGTQAPPLHTATTNPFLTIHGDNASQKVARLSDKTGPVAQEKS
+>DECOY_sp|P55197|AF10_HUMAN Protein AF-10 OS=Homo sapiens OX=9606 GN=MLLT10 PE=1 SV=2
+SKEQAVPGTKDSLRAVKQSANDGHITLFPNTTATHLPPAQTGALLNNIPIQTPGPIQLQQLEPQHHQQHHHQMLQYLFAQHQEPTLQQSNLLQQFQQQHILIQRQQDSLLGLGSASNTLTAPMPHTANPPVTTHTLPTPNQSMTVPTQMVGNLAGVIGNIALQNGPLAGIIGGVASVAPITSTVPQMGSALAGVTVGNVQQVGSVGSGQQAPSQGAPPPTSLASSSSTSQGSSTLDQNLVPLSNDPLFSNGIHPSKSSNLSDTTPATQASFTHIQHSPSPNATITPFPVSLQANLLQLREKKATLNKIQEELRRNEVQLQHLSKLMGLIDSPTGQELLFQQGESWQRELLQEISAAVPPLNELSSNGPQDYRIQLSSVPSRPSLSGRPSSGRGVLNRSNDGLDQDTQNNESQAILAAMSSNSRNGYMHSPAQSLSSGSLTNAQTTAVAPAASSVASPSLAGVQQLHGSSQQPLHSSSVPTSSGSGSVVNPFSVAVDNSNYIGNHIANLLESFTTTPCAGDHRYQMSIESGVPSSGVSLSQLSGNRLLTPSKQLSSSTISGAASAVSSTPSASSVSLHSVNEQNKNGKPRGPGHKSQKNGKRKKEKVTEEEIGSTQARKYGATPLSSNGLDGPSNEFSKPQSTVASTSPLGILTSFSNVGGEQSGSEGKHVDKTASSQQSHSYSDNRLDSDTFSLFDQPSQVSSGSSSKVSGPTGSFSGQPFPSAASVTTGPNRGGGPKRGRQGSSHASSKKGKGESGRTESVDKGSSTHASVEQFNANTFRATTDELRKLSGSISNNSTSTYTKETTVTLSPVLAPSPEPQKKHKQKHKDKEKYKKKEKEHHKDQSHSSSDSLSQDYSRNSGRKSKKLKSFHYKCYGCYQVNDAGNGEEECLLGAFQACTVHFAQRCGHKNCTMCAGTAAKSERGQEDCIYCTKNYRDHPVSQLVIPEMTSVNAFQVEPIYLACVVHAWGGNDTRKLAGDKHPCLECRVRAAREQSECKRCFWPGTPVQVIGYCAQHVAVSCGHGDCYVLPNEAWGREDSCVCCGGIMEKMSHSVEDELSVPRDSSVM
+>sp|Q9H9G7|AGO3_HUMAN Protein argonaute-3 OS=Homo sapiens OX=9606 GN=AGO3 PE=1 SV=2
+MEIGSAGPAGAQPLLMVPRRPGYGTMGKPIKLLANCFQVEIPKIDVYLYEVDIKPDKCPRRVNREVVDSMVQHFKVTIFGDRRPVYDGKRSLYTANPLPVATTGVDLDVTLPGEGGKDRPFKVSIKFVSRVSWHLLHEVLTGRTLPEPLELDKPISTNPVHAVDVVLRHLPSMKYTPVGRSFFSAPEGYDHPLGGGREVWFGFHQSVRPAMWKMMLNIDVSATAFYKAQPVIQFMCEVLDIHNIDEQPRPLTDSHRVKFTKEIKGLKVEVTHCGTMRRKYRVCNVTRRPASHQTFPLQLENGQTVERTVAQYFREKYTLQLKYPHLPCLQVGQEQKHTYLPLEVCNIVAGQRCIKKLTDNQTSTMIKATARSAPDRQEEISRLVRSANYETDPFVQEFQFKVRDEMAHVTGRVLPAPMLQYGGRNRTVATPSHGVWDMRGKQFHTGVEIKMWAIACFATQRQCREEILKGFTDQLRKISKDAGMPIQGQPCFCKYAQGADSVEPMFRHLKNTYSGLQLIIVILPGKTPVYAEVKRVGDTLLGMATQCVQVKNVIKTSPQTLSNLCLKINVKLGGINNILVPHQRPSVFQQPVIFLGADVTHPPAGDGKKPSIAAVVGSMDAHPSRYCATVRVQRPRQEIIQDLASMVRELLIQFYKSTRFKPTRIIFYRDGVSEGQFRQVLYYELLAIREACISLEKDYQPGITYIVVQKRHHTRLFCADRTERVGRSGNIPAGTTVDTDITHPYEFDFYLCSHAGIQGTSRPSHYHVLWDDNCFTADELQLLTYQLCHTYVRCTRSVSIPAPAYYAHLVAFRARYHLVDKEHDSAEGSHVSGQSNGRDPQALAKAVQIHQDTLRTMYFA
+>DECOY_sp|Q9H9G7|AGO3_HUMAN Protein argonaute-3 OS=Homo sapiens OX=9606 GN=AGO3 PE=1 SV=2
+AFYMTRLTDQHIQVAKALAQPDRGNSQGSVHSGEASDHEKDVLHYRARFAVLHAYYAPAPISVSRTCRVYTHCLQYTLLQLEDATFCNDDWLVHYHSPRSTGQIGAHSCLYFDFEYPHTIDTDVTTGAPINGSRGVRETRDACFLRTHHRKQVVIYTIGPQYDKELSICAERIALLEYYLVQRFQGESVGDRYFIIRTPKFRTSKYFQILLERVMSALDQIIEQRPRQVRVTACYRSPHADMSGVVAAISPKKGDGAPPHTVDAGLFIVPQQFVSPRQHPVLINNIGGLKVNIKLCLNSLTQPSTKIVNKVQVCQTAMGLLTDGVRKVEAYVPTKGPLIVIILQLGSYTNKLHRFMPEVSDAGQAYKCFCPQGQIPMGADKSIKRLQDTFGKLIEERCQRQTAFCAIAWMKIEVGTHFQKGRMDWVGHSPTAVTRNRGGYQLMPAPLVRGTVHAMEDRVKFQFEQVFPDTEYNASRVLRSIEEQRDPASRATAKIMTSTQNDTLKKICRQGAVINCVELPLYTHKQEQGVQLCPLHPYKLQLTYKERFYQAVTREVTQGNELQLPFTQHSAPRRTVNCVRYKRRMTGCHTVEVKLGKIEKTFKVRHSDTLPRPQEDINHIDLVECMFQIVPQAKYFATASVDINLMMKWMAPRVSQHFGFWVERGGGLPHDYGEPASFFSRGVPTYKMSPLHRLVVDVAHVPNTSIPKDLELPEPLTRGTLVEHLLHWSVRSVFKISVKFPRDKGGEGPLTVDLDVGTTAVPLPNATYLSRKGDYVPRRDGFITVKFHQVMSDVVERNVRRPCKDPKIDVEYLYVDIKPIEVQFCNALLKIPKGMTGYGPRRPVMLLPQAGAPGASGIEM
+>sp|Q6QNK2|AGRD1_HUMAN Adhesion G-protein coupled receptor D1 OS=Homo sapiens OX=9606 GN=ADGRD1 PE=1 SV=1
+MEKLLRLCCWYSWLLLFYYNFQVRGVYSRSQDHPGFQVLASASHYWPLENVDGIHELQDTTGDIVEGKVNKGIYLKEEKGVTLLYYGRYNSSCISKPEQCGPEGVTFSFFWKTQGEQSRPIPSAYGGQVISNGFKVCSSGGRGSVELYTRDNSMTWEASFSPPGPYWTHVLFTWKSKEGLKVYVNGTLSTSDPSGKVSRDYGESNVNLVIGSEQDQAKCYENGAFDEFIIWERALTPDEIAMYFTAAIGKHALLSSTLPSLFMTSTASPVMPTDAYHPIITNLTEERKTFQSPGVILSYLQNVSLSLPSKSLSEQTALNLTKTFLKAVGEILLLPGWIALSEDSAVVLSLIDTIDTVMGHVSSNLHGSTPQVTVEGSSAMAEFSVAKILPKTVNSSHYRFPAHGQSFIQIPHEAFHRHAWSTVVGLLYHSMHYYLNNIWPAHTKIAEAMHHQDCLLFATSHLISLEVSPPPTLSQNLSGSPLITVHLKHRLTRKQHSEATNSSNRVFVYCAFLDFSSGEGVWSNHGCALTRGNLTYSVCRCTHLTNFAILMQVVPLELARGHQVALSSISYVGCSLSVLCLVATLVTFAVLSSVSTIRNQRYHIHANLSFAVLVAQVLLLISFRLEPGTTPCQVMAVLLHYFFLSAFAWMLVEGLHLYSMVIKVFGSEDSKHRYYYGMGWGFPLLICIISLSFAMDSYGTSNNCWLSLASGAIWAFVAPALFVIVVNIGILIAVTRVISQISADNYKIHGDPSAFKLTAKAVAVLLPILGTSWVFGVLAVNGCAVVFQYMFATLNSLQGLFIFLFHCLLNSEVRAAFKHKTKVWSLTSSSARTSNAKPFHSDLMNGTRPGMASTKLSPWDKSSHSAHRVDLSAV
+>DECOY_sp|Q6QNK2|AGRD1_HUMAN Adhesion G-protein coupled receptor D1 OS=Homo sapiens OX=9606 GN=ADGRD1 PE=1 SV=1
+VASLDVRHASHSSKDWPSLKTSAMGPRTGNMLDSHFPKANSTRASSSTLSWVKTKHKFAARVESNLLCHFLFIFLGQLSNLTAFMYQFVVACGNVALVGFVWSTGLIPLLVAVAKATLKFASPDGHIKYNDASIQSIVRTVAILIGINVVIVFLAPAVFAWIAGSALSLWCNNSTGYSDMAFSLSIICILLPFGWGMGYYYRHKSDESGFVKIVMSYLHLGEVLMWAFASLFFYHLLVAMVQCPTTGPELRFSILLLVQAVLVAFSLNAHIHYRQNRITSVSSLVAFTVLTAVLCLVSLSCGVYSISSLAVQHGRALELPVVQMLIAFNTLHTCRCVSYTLNGRTLACGHNSWVGEGSSFDLFACYVFVRNSSNTAESHQKRTLRHKLHVTILPSGSLNQSLTPPPSVELSILHSTAFLLCDQHHMAEAIKTHAPWINNLYYHMSHYLLGVVTSWAHRHFAEHPIQIFSQGHAPFRYHSSNVTKPLIKAVSFEAMASSGEVTVQPTSGHLNSSVHGMVTDITDILSLVVASDESLAIWGPLLLIEGVAKLFTKTLNLATQESLSKSPLSLSVNQLYSLIVGPSQFTKREETLNTIIPHYADTPMVPSATSTMFLSPLTSSLLAHKGIAATFYMAIEDPTLAREWIIFEDFAGNEYCKAQDQESGIVLNVNSEGYDRSVKGSPDSTSLTGNVYVKLGEKSKWTFLVHTWYPGPPSFSAEWTMSNDRTYLEVSGRGGSSCVKFGNSIVQGGYASPIPRSQEGQTKWFFSFTVGEPGCQEPKSICSSNYRGYYLLTVGKEEKLYIGKNVKGEVIDGTTDQLEHIGDVNELPWYHSASALVQFGPHDQSRSYVGRVQFNYYFLLLWSYWCCLRLLKEM
+>sp|Q9UHX3|AGRE2_HUMAN Adhesion G protein-coupled receptor E2 OS=Homo sapiens OX=9606 GN=ADGRE2 PE=1 SV=2
+MGGRVFLVFLAFCVWLTLPGAETQDSRGCARWCPQDSSCVNATACRCNPGFSSFSEIITTPMETCDDINECATLSKVSCGKFSDCWNTEGSYDCVCSPGYEPVSGAKTFKNESENTCQDVDECQQNPRLCKSYGTCVNTLGSYTCQCLPGFKLKPEDPKLCTDVNECTSGQNPCHSSTHCLNNVGSYQCRCRPGWQPIPGSPNGPNNTVCEDVDECSSGQHQCDSSTVCFNTVGSYSCRCRPGWKPRHGIPNNQKDTVCEDMTFSTWTPPPGVHSQTLSRFFDKVQDLGRDYKPGLANNTIQSILQALDELLEAPGDLETLPRLQQHCVASHLLDGLEDVLRGLSKNLSNGLLNFSYPAGTELSLEVQKQVDRSVTLRQNQAVMQLDWNQAQKSGDPGPSVVGLVSIPGMGKLLAEAPLVLEPEKQMLLHETHQGLLQDGSPILLSDVISAFLSNNDTQNLSSPVTFTFSHRSVIPRQKVLCVFWEHGQNGCGHWATTGCSTIGTRDTSTICRCTHLSSFAVLMAHYDVQEEDPVLTVITYMGLSVSLLCLLLAALTFLLCKAIQNTSTSLHLQLSLCLFLAHLLFLVAIDQTGHKVLCSIIAGTLHYLYLATLTWMLLEALYLFLTARNLTVVNYSSINRFMKKLMFPVGYGVPAVTVAISAASRPHLYGTPSRCWLQPEKGFIWGFLGPVCAIFSVNLVLFLVTLWILKNRLSSLNSEVSTLRNTRMLAFKATAQLFILGCTWCLGILQVGPAARVMAYLFTIINSLQGVFIFLVYCLLSQQVREQYGKWSKGIRKLKTESEMHTLSSSAKADTSKPSTVN
+>DECOY_sp|Q9UHX3|AGRE2_HUMAN Adhesion G protein-coupled receptor E2 OS=Homo sapiens OX=9606 GN=ADGRE2 PE=1 SV=2
+NVTSPKSTDAKASSSLTHMESETKLKRIGKSWKGYQERVQQSLLCYVLFIFVGQLSNIITFLYAMVRAAPGVQLIGLCWTCGLIFLQATAKFALMRTNRLTSVESNLSSLRNKLIWLTVLFLVLNVSFIACVPGLFGWIFGKEPQLWCRSPTGYLHPRSAASIAVTVAPVGYGVPFMLKKMFRNISSYNVVTLNRATLFLYLAELLMWTLTALYLYHLTGAIISCLVKHGTQDIAVLFLLHALFLCLSLQLHLSTSTNQIAKCLLFTLAALLLCLLSVSLGMYTIVTLVPDEEQVDYHAMLVAFSSLHTCRCITSTDRTGITSCGTTAWHGCGNQGHEWFVCLVKQRPIVSRHSFTFTVPSSLNQTDNNSLFASIVDSLLIPSGDQLLGQHTEHLLMQKEPELVLPAEALLKGMGPISVLGVVSPGPDGSKQAQNWDLQMVAQNQRLTVSRDVQKQVELSLETGAPYSFNLLGNSLNKSLGRLVDELGDLLHSAVCHQQLRPLTELDGPAELLEDLAQLISQITNNALGPKYDRGLDQVKDFFRSLTQSHVGPPPTWTSFTMDECVTDKQNNPIGHRPKWGPRCRCSYSGVTNFCVTSSDCQHQGSSCEDVDECVTNNPGNPSGPIPQWGPRCRCQYSGVNNLCHTSSHCPNQGSTCENVDTCLKPDEPKLKFGPLCQCTYSGLTNVCTGYSKCLRPNQQCEDVDQCTNESENKFTKAGSVPEYGPSCVCDYSGETNWCDSFKGCSVKSLTACENIDDCTEMPTTIIESFSSFGPNCRCATANVCSSDQPCWRACGRSDQTEAGPLTLWVCFALFVLFVRGGM
+>sp|Q8IZF7|AGRF2_HUMAN Adhesion G-protein coupled receptor F2 OS=Homo sapiens OX=9606 GN=ADGRF2 PE=2 SV=1
+MGLTAYGNRRVQPGELPFGANLTLIHTRAQPVICSKLLLTKRVSPISFFLSKFQNSWGEDGWVQLDQLPSPNAVSSDQVHCSAGCTHRKCGWAASKSKEKVPARPHGVCDGVCTDYSQCTQPCPPDTQGNMGFSCRQKTWHKITDTCQTLNALNIFEEDSRLVQPFEDNIKISVYTGKSETITDMLLQKCPTDLSCVIRNIQQSPWIPGNIAVIVQLLHNISTAIWTGVDEAKMQSYSTIANHILNSKSISNWTFIPDRNSSYILLHSVNSFARRLFIDKHPVDISDVFIHTMGTTISGDNIGKNFTFSMRINDTSNEVTGRVLISRDELRKVPSPSQVISIAFPTIGAILEASLLENVTVNGLVLSAILPKELKRISLIFEKISKSEERRTQCVGWHSVENRWDQQACKMIQENSQQAVCKCRPSKLFTSFSILMSPHILESLILTYITYVGLGISICSLILCLSIEVLVWSQVTKTEITYLRHVCIVNIAATLLMADVWFIVASFLSGPITHHKGCVAATFFVHFFYLSVFFWMLAKALLILYGIMIVFHTLPKSVLVASLFSVGYGCPLAIAAITVAATEPGKGYLRPEICWLNWDMTKALLAFVIPALAIVVVNLITVTLVIVKTQRAAIGNSMFQEVRAIVRISKNIAILTPLLGLTWGFGVATVIDDRSLAFHIIFSLLNAFQVSPDASDQVQSERIHEDVL
+>DECOY_sp|Q8IZF7|AGRF2_HUMAN Adhesion G-protein coupled receptor F2 OS=Homo sapiens OX=9606 GN=ADGRF2 PE=2 SV=1
+LVDEHIRESQVQDSADPSVQFANLLSFIIHFALSRDDIVTAVGFGWTLGLLPTLIAINKSIRVIARVEQFMSNGIAARQTKVIVLTVTILNVVVIALAPIVFALLAKTMDWNLWCIEPRLYGKGPETAAVTIAAIALPCGYGVSFLSAVLVSKPLTHFVIMIGYLILLAKALMWFFVSLYFFHVFFTAAVCGKHHTIPGSLFSAVIFWVDAMLLTAAINVICVHRLYTIETKTVQSWVLVEISLCLILSCISIGLGVYTIYTLILSELIHPSMLISFSTFLKSPRCKCVAQQSNEQIMKCAQQDWRNEVSHWGVCQTRREESKSIKEFILSIRKLEKPLIASLVLGNVTVNELLSAELIAGITPFAISIVQSPSPVKRLEDRSILVRGTVENSTDNIRMSFTFNKGINDGSITTGMTHIFVDSIDVPHKDIFLRRAFSNVSHLLIYSSNRDPIFTWNSISKSNLIHNAITSYSQMKAEDVGTWIATSINHLLQVIVAINGPIWPSQQINRIVCSLDTPCKQLLMDTITESKGTYVSIKINDEFPQVLRSDEEFINLANLTQCTDTIKHWTKQRCSFGMNGQTDPPCPQTCQSYDTCVGDCVGHPRAPVKEKSKSAAWGCKRHTCGASCHVQDSSVANPSPLQDLQVWGDEGWSNQFKSLFFSIPSVRKTLLLKSCIVPQARTHILTLNAGFPLEGPQVRRNGYATLGM
+>sp|Q8IZF5|AGRF3_HUMAN Adhesion G-protein coupled receptor F3 OS=Homo sapiens OX=9606 GN=ADGRF3 PE=2 SV=1
+MVCSAAPLLLLATTLPLLGSPVAQASQPVSETGVRPREGLQRRQWGPLIGRDKAWNERIDRPFPACPIPLSSSFGRWPKGQTMWAQTSTLTLTEEELGQSQAGGESGSGQLLDQENGAGESALVSVYVHLDFPDKTWPPELSRTLTLPAASASSSPRPLLTGLRLTTECNVNHKGNFYCACLSGYQWNTSICLHYPPCQSLHNHQPCGCLVFSHPEPGYCQLLPPGSPVTCLPAVPGILNLNSQLQMPGDTLSLTLHLSQEATNLSWFLRHPGSPSPILLQPGTQVSVTSSHGQAALSVSNMSHHWAGEYMSCFEAQGFKWNLYEVVRVPLKATDVARLPYQLSISCATSPGFQLSCCIPSTNLAYTAAWSPGEGSKASSFNESGSQCFVLAVQRCPMADTTYACDLQSLGLAPLRVPISITIIQDGDITCPEDASVLTWNVTKAGHVAQAPCPESKRGIVRRLCGADGVWGPVHSSCTDARLLALFTRTKLLQAGQGSPAEEVPQILAQLPGQAAEASSPSDLLTLLSTMKYVAKVVAEARIQLDRRALKNLLIATDKVLDMDTRSLWTLAQARKPWAGSTLLLAVETLACSLCPQDHPFAFSLPNVLLQSQLFGPTFPADYSISFPTRPPLQAQIPRHSLAPLVRNGTEISITSLVLRKLDHLLPSNYGQGLGDSLYATPGLVLVISIMAGDRAFSQGEVIMDFGNTDGSPHCVFWDHSLFQGRGGWSKEGCQAQVASASPTAQCLCQHLTAFSVLMSPHTVPEEPALALLTQVGLGASILALLVCLGVYWLVWRVVVRNKISYFRHAALLNMVFCLLAADTCFLGAPFLSPGPRSPLCLAAAFLCHFLYLATFFWMLAQALVLAHQLLFVFHQLAKHRVLPLMVLLGYLCPLGLAGVTLGLYLPQGQYLREGECWLDGKGGALYTFVGPVLAIIGVNGLVLAMAMLKLLRPSLSEGPPAEKRQALLGVIKALLILTPIFGLTWGLGLATLLEEVSTVPHYIFTILNTLQGVFILLFGCLMDRKIQEALRKRFCRAQAPSSTISLVSCCLQILSCASKSMSEGIPWPSSEDMGTARS
+>DECOY_sp|Q8IZF5|AGRF3_HUMAN Adhesion G-protein coupled receptor F3 OS=Homo sapiens OX=9606 GN=ADGRF3 PE=2 SV=1
+SRATGMDESSPWPIGESMSKSACSLIQLCCSVLSITSSPAQARCFRKRLAEQIKRDMLCGFLLIFVGQLTNLITFIYHPVTSVEELLTALGLGWTLGFIPTLILLAKIVGLLAQRKEAPPGESLSPRLLKLMAMALVLGNVGIIALVPGVFTYLAGGKGDLWCEGERLYQGQPLYLGLTVGALGLPCLYGLLVMLPLVRHKALQHFVFLLQHALVLAQALMWFFTALYLFHCLFAAALCLPSRPGPSLFPAGLFCTDAALLCFVMNLLAAHRFYSIKNRVVVRWVLWYVGLCVLLALISAGLGVQTLLALAPEEPVTHPSMLVSFATLHQCLCQATPSASAVQAQCGEKSWGGRGQFLSHDWFVCHPSGDTNGFDMIVEGQSFARDGAMISIVLVLGPTAYLSDGLGQGYNSPLLHDLKRLVLSTISIETGNRVLPALSHRPIQAQLPPRTPFSISYDAPFTPGFLQSQLLVNPLSFAFPHDQPCLSCALTEVALLLTSGAWPKRAQALTWLSRTDMDLVKDTAILLNKLARRDLQIRAEAVVKAVYKMTSLLTLLDSPSSAEAAQGPLQALIQPVEEAPSGQGAQLLKTRTFLALLRADTCSSHVPGWVGDAGCLRRVIGRKSEPCPAQAVHGAKTVNWTLVSADEPCTIDGDQIITISIPVRLPALGLSQLDCAYTTDAMPCRQVALVFCQSGSENFSSAKSGEGPSWAATYALNTSPICCSLQFGPSTACSISLQYPLRAVDTAKLPVRVVEYLNWKFGQAEFCSMYEGAWHHSMNSVSLAAQGHSSTVSVQTGPQLLIPSPSGPHRLFWSLNTAEQSLHLTLSLTDGPMQLQSNLNLIGPVAPLCTVPSGPPLLQCYGPEPHSFVLCGCPQHNHLSQCPPYHLCISTNWQYGSLCACYFNGKHNVNCETTLRLGTLLPRPSSSASAAPLTLTRSLEPPWTKDPFDLHVYVSVLASEGAGNEQDLLQGSGSEGGAQSQGLEEETLTLTSTQAWMTQGKPWRGFSSSLPIPCAPFPRDIRENWAKDRGILPGWQRRQLGERPRVGTESVPQSAQAVPSGLLPLTTALLLLPAASCVM
+>sp|Q9Y653|AGRG1_HUMAN Adhesion G-protein coupled receptor G1 OS=Homo sapiens OX=9606 GN=ADGRG1 PE=1 SV=2
+MTPQSLLQTTLFLLSLLFLVQGAHGRGHREDFRFCSQRNQTHRSSLHYKPTPDLRISIENSEEALTVHAPFPAAHPASRSFPDPRGLYHFCLYWNRHAGRLHLLYGKRDFLLSDKASSLLCFQHQEESLAQGPPLLATSVTSWWSPQNISLPSAASFTFSFHSPPHTAAHNASVDMCELKRDLQLLSQFLKHPQKASRRPSAAPASQQLQSLESKLTSVRFMGDMVSFEEDRINATVWKLQPTAGLQDLHIHSRQEEEQSEIMEYSVLLPRTLFQRTKGRSGEAEKRLLLVDFSSQALFQDKNSSQVLGEKVLGIVVQNTKVANLTEPVVLTFQHQLQPKNVTLQCVFWVEDPTLSSPGHWSSAGCETVRRETQTSCFCNHLTYFAVLMVSSVEVDAVHKHYLSLLSYVGCVVSALACLVTIAAYLCSRVPLPCRRKPRDYTIKVHMNLLLAVFLLDTSFLLSEPVALTGSEAGCRASAIFLHFSLLTCLSWMGLEGYNLYRLVVEVFGTYVPGYLLKLSAMGWGFPIFLVTLVALVDVDNYGPIILAVHRTPEGVIYPSMCWIRDSLVSYITNLGLFSLVFLFNMAMLATMVVQILRLRPHTQKWSHVLTLLGLSLVLGLPWALIFFSFASGTFQLVVLYLFSIITSFQGFLIFIWYWSMRLQARGGPSPLKSNSDSARLPISSGSTSSSRI
+>DECOY_sp|Q9Y653|AGRG1_HUMAN Adhesion G-protein coupled receptor G1 OS=Homo sapiens OX=9606 GN=ADGRG1 PE=1 SV=2
+IRSSSTSGSSIPLRASDSNSKLPSPGGRAQLRMSWYWIFILFGQFSTIISFLYLVVLQFTGSAFSFFILAWPLGLVLSLGLLTLVHSWKQTHPRLRLIQVVMTALMAMNFLFVLSFLGLNTIYSVLSDRIWCMSPYIVGEPTRHVALIIPGYNDVDVLAVLTVLFIPFGWGMASLKLLYGPVYTGFVEVVLRYLNYGELGMWSLCTLLSFHLFIASARCGAESGTLAVPESLLFSTDLLFVALLLNMHVKITYDRPKRRCPLPVRSCLYAAITVLCALASVVCGVYSLLSLYHKHVADVEVSSVMLVAFYTLHNCFCSTQTERRVTECGASSWHGPSSLTPDEVWFVCQLTVNKPQLQHQFTLVVPETLNAVKTNQVVIGLVKEGLVQSSNKDQFLAQSSFDVLLLRKEAEGSRGKTRQFLTRPLLVSYEMIESQEEEQRSHIHLDQLGATPQLKWVTANIRDEEFSVMDGMFRVSTLKSELSQLQQSAPAASPRRSAKQPHKLFQSLLQLDRKLECMDVSANHAATHPPSHFSFTFSAASPLSINQPSWWSTVSTALLPPGQALSEEQHQFCLLSSAKDSLLFDRKGYLLHLRGAHRNWYLCFHYLGRPDPFSRSAPHAAPFPAHVTLAEESNEISIRLDPTPKYHLSSRHTQNRQSCFRFDERHGRGHAGQVLFLLSLLFLTTQLLSQPTM
+>sp|Q8IZP9|AGRG2_HUMAN Adhesion G-protein coupled receptor G2 OS=Homo sapiens OX=9606 GN=ADGRG2 PE=1 SV=2
+MVFSVRQCGHVGRTEEVLLTFKIFLVIICLHVVLVTSLEEDTDNSSLSPPPAKLSVVSFAPSSNGTPEVETTSLNDVTLSLLPSNETEKTKITIVKTFNASGVKPQRNICNLSSICNDSAFFRGEIMFQYDKESTVPQNQHITNGTLTGVLSLSELKRSELNKTLQTLSETYFIMCATAEAQSTLNCTFTIKLNNTMNACAVIAALERVKIRPMEHCCCSVRIPCPSSPEELEKLQCDLQDPIVCLADHPRGPPFSSSQSIPVVPRATVLSQVPKATSFAEPPDYSPVTHNVPSPIGEIQPLSPQPSAPIASSPAIDMPPQSETISSPMPQTHVSGTPPPVKASFSSPTVSAPANVNTTSAPPVQTDIVNTSSISDLENQVLQMEKALSLGSLEPNLAGEMINQVSRLLHSPPDMLAPLAQRLLKVVDDIGLQLNFSNTTISLTSPSLALAVIRVNASSFNTTTFVAQDPANLQVSLETQAPENSIGTITLPSSLMNNLPAHDMELASRVQFNFFETPALFQDPSLENLSLISYVISSSVANLTVRNLTRNVTVTLKHINPSQDELTVRCVFWDLGRNGGRGGWSDNGCSVKDRRLNETICTCSHLTSFGVLLDLSRTSVLPAQMMALTFITYIGCGLSSIFLSVTLVTYIAFEKIRRDYPSKILIQLCAALLLLNLVFLLDSWIALYKMQGLCISVAVFLHYFLLVSFTWMGLEAFHMYLALVKVFNTYIRKYILKFCIVGWGVPAVVVTIILTISPDNYGLGSYGKFPNGSPDDFCWINNNAVFYITVVGYFCVIFLLNVSMFIVVLVQLCRIKKKKQLGAQRKTSIQDLRSIAGLTFLLGITWGFAFFAWGPVNVTFMYLFAIFNTLQGFFIFIFYCVAKENVRKQWRRYLCCGKLRLAENSDWSKTATNGLKKQTVNQGVSSSSNSLQSSSNSTNSTTLLVNNDCSVHASGNGNASTERNGVSFSVQNGDVCLHDFTGKQHMFNEKEDSCNGKGRMALRRTSKRGSLHFIEQM
+>DECOY_sp|Q8IZP9|AGRG2_HUMAN Adhesion G-protein coupled receptor G2 OS=Homo sapiens OX=9606 GN=ADGRG2 PE=1 SV=2
+MQEIFHLSGRKSTRRLAMRGKGNCSDEKENFMHQKGTFDHLCVDGNQVSFSVGNRETSANGNGSAHVSCDNNVLLTTSNTSNSSSQLSNSSSSVGQNVTQKKLGNTATKSWDSNEALRLKGCCLYRRWQKRVNEKAVCYFIFIFFGQLTNFIAFLYMFTVNVPGWAFFAFGWTIGLLFTLGAISRLDQISTKRQAGLQKKKKIRCLQVLVVIFMSVNLLFIVCFYGVVTIYFVANNNIWCFDDPSGNPFKGYSGLGYNDPSITLIITVVVAPVGWGVICFKLIYKRIYTNFVKVLALYMHFAELGMWTFSVLLFYHLFVAVSICLGQMKYLAIWSDLLFVLNLLLLAACLQILIKSPYDRRIKEFAIYTVLTVSLFISSLGCGIYTIFTLAMMQAPLVSTRSLDLLVGFSTLHSCTCITENLRRDKVSCGNDSWGGRGGNRGLDWFVCRVTLEDQSPNIHKLTVTVNRTLNRVTLNAVSSSIVYSILSLNELSPDQFLAPTEFFNFQVRSALEMDHAPLNNMLSSPLTITGISNEPAQTELSVQLNAPDQAVFTTTNFSSANVRIVALALSPSTLSITTNSFNLQLGIDDVVKLLRQALPALMDPPSHLLRSVQNIMEGALNPELSGLSLAKEMQLVQNELDSISSTNVIDTQVPPASTTNVNAPASVTPSSFSAKVPPPTGSVHTQPMPSSITESQPPMDIAPSSAIPASPQPSLPQIEGIPSPVNHTVPSYDPPEAFSTAKPVQSLVTARPVVPISQSSSFPPGRPHDALCVIPDQLDCQLKELEEPSSPCPIRVSCCCHEMPRIKVRELAAIVACANMTNNLKITFTCNLTSQAEATACMIFYTESLTQLTKNLESRKLESLSLVGTLTGNTIHQNQPVTSEKDYQFMIEGRFFASDNCISSLNCINRQPKVGSANFTKVITIKTKETENSPLLSLTVDNLSTTEVEPTGNSSPAFSVVSLKAPPPSLSSNDTDEELSTVLVVHLCIIVLFIKFTLLVEETRGVHGCQRVSFVM
+>sp|Q8IZF6|AGRG4_HUMAN Adhesion G-protein coupled receptor G4 OS=Homo sapiens OX=9606 GN=ADGRG4 PE=2 SV=2
+MKEHIIYQKLYGLILMSSFIFLSDTLSLKGKKLDFFGRGDTYVSLIDTIPELSRFTACIDLVFMDDNSRYWMAFSYITNNALLGREDIDLGLAGDHQQLILYRLGKTFSIRHHLASFQWHTICLIWDGVKGKLELFLNKERILEVTDQPHNLTPHGTLFLGHFLKNESSEVKSMMRSFPGSLYYFQLWDHILENEEFMKCLDGNIVSWEEDVWLVNKIIPTVDRTLRCFVPENMTIQEKSTTVSQQIDMTTPSQITGVKPQNTAHSSTLLSQSIPIFATDYTTISYSNTTSPPLETMTAQKILKTLVDETATFAVDVLSTSSAISLPTQSISIDNTTNSMKKTKSPSSESTKTTKMVEAMATEIFQPPTPSNFLSTSRFTKNSVVSTTSAIKSQSAVTKTTSLFSTIESTSMSTTPCLKQKSTNTGALPISTAGQEFIESTAAGTVPWFTVEKTSPASTHVGTASSFPPEPVLISTAAPVDSVFPRNQTAFPLATTDMKIAFTVHSLTLPTRLIETTPAPRTAETELTSTNFQDVSLPRVEDAMSTSMSKETSSKTFSFLTSFSFTGTESVQTVIDAEATRTALTPEITLASTVAETMLSSTITGRVYTQNTPTADGHLLTLMSTRSASTSKAPESGPTSTTDEAAHLFSSNETIWTSRPDQALLASMNTTTILTFVPNENFTSAFHENTTYTEYLSATTNITPLKASPEGKGTTANDATTARYTTAVSKLTSPWFANFSIVSGTTSITNMPEFKLTTLLLKTIPMSTKPANELPLTPRETVVPSVDIISTLACIQPNFSTEESASETTQTEINGAIVFGGTTTPVPKSATTQRLNATVTRKEATSHYLMRKSTIAAVAEVSPFSTMLEVTDESAQRVTASVTVSSFPDIEKLSTPLDNKTATTEVRESWLLTKLVKTTPRSSYNEMTEMFNFNHTYVAHWTSETSEGISAGSPTSGSTHIFGEPLGASTTRISETSFSTTPTDRTATSLSDGILPPQPTAAHSSATPVPVTHMFSLPVNGSSVVAEETEVTMSEPSTLARAFSTSVLSDVSNLSSTTMTTALVPPLDQTASTTIVIVPTHGDLIRTTSEATVISVRKTSMAVPSLTETPFHSLRLSTPVTAKAETTLFSTSVDTVTPSTHTLVCSKPPPDNIPPASSTHVISTTSTPEATQPISQVEETSTYALSFPYTFSGGGVVASLATGTTETSVVDETTPSHISANKLTTSVNSHISSSATYRVHTPVSIQLVTSTSVLSSDKDQMTISLGKTPRTMEVTEMSPSKNSFISYSRGTPSLEMTDTGFPETTKISSHQTHSPSEIPLGTPSDGNLASSPTSGSTQITPTLTSSNTVGVHIPEMSTSLGKTALPSQALTITTFLCPEKESTSALPAYTPRTVEMIVNSTYVTHSVSYGQDTSFVDTTTSSSTRISNPMDINTTFSHLHSLRTQPEVTSVASFISESTQTFPESLSLSTAGLYNDGFTVLSDRITTAFSVPNVPTMLPRESSMATSTPIYQMSSLPVNVTAFTSKKVSDTPPIVITKSSKTMHPGCLKSPCTATSGPMSEMSSIPVNNSAFTPATVSSDTSTRVGLFSTLLSSVTPRTTMTMQTSTLDVTPVIYAGATSKNKMVSSAFTTEMIEAPSRITPTTFLSPTEPTLPFVKTVPTTIMAGIVTPFVGTTAFSPLSSKSTGAISSIPKTTFSPFLSATQQSSQADEATTLGILSGITNRSLSTVNSGTGVALTDTYSRITVPENMLSPTHADSLHTSFNIQVSPSLTSFKSASGPTKNVKTTTNCFSSNTRKMTSLLEKTSLTNYATSLNTPVSYPPWTPSSATLPSLTSFVYSPHSTEAEISTPKTSPPPTSQMVEFPVLGTRMTSSNTQPLLMTSWNIPTAEGSQFPISTTINVPTSNEMETETLHLVPGPLSTFTASQTGLVSKDVMAMSSIPMSGILPNHGLSENPSLSTSLRAITSTLADVKHTFEKMTTSVTPGTTLPSILSGATSGSVISKSPILTWLLSSLPSGSPPATVSNAPHVMTSSTVEVSKSTFLTSDMISAHPFTNLTTLPSATMSTILTRTIPTPTLGGITTGFPTSLPMSINVTDDIVYISTHPEASSRTTITANPRTVSHPSSFSRKTMSPSTTDHTLSVGAMPLPSSTITSSWNRIPTASSPSTLIIPKPTLDSLLNIMTTTSTVPGASFPLISTGVTYPFTATVSSPISSFFETTWLDSTPSFLSTEASTSPTATKSTVSFYNVEMSFSVFVEEPRIPITSVINEFTENSLNSIFQNSEFSLATLETQIKSRDISEEEMVMDRAILEQREGQEMATISYVPYSCVCQVIIKASSSLASSELMRKIKSKIHGNFTHGNFTQDQLTLLVNCEHVAVKKLEPGNCKADETASKYKGTYKWLLTNPTETAQTRCIKNEDGNATRFCSISINTGKSQWEKPKFKQCKLLQELPDKIVDLANITISDENAEDVAEHILNLINESPALGKEETKIIVSKISDISQCDEISMNLTHVMLQIINVVLEKQNNSASDLHEISNEILRIIERTGHKMEFSGQIANLTVAGLALAVLRGDHTFDGMAFSIHSYEEGTDPEIFLGNVPVGGILASIYLPKSLTERIPLSNLQTILFNFFGQTSLFKTKNVTKALTTYVVSASISDDMFIQNLADPVVITLQHIGGNQNYGQVHCAFWDFENNNGLGGWNSSGCKVKETNVNYTICQCDHLTHFGVLMDLSRSTVDSVNEQILALITYTGCGISSIFLGVAVVTYIAFHKLRKDYPAKILINLCTALLMLNLVFLINSWLSSFQKVGVCITAAVALHYFLLVSFTWMGLEAVHMYLALVKVFNIYIPNYILKFCLVGWGIPAIMVAITVSVKKDLYGTLSPTTPFCWIKDDSIFYISVVAYFCLIFLMNLSMFCTVLVQLNSVKSQIQKTRRKMILHDLKGTMSLTFLLGLTWGFAFFAWGPMRNFFLYLFAIFNTLQGFFIFVFHCVMKESVREQWQIHLCCGWLRLDNSSDGSSRCQIKVGYKQEGLKKIFEHKLLTPSLKSTATSSTFKSLGSAQGTPSEISFPNDDFDKDPYCSSP
+>DECOY_sp|Q8IZF6|AGRG4_HUMAN Adhesion G-protein coupled receptor G4 OS=Homo sapiens OX=9606 GN=ADGRG4 PE=2 SV=2
+PSSCYPDKDFDDNPFSIESPTGQASGLSKFTSSTATSKLSPTLLKHEFIKKLGEQKYGVKIQCRSSGDSSNDLRLWGCCLHIQWQERVSEKMVCHFVFIFFGQLTNFIAFLYLFFNRMPGWAFFAFGWTLGLLFTLSMTGKLDHLIMKRRTKQIQSKVSNLQVLVTCFMSLNMLFILCFYAVVSIYFISDDKIWCFPTTPSLTGYLDKKVSVTIAVMIAPIGWGVLCFKLIYNPIYINFVKVLALYMHVAELGMWTFSVLLFYHLAVAATICVGVKQFSSLWSNILFVLNLMLLATCLNILIKAPYDKRLKHFAIYTVVAVGLFISSIGCGTYTILALIQENVSDVTSRSLDMLVGFHTLHDCQCITYNVNTEKVKCGSSNWGGLGNNNEFDWFACHVQGYNQNGGIHQLTIVVPDALNQIFMDDSISASVVYTTLAKTVNKTKFLSTQGFFNFLITQLNSLPIRETLSKPLYISALIGGVPVNGLFIEPDTGEEYSHISFAMGDFTHDGRLVALALGAVTLNAIQGSFEMKHGTREIIRLIENSIEHLDSASNNQKELVVNIIQLMVHTLNMSIEDCQSIDSIKSVIIKTEEKGLAPSENILNLIHEAVDEANEDSITINALDVIKDPLEQLLKCQKFKPKEWQSKGTNISISCFRTANGDENKICRTQATETPNTLLWKYTGKYKSATEDAKCNGPELKKVAVHECNVLLTLQDQTFNGHTFNGHIKSKIKRMLESSALSSSAKIIVQCVCSYPVYSITAMEQGERQELIARDMVMEEESIDRSKIQTELTALSFESNQFISNLSNETFENIVSTIPIRPEEVFVSFSMEVNYFSVTSKTATPSTSAETSLFSPTSDLWTTEFFSSIPSSVTATFPYTVGTSILPFSAGPVTSTTTMINLLSDLTPKPIILTSPSSATPIRNWSSTITSSPLPMAGVSLTHDTTSPSMTKRSFSSPHSVTRPNATITTRSSAEPHTSIYVIDDTVNISMPLSTPFGTTIGGLTPTPITRTLITSMTASPLTTLNTFPHASIMDSTLFTSKSVEVTSSTMVHPANSVTAPPSGSPLSSLLWTLIPSKSIVSGSTAGSLISPLTTGPTVSTTMKEFTHKVDALTSTIARLSTSLSPNESLGHNPLIGSMPISSMAMVDKSVLGTQSATFTSLPGPVLHLTETEMENSTPVNITTSIPFQSGEATPINWSTMLLPQTNSSTMRTGLVPFEVMQSTPPPSTKPTSIEAETSHPSYVFSTLSPLTASSPTWPPYSVPTNLSTAYNTLSTKELLSTMKRTNSSFCNTTTKVNKTPGSASKFSTLSPSVQINFSTHLSDAHTPSLMNEPVTIRSYTDTLAVGTGSNVTSLSRNTIGSLIGLTTAEDAQSSQQTASLFPSFTTKPISSIAGTSKSSLPSFATTGVFPTVIGAMITTPVTKVFPLTPETPSLFTTPTIRSPAEIMETTFASSVMKNKSTAGAYIVPTVDLTSTQMTMTTRPTVSSLLTSFLGVRTSTDSSVTAPTFASNNVPISSMESMPGSTATCPSKLCGPHMTKSSKTIVIPPTDSVKKSTFATVNVPLSSMQYIPTSTAMSSERPLMTPVNPVSFATTIRDSLVTFGDNYLGATSLSLSEPFTQTSESIFSAVSTVEPQTRLSHLHSFTTNIDMPNSIRTSSSTTTDVFSTDQGYSVSHTVYTSNVIMEVTRPTYAPLASTSEKEPCLFTTITLAQSPLATKGLSTSMEPIHVGVTNSSTLTPTIQTSGSTPSSALNGDSPTGLPIESPSHTQHSSIKTTEPFGTDTMELSPTGRSYSIFSNKSPSMETVEMTRPTKGLSITMQDKDSSLVSTSTVLQISVPTHVRYTASSSIHSNVSTTLKNASIHSPTTEDVVSTETTGTALSAVVGGGSFTYPFSLAYTSTEEVQSIPQTAEPTSTTSIVHTSSAPPINDPPPKSCVLTHTSPTVTDVSTSFLTTEAKATVPTSLRLSHFPTETLSPVAMSTKRVSIVTAESTTRILDGHTPVIVITTSATQDLPPVLATTMTTSSLNSVDSLVSTSFARALTSPESMTVETEEAVVSSGNVPLSFMHTVPVPTASSHAATPQPPLIGDSLSTATRDTPTTSFSTESIRTTSAGLPEGFIHTSGSTPSGASIGESTESTWHAVYTHNFNFMETMENYSSRPTTKVLKTLLWSERVETTATKNDLPTSLKEIDPFSSVTVSATVRQASEDTVELMTSFPSVEAVAAITSKRMLYHSTAEKRTVTANLRQTTASKPVPTTTGGFVIAGNIETQTTESASEETSFNPQICALTSIIDVSPVVTERPTLPLENAPKTSMPITKLLLTTLKFEPMNTISTTGSVISFNAFWPSTLKSVATTYRATTADNATTGKGEPSAKLPTINTTASLYETYTTNEHFASTFNENPVFTLITTTNMSALLAQDPRSTWITENSSFLHAAEDTTSTPGSEPAKSTSASRTSMLTLLHGDATPTNQTYVRGTITSSLMTEAVTSALTIEPTLATRTAEADIVTQVSETGTFSFSTLFSFTKSSTEKSMSTSMADEVRPLSVDQFNTSTLETEATRPAPTTEILRTPLTLSHVTFAIKMDTTALPFATQNRPFVSDVPAATSILVPEPPFSSATGVHTSAPSTKEVTFWPVTGAATSEIFEQGATSIPLAGTNTSKQKLCPTTSMSTSEITSFLSTTKTVASQSKIASTTSVVSNKTFRSTSLFNSPTPPQFIETAMAEVMKTTKTSESSPSKTKKMSNTTNDISISQTPLSIASSTSLVDVAFTATEDVLTKLIKQATMTELPPSTTNSYSITTYDTAFIPISQSLLTSSHATNQPKVGTIQSPTTMDIQQSVTTSKEQITMNEPVFCRLTRDVTPIIKNVLWVDEEWSVINGDLCKMFEENELIHDWLQFYYLSGPFSRMMSKVESSENKLFHGLFLTGHPTLNHPQDTVELIREKNLFLELKGKVGDWILCITHWQFSALHHRISFTKGLRYLILQQHDGALGLDIDERGLLANNTIYSFAMWYRSNDDMFVLDICATFRSLEPITDILSVYTDGRGFFDLKKGKLSLTDSLFIFSSMLILGYLKQYIIHEKM
+>sp|C9JRZ8|AK1BF_HUMAN Aldo-keto reductase family 1 member B15 OS=Homo sapiens OX=9606 GN=AKR1B15 PE=1 SV=2
+MATFVELSTKAKMPIVGLGTWRSLLGKVKEAVKVAIDAEYRHIDCAYFYENQHEVGEAIQEKIQEKAVMREDLFIVSKVWPTFFERPLVRKAFEKTLKDLKLSYLDVYLIHWPQGFKTGDDFFPKDDKGNMISGKGTFLDAWEAMEELVDEGLVKALGVSNFNHFQIERLLNKPGLKYKPVTNQVECHPYLTQEKLIQYCHSKGITVTAYSPLGSPDRPWAKPEDPSLLEDPKIKEIAAKHKKTTAQVLIRFHIQRNVTVIPKSMTPAHIVENIQVFDFKLSDEEMATILSFNRNWRAFDFKEFSHLEDFPFDAEY
+>DECOY_sp|C9JRZ8|AK1BF_HUMAN Aldo-keto reductase family 1 member B15 OS=Homo sapiens OX=9606 GN=AKR1B15 PE=1 SV=2
+YEADFPFDELHSFEKFDFARWNRNFSLITAMEEDSLKFDFVQINEVIHAPTMSKPIVTVNRQIHFRILVQATTKKHKAAIEKIKPDELLSPDEPKAWPRDPSGLPSYATVTIGKSHCYQILKEQTLYPHCEVQNTVPKYKLGPKNLLREIQFHNFNSVGLAKVLGEDVLEEMAEWADLFTGKGSIMNGKDDKPFFDDGTKFGQPWHILYVDLYSLKLDKLTKEFAKRVLPREFFTPWVKSVIFLDERMVAKEQIKEQIAEGVEHQNEYFYACDIHRYEADIAVKVAEKVKGLLSRWTGLGVIPMKAKTSLEVFTAM
+>sp|Q04828|AK1C1_HUMAN Aldo-keto reductase family 1 member C1 OS=Homo sapiens OX=9606 GN=AKR1C1 PE=1 SV=1
+MDSKYQCVKLNDGHFMPVLGFGTYAPAEVPKSKALEATKLAIEAGFRHIDSAHLYNNEEQVGLAIRSKIADGSVKREDIFYTSKLWCNSHRPELVRPALERSLKNLQLDYVDLYLIHFPVSVKPGEEVIPKDENGKILFDTVDLCATWEAVEKCKDAGLAKSIGVSNFNRRQLEMILNKPGLKYKPVCNQVECHPYFNQRKLLDFCKSKDIVLVAYSALGSHREEPWVDPNSPVLLEDPVLCALAKKHKRTPALIALRYQLQRGVVVLAKSYNEQRIRQNVQVFEFQLTSEEMKAIDGLNRNVRYLTLDIFAGPPNYPFSDEY
+>DECOY_sp|Q04828|AK1C1_HUMAN Aldo-keto reductase family 1 member C1 OS=Homo sapiens OX=9606 GN=AKR1C1 PE=1 SV=1
+YEDSFPYNPPGAFIDLTLYRVNRNLGDIAKMEESTLQFEFVQVNQRIRQENYSKALVVVGRQLQYRLAILAPTRKHKKALACLVPDELLVPSNPDVWPEERHSGLASYAVLVIDKSKCFDLLKRQNFYPHCEVQNCVPKYKLGPKNLIMELQRRNFNSVGISKALGADKCKEVAEWTACLDVTDFLIKGNEDKPIVEEGPKVSVPFHILYLDVYDLQLNKLSRELAPRVLEPRHSNCWLKSTYFIDERKVSGDAIKSRIALGVQEENNYLHASDIHRFGAEIALKTAELAKSKPVEAPAYTGFGLVPMFHGDNLKVCQYKSDM
+>sp|P52895|AK1C2_HUMAN Aldo-keto reductase family 1 member C2 OS=Homo sapiens OX=9606 GN=AKR1C2 PE=1 SV=3
+MDSKYQCVKLNDGHFMPVLGFGTYAPAEVPKSKALEAVKLAIEAGFHHIDSAHVYNNEEQVGLAIRSKIADGSVKREDIFYTSKLWSNSHRPELVRPALERSLKNLQLDYVDLYLIHFPVSVKPGEEVIPKDENGKILFDTVDLCATWEAMEKCKDAGLAKSIGVSNFNHRLLEMILNKPGLKYKPVCNQVECHPYFNQRKLLDFCKSKDIVLVAYSALGSHREEPWVDPNSPVLLEDPVLCALAKKHKRTPALIALRYQLQRGVVVLAKSYNEQRIRQNVQVFEFQLTSEEMKAIDGLNRNVRYLTLDIFAGPPNYPFSDEY
+>DECOY_sp|P52895|AK1C2_HUMAN Aldo-keto reductase family 1 member C2 OS=Homo sapiens OX=9606 GN=AKR1C2 PE=1 SV=3
+YEDSFPYNPPGAFIDLTLYRVNRNLGDIAKMEESTLQFEFVQVNQRIRQENYSKALVVVGRQLQYRLAILAPTRKHKKALACLVPDELLVPSNPDVWPEERHSGLASYAVLVIDKSKCFDLLKRQNFYPHCEVQNCVPKYKLGPKNLIMELLRHNFNSVGISKALGADKCKEMAEWTACLDVTDFLIKGNEDKPIVEEGPKVSVPFHILYLDVYDLQLNKLSRELAPRVLEPRHSNSWLKSTYFIDERKVSGDAIKSRIALGVQEENNYVHASDIHHFGAEIALKVAELAKSKPVEAPAYTGFGLVPMFHGDNLKVCQYKSDM
+>sp|P42330|AK1C3_HUMAN Aldo-keto reductase family 1 member C3 OS=Homo sapiens OX=9606 GN=AKR1C3 PE=1 SV=4
+MDSKHQCVKLNDGHFMPVLGFGTYAPPEVPRSKALEVTKLAIEAGFRHIDSAHLYNNEEQVGLAIRSKIADGSVKREDIFYTSKLWSTFHRPELVRPALENSLKKAQLDYVDLYLIHSPMSLKPGEELSPTDENGKVIFDIVDLCTTWEAMEKCKDAGLAKSIGVSNFNRRQLEMILNKPGLKYKPVCNQVECHPYFNRSKLLDFCKSKDIVLVAYSALGSQRDKRWVDPNSPVLLEDPVLCALAKKHKRTPALIALRYQLQRGVVVLAKSYNEQRIRQNVQVFEFQLTAEDMKAIDGLDRNLHYFNSDSFASHPNYPYSDEY
+>DECOY_sp|P42330|AK1C3_HUMAN Aldo-keto reductase family 1 member C3 OS=Homo sapiens OX=9606 GN=AKR1C3 PE=1 SV=4
+YEDSYPYNPHSAFSDSNFYHLNRDLGDIAKMDEATLQFEFVQVNQRIRQENYSKALVVVGRQLQYRLAILAPTRKHKKALACLVPDELLVPSNPDVWRKDRQSGLASYAVLVIDKSKCFDLLKSRNFYPHCEVQNCVPKYKLGPKNLIMELQRRNFNSVGISKALGADKCKEMAEWTTCLDVIDFIVKGNEDTPSLEEGPKLSMPSHILYLDVYDLQAKKLSNELAPRVLEPRHFTSWLKSTYFIDERKVSGDAIKSRIALGVQEENNYLHASDIHRFGAEIALKTVELAKSRPVEPPAYTGFGLVPMFHGDNLKVCQHKSDM
+>sp|P51857|AK1D1_HUMAN 3-oxo-5-beta-steroid 4-dehydrogenase OS=Homo sapiens OX=9606 GN=AKR1D1 PE=1 SV=1
+MDLSAASHRIPLSDGNSIPIIGLGTYSEPKSTPKGACATSVKVAIDTGYRHIDGAYIYQNEHEVGEAIREKIAEGKVRREDIFYCGKLWATNHVPEMVRPTLERTLRVLQLDYVDLYIIEVPMAFKPGDEIYPRDENGKWLYHKSNLCATWEAMEACKDAGLVKSLGVSNFNRRQLELILNKPGLKHKPVSNQVECHPYFTQPKLLKFCQQHDIVITAYSPLGTSRNPIWVNVSSPPLLKDALLNSLGKRYNKTAAQIVLRFNIQRGVVVIPKSFNLERIKENFQIFDFSLTEEEMKDIEALNKNVRFVELLMWRDHPEYPFHDEY
+>DECOY_sp|P51857|AK1D1_HUMAN 3-oxo-5-beta-steroid 4-dehydrogenase OS=Homo sapiens OX=9606 GN=AKR1D1 PE=1 SV=1
+YEDHFPYEPHDRWMLLEVFRVNKNLAEIDKMEEETLSFDFIQFNEKIRELNFSKPIVVVGRQINFRLVIQAATKNYRKGLSNLLADKLLPPSSVNVWIPNRSTGLPSYATIVIDHQQCFKLLKPQTFYPHCEVQNSVPKHKLGPKNLILELQRRNFNSVGLSKVLGADKCAEMAEWTACLNSKHYLWKGNEDRPYIEDGPKFAMPVEIIYLDVYDLQLVRLTRELTPRVMEPVHNTAWLKGCYFIDERRVKGEAIKERIAEGVEHENQYIYAGDIHRYGTDIAVKVSTACAGKPTSKPESYTGLGIIPISNGDSLPIRHSAASLDM
+>sp|O43572|AKA10_HUMAN A-kinase anchor protein 10, mitochondrial OS=Homo sapiens OX=9606 GN=AKAP10 PE=1 SV=2
+MRGAGPSPRQSPRTLRPDPGPAMSFFRRKVKGKEQEKTSDVKSIKASISVHSPQKSTKNHALLEAAGPSHVAINAISANMDSFSSSRTATLKKQPSHMEAAHFGDLGRSCLDYQTQETKSSLSKTLEQVLHDTIVLPYFIQFMELRRMEHLVKFWLEAESFHSTTWSRIRAHSLNTVKQSSLAEPVSPSKKHETTASFLTDSLDKRLEDSGSAQLFMTHSEGIDLNNRTNSTQNHLLLSQECDSAHSLRLEMARAGTHQVSMETQESSSTLTVASRNSPASPLKELSGKLMKSIEQDAVNTFTKYISPDAAKPIPITEAMRNDIIARICGEDGQVDPNCFVLAQSIVFSAMEQEHFSEFLRSHHFCKYQIEVLTSGTVYLADILFCESALFYFSEYMEKEDAVNILQFWLAADNFQSQLAAKKGQYDGQEAQNDAMILYDKYFSLQATHPLGFDDVVRLEIESNICREGGPLPNCFTTPLRQAWTTMEKVFLPGFLSSNLYYKYLNDLIHSVRGDEFLGGNVSLTAPGSVGPPDESHPGSSDSSASQSSVKKASIKILKNFDEAIIVDAASLDPESLYQRTYAGKMTFGRVSDLGQFIRESEPEPDVRKSKGSMFSQAMKKWVQGNTDEAQEELAWKIAKMIVSDIMQQAQYDQPLEKSTKL
+>DECOY_sp|O43572|AKA10_HUMAN A-kinase anchor protein 10, mitochondrial OS=Homo sapiens OX=9606 GN=AKAP10 PE=1 SV=2
+LKTSKELPQDYQAQQMIDSVIMKAIKWALEEQAEDTNGQVWKKMAQSFMSGKSKRVDPEPESERIFQGLDSVRGFTMKGAYTRQYLSEPDLSAADVIIAEDFNKLIKISAKKVSSQSASSDSSGPHSEDPPGVSGPATLSVNGGLFEDGRVSHILDNLYKYYLNSSLFGPLFVKEMTTWAQRLPTTFCNPLPGGERCINSEIELRVVDDFGLPHTAQLSFYKDYLIMADNQAEQGDYQGKKAALQSQFNDAALWFQLINVADEKEMYESFYFLASECFLIDALYVTGSTLVEIQYKCFHHSRLFESFHEQEMASFVISQALVFCNPDVQGDEGCIRAIIDNRMAETIPIPKAADPSIYKTFTNVADQEISKMLKGSLEKLPSAPSNRSAVTLTSSSEQTEMSVQHTGARAMELRLSHASDCEQSLLLHNQTSNTRNNLDIGESHTMFLQASGSDELRKDLSDTLFSATTEHKKSPSVPEALSSQKVTNLSHARIRSWTTSHFSEAELWFKVLHEMRRLEMFQIFYPLVITDHLVQELTKSLSSKTEQTQYDLCSRGLDGFHAAEMHSPQKKLTATRSSSFSDMNASIANIAVHSPGAAELLAHNKTSKQPSHVSISAKISKVDSTKEQEKGKVKRRFFSMAPGPDPRLTRPSQRPSPGAGRM
+>sp|Q86UN6|AKA28_HUMAN A-kinase anchor protein 14 OS=Homo sapiens OX=9606 GN=AKAP14 PE=1 SV=1
+MSETQNSTSQKAMDEDNKAASQTMPNTQDKNYEDELTQVALALVEDVINYAVKIVEEERNPLKNIKWMTHGEFTVEKGLKQIDEYFSKCVSKKCWAHGVEFVERKDLIHSFLYIYYVHWSISTADLPVARISAGTYFTMKVSKTKPPDAPIVVSYVGDHQALVHRPGMVRFRENWQKNLTDAKYSFMESFPFLFNRV
+>DECOY_sp|Q86UN6|AKA28_HUMAN A-kinase anchor protein 14 OS=Homo sapiens OX=9606 GN=AKAP14 PE=1 SV=1
+VRNFLFPFSEMFSYKADTLNKQWNERFRVMGPRHVLAQHDGVYSVVIPADPPKTKSVKMTFYTGASIRAVPLDATSISWHVYYIYLFSHILDKREVFEVGHAWCKKSVCKSFYEDIQKLGKEVTFEGHTMWKINKLPNREEEVIKVAYNIVDEVLALAVQTLEDEYNKDQTNPMTQSAAKNDEDMAKQSTSNQTESM
+>sp|P0CW23|AKAI1_HUMAN A-kinase anchor protein inhibitor 1 OS=Homo sapiens OX=9606 GN=AKAIN1 PE=1 SV=1
+MVFAPGEKPGNEPEEVKLQNASKQIVQNAILQAVQQVSQESQRREERISDNRDHIQLGVGELTKKHEKK
+>DECOY_sp|P0CW23|AKAI1_HUMAN A-kinase anchor protein inhibitor 1 OS=Homo sapiens OX=9606 GN=AKAIN1 PE=1 SV=1
+KKEHKKTLEGVGLQIHDRNDSIREERRQSEQSVQQVAQLIANQVIQKSANQLKVEEPENGPKEGPAFVM
+>sp|O43823|AKAP8_HUMAN A-kinase anchor protein 8 OS=Homo sapiens OX=9606 GN=AKAP8 PE=1 SV=1
+MDQGYGGYGAWSAGPANTQGAYGTGVASWQGYENYNYYGAQNTSVTTGATYSYGPASWEAAKANDGGLAAGAPAMHMASYGPEPCTDNSDSLIAKINQRLDMMSKEGGRGGSGGGGEGIQDRESSFRFQPFESYDSRPCLPEHNPYRPSYSYDYEFDLGSDRNGSFGGQYSECRDPARERGSLDGFMRGRGQGRFQDRSNPGTFMRSDPFVPPAASSEPLSTPWNELNYVGGRGLGGPSPSRPPPSLFSQSMAPDYGVMGMQGAGGYDSTMPYGCGRSQPRMRDRDRPKRRGFDRFGPDGTGRKRKQFQLYEEPDTKLARVDSEGDFSENDDAAGDFRSGDEEFKGEDELCDSGRQRGEKEDEDEDVKKRREKQRRRDRTRDRAADRIQFACSVCKFRSFDDEEIQKHLQSKFHKETLRFISTKLPDKTVEFLQEYIVNRNKKIEKRRQELMEKETAKPKPDPFKGIGQEHFFKKIEAAHCLACDMLIPAQPQLLQRHLHSVDHNHNRRLAAEQFKKTSLHVAKSVLNNRHIVKMLEKYLKGEDPFTSETVDPEMEGDDNLGGEDKKETPEEVAADVLAEVITAAVRAVDGEGAPAPESSGEPAEDEGPTDTAEAGSDPQAEQLLEEQVPCGTAHEKGVPKARSEAAEAGNGAETMAAEAESAQTRVAPAPAAADAEVEQTDAESKDAVPTE
+>DECOY_sp|O43823|AKAP8_HUMAN A-kinase anchor protein 8 OS=Homo sapiens OX=9606 GN=AKAP8 PE=1 SV=1
+ETPVADKSEADTQEVEADAAAPAPAVRTQASEAEAAMTEAGNGAEAAESRAKPVGKEHATGCPVQEELLQEAQPDSGAEATDTPGEDEAPEGSSEPAPAGEGDVARVAATIVEALVDAAVEEPTEKKDEGGLNDDGEMEPDVTESTFPDEGKLYKELMKVIHRNNLVSKAVHLSTKKFQEAALRRNHNHDVSHLHRQLLQPQAPILMDCALCHAAEIKKFFHEQGIGKFPDPKPKATEKEMLEQRRKEIKKNRNVIYEQLFEVTKDPLKTSIFRLTEKHFKSQLHKQIEEDDFSRFKCVSCAFQIRDAARDRTRDRRRQKERRKKVDEDEDEKEGRQRGSDCLEDEGKFEEDGSRFDGAADDNESFDGESDVRALKTDPEEYLQFQKRKRGTGDPGFRDFGRRKPRDRDRMRPQSRGCGYPMTSDYGGAGQMGMVGYDPAMSQSFLSPPPRSPSPGGLGRGGVYNLENWPTSLPESSAAPPVFPDSRMFTGPNSRDQFRGQGRGRMFGDLSGRERAPDRCESYQGGFSGNRDSGLDFEYDYSYSPRYPNHEPLCPRSDYSEFPQFRFSSERDQIGEGGGGSGGRGGEKSMMDLRQNIKAILSDSNDTCPEPGYSAMHMAPAGAALGGDNAKAAEWSAPGYSYTAGTTVSTNQAGYYNYNEYGQWSAVGTGYAGQTNAPGASWAGYGGYGQDM
+>sp|Q6UX46|ALKL2_HUMAN ALK and LTK ligand 2 OS=Homo sapiens OX=9606 GN=ALKAL2 PE=1 SV=2
+MRGPGHPLLLGLLLVLGAAGRGRGGAEPREPADGQALLRLVVELVQELRKHHSAEHKGLQLLGRDCALGRAEAAGLGPSPEQRVEIVPRDLRMKDKFLKHLTGPLYFSPKCSKHFHRLYHNTRDCTIPAYYKRCARLLTRLAVSPVCMEDKQ
+>DECOY_sp|Q6UX46|ALKL2_HUMAN ALK and LTK ligand 2 OS=Homo sapiens OX=9606 GN=ALKAL2 PE=1 SV=2
+QKDEMCVPSVALRTLLRACRKYYAPITCDRTNHYLRHFHKSCKPSFYLPGTLHKLFKDKMRLDRPVIEVRQEPSPGLGAAEARGLACDRGLLQLGKHEASHHKRLEQVLEVVLRLLAQGDAPERPEAGGRGRGAAGLVLLLGLLLPHGPGRM
+>sp|P35858|ALS_HUMAN Insulin-like growth factor-binding protein complex acid labile subunit OS=Homo sapiens OX=9606 GN=IGFALS PE=1 SV=1
+MALRKGGLALALLLLSWVALGPRSLEGADPGTPGEAEGPACPAACVCSYDDDADELSVFCSSRNLTRLPDGVPGGTQALWLDGNNLSSVPPAAFQNLSSLGFLNLQGGQLGSLEPQALLGLENLCHLHLERNQLRSLALGTFAHTPALASLGLSNNRLSRLEDGLFEGLGSLWDLNLGWNSLAVLPDAAFRGLGSLRELVLAGNRLAYLQPALFSGLAELRELDLSRNALRAIKANVFVQLPRLQKLYLDRNLIAAVAPGAFLGLKALRWLDLSHNRVAGLLEDTFPGLLGLRVLRLSHNAIASLRPRTFKDLHFLEELQLGHNRIRQLAERSFEGLGQLEVLTLDHNQLQEVKAGAFLGLTNVAVMNLSGNCLRNLPEQVFRGLGKLHSLHLEGSCLGRIRPHTFTGLSGLRRLFLKDNGLVGIEEQSLWGLAELLELDLTSNQLTHLPHRLFQGLGKLEYLLLSRNRLAELPADALGPLQRAFWLDVSHNRLEALPNSLLAPLGRLRYLSLRNNSLRTFTPQPPGLERLWLEGNPWDCGCPLKALRDFALQNPSAVPRFVQAICEGDDCQPPAYTYNNITCASPPEVVGLDLRDLSEAHFAPC
+>DECOY_sp|P35858|ALS_HUMAN Insulin-like growth factor-binding protein complex acid labile subunit OS=Homo sapiens OX=9606 GN=IGFALS PE=1 SV=1
+CPAFHAESLDRLDLGVVEPPSACTINNYTYAPPQCDDGECIAQVFRPVASPNQLAFDRLAKLPCGCDWPNGELWLRELGPPQPTFTRLSNNRLSLYRLRGLPALLSNPLAELRNHSVDLWFARQLPGLADAPLEALRNRSLLLYELKGLGQFLRHPLHTLQNSTLDLELLEALGWLSQEEIGVLGNDKLFLRRLGSLGTFTHPRIRGLCSGELHLSHLKGLGRFVQEPLNRLCNGSLNMVAVNTLGLFAGAKVEQLQNHDLTLVELQGLGEFSREALQRIRNHGLQLEELFHLDKFTRPRLSAIANHSLRLVRLGLLGPFTDELLGAVRNHSLDLWRLAKLGLFAGPAVAAILNRDLYLKQLRPLQVFVNAKIARLANRSLDLERLEALGSFLAPQLYALRNGALVLERLSGLGRFAADPLVALSNWGLNLDWLSGLGEFLGDELRSLRNNSLGLSALAPTHAFTGLALSRLQNRELHLHCLNELGLLAQPELSGLQGGQLNLFGLSSLNQFAAPPVSSLNNGDLWLAQTGGPVGDPLRTLNRSSCFVSLEDADDDYSCVCAAPCAPGEAEGPTGPDAGELSRPGLAVWSLLLLALALGGKRLAM
+>sp|Q15699|ALX1_HUMAN ALX homeobox protein 1 OS=Homo sapiens OX=9606 GN=ALX1 PE=1 SV=2
+MEFLSEKFALKSPPSKNSDFYMGAGGPLEHVMETLDNESFYSKASAGKCVQAFGPLPRAEHHVRLERTSPCQDSSVNYGITKVEGQPLHTELNRAMDNCNSLRMSPVKGMQEKGELDELGDKCDSNVSSSKKRRHRTTFTSLQLEELEKVFQKTHYPDVYVREQLALRTELTEARVQVWFQNRRAKWRKRERYGQIQQAKSHFAATYDISVLPRTDSYPQIQNNLWAGNASGGSVVTSCMLPRDTSSCMTPYSHSPRTDSSYTGFSNHQNQFSHVPLNNFFTDSLLTGATNGHAFETKPEFERRSSSIAVLRMKAKEHTANISWAM
+>DECOY_sp|Q15699|ALX1_HUMAN ALX homeobox protein 1 OS=Homo sapiens OX=9606 GN=ALX1 PE=1 SV=2
+MAWSINATHEKAKMRLVAISSSRREFEPKTEFAHGNTAGTLLSDTFFNNLPVHSFQNQHNSFGTYSSDTRPSHSYPTMCSSTDRPLMCSTVVSGGSANGAWLNNQIQPYSDTRPLVSIDYTAAFHSKAQQIQGYRERKRWKARRNQFWVQVRAETLETRLALQERVYVDPYHTKQFVKELEELQLSTFTTRHRRKKSSSVNSDCKDGLEDLEGKEQMGKVPSMRLSNCNDMARNLETHLPQGEVKTIGYNVSSDQCPSTRELRVHHEARPLPGFAQVCKGASAKSYFSENDLTEMVHELPGGAGMYFDSNKSPPSKLAFKESLFEM
+>sp|O95076|ALX3_HUMAN Homeobox protein aristaless-like 3 OS=Homo sapiens OX=9606 GN=ALX3 PE=1 SV=2
+MDPEHCAPFRVGPAPGPYVASGDEPPGPQGTPAAAPHLHPAPPRGPRLTRFPACGPLEPYLPEPAKPPAKYLQDLGPGPALNGGHFYEGPAEAEEKTSKAASFPQLPLDCRGGPRDGPSNLQGSPGPCLASLHLPLSPGLPDSMELAKNKSKKRRNRTTFSTFQLEELEKVFQKTHYPDVYAREQLALRTDLTEARVQVWFQNRRAKWRKRERYGKIQEGRNPFTAAYDISVLPRTDSHPQLQNSLWASPGSGSPGGPCLVSPEGIPSPCMSPYSHPHGSVAGFMGVPAPSAAHPGIYSIHGFPPTLGGHSFEPSSDGDYKSPSLVSLRVKPKEPPGLLNWTT
+>DECOY_sp|O95076|ALX3_HUMAN Homeobox protein aristaless-like 3 OS=Homo sapiens OX=9606 GN=ALX3 PE=1 SV=2
+TTWNLLGPPEKPKVRLSVLSPSKYDGDSSPEFSHGGLTPPFGHISYIGPHAASPAPVGMFGAVSGHPHSYPSMCPSPIGEPSVLCPGGPSGSGPSAWLSNQLQPHSDTRPLVSIDYAATFPNRGEQIKGYRERKRWKARRNQFWVQVRAETLDTRLALQERAYVDPYHTKQFVKELEELQFTSFTTRNRRKKSKNKALEMSDPLGPSLPLHLSALCPGPSGQLNSPGDRPGGRCDLPLQPFSAAKSTKEEAEAPGEYFHGGNLAPGPGLDQLYKAPPKAPEPLYPELPGCAPFRTLRPGRPPAPHLHPAAAPTGQPGPPEDGSAVYPGPAPGVRFPACHEPDM
+>sp|P19021|AMD_HUMAN Peptidyl-glycine alpha-amidating monooxygenase OS=Homo sapiens OX=9606 GN=PAM PE=1 SV=2
+MAGRVPSLLVLLVFPSSCLAFRSPLSVFKRFKETTRPFSNECLGTTRPVVPIDSSDFALDIRMPGVTPKQSDTYFCMSMRIPVDEEAFVIDFKPRASMDTVHHMLLFGCNMPSSTGSYWFCDEGTCTDKANILYAWARNAPPTRLPKGVGFRVGGETGSKYFVLQVHYGDISAFRDNNKDCSGVSLHLTRLPQPLIAGMYLMMSVDTVIPAGEKVVNSDISCHYKNYPMHVFAYRVHTHHLGKVVSGYRVRNGQWTLIGRQSPQLPQAFYPVGHPVDVSFGDLLAARCVFTGEGRTEATHIGGTSSDEMCNLYIMYYMEAKHAVSFMTCTQNVAPDMFRTIPPEANIPIPVKSDMVMMHEHHKETEYKDKIPLLQQPKREEEEVLDQGDFYSLLSKLLGEREDVVHVHKYNPTEKAESESDLVAEIANVVQKKDLGRSDAREGAEHERGNAILVRDRIHKFHRLVSTLRPPESRVFSLQQPPPGEGTWEPEHTGDFHMEEALDWPGVYLLPGQVSGVALDPKNNLVIFHRGDHVWDGNSFDSKFVYQQIGLGPIEEDTILVIDPNNAAVLQSSGKNLFYLPHGLSIDKDGNYWVTDVALHQVFKLDPNNKEGPVLILGRSMQPGSDQNHFCQPTDVAVDPGTGAIYVSDGYCNSRIVQFSPSGKFITQWGEESSGSSPLPGQFTVPHSLALVPLLGQLCVADRENGRIQCFKTDTKEFVREIKHSSFGRNVFAISYIPGLLFAVNGKPHFGDQEPVQGFVMNFSNGEIIDIFKPVRKHFDMPHDIVASEDGTVYIGDAHTNTVWKFTLTEKLEHRSVKKAGIEVQEIKEAEAVVETKMENKPTSSELQKMQEKQKLIKEPGSGVPVVLITTLLVIPVVVLLAIAIFIRWKKSRAFGDSEHKLETSSGRVLGRFRGKGSGGLNLGNFFASRKGYSRKGFDRLSTEGSDQEKEDDGSESEEEYSAPLPALAPSSS
+>DECOY_sp|P19021|AMD_HUMAN Peptidyl-glycine alpha-amidating monooxygenase OS=Homo sapiens OX=9606 GN=PAM PE=1 SV=2
+SSSPALAPLPASYEEESESGDDEKEQDSGETSLRDFGKRSYGKRSAFFNGLNLGGSGKGRFRGLVRGSSTELKHESDGFARSKKWRIFIAIALLVVVPIVLLTTILVVPVGSGPEKILKQKEQMKQLESSTPKNEMKTEVVAEAEKIEQVEIGAKKVSRHELKETLTFKWVTNTHADGIYVTGDESAVIDHPMDFHKRVPKFIDIIEGNSFNMVFGQVPEQDGFHPKGNVAFLLGPIYSIAFVNRGFSSHKIERVFEKTDTKFCQIRGNERDAVCLQGLLPVLALSHPVTFQGPLPSSGSSEEGWQTIFKGSPSFQVIRSNCYGDSVYIAGTGPDVAVDTPQCFHNQDSGPQMSRGLILVPGEKNNPDLKFVQHLAVDTVWYNGDKDISLGHPLYFLNKGSSQLVAANNPDIVLITDEEIPGLGIQQYVFKSDFSNGDWVHDGRHFIVLNNKPDLAVGSVQGPLLYVGPWDLAEEMHFDGTHEPEWTGEGPPPQQLSFVRSEPPRLTSVLRHFKHIRDRVLIANGREHEAGERADSRGLDKKQVVNAIEAVLDSESEAKETPNYKHVHVVDEREGLLKSLLSYFDGQDLVEEEERKPQQLLPIKDKYETEKHHEHMMVMDSKVPIPINAEPPITRFMDPAVNQTCTMFSVAHKAEMYYMIYLNCMEDSSTGGIHTAETRGEGTFVCRAALLDGFSVDVPHGVPYFAQPLQPSQRGILTWQGNRVRYGSVVKGLHHTHVRYAFVHMPYNKYHCSIDSNVVKEGAPIVTDVSMMLYMGAILPQPLRTLHLSVGSCDKNNDRFASIDGYHVQLVFYKSGTEGGVRFGVGKPLRTPPANRAWAYLINAKDTCTGEDCFWYSGTSSPMNCGFLLMHHVTDMSARPKFDIVFAEEDVPIRMSMCFYTDSQKPTVGPMRIDLAFDSSDIPVVPRTTGLCENSFPRTTEKFRKFVSLPSRFALCSSPFVLLVLLSPVRGAM
+>sp|Q9H4A4|AMPB_HUMAN Aminopeptidase B OS=Homo sapiens OX=9606 GN=RNPEP PE=1 SV=2
+MASGEHSPGSGAARRPLHSAQAVDVASASNFRAFELLHLHLDLRAEFGPPGPGAGSRGLSGTAVLDLRCLEPEGAAELRLDSHPCLEVTAAALRRERPGSEEPPAEPVSFYTQPFSHYGQALCVSFPQPCRAAERLQVLLTYRVGEGPGVCWLAPEQTAGKKKPFVYTQGQAVLNRAFFPCFDTPAVKYKYSALIEVPDGFTAVMSASTWEKRGPNKFFFQMCQPIPSYLIALAIGDLVSAEVGPRSRVWAEPCLIDAAKEEYNGVIEEFLATGEKLFGPYVWGRYDLLFMPPSFPFGGMENPCLTFVTPCLLAGDRSLADVIIHEISHSWFGNLVTNANWGEFWLNEGFTMYAQRRISTILFGAAYTCLEAATGRALLRQHMDITGEENPLNKLRVKIEPGVDPDDTYNETPYEKGFCFVSYLAHLVGDQDQFDSFLKAYVHEFKFRSILADDFLDFYLEYFPELKKKRVDIIPGFEFDRWLNTPGWPPYLPDLSPGDSLMKPAEELAQLWAAEELDMKAIEAVAISPWKTYQLVYFLDKILQKSPLPPGNVKKLGDTYPSISNARNAELRLRWGQIVLKNDHQEDFWKVKEFLHNQGKQKYTLPLYHAMMGGSEVAQTLAKETFASTASQLHSNVVNYVQQIVAPKGS
+>DECOY_sp|Q9H4A4|AMPB_HUMAN Aminopeptidase B OS=Homo sapiens OX=9606 GN=RNPEP PE=1 SV=2
+SGKPAVIQQVYNVVNSHLQSATSAFTEKALTQAVESGGMMAHYLPLTYKQKGQNHLFEKVKWFDEQHDNKLVIQGWRLRLEANRANSISPYTDGLKKVNGPPLPSKQLIKDLFYVLQYTKWPSIAVAEIAKMDLEEAAWLQALEEAPKMLSDGPSLDPLYPPWGPTNLWRDFEFGPIIDVRKKKLEPFYELYFDLFDDALISRFKFEHVYAKLFSDFQDQDGVLHALYSVFCFGKEYPTENYTDDPDVGPEIKVRLKNLPNEEGTIDMHQRLLARGTAAELCTYAAGFLITSIRRQAYMTFGENLWFEGWNANTVLNGFWSHSIEHIIVDALSRDGALLCPTVFTLCPNEMGGFPFSPPMFLLDYRGWVYPGFLKEGTALFEEIVGNYEEKAADILCPEAWVRSRPGVEASVLDGIALAILYSPIPQCMQFFFKNPGRKEWTSASMVATFGDPVEILASYKYKVAPTDFCPFFARNLVAQGQTYVFPKKKGATQEPALWCVGPGEGVRYTLLVQLREAARCPQPFSVCLAQGYHSFPQTYFSVPEAPPEESGPRERRLAAATVELCPHSDLRLEAAGEPELCRLDLVATGSLGRSGAGPGPPGFEARLDLHLHLLEFARFNSASAVDVAQASHLPRRAAGSGPSHEGSAM
+>sp|P23109|AMPD1_HUMAN AMP deaminase 1 OS=Homo sapiens OX=9606 GN=AMPD1 PE=1 SV=2
+MNVRIFYSVSQSPHSLLSLLFYCAILESRISATMPLFKLPAEEKQIDDAMRNFAEKVFASEVKDEGGRQEISPFDVDEICPISHHEMQAHIFHLETLSTSTEARRKKRFQGRKTVNLSIPLSETSSTKLSHIDEYISSSPTYQTVPDFQRVQITGDYASGVTVEDFEIVCKGLYRALCIREKYMQKSFQRFPKTPSKYLRNIDGEAWVANESFYPVFTPPVKKGEDPFRTDNLPENLGYHLKMKDGVVYVYPNEAAVSKDEPKPLPYPNLDTFLDDMNFLLALIAQGPVKTYTHRRLKFLSSKFQVHQMLNEMDELKELKNNPHRDFYNCRKVDTHIHAAACMNQKHLLRFIKKSYQIDADRVVYSTKEKNLTLKELFAKLKMHPYDLTVDSLDVHAGRQTFQRFDKFNDKYNPVGASELRDLYLKTDNYINGEYFATIIKEVGADLVEAKYQHAEPRLSIYGRSPDEWSKLSSWFVCNRIHCPNMTWMIQVPRIYDVFRSKNFLPHFGKMLENIFMPVFEATINPQADPELSVFLKHITGFDSVDDESKHSGHMFSSKSPKPQEWTLEKNPSYTYYAYYMYANIMVLNSLRKERGMNTFLFRPHCGEAGALTHLMTAFMIADDISHGLNLKKSPVLQYLFFLAQIPIAMSPLSNNSLFLEYAKNPFLDFLQKGLMISLSTDDPMQFHFTKEPLMEEYAIAAQVFKLSTCDMCEVARNSVLQCGISHEEKVKFLGDNYLEEGPAGNDIRRTNVAQIRMAYRYETWCYELNLIAEGLKSTE
+>DECOY_sp|P23109|AMPD1_HUMAN AMP deaminase 1 OS=Homo sapiens OX=9606 GN=AMPD1 PE=1 SV=2
+ETSKLGEAILNLEYCWTEYRYAMRIQAVNTRRIDNGAPGEELYNDGLFKVKEEHSIGCQLVSNRAVECMDCTSLKFVQAAIAYEEMLPEKTFHFQMPDDTSLSIMLGKQLFDLFPNKAYELFLSNNSLPSMAIPIQALFFLYQLVPSKKLNLGHSIDDAIMFATMLHTLAGAEGCHPRFLFTNMGREKRLSNLVMINAYMYYAYYTYSPNKELTWEQPKPSKSSFMHGSHKSEDDVSDFGTIHKLFVSLEPDAQPNITAEFVPMFINELMKGFHPLFNKSRFVDYIRPVQIMWTMNPCHIRNCVFWSSLKSWEDPSRGYISLRPEAHQYKAEVLDAGVEKIITAFYEGNIYNDTKLYLDRLESAGVPNYKDNFKDFRQFTQRGAHVDLSDVTLDYPHMKLKAFLEKLTLNKEKTSYVVRDADIQYSKKIFRLLHKQNMCAAAHIHTDVKRCNYFDRHPNNKLEKLEDMENLMQHVQFKSSLFKLRRHTYTKVPGQAILALLFNMDDLFTDLNPYPLPKPEDKSVAAENPYVYVVGDKMKLHYGLNEPLNDTRFPDEGKKVPPTFVPYFSENAVWAEGDINRLYKSPTKPFRQFSKQMYKERICLARYLGKCVIEFDEVTVGSAYDGTIQVRQFDPVTQYTPSSSIYEDIHSLKTSSTESLPISLNVTKRGQFRKKRRAETSTSLTELHFIHAQMEHHSIPCIEDVDFPSIEQRGGEDKVESAFVKEAFNRMADDIQKEEAPLKFLPMTASIRSELIACYFLLSLLSHPSQSVSYFIRVNM
+>sp|Q01432|AMPD3_HUMAN AMP deaminase 3 OS=Homo sapiens OX=9606 GN=AMPD3 PE=1 SV=1
+MPRQFPKLNISEVDEQVRLLAEKVFAKVLREEDSKDALSLFTVPEDCPIGQKEAKERELQKELAEQKSVETAKRKKSFKMIRSQSLSLQMPPQQDWKGPPAASPAMSPTTPVVTGATSLPTPAPYAMPEFQRVTISGDYCAGITLEDYEQAAKSLAKALMIREKYARLAYHRFPRITSQYLGHPRADTAPPEEGLPDFHPPPLPQEDPYCLDDAPPNLDYLVHMQGGILFVYDNKKMLEHQEPHSLPYPDLETYTVDMSHILALITDGPTKTYCHRRLNFLESKFSLHEMLNEMSEFKELKSNPHRDFYNVRKVDTHIHAAACMNQKHLLRFIKHTYQTEPDRTVAEKRGRKITLRQVFDGLHMDPYDLTVDSLDVHAGRQTFHRFDKFNSKYNPVGASELRDLYLKTENYLGGEYFARMVKEVARELEESKYQYSEPRLSIYGRSPEEWPNLAYWFIQHKVYSPNMRWIIQVPRIYDIFRSKKLLPNFGKMLENIFLPLFKATINPQDHRELHLFLKYVTGFDSVDDESKHSDHMFSDKSPNPDVWTSEQNPPYSYYLYYMYANIMVLNNLRRERGLSTFLFRPHCGEAGSITHLVSAFLTADNISHGLLLKKSPVLQYLYYLAQIPIAMSPLSNNSLFLEYSKNPLREFLHKGLHVSLSTDDPMQFHYTKEALMEEYAIAAQVWKLSTCDLCEIARNSVLQSGLSHQEKQKFLGQNYYKEGPEGNDIRKTNVAQIRMAFRYETLCNELSFLSDAMKSEEITALTN
+>DECOY_sp|Q01432|AMPD3_HUMAN AMP deaminase 3 OS=Homo sapiens OX=9606 GN=AMPD3 PE=1 SV=1
+NTLATIEESKMADSLFSLENCLTEYRFAMRIQAVNTKRIDNGEPGEKYYNQGLFKQKEQHSLGSQLVSNRAIECLDCTSLKWVQAAIAYEEMLAEKTYHFQMPDDTSLSVHLGKHLFERLPNKSYELFLSNNSLPSMAIPIQALYYLYQLVPSKKLLLGHSINDATLFASVLHTISGAEGCHPRFLFTSLGRERRLNNLVMINAYMYYLYYSYPPNQESTWVDPNPSKDSFMHDSHKSEDDVSDFGTVYKLFLHLERHDQPNITAKFLPLFINELMKGFNPLLKKSRFIDYIRPVQIIWRMNPSYVKHQIFWYALNPWEEPSRGYISLRPESYQYKSEELERAVEKVMRAFYEGGLYNETKLYLDRLESAGVPNYKSNFKDFRHFTQRGAHVDLSDVTLDYPDMHLGDFVQRLTIKRGRKEAVTRDPETQYTHKIFRLLHKQNMCAAAHIHTDVKRVNYFDRHPNSKLEKFESMENLMEHLSFKSELFNLRRHCYTKTPGDTILALIHSMDVTYTELDPYPLSHPEQHELMKKNDYVFLIGGQMHVLYDLNPPADDLCYPDEQPLPPPHFDPLGEEPPATDARPHGLYQSTIRPFRHYALRAYKERIMLAKALSKAAQEYDELTIGACYDGSITVRQFEPMAYPAPTPLSTAGTVVPTTPSMAPSAAPPGKWDQQPPMQLSLSQSRIMKFSKKRKATEVSKQEALEKQLEREKAEKQGIPCDEPVTFLSLADKSDEERLVKAFVKEALLRVQEDVESINLKPFQRPM
+>sp|P15144|AMPN_HUMAN Aminopeptidase N OS=Homo sapiens OX=9606 GN=ANPEP PE=1 SV=4
+MAKGFYISKSLGILGILLGVAAVCTIIALSVVYSQEKNKNANSSPVASTTPSASATTNPASATTLDQSKAWNRYRLPNTLKPDSYRVTLRPYLTPNDRGLYVFKGSSTVRFTCKEATDVIIIHSKKLNYTLSQGHRVVLRGVGGSQPPDIDKTELVEPTEYLVVHLKGSLVKDSQYEMDSEFEGELADDLAGFYRSEYMEGNVRKVVATTQMQAADARKSFPCFDEPAMKAEFNITLIHPKDLTALSNMLPKGPSTPLPEDPNWNVTEFHTTPKMSTYLLAFIVSEFDYVEKQASNGVLIRIWARPSAIAAGHGDYALNVTGPILNFFAGHYDTPYPLPKSDQIGLPDFNAGAMENWGLVTYRENSLLFDPLSSSSSNKERVVTVIAHELAHQWFGNLVTIEWWNDLWLNEGFASYVEYLGADYAEPTWNLKDLMVLNDVYRVMAVDALASSHPLSTPASEINTPAQISELFDAISYSKGASVLRMLSSFLSEDVFKQGLASYLHTFAYQNTIYLNLWDHLQEAVNNRSIQLPTTVRDIMNRWTLQMGFPVITVDTSTGTLSQEHFLLDPDSNVTRPSEFNYVWIVPITSIRDGRQQQDYWLIDVRAQNDLFSTSGNEWVLLNLNVTGYYRVNYDEENWRKIQTQLQRDHSAIPVINRAQIINDAFNLASAHKVPVTLALNNTLFLIEERQYMPWEAALSSLSYFKLMFDRSEVYGPMKNYLKKQVTPLFIHFRNNTNNWREIPENLMDQYSEVNAISTACSNGVPECEEMVSGLFKQWMENPNNNPIHPNLRSTVYCNAIAQGGEEEWDFAWEQFRNATLVNEADKLRAALACSKELWILNRYLSYTLNPDLIRKQDATSTIISITNNVIGQGLVWDFVQSNWKKLFNDYGGGSFSFSNLIQAVTRRFSTEYELQQLEQFKKDNEETGFGSGTRALEQALEKTKANIKWVKENKEVVLQWFTENSK
+>DECOY_sp|P15144|AMPN_HUMAN Aminopeptidase N OS=Homo sapiens OX=9606 GN=ANPEP PE=1 SV=4
+KSNETFWQLVVEKNEKVWKINAKTKELAQELARTGSGFGTEENDKKFQELQQLEYETSFRRTVAQILNSFSFSGGGYDNFLKKWNSQVFDWVLGQGIVNNTISIITSTADQKRILDPNLTYSLYRNLIWLEKSCALAARLKDAENVLTANRFQEWAFDWEEEGGQAIANCYVTSRLNPHIPNNNPNEMWQKFLGSVMEECEPVGNSCATSIANVESYQDMLNEPIERWNNTNNRFHIFLPTVQKKLYNKMPGYVESRDFMLKFYSLSSLAAEWPMYQREEILFLTNNLALTVPVKHASALNFADNIIQARNIVPIASHDRQLQTQIKRWNEEDYNVRYYGTVNLNLLVWENGSTSFLDNQARVDILWYDQQQRGDRISTIPVIWVYNFESPRTVNSDPDLLFHEQSLTGTSTDVTIVPFGMQLTWRNMIDRVTTPLQISRNNVAEQLHDWLNLYITNQYAFTHLYSALGQKFVDESLFSSLMRLVSAGKSYSIADFLESIQAPTNIESAPTSLPHSSALADVAMVRYVDNLVMLDKLNWTPEAYDAGLYEVYSAFGENLWLDNWWEITVLNGFWQHALEHAIVTVVREKNSSSSSLPDFLLSNERYTVLGWNEMAGANFDPLGIQDSKPLPYPTDYHGAFFNLIPGTVNLAYDGHGAAIASPRAWIRILVGNSAQKEVYDFESVIFALLYTSMKPTTHFETVNWNPDEPLPTSPGKPLMNSLATLDKPHILTINFEAKMAPEDFCPFSKRADAAQMQTTAVVKRVNGEMYESRYFGALDDALEGEFESDMEYQSDKVLSGKLHVVLYETPEVLETKDIDPPQSGGVGRLVVRHGQSLTYNLKKSHIIIVDTAEKCTFRVTSSGKFVYLGRDNPTLYPRLTVRYSDPKLTNPLRYRNWAKSQDLTTASAPNTTASASPTTSAVPSSNANKNKEQSYVVSLAIITCVAAVGLLIGLIGLSKSIYFGKAM
+>sp|Q8N6M6|AMPO_HUMAN Aminopeptidase O OS=Homo sapiens OX=9606 GN=AOPEP PE=1 SV=2
+MDIQLDPARDDLPLMANTSHILVKHYVLDLDVDFESQVIEGTIVLFLEDGNRFKKQNSSIEEACQSESNKACKFGMPEPCHIPVTNARTFSSEMEYNDFAICSKGEKDTSDKDGNHDNQEHASGISSSKYCCDTGNHGSEDFLLVLDCCDLSVLKVEEVDVAAVPGLEKFTRSPELTVVSEEFRNQIVRELVTLPANRWREQLDYYARCSQAPGCGELLFDTDTWSLQIRKTGAQTATDFPHAIRIWYKTKPEGRSVTWTSDQSGRPCVYTVGSPINNRALFPCQEPPVAMSTWQATVRAAASFVVLMSGENSAKPTQLWEECSSWYYYVTMPMPASTFTIAVGCWTEMKMETWSSNDLATERPFSPSEANFRHVGVCSHMEYPCRFQNASATTQEIIPHRVFAPVCLTGACQETLLRLIPPCLSAAHSVLGAHPFSRLDVLIVPANFPSLGMASPHIMFLSQSILTGGNHLCGTRLCHEIAHAWFGLAIGARDWTEEWLSEGFATHLEDVFWATAQQLAPYEAREQQELRACLRWRRLQDEMQCSPEEMQVLRPSKDKTGHTSDSGASVIKHGLNPEKIFMQVHYLKGYFLLRFLAKRLGDETYFSFLRKFVHTFHGQLILSQDFLQMLLENIPEEKRLELSVENIYQDWLESSGIPKPLQRERRAGAECGLARQVRAEVTKWIGVNRRPRKRKRREKEEVFEKLLPDQLVLLLEHLLEQKTLSPRTLQSLQRTYHLQDQDAEVRHRWCELIVKHKFTKAYKSVERFLQEDQAMGVYLYGELMVSEDARQQQLARRCFERTKEQMDRSSAQVVAEMLF
+>DECOY_sp|Q8N6M6|AMPO_HUMAN Aminopeptidase O OS=Homo sapiens OX=9606 GN=AOPEP PE=1 SV=2
+FLMEAVVQASSRDMQEKTREFCRRALQQQRADESVMLEGYLYVGMAQDEQLFREVSKYAKTFKHKVILECWRHRVEADQDQLHYTRQLSQLTRPSLTKQELLHELLLVLQDPLLKEFVEEKERRKRKRPRRNVGIWKTVEARVQRALGCEAGARRERQLPKPIGSSELWDQYINEVSLELRKEEPINELLMQLFDQSLILQGHFTHVFKRLFSFYTEDGLRKALFRLLFYGKLYHVQMFIKEPNLGHKIVSAGSDSTHGTKDKSPRLVQMEEPSCQMEDQLRRWRLCARLEQQERAEYPALQQATAWFVDELHTAFGESLWEETWDRAGIALGFWAHAIEHCLRTGCLHNGGTLISQSLFMIHPSAMGLSPFNAPVILVDLRSFPHAGLVSHAASLCPPILRLLTEQCAGTLCVPAFVRHPIIEQTTASANQFRCPYEMHSCVGVHRFNAESPSFPRETALDNSSWTEMKMETWCGVAITFTSAPMPMTVYYYWSSCEEWLQTPKASNEGSMLVVFSAAARVTAQWTSMAVPPEQCPFLARNNIPSGVTYVCPRGSQDSTWTVSRGEPKTKYWIRIAHPFDTATQAGTKRIQLSWTDTDFLLEGCGPAQSCRAYYDLQERWRNAPLTVLERVIQNRFEESVVTLEPSRTFKELGPVAAVDVEEVKLVSLDCCDLVLLFDESGHNGTDCCYKSSSIGSAHEQNDHNGDKDSTDKEGKSCIAFDNYEMESSFTRANTVPIHCPEPMGFKCAKNSESQCAEEISSNQKKFRNGDELFLVITGEIVQSEFDVDLDLVYHKVLIHSTNAMLPLDDRAPDLQIDM
+>sp|Q6Q4G3|AMPQ_HUMAN Aminopeptidase Q OS=Homo sapiens OX=9606 GN=LVRN PE=1 SV=4
+MGPPSSSGFYVSRAVALLLAGLVAALLLALAVLAALYGHCERVPPSELPGLRDLEAESSPPLRQKPTPTPKPSSARELAVTTTPSNWRPPGPWDQLRLPPWLVPLHYDLELWPQLRPDELPAGSLPFTGRVNITVRCTVATSRLLLHSLFQDCERAEVRGPLSPGTGNATVGRVPVDDVWFALDTEYMVLELSEPLKPGSSYELQLSFSGLVKEDLREGLFLNVYTDQGERRALLASQLEPTFARYVFPCFDEPALKATFNITMIHHPSYVALSNMPKLGQSEKEDVNGSKWTVTTFSTTPHMPTYLVAFVICDYDHVNRTERGKEIRIWARKDAIANGSADFALNITGPIFSFLEDLFNISYSLPKTDIIALPSFDNHAMENWGLMIFDESGLLLEPKDQLTEKKTLISYVVSHEIGHQWFGNLVTMNWWNNIWLNEGFASYFEFEVINYFNPKLPRNEIFFSNILHNILREDHALVTRAVAMKVENFKTSEIQELFDIFTYSKGASMARMLSCFLNEHLFVSALKSYLKTFSYSNAEQDDLWRHFQMAIDDQSTVILPATIKNIMDSWTHQSGFPVITLNVSTGVMKQEPFYLENIKNRTLLTSNDTWIVPILWIKNGTTQPLVWLDQSSKVFPEMQVSDSDHDWVILNLNMTGYYRVNYDKLGWKKLNQQLEKDPKAIPVIHRLQLIDDAFSLSKNNYIEIETALELTKYLAEEDEIIVWHTVLVNLVTRDLVSEVNIYDIYSLLKRYLLKRLNLIWNIYSTIIRENVLALQDDYLALISLEKLFVTACWLGLEDCLQLSKELFAKWVDHPENEIPYPIKDVVLCYGIALGSDKEWDILLNTYTNTTNKEEKIQLAYAMSCSKDPWILNRYMEYAISTSPFTSNETNIIEVVASSEVGRYVAKDFLVNNWQAVSKRYGTQSLINLIYTIGRTVTTDLQIVELQQFFSNMLEEHQRIRVHANLQTIKNENLKNKKLSARIAAWLRRNT
+>DECOY_sp|Q6Q4G3|AMPQ_HUMAN Aminopeptidase Q OS=Homo sapiens OX=9606 GN=LVRN PE=1 SV=4
+TNRRLWAAIRASLKKNKLNENKITQLNAHVRIRQHEELMNSFFQQLEVIQLDTTVTRGITYILNILSQTGYRKSVAQWNNVLFDKAVYRGVESSAVVEIINTENSTFPSTSIAYEMYRNLIWPDKSCSMAYALQIKEEKNTTNTYTNLLIDWEKDSGLAIGYCLVVDKIPYPIENEPHDVWKAFLEKSLQLCDELGLWCATVFLKELSILALYDDQLALVNERIITSYINWILNLRKLLYRKLLSYIDYINVESVLDRTVLNVLVTHWVIIEDEEALYKTLELATEIEIYNNKSLSFADDILQLRHIVPIAKPDKELQQNLKKWGLKDYNVRYYGTMNLNLIVWDHDSDSVQMEPFVKSSQDLWVLPQTTGNKIWLIPVIWTDNSTLLTRNKINELYFPEQKMVGTSVNLTIVPFGSQHTWSDMINKITAPLIVTSQDDIAMQFHRWLDDQEANSYSFTKLYSKLASVFLHENLFCSLMRAMSAGKSYTFIDFLEQIESTKFNEVKMAVARTVLAHDERLINHLINSFFIENRPLKPNFYNIVEFEFYSAFGENLWINNWWNMTVLNGFWQHGIEHSVVYSILTKKETLQDKPELLLGSEDFIMLGWNEMAHNDFSPLAIIDTKPLSYSINFLDELFSFIPGTINLAFDASGNAIADKRAWIRIEKGRETRNVHDYDCIVFAVLYTPMHPTTSFTTVTWKSGNVDEKESQGLKPMNSLAVYSPHHIMTINFTAKLAPEDFCPFVYRAFTPELQSALLARREGQDTYVNLFLGERLDEKVLGSFSLQLEYSSGPKLPESLELVMYETDLAFWVDDVPVRGVTANGTGPSLPGRVEARECDQFLSHLLLRSTAVTCRVTINVRGTFPLSGAPLEDPRLQPWLELDYHLPVLWPPLRLQDWPGPPRWNSPTTTVALERASSPKPTPTPKQRLPPSSEAELDRLGPLESPPVRECHGYLAALVALALLLAAVLGALLLAVARSVYFGSSSPPGM
+>sp|Q6UX39|AMTN_HUMAN Amelotin OS=Homo sapiens OX=9606 GN=AMTN PE=1 SV=1
+MRSTILLFCLLGSTRSLPQLKPALGLPPTKLAPDQGTLPNQQQSNQVFPSLSLIPLTQMLTLGPDLHLLNPAAGMTPGTQTHPLTLGGLNVQQQLHPHVLPIFVTQLGAQGTILSSEELPQIFTSLIIHSLFPGGILPTSQAGANPDVQDGSLPAGGAGVNPATQGTPAGRLPTPSGTDDDFAVTTPAGIQRSTHAIEEATTESANGIQ
+>DECOY_sp|Q6UX39|AMTN_HUMAN Amelotin OS=Homo sapiens OX=9606 GN=AMTN PE=1 SV=1
+QIGNASETTAEEIAHTSRQIGAPTTVAFDDDTGSPTPLRGAPTGQTAPNVGAGGAPLSGDQVDPNAGAQSTPLIGGPFLSHIILSTFIQPLEESSLITGQAGLQTVFIPLVHPHLQQQVNLGGLTLPHTQTGPTMGAAPNLLHLDPGLTLMQTLPILSLSPFVQNSQQQNPLTGQDPALKTPPLGLAPKLQPLSRTSGLLCFLLITSRM
+>sp|P19961|AMY2B_HUMAN Alpha-amylase 2B OS=Homo sapiens OX=9606 GN=AMY2B PE=1 SV=1
+MKFFLLLFTIGFCWAQYSPNTQQGRTSIVHLFEWRWVDIALECERYLAPKGFGGVQVSPPNENVAIHNPFRPWWERYQPVSYKLCTRSGNEDEFRNMVTRCNNVGVRIYVDAVINHMSGNAVSAGTSSTCGSYFNPGSRDFPAVPYSGWDFNDGKCKTGSGDIENYNDATQVRDCRLVGLLDLALEKDYVRSKIAEYMNHLIDIGVAGFRLDASKHMWPGDIKAILDKLHNLNSNWFPAGSKPFIYQEVIDLGGEPIKSSDYFGNGRVTEFKYGAKLGTVIRKWNGEKMSYLKNWGEGWGFMPSDRALVFVDNHDNQRGHGAGGASILTFWDARLYKMAVGFMLAHPYGFTRVMSSYRWPRQFQNGNDVNDWVGPPNNNGVIKEVTINPDTTCGNDWVCEHRWRQIRNMVNFRNVVDGQPFTNWYDNGSNQVAFGRGNRGFIVFNNDDWTFSLTLQTGLPAGTYCDVISGDKINGNCTGIKIYVSDDGKAHFSISNSAEDPFIAIHAESKL
+>DECOY_sp|P19961|AMY2B_HUMAN Alpha-amylase 2B OS=Homo sapiens OX=9606 GN=AMY2B PE=1 SV=1
+LKSEAHIAIFPDEASNSISFHAKGDDSVYIKIGTCNGNIKDGSIVDCYTGAPLGTQLTLSFTWDDNNFVIFGRNGRGFAVQNSGNDYWNTFPQGDVVNRFNVMNRIQRWRHECVWDNGCTTDPNITVEKIVGNNNPPGVWDNVDNGNQFQRPWRYSSMVRTFGYPHALMFGVAMKYLRADWFTLISAGGAGHGRQNDHNDVFVLARDSPMFGWGEGWNKLYSMKEGNWKRIVTGLKAGYKFETVRGNGFYDSSKIPEGGLDIVEQYIFPKSGAPFWNSNLNHLKDLIAKIDGPWMHKSADLRFGAVGIDILHNMYEAIKSRVYDKELALDLLGVLRCDRVQTADNYNEIDGSGTKCKGDNFDWGSYPVAPFDRSGPNFYSGCTSSTGASVANGSMHNIVADVYIRVGVNNCRTVMNRFEDENGSRTCLKYSVPQYREWWPRFPNHIAVNENPPSVQVGGFGKPALYRECELAIDVWRWEFLHVISTRGQQTNPSYQAWCFGITFLLLFFKM
+>sp|Q6ZTN6|AN13D_HUMAN Ankyrin repeat domain-containing protein 13D OS=Homo sapiens OX=9606 GN=ANKRD13D PE=1 SV=2
+MVQLVLQYRDYQRATQRLAGIPELLNKLRQAPDFYVEMKWEFTSWVPLVSKMCPSDVYRVWKRGESLRVDTSLLGFEHMTWQRGRRSFIFKGQEAGALVMEVDHDRQVVHVETLGLTLQEPETLLAAMRPSEEHVASRLTSPIVSTHLDTRNVAFERNKCGIWGWRSEKMETVSGYEAKVYSATNVELVTRTRTEHLSDQDKSRSKAGKTPFQSFLGMAQQHSSHTGAPVQQAASPTNPTAISPEEYFDPNFSLESRNIGRPIEMSSKVQRFKATLWLSEEHPLSLGDQVTPIIDLMAISNAHFAKLRDFITLRLPPGFPVKIEIPLFHVLNARITFSNLCGCDEPLSSVWVPAPSSAVAASGNPFPCEVDPTVFEVPNGYSVLGMERNEPLRDEDDDLLQFAIQQSLLEAGTEAEQVTVWEALTNTRPGARPPPQATVYEEQLQLERALQESLQLSTEPRGPGSPPRTPPAPGPPSFEEQLRLALELSSREQEERERRGQQEEEDLQRILQLSLTEH
+>DECOY_sp|Q6ZTN6|AN13D_HUMAN Ankyrin repeat domain-containing protein 13D OS=Homo sapiens OX=9606 GN=ANKRD13D PE=1 SV=2
+HETLSLQLIRQLDEEEQQGRREREEQERSSLELALRLQEEFSPPGPAPPTRPPSGPGRPETSLQLSEQLARELQLQEEYVTAQPPPRAGPRTNTLAEWVTVQEAETGAELLSQQIAFQLLDDDEDRLPENREMGLVSYGNPVEFVTPDVECPFPNGSAAVASSPAPVWVSSLPEDCGCLNSFTIRANLVHFLPIEIKVPFGPPLRLTIFDRLKAFHANSIAMLDIIPTVQDGLSLPHEESLWLTAKFRQVKSSMEIPRGINRSELSFNPDFYEEPSIATPNTPSAAQQVPAGTHSSHQQAMGLFSQFPTKGAKSRSKDQDSLHETRTRTVLEVNTASYVKAEYGSVTEMKESRWGWIGCKNREFAVNRTDLHTSVIPSTLRSAVHEESPRMAALLTEPEQLTLGLTEVHVVQRDHDVEMVLAGAEQGKFIFSRRGRQWTMHEFGLLSTDVRLSEGRKWVRYVDSPCMKSVLPVWSTFEWKMEVYFDPAQRLKNLLEPIGALRQTARQYDRYQLVLQVM
+>sp|A2A2Z9|AN18B_HUMAN Ankyrin repeat domain-containing protein 18B OS=Homo sapiens OX=9606 GN=ANKRD18B PE=1 SV=1
+MRKLLSFGRRLGQALLSSMDQEYAGRGYHIRDWELRKIHRAAIKGDAAEVEHCLTRRFRDLDVRDRKDRTVLHLACAHGRVQVVTLLLDRKCQINICDRLNRTPLMKAVHCQEEACAIILLKRGANPNIKDIYGNTALHYAVYNEGTSLAERLLSHHANIEALNKEGNTPLLFAINSRRQHMVEFLLKNQANIHAVDNFKRTALILAVQHNLSSIVTLLLQQNIHISSQDMFGQTAEDYAFCCDLRSIQQQILEHKNKMLKNHLRNDNQETAAMKPENLKKRKKRKKLKKRKEGAKAEHNLKVASEEKQERLERSENKQPQDSQSYGKKKDEMFGNFMLKRDIAMLKEELYAIKNDSLRKEKKYIQEIKSITEINANFEKSVRLNEEMITKKVAQYSQQLNDLKAENARLNSKLEKEKHNKERLEAEVESLHSNLATAINEYNEILERKDLELVLWRADDVSRHETMGSNISQLTDKNELLTEQVHKARVKFNTLKGKLRETRDALREKTLALESVQLDLKQAQHRIKEMKQMHPNGEAKESQSIGKQNSSEERIRQRELENLLLERQLEDARKEGDNKEIVINIHRDCLENGKEDLLEERNKELMNEYNYLKEKLLQYEKEKAEREVIVREFQEELVDHLKKFSMSESPLEGTSHCHINLDETWTSKKKLFQVEIQPEEKHEEFRKVFELISLLNYTADQIRKKNRELEEEATGYKKCLEMTINMLNAFANEDFSCHGDLNTDQLKMDILFKKLKQKFDDLMAEKEAVSSKCVNLAKDNEVLHQELLSMGKVQEKCEKLEKDKKMLEEKVLNLKTHMEKDMVELGKVQEYKSELDERAMQAIEKLEEIHLQKQAEYEKQLEQLNKDNTASLKKKELTLKDVECKFSKMKTAYEDVTTELEEYKEAFAVALKANSSMSEKITKSDKKIAVISTKLFMEKERMEYFLSTLPMRPDPELPCVENLNSIELNRKYIPKMAIRIPTSNPQTSNNCKNSLTELLLRWALAPIYFLL
+>DECOY_sp|A2A2Z9|AN18B_HUMAN Ankyrin repeat domain-containing protein 18B OS=Homo sapiens OX=9606 GN=ANKRD18B PE=1 SV=1
+LLFYIPALAWRLLLETLSNKCNNSTQPNSTPIRIAMKPIYKRNLEISNLNEVCPLEPDPRMPLTSLFYEMREKEMFLKTSIVAIKKDSKTIKESMSSNAKLAVAFAEKYEELETTVDEYATKMKSFKCEVDKLTLEKKKLSATNDKNLQELQKEYEAQKQLHIEELKEIAQMAREDLESKYEQVKGLEVMDKEMHTKLNLVKEELMKKDKELKECKEQVKGMSLLEQHLVENDKALNVCKSSVAEKEAMLDDFKQKLKKFLIDMKLQDTNLDGHCSFDENAFANLMNITMELCKKYGTAEEELERNKKRIQDATYNLLSILEFVKRFEEHKEEPQIEVQFLKKKSTWTEDLNIHCHSTGELPSESMSFKKLHDVLEEQFERVIVEREAKEKEYQLLKEKLYNYENMLEKNREELLDEKGNELCDRHINIVIEKNDGEKRADELQRELLLNELERQRIREESSNQKGISQSEKAEGNPHMQKMEKIRHQAQKLDLQVSELALTKERLADRTERLKGKLTNFKVRAKHVQETLLENKDTLQSINSGMTEHRSVDDARWLVLELDKRELIENYENIATALNSHLSEVEAELREKNHKEKELKSNLRANEAKLDNLQQSYQAVKKTIMEENLRVSKEFNANIETISKIEQIYKKEKRLSDNKIAYLEEKLMAIDRKLMFNGFMEDKKKGYSQSDQPQKNESRELREQKEESAVKLNHEAKAGEKRKKLKKRKKRKKLNEPKMAATEQNDNRLHNKLMKNKHELIQQQISRLDCCFAYDEATQGFMDQSSIHINQQLLLTVISSLNHQVALILATRKFNDVAHINAQNKLLFEVMHQRRSNIAFLLPTNGEKNLAEINAHHSLLREALSTGENYVAYHLATNGYIDKINPNAGRKLLIIACAEEQCHVAKMLPTRNLRDCINIQCKRDLLLTVVQVRGHACALHLVTRDKRDRVDLDRFRRTLCHEVEAADGKIAARHIKRLEWDRIHYGRGAYEQDMSSLLAQGLRRGFSLLKRM
+>sp|Q9BXX3|AN30A_HUMAN Ankyrin repeat domain-containing protein 30A OS=Homo sapiens OX=9606 GN=ANKRD30A PE=2 SV=3
+MEEISAAAVKVVPGPERPSPFSQLVYTSNDSYIVHSGDLRKIHKAASRGQVRKLEKMTKRKKTINLNIQDAQKRTALHWACVNGHEEVVTFLVDRKCQLDVLDGEHRTPLMKALQCHQEACANILIDSGADINLVDVYGNTALHYAVYSEILSVVAKLLSHGAVIEVHNKASLTPLLLSITKRSEQIVEFLLIKNANANAVNKYKCTALMLAVCHGSSEIVGMLLQQNVDVFAADICGVTAEHYAVTCGFHHIHEQIMEYIRKLSKNHQNTNPEGTSAGTPDEAAPLAERTPDTAESLVEKTPDEAAPLVERTPDTAESLVEKTPDEAASLVEGTSDKIQCLEKATSGKFEQSAEETPREITSPAKETSEKFTWPAKGRPRKIAWEKKEDTPREIMSPAKETSEKFTWAAKGRPRKIAWEKKETPVKTGCVARVTSNKTKVLEKGRSKMIACPTKESSTKASANDQRFPSESKQEEDEEYSCDSRSLFESSAKIQVCIPESIYQKVMEINREVEEPPKKPSAFKPAIEMQNSVPNKAFELKNEQTLRADPMFPPESKQKDYEENSWDSESLCETVSQKDVCLPKATHQKEIDKINGKLEESPNKDGLLKATCGMKVSIPTKALELKDMQTFKAEPPGKPSAFEPATEMQKSVPNKALELKNEQTLRADEILPSESKQKDYEENSWDTESLCETVSQKDVCLPKAAHQKEIDKINGKLEGSPVKDGLLKANCGMKVSIPTKALELMDMQTFKAEPPEKPSAFEPAIEMQKSVPNKALELKNEQTLRADEILPSESKQKDYEESSWDSESLCETVSQKDVCLPKATHQKEIDKINGKLEESPDNDGFLKAPCRMKVSIPTKALELMDMQTFKAEPPEKPSAFEPAIEMQKSVPNKALELKNEQTLRADQMFPSESKQKKVEENSWDSESLRETVSQKDVCVPKATHQKEMDKISGKLEDSTSLSKILDTVHSCERARELQKDHCEQRTGKMEQMKKKFCVLKKKLSEAKEIKSQLENQKVKWEQELCSVRLTLNQEEEKRRNADILNEKIREELGRIEEQHRKELEVKQQLEQALRIQDIELKSVESNLNQVSHTHENENYLLHENCMLKKEIAMLKLEIATLKHQYQEKENKYFEDIKILKEKNAELQMTLKLKEESLTKRASQYSGQLKVLIAENTMLTSKLKEKQDKEILEAEIESHHPRLASAVQDHDQIVTSRKSQEPAFHIAGDACLQRKMNVDVSSTIYNNEVLHQPLSEAQRKSKSLKINLNYAGDALRENTLVSEHAQRDQRETQCQMKEAEHMYQNEQDNVNKHTEQQESLDQKLFQLQSKNMWLQQQLVHAHKKADNKSKITIDIHFLERKMQHHLLKEKNEEIFNYNNHLKNRIYQYEKEKAETENS
+>DECOY_sp|Q9BXX3|AN30A_HUMAN Ankyrin repeat domain-containing protein 30A OS=Homo sapiens OX=9606 GN=ANKRD30A PE=2 SV=3
+SNETEAKEKEYQYIRNKLHNNYNFIEENKEKLLHHQMKRELFHIDITIKSKNDAKKHAHVLQQQLWMNKSQLQFLKQDLSEQQETHKNVNDQENQYMHEAEKMQCQTERQDRQAHESVLTNERLADGAYNLNIKLSKSKRQAESLPQHLVENNYITSSVDVNMKRQLCADGAIHFAPEQSKRSTVIQDHDQVASALRPHHSEIEAELIEKDQKEKLKSTLMTNEAILVKLQGSYQSARKTLSEEKLKLTMQLEANKEKLIKIDEFYKNEKEQYQHKLTAIELKLMAIEKKLMCNEHLLYNENEHTHSVQNLNSEVSKLEIDQIRLAQELQQKVELEKRHQEEIRGLEERIKENLIDANRRKEEEQNLTLRVSCLEQEWKVKQNELQSKIEKAESLKKKLVCFKKKMQEMKGTRQECHDKQLERARECSHVTDLIKSLSTSDELKGSIKDMEKQHTAKPVCVDKQSVTERLSESDWSNEEVKKQKSESPFMQDARLTQENKLELAKNPVSKQMEIAPEFASPKEPPEAKFTQMDMLELAKTPISVKMRCPAKLFGDNDPSEELKGNIKDIEKQHTAKPLCVDKQSVTECLSESDWSSEEYDKQKSESPLIEDARLTQENKLELAKNPVSKQMEIAPEFASPKEPPEAKFTQMDMLELAKTPISVKMGCNAKLLGDKVPSGELKGNIKDIEKQHAAKPLCVDKQSVTECLSETDWSNEEYDKQKSESPLIEDARLTQENKLELAKNPVSKQMETAPEFASPKGPPEAKFTQMDKLELAKTPISVKMGCTAKLLGDKNPSEELKGNIKDIEKQHTAKPLCVDKQSVTECLSESDWSNEEYDKQKSEPPFMPDARLTQENKLEFAKNPVSNQMEIAPKFASPKKPPEEVERNIEMVKQYISEPICVQIKASSEFLSRSDCSYEEDEEQKSESPFRQDNASAKTSSEKTPCAIMKSRGKELVKTKNSTVRAVCGTKVPTEKKEWAIKRPRGKAAWTFKESTEKAPSMIERPTDEKKEWAIKRPRGKAPWTFKESTEKAPSTIERPTEEASQEFKGSTAKELCQIKDSTGEVLSAAEDPTKEVLSEATDPTREVLPAAEDPTKEVLSEATDPTREALPAAEDPTGASTGEPNTNQHNKSLKRIYEMIQEHIHHFGCTVAYHEATVGCIDAAFVDVNQQLLMGVIESSGHCVALMLATCKYKNVANANANKILLFEVIQESRKTISLLLPTLSAKNHVEIVAGHSLLKAVVSLIESYVAYHLATNGYVDVLNIDAGSDILINACAEQHCQLAKMLPTRHEGDLVDLQCKRDVLFTVVEEHGNVCAWHLATRKQADQINLNITKKRKTMKELKRVQGRSAAKHIKRLDGSHVIYSDNSTYVLQSFPSPREPGPVVKVAAASIEEM
+>sp|Q86TW2|ADCK1_HUMAN Uncharacterized aarF domain-containing protein kinase 1 OS=Homo sapiens OX=9606 GN=ADCK1 PE=2 SV=2
+MARKALKLASWTSMALAASGIYFYSNKYLDPNDFGAVRVGRAVATTAVISYDYLTSLKSVPYGSEEYLQLRSKSWPVFLQVHLRSARRLCELCCANRGTFIKVGQHLGALDYLLPEEYTSTLKVLHSQAPQSSMQEIRQVIREDLGKEIHDLFQSFDDTPLGTASLAQVHKAVLHDGRTVAVKVQHPKVRAQSSKDILLMEVLVLAVKQLFPEFEFMWLVDEAKKNLPLELDFLNEGRNAEKVSQMLRHFDFLKVPRIHWDLSTERVLLMEFVDGGQVNDRDYMERNKIDVNEISRHLGKMYSEMIFVNGFVHCDPHPGNVLVRKHPGTGKAEIVLLDHGLYQMLTEEFRLNYCHLWQSLIWTDMKRVKEYSQRLGAGDLYPLFACMLTARSWDSVNRGISQAPVTATEDLEIRNNAANYLPQISHLLNHVPRQMLLILKTNDLLRGIEAALGTRASASSFLNMSRCCIRALAEHKKKNTCSFFRRTQISFSEAFNLWQINLHELILRVKGLKLADRVLALICWLFPAPL
+>DECOY_sp|Q86TW2|ADCK1_HUMAN Uncharacterized aarF domain-containing protein kinase 1 OS=Homo sapiens OX=9606 GN=ADCK1 PE=2 SV=2
+LPAPFLWCILALVRDALKLGKVRLILEHLNIQWLNFAESFSIQTRRFFSCTNKKKHEALARICCRSMNLFSSASARTGLAAEIGRLLDNTKLILLMQRPVHNLLHSIQPLYNAANNRIELDETATVPAQSIGRNVSDWSRATLMCAFLPYLDGAGLRQSYEKVRKMDTWILSQWLHCYNLRFEETLMQYLGHDLLVIEAKGTGPHKRVLVNGPHPDCHVFGNVFIMESYMKGLHRSIENVDIKNREMYDRDNVQGGDVFEMLLVRETSLDWHIRPVKLFDFHRLMQSVKEANRGENLFDLELPLNKKAEDVLWMFEFEPFLQKVALVLVEMLLIDKSSQARVKPHQVKVAVTRGDHLVAKHVQALSATGLPTDDFSQFLDHIEKGLDERIVQRIEQMSSQPAQSHLVKLTSTYEEPLLYDLAGLHQGVKIFTGRNACCLECLRRASRLHVQLFVPWSKSRLQLYEESGYPVSKLSTLYDYSIVATTAVARGVRVAGFDNPDLYKNSYFYIGSAALAMSTWSALKLAKRAM
+>sp|Q6P093|ADCL2_HUMAN Arylacetamide deacetylase-like 2 OS=Homo sapiens OX=9606 GN=AADACL2 PE=2 SV=3
+MGLKALCLGLLCVLFVSHFYTPMPDNIEESWKIMALDAIAKTCTFTAMCFENMRIMRYEEFISMIFRLDYTQPLSDEYITVTDTTFVDIPVRLYLPKRKSETRRRAVIYFHGGGFCFGSSKQRAFDFLNRWTANTLDAVVVGVDYRLAPQHHFPAQFEDGLAAVKFFLLEKILTKYGVDPTRICIAGDSSGGNLATAVTQQVQNDAEIKHKIKMQVLLYPGLQITDSYLPSHRENEHGIVLTRDVAIKLVSLYFTKDEALPWAMRRNQHMPLESRHLFKFVNWSILLPEKYRKDYVYTEPILGGLSYSLPGLTDSRALPLLANDSQLQNLPLTYILTCQHDLLRDDGLMYVTRLRNVGVQVVHEHIEDGIHGALSFMTSPFYLRLGLRIRDMYVSWLDKNL
+>DECOY_sp|Q6P093|ADCL2_HUMAN Arylacetamide deacetylase-like 2 OS=Homo sapiens OX=9606 GN=AADACL2 PE=2 SV=3
+LNKDLWSVYMDRIRLGLRLYFPSTMFSLAGHIGDEIHEHVVQVGVNRLRTVYMLGDDRLLDHQCTLIYTLPLNQLQSDNALLPLARSDTLGPLSYSLGGLIPETYVYDKRYKEPLLISWNVFKFLHRSELPMHQNRRMAWPLAEDKTFYLSVLKIAVDRTLVIGHENERHSPLYSDTIQLGPYLLVQMKIKHKIEADNQVQQTVATALNGGSSDGAICIRTPDVGYKTLIKELLFFKVAALGDEFQAPFHHQPALRYDVGVVVADLTNATWRNLFDFARQKSSGFCFGGGHFYIVARRRTESKRKPLYLRVPIDVFTTDTVTIYEDSLPQTYDLRFIMSIFEEYRMIRMNEFCMATFTCTKAIADLAMIKWSEEINDPMPTYFHSVFLVCLLGLCLAKLGM
+>sp|Q8NFM4|ADCY4_HUMAN Adenylate cyclase type 4 OS=Homo sapiens OX=9606 GN=ADCY4 PE=1 SV=1
+MARLFSPRPPPSEDLFYETYYSLSQQYPLLLLLLGIVLCALAALLAVAWASGRELTSDPSFLTTVLCALGGFSLLLGLASREQRLQRWTRPLSGLVWVALLALGHAFLFTGGVVSAWDQVSYFLFVIFTAYAMLPLGMRDAAVAGLASSLSHLLVLGLYLGPQPDSRPALLPQLAANAVLFLCGNVAGVYHKALMERALRATFREALSSLHSRRRLDTEKKHQEHLLLSILPAYLAREMKAEIMARLQAGQGSRPESTNNFHSLYVKRHQGVSVLYADIVGFTRLASECSPKELVLMLNELFGKFDQIAKEHECMRIKILGDCYYCVSGLPLSLPDHAINCVRMGLDMCRAIRKLRAATGVDINMRVGVHSGSVLCGVIGLQKWQYDVWSHDVTLANHMEAGGVPGRVHITGATLALLAGAYAVEDAGMEHRDPYLRELGEPTYLVIDPRAEEEDEKGTAGGLLSSLEGLKMRPSLLMTRYLESWGAAKPFAHLSHGDSPVSTSTPLPEKTLASFSTQWSLDRSRTPRGLDDELDTGDAKFFQVIEQLNSQKQWKQSKDFNPLTLYFREKEMEKEYRLSAIPAFKYYEACTFLVFLSNFIIQMLVTNRPPALAITYSITFLLFLLILFVCFSEDLMRCVLKGPKMLHWLPALSGLVATRPGLRIALGTATILLVFAMAITSLFFFPTSSDCPFQAPNVSSMISNLSWELPGSLPLISVPYSMHCCTLGFLSCSLFLHMSFELKLLLLLLWLAASCSLFLHSHAWLSECLIVRLYLGPLDSRPGVLKEPKLMGAISFFIFFFTLLVLARQNEYYCRLDFLWKKKLRQEREETETMENLTRLLLENVLPAHVAPQFIGQNRRNEDLYHQSYECVCVLFASVPDFKEFYSESNINHEGLECLRLLNEIIADFDELLSKPKFSGVEKIKTIGSTYMAATGLNATSGQDAQQDAERSCSHLGTMVEFAVALGSKLDVINKHSFNNFRLRVGLNHGPVVAGVIGAQKPQYDIWGNTVNVASRMESTGVLGKIQVTEETAWALQSLGYTCYSRGVIKVKGKGQLCTYFLNTDLTRTGPPSATLG
+>DECOY_sp|Q8NFM4|ADCY4_HUMAN Adenylate cyclase type 4 OS=Homo sapiens OX=9606 GN=ADCY4 PE=1 SV=1
+GLTASPPGTRTLDTNLFYTCLQGKGKVKIVGRSYCTYGLSQLAWATEETVQIKGLVGTSEMRSAVNVTNGWIDYQPKQAGIVGAVVPGHNLGVRLRFNNFSHKNIVDLKSGLAVAFEVMTGLHSCSREADQQADQGSTANLGTAAMYTSGITKIKEVGSFKPKSLLEDFDAIIENLLRLCELGEHNINSESYFEKFDPVSAFLVCVCEYSQHYLDENRRNQGIFQPAVHAPLVNELLLRTLNEMTETEEREQRLKKKWLFDLRCYYENQRALVLLTFFFIFFSIAGMLKPEKLVGPRSDLPGLYLRVILCESLWAHSHLFLSCSAALWLLLLLLKLEFSMHLFLSCSLFGLTCCHMSYPVSILPLSGPLEWSLNSIMSSVNPAQFPCDSSTPFFFLSTIAMAFVLLITATGLAIRLGPRTAVLGSLAPLWHLMKPGKLVCRMLDESFCVFLILLFLLFTISYTIALAPPRNTVLMQIIFNSLFVLFTCAEYYKFAPIASLRYEKEMEKERFYLTLPNFDKSQKWQKQSNLQEIVQFFKADGTDLEDDLGRPTRSRDLSWQTSFSALTKEPLPTSTSVPSDGHSLHAFPKAAGWSELYRTMLLSPRMKLGELSSLLGGATGKEDEEEARPDIVLYTPEGLERLYPDRHEMGADEVAYAGALLALTAGTIHVRGPVGGAEMHNALTVDHSWVDYQWKQLGIVGCLVSGSHVGVRMNIDVGTAARLKRIARCMDLGMRVCNIAHDPLSLPLGSVCYYCDGLIKIRMCEHEKAIQDFKGFLENLMLVLEKPSCESALRTFGVIDAYLVSVGQHRKVYLSHFNNTSEPRSGQGAQLRAMIEAKMERALYAPLISLLLHEQHKKETDLRRRSHLSSLAERFTARLAREMLAKHYVGAVNGCLFLVANAALQPLLAPRSDPQPGLYLGLVLLHSLSSALGAVAADRMGLPLMAYATFIVFLFYSVQDWASVVGGTFLFAHGLALLAVWVLGSLPRTWRQLRQERSALGLLLSFGGLACLVTTLFSPDSTLERGSAWAVALLAALACLVIGLLLLLLPYQQSLSYYTEYFLDESPPPRPSFLRAM
+>sp|Q9H2P0|ADNP_HUMAN Activity-dependent neuroprotector homeobox protein OS=Homo sapiens OX=9606 GN=ADNP PE=1 SV=1
+MFQLPVNNLGSLRKARKTVKKILSDIGLEYCKEHIEDFKQFEPNDFYLKNTTWEDVGLWDPSLTKNQDYRTKPFCCSACPFSSKFFSAYKSHFRNVHSEDFENRILLNCPYCTFNADKKTLETHIKIFHAPNASAPSSSLSTFKDKNKNDGLKPKQADSVEQAVYYCKKCTYRDPLYEIVRKHIYREHFQHVAAPYIAKAGEKSLNGAVPLGSNAREESSIHCKRCLFMPKSYEALVQHVIEDHERIGYQVTAMIGHTNVVVPRSKPLMLIAPKPQDKKSMGLPPRIGSLASGNVRSLPSQQMVNRLSIPKPNLNSTGVNMMSSVHLQQNNYGVKSVGQGYSVGQSMRLGLGGNAPVSIPQQSQSVKQLLPSGNGRSYGLGSEQRSQAPARYSLQSANASSLSSGQLKSPSLSQSQASRVLGQSSSKPAAAATGPPPGNTSSTQKWKICTICNELFPENVYSVHFEKEHKAEKVPAVANYIMKIHNFTSKCLYCNRYLPTDTLLNHMLIHGLSCPYCRSTFNDVEKMAAHMRMVHIDEEMGPKTDSTLSFDLTLQQGSHTNIHLLVTTYNLRDAPAESVAYHAQNNPPVPPKPQPKVQEKADIPVKSSPQAAVPYKKDVGKTLCPLCFSILKGPISDALAHHLRERHQVIQTVHPVEKKLTYKCIHCLGVYTSNMTASTITLHLVHCRGVGKTQNGQDKTNAPSRLNQSPSLAPVKRTYEQMEFPLLKKRKLDDDSDSPSFFEEKPEEPVVLALDPKGHEDDSYEARKSFLTKYFNKQPYPTRREIEKLAASLWLWKSDIASHFSNKRKKCVRDCEKYKPGVLLGFNMKELNKVKHEMDFDAEWLFENHDEKDSRVNASKTADKKLNLGKEDDSSSDSFENLEEESNESGSPFDPVFEVEPKISNDNPEEHVLKVIPEDASESEEKLDQKEDGSKYETIHLTEEPTKLMHNASDSEVDQDDVVEWKDGASPSESGPGSQQVSDFEDNTCEMKPGTWSDESSQSEDARSSKPAAKKKATMQGDREQLKWKNSSYGKVEGFWSKDQSQWKNASENDERLSNPQIEWQNSTIDSEDGEQFDNMTDGVAEPMHGSLAGVKLSSQQA
+>DECOY_sp|Q9H2P0|ADNP_HUMAN Activity-dependent neuroprotector homeobox protein OS=Homo sapiens OX=9606 GN=ADNP PE=1 SV=1
+AQQSSLKVGALSGHMPEAVGDTMNDFQEGDESDITSNQWEIQPNSLREDNESANKWQSQDKSWFGEVKGYSSNKWKLQERDGQMTAKKKAAPKSSRADESQSSEDSWTGPKMECTNDEFDSVQQSGPGSESPSAGDKWEVVDDQDVESDSANHMLKTPEETLHITEYKSGDEKQDLKEESESADEPIVKLVHEEPNDNSIKPEVEFVPDFPSGSENSEEELNEFSDSSSDDEKGLNLKKDATKSANVRSDKEDHNEFLWEADFDMEHKVKNLEKMNFGLLVGPKYKECDRVCKKRKNSFHSAIDSKWLWLSAALKEIERRTPYPQKNFYKTLFSKRAEYSDDEHGKPDLALVVPEEPKEEFFSPSDSDDDLKRKKLLPFEMQEYTRKVPALSPSQNLRSPANTKDQGNQTKGVGRCHVLHLTITSATMNSTYVGLCHICKYTLKKEVPHVTQIVQHRERLHHALADSIPGKLISFCLPCLTKGVDKKYPVAAQPSSKVPIDAKEQVKPQPKPPVPPNNQAHYAVSEAPADRLNYTTVLLHINTHSGQQLTLDFSLTSDTKPGMEEDIHVMRMHAAMKEVDNFTSRCYPCSLGHILMHNLLTDTPLYRNCYLCKSTFNHIKMIYNAVAPVKEAKHEKEFHVSYVNEPFLENCITCIKWKQTSSTNGPPPGTAAAAPKSSSQGLVRSAQSQSLSPSKLQGSSLSSANASQLSYRAPAQSRQESGLGYSRGNGSPLLQKVSQSQQPISVPANGGLGLRMSQGVSYGQGVSKVGYNNQQLHVSSMMNVGTSNLNPKPISLRNVMQQSPLSRVNGSALSGIRPPLGMSKKDQPKPAILMLPKSRPVVVNTHGIMATVQYGIREHDEIVHQVLAEYSKPMFLCRKCHISSEERANSGLPVAGNLSKEGAKAIYPAAVHQFHERYIHKRVIEYLPDRYTCKKCYYVAQEVSDAQKPKLGDNKNKDKFTSLSSSPASANPAHFIKIHTELTKKDANFTCYPCNLLIRNEFDESHVNRFHSKYASFFKSSFPCASCCFPKTRYDQNKTLSPDWLGVDEWTTNKLYFDNPEFQKFDEIHEKCYELGIDSLIKKVTKRAKRLSGLNNVPLQFM
+>sp|P08588|ADRB1_HUMAN Beta-1 adrenergic receptor OS=Homo sapiens OX=9606 GN=ADRB1 PE=1 SV=2
+MGAGVLVLGASEPGNLSSAAPLPDGAATAARLLVPASPPASLLPPASESPEPLSQQWTAGMGLLMALIVLLIVAGNVLVIVAIAKTPRLQTLTNLFIMSLASADLVMGLLVVPFGATIVVWGRWEYGSFFCELWTSVDVLCVTASIETLCVIALDRYLAITSPFRYQSLLTRARARGLVCTVWAISALVSFLPILMHWWRAESDEARRCYNDPKCCDFVTNRAYAIASSVVSFYVPLCIMAFVYLRVFREAQKQVKKIDSCERRFLGGPARPPSPSPSPVPAPAPPPGPPRPAAAAATAPLANGRAGKRRPSRLVALREQKALKTLGIIMGVFTLCWLPFFLANVVKAFHRELVPDRLFVFFNWLGYANSAFNPIIYCRSPDFRKAFQRLLCCARRAARRRHATHGDRPRASGCLARPGPPPSPGAASDDDDDDVVGATPPARLLEPWAGCNGGAAADSDSSLDEPCRPGFASESKV
+>DECOY_sp|P08588|ADRB1_HUMAN Beta-1 adrenergic receptor OS=Homo sapiens OX=9606 GN=ADRB1 PE=1 SV=2
+VKSESAFGPRCPEDLSSDSDAAAGGNCGAWPELLRAPPTAGVVDDDDDDSAAGPSPPPGPRALCGSARPRDGHTAHRRRAARRACCLLRQFAKRFDPSRCYIIPNFASNAYGLWNFFVFLRDPVLERHFAKVVNALFFPLWCLTFVGMIIGLTKLAKQERLAVLRSPRRKGARGNALPATAAAAAPRPPGPPPAPAPVPSPSPSPPRAPGGLFRRECSDIKKVQKQAERFVRLYVFAMICLPVYFSVVSSAIAYARNTVFDCCKPDNYCRRAEDSEARWWHMLIPLFSVLASIAWVTCVLGRARARTLLSQYRFPSTIALYRDLAIVCLTEISATVCLVDVSTWLECFFSGYEWRGWVVITAGFPVVLLGMVLDASALSMIFLNTLTQLRPTKAIAVIVLVNGAVILLVILAMLLGMGATWQQSLPEPSESAPPLLSAPPSAPVLLRAATAAGDPLPAASSLNGPESAGLVLVGAGM
+>sp|P13945|ADRB3_HUMAN Beta-3 adrenergic receptor OS=Homo sapiens OX=9606 GN=ADRB3 PE=1 SV=3
+MAPWPHENSSLAPWPDLPTLAPNTANTSGLPGVPWEAALAGALLALAVLATVGGNLLVIVAIAWTPRLQTMTNVFVTSLAAADLVMGLLVVPPAATLALTGHWPLGATGCELWTSVDVLCVTASIETLCALAVDRYLAVTNPLRYGALVTKRCARTAVVLVWVVSAAVSFAPIMSQWWRVGADAEAQRCHSNPRCCAFASNMPYVLLSSSVSFYLPLLVMLFVYARVFVVATRQLRLLRGELGRFPPEESPPAPSRSLAPAPVGTCAPPEGVPACGRRPARLLPLREHRALCTLGLIMGTFTLCWLPFFLANVLRALGGPSLVPGPAFLALNWLGYANSAFNPLIYCRSPDFRSAFRRLLCRCGRRLPPEPCAAARPALFPSGVPAARSSPAQPRLCQRLDGASWGVS
+>DECOY_sp|P13945|ADRB3_HUMAN Beta-3 adrenergic receptor OS=Homo sapiens OX=9606 GN=ADRB3 PE=1 SV=3
+SVGWSAGDLRQCLRPQAPSSRAAPVGSPFLAPRAAACPEPPLRRGCRCLLRRFASRFDPSRCYILPNFASNAYGLWNLALFAPGPVLSPGGLARLVNALFFPLWCLTFTGMILGLTCLARHERLPLLRAPRRGCAPVGEPPACTGVPAPALSRSPAPPSEEPPFRGLEGRLLRLQRTAVVFVRAYVFLMVLLPLYFSVSSSLLVYPMNSAFACCRPNSHCRQAEADAGVRWWQSMIPAFSVAASVVWVLVVATRACRKTVLAGYRLPNTVALYRDVALACLTEISATVCLVDVSTWLECGTAGLPWHGTLALTAAPPVVLLGMVLDAAALSTVFVNTMTQLRPTWAIAVIVLLNGGVTALVALALLAGALAAEWPVGPLGSTNATNPALTPLDPWPALSSNEHPWPAM
+>sp|P12235|ADT1_HUMAN ADP/ATP translocase 1 OS=Homo sapiens OX=9606 GN=SLC25A4 PE=1 SV=4
+MGDHAWSFLKDFLAGGVAAAVSKTAVAPIERVKLLLQVQHASKQISAEKQYKGIIDCVVRIPKEQGFLSFWRGNLANVIRYFPTQALNFAFKDKYKQLFLGGVDRHKQFWRYFAGNLASGGAAGATSLCFVYPLDFARTRLAADVGKGAAQREFHGLGDCIIKIFKSDGLRGLYQGFNVSVQGIIIYRAAYFGVYDTAKGMLPDPKNVHIFVSWMIAQSVTAVAGLVSYPFDTVRRRMMMQSGRKGADIMYTGTVDCWRKIAKDEGAKAFFKGAWSNVLRGMGGAFVLVLYDEIKKYV
+>DECOY_sp|P12235|ADT1_HUMAN ADP/ATP translocase 1 OS=Homo sapiens OX=9606 GN=SLC25A4 PE=1 SV=4
+VYKKIEDYLVLVFAGGMGRLVNSWAGKFFAKAGEDKAIKRWCDVTGTYMIDAGKRGSQMMMRRRVTDFPYSVLGAVATVSQAIMWSVFIHVNKPDPLMGKATDYVGFYAARYIIIGQVSVNFGQYLGRLGDSKFIKIICDGLGHFERQAAGKGVDAALRTRAFDLPYVFCLSTAGAAGGSALNGAFYRWFQKHRDVGGLFLQKYKDKFAFNLAQTPFYRIVNALNGRWFSLFGQEKPIRVVCDIIGKYQKEASIQKSAHQVQLLLKVREIPAVATKSVAAAVGGALFDKLFSWAHDGM
+>sp|Q8WTP8|AEN_HUMAN Apoptosis-enhancing nuclease OS=Homo sapiens OX=9606 GN=AEN PE=1 SV=2
+MVPREAPESAQCLCPSLTIPNAKDVLRKRHKRRSRQHQRFMARKALLQEQGLLSMPPEPGSSPLPTPFGAATATEAASSGKQCLRAGSGSAPCSRRPAPGKASGPLPSKCVAIDCEMVGTGPRGRVSELARCSIVSYHGNVLYDKYIRPEMPIADYRTRWSGITRQHMRKAVPFQVAQKEILKLLKGKVVVGHALHNDFQALKYVHPRSQTRDTTYVPNFLSEPGLHTRARVSLKDLALQLLHKKIQVGQHGHSSVEDATTAMELYRLVEVQWEQQEARSLWTCPEDREPDSSTDMEQYMEDQYWPDDLAHGSRGGAREAQDRRN
+>DECOY_sp|Q8WTP8|AEN_HUMAN Apoptosis-enhancing nuclease OS=Homo sapiens OX=9606 GN=AEN PE=1 SV=2
+NRRDQAERAGGRSGHALDDPWYQDEMYQEMDTSSDPERDEPCTWLSRAEQQEWQVEVLRYLEMATTADEVSSHGHQGVQIKKHLLQLALDKLSVRARTHLGPESLFNPVYTTDRTQSRPHVYKLAQFDNHLAHGVVVKGKLLKLIEKQAVQFPVAKRMHQRTIGSWRTRYDAIPMEPRIYKDYLVNGHYSVISCRALESVRGRPGTGVMECDIAVCKSPLPGSAKGPAPRRSCPASGSGARLCQKGSSAAETATAAGFPTPLPSSGPEPPMSLLGQEQLLAKRAMFRQHQRSRRKHRKRLVDKANPITLSPCLCQASEPAERPVM
+>sp|P55196|AFAD_HUMAN Afadin OS=Homo sapiens OX=9606 GN=AFDN PE=1 SV=3
+MSAGGRDEERRKLADIIHHWNANRLDLFEISQPTEDLEFHGVMRFYFQDKAAGNFATKCIRVSSTATTQDVIETLAEKFRPDMRMLSSPKYSLYEVHVSGERRLDIDEKPLVVQLNWNKDDREGRFVLKNENDAIPPKKAQSNGPEKQEKEGVIQNFKRTLSKKEKKEKKKREKEALRQASDKDDRPFQGEDVENSRLAAEVYKDMPETSFTRTISNPEVVMKRRRQQKLEKRMQEFRSSDGRPDSGGTLRIYADSLKPNIPYKTILLSTTDPADFAVAEALEKYGLEKENPKDYCIARVMLPPGAQHSDEKGAKEIILDDDECPLQIFREWPSDKGILVFQLKRRPPDHIPKKTKKHLEGKTPKGKERADGSGYGSTLPPEKLPYLVELSPGRRNHFAYYNYHTYEDGSDSRDKPKLYRLQLSVTEVGTEKLDDNSIQLFGPGIQPHHCDLTNMDGVVTVTPRSMDAETYVEGQRISETTMLQSGMKVQFGASHVFKFVDPSQDHALAKRSVDGGLMVKGPRHKPGIVQETTFDLGGDIHSGTALPTSKSTTRLDSDRVSSASSTAERGMVKPMIRVEQQPDYRRQESRTQDASGPELILPASIEFRESSEDSFLSAIINYTNSSTVHFKLSPTYVLYMACRYVLSNQYRPDISPTERTHKVIAVVNKMVSMMEGVIQKQKNIAGALAFWMANASELLNFIKQDRDLSRITLDAQDVLAHLVQMAFKYLVHCLQSELNNYMPAFLDDPEENSLQRPKIDDVLHTLTGAMSLLRRCRVNAALTIQLFSQLFHFINMWLFNRLVTDPDSGLCSHYWGAIIRQQLGHIEAWAEKQGLELAADCHLSRIVQATTLLTMDKYAPDDIPNINSTCFKLNSLQLQALLQNYHCAPDEPFIPTDLIENVVTVAENTADELARSDGREVQLEEDPDLQLPFLLPEDGYSCDVVRNIPNGLQEFLDPLCQRGFCRLIPHTRSPGTWTIYFEGADYESHLLRENTELAQPLRKEPEIITVTLKKQNGMGLSIVAAKGAGQDKLGIYVKSVVKGGAADVDGRLAAGDQLLSVDGRSLVGLSQERAAELMTRTSSVVTLEVAKQGAIYHGLATLLNQPSPMMQRISDRRGSGKPRPKSEGFELYNNSTQNGSPESPQLPWAEYSEPKKLPGDDRLMKNRADHRSSPNVANQPPSPGGKSAYASGTTAKITSVSTGNLCTEEQTPPPRPEAYPIPTQTYTREYFTFPASKSQDRMAPPQNQWPNYEEKPHMHTDSNHSSIAIQRVTRSQEELREDKAYQLERHRIEAAMDRKSDSDMWINQSSSLDSSTSSQEHLNHSSKSVTPASTLTKSGPGRWKTPAAIPATPVAVSQPIRTDLPPPPPPPPVHYAGDFDGMSMDLPLPPPPSANQIGLPSAQVAAAERRKREEHQRWYEKEKARLEEERERKRREQERKLGQMRTQSLNPAPFSPLTAQQMKPEKPSTLQRPQETVIRELQPQQQPRTIERRDLQYITVSKEELSSGDSLSPDPWKRDAKEKLEKQQQMHIVDMLSKEIQELQSKPDRSAEESDRLRKLMLEWQFQKRLQESKQKDEDDEEEEDDDVDTMLIMQRLEAERRARLQDEERRRQQQLEEMRKREAEDRARQEEERRRQEEERTKRDAEEKRRQEEGYYSRLEAERRRQHDEAARRLLEPEAPGLCRPPLPRDYEPPSPSPAPGAPPPPPQRNASYLKTQVLSPDSLFTAKFVAYNEEEEEEDCSLAGPNSYPGSTGAAVGAHDACRDAKEKRSKSQDADSPGSSGAPENLTFKERQRLFSQGQDVSNKVKASRKLTELENELNTK
+>DECOY_sp|P55196|AFAD_HUMAN Afadin OS=Homo sapiens OX=9606 GN=AFDN PE=1 SV=3
+KTNLENELETLKRSAKVKNSVDQGQSFLRQREKFTLNEPAGSSGPSDADQSKSRKEKADRCADHAGVAAGTSGPYSNPGALSCDEEEEEENYAVFKATFLSDPSLVQTKLYSANRQPPPPPAGPAPSPSPPEYDRPLPPRCLGPAEPELLRRAAEDHQRRREAELRSYYGEEQRRKEEADRKTREEEQRRREEEQRARDEAERKRMEELQQQRRREEDQLRARREAELRQMILMTDVDDDEEEEDDEDKQKSEQLRKQFQWELMLKRLRDSEEASRDPKSQLEQIEKSLMDVIHMQQQKELKEKADRKWPDPSLSDGSSLEEKSVTIYQLDRREITRPQQQPQLERIVTEQPRQLTSPKEPKMQQATLPSFPAPNLSQTRMQGLKREQERRKREREEELRAKEKEYWRQHEERKRREAAAVQASPLGIQNASPPPPLPLDMSMGDFDGAYHVPPPPPPPPLDTRIPQSVAVPTAPIAAPTKWRGPGSKTLTSAPTVSKSSHNLHEQSSTSSDLSSSQNIWMDSDSKRDMAAEIRHRELQYAKDERLEEQSRTVRQIAISSHNSDTHMHPKEEYNPWQNQPPAMRDQSKSAPFTFYERTYTQTPIPYAEPRPPPTQEETCLNGTSVSTIKATTGSAYASKGGPSPPQNAVNPSSRHDARNKMLRDDGPLKKPESYEAWPLQPSEPSGNQTSNNYLEFGESKPRPKGSGRRDSIRQMMPSPQNLLTALGHYIAGQKAVELTVVSSTRTMLEAAREQSLGVLSRGDVSLLQDGAALRGDVDAAGGKVVSKVYIGLKDQGAGKAAVISLGMGNQKKLTVTIIEPEKRLPQALETNERLLHSEYDAGEFYITWTGPSRTHPILRCFGRQCLPDLFEQLGNPINRVVDCSYGDEPLLFPLQLDPDEELQVERGDSRALEDATNEAVTVVNEILDTPIFPEDPACHYNQLLAQLQLSNLKFCTSNINPIDDPAYKDMTLLTTAQVIRSLHCDAALELGQKEAWAEIHGLQQRIIAGWYHSCLGSDPDTVLRNFLWMNIFHFLQSFLQITLAANVRCRRLLSMAGTLTHLVDDIKPRQLSNEEPDDLFAPMYNNLESQLCHVLYKFAMQVLHALVDQADLTIRSLDRDQKIFNLLESANAMWFALAGAINKQKQIVGEMMSVMKNVVAIVKHTRETPSIDPRYQNSLVYRCAMYLVYTPSLKFHVTSSNTYNIIASLFSDESSERFEISAPLILEPGSADQTRSEQRRYDPQQEVRIMPKVMGREATSSASSVRDSDLRTTSKSTPLATGSHIDGGLDFTTEQVIGPKHRPGKVMLGGDVSRKALAHDQSPDVFKFVHSAGFQVKMGSQLMTTESIRQGEVYTEADMSRPTVTVVGDMNTLDCHHPQIGPGFLQISNDDLKETGVETVSLQLRYLKPKDRSDSGDEYTHYNYYAFHNRRGPSLEVLYPLKEPPLTSGYGSGDAREKGKPTKGELHKKTKKPIHDPPRRKLQFVLIGKDSPWERFIQLPCEDDDLIIEKAGKEDSHQAGPPLMVRAICYDKPNEKELGYKELAEAVAFDAPDTTSLLITKYPINPKLSDAYIRLTGGSDPRGDSSRFEQMRKELKQQRRRKMVVEPNSITRTFSTEPMDKYVEAALRSNEVDEGQFPRDDKDSAQRLAEKERKKKEKKEKKSLTRKFNQIVGEKEQKEPGNSQAKKPPIADNENKLVFRGERDDKNWNLQVVLPKEDIDLRREGSVHVEYLSYKPSSLMRMDPRFKEALTEIVDQTTATSSVRICKTAFNGAAKDQFYFRMVGHFELDETPQSIEFLDLRNANWHHIIDALKRREEDRGGASM
+>sp|Q9Y6Z5|AFDDT_HUMAN Putative uncharacterized protein AFDN-DT OS=Homo sapiens OX=9606 GN=AFDN-DT PE=5 SV=1
+MGAAGSDGRCCVRSGRAGTGGAGSKWVVMDLWTGGAGSRRAVLGPDGRWVRWAVLGSVRMGGARSKWAAPDPMGGAGFGPDWRVRVWTGGAGSKWGCSAGSGRAVLGPNGRWVPWAVLGSVRTGGAGSKWAALGPMGGTGSGRAVLGLDGRCSIQMGAAGSGRAVLGSVRTGGAGSKWAVLGSAGDRWAWRHRYGAQGRWSALSEGPCAPRARCSSCPRIAPGLLRVSSRVIPFRWVTSKPTFSSCFLPRRTRC
+>DECOY_sp|Q9Y6Z5|AFDDT_HUMAN Putative uncharacterized protein AFDN-DT OS=Homo sapiens OX=9606 GN=AFDN-DT PE=5 SV=1
+CRTRRPLFCSSFTPKSTVWRFPIVRSSVRLLGPAIRPCSSCRARPACPGESLASWRGQAGYRHRWAWRDGASGLVAWKSGAGGTRVSGLVARGSGAAGMQISCRGDLGLVARGSGTGGMPGLAAWKSGAGGTRVSGLVAWPVWRGNPGLVARGSGASCGWKSGAGGTWVRVRWDPGFGAGGMPDPAAWKSRAGGMRVSGLVAWRVWRGDPGLVARRSGAGGTWLDMVVWKSGAGGTGARGSRVCCRGDSGAAGM
+>sp|Q9UHB7|AFF4_HUMAN AF4/FMR2 family member 4 OS=Homo sapiens OX=9606 GN=AFF4 PE=1 SV=1
+MNREDRNVLRMKERERRNQEIQQGEDAFPPSSPLFAEPYKVTSKEDKLSSRIQSMLGNYDEMKDFIGDRSIPKLVAIPKPTVPPSADEKSNPNFFEQRHGGSHQSSKWTPVGPAPSTSQSQKRSSGLQSGHSSQRTSAGSSSGTNSSGQRHDRESYNNSGSSSRKKGQHGSEHSKSRSSSPGKPQAVSSLNSSHSRSHGNDHHSKEHQRSKSPRDPDANWDSPSRVPFSSGQHSTQSFPPSLMSKSNSMLQKPTAYVRPMDGQESMEPKLSSEHYSSQSHGNSMTELKPSSKAHLTKLKIPSQPLDASASGDVSCVDEILKEMTHSWPPPLTAIHTPCKTEPSKFPFPTKESQQSNFGTGEQKRYNPSKTSNGHQSKSMLKDDLKLSSSEDSDGEQDCDKTMPRSTPGSNSEPSHHNSEGADNSRDDSSSHSGSESSSGSDSESESSSSDSEANEPSQSASPEPEPPPTNKWQLDNWLNKVNPHKVSPASSVDSNIPSSQGYKKEGREQGTGNSYTDTSGPKETSSATPGRDSKTIQKGSESGRGRQKSPAQSDSTTQRRTVGKKQPKKAEKAAAEEPRGGLKIESETPVDLASSMPSSRHKAATKGSRKPNIKKESKSSPRPTAEKKKYKSTSKSSQKSREIIETDTSSSDSDESESLPPSSQTPKYPESNRTPVKPSSVEEEDSFFRQRMFSPMEEKELLSPLSEPDDRYPLIVKIDLNLLTRIPGKPYKETEPPKGEKKNVPEKHTREAQKQASEKVSNKGKRKHKNEDDNRASESKKPKTEDKNSAGHKPSSNRESSKQSAAKEKDLLPSPAGPVPSKDPKTEHGSRKRTISQSSSLKSSSNSNKETSGSSKNSSSTSKQKKTEGKTSSSSKEVKEKAPSSSSNCPPSAPTLDSSKPRRTKLVFDDRNYSADHYLQEAKKLKHNADALSDRFEKAVYYLDAVVSFIECGNALEKNAQESKSPFPMYSETVDLIKYTMKLKNYLAPDATAADKRLTVLCLRCESLLYLRLFKLKKENALKYSKTLTEHLKNSYNNSQAPSPGLGSKAVGMPSPVSPKLSPGNSGNYSSGASSASASGSSVTIPQKIHQMAASYVQVTSNFLYATEIWDQAEQLSKEQKEFFAELDKVMGPLIFNASIMTDLVRYTRQGLHWLRQDAKLIS
+>DECOY_sp|Q9UHB7|AFF4_HUMAN AF4/FMR2 family member 4 OS=Homo sapiens OX=9606 GN=AFF4 PE=1 SV=1
+SILKADQRLWHLGQRTYRVLDTMISANFILPGMVKDLEAFFEKQEKSLQEAQDWIETAYLFNSTVQVYSAAMQHIKQPITVSSGSASASSAGSSYNGSNGPSLKPSVPSPMGVAKSGLGPSPAQSNNYSNKLHETLTKSYKLANEKKLKFLRLYLLSECRLCLVTLRKDAATADPALYNKLKMTYKILDVTESYMPFPSKSEQANKELANGCEIFSVVADLYYVAKEFRDSLADANHKLKKAEQLYHDASYNRDDFVLKTRRPKSSDLTPASPPCNSSSSPAKEKVEKSSSSTKGETKKQKSTSSSNKSSGSTEKNSNSSSKLSSSQSITRKRSGHETKPDKSPVPGAPSPLLDKEKAASQKSSERNSSPKHGASNKDETKPKKSESARNDDENKHKRKGKNSVKESAQKQAERTHKEPVNKKEGKPPETEKYPKGPIRTLLNLDIKVILPYRDDPESLPSLLEKEEMPSFMRQRFFSDEEEVSSPKVPTRNSEPYKPTQSSPPLSESEDSDSSSTDTEIIERSKQSSKSTSKYKKKEATPRPSSKSEKKINPKRSGKTAAKHRSSPMSSALDVPTESEIKLGGRPEEAAAKEAKKPQKKGVTRRQTTSDSQAPSKQRGRGSESGKQITKSDRGPTASSTEKPGSTDTYSNGTGQERGEKKYGQSSPINSDVSSAPSVKHPNVKNLWNDLQWKNTPPPEPEPSASQSPENAESDSSSSESESDSGSSSESGSHSSSDDRSNDAGESNHHSPESNSGPTSRPMTKDCDQEGDSDESSSLKLDDKLMSKSQHGNSTKSPNYRKQEGTGFNSQQSEKTPFPFKSPETKCPTHIATLPPPWSHTMEKLIEDVCSVDGSASADLPQSPIKLKTLHAKSSPKLETMSNGHSQSSYHESSLKPEMSEQGDMPRVYATPKQLMSNSKSMLSPPFSQTSHQGSSFPVRSPSDWNADPDRPSKSRQHEKSHHDNGHSRSHSSNLSSVAQPKGPSSSRSKSHESGHQGKKRSSSGSNNYSERDHRQGSSNTGSSSGASTRQSSHGSQLGSSRKQSQSTSPAPGVPTWKSSQHSGGHRQEFFNPNSKEDASPPVTPKPIAVLKPISRDGIFDKMEDYNGLMSQIRSSLKDEKSTVKYPEAFLPSSPPFADEGQQIEQNRREREKMRLVNRDERNM
+>sp|Q5I7T1|AG10B_HUMAN Putative Dol-P-Glc:Glc(2)Man(9)GlcNAc(2)-PP-Dol alpha-1,2-glucosyltransferase OS=Homo sapiens OX=9606 GN=ALG10B PE=1 SV=2
+MAQLEGYCFSAALSCTFLVSCLLFSAFSRALREPYMDEIFHLPQAQRYCEGHFSLSQWDPMITTLPGLYLVSVGVVKPAIWIFAWSEHVVCSIGMLRFVNLLFSVGNFYLLYLLFHKVQPRNKAASSIQRVLSTLTLAVFPTLYFFNFLYYTEAGSMFFTLFAYLMCLYGNHKTSAFLGFCGFMFRQTNIIWAVFCAGNVIAQKLTEAWKTELQKKEDRLPPIKGPFAEFRKILQFLLAYSMSFKNLSMLFCLTWPYILLGFLFCAFVVVNGGIVIGDRSSHEACLHFPQLFYFFSFTLFFSFPHLLSPSKIKTFLSLVWKHGILFLVVTLVSVFLVWKFTYAHKYLLADNRHYTFYVWKRVFQRYAILKYLLVPAYIFAGWSIADSLKSKPIFWNLMFFICLFIVIVPQKLLEFRYFILPYVIYRLNITLPPTSRLVCELSCYAIVNFITFYIFLNKTFQWPNSQDIQRFMW
+>DECOY_sp|Q5I7T1|AG10B_HUMAN Putative Dol-P-Glc:Glc(2)Man(9)GlcNAc(2)-PP-Dol alpha-1,2-glucosyltransferase OS=Homo sapiens OX=9606 GN=ALG10B PE=1 SV=2
+WMFRQIDQSNPWQFTKNLFIYFTIFNVIAYCSLECVLRSTPPLTINLRYIVYPLIFYRFELLKQPVIVIFLCIFFMLNWFIPKSKLSDAISWGAFIYAPVLLYKLIAYRQFVRKWVYFTYHRNDALLYKHAYTFKWVLFVSVLTVVLFLIGHKWVLSLFTKIKSPSLLHPFSFFLTFSFFYFLQPFHLCAEHSSRDGIVIGGNVVVFACFLFGLLIYPWTLCFLMSLNKFSMSYALLFQLIKRFEAFPGKIPPLRDEKKQLETKWAETLKQAIVNGACFVAWIINTQRFMFGCFGLFASTKHNGYLCMLYAFLTFFMSGAETYYLFNFFYLTPFVALTLTSLVRQISSAAKNRPQVKHFLLYLLYFNGVSFLLNVFRLMGISCVVHESWAFIWIAPKVVGVSVLYLGPLTTIMPDWQSLSFHGECYRQAQPLHFIEDMYPERLARSFASFLLCSVLFTCSLAASFCYGELQAM
+>sp|Q8N302|AGGF1_HUMAN Angiogenic factor with G patch and FHA domains 1 OS=Homo sapiens OX=9606 GN=AGGF1 PE=1 SV=2
+MASEAPSPPRSPPPPTSPEPELAQLRRKVEKLERELRSCKRQVREIEKLLHHTERLYQNAESNNQELRTQVEELSKILQRGRNEDNKKSDVEVQTENHAPWSISDYFYQTYYNDVSLPNKVTELSDQQDQAIETSILNSKDHLQVENDAYPGTDRTENVKYRQVDHFASNSQEPASALATEDTSLEGSSLAESLRAAAEAAVSQTGFSYDENTGLYFDHSTGFYYDSENQLYYDPSTGIYYYCDVESGRYQFHSRVDLQPYPTSSTKQSKDKKLKKKRKDPDSSATNEEKDLNSEDQKAFSVEHTSCNEEENFANMKKKAKIGIHHKNSPPKVTVPTSGNTIESPLHENISNSTSFKDEKIMETDSEPEEGEITDSQTEDSYDEAITSEGNVTAEDSEDEDEDKIWPPCIRVIVIRSPVLQIGSLFIITAVNPATIGREKDMEHTLRIPEVGVSKFHAEIYFDHDLQSYVLVDQGSQNGTIVNGKQILQPKTKCDPYVLEHGDEVKIGETVLSFHIHPGSDTCDGCEPGQVRAHLRLDKKDESFVGPTLSKEEKELERRKELKKIRVKYGLQNTEYEDEKTLKNPKYKDRAGKRREQVGSEGTFQRDDAPASVHSEITDSNKGRKMLEKMGWKKGEGLGKDGGGMKTPIQLQLRRTHAGLGTGKPSSFEDVHLLQNKNKKNWDKARERFTENFPETKPQKDDPGTMPWVKGTLE
+>DECOY_sp|Q8N302|AGGF1_HUMAN Angiogenic factor with G patch and FHA domains 1 OS=Homo sapiens OX=9606 GN=AGGF1 PE=1 SV=2
+ELTGKVWPMTGPDDKQPKTEPFNETFRERAKDWNKKNKNQLLHVDEFSSPKGTGLGAHTRRLQLQIPTKMGGGDKGLGEGKKWGMKELMKRGKNSDTIESHVSAPADDRQFTGESGVQERRKGARDKYKPNKLTKEDEYETNQLGYKVRIKKLEKRRELEKEEKSLTPGVFSEDKKDLRLHARVQGPECGDCTDSGPHIHFSLVTEGIKVEDGHELVYPDCKTKPQLIQKGNVITGNQSGQDVLVYSQLDHDFYIEAHFKSVGVEPIRLTHEMDKERGITAPNVATIIFLSGIQLVPSRIVIVRICPPWIKDEDEDESDEATVNGESTIAEDYSDETQSDTIEGEEPESDTEMIKEDKFSTSNSINEHLPSEITNGSTPVTVKPPSNKHHIGIKAKKKMNAFNEEENCSTHEVSFAKQDESNLDKEENTASSDPDKRKKKLKKDKSQKTSSTPYPQLDVRSHFQYRGSEVDCYYYIGTSPDYYLQNESDYYFGTSHDFYLGTNEDYSFGTQSVAAEAAARLSEALSSGELSTDETALASAPEQSNSAFHDVQRYKVNETRDTGPYADNEVQLHDKSNLISTEIAQDQQDSLETVKNPLSVDNYYTQYFYDSISWPAHNETQVEVDSKKNDENRGRQLIKSLEEVQTRLEQNNSEANQYLRETHHLLKEIERVQRKCSRLERELKEVKRRLQALEPEPSTPPPPSRPPSPAESAM
+>sp|Q12904|AIMP1_HUMAN Aminoacyl tRNA synthase complex-interacting multifunctional protein 1 OS=Homo sapiens OX=9606 GN=AIMP1 PE=1 SV=2
+MANNDAVLKRLEQKGAEADQIIEYLKQQVSLLKEKAILQATLREEKKLRVENAKLKKEIEELKQELIQAEIQNGVKQIPFPSGTPLHANSMVSENVIQSTAVTTVSSGTKEQIKGGTGDEKKAKEKIEKKGEKKEKKQQSIAGSADSKPIDVSRLDLRIGCIITARKHPDADSLYVEEVDVGEIAPRTVVSGLVNHVPLEQMQNRMVILLCNLKPAKMRGVLSQAMVMCASSPEKIEILAPPNGSVPGDRITFDAFPGEPDKELNPKKKIWEQIQPDLHTNDECVATYKGVPFEVKGKGVCRAQTMSNSGIK
+>DECOY_sp|Q12904|AIMP1_HUMAN Aminoacyl tRNA synthase complex-interacting multifunctional protein 1 OS=Homo sapiens OX=9606 GN=AIMP1 PE=1 SV=2
+KIGSNSMTQARCVGKGKVEFPVGKYTAVCEDNTHLDPQIQEWIKKKPNLEKDPEGPFADFTIRDGPVSGNPPALIEIKEPSSACMVMAQSLVGRMKAPKLNCLLIVMRNQMQELPVHNVLGSVVTRPAIEGVDVEEVYLSDADPHKRATIICGIRLDLRSVDIPKSDASGAISQQKKEKKEGKKEIKEKAKKEDGTGGKIQEKTGSSVTTVATSQIVNESVMSNAHLPTGSPFPIQKVGNQIEAQILEQKLEEIEKKLKANEVRLKKEERLTAQLIAKEKLLSVQQKLYEIIQDAEAGKQELRKLVADNNAM
+>sp|Q16352|AINX_HUMAN Alpha-internexin OS=Homo sapiens OX=9606 GN=INA PE=1 SV=2
+MSFGSEHYLCSSSSYRKVFGDGSRLSARLSGAGGAGGFRSQSLSRSNVASSAACSSASSLGLGLAYRRPPASDGLDLSQAAARTNEYKIIRTNEKEQLQGLNDRFAVFIEKVHQLETQNRALEAELAALRQRHAEPSRVGELFQRELRDLRAQLEEASSARSQALLERDGLAEEVQRLRARCEEESRGREGAERALKAQQRDVDGATLARLDLEKKVESLLDELAFVRQVHDEEVAELLATLQASSQAAAEVDVTVAKPDLTSALREIRAQYESLAAKNLQSAEEWYKSKFANLNEQAARSTEAIRASREEIHEYRRQLQARTIEIEGLRGANESLERQILELEERHSAEVAGYQDSIGQLENDLRNTKSEMARHLREYQDLLNVKMALDIEIAAYRKLLEGEETRFSTSGLSISGLNPLPNPSYLLPPRILSATTSKVSSTGLSLKKEEEEEEASKVASKKTSQIGESFEEILEETVISTKKTEKSNIEETTISSQKI
+>DECOY_sp|Q16352|AINX_HUMAN Alpha-internexin OS=Homo sapiens OX=9606 GN=INA PE=1 SV=2
+IKQSSITTEEINSKETKKTSIVTEELIEEFSEGIQSTKKSAVKSAEEEEEEKKLSLGTSSVKSTTASLIRPPLLYSPNPLPNLGSISLGSTSFRTEEGELLKRYAAIEIDLAMKVNLLDQYERLHRAMESKTNRLDNELQGISDQYGAVEASHREELELIQRELSENAGRLGEIEITRAQLQRRYEHIEERSARIAETSRAAQENLNAFKSKYWEEASQLNKAALSEYQARIERLASTLDPKAVTVDVEAAAQSSAQLTALLEAVEEDHVQRVFALEDLLSEVKKELDLRALTAGDVDRQQAKLAREAGERGRSEEECRARLRQVEEALGDRELLAQSRASSAEELQARLDRLERQFLEGVRSPEAHRQRLAALEAELARNQTELQHVKEIFVAFRDNLGQLQEKENTRIIKYENTRAAAQSLDLGDSAPPRRYALGLGLSSASSCAASSAVNSRSLSQSRFGGAGGAGSLRASLRSGDGFVKRYSSSSCLYHESGFSM
+>sp|O43918|AIRE_HUMAN Autoimmune regulator OS=Homo sapiens OX=9606 GN=AIRE PE=1 SV=1
+MATDAALRRLLRLHRTEIAVAVDSAFPLLHALADHDVVPEDKFQETLHLKEKEGCPQAFHALLSWLLTQDSTAILDFWRVLFKDYNLERYGRLQPILDSFPKDVDLSQPRKGRKPPAVPKALVPPPRLPTKRKASEEARAAAPAALTPRGTASPGSQLKAKPPKKPESSAEQQRLPLGNGIQTMSASVQRAVAMSSGDVPGARGAVEGILIQQVFESGGSKKCIQVGGEFYTPSKFEDSGSGKNKARSSSGPKPLVRAKGAQGAAPGGGEARLGQQGSVPAPLALPSDPQLHQKNEDECAVCRDGGELICCDGCPRAFHLACLSPPLREIPSGTWRCSSCLQATVQEVQPRAEEPRPQEPPVETPLPPGLRSAGEEVRGPPGEPLAGMDTTLVYKHLPAPPSAAPLPGLDSSALHPLLCVGPEGQQNLAPGARCGVCGDGTDVLRCTHCAAAFHWRCHFPAGTSRPGTGLRCRSCSGDVTPAPVEGVLAPSPARLAPGPAKDDTASHEPALHRDDLESLLSEHTFDGILQWAIQSMARPAAPFPS
+>DECOY_sp|O43918|AIRE_HUMAN Autoimmune regulator OS=Homo sapiens OX=9606 GN=AIRE PE=1 SV=1
+SPFPAAPRAMSQIAWQLIGDFTHESLLSELDDRHLAPEHSATDDKAPGPALRAPSPALVGEVPAPTVDGSCSRCRLGTGPRSTGAPFHCRWHFAAACHTCRLVDTGDGCVGCRAGPALNQQGEPGVCLLPHLASSDLGPLPAASPPAPLHKYVLTTDMGALPEGPPGRVEEGASRLGPPLPTEVPPEQPRPEEARPQVEQVTAQLCSSCRWTGSPIERLPPSLCALHFARPCGDCCILEGGDRCVACEDENKQHLQPDSPLALPAPVSGQQGLRAEGGGPAAGQAGKARVLPKPGSSSRAKNKGSGSDEFKSPTYFEGGVQICKKSGGSEFVQQILIGEVAGRAGPVDGSSMAVARQVSASMTQIGNGLPLRQQEASSEPKKPPKAKLQSGPSATGRPTLAAPAAARAEESAKRKTPLRPPPVLAKPVAPPKRGKRPQSLDVDKPFSDLIPQLRGYRELNYDKFLVRWFDLIATSDQTLLWSLLAHFAQPCGEKEKLHLTEQFKDEPVVDHDALAHLLPFASDVAVAIETRHLRLLRRLAADTAM
+>sp|Q9UKB5|AJAP1_HUMAN Adherens junction-associated protein 1 OS=Homo sapiens OX=9606 GN=AJAP1 PE=1 SV=1
+MWIQQLLGLSSMSIRWPGRPLGSHAWILIAMFQLAVDLPACEALGPGPEFWLLPRSPPRPPRLWSFRSGQPARVPAPVWSPRPPRVERIHGQMQMPRARRAHRPRDQAAALVPKAGLAKPPAAAKSSPSLASSSSSSSSAVAGGAPEQQALLRRGKRHLQGDGLSSFDSRGSRPTTETEFIAWGPTGDEEALESNTFPGVYGPTTVSILQTRKTTVAATTTTTTTATPMTLQTKGFTESLDPRRRIPGGVSTTEPSTSPSNNGEVTQPPRILGEASGLAVHQIITITVSLIMVIAALITTLVLKNCCAQSGNTRRNSHQRKTNQQEESCQNLTDFPSARVPSSLDIFTAYNETLQCSHECVRASVPVYTDETLHSTTGEYKSTFNGNRPSSSDRHLIPVAFVSEKWFEISC
+>DECOY_sp|Q9UKB5|AJAP1_HUMAN Adherens junction-associated protein 1 OS=Homo sapiens OX=9606 GN=AJAP1 PE=1 SV=1
+CSIEFWKESVFAVPILHRDSSSPRNGNFTSKYEGTTSHLTEDTYVPVSARVCEHSCQLTENYATFIDLSSPVRASPFDTLNQCSEEQQNTKRQHSNRRTNGSQACCNKLVLTTILAAIVMILSVTITIIQHVALGSAEGLIRPPQTVEGNNSPSTSPETTSVGGPIRRRPDLSETFGKTQLTMPTATTTTTTTAAVTTKRTQLISVTTPGYVGPFTNSELAEEDGTPGWAIFETETTPRSGRSDFSSLGDGQLHRKGRRLLAQQEPAGGAVASSSSSSSSALSPSSKAAAPPKALGAKPVLAAAQDRPRHARRARPMQMQGHIREVRPPRPSWVPAPVRAPQGSRFSWLRPPRPPSRPLLWFEPGPGLAECAPLDVALQFMAILIWAHSGLPRGPWRISMSSLGLLQQIWM
+>sp|Q96IF1|AJUBA_HUMAN LIM domain-containing protein ajuba OS=Homo sapiens OX=9606 GN=AJUBA PE=1 SV=1
+MERLGEKASRLLEKFGRRKGESSRSGSDGTPGPGKGRLSGLGGPRKSGPRGATGGPGDEPLEPAREQGSLDAERNQRGSFEAPRYEGSFPAGPPPTRALPLPQSLPPDFRLEPTAPALSPRSSFASSSASDASKPSSPRGSLLLDGAGAGGAGGSRPCSNRTSGISMGYDQRHGSPLPAGPCLFGPPLAGAPAGYSPGGVPSAYPELHAALDRLYAQRPAGFGCQESRHSYPPALGSPGALAGAGVGAAGPLERRGAQPGRHSVTGYGDCAVGARYQDELTALLRLTVGTGGREAGARGEPSGIEPSGLEEPPGPFVPEAARARMREPEAREDYFGTCIKCNKGIYGQSNACQALDSLYHTQCFVCCSCGRTLRCKAFYSVNGSVYCEEDYLFSGFQEAAEKCCVCGHLILEKILQAMGKSYHPGCFRCIVCNKCLDGIPFTVDFSNQVYCVTDYHKNYAPKCAACGQPILPSEGCEDIVRVISMDRDYHFECYHCEDCRMQLSDEEGCCCFPLDGHLLCHGCHMQRLNARQPPANYI
+>DECOY_sp|Q96IF1|AJUBA_HUMAN LIM domain-containing protein ajuba OS=Homo sapiens OX=9606 GN=AJUBA PE=1 SV=1
+IYNAPPQRANLRQMHCGHCLLHGDLPFCCCGEEDSLQMRCDECHYCEFHYDRDMSIVRVIDECGESPLIPQGCAACKPAYNKHYDTVCYVQNSFDVTFPIGDLCKNCVICRFCGPHYSKGMAQLIKELILHGCVCCKEAAEQFGSFLYDEECYVSGNVSYFAKCRLTRGCSCCVFCQTHYLSDLAQCANSQGYIGKNCKICTGFYDERAEPERMRARAAEPVFPGPPEELGSPEIGSPEGRAGAERGGTGVTLRLLATLEDQYRAGVACDGYGTVSHRGPQAGRRELPGAAGVGAGALAGPSGLAPPYSHRSEQCGFGAPRQAYLRDLAAHLEPYASPVGGPSYGAPAGALPPGFLCPGAPLPSGHRQDYGMSIGSTRNSCPRSGGAGGAGAGDLLLSGRPSSPKSADSASSSAFSSRPSLAPATPELRFDPPLSQPLPLARTPPPGAPFSGEYRPAEFSGRQNREADLSGQERAPELPEDGPGGTAGRPGSKRPGGLGSLRGKGPGPTGDSGSRSSEGKRRGFKELLRSAKEGLREM
+>sp|P14550|AK1A1_HUMAN Alcohol dehydrogenase [NADP(+)] OS=Homo sapiens OX=9606 GN=AKR1A1 PE=1 SV=3
+MAASCVLLHTGQKMPLIGLGTWKSEPGQVKAAVKYALSVGYRHIDCAAIYGNEPEIGEALKEDVGPGKAVPREELFVTSKLWNTKHHPEDVEPALRKTLADLQLEYLDLYLMHWPYAFERGDNPFPKNADGTICYDSTHYKETWKALEALVAKGLVQALGLSNFNSRQIDDILSVASVRPAVLQVECHPYLAQNELIAHCQARGLEVTAYSPLGSSDRAWRDPDEPVLLEEPVVLALAEKYGRSPAQILLRWQVQRKVICIPKSITPSRILQNIKVFDFTFSPEEMKQLNALNKNWRYIVPMLTVDGKRVPRDAGHPLYPFNDPY
+>DECOY_sp|P14550|AK1A1_HUMAN Alcohol dehydrogenase [NADP(+)] OS=Homo sapiens OX=9606 GN=AKR1A1 PE=1 SV=3
+YPDNFPYLPHGADRPVRKGDVTLMPVIYRWNKNLANLQKMEEPSFTFDFVKINQLIRSPTISKPICIVKRQVQWRLLIQAPSRGYKEALALVVPEELLVPEDPDRWARDSSGLPSYATVELGRAQCHAILENQALYPHCEVQLVAPRVSAVSLIDDIQRSNFNSLGLAQVLGKAVLAELAKWTEKYHTSDYCITGDANKPFPNDGREFAYPWHMLYLDLYELQLDALTKRLAPEVDEPHHKTNWLKSTVFLEERPVAKGPGVDEKLAEGIEPENGYIAACDIHRYGVSLAYKVAAKVQGPESKWTGLGILPMKQGTHLLVCSAAM
+>sp|Q96JD6|AKCL2_HUMAN 1,5-anhydro-D-fructose reductase OS=Homo sapiens OX=9606 GN=AKR1E2 PE=1 SV=2
+MGDIPAVGLSSWKASPGKVTEAVKEAIDAGYRHFDCAYFYHNEREVGAGIRCKIKEGAVRREDLFIATKLWCTCHKKSLVETACRKSLKALKLNYLDLYLIHWPMGFKPPHPEWIMSCSELSFCLSHPRVQDLPLDESNMVIPSDTDFLDTWEAMEDLVITGLVKNIGVSNFNHEQLERLLNKPGLRFKPLTNQIECHPYLTQKNLISFCQSRDVSVTAYRPLGGSCEGVDLIDNPVIKRIAKEHGKSPAQILIRFQIQRNVIVIPGSITPSHIKENIQVFDFELTQHDMDNILSLNRNLRLAMFPITKNHKDYPFHIEY
+>DECOY_sp|Q96JD6|AKCL2_HUMAN 1,5-anhydro-D-fructose reductase OS=Homo sapiens OX=9606 GN=AKR1E2 PE=1 SV=2
+YEIHFPYDKHNKTIPFMALRLNRNLSLINDMDHQTLEFDFVQINEKIHSPTISGPIVIVNRQIQFRILIQAPSKGHEKAIRKIVPNDILDVGECSGGLPRYATVSVDRSQCFSILNKQTLYPHCEIQNTLPKFRLGPKNLLRELQEHNFNSVGINKVLGTIVLDEMAEWTDLFDTDSPIVMNSEDLPLDQVRPHSLCFSLESCSMIWEPHPPKFGMPWHILYLDLYNLKLAKLSKRCATEVLSKKHCTCWLKTAIFLDERRVAGEKIKCRIGAGVERENHYFYACDFHRYGADIAEKVAETVKGPSAKWSSLGVAPIDGM
+>sp|Q9NQ31|AKIP1_HUMAN A-kinase-interacting protein 1 OS=Homo sapiens OX=9606 GN=AKIP1 PE=1 SV=2
+MDNCLAAAALNGVDRRSLQRSARLALEVLERAKRRAVDWHALERPKGCMGVLAREAPHLEKQPAAGPQRVLPGEREERPPTLSASFRTMAEFMDYTSSQCGKYYSSVPEEGGATHVYRYHRGESKLHMCLDIGNGQRKDRKKTSLGPGGSYQISEHAPEASQPAENISKDLYIEVYPGTYSVTVGSNDLTKKTHVVAVDSGQSVDLVFPV
+>DECOY_sp|Q9NQ31|AKIP1_HUMAN A-kinase-interacting protein 1 OS=Homo sapiens OX=9606 GN=AKIP1 PE=1 SV=2
+VPFVLDVSQGSDVAVVHTKKTLDNSGVTVSYTGPYVEIYLDKSINEAPQSAEPAHESIQYSGGPGLSTKKRDKRQGNGIDLCMHLKSEGRHYRYVHTAGGEEPVSSYYKGCQSSTYDMFEAMTRFSASLTPPREEREGPLVRQPGAAPQKELHPAERALVGMCGKPRELAHWDVARRKARELVELALRASRQLSRRDVGNLAAAALCNDM
+>sp|Q53H80|AKIR2_HUMAN Akirin-2 OS=Homo sapiens OX=9606 GN=AKIRIN2 PE=1 SV=2
+MACGATLKRTLDFDPLLSPASPKRRRCAPLSAPTSAAASPLSAAAATAASFSAAAASPQKYLRMEPSPFGDVSSRLTTEQILYNIKQEYKRMQKRRHLETSFQQTDPCCTSDAQPHAFLLSGPASPGTSSAASSPLKKEQPLFTLRQVGMICERLLKEREEKVREEYEEILNTKLAEQYDAFVKFTHDQIMRRYGEQPASYVS
+>DECOY_sp|Q53H80|AKIR2_HUMAN Akirin-2 OS=Homo sapiens OX=9606 GN=AKIRIN2 PE=1 SV=2
+SVYSAPQEGYRRMIQDHTFKVFADYQEALKTNLIEEYEERVKEEREKLLRECIMGVQRLTFLPQEKKLPSSAASSTGPSAPGSLLFAHPQADSTCCPDTQQFSTELHRRKQMRKYEQKINYLIQETTLRSSVDGFPSPEMRLYKQPSAAAASFSAATAAAASLPSAAASTPASLPACRRRKPSAPSLLPDFDLTRKLTAGCAM
+>sp|P47895|AL1A3_HUMAN Aldehyde dehydrogenase family 1 member A3 OS=Homo sapiens OX=9606 GN=ALDH1A3 PE=1 SV=2
+MATANGAVENGQPDRKPPALPRPIRNLEVKFTKIFINNEWHESKSGKKFATCNPSTREQICEVEEGDKPDVDKAVEAAQVAFQRGSPWRRLDALSRGRLLHQLADLVERDRATLAALETMDTGKPFLHAFFIDLEGCIRTLRYFAGWADKIQGKTIPTDDNVVCFTRHEPIGVCGAITPWNFPLLMLVWKLAPALCCGNTMVLKPAEQTPLTALYLGSLIKEAGFPPGVVNIVPGFGPTVGAAISSHPQINKIAFTGSTEVGKLVKEAASRSNLKRVTLELGGKNPCIVCADADLDLAVECAHQGVFFNQGQCCTAASRVFVEEQVYSEFVRRSVEYAKKRPVGDPFDVKTEQGPQIDQKQFDKILELIESGKKEGAKLECGGSAMEDKGLFIKPTVFSEVTDNMRIAKEEIFGPVQPILKFKSIEEVIKRANSTDYGLTAAVFTKNLDKALKLASALESGTVWINCYNALYAQAPFGGFKMSGNGRELGEYALAEYTEVKTVTIKLGDKNP
+>DECOY_sp|P47895|AL1A3_HUMAN Aldehyde dehydrogenase family 1 member A3 OS=Homo sapiens OX=9606 GN=ALDH1A3 PE=1 SV=2
+PNKDGLKITVTKVETYEALAYEGLERGNGSMKFGGFPAQAYLANYCNIWVTGSELASALKLAKDLNKTFVAATLGYDTSNARKIVEEISKFKLIPQVPGFIEEKAIRMNDTVESFVTPKIFLGKDEMASGGCELKAGEKKGSEILELIKDFQKQDIQPGQETKVDFPDGVPRKKAYEVSRRVFESYVQEEVFVRSAATCCQGQNFFVGQHACEVALDLDADACVICPNKGGLELTVRKLNSRSAAEKVLKGVETSGTFAIKNIQPHSSIAAGVTPGFGPVINVVGPPFGAEKILSGLYLATLPTQEAPKLVMTNGCCLAPALKWVLMLLPFNWPTIAGCVGIPEHRTFCVVNDDTPITKGQIKDAWGAFYRLTRICGELDIFFAHLFPKGTDMTELAALTARDREVLDALQHLLRGRSLADLRRWPSGRQFAVQAAEVAKDVDPKDGEEVECIQERTSPNCTAFKKGSKSEHWENNIFIKTFKVELNRIPRPLAPPKRDPQGNEVAGNATAM
+>sp|P30837|AL1B1_HUMAN Aldehyde dehydrogenase X, mitochondrial OS=Homo sapiens OX=9606 GN=ALDH1B1 PE=1 SV=3
+MLRFLAPRLLSLQGRTARYSSAAALPSPILNPDIPYNQLFINNEWQDAVSKKTFPTVNPTTGEVIGHVAEGDRADVDRAVKAAREAFRLGSPWRRMDASERGRLLNLLADLVERDRVYLASLETLDNGKPFQESYALDLDEVIKVYRYFAGWADKWHGKTIPMDGQHFCFTRHEPVGVCGQIIPWNFPLVMQGWKLAPALATGNTVVMKVAEQTPLSALYLASLIKEAGFPPGVVNIITGYGPTAGAAIAQHVDVDKVAFTGSTEVGHLIQKAAGDSNLKRVTLELGGKSPSIVLADADMEHAVEQCHEALFFNMGQCCCAGSRTFVEESIYNEFLERTVEKAKQRKVGNPFELDTQQGPQVDKEQFERVLGYIQLGQKEGAKLLCGGERFGERGFFIKPTVFGGVQDDMRIAKEEIFGPVQPLFKFKKIEEVVERANNTRYGLAAAVFTRDLDKAMYFTQALQAGTVWVNTYNIVTCHTPFGGFKESGNGRELGEDGLKAYTEVKTVTIKVPQKNS
+>DECOY_sp|P30837|AL1B1_HUMAN Aldehyde dehydrogenase X, mitochondrial OS=Homo sapiens OX=9606 GN=ALDH1B1 PE=1 SV=3
+SNKQPVKITVTKVETYAKLGDEGLERGNGSEKFGGFPTHCTVINYTNVWVTGAQLAQTFYMAKDLDRTFVAAALGYRTNNAREVVEEIKKFKFLPQVPGFIEEKAIRMDDQVGGFVTPKIFFGREGFREGGCLLKAGEKQGLQIYGLVREFQEKDVQPGQQTDLEFPNGVKRQKAKEVTRELFENYISEEVFTRSGACCCQGMNFFLAEHCQEVAHEMDADALVISPSKGGLELTVRKLNSDGAAKQILHGVETSGTFAVKDVDVHQAIAAGATPGYGTIINVVGPPFGAEKILSALYLASLPTQEAVKMVVTNGTALAPALKWGQMVLPFNWPIIQGCVGVPEHRTFCFHQGDMPITKGHWKDAWGAFYRYVKIVEDLDLAYSEQFPKGNDLTELSALYVRDREVLDALLNLLRGRESADMRRWPSGLRFAERAAKVARDVDARDGEAVHGIVEGTTPNVTPFTKKSVADQWENNIFLQNYPIDPNLIPSPLAAASSYRATRGQLSLLRPALFRLM
+>sp|Q96Q35|AL2SB_HUMAN Amyotrophic lateral sclerosis 2 chromosomal region candidate gene 12 protein OS=Homo sapiens OX=9606 GN=ALS2CR12 PE=1 SV=2
+MYPNPLIYCTCWDPWNLGPRKLIKTPQLPRKNSTGSSKLTPLVPAPKNHNYLQPTKPVVSPKMKIHSARQEETNKSFYEVINVSPGYQLVRNREQISVTLGDEMFDRKKRWESEIPDKGRFSRTNIISDLEEQISELTAIIEQMNRDHQSAQKLLSSEMDLRCAEMKQNFENKNRELKEAHEAELSELENNYKAALKAEKLAAQEKLEEMGKEYKYLKNMFRTYQDSIYDEMEEKWSKQKAKWKKDEKFERENILLQQKKKMTKKFEMESGEEDKKINESCSAVFENFIQEKEELLKQHQSDTLQLEELRKTKEVPWRRDQINRHWHDVLQQLLLMQVMQEELHAQALILESLNTNLYYTQLELQKEKAIVGNLEKMLQTKFAETEEKYKHTIQILTEENIHLKQKIISKNEEICEGCSGRLASITVSKDDSDTVQDGSKKGQES
+>DECOY_sp|Q96Q35|AL2SB_HUMAN Amyotrophic lateral sclerosis 2 chromosomal region candidate gene 12 protein OS=Homo sapiens OX=9606 GN=ALS2CR12 PE=1 SV=2
+SEQGKKSGDQVTDSDDKSVTISALRGSCGECIEENKSIIKQKLHINEETLIQITHKYKEETEAFKTQLMKELNGVIAKEKQLELQTYYLNTNLSELILAQAHLEEQMVQMLLLQQLVDHWHRNIQDRRWPVEKTKRLEELQLTDSQHQKLLEEKEQIFNEFVASCSENIKKDEEGSEMEFKKTMKKKQQLLINEREFKEDKKWKAKQKSWKEEMEDYISDQYTRFMNKLYKYEKGMEELKEQAALKEAKLAAKYNNELESLEAEHAEKLERNKNEFNQKMEACRLDMESSLLKQASQHDRNMQEIIATLESIQEELDSIINTRSFRGKDPIESEWRKKRDFMEDGLTVSIQERNRVLQYGPSVNIVEYFSKNTEEQRASHIKMKPSVVPKTPQLYNHNKPAPVLPTLKSSGTSNKRPLQPTKILKRPGLNWPDWCTCYILPNPYM
+>sp|P20292|AL5AP_HUMAN Arachidonate 5-lipoxygenase-activating protein OS=Homo sapiens OX=9606 GN=ALOX5AP PE=1 SV=2
+MDQETVGNVVLLAIVTLISVVQNGFFAHKVEHESRTQNGRSFQRTGTLAFERVYTANQNCVDAYPTFLAVLWSAGLLCSQVPAAFAGLMYLFVRQKYFVGYLGERTQSTPGYIFGKRIILFLFLMSVAGIFNYYLIFFFGSDFENYIKTISTTISPLLLIP
+>DECOY_sp|P20292|AL5AP_HUMAN Arachidonate 5-lipoxygenase-activating protein OS=Homo sapiens OX=9606 GN=ALOX5AP PE=1 SV=2
+PILLLPSITTSITKIYNEFDSGFFFILYYNFIGAVSMLFLFLIIRKGFIYGPTSQTREGLYGVFYKQRVFLYMLGAFAAPVQSCLLGASWLVALFTPYADVCNQNATYVREFALTGTRQFSRGNQTRSEHEVKHAFFGNQVVSILTVIALLVVNGVTEQDM
+>sp|Q9H553|ALG2_HUMAN Alpha-1,3/1,6-mannosyltransferase ALG2 OS=Homo sapiens OX=9606 GN=ALG2 PE=1 SV=1
+MAEEQGRERDSVPKPSVLFLHPDLGVGGAERLVLDAALALQARGCSVKIWTAHYDPGHCFAESRELPVRCAGDWLPRGLGWGGRGAAVCAYVRMVFLALYVLFLADEEFDVVVCDQVSACIPVFRLARRRKKILFYCHFPDLLLTKRDSFLKRLYRAPIDWIEEYTTGMADCILVNSQFTAAVFKETFKSLSHIDPDVLYPSLNVTSFDSVVPEKLDDLVPKGKKFLLLSINRYERKKNLTLALEALVQLRGRLTSQDWERVHLIVAGGYDERVLENVEHYQELKKMVQQSDLGQYVTFLRSFSDKQKISLLHSCTCVLYTPSNEHFGIVPLEAMYMQCPVIAVNSGGPLESIDHSVTGFLCEPDPVHFSEAIEKFIREPSLKATMGLAGRARVKEKFSPEAFTEQLYRYVTKLLV
+>DECOY_sp|Q9H553|ALG2_HUMAN Alpha-1,3/1,6-mannosyltransferase ALG2 OS=Homo sapiens OX=9606 GN=ALG2 PE=1 SV=1
+VLLKTVYRYLQETFAEPSFKEKVRARGALGMTAKLSPERIFKEIAESFHVPDPECLFGTVSHDISELPGGSNVAIVPCQMYMAELPVIGFHENSPTYLVCTCSHLLSIKQKDSFSRLFTVYQGLDSQQVMKKLEQYHEVNELVREDYGGAVILHVREWDQSTLRGRLQVLAELALTLNKKREYRNISLLLFKKGKPVLDDLKEPVVSDFSTVNLSPYLVDPDIHSLSKFTEKFVAATFQSNVLICDAMGTTYEEIWDIPARYLRKLFSDRKTLLLDPFHCYFLIKKRRRALRFVPICASVQDCVVVDFEEDALFLVYLALFVMRVYACVAAGRGGWGLGRPLWDGACRVPLERSEAFCHGPDYHATWIKVSCGRAQLALAADLVLREAGGVGLDPHLFLVSPKPVSDRERGQEEAM
+>sp|Q92685|ALG3_HUMAN Dol-P-Man:Man(5)GlcNAc(2)-PP-Dol alpha-1,3-mannosyltransferase OS=Homo sapiens OX=9606 GN=ALG3 PE=1 SV=1
+MAAGLRKRGRSGSAAQAEGLCKQWLQRAWQERRLLLREPRYTLLVAACLCLAEVGITFWVIHRVAYTEIDWKAYMAEVEGVINGTYDYTQLQGDTGPLVYPAGFVYIFMGLYYATSRGTDIRMAQNIFAVLYLATLLLVFLIYHQTCKVPPFVFFFMCCASYRVHSIFVLRLFNDPVAMVLLFLSINLLLAQRWGWGCCFFSLAVSVKMNVLLFAPGLLFLLLTQFGFRGALPKLGICAGLQVVLGLPFLLENPSGYLSRSFDLGRQFLFHWTVNWRFLPEALFLHRAFHLALLTAHLTLLLLFALCRWHRTGESILSLLRDPSKRKVPPQPLTPNQIVSTLFTSNFIGICFSRSLHYQFYVWYFHTLPYLLWAMPARWLTHLLRLLVLGLIELSWNTYPSTSCSSAALHICHAVILLQLWLGPQPFPKSTQHSKKAH
+>DECOY_sp|Q92685|ALG3_HUMAN Dol-P-Man:Man(5)GlcNAc(2)-PP-Dol alpha-1,3-mannosyltransferase OS=Homo sapiens OX=9606 GN=ALG3 PE=1 SV=1
+HAKKSHQTSKPFPQPGLWLQLLIVAHCIHLAASSCSTSPYTNWSLEILGLVLLRLLHTLWRAPMAWLLYPLTHFYWVYFQYHLSRSFCIGIFNSTFLTSVIQNPTLPQPPVKRKSPDRLLSLISEGTRHWRCLAFLLLLTLHATLLALHFARHLFLAEPLFRWNVTWHFLFQRGLDFSRSLYGSPNELLFPLGLVVQLGACIGLKPLAGRFGFQTLLLFLLGPAFLLVNMKVSVALSFFCCGWGWRQALLLNISLFLLVMAVPDNFLRLVFISHVRYSACCMFFFVFPPVKCTQHYILFVLLLTALYLVAFINQAMRIDTGRSTAYYLGMFIYVFGAPYVLPGTDGQLQTYDYTGNIVGEVEAMYAKWDIETYAVRHIVWFTIGVEALCLCAAVLLTYRPERLLLRREQWARQLWQKCLGEAQAASGSRGRKRLGAAM
+>sp|Q9Y673|ALG5_HUMAN Dolichyl-phosphate beta-glucosyltransferase OS=Homo sapiens OX=9606 GN=ALG5 PE=1 SV=1
+MAPLLLQLAVLGAALAAAALVLISIVAFTTATKMPALHRHEEEKFFLNAKGQKETLPSIWDSPTKQLSVVVPSYNEEKRLPVMMDEALSYLEKRQKRDPAFTYEVIVVDDGSKDQTSKVAFKYCQKYGSDKVRVITLVKNRGKGGAIRMGIFSSRGEKILMADADGATKFPDVEKLEKGLNDLQPWPNQMAIACGSRAHLEKESIAQRSYFRTLLMYGFHFLVWFLCVKGIRDTQCGFKLFTREAASRTFSSLHVERWAFDVELLYIAQFFKIPIAEIAVNWTEIEGSKLVPFWSWLQMGKDLLFIRLRYLTGAWRLEQTRKMN
+>DECOY_sp|Q9Y673|ALG5_HUMAN Dolichyl-phosphate beta-glucosyltransferase OS=Homo sapiens OX=9606 GN=ALG5 PE=1 SV=1
+NMKRTQELRWAGTLYRLRIFLLDKGMQLWSWFPVLKSGEIETWNVAIEAIPIKFFQAIYLLEVDFAWREVHLSSFTRSAAERTFLKFGCQTDRIGKVCLFWVLFHFGYMLLTRFYSRQAISEKELHARSGCAIAMQNPWPQLDNLGKELKEVDPFKTAGDADAMLIKEGRSSFIGMRIAGGKGRNKVLTIVRVKDSGYKQCYKFAVKSTQDKSGDDVVIVEYTFAPDRKQRKELYSLAEDMMVPLRKEENYSPVVVSLQKTPSDWISPLTEKQGKANLFFKEEEHRHLAPMKTATTFAVISILVLAAAALAAGLVALQLLLPAM
+>sp|Q9BVK2|ALG8_HUMAN Probable dolichyl pyrophosphate Glc1Man9GlcNAc2 alpha-1,3-glucosyltransferase OS=Homo sapiens OX=9606 GN=ALG8 PE=1 SV=2
+MAALTIATGTGNWFSALALGVTLLKCLLIPTYHSTDFEVHRNWLAITHSLPISQWYYEATSEWTLDYPPFFAWFEYILSHVAKYFDQEMLNVHNLNYSSSRTLLFQRFSVIFMDVLFVYAVRECCKCIDGKKVGKELTEKPKFILSVLLLWNFGLLIVDHIHFQYNGFLFGLMLLSIARLFQKRHMEGAFLFAVLLHFKHIYLYVAPAYGVYLLRSYCFTANKPDGSIRWKSFSFVRVISLGLVVFLVSALSLGPFLALNQLPQVFSRLFPFKRGLCHAYWAPNFWALYNALDKVLSVIGLKLKFLDPNNIPKASMTSGLVQQFQHTVLPSVTPLATLICTLIAILPSIFCLWFKPQGPRGFLRCLTLCALSSFMFGWHVHEKAILLAILPMSLLSVGKAGDASIFLILTTTGHYSLFPLLFTAPELPIKILLMLLFTIYSISSLKTLFRKEKPLFNWMETFYLLGLGPLEVCCEFVFPFTSWKVKYPFIPLLLTSVYCAVGITYAWFKLYVSVLIDSAIGKTKKQ
+>DECOY_sp|Q9BVK2|ALG8_HUMAN Probable dolichyl pyrophosphate Glc1Man9GlcNAc2 alpha-1,3-glucosyltransferase OS=Homo sapiens OX=9606 GN=ALG8 PE=1 SV=2
+QKKTKGIASDILVSVYLKFWAYTIGVACYVSTLLLPIFPYKVKWSTFPFVFECCVELPGLGLLYFTEMWNFLPKEKRFLTKLSSISYITFLLMLLIKIPLEPATFLLPFLSYHGTTTLILFISADGAKGVSLLSMPLIALLIAKEHVHWGFMFSSLACLTLCRLFGRPGQPKFWLCFISPLIAILTCILTALPTVSPLVTHQFQQVLGSTMSAKPINNPDLFKLKLGIVSLVKDLANYLAWFNPAWYAHCLGRKFPFLRSFVQPLQNLALFPGLSLASVLFVVLGLSIVRVFSFSKWRISGDPKNATFCYSRLLYVGYAPAVYLYIHKFHLLVAFLFAGEMHRKQFLRAISLLMLGFLFGNYQFHIHDVILLGFNWLLLVSLIFKPKETLEKGVKKGDICKCCERVAYVFLVDMFIVSFRQFLLTRSSSYNLNHVNLMEQDFYKAVHSLIYEFWAFFPPYDLTWESTAEYYWQSIPLSHTIALWNRHVEFDTSHYTPILLCKLLTVGLALASFWNGTGTAITLAAM
+>sp|Q99218|AMELY_HUMAN Amelogenin, Y isoform OS=Homo sapiens OX=9606 GN=AMELY PE=2 SV=2
+MGTWILFACLVGAAFAMPLPPHPGHPGYINFSYENSHSQAINVDRIALVLTPLKWYQSMIRPPYSSYGYEPMGGWLHHQIIPVVSQQHPLTHTLQSHHHIPVVPAQQPRVRQQALMPVPGQQSMTPTQHHQPNLPLPAQQPFQPQPVQPQPHQPMQPQPPVQPMQPLLPQPPLPPMFPLRPLPPILPDLHLEAWPATDKTKQEEVD
+>DECOY_sp|Q99218|AMELY_HUMAN Amelogenin, Y isoform OS=Homo sapiens OX=9606 GN=AMELY PE=2 SV=2
+DVEEQKTKDTAPWAELHLDPLIPPLPRLPFMPPLPPQPLLPQMPQVPPQPQMPQHPQPQVPQPQFPQQAPLPLNPQHHQTPTMSQQGPVPMLAQQRVRPQQAPVVPIHHHSQLTHTLPHQQSVVPIIQHHLWGGMPEYGYSSYPPRIMSQYWKLPTLVLAIRDVNIAQSHSNEYSFNIYGPHGPHPPLPMAFAAGVLCAFLIWTGM
+>sp|Q96LC9|BMF_HUMAN Bcl-2-modifying factor OS=Homo sapiens OX=9606 GN=BMF PE=1 SV=1
+MEPSQCVEELEDDVFQPEDGEPVTQPGSLLSADLFAQSLLDCPLSRLQLFPLTHCCGPGLRPTSQEDKATQTLSPASPSQGVMLPCGVTEEPQRLFYGNAGYRLPLPASFPAVLPIGEQPPEGQWQHQAEVQIARKLQCIADQFHRLHVQQHQQNQNRVWWQILLFLHNLALNGEENRNGAGPR
+>DECOY_sp|Q96LC9|BMF_HUMAN Bcl-2-modifying factor OS=Homo sapiens OX=9606 GN=BMF PE=1 SV=1
+RPGAGNRNEEGNLALNHLFLLIQWWVRNQNQQHQQVHLRHFQDAICQLKRAIQVEAQHQWQGEPPQEGIPLVAPFSAPLPLRYGANGYFLRQPEETVGCPLMVGQSPSAPSLTQTAKDEQSTPRLGPGCCHTLPFLQLRSLPCDLLSQAFLDASLLSGPQTVPEGDEPQFVDDELEEVCQSPEM
+>sp|P35226|BMI1_HUMAN Polycomb complex protein BMI-1 OS=Homo sapiens OX=9606 GN=BMI1 PE=1 SV=2
+MHRTTRIKITELNPHLMCVLCGGYFIDATTIIECLHSFCKTCIVRYLETSKYCPICDVQVHKTRPLLNIRSDKTLQDIVYKLVPGLFKNEMKRRRDFYAAHPSADAANGSNEDRGEVADEDKRIITDDEIISLSIEFFDQNRLDRKVNKDKEKSKEEVNDKRYLRCPAAMTVMHLRKFLRSKMDIPNTFQIDVMYEEEPLKDYYTLMDIAYIYTWRRNGPLPLKYRVRPTCKRMKISHQRDGLTNAGELESDSGSDKANSPAGGIPSTSSCLPSPSTPVQSPHPQFPHISSTMNGTSNSPSGNHQSSFANRPRKSSVNGSSATSSG
+>DECOY_sp|P35226|BMI1_HUMAN Polycomb complex protein BMI-1 OS=Homo sapiens OX=9606 GN=BMI1 PE=1 SV=2
+GSSTASSGNVSSKRPRNAFSSQHNGSPSNSTGNMTSSIHPFQPHPSQVPTSPSPLCSSTSPIGGAPSNAKDSGSDSELEGANTLGDRQHSIKMRKCTPRVRYKLPLPGNRRWTYIYAIDMLTYYDKLPEEEYMVDIQFTNPIDMKSRLFKRLHMVTMAAPCRLYRKDNVEEKSKEKDKNVKRDLRNQDFFEISLSIIEDDTIIRKDEDAVEGRDENSGNAADASPHAAYFDRRRKMENKFLGPVLKYVIDQLTKDSRINLLPRTKHVQVDCIPCYKSTELYRVICTKCFSHLCEIITTADIFYGGCLVCMLHPNLETIKIRTTRHM
+>sp|P12644|BMP4_HUMAN Bone morphogenetic protein 4 OS=Homo sapiens OX=9606 GN=BMP4 PE=1 SV=1
+MIPGNRMLMVVLLCQVLLGGASHASLIPETGKKKVAEIQGHAGGRRSGQSHELLRDFEATLLQMFGLRRRPQPSKSAVIPDYMRDLYRLQSGEEEEEQIHSTGLEYPERPASRANTVRSFHHEEHLENIPGTSENSAFRFLFNLSSIPENEVISSAELRLFREQVDQGPDWERGFHRINIYEVMKPPAEVVPGHLITRLLDTRLVHHNVTRWETFDVSPAVLRWTREKQPNYGLAIEVTHLHQTRTHQGQHVRISRSLPQGSGNWAQLRPLLVTFGHDGRGHALTRRRRAKRSPKHHSQRARKKNKNCRRHSLYVDFSDVGWNDWIVAPPGYQAFYCHGDCPFPLADHLNSTNHAIVQTLVNSVNSSIPKACCVPTELSAISMLYLDEYDKVVLKNYQEMVVEGCGCR
+>DECOY_sp|P12644|BMP4_HUMAN Bone morphogenetic protein 4 OS=Homo sapiens OX=9606 GN=BMP4 PE=1 SV=1
+RCGCGEVVMEQYNKLVVKDYEDLYLMSIASLETPVCCAKPISSNVSNVLTQVIAHNTSNLHDALPFPCDGHCYFAQYGPPAVIWDNWGVDSFDVYLSHRRCNKNKKRARQSHHKPSRKARRRRTLAHGRGDHGFTVLLPRLQAWNGSGQPLSRSIRVHQGQHTRTQHLHTVEIALGYNPQKERTWRLVAPSVDFTEWRTVNHHVLRTDLLRTILHGPVVEAPPKMVEYINIRHFGREWDPGQDVQERFLRLEASSIVENEPISSLNFLFRFASNESTGPINELHEEHHFSRVTNARSAPREPYELGTSHIQEEEEEGSQLRYLDRMYDPIVASKSPQPRRRLGFMQLLTAEFDRLLEHSQGSRRGGAHGQIEAVKKKGTEPILSAHSAGGLLVQCLLVVMLMRNGPIM
+>sp|Q7Z5Y6|BMP8A_HUMAN Bone morphogenetic protein 8A OS=Homo sapiens OX=9606 GN=BMP8A PE=2 SV=2
+MAARPGPLWLLGLTLCALGGGGPGLRPPPGCPQRRLGARERRDVQREILAVLGLPGRPRPRAPPAASRLPASAPLFMLDLYHAMAGDDDEDGAPAEQRLGRADLVMSFVNMVERDRALGHQEPHWKEFRFDLTQIPAGEAVTAAEFRIYKVPSIHLLNRTLHVSMFQVVQEQSNRESDLFFLDLQTLRAGDEGWLVLDVTAASDCWLLKRHKDLGLRLYVETEDGHSVDPGLAGLLGQRAPRSQQPFVVTFFRASPSPIRTPRAVRPLRRRQPKKSNELPQANRLPGIFDDVRGSHGRQVCRRHELYVSFQDLGWLDWVIAPQGYSAYYCEGECSFPLDSCMNATNHAILQSLVHLMKPNAVPKACCAPTKLSATSVLYYDSSNNVILRKHRNMVVKACGCH
+>DECOY_sp|Q7Z5Y6|BMP8A_HUMAN Bone morphogenetic protein 8A OS=Homo sapiens OX=9606 GN=BMP8A PE=2 SV=2
+HCGCAKVVMNRHKRLIVNNSSDYYLVSTASLKTPACCAKPVANPKMLHVLSQLIAHNTANMCSDLPFSCEGECYYASYGQPAIVWDLWGLDQFSVYLEHRRCVQRGHSGRVDDFIGPLRNAQPLENSKKPQRRRLPRVARPTRIPSPSARFFTVVFPQQSRPARQGLLGALGPDVSHGDETEVYLRLGLDKHRKLLWCDSAATVDLVLWGEDGARLTQLDLFFLDSERNSQEQVVQFMSVHLTRNLLHISPVKYIRFEAATVAEGAPIQTLDFRFEKWHPEQHGLARDREVMNVFSMVLDARGLRQEAPAGDEDDDGAMAHYLDLMFLPASAPLRSAAPPARPRPRGPLGLVALIERQVDRRERAGLRRQPCGPPPRLGPGGGGLACLTLGLLWLPGPRAAM
+>sp|Q8N8U9|BMPER_HUMAN BMP-binding endothelial regulator protein OS=Homo sapiens OX=9606 GN=BMPER PE=1 SV=3
+MLWFSGVGALAERYCRRSPGITCCVLLLLNCSGVPMSLASSFLTGSVAKCENEGEVLQIPFITDNPCIMCVCLNKEVTCKREKCPVLSRDCALAIKQRGACCEQCKGCTYEGNTYNSSFKWQSPAEPCVLRQCQEGVVTESGVRCVVHCKNPLEHLGMCCPTCPGCVFEGVQYQEGEEFQPEGSKCTKCSCTGGRTQCVREVCPILSCPQHLSHIPPGQCCPKCLGQRKVFDLPFGSCLFRSDVYDNGSSFLYDNCTACTCRDSTVVCKRKCSHPGGCDQGQEGCCEECLLRVPPEDIKVCKFGNKIFQDGEMWSSINCTICACVKGRTECRNKQCIPISSCPQGKILNRKGCCPICTEKPGVCTVFGDPHYNTFDGRTFNFQGTCQYVLTKDCSSPASPFQVLVKNDARRTRSFSWTKSVELVLGESRVSLQQHLTVRWNGSRIALPCRAPHFHIDLDGYLLKVTTKAGLEISWDGDSFVEVMAAPHLKGKLCGLCGNYNGHKRDDLIGGDGNFKFDVDDFAESWRVESNEFCNRPQRKPVPELCQGTVKVKLRAHRECQKLKSWEFQTCHSTVDYATFYRSCVTDMCECPVHKNCYCESFLAYTRACQREGIKVHWEPQQNCAATQCKHGAVYDTCGPGCIKTCDNWNEIGPCNKPCVAGCHCPANLVLHKGRCIKPVLCPQR
+>DECOY_sp|Q8N8U9|BMPER_HUMAN BMP-binding endothelial regulator protein OS=Homo sapiens OX=9606 GN=BMPER PE=1 SV=3
+RQPCLVPKICRGKHLVLNAPCHCGAVCPKNCPGIENWNDCTKICGPGCTDYVAGHKCQTAACNQQPEWHVKIGERQCARTYALFSECYCNKHVPCECMDTVCSRYFTAYDVTSHCTQFEWSKLKQCERHARLKVKVTGQCLEPVPKRQPRNCFENSEVRWSEAFDDVDFKFNGDGGILDDRKHGNYNGCLGCLKGKLHPAAMVEVFSDGDWSIELGAKTTVKLLYGDLDIHFHPARCPLAIRSGNWRVTLHQQLSVRSEGLVLEVSKTWSFSRTRRADNKVLVQFPSAPSSCDKTLVYQCTGQFNFTRGDFTNYHPDGFVTCVGPKETCIPCCGKRNLIKGQPCSSIPICQKNRCETRGKVCACITCNISSWMEGDQFIKNGFKCVKIDEPPVRLLCEECCGEQGQDCGGPHSCKRKCVVTSDRCTCATCNDYLFSSGNDYVDSRFLCSGFPLDFVKRQGLCKPCCQGPPIHSLHQPCSLIPCVERVCQTRGGTCSCKTCKSGEPQFEEGEQYQVGEFVCGPCTPCCMGLHELPNKCHVVCRVGSETVVGEQCQRLVCPEAPSQWKFSSNYTNGEYTCGKCQECCAGRQKIALACDRSLVPCKERKCTVEKNLCVCMICPNDTIFPIQLVEGENECKAVSGTLFSSALSMPVGSCNLLLLVCCTIGPSRRCYREALAGVGSFWLM
+>sp|Q7Z5W3|BN3D2_HUMAN Pre-miRNA 5'-monophosphate methyltransferase OS=Homo sapiens OX=9606 GN=BCDIN3D PE=1 SV=1
+MAVPTELDGGSVKETAAEEESRVLAPGAAPFGNFPHYSRFHPPEQRLRLLPPELLRQLFPESPENGPILGLDVGCNSGDLSVALYKHFLSLPDGETCSDASREFRLLCCDIDPVLVKRAEKECPFPDALTFITLDFMNQRTRKVLLSSFLSQFGRSVFDIGFCMSITMWIHLNHGDHGLWEFLAHLSSLCHYLLVEPQPWKCYRAAARRLRKLGLHDFDHFHSLAIRGDMPNQIVQILTQDHGMELICCFGNTSWDRSLLLFRAKQTIETHPIPESLIEKGKEKNRLSFQKQ
+>DECOY_sp|Q7Z5W3|BN3D2_HUMAN Pre-miRNA 5'-monophosphate methyltransferase OS=Homo sapiens OX=9606 GN=BCDIN3D PE=1 SV=1
+QKQFSLRNKEKGKEILSEPIPHTEITQKARFLLLSRDWSTNGFCCILEMGHDQTLIQVIQNPMDGRIALSHFHDFDHLGLKRLRRAAARYCKWPQPEVLLYHCLSSLHALFEWLGHDGHNLHIWMTISMCFGIDFVSRGFQSLFSSLLVKRTRQNMFDLTIFTLADPFPCEKEARKVLVPDIDCCLLRFERSADSCTEGDPLSLFHKYLAVSLDGSNCGVDLGLIPGNEPSEPFLQRLLEPPLLRLRQEPPHFRSYHPFNGFPAAGPALVRSEEEAATEKVSGGDLETPVAM
+>sp|Q12830|BPTF_HUMAN Nucleosome-remodeling factor subunit BPTF OS=Homo sapiens OX=9606 GN=BPTF PE=1 SV=3
+MRGRRGRPPKQPAAPAAERCAPAPPPPPPPPTSGPIGGLRSRHRGSSRGRWAAAQAEVAPKTRLSSPRGGSSSRRKPPPPPPAPPSTSAPGRGGRGGGGGRTGGGGGGGHLARTTAARRAVNKVVYDDHESEEEEEEEDMVSEEEEEEDGDAEETQDSEDDEEDEMEEDDDDSDYPEEMEDDDDDASYCTESSFRSHSTYSSTPGRRKPRVHRPRSPILEEKDIPPLEFPKSSEDLMVPNEHIMNVIAIYEVLRNFGTVLRLSPFRFEDFCAALVSQEQCTLMAEMHVVLLKAVLREEDTSNTTFGPADLKDSVNSTLYFIDGMTWPEVLRVYCESDKEYHHVLPYQEAEDYPYGPVENKIKVLQFLVDQFLTTNIAREELMSEGVIQYDDHCRVCHKLGDLLCCETCSAVYHLECVKPPLEEVPEDEWQCEVCVAHKVPGVTDCVAEIQKNKPYIRHEPIGYDRSRRKYWFLNRRLIIEEDTENENEKKIWYYSTKVQLAELIDCLDKDYWEAELCKILEEMREEIHRHMDITEDLTNKARGSNKSFLAAANEEILESIRAKKGDIDNVKSPEETEKDKNETENDSKDAEKNREEFEDQSLEKDSDDKTPDDDPEQGKSEEPTEVGDKGNSVSANLGDNTTNATSEETSPSEGRSPVGCLSETPDSSNMAEKKVASELPQDVPEEPNKTCESSNTSATTTSIQPNLENSNSSSELNSSQSESAKAADDPENGERESHTPVSIQEEIVGDFKSEKSNGELSESPGAGKGASGSTRIITRLRNPDSKLSQLKSQQVAAAAHEANKLFKEGKEVLVVNSQGEISRLSTKKEVIMKGNINNYFKLGQEGKYRVYHNQYSTNSFALNKHQHREDHDKRRHLAHKFCLTPAGEFKWNGSVHGSKVLTISTLRLTITQLENNIPSSFLHPNWASHRANWIKAVQMCSKPREFALALAILECAVKPVVMLPIWRESLGHTRLHRMTSIEREEKEKVKKKEKKQEEEETMQQATWVKYTFPVKHQVWKQKGEEYRVTGYGGWSWISKTHVYRFVPKLPGNTNVNYRKSLEGTKNNMDENMDESDKRKCSRSPKKIKIEPDSEKDEVKGSDAAKGADQNEMDISKITEKKDQDVKELLDSDSDKPCKEEPMEVDDDMKTESHVNCQESSQVDVVNVSEGFHLRTSYKKKTKSSKLDGLLERRIKQFTLEEKQRLEKIKLEGGIKGIGKTSTNSSKNLSESPVITKAKEGCQSDSMRQEQSPNANNDQPEDLIQGCSESDSSVLRMSDPSHTTNKLYPKDRVLDDVSIRSPETKCPKQNSIENDIEEKVSDLASRGQEPSKSKTKGNDFFIDDSKLASADDIGTLICKNKKPLIQEESDTIVSSSKSALHSSVPKSTNDRDATPLSRAMDFEGKLGCDSESNSTLENSSDTVSIQDSSEEDMIVQNSNESISEQFRTREQDVEVLEPLKCELVSGESTGNCEDRLPVKGTEANGKKPSQQKKLEERPVNKCSDQIKLKNTTDKKNNENRESEKKGQRTSTFQINGKDNKPKIYLKGECLKEISESRVVSGNVEPKVNNINKIIPENDIKSLTVKESAIRPFINGDVIMEDFNERNSSETKSHLLSSSDAEGNYRDSLETLPSTKESDSTQTTTPSASCPESNSVNQVEDMEIETSEVKKVTSSPITSEEESNLSNDFIDENGLPINKNENVNGESKRKTVITEVTTMTSTVATESKTVIKVEKGDKQTVVSSTENCAKSTVTTTTTTVTKLSTPSTGGSVDIISVKEQSKTVVTTTVTDSLTTTGGTLVTSMTVSKEYSTRDKVKLMKFSRPKKTRSGTALPSYRKFVTKSSKKSIFVLPNDDLKKLARKGGIREVPYFNYNAKPALDIWPYPSPRPTFGITWRYRLQTVKSLAGVSLMLRLLWASLRWDDMAAKAPPGGGTTRTETSETEITTTEIIKRRDVGPYGIRSEYCIRKIICPIGVPETPKETPTPQRKGLRSSALRPKRPETPKQTGPVIIETWVAEEELELWEIRAFAERVEKEKAQAVEQQAKKRLEQQKPTVIATSTTSPTSSTTSTISPAQKVMVAPISGSVTTGTKMVLTTKVGSPATVTFQQNKNFHQTFATWVKQGQSNSGVVQVQQKVLGIIPSSTGTSQQTFTSFQPRTATVTIRPNTSGSGGTTSNSQVITGPQIRPGMTVIRTPLQQSTLGKAIIRTPVMVQPGAPQQVMTQIIRGQPVSTAVSAPNTVSSTPGQKSLTSATSTSNIQSSASQPPRPQQGQVKLTMAQLTQLTQGHGGNQGLTVVIQGQGQTTGQLQLIPQGVTVLPGPGQQLMQAAMPNGTVQRFLFTPLATTATTASTTTTTVSTTAAGTGEQRQSKLSPQMQVHQDKTLPPAQSSSVGPAEAQPQTAQPSAQPQPQTQPQSPAQPEVQTQPEVQTQTTVSSHVPSEAQPTHAQSSKPQVAAQSQPQSNVQGQSPVRVQSPSQTRIRPSTPSQLSPGQQSQVQTTTSQPIPIQPHTSLQIPSQGQPQSQPQVQSSTQTLSSGQTLNQVTVSSPSRPQLQIQQPQPQVIAVPQLQQQVQVLSQIQSQVVAQIQAQQSGVPQQIKLQLPIQIQQSSAVQTHQIQNVVTVQAASVQEQLQRVQQLRDQQQKKKQQQIEIKREHTLQASNQSEIIQKQVVMKHNAVIEHLKQKKSMTPAEREENQRMIVCNQVMKYILDKIDKEEKQAAKKRKREESVEQKRSKQNATKLSALLFKHKEQLRAEILKKRALLDKDLQIEVQEELKRDLKIKKEKDLMQLAQATAVAAPCPPVTPAPPAPPAPPPSPPPPPAVQHTGLLSTPTLPAASQKRKREEEKDSSSKSKKKKMISTTSKETKKDTKLYCICKTPYDESKFYIGCDRCQNWYHGRCVGILQSEAELIDEYVCPQCQSTEDAMTVLTPLTEKDYEGLKRVLRSLQAHKMAWPFLEPVDPNDAPDYYGVIKEPMDLATMEERVQRRYYEKLTEFVADMTKIFDNCRYYNPSDSPFYQCAEVLESFFVQKLKGFKASRSHNNKLQSTAS
+>DECOY_sp|Q12830|BPTF_HUMAN Nucleosome-remodeling factor subunit BPTF OS=Homo sapiens OX=9606 GN=BPTF PE=1 SV=3
+SATSQLKNNHSRSAKFGKLKQVFFSELVEACQYFPSDSPNYYRCNDFIKTMDAVFETLKEYYRRQVREEMTALDMPEKIVGYYDPADNPDVPELFPWAMKHAQLSRLVRKLGEYDKETLPTLVTMADETSQCQPCVYEDILEAESQLIGVCRGHYWNQCRDCGIYFKSEDYPTKCICYLKTDKKTEKSTTSIMKKKKSKSSSDKEEERKRKQSAAPLTPTSLLGTHQVAPPPPPSPPPAPPAPPAPTVPPCPAAVATAQALQMLDKEKKIKLDRKLEEQVEIQLDKDLLARKKLIEARLQEKHKFLLASLKTANQKSRKQEVSEERKRKKAAQKEEKDIKDLIYKMVQNCVIMRQNEEREAPTMSKKQKLHEIVANHKMVVQKQIIESQNSAQLTHERKIEIQQQKKKQQQDRLQQVRQLQEQVSAAQVTVVNQIQHTQVASSQQIQIPLQLKIQQPVGSQQAQIQAVVQSQIQSLVQVQQQLQPVAIVQPQPQQIQLQPRSPSSVTVQNLTQGSSLTQTSSQVQPQSQPQGQSPIQLSTHPQIPIPQSTTTQVQSQQGPSLQSPTSPRIRTQSPSQVRVPSQGQVNSQPQSQAAVQPKSSQAHTPQAESPVHSSVTTQTQVEPQTQVEPQAPSQPQTQPQPQASPQATQPQAEAPGVSSSQAPPLTKDQHVQMQPSLKSQRQEGTGAATTSVTTTTTSATTATTALPTFLFRQVTGNPMAAQMLQQGPGPLVTVGQPILQLQGTTQGQGQIVVTLGQNGGHGQTLQTLQAMTLKVQGQQPRPPQSASSQINSTSTASTLSKQGPTSSVTNPASVATSVPQGRIIQTMVQQPAGPQVMVPTRIIAKGLTSQQLPTRIVTMGPRIQPGTIVQSNSTTGGSGSTNPRITVTATRPQFSTFTQQSTGTSSPIIGLVKQQVQVVGSNSQGQKVWTAFTQHFNKNQQFTVTAPSGVKTTLVMKTGTTVSGSIPAVMVKQAPSITSTTSSTPSTTSTAIVTPKQQELRKKAQQEVAQAKEKEVREAFARIEWLELEEEAVWTEIIVPGTQKPTEPRKPRLASSRLGKRQPTPTEKPTEPVGIPCIIKRICYESRIGYPGVDRRKIIETTTIETESTETRTTGGGPPAKAAMDDWRLSAWLLRLMLSVGALSKVTQLRYRWTIGFTPRPSPYPWIDLAPKANYNFYPVERIGGKRALKKLDDNPLVFISKKSSKTVFKRYSPLATGSRTKKPRSFKMLKVKDRTSYEKSVTMSTVLTGGTTTLSDTVTTTVVTKSQEKVSIIDVSGGTSPTSLKTVTTTTTTVTSKACNETSSVVTQKDGKEVKIVTKSETAVTSTMTTVETIVTKRKSEGNVNENKNIPLGNEDIFDNSLNSEEESTIPSSTVKKVESTEIEMDEVQNVSNSEPCSASPTTTQTSDSEKTSPLTELSDRYNGEADSSSLLHSKTESSNRENFDEMIVDGNIFPRIASEKVTLSKIDNEPIIKNINNVKPEVNGSVVRSESIEKLCEGKLYIKPKNDKGNIQFTSTRQGKKESERNENNKKDTTNKLKIQDSCKNVPREELKKQQSPKKGNAETGKVPLRDECNGTSEGSVLECKLPELVEVDQERTRFQESISENSNQVIMDEESSDQISVTDSSNELTSNSESDCGLKGEFDMARSLPTADRDNTSKPVSSHLASKSSSVITDSEEQILPKKNKCILTGIDDASALKSDDIFFDNGKTKSKSPEQGRSALDSVKEEIDNEISNQKPCKTEPSRISVDDLVRDKPYLKNTTHSPDSMRLVSSDSESCGQILDEPQDNNANPSQEQRMSDSQCGEKAKTIVPSESLNKSSNTSTKGIGKIGGELKIKELRQKEELTFQKIRRELLGDLKSSKTKKKYSTRLHFGESVNVVDVQSSEQCNVHSETKMDDDVEMPEEKCPKDSDSDLLEKVDQDKKETIKSIDMENQDAGKAADSGKVEDKESDPEIKIKKPSRSCKRKDSEDMNEDMNNKTGELSKRYNVNTNGPLKPVFRYVHTKSIWSWGGYGTVRYEEGKQKWVQHKVPFTYKVWTAQQMTEEEEQKKEKKKVKEKEEREISTMRHLRTHGLSERWIPLMVVPKVACELIALALAFERPKSCMQVAKIWNARHSAWNPHLFSSPINNELQTITLRLTSITLVKSGHVSGNWKFEGAPTLCFKHALHRRKDHDERHQHKNLAFSNTSYQNHYVRYKGEQGLKFYNNINGKMIVEKKTSLRSIEGQSNVVLVEKGEKFLKNAEHAAAAVQQSKLQSLKSDPNRLRTIIRTSGSAGKGAGPSESLEGNSKESKFDGVIEEQISVPTHSEREGNEPDDAAKASESQSSNLESSSNSNELNPQISTTTASTNSSECTKNPEEPVDQPLESAVKKEAMNSSDPTESLCGVPSRGESPSTEESTANTTNDGLNASVSNGKDGVETPEESKGQEPDDDPTKDDSDKELSQDEFEERNKEADKSDNETENKDKETEEPSKVNDIDGKKARISELIEENAAALFSKNSGRAKNTLDETIDMHRHIEERMEELIKCLEAEWYDKDLCDILEALQVKTSYYWIKKENENETDEEIILRRNLFWYKRRSRDYGIPEHRIYPKNKQIEAVCDTVGPVKHAVCVECQWEDEPVEELPPKVCELHYVASCTECCLLDGLKHCVRCHDDYQIVGESMLEERAINTTLFQDVLFQLVKIKNEVPGYPYDEAEQYPLVHHYEKDSECYVRLVEPWTMGDIFYLTSNVSDKLDAPGFTTNSTDEERLVAKLLVVHMEAMLTCQEQSVLAACFDEFRFPSLRLVTGFNRLVEYIAIVNMIHENPVMLDESSKPFELPPIDKEELIPSRPRHVRPKRRGPTSSYTSHSRFSSETCYSADDDDDEMEEPYDSDDDDEEMEDEEDDESDQTEEADGDEEEEEESVMDEEEEEEESEHDDYVVKNVARRAATTRALHGGGGGGGTRGGGGGRGGRGPASTSPPAPPPPPPKRRSSSGGRPSSLRTKPAVEAQAAAWRGRSSGRHRSRLGGIPGSTPPPPPPPPAPACREAAPAAPQKPPRGRRGRM
+>sp|P46736|BRCC3_HUMAN Lys-63-specific deubiquitinase BRCC36 OS=Homo sapiens OX=9606 GN=BRCC3 PE=1 SV=2
+MAVQVVQAVQAVHLESDAFLVCLNHALSTEKEEVMGLCIGELNDDTRSDSKFAYTGTEMRTVAEKVDAVRIVHIHSVIILRRSDKRKDRVEISPEQLSAASTEAERLAELTGRPMRVVGWYHSHPHITVWPSHVDVRTQAMYQMMDQGFVGLIFSCFIEDKNTKTGRVLYTCFQSIQAQKSSESLHGPRDFWSSSQHISIEGQKEEERYERIEIPIHIVPHVTIGKVCLESAVELPKILCQEEQDAYRRIHSLTHLDSVTKIHNGSVFTKNLCSQMSAVSGPLLQWLEDRLEQNQQHLQELQQEKEELMQELSSLE
+>DECOY_sp|P46736|BRCC3_HUMAN Lys-63-specific deubiquitinase BRCC36 OS=Homo sapiens OX=9606 GN=BRCC3 PE=1 SV=2
+ELSSLEQMLEEKEQQLEQLHQQNQELRDELWQLLPGSVASMQSCLNKTFVSGNHIKTVSDLHTLSHIRRYADQEEQCLIKPLEVASELCVKGITVHPVIHIPIEIREYREEEKQGEISIHQSSSWFDRPGHLSESSKQAQISQFCTYLVRGTKTNKDEIFCSFILGVFGQDMMQYMAQTRVDVHSPWVTIHPHSHYWGVVRMPRGTLEALREAETSAASLQEPSIEVRDKRKDSRRLIIVSHIHVIRVADVKEAVTRMETGTYAFKSDSRTDDNLEGICLGMVEEKETSLAHNLCVLFADSELHVAQVAQVVQVAM
+>sp|O95696|BRD1_HUMAN Bromodomain-containing protein 1 OS=Homo sapiens OX=9606 GN=BRD1 PE=1 SV=1
+MRRKGRCHRGSAARHPSSPCSVKHSPTRETLTYAQAQRMVEIEIEGRLHRISIFDPLEIILEDDLTAQEMSECNSNKENSERPPVCLRTKRHKNNRVKKKNEALPSAHGTPASASALPEPKVRIVEYSPPSAPRRPPVYYKFIEKSAEELDNEVEYDMDEEDYAWLEIVNEKRKGDCVPAVSQSMFEFLMDRFEKESHCENQKQGEQQSLIDEDAVCCICMDGECQNSNVILFCDMCNLAVHQECYGVPYIPEGQWLCRHCLQSRARPADCVLCPNKGGAFKKTDDDRWGHVVCALWIPEVGFANTVFIEPIDGVRNIPPARWKLTCYLCKQKGVGACIQCHKANCYTAFHVTCAQKAGLYMKMEPVKELTGGGTTFSVRKTAYCDVHTPPGCTRRPLNIYGDVEMKNGVCRKESSVKTVRSTSKVRKKAKKAKKALAEPCAVLPTVCAPYIPPQRLNRIANQVAIQRKKQFVERAHSYWLLKRLSRNGAPLLRRLQSSLQSQRSSQQRENDEEMKAAKEKLKYWQRLRHDLERARLLIELLRKREKLKREQVKVEQVAMELRLTPLTVLLRSVLDQLQDKDPARIFAQPVSLKEVPDYLDHIKHPMDFATMRKRLEAQGYKNLHEFEEDFDLIIDNCMKYNARDTVFYRAAVRLRDQGGVVLRQARREVDSIGLEEASGMHLPERPAAAPRRPFSWEDVDRLLDPANRAHLGLEEQLRELLDMLDLTCAMKSSGSRSKRAKLLKKEIALLRNKLSQQHSQPLPTGPGLEGFEEDGAALGPEAGEEVLPRLETLLQPRKRSRSTCGDSEVEEESPGKRLDAGLTNGFGGARSEQEPGGGLGRKATPRRRCASESSISSSNSPLCDSSFNAPKCGRGKPALVRRHTLEDRSELISCIENGNYAKAARIAAEVGQSSMWISTDAAASVLEPLKVVWAKCSGYPSYPALIIDPKMPRVPGHHNGVTIPAPPLDVLKIGEHMQTKSDEKLFLVLFFDNKRSWQWLPKSKMVPLGIDETIDKLKMMEGRNSSIRKAVRIAFDRAMNHLSRVHGEPTSDLSDID
+>DECOY_sp|O95696|BRD1_HUMAN Bromodomain-containing protein 1 OS=Homo sapiens OX=9606 GN=BRD1 PE=1 SV=1
+DIDSLDSTPEGHVRSLHNMARDFAIRVAKRISSNRGEMMKLKDITEDIGLPVMKSKPLWQWSRKNDFFLVLFLKEDSKTQMHEGIKLVDLPPAPITVGNHHGPVRPMKPDIILAPYSPYGSCKAWVVKLPELVSAAADTSIWMSSQGVEAAIRAAKAYNGNEICSILESRDELTHRRVLAPKGRGCKPANFSSDCLPSNSSSISSESACRRRPTAKRGLGGGPEQESRAGGFGNTLGADLRKGPSEEEVESDGCTSRSRKRPQLLTELRPLVEEGAEPGLAAGDEEFGELGPGTPLPQSHQQSLKNRLLAIEKKLLKARKSRSGSSKMACTLDLMDLLERLQEELGLHARNAPDLLRDVDEWSFPRRPAAAPREPLHMGSAEELGISDVERRAQRLVVGGQDRLRVAARYFVTDRANYKMCNDIILDFDEEFEHLNKYGQAELRKRMTAFDMPHKIHDLYDPVEKLSVPQAFIRAPDKDQLQDLVSRLLVTLPTLRLEMAVQEVKVQERKLKERKRLLEILLRARELDHRLRQWYKLKEKAAKMEEDNERQQSSRQSQLSSQLRRLLPAGNRSLRKLLWYSHAREVFQKKRQIAVQNAIRNLRQPPIYPACVTPLVACPEALAKKAKKAKKRVKSTSRVTKVSSEKRCVGNKMEVDGYINLPRRTCGPPTHVDCYATKRVSFTTGGGTLEKVPEMKMYLGAKQACTVHFATYCNAKHCQICAGVGKQKCLYCTLKWRAPPINRVGDIPEIFVTNAFGVEPIWLACVVHGWRDDDTKKFAGGKNPCLVCDAPRARSQLCHRCLWQGEPIYPVGYCEQHVALNCMDCFLIVNSNQCEGDMCICCVADEDILSQQEGQKQNECHSEKEFRDMLFEFMSQSVAPVCDGKRKENVIELWAYDEEDMDYEVENDLEEASKEIFKYYVPPRRPASPPSYEVIRVKPEPLASASAPTGHASPLAENKKKVRNNKHRKTRLCVPPRESNEKNSNCESMEQATLDDELIIELPDFISIRHLRGEIEIEVMRQAQAYTLTERTPSHKVSCPSSPHRAASGRHCRGKRRM
+>sp|Q9H0E9|BRD8_HUMAN Bromodomain-containing protein 8 OS=Homo sapiens OX=9606 GN=BRD8 PE=1 SV=2
+MATGTGKHKLLSTGPTEPWSIREKLCLASSVMRSGDQNWVSVSRAIKPFAEPGRPPDWFSQKHCASQYSELLETTETPKRKRGEKGEVVETVEDVIVRKLTAERVEELKKVIKETQERYRRLKRDAELIQAGHMDSRLDELCNDIATKKKLEEEEAEVKRKATDAAYQARQAVKTPPRRLPTVMVRSPIDSASPGGDYPLGDLTPTTMEEATSGVNESEMAVASGHLNSTGVLLEVGGVLPMIHGGEIQQTPNTVAASPAASGAPTLSRLLEAGPTQFTTPLASFTTVASEPPVKLVPPPVESVSQATIVMMPALPAPSSAPAVSTTESVAPVSQPDNCVPMEAVGDPHTVTVSMDSSEISMIINSIKEECFRSGVAEAPVGSKAPSIDGKEELDLAEKMDIAVSYTGEELDFETVGDIIAIIEDKVDDHPEVLDVAAVEAALSFCEENDDPQSLPGPWEHPIQQERDKPVPLPAPEMTVKQERLDFEETENKGIHELVDIREPSAEIKVEPAEPEPVISGAEIVAGVVPATSMEPPELRSQDLDEELGSTAAGEIVEADVAIGKGDETPLTNVKTEASPESMLSPSHGSNPIEDPLEAETQHKFEMSDSLKEESGTIFGSQIKDAPGEDEEEDGVSEAASLEEPKEEDQGEGYLSEMDNEPPVSESDDGFSIHNATLQSHTLADSIPSSPASSQFSVCSEDQEAIQAQKIWKKAIMLVWRAAANHRYANVFLQPVTDDIAPGYHSIVQRPMDLSTIKKNIENGLIRSTAEFQRDIMLMFQNAVMYNSSDHDVYHMAVEMQRDVLEQIQQFLATQLIMQTSESGISAKSLRGRDSTRKQDASEKDSVPMGSPAFLLSLFMGHEWVWLDSEQDHPNDSELSNDCRSLFSSWDSSLDLDVGNWRETEDPEAEELEESSPEREPSELLVGDGGSEESQEAARKASHQNLLHFLSEVAYLMEPLCISSNESSEGCCPPSGTRQEGREIKASEGERELCRETEELSAKGDPLVAEKPLGENGKPEVASAPSVICTVQGLLTESEEGEAQQESKGEDQGEVYVSEMEDQPPSGECDDAFNIKETPLVDTLFSHATSSKLTDLSQDDPVQDHLLFKKTLLPVWKMIASHRFSSPFLKPVSERQAPGYKDVVKRPMDLTSLKRNLSKGRIRTMAQFLRDLMLMFQNAVMYNDSDHHVYHMAVEMRQEVLEQIQVLNIWLDKRKGSSSLEGEPANPVDDGKPVF
+>DECOY_sp|Q9H0E9|BRD8_HUMAN Bromodomain-containing protein 8 OS=Homo sapiens OX=9606 GN=BRD8 PE=1 SV=2
+FVPKGDDVPNAPEGELSSSGKRKDLWINLVQIQELVEQRMEVAMHYVHHDSDNYMVANQFMLMLDRLFQAMTRIRGKSLNRKLSTLDMPRKVVDKYGPAQRESVPKLFPSSFRHSAIMKWVPLLTKKFLLHDQVPDDQSLDTLKSSTAHSFLTDVLPTEKINFADDCEGSPPQDEMESVYVEGQDEGKSEQQAEGEESETLLGQVTCIVSPASAVEPKGNEGLPKEAVLPDGKASLEETERCLEREGESAKIERGEQRTGSPPCCGESSENSSICLPEMLYAVESLFHLLNQHSAKRAAEQSEESGGDGVLLESPEREPSSEELEEAEPDETERWNGVDLDLSSDWSSFLSRCDNSLESDNPHDQESDLWVWEHGMFLSLLFAPSGMPVSDKESADQKRTSDRGRLSKASIGSESTQMILQTALFQQIQELVDRQMEVAMHYVDHDSSNYMVANQFMLMIDRQFEATSRILGNEINKKITSLDMPRQVISHYGPAIDDTVPQLFVNAYRHNAAARWVLMIAKKWIKQAQIAEQDESCVSFQSSAPSSPISDALTHSQLTANHISFGDDSESVPPENDMESLYGEGQDEEKPEELSAAESVGDEEEDEGPADKIQSGFITGSEEKLSDSMEFKHQTEAELPDEIPNSGHSPSLMSEPSAETKVNTLPTEDGKGIAVDAEVIEGAATSGLEEDLDQSRLEPPEMSTAPVVGAVIEAGSIVPEPEAPEVKIEASPERIDVLEHIGKNETEEFDLREQKVTMEPAPLPVPKDREQQIPHEWPGPLSQPDDNEECFSLAAEVAAVDLVEPHDDVKDEIIAIIDGVTEFDLEEGTYSVAIDMKEALDLEEKGDISPAKSGVPAEAVGSRFCEEKISNIIMSIESSDMSVTVTHPDGVAEMPVCNDPQSVPAVSETTSVAPASSPAPLAPMMVITAQSVSEVPPPVLKVPPESAVTTFSALPTTFQTPGAELLRSLTPAGSAAPSAAVTNPTQQIEGGHIMPLVGGVELLVGTSNLHGSAVAMESENVGSTAEEMTTPTLDGLPYDGGPSASDIPSRVMVTPLRRPPTKVAQRAQYAADTAKRKVEAEEEELKKKTAIDNCLEDLRSDMHGAQILEADRKLRRYREQTEKIVKKLEEVREATLKRVIVDEVTEVVEGKEGRKRKPTETTELLESYQSACHKQSFWDPPRGPEAFPKIARSVSVWNQDGSRMVSSALCLKERISWPETPGTSLLKHKGTGTAM
+>sp|Q8WUW1|BRK1_HUMAN Protein BRICK1 OS=Homo sapiens OX=9606 GN=BRK1 PE=1 SV=1
+MAGQEDPVQREIHQDWANREYIEIITSSIKKIADFLNSFDMSCRSRLATLNEKLTALERRIEYIEARVTKGETLT
+>DECOY_sp|Q8WUW1|BRK1_HUMAN Protein BRICK1 OS=Homo sapiens OX=9606 GN=BRK1 PE=1 SV=1
+TLTEGKTVRAEIYEIRRELATLKENLTALRSRCSMDFSNLFDAIKKISSTIIEIYERNAWDQHIERQVPDEQGAM
+>sp|P32247|BRS3_HUMAN Bombesin receptor subtype-3 OS=Homo sapiens OX=9606 GN=BRS3 PE=1 SV=1
+MAQRQPHSPNQTLISITNDTESSSSVVSNDNTNKGWSGDNSPGIEALCAIYITYAVIISVGILGNAILIKVFFKTKSMQTVPNIFITSLAFGDLLLLLTCVPVDATHYLAEGWLFGRIGCKVLSFIRLTSVGVSVFTLTILSADRYKAVVKPLERQPSNAILKTCVKAGCVWIVSMIFALPEAIFSNVYTFRDPNKNMTFESCTSYPVSKKLLQEIHSLLCFLVFYIIPLSIISVYYSLIARTLYKSTLNIPTEEQSHARKQIESRKRIARTVLVLVALFALCWLPNHLLYLYHSFTSQTYVDPSAMHFIFTIFSRVLAFSNSCVNPFALYWLSKSFQKHFKAQLFCCKAERPEPPVADTSLTTLAVMGTVPGTGSIQMSEISVTSFTGCSVKQAEDRF
+>DECOY_sp|P32247|BRS3_HUMAN Bombesin receptor subtype-3 OS=Homo sapiens OX=9606 GN=BRS3 PE=1 SV=1
+FRDEAQKVSCGTFSTVSIESMQISGTGPVTGMVALTTLSTDAVPPEPREAKCCFLQAKFHKQFSKSLWYLAFPNVCSNSFALVRSFITFIFHMASPDVYTQSTFSHYLYLLHNPLWCLAFLAVLVLVTRAIRKRSEIQKRAHSQEETPINLTSKYLTRAILSYYVSIISLPIIYFVLFCLLSHIEQLLKKSVPYSTCSEFTMNKNPDRFTYVNSFIAEPLAFIMSVIWVCGAKVCTKLIANSPQRELPKVVAKYRDASLITLTFVSVGVSTLRIFSLVKCGIRGFLWGEALYHTADVPVCTLLLLLDGFALSTIFINPVTQMSKTKFFVKILIANGLIGVSIIVAYTIYIACLAEIGPSNDGSWGKNTNDNSVVSSSSETDNTISILTQNPSHPQRQAM
+>sp|Q6RI45|BRWD3_HUMAN Bromodomain and WD repeat-containing protein 3 OS=Homo sapiens OX=9606 GN=BRWD3 PE=1 SV=2
+MAAAPTQIEAELYYLIARFLQSGPCNKSAQVLVQELEEHQLIPRRLDWEGKEHRRSFEDLVAANAHIPPDYLLKICERIGPLLDKEIPQSVPGVQTLLGVGRQSLLRDAKDCKSTLWNGSAFAALHRGRPPELPVNYVKPPNVVNITSARQLTGCSRFGHIFPSSAYQHIKMHKRILGHLSSVYCVAFDRSGRRIFTGSDDCLVKIWATDDGRLLATLRGHSAEISDMAVNYENTLIAAGSCDKVVRVWCLRTCAPVAVLQGHSASITSIQFCPSTKGTNRYLTSTGADGTICFWQWHVKTMKFRDRPVKFTERSRPGVQISCSSFSSGGMFITTGSTDHVIRIYYLGSEVPEKIAELESHTDKVVAVQFCNNGDSLRFVSGSRDGTARIWQYQQQEWKSIVLDMATKMTGNNLPSGEDKITKLKVTMVAWDRYDTTVITAVNNFLLKVWNSITGQLLHTLSGHDDEVFVLEAHPFDQRIILSAGHDGNIFIWDLDRGTKIRNYFNMIEGQGHGAVFDCKFSPDGNHFACTDSHGHLLLFGFGCSKYYEKIPDQMFFHTDYRPLIRDANNYVLDEQTQQAPHLMPPPFLVDVDGNPHPTKFQRLVPGRENCKDEQLIPQLGYVANGDGEVVEQVIGQQTNDQDESILDGIIRELQREQDLRLINEGDVPHLPVNRAYSVNGALRSPNMDISSSPNIRLRRHSSQIEGVRQMHNNAPRSQMATERDLMAWSRRVVVNELNNGVSRVQEECRTAKGDIEISLYTVEKKKKPSYTTQRNDYEPSCGRSLRRTQRKRQHTYQTRSNIEHNSQASCQNSGVQEDSDSSSEEDETVGTSDASVEDPVVEWQSESSSSDSSSEYSDWTADAGINLQPPKRQTRQTTRKICSSSDEENLKSLEERQKKPKQTRKKKGGLVSIAGEPNEEWFAPQWILDTIPRRSPFVPQMGDELIYFRQGHEAYVRAVRKSKIYSVNLQKQPWNKMDLREQEFVKIVGIKYEVGPPTLCCLKLAFLDPISGKMTGESFSIKYHDMPDVIDFLVLHQFYNEAKERNWQIGDRFRSIIDDAWWFGTVESQQPFQPEYPDSSFQCYSVHWDNNEREKMSPWDMEPIPEGTAFPDEVGAGVPVSQEELTALLYKPQEGEWGAHSRDEECERVIQGINHLLSLDFASPFAVPVDLSAYPLYCTVVAYPTDLNTIRRRLENRFYRRISALMWEVRYIEHNARTFNEPDSPIVKAAKIVTDVLLRFIGDQSCTDILDTYNKIKAEERNSTDAEEDTEIVDLDSDGPGTSSGRKVKCRGRRQSLKCNPDAWKKQCKELLSLIYEREDSEPFRQPADLLSYPGHQEQEGESSESVVPERQQDSSLSEDYQDVIDTPVDFSTVKETLEAGNYGSPLEFYKDVRQIFNNSKAYTSNKKSRIYSMMLRLSALFESHIKNIISEYKSAIQSQKRRRPRYRKRLRSSSSSLSSSGAPSPKGKQKQMKLQPKNDQNTSVSHARTSSPFSSPVSDAAEGLSLYLLDDEPDGPFSSSSFGGYSRSGNSHDPGKAKSFRNRVLPVKQDHSLDGPLTNGDGREPRTGIKRKLLSASEEDENMGGEDKEKKETKEKSHLSTSESGELGSSLSSESTCGSDSDSESTSRTDQDYVDGDHDYSKFIQTRPKRKLRKQHGNGKRNWKTRGTGGRGRWGRWGRWSRGGRGRGGRGRGSRGRGGGGTRGRGRGRGGRGASRGATRAKRARIADDEFDTMFSGRFSRLPRIKTRNQGRRTVLYNDDSDNDNFVSTEDPLNLGTSRSGRVRKMTEKARVSHLMGWNY
+>DECOY_sp|Q6RI45|BRWD3_HUMAN Bromodomain and WD repeat-containing protein 3 OS=Homo sapiens OX=9606 GN=BRWD3 PE=1 SV=2
+YNWGMLHSVRAKETMKRVRGSRSTGLNLPDETSVFNDNDSDDNYLVTRRGQNRTKIRPLRSFRGSFMTDFEDDAIRARKARTAGRSAGRGGRGRGRGRTGGGGRGRSGRGRGGRGRGGRSWRGWRGWRGRGGTGRTKWNRKGNGHQKRLKRKPRTQIFKSYDHDGDVYDQDTRSTSESDSDSGCTSESSLSSGLEGSESTSLHSKEKTEKKEKDEGGMNEDEESASLLKRKIGTRPERGDGNTLPGDLSHDQKVPLVRNRFSKAKGPDHSNGSRSYGGFSSSSFPGDPEDDLLYLSLGEAADSVPSSFPSSTRAHSVSTNQDNKPQLKMQKQKGKPSPAGSSSLSSSSSRLRKRYRPRRRKQSQIASKYESIINKIHSEFLASLRLMMSYIRSKKNSTYAKSNNFIQRVDKYFELPSGYNGAELTEKVTSFDVPTDIVDQYDESLSSDQQREPVVSESSEGEQEQHGPYSLLDAPQRFPESDEREYILSLLEKCQKKWADPNCKLSQRRGRCKVKRGSSTGPGDSDLDVIETDEEADTSNREEAKIKNYTDLIDTCSQDGIFRLLVDTVIKAAKVIPSDPENFTRANHEIYRVEWMLASIRRYFRNELRRRITNLDTPYAVVTCYLPYASLDVPVAFPSAFDLSLLHNIGQIVRECEEDRSHAGWEGEQPKYLLATLEEQSVPVGAGVEDPFATGEPIPEMDWPSMKERENNDWHVSYCQFSSDPYEPQFPQQSEVTGFWWADDIISRFRDGIQWNREKAENYFQHLVLFDIVDPMDHYKISFSEGTMKGSIPDLFALKLCCLTPPGVEYKIGVIKVFEQERLDMKNWPQKQLNVSYIKSKRVARVYAEHGQRFYILEDGMQPVFPSRRPITDLIWQPAFWEENPEGAISVLGGKKKRTQKPKKQREELSKLNEEDSSSCIKRTTQRTQRKPPQLNIGADATWDSYESSSDSSSSESQWEVVPDEVSADSTGVTEDEESSSDSDEQVGSNQCSAQSNHEINSRTQYTHQRKRQTRRLSRGCSPEYDNRQTTYSPKKKKEVTYLSIEIDGKATRCEEQVRSVGNNLENVVVRRSWAMLDRETAMQSRPANNHMQRVGEIQSSHRRLRINPSSSIDMNPSRLAGNVSYARNVPLHPVDGENILRLDQERQLERIIGDLISEDQDNTQQGIVQEVVEGDGNAVYGLQPILQEDKCNERGPVLRQFKTPHPNGDVDVLFPPPMLHPAQQTQEDLVYNNADRILPRYDTHFFMQDPIKEYYKSCGFGFLLLHGHSDTCAFHNGDPSFKCDFVAGHGQGEIMNFYNRIKTGRDLDWIFINGDHGASLIIRQDFPHAELVFVEDDHGSLTHLLQGTISNWVKLLFNNVATIVTTDYRDWAVMTVKLKTIKDEGSPLNNGTMKTAMDLVISKWEQQQYQWIRATGDRSGSVFRLSDGNNCFQVAVVKDTHSELEAIKEPVESGLYYIRIVHDTSGTTIFMGGSSFSSCSIQVGPRSRETFKVPRDRFKMTKVHWQWFCITGDAGTSTLYRNTGKTSPCFQISTISASHGQLVAVPACTRLCWVRVVKDCSGAAILTNEYNVAMDSIEASHGRLTALLRGDDTAWIKVLCDDSGTFIRRGSRDFAVCYVSSLHGLIRKHMKIHQYASSPFIHGFRSCGTLQRASTINVVNPPKVYNVPLEPPRGRHLAAFASGNWLTSKCDKADRLLSQRGVGLLTQVGPVSQPIEKDLLPGIRECIKLLYDPPIHANAAVLDEFSRRHEKGEWDLRRPILQHEELEQVLVQASKNCPGSQLFRAILYYLEAEIQTPAAAM
+>sp|Q10588|BST1_HUMAN ADP-ribosyl cyclase/cyclic ADP-ribose hydrolase 2 OS=Homo sapiens OX=9606 GN=BST1 PE=1 SV=2
+MAAQGCAASRLLQLLLQLLLLLLLLAAGGARARWRGEGTSAHLRDIFLGRCAEYRALLSPEQRNKNCTAIWEAFKVALDKDPCSVLPSDYDLFINLSRHSIPRDKSLFWENSHLLVNSFADNTRRFMPLSDVLYGRVADFLSWCRQKNDSGLDYQSCPTSEDCENNPVDSFWKRASIQYSKDSSGVIHVMLNGSEPTGAYPIKGFFADYEIPNLQKEKITRIEIWVMHEIGGPNVESCGEGSMKVLEKRLKDMGFQYSCINDYRPVKLLQCVDHSTHPDCALKSAAAATQRKAPSLYTEQRAGLIIPLFLVLASRTQL
+>DECOY_sp|Q10588|BST1_HUMAN ADP-ribosyl cyclase/cyclic ADP-ribose hydrolase 2 OS=Homo sapiens OX=9606 GN=BST1 PE=1 SV=2
+LQTRSALVLFLPIILGARQETYLSPAKRQTAAAASKLACDPHTSHDVCQLLKVPRYDNICSYQFGMDKLRKELVKMSGEGCSEVNPGGIEHMVWIEIRTIKEKQLNPIEYDAFFGKIPYAGTPESGNLMVHIVGSSDKSYQISARKWFSDVPNNECDESTPCSQYDLGSDNKQRCWSLFDAVRGYLVDSLPMFRRTNDAFSNVLLHSNEWFLSKDRPISHRSLNIFLDYDSPLVSCPDKDLAVKFAEWIATCNKNRQEPSLLARYEACRGLFIDRLHASTGEGRWRARAGGAALLLLLLLLQLLLQLLRSAACGQAAM
+>sp|Q13410|BT1A1_HUMAN Butyrophilin subfamily 1 member A1 OS=Homo sapiens OX=9606 GN=BTN1A1 PE=1 SV=3
+MAVFPSSGLPRCLLTLILLQLPKLDSAPFDVIGPPEPILAVVGEDAELPCRLSPNASAEHLELRWFRKKVSPAVLVHRDGREQEAEQMPEYRGRATLVQDGIAKGRVALRIRGVRVSDDGEYTCFFREDGSYEEALVHLKVAALGSDPHISMQVQENGEICLECTSVGWYPEPQVQWRTSKGEKFPSTSESRNPDEEGLFTVAASVIIRDTSAKNVSCYIQNLLLGQEKKVEISIPASSLPRLTPWIVAVAVILMVLGLLTIGSIFFTWRLYNERPRERRNEFSSKERLLEELKWKKATLHAVDVTLDPDTAHPHLFLYEDSKSVRLEDSRQKLPEKTERFDSWPCVLGRETFTSGRHYWEVEVGDRTDWAIGVCRENVMKKGFDPMTPENGFWAVELYGNGYWALTPLRTPLPLAGPPRRVGIFLDYESGDISFYNMNDGSDIYTFSNVTFSGPLRPFFCLWSSGKKPLTICPIADGPERVTVIANAQDLSKEIPLSPMGEDSAPRDADTLHSKLIPTQPSQGAP
+>DECOY_sp|Q13410|BT1A1_HUMAN Butyrophilin subfamily 1 member A1 OS=Homo sapiens OX=9606 GN=BTN1A1 PE=1 SV=3
+PAGQSPQTPILKSHLTDADRPASDEGMPSLPIEKSLDQANAIVTVREPGDAIPCITLPKKGSSWLCFFPRLPGSFTVNSFTYIDSGDNMNYFSIDGSEYDLFIGVRRPPGALPLPTRLPTLAWYGNGYLEVAWFGNEPTMPDFGKKMVNERCVGIAWDTRDGVEVEWYHRGSTFTERGLVCPWSDFRETKEPLKQRSDELRVSKSDEYLFLHPHATDPDLTVDVAHLTAKKWKLEELLREKSSFENRRERPRENYLRWTFFISGITLLGLVMLIVAVAVIWPTLRPLSSAPISIEVKKEQGLLLNQIYCSVNKASTDRIIVSAAVTFLGEEDPNRSESTSPFKEGKSTRWQVQPEPYWGVSTCELCIEGNEQVQMSIHPDSGLAAVKLHVLAEEYSGDERFFCTYEGDDSVRVGRIRLAVRGKAIGDQVLTARGRYEPMQEAEQERGDRHVLVAPSVKKRFWRLELHEASANPSLRCPLEADEGVVALIPEPPGIVDFPASDLKPLQLLILTLLCRPLGSSPFVAM
+>sp|Q8WVV5|BT2A2_HUMAN Butyrophilin subfamily 2 member A2 OS=Homo sapiens OX=9606 GN=BTN2A2 PE=1 SV=2
+MEPAAALHFSLPASLLLLLLLLLLSLCALVSAQFTVVGPANPILAMVGENTTLRCHLSPEKNAEDMEVRWFRSQFSPAVFVYKGGRERTEEQMEEYRGRITFVSKDINRGSVALVIHNVTAQENGIYRCYFQEGRSYDEAILRLVVAGLGSKPLIEIKAQEDGSIWLECISGGWYPEPLTVWRDPYGEVVPALKEVSIADADGLFMVTTAVIIRDKYVRNVSCSVNNTLLGQEKETVIFIPESFMPSASPWMVALAVILTASPWMVSMTVILAVFIIFMAVSICCIKKLQREKKILSGEKKVEQEEKEIAQQLQEELRWRRTFLHAADVVLDPDTAHPELFLSEDRRSVRRGPYRQRVPDNPERFDSQPCVLGWESFASGKHYWEVEVENVMVWTVGVCRHSVERKGEVLLIPQNGFWTLEMFGNQYRALSSPERILPLKESLCRVGVFLDYEAGDVSFYNMRDRSHIYTCPRSAFTVPVRPFFRLGSDDSPIFICPALTGASGVMVPEEGLKLHRVGTHQSL
+>DECOY_sp|Q8WVV5|BT2A2_HUMAN Butyrophilin subfamily 2 member A2 OS=Homo sapiens OX=9606 GN=BTN2A2 PE=1 SV=2
+LSQHTGVRHLKLGEEPVMVGSAGTLAPCIFIPSDDSGLRFFPRVPVTFASRPCTYIHSRDRMNYFSVDGAEYDLFVGVRCLSEKLPLIREPSSLARYQNGFMELTWFGNQPILLVEGKREVSHRCVGVTWVMVNEVEVEWYHKGSAFSEWGLVCPQSDFREPNDPVRQRYPGRRVSRRDESLFLEPHATDPDLVVDAAHLFTRRWRLEEQLQQAIEKEEQEVKKEGSLIKKERQLKKICCISVAMFIIFVALIVTMSVMWPSATLIVALAVMWPSASPMFSEPIFIVTEKEQGLLTNNVSCSVNRVYKDRIIVATTVMFLGDADAISVEKLAPVVEGYPDRWVTLPEPYWGGSICELWISGDEQAKIEILPKSGLGAVVLRLIAEDYSRGEQFYCRYIGNEQATVNHIVLAVSGRNIDKSVFTIRGRYEEMQEETRERGGKYVFVAPSFQSRFWRVEMDEANKEPSLHCRLTTNEGVMALIPNAPGVVTFQASVLACLSLLLLLLLLLLSAPLSFHLAAAPEM
+>sp|O00481|BT3A1_HUMAN Butyrophilin subfamily 3 member A1 OS=Homo sapiens OX=9606 GN=BTN3A1 PE=1 SV=3
+MKMASFLAFLLLNFRVCLLLLQLLMPHSAQFSVLGPSGPILAMVGEDADLPCHLFPTMSAETMELKWVSSSLRQVVNVYADGKEVEDRQSAPYRGRTSILRDGITAGKAALRIHNVTASDSGKYLCYFQDGDFYEKALVELKVAALGSDLHVDVKGYKDGGIHLECRSTGWYPQPQIQWSNNKGENIPTVEAPVVADGVGLYAVAASVIMRGSSGEGVSCTIRSSLLGLEKTASISIADPFFRSAQRWIAALAGTLPVLLLLLGGAGYFLWQQQEEKKTQFRKKKREQELREMAWSTMKQEQSTRVKLLEELRWRSIQYASRGERHSAYNEWKKALFKPADVILDPKTANPILLVSEDQRSVQRAKEPQDLPDNPERFNWHYCVLGCESFISGRHYWEVEVGDRKEWHIGVCSKNVQRKGWVKMTPENGFWTMGLTDGNKYRTLTEPRTNLKLPKPPKKVGVFLDYETGDISFYNAVDGSHIHTFLDVSFSEALYPVFRILTLEPTALTICPA
+>DECOY_sp|O00481|BT3A1_HUMAN Butyrophilin subfamily 3 member A1 OS=Homo sapiens OX=9606 GN=BTN3A1 PE=1 SV=3
+APCITLATPELTLIRFVPYLAESFSVDLFTHIHSGDVANYFSIDGTEYDLFVGVKKPPKPLKLNTRPETLTRYKNGDTLGMTWFGNEPTMKVWGKRQVNKSCVGIHWEKRDGVEVEWYHRGSIFSECGLVCYHWNFREPNDPLDQPEKARQVSRQDESVLLIPNATKPDLIVDAPKFLAKKWENYASHREGRSAYQISRWRLEELLKVRTSQEQKMTSWAMERLEQERKKKRFQTKKEEQQQWLFYGAGGLLLLLVPLTGALAAIWRQASRFFPDAISISATKELGLLSSRITCSVGEGSSGRMIVSAAVAYLGVGDAVVPAEVTPINEGKNNSWQIQPQPYWGTSRCELHIGGDKYGKVDVHLDSGLAAVKLEVLAKEYFDGDQFYCLYKGSDSATVNHIRLAAKGATIGDRLISTRGRYPASQRDEVEKGDAYVNVVQRLSSSVWKLEMTEASMTPFLHCPLDADEGVMALIPGSPGLVSFQASHPMLLQLLLLCVRFNLLLFALFSAMKM
+>sp|O14981|BTAF1_HUMAN TATA-binding protein-associated factor 172 OS=Homo sapiens OX=9606 GN=BTAF1 PE=1 SV=2
+MAVSRLDRLFILLDTGTTPVTRKAAAQQLGEVVKLHPHELNNLLSKVLIYLRSANWDTRIAAGQAVEAIVKNVPEWNPVPRTRQEPTSESSMEDSPTTERLNFDRFDICRLLQHGASLLGSAGAEFEVQDEKSGEVDPKERIARQRKLLQKKLGLNMGEAIGMSTEELFNDEDLDYTPTSASFVNKQPTLQAAELIDSEFRAGMSNRQKNKAKRMAKLFAKQRSRDAVETNEKSNDSTDGEPEEKRRKIANVVINQSANDSKVLIDNIPDSSSLIEETNEWPLESFCEELCNDLFNPSWEVRHGAGTGLREILKAHGKSGGKMGDSTLEEMIQQHQEWLEDLVIRLLCVFALDRFGDFVSDEVVAPVRETCAQTLGVVLKHMNETGVHKTVDVLLKLLTQEQWEVRHGGLLGIKYALAVRQDVINTLLPKVLTRIIEGLQDLDDDVRAVAAASLVPVVESLVYLQTQKVPFIINTLWDALLELDDLTASTNSIMTLLSSLLTYPQVQQCSIQQSLTVLVPRVWPFLHHTISSVRRAALETLFTLLSTQDQNSSSWLIPILPDMLRHIFQFCVLESSQEILDLIHKVWMELLSKASVQYVVAAACPWMGAWLCLMMQPSHLPIDLNMLLEVKARAKEKTGGKVRQGQSQNKEVLQEYIAGADTIMEDPATRDFVVMRARMMAAKLLGALCCCICDPGVNVVTQEIKPAESLGQLLLFHLNSKSALQRISVALVICEWAALQKECKAVTLAVQPRLLDILSEHLYYDEIAVPFTRMQNECKQLISSLADVHIEVGNRVNNNVLTIDQASDLVTTVFNEATSSFDLNPQVLQQLDSKRQQVQMTVTETNQEWQVLQLRVHTFAACAVVSLQQLPEKLNPIIKPLMETIKKEENTLVQNYAAQCIAKLLQQCTTRTPCPNSKIIKNLCSSLCVDPYLTPCVTCPVPTQSGQENSKGSTSEKDGMHHTVTKHRGIITLYRHQKAAFAITSRRGPTPKAVKAQIADLPAGSSGNILVELDEAQKPYLVQRRGAEFALTTIVKHFGGEMAVKLPHLWDAMVGPLRNTIDINNFDGKSLLDKGDSPAQELVNSLQVFETAAASMDSELHPLLVQHLPHLYMCLQYPSTAVRHMAARCVGVMSKIATMETMNIFLEKVLPWLGAIDDSVKQEGAIEALACVMEQLDVGIVPYIVLLVVPVLGRMSDQTDSVRFMATQCFATLIRLMPLEAGIPDPPNMSAELIQLKAKERHFLEQLLDGKKLENYKIPVPINAELRKYQQDGVNWLAFLNKYKLHGILCDDMGLGKTLQSICILAGDHCHRAQEYARSKLAECMPLPSLVVCPPTLTGHWVDEVGKFCSREYLNPLHYTGPPTERIRLQHQVKRHNLIVASYDVVRNDIDFFRNIKFNYCILDEGHVIKNGKTKLSKAVKQLTANYRIILSGTPIQNNVLELWSLFDFLMPGFLGTERQFAARYGKPILASRDARSSSREQEAGVLAMDALHRQVLPFLLRRMKEDVLQDLPPKIIQDYYCTLSPLQVQLYEDFAKSRAKCDVDETVSSATLSEETEKPKLKATGHVFQALQYLRKLCNHPALVLTPQHPEFKTTAEKLAVQNSSLHDIQHAPKLSALKQLLLDCGLGNGSTSESGTESVVAQHRILIFCQLKSMLDIVEHDLLKPHLPSVTYLRLDGSIPPGQRHSIVSRFNNDPSIDVLLLTTHVGGLGLNLTGADTVVFVEHDWNPMRDLQAMDRAHRIGQKRVVNVYRLITRGTLEEKIMGLQKFKMNIANTVISQENSSLQSMGTDQLLDLFTLDKDGKAEKADTSTSGKASMKSILENLSDLWDQEQYDSEYSLENFMHSLK
+>DECOY_sp|O14981|BTAF1_HUMAN TATA-binding protein-associated factor 172 OS=Homo sapiens OX=9606 GN=BTAF1 PE=1 SV=2
+KLSHMFNELSYESDYQEQDWLDSLNELISKMSAKGSTSTDAKEAKGDKDLTFLDLLQDTGMSQLSSNEQSIVTNAINMKFKQLGMIKEELTGRTILRYVNVVRKQGIRHARDMAQLDRMPNWDHEVFVVTDAGTLNLGLGGVHTTLLLVDISPDNNFRSVISHRQGPPISGDLRLYTVSPLHPKLLDHEVIDLMSKLQCFILIRHQAVVSETGSESTSGNGLGCDLLLQKLASLKPAHQIDHLSSNQVALKEATTKFEPHQPTLVLAPHNCLKRLYQLAQFVHGTAKLKPKETEESLTASSVTEDVDCKARSKAFDEYLQVQLPSLTCYYDQIIKPPLDQLVDEKMRRLLFPLVQRHLADMALVGAEQERSSSRADRSALIPKGYRAAFQRETGLFGPMLFDFLSWLELVNNQIPTGSLIIRYNATLQKVAKSLKTKGNKIVHGEDLICYNFKINRFFDIDNRVVDYSAVILNHRKVQHQLRIRETPPGTYHLPNLYERSCFKGVEDVWHGTLTPPCVVLSPLPMCEALKSRAYEQARHCHDGALICISQLTKGLGMDDCLIGHLKYKNLFALWNVGDQQYKRLEANIPVPIKYNELKKGDLLQELFHREKAKLQILEASMNPPDPIGAELPMLRILTAFCQTAMFRVSDTQDSMRGLVPVVLLVIYPVIGVDLQEMVCALAEIAGEQKVSDDIAGLWPLVKELFINMTEMTAIKSMVGVCRAAMHRVATSPYQLCMYLHPLHQVLLPHLESDMSAAATEFVQLSNVLEQAPSDGKDLLSKGDFNNIDITNRLPGVMADWLHPLKVAMEGGFHKVITTLAFEAGRRQVLYPKQAEDLEVLINGSSGAPLDAIQAKVAKPTPGRRSTIAFAAKQHRYLTIIGRHKTVTHHMGDKESTSGKSNEQGSQTPVPCTVCPTLYPDVCLSSCLNKIIKSNPCPTRTTCQQLLKAICQAAYNQVLTNEEKKITEMLPKIIPNLKEPLQQLSVVACAAFTHVRLQLVQWEQNTETVTMQVQQRKSDLQQLVQPNLDFSSTAENFVTTVLDSAQDITLVNNNVRNGVEIHVDALSSILQKCENQMRTFPVAIEDYYLHESLIDLLRPQVALTVAKCEKQLAAWECIVLAVSIRQLASKSNLHFLLLQGLSEAPKIEQTVVNVGPDCICCCLAGLLKAAMMRARMVVFDRTAPDEMITDAGAIYEQLVEKNQSQGQRVKGGTKEKARAKVELLMNLDIPLHSPQMMLCLWAGMWPCAAAVVYQVSAKSLLEMWVKHILDLIEQSSELVCFQFIHRLMDPLIPILWSSSNQDQTSLLTFLTELAARRVSSITHHLFPWVRPVLVTLSQQISCQQVQPYTLLSSLLTMISNTSATLDDLELLADWLTNIIFPVKQTQLYVLSEVVPVLSAAAVARVDDDLDQLGEIIRTLVKPLLTNIVDQRVALAYKIGLLGGHRVEWQEQTLLKLLVDVTKHVGTENMHKLVVGLTQACTERVPAVVEDSVFDGFRDLAFVCLLRIVLDELWEQHQQIMEELTSDGMKGGSKGHAKLIERLGTGAGHRVEWSPNFLDNCLEECFSELPWENTEEILSSSDPINDILVKSDNASQNIVVNAIKRRKEEPEGDTSDNSKENTEVADRSRQKAFLKAMRKAKNKQRNSMGARFESDILEAAQLTPQKNVFSASTPTYDLDEDNFLEETSMGIAEGMNLGLKKQLLKRQRAIREKPDVEGSKEDQVEFEAGASGLLSAGHQLLRCIDFRDFNLRETTPSDEMSSESTPEQRTRPVPNWEPVNKVIAEVAQGAAIRTDWNASRLYILVKSLLNNLEHPHLKVVEGLQQAAAKRTVPTTGTDLLIFLRDLRSVAM
+>sp|Q96KE9|BTBD6_HUMAN BTB/POZ domain-containing protein 6 OS=Homo sapiens OX=9606 GN=BTBD6 PE=1 SV=3
+MAAELYAPASAAAADLANSNAGAAVGRKAGPRSPPSAPAPAPPPPAPAPPTLGNNHQESPGWRCCRPTLRERNALMFNNELMADVHFVVGPPGATRTVPAHKYVLAVGSSVFYAMFYGDLAEVKSEIHIPDVEPAAFLILLKYMYSDEIDLEADTVLATLYAAKKYIVPALAKACVNFLETSLEAKNACVLLSQSRLFEEPELTQRCWEVIDAQAEMALRSEGFCEIDRQTLEIIVTREALNTKEAVVFEAVLNWAEAECKRQGLPITPRNKRHVLGRALYLVRIPTMTLEEFANGAAQSDILTLEETHSIFLWYTATNKPRLDFPLTKRKGLAPQRCHRFQSSAYRSNQWRYRGRCDSIQFAVDRRVFIAGLGLYGSSSGKAEYSVKIELKRLGVVLAQNLTKFMSDGSSNTFPVWFEHPVQVEQDTFYTASAVLDGSELSYFGQEGMTEVQCGKVAFQFQCSSDSTNGTGVQGGQIPELIFYA
+>DECOY_sp|Q96KE9|BTBD6_HUMAN BTB/POZ domain-containing protein 6 OS=Homo sapiens OX=9606 GN=BTBD6 PE=1 SV=3
+AYFILEPIQGGQVGTGNTSDSSCQFQFAVKGCQVETMGEQGFYSLESGDLVASATYFTDQEVQVPHEFWVPFTNSSGDSMFKTLNQALVVGLRKLEIKVSYEAKGSSSGYLGLGAIFVRRDVAFQISDCRGRYRWQNSRYASSQFRHCRQPALGKRKTLPFDLRPKNTATYWLFISHTEELTLIDSQAAGNAFEELTMTPIRVLYLARGLVHRKNRPTIPLGQRKCEAEAWNLVAEFVVAEKTNLAERTVIIELTQRDIECFGESRLAMEAQADIVEWCRQTLEPEEFLRSQSLLVCANKAELSTELFNVCAKALAPVIYKKAAYLTALVTDAELDIEDSYMYKLLILFAAPEVDPIHIESKVEALDGYFMAYFVSSGVALVYKHAPVTRTAGPPGVVFHVDAMLENNFMLANRERLTPRCCRWGPSEQHNNGLTPPAPAPPPPAPAPASPPSRPGAKRGVAAGANSNALDAAAASAPAYLEAAM
+>sp|A6QL63|BTBDB_HUMAN Ankyrin repeat and BTB/POZ domain-containing protein BTBD11 OS=Homo sapiens OX=9606 GN=BTBD11 PE=2 SV=3
+MARRGKKPVVRTLEDLTLDSGYGGAADSVRSSNLSLCCSDSHPASPYGGSCWPPLADSMHSRHNSFDTVNTALVEDSEGLDCAGQHCSRLLPDLDEVPWTLQELEALLLRSRDPRAGPAVPGGLPKDALAKLSTLVSRALVRIAKEAQRLSLRFAKCTKYEIQSAMEIVLSWGLAAHCTAAALAALSLYNMSSAGGDRLGRGKSARCGLTFSVGRVYRWMVDSRVALRIHEHAAIYLTACMESLFRDIYSRVVASGVPRSCSGPGSGSGSGPGPSSGPGAAPAADKEREAPGGGAASGGACSAASSASGGSSCCAPPAAAAAAVPPAAAANHHHHHHHALHEAPKFTVETLEHTVNNDSEIWGLLQPYQHLICGKNASGVLCLPDSLNLHRDPQRSNKPGELPMFSQSELRTIEQSLLATRVGSIAELSDLVSRAMHHLQPLNAKHHGNGTPLHHKQGALYWEPEALYTLCYFMHCPQMEWENPNVEPSKVNLQVERPFLVLPPLMEWIRVAVAHAGHRRSFSMDSDDVRQAARLLLPGVDCEPRQLRADDCFCASRKLDAVAIEAKFKQDLGFRMLNCGRTDLVKQAVSLLGPDGINTMSEQGMTPLMYACVRGDEAMVQMLLDAGADLNVEVVSTPHKYPSVHPETRHWTALTFAVLHGHIPVVQLLLDAGAKVEGSVEHGEENYSETPLQLAAAVGNFELVSLLLERGADPLIGTMYRNGISTTPQGDMNSFSQAAAHGHRNVFRKLLAQPEKEKSDILSLEEILAEGTDLAETAPPPLCASRNSKAKLRALREAMYHSAEHGYVDVTIDIRSIGVPWTLHTWLESLRIAFQQHRRPLIQCLLKEFKTIQEEEYTEELVTQGLPLMFEILKASKNEVISQQLCVIFTHCYGPYPIPKLTEIKRKQTSRLDPHFLNNKEMSDVTFLVEGRPFYAHKVLLFTASPRFKALLSSKPTNDGTCIEIGYVKYSIFQLVMQYLYYGGPESLLIKNNEIMELLSAAKFFQLEALQRHCEIICAKSINTDNCVDIYNHAKFLGVTELSAYCEGYFLKNMMVLIENEAFKQLLYDKNGEGTGQDVLQDLQRTLAIRIQSIHLSSSKGSVV
+>DECOY_sp|A6QL63|BTBDB_HUMAN Ankyrin repeat and BTB/POZ domain-containing protein BTBD11 OS=Homo sapiens OX=9606 GN=BTBD11 PE=2 SV=3
+VVSGKSSSLHISQIRIALTRQLDQLVDQGTGEGNKDYLLQKFAENEILVMMNKLFYGECYASLETVGLFKAHNYIDVCNDTNISKACIIECHRQLAELQFFKAASLLEMIENNKILLSEPGGYYLYQMVLQFISYKVYGIEICTGDNTPKSSLLAKFRPSATFLLVKHAYFPRGEVLFTVDSMEKNNLFHPDLRSTQKRKIETLKPIPYPGYCHTFIVCLQQSIVENKSAKLIEFMLPLGQTVLEETYEEEQITKFEKLLCQILPRRHQQFAIRLSELWTHLTWPVGISRIDITVDVYGHEASHYMAERLARLKAKSNRSACLPPPATEALDTGEALIEELSLIDSKEKEPQALLKRFVNRHGHAAAQSFSNMDGQPTTSIGNRYMTGILPDAGRELLLSVLEFNGVAAALQLPTESYNEEGHEVSGEVKAGADLLLQVVPIHGHLVAFTLATWHRTEPHVSPYKHPTSVVEVNLDAGADLLMQVMAEDGRVCAYMLPTMGQESMTNIGDPGLLSVAQKVLDTRGCNLMRFGLDQKFKAEIAVADLKRSACFCDDARLQRPECDVGPLLLRAAQRVDDSDMSFSRRHGAHAVAVRIWEMLPPLVLFPREVQLNVKSPEVNPNEWEMQPCHMFYCLTYLAEPEWYLAGQKHHLPTGNGHHKANLPQLHHMARSVLDSLEAISGVRTALLSQEITRLESQSFMPLEGPKNSRQPDRHLNLSDPLCLVGSANKGCILHQYPQLLGWIESDNNVTHELTEVTFKPAEHLAHHHHHHHNAAAAPPVAAAAAAPPACCSSGGSASSAASCAGGSAAGGGPAEREKDAAPAAGPGSSPGPGSGSGSGPGSCSRPVGSAVVRSYIDRFLSEMCATLYIAAHEHIRLAVRSDVMWRYVRGVSFTLGCRASKGRGLRDGGASSMNYLSLAALAAATCHAALGWSLVIEMASQIEYKTCKAFRLSLRQAEKAIRVLARSVLTSLKALADKPLGGPVAPGARPDRSRLLLAELEQLTWPVEDLDPLLRSCHQGACDLGESDEVLATNVTDFSNHRSHMSDALPPWCSGGYPSAPHSDSCCLSLNSSRVSDAAGGYGSDLTLDELTRVVPKKGRRAM
+>sp|P43251|BTD_HUMAN Biotinidase OS=Homo sapiens OX=9606 GN=BTD PE=1 SV=2
+MAHAHIQGGRRAKSRFVVCIMSGARSKLALFLCGCYVVALGAHTGEESVADHHEAEYYVAAVYEHPSILSLNPLALISRQEALELMNQNLDIYEQQVMTAAQKDVQIIVFPEDGIHGFNFTRTSIYPFLDFMPSPQVVRWNPCLEPHRFNDTEVLQRLSCMAIRGDMFLVANLGTKEPCHSSDPRCPKDGRYQFNTNVVFSNNGTLVDRYRKHNLYFEAAFDVPLKVDLITFDTPFAGRFGIFTCFDILFFDPAIRVLRDYKVKHVVYPTAWMNQLPLLAAIEIQKAFAVAFGINVLAANVHHPVLGMTGSGIHTPLESFWYHDMENPKSHLIIAQVAKNPVGLIGAENATGETDPSHSKFLKILSGDPYCEKDAQEVHCDEATKWNVNAPPTFHSEMMYDNFTLVPVWGKEGYLHVCSNGLCCYLLYERPTLSKELYALGVFDGLHTVHGTYYIQVCALVRCGGLGFDTCGQEITEATGIFEFHLWGNFSTSYIFPLFLTSGMTLEVPDQLGWENDHYFLRKSRLSSGLVTAALYGRLYERD
+>DECOY_sp|P43251|BTD_HUMAN Biotinidase OS=Homo sapiens OX=9606 GN=BTD PE=1 SV=2
+DREYLRGYLAATVLGSSLRSKRLFYHDNEWGLQDPVELTMGSTLFLPFIYSTSFNGWLHFEFIGTAETIEQGCTDFGLGGCRVLACVQIYYTGHVTHLGDFVGLAYLEKSLTPREYLLYCCLGNSCVHLYGEKGWVPVLTFNDYMMESHFTPPANVNWKTAEDCHVEQADKECYPDGSLIKLFKSHSPDTEGTANEAGILGVPNKAVQAIILHSKPNEMDHYWFSELPTHIGSGTMGLVPHHVNAALVNIGFAVAFAKQIEIAALLPLQNMWATPYVVHKVKYDRLVRIAPDFFLIDFCTFIGFRGAFPTDFTILDVKLPVDFAAEFYLNHKRYRDVLTGNNSFVVNTNFQYRGDKPCRPDSSHCPEKTGLNAVLFMDGRIAMCSLRQLVETDNFRHPELCPNWRVVQPSPMFDLFPYISTRTFNFGHIGDEPFVIIQVDKQAATMVQQEYIDLNQNMLELAEQRSILALPNLSLISPHEYVAAVYYEAEHHDAVSEEGTHAGLAVVYCGCLFLALKSRAGSMICVVFRSKARRGGQIHAHAM
+>sp|P20290|BTF3_HUMAN Transcription factor BTF3 OS=Homo sapiens OX=9606 GN=BTF3 PE=1 SV=1
+MRRTGAPAQADSRGRGRARGGCPGGEATLSQPPPRGGTRGQEPQMKETIMNQEKLAKLQAQVRIGGKGTARRKKKVVHRTATADDKKLQFSLKKLGVNNISGIEEVNMFTNQGTVIHFNNPKVQASLAANTFTITGHAETKQLTEMLPSILNQLGADSLTSLRRLAEALPKQSVDGKAPLATGEDDDDEVPDLVENFDEASKNEAN
+>DECOY_sp|P20290|BTF3_HUMAN Transcription factor BTF3 OS=Homo sapiens OX=9606 GN=BTF3 PE=1 SV=1
+NAENKSAEDFNEVLDPVEDDDDEGTALPAKGDVSQKPLAEALRRLSTLSDAGLQNLISPLMETLQKTEAHGTITFTNAALSAQVKPNNFHIVTGQNTFMNVEEIGSINNVGLKKLSFQLKKDDATATRHVVKKKRRATGKGGIRVQAQLKALKEQNMITEKMQPEQGRTGGRPPPQSLTAEGGPCGGRARGRGRSDAQAPAGTRRM
+>sp|Q7Z6A9|BTLA_HUMAN B- and T-lymphocyte attenuator OS=Homo sapiens OX=9606 GN=BTLA PE=1 SV=3
+MKTLPAMLGTGKLFWVFFLIPYLDIWNIHGKESCDVQLYIKRQSEHSILAGDPFELECPVKYCANRPHVTWCKLNGTTCVKLEDRQTSWKEEKNISFFILHFEPVLPNDNGSYRCSANFQSNLIESHSTTLYVTDVKSASERPSKDEMASRPWLLYRLLPLGGLPLLITTCFCLFCCLRRHQGKQNELSDTAGREINLVDAHLKSEQTEASTRQNSQVLLSETGIYDNDPDLCFRMQEGSEVYSNPCLEENKPGIVYASLNHSVIGPNSRLARNVKEAPTEYASICVRS
+>DECOY_sp|Q7Z6A9|BTLA_HUMAN B- and T-lymphocyte attenuator OS=Homo sapiens OX=9606 GN=BTLA PE=1 SV=3
+SRVCISAYETPAEKVNRALRSNPGIVSHNLSAYVIGPKNEELCPNSYVESGEQMRFCLDPDNDYIGTESLLVQSNQRTSAETQESKLHADVLNIERGATDSLENQKGQHRRLCCFLCFCTTILLPLGGLPLLRYLLWPRSAMEDKSPRESASKVDTVYLTTSHSEILNSQFNASCRYSGNDNPLVPEFHLIFFSINKEEKWSTQRDELKVCTTGNLKCWTVHPRNACYKVPCELEFPDGALISHESQRKIYLQVDCSEKGHINWIDLYPILFFVWFLKGTGLMAPLTKM
+>sp|Q5T3Y7|BVAS1_HUMAN Putative uncharacterized protein BVES-AS1 OS=Homo sapiens OX=9606 GN=BVES-AS1 PE=5 SV=1
+MLRSTFTVHWTTILGICRPIKPIYKSQACPLSEKRNADNHLVSEDNTFKEPERYISSSCVLTSILYLGEKTFSFRTLISPRGMKLVEILQQPSLTMVA
+>DECOY_sp|Q5T3Y7|BVAS1_HUMAN Putative uncharacterized protein BVES-AS1 OS=Homo sapiens OX=9606 GN=BVES-AS1 PE=5 SV=1
+AVMTLSPQQLIEVLKMGRPSILTRFSFTKEGLYLISTLVCSSSIYREPEKFTNDESVLHNDANRKESLPCAQSKYIPKIPRCIGLITTWHVTFTSRLM
+>sp|Q13895|BYST_HUMAN Bystin OS=Homo sapiens OX=9606 GN=BYSL PE=1 SV=3
+MPKFKAARGVGGQEKHAPLADQILAGNAVRAGVREKRRGRGTGEAEEEYVGPRLSRRILQQARQQQEELEAEHGTGDKPAAPRERTTRLGPRMPQDGSDDEDEEWPTLEKAATMTAAGHHAEVVVDPEDERAIEMFMNKNPPARRTLADIIMEKLTEKQTEVETVMSEVSGFPMPQLDPRVLEVYRGVREVLSKYRSGKLPKAFKIIPALSNWEQILYVTEPEAWTAAAMYQATRIFASNLKERMAQRFYNLVLLPRVRDDVAEYKRLNFHLYMALKKALFKPGAWFKGILIPLCESGTCTLREAIIVGSIITKCSIPVLHSSAAMLKIAEMEYSGANSIFLRLLLDKKYALPYRVLDALVFHFLGFRTEKRELPVLWHQCLLTLVQRYKADLATDQKEALLELLRLQPHPQLSPEIRRELQSAVPRDVEDVPITVE
+>DECOY_sp|Q13895|BYST_HUMAN Bystin OS=Homo sapiens OX=9606 GN=BYSL PE=1 SV=3
+EVTIPVDEVDRPVASQLERRIEPSLQPHPQLRLLELLAEKQDTALDAKYRQVLTLLCQHWLVPLERKETRFGLFHFVLADLVRYPLAYKKDLLLRLFISNAGSYEMEAIKLMAASSHLVPISCKTIISGVIIAERLTCTGSECLPILIGKFWAGPKFLAKKLAMYLHFNLRKYEAVDDRVRPLLVLNYFRQAMREKLNSAFIRTAQYMAAATWAEPETVYLIQEWNSLAPIIKFAKPLKGSRYKSLVERVGRYVELVRPDLQPMPFGSVESMVTEVETQKETLKEMIIDALTRRAPPNKNMFMEIAREDEPDVVVEAHHGAATMTAAKELTPWEEDEDDSGDQPMRPGLRTTRERPAAPKDGTGHEAELEEQQQRAQQLIRRSLRPGVYEEEAEGTGRGRRKERVGARVANGALIQDALPAHKEQGGVGRAAKFKPM
+>sp|Q7L1Q6|BZW1_HUMAN Basic leucine zipper and W2 domain-containing protein 1 OS=Homo sapiens OX=9606 GN=BZW1 PE=1 SV=1
+MNNQKQQKPTLSGQRFKTRKRDEKERFDPTQFQDCIIQGLTETGTDLEAVAKFLDASGAKLDYRRYAETLFDILVAGGMLAPGGTLADDMMRTDVCVFAAQEDLETMQAFAQVFNKLIRRYKYLEKGFEDEVKKLLLFLKGFSESERNKLAMLTGVLLANGTLNASILNSLYNENLVKEGVSAAFAVKLFKSWINEKDINAVAASLRKVSMDNRLMELFPANKQSVEHFTKYFTEAGLKELSEYVRNQQTIGARKELQKELQEQMSRGDPFKDIILYVKEEMKKNNIPEPVVIGIVWSSVMSTVEWNKKEELVAEQAIKHLKQYSPLLAAFTTQGQSELTLLLKIQEYCYDNIHFMKAFQKIVVLFYKAEVLSEEPILKWYKDAHVAKGKSVFLEQMKKFVEWLKNAEEESESEAEEGD
+>DECOY_sp|Q7L1Q6|BZW1_HUMAN Basic leucine zipper and W2 domain-containing protein 1 OS=Homo sapiens OX=9606 GN=BZW1 PE=1 SV=1
+DGEEAESESEEEANKLWEVFKKMQELFVSKGKAVHADKYWKLIPEESLVEAKYFLVVIKQFAKMFHINDYCYEQIKLLLTLESQGQTTFAALLPSYQKLHKIAQEAVLEEKKNWEVTSMVSSWVIGIVVPEPINNKKMEEKVYLIIDKFPDGRSMQEQLEKQLEKRAGITQQNRVYESLEKLGAETFYKTFHEVSQKNAPFLEMLRNDMSVKRLSAAVANIDKENIWSKFLKVAFAASVGEKVLNENYLSNLISANLTGNALLVGTLMALKNRESESFGKLFLLLKKVEDEFGKELYKYRRILKNFVQAFAQMTELDEQAAFVCVDTRMMDDALTGGPALMGGAVLIDFLTEAYRRYDLKAGSADLFKAVAELDTGTETLGQIICDQFQTPDFREKEDRKRTKFRQGSLTPKQQKQNNM
+>sp|Q6ZMU1|C3P1_HUMAN Putative protein C3P1 OS=Homo sapiens OX=9606 GN=C3P1 PE=5 SV=3
+MPVRTLFPESWLWRKFTLPKSKSGISHYPISVKVPDSITTWQFVVVSLKAGQGLCVSDPFELTVMKSFFVDLKLPSSVIRNEQVQIQAMLYNFRDRQAKVRVEFPHKETLCSASKPGAPSHQAGVQIQQTSYSIVLEPQGQTQTKLVPRQEFLNMVPDTEAEVFISVQGYTQMLTHRSSDGTYHTSKGNPGSTWLTSYVFRVFALAYSMMTTQVLSLSSLCDMANWIIIDRQAEDGHFLEKGPVVMTSMQDGYQGSEEDVSLTALVLIALNEGKELCRQKNLMASIERARGFLERKLPDIQTTFAVAIASYALALANSSQANDCLDSFASPSGCGMLLNQPQSWSGEGVISNPAMCYSSLSVS
+>DECOY_sp|Q6ZMU1|C3P1_HUMAN Putative protein C3P1 OS=Homo sapiens OX=9606 GN=C3P1 PE=5 SV=3
+SVSLSSYCMAPNSIVGEGSWSQPQNLLMGCGSPSAFSDLCDNAQSSNALALAYSAIAVAFTTQIDPLKRELFGRAREISAMLNKQRCLEKGENLAILVLATLSVDEESGQYGDQMSTMVVPGKELFHGDEAQRDIIIWNAMDCLSSLSLVQTTMMSYALAFVRFVYSTLWTSGPNGKSTHYTGDSSRHTLMQTYGQVSIFVEAETDPVMNLFEQRPVLKTQTQGQPELVISYSTQQIQVGAQHSPAGPKSASCLTEKHPFEVRVKAQRDRFNYLMAQIQVQENRIVSSPLKLDVFFSKMVTLEFPDSVCLGQGAKLSVVVFQWTTISDPVKVSIPYHSIGSKSKPLTFKRWLWSEPFLTRVPM
+>sp|P04003|C4BPA_HUMAN C4b-binding protein alpha chain OS=Homo sapiens OX=9606 GN=C4BPA PE=1 SV=2
+MHPPKTPSGALHRKRKMAAWPFSRLWKVSDPILFQMTLIAALLPAVLGNCGPPPTLSFAAPMDITLTETRFKTGTTLKYTCLPGYVRSHSTQTLTCNSDGEWVYNTFCIYKRCRHPGELRNGQVEIKTDLSFGSQIEFSCSEGFFLIGSTTSRCEVQDRGVGWSHPLPQCEIVKCKPPPDIRNGRHSGEENFYAYGFSVTYSCDPRFSLLGHASISCTVENETIGVWRPSPPTCEKITCRKPDVSHGEMVSGFGPIYNYKDTIVFKCQKGFVLRGSSVIHCDADSKWNPSPPACEPNSCINLPDIPHASWETYPRPTKEDVYVVGTVLRYRCHPGYKPTTDEPTTVICQKNLRWTPYQGCEALCCPEPKLNNGEITQHRKSRPANHCVYFYGDEISFSCHETSRFSAICQGDGTWSPRTPSCGDICNFPPKIAHGHYKQSSSYSFFKEEIIYECDKGYILVGQAKLSCSYSHWSAPAPQCKALCRKPELVNGRLSVDKDQYVEPENVTIQCDSGYGVVGPQSITCSGNRTWYPEVPKCEWETPEGCEQVLTGKRLMQCLPNPEDVKMALEVYKLSLEIEQLELQRDSARQSTLDKEL
+>DECOY_sp|P04003|C4BPA_HUMAN C4b-binding protein alpha chain OS=Homo sapiens OX=9606 GN=C4BPA PE=1 SV=2
+LEKDLTSQRASDRQLELQEIELSLKYVELAMKVDEPNPLCQMLRKGTLVQECGEPTEWECKPVEPYWTRNGSCTISQPGVVGYGSDCQITVNEPEVYQDKDVSLRGNVLEPKRCLAKCQPAPASWHSYSCSLKAQGVLIYGKDCEYIIEEKFFSYSSSQKYHGHAIKPPFNCIDGCSPTRPSWTGDGQCIASFRSTEHCSFSIEDGYFYVCHNAPRSKRHQTIEGNNLKPEPCCLAECGQYPTWRLNKQCIVTTPEDTTPKYGPHCRYRLVTGVVYVDEKTPRPYTEWSAHPIDPLNICSNPECAPPSPNWKSDADCHIVSSGRLVFGKQCKFVITDKYNYIPGFGSVMEGHSVDPKRCTIKECTPPSPRWVGITENEVTCSISAHGLLSFRPDCSYTVSFGYAYFNEEGSHRGNRIDPPPKCKVIECQPLPHSWGVGRDQVECRSTTSGILFFGESCSFEIQSGFSLDTKIEVQGNRLEGPHRCRKYICFTNYVWEGDSNCTLTQTSHSRVYGPLCTYKLTTGTKFRTETLTIDMPAAFSLTPPPGCNGLVAPLLAAILTMQFLIPDSVKWLRSFPWAAMKRKRHLAGSPTKPPHM
+>sp|Q5JT78|CA137_HUMAN Putative uncharacterized protein C1orf137 OS=Homo sapiens OX=9606 GN=C1orf137 PE=4 SV=1
+MALKTSLGSVQFMEQFCWPHLRSFCETCVGSWSIQMRQHHHQSSFPGSLPQETNLTLKVCKPQAPQKLDPTLSSCFFIESCGAQVPVEGSRRETSITV
+>DECOY_sp|Q5JT78|CA137_HUMAN Putative uncharacterized protein C1orf137 OS=Homo sapiens OX=9606 GN=C1orf137 PE=4 SV=1
+VTISTERRSGEVPVQAGCSEIFFCSSLTPDLKQPAQPKCVKLTLNTEQPLSGPFSSQHHHQRMQISWSGVCTECFSRLHPWCFQEMFQVSGLSTKLAM
+>sp|Q96HA4|CA159_HUMAN Uncharacterized protein C1orf159 OS=Homo sapiens OX=9606 GN=C1orf159 PE=2 SV=2
+MALRHLALLAGLLVGVASKSMENTVTRNSTAVINTQAEGTLSPPGLSSLPVVREWALTHTAQLPECCVDVVGVNASCPGASLCGPGCYRRWNADGSASCVRCGNGTLPAYNGSECRSFAGPGAPFPMNRSSGTPGRPHPGAPRVAASLFLGTFFISSGLILSVAGFFYLKRSSKLPRACYRRNKAPALQPGEAAAMIPPPQSSGNSSCRIPLWGFPSLGQSQGALWVCPQTGLPGSGSRPPLPGSPGDPPTRQGQGRIWLVPPALDLSWIWPAPPARPPLIPVTSMLFPVPETWGLQERRTHHDRADPQYLLLLEVQLHPRTDAAGLRQALLSSHRFSGAGSGGPKSQPVRKPRYVRRERPLDRATDPAAFPGEARISNV
+>DECOY_sp|Q96HA4|CA159_HUMAN Uncharacterized protein C1orf159 OS=Homo sapiens OX=9606 GN=C1orf159 PE=2 SV=2
+VNSIRAEGPFAAPDTARDLPRERRVYRPKRVPQSKPGGSGAGSFRHSSLLAQRLGAADTRPHLQVELLLLYQPDARDHHTRREQLGWTEPVPFLMSTVPILPPRAPPAPWIWSLDLAPPVLWIRGQGQRTPPDGPSGPLPPRSGSGPLGTQPCVWLAGQSQGLSPFGWLPIRCSSNGSSQPPPIMAAAEGPQLAPAKNRRYCARPLKSSRKLYFFGAVSLILGSSIFFTGLFLSAAVRPAGPHPRGPTGSSRNMPFPAGPGAFSRCESGNYAPLTGNGCRVCSASGDANWRRYCGPGCLSAGPCSANVGVVDVCCEPLQATHTLAWERVVPLSSLGPPSLTGEAQTNIVATSNRTVTNEMSKSAVGVLLGALLALHRLAM
+>sp|Q5T5A4|CA194_HUMAN Uncharacterized protein C1orf194 OS=Homo sapiens OX=9606 GN=C1orf194 PE=2 SV=1
+MPPTRDPFQQPTLDNDDSYLGELRASKKLPYKNPTHLAQQQEPWSRLNSTPTITSMRRDAYYFDPEIPKDDLDFRLAALYNHHTGTFKNKSEILLNQKTTQDTYRTKIQFPGEFLTPPTPPITFLANIRHWINPKKESIHSIQGSIVSPHTAATNGGYSRKKDGGFFST
+>DECOY_sp|Q5T5A4|CA194_HUMAN Uncharacterized protein C1orf194 OS=Homo sapiens OX=9606 GN=C1orf194 PE=2 SV=1
+TSFFGGDKKRSYGGNTAATHPSVISGQISHISEKKPNIWHRINALFTIPPTPPTLFEGPFQIKTRYTDQTTKQNLLIESKNKFTGTHHNYLAALRFDLDDKPIEPDFYYADRRMSTITPTSNLRSWPEQQQALHTPNKYPLKKSARLEGLYSDDNDLTPQQFPDRTPPM
+>sp|O43497|CAC1G_HUMAN Voltage-dependent T-type calcium channel subunit alpha-1G OS=Homo sapiens OX=9606 GN=CACNA1G PE=1 SV=3
+MDEEEDGAGAEESGQPRSFMRLNDLSGAGGRPGPGSAEKDPGSADSEAEGLPYPALAPVVFFYLSQDSRPRSWCLRTVCNPWFERISMLVILLNCVTLGMFRPCEDIACDSQRCRILQAFDDFIFAFFAVEMVVKMVALGIFGKKCYLGDTWNRLDFFIVIAGMLEYSLDLQNVSFSAVRTVRVLRPLRAINRVPSMRILVTLLLDTLPMLGNVLLLCFFVFFIFGIVGVQLWAGLLRNRCFLPENFSLPLSVDLERYYQTENEDESPFICSQPRENGMRSCRSVPTLRGDGGGGPPCGLDYEAYNSSSNTTCVNWNQYYTNCSAGEHNPFKGAINFDNIGYAWIAIFQVITLEGWVDIMYFVMDAHSFYNFIYFILLIIVGSFFMINLCLVVIATQFSETKQRESQLMREQRVRFLSNASTLASFSEPGSCYEELLKYLVYILRKAARRLAQVSRAAGVRVGLLSSPAPLGGQETQPSSSCSRSHRRLSVHHLVHHHHHHHHHYHLGNGTLRAPRASPEIQDRDANGSRRLMLPPPSTPALSGAPPGGAESVHSFYHADCHLEPVRCQAPPPRSPSEASGRTVGSGKVYPTVHTSPPPETLKEKALVEVAASSGPPTLTSLNIPPGPYSSMHKLLETQSTGACQSSCKISSPCLKADSGACGPDSCPYCARAGAGEVELADREMPDSDSEAVYEFTQDAQHSDLRDPHSRRQRSLGPDAEPSSVLAFWRLICDTFRKIVDSKYFGRGIMIAILVNTLSMGIEYHEQPEELTNALEISNIVFTSLFALEMLLKLLVYGPFGYIKNPYNIFDGVIVVISVWEIVGQQGGGLSVLRTFRLMRVLKLVRFLPALQRQLVVLMKTMDNVATFCMLLMLFIFIFSILGMHLFGCKFASERDGDTLPDRKNFDSLLWAIVTVFQILTQEDWNKVLYNGMASTSSWAALYFIALMTFGNYVLFNLLVAILVEGFQAEEISKREDASGQLSCIQLPVDSQGGDANKSESEPDFFSPSLDGDGDRKKCLALVSLGEHPELRKSLLPPLIIHTAATPMSLPKSTSTGLGEALGPASRRTSSSGSAEPGAAHEMKSPPSARSSPHSPWSAASSWTSRRSSRNSLGRAPSLKRRSPSGERRSLLSGEGQESQDEEESSEEERASPAGSDHRHRGSLEREAKSSFDLPDTLQVPGLHRTASGRGSASEHQDCNGKSASGRLARALRPDDPPLDGDDADDEGNLSKGERVRAWIRARLPACCLERDSWSAYIFPPQSRFRLLCHRIITHKMFDHVVLVIIFLNCITIAMERPKIDPHSAERIFLTLSNYIFTAVFLAEMTVKVVALGWCFGEQAYLRSSWNVLDGLLVLISVIDILVSMVSDSGTKILGMLRVLRLLRTLRPLRVISRAQGLKLVVETLMSSLKPIGNIVVICCAFFIIFGILGVQLFKGKFFVCQGEDTRNITNKSDCAEASYRWVRHKYNFDNLGQALMSLFVLASKDGWVDIMYDGLDAVGVDQQPIMNHNPWMLLYFISFLLIVAFFVLNMFVGVVVENFHKCRQHQEEEEARRREEKRLRRLEKKRRNLMLDDVIASGSSASAASEAQCKPYYSDYSRFRLLVHHLCTSHYLDLFITGVIGLNVVTMAMEHYQQPQILDEALKICNYIFTVIFVLESVFKLVAFGFRRFFQDRWNQLDLAIVLLSIMGITLEEIEVNASLPINPTIIRIMRVLRIARVLKLLKMAVGMRALLDTVMQALPQVGNLGLLFMLLFFIFAALGVELFGDLECDETHPCEGLGRHATFRNFGMAFLTLFRVSTGDNWNGIMKDTLRDCDQESTCYNTVISPIYFVSFVLTAQFVLVNVVIAVLMKHLEESNKEAKEEAELEAELELEMKTLSPQPHSPLGSPFLWPGVEGPDSPDSPKPGALHPAAHARSASHFSLEHPTDRQLFDTISLLIQGSLEWELKLMDELAGPGGQPSAFPSAPSLGGSDPQIPLAEMEALSLTSEIVSEPSCSLALTDDSLPDDMHTLLLSALESNMQPHPTELPGPDLLTVRKSGVSRTHSLPNDSYMCRHGSTAEGPLGHRGWGLPKAQSGSVLSVHSQPADTSYILQLPKDAPHLLQPHSAPTWGTIPKLPPPGRSPLAQRPLRRQAAIRTDSLDVQGLGSREDLLAEVSGPSPPLARAYSFWGQSSTQAQQHSRSHSKISKHMTPPAPCPGPEPNWGKGPPETRSSLELDTELSWISGDLLPPGGQEEPPSPRDLKKCYSVEAQSCQRRPTSWLDEQRRHSIAVSCLDSGSQPHLGTDPSNLGGQPLGGPGSRPKKKLSPPSITIDPPESQGPRTPPSPGICLRRRAPSSDSKDPLASGPPDSMAASPSPKKDVLSLSGLSSDPADLDP
+>DECOY_sp|O43497|CAC1G_HUMAN Voltage-dependent T-type calcium channel subunit alpha-1G OS=Homo sapiens OX=9606 GN=CACNA1G PE=1 SV=3
+PDLDAPDSSLGSLSLVDKKPSPSAAMSDPPGSALPDKSDSSPARRRLCIGPSPPTRPGQSEPPDITISPPSLKKKPRSGPGGLPQGGLNSPDTGLHPQSGSDLCSVAISHRRQEDLWSTPRRQCSQAEVSYCKKLDRPSPPEEQGGPPLLDGSIWSLETDLELSSRTEPPGKGWNPEPGPCPAPPTMHKSIKSHSRSHQQAQTSSQGWFSYARALPPSPGSVEALLDERSGLGQVDLSDTRIAAQRRLPRQALPSRGPPPLKPITGWTPASHPQLLHPADKPLQLIYSTDAPQSHVSLVSGSQAKPLGWGRHGLPGEATSGHRCMYSDNPLSHTRSVGSKRVTLLDPGPLETPHPQMNSELASLLLTHMDDPLSDDTLALSCSPESVIESTLSLAEMEALPIQPDSGGLSPASPFASPQGGPGALEDMLKLEWELSGQILLSITDFLQRDTPHELSFHSASRAHAAPHLAGPKPSDPSDPGEVGPWLFPSGLPSHPQPSLTKMELELEAELEAEEKAEKNSEELHKMLVAIVVNVLVFQATLVFSVFYIPSIVTNYCTSEQDCDRLTDKMIGNWNDGTSVRFLTLFAMGFNRFTAHRGLGECPHTEDCELDGFLEVGLAAFIFFLLMFLLGLNGVQPLAQMVTDLLARMGVAMKLLKLVRAIRLVRMIRIITPNIPLSANVEIEELTIGMISLLVIALDLQNWRDQFFRRFGFAVLKFVSELVFIVTFIYNCIKLAEDLIQPQQYHEMAMTVVNLGIVGTIFLDLYHSTCLHHVLLRFRSYDSYYPKCQAESAASASSGSAIVDDLMLNRRKKELRRLRKEERRRAEEEEQHQRCKHFNEVVVGVFMNLVFFAVILLFSIFYLLMWPNHNMIPQQDVGVADLGDYMIDVWGDKSALVFLSMLAQGLNDFNYKHRVWRYSAEACDSKNTINRTDEGQCVFFKGKFLQVGLIGFIIFFACCIVVINGIPKLSSMLTEVVLKLGQARSIVRLPRLTRLLRLVRLMGLIKTGSDSVMSVLIDIVSILVLLGDLVNWSSRLYAQEGFCWGLAVVKVTMEALFVATFIYNSLTLFIREASHPDIKPREMAITICNLFIIVLVVHDFMKHTIIRHCLLRFRSQPPFIYASWSDRELCCAPLRARIWARVREGKSLNGEDDADDGDLPPDDPRLARALRGSASKGNCDQHESASGRGSATRHLGPVQLTDPLDFSSKAERELSGRHRHDSGAPSAREEESSEEEDQSEQGEGSLLSRREGSPSRRKLSPARGLSNRSSRRSTWSSAASWPSHPSSRASPPSKMEHAAGPEASGSSSTRRSAPGLAEGLGTSTSKPLSMPTAATHIILPPLLSKRLEPHEGLSVLALCKKRDGDGDLSPSFFDPESESKNADGGQSDVPLQICSLQGSADERKSIEEAQFGEVLIAVLLNFLVYNGFTMLAIFYLAAWSSTSAMGNYLVKNWDEQTLIQFVTVIAWLLSDFNKRDPLTDGDRESAFKCGFLHMGLISFIFIFLMLLMCFTAVNDMTKMLVVLQRQLAPLFRVLKLVRMLRFTRLVSLGGGQQGVIEWVSIVVIVGDFINYPNKIYGFPGYVLLKLLMELAFLSTFVINSIELANTLEEPQEHYEIGMSLTNVLIAIMIGRGFYKSDVIKRFTDCILRWFALVSSPEADPGLSRQRRSHPDRLDSHQADQTFEYVAESDSDPMERDALEVEGAGARACYPCSDPGCAGSDAKLCPSSIKCSSQCAGTSQTELLKHMSSYPGPPINLSTLTPPGSSAAVEVLAKEKLTEPPPSTHVTPYVKGSGVTRGSAESPSRPPPAQCRVPELHCDAHYFSHVSEAGGPPAGSLAPTSPPPLMLRRSGNADRDQIEPSARPARLTGNGLHYHHHHHHHHHVLHHVSLRRHSRSCSSSPQTEQGGLPAPSSLLGVRVGAARSVQALRRAAKRLIYVLYKLLEEYCSGPESFSALTSANSLFRVRQERMLQSERQKTESFQTAIVVLCLNIMFFSGVIILLIFYIFNYFSHADMVFYMIDVWGELTIVQFIAIWAYGINDFNIAGKFPNHEGASCNTYYQNWNVCTTNSSSNYAEYDLGCPPGGGGDGRLTPVSRCSRMGNERPQSCIFPSEDENETQYYRELDVSLPLSFNEPLFCRNRLLGAWLQVGVIGFIFFVFFCLLLVNGLMPLTDLLLTVLIRMSPVRNIARLPRLVRVTRVASFSVNQLDLSYELMGAIVIFFDLRNWTDGLYCKKGFIGLAVMKVVMEVAFFAFIFDDFAQLIRCRQSDCAIDECPRFMGLTVCNLLIVLMSIREFWPNCVTRLCWSRPRSDQSLYFFVVPALAPYPLGEAESDASGPDKEASGPGPRGGAGSLDNLRMFSRPQGSEEAGAGDEEEDM
+>sp|Q9ULB4|CADH9_HUMAN Cadherin-9 OS=Homo sapiens OX=9606 GN=CDH9 PE=2 SV=2
+MRTYHYIPLFIWTYMFHTVDTILLQEKPNSYLSSKKIAGLTKDDGKMLRRTKRGWMWNQFFLLEEYTGTDTQYVGKLHTDQDKGDGNLKYILTGDGAGSLFVIDENTGDIHAAKKLDREEKSLYILRAKAIDRKTGRQVEPESEFIIKIHDINDNEPKFTKDLYTASVPEMSGVGTSVIQVTATDADDANYGNSAKVVYSILQGQPYFSVDPESGIIKTALPDMSRENREQYQVVIQAKDMGGQMGGLSGTTTVNITLTDVNNNPPRFPQSTYQFNSPESVPLGTHLGRIKANDPDVGENAEMEYSIAEGDGADMFDVITDKDTQEGIITVKQNLDFENQMLYTLRVDASNTHPDPRFLHLGPFKDTAVVKISVEDIDEPPVFTKVSYLIEVDEDVKEGSIIGQVTAYDPDARNNLIKYSVDRHTDMDRIFGIHSENGSIFTLKALDRESSPWHNITVTATEINNPKQSSHIPVFIRILDINDHAPEFAMYYETFVCENAKPGQLIQTVSVMDKDDPPRGHKFFFEPVPEFTLNPNFTIVDNKDNTAGIMTRKDGYSRNKMSTYLLPILIFDNDYPIQSSTGTLTIRVCACDNQGNMQSCTAEALILSAGLSTGALVAILLCVLILLILVVLFAALKRQRKKEPLIISKDDVRDNIVTYNDEGGGEEDTQAFDIGTLRNPEAREDSKLRRDVMPETIFQIRRTVPLWENIDVQDFIHRRLKENDADPSAPPYDSLATYAYEGNDSIADSLSSLESLTADCNQDYDYLSDWGPRFKKLADMYGGDDSDRD
+>DECOY_sp|Q9ULB4|CADH9_HUMAN Cadherin-9 OS=Homo sapiens OX=9606 GN=CDH9 PE=2 SV=2
+DRDSDDGGYMDALKKFRPGWDSLYDYDQNCDATLSELSSLSDAISDNGEYAYTALSDYPPASPDADNEKLRRHIFDQVDINEWLPVTRRIQFITEPMVDRRLKSDERAEPNRLTGIDFAQTDEEGGGEDNYTVINDRVDDKSIILPEKKRQRKLAAFLVVLILLILVCLLIAVLAGTSLGASLILAEATCSQMNGQNDCACVRITLTGTSSQIPYDNDFILIPLLYTSMKNRSYGDKRTMIGATNDKNDVITFNPNLTFEPVPEFFFKHGRPPDDKDMVSVTQILQGPKANECVFTEYYMAFEPAHDNIDLIRIFVPIHSSQKPNNIETATVTINHWPSSERDLAKLTFISGNESHIGFIRDMDTHRDVSYKILNNRADPDYATVQGIISGEKVDEDVEILYSVKTFVPPEDIDEVSIKVVATDKFPGLHLFRPDPHTNSADVRLTYLMQNEFDLNQKVTIIGEQTDKDTIVDFMDAGDGEAISYEMEANEGVDPDNAKIRGLHTGLPVSEPSNFQYTSQPFRPPNNNVDTLTINVTTTGSLGGMQGGMDKAQIVVQYQERNERSMDPLATKIIGSEPDVSFYPQGQLISYVVKASNGYNADDADTATVQIVSTGVGSMEPVSATYLDKTFKPENDNIDHIKIIFESEPEVQRGTKRDIAKARLIYLSKEERDLKKAAHIDGTNEDIVFLSGAGDGTLIYKLNGDGKDQDTHLKGVYQTDTGTYEELLFFQNWMWGRKTRRLMKGDDKTLGAIKKSSLYSNPKEQLLITDVTHFMYTWIFLPIYHYTRM
+>sp|Q13112|CAF1B_HUMAN Chromatin assembly factor 1 subunit B OS=Homo sapiens OX=9606 GN=CHAF1B PE=1 SV=1
+MKVITCEIAWHNKEPVYSLDFQHGTAGRIHRLASAGVDTNVRIWKVEKGPDGKAIVEFLSNLARHTKAVNVVRFSPTGEILASGGDDAVILLWKVNDNKEPEQIAFQDEDEAQLNKENWTVVKTLRGHLEDVYDICWATDGNLMASASVDNTAIIWDVSKGQKISIFNEHKSYVQGVTWDPLGQYVATLSCDRVLRVYSIQKKRVAFNVSKMLSGIGAEGEARSYRMFHDDSMKSFFRRLSFTPDGSLLLTPAGCVESGENVMNTTYVFSRKNLKRPIAHLPCPGKATLAVRCCPVYFELRPVVETGVELMSLPYRLVFAVASEDSVLLYDTQQSFPFGYVSNIHYHTLSDISWSSDGAFLAISSTDGYCSFVTFEKDELGIPLKEKPVLNMRTPDTAKKTKSQTHRGSSPGPRPVEGTPASRTQDPSSPGTTPPQARQAPAPTVIRDPPSITPAVKSPLPGPSEEKTLQPSSQNTKAHPSRRVTLNTLQAWSKTTPRRINLTPLKTDTPPSSVPTSVISTPSTEEIQSETPGDAQGSPPELKRPRLDENKGGTESLDP
+>DECOY_sp|Q13112|CAF1B_HUMAN Chromatin assembly factor 1 subunit B OS=Homo sapiens OX=9606 GN=CHAF1B PE=1 SV=1
+PDLSETGGKNEDLRPRKLEPPSGQADGPTESQIEETSPTSIVSTPVSSPPTDTKLPTLNIRRPTTKSWAQLTNLTVRRSPHAKTNQSSPQLTKEESPGPLPSKVAPTISPPDRIVTPAPAQRAQPPTTGPSSPDQTRSAPTGEVPRPGPSSGRHTQSKTKKATDPTRMNLVPKEKLPIGLEDKEFTVFSCYGDTSSIALFAGDSSWSIDSLTHYHINSVYGFPFSQQTDYLLVSDESAVAFVLRYPLSMLEVGTEVVPRLEFYVPCCRVALTAKGPCPLHAIPRKLNKRSFVYTTNMVNEGSEVCGAPTLLLSGDPTFSLRRFFSKMSDDHFMRYSRAEGEAGIGSLMKSVNFAVRKKQISYVRLVRDCSLTAVYQGLPDWTVGQVYSKHENFISIKQGKSVDWIIATNDVSASAMLNGDTAWCIDYVDELHGRLTKVVTWNEKNLQAEDEDQFAIQEPEKNDNVKWLLIVADDGGSALIEGTPSFRVVNVAKTHRALNSLFEVIAKGDPGKEVKWIRVNTDVGASALRHIRGATGHQFDLSYVPEKNHWAIECTIVKM
+>sp|Q8TC20|CAGE1_HUMAN Cancer-associated gene 1 protein OS=Homo sapiens OX=9606 GN=CAGE1 PE=1 SV=2
+MNKDYQKFWSSPSDPVHFEVDTSHEKVESMSESDTMNVSNLSQGVMLSHSPICMETTGTTCDLPQNEIKNFERENEYESTLCEDAYGTLDNLLNDNNIENYSTNALIQPVDTISISSLRQFETVCKFHWVEAFDDEMTEKPEFQSQVYNYAKDNNIKQDSFKEENPMETSVSANTDQLGNEYFRQPPPRSPPLIHCSGEMLKFTEKSLAKSIAKESALNPSQPPSFLCKTAVPSKEIQNYGEIPEMSVSYEKEVTAEGVERPEIVSTWSSAGISWRSEACRENCEMPDWEQSAESLQPVQEDMALNEVLQKLKHTNRKQEVRIQELQCSNLYLEKRVKELQMKITKQQVFIDVINKLKENVEELIEDKYKIILEKNDTKKTLQNLEEVLANTQKHLQESRNDKEMLQLQFKKIKANYVCLQERYMTEMQQKNKSVSQYLEMDKTLSKKEEEVERLQQLKKELEKATASALDLLKREKEAQEQEFLSLQEEFQKLEKENLEERQKLKSRLEKLLTQVRNLQFMSENERTKNIKLQQQINEVKNENAKLKQQVARSEEQNYVPKFETAQLKDQLEEVLKSDITKDTKTTHSNLLPDCSPCEERLNPADIKRASQLASKMHSLLALMVGLLTCQDIINSDAEHFKESEKVSDIMLQKLKSLHLKKKTLDKEVIDCDSDEAKSIRDVPTLLGAKLDKYHSLNEELDFLVTSYEEIIECADQRLAISHSQIAHLEERNKHLEDLIRKPREKARKPRSKSLENHPKSMTMMPALFKENRNDLD
+>DECOY_sp|Q8TC20|CAGE1_HUMAN Cancer-associated gene 1 protein OS=Homo sapiens OX=9606 GN=CAGE1 PE=1 SV=2
+DLDNRNEKFLAPMMTMSKPHNELSKSRPKRAKERPKRILDELHKNREELHAIQSHSIALRQDACEIIEEYSTVLFDLEENLSHYKDLKAGLLTPVDRISKAEDSDCDIVEKDLTKKKLHLSKLKQLMIDSVKESEKFHEADSNIIDQCTLLGVMLALLSHMKSALQSARKIDAPNLREECPSCDPLLNSHTTKTDKTIDSKLVEELQDKLQATEFKPVYNQEESRAVQQKLKANENKVENIQQQLKINKTRENESMFQLNRVQTLLKELRSKLKQREELNEKELKQFEEQLSLFEQEQAEKERKLLDLASATAKELEKKLQQLREVEEEKKSLTKDMELYQSVSKNKQQMETMYREQLCVYNAKIKKFQLQLMEKDNRSEQLHKQTNALVEELNQLTKKTDNKELIIKYKDEILEEVNEKLKNIVDIFVQQKTIKMQLEKVRKELYLNSCQLEQIRVEQKRNTHKLKQLVENLAMDEQVPQLSEASQEWDPMECNERCAESRWSIGASSWTSVIEPREVGEATVEKEYSVSMEPIEGYNQIEKSPVATKCLFSPPQSPNLASEKAISKALSKETFKLMEGSCHILPPSRPPPQRFYENGLQDTNASVSTEMPNEEKFSDQKINNDKAYNYVQSQFEPKETMEDDFAEVWHFKCVTEFQRLSSISITDVPQILANTSYNEINNDNLLNDLTGYADECLTSEYENEREFNKIENQPLDCTTGTTEMCIPSHSLMVGQSLNSVNMTDSESMSEVKEHSTDVEFHVPDSPSSWFKQYDKNM
+>sp|P10092|CALCB_HUMAN Calcitonin gene-related peptide 2 OS=Homo sapiens OX=9606 GN=CALCB PE=1 SV=1
+MGFRKFSPFLALSILVLYQAGSLQAAPFRSALESSPDPATLSKEDARLLLAALVQDYVQMKASELKQEQETQGSSSAAQKRACNTATCVTHRLAGLLSRSGGMVKSNFVPTNVGSKAFGRRRRDLQA
+>DECOY_sp|P10092|CALCB_HUMAN Calcitonin gene-related peptide 2 OS=Homo sapiens OX=9606 GN=CALCB PE=1 SV=1
+AQLDRRRRGFAKSGVNTPVFNSKVMGGSRSLLGALRHTVCTATNCARKQAASSSGQTEQEQKLESAKMQVYDQVLAALLLRADEKSLTAPDPSSELASRFPAAQLSGAQYLVLISLALFPSFKRFGM
+>sp|P49069|CAMLG_HUMAN Calcium signal-modulating cyclophilin ligand OS=Homo sapiens OX=9606 GN=CAMLG PE=1 SV=1
+MESMAVATDGGERPGVPAGSGLSASQRRAELRRRKLLMNSEQRINRIMGFHRPGSGAEEESQTKSKQQDSDKLNSLSVPSVSKRVVLGDSVSTGTTDQQGGVAEVKGTQLGDKLDSFIKPPECSSDVNLELRQRNRGDLTADSVQRGSRHGLEQYLSRFEEAMKLRKQLISEKPSQEDGNTTEEFDSFRIFRLVGCALLALGVRAFVCKYLSIFAPFLTLQLAYMGLYKYFPKSEKKIKTTVLTAALLLSGIPAEVINRSMDTYSKMGEVFTDLCVYFFTFIFCHELLDYWGSEVP
+>DECOY_sp|P49069|CAMLG_HUMAN Calcium signal-modulating cyclophilin ligand OS=Homo sapiens OX=9606 GN=CAMLG PE=1 SV=1
+PVESGWYDLLEHCFIFTFFYVCLDTFVEGMKSYTDMSRNIVEAPIGSLLLAATLVTTKIKKESKPFYKYLGMYALQLTLFPAFISLYKCVFARVGLALLACGVLRFIRFSDFEETTNGDEQSPKESILQKRLKMAEEFRSLYQELGHRSGRQVSDATLDGRNRQRLELNVDSSCEPPKIFSDLKDGLQTGKVEAVGGQQDTTGTSVSDGLVVRKSVSPVSLSNLKDSDQQKSKTQSEEEAGSGPRHFGMIRNIRQESNMLLKRRRLEARRQSASLGSGAPVGPREGGDTAVAMSEM
+>sp|Q5T5Y3|CAMP1_HUMAN Calmodulin-regulated spectrin-associated protein 1 OS=Homo sapiens OX=9606 GN=CAMSAP1 PE=1 SV=2
+MVDASGRAAAEGWRKMEAPPDGAADLVPLDRYDAARAKIAANLQWICAKAYGRDNIPEDLRDPFYVDQYEQEHIKPPVIKLLLSSELYCRVCSLILKGDQVAALQGHQSVIQALSRKGIYVMESDDTPVTESDLSRAPIKMSAHMAMVDALMMAYTVEMISIEKVVASVKRFSTFSASKELPYDLEDAMVFWINKVNLKMREITEKEVKLKQQLLESPAHQKVRYRREHLSARQSPYFPLLEDLMRDGSDGAALLAVIHYYCPEQMKLDDICLKEVTSMADSLYNIRLLREFSNEYLNKCFYLTLEDMLYAPLVLKPNVMVFIAELFWWFENVKPDFVQPRDVQELKDAKTVLHQKSSRPPVPISNATKRSFLGSPAAGTLAELQPPVQLPAEGCHRHYLHPEEPEYLGKGTAAFSPSHPLLPLRQKQQKSIQGEDIPDQRHRSNSLTRVDGQPRGAAIAWPEKKTRPASQPTPFALHHAASCEVDPSSGDSISLARSISKDSLASNIVNLTPQNQPHPTATKSHGKSLLSNVSIEDEEEELVAIVRADVVPQQADPEFPRASPRALGLTANARSPQGQLDTSESKPDSFFLEPLMPAVLKPAKEKQVITKEDERGEGRPRSIVSRRPSEGPQPLVRRKMTGSRDLNRTFTPIPCSEFPMGIDPTETGPLSVETAGEVCGGPLALGGFDPFPQGPSTDGFFLHVGRADEDTEGRLYVSCSKSPNSHDSEPWTLLRQDSDSDVVDIEEAEHDFMGEAHPVVFSRYIGEEESAKLQEDMKVKEHEDKDDASGRSSPCLSTASQMSSVSMASGSVKMTSFAERKLQRLNSCETKSSTSSSQKTTPDASESCPAPLTTWRQKREQSPSQHGKDPASLLASELVQLHMQLEEKRRAIEAQKKKMEALSARQRLKLGKAAFLHVVKKGKAEAAPPLRPEHFAKEYSQHNGEDCGDAVSKTEDFLVKEEQREELLHEPQDVDKESLAFAQQHKAKDPVALHELERNKVISAALLEDTVGEVVDVNECDLSIEKLNETISTLQQAILKISQQQEQLLMKSPTVPVPGSKNNSQDHKVKAPVHFVEPLSPTGVAGHRKAPRLGQGRNSRSGRPAELKVPKDRPQGSSRSKTPTPSVETLPHLRPFPASSHPRTPTDPGLDSALEPSGDPHGKCLFDSYRLHDESNQRTLTLSSSKDANILSEQMSLKEVLDASVKEVGSSSSDVSGKESVPVEEPLRSRASLIEVDLSDLKAPDEDGELVSLDGSADLVSEGDQKPGVGFFFKDEQKAEDELAKKRAAFLLKQQRKAEEARVRKQQLEAEVELKRDEARRKAEEDRVRKEEEKARRELIKQEYLRRKQQQILEEQGLGKPKSKPKKPRPKSVHREESCSDSGTKCSSTPDNLSRTQSGSSLSLASAATTEPESVHSGGTPSQRVESMEALPILSRNPSRSTDRDWETASAASSLASVAEYTGPKLFKEPSSKSNKPIIHNAISHCCLAGKVNEPHKNSILEELEKCDANHYIILFRDAGCQFRALYCYYPDTEEIYKLTGTGPKNITKKMIDKLYKYSSDRKQFNLIPAKTMSVSVDALTIHNHLWQPKRPAVPKKAQTRK
+>DECOY_sp|Q5T5Y3|CAMP1_HUMAN Calmodulin-regulated spectrin-associated protein 1 OS=Homo sapiens OX=9606 GN=CAMSAP1 PE=1 SV=2
+KRTQAKKPVAPRKPQWLHNHITLADVSVSMTKAPILNFQKRDSSYKYLKDIMKKTINKPGTGTLKYIEETDPYYCYLARFQCGADRFLIIYHNADCKELEELISNKHPENVKGALCCHSIANHIIPKNSKSSPEKFLKPGTYEAVSALSSAASATEWDRDTSRSPNRSLIPLAEMSEVRQSPTGGSHVSEPETTAASALSLSSGSQTRSLNDPTSSCKTGSDSCSEERHVSKPRPKKPKSKPKGLGQEELIQQQKRRLYEQKILERRAKEEEKRVRDEEAKRRAEDRKLEVEAELQQKRVRAEEAKRQQKLLFAARKKALEDEAKQEDKFFFGVGPKQDGESVLDASGDLSVLEGDEDPAKLDSLDVEILSARSRLPEEVPVSEKGSVDSSSSGVEKVSADLVEKLSMQESLINADKSSSLTLTRQNSEDHLRYSDFLCKGHPDGSPELASDLGPDTPTRPHSSAPFPRLHPLTEVSPTPTKSRSSGQPRDKPVKLEAPRGSRSNRGQGLRPAKRHGAVGTPSLPEVFHVPAKVKHDQSNNKSGPVPVTPSKMLLQEQQQSIKLIAQQLTSITENLKEISLDCENVDVVEGVTDELLAASIVKNRELEHLAVPDKAKHQQAFALSEKDVDQPEHLLEERQEEKVLFDETKSVADGCDEGNHQSYEKAFHEPRLPPAAEAKGKKVVHLFAAKGLKLRQRASLAEMKKKQAEIARRKEELQMHLQVLESALLSAPDKGHQSPSQERKQRWTTLPAPCSESADPTTKQSSSTSSKTECSNLRQLKREAFSTMKVSGSAMSVSSMQSATSLCPSSRGSADDKDEHEKVKMDEQLKASEEEGIYRSFVVPHAEGMFDHEAEEIDVVDSDSDQRLLTWPESDHSNPSKSCSVYLRGETDEDARGVHLFFGDTSPGQPFPDFGGLALPGGCVEGATEVSLPGTETPDIGMPFESCPIPTFTRNLDRSGTMKRRVLPQPGESPRRSVISRPRGEGREDEKTIVQKEKAPKLVAPMLPELFFSDPKSESTDLQGQPSRANATLGLARPSARPFEPDAQQPVVDARVIAVLEEEEDEISVNSLLSKGHSKTATPHPQNQPTLNVINSALSDKSISRALSISDGSSPDVECSAAHHLAFPTPQSAPRTKKEPWAIAAGRPQGDVRTLSNSRHRQDPIDEGQISKQQKQRLPLLPHSPSFAATGKGLYEPEEPHLYHRHCGEAPLQVPPQLEALTGAAPSGLFSRKTANSIPVPPRSSKQHLVTKADKLEQVDRPQVFDPKVNEFWWFLEAIFVMVNPKLVLPAYLMDELTLYFCKNLYENSFERLLRINYLSDAMSTVEKLCIDDLKMQEPCYYHIVALLAAGDSGDRMLDELLPFYPSQRASLHERRYRVKQHAPSELLQQKLKVEKETIERMKLNVKNIWFVMADELDYPLEKSASFTSFRKVSAVVKEISIMEVTYAMMLADVMAMHASMKIPARSLDSETVPTDDSEMVYIGKRSLAQIVSQHGQLAAVQDGKLILSCVRCYLESSLLLKIVPPKIHEQEYQDVYFPDRLDEPINDRGYAKACIWQLNAAIKARAADYRDLPVLDAAGDPPAEMKRWGEAAARGSADVM
+>sp|Q9P1Y5|CAMP3_HUMAN Calmodulin-regulated spectrin-associated protein 3 OS=Homo sapiens OX=9606 GN=CAMSAP3 PE=1 SV=2
+MVEAAPPGPGPLRRTFLVPEIKSLDQYDFSRAKAAASLAWVLRAAFGGAEHVPPELWEPFYTDQYAQEHVKPPVTRLLLSAELYCRAWRQALPQLETPPNPSALLALLARRGTVPALPERPVREADLRHQPILMGAHLAVIDALMAAFAFEWTKTLPGPLALTSLEHKLLFWVDTTVRRLQEKTEQEAAQRASPAAPADGAAPAQPSIRYRKDRVVARRAPCFPTVTSLQDLASGAALAATIHCYCPQLLRLEEVCLKDPMSVADSLYNLQLVQDFCASRLPRGCPLSLEDLLYVPPPLKVNLVVMLAELFMCFEVLKPDFVQVKDLPDGHAASPRGTEASPPQNNSGSSSPVFTFRHPLLSSGGPQSPLRGSTGSLKSSPSMSHMEALGKAWNRQLSRPLSQAVSFSTPFGLDSDVDVVMGDPVLLRSVSSDSLGPPRPAPARTPTQPPPEPGDLPTIEEALQIIHSAEPRLLPDGAADGSFYLHSPEGPSKPSLASPYLPEGTSKPLSDRPTKAPVYMPHPETPSKPSPCLVGEASKPPAPSEGSPKAVASSPAATNSEVKMTSFAERKKQLVKAEAEAGAGSPTSTPAPPEALSSEMSELSARLEEKRRAIEAQKRRIEAIFAKHRQRLGKSAFLQVQPREASGEAEAEAEEADSGPVPGGERPAGEGQGEPTSRPKAVTFSPDLGPVPHEGLGEYNRAVSKLSAALSSLQRDMQRLTDQQQRLLAPPEAPGSAPPPAAWVIPGPTTGPKAASPSPARRVPATRRSPGPGPSQSPRSPKHTRPAELRLAPLTRVLTPPHDVDSLPHLRKFSPSQVPVQTRSSILLAEETPPEEPAARPGLIEIPLGSLADPAAEDEGDGSPAGAEDSLEEEASSEGEPRVGLGFFYKDEDKPEDEMAQKRASLLERQQRRAEEARRRKQWQEVEKEQRREEAARLAQEEAPGPAPLVSAVPMATPAPAARAPAEEEVGPRKGDFTRQEYERRAQLKLMDDLDKVLRPRAAGSGGPGRGGRRATRPRSGCCDDSALARSPARGLLGSRLSKIYSQSTLSLSTVANEAHNNLGVKRPTSRAPSPSGLMSPSRLPGSRERDWENGSNASSPASVPEYTGPRLYKEPSAKSNKFIIHNALSHCCLAGKVNEPQKNRILEEIEKSKANHFLILFRDSSCQFRALYTLSGETEELSRLAGYGPRTVTPAMVEGIYKYNSDRKRFTQIPAKTMSMSVDAFTIQGHLWQGKKPTTPKKGGGTPK
+>DECOY_sp|Q9P1Y5|CAMP3_HUMAN Calmodulin-regulated spectrin-associated protein 3 OS=Homo sapiens OX=9606 GN=CAMSAP3 PE=1 SV=2
+KPTGGGKKPTTPKKGQWLHGQITFADVSMSMTKAPIQTFRKRDSNYKYIGEVMAPTVTRPGYGALRSLEETEGSLTYLARFQCSSDRFLILFHNAKSKEIEELIRNKQPENVKGALCCHSLANHIIFKNSKASPEKYLRPGTYEPVSAPSSANSGNEWDRERSGPLRSPSMLGSPSPARSTPRKVGLNNHAENAVTSLSLTSQSYIKSLRSGLLGRAPSRALASDDCCGSRPRTARRGGRGPGGSGAARPRLVKDLDDMLKLQARREYEQRTFDGKRPGVEEEAPARAAPAPTAMPVASVLPAPGPAEEQALRAAEERRQEKEVEQWQKRRRAEEARRQQRELLSARKQAMEDEPKDEDKYFFGLGVRPEGESSAEEELSDEAGAPSGDGEDEAAPDALSGLPIEILGPRAAPEEPPTEEALLISSRTQVPVQSPSFKRLHPLSDVDHPPTLVRTLPALRLEAPRTHKPSRPSQSPGPGPSRRTAPVRRAPSPSAAKPGTTPGPIVWAAPPPASGPAEPPALLRQQQDTLRQMDRQLSSLAASLKSVARNYEGLGEHPVPGLDPSFTVAKPRSTPEGQGEGAPREGGPVPGSDAEEAEAEAEGSAERPQVQLFASKGLRQRHKAFIAEIRRKQAEIARRKEELRASLESMESSLAEPPAPTSTPSGAGAEAEAKVLQKKREAFSTMKVESNTAAPSSAVAKPSGESPAPPKSAEGVLCPSPKSPTEPHPMYVPAKTPRDSLPKSTGEPLYPSALSPKSPGEPSHLYFSGDAAGDPLLRPEASHIIQLAEEITPLDGPEPPPQTPTRAPAPRPPGLSDSSVSRLLVPDGMVVDVDSDLGFPTSFSVAQSLPRSLQRNWAKGLAEMHSMSPSSKLSGTSGRLPSQPGGSSLLPHRFTFVPSSSGSNNQPPSAETGRPSAAHGDPLDKVQVFDPKLVEFCMFLEALMVVLNVKLPPPVYLLDELSLPCGRPLRSACFDQVLQLNYLSDAVSMPDKLCVEELRLLQPCYCHITAALAAGSALDQLSTVTPFCPARRAVVRDKRYRISPQAPAAGDAPAAPSARQAAEQETKEQLRRVTTDVWFLLKHELSTLALPGPLTKTWEFAFAAMLADIVALHAGMLIPQHRLDAERVPREPLAPVTGRRALLALLASPNPPTELQPLAQRWARCYLEASLLLRTVPPKVHEQAYQDTYFPEWLEPPVHEAGGFAARLVWALSAAAKARSFDYQDLSKIEPVLFTRRLPGPGPPAAEVM
+>sp|Q6ZSI9|CAN12_HUMAN Calpain-12 OS=Homo sapiens OX=9606 GN=CAPN12 PE=2 SV=1
+MASSSGRVTIQLVDEEAGVGAGRLQLFRGQSYEAIRAACLDSGILFRDPYFPAGPDALGYDQLGPDSEKAKGVKWMRPHEFCAEPKFICEDMSRTDVCQGSLGNCWFLAAAASLTLYPRLLRRVVPPGQDFQHGYAGVFHFQLWQFGRWMDVVVDDRLPVREGKLMFVRSEQRNEFWAPLLEKAYAKLHGSYEVMRGGHMNEAFVDFTGGVGEVLYLRQNSMGLFSALRHALAKESLVGATALSDRGEYRTEEGLVKGHAYSITGTHKVFLGFTKVRLLRLRNPWGCVEWTGAWSDSCPRWDTLPTECRDALLVKKEDGEFWMELRDFLLHFDTVQICSLSPEVLGPSPEGGGWHVHTFQGRWVRGFNSGGSQPNAETFWTNPQFRLTLLEPDEEDDEDEEGPWGGWGAAGARGPARGGRTPKCTVLLSLIQRNRRRLRAKGLTYLTVGFHVFQIPEELLGLWDSPRSHALLPRLLRADRSPLSARRDVTRRCCLRPGHYLVVPSTAHAGDEADFTLRVFSERRHTAVEIDDVISADLQSLQGPYLPLELGLEQLFQELAGEEEELNASQLQALLSIALEPARAHTSTPREIGLRTCEQLLQCFGHGQSLALHHFQQLWGYLLEWQAIFNKFDEDTSGTMNSYELRLALNAAGFHLNNQLTQTLTSRYRDSRLRVDFERFVSCVAHLTCIFCHCSQHLDGGEGVICLTHRQWMEVATFS
+>DECOY_sp|Q6ZSI9|CAN12_HUMAN Calpain-12 OS=Homo sapiens OX=9606 GN=CAPN12 PE=2 SV=1
+SFTAVEMWQRHTLCIVGEGGDLHQSCHCFICTLHAVCSVFREFDVRLRSDRYRSTLTQTLQNNLHFGAANLALRLEYSNMTGSTDEDFKNFIAQWELLYGWLQQFHHLALSQGHGFCQLLQECTRLGIERPTSTHARAPELAISLLAQLQSANLEEEEGALEQFLQELGLELPLYPGQLSQLDASIVDDIEVATHRRESFVRLTFDAEDGAHATSPVVLYHGPRLCCRRTVDRRASLPSRDARLLRPLLAHSRPSDWLGLLEEPIQFVHFGVTLYTLGKARLRRRNRQILSLLVTCKPTRGGRAPGRAGAAGWGGWPGEEDEDDEEDPELLTLRFQPNTWFTEANPQSGGSNFGRVWRGQFTHVHWGGGEPSPGLVEPSLSCIQVTDFHLLFDRLEMWFEGDEKKVLLADRCETPLTDWRPCSDSWAGTWEVCGWPNRLRLLRVKTFGLFVKHTGTISYAHGKVLGEETRYEGRDSLATAGVLSEKALAHRLASFLGMSNQRLYLVEGVGGTFDVFAENMHGGRMVEYSGHLKAYAKELLPAWFENRQESRVFMLKGERVPLRDDVVVDMWRGFQWLQFHFVGAYGHQFDQGPPVVRRLLRPYLTLSAAAALFWCNGLSGQCVDTRSMDECIFKPEACFEHPRMWKVGKAKESDPGLQDYGLADPGAPFYPDRFLIGSDLCAARIAEYSQGRFLQLRGAGVGAEEDVLQITVRGSSSAM
+>sp|Q96LZ3|CANB2_HUMAN Calcineurin subunit B type 2 OS=Homo sapiens OX=9606 GN=PPP3R2 PE=1 SV=3
+MGNEASYPAEMCSHFDNDEIKRLGRRFKKLDLDKSGSLSVEEFMSLPELRHNPLVRRVIDVFDTDGDGEVDFKEFILGTSQFSVKGDEEQKLRFAFSIYDMDKDGYISNGELFQVLKMMVGNNLTDWQLQQLVDKTIIILDKDGDGKISFEEFSAVVRDLEIHKKLVLIV
+>DECOY_sp|Q96LZ3|CANB2_HUMAN Calcineurin subunit B type 2 OS=Homo sapiens OX=9606 GN=PPP3R2 PE=1 SV=3
+VILVLKKHIELDRVVASFEEFSIKGDGDKDLIIITKDVLQQLQWDTLNNGVMMKLVQFLEGNSIYGDKDMDYISFAFRLKQEEDGKVSFQSTGLIFEKFDVEGDGDTDFVDIVRRVLPNHRLEPLSMFEEVSLSGSKDLDLKKFRRGLRKIEDNDFHSCMEAPYSAENGM
+>sp|P40123|CAP2_HUMAN Adenylyl cyclase-associated protein 2 OS=Homo sapiens OX=9606 GN=CAP2 PE=1 SV=1
+MANMQGLVERLERAVSRLESLSAESHRPPGNCGEVNGVIAGVAPSVEAFDKLMDSMVAEFLKNSRILAGDVETHAEMVHSAFQAQRAFLLMASQYQQPHENDVAALLKPISEKIQEIQTFRERNRGSNMFNHLSAVSESIPALGWIAVSPKPGPYVKEMNDAATFYTNRVLKDYKHSDLRHVDWVKSYLNIWSELQAYIKEHHTTGLTWSKTGPVASTVSAFSVLSSGPGLPPPPPPLPPPGPPPLFENEGKKEESSPSRSALFAQLNQGEAITKGLRHVTDDQKTYKNPSLRAQGGQTQSPTKSHTPSPTSPKSYPSQKHAPVLELEGKKWRVEYQEDRNDLVISETELKQVAYIFKCEKSTIQIKGKVNSIIIDNCKKLGLVFDNVVGIVEVINSQDIQIQVMGRVPTISINKTEGCHIYLSEDALDCEIVSAKSSEMNILIPQDGDYREFPIPEQFKTAWDGSKLITEPAEIMA
+>DECOY_sp|P40123|CAP2_HUMAN Adenylyl cyclase-associated protein 2 OS=Homo sapiens OX=9606 GN=CAP2 PE=1 SV=1
+AMIEAPETILKSGDWATKFQEPIPFERYDGDQPILINMESSKASVIECDLADESLYIHCGETKNISITPVRGMVQIQIDQSNIVEVIGVVNDFVLGLKKCNDIIISNVKGKIQITSKECKFIYAVQKLETESIVLDNRDEQYEVRWKKGELELVPAHKQSPYSKPSTPSPTHSKTPSQTQGGQARLSPNKYTKQDDTVHRLGKTIAEGQNLQAFLASRSPSSEEKKGENEFLPPPGPPPLPPPPPPLGPGSSLVSFASVTSAVPGTKSWTLGTTHHEKIYAQLESWINLYSKVWDVHRLDSHKYDKLVRNTYFTAADNMEKVYPGPKPSVAIWGLAPISESVASLHNFMNSGRNRERFTQIEQIKESIPKLLAAVDNEHPQQYQSAMLLFARQAQFASHVMEAHTEVDGALIRSNKLFEAVMSDMLKDFAEVSPAVGAIVGNVEGCNGPPRHSEASLSELRSVARELREVLGQMNAM
+>sp|Q9BWT7|CAR10_HUMAN Caspase recruitment domain-containing protein 10 OS=Homo sapiens OX=9606 GN=CARD10 PE=1 SV=2
+MPGRAEAGEAEEEAGAGSGSEAEEDALWERIEGVRHRLARALNPAKLTPYLRQCRVIDEQDEEEVLSTYRFPCRVNRTGRLMDILRCRGKRGYEAFLEALEFYYPEHFTLLTGQEPAQRCSMILDEEGPEGLTQFLMTEVRRLREARKSQLQREQQLQARGRVLEEERAGLEQRLRDQQQAQERCQRLREDWEAGSLELLRLKDENYMIAMRLAQLSEEKNSAVLRSRDLQLAVDQLKLKVSRLEEECALLRRARGPPPGAEEKEKEKEKEKEPDNVDLVSELRAENQRLTASLRELQEGLQQEASRPGAPGSERILLDILEHDWREAQDSRQELCQKLHAVQGELQWAEELRDQYLQEMEDLRLKHRTLQKDCDLYKHRMATVLAQLEEIEKERDQAIQSRDRIQLQYSQSLIEKDQYRKQVRGLEAERDELLTTLTSLEGTKALLEVQLQRAQGGTCLKACASSHSLCSNLSSTWSLSEFPSPLGGPEATGEAAVMGGPEPHNSEEATDSEKEINRLSILPFPPSAGSILRRQREEDPAPPKRSFSSMSDITGSVTLKPWSPGLSSSSSSDSVWPLGKPEGLLARGCGLDFLNRSLAIRVSGRSPPGGPEPQDKGPDGLSFYGDRWSGAVVRRVLSGPGSARMEPREQRVEAAGLEGACLEAEAQQRTLLWNQGSTLPSLMDSKACQSFHEALEAWAKGPGAEPFYIRANLTLPERADPHALCVKAQEILRLVDSAYKRRQEWFCTRVDPLTLRDLDRGTVPNYQRAQQLLEVQEKCLPSSRHRGPRSNLKKRALDQLRLVRPKPVGAPAGDSPDQLLLEPCAEPERSLRPYSLVRPLLVSALRPVVLLPECLAPRLIRNLLDLPSSRLDFQVCPAESLSGEELCPSSAPGAPKAQPATPGLGSRIRAIQESVGKKHCLLELGARGVRELVQNEIYPIVIHVEVTEKNVREVRGLLGRPGWRDSELLRQCRGSEQVLWGLPCSWVQVPAHEWGHAEELAKVVRGRILQEQARLVWVECGSSRGCPSSSEA
+>DECOY_sp|Q9BWT7|CAR10_HUMAN Caspase recruitment domain-containing protein 10 OS=Homo sapiens OX=9606 GN=CARD10 PE=1 SV=2
+AESSSPCGRSSGCEVWVLRAQEQLIRGRVVKALEEAHGWEHAPVQVWSCPLGWLVQESGRCQRLLESDRWGPRGLLGRVERVNKETVEVHIVIPYIENQVLERVGRAGLELLCHKKGVSEQIARIRSGLGPTAPQAKPAGPASSPCLEEGSLSEAPCVQFDLRSSPLDLLNRILRPALCEPLLVVPRLASVLLPRVLSYPRLSREPEACPELLLQDPSDGAPAGVPKPRVLRLQDLARKKLNSRPGRHRSSPLCKEQVELLQQARQYNPVTGRDLDRLTLPDVRTCFWEQRRKYASDVLRLIEQAKVCLAHPDAREPLTLNARIYFPEAGPGKAWAELAEHFSQCAKSDMLSPLTSGQNWLLTRQQAEAELCAGELGAAEVRQERPEMRASGPGSLVRRVVAGSWRDGYFSLGDPGKDQPEPGGPPSRGSVRIALSRNLFDLGCGRALLGEPKGLPWVSDSSSSSSLGPSWPKLTVSGTIDSMSSFSRKPPAPDEERQRRLISGASPPFPLISLRNIEKESDTAEESNHPEPGGMVAAEGTAEPGGLPSPFESLSWTSSLNSCLSHSSACAKLCTGGQARQLQVELLAKTGELSTLTTLLEDREAELGRVQKRYQDKEILSQSYQLQIRDRSQIAQDREKEIEELQALVTAMRHKYLDCDKQLTRHKLRLDEMEQLYQDRLEEAWQLEGQVAHLKQCLEQRSDQAERWDHELIDLLIRESGPAGPRSAEQQLGEQLERLSATLRQNEARLESVLDVNDPEKEKEKEKEKEEAGPPPGRARRLLACEEELRSVKLKLQDVALQLDRSRLVASNKEESLQALRMAIMYNEDKLRLLELSGAEWDERLRQCREQAQQQDRLRQELGAREEELVRGRAQLQQERQLQSKRAERLRRVETMLFQTLGEPGEEDLIMSCRQAPEQGTLLTFHEPYYFELAELFAEYGRKGRCRLIDMLRGTRNVRCPFRYTSLVEEEDQEDIVRCQRLYPTLKAPNLARALRHRVGEIREWLADEEAESGSGAGAEEEAEGAEARGPM
+>sp|Q5EG05|CAR16_HUMAN Caspase recruitment domain-containing protein 16 OS=Homo sapiens OX=9606 GN=CARD16 PE=1 SV=1
+MADKVLKEKRKLFIHSMGEGTINGLLDELLQTRVLNQEEMEKVKRENATVMDKTRALIDSVIPKGAQACQICITYICEEDSYLAETLGLSAALQAVQDNPAMPTCSSPEGRIKLCFLEDAQRIWKQKLQRCHVQNTIIKWSERYTSGSFEMQWLFLRTNFIERFWRNILLLPLHKGSLYPRIPGLGKELQTGTHKLS
+>DECOY_sp|Q5EG05|CAR16_HUMAN Caspase recruitment domain-containing protein 16 OS=Homo sapiens OX=9606 GN=CARD16 PE=1 SV=1
+SLKHTGTQLEKGLGPIRPYLSGKHLPLLLINRWFREIFNTRLFLWQMEFSGSTYRESWKIITNQVHCRQLKQKWIRQADELFCLKIRGEPSSCTPMAPNDQVAQLAASLGLTEALYSDEECIYTICIQCAQAGKPIVSDILARTKDMVTANERKVKEMEEQNLVRTQLLEDLLGNITGEGMSHIFLKRKEKLVKDAM
+>sp|Q86X55|CARM1_HUMAN Histone-arginine methyltransferase CARM1 OS=Homo sapiens OX=9606 GN=CARM1 PE=1 SV=3
+MAAAAAAVGPGAGGAGSAVPGGAGPCATVSVFPGARLLTIGDANGEIQRHAEQQALRLEVRAGPDSAGIALYSHEDVCVFKCSVSRETECSRVGKQSFIITLGCNSVLIQFATPNDFCSFYNILKTCRGHTLERSVFSERTEESSAVQYFQFYGYLSQQQNMMQDYVRTGTYQRAILQNHTDFKDKIVLDVGCGSGILSFFAAQAGARKIYAVEASTMAQHAEVLVKSNNLTDRIVVIPGKVEEVSLPEQVDIIISEPMGYMLFNERMLESYLHAKKYLKPSGNMFPTIGDVHLAPFTDEQLYMEQFTKANFWYQPSFHGVDLSALRGAAVDEYFRQPVVDTFDIRILMAKSVKYTVNFLEAKEGDLHRIEIPFKFHMLHSGLVHGLAFWFDVAFIGSIMTVWLSTAPTEPLTHWYQVRCLFQSPLFAKAGDTLSGTCLLIANKRQSYDISIVAQVDQTGSKSSNLLDLKNPFFRYTGTTPSPPPGSHYTSPSENMWNTGSTYNLSSGMAVAGMPTAYDLSSVIASGSSVGHNNLIPLANTGIVNHTHSRMGSIMSTGIVQGSSGAQGSGGGSTSAHYAVNSQFTMGGPAISMASPMSIPTNTMHYGS
+>DECOY_sp|Q86X55|CARM1_HUMAN Histone-arginine methyltransferase CARM1 OS=Homo sapiens OX=9606 GN=CARM1 PE=1 SV=3
+SGYHMTNTPISMPSAMSIAPGGMTFQSNVAYHASTSGGGSGQAGSSGQVIGTSMISGMRSHTHNVIGTNALPILNNHGVSSGSAIVSSLDYATPMGAVAMGSSLNYTSGTNWMNESPSTYHSGPPPSPTTGTYRFFPNKLDLLNSSKSGTQDVQAVISIDYSQRKNAILLCTGSLTDGAKAFLPSQFLCRVQYWHTLPETPATSLWVTMISGIFAVDFWFALGHVLGSHLMHFKFPIEIRHLDGEKAELFNVTYKVSKAMLIRIDFTDVVPQRFYEDVAAGRLASLDVGHFSPQYWFNAKTFQEMYLQEDTFPALHVDGITPFMNGSPKLYKKAHLYSELMRENFLMYGMPESIIIDVQEPLSVEEVKGPIVVIRDTLNNSKVLVEAHQAMTSAEVAYIKRAGAQAAFFSLIGSGCGVDLVIKDKFDTHNQLIARQYTGTRVYDQMMNQQQSLYGYFQFYQVASSEETRESFVSRELTHGRCTKLINYFSCFDNPTAFQILVSNCGLTIIFSQKGVRSCETERSVSCKFVCVDEHSYLAIGASDPGARVELRLAQQEAHRQIEGNADGITLLRAGPFVSVTACPGAGGPVASGAGGAGPGVAAAAAAM
+>sp|P47710|CASA1_HUMAN Alpha-S1-casein OS=Homo sapiens OX=9606 GN=CSN1S1 PE=1 SV=1
+MRLLILTCLVAVALARPKLPLRYPERLQNPSESSEPIPLESREEYMNGMNRQRNILREKQTDEIKDTRNESTQNCVVAEPEKMESSISSSSEEMSLSKCAEQFCRLNEYNQLQLQAAHAQEQIRRMNENSHVQVPFQQLNQLAAYPYAVWYYPQIMQYVPFPPFSDISNPTAHENYEKNNVMLQW
+>DECOY_sp|P47710|CASA1_HUMAN Alpha-S1-casein OS=Homo sapiens OX=9606 GN=CSN1S1 PE=1 SV=1
+WQLMVNNKEYNEHATPNSIDSFPPFPVYQMIQPYYWVAYPYAALQNLQQFPVQVHSNENMRRIQEQAHAAQLQLQNYENLRCFQEACKSLSMEESSSSISSEMKEPEAVVCNQTSENRTDKIEDTQKERLINRQRNMGNMYEERSELPIPESSESPNQLREPYRLPLKPRALAVAVLCTLILLRM
+>sp|P05814|CASB_HUMAN Beta-casein OS=Homo sapiens OX=9606 GN=CSN2 PE=1 SV=4
+MKVLILACLVALALARETIESLSSSEESITEYKQKVEKVKHEDQQQGEDEHQDKIYPSFQPQPLIYPFVEPIPYGFLPQNILPLAQPAVVLPVPQPEIMEVPKAKDTVYTKGRVMPVLKSPTIPFFDPQIPKLTDLENLHLPLPLLQPLMQQVPQPIPQTLALPPQPLWSVPQPKVLPIPQQVVPYPQRAVPVQALLLNQELLLNPTHQIYPVTQPLAPVHNPISV
+>DECOY_sp|P05814|CASB_HUMAN Beta-casein OS=Homo sapiens OX=9606 GN=CSN2 PE=1 SV=4
+VSIPNHVPALPQTVPYIQHTPNLLLEQNLLLAQVPVARQPYPVVQQPIPLVKPQPVSWLPQPPLALTQPIPQPVQQMLPQLLPLPLHLNELDTLKPIQPDFFPITPSKLVPMVRGKTYVTDKAKPVEMIEPQPVPLVVAPQALPLINQPLFGYPIPEVFPYILPQPQFSPYIKDQHEDEGQQQDEHKVKEVKQKYETISEESSSLSEITERALALAVLCALILVKM
+>sp|P51813|BMX_HUMAN Cytoplasmic tyrosine-protein kinase BMX OS=Homo sapiens OX=9606 GN=BMX PE=1 SV=1
+MDTKSILEELLLKRSQQKKKMSPNNYKERLFVLTKTNLSYYEYDKMKRGSRKGSIEIKKIRCVEKVNLEEQTPVERQYPFQIVYKDGLLYVYASNEESRSQWLKALQKEIRGNPHLLVKYHSGFFVDGKFLCCQQSCKAAPGCTLWEAYANLHTAVNEEKHRVPTFPDRVLKIPRAVPVLKMDAPSSSTTLAQYDNESKKNYGSQPPSSSTSLAQYDSNSKKIYGSQPNFNMQYIPREDFPDWWQVRKLKSSSSSEDVASSNQKERNVNHTTSKISWEFPESSSSEEEENLDDYDWFAGNISRSQSEQLLRQKGKEGAFMVRNSSQVGMYTVSLFSKAVNDKKGTVKHYHVHTNAENKLYLAENYCFDSIPKLIHYHQHNSAGMITRLRHPVSTKANKVPDSVSLGNGIWELKREEITLLKELGSGQFGVVQLGKWKGQYDVAVKMIKEGSMSEDEFFQEAQTMMKLSHPKLVKFYGVCSKEYPIYIVTEYISNGCLLNYLRSHGKGLEPSQLLEMCYDVCEGMAFLESHQFIHRDLAARNCLVDRDLCVKVSDFGMTRYVLDDQYVSSVGTKFPVKWSAPEVFHYFKYSSKSDVWAFGILMWEVFSLGKQPYDLYDNSQVVLKVSQGHRLYRPHLASDTIYQIMYSCWHELPEKRPTFQQLLSSIEPLREKDKH
+>DECOY_sp|P51813|BMX_HUMAN Cytoplasmic tyrosine-protein kinase BMX OS=Homo sapiens OX=9606 GN=BMX PE=1 SV=1
+HKDKERLPEISSLLQQFTPRKEPLEHWCSYMIQYITDSALHPRYLRHGQSVKLVVQSNDYLDYPQKGLSFVEWMLIGFAWVDSKSSYKFYHFVEPASWKVPFKTGVSSVYQDDLVYRTMGFDSVKVCLDRDVLCNRAALDRHIFQHSELFAMGECVDYCMELLQSPELGKGHSRLYNLLCGNSIYETVIYIPYEKSCVGYFKVLKPHSLKMMTQAEQFFEDESMSGEKIMKVAVDYQGKWKGLQVVGFQGSGLEKLLTIEERKLEWIGNGLSVSDPVKNAKTSVPHRLRTIMGASNHQHYHILKPISDFCYNEALYLKNEANTHVHYHKVTGKKDNVAKSFLSVTYMGVQSSNRVMFAGEKGKQRLLQESQSRSINGAFWDYDDLNEEEESSSSEPFEWSIKSTTHNVNREKQNSSAVDESSSSSKLKRVQWWDPFDERPIYQMNFNPQSGYIKKSNSDYQALSTSSSPPQSGYNKKSENDYQALTTSSSPADMKLVPVARPIKLVRDPFTPVRHKEENVATHLNAYAEWLTCGPAAKCSQQCCLFKGDVFFGSHYKVLLHPNGRIEKQLAKLWQSRSEENSAYVYLLGDKYVIQFPYQREVPTQEELNVKEVCRIKKIEISGKRSGRKMKDYEYYSLNTKTLVFLREKYNNPSMKKKQQSRKLLLEELISKTDM
+>sp|Q6ZN30|BNC2_HUMAN Zinc finger protein basonuclin-2 OS=Homo sapiens OX=9606 GN=BNC2 PE=1 SV=1
+MAHLGPTPPPHSLNYKSEDRLSEQDWPAYFKVPCCGVDTSQIESEEAEVDVRERETQRDREPKRARDLTLRDSCTDNSMQFGTRTTTAEPGFMGTWQNADTNLLFRMSQQAIRCTLVNCTCECFQPGKINLRTCDQCKHGWVAHALDKLSTQHLYHPTQVEIVQSNVVFDISSLMLYGTQAVPVRLKILLDRLFSVLKQEEVLHILHGLGWTLRDYVRGYILQDAAGKVLDRWAIMSREEEIITLQQFLRFGETKSIVELMAIQEKEGQAVAVPSSKTDSDIRTFIESNNRTRSPSLLAHLENSNPSSIHHFENIPNSLAFLLPFQYINPVSAPLLGLPPNGLLLEQPGLRLREPSLSTQNEYNESSESEVSPTPYKNDQTPNRNALTSITNVEPKTEPACVSPIQNSAPVSDLTKTEHPKSSFRIHRMRRMGSASRKGRVFCNACGKTFYDKGTLKIHYNAVHLKIKHRCTIEGCNMVFSSLRSRNRHSANPNPRLHMPMLRNNRDKDLIRATSGAATPVIASTKSNLALTSPGRPPMGFTTPPLDPVLQNPLPSQLVFSGLKTVQPVPPFYRSLLTPGEMVSPPTSLPTSPIIPTSGTIEQHPPPPSEPVVPAVMMATHEPSADLAPKKKPRKSSMPVKIEKEIIDTADEFDDEDDDPNDGGAVVNDMSHDNHCHSQEEMSPGMSVKDFSKHNRTRCISRTEIRRADSMTSEDQEPERDYENESESSEPKLGEESMEGDEHIHSEVSEKVLMNSERPDENHSEPSHQDVIKVKEEFTDPTYDMFYMSQYGLYNGGGASMAALHESFTSSLNYGSPQKFSPEGDLCSSPDPKICYVCKKSFKSSYSVKLHYRNVHLKEMHVCTVAGCNAAFPSRRSRDRHSANINLHRKLLTKELDDMGLDSSQPSLSKDLRDEFLVKIYGAQHPMGLDVREDASSPAGTEDSHLNGYGRGMAEDYMVLDLSTTSSLQSSSSIHSSRESDAGSDEGILLDDIDGASDSGESAHKAEAPALPGSLGAEVSGSLMFSSLSGSNGGIMCNICHKMYSNKGTLRVHYKTVHLREMHKCKVPGCNMMFSSVRSRNRHSQNPNLHKNIPFTSVD
+>DECOY_sp|Q6ZN30|BNC2_HUMAN Zinc finger protein basonuclin-2 OS=Homo sapiens OX=9606 GN=BNC2 PE=1 SV=1
+DVSTFPINKHLNPNQSHRNRSRVSSFMMNCGPVKCKHMERLHVTKYHVRLTGKNSYMKHCINCMIGGNSGSLSSFMLSGSVEAGLSGPLAPAEAKHASEGSDSAGDIDDLLIGEDSGADSERSSHISSSSQLSSTTSLDLVMYDEAMGRGYGNLHSDETGAPSSADERVDLGMPHQAGYIKVLFEDRLDKSLSPQSSDLGMDDLEKTLLKRHLNINASHRDRSRRSPFAANCGAVTCVHMEKLHVNRYHLKVSYSSKFSKKCVYCIKPDPSSCLDGEPSFKQPSGYNLSSTFSEHLAAMSAGGGNYLGYQSMYFMDYTPDTFEEKVKIVDQHSPESHNEDPRESNMLVKESVESHIHEDGEMSEEGLKPESSESENEYDREPEQDESTMSDARRIETRSICRTRNHKSFDKVSMGPSMEEQSHCHNDHSMDNVVAGGDNPDDDEDDFEDATDIIEKEIKVPMSSKRPKKKPALDASPEHTAMMVAPVVPESPPPPHQEITGSTPIIPSTPLSTPPSVMEGPTLLSRYFPPVPQVTKLGSFVLQSPLPNQLVPDLPPTTFGMPPRGPSTLALNSKTSAIVPTAAGSTARILDKDRNNRLMPMHLRPNPNASHRNRSRLSSFVMNCGEITCRHKIKLHVANYHIKLTGKDYFTKGCANCFVRGKRSASGMRRMRHIRFSSKPHETKTLDSVPASNQIPSVCAPETKPEVNTISTLANRNPTQDNKYPTPSVESESSENYENQTSLSPERLRLGPQELLLGNPPLGLLPASVPNIYQFPLLFALSNPINEFHHISSPNSNELHALLSPSRTRNNSEIFTRIDSDTKSSPVAVAQGEKEQIAMLEVISKTEGFRLFQQLTIIEEERSMIAWRDLVKGAADQLIYGRVYDRLTWGLGHLIHLVEEQKLVSFLRDLLIKLRVPVAQTGYLMLSSIDFVVNSQVIEVQTPHYLHQTSLKDLAHAVWGHKCQDCTRLNIKGPQFCECTCNVLTCRIAQQSMRFLLNTDANQWTGMFGPEATTTRTGFQMSNDTCSDRLTLDRARKPERDRQTERERVDVEAEESEIQSTDVGCCPVKFYAPWDQESLRDESKYNLSHPPPTPGLHAM
+>sp|Q12983|BNIP3_HUMAN BCL2/adenovirus E1B 19 kDa protein-interacting protein 3 OS=Homo sapiens OX=9606 GN=BNIP3 PE=1 SV=3
+MGDAAADPPGPALPCEFLRPGCGAPLSPGAQLGRGAPTSAFPPPAAEAHPAARRGLRSPQLPSGAMSQNGAPGMQEESLQGSWVELHFSNNGNGGSVPASVSIYNGDMEKILLDAQHESGRSSSKSSHCDSPPRSQTPQDTNRASETDTHSIGEKNSSQSEEDDIERRKEVESILKKNSDWIWDWSSRPENIPPKEFLFKHPKRTATLSMRNTSVMKKGGIFSAEFLKVFLPSLLLSHLLAIGLGIYIGRRLTTSTSTF
+>DECOY_sp|Q12983|BNIP3_HUMAN BCL2/adenovirus E1B 19 kDa protein-interacting protein 3 OS=Homo sapiens OX=9606 GN=BNIP3 PE=1 SV=3
+FTSTSTTLRRGIYIGLGIALLHSLLLSPLFVKLFEASFIGGKKMVSTNRMSLTATRKPHKFLFEKPPINEPRSSWDWIWDSNKKLISEVEKRREIDDEESQSSNKEGISHTDTESARNTDQPTQSRPPSDCHSSKSSSRGSEHQADLLIKEMDGNYISVSAPVSGGNGNNSFHLEVWSGQLSEEQMGPAGNQSMAGSPLQPSRLGRRAAPHAEAAPPPFASTPAGRGLQAGPSLPAGCGPRLFECPLAPGPPDAAADGM
+>sp|Q96FH0|BORC8_HUMAN BLOC-1-related complex subunit 8 OS=Homo sapiens OX=9606 GN=BORCS8 PE=1 SV=1
+MEEPEMQLKGKKVTDKFTESVYVLANEPSVALYRLQEHVRRSLPELAQHKADMQRWEEQSQGAIYTVEYACSAVKNLVDSSVYFRSVEGLLKQAISIRDHMNASAQGHSPEEPPPPSSA
+>DECOY_sp|Q96FH0|BORC8_HUMAN BLOC-1-related complex subunit 8 OS=Homo sapiens OX=9606 GN=BORCS8 PE=1 SV=1
+ASSPPPPEEPSHGQASANMHDRISIAQKLLGEVSRFYVSSDVLNKVASCAYEVTYIAGQSQEEWRQMDAKHQALEPLSRRVHEQLRYLAVSPENALVYVSETFKDTVKKGKLQMEPEEM
+>sp|Q53HL2|BOREA_HUMAN Borealin OS=Homo sapiens OX=9606 GN=CDCA8 PE=1 SV=2
+MAPRKGSSRVAKTNSLRRRKLASFLKDFDREVEIRIKQIESDRQNLLKEVDNLYNIEILRLPKALREMNWLDYFALGGNKQALEEAATADLDITEINKLTAEAIQTPLKSAKTRKVIQVDEMIVEEEEEEENERKNLQTARVKRCPPSKKRTQSIQGKGKGKRSSRANTVTPAVGRLEVSMVKPTPGLTPRFDSRVFKTPGLRTPAAGERIYNISGNGSPLADSKEIFLTVPVGGGESLRLLASDLQRHSIAQLDPEALGNIKKLSNRLAQICSSIRTHK
+>DECOY_sp|Q53HL2|BOREA_HUMAN Borealin OS=Homo sapiens OX=9606 GN=CDCA8 PE=1 SV=2
+KHTRISSCIQALRNSLKKINGLAEPDLQAISHRQLDSALLRLSEGGGVPVTLFIEKSDALPSGNGSINYIREGAAPTRLGPTKFVRSDFRPTLGPTPKVMSVELRGVAPTVTNARSSRKGKGKGQISQTRKKSPPCRKVRATQLNKRENEEEEEEEVIMEDVQIVKRTKASKLPTQIAEATLKNIETIDLDATAAEELAQKNGGLAFYDLWNMERLAKPLRLIEINYLNDVEKLLNQRDSEIQKIRIEVERDFDKLFSALKRRRLSNTKAVRSSGKRPAM
+>sp|Q00587|BORG5_HUMAN Cdc42 effector protein 1 OS=Homo sapiens OX=9606 GN=CDC42EP1 PE=1 SV=1
+MPGPQGGRGAATMSLGKLSPVGWVSSSQGKRRLTADMISHPLGDFRHTMHVGRGGDVFGDTSFLSNHGGSSGSTHRSPRSFLAKKLQLVRRVGAPPRRMASPPAPSPAPPAISPIIKNAISLPQLNQAAYDSLVVGKLSFDSSPTSSTDGHSSYGLDSGFCTISRLPRSEKPHDRDRDGSFPSEPGLRRSDSLLSFRLDLDLGPSLLSELLGVMSLPEAPAAETPAPAANPPAPTANPTGPAANPPATTANPPAPAANPSAPAATPTGPAANPPAPAASSTPHGHCPNGVTAGLGPVAEVKSSPVGGGPRGPAGPALGRHWGAGWDGGHHYPEMDARQERVEVLPQARASWESLDEEWRAPQAGSRTPVPSTVQANTFEFADAEEDDEVKV
+>DECOY_sp|Q00587|BORG5_HUMAN Cdc42 effector protein 1 OS=Homo sapiens OX=9606 GN=CDC42EP1 PE=1 SV=1
+VKVEDDEEADAFEFTNAQVTSPVPTRSGAQPARWEEDLSEWSARAQPLVEVREQRADMEPYHHGGDWGAGWHRGLAPGAPGRPGGGVPSSKVEAVPGLGATVGNPCHGHPTSSAAPAPPNAAPGTPTAAPASPNAAPAPPNATTAPPNAAPGTPNATPAPPNAAPAPTEAAPAEPLSMVGLLESLLSPGLDLDLRFSLLSDSRRLGPESPFSGDRDRDHPKESRPLRSITCFGSDLGYSSHGDTSSTPSSDFSLKGVVLSDYAAQNLQPLSIANKIIPSIAPPAPSPAPPSAMRRPPAGVRRVLQLKKALFSRPSRHTSGSSGGHNSLFSTDGFVDGGRGVHMTHRFDGLPHSIMDATLRRKGQSSSVWGVPSLKGLSMTAAGRGGQPGPM
+>sp|Q86WA6|BPHL_HUMAN Valacyclovir hydrolase OS=Homo sapiens OX=9606 GN=BPHL PE=1 SV=1
+MVAVLGGRGVLRLRLLLSALKPGIHVPRAGPAAAFGTSVTSAKVAVNGVQLHYQQTGEGDHAVLLLPGMLGSGETDFGPQLKNLNKKLFTVVAWDPRGYGHSRPPDRDFPADFFERDAKDAVDLMKALKFKKVSLLGWSDGGITALIAAAKYPSYIHKMVIWGANAYVTDEDSMIYEGIRDVSKWSERTRKPLEALYGYDYFARTCEKWVDGIRQFKHLPDGNICRHLLPRVQCPALIVHGEKDPLVPRFHADFIHKHVKGSRLHLMPEGKHNLHLRFADEFNKLAEDFLQ
+>DECOY_sp|Q86WA6|BPHL_HUMAN Valacyclovir hydrolase OS=Homo sapiens OX=9606 GN=BPHL PE=1 SV=1
+QLFDEALKNFEDAFRLHLNHKGEPMLHLRSGKVHKHIFDAHFRPVLPDKEGHVILAPCQVRPLLHRCINGDPLHKFQRIGDVWKECTRAFYDYGYLAELPKRTRESWKSVDRIGEYIMSDEDTVYANAGWIVMKHIYSPYKAAAILATIGGDSWGLLSVKKFKLAKMLDVADKADREFFDAPFDRDPPRSHGYGRPDWAVVTFLKKNLNKLQPGFDTEGSGLMGPLLLVAHDGEGTQQYHLQVGNVAVKASTVSTGFAAAPGARPVHIGPKLASLLLRLRLVGRGGLVAVM
+>sp|Q9BQP9|BPIA3_HUMAN BPI fold-containing family A member 3 OS=Homo sapiens OX=9606 GN=BPIFA3 PE=2 SV=3
+MMCPLWRLLIFLGLLALPLAPHKQPWPGLAQAHRDNKSTLARIIAQGLIKHNAESRIQNIHFGDRLNASAQVAPGLVGWLISGRKHQQQQESSINITNIQLDCGGIQISFHKEWFSANISLEFDLELRPSFDNNIVKMCAHMSIVVEFWLEKDEFGRRDLVIGKCDAEPSSVHVAILTEAIPPKMNQFLYNLKENLQKVLPHMVESQVCPLIGEILGQLDVKLLKSLIEQEAAHEPTHHETSQPSACQAGESPS
+>DECOY_sp|Q9BQP9|BPIA3_HUMAN BPI fold-containing family A member 3 OS=Homo sapiens OX=9606 GN=BPIFA3 PE=2 SV=3
+SPSEGAQCASPQSTEHHTPEHAAEQEILSKLLKVDLQGLIEGILPCVQSEVMHPLVKQLNEKLNYLFQNMKPPIAETLIAVHVSSPEADCKGIVLDRRGFEDKELWFEVVISMHACMKVINNDFSPRLELDFELSINASFWEKHFSIQIGGCDLQINTINISSEQQQQHKRGSILWGVLGPAVQASANLRDGFHINQIRSEANHKILGQAIIRALTSKNDRHAQALGPWPQKHPALPLALLGLFILLRWLPCMM
+>sp|Q8TDL5|BPIB1_HUMAN BPI fold-containing family B member 1 OS=Homo sapiens OX=9606 GN=BPIFB1 PE=1 SV=1
+MAGPWTFTLLCGLLAATLIQATLSPTAVLILGPKVIKEKLTQELKDHNATSILQQLPLLSAMREKPAGGIPVLGSLVNTVLKHIIWLKVITANILQLQVKPSANDQELLVKIPLDMVAGFNTPLVKTIVEFHMTTEAQATIRMDTSASGPTRLVLSDCATSHGSLRIQLLHKLSFLVNALAKQVMNLLVPSLPNLVKNQLCPVIEASFNGMYADLLQLVKVPISLSIDRLEFDLLYPAIKGDTIQLYLGAKLLDSQGKVTKWFNNSAASLTMPTLDNIPFSLIVSQDVVKAAVAAVLSPEEFMVLLDSVLPESAHRLKSSIGLINEKAADKLGSTQIVKILTQDTPEFFIDQGHAKVAQLIVLEVFPSSEALRPLFTLGIEASSEAQFYTKGDQLILNLNNISSDRIQLMNSGIGWFQPDVLKNIITEIIHSILLPNQNGKLRSGVPVSLVKALGFEAAESSLTKDALVLTPASLWKPSSPVSQ
+>DECOY_sp|Q8TDL5|BPIB1_HUMAN BPI fold-containing family B member 1 OS=Homo sapiens OX=9606 GN=BPIFB1 PE=1 SV=1
+QSVPSSPKWLSAPTLVLADKTLSSEAAEFGLAKVLSVPVGSRLKGNQNPLLISHIIETIINKLVDPQFWGIGSNMLQIRDSSINNLNLILQDGKTYFQAESSAEIGLTFLPRLAESSPFVELVILQAVKAHGQDIFFEPTDQTLIKVIQTSGLKDAAKENILGISSKLRHASEPLVSDLLVMFEEPSLVAAVAAKVVDQSVILSFPINDLTPMTLSAASNNFWKTVKGQSDLLKAGLYLQITDGKIAPYLLDFELRDISLSIPVKVLQLLDAYMGNFSAEIVPCLQNKVLNPLSPVLLNMVQKALANVLFSLKHLLQIRLSGHSTACDSLVLRTPGSASTDMRITAQAETTMHFEVITKVLPTNFGAVMDLPIKVLLEQDNASPKVQLQLINATIVKLWIIHKLVTNVLSGLVPIGGAPKERMASLLPLQQLISTANHDKLEQTLKEKIVKPGLILVATPSLTAQILTAALLGCLLTFTWPGAM
+>sp|Q8NFQ6|BPIFC_HUMAN BPI fold-containing family C protein OS=Homo sapiens OX=9606 GN=BPIFC PE=2 SV=1
+MCTKTIPVLWGCFLLWNLYVSSSQTIYPGIKARITQRALDYGVQAGMKMIEQMLKEKKLPDLSGSESLEFLKVDYVNYNFSNIKISAFSFPNTSLAFVPGVGIKALTNHGTANISTDWGFESPLFQDTGGADLFLSGVYFTGIIILTRNDFGHPTLKLQDCYAQLSHAHVSFSGELSVLYNSFAEPMEKPILKNLNEMLCPIIASEVKALNANLSTLEVLTKIDNYTLLDYSLISSPEITENYLDLNLKGVFYPLENLTDPPFSPVPFVLPERSNSMLYIGIAEYFFKSASFAHFTAGVFNVTLSTEEISNHFVQNSQGLGNVLSRIAEIYILSQPFMVRIMATEPPIINLQPGNFTLDIPASIMMLTQPKNSTVETIVSMDFVASTSVGLVILGQRLVCSLSLNRFRLALPESNRSNIEVLRFENILSSILHFGVLPLANAKLQQGFPLSNPHKFLFVNSDIEVLEGFLLISTDLKYETSSKQQPSFHVWEGLNLISRQWRGKSAP
+>DECOY_sp|Q8NFQ6|BPIFC_HUMAN BPI fold-containing family C protein OS=Homo sapiens OX=9606 GN=BPIFC PE=2 SV=1
+PASKGRWQRSILNLGEWVHFSPQQKSSTEYKLDTSILLFGELVEIDSNVFLFKHPNSLPFGQQLKANALPLVGFHLISSLINEFRLVEINSRNSEPLALRFRNLSLSCVLRQGLIVLGVSTSAVFDMSVITEVTSNKPQTLMMISAPIDLTFNGPQLNIIPPETAMIRVMFPQSLIYIEAIRSLVNGLGQSNQVFHNSIEETSLTVNFVGATFHAFSASKFFYEAIGIYLMSNSREPLVFPVPSFPPDTLNELPYFVGKLNLDLYNETIEPSSILSYDLLTYNDIKTLVELTSLNANLAKVESAIIPCLMENLNKLIPKEMPEAFSNYLVSLEGSFSVHAHSLQAYCDQLKLTPHGFDNRTLIIIGTFYVGSLFLDAGGTDQFLPSEFGWDTSINATGHNTLAKIGVGPVFALSTNPFSFASIKINSFNYNVYDVKLFELSESGSLDPLKKEKLMQEIMKMGAQVGYDLARQTIRAKIGPYITQSSSVYLNWLLFCGWLVPITKTCM
+>sp|P17213|BPI_HUMAN Bactericidal permeability-increasing protein OS=Homo sapiens OX=9606 GN=BPI PE=1 SV=4
+MRENMARGPCNAPRWASLMVLVAIGTAVTAAVNPGVVVRISQKGLDYASQQGTAALQKELKRIKIPDYSDSFKIKHLGKGHYSFYSMDIREFQLPSSQISMVPNVGLKFSISNANIKISGKWKAQKRFLKMSGNFDLSIEGMSISADLKLGSNPTSGKPTITCSSCSSHINSVHVHISKSKVGWLIQLFHKKIESALRNKMNSQVCEKVTNSVSSELQPYFQTLPVMTKIDSVAGINYGLVAPPATTAETLDVQMKGEFYSENHHNPPPFAPPVMEFPAAHDRMVYLGLSDYFFNTAGLVYQEAGVLKMTLRDDMIPKESKFRLTTKFFGTFLPEVAKKFPNMKIQIHVSASTPPHLSVQPTGLTFYPAVDVQAFAVLPNSSLASLFLIGMHTTGSMEVSAESNRLVGELKLDRLLLELKHSNIGPFPVELLQDIMNYIVPILVLPRVNEKLQKGFPLPTPARVQLYNVVLQPHQNFLLFGADVVYK
+>DECOY_sp|P17213|BPI_HUMAN Bactericidal permeability-increasing protein OS=Homo sapiens OX=9606 GN=BPI PE=1 SV=4
+KYVVDAGFLLFNQHPQLVVNYLQVRAPTPLPFGKQLKENVRPLVLIPVIYNMIDQLLEVPFPGINSHKLELLLRDLKLEGVLRNSEASVEMSGTTHMGILFLSALSSNPLVAFAQVDVAPYFTLGTPQVSLHPPTSASVHIQIKMNPFKKAVEPLFTGFFKTTLRFKSEKPIMDDRLTMKLVGAEQYVLGATNFFYDSLGLYVMRDHAAPFEMVPPAFPPPNHHNESYFEGKMQVDLTEATTAPPAVLGYNIGAVSDIKTMVPLTQFYPQLESSVSNTVKECVQSNMKNRLASEIKKHFLQILWGVKSKSIHVHVSNIHSSCSSCTITPKGSTPNSGLKLDASISMGEISLDFNGSMKLFRKQAKWKGSIKINANSISFKLGVNPVMSIQSSPLQFERIDMSYFSYHGKGLHKIKFSDSYDPIKIRKLEKQLAATGQQSAYDLGKQSIRVVVGPNVAATVATGIAVLVMLSAWRPANCPGRAMNERM
+>sp|O60885|BRD4_HUMAN Bromodomain-containing protein 4 OS=Homo sapiens OX=9606 GN=BRD4 PE=1 SV=2
+MSAESGPGTRLRNLPVMGDGLETSQMSTTQAQAQPQPANAASTNPPPPETSNPNKPKRQTNQLQYLLRVVLKTLWKHQFAWPFQQPVDAVKLNLPDYYKIIKTPMDMGTIKKRLENNYYWNAQECIQDFNTMFTNCYIYNKPGDDIVLMAEALEKLFLQKINELPTEETEIMIVQAKGRGRGRKETGTAKPGVSTVPNTTQASTPPQTQTPQPNPPPVQATPHPFPAVTPDLIVQTPVMTVVPPQPLQTPPPVPPQPQPPPAPAPQPVQSHPPIIAATPQPVKTKKGVKRKADTTTPTTIDPIHEPPSLPPEPKTTKLGQRRESSRPVKPPKKDVPDSQQHPAPEKSSKVSEQLKCCSGILKEMFAKKHAAYAWPFYKPVDVEALGLHDYCDIIKHPMDMSTIKSKLEAREYRDAQEFGADVRLMFSNCYKYNPPDHEVVAMARKLQDVFEMRFAKMPDEPEEPVVAVSSPAVPPPTKVVAPPSSSDSSSDSSSDSDSSTDDSEEERAQRLAELQEQLKAVHEQLAALSQPQQNKPKKKEKDKKEKKKEKHKRKEEVEENKKSKAKEPPPKKTKKNNSSNSNVSKKEPAPMKSKPPPTYESEEEDKCKPMSYEEKRQLSLDINKLPGEKLGRVVHIIQSREPSLKNSNPDEIEIDFETLKPSTLRELERYVTSCLRKKRKPQAEKVDVIAGSSKMKGFSSSESESSSESSSSDSEDSETEMAPKSKKKGHPGREQKKHHHHHHQQMQQAPAPVPQQPPPPPQQPPPPPPPQQQQQPPPPPPPPSMPQQAAPAMKSSPPPFIATQVPVLEPQLPGSVFDPIGHFTQPILHLPQPELPPHLPQPPEHSTPPHLNQHAVVSPPALHNALPQQPSRPSNRAAALPPKPARPPAVSPALTQTPLLPQPPMAQPPQVLLEDEEPPAPPLTSMQMQLYLQQLQKVQPPTPLLPSVKVQSQPPPPLPPPPHPSVQQQLQQQPPPPPPPQPQPPPQQQHQPPPRPVHLQPMQFSTHIQQPPPPQGQQPPHPPPGQQPPPPQPAKPQQVIQHHHSPRHHKSDPYSTGHLREAPSPLMIHSPQMSQFQSLTHQSPPQQNVQPKKQELRAASVVQPQPLVVVKEEKIHSPIIRSEPFSPSLRPEPPKHPESIKAPVHLPQRPEMKPVDVGRPVIRPPEQNAPPPGAPDKDKQKQEPKTPVAPKKDLKIKNMGSWASLVQKHPTTPSSTAKSSSDSFEQFRRAAREKEEREKALKAQAEHAEKEKERLRQERMRSREDEDALEQARRAHEEARRRQEQQQQQRQEQQQQQQQQAAAVAAAATPQAQSSQPQSMLDQQRELARKREQERRRREAMAATIDMNFQSDLLSIFEENLF
+>DECOY_sp|O60885|BRD4_HUMAN Bromodomain-containing protein 4 OS=Homo sapiens OX=9606 GN=BRD4 PE=1 SV=2
+FLNEEFISLLDSQFNMDITAAMAERRRREQERKRALERQQDLMSQPQSSQAQPTAAAAVAAAQQQQQQQQEQRQQQQQEQRRRAEEHARRAQELADEDERSRMREQRLREKEKEAHEAQAKLAKEREEKERAARRFQEFSDSSSKATSSPTTPHKQVLSAWSGMNKIKLDKKPAVPTKPEQKQKDKDPAGPPPANQEPPRIVPRGVDVPKMEPRQPLHVPAKISEPHKPPEPRLSPSFPESRIIPSHIKEEKVVVLPQPQVVSAARLEQKKPQVNQQPPSQHTLSQFQSMQPSHIMLPSPAERLHGTSYPDSKHHRPSHHHQIVQQPKAPQPPPPQQGPPPHPPQQGQPPPPQQIHTSFQMPQLHVPRPPPQHQQQPPPQPQPPPPPPPQQQLQQQVSPHPPPPLPPPPQSQVKVSPLLPTPPQVKQLQQLYLQMQMSTLPPAPPEEDELLVQPPQAMPPQPLLPTQTLAPSVAPPRAPKPPLAAARNSPRSPQQPLANHLAPPSVVAHQNLHPPTSHEPPQPLHPPLEPQPLHLIPQTFHGIPDFVSGPLQPELVPVQTAIFPPPSSKMAPAAQQPMSPPPPPPPPQQQQQPPPPPPPQQPPPPPQQPVPAPAQQMQQHHHHHHKKQERGPHGKKKSKPAMETESDESDSSSSESSSESESSSFGKMKSSGAIVDVKEAQPKRKKRLCSTVYRELERLTSPKLTEFDIEIEDPNSNKLSPERSQIIHVVRGLKEGPLKNIDLSLQRKEEYSMPKCKDEEESEYTPPPKSKMPAPEKKSVNSNSSNNKKTKKPPPEKAKSKKNEEVEEKRKHKEKKKEKKDKEKKKPKNQQPQSLAALQEHVAKLQEQLEALRQAREEESDDTSSDSDSSSDSSSDSSSPPAVVKTPPPVAPSSVAVVPEEPEDPMKAFRMEFVDQLKRAMAVVEHDPPNYKYCNSFMLRVDAGFEQADRYERAELKSKITSMDMPHKIIDCYDHLGLAEVDVPKYFPWAYAAHKKAFMEKLIGSCCKLQESVKSSKEPAPHQQSDPVDKKPPKVPRSSERRQGLKTTKPEPPLSPPEHIPDITTPTTTDAKRKVGKKTKVPQPTAAIIPPHSQVPQPAPAPPPQPQPPVPPPTQLPQPPVVTMVPTQVILDPTVAPFPHPTAQVPPPNPQPTQTQPPTSAQTTNPVTSVGPKATGTEKRGRGRGKAQVIMIETEETPLENIKQLFLKELAEAMLVIDDGPKNYIYCNTFMTNFDQICEQANWYYNNELRKKITGMDMPTKIIKYYDPLNLKVADVPQQFPWAFQHKWLTKLVVRLLYQLQNTQRKPKNPNSTEPPPPNTSAANAPQPQAQAQTTSMQSTELGDGMVPLNRLRTGPGSEASM
+>sp|Q6PL45|BRID5_HUMAN BRICHOS domain-containing protein 5 OS=Homo sapiens OX=9606 GN=BRICD5 PE=1 SV=3
+MEPASCCAERPKPGPTGVKTKPSCGGWRAVSLLLLLLLLVLAAVGVVAGGLLGSAQGPPKPRLQTLRMTLPSPHMPRPNQTILVDVARNAATITVTPPQSNHSWAVLFDGQSGCICYRPEEHQVCFLRLMEDSDRETLRLLVDTSKVQEAWVPSQDTHHTQELLAVQGSLEVDPAQAGALVQRLCMRTPIYWARRAEGESGPLWGKARPSGWFEELGAEPLEIHGTLATGPRRQRLIYLCIDICFPSNICVSVCFYYLPD
+>DECOY_sp|Q6PL45|BRID5_HUMAN BRICHOS domain-containing protein 5 OS=Homo sapiens OX=9606 GN=BRICD5 PE=1 SV=3
+DPLYYFCVSVCINSPFCIDICLYILRQRRPGTALTGHIELPEAGLEEFWGSPRAKGWLPGSEGEARRAWYIPTRMCLRQVLAGAQAPDVELSGQVALLEQTHHTDQSPVWAEQVKSTDVLLRLTERDSDEMLRLFCVQHEEPRYCICGSQGDFLVAWSHNSQPPTVTITAANRAVDVLITQNPRPMHPSPLTMRLTQLRPKPPGQASGLLGGAVVGVAALVLLLLLLLLSVARWGGCSPKTKVGTPGPKPREACCSAPEM
+>sp|Q76B58|BRNP3_HUMAN BMP/retinoic acid-inducible neural-specific protein 3 OS=Homo sapiens OX=9606 GN=BRINP3 PE=1 SV=1
+MIWRSRAGAELFSLMALWEWIALSLHCWVLAVAAVSDQHATSPFDWLLSDKGPFHRSQEYTDFVDRSRQGFSTRYKIYREFGRWKVNNLAVERRNFLGSPLPLAPEFFRNIRLLGRRPTLQQITENLIKKYGTHFLLSATLGGEESLTIFVDKRKLSKRAEGSDSTTNSSSVTLETLHQLAASYFIDRDSTLRRLHHIQIASTAIKVTETRTGPLGCSNYDNLDSVSSVLVQSPENKIQLQGLQVLLPDYLQERFVQAALSYIACNSEGEFICKENDCWCHCGPKFPECNCPSMDIQAMEENLLRITETWKAYNSDFEESDEFKLFMKRLPMNYFLNTSTIMHLWTMDSNFQRRYEQLENSMKQLFLKAQKIVHKLFSLSKRCHKQPLISLPRQRTSTYWLTRIQSFLYCNENGLLGSFSEETHSCTCPNDQVVCTAFLPCTVGDASACLTCAPDNRTRCGTCNTGYMLSQGLCKPEVAESTDHYIGFETDLQDLEMKYLLQKTDRRIEVHAIFISNDMRLNSWFDPSWRKRMLLTLKSNKYKSSLVHMILGLSLQICLTKNSTLEPVLAVYVNPFGGSHSESWFMPVNENSFPDWERTKLDLPLQCYNWTLTLGNKWKTFFETVHIYLRSRIKSNGPNGNESIYYEPLEFIDPSRNLGYMKINNIQVFGYSMHFDPEAIRDLILQLDYPYTQGSQDSALLQLLEIRDRVNKLSPPGQRRLDLFSCLLRHRLKLSTSEVVRIQSALQAFNAKLPNTMDYDTTKLCS
+>DECOY_sp|Q76B58|BRNP3_HUMAN BMP/retinoic acid-inducible neural-specific protein 3 OS=Homo sapiens OX=9606 GN=BRINP3 PE=1 SV=1
+SCLKTTDYDMTNPLKANFAQLASQIRVVESTSLKLRHRLLCSFLDLRRQGPPSLKNVRDRIELLQLLASDQSGQTYPYDLQLILDRIAEPDFHMSYGFVQINNIKMYGLNRSPDIFELPEYYISENGNPGNSKIRSRLYIHVTEFFTKWKNGLTLTWNYCQLPLDLKTREWDPFSNENVPMFWSESHSGGFPNVYVALVPELTSNKTLCIQLSLGLIMHVLSSKYKNSKLTLLMRKRWSPDFWSNLRMDNSIFIAHVEIRRDTKQLLYKMELDQLDTEFGIYHDTSEAVEPKCLGQSLMYGTNCTGCRTRNDPACTLCASADGVTCPLFATCVVQDNPCTCSHTEESFSGLLGNENCYLFSQIRTLWYTSTRQRPLSILPQKHCRKSLSFLKHVIKQAKLFLQKMSNELQEYRRQFNSDMTWLHMITSTNLFYNMPLRKMFLKFEDSEEFDSNYAKWTETIRLLNEEMAQIDMSPCNCEPFKPGCHCWCDNEKCIFEGESNCAIYSLAAQVFREQLYDPLLVQLGQLQIKNEPSQVLVSSVSDLNDYNSCGLPGTRTETVKIATSAIQIHHLRRLTSDRDIFYSAALQHLTELTVSSSNTTSDSGEARKSLKRKDVFITLSEEGGLTASLLFHTGYKKILNETIQQLTPRRGLLRINRFFEPALPLPSGLFNRREVALNNVKWRGFERYIKYRTSFGQRSRDVFDTYEQSRHFPGKDSLLWDFPSTAHQDSVAAVALVWCHLSLAIWEWLAMLSFLEAGARSRWIM
+>sp|Q8IWQ3|BRSK2_HUMAN Serine/threonine-protein kinase BRSK2 OS=Homo sapiens OX=9606 GN=BRSK2 PE=1 SV=3
+MTSTGKDGGAQHAQYVGPYRLEKTLGKGQTGLVKLGVHCVTCQKVAIKIVNREKLSESVLMKVEREIAILKLIEHPHVLKLHDVYENKKYLYLVLEHVSGGELFDYLVKKGRLTPKEARKFFRQIISALDFCHSHSICHRDLKPENLLLDEKNNIRIADFGMASLQVGDSLLETSCGSPHYACPEVIRGEKYDGRKADVWSCGVILFALLVGALPFDDDNLRQLLEKVKRGVFHMPHFIPPDCQSLLRGMIEVDAARRLTLEHIQKHIWYIGGKNEPEPEQPIPRKVQIRSLPSLEDIDPDVLDSMHSLGCFRDRNKLLQDLLSEEENQEKMIYFLLLDRKERYPSQEDEDLPPRNEIDPPRKRVDSPMLNRHGKRRPERKSMEVLSVTDGGSPVPARRAIEMAQHGQRSRSISGASSGLSTSPLSSPRVTPHPSPRGSPLPTPKGTPVHTPKESPAGTPNPTPPSSPSVGGVPWRARLNSIKNSFLGSPRFHRRKLQVPTPEEMSNLTPESSPELAKKSWFGNFISLEKEEQIFVVIKDKPLSSIKADIVHAFLSIPSLSHSVISQTSFRAEYKATGGPAVFQKPVKFQVDITYTEGGEAQKENGIYSVTFTLLSGPSRRFKRVVETIQAQLLSTHDPPAAQHLSDTTNCMEMMTGRLSKCGSPLSNFFDVIKQLFSDEKNGQAAQAPSTPAKRSAHGPLGDSAAAGPGPGGDAEYPTGKDTAKMGPPTARREQP
+>DECOY_sp|Q8IWQ3|BRSK2_HUMAN Serine/threonine-protein kinase BRSK2 OS=Homo sapiens OX=9606 GN=BRSK2 PE=1 SV=3
+PQERRATPPGMKATDKGTPYEADGGPGPGAAASDGLPGHASRKAPTSPAQAAQGNKEDSFLQKIVDFFNSLPSGCKSLRGTMMEMCNTTDSLHQAAPPDHTSLLQAQITEVVRKFRRSPGSLLTFTVSYIGNEKQAEGGETYTIDVQFKVPKQFVAPGGTAKYEARFSTQSIVSHSLSPISLFAHVIDAKISSLPKDKIVVFIQEEKELSIFNGFWSKKALEPSSEPTLNSMEEPTPVQLKRRHFRPSGLFSNKISNLRARWPVGGVSPSSPPTPNPTGAPSEKPTHVPTGKPTPLPSGRPSPHPTVRPSSLPSTSLGSSAGSISRSRQGHQAMEIARRAPVPSGGDTVSLVEMSKREPRRKGHRNLMPSDVRKRPPDIENRPPLDEDEQSPYREKRDLLLFYIMKEQNEEESLLDQLLKNRDRFCGLSHMSDLVDPDIDELSPLSRIQVKRPIPQEPEPENKGGIYWIHKQIHELTLRRAADVEIMGRLLSQCDPPIFHPMHFVGRKVKELLQRLNDDDFPLAGVLLAFLIVGCSWVDAKRGDYKEGRIVEPCAYHPSGCSTELLSDGVQLSAMGFDAIRINNKEDLLLNEPKLDRHCISHSHCFDLASIIQRFFKRAEKPTLRGKKVLYDFLEGGSVHELVLYLYKKNEYVDHLKLVHPHEILKLIAIEREVKMLVSESLKERNVIKIAVKQCTVCHVGLKVLGTQGKGLTKELRYPGVYQAHQAGGDKGTSTM
+>sp|Q9GZN4|BSSP4_HUMAN Brain-specific serine protease 4 OS=Homo sapiens OX=9606 GN=PRSS22 PE=1 SV=1
+MVVSGAPPALGGGCLGTFTSLLLLASTAILNAARIPVPPACGKPQQLNRVVGGEDSTDSEWPWIVSIQKNGTHHCAGSLLTSRWVITAAHCFKDNLNKPYLFSVLLGAWQLGNPGSRSQKVGVAWVEPHPVYSWKEGACADIALVRLERSIQFSERVLPICLPDASIHLPPNTHCWISGWGSIQDGVPLPHPQTLQKLKVPIIDSEVCSHLYWRGAGQGPITEDMLCAGYLEGERDACLGDSGGPLMCQVDGAWLLAGIISWGEGCAERNRPGVYISLSAHRSWVEKIVQGVQLRGRAQGGGALRAPSQGSGAAARS
+>DECOY_sp|Q9GZN4|BSSP4_HUMAN Brain-specific serine protease 4 OS=Homo sapiens OX=9606 GN=PRSS22 PE=1 SV=1
+SRAAAGSGQSPARLAGGGQARGRLQVGQVIKEVWSRHASLSIYVGPRNREACGEGWSIIGALLWAGDVQCMLPGGSDGLCADREGELYGACLMDETIPGQGAGRWYLHSCVESDIIPVKLKQLTQPHPLPVGDQISGWGSIWCHTNPPLHISADPLCIPLVRESFQISRELRVLAIDACAGEKWSYVPHPEVWAVGVKQSRSGPNGLQWAGLLVSFLYPKNLNDKFCHAATIVWRSTLLSGACHHTGNKQISVIWPWESDTSDEGGVVRNLQQPKGCAPPVPIRAANLIATSALLLLSTFTGLCGGGLAPPAGSVVM
+>sp|Q10589|BST2_HUMAN Bone marrow stromal antigen 2 OS=Homo sapiens OX=9606 GN=BST2 PE=1 SV=1
+MASTSYDYCRVPMEDGDKRCKLLLGIGILVLLIIVILGVPLIIFTIKANSEACRDGLRAVMECRNVTHLLQQELTEAQKGFQDVEAQAATCNHTVMALMASLDAEKAQGQKKVEELEGEITTLNHKLQDASAEVERLRRENQVLSVRIADKKYYPSSQDSSSAAAPQLLIVLLGLSALLQ
+>DECOY_sp|Q10589|BST2_HUMAN Bone marrow stromal antigen 2 OS=Homo sapiens OX=9606 GN=BST2 PE=1 SV=1
+QLLASLGLLVILLQPAAASSSDQSSPYYKKDAIRVSLVQNERRLREVEASADQLKHNLTTIEGELEEVKKQGQAKEADLSAMLAMVTHNCTAAQAEVDQFGKQAETLEQQLLHTVNRCEMVARLGDRCAESNAKITFIILPVGLIVIILLVLIGIGLLLKCRKDGDEMPVRCYDYSTSAM
+>sp|Q7KYR7|BT2A1_HUMAN Butyrophilin subfamily 2 member A1 OS=Homo sapiens OX=9606 GN=BTN2A1 PE=1 SV=3
+MESAAALHFSRPASLLLLLLSLCALVSAQFIVVGPTDPILATVGENTTLRCHLSPEKNAEDMEVRWFRSQFSPAVFVYKGGRERTEEQMEEYRGRTTFVSKDISRGSVALVIHNITAQENGTYRCYFQEGRSYDEAILHLVVAGLGSKPLISMRGHEDGGIRLECISRGWYPKPLTVWRDPYGGVAPALKEVSMPDADGLFMVTTAVIIRDKSVRNMSCSINNTLLGQKKESVIFIPESFMPSVSPCAVALPIIVVILMIPIAVCIYWINKLQKEKKILSGEKEFERETREIALKELEKERVQKEEELQVKEKLQEELRWRRTFLHAVDVVLDPDTAHPDLFLSEDRRSVRRCPFRHLGESVPDNPERFDSQPCVLGRESFASGKHYWEVEVENVIEWTVGVCRDSVERKGEVLLIPQNGFWTLEMHKGQYRAVSSPDRILPLKESLCRVGVFLDYEAGDVSFYNMRDRSHIYTCPRSAFSVPVRPFFRLGCEDSPIFICPALTGANGVTVPEEGLTLHRVGTHQSL
+>DECOY_sp|Q7KYR7|BT2A1_HUMAN Butyrophilin subfamily 2 member A1 OS=Homo sapiens OX=9606 GN=BTN2A1 PE=1 SV=3
+LSQHTGVRHLTLGEEPVTVGNAGTLAPCIFIPSDECGLRFFPRVPVSFASRPCTYIHSRDRMNYFSVDGAEYDLFVGVRCLSEKLPLIRDPSSVARYQGKHMELTWFGNQPILLVEGKREVSDRCVGVTWEIVNEVEVEWYHKGSAFSERGLVCPQSDFREPNDPVSEGLHRFPCRRVSRRDESLFLDPHATDPDLVVDVAHLFTRRWRLEEQLKEKVQLEEEKQVREKELEKLAIERTEREFEKEGSLIKKEKQLKNIWYICVAIPIMLIVVIIPLAVACPSVSPMFSEPIFIVSEKKQGLLTNNISCSMNRVSKDRIIVATTVMFLGDADPMSVEKLAPAVGGYPDRWVTLPKPYWGRSICELRIGGDEHGRMSILPKSGLGAVVLHLIAEDYSRGEQFYCRYTGNEQATINHIVLAVSGRSIDKSVFTTRGRYEEMQEETRERGGKYVFVAPSFQSRFWRVEMDEANKEPSLHCRLTTNEGVTALIPDTPGVVIFQASVLACLSLLLLLLSAPRSFHLAAASEM
+>sp|Q9NPY3|C1QR1_HUMAN Complement component C1q receptor OS=Homo sapiens OX=9606 GN=CD93 PE=1 SV=3
+MATSMGLLLLLLLLLTQPGAGTGADTEAVVCVGTACYTAHSGKLSAAEAQNHCNQNGGNLATVKSKEEAQHVQRVLAQLLRREAALTARMSKFWIGLQREKGKCLDPSLPLKGFSWVGGGEDTPYSNWHKELRNSCISKRCVSLLLDLSQPLLPSRLPKWSEGPCGSPGSPGSNIEGFVCKFSFKGMCRPLALGGPGQVTYTTPFQTTSSSLEAVPFASAANVACGEGDKDETQSHYFLCKEKAPDVFDWGSSGPLCVSPKYGCNFNNGGCHQDCFEGGDGSFLCGCRPGFRLLDDLVTCASRNPCSSSPCRGGATCVLGPHGKNYTCRCPQGYQLDSSQLDCVDVDECQDSPCAQECVNTPGGFRCECWVGYEPGGPGEGACQDVDECALGRSPCAQGCTNTDGSFHCSCEEGYVLAGEDGTQCQDVDECVGPGGPLCDSLCFNTQGSFHCGCLPGWVLAPNGVSCTMGPVSLGPPSGPPDEEDKGEKEGSTVPRAATASPTRGPEGTPKATPTTSRPSLSSDAPITSAPLKMLAPSGSPGVWREPSIHHATAASGPQEPAGGDSSVATQNNDGTDGQKLLLFYILGTVVAILLLLALALGLLVYRKRRAKREEKKEKKPQNAADSYSWVPERAESRAMENQYSPTPGTDC
+>DECOY_sp|Q9NPY3|C1QR1_HUMAN Complement component C1q receptor OS=Homo sapiens OX=9606 GN=CD93 PE=1 SV=3
+CDTGPTPSYQNEMARSEAREPVWSYSDAANQPKKEKKEERKARRKRYVLLGLALALLLLIAVVTGLIYFLLLKQGDTGDNNQTAVSSDGGAPEQPGSAATAHHISPERWVGPSGSPALMKLPASTIPADSSLSPRSTTPTAKPTGEPGRTPSATAARPVTSGEKEGKDEEDPPGSPPGLSVPGMTCSVGNPALVWGPLCGCHFSGQTNFCLSDCLPGGPGVCEDVDQCQTGDEGALVYGEECSCHFSGDTNTCGQACPSRGLACEDVDQCAGEGPGGPEYGVWCECRFGGPTNVCEQACPSDQCEDVDVCDLQSSDLQYGQPCRCTYNKGHPGLVCTAGGRCPSSSCPNRSACTVLDDLLRFGPRCGCLFSGDGGEFCDQHCGGNNFNCGYKPSVCLPGSSGWDFVDPAKEKCLFYHSQTEDKDGEGCAVNAASAFPVAELSSSTTQFPTTYTVQGPGGLALPRCMGKFSFKCVFGEINSGPSGPSGCPGESWKPLRSPLLPQSLDLLLSVCRKSICSNRLEKHWNSYPTDEGGGVWSFGKLPLSPDLCKGKERQLGIWFKSMRATLAAERRLLQALVRQVHQAEEKSKVTALNGGNQNCHNQAEAASLKGSHATYCATGVCVVAETDAGTGAGPQTLLLLLLLLLGMSTAM
+>sp|Q9BXJ5|C1QT2_HUMAN Complement C1q tumor necrosis factor-related protein 2 OS=Homo sapiens OX=9606 GN=C1QTNF2 PE=1 SV=1
+MIPWVLLACALPCAADPLLGAFARRDFRKGSPQLVCSLPGPQGPPGPPGAPGPSGMMGRMGFPGKDGQDGHDGDRGDSGEEGPPGRTGNRGKPGPKGKAGAIGRAGPRGPKGVNGTPGKHGTPGKKGPKGKKGEPGLPGPCSCGSGHTKSAFSVAVTKSYPRERLPIKFDKILMNEGGHYNASSGKFVCGVPGIYYFTYDITLANKHLAIGLVHNGQYRIRTFDANTGNHDVASGSTILALKQGDEVWLQIFYSEQNGLFYDPYWTDSLFTGFLIYADQDDPNEV
+>DECOY_sp|Q9BXJ5|C1QT2_HUMAN Complement C1q tumor necrosis factor-related protein 2 OS=Homo sapiens OX=9606 GN=C1QTNF2 PE=1 SV=1
+VENPDDQDAYILFGTFLSDTWYPDYFLGNQESYFIQLWVEDGQKLALITSGSAVDHNGTNADFTRIRYQGNHVLGIALHKNALTIDYTFYYIGPVGCVFKGSSANYHGGENMLIKDFKIPLRERPYSKTVAVSFASKTHGSGCSCPGPLGPEGKKGKPGKKGPTGHKGPTGNVGKPGRPGARGIAGAKGKPGPKGRNGTRGPPGEEGSDGRDGDHGDQGDKGPFGMRGMMGSPGPAGPPGPPGQPGPLSCVLQPSGKRFDRRAFAGLLPDAACPLACALLVWPIM
+>sp|Q9BXJ4|C1QT3_HUMAN Complement C1q tumor necrosis factor-related protein 3 OS=Homo sapiens OX=9606 GN=C1QTNF3 PE=1 SV=1
+MLWRQLIYWQLLALFFLPFCLCQDEYMESPQTGGLPPDCSKCCHGDYSFRGYQGPPGPPGPPGIPGNHGNNGNNGATGHEGAKGEKGDKGDLGPRGERGQHGPKGEKGYPGIPPELQIAFMASLATHFSNQNSGIIFSSVETNIGNFFDVMTGRFGAPVSGVYFFTFSMMKHEDVEEVYVYLMHNGNTVFSMYSYEMKGKSDTSSNHAVLKLAKGDEVWLRMGNGALHGDHQRFSTFAGFLLFETK
+>DECOY_sp|Q9BXJ4|C1QT3_HUMAN Complement C1q tumor necrosis factor-related protein 3 OS=Homo sapiens OX=9606 GN=C1QTNF3 PE=1 SV=1
+KTEFLLFGAFTSFRQHDGHLAGNGMRLWVEDGKALKLVAHNSSTDSKGKMEYSYMSFVTNGNHMLYVYVEEVDEHKMMSFTFFYVGSVPAGFRGTMVDFFNGINTEVSSFIIGSNQNSFHTALSAMFAIQLEPPIGPYGKEGKPGHQGREGRPGLDGKDGKEGKAGEHGTAGNNGNNGHNGPIGPPGPPGPPGQYGRFSYDGHCCKSCDPPLGGTQPSEMYEDQCLCFPLFFLALLQWYILQRWLM
+>sp|P0C862|C1T9A_HUMAN Complement C1q and tumor necrosis factor-related protein 9A OS=Homo sapiens OX=9606 GN=C1QTNF9 PE=1 SV=1
+MRIWWLLLAIEICTGNINSQDTCRQGHPGIPGNPGHNGLPGRDGRDGAKGDKGDAGEPGRPGSPGKDGTSGEKGERGADGKVEAKGIKGDQGSRGSPGKHGPKGLAGPMGEKGLRGETGPQGQKGNKGDVGPTGPEGPRGNIGPLGPTGLPGPMGPIGKPGPKGEAGPTGPQGEPGVRGIRGWKGDRGEKGKIGETLVLPKSAFTVGLTVLSKFPSSDMPIKFDKILYNEFNHYDTAAGKFTCHIAGVYYFTYHITVFSRNVQVSLVKNGVKILHTKDAYMSSEDQASGGIVLQLKLGDEVWLQVTGGERFNGLFADEDDDTTFTGFLLFSSP
+>DECOY_sp|P0C862|C1T9A_HUMAN Complement C1q and tumor necrosis factor-related protein 9A OS=Homo sapiens OX=9606 GN=C1QTNF9 PE=1 SV=1
+PSSFLLFGTFTTDDDEDAFLGNFREGGTVQLWVEDGLKLQLVIGGSAQDESSMYADKTHLIKVGNKVLSVQVNRSFVTIHYTFYYVGAIHCTFKGAATDYHNFENYLIKDFKIPMDSSPFKSLVTLGVTFASKPLVLTEGIKGKEGRDGKWGRIGRVGPEGQPGTPGAEGKPGPKGIPGMPGPLGTPGLPGINGRPGEPGTPGVDGKNGKQGQPGTEGRLGKEGMPGALGKPGHKGPSGRSGQDGKIGKAEVKGDAGREGKEGSTGDKGPSGPRGPEGADGKDGKAGDRGDRGPLGNHGPNGPIGPHGQRCTDQSNINGTCIEIALLLWWIRM
+>sp|Q96MC4|C295L_HUMAN CEP295 N-terminal-like protein OS=Homo sapiens OX=9606 GN=CEP295NL PE=2 SV=1
+MCSGWSSSVIWRHTQFAVERCGFCGSSGPGAPLEPSTLGSKHLPWEAVSAGFADRNRNMDGAMWLSLCPDNEDLLWRKKHKLLQARGKGDLALQRRADAKLWKNYQLQRLAEELRRGYQEAQHLHVGGLDRLQSARLLGWGGGRARENEPDSQGPIQRRSARPPRAKEKHRAALSEERSCREELGQQHPRHSRPRKTAASPEKPQTTKATGRMNSHLAPPEKRKGRPEPSTKSGGGRCAIHPRRSKGADLERSNPLVAAVGEIGLVEEKEKGTARAGRRQLGKGAVCFVPALTSRSQGQSLEGKLRDLGQLWPADSSCRREAVSPASQCTLREKNKWQKELELAFEELFNINRKLKKHLCLYLALKPRMDQRPGEGHAFSEMQECGAGTPRGKKMADPEMLPAGEPRSPAEEEAQQAASKTDLKTFMGKAQNQKYQGTVKPTFRNGSQTLSPEAGIFINKEDSLLYSTESGQETPKLGTLAEGSLQLHLQDQADRVGSTASRQRQKAEMEQRRQKQLESLEQMEHPDMSLEIHYKAELEKERREQRRARLAHLKSSSTRAQERERGSELSTTSPSGTSLADDDRHSQMIRDQQQQILQQNRLHKQFLEEARKCLREFQNIC
+>DECOY_sp|Q96MC4|C295L_HUMAN CEP295 N-terminal-like protein OS=Homo sapiens OX=9606 GN=CEP295NL PE=2 SV=1
+CINQFERLCKRAEELFQKHLRNQQLIQQQQDRIMQSHRDDDALSTGSPSTTSLESGREREQARTSSSKLHALRARRQERREKELEAKYHIELSMDPHEMQELSELQKQRRQEMEAKQRQRSATSGVRDAQDQLHLQLSGEALTGLKPTEQGSETSYLLSDEKNIFIGAEPSLTQSGNRFTPKVTGQYKQNQAKGMFTKLDTKSAAQQAEEEAPSRPEGAPLMEPDAMKKGRPTGAGCEQMESFAHGEGPRQDMRPKLALYLCLHKKLKRNINFLEEFALELEKQWKNKERLTCQSAPSVAERRCSSDAPWLQGLDRLKGELSQGQSRSTLAPVFCVAGKGLQRRGARATGKEKEEVLGIEGVAAVLPNSRELDAGKSRRPHIACRGGGSKTSPEPRGKRKEPPALHSNMRGTAKTTQPKEPSAATKRPRSHRPHQQGLEERCSREESLAARHKEKARPPRASRRQIPGQSDPENERARGGGWGLLRASQLRDLGGVHLHQAEQYGRRLEEALRQLQYNKWLKADARRQLALDGKGRAQLLKHKKRWLLDENDPCLSLWMAGDMNRNRDAFGASVAEWPLHKSGLTSPELPAGPGSSGCFGCREVAFQTHRWIVSSSWGSCM
+>sp|Q8TF44|C2C4C_HUMAN C2 calcium-dependent domain-containing protein 4C OS=Homo sapiens OX=9606 GN=C2CD4C PE=1 SV=2
+MRKTNMWFLERLRGSGENGAARGVGSEAGDKASKGPLYSNVLTPDKIPDFFIPPKLPSGPAEGEGQAALGPSTSEQNLASAAPRQTPRSPRLPAKLAAESKSLLKAATRHVIQIESAEDWLSEEATDADPQAQGAMSLPSVPKAQTSYGFAMLAESPHTRRKESLFHSEHGALAQVGSPGAGRRRAAAKANGGDGGPREAGGALMSPGRYFSGGESDTGSSAESSPFGSPLLSRSVSLLKGFAQDSQAKVSQLRHSVGRHGSLSADDSTPDASPGSRRRLTRRAPPEPGPESGQARGEHTVHVGPRGSVRLLAEYEAGQARLRVHLLAAEGLYDRLCDARSINCCVGLCLVPGKLQKQRSTIVKNSRRPVFNEDFFFDGLGPASVRKLALRIKVVNKGSSLKRDTLLGEKELPLTSLLPFL
+>DECOY_sp|Q8TF44|C2C4C_HUMAN C2 calcium-dependent domain-containing protein 4C OS=Homo sapiens OX=9606 GN=C2CD4C PE=1 SV=2
+LFPLLSTLPLEKEGLLTDRKLSSGKNVVKIRLALKRVSAPGLGDFFFDENFVPRRSNKVITSRQKQLKGPVLCLGVCCNISRADCLRDYLGEAALLHVRLRAQGAEYEALLRVSGRPGVHVTHEGRAQGSEPGPEPPARRTLRRRSGPSADPTSDDASLSGHRGVSHRLQSVKAQSDQAFGKLLSVSRSLLPSGFPSSEASSGTDSEGGSFYRGPSMLAGGAERPGGDGGNAKAAARRRGAGPSGVQALAGHESHFLSEKRRTHPSEALMAFGYSTQAKPVSPLSMAGQAQPDADTAEESLWDEASEIQIVHRTAAKLLSKSEAALKAPLRPSRPTQRPAASALNQESTSPGLAAQGEGEAPGSPLKPPIFFDPIKDPTLVNSYLPGKSAKDGAESGVGRAAGNEGSGRLRELFWMNTKRM
+>sp|Q6P1N0|C2D1A_HUMAN Coiled-coil and C2 domain-containing protein 1A OS=Homo sapiens OX=9606 GN=CC2D1A PE=1 SV=1
+MHKRKGPPGPPGRGAAAARQLGLLVDLSPDGLMIPEDGANDEELEAEFLALVGGQPPALEKLKGKGPLPMEAIEKMASLCMRDPDEDEEEGTDEDDLEADDDLLAELNEVLGEEQKASETPPPVAQPKPEAPHPGLETTLQERLALYQTAIESARQAGDSAKMRRYDRGLKTLENLLASIRKGNAIDEADIPPPVAIGKGPASTPTYSPAPTQPAPRIASAPEPRVTLEGPSATAPASSPGLAKPQMPPGPCSPGPLAQLQSRQRDYKLAALHAKQQGDTTAAARHFRVAKSFDAVLEALSRGEPVDLSCLPPPPDQLPPDPPSPPSQPPTPATAPSTTEVPPPPRTLLEALEQRMERYQVAAAQAKSKGDQRKARMHERIVKQYQDAIRAHKAGRAVDVAELPVPPGFPPIQGLEATKPTQQSLVGVLETAMKLANQDEGPEDEEDEVPKKQNSPVAPTAQPKAPPSRTPQSGSAPTAKAPPKATSTRAQQQLAFLEGRKKQLLQAALRAKQKNDVEGAKMHLRQAKGLEPMLEASRNGLPVDITKVPPAPVNKDDFALVQRPGPGLSQEAARRYGELTKLIRQQHEMCLNHSNQFTQLGNITETTKFEKLAEDCKRSMDILKQAFVRGLPTPTARFEQRTFSVIKIFPDLSSNDMLLFIVKGINLPTPPGLSPGDLDVFVRFDFPYPNVEEAQKDKTSVIKNTDSPEFKEQFKLCINRSHRGFRRAIQTKGIKFEVVHKGGLFKTDRVLGTAQLKLDALEIACEVREILEVLDGRRPTGGRLEVMVRIREPLTAQQLETTTERWLVIDPVPAAVPTQVAGPKGKAPPVPAPARESGNRSARPLHSLSVLAFDQERLERKILALRQARRPVPPEVAQQYQDIMQRSQWQRAQLEQGGVGIRREYAAQLERQLQFYTEAARRLGNDGSRDAAKEALYRRNLVESELQRLRR
+>DECOY_sp|Q6P1N0|C2D1A_HUMAN Coiled-coil and C2 domain-containing protein 1A OS=Homo sapiens OX=9606 GN=CC2D1A PE=1 SV=1
+RRLRQLESEVLNRRYLAEKAADRSGDNGLRRAAETYFQLQRELQAAYERRIGVGGQELQARQWQSRQMIDQYQQAVEPPVPRRAQRLALIKRELREQDFALVSLSHLPRASRNGSERAPAPVPPAKGKPGAVQTPVAAPVPDIVLWRETTTELQQATLPERIRVMVELRGGTPRRGDLVELIERVECAIELADLKLQATGLVRDTKFLGGKHVVEFKIGKTQIARRFGRHSRNICLKFQEKFEPSDTNKIVSTKDKQAEEVNPYPFDFRVFVDLDGPSLGPPTPLNIGKVIFLLMDNSSLDPFIKIVSFTRQEFRATPTPLGRVFAQKLIDMSRKCDEALKEFKTTETINGLQTFQNSHNLCMEHQQRILKTLEGYRRAAEQSLGPGPRQVLAFDDKNVPAPPVKTIDVPLGNRSAELMPELGKAQRLHMKAGEVDNKQKARLAAQLLQKKRGELFALQQQARTSTAKPPAKATPASGSQPTRSPPAKPQATPAVPSNQKKPVEDEEDEPGEDQNALKMATELVGVLSQQTPKTAELGQIPPFGPPVPLEAVDVARGAKHARIADQYQKVIREHMRAKRQDGKSKAQAAAVQYREMRQELAELLTRPPPPVETTSPATAPTPPQSPPSPPDPPLQDPPPPLCSLDVPEGRSLAELVADFSKAVRFHRAAATTDGQQKAHLAALKYDRQRSQLQALPGPSCPGPPMQPKALGPSSAPATASPGELTVRPEPASAIRPAPQTPAPSYTPTSAPGKGIAVPPPIDAEDIANGKRISALLNELTKLGRDYRRMKASDGAQRASEIATQYLALREQLTTELGPHPAEPKPQAVPPPTESAKQEEGLVENLEALLDDDAELDDEDTGEEEDEDPDRMCLSAMKEIAEMPLPGKGKLKELAPPQGGVLALFEAELEEDNAGDEPIMLGDPSLDVLLGLQRAAAAGRGPPGPPGKRKHM
+>sp|B7Z1M9|C2D4D_HUMAN C2 calcium-dependent domain-containing protein 4D OS=Homo sapiens OX=9606 GN=C2CD4D PE=2 SV=2
+MWLLEKAGYKVGAAEPAARWAPSGLFSKRRAPGPPTSACPNVLTPDRIPQFFIPPRLPDPGGAVPAARRHVAGRGLPATCSLPHLAGREGWAFLPESPHTRRRESLFHGPPPAPAGGLPAAQSRLHVSAPDLRLCRAPDSDTASSPDSSPFGSPRPGLGRRRVSRPHSLSPEKASSADTSPHSPRRAGPPTPPLFHLDFLCCQLRPTRESVLRLGPRGGQLRLSTEYQAGPGRLRLRLVSAEGLPRPRSRPGSGGGGCCVVLRLRPRVRPREQQSRVVKCSANPIFNEDFFFDGLGPPDLAARSLRAKVLDRGAGLRRDVLLGECETPLIALLPPLGGGLGPGSSLAPTHLSL
+>DECOY_sp|B7Z1M9|C2D4D_HUMAN C2 calcium-dependent domain-containing protein 4D OS=Homo sapiens OX=9606 GN=C2CD4D PE=2 SV=2
+LSLHTPALSSGPGLGGGLPPLLAILPTECEGLLVDRRLGAGRDLVKARLSRAALDPPGLGDFFFDENFIPNASCKVVRSQQERPRVRPRLRLVVCCGGGGSGPRSRPRPLGEASVLRLRLRGPGAQYETSLRLQGGRPGLRLVSERTPRLQCCLFDLHFLPPTPPGARRPSHPSTDASSAKEPSLSHPRSVRRRGLGPRPSGFPSSDPSSATDSDPARCLRLDPASVHLRSQAAPLGGAPAPPPGHFLSERRRTHPSEPLFAWGERGALHPLSCTAPLGRGAVHRRAAPVAGGPDPLRPPIFFQPIRDPTLVNPCASTPPGPARRKSFLGSPAWRAAPEAAGVKYGAKELLWM
+>sp|Q9H0H9|C4F30_HUMAN Putative cytochrome P450 family member 4F30 OS=Homo sapiens OX=9606 GN=CYP4F30P PE=5 SV=1
+MVTPAGCLGGRNQGPREIPGTAFPCSSRAGQTGQAVSGAQVSSWRERQPFGGSRGPLHILGTDGNVDTTGKLGLVPTPPRIQKETKQGALCGMKPPFLPEALLTVWWLPFVAVSLCLF
+>DECOY_sp|Q9H0H9|C4F30_HUMAN Putative cytochrome P450 family member 4F30 OS=Homo sapiens OX=9606 GN=CYP4F30P PE=5 SV=1
+FLCLSVAVFPLWWVTLLAEPLFPPKMGCLAGQKTEKQIRPPTPVLGLKGTTDVNGDTGLIHLPGRSGGFPQRERWSSVQAGSVAQGTQGARSSCPFATGPIERPGQNRGGLCGAPTVM
+>sp|Q8N6N3|CA052_HUMAN UPF0690 protein C1orf52 OS=Homo sapiens OX=9606 GN=C1orf52 PE=1 SV=1
+MAAEEKDPLSYFAAYGSSSSGSSDEEDNIEPEETSRRTPDPAKSAGGCRNKAEKRLPGPDELFRSVTRPAFLYNPLNKQIDWERHVVKAPEEPPKEFKIWKSNYVPPPETYTTEKKPPPPELDMAIKWSNIYEDNGDDAPQNAKKARLLPEGEETLESDDEKDEHTSKKRKVEPGEPAKKKK
+>DECOY_sp|Q8N6N3|CA052_HUMAN UPF0690 protein C1orf52 OS=Homo sapiens OX=9606 GN=C1orf52 PE=1 SV=1
+KKKKAPEGPEVKRKKSTHEDKEDDSELTEEGEPLLRAKKANQPADDGNDEYINSWKIAMDLEPPPPKKETTYTEPPPVYNSKWIKFEKPPEEPAKVVHREWDIQKNLPNYLFAPRTVSRFLEDPGPLRKEAKNRCGGASKAPDPTRRSTEEPEINDEEDSSGSSSSGYAAFYSLPDKEEAAM
+>sp|Q5SVJ3|CA100_HUMAN Uncharacterized protein C1orf100 OS=Homo sapiens OX=9606 GN=C1orf100 PE=2 SV=1
+MTAIRLREFIERRPVIPPSIFIAHQGRDVQGYYPGQLARLHFDHSAKRAPRPLIDLTIPPKTKYHYQPQLDQQTLIRYICLRRHSKPAEPWYKETTYRRDYSLPFYEIDWNQKLATVSLNPRPLNSLPELYCCEERSSFERNAFKLK
+>DECOY_sp|Q5SVJ3|CA100_HUMAN Uncharacterized protein C1orf100 OS=Homo sapiens OX=9606 GN=C1orf100 PE=2 SV=1
+KLKFANREFSSREECCYLEPLSNLPRPNLSVTALKQNWDIEYFPLSYDRRYTTEKYWPEAPKSHRRLCIYRILTQQDLQPQYHYKTKPPITLDILPRPARKASHDFHLRALQGPYYGQVDRGQHAIFISPPIVPRREIFERLRIATM
+>sp|Q5TEV5|CA134_HUMAN Putative uncharacterized protein C1orf134 OS=Homo sapiens OX=9606 GN=C1orf134 PE=3 SV=1
+MLCCCPLADALLIFLETGSCFQPGQQSETLSQKQNKTNNKKWAGGAGCRPFRLWWVGRSRGAGVQLYSTKKGWILRGKGGTEA
+>DECOY_sp|Q5TEV5|CA134_HUMAN Putative uncharacterized protein C1orf134 OS=Homo sapiens OX=9606 GN=C1orf134 PE=3 SV=1
+AETGGKGRLIWGKKTSYLQVGAGRSRGVWWLRFPRCGAGGAWKKNNTKNQKQSLTESQQGPQFCSGTELFILLADALPCCCLM
+>sp|Q5VU69|CA189_HUMAN Uncharacterized protein C1orf189 OS=Homo sapiens OX=9606 GN=C1orf189 PE=1 SV=1
+MSVEKMTKVEESFQKAMGLKKTVDRWRNSHTHCLWQMALGQRRNPYATLRMQDTMVQELALAKKQLLMVRQAALHQLFEKEHQQYQQELNQMGKAFYVERF
+>DECOY_sp|Q5VU69|CA189_HUMAN Uncharacterized protein C1orf189 OS=Homo sapiens OX=9606 GN=C1orf189 PE=1 SV=1
+FREVYFAKGMQNLEQQYQQHEKEFLQHLAAQRVMLLQKKALALEQVMTDQMRLTAYPNRRQGLAMQWLCHTHSNRWRDVTKKLGMAKQFSEEVKTMKEVSM
+>sp|Q9H425|CA198_HUMAN Uncharacterized protein C1orf198 OS=Homo sapiens OX=9606 GN=C1orf198 PE=1 SV=1
+MASMAAAIAASRSAVMSGNRPLDDRERKRFTYFSSLSPMARKIMQDKEKIREKYGPEWARLPPAQQDEIIDRCLVGPRAPAPRDPGDSEELTRFPGLRGPTGQKVVRFGDEDLTWQDEHSAPFSWETKSQMEFSISALSIQEPSNGTAASEPRPLSKASQGSQALKSSQGSRSSSLDALGPTRKEEEASFWKINAERSRGEGPEAEFQSLTPSQIKSMEKGEKVLPPCYRQEPAPKDREAKVERPSTLRQEQRPLPNVSTERERPQPVQAFSSALHEAAPSQLEGKLPSPDVRQDDGEDTLFSEPKFAQVSSSNVVLKTGFDFLDNW
+>DECOY_sp|Q9H425|CA198_HUMAN Uncharacterized protein C1orf198 OS=Homo sapiens OX=9606 GN=C1orf198 PE=1 SV=1
+WNDLFDFGTKLVVNSSSVQAFKPESFLTDEGDDQRVDPSPLKGELQSPAAEHLASSFAQVPQPRERETSVNPLPRQEQRLTSPREVKAERDKPAPEQRYCPPLVKEGKEMSKIQSPTLSQFEAEPGEGRSREANIKWFSAEEEKRTPGLADLSSSRSGQSSKLAQSGQSAKSLPRPESAATGNSPEQISLASISFEMQSKTEWSFPASHEDQWTLDEDGFRVVKQGTPGRLGPFRTLEESDGPDRPAPARPGVLCRDIIEDQQAPPLRAWEPGYKERIKEKDQMIKRAMPSLSSFYTFRKRERDDLPRNGSMVASRSAAIAAAMSAM
+>sp|Q8TAB5|CA216_HUMAN UPF0500 protein C1orf216 OS=Homo sapiens OX=9606 GN=C1orf216 PE=1 SV=1
+MFAIQPGLAEGGQFLGDPPPGLCQPELQPDSNSNFMASAKDANENWHGMPGRVEPILRRSSSESPSDNQAFQAPGSPEEGVRSPPEGAEIPGAEPEKMGGAGTVCSPLEDNGYASSSLSIDSRSSSPEPACGTPRGPGPPDPLLPSVAQAVQHLQVQERYKEQEKEKHHVHLVMYRRLALLQWIRGLQHQLIDQQARLQESFDTILDNRKELIRCLQQRAAPSRPQDQA
+>DECOY_sp|Q8TAB5|CA216_HUMAN UPF0500 protein C1orf216 OS=Homo sapiens OX=9606 GN=C1orf216 PE=1 SV=1
+AQDQPRSPAARQQLCRILEKRNDLITDFSEQLRAQQDILQHQLGRIWQLLALRRYMVLHVHHKEKEQEKYREQVQLHQVAQAVSPLLPDPPGPGRPTGCAPEPSSSRSDISLSSSAYGNDELPSCVTGAGGMKEPEAGPIEAGEPPSRVGEEPSGPAQFAQNDSPSESSSRRLIPEVRGPMGHWNENADKASAMFNSNSDPQLEPQCLGPPPDGLFQGGEALGPQIAFM
+>sp|Q9NP86|CABP5_HUMAN Calcium-binding protein 5 OS=Homo sapiens OX=9606 GN=CABP5 PE=1 SV=1
+MQFPMGPACIFLRKGIAEKQRERPLGQDEIEELREAFLEFDKDRDGFISCKDLGNLMRTMGYMPTEMELIELGQQIRMNLGGRVDFDDFVELMTPKLLAETAGMIGVQEMRDAFKEFDTNGDGEITLVELQQAMQRLLGERLTPREISEVVREADVNGDGTVDFEEFVKMMSR
+>DECOY_sp|Q9NP86|CABP5_HUMAN Calcium-binding protein 5 OS=Homo sapiens OX=9606 GN=CABP5 PE=1 SV=1
+RSMMKVFEEFDVTGDGNVDAERVVESIERPTLREGLLRQMAQQLEVLTIEGDGNTDFEKFADRMEQVGIMGATEALLKPTMLEVFDDFDVRGGLNMRIQQGLEILEMETPMYGMTRMLNGLDKCSIFGDRDKDFELFAERLEEIEDQGLPRERQKEAIGKRLFICAPGMPFQM
+>sp|Q96KC9|CABS1_HUMAN Calcium-binding and spermatid-specific protein 1 OS=Homo sapiens OX=9606 GN=CABS1 PE=2 SV=3
+MAEDGLPKIYSHPPTESSKTPTAATIFFGADNAIPKSETTITSEGDHVTSVNEYMLESDFSTTTDNKLTAKKEKLKSEDDMGTDFIKSTTHLQKEITSLTGTTNSITRDSITEHFMPVKIGNISSPVTTVSLIDFSTDIAKEDILLATIDTGDAEISITSEVSGTLKDSSAGVADAPAFPRKKDEADMSNYNSSIKSNVPADEAVQVTDSTIPEAEIPPAPEESFTTIPDITALEEEKITEIDLSVLEDDTSAVATLTDSDEKFITVFELTTSAEKDKDKREDTLLTDEETTEGASIWMERDTANEAETHSVLLTAVESRYDFVVPASIATNLVEESSTEEDLSETDNTETVPKITEPFSGTTSVLDTPDYKEDTSTTETDIFELLKEEPDEFMI
+>DECOY_sp|Q96KC9|CABS1_HUMAN Calcium-binding and spermatid-specific protein 1 OS=Homo sapiens OX=9606 GN=CABS1 PE=2 SV=3
+IMFEDPEEKLLEFIDTETTSTDEKYDPTDLVSTTGSFPETIKPVTETNDTESLDEETSSEEVLNTAISAPVVFDYRSEVATLLVSHTEAENATDREMWISAGETTEEDTLLTDERKDKDKEASTTLEFVTIFKEDSDTLTAVASTDDELVSLDIETIKEEELATIDPITTFSEEPAPPIEAEPITSDTVQVAEDAPVNSKISSNYNSMDAEDKKRPFAPADAVGASSDKLTGSVESTISIEADGTDITALLIDEKAIDTSFDILSVTTVPSSINGIKVPMFHETISDRTISNTTGTLSTIEKQLHTTSKIFDTGMDDESKLKEKKATLKNDTTTSFDSELMYENVSTVHDGESTITTESKPIANDAGFFITAATPTKSSETPPHSYIKPLGDEAM
+>sp|P54284|CACB3_HUMAN Voltage-dependent L-type calcium channel subunit beta-3 OS=Homo sapiens OX=9606 GN=CACNB3 PE=1 SV=1
+MYDDSYVPGFEDSEAGSADSYTSRPSLDSDVSLEEDRESARREVESQAQQQLERAKHKPVAFAVRTNVSYCGVLDEECPVQGSGVNFEAKDFLHIKEKYSNDWWIGRLVKEGGDIAFIPSPQRLESIRLKQEQKARRSGNPSSLSDIGNRRSPPPSLAKQKQKQAEHVPPYDVVPSMRPVVLVGPSLKGYEVTDMMQKALFDFLKHRFDGRISITRVTADLSLAKRSVLNNPGKRTIIERSSARSSIAEVQSEIERIFELAKSLQLVVLDADTINHPAQLAKTSLAPIIVFVKVSSPKVLQRLIRSRGKSQMKHLTVQMMAYDKLVQCPPESFDVILDENQLEDACEHLAEYLEVYWRATHHPAPGPGLLGPPSAIPGLQNQQLLGERGEEHSPLERDSLMPSDEASESSRQAWTGSSQRSSRHLEEDYADAYQDLYQPHRQHTSGLPSANGHDPQDRLLAQDSEHNHSDRNWQRNRPWPKDSY
+>DECOY_sp|P54284|CACB3_HUMAN Voltage-dependent L-type calcium channel subunit beta-3 OS=Homo sapiens OX=9606 GN=CACNB3 PE=1 SV=1
+YSDKPWPRNRQWNRDSHNHESDQALLRDQPDHGNASPLGSTHQRHPQYLDQYADAYDEELHRSSRQSSGTWAQRSSESAEDSPMLSDRELPSHEEGREGLLQQNQLGPIASPPGLLGPGPAPHHTARWYVELYEALHECADELQNEDLIVDFSEPPCQVLKDYAMMQVTLHKMQSKGRSRILRQLVKPSSVKVFVIIPALSTKALQAPHNITDADLVVLQLSKALEFIREIESQVEAISSRASSREIITRKGPNNLVSRKALSLDATVRTISIRGDFRHKLFDFLAKQMMDTVEYGKLSPGVLVVPRMSPVVDYPPVHEAQKQKQKALSPPPSRRNGIDSLSSPNGSRRAKQEQKLRISELRQPSPIFAIDGGEKVLRGIWWDNSYKEKIHLFDKAEFNVGSGQVPCEEDLVGCYSVNTRVAFAVPKHKARELQQQAQSEVERRASERDEELSVDSDLSPRSTYSDASGAESDEFGPVYSDDYM
+>sp|Q86Y37|CACL1_HUMAN CDK2-associated and cullin domain-containing protein 1 OS=Homo sapiens OX=9606 GN=CACUL1 PE=1 SV=1
+MEESMEEEEGGSYEAMMDDQNHNNWEAAVDGFRQPLPPPPPPSSIPAPAREPPGGQLLAVPAVSVDRKGPKEGLPMGPQPPPEANGVIMMLKSCDAAAAVAKAAPAPTASSTININTSTSKFLMNVITIEDYKSTYWPKLDGAIDQLLTQSPGDYIPISYEQIYSCVYKCVCQQHSEQMYSDLIKKITNHLERVSKELQASPPDLYIERFNIALGQYMGALQSIVPLFIYMNKFYIETKLNRDLKDDLIKLFTEHVAEKHIYSLMPLLLEAQSTPFQVTPSTMANIVKGLYTLRPEWVQMAPTLFSKFIPNILPPAVESELSEYAAQDQKFQRELIQNGFTRGDQSRKRAGDELAYNSSSACASSRGYR
+>DECOY_sp|Q86Y37|CACL1_HUMAN CDK2-associated and cullin domain-containing protein 1 OS=Homo sapiens OX=9606 GN=CACUL1 PE=1 SV=1
+RYGRSSACASSSNYALEDGARKRSQDGRTFGNQILERQFKQDQAAYESLESEVAPPLINPIFKSFLTPAMQVWEPRLTYLGKVINAMTSPTVQFPTSQAELLLPMLSYIHKEAVHETFLKILDDKLDRNLKTEIYFKNMYIFLPVISQLAGMYQGLAINFREIYLDPPSAQLEKSVRELHNTIKKILDSYMQESHQQCVCKYVCSYIQEYSIPIYDGPSQTLLQDIAGDLKPWYTSKYDEITIVNMLFKSTSTNINITSSATPAPAAKAVAAAADCSKLMMIVGNAEPPPQPGMPLGEKPGKRDVSVAPVALLQGGPPERAPAPISSPPPPPPLPQRFGDVAAEWNNHNQDDMMAEYSGGEEEEMSEEM
+>sp|P55289|CAD12_HUMAN Cadherin-12 OS=Homo sapiens OX=9606 GN=CDH12 PE=2 SV=2
+MLTRNCLSLLLWVLFDGGLLTPLQPQPQQTLATEPRENVIHLPGQRSHFQRVKRGWVWNQFFVLEEYVGSEPQYVGKLHSDLDKGEGTVKYTLSGDGAGTVFTIDETTGDIHAIRSLDREEKPFYTLRAQAVDIETRKPLEPESEFIIKVQDINDNEPKFLDGPYVATVPEMSPVGAYVLQVKATDADDPTYGNSARVVYSILQGQPYFSIDPKTGVIRTALPNMDREVKEQYQVLIQAKDMGGQLGGLAGTTIVNITLTDVNDNPPRFPKSIFHLKVPESSPIGSAIGRIRAVDPDFGQNAEIEYNIVPGDGGNLFDIVTDEDTQEGVIKLKKPLDFETKKAYTFKVEASNLHLDHRFHSAGPFKDTATVKISVLDVDEPPVFSKPLYTMEVYEDTPVGTIIGAVTAQDLDVGSSAVRYFIDWKSDGDSYFTIDGNEGTIATNELLDRESTAQYNFSIIASKVSNPLLTSKVNILINVLDVNEFPPEISVPYETAVCENAKPGQIIQIVSAADRDLSPAGQQFSFRLSPEAAIKPNFTVRDFRNNTAGIETRRNGYSRRQQELYFLPVVIEDSSYPVQSSTNTMTIRVCRCDSDGTILSCNVEAIFLPVGLSTGALIAILLCIVILLAIVVLYVALRRQKKKDTLMTSKEDIRDNVIHYDDEGGGEEDTQAFDIGALRNPKVIEENKIRRDIKPDSLCLPRQRPPMEDNTDIRDFIHQRLQENDVDPTAPPYDSLATYAYEGSGSVAESLSSIDSLTTEADQDYDYLTDWGPRFKVLADMFGEEESYNPDKVT
+>DECOY_sp|P55289|CAD12_HUMAN Cadherin-12 OS=Homo sapiens OX=9606 GN=CDH12 PE=2 SV=2
+TVKDPNYSEEEGFMDALVKFRPGWDTLYDYDQDAETTLSDISSLSEAVSGSGEYAYTALSDYPPATPDVDNEQLRQHIFDRIDTNDEMPPRQRPLCLSDPKIDRRIKNEEIVKPNRLAGIDFAQTDEEGGGEDDYHIVNDRIDEKSTMLTDKKKQRRLAVYLVVIALLIVICLLIAILAGTSLGVPLFIAEVNCSLITGDSDCRCVRITMTNTSSQVPYSSDEIVVPLFYLEQQRRSYGNRRTEIGATNNRFDRVTFNPKIAAEPSLRFSFQQGAPSLDRDAASVIQIIQGPKANECVATEYPVSIEPPFENVDLVNILINVKSTLLPNSVKSAIISFNYQATSERDLLENTAITGENGDITFYSDGDSKWDIFYRVASSGVDLDQATVAGIITGVPTDEYVEMTYLPKSFVPPEDVDLVSIKVTATDKFPGASHFRHDLHLNSAEVKFTYAKKTEFDLPKKLKIVGEQTDEDTVIDFLNGGDGPVINYEIEANQGFDPDVARIRGIASGIPSSEPVKLHFISKPFRPPNDNVDTLTINVITTGALGGLQGGMDKAQILVQYQEKVERDMNPLATRIVGTKPDISFYPQGQLISYVVRASNGYTPDDADTAKVQLVYAGVPSMEPVTAVYPGDLFKPENDNIDQVKIIFESEPELPKRTEIDVAQARLTYFPKEERDLSRIAHIDGTTEDITFVTGAGDGSLTYKVTGEGKDLDSHLKGVYQPESGVYEELVFFQNWVWGRKVRQFHSRQGPLHIVNERPETALTQQPQPQLPTLLGGDFLVWLLLSLCNRTLM
+>sp|O75309|CAD16_HUMAN Cadherin-16 OS=Homo sapiens OX=9606 GN=CDH16 PE=2 SV=1
+MVPAWLWLLCVSVPQALPKAQPAELSVEVPENYGGNFPLYLTKLPLPREGAEGQIVLSGDSGKATEGPFAMDPDSGFLLVTRALDREEQAEYQLQVTLEMQDGHVLWGPQPVLVHVKDENDQVPHFSQAIYRARLSRGTRPGIPFLFLEASDRDEPGTANSDLRFHILSQAPAQPSPDMFQLEPRLGALALSPKGSTSLDHALERTYQLLVQVKDMGDQASGHQATATVEVSIIESTWVSLEPIHLAENLKVLYPHHMAQVHWSGGDVHYHLESHPPGPFEVNAEGNLYVTRELDREAQAEYLLQVRAQNSHGEDYAAPLELHVLVMDENDNVPICPPRDPTVSIPELSPPGTEVTRLSAEDADAPGSPNSHVVYQLLSPEPEDGVEGRAFQVDPTSGSVTLGVLPLRAGQNILLLVLAMDLAGAEGGFSSTCEVEVAVTDINDHAPEFITSQIGPISLPEDVEPGTLVAMLTAIDADLEPAFRLMDFAIERGDTEGTFGLDWEPDSGHVRLRLCKNLSYEAAPSHEVVVVVQSVAKLVGPGPGPGATATVTVLVERVMPPPKLDQESYEASVPISAPAGSFLLTIQPSDPISRTLRFSLVNDSEGWLCIEKFSGEVHTAQSLQGAQPGDTYTVLVEAQDTDEPRLSASAPLVIHFLKAPPAPALTLAPVPSQYLCTPRQDHGLIVSGPSKDPDLASGHGPYSFTLGPNPTVQRDWRLQTLNGSHAYLTLALHWVEPREHIIPVVVSHNAQMWQLLVRVIVCRCNVEGQCMRKVGRMKGMPTKLSAVGILVGTLVAIGIFLILIFTHWTMSRKKDPDQPADSVPLKATV
+>DECOY_sp|O75309|CAD16_HUMAN Cadherin-16 OS=Homo sapiens OX=9606 GN=CDH16 PE=2 SV=1
+VTAKLPVSDAPQDPDKKRSMTWHTFILILFIGIAVLTGVLIGVASLKTPMGKMRGVKRMCQGEVNCRCVIVRVLLQWMQANHSVVVPIIHERPEVWHLALTLYAHSGNLTQLRWDRQVTPNPGLTFSYPGHGSALDPDKSPGSVILGHDQRPTCLYQSPVPALTLAPAPPAKLFHIVLPASASLRPEDTDQAEVLVTYTDGPQAGQLSQATHVEGSFKEICLWGESDNVLSFRLTRSIPDSPQITLLFSGAPASIPVSAEYSEQDLKPPPMVREVLVTVTATAGPGPGPGVLKAVSQVVVVVEHSPAAEYSLNKCLRLRVHGSDPEWDLGFTGETDGREIAFDMLRFAPELDADIATLMAVLTGPEVDEPLSIPGIQSTIFEPAHDNIDTVAVEVECTSSFGGEAGALDMALVLLLINQGARLPLVGLTVSGSTPDVQFARGEVGDEPEPSLLQYVVHSNPSGPADADEASLRTVETGPPSLEPISVTPDRPPCIPVNDNEDMVLVHLELPAAYDEGHSNQARVQLLYEAQAERDLERTVYLNGEANVEFPGPPHSELHYHVDGGSWHVQAMHHPYLVKLNEALHIPELSVWTSEIISVEVTATAQHGSAQDGMDKVQVLLQYTRELAHDLSTSGKPSLALAGLRPELQFMDPSPQAPAQSLIHFRLDSNATGPEDRDSAELFLFPIGPRTGRSLRARYIAQSFHPVQDNEDKVHVLVPQPGWLVHGDQMELTVQLQYEAQEERDLARTVLLFGSDPDMAFPGETAKGSDGSLVIQGEAGERPLPLKTLYLPFNGGYNEPVEVSLEAPQAKPLAQPVSVCLLWLWAPVM
+>sp|Q12864|CAD17_HUMAN Cadherin-17 OS=Homo sapiens OX=9606 GN=CDH17 PE=2 SV=3
+MILQAHLHSLCLLMLYLATGYGQEGKFSGPLKPMTFSIYEGQEPSQIIFQFKANPPAVTFELTGETDNIFVIEREGLLYYNRALDRETRSTHNLQVAALDANGIIVEGPVPITIKVKDINDNRPTFLQSKYEGSVRQNSRPGKPFLYVNATDLDDPATPNGQLYYQIVIQLPMINNVMYFQINNKTGAISLTREGSQELNPAKNPSYNLVISVKDMGGQSENSFSDTTSVDIIVTENIWKAPKPVEMVENSTDPHPIKITQVRWNDPGAQYSLVDKEKLPRFPFSIDQEGDIYVTQPLDREEKDAYVFYAVAKDEYGKPLSYPLEIHVKVKDINDNPPTCPSPVTVFEVQENERLGNSIGTLTAHDRDEENTANSFLNYRIVEQTPKLPMDGLFLIQTYAGMLQLAKQSLKKQDTPQYNLTIEVSDKDFKTLCFVQINVIDINDQIPIFEKSDYGNLTLAEDTNIGSTILTIQATDADEPFTGSSKILYHIIKGDSEGRLGVDTDPHTNTGYVIIKKPLDFETAAVSNIVFKAENPEPLVFGVKYNASSFAKFTLIVTDVNEAPQFSQHVFQAKVSEDVAIGTKVGNVTAKDPEGLDISYSLRGDTRGWLKIDHVTGEIFSVAPLDREAGSPYRVQVVATEVGGSSLSSVSEFHLILMDVNDNPPRLAKDYTGLFFCHPLSAPGSLIFEATDDDQHLFRGPHFTFSLGSGSLQNDWEVSKINGTHARLSTRHTEFEEREYVVLIRINDGGRPPLEGIVSLPVTFCSCVEGSCFRPAGHQTGIPTVGMAVGILLTTLLVIGIILAVVFIRIKKDKGKDNVESAQASEVKPLRS
+>DECOY_sp|Q12864|CAD17_HUMAN Cadherin-17 OS=Homo sapiens OX=9606 GN=CDH17 PE=2 SV=3
+SRLPKVESAQASEVNDKGKDKKIRIFVVALIIGIVLLTTLLIGVAMGVTPIGTQHGAPRFCSGEVCSCFTVPLSVIGELPPRGGDNIRILVVYEREEFETHRTSLRAHTGNIKSVEWDNQLSGSGLSFTFHPGRFLHQDDDTAEFILSGPASLPHCFFLGTYDKALRPPNDNVDMLILHFESVSSLSSGGVETAVVQVRYPSGAERDLPAVSFIEGTVHDIKLWGRTDGRLSYSIDLGEPDKATVNGVKTGIAVDESVKAQFVHQSFQPAENVDTVILTFKAFSSANYKVGFVLPEPNEAKFVINSVAATEFDLPKKIIVYGTNTHPDTDVGLRGESDGKIIHYLIKSSGTFPEDADTAQITLITSGINTDEALTLNGYDSKEFIPIQDNIDIVNIQVFCLTKFDKDSVEITLNYQPTDQKKLSQKALQLMGAYTQILFLGDMPLKPTQEVIRYNLFSNATNEEDRDHATLTGISNGLRENEQVEFVTVPSPCTPPNDNIDKVKVHIELPYSLPKGYEDKAVAYFVYADKEERDLPQTVYIDGEQDISFPFRPLKEKDVLSYQAGPDNWRVQTIKIPHPDTSNEVMEVPKPAKWINETVIIDVSTTDSFSNESQGGMDKVSIVLNYSPNKAPNLEQSGERTLSIAGTKNNIQFYMVNNIMPLQIVIQYYLQGNPTAPDDLDTANVYLFPKGPRSNQRVSGEYKSQLFTPRNDNIDKVKITIPVPGEVIIGNADLAAVQLNHTSRTERDLARNYYLLGEREIVFINDTEGTLEFTVAPPNAKFQFIIQSPEQGEYISFTMPKLPGSFKGEQGYGTALYLMLLCLSHLHAQLIM
+>sp|Q13634|CAD18_HUMAN Cadherin-18 OS=Homo sapiens OX=9606 GN=CDH18 PE=2 SV=1
+MKITSTSCICPVLVCLCFVQRCYGTAHHSSIKVMRNQTKHIEGETEVHHRPKRGWVWNQFFVLEEHMGPDPQYVGKLHSNSDKGDGSVKYILTGEGAGTIFIIDDTTGDIHSTKSLDREQKTHYVLHAQAIDRRTNKPLEPESEFIIKVQDINDNAPKFTDGPYIVTVPEMSDMGTSVLQVTATDADDPTYGNSARVVYSILQGQPYFSVDPKTGVIRTALHNMDREAREHYSVVIQAKDMAGQVGGLSGSTTVNITLTDVNDNPPRFPQKHYQLYVPESAQVGSAVGKIKANDADTGSNADMTYSIINGDGMGIFSISTDKETREGILSLKKPLNYEKKKSYTLNIEGANTHLDFRFSHLGPFKDATMLKIIVGDVDEPPLFSMPSYLMEVYENAKIGTVVGTVLAQDPDSTNSLVRYFINYNVEDDRFFNIDANTGTIRTTKVLDREETPWYNITVTASEIDNPDLLSHVTVGIRVLDVNDNPPELAREYDIIVCENSKPGQVIHTISATDKDDFANGPRFNFFLDERLPVNPNFTLKDNEDNTASILTRRRRFSRTVQDVYYLPIMISDGGIPSLSSSSTLTIRVCACERDGRVRTCHAEAFLSSAGLSTGALIAILLCVLILLAIVVLFITLRRSKKEPLIISEEDVRENVVTYDDEGGGEEDTEAFDITALRNPSAAEELKYRRDIRPEVKLTPRHQTSSTLESIDVQEFIKQRLAEADLDPSVPPYDSLQTYAYEGQRSEAGSISSLDSATTQSDQDYHYLGDWGPEFKKLAELYGEIESERTT
+>DECOY_sp|Q13634|CAD18_HUMAN Cadherin-18 OS=Homo sapiens OX=9606 GN=CDH18 PE=2 SV=1
+TTRESEIEGYLEALKKFEPGWDGLYHYDQDSQTTASDLSSISGAESRQGEYAYTQLSDYPPVSPDLDAEALRQKIFEQVDISELTSSTQHRPTLKVEPRIDRRYKLEEAASPNRLATIDFAETDEEGGGEDDYTVVNERVDEESIILPEKKSRRLTIFLVVIALLILVCLLIAILAGTSLGASSLFAEAHCTRVRGDRECACVRITLTSSSSLSPIGGDSIMIPLYYVDQVTRSFRRRRTLISATNDENDKLTFNPNVPLREDLFFNFRPGNAFDDKDTASITHIVQGPKSNECVIIDYERALEPPNDNVDLVRIGVTVHSLLDPNDIESATVTINYWPTEERDLVKTTRITGTNADINFFRDDEVNYNIFYRVLSNTSDPDQALVTGVVTGIKANEYVEMLYSPMSFLPPEDVDGVIIKLMTADKFPGLHSFRFDLHTNAGEINLTYSKKKEYNLPKKLSLIGERTEKDTSISFIGMGDGNIISYTMDANSGTDADNAKIKGVASGVQASEPVYLQYHKQPFRPPNDNVDTLTINVTTSGSLGGVQGAMDKAQIVVSYHERAERDMNHLATRIVGTKPDVSFYPQGQLISYVVRASNGYTPDDADTATVQLVSTGMDSMEPVTVIYPGDTFKPANDNIDQVKIIFESEPELPKNTRRDIAQAHLVYHTKQERDLSKTSHIDGTTDDIIFITGAGEGTLIYKVSGDGKDSNSHLKGVYQPDPGMHEELVFFQNWVWGRKPRHHVETEGEIHKTQNRMVKISSHHATGYCRQVFCLCVLVPCICSTSTIKM
+>sp|Q9UJ99|CAD22_HUMAN Cadherin-22 OS=Homo sapiens OX=9606 GN=CDH22 PE=2 SV=2
+MRPRPEGRGLRAGVALSPALLLLLLLPPPPTLLGRLWAAGTPSPSAPGARQDGALGAGRVKRGWVWNQFFVVEEYTGTEPLYVGKIHSDSDEGDGAIKYTISGEGAGTIFLIDELTGDIHAMERLDREQKTFYTLRAQARDRATNRLLEPESEFIIKVQDINDSEPRFLHGPYIGSVAELSPTGTSVMQVMASDADDPTYGSSARLVYSVLDGEHHFTVDPKTGVIRTAVPDLDRESQERYEVVIQATDMAGQLGGLSGSTTVTIVVTDVNDNPPRFPQKMYQFSIQESAPIGTAVGRVKAEDSDVGENTDMTYHLKDESSSGGDVFKVTTDSDTQEAIIVVQKRLDFESQPVHTVILEALNKFVDPRFADLGTFRDQAIVRVAVTDVDEPPEFRPPSGLLEVQEDAQVGSLVGVVTARDPDAANRPVRYAIDRESDLDQIFDIDADTGAIVTGKGLDRETAGWHNITVLAMEADNHAQLSRASLRIRILDVNDNPPELATPYEAAVCEDAKPGQLIQTISVVDRDEPQGGHRFYFRLVPEAPSNPHFSLLDIQDNTAAVHTQHVGFNRQEQDVFFLPILVVDSGPPTLSSTGTLTIRICGCDSSGTIQSCNTTAFVMAASLSPGALIALLVCVLILVVLVLLILTLRRHHKSHLSSDEDEDMRDNVIKYNDEGGGEQDTEAYDMSALRSLYDFGELKGGDGGGSAGGGAGGGSGGGAGSPPQAHLPSERHSLPQGPPSPEPDFSVFRDFISRKVALADGDLSVPPYDAFQTYAFEGADSPAASLSSLHSGSSGSEQDFAYLSSWGPRFRPLAALYAGHRGDDEAQAS
+>DECOY_sp|Q9UJ99|CAD22_HUMAN Cadherin-22 OS=Homo sapiens OX=9606 GN=CDH22 PE=2 SV=2
+SAQAEDDGRHGAYLAALPRFRPGWSSLYAFDQESGSSGSHLSSLSAAPSDAGEFAYTQFADYPPVSLDGDALAVKRSIFDRFVSFDPEPSPPGQPLSHRESPLHAQPPSGAGGGSGGGAGGGASGGGDGGKLEGFDYLSRLASMDYAETDQEGGGEDNYKIVNDRMDEDEDSSLHSKHHRRLTLILLVLVVLILVCVLLAILAGPSLSAAMVFATTNCSQITGSSDCGCIRITLTGTSSLTPPGSDVVLIPLFFVDQEQRNFGVHQTHVAATNDQIDLLSFHPNSPAEPVLRFYFRHGGQPEDRDVVSITQILQGPKADECVAAEYPTALEPPNDNVDLIRIRLSARSLQAHNDAEMALVTINHWGATERDLGKGTVIAGTDADIDFIQDLDSERDIAYRVPRNAADPDRATVVGVLSGVQADEQVELLGSPPRFEPPEDVDTVAVRVIAQDRFTGLDAFRPDVFKNLAELIVTHVPQSEFDLRKQVVIIAEQTDSDTTVKFVDGGSSSEDKLHYTMDTNEGVDSDEAKVRGVATGIPASEQISFQYMKQPFRPPNDNVDTVVITVTTSGSLGGLQGAMDTAQIVVEYREQSERDLDPVATRIVGTKPDVTFHHEGDLVSYVLRASSGYTPDDADSAMVQMVSTGTPSLEAVSGIYPGHLFRPESDNIDQVKIIFESEPELLRNTARDRAQARLTYFTKQERDLREMAHIDGTLEDILFITGAGEGSITYKIAGDGEDSDSHIKGVYLPETGTYEEVVFFQNWVWGRKVRGAGLAGDQRAGPASPSPTGAAWLRGLLTPPPPLLLLLLLAPSLAVGARLGRGEPRPRM
+>sp|P47756|CAPZB_HUMAN F-actin-capping protein subunit beta OS=Homo sapiens OX=9606 GN=CAPZB PE=1 SV=4
+MSDQQLDCALDLMRRLPPQQIEKNLSDLIDLVPSLCEDLLSSVDQPLKIARDKVVGKDYLLCDYNRDGDSYRSPWSNKYDPPLEDGAMPSARLRKLEVEANNAFDQYRDLYFEGGVSSVYLWDLDHGFAGVILIKKAGDGSKKIKGCWDSIHVVEVQEKSSGRTAHYKLTSTVMLWLQTNKSGSGTMNLGGSLTRQMEKDETVSDCSPHIANIGRLVEDMENKIRSTLNEIYFGKTKDIVNGLRSIDAIPDNQKFKQLQRELSQVLTQRQIYIQPDN
+>DECOY_sp|P47756|CAPZB_HUMAN F-actin-capping protein subunit beta OS=Homo sapiens OX=9606 GN=CAPZB PE=1 SV=4
+NDPQIYIQRQTLVQSLERQLQKFKQNDPIADISRLGNVIDKTKGFYIENLTSRIKNEMDEVLRGINAIHPSCDSVTEDKEMQRTLSGGLNMTGSGSKNTQLWLMVTSTLKYHATRGSSKEQVEVVHISDWCGKIKKSGDGAKKILIVGAFGHDLDWLYVSSVGGEFYLDRYQDFANNAEVELKRLRASPMAGDELPPDYKNSWPSRYSDGDRNYDCLLYDKGVVKDRAIKLPQDVSSLLDECLSPVLDILDSLNKEIQQPPLRRMLDLACDLQQDSM
+>sp|Q9Y2G2|CARD8_HUMAN Caspase recruitment domain-containing protein 8 OS=Homo sapiens OX=9606 GN=CARD8 PE=1 SV=1
+MMRQRQSHYCSVLFLSVNYLGGTFPGDICSEENQIVSSYASKVCFEIEEDYKNRQFLGPEGNVDVELIDKSTNRYSVWFPTAGWYLWSATGLGFLVRDEVTVTIAFGSWSQHLALDLQHHEQWLVGGPLFDVTAEPEEAVAEIHLPHFISLQGEVDVSWFLVAHFKNEGMVLEHPARVEPFYAVLESPSFSLMGILLRIASGTRLSIPITSNTLIYYHPHPEDIKFHLYLVPSDALLTKAIDDEEDRFHGVRLQTSPPMEPLNFGSSYIVSNSANLKVMPKELKLSYRSPGEIQHFSKFYAGQMKEPIQLEITEKRHGTLVWDTEVKPVDLQLVAASAPPPFSGAAFVKENHRQLQARMGDLKGVLDDLQDNEVLTENEKELVEQEKTRQSKNEALLSMVEKKGDLALDVLFRSISERDPYLVSYLRQQNL
+>DECOY_sp|Q9Y2G2|CARD8_HUMAN Caspase recruitment domain-containing protein 8 OS=Homo sapiens OX=9606 GN=CARD8 PE=1 SV=1
+LNQQRLYSVLYPDRESISRFLVDLALDGKKEVMSLLAENKSQRTKEQEVLEKENETLVENDQLDDLVGKLDGMRAQLQRHNEKVFAAGSFPPPASAAVLQLDVPKVETDWVLTGHRKETIELQIPEKMQGAYFKSFHQIEGPSRYSLKLEKPMVKLNASNSVIYSSGFNLPEMPPSTQLRVGHFRDEEDDIAKTLLADSPVLYLHFKIDEPHPHYYILTNSTIPISLRTGSAIRLLIGMLSFSPSELVAYFPEVRAPHELVMGENKFHAVLFWSVDVEGQLSIFHPLHIEAVAEEPEATVDFLPGGVLWQEHHQLDLALHQSWSGFAITVTVEDRVLFGLGTASWLYWGATPFWVSYRNTSKDILEVDVNGEPGLFQRNKYDEEIEFCVKSAYSSVIQNEESCIDGPFTGGLYNVSLFLVSCYHSQRQRMM
+>sp|Q9NXV6|CARF_HUMAN CDKN2A-interacting protein OS=Homo sapiens OX=9606 GN=CDKN2AIP PE=1 SV=3
+MAQEVSEYLSQNPRVAAWVEALRCDGETDKHWRHRRDFLLRNAGDLAPAGGAASASTDEAADAESGTRNRQLQQLISFSMAWANHVFLGCRYPQKVMDKILSMAEGIKVTDAPTYTTRDELVAKVKKRGISSSNEGVEEPSKKRVIEGKNSSAVEQDHAKTSAKTERASAQQENSSTCIGSAIKSESGNSARSSGISSQNSSTSDGDRSVSSQSSSSVSSQVTTAGSGKASEAEAPDKHGSASFVSLLKSSVNSHMTQSTDSRQQSGSPKKSALEGSSASASQSSSEIEVPLLGSSGSSEVELPLLSSKPSSETASSGLTSKTSSEASVSSSVAKNSSSSGTSLLTPKSSSSTNTSLLTSKSTSQVAASLLASKSSSQTSGSLVSKSTSLASVSQLASKSSSQTSTSQLPSKSTSQSSESSVKFSCKLTNEDVKQKQPFFNRLYKTVAWKLVAVGGFSPNVNHGELLNAAIEALKATLDVFFVPLKELADLPQNKSSQESIVCELRCKSVYLGTGCGKSKENAKAVASREALKLFLKKKVVVKICKRKYRGSEIEDLVLLDEESRPVNLPPALKHPQELL
+>DECOY_sp|Q9NXV6|CARF_HUMAN CDKN2A-interacting protein OS=Homo sapiens OX=9606 GN=CDKN2AIP PE=1 SV=3
+LLEQPHKLAPPLNVPRSEEDLLVLDEIESGRYKRKCIKVVVKKKLFLKLAERSAVAKANEKSKGCGTGLYVSKCRLECVISEQSSKNQPLDALEKLPVFFVDLTAKLAEIAANLLEGHNVNPSFGGVAVLKWAVTKYLRNFFPQKQKVDENTLKCSFKVSSESSQSTSKSPLQSTSTQSSSKSALQSVSALSTSKSVLSGSTQSSSKSALLSAAVQSTSKSTLLSTNTSSSSKPTLLSTGSSSSNKAVSSSVSAESSTKSTLGSSATESSPKSSLLPLEVESSGSSGLLPVEIESSSQSASASSGELASKKPSGSQQRSDTSQTMHSNVSSKLLSVFSASGHKDPAEAESAKGSGATTVQSSVSSSSQSSVSRDGDSTSSNQSSIGSSRASNGSESKIASGICTSSNEQQASARETKASTKAHDQEVASSNKGEIVRKKSPEEVGENSSSIGRKKVKAVLEDRTTYTPADTVKIGEAMSLIKDMVKQPYRCGLFVHNAWAMSFSILQQLQRNRTGSEADAAEDTSASAAGGAPALDGANRLLFDRRHRWHKDTEGDCRLAEVWAAVRPNQSLYESVEQAM
+>sp|Q8ND23|CARL3_HUMAN Capping protein, Arp2/3 and myosin-I linker protein 3 OS=Homo sapiens OX=9606 GN=CARMIL3 PE=2 SV=2
+MAKPSVELTRELQDSIRRCLSQGAVLQQHHVKLETKPKKFEDRVLALTSWRLHLFLLKVPAKVESSFNVLEIRAFNTLSQNQILVETERGMVSMRLPSAESVDQVTRHVSSALSKVCPGPGCLIRRGNADTPEGPRDTSPNSETSTSTTHSVCGGFSETYAALCDYNGLHCREEVQWDVDTIYHAEDNREFNLLDFSHLESRDLALMVAALAYNQWFTKLYCKDLRLGSEVLEQVLHTLSKSGSLEELVLDNAGLKTDFVQKLAGVFGENGSCVLHALTLSHNPIEDKGFLSLSQQLLCFPSGLTKLCLAKTAISPRGLQALGQTFGANPAFASSLRYLDLSKNPGLLATDEANALYSFLAQPNALVHLDLSGTDCVIDLLLGALLHGCCSHLTYLNLARNSCSHRKGREAPPAFKQFFSSAYTLSHVNLSATKLPLEALRALLQGLSLNSHLSDLHLDLSSCELRSAGAQALQEQLGAVTCVGSLDLSDNGFDSDLLTLVPALGKNKSLKHLFLGKNFNVKAKTLEEILHKLVQLIQEEDCSLQSLSVADSRLKLRTSILINALGSNTCLAKVDLSGNGMEDIGAKMLSKALQINSSLRTILWDRNNTSALGFLDIARALESNHTLRFMSFPVSDISQAYRSAPERTEDVWQKIQWCLVRNNHSQTCPQEQAFRLQQGLVTSSAEQMLQRLCGRVQEEVRALRLCPLEPVQDELLYARDLIKDAKNSRALFPSLYELGHVLANDGPVRQRLESVASEVSKAVDKELQVILESMVSLTQELCPVAMRVAEGHNKMLSNVAERVTVPRNFIRGALLEQAGQDIQNKLDEVKLSVVTYLTSSIVDEILQELYHSHKSLARHLTQLRTLSDPPGCPGQGQDLSSRGRGRNHDHEETTDDELGTNIDTMAIKKQKRCRKIRPVSAFISGSPQDMESQLGNLGIPPGWFSGLGGSQPTASGSWEGLSELPTHGYKLRHQTQGRPRPPRTTPPGPGRPSMPAPGTRQENGMATRLDEGLEDFFSRRVLEESSSYPRTLRTVRPGLSEAPLPPLQKKRRRGLFHFRRPRSFKGDRGPGSPTTGLLLPPPPPPPPTQESPPSPDPPSLGNNSSPCWSPEEESSLLPGFGGGRGPSFRRKMGTEGSEPGEGGPAPGTAQQPRVHGVALPGLERAKGWSFDGKREGPGPDQEGSTQAWQKRRSSDDAGPGSWKPPPPPQSTKPSFSAMRRAEATWHIAEESAPNHSCQSPSPASQDGEEEKEGTLFPERTLPARNAKLQDPALAPWPPKPVAVPRGRQPPQEPGVREEAEAGDAAPGVNKPRLRLSSQQDQEEPEVQGPPDPGRRTAPLKPKRTRRAQSCDKLEPDRRRPPDPTGTSEPGTD
+>DECOY_sp|Q8ND23|CARL3_HUMAN Capping protein, Arp2/3 and myosin-I linker protein 3 OS=Homo sapiens OX=9606 GN=CARMIL3 PE=2 SV=2
+DTGPESTGTPDPPRRRDPELKDCSQARRTRKPKLPATRRGPDPPGQVEPEEQDQQSSLRLRPKNVGPAADGAEAEERVGPEQPPQRGRPVAVPKPPWPALAPDQLKANRAPLTREPFLTGEKEEEGDQSAPSPSQCSHNPASEEAIHWTAEARRMASFSPKTSQPPPPPKWSGPGADDSSRRKQWAQTSGEQDPGPGERKGDFSWGKARELGPLAVGHVRPQQATGPAPGGEGPESGETGMKRRFSPGRGGGFGPLLSSEEEPSWCPSSNNGLSPPDPSPPSEQTPPPPPPPPLLLGTTPSGPGRDGKFSRPRRFHFLGRRRKKQLPPLPAESLGPRVTRLTRPYSSSEELVRRSFFDELGEDLRTAMGNEQRTGPAPMSPRGPGPPTTRPPRPRGQTQHRLKYGHTPLESLGEWSGSATPQSGGLGSFWGPPIGLNGLQSEMDQPSGSIFASVPRIKRCRKQKKIAMTDINTGLEDDTTEEHDHNRGRGRSSLDQGQGPCGPPDSLTRLQTLHRALSKHSHYLEQLIEDVISSTLYTVVSLKVEDLKNQIDQGAQELLAGRIFNRPVTVREAVNSLMKNHGEAVRMAVPCLEQTLSVMSELIVQLEKDVAKSVESAVSELRQRVPGDNALVHGLEYLSPFLARSNKADKILDRAYLLEDQVPELPCLRLARVEEQVRGCLRQLMQEASSTVLGQQLRFAQEQPCTQSHNNRVLCWQIKQWVDETREPASRYAQSIDSVPFSMFRLTHNSELARAIDLFGLASTNNRDWLITRLSSNIQLAKSLMKAGIDEMGNGSLDVKALCTNSGLANILISTRLKLRSDAVSLSQLSCDEEQILQVLKHLIEELTKAKVNFNKGLFLHKLSKNKGLAPVLTLLDSDFGNDSLDLSGVCTVAGLQEQLAQAGASRLECSSLDLHLDSLHSNLSLGQLLARLAELPLKTASLNVHSLTYASSFFQKFAPPAERGKRHSCSNRALNLYTLHSCCGHLLAGLLLDIVCDTGSLDLHVLANPQALFSYLANAEDTALLGPNKSLDLYRLSSAFAPNAGFTQGLAQLGRPSIATKALCLKTLGSPFCLLQQSLSLFGKDEIPNHSLTLAHLVCSGNEGFVGALKQVFDTKLGANDLVLEELSGSKSLTHLVQELVESGLRLDKCYLKTFWQNYALAAVMLALDRSELHSFDLLNFERNDEAHYITDVDWQVEERCHLGNYDCLAAYTESFGGCVSHTTSTSTESNPSTDRPGEPTDANGRRILCGPGPCVKSLASSVHRTVQDVSEASPLRMSVMGRETEVLIQNQSLTNFARIELVNFSSEVKAPVKLLFLHLRWSTLALVRDEFKKPKTELKVHHQQLVAGQSLCRRISDQLERTLEVSPKAM
+>sp|Q8N4J0|CARME_HUMAN Carnosine N-methyltransferase OS=Homo sapiens OX=9606 GN=CARNMT1 PE=1 SV=1
+MQRRRRPPPPTSRLPEGCGGGGGGSEEVEVQFSAGRWGSAAAVSAAAAAATRSTEEEEERLEREHFWKIINAFRYYGTSMHERVNRTERQFRSLPANQQKLLPQFLLHLDKIRKCIDHNQEILLTIVNDCIHMFENKEYGEDGNGKIMPASTFDMDKLKSTLKQFVRDWSETGKAERDACYQPIIKEILKNFPKERWDPSKVNILVPGAGLGRLAWEIAMLGYACQGNEWSFFMLFSSNFVLNRCSEINKYKLYPWIHQFSNNRRSADQIRPIFFPDVDPHSLPPGSNFSMTAGDFQEIYSECNTWDCIATCFFIDTAHNVIDYIDTIWKILKPGGIWINLGPLLYHFENLANELSIELSYEDIKNVVLQYGFKVEVEKESVLSTYTVNDLSMMKYYYECVLFVVRKPQ
+>DECOY_sp|Q8N4J0|CARME_HUMAN Carnosine N-methyltransferase OS=Homo sapiens OX=9606 GN=CARNMT1 PE=1 SV=1
+QPKRVVFLVCEYYYKMMSLDNVTYTSLVSEKEVEVKFGYQLVVNKIDEYSLEISLENALNEFHYLLPGLNIWIGGPKLIKWITDIYDIVNHATDIFFCTAICDWTNCESYIEQFDGATMSFNSGPPLSHPDVDPFFIPRIQDASRRNNSFQHIWPYLKYKNIESCRNLVFNSSFLMFFSWENGQCAYGLMAIEWALRGLGAGPVLINVKSPDWREKPFNKLIEKIIPQYCADREAKGTESWDRVFQKLTSKLKDMDFTSAPMIKGNGDEGYEKNEFMHICDNVITLLIEQNHDICKRIKDLHLLFQPLLKQQNAPLSRFQRETRNVREHMSTGYYRFANIIKWFHERELREEEEETSRTAAAAAASVAAASGWRGASFQVEVEESGGGGGGCGEPLRSTPPPPRRRRQM
+>sp|Q6TDU7|CASC1_HUMAN Protein CASC1 OS=Homo sapiens OX=9606 GN=CASC1 PE=2 SV=2
+MSGSKKKKVTKAERLKLLQEEEERRLKEEEEARLKYEKEEMERLEIQRIEKEKWHRLEAKDLERRNEELEELYLLERCFPEAEKLKQETKLLSQWKHYIQCDGSPDPSVAQEMNTFISLWKEKTNETFEEVIEKSKVVLNLIEKLKFILLETPPCDLQDKNIIQYQESILQLQELLHLKFGVATEILLKQASTLADLDSGNMEKVIKDENVTLYVWANLKKNPRHRSVRFSETQIGFEIPRILATSDIAVRLLHTHYDHVSALHPVSTPSKEYTSAVTELVKDDVKNVEKAISKEVEEESKQQERGSHLIQEEEIKVEEEQGDIEVKMSSAEEESEAIKCEREMKVLSETVSAAQLLLVENSSEKPDFFEDNVVDLCQFTTLGGVYHLDILELPPQCKPVKGWMIVEILKEGLQKYTYPPETTEEFETENAFPPIEVTLEVHENVIFFEDPVVVRWDAEGKHWRTDGISNVSYKPKERLVTFSLDTFGPVTLIQDAHINMPYQSWELRPLDVNKVLLTVTTVFTEIQIQIKENLCMLSSIKLKDKKHISILEGTWMTPIPFIIALKEAGLNIFPTRHSHFYVIINNKVPLVEVKAYRQMALLSSAFAFGWSKWNLLCNSTKVVFKVREHLTEACTENPNWALLMFSGDRAQRLKIKEESEAFSEALKEETEFHSTLYHMVKDFASEEAMEKVRSSNCQFVNSVCHMLLSTRLLSYS
+>DECOY_sp|Q6TDU7|CASC1_HUMAN Protein CASC1 OS=Homo sapiens OX=9606 GN=CASC1 PE=2 SV=2
+SYSLLRTSLLMHCVSNVFQCNSSRVKEMAEESAFDKVMHYLTSHFETEEKLAESFAESEEKIKLRQARDGSFMLLAWNPNETCAETLHERVKFVVKTSNCLLNWKSWGFAFASSLLAMQRYAKVEVLPVKNNIIVYFHSHRTPFINLGAEKLAIIFPIPTMWTGELISIHKKDKLKISSLMCLNEKIQIQIETFVTTVTLLVKNVDLPRLEWSQYPMNIHADQILTVPGFTDLSFTVLREKPKYSVNSIGDTRWHKGEADWRVVVPDEFFIVNEHVELTVEIPPFANETEFEETTEPPYTYKQLGEKLIEVIMWGKVPKCQPPLELIDLHYVGGLTTFQCLDVVNDEFFDPKESSNEVLLLQAASVTESLVKMERECKIAESEEEASSMKVEIDGQEEEVKIEEEQILHSGREQQKSEEEVEKSIAKEVNKVDDKVLETVASTYEKSPTSVPHLASVHDYHTHLLRVAIDSTALIRPIEFGIQTESFRVSRHRPNKKLNAWVYLTVNEDKIVKEMNGSDLDALTSAQKLLIETAVGFKLHLLEQLQLISEQYQIINKDQLDCPPTELLIFKLKEILNLVVKSKEIVEEFTENTKEKWLSIFTNMEQAVSPDPSGDCQIYHKWQSLLKTEQKLKEAEPFCRELLYLEELEENRRELDKAELRHWKEKEIRQIELREMEEKEYKLRAEEEEKLRREEEEQLLKLREAKTVKKKKSGSM
+>sp|P29466|CASP1_HUMAN Caspase-1 OS=Homo sapiens OX=9606 GN=CASP1 PE=1 SV=1
+MADKVLKEKRKLFIRSMGEGTINGLLDELLQTRVLNKEEMEKVKRENATVMDKTRALIDSVIPKGAQACQICITYICEEDSYLAGTLGLSADQTSGNYLNMQDSQGVLSSFPAPQAVQDNPAMPTSSGSEGNVKLCSLEEAQRIWKQKSAEIYPIMDKSSRTRLALIICNEEFDSIPRRTGAEVDITGMTMLLQNLGYSVDVKKNLTASDMTTELEAFAHRPEHKTSDSTFLVFMSHGIREGICGKKHSEQVPDILQLNAIFNMLNTKNCPSLKDKPKVIIIQACRGDSPGVVWFKDSVGVSGNLSLPTTEEFEDDAIKKAHIEKDFIAFCSSTPDNVSWRHPTMGSVFIGRLIEHMQEYACSCDVEEIFRKVRFSFEQPDGRAQMPTTERVTLTRCFYLFPGH
+>DECOY_sp|P29466|CASP1_HUMAN Caspase-1 OS=Homo sapiens OX=9606 GN=CASP1 PE=1 SV=1
+HGPFLYFCRTLTVRETTPMQARGDPQEFSFRVKRFIEEVDCSCAYEQMHEILRGIFVSGMTPHRWSVNDPTSSCFAIFDKEIHAKKIADDEFEETTPLSLNGSVGVSDKFWVVGPSDGRCAQIIIVKPKDKLSPCNKTNLMNFIANLQLIDPVQESHKKGCIGERIGHSMFVLFTSDSTKHEPRHAFAELETTMDSATLNKKVDVSYGLNQLLMTMGTIDVEAGTRRPISDFEENCIILALRTRSSKDMIPYIEASKQKWIRQAEELSCLKVNGESGSSTPMAPNDQVAQPAPFSSLVGQSDQMNLYNGSTQDASLGLTGALYSDEECIYTICIQCAQAGKPIVSDILARTKDMVTANERKVKEMEEKNLVRTQLLEDLLGNITGEGMSRIFLKRKEKLVKDAM
+>sp|P49662|CASP4_HUMAN Caspase-4 OS=Homo sapiens OX=9606 GN=CASP4 PE=1 SV=1
+MAEGNHRKKPLKVLESLGKDFLTGVLDNLVEQNVLNWKEEEKKKYYDAKTEDKVRVMADSMQEKQRMAGQMLLQTFFNIDQISPNKKAHPNMEAGPPESGESTDALKLCPHEEFLRLCKERAEEIYPIKERNNRTRLALIICNTEFDHLPPRNGADFDITGMKELLEGLDYSVDVEENLTARDMESALRAFATRPEHKSSDSTFLVLMSHGILEGICGTVHDEKKPDVLLYDTIFQIFNNRNCLSLKDKPKVIIVQACRGANRGELWVRDSPASLEVASSQSSENLEEDAVYKTHVEKDFIAFCSSTPHNVSWRDSTMGSIFITQLITCFQKYSWCCHLEEVFRKVQQSFETPRAKAQMPTIERLSMTRYFYLFPGN
+>DECOY_sp|P49662|CASP4_HUMAN Caspase-4 OS=Homo sapiens OX=9606 GN=CASP4 PE=1 SV=1
+NGPFLYFYRTMSLREITPMQAKARPTEFSQQVKRFVEELHCCWSYKQFCTILQTIFISGMTSDRWSVNHPTSSCFAIFDKEVHTKYVADEELNESSQSSAVELSAPSDRVWLEGRNAGRCAQVIIVKPKDKLSLCNRNNFIQFITDYLLVDPKKEDHVTGCIGELIGHSMLVLFTSDSSKHEPRTAFARLASEMDRATLNEEVDVSYDLGELLEKMGTIDFDAGNRPPLHDFETNCIILALRTRNNREKIPYIEEAREKCLRLFEEHPCLKLADTSEGSEPPGAEMNPHAKKNPSIQDINFFTQLLMQGAMRQKEQMSDAMVRVKDETKADYYKKKEEEKWNLVNQEVLNDLVGTLFDKGLSELVKLPKKRHNGEAM
+>sp|Q92851|CASPA_HUMAN Caspase-10 OS=Homo sapiens OX=9606 GN=CASP10 PE=1 SV=3
+MKSQGQHWYSSSDKNCKVSFREKLLIIDSNLGVQDVENLKFLCIGLVPNKKLEKSSSASDVFEHLLAEDLLSEEDPFFLAELLYIIRQKKLLQHLNCTKEEVERLLPTRQRVSLFRNLLYELSEGIDSENLKDMIFLLKDSLPKTEMTSLSFLAFLEKQGKIDEDNLTCLEDLCKTVVPKLLRNIEKYKREKAIQIVTPPVDKEAESYQGEEELVSQTDVKTFLEALPQESWQNKHAGSNGNRATNGAPSLVSRGMQGASANTLNSETSTKRAAVYRMNRNHRGLCVIVNNHSFTSLKDRQGTHKDAEILSHVFQWLGFTVHIHNNVTKVEMEMVLQKQKCNPAHADGDCFVFCILTHGRFGAVYSSDEALIPIREIMSHFTALQCPRLAEKPKLFFIQACQGEEIQPSVSIEADALNPEQAPTSLQDSIPAEADFLLGLATVPGYVSFRHVEEGSWYIQSLCNHLKKLVPRMLKFLEKTMEIRGRKRTVWGAKQISATSLPTAISAQTPRPPMRRWSSVS
+>DECOY_sp|Q92851|CASPA_HUMAN Caspase-10 OS=Homo sapiens OX=9606 GN=CASP10 PE=1 SV=3
+SVSSWRRMPPRPTQASIATPLSTASIQKAGWVTRKRGRIEMTKELFKLMRPVLKKLHNCLSQIYWSGEEVHRFSVYGPVTALGLLFDAEAPISDQLSTPAQEPNLADAEISVSPQIEEGQCAQIFFLKPKEALRPCQLATFHSMIERIPILAEDSSYVAGFRGHTLICFVFCDGDAHAPNCKQKQLVMEMEVKTVNNHIHVTFGLWQFVHSLIEADKHTGQRDKLSTFSHNNVIVCLGRHNRNMRYVAARKTSTESNLTNASAGQMGRSVLSPAGNTARNGNSGAHKNQWSEQPLAELFTKVDTQSVLEEEGQYSEAEKDVPPTVIQIAKERKYKEINRLLKPVVTKCLDELCTLNDEDIKGQKELFALFSLSTMETKPLSDKLLFIMDKLNESDIGESLEYLLNRFLSVRQRTPLLREVEEKTCNLHQLLKKQRIIYLLEALFFPDEESLLDEALLHEFVDSASSSKELKKNPVLGICLFKLNEVDQVGLNSDIILLKERFSVKCNKDSSSYWHQGQSKM
+>sp|Q9NQ75|CASS4_HUMAN Cas scaffolding protein family member 4 OS=Homo sapiens OX=9606 GN=CASS4 PE=1 SV=2
+MKGTGIMDCAPKALLARALYDNCPDCSDELAFSRGDILTILEQHVPESEGWWKCLLHGRQGLAPANRLQILTEVAADRPCPPFLRGLEEAPASSEETYQVPTLPRPPTPGPVYEQMRSWAEGPQPPTAQVYEFPDPPTSARIICEKTLSFPKQAILTLPRPVRASLPTLPSQVYDVPTQHRGPVVLKEPEKQQLYDIPASPKKAGLHPPDSQASGQGVPLISVTTLRRGGYSTLPNPQKSEWIYDTPVSPGKASVRNTPLTSFAEESRPHALPSSSSTFYNPPSGRSRSLTPQLNNNVPMQKKLSLPEIPSYGFLVPRGTFPLDEDVSYKVPSSFLIPRVEQQNTKPNIYDIPKATSSVSQAGKELEKAKEVSENSAGHNSSWFSRRTTSPSPEPDRLSGSSSDSRASIVSSCSTTSTDDSSSSSSEESAKELSLDLDVAKETVMALQHKVVSSVAGLMLFVSRKWRFRDYLEANIDAIHRSTDHIEESVREFLDFARGVHGTACNLTDSNLQNRIRDQMQTISNSYRILLETKESLDNRNWPLEVLVTDSVQNSPDDLERFVMVARMLPEDIKRFASIVIANGRLLFKRNCEKEETVQLTPNAEFKCEKYIQPPQRETESHQKSTPSTKQREDEHSSELLKKNRANICGQNPGPLIPQPSSQQTPERKPRLSEHCRLYFGALFKAISAFHGSLSSSQPAEIITQSKLVIMVGQKLVDTLCMETQERDVRNEILRGSSHLCSLLKDVALATKNAVLTYPSPAALGHLQAEAEKLEQHTRQFRGTLG
+>DECOY_sp|Q9NQ75|CASS4_HUMAN Cas scaffolding protein family member 4 OS=Homo sapiens OX=9606 GN=CASS4 PE=1 SV=2
+GLTGRFQRTHQELKEAEAQLHGLAAPSPYTLVANKTALAVDKLLSCLHSSGRLIENRVDREQTEMCLTDVLKQGVMIVLKSQTIIEAPQSSSLSGHFASIAKFLAGFYLRCHESLRPKREPTQQSSPQPILPGPNQGCINARNKKLLESSHEDERQKTSPTSKQHSETERQPPQIYKECKFEANPTLQVTEEKECNRKFLLRGNAIVISAFRKIDEPLMRAVMVFRELDDPSNQVSDTVLVELPWNRNDLSEKTELLIRYSNSITQMQDRIRNQLNSDTLNCATGHVGRAFDLFERVSEEIHDTSRHIADINAELYDRFRWKRSVFLMLGAVSSVVKHQLAMVTEKAVDLDLSLEKASEESSSSSSDDTSTTSCSSVISARSDSSSGSLRDPEPSPSTTRRSFWSSNHGASNESVEKAKELEKGAQSVSSTAKPIDYINPKTNQQEVRPILFSSPVKYSVDEDLPFTGRPVLFGYSPIEPLSLKKQMPVNNNLQPTLSRSRGSPPNYFTSSSSPLAHPRSEEAFSTLPTNRVSAKGPSVPTDYIWESKQPNPLTSYGGRRLTTVSILPVGQGSAQSDPPHLGAKKPSAPIDYLQQKEPEKLVVPGRHQTPVDYVQSPLTPLSARVPRPLTLIAQKPFSLTKECIIRASTPPDPFEYVQATPPQPGEAWSRMQEYVPGPTPPRPLTPVQYTEESSAPAEELGRLFPPCPRDAAVETLIQLRNAPALGQRGHLLCKWWGESEPVHQELITLIDGRSFALEDSCDPCNDYLARALLAKPACDMIGTGKM
+>sp|P14091|CATE_HUMAN Cathepsin E OS=Homo sapiens OX=9606 GN=CTSE PE=1 SV=3
+MKTLLLLLLVLLELGEAQGSLHRVPLRRHPSLKKKLRARSQLSEFWKSHNLDMIQFTESCSMDQSAKEPLINYLDMEYFGTISIGSPPQNFTVIFDTGSSNLWVPSVYCTSPACKTHSRFQPSQSSTYSQPGQSFSIQYGTGSLSGIIGADQVSVEGLTVVGQQFGESVTEPGQTFVDAEFDGILGLGYPSLAVGGVTPVFDNMMAQNLVDLPMFSVYMSSNPEGGAGSELIFGGYDHSHFSGSLNWVPVTKQAYWQIALDNIQVGGTVMFCSEGCQAIVDTGTSLITGPSDKIKQLQNAIGAAPVDGEYAVECANLNVMPDVTFTINGVPYTLSPTAYTLLDFVDGMQFCSSGFQGLDIHPPAGPLWILGDVFIRQFYSVFDRGNNRVGLAPAVP
+>DECOY_sp|P14091|CATE_HUMAN Cathepsin E OS=Homo sapiens OX=9606 GN=CTSE PE=1 SV=3
+PVAPALGVRNNGRDFVSYFQRIFVDGLIWLPGAPPHIDLGQFGSSCFQMGDVFDLLTYATPSLTYPVGNITFTVDPMVNLNACEVAYEGDVPAAGIANQLQKIKDSPGTILSTGTDVIAQCGESCFMVTGGVQINDLAIQWYAQKTVPVWNLSGSFHSHDYGGFILESGAGGEPNSSMYVSFMPLDVLNQAMMNDFVPTVGGVALSPYGLGLIGDFEADVFTQGPETVSEGFQQGVVTLGEVSVQDAGIIGSLSGTGYQISFSQGPQSYTSSQSPQFRSHTKCAPSTCYVSPVWLNSSGTDFIVTFNQPPSGISITGFYEMDLYNILPEKASQDMSCSETFQIMDLNHSKWFESLQSRARLKKKLSPHRRLPVRHLSGQAEGLELLVLLLLLLTKM
+>sp|P0DPF6|CB27B_HUMAN Uncharacterized protein C2orf27B OS=Homo sapiens OX=9606 GN=C2orf27B PE=2 SV=1
+MFVRPESGEQGPETLAPASGAEIQRFPVPAVEPVPAPGADSPPGTALELEEAPEPSCRCPGTAQDQPSEELPDFMAPPVEPPASALELKVWLELEVAERGGQHSSSQQLPHCSQSWAQWKLWRQRPGFAIWAPLPHWRGTSLIQQSSSPAAEGPAATAAGAVCLPAGGAGEQEKEPVSRGSSRSSCSQRRPPPPGMEVCPQLGIWAICP
+>DECOY_sp|P0DPF6|CB27B_HUMAN Uncharacterized protein C2orf27B OS=Homo sapiens OX=9606 GN=C2orf27B PE=2 SV=1
+PCIAWIGLQPCVEMGPPPPRRQSCSSRSSGRSVPEKEQEGAGGAPLCVAGAATAAPGEAAPSSSQQILSTGRWHPLPAWIAFGPRQRWLKWQAWSQSCHPLQQSSSHQGGREAVELELWVKLELASAPPEVPPAMFDPLEESPQDQATGPCRCSPEPAEELELATGPPSDAGPAPVPEVAPVPFRQIEAGSAPALTEPGQEGSEPRVFM
+>sp|Q6P9G0|CB5D1_HUMAN Cytochrome b5 domain-containing protein 1 OS=Homo sapiens OX=9606 GN=CYB5D1 PE=2 SV=1
+MPRRGLVAGPDLEYFQRRYFTPAEVAQHNRPEDLWVSYLGRVYDLTSLAQEYKGNLLLKPIVEVAGQDISHWFDPKTRDIRKHIDPLTGCLRYCTPRGRFVHVPPQLPCSDWANDFGKPWWQGSYYEVGRLSAKTRSIRIINTLTSQEHTLEVGVLESIWEILHRYLPYNSHAASYTWKYEGKNLNMDFTLEENGIRDEEEEFDYLSMDGTLHTPAILLYFNDDLTEL
+>DECOY_sp|Q6P9G0|CB5D1_HUMAN Cytochrome b5 domain-containing protein 1 OS=Homo sapiens OX=9606 GN=CYB5D1 PE=2 SV=1
+LETLDDNFYLLIAPTHLTGDMSLYDFEEEEDRIGNEELTFDMNLNKGEYKWTYSAAHSNYPLYRHLIEWISELVGVELTHEQSTLTNIIRISRTKASLRGVEYYSGQWWPKGFDNAWDSCPLQPPVHVFRGRPTCYRLCGTLPDIHKRIDRTKPDFWHSIDQGAVEVIPKLLLNGKYEQALSTLDYVRGLYSVWLDEPRNHQAVEAPTFYRRQFYELDPGAVLGRRPM
+>sp|Q96CA5|BIRC7_HUMAN Baculoviral IAP repeat-containing protein 7 OS=Homo sapiens OX=9606 GN=BIRC7 PE=1 SV=2
+MGPKDSAKCLHRGPQPSHWAAGDGPTQERCGPRSLGSPVLGLDTCRAWDHVDGQILGQLRPLTEEEEEEGAGATLSRGPAFPGMGSEELRLASFYDWPLTAEVPPELLAAAGFFHTGHQDKVRCFFCYGGLQSWKRGDDPWTEHAKWFPSCQFLLRSKGRDFVHSVQETHSQLLGSWDPWEEPEDAAPVAPSVPASGYPELPTPRREVQSESAQEPGGVSPAEAQRAWWVLEPPGARDVEAQLRRLQEERTCKVCLDRAVSIVFVPCGHLVCAECAPGLQLCPICRAPVRSRVRTFLS
+>DECOY_sp|Q96CA5|BIRC7_HUMAN Baculoviral IAP repeat-containing protein 7 OS=Homo sapiens OX=9606 GN=BIRC7 PE=1 SV=2
+SLFTRVRSRVPARCIPCLQLGPACEACVLHGCPVFVISVARDLCVKCTREEQLRRLQAEVDRAGPPELVWWARQAEAPSVGGPEQASESQVERRPTPLEPYGSAPVSPAVPAADEPEEWPDWSGLLQSHTEQVSHVFDRGKSRLLFQCSPFWKAHETWPDDGRKWSQLGGYCFFCRVKDQHGTHFFGAAALLEPPVEATLPWDYFSALRLEESGMGPFAPGRSLTAGAGEEEEEETLPRLQGLIQGDVHDWARCTDLGLVPSGLSRPGCREQTPGDGAAWHSPQPGRHLCKASDKPGM
+>sp|Q86UB2|BIVM_HUMAN Basic immunoglobulin-like variable motif-containing protein OS=Homo sapiens OX=9606 GN=BIVM PE=2 SV=3
+MPNVAETERSNDSGNGEHKSERKSPEENLQGAVKSFCTSASGAPLGPKGDGHYPWSCPVTHTREKIYAICSDYAFLNQATSIYKTPNPSRSPCLPDSTSLSAGNNSSRYIGIPTSTSEIIYNEENSLENLSNSLGKLPLAWEIDKSEFDGVTTNSKHKSGNAKKQVSKRKTSDKKGRYQKECPQHSPLEDIKQRKVLDLRRWYCISRPQYKTSCGISSLISCWNFLYSTMGAGNLPPITQEEALHILGFQPPFEDIRFGPFTGNTTLMRWFRQINDHFHVKGCSYVLYKPHGKNKTAGETASGALSKLTRGLKDESLAYIYHCQNHYFCPIGFEATPVKANKAFSRGPLSPQEVEYWILIGESSRKHPAIHCKKWADIVTDLNTQNPEYLDIRHLERGLQYRKTKKVGGNLHCIIAFQRLNWQRFGLWNFPFGTIRQESQPPTHAQGIAKSESEDNISKKQHGRLGRSFSASFHQDSAWKKMSSIHERRNSGYQGYSDYDGND
+>DECOY_sp|Q86UB2|BIVM_HUMAN Basic immunoglobulin-like variable motif-containing protein OS=Homo sapiens OX=9606 GN=BIVM PE=2 SV=3
+DNGDYDSYGQYGSNRREHISSMKKWASDQHFSASFSRGLRGHQKKSINDESESKAIGQAHTPPQSEQRITGFPFNWLGFRQWNLRQFAIICHLNGGVKKTKRYQLGRELHRIDLYEPNQTNLDTVIDAWKKCHIAPHKRSSEGILIWYEVEQPSLPGRSFAKNAKVPTAEFGIPCFYHNQCHYIYALSEDKLGRTLKSLAGSATEGATKNKGHPKYLVYSCGKVHFHDNIQRFWRMLTTNGTFPGFRIDEFPPQFGLIHLAEEQTIPPLNGAGMTSYLFNWCSILSSIGCSTKYQPRSICYWRRLDLVKRQKIDELPSHQPCEKQYRGKKDSTKRKSVQKKANGSKHKSNTTVGDFESKDIEWALPLKGLSNSLNELSNEENYIIESTSTPIGIYRSSNNGASLSTSDPLCPSRSPNPTKYISTAQNLFAYDSCIAYIKERTHTVPCSWPYHGDGKPGLPAGSASTCFSKVAGQLNEEPSKRESKHEGNGSDNSRETEAVNPM
+>sp|P46663|BKRB1_HUMAN B1 bradykinin receptor OS=Homo sapiens OX=9606 GN=BDKRB1 PE=1 SV=3
+MASSWPPLELQSSNQSQLFPQNATACDNAPEAWDLLHRVLPTFIISICFFGLLGNLFVLLVFLLPRRQLNVAEIYLANLAASDLVFVLGLPFWAENIWNQFNWPFGALLCRVINGVIKANLFISIFLVVAISQDRYRVLVHPMASRRQQRRRQARVTCVLIWVVGGLLSIPTFLLRSIQAVPDLNITACILLLPHEAWHFARIVELNILGFLLPLAAIVFFNYHILASLRTREEVSRTRCGGRKDSKTTALILTLVVAFLVCWAPYHFFAFLEFLFQVQAVRGCFWEDFIDLGLQLANFFAFTNSSLNPVIYVFVGRLFRTKVWELYKQCTPKSLAPISSSHRKEIFQLFWRN
+>DECOY_sp|P46663|BKRB1_HUMAN B1 bradykinin receptor OS=Homo sapiens OX=9606 GN=BDKRB1 PE=1 SV=3
+NRWFLQFIEKRHSSSIPALSKPTCQKYLEWVKTRFLRGVFVYIVPNLSSNTFAFFNALQLGLDIFDEWFCGRVAQVQFLFELFAFFHYPAWCVLFAVVLTLILATTKSDKRGGCRTRSVEERTRLSALIHYNFFVIAALPLLFGLINLEVIRAFHWAEHPLLLICATINLDPVAQISRLLFTPISLLGGVVWILVCTVRAQRRRQQRRSAMPHVLVRYRDQSIAVVLFISIFLNAKIVGNIVRCLLAGFPWNFQNWINEAWFPLGLVFVLDSAALNALYIEAVNLQRRPLLFVLLVFLNGLLGFFCISIIFTPLVRHLLDWAEPANDCATANQPFLQSQNSSQLELPPWSSAM
+>sp|P54132|BLM_HUMAN Bloom syndrome protein OS=Homo sapiens OX=9606 GN=BLM PE=1 SV=1
+MAAVPQNNLQEQLERHSARTLNNKLSLSKPKFSGFTFKKKTSSDNNVSVTNVSVAKTPVLRNKDVNVTEDFSFSEPLPNTTNQQRVKDFFKNAPAGQETQRGGSKSLLPDFLQTPKEVVCTTQNTPTVKKSRDTALKKLEFSSSPDSLSTINDWDDMDDFDTSETSKSFVTPPQSHFVRVSTAQKSKKGKRNFFKAQLYTTNTVKTDLPPPSSESEQIDLTEEQKDDSEWLSSDVICIDDGPIAEVHINEDAQESDSLKTHLEDERDNSEKKKNLEEAELHSTEKVPCIEFDDDDYDTDFVPPSPEEIISASSSSSKCLSTLKDLDTSDRKEDVLSTSKDLLSKPEKMSMQELNPETSTDCDARQISLQQQLIHVMEHICKLIDTIPDDKLKLLDCGNELLQQRNIRRKLLTEVDFNKSDASLLGSLWRYRPDSLDGPMEGDSCPTGNSMKELNFSHLPSNSVSPGDCLLTTTLGKTGFSATRKNLFERPLFNTHLQKSFVSSNWAETPRLGKKNESSYFPGNVLTSTAVKDQNKHTASINDLERETQPSYDIDNFDIDDFDDDDDWEDIMHNLAASKSSTAAYQPIKEGRPIKSVSERLSSAKTDCLPVSSTAQNINFSESIQNYTDKSAQNLASRNLKHERFQSLSFPHTKEMMKIFHKKFGLHNFRTNQLEAINAALLGEDCFILMPTGGGKSLCYQLPACVSPGVTVVISPLRSLIVDQVQKLTSLDIPATYLTGDKTDSEATNIYLQLSKKDPIIKLLYVTPEKICASNRLISTLENLYERKLLARFVIDEAHCVSQWGHDFRQDYKRMNMLRQKFPSVPVMALTATANPRVQKDILTQLKILRPQVFSMSFNRHNLKYYVLPKKPKKVAFDCLEWIRKHHPYDSGIIYCLSRRECDTMADTLQRDGLAALAYHAGLSDSARDEVQQKWINQDGCQVICATIAFGMGIDKPDVRFVIHASLPKSVEGYYQESGRAGRDGEISHCLLFYTYHDVTRLKRLIMMEKDGNHHTRETHFNNLYSMVHYCENITECRRIQLLAYFGENGFNPDFCKKHPDVSCDNCCKTKDYKTRDVTDDVKSIVRFVQEHSSSQGMRNIKHVGPSGRFTMNMLVDIFLGSKSAKIQSGIFGKGSAYSRHNAERLFKKLILDKILDEDLYINANDQAIAYVMLGNKAQTVLNGNLKVDFMETENSSSVKKQKALVAKVSQREEMVKKCLGELTEVCKSLGKVFGVHYFNIFNTVTLKKLAESLSSDPEVLLQIDGVTEDKLEKYGAEVISVLQKYSEWTSPAEDSSPGISLSSSRGPGRSAAEELDEEIPVSSHYFASKTRNERKRKKMPASQRSKRRKTASSGSKAKGGSATCRKISSKTKSSSIIGSSSASHTSQATSGANSKLGIMAPPKPINRPFLKPSYAFS
+>DECOY_sp|P54132|BLM_HUMAN Bloom syndrome protein OS=Homo sapiens OX=9606 GN=BLM PE=1 SV=1
+SFAYSPKLFPRNIPKPPAMIGLKSNAGSTAQSTHSASSSGIISSSKTKSSIKRCTASGGKAKSGSSATKRRKSRQSAPMKKRKRENRTKSAFYHSSVPIEEDLEEAASRGPGRSSSLSIGPSSDEAPSTWESYKQLVSIVEAGYKELKDETVGDIQLLVEPDSSLSEALKKLTVTNFINFYHVGFVKGLSKCVETLEGLCKKVMEERQSVKAVLAKQKKVSSSNETEMFDVKLNGNLVTQAKNGLMVYAIAQDNANIYLDEDLIKDLILKKFLREANHRSYASGKGFIGSQIKASKSGLFIDVLMNMTFRGSPGVHKINRMGQSSSHEQVFRVISKVDDTVDRTKYDKTKCCNDCSVDPHKKCFDPNFGNEGFYALLQIRRCETINECYHVMSYLNNFHTERTHHNGDKEMMILRKLRTVDHYTYFLLCHSIEGDRGARGSEQYYGEVSKPLSAHIVFRVDPKDIGMGFAITACIVQCGDQNIWKQQVEDRASDSLGAHYALAALGDRQLTDAMTDCERRSLCYIIGSDYPHHKRIWELCDFAVKKPKKPLVYYKLNHRNFSMSFVQPRLIKLQTLIDKQVRPNATATLAMVPVSPFKQRLMNMRKYDQRFDHGWQSVCHAEDIVFRALLKREYLNELTSILRNSACIKEPTVYLLKIIPDKKSLQLYINTAESDTKDGTLYTAPIDLSTLKQVQDVILSRLPSIVVTVGPSVCAPLQYCLSKGGGTPMLIFCDEGLLAANIAELQNTRFNHLGFKKHFIKMMEKTHPFSLSQFREHKLNRSALNQASKDTYNQISESFNINQATSSVPLCDTKASSLRESVSKIPRGEKIPQYAATSSKSAALNHMIDEWDDDDDFDDIDFNDIDYSPQTERELDNISATHKNQDKVATSTLVNGPFYSSENKKGLRPTEAWNSSVFSKQLHTNFLPREFLNKRTASFGTKGLTTTLLCDGPSVSNSPLHSFNLEKMSNGTPCSDGEMPGDLSDPRYRWLSGLLSADSKNFDVETLLKRRINRQQLLENGCDLLKLKDDPITDILKCIHEMVHILQQQLSIQRADCDTSTEPNLEQMSMKEPKSLLDKSTSLVDEKRDSTDLDKLTSLCKSSSSSASIIEEPSPPVFDTDYDDDDFEICPVKETSHLEAEELNKKKESNDREDELHTKLSDSEQADENIHVEAIPGDDICIVDSSLWESDDKQEETLDIQESESSPPPLDTKVTNTTYLQAKFFNRKGKKSKQATSVRVFHSQPPTVFSKSTESTDFDDMDDWDNITSLSDPSSSFELKKLATDRSKKVTPTNQTTCVVEKPTQLFDPLLSKSGGRQTEQGAPANKFFDKVRQQNTTNPLPESFSFDETVNVDKNRLVPTKAVSVNTVSVNNDSSTKKKFTFGSFKPKSLSLKNNLTRASHRELQEQLNNQPVAAM
+>sp|Q5H9B9|BM2KL_HUMAN Putative BMP-2-inducible kinase-like protein OS=Homo sapiens OX=9606 GN=BMP2KL PE=5 SV=2
+MIAPSPKSSEEEGQKDEEVLQGEQGDFNDNDTEPENLGHRPLLMDSEDEEEEEKRSSDSDYEQAKAKYSDMSPVYRDKSGSGPTQDINTILLTSAQLSSDVGVETPKQEFDIFGAVPFFAVCAQQPQQEKNEKSLPQHRFPATGLQQEEFDVFTKAPFSKKVNVQECHAVGPETHPKSIDIFDFTPFQPFLTSTSKSESNEDLFGLVPFEEIMGSQQQKVKQRSLQKLSSRQRRTKQDMSKSNGKRHHGTPTSKKKTLKPTYRTPERARRHKKVGRRVSQTSNEFVTISDSKENIGAAVTDGNDRGNVLQLEESLLDPFGAKPFHPPDLSWHPLHQGLNDIRADHNTVLPKQPRQNSLHGSFHSADVLTMDDFGAMPFTELVVQSITLQQSQQSQPVELDPFGAAPFPSKQ
+>DECOY_sp|Q5H9B9|BM2KL_HUMAN Putative BMP-2-inducible kinase-like protein OS=Homo sapiens OX=9606 GN=BMP2KL PE=5 SV=2
+QKSPFPAAGFPDLEVPQSQQSQQLTISQVVLETFPMAGFDDMTLVDASHFSGHLSNQRPQKPLVTNHDARIDNLGQHLPHWSLDPPHFPKAGFPDLLSEELQLVNGRDNGDTVAAGINEKSDSITVFENSTQSVRRGVKKHRRAREPTRYTPKLTKKKSTPTGHHRKGNSKSMDQKTRRQRSSLKQLSRQKVKQQQSGMIEEFPVLGFLDENSESKSTSTLFPQFPTFDFIDISKPHTEPGVAHCEQVNVKKSFPAKTFVDFEEQQLGTAPFRHQPLSKENKEQQPQQACVAFFPVAGFIDFEQKPTEVGVDSSLQASTLLITNIDQTPGSGSKDRYVPSMDSYKAKAQEYDSDSSRKEEEEEDESDMLLPRHGLNEPETDNDNFDGQEGQLVEEDKQGEEESSKPSPAIM
+>sp|P22003|BMP5_HUMAN Bone morphogenetic protein 5 OS=Homo sapiens OX=9606 GN=BMP5 PE=2 SV=1
+MHLTVFLLKGIVGFLWSCWVLVGYAKGGLGDNHVHSSFIYRRLRNHERREIQREILSILGLPHRPRPFSPGKQASSAPLFMLDLYNAMTNEENPEESEYSVRASLAEETRGARKGYPASPNGYPRRIQLSRTTPLTTQSPPLASLHDTNFLNDADMVMSFVNLVERDKDFSHQRRHYKEFRFDLTQIPHGEAVTAAEFRIYKDRSNNRFENETIKISIYQIIKEYTNRDADLFLLDTRKAQALDVGWLVFDITVTSNHWVINPQNNLGLQLCAETGDGRSINVKSAGLVGRQGPQSKQPFMVAFFKASEVLLRSVRAANKRKNQNRNKSSSHQDSSRMSSVGDYNTSEQKQACKKHELYVSFRDLGWQDWIIAPEGYAAFYCDGECSFPLNAHMNATNHAIVQTLVHLMFPDHVPKPCCAPTKLNAISVLYFDDSSNVILKKYRNMVVRSCGCH
+>DECOY_sp|P22003|BMP5_HUMAN Bone morphogenetic protein 5 OS=Homo sapiens OX=9606 GN=BMP5 PE=2 SV=1
+HCGCSRVVMNRYKKLIVNSSDDFYLVSIANLKTPACCPKPVHDPFMLHVLTQVIAHNTANMHANLPFSCEGDCYFAAYGEPAIIWDQWGLDRFSVYLEHKKCAQKQESTNYDGVSSMRSSDQHSSSKNRNQNKRKNAARVSRLLVESAKFFAVMFPQKSQPGQRGVLGASKVNISRGDGTEACLQLGLNNQPNIVWHNSTVTIDFVLWGVDLAQAKRTDLLFLDADRNTYEKIIQYISIKITENEFRNNSRDKYIRFEAATVAEGHPIQTLDFRFEKYHRRQHSFDKDREVLNVFSMVMDADNLFNTDHLSALPPSQTTLPTTRSLQIRRPYGNPSAPYGKRAGRTEEALSARVSYESEEPNEENTMANYLDLMFLPASSAQKGPSFPRPRHPLGLISLIERQIERREHNRLRRYIFSSHVHNDGLGGKAYGVLVWCSWLFGVIGKLLFVTLHM
+>sp|Q9UMX3|BOK_HUMAN Bcl-2-related ovarian killer protein OS=Homo sapiens OX=9606 GN=BOK PE=1 SV=1
+MEVLRRSSVFAAEIMDAFDRSPTDKELVAQAKALGREYVHARLLRAGLSWSAPERAAPVPGRLAEVCAVLLRLGDELEMIRPSVYRNVARQLHISLQSEPVVTDAFLAVAGHIFSAGITWGKVVSLYAVAAGLAVDCVRQAQPAMVHALVDCLGEFVRKTLATWLRRRGGWTDVLKCVVSTDPGLRSHWLVAALCSFGRFLKAAFFVLLPER
+>DECOY_sp|Q9UMX3|BOK_HUMAN Bcl-2-related ovarian killer protein OS=Homo sapiens OX=9606 GN=BOK PE=1 SV=1
+REPLLVFFAAKLFRGFSCLAAVLWHSRLGPDTSVVCKLVDTWGGRRRLWTALTKRVFEGLCDVLAHVMAPQAQRVCDVALGAAVAYLSVVKGWTIGASFIHGAVALFADTVVPESQLSIHLQRAVNRYVSPRIMELEDGLRLLVACVEALRGPVPAAREPASWSLGARLLRAHVYERGLAKAQAVLEKDTPSRDFADMIEAAFVSSRRLVEM
+>sp|P59827|BPIB4_HUMAN BPI fold-containing family B member 4 OS=Homo sapiens OX=9606 GN=BPIFB4 PE=2 SV=2
+MWMAWCVAALSVVAVCGTSHETNTVLRVTKDVLSNAISGMLQQSDALHSALREVPLGVGDIPYNDFHVRGPPPVYTNGKKLDGIYQYGHIETNDNTAQLGGKYRYGEILESEGSIRDLRNSGYRSAENAYGGHRGLGRYRAAPVGRLHRRELQPGEIPPGVATGAVGPGGLLGTGGMLAADGILAGQGGLLGGGGLLGDGGLLGGGGVLGVLGEGGILSTVQGITGLRIVELTLPRVSVRLLPGVGVYLSLYTRVAINGKSLIGFLDIAVEVNITAKVRLTMDRTGYPRLVIERCDTLLGGIKVKLLRGLLPNLVDNLVNRVLADVLPDLLCPIVDVVLGLVNDQLGLVDSLIPLGILGSVQYTFSSLPLVTGEFLELDLNTLVGEAGGGLIDYPLGWPAVSPKPMPELPPMGDNTKSQLAMSANFLGSVLTLLQKQHALDLDITNGMFEELPPLTTATLGALIPKVFQQYPESCPLIIRIQVLNPPSVMLQKDKALVKVLATAEVMVSQPKDLETTICLIDVDTEFLASFSTEGDKLMIDAKLEKTSLNLRTSNVGNFDIGLMEVLVEKIFDLAFMPAMNAVLGSGVPLPKILNIDFSNADIDVLEDLLVLSA
+>DECOY_sp|P59827|BPIB4_HUMAN BPI fold-containing family B member 4 OS=Homo sapiens OX=9606 GN=BPIFB4 PE=2 SV=2
+ASLVLLDELVDIDANSFDINLIKPLPVGSGLVANMAPMFALDFIKEVLVEMLGIDFNGVNSTRLNLSTKELKADIMLKDGETSFSALFETDVDILCITTELDKPQSVMVEATALVKVLAKDKQLMVSPPNLVQIRIILPCSEPYQQFVKPILAGLTATTLPPLEEFMGNTIDLDLAHQKQLLTLVSGLFNASMALQSKTNDGMPPLEPMPKPSVAPWGLPYDILGGGAEGVLTNLDLELFEGTVLPLSSFTYQVSGLIGLPILSDVLGLQDNVLGLVVDVIPCLLDPLVDALVRNVLNDVLNPLLGRLLKVKIGGLLTDCREIVLRPYGTRDMTLRVKATINVEVAIDLFGILSKGNIAVRTYLSLYVGVGPLLRVSVRPLTLEVIRLGTIGQVTSLIGGEGLVGLVGGGGLLGGDGLLGGGGLLGGQGALIGDAALMGGTGLLGGPGVAGTAVGPPIEGPQLERRHLRGVPAARYRGLGRHGGYANEASRYGSNRLDRISGESELIEGYRYKGGLQATNDNTEIHGYQYIGDLKKGNTYVPPPGRVHFDNYPIDGVGLPVERLASHLADSQQLMGSIANSLVDKTVRLVTNTEHSTGCVAVVSLAAVCWAMWM
+>sp|P15056|BRAF_HUMAN Serine/threonine-protein kinase B-raf OS=Homo sapiens OX=9606 GN=BRAF PE=1 SV=4
+MAALSGGGGGGAEPGQALFNGDMEPEAGAGAGAAASSAADPAIPEEVWNIKQMIKLTQEHIEALLDKFGGEHNPPSIYLEAYEEYTSKLDALQQREQQLLESLGNGTDFSVSSSASMDTVTSSSSSSLSVLPSSLSVFQNPTDVARSNPKSPQKPIVRVFLPNKQRTVVPARCGVTVRDSLKKALMMRGLIPECCAVYRIQDGEKKPIGWDTDISWLTGEELHVEVLENVPLTTHNFVRKTFFTLAFCDFCRKLLFQGFRCQTCGYKFHQRCSTEVPLMCVNYDQLDLLFVSKFFEHHPIPQEEASLAETALTSGSSPSAPASDSIGPQILTSPSPSKSIPIPQPFRPADEDHRNQFGQRDRSSSAPNVHINTIEPVNIDDLIRDQGFRGDGGSTTGLSATPPASLPGSLTNVKALQKSPGPQRERKSSSSSEDRNRMKTLGRRDSSDDWEIPDGQITVGQRIGSGSFGTVYKGKWHGDVAVKMLNVTAPTPQQLQAFKNEVGVLRKTRHVNILLFMGYSTKPQLAIVTQWCEGSSLYHHLHIIETKFEMIKLIDIARQTAQGMDYLHAKSIIHRDLKSNNIFLHEDLTVKIGDFGLATVKSRWSGSHQFEQLSGSILWMAPEVIRMQDKNPYSFQSDVYAFGIVLYELMTGQLPYSNINNRDQIIFMVGRGYLSPDLSKVRSNCPKAMKRLMAECLKKKRDERPLFPQILASIELLARSLPKIHRSASEPSLNRAGFQTEDFSLYACASPKTPIQAGGYGAFPVH
+>DECOY_sp|P15056|BRAF_HUMAN Serine/threonine-protein kinase B-raf OS=Homo sapiens OX=9606 GN=BRAF PE=1 SV=4
+HVPFAGYGGAQIPTKPSACAYLSFDETQFGARNLSPESASRHIKPLSRALLEISALIQPFLPREDRKKKLCEAMLRKMAKPCNSRVKSLDPSLYGRGVMFIIQDRNNINSYPLQGTMLEYLVIGFAYVDSQFSYPNKDQMRIVEPAMWLISGSLQEFQHSGSWRSKVTALGFDGIKVTLDEHLFINNSKLDRHIISKAHLYDMGQATQRAIDILKIMEFKTEIIHLHHYLSSGECWQTVIALQPKTSYGMFLLINVHRTKRLVGVENKFAQLQQPTPATVNLMKVAVDGHWKGKYVTGFSGSGIRQGVTIQGDPIEWDDSSDRRGLTKMRNRDESSSSSKRERQPGPSKQLAKVNTLSGPLSAPPTASLGTTSGGDGRFGQDRILDDINVPEITNIHVNPASSSRDRQGFQNRHDEDAPRFPQPIPISKSPSPSTLIQPGISDSAPASPSSGSTLATEALSAEEQPIPHHEFFKSVFLLDLQDYNVCMLPVETSCRQHFKYGCTQCRFGQFLLKRCFDCFALTFFTKRVFNHTTLPVNELVEVHLEEGTLWSIDTDWGIPKKEGDQIRYVACCEPILGRMMLAKKLSDRVTVGCRAPVVTRQKNPLFVRVIPKQPSKPNSRAVDTPNQFVSLSSPLVSLSSSSSSTVTDMSASSSVSFDTGNGLSELLQQERQQLADLKSTYEEYAELYISPPNHEGGFKDLLAEIHEQTLKIMQKINWVEEPIAPDAASSAAAGAGAGAEPEMDGNFLAQGPEAGGGGGGSLAAM
+>sp|P25440|BRD2_HUMAN Bromodomain-containing protein 2 OS=Homo sapiens OX=9606 GN=BRD2 PE=1 SV=2
+MLQNVTPHNKLPGEGNAGLLGLGPEAAAPGKRIRKPSLLYEGFESPTMASVPALQLTPANPPPPEVSNPKKPGRVTNQLQYLHKVVMKALWKHQFAWPFRQPVDAVKLGLPDYHKIIKQPMDMGTIKRRLENNYYWAASECMQDFNTMFTNCYIYNKPTDDIVLMAQTLEKIFLQKVASMPQEEQELVVTIPKNSHKKGAKLAALQGSVTSAHQVPAVSSVSHTALYTPPPEIPTTVLNIPHPSVISSPLLKSLHSAGPPLLAVTAAPPAQPLAKKKGVKRKADTTTPTPTAILAPGSPASPPGSLEPKAARLPPMRRESGRPIKPPRKDLPDSQQQHQSSKKGKLSEQLKHCNGILKELLSKKHAAYAWPFYKPVDASALGLHDYHDIIKHPMDLSTVKRKMENRDYRDAQEFAADVRLMFSNCYKYNPPDHDVVAMARKLQDVFEFRYAKMPDEPLEPGPLPVSTAMPPGLAKSSSESSSEESSSESSSEEEEEEDEEDEEEEESESSDSEEERAHRLAELQEQLRAVHEQLAALSQGPISKPKRKREKKEKKKKRKAEKHRGRAGADEDDKGPRAPRPPQPKKSKKASGSGGGSAALGPSGFGPSGGSGTKLPKKATKTAPPALPTGYDSEEEEESRPMSYDEKRQLSLDINKLPGEKLGRVVHIIQAREPSLRDSNPEEIEIDFETLKPSTLRELERYVLSCLRKKPRKPYTIKKPVGKTKEELALEKKRELEKRLQDVSGQLNSTKKPPKKANEKTESSSAQQVAVSRLSASSSSSDSSSSSSSSSSSDTSDSDSG
+>DECOY_sp|P25440|BRD2_HUMAN Bromodomain-containing protein 2 OS=Homo sapiens OX=9606 GN=BRD2 PE=1 SV=2
+GSDSDSTDSSSSSSSSSSSDSSSSSASLRSVAVQQASSSETKENAKKPPKKTSNLQGSVDQLRKELERKKELALEEKTKGVPKKITYPKRPKKRLCSLVYRELERLTSPKLTEFDIEIEEPNSDRLSPERAQIIHVVRGLKEGPLKNIDLSLQRKEDYSMPRSEEEEESDYGTPLAPPATKTAKKPLKTGSGGSPGFGSPGLAASGGGSGSAKKSKKPQPPRPARPGKDDEDAGARGRHKEAKRKKKKEKKERKRKPKSIPGQSLAALQEHVARLQEQLEALRHAREEESDSSESEEEEEDEEDEEEEEESSSESSSEESSSESSSKALGPPMATSVPLPGPELPEDPMKAYRFEFVDQLKRAMAVVDHDPPNYKYCNSFMLRVDAAFEQADRYDRNEMKRKVTSLDMPHKIIDHYDHLGLASADVPKYFPWAYAAHKKSLLEKLIGNCHKLQESLKGKKSSQHQQQSDPLDKRPPKIPRGSERRMPPLRAAKPELSGPPSAPSGPALIATPTPTTTDAKRKVGKKKALPQAPPAATVALLPPGASHLSKLLPSSIVSPHPINLVTTPIEPPPTYLATHSVSSVAPVQHASTVSGQLAALKAGKKHSNKPITVVLEQEEQPMSAVKQLFIKELTQAMLVIDDTPKNYIYCNTFMTNFDQMCESAAWYYNNELRRKITGMDMPQKIIKHYDPLGLKVADVPQRFPWAFQHKWLAKMVVKHLYQLQNTVRGPKKPNSVEPPPPNAPTLQLAPVSAMTPSEFGEYLLSPKRIRKGPAAAEPGLGLLGANGEGPLKNHPTVNQLM
+>sp|Q9NPI1|BRD7_HUMAN Bromodomain-containing protein 7 OS=Homo sapiens OX=9606 GN=BRD7 PE=1 SV=1
+MGKKHKKHKSDKHLYEEYVEKPLKLVLKVGGNEVTELSTGSSGHDSSLFEDKNDHDKHKDRKRKKRKKGEKQIPGEEKGRKRRRVKEDKKKRDRDRVENEAEKDLQCHAPVRLDLPPEKPLTSSLAKQEEVEQTPLQEALNQLMRQLQRKDPSAFFSFPVTDFIAPGYSMIIKHPMDFSTMKEKIKNNDYQSIEELKDNFKLMCTNAMIYNKPETIYYKAAKKLLHSGMKILSQERIQSLKQSIDFMADLQKTRKQKDGTDTSQSGEDGGCWQREREDSGDAEAHAFKSPSKENKKKDKDMLEDKFKSNNLEREQEQLDRIVKESGGKLTRRLVNSQCEFERRKPDGTTTLGLLHPVDPIVGEPGYCPVRLGMTTGRLQSGVNTLQGFKEDKRNKVTPVLYLNYGPYSSYAPHYDSTFANISKDDSDLIYSTYGEDSDLPSDFSIHEFLATCQDYPYVMADSLLDVLTKGGHSRTLQEMEMSLPEDEGHTRTLDTAKEMEITEVEPPGRLDSSTQDRLIALKAVTNFGVPVEVFDSEEAEIFQKKLDETTRLLRELQEAQNERLSTRPPPNMICLLGPSYREMHLAEQVTNNLKELAQQVTPGDIVSTYGVRKAMGISIPSPVMENNFVDLTEDTEEPKKTDVAECGPGGS
+>DECOY_sp|Q9NPI1|BRD7_HUMAN Bromodomain-containing protein 7 OS=Homo sapiens OX=9606 GN=BRD7 PE=1 SV=1
+SGGPGCEAVDTKKPEETDETLDVFNNEMVPSPISIGMAKRVGYTSVIDGPTVQQALEKLNNTVQEALHMERYSPGLLCIMNPPPRTSLRENQAEQLERLLRTTEDLKKQFIEAEESDFVEVPVGFNTVAKLAILRDQTSSDLRGPPEVETIEMEKATDLTRTHGEDEPLSMEMEQLTRSHGGKTLVDLLSDAMVYPYDQCTALFEHISFDSPLDSDEGYTSYILDSDDKSINAFTSDYHPAYSSYPGYNLYLVPTVKNRKDEKFGQLTNVGSQLRGTTMGLRVPCYGPEGVIPDVPHLLGLTTTGDPKRREFECQSNVLRRTLKGGSEKVIRDLQEQERELNNSKFKDELMDKDKKKNEKSPSKFAHAEADGSDERERQWCGGDEGSQSTDTGDKQKRTKQLDAMFDISQKLSQIREQSLIKMGSHLLKKAAKYYITEPKNYIMANTCMLKFNDKLEEISQYDNNKIKEKMTSFDMPHKIIMSYGPAIFDTVPFSFFASPDKRQLQRMLQNLAEQLPTQEVEEQKALSSTLPKEPPLDLRVPAHCQLDKEAENEVRDRDRKKKDEKVRRRKRGKEEGPIQKEGKKRKKRKRDKHKDHDNKDEFLSSDHGSSGTSLETVENGGVKLVLKLPKEVYEEYLHKDSKHKKHKKGM
+>sp|Q5VTR2|BRE1A_HUMAN E3 ubiquitin-protein ligase BRE1A OS=Homo sapiens OX=9606 GN=RNF20 PE=1 SV=2
+MSGIGNKRAAGEPGTSMPPEKKAAVEDSGTTVETIKLGGVSSTEELDIRTLQTKNRKLAEMLDQRQAIEDELREHIEKLERRQATDDASLLIVNRYWSQFDENIRIILKRYDLEQGLGDLLTERKALVVPEPEPDSDSNQERKDDRERGEGQEPAFSFLATLASSSSEEMESQLQERVESSRRAVSQIVTVYDKLQEKVELLSRKLNSGDNLIVEEAVQELNSFLAQENMRLQELTDLLQEKHRTMSQEFSKLQSKVETAESRVSVLESMIDDLQWDIDKIRKREQRLNRHLAEVLERVNSKGYKVYGAGSSLYGGTITINARKFEEMNAELEENKELAQNRLCELEKLRQDFEEVTTQNEKLKVELRSAVEQVVKETPEYRCMQSQFSVLYNESLQLKAHLDEARTLLHGTRGTHQHQVELIERDEVSLHKKLRTEVIQLEDTLAQVRKEYEMLRIEFEQTLAANEQAGPINREMRHLISSLQNHNHQLKGEVLRYKRKLREAQSDLNKTRLRSGSALLQSQSSTEDPKDEPAELKPDSEDLSSQSSASKASQEDANEIKSKRDEEERERERREKEREREREREKEKEREREKQKLKESEKERDSAKDKEKGKHDDGRKKEAEIIKQLKIELKKAQESQKEMKLLLDMYRSAPKEQRDKVQLMAAEKKSKAELEDLRQRLKDLEDKEKKENKKMADEDALRKIRAVEEQIEYLQKKLAMAKQEEEALLSEMDVTGQAFEDMQEQNIRLMQQLREKDDANFKLMSERIKSNQIHKLLKEEKEELADQVLTLKTQVDAQLQVVRKLEEKEHLLQSNIGTGEKELGLRTQALEMNKRKAMEAAQLADDLKAQLELAQKKLHDFQDEIVENSVTKEKDMFNFKRAQEDISRLRRKLETTKKPDNVPKCDEILMEEIKDYKARLTCPCCNMRKKDAVLTKCFHVFCFECVKTRYDTRQRKCPKCNAAFGANDFHRIYIG
+>DECOY_sp|Q5VTR2|BRE1A_HUMAN E3 ubiquitin-protein ligase BRE1A OS=Homo sapiens OX=9606 GN=RNF20 PE=1 SV=2
+GIYIRHFDNAGFAANCKPCKRQRTDYRTKVCEFCFVHFCKTLVADKKRMNCCPCTLRAKYDKIEEMLIEDCKPVNDPKKTTELKRRLRSIDEQARKFNFMDKEKTVSNEVIEDQFDHLKKQALELQAKLDDALQAAEMAKRKNMELAQTRLGLEKEGTGINSQLLHEKEELKRVVQLQADVQTKLTLVQDALEEKEEKLLKHIQNSKIRESMLKFNADDKERLQQMLRINQEQMDEFAQGTVDMESLLAEEEQKAMALKKQLYEIQEEVARIKRLADEDAMKKNEKKEKDELDKLRQRLDELEAKSKKEAAMLQVKDRQEKPASRYMDLLLKMEKQSEQAKKLEIKLQKIIEAEKKRGDDHKGKEKDKASDREKESEKLKQKEREREKEKEREREREREKERREREREEEDRKSKIENADEQSAKSASSQSSLDESDPKLEAPEDKPDETSSQSQLLASGSRLRTKNLDSQAERLKRKYRLVEGKLQHNHNQLSSILHRMERNIPGAQENAALTQEFEIRLMEYEKRVQALTDELQIVETRLKKHLSVEDREILEVQHQHTGRTGHLLTRAEDLHAKLQLSENYLVSFQSQMCRYEPTEKVVQEVASRLEVKLKENQTTVEEFDQRLKELECLRNQALEKNEELEANMEEFKRANITITGGYLSSGAGYVKYGKSNVRELVEALHRNLRQERKRIKDIDWQLDDIMSELVSVRSEATEVKSQLKSFEQSMTRHKEQLLDTLEQLRMNEQALFSNLEQVAEEVILNDGSNLKRSLLEVKEQLKDYVTVIQSVARRSSEVREQLQSEMEESSSSALTALFSFAPEQGEGRERDDKREQNSDSDPEPEPVVLAKRETLLDGLGQELDYRKLIIRINEDFQSWYRNVILLSADDTAQRRELKEIHERLEDEIAQRQDLMEALKRNKTQLTRIDLEETSSVGGLKITEVTTGSDEVAAKKEPPMSTGPEGAARKNGIGSM
+>sp|Q8WY22|BRI3B_HUMAN BRI3-binding protein OS=Homo sapiens OX=9606 GN=BRI3BP PE=1 SV=1
+MGARASGGPLARAGLLLLLLLLLLLGLLAPGAQGARGRGGAEKNSYRRTVNTFSQSVSSLFGEDNVRAAQKFLARLTERFVLGVDMFVETLWKVWTELLDVLGLDVSNLSQYFSPASVSSSPARALLLVGVVLLAYWFLSLTLGFTFSVLHVVFGRFFWIVRVVLFSMSCVYILHKYEGEPENAVLPLCFVVAVYFMTGPMGFYWRSSPSGPSNPSNPSVEEKLEHLEKQVRLLNIRLNRVLESLDRSKDK
+>DECOY_sp|Q8WY22|BRI3B_HUMAN BRI3-binding protein OS=Homo sapiens OX=9606 GN=BRI3BP PE=1 SV=1
+KDKSRDLSELVRNLRINLLRVQKELHELKEEVSPNSPNSPGSPSSRWYFGMPGTMFYVAVVFCLPLVANEPEGEYKHLIYVCSMSFLVVRVIWFFRGFVVHLVSFTFGLTLSLFWYALLVVGVLLLARAPSSSVSAPSFYQSLNSVDLGLVDLLETWVKWLTEVFMDVGLVFRETLRALFKQAARVNDEGFLSSVSQSFTNVTRRYSNKEAGGRGRAGQAGPALLGLLLLLLLLLLLGARALPGGSARAGM
+>sp|O60477|BRNP1_HUMAN BMP/retinoic acid-inducible neural-specific protein 1 OS=Homo sapiens OX=9606 GN=BRINP1 PE=1 SV=2
+MNWRFVELLYFLFIWGRISVQPSHQEPAGTDQHVSKEFDWLISDRGPFHHSRSYLSFVERHRQGFTTRYKIYREFARWKVRNTAIERRDLVRHPVPLMPEFQRSIRLLGRRPTTQQFIDTIIKKYGTHLLISATLGGEEALTMYMDKSRLDRKSGNATQSVEALHQLASSYFVDRDGTMRRLHEIQISTGAIKVTETRTGPLGCNSYDNLDSVSSVLLQSTESKLHLQGLQIIFPQYLQEKFVQSALSYIMCNGEGEYLCQNSQCRCQCAEEFPQCNCPITDIQIMEYTLANMAKSWAEAYKDLENSDEFKSFMKRLPSNHFLTIGSIHQHWGNDWDLQNRYKLLQSATEAQRQKIQRTARKLFGLSVRCRHNPNHQLPRERTIQQWLARVQSLLYCNENGFWGTFLESQRSCVCHGSTTLCQRPIPCVIGGNNSCAMCSLANISLCGSCNKGYKLYRGRCEPQNVDSERSEQFISFETDLDFQDLELKYLLQKMDSRLYVHTTFISNEIRLDTFFDPRWRKRMSLTLKSNKNRMDFIHMVIGMSMRICQMRNSSLDPMFFVYVNPFSGSHSEGWNMPFGEFGYPRWEKIRLQNSQCYNWTLLLGNRWKTFFETVHIYLRSRTRLPTLLRNETGQGPVDLSDPSKRQFYIKISDVQVFGYSLRFNADLLRSAVQQVNQSYTQGGQFYSSSSVMLLLLDIRDRINRLAPPVAPGKPQLDLFSCMLKHRLKLTNSEIIRVNHALDLYNTEILKQSDQMTAKLC
+>DECOY_sp|O60477|BRNP1_HUMAN BMP/retinoic acid-inducible neural-specific protein 1 OS=Homo sapiens OX=9606 GN=BRINP1 PE=1 SV=2
+CLKATMQDSQKLIETNYLDLAHNVRIIESNTLKLRHKLMCSFLDLQPKGPAVPPALRNIRDRIDLLLLMVSSSSYFQGGQTYSQNVQQVASRLLDANFRLSYGFVQVDSIKIYFQRKSPDSLDVPGQGTENRLLTPLRTRSRLYIHVTEFFTKWRNGLLLTWNYCQSNQLRIKEWRPYGFEGFPMNWGESHSGSFPNVYVFFMPDLSSNRMQCIRMSMGIVMHIFDMRNKNSKLTLSMRKRWRPDFFTDLRIENSIFTTHVYLRSDMKQLLYKLELDQFDLDTEFSIFQESRESDVNQPECRGRYLKYGKNCSGCLSINALSCMACSNNGGIVCPIPRQCLTTSGHCVCSRQSELFTGWFGNENCYLLSQVRALWQQITRERPLQHNPNHRCRVSLGFLKRATRQIKQRQAETASQLLKYRNQLDWDNGWHQHISGITLFHNSPLRKMFSKFEDSNELDKYAEAWSKAMNALTYEMIQIDTIPCNCQPFEEACQCRCQSNQCLYEGEGNCMIYSLASQVFKEQLYQPFIIQLGQLHLKSETSQLLVSSVSDLNDYSNCGLPGTRTETVKIAGTSIQIEHLRRMTGDRDVFYSSALQHLAEVSQTANGSKRDLRSKDMYMTLAEEGGLTASILLHTGYKKIITDIFQQTTPRRGLLRISRQFEPMLPVPHRVLDRREIATNRVKWRAFERYIKYRTTFGQRHREVFSLYSRSHHFPGRDSILWDFEKSVHQDTGAPEQHSPQVSIRGWIFLFYLLEVFRWNM
+>sp|Q5VW32|BROX_HUMAN BRO1 domain-containing protein BROX OS=Homo sapiens OX=9606 GN=BROX PE=1 SV=1
+MTHWFHRNPLKATAPVSFNYYGVVTGPSASKICNDLRSSRARLLELFTDLSCNPEMMKNAADSYFSLLQGFINSLDESTQESKLRYIQNFKWTDTLQGQVPSAQQDAVFELISMGFNVALWYTKYASRLAGKENITEDEAKEVHRSLKIAAGIFKHLKESHLPKLITPAEKGRDLESRLIEAYVIQCQAEAQEVTIARAIELKHAPGLIAALAYETANFYQKADHTLSSLEPAYSAKWRKYLHLKMCFYTAYAYCYHGETLLASDKCGEAIRSLQEAEKLYAKAEALCKEYGETKGPGPTVKPSGHLFFRKLGNLVKNTLEKCQRENGFIYFQKIPTEAPQLELKANYGLVEPIPFEFPPTSVQWTPETLAAFDLTKRPKDDSTKPKPEEEVKPVKEPDIKPQKDTGCYIS
+>DECOY_sp|Q5VW32|BROX_HUMAN BRO1 domain-containing protein BROX OS=Homo sapiens OX=9606 GN=BROX PE=1 SV=1
+SIYCGTDKQPKIDPEKVPKVEEEPKPKTSDDKPRKTLDFAALTEPTWQVSTPPFEFPIPEVLGYNAKLELQPAETPIKQFYIFGNERQCKELTNKVLNGLKRFFLHGSPKVTPGPGKTEGYEKCLAEAKAYLKEAEQLSRIAEGCKDSALLTEGHYCYAYATYFCMKLHLYKRWKASYAPELSSLTHDAKQYFNATEYALAAILGPAHKLEIARAITVEQAEAQCQIVYAEILRSELDRGKEAPTILKPLHSEKLHKFIGAAIKLSRHVEKAEDETINEKGALRSAYKTYWLAVNFGMSILEFVADQQASPVQGQLTDTWKFNQIYRLKSEQTSEDLSNIFGQLLSFYSDAANKMMEPNCSLDTFLELLRARSSRLDNCIKSASPGTVVGYYNFSVPATAKLPNRHFWHTM
+>sp|Q9ULD4|BRPF3_HUMAN Bromodomain and PHD finger-containing protein 3 OS=Homo sapiens OX=9606 GN=BRPF3 PE=1 SV=2
+MRKPRRKSRQNAEGRRSPSPYSLKCSPTRETLTYAQAQRIVEVDIDGRLHRISIYDPLKIITEDELTAQDITECNSNKENSEQPQFPGKSKKPSSKGKKKESCSKHASGTSFHLPQPSFRMVDSGIQPEAPPLPAAYYRYIEKPPEDLDAEVEYDMDEEDLAWLDMVNEKRRVDGHSLVSADTFELLVDRLEKESYLESRSSGAQQSLIDEDAFCCVCLDDECHNSNVILFCDICNLAVHQECYGVPYIPEGQWLCRCCLQSPSRPVDCILCPNKGGAFKQTSDGHWAHVVCAIWIPEVCFANTVFLEPIEGIDNIPPARWKLTCYICKQKGLGAAIQCHKVNCYTAFHVTCAQRAGLFMKIEPMRETSLNGTIFTVRKTAYCEAHSPPGAATARRKGDSPRSISETGDEEGLKEGDGEEEEEEEVEEEEQEAQGGVSGSLKGVPKKSKMSLKQKIKKEPEEAGQDTPSTLPMLAVPQIPSYRLNKICSGLSFQRKNQFMQRLHNYWLLKRQARNGVPLIRRLHSHLQSQRNAEQREQDEKTSAVKEELKYWQKLRHDLERARLLIELIRKREKLKREQVKVQQAAMELELMPFNVLLRTTLDLLQEKDPAHIFAEPVNLSEVPDYLEFISKPMDFSTMRRKLESHLYRTLEEFEEDFNLIVTNCMKYNAKDTIFHRAAVRLRDLGGAILRHARRQAENIGYDPERGTHLPESPKLEDFYRFSWEDVDNILIPENRAHLSPEVQLKELLEKLDLVSAMRSSGARTRRVRLLRREINALRQKLAQPPPPQPPSLNKTVSNGELPAGPQGDAAVLEQALQEEPEDDGDRDDSKLPPPPTLEPTGPAPSLSEQESPPEPPTLKPINDSKPPSRFLKPRKVEEDELLEKSPLQLGNEPLQRLLSDNGINRLSLMAPDTPAGTPLSGVGRRTSVLFKKAKNGVKLQRSPDRVLENGEDHGVAGSPASPASIEEERHSRKRPRSRSCSESEGERSPQQEEETGMTNGFGKHTESGSDSECSLGLSGGLAFEACSGLTPPKRSRGKPALSRVPFLEGVNGDSDYNGSGRSLLLPFEDRGDLEPLELVWAKCRGYPSYPALIIDPKMPREGLLHNGVPIPVPPLDVLKLGEQKQAEAGEKLFLVLFFDNKRTWQWLPRDKVLPLGVEDTVDKLKMLEGRKTSIRKSVQVAYDRAMIHLSRVRGPHSFVTSSYL
+>DECOY_sp|Q9ULD4|BRPF3_HUMAN Bromodomain and PHD finger-containing protein 3 OS=Homo sapiens OX=9606 GN=BRPF3 PE=1 SV=2
+LYSSTVFSHPGRVRSLHIMARDYAVQVSKRISTKRGELMKLKDVTDEVGLPLVKDRPLWQWTRKNDFFLVLFLKEGAEAQKQEGLKLVDLPPVPIPVGNHLLGERPMKPDIILAPYSPYGRCKAWVLELPELDGRDEFPLLLSRGSGNYDSDGNVGELFPVRSLAPKGRSRKPPTLGSCAEFALGGSLGLSCESDSGSETHKGFGNTMGTEEEQQPSREGESESCSRSRPRKRSHREEEISAPSAPSGAVGHDEGNELVRDPSRQLKVGNKAKKFLVSTRRGVGSLPTGAPTDPAMLSLRNIGNDSLLRQLPENGLQLPSKELLEDEEVKRPKLFRSPPKSDNIPKLTPPEPPSEQESLSPAPGTPELTPPPPLKSDDRDGDDEPEEQLAQELVAADGQPGAPLEGNSVTKNLSPPQPPPPQALKQRLANIERRLLRVRRTRAGSSRMASVLDLKELLEKLQVEPSLHARNEPILINDVDEWSFRYFDELKPSEPLHTGREPDYGINEAQRRAHRLIAGGLDRLRVAARHFITDKANYKMCNTVILNFDEEFEELTRYLHSELKRRMTSFDMPKSIFELYDPVESLNVPEAFIHAPDKEQLLDLTTRLLVNFPMLELEMAAQQVKVQERKLKERKRILEILLRARELDHRLKQWYKLEEKVASTKEDQERQEANRQSQLHSHLRRILPVGNRAQRKLLWYNHLRQMFQNKRQFSLGSCIKNLRYSPIQPVALMPLTSPTDQGAEEPEKKIKQKLSMKSKKPVGKLSGSVGGQAEQEEEEVEEEEEEEGDGEKLGEEDGTESISRPSDGKRRATAAGPPSHAECYATKRVTFITGNLSTERMPEIKMFLGARQACTVHFATYCNVKHCQIAAGLGKQKCIYCTLKWRAPPINDIGEIPELFVTNAFCVEPIWIACVVHAWHGDSTQKFAGGKNPCLICDVPRSPSQLCCRCLWQGEPIYPVGYCEQHVALNCIDCFLIVNSNHCEDDLCVCCFADEDILSQQAGSSRSELYSEKELRDVLLEFTDASVLSHGDVRRKENVMDLWALDEEDMDYEVEADLDEPPKEIYRYYAAPLPPAEPQIGSDVMRFSPQPLHFSTGSAHKSCSEKKKGKSSPKKSKGPFQPQESNEKNSNCETIDQATLEDETIIKLPDYISIRHLRGDIDVEVIRQAQAYTLTERTPSCKLSYPSPSRRGEANQRSKRRPKRM
+>sp|Q8TDC3|BRSK1_HUMAN Serine/threonine-protein kinase BRSK1 OS=Homo sapiens OX=9606 GN=BRSK1 PE=1 SV=2
+MSSGAKEGGGGSPAYHLPHPHPHPPQHAQYVGPYRLEKTLGKGQTGLVKLGVHCITGQKVAIKIVNREKLSESVLMKVEREIAILKLIEHPHVLKLHDVYENKKYLYLVLEHVSGGELFDYLVKKGRLTPKEARKFFRQIVSALDFCHSYSICHRDLKPENLLLDEKNNIRIADFGMASLQVGDSLLETSCGSPHYACPEVIKGEKYDGRRADMWSCGVILFALLVGALPFDDDNLRQLLEKVKRGVFHMPHFIPPDCQSLLRGMIEVEPEKRLSLEQIQKHPWYLGGKHEPDPCLEPAPGRRVAMRSLPSNGELDPDVLESMASLGCFRDRERLHRELRSEEENQEKMIYYLLLDRKERYPSCEDQDLPPRNDVDPPRKRVDSPMLSRHGKRRPERKSMEVLSITDAGGGGSPVPTRRALEMAQHSQRSRSVSGASTGLSSSPLSSPRSPVFSFSPEPGAGDEARGGGSPTSKTQTLPSRGPRGGGAGEQPPPPSARSTPLPGPPGSPRSSGGTPLHSPLHTPRASPTGTPGTTPPPSPGGGVGGAAWRSRLNSIRNSFLGSPRFHRRKMQVPTAEEMSSLTPESSPELAKRSWFGNFISLDKEEQIFLVLKDKPLSSIKADIVHAFLSIPSLSHSVLSQTSFRAEYKASGGPSVFQKPVRFQVDISSSEGPEPSPRRDGSGGGGIYSVTFTLISGPSRRFKRVVETIQAQLLSTHDQPSVQALADEKNGAQTRPAGAPPRSLQPPPGRPDPELSSSPRRGPPKDKKLLATNGTPLP
+>DECOY_sp|Q8TDC3|BRSK1_HUMAN Serine/threonine-protein kinase BRSK1 OS=Homo sapiens OX=9606 GN=BRSK1 PE=1 SV=2
+PLPTGNTALLKKDKPPGRRPSSSLEPDPRGPPPQLSRPPAGAPRTQAGNKEDALAQVSPQDHTSLLQAQITEVVRKFRRSPGSILTFTVSYIGGGGSGDRRPSPEPGESSSIDVQFRVPKQFVSPGGSAKYEARFSTQSLVSHSLSPISLFAHVIDAKISSLPKDKLVLFIQEEKDLSIFNGFWSRKALEPSSEPTLSSMEEATPVQMKRRHFRPSGLFSNRISNLRSRWAAGGVGGGPSPPPTTGPTGTPSARPTHLPSHLPTGGSSRPSGPPGPLPTSRASPPPPQEGAGGGRPGRSPLTQTKSTPSGGGRAEDGAGPEPSFSFVPSRPSSLPSSSLGTSAGSVSRSRQSHQAMELARRTPVPSGGGGADTISLVEMSKREPRRKGHRSLMPSDVRKRPPDVDNRPPLDQDECSPYREKRDLLLYYIMKEQNEEESRLERHLRERDRFCGLSAMSELVDPDLEGNSPLSRMAVRRGPAPELCPDPEHKGGLYWPHKQIQELSLRKEPEVEIMGRLLSQCDPPIFHPMHFVGRKVKELLQRLNDDDFPLAGVLLAFLIVGCSWMDARRGDYKEGKIVEPCAYHPSGCSTELLSDGVQLSAMGFDAIRINNKEDLLLNEPKLDRHCISYSHCFDLASVIQRFFKRAEKPTLRGKKVLYDFLEGGSVHELVLYLYKKNEYVDHLKLVHPHEILKLIAIEREVKMLVSESLKERNVIKIAVKQGTICHVGLKVLGTQGKGLTKELRYPGVYQAHQPPHPHPHPLHYAPSGGGGEKAGSSM
+>sp|Q8TDN6|BRX1_HUMAN Ribosome biogenesis protein BRX1 homolog OS=Homo sapiens OX=9606 GN=BRIX1 PE=1 SV=2
+MAATKRKRRGGFAVQAKKPKRNEIDAEPPAKRHATAEEVEEEERDRIPGPVCKGKWKNKERILIFSSRGINFRTRHLMQDLRMLMPHSKADTKMDRKDKLFVINEVCEMKNCNKCIYFEAKKKQDLYMWLSNSPHGPSAKFLVQNIHTLAELKMTGNCLKGSRPLLSFDPAFDELPHYALLKELLIQIFSTPRYHPKSQPFVDHVFTFTILDNRIWFRNFQIIEEDAALVEIGPRFVLNLIKIFQGSFGGPTLYENPHYQSPNMHRRVIRSITAAKYREKQQVKDVQKLRKKEPKTLLPHDPTADVFVTPAEEKPIEIQWVKPEPKVDLKARKKRIYKRQRKMKQRMDSGKTK
+>DECOY_sp|Q8TDN6|BRX1_HUMAN Ribosome biogenesis protein BRX1 homolog OS=Homo sapiens OX=9606 GN=BRIX1 PE=1 SV=2
+KTKGSDMRQKMKRQRKYIRKKRAKLDVKPEPKVWQIEIPKEEAPTVFVDATPDHPLLTKPEKKRLKQVDKVQQKERYKAATISRIVRRHMNPSQYHPNEYLTPGGFSGQFIKILNLVFRPGIEVLAADEEIIQFNRFWIRNDLITFTFVHDVFPQSKPHYRPTSFIQILLEKLLAYHPLEDFAPDFSLLPRSGKLCNGTMKLEALTHINQVLFKASPGHPSNSLWMYLDQKKKAEFYICKNCNKMECVENIVFLKDKRDMKTDAKSHPMLMRLDQMLHRTRFNIGRSSFILIREKNKWKGKCVPGPIRDREEEEVEEATAHRKAPPEADIENRKPKKAQVAFGGRRKRKTAAM
+>sp|Q9NW68|BSDC1_HUMAN BSD domain-containing protein 1 OS=Homo sapiens OX=9606 GN=BSDC1 PE=1 SV=1
+MAEGEDVGWWRSWLQQSYQAVKEKSSEALEFMKRDLTEFTQVVQHDTACTIAATASVVKEKLATEGSSGATEKMKKGLSDFLGVISDTFAPSPDKTIDCDVITLMGTPSGTAEPYDGTKARLYSLQSDPATYCNEPDGPPELFDAWLSQFCLEEKKGEISELLVGSPSIRALYTKMVPAAVSHSEFWHRYFYKVHQLEQEQARRDALKQRAEQSISEEPGWEEEEEELMGISPISPKEAKVPVAKISTFPEGEPGPQSPCEENLVTSVEPPAEVTPSESSESISLVTQIANPATAPEARVLPKDLSQKLLEASLEEQGLAVDVGETGPSPPIHSKPLTPAGHTGGPEPRPPARVETLREEAPTDLRVFELNSDSGKSTPSNNGKKGSSTDISEDWEKDFDLDMTEEEVQMALSKVDASGELEDVEWEDWE
+>DECOY_sp|Q9NW68|BSDC1_HUMAN BSD domain-containing protein 1 OS=Homo sapiens OX=9606 GN=BSDC1 PE=1 SV=1
+EWDEWEVDELEGSADVKSLAMQVEEETMDLDFDKEWDESIDTSSGKKGNNSPTSKGSDSNLEFVRLDTPAEERLTEVRAPPRPEPGGTHGAPTLPKSHIPPSPGTEGVDVALGQEELSAELLKQSLDKPLVRAEPATAPNAIQTVLSISESSESPTVEAPPEVSTVLNEECPSQPGPEGEPFTSIKAVPVKAEKPSIPSIGMLEEEEEEWGPEESISQEARQKLADRRAQEQELQHVKYFYRHWFESHSVAAPVMKTYLARISPSGVLLESIEGKKEELCFQSLWADFLEPPGDPENCYTAPDSQLSYLRAKTGDYPEATGSPTGMLTIVDCDITKDPSPAFTDSIVGLFDSLGKKMKETAGSSGETALKEKVVSATAAITCATDHQVVQTFETLDRKMFELAESSKEKVAQYSQQLWSRWWGVDEGEAM
+>sp|Q9BSF8|BTBDA_HUMAN BTB/POZ domain-containing protein 10 OS=Homo sapiens OX=9606 GN=BTBD10 PE=1 SV=2
+MAGRPHPYDGNSSDPENWDRKLHSRPRKLYKHSSTSSRIAKGGVDHTKMSLHGASGGHERSRDRRRSSDRSRDSSHERTESQLTPCIRNVTSPTRQHHVEREKDHSSSRPSSPRPQKASPNGSISSAGNSSRNSSQSSSDGSCKTAGEMVFVYENAKEGARNIRTSERVTLIVDNTRFVVDPSIFTAQPNTMLGRMFGSGREHNFTRPNEKGEYEVAEGIGSTVFRAILDYYKTGIIRCPDGISIPELREACDYLCISFEYSTIKCRDLSALMHELSNDGARRQFEFYLEEMILPLMVASAQSGERECHIVVLTDDDVVDWDEEYPPQMGEEYSQIIYSTKLYRFFKYIENRDVAKSVLKERGLKKIRLGIEGYPTYKEKVKKRPGGRPEVIYNYVQRPFIRMSWEKEEGKSRHVDFQCVKSKSITNLAAAAADIPQDQLVVMHPTPQVDELDILPIHPPSGNSDLDPDAQNPML
+>DECOY_sp|Q9BSF8|BTBDA_HUMAN BTB/POZ domain-containing protein 10 OS=Homo sapiens OX=9606 GN=BTBD10 PE=1 SV=2
+LMPNQADPDLDSNGSPPHIPLIDLEDVQPTPHMVVLQDQPIDAAAAALNTISKSKVCQFDVHRSKGEEKEWSMRIFPRQVYNYIVEPRGGPRKKVKEKYTPYGEIGLRIKKLGREKLVSKAVDRNEIYKFFRYLKTSYIIQSYEEGMQPPYEEDWDVVDDDTLVVIHCEREGSQASAVMLPLIMEELYFEFQRRAGDNSLEHMLASLDRCKITSYEFSICLYDCAERLEPISIGDPCRIIGTKYYDLIARFVTSGIGEAVEYEGKENPRTFNHERGSGFMRGLMTNPQATFISPDVVFRTNDVILTVRESTRINRAGEKANEYVFVMEGATKCSGDSSSQSSNRSSNGASSISGNPSAKQPRPSSPRSSSHDKEREVHHQRTPSTVNRICPTLQSETREHSSDRSRDSSRRRDRSREHGGSAGHLSMKTHDVGGKAIRSSTSSHKYLKRPRSHLKRDWNEPDSSNGDYPHPRGAM
+>sp|Q9UIR0|BTNL2_HUMAN Butyrophilin-like protein 2 OS=Homo sapiens OX=9606 GN=BTNL2 PE=2 SV=1
+MVDFPGYNLSGAVASFLFILLTMKQSEDFRVIGPAHPILAGVGEDALLTCQLLPKRTTMHVEVRWYRSEPSTPVFVHRDGVEVTEMQMEEYRGWVEWIENGIAKGNVALKIHNIQPSDNGQYWCHFQDGNYCGETSLLLKVAGLGSAPSIHMEGPGESGVQLVCTARGWFPEPQVYWEDIRGEKLLAVSEHRIQDKDGLFYAEATLVVRNASAESVSCLVHNPVLTEEKGSVISLPEKLQTELASLKVNGPSQPILVRVGEDIQLTCYLSPKANAQSMEVRWDRSHRYPAVHVYMDGDHVAGEQMAEYRGRTVLVSDAIDEGRLTLQILSARPSDDGQYRCLFEKDDVYQEASLDLKVVSLGSSPLITVEGQEDGEMQPMCSSDGWFPQPHVPWRDMEGKTIPSSSQALTQGSHGLFHVQTLLRVTNISAVDVTCSISIPFLGEEKIATFSLSGW
+>DECOY_sp|Q9UIR0|BTNL2_HUMAN Butyrophilin-like protein 2 OS=Homo sapiens OX=9606 GN=BTNL2 PE=2 SV=1
+WGSLSFTAIKEEGLFPISISCTVDVASINTVRLLTQVHFLGHSGQTLAQSSSPITKGEMDRWPVHPQPFWGDSSCMPQMEGDEQGEVTILPSSGLSVVKLDLSAEQYVDDKEFLCRYQGDDSPRASLIQLTLRGEDIADSVLVTRGRYEAMQEGAVHDGDMYVHVAPYRHSRDWRVEMSQANAKPSLYCTLQIDEGVRVLIPQSPGNVKLSALETQLKEPLSIVSGKEETLVPNHVLCSVSEASANRVVLTAEAYFLGDKDQIRHESVALLKEGRIDEWYVQPEPFWGRATCVLQVGSEGPGEMHISPASGLGAVKLLLSTEGCYNGDQFHCWYQGNDSPQINHIKLAVNGKAIGNEIWEVWGRYEEMQMETVEVGDRHVFVPTSPESRYWRVEVHMTTRKPLLQCTLLADEGVGALIPHAPGIVRFDESQKMTLLIFLFSAVAGSLNYGPFDVM
+>sp|A8MVZ5|BTNLA_HUMAN Butyrophilin-like protein 10 OS=Homo sapiens OX=9606 GN=BTNL10 PE=5 SV=2
+MAVTCDPEAFLSICFVTLVFLQLPLASIWKADFDVTGPHAPILAMAGGHVELQCQLFPNISAEDMELRWYRCQPSLAVHMHERGMDMDGEQKWQYRGRTTFMSDHVARGKAMVRSHRVTTFDNRTYCCRFKDGVKFGEATVQVQVAGLGREPRIQVTDQQDGVRAECTSAGCFPKSWVERRDFRGQARPAVTNLSASATTRLWAVASSLTLWDRAVEGLSCSISSPLLPERRKVAESHLPATFSRSSQFTAWKAALPLILVAMGLVIAGGICIFWKRQREKNKASLEEERE
+>DECOY_sp|A8MVZ5|BTNLA_HUMAN Butyrophilin-like protein 10 OS=Homo sapiens OX=9606 GN=BTNL10 PE=5 SV=2
+EREEELSAKNKERQRKWFICIGGAIVLGMAVLILPLAAKWATFQSSRSFTAPLHSEAVKRREPLLPSSISCSLGEVARDWLTLSSAVAWLRTTASASLNTVAPRAQGRFDRREVWSKPFCGASTCEARVGDQQDTVQIRPERGLGAVQVQVTAEGFKVGDKFRCCYTRNDFTTVRHSRVMAKGRAVHDSMFTTRGRYQWKQEGDMDMGREHMHVALSPQCRYWRLEMDEASINPFLQCQLEVHGGAMALIPAHPGTVDFDAKWISALPLQLFVLTVFCISLFAEPDCTVAM
+>sp|Q9BRD0|BUD13_HUMAN BUD13 homolog OS=Homo sapiens OX=9606 GN=BUD13 PE=1 SV=1
+MAAAPPLSKAEYLKRYLSGADAGVDRGSESGRKRRKKRPKPGGAGGKGMRIVDDDVSWTAISTTKLEKEEEEDDGDLPVVAEFVDERPEEVKQMEAFRSSAKWKLLGGHNEDLPSNRHFRHDTPDSSPRRVRHGTPDPSPRKDRHDTPDPSPRRARHDTPDPSPLRGARHDSDTSPPRRIRHDSSDTSPPRRARHDSPDPSPPRRPQHNSSGASPRRVRHDSPDPSPPRRARHGSSDISSPRRVHNNSPDTSRRTLGSSDTQQLRRARHDSPDLAPNVTYSLPRTKSGKAPERASSKTSPHWKESGASHLSFPKNSKYEYDPDISPPRKKQAKSHFGDKKQLDSKGDCQKATDSDLSSPRHKQSPGHQDSDSDLSPPRNRPRHRSSDSDLSPPRRRQRTKSSDSDLSPPRRSQPPGKKAAHMYSGAKTGLVLTDIQREQQELKEQDQETMAFEAEFQYAETVFRDKSGRKRNLKLERLEQRRKAEKDSERDELYAQWGKGLAQSRQQQQNVEDAMKEMQKPLARYIDDEDLDRMLREQEREGDPMANFIKKNKAKENKNKKVRPRYSGPAPPPNRFNIWPGYRWDGVDRSNGFEQKRFARLASKKAVEELAYKWSVEDM
+>DECOY_sp|Q9BRD0|BUD13_HUMAN BUD13 homolog OS=Homo sapiens OX=9606 GN=BUD13 PE=1 SV=1
+MDEVSWKYALEEVAKKSALRAFRKQEFGNSRDVGDWRYGPWINFRNPPPAPGSYRPRVKKNKNEKAKNKKIFNAMPDGEREQERLMRDLDEDDIYRALPKQMEKMADEVNQQQQRSQALGKGWQAYLEDRESDKEAKRRQELRELKLNRKRGSKDRFVTEAYQFEAEFAMTEQDQEKLEQQERQIDTLVLGTKAGSYMHAAKKGPPQSRRPPSLDSDSSKTRQRRRPPSLDSDSSRHRPRNRPPSLDSDSDQHGPSQKHRPSSLDSDTAKQCDGKSDLQKKDGFHSKAQKKRPPSIDPDYEYKSNKPFSLHSAGSEKWHPSTKSSAREPAKGSKTRPLSYTVNPALDPSDHRARRLQQTDSSGLTRRSTDPSNNHVRRPSSIDSSGHRARRPPSPDPSDHRVRRPSAGSSNHQPRRPPSPDPSDHRARRPPSTDSSDHRIRRPPSTDSDHRAGRLPSPDPTDHRARRPSPDPTDHRDKRPSPDPTGHRVRRPSSDPTDHRFHRNSPLDENHGGLLKWKASSRFAEMQKVEEPREDVFEAVVPLDGDDEEEEKELKTTSIATWSVDDDVIRMGKGGAGGPKPRKKRRKRGSESGRDVGADAGSLYRKLYEAKSLPPAAAM
+>sp|Q8N1D0|BWR1B_HUMAN Beckwith-Wiedemann syndrome chromosomal region 1 candidate gene B protein OS=Homo sapiens OX=9606 GN=SLC22A18AS PE=2 SV=3
+MGELPGSEGMWENCPLGWVKKKASGTLAPLDFLLQRKRLWLWASEPVRPQPQGIHRFREARRQFCRMRGSRLTGGRKGFGSSGLRFGRGGFSEEVMPQPVLKAMRCAEGAWWFSPDGPAGSAASIWPAEGAEGLPGQLGRDRLEVVYSVPDNVPGQNGSRRPLVCKITGKCLSVCSEENAKAGGCSAFPLLLSQLGARMTGREHAHKGPELTTPDSGLPRPPNPALAGFRALAQHSPPLGTSTPSAVLLSAAT
+>DECOY_sp|Q8N1D0|BWR1B_HUMAN Beckwith-Wiedemann syndrome chromosomal region 1 candidate gene B protein OS=Homo sapiens OX=9606 GN=SLC22A18AS PE=2 SV=3
+TAASLLVASPTSTGLPPSHQALARFGALAPNPPRPLGSDPTTLEPGKHAHERGTMRAGLQSLLLPFASCGGAKANEESCVSLCKGTIKCVLPRRSGNQGPVNDPVSYVVELRDRGLQGPLGEAGEAPWISAASGAPGDPSFWWAGEACRMAKLVPQPMVEESFGGRGFRLGSSGFGKRGGTLRSGRMRCFQRRAERFRHIGQPQPRVPESAWLWLRKRQLLFDLPALTGSAKKKVWGLPCNEWMGESGPLEGM
+>sp|O95971|BY55_HUMAN CD160 antigen OS=Homo sapiens OX=9606 GN=CD160 PE=1 SV=1
+MLLEPGRGCCALAILLAIVDIQSGGCINITSSASQEGTRLNLICTVWHKKEEAEGFVVFLCKDRSGDCSPETSLKQLRLKRDPGIDGVGEISSQLMFTISQVTPLHSGTYQCCARSQKSGIRLQGHFFSILFTETGNYTVTGLKQRQHLEFSHNEGTLSSGFLQEKVWVMLVTSLVALQAL
+>DECOY_sp|O95971|BY55_HUMAN CD160 antigen OS=Homo sapiens OX=9606 GN=CD160 PE=1 SV=1
+LAQLAVLSTVLMVWVKEQLFGSSLTGENHSFELHQRQKLGTVTYNGTETFLISFFHGQLRIGSKQSRACCQYTGSHLPTVQSITFMLQSSIEGVGDIGPDRKLRLQKLSTEPSCDGSRDKCLFVVFGEAEEKKHWVTCILNLRTGEQSASSTINICGGSQIDVIALLIALACCGRGPELLM
+>sp|P19099|C11B2_HUMAN Cytochrome P450 11B2, mitochondrial OS=Homo sapiens OX=9606 GN=CYP11B2 PE=1 SV=3
+MALRAKAEVCVAAPWLSLQRARALGTRAARAPRTVLPFEAMPQHPGNRWLRLLQIWREQGYEHLHLEMHQTFQELGPIFRYNLGGPRMVCVMLPEDVEKLQQVDSLHPCRMILEPWVAYRQHRGHKCGVFLLNGPEWRFNRLRLNPDVLSPKAVQRFLPMVDAVARDFSQALKKKVLQNARGSLTLDVQPSIFHYTIEASNLALFGERLGLVGHSPSSASLNFLHALEVMFKSTVQLMFMPRSLSRWISPKVWKEHFEAWDCIFQYGDNCIQKIYQELAFNRPQHYTGIVAELLLKAELSLEAIKANSMELTAGSVDTTAFPLLMTLFELARNPDVQQILRQESLAAAASISEHPQKATTELPLLRAALKETLRLYPVGLFLERVVSSDLVLQNYHIPAGTLVQVFLYSLGRNAALFPRPERYNPQRWLDIRGSGRNFHHVPFGFGMRQCLGRRLAEAEMLLLLHHVLKHFLVETLTQEDIKMVYSFILRPGTSPLLTFRAIN
+>DECOY_sp|P19099|C11B2_HUMAN Cytochrome P450 11B2, mitochondrial OS=Homo sapiens OX=9606 GN=CYP11B2 PE=1 SV=3
+NIARFTLLPSTGPRLIFSYVMKIDEQTLTEVLFHKLVHHLLLLMEAEALRRGLCQRMGFGFPVHHFNRGSGRIDLWRQPNYREPRPFLAANRGLSYLFVQVLTGAPIHYNQLVLDSSVVRELFLGVPYLRLTEKLAARLLPLETTAKQPHESISAAAALSEQRLIQQVDPNRALEFLTMLLPFATTDVSGATLEMSNAKIAELSLEAKLLLEAVIGTYHQPRNFALEQYIKQICNDGYQFICDWAEFHEKWVKPSIWRSLSRPMFMLQVTSKFMVELAHLFNLSASSPSHGVLGLREGFLALNSAEITYHFISPQVDLTLSGRANQLVKKKLAQSFDRAVADVMPLFRQVAKPSLVDPNLRLRNFRWEPGNLLFVGCKHGRHQRYAVWPELIMRCPHLSDVQQLKEVDEPLMVCVMRPGGLNYRFIPGLEQFTQHMELHLHEYGQERWIQLLRLWRNGPHQPMAEFPLVTRPARAARTGLARARQLSLWPAAVCVEAKARLAM
+>sp|Q8IYA2|C144C_HUMAN Putative coiled-coil domain-containing protein 144C OS=Homo sapiens OX=9606 GN=CCDC144CP PE=5 SV=3
+MVSWGGEKRGGAEGSPKPAVYATRKTGSVRSQEDQWYLGYPGDQWSSGFSYSWWKNSVGSESKHGEGALDQPQHDVRLEDLGELHRAARSGDVPGVEHVLVPGDTGVDKRDRKKSIQQLVPEYKEKQTPESLPQNNNPDWHPTNLTLSDETCQRSKNLKVDDKCPSVSPSMPENQSATKELGQMNLTEREKMDTGVKTSQEPEMAKDCDREDIPIYPVLPHVQKSEEMRIEQGKLEWKNQLKLVINELKQRFGEIYEKYKIPACPEEEPLLDNSTRGTDVKDIPFNLTNNIPGCEEEDASEISVSVVFETFPEQKEPSLKNIIHSYYHPYSGSQEHVCQSSSKLHLHENKLDCDNDNKPGIGHIFSTDKNFHNDASTKKARNPEVVTVEMKEDQEFDLQMTKNMNQNSDSGSTNNYKSLKPKLENLSSLPPDSDRTSEVYLHEELQQDMQKFKNEVNTLEEEFLALKKENVQLHKEVEEEMEKHRSNSTELSGTLTDGTTVGNDDDGLNQQIPRKENGEHDRLALKQENEEKRNADMLYNKDSEQLRIKEEECGKVVETKQQLKWNLRRLVKELRTVVQERNDAQKQLSEEQDARILQDQILTSKQKELEMAQKKRNPEISHRHQKEKDLFHENCMLQEEIALLRLEIDTIKNQNKQKEKKYFEDIEVVKEKNDNLQKIIKRNEETLTETILQYSGQLNNLTAENKMLNSELENGKENQERLEIEMESYRCRLAAAVHDCDQSQTARDLKLDFQRTRQEWVRLHDKMKVDMSGLQAKNEILSEKLSNAESKINSLQIQLHNTRDALGRESLILERVQRDLSQTQCQKKETEQMYQSKLKKYIAKQESVEERLSQLQSENMLLRQQLDDVHKKANSQEKTISTIQDQFHSAAKNLQAESEKQILSLQEKNKELMDEYNHLKERMDQCEKEKAGRKIDLTEAQETVPSRCLHLDAENEVLQLQQTLFSMKAIQKQCETLQKNKKQLKQEVVNLKSYMERNMLERGEAEWHKLLIEERARKEIEEKLNEAILTLQKQAAVSHEQLAQLREDNTTSIKTQMELTVIDLESEISRIKTSQADFNKTKLERYKELYLEEVKVRESLSNELSRTNEMIAEVSTQLTVEKEQTRSRSLFTAYATRPVLESPCVGNLNDSEGLNRKHIPRKKRSALKDMESYLLKMQQKLQNDLTAEVAGSSQTGLHRIPQCSSFSSSSLHLLLCSICQPFFLILQLLLNMNLDPI
+>DECOY_sp|Q8IYA2|C144C_HUMAN Putative coiled-coil domain-containing protein 144C OS=Homo sapiens OX=9606 GN=CCDC144CP PE=5 SV=3
+IPDLNMNLLLQLILFFPQCISCLLLHLSSSSFSSCQPIRHLGTQSSGAVEATLDNQLKQQMKLLYSEMDKLASRKKRPIHKRNLGESDNLNGVCPSELVPRTAYATFLSRSRTQEKEVTLQTSVEAIMENTRSLENSLSERVKVEELYLEKYRELKTKNFDAQSTKIRSIESELDIVTLEMQTKISTTNDERLQALQEHSVAAQKQLTLIAENLKEEIEKRAREEILLKHWEAEGRELMNREMYSKLNVVEQKLQKKNKQLTECQKQIAKMSFLTQQLQLVENEADLHLCRSPVTEQAETLDIKRGAKEKECQDMREKLHNYEDMLEKNKEQLSLIQKESEAQLNKAASHFQDQITSITKEQSNAKKHVDDLQQRLLMNESQLQSLREEVSEQKAIYKKLKSQYMQETEKKQCQTQSLDRQVRELILSERGLADRTNHLQIQLSNIKSEANSLKESLIENKAQLGSMDVKMKDHLRVWEQRTRQFDLKLDRATQSQDCDHVAAALRCRYSEMEIELREQNEKGNELESNLMKNEATLNNLQGSYQLITETLTEENRKIIKQLNDNKEKVVEIDEFYKKEKQKNQNKITDIELRLLAIEEQLMCNEHFLDKEKQHRHSIEPNRKKQAMELEKQKSTLIQDQLIRADQEESLQKQADNREQVVTRLEKVLRRLNWKLQQKTEVVKGCEEEKIRLQESDKNYLMDANRKEENEQKLALRDHEGNEKRPIQQNLGDDDNGVTTGDTLTGSLETSNSRHKEMEEEVEKHLQVNEKKLALFEEELTNVENKFKQMDQQLEEHLYVESTRDSDPPLSSLNELKPKLSKYNNTSGSDSNQNMNKTMQLDFEQDEKMEVTVVEPNRAKKTSADNHFNKDTSFIHGIGPKNDNDCDLKNEHLHLKSSSQCVHEQSGSYPHYYSHIINKLSPEKQEPFTEFVVSVSIESADEEECGPINNTLNFPIDKVDTGRTSNDLLPEEEPCAPIKYKEYIEGFRQKLENIVLKLQNKWELKGQEIRMEESKQVHPLVPYIPIDERDCDKAMEPEQSTKVGTDMKERETLNMQGLEKTASQNEPMSPSVSPCKDDVKLNKSRQCTEDSLTLNTPHWDPNNNQPLSEPTQKEKYEPVLQQISKKRDRKDVGTDGPVLVHEVGPVDGSRAARHLEGLDELRVDHQPQDLAGEGHKSESGVSNKWWSYSFGSSWQDGPYGLYWQDEQSRVSGTKRTAYVAPKPSGEAGGRKEGGWSVM
+>sp|Q6UWJ8|C16L2_HUMAN CD164 sialomucin-like 2 protein OS=Homo sapiens OX=9606 GN=CD164L2 PE=1 SV=2
+MEAPGPRALRTALCGGCCCLLLCAQLAVAGKGARGFGRGALIRLNIWPAVQGACKQLEVCEHCVEGDRARNLSSCMWEQCRPEEPGHCVAQSEVVKEGCSIYNRSEACPAAHHHPTYEPKTVTTGSPPVPEAHSPGFDGASFIGGVVLVLSLQAVAFFVLHFLKAKDSTYQTLI
+>DECOY_sp|Q6UWJ8|C16L2_HUMAN CD164 sialomucin-like 2 protein OS=Homo sapiens OX=9606 GN=CD164L2 PE=1 SV=2
+ILTQYTSDKAKLFHLVFFAVAQLSLVLVVGGIFSAGDFGPSHAEPVPPSGTTVTKPEYTPHHHAAPCAESRNYISCGEKVVESQAVCHGPEEPRCQEWMCSSLNRARDGEVCHECVELQKCAGQVAPWINLRILAGRGFGRAGKGAVALQACLLLCCCGGCLATRLARPGPAEM
+>sp|Q9BXJ0|C1QT5_HUMAN Complement C1q tumor necrosis factor-related protein 5 OS=Homo sapiens OX=9606 GN=C1QTNF5 PE=1 SV=1
+MRPLLVLLLLGLAAGSPPLDDNKIPSLCPGHPGLPGTPGHHGSQGLPGRDGRDGRDGAPGAPGEKGEGGRPGLPGPRGDPGPRGEAGPAGPTGPAGECSVPPRSAFSAKRSESRVPPPSDAPLPFDRVLVNEQGHYDAVTGKFTCQVPGVYYFAVHATVYRASLQFDLVKNGESIASFFQFFGGWPKPASLSGGAMVRLEPEDQVWVQVGVGDYIGIYASIKTDSTFSGFLVYSDWHSSPVFA
+>DECOY_sp|Q9BXJ0|C1QT5_HUMAN Complement C1q tumor necrosis factor-related protein 5 OS=Homo sapiens OX=9606 GN=C1QTNF5 PE=1 SV=1
+AFVPSSHWDSYVLFGSFTSDTKISAYIGIYDGVGVQVWVQDEPELRVMAGGSLSAPKPWGGFFQFFSAISEGNKVLDFQLSARYVTAHVAFYYVGPVQCTFKGTVADYHGQENVLVRDFPLPADSPPPVRSESRKASFASRPPVSCEGAPGTPGAPGAEGRPGPDGRPGPLGPRGGEGKEGPAGPAGDRGDRGDRGPLGQSGHHGPTGPLGPHGPCLSPIKNDDLPPSGAALGLLLLVLLPRM
+>sp|Q9BXJ2|C1QT7_HUMAN Complement C1q tumor necrosis factor-related protein 7 OS=Homo sapiens OX=9606 GN=C1QTNF7 PE=2 SV=1
+MFVLLYVTSFAICASGQPRGNQLKGENYSPRYICSIPGLPGPPGPPGANGSPGPHGRIGLPGRDGRDGRKGEKGEKGTAGLRGKTGPLGLAGEKGDQGETGKKGPIGPEGEKGEVGPIGPPGPKGDRGEQGDPGLPGVCRCGSIVLKSAFSVGITTSYPEERLPIIFNKVLFNEGEHYNPATGKFICAFPGIYYFSYDITLANKHLAIGLVHNGQYRIKTFDANTGNHDVASGSTVIYLQPEDEVWLEIFFTDQNGLFSDPGWADSLFSGFLLYVDTDYLDSISEDDEL
+>DECOY_sp|Q9BXJ2|C1QT7_HUMAN Complement C1q tumor necrosis factor-related protein 7 OS=Homo sapiens OX=9606 GN=C1QTNF7 PE=2 SV=1
+LEDDESISDLYDTDVYLLFGSFLSDAWGPDSFLGNQDTFFIELWVEDEPQLYIVTSGSAVDHNGTNADFTKIRYQGNHVLGIALHKNALTIDYSFYYIGPFACIFKGTAPNYHEGENFLVKNFIIPLREEPYSTTIGVSFASKLVISGCRCVGPLGPDGQEGRDGKPGPPGIPGVEGKEGEPGIPGKKGTEGQDGKEGALGLPGTKGRLGATGKEGKEGKRGDRGDRGPLGIRGHPGPSGNAGPPGPPGPLGPISCIYRPSYNEGKLQNGRPQGSACIAFSTVYLLVFM
+>sp|Q4G0S4|C27C1_HUMAN Cytochrome P450 27C1 OS=Homo sapiens OX=9606 GN=CYP27C1 PE=1 SV=2
+MRSVLRQRILKPKDVAIYSGEVNQVIADLIKRIYLLRSQAEDGETVTNVNDLFFKYSMEGVATILYESRLGCLENSIPQLTVEYIEALELMFSMFKTSMYAGAIPRWLRPFIPKPWREFCRSWDGLFKFSQIHVDNKLRDIQYQMDRGRRVSGGLLTYLFLSQALTLQEIYANVTEMLLAGVDTTSFTLSWTVYLLARHPEVQQTVYREIVKNLGERHVPTAADVPKVPLVRALLKETLRLFPVLPGNGRVTQEDLVIGGYLIPKGTQLALCHYATSYQDENFPRAKEFRPERWLRKGDLDRVDNFGSIPFGHGVRSCIGRRIAELEIHLVVIQLLQHFEIKTSSQTNAVHAKTHGLLTPGGPIHVRFVNRK
+>DECOY_sp|Q4G0S4|C27C1_HUMAN Cytochrome P450 27C1 OS=Homo sapiens OX=9606 GN=CYP27C1 PE=1 SV=2
+KRNVFRVHIPGGPTLLGHTKAHVANTQSSTKIEFHQLLQIVVLHIELEAIRRGICSRVGHGFPISGFNDVRDLDGKRLWREPRFEKARPFNEDQYSTAYHCLALQTGKPILYGGIVLDEQTVRGNGPLVPFLRLTEKLLARVLPVKPVDAATPVHREGLNKVIERYVTQQVEPHRALLYVTWSLTFSTTDVGALLMETVNAYIEQLTLAQSLFLYTLLGGSVRRGRDMQYQIDRLKNDVHIQSFKFLGDWSRCFERWPKPIFPRLWRPIAGAYMSTKFMSFMLELAEIYEVTLQPISNELCGLRSEYLITAVGEMSYKFFLDNVNTVTEGDEAQSRLLYIRKILDAIVQNVEGSYIAVDKPKLIRQRLVSRM
+>sp|O14523|C2C2L_HUMAN Phospholipid transfer protein C2CD2L OS=Homo sapiens OX=9606 GN=C2CD2L PE=1 SV=3
+MDPGWGQRDVGWAALLILFAASLLTVFAWLLQYARGLWLARARGDRGPGPALAGEPAGSLRELGVWRSLLRLRATRAGAAEEPGVRGLLASLFAFKSFRENWQRAWVRALNEQACRNGSSIQIAFEEVPQLPPRASISHVTCVDQSEHTMVLRCQLSAEEVRFPVSVTQQSPAAVSMETYHVTLTLPPTQLEVNLEEIPGEGLLISWAFTDRPDLSLTVLPKLQARERGEEQVELSTIEELIKDAIVSTQPAMMVNLRACSAPGGLVPSEKPPMMPQAQPAIPRPNRLFLRQLRASHLGNELEGTEELCCVAELDNPMQQKWTKPARAGSEVEWTEDLALDLGPQSRELTLKVLRSSSCGDTELLGQATLPVGSPSRPLSRRQLCPLTPGPGKALGPAATMAVELHYEEGSPRNLGTPTSSTPRPSITPTKKIELDRTIMPDGTIVTTVTTVQSRPRIDGKLDSPSRSPSKVEVTEKTTTVLSESSGPSNTSHSSSRDSHLSNGLDPVAETAIRQLTEPSGRVAKKTPTKRSTLIISGVSKVPIAQDELALSLGYAASLEASVQDDAGTSGGPSSPPSDPPAMSPGPLDALSSPTSVQEADETTRSDISERPSVDDIESETGSTGALETRSLKDHKVSFLRSGTKLIFRRRPRQKEAGLSQSHDDLSNATATPSVRKKAGSFSRRLIKRFSFKSKPKANGNPSPQL
+>DECOY_sp|O14523|C2C2L_HUMAN Phospholipid transfer protein C2CD2L OS=Homo sapiens OX=9606 GN=C2CD2L PE=1 SV=3
+LQPSPNGNAKPKSKFSFRKILRRSFSGAKKRVSPTATANSLDDHSQSLGAEKQRPRRRFILKTGSRLFSVKHDKLSRTELAGTSGTESEIDDVSPRESIDSRTTEDAEQVSTPSSLADLPGPSMAPPDSPPSSPGGSTGADDQVSAELSAAYGLSLALEDQAIPVKSVGSIILTSRKTPTKKAVRGSPETLQRIATEAVPDLGNSLHSDRSSSHSTNSPGSSESLVTTTKETVEVKSPSRSPSDLKGDIRPRSQVTTVTTVITGDPMITRDLEIKKTPTISPRPTSSTPTGLNRPSGEEYHLEVAMTAAPGLAKGPGPTLPCLQRRSLPRSPSGVPLTAQGLLETDGCSSSRLVKLTLERSQPGLDLALDETWEVESGARAPKTWKQQMPNDLEAVCCLEETGELENGLHSARLQRLFLRNPRPIAPQAQPMMPPKESPVLGGPASCARLNVMMAPQTSVIADKILEEITSLEVQEEGRERAQLKPLVTLSLDPRDTFAWSILLGEGPIEELNVELQTPPLTLTVHYTEMSVAAPSQQTVSVPFRVEEASLQCRLVMTHESQDVCTVHSISARPPLQPVEEFAIQISSGNRCAQENLARVWARQWNERFSKFAFLSALLGRVGPEEAAGARTARLRLLSRWVGLERLSGAPEGALAPGPGRDGRARALWLGRAYQLLWAFVTLLSAAFLILLAAWGVDRQGWGPDM
+>sp|A6NLJ0|C2C4B_HUMAN C2 calcium-dependent domain-containing protein 4B OS=Homo sapiens OX=9606 GN=C2CD4B PE=2 SV=1
+MRLLEKLCSSAAGSSAPKPAFAKVLTPNRIPEFCIPPRLPAPCTLESPIRAAAVPRRCAAESDLWPRAADEDAGRTDWDPRSQAALSLPHLPRVRTTYGFCALLESPHTRRKESLLLGGPPAPRPRAHSCGGGGGPDAPLGTLCGPRGPGPATPAAPGGPRLPQDALAAGPRRCRLLRVPDGLLSRALRAGRSRRLARVRSVSSGNEDEERRAGSESPARAPSSSPLSSRAPLPERLEAKGTVALGRAGDALRLAAEYCPGTRRLRLRLLRAESLFGGAPGPRAVRCRLSLVLRPPGTARWQCSAVVGRSRKASFDQDFCFDGLSEDEVRRLAVRVKARDEGRGRDRGRLLGQGELSLGALLLL
+>DECOY_sp|A6NLJ0|C2C4B_HUMAN C2 calcium-dependent domain-containing protein 4B OS=Homo sapiens OX=9606 GN=C2CD4B PE=2 SV=1
+LLLLAGLSLEGQGLLRGRDRGRGEDRAKVRVALRRVEDESLGDFCFDQDFSAKRSRGVVASCQWRATGPPRLVLSLRCRVARPGPAGGFLSEARLLRLRLRRTGPCYEAALRLADGARGLAVTGKAELREPLPARSSLPSSSPARAPSESGARREEDENGSSVSRVRALRRSRGARLARSLLGDPVRLLRCRRPGAALADQPLRPGGPAAPTAPGPGRPGCLTGLPADPGGGGGCSHARPRPAPPGGLLLSEKRRTHPSELLACFGYTTRVRPLHPLSLAAQSRPDWDTRGADEDAARPWLDSEAACRRPVAAARIPSELTCPAPLRPPICFEPIRNPTLVKAFAPKPASSGAASSCLKELLRM
+>sp|Q86YS7|C2CD5_HUMAN C2 domain-containing protein 5 OS=Homo sapiens OX=9606 GN=C2CD5 PE=1 SV=1
+MPGKLKVKIVAGRHLPVMDRASDLTDAFVEVKFGNTTFKTDVYLKSLNPQWNSEWFKFEVDDEDLQDEPLQITVLDHDTYSANDAIGKVYIDIDPLLYSEAATVISGWFPIYDTIHGIRGEINVVVKVDLFNDLNRFRQSSCGVKFFCTTSIPKCYRAVIIHGFVEELVVNEDPEYQWIDRIRTPRASNEARQRLISLMSGELQRKIGLKVLEMRGNAVVGYLQCFDLEGESGLVVRAIGTACTLDKLSSPAAFLPACNSPSKEMKEIPFNEDPNPNTHSSGPSTPLKNQTYSFSPSKSYSRQSSSSDTDLSLTPKTGMGSGSAGKEGGPFKALLRQQTQSALEQREFPFFTLTAFPPGFLVHVGGVVSARSVKLLDRIHNPDEPETRDAWWAEIRQEIKSHAKALGCHAVVGYSESTSICEEVCILSASGTAAVLNPRFLQDGTVEGCLEQRLEENLPTRCGFCHIPYDELNMPFPAHLTYCYNCRKQKVPDVLFTTIDLPTDATVIGKGCLIQARLCRLKKKAQAEANATAISNLLPFMEYEVHTQLMNKLKLKGMNALFGLRIQITVGENMLMGLASATGVYLAALPTPGGIQIAGKTPNDGSYEQHISHMQKKINDTIAKNKELYEINPPEISEEIIGSPIPEPRQRSRLLRSQSESSDEVTELDLSHGKKDAFVLEIDDTDAMEDVHSLLTDVPPPSGFYSCNTEIMPGINNWTSEIQMFTSVRVIRLSSLNLTNQALNKNFNDLCENLLKSLYFKLRSMIPCCLCHVNFTVSLPEDELIQVTVTAVAITFDKNQALQTTKTPVEKSLQRASTDNEELLQFPLELCSDSLPSHPFPPAKAMTVEKASPVGDGNFRNRSAPPCANSTVGVVKMTPLSFIPGAKITKYLGIINMFFIRETTSLREEGGVSGFLHAFIAEVFAMVRAHVAALGGNAVVSYIMKQCVFMENPNKNQAQCLINVSGDAVVFVRESDLEVVSSQQPTTNCQSSCTEGEVTT
+>DECOY_sp|Q86YS7|C2CD5_HUMAN C2 domain-containing protein 5 OS=Homo sapiens OX=9606 GN=C2CD5 PE=1 SV=1
+TTVEGETCSSQCNTTPQQSSVVELDSERVFVVADGSVNILCQAQNKNPNEMFVCQKMIYSVVANGGLAAVHARVMAFVEAIFAHLFGSVGGEERLSTTERIFFMNIIGLYKTIKAGPIFSLPTMKVVGVTSNACPPASRNRFNGDGVPSAKEVTMAKAPPFPHSPLSDSCLELPFQLLEENDTSARQLSKEVPTKTTQLAQNKDFTIAVATVTVQILEDEPLSVTFNVHCLCCPIMSRLKFYLSKLLNECLDNFNKNLAQNTLNLSSLRIVRVSTFMQIESTWNNIGPMIETNCSYFGSPPPVDTLLSHVDEMADTDDIELVFADKKGHSLDLETVEDSSESQSRLLRSRQRPEPIPSGIIEESIEPPNIEYLEKNKAITDNIKKQMHSIHQEYSGDNPTKGAIQIGGPTPLAALYVGTASALGMLMNEGVTIQIRLGFLANMGKLKLKNMLQTHVEYEMFPLLNSIATANAEAQAKKKLRCLRAQILCGKGIVTADTPLDITTFLVDPVKQKRCNYCYTLHAPFPMNLEDYPIHCFGCRTPLNEELRQELCGEVTGDQLFRPNLVAATGSASLICVEECISTSESYGVVAHCGLAKAHSKIEQRIEAWWADRTEPEDPNHIRDLLKVSRASVVGGVHVLFGPPFATLTFFPFERQELASQTQQRLLAKFPGGEKGASGSGMGTKPTLSLDTDSSSSQRSYSKSPSFSYTQNKLPTSPGSSHTNPNPDENFPIEKMEKSPSNCAPLFAAPSSLKDLTCATGIARVVLGSEGELDFCQLYGVVANGRMELVKLGIKRQLEGSMLSILRQRAENSARPTRIRDIWQYEPDENVVLEEVFGHIIVARYCKPISTTCFFKVGCSSQRFRNLDNFLDVKVVVNIEGRIGHITDYIPFWGSIVTAAESYLLPDIDIYVKGIADNASYTDHDLVTIQLPEDQLDEDDVEFKFWESNWQPNLSKLYVDTKFTTNGFKVEVFADTLDSARDMVPLHRGAVIKVKLKGPM
+>sp|P33076|C2TA_HUMAN MHC class II transactivator OS=Homo sapiens OX=9606 GN=CIITA PE=1 SV=3
+MRCLAPRPAGSYLSEPQGSSQCATMELGPLEGGYLELLNSDADPLCLYHFYDQMDLAGEEEIELYSEPDTDTINCDQFSRLLCDMEGDEETREAYANIAELDQYVFQDSQLEGLSKDIFKHIGPDEVIGESMEMPAEVGQKSQKRPFPEELPADLKHWKPAEPPTVVTGSLLVRPVSDCSTLPCLPLPALFNQEPASGQMRLEKTDQIPMPFSSSSLSCLNLPEGPIQFVPTISTLPHGLWQISEAGTGVSSIFIYHGEVPQASQVPPPSGFTVHGLPTSPDRPGSTSPFAPSATDLPSMPEPALTSRANMTEHKTSPTQCPAAGEVSNKLPKWPEPVEQFYRSLQDTYGAEPAGPDGILVEVDLVQARLERSSSKSLERELATPDWAERQLAQGGLAEVLLAAKEHRRPRETRVIAVLGKAGQGKSYWAGAVSRAWACGRLPQYDFVFSVPCHCLNRPGDAYGLQDLLFSLGPQPLVAADEVFSHILKRPDRVLLILDGFEELEAQDGFLHSTCGPAPAEPCSLRGLLAGLFQKKLLRGCTLLLTARPRGRLVQSLSKADALFELSGFSMEQAQAYVMRYFESSGMTEHQDRALTLLRDRPLLLSHSHSPTLCRAVCQLSEALLELGEDAKLPSTLTGLYVGLLGRAALDSPPGALAELAKLAWELGRRHQSTLQEDQFPSADVRTWAMAKGLVQHPPRAAESELAFPSFLLQCFLGALWLALSGEIKDKELPQYLALTPRKKRPYDNWLEGVPRFLAGLIFQPPARCLGALLGPSAAASVDRKQKVLARYLKRLQPGTLRARQLLELLHCAHEAEEAGIWQHVVQELPGRLSFLGTRLTPPDAHVLGKALEAAGQDFSLDLRSTGICPSGLGSLVGLSCVTRFRAALSDTVALWESLQQHGETKLLQAAEEKFTIEPFKAKSLKDVEDLGKLVQTQRTRSSSEDTAGELPAVRDLKKLEFALGPVSGPQAFPKLVRILTAFSSLQHLDLDALSENKIGDEGVSQLSATFPQLKSLETLNLSQNNITDLGAYKLAEALPSLAASLLRLSLYNNCICDVGAESLARVLPDMVSLRVMDVQYNKFTAAGAQQLAASLRRCPHVETLAMWTPTIPFSVQEHLQQQDSRISLR
+>DECOY_sp|P33076|C2TA_HUMAN MHC class II transactivator OS=Homo sapiens OX=9606 GN=CIITA PE=1 SV=3
+RLSIRSDQQQLHEQVSFPITPTWMALTEVHPCRRLSAALQQAGAATFKNYQVDMVRLSVMDPLVRALSEAGVDCICNNYLSLRLLSAALSPLAEALKYAGLDTINNQSLNLTELSKLQPFTASLQSVGEDGIKNESLADLDLHQLSSFATLIRVLKPFAQPGSVPGLAFELKKLDRVAPLEGATDESSSRTRQTQVLKGLDEVDKLSKAKFPEITFKEEAAQLLKTEGHQQLSEWLAVTDSLAARFRTVCSLGVLSGLGSPCIGTSRLDLSFDQGAAELAKGLVHADPPTLRTGLFSLRGPLEQVVHQWIGAEEAEHACHLLELLQRARLTGPQLRKLYRALVKQKRDVSAAASPGLLAGLCRAPPQFILGALFRPVGELWNDYPRKKRPTLALYQPLEKDKIEGSLALWLAGLFCQLLFSPFALESEAARPPHQVLGKAMAWTRVDASPFQDEQLTSQHRRGLEWALKALEALAGPPSDLAARGLLGVYLGTLTSPLKADEGLELLAESLQCVARCLTPSHSHSLLLPRDRLLTLARDQHETMGSSEFYRMVYAQAQEMSFGSLEFLADAKSLSQVLRGRPRATLLLTCGRLLKKQFLGALLGRLSCPEAPAPGCTSHLFGDQAELEEFGDLILLVRDPRKLIHSFVEDAAVLPQPGLSFLLDQLGYADGPRNLCHCPVSFVFDYQPLRGCAWARSVAGAWYSKGQGAKGLVAIVRTERPRRHEKAALLVEALGGQALQREAWDPTALERELSKSSSRELRAQVLDVEVLIGDPGAPEAGYTDQLSRYFQEVPEPWKPLKNSVEGAAPCQTPSTKHETMNARSTLAPEPMSPLDTASPAFPSTSGPRDPSTPLGHVTFGSPPPVQSAQPVEGHYIFISSVGTGAESIQWLGHPLTSITPVFQIPGEPLNLCSLSSSSFPMPIQDTKELRMQGSAPEQNFLAPLPLCPLTSCDSVPRVLLSGTVVTPPEAPKWHKLDAPLEEPFPRKQSKQGVEAPMEMSEGIVEDPGIHKFIDKSLGELQSDQFVYQDLEAINAYAERTEEDGEMDCLLRSFQDCNITDTDPESYLEIEEEGALDMQDYFHYLCLPDADSNLLELYGGELPGLEMTACQSSGQPESLYSGAPRPALCRM
+>sp|Q8TCZ2|C99L2_HUMAN CD99 antigen-like protein 2 OS=Homo sapiens OX=9606 GN=CD99L2 PE=1 SV=1
+MVAWRSAFLVCLAFSLATLVQRGSGDFDDFNLEDAVKETSSVKQPWDHTTTTTTNRPGTTRAPAKPPGSGLDLADALDDQDDGRRKPGIGGRERWNHVTTTTKRPVTTRAPANTLGNDFDLADALDDRNDRDDGRRKPIAGGGGFSDKDLEDIVGGGEYKPDKGKGDGRYGSNDDPGSGMVAEPGTIAGVASALAMALIGAVSSYISYQQKKFCFSIQQGLNADYVKGENLEAVVCEEPQVKYSTLHTQSAEPPPPPEPARI
+>DECOY_sp|Q8TCZ2|C99L2_HUMAN CD99 antigen-like protein 2 OS=Homo sapiens OX=9606 GN=CD99L2 PE=1 SV=1
+IRAPEPPPPPEASQTHLTSYKVQPEECVVAELNEGKVYDANLGQQISFCFKKQQYSIYSSVAGILAMALASAVGAITGPEAVMGSGPDDNSGYRGDGKGKDPKYEGGGVIDELDKDSFGGGGAIPKRRGDDRDNRDDLADALDFDNGLTNAPARTTVPRKTTTTVHNWRERGGIGPKRRGDDQDDLADALDLGSGPPKAPARTTGPRNTTTTTTHDWPQKVSSTEKVADELNFDDFDGSGRQVLTALSFALCVLFASRWAVM
+>sp|Q9NSG2|CA112_HUMAN Uncharacterized protein C1orf112 OS=Homo sapiens OX=9606 GN=C1orf112 PE=1 SV=1
+MFLPHMNHLTLEQTFFSQVLPKTVKLFDDMMYELTSQARGLSSQNLEIQTTLRNILQTMVQLLGALTGCVQHICATQESIILENIQSLPSSVLHIIKSTFVHCKNSESVYSGCLHLVSDLLQALFKEAYSLQKQLMELLDMVCMDPLVDDNDDILNMVIVIHSLLDICSVISSMDHAFHANTWKFIIKQSLKHQSIIKSQLKHKDIITSLCEDILFSFHSCLQLAEQMTQSDAQDNADYRLFQKTLKLCRFFANSLLHYAKEFLPFLSDSCCTLHQLYLQIHSKFPPSLYATRISKAHQEEIAGAFLVTLDPLISQLLTFQPFMQVVLDSKLDLPCELQFPQCLLLVVVMDKLPSQPKEVQTLWCTDSQVSETTTRISLLKAVFYSFEQCSGELSLPVHLQGLKSKGKAEVAVTLYQHVCVHLCTFITSFHPSLFAELDAALLNAVLSANMITSLLAMDAWCFLARYGTAELCAHHVTIVAHLIKSCPGECYQLINLSILLKRLFFFMAPPHQLEFIQKFSPKEAENLPLWQHISFQALPPELREQTVHEVTTVGTAECRKWLSRSRTLGELESLNTVLSALLAVCNSAGEALDTGKQTAIIEVVSQLWAFLNIKQVADQPYVQQTFSLLLPLLGFFIQTLDPKLILQAVTLQTSLLKLELPDYVRLAMLDFVSSLGKLFIPEAIQDRILPNLSCMFALLLADRSWLLEQHTLEAFTQFAEGTNHEEIVPQCLSSEETKNKVVSFLEKTGFVDETEAAKVERVKQEKGIFWEPFANVTVEEAKRSSLQPYAKRARQEFPWEEEYRSALHTIAGALEATESLLQKGPAPAWLSMEMEALQERMDKLKRYIHTLG
+>DECOY_sp|Q9NSG2|CA112_HUMAN Uncharacterized protein C1orf112 OS=Homo sapiens OX=9606 GN=C1orf112 PE=1 SV=1
+GLTHIYRKLKDMREQLAEMEMSLWAPAPGKQLLSETAELAGAITHLASRYEEEWPFEQRARKAYPQLSSRKAEEVTVNAFPEWFIGKEQKVREVKAAETEDVFGTKELFSVVKNKTEESSLCQPVIEEHNTGEAFQTFAELTHQELLWSRDALLLAFMCSLNPLIRDQIAEPIFLKGLSSVFDLMALRVYDPLELKLLSTQLTVAQLILKPDLTQIFFGLLPLLLSFTQQVYPQDAVQKINLFAWLQSVVEIIATQKGTDLAEGASNCVALLASLVTNLSELEGLTRSRSLWKRCEATGVTTVEHVTQERLEPPLAQFSIHQWLPLNEAEKPSFKQIFELQHPPAMFFFLRKLLISLNILQYCEGPCSKILHAVITVHHACLEATGYRALFCWADMALLSTIMNASLVANLLAADLEAFLSPHFSTIFTCLHVCVHQYLTVAVEAKGKSKLGQLHVPLSLEGSCQEFSYFVAKLLSIRTTTESVQSDTCWLTQVEKPQSPLKDMVVVLLLCQPFQLECPLDLKSDLVVQMFPQFTLLQSILPDLTVLFAGAIEEQHAKSIRTAYLSPPFKSHIQLYLQHLTCCSDSLFPLFEKAYHLLSNAFFRCLKLTKQFLRYDANDQADSQTMQEALQLCSHFSFLIDECLSTIIDKHKLQSKIISQHKLSQKIIFKWTNAHFAHDMSSIVSCIDLLSHIVIVMNLIDDNDDVLPDMCVMDLLEMLQKQLSYAEKFLAQLLDSVLHLCGSYVSESNKCHVFTSKIIHLVSSPLSQINELIISEQTACIHQVCGTLAGLLQVMTQLINRLTTQIELNQSSLGRAQSTLEYMMDDFLKVTKPLVQSFFTQELTLHNMHPLFM
+>sp|Q6ZSJ8|CA122_HUMAN Uncharacterized protein C1orf122 OS=Homo sapiens OX=9606 GN=C1orf122 PE=4 SV=2
+MEWGPGSDWSRGEAAGVDRGKAGLGLGGRPPPQPPREERAQQLLDAVEQRQRQLLDTIAACEEMLRQLGRRRPEPAGGGNVSAKPGAPPQPAVSARGGFPKDAGDGAAEP
+>DECOY_sp|Q6ZSJ8|CA122_HUMAN Uncharacterized protein C1orf122 OS=Homo sapiens OX=9606 GN=C1orf122 PE=4 SV=2
+PEAAGDGADKPFGGRASVAPQPPAGPKASVNGGGAPEPRRRGLQRLMEECAAITDLLQRQRQEVADLLQQAREERPPQPPPRGGLGLGAKGRDVGAAEGRSWDSGPGWEM
+>sp|Q8N9H9|CA127_HUMAN Uncharacterized protein C1orf127 OS=Homo sapiens OX=9606 GN=C1orf127 PE=2 SV=2
+MKCPMLRSRLGQESVHCGPMFIQVSRPLPLWRDNRQTPWLLSLRGELVASLEDASLMGLYVDMNATTVTVQSPRQGLLQRWEVSGGQQALPGVSFQPESEVLVHIPKQRLGLVKRGSYIEETLSLRFLRVHQSNIFMVTENKDFVVVSIPAAGVLQVQRCQEVGGTPGTQAFYRVDLSLEFAEMAAPVLWTVESFFQCVGSGTESPASTAALRTTPSPPSPGPETPPAGVPPAASSQVWAAGPAAQEWLSRDLLHRPSDALAKKGLGPFLQTAKPARRGQTSASILPRVVQAQRGPQPPPGEAGIPGHPTPPATLPSEPVEGVQASPWRPRPVLPTHPALTLPVSSDASSPSPPAPRPERPESLLVSGPSVTLTEGLGTVRPEQDPAKSPGSPLLLRGLSSGDVAAPEPIMGEPGQASEEFQPLARPWRATLAAEELVSHRSPGEPQETCSGTEVERPRQTGPGLPREGARGHMDLSSSEPSQDIEGPGLSILPARDATFSTPSVRQPDPSAWLSSGPELTGMPRVRLAAPLAVLPMEPLPPEPVRPAALLTPEASSVGGPDQARYLESAPGWPVGQEEWGVAHTSSPPSTQTLSLWAPTGVLLPSLVELEYPFQAGRGASLQQELTEPTLALSAESHRPPELQDSVEGLSERPSR
+>DECOY_sp|Q8N9H9|CA127_HUMAN Uncharacterized protein C1orf127 OS=Homo sapiens OX=9606 GN=C1orf127 PE=2 SV=2
+RSPRESLGEVSDQLEPPRHSEASLALTPETLEQQLSAGRGAQFPYELEVLSPLLVGTPAWLSLTQTSPPSSTHAVGWEEQGVPWGPASELYRAQDPGGVSSAEPTLLAAPRVPEPPLPEMPLVALPAALRVRPMGTLEPGSSLWASPDPQRVSPTSFTADRAPLISLGPGEIDQSPESSSLDMHGRAGERPLGPGTQRPREVETGSCTEQPEGPSRHSVLEEAALTARWPRALPQFEESAQGPEGMIPEPAAVDGSSLGRLLLPSGPSKAPDQEPRVTGLGETLTVSPGSVLLSEPREPRPAPPSPSSADSSVPLTLAPHTPLVPRPRWPSAQVGEVPESPLTAPPTPHGPIGAEGPPPQPGRQAQVVRPLISASTQGRRAPKATQLFPGLGKKALADSPRHLLDRSLWEQAAPGAAWVQSSAAPPVGAPPTEPGPSPPSPTTRLAATSAPSETGSGVCQFFSEVTWLVPAAMEAFELSLDVRYFAQTGPTGGVEQCRQVQLVGAAPISVVVFDKNETVMFINSQHVRLFRLSLTEEIYSGRKVLGLRQKPIHVLVESEPQFSVGPLAQQGGSVEWRQLLGQRPSQVTVTTANMDVYLGMLSADELSAVLEGRLSLLWPTQRNDRWLPLPRSVQIFMPGCHVSEQGLRSRLMPCKM
+>sp|Q8NDD1|CA131_HUMAN Uncharacterized protein C1orf131 OS=Homo sapiens OX=9606 GN=C1orf131 PE=1 SV=4
+MRVDSSADPTMSQEQGPGSSTPPSSPTLLDALLQNLYDFGGTEGETEQKKIIKKRENKKRDVMASAALAAEPSPLPGSLIRGQRKSASSFFKELREERHCAPSGTPTGPEILAAAVPPSSLKNNREQVEVVEFHSNKKRKLTPDHNKNTKQANPSVLERDVDTQEFNLEKARLEVHRFGITGYGKGKERILEQERAIMLGAKPPKKSYVNYKVLQEQIKEKKAAKEEEKRLAQETDIFKKKKRKGQEDRKSKKKSAPSILSNGRIGQVGKFKNGTLILSPVDIKKINSSRVAK
+>DECOY_sp|Q8NDD1|CA131_HUMAN Uncharacterized protein C1orf131 OS=Homo sapiens OX=9606 GN=C1orf131 PE=1 SV=4
+KAVRSSNIKKIDVPSLILTGNKFKGVQGIRGNSLISPASKKKSKRDEQGKRKKKKFIDTEQALRKEEEKAAKKEKIQEQLVKYNVYSKKPPKAGLMIAREQELIREKGKGYGTIGFRHVELRAKELNFEQTDVDRELVSPNAQKTNKNHDPTLKRKKNSHFEVVEVQERNNKLSSPPVAAALIEPGTPTGSPACHREERLEKFFSSASKRQGRILSGPLPSPEAALAASAMVDRKKNERKKIIKKQETEGETGGFDYLNQLLADLLTPSSPPTSSGPGQEQSMTPDASSDVRM
+>sp|Q5JVX7|CA141_HUMAN Uncharacterized protein C1orf141 OS=Homo sapiens OX=9606 GN=C1orf141 PE=2 SV=1
+MAEKILEKLDVLDKQAEIILARRTKINRLQSEGRKTTMAIPLTFDFQLEFEEALATSASKAISKIKEDKSCSITKSKMHVSFKCEPEPRKSNFEKSNLRPFFIQTNVKNKESESTAQIEKKPRKPLDSVGLLEGDRNKRKKSPQMNDFNIKENKSVRNYQLSKYRSVRKKSLLPLCFEDELKNPHAKIVNVSPTKTVTSHMEQKDTNPIIFHDTEYVRMLLLTKNRFSSHPLENENIYPHKRTNFILERNCEILKSIIGNQSISLFKPQKTMPTVQRKDIQIPMSFKAGHTTVDDKLKKKTNKQTLENRSWNTLYNFSQNFSSLTKQFVGYLDKAVIHEMSAQTGKFERMFSAGKPTSIPTSSALPVKCYSKPFKYIYELNNVTPLDNLLNLSNEILNAS
+>DECOY_sp|Q5JVX7|CA141_HUMAN Uncharacterized protein C1orf141 OS=Homo sapiens OX=9606 GN=C1orf141 PE=2 SV=1
+SANLIENSLNLLNDLPTVNNLEYIYKFPKSYCKVPLASSTPISTPKGASFMREFKGTQASMEHIVAKDLYGVFQKTLSSFNQSFNYLTNWSRNELTQKNTKKKLKDDVTTHGAKFSMPIQIDKRQVTPMTKQPKFLSISQNGIISKLIECNRELIFNTRKHPYINENELPHSSFRNKTLLLMRVYETDHFIIPNTDKQEMHSTVTKTPSVNVIKAHPNKLEDEFCLPLLSKKRVSRYKSLQYNRVSKNEKINFDNMQPSKKRKNRDGELLGVSDLPKRPKKEIQATSESEKNKVNTQIFFPRLNSKEFNSKRPEPECKFSVHMKSKTISCSKDEKIKSIAKSASTALAEEFELQFDFTLPIAMTTKRGESQLRNIKTRRALIIEAQKDLVDLKELIKEAM
+>sp|Q3SY05|CA157_HUMAN Putative uncharacterized protein encoded by LINC00303 OS=Homo sapiens OX=9606 GN=LINC00303 PE=5 SV=2
+MYKSWTLGDPKEKEGVGNILEETKRTQNNTEQASRAINSPLQSPYTDSMKALAISSHWFLPQIHTLPPITKATRHRWPPACCGRRGGQTTLPPLTPIVRACESMERSCPGNYPMQHERKVMQRHPTLR
+>DECOY_sp|Q3SY05|CA157_HUMAN Putative uncharacterized protein encoded by LINC00303 OS=Homo sapiens OX=9606 GN=LINC00303 PE=5 SV=2
+RLTPHRQMVKREHQMPYNGPCSREMSECARVIPTLPPLTTQGGRRGCCAPPWRHRTAKTIPPLTHIQPLFWHSSIALAKMSDTYPSQLPSNIARSAQETNNQTRKTEELINGVGEKEKPDGLTWSKYM
+>sp|Q8NEQ5|CA162_HUMAN Transmembrane protein C1orf162 OS=Homo sapiens OX=9606 GN=C1orf162 PE=2 SV=1
+MGGNGSTCKPDTERQGTLSTAAPTTSPAPCLSNHHNKKHLILAFCAGVLLTLLLIAFIFLIIKSYRKYRRERLPISPGPLLRWVPLLSGTMADHSKPQAPDPHSDPPAKLSSIPGESLTYASTTFKLSEEKSNHLAENHSADFDPIVYAQIKVTN
+>DECOY_sp|Q8NEQ5|CA162_HUMAN Transmembrane protein C1orf162 OS=Homo sapiens OX=9606 GN=C1orf162 PE=2 SV=1
+NTVKIQAYVIPDFDASHNEALHNSKEESLKFTTSAYTLSEGPISSLKAPPDSHPDPAQPKSHDAMTGSLLPVWRLLPGPSIPLRERRYKRYSKIILFIFAILLLTLLVGACFALILHKKNHHNSLCPAPSTTPAATSLTGQRETDPKCTSGNGGM
+>sp|Q8N6G1|CA211_HUMAN Putative uncharacterized protein encoded by LINC00337 OS=Homo sapiens OX=9606 GN=LINC00337 PE=5 SV=1
+MGIEKRFNVLKIKVTQLQCHMGRRPGCECSWELRAPVTVASFLWSPTDSGHLPLLQDTSGPPEGTHRTFTPGRELVLGPKPTVPGKPFLASALLNV
+>DECOY_sp|Q8N6G1|CA211_HUMAN Putative uncharacterized protein encoded by LINC00337 OS=Homo sapiens OX=9606 GN=LINC00337 PE=5 SV=1
+VNLLASALFPKGPVTPKPGLVLERGPTFTRHTGEPPGSTDQLLPLHGSDTPSWLFSAVTVPARLEWSCECGPRRGMHCQLQTVKIKLVNFRKEIGM
+>sp|Q8NC38|CA213_HUMAN Putative uncharacterized protein ZNF436-AS1 OS=Homo sapiens OX=9606 GN=ZNF436-AS1 PE=2 SV=2
+MLAVPVRLKVGSRKPEWGTNRLTSCPAKDPLDRRLQNLRDRERVPEPQRSLRPGVQEDSREHGQVPEVSDPQVDLEFVDLQAKPRYRRLILKTQIPEASDSQAAQKPQAHRQIPETTEAGRETTSN
+>DECOY_sp|Q8NC38|CA213_HUMAN Putative uncharacterized protein ZNF436-AS1 OS=Homo sapiens OX=9606 GN=ZNF436-AS1 PE=2 SV=2
+NSTTERGAETTEPIQRHAQPKQAAQSDSAEPIQTKLILRRYRPKAQLDVFELDVQPDSVEPVQGHERSDEQVGPRLSRQPEPVRERDRLNQLRRDLPDKAPCSTLRNTGWEPKRSGVKLRVPVALM
+>sp|A0A0U1RR37|CA232_HUMAN Uncharacterized protein C1orf232 OS=Homo sapiens OX=9606 GN=C1orf232 PE=4 SV=1
+MNQAFWKTYKSKVLQTLSGESEEDLAEERENPALVGSETAEPTEETFNPMSQLARRVQGVGVKGWLTMSSLFNKEDEDKLLPSEPCADHPLAARPPSQAAAAAEARGPGFWDAFASRWQQQQAAAASMLRGTEPTPEPDPEPADEAAEEAAERPESQEAEPVAGFKWGFLTHKLAEMRVKAAPKGD
+>DECOY_sp|A0A0U1RR37|CA232_HUMAN Uncharacterized protein C1orf232 OS=Homo sapiens OX=9606 GN=C1orf232 PE=4 SV=1
+DGKPAAKVRMEALKHTLFGWKFGAVPEAEQSEPREAAEEAAEDAPEPDPEPTPETGRLMSAAAAQQQQWRSAFADWFGPGRAEAAAAAQSPPRAALPHDACPESPLLKDEDEKNFLSSMTLWGKVGVGQVRRALQSMPNFTEETPEATESGVLAPNEREEALDEESEGSLTQLVKSKYTKWFAQNM
+>sp|Q9P1Z2|CACO1_HUMAN Calcium-binding and coiled-coil domain-containing protein 1 OS=Homo sapiens OX=9606 GN=CALCOCO1 PE=1 SV=2
+MEESPLSRAPSRGGVNFLNVARTYIPNTKVECHYTLPPGTMPSASDWIGIFKVEAACVRDYHTFVWSSVPESTTDGSPIHTSVQFQASYLPKPGAQLYQFRYVNRQGQVCGQSPPFQFREPRPMDELVTLEEADGGSDILLVVPKATVLQNQLDESQQERNDLMQLKLQLEGQVTELRSRVQELERALATARQEHTELMEQYKGISRSHGEITEERDILSRQQGDHVARILELEDDIQTISEKVLTKEVELDRLRDTVKALTREQEKLLGQLKEVQADKEQSEAELQVAQQENHHLNLDLKEAKSWQEEQSAQAQRLKDKVAQMKDTLGQAQQRVAELEPLKEQLRGAQELAASSQQKATLLGEELASAAAARDRTIAELHRSRLEVAEVNGRLAELGLHLKEEKCQWSKERAGLLQSVEAEKDKILKLSAEILRLEKAVQEERTQNQVFKTELAREKDSSLVQLSESKRELTELRSALRVLQKEKEQLQEEKQELLEYMRKLEARLEKVADEKWNEDATTEDEEAAVGLSCPAALTDSEDESPEDMRLPPYGLCERGDPGSSPAGPREASPLVVISQPAPISPHLSGPAEDSSSDSEAEDEKSVLMAAVQSGGEEANLLLPELGSAFYDMASGFTVGTLSETSTGGPATPTWKECPICKERFPAESDKDALEDHMDGHFFFSTQDPFTFE
+>DECOY_sp|Q9P1Z2|CACO1_HUMAN Calcium-binding and coiled-coil domain-containing protein 1 OS=Homo sapiens OX=9606 GN=CALCOCO1 PE=1 SV=2
+EFTFPDQTSFFFHGDMHDELADKDSEAPFREKCIPCEKWTPTAPGGTSTESLTGVTFGSAMDYFASGLEPLLLNAEEGGSQVAAMLVSKEDEAESDSSSDEAPGSLHPSIPAPQSIVVLPSAERPGAPSSGPDGRECLGYPPLRMDEPSEDESDTLAAPCSLGVAAEEDETTADENWKEDAVKELRAELKRMYELLEQKEEQLQEKEKQLVRLASRLETLERKSESLQVLSSDKERALETKFVQNQTREEQVAKELRLIEASLKLIKDKEAEVSQLLGAREKSWQCKEEKLHLGLEALRGNVEAVELRSRHLEAITRDRAAAASALEEGLLTAKQQSSAALEQAGRLQEKLPELEAVRQQAQGLTDKMQAVKDKLRQAQASQEEQWSKAEKLDLNLHHNEQQAVQLEAESQEKDAQVEKLQGLLKEQERTLAKVTDRLRDLEVEKTLVKESITQIDDELELIRAVHDGQQRSLIDREETIEGHSRSIGKYQEMLETHEQRATALARELEQVRSRLETVQGELQLKLQMLDNREQQSEDLQNQLVTAKPVVLLIDSGGDAEELTVLEDMPRPERFQFPPSQGCVQGQRNVYRFQYLQAGPKPLYSAQFQVSTHIPSGDTTSEPVSSWVFTHYDRVCAAEVKFIGIWDSASPMTGPPLTYHCEVKTNPIYTRAVNLFNVGGRSPARSLPSEEM
+>sp|Q9Y6N8|CAD10_HUMAN Cadherin-10 OS=Homo sapiens OX=9606 GN=CDH10 PE=1 SV=2
+MTIHQFLLLFLFWVCLPHFCSPEIMFRRTPVPQQRILSSRVPRSDGKILHRQKRGWMWNQFFLLEEYTGSDYQYVGKLHSDQDKGDGSLKYILSGDGAGTLFIIDEKTGDIHATRRIDREEKAFYTLRAQAINRRTLRPVEPESEFVIKIHDINDNEPTFPEEIYTASVPEMSVVGTSVVQVTATDADDPSYGNSARVIYSILQGQPYFSVEPETGIIRTALPNMNRENREQYQVVIQAKDMGGQMGGLSGTTTVNITLTDVNDNPPRFPQNTIHLRVLESSPVGTAIGSVKATDADTGKNAEVEYRIIDGDGTDMFDIVTEKDTQEGIITVKKPLDYESRRLYTLKVEAENTHVDPRFYYLGPFKDTTIVKISIEDVDEPPVFSRSSYLFEVHEDIEVGTIIGTVMARDPDSISSPIRFSLDRHTDLDRIFNIHSGNGSLYTSKPLDRELSQWHNLTVIAAEINNPKETTRVAVFVRILDVNDNAPQFAVFYDTFVCENARPGQLIQTISAVDKDDPLGGQKFFFSLAAVNPNFTVQDNEDNTARILTRKNGFNRHEISTYLLPVVISDNDYPIQSSTGTLTIRVCACDSQGNMQSCSAEALLLPAGLSTGALIAILLCIIILLVIVVLFAALKRQRKKEPLILSKEDIRDNIVSYNDEGGGEEDTQAFDIGTLRNPAAIEEKKLRRDIIPETLFIPRRTPTAPDNTDVRDFINERLKEHDLDPTAPPYDSLATYAYEGNDSIAESLSSLESGTTEGDQNYDYLREWGPRFNKLAEMYGGGESDKDS
+>DECOY_sp|Q9Y6N8|CAD10_HUMAN Cadherin-10 OS=Homo sapiens OX=9606 GN=CDH10 PE=1 SV=2
+SDKDSEGGGYMEALKNFRPGWERLYDYNQDGETTGSELSSLSEAISDNGEYAYTALSDYPPATPDLDHEKLRENIFDRVDTNDPATPTRRPIFLTEPIIDRRLKKEEIAAPNRLTGIDFAQTDEEGGGEDNYSVINDRIDEKSLILPEKKRQRKLAAFLVVIVLLIIICLLIAILAGTSLGAPLLLAEASCSQMNGQSDCACVRITLTGTSSQIPYDNDSIVVPLLYTSIEHRNFGNKRTLIRATNDENDQVTFNPNVAALSFFFKQGGLPDDKDVASITQILQGPRANECVFTDYFVAFQPANDNVDLIRVFVAVRTTEKPNNIEAAIVTLNHWQSLERDLPKSTYLSGNGSHINFIRDLDTHRDLSFRIPSSISDPDRAMVTGIITGVEIDEHVEFLYSSRSFVPPEDVDEISIKVITTDKFPGLYYFRPDVHTNEAEVKLTYLRRSEYDLPKKVTIIGEQTDKETVIDFMDTGDGDIIRYEVEANKGTDADTAKVSGIATGVPSSELVRLHITNQPFRPPNDNVDTLTINVTTTGSLGGMQGGMDKAQIVVQYQERNERNMNPLATRIIGTEPEVSFYPQGQLISYIVRASNGYSPDDADTATVQVVSTGVVSMEPVSATYIEEPFTPENDNIDHIKIVFESEPEVPRLTRRNIAQARLTYFAKEERDIRRTAHIDGTKEDIIFLTGAGDGSLIYKLSGDGKDQDSHLKGVYQYDSGTYEELLFFQNWMWGRKQRHLIKGDSRPVRSSLIRQQPVPTRRFMIEPSCFHPLCVWFLFLLLFQHITM
+>sp|P55291|CAD15_HUMAN Cadherin-15 OS=Homo sapiens OX=9606 GN=CDH15 PE=1 SV=1
+MDAAFLLVLGLLAQSLCLSLGVPGWRRPTTLYPWRRAPALSRVRRAWVIPPISVSENHKRLPYPLVQIKSDKQQLGSVIYSIQGPGVDEEPRGVFSIDKFTGKVFLNAMLDREKTDRFRLRAFALDLGGSTLEDPTDLEIVVVDQNDNRPAFLQEAFTGRVLEGAVPGTYVTRAEATDADDPETDNAALRFSILQQGSPELFSIDELTGEIRTVQVGLDREVVAVYNLTLQVADMSGDGLTATASAIITLDDINDNAPEFTRDEFFMEAIEAVSGVDVGRLEVEDRDLPGSPNWVARFTILEGDPDGQFTIRTDPKTNEGVLSIVKALDYESCEHYELKVSVQNEAPLQAAALRAERGQAKVRVHVQDTNEPPVFQENPLRTSLAEGAPPGTLVATFSARDPDTEQLQRLSYSKDYDPEDWLQVDAATGRIQTQHVLSPASPFLKGGWYRAIVLAQDDASQPRTATGTLSIEILEVNDHAPVLAPPPPGSLCSEPHQGPGLLLGATDEDLPPHGAPFHFQLSPRLPELGRNWSLSQVNVSHARLRPRHQVPEGLHRLSLLLRDSGQPPQQREQPLNVTVCRCGKDGVCLPGAAALLAGGTGLSLGALVIVLASALLLLVLVLLVALRARFWKQSRGKGLLHGPQDDLRDNVLNYDEQGGGEEDQDAYDISQLRHPTALSLPLGPPPLRRDAPQGRLHPQPPRVLPTSPLDIADFINDGLEAADSDPSVPPYDTALIYDYEGDGSVAGTLSSILSSQGDEDQDYDYLRDWGPRFARLADMYGHPCGLEYGARWDHQAREGLSPGALLPRHRGRTA
+>DECOY_sp|P55291|CAD15_HUMAN Cadherin-15 OS=Homo sapiens OX=9606 GN=CDH15 PE=1 SV=1
+ATRGRHRPLLAGPSLGERAQHDWRAGYELGCPHGYMDALRAFRPGWDRLYDYDQDEDGQSSLISSLTGAVSGDGEYDYILATDYPPVSPDSDAAELGDNIFDAIDLPSTPLVRPPQPHLRGQPADRRLPPPGLPLSLATPHRLQSIDYADQDEEGGGQEDYNLVNDRLDDQPGHLLGKGRSQKWFRARLAVLLVLVLLLLASALVIVLAGLSLGTGGALLAAAGPLCVGDKGCRCVTVNLPQERQQPPQGSDRLLLSLRHLGEPVQHRPRLRAHSVNVQSLSWNRGLEPLRPSLQFHFPAGHPPLDEDTAGLLLGPGQHPESCLSGPPPPALVPAHDNVELIEISLTGTATRPQSADDQALVIARYWGGKLFPSAPSLVHQTQIRGTAADVQLWDEPDYDKSYSLRQLQETDPDRASFTAVLTGPPAGEALSTRLPNEQFVPPENTDQVHVRVKAQGREARLAAAQLPAENQVSVKLEYHECSEYDLAKVISLVGENTKPDTRITFQGDPDGELITFRAVWNPSGPLDRDEVELRGVDVGSVAEIAEMFFEDRTFEPANDNIDDLTIIASATATLGDGSMDAVQLTLNYVAVVERDLGVQVTRIEGTLEDISFLEPSGQQLISFRLAANDTEPDDADTAEARTVYTGPVAGELVRGTFAEQLFAPRNDNQDVVVIELDTPDELTSGGLDLAFARLRFRDTKERDLMANLFVKGTFKDISFVGRPEEDVGPGQISYIVSGLQQKDSKIQVLPYPLRKHNESVSIPPIVWARRVRSLAPARRWPYLTTPRRWGPVGLSLCLSQALLGLVLLFAADM
+>sp|Q9H159|CAD19_HUMAN Cadherin-19 OS=Homo sapiens OX=9606 GN=CDH19 PE=2 SV=1
+MNCYLLLRFMLGIPLLWPCLGATENSQTKKVKQPVRSHLRVKRGWVWNQFFVPEEMNTTSHHIGQLRSDLDNGNNSFQYKLLGAGAGSTFIIDERTGDIYAIQKLDREERSLYILRAQVIDIATGRAVEPESEFVIKVSDINDNEPKFLDEPYEAIVPEMSPEGTLVIQVTASDADDPSSGNNARLLYSLLQGQPYFSVEPTTGVIRISSKMDRELQDEYWVIIQAKDMIGQPGALSGTTSVLIKLSDVNDNKPIFKESLYRLTVSESAPTGTSIGTIMAYDNDIGENAEMDYSIEEDDSQTFDIITNHETQEGIVILKKKVDFEHQNHYGIRAKVKNHHVPEQLMKYHTEASTTFIKIQVEDVDEPPLFLLPYYVFEVFEETPQGSFVGVVSATDPDNRKSPIRYSITRSKVFNINDNGTITTSNSLDREISAWYNLSITATEKYNIEQISSIPLYVQVLNINDHAPEFSQYYETYVCENAGSGQVIQTISAVDRDESIEEHHFYFNLSVEDTNNSSFTIIDNQDNTAVILTNRTGFNLQEEPVFYISILIADNGIPSLTSTNTLTIHVCDCGDSGSTQTCQYQELVLSMGFKTEVIIAILICIMIIFGFIFLTLGLKQRRKQILFPEKSEDFRENIFQYDDEGGGEEDTEAFDIAELRSSTIMRERKTRKTTSAEIRSLYRQSLQVGPDSAIFRKFILEKLEEANTDPCAPPFDSLQTYAFEGTGSLAGSLSSLESAVSDQDESYDYLNELGPRFKRLACMFGSAVQSNN
+>DECOY_sp|Q9H159|CAD19_HUMAN Cadherin-19 OS=Homo sapiens OX=9606 GN=CDH19 PE=2 SV=1
+NNSQVASGFMCALRKFRPGLENLYDYSEDQDSVASELSSLSGALSGTGEFAYTQLSDFPPACPDTNAEELKELIFKRFIASDPGVQLSQRYLSRIEASTTKRTKRERMITSSRLEAIDFAETDEEGGGEDDYQFINERFDESKEPFLIQKRRQKLGLTLFIFGFIIMICILIAIIVETKFGMSLVLEQYQCTQTSGSDGCDCVHITLTNTSTLSPIGNDAILISIYFVPEEQLNFGTRNTLIVATNDQNDIITFSSNNTDEVSLNFYFHHEEISEDRDVASITQIVQGSGANECVYTEYYQSFEPAHDNINLVQVYLPISSIQEINYKETATISLNYWASIERDLSNSTTITGNDNINFVKSRTISYRIPSKRNDPDTASVVGVFSGQPTEEFVEFVYYPLLFLPPEDVDEVQIKIFTTSAETHYKMLQEPVHHNKVKARIGYHNQHEFDVKKKLIVIGEQTEHNTIIDFTQSDDEEISYDMEANEGIDNDYAMITGISTGTPASESVTLRYLSEKFIPKNDNVDSLKILVSTTGSLAGPQGIMDKAQIIVWYEDQLERDMKSSIRIVGTTPEVSFYPQGQLLSYLLRANNGSSPDDADSATVQIVLTGEPSMEPVIAEYPEDLFKPENDNIDSVKIVFESEPEVARGTAIDIVQARLIYLSREERDLKQIAYIDGTREDIIFTSGAGAGLLKYQFSNNGNDLDSRLQGIHHSTTNMEEPVFFQNWVWGRKVRLHSRVPQKVKKTQSNETAGLCPWLLPIGLMFRLLLYCNM
+>sp|Q9HBT6|CAD20_HUMAN Cadherin-20 OS=Homo sapiens OX=9606 GN=CDH20 PE=2 SV=2
+MWTSGRMSNAKNWLGLGMSLYFWGLMDLTTTVLSDTPTPQGELEALLSDKPQSHQRTKRSWVWNQFFVLEEYTGTDPLYVGKLHSDMDRGDGSIKYILSGEGAGIVFTIDDTTGDIHAIQRLDREERAQYTLRAQALDRRTGRPMEPESEFIIKIQDINDNEPKFLDGPYVATVPEMSPVGTSVIQVTATDADDPTYGNSARVVYSILQGQPYFSVDSKTGVIRTALMNMDREAKEYYEVIIQAKDMGGQLGGLAGTTTVNITLSDVNDNPPRFPQKHYQMSVLESAPISSTVGRVFAKDLDEGINAEMKYTIVDGDGADAFDISTDPNFQVGIITVKKPLSFESKKSYTLKVEGANPHLEMRFLNLGPFQDTTTVHISVEDVDEPPVFEPGFYFVEVPEDVAIGTTIQIISAKDPDVTNNSIRYSIDRSSDPGRFFYVDITTGALMTARPLDREEFSWHNITVLAMEMNNPSQVGSVPVTIKVLDVNDNAPEFPRFYEAFVCENAKAGQLIQTVSAVDQDDPRNGQHFYYSLAPEAANNPNFTIRDNQDNTARILTRRSGFRQQEQSVFHLPILIADSGQPVLSSTGTLTIQVCSCDDDGHVMSCSPEAYMLPVSLSRGALIAILACIFVLLVLVLLILSMRRHRKQPYIIDDEENIHENIVRYDDEGGGEEDTEAFDIAAMWNPREAQAGAAPKTRQDMLPEIESLSRYVPQTCAVNSTVHSYVLAKLYEADMDLWAPPFDSLQTYMFEGDGSVAGSLSSLQSATSDSEQSFDFLTDWGPRFRKLAELYGASEGPAPLW
+>DECOY_sp|Q9HBT6|CAD20_HUMAN Cadherin-20 OS=Homo sapiens OX=9606 GN=CDH20 PE=2 SV=2
+WLPAPGESAGYLEALKRFRPGWDTLFDFSQESDSTASQLSSLSGAVSGDGEFMYTQLSDFPPAWLDMDAEYLKALVYSHVTSNVACTQPVYRSLSEIEPLMDQRTKPAAGAQAERPNWMAAIDFAETDEEGGGEDDYRVINEHINEEDDIIYPQKRHRRMSLILLVLVLLVFICALIAILAGRSLSVPLMYAEPSCSMVHGDDDCSCVQITLTGTSSLVPQGSDAILIPLHFVSQEQQRFGSRRTLIRATNDQNDRITFNPNNAAEPALSYYFHQGNRPDDQDVASVTQILQGAKANECVFAEYFRPFEPANDNVDLVKITVPVSGVQSPNNMEMALVTINHWSFEERDLPRATMLAGTTIDVYFFRGPDSSRDISYRISNNTVDPDKASIIQITTGIAVDEPVEVFYFGPEFVPPEDVDEVSIHVTTTDQFPGLNLFRMELHPNAGEVKLTYSKKSEFSLPKKVTIIGVQFNPDTSIDFADAGDGDVITYKMEANIGEDLDKAFVRGVTSSIPASELVSMQYHKQPFRPPNDNVDSLTINVTTTGALGGLQGGMDKAQIIVEYYEKAERDMNMLATRIVGTKSDVSFYPQGQLISYVVRASNGYTPDDADTATVQIVSTGVPSMEPVTAVYPGDLFKPENDNIDQIKIIFESEPEMPRGTRRDLAQARLTYQAREERDLRQIAHIDGTTDDITFVIGAGEGSLIYKISGDGRDMDSHLKGVYLPDTGTYEELVFFQNWVWSRKTRQHSQPKDSLLAELEGQPTPTDSLVTTTLDMLGWFYLSMGLGLWNKANSMRGSTWM
+>sp|Q9H251|CAD23_HUMAN Cadherin-23 OS=Homo sapiens OX=9606 GN=CDH23 PE=1 SV=2
+MGRHVATSCHVAWLLVLISGCWGQVNRLPFFTNHFFDTYLLISEDTPVGSSVTQLLAQDMDNDPLVFGVSGEEASRFFAVEPDTGVVWLRQPLDRETKSEFTVEFSVSDHQGVITRKVNIQVGDVNDNAPTFHNQPYSVRIPENTPVGTPIFIVNATDPDLGAGGSVLYSFQPPSQFFAIDSARGIVTVIRELDYETTQAYQLTVNATDQDKTRPLSTLANLAIIITDVQDMDPIFINLPYSTNIYEHSPPGTTVRIITAIDQDKGRPRGIGYTIVSGNTNSIFALDYISGVLTLNGLLDRENPLYSHGFILTVKGTELNDDRTPSDATVTTTFNILVIDINDNAPEFNSSEYSVAITELAQVGFALPLFIQVVDKDENLGLNSMFEVYLVGNNSHHFIISPTSVQGKADIRIRVAIPLDYETVDRYDFDLFANESVPDHVGYAKVKITLINENDNRPIFSQPLYNISLYENVTVGTSVLTVLATDNDAGTFGEVSYFFSDDPDRFSLDKDTGLIMLIARLDYELIQRFTLTIIARDGGGEETTGRVRINVLDVNDNVPTFQKDAYVGALRENEPSVTQLVRLRATDEDSPPNNQITYSIVSASAFGSYFDISLYEGYGVISVSRPLDYEQISNGLIYLTVMAMDAGNPPLNSTVPVTIEVFDENDNPPTFSKPAYFVSVVENIMAGATVLFLNATDLDRSREYGQESIIYSLEGSTQFRINARSGEITTTSLLDRETKSEYILIVRAVDGGVGHNQKTGIATVNITLLDINDNHPTWKDAPYYINLVEMTPPDSDVTTVVAVDPDLGENGTLVYSIQPPNKFYSLNSTTGKIRTTHAMLDRENPDPHEAELMRKIVVSVTDCGRPPLKATSSATVFVNLLDLNDNDPTFQNLPFVAEVLEGIPAGVSIYQVVAIDLDEGLNGLVSYRMPVGMPRMDFLINSSSGVVVTTTELDRERIAEYQLRVVASDAGTPTKSSTSTLTIHVLDVNDETPTFFPAVYNVSVSEDVPREFRVVWLNCTDNDVGLNAELSYFITGGNVDGKFSVGYRDAVVRTVVGLDRETTAAYMLILEAIDNGPVGKRHTGTATVFVTVLDVNDNRPIFLQSSYEASVPEDIPEGHSILQLKATDADEGEFGRVWYRILHGNHGNNFRIHVSNGLLMRGPRPLDRERNSSHVLIVEAYNHDLGPMRSSVRVIVYVEDINDEAPVFTQQQYSRLGLRETAGIGTSVIVVQATDRDSGDGGLVNYRILSGAEGKFEIDESTGLIITVNYLDYETKTSYMMNVSATDQAPPFNQGFCSVYITLLNELDEAVQFSNASYEAAILENLALGTEIVRVQAYSIDNLNQITYRFNAYTSTQAKALFKIDAITGVITVQGLVDREKGDFYTLTVVADDGGPKVDSTVKVYITVLDENDNSPRFDFTSDSAVSIPEDCPVGQRVATVKAWDPDAGSNGQVVFSLASGNIAGAFEIVTTNDSIGEVFVARPLDREELDHYILQVVASDRGTPPRKKDHILQVTILDINDNPPVIESPFGYNVSVNENVGGGTAVVQVRATDRDIGINSVLSYYITEGNKDMAFRMDRISGEIATRPAPPDRERQSFYHLVATVEDEGTPTLSATTHVYVTIVDENDNAPMFQQPHYEVLLDEGPDTLNTSLITIQALDLDEGPNGTVTYAIVAGNIVNTFRIDRHMGVITAAKELDYEISHGRYTLIVTATDQCPILSHRLTSTTTVLVNVNDINDNVPTFPRDYEGPFEVTEGQPGPRVWTFLAHDRDSGPNGQVEYSIMDGDPLGEFVISPVEGVLRVRKDVELDRETIAFYNLTICARDRGMPPLSSTMLVGIRVLDINDNDPVLLNLPMNITISENSPVSSFVAHVLASDADSGCNARLTFNITAGNRERAFFINATTGIVTVNRPLDRERIPEYKLTISVKDNPENPRIARRDYDLLLIFLSDENDNHPLFTKSTYQAEVMENSPAGTPLTVLNGPILALDADQDIYAVVTYQLLGAQSGLFDINSSTGVVTVRSGVIIDREAFSPPILELLLLAEDIGLLNSTAHLLITILDDNDNRPTFSPATLTVHLLENCPPGFSVLQVTATDEDSGLNGELVYRIEAGAQDRFLIHLVTGVIRVGNATIDREEQESYRLTVVATDRGTVPLSGTAIVTILIDDINDSRPEFLNPIQTVSVLESAEPGTVIANITAIDHDLNPKLEYHIVGIVAKDDTDRLVPNQEDAFAVNINTGSVMVKSPMNRELVATYEVTLSVIDNASDLPERSVSVPNAKLTVNVLDVNDNTPQFKPFGITYYMERILEGATPGTTLIAVAAVDPDKGLNGLVTYTLLDLVPPGYVQLEDSSAGKVIANRTVDYEEVHWLNFTVRASDNGSPPRAAEIPVYLEIVDINDNNPIFDQPSYQEAVFEDVPVGTIILTVTATDADSGNFALIEYSLGDGESKFAINPTTGDIYVLSSLDREKKDHYILTALAKDNPGDVASNRRENSVQVVIQVLDVNDCRPQFSKPQFSTSVYENEPAGTSVITMMATDQDEGPNGELTYSLEGPGVEAFHVDMDSGLVTTQRPLQSYEKFSLTVVATDGGEPPLWGTTMLLVEVIDVNDNRPVFVRPPNGTILHIREEIPLRSNVYEVYATDKDEGLNGAVRYSFLKTAGNRDWEFFIIDPISGLIQTAQRLDRESQAVYSLILVASDLGQPVPYETMQPLQVALEDIDDNEPLFVRPPKGSPQYQLLTVPEHSPRGTLVGNVTGAVDADEGPNAIVYYFIAAGNEEKNFHLQPDGCLLVLRDLDREREAIFSFIVKASSNRSWTPPRGPSPTLDLVADLTLQEVRVVLEDINDQPPRFTKAEYTAGVATDAKVGSELIQVLALDADIGNNSLVFYSILAIHYFRALANDSEDVGQVFTMGSMDGILRTFDLFMAYSPGYFVVDIVARDLAGHNDTAIIGIYILRDDQRVKIVINEIPDRVRGFEEEFIHLLSNITGAIVNTDNVQFHVDKKGRVNFAQTELLIHVVNRDTNRILDVDRVIQMIDENKEQLRNLFRNYNVLDVQPAISVRLPDDMSALQMAIIVLAILLFLAAMLFVLMNWYYRTVHKRKLKAIVAGSAGNRGFIDIMDMPNTNKYSFDGANPVWLDPFCRNLELAAQAEHEDDLPENLSEIADLWNSPTRTHGTFGREPAAVKPDDDRYLRAAIQEYDNIAKLGQIIREGPIKGSLLKVVLEDYLRLKKLFAQRMVQKASSCHSSISELIQTELDEEPGDHSPGQGSLRFRHKPPVELKGPDGIHVVHGSTGTLLATDLNSLPEEDQKGLGRSLETLTAAEATAFERNARTESAKSTPLHKLRDVIMETPLEITEL
+>DECOY_sp|Q9H251|CAD23_HUMAN Cadherin-23 OS=Homo sapiens OX=9606 GN=CDH23 PE=1 SV=2
+LETIELPTEMIVDRLKHLPTSKASETRANREFATAEAATLTELSRGLGKQDEEPLSNLDTALLTGTSGHVVHIGDPGKLEVPPKHRFRLSGQGPSHDGPEEDLETQILESISSHCSSAKQVMRQAFLKKLRLYDELVVKLLSGKIPGERIIQGLKAINDYEQIAARLYRDDDPKVAAPERGFTGHTRTPSNWLDAIESLNEPLDDEHEAQAALELNRCFPDLWVPNAGDFSYKNTNPMDMIDIFGRNGASGAVIAKLKRKHVTRYYWNMLVFLMAALFLLIALVIIAMQLASMDDPLRVSIAPQVDLVNYNRFLNRLQEKNEDIMQIVRDVDLIRNTDRNVVHILLETQAFNVRGKKDVHFQVNDTNVIAGTINSLLHIFEEEFGRVRDPIENIVIKVRQDDRLIYIGIIATDNHGALDRAVIDVVFYGPSYAMFLDFTRLIGDMSGMTFVQGVDESDNALARFYHIALISYFVLSNNGIDADLALVQILESGVKADTAVGATYEAKTFRPPQDNIDELVVRVEQLTLDAVLDLTPSPGRPPTWSRNSSAKVIFSFIAERERDLDRLVLLCGDPQLHFNKEENGAAIFYYVIANPGEDADVAGTVNGVLTGRPSHEPVTLLQYQPSGKPPRVFLPENDDIDELAVQLPQMTEYPVPQGLDSAVLILSYVAQSERDLRQATQILGSIPDIIFFEWDRNGATKLFSYRVAGNLGEDKDTAYVEYVNSRLPIEERIHLITGNPPRVFVPRNDNVDIVEVLLMTTGWLPPEGGDTAVVTLSFKEYSQLPRQTTVLGSDMDVHFAEVGPGELSYTLEGNPGEDQDTAMMTIVSTGAPENEYVSTSFQPKSFQPRCDNVDLVQIVVQVSNERRNSAVDGPNDKALATLIYHDKKERDLSSLVYIDGTTPNIAFKSEGDGLSYEILAFNGSDADTATVTLIITGVPVDEFVAEQYSPQDFIPNNDNIDVIELYVPIEAARPPSGNDSARVTFNLWHVEEYDVTRNAIVKGASSDELQVYGPPVLDLLTYTVLGNLGKDPDVAAVAILTTGPTAGELIREMYYTIGFPKFQPTNDNVDLVNVTLKANPVSVSREPLDSANDIVSLTVEYTAVLERNMPSKVMVSGTNINVAFADEQNPVLRDTDDKAVIGVIHYELKPNLDHDIATINAIVTGPEASELVSVTQIPNLFEPRSDNIDDILITVIATGSLPVTGRDTAVVTLRYSEQEERDITANGVRIVGTVLHILFRDQAGAEIRYVLEGNLGSDEDTATVQLVSFGPPCNELLHVTLTAPSFTPRNDNDDLITILLHATSNLLGIDEALLLLELIPPSFAERDIIVGSRVTVVGTSSNIDFLGSQAGLLQYTVVAYIDQDADLALIPGNLVTLPTGAPSNEMVEAQYTSKTFLPHNDNEDSLFILLLDYDRRAIRPNEPNDKVSITLKYEPIRERDLPRNVTVIGTTANIFFARERNGATINFTLRANCGSDADSALVHAVFSSVPSNESITINMPLNLLVPDNDNIDLVRIGVLMTSSLPPMGRDRACITLNYFAITERDLEVDKRVRLVGEVPSIVFEGLPDGDMISYEVQGNPGSDRDHALFTWVRPGPQGETVEFPGEYDRPFTPVNDNIDNVNVLVTTTSTLRHSLIPCQDTATVILTYRGHSIEYDLEKAATIVGMHRDIRFTNVINGAVIAYTVTGNPGEDLDLAQITILSTNLTDPGEDLLVEYHPQQFMPANDNEDVITVYVHTTASLTPTGEDEVTAVLHYFSQRERDPPAPRTAIEGSIRDMRFAMDKNGETIYYSLVSNIGIDRDTARVQVVATGGGVNENVSVNYGFPSEIVPPNDNIDLITVQLIHDKKRPPTGRDSAVVQLIYHDLEERDLPRAVFVEGISDNTTVIEFAGAINGSALSFVVQGNSGADPDWAKVTAVRQGVPCDEPISVASDSTFDFRPSNDNEDLVTIYVKVTSDVKPGGDDAVVTLTYFDGKERDVLGQVTIVGTIADIKFLAKAQTSTYANFRYTIQNLNDISYAQVRVIETGLALNELIAAEYSANSFQVAEDLENLLTIYVSCFGQNFPPAQDTASVNMMYSTKTEYDLYNVTIILGTSEDIEFKGEAGSLIRYNVLGGDGSDRDTAQVVIVSTGIGATERLGLRSYQQQTFVPAEDNIDEVYVIVRVSSRMPGLDHNYAEVILVHSSNRERDLPRPGRMLLGNSVHIRFNNGHNGHLIRYWVRGFEGEDADTAKLQLISHGEPIDEPVSAEYSSQLFIPRNDNVDLVTVFVTATGTHRKGVPGNDIAELILMYAATTERDLGVVTRVVADRYGVSFKGDVNGGTIFYSLEANLGVDNDTCNLWVVRFERPVDESVSVNYVAPFFTPTEDNVDLVHITLTSTSSKTPTGADSAVVRLQYEAIRERDLETTTVVVGSSSNILFDMRPMGVPMRYSVLGNLGEDLDIAVVQYISVGAPIGELVEAVFPLNQFTPDNDNLDLLNVFVTASSTAKLPPRGCDTVSVVIKRMLEAEHPDPNERDLMAHTTRIKGTTSNLSYFKNPPQISYVLTGNEGLDPDVAVVTTVDSDPPTMEVLNIYYPADKWTPHNDNIDLLTINVTAIGTKQNHGVGGDVARVILIYESKTERDLLSTTTIEGSRANIRFQTSGELSYIISEQGYERSRDLDTANLFLVTAGAMINEVVSVFYAPKSFTPPNDNEDFVEITVPVTSNLPPNGADMAMVTLYILGNSIQEYDLPRSVSIVGYGEYLSIDFYSGFASASVISYTIQNNPPSDEDTARLRVLQTVSPENERLAGVYADKQFTPVNDNVDLVNIRVRGTTEEGGGDRAIITLTFRQILEYDLRAILMILGTDKDLSFRDPDDSFFYSVEGFTGADNDTALVTLVSTGVTVNEYLSINYLPQSFIPRNDNENILTIKVKAYGVHDPVSENAFLDFDYRDVTEYDLPIAVRIRIDAKGQVSTPSIIFHHSNNGVLYVEFMSNLGLNEDKDVVQIFLPLAFGVQALETIAVSYESSNFEPANDNIDIVLINFTTTVTADSPTRDDNLETGKVTLIFGHSYLPNERDLLGNLTLVGSIYDLAFISNTNGSVITYGIGRPRGKDQDIATIIRVTTGPPSHEYINTSYPLNIFIPDMDQVDTIIIALNALTSLPRTKDQDTANVTLQYAQTTEYDLERIVTVIGRASDIAFFQSPPQFSYLVSGGAGLDPDTANVIFIPTGVPTNEPIRVSYPQNHFTPANDNVDGVQINVKRTIVGQHDSVSFEVTFESKTERDLPQRLWVVGTDPEVAFFRSAEEGSVGFVLPDNDMDQALLQTVSSGVPTDESILLYTDFFHNTFFPLRNVQGWCGSILVLLWAVHCSTAVHRGM
+>sp|Q8IXH8|CAD26_HUMAN Cadherin-like protein 26 OS=Homo sapiens OX=9606 GN=CDH26 PE=2 SV=3
+MAMRSGRHPSLLLLLVLLLWLLQVSIIDSVQQETDDLTKQTKEKIYQPLRRSKRRWVITTLELEEEDPGPFPKLIGELFNNMSYNMSLMYLISGPGVDEYPEIGLFSLEDHENGRIYVHRPVDREMTPSFTVYFDVVERSTGKIVDTSLIFNIRISDVNDHAPQFPEKEFNITVQENQSAGQPIFQMLAVDLDEENTPNSQVLYFLISQTPLLKESGFRVDRLSGEIRLSGCLDYETAPQFTLLIRARDCGEPSLSSTTTVHVDVQEGNNHRPAFTQENYKVQIPEGRASQGVLRLLVQDRDSPFTSAWRAKFNILHGNEEGHFDISTDPETNEGILNVIKPLDYETRPAQSLIIVVENEERLVFCERGKLQPPRKAAASATVSVQVTDANDPPAFHPQSFIVNKEEGARPGTLLGTFNAMDPDSQIRYELVHDPANWVSVDKNSGVVITVEPIDRESPHVNNSFYVIIIHAVDDGFPPQTATGTLMLFLSDINDNVPTLRPRSRYMEVCESAVHEPLHIEAEDPDLEPFSDPFTFELDNTWGNAEDTWKLGRNWGQSVELLTLRSLPRGNYLVPLFIGDKQGLSQKQTVHVRICPCASGLTCVELADAEVGLHVGALFPVCAAFVALAVALLFLLRCYFVLEPKRHGCSVSNDEGHQTLVMYNAESKGTSAQTWSDVEGQRPALLICTAAAGPTQGVKAYPDATMHRQLLAPVEGRMAETLNQSKERNRFSLSRGCIIPQGRATAGRGLPQDIYKEMMPRRLTQTGKRKHGALARTPSFKKVVYDHKEDEENKAGRKQRSHLFKVMQLRNEQGGVRVQSAHSPSPLNKKACFPGDYRGESAGGHNCRAVSG
+>DECOY_sp|Q8IXH8|CAD26_HUMAN Cadherin-like protein 26 OS=Homo sapiens OX=9606 GN=CDH26 PE=2 SV=3
+GSVARCNHGGASEGRYDGPFCAKKNLPSPSHASQVRVGGQENRLQMVKFLHSRQKRGAKNEEDEKHDYVVKKFSPTRALAGHKRKGTQTLRRPMMEKYIDQPLGRGATARGQPIICGRSLSFRNREKSQNLTEAMRGEVPALLQRHMTADPYAKVGQTPGAAATCILLAPRQGEVDSWTQASTGKSEANYMVLTQHGEDNSVSCGHRKPELVFYCRLLFLLAVALAVFAACVPFLAGVHLGVEADALEVCTLGSACPCIRVHVTQKQSLGQKDGIFLPVLYNGRPLSRLTLLEVSQGWNRGLKWTDEANGWTNDLEFTFPDSFPELDPDEAEIHLPEHVASECVEMYRSRPRLTPVNDNIDSLFLMLTGTATQPPFGDDVAHIIIVYFSNNVHPSERDIPEVTIVVGSNKDVSVWNAPDHVLEYRIQSDPDMANFTGLLTGPRAGEEKNVIFSQPHFAPPDNADTVQVSVTASAAAKRPPQLKGRECFVLREENEVVIILSQAPRTEYDLPKIVNLIGENTEPDTSIDFHGEENGHLINFKARWASTFPSDRDQVLLRLVGQSARGEPIQVKYNEQTFAPRHNNGEQVDVHVTTTSSLSPEGCDRARILLTFQPATEYDLCGSLRIEGSLRDVRFGSEKLLPTQSILFYLVQSNPTNEEDLDVALMQFIPQGASQNEQVTINFEKEPFQPAHDNVDSIRINFILSTDVIKGTSREVVDFYVTFSPTMERDVPRHVYIRGNEHDELSFLGIEPYEDVGPGSILYMLSMNYSMNNFLEGILKPFPGPDEEELELTTIVWRRKSRRLPQYIKEKTQKTLDDTEQQVSDIISVQLLWLLLVLLLLLSPHRGSRMAM
+>sp|P22223|CADH3_HUMAN Cadherin-3 OS=Homo sapiens OX=9606 GN=CDH3 PE=1 SV=2
+MGLPRGPLASLLLLQVCWLQCAASEPCRAVFREAEVTLEAGGAEQEPGQALGKVFMGCPGQEPALFSTDNDDFTVRNGETVQERRSLKERNPLKIFPSKRILRRHKRDWVVAPISVPENGKGPFPQRLNQLKSNKDRDTKIFYSITGPGADSPPEGVFAVEKETGWLLLNKPLDREEIAKYELFGHAVSENGASVEDPMNISIIVTDQNDHKPKFTQDTFRGSVLEGVLPGTSVMQVTATDEDDAIYTYNGVVAYSIHSQEPKDPHDLMFTIHRSTGTISVISSGLDREKVPEYTLTIQATDMDGDGSTTTAVAVVEILDANDNAPMFDPQKYEAHVPENAVGHEVQRLTVTDLDAPNSPAWRATYLIMGGDDGDHFTITTHPESNQGILTTRKGLDFEAKNQHTLYVEVTNEAPFVLKLPTSTATIVVHVEDVNEAPVFVPPSKVVEVQEGIPTGEPVCVYTAEDPDKENQKISYRILRDPAGWLAMDPDSGQVTAVGTLDREDEQFVRNNIYEVMVLAMDNGSPPTTGTGTLLLTLIDVNDHGPVPEPRQITICNQSPVRQVLNITDKDLSPHTSPFQAQLTDDSDIYWTAEVNEEGDTVVLSLKKFLKQDTYDVHLSLSDHGNKEQLTVIRATVCDCHGHVETCPGPWKGGFILPVLGAVLALLFLLLVLLLLVRKKRKIKEPLLLPEDDTRDNVFYYGEEGGGEEDQDYDITQLHRGLEARPEVVLRNDVAPTIIPTPMYRPRPANPDEIGNFIIENLKAANTDPTAPPYDTLLVFDYEGSGSDAASLSSLTSSASDQDQDYDYLNEWGSRFKKLADMYGGGEDD
+>DECOY_sp|P22223|CADH3_HUMAN Cadherin-3 OS=Homo sapiens OX=9606 GN=CDH3 PE=1 SV=2
+DDEGGGYMDALKKFRSGWENLYDYDQDQDSASSTLSSLSAADSGSGEYDFVLLTDYPPATPDTNAAKLNEIIFNGIEDPNAPRPRYMPTPIITPAVDNRLVVEPRAELGRHLQTIDYDQDEEGGGEEGYYFVNDRTDDEPLLLPEKIKRKKRVLLLLVLLLFLLALVAGLVPLIFGGKWPGPCTEVHGHCDCVTARIVTLQEKNGHDSLSLHVDYTDQKLFKKLSLVVTDGEENVEATWYIDSDDTLQAQFPSTHPSLDKDTINLVQRVPSQNCITIQRPEPVPGHDNVDILTLLLTGTGTTPPSGNDMALVMVEYINNRVFQEDERDLTGVATVQGSDPDMALWGAPDRLIRYSIKQNEKDPDEATYVCVPEGTPIGEQVEVVKSPPVFVPAENVDEVHVVITATSTPLKLVFPAENTVEVYLTHQNKAEFDLGKRTTLIGQNSEPHTTITFHDGDDGGMILYTARWAPSNPADLDTVTLRQVEHGVANEPVHAEYKQPDFMPANDNADLIEVVAVATTTSGDGDMDTAQITLTYEPVKERDLGSSIVSITGTSRHITFMLDHPDKPEQSHISYAVVGNYTYIADDEDTATVQMVSTGPLVGELVSGRFTDQTFKPKHDNQDTVIISINMPDEVSAGNESVAHGFLEYKAIEERDLPKNLLLWGTEKEVAFVGEPPSDAGPGTISYFIKTDRDKNSKLQNLRQPFPGKGNEPVSIPAVVWDRKHRRLIRKSPFIKLPNREKLSRREQVTEGNRVTFDDNDTSFLAPEQGPCGMFVKGLAQGPEQEAGGAELTVEAERFVARCPESAACQLWCVQLLLLSALPGRPLGM
+>sp|P55283|CADH4_HUMAN Cadherin-4 OS=Homo sapiens OX=9606 GN=CDH4 PE=2 SV=2
+MTAGAGVLLLLLSLSGALRAHNEDLTTRETCKAGFSEDDYTALISQNILEGEKLLQVKFSSCVGTKGTQYETNSMDFKVGADGTVFATRELQVPSEQVAFTVTAWDSQTAEKWDAVVRLLVAQTSSPHSGHKPQKGKKVVALDPSPPPKDTLLPWPQHQNANGLRRRKRDWVIPPINVPENSRGPFPQQLVRIRSDKDNDIPIRYSITGVGADQPPMEVFSIDSMSGRMYVTRPMDREEHASYHLRAHAVDMNGNKVENPIDLYIYVIDMNDNRPEFINQVYNGSVDEGSKPGTYVMTVTANDADDSTTANGMVRYRIVTQTPQSPSQNMFTINSETGDIVTVAAGLDREKVQQYTVIVQATDMEGNLNYGLSNTATAIITVTDVNDNPPEFTASTFAGEVPENRVETVVANLTVMDRDQPHSPNWNAVYRIISGDPSGHFSVRTDPVTNEGMVTVVKAVDYELNRAFMLTVMVSNQAPLASGIQMSFQSTAGVTISIMDINEAPYFPSNHKLIRLEEGVPPGTVLTTFSAVDPDRFMQQAVRYSKLSDPASWLHINATNGQITTAAVLDRESLYTKNNVYEATFLAADNGIPPASGTGTLQIYLIDINDNAPELLPKEAQICEKPNLNAINITAADADVDPNIGPYVFELPFVPAAVRKNWTITRLNGDYAQLSLRILYLEAGMYDVPIIVTDSGNPPLSNTSIIKVKVCPCDDNGDCTTIGAVAAAGLGTGAIVAILICILILLTMVLLFVMWMKRREKERHTKQLLIDPEDDVRDNILKYDEEGGGEEDQDYDLSQLQQPEAMGHVPSKAPGVRRVDERPVGAEPQYPIRPMVPHPGDIGDFINEGLRAADNDPTAPPYDSLLVFDYEGSGSTAGSVSSLNSSSSGDQDYDYLNDWGPRFKKLADMYGGGEED
+>DECOY_sp|P55283|CADH4_HUMAN Cadherin-4 OS=Homo sapiens OX=9606 GN=CDH4 PE=2 SV=2
+DEEGGGYMDALKKFRPGWDNLYDYDQDGSSSSNLSSVSGATSGSGEYDFVLLSDYPPATPDNDAARLGENIFDGIDGPHPVMPRIPYQPEAGVPREDVRRVGPAKSPVHGMAEPQQLQSLDYDQDEEGGGEEDYKLINDRVDDEPDILLQKTHREKERRKMWMVFLLVMTLLILICILIAVIAGTGLGAAAVAGITTCDGNDDCPCVKVKIISTNSLPPNGSDTVIIPVDYMGAELYLIRLSLQAYDGNLRTITWNKRVAAPVFPLEFVYPGINPDVDADAATINIANLNPKECIQAEKPLLEPANDNIDILYIQLTGTGSAPPIGNDAALFTAEYVNNKTYLSERDLVAATTIQGNTANIHLWSAPDSLKSYRVAQQMFRDPDVASFTTLVTGPPVGEELRILKHNSPFYPAENIDMISITVGATSQFSMQIGSALPAQNSVMVTLMFARNLEYDVAKVVTVMGENTVPDTRVSFHGSPDGSIIRYVANWNPSHPQDRDMVTLNAVVTEVRNEPVEGAFTSATFEPPNDNVDTVTIIATATNSLGYNLNGEMDTAQVIVTYQQVKERDLGAAVTVIDGTESNITFMNQSPSQPTQTVIRYRVMGNATTSDDADNATVTMVYTGPKSGEDVSGNYVQNIFEPRNDNMDIVYIYLDIPNEVKNGNMDVAHARLHYSAHEERDMPRTVYMRGSMSDISFVEMPPQDAGVGTISYRIPIDNDKDSRIRVLQQPFPGRSNEPVNIPPIVWDRKRRRLGNANQHQPWPLLTDKPPPSPDLAVVKKGKQPKHGSHPSSTQAVLLRVVADWKEATQSDWATVTFAVQESPVQLERTAFVTGDAGVKFDMSNTEYQTGKTGVCSSFKVQLLKEGELINQSILATYDDESFGAKCTERTTLDENHARLAGSLSLLLLLVGAGATM
+>sp|P33151|CADH5_HUMAN Cadherin-5 OS=Homo sapiens OX=9606 GN=CDH5 PE=1 SV=5
+MQRLMMLLATSGACLGLLAVAAVAAAGANPAQRDTHSLLPTHRRQKRDWIWNQMHIDEEKNTSLPHHVGKIKSSVSRKNAKYLLKGEYVGKVFRVDAETGDVFAIERLDRENISEYHLTAVIVDKDTGENLETPSSFTIKVHDVNDNWPVFTHRLFNASVPESSAVGTSVISVTAVDADDPTVGDHASVMYQILKGKEYFAIDNSGRIITITKSLDREKQARYEIVVEARDAQGLRGDSGTATVLVTLQDINDNFPFFTQTKYTFVVPEDTRVGTSVGSLFVEDPDEPQNRMTKYSILRGDYQDAFTIETNPAHNEGIIKPMKPLDYEYIQQYSFIVEATDPTIDLRYMSPPAGNRAQVIINITDVDEPPIFQQPFYHFQLKENQKKPLIGTVLAMDPDAARHSIGYSIRRTSDKGQFFRVTKKGDIYNEKELDREVYPWYNLTVEAKELDSTGTPTGKESIVQVHIEVLDENDNAPEFAKPYQPKVCENAVHGQLVLQISAIDKDITPRNVKFKFILNTENNFTLTDNHDNTANITVKYGQFDREHTKVHFLPVVISDNGMPSRTGTSTLTVAVCKCNEQGEFTFCEDMAAQVGVSIQAVVAILLCILTITVITLLIFLRRRLRKQARAHGKSVPEIHEQLVTYDEEGGGEMDTTSYDVSVLNSVRRGGAKPPRPALDARPSLYAQVQKPPRHAPGAHGGPGEMAAMIEVKKDEADHDGDGPPYDTLHIYGYEGSESIAESLSSLGTDSSDSDVDYDFLNDWGPRFKMLAELYGSDPREELLY
+>DECOY_sp|P33151|CADH5_HUMAN Cadherin-5 OS=Homo sapiens OX=9606 GN=CDH5 PE=1 SV=5
+YLLEERPDSGYLEALMKFRPGWDNLFDYDVDSDSSDTGLSSLSEAISESGEYGYIHLTDYPPGDGDHDAEDKKVEIMAAMEGPGGHAGPAHRPPKQVQAYLSPRADLAPRPPKAGGRRVSNLVSVDYSTTDMEGGGEEDYTVLQEHIEPVSKGHARAQKRLRRRLFILLTIVTITLICLLIAVVAQISVGVQAAMDECFTFEGQENCKCVAVTLTSTGTRSPMGNDSIVVPLFHVKTHERDFQGYKVTINATNDHNDTLTFNNETNLIFKFKVNRPTIDKDIASIQLVLQGHVANECVKPQYPKAFEPANDNEDLVEIHVQVISEKGTPTGTSDLEKAEVTLNYWPYVERDLEKENYIDGKKTVRFFQGKDSTRRISYGISHRAADPDMALVTGILPKKQNEKLQFHYFPQQFIPPEDVDTINIIVQARNGAPPSMYRLDITPDTAEVIFSYQQIYEYDLPKMPKIIGENHAPNTEITFADQYDGRLISYKTMRNQPEDPDEVFLSGVSTGVRTDEPVVFTYKTQTFFPFNDNIDQLTVLVTATGSDGRLGQADRAEVVIEYRAQKERDLSKTITIIRGSNDIAFYEKGKLIQYMVSAHDGVTPDDADVATVSIVSTGVASSEPVSANFLRHTFVPWNDNVDHVKITFSSPTELNEGTDKDVIVATLHYESINERDLREIAFVDGTEADVRFVKGVYEGKLLYKANKRSVSSKIKGVHHPLSTNKEEDIHMQNWIWDRKQRRHTPLLSHTDRQAPNAGAAAVAAVALLGLCAGSTALLMMLRQM
+>sp|Q8N126|CADM3_HUMAN Cell adhesion molecule 3 OS=Homo sapiens OX=9606 GN=CADM3 PE=1 SV=1
+MGAPAASLLLLLLLFACCWAPGGANLSQDDSQPWTSDETVVAGGTVVLKCQVKDHEDSSLQWSNPAQQTLYFGEKRALRDNRIQLVTSTPHELSISISNVALADEGEYTCSIFTMPVRTAKSLVTVLGIPQKPIITGYKSSLREKDTATLNCQSSGSKPAARLTWRKGDQELHGEPTRIQEDPNGKTFTVSSSVTFQVTREDDGASIVCSVNHESLKGADRSTSQRIEVLYTPTAMIRPDPPHPREGQKLLLHCEGRGNPVPQQYLWEKEGSVPPLKMTQESALIFPFLNKSDSGTYGCTATSNMGSYKAYYTLNVNDPSPVPSSSSTYHAIIGGIVAFIVFLLLIMLIFLGHYLIRHKGTYLTHEAKGSDDAPDADTAIINAEGGQSGGDDKKEYFI
+>DECOY_sp|Q8N126|CADM3_HUMAN Cell adhesion molecule 3 OS=Homo sapiens OX=9606 GN=CADM3 PE=1 SV=1
+IFYEKKDDGGSQGGEANIIATDADPADDSGKAEHTLYTGKHRILYHGLFILMILLLFVIFAVIGGIIAHYTSSSSPVPSPDNVNLTYYAKYSGMNSTATCGYTGSDSKNLFPFILASEQTMKLPPVSGEKEWLYQQPVPNGRGECHLLLKQGERPHPPDPRIMATPTYLVEIRQSTSRDAGKLSEHNVSCVISAGDDERTVQFTVSSSVTFTKGNPDEQIRTPEGHLEQDGKRWTLRAAPKSGSSQCNLTATDKERLSSKYGTIIPKQPIGLVTVLSKATRVPMTFISCTYEGEDALAVNSISISLEHPTSTVLQIRNDRLARKEGFYLTQQAPNSWQLSSDEHDKVQCKLVVTGGAVVTEDSTWPQSDDQSLNAGGPAWCCAFLLLLLLLSAAPAGM
+>sp|O75493|CAH11_HUMAN Carbonic anhydrase-related protein 11 OS=Homo sapiens OX=9606 GN=CA11 PE=1 SV=2
+MGAAARLSAPRALVLWAALGAAAHIGPAPDPEDWWSYKDNLQGNFVPGPPFWGLVNAAWSLCAVGKRQSPVDVELKRVLYDPFLPPLRLSTGGEKLRGTLYNTGRHVSFLPAPRPVVNVSGGPLLYSHRLSELRLLFGARDGAGSEHQINHQGFSAEVQLIHFNQELYGNFSAASRGPNGLAILSLFVNVASTSNPFLSRLLNRDTITRISYKNDAYFLQDLSLELLFPESFGFITYQGSLSTPPCSETVTWILIDRALNITSLQMHSLRLLSQNPPSQIFQSLSGNSRPLQPLAHRALRGNRDPRHPERRCRGPNYRLHVDGVPHGR
+>DECOY_sp|O75493|CAH11_HUMAN Carbonic anhydrase-related protein 11 OS=Homo sapiens OX=9606 GN=CA11 PE=1 SV=2
+RGHPVGDVHLRYNPGRCRREPHRPDRNGRLARHALPQLPRSNGSLSQFIQSPPNQSLLRLSHMQLSTINLARDILIWTVTESCPPTSLSGQYTIFGFSEPFLLELSLDQLFYADNKYSIRTITDRNLLRSLFPNSTSAVNVFLSLIALGNPGRSAASFNGYLEQNFHILQVEASFGQHNIQHESGAGDRAGFLLRLESLRHSYLLPGGSVNVVPRPAPLFSVHRGTNYLTGRLKEGGTSLRLPPLFPDYLVRKLEVDVPSQRKGVACLSWAANVLGWFPPGPVFNGQLNDKYSWWDEPDPAPGIHAAAGLAAWLVLARPASLRAAAGM
+>sp|Q8N1Q1|CAH13_HUMAN Carbonic anhydrase 13 OS=Homo sapiens OX=9606 GN=CA13 PE=1 SV=1
+MSRLSWGYREHNGPIHWKEFFPIADGDQQSPIEIKTKEVKYDSSLRPLSIKYDPSSAKIISNSGHSFNVDFDDTENKSVLRGGPLTGSYRLRQVHLHWGSADDHGSEHIVDGVSYAAELHVVHWNSDKYPSFVEAAHEPDGLAVLGVFLQIGEPNSQLQKITDTLDSIKEKGKQTRFTNFDLLSLLPPSWDYWTYPGSLTVPPLLESVTWIVLKQPINISSQQLAKFRSLLCTAEGEAAAFLVSNHRPPQPLKGRKVRASFH
+>DECOY_sp|Q8N1Q1|CAH13_HUMAN Carbonic anhydrase 13 OS=Homo sapiens OX=9606 GN=CA13 PE=1 SV=1
+HFSARVKRGKLPQPPRHNSVLFAAAEGEATCLLSRFKALQQSSINIPQKLVIWTVSELLPPVTLSGPYTWYDWSPPLLSLLDFNTFRTQKGKEKISDLTDTIKQLQSNPEGIQLFVGLVALGDPEHAAEVFSPYKDSNWHVVHLEAAYSVGDVIHESGHDDASGWHLHVQRLRYSGTLPGGRLVSKNETDDFDVNFSHGSNSIIKASSPDYKISLPRLSSDYKVEKTKIEIPSQQDGDAIPFFEKWHIPGNHERYGWSLRSM
+>sp|Q9ULX7|CAH14_HUMAN Carbonic anhydrase 14 OS=Homo sapiens OX=9606 GN=CA14 PE=1 SV=1
+MLFSALLLEVIWILAADGGQHWTYEGPHGQDHWPASYPECGNNAQSPIDIQTDSVTFDPDLPALQPHGYDQPGTEPLDLHNNGHTVQLSLPSTLYLGGLPRKYVAAQLHLHWGQKGSPGGSEHQINSEATFAELHIVHYDSDSYDSLSEAAERPQGLAVLGILIEVGETKNIAYEHILSHLHEVRHKDQKTSVPPFNLRELLPKQLGQYFRYNGSLTTPPCYQSVLWTVFYRRSQISMEQLEKLQGTLFSTEEEPSKLLVQNYRALQPLNQRMVFASFIQAGSSYTTGEMLSLGVGILVGCLCLLLAVYFIARKIRKKRLENRKSVVFTSAQATTEA
+>DECOY_sp|Q9ULX7|CAH14_HUMAN Carbonic anhydrase 14 OS=Homo sapiens OX=9606 GN=CA14 PE=1 SV=1
+AETTAQASTFVVSKRNELRKKRIKRAIFYVALLLCLCGVLIGVGLSLMEGTTYSSGAQIFSAFVMRQNLPQLARYNQVLLKSPEEETSFLTGQLKELQEMSIQSRRYFVTWLVSQYCPPTTLSGNYRFYQGLQKPLLERLNFPPVSTKQDKHRVEHLHSLIHEYAINKTEGVEILIGLVALGQPREAAESLSDYSDSDYHVIHLEAFTAESNIQHESGGPSGKQGWHLHLQAAVYKRPLGGLYLTSPLSLQVTHGNNHLDLPETGPQDYGHPQLAPLDPDFTVSDTQIDIPSQANNGCEPYSAPWHDQGHPGEYTWHQGGDAALIWIVELLLASFLM
+>sp|P00915|CAH1_HUMAN Carbonic anhydrase 1 OS=Homo sapiens OX=9606 GN=CA1 PE=1 SV=2
+MASPDWGYDDKNGPEQWSKLYPIANGNNQSPVDIKTSETKHDTSLKPISVSYNPATAKEIINVGHSFHVNFEDNDNRSVLKGGPFSDSYRLFQFHFHWGSTNEHGSEHTVDGVKYSAELHVAHWNSAKYSSLAEAASKADGLAVIGVLMKVGEANPKLQKVLDALQAIKTKGKRAPFTNFDPSTLLPSSLDFWTYPGSLTHPPLYESVTWIICKESISVSSEQLAQFRSLLSNVEGDNAVPMQHNNRPTQPLKGRTVRASF
+>DECOY_sp|P00915|CAH1_HUMAN Carbonic anhydrase 1 OS=Homo sapiens OX=9606 GN=CA1 PE=1 SV=2
+FSARVTRGKLPQTPRNNHQMPVANDGEVNSLLSRFQALQESSVSISEKCIIWTVSEYLPPHTLSGPYTWFDLSSPLLTSPDFNTFPARKGKTKIAQLADLVKQLKPNAEGVKMLVGIVALGDAKSAAEALSSYKASNWHAVHLEASYKVGDVTHESGHENTSGWHFHFQFLRYSDSFPGGKLVSRNDNDEFNVHFSHGVNIIEKATAPNYSVSIPKLSTDHKTESTKIDVPSQNNGNAIPYLKSWQEPGNKDDYGWDPSAM
+>sp|P05937|CALB1_HUMAN Calbindin OS=Homo sapiens OX=9606 GN=CALB1 PE=1 SV=2
+MAESHLQSSLITASQFFEIWLHFDADGSGYLEGKELQNLIQELQQARKKAGLELSPEMKTFVDQYGQRDDGKIGIVELAHVLPTEENFLLLFRCQQLKSCEEFMKTWRKYDTDHSGFIETEELKNFLKDLLEKANKTVDDTKLAEYTDLMLKLFDSNNDGKLELTEMARLLPVQENFLLKFQGIKMCGKEFNKAFELYDQDGNGYIDENELDALLKDLCEKNKQDLDINNITTYKKNIMALSDGGKLYRTDLALILCAGDN
+>DECOY_sp|P05937|CALB1_HUMAN Calbindin OS=Homo sapiens OX=9606 GN=CALB1 PE=1 SV=2
+NDGACLILALDTRYLKGGDSLAMINKKYTTINNIDLDQKNKECLDKLLADLENEDIYGNGDQDYLEFAKNFEKGCMKIGQFKLLFNEQVPLLRAMETLELKGDNNSDFLKLMLDTYEALKTDDVTKNAKELLDKLFNKLEETEIFGSHDTDYKRWTKMFEECSKLQQCRFLLLFNEETPLVHALEVIGIKGDDRQGYQDVFTKMEPSLELGAKKRAQQLEQILNQLEKGELYGSGDADFHLWIEFFQSATILSSQLHSEAM
+>sp|P01258|CALC_HUMAN Calcitonin OS=Homo sapiens OX=9606 GN=CALCA PE=1 SV=2
+MGFQKFSPFLALSILVLLQAGSLHAAPFRSALESSPADPATLSEDEARLLLAALVQNYVQMKASELEQEQEREGSSLDSPRSKRCGNLSTCMLGTYTQDFNKFHTFPQTAIGVGAPGKKRDMSSDLERDHRPHVSMPQNAN
+>DECOY_sp|P01258|CALC_HUMAN Calcitonin OS=Homo sapiens OX=9606 GN=CALCA PE=1 SV=2
+NANQPMSVHPRHDRELDSSMDRKKGPAGVGIATQPFTHFKNFDQTYTGLMCTSLNGCRKSRPSDLSSGEREQEQELESAKMQVYNQVLAALLLRAEDESLTAPDAPSSELASRFPAAHLSGAQLLVLISLALFPSFKQFGM
+>sp|Q05682|CALD1_HUMAN Caldesmon OS=Homo sapiens OX=9606 GN=CALD1 PE=1 SV=3
+MDDFERRRELRRQKREEMRLEAERIAYQRNDDDEEEAARERRRRARQERLRQKQEEESLGQVTDQVEVNAQNSVPDEEAKTTTTNTQVEGDDEAAFLERLARREERRQKRLQEALERQKEFDPTITDASLSLPSRRMQNDTAENETTEKEEKSESRQERYEIEETETVTKSYQKNDWRDAEENKKEDKEKEEEEEEKPKRGSIGENQVEVMVEEKTTESQEETVVMSLKNGQISSEEPKQEEEREQGSDEISHHEKMEEEDKERAEAERARLEAEERERIKAEQDKKIADERARIEAEEKAAAQERERREAEERERMREEEKRAAEERQRIKEEEKRAAEERQRIKEEEKRAAEERQRIKEEEKRAAEERQRARAEEEEKAKVEEQKRNKQLEEKKHAMQETKIKGEKVEQKIEGKWVNEKKAQEDKLQTAVLKKQGEEKGTKVQAKREKLQEDKPTFKKEEIKDEKIKKDKEPKEEVKSFMDRKKGFTEVKSQNGEFMTHKLKHTENTFSRPGGRASVDTKEAEGAPQVEAGKRLEELRRRRGETESEEFEKLKQKQQEAALELEELKKKREERRKVLEEEEQRRKQEEADRKLREEEEKRRLKEEIERRRAEAAEKRQKMPEDGLSDDKKPFKCFTPKGSSLKIEERAEFLNKSVQKSSGVKSTHQAAIVSKIDSRLEQYTSAIEGTKSAKPTKPAASDLPVPAEGVRNIKSMWEKGNVFSSPTAAGTPNKETAGLKVGVSSRINEWLTKTPDGNKSPAPKPSDLRPGDVSSKRNLWEKQSVDKVTSPTKV
+>DECOY_sp|Q05682|CALD1_HUMAN Caldesmon OS=Homo sapiens OX=9606 GN=CALD1 PE=1 SV=3
+VKTPSTVKDVSQKEWLNRKSSVDGPRLDSPKPAPSKNGDPTKTLWENIRSSVGVKLGATEKNPTGAATPSSFVNGKEWMSKINRVGEAPVPLDSAAPKTPKASKTGEIASTYQELRSDIKSVIAAQHTSKVGSSKQVSKNLFEAREEIKLSSGKPTFCKFPKKDDSLGDEPMKQRKEAAEARRREIEEKLRRKEEEERLKRDAEEQKRRQEEEELVKRREERKKKLEELELAAEQQKQKLKEFEESETEGRRRRLEELRKGAEVQPAGEAEKTDVSARGGPRSFTNETHKLKHTMFEGNQSKVETFGKKRDMFSKVEEKPEKDKKIKEDKIEEKKFTPKDEQLKERKAQVKTGKEEGQKKLVATQLKDEQAKKENVWKGEIKQEVKEGKIKTEQMAHKKEELQKNRKQEEVKAKEEEEARARQREEAARKEEEKIRQREEAARKEEEKIRQREEAARKEEEKIRQREEAARKEEERMREREEAERREREQAAAKEEAEIRAREDAIKKDQEAKIREREEAELRAREAEAREKDEEEMKEHHSIEDSGQEREEEQKPEESSIQGNKLSMVVTEEQSETTKEEVMVEVQNEGISGRKPKEEEEEEKEKDEKKNEEADRWDNKQYSKTVTETEEIEYREQRSESKEEKETTENEATDNQMRRSPLSLSADTITPDFEKQRELAEQLRKQRREERRALRELFAAEDDGEVQTNTTTTKAEEDPVSNQANVEVQDTVQGLSEEEQKQRLREQRARRRRERAAEEEDDDNRQYAIREAELRMEERKQRRLERRREFDDM
+>sp|P27482|CALL3_HUMAN Calmodulin-like protein 3 OS=Homo sapiens OX=9606 GN=CALML3 PE=1 SV=2
+MADQLTEEQVTEFKEAFSLFDKDGDGCITTRELGTVMRSLGQNPTEAELRDMMSEIDRDGNGTVDFPEFLGMMARKMKDTDNEEEIREAFRVFDKDGNGFVSAAELRHVMTRLGEKLSDEEVDEMIRAADTDGDGQVNYEEFVRVLVSK
+>DECOY_sp|P27482|CALL3_HUMAN Calmodulin-like protein 3 OS=Homo sapiens OX=9606 GN=CALML3 PE=1 SV=2
+KSVLVRVFEEYNVQGDGDTDAARIMEDVEEDSLKEGLRTMVHRLEAASVFGNGDKDFVRFAERIEEENDTDKMKRAMMGLFEPFDVTGNGDRDIESMMDRLEAETPNQGLSRMVTGLERTTICGDGDKDFLSFAEKFETVQEETLQDAM
+>sp|Q6IMN6|CAPR2_HUMAN Caprin-2 OS=Homo sapiens OX=9606 GN=CAPRIN2 PE=1 SV=1
+MEVQVSQASLGFELTSVEKSLREWSRLSREVIAWLCPSSPNFILNFPPPPSASSVSMVQLFSSPFGYQSPSGHSEEEREGNMKSAKPQVNHSQHGESQRALSPLQSTLSSAASPSQAYETYIENGLICLKHKIRNIEKKKLKLEDYKDRLKSGEHLNPDQLEAVEKYEEVLHNLEFAKELQKTFSGLSLDLLKAQKKAQRREHMLKLEAEKKKLRTILQVQYVLQNLTQEHVQKDFKGGLNGAVYLPSKELDYLIKFSKLTCPERNESLSVEDQMEQSSLYFWDLLEGSEKAVVGTTYKHLKDLLSKLLNSGYFESIPVPKNAKEKEVPLEEEMLIQSEKKTQLSKTESVKESESLMEFAQPEIQPQEFLNRRYMTEVDYSNKQGEEQPWEADYARKPNLPKRWDMLTEPDGQEKKQESFKSWEASGKHQEVSKPAVSLEQRKQDTSKLRSTLPEEQKKQEISKSKPSPSQWKQDTPKSKAGYVQEEQKKQETPKLWPVQLQKEQDPKKQTPKSWTPSMQSEQNTTKSWTTPMCEEQDSKQPETPKSWENNVESQKHSLTSQSQISPKSWGVATASLIPNDQLLPRKLNTEPKDVPKPVHQPVGSSSTLPKDPVLRKEKLQDLMTQIQGTCNFMQESVLDFDKPSSAIPTSQPPSATPGSPVASKEQNLSSQSDFLQEPLQATSSPVTCSSNACLVTTDQASSGSETEFMTSETPEAAIPPGKQPSSLASPNPPMAKGSEQGFQSPPASSSSVTINTAPFQAMQTVFNVNAPLPPRKEQEIKESPYSPGYNQSFTTASTQTPPQCQLPSIHVEQTVHSQETAANYHPDGTIQVSNGSLAFYPAQTNVFPRPTQPFVNSRGSVRGCTRGGRLITNSYRSPGGYKGFDTYRGLPSISNGNYSQLQFQAREYSGAPYSQRDNFQQCYKRGGTSGGPRANSRAGWSDSSQVSSPERDNETFNSGDSGQGDSRSMTPVDVPVTNPAATILPVHVYPLPQQMRVAFSAARTSNLAPGTLDQPIVFDLLLNNLGETFDLQLGRFNCPVNGTYVFIFHMLKLAVNVPLYVNLMKNEEVLVSAYANDGAPDHETASNHAILQLFQGDQIWLRLHRGAIYGSSWKYSTFSGYLLYQD
+>DECOY_sp|Q6IMN6|CAPR2_HUMAN Caprin-2 OS=Homo sapiens OX=9606 GN=CAPRIN2 PE=1 SV=1
+DQYLLYGSFTSYKWSSGYIAGRHLRLWIQDGQFLQLIAHNSATEHDPAGDNAYASVLVEENKMLNVYLPVNVALKLMHFIFVYTGNVPCNFRGLQLDFTEGLNNLLLDFVIPQDLTGPALNSTRAASFAVRMQQPLPYVHVPLITAAPNTVPVDVPTMSRSDGQGSDGSNFTENDREPSSVQSSDSWGARSNARPGGSTGGRKYCQQFNDRQSYPAGSYERAQFQLQSYNGNSISPLGRYTDFGKYGGPSRYSNTILRGGRTCGRVSGRSNVFPQTPRPFVNTQAPYFALSGNSVQITGDPHYNAATEQSHVTQEVHISPLQCQPPTQTSATTFSQNYGPSYPSEKIEQEKRPPLPANVNFVTQMAQFPATNITVSSSSAPPSQFGQESGKAMPPNPSALSSPQKGPPIAAEPTESTMFETESGSSAQDTTVLCANSSCTVPSSTAQLPEQLFDSQSSLNQEKSAVPSGPTASPPQSTPIASSPKDFDLVSEQMFNCTGQIQTMLDQLKEKRLVPDKPLTSSSGVPQHVPKPVDKPETNLKRPLLQDNPILSATAVGWSKPSIQSQSTLSHKQSEVNNEWSKPTEPQKSDQEECMPTTWSKTTNQESQMSPTWSKPTQKKPDQEKQLQVPWLKPTEQKKQEEQVYGAKSKPTDQKWQSPSPKSKSIEQKKQEEPLTSRLKSTDQKRQELSVAPKSVEQHKGSAEWSKFSEQKKEQGDPETLMDWRKPLNPKRAYDAEWPQEEGQKNSYDVETMYRRNLFEQPQIEPQAFEMLSESEKVSETKSLQTKKESQILMEEELPVEKEKANKPVPISEFYGSNLLKSLLDKLHKYTTGVVAKESGELLDWFYLSSQEMQDEVSLSENREPCTLKSFKILYDLEKSPLYVAGNLGGKFDKQVHEQTLNQLVYQVQLITRLKKKEAELKLMHERRQAKKQAKLLDLSLGSFTKQLEKAFELNHLVEEYKEVAELQDPNLHEGSKLRDKYDELKLKKKEINRIKHKLCILGNEIYTEYAQSPSAASSLTSQLPSLARQSEGHQSHNVQPKASKMNGEREEESHGSPSQYGFPSSFLQVMSVSSASPPPPFNLIFNPSSPCLWAIVERSLRSWERLSKEVSTLEFGLSAQSVQVEM
+>sp|Q86UW7|CAPS2_HUMAN Calcium-dependent secretion activator 2 OS=Homo sapiens OX=9606 GN=CADPS2 PE=1 SV=2
+MLDPSSSEEESDEGLEEESRDVLVAAGSSQRAPPAPTREGRRDAPGRAGGGGAARSVSPSPSVLSEGRDEPQRQLDDEQERRIRLQLYVFVVRCIAYPFNAKQPTDMARRQQKLNKQQLQLLKERFQAFLNGETQIVADEAFCNAVRSYYEVFLKSDRVARMVQSGGCSANDFREVFKKNIEKRVRSLPEIDGLSKETVLSSWIAKYDAIYRGEEDLCKQPNRMALSAVSELILSKEQLYEMFQQILGIKKLEHQLLYNACQLDNADEQAAQIRRELDGRLQLADKMAKERKFPKFIAKDMENMYIEELRSSVNLLMANLESLPVSKGGPEFKLQKLKRSQNSAFLDIGDENEIQLSKSDVVLSFTLEIVIMEVQGLKSVAPNRIVYCTMEVEGEKLQTDQAEASRPQWGTQGDFTTTHPRPVVKVKLFTESTGVLALEDKELGRVILYPTSNSSKSAELHRMVVPKNSQDSDLKIKLAVRMDKPAHMKHSGYLYALGQKVWKRWKKRYFVLVQVSQYTFAMCSYREKKSEPQELMQLEGYTVDYTDPHPGLQGGCMFFNAVKEGDTVIFASDDEQDRILWVQAMYRATGQSYKPVPAIQTQKLNPKGGTLHADAQLSGKDADRFQKHGMDEFISANPCKLDHAFLFRILQRQTLDHRLNDSYSCLGWFSPGQVFVLDEYCARYGVRGCHRHLCYLAELMEHSENGAVIDPTLLHYSFAFCASHVHGNRPDGIGTVSVEEKERFEEIKERLSSLLENQISHFRYCFPFGRPEGALKATLSLLERVLMKDIATPIPAEEVKKVVRKCLEKAALINYTRLTEYAKIEETMNQASPARKLEEILHLAELCIEVLQQNEEHHAEGREAFAWWPDLLAEHAEKFWALFTVDMDTALEAQPQDSWDSFPLFQLLNNFLRNDTLLCNGKFHKHLQEIFVPLVVRYVDLMESSIAQSIHRGFEQETWQPVKNIANSLPNVALPKVPSLPLNLPQIPNISTASWMPSLYESTNGSATSEDLFWKLDALQMFVFDLHWPEQEFAHHLEQRLKLMASDMLEACVKRTRTAFELKLQKASKTTDLRIPASVCTMFNVLVDAKKQSTKLCALDGGQEQQYHSKIDDLIDNSVKEIISLLVSKFVSVLEGVLSKLSRYDEGTFFSSILSFTVKAAAKYVDVPKPGMDLADTYIMFVRQNQDILREKVNEEMYIEKLFDQWYSSSMKVICVWLTDRLDLQLHIYQLKTLIKIVKKTYRDFRLQGVLEGTLNSKTYDTVHRRLTVEEATASVSEGGGLQGITMKDSDEEEEG
+>DECOY_sp|Q86UW7|CAPS2_HUMAN Calcium-dependent secretion activator 2 OS=Homo sapiens OX=9606 GN=CADPS2 PE=1 SV=2
+GEEEEDSDKMTIGQLGGGESVSATAEEVTLRRHVTDYTKSNLTGELVGQLRFDRYTKKVIKILTKLQYIHLQLDLRDTLWVCIVKMSSSYWQDFLKEIYMEENVKERLIDQNQRVFMIYTDALDMGPKPVDVYKAAAKVTFSLISSFFTGEDYRSLKSLVGELVSVFKSVLLSIIEKVSNDILDDIKSHYQQEQGGDLACLKTSQKKADVLVNFMTCVSAPIRLDTTKSAKQLKLEFATRTRKVCAELMDSAMLKLRQELHHAFEQEPWHLDFVFMQLADLKWFLDESTASGNTSEYLSPMWSATSINPIQPLNLPLSPVKPLAVNPLSNAINKVPQWTEQEFGRHISQAISSEMLDVYRVVLPVFIEQLHKHFKGNCLLTDNRLFNNLLQFLPFSDWSDQPQAELATDMDVTFLAWFKEAHEALLDPWWAFAERGEAHHEENQQLVEICLEALHLIEELKRAPSAQNMTEEIKAYETLRTYNILAAKELCKRVVKKVEEAPIPTAIDKMLVRELLSLTAKLAGEPRGFPFCYRFHSIQNELLSSLREKIEEFREKEEVSVTGIGDPRNGHVHSACFAFSYHLLTPDIVAGNESHEMLEALYCLHRHCGRVGYRACYEDLVFVQGPSFWGLCSYSDNLRHDLTQRQLIRFLFAHDLKCPNASIFEDMGHKQFRDADKGSLQADAHLTGGKPNLKQTQIAPVPKYSQGTARYMAQVWLIRDQEDDSAFIVTDGEKVANFFMCGGQLGPHPDTYDVTYGELQMLEQPESKKERYSCMAFTYQSVQVLVFYRKKWRKWVKQGLAYLYGSHKMHAPKDMRVALKIKLDSDQSNKPVVMRHLEASKSSNSTPYLIVRGLEKDELALVGTSETFLKVKVVPRPHTTTFDGQTGWQPRSAEAQDTQLKEGEVEMTCYVIRNPAVSKLGQVEMIVIELTFSLVVDSKSLQIENEDGIDLFASNQSRKLKQLKFEPGGKSVPLSELNAMLLNVSSRLEEIYMNEMDKAIFKPFKREKAMKDALQLRGDLERRIQAAQEDANDLQCANYLLQHELKKIGLIQQFMEYLQEKSLILESVASLAMRNPQKCLDEEGRYIADYKAIWSSLVTEKSLGDIEPLSRVRKEINKKFVERFDNASCGGSQVMRAVRDSKLFVEYYSRVANCFAEDAVIQTEGNLFAQFREKLLQLQQKNLKQQRRAMDTPQKANFPYAICRVVFVYLQLRIRREQEDDLQRQPEDRGESLVSPSPSVSRAAGGGGARGPADRRGERTPAPPARQSSGAAVLVDRSEEELGEDSEEESSSPDLM
+>sp|Q5XLA6|CAR17_HUMAN Caspase recruitment domain-containing protein 17 OS=Homo sapiens OX=9606 GN=CARD17 PE=1 SV=1
+MADKVLKEKRKQFIRSVGEGTINGLLGELLETRVLSQEEIEIVKCENATVMDKARALLDSVIRKGAPACQICITYICEEDSHLAGTLGLSAGPTSGNHLTTQDSQIVLPS
+>DECOY_sp|Q5XLA6|CAR17_HUMAN Caspase recruitment domain-containing protein 17 OS=Homo sapiens OX=9606 GN=CARD17 PE=1 SV=1
+SPLVIQSDQTTLHNGSTPGASLGLTGALHSDEECIYTICIQCAPAGKRIVSDLLARAKDMVTANECKVIEIEEQSLVRTELLEGLLGNITGEGVSRIFQKRKEKLVKDAM
+>sp|Q96LW7|CAR19_HUMAN Caspase recruitment domain-containing protein 19 OS=Homo sapiens OX=9606 GN=CARD19 PE=1 SV=1
+MTDQTYCDRLVQDTPFLTGHGRLSEQQVDRIILQLNRYYPQILTNKEAEKFRNPKASLRVRLCDLLSHLQRSGERDCQEFYRALYIHAQPLHSRLPSRHALRKFHITNHACLVLARGGHPSLPLMAWMSSMTTQVCCSPGLASPLASAPPQRPPSGPEGRVWQAQAVQMLVSVSHFLPLPPSLSHGSFHTAWGILYVHSCPSFSNLIPRGSLHVCVDSNLVPTAAWRS
+>DECOY_sp|Q96LW7|CAR19_HUMAN Caspase recruitment domain-containing protein 19 OS=Homo sapiens OX=9606 GN=CARD19 PE=1 SV=1
+SRWAATPVLNSDVCVHLSGRPILNSFSPCSHVYLIGWATHFSGHSLSPPLPLFHSVSVLMQVAQAQWVRGEPGSPPRQPPASALPSALGPSCCVQTTMSSMWAMLPLSPHGGRALVLCAHNTIHFKRLAHRSPLRSHLPQAHIYLARYFEQCDREGSRQLHSLLDCLRVRLSAKPNRFKEAEKNTLIQPYYRNLQLIIRDVQQESLRGHGTLFPTDQVLRDCYTQDTM
+>sp|P57730|CAR18_HUMAN Caspase recruitment domain-containing protein 18 OS=Homo sapiens OX=9606 GN=CARD18 PE=1 SV=1
+MADQLLRKKRRIFIHSVGAGTINALLDCLLEDEVISQEDMNKVRDENDTVMDKARVLIDLVTGKGPKSCCKFIKHLCEEDPQLASKMGLH
+>DECOY_sp|P57730|CAR18_HUMAN Caspase recruitment domain-containing protein 18 OS=Homo sapiens OX=9606 GN=CARD18 PE=1 SV=1
+HLGMKSALQPDEECLHKIFKCCSKPGKGTVLDILVRAKDMVTDNEDRVKNMDEQSIVEDELLCDLLANITGAGVSHIFIRRKKRLLQDAM
+>sp|Q96PB1|CASD1_HUMAN N-acetylneuraminate 9-O-acetyltransferase OS=Homo sapiens OX=9606 GN=CASD1 PE=1 SV=1
+MAALAYNLGKREINHYFSVRSAKVLALVAVLLLAACHLASRRYRGNDSCEYLLSSGRFLGEKVWQPHSCMMHKYKISEAKNCLVDKHIAFIGDSRIRQLFYSFVKIINPQFKEEGNKHENIPFEDKTASVKVDFLWHPEVNGSMKQCIKVWTEDSIAKPHVIVAGAATWSIKIHNGSSEALSQYKMNITSIAPLLEKLAKTSDVYWVLQDPVYEDLLSENRKMITNEKIDAYNEAAVSILNSSTRNSKSNVKMFSVSKLIAQETIMESLDGLHLPESSRETTAMILMNVYCNKILKPVDGSCCQPRPPVTLIQKLAACFFTLSIIGYLIFYIIHRNAHRKNKPCTDLESGEEKKNIINTPVSSLEILLQSFCKLGLIMAYFYMCDRANLFMKENKFYTHSSFFIPIIYILVLGVFYNENTKETKVLNREQTDEWKGWMQLVILIYHISGASTFLPVYMHIRVLVAAYLFQTGYGHFSYFWIKGDFGIYRVCQVLFRLNFLVVVLCIVMDRPYQFYYFVPLVTVWFMVIYVTLALWPQIIQKKANGNCFWHFGLLLKLGFLLLFICFLAYSQGAFEKIFSLWPLSKCFELKGNVYEWWFRWRLDRYVVFHGMLFAFIYLALQKRQILSEGKGEPLFSNKISNFLLFISVVSFLTYSIWASSCKNKAECNELHPSVSVVQILAFILIRNIPGYARSVYSSFFAWFGKISLELFICQYHIWLAADTRGILVLIPGNPMLNIIVSTFIFVCVAHEISQITNDLAQIIIPKDNSSLLKRLACIAAFFCGLLILSSIQDKSKH
+>DECOY_sp|Q96PB1|CASD1_HUMAN N-acetylneuraminate 9-O-acetyltransferase OS=Homo sapiens OX=9606 GN=CASD1 PE=1 SV=1
+HKSKDQISSLILLGCFFAAICALRKLLSSNDKPIIIQALDNTIQSIEHAVCVFIFTSVIINLMPNGPILVLIGRTDAALWIHYQCIFLELSIKGFWAFFSSYVSRAYGPINRILIFALIQVVSVSPHLENCEAKNKCSSAWISYTLFSVVSIFLLFNSIKNSFLPEGKGESLIQRKQLALYIFAFLMGHFVVYRDLRWRFWWEYVNGKLEFCKSLPWLSFIKEFAGQSYALFCIFLLLFGLKLLLGFHWFCNGNAKKQIIQPWLALTVYIVMFWVTVLPVFYYFQYPRDMVICLVVVLFNLRFLVQCVRYIGFDGKIWFYSFHGYGTQFLYAAVLVRIHMYVPLFTSAGSIHYILIVLQMWGKWEDTQERNLVKTEKTNENYFVGLVLIYIIPIFFSSHTYFKNEKMFLNARDCMYFYAMILGLKCFSQLLIELSSVPTNIINKKEEGSELDTCPKNKRHANRHIIYFILYGIISLTFFCAALKQILTVPPRPQCCSGDVPKLIKNCYVNMLIMATTERSSEPLHLGDLSEMITEQAILKSVSFMKVNSKSNRTSSNLISVAAENYADIKENTIMKRNESLLDEYVPDQLVWYVDSTKALKELLPAISTINMKYQSLAESSGNHIKISWTAAGAVIVHPKAISDETWVKICQKMSGNVEPHWLFDVKVSATKDEFPINEHKNGEEKFQPNIIKVFSYFLQRIRSDGIFAIHKDVLCNKAESIKYKHMMCSHPQWVKEGLFRGSSLLYECSDNGRYRRSALHCAALLLVAVLALVKASRVSFYHNIERKGLNYALAAM
+>sp|Q14790|CASP8_HUMAN Caspase-8 OS=Homo sapiens OX=9606 GN=CASP8 PE=1 SV=1
+MDFSRNLYDIGEQLDSEDLASLKFLSLDYIPQRKQEPIKDALMLFQRLQEKRMLEESNLSFLKELLFRINRLDLLITYLNTRKEEMERELQTPGRAQISAYRVMLYQISEEVSRSELRSFKFLLQEEISKCKLDDDMNLLDIFIEMEKRVILGEGKLDILKRVCAQINKSLLKIINDYEEFSKERSSSLEGSPDEFSNGEELCGVMTISDSPREQDSESQTLDKVYQMKSKPRGYCLIINNHNFAKAREKVPKLHSIRDRNGTHLDAGALTTTFEELHFEIKPHDDCTVEQIYEILKIYQLMDHSNMDCFICCILSHGDKGIIYGTDGQEAPIYELTSQFTGLKCPSLAGKPKVFFIQACQGDNYQKGIPVETDSEEQPYLEMDLSSPQTRYIPDEADFLLGMATVNNCVSYRNPAEGTWYIQSLCQSLRERCPRGDDILTILTEVNYEVSNKDDKKNMGKQMPQPTFTLRKKLVFPSD
+>DECOY_sp|Q14790|CASP8_HUMAN Caspase-8 OS=Homo sapiens OX=9606 GN=CASP8 PE=1 SV=1
+DSPFVLKKRLTFTPQPMQKGMNKKDDKNSVEYNVETLITLIDDGRPCRERLSQCLSQIYWTGEAPNRYSVCNNVTAMGLLFDAEDPIYRTQPSSLDMELYPQEESDTEVPIGKQYNDGQCAQIFFVKPKGALSPCKLGTFQSTLEYIPAEQGDTGYIIGKDGHSLICCIFCDMNSHDMLQYIKLIEYIQEVTCDDHPKIEFHLEEFTTTLAGADLHTGNRDRISHLKPVKERAKAFNHNNIILCYGRPKSKMQYVKDLTQSESDQERPSDSITMVGCLEEGNSFEDPSGELSSSREKSFEEYDNIIKLLSKNIQACVRKLIDLKGEGLIVRKEMEIFIDLLNMDDDLKCKSIEEQLLFKFSRLESRSVEESIQYLMVRYASIQARGPTQLEREMEEKRTNLYTILLDLRNIRFLLEKLFSLNSEELMRKEQLRQFLMLADKIPEQKRQPIYDLSLFKLSALDESDLQEGIDYLNRSFDM
+>sp|P31415|CASQ1_HUMAN Calsequestrin-1 OS=Homo sapiens OX=9606 GN=CASQ1 PE=1 SV=3
+MSATDRMGPRAVPGLRLALLLLLVLGTPKSGVQGQEGLDFPEYDGVDRVINVNAKNYKNVFKKYEVLALLYHEPPEDDKASQRQFEMEELILELAAQVLEDKGVGFGLVDSEKDAAVAKKLGLTEVDSMYVFKGDEVIEYDGEFSADTIVEFLLDVLEDPVELIEGERELQAFENIEDEIKLIGYFKSKDSEHYKAFEDAAEEFHPYIPFFATFDSKVAKKLTLKLNEIDFYEAFMEEPVTIPDKPNSEEEIVNFVEEHRRSTLRKLKPESMYETWEDDMDGIHIVAFAEEADPDGFEFLETLKAVAQDNTENPDLSIIWIDPDDFPLLVPYWEKTFDIDLSAPQIGVVNVTDADSVWMEMDDEEDLPSAEELEDWLEDVLEGEINTEDDDDDDDD
+>DECOY_sp|P31415|CASQ1_HUMAN Calsequestrin-1 OS=Homo sapiens OX=9606 GN=CASQ1 PE=1 SV=3
+DDDDDDDDETNIEGELVDELWDELEEASPLDEEDDMEMWVSDADTVNVVGIQPASLDIDFTKEWYPVLLPFDDPDIWIISLDPNETNDQAVAKLTELFEFGDPDAEEAFAVIHIGDMDDEWTEYMSEPKLKRLTSRRHEEVFNVIEEESNPKDPITVPEEMFAEYFDIENLKLTLKKAVKSDFTAFFPIYPHFEEAADEFAKYHESDKSKFYGILKIEDEINEFAQLEREGEILEVPDELVDLLFEVITDASFEGDYEIVEDGKFVYMSDVETLGLKKAVAADKESDVLGFGVGKDELVQAALELILEEMEFQRQSAKDDEPPEHYLLALVEYKKFVNKYNKANVNIVRDVGDYEPFDLGEQGQVGSKPTGLVLLLLLALRLGPVARPGMRDTASM
+>sp|Q8WTX7|CAST1_HUMAN Cytosolic arginine sensor for mTORC1 subunit 1 OS=Homo sapiens OX=9606 GN=CASTOR1 PE=1 SV=1
+MELHILEHRVRVLSVARPGLWLYTHPLIKLLFLPRRSRCKFFSLTETPEDYTLMVDEEGFKELPPSEFLQVAEATWLVLNVSSHSGAAVQAAGVTKIARSVIAPLAEHHVSVLMLSTYQTDFILVREQDLSVVIHTLAQEFDIYREVGGEPVPVTRDDSSNGFPRTQHGPSPTVHPIQSPQNRFCVLTLDPETLPAIATTLIDVLFYSHSTPKEAASSSPEPSSITFFAFSLIEGYISIVMDAETQKKFPSDLLLTSSSGELWRMVRIGGQPLGFDECGIVAQIAGPLAAADISAYYISTFNFDHALVPEDGIGSVIEVLQRRQEGLAS
+>DECOY_sp|Q8WTX7|CAST1_HUMAN Cytosolic arginine sensor for mTORC1 subunit 1 OS=Homo sapiens OX=9606 GN=CASTOR1 PE=1 SV=1
+SALGEQRRQLVEIVSGIGDEPVLAHDFNFTSIYYASIDAAALPGAIQAVIGCEDFGLPQGGIRVMRWLEGSSSTLLLDSPFKKQTEADMVISIYGEILSFAFFTISSPEPSSSAAEKPTSHSYFLVDILTTAIAPLTEPDLTLVCFRNQPSQIPHVTPSPGHQTRPFGNSSDDRTVPVPEGGVERYIDFEQALTHIVVSLDQERVLIFDTQYTSLMLVSVHHEALPAIVSRAIKTVGAAQVAAGSHSSVNLVLWTAEAVQLFESPPLEKFGEEDVMLTYDEPTETLSFFKCRSRRPLFLLKILPHTYLWLGPRAVSLVRVRHELIHLEM
+>sp|Q86V15|CASZ1_HUMAN Zinc finger protein castor homolog 1 OS=Homo sapiens OX=9606 GN=CASZ1 PE=1 SV=4
+MDLGTAEGTRCTDPPAGKPAMAPKRKGGLKLNAICAKLSRQVVVEKRADAGSHTEGSPSQPRDQERSGPESGAARAPRSEEDKRRAVIEKWVNGEYSEEPAPTPVLGRIAREGLELPPEGVYMVQPQGCSDEEDHAEEPSKDGGALEEKDSDGAASKEDSGPSTRQASGEASSLRDYAASTMTEFLGMFGYDDQNTRDELARKISFEKLHAGSTPEAATSSMLPTSEDTLSKRARFSKYEEYIRKLKAGEQLSWPAPSTKTEERVGKEVVGTLPGLRLPSSTAHLETKATILPLPSHSSVQMQNLVARASKYDFFIQKLKTGENLRPQNGSTYKKPSKYDLENVKYLHLFKPGEGSPDMGGAIAFKTGKVGRPSKYDVRGIQKPGPAKVPPTPSLAPAPLASVPSAPSAPGPGPEPPASLSFNTPEYLKSTFSKTDSITTGTVSTVKNGLPTDKPAVTEDVNIYQKYIARFSGSQHCGHIHCAYQYREHYHCLDPECNYQRFTSKQDVIRHYNMHKKRDNSLQHGFMRFSPLDDCSVYYHGCHLNGKSTHYHCMQVGCNKVYTSTSDVMTHENFHKKNTQLINDGFQRFRATEDCGTADCQFYGQKTTHFHCRRPGCTFTFKNKCDIEKHKSYHIKDDAYAKDGFKKFYKYEECKYEGCVYSKATNHFHCIRAGCGFTFTSTSQMTSHKRKHERRHIRSSGALGLPPSLLGAKDTEHEESSNDDLVDFSALSSKNSSLSASPTSQQSSASLAAATAATEAGPSATKPPNSKISGLLPQGLPGSIPLALALSNSGLPTPTPYFPILAGRGSTSLPVGTPSLLGAVSSGSAASATPDTPTLVASGAGDSAPVAAASVPAPPASIMERISASKGLISPMMARLAAAALKPSATFDPGSGQQVTPARFPPAQVKPEPGESTGAPGPHEASQDRSLDLTVKEPSNESNGHAVPANSSLLSSLMNKMSQGNPGLGSLLNIKAEAEGSPAAEPSPFLGKAVKALVQEKLAEPWKVYLRRFGTKDFCDGQCDFLHKAHFHCVVEECGALFSTLDGAIKHANFHFRTEGGAAKGNTEAAFPASAAETKPPMAPSSPPVPPVTTATVSSLEGPAPSPASVPSTPTLLAWKQLASTIPQMPQIPASVPHLPASPLATTSLENAKPQVKPGFLQFQENDPCLATDCKYANKFHFHCLFGNCKYVCKTSGKAESHCLDHINPNNNLVNVRDQFAYYSLQCLCPNQHCEFRMRGHYHCLRTGCYFVTNITTKLPWHIKKHEKAERRAANGFKYFTKREECGRLGCKYNQVNSHFHCIREGCQFSFLLKHQMTSHARKHMRRMLGKNFDRVPPSQGPPGLMDAETDECMDYTGCSPGAMSSESSTMDRSCSSTPVGNESTAAGNTISMPTASGAKKRFWIIEDMSPFGKRRKTASSRKMLDEGMMLEGFRRFDLYEDCKDAACQFSLKVTHYHCTRENCGYKFCGRTHMYKHAQHHDRVDNLVLDDFKRFKASLSCHFADCPFSGTSTHFHCLRCRFRCTDSTKVTAHRKHHGKQDVISAAGFCQFSSSADCAVPDCKYKLKCSHFHCTFPGCRHTVVGMSQMDSHKRKHEKQERGEPAAEGPAPGPPISLDGSLSLGAEPGSLLFLQSAAAGLGLALGDAGDPGPPDAAAPGPREGAAAAAAAAGESSQEDEEEELELPEEEAEDDEDEDDDEDDDDEDDDEDDDDEDLRTDSEESLPEAAAEAAGAGARTPALAALAALGAPGPAPTAASSP
+>DECOY_sp|Q86V15|CASZ1_HUMAN Zinc finger protein castor homolog 1 OS=Homo sapiens OX=9606 GN=CASZ1 PE=1 SV=4
+PSSAATPAPGPAGLAALAALAPTRAGAGAAEAAAEPLSEESDTRLDEDDDDEDDDEDDDDEDDDEDEDDEAEEEPLELEEEEDEQSSEGAAAAAAAAGERPGPAAADPPGPDGADGLALGLGAAASQLFLLSGPEAGLSLSGDLSIPPGPAPGEAAPEGREQKEHKRKHSDMQSMGVVTHRCGPFTCHFHSCKLKYKCDPVACDASSSFQCFGAASIVDQKGHHKRHATVKTSDTCRFRCRLCHFHTSTGSFPCDAFHCSLSAKFRKFDDLVLNDVRDHHQAHKYMHTRGCFKYGCNERTCHYHTVKLSFQCAADKCDEYLDFRRFGELMMGEDLMKRSSATKRRKGFPSMDEIIWFRKKAGSATPMSITNGAATSENGVPTSSCSRDMTSSESSMAGPSCGTYDMCEDTEADMLGPPGQSPPVRDFNKGLMRRMHKRAHSTMQHKLLFSFQCGERICHFHSNVQNYKCGLRGCEERKTFYKFGNAARREAKEHKKIHWPLKTTINTVFYCGTRLCHYHGRMRFECHQNPCLCQLSYYAFQDRVNVLNNNPNIHDLCHSEAKGSTKCVYKCNGFLCHFHFKNAYKCDTALCPDNEQFQLFGPKVQPKANELSTTALPSAPLHPVSAPIQPMQPITSALQKWALLTPTSPVSAPSPAPGELSSVTATTVPPVPPSSPAMPPKTEAASAPFAAETNGKAAGGETRFHFNAHKIAGDLTSFLAGCEEVVCHFHAKHLFDCQGDCFDKTGFRRLYVKWPEALKEQVLAKVAKGLFPSPEAAPSGEAEAKINLLSGLGPNGQSMKNMLSSLLSSNAPVAHGNSENSPEKVTLDLSRDQSAEHPGPAGTSEGPEPKVQAPPFRAPTVQQGSGPDFTASPKLAAAALRAMMPSILGKSASIREMISAPPAPVSAAAVPASDGAGSAVLTPTDPTASAASGSSVAGLLSPTGVPLSTSGRGALIPFYPTPTPLGSNSLALALPISGPLGQPLLGSIKSNPPKTASPGAETAATAAALSASSQQSTPSASLSSNKSSLASFDVLDDNSSEEHETDKAGLLSPPLGLAGSSRIHRREHKRKHSTMQSTSTFTFGCGARICHFHNTAKSYVCGEYKCEEYKYFKKFGDKAYADDKIHYSKHKEIDCKNKFTFTCGPRRCHFHTTKQGYFQCDATGCDETARFRQFGDNILQTNKKHFNEHTMVDSTSTYVKNCGVQMCHYHTSKGNLHCGHYYVSCDDLPSFRMFGHQLSNDRKKHMNYHRIVDQKSTFRQYNCEPDLCHYHERYQYACHIHGCHQSGSFRAIYKQYINVDETVAPKDTPLGNKVTSVTGTTISDTKSFTSKLYEPTNFSLSAPPEPGPGPASPASPVSALPAPALSPTPPVKAPGPKQIGRVDYKSPRGVKGTKFAIAGGMDPSGEGPKFLHLYKVNELDYKSPKKYTSGNQPRLNEGTKLKQIFFDYKSARAVLNQMQVSSHSPLPLITAKTELHATSSPLRLGPLTGVVEKGVREETKTSPAPWSLQEGAKLKRIYEEYKSFRARKSLTDESTPLMSSTAAEPTSGAHLKEFSIKRALEDRTNQDDYGFMGLFETMTSAAYDRLSSAEGSAQRTSPGSDEKSAAGDSDKEELAGGDKSPEEAHDEEDSCGQPQVMYVGEPPLELGERAIRGLVPTPAPEESYEGNVWKEIVARRKDEESRPARAAGSEPGSREQDRPQSPSGETHSGADARKEVVVQRSLKACIANLKLGGKRKPAMAPKGAPPDTCRTGEATGLDM
+>sp|P07339|CATD_HUMAN Cathepsin D OS=Homo sapiens OX=9606 GN=CTSD PE=1 SV=1
+MQPSSLLPLALCLLAAPASALVRIPLHKFTSIRRTMSEVGGSVEDLIAKGPVSKYSQAVPAVTEGPIPEVLKNYMDAQYYGEIGIGTPPQCFTVVFDTGSSNLWVPSIHCKLLDIACWIHHKYNSDKSSTYVKNGTSFDIHYGSGSLSGYLSQDTVSVPCQSASSASALGGVKVERQVFGEATKQPGITFIAAKFDGILGMAYPRISVNNVLPVFDNLMQQKLVDQNIFSFYLSRDPDAQPGGELMLGGTDSKYYKGSLSYLNVTRKAYWQVHLDQVEVASGLTLCKEGCEAIVDTGTSLMVGPVDEVRELQKAIGAVPLIQGEYMIPCEKVSTLPAITLKLGGKGYKLSPEDYTLKVSQAGKTLCLSGFMGMDIPPPSGPLWILGDVFIGRYYTVFDRDNNRVGFAEAARL
+>DECOY_sp|P07339|CATD_HUMAN Cathepsin D OS=Homo sapiens OX=9606 GN=CTSD PE=1 SV=1
+LRAAEAFGVRNNDRDFVTYYRGIFVDGLIWLPGSPPPIDMGMFGSLCLTKGAQSVKLTYDEPSLKYGKGGLKLTIAPLTSVKECPIMYEGQILPVAGIAKQLERVEDVPGVMLSTGTDVIAECGEKCLTLGSAVEVQDLHVQWYAKRTVNLYSLSGKYYKSDTGGLMLEGGPQADPDRSLYFSFINQDVLKQQMLNDFVPLVNNVSIRPYAMGLIGDFKAAIFTIGPQKTAEGFVQREVKVGGLASASSASQCPVSVTDQSLYGSLSGSGYHIDFSTGNKVYTSSKDSNYKHHIWCAIDLLKCHISPVWLNSSGTDFVVTFCQPPTGIGIEGYYQADMYNKLVEPIPGETVAPVAQSYKSVPGKAILDEVSGGVESMTRRISTFKHLPIRVLASAPAALLCLALPLLSSPQM
+>sp|Q9UBX1|CATF_HUMAN Cathepsin F OS=Homo sapiens OX=9606 GN=CTSF PE=1 SV=1
+MAPWLQLLSLLGLLPGAVAAPAQPRAASFQAWGPPSPELLAPTRFALEMFNRGRAAGTRAVLGLVRGRVRRAGQGSLYSLEATLEEPPCNDPMVCRLPVSKKTLLCSFQVLDELGRHVLLRKDCGPVDTKVPGAGEPKSAFTQGSAMISSLSQNHPDNRNETFSSVISLLNEDPLSQDLPVKMASIFKNFVITYNRTYESKEEARWRLSVFVNNMVRAQKIQALDRGTAQYGVTKFSDLTEEEFRTIYLNTLLRKEPGNKMKQAKSVGDLAPPEWDWRSKGAVTKVKDQGMCGSCWAFSVTGNVEGQWFLNQGTLLSLSEQELLDCDKMDKACMGGLPSNAYSAIKNLGGLETEDDYSYQGHMQSCNFSAEKAKVYINDSVELSQNEQKLAAWLAKRGPISVAINAFGMQFYRHGISRPLRPLCSPWLIDHAVLLVGYGNRSDVPFWAIKNSWGTDWGEKGYYYLHRGSGACGVNTMASSAVVD
+>DECOY_sp|Q9UBX1|CATF_HUMAN Cathepsin F OS=Homo sapiens OX=9606 GN=CTSF PE=1 SV=1
+DVVASSAMTNVGCAGSGRHLYYYGKEGWDTGWSNKIAWFPVDSRNGYGVLLVAHDILWPSCLPRLPRSIGHRYFQMGFANIAVSIPGRKALWAALKQENQSLEVSDNIYVKAKEASFNCSQMHGQYSYDDETELGGLNKIASYANSPLGGMCAKDMKDCDLLEQESLSLLTGQNLFWQGEVNGTVSFAWCSGCMGQDKVKTVAGKSRWDWEPPALDGVSKAQKMKNGPEKRLLTNLYITRFEEETLDSFKTVGYQATGRDLAQIKQARVMNNVFVSLRWRAEEKSEYTRNYTIVFNKFISAMKVPLDQSLPDENLLSIVSSFTENRNDPHNQSLSSIMASGQTFASKPEGAGPVKTDVPGCDKRLLVHRGLEDLVQFSCLLTKKSVPLRCVMPDNCPPEELTAELSYLSGQGARRVRGRVLGLVARTGAARGRNFMELAFRTPALLEPSPPGWAQFSAARPQAPAAVAGPLLGLLSLLQLWPAM
+>sp|P09668|CATH_HUMAN Pro-cathepsin H OS=Homo sapiens OX=9606 GN=CTSH PE=1 SV=4
+MWATLPLLCAGAWLLGVPVCGAAELCVNSLEKFHFKSWMSKHRKTYSTEEYHHRLQTFASNWRKINAHNNGNHTFKMALNQFSDMSFAEIKHKYLWSEPQNCSATKSNYLRGTGPYPPSVDWRKKGNFVSPVKNQGACGSCWTFSTTGALESAIAIATGKMLSLAEQQLVDCAQDFNNHGCQGGLPSQAFEYILYNKGIMGEDTYPYQGKDGYCKFQPGKAIGFVKDVANITIYDEEAMVEAVALYNPVSFAFEVTQDFMMYRTGIYSSTSCHKTPDKVNHAVLAVGYGEKNGIPYWIVKNSWGPQWGMNGYFLIERGKNMCGLAACASYPIPLV
+>DECOY_sp|P09668|CATH_HUMAN Pro-cathepsin H OS=Homo sapiens OX=9606 GN=CTSH PE=1 SV=4
+VLPIPYSACAALGCMNKGREILFYGNMGWQPGWSNKVIWYPIGNKEGYGVALVAHNVKDPTKHCSTSSYIGTRYMMFDQTVEFAFSVPNYLAVAEVMAEEDYITINAVDKVFGIAKGPQFKCYGDKGQYPYTDEGMIGKNYLIYEFAQSPLGGQCGHNNFDQACDVLQQEALSLMKGTAIAIASELAGTTSFTWCSGCAGQNKVPSVFNGKKRWDVSPPYPGTGRLYNSKTASCNQPESWLYKHKIEAFSMDSFQNLAMKFTHNGNNHANIKRWNSAFTQLRHHYEETSYTKRHKSMWSKFHFKELSNVCLEAAGCVPVGLLWAGACLLPLTAWM
+>sp|A6NN90|CB081_HUMAN Uncharacterized protein C2orf81 OS=Homo sapiens OX=9606 GN=C2orf81 PE=3 SV=3
+MAHEGSRQVRDRGVTRSKAEKVRPPTVPVPQVDIVPGRLSEAEWMALTALEEGEDVVGDILADLLARVMDSAFKVYLTQQCIPFTISQAREAMLQITEWRFLARDEGESAVAEDPTWGEDEEPSACTTDSWAQGSVPVLHASTSEGLENFQGEDPGGVDRIPLGRSWMGRGSQEQMESWEPSPQLRVTSAPPPTSELFQEAGPGGPVEEADGQSRGLSSAGSLSASFQLSVEEAPADDADPSLDPYLVASPQASTGRGHPLGFHLSLEDLYCCMPQLDAAGDRLELRSEGVPCIASGVLVSYPSVGGATRPSASCQQQRAGHSDVRLSAHHHRMRRKAAVKRLDPARLPCHWVRPLAEVLVPDSQTRPLEAYRGRQRGEKTKARAEPQALGPGTRVSPAAFFPLRPGIPFRDLDSGPALLFPTLNLGLSSPSLESKLPLPNSRIRFLTTHPVLPDVARSRSPKLWPSVRWPSGWEGKAELLGELWAGRTRVPPQGLELADREGQDPGRWPRTTPPVLEATSQVMWKPVLLPEALKLAPGVSMWNRSTQVLLSSGVPEQEDKEGSTFPPVEQHPIQTGAPKPR
+>DECOY_sp|A6NN90|CB081_HUMAN Uncharacterized protein C2orf81 OS=Homo sapiens OX=9606 GN=C2orf81 PE=3 SV=3
+RPKPAGTQIPHQEVPPFTSGEKDEQEPVGSSLLVQTSRNWMSVGPALKLAEPLLVPKWMVQSTAELVPPTTRPWRGPDQGERDALELGQPPVRTRGAWLEGLLEAKGEWGSPWRVSPWLKPSRSRAVDPLVPHTTLFRIRSNPLPLKSELSPSSLGLNLTPFLLAPGSDLDRFPIGPRLPFFAAPSVRTGPGLAQPEARAKTKEGRQRGRYAELPRTQSDPVLVEALPRVWHCPLRAPDLRKVAAKRRMRHHHASLRVDSHGARQQQCSASPRTAGGVSPYSVLVGSAICPVGESRLELRDGAADLQPMCCYLDELSLHFGLPHGRGTSAQPSAVLYPDLSPDADDAPAEEVSLQFSASLSGASSLGRSQGDAEEVPGGPGAEQFLESTPPPASTVRLQPSPEWSEMQEQSGRGMWSRGLPIRDVGGPDEGQFNELGESTSAHLVPVSGQAWSDTTCASPEEDEGWTPDEAVASEGEDRALFRWETIQLMAERAQSITFPICQQTLYVKFASDMVRALLDALIDGVVDEGEELATLAMWEAESLRGPVIDVQPVPVTPPRVKEAKSRTVGRDRVQRSGEHAM
+>sp|Q6ZV80|CB091_HUMAN Uncharacterized protein C2orf91 OS=Homo sapiens OX=9606 GN=C2orf91 PE=2 SV=1
+MVRMSRPLFLDWAWRPLCSPSQSLPLTYGPEGWILQWKGTCRQQTALHCPFDFPQAPLRGRHTLSQVPNKGHEKASAVQLPEKQGTDQSRRGPTSAVTKARTSYPESETFIVYLCSYFWNSSKGVYMSGST
+>DECOY_sp|Q6ZV80|CB091_HUMAN Uncharacterized protein C2orf91 OS=Homo sapiens OX=9606 GN=C2orf91 PE=2 SV=1
+TSGSMYVGKSSNWFYSCLYVIFTESEPYSTRAKTVASTPGRRSQDTGQKEPLQVASAKEHGKNPVQSLTHRGRLPAQPFDFPCHLATQQRCTGKWQLIWGEPGYTLPLSQSPSCLPRWAWDLFLPRSMRVM
+>sp|Q9H9S4|CB39L_HUMAN Calcium-binding protein 39-like OS=Homo sapiens OX=9606 GN=CAB39L PE=1 SV=3
+MKKMPLFSKSHKNPAEIVKILKDNLAILEKQDKKTDKASEEVSKSLQAMKEILCGTNEKEPPTEAVAQLAQELYSSGLLVTLIADLQLIDFEGKKDVTQIFNNILRRQIGTRSPTVEYISAHPHILFMLLKGYEAPQIALRCGIMLRECIRHEPLAKIILFSNQFRDFFKYVELSTFDIASDAFATFKDLLTRHKVLVADFLEQNYDTIFEDYEKLLQSENYVTKRQSLKLLGELILDRHNFAIMTKYISKPENLKLMMNLLRDKSPNIQFEAFHVFKVFVASPHKTQPIVEILLKNQPKLIEFLSSFQKERTDDEQFADEKNYLIKQIRDLKKTAP
+>DECOY_sp|Q9H9S4|CB39L_HUMAN Calcium-binding protein 39-like OS=Homo sapiens OX=9606 GN=CAB39L PE=1 SV=3
+PATKKLDRIQKILYNKEDAFQEDDTREKQFSSLFEILKPQNKLLIEVIPQTKHPSAVFVKFVHFAEFQINPSKDRLLNMMLKLNEPKSIYKTMIAFNHRDLILEGLLKLSQRKTVYNESQLLKEYDEFITDYNQELFDAVLVKHRTLLDKFTAFADSAIDFTSLEVYKFFDRFQNSFLIIKALPEHRICERLMIGCRLAIQPAEYGKLLMFLIHPHASIYEVTPSRTGIQRRLINNFIQTVDKKGEFDILQLDAILTVLLGSSYLEQALQAVAETPPEKENTGCLIEKMAQLSKSVEESAKDTKKDQKELIALNDKLIKVIEAPNKHSKSFLPMKKM
+>sp|Q9HC52|CBX8_HUMAN Chromobox protein homolog 8 OS=Homo sapiens OX=9606 GN=CBX8 PE=1 SV=3
+MELSAVGERVFAAEALLKRRIRKGRMEYLVKWKGWSQKYSTWEPEENILDARLLAAFEEREREMELYGPKKRGPKPKTFLLKAQAKAKAKTYEFRSDSARGIRIPYPGRSPQDLASTSRAREGLRNMGLSPPASSTSTSSTCRAEAPRDRDRDRDRDRERDRERERERERERERERERERGTSRVDDKPSSPGDSSKKRGPKPRKELPDPSQRPLGEPSAGLGEYLKGRKLDDTPSGAGKFPAGHSVIQLARRQDSDLVQCGVTSPSSAEATGKLAVDTFPARVIKHRAAFLEAKGQGALDPNGTRVRHGSGPPSSGGGLYRDMGAQGGRPSLIARIPVARILGDPEEESWSPSLTNLEKVVVTDVTSNFLTVTIKESNTDQGFFKEKR
+>DECOY_sp|Q9HC52|CBX8_HUMAN Chromobox protein homolog 8 OS=Homo sapiens OX=9606 GN=CBX8 PE=1 SV=3
+RKEKFFGQDTNSEKITVTLFNSTVDTVVVKELNTLSPSWSEEEPDGLIRAVPIRAILSPRGGQAGMDRYLGGGSSPPGSGHRVRTGNPDLAGQGKAELFAARHKIVRAPFTDVALKGTAEASSPSTVGCQVLDSDQRRALQIVSHGAPFKGAGSPTDDLKRGKLYEGLGASPEGLPRQSPDPLEKRPKPGRKKSSDGPSSPKDDVRSTGRERERERERERERERERERDRERDRDRDRDRDRPAEARCTSSTSTSSAPPSLGMNRLGERARSTSALDQPSRGPYPIRIGRASDSRFEYTKAKAKAQAKLLFTKPKPGRKKPGYLEMEREREEFAALLRADLINEEPEWTSYKQSWGKWKVLYEMRGKRIRRKLLAEAAFVREGVASLEM
+>sp|Q9HBI5|CC014_HUMAN Uncharacterized protein C3orf14 OS=Homo sapiens OX=9606 GN=C3orf14 PE=2 SV=1
+MTSLFAQEIRLSKRHEEIVSQRLMLLQQMENKLGDQHTEKASQLQTVETAFKRNLSLLKDIEAAEKSLQTRIHPLPRPEVVSLETRYWASVEEYIPKWEQFLLGRAPYPFAVENQNEAENTIQNEAQR
+>DECOY_sp|Q9HBI5|CC014_HUMAN Uncharacterized protein C3orf14 OS=Homo sapiens OX=9606 GN=C3orf14 PE=2 SV=1
+RQAENQITNEAENQNEVAFPYPARGLLFQEWKPIYEEVSAWYRTELSVVEPRPLPHIRTQLSKEAAEIDKLLSLNRKFATEVTQLQSAKETHQDGLKNEMQQLLMLRQSVIEEHRKSLRIEQAFLSTM
+>sp|Q8ND61|CC020_HUMAN Uncharacterized protein C3orf20 OS=Homo sapiens OX=9606 GN=C3orf20 PE=2 SV=2
+MSYIKSNLELYQQYTAMAPKLLARISKLLMICQNAGISVPKGIRNIFEFTWEELISDPSVPTPSDILGLEVSFGAPLVVLMEPTFVQVPTLKKPLPPPPPAPPRPVLLATTGAAKRSTLSPTMARQVRTHQETLNRFQQQSIHLLTELLRLKMKAMVESMSVGANPLDITRRFVEASQLLHLNAKEMAFNCLISTAGRSGYSSGQLWKESLANMSAIGVNSPYQLIYHSSTACLSFSLSAGKEAKKKIGKSRTTEDVSMPPLHRGVGTPANSLEFSDPCPEAREKLQELCRHIEAERATWKGRNISYPMILRNYKAKMPSHLMLARKGDSQTPGLHYPPTAGAQTLSPTSHPSSANHHFSQHCQEGKAPKKAFKFHYTFYDGSSFVYYPSGNVAVCQIPTCCRGRTITCLFNDIPGFSLLALFNTEGQGCVHYNLKTSCPYVLILDEEGGTTNDQQGYVVHKWSWTSRTETLLSLEYKVNEEMKLKVLGQDSITVTFTSLNETVTLTVSANNCPHGMAYDKRLNRRISNMDDKVYKMSRALAEIKKRFQKTVTQFINSILLAAGLFTIEYPTKKEEEEFVRFKMRSRTHPERLPKLSLYSGESLLRSQSGHLESSIAETLKDEPESAPVSPVRKTTKIHTKAKVTSRGKAREGRSPTRWAALPSDCPLVLRKLMLKEDTRAGCKCLVKAPLVSDVELERFLLAPRDPSQVLVFGIISSQNYTSTGQLQWLLNTLYNHQQRGRGSPCIQCRYDSYRLLQYDLDSPLQEDPPLMVKKNSVVQGMILMFAGGKLIFGGRVLNGYGLSKQNLLKQIFRSQQDYKMGYFLPDDYKFSVPNSVLSLEDSESVKKAESEDIQGSSSSLALEDYVEKELSLEAEKTREPEVELHPLSRDSKITSWKKQASKK
+>DECOY_sp|Q8ND61|CC020_HUMAN Uncharacterized protein C3orf20 OS=Homo sapiens OX=9606 GN=C3orf20 PE=2 SV=2
+KKSAQKKWSTIKSDRSLPHLEVEPERTKEAELSLEKEVYDELALSSSSGQIDESEAKKVSESDELSLVSNPVSFKYDDPLFYGMKYDQQSRFIQKLLNQKSLGYGNLVRGGFILKGGAFMLIMGQVVSNKKVMLPPDEQLPSDLDYQLLRYSDYRCQICPSGRGRQQHNYLTNLLWQLQGTSTYNQSSIIGFVLVQSPDRPALLFRELEVDSVLPAKVLCKCGARTDEKLMLKRLVLPCDSPLAAWRTPSRGERAKGRSTVKAKTHIKTTKRVPSVPASEPEDKLTEAISSELHGSQSRLLSEGSYLSLKPLREPHTRSRMKFRVFEEEEKKTPYEITFLGAALLISNIFQTVTKQFRKKIEALARSMKYVKDDMNSIRRNLRKDYAMGHPCNNASVTLTVTENLSTFTVTISDQGLVKLKMEENVKYELSLLTETRSTWSWKHVVYGQQDNTTGGEEDLILVYPCSTKLNYHVCGQGETNFLALLSFGPIDNFLCTITRGRCCTPIQCVAVNGSPYYVFSSGDYFTYHFKFAKKPAKGEQCHQSFHHNASSPHSTPSLTQAGATPPYHLGPTQSDGKRALMLHSPMKAKYNRLIMPYSINRGKWTAREAEIHRCLEQLKERAEPCPDSFELSNAPTGVGRHLPPMSVDETTRSKGIKKKAEKGASLSFSLCATSSHYILQYPSNVGIASMNALSEKWLQGSSYGSRGATSILCNFAMEKANLHLLQSAEVFRRTIDLPNAGVSMSEVMAKMKLRLLETLLHISQQQFRNLTEQHTRVQRAMTPSLTSRKAAGTTALLVPRPPAPPPPPLPKKLTPVQVFTPEMLVVLPAGFSVELGLIDSPTPVSPDSILEEWTFEFINRIGKPVSIGANQCIMLLKSIRALLKPAMATYQQYLELNSKIYSM
+>sp|Q96BT1|CC049_HUMAN Putative uncharacterized protein C3orf49 OS=Homo sapiens OX=9606 GN=C3orf49 PE=2 SV=1
+MAQPQLYLPEPFKIAYRKVGQCRRFQQLKKKNGSFKRKGIERWHRAVSTNLLKQNVLVPKEESSSDSDMGFHESQQNQKSNLKTKVKTAFGRMLSYKYRSKPACASQEGSTDHKEALLSNTQSLLPRIVKEFSSPKLFTAKMRKLSENATIQLDVVEAETEEITQGNTLLRARRTTKRLSVTSLPSGLQKGPYSPKKRPHFPALKKKKRGMENILRKSDLTVGKLQMQVDDLIETVTDKSMKLLAQRHAELQQCEFLGDEILQSSKQFQRISKRTMRKYKLKNMTTKGPGDS
+>DECOY_sp|Q96BT1|CC049_HUMAN Putative uncharacterized protein C3orf49 OS=Homo sapiens OX=9606 GN=C3orf49 PE=2 SV=1
+SDGPGKTTMNKLKYKRMTRKSIRQFQKSSQLIEDGLFECQQLEAHRQALLKMSKDTVTEILDDVQMQLKGVTLDSKRLINEMGRKKKKLAPFHPRKKPSYPGKQLGSPLSTVSLRKTTRRARLLTNGQTIEETEAEVVDLQITANESLKRMKATFLKPSSFEKVIRPLLSQTNSLLAEKHDTSGEQSACAPKSRYKYSLMRGFATKVKTKLNSKQNQQSEHFGMDSDSSSEEKPVLVNQKLLNTSVARHWREIGKRKFSGNKKKLQQFRRCQGVKRYAIKFPEPLYLQPQAM
+>sp|Q6ZUJ4|CC062_HUMAN Uncharacterized protein C3orf62 OS=Homo sapiens OX=9606 GN=C3orf62 PE=1 SV=1
+MHYIKTWSLLGEMSEKLRRCRKELTAAIDRAFEGVSYSQECTGQQRLELSAAPLSFSLPVHRLLCRRHPLAACSSAAPFAAVPCAPENENPAFATNHAPVNAKPHALCPERKPLTSKENVLMHSSILAPERESWRTAGEGENWRKENLRKDMERDLKADSNMPLNNSSQEVTKDLLDMIDHTSIRTIEELAGKIEFENELNHMCGHCQDSPFKEEAWALLMDKSPQKATDADPGSLKQAFDDHNIVETVLDLEEDYNVMTSFKYQIE
+>DECOY_sp|Q6ZUJ4|CC062_HUMAN Uncharacterized protein C3orf62 OS=Homo sapiens OX=9606 GN=C3orf62 PE=1 SV=1
+EIQYKFSTMVNYDEELDLVTEVINHDDFAQKLSGPDADTAKQPSKDMLLAWAEEKFPSDQCHGCMHNLENEFEIKGALEEITRISTHDIMDLLDKTVEQSSNNLPMNSDAKLDREMDKRLNEKRWNEGEGATRWSEREPALISSHMLVNEKSTLPKREPCLAHPKANVPAHNTAFAPNENEPACPVAAFPAASSCAALPHRRCLLRHVPLSFSLPAASLELRQQGTCEQSYSVGEFARDIAATLEKRCRRLKESMEGLLSWTKIYHM
+>sp|A6NLC5|CC070_HUMAN UPF0524 protein C3orf70 OS=Homo sapiens OX=9606 GN=C3orf70 PE=2 SV=1
+MSAAASPASERGWKSEKLDEAQALARSCAARRPDFQPCDGLSICATHSHGKCFKLHWCCHLGWCHCKYMYQPMTPVEQLPSTEIPARPREPTNTIQISVSLTEHFLKFASVFQPPLPPDSPRYCMISDLFIDNYQVKCINGKMCYVQKQPAPHSHRMSPEEVSAHDALISKESNTPKIDHCSSPSSSEDSGINAIGAHYVESCDEDTEEGAELSSEEDYSPESSWEPDECTLLSPSQSDLEVIETIETTV
+>DECOY_sp|A6NLC5|CC070_HUMAN UPF0524 protein C3orf70 OS=Homo sapiens OX=9606 GN=C3orf70 PE=2 SV=1
+VTTEITEIVELDSQSPSLLTCEDPEWSSEPSYDEESSLEAGEETDEDCSEVYHAGIANIGSDESSSPSSCHDIKPTNSEKSILADHASVEEPSMRHSHPAPQKQVYCMKGNICKVQYNDIFLDSIMCYRPSDPPLPPQFVSAFKLFHETLSVSIQITNTPERPRAPIETSPLQEVPTMPQYMYKCHCWGLHCCWHLKFCKGHSHTACISLGDCPQFDPRRAACSRALAQAEDLKESKWGRESAPSAAASM
+>sp|F5H4A9|CC080_HUMAN Uncharacterized membrane protein C3orf80 OS=Homo sapiens OX=9606 GN=C3orf80 PE=2 SV=1
+MWGPGVTAEGLSVAPAPPPLLPLLLLLALALVAPSRGGGGCAELACGERERCCDATNATAVRCCKLPLHAFLDNVGWFVRKLSGLLILLVLFAIGYFLQRIICPSPRRYPRGQARPGQRPGPPGGAGPLGGAGPPDDDDDSPALLRDEAAAGSQDSLLDSGGGGRGRGGGGRSDPSCASEHEMRVVSPVFLQLPSYEEVKYLPTYEESMRLQQLSPGEVVLPVSVLGRPRGGVAAEPDGGEGRYPLI
+>DECOY_sp|F5H4A9|CC080_HUMAN Uncharacterized membrane protein C3orf80 OS=Homo sapiens OX=9606 GN=C3orf80 PE=2 SV=1
+ILPYRGEGGDPEAAVGGRPRGLVSVPLVVEGPSLQQLRMSEEYTPLYKVEEYSPLQLFVPSVVRMEHESACSPDSRGGGGRGRGGGGSDLLSDQSGAAAEDRLLAPSDDDDDPPGAGGLPGAGGPPGPRQGPRAQGRPYRRPSPCIIRQLFYGIAFLVLLILLGSLKRVFWGVNDLFAHLPLKCCRVATANTADCCREREGCALEACGGGGRSPAVLALALLLLLPLLPPPAPAVSLGEATVGPGWM
+>sp|Q9BWC9|CC106_HUMAN Coiled-coil domain-containing protein 106 OS=Homo sapiens OX=9606 GN=CCDC106 PE=1 SV=1
+MNDRSSRRRTMKDDETFEISIPFDEAPHLDPQIFYSLSPSRRNFEEPPEAASSALALMNSVKTQLHMALERNSWLQKRIEDLEEERDFLRCQLDKFISSARMEAEDHCRMKPGPRRMEGDSRGGAGGEASDPESAASSLSGASEEGSASERRRQKQKGGASRRRFGKPKARERQRVKDADGVLCRYKKILGTFQKLKSMSRAFEHHRVDRNTVALTTPIAELLIVAPEKLAEVGEFDPSKERLLEYSRRCFLALDDETLKKVQALKKSKLLLPITYRFKR
+>DECOY_sp|Q9BWC9|CC106_HUMAN Coiled-coil domain-containing protein 106 OS=Homo sapiens OX=9606 GN=CCDC106 PE=1 SV=1
+RKFRYTIPLLLKSKKLAQVKKLTEDDLALFCRRSYELLREKSPDFEGVEALKEPAVILLEAIPTTLAVTNRDVRHHEFARSMSKLKQFTGLIKKYRCLVGDADKVRQRERAKPKGFRRRSAGGKQKQRRRESASGEESAGSLSSAASEPDSAEGGAGGRSDGEMRRPGPKMRCHDEAEMRASSIFKDLQCRLFDREEELDEIRKQLWSNRELAMHLQTKVSNMLALASSAAEPPEEFNRRSPSLSYFIQPDLHPAEDFPISIEFTEDDKMTRRRSSRDNM
+>sp|Q5T0U0|CC122_HUMAN Coiled-coil domain-containing protein 122 OS=Homo sapiens OX=9606 GN=CCDC122 PE=2 SV=1
+MSDNKERKSQGFPKEDNQDTSSLADAVEKVAKQQQSQASEIEKNKKVLFNLKNELHELEKEIAAISAETKETERQIYQQDSAIENTKLHCDSLETQIKSLHSENVKLKFDIETAQEDFEEHMIKYNAYYAKIKAHKNSLGEVESKWSFMTELHEKRDFVKKLKTMKEELMQDLQNPGGNRITQVQEDITNLKDKIITVKESIIEKTCFLEEEKKTHEKLRKEIEVQHKRYDAILKRLHCQVNKLQSNRRQWQWNIQQLEKTAAELRKCIGMQE
+>DECOY_sp|Q5T0U0|CC122_HUMAN Coiled-coil domain-containing protein 122 OS=Homo sapiens OX=9606 GN=CCDC122 PE=2 SV=1
+EQMGICKRLEAATKELQQINWQWQRRNSQLKNVQCHLRKLIADYRKHQVEIEKRLKEHTKKEEELFCTKEIISEKVTIIKDKLNTIDEQVQTIRNGGPNQLDQMLEEKMTKLKKVFDRKEHLETMFSWKSEVEGLSNKHAKIKAYYANYKIMHEEFDEQATEIDFKLKVNESHLSKIQTELSDCHLKTNEIASDQQYIQRETEKTEASIAAIEKELEHLENKLNFLVKKNKEIESAQSQQQKAVKEVADALSSTDQNDEKPFGQSKREKNDSM
+>sp|Q96M89|CC138_HUMAN Coiled-coil domain-containing protein 138 OS=Homo sapiens OX=9606 GN=CCDC138 PE=1 SV=1
+MEPRVVKPPGQDLVVESLKSRYGLGGSCPDEYDFSNFYQSKYKRRTLTSPGDLDIYSGDKVGSSLKYSDESKHCRTPLGSLFKHVNVNCLDDELDSFHDLKKQETEEELIENDYRVSTSKITKQSFKEIEKVALPTNTTSSRPRTECCSDAGDSPLKPVSCPKSKASDKRSLLPHQISQIYDELFQIHLKLQCETAAQQKFAEELQKRERFLLEREQLLFRHENALSKIKGVEEEVLTRFQIIKEQHDAEVEHLTEVLKEKNKETKRLRSSFDALKELNDTLKKQLNEASEENRKIDIQAKRVQARLDNLQRKYEFMTIQRLKGSSHAVHEMKSLKQEKAPVSKTYKVPLNGQVYELLTVFMDWISDHHLSKVKHEESGMDGKKPQLKFASQRNDIQEKCVKLLPLMTEQLQWMPFVNIKLHEPFVKFIYWSLRQLDAGAQHSTMTSTLRRLGEDIFKGVVTKGIQDNSPQHSVENKPKTAAFFKSSNLPLRFLSTLIVLKTVTQADYLAQAFDSLCLDLKTEEGKTLFLEYQAVPVILSHLRISSKGLLSNVIDSLLQMTVESKSLQPFLEACSNSLFFRTCSVLLRAPKLDLQILEKLSIILQKLSKIKSNKKLFELFTIHLMLQEIQRTTNPEHAFLCINLNSTLFNLGLTKCNSLVSSASP
+>DECOY_sp|Q96M89|CC138_HUMAN Coiled-coil domain-containing protein 138 OS=Homo sapiens OX=9606 GN=CCDC138 PE=1 SV=1
+PSASSVLSNCKTLGLNFLTSNLNICLFAHEPNTTRQIEQLMLHITFLEFLKKNSKIKSLKQLIISLKELIQLDLKPARLLVSCTRFFLSNSCAELFPQLSKSEVTMQLLSDIVNSLLGKSSIRLHSLIVPVAQYELFLTKGEETKLDLCLSDFAQALYDAQTVTKLVILTSLFRLPLNSSKFFAATKPKNEVSHQPSNDQIGKTVVGKFIDEGLRRLTSTMTSHQAGADLQRLSWYIFKVFPEHLKINVFPMWQLQETMLPLLKVCKEQIDNRQSAFKLQPKKGDMGSEEHKVKSLHHDSIWDMFVTLLEYVQGNLPVKYTKSVPAKEQKLSKMEHVAHSSGKLRQITMFEYKRQLNDLRAQVRKAQIDIKRNEESAENLQKKLTDNLEKLADFSSRLRKTEKNKEKLVETLHEVEADHQEKIIQFRTLVEEEVGKIKSLANEHRFLLQERELLFRERKQLEEAFKQQAATECQLKLHIQFLEDYIQSIQHPLLSRKDSAKSKPCSVPKLPSDGADSCCETRPRSSTTNTPLAVKEIEKFSQKTIKSTSVRYDNEILEEETEQKKLDHFSDLEDDLCNVNVHKFLSGLPTRCHKSEDSYKLSSGVKDGSYIDLDGPSTLTRRKYKSQYFNSFDYEDPCSGGLGYRSKLSEVVLDQGPPKVVRPEM
+>sp|Q8IYE0|CC146_HUMAN Coiled-coil domain-containing protein 146 OS=Homo sapiens OX=9606 GN=CCDC146 PE=1 SV=2
+MEDSSTDTEKEEEEEKDEKDQEPIYAIVPTINIQDERFVDLSETPAFIFLHELHAMGKLPGTRMAALKAKYTLLHDAVMSTQESEVQLLQNAKRFTEQIQQQQFHLQQADNFPEAFSTEVSKMREQLLKYQNEYNAVKEREFHNQYRLNSLKEEKIIIVKEFEKITKPGEMEKKMKILRESTEELRKEIMQKKLEIKNLREDLASKQKQLLKEQKELEELLGHQVVLKDEVAHHQTIPVQIGKEIEKITRKKVEMEKKKIVLEQEVKTLNDSLKKVENKVSAIVDEKENVIKEVEGKRALLEIKEREHNQLVKLLELARENEATSLTERGILDLNLRNSLIDKQNYHDELSRKQREKERDFRNLRKMELLLKVSWDALRQTQALHQRLLLEMEAIPKDDSTLSERRRELHKEVEVAKRNLAQQKIISEMESKLVEQQLAEENKLLKEQENMKELVVNLLRMTQIKIDEKEQKSKDFLKAQQKYTNIVKEMKAKDLEIRIHKKKKCEIYRRLREFAKLYDTIRNERNKFVNLLHKAHQKVNEIKERHKMSLNELEILRNSAVSQERKLQNSMLKHANNVTIRESMQNDVRKIVSKLQEMKEKKEAQLNNIDRLANTITMIEEEMVQLRKRYEKAVQHRNESGVQLIEREEEICIFYEKINIQEKMKLNGEIEIHLLEEKIQFLKMKIAEKQRQICVTQKLLPAKRSLDADLAVLQIQFSQCTDRIKDLEKQFVKPDGENRARFLPGKDLTEKEMIQKLDKLELQLAKKEEKLLEKDFIYEQVSRLTDRLCSKTQGCKQDTLLLAKKMNGYQRRIKNATEKMMALVAELSMKQALTIELQKEVREKEDFIFTCNSRIEKGLPLNKEIEKEWLKVLRDEEMHALAIAEKSQEFLEADNRQLPNGVYTTAEQRPNAYIPEADATLPLPKPYGALAPFKPSEPGANMRHIRKPVIKPVEI
+>DECOY_sp|Q8IYE0|CC146_HUMAN Coiled-coil domain-containing protein 146 OS=Homo sapiens OX=9606 GN=CCDC146 PE=1 SV=2
+IEVPKIVPKRIHRMNAGPESPKFPALAGYPKPLPLTADAEPIYANPRQEATTYVGNPLQRNDAELFEQSKEAIALAHMEEDRLVKLWEKEIEKNLPLGKEIRSNCTFIFDEKERVEKQLEITLAQKMSLEAVLAMMKETANKIRRQYGNMKKALLLTDQKCGQTKSCLRDTLRSVQEYIFDKELLKEEKKALQLELKDLKQIMEKETLDKGPLFRARNEGDPKVFQKELDKIRDTCQSFQIQLVALDADLSRKAPLLKQTVCIQRQKEAIKMKLFQIKEELLHIEIEGNLKMKEQINIKEYFICIEEEREILQVGSENRHQVAKEYRKRLQVMEEEIMTITNALRDINNLQAEKKEKMEQLKSVIKRVDNQMSERITVNNAHKLMSNQLKREQSVASNRLIELENLSMKHREKIENVKQHAKHLLNVFKNRENRITDYLKAFERLRRYIECKKKKHIRIELDKAKMEKVINTYKQQAKLFDKSKQEKEDIKIQTMRLLNVVLEKMNEQEKLLKNEEALQQEVLKSEMESIIKQQALNRKAVEVEKHLERRRESLTSDDKPIAEMELLLRQHLAQTQRLADWSVKLLLEMKRLNRFDREKERQKRSLEDHYNQKDILSNRLNLDLIGRETLSTAENERALELLKVLQNHEREKIELLARKGEVEKIVNEKEDVIASVKNEVKKLSDNLTKVEQELVIKKKEMEVKKRTIKEIEKGIQVPITQHHAVEDKLVVQHGLLEELEKQEKLLQKQKSALDERLNKIELKKQMIEKRLEETSERLIKMKKEMEGPKTIKEFEKVIIIKEEKLSNLRYQNHFEREKVANYENQYKLLQERMKSVETSFAEPFNDAQQLHFQQQQIQETFRKANQLLQVESEQTSMVADHLLTYKAKLAAMRTGPLKGMAHLEHLFIFAPTESLDVFREDQINITPVIAYIPEQDKEDKEEEEEKETDTSSDEM
+>sp|A5D8V7|CC151_HUMAN Coiled-coil domain-containing protein 151 OS=Homo sapiens OX=9606 GN=CCDC151 PE=1 SV=1
+MTSPLCRAASANALPPQDQASTPSSRVKGREASGKPSHLRGKGTAQAWTPGRSKGGSFHRGAGKPSVHSQVAELHKKIQLLEGDRKAFFESSQWNIKKNQETISQLRKETKALELKLLDLLKGDEKVVQAVIREWKWEKPYLKNRTGQALEHLDHRLREKVKQQNALRHQVVLRQRRLEELQLQHSLRLLEMAEAQNRHTEVAKTMRNLENRLEKAQMKAQEAEHITSVYLQLKAYLMDESLNLENRLDSMEAEVVRTKHELEALHVVNQEALNARDIAKNQLQYLEETLVRERKKRERYISECKKRAEEKKLENERMERKTHREHLLLQSDDTIQDSLHAKEEELRQRWSMYQMEVIFGKVKDATGTDETHSLVRRFLAQGDTFAQLETLKSENEQTLVRLKQEKQQLQRELEDLKYSGEATLVSQQKLQAEAQERLKKEERRHAEAKDQLERALRAMQVAKDSLEHLASKLIHITVEDGRFAGKELDPQADNYVPNLLGLVEEKLLKLQAQLQGHDVQEMLCHIANREFLASLEGRLPEYNTRIALPLATSKDKFFDEESEEEDNEVVTRASLKIRSQKLIESHKKHRRSRRS
+>DECOY_sp|A5D8V7|CC151_HUMAN Coiled-coil domain-containing protein 151 OS=Homo sapiens OX=9606 GN=CCDC151 PE=1 SV=1
+SRRSRRHKKHSEILKQSRIKLSARTVVENDEEESEEDFFKDKSTALPLAIRTNYEPLRGELSALFERNAIHCLMEQVDHGQLQAQLKLLKEEVLGLLNPVYNDAQPDLEKGAFRGDEVTIHILKSALHELSDKAVQMARLARELQDKAEAHRREEKKLREQAEAQLKQQSVLTAEGSYKLDELERQLQQKEQKLRVLTQENESKLTELQAFTDGQALFRRVLSHTEDTGTADKVKGFIVEMQYMSWRQRLEEEKAHLSDQITDDSQLLLHERHTKREMRENELKKEEARKKCESIYRERKKRERVLTEELYQLQNKAIDRANLAEQNVVHLAELEHKTRVVEAEMSDLRNELNLSEDMLYAKLQLYVSTIHEAEQAKMQAKELRNELNRMTKAVETHRNQAEAMELLRLSHQLQLEELRRQRLVVQHRLANQQKVKERLRHDLHELAQGTRNKLYPKEWKWERIVAQVVKEDGKLLDLLKLELAKTEKRLQSITEQNKKINWQSSEFFAKRDGELLQIKKHLEAVQSHVSPKGAGRHFSGGKSRGPTWAQATGKGRLHSPKGSAERGKVRSSPTSAQDQPPLANASAARCLPSTM
+>sp|A0A0D9SF12|CC163_HUMAN Transmembrane protein CCDC163 OS=Homo sapiens OX=9606 GN=CCDC163 PE=2 SV=1
+MNTSLSWFEQLDVLLNATDGNVVRNKQWLYPLGVSTELIGLCICFFCSSGCIFLGSPPQNSTAVTPAVLWEESEIMQKELKLLQYQLSQHQELLLKQLAEGRQAQVGSWKIPRGAPFLTWSPASFSSMPRVLSKRTYSFGAPKCS
+>DECOY_sp|A0A0D9SF12|CC163_HUMAN Transmembrane protein CCDC163 OS=Homo sapiens OX=9606 GN=CCDC163 PE=2 SV=1
+SCKPAGFSYTRKSLVRPMSSFSAPSWTLFPAGRPIKWSGVQAQRGEALQKLLLEQHQSLQYQLLKLEKQMIESEEWLVAPTVATSNQPPSGLFICGSSCFFCICLGILETSVGLPYLWQKNRVVNGDTANLLVDLQEFWSLSTNM
+>sp|Q9P0B6|CC167_HUMAN Coiled-coil domain-containing protein 167 OS=Homo sapiens OX=9606 GN=CCDC167 PE=1 SV=2
+MTKKKRENLGVALEIDGLEEKLSQCRRDLEAVNSRLHSRELSPEARRSLEKEKNSLMNKASNYEKELKFLRQENRKNMLLSVAIFILLTLVYAYWTM
+>DECOY_sp|Q9P0B6|CC167_HUMAN Coiled-coil domain-containing protein 167 OS=Homo sapiens OX=9606 GN=CCDC167 PE=1 SV=2
+MTWYAYVLTLLIFIAVSLLMNKRNEQRLFKLEKEYNSAKNMLSNKEKELSRRAEPSLERSHLRSNVAELDRRCQSLKEELGDIELAVGLNERKKKTM
+>sp|A6NNP5|CC169_HUMAN Coiled-coil domain-containing protein 169 OS=Homo sapiens OX=9606 GN=CCDC169 PE=2 SV=4
+MKEERNYNFDGVSTNRLKQQLLEEVRKKDAVQLSIFELRHKITELEAKLNTDNEGSEWKTRYETQLELNDELEKQIVYLKEKVEKIHGNSSDRLSSIRVYERMPVESLNTLLKQLEEEKKTLESQVKYYALKLEQESKAYQKINNERRTYLAEMSQGSGLHQVSKRQQVDQLPRMQENLVKTGRYNPAKQKTVSAKRGPVKKITRPNHLPELHP
+>DECOY_sp|A6NNP5|CC169_HUMAN Coiled-coil domain-containing protein 169 OS=Homo sapiens OX=9606 GN=CCDC169 PE=2 SV=4
+PHLEPLHNPRTIKKVPGRKASVTKQKAPNYRGTKVLNEQMRPLQDVQQRKSVQHLGSGQSMEALYTRRENNIKQYAKSEQELKLAYYKVQSELTKKEEELQKLLTNLSEVPMREYVRISSLRDSSNGHIKEVKEKLYVIQKELEDNLELQTEYRTKWESGENDTNLKAELETIKHRLEFISLQVADKKRVEELLQQKLRNTSVGDFNYNREEKM
+>sp|Q0VFZ6|CC173_HUMAN Coiled-coil domain-containing protein 173 OS=Homo sapiens OX=9606 GN=CCDC173 PE=2 SV=2
+MDTSSEMLVRFGRRCGRAKESTEIRNSEEDQVLYLPLLPSKVDLQQVTIIPHDEWKRIQDSLDRLTREAACLRAERKAKKEMHLRSQEVVKHWTNTYAGMKEQKLEAKKKRDEEIEAERQILDLEEEIYKQGKRKKAIENAKQYQFYQTERVKNFHSGLLLSRVMKERDAQIEFRKSKIKSDKKWEEQLKLNIEKAFKEEQEKAEKRHRERVALAKDHLKQIKEHEEEEERRKKYEEKDAEEIKRQNALYEIEMRKKLEKKREEMHESRRRFLEHMQDKHIIKAVEQQQQEEEDEKMRKFIKAKKRLIQMGKEKEAETHRLMEKRRERIHNFLSELLKEKLDNEDMIIARDIAEAEAEWEKREREKDEKNKAELKTIAEYRAIVMKNKEEEERQRKIEAKEQLLAVMKADQIFWEHEKEKKCKADKEHQEVQDAHIQQMAKNKFNAKQAKQAELDYCRLTEALVAEKEKEFQDYAREVIELESETTNKYIYPLVKAVQEGPGGGRGPVFVDRGGLRPSYQANDVTGVQLPFYNSQGPKYNFQKSKRRLGFTW
+>DECOY_sp|Q0VFZ6|CC173_HUMAN Coiled-coil domain-containing protein 173 OS=Homo sapiens OX=9606 GN=CCDC173 PE=2 SV=2
+WTFGLRRKSKQFNYKPGQSNYFPLQVGTVDNAQYSPRLGGRDVFVPGRGGGPGEQVAKVLPYIYKNTTESELEIVERAYDQFEKEKEAVLAETLRCYDLEAQKAQKANFKNKAMQQIHADQVEQHEKDAKCKKEKEHEWFIQDAKMVALLQEKAEIKRQREEEEKNKMVIARYEAITKLEAKNKEDKERERKEWEAEAEAIDRAIIMDENDLKEKLLESLFNHIRERRKEMLRHTEAEKEKGMQILRKKAKIFKRMKEDEEEQQQQEVAKIIHKDQMHELFRRRSEHMEERKKELKKRMEIEYLANQRKIEEADKEEYKKRREEEEEHEKIQKLHDKALAVRERHRKEAKEQEEKFAKEINLKLQEEWKKDSKIKSKRFEIQADREKMVRSLLLGSHFNKVRETQYFQYQKANEIAKKRKGQKYIEEELDLIQREAEIEEDRKKKAELKQEKMGAYTNTWHKVVEQSRLHMEKKAKREARLCAAERTLRDLSDQIRKWEDHPIITVQQLDVKSPLLPLYLVQDEESNRIETSEKARGCRRGFRVLMESSTDM
+>sp|Q5BJE1|CC178_HUMAN Coiled-coil domain-containing protein 178 OS=Homo sapiens OX=9606 GN=CCDC178 PE=2 SV=3
+MTENKTVSSSSTRDDQTNIGLTCQEVKALREKAWSRTNEGNAMSQSLVLYGASKENSEGFHESKMTNTEGVNKGIYFSYPCRRHSCAVVNIPAPCVNKMISHIQDVESKIQEHLKRFETSFEEWSRTSSTKDLKEDWSVTTPVKEVKPGEKRDEKCPELKQEMETLLSEAIRLIKSLETDRADAEEALKQQRSRKNMINMKIDSWSVWKLQELPLAVQKEHEAYLSDVIELQWHLEDKANQLQHFEKQKTELEEANAKIQADIDYMNEHGPLLDSKQNQELQDLKNHYKKKMEVMDLHRKVNEELEEALEACENARLKAQQIKEEIDKDIYQDEKTIEAYKREIYQLNSLFDHYSSSVINVNTNIEEKEEEVTEAIRETKSSKNELHSLSKMLEDLRRVYDQLTWKQKSHENQYLEAVNDFYAAKKTWDIELSDVAKDFSAISLACTKLTEDNKKLEIDINKITVKTNESIRKKSKYESEIKYLTIMKLKNDKHLKNIYKEAYRIGTLFHLTKHKTDEMEDKIAEVRRKFKGREEFLKKLTQGEVAAGMVLQKKLYSIYEVQALERKELIKNRAICAMSLAELQEPLLQLEDEAERIRSLDKEHSVMLNNIIDQKDLIRRKVGKVKKKLRKKGKKTLDALIETESKRSAIFKDLEATKSKTMIFYAKINELNEELKAKEEEKKSFDQTLEILKNKFITMRFKREHAQTVFDHYMQEKKDCEERIFEEDQRFRVLLAVRQKTLQDTQKIIADSLEENLRLAQEYQQLQITFLKEKDNYFNIYDKQLSLDTSIRDKKQLCQLQRRMHTLWQEHFKLVVLFSQMRLANFQTDSQESIQKILAVQEESSNLMQHILGFFQTLTDGTCENDG
+>DECOY_sp|Q5BJE1|CC178_HUMAN Coiled-coil domain-containing protein 178 OS=Homo sapiens OX=9606 GN=CCDC178 PE=2 SV=3
+GDNECTGDTLTQFFGLIHQMLNSSEEQVALIKQISEQSDTQFNALRMQSFLVVLKFHEQWLTHMRRQLQCLQKKDRISTDLSLQKDYINFYNDKEKLFTIQLQQYEQALRLNEELSDAIIKQTDQLTKQRVALLVRFRQDEEFIREECDKKEQMYHDFVTQAHERKFRMTIFKNKLIELTQDFSKKEEEKAKLEENLENIKAYFIMTKSKTAELDKFIASRKSETEILADLTKKGKKRLKKKVKGVKRRILDKQDIINNLMVSHEKDLSRIREAEDELQLLPEQLEALSMACIARNKILEKRELAQVEYISYLKKQLVMGAAVEGQTLKKLFEERGKFKRRVEAIKDEMEDTKHKTLHFLTGIRYAEKYINKLHKDNKLKMITLYKIESEYKSKKRISENTKVTIKNIDIELKKNDETLKTCALSIASFDKAVDSLEIDWTKKAAYFDNVAELYQNEHSKQKWTLQDYVRRLDELMKSLSHLENKSSKTERIAETVEEEKEEINTNVNIVSSSYHDFLSNLQYIERKYAEITKEDQYIDKDIEEKIQQAKLRANECAELAEELEENVKRHLDMVEMKKKYHNKLDQLEQNQKSDLLPGHENMYDIDAQIKANAEELETKQKEFHQLQNAKDELHWQLEIVDSLYAEHEKQVALPLEQLKWVSWSDIKMNIMNKRSRQQKLAEEADARDTELSKILRIAESLLTEMEQKLEPCKEDRKEGPKVEKVPTTVSWDEKLDKTSSTRSWEEFSTEFRKLHEQIKSEVDQIHSIMKNVCPAPINVVACSHRRCPYSFYIGKNVGETNTMKSEHFGESNEKSAGYLVLSQSMANGENTRSWAKERLAKVEQCTLGINTQDDRTSSSSVTKNETM
+>sp|Q5TID7|CC181_HUMAN Coiled-coil domain-containing protein 181 OS=Homo sapiens OX=9606 GN=CCDC181 PE=2 SV=1
+MNENKDTDSKKSEEYEDDFEKDLEWLINENEKSDASIIEMACEKEENINQDLKENETVMEHTKRHSDPDKSLQDEVSPRRNDIISVPGIQPLDPISDSDSENSFQESKLESQKDLEEEEDEEVRRYIMEKIVQANKLLQNQEPVNDKRERKLKFKDQLVDLEVPPLEDTTTFKNYFENERNMFGKLSQLCISNDFGQEDVLLSLTNGSCEENKDRTILVERDGKFELLNLQDIASQGFLPPINNANSTENDPQQLLPRSSNSSVSGTKKEDSTAKIHAVTHSSTGEPLAYIAQPPLNRKTCPSSAVNSDRSKGNGKSNHRTQSAHISPVTSTYCLSPRQKELQKQLEEKREKLKREEERRKIEEEKEKKRENDIVFKAWLQKKREQVLEMRRIQRAKEIEDMNSRQENRDPQQAFRLWLKKKHEEQMKERQTEELRKQEECLFFLKGTEGRERAFKQWLRRKRMEKMAEQQAVRERTRQLRLEAKRSKQLQHHLYMSEAKPFRFTDHYN
+>DECOY_sp|Q5TID7|CC181_HUMAN Coiled-coil domain-containing protein 181 OS=Homo sapiens OX=9606 GN=CCDC181 PE=2 SV=1
+NYHDTFRFPKAESMYLHHQLQKSRKAELRLQRTRERVAQQEAMKEMRKRRLWQKFARERGETGKLFFLCEEQKRLEETQREKMQEEHKKKLWLRFAQQPDRNEQRSNMDEIEKARQIRRMELVQERKKQLWAKFVIDNERKKEKEEEIKRREEERKLKERKEELQKQLEKQRPSLCYTSTVPSIHASQTRHNSKGNGKSRDSNVASSPCTKRNLPPQAIYALPEGTSSHTVAHIKATSDEKKTGSVSSNSSRPLLQQPDNETSNANNIPPLFGQSAIDQLNLLEFKGDREVLITRDKNEECSGNTLSLLVDEQGFDNSICLQSLKGFMNRENEFYNKFTTTDELPPVELDVLQDKFKLKRERKDNVPEQNQLLKNAQVIKEMIYRRVEEDEEEELDKQSELKSEQFSNESDSDSIPDLPQIGPVSIIDNRRPSVEDQLSKDPDSHRKTHEMVTENEKLDQNINEEKECAMEIISADSKENENILWELDKEFDDEYEESKKSDTDKNENM
+>sp|A6NF36|CC182_HUMAN Coiled-coil domain-containing protein 182 OS=Homo sapiens OX=9606 GN=CCDC182 PE=2 SV=1
+MEPLYQAGSILMTVNTLQGKKMIESGLQSGDFSLSQSWPSCLPPPADLEILQQKVAGVQRELEDFKKEALKSIHYLEDAFCEMNGALVQQEEQAARVRQRLREEEDRGIVRNKVLTFLLPREKQLREHCKRLEDLLLDRGRDALRATKKSQAD
+>DECOY_sp|A6NF36|CC182_HUMAN Coiled-coil domain-containing protein 182 OS=Homo sapiens OX=9606 GN=CCDC182 PE=2 SV=1
+DAQSKKTARLADRGRDLLLDELRKCHERLQKERPLLFTLVKNRVIGRDEEERLRQRVRAAQEEQQVLAGNMECFADELYHISKLAEKKFDELERQVGAVKQQLIELDAPPPLCSPWSQSLSFDGSQLGSEIMKKGQLTNVTMLISGAQYLPEM
+>sp|H7C350|CC188_HUMAN Coiled-coil domain-containing protein 188 OS=Homo sapiens OX=9606 GN=CCDC188 PE=3 SV=2
+MEGLKTLGPCGHPHPQCPPTPASSSHGGGLDQPCQGFVGWPCLGPISSAHSVQSQRPFPVPGAGGSGPTVEGEAPGLFLSSQEQRARDTEGPRQGDLEAGLGWGWPLHPGSNQGAPRQGGSIGSGTRPCPCPPLSREGGALASPRVALSQLQCGLLGSAEQSFLQLEQENHSLKRQNQELREQLGALLGPGQQFLPLCPEHSSCTALAWPPDPAGTQPLGNRAPLQLLRRELCQGQEAFVQQSQNELQQIRLCFERKKMVITEVWDNVAEMHMALNNQATGLLNLKKDIRGVLDQMEDIQLEILRERAQCRTRARKEKQMASMSKGRPKLGSSKGLAGQLWLLTLRLLLGALLVWTAAYVYVVNPTPFEGLVPPLLSRATVWKLRALLDPFLRLKVDGFLPF
+>DECOY_sp|H7C350|CC188_HUMAN Coiled-coil domain-containing protein 188 OS=Homo sapiens OX=9606 GN=CCDC188 PE=3 SV=2
+FPLFGDVKLRLFPDLLARLKWVTARSLLPPVLGEFPTPNVVYVYAATWVLLAGLLLRLTLLWLQGALGKSSGLKPRGKSMSAMQKEKRARTRCQARERLIELQIDEMQDLVGRIDKKLNLLGTAQNNLAMHMEAVNDWVETIVMKKREFCLRIQQLENQSQQVFAEQGQCLERRLLQLPARNGLPQTGAPDPPWALATCSSHEPCLPLFQQGPGLLAGLQERLEQNQRKLSHNEQELQLFSQEASGLLGCQLQSLAVRPSALAGGERSLPPCPCPRTGSGISGGQRPAGQNSGPHLPWGWGLGAELDGQRPGETDRARQEQSSLFLGPAEGEVTPGSGGAGPVPFPRQSQVSHASSIPGLCPWGVFGQCPQDLGGGHSSSAPTPPCQPHPHGCPGLTKLGEM
+>sp|Q8NCU4|CC191_HUMAN Coiled-coil domain-containing protein 191 OS=Homo sapiens OX=9606 GN=CCDC191 PE=2 SV=1
+MLLAPQGRSFSKKRMGLNRWKRFTRKPSPKPTFGPDSVEHWIKRVEKASEFAVSNAFFTRNSDLPRSPWGQITDLKTSEQIEDHDEIYAEAQELVNDWLDTKLKQELASEEEGDAKNTVSSVTIMPEANGHLKYDKFDDLCGYLEEEEESTTVQKFIDHLLHKNVVDSAMMEDLGRKENQDKKQQKDPRLTMEMRHKQVKENRLRREKELEYQRIEKTLKKSAFLEAQCLVQEEKKRKALEAKKEEEEIQREMVKLRREIIERRRTVKAAWKIEKKRQEENSQNSSEKVMFQSTHILPDEEKMVKERKRKLKEVLIQTFKENQQCQKRYFAAWHKLILDHRIKLGKAGTLSDWKIQLKVLRAWRDYTRFQKLERETQALENDLREENRKQQLATEYNRKQVLRHCFTEWQHWHGAELLKRELALTKEETRKKMDALLQAASLGKLSANGLSGISLPEEATAMVGPPVKNGQETAVPPLWEKPPLGSSGCMLSPPLGRTTTGNLQGSLQNVSLSAPGNKQHKTLGAEPSQQPGSNETLRTTSQKAEPLCLGHFHNRHVFQQQLIEKQKKKLQEQQKTILELKKNLQLAEAQWAAEHALAVTEAQSHLLSKPREEEPRTCQMLVNSPVASPGTEGRSDSRNSLSGLRRKPKQLMTPHPILKAMEERAIQRAECRRILAEKKKKQEEEKLAQLKAQEEERQKREAEEKEAQLERKREEKRLKKMKELEKQKRIKRNQQLEAIAKEHYERVLLRKKGLEPWKRLRMQSKQNIQVAEEHYSLFLQRKYMLTWFQRSQESLARKMAQADQFYSQILLKRVIQSWLQYVIDLQEEVRKFCVHFLQKKIFRAWFNMVREVKIDSQGKHEIAAEHSDRRILWITLRTWKKFVKFMKEERVKEERRQQLRRKVVEILPDFQVPGRYHELYQQSDTWSLSKTSLVNE
+>DECOY_sp|Q8NCU4|CC191_HUMAN Coiled-coil domain-containing protein 191 OS=Homo sapiens OX=9606 GN=CCDC191 PE=2 SV=1
+ENVLSTKSLSWTDSQQYLEHYRGPVQFDPLIEVVKRRLQQRREEKVREEKMFKVFKKWTRLTIWLIRRDSHEAAIEHKGQSDIKVERVMNFWARFIKKQLFHVCFKRVEEQLDIVYQLWSQIVRKLLIQSYFQDAQAMKRALSEQSRQFWTLMYKRQLFLSYHEEAVQINQKSQMRLRKWPELGKKRLLVREYHEKAIAELQQNRKIRKQKELEKMKKLRKEERKRELQAEKEEAERKQREEEQAKLQALKEEEQKKKKEALIRRCEARQIAREEMAKLIPHPTMLQKPKRRLGSLSNRSDSRGETGPSAVPSNVLMQCTRPEEERPKSLLHSQAETVALAHEAAWQAEALQLNKKLELITKQQEQLKKKQKEILQQQFVHRNHFHGLCLPEAKQSTTRLTENSGPQQSPEAGLTKHQKNGPASLSVNQLSGQLNGTTTRGLPPSLMCGSSGLPPKEWLPPVATEQGNKVPPGVMATAEEPLSIGSLGNASLKGLSAAQLLADMKKRTEEKTLALERKLLEAGHWHQWETFCHRLVQKRNYETALQQKRNEERLDNELAQTERELKQFRTYDRWARLVKLQIKWDSLTGAKGLKIRHDLILKHWAAFYRKQCQQNEKFTQILVEKLKRKREKVMKEEDPLIHTSQFMVKESSNQSNEEQRKKEIKWAAKVTRRREIIERRLKVMERQIEEEEKKAELAKRKKEEQVLCQAELFASKKLTKEIRQYELEKERRLRNEKVQKHRMEMTLRPDKQQKKDQNEKRGLDEMMASDVVNKHLLHDIFKQVTTSEEEEELYGCLDDFKDYKLHGNAEPMITVSSVTNKADGEEESALEQKLKTDLWDNVLEQAEAYIEDHDEIQESTKLDTIQGWPSRPLDSNRTFFANSVAFESAKEVRKIWHEVSDPGFTPKPSPKRTFRKWRNLGMRKKSFSRGQPALLM
+>sp|Q8IWP9|CC28A_HUMAN Coiled-coil domain-containing protein 28A OS=Homo sapiens OX=9606 GN=CCDC28A PE=1 SV=1
+MPRAEPRATLGEQEKAGLPLGAWRLYLLRHFRKQTELRRSGSRDVTGALLVAAAVASEAVGSLRVAEGGPNTLLLQVLRSWPWCNKELKTMEERKVKRRSPKSFSAHCTQVVNAKKNAIPVSKSTGFSNPASQSTSQRPKLKRVMKEKTKPQGGEGKGAQSTPIQHSFLTDVSDVQEMERGLLSLLNDFHSGKLQAFGNECSIEQMEHVRGMQEKLARLNLELYGELEELPEDKRKTASDSNLDRLLSDLEELNSSIQKLHLADAQDVPNTSAS
+>DECOY_sp|Q8IWP9|CC28A_HUMAN Coiled-coil domain-containing protein 28A OS=Homo sapiens OX=9606 GN=CCDC28A PE=1 SV=1
+SASTNPVDQADALHLKQISSNLEELDSLLRDLNSDSATKRKDEPLEELEGYLELNLRALKEQMGRVHEMQEISCENGFAQLKGSHFDNLLSLLGREMEQVDSVDTLFSHQIPTSQAGKGEGGQPKTKEKMVRKLKPRQSTSQSAPNSFGTSKSVPIANKKANVVQTCHASFSKPSRRKVKREEMTKLEKNCWPWSRLVQLLLTNPGGEAVRLSGVAESAVAAAVLLAGTVDRSGSRRLETQKRFHRLLYLRWAGLPLGAKEQEGLTARPEARPM
+>sp|Q8NHW4|CC4L_HUMAN C-C motif chemokine 4-like OS=Homo sapiens OX=9606 GN=CCL4L1 PE=1 SV=1
+MKLCVTVLSLLVLVAAFCSLALSAPMGSDPPTACCFSYTARKLPRNFVVDYYETSSLCSQPAVVFQTKRGKQVCADPSESWVQEYVYDLELN
+>DECOY_sp|Q8NHW4|CC4L_HUMAN C-C motif chemokine 4-like OS=Homo sapiens OX=9606 GN=CCL4L1 PE=1 SV=1
+NLELDYVYEQVWSESPDACVQKGRKTQFVVAPQSCLSSTEYYDVVFNRPLKRATYSFCCATPPDSGMPASLALSCFAAVLVLLSLVTVCLKM
+>sp|Q96AQ1|CC74A_HUMAN Coiled-coil domain-containing protein 74A OS=Homo sapiens OX=9606 GN=CCDC74A PE=2 SV=1
+MSGAGVAAGTRPPSSPTPGSRRRRQRPSVGVQSLRPQSPQLRQSDPQKRNLDLEKSLQFLQQQHSEMLAKLHEEIEHLKRENKDLHYKLIMNQTSQKKDGPSGNHLSRASAPLGARWVCINGVWVEPGGPSPARLKEGSSRTHRPGGKRGRLAGGSADTVRSPADSLSMSSFQSVKSISNSGKARPQPGSFNKQDSKADVSQKADLEEEPLLHNSKLDKVPGVQGQARKEKAEASNAGAACMGNSQHQGRQMGAGAHPPMILPLPLRKPTTLRQCEVLIRELWNTNLLQTQELRHLKSLLEGSQRPQAAPEEASFPRDQEATHFPKVSTKSLSKKCLSPPVAERAILPALKQTPKNNFAERQKRLQAMQKRRLHRSVL
+>DECOY_sp|Q96AQ1|CC74A_HUMAN Coiled-coil domain-containing protein 74A OS=Homo sapiens OX=9606 GN=CCDC74A PE=2 SV=1
+LVSRHLRRKQMAQLRKQREAFNNKPTQKLAPLIAREAVPPSLCKKSLSKTSVKPFHTAEQDRPFSAEEPAAQPRQSGELLSKLHRLEQTQLLNTNWLERILVECQRLTTPKRLPLPLIMPPHAGAGMQRGQHQSNGMCAAGANSAEAKEKRAQGQVGPVKDLKSNHLLPEEELDAKQSVDAKSDQKNFSGPQPRAKGSNSISKVSQFSSMSLSDAPSRVTDASGGALRGRKGGPRHTRSSGEKLRAPSPGGPEVWVGNICVWRAGLPASARSLHNGSPGDKKQSTQNMILKYHLDKNERKLHEIEEHLKALMESHQQQLFQLSKELDLNRKQPDSQRLQPSQPRLSQVGVSPRQRRRRSGPTPSSPPRTGAAVGAGSM
+>sp|Q8N163|CCAR2_HUMAN Cell cycle and apoptosis regulator protein 2 OS=Homo sapiens OX=9606 GN=CCAR2 PE=1 SV=2
+MSQFKRQRINPLPGGRNFSGTASTSLLGPPPGLLTPPVATELSQNARHLQGGEKQRVFTGIVTSLHDYFGVVDEEVFFQLSVVKGRLPQLGEKVLVKAAYNPGQAVPWNAVKVQTLSNQPLLKSPAPPLLHVAALGQKQGILGAQPQLIFQPHRIPPLFPQKPLSLFQTSHTLHLSHLNRFPARGPHGRLDQGRSDDYDSKKRKQRAGGEPWGAKKPRHDLPPYRVHLTPYTVDSPICDFLELQRRYRSLLVPSDFLSVHLSWLSAFPLSQPFSLHHPSRIQVSSEKEAAPDAGAEPITADSDPAYSSKVLLLSSPGLEELYRCCMLFVDDMAEPRETPEHPLKQIKFLLGRKEEEAVLVGGEWSPSLDGLDPQADPQVLVRTAIRCAQAQTGIDLSGCTKWWRFAEFQYLQPGPPRRLQTVVVYLPDVWTIMPTLEEWEALCQQKAAEAAPPTQEAQGETEPTEQAPDALEQAADTSRRNAETPEATTQQETDTDLPEAPPPPLEPAVIARPGCVNLSLHGIVEDRRPKERISFEVMVLAELFLEMLQRDFGYRVYKMLLSLPEKVVSPPEPEKEEAAKEEATKEEEAIKEEVVKEPKDEAQNEGPATESEAPLKEDGLLPKPLSSGGEEEEKPRGEASEDLCEMALDPELLLLRDDGEEEFAGAKLEDSEVRSVASNQSEMEFSSLQDMPKELDPSAVLPLDCLLAFVFFDANWCGYLHRRDLERILLTLGIRLSAEQAKQLVSRVVTQNICQYRSLQYSRQEGLDGGLPEEVLFGNLDLLPPPGKSTKPGAAPTEHKALVSHNGSLINVGSLLQRAEQQDSGRLYLENKIHTLELKLEESHNRFSATEVTNKTLAAEMQELRVRLAEAEETARTAERQKSQLQRLLQELRRRLTPLQLEIQRVVEKADSWVEKEEPAPSN
+>DECOY_sp|Q8N163|CCAR2_HUMAN Cell cycle and apoptosis regulator protein 2 OS=Homo sapiens OX=9606 GN=CCAR2 PE=1 SV=2
+NSPAPEEKEVWSDAKEVVRQIELQLPTLRRRLEQLLRQLQSKQREATRATEEAEALRVRLEQMEAALTKNTVETASFRNHSEELKLELTHIKNELYLRGSDQQEARQLLSGVNILSGNHSVLAKHETPAAGPKTSKGPPPLLDLNGFLVEEPLGGDLGEQRSYQLSRYQCINQTVVRSVLQKAQEASLRIGLTLLIRELDRRHLYGCWNADFFVFALLCDLPLVASPDLEKPMDQLSSFEMESQNSAVSRVESDELKAGAFEEEGDDRLLLLEPDLAMECLDESAEGRPKEEEEGGSSLPKPLLGDEKLPAESETAPGENQAEDKPEKVVEEKIAEEEKTAEEKAAEEKEPEPPSVVKEPLSLLMKYVRYGFDRQLMELFLEALVMVEFSIREKPRRDEVIGHLSLNVCGPRAIVAPELPPPPAEPLDTDTEQQTTAEPTEANRRSTDAAQELADPAQETPETEGQAEQTPPAAEAAKQQCLAEWEELTPMITWVDPLYVVVTQLRRPPGPQLYQFEAFRWWKTCGSLDIGTQAQACRIATRVLVQPDAQPDLGDLSPSWEGGVLVAEEEKRGLLFKIQKLPHEPTERPEAMDDVFLMCCRYLEELGPSSLLLVKSSYAPDSDATIPEAGADPAAEKESSVQIRSPHHLSFPQSLPFASLWSLHVSLFDSPVLLSRYRRQLELFDCIPSDVTYPTLHVRYPPLDHRPKKAGWPEGGARQKRKKSDYDDSRGQDLRGHPGRAPFRNLHSLHLTHSTQFLSLPKQPFLPPIRHPQFILQPQAGLIGQKQGLAAVHLLPPAPSKLLPQNSLTQVKVANWPVAQGPNYAAKVLVKEGLQPLRGKVVSLQFFVEEDVVGFYDHLSTVIGTFVRQKEGGQLHRANQSLETAVPPTLLGPPPGLLSTSATGSFNRGGPLPNIRQRKFQSM
+>sp|O60826|CCD22_HUMAN Coiled-coil domain-containing protein 22 OS=Homo sapiens OX=9606 GN=CCDC22 PE=1 SV=1
+MEEADRILIHSLRQAGTAVPPDVQTLRAFTTELVVEAVVRCLRVINPAVGSGLSPLLPLAMSARFRLAMSLAQACMDLGYPLELGYQNFLYPSEPDLRDLLLFLAERLPTDASEDADQPAGDSAILLRAIGSQIRDQLALPWVPPHLRTPKLQHLQGSALQKPFHASRLVVPELSSRGEPREFQASPLLLPVPTQVPQPVGRVASLLEHHALQLCQQTGRDRPGDEDWVHRTSRLPPQEDTRAQRQRLQKQLTEHLRQSWGLLGAPIQARDLGELLQAWGAGAKTGAPKGSRFTHSEKFTFHLEPQAQATQVSDVPATSRRPEQVTWAAQEQELESLREQLEGVNRSIEEVEADMKTLGVSFVQAESECRHSKLSTAEREQALRLKSRAVELLPDGTANLAKLQLVVENSAQRVIHLAGQWEKHRVPLLAEYRHLRKLQDCRELESSRRLAEIQELHQSVRAAAEEARRKEEVYKQLMSELETLPRDVSRLAYTQRILEIVGNIRKQKEEITKILSDTKELQKEINSLSGKLDRTFAVTDELVFKDAKKDDAVRKAYKYLAALHENCSQLIQTIEDTGTIMREVRDLEEQIETELGKKTLSNLEKIREDYRALRQENAGLLGRVREA
+>DECOY_sp|O60826|CCD22_HUMAN Coiled-coil domain-containing protein 22 OS=Homo sapiens OX=9606 GN=CCDC22 PE=1 SV=1
+AERVRGLLGANEQRLARYDERIKELNSLTKKGLETEIQEELDRVERMITGTDEITQILQSCNEHLAALYKYAKRVADDKKADKFVLEDTVAFTRDLKGSLSNIEKQLEKTDSLIKTIEEKQKRINGVIELIRQTYALRSVDRPLTELESMLQKYVEEKRRAEEAAARVSQHLEQIEALRRSSELERCDQLKRLHRYEALLPVRHKEWQGALHIVRQASNEVVLQLKALNATGDPLLEVARSKLRLAQEREATSLKSHRCESEAQVFSVGLTKMDAEVEEISRNVGELQERLSELEQEQAAWTVQEPRRSTAPVDSVQTAQAQPELHFTFKESHTFRSGKPAGTKAGAGWAQLLEGLDRAQIPAGLLGWSQRLHETLQKQLRQRQARTDEQPPLRSTRHVWDEDGPRDRGTQQCLQLAHHELLSAVRGVPQPVQTPVPLLLPSAQFERPEGRSSLEPVVLRSAHFPKQLASGQLHQLKPTRLHPPVWPLALQDRIQSGIARLLIASDGAPQDADESADTPLREALFLLLDRLDPESPYLFNQYGLELPYGLDMCAQALSMALRFRASMALPLLPSLGSGVAPNIVRLCRVVAEVVLETTFARLTQVDPPVATGAQRLSHILIRDAEEM
+>sp|Q5VVM6|CCD30_HUMAN Coiled-coil domain-containing protein 30 OS=Homo sapiens OX=9606 GN=CCDC30 PE=2 SV=1
+MSQEKNEMFESEWSKEREREKQLASGLDTAEKALKVESEELQKSKSELICLYNEVHNLPGESESKDHFLIACDLLQRENSELETKVLKLSQEFAQLNHFTLGGKTAPSNLITSENTCKDPESNEPILETEIQSRKEETEELCPKLGERKQKEIPEESVKEGSFPREGQKEEGSQQNRDMKDEEKEQQLTMKPEEIVRLREELSHINQSLLQSQSSGDSSDDSGAQHPSSGEKLKYNQQGEVQQLHQNLHRLQILCNSAENELRYERGQNLDLKQHNSLLQEENIKIKIELKHAQQKLLDSTKMCSSLTAEYKHCQQKIKELELEVLKHTQSIKSQNNLQEKLVQEKSKVADAEEKILDLQRKLEHAHKVCLTDTCISEKQQLEEKIKEATQNEAKVKQQYQEEQQKRKLLYQNVDELHRQVRTLQDKENLLEMTCSQQQSRIQQQEALLKQLENEKRKYDEHVKSNQELSEKLSKLQQEKEALREEYLRLLKLLNVHVRNYNEKHHQQKVKLQKVKYRLTNEVELRDKRINQFEDEIGILQHKIEKEKAIQDQITAQNDTLLLEKRKLQEQVIEQEQLIHSNKWTISSIQSRVLYMDKENKQLQENSLRLTQQIGFLERIIRSIHIRRGENLKEFPVPKWWHRGKLASLPPTKKQKEIYSTEVFTSNNAELQHEDESVPEATEKWKHSEQMETTISDILESEVVNEILPLSNSSFSGKGLVESFASLQETEEIKSKEAMASSKSPEKSPENLVCSQNSEAGYINVASLKETHGIQEQDQKSEL
+>DECOY_sp|Q5VVM6|CCD30_HUMAN Coiled-coil domain-containing protein 30 OS=Homo sapiens OX=9606 GN=CCDC30 PE=2 SV=1
+LESKQDQEQIGHTEKLSAVNIYGAESNQSCVLNEPSKEPSKSSAMAEKSKIEETEQLSAFSEVLGKGSFSSNSLPLIENVVESELIDSITTEMQESHKWKETAEPVSEDEHQLEANNSTFVETSYIEKQKKTPPLSALKGRHWWKPVPFEKLNEGRRIHISRIIRELFGIQQTLRLSNEQLQKNEKDMYLVRSQISSITWKNSHILQEQEIVQEQLKRKELLLTDNQATIQDQIAKEKEIKHQLIGIEDEFQNIRKDRLEVENTLRYKVKQLKVKQQHHKENYNRVHVNLLKLLRLYEERLAEKEQQLKSLKESLEQNSKVHEDYKRKENELQKLLAEQQQIRSQQQSCTMELLNEKDQLTRVQRHLEDVNQYLLKRKQQEEQYQQKVKAENQTAEKIKEELQQKESICTDTLCVKHAHELKRQLDLIKEEADAVKSKEQVLKEQLNNQSKISQTHKLVELELEKIKQQCHKYEATLSSCMKTSDLLKQQAHKLEIKIKINEEQLLSNHQKLDLNQGREYRLENEASNCLIQLRHLNQHLQQVEGQQNYKLKEGSSPHQAGSDDSSDGSSQSQLLSQNIHSLEERLRVIEEPKMTLQQEKEEDKMDRNQQSGEEKQGERPFSGEKVSEEPIEKQKREGLKPCLEETEEKRSQIETELIPENSEPDKCTNESTILNSPATKGGLTFHNLQAFEQSLKLVKTELESNERQLLDCAILFHDKSESEGPLNHVENYLCILESKSKQLEESEVKLAKEATDLGSALQKEREREKSWESEFMENKEQSM
+>sp|Q8IVM0|CCD50_HUMAN Coiled-coil domain-containing protein 50 OS=Homo sapiens OX=9606 GN=CCDC50 PE=1 SV=1
+MAEVSIDQSKLPGVKEVCRDFAVLEDHTLAHSLQEQEIEHHLASNVQRNRLVQHDLQVAKQLQEEDLKAQAQLQKRYKDLEQQDCEIAQEIQEKLAIEAERRRIQEKKDEDIARLLQEKELQEEKKRKKHFPEFPATRAYADSYYYEDGGMKPRVMKEAVSTPSRMAHRDQEWYDAEIARKLQEEELLATQVDMRAAQVAQDEEIARLLMAEEKKAYKKAKEREKSSLDKRKQDPEWKPKTAKAANSKSKESDEPHHSKNERPARPPPPIMTDGEDADYTHFTNQQSSTRHFSKSESSHKGFHYKH
+>DECOY_sp|Q8IVM0|CCD50_HUMAN Coiled-coil domain-containing protein 50 OS=Homo sapiens OX=9606 GN=CCDC50 PE=1 SV=1
+HKYHFGKHSSESKSFHRTSSQQNTFHTYDADEGDTMIPPPPRAPRENKSHHPEDSEKSKSNAAKATKPKWEPDQKRKDLSSKEREKAKKYAKKEEAMLLRAIEEDQAVQAARMDVQTALLEEEQLKRAIEADYWEQDRHAMRSPTSVAEKMVRPKMGGDEYYYSDAYARTAPFEPFHKKRKKEEQLEKEQLLRAIDEDKKEQIRRREAEIALKEQIEQAIECDQQELDKYRKQLQAQAKLDEEQLQKAVQLDHQVLRNRQVNSALHHEIEQEQLSHALTHDELVAFDRCVEKVGPLKSQDISVEAM
+>sp|Q8NEL0|CCD54_HUMAN Coiled-coil domain-containing protein 54 OS=Homo sapiens OX=9606 GN=CCDC54 PE=1 SV=2
+MYTLHTKRVKAAARQMWTSNLSKVRQSLKNVYHKCKIRHQDSTGYPTVTSDDCNQDDDSYDGKMNLPVVLQDVKTAQVELFSQMTDIVHMIPKVQEKTDLYQKQMEVLETRMNVNEDKQCTTTKDILSMKEDIKALKKKVTELEIQNSCSTIHCLEILEGERGKEITELLYKLIQPATLKNTLASTDMEISSAEPEKVPSYPKSTDHLEKKTISPQMKTLKKRNHQNASRSFEKAKPNIYIYPDFSTWIKLTFVHGGKWTFFLSATKLEEFIQWLLSRPTILPEEPQVITQRYCPFTGPILSLTTICLSIFNNIYGFICSLKEEVTRL
+>DECOY_sp|Q8NEL0|CCD54_HUMAN Coiled-coil domain-containing protein 54 OS=Homo sapiens OX=9606 GN=CCDC54 PE=1 SV=2
+LRTVEEKLSCIFGYINNFISLCITTLSLIPGTFPCYRQTIVQPEEPLITPRSLLWQIFEELKTASLFFTWKGGHVFTLKIWTSFDPYIYINPKAKEFSRSANQHNRKKLTKMQPSITKKELHDTSKPYSPVKEPEASSIEMDTSALTNKLTAPQILKYLLETIEKGREGELIELCHITSCSNQIELETVKKKLAKIDEKMSLIDKTTTCQKDENVNMRTELVEMQKQYLDTKEQVKPIMHVIDTMQSFLEVQATKVDQLVVPLNMKGDYSDDDQNCDDSTVTPYGTSDQHRIKCKHYVNKLSQRVKSLNSTWMQRAAAKVRKTHLTYM
+>sp|A6NI79|CCD69_HUMAN Coiled-coil domain-containing protein 69 OS=Homo sapiens OX=9606 GN=CCDC69 PE=1 SV=1
+MGCRHSRLSSCKPPKKKRQEPEPEQPPRPEPHELGPLNGDTAITVQLCASEEAERHQKDITRILQQHEEEKKKWAQQVEKERELELRDRLDEQQRVLEGKNEEALQVLRASYEQEKEALTHSFREASSTQQETIDRLTSQLEAFQAKMKRVEESILSRNYKKHIQDYGSPSQFWEQELESLHFVIEMKNERIHELDRRLILMETVKEKNLILEEKITTLQQENEDLHVRSRNQVVLSRQLSEDLLLTREALEKEVQLRRQLQQEKEELLYRVLGANASPAFPLAPVTPTEVSFLAT
+>DECOY_sp|A6NI79|CCD69_HUMAN Coiled-coil domain-containing protein 69 OS=Homo sapiens OX=9606 GN=CCDC69 PE=1 SV=1
+TALFSVETPTVPALPFAPSANAGLVRYLLEEKEQQLQRRLQVEKELAERTLLLDESLQRSLVVQNRSRVHLDENEQQLTTIKEELILNKEKVTEMLILRRDLEHIRENKMEIVFHLSELEQEWFQSPSGYDQIHKKYNRSLISEEVRKMKAQFAELQSTLRDITEQQTSSAERFSHTLAEKEQEYSARLVQLAEENKGELVRQQEDLRDRLELEREKEVQQAWKKKEEEHQQLIRTIDKQHREAEESACLQVTIATDGNLPGLEHPEPRPPQEPEPEQRKKKPPKCSSLRSHRCGM
+>sp|Q6NSX1|CCD70_HUMAN Coiled-coil domain-containing protein 70 OS=Homo sapiens OX=9606 GN=CCDC70 PE=1 SV=1
+MATPPFRLIRKMFSFKVSRWMGLACFRSLAASSPSIRQKKLMHKLQEEKAFREEMKIFREKIEDFREEMWTFRGKIHAFRGQILGFWEEERPFWEEEKTFWKEEKSFWEMEKSFREEEKTFWKKYRTFWKEDKAFWKEDNALWERDRNLLQEDKALWEEEKALWVEERALLEGEKALWEDKTSLWEEENALWEEERAFWMENNGHIAGEQMLEDGPHNANRGQRLLAFSRGRA
+>DECOY_sp|Q6NSX1|CCD70_HUMAN Coiled-coil domain-containing protein 70 OS=Homo sapiens OX=9606 GN=CCDC70 PE=1 SV=1
+ARGRSFALLRQGRNANHPGDELMQEGAIHGNNEMWFAREEEWLANEEEWLSTKDEWLAKEGELLAREEVWLAKEEEWLAKDEQLLNRDREWLANDEKWFAKDEKWFTRYKKWFTKEEERFSKEMEWFSKEEKWFTKEEEWFPREEEWFGLIQGRFAHIKGRFTWMEERFDEIKERFIKMEERFAKEEQLKHMLKKQRISPSSAALSRFCALGMWRSVKFSFMKRILRFPPTAM
+>sp|Q9H6F5|CCD86_HUMAN Coiled-coil domain-containing protein 86 OS=Homo sapiens OX=9606 GN=CCDC86 PE=1 SV=1
+MDTPLRRSRRLGGLRPESPESLTSVSRTRRALVEFESNPEETREPGSPPSVQRAGLGSPERPPKTSPGSPRLQQGAGLESPQGQPEPGAASPQRQQDLHLESPQRQPEYSPESPRCQPKPSEEAPKCSQDQGVLASELAQNKEELTPGAPQHQLPPVPGSPEPYPGQQAPGPEPSQPLLELTPRAPGSPRGQHEPSKPPPAGETVTGGFGAKKRKGSSSQAPASKKLNKEELPVIPKGKPKSGRVWKDRSKKRFSQMLQDKPLRTSWQRKMKERQERKLAKDFARHLEEEKERRRQEKKQRRAENLKRRLENERKAEVVQVIRNPAKLKRAKKKQLRSIEKRDTLALLQKQPPQQPAAKI
+>DECOY_sp|Q9H6F5|CCD86_HUMAN Coiled-coil domain-containing protein 86 OS=Homo sapiens OX=9606 GN=CCDC86 PE=1 SV=1
+IKAAPQQPPQKQLLALTDRKEISRLQKKKARKLKAPNRIVQVVEAKRENELRRKLNEARRQKKEQRRREKEEELHRAFDKALKREQREKMKRQWSTRLPKDQLMQSFRKKSRDKWVRGSKPKGKPIVPLEEKNLKKSAPAQSSSGKRKKAGFGGTVTEGAPPPKSPEHQGRPSGPARPTLELLPQSPEPGPAQQGPYPEPSGPVPPLQHQPAGPTLEEKNQALESALVGQDQSCKPAEESPKPQCRPSEPSYEPQRQPSELHLDQQRQPSAAGPEPQGQPSELGAGQQLRPSGPSTKPPREPSGLGARQVSPPSGPERTEEPNSEFEVLARRTRSVSTLSEPSEPRLGGLRRSRRLPTDM
+>sp|Q8N998|CCD89_HUMAN Coiled-coil domain-containing protein 89 OS=Homo sapiens OX=9606 GN=CCDC89 PE=2 SV=1
+MRAPMLQKQQAPRMDTPPPEERLEKQNEKLNNQEEETEFKELDGLREALANLRGLSEEERSEKAMLRSRIEEQSQLICILKRRSDEALERCQILELLNAELEEKMMQEAEKLKAQGEYSRKLEERFMTLAANHELMLRFKDEYKSENIKLREENEKLRLENSSLFSQALKDEEAKVLQLTVRCEALTGELETLKERCAQDACQAQAREKELLELQSQQACTHTKETEQLRSQLQTLKQQHQQAVEQIAKAEETHSSLSQELQARLQTVTREKEELLQLSIERGKVLQNKQAEICQLEEKLEIANEDRKHALERFEQEAVAVDSNLRVRELQRKVDGIQKAYDELRLQSEAFKKHSLDLLSKERELNGKLRHLSP
+>DECOY_sp|Q8N998|CCD89_HUMAN Coiled-coil domain-containing protein 89 OS=Homo sapiens OX=9606 GN=CCDC89 PE=2 SV=1
+PSLHRLKGNLEREKSLLDLSHKKFAESQLRLEDYAKQIGDVKRQLERVRLNSDVAVAEQEFRELAHKRDENAIELKEELQCIEAQKNQLVKGREISLQLLEEKERTVTQLRAQLEQSLSSHTEEAKAIQEVAQQHQQKLTQLQSRLQETEKTHTCAQQSQLELLEKERAQAQCADQACREKLTELEGTLAECRVTLQLVKAEEDKLAQSFLSSNELRLKENEERLKINESKYEDKFRLMLEHNAALTMFREELKRSYEGQAKLKEAEQMMKEELEANLLELIQCRELAEDSRRKLICILQSQEEIRSRLMAKESREEESLGRLNALAERLGDLEKFETEEEQNNLKENQKELREEPPPTDMRPAQQKQLMPARM
+>sp|Q96F63|CCD97_HUMAN Coiled-coil domain-containing protein 97 OS=Homo sapiens OX=9606 GN=CCDC97 PE=1 SV=1
+MEAVATATAAKEPDKGCIEPGPGHWGELSRTPVPSKPQDKVEAAEATPVALDSDTSGAENAAVSAMLHAVAASRLPVCSQQQGEPDLTEHEKVAILAQLYHEKPLVFLERFRTGLREEHLACFGHVRGDHRADFYCAEVARQGTARPRTLRTRLRNRRYAALRELIQGGEYFSDEQMRFRAPLLYEQYIGQYLTQEELSARTPTHQPPKPGSPGRPACPLSNLLLQSYEERELQQRLLQQQEEEEACLEEEEEEEDSDEEDQRSGKDSEAWVPDSEERLILREEFTSRMHQRFLDGKDGDFDYSTVDDNPDFDNLDIVARDEEERYFDEEEPEDAPSPELDGD
+>DECOY_sp|Q96F63|CCD97_HUMAN Coiled-coil domain-containing protein 97 OS=Homo sapiens OX=9606 GN=CCDC97 PE=1 SV=1
+DGDLEPSPADEPEEEDFYREEEDRAVIDLNDFDPNDDVTSYDFDGDKGDLFRQHMRSTFEERLILREESDPVWAESDKGSRQDEEDSDEEEEEEELCAEEEEQQQLLRQQLEREEYSQLLLNSLPCAPRGPSGPKPPQHTPTRASLEEQTLYQGIYQEYLLPARFRMQEDSFYEGGQILERLAAYRRNRLRTRLTRPRATGQRAVEACYFDARHDGRVHGFCALHEERLGTRFRELFVLPKEHYLQALIAVKEHETLDPEGQQQSCVPLRSAAVAHLMASVAANEAGSTDSDLAVPTAEAAEVKDQPKSPVPTRSLEGWHGPGPEICGKDPEKAATATAVAEM
+>sp|Q96M83|CCDC7_HUMAN Coiled-coil domain-containing protein 7 OS=Homo sapiens OX=9606 GN=CCDC7 PE=2 SV=3
+MKPVKHLLTTSNKSANVPALTTKKGLHNLPLSPELKEKHNAKLIHDKIEPMVLRSPPTGESILRYALPIPSSKTKNLLPEDEMIGKIIKHLKMVVSTLEETYGHCDQNGEEPFVKHEHEELSLSVGDDMNSFLTYCSQFAAQLEEALKEEQNILESLFKWFQWQVNQMEEISKDQTLLQAEPPKPDKTVILNIAEIVRLVQRFEELKNRLKQRSKSSVKVMLSKTMDKENRPEAVKSCEALAQKIEEFLEAHSTDEFKDVSATEPQTAHSMTNRFNAMLKVFENQANMLERAVNDQVLLDAEYKQMQCDFQLLSEEKLVLENELQKLKDKEKTKPTNNRTKKAVKTVKKKDKGKSEDSEKKMSPEKEFKIKEDLDQVQKVARLEIENKVLQEQLKQALQEAEKAKHQLNYFLNQEKLLKSEGKTETTMQVGNSQTKVKGEDSKNIPLEKETRKSLVSDSGGQRTSDKIQEYPQITAQSGRLIEKSSEKKRSSPAISDLSQILKSQDESAFLESSNEVSVAENQSYKSPSETHDKSLTTVSSSKEVQDSLSVGTLAQKNETVISPFILPPVLTESKKADVSEEQLQKMTEEQTYQAAEKSQADSEVPDENLMVENKDSVTKVQIEQMKQRTSSMERHEETLTTPQLPEDMVLVSRIQSETKNLKATRNESFHSHNDVPEENLMLEQDTKSKTEVEVKKQKSFQDNQLSTHNEVPNERLVVEHQESLSKTKLQIKKQETSTEQPLTTPDKEPNENLILRHQDSMSKSEMQVKEQRTLKGQRIITHDEEPGKNLVLEHQDSVSKLEMQIEKTKKLPREKRHSTHDEESGENPMLKHQDSVSKIQVQLEIQETSEGEGRSIPDKNSMFVHQDSVSKLQMQEKKKITPGRERRNTRIVVPNENVISVHQDSKSKLQMQEKKQINSGVERHKTFPLEIKKKDISLEHLLPEEKVLLSRSESQTKKLQAKVTSRKIKNEAASELPDTAENLPAMYPSISDLIIQFDLNKVVETDIESLRGALGRRLLNDEFKTQSKSFPGPDIEQLTDAFGRDILKDEFKTRSKSLPETDERLHSTTERGTINDAIKTQLKRKSYPETVLKHLKGVNGKDIIKHLINIQSKSHGETDKEHLADDTGRGIIKGSINAQLKGHQKTDKNFFAYATGRGLMKESTTTQLKSHPETDKEFLADAIGRGIIIGPITTQLKSHRETDKELLKDAIGRDIIKGPISAQLKSHQETDVEPLTNAIGSSKTIGEIKTQLRTHYDVNLFKNKDMSVQRQEGIFTRSITPSKFPTKVINLSPFENKEETYEYSSPYVTAPSKAIYRTYRAGPSFSKDIHLPLLNQLPSGHSKVVTLSQKTIEFTLPTVTNTVGKPTYKVLHAAARKSVPHPYF
+>DECOY_sp|Q96M83|CCDC7_HUMAN Coiled-coil domain-containing protein 7 OS=Homo sapiens OX=9606 GN=CCDC7 PE=2 SV=3
+FYPHPVSKRAAAHLVKYTPKGVTNTVTPLTFEITKQSLTVVKSHGSPLQNLLPLHIDKSFSPGARYTRYIAKSPATVYPSSYEYTEEKNEFPSLNIVKTPFKSPTISRTFIGEQRQVSMDKNKFLNVDYHTRLQTKIEGITKSSGIANTLPEVDTEQHSKLQASIPGKIIDRGIADKLLEKDTERHSKLQTTIPGIIIGRGIADALFEKDTEPHSKLQTTTSEKMLGRGTAYAFFNKDTKQHGKLQANISGKIIGRGTDDALHEKDTEGHSKSQINILHKIIDKGNVGKLHKLVTEPYSKRKLQTKIADNITGRETTSHLREDTEPLSKSRTKFEDKLIDRGFADTLQEIDPGPFSKSQTKFEDNLLRRGLAGRLSEIDTEVVKNLDFQIILDSISPYMAPLNEATDPLESAAENKIKRSTVKAQLKKTQSESRSLLVKEEPLLHELSIDKKKIELPFTKHREVGSNIQKKEQMQLKSKSDQHVSIVNENPVVIRTNRRERGPTIKKKEQMQLKSVSDQHVFMSNKDPISRGEGESTEQIELQVQIKSVSDQHKLMPNEGSEEDHTSHRKERPLKKTKEIQMELKSVSDQHELVLNKGPEEDHTIIRQGKLTRQEKVQMESKSMSDQHRLILNENPEKDPTTLPQETSTEQKKIQLKTKSLSEQHEVVLRENPVENHTSLQNDQFSKQKKVEVETKSKTDQELMLNEEPVDNHSHFSENRTAKLNKTESQIRSVLVMDEPLQPTTLTEEHREMSSTRQKMQEIQVKTVSDKNEVMLNEDPVESDAQSKEAAQYTQEETMKQLQEESVDAKKSETLVPPLIFPSIVTENKQALTGVSLSDQVEKSSSVTTLSKDHTESPSKYSQNEAVSVENSSELFASEDQSKLIQSLDSIAPSSRKKESSKEILRGSQATIQPYEQIKDSTRQGGSDSVLSKRTEKELPINKSDEGKVKTQSNGVQMTTETKGESKLLKEQNLFYNLQHKAKEAEQLAQKLQEQLVKNEIELRAVKQVQDLDEKIKFEKEPSMKKESDESKGKDKKKVTKVAKKTRNNTPKTKEKDKLKQLENELVLKEESLLQFDCQMQKYEADLLVQDNVARELMNAQNEFVKLMANFRNTMSHATQPETASVDKFEDTSHAELFEEIKQALAECSKVAEPRNEKDMTKSLMVKVSSKSRQKLRNKLEEFRQVLRVIEAINLIVTKDPKPPEAQLLTQDKSIEEMQNVQWQFWKFLSELINQEEKLAEELQAAFQSCYTLFSNMDDGVSLSLEEHEHKVFPEEGNQDCHGYTEELTSVVMKLHKIIKGIMEDEPLLNKTKSSPIPLAYRLISEGTPPSRLVMPEIKDHILKANHKEKLEPSLPLNHLGKKTTLAPVNASKNSTTLLHKVPKM
+>sp|Q9Y698|CCG2_HUMAN Voltage-dependent calcium channel gamma-2 subunit OS=Homo sapiens OX=9606 GN=CACNG2 PE=1 SV=1
+MGLFDRGVQMLLTTVGAFAAFSLMTIAVGTDYWLYSRGVCKTKSVSENETSKKNEEVMTHSGLWRTCCLEGNFKGLCKQIDHFPEDADYEADTAEYFLRAVRASSIFPILSVILLFMGGLCIAASEFYKTRHNIILSAGIFFVSAGLSNIIGIIVYISANAGDPSKSDSKKNSYSYGWSFYFGALSFIIAEMVGVLAVHMFIDRHKQLRATARATDYLQASAITRIPSYRYRYQRRSRSSSRSTEPSHSRDASPVGIKGFNTLPSTEISMYTLSRDPLKAATTPTATYNSDRDNSFLQVHNCIQKENKDSLHSNTANRRTTPV
+>DECOY_sp|Q9Y698|CCG2_HUMAN Voltage-dependent calcium channel gamma-2 subunit OS=Homo sapiens OX=9606 GN=CACNG2 PE=1 SV=1
+VPTTRRNATNSHLSDKNEKQICNHVQLFSNDRDSNYTATPTTAAKLPDRSLTYMSIETSPLTNFGKIGVPSADRSHSPETSRSSSRSRRQYRYRYSPIRTIASAQLYDTARATARLQKHRDIFMHVALVGVMEAIIFSLAGFYFSWGYSYSNKKSDSKSPDGANASIYVIIGIINSLGASVFFIGASLIINHRTKYFESAAICLGGMFLLIVSLIPFISSARVARLFYEATDAEYDADEPFHDIQKCLGKFNGELCCTRWLGSHTMVEENKKSTENESVSKTKCVGRSYLWYDTGVAITMLSFAAFAGVTTLLMQVGRDFLGM
+>sp|P32238|CCKAR_HUMAN Cholecystokinin receptor type A OS=Homo sapiens OX=9606 GN=CCKAR PE=1 SV=1
+MDVVDSLLVNGSNITPPCELGLENETLFCLDQPRPSKEWQPAVQILLYSLIFLLSVLGNTLVITVLIRNKRMRTVTNIFLLSLAVSDLMLCLFCMPFNLIPNLLKDFIFGSAVCKTTTYFMGTSVSVSTFNLVAISLERYGAICKPLQSRVWQTKSHALKVIAATWCLSFTIMTPYPIYSNLVPFTKNNNQTANMCRFLLPNDVMQQSWHTFLLLILFLIPGIVMMVAYGLISLELYQGIKFEASQKKSAKERKPSTTSSGKYEDSDGCYLQKTRPPRKLELRQLSTGSSSRANRIRSNSSAANLMAKKRVIRMLIVIVVLFFLCWMPIFSANAWRAYDTASAERRLSGTPISFILLLSYTSSCVNPIIYCFMNKRFRLGFMATFPCCPNPGPPGARGEVGEEEEGGTTGASLSRFSYSHMSASVPPQ
+>DECOY_sp|P32238|CCKAR_HUMAN Cholecystokinin receptor type A OS=Homo sapiens OX=9606 GN=CCKAR PE=1 SV=1
+QPPVSASMHSYSFRSLSAGTTGGEEEEGVEGRAGPPGPNPCCPFTAMFGLRFRKNMFCYIIPNVCSSTYSLLLIFSIPTGSLRREASATDYARWANASFIPMWCLFFLVVIVILMRIVRKKAMLNAASSNSRIRNARSSSGTSLQRLELKRPPRTKQLYCGDSDEYKGSSTTSPKREKASKKQSAEFKIGQYLELSILGYAVMMVIGPILFLILLLFTHWSQQMVDNPLLFRCMNATQNNNKTFPVLNSYIPYPTMITFSLCWTAAIVKLAHSKTQWVRSQLPKCIAGYRELSIAVLNFTSVSVSTGMFYTTTKCVASGFIFDKLLNPILNFPMCFLCLMLDSVALSLLFINTVTRMRKNRILVTIVLTNGLVSLLFILSYLLIQVAPQWEKSPRPQDLCFLTENELGLECPPTINSGNVLLSDVVDM
+>sp|P22362|CCL1_HUMAN C-C motif chemokine 1 OS=Homo sapiens OX=9606 GN=CCL1 PE=1 SV=1
+MQIITTALVCLLLAGMWPEDVDSKSMQVPFSRCCFSFAEQEIPLRAILCYRNTSSICSNEGLIFKLKRGKEACALDTVGWVQRHRKMLRHCPSKRK
+>DECOY_sp|P22362|CCL1_HUMAN C-C motif chemokine 1 OS=Homo sapiens OX=9606 GN=CCL1 PE=1 SV=1
+KRKSPCHRLMKRHRQVWGVTDLACAEKGRKLKFILGENSCISSTNRYCLIARLPIEQEAFSFCCRSFPVQMSKSDVDEPWMGALLLCVLATTIIQM
+>sp|P80098|CCL7_HUMAN C-C motif chemokine 7 OS=Homo sapiens OX=9606 GN=CCL7 PE=1 SV=3
+MKASAALLCLLLTAAAFSPQGLAQPVGINTSTTCCYRFINKKIPKQRLESYRRTTSSHCPREAVIFKTKLDKEICADPTQKWVQDFMKHLDKKTQTPKL
+>DECOY_sp|P80098|CCL7_HUMAN C-C motif chemokine 7 OS=Homo sapiens OX=9606 GN=CCL7 PE=1 SV=3
+LKPTQTKKDLHKMFDQVWKQTPDACIEKDLKTKFIVAERPCHSSTTRRYSELRQKPIKKNIFRYCCTTSTNIGVPQALGQPSFAAATLLLCLLAASAKM
+>sp|O96020|CCNE2_HUMAN G1/S-specific cyclin-E2 OS=Homo sapiens OX=9606 GN=CCNE2 PE=1 SV=1
+MSRRSSRLQAKQQPQPSQTESPQEAQIIQAKKRKTTQDVKKRREEVTKKHQYEIRNCWPPVLSGGISPCIIIETPHKEIGTSDFSRFTNYRFKNLFINPSPLPDLSWGCSKEVWLNMLKKESRYVHDKHFEVLHSDLEPQMRSILLDWLLEVCEVYTLHRETFYLAQDFFDRFMLTQKDINKNMLQLIGITSLFIASKLEEIYAPKLQEFAYVTDGACSEEDILRMELIILKALKWELCPVTIISWLNLFLQVDALKDAPKVLLPQYSQETFIQIAQLLDLCILAIDSLEFQYRILTAAALCHFTSIEVVKKASGLEWDSISECVDWMVPFVNVVKSTSPVKLKTFKKIPMEDRHNIQTHTNYLAMLEEVNYINTFRKGGQLSPVCNGGIMTPPKSTEKPPGKH
+>DECOY_sp|O96020|CCNE2_HUMAN G1/S-specific cyclin-E2 OS=Homo sapiens OX=9606 GN=CCNE2 PE=1 SV=1
+HKGPPKETSKPPTMIGGNCVPSLQGGKRFTNIYNVEELMALYNTHTQINHRDEMPIKKFTKLKVPSTSKVVNVFPVMWDVCESISDWELGSAKKVVEISTFHCLAAATLIRYQFELSDIALICLDLLQAIQIFTEQSYQPLLVKPADKLADVQLFLNLWSIITVPCLEWKLAKLIILEMRLIDEESCAGDTVYAFEQLKPAYIEELKSAIFLSTIGILQLMNKNIDKQTLMFRDFFDQALYFTERHLTYVECVELLWDLLISRMQPELDSHLVEFHKDHVYRSEKKLMNLWVEKSCGWSLDPLPSPNIFLNKFRYNTFRSFDSTGIEKHPTEIIICPSIGGSLVPPWCNRIEYQHKKTVEERRKKVDQTTKRKKAQIIQAEQPSETQSPQPQQKAQLRSSRRSM
+>sp|P41002|CCNF_HUMAN Cyclin-F OS=Homo sapiens OX=9606 GN=CCNF PE=1 SV=2
+MGSGGVVHCRCAKCFCYPTKRRIRRRPRNLTILSLPEDVLFHILKWLSVEDILAVRAVHSQLKDLVDNHASVWACASFQELWPSPGNLKLFERAAEKGNFEAAVKLGIAYLYNEGLSVSDEARAEVNGLKASRFFSLAERLNVGAAPFIWLFIRPPWSVSGSCCKAVVHESLRAECQLQRTHKASILHCLGRVLSLFEDEEKQQQAHDLFEEAAHQGCLTSSYLLWESDRRTDVSDPGRCLHSFRKLRDYAAKGCWEAQLSLAKACANANQLGLEVRASSEIVCQLFQASQAVSKQQVFSVQKGLNDTMRYILIDWLVEVATMKDFTSLCLHLTVECVDRYLRRRLVPRYRLQLLGIACMVICTRFISKEILTIREAVWLTDNTYKYEDLVRMMGEIVSALEGKIRVPTVVDYKEVLLTLVPVELRTQHLCSFLCELSLLHTSLSAYAPARLAAAALLLARLTHGQTQPWTTQLWDLTGFSYEDLIPCVLSLHKKCFHDDAPKDYRQVSLTAVKQRFEDKRYGEISQEEVLSYSQLCAALGVTQDSPDPPTFLSTGEIHAFLSSPSGRRTKRKRENSLQEDRGSFVTTPTAELSSQEETLLGSFLDWSLDCCSGYEGDQESEGEKEGDVTAPSGILDVTVVYLNPEQHCCQESSDEEACPEDKGPQDPQALALDTQIPATPGPKPLVRTSREPGKDVTTSGYSSVSTASPTSSVDGGLGALPQPTSVLSLDSDSHTQPCHHQARKSCLQCRPPSPPESSVPQQQVKRINLCIHSEEEDMNLGLVRL
+>DECOY_sp|P41002|CCNF_HUMAN Cyclin-F OS=Homo sapiens OX=9606 GN=CCNF PE=1 SV=2
+LRVLGLNMDEEESHICLNIRKVQQQPVSSEPPSPPRCQLCSKRAQHHCPQTHSDSDLSLVSTPQPLAGLGGDVSSTPSATSVSSYGSTTVDKGPERSTRVLPKPGPTAPIQTDLALAQPDQPGKDEPCAEEDSSEQCCHQEPNLYVVTVDLIGSPATVDGEKEGESEQDGEYGSCCDLSWDLFSGLLTEEQSSLEATPTTVFSGRDEQLSNERKRKTRRGSPSSLFAHIEGTSLFTPPDPSDQTVGLAACLQSYSLVEEQSIEGYRKDEFRQKVATLSVQRYDKPADDHFCKKHLSLVCPILDEYSFGTLDWLQTTWPQTQGHTLRALLLAAAALRAPAYASLSTHLLSLECLFSCLHQTRLEVPVLTLLVEKYDVVTPVRIKGELASVIEGMMRVLDEYKYTNDTLWVAERITLIEKSIFRTCIVMCAIGLLQLRYRPVLRRRLYRDVCEVTLHLCLSTFDKMTAVEVLWDILIYRMTDNLGKQVSFVQQKSVAQSAQFLQCVIESSARVELGLQNANACAKALSLQAEWCGKAAYDRLKRFSHLCRGPDSVDTRRDSEWLLYSSTLCGQHAAEEFLDHAQQQKEEDEFLSLVRGLCHLISAKHTRQLQCEARLSEHVVAKCCSGSVSWPPRIFLWIFPAAGVNLREALSFFRSAKLGNVEARAEDSVSLGENYLYAIGLKVAAEFNGKEAAREFLKLNGPSPWLEQFSACAWVSAHNDVLDKLQSHVARVALIDEVSLWKLIHFLVDEPLSLITLNRPRRRIRRKTPYCFCKACRCHVVGGSGM
+>sp|P51959|CCNG1_HUMAN Cyclin-G1 OS=Homo sapiens OX=9606 GN=CCNG1 PE=1 SV=2
+MIEVLTTTDSQKLLHQLNALLEQESRCQPKVCGLRLIESAHDNGLRMTARLRDFEVKDLLSLTQFFGFDTETFSLAVNLLDRFLSKMKVQPKHLGCVGLSCFYLAVKSIEEERNVPLATDLIRISQYRFTVSDLMRMEKIVLEKVCWKVKATTAFQFLQLYYSLLQENLPLERRNSINFERLEAQLKACHCRIIFSKAKPSVLALSIIALEIQAQKCVELTEGIECLQKHSKINGRDLTFWQELVSKCLTEYSSNKCSKPNVQKLKWIVSGRTARQLKHSYYRITHLPTIPEMVP
+>DECOY_sp|P51959|CCNG1_HUMAN Cyclin-G1 OS=Homo sapiens OX=9606 GN=CCNG1 PE=1 SV=2
+PVMEPITPLHTIRYYSHKLQRATRGSVIWKLKQVNPKSCKNSSYETLCKSVLEQWFTLDRGNIKSHKQLCEIGETLEVCKQAQIELAIISLALVSPKAKSFIIRCHCAKLQAELREFNISNRRELPLNEQLLSYYLQLFQFATTAKVKWCVKELVIKEMRMLDSVTFRYQSIRILDTALPVNREEEISKVALYFCSLGVCGLHKPQVKMKSLFRDLLNVALSFTETDFGFFQTLSLLDKVEFDRLRATMRLGNDHASEILRLGCVKPQCRSEQELLANLQHLLKQSDTTTLVEIM
+>sp|O60563|CCNT1_HUMAN Cyclin-T1 OS=Homo sapiens OX=9606 GN=CCNT1 PE=1 SV=1
+MEGERKNNNKRWYFTREQLENSPSRRFGVDPDKELSYRQQAANLLQDMGQRLNVSQLTINTAIVYMHRFYMIQSFTQFPGNSVAPAALFLAAKVEEQPKKLEHVIKVAHTCLHPQESLPDTRSEAYLQQVQDLVILESIILQTLGFELTIDHPHTHVVKCTQLVRASKDLAQTSYFMATNSLHLTTFSLQYTPPVVACVCIHLACKWSNWEIPVSTDGKHWWEYVDATVTLELLDELTHEFLQILEKTPNRLKRIWNWRACEAAKKTKADDRGTDEKTSEQTILNMISQSSSDTTIAGLMSMSTSTTSAVPSLPVSEESSSNLTSVEMLPGKRWLSSQPSFKLEPTQGHRTSENLALTGVDHSLPQDGSNAFISQKQNSKSVPSAKVSLKEYRAKHAEELAAQKRQLENMEANVKSQYAYAAQNLLSHHDSHSSVILKMPIEGSENPERPFLEKADKTALKMRIPVAGGDKAASSKPEEIKMRIKVHAAADKHNSVEDSVTKSREHKEKHKTHPSNHHHHHNHHSHKHSHSQLPVGTGNKRPGDPKHSSQTSNLAHKTYSLSSSFSSSSSTRKRGPSEETGGAVFDHPAKIAKSTKSSSLNFSFPSLPTMGQMPGHSSDTSGLSFSQPSCKTRVPHSKLDKGPTGANGHNTTQTIDYQDTVNMLHSLLSAQGVQPTQPTAFEFVRPYSDYLNPRSGGISSRSGNTDKPRPPPLPSEPPPPLPPLPK
+>DECOY_sp|O60563|CCNT1_HUMAN Cyclin-T1 OS=Homo sapiens OX=9606 GN=CCNT1 PE=1 SV=1
+KPLPPLPPPPESPLPPPRPKDTNGSRSSIGGSRPNLYDSYPRVFEFATPQTPQVGQASLLSHLMNVTDQYDITQTTNHGNAGTPGKDLKSHPVRTKCSPQSFSLGSTDSSHGPMQGMTPLSPFSFNLSSSKTSKAIKAPHDFVAGGTEESPGRKRTSSSSSFSSSLSYTKHALNSTQSSHKPDGPRKNGTGVPLQSHSHKHSHHNHHHHHNSPHTKHKEKHERSKTVSDEVSNHKDAAAHVKIRMKIEEPKSSAAKDGGAVPIRMKLATKDAKELFPREPNESGEIPMKLIVSSHSDHHSLLNQAAYAYQSKVNAEMNELQRKQAALEEAHKARYEKLSVKASPVSKSNQKQSIFANSGDQPLSHDVGTLALNESTRHGQTPELKFSPQSSLWRKGPLMEVSTLNSSSEESVPLSPVASTTSTSMSMLGAITTDSSSQSIMNLITQESTKEDTGRDDAKTKKAAECARWNWIRKLRNPTKELIQLFEHTLEDLLELTVTADVYEWWHKGDTSVPIEWNSWKCALHICVCAVVPPTYQLSFTTLHLSNTAMFYSTQALDKSARVLQTCKVVHTHPHDITLEFGLTQLIISELIVLDQVQQLYAESRTDPLSEQPHLCTHAVKIVHELKKPQEEVKAALFLAAPAVSNGPFQTFSQIMYFRHMYVIATNITLQSVNLRQGMDQLLNAAQQRYSLEKDPDVGFRRSPSNELQERTFYWRKNNNKREGEM
+>sp|Q8ND76|CCNY_HUMAN Cyclin-Y OS=Homo sapiens OX=9606 GN=CCNY PE=1 SV=2
+MGNTTSCCVSSSPKLRRNAHSRLESYRPDTDLSREDTGCNLQHISDRENIDDLNMEFNPSDHPRASTIFLSKSQTDVREKRKSLFINHHPPGQIARKYSSCSTIFLDDSTVSQPNLKYTIKCVALAIYYHIKNRDPDGRMLLDIFDENLHPLSKSEVPPDYDKHNPEQKQIYRFVRTLFSAAQLTAECAIVTLVYLERLLTYAEIDICPANWKRIVLGAILLASKVWDDQAVWNVDYCQILKDITVEDMNELERQFLELLQFNINVPSSVYAKYYFDLRSLAEANNLSFPLEPLSRERAHKLEAISRLCEDKYKDLRRSARKRSASADNLTLPRWSPAIIS
+>DECOY_sp|Q8ND76|CCNY_HUMAN Cyclin-Y OS=Homo sapiens OX=9606 GN=CCNY PE=1 SV=2
+SIIAPSWRPLTLNDASASRKRASRRLDKYKDECLRSIAELKHARERSLPELPFSLNNAEALSRLDFYYKAYVSSPVNINFQLLELFQRELENMDEVTIDKLIQCYDVNWVAQDDWVKSALLIAGLVIRKWNAPCIDIEAYTLLRELYVLTVIACEATLQAASFLTRVFRYIQKQEPNHKDYDPPVESKSLPHLNEDFIDLLMRGDPDRNKIHYYIALAVCKITYKLNPQSVTSDDLFITSCSSYKRAIQGPPHHNIFLSKRKERVDTQSKSLFITSARPHDSPNFEMNLDDINERDSIHQLNCGTDERSLDTDPRYSELRSHANRRLKPSSSVCCSTTNGM
+>sp|Q9ULG6|CCPG1_HUMAN Cell cycle progression protein 1 OS=Homo sapiens OX=9606 GN=CCPG1 PE=1 SV=3
+MSENSSDSDSSCGWTVISHEGSDIEMLNSVTPTDSCEPAPECSSLEQEELQALQIEQGESSQNGTVLMEETAYPALEETSSTIEAEEQKIPEDSIYIGTASDDSDIVTLEPPKLEEIGNQEVVIVEEAQSSEDFNMGSSSSSQYTFCQPETVFSSQPSDDESSSDETSNQPSPAFRRRRARKKTVSASESEDRLVAEQETEPSKELSKRQFSSGLNKCVILALVIAISMGFGHFYGTIQIQKRQQLVRKIHEDELNDMKDYLSQCQQEQESFIDYKSLKENLARCWTLTEAEKMSFETQKTNLATENQYLRVSLEKEEKALSSLQEELNKLREQIRILEDKGTSTELVKENQKLKQHLEEEKQKKHSFLSQRETLLTEAKMLKRELERERLVTTALRGELQQLSGSQLHGKSDSPNVYTEKKEIAILRERLTELERKLTFEQQRSDLWERLYVEAKDQNGKQGTDGKKKGGRGSHRAKNKSKETFLGSVKETFDAMKNSTKEFVRHHKEKIKQAKEAVKENLKKFSDSVKSTFRHFKDTTKNIFDEKGNKRFGATKEAAEKPRTVFSDYLHPQYKAPTENHHNRGPTMQNDGRKEKPVHFKEFRKNTNSKKCSPGHDCRENSHSFRKACSGVFDCAQQESMSLFNTVVNPIRMDEFRQIIQRYMLKELDTFCHWNELDQFINKFFLNGVFIHDQKLFTDFVNDVKDYLRNMKEYEVDNDGVFEKLDEYIYRHFFGHTFSPPYGPRSVYIKPCHYSSL
+>DECOY_sp|Q9ULG6|CCPG1_HUMAN Cell cycle progression protein 1 OS=Homo sapiens OX=9606 GN=CCPG1 PE=1 SV=3
+LSSYHCPKIYVSRPGYPPSFTHGFFHRYIYEDLKEFVGDNDVEYEKMNRLYDKVDNVFDTFLKQDHIFVGNLFFKNIFQDLENWHCFTDLEKLMYRQIIQRFEDMRIPNVVTNFLSMSEQQACDFVGSCAKRFSHSNERCDHGPSCKKSNTNKRFEKFHVPKEKRGDNQMTPGRNHHNETPAKYQPHLYDSFVTRPKEAAEKTAGFRKNGKEDFINKTTDKFHRFTSKVSDSFKKLNEKVAEKAQKIKEKHHRVFEKTSNKMADFTEKVSGLFTEKSKNKARHSGRGGKKKGDTGQKGNQDKAEVYLREWLDSRQQEFTLKRELETLRERLIAIEKKETYVNPSDSKGHLQSGSLQQLEGRLATTVLRERELERKLMKAETLLTERQSLFSHKKQKEEELHQKLKQNEKVLETSTGKDELIRIQERLKNLEEQLSSLAKEEKELSVRLYQNETALNTKQTEFSMKEAETLTWCRALNEKLSKYDIFSEQEQQCQSLYDKMDNLEDEHIKRVLQQRKQIQITGYFHGFGMSIAIVLALIVCKNLGSSFQRKSLEKSPETEQEAVLRDESESASVTKKRARRRRFAPSPQNSTEDSSSEDDSPQSSFVTEPQCFTYQSSSSSGMNFDESSQAEEVIVVEQNGIEELKPPELTVIDSDDSATGIYISDEPIKQEEAEITSSTEELAPYATEEMLVTGNQSSEGQEIQLAQLEEQELSSCEPAPECSDTPTVSNLMEIDSGEHSIVTWGCSSDSDSSNESM
+>sp|P46092|CCR10_HUMAN C-C chemokine receptor type 10 OS=Homo sapiens OX=9606 GN=CCR10 PE=1 SV=3
+MGTEATEQVSWGHYSGDEEDAYSAEPLPELCYKADVQAFSRAFQPSVSLTVAALGLAGNGLVLATHLAARRAARSPTSAHLLQLALADLLLALTLPFAAAGALQGWSLGSATCRTISGLYSASFHAGFLFLACISADRYVAIARALPAGPRPSTPGRAHLVSVIVWLLSLLLALPALLFSQDGQREGQRRCRLIFPEGLTQTVKGASAVAQVALGFALPLGVMVACYALLGRTLLAARGPERRRALRVVVALVAAFVVLQLPYSLALLLDTADLLAARERSCPASKRKDVALLVTSGLALARCGLNPVLYAFLGLRFRQDLRRLLRGGSCPSGPQPRRGCPRRPRLSSCSAPTETHSLSWDN
+>DECOY_sp|P46092|CCR10_HUMAN C-C chemokine receptor type 10 OS=Homo sapiens OX=9606 GN=CCR10 PE=1 SV=3
+NDWSLSHTETPASCSSLRPRRPCGRRPQPGSPCSGGRLLRRLDQRFRLGLFAYLVPNLGCRALALGSTVLLAVDKRKSAPCSRERAALLDATDLLLALSYPLQLVVFAAVLAVVVRLARRREPGRAALLTRGLLAYCAVMVGLPLAFGLAVQAVASAGKVTQTLGEPFILRCRRQGERQGDQSFLLAPLALLLSLLWVIVSVLHARGPTSPRPGAPLARAIAVYRDASICALFLFGAHFSASYLGSITRCTASGLSWGQLAGAAAFPLTLALLLDALALQLLHASTPSRAARRAALHTALVLGNGALGLAAVTLSVSPQFARSFAQVDAKYCLEPLPEASYADEEDGSYHGWSVQETAETGM
+>sp|P32246|CCR1_HUMAN C-C chemokine receptor type 1 OS=Homo sapiens OX=9606 GN=CCR1 PE=1 SV=1
+METPNTTEDYDTTTEFDYGDATPCQKVNERAFGAQLLPPLYSLVFVIGLVGNILVVLVLVQYKRLKNMTSIYLLNLAISDLLFLFTLPFWIDYKLKDDWVFGDAMCKILSGFYYTGLYSEIFFIILLTIDRYLAIVHAVFALRARTVTFGVITSIIIWALAILASMPGLYFSKTQWEFTHHTCSLHFPHESLREWKLFQALKLNLFGLVLPLLVMIICYTGIIKILLRRPNEKKSKAVRLIFVIMIIFFLFWTPYNLTILISVFQDFLFTHECEQSRHLDLAVQVTEVIAYTHCCVNPVIYAFVGERFRKYLRQLFHRRVAVHLVKWLPFLSVDRLERVSSTSPSTGEHELSAGF
+>DECOY_sp|P32246|CCR1_HUMAN C-C chemokine receptor type 1 OS=Homo sapiens OX=9606 GN=CCR1 PE=1 SV=1
+FGASLEHEGTSPSTSSVRELRDVSLFPLWKVLHVAVRRHFLQRLYKRFREGVFAYIVPNVCCHTYAIVETVQVALDLHRSQECEHTFLFDQFVSILITLNYPTWFLFFIIMIVFILRVAKSKKENPRRLLIKIIGTYCIIMVLLPLVLGFLNLKLAQFLKWERLSEHPFHLSCTHHTFEWQTKSFYLGPMSALIALAWIIISTIVGFTVTRARLAFVAHVIALYRDITLLIIFFIESYLGTYYFGSLIKCMADGFVWDDKLKYDIWFPLTFLFLLDSIALNLLYISTMNKLRKYQVLVLVVLINGVLGIVFVLSYLPPLLQAGFARENVKQCPTADGYDFETTTDYDETTNPTEM
+>sp|P51686|CCR9_HUMAN C-C chemokine receptor type 9 OS=Homo sapiens OX=9606 GN=CCR9 PE=1 SV=2
+MTPTDFTSPIPNMADDYGSESTSSMEDYVNFNFTDFYCEKNNVRQFASHFLPPLYWLVFIVGALGNSLVILVYWYCTRVKTMTDMFLLNLAIADLLFLVTLPFWAIAAADQWKFQTFMCKVVNSMYKMNFYSCVLLIMCISVDRYIAIAQAMRAHTWREKRLLYSKMVCFTIWVLAAALCIPEILYSQIKEESGIAICTMVYPSDESTKLKSAVLTLKVILGFFLPFVVMACCYTIIIHTLIQAKKSSKHKALKVTITVLTVFVLSQFPYNCILLVQTIDAYAMFISNCAVSTNIDICFQVTQTIAFFHSCLNPVLYVFVGERFRRDLVKTLKNLGCISQAQWVSFTRREGSLKLSSMLLETTSGALSL
+>DECOY_sp|P51686|CCR9_HUMAN C-C chemokine receptor type 9 OS=Homo sapiens OX=9606 GN=CCR9 PE=1 SV=2
+LSLAGSTTELLMSSLKLSGERRTFSVWQAQSICGLNKLTKVLDRRFREGVFVYLVPNLCSHFFAITQTVQFCIDINTSVACNSIFMAYADITQVLLICNYPFQSLVFVTLVTITVKLAKHKSSKKAQILTHIIITYCCAMVVFPLFFGLIVKLTLVASKLKTSEDSPYVMTCIAIGSEEKIQSYLIEPICLAAALVWITFCVMKSYLLRKERWTHARMAQAIAIYRDVSICMILLVCSYFNMKYMSNVVKCMFTQFKWQDAAAIAWFPLTVLFLLDAIALNLLFMDTMTKVRTCYWYVLIVLSNGLAGVIFVLWYLPPLFHSAFQRVNNKECYFDTFNFNVYDEMSSTSESGYDDAMNPIPSTFDTPTM
+>sp|Q8N1A6|CD033_HUMAN UPF0462 protein C4orf33 OS=Homo sapiens OX=9606 GN=C4orf33 PE=1 SV=2
+MDFKIEHTWDGFPVKHEPVFIRLNPGDRGVMMDISAPFFRDPPAPLGEPGKPFNELWDYEVVEAFFLNDITEQYLEVELCPHGQHLVLLLSGRRNVWKQELPLSFRMSRGETKWEGKAYLPWSYFPPNVTKFNSFAIHGSKDKRSYEALYPVPQHELQQGQKPDFHCLEYFKSFNFNTLLGEEWKQPESDLWLIEKCDI
+>DECOY_sp|Q8N1A6|CD033_HUMAN UPF0462 protein C4orf33 OS=Homo sapiens OX=9606 GN=C4orf33 PE=1 SV=2
+IDCKEILWLDSEPQKWEEGLLTNFNFSKFYELCHFDPKQGQQLEHQPVPYLAEYSRKDKSGHIAFSNFKTVNPPFYSWPLYAKGEWKTEGRSMRFSLPLEQKWVNRRGSLLLVLHQGHPCLEVELYQETIDNLFFAEVVEYDWLENFPKGPEGLPAPPDRFFPASIDMMVGRDGPNLRIFVPEHKVPFGDWTHEIKFDM
+>sp|Q96LM5|CD045_HUMAN Uncharacterized protein C4orf45 OS=Homo sapiens OX=9606 GN=C4orf45 PE=1 SV=3
+MASVSYQKPTSTTVGKQMIFTGPDYIKDYLPKIHQHTSYVGEQHLALEKTGDLRYLWRPASNRSLPAKYKHEYVSEIGWRIPQYNFINKSRLGSGFHIKYEELSQASLDSITHRYQNPWQPKPHVLDMQGKQSRASFAWHMSAFEDTDQRNSKWAILVRQCKSSLPRASKPPKLPKLPKKEKKRKH
+>DECOY_sp|Q96LM5|CD045_HUMAN Uncharacterized protein C4orf45 OS=Homo sapiens OX=9606 GN=C4orf45 PE=1 SV=3
+HKRKKEKKPLKPLKPPKSARPLSSKCQRVLIAWKSNRQDTDEFASMHWAFSARSQKGQMDLVHPKPQWPNQYRHTISDLSAQSLEEYKIHFGSGLRSKNIFNYQPIRWGIESVYEHKYKAPLSRNSAPRWLYRLDGTKELALHQEGVYSTHQHIKPLYDKIYDPGTFIMQKGVTTSTPKQYSVSAM
+>sp|A7E2U8|CD047_HUMAN UPF0602 protein C4orf47 OS=Homo sapiens OX=9606 GN=C4orf47 PE=2 SV=1
+MPAEGGKTDMERIGLFSEMEYITVGDKYVSQFNRPFNEAASKNKQMLPGGSKEMSDLQAGYFDPHFVRIFEGEGYINLNQVRRRDMVEAAKKNLGKAFLPSNGEKKPCGLGSYYGTIGGPVPFFSAQSKPREKYKAPGKNLYTNPGKKGTGYGYANITIGKQFSHSADFYDAAKLKYKKANEEHHRLLKGAPFKLNLHPRDYFDANPYFSEESLPPIKKEEKKKTISNTFKPSSPGKKPGGMKAGTFDPYPSHSADPYVAKLANISGKDDKIFHPPSGPKSRPVESIMTLNVRRALNSKNYKTSSVPSY
+>DECOY_sp|A7E2U8|CD047_HUMAN UPF0602 protein C4orf47 OS=Homo sapiens OX=9606 GN=C4orf47 PE=2 SV=1
+YSPVSSTKYNKSNLARRVNLTMISEVPRSKPGSPPHFIKDDKGSINALKAVYPDASHSPYPDFTGAKMGGPKKGPSSPKFTNSITKKKEEKKIPPLSEESFYPNADFYDRPHLNLKFPAGKLLRHHEENAKKYKLKAADYFDASHSFQKGITINAYGYGTGKKGPNTYLNKGPAKYKERPKSQASFFPVPGGITGYYSGLGCPKKEGNSPLFAKGLNKKAAEVMDRRRVQNLNIYGEGEFIRVFHPDFYGAQLDSMEKSGGPLMQKNKSAAENFPRNFQSVYKDGVTIYEMESFLGIREMDTKGGEAPM
+>sp|Q6YHK3|CD109_HUMAN CD109 antigen OS=Homo sapiens OX=9606 GN=CD109 PE=1 SV=2
+MQGPPLLTAAHLLCVCTAALAVAPGPRFLVTAPGIIRPGGNVTIGVELLEHCPSQVTVKAELLKTASNLTVSVLEAEGVFEKGSFKTLTLPSLPLNSADEIYELRVTGRTQDEILFSNSTRLSFETKRISVFIQTDKALYKPKQEVKFRIVTLFSDFKPYKTSLNILIKDPKSNLIQQWLSQQSDLGVISKTFQLSSHPILGDWSIQVQVNDQTYYQSFQVSEYVLPKFEVTLQTPLYCSMNSKHLNGTITAKYTYGKPVKGDVTLTFLPLSFWGKKKNITKTFKINGSANFSFNDEEMKNVMDSSNGLSEYLDLSSPGPVEILTTVTESVTGISRNVSTNVFFKQHDYIIEFFDYTTVLKPSLNFTATVKVTRADGNQLTLEERRNNVVITVTQRNYTEYWSGSNSGNQKMEAVQKINYTVPQSGTFKIEFPILEDSSELQLKAYFLGSKSSMAVHSLFKSPSKTYIQLKTRDENIKVGSPFELVVSGNKRLKELSYMVVSRGQLVAVGKQNSTMFSLTPENSWTPKACVIVYYIEDDGEIISDVLKIPVQLVFKNKIKLYWSKVKAEPSEKVSLRISVTQPDSIVGIVAVDKSVNLMNASNDITMENVVHELELYNTGYYLGMFMNSFAVFQECGLWVLTDANLTKDYIDGVYDNAEYAERFMEENEGHIVDIHDFSLGSSPHVRKHFPETWIWLDTNMGYRIYQEFEVTVPDSITSWVATGFVISEDLGLGLTTTPVELQAFQPFFIFLNLPYSVIRGEEFALEITIFNYLKDATEVKVIIEKSDKFDILMTSNEINATGHQQTLLVPSEDGATVLFPIRPTHLGEIPITVTALSPTASDAVTQMILVKAEGIEKSYSQSILLDLTDNRLQSTLKTLSFSFPPNTVTGSERVQITAIGDVLGPSINGLASLIRMPYGCGEQNMINFAPNIYILDYLTKKKQLTDNLKEKALSFMRQGYQRELLYQREDGSFSAFGNYDPSGSTWLSAFVLRCFLEADPYIDIDQNVLHRTYTWLKGHQKSNGEFWDPGRVIHSELQGGNKSPVTLTAYIVTSLLGYRKYQPNIDVQESIHFLESEFSRGISDNYTLALITYALSSVGSPKAKEALNMLTWRAEQEGGMQFWVSSESKLSDSWQPRSLDIEVAAYALLSHFLQFQTSEGIPIMRWLSRQRNSLGGFASTQDTTVALKALSEFAALMNTERTNIQVTVTGPSSPSPVKFLIDTHNRLLLQTAELAVVQPTAVNISANGFGFAICQLNVVYNVKASGSSRRRRSIQNQEAFDLDVAVKENKDDLNHVDLNVCTSFSGPGRSGMALMEVNLLSGFMVPSEAISLSETVKKVEYDHGKLNLYLDSVNETQFCVNIPAVRNFKVSNTQDASVSIVDYYEPRRQAVRSYNSEVKLSSCDLCSDVQGCRPCEDGASGSHHHSSVIFIFCFKLLYFMELWL
+>DECOY_sp|Q6YHK3|CD109_HUMAN CD109 antigen OS=Homo sapiens OX=9606 GN=CD109 PE=1 SV=2
+LWLEMFYLLKFCFIFIVSSHHHSGSAGDECPRCGQVDSCLDCSSLKVESNYSRVAQRRPEYYDVISVSADQTNSVKFNRVAPINVCFQTENVSDLYLNLKGHDYEVKKVTESLSIAESPVMFGSLLNVEMLAMGSRGPGSFSTCVNLDVHNLDDKNEKVAVDLDFAEQNQISRRRRSSGSAKVNYVVNLQCIAFGFGNASINVATPQVVALEATQLLLRNHTDILFKVPSPSSPGTVTVQINTRETNMLAAFESLAKLAVTTDQTSAFGGLSNRQRSLWRMIPIGESTQFQLFHSLLAYAAVEIDLSRPQWSDSLKSESSVWFQMGGEQEARWTLMNLAEKAKPSGVSSLAYTILALTYNDSIGRSFESELFHISEQVDINPQYKRYGLLSTVIYATLTVPSKNGGQLESHIVRGPDWFEGNSKQHGKLWTYTRHLVNQDIDIYPDAELFCRLVFASLWTSGSPDYNGFASFSGDERQYLLERQYGQRMFSLAKEKLNDTLQKKKTLYDLIYINPAFNIMNQEGCGYPMRILSALGNISPGLVDGIATIQVRESGTVTNPPFSFSLTKLTSQLRNDTLDLLISQSYSKEIGEAKVLIMQTVADSATPSLATVTIPIEGLHTPRIPFLVTAGDESPVLLTQQHGTANIENSTMLIDFKDSKEIIVKVETADKLYNFITIELAFEEGRIVSYPLNLFIFFPQFAQLEVPTTTLGLGLDESIVFGTAVWSTISDPVTVEFEQYIRYGMNTDLWIWTEPFHKRVHPSSGLSFDHIDVIHGENEEMFREAYEANDYVGDIYDKTLNADTLVWLGCEQFVAFSNMFMGLYYGTNYLELEHVVNEMTIDNSANMLNVSKDVAVIGVISDPQTVSIRLSVKESPEAKVKSWYLKIKNKFVLQVPIKLVDSIIEGDDEIYYVIVCAKPTWSNEPTLSFMTSNQKGVAVLQGRSVVMYSLEKLRKNGSVVLEFPSGVKINEDRTKLQIYTKSPSKFLSHVAMSSKSGLFYAKLQLESSDELIPFEIKFTGSQPVTYNIKQVAEMKQNGSNSGSWYETYNRQTVTIVVNNRREELTLQNGDARTVKVTATFNLSPKLVTTYDFFEIIYDHQKFFVNTSVNRSIGTVSETVTTLIEVPGPSSLDLYESLGNSSDMVNKMEEDNFSFNASGNIKFTKTINKKKGWFSLPLFTLTVDGKVPKGYTYKATITGNLHKSNMSCYLPTQLTVEFKPLVYESVQFSQYYTQDNVQVQISWDGLIPHSSLQFTKSIVGLDSQQSLWQQILNSKPDKILINLSTKYPKFDSFLTVIRFKVEQKPKYLAKDTQIFVSIRKTEFSLRTSNSFLIEDQTRGTVRLEYIEDASNLPLSPLTLTKFSGKEFVGEAELVSVTLNSATKLLEAKVTVQSPCHELLEVGITVNGGPRIIGPATVLFRPGPAVALAATCVCLLHAATLLPPGQM
+>sp|P08571|CD14_HUMAN Monocyte differentiation antigen CD14 OS=Homo sapiens OX=9606 GN=CD14 PE=1 SV=2
+MERASCLLLLLLPLVHVSATTPEPCELDDEDFRCVCNFSEPQPDWSEAFQCVSAVEVEIHAGGLNLEPFLKRVDADADPRQYADTVKALRVRRLTVGAAQVPAQLLVGALRVLAYSRLKELTLEDLKITGTMPPLPLEATGLALSSLRLRNVSWATGRSWLAELQQWLKPGLKVLSIAQAHSPAFSCEQVRAFPALTSLDLSDNPGLGERGLMAALCPHKFPAIQNLALRNTGMETPTGVCAALAAAGVQPHSLDLSHNSLRATVNPSAPRCMWSSALNSLNLSFAGLEQVPKGLPAKLRVLDLSCNRLNRAPQPDELPEVDNLTLDGNPFLVPGTALPHEGSMNSGVVPACARSTLSVGVSGTLVLLQGARGFA
+>DECOY_sp|P08571|CD14_HUMAN Monocyte differentiation antigen CD14 OS=Homo sapiens OX=9606 GN=CD14 PE=1 SV=2
+AFGRAGQLLVLTGSVGVSLTSRACAPVVGSNMSGEHPLATGPVLFPNGDLTLNDVEPLEDPQPARNLRNCSLDLVRLKAPLGKPVQELGAFSLNLSNLASSWMCRPASPNVTARLSNHSLDLSHPQVGAAALAACVGTPTEMGTNRLALNQIAPFKHPCLAAMLGREGLGPNDSLDLSTLAPFARVQECSFAPSHAQAISLVKLGPKLWQQLEALWSRGTAWSVNRLRLSSLALGTAELPLPPMTGTIKLDELTLEKLRSYALVRLAGVLLQAPVQAAGVTLRRVRLAKVTDAYQRPDADADVRKLFPELNLGGAHIEVEVASVCQFAESWDPQPESFNCVCRFDEDDLECPEPTTASVHVLPLLLLLLCSAREM
+>sp|A8MXV6|CD15L_HUMAN CMT1A duplicated region transcript 15 protein-like protein OS=Homo sapiens OX=9606 GN=CDRT15L2 PE=2 SV=1
+MFSCCFPTSRGCCFRNGGSESLFRQCRRRLIPHPRRLWPFVRRRTQVPQDSPGQALAGQATPEIPSGLPLHIVLVQEEIREPMEAQTHAPGPYADIAALAAPAVEPKPAWEEPPPERALEVEGAPAKDQPSQELPEIMAPTVATGLNAGAENVAGERSGREGVTSTAPASRSHAAPSPGHGGKHGGGDQGIQTGLLYLAGERLLSFAGTTALLLQGLFIVLILVGYISVKVMLKSIKTRLGRRVPAAPPALRRNLLLQAWKCVCNWASRLFAPNVLPRTGS
+>DECOY_sp|A8MXV6|CD15L_HUMAN CMT1A duplicated region transcript 15 protein-like protein OS=Homo sapiens OX=9606 GN=CDRT15L2 PE=2 SV=1
+SGTRPLVNPAFLRSAWNCVCKWAQLLLNRRLAPPAAPVRRGLRTKISKLMVKVSIYGVLILVIFLGQLLLATTGAFSLLREGALYLLGTQIGQDGGGHKGGHGPSPAAHSRSAPATSTVGERGSREGAVNEAGANLGTAVTPAMIEPLEQSPQDKAPAGEVELAREPPPEEWAPKPEVAPAALAAIDAYPGPAHTQAEMPERIEEQVLVIHLPLGSPIEPTAQGALAQGPSDQPVQTRRRVFPWLRRPHPILRRRCQRFLSESGGNRFCCGRSTPFCCSFM
+>sp|Q8N6Q3|CD177_HUMAN CD177 antigen OS=Homo sapiens OX=9606 GN=CD177 PE=1 SV=2
+MSAVLLLALLGFILPLPGVQALLCQFGTVQHVWKVSDLPRQWTPKNTSCDSGLGCQDTLMLIESGPQVSLVLSKGCTEAKDQEPRVTEHRMGPGLSLISYTFVCRQEDFCNNLVNSLPLWAPQPPADPGSLRCPVCLSMEGCLEGTTEEICPKGTTHCYDGLLRLRGGGIFSNLRVQGCMPQPGCNLLNGTQEIGPVGMTENCNRKDFLTCHRGTTIMTHGNLAQEPTDWTTSNTEMCEVGQVCQETLLLLDVGLTSTLVGTKGCSTVGAQNSQKTTIHSAPPGVLVASYTHFCSSDLCNSASSSSVLLNSLPPQAAPVPGDRQCPTCVQPLGTCSSGSPRMTCPRGATHCYDGYIHLSGGGLSTKMSIQGCVAQPSSFLLNHTRQIGIFSAREKRDVQPPASQHEGGGAEGLESLTWGVGLALAPALWWGVVCPSC
+>DECOY_sp|Q8N6Q3|CD177_HUMAN CD177 antigen OS=Homo sapiens OX=9606 GN=CD177 PE=1 SV=2
+CSPCVVGWWLAPALALGVGWTLSELGEAGGGEHQSAPPQVDRKERASFIGIQRTHNLLFSSPQAVCGQISMKTSLGGGSLHIYGDYCHTAGRPCTMRPSGSSCTGLPQVCTPCQRDGPVPAAQPPLSNLLVSSSSASNCLDSSCFHTYSAVLVGPPASHITTKQSNQAGVTSCGKTGVLTSTLGVDLLLLTEQCVQGVECMETNSTTWDTPEQALNGHTMITTGRHCTLFDKRNCNETMGVPGIEQTGNLLNCGPQPMCGQVRLNSFIGGGRLRLLGDYCHTTGKPCIEETTGELCGEMSLCVPCRLSGPDAPPQPAWLPLSNVLNNCFDEQRCVFTYSILSLGPGMRHETVRPEQDKAETCGKSLVLSVQPGSEILMLTDQCGLGSDCSTNKPTWQRPLDSVKWVHQVTGFQCLLAQVGPLPLIFGLLALLLVASM
+>sp|P29017|CD1C_HUMAN T-cell surface glycoprotein CD1c OS=Homo sapiens OX=9606 GN=CD1C PE=1 SV=2
+MLFLQFLLLALLLPGGDNADASQEHVSFHVIQIFSFVNQSWARGQGSGWLDELQTHGWDSESGTIIFLHNWSKGNFSNEELSDLELLFRFYLFGLTREIQDHASQDYSKYPFEVQVKAGCELHSGKSPEGFFQVAFNGLDLLSFQNTTWVPSPGCGSLAQSVCHLLNHQYEGVTETVYNLIRSTCPRFLLGLLDAGKMYVHRQVRPEAWLSSRPSLGSGQLLLVCHASGFYPKPVWVTWMRNEQEQLGTKHGDILPNADGTWYLQVILEVASEEPAGLSCRVRHSSLGGQDIILYWGHHFSMNWIALVVIVPLVILIVLVLWFKKHCSYQDIL
+>DECOY_sp|P29017|CD1C_HUMAN T-cell surface glycoprotein CD1c OS=Homo sapiens OX=9606 GN=CD1C PE=1 SV=2
+LIDQYSCHKKFWLVLVILIVLPVIVVLAIWNMSFHHGWYLIIDQGGLSSHRVRCSLGAPEESAVELIVQLYWTGDANPLIDGHKTGLQEQENRMWTVWVPKPYFGSAHCVLLLQGSGLSPRSSLWAEPRVQRHVYMKGADLLGLLFRPCTSRILNYVTETVGEYQHNLLHCVSQALSGCGPSPVWTTNQFSLLDLGNFAVQFFGEPSKGSHLECGAKVQVEFPYKSYDQSAHDQIERTLGFLYFRFLLELDSLEENSFNGKSWNHLFIITGSESDWGHTQLEDLWGSGQGRAWSQNVFSFIQIVHFSVHEQSADANDGGPLLLALLLFQLFLM
+>sp|P15812|CD1E_HUMAN T-cell surface glycoprotein CD1e, membrane-associated OS=Homo sapiens OX=9606 GN=CD1E PE=1 SV=2
+MLLLFLLFEGLCCPGENTAAPQALQSYHLAAEEQLSFRMLQTSSFANHSWAHSEGSGWLGDLQTHGWDTVLGTIRFLKPWSHGNFSKQELKNLQSLFQLYFHSFIQIVQASAGQFQLEYPFEIQILAGCRMNAPQIFLNMAYQGSDFLSFQGISWEPSPGAGIRAQNICKVLNRYLDIKEILQSLLGHTCPRFLAGLMEAGESELKRKVKPEAWLSCGPSPGPGRLQLVCHVSGFYPKPVWVMWMRGEQEQRGTQRGDVLPNADETWYLRATLDVAAGEAAGLSCRVKHSSLGGHDLIIHWGGYSIFLILICLTVIVTLVILVVVDSRLKKQSSNKNILSPHTPSPVFLMGANTQDTKNSRHQFCLAQVSWIKNRVLKKWKTRLNQLW
+>DECOY_sp|P15812|CD1E_HUMAN T-cell surface glycoprotein CD1e, membrane-associated OS=Homo sapiens OX=9606 GN=CD1E PE=1 SV=2
+WLQNLRTKWKKLVRNKIWSVQALCFQHRSNKTDQTNAGMLFVPSPTHPSLINKNSSQKKLRSDVVVLIVLTVIVTLCILILFISYGGWHIILDHGGLSSHKVRCSLGAAEGAAVDLTARLYWTEDANPLVDGRQTGRQEQEGRMWMVWVPKPYFGSVHCVLQLRGPGPSPGCSLWAEPKVKRKLESEGAEMLGALFRPCTHGLLSQLIEKIDLYRNLVKCINQARIGAGPSPEWSIGQFSLFDSGQYAMNLFIQPANMRCGALIQIEFPYELQFQGASAQVIQIFSHFYLQFLSQLNKLEQKSFNGHSWPKLFRITGLVTDWGHTQLDGLWGSGESHAWSHNAFSSTQLMRFSLQEEAALHYSQLAQPAATNEGPCCLGEFLLFLLLM
+>sp|Q9Y5K6|CD2AP_HUMAN CD2-associated protein OS=Homo sapiens OX=9606 GN=CD2AP PE=1 SV=1
+MVDYIVEYDYDAVHDDELTIRVGEIIRNVKKLQEEGWLEGELNGRRGMFPDNFVKEIKRETEFKDDSLPIKRERHGNVASLVQRISTYGLPAGGIQPHPQTKNIKKKTKKRQCKVLFEYIPQNEDELELKVGDIIDINEEVEEGWWSGTLNNKLGLFPSNFVKELEVTDDGETHEAQDDSETVLAGPTSPIPSLGNVSETASGSVTQPKKIRGIGFGDIFKEGSVKLRTRTSSSETEEKKPEKPLILQSLGPKTQSVEITKTDTEGKIKAKEYCRTLFAYEGTNEDELTFKEGEIIHLISKETGEAGWWRGELNGKEGVFPDNFAVQINELDKDFPKPKKPPPPAKAPAPKPELIAAEKKYFSLKPEEKDEKSTLEQKPSKPAAPQVPPKKPTPPTKASNLLRSSGTVYPKRPEKPVPPPPPIAKINGEVSSISSKFETEPVSKLKLDSEQLPLRPKSVDFDSLTVRTSKETDVVNFDDIASSENLLHLTANRPKMPGRRLPGRFNGGHSPTHSPEKILKLPKEEDSANLKPSELKKDTCYSPKPSVYLSTPSSASKANTTAFLTPLEIKAKVETDDVKKNSLDELRAQIIELLCIVEALKKDHGKELEKLRKDLEEEKTMRSNLEMEIEKLKKAVLSS
+>DECOY_sp|Q9Y5K6|CD2AP_HUMAN CD2-associated protein OS=Homo sapiens OX=9606 GN=CD2AP PE=1 SV=1
+SSLVAKKLKEIEMELNSRMTKEEELDKRLKELEKGHDKKLAEVICLLEIIQARLEDLSNKKVDDTEVKAKIELPTLFATTNAKSASSPTSLYVSPKPSYCTDKKLESPKLNASDEEKPLKLIKEPSHTPSHGGNFRGPLRRGPMKPRNATLHLLNESSAIDDFNVVDTEKSTRVTLSDFDVSKPRLPLQESDLKLKSVPETEFKSSISSVEGNIKAIPPPPPVPKEPRKPYVTGSSRLLNSAKTPPTPKKPPVQPAAPKSPKQELTSKEDKEEPKLSFYKKEAAILEPKPAPAKAPPPPKKPKPFDKDLENIQVAFNDPFVGEKGNLEGRWWGAEGTEKSILHIIEGEKFTLEDENTGEYAFLTRCYEKAKIKGETDTKTIEVSQTKPGLSQLILPKEPKKEETESSSTRTRLKVSGEKFIDGFGIGRIKKPQTVSGSATESVNGLSPIPSTPGALVTESDDQAEHTEGDDTVELEKVFNSPFLGLKNNLTGSWWGEEVEENIDIIDGVKLELEDENQPIYEFLVKCQRKKTKKKINKTQPHPQIGGAPLGYTSIRQVLSAVNGHRERKIPLSDDKFETERKIEKVFNDPFMGRRGNLEGELWGEEQLKKVNRIIEGVRITLEDDHVADYDYEVIYDVM
+>sp|P04234|CD3D_HUMAN T-cell surface glycoprotein CD3 delta chain OS=Homo sapiens OX=9606 GN=CD3D PE=1 SV=1
+MEHSTFLSGLVLATLLSQVSPFKIPIEELEDRVFVNCNTSITWVEGTVGTLLSDITRLDLGKRILDPRGIYRCNGTDIYKDKESTVQVHYRMCQSCVELDPATVAGIIVTDVIATLLLALGVFCFAGHETGRLSGAADTQALLRNDQVYQPLRDRDDAQYSHLGGNWARNK
+>DECOY_sp|P04234|CD3D_HUMAN T-cell surface glycoprotein CD3 delta chain OS=Homo sapiens OX=9606 GN=CD3D PE=1 SV=1
+KNRAWNGGLHSYQADDRDRLPQYVQDNRLLAQTDAAGSLRGTEHGAFCFVGLALLLTAIVDTVIIGAVTAPDLEVCSQCMRYHVQVTSEKDKYIDTGNCRYIGRPDLIRKGLDLRTIDSLLTGVTGEVWTISTNCNVFVRDELEEIPIKFPSVQSLLTALVLGSLFTSHEM
+>sp|P09693|CD3G_HUMAN T-cell surface glycoprotein CD3 gamma chain OS=Homo sapiens OX=9606 GN=CD3G PE=1 SV=1
+MEQGKGLAVLILAIILLQGTLAQSIKGNHLVKVYDYQEDGSVLLTCDAEAKNITWFKDGKMIGFLTEDKKKWNLGSNAKDPRGMYQCKGSQNKSKPLQVYYRMCQNCIELNAATISGFLFAEIVSIFVLAVGVYFIAGQDGVRQSRASDKQTLLPNDQLYQPLKDREDDQYSHLQGNQLRRN
+>DECOY_sp|P09693|CD3G_HUMAN T-cell surface glycoprotein CD3 gamma chain OS=Homo sapiens OX=9606 GN=CD3G PE=1 SV=1
+NRRLQNGQLHSYQDDERDKLPQYLQDNPLLTQKDSARSQRVGDQGAIFYVGVALVFISVIEAFLFGSITAANLEICNQCMRYYVQLPKSKNQSGKCQYMGRPDKANSGLNWKKKDETLFGIMKGDKFWTINKAEADCTLLVSGDEQYDYVKVLHNGKISQALTGQLLIIALILVALGKGQEM
+>sp|Q07002|CDK18_HUMAN Cyclin-dependent kinase 18 OS=Homo sapiens OX=9606 GN=CDK18 PE=1 SV=4
+MIMNKMKNFKRRFSLSVPRTETIEESLAEFTEQFNQLHNRRNENLQLGPLGRDPPQECSTFSPTDSGEEPGQLSPGVQFQRRQNQRRFSMEDVSKRLSLPMDIRLPQEFLQKLQMESPDLPKPLSRMSRRASLSDIGFGKLETYVKLDKLGEGTYATVFKGRSKLTENLVALKEIRLEHEEGAPCTAIREVSLLKNLKHANIVTLHDLIHTDRSLTLVFEYLDSDLKQYLDHCGNLMSMHNVKIFMFQLLRGLAYCHHRKILHRDLKPQNLLINERGELKLADFGLARAKSVPTKTYSNEVVTLWYRPPDVLLGSTEYSTPIDMWGVGCIHYEMATGRPLFPGSTVKEELHLIFRLLGTPTEETWPGVTAFSEFRTYSFPCYLPQPLINHAPRLDTDGIHLLSSLLLYESKSRMSAEAALSHSYFRSLGERVHQLEDTASIFSLKEIQLQKDPGYRGLAFQQPGRGKNRRQSIF
+>DECOY_sp|Q07002|CDK18_HUMAN Cyclin-dependent kinase 18 OS=Homo sapiens OX=9606 GN=CDK18 PE=1 SV=4
+FISQRRNKGRGPQQFALGRYGPDKQLQIEKLSFISATDELQHVREGLSRFYSHSLAAEASMRSKSEYLLLSSLLHIGDTDLRPAHNILPQPLYCPFSYTRFESFATVGPWTEETPTGLLRFILHLEEKVTSGPFLPRGTAMEYHICGVGWMDIPTSYETSGLLVDPPRYWLTVVENSYTKTPVSKARALGFDALKLEGRENILLNQPKLDRHLIKRHHCYALGRLLQFMFIKVNHMSMLNGCHDLYQKLDSDLYEFVLTLSRDTHILDHLTVINAHKLNKLLSVERIATCPAGEEHELRIEKLAVLNETLKSRGKFVTAYTGEGLKDLKVYTELKGFGIDSLSARRSMRSLPKPLDPSEMQLKQLFEQPLRIDMPLSLRKSVDEMSFRRQNQRRQFQVGPSLQGPEEGSDTPSFTSCEQPPDRGLPGLQLNENRRNHLQNFQETFEALSEEITETRPVSLSFRRKFNKMKNMIM
+>sp|O75956|CDKA2_HUMAN Cyclin-dependent kinase 2-associated protein 2 OS=Homo sapiens OX=9606 GN=CDK2AP2 PE=1 SV=1
+MSYKPIAPAPSSTPGSSTPGPGTPVPTGSVPSPSGSVPGAGAPFRPLFNDFGPPSMGYVQAMKPPGAQGSQSTYTDLLSVIEEMGKEIRPTYAGSKSAMERLKRGIIHARALVRECLAETERNART
+>DECOY_sp|O75956|CDKA2_HUMAN Cyclin-dependent kinase 2-associated protein 2 OS=Homo sapiens OX=9606 GN=CDK2AP2 PE=1 SV=1
+TRANRETEALCERVLARAHIIGRKLREMASKSGAYTPRIEKGMEEIVSLLDTYTSQSGQAGPPKMAQVYGMSPPGFDNFLPRFPAGAGPVSGSPSPVSGTPVPTGPGPTSSGPTSSPAPAIPKYSM
+>sp|Q00532|CDKL1_HUMAN Cyclin-dependent kinase-like 1 OS=Homo sapiens OX=9606 GN=CDKL1 PE=1 SV=6
+MMEKYEKIGKIGEGSYGVVFKCRNRDTGQIVAIKKFLESEDDPVIKKIALREIRMLKQLKHPNLVNLLEVFRRKRRLHLVFEYCDHTVLHELDRYQRGVPEHLVKSITWQTLQAVNFCHKHNCIHRDVKPENILITKHSVIKLCDFGFARLLAGPSDYYTDYVATRWYRSPELLVGDTQYGPPVDVWAIGCVFAELLSGVPLWPGKSDVDQLYLIRKTLGDLIPRHQQVFSTNQYFSGVKIPDPEDMEPLELKFPNISYPALGLLKGCLHMDPTQRLTCEQLLHHPYFENIREIEDLAKEHNKPTRKTLRKSRKHHCFTETSKLQYLPQLTGSSILPALDNKKYYCDTKKLNYRFPNI
+>DECOY_sp|Q00532|CDKL1_HUMAN Cyclin-dependent kinase-like 1 OS=Homo sapiens OX=9606 GN=CDKL1 PE=1 SV=6
+INPFRYNLKKTDCYYKKNDLAPLISSGTLQPLYQLKSTETFCHHKRSKRLTKRTPKNHEKALDEIERINEFYPHHLLQECTLRQTPDMHLCGKLLGLAPYSINPFKLELPEMDEPDPIKVGSFYQNTSFVQQHRPILDGLTKRILYLQDVDSKGPWLPVGSLLEAFVCGIAWVDVPPGYQTDGVLLEPSRYWRTAVYDTYYDSPGALLRAFGFDCLKIVSHKTILINEPKVDRHICNHKHCFNVAQLTQWTISKVLHEPVGRQYRDLEHLVTHDCYEFVLHLRRKRRFVELLNVLNPHKLQKLMRIERLAIKKIVPDDESELFKKIAVIQGTDRNRCKFVVGYSGEGIKGIKEYKEMM
+>sp|Q92772|CDKL2_HUMAN Cyclin-dependent kinase-like 2 OS=Homo sapiens OX=9606 GN=CDKL2 PE=1 SV=1
+MEKYENLGLVGEGSYGMVMKCRNKDTGRIVAIKKFLESDDDKMVKKIAMREIKLLKQLRHENLVNLLEVCKKKKRWYLVFEFVDHTILDDLELFPNGLDYQVVQKYLFQIINGIGFCHSHNIIHRDIKPENILVSQSGVVKLCDFGFARTLAAPGEVYTDYVATRWYRAPELLVGDVKYGKAVDVWAIGCLVTEMFMGEPLFPGDSDIDQLYHIMMCLGNLIPRHQELFNKNPVFAGVRLPEIKEREPLERRYPKLSEVVIDLAKKCLHIDPDKRPFCAELLHHDFFQMDGFAERFSQELQLKVQKDARNVSLSKKSQNRKKEKEKDDSLVEERKTLVVQDTNADPKIKDYKLFKIKGSKIDGEKAEKGNRASNASCLHDSRTSHNKIVPSTSLKDCSNVSVDHTRNPSVAIPPLTHNLSAVAPSINSGMGTETIPIQGYRVDEKTKKCSIPFVKPNRHSPSGIYNINVTTLVSGPPLSDDSGADLPQMEHQH
+>DECOY_sp|Q92772|CDKL2_HUMAN Cyclin-dependent kinase-like 2 OS=Homo sapiens OX=9606 GN=CDKL2 PE=1 SV=1
+HQHEMQPLDAGSDDSLPPGSVLTTVNINYIGSPSHRNPKVFPISCKKTKEDVRYGQIPITETGMGSNISPAVASLNHTLPPIAVSPNRTHDVSVNSCDKLSTSPVIKNHSTRSDHLCSANSARNGKEAKEGDIKSGKIKFLKYDKIKPDANTDQVVLTKREEVLSDDKEKEKKRNQSKKSLSVNRADKQVKLQLEQSFREAFGDMQFFDHHLLEACFPRKDPDIHLCKKALDIVVESLKPYRRELPEREKIEPLRVGAFVPNKNFLEQHRPILNGLCMMIHYLQDIDSDGPFLPEGMFMETVLCGIAWVDVAKGYKVDGVLLEPARYWRTAVYDTYVEGPAALTRAFGFDCLKVVGSQSVLINEPKIDRHIINHSHCFGIGNIIQFLYKQVVQYDLGNPFLELDDLITHDVFEFVLYWRKKKKCVELLNVLNEHRLQKLLKIERMAIKKVMKDDDSELFKKIAVIRGTDKNRCKMVMGYSGEGVLGLNEYKEM
+>sp|O76039|CDKL5_HUMAN Cyclin-dependent kinase-like 5 OS=Homo sapiens OX=9606 GN=CDKL5 PE=1 SV=1
+MKIPNIGNVMNKFEILGVVGEGAYGVVLKCRHKETHEIVAIKKFKDSEENEEVKETTLRELKMLRTLKQENIVELKEAFRRRGKLYLVFEYVEKNMLELLEEMPNGVPPEKVKSYIYQLIKAIHWCHKNDIVHRDIKPENLLISHNDVLKLCDFGFARNLSEGNNANYTEYVATRWYRSPELLLGAPYGKSVDMWSVGCILGELSDGQPLFPGESEIDQLFTIQKVLGPLPSEQMKLFYSNPRFHGLRFPAVNHPQSLERRYLGILNSVLLDLMKNLLKLDPADRYLTEQCLNHPTFQTQRLLDRSPSRSAKRKPYHVESSTLSNRNQAGKSTALQSHHRSNSKDIQNLSVGLPRADEGLPANESFLNGNLAGASLSPLHTKTYQASSQPGSTSKDLTNNNIPHLLSPKEAKSKTEFDFNIDPKPSEGPGTKYLKSNSRSQQNRHSFMESSQSKAGTLQPNEKQSRHSYIDTIPQSSRSPSYRTKAKSHGALSDSKSVSNLSEARAQIAEPSTSRYFPSSCLDLNSPTSPTPTRHSDTRTLLSPSGRNNRNEGTLDSRRTTTRHSKTMEELKLPEHMDSSHSHSLSAPHESFSYGLGYTSPFSSQQRPHRHSMYVTRDKVRAKGLDGSLSIGQGMAARANSLQLLSPQPGEQLPPEMTVARSSVKETSREGTSSFHTRQKSEGGVYHDPHSDDGTAPKENRHLYNDPVPRRVGSFYRVPSPRPDNSFHENNVSTRVSSLPSESSSGTNHSKRQPAFDPWKSPENISHSEQLKEKEKQGFFRSMKKKKKKSQTVPNSDSPDLLTLQKSIHSASTPSSRPKEWRPEKISDLQTQSQPLKSLRKLLHLSSASNHPASSDPRFQPLTAQQTKNSFSEIRIHPLSQASGGSSNIRQEPAPKGRPALQLPDGGCDGRRQRHHSGPQDRRFMLRTTEQQGEYFCCGDPKKPHTPCVPNRALHRPISSPAPYPVLQVRGTSMCPTLQVRGTDAFSCPTQQSGFSFFVRHVMREALIHRAQVNQAALLTYHENAALTGK
+>DECOY_sp|O76039|CDKL5_HUMAN Cyclin-dependent kinase-like 5 OS=Homo sapiens OX=9606 GN=CDKL5 PE=1 SV=1
+KGTLAANEHYTLLAAQNVQARHILAERMVHRVFFSFGSQQTPCSFADTGRVQLTPCMSTGRVQLVPYPAPSSIPRHLARNPVCPTHPKKPDGCCFYEGQQETTRLMFRRDQPGSHHRQRRGDCGGDPLQLAPRGKPAPEQRINSSGGSAQSLPHIRIESFSNKTQQATLPQFRPDSSAPHNSASSLHLLKRLSKLPQSQTQLDSIKEPRWEKPRSSPTSASHISKQLTLLDPSDSNPVTQSKKKKKKMSRFFGQKEKEKLQESHSINEPSKWPDFAPQRKSHNTGSSSESPLSSVRTSVNNEHFSNDPRPSPVRYFSGVRRPVPDNYLHRNEKPATGDDSHPDHYVGGESKQRTHFSSTGERSTEKVSSRAVTMEPPLQEGPQPSLLQLSNARAAMGQGISLSGDLGKARVKDRTVYMSHRHPRQQSSFPSTYGLGYSFSEHPASLSHSHSSDMHEPLKLEEMTKSHRTTTRRSDLTGENRNNRGSPSLLTRTDSHRTPTPSTPSNLDLCSSPFYRSTSPEAIQARAESLNSVSKSDSLAGHSKAKTRYSPSRSSQPITDIYSHRSQKENPQLTGAKSQSSEMFSHRNQQSRSNSKLYKTGPGESPKPDINFDFETKSKAEKPSLLHPINNNTLDKSTSGPQSSAQYTKTHLPSLSAGALNGNLFSENAPLGEDARPLGVSLNQIDKSNSRHHSQLATSKGAQNRNSLTSSEVHYPKRKASRSPSRDLLRQTQFTPHNLCQETLYRDAPDLKLLNKMLDLLVSNLIGLYRRELSQPHNVAPFRLGHFRPNSYFLKMQESPLPGLVKQITFLQDIESEGPFLPQGDSLEGLICGVSWMDVSKGYPAGLLLEPSRYWRTAVYETYNANNGESLNRAFGFDCLKLVDNHSILLNEPKIDRHVIDNKHCWHIAKILQYIYSKVKEPPVGNPMEELLELMNKEVYEFVLYLKGRRRFAEKLEVINEQKLTRLMKLERLTTEKVEENEESDKFKKIAVIEHTEKHRCKLVVGYAGEGVVGLIEFKNMVNGINPIKM
+>sp|P49918|CDN1C_HUMAN Cyclin-dependent kinase inhibitor 1C OS=Homo sapiens OX=9606 GN=CDKN1C PE=1 SV=1
+MSDASLRSTSTMERLVARGTFPVLVRTSACRSLFGPVDHEELSRELQARLAELNAEDQNRWDYDFQQDMPLRGPGRLQWTEVDSDSVPAFYRETVQVGRCRLLLAPRPVAVAVAVSPPLEPAAESLDGLEEAPEQLPSVPVPAPASTPPPVPVLAPAPAPAPAPVAAPVAAPVAVAVLAPAPAPAPAPAPAPAPVAAPAPAPAPAPAPAPAPAPAPDAAPQESAEQGANQGQRGQEPLADQLHSGISGRPAAGTAAASANGAAIKKLSGPLISDFFAKRKRSAPEKSSGDVPAPCPSPSAAPGVGSVEQTPRKRLR
+>DECOY_sp|P49918|CDN1C_HUMAN Cyclin-dependent kinase inhibitor 1C OS=Homo sapiens OX=9606 GN=CDKN1C PE=1 SV=1
+RLRKRPTQEVSGVGPAASPSPCPAPVDGSSKEPASRKRKAFFDSILPGSLKKIAAGNASAAATGAAPRGSIGSHLQDALPEQGRQGQNAGQEASEQPAADPAPAPAPAPAPAPAPAPAPAAVPAPAPAPAPAPAPAPALVAVAVPAAVPAAVPAPAPAPAPALVPVPPPTSAPAPVPVSPLQEPAEELGDLSEAAPELPPSVAVAVAVPRPALLLRCRGVQVTERYFAPVSDSDVETWQLRGPGRLPMDQQFDYDWRNQDEANLEALRAQLERSLEEHDVPGFLSRCASTRVLVPFTGRAVLREMTSTSRLSADSM
+>sp|P42773|CDN2C_HUMAN Cyclin-dependent kinase 4 inhibitor C OS=Homo sapiens OX=9606 GN=CDKN2C PE=1 SV=1
+MAEPWGNELASAAARGDLEQLTSLLQNNVNVNAQNGFGRTALQVMKLGNPEIARRLLLRGANPDLKDRTGFAVIHDAARAGFLDTLQTLLEFQADVNIEDNEGNLPLHLAAKEGHLRVVEFLVKHTASNVGHRNHKGDTACDLARLYGRNEVVSLMQANGAGGATNLQ
+>DECOY_sp|P42773|CDN2C_HUMAN Cyclin-dependent kinase 4 inhibitor C OS=Homo sapiens OX=9606 GN=CDKN2C PE=1 SV=1
+QLNTAGGAGNAQMLSVVENRGYLRALDCATDGKHNRHGVNSATHKVLFEVVRLHGEKAALHLPLNGENDEINVDAQFELLTQLTDLFGARAADHIVAFGTRDKLDPNAGRLLLRRAIEPNGLKMVQLATRGFGNQANVNVNNQLLSTLQELDGRAAASALENGWPEAM
+>sp|A4QMS7|CE049_HUMAN Uncharacterized protein C5orf49 OS=Homo sapiens OX=9606 GN=C5orf49 PE=2 SV=1
+MEDDEEETTASTLRGKPRPPPVSAQSAFSYIPPRRLDPKEHSYYYRPARTGIISLYDCIFKRRLDYDQKLHRDDREHAKSLGLHVNEEEQERPVGVLTSSVYGKRINQPIEPLNRDFGRANHVQADFYRKNDIPSLKEPGFGHIAPS
+>DECOY_sp|A4QMS7|CE049_HUMAN Uncharacterized protein C5orf49 OS=Homo sapiens OX=9606 GN=C5orf49 PE=2 SV=1
+SPAIHGFGPEKLSPIDNKRYFDAQVHNARGFDRNLPEIPQNIRKGYVSSTLVGVPREQEEENVHLGLSKAHERDDRHLKQDYDLRRKFICDYLSIIGTRAPRYYYSHEKPDLRRPPIYSFASQASVPPPRPKGRLTSATTEEEDDEM
+>sp|Q3MJ40|C144B_HUMAN Coiled-coil domain-containing protein 144B OS=Homo sapiens OX=9606 GN=CCDC144B PE=2 SV=1
+MASWGGEKRGGAEGSPKLAVYATRKTRSVRSQEDQWYLGYPGDQWSSGFSYSWWKNSVGSESKHGEGALDQPQHDVRLEDLGELHRAARSGDVPGVEHVLAPGDTGVDKRDRKKSIQQLVPEYKEKQTPESLPQNNNPDWHPTNLTLSDETCQRSKNLKVGDKSPSVSPSMPENQSATKELGQMNLTEREKMDTGVVLLSGNDTLHDLCQSQLPENKESKEAEQDLELTSEEEQERLKGCENKQPQKTSQEPEMAKDCDREDIPIYPVLPHVQKSEEMWIEQGKLEWKNQLKLVINELKQRFGEIYEKYKIPACPEEEPLLDNSTRGTDVKDIPFNLTNNIPGCEEEDASEISVSVVFETFPEQKEPSLKNIIHPYYHPYSGSQEHVCQSSSKLHLHENKLDCDNDNKLGIGHIFSTDNNFHNDASTKKARNPEVVTVEMKEDQEFDLQMTKNMNQNSDSGSTNNYKSLKPKLENLSSLPPDSDRTSEVYLHEELQQDMQKFKNEVNTLEEEFLALKKENVQLHKEVEEEMEKHRSNSTELSGTLTDGTTVGNDDDGLNQQIPRKENEEHDRPADKTANEKNKVKNQIYPEADFADSMEPSEIASEDCELSHSVYENFMLLIEQLRMEYKDSASLPRIQDTFCLCEHLLKLKNNHCDQLTVKLKQMENMVSVLQNELSETKKTKLQLELQKIEWEKELYDLRLALKQENGRKEMPICCIIKIVNS
+>DECOY_sp|Q3MJ40|C144B_HUMAN Coiled-coil domain-containing protein 144B OS=Homo sapiens OX=9606 GN=CCDC144B PE=2 SV=1
+SNVIKIICCIPMEKRGNEQKLALRLDYLEKEWEIKQLELQLKTKKTESLENQLVSVMNEMQKLKVTLQDCHNNKLKLLHECLCFTDQIRPLSASDKYEMRLQEILLMFNEYVSHSLECDESAIESPEMSDAFDAEPYIQNKVKNKENATKDAPRDHEENEKRPIQQNLGDDDNGVTTGDTLTGSLETSNSRHKEMEEEVEKHLQVNEKKLALFEEELTNVENKFKQMDQQLEEHLYVESTRDSDPPLSSLNELKPKLSKYNNTSGSDSNQNMNKTMQLDFEQDEKMEVTVVEPNRAKKTSADNHFNNDTSFIHGIGLKNDNDCDLKNEHLHLKSSSQCVHEQSGSYPHYYPHIINKLSPEKQEPFTEFVVSVSIESADEEECGPINNTLNFPIDKVDTGRTSNDLLPEEEPCAPIKYKEYIEGFRQKLENIVLKLQNKWELKGQEIWMEESKQVHPLVPYIPIDERDCDKAMEPEQSTKQPQKNECGKLREQEEESTLELDQEAEKSEKNEPLQSQCLDHLTDNGSLLVVGTDMKERETLNMQGLEKTASQNEPMSPSVSPSKDGVKLNKSRQCTEDSLTLNTPHWDPNNNQPLSEPTQKEKYEPVLQQISKKRDRKDVGTDGPALVHEVGPVDGSRAARHLEGLDELRVDHQPQDLAGEGHKSESGVSNKWWSYSFGSSWQDGPYGLYWQDEQSRVSRTKRTAYVALKPSGEAGGRKEGGWSAM
+>sp|Q96L14|C170L_HUMAN Cep170-like protein OS=Homo sapiens OX=9606 GN=CEP170P1 PE=5 SV=2
+MPTSSSFKHRIKEQEDYIRDWTAHREEIARISQDLALIAREINDVAGEIDSVTSSGTAPSTTLVDRVFDESLNFQKIPPLVHSKTPEGNNGRSGDPRPQAAEPPDHLTITRRRTWSRDEVMGDNLLLSSVFQFSKKIRQSIDKTAGKIRILFKDKDRNWDDIESKLRAESEVPIVKTSSMEISSILQELKRVEKQLQAINAMIDPDGTLEALNNMGFPSAMLPSPPKQKSSPVNNHHSPGQTPTLGQPEARALHPAAVSAAAEFENAESEADFSIHFNRVNPDGEEEDVTVHK
+>DECOY_sp|Q96L14|C170L_HUMAN Cep170-like protein OS=Homo sapiens OX=9606 GN=CEP170P1 PE=5 SV=2
+KHVTVDEEEGDPNVRNFHISFDAESEANEFEAAASVAAPHLARAEPQGLTPTQGPSHHNNVPSSKQKPPSPLMASPFGMNNLAELTGDPDIMANIAQLQKEVRKLEQLISSIEMSSTKVIPVESEARLKSEIDDWNRDKDKFLIRIKGATKDISQRIKKSFQFVSSLLLNDGMVEDRSWTRRRTITLHDPPEAAQPRPDGSRGNNGEPTKSHVLPPIKQFNLSEDFVRDVLTTSPATGSSTVSDIEGAVDNIERAILALDQSIRAIEERHATWDRIYDEQEKIRHKFSSSTPM
+>sp|Q2TBE0|C19L2_HUMAN CWF19-like protein 2 OS=Homo sapiens OX=9606 GN=CWF19L2 PE=1 SV=4
+MATSMAAASGRFESAKSIEERKEQTRNARAEVLRQAKANFEKEERRKELKRLRGEDTWMLPDVNERIEQFSQEHSVKKKKKKDKHSKKAKKEKKKKSKKQKYEKNNESSDSSSSSEDEWVEAVPSQTPDKEKAWKVKDEKSGKDDTQIIKRDEWMTVDFMSVKTVSSSSLKAEKETMRKIEQEKNQALEQSKLMERELNPYWKDGGTGLPPEDCSVSSITKVSVVEDGGLSWLRKSYLRMKEQAEKQSRNFEDIVAERYGSMEIFQSKLEDAEKAASTKEDYRRERWRKPTYSDKAQNCQESRESDLVKYGNSSRDRYATTDTAKNSNNEKFIGDEKDKRPGSLETCRRESNPRQNQEFSFGNLRAKFLRPSDDEELSFHSKGRKFEPLSSSSALVAQGSLCSGFRKPTKNSEERLTSWSRSDGRGDKKHSNQKPSETSTDEHQHVPEDPREKSQDEVLRDDPPKKEHLRDTKSTFAGSPERESIHILSVDEKNKLGAKIIKAEMMGNMELAEQLKVQLEKANKFKETITQIPKKSGVENEDQQEVILVRTDQSGRVWPVNTPGKSLESQGGRRKRQMVSTHEERERVRYFHDDDNLSLNDLVKNEKMGTAENQNKLFMRMASKFMGKTDGDYYTLDDMFVSKAAERERLGEEEENQRKKAIAEHRSLAAQMEKCLYCFDSSQFPKHLIVAIGVKVYLCLPNVRSLTEGHCLIVPLQHHRAATLLDEDIWEEIQMFRKSLVKMFEDKGLDCIFLETNMSMKKQYHMVYECIPLPKEVGDMAPIYFKKAIMESDEEWSMNKKLIDLSSKDIRKSVPRGLPYFSVDFGLHGGFAHVIEDQHKFPHYFGKEIIGGMLDIEPRLWRKGIRESFEDQRKKALQFAQWWKPYDFTKSKNY
+>DECOY_sp|Q2TBE0|C19L2_HUMAN CWF19-like protein 2 OS=Homo sapiens OX=9606 GN=CWF19L2 PE=1 SV=4
+YNKSKTFDYPKWWQAFQLAKKRQDEFSERIGKRWLRPEIDLMGGIIEKGFYHPFKHQDEIVHAFGGHLGFDVSFYPLGRPVSKRIDKSSLDILKKNMSWEEDSEMIAKKFYIPAMDGVEKPLPICEYVMHYQKKMSMNTELFICDLGKDEFMKVLSKRFMQIEEWIDEDLLTAARHHQLPVILCHGETLSRVNPLCLYVKVGIAVILHKPFQSSDFCYLCKEMQAALSRHEAIAKKRQNEEEEGLREREAAKSVFMDDLTYYDGDTKGMFKSAMRMFLKNQNEATGMKENKVLDNLSLNDDDHFYRVREREEHTSVMQRKRRGGQSELSKGPTNVPWVRGSQDTRVLIVEQQDENEVGSKKPIQTITEKFKNAKELQVKLQEALEMNGMMEAKIIKAGLKNKEDVSLIHISEREPSGAFTSKTDRLHEKKPPDDRLVEDQSKERPDEPVHQHEDTSTESPKQNSHKKDGRGDSRSWSTLREESNKTPKRFGSCLSGQAVLASSSSLPEFKRGKSHFSLEEDDSPRLFKARLNGFSFEQNQRPNSERRCTELSGPRKDKEDGIFKENNSNKATDTTAYRDRSSNGYKVLDSERSEQCNQAKDSYTPKRWRERRYDEKTSAAKEADELKSQFIEMSGYREAVIDEFNRSQKEAQEKMRLYSKRLWSLGGDEVVSVKTISSVSCDEPPLGTGGDKWYPNLEREMLKSQELAQNKEQEIKRMTEKEAKLSSSSVTKVSMFDVTMWEDRKIIQTDDKGSKEDKVKWAKEKDPTQSPVAEVWEDESSSSSDSSENNKEYKQKKSKKKKEKKAKKSHKDKKKKKKVSHEQSFQEIRENVDPLMWTDEGRLRKLEKRREEKEFNAKAQRLVEARANRTQEKREEISKASEFRGSAAAMSTAM
+>sp|P02746|C1QB_HUMAN Complement C1q subcomponent subunit B OS=Homo sapiens OX=9606 GN=C1QB PE=1 SV=3
+MMMKIPWGSIPVLMLLLLLGLIDISQAQLSCTGPPAIPGIPGIPGTPGPDGQPGTPGIKGEKGLPGLAGDHGEFGEKGDPGIPGNPGKVGPKGPMGPKGGPGAPGAPGPKGESGDYKATQKIAFSATRTINVPLRRDQTIRFDHVITNMNNNYEPRSGKFTCKVPGLYYFTYHASSRGNLCVNLMRGRERAQKVVTFCDYAYNTFQVTTGGMVLKLEQGENVFLQATDKNSLLGMEGANSIFSGFLLFPDMEA
+>DECOY_sp|P02746|C1QB_HUMAN Complement C1q subcomponent subunit B OS=Homo sapiens OX=9606 GN=C1QB PE=1 SV=3
+AEMDPFLLFGSFISNAGEMGLLSNKDTAQLFVNEGQELKLVMGGTTVQFTNYAYDCFTVVKQARERGRMLNVCLNGRSSAHYTFYYLGPVKCTFKGSRPEYNNNMNTIVHDFRITQDRRLPVNITRTASFAIKQTAKYDGSEGKPGPAGPAGPGGKPGMPGKPGVKGPNGPIGPDGKEGFEGHDGALGPLGKEGKIGPTGPQGDPGPTGPIGPIGPIAPPGTCSLQAQSIDILGLLLLLMLVPISGWPIKMMM
+>sp|P02747|C1QC_HUMAN Complement C1q subcomponent subunit C OS=Homo sapiens OX=9606 GN=C1QC PE=1 SV=3
+MDVGPSSLPHLGLKLLLLLLLLPLRGQANTGCYGIPGMPGLPGAPGKDGYDGLPGPKGEPGIPAIPGIRGPKGQKGEPGLPGHPGKNGPMGPPGMPGVPGPMGIPGEPGEEGRYKQKFQSVFTVTRQTHQPPAPNSLIRFNAVLTNPQGDYDTSTGKFTCKVPGLYYFVYHASHTANLCVLLYRSGVKVVTFCGHTSKTNQVNSGGVLLRLQVGEEVWLAVNDYYDMVGIQGSDSVFSGFLLFPD
+>DECOY_sp|P02747|C1QC_HUMAN Complement C1q subcomponent subunit C OS=Homo sapiens OX=9606 GN=C1QC PE=1 SV=3
+DPFLLFGSFVSDSGQIGVMDYYDNVALWVEEGVQLRLLVGGSNVQNTKSTHGCFTVVKVGSRYLLVCLNATHSAHYVFYYLGPVKCTFKGTSTDYDGQPNTLVANFRILSNPAPPQHTQRTVTFVSQFKQKYRGEEGPEGPIGMPGPVGPMGPPGMPGNKGPHGPLGPEGKQGKPGRIGPIAPIGPEGKPGPLGDYGDKGPAGPLGPMGPIGYCGTNAQGRLPLLLLLLLLKLGLHPLSSPGVDM
+>sp|Q5VWW1|C1QL3_HUMAN Complement C1q-like protein 3 OS=Homo sapiens OX=9606 GN=C1QL3 PE=2 SV=1
+MVLLLVILIPVLVSSAGTSAHYEMLGTCRMVCDPYGGTKAPSTAATPDRGLMQSLPTFIQGPKGEAGRPGKAGPRGPPGEPGPPGPMGPPGEKGEPGRQGLPGPPGAPGLNAAGAISAATYSTVPKIAFYAGLKRQHEGYEVLKFDDVVTNLGNHYDPTTGKFTCSIPGIYFFTYHVLMRGGDGTSMWADLCKNNQVRASAIAQDADQNYDYASNSVVLHLEPGDEVYIKLDGGKAHGGNNNKYSTFSGFIIYAD
+>DECOY_sp|Q5VWW1|C1QL3_HUMAN Complement C1q-like protein 3 OS=Homo sapiens OX=9606 GN=C1QL3 PE=2 SV=1
+DAYIIFGSFTSYKNNNGGHAKGGDLKIYVEDGPELHLVVSNSAYDYNQDADQAIASARVQNNKCLDAWMSTGDGGRMLVHYTFFYIGPISCTFKGTTPDYHNGLNTVVDDFKLVEYGEHQRKLGAYFAIKPVTSYTAASIAGAANLGPAGPPGPLGQRGPEGKEGPPGMPGPPGPEGPPGRPGAKGPRGAEGKPGQIFTPLSQMLGRDPTAATSPAKTGGYPDCVMRCTGLMEYHASTGASSVLVPILIVLLLVM
+>sp|Q86Z23|C1QL4_HUMAN Complement C1q-like protein 4 OS=Homo sapiens OX=9606 GN=C1QL4 PE=2 SV=1
+MVLLLLVAIPLLVHSSRGPAHYEMLGRCRMVCDPHGPRGPGPDGAPASVPPFPPGAKGEVGRRGKAGLRGPPGPPGPRGPPGEPGRPGPPGPPGPGPGGVAPAAGYVPRIAFYAGLRRPHEGYEVLRFDDVVTNVGNAYEAASGKFTCPMPGVYFFAYHVLMRGGDGTSMWADLMKNGQVRASAIAQDADQNYDYASNSVILHLDVGDEVFIKLDGGKVHGGNTNKYSTFSGFIIYPD
+>DECOY_sp|Q86Z23|C1QL4_HUMAN Complement C1q-like protein 4 OS=Homo sapiens OX=9606 GN=C1QL4 PE=2 SV=1
+DPYIIFGSFTSYKNTNGGHVKGGDLKIFVEDGVDLHLIVSNSAYDYNQDADQAIASARVQGNKMLDAWMSTGDGGRMLVHYAFFYVGPMPCTFKGSAAEYANGVNTVVDDFRLVEYGEHPRRLGAYFAIRPVYGAAPAVGGPGPGPPGPPGPRGPEGPPGRPGPPGPPGRLGAKGRRGVEGKAGPPFPPVSAPAGDPGPGRPGHPDCVMRCRGLMEYHAPGRSSHVLLPIAVLLLLVM
+>sp|Q8N8Q1|C56D1_HUMAN Cytochrome b561 domain-containing protein 1 OS=Homo sapiens OX=9606 GN=CYB561D1 PE=2 SV=1
+MQPLEVGLVPAPAGEPRLTRWLRRGSGILAHLVALGFTIFLTALSRPGTSLFSWHPVFMALAFCLCMAEAILLFSPEHSLFFFCSRKARIRLHWAGQTLAILCAALGLGFIISSRTRSELPHLVSWHSWVGALTLLATAVQALCGLCLLCPRAARVSRVARLKLYHLTCGLVVYLMATVTVLLGMYSVWFQAQIKGAAWYLCLALPVYPALVIMHQISRSYLPRKKMEM
+>DECOY_sp|Q8N8Q1|C56D1_HUMAN Cytochrome b561 domain-containing protein 1 OS=Homo sapiens OX=9606 GN=CYB561D1 PE=2 SV=1
+MEMKKRPLYSRSIQHMIVLAPYVPLALCLYWAAGKIQAQFWVSYMGLLVTVTAMLYVVLGCTLHYLKLRAVRSVRAARPCLLCLGCLAQVATALLTLAGVWSHWSVLHPLESRTRSSIIFGLGLAACLIALTQGAWHLRIRAKRSCFFFLSHEPSFLLIAEAMCLCFALAMFVPHWSFLSTGPRSLATLFITFGLAVLHALIGSGRRLWRTLRPEGAPAPVLGVELPQM
+>sp|Q9P296|C5AR2_HUMAN C5a anaphylatoxin chemotactic receptor 2 OS=Homo sapiens OX=9606 GN=C5AR2 PE=1 SV=1
+MGNDSVSYEYGDYSDLSDRPVDCLDGACLAIDPLRVAPLPLYAAIFLVGVPGNAMVAWVAGKVARRRVGATWLLHLAVADLLCCLSLPILAVPIARGGHWPYGAVGCRALPSIILLTMYASVLLLAALSADLCFLALGPAWWSTVQRACGVQVACGAAWTLALLLTVPSAIYRRLHQEHFPARLQCVVDYGGSSSTENAVTAIRFLFGFLGPLVAVASCHSALLCWAARRCRPLGTAIVVGFFVCWAPYHLLGLVLTVAAPNSALLARALRAEPLIVGLALAHSCLNPMLFLYFGRAQLRRSLPAACHWALRESQGQDESVDSKKSTSHDLVSEMEV
+>DECOY_sp|Q9P296|C5AR2_HUMAN C5a anaphylatoxin chemotactic receptor 2 OS=Homo sapiens OX=9606 GN=C5AR2 PE=1 SV=1
+VEMESVLDHSTSKKSDVSEDQGQSERLAWHCAAPLSRRLQARGFYLFLMPNLCSHALALGVILPEARLARALLASNPAAVTLVLGLLHYPAWCVFFGVVIATGLPRCRRAAWCLLASHCSAVAVLPGLFGFLFRIATVANETSSSGGYDVVCQLRAPFHEQHLRRYIASPVTLLLALTWAAGCAVQVGCARQVTSWWAPGLALFCLDASLAALLLVSAYMTLLIISPLARCGVAGYPWHGGRAIPVALIPLSLCCLLDAVALHLLWTAGVRRRAVKGAVWAVMANGPVGVLFIAAYLPLPAVRLPDIALCAGDLCDVPRDSLDSYDGYEYSVSDNGM
+>sp|Q9BWL3|CA043_HUMAN Uncharacterized protein C1orf43 OS=Homo sapiens OX=9606 GN=C1orf43 PE=2 SV=1
+MASGSNWLSGVNVVLVMAYGSLVFVLLFIFVKRQIMRFAMKSRRGPHVPVGHNAPKDLKEEIDIRLSRVQDIKYEPQLLADDDARLLQLETQGNQSCYNYLYRMKALDAIRTSEIPFHSEGRHPRSLMGKNFRSYLLDLRNTSTPFKGVRKALIDTLLDGYETARYGTGVFGQNEYLRYQEALSELATAVKARIGSSQRHHQSAAKDLTQSPEVSPTTIQVTYLPSSQKSKRAKHFLELKSFKDNYNTLESTL
+>DECOY_sp|Q9BWL3|CA043_HUMAN Uncharacterized protein C1orf43 OS=Homo sapiens OX=9606 GN=C1orf43 PE=2 SV=1
+LTSELTNYNDKFSKLELFHKARKSKQSSPLYTVQITTPSVEPSQTLDKAASQHHRQSSGIRAKVATALESLAEQYRLYENQGFVGTGYRATEYGDLLTDILAKRVGKFPTSTNRLDLLYSRFNKGMLSRPHRGESHFPIESTRIADLAKMRYLYNYCSQNGQTELQLLRADDDALLQPEYKIDQVRSLRIDIEEKLDKPANHGVPVHPGRRSKMAFRMIQRKVFIFLLVFVLSGYAMVLVVNVGSLWNSGSAM
+>sp|Q5VUE5|CA053_HUMAN Uncharacterized protein C1orf53 OS=Homo sapiens OX=9606 GN=C1orf53 PE=1 SV=1
+MAARQIWARTGAALCRQPSAAPPPAPLWVRAGFRQQLSLTLCPANEGNCGGSAPSTPGRPERAARPSVSEELTAAERQIAELHAAACAAGQLNYVDPATGYVVLTQIAHLQRGECCGSACRHCPYGQVNVKDPSKKKQFNSYFYV
+>DECOY_sp|Q5VUE5|CA053_HUMAN Uncharacterized protein C1orf53 OS=Homo sapiens OX=9606 GN=C1orf53 PE=1 SV=1
+VYFYSNFQKKKSPDKVNVQGYPCHRCASGCCEGRQLHAIQTLVVYGTAPDVYNLQGAACAAAHLEAIQREAATLEESVSPRAAREPRGPTSPASGGCNGENAPCLTLSLQQRFGARVWLPAPPPAASPQRCLAAGTRAWIQRAAM
+>sp|Q9BRT7|CA097_HUMAN Putative uncharacterized protein encoded by LINC00467 OS=Homo sapiens OX=9606 GN=LINC00467 PE=5 SV=1
+MDKKSTHRNPEDARAGKYEGKHKRKKRRKQNQNQHRSRHRSVTSFSSDDPMFPSSSSSSSGSQTDSSIEDAAKGKIKKKRREKTNKWEKRKDKI
+>DECOY_sp|Q9BRT7|CA097_HUMAN Putative uncharacterized protein encoded by LINC00467 OS=Homo sapiens OX=9606 GN=LINC00467 PE=5 SV=1
+IKDKRKEWKNTKERRKKKIKGKAADEISSDTQSGSSSSSSSPFMPDDSSFSTVSRHRSRHQNQNQKRRKKRKHKGEYKGARADEPNRHTSKKDM
+>sp|O95561|CA105_HUMAN Uncharacterized protein C1orf105 OS=Homo sapiens OX=9606 GN=C1orf105 PE=1 SV=1
+MEKRELKASVPKFDKIPWLSEASLVNKPLVLSLPRRYPHTSATFLTSSKKNMNLPILFQVPDVLSKARRNQCDSMLLRNQQLCSTCQEMKMVQPRTMKIPDDPKASFENCMSYRMSLHQPKFQTTPEPFHDDIPTESIHYRLPILGPRTAVFHGLLTEAYKTLKERQRSSLPRKEPIGKTTRQ
+>DECOY_sp|O95561|CA105_HUMAN Uncharacterized protein C1orf105 OS=Homo sapiens OX=9606 GN=C1orf105 PE=1 SV=1
+QRTTKGIPEKRPLSSRQREKLTKYAETLLGHFVATRPGLIPLRYHISETPIDDHFPEPTTQFKPQHLSMRYSMCNEFSAKPDDPIKMTRPQVMKMEQCTSCLQQNRLLMSDCQNRRAKSLVDPVQFLIPLNMNKKSSTLFTASTHPYRRPLSLVLPKNVLSAESLWPIKDFKPVSAKLERKEM
+>sp|Q9NX04|CA109_HUMAN Uncharacterized protein C1orf109 OS=Homo sapiens OX=9606 GN=C1orf109 PE=1 SV=1
+MTQDRPLLAVQEALKKCFPVVEEQQGLWQSALRDCQPLLSSLSNLAEQLQAAQNLRFEDVPALRAFPDLKERLRRKQLVAGDIVLDKLGERLAILLKVRDMVSSHVERVFQIYEQHADTVGIDAVLQPSAVSPSVADMLEWLQDIERHYRKSYLKRKYLLSSIQWGDLANIQALPKAWDRISKDEHQDLVQDILLNVSFFLEE
+>DECOY_sp|Q9NX04|CA109_HUMAN Uncharacterized protein C1orf109 OS=Homo sapiens OX=9606 GN=C1orf109 PE=1 SV=1
+EELFFSVNLLIDQVLDQHEDKSIRDWAKPLAQINALDGWQISSLLYKRKLYSKRYHREIDQLWELMDAVSPSVASPQLVADIGVTDAHQEYIQFVREVHSSVMDRVKLLIALREGLKDLVIDGAVLQKRRLREKLDPFARLAPVDEFRLNQAAQLQEALNSLSSLLPQCDRLASQWLGQQEEVVPFCKKLAEQVALLPRDQTM
+>sp|Q5VVS0|CA140_HUMAN Putative uncharacterized protein C1orf140 OS=Homo sapiens OX=9606 GN=C1orf140 PE=5 SV=1
+MTQNSSSLGDRIITPYTPPRSQPMNKHLFVSLSTLFQTTELDFANKKSCFLCLPLRADGFPEMPHSPAQTERMQKLAKDPPHARSEDVPDHLFFVDTAGLREIVCFCGVLSLRTSEGLMRKETA
+>DECOY_sp|Q5VVS0|CA140_HUMAN Putative uncharacterized protein C1orf140 OS=Homo sapiens OX=9606 GN=C1orf140 PE=5 SV=1
+ATEKRMLGESTRLSLVGCFCVIERLGATDVFFLHDPVDESRAHPPDKALKQMRETQAPSHPMEPFGDARLPLCLFCSKKNAFDLETTQFLTSLSVFLHKNMPQSRPPTYPTIIRDGLSSSNQTM
+>sp|Q5VVC0|CA146_HUMAN Uncharacterized protein C1orf146 OS=Homo sapiens OX=9606 GN=C1orf146 PE=2 SV=1
+MAESGKEKIKWTTTIIISSSLKSYEVATALENRSHKVRYSDSVENGSIIFSLSGVAFLLMDTKECLLSTEEIFLAKIEKFINIHQNSFLVLSAALHGPEEWKLMFRIQQRFLGCNLRILPVHNTVNAINLMCTIAKTTSKPYIDSICYRMITAKAYIIEQSPVWKTLQKIKLNSDSVNPN
+>DECOY_sp|Q5VVC0|CA146_HUMAN Uncharacterized protein C1orf146 OS=Homo sapiens OX=9606 GN=C1orf146 PE=2 SV=1
+NPNVSDSNLKIKQLTKWVPSQEIIYAKATIMRYCISDIYPKSTTKAITCMLNIANVTNHVPLIRLNCGLFRQQIRFMLKWEEPGHLAASLVLFSNQHINIFKEIKALFIEETSLLCEKTDMLLFAVGSLSFIISGNEVSDSYRVKHSRNELATAVEYSKLSSSIIITTTWKIKEKGSEAM
+>sp|Q8NAE3|CA180_HUMAN Putative uncharacterized protein encoded by LINC01555 OS=Homo sapiens OX=9606 GN=LINC01555 PE=1 SV=1
+MLGPNAQVTVVVAQPGPDHLDHLLLDTQHCQHSSCGSAACTQLTWVPCLGGSHKASIKMSAQAVVSTEAPWERPCFQAHLGCLQNSVLCSCRMEGFSLFLAMLWGGVGELPSDPRGHLQFLAT
+>DECOY_sp|Q8NAE3|CA180_HUMAN Putative uncharacterized protein encoded by LINC01555 OS=Homo sapiens OX=9606 GN=LINC01555 PE=1 SV=1
+TALFQLHGRPDSPLEGVGGWLMALFLSFGEMRCSCLVSNQLCGLHAQFCPREWPAETSVVAQASMKISAKHSGGLCPVWTLQTCAASGCSSHQCHQTDLLLHDLHDPGPQAVVVTVQANPGLM
+>sp|A1L170|CA226_HUMAN Uncharacterized protein C1orf226 OS=Homo sapiens OX=9606 GN=C1orf226 PE=1 SV=1
+MFENLNTALTPKLQASRSFPHLSKPVAPGSAPLGSGEPGGPGLWVGSSQHLKNLGKAMGAKVNDFLRRKEPSSLGSVGVTEINKTAGAQLASGTDAAPEAWLEDERSVLQETFPRLDPPPPITRKRTPRALKTTQDMLISSQPVLSSLEYGTEPSPGQAQDSAPTAQPDVPADASQPEATMEREERGKVLPNGEVSLSVPDLIHKDSQDESKLKMTECRRASSPSLIERNGFKLSLSPISLAESWEDGSPPPQARTSSLDNEGPHPDLLSFE
+>DECOY_sp|A1L170|CA226_HUMAN Uncharacterized protein C1orf226 OS=Homo sapiens OX=9606 GN=C1orf226 PE=1 SV=1
+EFSLLDPHPGENDLSSTRAQPPPSGDEWSEALSIPSLSLKFGNREILSPSSARRCETMKLKSEDQSDKHILDPVSLSVEGNPLVKGREEREMTAEPQSADAPVDPQATPASDQAQGPSPETGYELSSLVPQSSILMDQTTKLARPTRKRTIPPPPDLRPFTEQLVSREDELWAEPAADTGSALQAGATKNIETVGVSGLSSPEKRRLFDNVKAGMAKGLNKLHQSSGVWLGPGGPEGSGLPASGPAVPKSLHPFSRSAQLKPTLATNLNEFM
+>sp|P54289|CA2D1_HUMAN Voltage-dependent calcium channel subunit alpha-2/delta-1 OS=Homo sapiens OX=9606 GN=CACNA2D1 PE=1 SV=3
+MAAGCLLALTLTLFQSLLIGPSSEEPFPSAVTIKSWVDKMQEDLVTLAKTASGVNQLVDIYEKYQDLYTVEPNNARQLVEIAARDIEKLLSNRSKALVRLALEAEKVQAAHQWREDFASNEVVYYNAKDDLDPEKNDSEPGSQRIKPVFIEDANFGRQISYQHAAVHIPTDIYEGSTIVLNELNWTSALDEVFKKNREEDPSLLWQVFGSATGLARYYPASPWVDNSRTPNKIDLYDVRRRPWYIQGAASPKDMLILVDVSGSVSGLTLKLIRTSVSEMLETLSDDDFVNVASFNSNAQDVSCFQHLVQANVRNKKVLKDAVNNITAKGITDYKKGFSFAFEQLLNYNVSRANCNKIIMLFTDGGEERAQEIFNKYNKDKKVRVFTFSVGQHNYDRGPIQWMACENKGYYYEIPSIGAIRINTQEYLDVLGRPMVLAGDKAKQVQWTNVYLDALELGLVITGTLPVFNITGQFENKTNLKNQLILGVMGVDVSLEDIKRLTPRFTLCPNGYYFAIDPNGYVLLHPNLQPKPIGVGIPTINLRKRRPNIQNPKSQEPVTLDFLDAELENDIKVEIRNKMIDGESGEKTFRTLVKSQDERYIDKGNRTYTWTPVNGTDYSLALVLPTYSFYYIKAKLEETITQARYSETLKPDNFEESGYTFIAPRDYCNDLKISDNNTEFLLNFNEFIDRKTPNNPSCNADLINRVLLDAGFTNELVQNYWSKQKNIKGVKARFVVTDGGITRVYPKEAGENWQENPETYEDSFYKRSLDNDNYVFTAPYFNKSGPGAYESGIMVSKAVEIYIQGKLLKPAVVGIKIDVNSWIENFTKTSIRDPCAGPVCDCKRNSDVMDCVILDDGGFLLMANHDDYTNQIGRFFGEIDPSLMRHLVNISVYAFNKSYDYQSVCEPGAAPKQGAGHRSAYVPSVADILQIGWWATAAAWSILQQFLLSLTFPRLLEAVEMEDDDFTASLSKQSCITEQTQYFFDNDSKSFSGVLDCGNCSRIFHGEKLMNTNLIFIMVESKGTCPCDTRLLIQAEQTSDGPNPCDMVKQPRYRKGPDVCFDNNVLEDYTDCGGVSGLNPSLWYIIGIQFLLLWLVSGSTHRLL
+>DECOY_sp|P54289|CA2D1_HUMAN Voltage-dependent calcium channel subunit alpha-2/delta-1 OS=Homo sapiens OX=9606 GN=CACNA2D1 PE=1 SV=3
+LLRHTSGSVLWLLLFQIGIIYWLSPNLGSVGGCDTYDELVNNDFCVDPGKRYRPQKVMDCPNPGDSTQEAQILLRTDCPCTGKSEVMIFILNTNMLKEGHFIRSCNGCDLVGSFSKSDNDFFYQTQETICSQKSLSATFDDDEMEVAELLRPFTLSLLFQQLISWAAATAWWGIQLIDAVSPVYASRHGAGQKPAAGPECVSQYDYSKNFAYVSINVLHRMLSPDIEGFFRGIQNTYDDHNAMLLFGGDDLIVCDMVDSNRKCDCVPGACPDRISTKTFNEIWSNVDIKIGVVAPKLLKGQIYIEVAKSVMIGSEYAGPGSKNFYPATFVYNDNDLSRKYFSDEYTEPNEQWNEGAEKPYVRTIGGDTVVFRAKVGKINKQKSWYNQVLENTFGADLLVRNILDANCSPNNPTKRDIFENFNLLFETNNDSIKLDNCYDRPAIFTYGSEEFNDPKLTESYRAQTITEELKAKIYYFSYTPLVLALSYDTGNVPTWTYTRNGKDIYREDQSKVLTRFTKEGSEGDIMKNRIEVKIDNELEADLFDLTVPEQSKPNQINPRRKRLNITPIGVGIPKPQLNPHLLVYGNPDIAFYYGNPCLTFRPTLRKIDELSVDVGMVGLILQNKLNTKNEFQGTINFVPLTGTIVLGLELADLYVNTWQVQKAKDGALVMPRGLVDLYEQTNIRIAGISPIEYYYGKNECAMWQIPGRDYNHQGVSFTFVRVKKDKNYKNFIEQAREEGGDTFLMIIKNCNARSVNYNLLQEFAFSFGKKYDTIGKATINNVADKLVKKNRVNAQVLHQFCSVDQANSNFSAVNVFDDDSLTELMESVSTRILKLTLGSVSGSVDVLILMDKPSAAGQIYWPRRRVDYLDIKNPTRSNDVWPSAPYYRALGTASGFVQWLLSPDEERNKKFVEDLASTWNLENLVITSGEYIDTPIHVAAHQYSIQRGFNADEIFVPKIRQSGPESDNKEPDLDDKANYYVVENSAFDERWQHAAQVKEAELALRVLAKSRNSLLKEIDRAAIEVLQRANNPEVTYLDQYKEYIDVLQNVGSATKALTVLDEQMKDVWSKITVASPFPEESSPGILLSQFLTLTLALLCGAAM
+>sp|Q8TDN4|CABL1_HUMAN CDK5 and ABL1 enzyme substrate 1 OS=Homo sapiens OX=9606 GN=CABLES1 PE=1 SV=2
+MAAAAAAATTAACSSGSAGTDAAGASGLQQPPPQPQPQPAAAAPAQPPPEPPRKPRMDPRRRQAALSFLTNISLDGRLPPQDAEWGGGEEGGAAKPGAGGACGARTRFSLLAAAERGGCIALAAPGTPAAGLAAGSGPCLPQPSSLPPLIPGGHATVSGPGVARGFASPLGAGRASGEQWQPPRPAPLAACAQLQLLDGSGAAGQEELEEDDAFISVQVPAAAFLGSGTPGSGSGSRGRLNSFTQGILPIAFSRPTSQNYCSLEQPGQGGSTSAFEQLQRSRRRLISQRSSLETLEDIEENAPLRRCRTLSGSPRPKNFKKIHFIKNMRQHDTRNGRIVLISGRRSFCSIFSVLPYRDSTQVGDLKLDGGRQSTGAVSLKEIIGLEGVELGADGKTVSYTQFLLPTNAFGARRNTIDSTSSFSQFRNLSHRSLSIGRASGTQGSLDTGSDLGDFMDYDPNLLDDPQWPCGKHKRVLIFPSYMTTVIDYVKPSDLKKDMNETFKEKFPHIKLTLSKIRSLKREMRKLAQEDCGLEEPTVAMAFVYFEKLALKGKLNKQNRKLCAGACVLLAAKIGSDLKKHEVKHLIDKLEEKFRLNRRELIAFEFPVLVALEFALHLPEHEVMPHYRRLVQSS
+>DECOY_sp|Q8TDN4|CABL1_HUMAN CDK5 and ABL1 enzyme substrate 1 OS=Homo sapiens OX=9606 GN=CABLES1 PE=1 SV=2
+SSQVLRRYHPMVEHEPLHLAFELAVLVPFEFAILERRNLRFKEELKDILHKVEHKKLDSGIKAALLVCAGACLKRNQKNLKGKLALKEFYVFAMAVTPEELGCDEQALKRMERKLSRIKSLTLKIHPFKEKFTENMDKKLDSPKVYDIVTTMYSPFILVRKHKGCPWQPDDLLNPDYDMFDGLDSGTDLSGQTGSARGISLSRHSLNRFQSFSSTSDITNRRAGFANTPLLFQTYSVTKGDAGLEVGELGIIEKLSVAGTSQRGGDLKLDGVQTSDRYPLVSFISCFSRRGSILVIRGNRTDHQRMNKIFHIKKFNKPRPSGSLTRCRRLPANEEIDELTELSSRQSILRRRSRQLQEFASTSGGQGPQELSCYNQSTPRSFAIPLIGQTFSNLRGRSGSGSGPTGSGLFAAAPVQVSIFADDEELEEQGAAGSGDLLQLQACAALPAPRPPQWQEGSARGAGLPSAFGRAVGPGSVTAHGGPILPPLSSPQPLCPGSGAALGAAPTGPAALAICGGREAAALLSFRTRAGCAGGAGPKAAGGEEGGGWEADQPPLRGDLSINTLFSLAAQRRRPDMRPKRPPEPPPQAPAAAAPQPQPQPPPQQLGSAGAADTGASGSSCAATTAAAAAAAM
+>sp|Q9NPB3|CABP2_HUMAN Calcium-binding protein 2 OS=Homo sapiens OX=9606 GN=CABP2 PE=1 SV=4
+MGNCAKRPWRRGPKDPLQWLGSPPRGSCPSPSSSPKEQGDPAPGVQGYSVLNSLVGPACIFLRPSIAATQLDRELRPEEIEELQVAFQEFDRDRDGYIGCRELGACMRTLGYMPTEMELIEISQQISGGKVDFEDFVELMGPKLLAETADMIGVRELRDAFREFDTNGDGRISVGELRAALKALLGERLSQREVDEILQDVDLNGDGLVDFEEFVRMMSR
+>DECOY_sp|Q9NPB3|CABP2_HUMAN Calcium-binding protein 2 OS=Homo sapiens OX=9606 GN=CABP2 PE=1 SV=4
+RSMMRVFEEFDVLGDGNLDVDQLIEDVERQSLREGLLAKLAARLEGVSIRGDGNTDFERFADRLERVGIMDATEALLKPGMLEVFDEFDVKGGSIQQSIEILEMETPMYGLTRMCAGLERCGIYGDRDRDFEQFAVQLEEIEEPRLERDLQTAAISPRLFICAPGVLSNLVSYGQVGPAPDGQEKPSSSPSPCSGRPPSGLWQLPDKPGRRWPRKACNGM
+>sp|Q86V35|CABP7_HUMAN Calcium-binding protein 7 OS=Homo sapiens OX=9606 GN=CABP7 PE=1 SV=1
+MPFHPVTAALMYRGIYTVPNLLSEQRPVDIPEDELEEIREAFKVFDRDGNGFISKQELGTAMRSLGYMPNEVELEVIIQRLDMDGDGQVDFEEFVTLLGPKLSTSGIPEKFHGTDFDTVFWKCDMQKLTVDELKRLLYDTFCEHLSMKDIENIIMTEEESHLGTAEECPVDVETCSNQQIRQTCVRKSLICAFAIAFIISVMLIAANQVLRSGMK
+>DECOY_sp|Q86V35|CABP7_HUMAN Calcium-binding protein 7 OS=Homo sapiens OX=9606 GN=CABP7 PE=1 SV=1
+KMGSRLVQNAAILMVSIIFAIAFACILSKRVCTQRIQQNSCTEVDVPCEEATGLHSEEETMIINEIDKMSLHECFTDYLLRKLEDVTLKQMDCKWFVTDFDTGHFKEPIGSTSLKPGLLTVFEEFDVQGDGDMDLRQIIVELEVENPMYGLSRMATGLEQKSIFGNGDRDFVKFAERIEELEDEPIDVPRQESLLNPVTYIGRYMLAATVPHFPM
+>sp|Q9BXU9|CABP8_HUMAN Calcium-binding protein 8 OS=Homo sapiens OX=9606 GN=CALN1 PE=1 SV=1
+MPFHHVTAGLLYKGNYLNRSLSAGSDSEQLANISVEELDEIREAFRVLDRDGNGFISKQELGMAMRSLGYMPSEVELAIIMQRLDMDGDGQVDFDEFMTILGPKLVSSEGRDGFLGNTIDSIFWQFDMQRITLEELKHILYHAFRDHLTMKDIENIIINEEESLNETSGNCQTEFEGVHSQKQNRQTCVRKSLICAFAMAFIISVMLIAANQILRSGME
+>DECOY_sp|Q9BXU9|CABP8_HUMAN Calcium-binding protein 8 OS=Homo sapiens OX=9606 GN=CALN1 PE=1 SV=1
+EMGSRLIQNAAILMVSIIFAMAFACILSKRVCTQRNQKQSHVGEFETQCNGSTENLSEEENIIINEIDKMTLHDRFAHYLIHKLEELTIRQMDFQWFISDITNGLFGDRGESSVLKPGLITMFEDFDVQGDGDMDLRQMIIALEVESPMYGLSRMAMGLEQKSIFGNGDRDLVRFAERIEDLEEVSINALQESDSGASLSRNLYNGKYLLGATVHHFPM
+>sp|O75952|CABYR_HUMAN Calcium-binding tyrosine phosphorylation-regulated protein OS=Homo sapiens OX=9606 GN=CABYR PE=1 SV=2
+MISSKPRLVVPYGLKTLLEGISRAVLKTNPSNINQFAAAYFQELTMYRGNTTMDIKDLVKQFHQIKVEKWSEGTTPQKKLECLKEPGKTSVESKVPTQMEKSTDTDEDNVTRTEYSDKTTQFPSVYAVPGTEQTEAVGGLSSKPATPKTTTPPSSPPPTAVSPEFAYVPADPAQLAAQMLGKVSSIHSDQSDVLMVDVATSMPVVIKEVPSSEAAEDVMVAAPLVCSGKVLEVQVVNQTSVHVDLGSQPKENEAEPSTASSVPLQDEQEPPAYDQAPEVTLQADIEVMSTVHISSVYNDVPVTEGVVYIEQLPEQIVIPFTDQVACLKENEQSKENEQSPRVSPKSVVEKTTSGMSKKSVESVKLAQLEENAKYSSVYMEAEATALLSDTSLKGQPEVPAQLLDAEGAIKIGSEKSLHLEVEITSIVSDNTGQEESGENSVPQEMEGKPVLSGEAAEAVHSGTSVKSSSGPFPPAPEGLTAPEIEPEGESTAE
+>DECOY_sp|O75952|CABYR_HUMAN Calcium-binding tyrosine phosphorylation-regulated protein OS=Homo sapiens OX=9606 GN=CABYR PE=1 SV=2
+EATSEGEPEIEPATLGEPAPPFPGSSSKVSTGSHVAEAAEGSLVPKGEMEQPVSNEGSEEQGTNDSVISTIEVELHLSKESGIKIAGEADLLQAPVEPQGKLSTDSLLATAEAEMYVSSYKANEELQALKVSEVSKKSMGSTTKEVVSKPSVRPSQENEKSQENEKLCAVQDTFPIVIQEPLQEIYVVGETVPVDNYVSSIHVTSMVEIDAQLTVEPAQDYAPPEQEDQLPVSSATSPEAENEKPQSGLDVHVSTQNVVQVELVKGSCVLPAAVMVDEAAESSPVEKIVVPMSTAVDVMLVDSQDSHISSVKGLMQAALQAPDAPVYAFEPSVATPPPSSPPTTTKPTAPKSSLGGVAETQETGPVAYVSPFQTTKDSYETRTVNDEDTDTSKEMQTPVKSEVSTKGPEKLCELKKQPTTGESWKEVKIQHFQKVLDKIDMTTNGRYMTLEQFYAAAFQNINSPNTKLVARSIGELLTKLGYPVVLRPKSSIM
+>sp|O00555|CAC1A_HUMAN Voltage-dependent P/Q-type calcium channel subunit alpha-1A OS=Homo sapiens OX=9606 GN=CACNA1A PE=1 SV=2
+MARFGDEMPARYGGGGSGAAAGVVVGSGGGRGAGGSRQGGQPGAQRMYKQSMAQRARTMALYNPIPVRQNCLTVNRSLFLFSEDNVVRKYAKKITEWPPFEYMILATIIANCIVLALEQHLPDDDKTPMSERLDDTEPYFIGIFCFEAGIKIIALGFAFHKGSYLRNGWNVMDFVVVLTGILATVGTEFDLRTLRAVRVLRPLKLVSGIPSLQVVLKSIMKAMIPLLQIGLLLFFAILIFAIIGLEFYMGKFHTTCFEEGTDDIQGESPAPCGTEEPARTCPNGTKCQPYWEGPNNGITQFDNILFAVLTVFQCITMEGWTDLLYNSNDASGNTWNWLYFIPLIIIGSFFMLNLVLGVLSGEFAKERERVENRRAFLKLRRQQQIERELNGYMEWISKAEEVILAEDETDGEQRHPFDGALRRTTIKKSKTDLLNPEEAEDQLADIASVGSPFARASIKSAKLENSTFFHKKERRMRFYIRRMVKTQAFYWTVLSLVALNTLCVAIVHYNQPEWLSDFLYYAEFIFLGLFMSEMFIKMYGLGTRPYFHSSFNCFDCGVIIGSIFEVIWAVIKPGTSFGISVLRALRLLRIFKVTKYWASLRNLVVSLLNSMKSIISLLFLLFLFIVVFALLGMQLFGGQFNFDEGTPPTNFDTFPAAIMTVFQILTGEDWNEVMYDGIKSQGGVQGGMVFSIYFIVLTLFGNYTLLNVFLAIAVDNLANAQELTKDEQEEEEAANQKLALQKAKEVAEVSPLSAANMSIAVKEQQKNQKPAKSVWEQRTSEMRKQNLLASREALYNEMDPDERWKAAYTRHLRPDMKTHLDRPLVVDPQENRNNNTNKSRAAEPTVDQRLGQQRAEDFLRKQARYHDRARDPSGSAGLDARRPWAGSQEAELSREGPYGRESDHHAREGSLEQPGFWEGEAERGKAGDPHRRHVHRQGGSRESRSGSPRTGADGEHRRHRAHRRPGEEGPEDKAERRARHREGSRPARGGEGEGEGPDGGERRRRHRHGAPATYEGDARREDKERRHRRRKENQGSGVPVSGPNLSTTRPIQQDLGRQDPPLAEDIDNMKNNKLATAESAAPHGSLGHAGLPQSPAKMGNSTDPGPMLAIPAMATNPQNAASRRTPNNPGNPSNPGPPKTPENSLIVTNPSGTQTNSAKTARKPDHTTVDIPPACPPPLNHTVVQVNKNANPDPLPKKEEEKKEEEEDDRGEDGPKPMPPYSSMFILSTTNPLRRLCHYILNLRYFEMCILMVIAMSSIALAAEDPVQPNAPRNNVLRYFDYVFTGVFTFEMVIKMIDLGLVLHQGAYFRDLWNILDFIVVSGALVAFAFTGNSKGKDINTIKSLRVLRVLRPLKTIKRLPKLKAVFDCVVNSLKNVFNILIVYMLFMFIFAVVAVQLFKGKFFHCTDESKEFEKDCRGKYLLYEKNEVKARDREWKKYEFHYDNVLWALLTLFTVSTGEGWPQVLKHSVDATFENQGPSPGYRMEMSIFYVVYFVVFPFFFVNIFVALIIITFQEQGDKMMEEYSLEKNERACIDFAISAKPLTRHMPQNKQSFQYRMWQFVVSPPFEYTIMAMIALNTIVLMMKFYGASVAYENALRVFNIVFTSLFSLECVLKVMAFGILNYFRDAWNIFDFVTVLGSITDILVTEFGNNFINLSFLRLFRAARLIKLLRQGYTIRILLWTFVQSFKALPYVCLLIAMLFFIYAIIGMQVFGNIGIDVEDEDSDEDEFQITEHNNFRTFFQALMLLFRSATGEAWHNIMLSCLSGKPCDKNSGILTRECGNEFAYFYFVSFIFLCSFLMLNLFVAVIMDNFEYLTRDSSILGPHHLDEYVRVWAEYDPAAWGRMPYLDMYQMLRHMSPPLGLGKKCPARVAYKRLLRMDLPVADDNTVHFNSTLMALIRTALDIKIAKGGADKQQMDAELRKEMMAIWPNLSQKTLDLLVTPHKSTDLTVGKIYAAMMIMEYYRQSKAKKLQAMREEQDRTPLMFQRMEPPSPTQEGGPGQNALPSTQLDPGGALMAHESGLKESPSWVTQRAQEMFQKTGTWSPEQGPPTDMPNSQPNSQSVEMREMGRDGYSDSEHYLPMEGQGRAASMPRLPAENQRRRGRPRGNNLSTISDTSPMKRSASVLGPKARRLDDYSLERVPPEENQRHHQRRRDRSHRASERSLGRYTDVDTGLGTDLSMTTQSGDLPSKERDQERGRPKDRKHRQHHHHHHHHHHPPPPDKDRYAQERPDHGRARARDQRWSRSPSEGREHMAHRQGSSSVSGSPAPSTSGTSTPRRGRRQLPQTPSTPRPHVSYSPVIRKAGGSGPPQQQQQQQQQQQAVARPGRAATSGPRRYPGPTAEPLAGDRPPTGGHSSGRSPRMERRVPGPARSESPRACRHGGARWPASGPHVSEGPPGPRHHGYYRGSDYDEADGPGSGGGEEAMAGAYDAPPPVRHASSGATGRSPRTPRASGPACASPSRHGRRLPNGYYPAHGLARPRGPGSRKGLHEPYSESDDDWC
+>DECOY_sp|O00555|CAC1A_HUMAN Voltage-dependent P/Q-type calcium channel subunit alpha-1A OS=Homo sapiens OX=9606 GN=CACNA1A PE=1 SV=2
+CWDDDSESYPEHLGKRSGPGRPRALGHAPYYGNPLRRGHRSPSACAPGSARPTRPSRGTAGSSAHRVPPPADYAGAMAEEGGGSGPGDAEDYDSGRYYGHHRPGPPGESVHPGSAPWRAGGHRCARPSESRAPGPVRREMRPSRGSSHGGTPPRDGALPEATPGPYRRPGSTAARGPRAVAQQQQQQQQQQQPPGSGGAKRIVPSYSVHPRPTSPTQPLQRRGRRPTSTGSTSPAPSGSVSSSGQRHAMHERGESPSRSWRQDRARARGHDPREQAYRDKDPPPPHHHHHHHHHHQRHKRDKPRGREQDREKSPLDGSQTTMSLDTGLGTDVDTYRGLSRESARHSRDRRRQHHRQNEEPPVRELSYDDLRRAKPGLVSASRKMPSTDSITSLNNGRPRGRRRQNEAPLRPMSAARGQGEMPLYHESDSYGDRGMERMEVSQSNPQSNPMDTPPGQEPSWTGTKQFMEQARQTVWSPSEKLGSEHAMLAGGPDLQTSPLANQGPGGEQTPSPPEMRQFMLPTRDQEERMAQLKKAKSQRYYEMIMMAAYIKGVTLDTSKHPTVLLDLTKQSLNPWIAMMEKRLEADMQQKDAGGKAIKIDLATRILAMLTSNFHVTNDDAVPLDMRLLRKYAVRAPCKKGLGLPPSMHRLMQYMDLYPMRGWAAPDYEAWVRVYEDLHHPGLISSDRTLYEFNDMIVAVFLNLMLFSCLFIFSVFYFYAFENGCERTLIGSNKDCPKGSLCSLMINHWAEGTASRFLLMLAQFFTRFNNHETIQFEDEDSDEDEVDIGINGFVQMGIIAYIFFLMAILLCVYPLAKFSQVFTWLLIRITYGQRLLKILRAARFLRLFSLNIFNNGFETVLIDTISGLVTVFDFINWADRFYNLIGFAMVKLVCELSFLSTFVINFVRLANEYAVSAGYFKMMLVITNLAIMAMITYEFPPSVVFQWMRYQFSQKNQPMHRTLPKASIAFDICARENKELSYEEMMKDGQEQFTIIILAVFINVFFFPFVVFYVVYFISMEMRYGPSPGQNEFTADVSHKLVQPWGEGTSVTFLTLLAWLVNDYHFEYKKWERDRAKVENKEYLLYKGRCDKEFEKSEDTCHFFKGKFLQVAVVAFIFMFLMYVILINFVNKLSNVVCDFVAKLKPLRKITKLPRLVRLVRLSKITNIDKGKSNGTFAFAVLAGSVVIFDLINWLDRFYAGQHLVLGLDIMKIVMEFTFVGTFVYDFYRLVNNRPANPQVPDEAALAISSMAIVMLICMEFYRLNLIYHCLRRLPNTTSLIFMSSYPPMPKPGDEGRDDEEEEKKEEEKKPLPDPNANKNVQVVTHNLPPPCAPPIDVTTHDPKRATKASNTQTGSPNTVILSNEPTKPPGPNSPNGPNNPTRRSAANQPNTAMAPIALMPGPDTSNGMKAPSQPLGAHGLSGHPAASEATALKNNKMNDIDEALPPDQRGLDQQIPRTTSLNPGSVPVGSGQNEKRRRHRREKDERRADGEYTAPAGHRHRRRREGGDPGEGEGEGGRAPRSGERHRARREAKDEPGEEGPRRHARHRRHEGDAGTRPSGSRSERSGGQRHVHRRHPDGAKGREAEGEWFGPQELSGERAHHDSERGYPGERSLEAEQSGAWPRRADLGASGSPDRARDHYRAQKRLFDEARQQGLRQDVTPEAARSKNTNNNRNEQPDVVLPRDLHTKMDPRLHRTYAAKWREDPDMENYLAERSALLNQKRMESTRQEWVSKAPKQNKQQEKVAISMNAASLPSVEAVEKAKQLALKQNAAEEEEQEDKTLEQANALNDVAIALFVNLLTYNGFLTLVIFYISFVMGGQVGGQSKIGDYMVENWDEGTLIQFVTMIAAPFTDFNTPPTGEDFNFQGGFLQMGLLAFVVIFLFLLFLLSIISKMSNLLSVVLNRLSAWYKTVKFIRLLRLARLVSIGFSTGPKIVAWIVEFISGIIVGCDFCNFSSHFYPRTGLGYMKIFMESMFLGLFIFEAYYLFDSLWEPQNYHVIAVCLTNLAVLSLVTWYFAQTKVMRRIYFRMRREKKHFFTSNELKASKISARAFPSGVSAIDALQDEAEEPNLLDTKSKKITTRRLAGDFPHRQEGDTEDEALIVEEAKSIWEMYGNLEREIQQQRRLKLFARRNEVREREKAFEGSLVGLVLNLMFFSGIIILPIFYLWNWTNGSADNSNYLLDTWGEMTICQFVTLVAFLINDFQTIGNNPGEWYPQCKTGNPCTRAPEETGCPAPSEGQIDDTGEEFCTTHFKGMYFELGIIAFILIAFFLLLGIQLLPIMAKMISKLVVQLSPIGSVLKLPRLVRVARLTRLDFETGVTALIGTLVVVFDMVNWGNRLYSGKHFAFGLAIIKIGAEFCFIGIFYPETDDLRESMPTKDDDPLHQELALVICNAIITALIMYEFPPWETIKKAYKRVVNDESFLFLSRNVTLCNQRVPIPNYLAMTRARQAMSQKYMRQAGPQGGQRSGGAGRGGGSGVVVGAAAGSGGGGYRAPMEDGFRAM
+>sp|Q13936|CAC1C_HUMAN Voltage-dependent L-type calcium channel subunit alpha-1C OS=Homo sapiens OX=9606 GN=CACNA1C PE=1 SV=4
+MVNENTRMYIPEENHQGSNYGSPRPAHANMNANAAAGLAPEHIPTPGAALSWQAAIDAARQAKLMGSAGNATISTVSSTQRKRQQYGKPKKQGSTTATRPPRALLCLTLKNPIRRACISIVEWKPFEIIILLTIFANCVALAIYIPFPEDDSNATNSNLERVEYLFLIIFTVEAFLKVIAYGLLFHPNAYLRNGWNLLDFIIVVVGLFSAILEQATKADGANALGGKGAGFDVKALRAFRVLRPLRLVSGVPSLQVVLNSIIKAMVPLLHIALLVLFVIIIYAIIGLELFMGKMHKTCYNQEGIADVPAEDDPSPCALETGHGRQCQNGTVCKPGWDGPKHGITNFDNFAFAMLTVFQCITMEGWTDVLYWVNDAVGRDWPWIYFVTLIIIGSFFVLNLVLGVLSGEFSKEREKAKARGDFQKLREKQQLEEDLKGYLDWITQAEDIDPENEDEGMDEEKPRNMSMPTSETESVNTENVAGGDIEGENCGARLAHRISKSKFSRYWRRWNRFCRRKCRAAVKSNVFYWLVIFLVFLNTLTIASEHYNQPNWLTEVQDTANKALLALFTAEMLLKMYSLGLQAYFVSLFNRFDCFVVCGGILETILVETKIMSPLGISVLRCVRLLRIFKITRYWNSLSNLVASLLNSVRSIASLLLLLFLFIIIFSLLGMQLFGGKFNFDEMQTRRSTFDNFPQSLLTVFQILTGEDWNSVMYDGIMAYGGPSFPGMLVCIYFIILFICGNYILLNVFLAIAVDNLADAESLTSAQKEEEEEKERKKLARTASPEKKQELVEKPAVGESKEEKIELKSITADGESPPATKINMDDLQPNENEDKSPYPNPETTGEEDEEEPEMPVGPRPRPLSELHLKEKAVPMPEASAFFIFSSNNRFRLQCHRIVNDTIFTNLILFFILLSSISLAAEDPVQHTSFRNHILFYFDIVFTTIFTIEIALKILGNADYVFTSIFTLEIILKMTAYGAFLHKGSFCRNYFNILDLLVVSVSLISFGIQSSAINVVKILRVLRVLRPLRAINRAKGLKHVVQCVFVAIRTIGNIVIVTTLLQFMFACIGVQLFKGKLYTCSDSSKQTEAECKGNYITYKDGEVDHPIIQPRSWENSKFDFDNVLAAMMALFTVSTFEGWPELLYRSIDSHTEDKGPIYNYRVEISIFFIIYIIIIAFFMMNIFVGFVIVTFQEQGEQEYKNCELDKNQRQCVEYALKARPLRRYIPKNQHQYKVWYVVNSTYFEYLMFVLILLNTICLAMQHYGQSCLFKIAMNILNMLFTGLFTVEMILKLIAFKPKGYFSDPWNVFDFLIVIGSIIDVILSETNHYFCDAWNTFDALIVVGSIVDIAITEVNPAEHTQCSPSMNAEENSRISITFFRLFRVMRLVKLLSRGEGIRTLLWTFIKSFQALPYVALLIVMLFFIYAVIGMQVFGKIALNDTTEINRNNNFQTFPQAVLLLFRCATGEAWQDIMLACMPGKKCAPESEPSNSTEGETPCGSSFAVFYFISFYMLCAFLIINLFVAVIMDNFDYLTRDWSILGPHHLDEFKRIWAEYDPEAKGRIKHLDVVTLLRRIQPPLGFGKLCPHRVACKRLVSMNMPLNSDGTVMFNATLFALVRTALRIKTEGNLEQANEELRAIIKKIWKRTSMKLLDQVVPPAGDDEVTVGKFYATFLIQEYFRKFKKRKEQGLVGKPSQRNALSLQAGLRTLHDIGPEIRRAISGDLTAEEELDKAMKEAVSAASEDDIFRRAGGLFGNHVSYYQSDGRSAFPQTFTTQRPLHINKAGSSQGDTESPSHEKLVDSTFTPSSYSSTGSNANINNANNTALGRLPRPAGYPSTVSTVEGHGPPLSPAIRVQEVAWKLSSNRERHVPMCEDLELRRDSGSAGTQAHCLLLRKANPSRCHSRESQAAMAGQEETSQDETYEVKMNHDTEACSEPSLLSTEMLSYQDDENRQLTLPEEDKRDIRQSPKRGFLRSASLGRRASFHLECLKRQKDRGGDISQKTVLPLHLVHHQALAVAGLSPLLQRSHSPASFPRPFATPPATPGSRGWPPQPVPTLRLEGVESSEKLNSSFPSIHCGSWAETTPGGGGSSAARRVRPVSLMVPSQAGAPGRQFHGSASSLVEAVLISEGLGQFAQDPKFIEVTTQELADACDMTIEEMESAADNILSGGAPQSPNGALLPFVNCRDAGQDRAGGEEDAGCVRARGRPSEEELQDSRVYVSSL
+>DECOY_sp|Q13936|CAC1C_HUMAN Voltage-dependent L-type calcium channel subunit alpha-1C OS=Homo sapiens OX=9606 GN=CACNA1C PE=1 SV=4
+LSSVYVRSDQLEEESPRGRARVCGADEEGGARDQGADRCNVFPLLAGNPSQPAGGSLINDAASEMEEITMDCADALEQTTVEIFKPDQAFQGLGESILVAEVLSSASGHFQRGPAGAQSPVMLSVPRVRRAASSGGGGPTTEAWSGCHISPFSSNLKESSEVGELRLTPVPQPPWGRSGPTAPPTAFPRPFSAPSHSRQLLPSLGAVALAQHHVLHLPLVTKQSIDGGRDKQRKLCELHFSARRGLSASRLFGRKPSQRIDRKDEEPLTLQRNEDDQYSLMETSLLSPESCAETDHNMKVEYTEDQSTEEQGAMAAQSERSHCRSPNAKRLLLCHAQTGASGSDRRLELDECMPVHRERNSSLKWAVEQVRIAPSLPPGHGEVTSVTSPYGAPRPLRGLATNNANNINANSGTSSYSSPTFTSDVLKEHSPSETDGQSSGAKNIHLPRQTTFTQPFASRGDSQYYSVHNGFLGGARRFIDDESAASVAEKMAKDLEEEATLDGSIARRIEPGIDHLTRLGAQLSLANRQSPKGVLGQEKRKKFKRFYEQILFTAYFKGVTVEDDGAPPVVQDLLKMSTRKWIKKIIARLEENAQELNGETKIRLATRVLAFLTANFMVTGDSNLPMNMSVLRKCAVRHPCLKGFGLPPQIRRLLTVVDLHKIRGKAEPDYEAWIRKFEDLHHPGLISWDRTLYDFNDMIVAVFLNIILFACLMYFSIFYFVAFSSGCPTEGETSNSPESEPACKKGPMCALMIDQWAEGTACRFLLLVAQPFTQFNNNRNIETTDNLAIKGFVQMGIVAYIFFLMVILLAVYPLAQFSKIFTWLLTRIGEGRSLLKVLRMVRFLRFFTISIRSNEEANMSPSCQTHEAPNVETIAIDVISGVVILADFTNWADCFYHNTESLIVDIISGIVILFDFVNWPDSFYGKPKFAILKLIMEVTFLGTFLMNLINMAIKFLCSQGYHQMALCITNLLILVFMLYEFYTSNVVYWVKYQHQNKPIYRRLPRAKLAYEVCQRQNKDLECNKYEQEGQEQFTVIVFGVFINMMFFAIIIIYIIFFISIEVRYNYIPGKDETHSDISRYLLEPWGEFTSVTFLAMMAALVNDFDFKSNEWSRPQIIPHDVEGDKYTIYNGKCEAETQKSSDSCTYLKGKFLQVGICAFMFQLLTTVIVINGITRIAVFVCQVVHKLGKARNIARLPRLVRLVRLIKVVNIASSQIGFSILSVSVVLLDLINFYNRCFSGKHLFAGYATMKLIIELTFISTFVYDANGLIKLAIEITFITTFVIDFYFLIHNRFSTHQVPDEAALSISSLLIFFLILNTFITDNVIRHCQLRFRNNSSFIFFASAEPMPVAKEKLHLESLPRPRPGVPMEPEEEDEEGTTEPNPYPSKDENENPQLDDMNIKTAPPSEGDATISKLEIKEEKSEGVAPKEVLEQKKEPSATRALKKREKEEEEEKQASTLSEADALNDVAIALFVNLLIYNGCIFLIIFYICVLMGPFSPGGYAMIGDYMVSNWDEGTLIQFVTLLSQPFNDFTSRRTQMEDFNFKGGFLQMGLLSFIIIFLFLLLLLSAISRVSNLLSAVLNSLSNWYRTIKFIRLLRVCRLVSIGLPSMIKTEVLITELIGGCVVFCDFRNFLSVFYAQLGLSYMKLLMEATFLALLAKNATDQVETLWNPQNYHESAITLTNLFVLFIVLWYFVNSKVAARCKRRCFRNWRRWYRSFKSKSIRHALRAGCNEGEIDGGAVNETNVSETESTPMSMNRPKEEDMGEDENEPDIDEAQTIWDLYGKLDEELQQKERLKQFDGRAKAKEREKSFEGSLVGLVLNLVFFSGIIILTVFYIWPWDRGVADNVWYLVDTWGEMTICQFVTLMAFAFNDFNTIGHKPGDWGPKCVTGNQCQRGHGTELACPSPDDEAPVDAIGEQNYCTKHMKGMFLELGIIAYIIIVFLVLLAIHLLPVMAKIISNLVVQLSPVGSVLRLPRLVRFARLAKVDFGAGKGGLANAGDAKTAQELIASFLGVVVIIFDLLNWGNRLYANPHFLLGYAIVKLFAEVTFIILFLYEVRELNSNTANSDDEPFPIYIALAVCNAFITLLIIIEFPKWEVISICARRIPNKLTLCLLARPPRTATTSGQKKPKGYQQRKRQTSSVTSITANGASGMLKAQRAADIAAQWSLAAGPTPIHEPALGAAANANMNAHAPRPSGYNSGQHNEEPIYMRTNENVM
+>sp|O60840|CAC1F_HUMAN Voltage-dependent L-type calcium channel subunit alpha-1F OS=Homo sapiens OX=9606 GN=CACNA1F PE=1 SV=2
+MSESEGGKDTTPEPSPANGAGPGPEWGLCPGPPAVEGESSGASGLGTPKRRNQHSKHKTVAVASAQRSPRALFCLTLANPLRRSCISIVEWKPFDILILLTIFANCVALGVYIPFPEDDSNTANHNLEQVEYVFLVIFTVETVLKIVAYGLVLHPSAYIRNGWNLLDFIIVVVGLFSVLLEQGPGRPGDAPHTGGKPGGFDVKALRAFRVLRPLRLVSGVPSLHIVLNSIMKALVPLLHIALLVLFVIIIYAIIGLELFLGRMHKTCYFLGSDMEAEEDPSPCASSGSGRACTLNQTECRGRWPGPNGGITNFDNFFFAMLTVFQCVTMEGWTDVLYWMQDAMGYELPWVYFVSLVIFGSFFVLNLVLGVLSGEFSKEREKAKARGDFQKQREKQQMEEDLRGYLDWITQAEELDMEDPSADDNLGSMAEEGRAGHRPQLAELTNRRRGRLRWFSHSTRSTHSTSSHASLPASDTGSMTETQGDEDEEEGALASCTRCLNKIMKTRVCRRLRRANRVLRARCRRAVKSNACYWAVLLLVFLNTLTIASEHHGQPVWLTQIQEYANKVLLCLFTVEMLLKLYGLGPSAYVSSFFNRFDCFVVCGGILETTLVEVGAMQPLGISVLRCVRLLRIFKVTRHWASLSNLVASLLNSMKSIASLLLLLFLFIIIFSLLGMQLFGGKFNFDQTHTKRSTFDTFPQALLTVFQILTGEDWNVVMYDGIMAYGGPFFPGMLVCIYFIILFICGNYILLNVFLAIAVDNLASGDAGTAKDKGGEKSNEKDLPQENEGLVPGVEKEEEEGARREGADMEEEEEEEEEEEEEEEEEGAGGVELLQEVVPKEKVVPIPEGSAFFCLSQTNPLRKGCHTLIHHHVFTNLILVFIILSSVSLAAEDPIRAHSFRNHILGYFDYAFTSIFTVEILLKMTVFGAFLHRGSFCRSWFNMLDLLVVSVSLISFGIHSSAISVVKILRVLRVLRPLRAINRAKGLKHVVQCVFVAIRTIGNIMIVTTLLQFMFACIGVQLFKGKFYTCTDEAKHTPQECKGSFLVYPDGDVSRPLVRERLWVNSDFNFDNVLSAMMALFTVSTFEGWPALLYKAIDAYAEDHGPIYNYRVEISVFFIVYIIIIAFFMMNIFVGFVIITFRAQGEQEYQNCELDKNQRQCVEYALKAQPLRRYIPKNPHQYRVWATVNSAAFEYLMFLLILLNTVALAMQHYEQTAPFNYAMDILNMVFTGLFTIEMVLKIIAFKPKHYFTDAWNTFDALIVVGSIVDIAVTEVNNGGHLGESSEDSSRISITFFRLFRVMRLVKLLSKGEGIRTLLWTFIKSFQALPYVALLIAMIFFIYAVIGMQMFGKVALQDGTQINRNNNFQTFPQAVLLLFRCATGEAWQEIMLASLPGNRCDPESDFGPGEEFTCGSNFAIAYFISFFMLCAFLIINLFVAVIMDNFDYLTRDWSILGPHHLDEFKRIWSEYDPGAKGRIKHLDVVALLRRIQPPLGFGKLCPHRVACKRLVAMNMPLNSDGTVTFNATLFALVRTSLKIKTEGNLEQANQELRIVIKKIWKRMKQKLLDEVIPPPDEEEVTVGKFYATFLIQDYFRKFRRRKEKGLLGNDAAPSTSSALQAGLRSLQDLGPEMRQALTCDTEEEEEEGQEGVEEEDEKDLETNKATMVSQPSARRGSGISVSLPVGDRLPDSLSFGPSDDDRGTPTSSQPSVPQAGSNTHRRGSGALIFTIPEEGNSQPKGTKGQNKQDEDEEVPDRLSYLDEQAGTPPCSVLLPPHRAQRYMDGHLVPRRRLLPPTPAGRKPSFTIQCLQRQGSCEDLPIPGTYHRGRNSGPNRAQGSWATPPQRGRLLYAPLLLVEEGAAGEGYLGRSSGPLRTFTCLHVPGTHSDPSHGKRGSADSLVEAVLISEGLGLFARDPRFVALAKQEIADACRLTLDEMDNAASDLLAQGTSSLYSDEESILSRFDEEDLGDEMACVHAL
+>DECOY_sp|O60840|CAC1F_HUMAN Voltage-dependent L-type calcium channel subunit alpha-1F OS=Homo sapiens OX=9606 GN=CACNA1F PE=1 SV=2
+LAHVCAMEDGLDEEDFRSLISEEDSYLSSTGQALLDSAANDMEDLTLRCADAIEQKALAVFRPDRAFLGLGESILVAEVLSDASGRKGHSPDSHTGPVHLCTFTRLPGSSRGLYGEGAAGEEVLLLPAYLLRGRQPPTAWSGQARNPGSNRGRHYTGPIPLDECSGQRQLCQITFSPKRGAPTPPLLRRRPVLHGDMYRQARHPPLLVSCPPTGAQEDLYSLRDPVEEDEDQKNQGKTGKPQSNGEEPITFILAGSGRRHTNSGAQPVSPQSSTPTGRDDDSPGFSLSDPLRDGVPLSVSIGSGRRASPQSVMTAKNTELDKEDEEEVGEQGEEEEEETDCTLAQRMEPGLDQLSRLGAQLASSTSPAADNGLLGKEKRRRFKRFYDQILFTAYFKGVTVEEEDPPPIVEDLLKQKMRKWIKKIVIRLEQNAQELNGETKIKLSTRVLAFLTANFTVTGDSNLPMNMAVLRKCAVRHPCLKGFGLPPQIRRLLAVVDLHKIRGKAGPDYESWIRKFEDLHHPGLISWDRTLYDFNDMIVAVFLNIILFACLMFFSIFYAIAFNSGCTFEEGPGFDSEPDCRNGPLSALMIEQWAEGTACRFLLLVAQPFTQFNNNRNIQTGDQLAVKGFMQMGIVAYIFFIMAILLAVYPLAQFSKIFTWLLTRIGEGKSLLKVLRMVRFLRFFTISIRSSDESSEGLHGGNNVETVAIDVISGVVILADFTNWADTFYHKPKFAIIKLVMEITFLGTFVMNLIDMAYNFPATQEYHQMALAVTNLLILLFMLYEFAASNVTAWVRYQHPNKPIYRRLPQAKLAYEVCQRQNKDLECNQYEQEGQARFTIIVFGVFINMMFFAIIIIYVIFFVSIEVRYNYIPGHDEAYADIAKYLLAPWGEFTSVTFLAMMASLVNDFNFDSNVWLRERVLPRSVDGDPYVLFSGKCEQPTHKAEDTCTYFKGKFLQVGICAFMFQLLTTVIMINGITRIAVFVCQVVHKLGKARNIARLPRLVRLVRLIKVVSIASSHIGFSILSVSVVLLDLMNFWSRCFSGRHLFAGFVTMKLLIEVTFISTFAYDFYGLIHNRFSHARIPDEAALSVSSLIIFVLILNTFVHHHILTHCGKRLPNTQSLCFFASGEPIPVVKEKPVVEQLLEVGGAGEEEEEEEEEEEEEEEEEMDAGERRAGEEEEKEVGPVLGENEQPLDKENSKEGGKDKATGADGSALNDVAIALFVNLLIYNGCIFLIIFYICVLMGPFFPGGYAMIGDYMVVNWDEGTLIQFVTLLAQPFTDFTSRKTHTQDFNFKGGFLQMGLLSFIIIFLFLLLLLSAISKMSNLLSAVLNSLSAWHRTVKFIRLLRVCRLVSIGLPQMAGVEVLTTELIGGCVVFCDFRNFFSSVYASPGLGYLKLLMEVTFLCLLVKNAYEQIQTLWVPQGHHESAITLTNLFVLLLVAWYCANSKVARRCRARLVRNARRLRRCVRTKMIKNLCRTCSALAGEEEDEDGQTETMSGTDSAPLSAHSSTSHTSRTSHSFWRLRGRRRNTLEALQPRHGARGEEAMSGLNDDASPDEMDLEEAQTIWDLYGRLDEEMQQKERQKQFDGRAKAKEREKSFEGSLVGLVLNLVFFSGFIVLSVFYVWPLEYGMADQMWYLVDTWGEMTVCQFVTLMAFFFNDFNTIGGNPGPWRGRCETQNLTCARGSGSSACPSPDEEAEMDSGLFYCTKHMRGLFLELGIIAYIIIVFLVLLAIHLLPVLAKMISNLVIHLSPVGSVLRLPRLVRFARLAKVDFGGPKGGTHPADGPRGPGQELLVSFLGVVVIIFDLLNWGNRIYASPHLVLGYAVIKLVTEVTFIVLFVYEVQELNHNATNSDDEPFPIYVGLAVCNAFITLLILIDFPKWEVISICSRRLPNALTLCFLARPSRQASAVAVTKHKSHQNRRKPTGLGSAGSSEGEVAPPGPCLGWEPGPGAGNAPSPEPTTDKGGESESM
+>sp|O95180|CAC1H_HUMAN Voltage-dependent T-type calcium channel subunit alpha-1H OS=Homo sapiens OX=9606 GN=CACNA1H PE=1 SV=4
+MTEGARAADEVRVPLGAPPPGPAALVGASPESPGAPGREAERGSELGVSPSESPAAERGAELGADEEQRVPYPALAATVFFCLGQTTRPRSWCLRLVCNPWFEHVSMLVIMLNCVTLGMFRPCEDVECGSERCNILEAFDAFIFAFFAVEMVIKMVALGLFGQKCYLGDTWNRLDFFIVVAGMMEYSLDGHNVSLSAIRTVRVLRPLRAINRVPSMRILVTLLLDTLPMLGNVLLLCFFVFFIFGIVGVQLWAGLLRNRCFLDSAFVRNNNLTFLRPYYQTEEGEENPFICSSRRDNGMQKCSHIPGRRELRMPCTLGWEAYTQPQAEGVGAARNACINWNQYYNVCRSGDSNPHNGAINFDNIGYAWIAIFQVITLEGWVDIMYYVMDAHSFYNFIYFILLIIVGSFFMINLCLVVIATQFSETKQRESQLMREQRARHLSNDSTLASFSEPGSCYEELLKYVGHIFRKVKRRSLRLYARWQSRWRKKVDPSAVQGQGPGHRQRRAGRHTASVHHLVYHHHHHHHHHYHFSHGSPRRPGPEPGACDTRLVRAGAPPSPPSPGRGPPDAESVHSIYHADCHIEGPQERARVAHAAATAAASLRLATGLGTMNYPTILPSGVGSGKGSTSPGPKGKWAGGPPGTGGHGPLSLNSPDPYEKIPHVVGEHGLGQAPGHLSGLSVPCPLPSPPAGTLTCELKSCPYCTRALEDPEGELSGSESGDSDGRGVYEFTQDVRHGDRWDPTRPPRATDTPGPGPGSPQRRAQQRAAPGEPGWMGRLWVTFSGKLRRIVDSKYFSRGIMMAILVNTLSMGVEYHEQPEELTNALEISNIVFTSMFALEMLLKLLACGPLGYIRNPYNIFDGIIVVISVWEIVGQADGGLSVLRTFRLLRVLKLVRFLPALRRQLVVLVKTMDNVATFCTLLMLFIFIFSILGMHLFGCKFSLKTDTGDTVPDRKNFDSLLWAIVTVFQILTQEDWNVVLYNGMASTSSWAALYFVALMTFGNYVLFNLLVAILVEGFQAEGDANRSDTDEDKTSVHFEEDFHKLRELQTTELKMCSLAVTPNGHLEGRGSLSPPLIMCTAATPMPTPKSSPFLDAAPSLPDSRRGSSSSGDPPLGDQKPPASLRSSPCAPWGPSGAWSSRRSSWSSLGRAPSLKRRGQCGERESLLSGEGKGSTDDEAEDGRAAPGPRATPLRRAESLDPRPLRPAALPPTKCRDRDGQVVALPSDFFLRIDSHREDAAELDDDSEDSCCLRLHKVLEPYKPQWCRSREAWALYLFSPQNRFRVSCQKVITHKMFDHVVLVFIFLNCVTIALERPDIDPGSTERVFLSVSNYIFTAIFVAEMMVKVVALGLLSGEHAYLQSSWNLLDGLLVLVSLVDIVVAMASAGGAKILGVLRVLRLLRTLRPLRVISRAPGLKLVVETLISSLRPIGNIVLICCAFFIIFGILGVQLFKGKFYYCEGPDTRNISTKAQCRAAHYRWVRRKYNFDNLGQALMSLFVLSSKDGWVNIMYDGLDAVGVDQQPVQNHNPWMLLYFISFLLIVSFFVLNMFVGVVVENFHKCRQHQEAEEARRREEKRLRRLERRRRSTFPSPEAQRRPYYADYSPTRRSIHSLCTSHYLDLFITFIICVNVITMSMEHYNQPKSLDEALKYCNYVFTIVFVFEAALKLVAFGFRRFFKDRWNQLDLAIVLLSLMGITLEEIEMSAALPINPTIIRIMRVLRIARVLKLLKMATGMRALLDTVVQALPQVGNLGLLFMLLFFIYAALGVELFGRLECSEDNPCEGLSRHATFSNFGMAFLTLFRVSTGDNWNGIMKDTLRECSREDKHCLSYLPALSPVYFVTFVLVAQFVLVNVVVAVLMKHLEESNKEAREDAELDAEIELEMAQGPGSARRVDADRPPLPQESPGARDAPNLVARKVSVSRMLSLPNDSYMFRPVVPASAPHPRPLQEVEMETYGAGTPLGSVASVHSPPAESCASLQIPLAVSSPARSGEPLHALSPRGTARSPSLSRLLCRQEAVHTDSLEGKIDSPRDTLDPAEPGEKTPVRPVTQGGSLQSPPRSPRPASVRTRKHTFGQRCVSSRPAAPGGEEAEASDPADEEVSHITSSACPWQPTAEPHGPEASPVAGGERDLRRLYSVDAQGFLDKPGRADEQWRPSAELGSGEPGEAKAWGPEAEPALGARRKKKMSPPCISVEPPAEDEGSARPSAAEGGSTTLRRRTPSCEATPHRDSLEPTEGSGAGGDPAAKGERWGQASCRAEHLTVPSFAFEPLDLGVPSGDPFLDGSHSVTPESRASSSGAIVPLEPPESEPPMPVGDPPEKRRGLYLTVPQCPLEKPGSPSATPAPGGGADDPV
+>DECOY_sp|O95180|CAC1H_HUMAN Voltage-dependent T-type calcium channel subunit alpha-1H OS=Homo sapiens OX=9606 GN=CACNA1H PE=1 SV=4
+VPDDAGGGPAPTASPSGPKELPCQPVTLYLGRRKEPPDGVPMPPESEPPELPVIAGSSSARSEPTVSHSGDLFPDGSPVGLDLPEFAFSPVTLHEARCSAQGWREGKAAPDGGAGSGETPELSDRHPTAECSPTRRRLTTSGGEAASPRASGEDEAPPEVSICPPSMKKKRRAGLAPEAEPGWAKAEGPEGSGLEASPRWQEDARGPKDLFGQADVSYLRRLDREGGAVPSAEPGHPEATPQWPCASSTIHSVEEDAPDSAEAEEGGPAAPRSSVCRQGFTHKRTRVSAPRPSRPPSQLSGGQTVPRVPTKEGPEAPDLTDRPSDIKGELSDTHVAEQRCLLRSLSPSRATGRPSLAHLPEGSRAPSSVALPIQLSACSEAPPSHVSAVSGLPTGAGYTEMEVEQLPRPHPASAPVVPRFMYSDNPLSLMRSVSVKRAVLNPADRAGPSEQPLPPRDADVRRASGPGQAMELEIEADLEADERAEKNSEELHKMLVAVVVNVLVFQAVLVFTVFYVPSLAPLYSLCHKDERSCERLTDKMIGNWNDGTSVRFLTLFAMGFNSFTAHRSLGECPNDESCELRGFLEVGLAAYIFFLLMFLLGLNGVQPLAQVVTDLLARMGTAMKLLKLVRAIRLVRMIRIITPNIPLAASMEIEELTIGMLSLLVIALDLQNWRDKFFRRFGFAVLKLAAEFVFVITFVYNCYKLAEDLSKPQNYHEMSMTIVNVCIIFTIFLDLYHSTCLSHISRRTPSYDAYYPRRQAEPSPFTSRRRRELRRLRKEERRRAEEAEQHQRCKHFNEVVVGVFMNLVFFSVILLFSIFYLLMWPNHNQVPQQDVGVADLGDYMINVWGDKSSLVFLSMLAQGLNDFNYKRRVWRYHAARCQAKTSINRTDPGECYYFKGKFLQVGLIGFIIFFACCILVINGIPRLSSILTEVVLKLGPARSIVRLPRLTRLLRLVRLVGLIKAGGASAMAVVIDVLSVLVLLGDLLNWSSQLYAHEGSLLGLAVVKVMMEAVFIATFIYNSVSLFVRETSGPDIDPRELAITVCNLFIFVLVVHDFMKHTIVKQCSVRFRNQPSFLYLAWAERSRCWQPKYPELVKHLRLCCSDESDDDLEAADERHSDIRLFFDSPLAVVQGDRDRCKTPPLAAPRLPRPDLSEARRLPTARPGPAARGDEAEDDTSGKGEGSLLSEREGCQGRRKLSPARGLSSWSSRRSSWAGSPGWPACPSSRLSAPPKQDGLPPDGSSSSGRRSDPLSPAADLFPSSKPTPMPTAATCMILPPSLSGRGELHGNPTVALSCMKLETTQLERLKHFDEEFHVSTKDEDTDSRNADGEAQFGEVLIAVLLNFLVYNGFTMLAVFYLAAWSSTSAMGNYLVVNWDEQTLIQFVTVIAWLLSDFNKRDPVTDGTDTKLSFKCGFLHMGLISFIFIFLMLLTCFTAVNDMTKVLVVLQRRLAPLFRVLKLVRLLRFTRLVSLGGDAQGVIEWVSIVVIIGDFINYPNRIYGLPGCALLKLLMELAFMSTFVINSIELANTLEEPQEHYEVGMSLTNVLIAMMIGRSFYKSDVIRRLKGSFTVWLRGMWGPEGPAARQQARRQPSGPGPGPTDTARPPRTPDWRDGHRVDQTFEYVGRGDSDGSESGSLEGEPDELARTCYPCSKLECTLTGAPPSPLPCPVSLGSLHGPAQGLGHEGVVHPIKEYPDPSNLSLPGHGGTGPPGGAWKGKPGPSTSGKGSGVGSPLITPYNMTGLGTALRLSAAATAAAHAVRAREQPGEIHCDAHYISHVSEADPPGRGPSPPSPPAGARVLRTDCAGPEPGPRRPSGHSFHYHHHHHHHHHYVLHHVSATHRGARRQRHGPGQGQVASPDVKKRWRSQWRAYLRLSRRKVKRFIHGVYKLLEEYCSGPESFSALTSDNSLHRARQERMLQSERQKTESFQTAIVVLCLNIMFFSGVIILLIFYIFNYFSHADMVYYMIDVWGELTIVQFIAIWAYGINDFNIAGNHPNSDGSRCVNYYQNWNICANRAAGVGEAQPQTYAEWGLTCPMRLERRGPIHSCKQMGNDRRSSCIFPNEEGEETQYYPRLFTLNNNRVFASDLFCRNRLLGAWLQVGVIGFIFFVFFCLLLVNGLMPLTDLLLTVLIRMSPVRNIARLPRLVRVTRIASLSVNHGDLSYEMMGAVVIFFDLRNWTDGLYCKQGFLGLAVMKIVMEVAFFAFIFADFAELINCRESGCEVDECPRFMGLTVCNLMIVLMSVHEFWPNCVLRLCWSRPRTTQGLCFFVTAALAPYPVRQEEDAGLEAGREAAPSESPSVGLESGREAERGPAGPSEPSAGVLAAPGPPPAGLPVRVEDAARAGETM
+>sp|P43155|CACP_HUMAN Carnitine O-acetyltransferase OS=Homo sapiens OX=9606 GN=CRAT PE=1 SV=5
+MLAFAARTVVKPLGFLKPFSLMKASSRFKAHQDALPRLPVPPLQQSLDHYLKALQPIVSEEEWAHTKQLVDEFQASGGVGERLQKGLERRARKTENWLSEWWLKTAYLQYRQPVVIYSSPGVMLPKQDFVDLQGQLRFAAKLIEGVLDFKVMIDNETLPVEYLGGKPLCMNQYYQILSSCRVPGPKQDTVSNFSKTKKPPTHITVVHNYQFFELDVYHSDGTPLTADQIFVQLEKIWNSSLQTNKEPVGILTSNHRNSWAKAYNTLIKDKVNRDSVRSIQKSIFTVCLDATMPRVSEDVYRSHVAGQMLHGGGSRLNSGNRWFDKTLQFIVAEDGSCGLVYEHAAAEGPPIVTLLDYVIEYTKKPELVRSPLVPLPMPKKLRFNITPEIKSDIEKAKQNLSIMIQDLDITVMVFHHFGKDFPKSEKLSPDAFIQMALQLAYYRIYGQACATYESASLRMFHLGRTDTIRSASMDSLTFVKAMDDSSVTEHQKVELLRKAVQAHRGYTDRAIRGEAFDRHLLGLKLQAIEDLVSMPDIFMDTSYAIAMHFHLSTSQVPAKTDCVMFFGPVVPDGYGVCYNPMEAHINFSLSAYNSCAETNAARLAHYLEKALLDMRALLQSHPRAKL
+>DECOY_sp|P43155|CACP_HUMAN Carnitine O-acetyltransferase OS=Homo sapiens OX=9606 GN=CRAT PE=1 SV=5
+LKARPHSQLLARMDLLAKELYHALRAANTEACSNYASLSFNIHAEMPNYCVGYGDPVVPGFFMVCDTKAPVQSTSLHFHMAIAYSTDMFIDPMSVLDEIAQLKLGLLHRDFAEGRIARDTYGRHAQVAKRLLEVKQHETVSSDDMAKVFTLSDMSASRITDTRGLHFMRLSASEYTACAQGYIRYYALQLAMQIFADPSLKESKPFDKGFHHFVMVTIDLDQIMISLNQKAKEIDSKIEPTINFRLKKPMPLPVLPSRVLEPKKTYEIVYDLLTVIPPGEAAAHEYVLGCSGDEAVIFQLTKDFWRNGSNLRSGGGHLMQGAVHSRYVDESVRPMTADLCVTFISKQISRVSDRNVKDKILTNYAKAWSNRHNSTLIGVPEKNTQLSSNWIKELQVFIQDATLPTGDSHYVDLEFFQYNHVVTIHTPPKKTKSFNSVTDQKPGPVRCSSLIQYYQNMCLPKGGLYEVPLTENDIMVKFDLVGEILKAAFRLQGQLDVFDQKPLMVGPSSYIVVPQRYQLYATKLWWESLWNETKRARRELGKQLREGVGGSAQFEDVLQKTHAWEEESVIPQLAKLYHDLSQQLPPVPLRPLADQHAKFRSSAKMLSFPKLFGLPKVVTRAAFALM
+>sp|P55287|CAD11_HUMAN Cadherin-11 OS=Homo sapiens OX=9606 GN=CDH11 PE=2 SV=2
+MKENYCLQAALVCLGMLCHSHAFAPERRGHLRPSFHGHHEKGKEGQVLQRSKRGWVWNQFFVIEEYTGPDPVLVGRLHSDIDSGDGNIKYILSGEGAGTIFVIDDKSGNIHATKTLDREERAQYTLMAQAVDRDTNRPLEPPSEFIVKVQDINDNPPEFLHETYHANVPERSNVGTSVIQVTASDADDPTYGNSAKLVYSILEGQPYFSVEAQTGIIRTALPNMDREAKEEYHVVIQAKDMGGHMGGLSGTTKVTITLTDVNDNPPKFPQSVYQMSVSEAAVPGEEVGRVKAKDPDIGENGLVTYNIVDGDGMESFEITTDYETQEGVIKLKKPVDFETKRAYSLKVEAANVHIDPKFISNGPFKDTVTVKISVEDADEPPMFLAPSYIHEVQENAAAGTVVGRVHAKDPDAANSPIRYSIDRHTDLDRFFTINPEDGFIKTTKPLDREETAWLNITVFAAEIHNRHQEAKVPVAIRVLDVNDNAPKFAAPYEGFICESDQTKPLSNQPIVTISADDKDDTANGPRFIFSLPPEIIHNPNFTVRDNRDNTAGVYARRGGFSRQKQDLYLLPIVISDGGIPPMSSTNTLTIKVCGCDVNGALLSCNAEAYILNAGLSTGALIAILACIVILLVIVVLFVTLRRQKKEPLIVFEEEDVRENIITYDDEGGGEEDTEAFDIATLQNPDGINGFIPRKDIKPEYQYMPRPGLRPAPNSVDVDDFINTRIQEADNDPTAPPYDSIQIYGYEGRGSVAGSLSSLESATTDSDLDYDYLQNWGPRFKKLADLYGSKDTFDDDS
+>DECOY_sp|P55287|CAD11_HUMAN Cadherin-11 OS=Homo sapiens OX=9606 GN=CDH11 PE=2 SV=2
+SDDDFTDKSGYLDALKKFRPGWNQLYDYDLDSDTTASELSSLSGAVSGRGEYGYIQISDYPPATPDNDAEQIRTNIFDDVDVSNPAPRLGPRPMYQYEPKIDKRPIFGNIGDPNQLTAIDFAETDEEGGGEDDYTIINERVDEEEFVILPEKKQRRLTVFLVVIVLLIVICALIAILAGTSLGANLIYAEANCSLLAGNVDCGCVKITLTNTSSMPPIGGDSIVIPLLYLDQKQRSFGGRRAYVGATNDRNDRVTFNPNHIIEPPLSFIFRPGNATDDKDDASITVIPQNSLPKTQDSECIFGEYPAAFKPANDNVDLVRIAVPVKAEQHRNHIEAAFVTINLWATEERDLPKTTKIFGDEPNITFFRDLDTHRDISYRIPSNAADPDKAHVRGVVTGAAANEQVEHIYSPALFMPPEDADEVSIKVTVTDKFPGNSIFKPDIHVNAAEVKLSYARKTEFDVPKKLKIVGEQTEYDTTIEFSEMGDGDVINYTVLGNEGIDPDKAKVRGVEEGPVAAESVSMQYVSQPFKPPNDNVDTLTITVKTTGSLGGMHGGMDKAQIVVHYEEKAERDMNPLATRIIGTQAEVSFYPQGELISYVLKASNGYTPDDADSATVQIVSTGVNSREPVNAHYTEHLFEPPNDNIDQVKVIFESPPELPRNTDRDVAQAMLTYQAREERDLTKTAHINGSKDDIVFITGAGEGSLIYKINGDGSDIDSHLRGVLVPDPGTYEEIVFFQNWVWGRKSRQLVQGEKGKEHHGHFSPRLHGRREPAFAHSHCLMGLCVLAAQLCYNEKM
+>sp|Q86UP0|CAD24_HUMAN Cadherin-24 OS=Homo sapiens OX=9606 GN=CDH24 PE=1 SV=1
+MWGLVRLLLAWLGGWGCMGRLAAPARAWAGSREHPGPALLRTRRSWVWNQFFVIEEYAGPEPVLIGKLHSDVDRGEGRTKYLLTGEGAGTVFVIDEATGNIHVTKSLDREEKAQYVLLAQAVDRASNRPLEPPSEFIIKVQDINDNPPIFPLGPYHATVPEMSNVGTSVIQVTAHDADDPSYGNSAKLVYTVLDGLPFFSVDPQTGVVRTAIPNMDRETQEEFLVVIQAKDMGGHMGGLSGSTTVTVTLSDVNDNPPKFPQSLYQFSVVETAGPGTLVGRLRAQDPDLGDNALMAYSILDGEGSEAFSISTDLQGRDGLLTVRKPLDFESQRSYSFRVEATNTLIDPAYLRRGPFKDVASVRVAVQDAPEPPAFTQAAYHLTVPENKAPGTLVGQISAADLDSPASPIRYSILPHSDPERCFSIQPEEGTIHTAAPLDREARAWHNLTVLATELGWSWGPERGWVPLLVAEWSAPAAPPQRSPVGSAVGIPQDSSAQASRVQVAIQTLDENDNAPQLAEPYDTFVCDSAAPGQLIQVIRALDRDEVGNSSHVSFQGPLGPDANFTVQDNRDGSASLLLPSRPAPPRHAPYLVPIELWDWGQPALSSTATVTVSVCRCQPDGSVASCWPEAHLSAAGLSTGALLAIITCVGALLALVVLFVALRRQKQEALMVLEEEDVRENIITYDDEGGGEEDTEAFDITALQNPDGAAPPAPGPPARRDVLPRARVSRQPRPPGPADVAQLLALRLREADEDPGVPPYDSVQVYGYEGRGSSCGSLSSLGSGSEAGGAPGPAEPLDDWGPLFRTLAELYGAKEPPAP
+>DECOY_sp|Q86UP0|CAD24_HUMAN Cadherin-24 OS=Homo sapiens OX=9606 GN=CDH24 PE=1 SV=1
+PAPPEKAGYLEALTRFLPGWDDLPEAPGPAGGAESGSGLSSLSGCSSGRGEYGYVQVSDYPPVGPDEDAERLRLALLQAVDAPGPPRPQRSVRARPLVDRRAPPGPAPPAAGDPNQLATIDFAETDEEGGGEDDYTIINERVDEEELVMLAEQKQRRLAVFLVVLALLAGVCTIIALLAGTSLGAASLHAEPWCSAVSGDPQCRCVSVTVTATSSLAPQGWDWLEIPVLYPAHRPPAPRSPLLLSASGDRNDQVTFNADPGLPGQFSVHSSNGVEDRDLARIVQILQGPAASDCVFTDYPEALQPANDNEDLTQIAVQVRSAQASSDQPIGVASGVPSRQPPAAPASWEAVLLPVWGREPGWSWGLETALVTLNHWARAERDLPAATHITGEEPQISFCREPDSHPLISYRIPSAPSDLDAASIQGVLTGPAKNEPVTLHYAAQTFAPPEPADQVAVRVSAVDKFPGRRLYAPDILTNTAEVRFSYSRQSEFDLPKRVTLLGDRGQLDTSISFAESGEGDLISYAMLANDGLDPDQARLRGVLTGPGATEVVSFQYLSQPFKPPNDNVDSLTVTVTTSGSLGGMHGGMDKAQIVVLFEEQTERDMNPIATRVVGTQPDVSFFPLGDLVTYVLKASNGYSPDDADHATVQIVSTGVNSMEPVTAHYPGLPFIPPNDNIDQVKIIFESPPELPRNSARDVAQALLVYQAKEERDLSKTVHINGTAEDIVFVTGAGEGTLLYKTRGEGRDVDSHLKGILVPEPGAYEEIVFFQNWVWSRRTRLLAPGPHERSGAWARAPAALRGMCGWGGLWALLLRVLGWM
+>sp|Q9ULB5|CADH7_HUMAN Cadherin-7 OS=Homo sapiens OX=9606 GN=CDH7 PE=2 SV=2
+MKLGKVEFCHFLQLIALFLCFSGMSQAELSRSRSKPYFQSGRSRTKRSWVWNQFFVLEEYMGSDPLYVGKLHSDVDKGDGSIKYILSGEGASSIFIIDENTGDIHATKRLDREEQAYYTLRAQALDRLTNKPVEPESEFVIKIQDINDNEPKFLDGPYTAGVPEMSPVGTSVVQVTATDADDPTYGNSARVVYSILQGQPYFSVEPKTGVIKTALPNMDREAKDQYLLVIQAKDMVGQNGGLSGTTSVTVTLTDVNDNPPRFPRRSYQYNVPESLPVASVVARIKAADADIGANAEMEYKIVDGDGLGIFKISVDKETQEGIITIQKELDFEAKTSYTLRIEAANKDADPRFLSLGPFSDTTTVKIIVEDVDEPPVFSSPLYPMEVSEATQVGNIIGTVAAHDPDSSNSPVRYSIDRNTDLERYFNIDANSGVITTAKSLDRETNAIHNITVLAMESQNPSQVGRGYVAITILDINDNAPEFAMDYETTVCENAQPGQVIQKISAVDKDEPSNGHQFYFSLTTDATNNHNFSLKDNKDNTASILTRRNGFRRQEQSVYYLPIFIVDSGSPSLSSTNTLTIRVCDCDADGVAQTCNAEAYVLPAGLSTGALIAILACVLTLLVLILLIVTMRRRKKEPLIFDEERDIRENIVRYDDEGGGEEDTEAFDMAALRNLNVIRDTKTRRDVTPEIQFLSRPAFKSIPDNVIFREFIWERLKEADVDPGAPPYDSLQTYAFEGNGSVAESLSSLDSISSNSDQNYDYLSDWGPRFKRLADMYGTGQESLYS
+>DECOY_sp|Q9ULB5|CADH7_HUMAN Cadherin-7 OS=Homo sapiens OX=9606 GN=CDH7 PE=2 SV=2
+SYLSEQGTGYMDALRKFRPGWDSLYDYNQDSNSSISDLSSLSEAVSGNGEFAYTQLSDYPPAGPDVDAEKLREWIFERFIVNDPISKFAPRSLFQIEPTVDRRTKTDRIVNLNRLAAMDFAETDEEGGGEDDYRVINERIDREEDFILPEKKRRRMTVILLILVLLTLVCALIAILAGTSLGAPLVYAEANCTQAVGDADCDCVRITLTNTSSLSPSGSDVIFIPLYYVSQEQRRFGNRRTLISATNDKNDKLSFNHNNTADTTLSFYFQHGNSPEDKDVASIKQIVQGPQANECVTTEYDMAFEPANDNIDLITIAVYGRGVQSPNQSEMALVTINHIANTERDLSKATTIVGSNADINFYRELDTNRDISYRVPSNSSDPDHAAVTGIINGVQTAESVEMPYLPSSFVPPEDVDEVIIKVTTTDSFPGLSLFRPDADKNAAEIRLTYSTKAEFDLEKQITIIGEQTEKDVSIKFIGLGDGDVIKYEMEANAGIDADAAKIRAVVSAVPLSEPVNYQYSRRPFRPPNDNVDTLTVTVSTTGSLGGNQGVMDKAQIVLLYQDKAERDMNPLATKIVGTKPEVSFYPQGQLISYVVRASNGYTPDDADTATVQVVSTGVPSMEPVGATYPGDLFKPENDNIDQIKIVFESEPEVPKNTLRDLAQARLTYYAQEERDLRKTAHIDGTNEDIIFISSAGEGSLIYKISGDGKDVDSHLKGVYLPDSGMYEELVFFQNWVWSRKTRSRGSQFYPKSRSRSLEAQSMGSFCLFLAILQLFHCFEVKGLKM
+>sp|Q8N3J6|CADM2_HUMAN Cell adhesion molecule 2 OS=Homo sapiens OX=9606 GN=CADM2 PE=2 SV=1
+MIWKRSAVLRFYSVCGLLLQGSQGQFPLTQNVTVVEGGTAILTCRVDQNDNTSLQWSNPAQQTLYFDDKKALRDNRIELVRASWHELSISVSDVSLSDEGQYTCSLFTMPVKTSKAYLTVLGVPEKPQISGFSSPVMEGDLMQLTCKTSGSKPAADIRWFKNDKEIKDVKYLKEEDANRKTFTVSSTLDFRVDRSDDGVAVICRVDHESLNATPQVAMQVLEIHYTPSVKIIPSTPFPQEGQPLILTCESKGKPLPEPVLWTKDGGELPDPDRMVVSGRELNILFLNKTDNGTYRCEATNTIGQSSAEYVLIVHDVPNTLLPTTIIPSLTTATVTTTVAITTSPTTSATTSSIRDPNALAGQNGPDHALIGGIVAVVVFVTLCSIFLLGRYLARHKGTYLTNEAKGAEDAPDADTAIINAEGSQVNAEEKKEYFI
+>DECOY_sp|Q8N3J6|CADM2_HUMAN Cell adhesion molecule 2 OS=Homo sapiens OX=9606 GN=CADM2 PE=2 SV=1
+IFYEKKEEANVQSGEANIIATDADPADEAGKAENTLYTGKHRALYRGLLFISCLTVFVVVAVIGGILAHDPGNQGALANPDRISSTTASTTPSTTIAVTTTVTATTLSPIITTPLLTNPVDHVILVYEASSQGITNTAECRYTGNDTKNLFLINLERGSVVMRDPDPLEGGDKTWLVPEPLPKGKSECTLILPQGEQPFPTSPIIKVSPTYHIELVQMAVQPTANLSEHDVRCIVAVGDDSRDVRFDLTSSVTFTKRNADEEKLYKVDKIEKDNKFWRIDAAPKSGSTKCTLQMLDGEMVPSSFGSIQPKEPVGLVTLYAKSTKVPMTFLSCTYQGEDSLSVDSVSISLEHWSARVLEIRNDRLAKKDDFYLTQQAPNSWQLSTNDNQDVRCTLIATGGEVVTVNQTLPFQGQSGQLLLGCVSYFRLVASRKWIM
+>sp|P22748|CAH4_HUMAN Carbonic anhydrase 4 OS=Homo sapiens OX=9606 GN=CA4 PE=1 SV=2
+MRMLLALLALSAARPSASAESHWCYEVQAESSNYPCLVPVKWGGNCQKDRQSPINIVTTKAKVDKKLGRFFFSGYDKKQTWTVQNNGHSVMMLLENKASISGGGLPAPYQAKQLHLHWSDLPYKGSEHSLDGEHFAMEMHIVHEKEKGTSRNVKEAQDPEDEIAVLAFLVEAGTQVNEGFQPLVEALSNIPKPEMSTTMAESSLLDLLPKEEKLRHYFRYLGSLTTPTCDEKVVWTVFREPIQLHREQILAFSQKLYYDKEQTVSMKDNVRPLQQLGQRTVIKSGAPGRPLPWALPALLGPMLACLLAGFLR
+>DECOY_sp|P22748|CAH4_HUMAN Carbonic anhydrase 4 OS=Homo sapiens OX=9606 GN=CA4 PE=1 SV=2
+RLFGALLCALMPGLLAPLAWPLPRGPAGSKIVTRQGLQQLPRVNDKMSVTQEKDYYLKQSFALIQERHLQIPERFVTWVVKEDCTPTTLSGLYRFYHRLKEEKPLLDLLSSEAMTTSMEPKPINSLAEVLPQFGENVQTGAEVLFALVAIEDEPDQAEKVNRSTGKEKEHVIHMEMAFHEGDLSHESGKYPLDSWHLHLQKAQYPAPLGGGSISAKNELLMMVSHGNNQVTWTQKKDYGSFFFRGLKKDVKAKTTVINIPSQRDKQCNGGWKVPVLCPYNSSEAQVEYCWHSEASASPRAASLALLALLMRM
+>sp|P35218|CAH5A_HUMAN Carbonic anhydrase 5A, mitochondrial OS=Homo sapiens OX=9606 GN=CA5A PE=1 SV=1
+MLGRNTWKTSAFSFLVEQMWAPLWSRSMRPGRWCSQRSCAWQTSNNTLHPLWTVPVSVPGGTRQSPINIQWRDSVYDPQLKPLRVSYEAASCLYIWNTGYLFQVEFDDATEASGISGGPLENHYRLKQFHFHWGAVNEGGSEHTVDGHAYPAELHLVHWNSVKYQNYKEAVVGENGLAVIGVFLKLGAHHQTLQRLVDILPEIKHKDARAAMRPFDPSTLLPTCWDYWTYAGSLTTPPLTESVTWIIQKEPVEVAPSQLSAFRTLLFSALGEEEKMMVNNYRPLQPLMNRKVWASFQATNEGTRS
+>DECOY_sp|P35218|CAH5A_HUMAN Carbonic anhydrase 5A, mitochondrial OS=Homo sapiens OX=9606 GN=CA5A PE=1 SV=1
+SRTGENTAQFSAWVKRNMLPQLPRYNNVMMKEEEGLASFLLTRFASLQSPAVEVPEKQIIWTVSETLPPTTLSGAYTWYDWCTPLLTSPDFPRMAARADKHKIEPLIDVLRQLTQHHAGLKLFVGIVALGNEGVVAEKYNQYKVSNWHVLHLEAPYAHGDVTHESGGENVAGWHFHFQKLRYHNELPGGSIGSAETADDFEVQFLYGTNWIYLCSAAEYSVRLPKLQPDYVSDRWQINIPSQRTGGPVSVPVTWLPHLTNNSTQWACSRQSCWRGPRMSRSWLPAWMQEVLFSFASTKWTNRGLM
+>sp|P23280|CAH6_HUMAN Carbonic anhydrase 6 OS=Homo sapiens OX=9606 GN=CA6 PE=1 SV=3
+MRALVLLLSLFLLGGQAQHVSDWTYSEGALDEAHWPQHYPACGGQRQSPINLQRTKVRYNPSLKGLNMTGYETQAGEFPMVNNGHTVQISLPSTMRMTVADGTVYIAQQMHFHWGGASSEISGSEHTVDGIRHVIEIHIVHYNSKYKSYDIAQDAPDGLAVLAAFVEVKNYPENTYYSNFISHLANIKYPGQRTTLTGLDVQDMLPRNLQHYYTYHGSLTTPPCTENVHWFVLADFVKLSRTQVWKLENSLLDHRNKTIHNDYRRTQPLNHRVVESNFPNQEYTLGSEFQFYLHKIEEILDYLRRALN
+>DECOY_sp|P23280|CAH6_HUMAN Carbonic anhydrase 6 OS=Homo sapiens OX=9606 GN=CA6 PE=1 SV=3
+NLARRLYDLIEEIKHLYFQFESGLTYEQNPFNSEVVRHNLPQTRRYDNHITKNRHDLLSNELKWVQTRSLKVFDALVFWHVNETCPPTTLSGHYTYYHQLNRPLMDQVDLGTLTTRQGPYKINALHSIFNSYYTNEPYNKVEVFAALVALGDPADQAIDYSKYKSNYHVIHIEIVHRIGDVTHESGSIESSAGGWHFHMQQAIYVTGDAVTMRMTSPLSIQVTHGNNVMPFEGAQTEYGTMNLGKLSPNYRVKTRQLNIPSQRQGGCAPYHQPWHAEDLAGESYTWDSVHQAQGGLLFLSLLLVLARM
+>sp|P43166|CAH7_HUMAN Carbonic anhydrase 7 OS=Homo sapiens OX=9606 GN=CA7 PE=1 SV=1
+MTGHHGWGYGQDDGPSHWHKLYPIAQGDRQSPINIISSQAVYSPSLQPLELSYEACMSLSITNNGHSVQVDFNDSDDRTVVTGGPLEGPYRLKQFHFHWGKKHDVGSEHTVDGKSFPSELHLVHWNAKKYSTFGEAASAPDGLAVVGVFLETGDEHPSMNRLTDALYMVRFKGTKAQFSCFNPKCLLPASRHYWTYPGSLTTPPLSESVTWIVLREPICISERQMGKFRSLLFTSEDDERIHMVNNFRPPQPLKGRVVKASFRA
+>DECOY_sp|P43166|CAH7_HUMAN Carbonic anhydrase 7 OS=Homo sapiens OX=9606 GN=CA7 PE=1 SV=1
+ARFSAKVVRGKLPQPPRFNNVMHIREDDESTFLLSRFKGMQRESICIPERLVIWTVSESLPPTTLSGPYTWYHRSAPLLCKPNFCSFQAKTGKFRVMYLADTLRNMSPHEDGTELFVGVVALGDPASAAEGFTSYKKANWHVLHLESPFSKGDVTHESGVDHKKGWHFHFQKLRYPGELPGGTVVTRDDSDNFDVQVSHGNNTISLSMCAEYSLELPQLSPSYVAQSSIINIPSQRDGQAIPYLKHWHSPGDDQGYGWGHHGTM
+>sp|Q5R3K3|CAHM6_HUMAN Calcium homeostasis modulator protein 6 OS=Homo sapiens OX=9606 GN=CALHM6 PE=2 SV=1
+MEKFRAVLDLHVKHHSALGYGLVTLLTAGGERIFSAVAFQCPCSAAWNLPYGLVFLLVPALALFLLGYVLSARTWRLLTGCCSSARASCGSALRGSLVCTQISAAAALAPLTWVAVALLGGAFYECAATGSAAFAQRLCLGRNRSCAAELPLVPCNQAKASDVQDLLKDLKAQSQVLGWILIAVVIIILLIFTSVTRCLSPVSFLQLKFWKIYLEQEQQILKSKATEHATELAKENIKCFFEGSHPKEYNTPSMKEWQQISSLYTFNPKGQYYSMLHKYVNRKEKTHSIRSTEGDTVIPVLGFVDSSGINSTPEL
+>DECOY_sp|Q5R3K3|CAHM6_HUMAN Calcium homeostasis modulator protein 6 OS=Homo sapiens OX=9606 GN=CALHM6 PE=2 SV=1
+LEPTSNIGSSDVFGLVPIVTDGETSRISHTKEKRNVYKHLMSYYQGKPNFTYLSSIQQWEKMSPTNYEKPHSGEFFCKINEKALETAHETAKSKLIQQEQELYIKWFKLQLFSVPSLCRTVSTFILLIIIVVAILIWGLVQSQAKLDKLLDQVDSAKAQNCPVLPLEAACSRNRGLCLRQAFAASGTAACEYFAGGLLAVAVWTLPALAAAASIQTCVLSGRLASGCSARASSCCGTLLRWTRASLVYGLLFLALAPVLLFVLGYPLNWAASCPCQFAVASFIREGGATLLTVLGYGLASHHKVHLDLVARFKEM
+>sp|Q13939|CALI_HUMAN Calicin OS=Homo sapiens OX=9606 GN=CCIN PE=2 SV=3
+MKLEFTEKNYNSFVLQNLNRQRKRKEYWDMALSVDNHVFFAHRNVLAAVSPLVRSLISSNDMKTADELFITIDTSYLSPVTVDQLLDYFYSGKVVISEQNVEELLRGAQYFNTPRLRVHCNDFLIKSICRANCLRYLFLAELFELKEVSDVAYSGIRDNFHYWASPEGSMHFMRCPPVIFGRLLRDENLHVLNEDQALSALINWVYFRKEDREKYFKKFFNYINLNAVSNKTLVFASNKLVGMENTSSHTTLIESVLMDRKQERPCSLLVYQRKGALLDSVVILGGQKAHGQFNDGVFAYIIQENLWMKLSDMPYRAAALSATSAGRYIYISGGTTEQISGLKTAWRYDMDDNSWTKLPDLPIGLVFHTMVTCGGTVYSVGGSIAPRRYVSNIYRYDERKEVWCLAGKMSIPMDGTAVITKGDRHLYIVTGRCLVKGYISRVGVVDCFDTSTGDVVQCITFPIEFNHRPLLSFQQDNILCVHSHRQSVEINLQKVKASKTTTSVPVLPNSCPLDVSHAICSIGDSKVFVCGGVTTASDVQTKDYTINPNAFLLDQKTGKWKTLAPPPEALDCPACCLAKLPCKILQRI
+>DECOY_sp|Q13939|CALI_HUMAN Calicin OS=Homo sapiens OX=9606 GN=CCIN PE=2 SV=3
+IRQLIKCPLKALCCAPCDLAEPPPALTKWKGTKQDLLFANPNITYDKTQVDSATTVGGCVFVKSDGISCIAHSVDLPCSNPLVPVSTTTKSAKVKQLNIEVSQRHSHVCLINDQQFSLLPRHNFEIPFTICQVVDGTSTDFCDVVGVRSIYGKVLCRGTVIYLHRDGKTIVATGDMPISMKGALCWVEKREDYRYINSVYRRPAISGGVSYVTGGCTVMTHFVLGIPLDPLKTWSNDDMDYRWATKLGSIQETTGGSIYIYRGASTASLAAARYPMDSLKMWLNEQIIYAFVGDNFQGHAKQGGLIVVSDLLAGKRQYVLLSCPREQKRDMLVSEILTTHSSTNEMGVLKNSAFVLTKNSVANLNIYNFFKKFYKERDEKRFYVWNILASLAQDENLVHLNEDRLLRGFIVPPCRMFHMSGEPSAWYHFNDRIGSYAVDSVEKLEFLEALFLYRLCNARCISKILFDNCHVRLRPTNFYQAGRLLEEVNQESIVVKGSYFYDLLQDVTVPSLYSTDITIFLEDATKMDNSSILSRVLPSVAALVNRHAFFVHNDVSLAMDWYEKRKRQRNLNQLVFSNYNKETFELKM
+>sp|Q96GE6|CALL4_HUMAN Calmodulin-like protein 4 OS=Homo sapiens OX=9606 GN=CALML4 PE=2 SV=3
+MAAEHLLPGPPPSLADFRLEAGGKGTERGSGSSKPTGSSRGPRMAKFLSQDQINEYKECFSLYDKQQRGKIKATDLMVAMRCLGASPTPGEVQRHLQTHGIDGNGELDFSTFLTIMHMQIKQEDPKKEILLAMLMVDKEKKGYVMASDLRSKLTSLGEKLTHKEVDDLFREADIEPNGKVKYDEFIHKITLPGRDY
+>DECOY_sp|Q96GE6|CALL4_HUMAN Calmodulin-like protein 4 OS=Homo sapiens OX=9606 GN=CALML4 PE=2 SV=3
+YDRGPLTIKHIFEDYKVKGNPEIDAERFLDDVEKHTLKEGLSTLKSRLDSAMVYGKKEKDVMLMALLIEKKPDEQKIQMHMITLFTSFDLEGNGDIGHTQLHRQVEGPTPSAGLCRMAVMLDTAKIKGRQQKDYLSFCEKYENIQDQSLFKAMRPGRSSGTPKSSGSGRETGKGGAELRFDALSPPPGPLLHEAAM
+>sp|Q8TD86|CALL6_HUMAN Calmodulin-like protein 6 OS=Homo sapiens OX=9606 GN=CALML6 PE=2 SV=2
+MGLQQEISLQPWCHHPAESCQTTTDMTERLSAEQIKEYKGVFEMFDEEGNGEVKTGELEWLMSLLGINPTKSELASMAKDVDRDNKGFFNCDGFLALMGVYHEKAQNQESELRAAFRVFDKEGKGYIDWNTLKYVLMNAGEPLNEVEAEQMMKEADKDGDRTIDYEEFVAMMTGESFKLIQ
+>DECOY_sp|Q8TD86|CALL6_HUMAN Calmodulin-like protein 6 OS=Homo sapiens OX=9606 GN=CALML6 PE=2 SV=2
+QILKFSEGTMMAVFEEYDITRDGDKDAEKMMQEAEVENLPEGANMLVYKLTNWDIYGKGEKDFVRFAARLESEQNQAKEHYVGMLALFGDCNFFGKNDRDVDKAMSALESKTPNIGLLSMLWELEGTKVEGNGEEDFMEFVGKYEKIQEASLRETMDTTTQCSEAPHHCWPQLSIEQQLGM
+>sp|Q16602|CALRL_HUMAN Calcitonin gene-related peptide type 1 receptor OS=Homo sapiens OX=9606 GN=CALCRL PE=1 SV=2
+MEKKCTLNFLVLLPFFMILVTAELEESPEDSIQLGVTRNKIMTAQYECYQKIMQDPIQQAEGVYCNRTWDGWLCWNDVAAGTESMQLCPDYFQDFDPSEKVTKICDQDGNWFRHPASNRTWTNYTQCNVNTHEKVKTALNLFYLTIIGHGLSIASLLISLGIFFYFKSLSCQRITLHKNLFFSFVCNSVVTIIHLTAVANNQALVATNPVSCKVSQFIHLYLMGCNYFWMLCEGIYLHTLIVVAVFAEKQHLMWYYFLGWGFPLIPACIHAIARSLYYNDNCWISSDTHLLYIIHGPICAALLVNLFFLLNIVRVLITKLKVTHQAESNLYMKAVRATLILVPLLGIEFVLIPWRPEGKIAEEVYDYIMHILMHFQGLLVSTIFCFFNGEVQAILRRNWNQYKIQFGNSFSNSEALRSASYTVSTISDGPGYSHDCPSEHLNGKSIHDIENVLLKPENLYN
+>DECOY_sp|Q16602|CALRL_HUMAN Calcitonin gene-related peptide type 1 receptor OS=Homo sapiens OX=9606 GN=CALCRL PE=1 SV=2
+NYLNEPKLLVNEIDHISKGNLHESPCDHSYGPGDSITSVTYSASRLAESNSFSNGFQIKYQNWNRRLIAQVEGNFFCFITSVLLGQFHMLIHMIYDYVEEAIKGEPRWPILVFEIGLLPVLILTARVAKMYLNSEAQHTVKLKTILVRVINLLFFLNVLLAACIPGHIIYLLHTDSSIWCNDNYYLSRAIAHICAPILPFGWGLFYYWMLHQKEAFVAVVILTHLYIGECLMWFYNCGMLYLHIFQSVKCSVPNTAVLAQNNAVATLHIITVVSNCVFSFFLNKHLTIRQCSLSKFYFFIGLSILLSAISLGHGIITLYFLNLATKVKEHTNVNCQTYNTWTRNSAPHRFWNGDQDCIKTVKESPDFDQFYDPCLQMSETGAAVDNWCLWGDWTRNCYVGEAQQIPDQMIKQYCEYQATMIKNRTVGLQISDEPSEELEATVLIMFFPLLVLFNLTCKKEM
+>sp|Q9UMQ6|CAN11_HUMAN Calpain-11 OS=Homo sapiens OX=9606 GN=CAPN11 PE=2 SV=2
+MLYSPGPSLPESAESLDGSQEDKPRGSCAEPTFTDTGMVAHINNSRLKAKGVGQHDNAQNFGNQSFEELRAACLRKGELFEDPLFPAEPSSLGFKDLGPNSKNVQNISWQRPKDIINNPLFIMDGISPTDICQGILGDCWLLAAIGSLTTCPKLLYRVVPRGQSFKKNYAGIFHFQIWQFGQWVNVVVDDRLPTKNDKLVFVHSTERSEFWSALLEKAYAKLSGSYEALSGGSTMEGLEDFTGGVAQSFQLQRPPQNLLRLLRKAVERSSLMGCSIEVTSDSELESMTDKMLVRGHAYSVTGLQDVHYRGKMETLIRVRNPWGRIEWNGAWSDSAREWEEVASDIQMQLLHKTEDGEFWMSYQDFLNNFTLLEICNLTPDTLSGDYKSYWHTTFYEGSWRRGSSAGGCRNHPGTFWTNPQFKISLPEGDDPEDDAEGNVVVCTCLVALMQKNWRHARQQGAQLQTIGFVLYAVPKEFQNIQDVHLKKEFFTKYQDHGFSEIFTNSREVSSQLRLPPGEYIIIPSTFEPHRDADFLLRVFTEKHSESWELDEVNYAEQLQEEKVSEDDMDQDFLHLFKIVAGEGKEIGVYELQRLLNRMAIKFKSFKTKGFGLDACRCMINLMDKDGSGKLGLLEFKILWKKLKKWMDIFRECDQDHSGTLNSYEMRLVIEKAGIKLNNKVMQVLVARYADDDLIIDFDSFISCFLRLKTMFTFFLTMDPKNTGHICLSLEQWLQMTMWG
+>DECOY_sp|Q9UMQ6|CAN11_HUMAN Calpain-11 OS=Homo sapiens OX=9606 GN=CAPN11 PE=2 SV=2
+GWMTMQLWQELSLCIHGTNKPDMTLFFTFMTKLRLFCSIFSDFDIILDDDAYRAVLVQMVKNNLKIGAKEIVLRMEYSNLTGSHDQDCERFIDMWKKLKKWLIKFELLGLKGSGDKDMLNIMCRCADLGFGKTKFSKFKIAMRNLLRQLEYVGIEKGEGAVIKFLHLFDQDMDDESVKEEQLQEAYNVEDLEWSESHKETFVRLLFDADRHPEFTSPIIIYEGPPLRLQSSVERSNTFIESFGHDQYKTFFEKKLHVDQINQFEKPVAYLVFGITQLQAGQQRAHRWNKQMLAVLCTCVVVNGEADDEPDDGEPLSIKFQPNTWFTGPHNRCGGASSGRRWSGEYFTTHWYSKYDGSLTDPTLNCIELLTFNNLFDQYSMWFEGDETKHLLQMQIDSAVEEWERASDSWAGNWEIRGWPNRVRILTEMKGRYHVDQLGTVSYAHGRVLMKDTMSELESDSTVEISCGMLSSREVAKRLLRLLNQPPRQLQFSQAVGGTFDELGEMTSGGSLAEYSGSLKAYAKELLASWFESRETSHVFVLKDNKTPLRDDVVVNVWQGFQWIQFHFIGAYNKKFSQGRPVVRYLLKPCTTLSGIAALLWCDGLIGQCIDTPSIGDMIFLPNNIIDKPRQWSINQVNKSNPGLDKFGLSSPEAPFLPDEFLEGKRLCAARLEEFSQNGFNQANDHQGVGKAKLRSNNIHAVMGTDTFTPEACSGRPKDEQSGDLSEASEPLSPGPSYLM
+>sp|Q9Y6W3|CAN7_HUMAN Calpain-7 OS=Homo sapiens OX=9606 GN=CAPN7 PE=1 SV=1
+MDATALERDAVQFARLAVQRDHEGRYSEAVFYYKEAAQALIYAEMAGSSLENIQEKITEYLERVQALHSAVQSKSADPLKSKHQLDLERAHFLVTQAFDEDEKENVEDAIELYTEAVDLCLKTSYETADKVLQNKLKQLARQALDRAEALSEPLTKPVGKISSTSVKPKPPPVRAHFPLGANPFLERPQSFISPQSCDAQGQRYTAEEIEVLRTTSKINGIEYVPFMNVDLRERFAYPMPFCDRWGKLPLSPKQKTTFSKWVRPEDLTNNPTMIYTVSSFSIKQTIVSDCSFVASLAISAAYERRFNKKLITGIIYPQNKDGEPEYNPCGKYMVKLHLNGVPRKVIIDDQLPVDHKGELLCSYSNNKSELWVSLIEKAYMKVMGGYDFPGSNSNIDLHALTGWIPERIAMHSDSQTFSKDNSFRMLYQRFHKGDVLITASTGMMTEAEGEKWGLVPTHAYAVLDIREFKGLRFIQLKNPWSHLRWKGRYSENDVKNWTPELQKYLNFDPRTAQKIDNGIFWISWDDLCQYYDVIYLSWNPGLFKESTCIHSTWDAKQGPVKDAYSLANNPQYKLEVQCPQGGAAVWVLLSRHITDKDDFANNREFITMVVYKTDGKKVYYPADPPPYIDGIRINSPHYLTKIKLTTPGTHTFTLVVSQYEKQNTIHYTVRVYSACSFTFSKIPSPYTLSKRINGKWSGQSAGGCGNFQETHKNNPIYQFHIEKTGPLLIELRGPRQYSVGFEVVTVSTLGDPGPHGFLRKSSGDYRCGFCYLELENIPSGIFNIIPSTFLPKQEGPFFLDFNSIIPIKITQLQ
+>DECOY_sp|Q9Y6W3|CAN7_HUMAN Calpain-7 OS=Homo sapiens OX=9606 GN=CAPN7 PE=1 SV=1
+QLQTIKIPIISNFDLFFPGEQKPLFTSPIINFIGSPINELELYCFGCRYDGSSKRLFGHPGPDGLTSVTVVEFGVSYQRPGRLEILLPGTKEIHFQYIPNNKHTEQFNGCGGASQGSWKGNIRKSLTYPSPIKSFTFSCASYVRVTYHITNQKEYQSVVLTFTHTGPTTLKIKTLYHPSNIRIGDIYPPPDAPYYVKKGDTKYVVMTIFERNNAFDDKDTIHRSLLVWVAAGGQPCQVELKYQPNNALSYADKVPGQKADWTSHICTSEKFLGPNWSLYIVDYYQCLDDWSIWFIGNDIKQATRPDFNLYKQLEPTWNKVDNESYRGKWRLHSWPNKLQIFRLGKFERIDLVAYAHTPVLGWKEGEAETMMGTSATILVDGKHFRQYLMRFSNDKSFTQSDSHMAIREPIWGTLAHLDINSNSGPFDYGGMVKMYAKEILSVWLESKNNSYSCLLEGKHDVPLQDDIIVKRPVGNLHLKVMYKGCPNYEPEGDKNQPYIIGTILKKNFRREYAASIALSAVFSCDSVITQKISFSSVTYIMTPNNTLDEPRVWKSFTTKQKPSLPLKGWRDCFPMPYAFRERLDVNMFPVYEIGNIKSTTRLVEIEEATYRQGQADCSQPSIFSQPRELFPNAGLPFHARVPPPKPKVSTSSIKGVPKTLPESLAEARDLAQRALQKLKNQLVKDATEYSTKLCLDVAETYLEIADEVNEKEDEDFAQTVLFHARELDLQHKSKLPDASKSQVASHLAQVRELYETIKEQINELSSGAMEAYILAQAAEKYYFVAESYRGEHDRQVALRAFQVADRELATADM
+>sp|P63098|CANB1_HUMAN Calcineurin subunit B type 1 OS=Homo sapiens OX=9606 GN=PPP3R1 PE=1 SV=2
+MGNEASYPLEMCSHFDADEIKRLGKRFKKLDLDNSGSLSVEEFMSLPELQQNPLVQRVIDIFDTDGNGEVDFKEFIEGVSQFSVKGDKEQKLRFAFRIYDMDKDGYISNGELFQVLKMMVGNNLKDTQLQQIVDKTIINADKDGDGRISFEEFCAVVGGLDIHKKMVVDV
+>DECOY_sp|P63098|CANB1_HUMAN Calcineurin subunit B type 1 OS=Homo sapiens OX=9606 GN=PPP3R1 PE=1 SV=2
+VDVVMKKHIDLGGVVACFEEFSIRGDGDKDANIITKDVIQQLQTDKLNNGVMMKLVQFLEGNSIYGDKDMDYIRFAFRLKQEKDGKVSFQSVGEIFEKFDVEGNGDTDFIDIVRQVLPNQQLEPLSMFEEVSLSGSNDLDLKKFRKGLRKIEDADFHSCMELPYSAENGM
+>sp|O75155|CAND2_HUMAN Cullin-associated NEDD8-dissociated protein 2 OS=Homo sapiens OX=9606 GN=CAND2 PE=1 SV=3
+MSTAAFHISSLLEKMTSSDKDFRFMATSDLMSELQKDSIQLDEDSERKVVKMLLRLLEDKNGEVQNLAVKCLGPLVVKVKEYQVETIVDTLCTNMRSDKEQLRDIAGIGLKTVLSELPPAATGSGLATNVCRKITGQLTSAIAQQEDVAVQLEALDILSDMLSRLGVPLGAFHASLLHCLLPQLSSPRLAVRKRAVGALGHLAAACSTDLFVELADHLLDRLPGPRVPTSPTAIRTLIQCLGSVGRQAGHRLGAHLDRLVPLVEDFCNLDDDELRESCLQAFEAFLRKCPKEMGPHVPNVTSLCLQYIKHDPNYNYDSDEDEEQMETEDSEFSEQESEDEYSDDDDMSWKVRRAAAKCIAALISSRPDLLPDFHCTLAPVLIRRFKEREENVKADVFTAYIVLLRQTQPPKGWLEAMEEPTQTGSNLHMLRGQVPLVVKALQRQLKDRSVRARQGCFSLLTELAGVLPGSLAEHMPVLVSGIIFSLADRSSSSTIRMDALAFLQGLLGTEPAEAFHPHLPILLPPVMACVADSFYKIAAEALVVLQELVRALWPLHRPRMLDPEPYVGEMSAVTLARLRATDLDQEVKERAISCMGHLVGHLGDRLGDDLEPTLLLLLDRLRNEITRLPAIKALTLVAVSPLQLDLQPILAEALHILASFLRKNQRALRLATLAALDALAQSQGLSLPPSAVQAVLAELPALVNESDMHVAQLAVDFLATVTQAQPASLVEVSGPVLSELLRLLRSPLLPAGVLAAAEGFLQALVGTRPPCVDYAKLISLLTAPVYEQAVDGGPGLHKQVFHSLARCVAALSAACPQEAASTASRLVCDARSPHSSTGVKVLAFLSLAEVGQVAGPGHQRELKAVLLEALGSPSEDVRAAASYALGRVGAGSLPDFLPFLLEQIEAEPRRQYLLLHSLREALGAAQPDSLKPYAEDIWALLFQRCEGAEEGTRGVVAECIGKLVLVNPSFLLPRLRKQLAAGRPHTRSTVITAVKFLISDQPHPIDPLLKSFIGEFMESLQDPDLNVRRATLAFFNSAVHNKPSLVRDLLDDILPLLYQETKIRRDLIREVEMGPFKHTVDDGLDVRKAAFECMYSLLESCLGQLDICEFLNHVEDGLKDHYDIRMLTFIMVARLATLCPAPVLQRVDRLIEPLRATCTAKVKAGSVKQEFEKQDELKRSAMRAVAALLTIPEVGKSPIMADFSSQIRSNPELAALFESIQKDSASAPSTDSMELS
+>DECOY_sp|O75155|CAND2_HUMAN Cullin-associated NEDD8-dissociated protein 2 OS=Homo sapiens OX=9606 GN=CAND2 PE=1 SV=3
+SLEMSDTSPASASDKQISEFLAALEPNSRIQSSFDAMIPSKGVEPITLLAAVARMASRKLEDQKEFEQKVSGAKVKATCTARLPEILRDVRQLVPAPCLTALRAVMIFTLMRIDYHDKLGDEVHNLFECIDLQGLCSELLSYMCEFAAKRVDLGDDVTHKFPGMEVERILDRRIKTEQYLLPLIDDLLDRVLSPKNHVASNFFALTARRVNLDPDQLSEMFEGIFSKLLPDIPHPQDSILFKVATIVTSRTHPRGAALQKRLRPLLFSPNVLVLKGICEAVVGRTGEEAGECRQFLLAWIDEAYPKLSDPQAAGLAERLSHLLLYQRRPEAEIQELLFPLFDPLSGAGVRGLAYSAAARVDESPSGLAELLVAKLERQHGPGAVQGVEALSLFALVKVGTSSHPSRADCVLRSATSAAEQPCAASLAAVCRALSHFVQKHLGPGGDVAQEYVPATLLSILKAYDVCPPRTGVLAQLFGEAAALVGAPLLPSRLLRLLESLVPGSVEVLSAPQAQTVTALFDVALQAVHMDSENVLAPLEALVAQVASPPLSLGQSQALADLAALTALRLARQNKRLFSALIHLAEALIPQLDLQLPSVAVLTLAKIAPLRTIENRLRDLLLLLTPELDDGLRDGLHGVLHGMCSIAREKVEQDLDTARLRALTVASMEGVYPEPDLMRPRHLPWLARVLEQLVVLAEAAIKYFSDAVCAMVPPLLIPLHPHFAEAPETGLLGQLFALADMRITSSSSRDALSFIIGSVLVPMHEALSGPLVGALETLLSFCGQRARVSRDKLQRQLAKVVLPVQGRLMHLNSGTQTPEEMAELWGKPPQTQRLLVIYATFVDAKVNEEREKFRRILVPALTCHFDPLLDPRSSILAAICKAAARRVKWSMDDDDSYEDESEQESFESDETEMQEEDEDSDYNYNPDHKIYQLCLSTVNPVHPGMEKPCKRLFAEFAQLCSERLEDDDLNCFDEVLPVLRDLHAGLRHGAQRGVSGLCQILTRIATPSTPVRPGPLRDLLHDALEVFLDTSCAAALHGLAGVARKRVALRPSSLQPLLCHLLSAHFAGLPVGLRSLMDSLIDLAELQVAVDEQQAIASTLQGTIKRCVNTALGSGTAAPPLESLVTKLGIGAIDRLQEKDSRMNTCLTDVITEVQYEKVKVVLPGLCKVALNQVEGNKDELLRLLMKVVKRESDEDLQISDKQLESMLDSTAMFRFDKDSSTMKELLSSIHFAATSM
+>sp|Q8WVQ1|CANT1_HUMAN Soluble calcium-activated nucleotidase 1 OS=Homo sapiens OX=9606 GN=CANT1 PE=1 SV=1
+MPVQLSEHPEWNESMHSLRISVGGLPVLASMTKAADPRFRPRWKVILTFFVGAAILWLLCSHRPAPGRPPTHNAHNWRLGQAPANWYNDTYPLSPPQRTPAGIRYRIAVIADLDTESRAQEENTWFSYLKKGYLTLSDSGDKVAVEWDKDHGVLESHLAEKGRGMELSDLIVFNGKLYSVDDRTGVVYQIEGSKAVPWVILSDGDGTVEKGFKAEWLAVKDERLYVGGLGKEWTTTTGDVVNENPEWVKVVGYKGSVDHENWVSNYNALRAAAGIQPPGYLIHESACWSDTLQRWFFLPRRASQERYSEKDDERKGANLLLSASPDFGDIAVSHVGAVVPTHGFSSFKFIPNTDDQIIVALKSEEDSGRVASYIMAFTLDGRFLLPETKIGSVKYEGIEFI
+>DECOY_sp|Q8WVQ1|CANT1_HUMAN Soluble calcium-activated nucleotidase 1 OS=Homo sapiens OX=9606 GN=CANT1 PE=1 SV=1
+IFEIGEYKVSGIKTEPLLFRGDLTFAMIYSAVRGSDEESKLAVIIQDDTNPIFKFSSFGHTPVVAGVHSVAIDGFDPSASLLLNAGKREDDKESYREQSARRPLFFWRQLTDSWCASEHILYGPPQIGAAARLANYNSVWNEHDVSGKYGVVKVWEPNENVVDGTTTTWEKGLGGVYLREDKVALWEAKFGKEVTGDGDSLIVWPVAKSGEIQYVVGTRDDVSYLKGNFVILDSLEMGRGKEALHSELVGHDKDWEVAVKDGSDSLTLYGKKLYSFWTNEEQARSETDLDAIVAIRYRIGAPTRQPPSLPYTDNYWNAPAQGLRWNHANHTPPRGPAPRHSCLLWLIAAGVFFTLIVKWRPRFRPDAAKTMSALVPLGGVSIRLSHMSENWEPHESLQVPM
+>sp|Q14444|CAPR1_HUMAN Caprin-1 OS=Homo sapiens OX=9606 GN=CAPRIN1 PE=1 SV=2
+MPSATSHSGSGSKSSGPPPPSGSSGSEAAAGAGAAAPASQHPATGTGAVQTEAMKQILGVIDKKLRNLEKKKGKLDDYQERMNKGERLNQDQLDAVSKYQEVTNNLEFAKELQRSFMALSQDIQKTIKKTARREQLMREEAEQKRLKTVLELQYVLDKLGDDEVRTDLKQGLNGVPILSEEELSLLDEFYKLVDPERDMSLRLNEQYEHASIHLWDLLEGKEKPVCGTTYKVLKEIVERVFQSNYFDSTHNHQNGLCEEEEAASAPAVEDQVPEAEPEPAEEYTEQSEVESTEYVNRQFMAETQFTSGEKEQVDEWTVETVEVVNSLQQQPQAASPSVPEPHSLTPVAQADPLVRRQRVQDLMAQMQGPYNFIQDSMLDFENQTLDPAIVSAQPMNPTQNMDMPQLVCPPVHSESRLAQPNQVPVQPEATQVPLVSSTSEGYTASQPLYQPSHATEQRPQKEPIDQIQATISLNTDQTTASSSLPAASQPQVFQAGTSKPLHSSGINVNAAPFQSMQTVFNMNAPVPPVNEPETLKQQNQYQASYNQSFSSQPHQVEQTELQQEQLQTVVGTYHGSPDQSHQVTGNHQQPPQQNTGFPRSNQPYYNSRGVSRGGSRGARGLMNGYRGPANGFRGGYDGYRPSFSNTPNSGYTQSQFSAPRDYSGYQRDGYQQNFKRGSGQSGPRGAPRGRGGPPRPNRGMPQMNTQQVN
+>DECOY_sp|Q14444|CAPR1_HUMAN Caprin-1 OS=Homo sapiens OX=9606 GN=CAPRIN1 PE=1 SV=2
+NVQQTNMQPMGRNPRPPGGRGRPAGRPGSQGSGRKFNQQYGDRQYGSYDRPASFQSQTYGSNPTNSFSPRYGDYGGRFGNAPGRYGNMLGRAGRSGGRSVGRSNYYPQNSRPFGTNQQPPQQHNGTVQHSQDPSGHYTGVVTQLQEQQLETQEVQHPQSSFSQNYSAQYQNQQKLTEPENVPPVPANMNFVTQMSQFPAANVNIGSSHLPKSTGAQFVQPQSAAPLSSSATTQDTNLSITAQIQDIPEKQPRQETAHSPQYLPQSATYGESTSSVLPVQTAEPQVPVQNPQALRSESHVPPCVLQPMDMNQTPNMPQASVIAPDLTQNEFDLMSDQIFNYPGQMQAMLDQVRQRRVLPDAQAVPTLSHPEPVSPSAAQPQQQLSNVVEVTEVTWEDVQEKEGSTFQTEAMFQRNVYETSEVESQETYEEAPEPEAEPVQDEVAPASAAEEEECLGNQHNHTSDFYNSQFVREVIEKLVKYTTGCVPKEKGELLDWLHISAHEYQENLRLSMDREPDVLKYFEDLLSLEEESLIPVGNLGQKLDTRVEDDGLKDLVYQLELVTKLRKQEAEERMLQERRATKKITKQIDQSLAMFSRQLEKAFELNNTVEQYKSVADLQDQNLREGKNMREQYDDLKGKKKELNRLKKDIVGLIQKMAETQVAGTGTAPHQSAPAAAGAGAAAESGSSGSPPPPGSSKSGSGSHSTASPM
+>sp|Q8WWF8|CAPSL_HUMAN Calcyphosin-like protein OS=Homo sapiens OX=9606 GN=CAPSL PE=2 SV=4
+MAGTARHDREMAIQAKKKLTTATDPIERLRLQCLARGSAGIKGLGRVFRIMDDDNNRTLDFKEFMKGLNDYAVVMEKEEVEELFRRFDKDGNGTIDFNEFLLTLRPPMSRARKEVIMQAFRKLDKTGDGVITIEDLREVYNAKHHPKYQNGEWSEEQVFRKFLDNFDSPYDKDGLVTPEEFMNYYAGVSASIDTDVYFIIMMRTAWKL
+>DECOY_sp|Q8WWF8|CAPSL_HUMAN Calcyphosin-like protein OS=Homo sapiens OX=9606 GN=CAPSL PE=2 SV=4
+LKWATRMMIIFYVDTDISASVGAYYNMFEEPTVLGDKDYPSDFNDLFKRFVQEESWEGNQYKPHHKANYVERLDEITIVGDGTKDLKRFAQMIVEKRARSMPPRLTLLFENFDITGNGDKDFRRFLEEVEEKEMVVAYDNLGKMFEKFDLTRNNDDDMIRFVRGLGKIGASGRALCQLRLREIPDTATTLKKKAQIAMERDHRATGAM
+>sp|P51878|CASP5_HUMAN Caspase-5 OS=Homo sapiens OX=9606 GN=CASP5 PE=1 SV=3
+MAEDSGKKKRRKNFEAMFKGILQSGLDNFVINHMLKNNVAGQTSIQTLVPNTDQKSTSVKKDNHKKKTVKMLEYLGKDVLHGVFNYLAKHDVLTLKEEEKKKYYDTKIEDKALILVDSLRKNRVAHQMFTQTLLNMDQKITSVKPLLQIEAGPPESAESTNILKLCPREEFLRLCKKNHDEIYPIKKREDRRRLALIICNTKFDHLPARNGAHYDIVGMKRLLQGLGYTVVDEKNLTARDMESVLRAFAARPEHKSSDSTFLVLMSHGILEGICGTAHKKKKPDVLLYDTIFQIFNNRNCLSLKDKPKVIIVQACRGEKHGELWVRDSPASLALISSQSSENLEADSVCKIHEEKDFIAFCSSTPHNVSWRDRTRGSIFITELITCFQKYSCCCHLMEIFRKVQKSFEVPQAKAQMPTIERATLTRDFYLFPGN
+>DECOY_sp|P51878|CASP5_HUMAN Caspase-5 OS=Homo sapiens OX=9606 GN=CASP5 PE=1 SV=3
+NGPFLYFDRTLTAREITPMQAKAQPVEFSKQVKRFIEMLHCCCSYKQFCTILETIFISGRTRDRWSVNHPTSSCFAIFDKEEHIKCVSDAELNESSQSSILALSAPSDRVWLEGHKEGRCAQVIIVKPKDKLSLCNRNNFIQFITDYLLVDPKKKKHATGCIGELIGHSMLVLFTSDSSKHEPRAAFARLVSEMDRATLNKEDVVTYGLGQLLRKMGVIDYHAGNRAPLHDFKTNCIILALRRRDERKKIPYIEDHNKKCLRLFEERPCLKLINTSEASEPPGAEIQLLPKVSTIKQDMNLLTQTFMQHAVRNKRLSDVLILAKDEIKTDYYKKKEEEKLTLVDHKALYNFVGHLVDKGLYELMKVTKKKHNDKKVSTSKQDTNPVLTQISTQGAVNNKLMHNIVFNDLGSQLIGKFMAEFNKRRKKKGSDEAM
+>sp|P55212|CASP6_HUMAN Caspase-6 OS=Homo sapiens OX=9606 GN=CASP6 PE=1 SV=2
+MSSASGLRRGHPAGGEENMTETDAFYKREMFDPAEKYKMDHRRRGIALIFNHERFFWHLTLPERRGTCADRDNLTRRFSDLGFEVKCFNDLKAEELLLKIHEVSTVSHADADCFVCVFLSHGEGNHIYAYDAKIEIQTLTGLFKGDKCHSLVGKPKIFIIQACRGNQHDVPVIPLDVVDNQTEKLDTNITEVDAASVYTLPAGADFLMCYSVAEGYYSHRETVNGSWYIQDLCEMLGKYGSSLEFTELLTLVNRKVSQRRVDFCKDPSAIGKKQVPCFASMLTKKLHFFPKSN
+>DECOY_sp|P55212|CASP6_HUMAN Caspase-6 OS=Homo sapiens OX=9606 GN=CASP6 PE=1 SV=2
+NSKPFFHLKKTLMSAFCPVQKKGIASPDKCFDVRRQSVKRNVLTLLETFELSSGYKGLMECLDQIYWSGNVTERHSYYGEAVSYCMLFDAGAPLTYVSAADVETINTDLKETQNDVVDLPIVPVDHQNGRCAQIIFIKPKGVLSHCKDGKFLGTLTQIEIKADYAYIHNGEGHSLFVCVFCDADAHSVTSVEHIKLLLEEAKLDNFCKVEFGLDSFRRTLNDRDACTGRREPLTLHWFFREHNFILAIGRRRHDMKYKEAPDFMERKYFADTETMNEEGGAPHGRRLGSASSM
+>sp|Q8WUQ7|CATIN_HUMAN Cactin OS=Homo sapiens OX=9606 GN=CACTIN PE=1 SV=3
+MGRDTRSRSRSAGRRGRRRQSQSGSRSRSRSHGRRNRRRREDEGRRRRRRRSRERRSDSEEERWQRSGMRSRSPPRPKWHSRDGSSQSDSGEEQSRGQWARRRRRARSWSPSSSASSSASPGRSQSPRAAAAALSQQQSLQERLRLREERKQQEELMKAFETPEEKRARRLAKKEAKERKKREKMGWGEEYMGYTNTDNPFGDNNLLGTFIWNKALEKKGISHLEEKELKERNKRIQEDNRLELQKVKQLRLEREREKAMREQELEMLQREKEAEHFKTWEEQEDNFHLQQAKLRSKIRIRDGRAKPIDLLAKYISAEDDDLAVEMHEPYTFLNGLTVADMEDLLEDIQVYMELEQGKNADFWRDMTTITEDEISKLRKLEASGKGPGERREGVNASVSSDVQSVFKGKTYNQLQVIFQGIEGKIRAGGPNLDMGYWESLLQQLRAHMARARLRERHQDVLRQKLYKLKQEQGVESEPLFPILKQEPQSPSRSLEPEDAAPTPPGPSSEGGPAEAEVDGATPTEGDGDGDGEGEGEGEAVLMEEDLIQQSLDDYDAGRYSPRLLTAHELPLDAHVLEPDEDLQRLQLSRQQLQVTGDASESAEDIFFRRAKEGMGQDEAQFSVEMPLTGKAYLWADKYRPRKPRFFNRVHTGFEWNKYNQTHYDFDNPPPKIVQGYKFNIFYPDLIDKRSTPEYFLEACADNKDFAILRFHAGPPYEDIAFKIVNREWEYSHRHGFRCQFANGIFQLWFHFKRYRYRR
+>DECOY_sp|Q8WUQ7|CATIN_HUMAN Cactin OS=Homo sapiens OX=9606 GN=CACTIN PE=1 SV=3
+RRYRYRKFHFWLQFIGNAFQCRFGHRHSYEWERNVIKFAIDEYPPGAHFRLIAFDKNDACAELFYEPTSRKDILDPYFINFKYGQVIKPPPNDFDYHTQNYKNWEFGTHVRNFFRPKRPRYKDAWLYAKGTLPMEVSFQAEDQGMGEKARRFFIDEASESADGTVQLQQRSLQLRQLDEDPELVHADLPLEHATLLRPSYRGADYDDLSQQILDEEMLVAEGEGEGEGDGDGDGETPTAGDVEAEAPGGESSPGPPTPAADEPELSRSPSQPEQKLIPFLPESEVGQEQKLKYLKQRLVDQHRERLRARAMHARLQQLLSEWYGMDLNPGGARIKGEIGQFIVQLQNYTKGKFVSQVDSSVSANVGERREGPGKGSAELKRLKSIEDETITTMDRWFDANKGQELEMYVQIDELLDEMDAVTLGNLFTYPEHMEVALDDDEASIYKALLDIPKARGDRIRIKSRLKAQQLHFNDEQEEWTKFHEAEKERQLMELEQERMAKERERELRLQKVKQLELRNDEQIRKNREKLEKEELHSIGKKELAKNWIFTGLLNNDGFPNDTNTYGMYEEGWGMKERKKREKAEKKALRRARKEEPTEFAKMLEEQQKREERLRLREQLSQQQSLAAAAARPSQSRGPSASSSASSSPSWSRARRRRRAWQGRSQEEGSDSQSSGDRSHWKPRPPSRSRMGSRQWREEESDSRRERSRRRRRRRGEDERRRRNRRGHSRSRSRSGSQSQRRRGRRGASRSRSRTDRGM
+>sp|O60911|CATL2_HUMAN Cathepsin L2 OS=Homo sapiens OX=9606 GN=CTSV PE=1 SV=2
+MNLSLVLAAFCLGIASAVPKFDQNLDTKWYQWKATHRRLYGANEEGWRRAVWEKNMKMIELHNGEYSQGKHGFTMAMNAFGDMTNEEFRQMMGCFRNQKFRKGKVFREPLFLDLPKSVDWRKKGYVTPVKNQKQCGSCWAFSATGALEGQMFRKTGKLVSLSEQNLVDCSRPQGNQGCNGGFMARAFQYVKENGGLDSEESYPYVAVDEICKYRPENSVANDTGFTVVAPGKEKALMKAVATVGPISVAMDAGHSSFQFYKSGIYFEPDCSSKNLDHGVLVVGYGFEGANSNNSKYWLVKNSWGPEWGSNGYVKIAKDKNNHCGIATAASYPNV
+>DECOY_sp|O60911|CATL2_HUMAN Cathepsin L2 OS=Homo sapiens OX=9606 GN=CTSV PE=1 SV=2
+VNPYSAATAIGCHNNKDKAIKVYGNSGWEPGWSNKVLWYKSNNSNAGEFGYGVVLVGHDLNKSSCDPEFYIGSKYFQFSSHGADMAVSIPGVTAVAKMLAKEKGPAVVTFGTDNAVSNEPRYKCIEDVAVYPYSEESDLGGNEKVYQFARAMFGGNCGQNGQPRSCDVLNQESLSVLKGTKRFMQGELAGTASFAWCSGCQKQNKVPTVYGKKRWDVSKPLDLFLPERFVKGKRFKQNRFCGMMQRFEENTMDGFANMAMTFGHKGQSYEGNHLEIMKMNKEWVARRWGEENAGYLRRHTAKWQYWKTDLNQDFKPVASAIGLCFAALVLSLNM
+>sp|Q13166|CATR1_HUMAN CATR tumorigenic conversion 1 protein OS=Homo sapiens OX=9606 GN=CATR1 PE=2 SV=1
+MVLNEEIPRHLLLTQNNDIIPKHHILILPAVDSYQKSVNDLRALTFSKFQELKHAHELRNLCVSQSRFLAIMWFGTNTN
+>DECOY_sp|Q13166|CATR1_HUMAN CATR tumorigenic conversion 1 protein OS=Homo sapiens OX=9606 GN=CATR1 PE=2 SV=1
+NTNTGFWMIALFRSQSVCLNRLEHAHKLEQFKSFTLARLDNVSKQYSDVAPLILIHHKPIIDNNQTLLLHRPIEENLVM
+>sp|Q969G5|CAVN3_HUMAN Caveolae-associated protein 3 OS=Homo sapiens OX=9606 GN=CAVIN3 PE=1 SV=3
+MRESALERGPVPEAPAGGPVHAVTVVTLLEKLASMLETLRERQGGLARRQGGLAGSVRRIQSGLGALSRSHDTTSNTLAQLLAKAERVSSHANAAQERAVRRAAQVQRLEANHGLLVARGKLHVLLFKEEGEVPASAFQKAPEPLGPADQSELGPEQLEAEVGESSDEEPVESRAQRLRRTGLQKVQSLRRALSGRKGPAAPPPTPVKPPRLGPGRSAEAQPEAQPALEPTLEPEPPQDTEEDPGRPGAAEEALLQMESVA
+>DECOY_sp|Q969G5|CAVN3_HUMAN Caveolae-associated protein 3 OS=Homo sapiens OX=9606 GN=CAVIN3 PE=1 SV=3
+AVSEMQLLAEEAAGPRGPDEETDQPPEPELTPELAPQAEPQAEASRGPGLRPPKVPTPPPAAPGKRGSLARRLSQVKQLGTRRLRQARSEVPEEDSSEGVEAELQEPGLESQDAPGLPEPAKQFASAPVEGEEKFLLVHLKGRAVLLGHNAELRQVQAARRVAREQAANAHSSVREAKALLQALTNSTTDHSRSLAGLGSQIRRVSGALGGQRRALGGQRERLTELMSALKELLTVVTVAHVPGGAPAEPVPGRELASERM
+>sp|Q96KX2|CAZA3_HUMAN F-actin-capping protein subunit alpha-3 OS=Homo sapiens OX=9606 GN=CAPZA3 PE=1 SV=2
+MTLSVLSRKDKERVIRRLLLQAPPGEFVNAFDDLCLLIRDEKLMHHQGECAGHQHCQKYSVPLCIDGNPVLLSHHNVMGDYRFFDHQSKLSFKYDLLQNQLKDIQSHGIIQNEAEYLRVVLLCALKLYVNDHYPKGNCNMLRKTVKSKEYLIACIEDHNYETGECWNGLWKSKWIFQVNPFLTQVTGRIFVQAHFFRCVNLHIEISKDLKESLEIVNQAQLALSFARLVEEQENKFQAAVLEELQELSNEALRKILRRDLPVTRTLIDWHRILSDLNLVMYPKLGYVIYSRSVLCNWII
+>DECOY_sp|Q96KX2|CAZA3_HUMAN F-actin-capping protein subunit alpha-3 OS=Homo sapiens OX=9606 GN=CAPZA3 PE=1 SV=2
+IIWNCLVSRSYIVYGLKPYMVLNLDSLIRHWDILTRTVPLDRRLIKRLAENSLEQLEELVAAQFKNEQEEVLRAFSLALQAQNVIELSEKLDKSIEIHLNVCRFFHAQVFIRGTVQTLFPNVQFIWKSKWLGNWCEGTEYNHDEICAILYEKSKVTKRLMNCNGKPYHDNVYLKLACLLVVRLYEAENQIIGHSQIDKLQNQLLDYKFSLKSQHDFFRYDGMVNHHSLLVPNGDICLPVSYKQCHQHGACEGQHHMLKEDRILLCLDDFANVFEGPPAQLLLRRIVREKDKRSLVSLTM
+>sp|Q8WU43|CB015_HUMAN Uncharacterized protein C2orf15 OS=Homo sapiens OX=9606 GN=C2orf15 PE=2 SV=1
+MSSTLGKLSNQVEETLPLLKKVPANYFHICSAILMGFSLSKSATQVSAIHMDSKVDDHLIRGTEKSRLEPATQLFQNTKKIRLEDTNQENFTRIEGTGTGSLSGKALGSVVYVKESDGLEMTDVE
+>DECOY_sp|Q8WU43|CB015_HUMAN Uncharacterized protein C2orf15 OS=Homo sapiens OX=9606 GN=C2orf15 PE=2 SV=1
+EVDTMELGDSEKVYVVSGLAKGSLSGTGTGEIRTFNEQNTDELRIKKTNQFLQTAPELRSKETGRILHDDVKSDMHIASVQTASKSLSFGMLIASCIHFYNAPVKKLLPLTEEVQNSLKGLTSSM
+>sp|Q68DN1|CB016_HUMAN Uncharacterized protein C2orf16 OS=Homo sapiens OX=9606 GN=C2orf16 PE=2 SV=3
+MELTPGAQQQGINYQELTSGWQDVKSMMLVPEPTRKFPSGPLLTSVRFSNLSPESQQQDVKSLEFTVEPKLQSVKHVKLSSVSLQQTIKSVELAPGSLPQRVKYGEQTPRTNYQIMESSELIPRPGHQFAKYAEMIPQPKYQIPKSANLISIPIYHATESSEMAQGLAYKGIDTVEKSVGLTPKLTGRAKESLGMLLQPDLQVPKFVDLTPMVRDQGSKFLGLTPEKSYQILETMELLSQSRPRVKDVGELYMKPLQQTVEYEGITPELKHYFTEAMGLTAEARIQANEFFGMTPKPTSQATGFAERSPRLCPQNLECVEVISEKRLQGEESVVLIPKSLHHVPDSASGMTPGLGHRVPESVELTSKSGVQVEKTLQLTPKPQHHVGSPGIISGLGHQVPESVNLTCKQWLQMEESLEVPLKQTSQVIGHEESVELTSEARQHREVSMGLTKSKNQSMKSPGTTPGPLGRIVEFMRISPEPLDQVTESARTQLQVAQSEEVILIDVPKVVQSVKVTPGPPFQIVKSVTIPRPTPQMVEYIELTPKLQYVRPSEHHTGPCLQDVKSTKLITKPKHQILETVELTGFQIVKTMLIPGPSLQIVKSEELAPGPIPQVVEPIGVALESGIEAINCVDLLPRPHLQELIVPAELTPSPCTQVKSAELTSPQTSPFEEHTILTHKQGLQAVKSTVIKTEPPKVMETEDLNLGHVCQNRDCQKLTSEELQVGTDFSRFLQSSSTTLISSSVRTASELGGLWDSGIQEVSRALDIKNPGTDILQPEETYIDPTMIQSLTFPLALHNQSSDKTANIVENPCPEILGVDVISKETTKRKQMEELENSLQRHLPQSWRSRSRTFQAESGVQKGLIKSFPGRQHNVWESHAWRQRLPRKYLSTMLMLGNILGTTMERKLCSQTSLAERATADTCQSIQNLFGIPAELMEPSQSLPEKGPVTISQPSVVKNYIQRHTFYHGHKKRMALRIWTRGSTSSIIQQYSGTRVRIKKTNSTFNGISQEVIQHMPVSCAGGQLPVLVKSESSLSIFYDREDLVPMEESEDSQSDSQTRISESQHSLKPNYLSQAKTDFSEQFQLLEDLQLKIAAKLLRSQIPPDVPPPLASGLVLKYPICLQCGRCSGLNCHHKLQTTSGPYLLIYPQLHLVRTPEGHGEVRLHLGFRLRIGKRSQISKYRERDRPVIRRSPISPSQRKAKIYTQASKSPTSTIDLQSGPSQSPAPVQVYIRRGQRSRPDLVEKTKTRAPGHYEFTQVHNLPESDSESTQNEKRAKVRTKKTSDSKYPMKRITKRLRKHRKFYTNSRTTIESPSRELAAHLRRKRIGATQTSTASLKRQPKKPSQPKFMQLLFQSLKRAFQTAHRVIASVGRKPVDGTRPDNLWASKNYYPKQNARDYCLPSSIKRDKRSADKLTPAGSTIKQEDILWGGTVQCRSAQQPRRAYSFQPRPLRLPKPTDSQSGIAFQTASVGQPLRTVQKDSSSRSKKNFYRNETSSQESKNLSTPGTRVQARGRILPGSPVKRTWHRHLKDKLTHKEHNHPSFYRERTPRGPSERTRHNPSWRNHRSPSERSQRSSLERRHHSPSQRSHCSPSRKNHSSPSERSWRSPSQRNHCSPPERSCHSLSERGLHSPSQRSHRGPSQRRHHSPSERSHRSPSERSHRSSSERRHRSPSQRSHRGPSERSHCSPSERRHRSPSQRSHRGPSERRHHSPSKRSHRSPARRSHRSPSERSHHSPSERSHHSPSERRHHSPSERSHCSPSERSHCSPSERRHRSPSERRHHSPSEKSHHSPSERSHHSPSERRRHSPLERSRHSLLERSHRSPSERRSHRSFERSHRRISERSHSPSEKSHLSPLERSRCSPSERRGHSSSGKTCHSPSERSHRSPSGMRQGRTSERSHRSSCERTRHSPSEMRPGRPSGRNHCSPSERSRRSPLKEGLKYSFPGERPSHSLSRDFKNQTTLLGTTHKNPKAGQVWRPEATR
+>DECOY_sp|Q68DN1|CB016_HUMAN Uncharacterized protein C2orf16 OS=Homo sapiens OX=9606 GN=C2orf16 PE=2 SV=3
+RTAEPRWVQGAKPNKHTTGLLTTQNKFDRSLSHSPREGPFSYKLGEKLPSRRSRESPSCHNRGSPRGPRMESPSHRTRECSSRHSRESTRGQRMGSPSRHSRESPSHCTKGSSSHGRRESPSCRSRELPSLHSKESPSHSRESIRRHSREFSRHSRRESPSRHSRELLSHRSRELPSHRRRESPSHHSRESPSHHSKESPSHHRRESPSRHRRESPSCHSRESPSCHSRESPSHHRRESPSHHSRESPSHHSRESPSRHSRRAPSRHSRKSPSHHRRESPGRHSRQSPSRHRRESPSCHSRESPGRHSRQSPSRHRRESSSRHSRESPSRHSRESPSHHRRQSPGRHSRQSPSHLGRESLSHCSREPPSCHNRQSPSRWSRESPSSHNKRSPSCHSRQSPSHHRRELSSRQSRESPSRHNRWSPNHRTRESPGRPTRERYFSPHNHEKHTLKDKLHRHWTRKVPSGPLIRGRAQVRTGPTSLNKSEQSSTENRYFNKKSRSSSDKQVTRLPQGVSATQFAIGSQSDTPKPLRLPRPQFSYARRPQQASRCQVTGGWLIDEQKITSGAPTLKDASRKDRKISSPLCYDRANQKPYYNKSAWLNDPRTGDVPKRGVSAIVRHATQFARKLSQFLLQMFKPQSPKKPQRKLSATSTQTAGIRKRRLHAALERSPSEITTRSNTYFKRHKRLRKTIRKMPYKSDSTKKTRVKARKENQTSESDSEPLNHVQTFEYHGPARTKTKEVLDPRSRQGRRIYVQVPAPSQSPGSQLDITSTPSKSAQTYIKAKRQSPSIPSRRIVPRDRERYKSIQSRKGIRLRFGLHLRVEGHGEPTRVLHLQPYILLYPGSTTQLKHHCNLGSCRGCQLCIPYKLVLGSALPPPVDPPIQSRLLKAAIKLQLDELLQFQESFDTKAQSLYNPKLSHQSESIRTQSDSQSDESEEMPVLDERDYFISLSSESKVLVPLQGGACSVPMHQIVEQSIGNFTSNTKKIRVRTGSYQQIISSTSGRTWIRLAMRKKHGHYFTHRQIYNKVVSPQSITVPGKEPLSQSPEMLEAPIGFLNQISQCTDATAREALSTQSCLKREMTTGLINGLMLMTSLYKRPLRQRWAHSEWVNHQRGPFSKILGKQVGSEAQFTRSRSRWSQPLHRQLSNELEEMQKRKTTEKSIVDVGLIEPCPNEVINATKDSSQNHLALPFTLSQIMTPDIYTEEPQLIDTGPNKIDLARSVEQIGSDWLGGLESATRVSSSILTTSSSQLFRSFDTGVQLEESTLKQCDRNQCVHGLNLDETEMVKPPETKIVTSKVAQLGQKHTLITHEEFPSTQPSTLEASKVQTCPSPTLEAPVILEQLHPRPLLDVCNIAEIGSELAVGIPEVVQPIPGPALEESKVIQLSPGPILMTKVIQFGTLEVTELIQHKPKTILKTSKVDQLCPGTHHESPRVYQLKPTLEIYEVMQPTPRPITVSKVIQFPPGPTVKVSQVVKPVDILIVEESQAVQLQTRASETVQDLPEPSIRMFEVIRGLPGPTTGPSKMSQNKSKTLGMSVERHQRAESTLEVSEEHGIVQSTQKLPVELSEEMQLWQKCTLNVSEPVQHGLGSIIGPSGVHHQPKPTLQLTKEVQVGSKSTLEVSEPVRHGLGPTMGSASDPVHHLSKPILVVSEEGQLRKESIVEVCELNQPCLRPSREAFGTAQSTPKPTMGFFENAQIRAEATLGMAETFYHKLEPTIGEYEVTQQLPKMYLEGVDKVRPRSQSLLEMTELIQYSKEPTLGLFKSGQDRVMPTLDVFKPVQLDPQLLMGLSEKARGTLKPTLGVSKEVTDIGKYALGQAMESSETAHYIPISILNASKPIQYKPQPIMEAYKAFQHGPRPILESSEMIQYNTRPTQEGYKVRQPLSGPALEVSKITQQLSVSSLKVHKVSQLKPEVTFELSKVDQQQSEPSLNSFRVSTLLPGSPFKRTPEPVLMMSKVDQWGSTLEQYNIGQQQAGPTLEM
+>sp|Q96LS8|CB048_HUMAN Uncharacterized protein C2orf48 OS=Homo sapiens OX=9606 GN=C2orf48 PE=2 SV=1
+MESRPSGRQHASEGDGDQSPTQCAGMRSSGRSDQPYVLKGNPLLLRVRCYSGCASGSGRQLQLSVFQDLNQFSHCRVWRSPALIVKGEPPWCSQQDTQSPFQTGTPLERPCFRMKLSGWELTKNAQRALGSKLQHILSLDSTQACGAGGPTILRPPRAP
+>DECOY_sp|Q96LS8|CB048_HUMAN Uncharacterized protein C2orf48 OS=Homo sapiens OX=9606 GN=C2orf48 PE=2 SV=1
+PARPPRLITPGGAGCAQTSDLSLIHQLKSGLARQANKTLEWGSLKMRFCPRELPTGTQFPSQTDQQSCWPPEGKVILAPSRWVRCHSFQNLDQFVSLQLQRGSGSACGSYCRVRLLLPNGKLVYPQDSRGSSRMGACQTPSQDGDGESAHQRGSPRSEM
+>sp|A6NCI8|CB078_HUMAN Uncharacterized protein C2orf78 OS=Homo sapiens OX=9606 GN=C2orf78 PE=2 SV=3
+MHWLASATQTSASIVSSSLLSAVDVSSSLTMSEYFQNTSLPGTANSRQFSLPVVSNAAFLTGSISNFSRASAPAISSAWLQPSASGTSFQPLMGSAYLYQHSSTTMLSGVTGQSHICTSAASYPGVFEWDSTASTVKKSSSLRDFTVTVIDQNTAVSSMSMTAQYYKTSDTNTMVPLYPSLSASLVQGTLTQIPNQQGHNLSLPCQIGSQVYYYNQGTLGPQLSCLQSYGSVSYTGYRASAHQPEMVMVLKEVQPTNVLPPVSTSGMYYSVSSQPITETSVQVMETSLGMDTSLGLQSPSQTFCLPQTPEFSKSFSSRNTQTLESNPSPELGDISITPVQSPTNLLTLSPAPSQEKNENENLDEIKTNLSKPLDVHQILIGNQDPPLLPVEIPDIHPLLACIDPLGQEEQPGSENANLRNKSLSLEDQGIFENGIESSSDLADITTWVEDTYLPPIFSSLQDLDQPESPSAKKAKDTSAIKVNQVQEKSCVIKGHSDQVRKNKHKASEPIQGAPKAKIQPKNPECLLEREVVVGSATVSNSASVNKAKHSSNKPHKAASSRISKTKSHGQEKTKGNRKNSSKKSEESKQSGKKVKVEEKQTIPNMKRKKNQPELSQKTLKKPRSSLGMHMLESVQVFHALGKKIDMKTGFSSSRTLGSSSNTQNRQPFPALKPWLDIQHEGKGPEKIQVKAQKLDGSAEKECTSPSHSELPPPGKVKLIPLPFLTLDQPQARHVSRRPNPLASRRPAVAYPARPDSTNSAQSNAVNPSRPAPTNTSLTGPATPAQPISAKATQPSSANPTQPTVPQSAASRPSAYKTSSCSSLQREPVSTAVTSLRSLPKPQNQFLIQDFSLQPRPWRKPTVPEPVMSTPITEEQRPEREAMKRKAQQERENAAKYTSLGKVQFFIERERDMEIAEYYGYTI
+>DECOY_sp|A6NCI8|CB078_HUMAN Uncharacterized protein C2orf78 OS=Homo sapiens OX=9606 GN=C2orf78 PE=2 SV=3
+ITYGYYEAIEMDREREIFFQVKGLSTYKAANEREQQAKRKMAEREPRQEETIPTSMVPEPVTPKRWPRPQLSFDQILFQNQPKPLSRLSTVATSVPERQLSSCSSTKYASPRSAASQPVTPQTPNASSPQTAKASIPQAPTAPGTLSTNTPAPRSPNVANSQASNTSDPRAPYAVAPRRSALPNPRRSVHRAQPQDLTLFPLPILKVKGPPPLESHSPSTCEKEASGDLKQAKVQIKEPGKGEHQIDLWPKLAPFPQRNQTNSSSGLTRSSSFGTKMDIKKGLAHFVQVSELMHMGLSSRPKKLTKQSLEPQNKKRKMNPITQKEEVKVKKGSQKSEESKKSSNKRNGKTKEQGHSKTKSIRSSAAKHPKNSSHKAKNVSASNSVTASGVVVERELLCEPNKPQIKAKPAGQIPESAKHKNKRVQDSHGKIVCSKEQVQNVKIASTDKAKKASPSEPQDLDQLSSFIPPLYTDEVWTTIDALDSSSEIGNEFIGQDELSLSKNRLNANESGPQEEQGLPDICALLPHIDPIEVPLLPPDQNGILIQHVDLPKSLNTKIEDLNENENKEQSPAPSLTLLNTPSQVPTISIDGLEPSPNSELTQTNRSSFSKSFEPTQPLCFTQSPSQLGLSTDMGLSTEMVQVSTETIPQSSVSYYMGSTSVPPLVNTPQVEKLVMVMEPQHASARYGTYSVSGYSQLCSLQPGLTGQNYYYVQSGIQCPLSLNHGQQNPIQTLTGQVLSASLSPYLPVMTNTDSTKYYQATMSMSSVATNQDIVTVTFDRLSSSKKVTSATSDWEFVGPYSAASTCIHSQGTVGSLMTTSSHQYLYASGMLPQFSTGSASPQLWASSIAPASARSFNSISGTLFAANSVVPLSFQRSNATGPLSTNQFYESMTLSSSVDVASLLSSSVISASTQTASALWHM
+>sp|Q53S99|CB083_HUMAN Folate transporter-like protein C2orf83 OS=Homo sapiens OX=9606 GN=C2orf83 PE=2 SV=1
+MEDYALTFGINPFIALMIQPIVTMTVVDNQGLGLPVDIQHKAKPSPKASQMLPPDLGPPSFQNLLSPSEKLEPWDPGMRKLTVQTCGLTFIHPAGHGLCHPTAEASAETLSSTALNRPSVREGACNEKSTENKKPQDSVLWSHSRWFQGN
+>DECOY_sp|Q53S99|CB083_HUMAN Folate transporter-like protein C2orf83 OS=Homo sapiens OX=9606 GN=C2orf83 PE=2 SV=1
+NGQFWRSHSWLVSDQPKKNETSKENCAGERVSPRNLATSSLTEASAEATPHCLGHGAPHIFTLGCTQVTLKRMGPDWPELKESPSLLNQFSPPGLDPPLMQSAKPSPKAKHQIDVPLGLGQNDVVTMTVIPQIMLAIFPNIGFTLAYDEM
+>sp|P0DPF5|CB27A_HUMAN Uncharacterized protein C2orf27A OS=Homo sapiens OX=9606 GN=C2orf27A PE=2 SV=1
+MTVKWKQLSAPASGAEIQRFPVPAVEPVPAPGADSPPGTALELEEAPEPSCRCPGTAQDQPSEELPDFMAPPVEPPASALELKVWLELEVAERGGQHSSSQQLPHCSQSWAQWKLWRQRPGFAIWAPLPHWRGTSLIQQSSSPAAEGPAATAAGAVCLPAGGAGEQEKEPVSRGSSRSSCSQRRPPPPGMEVCPQLGIWAICP
+>DECOY_sp|P0DPF5|CB27A_HUMAN Uncharacterized protein C2orf27A OS=Homo sapiens OX=9606 GN=C2orf27A PE=2 SV=1
+PCIAWIGLQPCVEMGPPPPRRQSCSSRSSGRSVPEKEQEGAGGAPLCVAGAATAAPGEAAPSSSQQILSTGRWHPLPAWIAFGPRQRWLKWQAWSQSCHPLQQSSSHQGGREAVELELWVKLELASAPPEVPPAMFDPLEESPQDQATGPCRCSPEPAEELELATGPPSDAGPAPVPEVAPVPFRQIEAGSAPASLQKWKVTM
+>sp|Q9ULV8|CBLC_HUMAN E3 ubiquitin-protein ligase CBL-C OS=Homo sapiens OX=9606 GN=CBLC PE=1 SV=3
+MALAVAPWGRQWEEARALGRAVRMLQRLEEQCVDPRLSVSPPSLRDLLPRTAQLLREVAHSRRAAGGGGPGGPGGSGDFLLIYLANLEAKSRQVAALLPPRGRRSANDELFRAGSRLRRQLAKLAIIFSHMHAELHALFPGGKYCGHMYQLTKAPAHTFWRESCGARCVLPWAEFESLLGTCHPVEPGCTALALRTTIDLTCSGHVSIFEFDVFTRLFQPWPTLLKNWQLLAVNHPGYMAFLTYDEVQERLQACRDKPGSYIFRPSCTRLGQWAIGYVSSDGSILQTIPANKPLSQVLLEGQKDGFYLYPDGKTHNPDLTELGQAEPQQRIHVSEEQLQLYWAMDSTFELCKICAESNKDVKIEPCGHLLCSCCLAAWQHSDSQTCPFCRCEIKGWEAVSIYQFHGQATAEDSGNSSDQEGRELELGQVPLSAPPLPPRPDLPPRKPRNAQPKVRLLKGNSPPAALGPQDPAPA
+>DECOY_sp|Q9ULV8|CBLC_HUMAN E3 ubiquitin-protein ligase CBL-C OS=Homo sapiens OX=9606 GN=CBLC PE=1 SV=3
+APAPDQPGLAAPPSNGKLLRVKPQANRPKRPPLDPRPPLPPASLPVQGLELERGEQDSSNGSDEATAQGHFQYISVAEWGKIECRCFPCTQSDSHQWAALCCSCLLHGCPEIKVDKNSEACIKCLEFTSDMAWYLQLQEESVHIRQQPEAQGLETLDPNHTKGDPYLYFGDKQGELLVQSLPKNAPITQLISGDSSVYGIAWQGLRTCSPRFIYSGPKDRCAQLREQVEDYTLFAMYGPHNVALLQWNKLLTPWPQFLRTFVDFEFISVHGSCTLDITTRLALATCGPEVPHCTGLLSEFEAWPLVCRAGCSERWFTHAPAKTLQYMHGCYKGGPFLAHLEAHMHSFIIALKALQRRLRSGARFLEDNASRRGRPPLLAAVQRSKAELNALYILLFDGSGGPGGPGGGGAARRSHAVERLLQATRPLLDRLSPPSVSLRPDVCQEELRQLMRVARGLARAEEWQRGWPAVALAM
+>sp|P23435|CBLN1_HUMAN Cerebellin-1 OS=Homo sapiens OX=9606 GN=CBLN1 PE=1 SV=1
+MLGVLELLLLGAAWLAGPARGQNETEPIVLEGKCLVVCDSNPTSDPTGTALGISVRSGSAKVAFSAIRSTNHEPSEMSNRTMIIYFDQVLVNIGNNFDSERSTFIAPRKGIYSFNFHVVKVYNRQTIQVSLMLNGWPVISAFAGDQDVTREAASNGVLIQMEKGDRAYLKLERGNLMGGWKYSTFSGFLVFPL
+>DECOY_sp|P23435|CBLN1_HUMAN Cerebellin-1 OS=Homo sapiens OX=9606 GN=CBLN1 PE=1 SV=1
+LPFVLFGSFTSYKWGGMLNGRELKLYARDGKEMQILVGNSAAERTVDQDGAFASIVPWGNLMLSVQITQRNYVKVVHFNFSYIGKRPAIFTSRESDFNNGINVLVQDFYIIMTRNSMESPEHNTSRIASFAVKASGSRVSIGLATGTPDSTPNSDCVVLCKGELVIPETENQGRAPGALWAAGLLLLELVGLM
+>sp|P15085|CBPA1_HUMAN Carboxypeptidase A1 OS=Homo sapiens OX=9606 GN=CPA1 PE=1 SV=2
+MRGLLVLSVLLGAVFGKEDFVGHQVLRISVADEAQVQKVKELEDLEHLQLDFWRGPAHPGSPIDVRVPFPSIQAVKIFLESHGISYETMIEDVQSLLDEEQEQMFAFRSRARSTDTFNYATYHTLEEIYDFLDLLVAENPHLVSKIQIGNTYEGRPIYVLKFSTGGSKRPAIWIDTGIHSREWVTQASGVWFAKKITQDYGQDAAFTAILDTLDIFLEIVTNPDGFAFTHSTNRMWRKTRSHTAGSLCIGVDPNRNWDAGFGLSGASSNPCSETYHGKFANSEVEVKSIVDFVKDHGNIKAFISIHSYSQLLMYPYGYKTEPVPDQDELDQLSKAAVTALASLYGTKFNYGSIIKAIYQASGSTIDWTYSQGIKYSFTFELRDTGRYGFLLPASQIIPTAKETWLALLTIMEHTLNHPY
+>DECOY_sp|P15085|CBPA1_HUMAN Carboxypeptidase A1 OS=Homo sapiens OX=9606 GN=CPA1 PE=1 SV=2
+YPHNLTHEMITLLALWTEKATPIIQSAPLLFGYRGTDRLEFTFSYKIGQSYTWDITSGSAQYIAKIISGYNFKTGYLSALATVAAKSLQDLEDQDPVPETKYGYPYMLLQSYSHISIFAKINGHDKVFDVISKVEVESNAFKGHYTESCPNSSAGSLGFGADWNRNPDVGICLSGATHSRTKRWMRNTSHTFAFGDPNTVIELFIDLTDLIATFAADQGYDQTIKKAFWVGSAQTVWERSHIGTDIWIAPRKSGGTSFKLVYIPRGEYTNGIQIKSVLHPNEAVLLDLFDYIEELTHYTAYNFTDTSRARSRFAFMQEQEEDLLSQVDEIMTEYSIGHSELFIKVAQISPFPVRVDIPSGPHAPGRWFDLQLHELDELEKVKQVQAEDAVSIRLVQHGVFDEKGFVAGLLVSLVLLGRM
+>sp|P15088|CBPA3_HUMAN Mast cell carboxypeptidase A OS=Homo sapiens OX=9606 GN=CPA3 PE=1 SV=2
+MRLILPVGLIATTLAIAPVRFDREKVFRVKPQDEKQADIIKDLAKTNELDFWYPGATHHVAANMMVDFRVSEKESQAIQSALDQNKMHYEILIHDLQEEIEKQFDVKEDIPGRHSYAKYNNWEKIVAWTEKMMDKYPEMVSRIKIGSTVEDNPLYVLKIGEKNERRKAIFTDCGIHAREWVSPAFCQWFVYQATKTYGRNKIMTKLLDRMNFYILPVFNVDGYIWSWTKNRMWRKNRSKNQNSKCIGTDLNRNFNASWNSIPNTNDPCADNYRGSAPESEKETKAVTNFIRSHLNEIKVYITFHSYSQMLLFPYGYTSKLPPNHEDLAKVAKIGTDVLSTRYETRYIYGPIESTIYPISGSSLDWAYDLGIKHTFAFELRDKGKFGFLLPESRIKPTCRETMLAVKFIAKYILKHTS
+>DECOY_sp|P15088|CBPA3_HUMAN Mast cell carboxypeptidase A OS=Homo sapiens OX=9606 GN=CPA3 PE=1 SV=2
+STHKLIYKAIFKVALMTERCTPKIRSEPLLFGFKGKDRLEFAFTHKIGLDYAWDLSSGSIPYITSEIPGYIYRTEYRTSLVDTGIKAVKALDEHNPPLKSTYGYPFLLMQSYSHFTIYVKIENLHSRIFNTVAKTEKESEPASGRYNDACPDNTNPISNWSANFNRNLDTGICKSNQNKSRNKRWMRNKTWSWIYGDVNFVPLIYFNMRDLLKTMIKNRGYTKTAQYVFWQCFAPSVWERAHIGCDTFIAKRRENKEGIKLVYLPNDEVTSGIKIRSVMEPYKDMMKETWAVIKEWNNYKAYSHRGPIDEKVDFQKEIEEQLDHILIEYHMKNQDLASQIAQSEKESVRFDVMMNAAVHHTAGPYWFDLENTKALDKIIDAQKEDQPKVRFVKERDFRVPAIALTTAILGVPLILRM
+>sp|Q9UI42|CBPA4_HUMAN Carboxypeptidase A4 OS=Homo sapiens OX=9606 GN=CPA4 PE=1 SV=2
+MRWILFIGALIGSSICGQEKFFGDQVLRINVRNGDEISKLSQLVNSNNLKLNFWKSPSSFNRPVDVLVPSVSLQAFKSFLRSQGLEYAVTIEDLQALLDNEDDEMQHNEGQERSSNNFNYGAYHSLEAIYHEMDNIAADFPDLARRVKIGHSFENRPMYVLKFSTGKGVRRPAVWLNAGIHSREWISQATAIWTARKIVSDYQRDPAITSILEKMDIFLLPVANPDGYVYTQTQNRLWRKTRSRNPGSSCIGADPNRNWNASFAGKGASDNPCSEVYHGPHANSEVEVKSVVDFIQKHGNFKGFIDLHSYSQLLMYPYGYSVKKAPDAEELDKVARLAAKALASVSGTEYQVGPTCTTVYPASGSSIDWAYDNGIKFAFTFELRDTGTYGFLLPANQIIPTAEETWLGLKTIMEHVRDNLY
+>DECOY_sp|Q9UI42|CBPA4_HUMAN Carboxypeptidase A4 OS=Homo sapiens OX=9606 GN=CPA4 PE=1 SV=2
+YLNDRVHEMITKLGLWTEEATPIIQNAPLLFGYTGTDRLEFTFAFKIGNDYAWDISSGSAPYVTTCTPGVQYETGSVSALAKAALRAVKDLEEADPAKKVSYGYPYMLLQSYSHLDIFGKFNGHKQIFDVVSKVEVESNAHPGHYVESCPNDSAGKGAFSANWNRNPDAGICSSGPNRSRTKRWLRNQTQTYVYGDPNAVPLLFIDMKELISTIAPDRQYDSVIKRATWIATAQSIWERSHIGANLWVAPRRVGKGTSFKLVYMPRNEFSHGIKVRRALDPFDAAINDMEHYIAELSHYAGYNFNNSSREQGENHQMEDDENDLLAQLDEITVAYELGQSRLFSKFAQLSVSPVLVDVPRNFSSPSKWFNLKLNNSNVLQSLKSIEDGNRVNIRLVQDGFFKEQGCISSGILAGIFLIWRM
+>sp|Q8N4T0|CBPA6_HUMAN Carboxypeptidase A6 OS=Homo sapiens OX=9606 GN=CPA6 PE=1 SV=2
+MKCLGKRRGQAAAFLPLCWLFLKILQPGHSHLYNNRYAGDKVIRFIPKTEEEAYALKKISYQLKVDLWQPSSISYVSEGTVTDVHIPQNGSRALLAFLQEANIQYKVLIEDLQKTLEKGSSLHTQRNRRSLSGYNYEVYHSLEEIQNWMHHLNKTHSGLIHMFSIGRSYEGRSLFILKLGRRSRLKRAVWIDCGIHAREWIGPAFCQWFVKEALLTYKSDPAMRKMLNHLYFYIMPVFNVDGYHFSWTNDRFWRKTRSRNSRFRCRGVDANRNWKVKWCDEGASMHPCDDTYCGPFPESEPEVKAVANFLRKHRKHIRAYLSFHAYAQMLLYPYSYKYATIPNFRCVESAAYKAVNALQSVYGVRYRYGPASTTLYVSSGSSMDWAYKNGIPYAFAFELRDTGYFGFLLPEMLIKPTCTETMLAVKNITMHLLKKCP
+>DECOY_sp|Q8N4T0|CBPA6_HUMAN Carboxypeptidase A6 OS=Homo sapiens OX=9606 GN=CPA6 PE=1 SV=2
+PCKKLLHMTINKVALMTETCTPKILMEPLLFGFYGTDRLEFAFAYPIGNKYAWDMSSGSSVYLTTSAPGYRYRVGYVSQLANVAKYAASEVCRFNPITAYKYSYPYLLMQAYAHFSLYARIHKRHKRLFNAVAKVEPESEPFPGCYTDDCPHMSAGEDCWKVKWNRNADVGRCRFRSNRSRTKRWFRDNTWSFHYGDVNFVPMIYFYLHNLMKRMAPDSKYTLLAEKVFWQCFAPGIWERAHIGCDIWVARKLRSRRGLKLIFLSRGEYSRGISFMHILGSHTKNLHHMWNQIEELSHYVEYNYGSLSRRNRQTHLSSGKELTKQLDEILVKYQINAEQLFALLARSGNQPIHVDTVTGESVYSISSPQWLDVKLQYSIKKLAYAEEETKPIFRIVKDGAYRNNYLHSHGPQLIKLFLWCLPLFAAAQGRRKGLCKM
+>sp|P15086|CBPB1_HUMAN Carboxypeptidase B OS=Homo sapiens OX=9606 GN=CPB1 PE=1 SV=4
+MLALLVLVTVALASAHHGGEHFEGEKVFRVNVEDENHINIIRELASTTQIDFWKPDSVTQIKPHSTVDFRVKAEDTVTVENVLKQNELQYKVLISNLRNVVEAQFDSRVRATGHSYEKYNKWETIEAWTQQVATENPALISRSVIGTTFEGRAIYLLKVGKAGQNKPAIFMDCGFHAREWISPAFCQWFVREAVRTYGREIQVTELLDKLDFYVLPVLNIDGYIYTWTKSRFWRKTRSTHTGSSCIGTDPNRNFDAGWCEIGASRNPCDETYCGPAAESEKETKALADFIRNKLSSIKAYLTIHSYSQMMIYPYSYAYKLGENNAELNALAKATVKELASLHGTKYTYGPGATTIYPAAGGSDDWAYDQGIRYSFTFELRDTGRYGFLLPESQIRATCEETFLAIKYVASYVLEHLY
+>DECOY_sp|P15086|CBPB1_HUMAN Carboxypeptidase B OS=Homo sapiens OX=9606 GN=CPB1 PE=1 SV=4
+YLHELVYSAVYKIALFTEECTARIQSEPLLFGYRGTDRLEFTFSYRIGQDYAWDDSGGAAPYITTAGPGYTYKTGHLSALEKVTAKALANLEANNEGLKYAYSYPYIMMQSYSHITLYAKISSLKNRIFDALAKTEKESEAAPGCYTEDCPNRSAGIECWGADFNRNPDTGICSSGTHTSRTKRWFRSKTWTYIYGDINLVPLVYFDLKDLLETVQIERGYTRVAERVFWQCFAPSIWERAHFGCDMFIAPKNQGAKGVKLLYIARGEFTTGIVSRSILAPNETAVQQTWAEITEWKNYKEYSHGTARVRSDFQAEVVNRLNSILVKYQLENQKLVNEVTVTDEAKVRFDVTSHPKIQTVSDPKWFDIQTTSALERIINIHNEDEVNVRFVKEGEFHEGGHHASALAVTVLVLLALM
+>sp|Q96IY4|CBPB2_HUMAN Carboxypeptidase B2 OS=Homo sapiens OX=9606 GN=CPB2 PE=1 SV=2
+MKLCSLAVLVPIVLFCEQHVFAFQSGQVLAALPRTSRQVQVLQNLTTTYEIVLWQPVTADLIVKKKQVHFFVNASDVDNVKAHLNVSGIPCSVLLADVEDLIQQQISNDTVSPRASASYYEQYHSLNEIYSWIEFITERHPDMLTKIHIGSSFEKYPLYVLKVSGKEQAAKNAIWIDCGIHAREWISPAFCLWFIGHITQFYGIIGQYTNLLRLVDFYVMPVVNVDGYDYSWKKNRMWRKNRSFYANNHCIGTDLNRNFASKHWCEEGASSSSCSETYCGLYPESEPEVKAVASFLRRNINQIKAYISMHSYSQHIVFPYSYTRSKSKDHEELSLVASEAVRAIEKISKNTRYTHGHGSETLYLAPGGGDDWIYDLGIKYSFTIELRDTGTYGFLLPERYIKPTCREAFAAVSKIAWHVIRNV
+>DECOY_sp|Q96IY4|CBPB2_HUMAN Carboxypeptidase B2 OS=Homo sapiens OX=9606 GN=CPB2 PE=1 SV=2
+VNRIVHWAIKSVAAFAERCTPKIYREPLLFGYTGTDRLEITFSYKIGLDYIWDDGGGPALYLTESGHGHTYRTNKSIKEIARVAESAVLSLEEHDKSKSRTYSYPFVIHQSYSHMSIYAKIQNINRRLFSAVAKVEPESEPYLGCYTESCSSSSAGEECWHKSAFNRNLDTGICHNNAYFSRNKRWMRNKKWSYDYGDVNVVPMVYFDVLRLLNTYQGIIGYFQTIHGIFWLCFAPSIWERAHIGCDIWIANKAAQEKGSVKLVYLPYKEFSSGIHIKTLMDPHRETIFEIWSYIENLSHYQEYYSASARPSVTDNSIQQQILDEVDALLVSCPIGSVNLHAKVNDVDSANVFFHVQKKKVILDATVPQWLVIEYTTTLNQLVQVQRSTRPLAALVQGSQFAFVHQECFLVIPVLVALSCLKM
+>sp|Q96MI9|CBPC4_HUMAN Cytosolic carboxypeptidase 4 OS=Homo sapiens OX=9606 GN=AGBL1 PE=1 SV=2
+MISKGGSEALLQTLVDTARTAPPDYDILLPLFRLLAKVGLRDKKIGRKALELEALDVTLILARKNLSHGQNLLHCLWALRVFASSVSMGAMLGINGAMELLFKVITPYTRKRTQAIRAATEVLAALLKSKSNGRRAVNRGYVTSLLGLHQDWHSHDTANAYVQIRRGLLLCLRHIAALRSGREAFLAAQGMEILFSTTQNCLDDKSMEPVISVVLQILRQCYPTSPLPLVTASSAYAFPVPGCITTEPPHDLPEEDFEDDGDDEVDKDSDTEDGKVEDDDLETDVNKLSSKPGLDRPEEELMQYEVMCLELSYSFEELQSKLGDDLNSEKTQYANHHHIPAAASSKQHCYSKDQSSCGQEREYAVQTSLLCRVKTGRSTVHLGSKKNPGVNLYQNVQSNSLRRDSSESEIPDIQASPKADAWDVDAIFCPRMSASFSNSTRTREVVKVIDKLLQTHLKRVPFHDPYLYMAKARRTSSVVDFKMMAFPDVWGHCPPPTTQPMLERKCGVQRIRIFEDIRRLIQPSDVINKVVFSLDEPWPLQDNASNCLRFFSKFESGNLRKAIQVREFEYDLLVNADVNSTQHQQWFYFKVSGMQAAIPYHFNIINCEKPNSQFNYGMQPTLYSVKEALLGKPTWIRTGHEICYYKNHYRQSTAVAGGASGKCYYTLTFAVTFPHSEDVCYLAYHYPYTYTALMTHLDILEKSVNLKEVYFRQDVLCQTLGGNPCPLVTITAMPESNSDEHLEQFRHRPYQVITARVHPGESNASWVMKGTLEFLVSSDPVARLLRENFIFKIIPMLNPDGVINGNHRCSLSGEDLNRQWLSPSAHLQPTIYHAKGLLYHLSSIGRSPVVFCDFHGHSQKKNVFLYGCSIKETLWQAACTVGTSTILEEVNYRTLPKILDKLAPAFTMSSCSFLVEKSRASTARVVVWREMGVSRSYTMESSYCGCNQGPYQCTQRLLERTKNERAHPVDGLQGLQFGTRELEEMGAMFCLGLLILELKSASCSHQLLAQAATLLSAEEDALDQHLQRLKSSNFLPKHIWFAYHFFAITNFFKMNLLLHVSPVCDT
+>DECOY_sp|Q96MI9|CBPC4_HUMAN Cytosolic carboxypeptidase 4 OS=Homo sapiens OX=9606 GN=AGBL1 PE=1 SV=2
+TDCVPSVHLLLNMKFFNTIAFFHYAFWIHKPLFNSSKLRQLHQDLADEEASLLTAAQALLQHSCSASKLELILLGLCFMAGMEELERTGFQLGQLGDVPHARENKTRELLRQTCQYPGQNCGCYSSEMTYSRSVGMERWVVVRATSARSKEVLFSCSSMTFAPALKDLIKPLTRYNVEELITSTGVTCAAQWLTEKISCGYLFVNKKQSHGHFDCFVVPSRGISSLHYLLGKAHYITPQLHASPSLWQRNLDEGSLSCRHNGNIVGDPNLMPIIKFIFNERLLRAVPDSSVLFELTGKMVWSANSEGPHVRATIVQYPRHRFQELHEDSNSEPMATITVLPCPNGGLTQCLVDQRFYVEKLNVSKELIDLHTMLATYTYPYHYALYCVDESHPFTVAFTLTYYCKGSAGGAVATSQRYHNKYYCIEHGTRIWTPKGLLAEKVSYLTPQMGYNFQSNPKECNIINFHYPIAAQMGSVKFYFWQQHQTSNVDANVLLDYEFERVQIAKRLNGSEFKSFFRLCNSANDQLPWPEDLSFVVKNIVDSPQILRRIDEFIRIRQVGCKRELMPQTTPPPCHGWVDPFAMMKFDVVSSTRRAKAMYLYPDHFPVRKLHTQLLKDIVKVVERTRTSNSFSASMRPCFIADVDWADAKPSAQIDPIESESSDRRLSNSQVNQYLNVGPNKKSGLHVTSRGTKVRCLLSTQVAYEREQGCSSQDKSYCHQKSSAAAPIHHHNAYQTKESNLDDGLKSQLEEFSYSLELCMVEYQMLEEEPRDLGPKSSLKNVDTELDDDEVKGDETDSDKDVEDDGDDEFDEEPLDHPPETTICGPVPFAYASSATVLPLPSTPYCQRLIQLVVSIVPEMSKDDLCNQTTSFLIEMGQAALFAERGSRLAAIHRLCLLLGRRIQVYANATDHSHWDQHLGLLSTVYGRNVARRGNSKSKLLAALVETAARIAQTRKRTYPTIVKFLLEMAGNIGLMAGMSVSSAFVRLAWLCHLLNQGHSLNKRALILTVDLAELELAKRGIKKDRLGVKALLRFLPLLIDYDPPATRATDVLTQLLAESGGKSIM
+>sp|O75976|CBPD_HUMAN Carboxypeptidase D OS=Homo sapiens OX=9606 GN=CPD PE=1 SV=2
+MASGRDERPPWRLGRLLLLMCLLLLGSSARAAHIKKAEATTTTTSAGAEAAEGQFDRYYHEEELESALREAAAAGLPGLARLFSIGRSVEGRPLWVLRLTAGLGSLIPEGDAGPDAAGPDAAGPLLPGRPQVKLVGNMHGDETVSRQVLIYLARELAAGYRRGDPRLVRLLNTTDVYLLPSLNPDGFERAREGDCGFGDGGPSGASGRDNSRGRDLNRSFPDQFSTGEPPALDEVPEVRALIEWIRRNKFVLSGNLHGGSVVASYPFDDSPEHKATGIYSKTSDDEVFKYLAKAYASNHPIMKTGEPHCPGDEDETFKDGITNGAHWYDVEGGMQDYNYVWANCFEITLELSCCKYPPASQLRQEWENNRESLITLIEKVHIGVKGFVKDSITGSGLENATISVAGINHNITTGRFGDFYRLLVPGTYNLTVVLTGYMPLTVTNVVVKEGPATEVDFSLRPTVTSVIPDTTEAVSTASTVAIPNILSGTSSSYQPIQPKDFHHHHFPDMEIFLRRFANEYPNITRLYSLGKSVESRELYVMEISDNPGVHEPGEPEFKYIGNMHGNEVVGRELLLNLIEYLCKNFGTDPEVTDLVHNTRIHLMPSMNPDGYEKSQEGDSISVIGRNNSNNFDLNRNFPDQFVQITDPTQPETIAVMSWMKSYPFVLSANLHGGSLVVNYPFDDDEQGLATYSKSPDDAVFQQIALSYSKENSQMFQGRPCKNMYPNEYFPHGITNGASWYNVPGGMQDWNYLQTNCFEVTIELGCVKYPLEKELPNFWEQNRRSLIQFMKQVHQGVRGFVLDATDGRGILNATISVAEINHPVTTYKTGDYWRLLVPGTYKITASARGYNPVTKNVTVKSEGAIQVNFTLVRSSTDSNNESKKGKGASSSTNDASDPTTKEFETLIKDLSAENGLESLMLRSSSNLALALYRYHSYKDLSEFLRGLVMNYPHITNLTNLGQSTEYRHIWSLEISNKPNVSEPEEPKIRFVAGIHGNAPVGTELLLALAEFLCLNYKKNPAVTQLVDRTRIVIVPSLNPDGRERAQEKDCTSKIGQTNARGKDLDTDFTNNASQPETKAIIENLIQKQDFSLSVALDGGSMLVTYPYDKPVQTVENKETLKHLASLYANNHPSMHMGQPSCPNKSDENIPGGVMRGAEWHSHLGSMKDYSVTYGHCPEITVYTSCCYFPSAARLPSLWADNKRSLLSMLVEVHKGVHGFVKDKTGKPISKAVIVLNEGIKVQTKEGGYFHVLLAPGVHNIIAIADGYQQQHSQVFVHHDAASSVVIVFDTDNRIFGLPRELVVTVSGATMSALILTACIIWCICSIKSNRHKDGFHRLRQHHDEYEDEIRMMSTGSKKSLLSHEFQDETDTEEETLYSSKH
+>DECOY_sp|O75976|CBPD_HUMAN Carboxypeptidase D OS=Homo sapiens OX=9606 GN=CPD PE=1 SV=2
+HKSSYLTEEETDTEDQFEHSLLSKKSGTSMMRIEDEYEDHHQRLRHFGDKHRNSKISCICWIICATLILASMTAGSVTVVLERPLGFIRNDTDFVIVVSSAADHHVFVQSHQQQYGDAIAIINHVGPALLVHFYGGEKTQVKIGENLVIVAKSIPKGTKDKVFGHVGKHVEVLMSLLSRKNDAWLSPLRAASPFYCCSTYVTIEPCHGYTVSYDKMSGLHSHWEAGRMVGGPINEDSKNPCSPQGMHMSPHNNAYLSALHKLTEKNEVTQVPKDYPYTVLMSGGDLAVSLSFDQKQILNEIIAKTEPQSANNTFDTDLDKGRANTQGIKSTCDKEQARERGDPNLSPVIVIRTRDVLQTVAPNKKYNLCLFEALALLLETGVPANGHIGAVFRIKPEEPESVNPKNSIELSWIHRYETSQGLNTLNTIHPYNMVLGRLFESLDKYSHYRYLALALNSSSRLMLSELGNEASLDKILTEFEKTTPDSADNTSSSAGKGKKSENNSDTSSRVLTFNVQIAGESKVTVNKTVPNYGRASATIKYTGPVLLRWYDGTKYTTVPHNIEAVSITANLIGRGDTADLVFGRVGQHVQKMFQILSRRNQEWFNPLEKELPYKVCGLEITVEFCNTQLYNWDQMGGPVNYWSAGNTIGHPFYENPYMNKCPRGQFMQSNEKSYSLAIQQFVADDPSKSYTALGQEDDDFPYNVVLSGGHLNASLVFPYSKMWSMVAITEPQTPDTIQVFQDPFNRNLDFNNSNNRGIVSISDGEQSKEYGDPNMSPMLHIRTNHVLDTVEPDTGFNKCLYEILNLLLERGVVENGHMNGIYKFEPEGPEHVGPNDSIEMVYLERSEVSKGLSYLRTINPYENAFRRLFIEMDPFHHHHFDKPQIPQYSSSTGSLINPIAVTSATSVAETTDPIVSTVTPRLSFDVETAPGEKVVVNTVTLPMYGTLVVTLNYTGPVLLRYFDGFRGTTINHNIGAVSITANELGSGTISDKVFGKVGIHVKEILTILSERNNEWEQRLQSAPPYKCCSLELTIEFCNAWVYNYDQMGGEVDYWHAGNTIGDKFTEDEDGPCHPEGTKMIPHNSAYAKALYKFVEDDSTKSYIGTAKHEPSDDFPYSAVVSGGHLNGSLVFKNRRIWEILARVEPVEDLAPPEGTSFQDPFSRNLDRGRSNDRGSAGSPGGDGFGCDGERAREFGDPNLSPLLYVDTTNLLRVLRPDGRRYGAALERALYILVQRSVTEDGHMNGVLKVQPRGPLLPGAADPGAADPGADGEPILSGLGATLRLVWLPRGEVSRGISFLRALGPLGAAAAERLASELEEEHYYRDFQGEAAEAGASTTTTTAEAKKIHAARASSGLLLLCMLLLLRGLRWPPREDRGSAM
+>sp|P16870|CBPE_HUMAN Carboxypeptidase E OS=Homo sapiens OX=9606 GN=CPE PE=1 SV=1
+MAGRGGSALLALCGALAACGWLLGAEAQEPGAPAAGMRRRRRLQQEDGISFEYHRYPELREALVSVWLQCTAISRIYTVGRSFEGRELLVIELSDNPGVHEPGEPEFKYIGNMHGNEAVGRELLIFLAQYLCNEYQKGNETIVNLIHSTRIHIMPSLNPDGFEKAASQPGELKDWFVGRSNAQGIDLNRNFPDLDRIVYVNEKEGGPNNHLLKNMKKIVDQNTKLAPETKAVIHWIMDIPFVLSANLHGGDLVANYPYDETRSGSAHEYSSSPDDAIFQSLARAYSSFNPAMSDPNRPPCRKNDDDSSFVDGTTNGGAWYSVPGGMQDFNYLSSNCFEITVELSCEKFPPEETLKTYWEDNKNSLISYLEQIHRGVKGFVRDLQGNPIANATISVEGIDHDVTSAKDGDYWRLLIPGNYKLTASAPGYLAITKKVAVPYSPAAGVDFELESFSERKEEEKEELMEWWKMMSETLNF
+>DECOY_sp|P16870|CBPE_HUMAN Carboxypeptidase E OS=Homo sapiens OX=9606 GN=CPE PE=1 SV=1
+FNLTESMMKWWEMLEEKEEEKRESFSELEFDVGAAPSYPVAVKKTIALYGPASATLKYNGPILLRWYDGDKASTVDHDIGEVSITANAIPNGQLDRVFGKVGRHIQELYSILSNKNDEWYTKLTEEPPFKECSLEVTIEFCNSSLYNFDQMGGPVSYWAGGNTTGDVFSSDDDNKRCPPRNPDSMAPNFSSYARALSQFIADDPSSSYEHASGSRTEDYPYNAVLDGGHLNASLVFPIDMIWHIVAKTEPALKTNQDVIKKMNKLLHNNPGGEKENVYVIRDLDPFNRNLDIGQANSRGVFWDKLEGPQSAAKEFGDPNLSPMIHIRTSHILNVITENGKQYENCLYQALFILLERGVAENGHMNGIYKFEPEGPEHVGPNDSLEIVLLERGEFSRGVTYIRSIATCQLWVSVLAERLEPYRHYEFSIGDEQQLRRRRRMGAAPAGPEQAEAGLLWGCAALAGCLALLASGGRGAM
+>sp|Q92793|CBP_HUMAN CREB-binding protein OS=Homo sapiens OX=9606 GN=CREBBP PE=1 SV=3
+MAENLLDGPPNPKRAKLSSPGFSANDSTDFGSLFDLENDLPDELIPNGGELGLLNSGNLVPDAASKHKQLSELLRGGSGSSINPGIGNVSASSPVQQGLGGQAQGQPNSANMASLSAMGKSPLSQGDSSAPSLPKQAASTSGPTPAASQALNPQAQKQVGLATSSPATSQTGPGICMNANFNQTHPGLLNSNSGHSLINQASQGQAQVMNGSLGAAGRGRGAGMPYPTPAMQGASSSVLAETLTQVSPQMTGHAGLNTAQAGGMAKMGITGNTSPFGQPFSQAGGQPMGATGVNPQLASKQSMVNSLPTFPTDIKNTSVTNVPNMSQMQTSVGIVPTQAIATGPTADPEKRKLIQQQLVLLLHAHKCQRREQANGEVRACSLPHCRTMKNVLNHMTHCQAGKACQVAHCASSRQIISHWKNCTRHDCPVCLPLKNASDKRNQQTILGSPASGIQNTIGSVGTGQQNATSLSNPNPIDPSSMQRAYAALGLPYMNQPQTQLQPQVPGQQPAQPQTHQQMRTLNPLGNNPMNIPAGGITTDQQPPNLISESALPTSLGATNPLMNDGSNSGNIGTLSTIPTAAPPSSTGVRKGWHEHVTQDLRSHLVHKLVQAIFPTPDPAALKDRRMENLVAYAKKVEGDMYESANSRDEYYHLLAEKIYKIQKELEEKRRSRLHKQGILGNQPALPAPGAQPPVIPQAQPVRPPNGPLSLPVNRMQVSQGMNSFNPMSLGNVQLPQAPMGPRAASPMNHSVQMNSMGSVPGMAISPSRMPQPPNMMGAHTNNMMAQAPAQSQFLPQNQFPSSSGAMSVGMGQPPAQTGVSQGQVPGAALPNPLNMLGPQASQLPCPPVTQSPLHPTPPPASTAAGMPSLQHTTPPGMTPPQPAAPTQPSTPVSSSGQTPTPTPGSVPSATQTQSTPTVQAAAQAQVTPQPQTPVQPPSVATPQSSQQQPTPVHAQPPGTPLSQAAASIDNRVPTPSSVASAETNSQQPGPDVPVLEMKTETQAEDTEPDPGESKGEPRSEMMEEDLQGASQVKEETDIAEQKSEPMEVDEKKPEVKVEVKEEEESSSNGTASQSTSPSQPRKKIFKPEELRQALMPTLEALYRQDPESLPFRQPVDPQLLGIPDYFDIVKNPMDLSTIKRKLDTGQYQEPWQYVDDVWLMFNNAWLYNRKTSRVYKFCSKLAEVFEQEIDPVMQSLGYCCGRKYEFSPQTLCCYGKQLCTIPRDAAYYSYQNRYHFCEKCFTEIQGENVTLGDDPSQPQTTISKDQFEKKKNDTLDPEPFVDCKECGRKMHQICVLHYDIIWPSGFVCDNCLKKTGRPRKENKFSAKRLQTTRLGNHLEDRVNKFLRRQNHPEAGEVFVRVVASSDKTVEVKPGMKSRFVDSGEMSESFPYRTKALFAFEEIDGVDVCFFGMHVQEYGSDCPPPNTRRVYISYLDSIHFFRPRCLRTAVYHEILIGYLEYVKKLGYVTGHIWACPPSEGDDYIFHCHPPDQKIPKPKRLQEWYKKMLDKAFAERIIHDYKDIFKQATEDRLTSAKELPYFEGDFWPNVLEESIKELEQEEEERKKEESTAASETTEGSQGDSKNAKKKNNKKTNKNKSSISRANKKKPSMPNVSNDLSQKLYATMEKHKEVFFVIHLHAGPVINTLPPIVDPDPLLSCDLMDGRDAFLTLARDKHWEFSSLRRSKWSTLCMLVELHTQGQDRFVYTCNECKHHVETRWHCTVCEDYDLCINCYNTKSHAHKMVKWGLGLDDEGSSQGEPQSKSPQESRRLSIQRCIQSLVHACQCRNANCSLPSCQKMKRVVQHTKGCKRKTNGGCPVCKQLIALCCYHAKHCQENKCPVPFCLNIKHKLRQQQIQHRLQQAQLMRRRMATMNTRNVPQQSLPSPTSAPPGTPTQQPSTPQTPQPPAQPQPSPVSMSPAGFPSVARTQPPTTVSTGKPTSQVPAPPPPAQPPPAAVEAARQIEREAQQQQHLYRVNINNSMPPGRTGMGTPGSQMAPVSLNVPRPNQVSGPVMPSMPPGQWQQAPLPQQQPMPGLPRPVISMQAQAAVAGPRMPSVQPPRSISPSALQDLLRTLKSPSSPQQQQQVLNILKSNPQLMAAFIKQRTAKYVANQPGMQPQPGLQSQPGMQPQPGMHQQPSLQNLNAMQAGVPRPGVPPQQQAMGGLNPQGQALNIMNPGHNPNMASMNPQYREMLRRQLLQQQQQQQQQQQQQQQQQQGSAGMAGGMAGHGQFQQPQGPGGYPPAMQQQQRMQQHLPLQGSSMGQMAAQMGQLGQMGQPGLGADSTPNIQQALQQRILQQQQMKQQIGSPGQPNPMSPQQHMLSGQPQASHLPGQQIATSLSNQVRSPAPVQSPRPQSQPPHSSPSPRIQPQPSPHHVSPQTGSPHPGLAVTMASSIDQGHLGNPEQSAMLPQLNTPSRSALSSELSLVGDTTGDTLEKFVEGL
+>DECOY_sp|Q92793|CBP_HUMAN CREB-binding protein OS=Homo sapiens OX=9606 GN=CREBBP PE=1 SV=3
+LGEVFKELTDGTTDGVLSLESSLASRSPTNLQPLMASQEPNGLHGQDISSAMTVALGPHPSGTQPSVHHPSPQPQIRPSPSSHPPQSQPRPSQVPAPSRVQNSLSTAIQQGPLHSAQPQGSLMHQQPSMPNPQGPSGIQQKMQQQQLIRQQLAQQINPTSDAGLGPQGMQGLQGMQAAMQGMSSGQLPLHQQMRQQQQMAPPYGGPGQPQQFQGHGAMGGAMGASGQQQQQQQQQQQQQQQQQQLLQRRLMERYQPNMSAMNPNHGPNMINLAQGQPNLGGMAQQQPPVGPRPVGAQMANLNQLSPQQHMGPQPQMGPQSQLGPQPQMGPQNAVYKATRQKIFAAMLQPNSKLINLVQQQQQPSSPSKLTRLLDQLASPSISRPPQVSPMRPGAVAAQAQMSIVPRPLGPMPQQQPLPAQQWQGPPMSPMVPGSVQNPRPVNLSVPAMQSGPTGMGTRGPPMSNNINVRYLHQQQQAEREIQRAAEVAAPPPQAPPPPAPVQSTPKGTSVTTPPQTRAVSPFGAPSMSVPSPQPQAPPQPTQPTSPQQTPTGPPASTPSPLSQQPVNRTNMTAMRRRMLQAQQLRHQIQQQRLKHKINLCFPVPCKNEQCHKAHYCCLAILQKCVPCGGNTKRKCGKTHQVVRKMKQCSPLSCNANRCQCAHVLSQICRQISLRRSEQPSKSQPEGQSSGEDDLGLGWKVMKHAHSKTNYCNICLDYDECVTCHWRTEVHHKCENCTYVFRDQGQTHLEVLMCLTSWKSRRLSSFEWHKDRALTLFADRGDMLDCSLLPDPDVIPPLTNIVPGAHLHIVFFVEKHKEMTAYLKQSLDNSVNPMSPKKKNARSISSKNKNTKKNNKKKANKSDGQSGETTESAATSEEKKREEEEQELEKISEELVNPWFDGEFYPLEKASTLRDETAQKFIDKYDHIIREAFAKDLMKKYWEQLRKPKPIKQDPPHCHFIYDDGESPPCAWIHGTVYGLKKVYELYGILIEHYVATRLCRPRFFHISDLYSIYVRRTNPPPCDSGYEQVHMGFFCVDVGDIEEFAFLAKTRYPFSESMEGSDVFRSKMGPKVEVTKDSSAVVRVFVEGAEPHNQRRLFKNVRDELHNGLRTTQLRKASFKNEKRPRGTKKLCNDCVFGSPWIIDYHLVCIQHMKRGCEKCDVFPEPDLTDNKKKEFQDKSITTQPQSPDDGLTVNEGQIETFCKECFHYRNQYSYYAADRPITCLQKGYCCLTQPSFEYKRGCCYGLSQMVPDIEQEFVEALKSCFKYVRSTKRNYLWANNFMLWVDDVYQWPEQYQGTDLKRKITSLDMPNKVIDFYDPIGLLQPDVPQRFPLSEPDQRYLAELTPMLAQRLEEPKFIKKRPQSPSTSQSATGNSSSEEEEKVEVKVEPKKEDVEMPESKQEAIDTEEKVQSAGQLDEEMMESRPEGKSEGPDPETDEAQTETKMELVPVDPGPQQSNTEASAVSSPTPVRNDISAAAQSLPTGPPQAHVPTPQQQSSQPTAVSPPQVPTQPQPTVQAQAAAQVTPTSQTQTASPVSGPTPTPTQGSSSVPTSPQTPAAPQPPTMGPPTTHQLSPMGAATSAPPPTPHLPSQTVPPCPLQSAQPGLMNLPNPLAAGPVQGQSVGTQAPPQGMGVSMAGSSSPFQNQPLFQSQAPAQAMMNNTHAGMMNPPQPMRSPSIAMGPVSGMSNMQVSHNMPSAARPGMPAQPLQVNGLSMPNFSNMGQSVQMRNVPLSLPGNPPRVPQAQPIVPPQAGPAPLAPQNGLIGQKHLRSRRKEELEKQIKYIKEALLHYYEDRSNASEYMDGEVKKAYAVLNEMRRDKLAAPDPTPFIAQVLKHVLHSRLDQTVHEHWGKRVGTSSPPAATPITSLTGINGSNSGDNMLPNTAGLSTPLASESILNPPQQDTTIGGAPINMPNNGLPNLTRMQQHTQPQAPQQGPVQPQLQTQPQNMYPLGLAAYARQMSSPDIPNPNSLSTANQQGTGVSGITNQIGSAPSGLITQQNRKDSANKLPLCVPCDHRTCNKWHSIIQRSSACHAVQCAKGAQCHTMHNLVNKMTRCHPLSCARVEGNAQERRQCKHAHLLLVLQQQILKRKEPDATPGTAIAQTPVIGVSTQMQSMNPVNTVSTNKIDTPFTPLSNVMSQKSALQPNVGTAGMPQGGAQSFPQGFPSTNGTIGMKAMGGAQATNLGAHGTMQPSVQTLTEALVSSSAGQMAPTPYPMGAGRGRGAAGLSGNMVQAQGQSAQNILSHGSNSNLLGPHTQNFNANMCIGPGTQSTAPSSTALGVQKQAQPNLAQSAAPTPGSTSAAQKPLSPASSDGQSLPSKGMASLSAMNASNPQGQAQGGLGQQVPSSASVNGIGPNISSGSGGRLLESLQKHKSAADPVLNGSNLLGLEGGNPILEDPLDNELDFLSGFDTSDNASFGPSSLKARKPNPPGDLLNEAM
+>sp|Q5RIA9|CBWD5_HUMAN COBW domain-containing protein 5 OS=Homo sapiens OX=9606 GN=CBWD5 PE=2 SV=1
+MLPAVGSVDEEEDPAEEDCPELVPIETTQSEEEEKSGLGAKIPVTIITGYLGAGKTTLLNYILTEQHSKRVAVILNESGEGSALEKSLAVSQGGELYEEWLELRNGCLCCSVKDNGLRAIENLMQKKGKFDDILLETTGLADPGAVTSMFWVDAELGSDIYLDGIITIVDSKYGLKHLTEEKPDGLINEATRQVALADIILINKTDLVPEEDVKKLRTTIRSINGLGQILETQRSRVDLSNVLDLHAFDSLSGISLQKKLQHVPGTQPHLDQSIVTITFEVPGNAKEEHLNMFIQNLLWEKNVRNKDNHCMEVIRLKGLVSIKDKSQQVIVQGVHELYDLEETPVSWKDDTERTNRLVLIGRNLDKDILKQLFIATVTETEKQWTTHFKEDQVCT
+>DECOY_sp|Q5RIA9|CBWD5_HUMAN COBW domain-containing protein 5 OS=Homo sapiens OX=9606 GN=CBWD5 PE=2 SV=1
+TCVQDEKFHTTWQKETETVTAIFLQKLIDKDLNRGILVLRNTRETDDKWSVPTEELDYLEHVGQVIVQQSKDKISVLGKLRIVEMCHNDKNRVNKEWLLNQIFMNLHEEKANGPVEFTITVISQDLHPQTGPVHQLKKQLSIGSLSDFAHLDLVNSLDVRSRQTELIQGLGNISRITTRLKKVDEEPVLDTKNILIIDALAVQRTAENILGDPKEETLHKLGYKSDVITIIGDLYIDSGLEADVWFMSTVAGPDALGTTELLIDDFKGKKQMLNEIARLGNDKVSCCLCGNRLELWEEYLEGGQSVALSKELASGEGSENLIVAVRKSHQETLIYNLLTTKGAGLYGTIITVPIKAGLGSKEEEESQTTEIPVLEPCDEEAPDEEEDVSGVAPLM
+>sp|P83916|CBX1_HUMAN Chromobox protein homolog 1 OS=Homo sapiens OX=9606 GN=CBX1 PE=1 SV=1
+MGKKQNKKKVEEVLEEEEEEYVVEKVLDRRVVKGKVEYLLKWKGFSDEDNTWEPEENLDCPDLIAEFLQSQKTAHETDKSEGGKRKADSDSEDKGEESKPKKKKEESEKPRGFARGLEPERIIGATDSSGELMFLMKWKNSDEADLVPAKEANVKCPQVVISFYEERLTWHSYPSEDDDKKDDKN
+>DECOY_sp|P83916|CBX1_HUMAN Chromobox protein homolog 1 OS=Homo sapiens OX=9606 GN=CBX1 PE=1 SV=1
+NKDDKKDDDESPYSHWTLREEYFSIVVQPCKVNAEKAPVLDAEDSNKWKMLFMLEGSSDTAGIIREPELGRAFGRPKESEEKKKKPKSEEGKDESDSDAKRKGGESKDTEHATKQSQLFEAILDPCDLNEEPEWTNDEDSFGKWKLLYEVKGKVVRRDLVKEVVYEEEEEELVEEVKKKNQKKGM
+>sp|Q13185|CBX3_HUMAN Chromobox protein homolog 3 OS=Homo sapiens OX=9606 GN=CBX3 PE=1 SV=4
+MASNKTTLQKMGKKQNGKSKKVEEAEPEEFVVEKVLDRRVVNGKVEYFLKWKGFTDADNTWEPEENLDCPELIEAFLNSQKAGKEKDGTKRKSLSDSESDDSKSKKKRDAADKPRGFARGLDPERIIGATDSSGELMFLMKWKDSDEADLVLAKEANMKCPQIVIAFYEERLTWHSCPEDEAQ
+>DECOY_sp|Q13185|CBX3_HUMAN Chromobox protein homolog 3 OS=Homo sapiens OX=9606 GN=CBX3 PE=1 SV=4
+QAEDEPCSHWTLREEYFAIVIQPCKMNAEKALVLDAEDSDKWKMLFMLEGSSDTAGIIREPDLGRAFGRPKDAADRKKKSKSDDSESDSLSKRKTGDKEKGAKQSNLFAEILEPCDLNEEPEWTNDADTFGKWKLFYEVKGNVVRRDLVKEVVFEEPEAEEVKKSKGNQKKGMKQLTTKNSAM
+>sp|P45973|CBX5_HUMAN Chromobox protein homolog 5 OS=Homo sapiens OX=9606 GN=CBX5 PE=1 SV=1
+MGKKTKRTADSSSSEDEEEYVVEKVLDRRVVKGQVEYLLKWKGFSEEHNTWEPEKNLDCPELISEFMKKYKKMKEGENNKPREKSESNKRKSNFSNSADDIKSKKKREQSNDIARGFERGLEPEKIIGATDSCGDLMFLMKWKDTDEADLVLAKEANVKCPQIVIAFYEERLTWHAYPEDAENKEKETAKS
+>DECOY_sp|P45973|CBX5_HUMAN Chromobox protein homolog 5 OS=Homo sapiens OX=9606 GN=CBX5 PE=1 SV=1
+SKATEKEKNEADEPYAHWTLREEYFAIVIQPCKVNAEKALVLDAEDTDKWKMLFMLDGCSDTAGIIKEPELGREFGRAIDNSQERKKKSKIDDASNSFNSKRKNSESKERPKNNEGEKMKKYKKMFESILEPCDLNKEPEWTNHEESFGKWKLLYEVQGKVVRRDLVKEVVYEEEDESSSSDATRKTKKGM
+>sp|Q9UK00|CC018_HUMAN Uncharacterized protein C3orf18 OS=Homo sapiens OX=9606 GN=C3orf18 PE=2 SV=2
+MNSRTASARGWFSSRPPTSESDLEPATDGPASETTTLSPEATTFNDTRIPDAAGGTAGVGTMLLSFGIITVIGLAVALVLYIRKKKRLEKLRHQLMPMYNFDPTEEQDELEQELLEHGRDAASVQAATSVQAMQGKTTLPSQGPLQRPSRLVFTDVANAIHA
+>DECOY_sp|Q9UK00|CC018_HUMAN Uncharacterized protein C3orf18 OS=Homo sapiens OX=9606 GN=C3orf18 PE=2 SV=2
+AHIANAVDTFVLRSPRQLPGQSPLTTKGQMAQVSTAAQVSAADRGHELLEQELEDQEETPDFNYMPMLQHRLKELRKKKRIYLVLAVALGIVTIIGFSLLMTGVGATGGAADPIRTDNFTTAEPSLTTTESAPGDTAPELDSESTPPRSSFWGRASATRSNM
+>sp|Q96M34|CC030_HUMAN Uncharacterized protein C3orf30 OS=Homo sapiens OX=9606 GN=C3orf30 PE=2 SV=2
+MEEPPQEALAEPLKHESPAAPSSAGHTKGQEEDDQKNQAERKADNHTAHRIADQTALRVPSQAESSIFSQATNGVAEQNGHSTPGQAGRRASNPADVSDLRADDQVNQTPSEQTKGKASSQANNVQHEQSDGQVSGLTEERTAEQTERRLPTQAERRTSGQIDGRLAMPSDQRGSRQTDHRMAGQSERRASEQMDRRMSGEAERRTSEQITHRLSKLSERRPSVQIDSGSSVPSDQSPSVQIDSGSSVPSDQRPSVQIDRRMSGKVRRRSSEKTDYRLAGLADPGTSEQTDLRLYGLVDHKTSVKTHHQVYGQATELAEHQAIDQAHSNADQPPVDNAHYTESDQTDHLADRQANHKDQLSYYETRGQSEDRIFPQLGNSKEDKEADYRVQPCKFEDSQVDLNSKPSVEMETQNATTIPPYNPVDARFTSNFQAKDQALFPRLPSISSKLNYTSSQEKTQAIVTKSDEFSEIDQGKGYHIRNQTYRRFPSIVYEDPYQVSLQYMEKHHILQIFQQITENLVYEKPEDPLNFMLCQV
+>DECOY_sp|Q96M34|CC030_HUMAN Uncharacterized protein C3orf30 OS=Homo sapiens OX=9606 GN=C3orf30 PE=2 SV=2
+VQCLMFNLPDEPKEYVLNETIQQFIQLIHHKEMYQLSVQYPDEYVISPFRRYTQNRIHYGKGQDIESFEDSKTVIAQTKEQSSTYNLKSSISPLRPFLAQDKAQFNSTFRADVPNYPPITTANQTEMEVSPKSNLDVQSDEFKCPQVRYDAEKDEKSNGLQPFIRDESQGRTEYYSLQDKHNAQRDALHDTQDSETYHANDVPPQDANSHAQDIAQHEALETAQGYVQHHTKVSTKHDVLGYLRLDTQESTGPDALGALRYDTKESSRRRVKGSMRRDIQVSPRQDSPVSSGSDIQVSPSQDSPVSSGSDIQVSPRRESLKSLRHTIQESTRREAEGSMRRDMQESARRESQGAMRHDTQRSGRQDSPMALRGDIQGSTRREAQTPLRRETQEATREETLGSVQGDSQEHQVNNAQSSAKGKTQESPTQNVQDDARLDSVDAPNSARRGAQGPTSHGNQEAVGNTAQSFISSEAQSPVRLATQDAIRHATHNDAKREAQNKQDDEEQGKTHGASSPAAPSEHKLPEALAEQPPEEM
+>sp|Q5JPI3|CC038_HUMAN Uncharacterized protein C3orf38 OS=Homo sapiens OX=9606 GN=C3orf38 PE=1 SV=1
+MEMSGLSFSEMEGCRNLLGLLDNDEIMALCDTVTNRLVQPQDRQDAVHAILAYSQSAEELLRRRKVHREVIFKYLATQGIVIPPATEKHNLIQHAKDYWQKQPQLKLKETPEPVTKTEDIHLFQQQVKEDKKAEKVDFRRLGEEFCHWFFGLLNSQNPFLGPPQDEWGPQHFWHDVKLRFYYNTSEQNVMDYHGAEIVSLRLLSLVKEEFLFLSPNLDSHGLKCASSPHGLVMVGVAGTVHRGNTCLGIFEQIFGLIRCPFVENTWKIKFINLKIMGESSLAPGTLPKPSVKFEQSDLEAFYNVITVCGTNEVRHNVKQASDSGTGDQV
+>DECOY_sp|Q5JPI3|CC038_HUMAN Uncharacterized protein C3orf38 OS=Homo sapiens OX=9606 GN=C3orf38 PE=1 SV=1
+VQDGTGSDSAQKVNHRVENTGCVTIVNYFAELDSQEFKVSPKPLTGPALSSEGMIKLNIFKIKWTNEVFPCRILGFIQEFIGLCTNGRHVTGAVGVMVLGHPSSACKLGHSDLNPSLFLFEEKVLSLLRLSVIEAGHYDMVNQESTNYYFRLKVDHWFHQPGWEDQPPGLFPNQSNLLGFFWHCFEEGLRRFDVKEAKKDEKVQQQFLHIDETKTVPEPTEKLKLQPQKQWYDKAHQILNHKETAPPIVIGQTALYKFIVERHVKRRRLLEEASQSYALIAHVADQRDQPQVLRNTVTDCLAMIEDNDLLGLLNRCGEMESFSLGSMEM
+>sp|P0CE67|CC079_HUMAN Putative uncharacterized protein C3orf79 OS=Homo sapiens OX=9606 GN=C3orf79 PE=4 SV=1
+MHVCDLQKLVRIQLAFTTFPWMFSCHLLPTPELSSKRNQCLLYKTSGCLTQMPILYGHPATLLKDYILQAILQPGKKIQGGTEIQRGSFANQYQTDASHL
+>DECOY_sp|P0CE67|CC079_HUMAN Putative uncharacterized protein C3orf79 OS=Homo sapiens OX=9606 GN=C3orf79 PE=4 SV=1
+LHSADTQYQNAFSGRQIETGGQIKKGPQLIAQLIYDKLLTAPHGYLIPMQTLCGSTKYLLCQNRKSSLEPTPLLHCSFMWPFTTFALQIRVLKQLDCVHM
+>sp|Q96CT7|CC124_HUMAN Coiled-coil domain-containing protein 124 OS=Homo sapiens OX=9606 GN=CCDC124 PE=1 SV=1
+MPKKFQGENTKSAAARARRAEAKAAADAKKQKELEDAYWKDDDKHVMRKEQRKEEKEKRRLDQLERKKETQRLLEEEDSKLKGGKAPRVATSSKVTRAQIEDTLRRDHQLREAPDTAEKAKSHLEVPLEENVNRRVLEEGSVEARTIEDAIAVLSVAEEAADRHPERRMRAAFTAFEEAQLPRLKQENPNMRLSQLKQLLKKEWLRSPDNPMNQRAVPFNAPK
+>DECOY_sp|Q96CT7|CC124_HUMAN Coiled-coil domain-containing protein 124 OS=Homo sapiens OX=9606 GN=CCDC124 PE=1 SV=1
+KPANFPVARQNMPNDPSRLWEKKLLQKLQSLRMNPNEQKLRPLQAEEFATFAARMRREPHRDAAEEAVSLVAIADEITRAEVSGEELVRRNVNEELPVELHSKAKEATDPAERLQHDRRLTDEIQARTVKSSTAVRPAKGGKLKSDEEELLRQTEKKRELQDLRRKEKEEKRQEKRMVHKDDDKWYADELEKQKKADAAAKAEARRARAAASKTNEGQFKKPM
+>sp|Q6ZP82|CC141_HUMAN Coiled-coil domain-containing protein 141 OS=Homo sapiens OX=9606 GN=CCDC141 PE=1 SV=2
+MSSQGSPSVALSTTTVSSVAVQAGDSKIVIAVIKCGKWVQLQLAESQPNLLEIGSSQDETKKLLHDHELLLAKLKALEDRVWELLQEADKTAEENKDQSQVYDAMAETLGEAWAALVSMLERRTELLRLTSEFFENALEFAIKIDQAEDFLQNTHEFESAESLKSLLQLHEHHTKELLERSLALLNKSQQLTDFIEKFKCEGPNVNPELTQGAHSSCLKVDRLLELLQDRRRQLDKYLKQQWQELSQVLQICQWDQQENQVTCWFQKTIRNLQEQSLGSSLSDNEDRIHKQEELIIKAKEWNSAVEKLKSEALRILLSKDYVEKEHLQLSHQKLSQLQEEFGQLMVERNTWLKKANEFFNSANKAFDVLGRVEAYLKLLKSEGLSLAVLAVRHEELHRKIKDCTTDALQKGQTLISQVDSCSSQVSGIHEMMGCIKRRVDHLTEQCSAHKEYALKKQQLTASVEGYLRKVEMSIQKISPVLSNAMDVGSTRSESEKILNKYLELDIQAKETSHELEAAAKTMMEKNEFVSDEMVSLSSKARWLAEELNLFGQSIDYRSQVLQTYVAFLKSSEEVEMQFQSLKEFYETEIPQKEQDDAKAKHCSDSAEKQWQLFLKKSFITQDLGLEFLNLINMAKENEILDVKNEVYLMKNTMENQKAEREELSLLRLAWQLKATESKPGKQQWAAFKEQLKKTSHNLKLLQEALMPVSALDLGGSLQFILDLRQKWNDMKPQFQQLNDEVQYIMKESEELTGRGAPVKEKSQQLKDLIHFHQKQKERIQDYEDILYKVVQFHQVKEELGRLIKSRELEFVEQPKELGDAHDVQIHLRCSQEKQARVDHLHRLALSLGVDIISSVQRPHCSNVSAKNLQQQLELLEEDSMKWRAKAEEYGRTLSRSVEYCAMRDEINELKDSFKDIKKKFNNLKFNYTKKNEKSRNLKALKYQIQQVDMYAEKMQALKRKMEKVSNKTSDSFLNYPSDKVNVLLEVMKDLQKHVDDFDKVVTDYKKNLDLTEHFQEVIEECHFWYEDASATVVRVGKYSTECKTKEAVKILHQQFNKFIAPSVPQQEERIQEATDLAQHLYGLEEGQKYIEKIVTKHKEVLESVTELCESLTELEEKLKQGDVLKMNPNLEDFHYDYIDLLKEPAKNKQTIFNEERNKGQVQVADLLGINGTGEERLPQDLKVSTDKEGGVQDLLLPEDMLSGEEYECVSPDDISLPPLPGSPESPLAPSDMEVEEPVSSSLSLHISSYGVQAGTSSPGDAQESVLPPPVAFADACNDKRETFSSHFERPYLQFKAEPPLTSRGFVEKSTALHRISAEHPESMMSEVHERALQQHPQAQGGLLETREKMHADNNFTKTQDRLHASSDAFSGLRFQSGTSRGYQRQMVPREEIKSTSAKSSVVSLADQAPNFSRLLSNVTVMEGSPVTLEVEVTGFPEPTLTWWVAYNDKP
+>DECOY_sp|Q6ZP82|CC141_HUMAN Coiled-coil domain-containing protein 141 OS=Homo sapiens OX=9606 GN=CCDC141 PE=1 SV=2
+PKDNYAVWWTLTPEPFGTVEVELTVPSGEMVTVNSLLRSFNPAQDALSVVSSKASTSKIEERPVMQRQYGRSTGSQFRLGSFADSSAHLRDQTKTFNNDAHMKERTELLGGQAQPHQQLAREHVESMMSEPHEASIRHLATSKEVFGRSTLPPEAKFQLYPREFHSSFTERKDNCADAFAVPPPLVSEQADGPSSTGAQVGYSSIHLSLSSSVPEEVEMDSPALPSEPSGPLPPLSIDDPSVCEYEEGSLMDEPLLLDQVGGEKDTSVKLDQPLREEGTGNIGLLDAVQVQGKNREENFITQKNKAPEKLLDIYDYHFDELNPNMKLVDGQKLKEELETLSECLETVSELVEKHKTVIKEIYKQGEELGYLHQALDTAEQIREEQQPVSPAIFKNFQQHLIKVAEKTKCETSYKGVRVVTASADEYWFHCEEIVEQFHETLDLNKKYDTVVKDFDDVHKQLDKMVELLVNVKDSPYNLFSDSTKNSVKEMKRKLAQMKEAYMDVQQIQYKLAKLNRSKENKKTYNFKLNNFKKKIDKFSDKLENIEDRMACYEVSRSLTRGYEEAKARWKMSDEELLELQQQLNKASVNSCHPRQVSSIIDVGLSLALRHLHDVRAQKEQSCRLHIQVDHADGLEKPQEVFELERSKILRGLEEKVQHFQVVKYLIDEYDQIREKQKQHFHILDKLQQSKEKVPAGRGTLEESEKMIYQVEDNLQQFQPKMDNWKQRLDLIFQLSGGLDLASVPMLAEQLLKLNHSTKKLQEKFAAWQQKGPKSETAKLQWALRLLSLEEREAKQNEMTNKMLYVENKVDLIENEKAMNILNLFELGLDQTIFSKKLFLQWQKEASDSCHKAKADDQEKQPIETEYFEKLSQFQMEVEESSKLFAVYTQLVQSRYDISQGFLNLEEALWRAKSSLSVMEDSVFENKEMMTKAAAELEHSTEKAQIDLELYKNLIKESESRTSGVDMANSLVPSIKQISMEVKRLYGEVSATLQQKKLAYEKHASCQETLHDVRRKICGMMEHIGSVQSSCSDVQSILTQGKQLADTTCDKIKRHLEEHRVALVALSLGESKLLKLYAEVRGLVDFAKNASNFFENAKKLWTNREVMLQGFEEQLQSLKQHSLQLHEKEVYDKSLLIRLAESKLKEVASNWEKAKIILEEQKHIRDENDSLSSGLSQEQLNRITKQFWCTVQNEQQDWQCIQLVQSLEQWQQKLYKDLQRRRDQLLELLRDVKLCSSHAGQTLEPNVNPGECKFKEIFDTLQQSKNLLALSRELLEKTHHEHLQLLSKLSEASEFEHTNQLFDEAQDIKIAFELANEFFESTLRLLETRRELMSVLAAWAEGLTEAMADYVQSQDKNEEATKDAEQLLEWVRDELAKLKALLLEHDHLLKKTEDQSSGIELLNPQSEALQLQVWKGCKIVAIVIKSDGAQVAVSSVTTTSLAVSPSGQSSM
+>sp|Q8NCX0|CC150_HUMAN Coiled-coil domain-containing protein 150 OS=Homo sapiens OX=9606 GN=CCDC150 PE=1 SV=2
+MDCKVHMETTVSRPVLSPTHINATASETFTVLQQRMRIVEEQTSSLRDDLIMLDFGEKRGYLEAPDCLEDLDSQKVISPIQNEAICAGKTDILWKNCEFLVNRMCRLESLMQSLKMNIFRLQTEKDLNPQKTAFLKDRLNAIQEEHSKDLKLLHLEVMNLRQQLRAVKEEEDKAQDEVQRLTATLKIASQTKKNAAIIEEELKTTKRKMNLKIQELRRQLAQEKYLRESLEKSASAMLLKIQEMGSTVEVERKQVHILQQNCIALRDSIQSAQELLAQEQKKKEELEIATSQLKSDLTSRDDLISKLVEENKNLQISFNKEHEENAYLRSEIMSLHEASEKAQVLNDQLTKKCSELSCMLQTVTMEKARIIADHQAILQVEQKMMTQTFQEQNLLLDAAHASITNELQTVQNEKTQLQAHLDHLILEHNQCIQKAQDAEKRTAVQKELLESTIARLRGELEASMQEKKSLLEEKERFQREVNKTEKEIVQERCNLEKELAKNKVDINTLTHNLQTLEEENKHLADQMASLELQQVTSDYHGLAQQKVEKITESKNKLAYENGKLQIKVKQLEEQVQSFTDTSLQNDHLRKMNKYLQTKYAQANSELSAKRVHLQQADAHLKEVKSILERSKEELSRTVKCRNAALKESQKLKEDLEAVEDRENKKVGNFQRQLAEAKEDNCKVTIMLENVLASHSKMQGALEKVQIELGRRDSEIAGLKKERDLNQQRVQKLEAEVDQWQARMLVMEDQHNSEIESLQKALGVAREDNRKLAMSLEQALQTNNHLQTKLDHIQEQLESKELERQNLETFKDRMTEESKVEAELHAERIEALRKQFQTERETTKKVAQREVAELKKALDEANFRSVEVSRTNRELRQKLAELEKILESNKEKIKNQKTQIKLHLSAKANNAQNIERMKQIEKELKQMELIKDQYQKKNYEQSLSIQRFVCEMTNLQKEMQMLAKSQYDASVRNKQQELHLEAERKIRQELENRCQELEETVRHLKKCKEATENTLKEASVESEQITANLEEAHRWFKHRFDGLQLELTKNRLQRPSGEDRWQEKDQDVKHDVMSNQSVLHRWERKQNLRPMPKKYHSEVQRK
+>DECOY_sp|Q8NCX0|CC150_HUMAN Coiled-coil domain-containing protein 150 OS=Homo sapiens OX=9606 GN=CCDC150 PE=1 SV=2
+KRQVESHYKKPMPRLNQKREWRHLVSQNSMVDHKVDQDKEQWRDEGSPRQLRNKTLELQLGDFRHKFWRHAEELNATIQESEVSAEKLTNETAEKCKKLHRVTEELEQCRNELEQRIKREAELHLEQQKNRVSADYQSKALMQMEKQLNTMECVFRQISLSQEYNKKQYQDKILEMQKLEKEIQKMREINQANNAKASLHLKIQTKQNKIKEKNSELIKELEALKQRLERNTRSVEVSRFNAEDLAKKLEAVERQAVKKTTERETQFQKRLAEIREAHLEAEVKSEETMRDKFTELNQRELEKSELQEQIHDLKTQLHNNTQLAQELSMALKRNDERAVGLAKQLSEIESNHQDEMVLMRAQWQDVEAELKQVRQQNLDREKKLGAIESDRRGLEIQVKELAGQMKSHSALVNELMITVKCNDEKAEALQRQFNGVKKNERDEVAELDEKLKQSEKLAANRCKVTRSLEEKSRELISKVEKLHADAQQLHVRKASLESNAQAYKTQLYKNMKRLHDNQLSTDTFSQVQEELQKVKIQLKGNEYALKNKSETIKEVKQQALGHYDSTVQQLELSAMQDALHKNEEELTQLNHTLTNIDVKNKALEKELNCREQVIEKETKNVERQFREKEELLSKKEQMSAELEGRLRAITSELLEKQVATRKEADQAKQICQNHELILHDLHAQLQTKENQVTQLENTISAHAADLLLNQEQFTQTMMKQEVQLIAQHDAIIRAKEMTVTQLMCSLESCKKTLQDNLVQAKESAEHLSMIESRLYANEEHEKNFSIQLNKNEEVLKSILDDRSTLDSKLQSTAIELEEKKKQEQALLEQASQISDRLAICNQQLIHVQKREVEVTSGMEQIKLLMASASKELSERLYKEQALQRRLEQIKLNMKRKTTKLEEEIIAANKKTQSAIKLTATLRQVEDQAKDEEEKVARLQQRLNMVELHLLKLDKSHEEQIANLRDKLFATKQPNLDKETQLRFINMKLSQMLSELRCMRNVLFECNKWLIDTKGACIAENQIPSIVKQSDLDELCDPAELYGRKEGFDLMILDDRLSSTQEEVIRMRQQLVTFTESATANIHTPSLVPRSVTTEMHVKCDM
+>sp|Q494R4|CC153_HUMAN Coiled-coil domain-containing protein 153 OS=Homo sapiens OX=9606 GN=CCDC153 PE=1 SV=2
+MPPKNKEKGKKSGAQKKKKNWGADVVAESRHRLVVLEKELLRDHLALRRDEARRAKASEDQLRQRLQGVEAELEGARSEGKAIYAEMSRQCHALQEDMQTRSKQLEEEVKGLRGQLEACQREAAAAREEAEQALGERDQALAQLRAHMADMEAKYEEILHDSLDRLLAKLRAIKQQWDGAALRLHARHKEQQRQFGLTPPGSLRPPAPSL
+>DECOY_sp|Q494R4|CC153_HUMAN Coiled-coil domain-containing protein 153 OS=Homo sapiens OX=9606 GN=CCDC153 PE=1 SV=2
+LSPAPPRLSGPPTLGFQRQQEKHRAHLRLAAGDWQQKIARLKALLRDLSDHLIEEYKAEMDAMHARLQALAQDREGLAQEAEERAAAAERQCAELQGRLGKVEEELQKSRTQMDEQLAHCQRSMEAYIAKGESRAGELEAEVGQLRQRLQDESAKARRAEDRRLALHDRLLEKELVVLRHRSEAVVDAGWNKKKKQAGSKKGKEKNKPPM
+>sp|Q569K6|CC157_HUMAN Coiled-coil domain-containing protein 157 OS=Homo sapiens OX=9606 GN=CCDC157 PE=2 SV=3
+MAHLLGSQACMESLRTDLTDLQGAIVDVFSRAGPVRFPSWKFPDRMACDLDMVALLEHYDHVPGDPEFTQLSHAVLLELVIDRLLLLLQSCMSYLENLGSEQMMPPAQAAGPCMSVGLTVRRFWDSLLRLGTLHQQPLPQKGANQRETPTSKPTTKGEPARSPEYLTTKLIKPSSPVLGLPQTCQEPESIPVRASLQFPATTFKNTRSVHSQTIETALVPCDACASVQGSLQKVGKVVISLCQSQNLPSSLGQFQQLVQDSMGLRPLPAATVGRWAAEQRKDLTRLSKHVEALRAQLEEAEGQKDGLRKQAGKLEQALKQEQGARRRQAEEDEQCLSEWEHDKQQLLTETSDLKTKMATLERELKQQRESTQAVEAKAQQLQEEGERRAAAERQVQQLEEQVQQLEAQVQLLVGRLEGAGQQVCWASTELDKEKARVDSMVRHQESLQAKQRALLKQLDSLDQEREELRGSLDEAEAQRARVEEQLQSEREQGQCQLRAQQELLQSLQREKQGLEQATTDLRLTILELERELEELKERERLLVAFPDLHRPTETQIHGGRSSSVESQITCPTDSGNVTDHMERQVQSNDIRIRVLQEENGRLQSMLSKIREVAQQGGLKLIPQDRLWSPSSKGTQGATPPVQAKSTSPGPLGRQHLPSSRTGRTLLGQPCTSPPRQPCTSPPRQPCTSPPRQPCTSPSRQPCSQPSKSLLEGVTHLDTCTQNPIKVLVRLRKRLSPGRGQASSAHQPQERPM
+>DECOY_sp|Q569K6|CC157_HUMAN Coiled-coil domain-containing protein 157 OS=Homo sapiens OX=9606 GN=CCDC157 PE=2 SV=3
+MPREQPQHASSAQGRGPSLRKRLRVLVKIPNQTCTDLHTVGELLSKSPQSCPQRSPSTCPQRPPSTCPQRPPSTCPQRPPSTCPQGLLTRGTRSSPLHQRGLPGPSTSKAQVPPTAGQTGKSSPSWLRDQPILKLGGQQAVERIKSLMSQLRGNEEQLVRIRIDNSQVQREMHDTVNGSDTPCTIQSEVSSSRGGHIQTETPRHLDPFAVLLREREKLEELERELELITLRLDTTAQELGQKERQLSQLLEQQARLQCQGQERESQLQEEVRARQAEAEDLSGRLEEREQDLSDLQKLLARQKAQLSEQHRVMSDVRAKEKDLETSAWCVQQGAGELRGVLLQVQAELQQVQEELQQVQREAAARREGEEQLQQAKAEVAQTSERQQKLERELTAMKTKLDSTETLLQQKDHEWESLCQEDEEAQRRRAGQEQKLAQELKGAQKRLGDKQGEAEELQARLAEVHKSLRTLDKRQEAAWRGVTAAPLPRLGMSDQVLQQFQGLSSPLNQSQCLSIVVKGVKQLSGQVSACADCPVLATEITQSHVSRTNKFTTAPFQLSARVPISEPEQCTQPLGLVPSSPKILKTTLYEPSRAPEGKTTPKSTPTERQNAGKQPLPQQHLTGLRLLSDWFRRVTLGVSMCPGAAQAPPMMQESGLNELYSMCSQLLLLLRDIVLELLVAHSLQTFEPDGPVHDYHELLAVMDLDCAMRDPFKWSPFRVPGARSFVDVIAGQLDTLDTRLSEMCAQSGLLHAM
+>sp|A6NGH7|CC160_HUMAN Coiled-coil domain-containing protein 160 OS=Homo sapiens OX=9606 GN=CCDC160 PE=3 SV=3
+MDARRKHWKENMFTPFFSAQDVLEETSEPESSSEQTTADSSKGMEEIYNLSSRKFQEESKFKRKKYIFQLNEIEQEQNLRENKRNISKNETDTNSASYESSNVDVTTEESFNSTEDNSTCSTDNLPALLRQDIRKKFMERMSPKLCLNLLNEELEELNMKYRKIEEEFENAEKELLHYKKEIFTKPLNFQETETDASKSDYELQALRNDLSEKATNVKNLSEQLQQAKEVIHKLNLENRNLKEAVRKLKHQTEVGNVLLKEEMKSYYELEMAKIRGELSVIKNELRTEKTLQARNNRALELLRKYYASSMVTSSSILDHFTGDFF
+>DECOY_sp|A6NGH7|CC160_HUMAN Coiled-coil domain-containing protein 160 OS=Homo sapiens OX=9606 GN=CCDC160 PE=3 SV=3
+FFDGTFHDLISSSTVMSSAYYKRLLELARNNRAQLTKETRLENKIVSLEGRIKAMELEYYSKMEEKLLVNGVETQHKLKRVAEKLNRNELNLKHIVEKAQQLQESLNKVNTAKESLDNRLAQLEYDSKSADTETEQFNLPKTFIEKKYHLLEKEANEFEEEIKRYKMNLEELEENLLNLCLKPSMREMFKKRIDQRLLAPLNDTSCTSNDETSNFSEETTVDVNSSEYSASNTDTENKSINRKNERLNQEQEIENLQFIYKKRKFKSEEQFKRSSLNYIEEMGKSSDATTQESSSEPESTEELVDQASFFPTFMNEKWHKRRADM
+>sp|Q6TFL3|CC171_HUMAN Coiled-coil domain-containing protein 171 OS=Homo sapiens OX=9606 GN=CCDC171 PE=2 SV=1
+MNLNTSSNTGDTQRLKIASLDVKQILKNETELDITDNLRKKLHWAKKEKLEITTKHNAELASYESQIAKLRSEVEKGEALRQSLEYDLAVARKEAGLGRRAAEERLAEAHRIQEKLCAQNSELQAKTNETEKAFQTSQQKWKEECRRFEHDLEERDNMIQNCNREYDLLMKEKSRLEKTLQEALEKHQREKNEMESHIRETALEEFRLQEEQWEAERRELQFIVQEQDTAVQNMHKKVEKLETEHMDCSDLLRRQTSELEFSTQREERLRKEFEATTLRVRKLEENIEAERAAHLESKFNSEIIQLRIRDLEGALQVEKASQAEAVADLEIIKNEFKEVESAYEREKHNAQESFAKLNLLEKEYFSKNKKLNEDIEEQKKVIIDLSKRLQYNEKSCSELQEELVMAKKHQAFLVETCENNVKELESILDSFTVSGQWTSGIHKDKDKPPSFSVVLERLRRTLTDYQNKLEDASNEEKACNELDSTKQKIDSHTKNIKELQDKLADVNKELSHLHTKCADREALISTLKVELQNVLHCWEKEKAQAAQSESELQKLSQAFHKDAEEKLTFLHTLYQHLVAGCVLIKQPEGMLDKFSWSELCAVLQENVDALIADLNRANEKIRHLEYICKNKSDTMRELQQTQEDTFTKVAEQIKAQESCWHRQKKELELQYSELFLEVQKRAQKFQEIAEKNMEKLNHIEKSHEQLVLENSHFKKLLSQTQREQMSLLAACALMAGALYPLYSRSCALSTQRDFLQEQVNTFELFKLEIRTLAQALSTVEEKKQEEAKMKKKTFKGLIRIFRKGVIAVLAANRLKILGQSCASLFTWMESFKEGIGMLVCTGEPQDKHKFPKHQKEQLRCLQALSWLTSSDLLAAIISSMAELQDVIGKADPNSRICGHLLIGAAKNSFAKLMDKISLVMECIPLHSSRSITYVEKDSLVQRLAHGLHKVNTLALKYGLRGHVPITKSTASLQKQILGFTQRLHAAEVERRSLRLEVTEFKRSVNEMKKELDKAQGLQMQLNEFKQSKLITHEKFESACEELNNALLREEQAQMLLNEQAQQLQELNYKLELHSSEEADKNQTLGEAVKSLSEAKMELRRKDQSLRQLNRHLTQLEQDKRRLEENIHDAESALRMAAKDKECVANHMRAVENTLHKVRDQISLSWSAASRNDFTLQLPKLHLETFAMEGLKGGPEVVACQAMIKSFMDVYQLASTRIMTLEKEMTSHRSHIAALKSELHTACLRENASLQSIGSRDHSNLSIPSRAPLPADTTGIGDFLPLKAELDTTYTFLKETFINTVPHALTSSHSSPVTMSANANRPTQIGL
+>DECOY_sp|Q6TFL3|CC171_HUMAN Coiled-coil domain-containing protein 171 OS=Homo sapiens OX=9606 GN=CCDC171 PE=2 SV=1
+LGIQTPRNANASMTVPSSHSSTLAHPVTNIFTEKLFTYTTDLEAKLPLFDGIGTTDAPLPARSPISLNSHDRSGISQLSANERLCATHLESKLAAIHSRHSTMEKELTMIRTSALQYVDMFSKIMAQCAVVEPGGKLGEMAFTELHLKPLQLTFDNRSAASWSLSIQDRVKHLTNEVARMHNAVCEKDKAAMRLASEADHINEELRRKDQELQTLHRNLQRLSQDKRRLEMKAESLSKVAEGLTQNKDAEESSHLELKYNLEQLQQAQENLLMQAQEERLLANNLEECASEFKEHTILKSQKFENLQMQLGQAKDLEKKMENVSRKFETVELRLSRREVEAAHLRQTFGLIQKQLSATSKTIPVHGRLGYKLALTNVKHLGHALRQVLSDKEVYTISRSSHLPICEMVLSIKDMLKAFSNKAAGILLHGCIRSNPDAKGIVDQLEAMSSIIAALLDSSTLWSLAQLCRLQEKQHKPFKHKDQPEGTCVLMGIGEKFSEMWTFLSACSQGLIKLRNAALVAIVGKRFIRILGKFTKKKMKAEEQKKEEVTSLAQALTRIELKFLEFTNVQEQLFDRQTSLACSRSYLPYLAGAMLACAALLSMQERQTQSLLKKFHSNELVLQEHSKEIHNLKEMNKEAIEQFKQARKQVELFLESYQLELEKKQRHWCSEQAKIQEAVKTFTDEQTQQLERMTDSKNKCIYELHRIKENARNLDAILADVNEQLVACLESWSFKDLMGEPQKILVCGAVLHQYLTHLFTLKEEADKHFAQSLKQLESESQAAQAKEKEWCHLVNQLEVKLTSILAERDACKTHLHSLEKNVDALKDQLEKINKTHSDIKQKTSDLENCAKEENSADELKNQYDTLTRRLRELVVSFSPPKDKDKHIGSTWQGSVTFSDLISELEKVNNECTEVLFAQHKKAMVLEEQLESCSKENYQLRKSLDIIVKKQEEIDENLKKNKSFYEKELLNLKAFSEQANHKEREYASEVEKFENKIIELDAVAEAQSAKEVQLAGELDRIRLQIIESNFKSELHAAREAEINEELKRVRLTTAEFEKRLREERQTSFELESTQRRLLDSCDMHETELKEVKKHMNQVATDQEQVIFQLERREAEWQEEQLRFEELATERIHSEMENKERQHKELAEQLTKELRSKEKMLLDYERNCNQIMNDREELDHEFRRCEEKWKQQSTQFAKETENTKAQLESNQACLKEQIRHAEALREEAARRGLGAEKRAVALDYELSQRLAEGKEVESRLKAIQSEYSALEANHKTTIELKEKKAWHLKKRLNDTIDLETENKLIQKVDLSAIKLRQTDGTNSSTNLNM
+>sp|P0C221|CC175_HUMAN Coiled-coil domain-containing protein 175 OS=Homo sapiens OX=9606 GN=CCDC175 PE=4 SV=2
+MALSPWTPGLGAGEKLVQAAAVSTGPSLELCTLPSTLGSSVAVEALEQLFVVEQSLQSDYFKCNEEAKIFLKDIAVAVKKLEEMRKATIDLLEIESMELNKLYYLLETLPNSIKRELEECVRDARRLNLFEINTIKMRITRTENEIELLKKKITDLTKYNEALGEKQEELARKHARFVLSLNQTMEKKATTTVYINETYTKINLKREDIALQKKCIQEAEELMEKERAEYLIRKQELTAQINEFENTREVKRMETYQKKKELDKLQTKMSKIKETVTVSAAVLSDHNLEIARLHESIRYWEQEVSELKKDLAILEAKLCFFTDNKEKLDDISNDEKNEFLNKIKQLVETLHAARMEYKDLREKMKTLARQYKIVLSEEEKAFLQKQKIHDENQKQLTFISQKEYFLSQKRVDIKNMEEGLITLQELQQATKTVYQQQIKILSANLERESQRCVITQWKMACLRKKHARWTAKIKAEIQAITEKIQNAEVRRIELLNETSFRQQEISGFVAQIEKLTTELKEEEKAFVNKEKMLMKELSKYEEIFVKETQINKEKEEELVEYLPQLQVAEQEYKEKRRKLEELSNIITAQRQEEDLLNNHIFLFTRDFSRYISNMEDVKQELQQLRDQESKKNKDHFETLKNLENGFYINDQKADLLLLENKKLKEYILYLKNNIEKYREGQEALMHTSSDLSRQLIAQEAQYKDLWAEFQTTVKILVDNGEETLQDINNLTDKLRERDEKMQHVSTWLRGSLEGLRLLVEQESPMDLLKKKKHIRTRVHFPVVKCTEKNTLTK
+>DECOY_sp|P0C221|CC175_HUMAN Coiled-coil domain-containing protein 175 OS=Homo sapiens OX=9606 GN=CCDC175 PE=4 SV=2
+KTLTNKETCKVVPFHVRTRIHKKKKLLDMPSEQEVLLRLGELSGRLWTSVHQMKEDRERLKDTLNNIDQLTEEGNDVLIKVTTQFEAWLDKYQAEQAILQRSLDSSTHMLAEQGERYKEINNKLYLIYEKLKKNELLLLDAKQDNIYFGNELNKLTEFHDKNKKSEQDRLQQLEQKVDEMNSIYRSFDRTFLFIHNNLLDEEQRQATIINSLEELKRRKEKYEQEAVQLQPLYEVLEEEKEKNIQTEKVFIEEYKSLEKMLMKEKNVFAKEEEKLETTLKEIQAVFGSIEQQRFSTENLLEIRRVEANQIKETIAQIEAKIKATWRAHKKRLCAMKWQTIVCRQSERELNASLIKIQQQYVTKTAQQLEQLTILGEEMNKIDVRKQSLFYEKQSIFTLQKQNEDHIKQKQLFAKEEESLVIKYQRALTKMKERLDKYEMRAAHLTEVLQKIKNLFENKEDNSIDDLKEKNDTFFCLKAELIALDKKLESVEQEWYRISEHLRAIELNHDSLVAASVTVTEKIKSMKTQLKDLEKKKQYTEMRKVERTNEFENIQATLEQKRILYEAREKEMLEEAEQICKKQLAIDERKLNIKTYTENIYVTTTAKKEMTQNLSLVFRAHKRALEEQKEGLAENYKTLDTIKKKLLEIENETRTIRMKITNIEFLNLRRADRVCEELERKISNPLTELLYYLKNLEMSEIELLDITAKRMEELKKVAVAIDKLFIKAEENCKFYDSQLSQEVVFLQELAEVAVSSGLTSPLTCLELSPGTSVAAAQVLKEGAGLGPTWPSLAM
+>sp|Q9P1Z9|CC180_HUMAN Coiled-coil domain-containing protein 180 OS=Homo sapiens OX=9606 GN=CCDC180 PE=2 SV=2
+MWHGNHVQPGATHRPNQGLEMLQGLGIGMKAFHNFNYFLFFYNVLLGLGACLSRLLISCLLGMWLIARIDRTIMQSGYEGADMGFSAWIGMLYMDHYHINPVLVSFCHILITNHREKKLQQSTKYWCLNQSAESLRICAMRGGENRPPARVQSSSEELELRHQSLDAFPGRRLPGRGIQPAAKMSSVGKVTQVPNGKAYQQIFQAEVQLVHSLAATRKRAAERSVTLKSGRIPMMKKVETPEGEVMSPRQQKWMHSLPNDWIMENPVLHREKERAKREKARESENTIAAREVRGLMDTIVPEKISTSTFQRQAEHKRKSYESALASFQEEIAQVGKEMEPLIVDTGGLFLKKLTESDEEMNRLFLKVENDTNLEDYTIQALLELWDKVAGRLLLRKQEIKELDEALHSLEFSRTDKLKSVLKKYAEVIEKTSYLMRPEVYRLINEEAMVMNYALLGNRKALAQLFVNLMESTLQQELDSRHRWQGLVDTWKALKKEALLQSFSEFMASESIHTPPAVTKELEVMLKTQNVLQQRRLKHLCTICDLLPPSYSKTQLTEWHSSLNSLNKELDTYHVDCMMRIRLLYEKTWQECLMHVQNCKKQLLDWKAFTEEEAETLVNQFFFQMVGALQGKVEEDLELLDKSFETLADQTEWQSSHLFKYFQEVVQLWEAHQSELLVQELELEKRMEQHRQKHSLESQVQEAHLDRLLDQLRQQSDKETLAFHLEKVKDYLKNMKSRYECFHTLLTKEVMEYPAIMLKELNSYSSALSQYFFVREIFEQNLAGEVIFKFRQPEAHEKPSQKRVKKLRKKQGSKEDMTRSEESISSGTSTARSVEEVEEENDQEMESFITEEVLGQQKKSPLHAKMDESKEGSIQGLEEMQVEREGSLNPSLNEENVKGQGEKKEESEEEDEKEEEEEEEKLEEEKEEKEAQEEQESLSVGEEEDKEEGLEEIYYEDMESFTISSGNTYFVFVPLEEEHCRKSHSTFSAMFINDTSSAKFIEQVTIPSRLILEIKKQLFSEGGNFSPKEINSLCSRLEKEAARIELVESVIMLNMEKLENEYLDQANDVINKFESKFHNLSVDLIFIEKIQRLLTNLQVKIKCQVAKSNSQTNGLNFSLQQLQNKIKTCQESRGEKTTVTTEELLSFVQTWKEKLSQRIQYLNCSLDRVSMTELVFTNTILKDQEEDSDILTSSEALEEEAKLDVVTPESFTQLSRVGKPLIEDPAVDVIRKLLQLPNTKWPTHHCDKDPSQTGFKRHRCQPENSGKKAVPSASATSAGSLQTTHPPLSHSFTPHPKPNKMERKYRVLGDKPPPAAEDFKGIILTLLWESSENLLTVAEEFYRKEKRPVTRPDCMCDTFDQCAENISKKILEYQSQANKYHNSCLIELRIQIRRFEELLPQVCWLVMENFKEHHWKKFFTSVKEIRGQFEEQQKRLEKRKDKNAQKLHLNLGHPVHFQEMESLHLSEEERQEELDSMIRMNKEKLEECTRRNGQVFITNLATFTEKFLLQLDEVVTIDDVQVARMEPPKQKLSMLIRRKLAGLSLKEESEKPLIERGSRKWPGIKPTEVTIQNKILLQPTSSISTTKTTLGHLAAVEARDAVYLKYLASFEEELKRIQDDCTSQIKEAQRWKDSWKQSLHTIQGLYV
+>DECOY_sp|Q9P1Z9|CC180_HUMAN Coiled-coil domain-containing protein 180 OS=Homo sapiens OX=9606 GN=CCDC180 PE=2 SV=2
+VYLGQITHLSQKWSDKWRQAEKIQSTCDDQIRKLEEEFSALYKLYVADRAEVAALHGLTTKTTSISSTPQLLIKNQITVETPKIGPWKRSGREILPKESEEKLSLGALKRRILMSLKQKPPEMRAVQVDDITVVEDLQLLFKETFTALNTIFVQGNRRTCEELKEKNMRIMSDLEEQREEESLHLSEMEQFHVPHGLNLHLKQANKDKRKELRKQQEEFQGRIEKVSTFFKKWHHEKFNEMVLWCVQPLLEEFRRIQIRLEILCSNHYKNAQSQYELIKKSINEACQDFTDCMCDPRTVPRKEKRYFEEAVTLLNESSEWLLTLIIGKFDEAAPPPKDGLVRYKREMKNPKPHPTFSHSLPPHTTQLSGASTASASPVAKKGSNEPQCRHRKFGTQSPDKDCHHTPWKTNPLQLLKRIVDVAPDEILPKGVRSLQTFSEPTVVDLKAEEELAESSTLIDSDEEQDKLITNTFVLETMSVRDLSCNLYQIRQSLKEKWTQVFSLLEETTVTTKEGRSEQCTKIKNQLQQLSFNLGNTQSNSKAVQCKIKVQLNTLLRQIKEIFILDVSLNHFKSEFKNIVDNAQDLYENELKEMNLMIVSEVLEIRAAEKELRSCLSNIEKPSFNGGESFLQKKIELILRSPITVQEIFKASSTDNIFMASFTSHSKRCHEEELPVFVFYTNGSSITFSEMDEYYIEELGEEKDEEEGVSLSEQEEQAEKEEKEEELKEEEEEEEKEDEEESEEKKEGQGKVNEENLSPNLSGEREVQMEELGQISGEKSEDMKAHLPSKKQQGLVEETIFSEMEQDNEEEVEEVSRATSTGSSISEESRTMDEKSGQKKRLKKVRKQSPKEHAEPQRFKFIVEGALNQEFIERVFFYQSLASSYSNLEKLMIAPYEMVEKTLLTHFCEYRSKMNKLYDKVKELHFALTEKDSQQRLQDLLRDLHAEQVQSELSHKQRHQEMRKELELEQVLLESQHAEWLQVVEQFYKFLHSSQWETQDALTEFSKDLLELDEEVKGQLAGVMQFFFQNVLTEAEEETFAKWDLLQKKCNQVHMLCEQWTKEYLLRIRMMCDVHYTDLEKNLSNLSSHWETLQTKSYSPPLLDCITCLHKLRRQQLVNQTKLMVELEKTVAPPTHISESAMFESFSQLLAEKKLAKWTDVLGQWRHRSDLEQQLTSEMLNVFLQALAKRNGLLAYNMVMAEENILRYVEPRMLYSTKEIVEAYKKLVSKLKDTRSFELSHLAEDLEKIEQKRLLLRGAVKDWLELLAQITYDELNTDNEVKLFLRNMEEDSETLKKLFLGGTDVILPEMEKGVQAIEEQFSALASEYSKRKHEAQRQFTSTSIKEPVITDMLGRVERAAITNESERAKERKAREKERHLVPNEMIWDNPLSHMWKQQRPSMVEGEPTEVKKMMPIRGSKLTVSREAARKRTAALSHVLQVEAQFIQQYAKGNPVQTVKGVSSMKAAPQIGRGPLRRGPFADLSQHRLELEESSSQVRAPPRNEGGRMACIRLSEASQNLCWYKTSQQLKKERHNTILIHCFSVLVPNIHYHDMYLMGIWASFGMDAGEYGSQMITRDIRAILWMGLLCSILLRSLCAGLGLLVNYFFLFYNFNHFAKMGIGLGQLMELGQNPRHTAGPQVHNGHWM
+>sp|Q5T5S1|CC183_HUMAN Coiled-coil domain-containing protein 183 OS=Homo sapiens OX=9606 GN=CCDC183 PE=2 SV=3
+MRRHSETDVEEQTQELKTITQLQEQCRALQIQGVKENMDQNKATLALLRSNIRRGAQDWALAKKYDQWTISKACGKNLPLRLAHCRSTMEVVREKLRKYVFDRVNMHNLLIHLVRRRGQKLESMQLELDSLRSQPDASKEELRLLQIIRQLENNIEKTMIKIITSQNIHLLYLDLLDYLKTVLAGYPIELDKLQNLVVNYCSELSDMKIMSQDAMMITDEVKRNMRQREASFIEERRARENRLNQQKKLIDKIHTKETSEKYRRGQMDLDFPSNLMSTETLKLRRKETSTAEMEYQSGVTAVVEKVKSAVRCSHVWDITSRFLAQRNTEENLELQMEDCEEWRVQLKALVKQLELEEAVLKFRQKPSSISFKSVEKKMTDMLKEEEERLQLAHSNMTKGQELLLTIQMGIDNLYVRLMGINLPATQREVVLSNTLDLNSKLAYCEGKLTYLADRVQMVSRTEEGDTKVRDTLESSTLMEKYNTRISFENREEDMIDTFQFPDMDHSYVPSRAEIKRQAQRLIEGKLKAAKKKKK
+>DECOY_sp|Q5T5S1|CC183_HUMAN Coiled-coil domain-containing protein 183 OS=Homo sapiens OX=9606 GN=CCDC183 PE=2 SV=3
+KKKKKAAKLKGEILRQAQRKIEARSPVYSHDMDPFQFTDIMDEERNEFSIRTNYKEMLTSSELTDRVKTDGEETRSVMQVRDALYTLKGECYALKSNLDLTNSLVVERQTAPLNIGMLRVYLNDIGMQITLLLEQGKTMNSHALQLREEEEKLMDTMKKEVSKFSISSPKQRFKLVAEELELQKVLAKLQVRWEECDEMQLELNEETNRQALFRSTIDWVHSCRVASKVKEVVATVGSQYEMEATSTEKRRLKLTETSMLNSPFDLDMQGRRYKESTEKTHIKDILKKQQNLRNERARREEIFSAERQRMNRKVEDTIMMADQSMIKMDSLESCYNVVLNQLKDLEIPYGALVTKLYDLLDLYLLHINQSTIIKIMTKEINNELQRIIQLLRLEEKSADPQSRLSDLELQMSELKQGRRRVLHILLNHMNVRDFVYKRLKERVVEMTSRCHALRLPLNKGCAKSITWQDYKKALAWDQAGRRINSRLLALTAKNQDMNEKVGQIQLARCQEQLQTITKLEQTQEEVDTESHRRM
+>sp|Q96A19|C102A_HUMAN Coiled-coil domain-containing protein 102A OS=Homo sapiens OX=9606 GN=CCDC102A PE=1 SV=2
+MSHGPSPRLAESPQLSKGSLLTILGSPSPERMGPADSLPPTPPSGTPSPGPPPALPLPPAPALLADGDWESREELRLRELEEARARAAQMEKTMRRWSDCTANWREKWSKVRAERNRAREEVRQLRQRLDALTKELAGARRERQEAQGECEARGRELARLRGARGVADQTRDGPEPEAEREPVRDVGSERPPGSQELELVESLLKSMPEESEDCWEARSLGAGGPRGSSGRQERSRLPWEDTAATEEEASKLTALRLRLDESQKVLLKEREDKLALSRNIEKLEGELSQWKIKYEELSKTKQEMLKQLSILKEAHQDELGRMSEDLEDELGARSSMDRKMAELRGEMERLQAENAAEWGRRERLETEKLGLERENKKLRAQVGDLEEALARRRRQTASALDCDLRASQAALFEKNKELADLKHVHGKLKKQFQEKVAELAHANRRVEQHEAEVKKLRLRVEELKKELAQAEDELDEAHNQARKLQRSLDEQTEQSENLQVQLEHLQSRLRRQQQNAPLFGKIRSARFGTEEAEDGTSDLDEDEDLQIQVA
+>DECOY_sp|Q96A19|C102A_HUMAN Coiled-coil domain-containing protein 102A OS=Homo sapiens OX=9606 GN=CCDC102A PE=1 SV=2
+AVQIQLDEDEDLDSTGDEAEETGFRASRIKGFLPANQQQRRLRSQLHELQVQLNESQETQEDLSRQLKRAQNHAEDLEDEAQALEKKLEEVRLRLKKVEAEHQEVRRNAHALEAVKEQFQKKLKGHVHKLDALEKNKEFLAAQSARLDCDLASATQRRRRALAEELDGVQARLKKNERELGLKETELRERRGWEAANEAQLREMEGRLEAMKRDMSSRAGLEDELDESMRGLEDQHAEKLISLQKLMEQKTKSLEEYKIKWQSLEGELKEINRSLALKDEREKLLVKQSEDLRLRLATLKSAEEETAATDEWPLRSREQRGSSGRPGGAGLSRAEWCDESEEPMSKLLSEVLELEQSGPPRESGVDRVPEREAEPEPGDRTQDAVGRAGRLRALERGRAECEGQAEQRERRAGALEKTLADLRQRLQRVEERARNREARVKSWKERWNATCDSWRRMTKEMQAARARAEELERLRLEERSEWDGDALLAPAPPLPLAPPPGPSPTGSPPTPPLSDAPGMREPSPSGLITLLSGKSLQPSEALRPSPGHSM
+>sp|Q8N1F1|C1AS1_HUMAN Putative uncharacterized protein LRRC75A-AS1, mitochondrial OS=Homo sapiens OX=9606 GN=LRRC75A-AS1 PE=5 SV=1
+MFPGSLSRGRRAAVEMAWLPGSCARVAFAAGAAARYWTAWQGSAGPNPAAVAEAHGSLFCGRATSARAWSLRRPGPGSPAHSGGVQTRENWIAYPLQSAEDGVATRLQIREESASCLAAEYWSQEPAMRF
+>DECOY_sp|Q8N1F1|C1AS1_HUMAN Putative uncharacterized protein LRRC75A-AS1, mitochondrial OS=Homo sapiens OX=9606 GN=LRRC75A-AS1 PE=5 SV=1
+FRMAPEQSWYEAALCSASEERIQLRTAVGDEASQLPYAIWNERTQVGGSHAPSGPGPRRLSWARASTARGCFLSGHAEAVAAPNPGASGQWATWYRAAAGAAFAVRACSGPLWAMEVAARRGRSLSGPFM
+>sp|P0DN25|C1C1L_HUMAN C1GALT1-specific chaperone 1-like protein OS=Homo sapiens OX=9606 GN=C1GALT1C1L PE=3 SV=1
+MVSASGTSFFKGMLLGSISWVLITMFGQIHIRHRGQTQDHEHHHLRPPNRNDFLNTSKVILLELSKSIRVFCIIFGESEDESYWAVLKETWTKHCDKAELYDTKNDNLFNIESNDRWVQMRTAYKYVFEKYGDNYNWFFLALPTTFAVIENLKYLLFTRDASQPFYLGHTVIFGDLEYVTVEGGIVLSRELMKRLNRLLDNSETCADQSVIWKLSEDKQLAICLKYAGVHAENAEDYEGRDVFNTKPIAQLIEEALSNNPQQVVEGCCSDMAITFNGLTPQKMEVMMYGLYRLRAFGHYFNDTLVFLPPVGSEND
+>DECOY_sp|P0DN25|C1C1L_HUMAN C1GALT1-specific chaperone 1-like protein OS=Homo sapiens OX=9606 GN=C1GALT1C1L PE=3 SV=1
+DNESGVPPLFVLTDNFYHGFARLRYLGYMMVEMKQPTLGNFTIAMDSCCGEVVQQPNNSLAEEILQAIPKTNFVDRGEYDEANEAHVGAYKLCIALQKDESLKWIVSQDACTESNDLLRNLRKMLERSLVIGGEVTVYELDGFIVTHGLYFPQSADRTFLLYKLNEIVAFTTPLALFFWNYNDGYKEFVYKYATRMQVWRDNSEINFLNDNKTDYLEAKDCHKTWTEKLVAWYSEDESEGFIICFVRISKSLELLIVKSTNLFDNRNPPRLHHHEHDQTQGRHRIHIQGFMTILVWSISGLLMGKFFSTGSASVM
+>sp|Q9NS00|C1GLT_HUMAN Glycoprotein-N-acetylgalactosamine 3-beta-galactosyltransferase 1 OS=Homo sapiens OX=9606 GN=C1GALT1 PE=1 SV=1
+MASKSWLNFLTFLCGSAIGFLLCSQLFSILLGEKVDTQPNVLHNDPHARHSDDNGQNHLEGQMNFNADSSQHKDENTDIAENLYQKVRILCWVMTGPQNLEKKAKHVKATWAQRCNKVLFMSSEENKDFPAVGLKTKEGRDQLYWKTIKAFQYVHEHYLEDADWFLKADDDTYVILDNLRWLLSKYDPEEPIYFGRRFKPYVKQGYMSGGAGYVLSKEALKRFVDAFKTDKCTHSSSIEDLALGRCMEIMNVEAGDSRDTIGKETFHPFVPEHHLIKGYLPRTFWYWNYNYYPPVEGPGCCSDLAVSFHYVDSTTMYELEYLVYHLRPYGYLYRYQPTLPERILKEISQANKNEDTKVKLGNP
+>DECOY_sp|Q9NS00|C1GLT_HUMAN Glycoprotein-N-acetylgalactosamine 3-beta-galactosyltransferase 1 OS=Homo sapiens OX=9606 GN=C1GALT1 PE=1 SV=1
+PNGLKVKTDENKNAQSIEKLIREPLTPQYRYLYGYPRLHYVLYELEYMTTSDVYHFSVALDSCCGPGEVPPYYNYNWYWFTRPLYGKILHHEPVFPHFTEKGITDRSDGAEVNMIEMCRGLALDEISSSHTCKDTKFADVFRKLAEKSLVYGAGGSMYGQKVYPKFRRGFYIPEEPDYKSLLWRLNDLIVYTDDDAKLFWDADELYHEHVYQFAKITKWYLQDRGEKTKLGVAPFDKNEESSMFLVKNCRQAWTAKVHKAKKELNQPGTMVWCLIRVKQYLNEAIDTNEDKHQSSDANFNMQGELHNQGNDDSHRAHPDNHLVNPQTDVKEGLLISFLQSCLLFGIASGCLFTLFNLWSKSAM
+>sp|Q5VU97|CAHD1_HUMAN VWFA and cache domain-containing protein 1 OS=Homo sapiens OX=9606 GN=CACHD1 PE=2 SV=2
+MARQPEEEETAVARARRPPLWLLCLVACWLLGAGAEADFSILDEAQVLASQMRRLAAEELGVVTMQRIFNSFVYTEKISNGESEVQQLAKKIREKFNRYLDVVNRNKQVVEASYTAHLTSPLTAIQDCCTIPPSMMEFDGNFNTNVSRTISCDRLSTTVNSRAFNPGRDLNSVLADNLKSNPGIKWQYFSSEEGIFTVFPAHKFRCKGSYEHRSRPIYVSTVRPQSKHIVVILDHGASVTDTQLQIAKDAAQVILSAIDEHDKISVLTVADTVRTCSLDQCYKTFLSPATSETKRKMSTFVSSVKSSDSPTQHAVGFQKAFQLIRSTNNNTKFQANTDMVIIYLSAGITSKDSSEEDKKATLQVINEENSFLNNSVMILTYALMNDGVTGLKELAFLRDLAEQNSGKYGVPDRMALPVIKGSMMVLNQLSNLETTVGRFYTNLPNRMIDEAVFSLPFSDEMGDGLIMTVSKPCYFGNLLLGIVGVDVNLAYILEDVTYYQDSLASYTFLIDDKGYTLMHPSLTRPYLLSEPPLHTDIIHYENIPKFELVRQNILSLPLGSQIIAVPVNSSLSWHINKLRETGKEAYNVSYAWKMVQDTSFILCIVVIQPEIPVKQLKNLNTVPSSKLLYHRLDLLGQPSACLHFKQLATLESPTIMLSAGSFSSPYEHLSQPETKRMVEHYTAYLSDNTRLIANPGLKFSVRNEVMATSHVTDEWMTQMEMSSLNTYIVRRYIATPNGVLRIYPGSLMDKAFDPTRRQWYLHAVANPGLISLTGPYLDVGGAGYVVTISHTIHSSSTQLSSGHTVAVMGIDFTLRYFYKVLMDLLPVCNQDGGNKIRCFIMEDRGYLVAHPTLIDPKGHAPVEQQHITHKEPLVANDILNHPNFVKKNLCNSFSDRTVQRFYKFNTSLAGDLTNLVHGSHCSKYRLARIPGTNAFVGIVNETCDSLAFCACSMVDRLCLNCHRMEQNECECPCECPLEVNECTGNLTNAENRNPSCEVHQEPVTYTAIDPGLQDALHQCVNSRCSQRLESGDCFGVLDCEWCMVDSDGKTHLDKPYCAPQKECFGGIVGAKSPYVDDMGAIGDEVITLNMIKSAPVGPVAGGIMGCIMVLVLAVYAYRHQIHRRSHQHMSPLAAQEMSVRMSNLENDRDERDDDSHEDRGIISNTRFIAAVIERHAHSPERRRRYWGRSGTESDHGYSTMSPQEDSENPPCNNDPLSAGVDVGNHDEDLDLDTPPQTAALLSHKFHHYRSHHPTLHHSHHLQAAVTVHTVDAEC
+>DECOY_sp|Q5VU97|CAHD1_HUMAN VWFA and cache domain-containing protein 1 OS=Homo sapiens OX=9606 GN=CACHD1 PE=2 SV=2
+CEADVTHVTVAAQLHHSHHLTPHHSRYHHFKHSLLAATQPPTDLDLDEDHNGVDVGASLPDNNCPPNESDEQPSMTSYGHDSETGSRGWYRRRREPSHAHREIVAAIFRTNSIIGRDEHSDDDREDRDNELNSMRVSMEQAALPSMHQHSRRHIQHRYAYVALVLVMICGMIGGAVPGVPASKIMNLTIVEDGIAGMDDVYPSKAGVIGGFCEKQPACYPKDLHTKGDSDVMCWECDLVGFCDGSELRQSCRSNVCQHLADQLGPDIATYTVPEQHVECSPNRNEANTLNGTCENVELPCECPCECENQEMRHCNLCLRDVMSCACFALSDCTENVIGVFANTGPIRALRYKSCHSGHVLNTLDGALSTNFKYFRQVTRDSFSNCLNKKVFNPHNLIDNAVLPEKHTIHQQEVPAHGKPDILTPHAVLYGRDEMIFCRIKNGGDQNCVPLLDMLVKYFYRLTFDIGMVAVTHGSSLQTSSSHITHSITVVYGAGGVDLYPGTLSILGPNAVAHLYWQRRTPDFAKDMLSGPYIRLVGNPTAIYRRVIYTNLSSMEMQTMWEDTVHSTAMVENRVSFKLGPNAILRTNDSLYATYHEVMRKTEPQSLHEYPSSFSGASLMITPSELTALQKFHLCASPQGLLDLRHYLLKSSPVTNLNKLQKVPIEPQIVVICLIFSTDQVMKWAYSVNYAEKGTERLKNIHWSLSSNVPVAIIQSGLPLSLINQRVLEFKPINEYHIIDTHLPPESLLYPRTLSPHMLTYGKDDILFTYSALSDQYYTVDELIYALNVDVGVIGLLLNGFYCPKSVTMILGDGMEDSFPLSFVAEDIMRNPLNTYFRGVTTELNSLQNLVMMSGKIVPLAMRDPVGYKGSNQEALDRLFALEKLGTVGDNMLAYTLIMVSNNLFSNEENIVQLTAKKDEESSDKSTIGASLYIIVMDTNAQFKTNNNTSRILQFAKQFGVAHQTPSDSSKVSSVFTSMKRKTESTAPSLFTKYCQDLSCTRVTDAVTLVSIKDHEDIASLIVQAADKAIQLQTDTVSAGHDLIVVIHKSQPRVTSVYIPRSRHEYSGKCRFKHAPFVTFIGEESSFYQWKIGPNSKLNDALVSNLDRGPNFARSNVTTSLRDCSITRSVNTNFNGDFEMMSPPITCCDQIATLPSTLHATYSAEVVQKNRNVVDLYRNFKERIKKALQQVESEGNSIKETYVFSNFIRQMTVVGLEEAALRRMQSALVQAEDLISFDAEAGAGLLWCAVLCLLWLPPRRARAVATEEEEPQRAM
+>sp|Q8IU99|CAHM1_HUMAN Calcium homeostasis modulator protein 1 OS=Homo sapiens OX=9606 GN=CALHM1 PE=1 SV=2
+MMDKFRMIFQFLQSNQESFMNGICGIMALASAQMYSAFDFNCPCLPGYNAAYSAGILLAPPLVLFLLGLVMNNNVSMLAEEWKRPLGRRAKDPAVLRYMFCSMAQRALIAPVVWVAVTLLDGKCFLCAFCTAVPVSALGNGSLAPGLPAPELARLLARVPCPEIYDGDWLLAREVAVRYLRCISQALGWSFVLLTTLLAFVVRSVRPCFTQAAFLKSKYWSHYIDIERKLFDETCTEHAKAFAKVCIQQFFEAMNHDLELGHTHGTLATAPASAAAPTTPDGAEEEREKLRGITDQGTMNRLLTSWHKCKPPLRLGQEEPPLMGNGWAGGGPRPPRKEVATYFSKV
+>DECOY_sp|Q8IU99|CAHM1_HUMAN Calcium homeostasis modulator protein 1 OS=Homo sapiens OX=9606 GN=CALHM1 PE=1 SV=2
+VKSFYTAVEKRPPRPGGGAWGNGMLPPEEQGLRLPPKCKHWSTLLRNMTGQDTIGRLKEREEEAGDPTTPAAASAPATALTGHTHGLELDHNMAEFFQQICVKAFAKAHETCTEDFLKREIDIYHSWYKSKLFAAQTFCPRVSRVVFALLTTLLVFSWGLAQSICRLYRVAVERALLWDGDYIEPCPVRALLRALEPAPLGPALSGNGLASVPVATCFACLFCKGDLLTVAVWVVPAILARQAMSCFMYRLVAPDKARRGLPRKWEEALMSVNNNMVLGLLFLVLPPALLIGASYAANYGPLCPCNFDFASYMQASALAMIGCIGNMFSEQNSQLFQFIMRFKDMM
+>sp|Q86XJ0|CAHM3_HUMAN Calcium homeostasis modulator protein 3 OS=Homo sapiens OX=9606 GN=CALHM3 PE=2 SV=1
+MDKFRMLFQHFQSSSESVMNGICLLLAAVTVKLYSSFDFNCPCLVHYNALYGLGLLLTPPLALFLCGLLANRQSVVMVEEWRRPAGHRRKDPGIIRYMCSSVLQRALAAPLVWILLALLDGKCFVCAFSSSVDPEKFLDFANMTPSQVQLFLAKVPCKEDELVRDSPARKAVSRYLRCLSQAIGWSVTLLLIIAAFLARCLRPCFDQTVFLQRRYWSNYVDLEQKLFDETCCEHARDFAHRCVLHFFASMRSELQARGLRRGNAGRRLELPAVPEPPAVPEPPEGLDSGSGKAHLRAISSREQVDRLLSTWYSSKPPLDLAASPGLCGGGLSHRAPTLALGTRLSQHTDV
+>DECOY_sp|Q86XJ0|CAHM3_HUMAN Calcium homeostasis modulator protein 3 OS=Homo sapiens OX=9606 GN=CALHM3 PE=2 SV=1
+VDTHQSLRTGLALTPARHSLGGGCLGPSAALDLPPKSSYWTSLLRDVQERSSIARLHAKGSGSDLGEPPEPVAPPEPVAPLELRRGANGRRLGRAQLESRMSAFFHLVCRHAFDRAHECCTEDFLKQELDVYNSWYRRQLFVTQDFCPRLCRALFAAIILLLTVSWGIAQSLCRLYRSVAKRAPSDRVLEDEKCPVKALFLQVQSPTMNAFDLFKEPDVSSSFACVFCKGDLLALLIWVLPAALARQLVSSCMYRIIGPDKRRHGAPRRWEEVMVVSQRNALLGCLFLALPPTLLLGLGYLANYHVLCPCNFDFSSYLKVTVAALLLCIGNMVSESSSQFHQFLMRFKDM
+>sp|P22676|CALB2_HUMAN Calretinin OS=Homo sapiens OX=9606 GN=CALB2 PE=2 SV=2
+MAGPQQQPPYLHLAELTASQFLEIWKHFDADGNGYIEGKELENFFQELEKARKGSGMMSKSDNFGEKMKEFMQKYDKNSDGKIEMAELAQILPTEENFLLCFRQHVGSSAEFMEAWRKYDTDRSGYIEANELKGFLSDLLKKANRPYDEPKLQEYTQTILRMFDLNGDGKLGLSEMSRLLPVQENFLLKFQGMKLTSEEFNAIFTFYDKDRSGYIDEHELDALLKDLYEKNKKEMNIQQLTNYRKSVMSLAEAGKLYRKDLEIVLCSEPPM
+>DECOY_sp|P22676|CALB2_HUMAN Calretinin OS=Homo sapiens OX=9606 GN=CALB2 PE=2 SV=2
+MPPESCLVIELDKRYLKGAEALSMVSKRYNTLQQINMEKKNKEYLDKLLADLEHEDIYGSRDKDYFTFIANFEESTLKMGQFKLLFNEQVPLLRSMESLGLKGDGNLDFMRLITQTYEQLKPEDYPRNAKKLLDSLFGKLENAEIYGSRDTDYKRWAEMFEASSGVHQRFCLLFNEETPLIQALEAMEIKGDSNKDYKQMFEKMKEGFNDSKSMMGSGKRAKELEQFFNELEKGEIYGNGDADFHKWIELFQSATLEALHLYPPQQQPGAM
+>sp|P0DP23|CALM1_HUMAN Calmodulin-1 OS=Homo sapiens OX=9606 GN=CALM1 PE=1 SV=1
+MADQLTEEQIAEFKEAFSLFDKDGDGTITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLTMMARKMKDTDSEEEIREAFRVFDKDGNGYISAAELRHVMTNLGEKLTDEEVDEMIREADIDGDGQVNYEEFVQMMTAK
+>DECOY_sp|P0DP23|CALM1_HUMAN Calmodulin-1 OS=Homo sapiens OX=9606 GN=CALM1 PE=1 SV=1
+KATMMQVFEEYNVQGDGDIDAERIMEDVEEDTLKEGLNTMVHRLEAASIYGNGDKDFVRFAERIEEESDTDKMKRAMMTLFEPFDITGNGDADVENIMDQLEAETPNQGLSRMVTGLEKTTITGDGDKDFLSFAEKFEAIQEETLQDAM
+>sp|P17655|CAN2_HUMAN Calpain-2 catalytic subunit OS=Homo sapiens OX=9606 GN=CAPN2 PE=1 SV=6
+MAGIAAKLAKDREAAEGLGSHDRAIKYLNQDYEALRNECLEAGTLFQDPSFPAIPSALGFKELGPYSSKTRGIEWKRPTEICADPQFIIGGATRTDICQGALGDCWLLAAIASLTLNEEILARVVPLNQSFQENYAGIFHFQFWQYGEWVEVVVDDRLPTKDGELLFVHSAEGSEFWSALLEKAYAKINGCYEALSGGATTEGFEDFTGGIAEWYELKKPPPNLFKIIQKALQKGSLLGCSIDITSAADSEAITFQKLVKGHAYSVTGAEEVESNGSLQKLIRIRNPWGEVEWTGRWNDNCPSWNTIDPEERERLTRRHEDGEFWMSFSDFLRHYSRLEICNLTPDTLTSDTYKKWKLTKMDGNWRRGSTAGGCRNYPNTFWMNPQYLIKLEEEDEDEEDGESGCTFLVGLIQKHRRRQRKMGEDMHTIGFGIYEVPEELSGQTNIHLSKNFFLTNRARERSDTFINLREVLNRFKLPPGEYILVPSTFEPNKDGDFCIRVFSEKKADYQAVDDEIEANLEEFDISEDDIDDGFRRLFAQLAGEDAEISAFELQTILRRVLAKRQDIKSDGFSIETCKIMVDMLDSDGSGKLGLKEFYILWTKIQKYQKIYREIDVDRSGTMNSYEMRKALEEAGFKMPCQLHQVIVARFADDQLIIDFDNFVRCLVRLETLFKIFKQLDPENTGTIELDLISWLCFSVL
+>DECOY_sp|P17655|CAN2_HUMAN Calpain-2 catalytic subunit OS=Homo sapiens OX=9606 GN=CAPN2 PE=1 SV=6
+LVSFCLWSILDLEITGTNEPDLQKFIKFLTELRVLCRVFNDFDIILQDDAFRAVIVQHLQCPMKFGAEELAKRMEYSNMTGSRDVDIERYIKQYKQIKTWLIYFEKLGLKGSGDSDLMDVMIKCTEISFGDSKIDQRKALVRRLITQLEFASIEADEGALQAFLRRFGDDIDDESIDFEELNAEIEDDVAQYDAKKESFVRICFDGDKNPEFTSPVLIYEGPPLKFRNLVERLNIFTDSRERARNTLFFNKSLHINTQGSLEEPVEYIGFGITHMDEGMKRQRRRHKQILGVLFTCGSEGDEEDEDEEELKILYQPNMWFTNPYNRCGGATSGRRWNGDMKTLKWKKYTDSTLTDPTLNCIELRSYHRLFDSFSMWFEGDEHRRTLREREEPDITNWSPCNDNWRGTWEVEGWPNRIRILKQLSGNSEVEEAGTVSYAHGKVLKQFTIAESDAASTIDISCGLLSGKQLAKQIIKFLNPPPKKLEYWEAIGGTFDEFGETTAGGSLAEYCGNIKAYAKELLASWFESGEASHVFLLEGDKTPLRDDVVVEVWEGYQWFQFHFIGAYNEQFSQNLPVVRALIEENLTLSAIAALLWCDGLAGQCIDTRTAGGIIFQPDACIETPRKWEIGRTKSSYPGLEKFGLASPIAPFSPDQFLTGAELCENRLAEYDQNLYKIARDHSGLGEAAERDKALKAAIGAM
+>sp|P20807|CAN3_HUMAN Calpain-3 OS=Homo sapiens OX=9606 GN=CAPN3 PE=1 SV=2
+MPTVISASVAPRTAAEPRSPGPVPHPAQSKATEAGGGNPSGIYSAIISRNFPIIGVKEKTFEQLHKKCLEKKVLYVDPEFPPDETSLFYSQKFPIQFVWKRPPEICENPRFIIDGANRTDICQGELGDCWFLAAIACLTLNQHLLFRVIPHDQSFIENYAGIFHFQFWRYGEWVDVVIDDCLPTYNNQLVFTKSNHRNEFWSALLEKAYAKLHGSYEALKGGNTTEAMEDFTGGVAEFFEIRDAPSDMYKIMKKAIERGSLMGCSIDDGTNMTYGTSPSGLNMGELIARMVRNMDNSLLQDSDLDPRGSDERPTRTIIPVQYETRMACGLVRGHAYSVTGLDEVPFKGEKVKLVRLRNPWGQVEWNGSWSDRWKDWSFVDKDEKARLQHQVTEDGEFWMSYEDFIYHFTKLEICNLTADALQSDKLQTWTVSVNEGRWVRGCSAGGCRNFPDTFWTNPQYRLKLLEEDDDPDDSEVICSFLVALMQKNRRKDRKLGASLFTIGFAIYEVPKEMHGNKQHLQKDFFLYNASKARSKTYINMREVSQRFRLPPSEYVIVPSTYEPHQEGEFILRVFSEKRNLSEEVENTISVDRPVKKKKTKPIIFVSDRANSNKELGVDQESEEGKGKTSPDKQKQSPQPQPGSSDQESEEQQQFRNIFKQIAGDDMEICADELKKVLNTVVNKHKDLKTHGFTLESCRSMIALMDTDGSGKLNLQEFHHLWNKIKAWQKIFKHYDTDQSGTINSYEMRNAVNDAGFHLNNQLYDIITMRYADKHMNIDFDSFICCFVRLEGMFRAFHAFDKDGDGIIKLNVLEWLQLTMYA
+>DECOY_sp|P20807|CAN3_HUMAN Calpain-3 OS=Homo sapiens OX=9606 GN=CAPN3 PE=1 SV=2
+AYMTLQLWELVNLKIIGDGDKDFAHFARFMGELRVFCCIFSDFDINMHKDAYRMTIIDYLQNNLHFGADNVANRMEYSNITGSQDTDYHKFIKQWAKIKNWLHHFEQLNLKGSGDTDMLAIMSRCSELTFGHTKLDKHKNVVTNLVKKLEDACIEMDDGAIQKFINRFQQQEESEQDSSGPQPQPSQKQKDPSTKGKGEESEQDVGLEKNSNARDSVFIIPKTKKKKVPRDVSITNEVEESLNRKESFVRLIFEGEQHPEYTSPVIVYESPPLRFRQSVERMNIYTKSRAKSANYLFFDKQLHQKNGHMEKPVEYIAFGITFLSAGLKRDKRRNKQMLAVLFSCIVESDDPDDDEELLKLRYQPNTWFTDPFNRCGGASCGRVWRGENVSVTWTQLKDSQLADATLNCIELKTFHYIFDEYSMWFEGDETVQHQLRAKEDKDVFSWDKWRDSWSGNWEVQGWPNRLRVLKVKEGKFPVEDLGTVSYAHGRVLGCAMRTEYQVPIITRTPREDSGRPDLDSDQLLSNDMNRVMRAILEGMNLGSPSTGYTMNTGDDISCGMLSGREIAKKMIKYMDSPADRIEFFEAVGGTFDEMAETTNGGKLAEYSGHLKAYAKELLASWFENRHNSKTFVLQNNYTPLCDDIVVDVWEGYRWFQFHFIGAYNEIFSQDHPIVRFLLHQNLTLCAIAALFWCDGLEGQCIDTRNAGDIIFRPNECIEPPRKWVFQIPFKQSYFLSTEDPPFEPDVYLVKKELCKKHLQEFTKEKVGIIPFNRSIIASYIGSPNGGGAETAKSQAPHPVPGPSRPEAATRPAVSASIVTPM
+>sp|P40121|CAPG_HUMAN Macrophage-capping protein OS=Homo sapiens OX=9606 GN=CAPG PE=1 SV=2
+MYTAIPQSGSPFPGSVQDPGLHVWRVEKLKPVPVAQENQGVFFSGDSYLVLHNGPEEVSHLHLWIGQQSSRDEQGACAVLAVHLNTLLGERPVQHREVQGNESDLFMSYFPRGLKYQEGGVESAFHKTSTGAPAAIKKLYQVKGKKNIRATERALNWDSFNTGDCFILDLGQNIFAWCGGKSNILERNKARDLALAIRDSERQGKAQVEIVTDGEEPAEMIQVLGPKPALKEGNPEEDLTADKANAQAAALYKVSDATGQMNLTKVADSSPFALELLISDDCFVLDNGLCGKIYIWKGRKANEKERQAALQVAEGFISRMQYAPNTQVEILPQGHESPIFKQFFKDWK
+>DECOY_sp|P40121|CAPG_HUMAN Macrophage-capping protein OS=Homo sapiens OX=9606 GN=CAPG PE=1 SV=2
+KWDKFFQKFIPSEHGQPLIEVQTNPAYQMRSIFGEAVQLAAQREKENAKRGKWIYIKGCLGNDLVFCDDSILLELAFPSSDAVKTLNMQGTADSVKYLAAAQANAKDATLDEEPNGEKLAPKPGLVQIMEAPEEGDTVIEVQAKGQRESDRIALALDRAKNRELINSKGGCWAFINQGLDLIFCDGTNFSDWNLARETARINKKGKVQYLKKIAAPAGTSTKHFASEVGGEQYKLGRPFYSMFLDSENGQVERHQVPREGLLTNLHVALVACAGQEDRSSQQGIWLHLHSVEEPGNHLVLYSDGSFFVGQNEQAVPVPKLKEVRWVHLGPDQVSGPFPSGSQPIATYM
+>sp|O75052|CAPON_HUMAN Carboxyl-terminal PDZ ligand of neuronal nitric oxide synthase protein OS=Homo sapiens OX=9606 GN=NOS1AP PE=1 SV=3
+MPSKTKYNLVDDGHDLRIPLHNEDAFQHGICFEAKYVGSLDVPRPNSRVEIVAAMRRIRYEFKAKNIKKKKVSIMVSVDGVKVILKKKKKLLLLQKKEWTWDESKMLVMQDPIYRIFYVSHDSQDLKIFSYIARDGASNIFRCNVFKSKKKSQAMRIVRTVGQAFEVCHKLSLQHTQQNADGQEDGESERNSNSSGDPGRQLTGAERASTATAEETDIDAVEVPLPGNDVLEFSRGVTDLDAVGKEGGSHTGSKVSHPQEPMLTASPRMLLPSSSSKPPGLGTETPLSTHHQMQLLQQLLQQQQQQTQVAVAQVHLLKDQLAAEAAARLEAQARVHQLLLQNKDMLQHISLLVKQVQELELKLSGQNAMGSQDSLLEITFRSGALPVLCDPTTPKPEDLHSPPLGAGLADFAHPAGSPLGRRDCLVKLECFRFLPPEDTPPPAQGEALLGGLELIKFRESGIASEYESNTDESEERDSWSQEELPRLLNVLQRQELGDGLDDEIAV
+>DECOY_sp|O75052|CAPON_HUMAN Carboxyl-terminal PDZ ligand of neuronal nitric oxide synthase protein OS=Homo sapiens OX=9606 GN=NOS1AP PE=1 SV=3
+VAIEDDLGDGLEQRQLVNLLRPLEEQSWSDREESEDTNSEYESAIGSERFKILELGGLLAEGQAPPPTDEPPLFRFCELKVLCDRRGLPSGAPHAFDALGAGLPPSHLDEPKPTTPDCLVPLAGSRFTIELLSDQSGMANQGSLKLELEQVQKVLLSIHQLMDKNQLLLQHVRAQAELRAAAEAALQDKLLHVQAVAVQTQQQQQQLLQQLLQMQHHTSLPTETGLGPPKSSSSPLLMRPSATLMPEQPHSVKSGTHSGGEKGVADLDTVGRSFELVDNGPLPVEVADIDTEEATATSAREAGTLQRGPDGSSNSNRESEGDEQGDANQQTHQLSLKHCVEFAQGVTRVIRMAQSKKKSKFVNCRFINSAGDRAIYSFIKLDQSDHSVYFIRYIPDQMVLMKSEDWTWEKKQLLLLKKKKKLIVKVGDVSVMISVKKKKINKAKFEYRIRRMAAVIEVRSNPRPVDLSGVYKAEFCIGHQFADENHLPIRLDHGDDVLNYKTKSPM
+>sp|Q5VZK9|CARL1_HUMAN F-actin-uncapping protein LRRC16A OS=Homo sapiens OX=9606 GN=CARMIL1 PE=1 SV=1
+MTEESSDVPRELIESIKDVIGRKIKISVKKKVKLEVKGDKVENKVLVLTSCRAFLVTARIPTKLELTFSYLEIHGVVCSKSAQMIVETEKCSISMKMASPEDVSEVLAHIGTCLRKIFPGLSPVRIMKKVSMEPSERLASLQALWDSQTVAEQGPCGGFSQMYACVCDWLGFSYREEVQWDVDTIYLTQDTRELNLQDFSHLDHRDLIPIIAALEYNQWFTKLSSKDLKLSTDVCEQILRVVSRSNRLEELVLENAGLRTDFAQKLASALAHNPNSGLHTINLAGNPLEDRGVSSLSIQFAKLPKGLKHLNLSKTSLSPKGVNSLSQSLSANPLTASTLVHLDLSGNVLRGDDLSHMYNFLAQPNAIVHLDLSNTECSLDMVCGALLRGCLQYLAVLNLSRTVFSHRKGKEVPPSFKQFFSSSLALMHINLSGTKLSPEPLKALLLGLACNHNLKGVSLDLSNCELRSGGAQVLEGCIAEIHNITSLDISDNGLESDLSTLIVWLSKNRSIQHLALGKNFNNMKSKNLTPVLDNLVQMIQDEESPLQSLSLADSKLKTEVTIIINALGSNTSLTKVDISGNGMGDMGAKMLAKALQINTKLRTVIWDKNNITAQGFQDIAVAMEKNYTLRFMPIPMYDASQALKTNPEKTEDALQKIENYLLRNHETRKYLQEQAYRLQQGIVTSTTQQMIDRICVKVQDHLNSLRNCGGDAIQEDLKSAERLMRDAKNSKTLLPNLYHVGGASWAGASGLLSSPIQETLESMAGEVTRVVDEQLKALLESMVDAAENLCPNVMKKAHIRQDLIHASTEKISIPRTFVKNVLLEQSGIDILNKISEVKLTVASFLSDRIVDEILDALSHCHHKLADHFSRRGKTLPQQESLEIELAEEKPVKRSIITVEELTEIERLEDLDTCMMTPKSKRKSIHSRMLRPVSRAFEMEFDLDKALEEVPIHIEDPPFPSLRQEKRSSGFISELPSEEGKKLEHFTKLRPKRNKKQQPTQAAVCAANIVSQDGEQNGLMGRVDEGVDEFFTKKVTKMDSKKWSTRGSESHELNEGGDEKKKRDSRKSSGFLNLIKSRSKSERPPTILMTEEPSSPKGAVRSPPVDCPRKDTKAAEHNGNSERIEEIKTPDSFEESQGEEIGKVERSDSKSSPQAGRRYGVQVMGSGLLAEMKAKQEKRAACAQKKLGNDAVSQDSSSPALSGVERSDGGGAVPKLHPGLPENRFGLGTPEKNTKAEPKAEAGSRSRSSSSTPTSPKPLLQSPKPSLAARPVIPQKPRTASRPDDIPDSPSSPKVALLPPVLKKVPSDKERDGQSSPQPSPRTFSQEVSRRSWGQQAQEYQEQKQRSSSKDGHQGSKSNDSGEEAEKEFIFV
+>DECOY_sp|Q5VZK9|CARL1_HUMAN F-actin-uncapping protein LRRC16A OS=Homo sapiens OX=9606 GN=CARMIL1 PE=1 SV=1
+VFIFEKEAEEGSDNSKSGQHGDKSSSRQKQEQYEQAQQGWSRRSVEQSFTRPSPQPSSQGDREKDSPVKKLVPPLLAVKPSSPSDPIDDPRSATRPKQPIVPRAALSPKPSQLLPKPSTPTSSSSRSRSGAEAKPEAKTNKEPTGLGFRNEPLGPHLKPVAGGGDSREVGSLAPSSSDQSVADNGLKKQACAARKEQKAKMEALLGSGMVQVGYRRGAQPSSKSDSREVKGIEEGQSEEFSDPTKIEEIRESNGNHEAAKTDKRPCDVPPSRVAGKPSSPEETMLITPPRESKSRSKILNLFGSSKRSDRKKKEDGGENLEHSESGRTSWKKSDMKTVKKTFFEDVGEDVRGMLGNQEGDQSVINAACVAAQTPQQKKNRKPRLKTFHELKKGEESPLESIFGSSRKEQRLSPFPPDEIHIPVEELAKDLDFEMEFARSVPRLMRSHISKRKSKPTMMCTDLDELREIETLEEVTIISRKVPKEEALEIELSEQQPLTKGRRSFHDALKHHCHSLADLIEDVIRDSLFSAVTLKVESIKNLIDIGSQELLVNKVFTRPISIKETSAHILDQRIHAKKMVNPCLNEAADVMSELLAKLQEDVVRTVEGAMSELTEQIPSSLLGSAGAWSAGGVHYLNPLLTKSNKADRMLREASKLDEQIADGGCNRLSNLHDQVKVCIRDIMQQTTSTVIGQQLRYAQEQLYKRTEHNRLLYNEIKQLADETKEPNTKLAQSADYMPIPMFRLTYNKEMAVAIDQFGQATINNKDWIVTRLKTNIQLAKALMKAGMDGMGNGSIDVKTLSTNSGLANIIITVETKLKSDALSLSQLPSEEDQIMQVLNDLVPTLNKSKMNNFNKGLALHQISRNKSLWVILTSLDSELGNDSIDLSTINHIEAICGELVQAGGSRLECNSLDLSVGKLNHNCALGLLLAKLPEPSLKTGSLNIHMLALSSSFFQKFSPPVEKGKRHSFVTRSLNLVALYQLCGRLLAGCVMDLSCETNSLDLHVIANPQALFNYMHSLDDGRLVNGSLDLHVLTSATLPNASLSQSLSNVGKPSLSTKSLNLHKLGKPLKAFQISLSSVGRDELPNGALNITHLGSNPNHALASALKQAFDTRLGANELVLEELRNSRSVVRLIQECVDTSLKLDKSSLKTFWQNYELAAIIPILDRHDLHSFDQLNLERTDQTLYITDVDWQVEERYSFGLWDCVCAYMQSFGGCPGQEAVTQSDWLAQLSALRESPEMSVKKMIRVPSLGPFIKRLCTGIHALVESVDEPSAMKMSISCKETEVIMQASKSCVVGHIELYSFTLELKTPIRATVLFARCSTLVLVKNEVKDGKVELKVKKKVSIKIKRGIVDKISEILERPVDSSEETM
+>sp|Q6F5E8|CARL2_HUMAN Capping protein, Arp2/3 and myosin-I linker protein 2 OS=Homo sapiens OX=9606 GN=CARMIL2 PE=1 SV=2
+MAQTPDGISCELRGEITRFLWPKEVELLLKTWLPGEGAVQNHVLALLRWRAYLLHTTCLPLRVDCTFSYLEVQAMALQETPPQVTFELESLRELVLEFPGVAALEQLAQHVAAAIKKVFPRSTLGKLFRRPTPASMLARLERSSPSESTDPCSPCGGFLETYEALCDYNGFPFREEIQWDVDTIYHRQGCRHFSLGDFSHLGSRDLALSVAALSYNLWFRCLSCVDMKLSLEVSEQILHMMSQSSHLEELVLETCSLRGDFVRRLAQALAGHSSSGLRELSLAGNLLDDRGMTALSRHLERCPGALRRLSLAQTGLTPRGMRALGRALATNAAFDSTLTHLDLSGNPGALGASEDSGGLYSFLSRPNVLSFLNLAGTDTALDTVRGCSVGGWMTGRADWRAGRGGLGPPAGVANSLPPQLFAAVSRGCCTSLTHLDASRNVFSRTKSRAAPAALQLFLSRARTLRHLGLAGCKLPPDALRALLDGLALNTHLRDLHLDLSACELRSAGAQVIQDLVCDAGAVSSLDLADNGFGSDMVTLVLAIGRSRSLRHVALGRNFNVRCKETLDDVLHRIVQLMQDDDCPLQSLSVAESRLKLGASVLLRALATNPNLTALDISGNAMGDAGAKLLAKALRVNSRLRSVVWDRNHTSALGLLDVAQALEQNHSLKAMPLPLNDVAQAQRSRPELTARAVHQIQACLLRNNRADPASSDHTTRLQPLGLVSDPSEQEVNELCQSVQEHVELLGCGAGPQGEAAVRQAEDAIQNANFSLSILPILYEAGSSPSHHWQLGQKLEGLLRQVGEVCRQDIQDFTQATLDTARSLCPQMLQGSSWREQLEGVLAGSRGLPELLPEQLLQDAFTRLRDMRLSITGTLAESIVAQALAGLSAARDQLVESLAQQATVTMPPALPAPDGGEPSLLEPGELEGLFFPEEKEEEKEKDDSPPQKWPELSHGLHLVPFIHSAAEEAEPEPELAAPGEDAEPQAGPSARGSPSPAAPGPPAGPLPRMDLPLAGQPLRHPTRARPRPRRQHHHRPPPGGPQVPPALPQEGNGLSARVDEGVEEFFSKRLIQQDRLWAPEEDPATEGGATPVPRTLRKKLGTLFAFKKPRSTRGPRTDLETSPGAAPRTRKTTFGDLLRPPTRPSRGEELGGAEGDTSSPDPAGRSRPRYTRDSKAYSMILLPAEEEATLGARPDKRRPLERGETELAPSFEQRVQVMLQRIGVSRGSGGAEGKRKQSKDGEIKKAGSDGDIMDSSTEAPPISIKSRTHSVSADPSCRPGPGSQGPESATWKTLGQQLNAELRSRGWGQQDGPGPPSPGQSPSPCRTSPSPDSLGLPEDPCLGPRNEDGQLRPRPLSAGRRAVSVHEDQLQAPAERPLRLQRSPVLKRRPKLEAPPSPSLGSGLGTEPLPPQPTEPSSPERSPPSPATDQRGGGPNP
+>DECOY_sp|Q6F5E8|CARL2_HUMAN Capping protein, Arp2/3 and myosin-I linker protein 2 OS=Homo sapiens OX=9606 GN=CARMIL2 PE=1 SV=2
+PNPGGGRQDTAPSPPSREPSSPETPQPPLPETGLGSGLSPSPPAELKPRRKLVPSRQLRLPREAPAQLQDEHVSVARRGASLPRPRLQGDENRPGLCPDEPLGLSDPSPSTRCPSPSQGPSPPGPGDQQGWGRSRLEANLQQGLTKWTASEPGQSGPGPRCSPDASVSHTRSKISIPPAETSSDMIDGDSGAKKIEGDKSQKRKGEAGGSGRSVGIRQLMVQVRQEFSPALETEGRELPRRKDPRAGLTAEEEAPLLIMSYAKSDRTYRPRSRGAPDPSSTDGEAGGLEEGRSPRTPPRLLDGFTTKRTRPAAGPSTELDTRPGRTSRPKKFAFLTGLKKRLTRPVPTAGGETAPDEEPAWLRDQQILRKSFFEEVGEDVRASLGNGEQPLAPPVQPGGPPPRHHHQRRPRPRARTPHRLPQGALPLDMRPLPGAPPGPAAPSPSGRASPGAQPEADEGPAALEPEPEAEEAASHIFPVLHLGHSLEPWKQPPSDDKEKEEEKEEPFFLGELEGPELLSPEGGDPAPLAPPMTVTAQQALSEVLQDRAASLGALAQAVISEALTGTISLRMDRLRTFADQLLQEPLLEPLGRSGALVGELQERWSSGQLMQPCLSRATDLTAQTFDQIDQRCVEGVQRLLGELKQGLQWHHSPSSGAEYLIPLISLSFNANQIADEAQRVAAEGQPGAGCGLLEVHEQVSQCLENVEQESPDSVLGLPQLRTTHDSSAPDARNNRLLCAQIQHVARATLEPRSRQAQAVDNLPLPMAKLSHNQELAQAVDLLGLASTHNRDWVVSRLRSNVRLAKALLKAGADGMANGSIDLATLNPNTALARLLVSAGLKLRSEAVSLSQLPCDDDQMLQVIRHLVDDLTEKCRVNFNRGLAVHRLSRSRGIALVLTVMDSGFGNDALDLSSVAGADCVLDQIVQAGASRLECASLDLHLDRLHTNLALGDLLARLADPPLKCGALGLHRLTRARSLFLQLAAPAARSKTRSFVNRSADLHTLSTCCGRSVAAFLQPPLSNAVGAPPGLGGRGARWDARGTMWGGVSCGRVTDLATDTGALNLFSLVNPRSLFSYLGGSDESAGLAGPNGSLDLHTLTSDFAANTALARGLARMGRPTLGTQALSLRRLAGPCRELHRSLATMGRDDLLNGALSLERLGSSSHGALAQALRRVFDGRLSCTELVLEELHSSQSMMHLIQESVELSLKMDVCSLCRFWLNYSLAAVSLALDRSGLHSFDGLSFHRCGQRHYITDVDWQIEERFPFGNYDCLAEYTELFGGCPSCPDTSESPSSRELRALMSAPTPRRFLKGLTSRPFVKKIAAAVHQALQELAAVGPFELVLERLSELEFTVQPPTEQLAMAQVELYSFTCDVRLPLCTTHLLYARWRLLALVHNQVAGEGPLWTKLLLEVEKPWLFRTIEGRLECSIGDPTQAM
+>sp|Q8N187|CARTF_HUMAN Calcium-responsive transcription factor OS=Homo sapiens OX=9606 GN=CARF PE=1 SV=2
+MEQSNDSLRVNHNDGEESKTSAQVFEHLICMDSRDSSFGQNDSPTVLPITTREANNSLISQNIPGPLTQTQTLSAEQFHLVDQNGQAIQYELQSLGESNAQMMIVASPTENGQVLRVIPPTQTGMAQVIIPQGQLVDVNSPRDVPEEKPSNRNLPTVRVDTLADNTSNYILHPQTSFPLPKKSVTGMLEEPLLGPLQPLSSNTPIWACRLRSCEKIGDSYRGYCVSETELESVLTFHKQQTQSVWGTRQSPSPAKPATRLMWKSQYVPYDGIPFVNAGSRAVVMECQYGPRRKGFQLKKVSEQESRSCQLYKATCPARIYIKKVQKFPEYRVPTDPKIDKKIIRMEQEKAFNMLKKNLVDAGGVLRWYVQLPTQQAHQYHELETPCLTLSPSPFPVSSLEEEETAVRDENCALPSRLHPQVAHKIQELVSQGIEQVYAVRKQLRKFVERELFKPDEVPERHNLSFFPTVNDIKNHIHEVQKSLRNGDTVYNSEIIPATLQWTTDSGNILKETMTVTFAEGNSPGESITTKVETNQTRGSLSPEPTHLLSSLSSFQPKIFTQLQGLQLQPRYTSPDESPAVVSVNNQPSSSPSGLLDTIGSAVMNNNSLLLGQSHSLQRDTCLTQNNSTASTMGNLPEPDQNLVAMDELVEVGDVEDTGNLEGTVHRILLGDVQTIPIQIIDNHSALIEENPESTISVSQVKQEPKEPALSMEAKKTVDYKKLSAT
+>DECOY_sp|Q8N187|CARTF_HUMAN Calcium-responsive transcription factor OS=Homo sapiens OX=9606 GN=CARF PE=1 SV=2
+TASLKKYDVTKKAEMSLAPEKPEQKVQSVSITSEPNEEILASHNDIIQIPITQVDGLLIRHVTGELNGTDEVDGVEVLEDMAVLNQDPEPLNGMTSATSNNQTLCTDRQLSHSQGLLLSNNNMVASGITDLLGSPSSSPQNNVSVVAPSEDPSTYRPQLQLGQLQTFIKPQFSSLSSLLHTPEPSLSGRTQNTEVKTTISEGPSNGEAFTVTMTEKLINGSDTTWQLTAPIIESNYVTDGNRLSKQVEHIHNKIDNVTPFFSLNHREPVEDPKFLEREVFKRLQKRVAYVQEIGQSVLEQIKHAVQPHLRSPLACNEDRVATEEEELSSVPFPSPSLTLCPTELEHYQHAQQTPLQVYWRLVGGADVLNKKLMNFAKEQEMRIIKKDIKPDTPVRYEPFKQVKKIYIRAPCTAKYLQCSRSEQESVKKLQFGKRRPGYQCEMVVARSGANVFPIGDYPVYQSKWMLRTAPKAPSPSQRTGWVSQTQQKHFTLVSELETESVCYGRYSDGIKECSRLRCAWIPTNSSLPQLPGLLPEELMGTVSKKPLPFSTQPHLIYNSTNDALTDVRVTPLNRNSPKEEPVDRPSNVDVLQGQPIIVQAMGTQTPPIVRLVQGNETPSAVIMMQANSEGLSQLEYQIAQGNQDVLHFQEASLTQTQTLPGPINQSILSNNAERTTIPLVTPSDNQGFSSDRSDMCILHEFVQASTKSEEGDNHNVRLSDNSQEM
+>sp|P42575|CASP2_HUMAN Caspase-2 OS=Homo sapiens OX=9606 GN=CASP2 PE=1 SV=2
+MAAPSAGSWSTFQHKELMAADRGRRILGVCGMHPHHQETLKKNRVVLAKQLLLSELLEHLLEKDIITLEMRELIQAKVGSFSQNVELLNLLPKRGPQAFDAFCEALRETKQGHLEDMLLTTLSGLQHVLPPLSCDYDLSLPFPVCESCPLYKKLRLSTDTVEHSLDNKDGPVCLQVKPCTPEFYQTHFQLAYRLQSRPRGLALVLSNVHFTGEKELEFRSGGDVDHSTLVTLFKLLGYDVHVLCDQTAQEMQEKLQNFAQLPAHRVTDSCIVALLSHGVEGAIYGVDGKLLQLQEVFQLFDNANCPSLQNKPKMFFIQACRGDETDRGVDQQDGKNHAGSPGCEESDAGKEKLPKMRLPTRSDMICGYACLKGTAAMRNTKRGSWYIEALAQVFSERACDMHVADMLVKVNALIKDREGYAPGTEFHRCKEMSEYCSTLCRHLYLFPGHPPT
+>DECOY_sp|P42575|CASP2_HUMAN Caspase-2 OS=Homo sapiens OX=9606 GN=CASP2 PE=1 SV=2
+TPPHGPFLYLHRCLTSCYESMEKCRHFETGPAYGERDKILANVKVLMDAVHMDCARESFVQALAEIYWSGRKTNRMAATGKLCAYGCIMDSRTPLRMKPLKEKGADSEECGPSGAHNKGDQQDVGRDTEDGRCAQIFFMKPKNQLSPCNANDFLQFVEQLQLLKGDVGYIAGEVGHSLLAVICSDTVRHAPLQAFNQLKEQMEQATQDCLVHVDYGLLKFLTVLTSHDVDGGSRFELEKEGTFHVNSLVLALGRPRSQLRYALQFHTQYFEPTCPKVQLCVPGDKNDLSHEVTDTSLRLKKYLPCSECVPFPLSLDYDCSLPPLVHQLGSLTTLLMDELHGQKTERLAECFADFAQPGRKPLLNLLEVNQSFSGVKAQILERMELTIIDKELLHELLESLLLQKALVVRNKKLTEQHHPHMGCVGLIRRGRDAAMLEKHQFTSWSGASPAAM
+>sp|P55210|CASP7_HUMAN Caspase-7 OS=Homo sapiens OX=9606 GN=CASP7 PE=1 SV=1
+MADDQGCIEEQGVEDSANEDSVDAKPDRSSFVPSLFSKKKKNVTMRSIKTTRDRVPTYQYNMNFEKLGKCIIINNKNFDKVTGMGVRNGTDKDAEALFKCFRSLGFDVIVYNDCSCAKMQDLLKKASEEDHTNAACFACILLSHGEENVIYGKDGVTPIKDLTAHFRGDRCKTLLEKPKLFFIQACRGTELDDGIQADSGPINDTDANPRYKIPVEADFLFAYSTVPGYYSWRSPGRGSWFVQALCSILEEHGKDLEIMQILTRVNDRVARHFESQSDDPHFHEKKQIPCVVSMLTKELYFSQ
+>DECOY_sp|P55210|CASP7_HUMAN Caspase-7 OS=Homo sapiens OX=9606 GN=CASP7 PE=1 SV=1
+QSFYLEKTLMSVVCPIQKKEHFHPDDSQSEFHRAVRDNVRTLIQMIELDKGHEELISCLAQVFWSGRGPSRWSYYGPVTSYAFLFDAEVPIKYRPNADTDNIPGSDAQIGDDLETGRCAQIFFLKPKELLTKCRDGRFHATLDKIPTVGDKGYIVNEEGHSLLICAFCAANTHDEESAKKLLDQMKACSCDNYVIVDFGLSRFCKFLAEADKDTGNRVGMGTVKDFNKNNIIICKGLKEFNMNYQYTPVRDRTTKISRMTVNKKKKSFLSPVFSSRDPKADVSDENASDEVGQEEICGQDDAM
+>sp|Q6NZI2|CAVN1_HUMAN Caveolae-associated protein 1 OS=Homo sapiens OX=9606 GN=CAVIN1 PE=1 SV=1
+MEDPTLYIVERPLPGYPDAEAPEPSSAGAQAAEEPSGAGSEELIKSDQVNGVLVLSLLDKIIGAVDQIQLTQAQLEERQAEMEGAVQSIQGELSKLGKAHATTSNTVSKLLEKVRKVSVNVKTVRGSLERQAGQIKKLEVNEAELLRRRNFKVMIYQDEVKLPAKLSISKSLKESEALPEKEGEELGEGERPEEDAAALELSSDEAVEVEEVIEESRAERIKRSGLRRVDDFKKAFSKEKMEKTKVRTRENLEKTRLKTKENLEKTRHTLEKRMNKLGTRLVPAERREKLKTSRDKLRKSFTPDHVVYARSKTAVYKVPPFTFHVKKIREGQVEVLKATEMVEVGADDDEGGAERGEAGDLRRGSSPDVHALLEITEESDAVLVDKSDSD
+>DECOY_sp|Q6NZI2|CAVN1_HUMAN Caveolae-associated protein 1 OS=Homo sapiens OX=9606 GN=CAVIN1 PE=1 SV=1
+DSDSKDVLVADSEETIELLAHVDPSSGRRLDGAEGREAGGEDDDAGVEVMETAKLVEVQGERIKKVHFTFPPVKYVATKSRAYVVHDPTFSKRLKDRSTKLKERREAPVLRTGLKNMRKELTHRTKELNEKTKLRTKELNERTRVKTKEMKEKSFAKKFDDVRRLGSRKIREARSEEIVEEVEVAEDSSLELAAADEEPREGEGLEEGEKEPLAESEKLSKSISLKAPLKVEDQYIMVKFNRRRLLEAENVELKKIQGAQRELSGRVTKVNVSVKRVKELLKSVTNSTTAHAKGLKSLEGQISQVAGEMEAQREELQAQTLQIQDVAGIIKDLLSLVLVGNVQDSKILEESGAGSPEEAAQAGASSPEPAEADPYGPLPREVIYLTPDEM
+>sp|Q5BKX8|CAVN4_HUMAN Caveolae-associated protein 4 OS=Homo sapiens OX=9606 GN=CAVIN4 PE=1 SV=2
+MEHNGSASNADKIHQNRLSSVTEDEDQDAALTIVTVLDKVASIVDSVQASQKRIEERHREMENAIKSVQIDLLKLSQSHSNTGHIINKLFEKTRKVSAHIKDVKARVEKQQIHVKKVEVKQEEIMKKNKFRVVIFQEKFRCPTSLSVVKDRNLTENQEEDDDDIFDPPVDLSSDEEYYVEESRSARLRKSGKEHIDNIKKAFSKENMQKTRQNLDKKVNRIRTRIVTPERRERLRQSGERLRQSGERLRQSGERFKKSISNAAPSKEAFKMRSLRKGKDRTVAEGEECAREMGVDIIARSESLGPISELYSDELSEPEHEAARPVYPPHEGREIPTPEPLKVTFKSQVKVEDDESLLLDLKHSS
+>DECOY_sp|Q5BKX8|CAVN4_HUMAN Caveolae-associated protein 4 OS=Homo sapiens OX=9606 GN=CAVIN4 PE=1 SV=2
+SSHKLDLLLSEDDEVKVQSKFTVKLPEPTPIERGEHPPYVPRAAEHEPESLEDSYLESIPGLSESRAIIDVGMERACEEGEAVTRDKGKRLSRMKFAEKSPAANSISKKFREGSQRLREGSQRLREGSQRLRERREPTVIRTRIRNVKKDLNQRTKQMNEKSFAKKINDIHEKGSKRLRASRSEEVYYEEDSSLDVPPDFIDDDDEEQNETLNRDKVVSLSTPCRFKEQFIVVRFKNKKMIEEQKVEVKKVHIQQKEVRAKVDKIHASVKRTKEFLKNIIHGTNSHSQSLKLLDIQVSKIANEMERHREEIRKQSAQVSDVISAVKDLVTVITLAADQDEDETVSSLRNQHIKDANSASGNHEM
+>sp|Q08AI8|CB054_HUMAN Uncharacterized protein C2orf54 OS=Homo sapiens OX=9606 GN=C2orf54 PE=2 SV=2
+MPAPALPTSAMAVQVPLWHHYLQAIRSREAPRAQDFQRAENVLLTVLERVHALDPRFIVDYSRGLEAFQFALRSSEDPMDMEVPLWVDAEALLIEEPEATQPEDGLELCHLGVPREGAGLERWTTEDTFTASSEGDAKCRGHIVPSKVLCVLKDLLVAAIVHCKHHSLIAPGSLNAASLREEQLHLSLLVSSGWRTISFHVVPVVRRKLGAPALEGVQQMPGFPEGSLRRILSQGVDLVPASAQLWRTSTDYLLTRLLGELGSLQGHRLDSLSILDRVNHESWRDSGQTDGLTFGHLKMVLLWASVLFLAPEDWAELQGAVYRLLVVLLCCLATRKLPHFLHPQRNLLQGSGLDLGAIYQRVEGFASQPEAALRIHATHLGRSPPPRIGSGLKALLQLPASDPTYWATAYFDVLLDKFQVFNIQDKDRISAMQSIFQKTRTLGGEES
+>DECOY_sp|Q08AI8|CB054_HUMAN Uncharacterized protein C2orf54 OS=Homo sapiens OX=9606 GN=C2orf54 PE=2 SV=2
+SEEGGLTRTKQFISQMASIRDKDQINFVQFKDLLVDFYATAWYTPDSAPLQLLAKLGSGIRPPPSRGLHTAHIRLAAEPQSAFGEVRQYIAGLDLGSGQLLNRQPHLFHPLKRTALCCLLVVLLRYVAGQLEAWDEPALFLVSAWLLVMKLHGFTLGDTQGSDRWSEHNVRDLISLSDLRHGQLSGLEGLLRTLLYDTSTRWLQASAPVLDVGQSLIRRLSGEPFGPMQQVGELAPAGLKRRVVPVVHFSITRWGSSVLLSLHLQEERLSAANLSGPAILSHHKCHVIAAVLLDKLVCLVKSPVIHGRCKADGESSATFTDETTWRELGAGERPVGLHCLELGDEPQTAEPEEILLAEADVWLPVEMDMPDESSRLAFQFAELGRSYDVIFRPDLAHVRELVTLLVNEARQFDQARPAERSRIAQLYHHWLPVQVAMASTPLAPAPM
+>sp|A6NCS6|CB072_HUMAN Uncharacterized protein C2orf72 OS=Homo sapiens OX=9606 GN=C2orf72 PE=1 SV=2
+MERELEALAARLARPAEPPFQALVEAAGGRGQVLLVGELWEREQSRALLRDFARAVFPPEPGAAKPGGAAAEGAGPGAARGAQRAARAAGAAGAAAAAARAIRSPLVFVLCRASSLAAREPRRRLREMLRDVRGRRRAGAALVGVLVAEAGPEDAVAPGLRLLEALLRAVFGRQAGGPVQAAAYCPGLPASCLAVQAAACRALQAAGAGQPVEGAWERPGLPGLLACFSWGPWSRRKNQDVAACRSSAQEDFQEPEEELPLTAIFPNGDCDDLGRGSKACDGVVHTPAEPTGDSR
+>DECOY_sp|A6NCS6|CB072_HUMAN Uncharacterized protein C2orf72 OS=Homo sapiens OX=9606 GN=C2orf72 PE=1 SV=2
+RSDGTPEAPTHVVGDCAKSGRGLDDCDGNPFIATLPLEEEPEQFDEQASSRCAAVDQNKRRSWPGWSFCALLGPLGPREWAGEVPQGAGAAQLARCAAAQVALCSAPLGPCYAAAQVPGGAQRGFVARLLAELLRLGPAVADEPGAEAVLVGVLAAGARRRGRVDRLMERLRRRPERAALSSARCLVFVLPSRIARAAAAAAGAAGAARAARQAGRAAGPGAGEAAAGGPKAAGPEPPFVARAFDRLLARSQEREWLEGVLLVQGRGGAAEVLAQFPPEAPRALRAALAELEREM
+>sp|A8MZ97|CB074_HUMAN Uncharacterized protein C2orf74 OS=Homo sapiens OX=9606 GN=C2orf74 PE=4 SV=3
+MSLLAKPMSFETTAITFFIILLICLICILLLLVVFLYKCFQGRKGKETKKVPCTDANGGVDCAAAKVVTSNPEDHERILMQVMNLNVPMRPGILVQRQSKEVLATPLENRRDMEAEEENQINEKQEPENAGETGQEEDDGLQKIHTSVTRTPSVVESQKRPLKGVTFSREVIVVDLGNEYPTPRSYTREHKERK
+>DECOY_sp|A8MZ97|CB074_HUMAN Uncharacterized protein C2orf74 OS=Homo sapiens OX=9606 GN=C2orf74 PE=4 SV=3
+KREKHERTYSRPTPYENGLDVVIVERSFTVGKLPRKQSEVVSPTRTVSTHIKQLGDDEEQGTEGANEPEQKENIQNEEEAEMDRRNELPTALVEKSQRQVLIGPRMPVNLNMVQMLIREHDEPNSTVVKAAACDVGGNADTCPVKKTEKGKRGQFCKYLFVVLLLLICILCILLIIFFTIATTEFSMPKALLSM
+>sp|Q8IVU9|CBCO1_HUMAN Ciliary-associated calcium-binding coiled-coil protein 1 OS=Homo sapiens OX=9606 GN=CABCOCO1 PE=2 SV=1
+MDFSIIQYSKFMTLLAMSLQNLKTLHMSLEESIKWLGEVMAEIGPTHSQKSEDWNIFDVKQANAIIDYLKISLFQHYKLYEFMFYSAREEIVIGTEQVIEVVKSACGPFPNPLEEGISFDIYSTFIEPPTILDTEMKRLDQEQGPEESQPETDTSDMDPLVGFTIEDVKSVLDQVTDDILIGIQTEINEKLQIQEEAFNARIEKLKKA
+>DECOY_sp|Q8IVU9|CBCO1_HUMAN Ciliary-associated calcium-binding coiled-coil protein 1 OS=Homo sapiens OX=9606 GN=CABCOCO1 PE=2 SV=1
+AKKLKEIRANFAEEQIQLKENIETQIGILIDDTVQDLVSKVDEITFGVLPDMDSTDTEPQSEEPGQEQDLRKMETDLITPPEIFTSYIDFSIGEELPNPFPGCASKVVEIVQETGIVIEERASYFMFEYLKYHQFLSIKLYDIIANAQKVDFINWDESKQSHTPGIEAMVEGLWKISEELSMHLTKLNQLSMALLTMFKSYQIISFDM
+>sp|Q5U5Z8|CBPC2_HUMAN Cytosolic carboxypeptidase 2 OS=Homo sapiens OX=9606 GN=AGBL2 PE=1 SV=2
+MFPALETHLKQTIPDPYEDFMYRHLQYYGYFKAQRGSLPNSATHQHVRKNNPQCLLNGSLGEKDDLIPDTLQKEKLLWPISLSSAVHRQIEAINRDFHSCLGWMQWRGLSSLQPPPPRFKDSPASAFRVAGITDSHMLSLPHLRSRQLLYDELDEVNPRLREPQELFSILSTKRPLQAPRWPIECEVIKENIHHIEWAPPQPEYFYQPKGNEKVPEIVGEKKGTVVYQLDSVPIEGSYFTSSRVGGKRGIVKELAVTLQGPEDNTLLFESRFESGNLQKAVRVDTYEYELTLRTDLYTNKHTQWFYFRVQNTRKDATYRFTIVNLLKPKSLYTVGMKPLLYSQLDANTRNIGWRREGNEIKYYKNNTDDGQQPFYCLTWTIQFPYDQDTCFFAHFYPYTYTDLQCYLLSVANNPIQSQFCKLQTLCRSLAGNTVYLLTITNPSQTPQEAAAKKAVVLSARVHPGESNGSWVMKGFLDFILSNSPDAQLLRDIFVFKVLPMLNPDGVIVGNYRCSLAGRDLNRHYKTILKESFPCIWYTRNMIKRLLEEREVLLYCDFHGHSRKNNIFLYGCNNNNRKYWLHERVFPLMLCKNAPDKFSFHSCNFKVQKCKEGTGRVVMWRMGILNSYTMESTFGGSTLGNKRDTHFTIEDLKSLGYHVCDTLLDFCDPDQMKFTQCLAELKELLRQEIHKKFHELGQDVDLEGSWSDISLSDIESSTSGSDSSLSDGLPVHLANIADELTQKKKMFKKKKKKSLQTRKQRNEQYQKKNLMQKLKLTEDTSEKAGFASTLQKQPTFFKNSENSSFLPMKNENPRLNETNLNRRDKDTPLDPSMATLILPKNKGRMQNKKPGFTVSCSPKRTINSSQEPAPGMKPNWPRSRYPATKRGCAAMAAYPSLHIYTYP
+>DECOY_sp|Q5U5Z8|CBPC2_HUMAN Cytosolic carboxypeptidase 2 OS=Homo sapiens OX=9606 GN=AGBL2 PE=1 SV=2
+PYTYIHLSPYAAMAACGRKTAPYRSRPWNPKMGPAPEQSSNITRKPSCSVTFGPKKNQMRGKNKPLILTAMSPDLPTDKDRRNLNTENLRPNENKMPLFSSNESNKFFTPQKQLTSAFGAKESTDETLKLKQMLNKKQYQENRQKRTQLSKKKKKKFMKKKQTLEDAINALHVPLGDSLSSDSGSTSSEIDSLSIDSWSGELDVDQGLEHFKKHIEQRLLEKLEALCQTFKMQDPDCFDLLTDCVHYGLSKLDEITFHTDRKNGLTSGGFTSEMTYSNLIGMRWMVVRGTGEKCKQVKFNCSHFSFKDPANKCLMLPFVREHLWYKRNNNNCGYLFINNKRSHGHFDCYLLVEREELLRKIMNRTYWICPFSEKLITKYHRNLDRGALSCRYNGVIVGDPNLMPLVKFVFIDRLLQADPSNSLIFDLFGKMVWSGNSEGPHVRASLVVAKKAAAEQPTQSPNTITLLYVTNGALSRCLTQLKCFQSQIPNNAVSLLYCQLDTYTYPYFHAFFCTDQDYPFQITWTLCYFPQQGDDTNNKYYKIENGERRWGINRTNADLQSYLLPKMGVTYLSKPKLLNVITFRYTADKRTNQVRFYFWQTHKNTYLDTRLTLEYEYTDVRVAKQLNGSEFRSEFLLTNDEPGQLTVALEKVIGRKGGVRSSTFYSGEIPVSDLQYVVTGKKEGVIEPVKENGKPQYFYEPQPPAWEIHHINEKIVECEIPWRPAQLPRKTSLISFLEQPERLRPNVEDLEDYLLQRSRLHPLSLMHSDTIGAVRFASAPSDKFRPPPPQLSSLGRWQMWGLCSHFDRNIAEIQRHVASSLSIPWLLKEKQLTDPILDDKEGLSGNLLCQPNNKRVHQHTASNPLSGRQAKFYGYYQLHRYMFDEYPDPITQKLHTELAPFM
+>sp|P14384|CBPM_HUMAN Carboxypeptidase M OS=Homo sapiens OX=9606 GN=CPM PE=1 SV=2
+MDFPCLWLGLLLPLVAALDFNYHRQEGMEAFLKTVAQNYSSVTHLHSIGKSVKGRNLWVLVVGRFPKEHRIGIPEFKYVANMHGDETVGRELLLHLIDYLVTSDGKDPEITNLINSTRIHIMPSMNPDGFEAVKKPDCYYSIGRENYNQYDLNRNFPDAFEYNNVSRQPETVAVMKWLKTETFVLSANLHGGALVASYPFDNGVQATGALYSRSLTPDDDVFQYLAHTYASRNPNMKKGDECKNKMNFPNGVTNGYSWYPLQGGMQDYNYIWAQCFEITLELSCCKYPREEKLPSFWNNNKASLIEYIKQVHLGVKGQVFDQNGNPLPNVIVEVQDRKHICPYRTNKYGEYYLLLLPGSYIINVTVPGHDPHITKVIIPEKSQNFSALKKDILLPFQGQLDSIPVSNPSCPMIPLYRNLPDHSAATKPSLFLFLVSLLHIFFK
+>DECOY_sp|P14384|CBPM_HUMAN Carboxypeptidase M OS=Homo sapiens OX=9606 GN=CPM PE=1 SV=2
+KFFIHLLSVLFLFLSPKTAASHDPLNRYLPIMPCSPNSVPISDLQGQFPLLIDKKLASFNQSKEPIIVKTIHPDHGPVTVNIIYSGPLLLLYYEGYKNTRYPCIHKRDQVEVIVNPLPNGNQDFVQGKVGLHVQKIYEILSAKNNNWFSPLKEERPYKCCSLELTIEFCQAWIYNYDQMGGQLPYWSYGNTVGNPFNMKNKCEDGKKMNPNRSAYTHALYQFVDDDPTLSRSYLAGTAQVGNDFPYSAVLAGGHLNASLVFTETKLWKMVAVTEPQRSVNNYEFADPFNRNLDYQNYNERGISYYCDPKKVAEFGDPNMSPMIHIRTSNILNTIEPDKGDSTVLYDILHLLLERGVTEDGHMNAVYKFEPIGIRHEKPFRGVVLVWLNRGKVSKGISHLHTVSSYNQAVTKLFAEMGEQRHYNFDLAAVLPLLLGLWLCPFDM
+>sp|P15169|CBPN_HUMAN Carboxypeptidase N catalytic chain OS=Homo sapiens OX=9606 GN=CPN1 PE=1 SV=1
+MSDLLSVFLHLLLLFKLVAPVTFRHHRYDDLVRTLYKVQNECPGITRVYSIGRSVEGRHLYVLEFSDHPGIHEPLEPEVKYVGNMHGNEALGRELMLQLSEFLCEEFRNRNQRIVQLIQDTRIHILPSMNPDGYEVAAAQGPNKPGYLVGRNNANGVDLNRNFPDLNTYIYYNEKYGGPNHHLPLPDNWKSQVEPETRAVIRWMHSFNFVLSANLHGGAVVANYPYDKSFEHRVRGVRRTASTPTPDDKLFQKLAKVYSYAHGWMFQGWNCGDYFPDGITNGASWYSLSKGMQDFNYLHTNCFEITLELSCDKFPPEEELQREWLGNREALIQFLEQVHQGIKGMVLDENYNNLANAVISVSGINHDVTSGDHGDYFRLLLPGIYTVSATAPGYDPETVTVTVGPAEPTLVNFHLKRSIPQVSPVRRAPSRRHGVRAKVQPQARKKEMEMRQLQRGPA
+>DECOY_sp|P15169|CBPN_HUMAN Carboxypeptidase N catalytic chain OS=Homo sapiens OX=9606 GN=CPN1 PE=1 SV=1
+APGRQLQRMEMEKKRAQPQVKARVGHRRSPARRVPSVQPISRKLHFNVLTPEAPGVTVTVTEPDYGPATASVTYIGPLLLRFYDGHDGSTVDHNIGSVSIVANALNNYNEDLVMGKIGQHVQELFQILAERNGLWERQLEEEPPFKDCSLELTIEFCNTHLYNFDQMGKSLSYWSAGNTIGDPFYDGCNWGQFMWGHAYSYVKALKQFLKDDPTPTSATRRVGRVRHEFSKDYPYNAVVAGGHLNASLVFNFSHMWRIVARTEPEVQSKWNDPLPLHHNPGGYKENYYIYTNLDPFNRNLDVGNANNRGVLYGPKNPGQAAAVEYGDPNMSPLIHIRTDQILQVIRQNRNRFEECLFESLQLMLERGLAENGHMNGVYKVEPELPEHIGPHDSFELVYLHRGEVSRGISYVRTIGPCENQVKYLTRVLDDYRHHRFTVPAVLKFLLLLHLFVSLLDSM
+>sp|Q6ZVT6|CC067_HUMAN Uncharacterized protein C3orf67 OS=Homo sapiens OX=9606 GN=C3orf67 PE=2 SV=2
+MIKRKIWCNLCIDLVAFTSEIFKGAVFQSLDGIVVSANCKLRKIFTLKSKPQDTADKDAVYGVPFSTDEPTDIIPRSCQLMTDVPHVTQLLNMTKLRQTEIKFGGHPLRSAESDQFINRGTSITRNSKNQDVCHIAFGSKVLGPPPLSGRRNNMKISSETVRSVGSKNNRSCQPSTVEKCVNGTEMSALLIPESEEQGNKENIHQIKQTVPIHAANLHIMHPHPPQEPSADKNNNRRRLRLKSTSRERTETPSGSSSGNNRIEDKASTILTTVSQQGAELLNSGTLGPQSPDQSDEWIFPENADHISYLASSRQSLLLGDDSCNPSHLWLEASKESEHDQQAEESQSVPKDIFTFSSRPRSAPHGKTQTMSPEELSFILDLKEDNSVTSRDTQSEDDFYGGDSSEEGNHSIQGSRGPTTGPSELTQLTLESLLGKAAKRTSKEYLRSAYTEAGATESQDSSMEQIDRNNFEMSLLPTTCLSPTGRRCGSCQKTPEPVIKAKDLSAQQVPASLNKTSLKEISGERLSSIPEASEYDWRNYQPSQMSESELQMLASLRWQQNEELEDAGTSHGLSASQVDNCNVSISTSSDDTTTWNSCLPPPVNQGRHYQKEMNPPSPSNPRDWLNMLSPPIVPPSQQPAEQRPDSCESLSVQGEEDLSVEEDEEVLTLLYDPCLNCYFDPQTGKYYELV
+>DECOY_sp|Q6ZVT6|CC067_HUMAN Uncharacterized protein C3orf67 OS=Homo sapiens OX=9606 GN=C3orf67 PE=2 SV=2
+VLEYYKGTQPDFYCNLCPDYLLTLVEEDEEVSLDEEGQVSLSECSDPRQEAPQQSPPVIPPSLMNLWDRPNSPSPPNMEKQYHRGQNVPPPLCSNWTTTDDSSTSISVNCNDVQSASLGHSTGADELEENQQWRLSALMQLESESMQSPQYNRWDYESAEPISSLREGSIEKLSTKNLSAPVQQASLDKAKIVPEPTKQCSGCRRGTPSLCTTPLLSMEFNNRDIQEMSSDQSETAGAETYASRLYEKSTRKAAKGLLSELTLQTLESPGTTPGRSGQISHNGEESSDGGYFDDESQTDRSTVSNDEKLDLIFSLEEPSMTQTKGHPASRPRSSFTFIDKPVSQSEEAQQDHESEKSAELWLHSPNCSDDGLLLSQRSSALYSIHDANEPFIWEDSQDPSQPGLTGSNLLEAGQQSVTTLITSAKDEIRNNGSSSGSPTETRERSTSKLRLRRRNNNKDASPEQPPHPHMIHLNAAHIPVTQKIQHINEKNGQEESEPILLASMETGNVCKEVTSPQCSRNNKSGVSRVTESSIKMNNRRGSLPPPGLVKSGFAIHCVDQNKSNRTISTGRNIFQDSEASRLPHGGFKIETQRLKTMNLLQTVHPVDTMLQCSRPIIDTPEDTSFPVGYVADKDATDQPKSKLTFIKRLKCNASVVIGDLSQFVAGKFIESTFAVLDICLNCWIKRKIM
+>sp|H3BNL1|CC084_HUMAN Uncharacterized protein C3orf84 OS=Homo sapiens OX=9606 GN=C3orf84 PE=2 SV=1
+MQSALVGSWHNNGFYGHYRSQFKSESAREYHLAAKPQPPAVFLQRCQEPAQRHFFSKHDNRTSFDKGPYCLLQGIGRRKDLERLWQRHTFLRWAPCEIELRQQGPLESSYQADFRPGPGLSGLPQHLIHFVQVQPSHTRTTYQQNFCCPSQGGHYGSYKVGPQAPVTDVLPDLPGIPRPKLLQHYLHAGVSECLNWSRALNKDS
+>DECOY_sp|H3BNL1|CC084_HUMAN Uncharacterized protein C3orf84 OS=Homo sapiens OX=9606 GN=C3orf84 PE=2 SV=1
+SDKNLARSWNLCESVGAHLYHQLLKPRPIGPLDPLVDTVPAQPGVKYSGYHGGQSPCCFNQQYTTRTHSPQVQVFHILHQPLGSLGPGPRFDAQYSSELPGQQRLEIECPAWRLFTHRQWLRELDKRRGIGQLLCYPGKDFSTRNDHKSFFHRQAPEQCRQLFVAPPQPKAALHYERASESKFQSRYHGYFGNNHWSGVLASQM
+>sp|Q96BQ5|CC127_HUMAN Coiled-coil domain-containing protein 127 OS=Homo sapiens OX=9606 GN=CCDC127 PE=1 SV=1
+MNNLNDPPNWNIRPNSRADGGDGSRWNYALLVPMLGLAAFRWIWSRESQKEVEKEREAYRRRTAAFQQDLEAKYHAMISENRRAVAQLSLELEKEQNRTASYREALISQGRKLVEEKKLLEQERAQVMQEKRQVQPLRSAYLSCLQREENWQRRARLLLKEFEAVLTERQNIYCSLFLPRSKRLEIEKSLLVRASVDPVAADLEMAAGLTDIFQHDTYCGDVWNTNKRQNGRLMWLYLKYWELVVELKKFKRVEEAILEK
+>DECOY_sp|Q96BQ5|CC127_HUMAN Coiled-coil domain-containing protein 127 OS=Homo sapiens OX=9606 GN=CCDC127 PE=1 SV=1
+KELIAEEVRKFKKLEVVLEWYKLYLWMLRGNQRKNTNWVDGCYTDHQFIDTLGAAMELDAAVPDVSARVLLSKEIELRKSRPLFLSCYINQRETLVAEFEKLLLRARRQWNEERQLCSLYASRLPQVQRKEQMVQAREQELLKKEEVLKRGQSILAERYSATRNQEKELELSLQAVARRNESIMAHYKAELDQQFAATRRRYAEREKEVEKQSERSWIWRFAALGLMPVLLAYNWRSGDGGDARSNPRINWNPPDNLNNM
+>sp|Q9H6E4|CC134_HUMAN Coiled-coil domain-containing protein 134 OS=Homo sapiens OX=9606 GN=CCDC134 PE=1 SV=1
+MDLLQFLAFLFVLLLSGMGATGTLRTSLDPSLEIYKKMFEVKRREQLLALKNLAQLNDIHQQYKILDVMLKGLFKVLEDSRTVLTAADVLPDGPFPQDEKLKDAFSHVVENTAFFGDVVLRFPRIVHYYFDHNSNWNLLIRWGISFCNQTGVFNQGPHSPILSLMAQELGISEKDSNFQNPFKIDRTEFIPSTDPFQKALREEEKRRKKEEKRKEIRKGPRISRSQSEL
+>DECOY_sp|Q9H6E4|CC134_HUMAN Coiled-coil domain-containing protein 134 OS=Homo sapiens OX=9606 GN=CCDC134 PE=1 SV=1
+LESQSRSIRPGKRIEKRKEEKKRRKEEERLAKQFPDTSPIFETRDIKFPNQFNSDKESIGLEQAMLSLIPSHPGQNFVGTQNCFSIGWRILLNWNSNHDFYYHVIRPFRLVVDGFFATNEVVHSFADKLKEDQPFPGDPLVDAATLVTRSDELVKFLGKLMVDLIKYQQHIDNLQALNKLALLQERRKVEFMKKYIELSPDLSTRLTGTAGMGSLLLVFLFALFQLLDM
+>sp|Q96JN2|CC136_HUMAN Coiled-coil domain-containing protein 136 OS=Homo sapiens OX=9606 GN=CCDC136 PE=1 SV=3
+MQAMEGEVLLPALYEEEEEEEEEEEEVEEEEEQVQKGGSVGSLSVNKHRGLSLTETELEELRAQVLQLVAELEETRELAGQHEDDSLELQGLLEDERLASAQQAEVFTKQIQQLQGELRSLREEISLLEHEKESELKEIEQELHLAQAEIQSLRQAAEDSATEHESDIASLQEDLCRMQNELEDMERIRGDYEMEIASLRAEMEMKSSEPSGSLGLSDYSGLQEELQELRERYHFLNEEYRALQESNSSLTGQLADLESERTQRATERWLQSQTLSMTSAESQTSEMDFLEPDPEMQLLRQQLRDAEEQMHGMKNKCQELCCELEELQHHRQVSEEEQRRLQRELKCAQNEVLRFQTSHSVTQNEELKSRLCTLQKKYDTSQDEQNELLKMQLQLQTELRQLKVMKSTLVENQSEKELLCRLQKLHLQHQNVTCEKEKLLERQQQLQEELQCHEAELQHLRDTVASFKESNEKDTETHAQLQEMKQLYQASKDELERQKHMYDQLEQDLLLCQLELKELKASHPIPEDKGKCANKCDTLLSRLTELQEKYKASQKEMGQLQMEQCELLEDQRRMQEEQGQLQEELHRLTLPLPKSGLLLKSQELLTKLEDLCELQLLYQGMQEEQKKLIQNQDCVLKEQLEIHEELRRFKESHFQEVLENPDDSKLAKSSKCNRNKQSKLLMEQMQALQVMYDAGQAKQELLQQEQGRLLEERKRLQADLQLCLEEMQLLQVQSPSIKMSLESYGKSYGSMVPSNENCRKTYDTTVDDNESYYKSYTSTQTSSKSFLKSYDSSTSASEAYGKSYCTTSNSSITYKKSYGSTSSSDTCQKSFVSSCTDEEPAEPEDMERFEEMVVKVLIKLQAVQAMYQISQEEHSQLQEQMEKLLAKQKDLKEELDACEREFKECMECLEKPMAPQNDKNEIKELQTKLRELQLQYQASMDEQGRLLVVQEQLEGQLQCCQEELRQLREKRPSVVKEARGKNANKNMNKNANGVKMKKVTKPCSDTSESDLETRKSLEVVLYYKASQRKLDGLAKEEEKKEEMEEEKKQVKEEAKEQCGDELVAEPADPEEAKSTEDQEENEEDKEEEEKEEDSEEEEDDADSSLESPEENNPLRLSESKKSSPTPNPPIFSLPLVGLVVISALLWCWWAETSS
+>DECOY_sp|Q96JN2|CC136_HUMAN Coiled-coil domain-containing protein 136 OS=Homo sapiens OX=9606 GN=CCDC136 PE=1 SV=3
+SSTEAWWCWLLASIVVLGVLPLSFIPPNPTPSSKKSESLRLPNNEEPSELSSDADDEEEESDEEKEEEEKDEENEEQDETSKAEEPDAPEAVLEDGCQEKAEEKVQKKEEEMEEKKEEEKALGDLKRQSAKYYLVVELSKRTELDSESTDSCPKTVKKMKVGNANKNMNKNANKGRAEKVVSPRKERLQRLEEQCCQLQGELQEQVVLLRGQEDMSAQYQLQLERLKTQLEKIENKDNQPAMPKELCEMCEKFERECADLEEKLDKQKALLKEMQEQLQSHEEQSIQYMAQVAQLKILVKVVMEEFREMDEPEAPEEDTCSSVFSKQCTDSSSTSGYSKKYTISSNSTTCYSKGYAESASTSSDYSKLFSKSSTQTSTYSKYYSENDDVTTDYTKRCNENSPVMSGYSKGYSELSMKISPSQVQLLQMEELCLQLDAQLRKREELLRGQEQQLLEQKAQGADYMVQLAQMQEMLLKSQKNRNCKSSKALKSDDPNELVEQFHSEKFRRLEEHIELQEKLVCDQNQILKKQEEQMGQYLLQLECLDELKTLLEQSKLLLGSKPLPLTLRHLEEQLQGQEEQMRRQDELLECQEMQLQGMEKQSAKYKEQLETLRSLLTDCKNACKGKDEPIPHSAKLEKLELQCLLLDQELQDYMHKQRELEDKSAQYLQKMEQLQAHTETDKENSEKFSAVTDRLHQLEAEHCQLEEQLQQQRELLKEKECTVNQHQLHLKQLRCLLEKESQNEVLTSKMVKLQRLETQLQLQMKLLENQEDQSTDYKKQLTCLRSKLEENQTVSHSTQFRLVENQACKLERQLRRQEEESVQRHHQLEELECCLEQCKNKMGHMQEEADRLQQRLLQMEPDPELFDMESTQSEASTMSLTQSQLWRETARQTRESELDALQGTLSSNSEQLARYEENLFHYRERLEQLEEQLGSYDSLGLSGSPESSKMEMEARLSAIEMEYDGRIREMDELENQMRCLDEQLSAIDSEHETASDEAAQRLSQIEAQALHLEQEIEKLESEKEHELLSIEERLSRLEGQLQQIQKTFVEAQQASALREDELLGQLELSDDEHQGALERTEELEAVLQLVQARLEELETETLSLGRHKNVSLSGVSGGKQVQEEEEEVEEEEEEEEEEEEYLAPLLVEGEMAQM
+>sp|A0A1B0GTZ2|CC196_HUMAN Putative coiled-coil domain-containing protein 196 OS=Homo sapiens OX=9606 GN=CCDC196 PE=5 SV=1
+MTSGANSSGSYLPSEIRSSKIDDNYLKELNEDLKLRKQELLEMLKPLEDKNNLLFQKLMSNLEEKQRSLQIMRQIMAGKGCEESSVMELLKEAEEMKQNLERKNKMLRKEMEMLWNKTFEAEELSDQQKAPQTKNKADLQDGKAPKSPSSPRKTESELEKSFAEKVKEIRKEKQQRKMEWVKYQEQNNILQNDFHGKVIELRIEALKNYQKANDLKLSLYLQQNFEPMQAFLNLPGSQGTMGITTMDRVTTGRNEHHVRILGTKIYTEQQGTKGSQLDNTGGRLFFLRSLPDEALKN
+>DECOY_sp|A0A1B0GTZ2|CC196_HUMAN Putative coiled-coil domain-containing protein 196 OS=Homo sapiens OX=9606 GN=CCDC196 PE=5 SV=1
+NKLAEDPLSRLFFLRGGTNDLQSGKTGQQETYIKTGLIRVHHENRGTTVRDMTTIGMTGQSGPLNLFAQMPEFNQQLYLSLKLDNAKQYNKLAEIRLEIVKGHFDNQLINNQEQYKVWEMKRQQKEKRIEKVKEAFSKELESETKRPSSPSKPAKGDQLDAKNKTQPAKQQDSLEEAEFTKNWLMEMEKRLMKNKRELNQKMEEAEKLLEMVSSEECGKGAMIQRMIQLSRQKEELNSMLKQFLLNNKDELPKLMELLEQKRLKLDENLEKLYNDDIKSSRIESPLYSGSSNAGSTM
+>sp|Q9NVL8|CC198_HUMAN Uncharacterized protein CCDC198 OS=Homo sapiens OX=9606 GN=CCDC198 PE=1 SV=2
+MGLSHSKTHLRVIKVAPLQNKEVETPSAGRVDFAFNQNLEEKTSYSLARLQDQNKALEGQLPPLQENWYGRYSTASRDMYFDIPLEHRETSIIKRHPPQRLQKLEPIDLPRVITSGRLLSQREARTMHKAKQVLEKKMQTPMYTSENRQYLHKMQVLEMIRKRQEAQMELKKSLHGEARINKQSPRDHKAKKTLQSTPRNDDHDLLTMLPDEILNRGPGNSKNTEFLKHQAVNNYCPWKIGKMETWLHEQEAQGQLLWDSSSSDSDEQGKDEKKPRALVRTRTERIPLFDEFFDQE
+>DECOY_sp|Q9NVL8|CC198_HUMAN Uncharacterized protein CCDC198 OS=Homo sapiens OX=9606 GN=CCDC198 PE=1 SV=2
+EQDFFEDFLPIRETRTRVLARPKKEDKGQEDSDSSSSDWLLQGQAEQEHLWTEMKGIKWPCYNNVAQHKLFETNKSNGPGRNLIEDPLMTLLDHDDNRPTSQLTKKAKHDRPSQKNIRAEGHLSKKLEMQAEQRKRIMELVQMKHLYQRNESTYMPTQMKKELVQKAKHMTRAERQSLLRGSTIVRPLDIPELKQLRQPPHRKIISTERHELPIDFYMDRSATSYRGYWNEQLPPLQGELAKNQDQLRALSYSTKEELNQNFAFDVRGASPTEVEKNQLPAVKIVRLHTKSHSLGM
+>sp|Q9NV96|CC50A_HUMAN Cell cycle control protein 50A OS=Homo sapiens OX=9606 GN=TMEM30A PE=1 SV=1
+MAMNYNAKDEVDGGPPCAPGGTAKTRRPDNTAFKQQRLPAWQPILTAGTVLPIFFIIGLIFIPIGIGIFVTSNNIREIEIDYTGTEPSSPCNKCLSPDVTPCFCTINFTLEKSFEGNVFMYYGLSNFYQNHRRYVKSRDDSQLNGDSSALLNPSKECEPYRRNEDKPIAPCGAIANSMFNDTLELFLIGNDSYPIPIALKKKGIAWWTDKNVKFRNPPGGDNLEERFKGTTKPVNWLKPVYMLDSDPDNNGFINEDFIVWMRTAALPTFRKLYRLIERKSDLHPTLPAGRYSLNVTYNYPVHYFDGRKRMILSTISWMGGKNPFLGIAYIAVGSISFLLGVVLLVINHKYRNSSNTADITI
+>DECOY_sp|Q9NV96|CC50A_HUMAN Cell cycle control protein 50A OS=Homo sapiens OX=9606 GN=TMEM30A PE=1 SV=1
+ITIDATNSSNRYKHNIVLLVVGLLFSISGVAIYAIGLFPNKGGMWSITSLIMRKRGDFYHVPYNYTVNLSYRGAPLTPHLDSKREILRYLKRFTPLAATRMWVIFDENIFGNNDPDSDLMYVPKLWNVPKTTGKFREELNDGGPPNRFKVNKDTWWAIGKKKLAIPIPYSDNGILFLELTDNFMSNAIAGCPAIPKDENRRYPECEKSPNLLASSDGNLQSDDRSKVYRRHNQYFNSLGYYMFVNGEFSKELTFNITCFCPTVDPSLCKNCPSSPETGTYDIEIERINNSTVFIGIGIPIFILGIIFFIPLVTGATLIPQWAPLRQQKFATNDPRRTKATGGPACPPGGDVEDKANYNMAM
+>sp|A6NC98|CC88B_HUMAN Coiled-coil domain-containing protein 88B OS=Homo sapiens OX=9606 GN=CCDC88B PE=1 SV=1
+MEGGKGPRLRDFLSGSLATWALGLAGLVGEAEDSEGEEEEEEEEPPLWLEKRFLRLSDGALLLRVLGIIAPSSRGGPRMLRGLDGPAAWRVWNLNHLWGRLRDFYQEELQLLILSPPPDLQTLGFDPLSEEAVEQLEGVLRLLLGASVQCEHRELFIRHIQGLSLEVQSELAAAIQEVTQPGAGVVLALSGPDPGELAPAELEMLSRSLMGTLSKLARERDLGAQRLAELLLEREPLCLRPEAPSRAPAEGPSHHLALQLANAKAQLRRLRQELEEKAELLLDSQAEVQGLEAEIRRLRQEAQALSGQAKRAELYREEAEALRERAGRLPRLQEELRRCRERLQAAEAYKSQLEEERVLSGVLEASKALLEEQLEAARERCARLHETQRENLLLRTRLGEAHAELDSLRHQVDQLAEENVELELELQRSLEPPPGSPGEAPLAGAAPSLQDEVREAEAGRLRTLERENRELRGLLQVLQGQPGGQHPLLEAPREDPVLPVLEEAPQTPVAFDHSPQGLVQKARDGGPQALDLAPPALDSVLEASAECPQAPDSDPQEAESPLQAAAMDPQASDWSPQESGSPVETQESPEKAGRRSSLQSPASVAPPQGPGTKIQAPQLLGGETEGREAPQGELVPEAWGLRQEGPEHKPGPSEPSSVQLEEQEGPNQGLDLATGQAEAREHDQRLEGTVRDPAWQKPQQKSEGALEVQVWEGPIPGESLASGVAEQEALREEVAQLRRKAEALGDELEAQARKLEAQNTEAARLSKELAQARRAEAEAHREAEAQAWEQARLREAVEAAGQELESASQEREALVEALAAAGRERRQWEREGSRLRAQSEAAEERMQVLESEGRQHLEEAERERREKEALQAELEKAVVRGKELGDRLEHLQRELEQAALERQEFLREKESQHQRYQGLEQRLEAELQAAATSKEEALMELKTRALQLEEELFQLRQGPAGLGPKKRAEPQLVETQNVRLIEVERSNAMLVAEKAALQGQLQHLEGQLGSLQGRAQELLLQSQRAQEHSSRLQAEKSVLEIQGQELHRKLEVLEEEVRAARQSQEETRGQQQALLRDHKALAQLQRRQEAELEGLLVRHRDLKANMRALELAHRELQGRHEQLQAQRASVEAQEVALLAERERLMQDGHRQRGLEEELRRLQSEHDRAQMLLAELSRERGELQGERGELRGRLARLELERAQLEMQSQQLRESNQQLDLSACRLTTQCELLTQLRSAQEEENRQLLAEVQALSRENRELLERSLESRDHLHREQREYLDQLNALRREKQKLVEKIMDQYRVLEPVPLPRTKKGSWLADKVKRLMRPRREGGPPGGLRLGADGAGSTESLGGPPETELPEGREADGTGSPSPAPMRRAQSSLCLRDETLAGGQRRKLSSRFPVGRSSESFSPGDTPRQRFRQRHPGPLGAPVSHSKGPGVGWENSAETLQEHETDANREGPEVQEPEKRPLTPSLSQ
+>DECOY_sp|A6NC98|CC88B_HUMAN Coiled-coil domain-containing protein 88B OS=Homo sapiens OX=9606 GN=CCDC88B PE=1 SV=1
+QSLSPTLPRKEPEQVEPGERNADTEHEQLTEASNEWGVGPGKSHSVPAGLPGPHRQRFRQRPTDGPSFSESSRGVPFRSSLKRRQGGALTEDRLCLSSQARRMPAPSPSGTGDAERGEPLETEPPGGLSETSGAGDAGLRLGGPPGGERRPRMLRKVKDALWSGKKTRPLPVPELVRYQDMIKEVLKQKERRLANLQDLYERQERHLHDRSELSRELLERNERSLAQVEALLQRNEEEQASRLQTLLECQTTLRCASLDLQQNSERLQQSQMELQARELELRALRGRLEGREGQLEGRERSLEALLMQARDHESQLRRLEEELGRQRHGDQMLREREALLAVEQAEVSARQAQLQEHRGQLERHALELARMNAKLDRHRVLLGELEAEQRRQLQALAKHDRLLAQQQGRTEEQSQRAARVEEELVELKRHLEQGQIELVSKEAQLRSSHEQARQSQLLLEQARGQLSGLQGELHQLQGQLAAKEAVLMANSREVEILRVNQTEVLQPEARKKPGLGAPGQRLQFLEEELQLARTKLEMLAEEKSTAAAQLEAELRQELGQYRQHQSEKERLFEQRELAAQELERQLHELRDGLEKGRVVAKELEAQLAEKERREREAEELHQRGESELVQMREEAAESQARLRSGEREWQRRERGAAALAEVLAEREQSASELEQGAAEVAERLRAQEWAQAEAERHAEAEARRAQALEKSLRAAETNQAELKRAQAELEDGLAEAKRRLQAVEERLAEQEAVGSALSEGPIPGEWVQVELAGESKQQPKQWAPDRVTGELRQDHERAEAQGTALDLGQNPGEQEELQVSSPESPGPKHEPGEQRLGWAEPVLEGQPAERGETEGGLLQPAQIKTGPGQPPAVSAPSQLSSRRGAKEPSEQTEVPSGSEQPSWDSAQPDMAAAQLPSEAEQPDSDPAQPCEASAELVSDLAPPALDLAQPGGDRAKQVLGQPSHDFAVPTQPAEELVPLVPDERPAELLPHQGGPQGQLVQLLGRLERNERELTRLRGAEAERVEDQLSPAAGALPAEGPSGPPPELSRQLELELEVNEEALQDVQHRLSDLEAHAEGLRTRLLLNERQTEHLRACRERAAELQEELLAKSAELVGSLVREEELQSKYAEAAQLRERCRRLEEQLRPLRGARERLAEAEERYLEARKAQGSLAQAEQRLRRIEAELGQVEAQSDLLLEAKEELEQRLRRLQAKANALQLALHHSPGEAPARSPAEPRLCLPERELLLEALRQAGLDRERALKSLTGMLSRSLMELEAPALEGPDPGSLALVVGAGPQTVEQIAAALESQVELSLGQIHRIFLERHECQVSAGLLLRLVGELQEVAEESLPDFGLTQLDPPPSLILLQLEEQYFDRLRGWLHNLNWVRWAAPGDLGRLMRPGGRSSPAIIGLVRLLLAGDSLRLFRKELWLPPEEEEEEEEGESDEAEGVLGALGLAWTALSGSLFDRLRPGKGGEM
+>sp|Q86WR0|CCD25_HUMAN Coiled-coil domain-containing protein 25 OS=Homo sapiens OX=9606 GN=CCDC25 PE=1 SV=2
+MVFYFTSSSVNSSAYTIYMGKDKYENEDLIKHGWPEDIWFHVDKLSSAHVYLRLHKGENIEDIPKEVLMDCAHLVKANSIQGCKMNNVNVVYTPWSNLKKTADMDVGQIGFHRQKDVKIVTVEKKVNEILNRLEKTKVERFPDLAAEKECRDREERNEKKAQIQEMKKREKEEMKKKREMDELRSYSSLMKVENMSSNQDGNDSDEFM
+>DECOY_sp|Q86WR0|CCD25_HUMAN Coiled-coil domain-containing protein 25 OS=Homo sapiens OX=9606 GN=CCDC25 PE=1 SV=2
+MFEDSDNGDQNSSMNEVKMLSSYSRLEDMERKKKMEEKERKKMEQIQAKKENREERDRCEKEAALDPFREVKTKELRNLIENVKKEVTVIKVDKQRHFGIQGVDMDATKKLNSWPTYVVNVNNMKCGQISNAKVLHACDMLVEKPIDEINEGKHLRLYVHASSLKDVHFWIDEPWGHKILDENEYKDKGMYITYASSNVSSSTFYFVM
+>sp|Q96HJ3|CCD34_HUMAN Coiled-coil domain-containing protein 34 OS=Homo sapiens OX=9606 GN=CCDC34 PE=1 SV=2
+MWAAGRWGPTFPSSYAGFSADCRPRSRPSSDSCSVPMTGARGQGLEVVRSPSPPLPLSCSNSTRSLLSPLGHQSFQFDEDDGDGEDEEDVDDEEDVDEDAHDSEAKVASLRGMELQGCASTQVESENNQEEQKQVRLPESRLTPWEVWFIGKEKEERDRLQLKALEELNQQLEKRKEMEEREKRKIIAEEKHKEWVQKKNEQKRKEREQKINKEMEEKAAKELEKEYLQEKAKEKYQEWLKKKNAEECERKKKEKEKEKQQQAEIQEKKEIAEKKFQEWLENAKHKPRPAAKSYGYANGKLTGFYSGNSYPEPAFYNPIPWKPIHMPPPKEAKDLSGRKSKRPVISQPHKSSSLVIHKARSNLCLGTLCRIQR
+>DECOY_sp|Q96HJ3|CCD34_HUMAN Coiled-coil domain-containing protein 34 OS=Homo sapiens OX=9606 GN=CCDC34 PE=1 SV=2
+RQIRCLTGLCLNSRAKHIVLSSSKHPQSIVPRKSKRGSLDKAEKPPPMHIPKWPIPNYFAPEPYSNGSYFGTLKGNAYGYSKAAPRPKHKANELWEQFKKEAIEKKEQIEAQQQKEKEKEKKKRECEEANKKKLWEQYKEKAKEQLYEKELEKAAKEEMEKNIKQEREKRKQENKKQVWEKHKEEAIIKRKEREEMEKRKELQQNLEELAKLQLRDREEKEKGIFWVEWPTLRSEPLRVQKQEEQNNESEVQTSACGQLEMGRLSAVKAESDHADEDVDEEDDVDEEDEGDGDDEDFQFSQHGLPSLLSRTSNSCSLPLPPSPSRVVELGQGRAGTMPVSCSDSSPRSRPRCDASFGAYSSPFTPGWRGAAWM
+>sp|Q96A33|CCD47_HUMAN Coiled-coil domain-containing protein 47 OS=Homo sapiens OX=9606 GN=CCDC47 PE=1 SV=1
+MKAFHTFCVVLLVFGSVSEAKFDDFEDEEDIVEYDDNDFAEFEDVMEDSVTESPQRVIITEDDEDETTVELEGQDENQEGDFEDADTQEGDTESEPYDDEEFEGYEDKPDTSSSKNKDPITIVDVPAHLQNSWESYYLEILMVTGLLAYIMNYIIGKNKNSRLAQAWFNTHRELLESNFTLVGDDGTNKEATSTGKLNQENEHIYNLWCSGRVCCEGMLIQLRFLKRQDLLNVLARMMRPVSDQVQIKVTMNDEDMDTYVFAVGTRKALVRLQKEMQDLSEFCSDKPKSGAKYGLPDSLAILSEMGEVTDGMMDTKMVHFLTHYADKIESVHFSDQFSGPKIMQEEGQPLKLPDTKRTLLFTFNVPGSGNTYPKDMEALLPLMNMVIYSIDKAKKFRLNREGKQKADKNRARVEENFLKLTHVQRQEAAQSRREEKKRAEKERIMNEEDPEKQRRLEEAALRREQKKLEKKQMKMKQIKVKAM
+>DECOY_sp|Q96A33|CCD47_HUMAN Coiled-coil domain-containing protein 47 OS=Homo sapiens OX=9606 GN=CCDC47 PE=1 SV=1
+MAKVKIQKMKMQKKELKKQERRLAAEELRRQKEPDEENMIREKEARKKEERRSQAAEQRQVHTLKLFNEEVRARNKDAKQKGERNLRFKKAKDISYIVMNMLPLLAEMDKPYTNGSGPVNFTFLLTRKTDPLKLPQGEEQMIKPGSFQDSFHVSEIKDAYHTLFHVMKTDMMGDTVEGMESLIALSDPLGYKAGSKPKDSCFESLDQMEKQLRVLAKRTGVAFVYTDMDEDNMTVKIQVQDSVPRMMRALVNLLDQRKLFRLQILMGECCVRGSCWLNYIHENEQNLKGTSTAEKNTGDDGVLTFNSELLERHTNFWAQALRSNKNKGIIYNMIYALLGTVMLIELYYSEWSNQLHAPVDVITIPDKNKSSSTDPKDEYGEFEEDDYPESETDGEQTDADEFDGEQNEDQGELEVTTEDEDDETIIVRQPSETVSDEMVDEFEAFDNDDYEVIDEEDEFDDFKAESVSGFVLLVVCFTHFAKM
+>sp|Q9BQI4|CCDC3_HUMAN Coiled-coil domain-containing protein 3 OS=Homo sapiens OX=9606 GN=CCDC3 PE=2 SV=1
+MLRQLLLAALCLAGPPAPARACQLPSEWRPLSEGCRAELAETIVYARVLALHPEAPGLYNHLPWQYHAGQGGLFYSAEVEMLCDQAWGSMLEVPAGSRLNLTGLGYFSCHSHTVVQDYSYFFFLRMDENYNLLPHGVNFQDAIFPDTQENRRMFSSLFQFSNCSQGQQLATFSSDWEIQEDSRLMCSSVQKALFEEEDHVKKLQQKVATLEKRNRQLRERVKKVKRSLRQARKKGRHLELANQKLSEKLAAGALPHINARGPVRPPYLRG
+>DECOY_sp|Q9BQI4|CCDC3_HUMAN Coiled-coil domain-containing protein 3 OS=Homo sapiens OX=9606 GN=CCDC3 PE=2 SV=1
+GRLYPPRVPGRANIHPLAGAALKESLKQNALELHRGKKRAQRLSRKVKKVRERLQRNRKELTAVKQQLKKVHDEEEFLAKQVSSCMLRSDEQIEWDSSFTALQQGQSCNSFQFLSSFMRRNEQTDPFIADQFNVGHPLLNYNEDMRLFFFYSYDQVVTHSHCSFYGLGTLNLRSGAPVELMSGWAQDCLMEVEASYFLGGQGAHYQWPLHNYLGPAEPHLALVRAYVITEALEARCGESLPRWESPLQCARAPAPPGALCLAALLLQRLM
+>sp|Q16204|CCDC6_HUMAN Coiled-coil domain-containing protein 6 OS=Homo sapiens OX=9606 GN=CCDC6 PE=1 SV=2
+MADSASESDTDGAGGNSSSSAAMQSSCSSTSGGGGGGGGGGGGGKSGGIVISPFRLEELTNRLASLQQENKVLKIELETYKLKCKALQEENRDLRKASVTIQARAEQEEEFISNTLFKKIQALQKEKETLAVNYEKEEEFLTNELSRKLMQLQHEKAELEQHLEQEQEFQVNKLMKKIKKLENDTISKQLTLEQLRREKIDLENTLEQEQEALVNRLWKRMDKLEAEKRILQEKLDQPVSAPPSPRDISMEIDSPENMMRHIRFLKNEVERLKKQLRAAQLQHSEKMAQYLEEERHMREENLRLQRKLQREMERREALCRQLSESESSLEMDDERYFNEMSAQGLRPRTVSSPIPYTPSPSSSRPISPGLSYASHTVGFTPPTSLTRAGMSYYNSPGLHVQHMGTSHGITRPSPRRSNSPDKFKRPTPPPSPNTQTPVQPPPPPPPPPMQPTVPSAATSQPTPSQHSAHPSSQP
+>DECOY_sp|Q16204|CCDC6_HUMAN Coiled-coil domain-containing protein 6 OS=Homo sapiens OX=9606 GN=CCDC6 PE=1 SV=2
+PQSSPHASHQSPTPQSTAASPVTPQMPPPPPPPPPQVPTQTNPSPPPTPRKFKDPSNSRRPSPRTIGHSTGMHQVHLGPSNYYSMGARTLSTPPTFGVTHSAYSLGPSIPRSSSPSPTYPIPSSVTRPRLGQASMENFYREDDMELSSESESLQRCLAERREMERQLKRQLRLNEERMHREEELYQAMKESHQLQAARLQKKLREVENKLFRIHRMMNEPSDIEMSIDRPSPPASVPQDLKEQLIRKEAELKDMRKWLRNVLAEQEQELTNELDIKERRLQELTLQKSITDNELKKIKKMLKNVQFEQEQELHQELEAKEHQLQMLKRSLENTLFEEEKEYNVALTEKEKQLAQIKKFLTNSIFEEEQEARAQITVSAKRLDRNEEQLAKCKLKYTELEIKLVKNEQQLSALRNTLEELRFPSIVIGGSKGGGGGGGGGGGGGSTSSCSSQMAASSSSNGGAGDTDSESASDAM
+>sp|Q06432|CCG1_HUMAN Voltage-dependent calcium channel gamma-1 subunit OS=Homo sapiens OX=9606 GN=CACNG1 PE=1 SV=1
+MSQTKMLKVRVTLFCILAGIVLAMTAVVTDHWAVLSPHMEHHNTTCEAAHFGLWRICTKRIPMDDSKTCGPITLPGEKNCSYFRHFNPGESSEIFEFTTQKEYSISAAAIAIFSLGFIILGSLCVLLSLGKKRDYLLRPASMFYAFAGLCILVSVEVMRQSVKRMIDSEDTVWIEYYYSWSFACACAAFILLFLGGLALLLFSLPRMPRNPWESCMDAEPEH
+>DECOY_sp|Q06432|CCG1_HUMAN Voltage-dependent calcium channel gamma-1 subunit OS=Homo sapiens OX=9606 GN=CACNG1 PE=1 SV=1
+HEPEADMCSEWPNRPMRPLSFLLLALGGLFLLIFAACACAFSWSYYYEIWVTDESDIMRKVSQRMVEVSVLICLGAFAYFMSAPRLLYDRKKGLSLLVCLSGLIIFGLSFIAIAAASISYEKQTTFEFIESSEGPNFHRFYSCNKEGPLTIPGCTKSDDMPIRKTCIRWLGFHAAECTTNHHEMHPSLVAWHDTVVATMALVIGALICFLTVRVKLMKTQSM
+>sp|Q9UBN1|CCG4_HUMAN Voltage-dependent calcium channel gamma-4 subunit OS=Homo sapiens OX=9606 GN=CACNG4 PE=2 SV=1
+MVRCDRGLQMLLTTAGAFAAFSLMAIAIGTDYWLYSSAHICNGTNLTMDDGPPPRRARGDLTHSGLWRVCCIEGIYKGHCFRINHFPEDNDYDHDSSEYLLRIVRASSVFPILSTILLLLGGLCIGAGRIYSRKNNIVLSAGILFVAAGLSNIIGIIVYISSNTGDPSDKRDEDKKNHYNYGWSFYFGALSFIVAETVGVLAVNIYIEKNKELRFKTKREFLKASSSSPYARMPSYRYRRRRSRSSSRSTEASPSRDVSPMGLKITGAIPMGELSMYTLSREPLKVTTAASYSPDQEASFLQVHDFFQQDLKEGFHVSMLNRRTTPV
+>DECOY_sp|Q9UBN1|CCG4_HUMAN Voltage-dependent calcium channel gamma-4 subunit OS=Homo sapiens OX=9606 GN=CACNG4 PE=2 SV=1
+VPTTRRNLMSVHFGEKLDQQFFDHVQLFSAEQDPSYSAATTVKLPERSLTYMSLEGMPIAGTIKLGMPSVDRSPSAETSRSSSRSRRRRYRYSPMRAYPSSSSAKLFERKTKFRLEKNKEIYINVALVGVTEAVIFSLAGFYFSWGYNYHNKKDEDRKDSPDGTNSSIYVIIGIINSLGAAVFLIGASLVINNKRSYIRGAGICLGGLLLLITSLIPFVSSARVIRLLYESSDHDYDNDEPFHNIRFCHGKYIGEICCVRWLGSHTLDGRARRPPPGDDMTLNTGNCIHASSYLWYDTGIAIAMLSFAAFAGATTLLMQLGRDCRVM
+>sp|P06307|CCKN_HUMAN Cholecystokinin OS=Homo sapiens OX=9606 GN=CCK PE=1 SV=1
+MNSGVCLCVLMAVLAAGALTQPVPPADPAGSGLQRAEEAPRRQLRVSQRTDGESRAHLGALLARYIQQARKAPSGRMSIVKNLQNLDPSHRISDRDYMGWMDFGRRSAEEYEYPS
+>DECOY_sp|P06307|CCKN_HUMAN Cholecystokinin OS=Homo sapiens OX=9606 GN=CCK PE=1 SV=1
+SPYEYEEASRRGFDMWGMYDRDSIRHSPDLNQLNKVISMRGSPAKRAQQIYRALLAGLHARSEGDTRQSVRLQRRPAEEARQLGSGAPDAPPVPQTLAGAALVAMLVCLCVGSNM
+>sp|Q16663|CCL15_HUMAN C-C motif chemokine 15 OS=Homo sapiens OX=9606 GN=CCL15 PE=1 SV=2
+MKVSVAALSCLMLVAVLGSQAQFINDAETELMMSKLPLENPVVLNSFHFAADCCTSYISQSIPCSLMKSYFETSSECSKPGVIFLTKKGRQVCAKPSGPGVQDCMKKLKPYSI
+>DECOY_sp|Q16663|CCL15_HUMAN C-C motif chemokine 15 OS=Homo sapiens OX=9606 GN=CCL15 PE=1 SV=2
+ISYPKLKKMCDQVGPGSPKACVQRGKKTLFIVGPKSCESSTEFYSKMLSCPISQSIYSTCCDAAFHFSNLVVPNELPLKSMMLETEADNIFQAQSGLVAVLMLCSLAAVSVKM
+>sp|P55774|CCL18_HUMAN C-C motif chemokine 18 OS=Homo sapiens OX=9606 GN=CCL18 PE=1 SV=1
+MKGLAAALLVLVCTMALCSCAQVGTNKELCCLVYTSWQIPQKFIVDYSETSPQCPKPGVILLTKRGRQICADPNKKWVQKYISDLKLNA
+>DECOY_sp|P55774|CCL18_HUMAN C-C motif chemokine 18 OS=Homo sapiens OX=9606 GN=CCL18 PE=1 SV=1
+ANLKLDSIYKQVWKKNPDACIQRGRKTLLIVGPKPCQPSTESYDVIFKQPIQWSTYVLCCLEKNTGVQACSCLAMTCVLVLLAAALGKM
+>sp|Q99731|CCL19_HUMAN C-C motif chemokine 19 OS=Homo sapiens OX=9606 GN=CCL19 PE=1 SV=1
+MALLLALSLLVLWTSPAPTLSGTNDAEDCCLSVTQKPIPGYIVRNFHYLLIKDGCRVPAVVFTTLRGRQLCAPPDQPWVERIIQRLQRTSAKMKRRSS
+>DECOY_sp|Q99731|CCL19_HUMAN C-C motif chemokine 19 OS=Homo sapiens OX=9606 GN=CCL19 PE=1 SV=1
+SSRRKMKASTRQLRQIIREVWPQDPPACLQRGRLTTFVVAPVRCGDKILLYHFNRVIYGPIPKQTVSLCCDEADNTGSLTPAPSTWLVLLSLALLLAM
+>sp|Q16589|CCNG2_HUMAN Cyclin-G2 OS=Homo sapiens OX=9606 GN=CCNG2 PE=2 SV=1
+MKDLGAEHLAGHEGVQLLGLLNVYLEQEERFQPREKGLSLIEATPENDNTLCPGLRNAKVEDLRSLANFFGSCTETFVLAVNILDRFLALMKVKPKHLSCIGVCSFLLAARIVEEDCNIPSTHDVIRISQCKCTASDIKRMEKIISEKLHYELEATTALNFLHLYHTIILCHTSERKEILSLDKLEAQLKACNCRLIFSKAKPSVLALCLLNLEVETLKSVELLEILLLVKKHSKINDTEFFYWRELVSKCLAEYSSPECCKPDLKKLVWIVSRRTAQNLHNSYYSVPELPTIPEGGCFDESESEDSCEDMSCGEESLSSSPPSDQECTFFFNFKVAQTLCFPS
+>DECOY_sp|Q16589|CCNG2_HUMAN Cyclin-G2 OS=Homo sapiens OX=9606 GN=CCNG2 PE=2 SV=1
+SPFCLTQAVKFNFFFTCEQDSPPSSSLSEEGCSMDECSDESESEDFCGGEPITPLEPVSYYSNHLNQATRRSVIWVLKKLDPKCCEPSSYEALCKSVLERWYFFETDNIKSHKKVLLLIELLEVSKLTEVELNLLCLALVSPKAKSFILRCNCAKLQAELKDLSLIEKRESTHCLIITHYLHLFNLATTAELEYHLKESIIKEMRKIDSATCKCQSIRIVDHTSPINCDEEVIRAALLFSCVGICSLHKPKVKMLALFRDLINVALVFTETCSGFFNALSRLDEVKANRLGPCLTNDNEPTAEILSLGKERPQFREEQELYVNLLGLLQVGEHGALHEAGLDKM
+>sp|P0C7X3|CCYL3_HUMAN Putative cyclin-Y-like protein 3 OS=Homo sapiens OX=9606 GN=CCNYL3 PE=3 SV=1
+MACAVFQIPPWHLDRKYGSCSTILLDNSTASQPDLRHTLERYANRSLAIFEEPVHPLPQEKLPGKSFKHDPKRNCIFRHFCTLFQVIKLTAPCAIVALVYIKRLLTSANIDLCPTNWKKIVLGTMLLASKVWRNHGLWSVDDSQNSKDTAVENMSKMEKCFLELLEFNIHVSASVYAKYYFDLCALANDHDLYFLFSFLHKDKAQKLEGHTSQHSLSLGPCRVGMENYATYSPLSSQPVLGALSLAEVLPQEIKQEIHQVLMDHGVPCHYTCFLLHPEDSTLERFLVLHSIQGLQEDSVLCKVEIHGLSPQPQTYQSHFRSPSLAELLNRISGTCRNHFAGMQK
+>DECOY_sp|P0C7X3|CCYL3_HUMAN Putative cyclin-Y-like protein 3 OS=Homo sapiens OX=9606 GN=CCNYL3 PE=3 SV=1
+KQMGAFHNRCTGSIRNLLEALSPSRFHSQYTQPQPSLGHIEVKCLVSDEQLGQISHLVLFRELTSDEPHLLFCTYHCPVGHDMLVQHIEQKIEQPLVEALSLAGLVPQSSLPSYTAYNEMGVRCPGLSLSHQSTHGELKQAKDKHLFSFLFYLDHDNALACLDFYYKAYVSASVHINFELLELFCKEMKSMNEVATDKSNQSDDVSWLGHNRWVKSALLMTGLVIKKWNTPCLDINASTLLRKIYVLAVIACPATLKIVQFLTCFHRFICNRKPDHKFSKGPLKEQPLPHVPEEFIALSRNAYRELTHRLDPQSATSNDLLITSCSGYKRDLHWPPIQFVACAM
+>sp|P86790|CCZ1B_HUMAN Vacuolar fusion protein CCZ1 homolog B OS=Homo sapiens OX=9606 GN=CCZ1B PE=1 SV=1
+MAAAAAGAGSGPWAAQEKQFPPALLSFFIYNPRFGPREGQEENKILFYHPNEVEKNEKIRNVGLCEAIVQFTRTFSPSKPAKSLHTQKNRQFFNEPEENFWMVMVVRNPIIEKQSKDGKPVIEYQEEELLDKVYSSVLRQCYSMYKLFNGTFLKAMEDGGVKLLKERLEKFFHRYLQTLHLQSCDLLDIFGGISFFPLDKMTYLKIQSFINRMEESLNIVKYTAFLYNDQLIWSGLEQDDMRILYKYLTTSLFPRHIEPELAGRDSPIRAEMPGNLQHYGRFLTGPLNLNDPDAKCRFPKIFVNTDDTYEELHLIVYKAMSAAVCFMIDASVHPTLDFCRRLDSIVGPQLTVLASDICEQFNINKRMSGSEKEPQFKFIYFNHMNLAEKSTVHMRKTPSVSLTSVHPDLMKILGDINSDFTRVDEDEEIIVKAMSDYWVVGKKSDRRELYVILNQKNANLIEVNEEVKKLCATQFNNIFFLD
+>DECOY_sp|P86790|CCZ1B_HUMAN Vacuolar fusion protein CCZ1 homolog B OS=Homo sapiens OX=9606 GN=CCZ1B PE=1 SV=1
+DLFFINNFQTACLKKVEENVEILNANKQNLIVYLERRDSKKGVVWYDSMAKVIIEEDEDVRTFDSNIDGLIKMLDPHVSTLSVSPTKRMHVTSKEALNMHNFYIFKFQPEKESGSMRKNINFQECIDSALVTLQPGVISDLRRCFDLTPHVSADIMFCVAASMAKYVILHLEEYTDDTNVFIKPFRCKADPDNLNLPGTLFRGYHQLNGPMEARIPSDRGALEPEIHRPFLSTTLYKYLIRMDDQELGSWILQDNYLFATYKVINLSEEMRNIFSQIKLYTMKDLPFFSIGGFIDLLDCSQLHLTQLYRHFFKELREKLLKVGGDEMAKLFTGNFLKYMSYCQRLVSSYVKDLLEEEQYEIVPKGDKSQKEIIPNRVVMVMWFNEEPENFFQRNKQTHLSKAPKSPSFTRTFQVIAECLGVNRIKENKEVENPHYFLIKNEEQGERPGFRPNYIFFSLLAPPFQKEQAAWPGSGAGAAAAAM
+>sp|Q6V702|CD022_HUMAN Uncharacterized protein C4orf22 OS=Homo sapiens OX=9606 GN=C4orf22 PE=2 SV=4
+MDQEEGLKALDNIVTQFNAYEDFLDSQITTVDLYYLEDETLARQLVELGYRGTGERVKREDFEARKAAIEIARLAERAQQKTLTSAGKDLQDNFLTALAMREEDNRSGKLSSVIFIRDRNSHGQEISGYIDYAHRLKTEDFEVYFTGKKRLLPRPTDISFYNWDADIAVSNSSPNYQVIADNPEGLLFRYKRDRKILNVDPKAQPGDNSTRITILTELYVQAVIFDHISRRKT
+>DECOY_sp|Q6V702|CD022_HUMAN Uncharacterized protein C4orf22 OS=Homo sapiens OX=9606 GN=C4orf22 PE=2 SV=4
+TKRRSIHDFIVAQVYLETLITIRTSNDGPQAKPDVNLIKRDRKYRFLLGEPNDAIVQYNPSSNSVAIDADWNYFSIDTPRPLLRKKGTFYVEFDETKLRHAYDIYGSIEQGHSNRDRIFIVSSLKGSRNDEERMALATLFNDQLDKGASTLTKQQAREALRAIEIAAKRAEFDERKVREGTGRYGLEVLQRALTEDELYYLDVTTIQSDLFDEYANFQTVINDLAKLGEEQDM
+>sp|P25063|CD24_HUMAN Signal transducer CD24 OS=Homo sapiens OX=9606 GN=CD24 PE=1 SV=3
+MGRAMVARLGLGLLLLALLLPTQIYSSETTTGTSSNSSQSTSNSGLAPNPTNATTKAAGGALQSTASLFVVSLSLLHLYS
+>DECOY_sp|P25063|CD24_HUMAN Signal transducer CD24 OS=Homo sapiens OX=9606 GN=CD24 PE=1 SV=3
+SYLHLLSLSVVFLSATSQLAGGAAKTTANTPNPALGSNSTSQSSNSSTGTTTESSYIQTPLLLALLLLGLGLRAVMARGM
+>sp|P10747|CD28_HUMAN T-cell-specific surface glycoprotein CD28 OS=Homo sapiens OX=9606 GN=CD28 PE=1 SV=1
+MLRLLLALNLFPSIQVTGNKILVKQSPMLVAYDNAVNLSCKYSYNLFSREFRASLHKGLDSAVEVCVVYGNYSQQLQVYSKTGFNCDGKLGNESVTFYLQNLYVNQTDIYFCKIEVMYPPPYLDNEKSNGTIIHVKGKHLCPSPLFPGPSKPFWVLVVVGGVLACYSLLVTVAFIIFWVRSKRSRLLHSDYMNMTPRRPGPTRKHYQPYAPPRDFAAYRS
+>DECOY_sp|P10747|CD28_HUMAN T-cell-specific surface glycoprotein CD28 OS=Homo sapiens OX=9606 GN=CD28 PE=1 SV=1
+SRYAAFDRPPAYPQYHKRTPGPRRPTMNMYDSHLLRSRKSRVWFIIFAVTVLLSYCALVGGVVVLVWFPKSPGPFLPSPCLHKGKVHIITGNSKENDLYPPPYMVEIKCFYIDTQNVYLNQLYFTVSENGLKGDCNFGTKSYVQLQQSYNGYVVCVEVASDLGKHLSARFERSFLNYSYKCSLNVANDYAVLMPSQKVLIKNGTVQISPFLNLALLLRLM
+>sp|O95400|CD2B2_HUMAN CD2 antigen cytoplasmic tail-binding protein 2 OS=Homo sapiens OX=9606 GN=CD2BP2 PE=1 SV=1
+MPKRKVTFQGVGDEEDEDEIIVPKKKLVDPVAGSGGPGSRFKGKHSLDSDEEEDDDDGGSSKYDILASEDVEGQEAATLPSEGGVRITPFNLQEEMEEGHFDADGNYFLNRDAQIRDSWLDNIDWVKIRERPPGQRQASDSEEEDSLGQTSMSAQALLEGLLELLLPRETVAGALRRLGARGGGKGRKGPGQPSSPQRLDRLSGLADQMVARGNLGVYQETRERLAMRLKGLGCQTLGPHNPTPPPSLDMFAEELAEEELETPTPTQRGEAESRGDGLVDVMWEYKWENTGDAELYGPFTSAQMQTWVSEGYFPDGVYCRKLDPPGGQFYNSKRIDFDLYT
+>DECOY_sp|O95400|CD2B2_HUMAN CD2 antigen cytoplasmic tail-binding protein 2 OS=Homo sapiens OX=9606 GN=CD2BP2 PE=1 SV=1
+TYLDFDIRKSNYFQGGPPDLKRCYVGDPFYGESVWTQMQASTFPGYLEADGTNEWKYEWMVDVLGDGRSEAEGRQTPTPTELEEEALEEAFMDLSPPPTPNHPGLTQCGLGKLRMALRERTEQYVGLNGRAVMQDALGSLRDLRQPSSPQGPGKRGKGGGRAGLRRLAGAVTERPLLLELLGELLAQASMSTQGLSDEEESDSAQRQGPPRERIKVWDINDLWSDRIQADRNLFYNGDADFHGEEMEEQLNFPTIRVGGESPLTAAEQGEVDESALIDYKSSGGDDDDEEEDSDLSHKGKFRSGPGGSGAVPDVLKKKPVIIEDEDEEDGVGQFTVKRKPM
+>sp|P16671|CD36_HUMAN Platelet glycoprotein 4 OS=Homo sapiens OX=9606 GN=CD36 PE=1 SV=2
+MGCDRNCGLIAGAVIGAVLAVFGGILMPVGDLLIQKTIKKQVVLEEGTIAFKNWVKTGTEVYRQFWIFDVQNPQEVMMNSSNIQVKQRGPYTYRVRFLAKENVTQDAEDNTVSFLQPNGAIFEPSLSVGTEADNFTVLNLAVAAASHIYQNQFVQMILNSLINKSKSSMFQVRTLRELLWGYRDPFLSLVPYPVTTTVGLFYPYNNTADGVYKVFNGKDNISKVAIIDTYKGKRNLSYWESHCDMINGTDAASFPPFVEKSQVLQFFSSDICRSIYAVFESDVNLKGIPVYRFVLPSKAFASPVENPDNYCFCTEKIISKNCTSYGVLDISKCKEGRPVYISLPHFLYASPDVSEPIDGLNPNEEEHRTYLDIEPITGFTLQFAKRLQVNLLVKPSEKIQVLKNLKRNYIVPILWLNETGTIGDEKANMFRSQVTGKINLLGLIEMILLSVGVVMFVAFMISYCACRSKTIK
+>DECOY_sp|P16671|CD36_HUMAN Platelet glycoprotein 4 OS=Homo sapiens OX=9606 GN=CD36 PE=1 SV=2
+KITKSRCACYSIMFAVFMVVGVSLLIMEILGLLNIKGTVQSRFMNAKEDGITGTENLWLIPVIYNRKLNKLVQIKESPKVLLNVQLRKAFQLTFGTIPEIDLYTRHEEENPNLGDIPESVDPSAYLFHPLSIYVPRGEKCKSIDLVGYSTCNKSIIKETCFCYNDPNEVPSAFAKSPLVFRYVPIGKLNVDSEFVAYISRCIDSSFFQLVQSKEVFPPFSAADTGNIMDCHSEWYSLNRKGKYTDIIAVKSINDKGNFVKYVGDATNNYPYFLGVTTTVPYPVLSLFPDRYGWLLERLTRVQFMSSKSKNILSNLIMQVFQNQYIHSAAAVALNLVTFNDAETGVSLSPEFIAGNPQLFSVTNDEADQTVNEKALFRVRYTYPGRQKVQINSSNMMVEQPNQVDFIWFQRYVETGTKVWNKFAITGEELVVQKKITKQILLDGVPMLIGGFVALVAGIVAGAILGCNRDCGM
+>sp|P07766|CD3E_HUMAN T-cell surface glycoprotein CD3 epsilon chain OS=Homo sapiens OX=9606 GN=CD3E PE=1 SV=2
+MQSGTHWRVLGLCLLSVGVWGQDGNEEMGGITQTPYKVSISGTTVILTCPQYPGSEILWQHNDKNIGGDEDDKNIGSDEDHLSLKEFSELEQSGYYVCYPRGSKPEDANFYLYLRARVCENCMEMDVMSVATIVIVDICITGGLLLLVYYWSKNRKAKAKPVTRGAGAGGRQRGQNKERPPPVPNPDYEPIRKGQRDLYSGLNQRRI
+>DECOY_sp|P07766|CD3E_HUMAN T-cell surface glycoprotein CD3 epsilon chain OS=Homo sapiens OX=9606 GN=CD3E PE=1 SV=2
+IRRQNLGSYLDRQGKRIPEYDPNPVPPPREKNQGRQRGGAGAGRTVPKAKAKRNKSWYYVLLLLGGTICIDVIVITAVSMVDMEMCNECVRARLYLYFNADEPKSGRPYCVYYGSQELESFEKLSLHDEDSGINKDDEDGGINKDNHQWLIESGPYQPCTLIVTTGSISVKYPTQTIGGMEENGDQGWVGVSLLCLGLVRWHTGSQM
+>sp|P16070|CD44_HUMAN CD44 antigen OS=Homo sapiens OX=9606 GN=CD44 PE=1 SV=3
+MDKFWWHAAWGLCLVPLSLAQIDLNITCRFAGVFHVEKNGRYSISRTEAADLCKAFNSTLPTMAQMEKALSIGFETCRYGFIEGHVVIPRIHPNSICAANNTGVYILTSNTSQYDTYCFNASAPPEEDCTSVTDLPNAFDGPITITIVNRDGTRYVQKGEYRTNPEDIYPSNPTDDDVSSGSSSERSSTSGGYIFYTFSTVHPIPDEDSPWITDSTDRIPATTLMSTSATATETATKRQETWDWFSWLFLPSESKNHLHTTTQMAGTSSNTISAGWEPNEENEDERDRHLSFSGSGIDDDEDFISSTISTTPRAFDHTKQNQDWTQWNPSHSNPEVLLQTTTRMTDVDRNGTTAYEGNWNPEAHPPLIHHEHHEEEETPHSTSTIQATPSSTTEETATQKEQWFGNRWHEGYRQTPKEDSHSTTGTAAASAHTSHPMQGRTTPSPEDSSWTDFFNPISHPMGRGHQAGRRMDMDSSHSITLQPTANPNTGLVEDLDRTGPLSMTTQQSNSQSFSTSHEGLEEDKDHPTTSTLTSSNRNDVTGGRRDPNHSEGSTTLLEGYTSHYPHTKESRTFIPVTSAKTGSFGVTAVTVGDSNSNVNRSLSGDQDTFHPSGGSHTTHGSESDGHSHGSQEGGANTTSGPIRTPQIPEWLIILASLLALALILAVCIAVNSRRRCGQKKKLVINSGNGAVEDRKPSGLNGEASKSQEMVHLVNKESSETPDQFMTADETRNLQNVDMKIGV
+>DECOY_sp|P16070|CD44_HUMAN CD44 antigen OS=Homo sapiens OX=9606 GN=CD44 PE=1 SV=3
+VGIKMDVNQLNRTEDATMFQDPTESSEKNVLHVMEQSKSAEGNLGSPKRDEVAGNGSNIVLKKKQGCRRRSNVAICVALILALALLSALIILWEPIQPTRIPGSTTNAGGEQSGHSHGDSESGHTTHSGGSPHFTDQDGSLSRNVNSNSDGVTVATVGFSGTKASTVPIFTRSEKTHPYHSTYGELLTTSGESHNPDRRGGTVDNRNSSTLTSTTPHDKDEELGEHSTSFSQSNSQQTTMSLPGTRDLDEVLGTNPNATPQLTISHSSDMDMRRGAQHGRGMPHSIPNFFDTWSSDEPSPTTRGQMPHSTHASAAATGTTSHSDEKPTQRYGEHWRNGFWQEKQTATEETTSSPTAQITSTSHPTEEEEHHEHHILPPHAEPNWNGEYATTGNRDVDTMRTTTQLLVEPNSHSPNWQTWDQNQKTHDFARPTTSITSSIFDEDDDIGSGSFSLHRDREDENEENPEWGASITNSSTGAMQTTTHLHNKSESPLFLWSFWDWTEQRKTATETATASTSMLTTAPIRDTSDTIWPSDEDPIPHVTSFTYFIYGGSTSSRESSSGSSVDDDTPNSPYIDEPNTRYEGKQVYRTGDRNVITITIPGDFANPLDTVSTCDEEPPASANFCYTDYQSTNSTLIYVGTNNAACISNPHIRPIVVHGEIFGYRCTEFGISLAKEMQAMTPLTSNFAKCLDAAETRSISYRGNKEVHFVGAFRCTINLDIQALSLPVLCLGWAAHWWFKDM
+>sp|Q99741|CDC6_HUMAN Cell division control protein 6 homolog OS=Homo sapiens OX=9606 GN=CDC6 PE=1 SV=1
+MPQTRSQAQATISFPKRKLSRALNKAKNSSDAKLEPTNVQTVTCSPRVKALPLSPRKRLGDDNLCNTPHLPPCSPPKQGKKENGPPHSHTLKGRRLVFDNQLTIKSPSKRELAKVHQNKILSSVRKSQEITTNSEQRCPLKKESACVRLFKQEGTCYQQAKLVLNTAVPDRLPAREREMDVIRNFLREHICGKKAGSLYLSGAPGTGKTACLSRILQDLKKELKGFKTIMLNCMSLRTAQAVFPAIAQEICQEEVSRPAGKDMMRKLEKHMTAEKGPMIVLVLDEMDQLDSKGQDVLYTLFEWPWLSNSHLVLIGIANTLDLTDRILPRLQAREKCKPQLLNFPPYTRNQIVTILQDRLNQVSRDQVLDNAAVQFCARKVSAVSGDVRKALDVCRRAIEIVESDVKSQTILKPLSECKSPSEPLIPKRVGLIHISQVISEVDGNRMTLSQEGAQDSFPLQQKILVCSLMLLIRQLKIKEVTLGKLYEAYSKVCRKQQVAAVDQSECLSLSGLLEARGILGLKRNKETRLTKVFFKIEEKEIEHALKDKALIGNILATGLP
+>DECOY_sp|Q99741|CDC6_HUMAN Cell division control protein 6 homolog OS=Homo sapiens OX=9606 GN=CDC6 PE=1 SV=1
+PLGTALINGILAKDKLAHEIEKEEIKFFVKTLRTEKNRKLGLIGRAELLGSLSLCESQDVAAVQQKRCVKSYAEYLKGLTVEKIKLQRILLMLSCVLIKQQLPFSDQAGEQSLTMRNGDVESIVQSIHILGVRKPILPESPSKCESLPKLITQSKVDSEVIEIARRCVDLAKRVDGSVASVKRACFQVAANDLVQDRSVQNLRDQLITVIQNRTYPPFNLLQPKCKERAQLRPLIRDTLDLTNAIGILVLHSNSLWPWEFLTYLVDQGKSDLQDMEDLVLVIMPGKEATMHKELKRMMDKGAPRSVEEQCIEQAIAPFVAQATRLSMCNLMITKFGKLEKKLDQLIRSLCATKGTGPAGSLYLSGAKKGCIHERLFNRIVDMERERAPLRDPVATNLVLKAQQYCTGEQKFLRVCASEKKLPCRQESNTTIEQSKRVSSLIKNQHVKALERKSPSKITLQNDFVLRRGKLTHSHPPGNEKKGQKPPSCPPLHPTNCLNDDGLRKRPSLPLAKVRPSCTVTQVNTPELKADSSNKAKNLARSLKRKPFSITAQAQSRTQPM
+>sp|O00311|CDC7_HUMAN Cell division cycle 7-related protein kinase OS=Homo sapiens OX=9606 GN=CDC7 PE=1 SV=1
+MEASLGIQMDEPMAFSPQRDRFQAEGSLKKNEQNFKLAGVKKDIEKLYEAVPQLSNVFKIEDKIGEGTFSSVYLATAQLQVGPEEKIALKHLIPTSHPIRIAAELQCLTVAGGQDNVMGVKYCFRKNDHVVIAMPYLEHESFLDILNSLSFQEVREYMLNLFKALKRIHQFGIVHRDVKPSNFLYNRRLKKYALVDFGLAQGTHDTKIELLKFVQSEAQQERCSQNKSHIITGNKIPLSGPVPKELDQQSTTKASVKRPYTNAQIQIKQGKDGKEGSVGLSVQRSVFGERNFNIHSSISHESPAVKLMKQSKTVDVLSRKLATKKKAISTKVMNSAVMRKTASSCPASLTCDCYATDKVCSICLSRRQQVAPRAGTPGFRAPEVLTKCPNQTTAIDMWSAGVIFLSLLSGRYPFYKASDDLTALAQIMTIRGSRETIQAAKTFGKSILCSKEVPAQDLRKLCERLRGMDSSTPKLTSDIQGHASHQPAISEKTDHKASCLVQTPPGQYSGNSFKKGDSNSCEHCFDEYNTNLEGWNEVPDEAYDLLDKLLDLNPASRITAEEALLHPFFKDMSL
+>DECOY_sp|O00311|CDC7_HUMAN Cell division cycle 7-related protein kinase OS=Homo sapiens OX=9606 GN=CDC7 PE=1 SV=1
+LSMDKFFPHLLAEEATIRSAPNLDLLKDLLDYAEDPVENWGELNTNYEDFCHECSNSDGKKFSNGSYQGPPTQVLCSAKHDTKESIAPQHSAHGQIDSTLKPTSSDMGRLRECLKRLDQAPVEKSCLISKGFTKAAQITERSGRITMIQALATLDDSAKYFPYRGSLLSLFIVGASWMDIATTQNPCKTLVEPARFGPTGARPAVQQRRSLCISCVKDTAYCDCTLSAPCSSATKRMVASNMVKTSIAKKKTALKRSLVDVTKSQKMLKVAPSEHSISSHINFNREGFVSRQVSLGVSGEKGDKGQKIQIQANTYPRKVSAKTTSQQDLEKPVPGSLPIKNGTIIHSKNQSCREQQAESQVFKLLEIKTDHTGQALGFDVLAYKKLRRNYLFNSPKVDRHVIGFQHIRKLAKFLNLMYERVEQFSLSNLIDLFSEHELYPMAIVVHDNKRFCYKVGMVNDQGGAVTLCQLEAAIRIPHSTPILHKLAIKEEPGVQLQATALYVSSFTGEGIKDEIKFVNSLQPVAEYLKEIDKKVGALKFNQENKKLSGEAQFRDRQPSFAMPEDMQIGLSAEM
+>sp|Q9HBB8|CDHR5_HUMAN Cadherin-related family member 5 OS=Homo sapiens OX=9606 GN=CDHR5 PE=1 SV=3
+MGSWALLWPPLLFTGLLVRPPGTMAQAQYCSVNKDIFEVEENTNVTEPLVDIHVPEGQEVTLGALSTPFAFRIQGNQLFLNVTPDYEEKSLLEAQLLCQSGGTLVTQLRVFVSVLDVNDNAPEFPFKTKEIRVEEDTKVNSTVIPETQLQAEDRDKDDILFYTLQEMTAGASDYFSLVSVNRPALRLDRPLDFYERPNMTFWLLVRDTPGENVEPSHTATATLVLNVVPADLRPPWFLPCTFSDGYVCIQAQYHGAVPTGHILPSPLVLRPGPIYAEDGDRGINQPIIYSIFRGNVNGTFIIHPDSGNLTVARSVPSPMTFLLLVKGQQADLARYSVTQVTVEAVAAAGSPPRFPQRLYRGTVARGAGAGVVVKDAAAPSQPLRIQAQDPEFSDLNSAITYRITNHSHFRMEGEVVLTTTTLAQAGAFYAEVEAHNTVTSGTATTVIEIQVSEQEPPSTDVPPSPEAGGTTGPWTSTTSEVPRPPEPSQGPSTTSSGGGTGPHPPSGTTLRPPTSSTPGGPPGAENSTSHQPATPGGDTAQTPKPGTSQPMPPGVGTSTSHQPATPSGGTAQTPEPGTSQPMPPSMGTSTSHQPATPGGGTAQTPEAGTSQPMPPGMGTSTSHQPTTPGGGTAQTPEPGTSQPMPLSKSTPSSGGGPSEDKRFSVVDMAALGGVLGALLLLALLGLAVLVHKHYGPRLKCCCGKAPEPQPQGFDNQAFLPDHKANWAPVPSPTHDPKPAEAPMPAEPAPPGPASPGGAPEPPAAARAGGSPTAVRSILTKERRPEGGYKAVWFGEDIGTEADVVVLNAPTLDVDGASDSGSGDEGEGAGRGGGPYDAPGGDDSYI
+>DECOY_sp|Q9HBB8|CDHR5_HUMAN Cadherin-related family member 5 OS=Homo sapiens OX=9606 GN=CDHR5 PE=1 SV=3
+IYSDDGGPADYPGGGRGAGEGEDGSGSDSAGDVDLTPANLVVVDAETGIDEGFWVAKYGGEPRREKTLISRVATPSGGARAAAPPEPAGGPSAPGPPAPEAPMPAEAPKPDHTPSPVPAWNAKHDPLFAQNDFGQPQPEPAKGCCCKLRPGYHKHVLVALGLLALLLLAGLVGGLAAMDVVSFRKDESPGGGSSPTSKSLPMPQSTGPEPTQATGGGPTTPQHSTSTGMGPPMPQSTGAEPTQATGGGPTAPQHSTSTGMSPPMPQSTGPEPTQATGGSPTAPQHSTSTGVGPPMPQSTGPKPTQATDGGPTAPQHSTSNEAGPPGGPTSSTPPRLTTGSPPHPGTGGGSSTTSPGQSPEPPRPVESTTSTWPGTTGGAEPSPPVDTSPPEQESVQIEIVTTATGSTVTNHAEVEAYFAGAQALTTTTLVVEGEMRFHSHNTIRYTIASNLDSFEPDQAQIRLPQSPAAADKVVVGAGAGRAVTGRYLRQPFRPPSGAAAVAEVTVQTVSYRALDAQQGKVLLLFTMPSPVSRAVTLNGSDPHIIFTGNVNGRFISYIIPQNIGRDGDEAYIPGPRLVLPSPLIHGTPVAGHYQAQICVYGDSFTCPLFWPPRLDAPVVNLVLTATATHSPEVNEGPTDRVLLWFTMNPREYFDLPRDLRLAPRNVSVLSFYDSAGATMEQLTYFLIDDKDRDEAQLQTEPIVTSNVKTDEEVRIEKTKFPFEPANDNVDLVSVFVRLQTVLTGGSQCLLQAELLSKEEYDPTVNLFLQNGQIRFAFPTSLAGLTVEQGEPVHIDVLPETVNTNEEVEFIDKNVSCYQAQAMTGPPRVLLGTFLLPPWLLAWSGM
+>sp|Q9H305|CDIP1_HUMAN Cell death-inducing p53-target protein 1 OS=Homo sapiens OX=9606 GN=CDIP1 PE=1 SV=1
+MSSEPPPPYPGGPTAPLLEEKSGAPPTPGRSSPAVMQPPPGMPLPPADIGPPPYEPPGHPMPQPGFIPPHMSADGTYMPPGFYPPPGPHPPMGYYPPGPYTPGPYPGPGGHTATVLVPSGAATTVTVLQGEIFEGAPVQTVCPHCQQAITTKISYEIGLMNFVLGFFCCFMGCDLGCCLIPCLINDFKDVTHTCPSCKAYIYTYKRLC
+>DECOY_sp|Q9H305|CDIP1_HUMAN Cell death-inducing p53-target protein 1 OS=Homo sapiens OX=9606 GN=CDIP1 PE=1 SV=1
+CLRKYTYIYAKCSPCTHTVDKFDNILCPILCCGLDCGMFCCFFGLVFNMLGIEYSIKTTIAQQCHPCVTQVPAGEFIEGQLVTVTTAAGSPVLVTATHGGPGPYPGPTYPGPPYYGMPPHPGPPPYFGPPMYTGDASMHPPIFGPQPMPHGPPEYPPPGIDAPPLPMGPPPQMVAPSSRGPTPPAGSKEELLPATPGGPYPPPPESSM
+>sp|O14735|CDIPT_HUMAN CDP-diacylglycerol--inositol 3-phosphatidyltransferase OS=Homo sapiens OX=9606 GN=CDIPT PE=1 SV=1
+MPDENIFLFVPNLIGYARIVFAIISFYFMPCCPLTASSFYLLSGLLDAFDGHAARALNQGTRFGAMLDMLTDRCSTMCLLVNLALLYPGATLFFQISMSLDVASHWLHLHSSVVRGSESHKMIDLSGNPVLRIYYTSRPALFTLCAGNELFYCLLYLFHFSEGPLVGSVGLFRMGLWVTAPIALLKSLISVIHLITAARNMAALDAADRAKKK
+>DECOY_sp|O14735|CDIPT_HUMAN CDP-diacylglycerol--inositol 3-phosphatidyltransferase OS=Homo sapiens OX=9606 GN=CDIPT PE=1 SV=1
+KKKARDAADLAAMNRAATILHIVSILSKLLAIPATVWLGMRFLGVSGVLPGESFHFLYLLCYFLENGACLTFLAPRSTYYIRLVPNGSLDIMKHSESGRVVSSHLHLWHSAVDLSMSIQFFLTAGPYLLALNVLLCMTSCRDTLMDLMAGFRTGQNLARAAHGDFADLLGSLLYFSSATLPCCPMFYFSIIAFVIRAYGILNPVFLFINEDPM
+>sp|Q00537|CDK17_HUMAN Cyclin-dependent kinase 17 OS=Homo sapiens OX=9606 GN=CDK17 PE=1 SV=2
+MKKFKRRLSLTLRGSQTIDESLSELAEQMTIEENSSKDNEPIVKNGRPPTSHSMHSFLHQYTGSFKKPPLRRPHSVIGGSLGSFMAMPRNGSRLDIVHENLKMGSDGESDQASGTSSDEVQSPTGVCLRNRIHRRISMEDLNKRLSLPADIRIPDGYLEKLQINSPPFDQPMSRRSRRASLSEIGFGKMETYIKLEKLGEGTYATVYKGRSKLTENLVALKEIRLEHEEGAPCTAIREVSLLKDLKHANIVTLHDIVHTDKSLTLVFEYLDKDLKQYMDDCGNIMSMHNVKLFLYQILRGLAYCHRRKVLHRDLKPQNLLINEKGELKLADFGLARAKSVPTKTYSNEVVTLWYRPPDVLLGSSEYSTQIDMWGVGCIFFEMASGRPLFPGSTVEDELHLIFRLLGTPSQETWPGISSNEEFKNYNFPKYKPQPLINHAPRLDSEGIELITKFLQYESKKRVSAEEAMKHVYFRSLGPRIHALPESVSIFSLKEIQLQKDPGFRNSSYPETGHGKNRRQSMLF
+>DECOY_sp|Q00537|CDK17_HUMAN Cyclin-dependent kinase 17 OS=Homo sapiens OX=9606 GN=CDK17 PE=1 SV=2
+FLMSQRRNKGHGTEPYSSNRFGPDKQLQIEKLSFISVSEPLAHIRPGLSRFYVHKMAEEASVRKKSEYQLFKTILEIGESDLRPAHNILPQPKYKPFNYNKFEENSSIGPWTEQSPTGLLRFILHLEDEVTSGPFLPRGSAMEFFICGVGWMDIQTSYESSGLLVDPPRYWLTVVENSYTKTPVSKARALGFDALKLEGKENILLNQPKLDRHLVKRRHCYALGRLIQYLFLKVNHMSMINGCDDMYQKLDKDLYEFVLTLSKDTHVIDHLTVINAHKLDKLLSVERIATCPAGEEHELRIEKLAVLNETLKSRGKYVTAYTGEGLKELKIYTEMKGFGIESLSARRSRRSMPQDFPPSNIQLKELYGDPIRIDAPLSLRKNLDEMSIRRHIRNRLCVGTPSQVEDSSTGSAQDSEGDSGMKLNEHVIDLRSGNRPMAMFSGLSGGIVSHPRRLPPKKFSGTYQHLFSHMSHSTPPRGNKVIPENDKSSNEEITMQEALESLSEDITQSGRLTLSLRRKFKKM
+>sp|Q13191|CBLB_HUMAN E3 ubiquitin-protein ligase CBL-B OS=Homo sapiens OX=9606 GN=CBLB PE=1 SV=2
+MANSMNGRNPGGRGGNPRKGRILGIIDAIQDAVGPPKQAAADRRTVEKTWKLMDKVVRLCQNPKLQLKNSPPYILDILPDTYQHLRLILSKYDDNQKLAQLSENEYFKIYIDSLMKKSKRAIRLFKEGKERMYEEQSQDRRNLTKLSLIFSHMLAEIKAIFPNGQFQGDNFRITKADAAEFWRKFFGDKTIVPWKVFRQCLHEVHQISSGLEAMALKSTIDLTCNDYISVFEFDIFTRLFQPWGSILRNWNFLAVTHPGYMAFLTYDEVKARLQKYSTKPGSYIFRLSCTRLGQWAIGYVTGDGNILQTIPHNKPLFQALIDGSREGFYLYPDGRSYNPDLTGLCEPTPHDHIKVTQEQYELYCEMGSTFQLCKICAENDKDVKIEPCGHLMCTSCLTAWQESDGQGCPFCRCEIKGTEPIIVDPFDPRDEGSRCCSIIDPFGMPMLDLDDDDDREESLMMNRLANVRKCTDRQNSPVTSPGSSPLAQRRKPQPDPLQIPHLSLPPVPPRLDLIQKGIVRSPCGSPTGSPKSSPCMVRKQDKPLPAPPPPLRDPPPPPPERPPPIPPDNRLSRHIHHVESVPSRDPPMPLEAWCPRDVFGTNQLVGCRLLGEGSPKPGITASSNVNGRHSRVGSDPVLMRKHRRHDLPLEGAKVFSNGHLGSEEYDVPPRLSPPPPVTTLLPSIKCTGPLANSLSEKTRDPVEEDDDEYKIPSSHPVSLNSQPSHCHNVKPPVRSCDNGHCMLNGTHGPSSEKKSNIPDLSIYLKGDVFDSASDPVPLPPARPPTRDNPKHGSSLNRTPSDYDLLIPPLGEDAFDALPPSLPPPPPPARHSLIEHSKPPGSSSRPSSGQDLFLLPSDPFVDLASGQVPLPPARRLPGENVKTNRTSQDYDQLPSCSDGSQAPARPPKPRPRRTAPEIHHRKPHGPEAALENVDAKIAKLMGEGYAFEEVKRALEIAQNNVEVARSILREFAFPPPVSPRLNL
+>DECOY_sp|Q13191|CBLB_HUMAN E3 ubiquitin-protein ligase CBL-B OS=Homo sapiens OX=9606 GN=CBLB PE=1 SV=2
+LNLRPSVPPPFAFERLISRAVEVNNQAIELARKVEEFAYGEGMLKAIKADVNELAAEPGHPKRHHIEPATRRPRPKPPRAPAQSGDSCSPLQDYDQSTRNTKVNEGPLRRAPPLPVQGSALDVFPDSPLLFLDQGSSPRSSSGPPKSHEILSHRAPPPPPPLSPPLADFADEGLPPILLDYDSPTRNLSSGHKPNDRTPPRAPPLPVPDSASDFVDGKLYISLDPINSKKESSPGHTGNLMCHGNDCSRVPPKVNHCHSPQSNLSVPHSSPIKYEDDDEEVPDRTKESLSNALPGTCKISPLLTTVPPPPSLRPPVDYEESGLHGNSFVKAGELPLDHRRHKRMLVPDSGVRSHRGNVNSSATIGPKPSGEGLLRCGVLQNTGFVDRPCWAELPMPPDRSPVSEVHHIHRSLRNDPPIPPPREPPPPPPDRLPPPPAPLPKDQKRVMCPSSKPSGTPSGCPSRVIGKQILDLRPPVPPLSLHPIQLPDPQPKRRQALPSSGPSTVPSNQRDTCKRVNALRNMMLSEERDDDDDLDLMPMGFPDIISCCRSGEDRPDFPDVIIPETGKIECRCFPCGQGDSEQWATLCSTCMLHGCPEIKVDKDNEACIKCLQFTSGMECYLEYQEQTVKIHDHPTPECLGTLDPNYSRGDPYLYFGERSGDILAQFLPKNHPITQLINGDGTVYGIAWQGLRTCSLRFIYSGPKTSYKQLRAKVEDYTLFAMYGPHTVALFNWNRLISGWPQFLRTFIDFEFVSIYDNCTLDITSKLAMAELGSSIQHVEHLCQRFVKWPVITKDGFFKRWFEAADAKTIRFNDGQFQGNPFIAKIEALMHSFILSLKTLNRRDQSQEEYMREKGEKFLRIARKSKKMLSDIYIKFYENESLQALKQNDDYKSLILRLHQYTDPLIDLIYPPSNKLQLKPNQCLRVVKDMLKWTKEVTRRDAAAQKPPGVADQIADIIGLIRGKRPNGGRGGPNRGNMSNAM
+>sp|Q8N7E2|CBLL2_HUMAN E3 ubiquitin-protein ligase CBLL2 OS=Homo sapiens OX=9606 GN=CBLL2 PE=1 SV=1
+MNKMPAGEQECEYNKEGKYYSKGVKLVRKKKKIPGYRWGDIKINIIGEKDDLPIHFCDKCDLPIKIYGRIIPCKHAFCYHCANLYDKVGYKVCPRCRYPVLRIEAHKRGSVFMCSIVQQCKRTYLSQKSLQAHIKRRHKRARKQVTSASLEKVRPHIAPPQTEISDIPKRLQDRDHLSYIPPEQHTMVSLPSVQHMLQEQHNQPHKDIQAPPPELSLSLPFPIQWETVSIFTRKHGNLTVDHIQNNSDSGAKKPTPPDYYPECQSQPAVSSPHHIIPQKQHYAPPPSPSSPVNHQMPYPPQDVVTPNSVRSQVPALTTTYDPSSGYIIVKVPPDMNSPPLRAPQSQNGNPSASEFASHHYNLNILPQFTENQETLSPQFTQTDAMDHRRWPAWKRLSPCPPTRSPPPSTLHGRSHHSHQRRHRRY
+>DECOY_sp|Q8N7E2|CBLL2_HUMAN E3 ubiquitin-protein ligase CBLL2 OS=Homo sapiens OX=9606 GN=CBLL2 PE=1 SV=1
+YRRHRRQHSHHSRGHLTSPPPSRTPPCPSLRKWAPWRRHDMADTQTFQPSLTEQNETFQPLINLNYHHSAFESASPNGNQSQPARLPPSNMDPPVKVIIYGSSPDYTTTLAPVQSRVSNPTVVDQPPYPMQHNVPSSPSPPPAYHQKQPIIHHPSSVAPQSQCEPYYDPPTPKKAGSDSNNQIHDVTLNGHKRTFISVTEWQIPFPLSLSLEPPPAQIDKHPQNHQEQLMHQVSPLSVMTHQEPPIYSLHDRDQLRKPIDSIETQPPAIHPRVKELSASTVQKRARKHRRKIHAQLSKQSLYTRKCQQVISCMFVSGRKHAEIRLVPYRCRPCVKYGVKDYLNACHYCFAHKCPIIRGYIKIPLDCKDCFHIPLDDKEGIINIKIDGWRYGPIKKKKRVLKVGKSYYKGEKNYECEQEGAPMKNM
+>sp|Q8IUK8|CBLN2_HUMAN Cerebellin-2 OS=Homo sapiens OX=9606 GN=CBLN2 PE=2 SV=1
+MQAPGRGPLGLRLMMPGRRGALREPGGCGSCLGVALALLLLLLPACCPVRAQNDTEPIVLEGKCLVVCDSSPSADGAVTSSLGISVRSGSAKVAFSATRSTNHEPSEMSNRTMTIYFDQVLVNIGNHFDLASSIFVAPRKGIYSFSFHVVKVYNRQTIQVSLMQNGYPVISAFAGDQDVTREAASNGVLLLMEREDKVHLKLERGNLMGGWKYSTFSGFLVFPL
+>DECOY_sp|Q8IUK8|CBLN2_HUMAN Cerebellin-2 OS=Homo sapiens OX=9606 GN=CBLN2 PE=2 SV=1
+LPFVLFGSFTSYKWGGMLNGRELKLHVKDEREMLLLVGNSAAERTVDQDGAFASIVPYGNQMLSVQITQRNYVKVVHFSFSYIGKRPAVFISSALDFHNGINVLVQDFYITMTRNSMESPEHNTSRTASFAVKASGSRVSIGLSSTVAGDASPSSDCVVLCKGELVIPETDNQARVPCCAPLLLLLLALAVGLCSGCGGPERLAGRRGPMMLRLGLPGRGPAQM
+>sp|Q9BRT8|CBWD1_HUMAN COBW domain-containing protein 1 OS=Homo sapiens OX=9606 GN=CBWD1 PE=2 SV=1
+MLPAVGSADEEEDPAEEDCPELVPIETTQSEEEEKSGLGAKIPVTIITGYLGAGKTTLLNYILTEQHSKRVAVILNEFGEGSALEKSLAVSQGGELYEEWLELRNGCLCCSVKDSGLRAIENLMQKKGKFDYILLETTGLADPGAVASMFWVDAELGSDIYLDGIITIVDSKYGLKHLTEEKPDGLINEATRQVALADAILINKTDLVPEEDVKKLRTTIRSINGLGQILETQRSRVDLSNVLDLHAFDSLSGISLQKKLQHVPGTQPHLDQSIVTITFEVPGNAKEEHLNMFIQNLLWEKNVRNKDNHCMEVIRLKGLVSIKDKSQQVIVQGVHELYDLEETPVSWKDDTERTNRLVLLGRNLDKDILKQLFIATVTETEKQWTTRFQEDQVCT
+>DECOY_sp|Q9BRT8|CBWD1_HUMAN COBW domain-containing protein 1 OS=Homo sapiens OX=9606 GN=CBWD1 PE=2 SV=1
+TCVQDEQFRTTWQKETETVTAIFLQKLIDKDLNRGLLVLRNTRETDDKWSVPTEELDYLEHVGQVIVQQSKDKISVLGKLRIVEMCHNDKNRVNKEWLLNQIFMNLHEEKANGPVEFTITVISQDLHPQTGPVHQLKKQLSIGSLSDFAHLDLVNSLDVRSRQTELIQGLGNISRITTRLKKVDEEPVLDTKNILIADALAVQRTAENILGDPKEETLHKLGYKSDVITIIGDLYIDSGLEADVWFMSAVAGPDALGTTELLIYDFKGKKQMLNEIARLGSDKVSCCLCGNRLELWEEYLEGGQSVALSKELASGEGFENLIVAVRKSHQETLIYNLLTTKGAGLYGTIITVPIKAGLGSKEEEESQTTEIPVLEPCDEEAPDEEEDASGVAPLM
+>sp|Q4V339|CBWD6_HUMAN COBW domain-containing protein 6 OS=Homo sapiens OX=9606 GN=CBWD6 PE=3 SV=1
+MLPAVGSVDEEEDPAEEDCPELVPIETTQSEEEEKSGLGAKIPVTIITGYLGAGKTTLLNYILTEQHSKRVAVILNESGEGSALEKSLAVSQGGELYEEWLELRNGCLCCSVKDNGLRAIENLMQKKGKFDDILLETTGLADPGAVASMFWVDAELGSDIYLDGIITIVDSKYGLKHLTEEKPDGLINEATRQVALADIILINKTDLVPEEDVKKLRTTLRSINGLGQILETQRSRVDLSNVLDLHAFDSLSGISLQKKLQHVPGTQPHLDQSIVTITFDVPGNAKEEHLNMFIQNLLWEKNVRNKDNHCMEVIRLKGLVSIKDKSQQVIVQGVHELCDLEETPVSWKDDTERTNRLVLIGRNLDKDILKQLFIATVTETEKQWTTHFKEDQVCT
+>DECOY_sp|Q4V339|CBWD6_HUMAN COBW domain-containing protein 6 OS=Homo sapiens OX=9606 GN=CBWD6 PE=3 SV=1
+TCVQDEKFHTTWQKETETVTAIFLQKLIDKDLNRGILVLRNTRETDDKWSVPTEELDCLEHVGQVIVQQSKDKISVLGKLRIVEMCHNDKNRVNKEWLLNQIFMNLHEEKANGPVDFTITVISQDLHPQTGPVHQLKKQLSIGSLSDFAHLDLVNSLDVRSRQTELIQGLGNISRLTTRLKKVDEEPVLDTKNILIIDALAVQRTAENILGDPKEETLHKLGYKSDVITIIGDLYIDSGLEADVWFMSAVAGPDALGTTELLIDDFKGKKQMLNEIARLGNDKVSCCLCGNRLELWEEYLEGGQSVALSKELASGEGSENLIVAVRKSHQETLIYNLLTTKGAGLYGTIITVPIKAGLGSKEEEESQTTEIPVLEPCDEEAPDEEEDVSGVAPLM
+>sp|Q14781|CBX2_HUMAN Chromobox protein homolog 2 OS=Homo sapiens OX=9606 GN=CBX2 PE=1 SV=2
+MEELSSVGEQVFAAECILSKRLRKGKLEYLVKWRGWSSKHNSWEPEENILDPRLLLAFQKKEHEKEVQNRKRGKRPRGRPRKLTAMSSCSRRSKLKEPDAPSKSKSSSSSSSSTSSSSSSDEEDDSDLDAKRGPRGRETHPVPQKKAQILVAKPELKDPIRKKRGRKPLPPEQKATRRPVSLAKVLKTARKDLGAPASKLPPPLSAPVAGLAALKAHAKEACGGPSAMATPENLASLMKGMASSPGRGGISWQSSIVHYMNRMTQSQAQAASRLALKAQATNKCGLGLDLKVRTQKGELGMSPPGSKIPKAPSGGAVEQKVGNTGGPPHTHGASRVPAGCPGPQPAPTQELSLQVLDLQSVKNGMPGVGLLARHATATKGVPATNPAPGKGTGSGLIGASGATMPTDTSKSEKLASRAVAPPTPASKRDCVKGSATPSGQESRTAPGEARKAATLPEMSAGEESSSSDSDPDSASPPSTGQNPSVSVQTSQDWKPTRSLIEHVFVTDVTANLITVTVKESPTSVGFFNLRHY
+>DECOY_sp|Q14781|CBX2_HUMAN Chromobox protein homolog 2 OS=Homo sapiens OX=9606 GN=CBX2 PE=1 SV=2
+YHRLNFFGVSTPSEKVTVTILNATVDTVFVHEILSRTPKWDQSTQVSVSPNQGTSPPSASDPDSDSSSSEEGASMEPLTAAKRAEGPATRSEQGSPTASGKVCDRKSAPTPPAVARSALKESKSTDTPMTAGSAGILGSGTGKGPAPNTAPVGKTATAHRALLGVGPMGNKVSQLDLVQLSLEQTPAPQPGPCGAPVRSAGHTHPPGGTNGVKQEVAGGSPAKPIKSGPPSMGLEGKQTRVKLDLGLGCKNTAQAKLALRSAAQAQSQTMRNMYHVISSQWSIGGRGPSSAMGKMLSALNEPTAMASPGGCAEKAHAKLAALGAVPASLPPPLKSAPAGLDKRATKLVKALSVPRRTAKQEPPLPKRGRKKRIPDKLEPKAVLIQAKKQPVPHTERGRPGRKADLDSDDEEDSSSSSSTSSSSSSSSKSKSPADPEKLKSRRSCSSMATLKRPRGRPRKGRKRNQVEKEHEKKQFALLLRPDLINEEPEWSNHKSSWGRWKVLYELKGKRLRKSLICEAAFVQEGVSSLEEM
+>sp|O00257|CBX4_HUMAN E3 SUMO-protein ligase CBX4 OS=Homo sapiens OX=9606 GN=CBX4 PE=1 SV=3
+MELPAVGEHVFAVESIEKKRIRKGRVEYLVKWRGWSPKYNTWEPEENILDPRLLIAFQNRERQEQLMGYRKRGPKPKPLVVQVPTFARRSNVLTGLQDSSTDNRAKLDLGAQGKGQGHQYELNSKKHHQYQPHSKERAGKPPPPGKSGKYYYQLNSKKHHPYQPDPKMYDLQYQGGHKEAPSPTCPDLGAKSHPPDKWAQGAGAKGYLGAVKPLAGAAGAPGKGSEKGPPNGMMPAPKEAVTGNGIGGKMKIVKNKNKNGRIVIVMSKYMENGMQAVKIKSGEVAEGEARSPSHKKRAADERHPPADRTFKKAAGAEEKKVEAPPKRREEEVSGVSDPQPQDAGSRKLSPTKEAFGEQPLQLTTKPDLLAWDPARNTHPPSHHPHPHPHHHHHHHHHHHHAVGLNLSHVRKRCLSETHGEREPCKKRLTARSISTPTCLGGSPAAERPADLPPAAALPQPEVILLDSDLDEPIDLRCVKTRSEAGEPPSSLQVKPETPASAAVAVAAAAAPTTTAEKPPAEAQDEPAESLSEFKPFFGNIIITDVTANCLTVTFKEYVTV
+>DECOY_sp|O00257|CBX4_HUMAN E3 SUMO-protein ligase CBX4 OS=Homo sapiens OX=9606 GN=CBX4 PE=1 SV=3
+VTVYEKFTVTLCNATVDTIIINGFFPKFESLSEAPEDQAEAPPKEATTTPAAAAAVAVAASAPTEPKVQLSSPPEGAESRTKVCRLDIPEDLDSDLLIVEPQPLAAAPPLDAPREAAPSGGLCTPTSISRATLRKKCPEREGHTESLCRKRVHSLNLGVAHHHHHHHHHHHHPHPHPHHSPPHTNRAPDWALLDPKTTLQLPQEGFAEKTPSLKRSGADQPQPDSVGSVEEERRKPPAEVKKEEAGAAKKFTRDAPPHREDAARKKHSPSRAEGEAVEGSKIKVAQMGNEMYKSMVIVIRGNKNKNKVIKMKGGIGNGTVAEKPAPMMGNPPGKESGKGPAGAAGALPKVAGLYGKAGAGQAWKDPPHSKAGLDPCTPSPAEKHGGQYQLDYMKPDPQYPHHKKSNLQYYYKGSKGPPPPKGAREKSHPQYQHHKKSNLEYQHGQGKGQAGLDLKARNDTSSDQLGTLVNSRRAFTPVQVVLPKPKPGRKRYGMLQEQRERNQFAILLRPDLINEEPEWTNYKPSWGRWKVLYEVRGKRIRKKEISEVAFVHEGVAPLEM
+>sp|Q9Y3M2|CBY1_HUMAN Protein chibby homolog 1 OS=Homo sapiens OX=9606 GN=CBY1 PE=1 SV=1
+MPFFGNTFSPKKTPPRKSASLSNLHSLDRSTREVELGLEYGSPTMNLAGQSLKFENGQWIAETGVSGGVDRREVQRLRRRNQQLEEENNLLRLKVDILLDMLSESTAESHLMEKELDELRISRKRK
+>DECOY_sp|Q9Y3M2|CBY1_HUMAN Protein chibby homolog 1 OS=Homo sapiens OX=9606 GN=CBY1 PE=1 SV=1
+KRKRSIRLEDLEKEMLHSEATSESLMDLLIDVKLRLLNNEEELQQNRRRLRQVERRDVGGSVGTEAIWQGNEFKLSQGALNMTPSGYELGLEVERTSRDLSHLNSLSASKRPPTKKPSFTNGFFPM
+>sp|Q8N5N4|CC022_HUMAN Uncharacterized protein C3orf22 OS=Homo sapiens OX=9606 GN=C3orf22 PE=2 SV=1
+MDSSACKKSHQSKKWRIQAQENFAKKFPYRLSWLTEPDPEPLQPWEVTNDSNTVQLPLQKRLVPTRSIPVRGLGAPDFTSPSGSCPAPLPAPSPPPLCNLWELKLLSRRFPRQLAFLLSTRHTEAACPQTSKAAGLSRGLS
+>DECOY_sp|Q8N5N4|CC022_HUMAN Uncharacterized protein C3orf22 OS=Homo sapiens OX=9606 GN=C3orf22 PE=2 SV=1
+SLGRSLGAAKSTQPCAAETHRTSLLFALQRPFRRSLLKLEWLNCLPPPSPAPLPAPCSGSPSTFDPAGLGRVPISRTPVLRKQLPLQVTNSDNTVEWPQLPEPDPETLWSLRYPFKKAFNEQAQIRWKKSQHSKKCASSDM
+>sp|Q3SXR2|CC036_HUMAN Uncharacterized protein C3orf36 OS=Homo sapiens OX=9606 GN=C3orf36 PE=2 SV=1
+MQAETILEGLEAGLPQAVSSGLSLVPAPGLVLTCLSAPSGPGGMALEPPPTTLRKAFLAQSTLLESTLEGAPEWAAPHPEEQRRSPPACSQHTPPLPSTPTGPPPCSPGGNHPLCALSGRGGGRCSIPSLSSSSTFSLFSSGCWNPRVKLRVRKSQSQGRAGQLI
+>DECOY_sp|Q3SXR2|CC036_HUMAN Uncharacterized protein C3orf36 OS=Homo sapiens OX=9606 GN=C3orf36 PE=2 SV=1
+ILQGARGQSQSKRVRLKVRPNWCGSSFLSFTSSSSLSPISCRGGGRGSLACLPHNGGPSCPPPGTPTSPLPPTHQSCAPPSRRQEEPHPAAWEPAGELTSELLTSQALFAKRLTTPPPELAMGGPGSPASLCTLVLGPAPVLSLGSSVAQPLGAELGELITEAQM
+>sp|Q8N813|CC056_HUMAN Putative uncharacterized protein C3orf56 OS=Homo sapiens OX=9606 GN=C3orf56 PE=2 SV=1
+MGTGASEKQAEQKVRRAFEASEEAHGTLAASTPWVAMGSAYGSCTCLGAQPVTDLALWPVIYSCMGFSPQAYPAFWAYPWVLYGGYLWMGYPPPAALVPSVWLYWRGASSFDPLIGSPYLAALAPNLFPFPMKFPPTYSLASPTLGGATSSHCPQVGCWTPASSAPRAAVEGPSRGAPYLKTCKAPPSEWASRFGIWAPLPCCSSELRPLPPSPIEDSQLDPGCSRSSSRSPCRARRRLFEC
+>DECOY_sp|Q8N813|CC056_HUMAN Putative uncharacterized protein C3orf56 OS=Homo sapiens OX=9606 GN=C3orf56 PE=2 SV=1
+CEFLRRRARCPSRSSSRSCGPDLQSDEIPSPPLPRLESSCCPLPAWIGFRSAWESPPAKCTKLYPAGRSPGEVAARPASSAPTWCGVQPCHSSTAGGLTPSALSYTPPFKMPFPFLNPALAALYPSGILPDFSSAGRWYLWVSPVLAAPPPYGMWLYGGYLVWPYAWFAPYAQPSFGMCSYIVPWLALDTVPQAGLCTCSGYASGMAVWPTSAALTGHAEESAEFARRVKQEAQKESAGTGM
+>sp|Q6PK04|CC137_HUMAN Coiled-coil domain-containing protein 137 OS=Homo sapiens OX=9606 GN=CCDC137 PE=1 SV=1
+MAGAGRGAAVSRVQAGPGSPRRARGRQQVQPLGKQRPAPWPGLRSKEKKKVNCKPKNQDEQEIPFRLREIMRSRQEMKNPISNKKRKKAAQVTFRKTLEKEAKGEEPDIAVPKFKQRKGESDGAYIHRMQQEAQHVLFLSKNQAIRQPEVQAAPKEKSEQKKAKKAFQKRRLDKVRRKKEEKAADRLEQELLRDTVKFGEVVLQPPELTARPQRSVSKDQPGRRSQMLRMLLSPGGVSQPLTASLARQRIVEEERERAVQAYRALKQRQQQLHGERPHLTSRKKPEPQL
+>DECOY_sp|Q6PK04|CC137_HUMAN Coiled-coil domain-containing protein 137 OS=Homo sapiens OX=9606 GN=CCDC137 PE=1 SV=1
+LQPEPKKRSTLHPREGHLQQQRQKLARYAQVAREREEEVIRQRALSATLPQSVGGPSLLMRLMQSRRGPQDKSVSRQPRATLEPPQLVVEGFKVTDRLLEQELRDAAKEEKKRRVKDLRRKQFAKKAKKQESKEKPAAQVEPQRIAQNKSLFLVHQAEQQMRHIYAGDSEGKRQKFKPVAIDPEEGKAEKELTKRFTVQAAKKRKKNSIPNKMEQRSRMIERLRFPIEQEDQNKPKCNVKKKEKSRLGPWPAPRQKGLPQVQQRGRARRPSGPGAQVRSVAAGRGAGAM
+>sp|Q17RM4|CC142_HUMAN Coiled-coil domain-containing protein 142 OS=Homo sapiens OX=9606 GN=CCDC142 PE=2 SV=1
+MAQASRSGSLPPLVIVPPLRAQPGGTGEEQWERSRTGGLRWEVHCWPSGTSGGTPWWPTPADVSEDYEADAAAWRRGPAGGGPIPPALQRLRAVLLRLHREREQLLQARDCAYHLQSAVRLMKTLSPGSPSGGPSPLPQWCRDLQLHPSQGAVLRIGPGETLEPLLLARPIGLAAQCLEAVIEMQLRALGREPASPGLSSQLAELLFALPAYHTLQRKALSHVPGAARPFPTSRVLRLLTGERGCQVASRLDEALQGSALRDQLRRRCQEEGDLLPGLLGLVGGVAGSASCGLGLGGAGALWSQYWTLLWAACAQSLDLNLGPWRDPRATAQQLSQALGQASLPQECEKELASLCHRLLHQSLIWSWDQGFCQALGSALGGQSSLPTSSGTAELLQQLFPPLLDALREPRLRRIFCQPADPAPVALGLCTLQTTLLWFLGRAQQYLAAWDPASFLLLIQKDLPPLLHEAEALYSLASEESLALEVEQQLGLEIQKLTAQIQLLPEESLSVFSQECHKQAMQGFKLYMPRGRYWRLRLCPEPPSAPSEYAGLVVRTVLEPVLQGLQGLPPQAQAPALGQALTAIVGAWLDHILTHGIRFSLQGALQLKQDFGVVRELLEEEQWSLSPDLRQTLLMLSIFQQLDGALLCLLQQPLPKSQVHRRPPCCCACQEVQTTKLPSSCLNSLESLEPPLQPGTSPAQTGQLQSTLGGRGPSPEGYLVGNQQAWLALRQHQRPRWHLPFFSCLGTSPES
+>DECOY_sp|Q17RM4|CC142_HUMAN Coiled-coil domain-containing protein 142 OS=Homo sapiens OX=9606 GN=CCDC142 PE=2 SV=1
+SEPSTGLCSFFPLHWRPRQHQRLALWAQQNGVLYGEPSPGRGGLTSQLQGTQAPSTGPQLPPELSELSNLCSSPLKTTQVEQCACCCPPRRHVQSKPLPQQLLCLLAGDLQQFISLMLLTQRLDPSLSWQEEELLERVVGFDQKLQLAGQLSFRIGHTLIHDLWAGVIATLAQGLAPAQAQPPLGQLGQLVPELVTRVVLGAYESPASPPEPCLRLRWYRGRPMYLKFGQMAQKHCEQSFVSLSEEPLLQIQATLKQIELGLQQEVELALSEESALSYLAEAEHLLPPLDKQILLLFSAPDWAALYQQARGLFWLLTTQLTCLGLAVPAPDAPQCFIRRLRPERLADLLPPFLQQLLEATGSSTPLSSQGGLASGLAQCFGQDWSWILSQHLLRHCLSALEKECEQPLSAQGLAQSLQQATARPDRWPGLNLDLSQACAAWLLTWYQSWLAGAGGLGLGCSASGAVGGVLGLLGPLLDGEEQCRRRLQDRLASGQLAEDLRSAVQCGREGTLLRLVRSTPFPRAAGPVHSLAKRQLTHYAPLAFLLEALQSSLGPSAPERGLARLQMEIVAELCQAALGIPRALLLPELTEGPGIRLVAGQSPHLQLDRCWQPLPSPGGSPSGPSLTKMLRVASQLHYACDRAQLLQERERHLRLLVARLRQLAPPIPGGGAPGRRWAAADAEYDESVDAPTPWWPTGGSTGSPWCHVEWRLGGTRSREWQEEGTGGPQARLPPVIVLPPLSGSRSAQAM
+>sp|Q6ZUS6|CC149_HUMAN Coiled-coil domain-containing protein 149 OS=Homo sapiens OX=9606 GN=CCDC149 PE=2 SV=2
+MANQLRERHQSLKKKYRELIDGDPSLPPEKRKQANLAQLLRDSQDRNKHLGEEIKELQQRLGEVQGDNKLLRMTIAKQRLGDEAIGVRHFAAHEREDLVQQLERAKEQIESLEHDLQASVDELQDVKEERSSYQDKVERLNQELNHILSGHENRIIDVDALCMENRYLQERLKQLHEEVNLLKSNIAKYKNALERRKNSKGQGKSSSSALTGVLSAKQVQDLLSEDHGCSLPATPQSISDLKSLATALLETIHEKNMVIQHQRQTNKILGNRVAELEKKLRTLEVSGLWSLPGGKDTILFSDPTLPSGQRSRSPLLKFVEQPTENKADPKDGEAQKQEEDESCAAAEALTAPEDAGRPAVNSPANQSRGNQCKLFHPSLPQLPSEEEVNSLGREIIKLTKEQAAAELEEVRRESPIEGQRSETGPAPPGLAIQGELPKSHLDSFEASRPAAKASTPEDGKGIPEGGGMRSTVKT
+>DECOY_sp|Q6ZUS6|CC149_HUMAN Coiled-coil domain-containing protein 149 OS=Homo sapiens OX=9606 GN=CCDC149 PE=2 SV=2
+TKVTSRMGGGEPIGKGDEPTSAKAAPRSAEFSDLHSKPLEGQIALGPPAPGTESRQGEIPSERRVEELEAAAQEKTLKIIERGLSNVEEESPLQPLSPHFLKCQNGRSQNAPSNVAPRGADEPATLAEAAACSEDEEQKQAEGDKPDAKNETPQEVFKLLPSRSRQGSPLTPDSFLITDKGGPLSWLGSVELTRLKKELEAVRNGLIKNTQRQHQIVMNKEHITELLATALSKLDSISQPTAPLSCGHDESLLDQVQKASLVGTLASSSSKGQGKSNKRRELANKYKAINSKLLNVEEHLQKLREQLYRNEMCLADVDIIRNEHGSLIHNLEQNLREVKDQYSSREEKVDQLEDVSAQLDHELSEIQEKARELQQVLDEREHAAFHRVGIAEDGLRQKAITMRLLKNDGQVEGLRQQLEKIEEGLHKNRDQSDRLLQALNAQKRKEPPLSPDGDILERYKKKLSQHRERLQNAM
+>sp|Q9UNH5|CC14A_HUMAN Dual specificity protein phosphatase CDC14A OS=Homo sapiens OX=9606 GN=CDC14A PE=1 SV=1
+MAAESGELIGACEFMKDRLYFATLRNRPKSTVNTHYFSIDEELVYENFYADFGPLNLAMVYRYCCKLNKKLKSYSLSRKKIVHYTCFDQRKRANAAFLIGAYAVIYLKKTPEEAYRALLSGSNPPYLPFRDASFGNCTYNLTILDCLQGIRKGLQHGFFDFETFDVDEYEHYERVENGDFNWIVPGKFLAFSGPHPKSKIENGYPLHAPEAYFPYFKKHNVTAVVRLNKKIYEAKRFTDAGFEHYDLFFIDGSTPSDNIVRRFLNICENTEGAIAVHCKAGLGRTGTLIACYVMKHYRFTHAEIIAWIRICRPGSIIGPQQHFLEEKQASLWVQGDIFRSKLKNRPSSEGSINKILSGLDDMSIGGNLSKTQNMERFGEDNLEDDDVEMKNGITQGDKLRALKSQRQPRTSPSCAFRSDDTKGHPRAVSQPFRLSSSLQGSAVTLKTSKMALSPSATAKRINRTSLSSGATVRSFSINSRLASSLGNLNAATDDPENKKTSSSSKAGFTASPFTNLLNGSSQPTTRNYPELNNNQYNRSSNSNGGNLNSPPGPHSAKTEEHTTILRPSYTGLSSSSARFLSRSIPSLQSEYVHY
+>DECOY_sp|Q9UNH5|CC14A_HUMAN Dual specificity protein phosphatase CDC14A OS=Homo sapiens OX=9606 GN=CDC14A PE=1 SV=1
+YHVYESQLSPISRSLFRASSSSLGTYSPRLITTHEETKASHPGPPSNLNGGNSNSSRNYQNNNLEPYNRTTPQSSGNLLNTFPSATFGAKSSSSTKKNEPDDTAANLNGLSSALRSNISFSRVTAGSSLSTRNIRKATASPSLAMKSTKLTVASGQLSSSLRFPQSVARPHGKTDDSRFACSPSTRPQRQSKLARLKDGQTIGNKMEVDDDELNDEGFREMNQTKSLNGGISMDDLGSLIKNISGESSPRNKLKSRFIDGQVWLSAQKEELFHQQPGIISGPRCIRIWAIIEAHTFRYHKMVYCAILTGTRGLGAKCHVAIAGETNECINLFRRVINDSPTSGDIFFLDYHEFGADTFRKAEYIKKNLRVVATVNHKKFYPFYAEPAHLPYGNEIKSKPHPGSFALFKGPVIWNFDGNEVREYHEYEDVDFTEFDFFGHQLGKRIGQLCDLITLNYTCNGFSADRFPLYPPNSGSLLARYAEEPTKKLYIVAYAGILFAANARKRQDFCTYHVIKKRSLSYSKLKKNLKCCYRYVMALNLPGFDAYFNEYVLEEDISFYHTNVTSKPRNRLTAFYLRDKMFECAGILEGSEAAM
+>sp|Q4G0S7|CC152_HUMAN Coiled-coil domain-containing protein 152 OS=Homo sapiens OX=9606 GN=CCDC152 PE=2 SV=3
+MDQSSEGCMKKISSVNLDKLINDFSQIEKKMVETNGKNNILDIQLEKSNCLLKVMQAKEVSIKEECATLHNIIKGLQQTIEYQQNLKGENEQLKISADLIKEKLKSHEQEYKNNIAKLVSEMKIKEEGYKKEISKLYQDMQRKVELNEEKHKELIEKKEMEISELNAKLRSQEKEKQNEIIKLQLEFDAKLARVQTKSKSYQDSTVLPQSIYRRKLQHFQEEKNKEIAILRNTIRDLEQRLSVGKDSHLKRRRF
+>DECOY_sp|Q4G0S7|CC152_HUMAN Coiled-coil domain-containing protein 152 OS=Homo sapiens OX=9606 GN=CCDC152 PE=2 SV=3
+FRRRKLHSDKGVSLRQELDRITNRLIAIEKNKEEQFHQLKRRYISQPLVTSDQYSKSKTQVRALKADFELQLKIIENQKEKEQSRLKANLESIEMEKKEILEKHKEENLEVKRQMDQYLKSIEKKYGEEKIKMESVLKAINNKYEQEHSKLKEKILDASIKLQENEGKLNQQYEITQQLGKIINHLTACEEKISVEKAQMVKLLCNSKELQIDLINNKGNTEVMKKEIQSFDNILKDLNVSSIKKMCGESSQDM
+>sp|P0C7I6|CC159_HUMAN Coiled-coil domain-containing protein 159 OS=Homo sapiens OX=9606 GN=CCDC159 PE=2 SV=2
+MGEHEQVKPLETSSSKVKAKTIVMIPDSQKLLRCELESLKSQLQAQTKAFEFLNHSVTMLEKESCLQQIKIQQLEEVLSPTGRQGEKEEHKWGMEQGRQELYGALTQGLQGLEKTLRDSEEMQRARTTRCLQLLAQEIRDSKKFLWEELELVREEVTFIYQKLQAQEDEISENLVNIQKMQKTQVKCRKILTKMKQQGHETAACPETEEIPQGASGCWKDDLQKELSDIWSAVHVLQNSIDSLTLCSGACPKASSLRGHKGHQCLSPPLPSWDSDSDCDQDLSQPPFSKSGRSFPPA
+>DECOY_sp|P0C7I6|CC159_HUMAN Coiled-coil domain-containing protein 159 OS=Homo sapiens OX=9606 GN=CCDC159 PE=2 SV=2
+APPFSRGSKSFPPQSLDQDCDSDSDWSPLPPSLCQHGKHGRLSSAKPCAGSCLTLSDISNQLVHVASWIDSLEKQLDDKWCGSAGQPIEETEPCAATEHGQQKMKTLIKRCKVQTKQMKQINVLNESIEDEQAQLKQYIFTVEERVLELEEWLFKKSDRIEQALLQLCRTTRARQMEESDRLTKELGQLGQTLAGYLEQRGQEMGWKHEEKEGQRGTPSLVEELQQIKIQQLCSEKELMTVSHNLFEFAKTQAQLQSKLSELECRLLKQSDPIMVITKAKVKSSSTELPKVQEHEGM
+>sp|Q8N715|CC185_HUMAN Coiled-coil domain-containing protein 185 OS=Homo sapiens OX=9606 GN=CCDC185 PE=1 SV=2
+MAGFSHFSQPPYRDLWEPPRPGGERESTQRLGGQRSGADSTACSRAGTPGAESEAGACWLHPHCSFTPRPRRRGCSDSLRGSRSLSDVARRPLERSRKHRPRSRRLEDAWGETGTKPRPAWQPQTQLPPQRPQPCPHYPLAQGDSPPPCPGGAGTPLSGTFRVEKAQGGDQWAVPLGRHLGRWSPSSVPSERSSVPSQKFKRHSACVCAQKRDSSDQVESLASRDSQPLASSKEMRSPHTQVLKSKLEEVVVSSQDQQIVALVLTRLKKAQRIRELQQQAAKAWEELKRSDQKVQMTLERERRLLLRQSQEQWQEKEQRKTLQSPEQRGLRRDSQRKNVPPGESRWKEQPEDQESPRQEKLEKARAQAEHRKQCQVRRLREQEKMLRNLREQHSLQLQRRLVEACRKRHLHAVEGQKKVQDTNLSSLINYQARKVLMDCQAKAEELLRQLSLEQSFQRSQEIHQGLRKERQRELREKAQKEEEQLQQARWRAGESEEQRKMRKRILVELADEKIRQARSHVHKTTRDKVQHLRELNHLREKNHHILKLKAEKEEKCHIEGIKEAIKKKEQRVQHISQGKDPNFQEFQKLPQASRREERAPPNSSLDQMVLEAQLRACQQNRGY
+>DECOY_sp|Q8N715|CC185_HUMAN Coiled-coil domain-containing protein 185 OS=Homo sapiens OX=9606 GN=CCDC185 PE=1 SV=2
+YGRNQQCARLQAELVMQDLSSNPPAREERRSAQPLKQFEQFNPDKGQSIHQVRQEKKKIAEKIGEIHCKEEKEAKLKLIHHNKERLHNLERLHQVKDRTTKHVHSRAQRIKEDALEVLIRKRMKRQEESEGARWRAQQLQEEEKQAKERLERQREKRLGQHIEQSRQFSQELSLQRLLEEAKAQCDMLVKRAQYNILSSLNTDQVKKQGEVAHLHRKRCAEVLRRQLQLSHQERLNRLMKEQERLRRVQCQKRHEAQARAKELKEQRPSEQDEPQEKWRSEGPPVNKRQSDRRLGRQEPSQLTKRQEKEQWQEQSQRLLLRRERELTMQVKQDSRKLEEWAKAAQQQLERIRQAKKLRTLVLAVIQQDQSSVVVEELKSKLVQTHPSRMEKSSALPQSDRSALSEVQDSSDRKQACVCASHRKFKQSPVSSRESPVSSPSWRGLHRGLPVAWQDGGQAKEVRFTGSLPTGAGGPCPPPSDGQALPYHPCPQPRQPPLQTQPQWAPRPKTGTEGWADELRRSRPRHKRSRELPRRAVDSLSRSGRLSDSCGRRRPRPTFSCHPHLWCAGAESEAGPTGARSCATSDAGSRQGGLRQTSEREGGPRPPEWLDRYPPQSFHSFGAM
+>sp|Q86UF4|CC190_HUMAN Coiled-coil domain-containing protein 190 OS=Homo sapiens OX=9606 GN=CCDC190 PE=2 SV=2
+MERHMVRGQLYKHFDLERKNAKQAEARLDQRLQRLKVICLYHVKLLTWEQRQLQKELQRLQQAETMKKKFSSYLGNGFQKRPEDVLVFSPQGRQKHRAPQAKKMRALATRMAQDTCKSKSQVPPSHDAGLKDPMKSKKQPLSQNNRTACFIKEQPQAQEKDSVNPSKDVDPSKGISVPCQNQEVSTNTIEQGPSSSPASDSGMACADETRSKDVALKPDGNTGKQIPPKHMECAGSFEGEFTKPTFLELLSKARNAHYLRHRVPPESERLLSIGEIFGHGESSSSRAGKECENRVPSKFLPL
+>DECOY_sp|Q86UF4|CC190_HUMAN Coiled-coil domain-containing protein 190 OS=Homo sapiens OX=9606 GN=CCDC190 PE=2 SV=2
+LPLFKSPVRNECEKGARSSSSEGHGFIEGISLLRESEPPVRHRLYHANRAKSLLELFTPKTFEGEFSGACEMHKPPIQKGTNGDPKLAVDKSRTEDACAMGSDSAPSSSPGQEITNTSVEQNQCPVSIGKSPDVDKSPNVSDKEQAQPQEKIFCATRNNQSLPQKKSKMPDKLGADHSPPVQSKSKCTDQAMRTALARMKKAQPARHKQRGQPSFVLVDEPRKQFGNGLYSSFKKKMTEAQQLRQLEKQLQRQEWTLLKVHYLCIVKLRQLRQDLRAEAQKANKRELDFHKYLQGRVMHREM
+>sp|Q0P6D6|CCD15_HUMAN Coiled-coil domain-containing protein 15 OS=Homo sapiens OX=9606 GN=CCDC15 PE=2 SV=2
+MLGSMARKKPRNTSRLPLALNPLKSKDVLAVLAERNEAIVPVGAWVEPASPGSSEIPAYTSAYLIEEELKEQLRKKQEALKHFQKQVKYRVNQQIRLRKKQQLQKSYERAQKEGSIAMQSSATHLTSKRTSVFPNNLNVAIGSSRLPPSLMPGDGIEDEENQNELFQQQAQALSETMKQARHRLASFKTVIKKKGSVFPDDGRKSFLTREEVLSRKPASTGINTGIRGELPIKVHQGLLAAVPYQNYMENQELDYEEPDYEESSSLVTDEKGKEDLFGRGQQDQQAIHSEDKNKPFSRVQKVKFKNPLFVLMEEEEQKQLHFEGLQDILPEAQDYFLEAQGDLLETQGDLTGIQSVKPDTQAVEMKVQVTEPEGQAIEPEGQPIKTETQGIMLKAQSIELEEGSIVLKTQDFLPTNQALLTKNQDVLLKDHCVLPKDQSILLKYQDQDFLPRDQHVLHKDQDILPKYQDQNFLPKDQNFLSRDQHVLPKDQDILPKYQDQNFLPKDQNFLSRDQHVLPKDQNILPKYQGQDFLPKDQDFLSRDQHVLPKDWNILPKCQDQDFLPRDQGVLPKDQNILPICQDQDFLPRDQGYLPKDQNILPICQDRDFLPRDLHVLSNDQNILPKCQDQDFLPKYQKVHFKEPYSDMTDEKGREDFSLADYQCLPPKSQDQDDIKNQQPASFMREERVREELPLDYHQYVVPKIQDQDSPREQNKHIKLPSSFEKWEIARGNTPGVPLAYDRYQSGLSTEFQAPLAFQSDVDKEEDKKERQKQYLRHRRLFMDIEREQVKEQQRQKEQKKKIEKIKKKREQECYAAEQRILRMNFHEDPYSGEKLSEILAQLQLQEIKGTREKQQREKEYLRYVEALRAQIQEKMQLYNITLPPLCCCGPDFWDAHPDTCANNCIFYKNHRAYTRALHSFINSCDVPGGNSTLRVAIHNFASAHRRTLKNL
+>DECOY_sp|Q0P6D6|CCD15_HUMAN Coiled-coil domain-containing protein 15 OS=Homo sapiens OX=9606 GN=CCDC15 PE=2 SV=2
+LNKLTRRHASAFNHIAVRLTSNGGPVDCSNIFSHLARTYARHNKYFICNNACTDPHADWFDPGCCCLPPLTINYLQMKEQIQARLAEVYRLYEKERQQKERTGKIEQLQLQALIESLKEGSYPDEHFNMRLIRQEAAYCEQERKKKIKEIKKKQEKQRQQEKVQEREIDMFLRRHRLYQKQREKKDEEKDVDSQFALPAQFETSLGSQYRDYALPVGPTNGRAIEWKEFSSPLKIHKNQERPSDQDQIKPVVYQHYDLPLEERVREERMFSAPQQNKIDDQDQSKPPLCQYDALSFDERGKEDTMDSYPEKFHVKQYKPLFDQDQCKPLINQDNSLVHLDRPLFDRDQCIPLINQDKPLYGQDRPLFDQDQCIPLINQDKPLVGQDRPLFDQDQCKPLINWDKPLVHQDRSLFDQDKPLFDQGQYKPLINQDKPLVHQDRSLFNQDKPLFNQDQYKPLIDQDKPLVHQDRSLFNQDKPLFNQDQYKPLIDQDKHLVHQDRPLFDQDQYKLLISQDKPLVCHDKLLVDQNKTLLAQNTPLFDQTKLVISGEELEISQAKLMIGQTETKIPQGEPEIAQGEPETVQVKMEVAQTDPKVSQIGTLDGQTELLDGQAELFYDQAEPLIDQLGEFHLQKQEEEEMLVFLPNKFKVKQVRSFPKNKDESHIAQQDQQGRGFLDEKGKEDTVLSSSEEYDPEEYDLEQNEMYNQYPVAALLGQHVKIPLEGRIGTNIGTSAPKRSLVEERTLFSKRGDDPFVSGKKKIVTKFSALRHRAQKMTESLAQAQQQFLENQNEEDEIGDGPMLSPPLRSSGIAVNLNNPFVSTRKSTLHTASSQMAISGEKQAREYSKQLQQKKRLRIQQNVRYKVQKQFHKLAEQKKRLQEKLEEEILYASTYAPIESSGPSAPEVWAGVPVIAENREALVALVDKSKLPNLALPLRSTNRPKKRAMSGLM
+>sp|Q96LX7|CCD17_HUMAN Coiled-coil domain-containing protein 17 OS=Homo sapiens OX=9606 GN=CCDC17 PE=1 SV=2
+MDSHSGEPALLPCGTCDMVFRSSALLATHTQRFCIGHPTQEMTFGAQASVATEPQRAAVVPQEHQGVPQEPQGLPDQQASRSALKRLTEEVQWLRLSLQEMRPWITEVPRVFAGPWTRSEARPQSPMSEAVGSPSERLRALFRTRARRVAEMEAQSRALQLRGEELSRRLQVVACTRGGMSRLFGLEQEIRELQAEAGRTRGALEVLGARIQELQAEPGNPLSSRREAELYSPVQKANPGTLAAEIRALREAYIRDGGRDPGVLGQIWQLQVEASALELQRSQTRRGRAGATSGELPVVEAENRRLEAEILALQMQRGRAPLGPQDLRLLGDASLQPKGRRDPPLLPPPVAPPLPPLPGFSEPQLPGTMTRNLGLDSHFLLPTSDMLGPAPYDPGAGLVIFYDFLRGLEASWIWVQLRTGLARDGRDTGRTTALPPALCLPPPPAPGPMGNCAILASRQPVPRLPPSSSVSLVCELQVWQGLAWARAPQPKAWVSLGLFDQDQRVLSGRWRLPLRALPLDPSLSLGQLNGIPQAGQAELFLRLVNARDAAVQTLAEINPASVHEYQYPPPVSSTSSLEASFLTPAVGFADPPPRTEEPLSGVKDRDEGLGPHHSSDLPPVSF
+>DECOY_sp|Q96LX7|CCD17_HUMAN Coiled-coil domain-containing protein 17 OS=Homo sapiens OX=9606 GN=CCDC17 PE=1 SV=2
+FSVPPLDSSHHPGLGEDRDKVGSLPEETRPPPDAFGVAPTLFSAELSSTSSVPPPYQYEHVSAPNIEALTQVAADRANVLRLFLEAQGAQPIGNLQGLSLSPDLPLARLPLRWRGSLVRQDQDFLGLSVWAKPQPARAWALGQWVQLECVLSVSSSPPLRPVPQRSALIACNGMPGPAPPPPLCLAPPLATTRGTDRGDRALGTRLQVWIWSAELGRLFDYFIVLGAGPDYPAPGLMDSTPLLFHSDLGLNRTMTGPLQPESFGPLPPLPPAVPPPLLPPDRRGKPQLSADGLLRLDQPGLPARGRQMQLALIEAELRRNEAEVVPLEGSTAGARGRRTQSRQLELASAEVQLQWIQGLVGPDRGGDRIYAERLARIEAALTGPNAKQVPSYLEAERRSSLPNGPEAQLEQIRAGLVELAGRTRGAEAQLERIEQELGFLRSMGGRTCAVVQLRRSLEEGRLQLARSQAEMEAVRRARTRFLARLRESPSGVAESMPSQPRAESRTWPGAFVRPVETIWPRMEQLSLRLWQVEETLRKLASRSAQQDPLGQPEQPVGQHEQPVVAARQPETAVSAQAGFTMEQTPHGICFRQTHTALLASSRFVMDCTGCPLLAPEGSHSDM
+>sp|Q8TAB7|CCD26_HUMAN Putative coiled-coil domain-containing protein 26 OS=Homo sapiens OX=9606 GN=CCDC26 PE=5 SV=1
+MERLCLQPGLLPTAVYLPHWERSSRDREEKEAPFFRLLSRRLMFCVHARQRTQNVPINKYQRLVVKMKEEEALREKLNMQNITHKENQNAGSLEMIDNMLKQEERRELK
+>DECOY_sp|Q8TAB7|CCD26_HUMAN Putative coiled-coil domain-containing protein 26 OS=Homo sapiens OX=9606 GN=CCDC26 PE=5 SV=1
+KLERREEQKLMNDIMELSGANQNEKHTINQMNLKERLAEEEKMKVVLRQYKNIPVNQTRQRAHVCFMLRRSLLRFFPAEKEERDRSSREWHPLYVATPLLGPQLCLREM
+>sp|Q502W7|CCD38_HUMAN Coiled-coil domain-containing protein 38 OS=Homo sapiens OX=9606 GN=CCDC38 PE=2 SV=1
+MSSNLLPTLNSGGKVKDGSTKEDRPYKIFFRDLFLVKENEMAAKETEKFMNRNMKVYQKTTFSSRMKSHSYLSQLAFYPKRSGRSFEKFGPGPAPIPRLIEGSDTKRTVHEFINDQRDRFLLEYALSTKRNTIKKFEKDIAMRERQLKKAEKKLQDDALAFEEFLRENDQRSVDALKMAAQETINKLQMTAELKKASMEVQAVKSEIAKTEFLLREYMKYGFFLLQMSPKHWQIQQALKRAQASKSKANIILPKILAKLSLHSSNKEGILEESGRTAVLSEDASQGRDSQGKPSRSLTRTPEKKKSNLAESFGSEDSLEFLLDDEMDVDLEPALYFKEPEELLQVLRELEEQNLTLFQYSQDVDENLEEVNKREKVIQDKTNSNIEFLLEQEKMLKANCVREEEKAAELQLKSKLFSFGEFNSDAQEILIDSLSKKITQVYKVCIGDAEDDGLNPIQKLVKVESRLVELCDLIESIPKENVEAIERMKQKEWRQKFRDEKMKEKQRHQQERLKAALEKAVAQPKKKLGRRLVFHSKPPSGNKQQLPLVNETKTKSQEEEYFFT
+>DECOY_sp|Q502W7|CCD38_HUMAN Coiled-coil domain-containing protein 38 OS=Homo sapiens OX=9606 GN=CCDC38 PE=2 SV=1
+TFFYEEEQSKTKTENVLPLQQKNGSPPKSHFVLRRGLKKKPQAVAKELAAKLREQQHRQKEKMKEDRFKQRWEKQKMREIAEVNEKPISEILDCLEVLRSEVKVLKQIPNLGDDEADGICVKYVQTIKKSLSDILIEQADSNFEGFSFLKSKLQLEAAKEEERVCNAKLMKEQELLFEINSNTKDQIVKERKNVEELNEDVDQSYQFLTLNQEELERLVQLLEEPEKFYLAPELDVDMEDDLLFELSDESGFSEALNSKKKEPTRTLSRSPKGQSDRGQSADESLVATRGSEELIGEKNSSHLSLKALIKPLIINAKSKSAQARKLAQQIQWHKPSMQLLFFGYKMYERLLFETKAIESKVAQVEMSAKKLEATMQLKNITEQAAMKLADVSRQDNERLFEEFALADDQLKKEAKKLQRERMAIDKEFKKITNRKTSLAYELLFRDRQDNIFEHVTRKTDSGEILRPIPAPGPGFKEFSRGSRKPYFALQSLYSHSKMRSSFTTKQYVKMNRNMFKETEKAAMENEKVLFLDRFFIKYPRDEKTSGDKVKGGSNLTPLLNSSM
+>sp|Q96M95|CCD42_HUMAN Coiled-coil domain-containing protein 42 OS=Homo sapiens OX=9606 GN=CCDC42 PE=1 SV=2
+MSLGIMEEEDLAEYFRLQYGERLLQMLQKLPNVEGASESPSIWLLEKKKETEIMHQTMVQKKKMFQRRMETLNLRWEELGVKEAQLKAHIQKSEQFIQENDQKRIRAMKKANKERELKCQHMQELTKRKQEMVALRLEHQRLSAKLKDYYIFNKYLEKVVENSEFEEIHEVIARYKTLVSMRHDLMQSAQEGQEKIERAKARLARYMEEKDDEILQQNNELARLQMRFDRARSNVIFWESRWAHIQNTAAKKTLLLGTIKMATLNLFQIVSKHLKEVTEVALEDTHKQLDMIQQFIQDRSDIWAEVKKKEQQRVRI
+>DECOY_sp|Q96M95|CCD42_HUMAN Coiled-coil domain-containing protein 42 OS=Homo sapiens OX=9606 GN=CCDC42 PE=1 SV=2
+IRVRQQEKKKVEAWIDSRDQIFQQIMDLQKHTDELAVETVEKLHKSVIQFLNLTAMKITGLLLTKKAATNQIHAWRSEWFIVNSRARDFRMQLRALENNQQLIEDDKEEMYRALRAKAREIKEQGEQASQMLDHRMSVLTKYRAIVEHIEEFESNEVVKELYKNFIYYDKLKASLRQHELRLAVMEQKRKTLEQMHQCKLEREKNAKKMARIRKQDNEQIFQESKQIHAKLQAEKVGLEEWRLNLTEMRRQFMKKKQVMTQHMIETEKKKELLWISPSESAGEVNPLKQLMQLLREGYQLRFYEALDEEEMIGLSM
+>sp|Q96MW1|CCD43_HUMAN Coiled-coil domain-containing protein 43 OS=Homo sapiens OX=9606 GN=CCDC43 PE=1 SV=2
+MAAPSEVAAIAPGEGDGGGGGFGSWLDGRLEALGVDRAVYGAYILGILQEEEEEEKLDALQGILSAFLEEDSLLNICKEIVERWSETQNVVTKVKKEDEVQAIATLIEKQAQIVVKPRMVSEEEKQRKAALLAQYADVTDEEDEADEKDDSGATTMNIGSDKLLFRNTNVEDVLNARKLERDSLRDESQRKKEQDKLQRERDKLAKQERKEKEKKRTQRGERKR
+>DECOY_sp|Q96MW1|CCD43_HUMAN Coiled-coil domain-containing protein 43 OS=Homo sapiens OX=9606 GN=CCDC43 PE=1 SV=2
+RKREGRQTRKKEKEKREQKALKDRERQLKDQEKKRQSEDRLSDRELKRANLVDEVNTNRFLLKDSGINMTTAGSDDKEDAEDEEDTVDAYQALLAAKRQKEEESVMRPKVVIQAQKEILTAIAQVEDEKKVKTVVNQTESWREVIEKCINLLSDEELFASLIGQLADLKEEEEEEQLIGLIYAGYVARDVGLAELRGDLWSGFGGGGGDGEGPAIAAVESPAAM
+>sp|Q9BR77|CCD77_HUMAN Coiled-coil domain-containing protein 77 OS=Homo sapiens OX=9606 GN=CCDC77 PE=1 SV=1
+MNFTPTHTPVCRKRTVVSKRGVAVSGPTKRRGMADSLESTPLPSPEDRLAKLHPSKELLEYYQKKMAECEAENEDLLKKLELYKEACEGQHKLECDLQQREEEIAELQKALSDMQVCLFQEREHVLRLYSENDRLRIRELEDKKKIQNLLALVGTDAGEVTYFCKEPPHKVTILQKTIQAVGECEQSESSAFKADPKISKRRPSRERKESSEHYQRDIQTLILQVEALQAQLGEQTKLSREQIEGLIEDRRIHLEEIQVQHQRNQNKIKELTKNLHHTQELLYESTKDFLQLRSENQNKEKSWMLEKDNLMSKIKQYRVQCKKKEDKIGKVLPVMHESHHAQSEYIKSLKDKLVQEKKLSNMYQEQCISLEEELARIREEEGMRREIFKDRTNKMGKRLQIMTKRYEALERRRILEVEGFKTDIKVLRQKLKDLEQMLYKATVNARANQDLALLCEVRDSNRRAHKIQGELKNLKSKVFGLENELRLC
+>DECOY_sp|Q9BR77|CCD77_HUMAN Coiled-coil domain-containing protein 77 OS=Homo sapiens OX=9606 GN=CCDC77 PE=1 SV=1
+CLRLENELGFVKSKLNKLEGQIKHARRNSDRVECLLALDQNARANVTAKYLMQELDKLKQRLVKIDTKFGEVELIRRRELAEYRKTMIQLRKGMKNTRDKFIERRMGEEERIRALEEELSICQEQYMNSLKKEQVLKDKLSKIYESQAHHSEHMVPLVKGIKDEKKKCQVRYQKIKSMLNDKELMWSKEKNQNESRLQLFDKTSEYLLEQTHHLNKTLEKIKNQNRQHQVQIEELHIRRDEILGEIQERSLKTQEGLQAQLAEVQLILTQIDRQYHESSEKRERSPRRKSIKPDAKFASSESQECEGVAQITKQLITVKHPPEKCFYTVEGADTGVLALLNQIKKKDELERIRLRDNESYLRLVHEREQFLCVQMDSLAKQLEAIEEERQQLDCELKHQGECAEKYLELKKLLDENEAECEAMKKQYYELLEKSPHLKALRDEPSPLPTSELSDAMGRRKTPGSVAVGRKSVVTRKRCVPTHTPTFNM
+>sp|Q8IWF9|CCD83_HUMAN Coiled-coil domain-containing protein 83 OS=Homo sapiens OX=9606 GN=CCDC83 PE=2 SV=2
+MENSGKANKKDTHDGPPKEIKLPTSEALLDYQCQIKEDAVEQFMFQIKTLRKKNQKYHERNSRLKEEQIWHIRHLLKELSEEKAEGLPVVTREDVEEAMKEKWKFERDQEKNLRDMRMQISNAEKLFLEKLSEKEYWEEYKNVGSERHAKLITSLQNDINTVKENAEKMSEHYKITLEDTRKKIIKETLLQLDQKKEWATQNAVKLIDKGSYLEIWENDWLKKEVAIHRKEVEELKNAIHELEAENLVLIDQLSNCRLVDLKIPRRLYLTQAAGLEVPPEEMSLELPETHIEEKSELQPTEVESRDLMSSSDESTILHLSHENSIEDLQYVKIDKEENSGTEFGDTDMKYLLYEDEKDFKDYVNLGPLGVKLMSVESKKMPIHFQEKEIPVKLYKDVRSPESHITYKMMKSFL
+>DECOY_sp|Q8IWF9|CCD83_HUMAN Coiled-coil domain-containing protein 83 OS=Homo sapiens OX=9606 GN=CCDC83 PE=2 SV=2
+LFSKMMKYTIHSEPSRVDKYLKVPIEKEQFHIPMKKSEVSMLKVGLPGLNVYDKFDKEDEYLLYKMDTDGFETGSNEEKDIKVYQLDEISNEHSLHLITSEDSSSMLDRSEVETPQLESKEEIHTEPLELSMEEPPVELGAAQTLYLRRPIKLDVLRCNSLQDILVLNEAELEHIANKLEEVEKRHIAVEKKLWDNEWIELYSGKDILKVANQTAWEKKQDLQLLTEKIIKKRTDELTIKYHESMKEANEKVTNIDNQLSTILKAHRESGVNKYEEWYEKESLKELFLKEANSIQMRMDRLNKEQDREFKWKEKMAEEVDERTVVPLGEAKEESLEKLLHRIHWIQEEKLRSNREHYKQNKKRLTKIQFMFQEVADEKIQCQYDLLAESTPLKIEKPPGDHTDKKNAKGSNEM
+>sp|Q53HC0|CCD92_HUMAN Coiled-coil domain-containing protein 92 OS=Homo sapiens OX=9606 GN=CCDC92 PE=1 SV=2
+MTSPHFSSYDEGPLDVSMAATNLENQLHSAQKNLLFLQREHASTLKGLHSEIRRLQQHCTDLTYELTVKSSEQTGDGTSKSSELKKRCEELEAQLKVKENENAELLKELEQKNAMITVLENTIKEREKKYLEELKAKSHKLTLLSSELEQRASTIAYLTSQLHAAKKKLMSSSGTSDASPSGSPVLASYKPAPPKDKLPETPRRRMKKSLSAPLHPEFEEVYRFGAESRKLLLREPVDAMPDPTPFLLARESAEVHLIKERPLVIPPIASDRSGEQHSPAREKPHKAHVGVAHRIHHATPPQAQPEVKTLAVDQVNGGKVVRKHSGTDRTV
+>DECOY_sp|Q53HC0|CCD92_HUMAN Coiled-coil domain-containing protein 92 OS=Homo sapiens OX=9606 GN=CCDC92 PE=1 SV=2
+VTRDTGSHKRVVKGGNVQDVALTKVEPQAQPPTAHHIRHAVGVHAKHPKERAPSHQEGSRDSAIPPIVLPREKILHVEASERALLFPTPDPMADVPERLLLKRSEAGFRYVEEFEPHLPASLSKKMRRRPTEPLKDKPPAPKYSALVPSGSPSADSTGSSSMLKKKAAHLQSTLYAITSARQELESSLLTLKHSKAKLEELYKKEREKITNELVTIMANKQELEKLLEANENEKVKLQAELEECRKKLESSKSTGDGTQESSKVTLEYTLDTCHQQLRRIESHLGKLTSAHERQLFLLNKQASHLQNELNTAAMSVDLPGEDYSSFHPSTM
+>sp|Q6ZUT6|CCD9B_HUMAN Coiled-coil domain-containing protein 9B OS=Homo sapiens OX=9606 GN=CCDC9B PE=1 SV=1
+MISCAEQRSRQGEAGRGPAPVAPAFLPLWLPRGCSGILSVPAVAMHSAGTPRAESPMSRQEKDAELDRRIVALRKKNQALLRRYQEIQEDRRQAEQGGMAVTTPALLQPDGLTVTISQVPGEKRVVSRNWARGTCGPRVTNEMLEDEDAEDHGGTFCLGELVELAVTMENKAEGKRIVSEKPTRARNQGIEGSPGGRVTRSPPTQVAISSDSARKGSWEPWSRPVGEPPEAGWDYAQWKQEREQIDLARLARHRDAQGDWRRPWDLDKAKSTLQDCSQLRGEGPARAGSRRGPRSHQKLQPPPLLPDGKGRGGQASRPSVAPATGSKARGKERLTGRARRWDMKEDKEELEGQEGSQSTRETPSEEEQAQKQSGMEQGRLGSAPAASPALASPEGPKGESVASTASSVPCSPQEPDLAPLDLSLGGAGIPGPRESGCVLGLRPGAQESPVSWPEGSKQQPLGWSNHQAELEVQTCPEPQRGAGLPEPGEDRSGKSGAQQGLAPRSRPTRGGSQRSRGTAGVRRRTGRPGPAGRC
+>DECOY_sp|Q6ZUT6|CCD9B_HUMAN Coiled-coil domain-containing protein 9B OS=Homo sapiens OX=9606 GN=CCDC9B PE=1 SV=1
+CRGAPGPRGTRRRVGATGRSRQSGGRTPRSRPALGQQAGSKGSRDEGPEPLGAGRQPEPCTQVELEAQHNSWGLPQQKSGEPWSVPSEQAGPRLGLVCGSERPGPIGAGGLSLDLPALDPEQPSCPVSSATSAVSEGKPGEPSALAPSAAPASGLRGQEMGSQKQAQEEESPTERTSQSGEQGELEEKDEKMDWRRARGTLREKGRAKSGTAPAVSPRSAQGGRGKGDPLLPPPQLKQHSRPGRRSGARAPGEGRLQSCDQLTSKAKDLDWPRRWDGQADRHRALRALDIQEREQKWQAYDWGAEPPEGVPRSWPEWSGKRASDSSIAVQTPPSRTVRGGPSGEIGQNRARTPKESVIRKGEAKNEMTVALEVLEGLCFTGGHDEADEDELMENTVRPGCTGRAWNRSVVRKEGPVQSITVTLGDPQLLAPTTVAMGGQEAQRRDEQIEQYRRLLAQNKKRLAVIRRDLEADKEQRSMPSEARPTGASHMAVAPVSLIGSCGRPLWLPLFAPAVPAPGRGAEGQRSRQEACSIM
+>sp|O60359|CCG3_HUMAN Voltage-dependent calcium channel gamma-3 subunit OS=Homo sapiens OX=9606 GN=CACNG3 PE=2 SV=1
+MRMCDRGIQMLITTVGAFAAFSLMTIAVGTDYWLYSRGVCRTKSTSDNETSRKNEEVMTHSGLWRTCCLEGAFRGVCKKIDHFPEDADYEQDTAEYLLRAVRASSVFPILSVTLLFFGGLCVAASEFHRSRHNVILSAGIFFVSAGLSNIIGIIVYISANAGDPGQRDSKKSYSYGWSFYFGAFSFIIAEIVGVVAVHIYIEKHQQLRAKSHSEFLKKSTFARLPPYRYRFRRRSSSRSTEPRSRDLSPISKGFHTIPSTDISMFTLSRDPSKITMGTLLNSDRDHAFLQFHNSTPKEFKESLHNNPANRRTTPV
+>DECOY_sp|O60359|CCG3_HUMAN Voltage-dependent calcium channel gamma-3 subunit OS=Homo sapiens OX=9606 GN=CACNG3 PE=2 SV=1
+VPTTRRNAPNNHLSEKFEKPTSNHFQLFAHDRDSNLLTGMTIKSPDRSLTFMSIDTSPITHFGKSIPSLDRSRPETSRSSSRRRFRYRYPPLRAFTSKKLFESHSKARLQQHKEIYIHVAVVGVIEAIIFSFAGFYFSWGYSYSKKSDRQGPDGANASIYVIIGIINSLGASVFFIGASLIVNHRSRHFESAAVCLGGFFLLTVSLIPFVSSARVARLLYEATDQEYDADEPFHDIKKCVGRFAGELCCTRWLGSHTMVEENKRSTENDSTSKTRCVGRSYLWYDTGVAITMLSFAAFAGVTTILMQIGRDCMRM
+>sp|Q9UF02|CCG5_HUMAN Voltage-dependent calcium channel gamma-5 subunit OS=Homo sapiens OX=9606 GN=CACNG5 PE=2 SV=2
+MSACGRKALTLLSSVFAVCGLGLLGIAVSTDYWLYLEEGVIVPQNQSTEIKMSLHSGLWRVCFLAGEERGRCFTIEYVMPMNTQLTSESTVNVLKMIRSATPFPLVSLFFMFIGFILNNIGHIRPHRTILAFVSGIFFILSGLSLVVGLVLYISSINDEMLNRTKDAETYFNYKYGWSFAFAAISFLLTESAGVMSVYLFMKRYTAEDMYRPHPGFYRPRLSNCSDYSGQFLHPDAWVRGRSPSDISSEASLQMNSNYPALLKCPDYDQMSSSPC
+>DECOY_sp|Q9UF02|CCG5_HUMAN Voltage-dependent calcium channel gamma-5 subunit OS=Homo sapiens OX=9606 GN=CACNG5 PE=2 SV=2
+CPSSSMQDYDPCKLLAPYNSNMQLSAESSIDSPSRGRVWADPHLFQGSYDSCNSLRPRYFGPHPRYMDEATYRKMFLYVSMVGASETLLFSIAAFAFSWGYKYNFYTEADKTRNLMEDNISSIYLVLGVVLSLGSLIFFIGSVFALITRHPRIHGINNLIFGIFMFFLSVLPFPTASRIMKLVNVTSESTLQTNMPMVYEITFCRGREEGALFCVRWLGSHLSMKIETSQNQPVIVGEELYLWYDTSVAIGLLGLGCVAFVSSLLTLAKRGCASM
+>sp|P53701|CCHL_HUMAN Cytochrome c-type heme lyase OS=Homo sapiens OX=9606 GN=HCCS PE=1 SV=1
+MGLSPSAPAVAVQASNASASPPSGCPMHEGKMKGCPVNTEPSGPTCEKKTYSVPAHQERAYEYVECPIRGTAAENKENLDPSNLMPPPNQTPAPDQPFALSTVREESSIPRADSEKKWVYPSEQMFWNAMLKKGWKWKDEDISQKDMYNIIRIHNQNNEQAWKEILKWEALHAAECPCGPSLIRFGGKAKEYSPRARIRSWMGYELPFDRHDWIINRCGTEVRYVIDYYDGGEVNKDYQFTILDVRPALDSLSAVWDRMKVAWWRWTS
+>DECOY_sp|P53701|CCHL_HUMAN Cytochrome c-type heme lyase OS=Homo sapiens OX=9606 GN=HCCS PE=1 SV=1
+STWRWWAVKMRDWVASLSDLAPRVDLITFQYDKNVEGGDYYDIVYRVETGCRNIIWDHRDFPLEYGMWSRIRARPSYEKAKGGFRILSPGCPCEAAHLAEWKLIEKWAQENNQNHIRIINYMDKQSIDEDKWKWGKKLMANWFMQESPYVWKKESDARPISSEERVTSLAFPQDPAPTQNPPPMLNSPDLNEKNEAATGRIPCEVYEYAREQHAPVSYTKKECTPGSPETNVPCGKMKGEHMPCGSPPSASANSAQVAVAPASPSLGM
+>sp|Q16627|CCL14_HUMAN C-C motif chemokine 14 OS=Homo sapiens OX=9606 GN=CCL14 PE=1 SV=1
+MKISVAAIPFFLLITIALGTKTESSSRGPYHPSECCFTYTTYKIPRQRIMDYYETNSQCSKPGIVFITKRGHSVCTNPSDKWVQDYIKDMKEN
+>DECOY_sp|Q16627|CCL14_HUMAN C-C motif chemokine 14 OS=Homo sapiens OX=9606 GN=CCL14 PE=1 SV=1
+NEKMDKIYDQVWKDSPNTCVSHGRKTIFVIGPKSCQSNTEYYDMIRQRPIKYTTYTFCCESPHYPGRSSSETKTGLAITILLFFPIAAVSIKM
+>sp|Q92583|CCL17_HUMAN C-C motif chemokine 17 OS=Homo sapiens OX=9606 GN=CCL17 PE=1 SV=1
+MAPLKMLALVTLLLGASLQHIHAARGTNVGRECCLEYFKGAIPLRKLKTWYQTSEDCSRDAIVFVTVQGRAICSDPNNKRVKNAVKYLQSLERS
+>DECOY_sp|Q92583|CCL17_HUMAN C-C motif chemokine 17 OS=Homo sapiens OX=9606 GN=CCL17 PE=1 SV=1
+SRELSQLYKVANKVRKNNPDSCIARGQVTVFVIADRSCDESTQYWTKLKRLPIAGKFYELCCERGVNTGRAAHIHQLSAGLLLTVLALMKLPAM
+>sp|Q9Y4X3|CCL27_HUMAN C-C motif chemokine 27 OS=Homo sapiens OX=9606 GN=CCL27 PE=1 SV=1
+MKGPPTFCSLLLLSLLLSPDPTAAFLLPPSTACCTQLYRKPLSDKLLRKVIQVELQEADGDCHLQAFVLHLAQRSICIHPQNPSLSQWFEHQERKLHGTLPKLNFGMLRKMG
+>DECOY_sp|Q9Y4X3|CCL27_HUMAN C-C motif chemokine 27 OS=Homo sapiens OX=9606 GN=CCL27 PE=1 SV=1
+GMKRLMGFNLKPLTGHLKREQHEFWQSLSPNQPHICISRQALHLVFAQLHCDGDAEQLEVQIVKRLLKDSLPKRYLQTCCATSPPLLFAATPDPSLLLSLLLLSCFTPPGKM
+>sp|P13500|CCL2_HUMAN C-C motif chemokine 2 OS=Homo sapiens OX=9606 GN=CCL2 PE=1 SV=1
+MKVSAALLCLLLIAATFIPQGLAQPDAINAPVTCCYNFTNRKISVQRLASYRRITSSKCPKEAVIFKTIVAKEICADPKQKWVQDSMDHLDKQTQTPKT
+>DECOY_sp|P13500|CCL2_HUMAN C-C motif chemokine 2 OS=Homo sapiens OX=9606 GN=CCL2 PE=1 SV=1
+TKPTQTQKDLHDMSDQVWKQKPDACIEKAVITKFIVAEKPCKSSTIRRYSALRQVSIKRNTFNYCCTVPANIADPQALGQPIFTAAILLLCLLAASVKM
+>sp|P51946|CCNH_HUMAN Cyclin-H OS=Homo sapiens OX=9606 GN=CCNH PE=1 SV=1
+MYHNSSQKRHWTFSSEEQLARLRADANRKFRCKAVANGKVLPNDPVFLEPHEEMTLCKYYEKRLLEFCSVFKPAMPRSVVGTACMYFKRFYLNNSVMEYHPRIIMLTCAFLACKVDEFNVSSPQFVGNLRESPLGQEKALEQILEYELLLIQQLNFHLIVHNPYRPFEGFLIDLKTRYPILENPEILRKTADDFLNRIALTDAYLLYTPSQIALTAILSSASRAGITMESYLSESLMLKENRTCLSQLLDIMKSMRNLVKKYEPPRSEEVAVLKQKLERCHSAELALNVITKKRKGYEDDDYVSKKSKHEEEEWTDDDLVESL
+>DECOY_sp|P51946|CCNH_HUMAN Cyclin-H OS=Homo sapiens OX=9606 GN=CCNH PE=1 SV=1
+LSEVLDDDTWEEEEHKSKKSVYDDDEYGKRKKTIVNLALEASHCRELKQKLVAVEESRPPEYKKVLNRMSKMIDLLQSLCTRNEKLMLSESLYSEMTIGARSASSLIATLAIQSPTYLLYADTLAIRNLFDDATKRLIEPNELIPYRTKLDILFGEFPRYPNHVILHFNLQQILLLEYELIQELAKEQGLPSERLNGVFQPSSVNFEDVKCALFACTLMIIRPHYEMVSNNLYFRKFYMCATGVVSRPMAPKFVSCFELLRKEYYKCLTMEEHPELFVPDNPLVKGNAVAKCRFKRNADARLRALQEESSFTWHRKQSSNHYM
+>sp|O75909|CCNK_HUMAN Cyclin-K OS=Homo sapiens OX=9606 GN=CCNK PE=1 SV=2
+MKENKENSSPSVTSANLDHTKPCWYWDKKDLAHTPSQLEGLDPATEARYRREGARFIFDVGTRLGLHYDTLATGIIYFHRFYMFHSFKQFPRYVTGACCLFLAGKVEETPKKCKDIIKTARSLLNDVQFGQFGDDPKEEVMVLERILLQTIKFDLQVEHPYQFLLKYAKQLKGDKNKIQKLVQMAWTFVNDSLCTTLSLQWEPEIIAVAVMYLAGRLCKFEIQEWTSKPMYRRWWEQFVQDVPVDVLEDICHQILDLYSQGKQQMPHHTPHQLQQPPSLQPTPQVPQVQQSQPSQSSEPSQPQQKDPQQPAQQQQPAQQPKKPSPQPSSPRQVKRAVVVSPKEENKAAEPPPPKIPKIETTHPPLPPAHPPPDRKPPLAAALGEAEPPGPVDATDLPKVQIPPPAHPAPVHQPPPLPHRPPPPPPSSYMTGMSTTSSYMSGEGYQSLQSMMKTEGPSYGALPPAYGPPAHLPYHPHVYPPNPPPPPVPPPPASFPPPAIPPPTPGYPPPPPTYNPNFPPPPPRLPPTHAVPPHPPPGLGLPPASYPPPAVPPGGQPPVPPPIPPPGMPPVGGLGRAAWMR
+>DECOY_sp|O75909|CCNK_HUMAN Cyclin-K OS=Homo sapiens OX=9606 GN=CCNK PE=1 SV=2
+RMWAARGLGGVPPMGPPPIPPPVPPQGGPPVAPPPYSAPPLGLGPPPHPPVAHTPPLRPPPPPFNPNYTPPPPPYGPTPPPIAPPPFSAPPPPVPPPPPNPPYVHPHYPLHAPPGYAPPLAGYSPGETKMMSQLSQYGEGSMYSSTTSMGTMYSSPPPPPPRHPLPPPQHVPAPHAPPPIQVKPLDTADVPGPPEAEGLAAALPPKRDPPPHAPPLPPHTTEIKPIKPPPPEAAKNEEKPSVVVARKVQRPSSPQPSPKKPQQAPQQQQAPQQPDKQQPQSPESSQSPQSQQVQPVQPTPQLSPPQQLQHPTHHPMQQKGQSYLDLIQHCIDELVDVPVDQVFQEWWRRYMPKSTWEQIEFKCLRGALYMVAVAIIEPEWQLSLTTCLSDNVFTWAMQVLKQIKNKDGKLQKAYKLLFQYPHEVQLDFKITQLLIRELVMVEEKPDDGFQGFQVDNLLSRATKIIDKCKKPTEEVKGALFLCCAGTVYRPFQKFSHFMYFRHFYIIGTALTDYHLGLRTGVDFIFRAGERRYRAETAPDLGELQSPTHALDKKDWYWCPKTHDLNASTVSPSSNEKNEKM
+>sp|O14618|CCS_HUMAN Copper chaperone for superoxide dismutase OS=Homo sapiens OX=9606 GN=CCS PE=1 SV=1
+MASDSGNQGTLCTLEFAVQMTCQSCVDAVRKSLQGVAGVQDVEVHLEDQMVLVHTTLPSQEVQALLEGTGRQAVLKGMGSGQLQNLGAAVAILGGPGTVQGVVRFLQLTPERCLIEGTIDGLEPGLHGLHVHQYGDLTNNCNSCGNHFNPDGASHGGPQDSDRHRGDLGNVRADADGRAIFRMEDEQLKVWDVIGRSLIIDEGEDDLGRGGHPLSKITGNSGERLACGIIARSAGLFQNPKQICSCDGLTIWEERGRPIAGKGRKESAQPPAHL
+>DECOY_sp|O14618|CCS_HUMAN Copper chaperone for superoxide dismutase OS=Homo sapiens OX=9606 GN=CCS PE=1 SV=1
+LHAPPQASEKRGKGAIPRGREEWITLGDCSCIQKPNQFLGASRAIIGCALREGSNGTIKSLPHGGRGLDDEGEDIILSRGIVDWVKLQEDEMRFIARGDADARVNGLDGRHRDSDQPGGHSAGDPNFHNGCSNCNNTLDGYQHVHLGHLGPELGDITGEILCREPTLQLFRVVGQVTGPGGLIAVAAGLNQLQGSGMGKLVAQRGTGELLAQVEQSPLTTHVLVMQDELHVEVDQVGAVGQLSKRVADVCSQCTMQVAFELTCLTGQNGSDSAM
+>sp|Q504U0|CD046_HUMAN Renal cancer differentiation gene 1 protein OS=Homo sapiens OX=9606 GN=C4orf46 PE=1 SV=1
+MADPEELQVSSPPPPPPSSPSSSDASAASSPGGPVSLGWPVPSRSSGPTVDQLEEVELQIGDAAFSLTKLLEATSAVSAQVEELAFKCTENARFLKTWRDLLKEGYDSLKPDD
+>DECOY_sp|Q504U0|CD046_HUMAN Renal cancer differentiation gene 1 protein OS=Homo sapiens OX=9606 GN=C4orf46 PE=1 SV=1
+DDPKLSDYGEKLLDRWTKLFRANETCKFALEEVQASVASTAELLKTLSFAADGIQLEVEELQDVTPGSSRSPVPWGLSVPGGPSSAASADSSSPSSPPPPPPSSVQLEEPDAM
+>sp|Q5BLP8|CD048_HUMAN Neuropeptide-like protein C4orf48 OS=Homo sapiens OX=9606 GN=C4orf48 PE=1 SV=3
+MAPPPACRSPMSPPPPPLLLLLLSLALLGARARAEPAGSAVPAQSRPCVDCHAFEFMQRALQDLRKTACSLDARTETLLLQAERRALCACWPAGH
+>DECOY_sp|Q5BLP8|CD048_HUMAN Neuropeptide-like protein C4orf48 OS=Homo sapiens OX=9606 GN=C4orf48 PE=1 SV=3
+HGAPWCACLARREAQLLLTETRADLSCATKRLDQLARQMFEFAHCDVCPRSQAPVASGAPEARARAGLLALSLLLLLLPPPPPSMPSRCAPPPAM
+>sp|Q9NNX6|CD209_HUMAN CD209 antigen OS=Homo sapiens OX=9606 GN=CD209 PE=1 SV=1
+MSDSKEPRLQQLGLLEEEQLRGLGFRQTRGYKSLAGCLGHGPLVLQLLSFTLLAGLLVQVSKVPSSISQEQSRQDAIYQNLTQLKAAVGELSEKSKLQEIYQELTQLKAAVGELPEKSKLQEIYQELTRLKAAVGELPEKSKLQEIYQELTWLKAAVGELPEKSKMQEIYQELTRLKAAVGELPEKSKQQEIYQELTRLKAAVGELPEKSKQQEIYQELTRLKAAVGELPEKSKQQEIYQELTQLKAAVERLCHPCPWEWTFFQGNCYFMSNSQRNWHDSITACKEVGAQLVVIKSAEEQNFLQLQSSRSNRFTWMGLSDLNQEGTWQWVDGSPLLPSFKQYWNRGEPNNVGEEDCAEFSGNGWNDDKCNLAKFWICKKSAASCSRDEEQFLSPAPATPNPPPA
+>DECOY_sp|Q9NNX6|CD209_HUMAN CD209 antigen OS=Homo sapiens OX=9606 GN=CD209 PE=1 SV=1
+APPPNPTAPAPSLFQEEDRSCSAASKKCIWFKALNCKDDNWGNGSFEACDEEGVNNPEGRNWYQKFSPLLPSGDVWQWTGEQNLDSLGMWTFRNSRSSQLQLFNQEEASKIVVLQAGVEKCATISDHWNRQSNSMFYCNGQFFTWEWPCPHCLREVAAKLQTLEQYIEQQKSKEPLEGVAAKLRTLEQYIEQQKSKEPLEGVAAKLRTLEQYIEQQKSKEPLEGVAAKLRTLEQYIEQMKSKEPLEGVAAKLWTLEQYIEQLKSKEPLEGVAAKLRTLEQYIEQLKSKEPLEGVAAKLQTLEQYIEQLKSKESLEGVAAKLQTLNQYIADQRSQEQSISSPVKSVQVLLGALLTFSLLQLVLPGHGLCGALSKYGRTQRFGLGRLQEEELLGLQQLRPEKSDSM
+>sp|Q9BZW8|CD244_HUMAN Natural killer cell receptor 2B4 OS=Homo sapiens OX=9606 GN=CD244 PE=1 SV=2
+MLGQVVTLILLLLLKVYQGKGCQGSADHVVSISGVPLQLQPNSIQTKVDSIAWKKLLPSQNGFHHILKWENGSLPSNTSNDRFSFIVKNLSLLIKAAQQQDSGLYCLEVTSISGKVQTATFQVFVFESLLPDKVEKPRLQGQGKILDRGRCQVALSCLVSRDGNVSYAWYRGSKLIQTAGNLTYLDEEVDINGTHTYTCNVSNPVSWESHTLNLTQDCQNAHQEFRFWPFLVIIVILSALFLGTLACFCVWRRKRKEKQSETSPKEFLTIYEDVKDLKTRRNHEQEQTFPGGGSTIYSMIQSQSSAPTSQEPAYTLYSLIQPSRKSGSRKRNHSPSFNSTIYEVIGKSQPKAQNPARLSRKELENFDVYS
+>DECOY_sp|Q9BZW8|CD244_HUMAN Natural killer cell receptor 2B4 OS=Homo sapiens OX=9606 GN=CD244 PE=1 SV=2
+SYVDFNELEKRSLRAPNQAKPQSKGIVEYITSNFSPSHNRKRSGSKRSPQILSYLTYAPEQSTPASSQSQIMSYITSGGGPFTQEQEHNRRTKLDKVDEYITLFEKPSTESQKEKRKRRWVCFCALTGLFLASLIVIIVLFPWFRFEQHANQCDQTLNLTHSEWSVPNSVNCTYTHTGNIDVEEDLYTLNGATQILKSGRYWAYSVNGDRSVLCSLAVQCRGRDLIKGQGQLRPKEVKDPLLSEFVFVQFTATQVKGSISTVELCYLGSDQQQAAKILLSLNKVIFSFRDNSTNSPLSGNEWKLIHHFGNQSPLLKKWAISDVKTQISNPQLQLPVGSISVVHDASGQCGKGQYVKLLLLLILTVVQGLM
+>sp|P26842|CD27_HUMAN CD27 antigen OS=Homo sapiens OX=9606 GN=CD27 PE=1 SV=2
+MARPHPWWLCVLGTLVGLSATPAPKSCPERHYWAQGKLCCQMCEPGTFLVKDCDQHRKAAQCDPCIPGVSFSPDHHTRPHCESCRHCNSGLLVRNCTITANAECACRNGWQCRDKECTECDPLPNPSLTARSSQALSPHPQPTHLPYVSEMLEARTAGHMQTLADFRQLPARTLSTHWPPQRSLCSSDFIRILVIFSGMFLVFTLAGALFLHQRRKYRSNKGESPVEPAEPCHYSCPREEEGSTIPIQEDYRKPEPACSP
+>DECOY_sp|P26842|CD27_HUMAN CD27 antigen OS=Homo sapiens OX=9606 GN=CD27 PE=1 SV=2
+PSCAPEPKRYDEQIPITSGEEERPCSYHCPEAPEVPSEGKNSRYKRRQHLFLAGALTFVLFMGSFIVLIRIFDSSCLSRQPPWHTSLTRAPLQRFDALTQMHGATRAELMESVYPLHTPQPHPSLAQSSRATLSPNPLPDCETCEKDRCQWGNRCACEANATITCNRVLLGSNCHRCSECHPRTHHDPSFSVGPICPDCQAAKRHQDCDKVLFTGPECMQCCLKGQAWYHREPCSKPAPTASLGVLTGLVCLWWPHPRAM
+>sp|P20963|CD3Z_HUMAN T-cell surface glycoprotein CD3 zeta chain OS=Homo sapiens OX=9606 GN=CD247 PE=1 SV=2
+MKWKALFTAAILQAQLPITEAQSFGLLDPKLCYLLDGILFIYGVILTALFLRVKFSRSADAPAYQQGQNQLYNELNLGRREEYDVLDKRRGRDPEMGGKPQRRKNPQEGLYNELQKDKMAEAYSEIGMKGERRRGKGHDGLYQGLSTATKDTYDALHMQALPPR
+>DECOY_sp|P20963|CD3Z_HUMAN T-cell surface glycoprotein CD3 zeta chain OS=Homo sapiens OX=9606 GN=CD247 PE=1 SV=2
+RPPLAQMHLADYTDKTATSLGQYLGDHGKGRRREGKMGIESYAEAMKDKQLENYLGEQPNKRRQPKGGMEPDRGRRKDLVDYEERRGLNLENYLQNQGQQYAPADASRSFKVRLFLATLIVGYIFLIGDLLYCLKPDLLGFSQAETIPLQAQLIAATFLAKWKM
+>sp|Q08722|CD47_HUMAN Leukocyte surface antigen CD47 OS=Homo sapiens OX=9606 GN=CD47 PE=1 SV=1
+MWPLVAALLLGSACCGSAQLLFNKTKSVEFTFCNDTVVIPCFVTNMEAQNTTEVYVKWKFKGRDIYTFDGALNKSTVPTDFSSAKIEVSQLLKGDASLKMDKSDAVSHTGNYTCEVTELTREGETIIELKYRVVSWFSPNENILIVIFPIFAILLFWGQFGIKTLKYRSGGMDEKTIALLVAGLVITVIVIVGAILFVPGEYSLKNATGLGLIVTSTGILILLHYYVFSTAIGLTSFVIAILVIQVIAYILAVVGLSLCIAACIPMHGPLLISGLSILALAQLLGLVYMKFVASNQKTIQPPRKAVEEPLNAFKESKGMMNDE
+>DECOY_sp|Q08722|CD47_HUMAN Leukocyte surface antigen CD47 OS=Homo sapiens OX=9606 GN=CD47 PE=1 SV=1
+EDNMMGKSEKFANLPEEVAKRPPQITKQNSAVFKMYVLGLLQALALISLGSILLPGHMPICAAICLSLGVVALIYAIVQIVLIAIVFSTLGIATSFVYYHLLILIGTSTVILGLGTANKLSYEGPVFLIAGVIVIVTIVLGAVLLAITKEDMGGSRYKLTKIGFQGWFLLIAFIPFIVILINENPSFWSVVRYKLEIITEGERTLETVECTYNGTHSVADSKDMKLSADGKLLQSVEIKASSFDTPVTSKNLAGDFTYIDRGKFKWKVYVETTNQAEMNTVFCPIVVTDNCFTFEVSKTKNFLLQASGCCASGLLLAAVLPWM
+>sp|P31358|CD52_HUMAN CAMPATH-1 antigen OS=Homo sapiens OX=9606 GN=CD52 PE=1 SV=1
+MKRFLFLLLTISLLVMVQIQTGLSGQNDTSQTSSPSASSNISGGIFLFFVANAIIHLFCFS
+>DECOY_sp|P31358|CD52_HUMAN CAMPATH-1 antigen OS=Homo sapiens OX=9606 GN=CD52 PE=1 SV=1
+SFCFLHIIANAVFFLFIGGSINSSASPSSTQSTDNQGSLGTQIQVMVLLSITLLLFLFRKM
+>sp|P19397|CD53_HUMAN Leukocyte surface antigen CD53 OS=Homo sapiens OX=9606 GN=CD53 PE=1 SV=1
+MGMSSLKLLKYVLFFFNLLFWICGCCILGFGIYLLIHNNFGVLFHNLPSLTLGNVFVIVGSIIMVVAFLGCMGSIKENKCLLMSFFILLLIILLAEVTLAILLFVYEQKLNEYVAKGLTDSIHRYHSDNSTKAAWDSIQSFLQCCGINGTSDWTSGPPASCPSDRKVEGCYAKARLWFHSNFLYIGIITICVCVIEVLGMSFALTLNCQIDKTSQTIGL
+>DECOY_sp|P19397|CD53_HUMAN Leukocyte surface antigen CD53 OS=Homo sapiens OX=9606 GN=CD53 PE=1 SV=1
+LGITQSTKDIQCNLTLAFSMGLVEIVCVCITIIGIYLFNSHFWLRAKAYCGEVKRDSPCSAPPGSTWDSTGNIGCCQLFSQISDWAAKTSNDSHYRHISDTLGKAVYENLKQEYVFLLIALTVEALLIILLLIFFSMLLCKNEKISGMCGLFAVVMIISGVIVFVNGLTLSPLNHFLVGFNNHILLYIGFGLICCGCIWFLLNFFFLVYKLLKLSSMGM
+>sp|Q13319|CD5R2_HUMAN Cyclin-dependent kinase 5 activator 2 OS=Homo sapiens OX=9606 GN=CDK5R2 PE=1 SV=1
+MGTVLSLSPASSAKGRRPGGLPEEKKKAPPAGDEALGGYGAPPVGKGGKGESRLKRPSVLISALTWKRLVAASAKKKKGSKKVTPKPASTGPDPLVQQRNRENLLRKGRDPPDGGGTAKPLAVPVPTVPAAAATCEPPSGGSAAAQPPGSGGGKPPPPPPPAPQVAPPVPGGSPRRVIVQASTGELLRCLGDFVCRRCYRLKELSPGELVGWFRGVDRSLLLQGWQDQAFITPANLVFVYLLCRESLRGDELASAAELQAAFLTCLYLAYSYMGNEISYPLKPFLVEPDKERFWQRCLRLIQRLSPQMLRLNADPHFFTQVFQDLKNEGEAAASGGGPPSGGAPAASSAARDSCAAGTKHWTMNLDR
+>DECOY_sp|Q13319|CD5R2_HUMAN Cyclin-dependent kinase 5 activator 2 OS=Homo sapiens OX=9606 GN=CDK5R2 PE=1 SV=1
+RDLNMTWHKTGAACSDRAASSAAPAGGSPPGGGSAAAEGENKLDQFVQTFFHPDANLRLMQPSLRQILRLCRQWFREKDPEVLFPKLPYSIENGMYSYALYLCTLFAAQLEAASALEDGRLSERCLLYVFVLNAPTIFAQDQWGQLLLSRDVGRFWGVLEGPSLEKLRYCRRCVFDGLCRLLEGTSAQVIVRRPSGGPVPPAVQPAPPPPPPPKGGGSGPPQAAASGGSPPECTAAAAPVTPVPVALPKATGGGDPPDRGKRLLNERNRQQVLPDPGTSAPKPTVKKSGKKKKASAAVLRKWTLASILVSPRKLRSEGKGGKGVPPAGYGGLAEDGAPPAKKKEEPLGGPRRGKASSAPSLSLVTGM
+>sp|P60033|CD81_HUMAN CD81 antigen OS=Homo sapiens OX=9606 GN=CD81 PE=1 SV=1
+MGVEGCTKCIKYLLFVFNFVFWLAGGVILGVALWLRHDPQTTNLLYLELGDKPAPNTFYVGIYILIAVGAVMMFVGFLGCYGAIQESQCLLGTFFTCLVILFACEVAAGIWGFVNKDQIAKDVKQFYDQALQQAVVDDDANNAKAVVKTFHETLDCCGSSTLTALTTSVLKNNLCPSGSNIISNLFKEDCHQKIDDLFSGKLYLIGIAAIVVAVIMIFEMILSMVLCCGIRNSSVY
+>DECOY_sp|P60033|CD81_HUMAN CD81 antigen OS=Homo sapiens OX=9606 GN=CD81 PE=1 SV=1
+YVSSNRIGCCLVMSLIMEFIMIVAVVIAAIGILYLKGSFLDDIKQHCDEKFLNSIINSGSPCLNNKLVSTTLATLTSSGCCDLTEHFTKVVAKANNADDDVVAQQLAQDYFQKVDKAIQDKNVFGWIGAAVECAFLIVLCTFFTGLLCQSEQIAGYCGLFGVFMMVAGVAILIYIGVYFTNPAPKDGLELYLLNTTQPDHRLWLAVGLIVGGALWFVFNFVFLLYKICKTCGEVGM
+>sp|P21926|CD9_HUMAN CD9 antigen OS=Homo sapiens OX=9606 GN=CD9 PE=1 SV=4
+MPVKGGTKCIKYLLFGFNFIFWLAGIAVLAIGLWLRFDSQTKSIFEQETNNNNSSFYTGVYILIGAGALMMLVGFLGCCGAVQESQCMLGLFFGFLLVIFAIEIAAAIWGYSHKDEVIKEVQEFYKDTYNKLKTKDEPQRETLKAIHYALNCCGLAGGVEQFISDICPKKDVLETFTVKSCPDAIKEVFDNKFHIIGAVGIGIAVVMIFGMIFSMILCCAIRRNREMV
+>DECOY_sp|P21926|CD9_HUMAN CD9 antigen OS=Homo sapiens OX=9606 GN=CD9 PE=1 SV=4
+VMERNRRIACCLIMSFIMGFIMVVAIGIGVAGIIHFKNDFVEKIADPCSKVTFTELVDKKPCIDSIFQEVGGALGCCNLAYHIAKLTERQPEDKTKLKNYTDKYFEQVEKIVEDKHSYGWIAAAIEIAFIVLLFGFFLGLMCQSEQVAGCCGLFGVLMMLAGAGILIYVGTYFSSNNNNTEQEFISKTQSDFRLWLGIALVAIGALWFIFNFGFLLYKICKTGGKVPM
+>sp|P60953|CDC42_HUMAN Cell division control protein 42 homolog OS=Homo sapiens OX=9606 GN=CDC42 PE=1 SV=2
+MQTIKCVVVGDGAVGKTCLLISYTTNKFPSEYVPTVFDNYAVTVMIGGEPYTLGLFDTAGQEDYDRLRPLSYPQTDVFLVCFSVVSPSSFENVKEKWVPEITHHCPKTPFLLVGTQIDLRDDPSTIEKLAKNKQKPITPETAEKLARDLKAVKYVECSALTQKGLKNVFDEAILAALEPPEPKKSRRCVLL
+>DECOY_sp|P60953|CDC42_HUMAN Cell division control protein 42 homolog OS=Homo sapiens OX=9606 GN=CDC42 PE=1 SV=2
+LLVCRRSKKPEPPELAALIAEDFVNKLGKQTLASCEVYKVAKLDRALKEATEPTIPKQKNKALKEITSPDDRLDIQTGVLLFPTKPCHHTIEPVWKEKVNEFSSPSVVSFCVLFVDTQPYSLPRLRDYDEQGATDFLGLTYPEGGIMVTVAYNDFVTPVYESPFKNTTYSILLCTKGVAGDGVVVCKITQM
+>sp|Q99459|CDC5L_HUMAN Cell division cycle 5-like protein OS=Homo sapiens OX=9606 GN=CDC5L PE=1 SV=2
+MPRIMIKGGVWRNTEDEILKAAVMKYGKNQWSRIASLLHRKSAKQCKARWYEWLDPSIKKTEWSREEEEKLLHLAKLMPTQWRTIAPIIGRTAAQCLEHYEFLLDKAAQRDNEEETTDDPRKLKPGEIDPNPETKPARPDPIDMDEDELEMLSEARARLANTQGKKAKRKAREKQLEEARRLAALQKRRELRAAGIEIQKKRKRKRGVDYNAEIPFEKKPALGFYDTSEENYQALDADFRKLRQQDLDGELRSEKEGRDRKKDKQHLKRKKESDLPSAILQTSGVSEFTKKRSKLVLPAPQISDAELQEVVKVGQASEIARQTAEESGITNSASSTLLSEYNVTNNSVALRTPRTPASQDRILQEAQNLMALTNVDTPLKGGLNTPLHESDFSGVTPQRQVVQTPNTVLSTPFRTPSNGAEGLTPRSGTTPKPVINSTPGRTPLRDKLNINPEDGMADYSDPSYVKQMERESREHLRLGLLGLPAPKNDFEIVLPENAEKELEEREIDDTYIEDAADVDARKQAIRDAERVKEMKRMHKAVQKDLPRPSEVNETILRPLNVEPPLTDLQKSEELIKKEMITMLHYDLLHHPYEPSGNKKGKTVGFGTNNSEHITYLEHNPYEKFSKEELKKAQDVLVQEMEVVKQGMSHGELSSEAYNQVWEECYSQVLYLPGQSRYTRANLASKKDRIESLEKRLEINRGHMTTEAKRAAKMEKKMKILLGGYQSRAMGLMKQLNDLWDQIEQAHLELRTFEELKKHEDSAIPRRLECLKEDVQRQQEREKELQHRYADLLLEKETLKSKF
+>DECOY_sp|Q99459|CDC5L_HUMAN Cell division cycle 5-like protein OS=Homo sapiens OX=9606 GN=CDC5L PE=1 SV=2
+FKSKLTEKELLLDAYRHQLEKEREQQRQVDEKLCELRRPIASDEHKKLEEFTRLELHAQEIQDWLDNLQKMLGMARSQYGGLLIKMKKEMKAARKAETTMHGRNIELRKELSEIRDKKSALNARTYRSQGPLYLVQSYCEEWVQNYAESSLEGHSMGQKVVEMEQVLVDQAKKLEEKSFKEYPNHELYTIHESNNTGFGVTKGKKNGSPEYPHHLLDYHLMTIMEKKILEESKQLDTLPPEVNLPRLITENVESPRPLDKQVAKHMRKMEKVREADRIAQKRADVDAADEIYTDDIEREELEKEANEPLVIEFDNKPAPLGLLGLRLHERSEREMQKVYSPDSYDAMGDEPNINLKDRLPTRGPTSNIVPKPTTGSRPTLGEAGNSPTRFPTSLVTNPTQVVQRQPTVGSFDSEHLPTNLGGKLPTDVNTLAMLNQAEQLIRDQSAPTRPTRLAVSNNTVNYESLLTSSASNTIGSEEATQRAIESAQGVKVVEQLEADSIQPAPLVLKSRKKTFESVGSTQLIASPLDSEKKRKLHQKDKKRDRGEKESRLEGDLDQQRLKRFDADLAQYNEESTDYFGLAPKKEFPIEANYDVGRKRKRKKQIEIGAARLERRKQLAALRRAEELQKERAKRKAKKGQTNALRARAESLMELEDEDMDIPDPRAPKTEPNPDIEGPKLKRPDDTTEEENDRQAAKDLLFEYHELCQAATRGIIPAITRWQTPMLKALHLLKEEEERSWETKKISPDLWEYWRAKCQKASKRHLLSAIRSWQNKGYKMVAAKLIEDETNRWVGGKIMIRPM
+>sp|Q6P1J9|CDC73_HUMAN Parafibromin OS=Homo sapiens OX=9606 GN=CDC73 PE=1 SV=1
+MADVLSVLRQYNIQKKEIVVKGDEVIFGEFSWPKNVKTNYVVWGTGKEGQPREYYTLDSILFLLNNVHLSHPVYVRRAATENIPVVRRPDRKDLLGYLNGEASTSASIDRSAPLEIGLQRSTQVKRAADEVLAEAKKPRIEDEECVRLDKERLAARLEGHKEGIVQTEQIRSLSEAMSVEKIAAIKAKIMAKKRSTIKTDLDDDITALKQRSFVDAEVDVTRDIVSRERVWRTRTTILQSTGKNFSKNIFAILQSVKAREEGRAPEQRPAPNAAPVDPTLRTKQPIPAAYNRYDQERFKGKEETEGFKIDTMGTYHGMTLKSVTEGASARKTQTPAAQPVPRPVSQARPPPNQKKGSRTPIIIIPAATTSLITMLNAKDLLQDLKFVPSDEKKKQGCQRENETLIQRRKDQMQPGGTAISVTVPYRVVDQPLKLMPQDWDRVVAVFVQGPAWQFKGWPWLLPDGSPVDIFAKIKAFHLKYDEVRLDPNVQKWDVTVLELSYHKRHLDRPVFLRFWETLDRYMVKHKSHLRF
+>DECOY_sp|Q6P1J9|CDC73_HUMAN Parafibromin OS=Homo sapiens OX=9606 GN=CDC73 PE=1 SV=1
+FRLHSKHKVMYRDLTEWFRLFVPRDLHRKHYSLELVTVDWKQVNPDLRVEDYKLHFAKIKAFIDVPSGDPLLWPWGKFQWAPGQVFVAVVRDWDQPMLKLPQDVVRYPVTVSIATGGPQMQDKRRQILTENERQCGQKKKEDSPVFKLDQLLDKANLMTILSTTAAPIIIIPTRSGKKQNPPPRAQSVPRPVPQAAPTQTKRASAGETVSKLTMGHYTGMTDIKFGETEEKGKFREQDYRNYAAPIPQKTRLTPDVPAANPAPRQEPARGEERAKVSQLIAFINKSFNKGTSQLITTRTRWVRERSVIDRTVDVEADVFSRQKLATIDDDLDTKITSRKKAMIKAKIAAIKEVSMAESLSRIQETQVIGEKHGELRAALREKDLRVCEEDEIRPKKAEALVEDAARKVQTSRQLGIELPASRDISASTSAEGNLYGLLDKRDPRRVVPINETAARRVYVPHSLHVNNLLFLISDLTYYERPQGEKGTGWVVYNTKVNKPWSFEGFIVEDGKVVIEKKQINYQRLVSLVDAM
+>sp|Q99618|CDCA3_HUMAN Cell division cycle-associated protein 3 OS=Homo sapiens OX=9606 GN=CDCA3 PE=1 SV=1
+MGSAKSVPVTPARPPPHNKHLARVADPRSPSAGILRTPIQVESSPQPGLPAGEQLEGLKHAQDSDPRSPTLGIARTPMKTSSGDPPSPLVKQLSEVFETEDSKSNLPPEPVLPPEAPLSSELDLPLGTQLSVEEQMPPWNQTEFPSKQVFSKEEARQPTETPVASQSSDKPSRDPETPRSSGSMRNRWKPNSSKVLGRSPLTILQDDNSPGTLTLRQGKRPSPLSENVSELKEGAILGTGRLLKTGGRAWEQGQDHDKENQHFPLVES
+>DECOY_sp|Q99618|CDCA3_HUMAN Cell division cycle-associated protein 3 OS=Homo sapiens OX=9606 GN=CDCA3 PE=1 SV=1
+SEVLPFHQNEKDHDQGQEWARGGTKLLRGTGLIAGEKLESVNESLPSPRKGQRLTLTGPSNDDQLITLPSRGLVKSSNPKWRNRMSGSSRPTEPDRSPKDSSQSAVPTETPQRAEEKSFVQKSPFETQNWPPMQEEVSLQTGLPLDLESSLPAEPPLVPEPPLNSKSDETEFVESLQKVLPSPPDGSSTKMPTRAIGLTPSRPDSDQAHKLGELQEGAPLGPQPSSEVQIPTRLIGASPSRPDAVRALHKNHPPPRAPTVPVSKASGM
+>sp|Q5VXM1|CDCP2_HUMAN CUB domain-containing protein 2 OS=Homo sapiens OX=9606 GN=CDCP2 PE=2 SV=1
+MLAEWGACLLLAVALLGPGLQAQAMEGVKCGGVLSAPSGNFSSPNFPRLYPYNTECSWLIVVAEGSSVLLTFHAFDLEYHDTCSFDFLEIYNGASPDKGNLLGRFCGKVPPPPFTSSWHVMSVIFHSDKHVASHGFSAGYQKDVCGGVLTGLSGVLTSPEYPNNYPNSMECHWVIRAAGPAHVKLVFVDFQVEGNEECTYDYVAVLGGPGPTRGHHYCGSTRPPTLVSLGHELQVVFKSDFNIGGRGFKAYYFSGECQEVYMAMRGNFSSPQYPSSYPNNIRCHWTIRLPPGYQVKVFFLDLDLEEPNSLTKTCDFDHLAAFDGASEEAPLLGNWCGHHLPPPVTSSHNQLLLLLHTDRSTTRRGFSVAYIGGQLGCGSGSTEGEGEALQPQSLQSPSSIPPVCPAPPMNGLLQLLLHWLHPCPLSGPLRLDGTAPACFHYCRASFPSF
+>DECOY_sp|Q5VXM1|CDCP2_HUMAN CUB domain-containing protein 2 OS=Homo sapiens OX=9606 GN=CDCP2 PE=2 SV=1
+FSPFSARCYHFCAPATGDLRLPGSLPCPHLWHLLLQLLGNMPPAPCVPPISSPSQLSQPQLAEGEGETSGSGCGLQGGIYAVSFGRRTTSRDTHLLLLLQNHSSTVPPPLHHGCWNGLLPAEESAGDFAALHDFDCTKTLSNPEELDLDLFFVKVQYGPPLRITWHCRINNPYSSPYQPSSFNGRMAMYVEQCEGSFYYAKFGRGGINFDSKFVVQLEHGLSVLTPPRTSGCYHHGRTPGPGGLVAVYDYTCEENGEVQFDVFVLKVHAPGAARIVWHCEMSNPYNNPYEPSTLVGSLGTLVGGCVDKQYGASFGHSAVHKDSHFIVSMVHWSSTFPPPPVKGCFRGLLNGKDPSAGNYIELFDFSCTDHYELDFAHFTLLVSSGEAVVILWSCETNYPYLRPFNPSSFNGSPASLVGGCKVGEMAQAQLGPGLLAVALLLCAGWEALM
+>sp|Q96JP9|CDHR1_HUMAN Cadherin-related family member 1 OS=Homo sapiens OX=9606 GN=CDHR1 PE=1 SV=2
+MRRCRWAALALGLLRLCLAQANFAPHFFDNGVGSTNGNMALFSLPEDTPVGSHVYTLNGTDPEGDPISYHISFDPSTRSVFSVDPTFGNITLVEELDREREDEIEAIISISDGLNLVAEKVVILVTDANDEAPRFIQEPYVALVPEDIPAGSIIFKVHAVDRDTGSGGSVTYFLQNLHSPFAVDRHSGVLRLQAGATLDYERSRTHYITVVAKDGGGRLHGADVVFSATTTVTVNVEDVQDMAPVFVGTPYYGYVYEDTLPGSEVLKVVAMDGDRGKPNRILYSLVNGNDGAFEINETSGAISITQSPAQLQREVYELHVQVTEMSPAGSPAAQATVPVTIRIVDLNNHPPTFYGESGPQNRFELSMNEHPPQGEILRGLKITVNDSDQGANAKFNLQLVGPRGIFRVVPQTVLNEAQVTIIVENSAAIDFEKSKVLTFKLLAVEVNTPEKFSSTADVVIQLLDTNDNVPKFDSLYYVARIPENAPGGSSVVAVTAVDPDTGPWGEVKYSTYGTGADLFLIHPSTGLIYTQPWASLDAEATARYNFYVKAEDMEGKYSVAEVFITLLDVNDHPPQFGKSVQKKTMVLGTPVKIEAIDEDAEEPNNLVDYSITHAEPANVFDINSHTGEIWLKNSIRSLDALHNITPGRDCLWSLEVQAKDRGSPSFSTTALLKIDITDAETLSRSPMAAFLIQTKDNPMKAVGVLAGTMATVVAITVLISTATFWRNKKSNKVLPMRRVLRKRPSPAPRTIRIEWLKSKSTKAATKFMLKEKPPNENCNNNSPESSLLPRAPALPPPPSVAPSTGAAQWTVPTVSGSLTPQPTQPPPKPKTMGSPVQSTLISELKQKFEKKSVHNKAYF
+>DECOY_sp|Q96JP9|CDHR1_HUMAN Cadherin-related family member 1 OS=Homo sapiens OX=9606 GN=CDHR1 PE=1 SV=2
+FYAKNHVSKKEFKQKLESILTSQVPSGMTKPKPPPQTPQPTLSGSVTPVTWQAAGTSPAVSPPPPLAPARPLLSSEPSNNNCNENPPKEKLMFKTAAKTSKSKLWEIRITRPAPSPRKRLVRRMPLVKNSKKNRWFTATSILVTIAVVTAMTGALVGVAKMPNDKTQILFAAMPSRSLTEADTIDIKLLATTSFSPSGRDKAQVELSWLCDRGPTINHLADLSRISNKLWIEGTHSNIDFVNAPEAHTISYDVLNNPEEADEDIAEIKVPTGLVMTKKQVSKGFQPPHDNVDLLTIFVEAVSYKGEMDEAKVYFNYRATAEADLSAWPQTYILGTSPHILFLDAGTGYTSYKVEGWPGTDPDVATVAVVSSGGPANEPIRAVYYLSDFKPVNDNTDLLQIVVDATSSFKEPTNVEVALLKFTLVKSKEFDIAASNEVIITVQAENLVTQPVVRFIGRPGVLQLNFKANAGQDSDNVTIKLGRLIEGQPPHENMSLEFRNQPGSEGYFTPPHNNLDVIRITVPVTAQAAPSGAPSMETVQVHLEYVERQLQAPSQTISIAGSTENIEFAGDNGNVLSYLIRNPKGRDGDMAVVKLVESGPLTDEYVYGYYPTGVFVPAMDQVDEVNVTVTTTASFVVDAGHLRGGGDKAVVTIYHTRSREYDLTAGAQLRLVGSHRDVAFPSHLNQLFYTVSGGSGTDRDVAHVKFIISGAPIDEPVLAVYPEQIFRPAEDNADTVLIVVKEAVLNLGDSISIIAEIEDERERDLEEVLTINGFTPDVSFVSRTSPDFSIHYSIPDGEPDTGNLTYVHSGVPTDEPLSFLAMNGNTSGVGNDFFHPAFNAQALCLRLLGLALAAWRCRRM
+>sp|Q9BYE9|CDHR2_HUMAN Cadherin-related family member 2 OS=Homo sapiens OX=9606 GN=CDHR2 PE=1 SV=2
+MAQLWLSCFLLPALVVSVAANVAPKFLANMTSVILPEDLPVGAQAFWLVAEDQDNDPLTYGMSGPNAYFFAVTPKTGEVKLASALDYETLYTFKVTISVSDPYIQVQREMLVIVEDRNDNAPVFQNTAFSTSINETLPVGSVVFSVLAVDKDMGSAGMVVYSIEKVIPSTGDSEHLFRILANGSIVLNGSLSYNNKSAFYQLELKACDLGGMYHNTFTIQCSLPVFLSISVVDQPDLDPQFVREFYSASVAEDAAKGTSVLTVEAVDGDKGINDPVIYSISYSTRPGWFDIGADGVIRVNGSLDREQLLEADEEVQLQVTATETHLNIYGQEAKVSIWVTVRVMDVNDHKPEFYNCSLPACTFTPEEAQVNFTGYVDEHASPRIPIDDLTMVVYDPDKGSNGTFLLSLGGPDAEAFSVSPERAVGSASVQVLVRVSALVDYERQTAMAVQVVATDSVSQNFSVAMVTIHLRDINDHRPTFPQSLYVLTVPEHSATGSVVTDSIHATDPDTGAWGQITYSLLPGNGADLFQVDPVSGTVTVRNGELLDRESQAVYYLTLQATDGGNLSSSTTLQIHLLDINDNAPVVSGSYNIFVQEEEGNVSVTIQAHDNDEPGTNNSRLLFNLLPGPYSHNFSLDPDTGLLRNLGPLDREAIDPALEGRIVLTVLVSDCGEPVLGTKVNVTITVEDINDNLPIFNQSSYNFTVKEEDPGVLVGVVKAWDADQTEANNRISFSLSGSGANYFMIRGLVLGAGWAEGYLRLPPDVSLDYETQPVFNLTVSAENPDPQGGETIVDVCVNVKDVNDNPPTLDVASLRGIRVAENGSQHGQVAVVVASDVDTSAQLEIQLVNILCTKAGVDVGSLCWGWFSVAANGSVYINQSKAIDYEACDLVTLVVRACDLATDPGFQAYSNNGSLLITIEDVNDNAPYFLPENKTFVIIPELVLPNREVASVRARDDDSGNNGVILFSILRVDFISKDGATIPFQGVFSIFTSSEADVFAGSIQPVTSLDSTLQGTYQVTVQARDRPSLGPFLEATTTLNLFTVDQSYRSRLQFSTPKEEVGANRQAINAALTQATRTTVYIVDIQDIDSAARARPHSYLDAYFVFPNGSALTLDELSVMIRNDQDSLTQLLQLGLVVLGSQESQESDLSKQLISVIIGLGVALLLVLVIMTMAFVCVRKSYNRKLQAMKAAKEARKTAAGVMPSAPAIPGTNMYNTERANPMLNLPNKDLGLEYLSPSNDLDSVSVNSLDDNSVDVDKNSQEIKEHRPPHTPPEPDPEPLSVVLLGRQAGASGQLEGPSYTNAGLDTTDL
+>DECOY_sp|Q9BYE9|CDHR2_HUMAN Cadherin-related family member 2 OS=Homo sapiens OX=9606 GN=CDHR2 PE=1 SV=2
+LDTTDLGANTYSPGELQGSAGAQRGLLVVSLPEPDPEPPTHPPRHEKIEQSNKDVDVSNDDLSNVSVSDLDNSPSLYELGLDKNPLNLMPNARETNYMNTGPIAPASPMVGAATKRAEKAAKMAQLKRNYSKRVCVFAMTMIVLVLLLAVGLGIIVSILQKSLDSEQSEQSGLVVLGLQLLQTLSDQDNRIMVSLEDLTLASGNPFVFYADLYSHPRARAASDIDQIDVIYVTTRTAQTLAANIAQRNAGVEEKPTSFQLRSRYSQDVTFLNLTTTAELFPGLSPRDRAQVTVQYTGQLTSDLSTVPQISGAFVDAESSTFISFVGQFPITAGDKSIFDVRLISFLIVGNNGSDDDRARVSAVERNPLVLEPIIVFTKNEPLFYPANDNVDEITILLSGNNSYAQFGPDTALDCARVVLTVLDCAEYDIAKSQNIYVSGNAAVSFWGWCLSGVDVGAKTCLINVLQIELQASTDVDSAVVVAVQGHQSGNEAVRIGRLSAVDLTPPNDNVDKVNVCVDVITEGGQPDPNEASVTLNFVPQTEYDLSVDPPLRLYGEAWGAGLVLGRIMFYNAGSGSLSFSIRNNAETQDADWAKVVGVLVGPDEEKVTFNYSSQNFIPLNDNIDEVTITVNVKTGLVPEGCDSVLVTLVIRGELAPDIAERDLPGLNRLLGTDPDLSFNHSYPGPLLNFLLRSNNTGPEDNDHAQITVSVNGEEEQVFINYSGSVVPANDNIDLLHIQLTTSSSLNGGDTAQLTLYYVAQSERDLLEGNRVTVTGSVPDVQFLDAGNGPLLSYTIQGWAGTDPDTAHISDTVVSGTASHEPVTLVYLSQPFTPRHDNIDRLHITVMAVSFNQSVSDTAVVQVAMATQREYDVLASVRVLVQVSASGVAREPSVSFAEADPGGLSLLFTGNSGKDPDYVVMTLDDIPIRPSAHEDVYGTFNVQAEEPTFTCAPLSCNYFEPKHDNVDMVRVTVWISVKAEQGYINLHTETATVQLQVEEDAELLQERDLSGNVRIVGDAGIDFWGPRTSYSISYIVPDNIGKDGDVAEVTLVSTGKAADEAVSASYFERVFQPDLDPQDVVSISLFVPLSCQITFTNHYMGGLDCAKLELQYFASKNNYSLSGNLVISGNALIRFLHESDGTSPIVKEISYVVMGASGMDKDVALVSFVVSGVPLTENISTSFATNQFVPANDNRDEVIVLMERQVQIYPDSVSITVKFTYLTEYDLASALKVEGTKPTVAFFYANPGSMGYTLPDNDQDEAVLWFAQAGVPLDEPLIVSTMNALFKPAVNAAVSVVLAPLLFCSLWLQAM
+>sp|P51861|CDR1_HUMAN Cerebellar degeneration-related antigen 1 OS=Homo sapiens OX=9606 GN=CDR1 PE=1 SV=2
+MAWLEDVDFLEDVPLLEDIPLLEDVPLLEDVPLLEDTSRLEDINLMEDMALLEDVDLLEDTDFLEDLDFSEAMDLREDKDFLEDMDSLEDMALLEDVDLLEDTDFLEDPDFLEAIDLREDKDFLEDMDSLEDLEAIGRCGFSGRHGFFGRRRFSGRPKLSGRLGLLGRRGFSGRLGGYWKTWIFWKTWIFWKTWIFRKTYIGWKTWIFSGRCGLTGRPGFGGRRRFFWKTLTDWKTWISFWKTLIDWKTWISFWKTLIDWKI
+>DECOY_sp|P51861|CDR1_HUMAN Cerebellar degeneration-related antigen 1 OS=Homo sapiens OX=9606 GN=CDR1 PE=1 SV=2
+IKWDILTKWFSIWTKWDILTKWFSIWTKWDTLTKWFFRRRGGFGPRGTLGCRGSFIWTKWGIYTKRFIWTKWFIWTKWFIWTKWYGGLRGSFGRRGLLGLRGSLKPRGSFRRRGFFGHRGSFGCRGIAELDELSDMDELFDKDERLDIAELFDPDELFDTDELLDVDELLAMDELSDMDELFDKDERLDMAESFDLDELFDTDELLDVDELLAMDEMLNIDELRSTDELLPVDELLPVDELLPIDELLPVDELFDVDELWAM
+>sp|Q01850|CDR2_HUMAN Cerebellar degeneration-related protein 2 OS=Homo sapiens OX=9606 GN=CDR2 PE=1 SV=2
+MLAENLVEEFEMKEDEPWYDHQDLQQDLQLAAELGKTLLDRNTELEDSVQQMYTTNQEQLQEIEYLTKQVELLRQMNEQHAKVYEQLDVTARELEETNQKLVADSKASQQKILSLTETIECLQTNIDHLQSQVEELKSSGQGRRSPGKCDQEKPAPSFACLKELYDLRQHFVYDHVFAEKITSLQGQPSPDEEENEHLKKTVTMLQAQLSLERQKRVTMEEEYGLVLKENSELEQQLGATGAYRARALELEAEVAEMRQMLQSEHPFVNGVEKLVPDSLYVPFKEPSQSLLEEMFLTVPESHRKPLKRSSSETILSSLAGSDIVKGHEETCIRRAKAVKQRGISLLHEVDTQYSALKVKYEELLKKCQEEQDSLSHKAVQTSRAAAKDLTGVNAQSEPVASGWELASVNPEPVSSPTTPPEYKALFKEIFSCIKKTKQEIDEQRTKYRSLSSHS
+>DECOY_sp|Q01850|CDR2_HUMAN Cerebellar degeneration-related protein 2 OS=Homo sapiens OX=9606 GN=CDR2 PE=1 SV=2
+SHSSLSRYKTRQEDIEQKTKKICSFIEKFLAKYEPPTTPSSVPEPNVSALEWGSAVPESQANVGTLDKAAARSTQVAKHSLSDQEEQCKKLLEEYKVKLASYQTDVEHLLSIGRQKVAKARRICTEEHGKVIDSGALSSLITESSSRKLPKRHSEPVTLFMEELLSQSPEKFPVYLSDPVLKEVGNVFPHESQLMQRMEAVEAELELARARYAGTAGLQQELESNEKLVLGYEEEMTVRKQRELSLQAQLMTVTKKLHENEEEDPSPQGQLSTIKEAFVHDYVFHQRLDYLEKLCAFSPAPKEQDCKGPSRRGQGSSKLEEVQSQLHDINTQLCEITETLSLIKQQSAKSDAVLKQNTEELERATVDLQEYVKAHQENMQRLLEVQKTLYEIEQLQEQNTTYMQQVSDELETNRDLLTKGLEAALQLDQQLDQHDYWPEDEKMEFEEVLNEALM
+>sp|O95674|CDS2_HUMAN Phosphatidate cytidylyltransferase 2 OS=Homo sapiens OX=9606 GN=CDS2 PE=1 SV=1
+MTELRQRVAHEPVAPPEDKESESEAKVDGETASDSESRAESAPLPVSADDTPEVLNRALSNLSSRWKNWWVRGILTLAMIAFFFIIIYLGPMVLMIIVMCVQIKCFHEIITIGYNVYHSYDLPWFRTLSWYFLLCVNYFFYGETVTDYFFTLVQREEPLRILSKYHRFISFTLYLIGFCMFVLSLVKKHYRLQFYMFGWTHVTLLIVVTQSHLVIHNLFEGMIWFIVPISCVICNDIMAYMFGFFFGRTPLIKLSPKKTWEGFIGGFFATVVFGLLLSYVMSGYRCFVCPVEYNNDTNSFTVDCEPSDLFRLQEYNIPGVIQSVIGWKTVRMYPFQIHSIALSTFASLIGPFGGFFASGFKRAFKIKDFANTIPGHGGIMDRFDCQYLMATFVNVYIASFIRGPNPSKLIQQFLTLRPDQQLHIFNTLRSHLIDKGMLTSTTEDE
+>DECOY_sp|O95674|CDS2_HUMAN Phosphatidate cytidylyltransferase 2 OS=Homo sapiens OX=9606 GN=CDS2 PE=1 SV=1
+EDETTSTLMGKDILHSRLTNFIHLQQDPRLTLFQQILKSPNPGRIFSAIYVNVFTAMLYQCDFRDMIGGHGPITNAFDKIKFARKFGSAFFGGFPGILSAFTSLAISHIQFPYMRVTKWGIVSQIVGPINYEQLRFLDSPECDVTFSNTDNNYEVPCVFCRYGSMVYSLLLGFVVTAFFGGIFGEWTKKPSLKILPTRGFFFGFMYAMIDNCIVCSIPVIFWIMGEFLNHIVLHSQTVVILLTVHTWGFMYFQLRYHKKVLSLVFMCFGILYLTFSIFRHYKSLIRLPEERQVLTFFYDTVTEGYFFYNVCLLFYWSLTRFWPLDYSHYVNYGITIIEHFCKIQVCMVIIMLVMPGLYIIIFFFAIMALTLIGRVWWNKWRSSLNSLARNLVEPTDDASVPLPASEARSESDSATEGDVKAESESEKDEPPAVPEHAVRQRLETM
+>sp|Q7Z6I8|CE024_HUMAN UPF0461 protein C5orf24 OS=Homo sapiens OX=9606 GN=C5orf24 PE=1 SV=1
+MMHPVASSNPAFCGPGKPSCLNEDAMRAADQFDIYSSQQSKYSHTVNHKPMVCQRQDPLNETHLQTTSGRSIEIKDELKKKKNLNRSGKRGRPSGTTKSAGYRTSTGRPLGTTKAAGFKTSPGRPLGTTKAAGYKVSPGRPPGSIKALSRLADLGYGCGTAAFPYPMMHGRAVHGVEETSSEVKPPNE
+>DECOY_sp|Q7Z6I8|CE024_HUMAN UPF0461 protein C5orf24 OS=Homo sapiens OX=9606 GN=C5orf24 PE=1 SV=1
+ENPPKVESSTEEVGHVARGHMMPYPFAATGCGYGLDALRSLAKISGPPRGPSVKYGAAKTTGLPRGPSTKFGAAKTTGLPRGTSTRYGASKTTGSPRGRKGSRNLNKKKKLEDKIEISRGSTTQLHTENLPDQRQCVMPKHNVTHSYKSQQSSYIDFQDAARMADENLCSPKGPGCFAPNSSAVPHMM
+>sp|Q569G3|CE047_HUMAN Uncharacterized protein C5orf47 OS=Homo sapiens OX=9606 GN=C5orf47 PE=2 SV=2
+MAAAGRGREQDSARFVYVTRFGSHQCSGVLQLGGRGAQGLWGQGPGAGCRQEKPREAMAVAGVQGGSELPLGSQLRVPTTPGVEAAASASSQLRASRVQSGTRQSARAGLIQKDAAKKYDFPIPLNEASKIMKKKKKVLVWNRVYKVISRMLEENEKYRHRLKCQRLSSESSNYTR
+>DECOY_sp|Q569G3|CE047_HUMAN Uncharacterized protein C5orf47 OS=Homo sapiens OX=9606 GN=C5orf47 PE=2 SV=2
+RTYNSSESSLRQCKLRHRYKENEELMRSIVKYVRNWVLVKKKKKMIKSAENLPIPFDYKKAADKQILGARASQRTGSQVRSARLQSSASAAAEVGPTTPVRLQSGLPLESGGQVGAVAMAERPKEQRCGAGPGQGWLGQAGRGGLQLVGSCQHSGFRTVYVFRASDQERGRGAAAM
+>sp|Q2M2E5|CE064_HUMAN Uncharacterized protein C5orf64 OS=Homo sapiens OX=9606 GN=C5orf64 PE=2 SV=2
+MLAPLFLCCLRNLFRKLISFQPPQLGRTNMHYSKLPRTAIETEFKQNVGPPPKDLTAEVYFPSIKSRSHLPAVFYNQYFKHPKCVGEYGPKNGAERQIEERKVLPTTMMFSMLADCVLKSTPIPILGVAM
+>DECOY_sp|Q2M2E5|CE064_HUMAN Uncharacterized protein C5orf64 OS=Homo sapiens OX=9606 GN=C5orf64 PE=2 SV=2
+MAVGLIPIPTSKLVCDALMSFMMTTPLVKREEIQREAGNKPGYEGVCKPHKFYQNYFVAPLHSRSKISPFYVEATLDKPPPGVNQKFETEIATRPLKSYHMNTRGLQPPQFSILKRFLNRLCCLFLPALM
+>sp|Q9P2H0|CE126_HUMAN Centrosomal protein of 126 kDa OS=Homo sapiens OX=9606 GN=CEP126 PE=1 SV=3
+MLAGRPGTRSAVGELGTESSDNLDRAPLGPRESGGHHRPGSYLDMKIHLEKNLEEERQILLQQQKICRNRARKYFVESNRRKKAFEEKRKEQEEKEHQIREQILQQRKQKFEEVTEKFQRAHVPLSQRRKAVSRKPVPPLEEALKQIQESNLKSEVNLPFSRRPTINWRAIDSALPSALSKNDHKHQKQLLSKINCEKEMNENMRATLATSKNVFQLKLEETQKLLEDQHLSNLQKFGDEVNQITNSETLSSIDSLEATEHEEIYLTLNKEHSTSIQRNTISLKPANMQSTNLSCFDEDKLAFSKTQHINNWLTNLDASNTQNVTAFSDILSKSNVLPSWEYFNSKEQNPSPLNGTVERATNTANNSVPFVSSPPMFVLDKKCEKTSETSTMRTTDSTSGAFKRERPLVTESPTFKFSKSQSTSDSLTQEVATFPDQEKYSELNQENGTTSIPTSCVPVATPLVLPSNIQSARPSAKNSIHIKEIDAVQCSDKLDELKDGKEEEIKYFNCNKEELPLFSDSFQDAYIPHNPDSKDEKQKLAETSSLSNVTSNYDFVGQHKKMKYNIHERNGVRFLKSILKKESKYEHGYLKALIINQSFKFGNQKAAAIRDSIELTKEKGAEIPKTIKKLRWFDETSNIENNAENSHSLKNKTGTTQQHSQQFHIQSGAGSNIISVSTCAVNSADTKKSREDSISENVTTLGGSGADHMPLNCFIPSGYNFAKHAWPASKKEESKIPVHDDSKTKQGKPQRGRAKIIRKPGSAKVQSGFICTNRKGAVIQPQSASKVNIFTQAQGKLIIPCPPPQSTSNIRSGKNIQVSQCQPVTPENPQNIITHNSFNSKHVLPTEHSLNQWNQESSSPLSNACSDLVTVIPSLPSYCSSECQTFAKINHSNGTQAVARQDATLYCTQRSPVCEESYPSVTLRTAEEESVPLWKRGPNVLHQNKRATGSTVMRRKRIAETKRRNILEQKRQNPGSVGQKYSEQINNFGQSVLLSSSEPKQTTRGTSYIEEVSDSTSEFLMAENLVKASVPEDEILTVLNSKQIQKSNLPLNKTQQFNICTLSAEEQKILESLNDLSERLHYIQESICKNPSIKNTLQIIPLLEKREDRTSSCRDKR
+>DECOY_sp|Q9P2H0|CE126_HUMAN Centrosomal protein of 126 kDa OS=Homo sapiens OX=9606 GN=CEP126 PE=1 SV=3
+RKDRCSSTRDERKELLPIIQLTNKISPNKCISEQIYHLRESLDNLSELIKQEEASLTCINFQQTKNLPLNSKQIQKSNLVTLIEDEPVSAKVLNEAMLFESTSDSVEEIYSTGRTTQKPESSSLLVSQGFNNIQESYKQGVSGPNQRKQELINRRKTEAIRKRRMVTSGTARKNQHLVNPGRKWLPVSEEEATRLTVSPYSEECVPSRQTCYLTADQRAVAQTGNSHNIKAFTQCESSCYSPLSPIVTVLDSCANSLPSSSEQNWQNLSHETPLVHKSNFSNHTIINQPNEPTVPQCQSVQINKGSRINSTSQPPPCPIILKGQAQTFINVKSASQPQIVAGKRNTCIFGSQVKASGPKRIIKARGRQPKGQKTKSDDHVPIKSEEKKSAPWAHKAFNYGSPIFCNLPMHDAGSGGLTTVNESISDERSKKTDASNVACTSVSIINSGAGSQIHFQQSHQQTTGTKNKLSHSNEANNEINSTEDFWRLKKITKPIEAGKEKTLEISDRIAAAKQNGFKFSQNIILAKLYGHEYKSEKKLISKLFRVGNREHINYKMKKHQGVFDYNSTVNSLSSTEALKQKEDKSDPNHPIYADQFSDSFLPLEEKNCNFYKIEEEKGDKLEDLKDSCQVADIEKIHISNKASPRASQINSPLVLPTAVPVCSTPISTTGNEQNLESYKEQDPFTAVEQTLSDSTSQSKSFKFTPSETVLPRERKFAGSTSDTTRMTSTESTKECKKDLVFMPPSSVFPVSNNATNTAREVTGNLPSPNQEKSNFYEWSPLVNSKSLIDSFATVNQTNSADLNTLWNNIHQTKSFALKDEDFCSLNTSQMNAPKLSITNRQISTSHEKNLTLYIEEHETAELSDISSLTESNTIQNVEDGFKQLNSLHQDELLKQTEELKLQFVNKSTALTARMNENMEKECNIKSLLQKQHKHDNKSLASPLASDIARWNITPRRSFPLNVESKLNSEQIQKLAEELPPVPKRSVAKRRQSLPVHARQFKETVEEFKQKRQQLIQERIQHEKEEQEKRKEEFAKKRRNSEVFYKRARNRCIKQQQLLIQREEELNKELHIKMDLYSGPRHHGGSERPGLPARDLNDSSETGLEGVASRTGPRGALM
+>sp|O94986|CE152_HUMAN Centrosomal protein of 152 kDa OS=Homo sapiens OX=9606 GN=CEP152 PE=1 SV=4
+MSLDFGSVALPVQNEDEEYDEEDYEREKELQQLLTDLPHDMLDDDLSSPELQYSDCSEDGTDGQPHHPEQLEMSWNEQMLPKSQSVNGYNEIQSLYAGEKCGNVWEENRSKTEDRHPVYHPEEGGDEGGSGYSPPSKCEQTDLYHLPENFRPYTNGQKQEFNNQATNVIKFSDPQWNHFQGPSCQGLEPYNKVTYKPYQSSAQNNGSPAQEITGSDTFEGLQQQFLGANENSAENMQIIQLQVLNKAKERQLENLIEKLNESERQIRYLNHQLVIIKDEKDGLTLSLRESQKLFQNGKEREIQLEAQIKALETQIQALKVNEEQMIKKSRTTEMALESLKQQLVDLHHSESLQRAREQHESIVMGLTKKYEEQVLSLQKNLDATVTALKEQEDICSRLKDHVKQLERNQEAIKLEKTEIINKLTRSLEESQKQCAHLLQSGSVQEVAQLQFQLQQAQKAHAMSANMNKALQEELTELKDEISLYESAAKLGIHPSDSEGELNIELTESYVDLGIKKVNWKKSKVTSIVQEEDPNEELSKDEFILKLKAEVQRLLGSNSMKRHLVSQLQNDLKDCHKKIEDLHQVKKDEKSIEVETKTDTSEKPKNQLWPESSTSDVVRDDILLLKNEIQVLQQQNQELKETEGKLRNTNQDLCNQMRQMVQDFDHDKQEAVDRCERTYQQHHEAMKTQIRESLLAKHALEKQQLFEAYERTHLQLRSELDKLNKEVTAVQECYLEVCREKDNLELTLRKTTEKEQQTQEKIKEKLIQQLEKEWQSKLDQTIKAMKKKTLDCGSQTDQVTTSDVISKKEMAIMIEEQKCTIQQNLEQEKDIAIKGAMKKLEIELELKHCENITKQVEIAVQNAHQRWLGELPELAEYQALVKAEQKKWEEQHEVSVNKRISFAVSEAKEKWKSELENMRKNILPGKELEEKIHSLQKELELKNEEVPVVIRAELAKARSEWNKEKQEEIHRIQEQNEQDYRQFLDDHRNKINEVLAAAKEDFMKQKTELLLQKETELQTCLDQSRREWTMQEAKRIQLEIYQYEEDILTVLGVLLSDTQKEHISDSEDKQLLEIMSTCSSKWMSVQYFEKLKGCIQKAFQDTLPLLVENADPEWKKRNMAELSKDSASQGTGQGDPGPAAGHHAQPLALQATEAEADKKKVLEIKDLCCGHCFQELEKAKQECQDLKGKLEKCCRHLQHLERKHKAVVEKIGEENNKVVEELIEENNDMKNKLEELQTLCKTPPRSLSAGAIENACLPCSGGALEELRGQYIKAVKKIKCDMLRYIQESKERAAEMVKAEVLRERQETARKMRKYYLICLQQILQDDGKEGAEKKIMNAASKLATMAKLLETPISSKSQSKTTQSALPLTSEMLIAVKKSKRNDVNQKIPCCIESKSNSVNTITRTLCEQAPKRRAACNLQRLLENSEHQSIKHVGSKETHLEFQFGDGSCKHLNSLPRNVSPEFVPCEGEGGFGLHKKKDLLSDNGSESLPHSAAYPFLGTLGNKPSPRCTPGPSESGCMHITFRDSNERLGLKVYKCNPLMESENAASEKSQGLDVQEPPVKDGGDLSDCLGWPSSSATLSFDSREASFVHGRPQGTLEIPSESVKSKQFSPSGYLSDTEESNMICQTMKCQRYQTPYLSEETTYLEPGKISVNCGHPSRHKADRLKSDFKKLSSTLPSSVCQQPSRKLIVPLSSQQDSGFDSPFVNLD
+>DECOY_sp|O94986|CE152_HUMAN Centrosomal protein of 152 kDa OS=Homo sapiens OX=9606 GN=CEP152 PE=1 SV=4
+DLNVFPSDFGSDQQSSLPVILKRSPQQCVSSPLTSSLKKFDSKLRDAKHRSPHGCNVSIKGPELYTTEESLYPTQYRQCKMTQCIMNSEETDSLYGSPSFQKSKVSESPIELTGQPRGHVFSAERSDFSLTASSSPWGLCDSLDGGDKVPPEQVDLGQSKESAANESEMLPNCKYVKLGLRENSDRFTIHMCGSESPGPTCRPSPKNGLTGLFPYAASHPLSESGNDSLLDKKKHLGFGGEGECPVFEPSVNRPLSNLHKCSGDGFQFELHTEKSGVHKISQHESNELLRQLNCAARRKPAQECLTRTITNVSNSKSEICCPIKQNVDNRKSKKVAILMESTLPLASQTTKSQSKSSIPTELLKAMTALKSAANMIKKEAGEKGDDQLIQQLCILYYKRMKRATEQRERLVEAKVMEAAREKSEQIYRLMDCKIKKVAKIYQGRLEELAGGSCPLCANEIAGASLSRPPTKCLTQLEELKNKMDNNEEILEEVVKNNEEGIKEVVAKHKRELHQLHRCCKELKGKLDQCEQKAKELEQFCHGCCLDKIELVKKKDAEAETAQLALPQAHHGAAPGPDGQGTGQSASDKSLEAMNRKKWEPDANEVLLPLTDQFAKQICGKLKEFYQVSMWKSSCTSMIELLQKDESDSIHEKQTDSLLVGLVTLIDEEYQYIELQIRKAEQMTWERRSQDLCTQLETEKQLLLETKQKMFDEKAAALVENIKNRHDDLFQRYDQENQEQIRHIEEQKEKNWESRAKALEARIVVPVEENKLELEKQLSHIKEELEKGPLINKRMNELESKWKEKAESVAFSIRKNVSVEHQEEWKKQEAKVLAQYEALEPLEGLWRQHANQVAIEVQKTINECHKLELEIELKKMAGKIAIDKEQELNQQITCKQEEIMIAMEKKSIVDSTTVQDTQSGCDLTKKKMAKITQDLKSQWEKELQQILKEKIKEQTQQEKETTKRLTLELNDKERCVELYCEQVATVEKNLKDLESRLQLHTREYAEFLQQKELAHKALLSERIQTKMAEHHQQYTRECRDVAEQKDHDFDQVMQRMQNCLDQNTNRLKGETEKLEQNQQQLVQIENKLLLIDDRVVDSTSSEPWLQNKPKESTDTKTEVEISKEDKKVQHLDEIKKHCDKLDNQLQSVLHRKMSNSGLLRQVEAKLKLIFEDKSLEENPDEEQVISTVKSKKWNVKKIGLDVYSETLEINLEGESDSPHIGLKAASEYLSIEDKLETLEEQLAKNMNASMAHAKQAQQLQFQLQAVEQVSGSQLLHACQKQSEELSRTLKNIIETKELKIAEQNRELQKVHDKLRSCIDEQEKLATVTADLNKQLSLVQEEYKKTLGMVISEHQERARQLSESHHLDVLQQKLSELAMETTRSKKIMQEENVKLAQIQTELAKIQAELQIEREKGNQFLKQSERLSLTLGDKEDKIIVLQHNLYRIQRESENLKEILNELQREKAKNLVQLQIIQMNEASNENAGLFQQQLGEFTDSGTIEQAPSGNNQASSQYPKYTVKNYPELGQCSPGQFHNWQPDSFKIVNTAQNNFEQKQGNTYPRFNEPLHYLDTQECKSPPSYGSGGEDGGEEPHYVPHRDETKSRNEEWVNGCKEGAYLSQIENYGNVSQSKPLMQENWSMELQEPHHPQGDTGDESCDSYQLEPSSLDDDLMDHPLDTLLQQLEKEREYDEEDYEEDENQVPLAVSGFDLSM
+>sp|Q5SZL2|CE85L_HUMAN Centrosomal protein of 85 kDa-like OS=Homo sapiens OX=9606 GN=CEP85L PE=1 SV=1
+MWGRFLAPEASGRDSPGGARSFPAGPDYSSAWLPANESLWQATTVPSNHRNNHIRRHSIASDSGDTGIGTSCSDSVEDHSTSSGTLSFKPSQSLITLPTAHVMPSNSSASISKLRESLTPDGSKWSTSLMQTLGNHSRGEQDSSLDMKDFRPLRKWSSLSKLTAPDNCGQGGTVCREESRNGLEKIGKAKALTSQLRTIGPSCLHDSMEMLRLEDKEINKKRSSTLDCKYKFESCSKEDFRASSSTLRRQPVDMTYSALPESKPIMTSSEAFEPPKYLMLGQQAVGGVPIQPSVRTQMWLTEQLRTNPLEGRNTEDSYSLAPWQQQQIEDFRQGSETPMQVLTGSSRQSYSPGYQDFSKWESMLKIKEGLLRQKEIVIDRQKQQITHLHERIRDNELRAQHAMLGHYVNCEDSYVASLQPQYENTSLQTPFSEESVSHSQQGEFEQKLASTEKEVLQLNEFLKQRLSLFSEEKKKLEEKLKTRDRYISSLKKKCQKESEQNKEKQRRIETLEKYLADLPTLDDVQSQSLQLQILEEKNKNLQEALIDTEKKLEEIKKQCQDKETQLICQKKKEKELVTTVQSLQQKVERCLEDGIRLPMLDAKQLQNENDNLRQQNETASKIIDSQQDEIDRMILEIQSMQGKLSKEKLTTQKMMEELEKKERNVQRLTKALLENQRQTDETCSLLDQGQEPDQSRQQTVLSKRPLFDLTVIDQLFKEMSCCLFDLKALCSILNQRAQGKEPNLSLLLGIRSMNCSAEETENDHSTETLTKKLSDVCQLRRDIDELRTTISDRYAQDMGDNCITQ
+>DECOY_sp|Q5SZL2|CE85L_HUMAN Centrosomal protein of 85 kDa-like OS=Homo sapiens OX=9606 GN=CEP85L PE=1 SV=1
+QTICNDGMDQAYRDSITTRLEDIDRRLQCVDSLKKTLTETSHDNETEEASCNMSRIGLLLSLNPEKGQARQNLISCLAKLDFLCCSMEKFLQDIVTLDFLPRKSLVTQQRSQDPEQGQDLLSCTEDTQRQNELLAKTLRQVNREKKELEEMMKQTTLKEKSLKGQMSQIELIMRDIEDQQSDIIKSATENQQRLNDNENQLQKADLMPLRIGDELCREVKQQLSQVTTVLEKEKKKQCILQTEKDQCQKKIEELKKETDILAEQLNKNKEELIQLQLSQSQVDDLTPLDALYKELTEIRRQKEKNQESEKQCKKKLSSIYRDRTKLKEELKKKEESFLSLRQKLFENLQLVEKETSALKQEFEGQQSHSVSEESFPTQLSTNEYQPQLSAVYSDECNVYHGLMAHQARLENDRIREHLHTIQQKQRDIVIEKQRLLGEKIKLMSEWKSFDQYGPSYSQRSSGTLVQMPTESGQRFDEIQQQQWPALSYSDETNRGELPNTRLQETLWMQTRVSPQIPVGGVAQQGLMLYKPPEFAESSTMIPKSEPLASYTMDVPQRRLTSSSARFDEKSCSEFKYKCDLTSSRKKNIEKDELRLMEMSDHLCSPGITRLQSTLAKAKGIKELGNRSEERCVTGGQGCNDPATLKSLSSWKRLPRFDKMDLSSDQEGRSHNGLTQMLSTSWKSGDPTLSERLKSISASSNSPMVHATPLTILSQSPKFSLTGSSTSHDEVSDSCSTGIGTDGSDSAISHRRIHNNRHNSPVTTAQWLSENAPLWASSYDPGAPFSRAGGPSDRGSAEPALFRGWM
+>sp|Q6UY09|CEA20_HUMAN Carcinoembryonic antigen-related cell adhesion molecule 20 OS=Homo sapiens OX=9606 GN=CEACAM20 PE=2 SV=2
+MGPADSWGHHWMGILLSASLCTVWSPPAAAQLTLNANPLDATQSEDVVLPVFGTPRTPQIHGRSRELAKPSIAVSPGTAIEQKDMVTFYCTTKDVNITIHWVSNNLSIVFHERMQLSKDGKILTILIVQREDSGTYQCEARDALLSQRSDPIFLDVKYGPDPVEIKLESGVASGEVVEVMEGSSMTFLAETKSHPPCAYTWFLLDSILSHTTRTFTIHAVSREHEGLYRCLVSNSATHLSSLGTLKVRVLETLTMPQVVPSSLNLVENARSVDLTCQTVNQSVNVQWFLSGQPLLPSEHLQLSADNRTLIIHGLQRNDTGPYACEVWNWGSRARSEPLELTINYGPDQVHITRESASEMISTIEAELNSSLTLQCWAESKPGAEYRWTLEHSTGEHLGEQLIIRALTWEHDGIYNCTASNSLTGLARSTSVLVKVVGPQSSSLSSGAIAGIVIGILAVIAVASELGYFLCIRNARRPSRKTTEDPSHETSQPIPKEEHPTEPSSESLSPEYRNISQLQGRIRVELMQPPDLPEETYETKLPSASRRGNSFSPWKPPPKPLMPPLRLVSTVPKNMESIYEELVNPEPNTYIQINPSV
+>DECOY_sp|Q6UY09|CEA20_HUMAN Carcinoembryonic antigen-related cell adhesion molecule 20 OS=Homo sapiens OX=9606 GN=CEACAM20 PE=2 SV=2
+VSPNIQIYTNPEPNVLEEYISEMNKPVTSVLRLPPMLPKPPPKWPSFSNGRRSASPLKTEYTEEPLDPPQMLEVRIRGQLQSINRYEPSLSESSPETPHEEKPIPQSTEHSPDETTKRSPRRANRICLFYGLESAVAIVALIGIVIGAIAGSSLSSSQPGVVKVLVSTSRALGTLSNSATCNYIGDHEWTLARIILQEGLHEGTSHELTWRYEAGPKSEAWCQLTLSSNLEAEITSIMESASERTIHVQDPGYNITLELPESRARSGWNWVECAYPGTDNRQLGHIILTRNDASLQLHESPLLPQGSLFWQVNVSQNVTQCTLDVSRANEVLNLSSPVVQPMTLTELVRVKLTGLSSLHTASNSVLCRYLGEHERSVAHITFTRTTHSLISDLLFWTYACPPHSKTEALFTMSSGEMVEVVEGSAVGSELKIEVPDPGYKVDLFIPDSRQSLLADRAECQYTGSDERQVILITLIKGDKSLQMREHFVISLNNSVWHITINVDKTTCYFTVMDKQEIATGPSVAISPKALERSRGHIQPTRPTGFVPLVVDESQTADLPNANLTLQAAAPPSWVTCLSASLLIGMWHHGWSDAPGM
+>sp|Q03701|CEBPZ_HUMAN CCAAT/enhancer-binding protein zeta OS=Homo sapiens OX=9606 GN=CEBPZ PE=1 SV=3
+MAAVKEPLEFHAKRPWRPEEAVEDPDEEDEDNTSEAENGFSLEEVLRLGGTKQDYLMLATLDENEEVIDGGKKGAIDDLQQGELEAFIQNLNLAKYTKASLVEEDEPAEKENSSKKEVKIPKINNKNTAESQRTSVNKVKNKNRPEPHSDENGSTTPKVKKDKQNIFEFFERQTLLLRPGGKWYDLEYSNEYSLKPQPQDVVSKYKTLAQKLYQHEINLFKSKTNSQKGASSTWMKAIVSSGTLGDRMAAMILLIQDDAVHTLQFVETLVNLVKKKGSKQQCLMALDTFKELLITDLLPDNRKLRIFSQRPFDKLEQLSSGNKDSRDRRLILWYFEHQLKHLVAEFVQVLETLSHDTLVTTKTRALTVAHELLCNKPEEEKALLVQVVNKLGDPQNRIATKASHLLETLLCKHPNMKGVVSGEVERLLFRSNISSKAQYYAICFLNQMALSHEESELANKLITVYFCFFRTCVKKKDVESKMLSALLTGVNRAYPYSQTGDDKVREQIDTLFKVLHIVNFNTSVQALMLLFQVMNSQQTISDRYYTALYRKMLDPGLMTCSKQAMFLNLVYKSLKADIVLRRVKAFVKRLLQVTCQQMPPFICGALYLVSEILKAKPGLRSQLDDHPESDDEENFIDANDDEDMEKFTDADKETEIVKKLETEETVPETDVETKKPEVASWVHFDNLKGGKQLNKYDPFSRNPLFCGAENTSLWELKKLSVHFHPSVALFAKTILQGNYIQYSGDPLQDFTLMRFLDRFVYRNPKPHKGKENTDSVVMQPKRKHFIKDIRHLPVNSKEFLAKEESQIPVDEVFFHRYYKKVAVKEKQKRDADEESIEDVDDEEFEELIDTFEDDNCFSSGKDDMDFAGNVKKRTKGAKDNTLDEDSEGSDDELGNLDDDEVSLGSMDDEEFAEVDEDGGTFMDVLDDESESVPELEVHSKVSTKKSKRKGTDDFDFAGSFQGPRKKKRNLNDSSLFVSAEEFGHLLDENMGSKFDNIGMNAMANKDNASLKQLRWEAERDDWLHNRDAKSIIKKKKHFKKKRIKTTQKTKKQRK
+>DECOY_sp|Q03701|CEBPZ_HUMAN CCAAT/enhancer-binding protein zeta OS=Homo sapiens OX=9606 GN=CEBPZ PE=1 SV=3
+KRQKKTKQTTKIRKKKFHKKKKIISKADRNHLWDDREAEWRLQKLSANDKNAMANMGINDFKSGMNEDLLHGFEEASVFLSSDNLNRKKKRPGQFSGAFDFDDTGKRKSKKTSVKSHVELEPVSESEDDLVDMFTGGDEDVEAFEEDDMSGLSVEDDDLNGLEDDSGESDEDLTNDKAGKTRKKVNGAFDMDDKGSSFCNDDEFTDILEEFEEDDVDEISEEDADRKQKEKVAVKKYYRHFFVEDVPIQSEEKALFEKSNVPLHRIDKIFHKRKPQMVVSDTNEKGKHPKPNRYVFRDLFRMLTFDQLPDGSYQIYNGQLITKAFLAVSPHFHVSLKKLEWLSTNEAGCFLPNRSFPDYKNLQKGGKLNDFHVWSAVEPKKTEVDTEPVTEETELKKVIETEKDADTFKEMDEDDNADIFNEEDDSEPHDDLQSRLGPKAKLIESVLYLAGCIFPPMQQCTVQLLRKVFAKVRRLVIDAKLSKYVLNLFMAQKSCTMLGPDLMKRYLATYYRDSITQQSNMVQFLLMLAQVSTNFNVIHLVKFLTDIQERVKDDGTQSYPYARNVGTLLASLMKSEVDKKKVCTRFFCFYVTILKNALESEEHSLAMQNLFCIAYYQAKSSINSRFLLREVEGSVVGKMNPHKCLLTELLHSAKTAIRNQPDGLKNVVQVLLAKEEEPKNCLLEHAVTLARTKTTVLTDHSLTELVQVFEAVLHKLQHEFYWLILRRDRSDKNGSSLQELKDFPRQSFIRLKRNDPLLDTILLEKFTDLAMLCQQKSGKKKVLNVLTEVFQLTHVADDQILLIMAAMRDGLTGSSVIAKMWTSSAGKQSNTKSKFLNIEHQYLKQALTKYKSVVDQPQPKLSYENSYELDYWKGGPRLLLTQREFFEFINQKDKKVKPTTSGNEDSHPEPRNKNKVKNVSTRQSEATNKNNIKPIKVEKKSSNEKEAPEDEEVLSAKTYKALNLNQIFAELEGQQLDDIAGKKGGDIVEENEDLTALMLYDQKTGGLRLVEELSFGNEAESTNDEDEEDPDEVAEEPRWPRKAHFELPEKVAAM
+>sp|Q96BT3|CENPT_HUMAN Centromere protein T OS=Homo sapiens OX=9606 GN=CENPT PE=1 SV=2
+MADHNPDSDSTPRTLLRRVLDTADPRTPRRPRSARAGARRALLETASPRKLSGQTRTIARGRSHGARSVGRSAHIQASGHLEEQTPRTLLKNILLTAPESSILMPESVVKPVPAPQAVQPSRQESSCGSLELQLPELEPPTTLAPGLLAPGRRKQRLRLSVFQQGVDQGLSLSQEPQGNADASSLTRSLNLTFATPLQPQSVQRPGLARRPPARRAVDVGAFLRDLRDTSLAPPNIVLEDTQPFSQPMVGSPNVYHSLPCTPHTGAEDAEQAAGRKTQSSGPGLQKNSPGKPAQFLAGEAEEVNAFALGFLSTSSGVSGEDEVEPLHDGVEEAEKKMEEEGVSVSEMEATGAQGPSRVEEAEGHTEVTEAEGSQGTAEADGPGASSGDEDASGRAASPESASSTPESLQARRHHQFLEPAPAPGAAVLSSEPAEPLLVRHPPRPRTTGPRPRQDPHKAGLSHYVKLFSFYAKMPMERKALEMVEKCLDKYFQHLCDDLEVFAAHAGRKTVKPEDLELLMRRQGLVTDQVSLHVLVERHLPLEYRQLLIPCAYSGNSVFPAQ
+>DECOY_sp|Q96BT3|CENPT_HUMAN Centromere protein T OS=Homo sapiens OX=9606 GN=CENPT PE=1 SV=2
+QAPFVSNGSYACPILLQRYELPLHREVLVHLSVQDTVLGQRRMLLELDEPKVTKRGAHAAFVELDDCLHQFYKDLCKEVMELAKREMPMKAYFSFLKVYHSLGAKHPDQRPRPGTTRPRPPHRVLLPEAPESSLVAAGPAPAPELFQHHRRAQLSEPTSSASEPSAARGSADEDGSSAGPGDAEATGQSGEAETVETHGEAEEVRSPGQAGTAEMESVSVGEEEMKKEAEEVGDHLPEVEDEGSVGSSTSLFGLAFANVEEAEGALFQAPKGPSNKQLGPGSSQTKRGAAQEADEAGTHPTCPLSHYVNPSGVMPQSFPQTDELVINPPALSTDRLDRLFAGVDVARRAPPRRALGPRQVSQPQLPTAFTLNLSRTLSSADANGQPEQSLSLGQDVGQQFVSLRLRQKRRGPALLGPALTTPPELEPLQLELSGCSSEQRSPQVAQPAPVPKVVSEPMLISSEPATLLINKLLTRPTQEELHGSAQIHASRGVSRAGHSRGRAITRTQGSLKRPSATELLARRAGARASRPRRPTRPDATDLVRRLLTRPTSDSDPNHDAM
+>sp|Q9P209|CEP72_HUMAN Centrosomal protein of 72 kDa OS=Homo sapiens OX=9606 GN=CEP72 PE=1 SV=2
+MARAGPRLVLSEEAVRAKSGLGPHRDLAELQSLSIPGTYQEKITHLGHSLMSLTGLKSLDLSRNSLVSLEGIQYLTALESLNLYYNCISSLAEVFRLHALTELVDVDFRLNPVVKVEPDYRLFVVHLLPKLQQLDDRPVRASERKASRLHFASEDSLDSKESVPASLKEGRPHHPRAKCTEALAKQSLVMDADDEAVLNLIAECEWDLGRPPGSTSFSQKGREADSRGSQESRHLLSPQLVQYQCGDSGKQGRETRRSSCRGCCLEKMPWSQLCGELPPLYGAEPEASRAPRPHTYFTPHPDSMDTEDSASSQKLDLSGEMVPGPLPAPGKCRKRRMPVGRFQTFSDQEGLGCPERTHGSSVPKESLSRQDSSESRNGRTLSQPEASETEEQRSRGVTDTREPSPGSHSALPGKKTALQAALLETLLDLVDRSWGGCRSLHSNEAFLAQARHILSSVEEFTAAQDSSAMVGEDVGSLALESKSLQSRLAEQQQQHAREMSEVTAELHHTHKELDDLRQHLDKSLEENSRLKSLLLSMKKEVKSADTAATLNLQIAGLQTSVKRLCGEIVELKQHLEHYDKIQELTQMLQESHSSLVSTNEHLLQELSQVRAQHRAEVEQMHWSYQELKKTMALFPHSSASHGGCQAC
+>DECOY_sp|Q9P209|CEP72_HUMAN Centrosomal protein of 72 kDa OS=Homo sapiens OX=9606 GN=CEP72 PE=1 SV=2
+CAQCGGHSASSHPFLAMTKKLEQYSWHMQEVEARHQARVQSLEQLLHENTSVLSSHSEQLMQTLEQIKDYHELHQKLEVIEGCLRKVSTQLGAIQLNLTAATDASKVEKKMSLLLSKLRSNEELSKDLHQRLDDLEKHTHHLEATVESMERAHQQQQEALRSQLSKSELALSGVDEGVMASSDQAATFEEVSSLIHRAQALFAENSHLSRCGGWSRDVLDLLTELLAAQLATKKGPLASHSGPSPERTDTVGRSRQEETESAEPQSLTRGNRSESSDQRSLSEKPVSSGHTREPCGLGEQDSFTQFRGVPMRRKRCKGPAPLPGPVMEGSLDLKQSSASDETDMSDPHPTFYTHPRPARSAEPEAGYLPPLEGCLQSWPMKELCCGRCSSRRTERGQKGSDGCQYQVLQPSLLHRSEQSGRSDAERGKQSFSTSGPPRGLDWECEAILNLVAEDDADMVLSQKALAETCKARPHHPRGEKLSAPVSEKSDLSDESAFHLRSAKRESARVPRDDLQQLKPLLHVVFLRYDPEVKVVPNLRFDVDVLETLAHLRFVEALSSICNYYLNLSELATLYQIGELSVLSNRSLDLSKLGTLSMLSHGLHTIKEQYTGPISLSQLEALDRHPGLGSKARVAEESLVLRPGARAM
+>sp|Q96GE4|CEP95_HUMAN Centrosomal protein of 95 kDa OS=Homo sapiens OX=9606 GN=CEP95 PE=1 SV=1
+MAGSDAEWVTIANNLLFKCHIHLRIHELQDCDANVFIALYQSILGEKVPDLIVIPRSQEDDAHNVQAVIDSLALDYLQVSLSHITGENIVKGDKESIKNLLEIFDGLLEYLTERISETSHEKSETEQYFKESDRGERLEEPESTKESKSSWKRVSFGRCSLSSEMLGPSWDGDEAESTGEIIRLGDTAHTFSLRSNGAQCPNEMLSKKALASPSSKSHEDMLYPPSVLSKSRTSFVEDTETLSVSGIPNARKLGEPIRAAIPLHPPYHPSEPRAPCPIGKEYLHSSHCSPAVNSTGEHTEFSGDLDDGLFLISKLPKGSKWEVYPAQVQGPRTRKPPKGKRNENRATASSCNSPFPQRPRKRLTEQELHDVSEKLSQRLSELDWMLKSALGDRIKEKTDHKEENTGNEEVEDGTEETLSQHSDGIVEYGPKKSRPGLSMRRKPPYRSHSLSPSPVNKHKQFHLERKRQRKPRETDVRQFQAQAFTEAFERELRRHKVQENIGPLRIHEKEEETEKIYRGEAVRKGTPECSQPWKIYSRKTTTQSLRGGLPKPNKAVPMKVSEHSLLPLMLEQFPFLYVSGPTLSKMWKQQIAQVEQLKKEACRENRSKKKLQDEIEEALRRHDLLTTLVKKEYEHNKRLQDFKDCIRRQRLTQSKIKENRQQIVRARKYYDDYRVQLCAKMMRMRTREEMIFKKLFEEGLNIQKQRLRDLRNYAKEKRDEQRRRHQDELDSMENYYKDQFSLLAEAISQEHQELKAREKSQAQTLHKVKRELRSKMEKEIQQLQDMITQNDDDVFFRELEAERFRSRLQLASFQYSKSPSL
+>DECOY_sp|Q96GE4|CEP95_HUMAN Centrosomal protein of 95 kDa OS=Homo sapiens OX=9606 GN=CEP95 PE=1 SV=1
+LSPSKSYQFSALQLRSRFREAELERFFVDDDNQTIMDQLQQIEKEMKSRLERKVKHLTQAQSKERAKLEQHEQSIAEALLSFQDKYYNEMSDLEDQHRRRQEDRKEKAYNRLDRLRQKQINLGEEFLKKFIMEERTRMRMMKACLQVRYDDYYKRARVIQQRNEKIKSQTLRQRRICDKFDQLRKNHEYEKKVLTTLLDHRRLAEEIEDQLKKKSRNERCAEKKLQEVQAIQQKWMKSLTPGSVYLFPFQELMLPLLSHESVKMPVAKNPKPLGGRLSQTTTKRSYIKWPQSCEPTGKRVAEGRYIKETEEEKEHIRLPGINEQVKHRRLEREFAETFAQAQFQRVDTERPKRQRKRELHFQKHKNVPSPSLSHSRYPPKRRMSLGPRSKKPGYEVIGDSHQSLTEETGDEVEENGTNEEKHDTKEKIRDGLASKLMWDLESLRQSLKESVDHLEQETLRKRPRQPFPSNCSSATARNENRKGKPPKRTRPGQVQAPYVEWKSGKPLKSILFLGDDLDGSFETHEGTSNVAPSCHSSHLYEKGIPCPARPESPHYPPHLPIAARIPEGLKRANPIGSVSLTETDEVFSTRSKSLVSPPYLMDEHSKSSPSALAKKSLMENPCQAGNSRLSFTHATDGLRIIEGTSEAEDGDWSPGLMESSLSCRGFSVRKWSSKSEKTSEPEELREGRDSEKFYQETESKEHSTESIRETLYELLGDFIELLNKISEKDGKVINEGTIHSLSVQLYDLALSDIVAQVNHADDEQSRPIVILDPVKEGLISQYLAIFVNADCDQLEHIRLHIHCKFLLNNAITVWEADSGAM
+>sp|Q9Y6K0|CEPT1_HUMAN Choline/ethanolaminephosphotransferase 1 OS=Homo sapiens OX=9606 GN=CEPT1 PE=1 SV=1
+MSGHRSTRKRCGDSHPESPVGFGHMSTTGCVLNKLFQLPTPPLSRHQLKRLEEHRYQSAGRSLLEPLMQGYWEWLVRRVPSWIAPNLITIIGLSINICTTILLVFYCPTATEQAPLWAYIACACGLFIYQSLDAIDGKQARRTNSSSPLGELFDHGCDSLSTVFVVLGTCIAVQLGTNPDWMFFCCFAGTFMFYCAHWQTYVSGTLRFGIIDVTEVQIFIIIMHLLAVIGGPPFWQSMIPVLNIQMKIFPALCTVAGTIFSCTNYFRVIFTGGVGKNGSTIAGTSVLSPFLHIGSVITLAAMIYKKSAVQLFEKHPCLYILTFGFVSAKITNKLVVAHMTKSEMHLHDTAFIGPALLFLDQYFNSFIDEYIVLWIALVFSFFDLIRYCVSVCNQIASHLHIHVFRIKVSTAHSNHH
+>DECOY_sp|Q9Y6K0|CEPT1_HUMAN Choline/ethanolaminephosphotransferase 1 OS=Homo sapiens OX=9606 GN=CEPT1 PE=1 SV=1
+HHNSHATSVKIRFVHIHLHSAIQNCVSVCYRILDFFSFVLAIWLVIYEDIFSNFYQDLFLLAPGIFATDHLHMESKTMHAVVLKNTIKASVFGFTLIYLCPHKEFLQVASKKYIMAALTIVSGIHLFPSLVSTGAITSGNKGVGGTFIVRFYNTCSFITGAVTCLAPFIKMQINLVPIMSQWFPPGGIVALLHMIIIFIQVETVDIIGFRLTGSVYTQWHACYFMFTGAFCCFFMWDPNTGLQVAICTGLVVFVTSLSDCGHDFLEGLPSSSNTRRAQKGDIADLSQYIFLGCACAIYAWLPAQETATPCYFVLLITTCINISLGIITILNPAIWSPVRRVLWEWYGQMLPELLSRGASQYRHEELRKLQHRSLPPTPLQFLKNLVCGTTSMHGFGVPSEPHSDGCRKRTSRHGSM
+>sp|Q49MI3|CERKL_HUMAN Ceramide kinase-like protein OS=Homo sapiens OX=9606 GN=CERKL PE=1 SV=1
+MPWRRRRNRVSALEGGREEEAPPEAAAVPPALLTSPQQTEAAAERILLRGIFEIGRDSCDVVLSERALRWRPIQPERPAGDSKYDLLCKEEFIELKDIFSVKLKRRCSVKQQRSGTLLGITLFICLKKEQNKLKNSTLDLINLSEDHCDIWFRQFKKILAGFPNRPKSLKILLNPQSHKKEATQVYYEKVEPLLKLAGIKTDVTIMEYEGHALSLLKECELQGFDGGHRKPLFAIHWSVQRLFTGMQTLEPSVVCVGGDGSASEVAHALLLRAQKNAGMETDRILTPVRAQLPLGLIPAGSTNVLAHSLHGVPHVITATLHIIMGHVQLVDVCTFSTAGKLLRFGFSAMFGFGGRTLALAEKYRWMSPNQRRDFAVVKALAKLKAEDCEISFLPFNSSDDVQERRAQGSPKSDCNDQWQMIQGQFLNVSIMAIPCLCSVAPRGLAPNTRLNNGSMALIIARNTSRPEFIKHLKRYASVKNQFNFPFVETYTVEEVKVHPRNNTGGYNPEEEEDETASENCFPWNVDGDLMEVASEVHIRLHPRLISLYGGSMEEMIPK
+>DECOY_sp|Q49MI3|CERKL_HUMAN Ceramide kinase-like protein OS=Homo sapiens OX=9606 GN=CERKL PE=1 SV=1
+KPIMEEMSGGYLSILRPHLRIHVESAVEMLDGDVNWPFCNESATEDEEEEPNYGGTNNRPHVKVEEVTYTEVFPFNFQNKVSAYRKLHKIFEPRSTNRAIILAMSGNNLRTNPALGRPAVSCLCPIAMISVNLFQGQIMQWQDNCDSKPSGQARREQVDDSSNFPLFSIECDEAKLKALAKVVAFDRRQNPSMWRYKEALALTRGGFGFMASFGFRLLKGATSFTCVDVLQVHGMIIHLTATIVHPVGHLSHALVNTSGAPILGLPLQARVPTLIRDTEMGANKQARLLLAHAVESASGDGGVCVVSPELTQMGTFLRQVSWHIAFLPKRHGGDFGQLECEKLLSLAHGEYEMITVDTKIGALKLLPEVKEYYVQTAEKKHSQPNLLIKLSKPRNPFGALIKKFQRFWIDCHDESLNILDLTSNKLKNQEKKLCIFLTIGLLTGSRQQKVSCRRKLKVSFIDKLEIFEEKCLLDYKSDGAPREPQIPRWRLARESLVVDCSDRGIEFIGRLLIREAAAETQQPSTLLAPPVAAAEPPAEEERGGELASVRNRRRRWPM
+>sp|P27544|CERS1_HUMAN Ceramide synthase 1 OS=Homo sapiens OX=9606 GN=CERS1 PE=1 SV=1
+MAAAGPAAGPTGPEPMPSYAQLVQRGWGSALAAARGCTDCGWGLARRGLAEHAHLAPPELLLLALGALGWTALRSAATARLFRPLAKRCCLQPRDAAKMPESAWKFLFYLGSWSYSAYLLFGTDYPFFHDPPSVFYDWTPGMAVPRDIAAAYLLQGSFYGHSIYATLYMDTWRKDSVVMLLHHVVTLILIVSSYAFRYHNVGILVLFLHDISDVQLEFTKLNIYFKSRGGSYHRLHALAADLGCLSFGFSWFWFRLYWFPLKVLYATSHCSLRTVPDIPFYFFFNALLLLLTLMNLYWFLYIVAFAAKVLTGQVHELKDLREYDTAEAQSLKPSKAEKPLRNGLVKDKRF
+>DECOY_sp|P27544|CERS1_HUMAN Ceramide synthase 1 OS=Homo sapiens OX=9606 GN=CERS1 PE=1 SV=1
+FRKDKVLGNRLPKEAKSPKLSQAEATDYERLDKLEHVQGTLVKAAFAVIYLFWYLNMLTLLLLLANFFFYFPIDPVTRLSCHSTAYLVKLPFWYLRFWFWSFGFSLCGLDAALAHLRHYSGGRSKFYINLKTFELQVDSIDHLFLVLIGVNHYRFAYSSVILILTVVHHLLMVVSDKRWTDMYLTAYISHGYFSGQLLYAAAIDRPVAMGPTWDYFVSPPDHFFPYDTGFLLYASYSWSGLYFLFKWASEPMKAADRPQLCCRKALPRFLRATAASRLATWGLAGLALLLLEPPALHAHEALGRRALGWGCDTCGRAAALASGWGRQVLQAYSPMPEPGTPGAAPGAAAM
+>sp|Q6UXA7|CF015_HUMAN Uncharacterized protein C6orf15 OS=Homo sapiens OX=9606 GN=C6orf15 PE=2 SV=3
+MQGRVAGSCAPLGLLLVCLHLPGLFARSIGVVEEKVSQNLGTNLPQLGQPSSTGPSNSEHPQPALDPRSNDLARVPLKLSVPASDGFPPAGGSAVQRWPPSWGLPAMDSWPPEDPWQMMAAAAEDRLGEALPEELSYLSSAAALAPGSGPLPGESSPDATGLSPKASLLHQDSESRRLPRSNSLGAGGKILSQRPPWSLIHRVLPDHPWGTLNPSVSWGGGGPGTGWGTRPMPHPEGIWGINNQPPGTSWGNINRYPGGSWGNINRYPGGSWGNINRYPGGSWGNIHLYPGINNPFPPGVLRPPGSSWNIPAGFPNPPSPRLQWG
+>DECOY_sp|Q6UXA7|CF015_HUMAN Uncharacterized protein C6orf15 OS=Homo sapiens OX=9606 GN=C6orf15 PE=2 SV=3
+GWQLRPSPPNPFGAPINWSSGPPRLVGPPFPNNIGPYLHINGWSGGPYRNINGWSGGPYRNINGWSGGPYRNINGWSTGPPQNNIGWIGEPHPMPRTGWGTGPGGGGWSVSPNLTGWPHDPLVRHILSWPPRQSLIKGGAGLSNSRPLRRSESDQHLLSAKPSLGTADPSSEGPLPGSGPALAAASSLYSLEEPLAEGLRDEAAAAMMQWPDEPPWSDMAPLGWSPPWRQVASGGAPPFGDSAPVSLKLPVRALDNSRPDLAPQPHESNSPGTSSPQGLQPLNTGLNQSVKEEVVGISRAFLGPLHLCVLLLGLPACSGAVRGQM
+>sp|Q9GZU0|CF062_HUMAN Uncharacterized protein C6orf62 OS=Homo sapiens OX=9606 GN=C6orf62 PE=2 SV=1
+MGDPNSRKKQALNRLRAQLRKKKESLADQFDFKMYIAFVFKEKKKKSALFEVSEVIPVMTNNYEENILKGVRDSSYSLESSLELLQKDVVQLHAPRYQSMRRDVIGCTQEMDFILWPRNDIEKIVCLLFSRWKESDEPFRPVQAKFEFHHGDYEKQFLHVLSRKDKTGIVVNNPNQSVFLFIDRQHLQTPKNKATIFKLCSICLYLPQEQLTHWAVGTIEDHLRPYMPE
+>DECOY_sp|Q9GZU0|CF062_HUMAN Uncharacterized protein C6orf62 OS=Homo sapiens OX=9606 GN=C6orf62 PE=2 SV=1
+EPMYPRLHDEITGVAWHTLQEQPLYLCISCLKFITAKNKPTQLHQRDIFLFVSQNPNNVVIGTKDKRSLVHLFQKEYDGHHFEFKAQVPRFPEDSEKWRSFLLCVIKEIDNRPWLIFDMEQTCGIVDRRMSQYRPAHLQVVDKQLLELSSELSYSSDRVGKLINEEYNNTMVPIVESVEFLASKKKKEKFVFAIYMKFDFQDALSEKKKRLQARLRNLAQKKRSNPDGM
+>sp|Q7Z4R8|CF120_HUMAN UPF0669 protein C6orf120 OS=Homo sapiens OX=9606 GN=C6orf120 PE=1 SV=1
+MAAPRGRAAPWTTALLLLLASQVLSPGSCADEEEVPEEWVLLHVVQGQIGAGNYSYLRLNHEGKIVLRMRSLKGDADLYVSASSLHPSFDDYELQSATCGPDAVSIPAHFRRPVGIGVYGHPSHLESEFEMKVYYDGTVEQHPFGEAAYPADGADAGQKHAGAPEDASQEEESVLWTILISILKLVLEILF
+>DECOY_sp|Q7Z4R8|CF120_HUMAN UPF0669 protein C6orf120 OS=Homo sapiens OX=9606 GN=C6orf120 PE=1 SV=1
+FLIELVLKLISILITWLVSEEEQSADEPAGAHKQGADAGDAPYAAEGFPHQEVTGDYYVKMEFESELHSPHGYVGIGVPRRFHAPISVADPGCTASQLEYDDFSPHLSSASVYLDADGKLSRMRLVIKGEHNLRLYSYNGAGIQGQVVHLLVWEEPVEEEDACSGPSLVQSALLLLLATTWPAARGRPAAM
+>sp|Q5TEZ4|CF164_HUMAN Putative uncharacterized protein encoded by LINC01590 OS=Homo sapiens OX=9606 GN=LINC01590 PE=5 SV=2
+MSHLPAVSPVFFQLPAPHPPTVLRPQLGLHPNPECDREKMSVRDHDPEVLTRNSACKPRGQLSGHLLKPRAPLEAA
+>DECOY_sp|Q5TEZ4|CF164_HUMAN Putative uncharacterized protein encoded by LINC01590 OS=Homo sapiens OX=9606 GN=LINC01590 PE=5 SV=2
+AAELPARPKLLHGSLQGRPKCASNRTLVEPDHDRVSMKERDCEPNPHLGLQPRLVTPPHPAPLQFFVPSVAPLHSM
+>sp|Q9BPX7|CG025_HUMAN UPF0415 protein C7orf25 OS=Homo sapiens OX=9606 GN=C7orf25 PE=1 SV=1
+MSAHSMLCERIAIAKELIKRAESLSRSRKGGIEGGAKLCSKLKAELKFLQKVEAGKVAIKESHLQSTNLTHLRAIVESAENLEEVVSVLHVFGYTDTLGEKQTLVVDVVANGGHTWVKAIGRKAEALHNIWLGRGQYGDKSIIEQAEDFLQASHQQPVQYSNPHIIFAFYNSVSSPMAEKLKEMGISVRGDIVAVNALLDHPEELQPSESESDDEGPELLQVTRVDRENILASVAFPTEIKVDVCKRVNLDITTLITYVSALSYGGCHFIFKEKVLTEQAEQERKEQVLPQLEAFMKDKELFACESAVKDFQSILDTLGGPGERERATVLIKRINVVPDQPSERALRLVASSKINSRSLTIFGTGDTLKAITMTANSGFVRAANNQGVKFSVFIHQPRALTESKEALATPLPKDYTTDSEH
+>DECOY_sp|Q9BPX7|CG025_HUMAN UPF0415 protein C7orf25 OS=Homo sapiens OX=9606 GN=C7orf25 PE=1 SV=1
+HESDTTYDKPLPTALAEKSETLARPQHIFVSFKVGQNNAARVFGSNATMTIAKLTDGTGFITLSRSNIKSSAVLRLARESPQDPVVNIRKILVTAREREGPGGLTDLISQFDKVASECAFLEKDKMFAELQPLVQEKREQEAQETLVKEKFIFHCGGYSLASVYTILTTIDLNVRKCVDVKIETPFAVSALINERDVRTVQLLEPGEDDSESESPQLEEPHDLLANVAVIDGRVSIGMEKLKEAMPSSVSNYFAFIIHPNSYQVPQQHSAQLFDEAQEIISKDGYQGRGLWINHLAEAKRGIAKVWTHGGNAVVDVVLTQKEGLTDTYGFVHLVSVVEELNEASEVIARLHTLNTSQLHSEKIAVKGAEVKQLFKLEAKLKSCLKAGGEIGGKRSRSLSEARKILEKAIAIRECLMSHASM
+>sp|Q8N865|CG031_HUMAN Uncharacterized protein C7orf31 OS=Homo sapiens OX=9606 GN=C7orf31 PE=1 SV=3
+MEVIHGRPYCCRELEGADILSNTFYSNELHNPLQTVTRPTASEDRYQELRESLQQCRLPWGAEREYGGIIPISLPEDHRPKYEPPRVMGKGHQHYGFGGETWPRKLPVEQFYYLTQNKKSDVYGNDSLIPKPPNSTVGEICLPYPIEHPYHTHICRGAMFPTFTSPEDLYTGIKARTQQPFPPTVPTKAYDSTVLKTRGNPYRYELIDIPMDSKKKALTWPGQGVYYDFPRGVEKNKPVFYPKPPKTFAPNTSLNSWDPICSAKEANIQRNLERSHWLTSYTHDFTGLGPMDPLELDDYHEKMVAELTRKIGFDPEPQEKFHPVFKPPRPLEGRIARLIQNRRSLEAIVQQRPRSCPDCTPRVLCNFHTFVPSSKEMVALSDNIPAGVTHKNQDIEEKIIEEQSLLSTYELPSCYPTKDLTSIYDIKPFPKITDTKKTEDLYWRQQSLKTQPTPYCKPDHWIHYENLKSPLRDQYNMCPDPVSLSKPSVLQNKQDTEAFTLEHFLSKPEEELFLNMENNEETRPVLGWIPRAGVTKPQTNLLELKNSFSKTGAQKRFHKSILEDHKDLRDNEHSGMKHQFYGHNSYYFYN
+>DECOY_sp|Q8N865|CG031_HUMAN Uncharacterized protein C7orf31 OS=Homo sapiens OX=9606 GN=C7orf31 PE=1 SV=3
+NYFYYSNHGYFQHKMGSHENDRLDKHDELISKHFRKQAGTKSFSNKLELLNTQPKTVGARPIWGLVPRTEENNEMNLFLEEEPKSLFHELTFAETDQKNQLVSPKSLSVPDPCMNYQDRLPSKLNEYHIWHDPKCYPTPQTKLSQQRWYLDETKKTDTIKPFPKIDYISTLDKTPYCSPLEYTSLLSQEEIIKEEIDQNKHTVGAPINDSLAVMEKSSPVFTHFNCLVRPTCDPCSRPRQQVIAELSRRNQILRAIRGELPRPPKFVPHFKEQPEPDFGIKRTLEAVMKEHYDDLELPDMPGLGTFDHTYSTLWHSRELNRQINAEKASCIPDWSNLSTNPAFTKPPKPYFVPKNKEVGRPFDYYVGQGPWTLAKKKSDMPIDILEYRYPNGRTKLVTSDYAKTPVTPPFPQQTRAKIGTYLDEPSTFTPFMAGRCIHTHYPHEIPYPLCIEGVTSNPPKPILSDNGYVDSKKNQTLYYFQEVPLKRPWTEGGFGYHQHGKGMVRPPEYKPRHDEPLSIPIIGGYEREAGWPLRCQQLSERLEQYRDESATPRTVTQLPNHLENSYFTNSLIDAGELERCCYPRGHIVEM
+>sp|Q8NEG2|CG057_HUMAN Uncharacterized protein C7orf57 OS=Homo sapiens OX=9606 GN=C7orf57 PE=2 SV=3
+MRNTSKELQGATHRYAPCDWYYHVPVKRSEKAVDAPPASQIPGLSNLGDSHSENLPGTRRYWIKETDSEYVKLAKQGGRPDLLKHFAPGTRKGSPVAYSLPDWYIHHSKPPTASQQEVRAVSMPDYMVHEEFNPDQANGSYASRRGPFDFDMKTVWQREAEELEKEKKKLRLPAIDSKYLSKAGTPLGPKNPAGSRLSFPPVPGQKNSSPTNFSKLISNGYKDEWLQQQQRADSDKRTPKTSRASVLSQSPRDLEGPQDAARLQDAEASEGPEDTPESSQSPEESVSASTPAELK
+>DECOY_sp|Q8NEG2|CG057_HUMAN Uncharacterized protein C7orf57 OS=Homo sapiens OX=9606 GN=C7orf57 PE=2 SV=3
+KLEAPTSASVSEEPSQSSEPTDEPGESAEADQLRAADQPGELDRPSQSLVSARSTKPTRKDSDARQQQQLWEDKYGNSILKSFNTPSSNKQGPVPPFSLRSGAPNKPGLPTGAKSLYKSDIAPLRLKKKEKELEEAERQWVTKMDFDFPGRRSAYSGNAQDPNFEEHVMYDPMSVARVEQQSATPPKSHHIYWDPLSYAVPSGKRTGPAFHKLLDPRGGQKALKVYESDTEKIWYRRTGPLNESHSDGLNSLGPIQSAPPADVAKESRKVPVHYYWDCPAYRHTAGQLEKSTNRM
+>sp|A4D0Y5|CG077_HUMAN Uncharacterized protein C7orf77 OS=Homo sapiens OX=9606 GN=C7orf77 PE=4 SV=1
+MGAERVCTKAPEITQDEAEIYSLTNMEGNIGIKGCEFKSWLFKFYQARSQVLLCGEVKNPYLLTSNKTTVKEQNACLTHPDRSAMAGLLL
+>DECOY_sp|A4D0Y5|CG077_HUMAN Uncharacterized protein C7orf77 OS=Homo sapiens OX=9606 GN=C7orf77 PE=4 SV=1
+LLLGAMASRDPHTLCANQEKVTTKNSTLLYPNKVEGCLLVQSRAQYFKFLWSKFECGKIGINGEMNTLSYIEAEDQTIEPAKTCVREAGM
+>sp|Q6NT52|CGB2_HUMAN Choriogonadotropin subunit beta variant 2 OS=Homo sapiens OX=9606 GN=CGB2 PE=1 SV=5
+MSKGLLLLLLLSMGGTWASKEPLRPRCRPINATLAVEKEGCPVCITVNTTICAGYCPTMTRVLQGVLPALPQVVCNYRDVRFESIRLPGCPRGVNPVVSYAVALSCQCALCRRSTTDCGGPKDHPLTCDDPRFQASSSSKAPPPSLPSPSRLPGPSDTPILPQ
+>DECOY_sp|Q6NT52|CGB2_HUMAN Choriogonadotropin subunit beta variant 2 OS=Homo sapiens OX=9606 GN=CGB2 PE=1 SV=5
+QPLIPTDSPGPLRSPSPLSPPPAKSSSSAQFRPDDCTLPHDKPGGCDTTSRRCLACQCSLAVAYSVVPNVGRPCGPLRISEFRVDRYNCVVQPLAPLVGQLVRTMTPCYGACITTNVTICVPCGEKEVALTANIPRCRPRLPEKSAWTGGMSLLLLLLLGKSM
+>sp|P55211|CASP9_HUMAN Caspase-9 OS=Homo sapiens OX=9606 GN=CASP9 PE=1 SV=3
+MDEADRRLLRRCRLRLVEELQVDQLWDALLSRELFRPHMIEDIQRAGSGSRRDQARQLIIDLETRGSQALPLFISCLEDTGQDMLASFLRTNRQAAKLSKPTLENLTPVVLRPEIRKPEVLRPETPRPVDIGSGGFGDVGALESLRGNADLAYILSMEPCGHCLIINNVNFCRESGLRTRTGSNIDCEKLRRRFSSLHFMVEVKGDLTAKKMVLALLELAQQDHGALDCCVVVILSHGCQASHLQFPGAVYGTDGCPVSVEKIVNIFNGTSCPSLGGKPKLFFIQACGGEQKDHGFEVASTSPEDESPGSNPEPDATPFQEGLRTFDQLDAISSLPTPSDIFVSYSTFPGFVSWRDPKSGSWYVETLDDIFEQWAHSEDLQSLLLRVANAVSVKGIYKQMPGCFNFLRKKLFFKTS
+>DECOY_sp|P55211|CASP9_HUMAN Caspase-9 OS=Homo sapiens OX=9606 GN=CASP9 PE=1 SV=3
+STKFFLKKRLFNFCGPMQKYIGKVSVANAVRLLLSQLDESHAWQEFIDDLTEVYWSGSKPDRWSVFGPFTSYSVFIDSPTPLSSIADLQDFTRLGEQFPTADPEPNSGPSEDEPSTSAVEFGHDKQEGGCAQIFFLKPKGGLSPCSTGNFINVIKEVSVPCGDTGYVAGPFQLHSAQCGHSLIVVVCCDLAGHDQQALELLALVMKKATLDGKVEVMFHLSSFRRRLKECDINSGTRTRLGSERCFNVNNIILCHGCPEMSLIYALDANGRLSELAGVDGFGGSGIDVPRPTEPRLVEPKRIEPRLVVPTLNELTPKSLKAAQRNTRLFSALMDQGTDELCSIFLPLAQSGRTELDIILQRAQDRRSGSGARQIDEIMHPRFLERSLLADWLQDVQLEEVLRLRCRRLLRRDAEDM
+>sp|Q13948|CASP_HUMAN Protein CASP OS=Homo sapiens OX=9606 GN=CUX1 PE=1 SV=2
+MAANVGSMFQYWKRFDLQQLQRELDATATVLANRQDESEQSRKRLIEQSREFKKNTPEDLRKQVAPLLKSFQGEIDALSKRSKEAEAAFLNVYKRLIDVPDPVPALDLGQQLQLKVQRLHDIETENQKLRETLEEYNKEFAEVKNQEVTIKALKEKIREYEQTLKNQAETIALEKEQKLQNDFAEKERKLQETQMSTTSKLEEAEHKVQSLQTALEKTRTELFDLKTKYDEETTAKADEIEMIMTDLERANQRAEVAQREAETLREQLSSANHSLQLASQIQKAPDVEQAIEVLTRSSLEVELAAKEREIAQLVEDVQRLQASLTKLRENSASQISQLEQQLSAKNSTLKQLEEKLKGQADYEEVKKELNILKSMEFAPSEGAGTQDAAKPLEVLLLEKNRSLQSENAALRISNSDLSGRCAELQVRITEAVATATEQRELIARLEQDLSIIQSIQRPDAEGAAEHRLEKIPEPIKEATALFYGPAAPASGALPEGQVDSLLSIISSQRERFRARNQELEAENRLAQHTLQALQSELDSLRADNIKLFEKIKFLQSYPGRGSGSDDTELRYSSQYEERLDPFSSFSKRERQRKYLSLSPWDKATLSMGRLVLSNKMARTIGFFYTLFLHCLVFLVLYKLAWSESMERDCATFCAKKFADHLHKFHENDNGAAAGDLWQ
+>DECOY_sp|Q13948|CASP_HUMAN Protein CASP OS=Homo sapiens OX=9606 GN=CUX1 PE=1 SV=2
+QWLDGAAAGNDNEHFKHLHDAFKKACFTACDREMSESWALKYLVLFVLCHLFLTYFFGITRAMKNSLVLRGMSLTAKDWPSLSLYKRQRERKSFSSFPDLREEYQSSYRLETDDSGSGRGPYSQLFKIKEFLKINDARLSDLESQLAQLTHQALRNEAELEQNRARFRERQSSIISLLSDVQGEPLAGSAPAAPGYFLATAEKIPEPIKELRHEAAGEADPRQISQIISLDQELRAILERQETATAVAETIRVQLEACRGSLDSNSIRLAANESQLSRNKELLLVELPKAADQTGAGESPAFEMSKLINLEKKVEEYDAQGKLKEELQKLTSNKASLQQELQSIQSASNERLKTLSAQLRQVDEVLQAIEREKAALEVELSSRTLVEIAQEVDPAKQIQSALQLSHNASSLQERLTEAERQAVEARQNARELDTMIMEIEDAKATTEEDYKTKLDFLETRTKELATQLSQVKHEAEELKSTTSMQTEQLKREKEAFDNQLKQEKELAITEAQNKLTQEYERIKEKLAKITVEQNKVEAFEKNYEELTERLKQNETEIDHLRQVKLQLQQGLDLAPVPDPVDILRKYVNLFAAEAEKSRKSLADIEGQFSKLLPAVQKRLDEPTNKKFERSQEILRKRSQESEDQRNALVTATADLERQLQQLDFRKWYQFMSGVNAAM
+>sp|O14958|CASQ2_HUMAN Calsequestrin-2 OS=Homo sapiens OX=9606 GN=CASQ2 PE=1 SV=2
+MKRTHLFIVGIYFLSSCRAEEGLNFPTYDGKDRVVSLSEKNFKQVLKKYDLLCLYYHEPVSSDKVTQKQFQLKEIVLELVAQVLEHKAIGFVMVDAKKEAKLAKKLGFDEEGSLYILKGDRTIEFDGEFAADVLVEFLLDLIEDPVEIISSKLEVQAFERIEDYIKLIGFFKSEDSEYYKAFEEAAEHFQPYIKFFATFDKGVAKKLSLKMNEVDFYEPFMDEPIAIPNKPYTEEELVEFVKEHQRPTLRRLRPEEMFETWEDDLNGIHIVAFAEKSDPDGYEFLEILKQVARDNTDNPDLSILWIDPDDFPLLVAYWEKTFKIDLFRPQIGVVNVTDADSVWMEIPDDDDLPTAEELEDWIEDVLSGKINTEDDDEDDDDDDNSDEEDNDDSDDDDDE
+>DECOY_sp|O14958|CASQ2_HUMAN Calsequestrin-2 OS=Homo sapiens OX=9606 GN=CASQ2 PE=1 SV=2
+EDDDDDSDDNDEEDSNDDDDDDEDDDETNIKGSLVDEIWDELEEATPLDDDDPIEMWVSDADTVNVVGIQPRFLDIKFTKEWYAVLLPFDDPDIWLISLDPNDTNDRAVQKLIELFEYGDPDSKEAFAVIHIGNLDDEWTEFMEEPRLRRLTPRQHEKVFEVLEEETYPKNPIAIPEDMFPEYFDVENMKLSLKKAVGKDFTAFFKIYPQFHEAAEEFAKYYESDESKFFGILKIYDEIREFAQVELKSSIIEVPDEILDLLFEVLVDAAFEGDFEITRDGKLIYLSGEEDFGLKKALKAEKKADVMVFGIAKHELVQAVLELVIEKLQFQKQTVKDSSVPEHYYLCLLDYKKLVQKFNKESLSVVRDKGDYTPFNLGEEARCSSLFYIGVIFLHTRKM
+>sp|P41180|CASR_HUMAN Extracellular calcium-sensing receptor OS=Homo sapiens OX=9606 GN=CASR PE=1 SV=3
+MAFYSCCWVLLALTWHTSAYGPDQRAQKKGDIILGGLFPIHFGVAAKDQDLKSRPESVECIRYNFRGFRWLQAMIFAIEEINSSPALLPNLTLGYRIFDTCNTVSKALEATLSFVAQNKIDSLNLDEFCNCSEHIPSTIAVVGATGSGVSTAVANLLGLFYIPQVSYASSSRLLSNKNQFKSFLRTIPNDEHQATAMADIIEYFRWNWVGTIAADDDYGRPGIEKFREEAEERDICIDFSELISQYSDEEEIQHVVEVIQNSTAKVIVVFSSGPDLEPLIKEIVRRNITGKIWLASEAWASSSLIAMPQYFHVVGGTIGFALKAGQIPGFREFLKKVHPRKSVHNGFAKEFWEETFNCHLQEGAKGPLPVDTFLRGHEESGDRFSNSSTAFRPLCTGDENISSVETPYIDYTHLRISYNVYLAVYSIAHALQDIYTCLPGRGLFTNGSCADIKKVEAWQVLKHLRHLNFTNNMGEQVTFDECGDLVGNYSIINWHLSPEDGSIVFKEVGYYNVYAKKGERLFINEEKILWSGFSREVPFSNCSRDCLAGTRKGIIEGEPTCCFECVECPDGEYSDETDASACNKCPDDFWSNENHTSCIAKEIEFLSWTEPFGIALTLFAVLGIFLTAFVLGVFIKFRNTPIVKATNRELSYLLLFSLLCCFSSSLFFIGEPQDWTCRLRQPAFGISFVLCISCILVKTNRVLLVFEAKIPTSFHRKWWGLNLQFLLVFLCTFMQIVICVIWLYTAPPSSYRNQELEDEIIFITCHEGSLMALGFLIGYTCLLAAICFFFAFKSRKLPENFNEAKFITFSMLIFFIVWISFIPAYASTYGKFVSAVEVIAILAASFGLLACIFFNKIYIILFKPSRNTIEEVRCSTAAHAFKVAARATLRRSNVSRKRSSSLGGSTGSTPSSSISSKSNSEDPFPQPERQKQQQPLALTQQEQQQQPLTLPQQQRSQQQPRCKQKVIFGSGTVTFSLSFDEPQKNAMAHRNSTHQNSLEAQKSSDTLTRHEPLLPLQCGETDLDLTVQETGLQGPVGGDQRPEVEDPEELSPALVVSSSQSFVISGGGSTVTENVVNS
+>DECOY_sp|P41180|CASR_HUMAN Extracellular calcium-sensing receptor OS=Homo sapiens OX=9606 GN=CASR PE=1 SV=3
+SNVVNETVTSGGGSIVFSQSSSVVLAPSLEEPDEVEPRQDGGVPGQLGTEQVTLDLDTEGCQLPLLPEHRTLTDSSKQAELSNQHTSNRHAMANKQPEDFSLSFTVTGSGFIVKQKCRPQQQSRQQQPLTLPQQQQEQQTLALPQQQKQREPQPFPDESNSKSSISSSPTSGTSGGLSSSRKRSVNSRRLTARAAVKFAHAATSCRVEEITNRSPKFLIIYIKNFFICALLGFSAALIAIVEVASVFKGYTSAYAPIFSIWVIFFILMSFTIFKAENFNEPLKRSKFAFFFCIAALLCTYGILFGLAMLSGEHCTIFIIEDELEQNRYSSPPATYLWIVCIVIQMFTCLFVLLFQLNLGWWKRHFSTPIKAEFVLLVRNTKVLICSICLVFSIGFAPQRLRCTWDQPEGIFFLSSSFCCLLSFLLLYSLERNTAKVIPTNRFKIFVGLVFATLFIGLVAFLTLAIGFPETWSLFEIEKAICSTHNENSWFDDPCKNCASADTEDSYEGDPCEVCEFCCTPEGEIIGKRTGALCDRSCNSFPVERSFGSWLIKEENIFLREGKKAYVNYYGVEKFVISGDEPSLHWNIISYNGVLDGCEDFTVQEGMNNTFNLHRLHKLVQWAEVKKIDACSGNTFLGRGPLCTYIDQLAHAISYVALYVNYSIRLHTYDIYPTEVSSINEDGTCLPRFATSSNSFRDGSEEHGRLFTDVPLPGKAGEQLHCNFTEEWFEKAFGNHVSKRPHVKKLFERFGPIQGAKLAFGITGGVVHFYQPMAILSSSAWAESALWIKGTINRRVIEKILPELDPGSSFVVIVKATSNQIVEVVHQIEEEDSYQSILESFDICIDREEAEERFKEIGPRGYDDDAAITGVWNWRFYEIIDAMATAQHEDNPITRLFSKFQNKNSLLRSSSAYSVQPIYFLGLLNAVATSVGSGTAGVVAITSPIHESCNCFEDLNLSDIKNQAVFSLTAELAKSVTNCTDFIRYGLTLNPLLAPSSNIEEIAFIMAQLWRFGRFNYRICEVSEPRSKLDQDKAAVGFHIPFLGGLIIDGKKQARQDPGYASTHWTLALLVWCCSYFAM
+>sp|Q8NAP1|CAST3_HUMAN Putative protein CASTOR 3 OS=Homo sapiens OX=9606 GN=CASTOR3 PE=5 SV=1
+MSCRGRGAGGRWNSTSWSTGCKLPASPRRVSRCSPTGLIKLAFLFSKTRCKFFSLTETPEDYTIIVDEEGFLELPSSEHLSVADATWLALNVVSGGGSFSSSQPIGMTKIAKSVIAPLADQNISVFMLSTYQTDFILVLKRDLPFVTHTLSSEFTILWSVARL
+>DECOY_sp|Q8NAP1|CAST3_HUMAN Putative protein CASTOR 3 OS=Homo sapiens OX=9606 GN=CASTOR3 PE=5 SV=1
+LRAVSWLITFESSLTHTVFPLDRKLVLIFDTQYTSLMFVSINQDALPAIVSKAIKTMGIPQSSSFSGGGSVVNLALWTADAVSLHESSPLELFGEEDVIITYDEPTETLSFFKCRTKSFLFALKILGTPSCRSVRRPSAPLKCGTSWSTSNWRGGAGRGRCSM
+>sp|Q7Z7H3|CATIP_HUMAN Ciliogenesis-associated TTC17-interacting protein OS=Homo sapiens OX=9606 GN=CATIP PE=1 SV=1
+MSSKVYSTGSRAKDHQPSGPECLPLPEANAEAIDFLSSLHKEELQMLFFSETLAMVSDTGEPQGELTIEVQRGKYQEKLGMLTYCLFVHASSRGFLDKMLCGNSLLGYLSEKLELMEQHSQDFIKFLILPMERKMSLLKQDDQLAVTRSIKEGEEVKTGVTSFPWSSIKGFISEAANLVLLRVMAWRRMVPSNARFLTLDTEGKLCYLTYQNLGFQTIQVDHQQAEVFIVEQTVHAEEGIPMSCQYYLLSDGHLAKRIQVGSPGCCIITKMPILREEDEIEPRPVFEKKPLVWEEDMELYSKFLDRKEELRLGHASYLRQHPEAHALISDFLLFLLLRQPEDVVTFAAEFFGPFDPWRPSSPALGSSHRPNPFRSLEPEGDARSGAA
+>DECOY_sp|Q7Z7H3|CATIP_HUMAN Ciliogenesis-associated TTC17-interacting protein OS=Homo sapiens OX=9606 GN=CATIP PE=1 SV=1
+AAGSRADGEPELSRFPNPRHSSGLAPSSPRWPDFPGFFEAAFTVVDEPQRLLLFLLFDSILAHAEPHQRLYSAHGLRLEEKRDLFKSYLEMDEEWVLPKKEFVPRPEIEDEERLIPMKTIICCGPSGVQIRKALHGDSLLYYQCSMPIGEEAHVTQEVIFVEAQQHDVQITQFGLNQYTLYCLKGETDLTLFRANSPVMRRWAMVRLLVLNAAESIFGKISSWPFSTVGTKVEEGEKISRTVALQDDQKLLSMKREMPLILFKIFDQSHQEMLELKESLYGLLSNGCLMKDLFGRSSAHVFLCYTLMGLKEQYKGRQVEITLEGQPEGTDSVMALTESFFLMQLEEKHLSSLFDIAEANAEPLPLCEPGSPQHDKARSGTSYVKSSM
+>sp|P43234|CATO_HUMAN Cathepsin O OS=Homo sapiens OX=9606 GN=CTSO PE=2 SV=1
+MDVRALPWLPWLLWLLCRGGGDADSRAPFTPTWPRSREREAAAFRESLNRHRYLNSLFPSENSTAFYGINQFSYLFPEEFKAIYLRSKPSKFPRYSAEVHMSIPNVSLPLRFDWRDKQVVTQVRNQQMCGGCWAFSVVGAVESAYAIKGKPLEDLSVQQVIDCSYNNYGCNGGSTLNALNWLNKMQVKLVKDSEYPFKAQNGLCHYFSGSHSGFSIKGYSAYDFSDQEDEMAKALLTFGPLVVIVDAVSWQDYLGGIIQHHCSSGEANHAVLITGFDKTGSTPYWIVRNSWGSSWGVDGYAHVKMGSNVCGIADSVSSIFV
+>DECOY_sp|P43234|CATO_HUMAN Cathepsin O OS=Homo sapiens OX=9606 GN=CTSO PE=2 SV=1
+VFISSVSDAIGCVNSGMKVHAYGDVGWSSGWSNRVIWYPTSGTKDFGTILVAHNAEGSSCHHQIIGGLYDQWSVADVIVVLPGFTLLAKAMEDEQDSFDYASYGKISFGSHSGSFYHCLGNQAKFPYESDKVLKVQMKNLWNLANLTSGGNCGYNNYSCDIVQQVSLDELPKGKIAYASEVAGVVSFAWCGGCMQQNRVQTVVQKDRWDFRLPLSVNPISMHVEASYRPFKSPKSRLYIAKFEEPFLYSFQNIGYFATSNESPFLSNLYRHRNLSERFAAAERERSRPWTPTFPARSDADGGGRCLLWLLWPLWPLARVDM
+>sp|P56202|CATW_HUMAN Cathepsin W OS=Homo sapiens OX=9606 GN=CTSW PE=1 SV=2
+MALTAHPSCLLALLVAGLAQGIRGPLRAQDLGPQPLELKEAFKLFQIQFNRSYLSPEEHAHRLDIFAHNLAQAQRLQEEDLGTAEFGVTPFSDLTEEEFGQLYGYRRAAGGVPSMGREIRSEEPEESVPFSCDWRKVASAISPIKDQKNCNCCWAMAAAGNIETLWRISFWDFVDVSVQELLDCGRCGDGCHGGFVWDAFITVLNNSGLASEKDYPFQGKVRAHRCHPKKYQKVAWIQDFIMLQNNEHRIAQYLATYGPITVTINMKPLQLYRKGVIKATPTTCDPQLVDHSVLLVGFGSVKSEEGIWAETVSSQSQPQPPHPTPYWILKNSWGAQWGEKGYFRLHRGSNTCGITKFPLTARVQKPDMKPRVSCPP
+>DECOY_sp|P56202|CATW_HUMAN Cathepsin W OS=Homo sapiens OX=9606 GN=CTSW PE=1 SV=2
+PPCSVRPKMDPKQVRATLPFKTIGCTNSGRHLRFYGKEGWQAGWSNKLIWYPTPHPPQPQSQSSVTEAWIGEESKVSGFGVLLVSHDVLQPDCTTPTAKIVGKRYLQLPKMNITVTIPGYTALYQAIRHENNQLMIFDQIWAVKQYKKPHCRHARVKGQFPYDKESALGSNNLVTIFADWVFGGHCGDGCRGCDLLEQVSVDVFDWFSIRWLTEINGAAAMAWCCNCNKQDKIPSIASAVKRWDCSFPVSEEPEESRIERGMSPVGGAARRYGYLQGFEEETLDSFPTVGFEATGLDEEQLRQAQALNHAFIDLRHAHEEPSLYSRNFQIQFLKFAEKLELPQPGLDQARLPGRIGQALGAVLLALLCSPHATLAM
+>sp|P51636|CAV2_HUMAN Caveolin-2 OS=Homo sapiens OX=9606 GN=CAV2 PE=1 SV=2
+MGLETEKADVQLFMDDDSYSHHSGLEYADPEKFADSDQDRDPHRLNSHLKLGFEDVIAEPVTTHSFDKVWICSHALFEISKYVMYKFLTVFLAIPLAFIAGILFATLSCLHIWILMPFVKTCLMVLPSVQTIWKSVTDVIIAPLCTSVGRCFSSVSLQLSQD
+>DECOY_sp|P51636|CAV2_HUMAN Caveolin-2 OS=Homo sapiens OX=9606 GN=CAV2 PE=1 SV=2
+DQSLQLSVSSFCRGVSTCLPAIIVDTVSKWITQVSPLVMLCTKVFPMLIWIHLCSLTAFLIGAIFALPIALFVTLFKYMVYKSIEFLAHSCIWVKDFSHTTVPEAIVDEFGLKLHSNLRHPDRDQDSDAFKEPDAYELGSHHSYSDDDMFLQVDAKETELGM
+>sp|P56539|CAV3_HUMAN Caveolin-3 OS=Homo sapiens OX=9606 GN=CAV3 PE=1 SV=1
+MMAEEHTDLEAQIVKDIHCKEIDLVNRDPKNINEDIVKVDFEDVIAEPVGTYSFDGVWKVSYTTFTVSKYWCYRLLSTLLGVPLALLWGFLFACISFCHIWAVVPCIKSYLIEIQCISHIYSLCIRTFCNPLFAALGQVCSSIKVVLRKEV
+>DECOY_sp|P56539|CAV3_HUMAN Caveolin-3 OS=Homo sapiens OX=9606 GN=CAV3 PE=1 SV=1
+VEKRLVVKISSCVQGLAAFLPNCFTRICLSYIHSICQIEILYSKICPVVAWIHCFSICAFLFGWLLALPVGLLTSLLRYCWYKSVTFTTYSVKWVGDFSYTGVPEAIVDEFDVKVIDENINKPDRNVLDIEKCHIDKVIQAELDTHEEAMM
+>sp|Q13938|CAYP1_HUMAN Calcyphosin OS=Homo sapiens OX=9606 GN=CAPS PE=1 SV=1
+MDAVDATMEKLRAQCLSRGASGIQGLARFFRQLDRDGSRSLDADEFRQGLAKLGLVLDQAEAEGVCRKWDRNGSGTLDLEEFLRALRPPMSQAREAVIAAAFAKLDRSGDGVVTVDDLRGVYSGRAHPKVRSGEWTEDEVLRRFLDNFDSSEKDGQVTLAEFQDYYSGVSASMNTDEEFVAMMTSAWQL
+>DECOY_sp|Q13938|CAYP1_HUMAN Calcyphosin OS=Homo sapiens OX=9606 GN=CAPS PE=1 SV=1
+LQWASTMMAVFEEDTNMSASVGSYYDQFEALTVQGDKESSDFNDLFRRLVEDETWEGSRVKPHARGSYVGRLDDVTVVGDGSRDLKAFAAAIVAERAQSMPPRLARLFEELDLTGSGNRDWKRCVGEAEAQDLVLGLKALGQRFEDADLSRSGDRDLQRFFRALGQIGSAGRSLCQARLKEMTADVADM
+>sp|Q9BXY5|CAYP2_HUMAN Calcyphosin-2 OS=Homo sapiens OX=9606 GN=CAPS2 PE=2 SV=2
+MGNSFCYTAVYCMINTGTQMDLEVKGVAATSRSQIQPFFGRKKPLQQRWTSESWTNQNSCPPVVPRLDLGSLVDSDDEDNFSYIPLSTANLPNSSSTLGWVTPCQTPYTQYHLNKLDQNIIPENLPAPTDKCKLKYQQCKTEIKEGYKQYSQRNAENTKSNVTHKQSPRNKIDEKCVQDEEANTDDLTTLDRKAILQQGYADNSCDKQQRARKLDAEIVAAEKKKQIVAEQVMIDHLSRAVISDPEQNLAIEQKESDHILPDSKMTPLRFRKRTLHETKIRTHSTLTENVLSHKLQFDGRIVSRNGRDACRELIGFFFTHDQSLTIYEYRQFGKNRTNVLPFIQKSIYSHQCGRRKGKQYRLGDFYVGATLTFLSSDHLSLPESIKENTLLKLRITNIDQIALDSLKTASMEQEDDIIIQETNDRLVFKAIQDVLKEKLHKRGVRILTGLGKYFQQLDKEGNGLLDKADFKQALKVFHLEVSEKDFESAWLILNDNGNGKVDYGEFKRGIIGEMNEYRKSYVRKAFMKLDFNKSGSVPIINIRKCYCAKKHSQVISG
+>DECOY_sp|Q9BXY5|CAYP2_HUMAN Calcyphosin-2 OS=Homo sapiens OX=9606 GN=CAPS2 PE=2 SV=2
+GSIVQSHKKACYCKRINIIPVSGSKNFDLKMFAKRVYSKRYENMEGIIGRKFEGYDVKGNGNDNLILWASEFDKESVELHFVKLAQKFDAKDLLGNGEKDLQQFYKGLGTLIRVGRKHLKEKLVDQIAKFVLRDNTEQIIIDDEQEMSATKLSDLAIQDINTIRLKLLTNEKISEPLSLHDSSLFTLTAGVYFDGLRYQKGKRRGCQHSYISKQIFPLVNTRNKGFQRYEYITLSQDHTFFFGILERCADRGNRSVIRGDFQLKHSLVNETLTSHTRIKTEHLTRKRFRLPTMKSDPLIHDSEKQEIALNQEPDSIVARSLHDIMVQEAVIQKKKEAAVIEADLKRARQQKDCSNDAYGQQLIAKRDLTTLDDTNAEEDQVCKEDIKNRPSQKHTVNSKTNEANRQSYQKYGEKIETKCQQYKLKCKDTPAPLNEPIINQDLKNLHYQTYPTQCPTVWGLTSSSNPLNATSLPIYSFNDEDDSDVLSGLDLRPVVPPCSNQNTWSESTWRQQLPKKRGFFPQIQSRSTAAVGKVELDMQTGTNIMCYVATYCFSNGM
+>sp|Q6ZSB3|CB046_HUMAN Putative uncharacterized protein encoded by LINC00299 OS=Homo sapiens OX=9606 GN=LINC00299 PE=5 SV=1
+MVQREKARDNFEGGCLAELIGSPRDWKCFLAVPDPLLGVQHWLHLWRPQTKDGNSLHRHGDQAWGKHRRQNSLKSPALSGHSIDYHFYPRLRCGMLIGPDKQAVASGLEVLVTSSTKILGQLFPDAAHFLEEASEFKAE
+>DECOY_sp|Q6ZSB3|CB046_HUMAN Putative uncharacterized protein encoded by LINC00299 OS=Homo sapiens OX=9606 GN=LINC00299 PE=5 SV=1
+EAKFESAEELFHAADPFLQGLIKTSSTVLVELGSAVAQKDPGILMGCRLRPYFHYDISHGSLAPSKLSNQRRHKGWAQDGHRHLSNGDKTQPRWLHLWHQVGLLPDPVALFCKWDRPSGILEALCGGEFNDRAKERQVM
+>sp|Q8N535|CB052_HUMAN Putative uncharacterized protein encoded by LINC00471 OS=Homo sapiens OX=9606 GN=LINC00471 PE=5 SV=1
+MSEAKDNGSRDEVLVPHKNCRKNTTVPGKKGEEKSLAPVFAEKLISPSRRGAKLKDRESHQENEDRNSELDQDEEDKESFCRGFPMSGCELETSCCVCHSTALGERFC
+>DECOY_sp|Q8N535|CB052_HUMAN Putative uncharacterized protein encoded by LINC00471 OS=Homo sapiens OX=9606 GN=LINC00471 PE=5 SV=1
+CFREGLATSHCVCCSTELECGSMPFGRCFSEKDEEDQDLESNRDENEQHSERDKLKAGRRSPSILKEAFVPALSKEEGKKGPVTTNKRCNKHPVLVEDRSGNDKAESM
+>sp|A6NGG8|CB071_HUMAN Uncharacterized protein C2orf71 OS=Homo sapiens OX=9606 GN=C2orf71 PE=1 SV=1
+MGCTPSHSDLVNSVAKSGIQFLKKPKAIRPGCQGGSERGSIPLLVKNSTCYDAGEGLAEEQPSPRRNQTTAKGLCQLMGDPASGKRKDMEGLIPGTKTSSSQLNKSQSHMAKDIPFKTQGSHGSQGADFSGDESEESSTQDTSKWKRTAKCHTSSTQSHCYQTIHPAHEPEGKVDFPEPLVKAHQQAYTYLHSSLSKYEAILCIIHQATQTRELLQPMVSFLLLCFEEISQLLGEISKDGEVLLQEVREDLAWPLKKREPQEQPNLLQQLLQYTVSKLQVLNGTVASLTGSFLEGSSSYLHSTATHLENKLSTKRNVDERLLRALRQLESLASGCGDPGVQGLPLCSEDSGIGADNESVQSVDKLGKQTSWDLAPEPEEWKSVTSPHTEARQSGHTWQQSPFCLGSGRPQDCLLSGAPMAKVQPRAQDEARSPCLSSTSPENITSPPLKLGTSTPCDSFGIGVSVEPHLSKTSRPMDASSLSDSEDSSPEEEEEDKMSSMSLCAWQEKTPHSRPQSSPADRESPFQARTRRLRSLQAQEMILKMKESISERIKFVPVPCGHQDWSEEEEGRTVVPPRPSTVSGSRRAPERQTRSQSESCLQSHVEDPTFQELRRVQRDLSQKLEAFYALGAKGQGQSQEQILQPRAAAVWPNGTCRVSPSNTTSRLKASLTKNFSILPSQDKSILQKCNPHPEDEQGKAGKLPNAIPSGEVSEAAKATDWNVRGCPTRTSVKKLIETFSPTESLRMLGDSKDAGASPCLRNCIMPPRFPKYTGLAPLYPKPQISPASGRESLKMGIGWKPLAPIFPPLPKAEAAKSEELSCEMEGNLEHLPPPPMEVLMDKSFASLESPESSKSTENSPKETQEPGPGEAGPTRRTWASPKLRASVSPLDLLPSKSTASLTKPHSTGPGSGRSSCQPRKPALDLSSPPATSQSPEVKGGTWSQAEKATSLYRQPRKAIAWHHSGPPSGQNRTSESSLARPRQSRERSPPVGRKASPTRTHWVPQADKRRRSLPSSYRPAQPSPSAVQTPPSPPVSPRVLSPPTTKRRTSPPHQPKLPNPPPESAPAQCKVPSPPTQHPEASPPFSIPSPSPPMSPSQEHKETRDSEDSQAVIAKVSGNTHSIFCPATSSLFEAKPPLSTAHPLTPPSLPPEAGGPLGNPAECWKNSSGPWLRADSQRRAALCALNPLPFLRRTASDRQPGGRPQPPTLDPTSTSYESQLGQNSSSEESPKKDTEPGSSPCSPELQGGTRRASPPEFCVLGHGLQPEPRTGHIQDKSQPEAQPQQEEVS
+>DECOY_sp|A6NGG8|CB071_HUMAN Uncharacterized protein C2orf71 OS=Homo sapiens OX=9606 GN=C2orf71 PE=1 SV=1
+SVEEQQPQAEPQSKDQIHGTRPEPQLGHGLVCFEPPSARRTGGQLEPSCPSSGPETDKKPSEESSSNQGLQSEYSTSTPDLTPPQPRGGPQRDSATRRLFPLPNLACLAARRQSDARLWPGSSNKWCEAPNGLPGGAEPPLSPPTLPHATSLPPKAEFLSSTAPCFISHTNGSVKAIVAQSDESDRTEKHEQSPSMPPSPSPISFPPSAEPHQTPPSPVKCQAPASEPPPNPLKPQHPPSTRRKTTPPSLVRPSVPPSPPTQVASPSPQAPRYSSPLSRRRKDAQPVWHTRTPSAKRGVPPSRERSQRPRALSSESTRNQGSPPGSHHWAIAKRPQRYLSTAKEAQSWTGGKVEPSQSTAPPSSLDLAPKRPQCSSRGSGPGTSHPKTLSATSKSPLLDLPSVSARLKPSAWTRRTPGAEGPGPEQTEKPSNETSKSSEPSELSAFSKDMLVEMPPPPLHELNGEMECSLEESKAAEAKPLPPFIPALPKWGIGMKLSERGSAPSIQPKPYLPALGTYKPFRPPMICNRLCPSAGADKSDGLMRLSETPSFTEILKKVSTRTPCGRVNWDTAKAAESVEGSPIANPLKGAKGQEDEPHPNCKQLISKDQSPLISFNKTLSAKLRSTTNSPSVRCTGNPWVAAARPQLIQEQSQGQGKAGLAYFAELKQSLDRQVRRLEQFTPDEVHSQLCSESQSRTQREPARRSGSVTSPRPPVVTRGEEEESWDQHGCPVPVFKIRESISEKMKLIMEQAQLSRLRRTRAQFPSERDAPSSQPRSHPTKEQWACLSMSSMKDEEEEEPSSDESDSLSSADMPRSTKSLHPEVSVGIGFSDCPTSTGLKLPPSTINEPSTSSLCPSRAEDQARPQVKAMPAGSLLCDQPRGSGLCFPSQQWTHGSQRAETHPSTVSKWEEPEPALDWSTQKGLKDVSQVSENDAGIGSDESCLPLGQVGPDGCGSALSELQRLARLLREDVNRKTSLKNELHTATSHLYSSSGELFSGTLSAVTGNLVQLKSVTYQLLQQLLNPQEQPERKKLPWALDERVEQLLVEGDKSIEGLLQSIEEFCLLLFSVMPQLLERTQTAQHIICLIAEYKSLSSHLYTYAQQHAKVLPEPFDVKGEPEHAPHITQYCHSQTSSTHCKATRKWKSTDQTSSEESEDGSFDAGQSGHSGQTKFPIDKAMHSQSKNLQSSSTKTGPILGEMDKRKGSAPDGMLQCLGKATTQNRRPSPQEEALGEGADYCTSNKVLLPISGRESGGQCGPRIAKPKKLFQIGSKAVSNVLDSHSPTCGM
+>sp|Q0P641|CB080_HUMAN Uncharacterized protein C2orf80 OS=Homo sapiens OX=9606 GN=C2orf80 PE=2 SV=2
+MERRLIKKEMKKLLGDYIGIRLRENEFDPKGRRQLTFLDDMAHYDLAISVALQWLDPSEDLTWLEWEELKIPLHGRPIYPNRREREAMILSSYAGILMNSIPIEEVFKIYGADSSADSGTIKVPRVSSLCLSLHPFAMLTAPKAAAYARKQSVKSRKVTTNKNATSISAKEANATEWKSSQRFSDTQPKHKVT
+>DECOY_sp|Q0P641|CB080_HUMAN Uncharacterized protein C2orf80 OS=Homo sapiens OX=9606 GN=C2orf80 PE=2 SV=2
+TVKHKPQTDSFRQSSKWETANAEKASISTANKNTTVKRSKVSQKRAYAAAKPATLMAFPHLSLCLSSVRPVKITGSDASSDAGYIKFVEEIPISNMLIGAYSSLIMAERERRNPYIPRGHLPIKLEEWELWTLDESPDLWQLAVSIALDYHAMDDLFTLQRRGKPDFENERLRIGIYDGLLKKMEKKILRREM
+>sp|Q8N350|CBARP_HUMAN Voltage-dependent calcium channel beta subunit-associated regulatory protein OS=Homo sapiens OX=9606 GN=CBARP PE=1 SV=3
+MQPTATMATAATTTTTTTATVALTTSWDNATGRPTAEPDPILDNYVLLVVVMSLFVGGTLVVLSGVLLLCKRCWDVHQRLNRAMEEAEKTTTTYLDNGTHPAQDPDFRGEDPECQDAETERFLSTSSTGRRVSFNEAALFEQSRKTQDKGRRYTLTEGDFHHLKNARLTHLHLPPLKIVTIHECDSGEASSATTPHPATSPKATLAIFQPPGKALTGRSVGPSSALPGDPYNSAAGATDFAEISPSASSDSGEGTSLDAGTRSTKAGGPGAAAGPGEAGPGSGAGTVLQFLTRLRRHASLDGASPYFKVKKWKLEPSQRAASLDTRGSPKRHHFQRQRAASESTEQEEGDAPQEDFIQYIARAGDAVAFPHPRPFLASPPPALGRLEAAEAAGGASPDSPPERGAGSAGPEQQQPPLEPDAERDAGPEQAQTSYRDLWSLRASLELHAAASDHSSSGNDRDSVRSGDSSGSGSGGAAPAFPPPSPPAPRPKDGEARRLLQMDSGYASIEGRGAGDDTEPPAAPARPRSPRAWPRRPRRDYSIDEKTDALFHEFLRHDPHFDDTPAAARHRARAHPHARKQWQRGRQHSDPGARAAPALAGTPAPPAGAARPARAPLRRGDSVDGPPDGRTLGGAGDDPAIPVIEEEPGGGGCPGSGLCVLPSGSVLDKLAAGLDERLFPPRLAEPVVATPALVAAAPTSPDHSPA
+>DECOY_sp|Q8N350|CBARP_HUMAN Voltage-dependent calcium channel beta subunit-associated regulatory protein OS=Homo sapiens OX=9606 GN=CBARP PE=1 SV=3
+APSHDPSTPAAAVLAPTAVVPEALRPPFLREDLGAALKDLVSGSPLVCLGSGPCGGGGPEEEIVPIAPDDGAGGLTRGDPPGDVSDGRRLPARAPRAAGAPPAPTGALAPAARAGPDSHQRGRQWQKRAHPHARARHRAAAPTDDFHPDHRLFEHFLADTKEDISYDRRPRRPWARPSRPRAPAAPPETDDGAGRGEISAYGSDMQLLRRAEGDKPRPAPPSPPPFAPAAGGSGSGSSDGSRVSDRDNGSSSHDSAAAHLELSARLSWLDRYSTQAQEPGADREADPELPPQQQEPGASGAGREPPSDPSAGGAAEAAELRGLAPPPSALFPRPHPFAVADGARAIYQIFDEQPADGEEQETSESAARQRQFHHRKPSGRTDLSAARQSPELKWKKVKFYPSAGDLSAHRRLRTLFQLVTGAGSGPGAEGPGAAAGPGGAKTSRTGADLSTGEGSDSSASPSIEAFDTAGAASNYPDGPLASSPGVSRGTLAKGPPQFIALTAKPSTAPHPTTASSAEGSDCEHITVIKLPPLHLHTLRANKLHHFDGETLTYRRGKDQTKRSQEFLAAENFSVRRGTSSTSLFRETEADQCEPDEGRFDPDQAPHTGNDLYTTTTKEAEEMARNLRQHVDWCRKCLLLVGSLVVLTGGVFLSMVVVLLVYNDLIPDPEATPRGTANDWSTTLAVTATTTTTTTAATAMTATPQM
+>sp|P48052|CBPA2_HUMAN Carboxypeptidase A2 OS=Homo sapiens OX=9606 GN=CPA2 PE=1 SV=3
+MAMRLILFFGALFGHIYCLETFVGDQVLEIVPSNEEQIKNLLQLEAQEHLQLDFWKSPTTPGETAHVRVPFVNVQAVKVFLESQGIAYSIMIEDVQVLLDKENEEMLFNRRRERSGNFNFGAYHTLEEISQEMDNLVAEHPGLVSKVNIGSSFENRPMNVLKFSTGGDKPAIWLDAGIHAREWVTQATALWTANKIVSDYGKDPSITSILDALDIFLLPVTNPDGYVFSQTKNRMWRKTRSKVSGSLCVGVDPNRNWDAGFGGPGASSNPCSDSYHGPSANSEVEVKSIVDFIKSHGKVKAFITLHSYSQLLMFPYGYKCTKLDDFDELSEVAQKAAQSLRSLHGTKYKVGPICSVIYQASGGSIDWSYDYGIKYSFAFELRDTGRYGFLLPARQILPTAEETWLGLKAIMEHVRDHPY
+>DECOY_sp|P48052|CBPA2_HUMAN Carboxypeptidase A2 OS=Homo sapiens OX=9606 GN=CPA2 PE=1 SV=3
+YPHDRVHEMIAKLGLWTEEATPLIQRAPLLFGYRGTDRLEFAFSYKIGYDYSWDISGGSAQYIVSCIPGVKYKTGHLSRLSQAAKQAVESLEDFDDLKTCKYGYPFMLLQSYSHLTIFAKVKGHSKIFDVISKVEVESNASPGHYSDSCPNSSAGPGGFGADWNRNPDVGVCLSGSVKSRTKRWMRNKTQSFVYGDPNTVPLLFIDLADLISTISPDKGYDSVIKNATWLATAQTVWERAHIGADLWIAPKDGGTSFKLVNMPRNEFSSGINVKSVLGPHEAVLNDMEQSIEELTHYAGFNFNGSRERRRNFLMEENEKDLLVQVDEIMISYAIGQSELFVKVAQVNVFPVRVHATEGPTTPSKWFDLQLHEQAELQLLNKIQEENSPVIELVQDGVFTELCYIHGFLAGFFLILRMAM
+>sp|Q9UPW5|CBPC1_HUMAN Cytosolic carboxypeptidase 1 OS=Homo sapiens OX=9606 GN=AGTPBP1 PE=1 SV=3
+MSKLKVIPEKSLTNNSRIVGLLAQLEKINAEPSESDTARYVTSKILHLAQSQEKTRREMTAKGSTGMEILLSTLENTKDLQTTLNILSILVELVSAGGGRRVSFLVTKGGSQILLQLLMNASKESPPHEDLMVQIHSILAKIGPKDKKFGVKARINGALNITLNLVKQNLQNHRLVLPCLQLLRVYSANSVNSVSLGKNGVVELMFKIIGPFSKKNSSLIKVALDTLAALLKSKTNARRAVDRGYVQVLLTIYVDWHRHDNRHRNMLIRKGILQSLKSVTNIKLGRKAFIDANGMKILYNTSQECLAVRTLDPLVNTSSLIMRKCFPKNRLPLPTIKSSFHFQLPVIPVTGPVAQLYSLPPEVDDVVDESDDNDDIDVEAENETENEDDLDQNFKNDDIETDINKLKPQQEPGRTIEDLKMYEHLFPELVDDFQDYDLISKEPKPFVFEGKVRGPIVVPTAGEETSGNSGNLRKVVMKENISSKGDEGEKKSTFMDLAKEDIKDNDRTLQQQPGDQNRTISSVHGLNNDIVKALDRITLQNIPSQTAPGFTAEMKKDCSLPLTVLTCAKACPHMATCGNVLFEGRTVQLGKLCCTGVETEDDEDTESNSSVEQASVEVPDGPTLHDPDLYIEIVKNTKSVPEYSEVAYPDYFGHIPPPFKEPILERPYGVQRTKIAQDIERLIHQSDIIDRVVYDLDNPNYTIPEEGDILKFNSKFESGNLRKVIQIRKNEYDLILNSDINSNHYHQWFYFEVSGMRPGVAYRFNIINCEKSNSQFNYGMQPLMYSVQEALNARPWWIRMGTDICYYKNHFSRSSVAAGGQKGKSYYTITFTVNFPHKDDVCYFAYHYPYTYSTLQMHLQKLESAHNPQQIYFRKDVLCETLSGNSCPLVTITAMPESNYYEHICHFRNRPYVFLSARVHPGETNASWVMKGTLEYLMSNNPTAQSLRESYIFKIVPMLNPDGVINGNHRCSLSGEDLNRQWQSPSPDLHPTIYHAKGLLQYLAAVKRLPLVYCDYHGHSRKKNVFMYGCSIKETVWHTNDNATSCDVVEDTGYRTLPKILSHIAPAFCMSSCSFVVEKSKESTARVVVWREIGVQRSYTMESTLCGCDQGKYKGLQIGTRELEEMGAKFCVGLLRLKRLTSPLEYNLPSSLLDFENDLIESSCKVTSPTTYVLDEDEPRFLEEVDYSAESNDELDIELAENVGDYEPSAQEEVLSDSELSRTYLP
+>DECOY_sp|Q9UPW5|CBPC1_HUMAN Cytosolic carboxypeptidase 1 OS=Homo sapiens OX=9606 GN=AGTPBP1 PE=1 SV=3
+PLYTRSLESDSLVEEQASPEYDGVNEALEIDLEDNSEASYDVEELFRPEDEDLVYTTPSTVKCSSEILDNEFDLLSSPLNYELPSTLRKLRLLGVCFKAGMEELERTGIQLGKYKGQDCGCLTSEMTYSRQVGIERWVVVRATSEKSKEVVFSCSSMCFAPAIHSLIKPLTRYGTDEVVDCSTANDNTHWVTEKISCGYMFVNKKRSHGHYDCYVLPLRKVAALYQLLGKAHYITPHLDPSPSQWQRNLDEGSLSCRHNGNIVGDPNLMPVIKFIYSERLSQATPNNSMLYELTGKMVWSANTEGPHVRASLFVYPRNRFHCIHEYYNSEPMATITVLPCSNGSLTECLVDKRFYIQQPNHASELKQLHMQLTSYTYPYHYAFYCVDDKHPFNVTFTITYYSKGKQGGAAVSSRSFHNKYYCIDTGMRIWWPRANLAEQVSYMLPQMGYNFQSNSKECNIINFRYAVGPRMGSVEFYFWQHYHNSNIDSNLILDYENKRIQIVKRLNGSEFKSNFKLIDGEEPITYNPNDLDYVVRDIIDSQHILREIDQAIKTRQVGYPRELIPEKFPPPIHGFYDPYAVESYEPVSKTNKVIEIYLDPDHLTPGDPVEVSAQEVSSNSETDEDDETEVGTCCLKGLQVTRGEFLVNGCTAMHPCAKACTLVTLPLSCDKKMEATFGPATQSPINQLTIRDLAKVIDNNLGHVSSITRNQDGPQQQLTRDNDKIDEKALDMFTSKKEGEDGKSSINEKMVVKRLNGSNGSTEEGATPVVIPGRVKGEFVFPKPEKSILDYDQFDDVLEPFLHEYMKLDEITRGPEQQPKLKNIDTEIDDNKFNQDLDDENETENEAEVDIDDNDDSEDVVDDVEPPLSYLQAVPGTVPIVPLQFHFSSKITPLPLRNKPFCKRMILSSTNVLPDLTRVALCEQSTNYLIKMGNADIFAKRGLKINTVSKLSQLIGKRILMNRHRNDHRHWDVYITLLVQVYGRDVARRANTKSKLLAALTDLAVKILSSNKKSFPGIIKFMLEVVGNKGLSVSNVSNASYVRLLQLCPLVLRHNQLNQKVLNLTINLAGNIRAKVGFKKDKPGIKALISHIQVMLDEHPPSEKSANMLLQLLIQSGGKTVLFSVRRGGGASVLEVLISLINLTTQLDKTNELTSLLIEMGTSGKATMERRTKEQSQALHLIKSTVYRATDSESPEANIKELQALLGVIRSNNTLSKEPIVKLKSM
+>sp|Q5JTY5|CBWD3_HUMAN COBW domain-containing protein 3 OS=Homo sapiens OX=9606 GN=CBWD3 PE=2 SV=1
+MLPAVGSVDEEEDPAEEDCPELVPIETTQSEEEEKSGLGAKIPVTIITGYLGAGKTTLLNYILTEQHSKRVAVILNESGEGSALEKSLAVSQGGELYEEWLELRNGCLCCSVKDNGLRAIENLMQKKGKFDDILLETTGLADPGAVASMFWVDAELGSDIYLDGIITIVDSKYGLKHLTEEKPDGLINEATRQVALADIILINKTDLVPEEDVKKLRTTIRSINGLGQILETQRSRVDLSNVLDLHAFDSLSGISLQKKLQHVPGTQPHLDQSIVTITFEVPGNAKEEHLNMFIQNLLWEKNVRNKDNHCMEVIRLKGLVSIKDKSQQVIVQGVHELYDLEETPVSWKDDTERTNRLVLIGRNLDKDILKQLFIATVTETEKQWTTHFKEDQVCT
+>DECOY_sp|Q5JTY5|CBWD3_HUMAN COBW domain-containing protein 3 OS=Homo sapiens OX=9606 GN=CBWD3 PE=2 SV=1
+TCVQDEKFHTTWQKETETVTAIFLQKLIDKDLNRGILVLRNTRETDDKWSVPTEELDYLEHVGQVIVQQSKDKISVLGKLRIVEMCHNDKNRVNKEWLLNQIFMNLHEEKANGPVEFTITVISQDLHPQTGPVHQLKKQLSIGSLSDFAHLDLVNSLDVRSRQTELIQGLGNISRITTRLKKVDEEPVLDTKNILIIDALAVQRTAENILGDPKEETLHKLGYKSDVITIIGDLYIDSGLEADVWFMSAVAGPDALGTTELLIDDFKGKKQMLNEIARLGNDKVSCCLCGNRLELWEEYLEGGQSVALSKELASGEGSENLIVAVRKSHQETLIYNLLTTKGAGLYGTIITVPIKAGLGSKEEEESQTTEIPVLEPCDEEAPDEEEDVSGVAPLM
+>sp|O95503|CBX6_HUMAN Chromobox protein homolog 6 OS=Homo sapiens OX=9606 GN=CBX6 PE=1 SV=1
+MELSAVGERVFAAESIIKRRIRKGRIEYLVKWKGWAIKYSTWEPEENILDSRLIAAFEQKERERELYGPKKRGPKPKTFLLKARAQAEALRISDVHFSVKPSASASSPKLHSSAAVHRLKKDIRRCHRMSRRPLPRPDPQGGSPGLRPPISPFSETVRIINRKVKPREPKRNRIILNLKVIDKGAGGGGAGQGAGALARPKVPSRNRVIGKSKKFSESVLRTQIRHMKFGAFALYKPPPAPLVAPSPGKAEASAPGPGLLLAAPAAPYDARSSGSSGCPSPTPQSSDPDDTPPKLLPETVSPSAPSWREPEVLDLSLPPESAATSKRAPPEVTAAAGPAPPTAPEPAGASSEPEAGDWRPEMSPCSNVVVTDVTSNLLTVTIKEFCNPEDFEKVAAGVAGAAGGGGSIGASK
+>DECOY_sp|O95503|CBX6_HUMAN Chromobox protein homolog 6 OS=Homo sapiens OX=9606 GN=CBX6 PE=1 SV=1
+KSAGISGGGGAAGAVGAAVKEFDEPNCFEKITVTLLNSTVDTVVVNSCPSMEPRWDGAEPESSAGAPEPATPPAPGAAATVEPPARKSTAASEPPLSLDLVEPERWSPASPSVTEPLLKPPTDDPDSSQPTPSPCGSSGSSRADYPAAPAALLLGPGPASAEAKGPSPAVLPAPPPKYLAFAGFKMHRIQTRLVSESFKKSKGIVRNRSPVKPRALAGAGQGAGGGGAGKDIVKLNLIIRNRKPERPKVKRNIIRVTESFPSIPPRLGPSGGQPDPRPLPRRSMRHCRRIDKKLRHVAASSHLKPSSASASPKVSFHVDSIRLAEAQARAKLLFTKPKPGRKKPGYLEREREKQEFAAILRSDLINEEPEWTSYKIAWGKWKVLYEIRGKRIRRKIISEAAFVREGVASLEM
+>sp|Q6P1S2|CC033_HUMAN Protein C3orf33 OS=Homo sapiens OX=9606 GN=C3orf33 PE=1 SV=2
+MAGQPAATGSPSADKDGMEPNVVARISQWADDHLRLVRNISTGMAIAGIMLLLRSIRLTSKFTSSSDIPVEFIRRNVKLRGRLRRITENGLEIEHIPITLPIIASLRKEPRGALLVKLAGVELAETGKAWLQKELKPSQLLWFQLLGKENSALFCYLLVSKGGYFSVNLNEEILRRGLGKTVLVKGLKYDSKIYWTVHRNLLKAELTALKKGEGIWKEDSEKESYLEKFKDSWREIWKKDSFLKTTGSDFSLKKESYYEKLKRTYEIWKDNMNNCSLILKFRELISRINFRRKG
+>DECOY_sp|Q6P1S2|CC033_HUMAN Protein C3orf33 OS=Homo sapiens OX=9606 GN=C3orf33 PE=1 SV=2
+GKRRFNIRSILERFKLILSCNNMNDKWIEYTRKLKEYYSEKKLSFDSGTTKLFSDKKWIERWSDKFKELYSEKESDEKWIGEGKKLATLEAKLLNRHVTWYIKSDYKLGKVLVTKGLGRRLIEENLNVSFYGGKSVLLYCFLASNEKGLLQFWLLQSPKLEKQLWAKGTEALEVGALKVLLAGRPEKRLSAIIPLTIPIHEIELGNETIRRLRGRLKVNRRIFEVPIDSSSTFKSTLRISRLLLMIGAIAMGTSINRVLRLHDDAWQSIRAVVNPEMGDKDASPSGTAAPQGAM
+>sp|Q8TBZ0|CC110_HUMAN Coiled-coil domain-containing protein 110 OS=Homo sapiens OX=9606 GN=CCDC110 PE=1 SV=1
+MSPEKQHREEDEVDSVLLSASKILNSSEGVKESGCSDTEYGCIAESENQIQPQSALKVLQQQLESFQALRMQTLQNVSMVQSEISEILNKSIIEVENPQFSSEKNLVFGTRIEKDLPTENQEENLSMEKSHHFEDSKTLHSVEEKLSGDSVNSLPQSVNVPSQIHSEDTLTLRTSTDNLSSNIIIHPSENSDILKNYNNFYRFLPTAPPNVMSQADTVILDKSKITVPFLKHGFCENLDDICHSIKQMKEELQKSHDGEVALTNELQTLQTDPDVHRNGKYDMSPIHQDKMNFIKEENLDGNLNEDIKSKRISELEALVKKLLPFRETVSKFHVHFCRKCKKLSKSEMHRGKKNEKNNKEIPITGKNITDLKFHSRVPRYTLSFLDQTKHEMKDKERQPFLVKQGSIISENEKTSKVNSVTEQCVAKIQYLQNYLKESVQIQKKVMELESENLNLKSKMKPLIFTTQSLIQKVETYEKQLKNLVEEKSTIQSKLSKTEEYSKECLKEFKKIISKYNVLQGQNKTLEEKNIQLSLEKQQMMEALDQLKSKEHKTQSDMAIVNNENNRMSIEMEAMKTNILLIQDEKEMLEKKTHQLLKEKSSLGNELKESQLEIIQLKEKERLAKTEQETLLQIIETVKDEKLNLETTLQESTAARQIMEREIENIQTYQSTAEENFLQEIKNAKSEASIYKNSLSEIGKECEMLSKMVMETKTDNQILKEELKKHSQENIKFENSISRLTEDKILLENYVRSIENERDTLEFEMRHLQREYLSLSDKICNQHNDPSKTTYISRREKFHFDNYTHEDTSSPQSRPLASDLKGYFKVKDRTLKHH
+>DECOY_sp|Q8TBZ0|CC110_HUMAN Coiled-coil domain-containing protein 110 OS=Homo sapiens OX=9606 GN=CCDC110 PE=1 SV=1
+HHKLTRDKVKFYGKLDSALPRSQPSSTDEHTYNDFHFKERRSIYTTKSPDNHQNCIKDSLSLYERQLHRMEFELTDRENEISRVYNELLIKDETLRSISNEFKINEQSHKKLEEKLIQNDTKTEMVMKSLMECEKGIESLSNKYISAESKANKIEQLFNEEATSQYTQINEIEREMIQRAATSEQLTTELNLKEDKVTEIIQLLTEQETKALREKEKLQIIELQSEKLENGLSSKEKLLQHTKKELMEKEDQILLINTKMAEMEISMRNNENNVIAMDSQTKHEKSKLQDLAEMMQQKELSLQINKEELTKNQGQLVNYKSIIKKFEKLCEKSYEETKSLKSQITSKEEVLNKLQKEYTEVKQILSQTTFILPKMKSKLNLNESELEMVKKQIQVSEKLYNQLYQIKAVCQETVSNVKSTKENESIISGQKVLFPQREKDKMEHKTQDLFSLTYRPVRSHFKLDTINKGTIPIEKNNKENKKGRHMESKSLKKCKRCFHVHFKSVTERFPLLKKVLAELESIRKSKIDENLNGDLNEEKIFNMKDQHIPSMDYKGNRHVDPDTQLTQLENTLAVEGDHSKQLEEKMQKISHCIDDLNECFGHKLFPVTIKSKDLIVTDAQSMVNPPATPLFRYFNNYNKLIDSNESPHIIINSSLNDTSTRLTLTDESHIQSPVNVSQPLSNVSDGSLKEEVSHLTKSDEFHHSKEMSLNEEQNETPLDKEIRTGFVLNKESSFQPNEVEIISKNLIESIESQVMSVNQLTQMRLAQFSELQQQLVKLASQPQIQNESEAICGYETDSCGSEKVGESSNLIKSASLLVSDVEDEERHQKEPSM
+>sp|Q8NEF3|CC112_HUMAN Coiled-coil domain-containing protein 112 OS=Homo sapiens OX=9606 GN=CCDC112 PE=1 SV=2
+MEKDKHSHFYNQKSDFRIEHSMLEELENKLIHSRKTERAKIQQQLAKIHNNVKKLQHQLKDVKPTPDFVEKLREMMEEIENAINTFKEEQRLIYEELIKEEKTTNNELSAISRKIDTWALGNSETEKAFRAISSKVPVDKVTPSTLPEEVLDFEKFLQQTGGRQGAWDDYDHQNFVKVRNKHKGKPTFMEEVLEHLPGKTQDEVQQHEKWYQKFLALEERKKESIQIWKTKKQQKREEIFKLKEKADNTPVLFHNKQEDNQKQKEEQRKKQKLAVEAWKKQKSIEMSMKCASQLKEEEEKEKKHQKERQRQFKLKLLLESYTQQKKEQEEFLRLEKEIREKAEKAEKRKNAADEISRFQERDLHKLELKILDRQAKEDEKSQKQRRLAKLKEKVENNVSRDPSRLYKPTKGWEERTKKIGPTGSGPLLHIPHRAIPTWRQGIQRRV
+>DECOY_sp|Q8NEF3|CC112_HUMAN Coiled-coil domain-containing protein 112 OS=Homo sapiens OX=9606 GN=CCDC112 PE=1 SV=2
+VRRQIGQRWTPIARHPIHLLPGSGTPGIKKTREEWGKTPKYLRSPDRSVNNEVKEKLKALRRQKQSKEDEKAQRDLIKLELKHLDREQFRSIEDAANKRKEAKEAKERIEKELRLFEEQEKKQQTYSELLLKLKFQRQREKQHKKEKEEEEKLQSACKMSMEISKQKKWAEVALKQKKRQEEKQKQNDEQKNHFLVPTNDAKEKLKFIEERKQQKKTKWIQISEKKREELALFKQYWKEHQQVEDQTKGPLHELVEEMFTPKGKHKNRVKVFNQHDYDDWAGQRGGTQQLFKEFDLVEEPLTSPTVKDVPVKSSIARFAKETESNGLAWTDIKRSIASLENNTTKEEKILEEYILRQEEKFTNIANEIEEMMERLKEVFDPTPKVDKLQHQLKKVNNHIKALQQQIKARETKRSHILKNELEELMSHEIRFDSKQNYFHSHKDKEM
+>sp|Q9H0I3|CC113_HUMAN Coiled-coil domain-containing protein 113 OS=Homo sapiens OX=9606 GN=CCDC113 PE=1 SV=1
+MTDDESESVLSDSHEGSELELPVIQLCGLVEELSYVNSALKTETEMFEKYYAKLEPRDQRPPRLSEIKISAADYAQFRGRRRSKSRTGMDRGVGLTADQKLELVQKEVADMKDDLRHTRANAERDLQHHEAIIEEAEIRWSEVSREVHEFEKDILKAISKKKGSILATQKVMKYIEDMNRRRDNMKEKLRLKNVSLKVQRKKMLLQLRQKEEVSEALHDVDFQQLKIENAQFLETIEARNQELTQLKLSSGNTLQVLNAYKSKLHKAMEIYLNLDKEILLRKELLEKIEKETLQVEEDRAKAEAVNKRLRKQLAEFRAPQVMTYVREKILNADLEKSIRMWERKVEIAEMSLKGHRKAWNRMKITNEQLQADYLAGK
+>DECOY_sp|Q9H0I3|CC113_HUMAN Coiled-coil domain-containing protein 113 OS=Homo sapiens OX=9606 GN=CCDC113 PE=1 SV=1
+KGALYDAQLQENTIKMRNWAKRHGKLSMEAIEVKREWMRISKELDANLIKERVYTMVQPARFEALQKRLRKNVAEAKARDEEVQLTEKEIKELLEKRLLIEKDLNLYIEMAKHLKSKYANLVQLTNGSSLKLQTLEQNRAEITELFQANEIKLQQFDVDHLAESVEEKQRLQLLMKKRQVKLSVNKLRLKEKMNDRRRNMDEIYKMVKQTALISGKKKSIAKLIDKEFEHVERSVESWRIEAEEIIAEHHQLDREANARTHRLDDKMDAVEKQVLELKQDATLGVGRDMGTRSKSRRRGRFQAYDAASIKIESLRPPRQDRPELKAYYKEFMETETKLASNVYSLEEVLGCLQIVPLELESGEHSDSLVSESEDDTM
+>sp|Q96M63|CC114_HUMAN Coiled-coil domain-containing protein 114 OS=Homo sapiens OX=9606 GN=CCDC114 PE=1 SV=3
+MEGERRAYSKEVHQRINKQLEEIRRLEEVRGDLQVQISAAQNQVKRLRDSQRLENMDRLLKGRAQVQAEIEELQEQTRALDKQIQEWETRIFTHSKNVRSPGFILDQKVKIRRRIRILENQLDRVTCHFDNQLVRNAALREELDLLRIDRNRYLNVDRKLKKEIHHLHHLVSTLILSSTSAYAVREEAKAKMGLLRERAEKEEAQSEMEAQVLQRQILHLEQLHHFLKLKNNDRQPDPDVLEKREKQAGEVAEGVWKTSQERLVLCYEDALNKLSQLMGESDPDLLVQKYLEIEERNFAEFNFINEQNLELEHVQEEIKEMQEALVSARASKDDQHLLQEQQQKVLQQRMDKVHSEAERLEARFQDVRGQLEKLKADIQLLFTKAHCDSSMIDDLLGVKTSMGDRDMGLFLSLIEKRLVELLTVQAFLHAQSFTSLADAALLVLGQSLEDLPKKMAPLQPPDTLEDPPGFEASDDYPMSREELLSQVEKLVELQEQAEAQRQKDLAAAAAKLDGTLSVDLASTQRAGSSTVLVPTRHPHAIPGSILSHKTSRDRGSLGHVTFGGLSSSTGHLPSHITHGDPNTGHVTFGSTSASSGGHVTFRPVSASSYLGSTGYVGSSRGGENTEGGVESGGTASDSSGGLGSSRDHVSSTGPASSTGPGSSTSKDSRG
+>DECOY_sp|Q96M63|CC114_HUMAN Coiled-coil domain-containing protein 114 OS=Homo sapiens OX=9606 GN=CCDC114 PE=1 SV=3
+GRSDKSTSSGPGTSSAPGTSSVHDRSSGLGGSSDSATGGSEVGGETNEGGRSSGVYGTSGLYSSASVPRFTVHGGSSASTSGFTVHGTNPDGHTIHSPLHGTSSSLGGFTVHGLSGRDRSTKHSLISGPIAHPHRTPVLVTSSGARQTSALDVSLTGDLKAAAAALDKQRQAEAQEQLEVLKEVQSLLEERSMPYDDSAEFGPPDELTDPPQLPAMKKPLDELSQGLVLLAADALSTFSQAHLFAQVTLLEVLRKEILSLFLGMDRDGMSTKVGLLDDIMSSDCHAKTFLLQIDAKLKELQGRVDQFRAELREAESHVKDMRQQLVKQQQEQLLHQDDKSARASVLAEQMEKIEEQVHELELNQENIFNFEAFNREEIELYKQVLLDPDSEGMLQSLKNLADEYCLVLREQSTKWVGEAVEGAQKERKELVDPDPQRDNNKLKLFHHLQELHLIQRQLVQAEMESQAEEKEARERLLGMKAKAEERVAYASTSSLILTSVLHHLHHIEKKLKRDVNLYRNRDIRLLDLEERLAANRVLQNDFHCTVRDLQNELIRIRRRIKVKQDLIFGPSRVNKSHTFIRTEWEQIQKDLARTQEQLEEIEAQVQARGKLLRDMNELRQSDRLRKVQNQAASIQVQLDGRVEELRRIEELQKNIRQHVEKSYARREGEM
+>sp|Q96NT0|CC115_HUMAN Coiled-coil domain-containing protein 115 OS=Homo sapiens OX=9606 GN=CCDC115 PE=1 SV=1
+MAALDLRAELDSLVLQLLGDLEELEGKRTVLNARVEEGWLSLAKARYAMGAKSVGPLQYASHMEPQVCLHASEAQEGLQKFKVVRAGVHAPEEVGPREAGLRRRKGPTKTPEPESSEAPQDPLNWFGILVPHSLRQAQASFRDGLQLAADIASLQNRIDWGRSQLRGLQEKLKQLEPGAA
+>DECOY_sp|Q96NT0|CC115_HUMAN Coiled-coil domain-containing protein 115 OS=Homo sapiens OX=9606 GN=CCDC115 PE=1 SV=1
+AAGPELQKLKEQLGRLQSRGWDIRNQLSAIDAALQLGDRFSAQAQRLSHPVLIGFWNLPDQPAESSEPEPTKTPGKRRRLGAERPGVEEPAHVGARVVKFKQLGEQAESAHLCVQPEMHSAYQLPGVSKAGMAYRAKALSLWGEEVRANLVTRKGELEELDGLLQLVLSDLEARLDLAAM
+>sp|Q6ZUS5|CC121_HUMAN Coiled-coil domain-containing protein 121 OS=Homo sapiens OX=9606 GN=CCDC121 PE=1 SV=1
+MTDLNKHIKQAQTQRKQLLEESRELHREKLLVQAENRFFLEYLTNKTEEYTEQPEKVWNSYLQKSGEIERRRQESASRYAEQISVLKTALLQKENIQSSLKRKLQAMRDIAILKEKQEKEIQTLQEETKKVQAETASKTREVQAQLLQEKRLLEKQLSEPDRRLLGKRKRRELNMKAQALKLAAKRFIFEYSCGINRENQQFKKELLQLIEQAQKLTATQSHLENRKQQLQQEQWYLESLIQARQRLQGSHNQCLNRQDVPKTTPSLPQGTKSRINPK
+>DECOY_sp|Q6ZUS5|CC121_HUMAN Coiled-coil domain-containing protein 121 OS=Homo sapiens OX=9606 GN=CCDC121 PE=1 SV=1
+KPNIRSKTGQPLSPTTKPVDQRNLCQNHSGQLRQRAQILSELYWQEQQLQQKRNELHSQTATLKQAQEILQLLEKKFQQNERNIGCSYEFIFRKAALKLAQAKMNLERRKRKGLLRRDPESLQKELLRKEQLLQAQVERTKSATEAQVKKTEEQLTQIEKEQKEKLIAIDRMAQLKRKLSSQINEKQLLATKLVSIQEAYRSASEQRRREIEGSKQLYSNWVKEPQETYEETKNTLYELFFRNEAQVLLKERHLERSEELLQKRQTQAQKIHKNLDTM
+>sp|Q86Z20|CC125_HUMAN Coiled-coil domain-containing protein 125 OS=Homo sapiens OX=9606 GN=CCDC125 PE=1 SV=2
+MSKVARSSSESDVQLWETEEDDMTEGDLGYGLGRKPGGIYEIEFSHRSRKRSDGKNFSPPPFPRKGEERNEASFQYSKHKSQQDTFPQVSRISNYRRQSSTVDSNSELSNEELRQCLNETLEEVEMLKTELEASQRQLRGKEEALKILQSMAILGKATSHTQAVLQKTMEQNRSLEKEINALQWEIEFDHNRFKNIEESWIQKYDRLNCENAVLKENLKVKTEEIKMLKSDNAVLNQRYLEALAMLDIKQQKMAQENMCCDKSGFAEASGLELAVLGACLCHGPGGNPCSCARMAASTRKLLLQLKQELEILQKSKEEAYVMADAFRIAFEQQLMRKNDQALQLTQMDKMHKKATKWMNWKHLKEDGFPSPRSKKTFGQRLLGMLPSENSSKRMEDQDSPQEVLKMLIDLLNDKEEALAHQRKVSYMLARALEDKDTASNENKEKNPIKENFPFNNPWRKTSEFSVLGDPIHSSVCILNSVGCICSIQHSQIDPNYRTLKRSHSLPSSIIF
+>DECOY_sp|Q86Z20|CC125_HUMAN Coiled-coil domain-containing protein 125 OS=Homo sapiens OX=9606 GN=CCDC125 PE=1 SV=2
+FIISSPLSHSRKLTRYNPDIQSHQISCICGVSNLICVSSHIPDGLVSFESTKRWPNNFPFNEKIPNKEKNENSATDKDELARALMYSVKRQHALAEEKDNLLDILMKLVEQPSDQDEMRKSSNESPLMGLLRQGFTKKSRPSPFGDEKLHKWNMWKTAKKHMKDMQTLQLAQDNKRMLQQEFAIRFADAMVYAEEKSKQLIELEQKLQLLLKRTSAAMRACSCPNGGPGHCLCAGLVALELGSAEAFGSKDCCMNEQAMKQQKIDLMALAELYRQNLVANDSKLMKIEETKVKLNEKLVANECNLRDYKQIWSEEINKFRNHDFEIEWQLANIEKELSRNQEMTKQLVAQTHSTAKGLIAMSQLIKLAEEKGRLQRQSAELETKLMEVEELTENLCQRLEENSLESNSDVTSSQRRYNSIRSVQPFTDQQSKHKSYQFSAENREEGKRPFPPPSFNKGDSRKRSRHSFEIEYIGGPKRGLGYGLDGETMDDEETEWLQVDSESSSRAVKSM
+>sp|Q96EE4|CC126_HUMAN Coiled-coil domain-containing protein 126 OS=Homo sapiens OX=9606 GN=CCDC126 PE=2 SV=2
+MFFTISRKNMSQKLSLLLLVFGLIWGLMLLHYTFQQPRHQSSVKLREQILDLSKRYVKALAEENKNTVDVENGASMAGYADLKRTIAVLLDDILQRLVKLENKVDYIVVNGSAANTTNGTSGNLVPVTTNKRTNVSGSIR
+>DECOY_sp|Q96EE4|CC126_HUMAN Coiled-coil domain-containing protein 126 OS=Homo sapiens OX=9606 GN=CCDC126 PE=2 SV=2
+RISGSVNTRKNTTVPVLNGSTGNTTNAASGNVVIYDVKNELKVLRQLIDDLLVAITRKLDAYGAMSAGNEVDVTNKNEEALAKVYRKSLDLIQERLKVSSQHRPQQFTYHLLMLGWILGFVLLLLSLKQSMNKRSITFFM
+>sp|A4D256|CC14C_HUMAN Dual specificity protein phosphatase CDC14C OS=Homo sapiens OX=9606 GN=CDC14C PE=2 SV=3
+MRSSTLQDPRRRDPQDDVYVDITDRLRFAILYSRPKSASNVHYFSIDNELEYENFSEDFGPLNLAMVYRYCCKINKKLKSITMLRKKIVHFTGSDQRKQANAAFLVGCYMVIYLGRTPEAAYRILIFGDTPYIPFRDAAYGSCNFYITLLDCFHAVKKAMQYGFLNFNSFNLDEYEHYEKAENGDLNWIIPDRFIAFCGPHSRARLESGYHQHSPETYIQYFKNHNVTTIIRLNKRMYDAKRFTDAGFDHHDLFFADGSTPTDAIVKRFLDICENAEGAIAVHCKAGLGRTGTLIACYIMKHYRMTAAETIAWVRICRPGLVIGPQQQFLVMKQTSLWLEGDYFRQRLKGQENGQHRAAFSKLLSGVDDISINGVENQDQQEPKPYSDDDEINGVTQGDRSRALKRRRQSKTNDILLPSPLAVLTFTLCSVVIWWIVCDYILPILLF
+>DECOY_sp|A4D256|CC14C_HUMAN Dual specificity protein phosphatase CDC14C OS=Homo sapiens OX=9606 GN=CDC14C PE=2 SV=3
+FLLIPLIYDCVIWWIVVSCLTFTLVALPSPLLIDNTKSQRRRKLARSRDGQTVGNIEDDDSYPKPEQQDQNEVGNISIDDVGSLLKSFAARHQGNEQGKLRQRFYDGELWLSTQKMVLFQQQPGIVLGPRCIRVWAITEAATMRYHKMIYCAILTGTRGLGAKCHVAIAGEANECIDLFRKVIADTPTSGDAFFLDHHDFGADTFRKADYMRKNLRIITTVNHNKFYQIYTEPSHQHYGSELRARSHPGCFAIFRDPIIWNLDGNEAKEYHEYEDLNFSNFNLFGYQMAKKVAHFCDLLTIYFNCSGYAADRFPIYPTDGFILIRYAAEPTRGLYIVMYCGVLFAANAQKRQDSGTFHVIKKRLMTISKLKKNIKCCYRYVMALNLPGFDESFNEYELENDISFYHVNSASKPRSYLIAFRLRDTIDVYVDDQPDRRRPDQLTSSRM
+>sp|A6NI56|CC154_HUMAN Coiled-coil domain-containing protein 154 OS=Homo sapiens OX=9606 GN=CCDC154 PE=2 SV=4
+MSELADSGPSGASAPSQLRAVTLEDLGLLLAGGLASPEPLSLEELSERYESSHPTSTASVPEQDTAKHWNQLEQWVVELQAEVACLREHKQRCERATRSLLRELLQVRARVQLQGSELRQLQQEARPAAQAPEKEAPEFSGLQNQMQALDKRLVEVREALTRLRRRQVQQEAERRGAEQEAGLRLAKLTDLLQQEEQGREVACGALQKNQEDSSRRVDLEVARMQAQVTKLGEEVSLRFLKREAKLCGFLQKSFLALEKRMKASESSRLKLEGSLRGELESRWEKLRGLMEERLRALQGQHEVGPGGRRAEESHLLEQCQGLDAAVAQLTKFVQQNQASLNRVLLAEEKAWDAKGRLEESRAGELAAYVQENLEAAQLAGELARQEMHGELVLLREKSRALEASVAQLAGQLKELSGHLPALSSRLDLQEQMLGLRLSEAKTEWEGAERKSLEDLARWRKEVTEHLRGVREKVDGLPQQIESVSDKCLLHKSDSDLRISAEGKAREFKVGALRQELATLLSSVQLLKEDNPGRKIAEMQGKLATNQIMKLENCVQANKTIQNLRFNTEARLRTQEMATLWESVLRLWSEEGPRTPLGSWKALPSLVRPRVFIKDMAPGKVVPMNCWGVYQAVRWLRWKASLIKLRALRRPGGVLEKPHSQEQVQQLTPSLFIQK
+>DECOY_sp|A6NI56|CC154_HUMAN Coiled-coil domain-containing protein 154 OS=Homo sapiens OX=9606 GN=CCDC154 PE=2 SV=4
+KQIFLSPTLQQVQEQSHPKELVGGPRRLARLKILSAKWRLWRVAQYVGWCNMPVVKGPAMDKIFVRPRVLSPLAKWSGLPTRPGEESWLRLVSEWLTAMEQTRLRAETNFRLNQITKNAQVCNELKMIQNTALKGQMEAIKRGPNDEKLLQVSSLLTALEQRLAGVKFERAKGEASIRLDSDSKHLLCKDSVSEIQQPLGDVKERVGRLHETVEKRWRALDELSKREAGEWETKAESLRLGLMQEQLDLRSSLAPLHGSLEKLQGALQAVSAELARSKERLLVLEGHMEQRALEGALQAAELNEQVYAALEGARSEELRGKADWAKEEALLVRNLSAQNQQVFKTLQAVAADLGQCQELLHSEEARRGGPGVEHQGQLARLREEMLGRLKEWRSELEGRLSGELKLRSSESAKMRKELALFSKQLFGCLKAERKLFRLSVEEGLKTVQAQMRAVELDVRRSSDEQNKQLAGCAVERGQEEQQLLDTLKALRLGAEQEAGRREAEQQVQRRRLRTLAERVEVLRKDLAQMQNQLGSFEPAEKEPAQAAPRAEQQLQRLESGQLQVRARVQLLERLLSRTARECRQKHERLCAVEAQLEVVWQELQNWHKATDQEPVSATSTPHSSEYRESLEELSLPEPSALGGALLLGLDELTVARLQSPASAGSPGSDALESM
+>sp|P0CW27|CC166_HUMAN Coiled-coil domain-containing protein 166 OS=Homo sapiens OX=9606 GN=CCDC166 PE=4 SV=1
+MAPKKKRGPSAGSQPGGAAAAGAEQPLSERAQYLQREHALLSEQLDTCEESVDQVLRENAFLDREALRLREENRLYASYVSARAQRCAKAIVRLDEQNRVDLAQIHWQRAELASLYHGREDGVRAQLLEMEARAAQMAQQVQELQPYKVLQLEQLARIRALERELLHMRVEHTQLLHRVKRRFLEDKAAFEREARQRVQSLARRAEREAVRALVAHTQAIKADNGRLRQELLLLLRRTQLLHHTRRQLLEQREQLHREHEDTRDLARVHGWLRRGPGGPPLWERPAFSQPTSRPGSLAAPISPSRAASQTPSVVPSRAAPRASSVVPSREASRVPSLVLSSMDSRVPSLATSKVGSRMPSLTASRAGSRALSLVQSLEGSGISSGSSPRVSSQDTLRSTKSGPKLLSGLSRDRDPALLPPQSEDSVNAEAAAEASPGRA
+>DECOY_sp|P0CW27|CC166_HUMAN Coiled-coil domain-containing protein 166 OS=Homo sapiens OX=9606 GN=CCDC166 PE=4 SV=1
+ARGPSAEAAAEANVSDESQPPLLAPDRDRSLGSLLKPGSKTSRLTDQSSVRPSSGSSIGSGELSQVLSLARSGARSATLSPMRSGVKSTALSPVRSDMSSLVLSPVRSAERSPVVSSARPAARSPVVSPTQSAARSPSIPAALSGPRSTPQSFAPREWLPPGGPGRRLWGHVRALDRTDEHERHLQERQELLQRRTHHLLQTRRLLLLLEQRLRGNDAKIAQTHAVLARVAEREARRALSQVRQRAEREFAAKDELFRRKVRHLLQTHEVRMHLLERELARIRALQELQLVKYPQLEQVQQAMQAARAEMELLQARVGDERGHYLSALEARQWHIQALDVRNQEDLRVIAKACRQARASVYSAYLRNEERLRLAERDLFANERLVQDVSEECTDLQESLLAHERQLYQARESLPQEAGAAAAGGPQSGASPGRKKKPAM
+>sp|Q8NDH2|CC168_HUMAN Coiled-coil domain-containing protein 168 OS=Homo sapiens OX=9606 GN=CCDC168 PE=2 SV=2
+MAQIQKDKANISEKSVMHPEYIAVKAEKSPLSHILKTKELQVNISQQGEKAQEGEVEIVVLLSKTCPFVTSSAFLELDSIKEEEGEPRITRSFMPHLEIQESLPSRQTAPTKPTESLVKKEKQLLPQKEDRVQTVSMHGLMHPNGAVFKAKTSAPPQVFSITEHSPLSKRKEPQWGMKERAGQKQDRTGRPHVILTKTHPFMPSLSHHRFSPSQPKLPISSGAGKSRLANSNEGISSHKVILKANQQMPYKEAKDRVKIEGREGRILPKRIHLRAEALPLALLCNGKNYSLHIEEQGEGVQESKKEPGVVPRKSASFPPPPFYLNCDTRRNEKEGTLGKTQFSFPPLKIQDSSDSGKKAYTESLHGYTLSNSKGPVQPTAQGEEKGGLRIDMEDKMLPKCTDLKAKQLLLSDILNTKKLQWKSKEQKRKIQEDKNKQVKGLPSINTSLLTPPYLKFDTTEGQENVIRIAKVSLPQSRSKESSDAGRIACPEATHGELSSDVKQLKAHLLQKEEKDREKVADMTSVLDPNKMYLKAKKSPVLHTHSFSDLQWKTREQEEEKVQKVKSGPGVMLSKSPSRSSPLHLNVNTGFQEESIPILTRPSFPLVKLQVSPDTEGGTCIRPIAGDILIYLQKGKHVSQNKEEDDVQIVSILIFPKHQEEKVQECEGEPGVVLTKSTSLPSLSQLELDKETHLGNEMLRLKRPILRRISHIGETVHRESVVGDIPKDVKNEKQHIPQKEERNQKKIIDMRGTDITLKSKKSPRSCMLHRTELHVNIGGQGRKEHEGQDKPPGMIQRKMCILFSKPLPSNLKLERATHADEERLGGKTSFVLPLMPSALPDTEKTADAEARSGDVRKGKPHRSQKENRHEVKTIDMRFRIHCQEARISPMSHILNAKELVLNINKLEKKVHKDKDEACVVLSRTFLSIPSAPPLYLDSGNKTDKDTPGITGSSCPQRTLHVPSNTQKITNRDSVEGVDKNVVKQAEQYVPRPEAEQQLTSNFMISVQQRNQPSRVRSEEDLNQLVLNSRDEDIYFTGFGTIRSGKRPEWLFTGKKAQPVKYKTETLTAFLSYPTMDATKMGGLEEDTEIMDNLNHKISPKASVSLIRKISKELYVTLGTPANSKGFSVSERYAHQQETSSKVSPELAGSCKFDKPKEDGQSNDRISKMFSPKVLAPQTKGSLKKISIVTNWNAPQNIEEQDIVMKKQVIRRCEHGHKTRTNTILSKFPLQSGKQKTPSETDVDKKTTAHLSLQMLPGIHMDMTEIDPAKGGRKQALLISEQEEGVLEFLPKSLFPPWTFQFQSGDLEEKHQTDANTNINLEQKKLEMDNDSTVNQKEGKLKIGTNRALHLQEEKTEMHKARTANLEKERGRMDTSSSAHPHLLSLKAEESQMKTQVITHRENSRLIMQKQKKELEASNAKQSIQLQKLFQRNVLDSFYSYVPLSPKRKDQKGRLTIRDLKRELSTKYLTMKIQNHPIPQMLNITGRGTPSNRKKLEYDVKLKNIASWSKDVSGIFIRSLSISIMRSPHTDPKTNLEREKRICLPKFQEKSPNTSEMSKRDTLTIVKGEQNFTNTVPQDPQPFAVDKQQMQKLPNVKSEANLRSEMNKKYLKAQTKERIVPEHDVSRIIKKPDLRIIEQEEKILKRILTPTECPSMLEDPKLPKQRDQSEPVWDMTTQKVQQQKAFPGTVPIPPQVKSSEVKIVADSTNAEHLLPICEATKAISESQVKNMIQDKVSSDKLDNIQAYKPDDLKSPPFPEGPDTISTAIYPKTQHKSLLEQFTPKEKNKLTSHLESKALEIQLNLIPEMARKSLQMFNFYPKGTISKDNSWRFYSRHKTMNFMSLEGTDTIEPNSKHKHQKDSPLASNMKTLIVDVSSDSEETITKLQSINKLENGTSAVTSASEMLLPHTLQNHSVKEKGKLLMHFSVKTLEIQMKAFPRIVRESYAMTSAHERKKPLSNCIHPGFTGPKRQNRILLLSEEKSLHQIDLDLQYKYLRFLLGLPVGSTFPKPNVLPKHSKLNTIAVCKNVNAGGQSGSLSIDTELLEQHISFKKQSPHENSSLIRKFPQPTLVCASDRDLHSPRKKDTQVLSESEFHVTPEKNKQYHVWFQERNTCESVDLRTQRNATGSAVSCETQISEDFVDIQTDIESPADLDECSCLEVSESEECVFLEANSYLSQESENILFELQTGIPLENVYKITTDLKSFYSEDSGSHCTRECRKETLIITPPSCKSHKSRKYRSSSKMKSPDWLCHSSSNTAEIQSRSSSVSFSEEKISWTTKSRTSYSSAPLTESNIKSHLAKNQGKSHRHPESQERKKARSDLFRKNSSHWDHDYSCTHSKGKRDRKKRVYDYESERLDCFQSKHKSASKPHHDDINFYSERKQNRPFFFACVPADSLEVIPKTIRWTIPPETLRKRNFRIPLVAKISSSWNIWSSSKKLLGSLSGSLTTVFHS
+>DECOY_sp|Q8NDH2|CC168_HUMAN Coiled-coil domain-containing protein 168 OS=Homo sapiens OX=9606 GN=CCDC168 PE=2 SV=2
+SHFVTTLSGSLSGLLKKSSSWINWSSSIKAVLPIRFNRKRLTEPPITWRITKPIVELSDAPVCAFFFPRNQKRESYFNIDDHHPKSASKHKSQFCDLRESEYDYVRKKRDRKGKSHTCSYDHDWHSSNKRFLDSRAKKREQSEPHRHSKGQNKALHSKINSETLPASSYSTRSKTTWSIKEESFSVSSSRSQIEATNSSSHCLWDPSKMKSSSRYKRSKHSKCSPPTIILTEKRCERTCHSGSDESYFSKLDTTIKYVNELPIGTQLEFLINESEQSLYSNAELFVCEESESVELCSCEDLDAPSEIDTQIDVFDESIQTECSVASGTANRQTRLDVSECTNREQFWVHYQKNKEPTVHFESESLVQTDKKRPSHLDRDSACVLTPQPFKRILSSNEHPSQKKFSIHQELLETDISLSGSQGGANVNKCVAITNLKSHKPLVNPKPFTSGVPLGLLFRLYKYQLDLDIQHLSKEESLLLIRNQRKPGTFGPHICNSLPKKREHASTMAYSERVIRPFAKMQIELTKVSFHMLLKGKEKVSHNQLTHPLLMESASTVASTGNELKNISQLKTITEESDSSVDVILTKMNSALPSDKQHKHKSNPEITDTGELSMFNMTKHRSYFRWSNDKSITGKPYFNFMQLSKRAMEPILNLQIELAKSELHSTLKNKEKPTFQELLSKHQTKPYIATSITDPGEPFPPSKLDDPKYAQINDLKDSSVKDQIMNKVQSESIAKTAECIPLLHEANTSDAVIKVESSKVQPPIPVTGPFAKQQQVKQTTMDWVPESQDRQKPLKPDELMSPCETPTLIRKLIKEEQEIIRLDPKKIIRSVDHEPVIREKTQAKLYKKNMESRLNAESKVNPLKQMQQKDVAFPQPDQPVTNTFNQEGKVITLTDRKSMESTNPSKEQFKPLCIRKERELNTKPDTHPSRMISISLSRIFIGSVDKSWSAINKLKVDYELKKRNSPTGRGTINLMQPIPHNQIKMTLYKTSLERKLDRITLRGKQDKRKPSLPVYSYFSDLVNRQFLKQLQISQKANSAELEKKQKQMILRSNERHTIVQTKMQSEEAKLSLLHPHASSSTDMRGREKELNATRAKHMETKEEQLHLARNTGIKLKGEKQNVTSDNDMELKKQELNINTNADTQHKEELDGSQFQFTWPPFLSKPLFELVGEEQESILLAQKRGGKAPDIETMDMHIGPLMQLSLHATTKKDVDTESPTKQKGSQLPFKSLITNTRTKHGHECRRIVQKKMVIDQEEINQPANWNTVISIKKLSGKTQPALVKPSFMKSIRDNSQGDEKPKDFKCSGALEPSVKSSTEQQHAYRESVSFGKSNAPTGLTVYLEKSIKRILSVSAKPSIKHNLNDMIETDEELGGMKTADMTPYSLFATLTETKYKVPQAKKGTFLWEPRKGSRITGFGTFYIDEDRSNLVLQNLDEESRVRSPQNRQQVSIMFNSTLQQEAEPRPVYQEAQKVVNKDVGEVSDRNTIKQTNSPVHLTRQPCSSGTIGPTDKDTKNGSDLYLPPASPISLFTRSLVVCAEDKDKHVKKELKNINLVLEKANLIHSMPSIRAEQCHIRFRMDITKVEHRNEKQSRHPKGKRVDGSRAEADATKETDPLASPMLPLVFSTKGGLREEDAHTARELKLNSPLPKSFLICMKRQIMGPPKDQGEHEKRGQGGINVHLETRHLMCSRPSKKSKLTIDTGRMDIIKKQNREEKQPIHQKENKVDKPIDGVVSERHVTEGIHSIRRLIPRKLRLMENGLHTEKDLELQSLSPLSTSKTLVVGPEGECEQVKEEQHKPFILISVIQVDDEEKNQSVHKGKQLYILIDGAIPRICTGGETDPSVQLKVLPFSPRTLIPISEEQFGTNVNLHLPSSRSPSKSLMVGPGSKVKQVKEEEQERTKWQLDSFSHTHLVPSKKAKLYMKNPDLVSTMDAVKERDKEEKQLLHAKLQKVDSSLEGHTAEPCAIRGADSSEKSRSQPLSVKAIRIVNEQGETTDFKLYPPTLLSTNISPLGKVQKNKDEQIKRKQEKSKWQLKKTNLIDSLLLQKAKLDTCKPLMKDEMDIRLGGKEEGQATPQVPGKSNSLTYGHLSETYAKKGSDSSDQIKLPPFSFQTKGLTGEKENRRTDCNLYFPPPPFSASKRPVVGPEKKSEQVGEGQEEIHLSYNKGNCLLALPLAEARLHIRKPLIRGERGEIKVRDKAEKYPMQQNAKLIVKHSSIGENSNALRSKGAGSSIPLKPQSPSFRHHSLSPMFPHTKTLIVHPRGTRDQKQGAREKMGWQPEKRKSLPSHETISFVQPPASTKAKFVAGNPHMLGHMSVTQVRDEKQPLLQKEKKVLSETPKTPATQRSPLSEQIELHPMFSRTIRPEGEEEKISDLELFASSTVFPCTKSLLVVIEVEGEQAKEGQQSINVQLEKTKLIHSLPSKEAKVAIYEPHMVSKESINAKDKQIQAM
+>sp|P0C7W6|CC172_HUMAN Coiled-coil domain-containing protein 172 OS=Homo sapiens OX=9606 GN=CCDC172 PE=1 SV=1
+MSLESLFQHIIFTEHQAEESRRLMREVRSEITRCREKIKKATEELNEEKIKLESKVQQFFEKSFFLQLLKAHENALEKQYSEITNHRNMLLQTFEAIKKQMIEEEDKFIKEITDFNNDYEITKKRELLMKENVKIEISDLENQANMLKSEMKSMEHDSSQLNELQKQKSELIQELFTLQRKLKVFEDEENESICTTKYLEAEKIKISEKPQNDTECLRLKKELELYKEDDMESVYEALQTEIEFLELTLAQKDLQESK
+>DECOY_sp|P0C7W6|CC172_HUMAN Coiled-coil domain-containing protein 172 OS=Homo sapiens OX=9606 GN=CCDC172 PE=1 SV=1
+KSEQLDKQALTLELFEIETQLAEYVSEMDDEKYLELEKKLRLCETDNQPKESIKIKEAELYKTTCISENEEDEFVKLKRQLTFLEQILESKQKQLENLQSSDHEMSKMESKLMNAQNELDSIEIKVNEKMLLERKKTIEYDNNFDTIEKIFKDEEEIMQKKIAEFTQLLMNRHNTIESYQKELANEHAKLLQLFFSKEFFQQVKSELKIKEENLEETAKKIKERCRTIESRVERMLRRSEEAQHETFIIHQFLSELSM
+>sp|Q6PII3|CC174_HUMAN Coiled-coil domain-containing protein 174 OS=Homo sapiens OX=9606 GN=CCDC174 PE=1 SV=3
+MDRRKKPLDVTASSLVDLKAELFRKQEEFKQEKLLKDSGVFGKPKTTNKKPSIWSKQNVGVSNRAEKDAEQKIEEQKTLDKAREKLEEKAKLYEKMTKGDFIDEEVEDMYLVDFTQKIIDKRKEMEASGAHRDSQKAGERDDDEENLPEGEIPPPQDPSEEWVDYVDSLGRSRRCMRKDLPDLLEMDKNLQGRLFISPANEKTLLSEDMRKELQRQQWEEEEREALKRPMGPVHYEDIRENEARQLGVGYFAFARDKELRNKQMKTLEMLREQTTDQRTKRENIKEKRKAILEARLAKLRQKKMKKSKEGGTEEENRDGDVIGPLPPEPEAVPTPRPAAQSSKVEVIVQERKDTKPGVPHIREWDRGKEFSFGYWSKRQSDLRAERDPEFAPPSDYFVGQKRTGFSSSQAWSRPGPAQSDPGQCPDQSHGPSPEHTSPTPAPDNPPQAPTVTFKTLDDMISYYKQVT
+>DECOY_sp|Q6PII3|CC174_HUMAN Coiled-coil domain-containing protein 174 OS=Homo sapiens OX=9606 GN=CCDC174 PE=1 SV=3
+TVQKYYSIMDDLTKFTVTPAQPPNDPAPTPSTHEPSPGHSQDPCQGPDSQAPGPRSWAQSSSFGTRKQGVFYDSPPAFEPDREARLDSQRKSWYGFSFEKGRDWERIHPVGPKTDKREQVIVEVKSSQAAPRPTPVAEPEPPLPGIVDGDRNEEETGGEKSKKMKKQRLKALRAELIAKRKEKINERKTRQDTTQERLMELTKMQKNRLEKDRAFAFYGVGLQRAENERIDEYHVPGMPRKLAEREEEEWQQRQLEKRMDESLLTKENAPSIFLRGQLNKDMELLDPLDKRMCRRSRGLSDVYDVWEESPDQPPPIEGEPLNEEDDDREGAKQSDRHAGSAEMEKRKDIIKQTFDVLYMDEVEEDIFDGKTMKEYLKAKEELKERAKDLTKQEEIKQEADKEARNSVGVNQKSWISPKKNTTKPKGFVGSDKLLKEQKFEEQKRFLEAKLDVLSSATVDLPKKRRDM
+>sp|Q52MB2|CC184_HUMAN Coiled-coil domain-containing protein 184 OS=Homo sapiens OX=9606 GN=CCDC184 PE=1 SV=2
+MEDGLLEIMTKDGGDMPAPLEVSTVPAVGDVISGEYNGGMKELMEHLKAQLQALFEDVRAMRGALDEQASHIQVLSDDVCANQRAIVSMCQIMTTAPRQGGLGVVGGKGSFQSDPQEPETPSPGIGDSGLLGRDPEDEEEEEEEKEMPSPATPSSHCERPESPCAGLLGGDGPLVEPLDMPDITLLQLEGEASL
+>DECOY_sp|Q52MB2|CC184_HUMAN Coiled-coil domain-containing protein 184 OS=Homo sapiens OX=9606 GN=CCDC184 PE=1 SV=2
+LSAEGELQLLTIDPMDLPEVLPGDGGLLGACPSEPRECHSSPTAPSPMEKEEEEEEEDEPDRGLLGSDGIGPSPTEPEQPDSQFSGKGGVVGLGGQRPATTMIQCMSVIARQNACVDDSLVQIHSAQEDLAGRMARVDEFLAQLQAKLHEMLEKMGGNYEGSIVDGVAPVTSVELPAPMDGGDKTMIELLGDEM
+>sp|Q7Z3E2|CC186_HUMAN Coiled-coil domain-containing protein 186 OS=Homo sapiens OX=9606 GN=CCDC186 PE=1 SV=2
+MSETDHIASTSSDKNVGKTPELKEDSCNLFSGNESSKLENESKLLSLNTDKTLCQPNEHNNRIEAQENYIPDHGGGEDSCAKTDTGSENSEQIANFPSGNFAKHISKTNETEQKVTQILVELRSSTFPESANEKTYSESPYDTDCTKKFISKIKSVSASEDLLEEIESELLSTEFAEHRVPNGMNKGEHALVLFEKCVQDKYLQQEHIIKKLIKENKKHQELFVDICSEKDNLREELKKRTETEKQHMNTIKQLESRIEELNKEVKASRDQLIAQDVTAKNAVQQLHKEMAQRMEQANKKCEEARQEKEAMVMKYVRGEKESLDLRKEKETLEKKLRDANKELEKNTNKIKQLSQEKGRLHQLYETKEGETTRLIREIDKLKEDINSHVIKVKWAQNKLKAEMDSHKETKDKLKETTTKLTQAKEEADQIRKNCQDMIKTYQESEEIKSNELDAKLRVTKGELEKQMQEKSDQLEMHHAKIKELEDLKRTFKEGMDELRTLRTKVKCLEDERLRTEDELSKYKEIINRQKAEIQNLLDKVKTADQLQEQLQRGKQEIENLKEEVESLNSLINDLQKDIEGSRKRESELLLFTERLTSKNAQLQSESNSLQSQFDKVSCSESQLQSQCEQMKQTNINLESRLLKEEELRKEEVQTLQAELACRQTEVKALSTQVEELKDELVTQRRKHASSIKDLTKQLQQARRKLDQVESGSYDKEVSSMGSRSSSSGSLNARSSAEDRSPENTGSSVAVDNFPQVDKAMLIERIVRLQKAHARKNEKIEFMEDHIKQLVEEIRKKTKIIQSYILREESGTLSSEASDFNKVHLSRRGGIMASLYTSHPADNGLTLELSLEINRKLQAVLEDTLLKNITLKENLQTLGTEIERLIKHQHELEQRTKKT
+>DECOY_sp|Q7Z3E2|CC186_HUMAN Coiled-coil domain-containing protein 186 OS=Homo sapiens OX=9606 GN=CCDC186 PE=1 SV=2
+TKKTRQELEHQHKILREIETGLTQLNEKLTINKLLTDELVAQLKRNIELSLELTLGNDAPHSTYLSAMIGGRRSLHVKNFDSAESSLTGSEERLIYSQIIKTKKRIEEVLQKIHDEMFEIKENKRAHAKQLRVIREILMAKDVQPFNDVAVSSGTNEPSRDEASSRANLSGSSSSRSGMSSVEKDYSGSEVQDLKRRAQQLQKTLDKISSAHKRRQTVLEDKLEEVQTSLAKVETQRCALEAQLTQVEEKRLEEEKLLRSELNINTQKMQECQSQLQSESCSVKDFQSQLSNSESQLQANKSTLRETFLLLESERKRSGEIDKQLDNILSNLSEVEEKLNEIEQKGRQLQEQLQDATKVKDLLNQIEAKQRNIIEKYKSLEDETRLREDELCKVKTRLTRLEDMGEKFTRKLDELEKIKAHHMELQDSKEQMQKELEGKTVRLKADLENSKIEESEQYTKIMDQCNKRIQDAEEKAQTLKTTTEKLKDKTEKHSDMEAKLKNQAWKVKIVHSNIDEKLKDIERILRTTEGEKTEYLQHLRGKEQSLQKIKNTNKELEKNADRLKKELTEKEKRLDLSEKEGRVYKMVMAEKEQRAEECKKNAQEMRQAMEKHLQQVANKATVDQAILQDRSAKVEKNLEEIRSELQKITNMHQKETETRKKLEERLNDKESCIDVFLEQHKKNEKILKKIIHEQQLYKDQVCKEFLVLAHEGKNMGNPVRHEAFETSLLESEIEELLDESASVSKIKSIFKKTCDTDYPSESYTKENASEPFTSSRLEVLIQTVKQETENTKSIHKAFNGSPFNAIQESNESGTDTKACSDEGGGHDPIYNEQAEIRNNHENPQCLTKDTNLSLLKSENELKSSENGSFLNCSDEKLEPTKGVNKDSSTSAIHDTESM
+>sp|A1A4V9|CC189_HUMAN Coiled-coil domain-containing protein 189 OS=Homo sapiens OX=9606 GN=CCDC189 PE=2 SV=1
+MLNRKTSHFLGMRVQSELEHLSELRREAGKDRSSVHGSAARTRASVRTQWTTAAAAKADEDPGANLFPPPLPRPRICMWKYLDVHSMHQLEKTTNAEMREVLAELLELGCPEQSLRDAITLDLFCHALIFCRQQGFSLEQTSAACALLQDLHKACIATPLGNVEECYRYFTSVLFCHGVRRPPFSIDLFKEEQLLALEDYVVNTYFRHFKLYKYVFTPQVRLDLSLTYMGLQPPKLWPESETEKEESKEMEEQAVTPQKEELETVAPPEPEPSHIHVLRAYIKTQVNKELEQLQGLVEERLKASEERLSSKLTALERPFQLPPGKGKSKTK
+>DECOY_sp|A1A4V9|CC189_HUMAN Coiled-coil domain-containing protein 189 OS=Homo sapiens OX=9606 GN=CCDC189 PE=2 SV=1
+KTKSKGKGPPLQFPRELATLKSSLREESAKLREEVLGQLQELEKNVQTKIYARLVHIHSPEPEPPAVTELEEKQPTVAQEEMEKSEEKETESEPWLKPPQLGMYTLSLDLRVQPTFVYKYLKFHRFYTNVVYDELALLQEEKFLDISFPPRRVGHCFLVSTFYRYCEEVNGLPTAICAKHLDQLLACAASTQELSFGQQRCFILAHCFLDLTIADRLSQEPCGLELLEALVERMEANTTKELQHMSHVDLYKWMCIRPRPLPPPFLNAGPDEDAKAAAATTWQTRVSARTRAASGHVSSRDKGAERRLESLHELESQVRMGLFHSTKRNLM
+>sp|A0A1B0GUA6|CC195_HUMAN Putative coiled-coil domain-containing protein 195 OS=Homo sapiens OX=9606 GN=CCDC195 PE=5 SV=1
+MEADIQLMRLIQEMRAEIHKLEKENQALRMKLTASSQRASGSGRESGDEREEEAPGQSPATLQGAVSTDAAPAVQEHQGNVMIVRRYSISSSVCSSAVNDPWKSGKSHPKSGILEGQRTLKSLACSPIKKQDMEEKVFATDSLTSNRTSQRASPEHVCGCRDKTKAVSFLLPMDMSSYSKNSSSLKHSPNQATNQLSIIAE
+>DECOY_sp|A0A1B0GUA6|CC195_HUMAN Putative coiled-coil domain-containing protein 195 OS=Homo sapiens OX=9606 GN=CCDC195 PE=5 SV=1
+EAIISLQNTAQNPSHKLSSSNKSYSSMDMPLLFSVAKTKDRCGCVHEPSARQSTRNSTLSDTAFVKEEMDQKKIPSCALSKLTRQGELIGSKPHSKGSKWPDNVASSCVSSSISYRRVIMVNGQHEQVAPAADTSVAGQLTAPSQGPAEEEREDGSERGSGSARQSSATLKMRLAQNEKELKHIEARMEQILRMLQIDAEM
+>sp|Q4VC31|CCD58_HUMAN Coiled-coil domain-containing protein 58 OS=Homo sapiens OX=9606 GN=CCDC58 PE=1 SV=1
+MAAPSGGVNCEEFAEFQELLKVMRTIDDRIVHELNTTVPTASFAGKIDASQTCKQLYESLMAAHASRDRVIKNCIAQTSAVVKNLREEREKNLDDLTLLKQLRKEQTKLKWMQSELNVEEVVNDRSWKVFNERCRIHFKPPKNE
+>DECOY_sp|Q4VC31|CCD58_HUMAN Coiled-coil domain-containing protein 58 OS=Homo sapiens OX=9606 GN=CCDC58 PE=1 SV=1
+ENKPPKFHIRCRENFVKWSRDNVVEEVNLESQMWKLKTQEKRLQKLLTLDDLNKEREERLNKVVASTQAICNKIVRDRSAHAAMLSEYLQKCTQSADIKGAFSATPVTTNLEHVIRDDITRMVKLLEQFEAFEECNVGGSPAAM
+>sp|Q8IWA6|CCD60_HUMAN Coiled-coil domain-containing protein 60 OS=Homo sapiens OX=9606 GN=CCDC60 PE=1 SV=2
+MTKVPATKKLQSSPNSGAVRPFYASENLRQVPDKPMKSIKYMDKEIINLKKDLIRSRFLIQSVKIGRGYFAILREETAKKKKQQQLQKLKEEERNKFQPAEKISEIHYGDTLLSTYDDEKLKTLGARVTRRPFTPIHSCIISPSLTEAHVEPLFRQLCALHWLLEALTIDHTHHTMKPVITCWNPKDPGGSKSTIKKINKDKSMGQKWEHFITAPKTKKFKIPTMRVTNRKPSRRGSTLSLSRASGGSSPQSSMISVNPGSDEPPSVNTQVTSSKDIEDNESSSTKPDEEPLYMNLQKLLEMVREDARRTVTIENGMQRKAPSILSVLKQNKSNSAYKEMQTTLKSSERSSSTSAESHIQPVQKKSKNRTNCDINIHYKSGVCNTMRAKFYSVAQEAGFCLQDKMEILMKRQEERGIQKFRAFVLVSNFQKDIAKMRHHISVVKGDAEEIADHWYFDLLSKLPEDLKNFRPAKKILVKLQKFGENLDLRIRPHVLLKVLQDLRIWELCSPDIAVAIEFVREHIIHMPQEDYISWLQSRINIPIGPYSALR
+>DECOY_sp|Q8IWA6|CCD60_HUMAN Coiled-coil domain-containing protein 60 OS=Homo sapiens OX=9606 GN=CCDC60 PE=1 SV=2
+RLASYPGIPINIRSQLWSIYDEQPMHIIHERVFEIAVAIDPSCLEWIRLDQLVKLLVHPRIRLDLNEGFKQLKVLIKKAPRFNKLDEPLKSLLDFYWHDAIEEADGKVVSIHHRMKAIDKQFNSVLVFARFKQIGREEQRKMLIEMKDQLCFGAEQAVSYFKARMTNCVGSKYHINIDCNTRNKSKKQVPQIHSEASTSSSRESSKLTTQMEKYASNSKNQKLVSLISPAKRQMGNEITVTRRADERVMELLKQLNMYLPEEDPKTSSSENDEIDKSSTVQTNVSPPEDSGPNVSIMSSQPSSGGSARSLSLTSGRRSPKRNTVRMTPIKFKKTKPATIFHEWKQGMSKDKNIKKITSKSGGPDKPNWCTIVPKMTHHTHDITLAELLWHLACLQRFLPEVHAETLSPSIICSHIPTFPRRTVRAGLTKLKEDDYTSLLTDGYHIESIKEAPQFKNREEEKLKQLQQQKKKKATEERLIAFYGRGIKVSQILFRSRILDKKLNIIEKDMYKISKMPKDPVQRLNESAYFPRVAGSNPSSQLKKTAPVKTM
+>sp|Q6P9F0|CCD62_HUMAN Coiled-coil domain-containing protein 62 OS=Homo sapiens OX=9606 GN=CCDC62 PE=1 SV=2
+MNPPAAFLAGRQNIGSEVEISTIEKQRKELQLLIGELKDRDKELNDMVAVHQQQLLSWEEDRQKVLTLEERCSKLEGELHKRTEIIRSLTKKVKALESNQMECQTALQKTQLQLQEMAQKATHSSLLSEDLEARNETLSNTLVELSAQVGQLQAREQALTTMIKLKDKDIIEAVNHIADCSGKFKMLEHALRDAKMAETCIVKEKQDYKQKLKALKIEVNKLKEDLNEKTTENNEQREEIIRLKQEKSCLHDELLFTVEREKRKDELLNIAKSKQERTNSELHNLRQIYVKQQSDLQFLNFNVENSQELIQMYDSKMEESKALDSSRDMCLSDLENNHPKVDIKREKNQKSLFKDQKFEAMLVQQNRSDKSSCDECKEKKQQIDTVFGEKSVITLSSIFTKDLVEKHNLPWSLGGKTQIEPENKITLCKIHTKSPKCHGTGVQNEGKQPSETPTLSDEKQWHDVSVYLGLTNCPSSKHPEKLDVECQDQMERSEISCCQKNEACLGESGMCDSKCCHPSNFIIEAPGHMSDVEWMSIFKPSKMQRIVRLKSGCTCSESICGTQHDSPASELIAIQDSHSLGSSKSALREDETESSSNKKNSPTSLLIYKDAPAFNEKASIVLPSQDDFSPTSKLQRLLAESRQMVTDLELSTLLPISHENLTGSATNKSEVPEESAQKNTFVSY
+>DECOY_sp|Q6P9F0|CCD62_HUMAN Coiled-coil domain-containing protein 62 OS=Homo sapiens OX=9606 GN=CCDC62 PE=1 SV=2
+YSVFTNKQASEEPVESKNTASGTLNEHSIPLLTSLELDTVMQRSEALLRQLKSTPSFDDQSPLVISAKENFAPADKYILLSTPSNKKNSSSETEDERLASKSSGLSHSDQIAILESAPSDHQTGCISESCTCGSKLRVIRQMKSPKFISMWEVDSMHGPAEIIFNSPHCCKSDCMGSEGLCAENKQCCSIESREMQDQCEVDLKEPHKSSPCNTLGLYVSVDHWQKEDSLTPTESPQKGENQVGTGHCKPSKTHIKCLTIKNEPEIQTKGGLSWPLNHKEVLDKTFISSLTIVSKEGFVTDIQQKKEKCEDCSSKDSRNQQVLMAEFKQDKFLSKQNKERKIDVKPHNNELDSLCMDRSSDLAKSEEMKSDYMQILEQSNEVNFNLFQLDSQQKVYIQRLNHLESNTREQKSKAINLLEDKRKEREVTFLLEDHLCSKEQKLRIIEERQENNETTKENLDEKLKNVEIKLAKLKQKYDQKEKVICTEAMKADRLAHELMKFKGSCDAIHNVAEIIDKDKLKIMTTLAQERAQLQGVQASLEVLTNSLTENRAELDESLLSSHTAKQAMEQLQLQTKQLATQCEMQNSELAKVKKTLSRIIETRKHLEGELKSCREELTLVKQRDEEWSLLQQQHVAVMDNLEKDRDKLEGILLQLEKRQKEITSIEVESGINQRGALFAAPPNM
+>sp|A2RUB6|CCD66_HUMAN Coiled-coil domain-containing protein 66 OS=Homo sapiens OX=9606 GN=CCDC66 PE=1 SV=4
+MNLGDGLKLETELLDGKTKLILSPYEHKSKISVKMGNKAKIAKCPLRTKTGHILKSTQDTCIGSEKLLQKKPVGSETSQAKGEKNGMTFSSTKDLCKQCIDKDCLHIQKEISPATPNMQKTRNTVNTSLVGKQKPHKKHITAENMKSSLVCLTQDQLQQILMTVNQGNRSLSLTENGKEAKSQYSLYLNSISNQPKDENIMGLFKKTEMVSSVPAENKSVLNEHQETSKQCEQKIAIENEWKPADIFSTLGERECDRSSLEAKKAQWRKELDEQVALKKKEKEVSEKWNDPWKKSESDKIIWEKHQILDQSRETVLLEHPFSAVKQELQRKWIEELNKQIEDDRQRKIEEKIIYSKGEEHDRWAMHFDSLKSYPGSQSQLFSQSTHKQPEYFCVSPDTQELADVSSVCTPTTGSQVEPSEEEHIAKPIKDVVMANSKKTNFLRSMTALLDPAQIEERDRRRQKQLEHQKAITAQVEEKRRKKQLEEEQRKKEEQEEELRLAQEREEMQKQYEEDILKQKQKEEIMTLKTNELFQTMQRAQELAQRLKQEQRIRELAQKGHDTSRLIKNLGVDTIQMEYNASNISNSRHDSDEISGKMNTYMNSTTSKKDTGVQTDDLNIGIFTNAESHCGSLMERDITNCSSPEISAELIGQFSTKKNKQELTQDKGASLEKENNRCNDQCNQFTRIEKQTKHMKKYPKRPDWNINKPPKRYIPASEKYPKQLQKQREEKKVRRQMELLHLVEKNNPGHLSQNRGISPEIFHSSHQETESKLRWHLVKKEEEPLNIHSFSKERSPSSPVPVVKNRTQQTQNTLHLPLKNSSYERENLISGSNQTELSSGISESSHFIPYVRTNEIYYLDPDAPLSGPSTQDPQYQNSQDCGQKRQLFDSDCVRDPLLNPNMVKNRDRQQAILKGLSELRQGLLQKQKELESSLLPLAENQEESFGSSF
+>DECOY_sp|A2RUB6|CCD66_HUMAN Coiled-coil domain-containing protein 66 OS=Homo sapiens OX=9606 GN=CCDC66 PE=1 SV=4
+FSSGFSEEQNEALPLLSSELEKQKQLLGQRLESLGKLIAQQRDRNKVMNPNLLPDRVCDSDFLQRKQGCDQSNQYQPDQTSPGSLPADPDLYYIENTRVYPIFHSSESIGSSLETQNSGSILNEREYSSNKLPLHLTNQTQQTRNKVVPVPSSPSREKSFSHINLPEEEKKVLHWRLKSETEQHSSHFIEPSIGRNQSLHGPNNKEVLHLLEMQRRVKKEERQKQLQKPYKESAPIYRKPPKNINWDPRKPYKKMHKTQKEIRTFQNCQDNCRNNEKELSAGKDQTLEQKNKKTSFQGILEASIEPSSCNTIDREMLSGCHSEANTFIGINLDDTQVGTDKKSTTSNMYTNMKGSIEDSDHRSNSINSANYEMQITDVGLNKILRSTDHGKQALERIRQEQKLRQALEQARQMTQFLENTKLTMIEEKQKQKLIDEEYQKQMEEREQALRLEEEQEEKKRQEEELQKKRRKEEVQATIAKQHELQKQRRRDREEIQAPDLLATMSRLFNTKKSNAMVVDKIPKAIHEEESPEVQSGTTPTCVSSVDALEQTDPSVCFYEPQKHTSQSFLQSQSGPYSKLSDFHMAWRDHEEGKSYIIKEEIKRQRDDEIQKNLEEIWKRQLEQKVASFPHELLVTERSQDLIQHKEWIIKDSESKKWPDNWKESVEKEKKKLAVQEDLEKRWQAKKAELSSRDCEREGLTSFIDAPKWENEIAIKQECQKSTEQHENLVSKNEAPVSSVMETKKFLGMINEDKPQNSISNLYLSYQSKAEKGNETLSLSRNGQNVTMLIQQLQDQTLCVLSSKMNEATIHKKHPKQKGVLSTNVTNRTKQMNPTAPSIEKQIHLCDKDICQKCLDKTSSFTMGNKEGKAQSTESGVPKKQLLKESGICTDQTSKLIHGTKTRLPCKAIKAKNGMKVSIKSKHEYPSLILKTKGDLLETELKLGDGLNM
+>sp|Q9H2F9|CCD68_HUMAN Coiled-coil domain-containing protein 68 OS=Homo sapiens OX=9606 GN=CCDC68 PE=1 SV=1
+MTTVTVTTEIPPRDKMEDNSALYESTSAHIIEETEYVKKIRTTLQKIRTQMFKDEIRHDSTNHKLDAKHCGNLQQGSDSEMDPSCCSLDLLMKKIKGKDLQLLEMNKENEVLKIKLQASREAGAAALRNVAQRLFENYQTQSEEVRKKQEDSKQLLQVNKLEKEQKLKQHVENLNQVAEKLEEKHSQITELENLVQRMEKEKRTLLERKLSLENKLLQLKSSATYGKSCQDLQREISILQEQISHLQFVIHSQHQNLRSVIQEMEGLKNNLKEQDKRIENLREKVNILEAQNKELKTQVALSSETPRTKVSKAVSTSELKTEGVSPYLMLIRLRK
+>DECOY_sp|Q9H2F9|CCD68_HUMAN Coiled-coil domain-containing protein 68 OS=Homo sapiens OX=9606 GN=CCDC68 PE=1 SV=1
+KRLRILMLYPSVGETKLESTSVAKSVKTRPTESSLAVQTKLEKNQAELINVKERLNEIRKDQEKLNNKLGEMEQIVSRLNQHQSHIVFQLHSIQEQLISIERQLDQCSKGYTASSKLQLLKNELSLKRELLTRKEKEMRQVLNELETIQSHKEELKEAVQNLNEVHQKLKQEKELKNVQLLQKSDEQKKRVEESQTQYNEFLRQAVNRLAAAGAERSAQLKIKLVENEKNMELLQLDKGKIKKMLLDLSCCSPDMESDSGQQLNGCHKADLKHNTSDHRIEDKFMQTRIKQLTTRIKKVYETEEIIHASTSEYLASNDEMKDRPPIETTVTVTTM
+>sp|Q8IV32|CCD71_HUMAN Coiled-coil domain-containing protein 71 OS=Homo sapiens OX=9606 GN=CCDC71 PE=1 SV=3
+MSVVVQHVEEKAVHSWSRISTAGKKALEEALLVFNPMSQDLSATEAQLVAFLQGLRDDGFQPTILRSGDVYGYSSCTANPPSQTKLQARAPNPTATSPPASAPRTAMRLPAGRATLLPMPLSGRLAKASTPALAKHATTNLLLSSLKQSSASHARGAAVGFPTHLYPGVYPAMRLSVVLEALVPLKTPMPCLGAKHKAQSLQLSLADSPLKLRKSSGKGPGNPRPKAPRKTTSKGPKCLTRKGPGAGPRRGSGHQSKTNRATGSPSVRRMKGGSALGTKTAQAKVARTLAKAARAQAKVARTQAKAAKARAKAKAAQVKAKAKAKAAQVKAKAKVMAAWAKAKAKAKAVRAKAKVARTQPRGRGRPKGSAKARTTRKGQKNRPETVGQKRKRAEEAKDLPPKKRTRLGPRSPKAWLGPGTAKLLKFRAIKVDRRSSDDEVRQRAQRILRVNLSPVIRLQPLLPYSAV
+>DECOY_sp|Q8IV32|CCD71_HUMAN Coiled-coil domain-containing protein 71 OS=Homo sapiens OX=9606 GN=CCDC71 PE=1 SV=3
+VASYPLLPQLRIVPSLNVRLIRQARQRVEDDSSRRDVKIARFKLLKATGPGLWAKPSRPGLRTRKKPPLDKAEEARKRKQGVTEPRNKQGKRTTRAKASGKPRGRGRPQTRAVKAKARVAKAKAKAKAWAAMVKAKAKVQAAKAKAKAKVQAAKAKARAKAAKAQTRAVKAQARAAKALTRAVKAQATKTGLASGGKMRRVSPSGTARNTKSQHGSGRRPGAGPGKRTLCKPGKSTTKRPAKPRPNGPGKGSSKRLKLPSDALSLQLSQAKHKAGLCPMPTKLPVLAELVVSLRMAPYVGPYLHTPFGVAAGRAHSASSQKLSSLLLNTTAHKALAPTSAKALRGSLPMPLLTARGAPLRMATRPASAPPSTATPNPARAQLKTQSPPNATCSSYGYVDGSRLITPQFGDDRLGQLFAVLQAETASLDQSMPNFVLLAEELAKKGATSIRSWSHVAKEEVHQVVVSM
+>sp|Q86UT8|CCD84_HUMAN Coiled-coil domain-containing protein 84 OS=Homo sapiens OX=9606 GN=CCDC84 PE=1 SV=1
+MAPAQRCPLCRQTFFCGRGHVYSRKHQRQLKEALERLLPQVEAARKAIRAAQVERYVPEHERCCWCLCCGCEVREHLSHGNLTVLYGGLLEHLASPEHKKATNKFWWENKAEVQMKEKFLVTPQDYARFKKSMVKGLDSYEEKEDKVIKEMAAQIREVEQSRQEVVRSVLEPQAVPDPEEGSSAPRSWKGMNSQVASSLQQPSNLDLPPAPELDWMETGPSLTFIGHQDIPGVGNIHSGATPPWMIQDEEYIAGNQEIGPSYEEFLKEKEKQKLKKLPPDRVGANFDHSSRTSAGWLPSFGRVWNNGRRWQSRHQFKTEAAAMKKQSHTEKS
+>DECOY_sp|Q86UT8|CCD84_HUMAN Coiled-coil domain-containing protein 84 OS=Homo sapiens OX=9606 GN=CCDC84 PE=1 SV=1
+SKETHSQKKMAAAETKFQHRSQWRRGNNWVRGFSPLWGASTRSSHDFNAGVRDPPLKKLKQKEKEKLFEEYSPGIEQNGAIYEEDQIMWPPTAGSHINGVGPIDQHGIFTLSPGTEMWDLEPAPPLDLNSPQQLSSAVQSNMGKWSRPASSGEEPDPVAQPELVSRVVEQRSQEVERIQAAMEKIVKDEKEEYSDLGKVMSKKFRAYDQPTVLFKEKMQVEAKNEWWFKNTAKKHEPSALHELLGGYLVTLNGHSLHERVECGCCLCWCCREHEPVYREVQAARIAKRAAEVQPLLRELAEKLQRQHKRSYVHGRGCFFTQRCLPCRQAPAM
+>sp|Q9NVE4|CCD87_HUMAN Coiled-coil domain-containing protein 87 OS=Homo sapiens OX=9606 GN=CCDC87 PE=1 SV=2
+MMEPPKPEPELQRFYHRLLRPLSLFPTRTTSPEPQKRPPQEGRILQSFPLAKLTVASLCSQVAKLLAGSGIAAGVPPEARLRLIKVILDELKCSWREPPAELSLSHKNNQKLRKRLEAYVLLSSEQLFLRYLHLLVTMSTPRGVFTESATLTRLAASLARDCTLFLTSPNVYRGLLADFQALLRAEQASGDVDKLHPVCPAGTFKLCPIPWPHSTGFAQVQCSNLNLNYLIQLSRPPEFLNEPGRMDPVKELKSIPRLKRKKPFHWLPSIGKKREIDISSSQMVSLPSYPVAPTSRASPSPFCPELRRGQSMPSLREGWRLADELGLPPLPSRPLTPLVLATESKPELTGLIVAEDLKQLIKKMKLEGTRYPPLDSGLPPLLGVVTRHPAAGHRLEELEKMLRNLQEEEASGQWDPQPPKSFPLHPQPVTITLKLRNEVVVQAAAVRVSDRNFLDSFHIEGAGALYNHLAGELDPKAIEKMDIDNFVGSTTREVYKELMSHVSSDHLHFDQGPLVEPAADKDWSTFLSSAFLRQEKQPQIINPELVGLYSQRANTLQSNTKKMPSLPSLQATKSWEKWSNKASLMNSWKTTLSVDDYFKYLTNHETDFLHVIFQMHEEEVPVEIVAPARESLEIQHPPPLLEDEEPDFVPGEWDWNTVLEHRLGAGKTPHLGEPHKILSLQKHLEQLWSVLEVPDKDQVDMTIKYSSKARLRQLPSLVNAWERALKPIQLREALLARLEWFEGQASNPNRFFKKTNLSSSHFLEENQVRSHLHRKLNLMESSLVSLLEEIELIFGEPVIFKGRPYLDKMKSDKVEMLYWLQQQRRVRHLVSALKDPHQSTLFRSSAASL
+>DECOY_sp|Q9NVE4|CCD87_HUMAN Coiled-coil domain-containing protein 87 OS=Homo sapiens OX=9606 GN=CCDC87 PE=1 SV=2
+LSAASSRFLTSQHPDKLASVLHRVRRQQQLWYLMEVKDSKMKDLYPRGKFIVPEGFILEIEELLSVLSSEMLNLKRHLHSRVQNEELFHSSSLNTKKFFRNPNSAQGEFWELRALLAERLQIPKLAREWANVLSPLQRLRAKSSYKITMDVQDKDPVELVSWLQELHKQLSLIKHPEGLHPTKGAGLRHELVTNWDWEGPVFDPEEDELLPPPHQIELSERAPAVIEVPVEEEHMQFIVHLFDTEHNTLYKFYDDVSLTTKWSNMLSAKNSWKEWSKTAQLSPLSPMKKTNSQLTNARQSYLGVLEPNIIQPQKEQRLFASSLFTSWDKDAAPEVLPGQDFHLHDSSVHSMLEKYVERTTSGVFNDIDMKEIAKPDLEGALHNYLAGAGEIHFSDLFNRDSVRVAAAQVVVENRLKLTITVPQPHLPFSKPPQPDWQGSAEEEQLNRLMKELEELRHGAAPHRTVVGLLPPLGSDLPPYRTGELKMKKILQKLDEAVILGTLEPKSETALVLPTLPRSPLPPLGLEDALRWGERLSPMSQGRRLEPCFPSPSARSTPAVPYSPLSVMQSSSIDIERKKGISPLWHFPKKRKLRPISKLEKVPDMRGPENLFEPPRSLQILYNLNLNSCQVQAFGTSHPWPIPCLKFTGAPCVPHLKDVDGSAQEARLLAQFDALLGRYVNPSTLFLTCDRALSAALRTLTASETFVGRPTSMTVLLHLYRLFLQESSLLVYAELRKRLKQNNKHSLSLEAPPERWSCKLEDLIVKILRLRAEPPVGAAIGSGALLKAVQSCLSAVTLKALPFSQLIRGEQPPRKQPEPSTTRTPFLSLPRLLRHYFRQLEPEPKPPEMM
+>sp|Q2M329|CCD96_HUMAN Coiled-coil domain-containing protein 96 OS=Homo sapiens OX=9606 GN=CCDC96 PE=2 SV=2
+MDVSSEHTKDPGGEGGDGESLAARPSKIKASSGPPTSPEPGELESEPEEEEEEQAASQGGTAADEQAEAPKGLTAAEAAGEEGPGEPGRPAEPQPEPEEPAEVGAEEPAQPEPGAGPEELEAEAGAEELEQAAEGKEVRFQASLPLTRIDEEEAAAAPEAETERVEGEEEDKEETQRDGAESKERDGEGRPAKSQEEGKRLYGRDEFEDLEWSEEVQKLQEQQLRSDLLDQYRSLLVERNRSQRYNLYLQHKIFEALRRKKGLEAAEVADRGAEAEAPEKEQAYLRHLGMLEELKKQQADDLQWYHQELGQLKRQCQEKLTRVEKEWRRFQALKKQVVMQAMGSCRMRGGRQAALREVEQIQALEDKKEKEMSAVRLENIQLKQSLVHFETRMRTQEDLTQGLLLIDFEQLKIENQTFNEKIEERNEELLKLRSKVTNSVQVITHVKEKLHFMDMENACKKTQLAEIEAQAALGRDILTKTKQAREGLRTDNIRLNQKCGLLGKDSLLRDLEEKVDKTELLHRRLESLKRHHASLTLSCRGVRQKIREAKAFLPS
+>DECOY_sp|Q2M329|CCD96_HUMAN Coiled-coil domain-containing protein 96 OS=Homo sapiens OX=9606 GN=CCDC96 PE=2 SV=2
+SPLFAKAERIKQRVGRCSLTLSAHHRKLSELRRHLLETKDVKEELDRLLSDKGLLGCKQNLRINDTRLGERAQKTKTLIDRGLAAQAEIEALQTKKCANEMDMFHLKEKVHTIVQVSNTVKSRLKLLEENREEIKENFTQNEIKLQEFDILLLGQTLDEQTRMRTEFHVLSQKLQINELRVASMEKEKKDELAQIQEVERLAAQRGGRMRCSGMAQMVVQKKLAQFRRWEKEVRTLKEQCQRKLQGLEQHYWQLDDAQQKKLEELMGLHRLYAQEKEPAEAEAGRDAVEAAELGKKRRLAEFIKHQLYLNYRQSRNREVLLSRYQDLLDSRLQQEQLKQVEESWELDEFEDRGYLRKGEEQSKAPRGEGDREKSEAGDRQTEEKDEEEGEVRETEAEPAAAAEEEDIRTLPLSAQFRVEKGEAAQELEEAGAEAELEEPGAGPEPQAPEEAGVEAPEEPEPQPEAPRGPEGPGEEGAAEAATLGKPAEAQEDAATGGQSAAQEEEEEEPESELEGPEPSTPPGSSAKIKSPRAALSEGDGGEGGPDKTHESSVDM
+>sp|O95273|CCDB1_HUMAN Cyclin-D1-binding protein 1 OS=Homo sapiens OX=9606 GN=CCNDBP1 PE=1 SV=2
+MASATAPAAAVPTLASPLEQLRHLAEELRLLLPRVRVGEAQETTEEFNREMFWRRLNEAAVTVSREATTLTIVFSQLPLPSPQETQKFCEQVHAAIKAFIAVYYLLPKDQGITLRKLVRGATLDIVDGMAQLMEVLSVTPTQSPENNDLISYNSVWVACQQMPQIPRDNKAAALLMLTKNVDFVKDAHEEMEQAVEECDPYSGLLNDTEENNSDNHNHEDDVLGFPSNQDLYWSEDDQELIIPCLALVRASKACLKKIRMLVAENGKKDQVAQLDDIVDISDEISPSVDDLALSIYPPMCHLTVRINSAKLVSVLKKALEITKASHVTPQPEDSWIPLLINAIDHCMNRIKELTQSELEL
+>DECOY_sp|O95273|CCDB1_HUMAN Cyclin-D1-binding protein 1 OS=Homo sapiens OX=9606 GN=CCNDBP1 PE=1 SV=2
+LELESQTLEKIRNMCHDIANILLPIWSDEPQPTVHSAKTIELAKKLVSVLKASNIRVTLHCMPPYISLALDDVSPSIEDSIDVIDDLQAVQDKKGNEAVLMRIKKLCAKSARVLALCPIILEQDDESWYLDQNSPFGLVDDEHNHNDSNNEETDNLLGSYPDCEEVAQEMEEHADKVFDVNKTLMLLAAAKNDRPIQPMQQCAVWVSNYSILDNNEPSQTPTVSLVEMLQAMGDVIDLTAGRVLKRLTIGQDKPLLYYVAIFAKIAAHVQECFKQTEQPSPLPLQSFVITLTTAERSVTVAAENLRRWFMERNFEETTEQAEGVRVRPLLLRLEEALHRLQELPSALTPVAAAPATASAM
+>sp|I3L3R5|CCER2_HUMAN Coiled-coil domain-containing glutamate-rich protein 2 OS=Homo sapiens OX=9606 GN=CCER2 PE=2 SV=1
+MPPRGPASELLLLRLLLLGAATAAPLAPRPSKEELTRCLAEVVTEVLTVGQVQRGPCTALLHKELCGTEPHGCASTEEKGLLLGDFKKQEAGKMRSSQEVRDEEEEEVAERTHKSEVQEQAIRMQGHRQLHQEEDEEEEKEERKRGPMETFEDLWQRHLENGGDLQKRVAEKASDKETAQFQAEEKGVRVLGGDRSLWQGAERGGGERREDLPHHHHHHHQPEAEPRQEKEEASEREEKEVEQLEHLRDELKKVTETLGEQLRREG
+>DECOY_sp|I3L3R5|CCER2_HUMAN Coiled-coil domain-containing glutamate-rich protein 2 OS=Homo sapiens OX=9606 GN=CCER2 PE=2 SV=1
+GERRLQEGLTETVKKLEDRLHELQEVEKEERESAEEKEQRPEAEPQHHHHHHHPLDERREGGGREAGQWLSRDGGLVRVGKEEAQFQATEKDSAKEAVRKQLDGGNELHRQWLDEFTEMPGRKREEKEEEEDEEQHLQRHGQMRIAQEQVESKHTREAVEEEEEDRVEQSSRMKGAEQKKFDGLLLGKEETSACGHPETGCLEKHLLATCPGRQVQGVTLVETVVEALCRTLEEKSPRPALPAATAAGLLLLRLLLLESAPGRPPM
+>sp|P78556|CCL20_HUMAN C-C motif chemokine 20 OS=Homo sapiens OX=9606 GN=CCL20 PE=1 SV=1
+MCCTKSLLLAALMSVLLLHLCGESEAASNFDCCLGYTDRILHPKFIVGFTRQLANEGCDINAIIFHTKKKLSVCANPKQTWVKYIVRLLSKKVKNM
+>DECOY_sp|P78556|CCL20_HUMAN C-C motif chemokine 20 OS=Homo sapiens OX=9606 GN=CCL20 PE=1 SV=1
+MNKVKKSLLRVIYKVWTQKPNACVSLKKKTHFIIANIDCGENALQRTFGVIFKPHLIRDTYGLCCDFNSAAESEGCLHLLLVSMLAALLLSKTCCM
+>sp|O00626|CCL22_HUMAN C-C motif chemokine 22 OS=Homo sapiens OX=9606 GN=CCL22 PE=1 SV=2
+MDRLQTALLVVLVLLAVALQATEAGPYGANMEDSVCCRDYVRYRLPLRVVKHFYWTSDSCPRPGVVLLTFRDKEICADPRVPWVKMILNKLSQ
+>DECOY_sp|O00626|CCL22_HUMAN C-C motif chemokine 22 OS=Homo sapiens OX=9606 GN=CCL22 PE=1 SV=2
+QSLKNLIMKVWPVRPDACIEKDRFTLLVVGPRPCSDSTWYFHKVVRLPLRYRVYDRCCVSDEMNAGYPGAETAQLAVALLVLVVLLATQLRDM
+>sp|Q9Y258|CCL26_HUMAN C-C motif chemokine 26 OS=Homo sapiens OX=9606 GN=CCL26 PE=1 SV=1
+MMGLSLASAVLLASLLSLHLGTATRGSDISKTCCFQYSHKPLPWTWVRSYEFTSNSCSQRAVIFTTKRGKKVCTHPRKKWVQKYISLLKTPKQL
+>DECOY_sp|Q9Y258|CCL26_HUMAN C-C motif chemokine 26 OS=Homo sapiens OX=9606 GN=CCL26 PE=1 SV=1
+LQKPTKLLSIYKQVWKKRPHTCVKKGRKTTFIVARQSCSNSTFEYSRVWTWPLPKHSYQFCCTKSIDSGRTATGLHLSLLSALLVASALSLGMM
+>sp|Q9NRJ3|CCL28_HUMAN C-C motif chemokine 28 OS=Homo sapiens OX=9606 GN=CCL28 PE=1 SV=1
+MQQRGLAIVALAVCAALHASEAILPIASSCCTEVSHHISRRLLERVNMCRIQRADGDCDLAAVILHVKRRRICVSPHNHTVKQWMKVQAAKKNGKGNVCHRKKHHGKRNSNRAHQGKHETYGHKTPY
+>DECOY_sp|Q9NRJ3|CCL28_HUMAN C-C motif chemokine 28 OS=Homo sapiens OX=9606 GN=CCL28 PE=1 SV=1
+YPTKHGYTEHKGQHARNSNRKGHHKKRHCVNGKGNKKAAQVKMWQKVTHNHPSVCIRRRKVHLIVAALDCDGDARQIRCMNVRELLRRSIHHSVETCCSSAIPLIAESAHLAACVALAVIALGRQQM
+>sp|P13236|CCL4_HUMAN C-C motif chemokine 4 OS=Homo sapiens OX=9606 GN=CCL4 PE=1 SV=1
+MKLCVTVLSLLMLVAAFCSPALSAPMGSDPPTACCFSYTARKLPRNFVVDYYETSSLCSQPAVVFQTKRSKQVCADPSESWVQEYVYDLELN
+>DECOY_sp|P13236|CCL4_HUMAN C-C motif chemokine 4 OS=Homo sapiens OX=9606 GN=CCL4 PE=1 SV=1
+NLELDYVYEQVWSESPDACVQKSRKTQFVVAPQSCLSSTEYYDVVFNRPLKRATYSFCCATPPDSGMPASLAPSCFAAVLMLLSLVTVCLKM
+>sp|Q9NUG4|CCM2L_HUMAN Cerebral cavernous malformations 2 protein-like OS=Homo sapiens OX=9606 GN=CCM2L PE=2 SV=3
+MEYEVKKGKKGFVSPIRRLVFPKAGRRAACRSSVSRRPLHSMPLYPPDYLIDPQILLCDYLEKEVKFLGHLTWVTSSLNPSSRDELLQLLDTARQLKELPLKTTAEQDSILSLSARCLLLTWRDNEELILRIPTHEIAAASYLQDDALHLLVLKTGLGVDPVPAGVDASPGGAGRDPGPPGGAPEKRRVGTAERRHTICSLDWRMGWGGGAAEARAGGGGGGSLERQRAGARASGSWERRQTFSGSWERRHGGGGGGGGAGKPGGSWERRQAGSGGGGSWERRHPGPNPLDPQDPSPDAYCNLVILAVANRDAAEESCALICQVFQIIYGDQSIECVDRAGYHYTSTPERPWLCSRSESCHTDGTYAYDADFSCCSSFNGSQDTFEACYSGTSTPSFHGSHCSGSDHSSLGLEQLQDYMVTLRSKLGPLEIQQFAMLLREYRLGLPIQDYCTGLLKLYGDRRKFLLLGMRPFIPDQDIGYFEGFLEGVGIREGGILTDSFGRIKRSMSSTSASAVRSYDGAAQRPEAQAFHRLLADITHDIEALAPDDDDDDEDEPRGSRGGSDAAEDNYL
+>DECOY_sp|Q9NUG4|CCM2L_HUMAN Cerebral cavernous malformations 2 protein-like OS=Homo sapiens OX=9606 GN=CCM2L PE=2 SV=3
+LYNDEAADSGGRSGRPEDEDDDDDDPALAEIDHTIDALLRHFAQAEPRQAAGDYSRVASASTSSMSRKIRGFSDTLIGGERIGVGELFGEFYGIDQDPIFPRMGLLLFKRRDGYLKLLGTCYDQIPLGLRYERLLMAFQQIELPGLKSRLTVMYDQLQELGLSSHDSGSCHSGHFSPTSTGSYCAEFTDQSGNFSSCCSFDADYAYTGDTHCSESRSCLWPREPTSTYHYGARDVCEISQDGYIIQFVQCILACSEEAADRNAVALIVLNCYADPSPDQPDLPNPGPHRREWSGGGGSGAQRREWSGGPKGAGGGGGGGGHRREWSGSFTQRREWSGSARAGARQRELSGGGGGGARAEAAGGGWGMRWDLSCITHRREATGVRRKEPAGGPPGPDRGAGGPSADVGAPVPDVGLGTKLVLLHLADDQLYSAAAIEHTPIRLILEENDRWTLLLCRASLSLISDQEATTKLPLEKLQRATDLLQLLEDRSSPNLSSTVWTLHGLFKVEKELYDCLLIQPDILYDPPYLPMSHLPRRSVSSRCAARRGAKPFVLRRIPSVFGKKGKKVEYEM
+>sp|P78396|CCNA1_HUMAN Cyclin-A1 OS=Homo sapiens OX=9606 GN=CCNA1 PE=1 SV=1
+METGFPAIMYPGSFIGGWGEEYLSWEGPGLPDFVFQQQPVESEAMHCSNPKSGVVLATVARGPDACQILTRAPLGQDPPQRTVLGLLTANGQYRRTCGQGITRIRCYSGSENAFPPAGKKALPDCGVQEPPKQGFDIYMDELEQGDRDSCSVREGMAFEDVYEVDTGTLKSDLHFLLDFNTVSPMLVDSSLLSQSEDISSLGTDVINVTEYAEEIYQYLREAEIRHRPKAHYMKKQPDITEGMRTILVDWLVEVGEEYKLRAETLYLAVNFLDRFLSCMSVLRGKLQLVGTAAMLLASKYEEIYPPEVDEFVYITDDTYTKRQLLKMEHLLLKVLAFDLTVPTTNQFLLQYLRRQGVCVRTENLAKYVAELSLLEADPFLKYLPSLIAAAAFCLANYTVNKHFWPETLAAFTGYSLSEIVPCLSELHKAYLDIPHRPQQAIREKYKASKYLCVSLMEPPAVLLLQ
+>DECOY_sp|P78396|CCNA1_HUMAN Cyclin-A1 OS=Homo sapiens OX=9606 GN=CCNA1 PE=1 SV=1
+QLLLVAPPEMLSVCLYKSAKYKERIAQQPRHPIDLYAKHLESLCPVIESLSYGTFAALTEPWFHKNVTYNALCFAAAAILSPLYKLFPDAELLSLEAVYKALNETRVCVGQRRLYQLLFQNTTPVTLDFALVKLLLHEMKLLQRKTYTDDTIYVFEDVEPPYIEEYKSALLMAATGVLQLKGRLVSMCSLFRDLFNVALYLTEARLKYEEGVEVLWDVLITRMGETIDPQKKMYHAKPRHRIEAERLYQYIEEAYETVNIVDTGLSSIDESQSLLSSDVLMPSVTNFDLLFHLDSKLTGTDVEYVDEFAMGERVSCSDRDGQELEDMYIDFGQKPPEQVGCDPLAKKGAPPFANESGSYCRIRTIGQGCTRRYQGNATLLGLVTRQPPDQGLPARTLIQCADPGRAVTALVVGSKPNSCHMAESEVPQQQFVFDPLGPGEWSLYEEGWGGIFSGPYMIAPFGTEM
+>sp|P20248|CCNA2_HUMAN Cyclin-A2 OS=Homo sapiens OX=9606 GN=CCNA2 PE=1 SV=2
+MLGNSAPGPATREAGSALLALQQTALQEDQENINPEKAAPVQQPRTRAALAVLKSGNPRGLAQQQRPKTRRVAPLKDLPVNDEHVTVPPWKANSKQPAFTIHVDEAEKEAQKKPAESQKIEREDALAFNSAISLPGPRKPLVPLDYPMDGSFESPHTMDMSIILEDEKPVSVNEVPDYHEDIHTYLREMEVKCKPKVGYMKKQPDITNSMRAILVDWLVEVGEEYKLQNETLHLAVNYIDRFLSSMSVLRGKLQLVGTAAMLLASKFEEIYPPEVAEFVYITDDTYTKKQVLRMEHLVLKVLTFDLAAPTVNQFLTQYFLHQQPANCKVESLAMFLGELSLIDADPYLKYLPSVIAGAAFHLALYTVTGQSWPESLIRKTGYTLESLKPCLMDLHQTYLKAPQHAQQSIREKYKNSKYHGVSLLNPPETLNL
+>DECOY_sp|P20248|CCNA2_HUMAN Cyclin-A2 OS=Homo sapiens OX=9606 GN=CCNA2 PE=1 SV=2
+LNLTEPPNLLSVGHYKSNKYKERISQQAHQPAKLYTQHLDMLCPKLSELTYGTKRILSEPWSQGTVTYLALHFAAGAIVSPLYKLYPDADILSLEGLFMALSEVKCNAPQQHLFYQTLFQNVTPAALDFTLVKLVLHEMRLVQKKTYTDDTIYVFEAVEPPYIEEFKSALLMAATGVLQLKGRLVSMSSLFRDIYNVALHLTENQLKYEEGVEVLWDVLIARMSNTIDPQKKMYGVKPKCKVEMERLYTHIDEHYDPVENVSVPKEDELIISMDMTHPSEFSGDMPYDLPVLPKRPGPLSIASNFALADEREIKQSEAPKKQAEKEAEDVHITFAPQKSNAKWPPVTVHEDNVPLDKLPAVRRTKPRQQQALGRPNGSKLVALAARTRPQQVPAAKEPNINEQDEQLATQQLALLASGAERTAPGPASNGLM
+>sp|Q8IV13|CCNJL_HUMAN Cyclin-J-like protein OS=Homo sapiens OX=9606 GN=CCNJL PE=2 SV=3
+MMDEPWWEGRVASDVHCTLREKELKLPTFRAHSPLLKSRRFFVDILTLLSSHCQLCPAARHLAVYLLDHFMDRYNVTTSKQLYTVAVSCLLLANGVSLLSPRLKCSGMISAHCNLHLPGSSNSPASAPHPPPTPPQVAETTGKFEDREDHVPKLEQINSTRILSSQNFTLTKKELLSTELLLLEAFSWNLCLPTPAHFLDYYLLASVSQKDHHCHTWPTTCPRKTKECLKEYAHYFLEVTLQDHIFYKFQPSVVAAACVGASRICLQLSPYWTRDLQRISSYSLEHLSTCIEILLVVYDNVLKDAVAVKSQALAMVPGTPPTPTQVLFQPPAYPALGQPATTLAQFQTPVQDLCLAYRDSLQAHRSGSLLSGSTGSSLHTPYQPLQPLDMCPVPVPASLSMHMAIAAEPRHCLATTYGSSYFSGSHMFPTGCFDR
+>DECOY_sp|Q8IV13|CCNJL_HUMAN Cyclin-J-like protein OS=Homo sapiens OX=9606 GN=CCNJL PE=2 SV=3
+RDFCGTPFMHSGSFYSSGYTTALCHRPEAAIAMHMSLSAPVPVPCMDLPQLPQYPTHLSSGTSGSLLSGSRHAQLSDRYALCLDQVPTQFQALTTAPQGLAPYAPPQFLVQTPTPPTGPVMALAQSKVAVADKLVNDYVVLLIEICTSLHELSYSSIRQLDRTWYPSLQLCIRSAGVCAAAVVSPQFKYFIHDQLTVELFYHAYEKLCEKTKRPCTTPWTHCHHDKQSVSALLYYDLFHAPTPLCLNWSFAELLLLETSLLEKKTLTFNQSSLIRTSNIQELKPVHDERDEFKGTTEAVQPPTPPPHPASAPSNSSGPLHLNCHASIMGSCKLRPSLLSVGNALLLCSVAVTYLQKSTTVNYRDMFHDLLYVALHRAAPCLQCHSSLLTLIDVFFRRSKLLPSHARFTPLKLEKERLTCHVDSAVRGEWWPEDMM
+>sp|Q8N1B3|CCNQ_HUMAN Cyclin-Q OS=Homo sapiens OX=9606 GN=CCNQ PE=1 SV=2
+MEAPEGGGGGPAARGPEGQPAPEARVHFRVARFIMEAGVKLGMRSIPIATACTIYHKFFCETNLDAYDPYLIAMSSIYLAGKVEEQHLRTRDIINVSNRYFNPSGEPLELDSRFWELRDSIVQCELLMLRVLRFQVSFQHPHKYLLHYLVSLQNWLNRHSWQRTPVAVTAWALLRDSYHGALCLRFQAQHIAVAVLYLALQVYGVEVPAEVEAEKPWWQVFNDDLTKPIIDNIVSDLIQIYTMDTEIP
+>DECOY_sp|Q8N1B3|CCNQ_HUMAN Cyclin-Q OS=Homo sapiens OX=9606 GN=CCNQ PE=1 SV=2
+PIETDMTYIQILDSVINDIIPKTLDDNFVQWWPKEAEVEAPVEVGYVQLALYLVAVAIHQAQFRLCLAGHYSDRLLAWATVAVPTRQWSHRNLWNQLSVLYHLLYKHPHQFSVQFRLVRLMLLECQVISDRLEWFRSDLELPEGSPNFYRNSVNIIDRTRLHQEEVKGALYISSMAILYPDYADLNTECFFKHYITCATAIPISRMGLKVGAEMIFRAVRFHVRAEPAPQGEPGRAAPGGGGGEPAEM
+>sp|P51677|CCR3_HUMAN C-C chemokine receptor type 3 OS=Homo sapiens OX=9606 GN=CCR3 PE=1 SV=1
+MTTSLDTVETFGTTSYYDDVGLLCEKADTRALMAQFVPPLYSLVFTVGLLGNVVVVMILIKYRRLRIMTNIYLLNLAISDLLFLVTLPFWIHYVRGHNWVFGHGMCKLLSGFYHTGLYSEIFFIILLTIDRYLAIVHAVFALRARTVTFGVITSIVTWGLAVLAALPEFIFYETEELFEETLCSALYPEDTVYSWRHFHTLRMTIFCLVLPLLVMAICYTGIIKTLLRCPSKKKYKAIRLIFVIMAVFFIFWTPYNVAILLSSYQSILFGNDCERSKHLDLVMLVTEVIAYSHCCMNPVIYAFVGERFRKYLRHFFHRHLLMHLGRYIPFLPSEKLERTSSVSPSTAEPELSIVF
+>DECOY_sp|P51677|CCR3_HUMAN C-C chemokine receptor type 3 OS=Homo sapiens OX=9606 GN=CCR3 PE=1 SV=1
+FVISLEPEATSPSVSSTRELKESPLFPIYRGLHMLLHRHFFHRLYKRFREGVFAYIVPNMCCHSYAIVETVLMVLDLHKSRECDNGFLISQYSSLLIAVNYPTWFIFFVAMIVFILRIAKYKKKSPCRLLTKIIGTYCIAMVLLPLVLCFITMRLTHFHRWSYVTDEPYLASCLTEEFLEETEYFIFEPLAALVALGWTVISTIVGFTVTRARLAFVAHVIALYRDITLLIIFFIESYLGTHYFGSLLKCMGHGFVWNHGRVYHIWFPLTVLFLLDSIALNLLYINTMIRLRRYKILIMVVVVNGLLGVTFVLSYLPPVFQAMLARTDAKECLLGVDDYYSTTGFTEVTDLSTTM
+>sp|P51685|CCR8_HUMAN C-C chemokine receptor type 8 OS=Homo sapiens OX=9606 GN=CCR8 PE=1 SV=1
+MDYTLDLSVTTVTDYYYPDIFSSPCDAELIQTNGKLLLAVFYCLLFVFSLLGNSLVILVLVVCKKLRSITDVYLLNLALSDLLFVFSFPFQTYYLLDQWVFGTVMCKVVSGFYYIGFYSSMFFITLMSVDRYLAVVHAVYALKVRTIRMGTTLCLAVWLTAIMATIPLLVFYQVASEDGVLQCYSFYNQQTLKWKIFTNFKMNILGLLIPFTIFMFCYIKILHQLKRCQNHNKTKAIRLVLIVVIASLLFWVPFNVVLFLTSLHSMHILDGCSISQQLTYATHVTEIISFTHCCVNPVIYAFVGEKFKKHLSEIFQKSCSQIFNYLGRQMPRESCEKSSSCQQHSSRSSSVDYIL
+>DECOY_sp|P51685|CCR8_HUMAN C-C chemokine receptor type 8 OS=Homo sapiens OX=9606 GN=CCR8 PE=1 SV=1
+LIYDVSSSRSSHQQCSSSKECSERPMQRGLYNFIQSCSKQFIESLHKKFKEGVFAYIVPNVCCHTFSIIETVHTAYTLQQSISCGDLIHMSHLSTLFLVVNFPVWFLLSAIVVILVLRIAKTKNHNQCRKLQHLIKIYCFMFITFPILLGLINMKFNTFIKWKLTQQNYFSYCQLVGDESAVQYFVLLPITAMIATLWVALCLTTGMRITRVKLAYVAHVVALYRDVSMLTIFFMSSYFGIYYFGSVVKCMVTGFVWQDLLYYTQFPFSFVFLLDSLALNLLYVDTISRLKKCVVLVLIVLSNGLLSFVFLLCYFVALLLKGNTQILEADCPSSFIDPYYYDTVTTVSLDLTYDM
+>sp|Q9C0I3|CCSE1_HUMAN Serine-rich coiled-coil domain-containing protein 1 OS=Homo sapiens OX=9606 GN=CCSER1 PE=2 SV=2
+MGDSGSRRSTLVSRLPIFRRSINRRHDSLPSSPSSSNTVGVHSSSPSSTNSSSGSTGKRRSIFRTPSISFHHKKGSEPKQEPTNQNLSISNGAQPGHSNMQKLSLEEHIKTRGRHSVGFSSSRNKKITRSLTEDFEREKEHSTNKNVFINCLSSGKSEGDDSGFTEDQTRRSVKQSTRKLLPKSFSSHYKFSKPVLQSQSISLVQQSEFSLEVTQYQEREPVLVRASPSCSVDVTERAGSSLQSPLLSADLTTAQTPSEFLALTEDSVSEMDAFSKSGSMASHCDNFGHNDSTSQMSLNSAAVTKTTTELTGTVPCAIMSPGKYRLEGQCSTESNSLPETSAANQKEVLLQIAELPATSVSHSESNLPADSEREENIGLQNGETMLGTNSPRKLGFYEQHKAIAEHVKGIHPISDSKIIPTSGDHHIFNKTSHGYEANPAKVLASSLSPFREGRFIERRLRSSSEGTAGSSRMILKPKDGNIEEVNSLRKQRAGSSSSKMNSLDVLNNLGSCELDEDDLMLDLEFLEEQSLHPSVCREDSYHSVVSCAAVVLTPMEPMIEMKKREEPEFPEPSKQNLSLKLTKDVDQEARCSHISRMPNSPSADWPLQGVEENGGIDSLPFRLMLQDCTAVKTLLLKMKRVLQESADMSPASSTTSLPVSPLTEEPVPFKDIMKDECSMLKLQLKEKDELISQLQEELGKVRHLQKAFASRVDKSTQTELLCYDGLNLKRLETVQGGREATYRNRIVSQNLSTRDRKAIHTPTEDRFRYSAADQTSPYKNKTCQLPSLCLSNFLKDKELAEVIKHSRGTYETLTSDVTQNLRATVGQSSLKPTAKTEGLSTFLEKPKDQVATARQHSTFTGRFGQPPRGPISLHMYSRKNVFLHHNLHSTELQTLGQQDG
+>DECOY_sp|Q9C0I3|CCSE1_HUMAN Serine-rich coiled-coil domain-containing protein 1 OS=Homo sapiens OX=9606 GN=CCSER1 PE=2 SV=2
+GDQQGLTQLETSHLNHHLFVNKRSYMHLSIPGRPPQGFRGTFTSHQRATAVQDKPKELFTSLGETKATPKLSSQGVTARLNQTVDSTLTEYTGRSHKIVEALEKDKLFNSLCLSPLQCTKNKYPSTQDAASYRFRDETPTHIAKRDRTSLNQSVIRNRYTAERGGQVTELRKLNLGDYCLLETQTSKDVRSAFAKQLHRVKGLEEQLQSILEDKEKLQLKLMSCEDKMIDKFPVPEETLPSVPLSTTSSAPSMDASEQLVRKMKLLLTKVATCDQLMLRFPLSDIGGNEEVGQLPWDASPSNPMRSIHSCRAEQDVDKTLKLSLNQKSPEPFEPEERKKMEIMPEMPTLVVAACSVVSHYSDERCVSPHLSQEELFELDLMLDDEDLECSGLNNLVDLSNMKSSSSGARQKRLSNVEEINGDKPKLIMRSSGATGESSSRLRREIFRGERFPSLSSALVKAPNAEYGHSTKNFIHHDGSTPIIKSDSIPHIGKVHEAIAKHQEYFGLKRPSNTGLMTEGNQLGINEERESDAPLNSESHSVSTAPLEAIQLLVEKQNAASTEPLSNSETSCQGELRYKGPSMIACPVTGTLETTTKTVAASNLSMQSTSDNHGFNDCHSAMSGSKSFADMESVSDETLALFESPTQATTLDASLLPSQLSSGARETVDVSCSPSARVLVPEREQYQTVELSFESQQVLSISQSQLVPKSFKYHSSFSKPLLKRTSQKVSRRTQDETFGSDDGESKGSSLCNIFVNKNTSHEKEREFDETLSRTIKKNRSSSFGVSHRGRTKIHEELSLKQMNSHGPQAGNSISLNQNTPEQKPESGKKHHFSISPTRFISRRKGTSGSSSNTSSPSSSHVGVTNSSSPSSPLSDHRRNISRRFIPLRSVLTSRRSGSDGM
+>sp|Q9H7U1|CCSE2_HUMAN Serine-rich coiled-coil domain-containing protein 2 OS=Homo sapiens OX=9606 GN=CCSER2 PE=1 SV=2
+MEEKTQIKTFLGSKLPKYGTKSVRSTLQPMPNGTPVNLLGTSKNSNVKSYIKNNGSDCPSSHSFNWRKANKYQLCAQGVEEPNNTQNSHDKIIDPEKRVPTQGMFDKNGIKGGLKSVSLFTSKLAKPSTMFVSSTEELNQKSFSGPSNLGKFTKGTLLGRTSYSSINTPKSQLNGFYGNRSAGSMQRPRANSCATRSSSGESLAQSPDSSKSINCEKMVRSQSFSHSIQNSFLPPSSITRSHSFNRAVDLTKPYQNQQLSIRVPLRSSMLTRNSRQPEVLNGNEHLGYGFNRPYAAGGKKLALPNGPGVTSTLGYRMVHPSLLKSSRSPFSGTMTVDGNKNSPADTCVEEDATVLAKDRAANKDQELIENESYRTKNNQTMKHDAKMRYLSDDVDDISLSSLSSSDKNDLSEDFSDDFIDIEDSNRTRITPEEMSLKEEKHENGPPQDMFDSPKENEKAFSKTDEWIDISVSDRSECTKHTSGNNLVSPDTDYRAGSSFELSPSDSSDGTYMWDEEGLEPIGNVHPVGSYESSEMNSIDILNNLESCDLEDDDLMLDVDLPEDAPLENVECDNMNRFDRPDRNVRQPQEGFWKRPPQRWSGQEHYHLSHPDHYHHHGKSDLSRGSPYRESPLGHFESYGGMPFFQAQKMFVDVPENTVILDEMTLRHMVQDCTAVKTQLLKLKRLLHQHDGSGSLHDIQLSLPSSPEPEDGDKVYKNEDLLNEIKQLKDEIKKKDEKIQLLELQLATQHICHQKCKEEKCTYADKYTQTPWRRIPGGYSAPSFSPWQGSFQGIPRTVPPHRRQTSSTTAFQQPSQTHRSHPGKTNKATTYRGPQ
+>DECOY_sp|Q9H7U1|CCSE2_HUMAN Serine-rich coiled-coil domain-containing protein 2 OS=Homo sapiens OX=9606 GN=CCSER2 PE=1 SV=2
+QPGRYTTAKNTKGPHSRHTQSPQQFATTSSTQRRHPPVTRPIGQFSGQWPSFSPASYGGPIRRWPTQTYKDAYTCKEEKCKQHCIHQTALQLELLQIKEDKKKIEDKLQKIENLLDENKYVKDGDEPEPSSPLSLQIDHLSGSGDHQHLLRKLKLLQTKVATCDQVMHRLTMEDLIVTNEPVDVFMKQAQFFPMGGYSEFHGLPSERYPSGRSLDSKGHHHYHDPHSLHYHEQGSWRQPPRKWFGEQPQRVNRDPRDFRNMNDCEVNELPADEPLDVDLMLDDDELDCSELNNLIDISNMESSEYSGVPHVNGIPELGEEDWMYTGDSSDSPSLEFSSGARYDTDPSVLNNGSTHKTCESRDSVSIDIWEDTKSFAKENEKPSDFMDQPPGNEHKEEKLSMEEPTIRTRNSDEIDIFDDSFDESLDNKDSSSLSSLSIDDVDDSLYRMKADHKMTQNNKTRYSENEILEQDKNAARDKALVTADEEVCTDAPSNKNGDVTMTGSFPSRSSKLLSPHVMRYGLTSTVGPGNPLALKKGGAAYPRNFGYGLHENGNLVEPQRSNRTLMSSRLPVRISLQQNQYPKTLDVARNFSHSRTISSPPLFSNQISHSFSQSRVMKECNISKSSDPSQALSEGSSSRTACSNARPRQMSGASRNGYFGNLQSKPTNISSYSTRGLLTGKTFKGLNSPGSFSKQNLEETSSVFMTSPKALKSTFLSVSKLGGKIGNKDFMGQTPVRKEPDIIKDHSNQTNNPEEVGQACLQYKNAKRWNFSHSSPCDSGNNKIYSKVNSNKSTGLLNVPTGNPMPQLTSRVSKTGYKPLKSGLFTKIQTKEEM
+>sp|Q8N7R7|CCYL1_HUMAN Cyclin-Y-like protein 1 OS=Homo sapiens OX=9606 GN=CCNYL1 PE=1 SV=2
+MGNTLTCCVSPNASPKLGRRAGSAELYCASDIYEAVSGDAVAVAPAVVEPAELDFGEGEGHHLQHISDREMPEDLALESNPSDHPRASTIFLSKSQTDVREKRKSNHLNHVSPGQLTKKYSSCSTIFLDDSTVSQPNLRTTVKCVTLAIYYHIKNRDANRSLDIFDERSHPLTREKVPEEYFKHDPEHKFIYRFVRTLFSAAQLTAECAIVTLVYLERLLTYAEIDICPTNWKRIVLGAILLASKVWDDQAVWNVDYCQILKDITVEDMNEMERHFLELLQFNINVPASVYAKYYFDLRSLADDNNLNFLFAPLSKERAQNLEAISRLCEDKDLCRAAMRRSFSADNFIGIQRSKAILS
+>DECOY_sp|Q8N7R7|CCYL1_HUMAN Cyclin-Y-like protein 1 OS=Homo sapiens OX=9606 GN=CCNYL1 PE=1 SV=2
+SLIAKSRQIGIFNDASFSRRMAARCLDKDECLRSIAELNQAREKSLPAFLFNLNNDDALSRLDFYYKAYVSAPVNINFQLLELFHREMENMDEVTIDKLIQCYDVNWVAQDDWVKSALLIAGLVIRKWNTPCIDIEAYTLLRELYVLTVIACEATLQAASFLTRVFRYIFKHEPDHKFYEEPVKERTLPHSREDFIDLSRNADRNKIHYYIALTVCKVTTRLNPQSVTSDDLFITSCSSYKKTLQGPSVHNLHNSKRKERVDTQSKSLFITSARPHDSPNSELALDEPMERDSIHQLHHGEGEGFDLEAPEVVAPAVAVADGSVAEYIDSACYLEASGARRGLKPSANPSVCCTLTNGM
+>sp|D6RIA3|CD054_HUMAN Uncharacterized protein C4orf54 OS=Homo sapiens OX=9606 GN=C4orf54 PE=2 SV=1
+MLSFHFWKSRGQPTDAASSVADGIQTPRCCRRCQANNWTGQLSYRTLATVSAGAAAPQPQTTSTASSRSLPTSLRLAAAPPQGLKNWEVVAAVAAVPTALGPVQIRGTLLRATLQPLRGQRRTQDFPSDHHCLFLSLKPGQGLIMEAAPPELNSKARQAEVGDGVSSAQDSQELKQQLWPLPKPSASSQREAKYVDMCASAEVQRESPQTMKLTLGHCPGGQRASRSPKEKAQDEPSSKTPSPQNNPASSQLSRSQHSASEEGGNFSSSSSSSPMNKAEEDGLSKMEDSTTSTGALATSSSSLGFESESGESEGCQAVGGEGEKISGGGGGGKGGGGGGAGDGTECRDIIAKSQGSRDPPKVEEAHYITTHEIQLSEVEQDMDFDVGLASRWDFEDNNVIYSFVDYASFGGSDETPGDITSLTEEDDDNSCYLSTTPSTNTTRTPSPTSSDLARPNAGRSGRDTSSTEVGSGPSDSGPTPPPTGPGTAPLTEPLPETPEAASGAAAAAASSCGSAASQILLSIKPASRAINEPSNVRAKQNIIYAAKHEGDMSLRVSTAAEHNSSSLKQNPAAAVAQDHAKKFIAVPARLQTRCGAIRAKELVDYSSGASSAVSELDDADKEVRNLTSRAFRSLAYPYFEALNISSRESSTTLSEVGFGRWSTFLDLKCGGVGARVEQSLLRSSAASVAAGLRKGSGARATADQLYIQSKKSQTKALEFVVSKVEGEIKHVETPLCFQKQVQTGSRVVTLLEPLNVRSESKASSAPGPGRATKGPGKGPGSAYTDDGSETSEGSKPTSRADGPQKSKFASSLLKNVISKKMQREHEFKMERGEVMDTSHHLSGTSKETEGARGSERQRERGLQRQSSRHSEAGSEYTVVSMSDAGGEGSVAGSKSPVFKASTPRERNAGPGRNFTDGHTEVCEIKKSASETVKGIFLRSQNSAFRSWKEKEAEKREEQAPIGKLKLPKGGDWRADLGEISASKNTIMSRLFVPNIQQTPKDKQPRKQATKYPAAQATSTAVIRPKAPEIKIRLGSVQQPSSDFNIAKLLTPKLAGGSASNLFKTIEDNSRAQQKLFRGDNLEKVPHFQVRDIRDKSKAQGPLHQVRDVRKLIKGSGDSSDKGSVTPEQGLTGPKPRQLSAAAGGSGSLSPMVITCQAVVNQREDSMDREPRESMGKGGGSRVLNSSSPEGTVLVHRASGRLPVATIAPNKPEQGSYLPVLKIVSKASTQKTPEKLKEEEVKEEGKATKPARNALEKLTAAVRSMEELYSFNRNEWKRKSDPLPMMMDSHVLSLIASEEREGVVVADGDHDKLSKRLGEVEERGTGNKAGVVLRGAPIERLQRRNSNPSAESVSARAAAFENLARERPRSLYIPPVHKDVERTQPLQPLPPLPSNRNVFTVSASSIQKTGGVAGKFPQGPSPESPSAAKGIKSQGLRSLKISPATRAPPDEVTNRKSGSNLEKSNSDCENYLTIPLKGSSAAGELLSRPGASREGPPNSSAATLCSLPPLSARSQVPSSSKGSQVSGTSRPAWRTKPDNPRETVAAPPGPQSPEHPPTTIYHQPPLPFTLQGAQPQVLCFSPPSMPAPAPAASAPVPTDPFQQAQPQQTQRKMLLDVTTGQYYLVDTPVQPMTRRLFDPETGQYVDVPMTSQQQAVAPMSISVPPLALSPGAYGPTYMIYPGFLPTVLPTNALQPTPIARAPRGSELSPMVAEPSSKEAAATFTEAPYFMASGQSPASSTSSAPAATSQLLGAKAFAQLHGKPVISITSQPLGPRIIAPPSFDGTTMSFVVEHR
+>DECOY_sp|D6RIA3|CD054_HUMAN Uncharacterized protein C4orf54 OS=Homo sapiens OX=9606 GN=C4orf54 PE=2 SV=1
+RHEVVFSMTTGDFSPPAIIRPGLPQSTISIVPKGHLQAFAKAGLLQSTAAPASSTSSAPSQGSAMFYPAETFTAAAEKSSPEAVMPSLESGRPARAIPTPQLANTPLVTPLFGPYIMYTPGYAGPSLALPPVSISMPAVAQQQSTMPVDVYQGTEPDFLRRTMPQVPTDVLYYQGTTVDLLMKRQTQQPQAQQFPDTPVPASAAPAPAPMSPPSFCLVQPQAGQLTFPLPPQHYITTPPHEPSQPGPPAAVTERPNDPKTRWAPRSTGSVQSGKSSSPVQSRASLPPLSCLTAASSNPPGERSAGPRSLLEGAASSGKLPITLYNECDSNSKELNSGSKRNTVEDPPARTAPSIKLSRLGQSKIGKAASPSEPSPGQPFKGAVGGTKQISSASVTFVNRNSPLPPLPQLPQTREVDKHVPPIYLSRPRERALNEFAAARASVSEASPNSNRRQLREIPAGRLVVGAKNGTGREEVEGLRKSLKDHDGDAVVVGEREESAILSLVHSDMMMPLPDSKRKWENRNFSYLEEMSRVAATLKELANRAPKTAKGEEKVEEEKLKEPTKQTSAKSVIKLVPLYSGQEPKNPAITAVPLRGSARHVLVTGEPSSSNLVRSGGGKGMSERPERDMSDERQNVVAQCTIVMPSLSGSGGAAASLQRPKPGTLGQEPTVSGKDSSDGSGKILKRVDRVQHLPGQAKSKDRIDRVQFHPVKELNDGRFLKQQARSNDEITKFLNSASGGALKPTLLKAINFDSSPQQVSGLRIKIEPAKPRIVATSTAQAAPYKTAQKRPQKDKPTQQINPVFLRSMITNKSASIEGLDARWDGGKPLKLKGIPAQEERKEAEKEKWSRFASNQSRLFIGKVTESASKKIECVETHGDTFNRGPGANRERPTSAKFVPSKSGAVSGEGGADSMSVVTYESGAESHRSSQRQLGRERQRESGRAGETEKSTGSLHHSTDMVEGREMKFEHERQMKKSIVNKLLSSAFKSKQPGDARSTPKSGESTESGDDTYASGPGKGPGKTARGPGPASSAKSESRVNLPELLTVVRSGTQVQKQFCLPTEVHKIEGEVKSVVFELAKTQSKKSQIYLQDATARAGSGKRLGAAVSAASSRLLSQEVRAGVGGCKLDLFTSWRGFGVESLTTSSERSSINLAEFYPYALSRFARSTLNRVEKDADDLESVASSAGSSYDVLEKARIAGCRTQLRAPVAIFKKAHDQAVAAAPNQKLSSSNHEAATSVRLSMDGEHKAAYIINQKARVNSPENIARSAPKISLLIQSAASGCSSAAAAAAGSAAEPTEPLPETLPATGPGTPPPTPGSDSPGSGVETSSTDRGSRGANPRALDSSTPSPTRTTNTSPTTSLYCSNDDDEETLSTIDGPTEDSGGFSAYDVFSYIVNNDEFDWRSALGVDFDMDQEVESLQIEHTTIYHAEEVKPPDRSGQSKAIIDRCETGDGAGGGGGGKGGGGGGSIKEGEGGVAQCGESEGSESEFGLSSSSTALAGTSTTSDEMKSLGDEEAKNMPSSSSSSSFNGGEESASHQSRSLQSSAPNNQPSPTKSSPEDQAKEKPSRSARQGGPCHGLTLKMTQPSERQVEASACMDVYKAERQSSASPKPLPWLQQKLEQSDQASSVGDGVEAQRAKSNLEPPAAEMILGQGPKLSLFLCHHDSPFDQTRRQGRLPQLTARLLTGRIQVPGLATPVAAVAAVVEWNKLGQPPAAALRLSTPLSRSSATSTTQPQPAAAGASVTALTRYSLQGTWNNAQCRRCCRPTQIGDAVSSAADTPQGRSKWFHFSLM
+>sp|P21127|CD11B_HUMAN Cyclin-dependent kinase 11B OS=Homo sapiens OX=9606 GN=CDK11B PE=1 SV=4
+MGDEKDSWKVKTLDEILQEKKRRKEQEEKAEIKRLKNSDDRDSKRDSLEEGELRDHRMEITIRNSPYRREDSMEDRGEEDDSLAIKPPQQMSRKEKAHHRKDEKRKEKRRHRSHSAEGGKHARVKEKEREHERRKRHREEQDKARREWERQKRREMAREHSRRERDRLEQLERKRERERKMREQQKEQREQKERERRAEERRKEREARREVSAHHRTMREDYSDKVKASHWSRSPPRPPRERFELGDGRKPGEARPAPAQKPAQLKEEKMEERDLLSDLQDISDSERKTSSAESSSAESGSGSEEEEEEEEEEEEEGSTSEESEEEEEEEEEEEEETGSNSEEASEQSAEEVSEEEMSEDEERENENHLLVVPESRFDRDSGESEEAEEEVGEGTPQSSALTEGDYVPDSPALSPIELKQELPKYLPALQGCRSVEEFQCLNRIEEGTYGVVYRAKDKKTDEIVALKRLKMEKEKEGFPITSLREINTILKAQHPNIVTVREIVVGSNMDKIYIVMNYVEHDLKSLMETMKQPFLPGEVKTLMIQLLRGVKHLHDNWILHRDLKTSNLLLSHAGILKVGDFGLAREYGSPLKAYTPVVVTLWYRAPELLLGAKEYSTAVDMWSVGCIFGELLTQKPLFPGKSEIDQINKVFKDLGTPSEKIWPGYSELPAVKKMTFSEHPYNNLRKRFGALLSDQGFDLMNKFLTYFPGRRISAEDGLKHEYFRETPLPIDPSMFPTWPAKSEQQRVKRGTSPRPPEGGLGYSQLGDDDLKETGFHLTTTNQGASAAGPGFSLKF
+>DECOY_sp|P21127|CD11B_HUMAN Cyclin-dependent kinase 11B OS=Homo sapiens OX=9606 GN=CDK11B PE=1 SV=4
+FKLSFGPGAASAGQNTTTLHFGTEKLDDDGLQSYGLGGEPPRPSTGRKVRQQESKAPWTPFMSPDIPLPTERFYEHKLGDEASIRRGPFYTLFKNMLDFGQDSLLAGFRKRLNNYPHESFTMKKVAPLESYGPWIKESPTGLDKFVKNIQDIESKGPFLPKQTLLEGFICGVSWMDVATSYEKAGLLLEPARYWLTVVVPTYAKLPSGYERALGFDGVKLIGAHSLLLNSTKLDRHLIWNDHLHKVGRLLQIMLTKVEGPLFPQKMTEMLSKLDHEVYNMVIYIKDMNSGVVIERVTVINPHQAKLITNIERLSTIPFGEKEKEMKLRKLAVIEDTKKDKARYVVGYTGEEIRNLCQFEEVSRCGQLAPLYKPLEQKLEIPSLAPSDPVYDGETLASSQPTGEGVEEEAEESEGSDRDFRSEPVVLLHNENEREEDESMEEESVEEASQESAEESNSGTEEEEEEEEEEEEESEESTSGEEEEEEEEEEEEESGSGSEASSSEASSTKRESDSIDQLDSLLDREEMKEEKLQAPKQAPAPRAEGPKRGDGLEFRERPPRPPSRSWHSAKVKDSYDERMTRHHASVERRAEREKRREEARREREKQERQEKQQERMKRERERKRELQELRDRERRSHERAMERRKQREWERRAKDQEERHRKRREHEREKEKVRAHKGGEASHSRHRRKEKRKEDKRHHAKEKRSMQQPPKIALSDDEEGRDEMSDERRYPSNRITIEMRHDRLEGEELSDRKSDRDDSNKLRKIEAKEEQEKRRKKEQLIEDLTKVKWSDKEDGM
+>sp|Q5M9N0|CD158_HUMAN Coiled-coil domain-containing protein 158 OS=Homo sapiens OX=9606 GN=CCDC158 PE=2 SV=2
+MESKAWESNNEDLLSSSGVTSNGGSSSSFFVSSIRGTIIENTSSAGTLTQVPFFPKYEVELDSPRKIIPSPGKEHFERVLEEYSHQVKDLQRRLNESNELHEKQKFYLRQSVIDLQTKLQEMQMERDAMADIRRRESQSQEDLRNQLQNTVHELEAAKCLKEDMLKDSNTQIEQLRKMMLSHEGVLQEIRSILVDFEEASGKKICEHDSMSTLHFRSLGSAISKILRELDTEISYLKGRIFPVEDQLEALKSESQNKIELLLQQHQDRIEQLISEHEVEITGLTEKASSARSQANSIQSQMEIIQEQARNQNSMYMRQLSDLESTVSQLRSELREAKRMYEDKTEELEKQLVLANSELTEARTERDQFSQESGNLDDQLQKLLADLHKREKELSLEKEQNKRLWDRDTGNSITIDHLRRELDNRNMEVQRLEALLKALKSECQGQMERQMAAIQGKNESLEKVSSLTAQLESTKEMLRKVVEELTAKKMTLESSERTISDLTTSLQEKERAIEATNAEITKLRSRVDLKLQELQHLKNEGDHLRNVQTECEALKLQMTEKDKVIEILRQQIENMTQLVGQHGRTAGAMQVEKAQLEKEINDRRMELKELKILKDKKDAKIRELEARVSDLELEKVKLVNAGSERLRAVKDIKQERDQLLNEVKTSRSELNNLSEEYEVLKRNFRNKSEEMEMTTNKLKMQLKSAQSELEQTRNTLKSMEGSDGHAMKVAMGMQKQITAKRGQIDALQSKIQFLEEAMTNANKEKHFLKEEKSKLSQELSTVATEKNKMAGELEVLRSQERRLKEKVTNMEVALDKASLQFAECQDIIQRQEQESVRLKLQHTLDIKELQGPGYTSNSSLKPRLLQPASVTRSHSNVPSSQSTASFLSHHSTKANTLKEDPTRDLKQLLQELRSVINEEPAVSLSKTEEDGRTSLGALEDRVRDCITESSLRSDMCHRSNNSLRDSTEGSKSSETLSREPVTLHAGDREDPSGCFTFTSAASPSVKNSASRSFNSSPKKSPVHSLLTSSVEGSIGSTSQYRSAKPIHSSDSVKDSQSPPIETTGKTCRKLQNRLESLQTLVEDLQLKNQAMSSMIRNQEKRIQKVKDQEKMLLK
+>DECOY_sp|Q5M9N0|CD158_HUMAN Coiled-coil domain-containing protein 158 OS=Homo sapiens OX=9606 GN=CCDC158 PE=2 SV=2
+KLLMKEQDKVKQIRKEQNRIMSSMAQNKLQLDEVLTQLSELRNQLKRCTKGTTEIPPSQSDKVSDSSHIPKASRYQSTSGISGEVSSTLLSHVPSKKPSSNFSRSASNKVSPSAASTFTFCGSPDERDGAHLTVPERSLTESSKSGETSDRLSNNSRHCMDSRLSSETICDRVRDELAGLSTRGDEETKSLSVAPEENIVSRLEQLLQKLDRTPDEKLTNAKTSHHSLFSATSQSSPVNSHSRTVSAPQLLRPKLSSNSTYGPGQLEKIDLTHQLKLRVSEQEQRQIIDQCEAFQLSAKDLAVEMNTVKEKLRREQSRLVELEGAMKNKETAVTSLEQSLKSKEEKLFHKEKNANTMAEELFQIKSQLADIQGRKATIQKQMGMAVKMAHGDSGEMSKLTNRTQELESQASKLQMKLKNTTMEMEESKNRFNRKLVEYEESLNNLESRSTKVENLLQDREQKIDKVARLRESGANVLKVKELELDSVRAELERIKADKKDKLIKLEKLEMRRDNIEKELQAKEVQMAGATRGHQGVLQTMNEIQQRLIEIVKDKETMQLKLAECETQVNRLHDGENKLHQLEQLKLDVRSRLKTIEANTAEIAREKEQLSTTLDSITRESSELTMKKATLEEVVKRLMEKTSELQATLSSVKELSENKGQIAAMQREMQGQCESKLAKLLAELRQVEMNRNDLERRLHDITISNGTDRDWLRKNQEKELSLEKERKHLDALLKQLQDDLNGSEQSFQDRETRAETLESNALVLQKELEETKDEYMRKAERLESRLQSVTSELDSLQRMYMSNQNRAQEQIIEMQSQISNAQSRASSAKETLGTIEVEHESILQEIRDQHQQLLLEIKNQSESKLAELQDEVPFIRGKLYSIETDLERLIKSIASGLSRFHLTSMSDHECIKKGSAEEFDVLISRIEQLVGEHSLMMKRLQEIQTNSDKLMDEKLCKAAELEHVTNQLQNRLDEQSQSERRRIDAMADREMQMEQLKTQLDIVSQRLYFKQKEHLENSENLRRQLDKVQHSYEELVREFHEKGPSPIIKRPSDLEVEYKPFFPVQTLTGASSTNEIITGRISSVFFSSSSGGNSTVGSSSLLDENNSEWAKSEM
+>sp|P15391|CD19_HUMAN B-lymphocyte antigen CD19 OS=Homo sapiens OX=9606 GN=CD19 PE=1 SV=6
+MPPPRLLFFLLFLTPMEVRPEEPLVVKVEEGDNAVLQCLKGTSDGPTQQLTWSRESPLKPFLKLSLGLPGLGIHMRPLAIWLFIFNVSQQMGGFYLCQPGPPSEKAWQPGWTVNVEGSGELFRWNVSDLGGLGCGLKNRSSEGPSSPSGKLMSPKLYVWAKDRPEIWEGEPPCLPPRDSLNQSLSQDLTMAPGSTLWLSCGVPPDSVSRGPLSWTHVHPKGPKSLLSLELKDDRPARDMWVMETGLLLPRATAQDAGKYYCHRGNLTMSFHLEITARPVLWHWLLRTGGWKVSAVTLAYLIFCLCSLVGILHLQRALVLRRKRKRMTDPTRRFFKVTPPPGSGPQNQYGNVLSLPTPTSGLGRAQRWAAGLGGTAPSYGNPSSDVQADGALGSRSPPGVGPEEEEGEGYEEPDSEEDSEFYENDSNLGQDQLSQDGSGYENPEDEPLGPEDEDSFSNAESYENEDEELTQPVARTMDFLSPHGSAWDPSREATSLGSQSYEDMRGILYAAPQLRSIRGQPGPNHEEDADSYENMDNPDGPDPAWGGGGRMGTWSTR
+>DECOY_sp|P15391|CD19_HUMAN B-lymphocyte antigen CD19 OS=Homo sapiens OX=9606 GN=CD19 PE=1 SV=6
+RTSWTGMRGGGGWAPDPGDPNDMNEYSDADEEHNPGPQGRISRLQPAAYLIGRMDEYSQSGLSTAERSPDWASGHPSLFDMTRAVPQTLEEDENEYSEANSFSDEDEPGLPEDEPNEYGSGDQSLQDQGLNSDNEYFESDEESDPEEYGEGEEEEPGVGPPSRSGLAGDAQVDSSPNGYSPATGGLGAAWRQARGLGSTPTPLSLVNGYQNQPGSGPPPTVKFFRRTPDTMRKRKRRLVLARQLHLIGVLSCLCFILYALTVASVKWGGTRLLWHWLVPRATIELHFSMTLNGRHCYYKGADQATARPLLLGTEMVWMDRAPRDDKLELSLLSKPGKPHVHTWSLPGRSVSDPPVGCSLWLTSGPAMTLDQSLSQNLSDRPPLCPPEGEWIEPRDKAWVYLKPSMLKGSPSSPGESSRNKLGCGLGGLDSVNWRFLEGSGEVNVTWGPQWAKESPPGPQCLYFGGMQQSVNFIFLWIALPRMHIGLGPLGLSLKLFPKLPSERSWTLQQTPGDSTGKLCQLVANDGEEVKVVLPEEPRVEMPTLFLLFFLLRPPPM
+>sp|Q15762|CD226_HUMAN CD226 antigen OS=Homo sapiens OX=9606 GN=CD226 PE=1 SV=2
+MDYPTLLLALLHVYRALCEEVLWHTSVPFAENMSLECVYPSMGILTQVEWFKIGTQQDSIAIFSPTHGMVIRKPYAERVYFLNSTMASNNMTLFFRNASEDDVGYYSCSLYTYPQGTWQKVIQVVQSDSFEAAVPSNSHIVSEPGKNVTLTCQPQMTWPVQAVRWEKIQPRQIDLLTYCNLVHGRNFTSKFPRQIVSNCSHGRWSVIVIPDVTVSDSGLYRCYLQASAGENETFVMRLTVAEGKTDNQYTLFVAGGTVLLLLFVISITTIIVIFLNRRRRRERRDLFTESWDTQKAPNNYRSPISTSQPTNQSMDDTREDIYVNYPTFSRRPKTRV
+>DECOY_sp|Q15762|CD226_HUMAN CD226 antigen OS=Homo sapiens OX=9606 GN=CD226 PE=1 SV=2
+VRTKPRRSFTPYNVYIDERTDDMSQNTPQSTSIPSRYNNPAKQTDWSETFLDRRERRRRRNLFIVIITTISIVFLLLLVTGGAVFLTYQNDTKGEAVTLRMVFTENEGASAQLYCRYLGSDSVTVDPIVIVSWRGHSCNSVIQRPFKSTFNRGHVLNCYTLLDIQRPQIKEWRVAQVPWTMQPQCTLTVNKGPESVIHSNSPVAAEFSDSQVVQIVKQWTGQPYTYLSCSYYGVDDESANRFFLTMNNSAMTSNLFYVREAYPKRIVMGHTPSFIAISDQQTGIKFWEVQTLIGMSPYVCELSMNEAFPVSTHWLVEECLARYVHLLALLLTPYDM
+>sp|P20273|CD22_HUMAN B-cell receptor CD22 OS=Homo sapiens OX=9606 GN=CD22 PE=1 SV=2
+MHLLGPWLLLLVLEYLAFSDSSKWVFEHPETLYAWEGACVWIPCTYRALDGDLESFILFHNPEYNKNTSKFDGTRLYESTKDGKVPSEQKRVQFLGDKNKNCTLSIHPVHLNDSGQLGLRMESKTEKWMERIHLNVSERPFPPHIQLPPEIQESQEVTLTCLLNFSCYGYPIQLQWLLEGVPMRQAAVTSTSLTIKSVFTRSELKFSPQWSHHGKIVTCQLQDADGKFLSNDTVQLNVKHTPKLEIKVTPSDAIVREGDSVTMTCEVSSSNPEYTTVSWLKDGTSLKKQNTFTLNLREVTKDQSGKYCCQVSNDVGPGRSEEVFLQVQYAPEPSTVQILHSPAVEGSQVEFLCMSLANPLPTNYTWYHNGKEMQGRTEEKVHIPKILPWHAGTYSCVAENILGTGQRGPGAELDVQYPPKKVTTVIQNPMPIREGDTVTLSCNYNSSNPSVTRYEWKPHGAWEEPSLGVLKIQNVGWDNTTIACAACNSWCSWASPVALNVQYAPRDVRVRKIKPLSEIHSGNSVSLQCDFSSSHPKEVQFFWEKNGRLLGKESQLNFDSISPEDAGSYSCWVNNSIGQTASKAWTLEVLYAPRRLRVSMSPGDQVMEGKSATLTCESDANPPVSHYTWFDWNNQSLPYHSQKLRLEPVKVQHSGAYWCQGTNSVGKGRSPLSTLTVYYSPETIGRRVAVGLGSCLAILILAICGLKLQRRWKRTQSQQGLQENSSGQSFFVRNKKVRRAPLSEGPHSLGCYNPMMEDGISYTTLRFPEMNIPRTGDAESSEMQRPPPDCDDTVTYSALHKRQVGDYENVIPDFPEDEGIHYSELIQFGVGERPQAQENVDYVILKH
+>DECOY_sp|P20273|CD22_HUMAN B-cell receptor CD22 OS=Homo sapiens OX=9606 GN=CD22 PE=1 SV=2
+HKLIVYDVNEQAQPREGVGFQILESYHIGEDEPFDPIVNEYDGVQRKHLASYTVTDDCDPPPRQMESSEADGTRPINMEPFRLTTYSIGDEMMPNYCGLSHPGESLPARRVKKNRVFFSQGSSNEQLGQQSQTRKWRRQLKLGCIALILIALCSGLGVAVRRGITEPSYYVTLTSLPSRGKGVSNTGQCWYAGSHQVKVPELRLKQSHYPLSQNNWDFWTYHSVPPNADSECTLTASKGEMVQDGPSMSVRLRRPAYLVELTWAKSATQGISNNVWCSYSGADEPSISDFNLQSEKGLLRGNKEWFFQVEKPHSSSFDCQLSVSNGSHIESLPKIKRVRVDRPAYQVNLAVPSAWSCWSNCAACAITTNDWGVNQIKLVGLSPEEWAGHPKWEYRTVSPNSSNYNCSLTVTDGERIPMPNQIVTTVKKPPYQVDLEAGPGRQGTGLINEAVCSYTGAHWPLIKPIHVKEETRGQMEKGNHYWTYNTPLPNALSMCLFEVQSGEVAPSHLIQVTSPEPAYQVQLFVEESRGPGVDNSVQCCYKGSQDKTVERLNLTFTNQKKLSTGDKLWSVTTYEPNSSSVECTMTVSDGERVIADSPTVKIELKPTHKVNLQVTDNSLFKGDADQLQCTVIKGHHSWQPSFKLESRTFVSKITLSTSTVAAQRMPVGELLWQLQIPYGYCSFNLLCTLTVEQSEQIEPPLQIHPPFPRESVNLHIREMWKETKSEMRLGLQGSDNLHVPHISLTCNKNKDGLFQVRKQESPVKGDKTSEYLRTGDFKSTNKNYEPNHFLIFSELDGDLARYTCPIWVCAGEWAYLTEPHEFVWKSSDSFALYELVLLLLWPGLLHM
+>sp|Q9HCU0|CD248_HUMAN Endosialin OS=Homo sapiens OX=9606 GN=CD248 PE=1 SV=1
+MLLRLLLAWAAAGPTLGQDPWAAEPRAACGPSSCYALFPRRRTFLEAWRACRELGGDLATPRTPEEAQRVDSLVGAGPASRLLWIGLQRQARQCQLQRPLRGFTWTTGDQDTAFTNWAQPASGGPCPAQRCVALEASGEHRWLEGSCTLAVDGYLCQFGFEGACPALQDEAGQAGPAVYTTPFHLVSTEFEWLPFGSVAAVQCQAGRGASLLCVKQPEGGVGWSRAGPLCLGTGCSPDNGGCEHECVEEVDGHVSCRCTEGFRLAADGRSCEDPCAQAPCEQQCEPGGPQGYSCHCRLGFRPAEDDPHRCVDTDECQIAGVCQQMCVNYVGGFECYCSEGHELEADGISCSPAGAMGAQASQDLGDELLDDGEDEEDEDEAWKAFNGGWTEMPGILWMEPTQPPDFALAYRPSFPEDREPQIPYPEPTWPPPLSAPRVPYHSSVLSVTRPVVVSATHPTLPSAHQPPVIPATHPALSRDHQIPVIAANYPDLPSAYQPGILSVSHSAQPPAHQPPMISTKYPELFPAHQSPMFPDTRVAGTQTTTHLPGIPPNHAPLVTTLGAQLPPQAPDALVLRTQATQLPIIPTAQPSLTTTSRSPVSPAHQISVPAATQPAALPTLLPSQSPTNQTSPISPTHPHSKAPQIPREDGPSPKLALWLPSPAPTAAPTALGEAGLAEHSQRDDRWLLVALLVPTCVFLVVLLALGIVYCTRCGPHAPNKRITDCYRWVIHAGSKSPTEPMPPRGSLTGVQTCRTSV
+>DECOY_sp|Q9HCU0|CD248_HUMAN Endosialin OS=Homo sapiens OX=9606 GN=CD248 PE=1 SV=1
+VSTRCTQVGTLSGRPPMPETPSKSGAHIVWRYCDTIRKNPAHPGCRTCYVIGLALLVVLFVCTPVLLAVLLWRDDRQSHEALGAEGLATPAATPAPSPLWLALKPSPGDERPIQPAKSHPHTPSIPSTQNTPSQSPLLTPLAAPQTAAPVSIQHAPSVPSRSTTTLSPQATPIIPLQTAQTRLVLADPAQPPLQAGLTTVLPAHNPPIGPLHTTTQTGAVRTDPFMPSQHAPFLEPYKTSIMPPQHAPPQASHSVSLIGPQYASPLDPYNAAIVPIQHDRSLAPHTAPIVPPQHASPLTPHTASVVVPRTVSLVSSHYPVRPASLPPPWTPEPYPIQPERDEPFSPRYALAFDPPQTPEMWLIGPMETWGGNFAKWAEDEDEEDEGDDLLEDGLDQSAQAGMAGAPSCSIGDAELEHGESCYCEFGGVYNVCMQQCVGAIQCEDTDVCRHPDDEAPRFGLRCHCSYGQPGGPECQQECPAQACPDECSRGDAALRFGETCRCSVHGDVEEVCEHECGGNDPSCGTGLCLPGARSWGVGGEPQKVCLLSAGRGAQCQVAAVSGFPLWEFETSVLHFPTTYVAPGAQGAEDQLAPCAGEFGFQCLYGDVALTCSGELWRHEGSAELAVCRQAPCPGGSAPQAWNTFATDQDGTTWTFGRLPRQLQCQRAQRQLGIWLLRSAPGAGVLSDVRQAEEPTRPTALDGGLERCARWAELFTRRRPFLAYCSSPGCAARPEAAWPDQGLTPGAAAWALLLRLLM
+>sp|O43866|CD5L_HUMAN CD5 antigen-like OS=Homo sapiens OX=9606 GN=CD5L PE=1 SV=1
+MALLFSLILAICTRPGFLASPSGVRLVGGLHRCEGRVEVEQKGQWGTVCDDGWDIKDVAVLCRELGCGAASGTPSGILYEPPAEKEQKVLIQSVSCTGTEDTLAQCEQEEVYDCSHDEDAGASCENPESSFSPVPEGVRLADGPGHCKGRVEVKHQNQWYTVCQTGWSLRAAKVVCRQLGCGRAVLTQKRCNKHAYGRKPIWLSQMSCSGREATLQDCPSGPWGKNTCNHDEDTWVECEDPFDLRLVGGDNLCSGRLEVLHKGVWGSVCDDNWGEKEDQVVCKQLGCGKSLSPSFRDRKCYGPGVGRIWLDNVRCSGEEQSLEQCQHRFWGFHDCTHQEDVAVICSG
+>DECOY_sp|O43866|CD5L_HUMAN CD5 antigen-like OS=Homo sapiens OX=9606 GN=CD5L PE=1 SV=1
+GSCIVAVDEQHTCDHFGWFRHQCQELSQEEGSCRVNDLWIRGVGPGYCKRDRFSPSLSKGCGLQKCVVQDEKEGWNDDCVSGWVGKHLVELRGSCLNDGGVLRLDFPDECEVWTDEDHNCTNKGWPGSPCDQLTAERGSCSMQSLWIPKRGYAHKNCRKQTLVARGCGLQRCVVKAARLSWGTQCVTYWQNQHKVEVRGKCHGPGDALRVGEPVPSFSSEPNECSAGADEDHSCDYVEEQECQALTDETGTCSVSQILVKQEKEAPPEYLIGSPTGSAAGCGLERCLVAVDKIDWGDDCVTGWQGKQEVEVRGECRHLGGVLRVGSPSALFGPRTCIALILSFLLAM
+>sp|P06127|CD5_HUMAN T-cell surface glycoprotein CD5 OS=Homo sapiens OX=9606 GN=CD5 PE=1 SV=2
+MPMGSLQPLATLYLLGMLVASCLGRLSWYDPDFQARLTRSNSKCQGQLEVYLKDGWHMVCSQSWGRSSKQWEDPSQASKVCQRLNCGVPLSLGPFLVTYTPQSSIICYGQLGSFSNCSHSRNDMCHSLGLTCLEPQKTTPPTTRPPPTTTPEPTAPPRLQLVAQSGGQHCAGVVEFYSGSLGGTISYEAQDKTQDLENFLCNNLQCGSFLKHLPETEAGRAQDPGEPREHQPLPIQWKIQNSSCTSLEHCFRKIKPQKSGRVLALLCSGFQPKVQSRLVGGSSICEGTVEVRQGAQWAALCDSSSARSSLRWEEVCREQQCGSVNSYRVLDAGDPTSRGLFCPHQKLSQCHELWERNSYCKKVFVTCQDPNPAGLAAGTVASIILALVLLVVLLVVCGPLAYKKLVKKFRQKKQRQWIGPTGMNQNMSFHRNHTATVRSHAENPTASHVDNEYSQPPRNSHLSAYPALEGALHRSSMQPDNSSDSDYDLHGAQRL
+>DECOY_sp|P06127|CD5_HUMAN T-cell surface glycoprotein CD5 OS=Homo sapiens OX=9606 GN=CD5 PE=1 SV=2
+LRQAGHLDYDSDSSNDPQMSSRHLAGELAPYASLHSNRPPQSYENDVHSATPNEAHSRVTATHNRHFSMNQNMGTPGIWQRQKKQRFKKVLKKYALPGCVVLLVVLLVLALIISAVTGAALGAPNPDQCTVFVKKCYSNREWLEHCQSLKQHPCFLGRSTPDGADLVRYSNVSGCQQERCVEEWRLSSRASSSDCLAAWQAGQRVEVTGECISSGGVLRSQVKPQFGSCLLALVRGSKQPKIKRFCHELSTCSSNQIKWQIPLPQHERPEGPDQARGAETEPLHKLFSGCQLNNCLFNELDQTKDQAEYSITGGLSGSYFEVVGACHQGGSQAVLQLRPPATPEPTTTPPPRTTPPTTKQPELCTLGLSHCMDNRSHSCNSFSGLQGYCIISSQPTYTVLFPGLSLPVGCNLRQCVKSAQSPDEWQKSSRGWSQSCVMHWGDKLYVELQGQCKSNSRTLRAQFDPDYWSLRGLCSAVLMGLLYLTALPQLSGMPM
+>sp|P34810|CD68_HUMAN Macrosialin OS=Homo sapiens OX=9606 GN=CD68 PE=1 SV=2
+MRLAVLFSGALLGLLAAQGTGNDCPHKKSATLLPSFTVTPTVTESTGTTSHRTTKSHKTTTHRTTTTGTTSHGPTTATHNPTTTSHGNVTVHPTSNSTATSQGPSTATHSPATTSHGNATVHPTSNSTATSPGFTSSAHPEPPPPSPSPSPTSKETIGDYTWTNGSQPCVHLQAQIQIRVMYTTQGGGEAWGISVLNPNKTKVQGSCEGAHPHLLLSFPYGHLSFGFMQDLQQKVVYLSYMAVEYNVSFPHAAQWTFSAQNASLRDLQAPLGQSFSCSNSSIILSPAVHLDLLSLRLQAAQLPHTGVFGQSFSCPSDRSILLPLIIGLILLGLLALVLIAFCIIRRRPSAYQAL
+>DECOY_sp|P34810|CD68_HUMAN Macrosialin OS=Homo sapiens OX=9606 GN=CD68 PE=1 SV=2
+LAQYASPRRRIICFAILVLALLGLLILGIILPLLISRDSPCSFSQGFVGTHPLQAAQLRLSLLDLHVAPSLIISSNSCSFSQGLPAQLDRLSANQASFTWQAAHPFSVNYEVAMYSLYVVKQQLDQMFGFSLHGYPFSLLLHPHAGECSGQVKTKNPNLVSIGWAEGGGQTTYMVRIQIQAQLHVCPQSGNTWTYDGITEKSTPSPSPSPPPPEPHASSTFGPSTATSNSTPHVTANGHSTTAPSHTATSPGQSTATSNSTPHVTVNGHSTTTPNHTATTPGHSTTGTTTTRHTTTKHSKTTRHSTTGTSETVTPTVTFSPLLTASKKHPCDNGTGQAALLGLLAGSFLVALRM
+>sp|P21854|CD72_HUMAN B-cell differentiation antigen CD72 OS=Homo sapiens OX=9606 GN=CD72 PE=1 SV=1
+MAEAITYADLRFVKAPLKKSISSRLGQDPGADDDGEITYENVQVPAVLGVPSSLASSVLGDKAAVKSEQPTASWRAVTSPAVGRILPCRTTCLRYLLLGLLLTCLLLGVTAICLGVRYLQVSQQLQQTNRVLEVTNSSLRQQLRLKITQLGQSAEDLQGSRRELAQSQEALQVEQRAHQAAEGQLQACQADRQKTKETLQSEEQQRRALEQKLSNMENRLKPFFTCGSADTCCPSGWIMHQKSCFYISLTSKNWQESQKQCETLSSKLATFSEIYPQSHSYYFLNSLLPNGGSGNSYWTGLSSNKDWKLTDDTQRTRTYAQSSKCNKVHKTWSWWTLESESCRSSLPYICEMTAFRFPD
+>DECOY_sp|P21854|CD72_HUMAN B-cell differentiation antigen CD72 OS=Homo sapiens OX=9606 GN=CD72 PE=1 SV=1
+DPFRFATMECIYPLSSRCSESELTWWSWTKHVKNCKSSQAYTRTRQTDDTLKWDKNSSLGTWYSNGSGGNPLLSNLFYYSHSQPYIESFTALKSSLTECQKQSEQWNKSTLSIYFCSKQHMIWGSPCCTDASGCTFFPKLRNEMNSLKQELARRQQEESQLTEKTKQRDAQCAQLQGEAAQHARQEVQLAEQSQALERRSGQLDEASQGLQTIKLRLQQRLSSNTVELVRNTQQLQQSVQLYRVGLCIATVGLLLCTLLLGLLLYRLCTTRCPLIRGVAPSTVARWSATPQESKVAAKDGLVSSALSSPVGLVAPVQVNEYTIEGDDDAGPDQGLRSSISKKLPAKVFRLDAYTIAEAM
+>sp|P27701|CD82_HUMAN CD82 antigen OS=Homo sapiens OX=9606 GN=CD82 PE=1 SV=1
+MGSACIKVTKYFLFLFNLIFFILGAVILGFGVWILADKSSFISVLQTSSSSLRMGAYVFIGVGAVTMLMGFLGCIGAVNEVRCLLGLYFAFLLLILIAQVTAGALFYFNMGKLKQEMGGIVTELIRDYNSSREDSLQDAWDYVQAQVKCCGWVSFYNWTDNAELMNRPEVTYPCSCEVKGEEDNSLSVRKGFCEAPGNRTQSGNHPEDWPVYQEGCMEKVQAWLQENLGIILGVGVGVAIIELLGMVLSICLCRHVHSEDYSKVPKY
+>DECOY_sp|P27701|CD82_HUMAN CD82 antigen OS=Homo sapiens OX=9606 GN=CD82 PE=1 SV=1
+YKPVKSYDESHVHRCLCISLVMGLLEIIAVGVGVGLIIGLNEQLWAQVKEMCGEQYVPWDEPHNGSQTRNGPAECFGKRVSLSNDEEGKVECSCPYTVEPRNMLEANDTWNYFSVWGCCKVQAQVYDWADQLSDERSSNYDRILETVIGGMEQKLKGMNFYFLAGATVQAILILLLFAFYLGLLCRVENVAGICGLFGMLMTVAGVGIFVYAGMRLSSSSTQLVSIFSSKDALIWVGFGLIVAGLIFFILNFLFLFYKTVKICASGM
+>sp|P42081|CD86_HUMAN T-lymphocyte activation antigen CD86 OS=Homo sapiens OX=9606 GN=CD86 PE=1 SV=2
+MDPQCTMGLSNILFVMAFLLSGAAPLKIQAYFNETADLPCQFANSQNQSLSELVVFWQDQENLVLNEVYLGKEKFDSVHSKYMGRTSFDSDSWTLRLHNLQIKDKGLYQCIIHHKKPTGMIRIHQMNSELSVLANFSQPEIVPISNITENVYINLTCSSIHGYPEPKKMSVLLRTKNSTIEYDGVMQKSQDNVTELYDVSISLSVSFPDVTSNMTIFCILETDKTRLLSSPFSIELEDPQPPPDHIPWITAVLPTVIICVMVFCLILWKWKKKKRPRNSYKCGTNTMEREESEQTKKREKIHIPERSDEAQRVFKSSKTSSCDKSDTCF
+>DECOY_sp|P42081|CD86_HUMAN T-lymphocyte activation antigen CD86 OS=Homo sapiens OX=9606 GN=CD86 PE=1 SV=2
+FCTDSKDCSSTKSSKFVRQAEDSREPIHIKERKKTQESEEREMTNTGCKYSNRPRKKKKWKWLILCFVMVCIIVTPLVATIWPIHDPPPQPDELEISFPSSLLRTKDTELICFITMNSTVDPFSVSLSISVDYLETVNDQSKQMVGDYEITSNKTRLLVSMKKPEPYGHISSCTLNIYVNETINSIPVIEPQSFNALVSLESNMQHIRIMGTPKKHHIICQYLGKDKIQLNHLRLTWSDSDFSTRGMYKSHVSDFKEKGLYVENLVLNEQDQWFVVLESLSQNQSNAFQCPLDATENFYAQIKLPAAGSLLFAMVFLINSLGMTCQPDM
+>sp|A6NJW9|CD8B2_HUMAN Putative T-cell surface glycoprotein CD8 beta-2 chain OS=Homo sapiens OX=9606 GN=CD8B2 PE=5 SV=2
+MRPRLWLLLAAQLTVLHGNSVLQQTPAYIKVQTNKMVMLSCEAKISLSNMCIYWLRQRQAPSSDSHHEFLTLWDSAKGTIHGEEVEQEKIAVFRDASRFILNLTSVKPEDSGIYFCMIVGSPELTFGKGTQLSVVVDFLPTTAQPTKKSTLKKRVCRLPRPETQKGPLCSPVTLGLLVAGVLVLLVSLGVAMHLCCRRRRARLRFMKQLYK
+>DECOY_sp|A6NJW9|CD8B2_HUMAN Putative T-cell surface glycoprotein CD8 beta-2 chain OS=Homo sapiens OX=9606 GN=CD8B2 PE=5 SV=2
+KYLQKMFRLRARRRRCCLHMAVGLSVLLVLVGAVLLGLTVPSCLPGKQTEPRPLRCVRKKLTSKKTPQATTPLFDVVVSLQTGKGFTLEPSGVIMCFYIGSDEPKVSTLNLIFRSADRFVAIKEQEVEEGHITGKASDWLTLFEHHSDSSPAQRQRLWYICMNSLSIKAECSLMVMKNTQVKIYAPTQQLVSNGHLVTLQAALLLWLRPRM
+>sp|P10966|CD8B_HUMAN T-cell surface glycoprotein CD8 beta chain OS=Homo sapiens OX=9606 GN=CD8B PE=1 SV=1
+MRPRLWLLLAAQLTVLHGNSVLQQTPAYIKVQTNKMVMLSCEAKISLSNMRIYWLRQRQAPSSDSHHEFLALWDSAKGTIHGEEVEQEKIAVFRDASRFILNLTSVKPEDSGIYFCMIVGSPELTFGKGTQLSVVDFLPTTAQPTKKSTLKKRVCRLPRPETQKGPLCSPITLGLLVAGVLVLLVSLGVAIHLCCRRRRARLRFMKQFYK
+>DECOY_sp|P10966|CD8B_HUMAN T-cell surface glycoprotein CD8 beta chain OS=Homo sapiens OX=9606 GN=CD8B PE=1 SV=1
+KYFQKMFRLRARRRRCCLHIAVGLSVLLVLVGAVLLGLTIPSCLPGKQTEPRPLRCVRKKLTSKKTPQATTPLFDVVSLQTGKGFTLEPSGVIMCFYIGSDEPKVSTLNLIFRSADRFVAIKEQEVEEGHITGKASDWLALFEHHSDSSPAQRQRLWYIRMNSLSIKAECSLMVMKNTQVKIYAPTQQLVSNGHLVTLQAALLLWLRPRM
+>sp|P14209|CD99_HUMAN CD99 antigen OS=Homo sapiens OX=9606 GN=CD99 PE=1 SV=1
+MARGAALALLLFGLLGVLVAAPDGGFDLSDALPDNENKKPTAIPKKPSAGDDFDLGDAVVDGENDDPRPPNPPKPMPNPNPNHPSSSGSFSDADLADGVSGGEGKGGSDGGGSHRKEGEEADAPGVIPGIVGAVVVAVAGAISSFIAYQKKKLCFKENAEQGEVDMESHRNANAEPAVQRTLLEK
+>DECOY_sp|P14209|CD99_HUMAN CD99 antigen OS=Homo sapiens OX=9606 GN=CD99 PE=1 SV=1
+KELLTRQVAPEANANRHSEMDVEGQEANEKFCLKKKQYAIFSSIAGAVAVVVAGVIGPIVGPADAEEGEKRHSGGGDSGGKGEGGSVGDALDADSFSGSSSPHNPNPNPMPKPPNPPRPDDNEGDVVADGLDFDDGASPKKPIATPKKNENDPLADSLDFGGDPAAVLVGLLGFLLLALAAGRAM
+>sp|Q9BWV3|CDAC1_HUMAN Cytidine and dCMP deaminase domain-containing protein 1 OS=Homo sapiens OX=9606 GN=CDADC1 PE=2 SV=1
+MKEAGQMQNLESARAGRSVSTQTGSMTGQIPRLSKVNLFTLLSLWMELFPAEAQRQKSQKNEEGKHGPLGDNEERTRVSTDKRQVKRTGLVVVKNMKIVGLHCSSEDLHAGQIALIKHGSRLKNCDLYFSRKPCSACLKMIVNAGVNRISYWPADPEISLLTEASSSEDAKLDAKAVERLKSNSRAHVCVLLQPLVCYMVQFVEETSYKCDFIQKITKTLPDANTDFYYECKQERIKEYEMLFLVSNEEMHKQILMTIGLENLCENPYFSNLRQNMKDLILLLATVASSVPNFKHFGFYRSNPEQINEIHNQSLPQEIARHCMVQARLLAYRTEDHKTGVGAVIWAEGKSRSCDGTGAMYFVGCGYNAFPVGSEYADFPHMDDKQKDREIRKFRYIIHAEQNALTFRCQEIKPEERSMIFVTKCPCDECVPLIKGAGIKQIYAGDVDVGKKKADISYMRFGELEGVSKFTWQLNPSGAYGLEQNEPERRENGVLRPVPQKEEQHQDKKLRLGIH
+>DECOY_sp|Q9BWV3|CDAC1_HUMAN Cytidine and dCMP deaminase domain-containing protein 1 OS=Homo sapiens OX=9606 GN=CDADC1 PE=2 SV=1
+HIGLRLKKDQHQEEKQPVPRLVGNERREPENQELGYAGSPNLQWTFKSVGELEGFRMYSIDAKKKGVDVDGAYIQKIGAGKILPVCEDCPCKTVFIMSREEPKIEQCRFTLANQEAHIIYRFKRIERDKQKDDMHPFDAYESGVPFANYGCGVFYMAGTGDCSRSKGEAWIVAGVGTKHDETRYALLRAQVMCHRAIEQPLSQNHIENIQEPNSRYFGFHKFNPVSSAVTALLLILDKMNQRLNSFYPNECLNELGITMLIQKHMEENSVLFLMEYEKIREQKCEYYFDTNADPLTKTIKQIFDCKYSTEEVFQVMYCVLPQLLVCVHARSNSKLREVAKADLKADESSSAETLLSIEPDAPWYSIRNVGANVIMKLCASCPKRSFYLDCNKLRSGHKILAIQGAHLDESSCHLGVIKMNKVVVLGTRKVQRKDTSVRTREENDGLPGHKGEENKQSKQRQAEAPFLEMWLSLLTFLNVKSLRPIQGTMSGTQTSVSRGARASELNQMQGAEKM
+>sp|Q13042|CDC16_HUMAN Cell division cycle protein 16 homolog OS=Homo sapiens OX=9606 GN=CDC16 PE=1 SV=2
+MNLERLRKRVRQYLDQQQYQSALFWADKVASLSREEPQDIYWLAQCLYLTAQYHRAAHALRSRKLDKLYEACRYLAARCHYAAKEHQQALDVLDMEEPINKRLFEKYLKDESGFKDPSSDWEMSQSSIKSSICLLRGKIYDALDNRTLATYSYKEALKLDVYCFEAFDLLTSHHMLTAQEEKELLESLPLSKLCNEEQELLRFLFENKLKKYNKPSETVIPESVDGLQENLDVVVSLAERHYYNCDFKMCYKLTSVVMEKDPFHASCLPVHIGTLVELNKANELFYLSHKLVDLYPSNPVSWFAVGCYYLMVGHKNEHARRYLSKATTLEKTYGPAWIAYGHSFAVESEHDQAMAAYFTAAQLMKGCHLPMLYIGLEYGLTNNSKLAERFFSQALSIAPEDPFVMHEVGVVAFQNGEWKTAEKWFLDALEKIKAIGNEVTVDKWEPLLNNLGHVCRKLKKYAEALDYHRQALVLIPQNASTYSAIGYIHSLMGNFENAVDYFHTALGLRRDDTFSVTMLGHCIEMYIGDSEAYIGADIKDKLKCYDFDVHTMKTLKNIISPPWDFREFEVEKQTAEETGLTPLETSRKTPDSRPSLEETFEIEMNESDMMLETSMSDHST
+>DECOY_sp|Q13042|CDC16_HUMAN Cell division cycle protein 16 homolog OS=Homo sapiens OX=9606 GN=CDC16 PE=1 SV=2
+TSHDSMSTELMMDSENMEIEFTEELSPRSDPTKRSTELPTLGTEEATQKEVEFERFDWPPSIINKLTKMTHVDFDYCKLKDKIDAGIYAESDGIYMEICHGLMTVSFTDDRRLGLATHFYDVANEFNGMLSHIYGIASYTSANQPILVLAQRHYDLAEAYKKLKRCVHGLNNLLPEWKDVTVENGIAKIKELADLFWKEATKWEGNQFAVVGVEHMVFPDEPAISLAQSFFREALKSNNTLGYELGIYLMPLHCGKMLQAATFYAAMAQDHESEVAFSHGYAIWAPGYTKELTTAKSLYRRAHENKHGVMLYYCGVAFWSVPNSPYLDVLKHSLYFLENAKNLEVLTGIHVPLCSAHFPDKEMVVSTLKYCMKFDCNYYHREALSVVVDLNEQLGDVSEPIVTESPKNYKKLKNEFLFRLLEQEENCLKSLPLSELLEKEEQATLMHHSTLLDFAEFCYVDLKLAEKYSYTALTRNDLADYIKGRLLCISSKISSQSMEWDSSPDKFGSEDKLYKEFLRKNIPEEMDLVDLAQQHEKAAYHCRAALYRCAEYLKDLKRSRLAHAARHYQATLYLCQALWYIDQPEERSLSAVKDAWFLASQYQQQDLYQRVRKRLRELNM
+>sp|Q96Q40|CDK15_HUMAN Cyclin-dependent kinase 15 OS=Homo sapiens OX=9606 GN=CDK15 PE=1 SV=2
+MGQELCAKTVQPGCSCYHCSEGGEAHSCRRSQPETTEAAFKLTDLKEASCSMTSFHPRGLQAARAQKFKSKRPRSNSDCFQEEDLRQGFQWRKSLPFGAASSYLNLEKLGEGSYATVYKGISRINGQLVALKVISMNAEEGVPFTAIREASLLKGLKHANIVLLHDIIHTKETLTFVFEYMHTDLAQYMSQHPGGLHPHNVRLFMFQLLRGLAYIHHQHVLHRDLKPQNLLISHLGELKLADFGLARAKSIPSQTYSSEVVTLWYRPPDALLGATEYSSELDIWGAGCIFIEMFQGQPLFPGVSNILEQLEKIWEVLGVPTEDTWPGVSKLPNYNPEWFPLPTPRSLHVVWNRLGRVPEAEDLASQMLKGFPRDRVSAQEALVHDYFSALPSQLYQLPDEESLFTVSGVRLKPEMCDLLASYQKGHHPAQFSKCW
+>DECOY_sp|Q96Q40|CDK15_HUMAN Cyclin-dependent kinase 15 OS=Homo sapiens OX=9606 GN=CDK15 PE=1 SV=2
+WCKSFQAPHHGKQYSALLDCMEPKLRVGSVTFLSEEDPLQYLQSPLASFYDHVLAEQASVRDRPFGKLMQSALDEAEPVRGLRNWVVHLSRPTPLPFWEPNYNPLKSVGPWTDETPVGLVEWIKELQELINSVGPFLPQGQFMEIFICGAGWIDLESSYETAGLLADPPRYWLTVVESSYTQSPISKARALGFDALKLEGLHSILLNQPKLDRHLVHQHHIYALGRLLQFMFLRVNHPHLGGPHQSMYQALDTHMYEFVFTLTEKTHIIDHLLVINAHKLGKLLSAERIATFPVGEEANMSIVKLAVLQGNIRSIGKYVTAYSGEGLKELNLYSSAAGFPLSKRWQFGQRLDEEQFCDSNSRPRKSKFKQARAAQLGRPHFSTMSCSAEKLDTLKFAAETTEPQSRRCSHAEGGESCHYCSCGPQVTKACLEQGM
+>sp|Q9BWU1|CDK19_HUMAN Cyclin-dependent kinase 19 OS=Homo sapiens OX=9606 GN=CDK19 PE=1 SV=1
+MDYDFKAKLAAERERVEDLFEYEGCKVGRGTYGHVYKARRKDGKDEKEYALKQIEGTGISMSACREIALLRELKHPNVIALQKVFLSHSDRKVWLLFDYAEHDLWHIIKFHRASKANKKPMQLPRSMVKSLLYQILDGIHYLHANWVLHRDLKPANILVMGEGPERGRVKIADMGFARLFNSPLKPLADLDPVVVTFWYRAPELLLGARHYTKAIDIWAIGCIFAELLTSEPIFHCRQEDIKTSNPFHHDQLDRIFSVMGFPADKDWEDIRKMPEYPTLQKDFRRTTYANSSLIKYMEKHKVKPDSKVFLLLQKLLTMDPTKRITSEQALQDPYFQEDPLPTLDVFAGCQIPYPKREFLNEDDPEEKGDKNQQQQQNQHQQPTAPPQQAAAPPQAPPPQQNSTQTNGTAGGAGAGVGGTGAGLQHSQDSSLNQVPPNKKPRLGPSGANSGGPVMPSDYQHSSSRLNYQSSVQGSSQSQSTLGYSSSSQQSSQYHPSHQAHRY
+>DECOY_sp|Q9BWU1|CDK19_HUMAN Cyclin-dependent kinase 19 OS=Homo sapiens OX=9606 GN=CDK19 PE=1 SV=1
+YRHAQHSPHYQSSQQSSSSYGLTSQSQSSGQVSSQYNLRSSSHQYDSPMVPGGSNAGSPGLRPKKNPPVQNLSSDQSHQLGAGTGGVGAGAGGATGNTQTSNQQPPPAQPPAAAQQPPATPQQHQNQQQQQNKDGKEEPDDENLFERKPYPIQCGAFVDLTPLPDEQFYPDQLAQESTIRKTPDMTLLKQLLLFVKSDPKVKHKEMYKILSSNAYTTRRFDKQLTPYEPMKRIDEWDKDAPFGMVSFIRDLQDHHFPNSTKIDEQRCHFIPESTLLEAFICGIAWIDIAKTYHRAGLLLEPARYWFTVVVPDLDALPKLPSNFLRAFGMDAIKVRGREPGEGMVLINAPKLDRHLVWNAHLYHIGDLIQYLLSKVMSRPLQMPKKNAKSARHFKIIHWLDHEAYDFLLWVKRDSHSLFVKQLAIVNPHKLERLLAIERCASMSIGTGEIQKLAYEKEDKGDKRRAKYVHGYTGRGVKCGEYEFLDEVREREAALKAKFDYDM
+>sp|Q8IZL9|CDK20_HUMAN Cyclin-dependent kinase 20 OS=Homo sapiens OX=9606 GN=CDK20 PE=1 SV=1
+MDQYCILGRIGEGAHGIVFKAKHVETGEIVALKKVALRRLEDGFPNQALREIKALQEMEDNQYVVQLKAVFPHGGGFVLAFEFMLSDLAEVVRHAQRPLAQAQVKSYLQMLLKGVAFCHANNIVHRDLKPANLLISASGQLKIADFGLARVFSPDGSRLYTHQVATRWYRAPELLYGARQYDQGVDLWSVGCIMGELLNGSPLFPGKNDIEQLCYVLRILGTPNPQVWPELTELPDYNKISFKEQVPMPLEEVLPDVSPQALDLLGQFLLYPPHQRIAASKALLHQYFFTAPLPAHPSELPIPQRLGGPAPKAHPGPPHIHDFHVDRPLEESLLNPELIRPFILEG
+>DECOY_sp|Q8IZL9|CDK20_HUMAN Cyclin-dependent kinase 20 OS=Homo sapiens OX=9606 GN=CDK20 PE=1 SV=1
+GELIFPRILEPNLLSEELPRDVHFDHIHPPGPHAKPAPGGLRQPIPLESPHAPLPATFFYQHLLAKSAAIRQHPPYLLFQGLLDLAQPSVDPLVEELPMPVQEKFSIKNYDPLETLEPWVQPNPTGLIRLVYCLQEIDNKGPFLPSGNLLEGMICGVSWLDVGQDYQRAGYLLEPARYWRTAVQHTYLRSGDPSFVRALGFDAIKLQGSASILLNAPKLDRHVINNAHCFAVGKLLMQLYSKVQAQALPRQAHRVVEALDSLMFEFALVFGGGHPFVAKLQVVYQNDEMEQLAKIERLAQNPFGDELRRLAVKKLAVIEGTEVHKAKFVIGHAGEGIRGLICYQDM
+>sp|P11802|CDK4_HUMAN Cyclin-dependent kinase 4 OS=Homo sapiens OX=9606 GN=CDK4 PE=1 SV=2
+MATSRYEPVAEIGVGAYGTVYKARDPHSGHFVALKSVRVPNGGGGGGGLPISTVREVALLRRLEAFEHPNVVRLMDVCATSRTDREIKVTLVFEHVDQDLRTYLDKAPPPGLPAETIKDLMRQFLRGLDFLHANCIVHRDLKPENILVTSGGTVKLADFGLARIYSYQMALTPVVVTLWYRAPEVLLQSTYATPVDMWSVGCIFAEMFRRKPLFCGNSEADQLGKIFDLIGLPPEDDWPRDVSLPRGAFPPRGPRPVQSVVPEMEESGAQLLLEMLTFNPHKRISAFRALQHSYLHKDEGNPE
+>DECOY_sp|P11802|CDK4_HUMAN Cyclin-dependent kinase 4 OS=Homo sapiens OX=9606 GN=CDK4 PE=1 SV=2
+EPNGEDKHLYSHQLARFASIRKHPNFTLMELLLQAGSEEMEPVVSQVPRPGRPPFAGRPLSVDRPWDDEPPLGILDFIKGLQDAESNGCFLPKRRFMEAFICGVSWMDVPTAYTSQLLVEPARYWLTVVVPTLAMQYSYIRALGFDALKVTGGSTVLINEPKLDRHVICNAHLFDLGRLFQRMLDKITEAPLGPPPAKDLYTRLDQDVHEFVLTVKIERDTRSTACVDMLRVVNPHEFAELRRLLAVERVTSIPLGGGGGGGNPVRVSKLAVFHGSHPDRAKYVTGYAGVGIEAVPEYRSTAM
+>sp|Q00535|CDK5_HUMAN Cyclin-dependent-like kinase 5 OS=Homo sapiens OX=9606 GN=CDK5 PE=1 SV=3
+MQKYEKLEKIGEGTYGTVFKAKNRETHEIVALKRVRLDDDDEGVPSSALREICLLKELKHKNIVRLHDVLHSDKKLTLVFEFCDQDLKKYFDSCNGDLDPEIVKSFLFQLLKGLGFCHSRNVLHRDLKPQNLLINRNGELKLADFGLARAFGIPVRCYSAEVVTLWYRPPDVLFGAKLYSTSIDMWSAGCIFAELANAGRPLFPGNDVDDQLKRIFRLLGTPTEEQWPSMTKLPDYKPYPMYPATTSLVNVVPKLNATGRDLLQNLLKCNPVQRISAEEALQHPYFSDFCPP
+>DECOY_sp|Q00535|CDK5_HUMAN Cyclin-dependent-like kinase 5 OS=Homo sapiens OX=9606 GN=CDK5 PE=1 SV=3
+PPCFDSFYPHQLAEEASIRQVPNCKLLNQLLDRGTANLKPVVNVLSTTAPYMPYPKYDPLKTMSPWQEETPTGLLRFIRKLQDDVDNGPFLPRGANALEAFICGASWMDISTSYLKAGFLVDPPRYWLTVVEASYCRVPIGFARALGFDALKLEGNRNILLNQPKLDRHLVNRSHCFGLGKLLQFLFSKVIEPDLDGNCSDFYKKLDQDCFEFVLTLKKDSHLVDHLRVINKHKLEKLLCIERLASSPVGEDDDDLRVRKLAVIEHTERNKAKFVTGYTGEGIKELKEYKQM
+>sp|P50613|CDK7_HUMAN Cyclin-dependent kinase 7 OS=Homo sapiens OX=9606 GN=CDK7 PE=1 SV=1
+MALDVKSRAKRYEKLDFLGEGQFATVYKARDKNTNQIVAIKKIKLGHRSEAKDGINRTALREIKLLQELSHPNIIGLLDAFGHKSNISLVFDFMETDLEVIIKDNSLVLTPSHIKAYMLMTLQGLEYLHQHWILHRDLKPNNLLLDENGVLKLADFGLAKSFGSPNRAYTHQVVTRWYRAPELLFGARMYGVGVDMWAVGCILAELLLRVPFLPGDSDLDQLTRIFETLGTPTEEQWPDMCSLPDYVTFKSFPGIPLHHIFSAAGDDLLDLIQGLFLFNPCARITATQALKMKYFSNRPGPTPGCQLPRPNCPVETLKEQSNPALAIKRKRTEALEQGGLPKKLIF
+>DECOY_sp|P50613|CDK7_HUMAN Cyclin-dependent kinase 7 OS=Homo sapiens OX=9606 GN=CDK7 PE=1 SV=1
+FILKKPLGGQELAETRKRKIALAPNSQEKLTEVPCNPRPLQCGPTPGPRNSFYKMKLAQTATIRACPNFLFLGQILDLLDDGAASFIHHLPIGPFSKFTVYDPLSCMDPWQEETPTGLTEFIRTLQDLDSDGPLFPVRLLLEALICGVAWMDVGVGYMRAGFLLEPARYWRTVVQHTYARNPSGFSKALGFDALKLVGNEDLLLNNPKLDRHLIWHQHLYELGQLTMLMYAKIHSPTLVLSNDKIIVELDTEMFDFVLSINSKHGFADLLGIINPHSLEQLLKIERLATRNIGDKAESRHGLKIKKIAVIQNTNKDRAKYVTAFQGEGLFDLKEYRKARSKVDLAM
+>sp|P46527|CDN1B_HUMAN Cyclin-dependent kinase inhibitor 1B OS=Homo sapiens OX=9606 GN=CDKN1B PE=1 SV=1
+MSNVRVSNGSPSLERMDARQAEHPKPSACRNLFGPVDHEELTRDLEKHCRDMEEASQRKWNFDFQNHKPLEGKYEWQEVEKGSLPEFYYRPPRPPKGACKVPAQESQDVSGSRPAAPLIGAPANSEDTHLVDPKTDPSDSQTGLAEQCAGIRKRPATDDSSTQNKRANRTEENVSDGSPNAGSVEQTPKKPGLRRRQT
+>DECOY_sp|P46527|CDN1B_HUMAN Cyclin-dependent kinase inhibitor 1B OS=Homo sapiens OX=9606 GN=CDKN1B PE=1 SV=1
+TQRRRLGPKKPTQEVSGANPSGDSVNEETRNARKNQTSSDDTAPRKRIGACQEALGTQSDSPDTKPDVLHTDESNAPAGILPAAPRSGSVDQSEQAPVKCAGKPPRPPRYYFEPLSGKEVEQWEYKGELPKHNQFDFNWKRQSAEEMDRCHKELDRTLEEHDVPGFLNRCASPKPHEAQRADMRELSPSGNSVRVNSM
+>sp|P42772|CDN2B_HUMAN Cyclin-dependent kinase 4 inhibitor B OS=Homo sapiens OX=9606 GN=CDKN2B PE=1 SV=1
+MREENKGMPSGGGSDEGLASAAARGLVEKVRQLLEAGADPNGVNRFGRRAIQVMMMGSARVAELLLLHGAEPNCADPATLTRPVHDAAREGFLDTLVVLHRAGARLDVRDAWGRLPVDLAEERGHRDVAGYLRTATGD
+>DECOY_sp|P42772|CDN2B_HUMAN Cyclin-dependent kinase 4 inhibitor B OS=Homo sapiens OX=9606 GN=CDKN2B PE=1 SV=1
+DGTATRLYGAVDRHGREEALDVPLRGWADRVDLRAGARHLVVLTDLFGERAADHVPRTLTAPDACNPEAGHLLLLEAVRASGMMMVQIARRGFRNVGNPDAGAELLQRVKEVLGRAAASALGEDSGGGSPMGKNEERM
+>sp|Q13873|BMPR2_HUMAN Bone morphogenetic protein receptor type-2 OS=Homo sapiens OX=9606 GN=BMPR2 PE=1 SV=2
+MTSSLQRPWRVPWLPWTILLVSTAAASQNQERLCAFKDPYQQDLGIGESRISHENGTILCSKGSTCYGLWEKSKGDINLVKQGCWSHIGDPQECHYEECVVTTTPPSIQNGTYRFCCCSTDLCNVNFTENFPPPDTTPLSPPHSFNRDETIIIALASVSVLAVLIVALCFGYRMLTGDRKQGLHSMNMMEAAASEPSLDLDNLKLLELIGRGRYGAVYKGSLDERPVAVKVFSFANRQNFINEKNIYRVPLMEHDNIARFIVGDERVTADGRMEYLLVMEYYPNGSLCKYLSLHTSDWVSSCRLAHSVTRGLAYLHTELPRGDHYKPAISHRDLNSRNVLVKNDGTCVISDFGLSMRLTGNRLVRPGEEDNAAISEVGTIRYMAPEVLEGAVNLRDCESALKQVDMYALGLIYWEIFMRCTDLFPGESVPEYQMAFQTEVGNHPTFEDMQVLVSREKQRPKFPEAWKENSLAVRSLKETIEDCWDQDAEARLTAQCAEERMAELMMIWERNKSVSPTVNPMSTAMQNERNLSHNRRVPKIGPYPDYSSSSYIEDSIHHTDSIVKNISSEHSMSSTPLTIGEKNRNSINYERQQAQARIPSPETSVTSLSTNTTTTNTTGLTPSTGMTTISEMPYPDETNLHTTNVAQSIGPTPVCLQLTEEDLETNKLDPKEVDKNLKESSDENLMEHSLKQFSGPDPLSSTSSSLLYPLIKLAVEATGQQDFTQTANGQACLIPDVLPTQIYPLPKQQNLPKRPTSLPLNTKNSTKEPRLKFGSKHKSNLKQVETGVAKMNTINAAEPHVVTVTMNGVAGRNHSVNSHAATTQYANGTVLSGQTTNIVTHRAQEMLQNQFIGEDTRLNINSSPDEHEPLLRREQQAGHDEGVLDRLVDRRERPLEGGRTNSNNNNSNPCSEQDVLAQGVPSTAADPGPSKPRRAQRPNSLDLSATNVLDGSSIQIGESTQDGKSGSGEKIKKRVKTPYSLKRWRPSTWVISTESLDCEVNNNGSNRAVHSKSSTAVYLAEGGTATTMVSKDIGMNCL
+>DECOY_sp|Q13873|BMPR2_HUMAN Bone morphogenetic protein receptor type-2 OS=Homo sapiens OX=9606 GN=BMPR2 PE=1 SV=2
+LCNMGIDKSVMTTATGGEALYVATSSKSHVARNSGNNNVECDLSETSIVWTSPRWRKLSYPTKVRKKIKEGSGSKGDQTSEGIQISSGDLVNTASLDLSNPRQARRPKSPGPDAATSPVGQALVDQESCPNSNNNNSNTRGGELPRERRDVLRDLVGEDHGAQQERRLLPEHEDPSSNINLRTDEGIFQNQLMEQARHTVINTTQGSLVTGNAYQTTAAHSNVSHNRGAVGNMTVTVVHPEAANITNMKAVGTEVQKLNSKHKSGFKLRPEKTSNKTNLPLSTPRKPLNQQKPLPYIQTPLVDPILCAQGNATQTFDQQGTAEVALKILPYLLSSSTSSLPDPGSFQKLSHEMLNEDSSEKLNKDVEKPDLKNTELDEETLQLCVPTPGISQAVNTTHLNTEDPYPMESITTMGTSPTLGTTNTTTTNTSLSTVSTEPSPIRAQAQQREYNISNRNKEGITLPTSSMSHESSINKVISDTHHISDEIYSSSSYDPYPGIKPVRRNHSLNRENQMATSMPNVTPSVSKNREWIMMLEAMREEACQATLRAEADQDWCDEITEKLSRVALSNEKWAEPFKPRQKERSVLVQMDEFTPHNGVETQFAMQYEPVSEGPFLDTCRMFIEWYILGLAYMDVQKLASECDRLNVAGELVEPAMYRITGVESIAANDEEGPRVLRNGTLRMSLGFDSIVCTGDNKVLVNRSNLDRHSIAPKYHDGRPLETHLYALGRTVSHALRCSSVWDSTHLSLYKCLSGNPYYEMVLLYEMRGDATVREDGVIFRAINDHEMLPVRYINKENIFNQRNAFSFVKVAVPREDLSGKYVAGYRGRGILELLKLNDLDLSPESAAAEMMNMSHLGQKRDGTLMRYGFCLAVILVALVSVSALAIIITEDRNFSHPPSLPTTDPPPFNETFNVNCLDTSCCCFRYTGNQISPPTTTVVCEEYHCEQPDGIHSWCGQKVLNIDGKSKEWLGYCTSGKSCLITGNEHSIRSEGIGLDQQYPDKFACLREQNQSAAATSVLLITWPLWPVRWPRQLSSTM
+>sp|Q14692|BMS1_HUMAN Ribosome biogenesis protein BMS1 homolog OS=Homo sapiens OX=9606 GN=BMS1 PE=1 SV=1
+MEAKDQKKHRKKNSGPKAAKKKKRLLQDLQLGDEEDARKRNPKAFAVQSAVRMARSFHRTQDLKTKKHHIPVVDRTPLEPPPIVVVVMGPPKVGKSTLIQCLIRNFTRQKLTEIRGPVTIVSGKKRRLTIIECGCDINMMIDLAKVADLVLMLIDASFGFEMETFEFLNICQVHGFPKIMGVLTHLDSFKHNKQLKKTKKRLKHRFWTEVYPGAKLFYLSGMVHGEYQNQEIHNLGRFITVMKFRPLTWQTSHPYILADRMEDLTNPEDIRTNIKCDRKVSLYGYLRGAHLKNKSQIHMPGVGDFAVSDISFLPDPCALPEQQKKRCLNEKEKLVYAPLSGVGGVLYDKDAVYVDLGGSHVFQDEVGPTHELVQSLISTHSTIDAKMASSRVTLFSDSKPLGSEDIDNQGLMMPKEEKQMDLNTGRMRRKAIFGDEDESGDSDDEEDDEMSEDDGLENGSSDEEAEEEENAEMTDQYMAVKGIKRRKLELEEDSEMDLPAFADSDDDLERSSAEEGEAEEADESSEEEDCTAGEKGISGSKAAGEGSKAGLSPANCQSDRVNLEKSLLMKKAALPTFDSGHCTAEEVFASEDESEESSSLSAEEEDSENEEAIRKKLSKPSQVSSGQKLGPQNFIDETSDIENLLKEEEDYKEENNDSKETSGALKWKEDLSRKAAEAFLRQQQAAPNLRKLIYGTVTEDNEEEDDDTLEELGGLFRVNQPDRECKHKADSLDCSRFLVEAPHDWDLEEVMNSIRDCFVTGKWEDDKDAAKVLAEDEELYGDFEDLETGDVHKGKSGPNTQNEDIEKEVKEEIDPDEEESAKKKHLDKKRKLKEMFDAEYDEGESTYFDDLKGEMQKQAQLNRAEFEDQDDEARVQYEGFRPGMYVRIEIENVPCEFVQNFDPHYPIILGGLGNSEGNVGYVQMRLKKHRWYKKILKSRDPIIFSVGWRRFQTIPLYYIEDHNGRQRLLKYTPQHMHCGAAFWGPITPQGTGFLAIQSVSGIMPDFRIAATGVVLDLDKSIKIVKKLKLTGFPYKIFKNTSFIKGMFNSALEVAKFEGAVIRTVSGIRGQIKKALRAPEGAFRASFEDKLLMSDIVFMRTWYPVSIPAFYNPVTSLLKPVGEKDTWSGMRTTGQLRLAHGVRLKANKDSLYKPILRQKKHFNSLHIPKALQKALPFKNKPKTQAKAGKVPKDRRRPAVIREPHERKILALLDALSTVHSQKMKKAKEQRHLHNKEHFRAKQKEEEEKLKRQKDLRKKLFRIQGQKERRNQKSSLKGAEGQLQ
+>DECOY_sp|Q14692|BMS1_HUMAN Ribosome biogenesis protein BMS1 homolog OS=Homo sapiens OX=9606 GN=BMS1 PE=1 SV=1
+QLQGEAGKLSSKQNRREKQGQIRFLKKRLDKQRKLKEEEEKQKARFHEKNHLHRQEKAKKMKQSHVTSLADLLALIKREHPERIVAPRRRDKPVKGAKAQTKPKNKFPLAKQLAKPIHLSNFHKKQRLIPKYLSDKNAKLRVGHALRLQGTTRMGSWTDKEGVPKLLSTVPNYFAPISVPYWTRMFVIDSMLLKDEFSARFAGEPARLAKKIQGRIGSVTRIVAGEFKAVELASNFMGKIFSTNKFIKYPFGTLKLKKVIKISKDLDLVVGTAAIRFDPMIGSVSQIALFGTGQPTIPGWFAAGCHMHQPTYKLLRQRGNHDEIYYLPITQFRRWGVSFIIPDRSKLIKKYWRHKKLRMQVYGVNGESNGLGGLIIPYHPDFNQVFECPVNEIEIRVYMGPRFGEYQVRAEDDQDEFEARNLQAQKQMEGKLDDFYTSEGEDYEADFMEKLKRKKDLHKKKASEEEDPDIEEKVEKEIDENQTNPGSKGKHVDGTELDEFDGYLEEDEALVKAADKDDEWKGTVFCDRISNMVEELDWDHPAEVLFRSCDLSDAKHKCERDPQNVRFLGGLEELTDDDEEENDETVTGYILKRLNPAAQQQRLFAEAAKRSLDEKWKLAGSTEKSDNNEEKYDEEEKLLNEIDSTEDIFNQPGLKQGSSVQSPKSLKKRIAEENESDEEEASLSSSEESEDESAFVEEATCHGSDFTPLAAKKMLLSKELNVRDSQCNAPSLGAKSGEGAAKSGSIGKEGATCDEEESSEDAEEAEGEEASSRELDDDSDAFAPLDMESDEELELKRRKIGKVAMYQDTMEANEEEEAEEDSSGNELGDDESMEDDEEDDSDGSEDEDGFIAKRRMRGTNLDMQKEEKPMMLGQNDIDESGLPKSDSFLTVRSSAMKADITSHTSILSQVLEHTPGVEDQFVHSGGLDVYVADKDYLVGGVGSLPAYVLKEKENLCRKKQQEPLACPDPLFSIDSVAFDGVGPMHIQSKNKLHAGRLYGYLSVKRDCKINTRIDEPNTLDEMRDALIYPHSTQWTLPRFKMVTIFRGLNHIEQNQYEGHVMGSLYFLKAGPYVETWFRHKLRKKTKKLQKNHKFSDLHTLVGMIKPFGHVQCINLFEFTEMEFGFSADILMLVLDAVKALDIMMNIDCGCEIITLRRKKGSVITVPGRIETLKQRTFNRILCQILTSKGVKPPGMVVVVIPPPELPTRDVVPIHHKKTKLDQTRHFSRAMRVASQVAFAKPNRKRADEEDGLQLDQLLRKKKKAAKPGSNKKRHKKQDKAEM
+>sp|Q12982|BNIP2_HUMAN BCL2/adenovirus E1B 19 kDa protein-interacting protein 2 OS=Homo sapiens OX=9606 GN=BNIP2 PE=1 SV=1
+MEGVELKEEWQDEDFPIPLPEDDSIEADILAITGPEDQPGSLEVNGNKVRKKLMAPDISLTLDPSDGSVLSDDLDESGEIDLDGLDTPSENSNEFEWEDDLPKPKTTEVIRKGSITEYTAAEEKEDGRRWRMFRIGEQDHRVDMKAIEPYKKVISHGGYYGDGLNAIVVFAVCFMPESSQPNYRYLMDNLFKYVIGTLELLVAENYMIVYLNGATTRRKMPSLGWLRKCYQQIDRRLRKNLKSLIIVHPSWFIRTLLAVTRPFISSKFSQKIRYVFNLAELAELVPMEYVGIPECIKQVDQELNGKQDEPKNEQ
+>DECOY_sp|Q12982|BNIP2_HUMAN BCL2/adenovirus E1B 19 kDa protein-interacting protein 2 OS=Homo sapiens OX=9606 GN=BNIP2 PE=1 SV=1
+QENKPEDQKGNLEQDVQKICEPIGVYEMPVLEALEALNFVYRIKQSFKSSIFPRTVALLTRIFWSPHVIILSKLNKRLRRDIQQYCKRLWGLSPMKRRTTAGNLYVIMYNEAVLLELTGIVYKFLNDMLYRYNPQSSEPMFCVAFVVIANLGDGYYGGHSIVKKYPEIAKMDVRHDQEGIRFMRWRRGDEKEEAATYETISGKRIVETTKPKPLDDEWEFENSNESPTDLGDLDIEGSEDLDDSLVSGDSPDLTLSIDPAMLKKRVKNGNVELSGPQDEPGTIALIDAEISDDEPLPIPFDEDQWEEKLEVGEM
+>sp|Q7Z465|BNIPL_HUMAN Bcl-2/adenovirus E1B 19 kDa-interacting protein 2-like protein OS=Homo sapiens OX=9606 GN=BNIPL PE=1 SV=1
+MGTIQEAGKKTDVGVREIAEAPELGAALRHGELELKEEWQDEEFPRLLPEEAGTSEDPEDPKGDSQAAAGTPSTLALCGQRPMRKRLSAPELRLSLTKGPGNDGASPTQSAPSSPDGSSDLEIDELETPSDSEQLDSGHEFEWEDELPRAEGLGTSETAERLGRGCMWDVTGEDGHHWRVFRMGPREQRVDMTVIEPYKKVLSHGGYHGDGLNAVILFASCYLPRSSIPNYTYVMEHLFRYMVGTLELLVAENYLLVHLSGGTSRAQVPPLSWIRQCYRTLDRRLRKNLRALVVVHATWYVKAFLALLRPFISSKFTRKIRFLDSLGELAQLISLDQVHIPEAVRQLDRDLHGSGGT
+>DECOY_sp|Q7Z465|BNIPL_HUMAN Bcl-2/adenovirus E1B 19 kDa-interacting protein 2-like protein OS=Homo sapiens OX=9606 GN=BNIPL PE=1 SV=1
+TGGSGHLDRDLQRVAEPIHVQDLSILQALEGLSDLFRIKRTFKSSIFPRLLALFAKVYWTAHVVVLARLNKRLRRDLTRYCQRIWSLPPVQARSTGGSLHVLLYNEAVLLELTGVMYRFLHEMVYTYNPISSRPLYCSAFLIVANLGDGHYGGHSLVKKYPEIVTMDVRQERPGMRFVRWHHGDEGTVDWMCGRGLREATESTGLGEARPLEDEWEFEHGSDLQESDSPTELEDIELDSSGDPSSPASQTPSAGDNGPGKTLSLRLEPASLRKRMPRQGCLALTSPTGAAAQSDGKPDEPDESTGAEEPLLRPFEEDQWEEKLELEGHRLAAGLEPAEAIERVGVDTKKGAEQITGM
+>sp|Q9BWV1|BOC_HUMAN Brother of CDO OS=Homo sapiens OX=9606 GN=BOC PE=1 SV=1
+MLRGTMTAWRGMRPEVTLACLLLATAGCFADLNEVPQVTVQPASTVQKPGGTVILGCVVEPPRMNVTWRLNGKELNGSDDALGVLITHGTLVITALNNHTVGRYQCVARMPAGAVASVPATVTLANLQDFKLDVQHVIEVDEGNTAVIACHLPESHPKAQVRYSVKQEWLEASRGNYLIMPSGNLQIVNASQEDEGMYKCAAYNPVTQEVKTSGSSDRLRVRRSTAEAARIIYPPEAQTIIVTKGQSLILECVASGIPPPRVTWAKDGSSVTGYNKTRFLLSNLLIDTTSEEDSGTYRCMADNGVGQPGAAVILYNVQVFEPPEVTMELSQLVIPWGQSAKLTCEVRGNPPPSVLWLRNAVPLISSQRLRLSRRALRVLSMGPEDEGVYQCMAENEVGSAHAVVQLRTSRPSITPRLWQDAELATGTPPVSPSKLGNPEQMLRGQPALPRPPTSVGPASPQCPGEKGQGAPAEAPIILSSPRTSKTDSYELVWRPRHEGSGRAPILYYVVKHRKVTNSSDDWTISGIPANQHRLTLTRLDPGSLYEVEMAAYNCAGEGQTAMVTFRTGRRPKPEIMASKEQQIQRDDPGASPQSSSQPDHGRLSPPEAPDRPTISTASETSVYVTWIPRGNGGFPIQSFRVEYKKLKKVGDWILATSAIPPSRLSVEITGLEKGTSYKFRVRALNMLGESEPSAPSRPYVVSGYSGRVYERPVAGPYITFTDAVNETTIMLKWMYIPASNNNTPIHGFYIYYRPTDSDNDSDYKKDMVEGDKYWHSISHLQPETSYDIKMQCFNEGGESEFSNVMICETKARKSSGQPGRLPPPTLAPPQPPLPETIERPVGTGAMVARSSDLPYLIVGVVLGSIVLIIVTFIPFCLWRAWSKQKHTTDLGFPRSALPPSCPYTMVPLGGLPGHQASGQPYLSGISGRACANGIHMNRGCPSAAVGYPGMKPQQHCPGELQQQSDTSSLLRQTHLGNGYDPQSHQITRGPKSSPDEGSFLYTLPDDSTHQLLQPHHDCCQRQEQPAAVGQSGVRRAPDSPVLEAVWDPPFHSGPPCCLGLVPVEEVDSPDSCQVSGGDWCPQHPVGAYVGQEPGMQLSPGPLVRVSFETPPLTI
+>DECOY_sp|Q9BWV1|BOC_HUMAN Brother of CDO OS=Homo sapiens OX=9606 GN=BOC PE=1 SV=1
+ITLPPTEFSVRVLPGPSLQMGPEQGVYAGVPHQPCWDGGSVQCSDPSDVEEVPVLGLCCPPGSHFPPDWVAELVPSDPARRVGSQGVAAPQEQRQCCDHHPQLLQHTSDDPLTYLFSGEDPSSKPGRTIQHSQPDYGNGLHTQRLLSSTDSQQQLEGPCHQQPKMGPYGVAASPCGRNMHIGNACARGSIGSLYPQGSAQHGPLGGLPVMTYPCSPPLASRPFGLDTTHKQKSWARWLCFPIFTVIILVISGLVVGVILYPLDSSRAVMAGTGVPREITEPLPPQPPALTPPPLRGPQGSSKRAKTECIMVNSFESEGGENFCQMKIDYSTEPQLHSISHWYKDGEVMDKKYDSDNDSDTPRYYIYFGHIPTNNNSAPIYMWKLMITTENVADTFTIYPGAVPREYVRGSYGSVVYPRSPASPESEGLMNLARVRFKYSTGKELGTIEVSLRSPPIASTALIWDGVKKLKKYEVRFSQIPFGGNGRPIWTVYVSTESATSITPRDPAEPPSLRGHDPQSSSQPSAGPDDRQIQQEKSAMIEPKPRRGTRFTVMATQGEGACNYAAMEVEYLSGPDLRTLTLRHQNAPIGSITWDDSSNTVKRHKVVYYLIPARGSGEHRPRWVLEYSDTKSTRPSSLIIPAEAPAGQGKEGPCQPSAPGVSTPPRPLAPQGRLMQEPNGLKSPSVPPTGTALEADQWLRPTISPRSTRLQVVAHASGVENEAMCQYVGEDEPGMSLVRLARRSLRLRQSSILPVANRLWLVSPPPNGRVECTLKASQGWPIVLQSLEMTVEPPEFVQVNYLIVAAGPQGVGNDAMCRYTGSDEESTTDILLNSLLFRTKNYGTVSSGDKAWTVRPPPIGSAVCELILSQGKTVIITQAEPPYIIRAAEATSRRVRLRDSSGSTKVEQTVPNYAACKYMGEDEQSANVIQLNGSPMILYNGRSAELWEQKVSYRVQAKPHSEPLHCAIVATNGEDVEIVHQVDLKFDQLNALTVTAPVSAVAGAPMRAVCQYRGVTHNNLATIVLTGHTILVGLADDSGNLEKGNLRWTVNMRPPEVVCGLIVTGGPKQVTSAPQVTVQPVENLDAFCGATALLLCALTVEPRMGRWATMTGRLM
+>sp|O75936|BODG_HUMAN Gamma-butyrobetaine dioxygenase OS=Homo sapiens OX=9606 GN=BBOX1 PE=1 SV=1
+MACTIQKAEALDGAHLMQILWYDEEESLYPAVWLRDNCPCSDCYLDSAKARKLLVEALDVNIGIKGLIFDRKKVYITWPDEHYSEFQADWLKKRCFSKQARAKLQRELFFPECQYWGSELQLPTLDFEDVLRYDEHAYKWLSTLKKVGIVRLTGASDKPGEVSKLGKRMGFLYLTFYGHTWQVQDKIDANNVAYTTGKLSFHTDYPALHHPPGVQLLHCIKQTVTGGDSEIVDGFNVCQKLKKNNPQAFQILSSTFVDFTDIGVDYCDFSVQSKHKIIELDDKGQVVRINFNNATRDTIFDVPVERVQPFYAALKEFVDLMNSKESKFTFKMNPGDVITFDNWRLLHGRRSYEAGTEISRHLEGAYADWDVVMSRLRILRQRVENGN
+>DECOY_sp|O75936|BODG_HUMAN Gamma-butyrobetaine dioxygenase OS=Homo sapiens OX=9606 GN=BBOX1 PE=1 SV=1
+NGNEVRQRLIRLRSMVVDWDAYAGELHRSIETGAEYSRRGHLLRWNDFTIVDGPNMKFTFKSEKSNMLDVFEKLAAYFPQVREVPVDFITDRTANNFNIRVVQGKDDLEIIKHKSQVSFDCYDVGIDTFDVFTSSLIQFAQPNNKKLKQCVNFGDVIESDGGTVTQKICHLLQVGPPHHLAPYDTHFSLKGTTYAVNNADIKDQVQWTHGYFTLYLFGMRKGLKSVEGPKDSAGTLRVIGVKKLTSLWKYAHEDYRLVDEFDLTPLQLESGWYQCEPFFLERQLKARAQKSFCRKKLWDAQFESYHEDPWTIYVKKRDFILGKIGINVDLAEVLLKRAKASDLYCDSCPCNDRLWVAPYLSEEEDYWLIQMLHAGDLAEAKQITCAM
+>sp|Q96GS4|BORC6_HUMAN BLOC-1-related complex subunit 6 OS=Homo sapiens OX=9606 GN=BORCS6 PE=1 SV=2
+MESSRGRPGPETDLLAVAEHQALVFGGGPGRTSSEPPAGLRVSGEEETENVGGANRHPRTSPKTSSCGVVHRPEREALENEPGPQGTLSGAGSRRGAPGAEHEPSLSSRHKNPAPPEGKPSSGRDCRRGGPGGGMDVEQQEEEDNDEEAAAGSRAGRSFSSRLQDSRSLDGLSEACGGAGSSGSAESGAGGGRRATISSPLELEGTVSRHGDLTHFVANNLQLKIRLSGAPPPPPSAPARPCPAPAPTPTPAIPPIDPEVLRDLERLSRELGGRVDRLLRGLGGAVQELTALSVGCIQTYRDAVDSLGEAVDMSIKGMYTLLARCEELERALQPVQGLARQVRDIRRTLEVLEALCK
+>DECOY_sp|Q96GS4|BORC6_HUMAN BLOC-1-related complex subunit 6 OS=Homo sapiens OX=9606 GN=BORCS6 PE=1 SV=2
+KCLAELVELTRRIDRVQRALGQVPQLARELEECRALLTYMGKISMDVAEGLSDVADRYTQICGVSLATLEQVAGGLGRLLRDVRGGLERSLRELDRLVEPDIPPIAPTPTPAPAPCPRAPASPPPPPAGSLRIKLQLNNAVFHTLDGHRSVTGELELPSSITARRGGGAGSEASGSSGAGGCAESLGDLSRSDQLRSSFSRGARSGAAAEEDNDEEEQQEVDMGGGPGGRRCDRGSSPKGEPPAPNKHRSSLSPEHEAGPAGRRSGAGSLTGQPGPENELAEREPRHVVGCSSTKPSTRPHRNAGGVNETEEEGSVRLGAPPESSTRGPGGGFVLAQHEAVALLDTEPGPRGRSSEM
+>sp|Q96B45|BORC7_HUMAN BLOC-1-related complex subunit 7 OS=Homo sapiens OX=9606 GN=BORCS7 PE=3 SV=1
+MATGTPESQARFGQSVKGLLTEKVTTCGTDVIALTKQVLKGSRSSELLGQAARNMVLQEDAILHSEDSLRKMAIITTHLQYQQEAIQKNVEQSSDLQDQLNHLLK
+>DECOY_sp|Q96B45|BORC7_HUMAN BLOC-1-related complex subunit 7 OS=Homo sapiens OX=9606 GN=BORCS7 PE=3 SV=1
+KLLHNLQDQLDSSQEVNKQIAEQQYQLHTTIIAMKRLSDESHLIADEQLVMNRAAQGLLESSRSGKLVQKTLAIVDTGCTTVKETLLGKVSQGFRAQSEPTGTAM
+>sp|Q9UKI2|BORG2_HUMAN Cdc42 effector protein 3 OS=Homo sapiens OX=9606 GN=CDC42EP3 PE=1 SV=1
+MPAKTPIYLKAANNKKGKKFKLRDILSPDMISPPLGDFRHTIHIGKEGQHDVFGDISFLQGNYELLPGNQEKAHLGQFPGHNEFFRANSTSDSVFTETPSPVLKNAISLPTIGGSQALMLPLLSPVTFNSKQESFGPAKLPRLSCEPVMEEKAQEKSSLLENGTVHQGDTSWGSSGSASQSSQGRDSHSSSLSEQYPDWPAEDMFDHPTPCELIKGKTKSEESLSDLTGSLLSLQLDLGPSLLDEVLNVMDKNK
+>DECOY_sp|Q9UKI2|BORG2_HUMAN Cdc42 effector protein 3 OS=Homo sapiens OX=9606 GN=CDC42EP3 PE=1 SV=1
+KNKDMVNLVEDLLSPGLDLQLSLLSGTLDSLSEESKTKGKILECPTPHDFMDEAPWDPYQESLSSSHSDRGQSSQSASGSSGWSTDGQHVTGNELLSSKEQAKEEMVPECSLRPLKAPGFSEQKSNFTVPSLLPLMLAQSGGITPLSIANKLVPSPTETFVSDSTSNARFFENHGPFQGLHAKEQNGPLLEYNGQLFSIDGFVDHQGEKGIHITHRFDGLPPSIMDPSLIDRLKFKKGKKNNAAKLYIPTKAPM
+>sp|P38398|BRCA1_HUMAN Breast cancer type 1 susceptibility protein OS=Homo sapiens OX=9606 GN=BRCA1 PE=1 SV=2
+MDLSALRVEEVQNVINAMQKILECPICLELIKEPVSTKCDHIFCKFCMLKLLNQKKGPSQCPLCKNDITKRSLQESTRFSQLVEELLKIICAFQLDTGLEYANSYNFAKKENNSPEHLKDEVSIIQSMGYRNRAKRLLQSEPENPSLQETSLSVQLSNLGTVRTLRTKQRIQPQKTSVYIELGSDSSEDTVNKATYCSVGDQELLQITPQGTRDEISLDSAKKAACEFSETDVTNTEHHQPSNNDLNTTEKRAAERHPEKYQGSSVSNLHVEPCGTNTHASSLQHENSSLLLTKDRMNVEKAEFCNKSKQPGLARSQHNRWAGSKETCNDRRTPSTEKKVDLNADPLCERKEWNKQKLPCSENPRDTEDVPWITLNSSIQKVNEWFSRSDELLGSDDSHDGESESNAKVADVLDVLNEVDEYSGSSEKIDLLASDPHEALICKSERVHSKSVESNIEDKIFGKTYRKKASLPNLSHVTENLIIGAFVTEPQIIQERPLTNKLKRKRRPTSGLHPEDFIKKADLAVQKTPEMINQGTNQTEQNGQVMNITNSGHENKTKGDSIQNEKNPNPIESLEKESAFKTKAEPISSSISNMELELNIHNSKAPKKNRLRRKSSTRHIHALELVVSRNLSPPNCTELQIDSCSSSEEIKKKKYNQMPVRHSRNLQLMEGKEPATGAKKSNKPNEQTSKRHDSDTFPELKLTNAPGSFTKCSNTSELKEFVNPSLPREEKEEKLETVKVSNNAEDPKDLMLSGERVLQTERSVESSSISLVPGTDYGTQESISLLEVSTLGKAKTEPNKCVSQCAAFENPKGLIHGCSKDNRNDTEGFKYPLGHEVNHSRETSIEMEESELDAQYLQNTFKVSKRQSFAPFSNPGNAEEECATFSAHSGSLKKQSPKVTFECEQKEENQGKNESNIKPVQTVNITAGFPVVGQKDKPVDNAKCSIKGGSRFCLSSQFRGNETGLITPNKHGLLQNPYRIPPLFPIKSFVKTKCKKNLLEENFEEHSMSPEREMGNENIPSTVSTISRNNIRENVFKEASSSNINEVGSSTNEVGSSINEIGSSDENIQAELGRNRGPKLNAMLRLGVLQPEVYKQSLPGSNCKHPEIKKQEYEEVVQTVNTDFSPYLISDNLEQPMGSSHASQVCSETPDDLLDDGEIKEDTSFAENDIKESSAVFSKSVQKGELSRSPSPFTHTHLAQGYRRGAKKLESSEENLSSEDEELPCFQHLLFGKVNNIPSQSTRHSTVATECLSKNTEENLLSLKNSLNDCSNQVILAKASQEHHLSEETKCSASLFSSQCSELEDLTANTNTQDPFLIGSSKQMRHQSESQGVGLSDKELVSDDEERGTGLEENNQEEQSMDSNLGEAASGCESETSVSEDCSGLSSQSDILTTQQRDTMQHNLIKLQQEMAELEAVLEQHGSQPSNSYPSIISDSSALEDLRNPEQSTSEKAVLTSQKSSEYPISQNPEGLSADKFEVSADSSTSKNKEPGVERSSPSKCPSLDDRWYMHSCSGSLQNRNYPSQEELIKVVDVEEQQLEESGPHDLTETSYLPRQDLEGTPYLESGISLFSDDPESDPSEDRAPESARVGNIPSSTSALKVPQLKVAESAQSPAAAHTTDTAGYNAMEESVSREKPELTASTERVNKRMSMVVSGLTPEEFMLVYKFARKHHITLTNLITEETTHVVMKTDAEFVCERTLKYFLGIAGGKWVVSYFWVTQSIKERKMLNEHDFEVRGDVVNGRNHQGPKRARESQDRKIFRGLEICCYGPFTNMPTDQLEWMVQLCGASVVKELSSFTLGTGVHPIVVVQPDAWTEDNGFHAIGQMCEAPVVTREWVLDSVALYQCQELDTYLIPQIPHSHY
+>DECOY_sp|P38398|BRCA1_HUMAN Breast cancer type 1 susceptibility protein OS=Homo sapiens OX=9606 GN=BRCA1 PE=1 SV=2
+YHSHPIQPILYTDLEQCQYLAVSDLVWERTVVPAECMQGIAHFGNDETWADPQVVVIPHVGTGLTFSSLEKVVSAGCLQVMWELQDTPMNTFPGYCCIELGRFIKRDQSERARKPGQHNRGNVVDGRVEFDHENLMKREKISQTVWFYSVVWKGGAIGLFYKLTRECVFEADTKMVVHTTEETILNTLTIHHKRAFKYVLMFEEPTLGSVVMSMRKNVRETSATLEPKERSVSEEMANYGATDTTHAAAPSQASEAVKLQPVKLASTSSPINGVRASEPARDESPDSEPDDSFLSIGSELYPTGELDQRPLYSTETLDHPGSEELQQEEVDVVKILEEQSPYNRNQLSGSCSHMYWRDDLSPCKSPSSREVGPEKNKSTSSDASVEFKDASLGEPNQSIPYESSKQSTLVAKESTSQEPNRLDELASSDSIISPYSNSPQSGHQELVAELEAMEQQLKILNHQMTDRQQTTLIDSQSSLGSCDESVSTESECGSAAEGLNSDMSQEEQNNEELGTGREEDDSVLEKDSLGVGQSESQHRMQKSSGILFPDQTNTNATLDELESCQSSFLSASCKTEESLHHEQSAKALIVQNSCDNLSNKLSLLNEETNKSLCETAVTSHRTSQSPINNVKGFLLHQFCPLEEDESSLNEESSELKKAGRRYGQALHTHTFPSPSRSLEGKQVSKSFVASSEKIDNEAFSTDEKIEGDDLLDDPTESCVQSAHSSGMPQELNDSILYPSFDTNVTQVVEEYEQKKIEPHKCNSGPLSQKYVEPQLVGLRLMANLKPGRNRGLEAQINEDSSGIENISSGVENTSSGVENINSSSAEKFVNERINNRSITSVTSPINENGMEREPSMSHEEFNEELLNKKCKTKVFSKIPFLPPIRYPNQLLGHKNPTILGTENGRFQSSLCFRSGGKISCKANDVPKDKQGVVPFGATINVTQVPKINSENKGQNEEKQECEFTVKPSQKKLSGSHASFTACEEEANGPNSFPAFSQRKSVKFTNQLYQADLESEEMEISTERSHNVEHGLPYKFGETDNRNDKSCGHILGKPNEFAACQSVCKNPETKAKGLTSVELLSISEQTGYDTGPVLSISSSEVSRETQLVREGSLMLDKPDEANNSVKVTELKEEKEERPLSPNVFEKLESTNSCKTFSGPANTLKLEPFTDSDHRKSTQENPKNSKKAGTAPEKGEMLQLNRSHRVPMQNYKKKKIEESSSCSDIQLETCNPPSLNRSVVLELAHIHRTSSKRRLRNKKPAKSNHINLELEMNSISSSIPEAKTKFASEKELSEIPNPNKENQISDGKTKNEHGSNTINMVQGNQETQNTGQNIMEPTKQVALDAKKIFDEPHLGSTPRRKRKLKNTLPREQIIQPETVFAGIILNETVHSLNPLSAKKRYTKGFIKDEINSEVSKSHVRESKCILAEHPDSALLDIKESSGSYEDVENLVDLVDAVKANSESEGDHSDDSGLLEDSRSFWENVKQISSNLTIWPVDETDRPNESCPLKQKNWEKRECLPDANLDVKKETSPTRRDNCTEKSGAWRNHQSRALGPQKSKNCFEAKEVNMRDKTLLLSSNEHQLSSAHTNTGCPEVHLNSVSSGQYKEPHREAARKETTNLDNNSPQHHETNTVDTESFECAAKKASDLSIEDRTGQPTIQLLEQDGVSCYTAKNVTDESSDSGLEIYVSTKQPQIRQKTRLTRVTGLNSLQVSLSTEQLSPNEPESQLLRKARNRYGMSQIISVEDKLHEPSNNEKKAFNYSNAYELGTDLQFACIIKLLEEVLQSFRTSEQLSRKTIDNKCLPCQSPGKKQNLLKLMCFKCFIHDCKTSVPEKILELCIPCELIKQMANIVNQVEEVRLASLDM
+>sp|Q15059|BRD3_HUMAN Bromodomain-containing protein 3 OS=Homo sapiens OX=9606 GN=BRD3 PE=1 SV=1
+MSTATTVAPAGIPATPGPVNPPPPEVSNPSKPGRKTNQLQYMQNVVVKTLWKHQFAWPFYQPVDAIKLNLPDYHKIIKNPMDMGTIKKRLENNYYWSASECMQDFNTMFTNCYIYNKPTDDIVLMAQALEKIFLQKVAQMPQEEVELLPPAPKGKGRKPAAGAQSAGTQQVAAVSSVSPATPFQSVPPTVSQTPVIAATPVPTITANVTSVPVPPAAAPPPPATPIVPVVPPTPPVVKKKGVKRKADTTTPTTSAITASRSESPPPLSDPKQAKVVARRESGGRPIKPPKKDLEDGEVPQHAGKKGKLSEHLRYCDSILREMLSKKHAAYAWPFYKPVDAEALELHDYHDIIKHPMDLSTVKRKMDGREYPDAQGFAADVRLMFSNCYKYNPPDHEVVAMARKLQDVFEMRFAKMPDEPVEAPALPAPAAPMVSKGAESSRSSEESSSDSGSSDSEEERATRLAELQEQLKAVHEQLAALSQAPVNKPKKKKEKKEKEKKKKDKEKEKEKHKVKAEEEKKAKVAPPAKQAQQKKAPAKKANSTTTAGRQLKKGGKQASASYDSEEEEEGLPMSYDEKRQLSLDINRLPGEKLGRVVHIIQSREPSLRDSNPDEIEIDFETLKPTTLRELERYVKSCLQKKQRKPFSASGKKQAAKSKEELAQEKKKELEKRLQDVSGQLSSSKKPARKEKPGSAPSGGPSRLSSSSSSESGSSSSSGSSSDSSDSE
+>DECOY_sp|Q15059|BRD3_HUMAN Bromodomain-containing protein 3 OS=Homo sapiens OX=9606 GN=BRD3 PE=1 SV=1
+ESDSSDSSSGSSSSSGSESSSSSSLRSPGGSPASGPKEKRAPKKSSSLQGSVDQLRKELEKKKEQALEEKSKAAQKKGSASFPKRQKKQLCSKVYRELERLTTPKLTEFDIEIEDPNSDRLSPERSQIIHVVRGLKEGPLRNIDLSLQRKEDYSMPLGEEEEESDYSASAQKGGKKLQRGATTTSNAKKAPAKKQQAQKAPPAVKAKKEEEAKVKHKEKEKEKDKKKKEKEKKEKKKKPKNVPAQSLAALQEHVAKLQEQLEALRTAREEESDSSGSDSSSEESSRSSEAGKSVMPAAPAPLAPAEVPEDPMKAFRMEFVDQLKRAMAVVEHDPPNYKYCNSFMLRVDAAFGQADPYERGDMKRKVTSLDMPHKIIDHYDHLELAEADVPKYFPWAYAAHKKSLMERLISDCYRLHESLKGKKGAHQPVEGDELDKKPPKIPRGGSERRAVVKAQKPDSLPPPSESRSATIASTTPTTTDAKRKVGKKKVVPPTPPVVPVIPTAPPPPAAAPPVPVSTVNATITPVPTAAIVPTQSVTPPVSQFPTAPSVSSVAAVQQTGASQAGAAPKRGKGKPAPPLLEVEEQPMQAVKQLFIKELAQAMLVIDDTPKNYIYCNTFMTNFDQMCESASWYYNNELRKKITGMDMPNKIIKHYDPLNLKIADVPQYFPWAFQHKWLTKVVVNQMYQLQNTKRGPKSPNSVEPPPPNVPGPTAPIGAPAVTTATSM
+>sp|Q9H8M2|BRD9_HUMAN Bromodomain-containing protein 9 OS=Homo sapiens OX=9606 GN=BRD9 PE=1 SV=2
+MGKKHKKHKAEWRSSYEDYADKPLEKPLKLVLKVGGSEVTELSGSGHDSSYYDDRSDHERERHKEKKKKKKKKSEKEKHLDDEERRKRKEEKKRKREREHCDTEGEADDFDPGKKVEVEPPPDRPVRACRTQPAENESTPIQQLLEHFLRQLQRKDPHGFFAFPVTDAIAPGYSMIIKHPMDFGTMKDKIVANEYKSVTEFKADFKLMCDNAMTYNRPDTVYYKLAKKILHAGFKMMSKQAALLGNEDTAVEEPVPEVVPVQVETAKKSKKPSREVISCMFEPEGNACSLTDSTAEEHVLALVEHAADEARDRINRFLPGGKMGYLKRNGDGSLLYSVVNTAEPDADEEETHPVDLSSLSSKLLPGFTTLGFKDERRNKVTFLSSATTALSMQNNSVFGDLKSDEMELLYSAYGDETGVQCALSLQEFVKDAGSYSKKVVDDLLDQITGGDHSRTLFQLKQRRNVPMKPPDEAKVGDTLGDSSSSVLEFMSMKSYPDVSVDISMLSSLGKVKKELDPDDSHLNLDETTKLLQDLHEAQAERGGSRPSSNLSSLSNASERDQHHLGSPSRLSVGEQPDVTHDPYEFLQSPEPAASAKT
+>DECOY_sp|Q9H8M2|BRD9_HUMAN Bromodomain-containing protein 9 OS=Homo sapiens OX=9606 GN=BRD9 PE=1 SV=2
+TKASAAPEPSQLFEYPDHTVDPQEGVSLRSPSGLHHQDRESANSLSSLNSSPRSGGREAQAEHLDQLLKTTEDLNLHSDDPDLEKKVKGLSSLMSIDVSVDPYSKMSMFELVSSSSDGLTDGVKAEDPPKMPVNRRQKLQFLTRSHDGGTIQDLLDDVVKKSYSGADKVFEQLSLACQVGTEDGYASYLLEMEDSKLDGFVSNNQMSLATTASSLFTVKNRREDKFGLTTFGPLLKSSLSSLDVPHTEEEDADPEATNVVSYLLSGDGNRKLYGMKGGPLFRNIRDRAEDAAHEVLALVHEEATSDTLSCANGEPEFMCSIVERSPKKSKKATEVQVPVVEPVPEEVATDENGLLAAQKSMMKFGAHLIKKALKYYVTDPRNYTMANDCMLKFDAKFETVSKYENAVIKDKMTGFDMPHKIIMSYGPAIADTVPFAFFGHPDKRQLQRLFHELLQQIPTSENEAPQTRCARVPRDPPPEVEVKKGPDFDDAEGETDCHERERKRKKEEKRKRREEDDLHKEKESKKKKKKKKEKHREREHDSRDDYYSSDHGSGSLETVESGGVKLVLKLPKELPKDAYDEYSSRWEAKHKKHKKGM
+>sp|O75150|BRE1B_HUMAN E3 ubiquitin-protein ligase BRE1B OS=Homo sapiens OX=9606 GN=RNF40 PE=1 SV=4
+MSGPGNKRAAGDGGSGPPEKKLSREEKTTTTLIEPIRLGGISSTEEMDLKVLQFKNKKLAERLEQRQACEDELRERIEKLEKRQATDDATLLIVNRYWAQLDETVEALLRCHESQGELSSAPEAPGTQEGPTCDGTPLPEPGTSELRDPLLMQLRPPLSEPALAFVVALGASSSEEVELELQGRMEFSKAAVSRVVEASDRLQRRVEELCQRVYSRGDSEPLSEAAQAHTRELGRENRRLQDLATQLQEKHHRISLEYSELQDKVTSAETKVLEMETTVEDLQWDIEKLRKREQKLNKHLAEALEQLNSGYYVSGSSSGFQGGQITLSMQKFEMLNAELEENQELANSRMAELEKLQAELQGAVRTNERLKVALRSLPEEVVRETGEYRMLQAQFSLLYNESLQVKTQLDEARGLLLATKNSHLRHIEHMESDELGLQKKLRTEVIQLEDTLAQVRKEYEMLRIEFEQNLAANEQAGPINREMRHLISSLQNHNHQLKGDAQRYKRKLREVQAEIGKLRAQASGSAHSTPNLGHPEDSGVSAPAPGKEEGGPGPVSTPDNRKEMAPVPGTTTTTTSVKKEELVPSEEDFQGITPGAQGPSSRGREPEARPKRELQEREGPSLGPPPVASALSRADREKAKVEETKRKESELLKGLRAELKKAQESQKEMKLLLDMYKSAPKEQRDKVQLMAAERKAKAEVDELRSRIRELEERDRRESKKIADEDALRRIRQAEEQIEHLQRKLGATKQEEEALLSEMDVTGQAFEDMQEQNGRLLQQLREKDDANFKLMSERIKANQIHKLLREEKDELGEQVLGLKSQVDAQLLTVQKLEEKERALQGSLGGVEKELTLRSQALELNKRKAVEAAQLAEDLKVQLEHVQTRLREIQPCLAESRAAREKESFNLKRAQEDISRLRRKLEKQRKVEVYADADEILQEEIKEYKARLTCPCCNTRKKDAVLTKCFHVFCFECVRGRYEARQRKCPKCNAAFGAHDFHRIYIS
+>DECOY_sp|O75150|BRE1B_HUMAN E3 ubiquitin-protein ligase BRE1B OS=Homo sapiens OX=9606 GN=RNF40 PE=1 SV=4
+SIYIRHFDHAGFAANCKPCKRQRAEYRGRVCEFCFVHFCKTLVADKKRTNCCPCTLRAKYEKIEEQLIEDADAYVEVKRQKELKRRLRSIDEQARKLNFSEKERAARSEALCPQIERLRTQVHELQVKLDEALQAAEVAKRKNLELAQSRLTLEKEVGGLSGQLAREKEELKQVTLLQADVQSKLGLVQEGLEDKEERLLKHIQNAKIRESMLKFNADDKERLQQLLRGNQEQMDEFAQGTVDMESLLAEEEQKTAGLKRQLHEIQEEAQRIRRLADEDAIKKSERRDREELERIRSRLEDVEAKAKREAAMLQVKDRQEKPASKYMDLLLKMEKQSEQAKKLEARLGKLLESEKRKTEEVKAKERDARSLASAVPPPGLSPGEREQLERKPRAEPERGRSSPGQAGPTIGQFDEESPVLEEKKVSTTTTTTGPVPAMEKRNDPTSVPGPGGEEKGPAPASVGSDEPHGLNPTSHASGSAQARLKGIEAQVERLKRKYRQADGKLQHNHNQLSSILHRMERNIPGAQENAALNQEFEIRLMEYEKRVQALTDELQIVETRLKKQLGLEDSEMHEIHRLHSNKTALLLGRAEDLQTKVQLSENYLLSFQAQLMRYEGTERVVEEPLSRLAVKLRENTRVAGQLEAQLKELEAMRSNALEQNEELEANLMEFKQMSLTIQGGQFGSSSGSVYYGSNLQELAEALHKNLKQERKRLKEIDWQLDEVTTEMELVKTEASTVKDQLESYELSIRHHKEQLQTALDQLRRNERGLERTHAQAAESLPESDGRSYVRQCLEEVRRQLRDSAEVVRSVAAKSFEMRGQLELEVEESSSAGLAVVFALAPESLPPRLQMLLPDRLESTGPEPLPTGDCTPGEQTGPAEPASSLEGQSEHCRLLAEVTEDLQAWYRNVILLTADDTAQRKELKEIRERLEDECAQRQELREALKKNKFQLVKLDMEETSSIGGLRIPEILTTTTKEERSLKKEPPGSGGDGAARKNGPGSM
+>sp|Q9HAW0|BRF2_HUMAN Transcription factor IIIB 50 kDa subunit OS=Homo sapiens OX=9606 GN=BRF2 PE=1 SV=1
+MPGRGRCPDCGSTELVEDSHYSQSQLVCSDCGCVVTEGVLTTTFSDEGNLREVTYSRSTGENEQVSRSQQRGLRRVRDLCRVLQLPPTFEDTAVAYYQQAYRHSGIRAARLQKKEVLVGCCVLITCRQHNWPLTMGAICTLLYADLDVFSSTYMQIVKLLGLDVPSLCLAELVKTYCSSFKLFQASPSVPAKYVEDKEKMLSRTMQLVELANETWLVTGRHPLPVITAATFLAWQSLQPADRLSCSLARFCKLANVDLPYPASSRLQELLAVLLRMAEQLAWLRVLRLDKRSVVKHIGDLLQHRQSLVRSAFRDGTAEVETREKEPPGWGQGQGEGEVGNNSLGLPQGKRPASPALLLPPCMLKSPKRICPVPPVSTVTGDENISDSEIEQYLRTPQEVRDFQRAQAARQAATSVPNPP
+>DECOY_sp|Q9HAW0|BRF2_HUMAN Transcription factor IIIB 50 kDa subunit OS=Homo sapiens OX=9606 GN=BRF2 PE=1 SV=1
+PPNPVSTAAQRAAQARQFDRVEQPTRLYQEIESDSINEDGTVTSVPPVPCIRKPSKLMCPPLLLAPSAPRKGQPLGLSNNGVEGEGQGQGWGPPEKERTEVEATGDRFASRVLSQRHQLLDGIHKVVSRKDLRLVRLWALQEAMRLLVALLEQLRSSAPYPLDVNALKCFRALSCSLRDAPQLSQWALFTAATIVPLPHRGTVLWTENALEVLQMTRSLMKEKDEVYKAPVSPSAQFLKFSSCYTKVLEALCLSPVDLGLLKVIQMYTSSFVDLDAYLLTCIAGMTLPWNHQRCTILVCCGVLVEKKQLRAARIGSHRYAQQYYAVATDEFTPPLQLVRCLDRVRRLGRQQSRSVQENEGTSRSYTVERLNGEDSFTTTLVGETVVCGCDSCVLQSQSYHSDEVLETSGCDPCRGRGPM
+>sp|Q9HCU9|BRMS1_HUMAN Breast cancer metastasis-suppressor 1 OS=Homo sapiens OX=9606 GN=BRMS1 PE=1 SV=1
+MPVQPPSKDTEEMEAEGDSAAEMNGEEEESEEERSGSQTESEEESSEMDDEDYERRRSECVSEMLDLEKQFSELKEKLFRERLSQLRLRLEEVGAERAPEYTEPLGGLQRSLKIRIQVAGIYKGFCLDVIRNKYECELQGAKQHLESEKLLLYDTLQGELQERIQRLEEDRQSLDLSSEWWDDKLHARGSSRSWDSLPPSKRKKAPLVSGPYIVYMLQEIDILEDWTAIKKARAAVSPQKRKSDGP
+>DECOY_sp|Q9HCU9|BRMS1_HUMAN Breast cancer metastasis-suppressor 1 OS=Homo sapiens OX=9606 GN=BRMS1 PE=1 SV=1
+PGDSKRKQPSVAARAKKIATWDELIDIEQLMYVIYPGSVLPAKKRKSPPLSDWSRSSGRAHLKDDWWESSLDLSQRDEELRQIREQLEGQLTDYLLLKESELHQKAGQLECEYKNRIVDLCFGKYIGAVQIRIKLSRQLGGLPETYEPAREAGVEELRLRLQSLRERFLKEKLESFQKELDLMESVCESRRREYDEDDMESSEEESETQSGSREEESEEEEGNMEAASDGEAEMEETDKSPPQVPM
+>sp|Q96KV6|BT2A3_HUMAN Putative butyrophilin subfamily 2 member A3 OS=Homo sapiens OX=9606 GN=BTN2A3P PE=5 SV=2
+MEPAAALHFSRPASLLLLLSLCALVSAQVTVVGPTDPILAMVGENTTLRCCLSPEENAEDMEVRWFQSQFSPAVFVYKGGRERTEEQKEEYRGRTTFVSKDSRGSVALIIHNVTAEDNGIYQCYFQEGRSCNEAILHLVVAGLDSEPVIEMRDHEDGGIQLECISGGWYPKPLTVWRDPYGEVVPALKEVSTPDADSLFMVTTAVIIRDKSVRNVSCSINDTLLGQKKESVIFIPESFMPSRSPCVVILPVIMIILMIPIAICIYWINNLQKEKKDSHLMTFNLCLSLAGWRRTFLHAANVVLDQDTGHPYLFVSEDKRSVTLDPSRESIPGNPERFDSQLCVLGQESFASGKHYLEVDVENVIEWTVGICRDNVERKWEVPLLPQNGFWTLEMHKRKYWALTSLKWILSLEEPLCQVGIFLDYEAGDVSFYNMRDRSHIYTFPHSAFSVPVRPFFSLGSYDSQILICSAFTGASGVTVPEEGWTLHRAGTHHSPQNQFPSLTAMETSPGHLSSHCTMPLVEDTPSSPLVTQENIFQLPLSHPLQTSAPVHLLIRCGFSSSFGCNYGMESRHRELVVPQLPARKKV
+>DECOY_sp|Q96KV6|BT2A3_HUMAN Putative butyrophilin subfamily 2 member A3 OS=Homo sapiens OX=9606 GN=BTN2A3P PE=5 SV=2
+VKKRAPLQPVVLERHRSEMGYNCGFSSSFGCRILLHVPASTQLPHSLPLQFINEQTVLPSSPTDEVLPMTCHSSLHGPSTEMATLSPFQNQPSHHTGARHLTWGEEPVTVGSAGTFASCILIQSDYSGLSFFPRVPVSFASHPFTYIHSRDRMNYFSVDGAEYDLFIGVQCLPEELSLIWKLSTLAWYKRKHMELTWFGNQPLLPVEWKREVNDRCIGVTWEIVNEVDVELYHKGSAFSEQGLVCLQSDFREPNGPISERSPDLTVSRKDESVFLYPHGTDQDLVVNAAHLFTRRWGALSLCLNFTMLHSDKKEKQLNNIWYICIAIPIMLIIMIVPLIVVCPSRSPMFSEPIFIVSEKKQGLLTDNISCSVNRVSKDRIIVATTVMFLSDADPTSVEKLAPVVEGYPDRWVTLPKPYWGGSICELQIGGDEHDRMEIVPESDLGAVVLHLIAENCSRGEQFYCQYIGNDEATVNHIILAVSGRSDKSVFTTRGRYEEKQEETRERGGKYVFVAPSFQSQFWRVEMDEANEEPSLCCRLTTNEGVMALIPDTPGVVTVQASVLACLSLLLLLSAPRSFHLAAAPEM
+>sp|P78410|BT3A2_HUMAN Butyrophilin subfamily 3 member A2 OS=Homo sapiens OX=9606 GN=BTN3A2 PE=1 SV=2
+MKMASSLAFLLLNFHVSLLLVQLLTPCSAQFSVLGPSGPILAMVGEDADLPCHLFPTMSAETMELKWVSSSLRQVVNVYADGKEVEDRQSAPYRGRTSILRDGITAGKAALRIHNVTASDSGKYLCYFQDGDFYEKALVELKVAALGSNLHVEVKGYEDGGIHLECRSTGWYPQPQIQWSNAKGENIPAVEAPVVADGVGLYEVAASVIMRGGSGEGVSCIIRNSLLGLEKTASISIADPFFRSAQPWIAALAGTLPILLLLLAGASYFLWRQQKEITALSSEIESEQEMKEMGYAATEREISLRESLQEELKRKKIQYLTRGEESSSDTNKSA
+>DECOY_sp|P78410|BT3A2_HUMAN Butyrophilin subfamily 3 member A2 OS=Homo sapiens OX=9606 GN=BTN3A2 PE=1 SV=2
+ASKNTDSSSEEGRTLYQIKKRKLEEQLSERLSIERETAAYGMEKMEQESEIESSLATIEKQQRWLFYSAGALLLLLIPLTGALAAIWPQASRFFPDAISISATKELGLLSNRIICSVGEGSGGRMIVSAAVEYLGVGDAVVPAEVAPINEGKANSWQIQPQPYWGTSRCELHIGGDEYGKVEVHLNSGLAAVKLEVLAKEYFDGDQFYCLYKGSDSATVNHIRLAAKGATIGDRLISTRGRYPASQRDEVEKGDAYVNVVQRLSSSVWKLEMTEASMTPFLHCPLDADEGVMALIPGSPGLVSFQASCPTLLQVLLLSVHFNLLLFALSSAMKM
+>sp|Q9NY30|BTG4_HUMAN Protein BTG4 OS=Homo sapiens OX=9606 GN=BTG4 PE=2 SV=1
+MRDEIATTVFFVTRLVKKHDKLSKQQIEDFAEKLMTILFETYRSHWHSDCPSKGQAFRCIRINNNQNKDPILERACVESNVDFSHLGLPKEMTIWVDPFEVCCRYGEKNHPFTVASFKGRWEEWELYQQISYAVSRASSDVSSGTSCDEESCSKEPRVIPKVSNPKSIYQVENLKQPFQSWLQIPRKKNVVDGRVGLLGNTYHGSQKHPKCYRPAMHRLDRIL
+>DECOY_sp|Q9NY30|BTG4_HUMAN Protein BTG4 OS=Homo sapiens OX=9606 GN=BTG4 PE=2 SV=1
+LIRDLRHMAPRYCKPHKQSGHYTNGLLGVRGDVVNKKRPIQLWSQFPQKLNEVQYISKPNSVKPIVRPEKSCSEEDCSTGSSVDSSARSVAYSIQQYLEWEEWRGKFSAVTFPHNKEGYRCCVEFPDVWITMEKPLGLHSFDVNSEVCARELIPDKNQNNNIRICRFAQGKSPCDSHWHSRYTEFLITMLKEAFDEIQQKSLKDHKKVLRTVFFVTTAIEDRM
+>sp|Q06187|BTK_HUMAN Tyrosine-protein kinase BTK OS=Homo sapiens OX=9606 GN=BTK PE=1 SV=3
+MAAVILESIFLKRSQQKKKTSPLNFKKRLFLLTVHKLSYYEYDFERGRRGSKKGSIDVEKITCVETVVPEKNPPPERQIPRRGEESSEMEQISIIERFPYPFQVVYDEGPLYVFSPTEELRKRWIHQLKNVIRYNSDLVQKYHPCFWIDGQYLCCSQTAKNAMGCQILENRNGSLKPGSSHRKTKKPLPPTPEEDQILKKPLPPEPAAAPVSTSELKKVVALYDYMPMNANDLQLRKGDEYFILEESNLPWWRARDKNGQEGYIPSNYVTEAEDSIEMYEWYSKHMTRSQAEQLLKQEGKEGGFIVRDSSKAGKYTVSVFAKSTGDPQGVIRHYVVCSTPQSQYYLAEKHLFSTIPELINYHQHNSAGLISRLKYPVSQQNKNAPSTAGLGYGSWEIDPKDLTFLKELGTGQFGVVKYGKWRGQYDVAIKMIKEGSMSEDEFIEEAKVMMNLSHEKLVQLYGVCTKQRPIFIITEYMANGCLLNYLREMRHRFQTQQLLEMCKDVCEAMEYLESKQFLHRDLAARNCLVNDQGVVKVSDFGLSRYVLDDEYTSSVGSKFPVRWSPPEVLMYSKFSSKSDIWAFGVLMWEIYSLGKMPYERFTNSETAEHIAQGLRLYRPHLASEKVYTIMYSCWHEKADERPTFKILLSNILDVMDEES
+>DECOY_sp|Q06187|BTK_HUMAN Tyrosine-protein kinase BTK OS=Homo sapiens OX=9606 GN=BTK PE=1 SV=3
+SEEDMVDLINSLLIKFTPREDAKEHWCSYMITYVKESALHPRYLRLGQAIHEATESNTFREYPMKGLSYIEWMLVGFAWIDSKSSFKSYMLVEPPSWRVPFKSGVSSTYEDDLVYRSLGFDSVKVVGQDNVLCNRAALDRHLFQKSELYEMAECVDKCMELLQQTQFRHRMERLYNLLCGNAMYETIIFIPRQKTCVGYLQVLKEHSLNMMVKAEEIFEDESMSGEKIMKIAVDYQGRWKGYKVVGFQGTGLEKLFTLDKPDIEWSGYGLGATSPANKNQQSVPYKLRSILGASNHQHYNILEPITSFLHKEALYYQSQPTSCVVYHRIVGQPDGTSKAFVSVTYKGAKSSDRVIFGGEKGEQKLLQEAQSRTMHKSYWEYMEISDEAETVYNSPIYGEQGNKDRARWWPLNSEELIFYEDGKRLQLDNANMPMYDYLAVVKKLESTSVPAAAPEPPLPKKLIQDEEPTPPLPKKTKRHSSGPKLSGNRNELIQCGMANKATQSCCLYQGDIWFCPHYKQVLDSNYRIVNKLQHIWRKRLEETPSFVYLPGEDYVVQFPYPFREIISIQEMESSEEGRRPIQREPPPNKEPVVTEVCTIKEVDISGKKSGRRGREFDYEYYSLKHVTLLFLRKKFNLPSTKKKQQSRKLFISELIVAAM
+>sp|O43683|BUB1_HUMAN Mitotic checkpoint serine/threonine-protein kinase BUB1 OS=Homo sapiens OX=9606 GN=BUB1 PE=1 SV=1
+MDTPENVLQMLEAHMQSYKGNDPLGEWERYIQWVEENFPENKEYLITLLEHLMKEFLDKKKYHNDPRFISYCLKFAEYNSDLHQFFEFLYNHGIGTLSSPLYIAWAGHLEAQGELQHASAVLQRGIQNQAEPREFLQQQYRLFQTRLTETHLPAQARTSEPLHNVQVLNQMITSKSNPGNNMACISKNQGSELSGVISSACDKESNMERRVITISKSEYSVHSSLASKVDVEQVVMYCKEKLIRGESEFSFEELRAQKYNQRRKHEQWVNEDRHYMKRKEANAFEEQLLKQKMDELHKKLHQVVETSHEDLPASQERSEVNPARMGPSVGSQQELRAPCLPVTYQQTPVNMEKNPREAPPVVPPLANAISAALVSPATSQSIAPPVPLKAQTVTDSMFAVASKDAGCVNKSTHEFKPQSGAEIKEGCETHKVANTSSFHTTPNTSLGMVQATPSKVQPSPTVHTKEALGFIMNMFQAPTLPDISDDKDEWQSLDQNEDAFEAQFQKNVRSSGAWGVNKIISSLSSAFHVFEDGNKENYGLPQPKNKPTGARTFGERSVSRLPSKPKEEVPHAEEFLDDSTVWGIRCNKTLAPSPKSPGDFTSAAQLASTPFHKLPVESVHILEDKENVVAKQCTQATLDSCEENMVVPSRDGKFSPIQEKSPKQALSSHMYSASLLRLSQPAAGGVLTCEAELGVEACRLTDTDAAIAEDPPDAIAGLQAEWMQMSSLGTVDAPNFIVGNPWDDKLIFKLLSGLSKPVSSYPNTFEWQCKLPAIKPKTEFQLGSKLVYVHHLLGEGAFAQVYEATQGDLNDAKNKQKFVLKVQKPANPWEFYIGTQLMERLKPSMQHMFMKFYSAHLFQNGSVLVGELYSYGTLLNAINLYKNTPEKVMPQGLVISFAMRMLYMIEQVHDCEIIHGDIKPDNFILGNGFLEQDDEDDLSAGLALIDLGQSIDMKLFPKGTIFTAKCETSGFQCVEMLSNKPWNYQIDYFGVAATVYCMLFGTYMKVKNEGGECKPEGLFRRLPHLDMWNEFFHVMLNIPDCHHLPSLDLLRQKLKKVFQQHYTNKIRALRNRLIVLLLECKRSRK
+>DECOY_sp|O43683|BUB1_HUMAN Mitotic checkpoint serine/threonine-protein kinase BUB1 OS=Homo sapiens OX=9606 GN=BUB1 PE=1 SV=1
+KRSRKCELLLVILRNRLARIKNTYHQQFVKKLKQRLLDLSPLHHCDPINLMVHFFENWMDLHPLRRFLGEPKCEGGENKVKMYTGFLMCYVTAAVGFYDIQYNWPKNSLMEVCQFGSTECKATFITGKPFLKMDISQGLDILALGASLDDEDDQELFGNGLIFNDPKIDGHIIECDHVQEIMYLMRMAFSIVLGQPMVKEPTNKYLNIANLLTGYSYLEGVLVSGNQFLHASYFKMFMHQMSPKLREMLQTGIYFEWPNAPKQVKLVFKQKNKADNLDGQTAEYVQAFAGEGLLHHVYVLKSGLQFETKPKIAPLKCQWEFTNPYSSVPKSLGSLLKFILKDDWPNGVIFNPADVTGLSSMQMWEAQLGAIADPPDEAIAADTDTLRCAEVGLEAECTLVGGAAPQSLRLLSASYMHSSLAQKPSKEQIPSFKGDRSPVVMNEECSDLTAQTCQKAVVNEKDELIHVSEVPLKHFPTSALQAASTFDGPSKPSPALTKNCRIGWVTSDDLFEEAHPVEEKPKSPLRSVSREGFTRAGTPKNKPQPLGYNEKNGDEFVHFASSLSSIIKNVGWAGSSRVNKQFQAEFADENQDLSQWEDKDDSIDPLTPAQFMNMIFGLAEKTHVTPSPQVKSPTAQVMGLSTNPTTHFSSTNAVKHTECGEKIEAGSQPKFEHTSKNVCGADKSAVAFMSDTVTQAKLPVPPAISQSTAPSVLAASIANALPPVVPPAERPNKEMNVPTQQYTVPLCPARLEQQSGVSPGMRAPNVESREQSAPLDEHSTEVVQHLKKHLEDMKQKLLQEEFANAEKRKMYHRDENVWQEHKRRQNYKQARLEEFSFESEGRILKEKCYMVVQEVDVKSALSSHVSYESKSITIVRREMNSEKDCASSIVGSLESGQNKSICAMNNGPNSKSTIMQNLVQVNHLPESTRAQAPLHTETLRTQFLRYQQQLFERPEAQNQIGRQLVASAHQLEGQAELHGAWAIYLPSSLTGIGHNYLFEFFQHLDSNYEAFKLCYSIFRPDNHYKKKDLFEKMLHELLTILYEKNEPFNEEVWQIYREWEGLPDNGKYSQMHAELMQLVNEPTDM
+>sp|P41223|BUD31_HUMAN Protein BUD31 homolog OS=Homo sapiens OX=9606 GN=BUD31 PE=1 SV=2
+MPKVKRSRKAPPDGWELIEPTLDELDQKMREAETEPHEGKRKVESLWPIFRIHHQKTRYIFDLFYKRKAISRELYEYCIKEGYADKNLIAKWKKQGYENLCCLRCIQTRDTNFGTNCICRVPKSKLEVGRIIECTHCGCRGCSG
+>DECOY_sp|P41223|BUD31_HUMAN Protein BUD31 homolog OS=Homo sapiens OX=9606 GN=BUD31 PE=1 SV=2
+GSCGRCGCHTCEIIRGVELKSKPVRCICNTGFNTDRTQICRLCCLNEYGQKKWKAILNKDAYGEKICYEYLERSIAKRKYFLDFIYRTKQHHIRFIPWLSEVKRKGEHPETEAERMKQDLEDLTPEILEWGDPPAKRSRKVKPM
+>sp|Q9NR16|C163B_HUMAN Scavenger receptor cysteine-rich type 1 protein M160 OS=Homo sapiens OX=9606 GN=CD163L1 PE=1 SV=2
+MMLPQNSWHIDFGRCCCHQNLFSAVVTCILLLNSCFLISSFNGTDLELRLVNGDGPCSGTVEVKFQGQWGTVCDDGWNTTASTVVCKQLGCPFSFAMFRFGQAVTRHGKIWLDDVSCYGNESALWECQHREWGSHNCYHGEDVGVNCYGEANLGLRLVDGNNSCSGRVEVKFQERWGTICDDGWNLNTAAVVCRQLGCPSSFISSGVVNSPAVLRPIWLDDILCQGNELALWNCRHRGWGNHDCSHNEDVTLTCYDSSDLELRLVGGTNRCMGRVELKIQGRWGTVCHHKWNNAAADVVCKQLGCGTALHFAGLPHLQSGSDVVWLDGVSCSGNESFLWDCRHSGTVNFDCLHQNDVSVICSDGADLELRLADGSNNCSGRVEVRIHEQWWTICDQNWKNEQALVVCKQLGCPFSVFGSRRAKPSNEARDIWINSISCTGNESALWDCTYDGKAKRTCFRRSDAGVICSDKADLDLRLVGAHSPCYGRLEVKYQGEWGTVCHDRWSTRNAAVVCKQLGCGKPLHVFGMTYFKEASGPIWLDDVSCIGNESNIWDCEHSGWGKHNCVHREDVIVTCSGDATWGLRLVGGSNRCSGRLEVYFQGRWGTVCDDGWNSKAAAVVCSQLDCPSSIIGMGLGNASTGYGKIWLDDVSCDGDESDLWSCRNSGWGNNDCSHSEDVGVICSDASDMELRLVGGSSRCAGKVEVNVQGAVGILCANGWGMNIAEVVCRQLECGSAIRVSREPHFTERTLHILMSNSGCTGGEASLWDCIRWEWKQTACHLNMEASLICSAHRQPRLVGADMPCSGRVEVKHADTWRSVCDSDFSLHAANVLCRELNCGDAISLSVGDHFGKGNGLTWAEKFQCEGSETHLALCPIVQHPEDTCIHSREVGVVCSRYTDVRLVNGKSQCDGQVEINVLGHWGSLCDTHWDPEDARVLCRQLSCGTALSTTGGKYIGERSVRVWGHRFHCLGNESLLDNCQMTVLGAPPCIHGNTVSVICTGSLTQPLFPCLANVSDPYLSAVPEGSALICLEDKRLRLVDGDSRCAGRVEIYHDGFWGTICDDGWDLSDAHVVCQKLGCGVAFNATVSAHFGEGSGPIWLDDLNCTGMESHLWQCPSRGWGQHDCRHKEDAGVICSEFTALRLYSETETESCAGRLEVFYNGTWGSVGRRNITTAIAGIVCRQLGCGENGVVSLAPLSKTGSGFMWVDDIQCPKTHISIWQCLSAPWERRISSPAEETWITCEDRIRVRGGDTECSGRVEIWHAGSWGTVCDDSWDLAEAEVVCQQLGCGSALAALRDASFGQGTGTIWLDDMRCKGNESFLWDCHAKPWGQSDCGHKEDAGVRCSGQSLKSLNASSGHLALILSSIFGLLLLVLFILFLTWCRVQKQKHLPLRVSTRRRGSLEENLFHEMETCLKREDPHGTRTSDDTPNHGCEDASDTSLLGVLPASEATK
+>DECOY_sp|Q9NR16|C163B_HUMAN Scavenger receptor cysteine-rich type 1 protein M160 OS=Homo sapiens OX=9606 GN=CD163L1 PE=1 SV=2
+KTAESAPLVGLLSTDSADECGHNPTDDSTRTGHPDERKLCTEMEHFLNEELSGRRRTSVRLPLHKQKQVRCWTLFLIFLVLLLLGFISSLILALHGSSANLSKLSQGSCRVGADEKHGCDSQGWPKAHCDWLFSENGKCRMDDLWITGTGQGFSADRLAALASGCGLQQCVVEAEALDWSDDCVTGWSGAHWIEVRGSCETDGGRVRIRDECTIWTEEAPSSIRREWPASLCQWISIHTKPCQIDDVWMFGSGTKSLPALSVVGNEGCGLQRCVIGAIATTINRRGVSGWTGNYFVELRGACSETETESYLRLATFESCIVGADEKHRCDHQGWGRSPCQWLHSEMGTCNLDDLWIPGSGEGFHASVTANFAVGCGLKQCVVHADSLDWGDDCITGWFGDHYIEVRGACRSDGDVLRLRKDELCILASGEPVASLYPDSVNALCPFLPQTLSGTCIVSVTNGHICPPAGLVTMQCNDLLSENGLCHFRHGWVRVSREGIYKGGTTSLATGCSLQRCLVRADEPDWHTDCLSGWHGLVNIEVQGDCQSKGNVLRVDTYRSCVVGVERSHICTDEPHQVIPCLALHTESGECQFKEAWTLGNGKGFHDGVSLSIADGCNLERCLVNAAHLSFDSDCVSRWTDAHKVEVRGSCPMDAGVLRPQRHASCILSAEMNLHCATQKWEWRICDWLSAEGGTCGSNSMLIHLTRETFHPERSVRIASGCELQRCVVEAINMGWGNACLIGVAGQVNVEVKGACRSSGGVLRLEMDSADSCIVGVDESHSCDNNGWGSNRCSWLDSEDGDCSVDDLWIKGYGTSANGLGMGIISSPCDLQSCVVAAAKSNWGDDCVTGWRGQFYVELRGSCRNSGGVLRLGWTADGSCTVIVDERHVCNHKGWGSHECDWINSENGICSVDDLWIPGSAEKFYTMGFVHLPKGCGLQKCVVAANRTSWRDHCVTGWEGQYKVELRGYCPSHAGVLRLDLDAKDSCIVGADSRRFCTRKAKGDYTCDWLASENGTCSISNIWIDRAENSPKARRSGFVSFPCGLQKCVVLAQENKWNQDCITWWQEHIRVEVRGSCNNSGDALRLELDAGDSCIVSVDNQHLCDFNVTGSHRCDWLFSENGSCSVGDLWVVDSGSQLHPLGAFHLATGCGLQKCVVDAAANNWKHHCVTGWRGQIKLEVRGMCRNTGGVLRLELDSSDYCTLTVDENHSCDHNGWGRHRCNWLALENGQCLIDDLWIPRLVAPSNVVGSSIFSSPCGLQRCVVAATNLNWGDDCITGWREQFKVEVRGSCSNNGDVLRLGLNAEGYCNVGVDEGHYCNHSGWERHQCEWLASENGYCSVDDLWIKGHRTVAQGFRFMAFSFPCGLQKCVVTSATTNWGDDCVTGWQGQFKVEVTGSCPGDGNVLRLELDTGNFSSILFCSNLLLICTVVASFLNQHCCCRGFDIHWSNQPLMM
+>sp|Q69YN2|C19L1_HUMAN CWF19-like protein 1 OS=Homo sapiens OX=9606 GN=CWF19L1 PE=1 SV=2
+MAQKPLRLLACGDVEGKFDILFNRVQAIQKKSGNFDLLLCVGNFFGSTQDAEWEEYKTGIKKAPIQTYVLGANNQETVKYFQDADGCELAENITYLGRKGIFTGSSGLQIVYLSGTESLNEPVPGYSFSPKDVSSLRMMLCTTSQFKGVDILLTSPWPKCVGNFGNSSGEVDTKKCGSALVSSLATGLKPRYHFAALEKTYYERLPYRNHIILQENAQHATRFIALANVGNPEKKKYLYAFSIVPMKLMDAAELVKQPPDVTENPYRKSGQEASIGKQILAPVEESACQFFFDLNEKQGRKRSSTGRDSKSSPHPKQPRKPPQPPGPCWFCLASPEVEKHLVVNIGTHCYLALAKGGLSDDHVLILPIGHYQSVVELSAEVVEEVEKYKATLRRFFKSRGKWCVVFERNYKSHHLQLQVIPVPISCSTTDDIKDAFITQAQEQQIELLEIPEHSDIKQIAQPGAAYFYVELDTGEKLFHRIKKNFPLQFGREVLASEAILNVPDKSDWRQCQISKEDEETLARRFRKDFEPYDFTLDD
+>DECOY_sp|Q69YN2|C19L1_HUMAN CWF19-like protein 1 OS=Homo sapiens OX=9606 GN=CWF19L1 PE=1 SV=2
+DDLTFDYPEFDKRFRRALTEEDEKSIQCQRWDSKDPVNLIAESALVERGFQLPFNKKIRHFLKEGTDLEVYFYAAGPQAIQKIDSHEPIELLEIQQEQAQTIFADKIDDTTSCSIPVPIVQLQLHHSKYNREFVVCWKGRSKFFRRLTAKYKEVEEVVEASLEVVSQYHGIPLILVHDDSLGGKALALYCHTGINVVLHKEVEPSALCFWCPGPPQPPKRPQKPHPSSKSDRGTSSRKRGQKENLDFFFQCASEEVPALIQKGISAEQGSKRYPNETVDPPQKVLEAADMLKMPVISFAYLYKKKEPNGVNALAIFRTAHQANEQLIIHNRYPLREYYTKELAAFHYRPKLGTALSSVLASGCKKTDVEGSSNGFNGVCKPWPSTLLIDVGKFQSTTCLMMRLSSVDKPSFSYGPVPENLSETGSLYVIQLGSSGTFIGKRGLYTINEALECGDADQFYKVTEQNNAGLVYTQIPAKKIGTKYEEWEADQTSGFFNGVCLLLDFNGSKKQIAQVRNFLIDFKGEVDGCALLRLPKQAM
+>sp|Q96EU7|C1GLC_HUMAN C1GALT1-specific chaperone 1 OS=Homo sapiens OX=9606 GN=C1GALT1C1 PE=1 SV=1
+MLSESSSFLKGVMLGSIFCALITMLGHIRIGHGNRMHHHEHHHLQAPNKEDILKISEDERMELSKSFRVYCIILVKPKDVSLWAAVKETWTKHCDKAEFFSSENVKVFESINMDTNDMWLMMRKAYKYAFDKYRDQYNWFFLARPTTFAIIENLKYFLLKKDPSQPFYLGHTIKSGDLEYVGMEGGIVLSVESMKRLNSLLNIPEKCPEQGGMIWKISEDKQLAVCLKYAGVFAENAEDADGKDVFNTKSVGLSIKEAMTYHPNQVVEGCCSDMAVTFNGLTPNQMHVMMYGVYRLRAFGHIFNDALVFLPPNGSDND
+>DECOY_sp|Q96EU7|C1GLC_HUMAN C1GALT1-specific chaperone 1 OS=Homo sapiens OX=9606 GN=C1GALT1C1 PE=1 SV=1
+DNDSGNPPLFVLADNFIHGFARLRYVGYMMVHMQNPTLGNFTVAMDSCCGEVVQNPHYTMAEKISLGVSKTNFVDKGDADEANEAFVGAYKLCVALQKDESIKWIMGGQEPCKEPINLLSNLRKMSEVSLVIGGEMGVYELDGSKITHGLYFPQSPDKKLLFYKLNEIIAFTTPRALFFWNYQDRYKDFAYKYAKRMMLWMDNTDMNISEFVKVNESSFFEAKDCHKTWTEKVAAWLSVDKPKVLIICYVRFSKSLEMREDESIKLIDEKNPAQLHHHEHHHMRNGHGIRIHGLMTILACFISGLMVGKLFSSSESLM
+>sp|Q07021|C1QBP_HUMAN Complement component 1 Q subcomponent-binding protein, mitochondrial OS=Homo sapiens OX=9606 GN=C1QBP PE=1 SV=1
+MLPLLRCVPRVLGSSVAGLRAAAPASPFRQLLQPAPRLCTRPFGLLSVRAGSERRPGLLRPRGPCACGCGCGSLHTDGDKAFVDFLSDEIKEERKIQKHKTLPKMSGGWELELNGTEAKLVRKVAGEKITVTFNINNSIPPTFDGEEEPSQGQKVEEQEPELTSTPNFVVEVIKNDDGKKALVLDCHYPEDEVGQEDEAESDIFSIREVSFQSTGESEWKDTNYTLNTDSLDWALYDHLMDFLADRGVDNTFADELVELSTALEHQEYITFLEDLKSFVKSQ
+>DECOY_sp|Q07021|C1QBP_HUMAN Complement component 1 Q subcomponent-binding protein, mitochondrial OS=Homo sapiens OX=9606 GN=C1QBP PE=1 SV=1
+QSKVFSKLDELFTIYEQHELATSLEVLEDAFTNDVGRDALFDMLHDYLAWDLSDTNLTYNTDKWESEGTSQFSVERISFIDSEAEDEQGVEDEPYHCDLVLAKKGDDNKIVEVVFNPTSTLEPEQEEVKQGQSPEEEGDFTPPISNNINFTVTIKEGAVKRVLKAETGNLELEWGGSMKPLTKHKQIKREEKIEDSLFDVFAKDGDTHLSGCGCGCACPGRPRLLGPRRESGARVSLLGFPRTCLRPAPQLLQRFPSAPAAARLGAVSSGLVRPVCRLLPLM
+>sp|Q9BXJ3|C1QT4_HUMAN Complement C1q tumor necrosis factor-related protein 4 OS=Homo sapiens OX=9606 GN=C1QTNF4 PE=1 SV=2
+MLPLLLGLLGPAACWALGPTPGPGSSELRSAFSAARTTPLEGTSEMAVTFDKVYVNIGGDFDVATGQFRCRVPGAYFFSFTAGKAPHKSLSVMLVRNRDEVQALAFDEQRRPGARRAASQSAMLQLDYGDTVWLRLHGAPQYALGAPGATFSGYLVYADADADAPARGPPAPPEPRSAFSAARTRSLVGSDAGPGPRHQPLAFDTEFVNIGGDFDAAAGVFRCRLPGAYFFSFTLGKLPRKTLSVKLMKNRDEVQAMIYDDGASRRREMQSQSVMLALRRGDAVWLLSHDHDGYGAYSNHGKYITFSGFLVYPDLAPAAPPGLGASELL
+>DECOY_sp|Q9BXJ3|C1QT4_HUMAN Complement C1q tumor necrosis factor-related protein 4 OS=Homo sapiens OX=9606 GN=C1QTNF4 PE=1 SV=2
+LLESAGLGPPAAPALDPYVLFGSFTIYKGHNSYAGYGDHDHSLLWVADGRRLALMVSQSQMERRRSAGDDYIMAQVEDRNKMLKVSLTKRPLKGLTFSFFYAGPLRCRFVGAAADFDGGINVFETDFALPQHRPGPGADSGVLSRTRAASFASRPEPPAPPGRAPADADADAYVLYGSFTAGPAGLAYQPAGHLRLWVTDGYDLQLMASQSAARRAGPRRQEDFALAQVEDRNRVLMVSLSKHPAKGATFSFFYAGPVRCRFQGTAVDFDGGINVYVKDFTVAMESTGELPTTRAASFASRLESSGPGPTPGLAWCAAPGLLGLLLPLM
+>sp|Q6UB35|C1TM_HUMAN Monofunctional C1-tetrahydrofolate synthase, mitochondrial OS=Homo sapiens OX=9606 GN=MTHFD1L PE=1 SV=1
+MGTRLPLVLRQLRRPPQPPGPPRRLRVPCRASSGGGGGGGGGREGLLGQRRPQDGQARSSCSPGGRTPAARDSIVREVIQNSKEVLSLLQEKNPAFKPVLAIIQAGDDNLMQEINQNLAEEAGLNITHICLPPDSSEAEIIDEILKINEDTRVHGLALQISENLFSNKVLNALKPEKDVDGVTDINLGKLVRGDAHECFVSPVAKAVIELLEKSGVNLDGKKILVVGAHGSLEAALQCLFQRKGSMTMSIQWKTRQLQSKLHEADIVVLGSPKPEEIPLTWIQPGTTVLNCSHDFLSGKVGCGSPRIHFGGLIEEDDVILLAAALRIQNMVSSGRRWLREQQHRRWRLHCLKLQPLSPVPSDIEISRGQTPKAVDVLAKEIGLLADEIEIYGKSKAKVRLSVLERLKDQADGKYVLVAGITPTPLGEGKSTVTIGLVQALTAHLNVNSFACLRQPSQGPTFGVKGGAAGGGYAQVIPMEEFNLHLTGDIHAITAANNLLAAAIDTRILHENTQTDKALYNRLVPLVNGVREFSEIQLARLKKLGINKTDPSTLTEEEVSKFARLDIDPSTITWQRVLDTNDRFLRKITIGQGNTEKGHYRQAQFDIAVASEIMAVLALTDSLADMKARLGRMVVASDKSGQPVTADDLGVTGALTVLMKDAIKPNLMQTLEGTPVFVHAGPFANIAHGNSSVLADKIALKLVGEEGFVVTEAGFGADIGMEKFFNIKCRASGLVPNVVVLVATVRALKMHGGGPSVTAGVPLKKEYTEENIQLVADGCCNLQKQIQITQLFGVPVVVALNVFKTDTRAEIDLVCELAKRAGAFDAVPCYHWSVGGKGSVDLARAVREAASKRSRFQFLYDVQVPIVDKIRTIAQAVYGAKDIELSPEAQAKIDRYTQQGFGNLPICMAKTHLSLSHQPDKKGVPRDFILPISDVRASIGAGFIYPLVGTMSTMPGLPTRPCFYDIDLDTETEQVKGLF
+>DECOY_sp|Q6UB35|C1TM_HUMAN Monofunctional C1-tetrahydrofolate synthase, mitochondrial OS=Homo sapiens OX=9606 GN=MTHFD1L PE=1 SV=1
+FLGKVQETETDLDIDYFCPRTPLGPMTSMTGVLPYIFGAGISARVDSIPLIFDRPVGKKDPQHSLSLHTKAMCIPLNGFGQQTYRDIKAQAEPSLEIDKAGYVAQAITRIKDVIPVQVDYLFQFRSRKSAAERVARALDVSGKGGVSWHYCPVADFAGARKALECVLDIEARTDTKFVNLAVVVPVGFLQTIQIQKQLNCCGDAVLQINEETYEKKLPVGATVSPGGGHMKLARVTAVLVVVNPVLGSARCKINFFKEMGIDAGFGAETVVFGEEGVLKLAIKDALVSSNGHAINAFPGAHVFVPTGELTQMLNPKIADKMLVTLAGTVGLDDATVPQGSKDSAVVMRGLRAKMDALSDTLALVAMIESAVAIDFQAQRYHGKETNGQGITIKRLFRDNTDLVRQWTITSPDIDLRAFKSVEEETLTSPDTKNIGLKKLRALQIESFERVGNVLPVLRNYLAKDTQTNEHLIRTDIAAALLNNAATIAHIDGTLHLNFEEMPIVQAYGGGAAGGKVGFTPGQSPQRLCAFSNVNLHATLAQVLGITVTSKGEGLPTPTIGAVLVYKGDAQDKLRELVSLRVKAKSKGYIEIEDALLGIEKALVDVAKPTQGRSIEIDSPVPSLPQLKLCHLRWRRHQQERLWRRGSSVMNQIRLAAALLIVDDEEILGGFHIRPSGCGVKGSLFDHSCNLVTTGPQIWTLPIEEPKPSGLVVIDAEHLKSQLQRTKWQISMTMSGKRQFLCQLAAELSGHAGVVLIKKGDLNVGSKELLEIVAKAVPSVFCEHADGRVLKGLNIDTVGDVDKEPKLANLVKNSFLNESIQLALGHVRTDENIKLIEDIIEAESSDPPLCIHTINLGAEEALNQNIEQMLNDDGAQIIALVPKFAPNKEQLLSLVEKSNQIVERVISDRAAPTRGGPSCSSRAQGDQPRRQGLLGERGGGGGGGGGSSARCPVRLRRPPGPPQPPRRLQRLVLPLRTGM
+>sp|Q6ZSU1|C2G1P_HUMAN Putative inactive cytochrome P450 2G1 OS=Homo sapiens OX=9606 GN=CYP2G1P PE=5 SV=1
+MPYTDVVIHEIQRLVDIVPMGVPHNIIQDTQFRGYLLPKGTDVFPLLGSVLKDPKYFRYPDAFYPQHFLDEQGRFKKNEAFVPFSSGKRICLGEAMARMELFLYFTSTLQNFSLCSLVPLVDIDITPKLSGFGNITPTYELCLVAR
+>DECOY_sp|Q6ZSU1|C2G1P_HUMAN Putative inactive cytochrome P450 2G1 OS=Homo sapiens OX=9606 GN=CYP2G1P PE=5 SV=1
+RAVLCLEYTPTINGFGSLKPTIDIDVLPVLSCLSFNQLTSTFYLFLEMRAMAEGLCIRKGSSFPVFAENKKFRGQEDLFHQPYFADPYRFYKPDKLVSGLLPFVDTGKPLLYGRFQTDQIINHPVGMPVIDVLRQIEHIVVDTYPM
+>sp|Q16581|C3AR_HUMAN C3a anaphylatoxin chemotactic receptor OS=Homo sapiens OX=9606 GN=C3AR1 PE=1 SV=2
+MASFSAETNSTDLLSQPWNEPPVILSMVILSLTFLLGLPGNGLVLWVAGLKMQRTVNTIWFLHLTLADLLCCLSLPFSLAHLALQGQWPYGRFLCKLIPSIIVLNMFASVFLLTAISLDRCLVVFKPIWCQNHRNVGMACSICGCIWVVAFVMCIPVFVYREIFTTDNHNRCGYKFGLSSSLDYPDFYGDPLENRSLENIVQPPGEMNDRLDPSSFQTNDHPWTVPTVFQPQTFQRPSADSLPRGSARLTSQNLYSNVFKPADVVSPKIPSGFPIEDHETSPLDNSDAFLSTHLKLFPSASSNSFYESELPQGFQDYYNLGQFTDDDQVPTPLVAITITRLVVGFLLPSVIMIACYSFIVFRMQRGRFAKSQSKTFRVAVVVVAVFLVCWTPYHIFGVLSLLTDPETPLGKTLMSWDHVCIALASANSCFNPFLYALLGKDFRKKARQSIQGILEAAFSEELTRSTHCPSNNVISERNSTTV
+>DECOY_sp|Q16581|C3AR_HUMAN C3a anaphylatoxin chemotactic receptor OS=Homo sapiens OX=9606 GN=C3AR1 PE=1 SV=2
+VTTSNRESIVNNSPCHTSRTLEESFAAELIGQISQRAKKRFDKGLLAYLFPNFCSNASALAICVHDWSMLTKGLPTEPDTLLSLVGFIHYPTWCVLFVAVVVVAVRFTKSQSKAFRGRQMRFVIFSYCAIMIVSPLLFGVVLRTITIAVLPTPVQDDDTFQGLNYYDQFGQPLESEYFSNSSASPFLKLHTSLFADSNDLPSTEHDEIPFGSPIKPSVVDAPKFVNSYLNQSTLRASGRPLSDASPRQFTQPQFVTPVTWPHDNTQFSSPDLRDNMEGPPQVINELSRNELPDGYFDPYDLSSSLGFKYGCRNHNDTTFIERYVFVPICMVFAVVWICGCISCAMGVNRHNQCWIPKFVVLCRDLSIATLLFVSAFMNLVIISPILKCLFRGYPWQGQLALHALSFPLSLCCLLDALTLHLFWITNVTRQMKLGAVWLVLGNGPLGLLFTLSLIVMSLIVPPENWPQSLLDTSNTEASFSAM
+>sp|Q9NRR8|C42S1_HUMAN CDC42 small effector protein 1 OS=Homo sapiens OX=9606 GN=CDC42SE1 PE=1 SV=1
+MSEFWHKLGCCVVEKPQPKKKRRRIDRTMIGEPMNFVHLTHIGSGEMGAGDGLAMTGAVQEQMRSKGNRDRPWSNSRGL
+>DECOY_sp|Q9NRR8|C42S1_HUMAN CDC42 small effector protein 1 OS=Homo sapiens OX=9606 GN=CDC42SE1 PE=1 SV=1
+LGRSNSWPRDRNGKSRMQEQVAGTMALGDGAGMEGSGIHTLHVFNMPEGIMTRDIRRRKKKPQPKEVVCCGLKHWFESM
+>sp|Q9NRR3|C42S2_HUMAN CDC42 small effector protein 2 OS=Homo sapiens OX=9606 GN=CDC42SE2 PE=1 SV=1
+MSEFWLCFNCCIAEQPQPKRRRRIDRSMIGEPTNFVHTAHVGSGDLFSGMNSVSSIQNQMQSKGGYGGGMPANVQMQLVDTKAG
+>DECOY_sp|Q9NRR3|C42S2_HUMAN CDC42 small effector protein 2 OS=Homo sapiens OX=9606 GN=CDC42SE2 PE=1 SV=1
+GAKTDVLQMQVNAPMGGGYGGKSQMQNQISSVSNMGSFLDGSGVHATHVFNTPEGIMSRDIRRRRKPQPQEAICCNFCLWFESM
+>sp|O14569|C56D2_HUMAN Cytochrome b561 domain-containing protein 2 OS=Homo sapiens OX=9606 GN=CYB561D2 PE=1 SV=1
+MALSAETESHIYRALRTASGAAAHLVALGFTIFVAVLARPGSSLFSWHPVLMSLAFSFLMTEALLVFSPESSLLHSLSRKGRARCHWVLQLLALLCALLGLGLVILHKEQLGKAHLVTRHGQAGLLAVLWAGLQCSGGVGLLYPKLLPRWPLAKLKLYHATSGLVGYLLGSASLLLGMCSLWFTASVTGAAWYLAVLCPVLTSLVIMNQVSNAYLYRKRIQP
+>DECOY_sp|O14569|C56D2_HUMAN Cytochrome b561 domain-containing protein 2 OS=Homo sapiens OX=9606 GN=CYB561D2 PE=1 SV=1
+PQIRKRYLYANSVQNMIVLSTLVPCLVALYWAAGTVSATFWLSCMGLLLSASGLLYGVLGSTAHYLKLKALPWRPLLKPYLLGVGGSCQLGAWLVALLGAQGHRTVLHAKGLQEKHLIVLGLGLLACLLALLQLVWHCRARGKRSLSHLLSSEPSFVLLAETMLFSFALSMLVPHWSFLSSGPRALVAVFITFGLAVLHAAAGSATRLARYIHSETEASLAM
+>sp|P21730|C5AR1_HUMAN C5a anaphylatoxin chemotactic receptor 1 OS=Homo sapiens OX=9606 GN=C5AR1 PE=1 SV=2
+MDSFNYTTPDYGHYDDKDTLDLNTPVDKTSNTLRVPDILALVIFAVVFLVGVLGNALVVWVTAFEAKRTINAIWFLNLAVADFLSCLALPILFTSIVQHHHWPFGGAACSILPSLILLNMYASILLLATISADRFLLVFKPIWCQNFRGAGLAWIACAVAWGLALLLTIPSFLYRVVREEYFPPKVLCGVDYSHDKRRERAVAIVRLVLGFLWPLLTLTICYTFILLRTWSRRATRSTKTLKVVVAVVASFFIFWLPYQVTGIMMSFLEPSSPTFLLLKKLDSLCVSFAYINCCINPIIYVVAGQGFQGRLRKSLPSLLRNVLTEESVVRESKSFTRSTVDTMAQKTQAV
+>DECOY_sp|P21730|C5AR1_HUMAN C5a anaphylatoxin chemotactic receptor 1 OS=Homo sapiens OX=9606 GN=C5AR1 PE=1 SV=2
+VAQTKQAMTDVTSRTFSKSERVVSEETLVNRLLSPLSKRLRGQFGQGAVVYIIPNICCNIYAFSVCLSDLKKLLLFTPSSPELFSMMIGTVQYPLWFIFFSAVVAVVVKLTKTSRTARRSWTRLLIFTYCITLTLLPWLFGLVLRVIAVARERRKDHSYDVGCLVKPPFYEERVVRYLFSPITLLLALGWAVACAIWALGAGRFNQCWIPKFVLLFRDASITALLLISAYMNLLILSPLISCAAGGFPWHHHQVISTFLIPLALCSLFDAVALNLFWIANITRKAEFATVWVVLANGLVGVLFVVAFIVLALIDPVRLTNSTKDVPTNLDLTDKDDYHGYDPTTYNFSDM
+>sp|Q9H246|CA021_HUMAN Uncharacterized protein C1orf21 OS=Homo sapiens OX=9606 GN=C1orf21 PE=1 SV=1
+MGCASAKHVATVQNEEEAQKGKNYQNGDVFGDEYRIKPVEEVKYMKNGAEEEQKIAARNQENLEKSASSNVRLKTNKEVPGLVHQPRANMHISESQQEFFRMLDEKIEKGRDYCSEEEDIT
+>DECOY_sp|Q9H246|CA021_HUMAN Uncharacterized protein C1orf21 OS=Homo sapiens OX=9606 GN=C1orf21 PE=1 SV=1
+TIDEEESCYDRGKEIKEDLMRFFEQQSESIHMNARPQHVLGPVEKNTKLRVNSSASKELNEQNRAAIKQEEEAGNKMYKVEEVPKIRYEDGFVDGNQYNKGKQAEEENQVTAVHKASACGM
+>sp|Q8WWF1|CA054_HUMAN Uncharacterized protein C1orf54 OS=Homo sapiens OX=9606 GN=C1orf54 PE=2 SV=1
+MDVLFVAIFAVPLILGQEYEDEERLGEDEYYQVVYYYTVTPSYDDFSADFTIDYSIFESEDRLNRLDKDITEAIETTISLETARADHPKPVTVKPVTTEPSPDLNDAVSSLRSPIPLLLSCAFVQVGMYFM
+>DECOY_sp|Q8WWF1|CA054_HUMAN Uncharacterized protein C1orf54 OS=Homo sapiens OX=9606 GN=C1orf54 PE=2 SV=1
+MFYMGVQVFACSLLLPIPSRLSSVADNLDPSPETTVPKVTVPKPHDARATELSITTEIAETIDKDLRNLRDESEFISYDITFDASFDDYSPTVTYYYVVQYYEDEGLREEDEYEQGLILPVAFIAVFLVDM
+>sp|Q8N0U7|CA087_HUMAN Uncharacterized protein C1orf87 OS=Homo sapiens OX=9606 GN=C1orf87 PE=2 SV=1
+MSSAWKTPRGSDAMPEIMVKIIGSKHFQYLVEKPKIKENDSLKTETQTMHQKPMTDNARQMSRDTPVPINFTDQQTTDNPDDVKEKKHPENNQKSENNQKLLTGANSSRFLDGNIPSQANVHCSSVPTGDQSLSYVHGIPRRKLRDWSLEQMVRGSSDQPEDIGQSPSGTTNEDAFLLALVRRELKSRPLSSNLLEKLQKELKILDPISSGFLLQSQLSRLFLKHEVPLQLPTVKILCQRFSKRGSPEMVNYEKLLWFLNSAASDYPQQNKAAADLRKTESHGTHSQSTPPQHSSSQPEVNRSLLEILKMALRTTNGRLNIDNLNLSFRKEDRSFSGCLPLPKVRAICGKHGLYLTLSLLETLLNHQDLGYQNEIKWQNFVEMLTRASSDLLSDLPTGKNEKKAPAPPMEPEVPEMSQSKTEHMKTPEEELQPESSPAETSACKDPLKPLKIRPVSQPFVNPAVKNKAEECETWIDRFRKLENALYLCDLSNTGVLEKERARRLIHNYNLIYNLSLSPQKIDQALRRFRSGENMLLEPALRYLKEL
+>DECOY_sp|Q8N0U7|CA087_HUMAN Uncharacterized protein C1orf87 OS=Homo sapiens OX=9606 GN=C1orf87 PE=2 SV=1
+LEKLYRLAPELLMNEGSRFRRLAQDIKQPSLSLNYILNYNHILRRAREKELVGTNSLDCLYLANELKRFRDIWTECEEAKNKVAPNVFPQSVPRIKLPKLPDKCASTEAPSSEPQLEEEPTKMHETKSQSMEPVEPEMPPAPAKKENKGTPLDSLLDSSARTLMEVFNQWKIENQYGLDQHNLLTELLSLTLYLGHKGCIARVKPLPLCGSFSRDEKRFSLNLNDINLRGNTTRLAMKLIELLSRNVEPQSSSHQPPTSQSHTGHSETKRLDAAAKNQQPYDSAASNLFWLLKEYNVMEPSGRKSFRQCLIKVTPLQLPVEHKLFLRSLQSQLLFGSSIPDLIKLEKQLKELLNSSLPRSKLERRVLALLFADENTTGSPSQGIDEPQDSSGRVMQELSWDRLKRRPIGHVYSLSQDGTPVSSCHVNAQSPINGDLFRSSNAGTLLKQNNESKQNNEPHKKEKVDDPNDTTQQDTFNIPVPTDRSMQRANDTMPKQHMTQTETKLSDNEKIKPKEVLYQFHKSGIIKVMIEPMADSGRPTKWASSM
+>sp|Q9H7X2|CA115_HUMAN Uncharacterized protein C1orf115 OS=Homo sapiens OX=9606 GN=C1orf115 PE=2 SV=1
+MTVGARLRSKAESSLLRRGPRGRGRTEGDEEAAAILEHLEYADEAEAAAESGTSAADERGPGTRGARRVHFALLPERYEPLEEPAPSEQPRKRYRRKLKKYGKNVGKVIIKGCRYVVIGLQGFAAAYSAPFAVATSVVSFVR
+>DECOY_sp|Q9H7X2|CA115_HUMAN Uncharacterized protein C1orf115 OS=Homo sapiens OX=9606 GN=C1orf115 PE=2 SV=1
+RVFSVVSTAVAFPASYAAAFGQLGIVVYRCGKIIVKGVNKGYKKLKRRYRKRPQESPAPEELPEYREPLLAFHVRRAGRTGPGREDAASTGSEAAAEAEDAYELHELIAAAEEDGETRGRGRPGRRLLSSEAKSRLRAGVTM
+>sp|Q9NWV4|CA123_HUMAN UPF0587 protein C1orf123 OS=Homo sapiens OX=9606 GN=C1orf123 PE=1 SV=1
+MGKIALQLKATLENITNLRPVGEDFRWYLKMKCGNCGEISDKWQYIRLMDSVALKGGRGSASMVQKCKLCARENSIEILSSTIKPYNAEDNENFKTIVEFECRGLEPVDFQPQAGFAAEGVESGTAFSDINLQEKDWTDYDEKAQESVGIYEVTHQFVKC
+>DECOY_sp|Q9NWV4|CA123_HUMAN UPF0587 protein C1orf123 OS=Homo sapiens OX=9606 GN=C1orf123 PE=1 SV=1
+CKVFQHTVEYIGVSEQAKEDYDTWDKEQLNIDSFATGSEVGEAAFGAQPQFDVPELGRCEFEVITKFNENDEANYPKITSSLIEISNERACLKCKQVMSASGRGGKLAVSDMLRIYQWKDSIEGCNGCKMKLYWRFDEGVPRLNTINELTAKLQLAIKGM
+>sp|Q96MC9|CA147_HUMAN Uncharacterized protein C1orf147 OS=Homo sapiens OX=9606 GN=C1orf147 PE=2 SV=2
+MQGNNLQPPSPRPLECWSLHREAPQRTEASRTHPSPFLALPGSHRTANQAQVVPGLVHHPQENTQRLRIRAGLSPFPRARLAAIGSTHGHRWVPGICLPRCLCPASCALHACPPHCNNAEAHSWLRLGGPLQSRSVEHQQHACGWGWVTHATYGERGTSQAAERQRIAHTRALSVGNCPRSHFQCEFSSISKWSEGTGTFSPRPRAVKSGVGGQPSPHIRITWRSCTTLTSKPCSRAITPECVVGWSRRSRHWYSDMRTGECQGLSPITR
+>DECOY_sp|Q96MC9|CA147_HUMAN Uncharacterized protein C1orf147 OS=Homo sapiens OX=9606 GN=C1orf147 PE=2 SV=2
+RTIPSLGQCEGTRMDSYWHRSRRSWGVVCEPTIARSCPKSTLTTCSRWTIRIHPSPQGGVGSKVARPRPSFTGTGESWKSISSFECQFHSRPCNGVSLARTHAIRQREAAQSTGREGYTAHTVWGWGCAHQQHEVSRSQLPGGLRLWSHAEANNCHPPCAHLACSAPCLCRPLCIGPVWRHGHTSGIAALRARPFPSLGARIRLRQTNEQPHHVLGPVVQAQNATRHSGPLALFPSPHTRSAETRQPAERHLSWCELPRPSPPQLNNGQM
+>sp|Q8N1D5|CA158_HUMAN Uncharacterized protein C1orf158 OS=Homo sapiens OX=9606 GN=C1orf158 PE=2 SV=2
+MFLTAVNPQPLSTPSWQIETKYSTKVLTGNWMEERRKFTRDTDKTPQSIYRKEYIPFPDHRPDQISRWYGKRKVEGLPYKHLITHHQEPPHRYLISTYDDHYNRHGYNPGLPPLRTWNGQKLLWLPEKSDFPLLAPPTNYGLYEQLKQRQLTPKAGLKQSTYTSSYPRPPLCAMSWREHAVPVPPHRLHPFPHF
+>DECOY_sp|Q8N1D5|CA158_HUMAN Uncharacterized protein C1orf158 OS=Homo sapiens OX=9606 GN=C1orf158 PE=2 SV=2
+FHPFPHLRHPPVPVAHERWSMACLPPRPYSSTYTSQKLGAKPTLQRQKLQEYLGYNTPPALLPFDSKEPLWLLKQGNWTRLPPLGPNYGHRNYHDDYTSILYRHPPEQHHTILHKYPLGEVKRKGYWRSIQDPRHDPFPIYEKRYISQPTKDTDRTFKRREEMWNGTLVKTSYKTEIQWSPTSLPQPNVATLFM
+>sp|Q5SNV9|CA167_HUMAN Uncharacterized protein C1orf167 OS=Homo sapiens OX=9606 GN=C1orf167 PE=2 SV=2
+MTRMSCPWGSYQWEPGACPAAPRGIGGGDMAGGIPDVRGLQEAALGAGRSQEEARLVEEAQTPVMLPQDSGQRVEEVPGDLMAKRMSLILHVQKLPWDHVPCLRRTRQNLYQDVGGHAHGSGLGGAKRGAARSALRRPLPPATCRPAGIVSGPSPRLDSNPTGAHLIKQTRPLTVEWTKDTPVPEPMELRSDASHKENVSPKPAALPKPGKRLKQRRFRRSLGIGLSGRHDQWVPGCQVERGGPAATPSPGAVLDQEPCRVQTNLASPGPRLGLALKDTTGQLVNSSFWQQSNLQSLARRRQGKAREFAIQQSNLSINETSSPHLCPEPGGSSGPHKLPWGPLLSQEPLARPSSCLRQSGLPAPGTPSGDFRPTEAFAPLDGHTQPGLRSWGGLGSWRSRLVGEPLTLEDLAVPSQNQTQAPSRAAVHQLLASVHCLAQEAARLRCQAPQEPPAWGVSPKQKGEEGAPRERVHREEERTAFHLSDTVPASSASKNKAQNITAPESEAICWQLLSRCFRSWRHLVKRQREPAAAAVALGRWQLLRKCLQALWLREAQLEAAWGQYTKVLLVRSFREVSGLQVGPGGRVKQCPGSLREEEIAQRLLSHPRQRTDSRHERVQILQALQLAVFFLWCQQKKRARQERETLRKATRATQRTGSFPQAWHSTAAGVAWVAPLSPQHQRAWLCRCFGAWQQFVQRGSRYRDHLADRRTGTLRKCLEQWVRMKQLRESDGAKVTQLSLCRQKAGREAVYTAGPGACGLGAVGQAQGQQEQGRGSLQDACWTLALCWALLLWKMRLFQRQWANSFFQGLQQRMLQRSLRWWHLRALGPDATSSCTKTPSALEPLSSSTLQDSLEKVPRAPTLPDTLQGSLLWAAGQRQQGQCLLLWQARAQQFQGTARWYQHTRQRRIFLSWSRWATAQWAWRELASHRAWDRTCRAVLGLWRQRLLQSRLVEWWAQERGWRLARDALCHWHSCWQGQQFLHEKCQTWVQVHLQGLQKVVFRSWQQAAAHQRCTVTRPEQLLLQSYFQAWCEVVRDTGVLRAQHQAFQDGLRRRALGAVFATWREAQEVAAGAQEQRVAQASLARWRSCGQQGQEDGQQKKARAPQAFPAWPVAPGMHHEAQQQAGESAGAQAAQCWTWCWALWVHESCRGQVSRAHASWKPRAWVLEASVQSAVRGGVQRAILTQLRPAELRRFLRTVQLRVRLGLPGAGKTRSCWTQATELVPPAPSLQCSLGGRRKPRGTAWAQRCREHSLCPAFQLWPQWPGQSSWVPGLPLWTRDQGPRAHSSPEPRACKAQSKAHKRRLRILEKQAQAHGSALLLALKGHDALGHQEEVPAAPVPRGTASRAAGFPAGQVPGSGMAALGGCPRGRAAGADPAQGVAPEMGLADVVAADPATASGSAVTAAGRWAFKKWHQRLAARSPRRGAASSPRPWSKPGPKGPESGQEAARAPRGWGLGAEHGAQLQL
+>DECOY_sp|Q5SNV9|CA167_HUMAN Uncharacterized protein C1orf167 OS=Homo sapiens OX=9606 GN=C1orf167 PE=2 SV=2
+LQLQAGHEAGLGWGRPARAAEQGSEPGKPGPKSWPRPSSAAGRRPSRAALRQHWKKFAWRGAATVASGSATAPDAAVVDALGMEPAVGQAPDAGAARGRPCGGLAAMGSGPVQGAPFGAARSATGRPVPAAPVEEQHGLADHGKLALLLASGHAQAQKELIRLRRKHAKSQAKCARPEPSSHARPGQDRTWLPLGPVWSSQGPWQPWLQFAPCLSHERCRQAWATGRPKRRGGLSCQLSPAPPVLETAQTWCSRTKGAGPLGLRVRLQVTRLFRRLEAPRLQTLIARQVGGRVASQVSAELVWARPKWSAHARSVQGRCSEHVWLAWCWTWCQAAQAGASEGAQQQAEHHMGPAVPWAPFAQPARAKKQQGDEQGQQGCSRWRALSAQAVRQEQAGAAVEQAERWTAFVAGLARRRLGDQFAQHQARLVGTDRVVECWAQFYSQLLLQEPRTVTCRQHAAAQQWSRFVVKQLGQLHVQVWTQCKEHLFQQGQWCSHWHCLADRALRWGREQAWWEVLRSQLLRQRWLGLVARCTRDWARHSALERWAWQATAWRSWSLFIRRQRTHQYWRATGQFQQARAQWLLLCQGQQRQGAAWLLSGQLTDPLTPARPVKELSDQLTSSSLPELASPTKTCSSTADPGLARLHWWRLSRQLMRQQLGQFFSNAWQRQFLRMKWLLLAWCLALTWCADQLSGRGQEQQGQAQGVAGLGCAGPGATYVAERGAKQRCLSLQTVKAGDSERLQKMRVWQELCKRLTGTRRDALHDRYRSGRQVFQQWAGFCRCLWARQHQPSLPAVWAVGAATSHWAQPFSGTRQTARTAKRLTEREQRARKKQQCWLFFVALQLAQLIQVREHRSDTRQRPHSLLRQAIEEERLSGPCQKVRGGPGVQLGSVERFSRVLLVKTYQGWAAELQAERLWLAQLCKRLLQWRGLAVAAAAPERQRKVLHRWSRFCRSLLQWCIAESEPATINQAKNKSASSAPVTDSLHFATREEERHVRERPAGEEGKQKPSVGWAPPEQPAQCRLRAAEQALCHVSALLQHVAARSPAQTQNQSPVALDELTLPEGVLRSRWSGLGGWSRLGPQTHGDLPAFAETPRFDGSPTGPAPLGSQRLCSSPRALPEQSLLPGWPLKHPGSSGGPEPCLHPSSTENISLNSQQIAFERAKGQRRRALSQLNSQQWFSSNVLQGTTDKLALGLRPGPSALNTQVRCPEQDLVAGPSPTAAPGGREVQCGPVWQDHRGSLGIGLSRRFRRQKLRKGPKPLAAPKPSVNEKHSADSRLEMPEPVPTDKTWEVTLPRTQKILHAGTPNSDLRPSPGSVIGAPRCTAPPLPRRLASRAAGRKAGGLGSGHAHGGVDQYLNQRTRRLCPVHDWPLKQVHLILSMRKAMLDGPVEEVRQGSDQPLMVPTQAEEVLRAEEQSRGAGLAAEQLGRVDPIGGAMDGGGIGRPAAPCAGPEWQYSGWPCSMRTM
+>sp|Q5T7R7|CA185_HUMAN Uncharacterized protein C1orf185 OS=Homo sapiens OX=9606 GN=C1orf185 PE=2 SV=1
+MASPKGFFNYLTYFLAAGAVTLGIGFFALASALWFLICKRREIFQNSKFKAIDERCRQRPSMAKIKSHSQCVFISRNFHTGRFQLQEEQRKKEAAHIKAIKDHSKDEPQLATKNIICDPSETSSTTNRSSVTLSLSTLPSDSYYSQSIEAADDWFSDDSLVKRNSPMPSLGEPLMEKVFSYLSTISLEEGTESVLNDTL
+>DECOY_sp|Q5T7R7|CA185_HUMAN Uncharacterized protein C1orf185 OS=Homo sapiens OX=9606 GN=C1orf185 PE=2 SV=1
+LTDNLVSETGEELSITSLYSFVKEMLPEGLSPMPSNRKVLSDDSFWDDAAEISQSYYSDSPLTSLSLTVSSRNTTSSTESPDCIINKTALQPEDKSHDKIAKIHAAEKKRQEEQLQFRGTHFNRSIFVCQSHSKIKAMSPRQRCREDIAKFKSNQFIERRKCILFWLASALAFFGIGLTVAGAALFYTLYNFFGKPSAM
+>sp|B1AJZ1|CA196_HUMAN Putative uncharacterized protein C1orf196 OS=Homo sapiens OX=9606 GN=C1orf196 PE=5 SV=2
+MTNTKDPKRAMESTLATSNSATGPVTFSHVFGQQCQLMQAAVQSLHTLNDQISHFIVTKSKALEEDKDPFLPTEKETLKSSMILMRHLLMDAQVQRSIQKFSMEKVESFFLFGISCPKMFNYHTY
+>DECOY_sp|B1AJZ1|CA196_HUMAN Putative uncharacterized protein C1orf196 OS=Homo sapiens OX=9606 GN=C1orf196 PE=5 SV=2
+YTHYNFMKPCSIGFLFFSEVKEMSFKQISRQVQADMLLHRMLIMSSKLTEKETPLFPDKDEELAKSKTVIFHSIQDNLTHLSQVAAQMLQCQQGFVHSFTVPGTASNSTALTSEMARKPDKTNTM
+>sp|Q9BRK5|CAB45_HUMAN 45 kDa calcium-binding protein OS=Homo sapiens OX=9606 GN=SDF4 PE=1 SV=1
+MVWPWVAMASRWGPLIGLAPCCLWLLGAVLLMDASARPANHSSTRERVANREENEILPPDHLNGVKLEMDGHLNRGFHQEVFLGKDLGGFDEDAEPRRSRRKLMVIFSKVDVNTDRKISAKEMQRWIMEKTAEHFQEAMEESKTHFRAVDPDGDGHVSWDEYKVKFLASKGHSEKEVADAIRLNEELKVDEETQEVLENLKDRWYQADSPPADLLLTEEEFLSFLHPEHSRGMLRFMVKEIVRDLDQDGDKQLSVPEFISLPVGTVENQQGQDIDDNWVKDRKKEFEELIDSNHDGIVTAEELESYMDPMNEYNALNEAKQMIAVADENQNHHLEPEEVLKYSEFFTGSKLVDYARSVHEEF
+>DECOY_sp|Q9BRK5|CAB45_HUMAN 45 kDa calcium-binding protein OS=Homo sapiens OX=9606 GN=SDF4 PE=1 SV=1
+FEEHVSRAYDVLKSGTFFESYKLVEEPELHHNQNEDAVAIMQKAENLANYENMPDMYSELEEATVIGDHNSDILEEFEKKRDKVWNDDIDQGQQNEVTGVPLSIFEPVSLQKDGDQDLDRVIEKVMFRLMGRSHEPHLFSLFEEETLLLDAPPSDAQYWRDKLNELVEQTEEDVKLEENLRIADAVEKESHGKSALFKVKYEDWSVHGDGDPDVARFHTKSEEMAEQFHEATKEMIWRQMEKASIKRDTNVDVKSFIVMLKRRSRRPEADEDFGGLDKGLFVEQHFGRNLHGDMELKVGNLHDPPLIENEERNAVRERTSSHNAPRASADMLLVAGLLWLCCPALGILPGWRSAMAVWPWVM
+>sp|Q9P0X4|CAC1I_HUMAN Voltage-dependent T-type calcium channel subunit alpha-1I OS=Homo sapiens OX=9606 GN=CACNA1I PE=1 SV=1
+MAESASPPSSSAAAPAAEPGVTTEQPGPRSPPSSPPGLEEPLDGADPHVPHPDLAPIAFFCLRQTTSPRNWCIKMVCNPWFECVSMLVILLNCVTLGMYQPCDDMDCLSDRCKILQVFDDFIFIFFAMEMVLKMVALGIFGKKCYLGDTWNRLDFFIVMAGMVEYSLDLQNINLSAIRTVRVLRPLKAINRVPSMRILVNLLLDTLPMLGNVLLLCFFVFFIFGIIGVQLWAGLLRNRCFLEENFTIQGDVALPPYYQPEEDDEMPFICSLSGDNGIMGCHEIPPLKEQGRECCLSKDDVYDFGAGRQDLNASGLCVNWNRYYNVCRTGSANPHKGAINFDNIGYAWIVIFQVITLEGWVEIMYYVMDAHSFYNFIYFILLIIVGSFFMINLCLVVIATQFSETKQREHRLMLEQRQRYLSSSTVASYAEPGDCYEEIFQYVCHILRKAKRRALGLYQALQSRRQALGPEAPAPAKPGPHAKEPRHYHGKTKGQGDEGRHLGSRHCQTLHGPASPGNDHSGRELCPQHSPLDATPHTLVQPIPATLASDPASCPCCQHEDGRRPSGLGSTDSGQEGSGSGSSAGGEDEADGDGARSSEDGASSELGKEEEEEEQADGAVWLCGDVWRETRAKLRGIVDSKYFNRGIMMAILVNTVSMGIEHHEQPEELTNILEICNVVFTSMFALEMILKLAAFGLFDYLRNPYNIFDSIIVIISIWEIVGQADGGLSVLRTFRLLRVLKLVRFMPALRRQLVVLMKTMDNVATFCMLLMLFIFIFSILGMHIFGCKFSLRTDTGDTVPDRKNFDSLLWAIVTVFQILTQEDWNVVLYNGMASTSPWASLYFVALMTFGNYVLFNLLVAILVEGFQAEGDANRSYSDEDQSSSNIEEFDKLQEGLDSSGDPKLCPIPMTPNGHLDPSLPLGGHLGPAGAAGPAPRLSLQPDPMLVALGSRKSSVMSLGRMSYDQRSLSSSRSSYYGPWGRSAAWASRRSSWNSLKHKPPSAEHESLLSAERGGGARVCEVAADEGPPRAAPLHTPHAHHIHHGPHLAHRHRHHRRTLSLDNRDSVDLAELVPAVGAHPRAAWRAAGPAPGHEDCNGRMPSIAKDVFTKMGDRGDRGEDEEEIDYTLCFRVRKMIDVYKPDWCEVREDWSVYLFSPENRFRVLCQTIIAHKLFDYVVLAFIFLNCITIALERPQIEAGSTERIFLTVSNYIFTAIFVGEMTLKVVSLGLYFGEQAYLRSSWNVLDGFLVFVSIIDIVVSLASAGGAKILGVLRVLRLLRTLRPLRVISRAPGLKLVVETLISSLKPIGNIVLICCAFFIIFGILGVQLFKGKFYHCLGVDTRNITNRSDCMAANYRWVHHKYNFDNLGQALMSLFVLASKDGWVNIMYNGLDAVAVDQQPVTNHNPWMLLYFISFLLIVSFFVLNMFVGVVVENFHKCRQHQEAEEARRREEKRLRRLEKKRRKAQRLPYYATYCHTRLLIHSMCTSHYLDIFITFIICLNVVTMSLEHYNQPTSLETALKYCNYMFTTVFVLEAVLKLVAFGLRRFFKDRWNQLDLAIVLLSVMGITLEEIEINAALPINPTIIRIMRVLRIARVLKLLKMATGMRALLDTVVQALPQVGNLGLLFMLLFFIYAALGVELFGKLVCNDENPCEGMSRHATFENFGMAFLTLFQVSTGDNWNGIMKDTLRDCTHDERSCLSSLQFVSPLYFVSFVLTAQFVLINVVVAVLMKHLDDSNKEAQEDAEMDAELELEMAHGLGPGPRLPTGSPGAPGRGPGGAGGGGDTEGGLCRRCYSPAQENLWLDSVSLIIKDSLEGELTIIDNLSGSIFHHYSSPAGCKKCHHDKQEVQLAETEAFSLNSDRSSSILLGDDLSLEDPTACPPGRKDSKGELDPPEPMRVGDLGECFFPLSSTAVSPDPENFLCEMEEIPFNPVRSWLKHDSSQAPPSPFSPDASSPLLPMPAEFFHPAVSASQKGPEKGTGTGTLPKIALQGSWASLRSPRVNCTLLRQATGSDTSLDASPSSSAGSLQTTLEDSLTLSDSPRRALGPPAPAPGPRAGLSPAARRRLSLRGRGLFSLRGLRAHQRSHSSGGSTSPGCTHHDSMDPSDEEGRGGAGGGGAGSEHSETLSSLSLTSLFCPPPPPPAPGLTPARKFSSTSSLAAPGRPHAAALAHGLARSPSWAADRSKDPPGRAPLPMGLGPLAPPPQPLPGELEPGDAASKRKR
+>DECOY_sp|Q9P0X4|CAC1I_HUMAN Voltage-dependent T-type calcium channel subunit alpha-1I OS=Homo sapiens OX=9606 GN=CACNA1I PE=1 SV=1
+RKRKSAADGPELEGPLPQPPPALPGLGMPLPARGPPDKSRDAAWSPSRALGHALAAAHPRGPAALSSTSSFKRAPTLGPAPPPPPPCFLSTLSLSSLTESHESGAGGGGAGGRGEEDSPDMSDHHTCGPSTSGGSSHSRQHARLGRLSFLGRGRLSLRRRAAPSLGARPGPAPAPPGLARRPSDSLTLSDELTTQLSGASSSPSADLSTDSGTAQRLLTCNVRPSRLSAWSGQLAIKPLTGTGTGKEPGKQSASVAPHFFEAPMPLLPSSADPSFPSPPAQSSDHKLWSRVPNFPIEEMECLFNEPDPSVATSSLPFFCEGLDGVRMPEPPDLEGKSDKRGPPCATPDELSLDDGLLISSSRDSNLSFAETEALQVEQKDHHCKKCGAPSSYHHFISGSLNDIITLEGELSDKIILSVSDLWLNEQAPSYCRRCLGGETDGGGGAGGPGRGPAGPSGTPLRPGPGLGHAMELELEADMEADEQAEKNSDDLHKMLVAVVVNILVFQATLVFSVFYLPSVFQLSSLCSREDHTCDRLTDKMIGNWNDGTSVQFLTLFAMGFNEFTAHRSMGECPNEDNCVLKGFLEVGLAAYIFFLLMFLLGLNGVQPLAQVVTDLLARMGTAMKLLKLVRAIRLVRMIRIITPNIPLAANIEIEELTIGMVSLLVIALDLQNWRDKFFRRLGFAVLKLVAELVFVTTFMYNCYKLATELSTPQNYHELSMTVVNLCIIFTIFIDLYHSTCMSHILLRTHCYTAYYPLRQAKRRKKELRRLRKEERRRAEEAEQHQRCKHFNEVVVGVFMNLVFFSVILLFSIFYLLMWPNHNTVPQQDVAVADLGNYMINVWGDKSALVFLSMLAQGLNDFNYKHHVWRYNAAMCDSRNTINRTDVGLCHYFKGKFLQVGLIGFIIFFACCILVINGIPKLSSILTEVVLKLGPARSIVRLPRLTRLLRLVRLVGLIKAGGASALSVVIDIISVFVLFGDLVNWSSRLYAQEGFYLGLSVVKLTMEGVFIATFIYNSVTLFIRETSGAEIQPRELAITICNLFIFALVVYDFLKHAIITQCLVRFRNEPSFLYVSWDERVECWDPKYVDIMKRVRFCLTYDIEEEDEGRDGRDGMKTFVDKAISPMRGNCDEHGPAPGAARWAARPHAGVAPVLEALDVSDRNDLSLTRRHHRHRHALHPGHHIHHAHPTHLPAARPPGEDAAVECVRAGGGREASLLSEHEASPPKHKLSNWSSRRSAWAASRGWPGYYSSRSSSLSRQDYSMRGLSMVSSKRSGLAVLMPDPQLSLRPAPGAAGAPGLHGGLPLSPDLHGNPTMPIPCLKPDGSSDLGEQLKDFEEINSSSQDEDSYSRNADGEAQFGEVLIAVLLNFLVYNGFTMLAVFYLSAWPSTSAMGNYLVVNWDEQTLIQFVTVIAWLLSDFNKRDPVTDGTDTRLSFKCGFIHMGLISFIFIFLMLLMCFTAVNDMTKMLVVLQRRLAPMFRVLKLVRLLRFTRLVSLGGDAQGVIEWISIIVIISDFINYPNRLYDFLGFAALKLIMELAFMSTFVVNCIELINTLEEPQEHHEIGMSVTNVLIAMMIGRNFYKSDVIGRLKARTERWVDGCLWVAGDAQEEEEEEKGLESSAGDESSRAGDGDAEDEGGASSGSGSGEQGSDTSGLGSPRRGDEHQCCPCSAPDSALTAPIPQVLTHPTADLPSHQPCLERGSHDNGPSAPGHLTQCHRSGLHRGEDGQGKTKGHYHRPEKAHPGPKAPAPAEPGLAQRRSQLAQYLGLARRKAKRLIHCVYQFIEEYCDGPEAYSAVTSSSLYRQRQELMLRHERQKTESFQTAIVVLCLNIMFFSGVIILLIFYIFNYFSHADMVYYMIEVWGELTIVQFIVIWAYGINDFNIAGKHPNASGTRCVNYYRNWNVCLGSANLDQRGAGFDYVDDKSLCCERGQEKLPPIEHCGMIGNDGSLSCIFPMEDDEEPQYYPPLAVDGQITFNEELFCRNRLLGAWLQVGIIGFIFFVFFCLLLVNGLMPLTDLLLNVLIRMSPVRNIAKLPRLVRVTRIASLNINQLDLSYEVMGAMVIFFDLRNWTDGLYCKKGFIGLAVMKLVMEMAFFIFIFDDFVQLIKCRDSLCDMDDCPQYMGLTVCNLLIVLMSVCEFWPNCVMKICWNRPSTTQRLCFFAIPALDPHPVHPDAGDLPEELGPPSSPPSRPGPQETTVGPEAAPAAASSSPPSASEAM
+>sp|Q02641|CACB1_HUMAN Voltage-dependent L-type calcium channel subunit beta-1 OS=Homo sapiens OX=9606 GN=CACNB1 PE=1 SV=3
+MVQKTSMSRGPYPPSQEIPMEVFDPSPQGKYSKRKGRFKRSDGSTSSDTTSNSFVRQGSAESYTSRPSDSDVSLEEDREALRKEAERQALAQLEKAKTKPVAFAVRTNVGYNPSPGDEVPVQGVAITFEPKDFLHIKEKYNNDWWIGRLVKEGCEVGFIPSPVKLDSLRLLQEQKLRQNRLGSSKSGDNSSSSLGDVVTGTRRPTPPASAKQKQKSTEHVPPYDVVPSMRPIILVGPSLKGYEVTDMMQKALFDFLKHRFDGRISITRVTADISLAKRSVLNNPSKHIIIERSNTRSSLAEVQSEIERIFELARTLQLVALDADTINHPAQLSKTSLAPIIVYIKITSPKVLQRLIKSRGKSQSKHLNVQIAASEKLAQCPPEMFDIILDENQLEDACEHLAEYLEAYWKATHPPSSTPPNPLLNRTMATAALAASPAPVSNLQGPYLASGDQPLERATGEHASMHEYPGELGQPPGLYPSSHPPGRAGTLRALSRQDTFDADTPGSRNSAYTELGDSCVDMETDPSEGPGLGDPAGGGTPPARQGSWEDEEEDYEEELTDNRNRGRNKARYCAEGGGPVLGRNKNELEGWGRGVYIR
+>DECOY_sp|Q02641|CACB1_HUMAN Voltage-dependent L-type calcium channel subunit beta-1 OS=Homo sapiens OX=9606 GN=CACNB1 PE=1 SV=3
+RIYVGRGWGELENKNRGLVPGGGEACYRAKNRGRNRNDTLEEEYDEEEDEWSGQRAPPTGGGAPDGLGPGESPDTEMDVCSDGLETYASNRSGPTDADFTDQRSLARLTGARGPPHSSPYLGPPQGLEGPYEHMSAHEGTARELPQDGSALYPGQLNSVPAPSAALAATAMTRNLLPNPPTSSPPHTAKWYAELYEALHECADELQNEDLIIDFMEPPCQALKESAAIQVNLHKSQSKGRSKILRQLVKPSTIKIYVIIPALSTKSLQAPHNITDADLAVLQLTRALEFIREIESQVEALSSRTNSREIIIHKSPNNLVSRKALSIDATVRTISIRGDFRHKLFDFLAKQMMDTVEYGKLSPGVLIIPRMSPVVDYPPVHETSKQKQKASAPPTPRRTGTVVDGLSSSSNDGSKSSGLRNQRLKQEQLLRLSDLKVPSPIFGVECGEKVLRGIWWDNNYKEKIHLFDKPEFTIAVGQVPVEDGPSPNYGVNTRVAFAVPKTKAKELQALAQREAEKRLAERDEELSVDSDSPRSTYSEASGQRVFSNSTTDSSTSGDSRKFRGKRKSYKGQPSPDFVEMPIEQSPPYPGRSMSTKQVM
+>sp|Q08289|CACB2_HUMAN Voltage-dependent L-type calcium channel subunit beta-2 OS=Homo sapiens OX=9606 GN=CACNB2 PE=1 SV=3
+MVQRDMSKSPPTAAAAVAQEIQMELLENVAPAGALGAAAQSYGKGARRKNRFKGSDGSTSSDTTSNSFVRQGSADSYTSRPSDSDVSLEEDREAVRREAERQAQAQLEKAKTKPVAFAVRTNVSYSAAHEDDVPVPGMAISFEAKDFLHVKEKFNNDWWIGRLVKEGCEIGFIPSPVKLENMRLQHEQRAKQGKFYSSKSGGNSSSSLGDIVPSSRKSTPPSSAIDIDATGLDAEENDIPANHRSPKPSANSVTSPHSKEKRMPFFKKTEHTPPYDVVPSMRPVVLVGPSLKGYEVTDMMQKALFDFLKHRFEGRISITRVTADISLAKRSVLNNPSKHAIIERSNTRSSLAEVQSEIERIFELARTLQLVVLDADTINHPAQLSKTSLAPIIVYVKISSPKVLQRLIKSRGKSQAKHLNVQMVAADKLAQCPPELFDVILDENQLEDACEHLADYLEAYWKATHPPSSSLPNPLLSRTLATSSLPLSPTLASNSQGSQGDQRTDRSAPIRSASQAEEEPSVEPVKKSQHRSSSSAPHHNHRSGTSRGLSRQETFDSETQESRDSAYVEPKEDYSHDHVDHYASHRDHNHRDETHGSSDHRHRESRHRSRDVDREQDHNECNKQRSRHKSKDRYCEKDGEVISKKRNEAGEWNRDVYIRQ
+>DECOY_sp|Q08289|CACB2_HUMAN Voltage-dependent L-type calcium channel subunit beta-2 OS=Homo sapiens OX=9606 GN=CACNB2 PE=1 SV=3
+QRIYVDRNWEGAENRKKSIVEGDKECYRDKSKHRSRQKNCENHDQERDVDRSRHRSERHRHDSSGHTEDRHNHDRHSAYHDVHDHSYDEKPEVYASDRSEQTESDFTEQRSLGRSTGSRHNHHPASSSSRHQSKKVPEVSPEEEAQSASRIPASRDTRQDGQSGQSNSALTPSLPLSSTALTRSLLPNPLSSSPPHTAKWYAELYDALHECADELQNEDLIVDFLEPPCQALKDAAVMQVNLHKAQSKGRSKILRQLVKPSSIKVYVIIPALSTKSLQAPHNITDADLVVLQLTRALEFIREIESQVEALSSRTNSREIIAHKSPNNLVSRKALSIDATVRTISIRGEFRHKLFDFLAKQMMDTVEYGKLSPGVLVVPRMSPVVDYPPTHETKKFFPMRKEKSHPSTVSNASPKPSRHNAPIDNEEADLGTADIDIASSPPTSKRSSPVIDGLSSSSNGGSKSSYFKGQKARQEHQLRMNELKVPSPIFGIECGEKVLRGIWWDNNFKEKVHLFDKAEFSIAMGPVPVDDEHAASYSVNTRVAFAVPKTKAKELQAQAQREAERRVAERDEELSVDSDSPRSTYSDASGQRVFSNSTTDSSTSGDSGKFRNKRRAGKGYSQAAAGLAGAPAVNELLEMQIEQAVAAAATPPSKSMDRQVM
+>sp|Q5T440|CAF17_HUMAN Putative transferase CAF17, mitochondrial OS=Homo sapiens OX=9606 GN=IBA57 PE=1 SV=1
+MATAALLRGATPGRGGPVWRWRLRAAPRCRLAHSSCSPGGDPTAGAAWACFRLDGRTLLRVRGPDAAPFLLGLLTNELPLPSPAAAGAPPAARAGYAHFLNVQGRTLYDVILYGLQEHSEVSGFLLECDSSVQGALQKHLALYRIRRKVTVEPHPELRVWAVLPSSPEACGAASLQERAGAAAILIRDPRTARMGWRLLTQDEGPALVPGGRLGDLWDYHQHRYLQGVPEGVRDLPPGVALPLESNLAFMNGVSFTKGCYIGQELTARTHHMGVIRKRLFPVRFLDPLPTSGITPGATVLTASGQTVGKFRAGQGNVGLALLWSEKIKGPLHIRASEGAQVALAASVPDWWPTVSK
+>DECOY_sp|Q5T440|CAF17_HUMAN Putative transferase CAF17, mitochondrial OS=Homo sapiens OX=9606 GN=IBA57 PE=1 SV=1
+KSVTPWWDPVSAALAVQAGESARIHLPGKIKESWLLALGVNGQGARFKGVTQGSATLVTAGPTIGSTPLPDLFRVPFLRKRIVGMHHTRATLEQGIYCGKTFSVGNMFALNSELPLAVGPPLDRVGEPVGQLYRHQHYDWLDGLRGGPVLAPGEDQTLLRWGMRATRPDRILIAAAGAREQLSAAGCAEPSSPLVAWVRLEPHPEVTVKRRIRYLALHKQLAGQVSSDCELLFGSVESHEQLGYLIVDYLTRGQVNLFHAYGARAAPPAGAAAPSPLPLENTLLGLLFPAADPGRVRLLTRGDLRFCAWAAGATPDGGPSCSSHALRCRPAARLRWRWVPGGRGPTAGRLLAATAM
+>sp|Q13111|CAF1A_HUMAN Chromatin assembly factor 1 subunit A OS=Homo sapiens OX=9606 GN=CHAF1A PE=1 SV=3
+MLEELECGAPGARGAATAMDCKDRPAFPVKKLIQARLPFKRLNLVPKGKADDMSDDQGTSVQSKSPDLEASLDTLENNCHVGSDIDFRPKLVNGKGPLDNFLRNRIETSIGQSTVIIDLTEDSNEQPDSLVDHNKLNSEASPSREAINGQREDTGDQQGLLKAIQNDKLAFPGETLSDIPCKTEEEGVGCGGAGRRGDSQECSPRSCPELTSGPRMCPRKEQDSWSEAGGILFKGKVPMVVLQDILAVRPPQIKSLPATPQGKNMTPESEVLESFPEEDSVLSHSSLSSPSSTSSPEGPPAPPKQHSSTSPFPTSTPLRRITKKFVKGSTEKNKLRLQRDQERLGKQLKLRAEREEKEKLKEEAKRAKEEAKKKKEEEKELKEKERREKREKDEKEKAEKQRLKEERRKERQEALEAKLEEKRKKEEEKRLREEEKRIKAEKAEITRFFQKPKTPQAPKTLAGSCGKFAPFEIKEHMVLAPRRRTAFHPDLCSQLDQLLQQQSGEFSFLKDLKGRQPLRSGPTHVSTRNADIFNSDVVIVERGKGDGVPERRKFGRMKLLQFCENHRPAYWGTWNKKTALIRARDPWAQDTKLLDYEVDSDEEWEEEEPGESLSHSEGDDDDDMGEDEDEDDGFFVPHGYLSEDEGVTEECADPENHKVRQKLKAKEWDEFLAKGKRFRVLQPVKIGCVWAADRDCAGDDLKVLQQFAACFLETLPAQEEQTPKASKRERRDEQILAQLLPLLHGNVNGSKVIIREFQEHCRRGLLSNHTGSPRSPSTTYLHTPTPSEDAAIPSKSRLKRLISENSVYEKRPDFRMCWYVHPQVLQSFQQEHLPVPCQWSYVTSVPSAPKEDSGSVPSTGPSQGTPISLKRKSAGSMCITQFMKKRRHDGQIGAEDMDGFQADTEEEEEEEGDCMIVDVPDAAEVQAPCGAASGAGGGVGVDTGKATLTASPLGAS
+>DECOY_sp|Q13111|CAF1A_HUMAN Chromatin assembly factor 1 subunit A OS=Homo sapiens OX=9606 GN=CHAF1A PE=1 SV=3
+SAGLPSATLTAKGTDVGVGGGAGSAAGCPAQVEAADPVDVIMCDGEEEEEEETDAQFGDMDEAGIQGDHRRKKMFQTICMSGASKRKLSIPTGQSPGTSPVSGSDEKPASPVSTVYSWQCPVPLHEQQFSQLVQPHVYWCMRFDPRKEYVSNESILRKLRSKSPIAADESPTPTHLYTTSPSRPSGTHNSLLGRRCHEQFERIIVKSGNVNGHLLPLLQALIQEDRRERKSAKPTQEEQAPLTELFCAAFQQLVKLDDGACDRDAAWVCGIKVPQLVRFRKGKALFEDWEKAKLKQRVKHNEPDACEETVGEDESLYGHPVFFGDDEDEDEGMDDDDDGESHSLSEGPEEEEWEEDSDVEYDLLKTDQAWPDRARILATKKNWTGWYAPRHNECFQLLKMRGFKRREPVGDGKGREVIVVDSNFIDANRTSVHTPGSRLPQRGKLDKLFSFEGSQQQLLQDLQSCLDPHFATRRRPALVMHEKIEFPAFKGCSGALTKPAQPTKPKQFFRTIEAKEAKIRKEEERLRKEEEKKRKEELKAELAEQREKRREEKLRQKEAKEKEDKERKERREKEKLEKEEEKKKKAEEKARKAEEKLKEKEEREARLKLQKGLREQDRQLRLKNKETSGKVFKKTIRRLPTSTPFPSTSSHQKPPAPPGEPSSTSSPSSLSSHSLVSDEEPFSELVESEPTMNKGQPTAPLSKIQPPRVALIDQLVVMPVKGKFLIGGAESWSDQEKRPCMRPGSTLEPCSRPSCEQSDGRRGAGGCGVGEEETKCPIDSLTEGPFALKDNQIAKLLGQQDGTDERQGNIAERSPSAESNLKNHDVLSDPQENSDETLDIIVTSQGISTEIRNRLFNDLPGKGNVLKPRFDIDSGVHCNNELTDLSAELDPSKSQVSTGQDDSMDDAKGKPVLNLRKFPLRAQILKKVPFAPRDKCDMATAAGRAGPAGCELEELM
+>sp|O43570|CAH12_HUMAN Carbonic anhydrase 12 OS=Homo sapiens OX=9606 GN=CA12 PE=1 SV=1
+MPRRSLHAAAVLLLVILKEQPSSPAPVNGSKWTYFGPDGENSWSKKYPSCGGLLQSPIDLHSDILQYDASLTPLEFQGYNLSANKQFLLTNNGHSVKLNLPSDMHIQGLQSRYSATQLHLHWGNPNDPHGSEHTVSGQHFAAELHIVHYNSDLYPDASTASNKSEGLAVLAVLIEMGSFNPSYDKIFSHLQHVKYKGQEAFVPGFNIEELLPERTAEYYRYRGSLTTPPCNPTVLWTVFRNPVQISQEQLLALETALYCTHMDDPSPREMINNFRQVQKFDERLVYTSFSQVQVCTAAGLSLGIILSLALAGILGICIVVVVSIWLFRRKSIKKGDNKGVIYKPATKMETEAHA
+>DECOY_sp|O43570|CAH12_HUMAN Carbonic anhydrase 12 OS=Homo sapiens OX=9606 GN=CA12 PE=1 SV=1
+AHAETEMKTAPKYIVGKNDGKKISKRRFLWISVVVVICIGLIGALALSLIIGLSLGAATCVQVQSFSTYVLREDFKQVQRFNNIMERPSPDDMHTCYLATELALLQEQSIQVPNRFVTWLVTPNCPPTTLSGRYRYYEATREPLLEEINFGPVFAEQGKYKVHQLHSFIKDYSPNFSGMEILVALVALGESKNSATSADPYLDSNYHVIHLEAAFHQGSVTHESGHPDNPNGWHLHLQTASYRSQLGQIHMDSPLNLKVSHGNNTLLFQKNASLNYGQFELPTLSADYQLIDSHLDIPSQLLGGCSPYKKSWSNEGDPGFYTWKSGNVPAPSSPQEKLIVLLLVAAAHLSRRPM
+>sp|P00918|CAH2_HUMAN Carbonic anhydrase 2 OS=Homo sapiens OX=9606 GN=CA2 PE=1 SV=2
+MSHHWGYGKHNGPEHWHKDFPIAKGERQSPVDIDTHTAKYDPSLKPLSVSYDQATSLRILNNGHAFNVEFDDSQDKAVLKGGPLDGTYRLIQFHFHWGSLDGQGSEHTVDKKKYAAELHLVHWNTKYGDFGKAVQQPDGLAVLGIFLKVGSAKPGLQKVVDVLDSIKTKGKSADFTNFDPRGLLPESLDYWTYPGSLTTPPLLECVTWIVLKEPISVSSEQVLKFRKLNFNGEGEPEELMVDNWRPAQPLKNRQIKASFK
+>DECOY_sp|P00918|CAH2_HUMAN Carbonic anhydrase 2 OS=Homo sapiens OX=9606 GN=CA2 PE=1 SV=2
+KFSAKIQRNKLPQAPRWNDVMLEEPEGEGNFNLKRFKLVQESSVSIPEKLVIWTVCELLPPTTLSGPYTWYDLSEPLLGRPDFNTFDASKGKTKISDLVDVVKQLGPKASGVKLFIGLVALGDPQQVAKGFDGYKTNWHVLHLEAAYKKKDVTHESGQGDLSGWHFHFQILRYTGDLPGGKLVAKDQSDDFEVNFAHGNNLIRLSTAQDYSVSLPKLSPDYKATHTDIDVPSQREGKAIPFDKHWHEPGNHKGYGWHHSM
+>sp|P35219|CAH8_HUMAN Carbonic anhydrase-related protein OS=Homo sapiens OX=9606 GN=CA8 PE=1 SV=3
+MADLSFIEDTVAFPEKEEDEEEEEEGVEWGYEEGVEWGLVFPDANGEYQSPINLNSREARYDPSLLDVRLSPNYVVCRDCEVTNDGHTIQVILKSKSVLSGGPLPQGHEFELYEVRFHWGRENQRGSEHTVNFKAFPMELHLIHWNSTLFGSIDEAVGKPHGIAIIALFVQIGKEHVGLKAVTEILQDIQYKGKSKTIPCFNPNTLLPDPLLRDYWVYEGSLTIPPCSEGVTWILFRYPLTISQLQIEEFRRLRTHVKGAELVEGCDGILGDNFRPTQPLSDRVIRAAFQ
+>DECOY_sp|P35219|CAH8_HUMAN Carbonic anhydrase-related protein OS=Homo sapiens OX=9606 GN=CA8 PE=1 SV=3
+QFAARIVRDSLPQTPRFNDGLIGDCGEVLEAGKVHTRLRRFEEIQLQSITLPYRFLIWTVGESCPPITLSGEYVWYDRLLPDPLLTNPNFCPITKSKGKYQIDQLIETVAKLGVHEKGIQVFLAIIAIGHPKGVAEDISGFLTSNWHILHLEMPFAKFNVTHESGRQNERGWHFRVEYLEFEHGQPLPGGSLVSKSKLIVQITHGDNTVECDRCVVYNPSLRVDLLSPDYRAERSNLNIPSQYEGNADPFVLGWEVGEEYGWEVGEEEEEEDEEKEPFAVTDEIFSLDAM
+>sp|Q16790|CAH9_HUMAN Carbonic anhydrase 9 OS=Homo sapiens OX=9606 GN=CA9 PE=1 SV=2
+MAPLCPSPWLPLLIPAPAPGLTVQLLLSLLLLVPVHPQRLPRMQEDSPLGGGSSGEDDPLGEEDLPSEEDSPREEDPPGEEDLPGEEDLPGEEDLPEVKPKSEEEGSLKLEDLPTVEAPGDPQEPQNNAHRDKEGDDQSHWRYGGDPPWPRVSPACAGRFQSPVDIRPQLAAFCPALRPLELLGFQLPPLPELRLRNNGHSVQLTLPPGLEMALGPGREYRALQLHLHWGAAGRPGSEHTVEGHRFPAEIHVVHLSTAFARVDEALGRPGGLAVLAAFLEEGPEENSAYEQLLSRLEEIAEEGSETQVPGLDISALLPSDFSRYFQYEGSLTTPPCAQGVIWTVFNQTVMLSAKQLHTLSDTLWGPGDSRLQLNFRATQPLNGRVIEASFPAGVDSSPRAAEPVQLNSCLAAGDILALVFGLLFAVTSVAFLVQMRRQHRRGTKGGVSYRPAEVAETGA
+>DECOY_sp|Q16790|CAH9_HUMAN Carbonic anhydrase 9 OS=Homo sapiens OX=9606 GN=CA9 PE=1 SV=2
+AGTEAVEAPRYSVGGKTGRRHQRRMQVLFAVSTVAFLLGFVLALIDGAALCSNLQVPEAARPSSDVGAPFSAEIVRGNLPQTARFNLQLRSDGPGWLTDSLTHLQKASLMVTQNFVTWIVGQACPPTTLSGEYQFYRSFDSPLLASIDLGPVQTESGEEAIEELRSLLQEYASNEEPGEELFAALVALGGPRGLAEDVRAFATSLHVVHIEAPFRHGEVTHESGPRGAAGWHLHLQLARYERGPGLAMELGPPLTLQVSHGNNRLRLEPLPPLQFGLLELPRLAPCFAALQPRIDVPSQFRGACAPSVRPWPPDGGYRWHSQDDGEKDRHANNQPEQPDGPAEVTPLDELKLSGEEESKPKVEPLDEEGPLDEEGPLDEEGPPDEERPSDEESPLDEEGLPDDEGSSGGGLPSDEQMRPLRQPHVPVLLLLSLLLQVTLGPAPAPILLPLWPSPCLPAM
+>sp|Q5JW98|CAHM4_HUMAN Calcium homeostasis modulator protein 4 OS=Homo sapiens OX=9606 GN=CALHM4 PE=2 SV=1
+MCPTLNNIVSSLQRNGIFINSLIAALTIGGQQLFSSSTFSCPCQVGKNFYYGSAFLVIPALILLVAGFALRSQMWTITGEYCCSCAPPYRRISPLECKLACLRFFSITGRAVIAPLTWLAVTLLTGTYYECAASEFASVDHYPMFDNVSASKREEILAGFPCCRSAPSDVILVRDEIALLHRYQSQMLGWILITLATIAALVSCCVAKCCSPLTSLQHCYWTSHLQNERELFEQAAEQHSRLLMMHRIKKLFGFIPGSEDVKHIRIPSCQDWKDISVPTLLCMGDDLQGHYSFLGNRVDEDNEEDRSRGIELKP
+>DECOY_sp|Q5JW98|CAHM4_HUMAN Calcium homeostasis modulator protein 4 OS=Homo sapiens OX=9606 GN=CALHM4 PE=2 SV=1
+PKLEIGRSRDEENDEDVRNGLFSYHGQLDDGMCLLTPVSIDKWDQCSPIRIHKVDESGPIFGFLKKIRHMMLLRSHQEAAQEFLERENQLHSTWYCHQLSTLPSCCKAVCCSVLAAITALTILIWGLMQSQYRHLLAIEDRVLIVDSPASRCCPFGALIEERKSASVNDFMPYHDVSAFESAACEYYTGTLLTVALWTLPAIVARGTISFFRLCALKCELPSIRRYPPACSCCYEGTITWMQSRLAFGAVLLILAPIVLFASGYYFNKGVQCPCSFTSSSFLQQGGITLAAILSNIFIGNRQLSSVINNLTPCM
+>sp|P06881|CALCA_HUMAN Calcitonin gene-related peptide 1 OS=Homo sapiens OX=9606 GN=CALCA PE=1 SV=3
+MGFQKFSPFLALSILVLLQAGSLHAAPFRSALESSPADPATLSEDEARLLLAALVQNYVQMKASELEQEQEREGSRIIAQKRACDTATCVTHRLAGLLSRSGGVVKNNFVPTNVGSKAFGRRRRDLQA
+>DECOY_sp|P06881|CALCA_HUMAN Calcitonin gene-related peptide 1 OS=Homo sapiens OX=9606 GN=CALCA PE=1 SV=3
+AQLDRRRRGFAKSGVNTPVFNNKVVGGSRSLLGALRHTVCTATDCARKQAIIRSGEREQEQELESAKMQVYNQVLAALLLRAEDESLTAPDAPSSELASRFPAAHLSGAQLLVLISLALFPSFKQFGM
+>sp|P30988|CALCR_HUMAN Calcitonin receptor OS=Homo sapiens OX=9606 GN=CALCR PE=1 SV=2
+MQFSGEKISGQRDLQKSKMRFTFTSRCLALFLLLNHPTPILPAFSNQTYPTIEPKPFLYVVGRKKMMDAQYKCYDRMQQLPAYQGEGPYCNRTWDGWLCWDDTPAGVLSYQFCPDYFPDFDPSEKVTKYCDEKGVWFKHPENNRTWSNYTMCNAFTPEKLKNAYVLYYLAIVGHSLSIFTLVISLGIFVFFRKLTTIFPLNWKYRKALSLGCQRVTLHKNMFLTYILNSMIIIIHLVEVVPNGELVRRDPVSCKILHFFHQYMMACNYFWMLCEGIYLHTLIVVAVFTEKQRLRWYYLLGWGFPLVPTTIHAITRAVYFNDNCWLSVETHLLYIIHGPVMAALVVNFFFLLNIVRVLVTKMRETHEAESHMYLKAVKATMILVPLLGIQFVVFPWRPSNKMLGKIYDYVMHSLIHFQGFFVATIYCFCNNEVQTTVKRQWAQFKIQWNQRWGRRPSNRSARAAAAAAEAGDIPIYICHQEPRNEPANNQGEESAEIIPLNIIEQESSA
+>DECOY_sp|P30988|CALCR_HUMAN Calcitonin receptor OS=Homo sapiens OX=9606 GN=CALCR PE=1 SV=2
+ASSEQEIINLPIIEASEEGQNNAPENRPEQHCIYIPIDGAEAAAAAARASRNSPRRGWRQNWQIKFQAWQRKVTTQVENNCFCYITAVFFGQFHILSHMVYDYIKGLMKNSPRWPFVVFQIGLLPVLIMTAKVAKLYMHSEAEHTERMKTVLVRVINLLFFFNVVLAAMVPGHIIYLLHTEVSLWCNDNFYVARTIAHITTPVLPFGWGLLYYWRLRQKETFVAVVILTHLYIGECLMWFYNCAMMYQHFFHLIKCSVPDRRVLEGNPVVEVLHIIIIMSNLIYTLFMNKHLTVRQCGLSLAKRYKWNLPFITTLKRFFVFIGLSIVLTFISLSHGVIALYYLVYANKLKEPTFANCMTYNSWTRNNEPHKFWVGKEDCYKTVKESPDFDPFYDPCFQYSLVGAPTDDWCLWGDWTRNCYPGEGQYAPLQQMRDYCKYQADMMKKRGVVYLFPKPEITPYTQNSFAPLIPTPHNLLLFLALCRSTFTFRMKSKQLDRQGSIKEGSFQM
+>sp|Q9NZT1|CALL5_HUMAN Calmodulin-like protein 5 OS=Homo sapiens OX=9606 GN=CALML5 PE=1 SV=2
+MAGELTPEEEAQYKKAFSAVDTDGNGTINAQELGAALKATGKNLSEAQLRKLISEVDSDGDGEISFQEFLTAAKKARAGLEDLQVAFRAFDQDGDGHITVDELRRAMAGLGQPLPQEELDAMIREADVDQDGRVNYEEFARMLAQE
+>DECOY_sp|Q9NZT1|CALL5_HUMAN Calmodulin-like protein 5 OS=Homo sapiens OX=9606 GN=CALML5 PE=1 SV=2
+EQALMRAFEEYNVRGDQDVDAERIMADLEEQPLPQGLGAMARRLEDVTIHGDGDQDFARFAVQLDELGARAKKAATLFEQFSIEGDGDSDVESILKRLQAESLNKGTAKLAAGLEQANITGNGDTDVASFAKKYQAEEEPTLEGAM
+>sp|O43852|CALU_HUMAN Calumenin OS=Homo sapiens OX=9606 GN=CALU PE=1 SV=2
+MDLRQFLMCLSLCTAFALSKPTEKKDRVHHEPQLSDKVHNDAQSFDYDHDAFLGAEEAKTFDQLTPEESKERLGKIVSKIDGDKDGFVTVDELKDWIKFAQKRWIYEDVERQWKGHDLNEDGLVSWEEYKNATYGYVLDDPDPDDGFNYKQMMVRDERRFKMADKDGDLIATKEEFTAFLHPEEYDYMKDIVVQETMEDIDKNADGFIDLEEYIGDMYSHDGNTDEPEWVKTEREQFVEFRDKNRDGKMDKEETKDWILPSDYDHAEAEARHLVYESDQNKDGKLTKEEIVDKYDLFVGSQATDFGEALVRHDEF
+>DECOY_sp|O43852|CALU_HUMAN Calumenin OS=Homo sapiens OX=9606 GN=CALU PE=1 SV=2
+FEDHRVLAEGFDTAQSGVFLDYKDVIEEKTLKGDKNQDSEYVLHRAEAEAHDYDSPLIWDKTEEKDMKGDRNKDRFEVFQERETKVWEPEDTNGDHSYMDGIYEELDIFGDANKDIDEMTEQVVIDKMYDYEEPHLFATFEEKTAILDGDKDAMKFRREDRVMMQKYNFGDDPDPDDLVYGYTANKYEEWSVLGDENLDHGKWQREVDEYIWRKQAFKIWDKLEDVTVFGDKDGDIKSVIKGLREKSEEPTLQDFTKAEEAGLFADHDYDFSQADNHVKDSLQPEHHVRDKKETPKSLAFATCLSLCMLFQRLDM
+>sp|P27824|CALX_HUMAN Calnexin OS=Homo sapiens OX=9606 GN=CANX PE=1 SV=2
+MEGKWLLCMLLVLGTAIVEAHDGHDDDVIDIEDDLDDVIEEVEDSKPDTTAPPSSPKVTYKAPVPTGEVYFADSFDRGTLSGWILSKAKKDDTDDEIAKYDGKWEVEEMKESKLPGDKGLVLMSRAKHHAISAKLNKPFLFDTKPLIVQYEVNFQNGIECGGAYVKLLSKTPELNLDQFHDKTPYTIMFGPDKCGEDYKLHFIFRHKNPKTGIYEEKHAKRPDADLKTYFTDKKTHLYTLILNPDNSFEILVDQSVVNSGNLLNDMTPPVNPSREIEDPEDRKPEDWDERPKIPDPEAVKPDDWDEDAPAKIPDEEATKPEGWLDDEPEYVPDPDAEKPEDWDEDMDGEWEAPQIANPRCESAPGCGVWQRPVIDNPNYKGKWKPPMIDNPSYQGIWKPRKIPNPDFFEDLEPFRMTPFSAIGLELWSMTSDIFFDNFIICADRRIVDDWANDGWGLKKAADGAAEPGVVGQMIEAAEERPWLWVVYILTVALPVFLVILFCCSGKKQTSGMEYKKTDAPQPDVKEEEEEKEEEKDKGDEEEEGEEKLEEKQKSDAEEDGGTVSQEEEDRKPKAEEDEILNRSPRNRKPRRE
+>DECOY_sp|P27824|CALX_HUMAN Calnexin OS=Homo sapiens OX=9606 GN=CANX PE=1 SV=2
+ERRPKRNRPSRNLIEDEEAKPKRDEEEQSVTGGDEEADSKQKEELKEEGEEEEDGKDKEEEKEEEEEKVDPQPADTKKYEMGSTQKKGSCCFLIVLFVPLAVTLIYVVWLWPREEAAEIMQGVVGPEAAGDAAKKLGWGDNAWDDVIRRDACIIFNDFFIDSTMSWLELGIASFPTMRFPELDEFFDPNPIKRPKWIGQYSPNDIMPPKWKGKYNPNDIVPRQWVGCGPASECRPNAIQPAEWEGDMDEDWDEPKEADPDPVYEPEDDLWGEPKTAEEDPIKAPADEDWDDPKVAEPDPIKPREDWDEPKRDEPDEIERSPNVPPTMDNLLNGSNVVSQDVLIEFSNDPNLILTYLHTKKDTFYTKLDADPRKAHKEEYIGTKPNKHRFIFHLKYDEGCKDPGFMITYPTKDHFQDLNLEPTKSLLKVYAGGCEIGNQFNVEYQVILPKTDFLFPKNLKASIAHHKARSMLVLGKDGPLKSEKMEEVEWKGDYKAIEDDTDDKKAKSLIWGSLTGRDFSDAFYVEGTPVPAKYTVKPSSPPATTDPKSDEVEEIVDDLDDEIDIVDDDHGDHAEVIATGLVLLMCLLWKGEM
+>sp|Q9NYX4|CALY_HUMAN Neuron-specific vesicular protein calcyon OS=Homo sapiens OX=9606 GN=CALY PE=1 SV=1
+MVKLGCSFSGKPGKDPGDQDGAAMDSVPLISPLDISQLQPPLPDQVVIKTQTEYQLSSPDQQNFPDLEGQRLNCSHPEEGRRLPTARMIAFAMALLGCVLIMYKAIWYDQFTCPDGFLLRHKICTPLTLEMYYTEMDPERHRSILAAIGAYPLSRKHGTETPAAWGDGYRAAKEERKGPTQAGAAAAATEPPGKPSAKAEKEAARKAAGSAAPPPAQ
+>DECOY_sp|Q9NYX4|CALY_HUMAN Neuron-specific vesicular protein calcyon OS=Homo sapiens OX=9606 GN=CALY PE=1 SV=1
+QAPPPAASGAAKRAAEKEAKASPKGPPETAAAAAGAQTPGKREEKAARYGDGWAAPTETGHKRSLPYAGIAALISRHREPDMETYYMELTLPTCIKHRLLFGDPCTFQDYWIAKYMILVCGLLAMAFAIMRATPLRRGEEPHSCNLRQGELDPFNQQDPSSLQYETQTKIVVQDPLPPQLQSIDLPSILPVSDMAAGDQDGPDKGPKGSFSCGLKVM
+>sp|Q08AD1|CAMP2_HUMAN Calmodulin-regulated spectrin-associated protein 2 OS=Homo sapiens OX=9606 GN=CAMSAP2 PE=1 SV=3
+MGDAADPREMRKTFIVPAIKPFDHYDFSRAKIACNLAWLVAKAFGTENVPEELQEPFYTDQYDQEHIKPPVVNLLLSAELYCRAGSLILKSDAAKPLLGHDAVIQALAQKGLYVTDQEKLVTERDLHKKPIQMSAHLAMIDTLMMAYTVEMVSIEKVIACAQQYSAFFQATDLPYDIEDAVMYWINKVNEHLKDIMEQEQKLKEHHTVEAPGGQKSPSKWFWKLVPARYRKEQTLLKQLPCIPLVENLLKDGTDGCALAALIHFYCPDVVRLEDICLKETMSLADSLYNLQLIQEFCQEYLNQCCHFTLEDMLYAASSIKSNYLVFMAELFWWFEVVKPSFVQPRVVRPQGAEPVKDMPSIPVLNAAKRNVLDSSSDFPSSGEGATFTQSHHHLPSRYSRPQAHSSASGGIRRSSSMSYVDGFIGTWPKEKRSSVHGVSFDISFDKEDSVQRSTPNRGITRSISNEGLTLNNSHVSKHIRKNLSFKPINGEEEAESIEEELNIDSHSDLKSCVPLNTNELNSNENIHYKLPNGALQNRILLDEFGNQIETPSIEEALQIIHDTEKSPHTPQPDQIANGFFLHSQEMSILNSNIKLNQSSPDNVTDTKGALSPITDNTEVDTGIHVPSEDIPETMDEDSSLRDYTVSLDSDMDDASKFLQDYDIRTGNTREALSPCPSTVSTKSQPGSSASSSSGVKMTSFAEQKFRKLNHTDGKSSGSSSQKTTPEGSELNIPHVVAWAQIPEETGLPQGRDTTQLLASEMVHLRMKLEEKRRAIEAQKKKMEAAFTKQRQKMGRTAFLTVVKKKGDGISPLREEAAGAEDEKVYTDRAKEKESQKTDGQRSKSLADIKESMENPQAKWLKSPTTPIDPEKQWNLASPSEETLNEGEILEYTKSIEKLNSSLHFLQQEMQRLSLQQEMLMQMREQQSWVISPPQPSPQKQIRDFKPSKQAGLSSAIAPFSSDSPRPTHPSPQSSNRKSASFSVKSQRTPRPNELKITPLNRTLTPPRSVDSLPRLRRFSPSQVPIQTRSFVCFGDDGEPQLKESKPKEEVKKEELESKGTLEQRGHNPEEKEIKPFESTVSEVLSLPVTETVCLTPNEDQLNQPTEPPPKPVFPPTAPKNVNLIEVSLSDLKPPEKADVPVEKYDGESDKEQFDDDQKVCCGFFFKDDQKAENDMAMKRAALLEKRLRREKETQLRKQQLEAEMEHKKEETRRKTEEERQKKEDERARREFIRQEYMRRKQLKLMEDMDTVIKPRPQVVKQKKQRPKSIHRDHIESPKTPIKGPPVSSLSLASLNTGDNESVHSGKRTPRSESVEGFLSPSRCGSRNGEKDWENASTTSSVASGTEYTGPKLYKEPSAKSNKHIIQNALAHCCLAGKVNEGQKKKILEEMEKSDANNFLILFRDSGCQFRSLYTYCPETEEINKLTGIGPKSITKKMIEGLYKYNSDRKQFSHIPAKTLSASVDAITIHSHLWQTKRPVTPKKLLPTKA
+>DECOY_sp|Q08AD1|CAMP2_HUMAN Calmodulin-regulated spectrin-associated protein 2 OS=Homo sapiens OX=9606 GN=CAMSAP2 PE=1 SV=3
+AKTPLLKKPTVPRKTQWLHSHITIADVSASLTKAPIHSFQKRDSNYKYLGEIMKKTISKPGIGTLKNIEETEPCYTYLSRFQCGSDRFLILFNNADSKEMEELIKKKQGENVKGALCCHALANQIIHKNSKASPEKYLKPGTYETGSAVSSTTSANEWDKEGNRSGCRSPSLFGEVSESRPTRKGSHVSENDGTNLSALSLSSVPPGKIPTKPSEIHDRHISKPRQKKQKVVQPRPKIVTDMDEMLKLQKRRMYEQRIFERRAREDEKKQREEETKRRTEEKKHEMEAELQQKRLQTEKERRLRKELLAARKMAMDNEAKQDDKFFFGCCVKQDDDFQEKDSEGDYKEVPVDAKEPPKLDSLSVEILNVNKPATPPFVPKPPPETPQNLQDENPTLCVTETVPLSLVESVTSEFPKIEKEEPNHGRQELTGKSELEEKKVEEKPKSEKLQPEGDDGFCVFSRTQIPVQSPSFRRLRPLSDVSRPPTLTRNLPTIKLENPRPTRQSKVSFSASKRNSSQPSPHTPRPSDSSFPAIASSLGAQKSPKFDRIQKQPSPQPPSIVWSQQERMQMLMEQQLSLRQMEQQLFHLSSNLKEISKTYELIEGENLTEESPSALNWQKEPDIPTTPSKLWKAQPNEMSEKIDALSKSRQGDTKQSEKEKARDTYVKEDEAGAAEERLPSIGDGKKKVVTLFATRGMKQRQKTFAAEMKKKQAEIARRKEELKMRLHVMESALLQTTDRGQPLGTEEPIQAWAVVHPINLESGEPTTKQSSSGSSKGDTHNLKRFKQEAFSTMKVGSSSSASSGPQSKTSVTSPCPSLAERTNGTRIDYDQLFKSADDMDSDLSVTYDRLSSDEDMTEPIDESPVHIGTDVETNDTIPSLAGKTDTVNDPSSQNLKINSNLISMEQSHLFFGNAIQDPQPTHPSKETDHIIQLAEEISPTEIQNGFEDLLIRNQLAGNPLKYHINENSNLENTNLPVCSKLDSHSDINLEEEISEAEEEGNIPKFSLNKRIHKSVHSNNLTLGENSISRTIGRNPTSRQVSDEKDFSIDFSVGHVSSRKEKPWTGIFGDVYSMSSSRRIGGSASSHAQPRSYRSPLHHHSQTFTAGEGSSPFDSSSDLVNRKAANLVPISPMDKVPEAGQPRVVRPQVFSPKVVEFWWFLEAMFVLYNSKISSAAYLMDELTFHCCQNLYEQCFEQILQLNYLSDALSMTEKLCIDELRVVDPCYFHILAALACGDTGDKLLNEVLPICPLQKLLTQEKRYRAPVLKWFWKSPSKQGGPAEVTHHEKLKQEQEMIDKLHENVKNIWYMVADEIDYPLDTAQFFASYQQACAIVKEISVMEVTYAMMLTDIMALHASMQIPKKHLDRETVLKEQDTVYLGKQALAQIVADHGLLPKAADSKLILSGARCYLEASLLLNVVPPKIHEQDYQDTYFPEQLEEPVNETGFAKAVLWALNCAIKARSFDYHDFPKIAPVIFTKRMERPDAADGM
+>sp|Q9HC96|CAN10_HUMAN Calpain-10 OS=Homo sapiens OX=9606 GN=CAPN10 PE=1 SV=2
+MRAGRGATPARELFRDAAFPAADSSLFCDLSTPLAQFREDITWRRPQEICATPRLFPDDPREGQVKQGLLGDCWFLCACAALQKSRHLLDQVIPPGQPSWADQEYRGSFTCRIWQFGRWVEVTTDDRLPCLAGRLCFSRCQREDVFWLPLLEKVYAKVHGSYEHLWAGQVADALVDLTGGLAERWNLKGVAGSGGQQDRPGRWEHRTCRQLLHLKDQCLISCCVLSPRAGARELGEFHAFIVSDLRELQGQAGQCILLLRIQNPWGRRCWQGLWREGGEGWSQVDAAVASELLSQLQEGEFWVEEEEFLREFDELTVGYPVTEAGHLQSLYTERLLCHTRALPGAWVKGQSAGGCRNNSGFPSNPKFWLRVSEPSEVYIAVLQRSRLHAADWAGRARALVGDSHTSWSPASIPGKHYQAVGLHLWKVEKRRVNLPRVLSMPPVAGTACHAYDREVHLRCELSPGYYLAVPSTFLKDAPGEFLLRVFSTGRVSLSAIRAVAKNTTPGAALPAGEWGTVQLRGSWRVGQTAGGSRNFASYPTNPCFPFSVPEGPGPRCVRITLHQHCRPSDTEFHPIGFHIFQVPEGGRSQDAPPLLLQEPLLSCVPHRYAQEVSRLCLLPAGTYKVVPSTYLPDTEGAFTVTIATRIDRPSIHSQEMLGQFLQEVSIMAVMKT
+>DECOY_sp|Q9HC96|CAN10_HUMAN Calpain-10 OS=Homo sapiens OX=9606 GN=CAPN10 PE=1 SV=2
+TKMVAMISVEQLFQGLMEQSHISPRDIRTAITVTFAGETDPLYTSPVVKYTGAPLLCLRSVEQAYRHPVCSLLPEQLLLPPADQSRGGEPVQFIHFGIPHFETDSPRCHQHLTIRVCRPGPGEPVSFPFCPNTPYSAFNRSGGATQGVRWSGRLQVTGWEGAPLAAGPTTNKAVARIASLSVRGTSFVRLLFEGPADKLFTSPVALYYGPSLECRLHVERDYAHCATGAVPPMSLVRPLNVRRKEVKWLHLGVAQYHKGPISAPSWSTHSDGVLARARGAWDAAHLRSRQLVAIYVESPESVRLWFKPNSPFGSNNRCGGASQGKVWAGPLARTHCLLRETYLSQLHGAETVPYGVTLEDFERLFEEEEVWFEGEQLQSLLESAVAADVQSWGEGGERWLGQWCRRGWPNQIRLLLICQGAQGQLERLDSVIFAHFEGLERAGARPSLVCCSILCQDKLHLLQRCTRHEWRGPRDQQGGSGAVGKLNWREALGGTLDVLADAVQGAWLHEYSGHVKAYVKELLPLWFVDERQCRSFCLRGALCPLRDDTTVEVWRGFQWIRCTFSGRYEQDAWSPQGPPIVQDLLHRSKQLAACACLFWCDGLLGQKVQGERPDDPFLRPTACIEQPRRWTIDERFQALPTSLDCFLSSDAAPFAADRFLERAPTAGRGARM
+>sp|A8MX76|CAN14_HUMAN Calpain-14 OS=Homo sapiens OX=9606 GN=CAPN14 PE=2 SV=2
+MSLWPPFRCRWKLAPRYSRRASPQQPQQDFEALLAECLRNGCLFEDTSFPATLSSIGSGSLLQKLPPRLQWKRPPELHSNPQFYFAKAKRLDLCQGIVGDCWFLAALQALALHQDILSRVVPLNQSFTEKYAGIFRFWFWHYGNWVPVVIDDRLPVNEAGQLVFVSSTYKNLFWGALLEKAYAKLSGSYEDLQSGQVSEALVDFTGGVTMTINLAEAHGNLWDILIEATYNRTLIGCQTHSGEKILENGLVEGHAYTLTGIRKVTCKHRPEYLVKLRNPWGKVEWKGDWSDSSSKWELLSPKEKILLLRKDNDGEFWMTLQDFKTHFVLLVICKLTPGLLSQEAAQKWTYTMREGRWEKRSTAGGQRQLLQDTFWKNPQFLLSVWRPEEGRRSLRPCSVLVSLLQKPRHRCRKRKPLLAIGFYLYRMNKYHDDQRRLPPEFFQRNTPLSQPDRFLKEKEVSQELCLEPGTYLIVPCILEAHQKSEFVLRVFSRKHIFYEIGSNSGVVFSKEIEDQNERQDEFFTKFFEKHPEINAVQLQNLLNQMTWSSLGSRQPFFSLEACQGILALLDLNASGTMSIQEFRDLWKQLKLSQKVFHKQDRGSGYLNWEQLHAAMREAGIMLSDDVCQLMLIRYGGPRLQMDFVSFIHLMLRVENMEDVFQNLTQDGKGIYLQKPEWMMMALYS
+>DECOY_sp|A8MX76|CAN14_HUMAN Calpain-14 OS=Homo sapiens OX=9606 GN=CAPN14 PE=2 SV=2
+SYLAMMMWEPKQLYIGKGDQTLNQFVDEMNEVRLMLHIFSVFDMQLRPGGYRILMLQCVDDSLMIGAERMAAHLQEWNLYGSGRDQKHFVKQSLKLQKWLDRFEQISMTGSANLDLLALIGQCAELSFFPQRSGLSSWTMQNLLNQLQVANIEPHKEFFKTFFEDQRENQDEIEKSFVVGSNSGIEYFIHKRSFVRLVFESKQHAELICPVILYTGPELCLEQSVEKEKLFRDPQSLPTNRQFFEPPLRRQDDHYKNMRYLYFGIALLPKRKRCRHRPKQLLSVLVSCPRLSRRGEEPRWVSLLFQPNKWFTDQLLQRQGGATSRKEWRGERMTYTWKQAAEQSLLGPTLKCIVLLVFHTKFDQLTMWFEGDNDKRLLLIKEKPSLLEWKSSSDSWDGKWEVKGWPNRLKVLYEPRHKCTVKRIGTLTYAHGEVLGNELIKEGSHTQCGILTRNYTAEILIDWLNGHAEALNITMTVGGTFDVLAESVQGSQLDEYSGSLKAYAKELLAGWFLNKYTSSVFVLQGAENVPLRDDIVVPVWNGYHWFWFRFIGAYKETFSQNLPVVRSLIDQHLALAQLAALFWCDGVIGQCLDLRKAKAFYFQPNSHLEPPRKWQLRPPLKQLLSGSGISSLTAPFSTDEFLCGNRLCEALLAEFDQQPQQPSARRSYRPALKWRCRFPPWLSM
+>sp|O15484|CAN5_HUMAN Calpain-5 OS=Homo sapiens OX=9606 GN=CAPN5 PE=1 SV=2
+MFSCVKPYEDQNYSALRRDCRRRKVLFEDPLFPATDDSLYYKGTPGPAVRWKRPKGICEDPRLFVDGISSHDLHQGQVGNCWFVAACSSLASRESLWQKVIPDWKEQEWDPEKPNAYAGIFHFHFWRFGEWVDVVIDDRLPTVNNQLIYCHSNSRNEFWCALVEKAYAKLAGCYQALDGGNTADALVDFTGGVSEPIDLTEGDFANDETKRNQLFERMLKVHSRGGLISASIKAVTAADMEARLACGLVKGHAYAVTDVRKVRLGHGLLAFFKSEKLDMIRLRNPWGEREWNGPWSDTSEEWQKVSKSEREKMGVTVQDDGEFWMTFEDVCRYFTDIIKCRVINTSHLSIHKTWEEARLHGAWTLHEDPRQNRGGGCINHKDTFFQNPQYIFEVKKPEDEVLICIQQRPKRSTRREGKGENLAIGFDIYKVEENRQYRMHSLQHKAASSIYINSRSVFLRTDQPEGRYVIIPTTFEPGHTGEFLLRVFTDVPSNCRELRLDEPPHTCWSSLCGYPQLVTQVHVLGAAGLKDSPTGANSYVIIKCEGDKVRSAVQKGTSTPEYNVKGIFYRKKLSQPITVQVWNHRVLKDEFLGQVHLKADPDNLQALHTLHLRDRNSRQPSNLPGTVAVHILSSTSLMAV
+>DECOY_sp|O15484|CAN5_HUMAN Calpain-5 OS=Homo sapiens OX=9606 GN=CAPN5 PE=1 SV=2
+VAMLSTSSLIHVAVTGPLNSPQRSNRDRLHLTHLAQLNDPDAKLHVQGLFEDKLVRHNWVQVTIPQSLKKRYFIGKVNYEPTSTGKQVASRVKDGECKIIVYSNAGTPSDKLGAAGLVHVQTVLQPYGCLSSWCTHPPEDLRLERCNSPVDTFVRLLFEGTHGPEFTTPIIVYRGEPQDTRLFVSRSNIYISSAAKHQLSHMRYQRNEEVKYIDFGIALNEGKGERRTSRKPRQQICILVEDEPKKVEFIYQPNQFFTDKHNICGGGRNQRPDEHLTWAGHLRAEEWTKHISLHSTNIVRCKIIDTFYRCVDEFTMWFEGDDQVTVGMKERESKSVKQWEESTDSWPGNWEREGWPNRLRIMDLKESKFFALLGHGLRVKRVDTVAYAHGKVLGCALRAEMDAATVAKISASILGGRSHVKLMREFLQNRKTEDNAFDGETLDIPESVGGTFDVLADATNGGDLAQYCGALKAYAKEVLACWFENRSNSHCYILQNNVTPLRDDIVVDVWEGFRWFHFHFIGAYANPKEPDWEQEKWDPIVKQWLSERSALSSCAAVFWCNGVQGQHLDHSSIGDVFLRPDECIGKPRKWRVAPGPTGKYYLSDDTAPFLPDEFLVKRRRCDRRLASYNQDEYPKVCSFM
+>sp|Q9Y6Q1|CAN6_HUMAN Calpain-6 OS=Homo sapiens OX=9606 GN=CAPN6 PE=1 SV=2
+MGPPLKLFKNQKYQELKQECIKDSRLFCDPTFLPENDSLFYNRLLPGKVVWKRPQDICDDPHLIVGNISNHQLTQGRLGHKPMVSAFSCLAVQESHWTKTIPNHKEQEWDPQKTEKYAGIFHFRFWHFGEWTEVVIDDLLPTINGDLVFSFSTSMNEFWNALLEKAYAKLLGCYEALDGLTITDIIVDFTGTLAETVDMQKGRYTELVEEKYKLFGELYKTFTKGGLICCSIESPNQEEQEVETDWGLLKGHTYTMTDIRKIRLGERLVEVFSAEKVYMVRLRNPLGRQEWSGPWSEISEEWQQLTASDRKNLGLVMSDDGEFWMSLEDFCRNFHKLNVCRNVNNPIFGRKELESVLGCWTVDDDPLMNRSGGCYNNRDTFLQNPQYIFTVPEDGHKVIMSLQQKDLRTYRRMGRPDNYIIGFELFKVEMNRKFRLHHLYIQERAGTSTYIDTRTVFLSKYLKKGNYVLVPTMFQHGRTSEFLLRIFSEVPVQLRELTLDMPKMSCWNLARGYPKVVTQITVHSAEDLEKKYANETVNPYLVIKCGKEEVRSPVQKNTVHAIFDTQAIFYRRTTDIPIIVQVWNSRKFCDQFLGQVTLDADPSDCRDLKSLYLRKKGGPTAKVKQGHISFKVISSDDLTEL
+>DECOY_sp|Q9Y6Q1|CAN6_HUMAN Calpain-6 OS=Homo sapiens OX=9606 GN=CAPN6 PE=1 SV=2
+LETLDDSSIVKFSIHGQKVKATPGGKKRLYLSKLDRCDSPDADLTVQGLFQDCFKRSNWVQVIIPIDTTRRYFIAQTDFIAHVTNKQVPSRVEEKGCKIVLYPNVTENAYKKELDEASHVTIQTVVKPYGRALNWCSMKPMDLTLERLQVPVESFIRLLFESTRGHQFMTPVLVYNGKKLYKSLFVTRTDIYTSTGAREQIYLHHLRFKRNMEVKFLEFGIIYNDPRGMRRYTRLDKQQLSMIVKHGDEPVTFIYQPNQLFTDRNNYCGGSRNMLPDDDVTWCGLVSELEKRGFIPNNVNRCVNLKHFNRCFDELSMWFEGDDSMVLGLNKRDSATLQQWEESIESWPGSWEQRGLPNRLRVMYVKEASFVEVLREGLRIKRIDTMTYTHGKLLGWDTEVEQEEQNPSEISCCILGGKTFTKYLEGFLKYKEEVLETYRGKQMDVTEALTGTFDVIIDTITLGDLAEYCGLLKAYAKELLANWFENMSTSFSFVLDGNITPLLDDIVVETWEGFHWFRFHFIGAYKETKQPDWEQEKHNPITKTWHSEQVALCSFASVMPKHGLRGQTLQHNSINGVILHPDDCIDQPRKWVVKGPLLRNYFLSDNEPLFTPDCFLRSDKICEQKLEQYKQNKFLKLPPGM
+>sp|A6NHC0|CAN8_HUMAN Calpain-8 OS=Homo sapiens OX=9606 GN=CAPN8 PE=2 SV=3
+MAAQAAGVSRQRAATQGLGSNQNALKYLGQDFKTLRQQCLDSGVLFKDPEFPACPSALGYKDLGPGSPQTQGIIWKRPTELCPSPQFIVGGATRTDICQGGLGDCWLLAAIASLTLNEELLYRVVPRDQDFQENYAGIFHFQFWQYGEWVEVVIDDRLPTKNGQLLFLHSEQGNEFWSALLEKAYAKLNGCYEALAGGSTVEGFEDFTGGISEFYDLKKPPANLYQIIRKALCAGSLLGCSIDVSSAAEAEAITSQKLVKSHAYSVTGVEEVNFQGHPEKLIRLRNPWGEVEWSGAWSDDAPEWNHIDPRRKEELDKKVEDGEFWMSLSDFVRQFSRLEICNLSPDSLSSEEVHKWNLVLFNGHWTRGSTAGGCQNYPATYWTNPQFKIRLDEVDEDQEESIGEPCCTVLLGLMQKNRRWRKRIGQGMLSIGYAVYQVPKELESHTDAHLGRDFFLAYQPSARTSTYVNLREVSGRARLPPGEYLVVPSTFEPFKDGEFCLRVFSEKKAQALEIGDVVAGNPYEPHPSEVDQEDDQFRRLFEKLAGKDSEITANALKILLNEAFSKRTDIKFDGFNINTCREMISLLDSNGTGTLGAVEFKTLWLKIQKYLEIYWETDYNHSGTIDAHEMRTALRKAGFTLNSQVQQTIALRYACSKLGINFDSFVACMIRLETLFKLFSLLDEDKDGMVQLSLAEWLCCVLV
+>DECOY_sp|A6NHC0|CAN8_HUMAN Calpain-8 OS=Homo sapiens OX=9606 GN=CAPN8 PE=2 SV=3
+VLVCCLWEALSLQVMGDKDEDLLSFLKFLTELRIMCAVFSDFNIGLKSCAYRLAITQQVQSNLTFGAKRLATRMEHADITGSHNYDTEWYIELYKQIKLWLTKFEVAGLTGTGNSDLLSIMERCTNINFGDFKIDTRKSFAENLLIKLANATIESDKGALKEFLRRFQDDEQDVESPHPEYPNGAVVDGIELAQAKKESFVRLCFEGDKFPEFTSPVVLYEGPPLRARGSVERLNVYTSTRASPQYALFFDRGLHADTHSELEKPVQYVAYGISLMGQGIRKRWRRNKQMLGLLVTCCPEGISEEQDEDVEDLRIKFQPNTWYTAPYNQCGGATSGRTWHGNFLVLNWKHVEESSLSDPSLNCIELRSFQRVFDSLSMWFEGDEVKKDLEEKRRPDIHNWEPADDSWAGSWEVEGWPNRLRILKEPHGQFNVEEVGTVSYAHSKVLKQSTIAEAEAASSVDISCGLLSGACLAKRIIQYLNAPPKKLDYFESIGGTFDEFGEVTSGGALAEYCGNLKAYAKELLASWFENGQESHLFLLQGNKTPLRDDIVVEVWEGYQWFQFHFIGAYNEQFDQDRPVVRYLLEENLTLSAIAALLWCDGLGGQCIDTRTAGGVIFQPSPCLETPRKWIIGQTQPSGPGLDKYGLASPCAPFEPDKFLVGSDLCQQRLTKFDQGLYKLANQNSGLGQTAARQRSVGAAQAAM
+>sp|O14815|CAN9_HUMAN Calpain-9 OS=Homo sapiens OX=9606 GN=CAPN9 PE=1 SV=1
+MPYLYRAPGPQAHPVPKDARITHSSGQSFEQMRQECLQRGTLFEDADFPASNSSLFYSERPQIPFVWKRPGEIVKNPEFILGGATRTDICQGELGDCWLLAAIASLTLNQKALARVIPQDQSFGPGYAGIFHFQFWQHSEWLDVVIDDRLPTFRDRLVFLHSADHNEFWSALLEKAYAKLNGSYEALKGGSAIEAMEDFTGGVAETFQTKEAPENFYEILEKALKRGSLLGCFIDTRSAAESEARTPFGLIKGHAYSVTGIDQVSFRGQRIELIRIRNPWGQVEWNGSWSDSSPEWRSVGPAEQKRLCHTALDDGEFWMAFKDFKAHFDKVEICNLTPDALEEDAIHKWEVTVHQGSWVRGSTAGGCRNFLDTFWTNPQIKLSLTEKDEGQEECSFLVALMQKDRRKLKRFGANVLTIGYAIYECPDKDEHLNKDFFRYHASRARSKTFINLREVSDRFKLPPGEYILIPSTFEPHQEADFCLRIFSEKKAITRDMDGNVDIDLPEPPKPTPPDQETEEEQRFRALFEQVAGEDMEVTAEELEYVLNAVLQKKKDIKFKKLSLISCKNIISLMDTSGNGKLEFDEFKVFWDKLKQWINLFLRFDADKSGTMSTYELRTALKAAGFQLSSHLLQLIVLRYADEELQLDFDDFLNCLVRLENASRVFQALSTKNKEFIHLNINEFIHLTMNI
+>DECOY_sp|O14815|CAN9_HUMAN Calpain-9 OS=Homo sapiens OX=9606 GN=CAPN9 PE=1 SV=1
+INMTLHIFENINLHIFEKNKTSLAQFVRSANELRVLCNLFDDFDLQLEEDAYRLVILQLLHSSLQFGAAKLATRLEYTSMTGSKDADFRLFLNIWQKLKDWFVKFEDFELKGNGSTDMLSIINKCSILSLKKFKIDKKKQLVANLVYELEEATVEMDEGAVQEFLARFRQEEETEQDPPTPKPPEPLDIDVNGDMDRTIAKKESFIRLCFDAEQHPEFTSPILIYEGPPLKFRDSVERLNIFTKSRARSAHYRFFDKNLHEDKDPCEYIAYGITLVNAGFRKLKRRDKQMLAVLFSCEEQGEDKETLSLKIQPNTWFTDLFNRCGGATSGRVWSGQHVTVEWKHIADEELADPTLNCIEVKDFHAKFDKFAMWFEGDDLATHCLRKQEAPGVSRWEPSSDSWSGNWEVQGWPNRIRILEIRQGRFSVQDIGTVSYAHGKILGFPTRAESEAASRTDIFCGLLSGRKLAKELIEYFNEPAEKTQFTEAVGGTFDEMAEIASGGKLAEYSGNLKAYAKELLASWFENHDASHLFVLRDRFTPLRDDIVVDLWESHQWFQFHFIGAYGPGFSQDQPIVRALAKQNLTLSAIAALLWCDGLEGQCIDTRTAGGLIFEPNKVIEGPRKWVFPIQPRESYFLSSNSAPFDADEFLTGRQLCEQRMQEFSQGSSHTIRADKPVPHAQPGPARYLYPM
+>sp|Q86VP6|CAND1_HUMAN Cullin-associated NEDD8-dissociated protein 1 OS=Homo sapiens OX=9606 GN=CAND1 PE=1 SV=2
+MASASYHISNLLEKMTSSDKDFRFMATNDLMTELQKDSIKLDDDSERKVVKMILKLLEDKNGEVQNLAVKCLGPLVSKVKEYQVETIVDTLCTNMLSDKEQLRDISSIGLKTVIGELPPASSGSALAANVCKKITGRLTSAIAKQEDVSVQLEALDIMADMLSRQGGLLVNFHPSILTCLLPQLTSPRLAVRKRTIIALGHLVMSCGNIVFVDLIEHLLSELSKNDSMSTTRTYIQCIAAISRQAGHRIGEYLEKIIPLVVKFCNVDDDELREYCIQAFESFVRRCPKEVYPHVSTIINICLKYLTYDPNYNYDDEDEDENAMDADGGDDDDQGSDDEYSDDDDMSWKVRRAAAKCLDAVVSTRHEMLPEFYKTVSPALISRFKEREENVKADVFHAYLSLLKQTRPVQSWLCDPDAMEQGETPLTMLQSQVPNIVKALHKQMKEKSVKTRQCCFNMLTELVNVLPGALTQHIPVLVPGIIFSLNDKSSSSNLKIDALSCLYVILCNHSPQVFHPHVQALVPPVVACVGDPFYKITSEALLVTQQLVKVIRPLDQPSSFDATPYIKDLFTCTIKRLKAADIDQEVKERAISCMGQIICNLGDNLGSDLPNTLQIFLERLKNEITRLTTVKALTLIAGSPLKIDLRPVLGEGVPILASFLRKNQRALKLGTLSALDILIKNYSDSLTAAMIDAVLDELPPLISESDMHVSQMAISFLTTLAKVYPSSLSKISGSILNELIGLVRSPLLQGGALSAMLDFFQALVVTGTNNLGYMDLLRMLTGPVYSQSTALTHKQSYYSIAKCVAALTRACPKEGPAVVGQFIQDVKNSRSTDSIRLLALLSLGEVGHHIDLSGQLELKSVILEAFSSPSEEVKSAASYALGSISVGNLPEYLPFVLQEITSQPKRQYLLLHSLKEIISSASVVGLKPYVENIWALLLKHCECAEEGTRNVVAECLGKLTLIDPETLLPRLKGYLISGSSYARSSVVTAVKFTISDHPQPIDPLLKNCIGDFLKTLEDPDLNVRRVALVTFNSAAHNKPSLIRDLLDTVLPHLYNETKVRKELIREVEMGPFKHTVDDGLDIRKAAFECMYTLLDSCLDRLDIFEFLNHVEDGLKDHYDIKMLTFLMLVRLSTLCPSAVLQRLDRLVEPLRATCTTKVKANSVKQEFEKQDELKRSAMRAVAALLTIPEAEKSPLMSEFQSQISSNPELAAIFESIQKDSSSTNLESMDTS
+>DECOY_sp|Q86VP6|CAND1_HUMAN Cullin-associated NEDD8-dissociated protein 1 OS=Homo sapiens OX=9606 GN=CAND1 PE=1 SV=2
+STDMSELNTSSSDKQISEFIAALEPNSSIQSQFESMLPSKEAEPITLLAAVARMASRKLEDQKEFEQKVSNAKVKTTCTARLPEVLRDLRQLVASPCLTSLRVLMLFTLMKIDYHDKLGDEVHNLFEFIDLRDLCSDLLTYMCEFAAKRIDLGDDVTHKFPGMEVERILEKRVKTENYLHPLVTDLLDRILSPKNHAASNFTVLAVRRVNLDPDELTKLFDGICNKLLPDIPQPHDSITFKVATVVSSRAYSSGSILYGKLRPLLTEPDILTLKGLCEAVVNRTGEEACECHKLLLAWINEVYPKLGVVSASSIIEKLSHLLLYQRKPQSTIEQLVFPLYEPLNGVSISGLAYSAASKVEESPSSFAELIVSKLELQGSLDIHHGVEGLSLLALLRISDTSRSNKVDQIFQGVVAPGEKPCARTLAAVCKAISYYSQKHTLATSQSYVPGTLMRLLDMYGLNNTGTVVLAQFFDLMASLAGGQLLPSRVLGILENLISGSIKSLSSPYVKALTTLFSIAMQSVHMDSESILPPLEDLVADIMAATLSDSYNKILIDLASLTGLKLARQNKRLFSALIPVGEGLVPRLDIKLPSGAILTLAKVTTLRTIENKLRELFIQLTNPLDSGLNDGLNCIIQGMCSIAREKVEQDIDAAKLRKITCTFLDKIYPTADFSSPQDLPRIVKVLQQTVLLAESTIKYFPDGVCAVVPPVLAQVHPHFVQPSHNCLIVYLCSLADIKLNSSSSKDNLSFIIGPVLVPIHQTLAGPLVNVLETLMNFCCQRTKVSKEKMQKHLAKVINPVQSQLMTLPTEGQEMADPDCLWSQVPRTQKLLSLYAHFVDAKVNEEREKFRSILAPSVTKYFEPLMEHRTSVVADLCKAAARRVKWSMDDDDSYEDDSGQDDDDGGDADMANEDEDEDDYNYNPDYTLYKLCINIITSVHPYVEKPCRRVFSEFAQICYERLEDDDVNCFKVVLPIIKELYEGIRHGAQRSIAAICQIYTRTTSMSDNKSLESLLHEILDVFVINGCSMVLHGLAIITRKRVALRPSTLQPLLCTLISPHFNVLLGGQRSLMDAMIDLAELQVSVDEQKAIASTLRGTIKKCVNAALASGSSAPPLEGIVTKLGISSIDRLQEKDSLMNTCLTDVITEVQYEKVKSVLPGLCKVALNQVEGNKDELLKLIMKVVKRESDDDLKISDKQLETMLDNTAMFRFDKDSSTMKELLNSIHYSASAM
+>sp|P27348|1433T_HUMAN 14-3-3 protein theta OS=Homo sapiens OX=9606 GN=YWHAQ PE=1 SV=1
+MEKTELIQKAKLAEQAERYDDMATCMKAVTEQGAELSNEERNLLSVAYKNVVGGRRSAWRVISSIEQKTDTSDKKLQLIKDYREKVESELRSICTTVLELLDKYLIANATNPESKVFYLKMKGDYFRYLAEVACGDDRKQTIDNSQGAYQEAFDISKKEMQPTHPIRLGLALNFSVFYYEILNNPELACTLAKTAFDEAIAELDTLNEDSYKDSTLIMQLLRDNLTLWTSDSAGEECDAAEGAEN
+>DECOY_sp|P27348|1433T_HUMAN 14-3-3 protein theta OS=Homo sapiens OX=9606 GN=YWHAQ PE=1 SV=1
+NEAGEAADCEEGASDSTWLTLNDRLLQMILTSDKYSDENLTDLEAIAEDFATKALTCALEPNNLIEYYFVSFNLALGLRIPHTPQMEKKSIDFAEQYAGQSNDITQKRDDGCAVEALYRFYDGKMKLYFVKSEPNTANAILYKDLLELVTTCISRLESEVKERYDKILQLKKDSTDTKQEISSIVRWASRRGGVVNKYAVSLLNREENSLEAGQETVAKMCTAMDDYREAQEALKAKQILETKEM
+>sp|P30456|1A43_HUMAN HLA class I histocompatibility antigen, A-43 alpha chain OS=Homo sapiens OX=9606 GN=HLA-A PE=1 SV=1
+MAVMAPRTLVLLLSGALALTQTWAGSHSMRYFYTSVSRPGRGEPRFIAVGYVDDTQFVRFDSDAASQRMEPRAPWIEQEGPEYWDLQTRNVKAHSQTDRANLGTLRGYYNQSEDGSHTIQRMYGCDVGPDGRFLRGYQQDAYDGKDYIALNEDLRSWTAADMAAQITQRKWETAHEAEQWRAYLEGRCVEWLRRYLENGKETLQRTDAPKTHMTHHAVSDHEATLRCWALSFYPAEITLTWQRDGEDQTQDTELVETRPAGDGTFQKWASVVVPSGQEQRYTCHVQHEGLPKPLTLRWEPSSQPTIPIVGIIAGLVLFGAVIAGAVVAAVMWRRKSSDRKGGSYSQAASSDSAQGSDMSLTACKV
+>DECOY_sp|P30456|1A43_HUMAN HLA class I histocompatibility antigen, A-43 alpha chain OS=Homo sapiens OX=9606 GN=HLA-A PE=1 SV=1
+VKCATLSMDSGQASDSSAAQSYSGGKRDSSKRRWMVAAVVAGAIVAGFLVLGAIIGVIPITPQSSPEWRLTLPKPLGEHQVHCTYRQEQGSPVVVSAWKQFTGDGAPRTEVLETDQTQDEGDRQWTLTIEAPYFSLAWCRLTAEHDSVAHHTMHTKPADTRQLTEKGNELYRRLWEVCRGELYARWQEAEHATEWKRQTIQAAMDAATWSRLDENLAIYDKGDYADQQYGRLFRGDPGVDCGYMRQITHSGDESQNYYGRLTGLNARDTQSHAKVNRTQLDWYEPGEQEIWPARPEMRQSAADSDFRVFQTDDVYGVAIFRPEGRGPRSVSTYFYRMSHSGAWTQTLALAGSLLLVLTRPAMVAM
+>sp|P10316|1A69_HUMAN HLA class I histocompatibility antigen, A-69 alpha chain OS=Homo sapiens OX=9606 GN=HLA-A PE=1 SV=2
+MAVMAPRTLVLLLSGALALTQTWAGSHSMRYFYTSVSRPGRGEPRFIAVGYVDDTQFVRFDSDAASQRMEPRAPWIEQEGPEYWDRNTRNVKAQSQTDRVDLGTLRGYYNQSEAGSHTVQRMYGCDVGSDWRFLRGYHQYAYDGKDYIALKEDLRSWTAADMAAQTTKHKWEAAHVAEQLRAYLEGTCVEWLRRYLENGKETLQRTDAPKTHMTHHAVSDHEATLRCWALSFYPAEITLTWQRDGEDQTQDTELVETRPAGDGTFQKWAAVVVPSGQEQRYTCHVQHEGLPKPLTLRWEPSSQPTIPIVGIIAGLVLFGAVITGAVVAAVMWRRKSSDRKGGSYSQAASSDSAQGSDVSLTACKV
+>DECOY_sp|P10316|1A69_HUMAN HLA class I histocompatibility antigen, A-69 alpha chain OS=Homo sapiens OX=9606 GN=HLA-A PE=1 SV=2
+VKCATLSVDSGQASDSSAAQSYSGGKRDSSKRRWMVAAVVAGTIVAGFLVLGAIIGVIPITPQSSPEWRLTLPKPLGEHQVHCTYRQEQGSPVVVAAWKQFTGDGAPRTEVLETDQTQDEGDRQWTLTIEAPYFSLAWCRLTAEHDSVAHHTMHTKPADTRQLTEKGNELYRRLWEVCTGELYARLQEAVHAAEWKHKTTQAAMDAATWSRLDEKLAIYDKGDYAYQHYGRLFRWDSGVDCGYMRQVTHSGAESQNYYGRLTGLDVRDTQSQAKVNRTNRDWYEPGEQEIWPARPEMRQSAADSDFRVFQTDDVYGVAIFRPEGRGPRSVSTYFYRMSHSGAWTQTLALAGSLLLVLTRPAMVAM
+>sp|Q09160|1A80_HUMAN HLA class I histocompatibility antigen, A-80 alpha chain OS=Homo sapiens OX=9606 GN=HLA-A PE=1 SV=1
+MAVMPPRTLLLLLSGALALTQTWAGSHSMRYFFTSVSRPGRGEPRFIAVGYVDDSQFVQFDSDAASQRMEPRAPWIEQEEPEYWDEETRNVKAHSQTNRANLGTLRGYYNQSEDGSHTIQIMYGCDVGSDGRFLRGYRQDAYDGKDYIALNEDLRSWTAADMAAQITKRKWEAARRAEQLRAYLEGECVDGLRRYLENGKETLQRTDPPKTHMTHHPISDHEATLRCWALSFYPAEITLTWQRDGEDQTQDTELVETRPAGDGTFQKWAAVVVPSGKEKRYTCHVQHEGLPEPLTLRWEPSSQPTIPIVGIIAGLVLLGAVIAGAVVAAVMWRKKSSVRKGGSYSQAASSDSAQGSDVSLTACKV
+>DECOY_sp|Q09160|1A80_HUMAN HLA class I histocompatibility antigen, A-80 alpha chain OS=Homo sapiens OX=9606 GN=HLA-A PE=1 SV=1
+VKCATLSVDSGQASDSSAAQSYSGGKRVSSKKRWMVAAVVAGAIVAGLLVLGAIIGVIPITPQSSPEWRLTLPEPLGEHQVHCTYRKEKGSPVVVAAWKQFTGDGAPRTEVLETDQTQDEGDRQWTLTIEAPYFSLAWCRLTAEHDSIPHHTMHTKPPDTRQLTEKGNELYRRLGDVCEGELYARLQEARRAAEWKRKTIQAAMDAATWSRLDENLAIYDKGDYADQRYGRLFRGDSGVDCGYMIQITHSGDESQNYYGRLTGLNARNTQSHAKVNRTEEDWYEPEEQEIWPARPEMRQSAADSDFQVFQSDDVYGVAIFRPEGRGPRSVSTFFYRMSHSGAWTQTLALAGSLLLLLTRPPMVAM
+>sp|P30460|1B08_HUMAN HLA class I histocompatibility antigen, B-8 alpha chain OS=Homo sapiens OX=9606 GN=HLA-B PE=1 SV=1
+MLVMAPRTVLLLLSAALALTETWAGSHSMRYFDTAMSRPGRGEPRFISVGYVDDTQFVRFDSDAASPREEPRAPWIEQEGPEYWDRNTQIFKTNTQTDRESLRNLRGYYNQSEAGSHTLQSMYGCDVGPDGRLLRGHNQYAYDGKDYIALNEDLRSWTAADTAAQITQRKWEAARVAEQDRAYLEGTCVEWLRRYLENGKDTLERADPPKTHVTHHPISDHEATLRCWALGFYPAEITLTWQRDGEDQTQDTELVETRPAGDRTFQKWAAVVVPSGEEQRYTCHVQHEGLPKPLTLRWEPSSQSTVPIVGIVAGLAVLAVVVIGAVVAAVMCRRKSSGGKGGSYSQAACSDSAQGSDVSLTA
+>DECOY_sp|P30460|1B08_HUMAN HLA class I histocompatibility antigen, B-8 alpha chain OS=Homo sapiens OX=9606 GN=HLA-B PE=1 SV=1
+ATLSVDSGQASDSCAAQSYSGGKGGSSKRRCMVAAVVAGIVVVALVALGAVIGVIPVTSQSSPEWRLTLPKPLGEHQVHCTYRQEEGSPVVVAAWKQFTRDGAPRTEVLETDQTQDEGDRQWTLTIEAPYFGLAWCRLTAEHDSIPHHTVHTKPPDARELTDKGNELYRRLWEVCTGELYARDQEAVRAAEWKRQTIQAATDAATWSRLDENLAIYDKGDYAYQNHGRLLRGDPGVDCGYMSQLTHSGAESQNYYGRLNRLSERDTQTNTKFIQTNRDWYEPGEQEIWPARPEERPSAADSDFRVFQTDDVYGVSIFRPEGRGPRSMATDFYRMSHSGAWTETLALAASLLLLVTRPAMVLM
+>sp|P03989|1B27_HUMAN HLA class I histocompatibility antigen, B-27 alpha chain OS=Homo sapiens OX=9606 GN=HLA-B PE=1 SV=2
+MRVTAPRTLLLLLWGAVALTETWAGSHSMRYFHTSVSRPGRGEPRFITVGYVDDTLFVRFDSDAASPREEPRAPWIEQEGPEYWDRETQICKAKAQTDREDLRTLLRYYNQSEAGSHTLQNMYGCDVGPDGRLLRGYHQDAYDGKDYIALNEDLSSWTAADTAAQITQRKWEAARVAEQLRAYLEGECVEWLRRYLENGKETLQRADPPKTHVTHHPISDHEATLRCWALGFYPAEITLTWQRDGEDQTQDTELVETRPAGDRTFQKWAAVVVPSGEEQRYTCHVQHEGLPKPLTLRWEPSSQSTVPIVGIVAGLAVLAVVVIGAVVAAVMCRRKSSGGKGGSYSQAACSDSAQGSDVSLTA
+>DECOY_sp|P03989|1B27_HUMAN HLA class I histocompatibility antigen, B-27 alpha chain OS=Homo sapiens OX=9606 GN=HLA-B PE=1 SV=2
+ATLSVDSGQASDSCAAQSYSGGKGGSSKRRCMVAAVVAGIVVVALVALGAVIGVIPVTSQSSPEWRLTLPKPLGEHQVHCTYRQEEGSPVVVAAWKQFTRDGAPRTEVLETDQTQDEGDRQWTLTIEAPYFGLAWCRLTAEHDSIPHHTVHTKPPDARQLTEKGNELYRRLWEVCEGELYARLQEAVRAAEWKRQTIQAATDAATWSSLDENLAIYDKGDYADQHYGRLLRGDPGVDCGYMNQLTHSGAESQNYYRLLTRLDERDTQAKAKCIQTERDWYEPGEQEIWPARPEERPSAADSDFRVFLTDDVYGVTIFRPEGRGPRSVSTHFYRMSHSGAWTETLAVAGWLLLLLTRPATVRM
+>sp|P30499|1C01_HUMAN HLA class I histocompatibility antigen, Cw-1 alpha chain OS=Homo sapiens OX=9606 GN=HLA-C PE=1 SV=1
+MRVMEPRTLILLLSGALALTETWACSHSMKYFFTSVSRPGRGEPRFISVGYVDDTQFVRFDSDAASPRGEPRAPWVEQEGPEYWDRETQKYNRQAQTDRVSLRNLRGYYNQSEAGSHTLQWMCGCDLGPDGRLLRGYDQYAYDGKDYIALNEDLRSWTAADTAAQITQRKWEAAREAEERRAYLEGTCVEWLRRYLENGKESLQRAEHPKTHVTHHPVSDHEATLRCWALGFYPAEITLTWQWDGEDQTQDTELVETRPAGDGTFQKWAAVMVPSGEEQRYTCHVQHEGLPEPLTLRWEPSSQPTIPIVGIVAGLAVLAVLAVLGAVVAVVMCRRKSSGGKGGSCSQAASSNSAQGSDESLIASKA
+>DECOY_sp|P30499|1C01_HUMAN HLA class I histocompatibility antigen, Cw-1 alpha chain OS=Homo sapiens OX=9606 GN=HLA-C PE=1 SV=1
+AKSAILSEDSGQASNSSAAQSCSGGKGGSSKRRCMVVAVVAGLVALVALVALGAVIGVIPITPQSSPEWRLTLPEPLGEHQVHCTYRQEEGSPVMVAAWKQFTGDGAPRTEVLETDQTQDEGDWQWTLTIEAPYFGLAWCRLTAEHDSVPHHTVHTKPHEARQLSEKGNELYRRLWEVCTGELYARREEAERAAEWKRQTIQAATDAATWSRLDENLAIYDKGDYAYQDYGRLLRGDPGLDCGCMWQLTHSGAESQNYYGRLNRLSVRDTQAQRNYKQTERDWYEPGEQEVWPARPEGRPSAADSDFRVFQTDDVYGVSIFRPEGRGPRSVSTFFYKMSHSCAWTETLALAGSLLLILTRPEMVRM
+>sp|P04222|1C03_HUMAN HLA class I histocompatibility antigen, Cw-3 alpha chain OS=Homo sapiens OX=9606 GN=HLA-C PE=1 SV=2
+MRVMAPRTLILLLSGALALTETWAGSHSMRYFYTAVSRPGRGEPHFIAVGYVDDTQFVRFDSDAASPRGEPRAPWVEQEGPEYWDRETQKYKRQAQTDRVSLRNLRGYYNQSEAGSHIIQRMYGCDVGPDGRLLRGYDQYAYDGKDYIALNEDLRSWTAADTAAQITQRKWEAAREAEQLRAYLEGLCVEWLRRYLKNGKETLQRAEHPKTHVTHHPVSDHEATLRCWALGFYPAEITLTWQWDGEDQTQDTELVETRPAGDGTFQKWAAVVVPSGEEQRYTCHVQHEGLPEPLTLRWEPSSQPTIPIVGIVAGLAVLAVLAVLGAVVAVVMCRRKSSGGKGGSCSQAASSNSAQGSDESLIACKA
+>DECOY_sp|P04222|1C03_HUMAN HLA class I histocompatibility antigen, Cw-3 alpha chain OS=Homo sapiens OX=9606 GN=HLA-C PE=1 SV=2
+AKCAILSEDSGQASNSSAAQSCSGGKGGSSKRRCMVVAVVAGLVALVALVALGAVIGVIPITPQSSPEWRLTLPEPLGEHQVHCTYRQEEGSPVVVAAWKQFTGDGAPRTEVLETDQTQDEGDWQWTLTIEAPYFGLAWCRLTAEHDSVPHHTVHTKPHEARQLTEKGNKLYRRLWEVCLGELYARLQEAERAAEWKRQTIQAATDAATWSRLDENLAIYDKGDYAYQDYGRLLRGDPGVDCGYMRQIIHSGAESQNYYGRLNRLSVRDTQAQRKYKQTERDWYEPGEQEVWPARPEGRPSAADSDFRVFQTDDVYGVAIFHPEGRGPRSVATYFYRMSHSGAWTETLALAGSLLLILTRPAMVRM
+>sp|Q9TNN7|1C05_HUMAN HLA class I histocompatibility antigen, Cw-5 alpha chain OS=Homo sapiens OX=9606 GN=HLA-C PE=1 SV=1
+MRVMAPRTLILLLSGALALTETWACSHSMRYFYTAVSRPGRGEPRFIAVGYVDDTQFVQFDSDAASPRGEPRAPWVEQEGPEYWDRETQKYKRQAQTDRVNLRKLRGYYNQSEAGSHTLQRMYGCDLGPDGRLLRGYNQFAYDGKDYIALNEDLRSWTAADKAAQITQRKWEAAREAEQRRAYLEGTCVEWLRRYLENGKKTLQRAEHPKTHVTHHPVSDHEATLRCWALGFYPAEITLTWQRDGEDQTQDTELVETRPAGDGTFQKWAAVVVPSGEEQRYTCHVQHEGLPEPLTLRWGPSSQPTIPIVGIVAGLAVLAVLAVLGAVMAVVMCRRKSSGGKGGSCSQAASSNSAQGSDESLIACKA
+>DECOY_sp|Q9TNN7|1C05_HUMAN HLA class I histocompatibility antigen, Cw-5 alpha chain OS=Homo sapiens OX=9606 GN=HLA-C PE=1 SV=1
+AKCAILSEDSGQASNSSAAQSCSGGKGGSSKRRCMVVAMVAGLVALVALVALGAVIGVIPITPQSSPGWRLTLPEPLGEHQVHCTYRQEEGSPVVVAAWKQFTGDGAPRTEVLETDQTQDEGDRQWTLTIEAPYFGLAWCRLTAEHDSVPHHTVHTKPHEARQLTKKGNELYRRLWEVCTGELYARRQEAERAAEWKRQTIQAAKDAATWSRLDENLAIYDKGDYAFQNYGRLLRGDPGLDCGYMRQLTHSGAESQNYYGRLKRLNVRDTQAQRKYKQTERDWYEPGEQEVWPARPEGRPSAADSDFQVFQTDDVYGVAIFRPEGRGPRSVATYFYRMSHSCAWTETLALAGSLLLILTRPAMVRM
+>sp|Q29963|1C06_HUMAN HLA class I histocompatibility antigen, Cw-6 alpha chain OS=Homo sapiens OX=9606 GN=HLA-C PE=1 SV=2
+MRVMAPRTLILLLSGALALTETWACSHSMRYFDTAVSRPGRGEPRFISVGYVDDTQFVRFDSDAASPRGEPRAPWVEQEGPEYWDRETQKYKRQAQADRVNLRKLRGYYNQSEDGSHTLQWMYGCDLGPDGRLLRGYDQSAYDGKDYIALNEDLRSWTAADTAAQITQRKWEAAREAEQWRAYLEGTCVEWLRRYLENGKETLQRAEHPKTHVTHHPVSDHEATLRCWALGFYPAEITLTWQRDGEDQTQDTELVETRPAGDGTFQKWAAVVVPSGEEQRYTCHVQHEGLPEPLTLRWEPSSQPTIPIVGIVAGLAVLAVLAVLGAVMAVVMCRRKSSGGKGGSCSQAASSNSAQGSDESLIACKA
+>DECOY_sp|Q29963|1C06_HUMAN HLA class I histocompatibility antigen, Cw-6 alpha chain OS=Homo sapiens OX=9606 GN=HLA-C PE=1 SV=2
+AKCAILSEDSGQASNSSAAQSCSGGKGGSSKRRCMVVAMVAGLVALVALVALGAVIGVIPITPQSSPEWRLTLPEPLGEHQVHCTYRQEEGSPVVVAAWKQFTGDGAPRTEVLETDQTQDEGDRQWTLTIEAPYFGLAWCRLTAEHDSVPHHTVHTKPHEARQLTEKGNELYRRLWEVCTGELYARWQEAERAAEWKRQTIQAATDAATWSRLDENLAIYDKGDYASQDYGRLLRGDPGLDCGYMWQLTHSGDESQNYYGRLKRLNVRDAQAQRKYKQTERDWYEPGEQEVWPARPEGRPSAADSDFRVFQTDDVYGVSIFRPEGRGPRSVATDFYRMSHSCAWTETLALAGSLLLILTRPAMVRM
+>sp|P10321|1C07_HUMAN HLA class I histocompatibility antigen, Cw-7 alpha chain OS=Homo sapiens OX=9606 GN=HLA-C PE=1 SV=3
+MRVMAPRALLLLLSGGLALTETWACSHSMRYFDTAVSRPGRGEPRFISVGYVDDTQFVRFDSDAASPRGEPRAPWVEQEGPEYWDRETQKYKRQAQADRVSLRNLRGYYNQSEDGSHTLQRMSGCDLGPDGRLLRGYDQSAYDGKDYIALNEDLRSWTAADTAAQITQRKLEAARAAEQLRAYLEGTCVEWLRRYLENGKETLQRAEPPKTHVTHHPLSDHEATLRCWALGFYPAEITLTWQRDGEDQTQDTELVETRPAGDGTFQKWAAVVVPSGQEQRYTCHMQHEGLQEPLTLSWEPSSQPTIPIMGIVAGLAVLVVLAVLGAVVTAMMCRRKSSGGKGGSCSQAACSNSAQGSDESLITCKA
+>DECOY_sp|P10321|1C07_HUMAN HLA class I histocompatibility antigen, Cw-7 alpha chain OS=Homo sapiens OX=9606 GN=HLA-C PE=1 SV=3
+AKCTILSEDSGQASNSCAAQSCSGGKGGSSKRRCMMATVVAGLVALVVLVALGAVIGMIPITPQSSPEWSLTLPEQLGEHQMHCTYRQEQGSPVVVAAWKQFTGDGAPRTEVLETDQTQDEGDRQWTLTIEAPYFGLAWCRLTAEHDSLPHHTVHTKPPEARQLTEKGNELYRRLWEVCTGELYARLQEAARAAELKRQTIQAATDAATWSRLDENLAIYDKGDYASQDYGRLLRGDPGLDCGSMRQLTHSGDESQNYYGRLNRLSVRDAQAQRKYKQTERDWYEPGEQEVWPARPEGRPSAADSDFRVFQTDDVYGVSIFRPEGRGPRSVATDFYRMSHSCAWTETLALGGSLLLLLARPAMVRM
+>sp|P30510|1C14_HUMAN HLA class I histocompatibility antigen, Cw-14 alpha chain OS=Homo sapiens OX=9606 GN=HLA-C PE=1 SV=2
+MRVMAPRTLILLLSGALALTETWACSHSMRYFSTSVSRPGRGEPRFIAVGYVDDTQFVRFDSDAASPRGEPRAPWVEQEGPEYWDRETQKYKRQAQTDRVSLRNLRGYYNQSEAGSHTLQWMFGCDLGPDGRLLRGYDQSAYDGKDYIALNEDLRSWTAADTAAQITQRKWEAAREAEQRRAYLEGTCVEWLRRYLENGKETLQRAEHPKTHVTHHPVSDHEATLRCWALGFYPAEITLTWQWDGEDQTQDTELVETRPAGDGTFQKWAAVVVPSGEEQRYTCHVQHEGLPEPLTLRWEPSSQPTIPIVGIVAGLAVLAVLAVLGAVVAVVMCRRKSSGGKGGSCSQAASSNSAQGSDESLIACKA
+>DECOY_sp|P30510|1C14_HUMAN HLA class I histocompatibility antigen, Cw-14 alpha chain OS=Homo sapiens OX=9606 GN=HLA-C PE=1 SV=2
+AKCAILSEDSGQASNSSAAQSCSGGKGGSSKRRCMVVAVVAGLVALVALVALGAVIGVIPITPQSSPEWRLTLPEPLGEHQVHCTYRQEEGSPVVVAAWKQFTGDGAPRTEVLETDQTQDEGDWQWTLTIEAPYFGLAWCRLTAEHDSVPHHTVHTKPHEARQLTEKGNELYRRLWEVCTGELYARRQEAERAAEWKRQTIQAATDAATWSRLDENLAIYDKGDYASQDYGRLLRGDPGLDCGFMWQLTHSGAESQNYYGRLNRLSVRDTQAQRKYKQTERDWYEPGEQEVWPARPEGRPSAADSDFRVFQTDDVYGVAIFRPEGRGPRSVSTSFYRMSHSCAWTETLALAGSLLLILTRPAMVRM
+>sp|Q95604|1C17_HUMAN HLA class I histocompatibility antigen, Cw-17 alpha chain OS=Homo sapiens OX=9606 GN=HLA-C PE=1 SV=1
+MRVMAPQALLLLLSGALALIETWAGSHSMRYFYTAVSRPGRGEPRFIAVGYVDDTQFVRFDSDAASPRGEPRAPWVEQEGPEYWDRETQKYKRQAQADRVNLRKLRGYYNQSEAGSHTIQRMYGCDLGPDGRLLRGYNQFAYDGKDYIALNEDLRSWTAADTAAQISQRKLEAAREAEQLRAYLEGECVEWLRGYLENGKETLQRAERPKTHVTHHPVSDHEATLRCWALGFYPAEITLTWQRDGEDQTQDTELVETRPAGDGTFQKWAAVVVPSGQEQRYTCHVQHEGLQEPCTLRWKPSSQPTIPNLGIVSGPAVLAVLAVLAVLAVLGAVVAAVIHRRKSSGGKGGSCSQAASSNSAQGSDESLIACKA
+>DECOY_sp|Q95604|1C17_HUMAN HLA class I histocompatibility antigen, Cw-17 alpha chain OS=Homo sapiens OX=9606 GN=HLA-C PE=1 SV=1
+AKCAILSEDSGQASNSSAAQSCSGGKGGSSKRRHIVAAVVAGLVALVALVALVALVAPGSVIGLNPITPQSSPKWRLTCPEQLGEHQVHCTYRQEQGSPVVVAAWKQFTGDGAPRTEVLETDQTQDEGDRQWTLTIEAPYFGLAWCRLTAEHDSVPHHTVHTKPREARQLTEKGNELYGRLWEVCEGELYARLQEAERAAELKRQSIQAATDAATWSRLDENLAIYDKGDYAFQNYGRLLRGDPGLDCGYMRQITHSGAESQNYYGRLKRLNVRDAQAQRKYKQTERDWYEPGEQEVWPARPEGRPSAADSDFRVFQTDDVYGVAIFRPEGRGPRSVATYFYRMSHSGAWTEILALAGSLLLLLAQPAMVRM
+>sp|P01912|2B13_HUMAN HLA class II histocompatibility antigen, DRB1-3 chain OS=Homo sapiens OX=9606 GN=HLA-DRB1 PE=1 SV=2
+MVCLRLPGGSCMAVLTVTLMVLSSPLALAGDTRPRFLEYSTSECHFFNGTERVRYLDRYFHNQEENVRFDSDVGEFRAVTELGRPDAEYWNSQKDLLEQKRGRVDNYCRHNYGVVESFTVQRRVHPKVTVYPSKTQPLQHHNLLVCSVSGFYPGSIEVRWFRNGQEEKTGVVSTGLIHNGDWTFQTLVMLETVPRSGEVYTCQVEHPSVTSPLTVEWRARSESAQSKMLSGVGGFVLGLLFLGAGLFIYFRNQKGHSGLQPRGFLS
+>DECOY_sp|P01912|2B13_HUMAN HLA class II histocompatibility antigen, DRB1-3 chain OS=Homo sapiens OX=9606 GN=HLA-DRB1 PE=1 SV=2
+SLFGRPQLGSHGKQNRFYIFLGAGLFLLGLVFGGVGSLMKSQASESRARWEVTLPSTVSPHEVQCTYVEGSRPVTELMVLTQFTWDGNHILGTSVVGTKEEQGNRFWRVEISGPYFGSVSCVLLNHHQLPQTKSPYVTVKPHVRRQVTFSEVVGYNHRCYNDVRGRKQELLDKQSNWYEADPRGLETVARFEGVDSDFRVNEEQNHFYRDLYRVRETGNFFHCESTSYELFRPRTDGALALPSSLVMLTVTLVAMCSGGPLRLCVM
+>sp|P13760|2B14_HUMAN HLA class II histocompatibility antigen, DRB1-4 beta chain OS=Homo sapiens OX=9606 GN=HLA-DRB1 PE=1 SV=1
+MVCLKFPGGSCMAALTVTLMVLSSPLALAGDTRPRFLEQVKHECHFFNGTERVRFLDRYFYHQEEYVRFDSDVGEYRAVTELGRPDAEYWNSQKDLLEQKRAAVDTYCRHNYGVGESFTVQRRVYPEVTVYPAKTQPLQHHNLLVCSVNGFYPGSIEVRWFRNGQEEKTGVVSTGLIQNGDWTFQTLVMLETVPRSGEVYTCQVEHPSLTSPLTVEWRARSESAQSKMLSGVGGFVLGLLFLGAGLFIYFRNQKGHSGLQPTGFLS
+>DECOY_sp|P13760|2B14_HUMAN HLA class II histocompatibility antigen, DRB1-4 beta chain OS=Homo sapiens OX=9606 GN=HLA-DRB1 PE=1 SV=1
+SLFGTPQLGSHGKQNRFYIFLGAGLFLLGLVFGGVGSLMKSQASESRARWEVTLPSTLSPHEVQCTYVEGSRPVTELMVLTQFTWDGNQILGTSVVGTKEEQGNRFWRVEISGPYFGNVSCVLLNHHQLPQTKAPYVTVEPYVRRQVTFSEGVGYNHRCYTDVAARKQELLDKQSNWYEADPRGLETVARYEGVDSDFRVYEEQHYFYRDLFRVRETGNFFHCEHKVQELFRPRTDGALALPSSLVMLTVTLAAMCSGGPFKLCVM
+>sp|P20039|2B1B_HUMAN HLA class II histocompatibility antigen, DRB1-11 beta chain OS=Homo sapiens OX=9606 GN=HLA-DRB1 PE=1 SV=1
+MVCLRLPGGSCMAVLTVTLMVLSSPLALAGDTRPRFLEYSTSECHFFNGTERVRFLDRYFYNQEEYVRFDSDVGEFRAVTELGRPDEEYWNSQKDFLEDRRAAVDTYCRHNYGVGESFTVQRRVHPKVTVYPSKTQPLQHHNLLVCSVSGFYPGSIEVRWFRNGQEEKTGVVSTGLIHNGDWTFQTLVMLETVPRSGEVYTCQVEHPSVTSPLTVEWRARSESAQSKMLSGVGGFVLGLLFLGAGLFIYFRNQKGHSGLQPRGFLS
+>DECOY_sp|P20039|2B1B_HUMAN HLA class II histocompatibility antigen, DRB1-11 beta chain OS=Homo sapiens OX=9606 GN=HLA-DRB1 PE=1 SV=1
+SLFGRPQLGSHGKQNRFYIFLGAGLFLLGLVFGGVGSLMKSQASESRARWEVTLPSTVSPHEVQCTYVEGSRPVTELMVLTQFTWDGNHILGTSVVGTKEEQGNRFWRVEISGPYFGSVSCVLLNHHQLPQTKSPYVTVKPHVRRQVTFSEGVGYNHRCYTDVAARRDELFDKQSNWYEEDPRGLETVARFEGVDSDFRVYEEQNYFYRDLFRVRETGNFFHCESTSYELFRPRTDGALALPSSLVMLTVTLVAMCSGGPLRLCVM
+>sp|Q9GIY3|2B1E_HUMAN HLA class II histocompatibility antigen, DRB1-14 beta chain OS=Homo sapiens OX=9606 GN=HLA-DRB1 PE=1 SV=1
+MVCLRLPGGSCMAVLTVTLMVLSSPLALAGDTRPRFLEYSTSECHFFNGTERVRFLDRYFHNQEEFVRFDSDVGEYRAVTELGRPAAEHWNSQKDLLERRRAEVDTYCRHNYGVVESFTVQRRVHPKVTVYPSKTQPLQHYNLLVCSVSGFYPGSIEVRWFRNGQEEKTGVVSTGLIHNGDWTFQTLVMLETVPRSGEVYTCQVEHPSVTSPLTVEWRARSESAQSKMLSGVGGFVLGLLFLGAGLFIYFRNQKGHSGLQPRGFLS
+>DECOY_sp|Q9GIY3|2B1E_HUMAN HLA class II histocompatibility antigen, DRB1-14 beta chain OS=Homo sapiens OX=9606 GN=HLA-DRB1 PE=1 SV=1
+SLFGRPQLGSHGKQNRFYIFLGAGLFLLGLVFGGVGSLMKSQASESRARWEVTLPSTVSPHEVQCTYVEGSRPVTELMVLTQFTWDGNHILGTSVVGTKEEQGNRFWRVEISGPYFGSVSCVLLNYHQLPQTKSPYVTVKPHVRRQVTFSEVVGYNHRCYTDVEARRRELLDKQSNWHEAAPRGLETVARYEGVDSDFRVFEEQNHFYRDLFRVRETGNFFHCESTSYELFRPRTDGALALPSSLVMLTVTLVAMCSGGPLRLCVM
+>sp|P28566|5HT1E_HUMAN 5-hydroxytryptamine receptor 1E OS=Homo sapiens OX=9606 GN=HTR1E PE=1 SV=1
+MNITNCTTEASMAIRPKTITEKMLICMTLVVITTLTTLLNLAVIMAIGTTKKLHQPANYLICSLAVTDLLVAVLVMPLSIIYIVMDRWKLGYFLCEVWLSVDMTCCTCSILHLCVIALDRYWAITNAIEYARKRTAKRAALMILTVWTISIFISMPPLFWRSHRRLSPPPSQCTIQHDHVIYTIYSTLGAFYIPLTLILILYYRIYHAAKSLYQKRGSSRHLSNRSTDSQNSFASCKLTQTFCVSDFSTSDPTTEFEKFHASIRIPPFDNDLDHPGERQQISSTRERKAARILGLILGAFILSWLPFFIKELIVGLSIYTVSSEVADFLTWLGYVNSLINPLLYTSFNEDFKLAFKKLIRCREHT
+>DECOY_sp|P28566|5HT1E_HUMAN 5-hydroxytryptamine receptor 1E OS=Homo sapiens OX=9606 GN=HTR1E PE=1 SV=1
+THERCRILKKFALKFDENFSTYLLPNILSNVYGLWTLFDAVESSVTYISLGVILEKIFFPLWSLIFAGLILGLIRAAKRERTSSIQQREGPHDLDNDFPPIRISAHFKEFETTPDSTSFDSVCFTQTLKCSAFSNQSDTSRNSLHRSSGRKQYLSKAAHYIRYYLILILTLPIYFAGLTSYITYIVHDHQITCQSPPPSLRRHSRWFLPPMSIFISITWVTLIMLAARKATRKRAYEIANTIAWYRDLAIVCLHLISCTCCTMDVSLWVECLFYGLKWRDMVIYIISLPMVLVAVLLDTVALSCILYNAPQHLKKTTGIAMIVALNLLTTLTTIVVLTMCILMKETITKPRIAMSAETTCNTINM
+>sp|Q9H0P0|5NT3A_HUMAN Cytosolic 5'-nucleotidase 3A OS=Homo sapiens OX=9606 GN=NT5C3A PE=1 SV=3
+MRAPSMDRAAVARVGAVASASVCALVAGVVLAQYIFTLKRKTGRKTKIIEMMPEFQKSSVRIKNPTRVEEIICGLIKGGAAKLQIITDFDMTLSRFSYKGKRCPTCHNIIDNCKLVTDECRKKLLQLKEKYYAIEVDPVLTVEEKYPYMVEWYTKSHGLLVQQALPKAKLKEIVAESDVMLKEGYENFFDKLQQHSIPVFIFSAGIGDVLEEVIRQAGVYHPNVKVVSNFMDFDETGVLKGFKGELIHVFNKHDGALRNTEYFNQLKDNSNIILLGDSQGDLRMADGVANVEHILKIGYLNDRVDELLEKYMDSYDIVLVQDESLEVANSILQKIL
+>DECOY_sp|Q9H0P0|5NT3A_HUMAN Cytosolic 5'-nucleotidase 3A OS=Homo sapiens OX=9606 GN=NT5C3A PE=1 SV=3
+LIKQLISNAVELSEDQVLVIDYSDMYKELLEDVRDNLYGIKLIHEVNAVGDAMRLDGQSDGLLIINSNDKLQNFYETNRLAGDHKNFVHILEGKFGKLVGTEDFDMFNSVVKVNPHYVGAQRIVEELVDGIGASFIFVPISHQQLKDFFNEYGEKLMVDSEAVIEKLKAKPLAQQVLLGHSKTYWEVMYPYKEEVTLVPDVEIAYYKEKLQLLKKRCEDTVLKCNDIINHCTPCRKGKYSFRSLTMDFDTIIQLKAAGGKILGCIIEEVRTPNKIRVSSKQFEPMMEIIKTKRGTKRKLTFIYQALVVGAVLACVSASAVAGVRAVAARDMSPARM
+>sp|Q5VUR7|A20A3_HUMAN Ankyrin repeat domain-containing protein 20A3 OS=Homo sapiens OX=9606 GN=ANKRD20A3 PE=4 SV=1
+MKLFGFGSRRGQTAQGSIDHVYTGSGYRIRDSELQKIHRAAVKGDAAEVERCLARRSGDLDALDKQHRTALHLACASGHVQVVTLLVNRKCQIDVCDKENRTPLIQAVHCQEEACAVILLEHGANPNLKDIYGNTALHYAVYSESTSLAEKLLSHGAHIEALDKDNNTPLLFAIICKKEKMVEFLLKRKASSHAVDRLRRSALMLAVYYDSPGIVNILLKQNIDVFAQDMCGRDAEDYAISHHLTKIQQQILEHKKKILKKEKSDVGSSDESAVSIFHELRVDSLPASDDKDLNVATKQCVPEKVSEPLPGSSHEKGNRIVNGQGEGPPAKHPSLKPSTEVEDPAVKGAVQRKNVQTLRAEQALPVASEEEQERHERSEKKQPQVKEGNNTNKSEKIQLSENICDSTSSAAAGRLTQQRKIGKTYPQQFPKKLKEEHDRCTLKQENEEKTNVNMLYKKNREELERKEKQYKKEVEAKQLEPTVQSLEMKSKTARNTPNRDFHNHEEMKGLMDENCILKADIAILRQEICTMKNDNLEKENKYLKDIKIVKETNAALEKYIKLNEEMITETAFRYQQELNYLKAENTRLNAELLKEKESKKRLEADIESYQSRLAAAISKHSESVKTERNLKLALERTRDVSVQVEMSSAISKVKDENEFLTEQLSETQIKFNALKDKFRKTRDSLRKKSLALETVQNDLSQTQQQTQEMKEMYQNAEAKVNNSTGKWNCVEERICHLQRENAWLVQQLDDVHQKEDHKEIVTNIQRGFIESGKKDLVLEEKSKKLMNECDHLKESLFQYEREKTEGVVSIKEDKYFQTSRKTI
+>DECOY_sp|Q5VUR7|A20A3_HUMAN Ankyrin repeat domain-containing protein 20A3 OS=Homo sapiens OX=9606 GN=ANKRD20A3 PE=4 SV=1
+ITKRSTQFYKDEKISVVGETKEREYQFLSEKLHDCENMLKKSKEELVLDKKGSEIFGRQINTVIEKHDEKQHVDDLQQVLWANERQLHCIREEVCNWKGTSNNVKAEANQYMEKMEQTQQQTQSLDNQVTELALSKKRLSDRTKRFKDKLANFKIQTESLQETLFENEDKVKSIASSMEVQVSVDRTRELALKLNRETKVSESHKSIAAALRSQYSEIDAELRKKSEKEKLLEANLRTNEAKLYNLEQQYRFATETIMEENLKIYKELAANTEKVIKIDKLYKNEKELNDNKMTCIEQRLIAIDAKLICNEDMLGKMEEHNHFDRNPTNRATKSKMELSQVTPELQKAEVEKKYQKEKRELEERNKKYLMNVNTKEENEQKLTCRDHEEKLKKPFQQPYTKGIKRQQTLRGAAASSTSDCINESLQIKESKNTNNGEKVQPQKKESREHREQEEESAVPLAQEARLTQVNKRQVAGKVAPDEVETSPKLSPHKAPPGEGQGNVIRNGKEHSSGPLPESVKEPVCQKTAVNLDKDDSAPLSDVRLEHFISVASEDSSGVDSKEKKLIKKKHELIQQQIKTLHHSIAYDEADRGCMDQAFVDINQKLLINVIGPSDYYVALMLASRRLRDVAHSSAKRKLLFEVMKEKKCIIAFLLPTNNDKDLAEIHAGHSLLKEALSTSESYVAYHLATNGYIDKLNPNAGHELLIVACAEEQCHVAQILPTRNEKDCVDIQCKRNVLLTVVQVHGSACALHLATRHQKDLADLDGSRRALCREVEAADGKVAARHIKQLESDRIRYGSGTYVHDISGQATQGRRSGFGFLKM
+>sp|A7E2S9|A30BL_HUMAN Putative ankyrin repeat domain-containing protein 30B-like OS=Homo sapiens OX=9606 GN=ANKRD30BL PE=2 SV=3
+MERLSAAPVKGQTGPERPSPFSQLVYTNNDSYVIHHGDLRKIHKAASRGQAWKLERMMKKTTMDLNIRDAKKRTALYWACANGHAEVVTLLVDRKCQLDVLDGENRTILMKALQCQREACANILIDSGADPNIVDVYGNTAVHYAVNSENLSVVAKLLSCGADIEVKNKAGHTPLLLAIRKRSEEIVEFLLTKNANANAVDKFKCVHQQLLEYKQKISKNSQNSNPEGTSEGTPDEAAPLAERTPDTAESLVERTPDE
+>DECOY_sp|A7E2S9|A30BL_HUMAN Putative ankyrin repeat domain-containing protein 30B-like OS=Homo sapiens OX=9606 GN=ANKRD30BL PE=2 SV=3
+EDPTREVLSEATDPTREALPAAEDPTGESTGEPNSNQSNKSIKQKYELLQQHVCKFKDVANANANKTLLFEVIEESRKRIALLLPTHGAKNKVEIDAGCSLLKAVVSLNESNVAYHVATNGYVDVINPDAGSDILINACAERQCQLAKMLITRNEGDLVDLQCKRDVLLTVVEAHGNACAWYLATRKKADRINLDMTTKKMMRELKWAQGRSAAKHIKRLDGHHIVYSDNNTYVLQSFPSPREPGTQGKVPAASLREM
+>sp|Q5T5F5|A4AS1_HUMAN Uncharacterized protein ADAMTSL4-AS1 OS=Homo sapiens OX=9606 GN=ADAMTSL4-AS1 PE=2 SV=1
+MWLWQDIQCCPAPPSAPPRALEPGRAPPPPGEGLGAGIPSLSPPQKKPQSVGICVRQKGRQKAGLEKGNRKKELRQANCPSLRPQRKGADTRRLPRETRPTKKRTAAAQPFLQLWNPAPHTSNGRTGDL
+>DECOY_sp|Q5T5F5|A4AS1_HUMAN Uncharacterized protein ADAMTSL4-AS1 OS=Homo sapiens OX=9606 GN=ADAMTSL4-AS1 PE=2 SV=1
+LDGTRGNSTHPAPNWLQLFPQAAATRKKTPRTERPLRRTDAGKRQPRLSPCNAQRLEKKRNGKELGAKQRGKQRVCIGVSQPKKQPPSLSPIGAGLGEGPPPPARGPELARPPASPPAPCCQIDQWLWM
+>sp|Q9UNA3|A4GCT_HUMAN Alpha-1,4-N-acetylglucosaminyltransferase OS=Homo sapiens OX=9606 GN=A4GNT PE=1 SV=1
+MRKELQLSLSVTLLLVCGFLYQFTLKSSCLFCLPSFKSHQGLEALLSHRRGIVFLETSERMEPPHLVSCSVESAAKIYPEWPVVFFMKGLTDSTPMPSNSTYPAFSFLSAIDNVFLFPLDMKRLLEDTPLFSWYNQINASAERNWLHISSDASRLAIIWKYGGIYMDTDVISIRPIPEENFLAAQASRYSSNGIFGFLPHHPFLWECMENFVEHYNSAIWGNQGPELMTRMLRVWCKLEDFQEVSDLRCLNISFLHPQRFYPISYREWRRYYEVWDTEPSFNVSYALHLWNHMNQEGRAVIRGSNTLVENLYRKHCPRTYRDLIKGPEGSVTGELGPGNK
+>DECOY_sp|Q9UNA3|A4GCT_HUMAN Alpha-1,4-N-acetylglucosaminyltransferase OS=Homo sapiens OX=9606 GN=A4GNT PE=1 SV=1
+KNGPGLEGTVSGEPGKILDRYTRPCHKRYLNEVLTNSGRIVARGEQNMHNWLHLAYSVNFSPETDWVEYYRRWERYSIPYFRQPHLFSINLCRLDSVEQFDELKCWVRLMRTMLEPGQNGWIASNYHEVFNEMCEWLFPHHPLFGFIGNSSYRSAQAALFNEEPIPRISIVDTDMYIGGYKWIIALRSADSSIHLWNREASANIQNYWSFLPTDELLRKMDLPFLFVNDIASLFSFAPYTSNSPMPTSDTLGKMFFVVPWEPYIKAASEVSCSVLHPPEMRESTELFVIGRRHSLLAELGQHSKFSPLCFLCSSKLTFQYLFGCVLLLTVSLSLQLEKRM
+>sp|P05067|A4_HUMAN Amyloid-beta A4 protein OS=Homo sapiens OX=9606 GN=APP PE=1 SV=3
+MLPGLALLLLAAWTARALEVPTDGNAGLLAEPQIAMFCGRLNMHMNVQNGKWDSDPSGTKTCIDTKEGILQYCQEVYPELQITNVVEANQPVTIQNWCKRGRKQCKTHPHFVIPYRCLVGEFVSDALLVPDKCKFLHQERMDVCETHLHWHTVAKETCSEKSTNLHDYGMLLPCGIDKFRGVEFVCCPLAEESDNVDSADAEEDDSDVWWGGADTDYADGSEDKVVEVAEEEEVAEVEEEEADDDEDDEDGDEVEEEAEEPYEEATERTTSIATTTTTTTESVEEVVREVCSEQAETGPCRAMISRWYFDVTEGKCAPFFYGGCGGNRNNFDTEEYCMAVCGSAMSQSLLKTTQEPLARDPVKLPTTAASTPDAVDKYLETPGDENEHAHFQKAKERLEAKHRERMSQVMREWEEAERQAKNLPKADKKAVIQHFQEKVESLEQEAANERQQLVETHMARVEAMLNDRRRLALENYITALQAVPPRPRHVFNMLKKYVRAEQKDRQHTLKHFEHVRMVDPKKAAQIRSQVMTHLRVIYERMNQSLSLLYNVPAVAEEIQDEVDELLQKEQNYSDDVLANMISEPRISYGNDALMPSLTETKTTVELLPVNGEFSLDDLQPWHSFGADSVPANTENEVEPVDARPAADRGLTTRPGSGLTNIKTEEISEVKMDAEFRHDSGYEVHHQKLVFFAEDVGSNKGAIIGLMVGGVVIATVIVITLVMLKKKQYTSIHHGVVEVDAAVTPEERHLSKMQQNGYENPTYKFFEQMQN
+>DECOY_sp|P05067|A4_HUMAN Amyloid-beta A4 protein OS=Homo sapiens OX=9606 GN=APP PE=1 SV=3
+NQMQEFFKYTPNEYGNQQMKSLHREEPTVAADVEVVGHHISTYQKKKLMVLTIVIVTAIVVGGVMLGIIAGKNSGVDEAFFVLKQHHVEYGSDHRFEADMKVESIEETKINTLGSGPRTTLGRDAAPRADVPEVENETNAPVSDAGFSHWPQLDDLSFEGNVPLLEVTTKTETLSPMLADNGYSIRPESIMNALVDDSYNQEKQLLEDVEDQIEEAVAPVNYLLSLSQNMREYIVRLHTMVQSRIQAAKKPDVMRVHEFHKLTHQRDKQEARVYKKLMNFVHRPRPPVAQLATIYNELALRRRDNLMAEVRAMHTEVLQQRENAAEQELSEVKEQFHQIVAKKDAKPLNKAQREAEEWERMVQSMRERHKAELREKAKQFHAHENEDGPTELYKDVADPTSAATTPLKVPDRALPEQTTKLLSQSMASGCVAMCYEETDFNNRNGGCGGYFFPACKGETVDFYWRSIMARCPGTEAQESCVERVVEEVSETTTTTTTAISTTRETAEEYPEEAEEEVEDGDEDDEDDDAEEEEVEAVEEEEAVEVVKDESGDAYDTDAGGWWVDSDDEEADASDVNDSEEALPCCVFEVGRFKDIGCPLLMGYDHLNTSKESCTEKAVTHWHLHTECVDMREQHLFKCKDPVLLADSVFEGVLCRYPIVFHPHTKCQKRGRKCWNQITVPQNAEVVNTIQLEPYVEQCYQLIGEKTDICTKTGSPDSDWKGNQVNMHMNLRGCFMAIQPEALLGANGDTPVELARATWAALLLLALGPLM
+>sp|Q9NRG9|AAAS_HUMAN Aladin OS=Homo sapiens OX=9606 GN=AAAS PE=1 SV=1
+MCSLGLFPPPPPRGQVTLYEHNNELVTGSSYESPPPDFRGQWINLPVLQLTKDPLKTPGRLDHGTRTAFIHHREQVWKRCINIWRDVGLFGVLNEIANSEEEVFEWVKTASGWALALCRWASSLHGSLFPHLSLRSEDLIAEFAQVTNWSSCCLRVFAWHPHTNKFAVALLDDSVRVYNASSTIVPSLKHRLQRNVASLAWKPLSASVLAVACQSCILIWTLDPTSLSTRPSSGCAQVLSHPGHTPVTSLAWAPSGGRLLSASPVDAAIRVWDVSTETCVPLPWFRGGGVTNLLWSPDGSKILATTPSAVFRVWEAQMWTCERWPTLSGRCQTGCWSPDGSRLLFTVLGEPLIYSLSFPERCGEGKGCVGGAKSATIVADLSETTIQTPDGEERLGGEAHSMVWDPSGERLAVLMKGKPRVQDGKPVILLFRTRNSPVFELLPCGIIQGEPGAQPQLITFHPSFNKGALLSVGWSTGRIAHIPLYFVNAQFPRFSPVLGRAQEPPAGGGGSIHDLPLFTETSPTSAPWDPLPGPPPVLPHSPHSHL
+>DECOY_sp|Q9NRG9|AAAS_HUMAN Aladin OS=Homo sapiens OX=9606 GN=AAAS PE=1 SV=1
+LHSHPSHPLVPPPGPLPDWPASTPSTETFLPLDHISGGGGAPPEQARGLVPSFRPFQANVFYLPIHAIRGTSWGVSLLAGKNFSPHFTILQPQAGPEGQIIGCPLLEFVPSNRTRFLLIVPKGDQVRPKGKMLVALREGSPDWVMSHAEGGLREEGDPTQITTESLDAVITASKAGGVCGKGEGCREPFSLSYILPEGLVTFLLRSGDPSWCGTQCRGSLTPWRECTWMQAEWVRFVASPTTALIKSGDPSWLLNTVGGGRFWPLPVCTETSVDWVRIAADVPSASLLRGGSPAWALSTVPTHGPHSLVQACGSSPRTSLSTPDLTWILICSQCAVALVSASLPKWALSAVNRQLRHKLSPVITSSANYVRVSDDLLAVAFKNTHPHWAFVRLCCSSWNTVQAFEAILDESRLSLHPFLSGHLSSAWRCLALAWGSATKVWEFVEEESNAIENLVGFLGVDRWINICRKWVQERHHIFATRTGHDLRGPTKLPDKTLQLVPLNIWQGRFDPPPSEYSSGTVLENNHEYLTVQGRPPPPPFLGLSCM
+>sp|P54619|AAKG1_HUMAN 5'-AMP-activated protein kinase subunit gamma-1 OS=Homo sapiens OX=9606 GN=PRKAG1 PE=1 SV=1
+METVISSDSSPAVENEHPQETPESNNSVYTSFMKSHRCYDLIPTSSKLVVFDTSLQVKKAFFALVTNGVRAAPLWDSKKQSFVGMLTITDFINILHRYYKSALVQIYELEEHKIETWREVYLQDSFKPLVCISPNASLFDAVSSLIRNKIHRLPVIDPESGNTLYILTHKRILKFLKLFITEFPKPEFMSKSLEELQIGTYANIAMVRTTTPVYVALGIFVQHRVSALPVVDEKGRVVDIYSKFDVINLAAEKTYNNLDVSVTKALQHRSHYFEGVLKCYLHETLETIINRLVEAEVHRLVVVDENDVVKGIVSLSDILQALVLTGGEKKP
+>DECOY_sp|P54619|AAKG1_HUMAN 5'-AMP-activated protein kinase subunit gamma-1 OS=Homo sapiens OX=9606 GN=PRKAG1 PE=1 SV=1
+PKKEGGTLVLAQLIDSLSVIGKVVDNEDVVVLRHVEAEVLRNIITELTEHLYCKLVGEFYHSRHQLAKTVSVDLNNYTKEAALNIVDFKSYIDVVRGKEDVVPLASVRHQVFIGLAVYVPTTTRVMAINAYTGIQLEELSKSMFEPKPFETIFLKLFKLIRKHTLIYLTNGSEPDIVPLRHIKNRILSSVADFLSANPSICVLPKFSDQLYVERWTEIKHEELEYIQVLASKYYRHLINIFDTITLMGVFSQKKSDWLPAARVGNTVLAFFAKKVQLSTDFVVLKSSTPILDYCRHSKMFSTYVSNNSEPTEQPHENEVAPSSDSSIVTEM
+>sp|Q9UGI9|AAKG3_HUMAN 5'-AMP-activated protein kinase subunit gamma-3 OS=Homo sapiens OX=9606 GN=PRKAG3 PE=1 SV=3
+MEPGLEHALRRTPSWSSLGGSEHQEMSFLEQENSSSWPSPAVTSSSERIRGKRRAKALRWTRQKSVEEGEPPGQGEGPRSRPAAESTGLEATFPKTTPLAQADPAGVGTPPTGWDCLPSDCTASAAGSSTDDVELATEFPATEAWECELEGLLEERPALCLSPQAPFPKLGWDDELRKPGAQIYMRFMQEHTCYDAMATSSKLVIFDTMLEIKKAFFALVANGVRAAPLWDSKKQSFVGMLTITDFILVLHRYYRSPLVQIYEIEQHKIETWREIYLQGCFKPLVSISPNDSLFEAVYTLIKNRIHRLPVLDPVSGNVLHILTHKRLLKFLHIFGSLLPRPSFLYRTIQDLGIGTFRDLAVVLETAPILTALDIFVDRRVSALPVVNECGQVVGLYSRFDVIHLAAQQTYNHLDMSVGEALRQRTLCLEGVLSCQPHESLGEVIDRIAREQVHRLVLVDETQHLLGVVSLSDILQALVLSPAGIDALGA
+>DECOY_sp|Q9UGI9|AAKG3_HUMAN 5'-AMP-activated protein kinase subunit gamma-3 OS=Homo sapiens OX=9606 GN=PRKAG3 PE=1 SV=3
+AGLADIGAPSLVLAQLIDSLSVVGLLHQTEDVLVLRHVQERAIRDIVEGLSEHPQCSLVGELCLTRQRLAEGVSMDLHNYTQQAALHIVDFRSYLGVVQGCENVVPLASVRRDVFIDLATLIPATELVVALDRFTGIGLDQITRYLFSPRPLLSGFIHLFKLLRKHTLIHLVNGSVPDLVPLRHIRNKILTYVAEFLSDNPSISVLPKFCGQLYIERWTEIKHQEIEYIQVLPSRYYRHLVLIFDTITLMGVFSQKKSDWLPAARVGNAVLAFFAKKIELMTDFIVLKSSTAMADYCTHEQMFRMYIQAGPKRLEDDWGLKPFPAQPSLCLAPREELLGELECEWAETAPFETALEVDDTSSGAASATCDSPLCDWGTPPTGVGAPDAQALPTTKPFTAELGTSEAAPRSRPGEGQGPPEGEEVSKQRTWRLAKARRKGRIRESSSTVAPSPWSSSNEQELFSMEQHESGGLSSWSPTRRLAHELGPEM
+>sp|Q6PCB6|AB17C_HUMAN Alpha/beta hydrolase domain-containing protein 17C OS=Homo sapiens OX=9606 GN=ABHD17C PE=1 SV=2
+MPEPGPRMNGFSLGELCWLFCCPPCPSRIAAKLAFLPPEPTYTVLAPEQRGAGASAPAPAQATAAAAAAQPAPQQPEEGAGAGPGACSLHLSERADWQYSQRELDAVEVFFSRTARDNRLGCMFVRCAPSSRYTLLFSHGNAVDLGQMCSFYIGLGSRINCNIFSYDYSGYGVSSGKPSEKNLYADIDAAWQALRTRYGVSPENIILYGQSIGTVPTVDLASRYECAAVILHSPLMSGLRVAFPDTRKTYCFDAFPSIDKISKVTSPVLVIHGTEDEVIDFSHGLAMYERCPRAVEPLWVEGAGHNDIELYAQYLERLKQFISHELPNS
+>DECOY_sp|Q6PCB6|AB17C_HUMAN Alpha/beta hydrolase domain-containing protein 17C OS=Homo sapiens OX=9606 GN=ABHD17C PE=1 SV=2
+SNPLEHSIFQKLRELYQAYLEIDNHGAGEVWLPEVARPCREYMALGHSFDIVEDETGHIVLVPSTVKSIKDISPFADFCYTKRTDPFAVRLGSMLPSHLIVAACEYRSALDVTPVTGISQGYLIINEPSVGYRTRLAQWAADIDAYLNKESPKGSSVGYGSYDYSFINCNIRSGLGIYFSCMQGLDVANGHSFLLTYRSSPACRVFMCGLRNDRATRSFFVEVADLERQSYQWDARESLHLSCAGPGAGAGEEPQQPAPQAAAAAATAQAPAPASAGAGRQEPALVTYTPEPPLFALKAAIRSPCPPCCFLWCLEGLSFGNMRPGPEPM
+>sp|Q7Z5R6|AB1IP_HUMAN Amyloid beta A4 precursor protein-binding family B member 1-interacting protein OS=Homo sapiens OX=9606 GN=APBB1IP PE=1 SV=1
+MGESSEDIDQMFSTLLGEMDLLTQSLGVDTLPPPDPNPPRAEFNYSVGFKDLNESLNALEDQDLDALMADLVADISEAEQRTIQAQKESLQNQHHSASLQASIFSGAASLGYGTNVAATGISQYEDDLPPPPADPVLDLPLPPPPPEPLSQEEEEAQAKADKIKLALEKLKEAKVKKLVVKVHMNDNSTKSLMVDERQLARDVLDNLFEKTHCDCNVDWCLYEIYPELQIERFFEDHENVVEVLSDWTRDTENKILFLEKEEKYAVFKNPQNFYLDNRGKKESKETNEKMNAKNKESLLEESFCGTSIIVPELEGALYLKEDGKKSWKRRYFLLRASGIYYVPKGKTKTSRDLACFIQFENVNIYYGTQHKMKYKAPTDYCFVLKHPQIQKESQYIKYLCCDDTRTLNQWVMGIRIAKYGKTLYDNYQRAVAKAGLASRWTNLGTVNAAAPAQPSTGPKTGTTQPNGQIPQATHSVSAVLQEAQRHAETSKDKKPALGNHHDPAVPRAPHAPKSSLPPPPPVRRSSDTSGSPATPLKAKGTGGGGLPAPPDDFLPPPPPPPPLDDPELPPPPPDFMEPPPDFVPPPPPSYAGIAGSELPPPPPPPPAPAPAPVPDSARPPPAVAKRPPVPPKRQENPGHPGGAGGGEQDFMSDLMKALQKKRGNVS
+>DECOY_sp|Q7Z5R6|AB1IP_HUMAN Amyloid beta A4 precursor protein-binding family B member 1-interacting protein OS=Homo sapiens OX=9606 GN=APBB1IP PE=1 SV=1
+SVNGRKKQLAKMLDSMFDQEGGGAGGPHGPNEQRKPPVPPRKAVAPPPRASDPVPAPAPAPPPPPPPPLESGAIGAYSPPPPPVFDPPPEMFDPPPPPLEPDDLPPPPPPPPLFDDPPAPLGGGGTGKAKLPTAPSGSTDSSRRVPPPPPLSSKPAHPARPVAPDHHNGLAPKKDKSTEAHRQAEQLVASVSHTAQPIQGNPQTTGTKPGTSPQAPAAANVTGLNTWRSALGAKAVARQYNDYLTKGYKAIRIGMVWQNLTRTDDCCLYKIYQSEKQIQPHKLVFCYDTPAKYKMKHQTGYYINVNEFQIFCALDRSTKTKGKPVYYIGSARLLFYRRKWSKKGDEKLYLAGELEPVIISTGCFSEELLSEKNKANMKENTEKSEKKGRNDLYFNQPNKFVAYKEEKELFLIKNETDRTWDSLVEVVNEHDEFFREIQLEPYIEYLCWDVNCDCHTKEFLNDLVDRALQREDVMLSKTSNDNMHVKVVLKKVKAEKLKELALKIKDAKAQAEEEEQSLPEPPPPPLPLDLVPDAPPPPLDDEYQSIGTAAVNTGYGLSAAGSFISAQLSASHHQNQLSEKQAQITRQEAESIDAVLDAMLADLDQDELANLSENLDKFGVSYNFEARPPNPDPPPLTDVGLSQTLLDMEGLLTSFMQDIDESSEGM
+>sp|P28288|ABCD3_HUMAN ATP-binding cassette sub-family D member 3 OS=Homo sapiens OX=9606 GN=ABCD3 PE=1 SV=1
+MAAFSKYLTARNSSLAGAAFLLLCLLHKRRRALGLHGKKSGKPPLQNNEKEGKKERAVVDKVFFSRLIQILKIMVPRTFCKETGYLVLIAVMLVSRTYCDVWMIQNGTLIESGIIGRSRKDFKRYLLNFIAAMPLISLVNNFLKYGLNELKLCFRVRLTKYLYEEYLQAFTYYKMGNLDNRIANPDQLLTQDVEKFCNSVVDLYSNLSKPFLDIVLYIFKLTSAIGAQGPASMMAYLVVSGLFLTRLRRPIGKMTITEQKYEGEYRYVNSRLITNSEEIAFYNGNKREKQTVHSVFRKLVEHLHNFILFRFSMGFIDSIIAKYLATVVGYLVVSRPFLDLSHPRHLKSTHSELLEDYYQSGRMLLRMSQALGRIVLAGREMTRLAGFTARITELMQVLKDLNHGKYERTMVSQQEKGIEGVQVIPLIPGAGEIIIADNIIKFDHVPLATPNGDVLIRDLNFEVRSGANVLICGPNGCGKSSLFRVLGELWPLFGGRLTKPERGKLFYVPQRPYMTLGTLRDQVIYPDGREDQKRKGISDLVLKEYLDNVQLGHILEREGGWDSVQDWMDVLSGGEKQRMAMARLFYHKPQFAILDECTSAVSVDVEGYIYSHCRKVGITLFTVSHRKSLWKHHEYYLHMDGRGNYEFKQITEDTVEFGS
+>DECOY_sp|P28288|ABCD3_HUMAN ATP-binding cassette sub-family D member 3 OS=Homo sapiens OX=9606 GN=ABCD3 PE=1 SV=1
+SGFEVTDETIQKFEYNGRGDMHLYYEHHKWLSKRHSVTFLTIGVKRCHSYIYGEVDVSVASTCEDLIAFQPKHYFLRAMAMRQKEGGSLVDMWDQVSDWGGERELIHGLQVNDLYEKLVLDSIGKRKQDERGDPYIVQDRLTGLTMYPRQPVYFLKGREPKTLRGGFLPWLEGLVRFLSSKGCGNPGCILVNAGSRVEFNLDRILVDGNPTALPVHDFKIINDAIIIEGAGPILPIVQVGEIGKEQQSVMTREYKGHNLDKLVQMLETIRATFGALRTMERGALVIRGLAQSMRLLMRGSQYYDELLESHTSKLHRPHSLDLFPRSVVLYGVVTALYKAIISDIFGMSFRFLIFNHLHEVLKRFVSHVTQKERKNGNYFAIEESNTILRSNVYRYEGEYKQETITMKGIPRRLRTLFLGSVVLYAMMSAPGQAGIASTLKFIYLVIDLFPKSLNSYLDVVSNCFKEVDQTLLQDPNAIRNDLNGMKYYTFAQLYEEYLYKTLRVRFCLKLENLGYKLFNNVLSILPMAAIFNLLYRKFDKRSRGIIGSEILTGNQIMWVDCYTRSVLMVAILVLYGTEKCFTRPVMIKLIQILRSFFVKDVVAREKKGEKENNQLPPKGSKKGHLGLARRRKHLLCLLLFAAGALSSNRATLYKSFAAM
+>sp|Q9NUQ8|ABCF3_HUMAN ATP-binding cassette sub-family F member 3 OS=Homo sapiens OX=9606 GN=ABCF3 PE=1 SV=2
+MATCAEILRSEFPEIDGQVFDYVTGVLHSGSADFESVDDLVEAVGELLQEVSGDSKDDAGIRAVCQRMYNTLRLAEPQSQGNSQVLLDAPIQLSKITENYDCGTKLPGLLKREQSSTVNAKKLEKAEARLKAKQEKRSEKDTLKTSNPLVLEEASASQAGSRKESRLESSGKNKSYDVRIENFDVSFGDRVLLAGADVNLAWGRRYGLVGRNGLGKTTLLKMLATRSLRVPAHISLLHVEQEVAGDDTPALQSVLESDSVREDLLRRERELTAQIAAGRAEGSEAAELAEIYAKLEEIEADKAPARASVILAGLGFTPKMQQQPTREFSGGWRMRLALARALFARPDLLLLDEPTNMLDVRAILWLENYLQTWPSTILVVSHDRNFLNAIATDIIHLHSQRLDGYRGDFETFIKSKQERLLNQQREYEAQQQYRQHIQVFIDRFRYNANRASQVQSKLKMLEKLPELKPVDKESEVVMKFPDGFEKFSPPILQLDEVDFYYDPKHVIFSRLSVSADLESRICVVGENGAGKSTMLKLLLGDLAPVRGIRHAHRNLKIGYFSQHHVEQLDLNVSAVELLARKFPGRPEEEYRHQLGRYGISGELAMRPLASLSGGQKSRVAFAQMTMPCPNFYILDEPTNHLDMETIEALGRALNNFRGGVILVSHDERFIRLVCRELWVCEGGGVTRVEGGFDQYRALLQEQFRREGFL
+>DECOY_sp|Q9NUQ8|ABCF3_HUMAN ATP-binding cassette sub-family F member 3 OS=Homo sapiens OX=9606 GN=ABCF3 PE=1 SV=2
+LFGERRFQEQLLARYQDFGGEVRTVGGGECVWLERCVLRIFREDHSVLIVGGRFNNLARGLAEITEMDLHNTPEDLIYFNPCPMTMQAFAVRSKQGGSLSALPRMALEGSIGYRGLQHRYEEEPRGPFKRALLEVASVNLDLQEVHHQSFYGIKLNRHAHRIGRVPALDGLLLKLMTSKGAGNEGVVCIRSELDASVSLRSFIVHKPDYYFDVEDLQLIPPSFKEFGDPFKMVVESEKDVPKLEPLKELMKLKSQVQSARNANYRFRDIFVQIHQRYQQQAEYERQQNLLREQKSKIFTEFDGRYGDLRQSHLHIIDTAIANLFNRDHSVVLITSPWTQLYNELWLIARVDLMNTPEDLLLLDPRAFLARALALRMRWGGSFERTPQQQMKPTFGLGALIVSARAPAKDAEIEELKAYIEALEAAESGEARGAAIQATLERERRLLDERVSDSELVSQLAPTDDGAVEQEVHLLSIHAPVRLSRTALMKLLTTKGLGNRGVLGYRRGWALNVDAGALLVRDGFSVDFNEIRVDYSKNKGSSELRSEKRSGAQSASAEELVLPNSTKLTDKESRKEQKAKLRAEAKELKKANVTSSQERKLLGPLKTGCDYNETIKSLQIPADLLVQSNGQSQPEALRLTNYMRQCVARIGADDKSDGSVEQLLEGVAEVLDDVSEFDASGSHLVGTVYDFVQGDIEPFESRLIEACTAM
+>sp|Q9H172|ABCG4_HUMAN ATP-binding cassette sub-family G member 4 OS=Homo sapiens OX=9606 GN=ABCG4 PE=1 SV=2
+MAEKALEAVGCGLGPGAVAMAVTLEDGAEPPVLTTHLKKVENHITEAQRFSHLPKRSAVDIEFVELSYSVREGPCWRKRGYKTLLKCLSGKFCRRELIGIMGPSGAGKSTFMNILAGYRESGMKGQILVNGRPRELRTFRKMSCYIMQDDMLLPHLTVLEAMMVSANLKLSEKQEVKKELVTEILTALGLMSCSHTRTALLSGGQRKRLAIALELVNNPPVMFFDEPTSGLDSASCFQVVSLMKSLAQGGRTIICTIHQPSAKLFEMFDKLYILSQGQCIFKGVVTNLIPYLKGLGLHCPTYHNPADFIIEVASGEYGDLNPMLFRAVQNGLCAMAEKKSSPEKNEVPAPCPPCPPEVDPIESHTFATSTLTQFCILFKRTFLSILRDTVLTHLRFMSHVVIGVLIGLLYLHIGDDASKVFNNTGCLFFSMLFLMFAALMPTVLTFPLEMAVFMREHLNYWYSLKAYYLAKTMADVPFQVVCPVVYCSIVYWMTGQPAETSRFLLFSALATATALVAQSLGLLIGAASNSLQVATFVGPVTAIPVLLFSGFFVSFKTIPTYLQWSSYLSYVRYGFEGVILTIYGMERGDLTCLEERCPFREPQSILRALDVEDAKLYMDFLVLGIFFLALRLLAYLVLRYRVKSER
+>DECOY_sp|Q9H172|ABCG4_HUMAN ATP-binding cassette sub-family G member 4 OS=Homo sapiens OX=9606 GN=ABCG4 PE=1 SV=2
+RESKVRYRLVLYALLRLALFFIGLVLFDMYLKADEVDLARLISQPERFPCREELCTLDGREMGYITLIVGEFGYRVYSLYSSWQLYTPITKFSVFFGSFLLVPIATVPGVFTAVQLSNSAAGILLGLSQAVLATATALASFLLFRSTEAPQGTMWYVISCYVVPCVVQFPVDAMTKALYYAKLSYWYNLHERMFVAMELPFTLVTPMLAAFMLFLMSFFLCGTNNFVKSADDGIHLYLLGILVGIVVHSMFRLHTLVTDRLISLFTRKFLICFQTLTSTAFTHSEIPDVEPPCPPCPAPVENKEPSSKKEAMACLGNQVARFLMPNLDGYEGSAVEIIFDAPNHYTPCHLGLGKLYPILNTVVGKFICQGQSLIYLKDFMEFLKASPQHITCIITRGGQALSKMLSVVQFCSASDLGSTPEDFFMVPPNNVLELAIALRKRQGGSLLATRTHSCSMLGLATLIETVLEKKVEQKESLKLNASVMMAELVTLHPLLMDDQMIYCSMKRFTRLERPRGNVLIQGKMGSERYGALINMFTSKGAGSPGMIGILERRCFKGSLCKLLTKYGRKRWCPGERVSYSLEVFEIDVASRKPLHSFRQAETIHNEVKKLHTTLVPPEAGDELTVAMAVAGPGLGCGVAELAKEAM
+>sp|Q9H222|ABCG5_HUMAN ATP-binding cassette sub-family G member 5 OS=Homo sapiens OX=9606 GN=ABCG5 PE=1 SV=1
+MGDLSSLTPGGSMGLQVNRGSQSSLEGAPATAPEPHSLGILHASYSVSHRVRPWWDITSCRQQWTRQILKDVSLYVESGQIMCILGSSGSGKTTLLDAMSGRLGRAGTFLGEVYVNGRALRREQFQDCFSYVLQSDTLLSSLTVRETLHYTALLAIRRGNPGSFQKKVEAVMAELSLSHVADRLIGNYSLGGISTGERRRVSIAAQLLQDPKVMLFDEPTTGLDCMTANQIVVLLVELARRNRIVVLTIHQPRSELFQLFDKIAILSFGELIFCGTPAEMLDFFNDCGYPCPEHSNPFDFYMDLTSVDTQSKEREIETSKRVQMIESAYKKSAICHKTLKNIERMKHLKTLPMVPFKTKDSPGVFSKLGVLLRRVTRNLVRNKLAVITRLLQNLIMGLFLLFFVLRVRSNVLKGAIQDRVGLLYQFVGATPYTGMLNAVNLFPVLRAVSDQESQDGLYQKWQMMLAYALHVLPFSVVATMIFSSVCYWTLGLHPEVARFGYFSAALLAPHLIGEFLTLVLLGIVQNPNIVNSVVALLSIAGVLVGSGFLRNIQEMPIPFKIISYFTFQKYCSEILVVNEFYGLNFTCGSSNVSVTTNPMCAFTQGIQFIEKTCPGATSRFTMNFLILYSFIPALVILGIVVFKIRDHLISR
+>DECOY_sp|Q9H222|ABCG5_HUMAN ATP-binding cassette sub-family G member 5 OS=Homo sapiens OX=9606 GN=ABCG5 PE=1 SV=1
+RSILHDRIKFVVIGLIVLAPIFSYLILFNMTFRSTAGPCTKEIFQIGQTFACMPNTTVSVNSSGCTFNLGYFENVVLIESCYKQFTFYSIIKFPIPMEQINRLFGSGVLVGAISLLAVVSNVINPNQVIGLLVLTLFEGILHPALLAASFYGFRAVEPHLGLTWYCVSSFIMTAVVSFPLVHLAYALMMQWKQYLGDQSEQDSVARLVPFLNVANLMGTYPTAGVFQYLLGVRDQIAGKLVNSRVRLVFFLLFLGMILNQLLRTIVALKNRVLNRTVRRLLVGLKSFVGPSDKTKFPVMPLTKLHKMREINKLTKHCIASKKYASEIMQVRKSTEIEREKSQTDVSTLDMYFDFPNSHEPCPYGCDNFFDLMEAPTGCFILEGFSLIAIKDFLQFLESRPQHITLVVIRNRRALEVLLVVIQNATMCDLGTTPEDFLMVKPDQLLQAAISVRRREGTSIGGLSYNGILRDAVHSLSLEAMVAEVKKQFSGPNGRRIALLATYHLTERVTLSSLLTDSQLVYSFCDQFQERRLARGNVYVEGLFTGARGLRGSMADLLTTKGSGSSGLICMIQGSEVYLSVDKLIQRTWQQRCSTIDWWPRVRHSVSYSAHLIGLSHPEPATAPAGELSSQSGRNVQLGMSGGPTLSSLDGM
+>sp|Q7Z713|ANR37_HUMAN Ankyrin repeat domain-containing protein 37 OS=Homo sapiens OX=9606 GN=ANKRD37 PE=2 SV=1
+MLLLDCNPEVDGLKHLLETGASVNAPPDPCKQSPVHLAAGSGLACFLLWQLQTGADLNQQDVLGEAPLHKAAKVGSLECLSLLVASDAQIDLCNKNGQTAEDLAWSCGFPDCAKFLTTIKCMQTIKASEHPDRNDCVAVLRQKRSLGSVENTSGKRKC
+>DECOY_sp|Q7Z713|ANR37_HUMAN Ankyrin repeat domain-containing protein 37 OS=Homo sapiens OX=9606 GN=ANKRD37 PE=2 SV=1
+CKRKGSTNEVSGLSRKQRLVAVCDNRDPHESAKITQMCKITTLFKACDPFGCSWALDEATQGNKNCLDIQADSAVLLSLCELSGVKAAKHLPAEGLVDQQNLDAGTQLQWLLFCALGSGAALHVPSQKCPDPPANVSAGTELLHKLGDVEPNCDLLLM
+>sp|A6NGH8|ANR61_HUMAN Ankyrin repeat domain-containing protein 61 OS=Homo sapiens OX=9606 GN=ANKRD61 PE=4 SV=2
+MGNITRKGSRDLVVDSAKSLEDGPSAALHSKLYEAIMREDCTTIEVLLRNHPVNQPITILPNSASNRLLLTQPTESIIPIHLAAKYHKAQSLLCLLRHGADPEVRDTTGLTTLNLMLLHWPVTSTTWAKPGNRTHRILTDIQNSSITCLRILCAHGAQVNTQGEISNKRSPLHLAIAYGCYPVLSILTQNGADVNAINEASMTPLHMAANMLNKEMMETLIAYGANVNCAVSSTGNTPLKLAVCTASSKAGRLLGAGVSCIRLLLTHGAKVNAQDYKGQTAIHEACFGGREAIINLLLEFEANVNILTRNGESPIYMYLQRSCNVRDTALLARLLYHTYPLRMTNNQGILPAGIMLPEFRLLRDTLIKQSQKPLSLQGICKRNIRNIYGEKYKQHLKQFLPVTIWNSVYCCYDLAYTS
+>DECOY_sp|A6NGH8|ANR61_HUMAN Ankyrin repeat domain-containing protein 61 OS=Homo sapiens OX=9606 GN=ANKRD61 PE=4 SV=2
+STYALDYCCYVSNWITVPLFQKLHQKYKEGYINRINRKCIGQLSLPKQSQKILTDRLLRFEPLMIGAPLIGQNNTMRLPYTHYLLRALLATDRVNCSRQLYMYIPSEGNRTLINVNAEFELLLNIIAERGGFCAEHIATQGKYDQANVKAGHTLLLRICSVGAGLLRGAKSSATCVALKLPTNGTSSVACNVNAGYAILTEMMEKNLMNAAMHLPTMSAENIANVDAGNQTLISLVPYCGYAIALHLPSRKNSIEGQTNVQAGHACLIRLCTISSNQIDTLIRHTRNGPKAWTTSTVPWHLLMLNLTTLGTTDRVEPDAGHRLLCLLSQAKHYKAALHIPIISETPQTLLLRNSASNPLITIPQNVPHNRLLVEITTCDERMIAEYLKSHLAASPGDELSKASDVVLDRSGKRTINGM
+>sp|A6NC57|ANR62_HUMAN Ankyrin repeat domain-containing protein 62 OS=Homo sapiens OX=9606 GN=ANKRD62 PE=2 SV=4
+MEVRGSFLAACRRRMATWRKNRDKDGFSNPGYRVRQKDLGMIHKAAIAGDVNKVMESILLRLNDLNDRDKKNRTALLLACAHGRPGVVADLVARKCQLNLTDSENRTALIKAVQCQEEVCASILLEHGANPNVRDMYGNTALHYAIDNENISMARKLLAYGADIEARSQDGHTSLLLAVNRKKEQMVAFLLKKKPDLTAIDNFGRTALILAARNGSTSVVYQLLQHNIDVFCQDISGWTAEDYAVASKFQAIRGMISEYKANKRCKSLQNSNSEQDLEMTSEGEQERLEGCESSQPQVEEKMKKCRNKKMEVSRNVHADDSDNYNDDVDELIHKIKNRKPDNHQSPGKENGEFDRLARKTSNEKSKVKSQIYFTDDLNDISGSSEKTSEDDELPYSDDENFMLLIEQSGMECKDFVSLSKSKNATAACGRSIEDQKCYCERLKVKFQKMKNNISVLQKVLSETDKTKSQSEHQNLQGKKKLCNLRFILQQQEEERIKAEELYEKDIEELKIMEEQYRTQTEVKKQSKLTLKSLEVELKTVRSNSNQNFHTHERERDLWQENHLMRDEIARLRLEIDTIKHQNQETENKYFKDIEIIKENNEDLEKTLKRNEEALTKTITRYSKELNVLMDENTMLNSELQKEKQSMSRLETEMESYRCRLAAALCDHDQRQSSKRDLQLAFQSTVNEWCHLQEDTNSHIQILSQQLSKAESTSSGLETELHYEREALKEKTLHIEHMQGVLSRTQRRLEDIEHMYQNDQPILEKYVRKQQSVEDGLFQLQSQNLLYQQQCNDARKKADNQEKTIINIQVKCEDTVEKLQAECRKLEENNKGLMKECTLLKERQCQYEKEKEEREVVRRQLQREVDDALNKQLLLEAMLEISSERRINLEDEAQSLKKKLGQMRSQVCMKLSMSTVTL
+>DECOY_sp|A6NC57|ANR62_HUMAN Ankyrin repeat domain-containing protein 62 OS=Homo sapiens OX=9606 GN=ANKRD62 PE=2 SV=4
+LTVTSMSLKMCVQSRMQGLKKKLSQAEDELNIRRESSIELMAELLLQKNLADDVERQLQRRVVEREEKEKEYQCQREKLLTCEKMLGKNNEELKRCEAQLKEVTDECKVQINIITKEQNDAKKRADNCQQQYLLNQSQLQFLGDEVSQQKRVYKELIPQDNQYMHEIDELRRQTRSLVGQMHEIHLTKEKLAEREYHLETELGSSTSEAKSLQQSLIQIHSNTDEQLHCWENVTSQFALQLDRKSSQRQDHDCLAAALRCRYSEMETELRSMSQKEKQLESNLMTNEDMLVNLEKSYRTITKTLAEENRKLTKELDENNEKIIEIDKFYKNETEQNQHKITDIELRLRAIEDRMLHNEQWLDREREHTHFNQNSNSRVTKLEVELSKLTLKSQKKVETQTRYQEEMIKLEEIDKEYLEEAKIREEEQQQLIFRLNCLKKKGQLNQHESQSKTKDTESLVKQLVSINNKMKQFKVKLRECYCKQDEISRGCAATANKSKSLSVFDKCEMGSQEILLMFNEDDSYPLEDDESTKESSGSIDNLDDTFYIQSKVKSKENSTKRALRDFEGNEKGPSQHNDPKRNKIKHILEDVDDNYNDSDDAHVNRSVEMKKNRCKKMKEEVQPQSSECGELREQEGESTMELDQESNSNQLSKCRKNAKYESIMGRIAQFKSAVAYDEATWGSIDQCFVDINHQLLQYVVSTSGNRAALILATRGFNDIATLDPKKKLLFAVMQEKKRNVALLLSTHGDQSRAEIDAGYALLKRAMSINENDIAYHLATNGYMDRVNPNAGHELLISACVEEQCQVAKILATRNESDTLNLQCKRAVLDAVVGPRGHACALLLATRNKKDRDNLDNLRLLISEMVKNVDGAIAAKHIMGLDKQRVRYGPNSFGDKDRNKRWTAMRRRCAALFSGRVEM
+>sp|Q9H6X2|ANTR1_HUMAN Anthrax toxin receptor 1 OS=Homo sapiens OX=9606 GN=ANTXR1 PE=1 SV=2
+MATAERRALGIGFQWLSLATLVLICAGQGGRREDGGPACYGGFDLYFILDKSGSVLHHWNEIYYFVEQLAHKFISPQLRMSFIVFSTRGTTLMKLTEDREQIRQGLEELQKVLPGGDTYMHEGFERASEQIYYENRQGYRTASVIIALTDGELHEDLFFYSEREANRSRDLGAIVYCVGVKDFNETQLARIADSKDHVFPVNDGFQALQGIIHSILKKSCIEILAAEPSTICAGESFQVVVRGNGFRHARNVDRVLCSFKINDSVTLNEKPFSVEDTYLLCPAPILKEVGMKAALQVSMNDGLSFISSSVIITTTHCSDGSILAIALLILFLLLALALLWWFWPLCCTVIIKEVPPPPAEESEEEDDDGLPKKKWPTVDASYYGGRGVGGIKRMEVRWGEKGSTEEGAKLEKAKNARVKMPEQEYEFPEPRNLNNNMRRPSSPRKWYSPIKGKLDALWVLLRKGYDRVSVMRPQPGDTGRCINFTRVKNNQPAKYPLNNAYHTSSPPPAPIYTPPPPAPHCPPPPPSAPTPPIPSPPSTLPPPPQAPPPNRAPPPSRPPPRPSV
+>DECOY_sp|Q9H6X2|ANTR1_HUMAN Anthrax toxin receptor 1 OS=Homo sapiens OX=9606 GN=ANTXR1 PE=1 SV=2
+VSPRPPPRSPPPARNPPPAQPPPPLTSPPSPIPPTPASPPPPPCHPAPPPPTYIPAPPPSSTHYANNLPYKAPQNNKVRTFNICRGTDGPQPRMVSVRDYGKRLLVWLADLKGKIPSYWKRPSSPRRMNNNLNRPEPFEYEQEPMKVRANKAKELKAGEETSGKEGWRVEMRKIGGVGRGGYYSADVTPWKKKPLGDDDEEESEEAPPPPVEKIIVTCCLPWFWWLLALALLLFLILLAIALISGDSCHTTTIIVSSSIFSLGDNMSVQLAAKMGVEKLIPAPCLLYTDEVSFPKENLTVSDNIKFSCLVRDVNRAHRFGNGRVVVQFSEGACITSPEAALIEICSKKLISHIIGQLAQFGDNVPFVHDKSDAIRALQTENFDKVGVCYVIAGLDRSRNAERESYFFLDEHLEGDTLAIIVSATRYGQRNEYYIQESAREFGEHMYTDGGPLVKQLEELGQRIQERDETLKMLTTGRTSFVIFSMRLQPSIFKHALQEVFYYIENWHHLVSGSKDLIFYLDFGGYCAPGGDERRGGQGACILVLTALSLWQFGIGLARREATAM
+>sp|Q9UJ72|ANX10_HUMAN Annexin A10 OS=Homo sapiens OX=9606 GN=ANXA10 PE=1 SV=3
+MFCGDYVQGTIFPAPNFNPIMDAQMLGGALQGFDCDKDMLINILTQRCNAQRMMIAEAYQSMYGRDLIGDMREQLSDHFKDVMAGLMYPPPLYDAHELWHAMKGVGTDENCLIEILASRTNGEIFQMREAYCLQYSNNLQEDIYSETSGHFRDTLMNLVQGTREEGYTDPAMAAQDAMVLWEACQQKTGEHKTMLQMILCNKSYQQLRLVFQEFQNISGQDMVDAINECYDGYFQELLVAIVLCVRDKPAYFAYRLYSAIHDFGFHNKTVIRILIARSEIDLLTIRKRYKERYGKSLFHDIRNFASGHYKKALLAICAGDAEDY
+>DECOY_sp|Q9UJ72|ANX10_HUMAN Annexin A10 OS=Homo sapiens OX=9606 GN=ANXA10 PE=1 SV=3
+YDEADGACIALLAKKYHGSAFNRIDHFLSKGYREKYRKRITLLDIESRAILIRIVTKNHFGFDHIASYLRYAFYAPKDRVCLVIAVLLEQFYGDYCENIADVMDQGSINQFEQFVLRLQQYSKNCLIMQLMTKHEGTKQQCAEWLVMADQAAMAPDTYGEERTGQVLNMLTDRFHGSTESYIDEQLNNSYQLCYAERMQFIEGNTRSALIEILCNEDTGVGKMAHWLEHADYLPPPYMLGAMVDKFHDSLQERMDGILDRGYMSQYAEAIMMRQANCRQTLINILMDKDCDFGQLAGGLMQADMIPNFNPAPFITGQVYDGCFM
+>sp|P07355|ANXA2_HUMAN Annexin A2 OS=Homo sapiens OX=9606 GN=ANXA2 PE=1 SV=2
+MSTVHEILCKLSLEGDHSTPPSAYGSVKAYTNFDAERDALNIETAIKTKGVDEVTIVNILTNRSNAQRQDIAFAYQRRTKKELASALKSALSGHLETVILGLLKTPAQYDASELKASMKGLGTDEDSLIEIICSRTNQELQEINRVYKEMYKTDLEKDIISDTSGDFRKLMVALAKGRRAEDGSVIDYELIDQDARDLYDAGVKRKGTDVPKWISIMTERSVPHLQKVFDRYKSYSPYDMLESIRKEVKGDLENAFLNLVQCIQNKPLYFADRLYDSMKGKGTRDKVLIRIMVSRSEVDMLKIRSEFKRKYGKSLYYYIQQDTKGDYQKALLYLCGGDD
+>DECOY_sp|P07355|ANXA2_HUMAN Annexin A2 OS=Homo sapiens OX=9606 GN=ANXA2 PE=1 SV=2
+DDGGCLYLLAKQYDGKTDQQIYYYLSKGYKRKFESRIKLMDVESRSVMIRILVKDRTGKGKMSDYLRDAFYLPKNQICQVLNLFANELDGKVEKRISELMDYPSYSKYRDFVKQLHPVSRETMISIWKPVDTGKRKVGADYLDRADQDILEYDIVSGDEARRGKALAVMLKRFDGSTDSIIDKELDTKYMEKYVRNIEQLEQNTRSCIIEILSDEDTGLGKMSAKLESADYQAPTKLLGLIVTELHGSLASKLASALEKKTRRQYAFAIDQRQANSRNTLINVITVEDVGKTKIATEINLADREADFNTYAKVSGYASPPTSHDGELSLKCLIEHVTSM
+>sp|P09525|ANXA4_HUMAN Annexin A4 OS=Homo sapiens OX=9606 GN=ANXA4 PE=1 SV=4
+MATKGGTVKAASGFNAMEDAQTLRKAMKGLGTDEDAIISVLAYRNTAQRQEIRTAYKSTIGRDLIDDLKSELSGNFEQVIVGMMTPTVLYDVQELRRAMKGAGTDEGCLIEILASRTPEEIRRISQTYQQQYGRSLEDDIRSDTSFMFQRVLVSLSAGGRDEGNYLDDALVRQDAQDLYEAGEKKWGTDEVKFLTVLCSRNRNHLLHVFDEYKRISQKDIEQSIKSETSGSFEDALLAIVKCMRNKSAYFAEKLYKSMKGLGTDDNTLIRVMVSRAEIDMLDIRAHFKRLYGKSLYSFIKGDTSGDYRKVLLVLCGGDD
+>DECOY_sp|P09525|ANXA4_HUMAN Annexin A4 OS=Homo sapiens OX=9606 GN=ANXA4 PE=1 SV=4
+DDGGCLVLLVKRYDGSTDGKIFSYLSKGYLRKFHARIDLMDIEARSVMVRILTNDDTGLGKMSKYLKEAFYASKNRMCKVIALLADEFSGSTESKISQEIDKQSIRKYEDFVHLLHNRNRSCLVTLFKVEDTGWKKEGAEYLDQADQRVLADDLYNGEDRGGASLSVLVRQFMFSTDSRIDDELSRGYQQQYTQSIRRIEEPTRSALIEILCGEDTGAGKMARRLEQVDYLVTPTMMGVIVQEFNGSLESKLDDILDRGITSKYATRIEQRQATNRYALVSIIADEDTGLGKMAKRLTQADEMANFGSAAKVTGGKTAM
+>sp|P21397|AOFA_HUMAN Amine oxidase [flavin-containing] A OS=Homo sapiens OX=9606 GN=MAOA PE=1 SV=1
+MENQEKASIAGHMFDVVVIGGGISGLSAAKLLTEYGVSVLVLEARDRVGGRTYTIRNEHVDYVDVGGAYVGPTQNRILRLSKELGIETYKVNVSERLVQYVKGKTYPFRGAFPPVWNPIAYLDYNNLWRTIDNMGKEIPTDAPWEAQHADKWDKMTMKELIDKICWTKTARRFAYLFVNINVTSEPHEVSALWFLWYVKQCGGTTRIFSVTNGGQERKFVGGSGQVSERIMDLLGDQVKLNHPVTHVDQSSDNIIIETLNHEHYECKYVINAIPPTLTAKIHFRPELPAERNQLIQRLPMGAVIKCMMYYKEAFWKKKDYCGCMIIEDEDAPISITLDDTKPDGSLPAIMGFILARKADRLAKLHKEIRKKKICELYAKVLGSQEALHPVHYEEKNWCEEQYSGGCYTAYFPPGIMTQYGRVIRQPVGRIFFAGTETATKWSGYMEGAVEAGERAAREVLNGLGKVTEKDIWVQEPESKDVPAVEITHTFWERNLPSVSGLLKIIGFSTSVTALGFVLYKYKLLPRS
+>DECOY_sp|P21397|AOFA_HUMAN Amine oxidase [flavin-containing] A OS=Homo sapiens OX=9606 GN=MAOA PE=1 SV=1
+SRPLLKYKYLVFGLATVSTSFGIIKLLGSVSPLNREWFTHTIEVAPVDKSEPEQVWIDKETVKGLGNLVERAAREGAEVAGEMYGSWKTATETGAFFIRGVPQRIVRGYQTMIGPPFYATYCGGSYQEECWNKEEYHVPHLAEQSGLVKAYLECIKKKRIEKHLKALRDAKRALIFGMIAPLSGDPKTDDLTISIPADEDEIIMCGCYDKKKWFAEKYYMMCKIVAGMPLRQILQNREAPLEPRFHIKATLTPPIANIVYKCEYHEHNLTEIIINDSSQDVHTVPHNLKVQDGLLDMIRESVQGSGGVFKREQGGNTVSFIRTTGGCQKVYWLFWLASVEHPESTVNINVFLYAFRRATKTWCIKDILEKMTMKDWKDAHQAEWPADTPIEKGMNDITRWLNNYDLYAIPNWVPPFAGRFPYTKGKVYQVLRESVNVKYTEIGLEKSLRLIRNQTPGVYAGGVDVYDVHENRITYTRGGVRDRAELVLVSVGYETLLKAASLGSIGGGIVVVDFMHGAISAKEQNEM
+>sp|P27338|AOFB_HUMAN Amine oxidase [flavin-containing] B OS=Homo sapiens OX=9606 GN=MAOB PE=1 SV=3
+MSNKCDVVVVGGGISGMAAAKLLHDSGLNVVVLEARDRVGGRTYTLRNQKVKYVDLGGSYVGPTQNRILRLAKELGLETYKVNEVERLIHHVKGKSYPFRGPFPPVWNPITYLDHNNFWRTMDDMGREIPSDAPWKAPLAEEWDNMTMKELLDKLCWTESAKQLATLFVNLCVTAETHEVSALWFLWYVKQCGGTTRIISTTNGGQERKFVGGSGQVSERIMDLLGDRVKLERPVIYIDQTRENVLVETLNHEMYEAKYVISAIPPTLGMKIHFNPPLPMMRNQMITRVPLGSVIKCIVYYKEPFWRKKDYCGTMIIDGEEAPVAYTLDDTKPEGNYAAIMGFILAHKARKLARLTKEERLKKLCELYAKVLGSLEALEPVHYEEKNWCEEQYSGGCYTTYFPPGILTQYGRVLRQPVDRIYFAGTETATHWSGYMEGAVEAGERAAREILHAMGKIPEDEIWQSEPESVDVPAQPITTTFLERHLPSVPGLLRLIGLTTIFSATALGFLAHKRGLLVRV
+>DECOY_sp|P27338|AOFB_HUMAN Amine oxidase [flavin-containing] B OS=Homo sapiens OX=9606 GN=MAOB PE=1 SV=3
+VRVLLGRKHALFGLATASFITTLGILRLLGPVSPLHRELFTTTIPQAPVDVSEPESQWIEDEPIKGMAHLIERAAREGAEVAGEMYGSWHTATETGAFYIRDVPQRLVRGYQTLIGPPFYTTYCGGSYQEECWNKEEYHVPELAELSGLVKAYLECLKKLREEKTLRALKRAKHALIFGMIAAYNGEPKTDDLTYAVPAEEGDIIMTGCYDKKRWFPEKYYVICKIVSGLPVRTIMQNRMMPLPPNFHIKMGLTPPIASIVYKAEYMEHNLTEVLVNERTQDIYIVPRELKVRDGLLDMIRESVQGSGGVFKREQGGNTTSIIRTTGGCQKVYWLFWLASVEHTEATVCLNVFLTALQKASETWCLKDLLEKMTMNDWEEALPAKWPADSPIERGMDDMTRWFNNHDLYTIPNWVPPFPGRFPYSKGKVHHILREVENVKYTELGLEKALRLIRNQTPGVYSGGLDVYKVKQNRLTYTRGGVRDRAELVVVNLGSDHLLKAAAMGSIGGGVVVVDCKNSM
+>sp|Q06278|AOXA_HUMAN Aldehyde oxidase OS=Homo sapiens OX=9606 GN=AOX1 PE=1 SV=2
+MDRASELLFYVNGRKVIEKNVDPETMLLPYLRKKLRLTGTKYGCGGGGCGACTVMISRYNPITKRIRHHPANACLIPICSLYGAAVTTVEGIGSTHTRIHPVQERIAKCHGTQCGFCTPGMVMSIYTLLRNHPEPTLDQLTDALGGNLCRCTGYRPIIDACKTFCKTSGCCQSKENGVCCLDQGINGLPEFEEGSKTSPKLFAEEEFLPLDPTQELIFPPELMIMAEKQSQRTRVFGSERMMWFSPVTLKELLEFKFKYPQAPVIMGNTSVGPEVKFKGVFHPVIISPDRIEELSVVNHAYNGLTLGAGLSLAQVKDILADVVQKLPEEKTQMYHALLKHLGTLAGSQIRNMASLGGHIISRHPDSDLNPILAVGNCTLNLLSKEGKRQIPLNEQFLSKCPNADLKPQEILVSVNIPYSRKWEFVSAFRQAQRQENALAIVNSGMRVFFGEGDGIIRELCISYGGVGPATICAKNSCQKLIGRHWNEQMLDIACRLILNEVSLLGSAPGGKVEFKRTLIISFLFKFYLEVSQILKKMDPVHYPSLADKYESALEDLHSKHHCSTLKYQNIGPKQHPEDPIGHPIMHLSGVKHATGEAIYCDDMPLVDQELFLTFVTSSRAHAKIVSIDLSEALSMPGVVDIMTAEHLSDVNSFCFFTEAEKFLATDKVFCVGQLVCAVLADSEVQAKRAAKRVKIVYQDLEPLILTIEESIQHNSSFKPERKLEYGNVDEAFKVVDQILEGEIHMGGQEHFYMETQSMLVVPKGEDQEMDVYVSTQFPKYIQDIVASTLKLPANKVMCHVRRVGGAFGGKVLKTGIIAAVTAFAANKHGRAVRCVLERGEDMLITGGRHPYLGKYKAGFMNDGRILALDMEHYSNAGASLDESLFVIEMGLLKMDNAYKFPNLRCRGWACRTNLPSNTAFRGFGFPQAALITESCITEVAAKCGLSPEKVRIINMYKEIDQTPYKQEINAKNLIQCWRECMAMSSYSLRKVAVEKFNAENYWKKKGLAMVPLKFPVGLGSRAAGQAAALVHIYLDGSVLVTHGGIEMGQGVHTKMIQVVSRELRMPMSNVHLRGTSTETVPNANISGGSVVADLNGLAVKDACQTLLKRLEPIISKNPKGTWKDWAQTAFDESINLSAVGYFRGYESDMNWEKGEGQPFEYFVYGAACSEVEIDCLTGDHKNIRTDIVMDVGCSINPAIDIGQIEGAFIQGMGLYTIEELNYSPQGILHTRGPDQYKIPAICDMPTELHIALLPPSQNSNTLYSSKGLGESGVFLGCSVFFAIHDAVSAARQERGLHGPLTLNSPLTPEKIRMACEDKFTKMIPRDEPGSYVPWNVPI
+>DECOY_sp|Q06278|AOXA_HUMAN Aldehyde oxidase OS=Homo sapiens OX=9606 GN=AOX1 PE=1 SV=2
+IPVNWPVYSGPEDRPIMKTFKDECAMRIKEPTLPSNLTLPGHLGREQRAASVADHIAFFVSCGLFVGSEGLGKSSYLTNSNQSPPLLAIHLETPMDCIAPIKYQDPGRTHLIGQPSYNLEEITYLGMGQIFAGEIQGIDIAPNISCGVDMVIDTRINKHDGTLCDIEVESCAAGYVFYEFPQGEGKEWNMDSEYGRFYGVASLNISEDFATQAWDKWTGKPNKSIIPELRKLLTQCADKVALGNLDAVVSGGSINANPVTETSTGRLHVNSMPMRLERSVVQIMKTHVGQGMEIGGHTVLVSGDLYIHVLAAAQGAARSGLGVPFKLPVMALGKKKWYNEANFKEVAVKRLSYSSMAMCERWCQILNKANIEQKYPTQDIEKYMNIIRVKEPSLGCKAAVETICSETILAAQPFGFGRFATNSPLNTRCAWGRCRLNPFKYANDMKLLGMEIVFLSEDLSAGANSYHEMDLALIRGDNMFGAKYKGLYPHRGGTILMDEGRELVCRVARGHKNAAFATVAAIIGTKLVKGGFAGGVRRVHCMVKNAPLKLTSAVIDQIYKPFQTSVYVDMEQDEGKPVVLMSQTEMYFHEQGGMHIEGELIQDVVKFAEDVNGYELKREPKFSSNHQISEEITLILPELDQYVIKVRKAARKAQVESDALVACVLQGVCFVKDTALFKEAETFFCFSNVDSLHEATMIDVVGPMSLAESLDISVIKAHARSSTVFTLFLEQDVLPMDDCYIAEGTAHKVGSLHMIPHGIPDEPHQKPGINQYKLTSCHHKSHLDELASEYKDALSPYHVPDMKKLIQSVELYFKFLFSIILTRKFEVKGGPASGLLSVENLILRCAIDLMQENWHRGILKQCSNKACITAPGVGGYSICLERIIGDGEGFFVRMGSNVIALANEQRQAQRFASVFEWKRSYPINVSVLIEQPKLDANPCKSLFQENLPIQRKGEKSLLNLTCNGVALIPNLDSDPHRSIIHGGLSAMNRIQSGALTGLHKLLAHYMQTKEEPLKQVVDALIDKVQALSLGAGLTLGNYAHNVVSLEEIRDPSIIVPHFVGKFKVEPGVSTNGMIVPAQPYKFKFELLEKLTVPSFWMMRESGFVRTRQSQKEAMIMLEPPFILEQTPDLPLFEEEAFLKPSTKSGEEFEPLGNIGQDLCCVGNEKSQCCGSTKCFTKCADIIPRYGTCRCLNGGLADTLQDLTPEPHNRLLTYISMVMGPTCFGCQTGHCKAIREQVPHIRTHTSGIGEVTTVAAGYLSCIPILCANAPHHRIRKTIPNYRSIMVTCAGCGGGGCGYKTGTLRLKKRLYPLLMTEPDVNKEIVKRGNVYFLLESARDM
+>sp|Q92572|AP3S1_HUMAN AP-3 complex subunit sigma-1 OS=Homo sapiens OX=9606 GN=AP3S1 PE=1 SV=1
+MIKAILIFNNHGKPRLSKFYQPYSEDTQQQIIRETFHLVSKRDENVCNFLEGGLLIGGSDNKLIYRHYATLYFVFCVDSSESELGILDLIQVFVETLDKCFENVCELDLIFHVDKVHNILAEMVMGGMVLETNMNEIVTQIDAQNKLEKSEAGLAGAPARAVSAVKNMNLPEIPRNINIGDISIKVPNLPSFK
+>DECOY_sp|Q92572|AP3S1_HUMAN AP-3 complex subunit sigma-1 OS=Homo sapiens OX=9606 GN=AP3S1 PE=1 SV=1
+KFSPLNPVKISIDGININRPIEPLNMNKVASVARAPAGALGAESKELKNQADIQTVIENMNTELVMGGMVMEALINHVKDVHFILDLECVNEFCKDLTEVFVQILDLIGLESESSDVCFVFYLTAYHRYILKNDSGGILLGGELFNCVNEDRKSVLHFTERIIQQQTDESYPQYFKSLRPKGHNNFILIAKIM
+>sp|P59780|AP3S2_HUMAN AP-3 complex subunit sigma-2 OS=Homo sapiens OX=9606 GN=AP3S2 PE=2 SV=1
+MIQAILVFNNHGKPRLVRFYQRFPEEIQQQIVRETFHLVLKRDDNICNFLEGGSLIGGSDYKLIYRHYATLYFVFCVDSSESELGILDLIQVFVETLDKCFENVCELDLIFHMDKVHYILQEVVMGGMVLETNMNEIVAQIEAQNRLEKSEGGLSAAPARAVSAVKNINLPEIPRNINIGDLNIKVPNLSQFV
+>DECOY_sp|P59780|AP3S2_HUMAN AP-3 complex subunit sigma-2 OS=Homo sapiens OX=9606 GN=AP3S2 PE=2 SV=1
+VFQSLNPVKINLDGININRPIEPLNINKVASVARAPAASLGGESKELRNQAEIQAVIENMNTELVMGGMVVEQLIYHVKDMHFILDLECVNEFCKDLTEVFVQILDLIGLESESSDVCFVFYLTAYHRYILKYDSGGILSGGELFNCINDDRKLVLHFTERVIQQQIEEPFRQYFRVLRPKGHNNFVLIAQIM
+>sp|Q96N21|AP4AT_HUMAN AP-4 complex accessory subunit Tepsin OS=Homo sapiens OX=9606 GN=TEPSIN PE=1 SV=1
+MAAAPPLRDRLSFLHRLPILLKGTSDDDVPCPGYLFEEIAKISHESPGSSQCLLEYLLSRLHSSSGHGKLKVLKILLYLCSHGSSFFLLILKRNSAFIQEAAAFAGPPDPLHGNSLYQKVRAAAQDLGSTLFSDTVLPLAPSQPLGTPPATGMGSQARPHSTLQGFGYSKEHGRTAVRHQPGQAGGGWDELDSGPSSQNSSQNSDLSRVSDSGSHSGSDSHSGASREPGDLAERVEVVALSDCQQELSLVRTVTRGPRAFLSREEAQHFIKACGLLNCEAVLQLLTCHLRGTSECTQLRALCAIASLGSSDLLPQEHILLRTRPWLQELSMGSPGPVTNKATKILRHFEASCGQLSPARGTSAEPGPTAALPGPSDLLTDAVPLPGSQVFLQPLSSTPVSSRSPAPSSGMPSSPVPTPPPDASPIPAPGDPSEAEARLAESRRWRPERIPGGTDSPKRGPSSCAWSRDSLFAGMELVACPRLVGAGAAAGESCPDAPRAPQTSSQRTAAKEPPGSEPSAFAFLNA
+>DECOY_sp|Q96N21|AP4AT_HUMAN AP-4 complex accessory subunit Tepsin OS=Homo sapiens OX=9606 GN=TEPSIN PE=1 SV=1
+ANLFAFASPESGPPEKAATRQSSTQPARPADPCSEGAAAGAGVLRPCAVLEMGAFLSDRSWACSSPGRKPSDTGGPIREPRWRRSEALRAEAESPDGPAPIPSADPPPTPVPSSPMGSSPAPSRSSVPTSSLPQLFVQSGPLPVADTLLDSPGPLAATPGPEASTGRAPSLQGCSAEFHRLIKTAKNTVPGPSGMSLEQLWPRTRLLIHEQPLLDSSGLSAIACLARLQTCESTGRLHCTLLQLVAECNLLGCAKIFHQAEERSLFARPGRTVTRVLSLEQQCDSLAVVEVREALDGPERSAGSHSDSGSHSGSDSVRSLDSNQSSNQSSPGSDLEDWGGGAQGPQHRVATRGHEKSYGFGQLTSHPRAQSGMGTAPPTGLPQSPALPLVTDSFLTSGLDQAAARVKQYLSNGHLPDPPGAFAAAEQIFASNRKLILLFFSSGHSCLYLLIKLVKLKGHGSSSHLRSLLYELLCQSSGPSEHSIKAIEEFLYGPCPVDDDSTGKLLIPLRHLFSLRDRLPPAAAM
+>sp|P50583|AP4A_HUMAN Bis(5'-nucleosyl)-tetraphosphatase [asymmetrical] OS=Homo sapiens OX=9606 GN=NUDT2 PE=1 SV=3
+MALRACGLIIFRRCLIPKVDNNAIEFLLLQASDGIHHWTPPKGHVEPGEDDLETALRETQEEAGIEAGQLTIIEGFKRELNYVARNKPKTVIYWLAEVKDYDVEIRLSHEHQAYRWLGLEEACQLAQFKEMKAALQEGHQFLCSIEA
+>DECOY_sp|P50583|AP4A_HUMAN Bis(5'-nucleosyl)-tetraphosphatase [asymmetrical] OS=Homo sapiens OX=9606 GN=NUDT2 PE=1 SV=3
+AEISCLFQHGEQLAAKMEKFQALQCAEELGLWRYAQHEHSLRIEVDYDKVEALWYIVTKPKNRAVYNLERKFGEIITLQGAEIGAEEQTERLATELDDEGPEVHGKPPTWHHIGDSAQLLLFEIANNDVKPILCRRFIILGCARLAM
+>sp|Q9UPM8|AP4E1_HUMAN AP-4 complex subunit epsilon-1 OS=Homo sapiens OX=9606 GN=AP4E1 PE=1 SV=2
+MSDIVEKTLTALPGLFLQNQPGGGPAAAKASFSSRLGSLVRGITALTSKHEEEKLIQQELSSLKATVSAPTTTLKMMKECMVRLIYCEMLGYDASFGYIHAIKLAQQGNLLEKRVGYLAVSLFLHESHELLLLLVNTVVKDLQSTNLVEVCMALTVVSQIFPCEMIPAVLPLIEDKLQHSKEIVRRKAVLALYKFHLIAPNQVQHIHIKFRKALCDRDVGVMAASLHIYLRMIKENSSGYKDLTGSFVTILKQVVGGKLPVEFNYHSVPAPWLQIQLLRILGLLGKDDQRTSELMYDVLDESLRRAELNHNVTYAILFECVHTVYSIYPKSELLEKAAKCIGKFVLSPKINLKYLGLKALTYVIQQDPTLALQHQMTIIECLDHPDPIIKRETLELLYRITNAQNITVIVQKMLEYLHQSKEEYVIVNLVGKIAELAEKYAPDNAWFIQTMNAVFSVGGDVMHPDIPNNFLRLLAEGFDDETEDQQLRLYAVQSYLTLLDMENVFYPQRFLQVMSWVLGEYSYLLDKETPEEVIAKLYKLLMNDSVSSETKAWLIAAVTKLTSQAHSSNTVERLIHEFTISLDTCMRQHAFELKHLHENVELMKSLLPVDRSCEDLVVDASLSFLDGFVAEGLSQGAAPYKPPHQRQEEKLSQEKVLNFEPYGLSFSSSGFTGRQSPAGISLGSDVSGNSAETGLKETNSLKLEGIKKLWGKEGYLPKKESKTGDESGALPVPQESIMENVDQAITKKDQSQVLTQSKEEKEKQLLASSLFVGLGSESTINLLGKADTVSHKFRRKSKVKEAKSGETTSTHNMTCSSFSSLSNVAYEDDYYSNTLHDTGDKELKKFSLTSELLDSESLTELPLVEKFSYCSLSTPSLFANNNMEIFHPPQSTAASVAKESSLASSFLEETTEYIHSNAMEVCNNETISVSSYKIWKDDCLLMVWSVTNKSGLELKSADLEIFPAENFKVTEQPGCCLPVMEAESTKSFQYSVQIEKPFTEGNLTGFISYHMMDTHSAQLEFSVNLSLLDFIRPLKISSDDFGKLWLSFANDVKQNVKMSESQAALPSALKTLQQKLRLHIIEIIGNEGLLACQLLPSIPCLLHCRVHADVLALWFRSSCSTLPDYLLYQCQKVMEGS
+>DECOY_sp|Q9UPM8|AP4E1_HUMAN AP-4 complex subunit epsilon-1 OS=Homo sapiens OX=9606 GN=AP4E1 PE=1 SV=2
+SGEMVKQCQYLLYDPLTSCSSRFWLALVDAHVRCHLLCPISPLLQCALLGENGIIEIIHLRLKQQLTKLASPLAAQSESMKVNQKVDNAFSLWLKGFDDSSIKLPRIFDLLSLNVSFELQASHTDMMHYSIFGTLNGETFPKEIQVSYQFSKTSEAEMVPLCCGPQETVKFNEAPFIELDASKLELGSKNTVSWVMLLCDDKWIKYSSVSITENNCVEMANSHIYETTEELFSSALSSEKAVSAATSQPPHFIEMNNNAFLSPTSLSCYSFKEVLPLETLSESDLLESTLSFKKLEKDGTDHLTNSYYDDEYAVNSLSSFSSCTMNHTSTTEGSKAEKVKSKRRFKHSVTDAKGLLNITSESGLGVFLSSALLQKEKEEKSQTLVQSQDKKTIAQDVNEMISEQPVPLAGSEDGTKSEKKPLYGEKGWLKKIGELKLSNTEKLGTEASNGSVDSGLSIGAPSQRGTFGSSSFSLGYPEFNLVKEQSLKEEQRQHPPKYPAAGQSLGEAVFGDLFSLSADVVLDECSRDVPLLSKMLEVNEHLHKLEFAHQRMCTDLSITFEHILREVTNSSHAQSTLKTVAAILWAKTESSVSDNMLLKYLKAIVEEPTEKDLLYSYEGLVWSMVQLFRQPYFVNEMDLLTLYSQVAYLRLQQDETEDDFGEALLRLFNNPIDPHMVDGGVSFVANMTQIFWANDPAYKEALEAIKGVLNVIVYEEKSQHLYELMKQVIVTINQANTIRYLLELTERKIIPDPHDLCEIITMQHQLALTPDQQIVYTLAKLGLYKLNIKPSLVFKGICKAAKELLESKPYISYVTHVCEFLIAYTVNHNLEARRLSEDLVDYMLESTRQDDKGLLGLIRLLQIQLWPAPVSHYNFEVPLKGGVVQKLITVFSGTLDKYGSSNEKIMRLYIHLSAAMVGVDRDCLAKRFKIHIHQVQNPAILHFKYLALVAKRRVIEKSHQLKDEILPLVAPIMECPFIQSVVTLAMCVEVLNTSQLDKVVTNVLLLLLEHSEHLFLSVALYGVRKELLNGQQALKIAHIYGFSADYGLMECYILRVMCEKMMKLTTTPASVTAKLSSLEQQILKEEEHKSTLATIGRVLSGLRSSFSAKAAAPGGGPQNQLFLGPLATLTKEVIDSM
+>sp|Q9NYG5|APC11_HUMAN Anaphase-promoting complex subunit 11 OS=Homo sapiens OX=9606 GN=ANAPC11 PE=1 SV=1
+MKVKIKCWNGVATWLWVANDENCGICRMAFNGCCPDCKVPGDDCPLVWGQCSHCFHMHCILKWLHAQQVQQHCPMCRQEWKFKE
+>DECOY_sp|Q9NYG5|APC11_HUMAN Anaphase-promoting complex subunit 11 OS=Homo sapiens OX=9606 GN=ANAPC11 PE=1 SV=1
+EKFKWEQRCMPCHQQVQQAHLWKLICHMHFCHSCQGWVLPCDDGPVKCDPCCGNFAMRCIGCNEDNAVWLWTAVGNWCKIKVKM
+>sp|P60006|APC15_HUMAN Anaphase-promoting complex subunit 15 OS=Homo sapiens OX=9606 GN=ANAPC15 PE=1 SV=1
+MSTLFPSLFPRVTETLWFNLDRPCVEETELQQQEQQHQAWLQSIAEKDNNLVPIGKPASEHYDDEEEEDDEDDEDSEEDSEDDEDMQDMDEMNDYNESPDDGEVNEVDMEGNEQDQDQWMI
+>DECOY_sp|P60006|APC15_HUMAN Anaphase-promoting complex subunit 15 OS=Homo sapiens OX=9606 GN=ANAPC15 PE=1 SV=1
+IMWQDQDQENGEMDVENVEGDDPSENYDNMEDMDQMDEDDESDEESDEDDEDDEEEEDDYHESAPKGIPVLNNDKEAISQLWAQHQQEQQQLETEEVCPRDLNFWLTETVRPFLSPFLTSM
+>sp|Q96LR9|APLD1_HUMAN Apolipoprotein L domain-containing protein 1 OS=Homo sapiens OX=9606 GN=APOLD1 PE=2 SV=2
+MFRAPCHRLRARGTRKARAGAWRGCTFPCLGKGMERPAAREPHGPDALRRFQGLLLDRRGRLHGQVLRLREVARRLERLRRRSLVANVAGSSLSATGALAAIVGLSLSPVTLGTSLLVSAVGLGVATAGGAVTITSDLSLIFCNSRELRRVQEIAATCQDQMREILSCLEFFCRWQGCGDRQLLQCGRNASIALYNSVYFIVFFGSRGFLIPRRAEGDTKVSQAVLKAKIQKLAESLESCTGALDELSEQLESRVQLCTKSSRGHDLKISADQRAGLFF
+>DECOY_sp|Q96LR9|APLD1_HUMAN Apolipoprotein L domain-containing protein 1 OS=Homo sapiens OX=9606 GN=APOLD1 PE=2 SV=2
+FFLGARQDASIKLDHGRSSKTCLQVRSELQESLEDLAGTCSELSEALKQIKAKLVAQSVKTDGEARRPILFGRSGFFVIFYVSNYLAISANRGCQLLQRDGCGQWRCFFELCSLIERMQDQCTAAIEQVRRLERSNCFILSLDSTITVAGGATAVGLGVASVLLSTGLTVPSLSLGVIAALAGTASLSSGAVNAVLSRRRLRELRRAVERLRLVQGHLRGRRDLLLGQFRRLADPGHPERAAPREMGKGLCPFTCGRWAGARAKRTGRARLRHCPARFM
+>sp|P51693|APLP1_HUMAN Amyloid-like protein 1 OS=Homo sapiens OX=9606 GN=APLP1 PE=1 SV=3
+MGPASPAARGLSRRPGQPPLPLLLPLLLLLLRAQPAIGSLAGGSPGAAEAPGSAQVAGLCGRLTLHRDLRTGRWEPDPQRSRRCLRDPQRVLEYCRQMYPELQIARVEQATQAIPMERWCGGSRSGSCAHPHHQVVPFRCLPGEFVSEALLVPEGCRFLHQERMDQCESSTRRHQEAQEACSSQGLILHGSGMLLPCGSDRFRGVEYVCCPPPGTPDPSGTAVGDPSTRSWPPGSRVEGAEDEEEEESFPQPVDDYFVEPPQAEEEEETVPPPSSHTLAVVGKVTPTPRPTDGVDIYFGMPGEISEHEGFLRAKMDLEERRMRQINEVMREWAMADNQSKNLPKADRQALNEHFQSILQTLEEQVSGERQRLVETHATRVIALINDQRRAALEGFLAALQADPPQAERVLLALRRYLRAEQKEQRHTLRHYQHVAAVDPEKAQQMRFQVHTHLQVIEERVNQSLGLLDQNPHLAQELRPQIQELLHSEHLGPSELEAPAPGGSSEDKGGLQPPDSKDDTPMTLPKGSTEQDAASPEKEKMNPLEQYERKVNASVPRGFPFHSSEIQRDELAPAGTGVSREAVSGLLIMGAGGGSLIVLSMLLLRRKKPYGAISHGVVEVDPMLTLEEQQLRELQRHGYENPTYRFLEERP
+>DECOY_sp|P51693|APLP1_HUMAN Amyloid-like protein 1 OS=Homo sapiens OX=9606 GN=APLP1 PE=1 SV=3
+PREELFRYTPNEYGHRQLERLQQEELTLMPDVEVVGHSIAGYPKKRRLLLMSLVILSGGGAGMILLGSVAERSVGTGAPALEDRQIESSHFPFGRPVSANVKREYQELPNMKEKEPSAADQETSGKPLTMPTDDKSDPPQLGGKDESSGGPAPAELESPGLHESHLLEQIQPRLEQALHPNQDLLGLSQNVREEIVQLHTHVQFRMQQAKEPDVAAVHQYHRLTHRQEKQEARLYRRLALLVREAQPPDAQLAALFGELAARRQDNILAIVRTAHTEVLRQREGSVQEELTQLISQFHENLAQRDAKPLNKSQNDAMAWERMVENIQRMRREELDMKARLFGEHESIEGPMGFYIDVGDTPRPTPTVKGVVALTHSSPPPVTEEEEEAQPPEVFYDDVPQPFSEEEEEDEAGEVRSGPPWSRTSPDGVATGSPDPTGPPPCCVYEVGRFRDSGCPLLMGSGHLILGQSSCAEQAEQHRRTSSECQDMREQHLFRCGEPVLLAESVFEGPLCRFPVVQHHPHACSGSRSGGCWREMPIAQTAQEVRAIQLEPYMQRCYELVRQPDRLCRRSRQPDPEWRGTRLDRHLTLRGCLGAVQASGPAEAAGPSGGALSGIAPQARLLLLLLPLLLPLPPQGPRRSLGRAAPSAPGM
+>sp|Q9HDC9|APMAP_HUMAN Adipocyte plasma membrane-associated protein OS=Homo sapiens OX=9606 GN=APMAP PE=1 SV=2
+MSEADGLRQRRPLRPQVVTDDDGQAPEAKDGSSFSGRVFRVTFLMLAVSLTVPLLGAMMLLESPIDPQPLSFKEPPLLLGVLHPNTKLRQAERLFENQLVGPESIAHIGDVMFTGTADGRVVKLENGEIETIARFGSGPCKTRDDEPVCGRPLGIRAGPNGTLFVADAYKGLFEVNPWKREVKLLLSSETPIEGKNMSFVNDLTVTQDGRKIYFTDSSSKWQRRDYLLLVMEGTDDGRLLEYDTVTREVKVLLDQLRFPNGVQLSPAEDFVLVAETTMARIRRVYVSGLMKGGADLFVENMPGFPDNIRPSSSGGYWVGMSTIRPNPGFSMLDFLSERPWIKRMIFKLFSQETVMKFVPRYSLVLELSDSGAFRRSLHDPDGLVATYISEVHEHDGHLYLGSFRSPFLCRLSLQAV
+>DECOY_sp|Q9HDC9|APMAP_HUMAN Adipocyte plasma membrane-associated protein OS=Homo sapiens OX=9606 GN=APMAP PE=1 SV=2
+VAQLSLRCLFPSRFSGLYLHGDHEHVESIYTAVLGDPDHLSRRFAGSDSLELVLSYRPVFKMVTEQSFLKFIMRKIWPRESLFDLMSFGPNPRITSMGVWYGGSSSPRINDPFGPMNEVFLDAGGKMLGSVYVRRIRAMTTEAVLVFDEAPSLQVGNPFRLQDLLVKVERTVTDYELLRGDDTGEMVLLLYDRRQWKSSSDTFYIKRGDQTVTLDNVFSMNKGEIPTESSLLLKVERKWPNVEFLGKYADAVFLTGNPGARIGLPRGCVPEDDRTKCPGSGFRAITEIEGNELKVVRGDATGTFMVDGIHAISEPGVLQNEFLREAQRLKTNPHLVGLLLPPEKFSLPQPDIPSELLMMAGLLPVTLSVALMLFTVRFVRGSFSSGDKAEPAQGDDDTVVQPRLPRRQRLGDAESM
+>sp|P02654|APOC1_HUMAN Apolipoprotein C-I OS=Homo sapiens OX=9606 GN=APOC1 PE=1 SV=1
+MRLFLSLPVLVVVLSIVLEGPAPAQGTPDVSSALDKLKEFGNTLEDKARELISRIKQSELSAKMREWFSETFQKVKEKLKIDS
+>DECOY_sp|P02654|APOC1_HUMAN Apolipoprotein C-I OS=Homo sapiens OX=9606 GN=APOC1 PE=1 SV=1
+SDIKLKEKVKQFTESFWERMKASLESQKIRSILERAKDELTNGFEKLKDLASSVDPTGQAPAPGELVISLVVVLVPLSLFLRM
+>sp|P02655|APOC2_HUMAN Apolipoprotein C-II OS=Homo sapiens OX=9606 GN=APOC2 PE=1 SV=1
+MGTRLLPALFLVLLVLGFEVQGTQQPQQDEMPSPTFLTQVKESLSSYWESAKTAAQNLYEKTYLPAVDEKLRDLYSKSTAAMSTYTGIFTDQVLSVLKGEE
+>DECOY_sp|P02655|APOC2_HUMAN Apolipoprotein C-II OS=Homo sapiens OX=9606 GN=APOC2 PE=1 SV=1
+EEGKLVSLVQDTFIGTYTSMAATSKSYLDRLKEDVAPLYTKEYLNQAATKASEWYSSLSEKVQTLFTPSPMEDQQPQQTGQVEFGLVLLVLFLAPLLRTGM
+>sp|P02656|APOC3_HUMAN Apolipoprotein C-III OS=Homo sapiens OX=9606 GN=APOC3 PE=1 SV=1
+MQPRVLLVVALLALLASARASEAEDASLLSFMQGYMKHATKTAKDALSSVQESQVAQQARGWVTDGFSSLKDYWSTVKDKFSEFWDLDPEVRPTSAVAA
+>DECOY_sp|P02656|APOC3_HUMAN Apolipoprotein C-III OS=Homo sapiens OX=9606 GN=APOC3 PE=1 SV=1
+AAVASTPRVEPDLDWFESFKDKVTSWYDKLSSFGDTVWGRAQQAVQSEQVSSLADKATKTAHKMYGQMFSLLSADEAESARASALLALLAVVLLVRPQM
+>sp|Q9BWW8|APOL6_HUMAN Apolipoprotein L6 OS=Homo sapiens OX=9606 GN=APOL6 PE=2 SV=1
+MDNQAERESEAGVGLQRDEDDAPLCEDVELQDGDLSPEEKIFLREFPRLKEDLKGNIDKLRALADDIDKTHKKFTKANMVATSTAVISGVMSLLGLALAPATGGGSLLLSTAGQGLATAAGVTSIVSGTLERSKNKEAQARAEDILPTYDQEDREDEEEKADYVTAAGKIIYNLRNTLKYAKKNVRAFWKLRANPRLANATKRLLTTGQVSSRSRVQVQKAFAGTTLAMTKNARVLGGVMSAFSLGYDLATLSKEWKHLKEGARTKFAEELRAKALELERKLTELTQLYKSLQQKVRSRARGVGKDLTGTCETEAYWKELREHVWMWLWLCVCLCVCVYVQFT
+>DECOY_sp|Q9BWW8|APOL6_HUMAN Apolipoprotein L6 OS=Homo sapiens OX=9606 GN=APOL6 PE=2 SV=1
+TFQVYVCVCLCVCLWLWMWVHERLEKWYAETECTGTLDKGVGRARSRVKQQLSKYLQTLETLKRELELAKARLEEAFKTRAGEKLHKWEKSLTALDYGLSFASMVGGLVRANKTMALTTGAFAKQVQVRSRSSVQGTTLLRKTANALRPNARLKWFARVNKKAYKLTNRLNYIIKGAATVYDAKEEEDERDEQDYTPLIDEARAQAEKNKSRELTGSVISTVGAATALGQGATSLLLSGGGTAPALALGLLSMVGSIVATSTAVMNAKTFKKHTKDIDDALARLKDINGKLDEKLRPFERLFIKEEPSLDGDQLEVDECLPADDEDRQLGVGAESEREAQNDM
+>sp|O95445|APOM_HUMAN Apolipoprotein M OS=Homo sapiens OX=9606 GN=APOM PE=1 SV=2
+MFHQIWAALLYFYGIILNSIYQCPEHSQLTTLGVDGKEFPEVHLGQWYFIAGAAPTKEELATFDPVDNIVFNMAAGSAPMQLHLRATIRMKDGLCVPRKWIYHLTEGSTDLRTEGRPDMKTELFSSSCPGGIMLNETGQGYQRFLLYNRSPHPPEKCVEEFKSLTSCLDSKAFLLTPRNQEACELSNN
+>DECOY_sp|O95445|APOM_HUMAN Apolipoprotein M OS=Homo sapiens OX=9606 GN=APOM PE=1 SV=2
+NNSLECAEQNRPTLLFAKSDLCSTLSKFEEVCKEPPHPSRNYLLFRQYGQGTENLMIGGPCSSSFLETKMDPRGETRLDTSGETLHYIWKRPVCLGDKMRITARLHLQMPASGAAMNFVINDVPDFTALEEKTPAAGAIFYWQGLHVEPFEKGDVGLTTLQSHEPCQYISNLIIGYFYLLAAWIQHFM
+>sp|Q96IL0|APOP1_HUMAN Apoptogenic protein 1, mitochondrial OS=Homo sapiens OX=9606 GN=APOPT1 PE=2 SV=3
+MLPCAAGARGRGAMVVLRAGKKTFLPPLCRAFACRGCQLAPERGAERRDTAPSGVSRFCPPRKSCHDWIGPPDKYSNLRPVHFYIPENESPLEQKLRKLRQETQEWNQQFWANQNLTFSKEKEEFIHSRLKTKGLGLRTESGQKATLNAEEMADFYKEFLSKNFQKHMYYNRDWYKRNFAITFFMGKVALERIWNKLKQKQKKRSN
+>DECOY_sp|Q96IL0|APOP1_HUMAN Apoptogenic protein 1, mitochondrial OS=Homo sapiens OX=9606 GN=APOPT1 PE=2 SV=3
+NSRKKQKQKLKNWIRELAVKGMFFTIAFNRKYWDRNYYMHKQFNKSLFEKYFDAMEEANLTAKQGSETRLGLGKTKLRSHIFEEKEKSFTLNQNAWFQQNWEQTEQRLKRLKQELPSENEPIYFHVPRLNSYKDPPGIWDHCSKRPPCFRSVGSPATDRREAGREPALQCGRCAFARCLPPLFTKKGARLVVMAGRGRAGAACPLM
+>sp|Q8IVJ8|APRG1_HUMAN AP20 region protein 1 OS=Homo sapiens OX=9606 GN=APRG1 PE=2 SV=2
+MKTMATRKRCKLSRTGPEFENVIKRLLCARTFHTRIGGDLTHGIINRGRRANAEQMGLQGSAQHFNIFPLDLWTQGKKTEVQKREGTDSIPAAGRSGTANQPSIAPHRCLFSRGITALDGLKRGRGCNGAAHLVRGDAWKTKLGEPWVSIALALAGPGAILILELSWFLG
+>DECOY_sp|Q8IVJ8|APRG1_HUMAN AP20 region protein 1 OS=Homo sapiens OX=9606 GN=APRG1 PE=2 SV=2
+GLFWSLELILIAGPGALALAISVWPEGLKTKWADGRVLHAAGNCGRGRKLGDLATIGRSFLCRHPAISPQNATGSRGAAPISDTGERKQVETKKGQTWLDLPFINFHQASGQLGMQEANARRGRNIIGHTLDGGIRTHFTRACLLRKIVNEFEPGTRSLKCRKRTAMTKM
+>sp|O15143|ARC1B_HUMAN Actin-related protein 2/3 complex subunit 1B OS=Homo sapiens OX=9606 GN=ARPC1B PE=1 SV=3
+MAYHSFLVEPISCHAWNKDRTQIAICPNNHEVHIYEKSGAKWTKVHELKEHNGQVTGIDWAPESNRIVTCGTDRNAYVWTLKGRTWKPTLVILRINRAARCVRWAPNENKFAVGSGSRVISICYFEQENDWWVCKHIKKPIRSTVLSLDWHPNNVLLAAGSCDFKCRIFSAYIKEVEERPAPTPWGSKMPFGELMFESSSSCGWVHGVCFSASGSRVAWVSHDSTVCLADADKKMAVATLASETLPLLALTFITDNSLVAAGHDCFPVLFTYDAAAGMLSFGGRLDVPKQSSQRGLTARERFQNLDKKASSEGGTAAGAGLDSLHKNSVSQISVLSGGKAKCSQFCTTGMDGGMSIWDVKSLESALKDLKIK
+>DECOY_sp|O15143|ARC1B_HUMAN Actin-related protein 2/3 complex subunit 1B OS=Homo sapiens OX=9606 GN=ARPC1B PE=1 SV=3
+KIKLDKLASELSKVDWISMGGDMGTTCFQSCKAKGGSLVSIQSVSNKHLSDLGAGAATGGESSAKKDLNQFRERATLGRQSSQKPVDLRGGFSLMGAAADYTFLVPFCDHGAAVLSNDTIFTLALLPLTESALTAVAMKKDADALCVTSDHSVWAVRSGSASFCVGHVWGCSSSSEFMLEGFPMKSGWPTPAPREEVEKIYASFIRCKFDCSGAALLVNNPHWDLSLVTSRIPKKIHKCVWWDNEQEFYCISIVRSGSGVAFKNENPAWRVCRAARNIRLIVLTPKWTRGKLTWVYANRDTGCTVIRNSEPAWDIGTVQGNHEKLEHVKTWKAGSKEYIHVEHNNPCIAIQTRDKNWAHCSIPEVLFSHYAM
+>sp|P61204|ARF3_HUMAN ADP-ribosylation factor 3 OS=Homo sapiens OX=9606 GN=ARF3 PE=1 SV=2
+MGNIFGNLLKSLIGKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRERVNEAREELMRMLAEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRHRNWYIQATCATSGDGLYEGLDWLANQLKNKK
+>DECOY_sp|P61204|ARF3_HUMAN ADP-ribosylation factor 3 OS=Homo sapiens OX=9606 GN=ARF3 PE=1 SV=2
+KKNKLQNALWDLGEYLGDGSTACTAQIYWNRHRLSHLGLKDTIEAANMANPLDQKNAFVLLVADRLEDEALMRMLEERAENVRERDNSDVVFILGQTNQFYHRWLPRIKDQGGVDWVTFSINKYEVTEVNFGITPITTVIEGLKLKYLITTKGAADLGVMLIRMEKKGILSKLLNGFINGM
+>sp|Q8N6H7|ARFG2_HUMAN ADP-ribosylation factor GTPase-activating protein 2 OS=Homo sapiens OX=9606 GN=ARFGAP2 PE=1 SV=1
+MAAEPNKTEIQTLFKRLRAVPTNKACFDCGAKNPSWASITYGVFLCIDCSGVHRSLGVHLSFIRSTELDSNWNWFQLRCMQVGGNANATAFFRQHGCTANDANTKYNSRAAQMYREKIRQLGSAALARHGTDLWIDNMSSAVPNHSPEKKDSDFFTEHTQPPAWDAPATEPSGTQQPAPSTESSGLAQPEHGPNTDLLGTSPKASLELKSSIIGKKKPAAAKKGLGAKKGLGAQKVSSQSFSEIERQAQVAEKLREQQAADAKKQAEESMVASMRLAYQELQIDRKKEEKKLQNLEGKKREQAERLGMGLVSRSSVSHSVLSEMQVIEQETPVSAKSSRSQLDLFDDVGTFASGPPKYKDNPFSLGESFGSRWDTDAAWGMDRVEEKEPEVTISSIRPISERATNRREVESRSSGLESSEARQKFAGAKAISSDMFFGREVDAEYEARSRLQQLSGSSAISSSDLFGDMDGAHGAGSVSLGNVLPTADIAQFKQGVKSVAGKMAVLANGVMNSLQDRYGSY
+>DECOY_sp|Q8N6H7|ARFG2_HUMAN ADP-ribosylation factor GTPase-activating protein 2 OS=Homo sapiens OX=9606 GN=ARFGAP2 PE=1 SV=1
+YSGYRDQLSNMVGNALVAMKGAVSKVGQKFQAIDATPLVNGLSVSGAGHAGDMDGFLDSSSIASSGSLQQLRSRAEYEADVERGFFMDSSIAKAGAFKQRAESSELGSSRSEVERRNTARESIPRISSITVEPEKEEVRDMGWAADTDWRSGFSEGLSFPNDKYKPPGSAFTGVDDFLDLQSRSSKASVPTEQEIVQMESLVSHSVSSRSVLGMGLREAQERKKGELNQLKKEEKKRDIQLEQYALRMSAVMSEEAQKKADAAQQERLKEAVQAQREIESFSQSSVKQAGLGKKAGLGKKAAAPKKKGIISSKLELSAKPSTGLLDTNPGHEPQALGSSETSPAPQQTGSPETAPADWAPPQTHETFFDSDKKEPSHNPVASSMNDIWLDTGHRALAASGLQRIKERYMQAARSNYKTNADNATCGHQRFFATANANGGVQMCRLQFWNWNSDLETSRIFSLHVGLSRHVGSCDICLFVGYTISAWSPNKAGCDFCAKNTPVARLRKFLTQIETKNPEAAM
+>sp|Q8N1W1|ARG28_HUMAN Rho guanine nucleotide exchange factor 28 OS=Homo sapiens OX=9606 GN=ARHGEF28 PE=1 SV=3
+MELSCSEAPLYGQMMIYAKFDKNVYLPEDAEFYFTYDGSHQRHVMIAERIEDNVLQSSVPGHGLQETVTVSVCLCSEGYSPVTMGSGSVTYVDNMACRLARLLVTQANRLTACSHQTLLTPFALTAGALPALDEELVLALTHLELPLEWTVLGSSSLEVSSHRESLLHLAMRWGLAKLSQFFLCLPGGVQALALPNEEGATPLDLALREGHSKLVEDVTNFQGRWSPSFSRVQLSEEASLHYIHSSETLTLTLNHTAEHLLEADIKLFRKYFWDRAFLVKAFEPEARPEERTAMPSSGAETEEEIKNSVSSRSAAEKEDIKRVKSLVVQHNEHEDQHSLDLDRSFDILKKSKPPSTLLAAGRLSDMLNGGDEVYANCMVIDQVGDLDISYINIEGITATTSPESRGCTLWPQSSKHTLPTETSPSVYPLSENVEGTAHTEAQQSFMSPSSSCASNLNLSFGWHGFEKEQSHLKKRSSSLDALDADSEGEGHSEPSHICYTPGSQSSSRTGIPSGDELDSFETNTEPDFNISRAESLPLSSNLQSKESLLSGVRSRSYSCSSPKISLGKTRLVRELTVCSSSEEQRAYSLSEPPRENRIQEEEWDKYIIPAKSESEKYKVSRTFSFLMNRMTSPRNKSKTKSKDAKDKEKLNRHQFAPGTFSGVLQCLVCDKTLLGKESLQCSNCNANVHKGCKDAAPACTKKFQEKYNKNKPQTILGNSSFRDIPQPGLSLHPSSSVPVGLPTGRRETVGQVHPLSRSVPGTTLESFRRSATSLESESDHNSCRSRSHSDELLQSMGSSPSTESFIMEDVVDSSLWSDLSSDAQEFEAESWSLVVDPSFCNRQEKDVIKRQDVIFELMQTEMHHIQTLFIMSEIFRKGMKEELQLDHSTVDKIFPCLDELLEIHRHFFYSMKERRQESCAGSDRNFVIDRIGDILVQQFSEENASKMKKIYGEFCCHHKEAVNLFKELQQNKKFQNFIKLRNSNLLARRRGIPECILLVTQRITKYPVLVERILQYTKERTEEHKDLRKALCLIKDMIATVDLKVNEYEKNQKWLEILNKIENKTYTKLKNGHVFRKQALMSEERTLLYDGLVYWKTATGRFKDILALLLTDVLLFLQEKDQKYIFAAVDQKPSVISLQKLIAREVANEERGMFLISASSAGPEMYEIHTNSKEERNNWMRRIQQAVESCPEEKGGRTSESDEDKRKAEARVAKIQQCQEILTNQDQQICAYLEEKLHIYAELGELSGFEDVHLEPHLLIKPDPGEPPQAASLLAAALKEAESLQVAVKASQMGAVSQSCEDSCGDSVLADTLSSHDVPGSPTASLVTGGREGRGCSDVDPGIQGVVTDLAVSDAGEKVECRNFPGSSQSEIIQAIQNLTRLLYSLQAALTIQDSHIEIHRLVLQQQEGLSLGHSILRGGPLQDQKSRDADRQHEELANVHQLQHQLQQEQRRWLRRCEQQQRAQATRESWLQERERECQSQEELLLRSRGELDLQLQEYQHSLERLREGQRLVEREQARMRAQQSLLGHWKHGRQRSLPAVLLPGGPEVMELNRSESLCHENSFFINEALVQMSFNTFNKLNPSVIHQDATYPTTQSHSDLVRTSEHQVDLKVDPSQPSNVSHKLWTAAGSGHQILPFHESSKDSCKNDLDTSHTESPTPHDSNSHRPQLQAFITEAKLNLPTRTMTRQDGETGDGAKENIVYL
+>DECOY_sp|Q8N1W1|ARG28_HUMAN Rho guanine nucleotide exchange factor 28 OS=Homo sapiens OX=9606 GN=ARHGEF28 PE=1 SV=3
+LYVINEKAGDGTEGDQRTMTRTPLNLKAETIFAQLQPRHSNSDHPTPSETHSTDLDNKCSDKSSEHFPLIQHGSGAATWLKHSVNSPQSPDVKLDVQHESTRVLDSHSQTTPYTADQHIVSPNLKNFTNFSMQVLAENIFFSNEHCLSESRNLEMVEPGGPLLVAPLSRQRGHKWHGLLSQQARMRAQEREVLRQGERLRELSHQYEQLQLDLEGRSRLLLEEQSQCEREREQLWSERTAQARQQQECRRLWRRQEQQLQHQLQHVNALEEHQRDADRSKQDQLPGGRLISHGLSLGEQQQLVLRHIEIHSDQITLAAQLSYLLRTLNQIAQIIESQSSGPFNRCEVKEGADSVALDTVVGQIGPDVDSCGRGERGGTVLSATPSGPVDHSSLTDALVSDGCSDECSQSVAGMQSAKVAVQLSEAEKLAAALLSAAQPPEGPDPKILLHPELHVDEFGSLEGLEAYIHLKEELYACIQQDQNTLIEQCQQIKAVRAEAKRKDEDSESTRGGKEEPCSEVAQQIRRMWNNREEKSNTHIEYMEPGASSASILFMGREENAVERAILKQLSIVSPKQDVAAFIYKQDKEQLFLLVDTLLLALIDKFRGTATKWYVLGDYLLTREESMLAQKRFVHGNKLKTYTKNEIKNLIELWKQNKEYENVKLDVTAIMDKILCLAKRLDKHEETREKTYQLIREVLVPYKTIRQTVLLICEPIGRRRALLNSNRLKIFNQFKKNQQLEKFLNVAEKHHCCFEGYIKKMKSANEESFQQVLIDGIRDIVFNRDSGACSEQRREKMSYFFHRHIELLEDLCPFIKDVTSHDLQLEEKMGKRFIESMIFLTQIHHMETQMLEFIVDQRKIVDKEQRNCFSPDVVLSWSEAEFEQADSSLDSWLSSDVVDEMIFSETSPSSGMSQLLEDSHSRSRCSNHDSESELSTASRRFSELTTGPVSRSLPHVQGVTERRGTPLGVPVSSSPHLSLGPQPIDRFSSNGLITQPKNKNYKEQFKKTCAPAADKCGKHVNANCNSCQLSEKGLLTKDCVLCQLVGSFTGPAFQHRNLKEKDKADKSKTKSKNRPSTMRNMLFSFTRSVKYKESESKAPIIYKDWEEEQIRNERPPESLSYARQEESSSCVTLERVLRTKGLSIKPSSCSYSRSRVGSLLSEKSQLNSSLPLSEARSINFDPETNTEFSDLEDGSPIGTRSSSQSGPTYCIHSPESHGEGESDADLADLSSSRKKLHSQEKEFGHWGFSLNLNSACSSSPSMFSQQAETHATGEVNESLPYVSPSTETPLTHKSSQPWLTCGRSEPSTTATIGEINIYSIDLDGVQDIVMCNAYVEDGGNLMDSLRGAALLTSPPKSKKLIDFSRDLDLSHQDEHENHQVVLSKVRKIDEKEAASRSSVSNKIEEETEAGSSPMATREEPRAEPEFAKVLFARDWFYKRFLKIDAELLHEATHNLTLTLTESSHIYHLSAEESLQVRSFSPSWRGQFNTVDEVLKSHGERLALDLPTAGEENPLALAQVGGPLCLFFQSLKALGWRMALHLLSERHSSVELSSSGLVTWELPLELHTLALVLEEDLAPLAGATLAFPTLLTQHSCATLRNAQTVLLRALRCAMNDVYTVSGSGMTVPSYGESCLCVSVTVTEQLGHGPVSSQLVNDEIREAIMVHRQHSGDYTFYFEADEPLYVNKDFKAYIMMQGYLPAESCSLEM
+>sp|P05089|ARGI1_HUMAN Arginase-1 OS=Homo sapiens OX=9606 GN=ARG1 PE=1 SV=2
+MSAKSRTIGIIGAPFSKGQPRGGVEEGPTVLRKAGLLEKLKEQECDVKDYGDLPFADIPNDSPFQIVKNPRSVGKASEQLAGKVAEVKKNGRISLVLGGDHSLAIGSISGHARVHPDLGVIWVDAHTDINTPLTTTSGNLHGQPVSFLLKELKGKIPDVPGFSWVTPCISAKDIVYIGLRDVDPGEHYILKTLGIKYFSMTEVDRLGIGKVMEETLSYLLGRKKRPIHLSFDVDGLDPSFTPATGTPVVGGLTYREGLYITEEIYKTGLLSGLDIMEVNPSLGKTPEEVTRTVNTAVAITLACFGLAREGNHKPIDYLNPPK
+>DECOY_sp|P05089|ARGI1_HUMAN Arginase-1 OS=Homo sapiens OX=9606 GN=ARG1 PE=1 SV=2
+KPPNLYDIPKHNGERALGFCALTIAVATNVTRTVEEPTKGLSPNVEMIDLGSLLGTKYIEETIYLGERYTLGGVVPTGTAPTFSPDLGDVDFSLHIPRKKRGLLYSLTEEMVKGIGLRDVETMSFYKIGLTKLIYHEGPDVDRLGIYVIDKASICPTVWSFGPVDPIKGKLEKLLFSVPQGHLNGSTTTLPTNIDTHADVWIVGLDPHVRAHGSISGIALSHDGGLVLSIRGNKKVEAVKGALQESAKGVSRPNKVIQFPSDNPIDAFPLDGYDKVDCEQEKLKELLGAKRLVTPGEEVGGRPQGKSFPAGIIGITRSKASM
+>sp|Q9NWB6|ARGL1_HUMAN Arginine and glutamate-rich protein 1 OS=Homo sapiens OX=9606 GN=ARGLU1 PE=1 SV=1
+MGRSRSRSSSRSKHTKSSKHNKKRSRSRSRSRDKERVRKRSKSRESKRNRRRESRSRSRSTNTAVSRRERDRERASSPPDRIDIFGRTVSKRSSLDEKQKREEEEKKAEFERQRKIRQQEIEEKLIEEETARRVEELVAKRVEEELEKRKDEIEREVLRRVEEAKRIMEKQLLEELERQRQAELAAQKAREEEERAKREELERILEENNRKIAEAQAKLAEEQLRIVEEQRKIHEERMKLEQERQRQQKEEQKIILGKGKSRPKLSFSLKTQD
+>DECOY_sp|Q9NWB6|ARGL1_HUMAN Arginine and glutamate-rich protein 1 OS=Homo sapiens OX=9606 GN=ARGLU1 PE=1 SV=1
+DQTKLSFSLKPRSKGKGLIIKQEEKQQRQREQELKMREEHIKRQEEVIRLQEEALKAQAEAIKRNNEELIRELEERKAREEEERAKQAALEAQRQRELEELLQKEMIRKAEEVRRLVEREIEDKRKELEEEVRKAVLEEVRRATEEEILKEEIEQQRIKRQREFEAKKEEEERKQKEDLSSRKSVTRGFIDIRDPPSSARERDRERRSVATNTSRSRSRSERRRNRKSERSKSRKRVREKDRSRSRSRSRKKNHKSSKTHKSRSSSRSRSRGM
+>sp|A1IGU5|ARH37_HUMAN Rho guanine nucleotide exchange factor 37 OS=Homo sapiens OX=9606 GN=ARHGEF37 PE=2 SV=2
+MAKHGADEPSSRSGSPDREGRASEDRSLLHQRLAVRELIDTEVSYLHMLQLCASDIRSRLQQLPQGDLDVLFSNIDDIIKVNSRFLHDLQETASKEEEQVQLVGNIFLEFQEELEQVYKVYCASYDQALLLVDTYRKEPELQRHIQGIVEAVVPQAGSSGLSFLLVIPLQRITRYPLLLQKILENTVPDASAYPVLQRAVSALQDVNTNINEYKMRKEVASKYTKVEQLTLRERLARINTHTLSKKTTRLSQLLKQEAGLIPRTEDKEFDDLEERFQWVSLCVTELKNNVAAYLDNLQAFLYFRPHEYNLDIPEGPAVQYCNLARDLHLEAFLKFKQRLEGLVWQPLCSLAKALLGPQNLIKKRLDKLLDFERVEEKLLEVGSVTYQEEAARHTYQALNSLLVAELPQFNQLVMQWLGQIMCTFVTLQRDLAKQVLQRAEGSMAQLPHHHVPEPAFRKLVEDALGRTSNQLRSFQETFEKVQPPPTTQPLLPGSERQVQALLSRYGPGKLYQVTSNISGTGTLDLTLPRGQIVAILQNKDTKGNSGRWLVDTGGHRGYVPAGKLQLYHVVPSAEELRRQAGLNKDPRCLTPEPSPALVPSIPTMNQVIAAYPFVARSSHEVSLQAGQPVTILEAQDKKGNPEWSLVEVNGQRGYVPSGFLARARSPVLWGWSLPS
+>DECOY_sp|A1IGU5|ARH37_HUMAN Rho guanine nucleotide exchange factor 37 OS=Homo sapiens OX=9606 GN=ARHGEF37 PE=2 SV=2
+SPLSWGWLVPSRARALFGSPVYGRQGNVEVLSWEPNGKKDQAELITVPQGAQLSVEHSSRAVFPYAAIVQNMTPISPVLAPSPEPTLCRPDKNLGAQRRLEEASPVVHYLQLKGAPVYGRHGGTDVLWRGSNGKTDKNQLIAVIQGRPLTLDLTGTGSINSTVQYLKGPGYRSLLAQVQRESGPLLPQTTPPPQVKEFTEQFSRLQNSTRGLADEVLKRFAPEPVHHHPLQAMSGEARQLVQKALDRQLTVFTCMIQGLWQMVLQNFQPLEAVLLSNLAQYTHRAAEEQYTVSGVELLKEEVREFDLLKDLRKKILNQPGLLAKALSCLPQWVLGELRQKFKLFAELHLDRALNCYQVAPGEPIDLNYEHPRFYLFAQLNDLYAAVNNKLETVCLSVWQFREELDDFEKDETRPILGAEQKLLQSLRTTKKSLTHTNIRALRERLTLQEVKTYKSAVEKRMKYENINTNVDQLASVARQLVPYASADPVTNELIKQLLLPYRTIRQLPIVLLFSLGSSGAQPVVAEVIGQIHRQLEPEKRYTDVLLLAQDYSACYVKYVQELEEQFELFINGVLQVQEEEKSATEQLDHLFRSNVKIIDDINSFLVDLDGQPLQQLRSRIDSACLQLMHLYSVETDILERVALRQHLLSRDESARGERDPSGSRSSPEDAGHKAM
+>sp|Q12774|ARHG5_HUMAN Rho guanine nucleotide exchange factor 5 OS=Homo sapiens OX=9606 GN=ARHGEF5 PE=1 SV=3
+MEAEEAQRGASPPISAIEEFSIIPEAPMRSSQVSALGLEAQEDEDPSYKWREEHRLSATQQSELRDVCDYAIETMPSFPKEGSADVEPNQESLVAEACDTPEHWEAVPQSLAGRQARTLAPPELWACPIQSEHLDMAPFSSDLGSEEEEVEFWPGLTSLTLGSGQAEEEEETSSDNSGQTRYYSPCEEHPAETNQNEGSESGTIRQGEELPPEELQESQGLLHPQEVQVLEEQGQQEAGFRGEGTLREDVCADGLLGEEQMIEQVNDEKGEQKQKQEQVQDVMLGRQGERMGLTGEPEGLNDGEWEQEDMERKAQGQGGPEQGEERKRELQVPEENRADSQDEKSQTFLGKSEEVTGKQEDHGIKEKGVPVSGQEAKEPESWDGGRLGAVGRARSREEENEHHGPSMPALIAPEDSPHCDLFPGASYLMTQIPGTQTESRAEELSPAALSPSLEPIRCSHQPISLLGSFLTEESPDKEIDQNSQQEESRLRKGTVSSQGTEVVFASASVTPPRTPDSAPPSPAEAYPITPASVSARPPVAFPRRETSCAARAPETASAPLSMDDPSPCGTSEMCPAALYGFPSTGTSPPRPPANSTGTVQHLRSDSFPGSHRTEQTPDLVGMLLSYSHSELPQRPPKPAIYSSVTPRRDRRSGRDYSTVSASPTALSTLKQDSQESISNLERPSSPPSIQPWVSPHNPAFATESPAYGSSPSFVSMEDVRIHEPLPPPPPQRRDTHPSVVETDGHARVVVPTLKQHSHPPPLALGSGLHAPHKGPLPQASDPAVARQHRPLPSTPDSSHHAQATPRWRYNKPLPPTPDLPQPHLPPISAPGSSRIYRPLPPLPIIDPPTEPPPLPPKSRGRSRSTRGGHMNSGGHAKTRPACQDWTVPLPASAGRTSWPPATARSTESFTSTSRSKSEVSPGMAFSNMTNFLCPSSPTTPWTPELQGPTSKDEAGVSEHPEAPAREPLRRTTPQQGASGPGRSPVGQARQPEKPSHLHLEKASSWPHRRDSGRPPGDSSGQAVAPSEGANKHKGWSRQGLRRPSILPEGSSDSRGPAVEKHPGPSDTVVFREKKPKEVMGGFSRRCSKLINSSQLLYQEYSDVVLNKEIQSQQRLESLSETPGPSSPRQPRKALVSSESYLQRLSMASSGSLWQEIPVVRNSTVLLSMTHEDQKLQEVKFELIVSEASYLRSLNIAVDHFQLSTSLRATLSNQEHQWLFSRLQDVRDVSATFLSDLEENFENNIFSFQVCDVVLNHAPDFRRVYLPYVTNQTYQERTFQSLMNSNSNFREVLEKLESDPVCQRLSLKSFLILPFQRITRLKLLLQNILKRTQPGSSEEAEATKAHHALEQLIRDCNNNVQSMRRTEELIYLSQKIEFECKIFPLISQSRWLVKSGELTALEFSASPGLRRKLNTRPVHLHLFNDCLLLSRPREGSRFLVFDHAPFSSIRGEKCEMKLHGPHKNLFRLFLRQNTQGAQAEFLFRTETQSEKLRWISALAMPREELDLLECYNSPQVQCLRAYKPRENDELALEKADVVMVTQQSSDGWLEGVRLSDGERGWFPVQQVEFISNPEVRAQNLKEAHRVKTAKLQLVEQQA
+>DECOY_sp|Q12774|ARHG5_HUMAN Rho guanine nucleotide exchange factor 5 OS=Homo sapiens OX=9606 GN=ARHGEF5 PE=1 SV=3
+AQQEVLQLKATKVRHAEKLNQARVEPNSIFEVQQVPFWGREGDSLRVGELWGDSSQQTVMVVDAKELALEDNERPKYARLCQVQPSNYCELLDLEERPMALASIWRLKESQTETRFLFEAQAGQTNQRLFLRFLNKHPGHLKMECKEGRISSFPAHDFVLFRSGERPRSLLLCDNFLHLHVPRTNLKRRLGPSASFELATLEGSKVLWRSQSILPFIKCEFEIKQSLYILEETRRMSQVNNNCDRILQELAHHAKTAEAEESSGPQTRKLINQLLLKLRTIRQFPLILFSKLSLRQCVPDSELKELVERFNSNSNMLSQFTREQYTQNTVYPLYVRRFDPAHNLVVDCVQFSFINNEFNEELDSLFTASVDRVDQLRSFLWQHEQNSLTARLSTSLQFHDVAINLSRLYSAESVILEFKVEQLKQDEHTMSLLVTSNRVVPIEQWLSGSSAMSLRQLYSESSVLAKRPQRPSSPGPTESLSELRQQSQIEKNLVVDSYEQYLLQSSNILKSCRRSFGGMVEKPKKERFVVTDSPGPHKEVAPGRSDSSGEPLISPRRLGQRSWGKHKNAGESPAVAQGSSDGPPRGSDRRHPWSSAKELHLHSPKEPQRAQGVPSRGPGSAGQQPTTRRLPERAPAEPHESVGAEDKSTPGQLEPTWPTTPSSPCLFNTMNSFAMGPSVESKSRSTSTFSETSRATAPPWSTRGASAPLPVTWDQCAPRTKAHGGSNMHGGRTSRSRGRSKPPLPPPETPPDIIPLPPLPRYIRSSGPASIPPLHPQPLDPTPPLPKNYRWRPTAQAHHSSDPTSPLPRHQRAVAPDSAQPLPGKHPAHLGSGLALPPPHSHQKLTPVVVRAHGDTEVVSPHTDRRQPPPPPLPEHIRVDEMSVFSPSSGYAPSETAFAPNHPSVWPQISPPSSPRELNSISEQSDQKLTSLATPSASVTSYDRGSRRDRRPTVSSYIAPKPPRQPLESHSYSLLMGVLDPTQETRHSGPFSDSRLHQVTGTSNAPPRPPSTGTSPFGYLAAPCMESTGCPSPDDMSLPASATEPARAACSTERRPFAVPPRASVSAPTIPYAEAPSPPASDPTRPPTVSASAFVVETGQSSVTGKRLRSEEQQSNQDIEKDPSEETLFSGLLSIPQHSCRIPELSPSLAAPSLEEARSETQTGPIQTMLYSAGPFLDCHPSDEPAILAPMSPGHHENEEERSRARGVAGLRGGDWSEPEKAEQGSVPVGKEKIGHDEQKGTVEESKGLFTQSKEDQSDARNEEPVQLERKREEGQEPGGQGQAKREMDEQEWEGDNLGEPEGTLGMREGQRGLMVDQVQEQKQKQEGKEDNVQEIMQEEGLLGDACVDERLTGEGRFGAEQQGQEELVQVEQPHLLGQSEQLEEPPLEEGQRITGSESGENQNTEAPHEECPSYYRTQGSNDSSTEEEEEAQGSGLTLSTLGPWFEVEEEESGLDSSFPAMDLHESQIPCAWLEPPALTRAQRGALSQPVAEWHEPTDCAEAVLSEQNPEVDASGEKPFSPMTEIAYDCVDRLESQQTASLRHEERWKYSPDEDEQAELGLASVQSSRMPAEPIISFEEIASIPPSAGRQAEEAEM
+>sp|Q14155|ARHG7_HUMAN Rho guanine nucleotide exchange factor 7 OS=Homo sapiens OX=9606 GN=ARHGEF7 PE=1 SV=2
+MNSAEQTVTWLITLGVLESPKKTISDPEGFLQASLKDGVVLCRLLERLLPGTIEKVYPEPRSESECLSNIREFLRGCGASLRLELLFPPSQPPQHLVTTILLSASTFDANDLYQGQNFNKVLSSLVTLNKVTADIGLGSDSVCARPSSHRIKSFDSLGSQSLHTRTSKLFQGQYRSLDMTDNSNNQLVVRAKFNFQQTNEDELSFSKGDVIHVTRVEEGGWWEGTLNGRTGWFPSNYVREVKASEKPVSPKSGTLKSPPKGFDTTAINKSYYNVVLQNILETENEYSKELQTVLSTYLRPLQTSEKLSSANISYLMGNLEEICSFQQMLVQSLEECTKLPEAQQRVGGCFLNLMPQMKTLYLTYCANHPSAVNVLTEHSEELGEFMETKGASSPGILVLTTGLSKPFMRLDKYPTLLKELERHMEDYHTDRQDIQKSMAAFKNLSAQCQEVRKRKELELQILTEAIRNWEGDDIKTLGNVTYMSQVLIQCAGSEEKNERYLLLFPNVLLMLSASPRMSGFIYQGKLPTTGMTITKLEDSENHRNAFEISGSMIERILVSCNNQQDLQEWVEHLQKQTKVTSVGNPTIKPHSVPSHTLPSHPVTPSSKHADSKPAPLTPAYHTLPHPSHHGTPHTTINWGPLEPPKTPKPWSLSCLRPAPPLRPSAALCYKEDLSKSPKTMKKLLPKRKPERKPSDEEFASRKSTAALEEDAQILKVIEAYCTSAKTRQTLNSTWQGTDLMHNHVLADDDQPSLDSLGRRSSLSRLEPSDLSEDSDYDSIWTAHSYRMGSTSRKSCCSYISHQN
+>DECOY_sp|Q14155|ARHG7_HUMAN Rho guanine nucleotide exchange factor 7 OS=Homo sapiens OX=9606 GN=ARHGEF7 PE=1 SV=2
+NQHSIYSCCSKRSTSGMRYSHATWISDYDSDESLDSPELRSLSSRRGLSDLSPQDDDALVHNHMLDTGQWTSNLTQRTKASTCYAEIVKLIQADEELAATSKRSAFEEDSPKREPKRKPLLKKMTKPSKSLDEKYCLAASPRLPPAPRLCSLSWPKPTKPPELPGWNITTHPTGHHSPHPLTHYAPTLPAPKSDAHKSSPTVPHSPLTHSPVSHPKITPNGVSTVKTQKQLHEVWEQLDQQNNCSVLIREIMSGSIEFANRHNESDELKTITMGTTPLKGQYIFGSMRPSASLMLLVNPFLLLYRENKEESGACQILVQSMYTVNGLTKIDDGEWNRIAETLIQLELEKRKRVEQCQASLNKFAAMSKQIDQRDTHYDEMHRELEKLLTPYKDLRMFPKSLGTTLVLIGPSSAGKTEMFEGLEESHETLVNVASPHNACYTLYLTKMQPMLNLFCGGVRQQAEPLKTCEELSQVLMQQFSCIEELNGMLYSINASSLKESTQLPRLYTSLVTQLEKSYENETELINQLVVNYYSKNIATTDFGKPPSKLTGSKPSVPKESAKVERVYNSPFWGTRGNLTGEWWGGEEVRTVHIVDGKSFSLEDENTQQFNFKARVVLQNNSNDTMDLSRYQGQFLKSTRTHLSQSGLSDFSKIRHSSPRACVSDSGLGIDATVKNLTVLSSLVKNFNQGQYLDNADFTSASLLITTVLHQPPQSPPFLLELRLSAGCGRLFERINSLCESESRPEPYVKEITGPLLRELLRCLVVGDKLSAQLFGEPDSITKKPSELVGLTILWTVTQEASNM
+>sp|Q68CP9|ARID2_HUMAN AT-rich interactive domain-containing protein 2 OS=Homo sapiens OX=9606 GN=ARID2 PE=1 SV=2
+MANSTGKAPPDERRKGLAFLDELRQFHHSRGSPFKKIPAVGGKELDLHGLYTRVTTLGGFAKVSEKNQWGEIVEEFNFPRSCSNAAFALKQYYLRYLEKYEKVHHFGEDDDEVPPGNPKPQLPIGAIPSSYNYQQHSVSDYLRQSYGLSMDFNSPNDYNKLVLSLLSGLPNEVDFAINVCTLLSNESKHVMQLEKDPKIITLLLANAGVFDDTLGSFSTVFGEEWKEKTDRDFVKFWKDIVDDNEVRDLISDRNKSHEGTSGEWIWESLFHPPRKLGINDIEGQRVLQIAVILRNLSFEEGNVKLLAANRTCLRFLLLSAHSHFISLRQLGLDTLGNIAAELLLDPVDFKTTHLMFHTVTKCLMSRDRFLKMRGMEILGNLCKAEDNGVLICEYVDQDSYREIICHLTLPDVLLVISTLEVLYMLTEMGDVACTKIAKVEKSIDMLVCLVSMDIQMFGPDALAAVKLIEHPSSSHQMLSEIRPQAIEQVQTQTHVASAPASRAVVAQHVAPPPGIVEIDSEKFACQWLNAHFEVNPDCSVSRAEMYSEYLSTCSKLARGGILTSTGFYKCLRTVFPNHTVKRVEDSSSNGQAHIHVVGVKRRAIPLPIQMYYQQQPVSTSVVRVDSVPDVSPAPSPAGIPHGSQTIGNHFQRTPVANQSSNLTATQMSFPVQGVHTVAQTVSRIPQNPSPHTHQQQNAPVTVIQSKAPIPCEVVKATVIQNSIPQTGVPVSIAVGGGPPQSSVVQNHSTGPQPVTVVNSQTLLHHPSVIPQQSPLHTVVPGQIPSGTPVTVIQQAVPQSHMFGRVQNIPACTSTVSQGQQLITTSPQPVQTSSQQTSAGSQSQDTVIIAPPQYVTTSASNIVSATSVQNFQVATGQMVTIAGVPSPQASRVGFQNIAPKPLPSQQVSSTVVQQPIQQPQQPTQQSVVIVSQPAQQGQTYAPAIHQIVLANPAALPAGQTVQLTGQPNITPSSSPSPVPATNNQVPTAMSSSSTPQSQGPPPTVSQMLSVKRQQQQQHSPAPPPQQVQVQVQQPQQVQMQVQPQQSNAGVGQPASGESSLIKQLLLPKRGPSTPGGKLILPAPQIPPPNNARAPSPQVVYQVASNQAAGFGVQGQTPAQQLLVGQQNVQLVPSAMPPSGGVQTVPISNLQILPGPLISNSPATIFQGTSGNQVTITVVPNTSFAPATVSQGNATQLIAPAGITMSGTQTGVGLPVQTLPATQASPAGQSSCTTATPPFKGDKIICQKEEEAKEATGLHVHERKIEVMENPSCRRGATNTSNGDTKENEMHVGSLLNGRKYSDSSLPPSNSGKIQSETNQCSLISNGPSLELGENGASGKQNSEQIDMQDIKSDLRKPLVNGICDFDKGDGSHLSKNIPNHKTSNHVGNGEISPMEPQGTLDITQQDTAKGDQLERISNGPVLTLGGSSVSSIQEASNAATQQFSGTDLLNGPLASSLNSDVPQQRPSVVVSPHSTTSVIQGHQIIAVPDSGSKVSHSPALSSDVRSTNGTAECKTVKRPAEDTDRETVAGIPNKVGVRIVTISDPNNAGCSATMVAVPAGADPSTVAKVAIESAVQQKQQHPPTYVQNVVPQNTPMPPSPAVQVQGQPNSSQPSPFSGSSQPGDPMRKPGQNFMCLWQSCKKWFQTPSQVFYHAATEHGGKDVYPGQCLWEGCEPFQRQRFSFITHLQDKHCSKDALLAGLKQDEPGQAGSQKSSTKQPTVGGTSSTPRAQKAIVNHPSAALMALRRGSRNLVFRDFTDEKEGPITKHIRLTAALILKNIGKYSECGRRLLKRHENNLSVLAISNMEASSTLAKCLYELNFTVQSKEQEKDSEMLQ
+>DECOY_sp|Q68CP9|ARID2_HUMAN AT-rich interactive domain-containing protein 2 OS=Homo sapiens OX=9606 GN=ARID2 PE=1 SV=2
+QLMESDKEQEKSQVTFNLEYLCKALTSSAEMNSIALVSLNNEHRKLLRRGCESYKGINKLILAATLRIHKTIPGEKEDTFDRFVLNRSGRRLAMLAASPHNVIAKQARPTSSTGGVTPQKTSSKQSGAQGPEDQKLGALLADKSCHKDQLHTIFSFRQRQFPECGEWLCQGPYVDKGGHETAAHYFVQSPTQFWKKCSQWLCMFNQGPKRMPDGPQSSGSFPSPQSSNPQGQVQVAPSPPMPTNQPVVNQVYTPPHQQKQQVASEIAVKAVTSPDAGAPVAVMTASCGANNPDSITVIRVGVKNPIGAVTERDTDEAPRKVTKCEATGNTSRVDSSLAPSHSVKSGSDPVAIIQHGQIVSTTSHPSVVVSPRQQPVDSNLSSALPGNLLDTGSFQQTAANSAEQISSVSSGGLTLVPGNSIRELQDGKATDQQTIDLTGQPEMPSIEGNGVHNSTKHNPINKSLHSGDGKDFDCIGNVLPKRLDSKIDQMDIQESNQKGSAGNEGLELSPGNSILSCQNTESQIKGSNSPPLSSDSYKRGNLLSGVHMENEKTDGNSTNTAGRRCSPNEMVEIKREHVHLGTAEKAEEEKQCIIKDGKFPPTATTCSSQGAPSAQTAPLTQVPLGVGTQTGSMTIGAPAILQTANGQSVTAPAFSTNPVVTITVQNGSTGQFITAPSNSILPGPLIQLNSIPVTQVGGSPPMASPVLQVNQQGVLLQQAPTQGQVGFGAAQNSAVQYVVQPSPARANNPPPIQPAPLILKGGPTSPGRKPLLLQKILSSEGSAPQGVGANSQQPQVQMQVQQPQQVQVQVQQPPPAPSHQQQQQRKVSLMQSVTPPPGQSQPTSSSSMATPVQNNTAPVPSPSSSPTINPQGTLQVTQGAPLAAPNALVIQHIAPAYTQGQQAPQSVIVVSQQTPQQPQQIPQQVVTSSVQQSPLPKPAINQFGVRSAQPSPVGAITVMQGTAVQFNQVSTASVINSASTTVYQPPAIIVTDQSQSGASTQQSSTQVPQPSTTILQQGQSVTSTCAPINQVRGFMHSQPVAQQIVTVPTGSPIQGPVVTHLPSQQPIVSPHHLLTQSNVVTVPQPGTSHNQVVSSQPPGGGVAISVPVGTQPISNQIVTAKVVECPIPAKSQIVTVPANQQQHTHPSPNQPIRSVTQAVTHVGQVPFSMQTATLNSSQNAVPTRQFHNGITQSGHPIGAPSPAPSVDPVSDVRVVSTSVPQQQYYMQIPLPIARRKVGVVHIHAQGNSSSDEVRKVTHNPFVTRLCKYFGTSTLIGGRALKSCTSLYESYMEARSVSCDPNVEFHANLWQCAFKESDIEVIGPPPAVHQAVVARSAPASAVHTQTQVQEIAQPRIESLMQHSSSPHEILKVAALADPGFMQIDMSVLCVLMDISKEVKAIKTCAVDGMETLMYLVELTSIVLLVDPLTLHCIIERYSDQDVYECILVGNDEAKCLNGLIEMGRMKLFRDRSMLCKTVTHFMLHTTKFDVPDLLLEAAINGLTDLGLQRLSIFHSHASLLLFRLCTRNAALLKVNGEEFSLNRLIVAIQLVRQGEIDNIGLKRPPHFLSEWIWEGSTGEHSKNRDSILDRVENDDVIDKWFKVFDRDTKEKWEEGFVTSFSGLTDDFVGANALLLTIIKPDKELQMVHKSENSLLTCVNIAFDVENPLGSLLSLVLKNYDNPSNFDMSLGYSQRLYDSVSHQQYNYSSPIAGIPLQPKPNGPPVEDDDEGFHHVKEYKELYRLYYQKLAFAANSCSRPFNFEEVIEGWQNKESVKAFGGLTTVRTYLGHLDLEKGGVAPIKKFPSGRSHHFQRLEDLFALGKRREDPPAKGTSNAM
+>sp|O43488|ARK72_HUMAN Aflatoxin B1 aldehyde reductase member 2 OS=Homo sapiens OX=9606 GN=AKR7A2 PE=1 SV=3
+MLSAASRVVSRAAVHCALRSPPPEARALAMSRPPPPRVASVLGTMEMGRRMDAPASAAAVRAFLERGHTELDTAFMYSDGQSETILGGLGLGLGGGDCRVKIATKANPWDGKSLKPDSVRSQLETSLKRLQCPQVDLFYLHAPDHGTPVEETLHACQRLHQEGKFVELGLSNYASWEVAEICTLCKSNGWILPTVYQGMYNATTRQVETELFPCLRHFGLRFYAYNPLAGGLLTGKYKYEDKDGKQPVGRFFGNSWAETYRNRFWKEHHFEAIALVEKALQAAYGASAPSVTSAALRWMYHHSQLQGAHGDAVILGMSSLEQLEQNLAATEEGPLEPAVVDAFNQAWHLVAHECPNYFR
+>DECOY_sp|O43488|ARK72_HUMAN Aflatoxin B1 aldehyde reductase member 2 OS=Homo sapiens OX=9606 GN=AKR7A2 PE=1 SV=3
+RFYNPCEHAVLHWAQNFADVVAPELPGEETAALNQELQELSSMGLIVADGHAGQLQSHHYMWRLAASTVSPASAGYAAQLAKEVLAIAEFHHEKWFRNRYTEAWSNGFFRGVPQKGDKDEYKYKGTLLGGALPNYAYFRLGFHRLCPFLETEVQRTTANYMGQYVTPLIWGNSKCLTCIEAVEWSAYNSLGLEVFKGEQHLRQCAHLTEEVPTGHDPAHLYFLDVQPCQLRKLSTELQSRVSDPKLSKGDWPNAKTAIKVRCDGGGLGLGLGGLITESQGDSYMFATDLETHGRELFARVAAASAPADMRRGMEMTGLVSAVRPPPPRSMALARAEPPPSRLACHVAARSVVRSAASLM
+>sp|O95154|ARK73_HUMAN Aflatoxin B1 aldehyde reductase member 3 OS=Homo sapiens OX=9606 GN=AKR7A3 PE=1 SV=2
+MSRQLSRARPATVLGAMEMGRRMDAPTSAAVTRAFLERGHTEIDTAFVYSEGQSETILGGLGLRLGGSDCRVKIDTKAIPLFGNSLKPDSLRFQLETSLKRLQCPRVDLFYLHMPDHSTPVEETLRACHQLHQEGKFVELGLSNYAAWEVAEICTLCKSNGWILPTVYQGMYNAITRQVETELFPCLRHFGLRFYAFNPLAGGLLTGKYKYEDKNGKQPVGRFFGNTWAEMYRNRYWKEHHFEGIALVEKALQAAYGASAPSMTSATLRWMYHHSQLQGAHGDAVILGMSSLEQLEQNLAAAEEGPLEPAVVDAFNQAWHLVTHECPNYFR
+>DECOY_sp|O95154|ARK73_HUMAN Aflatoxin B1 aldehyde reductase member 3 OS=Homo sapiens OX=9606 GN=AKR7A3 PE=1 SV=2
+RFYNPCEHTVLHWAQNFADVVAPELPGEEAAALNQELQELSSMGLIVADGHAGQLQSHHYMWRLTASTMSPASAGYAAQLAKEVLAIGEFHHEKWYRNRYMEAWTNGFFRGVPQKGNKDEYKYKGTLLGGALPNFAYFRLGFHRLCPFLETEVQRTIANYMGQYVTPLIWGNSKCLTCIEAVEWAAYNSLGLEVFKGEQHLQHCARLTEEVPTSHDPMHLYFLDVRPCQLRKLSTELQFRLSDPKLSNGFLPIAKTDIKVRCDSGGLRLGLGGLITESQGESYVFATDIETHGRELFARTVAASTPADMRRGMEMAGLVTAPRARSLQRSM
+>sp|Q8N8L6|ARL10_HUMAN ADP-ribosylation factor-like protein 10 OS=Homo sapiens OX=9606 GN=ARL10 PE=2 SV=1
+MAPRPLGPLVLALGGAAAVLGSVLFILWKTYFGRGRERRWDRGEAWWGAEAARLPEWDEWDPEDEEDEEPALEELEQREVLVLGLDGAGKSTFLRVLSGKPPLEGHIPTWGFNSVRLPTKDFEVDLLEIGGSQNLRFYWKEFVSEVDVLVFVVDSADRLRLPWARQELHKLLDKDPDLPVVVVANKQDLSEAMSMGELQRELGLQAIDNQREVFLLAASIAPAGPTFEEPGTVHIWKLLLELLS
+>DECOY_sp|Q8N8L6|ARL10_HUMAN ADP-ribosylation factor-like protein 10 OS=Homo sapiens OX=9606 GN=ARL10 PE=2 SV=1
+SLLELLLKWIHVTGPEEFTPGAPAISAALLFVERQNDIAQLGLERQLEGMSMAESLDQKNAVVVVPLDPDKDLLKHLEQRAWPLRLRDASDVVFVLVDVESVFEKWYFRLNQSGGIELLDVEFDKTPLRVSNFGWTPIHGELPPKGSLVRLFTSKGAGDLGLVLVERQELEELAPEEDEEDEPDWEDWEPLRAAEAGWWAEGRDWRRERGRGFYTKWLIFLVSGLVAAAGGLALVLPGLPRPAM
+>sp|Q9NVJ2|ARL8B_HUMAN ADP-ribosylation factor-like protein 8B OS=Homo sapiens OX=9606 GN=ARL8B PE=1 SV=1
+MLALISRLLDWFRSLFWKEEMELTLVGLQYSGKTTFVNVIASGQFSEDMIPTVGFNMRKVTKGNVTIKIWDIGGQPRFRSMWERYCRGVNAIVYMIDAADREKIEASRNELHNLLDKPQLQGIPVLVLGNKRDLPNALDEKQLIEKMNLSAIQDREICCYSISCKEKDNIDITLQWLIQHSKSRRS
+>DECOY_sp|Q9NVJ2|ARL8B_HUMAN ADP-ribosylation factor-like protein 8B OS=Homo sapiens OX=9606 GN=ARL8B PE=1 SV=1
+SRRSKSHQILWQLTIDINDKEKCSISYCCIERDQIASLNMKEILQKEDLANPLDRKNGLVLVPIGQLQPKDLLNHLENRSAEIKERDAADIMYVIANVGRCYREWMSRFRPQGGIDWIKITVNGKTVKRMNFGVTPIMDESFQGSAIVNVFTTKGSYQLGVLTLEMEEKWFLSRFWDLLRSILALM
+>sp|Q9NVT9|ARMC1_HUMAN Armadillo repeat-containing protein 1 OS=Homo sapiens OX=9606 GN=ARMC1 PE=1 SV=1
+MNSSTSTMSEEPDALSVVNQLRDLAADPLNRRAIVQDQGCLPGLILFMDHPNPPVVHSALLALRYLAECRANREKMKGELGMMLSLQNVIQKTTTPGETKLLASEIYDILQSSNMADGDSFNEMNSRRRKAQFFLGTTNKRAKTVVLHIDGLDDTSRRNLCEEALLKIKGVISFTFQMAVQRCVVRIRSDLKAEALASAIASTKVMKAQQVVKSESGEEMLVPFQDTPVEVEQNTELPDYLPEDESPTKEQDKAVSRVGSHPEGGASWLSTAANFLSRSFYW
+>DECOY_sp|Q9NVT9|ARMC1_HUMAN Armadillo repeat-containing protein 1 OS=Homo sapiens OX=9606 GN=ARMC1 PE=1 SV=1
+WYFSRSLFNAATSLWSAGGEPHSGVRSVAKDQEKTPSEDEPLYDPLETNQEVEVPTDQFPVLMEEGSESKVVQQAKMVKTSAIASALAEAKLDSRIRVVCRQVAMQFTFSIVGKIKLLAEECLNRRSTDDLGDIHLVVTKARKNTTGLFFQAKRRRSNMENFSDGDAMNSSQLIDYIESALLKTEGPTTTKQIVNQLSLMMGLEGKMKERNARCEALYRLALLASHVVPPNPHDMFLILGPLCGQDQVIARRNLPDAALDRLQNVVSLADPEESMTSTSSNM
+>sp|Q8NEN0|ARMC2_HUMAN Armadillo repeat-containing protein 2 OS=Homo sapiens OX=9606 GN=ARMC2 PE=2 SV=4
+MLSPNDKMLGKLDPFYQPSVSKQKTSAEIISEARNALRTVRTQRPFTPQEAQRKLFGPASSRTSENRPPSSFSLHASSFESSDSRPISGTRLSPLELKPKVPASPTREEDSCFSFPKPPVDPAKIRRVSNARARLFRAASQRALLPDRSLPPSDSKKTVESKETVMMGDSMVKINGIYLTKSNAICHLKSHPLQLTDDGGFSEIKEQEMFKGTTSLPSHLKNGGDQGKRHARASSCPSSSDLSRLQTKAVPKADLQEEDAEIEVDEVFWNTRIVPILRELEKEENIETVCAACTQLHHALEEGNMLGNKFKGRSILLKTLCKLVDVGSDSLSLKLAKIILALKVSRKNLLNVCKLIFKISRNEKNDSLIQNDSILESLLEVLRSEDLQTNMEAFLYCMGSIKFISGNLGFLNEMISKGAVEILINLIKQINENIKKCGTFLPNSGHLLVQVTATLRNLVDSSLVRSKFLNISALPQLCTAMEQYKGDKDVCTNIARIFSKLTSYRDCCTALASYSRCYALFLNLINKYQKKQDLVVRVVFILGNLTAKNNQAREQFSKEKGSIQTLLSLFQTFHQLDLHSQKPVGQRGEQHRAQRPPSEAEDVLIKLTRVLANIAIHPGVGPVLAANPGIVGLLLTTLEYKSLDDCEELVINATATINNLSYYQVKNSIIQDKKLYIAELLLKLLVSNNMDGILEAVRVFGNLSQDHDVCDFIVQNNVHRFMMALLDAQHQDICFSACGVLLNLTVDKDKRVILKEGGGIKKLVDCLRDLGPTDWQLACLVCKTLWNFSENITNASSCFGNEDTNTLLLLLSSFLDEELALDGSFDPDLKNYHKLHWETEFKPVAQQLLNRIQRHHTFLEPLPIPSF
+>DECOY_sp|Q8NEN0|ARMC2_HUMAN Armadillo repeat-containing protein 2 OS=Homo sapiens OX=9606 GN=ARMC2 PE=2 SV=4
+FSPIPLPELFTHHRQIRNLLQQAVPKFETEWHLKHYNKLDPDFSGDLALEEDLFSSLLLLLTNTDENGFCSSANTINESFNWLTKCVLCALQWDTPGLDRLCDVLKKIGGGEKLIVRKDKDVTLNLLVGCASFCIDQHQADLLAMMFRHVNNQVIFDCVDHDQSLNGFVRVAELIGDMNNSVLLKLLLEAIYLKKDQIISNKVQYYSLNNITATANIVLEECDDLSKYELTTLLLGVIGPNAALVPGVGPHIAINALVRTLKILVDEAESPPRQARHQEGRQGVPKQSHLDLQHFTQFLSLLTQISGKEKSFQERAQNNKATLNGLIFVVRVVLDQKKQYKNILNLFLAYCRSYSALATCCDRYSTLKSFIRAINTCVDKDGKYQEMATCLQPLASINLFKSRVLSSDVLNRLTATVQVLLHGSNPLFTGCKKINENIQKILNILIEVAGKSIMENLFGLNGSIFKISGMCYLFAEMNTQLDESRLVELLSELISDNQILSDNKENRSIKFILKCVNLLNKRSVKLALIIKALKLSLSDSGVDVLKCLTKLLISRGKFKNGLMNGEELAHHLQTCAACVTEINEEKELERLIPVIRTNWFVEDVEIEADEEQLDAKPVAKTQLRSLDSSSPCSSARAHRKGQDGGNKLHSPLSTTGKFMEQEKIESFGGDDTLQLPHSKLHCIANSKTLYIGNIKVMSDGMMVTEKSEVTKKSDSPPLSRDPLLARQSAARFLRARANSVRRIKAPDVPPKPFSFCSDEERTPSAPVKPKLELPSLRTGSIPRSDSSEFSSAHLSFSSPPRNESTRSSAPGFLKRQAEQPTFPRQTRVTRLANRAESIIEASTKQKSVSPQYFPDLKGLMKDNPSLM
+>sp|Q5T2S8|ARMC4_HUMAN Armadillo repeat-containing protein 4 OS=Homo sapiens OX=9606 GN=ARMC4 PE=1 SV=1
+MGVALRKLTQWTAAGHGTGILEITPLNEAILKEIIVFVESFIYKHPQEAKFVFVEPLEWNTSLAPSAFESGYVVSETTVKSEEVDKNGQPLLFLSVPQIKIRSFGQLSRLLLIAKTGKLKEAQACVEANRDPIVKILGSDYNTMKENSIALNILGKITRDDDPESEIKMKIAMLLKQLDLHLLNHSLKHISLEISLSPMTVKKDIELLKRFSGKGNQTVLESIEYTSDYEFSNGCRAPPWRQIRGEICYVLVKPHDGETLCITCSAGGVFLNGGKTDDEGDVNYERKGSIYKNLVTFLREKSPKFSENMSKLGISFSEDQQKEKDQLGKAPKKEEAAALRKDISGSDKRSLEKNQINFWRNQMTKRWEPSLNWKTTVNYKGKGSAKEIQEDKHTGKLEKPRPSVSHGRAQLLRKSAEKIEETVSDSSSESEEDEEPPDHRQEASADLPSEYWQIQKLVKYLKGGNQTATVIALCSMRDFSLAQETCQLAIRDVGGLEVLINLLETDEVKCKIGSLKILKEISHNPQIRQNIVDLGGLPIMVNILDSPHKSLKCLAAETIANVAKFKRARRVVRQHGGITKLVALLDCAHDSTKPAQSSLYEARDVEVARCGALALWSCSKSHTNKEAIRKAGGIPLLARLLKTSHENMLIPVVGTLQECASEENYRAAIKAERIIENLVKNLNSENEQLQEHCAMAIYQCAEDKETRDLVRLHGGLKPLASLLNNTDNKERLAAVTGAIWKCSISKENVTKFREYKAIETLVGLLTDQPEEVLVNVVGALGECCQERENRVIVRKCGGIQPLVNLLVGINQALLVNVTKAVGACAVEPESMMIIDRLDGVRLLWSLLKNPHPDVKASAAWALCPCIKNAKDAGEMVRSFVGGLELIVNLLKSDNKEVLASVCAAITNIAKDQENLAVITDHGVVPLLSKLANTNNNKLRHHLAEAISRCCMWGRNRVAFGEHKAVAPLVRYLKSNDTNVHRATAQALYQLSEDADNCITMHENGAVKLLLDMVGSPDQDLQEAAAGCISNIRRLALATEKARYT
+>DECOY_sp|Q5T2S8|ARMC4_HUMAN Armadillo repeat-containing protein 4 OS=Homo sapiens OX=9606 GN=ARMC4 PE=1 SV=1
+TYRAKETALALRRINSICGAAAEQLDQDPSGVMDLLLKVAGNEHMTICNDADESLQYLAQATARHVNTDNSKLYRVLPAVAKHEGFAVRNRGWMCCRSIAEALHHRLKNNNTNALKSLLPVVGHDTIVALNEQDKAINTIAACVSALVEKNDSKLLNVILELGGVFSRVMEGADKANKICPCLAWAASAKVDPHPNKLLSWLLRVGDLRDIIMMSEPEVACAGVAKTVNVLLAQNIGVLLNVLPQIGGCKRVIVRNEREQCCEGLAGVVNVLVEEPQDTLLGVLTEIAKYERFKTVNEKSISCKWIAGTVAALREKNDTNNLLSALPKLGGHLRVLDRTEKDEACQYIAMACHEQLQENESNLNKVLNEIIREAKIAARYNEESACEQLTGVVPILMNEHSTKLLRALLPIGGAKRIAEKNTHSKSCSWLALAGCRAVEVDRAEYLSSQAPKTSDHACDLLAVLKTIGGHQRVVRRARKFKAVNAITEAALCKLSKHPSDLINVMIPLGGLDVINQRIQPNHSIEKLIKLSGIKCKVEDTELLNILVELGGVDRIALQCTEQALSFDRMSCLAIVTATQNGGKLYKVLKQIQWYESPLDASAEQRHDPPEEDEESESSSDSVTEEIKEASKRLLQARGHSVSPRPKELKGTHKDEQIEKASGKGKYNVTTKWNLSPEWRKTMQNRWFNIQNKELSRKDSGSIDKRLAAAEEKKPAKGLQDKEKQQDESFSIGLKSMNESFKPSKERLFTVLNKYISGKREYNVDGEDDTKGGNLFVGGASCTICLTEGDHPKVLVYCIEGRIQRWPPARCGNSFEYDSTYEISELVTQNGKGSFRKLLEIDKKVTMPSLSIELSIHKLSHNLLHLDLQKLLMAIKMKIESEPDDDRTIKGLINLAISNEKMTNYDSGLIKVIPDRNAEVCAQAEKLKGTKAILLLRSLQGFSRIKIQPVSLFLLPQGNKDVEESKVTTESVVYGSEFASPALSTNWELPEVFVFKAEQPHKYIFSEVFVIIEKLIAENLPTIELIGTGHGAATWQTLKRLAVGM
+>sp|Q9H6L4|ARMC7_HUMAN Armadillo repeat-containing protein 7 OS=Homo sapiens OX=9606 GN=ARMC7 PE=1 SV=1
+MAQKPKVDPHVGRLGYLQALVTEFQETQSQDAKEQVLANLANFAYDPSNYEYLRQLQVLDLFLDSLSEENETLVEFAIGGLCNLCPDRANKEHILHAGGVPLIINCLSSPNEETVLSAITTLMHLSPPGRSFLPELTATPVVQCMLRFSLSASARLRNLAQIFLEDFCSPRQVAEARSRQAHSALGIPLPRSVAPRQR
+>DECOY_sp|Q9H6L4|ARMC7_HUMAN Armadillo repeat-containing protein 7 OS=Homo sapiens OX=9606 GN=ARMC7 PE=1 SV=1
+RQRPAVSRPLPIGLASHAQRSRAEAVQRPSCFDELFIQALNRLRASASLSFRLMCQVVPTATLEPLFSRGPPSLHMLTTIASLVTEENPSSLCNIILPVGGAHLIHEKNARDPCLNCLGGIAFEVLTENEESLSDLFLDLVQLQRLYEYNSPDYAFNALNALVQEKADQSQTEQFETVLAQLYGLRGVHPDVKPKQAM
+>sp|Q6PIY5|ARMD1_HUMAN Armadillo-like helical domain containing protein 1 OS=Homo sapiens OX=9606 GN=ARMH1 PE=2 SV=2
+MTSIKEQAAISRLLSFLQEWDNAGKVARSHILDKFIETNQGKTAPELEQEFSQGASLFLVRLTTSLRITYMTDSCLEKLLRSIGIFLSAVSSNRYLIEFLEVGGVLTLLEILGLEKIKEEAKKESVKLLQVIANSGRTYKELICESYGVRSIAEFLAKSKSEETQEEVQVLLDSLVHGNPKYQNQVYKGLIALLPCESPKAQQLSLQTLRTAQPIIGTTHPSIVDCVLKVLGTMHLEVQYEAIELIKDLVGYDVRQALLKGLVALLIPSVKEISKLQAKILSDPSVLQLTPSLPMFLQQAAAAKAIGVLARNDMSIAEELLYLRVVRGLMAAMGNTDHSNSQRLASLTLECFVQMFPLVAEHVRKCMGEELYQLFLSNAEDLYMKIDSIQADILAANTVNVTKALCLHGSSYSMNTLYGSRDSAQMAYLTHFEEDVESKE
+>DECOY_sp|Q6PIY5|ARMD1_HUMAN Armadillo-like helical domain containing protein 1 OS=Homo sapiens OX=9606 GN=ARMH1 PE=2 SV=2
+EKSEVDEEFHTLYAMQASDRSGYLTNMSYSSGHLCLAKTVNVTNAALIDAQISDIKMYLDEANSLFLQYLEEGMCKRVHEAVLPFMQVFCELTLSALRQSNSHDTNGMAAMLGRVVRLYLLEEAISMDNRALVGIAKAAAAQQLFMPLSPTLQLVSPDSLIKAQLKSIEKVSPILLAVLGKLLAQRVDYGVLDKILEIAEYQVELHMTGLVKLVCDVISPHTTGIIPQATRLTQLSLQQAKPSECPLLAILGKYVQNQYKPNGHVLSDLLVQVEEQTEESKSKALFEAISRVGYSECILEKYTRGSNAIVQLLKVSEKKAEEKIKELGLIELLTLVGGVELFEILYRNSSVASLFIGISRLLKELCSDTMYTIRLSTTLRVLFLSAGQSFEQELEPATKGQNTEIFKDLIHSRAVKGANDWEQLFSLLRSIAAQEKISTM
+>sp|Q7L311|ARMX2_HUMAN Armadillo repeat-containing X-linked protein 2 OS=Homo sapiens OX=9606 GN=ARMCX2 PE=2 SV=1
+MSRVRDAGCVAAGIVIGAGAWYCVYKYTRGRDQTKKRMAKPKNRAVAGTGARARAGLRAGFTIDLGSGFSPPTPVRAEAEDRAQDEASALDTVGAEAVAPAASSAEAQSGAGSQAQEADGAGVGPKAESVVGAAMASAIAPPPGVTEALGAAEAPAMAGAPKVAEAPREAETSRAAVPPGTVVPTEAAAPTEVTEGPGVAAPTKVAEAPGVASPTEAAEAPVPATPTGAAAPTGAAESPGTSGSPRTAVVPGTSAAKKATPGAHTGAIPKATSATGAVPKGGGKGVTRSRNGGKGKGKKSKVEVDELGMGFRPGDGAAAAAAASANGGQAFLAEVPDSEEGESGWTDTESDSDSEPETQRRGRGRRPVAMQKRPFPYEIDEILGVRDLRKVLALLQKSDDPFIQQVALLTLSNNANYSCNQETIRKLGGLPIIANMINKTDPHIKEKALMAMNNLSENYENQGRLQVYMNKVMDDIMASNLNSAVQVVGLKFLTNMTITNDYQHLLVNSIANFFRLLSQGGGKIKVEILKILSNFAENPDMLKKLLSTQVPASFSSLYNSYVESEILINALTLFEIIYDNLRAEVFNYREFNKGSLFYLCTTSGVCVKKIRALANHHDLLVKVKVIKLVNKF
+>DECOY_sp|Q7L311|ARMX2_HUMAN Armadillo repeat-containing X-linked protein 2 OS=Homo sapiens OX=9606 GN=ARMCX2 PE=2 SV=1
+FKNVLKIVKVKVLLDHHNALARIKKVCVGSTTCLYFLSGKNFERYNFVEARLNDYIIEFLTLANILIESEVYSNYLSSFSAPVQTSLLKKLMDPNEAFNSLIKLIEVKIKGGGQSLLRFFNAISNVLLHQYDNTITMNTLFKLGVVQVASNLNSAMIDDMVKNMYVQLRGQNEYNESLNNMAMLAKEKIHPDTKNIMNAIIPLGGLKRITEQNCSYNANNSLTLLAVQQIFPDDSKQLLALVKRLDRVGLIEDIEYPFPRKQMAVPRRGRGRRQTEPESDSDSETDTWGSEGEESDPVEALFAQGGNASAAAAAAAGDGPRFGMGLEDVEVKSKKGKGKGGNRSRTVGKGGGKPVAGTASTAKPIAGTHAGPTAKKAASTGPVVATRPSGSTGPSEAAGTPAAAGTPTAPVPAEAAETPSAVGPAEAVKTPAAVGPGETVETPAAAETPVVTGPPVAARSTEAERPAEAVKPAGAMAPAEAAGLAETVGPPPAIASAMAAGVVSEAKPGVGAGDAEQAQSGAGSQAEASSAAPAVAEAGVTDLASAEDQARDEAEARVPTPPSFGSGLDITFGARLGARARAGTGAVARNKPKAMRKKTQDRGRTYKYVCYWAGAGIVIGAAVCGADRVRSM
+>sp|Q6P1M9|ARMX5_HUMAN Armadillo repeat-containing X-linked protein 5 OS=Homo sapiens OX=9606 GN=ARMCX5 PE=1 SV=1
+MVDSGTEARARGKAEAGLQDGISGPATARVNGKTQAEAVAEAELKTESVTQAKAGDGAMTRTHTVTYREAMAVTREVIKVEDTTKTRVMVETKTKPLAERSIVPQTKSKAMPMSRVSTVTKSEVKVVAVIEANIRSYAKSHDKANTGSRPDRREETSIGMKSSDEDEENICSWFWTGEEPSVGSWFWPEEETSLQVYKPLPKIQEKPKPTHKPTLTIKQKVIAWSRARYIVLVPVEGGEQSLPPEGNWTLVETLIETPLGIRPLTKIPPYHGPYYQTLAEIKKQIRQREKYGPNPKACHCKSRGFSLEPKEFDKLVALLKLTKDPFIHEIATMIMGISPAYPFTQDIIHDVGITVMIENLVNNPNVKEHPGALSMVDDSSESSEEPKSGESYIHQVCKGIISCPLNSPVQLAGLKLLGHLSIKFEDHYVITSYIPDFLTLLNKGSVKTKFYVLKVFSCLSKNHANTRELISAKVLSSLVAPFNKNESKANILNIIEIFENINFQFKTKAKLFTKEKFTKSELISIFQEAKQFGQKLQDLAEHSDPEVRDKVIRLILKL
+>DECOY_sp|Q6P1M9|ARMX5_HUMAN Armadillo repeat-containing X-linked protein 5 OS=Homo sapiens OX=9606 GN=ARMCX5 PE=1 SV=1
+LKLILRIVKDRVEPDSHEALDQLKQGFQKAEQFISILESKTFKEKTFLKAKTKFQFNINEFIEIINLINAKSENKNFPAVLSSLVKASILERTNAHNKSLCSFVKLVYFKTKVSGKNLLTLFDPIYSTIVYHDEFKISLHGLLKLGALQVPSNLPCSIIGKCVQHIYSEGSKPEESSESSDDVMSLAGPHEKVNPNNVLNEIMVTIGVDHIIDQTFPYAPSIGMIMTAIEHIFPDKTLKLLAVLKDFEKPELSFGRSKCHCAKPNPGYKERQRIQKKIEALTQYYPGHYPPIKTLPRIGLPTEILTEVLTWNGEPPLSQEGGEVPVLVIYRARSWAIVKQKITLTPKHTPKPKEQIKPLPKYVQLSTEEEPWFWSGVSPEEGTWFWSCINEEDEDSSKMGISTEERRDPRSGTNAKDHSKAYSRINAEIVAVVKVESKTVTSVRSMPMAKSKTQPVISREALPKTKTEVMVRTKTTDEVKIVERTVAMAERYTVTHTRTMAGDGAKAQTVSETKLEAEAVAEAQTKGNVRATAPGSIGDQLGAEAKGRARAETGSDVM
+>sp|Q5TC12|ATPF1_HUMAN ATP synthase mitochondrial F1 complex assembly factor 1 OS=Homo sapiens OX=9606 GN=ATPAF1 PE=1 SV=1
+MAAVVVAAAGGAGPAVLQVAGLYRGLCAVRSRALGLGLVSPAQLRVFPVRPGSGRPEGGADSSGVGAEAELQANPFYDRYRDKIQLLRRSDPAAFESRLEKRSEFRKQPVGHSRQGDFIKCVEQKTDALGKQSVNRGFTKDKTLSSIFNIEMVKEKTAEEIKQIWQQYFAAKDTVYAVIPAEKFDLIWNRAQSCPTFLCALPRREGYEFFVGQWTGTELHFTALINIQTRGEAAASQLILYHYPELKEEKGIVLMTAEMDSTFLNVAEAQCIANQVQLFYATDRKETYGLVETFNLRPNEFKYMSVIAELEQSGLGAELKCAQNQNKT
+>DECOY_sp|Q5TC12|ATPF1_HUMAN ATP synthase mitochondrial F1 complex assembly factor 1 OS=Homo sapiens OX=9606 GN=ATPAF1 PE=1 SV=1
+TKNQNQACKLEAGLGSQELEAIVSMYKFENPRLNFTEVLGYTEKRDTAYFLQVQNAICQAEAVNLFTSDMEATMLVIGKEEKLEPYHYLILQSAAAEGRTQINILATFHLETGTWQGVFFEYGERRPLACLFTPCSQARNWILDFKEAPIVAYVTDKAAFYQQWIQKIEEATKEKVMEINFISSLTKDKTFGRNVSQKGLADTKQEVCKIFDGQRSHGVPQKRFESRKELRSEFAAPDSRRLLQIKDRYRDYFPNAQLEAEAGVGSSDAGGEPRGSGPRVPFVRLQAPSVLGLGLARSRVACLGRYLGAVQLVAPGAGGAAAVVVAAM
+>sp|P46100|ATRX_HUMAN Transcriptional regulator ATRX OS=Homo sapiens OX=9606 GN=ATRX PE=1 SV=5
+MTAEPMSESKLNTLVQKLHDFLAHSSEESEETSSPPRLAMNQNTDKISGSGSNSDMMENSKEEGTSSSEKSKSSGSSRSKRKPSIVTKYVESDDEKPLDDETVNEDASNENSENDITMQSLPKGTVIVQPEPVLNEDKDDFKGPEFRSRSKMKTENLKKRGEDGLHGIVSCTACGQQVNHFQKDSIYRHPSLQVLICKNCFKYYMSDDISRDSDGMDEQCRWCAEGGNLICCDFCHNAFCKKCILRNLGRKELSTIMDENNQWYCYICHPEPLLDLVTACNSVFENLEQLLQQNKKKIKVDSEKSNKVYEHTSRFSPKKTSSNCNGEEKKLDDSCSGSVTYSYSALIVPKEMIKKAKKLIETTANMNSSYVKFLKQATDNSEISSATKLRQLKAFKSVLADIKKAHLALEEDLNSEFRAMDAVNKEKNTKEHKVIDAKFETKARKGEKPCALEKKDISKSEAKLSRKQVDSEHMHQNVPTEEQRTNKSTGGEHKKSDRKEEPQYEPANTSEDLDMDIVSVPSSVPEDIFENLETAMEVQSSVDHQGDGSSGTEQEVESSSVKLNISSKDNRGGIKSKTTAKVTKELYVKLTPVSLSNSPIKGADCQEVPQDKDGYKSCGLNPKLEKCGLGQENSDNEHLVENEVSLLLEESDLRRSPRVKTTPLRRPTETNPVTSNSDEECNETVKEKQKLSVPVRKKDKRNSSDSAIDNPKPNKLPKSKQSETVDQNSDSDEMLAILKEVSRMSHSSSSDTDINEIHTNHKTLYDLKTQAGKDDKGKRKRKSSTSGSDFDTKKGKSAKSSIISKKKRQTQSESSNYDSELEKEIKSMSKIGAARTTKKRIPNTKDFDSSEDEKHSKKGMDNQGHKNLKTSQEGSSDDAERKQERETFSSAEGTVDKDTTIMELRDRLPKKQQASASTDGVDKLSGKEQSFTSLEVRKVAETKEKSKHLKTKTCKKVQDGLSDIAEKFLKKDQSDETSEDDKKQSKKGTEEKKKPSDFKKKVIKMEQQYESSSDGTEKLPEREEICHFPKGIKQIKNGTTDGEKKSKKIRDKTSKKKDELSDYAEKSTGKGDSCDSSEDKKSKNGAYGREKKRCKLLGKSSRKRQDCSSSDTEKYSMKEDGCNSSDKRLKRIELRERRNLSSKRNTKEIQSGSSSSDAEESSEDNKKKKQRTSSKKKAVIVKEKKRNSLRTSTKRKQADITSSSSSDIEDDDQNSIGEGSSDEQKIKPVTENLVLSSHTGFCQSSGDEALSKSVPVTVDDDDDDNDPENRIAKKMLLEEIKANLSSDEDGSSDDEPEEGKKRTGKQNEENPGDEEAKNQVNSESDSDSEESKKPRYRHRLLRHKLTVSDGESGEEKKTKPKEHKEVKGRNRRKVSSEDSEDSDFQESGVSEEVSESEDEQRPRTRSAKKAELEENQRSYKQKKKRRRIKVQEDSSSENKSNSEEEEEEKEEEEEEEEEEEEEEEDENDDSKSPGKGRKKIRKILKDDKLRTETQNALKEEEERRKRIAEREREREKLREVIEIEDASPTKCPITTKLVLDEDEETKEPLVQVHRNMVIKLKPHQVDGVQFMWDCCCESVKKTKKSPGSGCILAHCMGLGKTLQVVSFLHTVLLCDKLDFSTALVVCPLNTALNWMNEFEKWQEGLKDDEKLEVSELATVKRPQERSYMLQRWQEDGGVMIIGYEMYRNLAQGRNVKSRKLKEIFNKALVDPGPDFVVCDEGHILKNEASAVSKAMNSIRSRRRIILTGTPLQNNLIEYHCMVNFIKENLLGSIKEFRNRFINPIQNGQCADSTMVDVRVMKKRAHILYEMLAGCVQRKDYTALTKFLPPKHEYVLAVRMTSIQCKLYQYYLDHLTGVGNNSEGGRGKAGAKLFQDFQMLSRIWTHPWCLQLDYISKENKGYFDEDSMDEFIASDSDETSMSLSSDDYTKKKKKGKKGKKDSSSSGSGSDNDVEVIKVWNSRSRGGGEGNVDETGNNPSVSLKLEESKATSSSNPSSPAPDWYKDFVTDADAEVLEHSGKMVLLFEILRMAEEIGDKVLVFSQSLISLDLIEDFLELASREKTEDKDKPLIYKGEGKWLRNIDYYRLDGSTTAQSRKKWAEEFNDETNVRGRLFIISTKAGSLGINLVAANRVIIFDASWNPSYDIQSIFRVYRFGQTKPVYVYRFLAQGTMEDKIYDRQVTKQSLSFRVVDQQQVERHFTMNELTELYTFEPDLLDDPNSEKKKKRDTPMLPKDTILAELLQIHKEHIVGYHEHDSLLDHKEEEELTEEERKAAWAEYEAEKKGLTMRFNIPTGTNLPPVSFNSQTPYIPFNLGALSAMSNQQLEDLINQGREKVVEATNSVTAVRIQPLEDIISAVWKENMNLSEAQVQALALSRQASQELDVKRREAIYNDVLTKQQMLISCVQRILMNRRLQQQYNQQQQQQMTYQQATLGHLMMPKPPNLIMNPSNYQQIDMRGMYQPVAGGMQPPPLQRAPPPMRSKNPGPSQGKSM
+>DECOY_sp|P46100|ATRX_HUMAN Transcriptional regulator ATRX OS=Homo sapiens OX=9606 GN=ATRX PE=1 SV=5
+MSKGQSPGPNKSRMPPPARQLPPPQMGGAVPQYMGRMDIQQYNSPNMILNPPKPMMLHGLTAQQYTMQQQQQQNYQQQLRRNMLIRQVCSILMQQKTLVDNYIAERRKVDLEQSAQRSLALAQVQAESLNMNEKWVASIIDELPQIRVATVSNTAEVVKERGQNILDELQQNSMASLAGLNFPIYPTQSNFSVPPLNTGTPINFRMTLGKKEAEYEAWAAKREEETLEEEEKHDLLSDHEHYGVIHEKHIQLLEALITDKPLMPTDRKKKKESNPDDLLDPEFTYLETLENMTFHREVQQQDVVRFSLSQKTVQRDYIKDEMTGQALFRYVYVPKTQGFRYVRFISQIDYSPNWSADFIIVRNAAVLNIGLSGAKTSIIFLRGRVNTEDNFEEAWKKRSQATTSGDLRYYDINRLWKGEGKYILPKDKDETKERSALELFDEILDLSILSQSFVLVKDGIEEAMRLIEFLLVMKGSHELVEADADTVFDKYWDPAPSSPNSSSTAKSEELKLSVSPNNGTEDVNGEGGGRSRSNWVKIVEVDNDSGSGSSSSDKKGKKGKKKKKTYDDSSLSMSTEDSDSAIFEDMSDEDFYGKNEKSIYDLQLCWPHTWIRSLMQFDQFLKAGAKGRGGESNNGVGTLHDLYYQYLKCQISTMRVALVYEHKPPLFKTLATYDKRQVCGALMEYLIHARKKMVRVDVMTSDACQGNQIPNIFRNRFEKISGLLNEKIFNVMCHYEILNNQLPTGTLIIRRRSRISNMAKSVASAENKLIHGEDCVVFDPGPDVLAKNFIEKLKRSKVNRGQALNRYMEYGIIMVGGDEQWRQLMYSREQPRKVTALESVELKEDDKLGEQWKEFENMWNLATNLPCVVLATSFDLKDCLLVTHLFSVVQLTKGLGMCHALICGSGPSKKTKKVSECCCDWMFQVGDVQHPKLKIVMNRHVQVLPEKTEEDEDLVLKTTIPCKTPSADEIEIVERLKEREREREAIRKRREEEEKLANQTETRLKDDKLIKRIKKRGKGPSKSDDNEDEEEEEEEEEEEEEEEKEEEEEESNSKNESSSDEQVKIRRRKKKQKYSRQNEELEAKKASRTRPRQEDESESVEESVGSEQFDSDESDESSVKRRNRGKVEKHEKPKTKKEEGSEGDSVTLKHRLLRHRYRPKKSEESDSDSESNVQNKAEEDGPNEENQKGTRKKGEEPEDDSSGDEDSSLNAKIEELLMKKAIRNEPDNDDDDDDVTVPVSKSLAEDGSSQCFGTHSSLVLNETVPKIKQEDSSGEGISNQDDDEIDSSSSSTIDAQKRKTSTRLSNRKKEKVIVAKKKSSTRQKKKKNDESSEEADSSSSGSQIEKTNRKSSLNRRERLEIRKLRKDSSNCGDEKMSYKETDSSSCDQRKRSSKGLLKCRKKERGYAGNKSKKDESSDCSDGKGTSKEAYDSLEDKKKSTKDRIKKSKKEGDTTGNKIQKIGKPFHCIEEREPLKETGDSSSEYQQEMKIVKKKFDSPKKKEETGKKSQKKDDESTEDSQDKKLFKEAIDSLGDQVKKCTKTKLHKSKEKTEAVKRVELSTFSQEKGSLKDVGDTSASAQQKKPLRDRLEMITTDKDVTGEASSFTEREQKREADDSSGEQSTKLNKHGQNDMGKKSHKEDESSDFDKTNPIRKKTTRAAGIKSMSKIEKELESDYNSSESQTQRKKKSIISSKASKGKKTDFDSGSTSSKRKRKGKDDKGAQTKLDYLTKHNTHIENIDTDSSSSHSMRSVEKLIALMEDSDSNQDVTESQKSKPLKNPKPNDIASDSSNRKDKKRVPVSLKQKEKVTENCEEDSNSTVPNTETPRRLPTTKVRPSRRLDSEELLLSVENEVLHENDSNEQGLGCKELKPNLGCSKYGDKDQPVEQCDAGKIPSNSLSVPTLKVYLEKTVKATTKSKIGGRNDKSSINLKVSSSEVEQETGSSGDGQHDVSSQVEMATELNEFIDEPVSSPVSVIDMDLDESTNAPEYQPEEKRDSKKHEGGTSKNTRQEETPVNQHMHESDVQKRSLKAESKSIDKKELACPKEGKRAKTEFKADIVKHEKTNKEKNVADMARFESNLDEELALHAKKIDALVSKFAKLQRLKTASSIESNDTAQKLFKVYSSNMNATTEILKKAKKIMEKPVILASYSYTVSGSCSDDLKKEEGNCNSSTKKPSFRSTHEYVKNSKESDVKIKKKNQQLLQELNEFVSNCATVLDLLPEPHCIYCYWQNNEDMITSLEKRGLNRLICKKCFANHCFDCCILNGGEACWRCQEDMGDSDRSIDDSMYYKFCNKCILVQLSPHRYISDKQFHNVQQGCATCSVIGHLGDEGRKKLNETKMKSRSRFEPGKFDDKDENLVPEPQVIVTGKPLSQMTIDNESNENSADENVTEDDLPKEDDSEVYKTVISPKRKSRSSGSSKSKESSSTGEEKSNEMMDSNSGSGSIKDTNQNMALRPPSSTEESEESSHALFDHLKQVLTNLKSESMPEATM
+>sp|P59510|ATS20_HUMAN A disintegrin and metalloproteinase with thrombospondin motifs 20 OS=Homo sapiens OX=9606 GN=ADAMTS20 PE=2 SV=2
+MWVAKWLTGLLYHLSLFITRSWEVDFHPRQEALVRTLTSYEVVIPERVNEFGEVFPQSHHFSRQKRSSEALEPMPFRTHYRFTAYGQLFQLNLTADASFLAAGYTEVHLGTPERGAWESDAGPSDLRHCFYRGQVNSQEDYKAVVSLCGGLTGTFKGQNGEYFLEPIMKADGNEYEDGHNKPHLIYRQDLNNSFLQTLKYCSVSESQIKETSLPFHTYSNMNEDLNVMKERVLGHTSKNVPLKDERRHSRKKRLISYPRYIEIMVTADAKVVSAHGSNLQNYILTLMSIVATIYKDPSIGNLIHIVVVKLVMIHREEEGPVINFDGATTLKNFCSWQQTQNDLDDVHPSHHDTAVLITREDICSSKEKCNMLGLSYLGTICDPLQSCFINEEKGLISAFTIAHELGHTLGVQHDDNPRCKEMKVTKYHVMAPALSFHMSPWSWSNCSRKYVTEFLDTGYGECLLDKPDEEIYNLPSELPGSRYDGNKQCELAFGPGSQMCPHINICMHLWCTSTEKLHKGCFTQHVPPADGTDCGPGMHCRHGLCVNKETETRPVNGEWGPWEPYSSCSRTCGGGIESATRRCNRPEPRNGGNYCVGRRMKFRSCNTDSCPKGTQDFREKQCSDFNGKHLDISGIPSNVRWLPRYSGIGTKDRCKLYCQVAGTNYFYLLKDMVEDGTPCGTETHDICVQGQCMAAGCDHVLNSSAKIDKCGVCGGDNSSCKTITGVFNSSHYGYNVVVKIPAGATNVDIRQYSYSGQPDDSYLALSDAEGNFLFNGNFLLSTSKKEINVQGTRTVIEYSGSNNAVERINSTNRQEKEILIEVLCVGNLYNPDVHYSFNIPLEERSDMFTWDPYGPWEGCTKMCQGLQRRNITCIHKSDHSVVSDKECDHLPLPSFVTQSCNTDCELRWHVIGKSECSSQCGQGYRTLDIHCMKYSIHEGQTVQVDDHYCGDQLKPPTQELCHGNCVFTRWHYSEWSQCSRSCGGGERSRESYCMNNFGHRLADNECQELSRVTRENCNEFSCPSWAASEWSECLVTCGKGTKQRQVWCQLNVDHLSDGFCNSSTKPESLSPCELHTCASWQVGPWGPCTTTCGHGYQMRDVKCVNELASAVLEDTECHEASRPSDRQSCVLTPCSFISKLETALLPTVLIKKMAQWRHGSWTPCSVSCGRGTQARYVSCRDALDRIADESYCAHLPRPAEIWDCFTPCGEWQAGDWSPCSASCGHGKTTRQVLCMNYHQPIDENYCDPEVRPLMEQECSLAACPPAHSHFPSSPVQPSYYLSTNLPLTQKLEDNENQVVHPSVRGNQWRTGPWGSCSSSCSGGLQHRAVVCQDENGQSASYCDAASKPPELQQCGPGPCPQWNYGNWGECSQTCGGGIKSRLVICQFPNGQILEDHNCEIVNKPPSVIQCHMHACPADVSWHQEPWTSCSASCGKGRKYREVFCIDQFQRKLEDTNCSQVQKPPTHKACRSVRCPSWKANSWNECSVTCGSGVQQRDVYCRLKGVGQVVEEMCDQSTRPCSQRRCWSQDCVQHKGMERGRLNCSTSCERKDSHQRMECTDNQIRQVNEIVYNSSTISLTSKNCRNPPCNYIVVTADSSQCANNCGFSYRQRITYCTEIPSTKKHKLHRLRPIVYQECPVVPSSQVYQCINSCLHLATWKVGKWSKCSVTCGIGIMKRQVKCITKHGLSSDLCLNHLKPGAQKKCYANDCKSFTTCKEIQVKNHIRKDGDYYLNIKGRIIKIYCADMYLENPKEYLTLVQGEENFSEVYGFRLKNPYQCPFNGSRREDCECDNGHLAAGYTVFSKIRIDLTSMQIKTTDLLFSKTIFGNAVPFATAGDCYSAFRCPQGQFSINLSGTGMKISSTAKWLTQGSYTSVSIRRSEDGTRFFGKCGGYCGKCLPHMTTGLPIQVI
+>DECOY_sp|P59510|ATS20_HUMAN A disintegrin and metalloproteinase with thrombospondin motifs 20 OS=Homo sapiens OX=9606 GN=ADAMTS20 PE=2 SV=2
+IVQIPLGTTMHPLCKGCYGGCKGFFRTGDESRRISVSTYSGQTLWKATSSIKMGTGSLNISFQGQPCRFASYCDGATAFPVANGFITKSFLLDTTKIQMSTLDIRIKSFVTYGAALHGNDCECDERRSGNFPCQYPNKLRFGYVESFNEEGQVLTLYEKPNELYMDACYIKIIRGKINLYYDGDKRIHNKVQIEKCTTFSKCDNAYCKKQAGPKLHNLCLDSSLGHKTICKVQRKMIGIGCTVSCKSWKGVKWTALHLCSNICQYVQSSPVVPCEQYVIPRLRHLKHKKTSPIETCYTIRQRYSFGCNNACQSSDATVVIYNCPPNRCNKSTLSITSSNYVIENVQRIQNDTCEMRQHSDKRECSTSCNLRGREMGKHQVCDQSWCRRQSCPRTSQDCMEEVVQGVGKLRCYVDRQQVGSGCTVSCENWSNAKWSPCRVSRCAKHTPPKQVQSCNTDELKRQFQDICFVERYKRGKGCSASCSTWPEQHWSVDAPCAHMHCQIVSPPKNVIECNHDELIQGNPFQCIVLRSKIGGGCTQSCEGWNGYNWQPCPGPGCQQLEPPKSAADCYSASQGNEDQCVVARHQLGGSCSSSCSGWPGTRWQNGRVSPHVVQNENDELKQTLPLNTSLYYSPQVPSSPFHSHAPPCAALSCEQEMLPRVEPDCYNEDIPQHYNMCLVQRTTKGHGCSASCPSWDGAQWEGCPTFCDWIEAPRPLHACYSEDAIRDLADRCSVYRAQTGRGCSVSCPTWSGHRWQAMKKILVTPLLATELKSIFSCPTLVCSQRDSPRSAEHCETDELVASALENVCKVDRMQYGHGCTTTCPGWPGVQWSACTHLECPSLSEPKTSSNCFGDSLHDVNLQCWVQRQKTGKGCTVLCESWESAAWSPCSFENCNERTVRSLEQCENDALRHGFNNMCYSERSREGGGCSRSCQSWESYHWRTFVCNGHCLEQTPPKLQDGCYHDDVQVTQGEHISYKMCHIDLTRYGQGCQSSCESKGIVHWRLECDTNCSQTVFSPLPLHDCEKDSVVSHDSKHICTINRRQLGQCMKTCGEWPGYPDWTFMDSREELPINFSYHVDPNYLNGVCLVEILIEKEQRNTSNIREVANNSGSYEIVTRTGQVNIEKKSTSLLFNGNFLFNGEADSLALYSDDPQGSYSYQRIDVNTAGAPIKVVVNYGYHSSNFVGTITKCSSNDGGCVGCKDIKASSNLVHDCGAAMCQGQVCIDHTETGCPTGDEVMDKLLYFYNTGAVQCYLKCRDKTGIGSYRPLWRVNSPIGSIDLHKGNFDSCQKERFDQTGKPCSDTNCSRFKMRRGVCYNGGNRPEPRNCRRTASEIGGGCTRSCSSYPEWPGWEGNVPRTETEKNVCLGHRCHMGPGCDTGDAPPVHQTFCGKHLKETSTCWLHMCINIHPCMQSGPGFALECQKNGDYRSGPLESPLNYIEEDPKDLLCEGYGTDLFETVYKRSCNSWSWPSMHFSLAPAMVHYKTVKMEKCRPNDDHQVGLTHGLEHAITFASILGKEENIFCSQLPDCITGLYSLGLMNCKEKSSCIDERTILVATDHHSPHVDDLDNQTQQWSCFNKLTTAGDFNIVPGEEERHIMVLKVVVIHILNGISPDKYITAVISMLTLIYNQLNSGHASVVKADATVMIEIYRPYSILRKKRSHRREDKLPVNKSTHGLVREKMVNLDENMNSYTHFPLSTEKIQSESVSCYKLTQLFSNNLDQRYILHPKNHGDEYENGDAKMIPELFYEGNQGKFTGTLGGCLSVVAKYDEQSNVQGRYFCHRLDSPGADSEWAGREPTGLHVETYGAALFSADATLNLQFLQGYATFRYHTRFPMPELAESSRKQRSFHHSQPFVEGFENVREPIVVEYSTLTRVLAEQRPHFDVEWSRTIFLSLHYLLGTLWKAVWM
+>sp|O95450|ATS2_HUMAN A disintegrin and metalloproteinase with thrombospondin motifs 2 OS=Homo sapiens OX=9606 GN=ADAMTS2 PE=2 SV=2
+MDPPAGAARRLLCPALLLLLLLLPPPLLPPPPPPANARLAAAADPPGGPLGHGAERILAVPVRTDAQGRLVSHVVSAATSRAGVRARRAAPVRTPSFPGGNEEEPGSHLFYNVTVFGRDLHLRLRPNARLVAPGATMEWQGEKGTTRVEPLLGSCLYVGDVAGLAEASSVALSNCDGLAGLIRMEEEEFFIEPLEKGLAAQEAEQGRVHVVYRRPPTSPPLGGPQALDTGASLDSLDSLSRALGVLEEHANSSRRRARRHAADDDYNIEVLLGVDDSVVQFHGKEHVQKYLLTLMNIVNEIYHDESLGAHINVVLVRIILLSYGKSMSLIEIGNPSQSLENVCRWAYLQQKPDTGHDEYHDHAIFLTRQDFGPSGMQGYAPVTGMCHPVRSCTLNHEDGFSSAFVVAHETGHVLGMEHDGQGNRCGDEVRLGSIMAPLVQAAFHRFHWSRCSQQELSRYLHSYDCLLDDPFAHDWPALPQLPGLHYSMNEQCRFDFGLGYMMCTAFRTFDPCKQLWCSHPDNPYFCKTKKGPPLDGTMCAPGKHCFKGHCIWLTPDILKRDGSWGAWSPFGSCSRTCGTGVKFRTRQCDNPHPANGGRTCSGLAYDFQLCSRQDCPDSLADFREEQCRQWDLYFEHGDAQHHWLPHEHRDAKERCHLYCESRETGEVVSMKRMVHDGTRCSYKDAFSLCVRGDCRKVGCDGVIGSSKQEDKCGVCGGDNSHCKVVKGTFTRSPKKHGYIKMFEIPAGARHLLIQEVDATSHHLAVKNLETGKFILNEENDVDASSKTFIAMGVEWEYRDEDGRETLQTMGPLHGTITVLVIPVGDTRVSLTYKYMIHEDSLNVDDNNVLEEDSVVYEWALKKWSPCSKPCGGGSQFTKYGCRRRLDHKMVHRGFCAALSKPKAIRRACNPQECSQPVWVTGEWEPCSQTCGRTGMQVRSVRCIQPLHDNTTRSVHAKHCNDARPESRRACSRELCPGRWRAGPWSQCSVTCGNGTQERPVLCRTADDSFGICQEERPETARTCRLGPCPRNISDPSKKSYVVQWLSRPDPDSPIRKISSKGHCQGDKSIFCRMEVLSRYCSIPGYNKLCCKSCNLYNNLTNVEGRIEPPPGKHNDIDVFMPTLPVPTVAMEVRPSPSTPLEVPLNASSTNATEDHPETNAVDEPYKIHGLEDEVQPPNLIPRRPSPYEKTRNQRIQELIDEMRKKEMLGKF
+>DECOY_sp|O95450|ATS2_HUMAN A disintegrin and metalloproteinase with thrombospondin motifs 2 OS=Homo sapiens OX=9606 GN=ADAMTS2 PE=2 SV=2
+FKGLMEKKRMEDILEQIRQNRTKEYPSPRRPILNPPQVEDELGHIKYPEDVANTEPHDETANTSSANLPVELPTSPSPRVEMAVTPVPLTPMFVDIDNHKGPPPEIRGEVNTLNNYLNCSKCCLKNYGPISCYRSLVEMRCFISKDGQCHGKSSIKRIPSDPDPRSLWQVVYSKKSPDSINRPCPGLRCTRATEPREEQCIGFSDDATRCLVPREQTGNGCTVSCQSWPGARWRGPCLERSCARRSEPRADNCHKAHVSRTTNDHLPQICRVSRVQMGTRGCTQSCPEWEGTVWVPQSCEQPNCARRIAKPKSLAACFGRHVMKHDLRRRCGYKTFQSGGGCPKSCPSWKKLAWEYVVSDEELVNNDDVNLSDEHIMYKYTLSVRTDGVPIVLVTITGHLPGMTQLTERGDEDRYEWEVGMAIFTKSSADVDNEENLIFKGTELNKVALHHSTADVEQILLHRAGAPIEFMKIYGHKKPSRTFTGKVVKCHSNDGGCVGCKDEQKSSGIVGDCGVKRCDGRVCLSFADKYSCRTGDHVMRKMSVVEGTERSECYLHCREKADRHEHPLWHHQADGHEFYLDWQRCQEERFDALSDPCDQRSCLQFDYALGSCTRGGNAPHPNDCQRTRFKVGTGCTRSCSGFPSWAGWSGDRKLIDPTLWICHGKFCHKGPACMTGDLPPGKKTKCFYPNDPHSCWLQKCPDFTRFATCMMYGLGFDFRCQENMSYHLGPLQPLAPWDHAFPDDLLCDYSHLYRSLEQQSCRSWHFRHFAAQVLPAMISGLRVEDGCRNGQGDHEMGLVHGTEHAVVFASSFGDEHNLTCSRVPHCMGTVPAYGQMGSPGFDQRTLFIAHDHYEDHGTDPKQQLYAWRCVNELSQSPNGIEILSMSKGYSLLIIRVLVVNIHAGLSEDHYIENVINMLTLLYKQVHEKGHFQVVSDDVGLLVEINYDDDAAHRRARRRSSNAHEELVGLARSLSDLSDLSAGTDLAQPGGLPPSTPPRRYVVHVRGQEAEQAALGKELPEIFFEEEEMRILGALGDCNSLAVSSAEALGAVDGVYLCSGLLPEVRTTGKEGQWEMTAGPAVLRANPRLRLHLDRGFVTVNYFLHSGPEEENGGPFSPTRVPAARRARVGARSTAASVVHSVLRGQADTRVPVALIREAGHGLPGGPPDAAAALRANAPPPPPPLLPPPLLLLLLLLAPCLLRRAAGAPPDM
+>sp|P17735|ATTY_HUMAN Tyrosine aminotransferase OS=Homo sapiens OX=9606 GN=TAT PE=1 SV=1
+MDPYMIQMSSKGNLPSILDVHVNVGGRSSVPGKMKGRKARWSVRPSDMAKKTFNPIRAIVDNMKVKPNPNKTMISLSIGDPTVFGNLPTDPEVTQAMKDALDSGKYNGYAPSIGFLSSREEIASYYHCPEAPLEAKDVILTSGCSQAIDLCLAVLANPGQNILVPRPGFSLYKTLAESMGIEVKLYNLLPEKSWEIDLKQLEYLIDEKTACLIVNNPSNPCGSVFSKRHLQKILAVAARQCVPILADEIYGDMVFSDCKYEPLATLSTDVPILSCGGLAKRWLVPGWRLGWILIHDRRDIFGNEIRDGLVKLSQRILGPCTIVQGALKSILCRTPGEFYHNTLSFLKSNADLCYGALAAIPGLRPVRPSGAMYLMVGIEMEHFPEFENDVEFTERLVAEQSVHCLPATCFEYPNFIRVVITVPEVMMLEACSRIQEFCEQHYHCAEGSQEECDK
+>DECOY_sp|P17735|ATTY_HUMAN Tyrosine aminotransferase OS=Homo sapiens OX=9606 GN=TAT PE=1 SV=1
+KDCEEQSGEACHYHQECFEQIRSCAELMMVEPVTIVVRIFNPYEFCTAPLCHVSQEAVLRETFEVDNEFEPFHEMEIGVMLYMAGSPRVPRLGPIAALAGYCLDANSKLFSLTNHYFEGPTRCLISKLAGQVITCPGLIRQSLKVLGDRIENGFIDRRDHILIWGLRWGPVLWRKALGGCSLIPVDTSLTALPEYKCDSFVMDGYIEDALIPVCQRAAVALIKQLHRKSFVSGCPNSPNNVILCATKEDILYELQKLDIEWSKEPLLNYLKVEIGMSEALTKYLSFGPRPVLINQGPNALVALCLDIAQSCGSTLIVDKAELPAEPCHYYSAIEERSSLFGISPAYGNYKGSDLADKMAQTVEPDTPLNGFVTPDGISLSIMTKNPNPKVKMNDVIARIPNFTKKAMDSPRVSWRAKRGKMKGPVSSRGGVNVHVDLISPLNGKSSMQIMYPDM
+>sp|Q99700|ATX2_HUMAN Ataxin-2 OS=Homo sapiens OX=9606 GN=ATXN2 PE=1 SV=2
+MRSAAAAPRSPAVATESRRFAAARWPGWRSLQRPARRSGRGGGGAAPGPYPSAAPPPPGPGPPPSRQSSPPSASDCFGSNGNGGGAFRPGSRRLLGLGGPPRPFVVLLLPLASPGAPPAAPTRASPLGARASPPRSGVSLARPAPGCPRPACEPVYGPLTMSLKPQQQQQQQQQQQQQQQQQQQQQQQPPPAAANVRKPGGSGLLASPAAAPSPSSSSVSSSSATAPSSVVAATSGGGRPGLGRGRNSNKGLPQSTISFDGIYANMRMVHILTSVVGSKCEVQVKNGGIYEGVFKTYSPKCDLVLDAAHEKSTESSSGPKREEIMESILFKCSDFVVVQFKDMDSSYAKRDAFTDSAISAKVNGEHKEKDLEPWDAGELTANEELEALENDVSNGWDPNDMFRYNEENYGVVSTYDSSLSSYTVPLERDNSEEFLKREARANQLAEEIESSAQYKARVALENDDRSEEEKYTAVQRNSSEREGHSINTRENKYIPPGQRNREVISWGSGRQNSPRMGQPGSGSMPSRSTSHTSDFNPNSGSDQRVVNGGVPWPSPCPSPSSRPPSRYQSGPNSLPPRAATPTRPPSRPPSRPSRPPSHPSAHGSPAPVSTMPKRMSSEGPPRMSPKAQRHPRNHRVSAGRGSISSGLEFVSHNPPSEAATPPVARTSPSGGTWSSVVSGVPRLSPKTHRPRSPRQNSIGNTPSGPVLASPQAGIIPTEAVAMPIPAASPTPASPASNRAVTPSSEAKDSRLQDQRQNSPAGNKENIKPNETSPSFSKAENKGISPVVSEHRKQIDDLKKFKNDFRLQPSSTSESMDQLLNKNREGEKSRDLIKDKIEPSAKDSFIENSSSNCTSGSSKPNSPSISPSILSNTEHKRGPEVTSQGVQTSSPACKQEKDDKEEKKDAAEQVRKSTLNPNAKEFNPRSFSQPKPSTTPTSPRPQAQPSPSMVGHQQPTPVYTQPVCFAPNMMYPVPVSPGVQPLYPIPMTPMPVNQAKTYRAVPNMPQQRQDQHHQSAMMHPASAAGPPIAATPPAYSTQYVAYSPQQFPNQPLVQHVPHYQSQHPHVYSPVIQGNARMMAPPTHAQPGLVSSSATQYGAHEQTHAMYACPKLPYNKETSPSFYFAISTGSLAQQYAHPNATLHPHTPHPQPSATPTGQQQSQHGGSHPAPSPVQHHQHQAAQALHLASPQQQSAIYHAGLAPTPPSMTPASNTQSPQNSFPAAQQTVFTIHPSHVQPAYTNPPHMAHVPQAHVQSGMVPSHPTAHAPMMLMTTQPPGGPQAALAQSALQPIPVSTTAHFPYMTHPSVQAHHQQQL
+>DECOY_sp|Q99700|ATX2_HUMAN Ataxin-2 OS=Homo sapiens OX=9606 GN=ATXN2 PE=1 SV=2
+LQQQHHAQVSPHTMYPFHATTSVPIPQLASQALAAQPGGPPQTTMLMMPAHATPHSPVMGSQVHAQPVHAMHPPNTYAPQVHSPHITFVTQQAAPFSNQPSQTNSAPTMSPPTPALGAHYIASQQQPSALHLAQAAQHQHHQVPSPAPHSGGHQSQQQGTPTASPQPHPTHPHLTANPHAYQQALSGTSIAFYFSPSTEKNYPLKPCAYMAHTQEHAGYQTASSSVLGPQAHTPPAMMRANGQIVPSYVHPHQSQYHPVHQVLPQNPFQQPSYAVYQTSYAPPTAAIPPGAASAPHMMASQHHQDQRQQPMNPVARYTKAQNVPMPTMPIPYLPQVGPSVPVPYMMNPAFCVPQTYVPTPQQHGVMSPSPQAQPRPSTPTTSPKPQSFSRPNFEKANPNLTSKRVQEAADKKEEKDDKEQKCAPSSTQVGQSTVEPGRKHETNSLISPSISPSNPKSSGSTCNSSSNEIFSDKASPEIKDKILDRSKEGERNKNLLQDMSESTSSPQLRFDNKFKKLDDIQKRHESVVPSIGKNEAKSFSPSTENPKINEKNGAPSNQRQDQLRSDKAESSPTVARNSAPSAPTPSAAPIPMAVAETPIIGAQPSALVPGSPTNGISNQRPSRPRHTKPSLRPVGSVVSSWTGGSPSTRAVPPTAAESPPNHSVFELGSSISGRGASVRHNRPHRQAKPSMRPPGESSMRKPMTSVPAPSGHASPHSPPRSPRSPPRSPPRTPTAARPPLSNPGSQYRSPPRSSPSPCPSPWPVGGNVVRQDSGSNPNFDSTHSTSRSPMSGSGPQGMRPSNQRGSGWSIVERNRQGPPIYKNERTNISHGERESSNRQVATYKEEESRDDNELAVRAKYQASSEIEEALQNARAERKLFEESNDRELPVTYSSLSSDYTSVVGYNEENYRFMDNPDWGNSVDNELAELEENATLEGADWPELDKEKHEGNVKASIASDTFADRKAYSSDMDKFQVVVFDSCKFLISEMIEERKPGSSSETSKEHAADLVLDCKPSYTKFVGEYIGGNKVQVECKSGVVSTLIHVMRMNAYIGDFSITSQPLGKNSNRGRGLGPRGGGSTAAVVSSPATASSSSVSSSSPSPAAAPSALLGSGGPKRVNAAAPPPQQQQQQQQQQQQQQQQQQQQQQQPKLSMTLPGYVPECAPRPCGPAPRALSVGSRPPSARAGLPSARTPAAPPAGPSALPLLLVVFPRPPGGLGLLRRSGPRFAGGGNGNSGFCDSASPPSSQRSPPPGPGPPPPAASPYPGPAAGGGGRGSRRAPRQLSRWGPWRAAAFRRSETAVAPSRPAAAASRM
+>sp|Q9H7T9|AUNIP_HUMAN Aurora kinase A and ninein-interacting protein OS=Homo sapiens OX=9606 GN=AUNIP PE=1 SV=1
+MRRTGPEEEACGVWLDAAALKRRKVQTHLIKPGTKMLTLLPGERKANIYFTQRRAPSTGIHQRSIASFFTLQPGKTNGSDQKSVSSHTESQINKESKKNATQLDHLIPGLAHDCMASPLATSTTADIQEAGLSPQSLQTSGHHRMKTPFSTELSLLQPDTPDCAGDSHTPLAFSFTEDLESSCLLDRKEEKGDSARKWEWLHESKKNYQSMEKHTKLPGDKCCQPLGKTKLERKVSAKENRQAPVLLQTYRESWNGENIESVKQSRSPVSVFSWDNEKNDKDSWSQLFTEDSQGQRVIAHNTRAPFQDVTNNWNWDLGPFPNSPWAQCQEDGPTQNLKPDLLFTQDSEGNQVIRHQF
+>DECOY_sp|Q9H7T9|AUNIP_HUMAN Aurora kinase A and ninein-interacting protein OS=Homo sapiens OX=9606 GN=AUNIP PE=1 SV=1
+FQHRIVQNGESDQTFLLDPKLNQTPGDEQCQAWPSNPFPGLDWNWNNTVDQFPARTNHAIVRQGQSDETFLQSWSDKDNKENDWSFVSVPSRSQKVSEINEGNWSERYTQLLVPAQRNEKASVKRELKTKGLPQCCKDGPLKTHKEMSQYNKKSEHLWEWKRASDGKEEKRDLLCSSELDETFSFALPTHSDGACDPTDPQLLSLETSFPTKMRHHGSTQLSQPSLGAEQIDATTSTALPSAMCDHALGPILHDLQTANKKSEKNIQSETHSSVSKQDSGNTKGPQLTFFSAISRQHIGTSPARRQTFYINAKREGPLLTLMKTGPKILHTQVKRRKLAAADLWVGCAEEEPGTRRM
+>sp|Q9NQS1|AVEN_HUMAN Cell death regulator Aven OS=Homo sapiens OX=9606 GN=AVEN PE=1 SV=1
+MQAERGARGGRGRRPGRGRPGGDRHSERPGAAAAVARGGGGGGGGDGGGRRGRGRGRGFRGARGGRGGGGAPRGSRREPGGWGAGASAPVEDDSDAETYGEENDEQGNYSKRKIVSNWDRYQDIEKEVNNESGESQRGTDFSVLLSSAGDSFSQFRFAEEKEWDSEASCPKQNSAFYVDSELLVRALQELPLCLRLNVAAELVQGTVPLEVPQVKPKRTDDGKGLGMQLKGPLGPGGRGPIFELKSVAAGCPVLLGKDNPSPGPSRDSQKPTSPLQSAGDHLEEELDLLLNLDAPIKEGDNILPDQTSQDLKSKEDGEVVQEEEVCAKPSVTEEKNMEPEQPSTSKNVTEEELEDWLDSMIS
+>DECOY_sp|Q9NQS1|AVEN_HUMAN Cell death regulator Aven OS=Homo sapiens OX=9606 GN=AVEN PE=1 SV=1
+SIMSDLWDELEEETVNKSTSPQEPEMNKEETVSPKACVEEEQVVEGDEKSKLDQSTQDPLINDGEKIPADLNLLLDLEEELHDGASQLPSTPKQSDRSPGPSPNDKGLLVPCGAAVSKLEFIPGRGGPGLPGKLQMGLGKGDDTRKPKVQPVELPVTGQVLEAAVNLRLCLPLEQLARVLLESDVYFASNQKPCSAESDWEKEEAFRFQSFSDGASSLLVSFDTGRQSEGSENNVEKEIDQYRDWNSVIKRKSYNGQEDNEEGYTEADSDDEVPASAGAGWGGPERRSGRPAGGGGRGGRAGRFGRGRGRGRRGGGDGGGGGGGGRAVAAAAGPRESHRDGGPRGRGPRRGRGGRAGREAQM
+>sp|O75366|AVIL_HUMAN Advillin OS=Homo sapiens OX=9606 GN=AVIL PE=1 SV=3
+MPLTSAFRAVDNDPGIIVWRIEKMELALVPVSAHGNFYEGDCYVILSTRRVASLLSQDIHFWIGKDSSQDEQSCAAIYTTQLDDYLGGSPVQHREVQYHESDTFRGYFKQGIIYKQGGVASGMKHVETNTYDVKRLLHVKGKRNIRATEVEMSWDSFNRGDVFLLDLGKVIIQWNGPESNSGERLKAMLLAKDIRDRERGGRAKIGVIEGDKEAASPELMKVLQDTLGRRSIIKPTVPDEIIDQKQKSTIMLYHISDSAGQLAVTEVATRPLVQDLLNHDDCYILDQSGTKIYVWKGKGATKAEKQAAMSKALGFIKMKSYPSSTNVETVNDGAESAMFKQLFQKWSVKDQTMGLGKTFSIGKIAKVFQDKFDVTLLHTKPEVAAQERMVDDGNGKVEVWRIENLELVPVEYQWYGFFYGGDCYLVLYTYEVNGKPHHILYIWQGRHASQDELAASAYQAVEVDRQFDGAAVQVRVRMGTEPRHFMAIFKGKLVIFEGGTSRKGNAEPDPPVRLFQIHGNDKSNTKAVEVPAFASSLNSNDVFLLRTQAEHYLWYGKGSSGDERAMAKELASLLCDGSENTVAEGQEPAEFWDLLGGKTPYANDKRLQQEILDVQSRLFECSNKTGQFVVTEITDFTQDDLNPTDVMLLDTWDQVFLWIGAEANATEKESALATAQQYLHTHPSGRDPDTPILIIKQGFEPPIFTGWFLAWDPNIWSAGKTYEQLKEELGDAAAIMRITADMKNATLSLNSNDSEPKYYPIAVLLKNQNQELPEDVNPAKKENYLSEQDFVSVFGITRGQFAALPGWKQLQMKKEKGLF
+>DECOY_sp|O75366|AVIL_HUMAN Advillin OS=Homo sapiens OX=9606 GN=AVIL PE=1 SV=3
+FLGKEKKMQLQKWGPLAAFQGRTIGFVSVFDQESLYNEKKAPNVDEPLEQNQNKLLVAIPYYKPESDNSNLSLTANKMDATIRMIAAADGLEEKLQEYTKGASWINPDWALFWGTFIPPEFGQKIILIPTDPDRGSPHTHLYQQATALASEKETANAEAGIWLFVQDWTDLLMVDTPNLDDQTFDTIETVVFQGTKNSCEFLRSQVDLIEQQLRKDNAYPTKGGLLDWFEAPEQGEAVTNESGDCLLSALEKAMAREDGSSGKGYWLYHEAQTRLLFVDNSNLSSAFAPVEVAKTNSKDNGHIQFLRVPPDPEANGKRSTGGEFIVLKGKFIAMFHRPETGMRVRVQVAAGDFQRDVEVAQYASAALEDQSAHRGQWIYLIHHPKGNVEYTYLVLYCDGGYFFGYWQYEVPVLELNEIRWVEVKGNGDDVMREQAAVEPKTHLLTVDFKDQFVKAIKGISFTKGLGMTQDKVSWKQFLQKFMASEAGDNVTEVNTSSPYSKMKIFGLAKSMAAQKEAKTAGKGKWVYIKTGSQDLIYCDDHNLLDQVLPRTAVETVALQGASDSIHYLMITSKQKQDIIEDPVTPKIISRRGLTDQLVKMLEPSAAEKDGEIVGIKARGGRERDRIDKALLMAKLREGSNSEPGNWQIIVKGLDLLFVDGRNFSDWSMEVETARINRKGKVHLLRKVDYTNTEVHKMGSAVGGQKYIIGQKFYGRFTDSEHYQVERHQVPSGGLYDDLQTTYIAACSQEDQSSDKGIWFHIDQSLLSAVRRTSLIVYCDGEYFNGHASVPVLALEMKEIRWVIIGPDNDVARFASTLPM
+>sp|Q8NBF6|AVL9_HUMAN Late secretory pathway protein AVL9 homolog OS=Homo sapiens OX=9606 GN=AVL9 PE=1 SV=1
+MEKARRGGDGVPRGPVLHIVVVGFHHKKGCQVEFSYPPLIPGDGHDSHTLPEEWKYLPFLALPDGAHNYQEDTVFFHLPPRNGNGATVFGISCYRQIEAKALKVRQADITRETVQKSVCVLSKLPLYGLLQAKLQLITHAYFEEKDFSQISILKELYEHMNSSLGGASLEGSQVYLGLSPRDLVLHFRHKVLILFKLILLEKKVLFYISPVNKLVGALMTVLSLFPGMIEHGLSDCSQYRPRKSMSEDGGLQESNPCADDFVSASTADVSHTNLGTIRKVMAGNHGEDAAMKTEEPLFQVEDSSKGQEPNDTNQYLKPPSRPSPDSSESDWETLDPSVLEDPNLKEREQLGSDQTNLFPKDSVPSESLPITVQPQANTGQVVLIPGLISGLEEDQYGMPLAIFTKGYLCLPYMALQQHHLLSDVTVRGFVAGATNILFRQQKHLSDAIVEVEEALIQIHDPELRKLLNPTTADLRFADYLVRHVTENRDDVFLDGTGWEGGDEWIRAQFAVYIHALLAATLQLDNEKILSDYGTTFVTAWKNTHNYRVWNSNKHPALAEINPNHPFQGQYSVSDMKLRFSHSVQNSERGKKIGNVMVTTSRNVVQTGKAVGQSVGGAFSSAKTAMSSWLSTFTTSTSQSLTEPPDEKP
+>DECOY_sp|Q8NBF6|AVL9_HUMAN Late secretory pathway protein AVL9 homolog OS=Homo sapiens OX=9606 GN=AVL9 PE=1 SV=1
+PKEDPPETLSQSTSTTFTSLWSSMATKASSFAGGVSQGVAKGTQVVNRSTTVMVNGIKKGRESNQVSHSFRLKMDSVSYQGQFPHNPNIEALAPHKNSNWVRYNHTNKWATVFTTGYDSLIKENDLQLTAALLAHIYVAFQARIWEDGGEWGTGDLFVDDRNETVHRVLYDAFRLDATTPNLLKRLEPDHIQILAEEVEVIADSLHKQQRFLINTAGAVFGRVTVDSLLHHQQLAMYPLCLYGKTFIALPMGYQDEELGSILGPILVVQGTNAQPQVTIPLSESPVSDKPFLNTQDSGLQEREKLNPDELVSPDLTEWDSESSDPSPRSPPKLYQNTDNPEQGKSSDEVQFLPEETKMAADEGHNGAMVKRITGLNTHSVDATSASVFDDACPNSEQLGGDESMSKRPRYQSCDSLGHEIMGPFLSLVTMLAGVLKNVPSIYFLVKKELLILKFLILVKHRFHLVLDRPSLGLYVQSGELSAGGLSSNMHEYLEKLISIQSFDKEEFYAHTILQLKAQLLGYLPLKSLVCVSKQVTERTIDAQRVKLAKAEIQRYCSIGFVTAGNGNRPPLHFFVTDEQYNHAGDPLALFPLYKWEEPLTHSDHGDGPILPPYSFEVQCGKKHHFGVVVIHLVPGRPVGDGGRRAKEM
+>sp|Q58HT5|AWAT1_HUMAN Acyl-CoA wax alcohol acyltransferase 1 OS=Homo sapiens OX=9606 GN=AWAT1 PE=1 SV=1
+MAHSKQPSHFQSLMLLQWPLSYLAIFWILQPLFVYLLFTSLWPLPVLYFAWLFLDWKTPERGGRRSAWVRNWCVWTHIRDYFPITILKTKDLSPEHNYLMGVHPHGLLTFGAFCNFCTEATGFSKTFPGITPHLATLSWFFKIPFVREYLMAKGVCSVSQPAINYLLSHGTGNLVGIVVGGVGEALQSVPNTTTLILQKRKGFVRTALQHGAHLVPTFTFGETEVYDQVLFHKDSRMYKFQSCFRRIFGFYCCVFYGQSFCQGSTGLLPYSRPIVTVVGEPLPLPQIEKPSQEMVDKYHALYMDALHKLFDQHKTHYGCSETQKLFFL
+>DECOY_sp|Q58HT5|AWAT1_HUMAN Acyl-CoA wax alcohol acyltransferase 1 OS=Homo sapiens OX=9606 GN=AWAT1 PE=1 SV=1
+LFFLKQTESCGYHTKHQDFLKHLADMYLAHYKDVMEQSPKEIQPLPLPEGVVTVIPRSYPLLGTSGQCFSQGYFVCCYFGFIRRFCSQFKYMRSDKHFLVQDYVETEGFTFTPVLHAGHQLATRVFGKRKQLILTTTNPVSQLAEGVGGVVIGVLNGTGHSLLYNIAPQSVSCVGKAMLYERVFPIKFFWSLTALHPTIGPFTKSFGTAETCFNCFAGFTLLGHPHVGMLYNHEPSLDKTKLITIPFYDRIHTWVCWNRVWASRRGGREPTKWDLFLWAFYLVPLPWLSTFLLYVFLPQLIWFIALYSLPWQLLMLSQFHSPQKSHAM
+>sp|O15169|AXIN1_HUMAN Axin-1 OS=Homo sapiens OX=9606 GN=AXIN1 PE=1 SV=2
+MNIQEQGFPLDLGASFTEDAPRPPVPGEEGELVSTDPRPASYSFCSGKGVGIKGETSTATPRRSDLDLGYEPEGSASPTPPYLKWAESLHSLLDDQDGISLFRTFLKQEGCADLLDFWFACTGFRKLEPCDSNEEKRLKLARAIYRKYILDNNGIVSRQTKPATKSFIKGCIMKQLIDPAMFDQAQTEIQATMEENTYPSFLKSDIYLEYTRTGSESPKVCSDQSSGSGTGKGISGYLPTLNEDEEWKCDQDMDEDDGRDAAPPGRLPQKLLLETAAPRVSSSRRYSEGREFRYGSWREPVNPYYVNAGYALAPATSANDSEQQSLSSDADTLSLTDSSVDGIPPYRIRKQHRREMQESVQVNGRVPLPHIPRTYRVPKEVRVEPQKFAEELIHRLEAVQRTREAEEKLEERLKRVRMEEEGEDGDPSSGPPGPCHKLPPAPAWHHFPPRCVDMGCAGLRDAHEENPESILDEHVQRVLRTPGRQSPGPGHRSPDSGHVAKMPVALGGAASGHGKHVPKSGAKLDAAGLHHHRHVHHHVHHSTARPKEQVEAEATRRAQSSFAWGLEPHSHGARSRGYSESVGAAPNASDGLAHSGKVGVACKRNAKKAESGKSASTEVPGASEDAEKNQKIMQWIIEGEKEISRHRRTGHGSSGTRKPQPHENSRPLSLEHPWAGPQLRTSVQPSHLFIQDPTMPPHPAPNPLTQLEEARRRLEEEEKRASRAPSKQRYVQEVMRRGRACVRPACAPVLHVVPAVSDMELSETETRSQRKVGGGSAQPCDSIVVAYYFCGEPIPYRTLVRGRAVTLGQFKELLTKKGSYRYYFKKVSDEFDCGVVFEEVREDEAVLPVFEEKIIGKVEKVD
+>DECOY_sp|O15169|AXIN1_HUMAN Axin-1 OS=Homo sapiens OX=9606 GN=AXIN1 PE=1 SV=2
+DVKEVKGIIKEEFVPLVAEDERVEEFVVGCDFEDSVKKFYYRYSGKKTLLEKFQGLTVARGRVLTRYPIPEGCFYYAVVISDCPQASGGGVKRQSRTETESLEMDSVAPVVHLVPACAPRVCARGRRMVEQVYRQKSPARSARKEEEELRRRAEELQTLPNPAPHPPMTPDQIFLHSPQVSTRLQPGAWPHELSLPRSNEHPQPKRTGSSGHGTRRHRSIEKEGEIIWQMIKQNKEADESAGPVETSASKGSEAKKANRKCAVGVKGSHALGDSANPAAGVSESYGRSRAGHSHPELGWAFSSQARRTAEAEVQEKPRATSHHVHHHVHRHHHLGAADLKAGSKPVHKGHGSAAGGLAVPMKAVHGSDPSRHGPGPSQRGPTRLVRQVHEDLISEPNEEHADRLGACGMDVCRPPFHHWAPAPPLKHCPGPPGSSPDGDEGEEEMRVRKLREELKEEAERTRQVAELRHILEEAFKQPEVRVEKPVRYTRPIHPLPVRGNVQVSEQMERRHQKRIRYPPIGDVSSDTLSLTDADSSLSQQESDNASTAPALAYGANVYYPNVPERWSGYRFERGESYRRSSSVRPAATELLLKQPLRGPPAADRGDDEDMDQDCKWEEDENLTPLYGSIGKGTGSGSSQDSCVKPSESGTRTYELYIDSKLFSPYTNEEMTAQIETQAQDFMAPDILQKMICGKIFSKTAPKTQRSVIGNNDLIYKRYIARALKLRKEENSDCPELKRFGTCAFWFDLLDACGEQKLFTRFLSIGDQDDLLSHLSEAWKLYPPTPSASGEPEYGLDLDSRRPTATSTEGKIGVGKGSCFSYSAPRPDTSVLEGEEGPVPPRPADETFSAGLDLPFGQEQINM
+>sp|Q9BZR8|B2L14_HUMAN Apoptosis facilitator Bcl-2-like protein 14 OS=Homo sapiens OX=9606 GN=BCL2L14 PE=1 SV=1
+MCSTSGCDLEEIPLDDDDLNTIEFKILAYYTRHHVFKSTPALFSPKLLRTRSLSQRGLGNCSANESWTEVSWPCRNSQSSEKAINLGKKKSSWKAFFGVVEKEDSQSTPAKVSAQGQRTLEYQDSHSQQWSRCLSNVEQCLEHEAVDPKVISIANRVAEIVYSWPPPQATQAGGFKSKEIFVTEGLSFQLQGHVPVASSSKKDEEEQILAKIVELLKYSGDQLERKLKKDKALMGHFQDGLSYSVFKTITDQVLMGVDPRGESEVKAQGFKAALVIDVTAKLTAIDNHPMNRVLGFGTKYLKENFSPWIQQHGGWEKILGISHEEVD
+>DECOY_sp|Q9BZR8|B2L14_HUMAN Apoptosis facilitator Bcl-2-like protein 14 OS=Homo sapiens OX=9606 GN=BCL2L14 PE=1 SV=1
+DVEEHSIGLIKEWGGHQQIWPSFNEKLYKTGFGLVRNMPHNDIATLKATVDIVLAAKFGQAKVESEGRPDVGMLVQDTITKFVSYSLGDQFHGMLAKDKKLKRELQDGSYKLLEVIKALIQEEEDKKSSSAVPVHGQLQFSLGETVFIEKSKFGGAQTAQPPPWSYVIEAVRNAISIVKPDVAEHELCQEVNSLCRSWQQSHSDQYELTRQGQASVKAPTSQSDEKEVVGFFAKWSSKKKGLNIAKESSQSNRCPWSVETWSENASCNGLGRQSLSRTRLLKPSFLAPTSKFVHHRTYYALIKFEITNLDDDDLPIEELDCGSTSCM
+>sp|Q16548|B2LA1_HUMAN Bcl-2-related protein A1 OS=Homo sapiens OX=9606 GN=BCL2A1 PE=1 SV=1
+MTDCEFGYIYRLAQDYLQCVLQIPQPGSGPSKTSRVLQNVAFSVQKEVEKNLKSCLDNVNVVSVDTARTLFNQVMEKEFEDGIINWGRIVTIFAFEGILIKKLLRQQIAPDVDTYKEISYFVAEFIMNNTGEWIRQNGGWENGFVKKFEPKSGWMTFLEVTGKICEMLSLLKQYC
+>DECOY_sp|Q16548|B2LA1_HUMAN Bcl-2-related protein A1 OS=Homo sapiens OX=9606 GN=BCL2A1 PE=1 SV=1
+CYQKLLSLMECIKGTVELFTMWGSKPEFKKVFGNEWGGNQRIWEGTNNMIFEAVFYSIEKYTDVDPAIQQRLLKKILIGEFAFITVIRGWNIIGDEFEKEMVQNFLTRATDVSVVNVNDLCSKLNKEVEKQVSFAVNQLVRSTKSPGSGPQPIQLVCQLYDQALRYIYGFECDTM
+>sp|O94766|B3GA3_HUMAN Galactosylgalactosylxylosylprotein 3-beta-glucuronosyltransferase 3 OS=Homo sapiens OX=9606 GN=B3GAT3 PE=1 SV=2
+MKLKLKNVFLAYFLVSIAGLLYALVQLGQPCDCLPPLRAAAEQLRQKDLRISQLQAELRRPPPAPAQPPEPEALPTIYVVTPTYARLVQKAELVRLSQTLSLVPRLHWLLVEDAEGPTPLVSGLLAASGLLFTHLVVLTPKAQRLREGEPGWVHPRGVEQRNKALDWLRGRGGAVGGEKDPPPPGTQGVVYFADDDNTYSRELFEEMRWTRGVSVWPVGLVGGLRFEGPQVQDGRVVGFHTAWEPSRPFPVDMAGFAVALPLLLDKPNAQFDSTAPRGHLESSLLSHLVDPKDLEPRAANCTRVLVWHTRTEKPKMKQEEQLQRQGRGSDPAIEV
+>DECOY_sp|O94766|B3GA3_HUMAN Galactosylgalactosylxylosylprotein 3-beta-glucuronosyltransferase 3 OS=Homo sapiens OX=9606 GN=B3GAT3 PE=1 SV=2
+VEIAPDSGRGQRQLQEEQKMKPKETRTHWVLVRTCNAARPELDKPDVLHSLLSSELHGRPATSDFQANPKDLLLPLAVAFGAMDVPFPRSPEWATHFGVVRGDQVQPGEFRLGGVLGVPWVSVGRTWRMEEFLERSYTNDDDAFYVVGQTGPPPPDKEGGVAGGRGRLWDLAKNRQEVGRPHVWGPEGERLRQAKPTLVVLHTFLLGSAALLGSVLPTPGEADEVLLWHLRPVLSLTQSLRVLEAKQVLRAYTPTVVYITPLAEPEPPQAPAPPPRRLEAQLQSIRLDKQRLQEAAARLPPLCDCPQGLQVLAYLLGAISVLFYALFVNKLKLKM
+>sp|Q9Y5Z6|B3GT1_HUMAN Beta-1,3-galactosyltransferase 1 OS=Homo sapiens OX=9606 GN=B3GALT1 PE=1 SV=1
+MASKVSCLYVLTVVCWASALWYLSITRPTSSYTGSKPFSHLTVARKNFTFGNIRTRPINPHSFEFLINEPNKCEKNIPFLVILISTTHKEFDARQAIRETWGDENNFKGIKIATLFLLGKNADPVLNQMVEQESQIFHDIIVEDFIDSYHNLTLKTLMGMRWVATFCSKAKYVMKTDSDIFVNMDNLIYKLLKPSTKPRRRYFTGYVINGGPIRDVRSKWYMPRDLYPDSNYPPFCSGTGYIFSADVAELIYKTSLHTRLLHLEDVYVGLCLRKLGIHPFQNSGFNHWKMAYSLCRYRRVITVHQISPEEMHRIWNDMSSKKHLRC
+>DECOY_sp|Q9Y5Z6|B3GT1_HUMAN Beta-1,3-galactosyltransferase 1 OS=Homo sapiens OX=9606 GN=B3GALT1 PE=1 SV=1
+CRLHKKSSMDNWIRHMEEPSIQHVTIVRRYRCLSYAMKWHNFGSNQFPHIGLKRLCLGVYVDELHLLRTHLSTKYILEAVDASFIYGTGSCFPPYNSDPYLDRPMYWKSRVDRIPGGNIVYGTFYRRRPKTSPKLLKYILNDMNVFIDSDTKMVYKAKSCFTAVWRMGMLTKLTLNHYSDIFDEVIIDHFIQSEQEVMQNLVPDANKGLLFLTAIKIGKFNNEDGWTERIAQRADFEKHTTSILIVLFPINKECKNPENILFEFSHPNIPRTRINGFTFNKRAVTLHSFPKSGTYSSTPRTISLYWLASAWCVVTLVYLCSVKSAM
+>sp|Q8WXS3|BAALC_HUMAN Brain and acute leukemia cytoplasmic protein OS=Homo sapiens OX=9606 GN=BAALC PE=2 SV=3
+MGCGGSRADAIEPRYYESWTRETESTWLTYTDSDAPPSAAAPDSGPEAGGLHSVLEAEKSKIKAPTDSVSDEGLFSASKMAPLAVFSHGMLEDGLPSNGVPRSTAPGGIPNPEKKTNCETQCPNPQSLSSGPLTQKQNGLQTTEAKRDAKRMPAKEVTINVTDSIQQMDRSRRITKNCVN
+>DECOY_sp|Q8WXS3|BAALC_HUMAN Brain and acute leukemia cytoplasmic protein OS=Homo sapiens OX=9606 GN=BAALC PE=2 SV=3
+NVCNKTIRRSRDMQQISDTVNITVEKAPMRKADRKAETTQLGNQKQTLPGSSLSQPNPCQTECNTKKEPNPIGGPATSRPVGNSPLGDELMGHSFVALPAMKSASFLGEDSVSDTPAKIKSKEAELVSHLGGAEPGSDPAAASPPADSDTYTLWTSETERTWSEYYRPEIADARSGGCGM
+>sp|P56817|BACE1_HUMAN Beta-secretase 1 OS=Homo sapiens OX=9606 GN=BACE1 PE=1 SV=3
+MAQALPWLLLWMGAGVLPAHGTQHGIRLPLRSGLGGAPLGLRLPRETDEEPEEPGRRGSFVEMVDNLRGKSGQGYYVEMTVGSPPQTLNILVDTGSSNFAVGAAPHPFLHRYYQRQLSSTYRDLRKGVYVPYTQGKWEGELGTDLVSIPHGPNVTVRANIAAITESDKFFINGSNWEGILGLAYAEIARPDDSLEPFFDSLVKQTHVPNLFSLQLCGAGFPLNQSEVLASVGGSMIIGGIDHSLYTGSLWYTPIRREWYYEVIIVRVEINGQDLKMDCKEYNYDKSIVDSGTTNLRLPKKVFEAAVKSIKAASSTEKFPDGFWLGEQLVCWQAGTTPWNIFPVISLYLMGEVTNQSFRITILPQQYLRPVEDVATSQDDCYKFAISQSSTGTVMGAVIMEGFYVVFDRARKRIGFAVSACHVHDEFRTAAVEGPFVTLDMEDCGYNIPQTDESTLMTIAYVMAAICALFMLPLCLMVCQWRCLRCLRQQHDDFADDISLLK
+>DECOY_sp|P56817|BACE1_HUMAN Beta-secretase 1 OS=Homo sapiens OX=9606 GN=BACE1 PE=1 SV=3
+KLLSIDDAFDDHQQRLCRLCRWQCVMLCLPLMFLACIAAMVYAITMLTSEDTQPINYGCDEMDLTVFPGEVAATRFEDHVHCASVAFGIRKRARDFVVYFGEMIVAGMVTGTSSQSIAFKYCDDQSTAVDEVPRLYQQPLITIRFSQNTVEGMLYLSIVPFINWPTTGAQWCVLQEGLWFGDPFKETSSAAKISKVAAEFVKKPLRLNTTGSDVISKDYNYEKCDMKLDQGNIEVRVIIVEYYWERRIPTYWLSGTYLSHDIGGIIMSGGVSALVESQNLPFGAGCLQLSFLNPVHTQKVLSDFFPELSDDPRAIEAYALGLIGEWNSGNIFFKDSETIAAINARVTVNPGHPISVLDTGLEGEWKGQTYPVYVGKRLDRYTSSLQRQYYRHLFPHPAAGVAFNSSGTDVLINLTQPPSGVTMEVYYGQGSKGRLNDVMEVFSGRRGPEEPEEDTERPLRLGLPAGGLGSRLPLRIGHQTGHAPLVGAGMWLLLWPLAQAM
+>sp|Q86Y27|BAGE5_HUMAN B melanoma antigen 5 OS=Homo sapiens OX=9606 GN=BAGE5 PE=2 SV=1
+MAAGAVFLALSAQLLQARLMKEESPVVSWRLEPEDGTALCFIF
+>DECOY_sp|Q86Y27|BAGE5_HUMAN B melanoma antigen 5 OS=Homo sapiens OX=9606 GN=BAGE5 PE=2 SV=1
+FIFCLATGDEPELRWSVVPSEEKMLRAQLLQASLALFVAGAAM
+>sp|Q16611|BAK_HUMAN Bcl-2 homologous antagonist/killer OS=Homo sapiens OX=9606 GN=BAK1 PE=1 SV=1
+MASGQGPGPPRQECGEPALPSASEEQVAQDTEEVFRSYVFYRHQQEQEAEGVAAPADPEMVTLPLQPSSTMGQVGRQLAIIGDDINRRYDSEFQTMLQHLQPTAENAYEYFTKIATSLFESGINWGRVVALLGFGYRLALHVYQHGLTGFLGQVTRFVVDFMLHHCIARWIAQRGGWVAALNLGNGPILNVLVVLGVVLLGQFVVRRFFKS
+>DECOY_sp|Q16611|BAK_HUMAN Bcl-2 homologous antagonist/killer OS=Homo sapiens OX=9606 GN=BAK1 PE=1 SV=1
+SKFFRRVVFQGLLVVGLVVLVNLIPGNGLNLAAVWGGRQAIWRAICHHLMFDVVFRTVQGLFGTLGHQYVHLALRYGFGLLAVVRGWNIGSEFLSTAIKTFYEYANEATPQLHQLMTQFESDYRRNIDDGIIALQRGVQGMTSSPQLPLTVMEPDAPAAVGEAEQEQQHRYFVYSRFVEETDQAVQEESASPLAPEGCEQRPPGPGQGSAM
+>sp|Q92560|BAP1_HUMAN Ubiquitin carboxyl-terminal hydrolase BAP1 OS=Homo sapiens OX=9606 GN=BAP1 PE=1 SV=2
+MNKGWLELESDPGLFTLLVEDFGVKGVQVEEIYDLQSKCQGPVYGFIFLFKWIEERRSRRKVSTLVDDTSVIDDDIVNNMFFAHQLIPNSCATHALLSVLLNCSSVDLGPTLSRMKDFTKGFSPESKGYAIGNAPELAKAHNSHARPEPRHLPEKQNGLSAVRTMEAFHFVSYVPITGRLFELDGLKVYPIDHGPWGEDEEWTDKARRVIMERIGLATAGEPYHDIRFNLMAVVPDRRIKYEARLHVLKVNRQTVLEALQQLIRVTQPELIQTHKSQESQLPEESKSASNKSPLVLEANRAPAASEGNHTDGAEEAAGSCAQAPSHSPPNKPKLVVKPPGSSLNGVHPNPTPIVQRLPAFLDNHNYAKSPMQEEEDLAAGVGRSRVPVRPPQQYSDDEDDYEDDEEDDVQNTNSALRYKGKGTGKPGALSGSADGQLSVLQPNTINVLAEKLKESQKDLSIPLSIKTSSGAGSPAVAVPTHSQPSPTPSNESTDTASEIGSAFNSPLRSPIRSANPTRPSSPVTSHISKVLFGEDDSLLRVDCIRYNRAVRDLGPVISTGLLHLAEDGVLSPLALTEGGKGSSPSIRPIQGSQGSSSPVEKEVVEATDSREKTGMVRPGEPLSGEKYSPKELLALLKCVEAEIANYEACLKEEVEKRKKFKIDDQRRTHNYDEFICTFISMLAQEGMLANLVEQNISVRRRQGVSIGRLHKQRKPDRRKRSRPYKAKRQ
+>DECOY_sp|Q92560|BAP1_HUMAN Ubiquitin carboxyl-terminal hydrolase BAP1 OS=Homo sapiens OX=9606 GN=BAP1 PE=1 SV=2
+QRKAKYPRSRKRRDPKRQKHLRGISVGQRRRVSINQEVLNALMGEQALMSIFTCIFEDYNHTRRQDDIKFKKRKEVEEKLCAEYNAIEAEVCKLLALLEKPSYKEGSLPEGPRVMGTKERSDTAEVVEKEVPSSSGQSGQIPRISPSSGKGGETLALPSLVGDEALHLLGTSIVPGLDRVARNYRICDVRLLSDDEGFLVKSIHSTVPSSPRTPNASRIPSRLPSNFASGIESATDTSENSPTPSPQSHTPVAVAPSGAGSSTKISLPISLDKQSEKLKEALVNITNPQLVSLQGDASGSLAGPKGTGKGKYRLASNTNQVDDEEDDEYDDEDDSYQQPPRVPVRSRGVGAALDEEEQMPSKAYNHNDLFAPLRQVIPTPNPHVGNLSSGPPKVVLKPKNPPSHSPAQACSGAAEEAGDTHNGESAAPARNAELVLPSKNSASKSEEPLQSEQSKHTQILEPQTVRILQQLAELVTQRNVKLVHLRAEYKIRRDPVVAMLNFRIDHYPEGATALGIREMIVRRAKDTWEEDEGWPGHDIPYVKLGDLEFLRGTIPVYSVFHFAEMTRVASLGNQKEPLHRPEPRAHSNHAKALEPANGIAYGKSEPSFGKTFDKMRSLTPGLDVSSCNLLVSLLAHTACSNPILQHAFFMNNVIDDDIVSTDDVLTSVKRRSRREEIWKFLFIFGYVPGQCKSQLDYIEEVQVGKVGFDEVLLTFLGPDSELELWGKNM
+>sp|P51572|BAP31_HUMAN B-cell receptor-associated protein 31 OS=Homo sapiens OX=9606 GN=BCAP31 PE=1 SV=3
+MSLQWTAVATFLYAEVFVVLLLCIPFISPKRWQKIFKSRLVELLVSYGNTFFVVLIVILVLLVIDAVREIRKYDDVTEKVNLQNNPGAMEHFHMKLFRAQRNLYIAGFSLLLSFLLRRLVTLISQQATLLASNEAFKKQAESASEAAKKYMEENDQLKKGAAVDGGKLDVGNAEVKLEEENRSLKADLQKLKDELASTKQKLEKAENQVLAMRKQSEGLTKEYDRLLEEHAKLQAAVDGPMDKKEE
+>DECOY_sp|P51572|BAP31_HUMAN B-cell receptor-associated protein 31 OS=Homo sapiens OX=9606 GN=BCAP31 PE=1 SV=3
+EEKKDMPGDVAAQLKAHEELLRDYEKTLGESQKRMALVQNEAKELKQKTSALEDKLKQLDAKLSRNEEELKVEANGVDLKGGDVAAGKKLQDNEEMYKKAAESASEAQKKFAENSALLTAQQSILTVLRRLLFSLLLSFGAIYLNRQARFLKMHFHEMAGPNNQLNVKETVDDYKRIERVADIVLLVLIVILVVFFTNGYSVLLEVLRSKFIKQWRKPSIFPICLLLVVFVEAYLFTAVATWQLSM
+>sp|Q9NR55|BATF3_HUMAN Basic leucine zipper transcriptional factor ATF-like 3 OS=Homo sapiens OX=9606 GN=BATF3 PE=1 SV=1
+MSQGLPAAGSVLQRSVAAPGNQPQPQPQQQSPEDDDRKVRRREKNRVAAQRSRKKQTQKADKLHEEYESLEQENTMLRREIGKLTEELKHLTEALKEHEKMCPLLLCPMNFVPVPPRPDPVAGCLPR
+>DECOY_sp|Q9NR55|BATF3_HUMAN Basic leucine zipper transcriptional factor ATF-like 3 OS=Homo sapiens OX=9606 GN=BATF3 PE=1 SV=1
+RPLCGAVPDPRPPVPVFNMPCLLLPCMKEHEKLAETLHKLEETLKGIERRLMTNEQELSEYEEHLKDAKQTQKKRSRQAAVRNKERRRVKRDDDEPSQQQPQPQPQNGPAAVSRQLVSGAAPLGQSM
+>sp|Q07812|BAX_HUMAN Apoptosis regulator BAX OS=Homo sapiens OX=9606 GN=BAX PE=1 SV=1
+MDGSGEQPRGGGPTSSEQIMKTGALLLQGFIQDRAGRMGGEAPELALDPVPQDASTKKLSECLKRIGDELDSNMELQRMIAAVDTDSPREVFFRVAADMFSDGNFNWGRVVALFYFASKLVLKALCTKVPELIRTIMGWTLDFLRERLLGWIQDQGGWDGLLSYFGTPTWQTVTIFVAGVLTASLTIWKKMG
+>DECOY_sp|Q07812|BAX_HUMAN Apoptosis regulator BAX OS=Homo sapiens OX=9606 GN=BAX PE=1 SV=1
+GMKKWITLSATLVGAVFITVTQWTPTGFYSLLGDWGGQDQIWGLLRERLFDLTWGMITRILEPVKTCLAKLVLKSAFYFLAVVRGWNFNGDSFMDAAVRFFVERPSDTDVAAIMRQLEMNSDLEDGIRKLCESLKKTSADQPVPDLALEPAEGGMRGARDQIFGQLLLAGTKMIQESSTPGGGRPQEGSGDM
+>sp|Q9UIF9|BAZ2A_HUMAN Bromodomain adjacent to zinc finger domain protein 2A OS=Homo sapiens OX=9606 GN=BAZ2A PE=1 SV=4
+MEMEANDHFNFTGLPPAPAASGLKPSPSSGEGLYTNGSPMNFPQQGKSLNGDVNVNGLSTVSHTTTSGILNSAPHSSSTSHLHHPSVAYDCLWNYSQYPSANPGSNLKDPPLLSQFSGGQYPLNGILGGSRQPSSPSHNTNLRAGSQEFWANGTQSPMGLNFDSQELYDSFPDQNFEVMPNGPPSFFTSPQTSPMLGSSIQTFAPSQEVGSGIHPDEAAEKEMTSVVAENGTGLVGSLELEEEQPELKMCGYNGSVPSVESLHQEVSVLVPDPTVSCLDDPSHLPDQLEDTPILSEDSLEPFNSLAPEPVSGGLYGIDDTELMGAEDKLPLEDSPVISALDCPSLNNATAFSLLADDSQTSTSIFASPTSPPVLGESVLQDNSFDLNNGSDAEQEEMETQSSDFPPSLTQPAPDQSSTIQLHPATSPAVSPTTSPAVSLVVSPAASPEISPEVCPAASTVVSPAVFSVVSPASSAVLPAVSLEVPLTASVTSPKASPVTSPAAAFPTASPANKDVSSFLETTADVEEITGEGLTASGSGDVMRRRIATPEEVRLPLQHGWRREVRIKKGSHRWQGETWYYGPCGKRMKQFPEVIKYLSRNVVHSVRREHFSFSPRMPVGDFFEERDTPEGLQWVQLSAEEIPSRIQAITGKRGRPRNTEKAKTKEVPKVKRGRGRPPKVKITELLNKTDNRPLKKLEAQETLNEEDKAKIAKSKKKMRQKVQRGECQTTIQGQARNKRKQETKSLKQKEAKKKSKAEKEKGKTKQEKLKEKVKREKKEKVKMKEKEEVTKAKPACKADKTLATQRRLEERQRQQMILEEMKKPTEDMCLTDHQPLPDFSRVPGLTLPSGAFSDCLTIVEFLHSFGKVLGFDPAKDVPSLGVLQEGLLCQGDSLGEVQDLLVRLLKAALHDPGFPSYCQSLKILGEKVSEIPLTRDNVSEILRCFLMAYGVEPALCDRLRTQPFQAQPPQQKAAVLAFLVHELNGSTLIINEIDKTLESMSSYRKNKWIVEGRLRRLKTVLAKRTGRSEVEMEGPEECLGRRRSSRIMEETSGMEEEEEEESIAAVPGRRGRRDGEVDATASSIPELERQIEKLSKRQLFFRKKLLHSSQMLRAVSLGQDRYRRRYWVLPYLAGIFVEGTEGNLVPEEVIKKETDSLKVAAHASLNPALFSMKMELAGSNTTASSPARARGRPRKTKPGSMQPRHLKSPVRGQDSEQPQAQLQPEAQLHAPAQPQPQLQLQLQSHKGFLEQEGSPLSLGQSQHDLSQSAFLSWLSQTQSHSSLLSSSVLTPDSSPGKLDPAPSQPPEEPEPDEAESSPDPQALWFNISAQMPCNAAPTPPPAVSEDQPTPSPQQLASSKPMNRPSAANPCSPVQFSSTPLAGLAPKRRAGDPGEMPQSPTGLGQPKRRGRPPSKFFKQMEQRYLTQLTAQPVPPEMCSGWWWIRDPEMLDAMLKALHPRGIREKALHKHLNKHRDFLQEVCLRPSADPIFEPRQLPAFQEGIMSWSPKEKTYETDLAVLQWVEELEQRVIMSDLQIRGWTCPSPDSTREDLAYCEHLSDSQEDITWRGRGREGLAPQRKTTNPLDLAVMRLAALEQNVERRYLREPLWPTHEVVLEKALLSTPNGAPEGTTTEISYEITPRIRVWRQTLERCRSAAQVCLCLGQLERSIAWEKSVNKVTCLVCRKGDNDEFLLLCDGCDRGCHIYCHRPKMEAVPEGDWFCTVCLAQQVEGEFTQKPGFPKRGQKRKSGYSLNFSEGDGRRRRVLLRGRESPAAGPRYSEEGLSPSKRRRLSMRNHHSDLTFCEIILMEMESHDAAWPFLEPVNPRLVSGYRRIIKNPMDFSTMRERLLRGGYTSSEEFAADALLVFDNCQTFNEDDSEVGKAGHIMRRFFESRWEEFYQGKQANL
+>DECOY_sp|Q9UIF9|BAZ2A_HUMAN Bromodomain adjacent to zinc finger domain protein 2A OS=Homo sapiens OX=9606 GN=BAZ2A PE=1 SV=4
+LNAQKGQYFEEWRSEFFRRMIHGAKGVESDDENFTQCNDFVLLADAAFEESSTYGGRLLRERMTSFDMPNKIIRRYGSVLRPNVPELFPWAADHSEMEMLIIECFTLDSHHNRMSLRRRKSPSLGEESYRPGAAPSERGRLLVRRRRGDGESFNLSYGSKRKQGRKPFGPKQTFEGEVQQALCVTCFWDGEPVAEMKPRHCYIHCGRDCGDCLLLFEDNDGKRCVLCTVKNVSKEWAISRELQGLCLCVQAASRCRELTQRWVRIRPTIEYSIETTTGEPAGNPTSLLAKELVVEHTPWLPERLYRREVNQELAALRMVALDLPNTTKRQPALGERGRGRWTIDEQSDSLHECYALDERTSDPSPCTWGRIQLDSMIVRQELEEVWQLVALDTEYTKEKPSWSMIGEQFAPLQRPEFIPDASPRLCVEQLFDRHKNLHKHLAKERIGRPHLAKLMADLMEPDRIWWWGSCMEPPVPQATLQTLYRQEMQKFFKSPPRGRRKPQGLGTPSQPMEGPDGARRKPALGALPTSSFQVPSCPNAASPRNMPKSSALQQPSPTPQDESVAPPPTPAANCPMQASINFWLAQPDPSSEAEDPEPEEPPQSPAPDLKGPSSDPTLVSSSLLSSHSQTQSLWSLFASQSLDHQSQGLSLPSGEQELFGKHSQLQLQLQPQPQAPAHLQAEPQLQAQPQESDQGRVPSKLHRPQMSGPKTKRPRGRARAPSSATTNSGALEMKMSFLAPNLSAHAAVKLSDTEKKIVEEPVLNGETGEVFIGALYPLVWYRRRYRDQGLSVARLMQSSHLLKKRFFLQRKSLKEIQRELEPISSATADVEGDRRGRRGPVAAISEEEEEEEMGSTEEMIRSSRRRGLCEEPGEMEVESRGTRKALVTKLRRLRGEVIWKNKRYSSMSELTKDIENIILTSGNLEHVLFALVAAKQQPPQAQFPQTRLRDCLAPEVGYAMLFCRLIESVNDRTLPIESVKEGLIKLSQCYSPFGPDHLAAKLLRVLLDQVEGLSDGQCLLGEQLVGLSPVDKAPDFGLVKGFSHLFEVITLCDSFAGSPLTLGPVRSFDPLPQHDTLCMDETPKKMEELIMQQRQREELRRQTALTKDAKCAPKAKTVEEKEKMKVKEKKERKVKEKLKEQKTKGKEKEAKSKKKAEKQKLSKTEQKRKNRAQGQITTQCEGRQVKQRMKKKSKAIKAKDEENLTEQAELKKLPRNDTKNLLETIKVKPPRGRGRKVKPVEKTKAKETNRPRGRKGTIAQIRSPIEEASLQVWQLGEPTDREEFFDGVPMRPSFSFHERRVSHVVNRSLYKIVEPFQKMRKGCPGYYWTEGQWRHSGKKIRVERRWGHQLPLRVEEPTAIRRRMVDGSGSATLGEGTIEEVDATTELFSSVDKNAPSATPFAAAPSTVPSAKPSTVSATLPVELSVAPLVASSAPSVVSFVAPSVVTSAAPCVEPSIEPSAAPSVVLSVAPSTTPSVAPSTAPHLQITSSQDPAPQTLSPPFDSSQTEMEEQEADSGNNLDFSNDQLVSEGLVPPSTPSAFISTSTQSDDALLSFATANNLSPCDLASIVPSDELPLKDEAGMLETDDIGYLGGSVPEPALSNFPELSDESLIPTDELQDPLHSPDDLCSVTPDPVLVSVEQHLSEVSPVSGNYGCMKLEPQEEELELSGVLGTGNEAVVSTMEKEAAEDPHIGSGVEQSPAFTQISSGLMPSTQPSTFFSPPGNPMVEFNQDPFSDYLEQSDFNLGMPSQTGNAWFEQSGARLNTNHSPSSPQRSGGLIGNLPYQGGSFQSLLPPDKLNSGPNASPYQSYNWLCDYAVSPHHLHSTSSSHPASNLIGSTTTHSVTSLGNVNVDGNLSKGQQPFNMPSGNTYLGEGSSPSPKLGSAAPAPPLGTFNFHDNAEMEM
+>sp|Q9UIF8|BAZ2B_HUMAN Bromodomain adjacent to zinc finger domain protein 2B OS=Homo sapiens OX=9606 GN=BAZ2B PE=1 SV=3
+MESGERLPSSAASSTTPTSSSTPSVASVVSKGGLSTGVASLSSTINPCGHLFRTAGDQPFNLSTVSSAFPMVSHPVFGLHSASSGHSEFGGLGTLGTPTALAAHPQLASFPGAEWWRTTDAHTRTGATFFPPLLGIPPLFAPPAQNHDSSSFHSRTSGKSNRNGPEKGVNGSINGSNTSSVIGINTSVLSTTASSSMGQTKSTSSGGGNRKCNQEQSKNQPLDARVDKIKDKKPRKKAMESSSNSDSDSGTSSDTSSEGISSSDSDDLEEDEEEEDQSIEESEDDDSDSESEAQHKSNNQVLLHGISDPKADGQKATEKAQEKRIHQPLPLASESQTHSFQSQQKQPQVLSQQLPFIFQSSQAKEESVNKHTSVIQSTGLVSNVKPLSLVNQAKKETYMKLIVPSPDVLKAGNKNTSEESSLLTSELRSKREQYKQAFPSQLKKQESSKSLKKVIAALSNPKATSSSPAHPKQTLENNHPNPFLTNALLGNHQPNGVIQSVIQEAPLALTTKTKMQSKINENIAAASSTPFSSPVNLSTSGRRTPGNQTPVMPSASPILHSQGKEKAVSNNVNPVKTQHHSHPAKSLVEQFRGTDSDIPSSKDSEDSNEDEEEDDEEEDEEDDEDDESDDSQSESDSNSESDTEGSEEEDDDDKDQDESDSDTEGEKTSMKLNKTTSSVKSPSMSLTGHSTPRNLHIAKAPGSAPAALCSESQSPAFLGTSSSTLTSSPHSGTSKRRRVTDERELRIPLEYGWQRETRIRNFGGRLQGEVAYYAPCGKKLRQYPEVIKYLSRNGIMDISRDNFSFSAKIRVGDFYEARDGPQGMQWCLLKEEDVIPRIRAMEGRRGRPPNPDRQRAREESRMRRRKGRPPNVGNAEFLDNADAKLLRKLQAQEIARQAAQIKLLRKLQKQEQARVAKEAKKQQAIMAAEEKRKQKEQIKIMKQQEKIKRIQQIRMEKELRAQQILEAKKKKKEEAANAKLLEAEKRIKEKEMRRQQAVLLKHQERERRRQHMMLMKAMEARKKAEEKERLKQEKRDEKRLNKERKLEQRRLELEMAKELKKPNEDMCLADQKPLPELPRIPGLVLSGSTFSDCLMVVQFLRNFGKVLGFDVNIDVPNLSVLQEGLLNIGDSMGEVQDLLVRLLSAAVCDPGLITGYKAKTALGEHLLNVGVNRDNVSEILQIFMEAHCGQTELTESLKTKAFQAHTPAQKASVLAFLINELACSKSVVSEIDKNIDYMSNLRRDKWVVEGKLRKLRIIHAKKTGKRDTSGGIDLGEEQHPLGTPTPGRKRRRKGGDSDYDDDDDDDSDDQGDEDDEDEEDKEDKKGKKTDICEDEDEGDQAASVEELEKQIEKLSKQQSQYRRKLFDASHSLRSVMFGQDRYRRRYWILPQCGGIFVEGMESGEGLEEIAKEREKLKKAESVQIKEEMFETSGDSLNCSNTDHCEQKEDLKEKDNTNLFLQKPGSFSKLSKLLEVAKMPPESEVMTPKPNAGANGCTLSYQNSGKHSLGSVQSTATQSNVEKADSNNLFNTGSSGPGKFYSPLPNDQLLKTLTEKNRQWFSLLPRTPCDDTSLTHADMSTASLVTPQSQPPSKSPSPTPAPLGSSAQNPVGLNPFALSPLQVKGGVSMMGLQFCGWPTGVVTSNIPFTSSVPSLGSGLGLSEGNGNSFLTSNVASSKSESPVPQNEKATSAQPAAVEVAKPVDFPSPKPIPEEMQFGWWRIIDPEDLKALLKVLHLRGIREKALQKQIQKHLDYITQACLKNKDVAIIELNENEENQVTRDIVENWSVEEQAMEMDLSVLQQVEDLERRVASASLQVKGWMCPEPASEREDLVYFEHKSFTKLCKEHDGEFTGEDESSAHALERKSDNPLDIAVTRLADLERNIERRIEEDIAPGLRVWRRALSEARSAAQVALCIQQLQKSIAWEKSIMKVYCQICRKGDNEELLLLCDGCDKGCHTYCHRPKITTIPDGDWFCPACIAKASGQTLKIKKLHVKGKKTNESKKGKKVTLTGDTEDEDSASTSSSLKRGNKDLKKRKMEENTSINLSKQESFTSVKKPKRDDSKDLALCSMILTEMETHEDAWPFLLPVNLKLVPGYKKVIKKPMDFSTIREKLSSGQYPNLETFALDVRLVFDNCETFNEDDSDIGRAGHNMRKYFEKKWTDTFKVS
+>DECOY_sp|Q9UIF8|BAZ2B_HUMAN Bromodomain adjacent to zinc finger domain protein 2B OS=Homo sapiens OX=9606 GN=BAZ2B PE=1 SV=3
+SVKFTDTWKKEFYKRMNHGARGIDSDDENFTECNDFVLRVDLAFTELNPYQGSSLKERITSFDMPKKIVKKYGPVLKLNVPLLFPWADEHTEMETLIMSCLALDKSDDRKPKKVSTFSEQKSLNISTNEEMKRKKLDKNGRKLSSSTSASDEDETDGTLTVKKGKKSENTKKGKVHLKKIKLTQGSAKAICAPCFWDGDPITTIKPRHCYTHCGKDCGDCLLLLEENDGKRCIQCYVKMISKEWAISKQLQQICLAVQAASRAESLARRWVRLGPAIDEEIRREINRELDALRTVAIDLPNDSKRELAHASSEDEGTFEGDHEKCLKTFSKHEFYVLDERESAPEPCMWGKVQLSASAVRRELDEVQQLVSLDMEMAQEEVSWNEVIDRTVQNEENENLEIIAVDKNKLCAQTIYDLHKQIQKQLAKERIGRLHLVKLLAKLDEPDIIRWWGFQMEEPIPKPSPFDVPKAVEVAAPQASTAKENQPVPSESKSSAVNSTLFSNGNGESLGLGSGLSPVSSTFPINSTVVGTPWGCFQLGMMSVGGKVQLPSLAFPNLGVPNQASSGLPAPTPSPSKSPPQSQPTVLSATSMDAHTLSTDDCPTRPLLSFWQRNKETLTKLLQDNPLPSYFKGPGSSGTNFLNNSDAKEVNSQTATSQVSGLSHKGSNQYSLTCGNAGANPKPTMVESEPPMKAVELLKSLKSFSGPKQLFLNTNDKEKLDEKQECHDTNSCNLSDGSTEFMEEKIQVSEAKKLKEREKAIEELGEGSEMGEVFIGGCQPLIWYRRRYRDQGFMVSRLSHSADFLKRRYQSQQKSLKEIQKELEEVSAAQDGEDEDECIDTKKGKKDEKDEEDEDDEDGQDDSDDDDDDDYDSDGGKRRRKRGPTPTGLPHQEEGLDIGGSTDRKGTKKAHIIRLKRLKGEVVWKDRRLNSMYDINKDIESVVSKSCALENILFALVSAKQAPTHAQFAKTKLSETLETQGCHAEMFIQLIESVNDRNVGVNLLHEGLATKAKYGTILGPDCVAASLLRVLLDQVEGMSDGINLLGEQLVSLNPVDINVDFGLVKGFNRLFQVVMLCDSFTSGSLVLGPIRPLEPLPKQDALCMDENPKKLEKAMELELRRQELKREKNLRKEDRKEQKLREKEEAKKRAEMAKMLMMHQRRREREQHKLLVAQQRRMEKEKIRKEAELLKANAAEEKKKKKAELIQQARLEKEMRIQQIRKIKEQQKMIKIQEKQKRKEEAAMIAQQKKAEKAVRAQEQKQLKRLLKIQAAQRAIEQAQLKRLLKADANDLFEANGVNPPRGKRRRMRSEERARQRDPNPPRGRRGEMARIRPIVDEEKLLCWQMGQPGDRAEYFDGVRIKASFSFNDRSIDMIGNRSLYKIVEPYQRLKKGCPAYYAVEGQLRGGFNRIRTERQWGYELPIRLEREDTVRRRKSTGSHPSSTLTSSSTGLFAPSQSESCLAAPASGPAKAIHLNRPTSHGTLSMSPSKVSSTTKNLKMSTKEGETDSDSEDQDKDDDDEEESGETDSESNSDSESQSDDSEDDEDDEEDEEEDDEEEDENSDESDKSSPIDSDTGRFQEVLSKAPHSHHQTKVPNVNNSVAKEKGQSHLIPSASPMVPTQNGPTRRGSTSLNVPSSFPTSSAAAINENIKSQMKTKTTLALPAEQIVSQIVGNPQHNGLLANTLFPNPHNNELTQKPHAPSSSTAKPNSLAAIVKKLSKSSEQKKLQSPFAQKYQERKSRLESTLLSSEESTNKNGAKLVDPSPVILKMYTEKKAQNVLSLPKVNSVLGTSQIVSTHKNVSEEKAQSSQFIFPLQQSLVQPQKQQSQFSHTQSESALPLPQHIRKEQAKETAKQGDAKPDSIGHLLVQNNSKHQAESESDSDDDESEEISQDEEEEDEELDDSDSSSIGESSTDSSTGSDSDSNSSSEMAKKRPKKDKIKDVRADLPQNKSQEQNCKRNGGGSSTSKTQGMSSSATTSLVSTNIGIVSSTNSGNISGNVGKEPGNRNSKGSTRSHFSSSDHNQAPPAFLPPIGLLPPFFTAGTRTHADTTRWWEAGPFSALQPHAALATPTGLTGLGGFESHGSSASHLGFVPHSVMPFASSVTSLNFPQDGATRFLHGCPNITSSLSAVGTSLGGKSVVSAVSPTSSSTPTTSSAASSPLREGSEM
+>sp|Q9H165|BC11A_HUMAN B-cell lymphoma/leukemia 11A OS=Homo sapiens OX=9606 GN=BCL11A PE=1 SV=2
+MSRRKQGKPQHLSKREFSPEPLEAILTDDEPDHGPLGAPEGDHDLLTCGQCQMNFPLGDILIFIEHKRKQCNGSLCLEKAVDKPPSPSPIEMKKASNPVEVGIQVTPEDDDCLSTSSRGICPKQEHIADKLLHWRGLSSPRSAHGALIPTPGMSAEYAPQGICKDEPSSYTCTTCKQPFTSAWFLLQHAQNTHGLRIYLESEHGSPLTPRVGIPSGLGAECPSQPPLHGIHIADNNPFNLLRIPGSVSREASGLAEGRFPPTPPLFSPPPRHHLDPHRIERLGAEEMALATHHPSAFDRVLRLNPMAMEPPAMDFSRRLRELAGNTSSPPLSPGRPSPMQRLLQPFQPGSKPPFLATPPLPPLQSAPPPSQPPVKSKSCEFCGKTFKFQSNLVVHRRSHTGEKPYKCNLCDHACTQASKLKRHMKTHMHKSSPMTVKSDDGLSTASSPEPGTSDLVGSASSALKSVVAKFKSENDPNLIPENGDEEEEEDDEEEEEEEEEEEEELTESERVDYGFGLSLEAARHHENSSRGAVVGVGDESRALPDVMQGMVLSSMQHFSEAFHQVLGEKHKRGHLAEAEGHRDTCDEDSVAGESDRIDDGTVNGRGCSPGESASGGLSKKLLLGSPSSLSPFSKRIKLEKEFDLPPAAMPNTENVYSQWLAGYAASRQLKDPFLSFGDSRQSPFASSSEHSSENGSLRFSTPPGELDGGISGRSGTGSGGSTPHISGPGPGRPSSKEGRRSDTCEYCGKVFKNCSNLTVHRRSHTGERPYKCELCNYACAQSSKLTRHMKTHGQVGKDVYKCEICKMPFSVYSTLEKHMKKWHSDRVLNNDIKTE
+>DECOY_sp|Q9H165|BC11A_HUMAN B-cell lymphoma/leukemia 11A OS=Homo sapiens OX=9606 GN=BCL11A PE=1 SV=2
+ETKIDNNLVRDSHWKKMHKELTSYVSFPMKCIECKYVDKGVQGHTKMHRTLKSSQACAYNCLECKYPREGTHSRRHVTLNSCNKFVKGCYECTDSRRGEKSSPRGPGPGSIHPTSGGSGTGSRGSIGGDLEGPPTSFRLSGNESSHESSSAFPSQRSDGFSLFPDKLQRSAAYGALWQSYVNETNPMAAPPLDFEKELKIRKSFPSLSSPSGLLLKKSLGGSASEGPSCGRGNVTGDDIRDSEGAVSDEDCTDRHGEAEALHGRKHKEGLVQHFAESFHQMSSLVMGQMVDPLARSEDGVGVVAGRSSNEHHRAAELSLGFGYDVRESETLEEEEEEEEEEEEEDDEEEEEDGNEPILNPDNESKFKAVVSKLASSASGVLDSTGPEPSSATSLGDDSKVTMPSSKHMHTKMHRKLKSAQTCAHDCLNCKYPKEGTHSRRHVVLNSQFKFTKGCFECSKSKVPPQSPPPASQLPPLPPTALFPPKSGPQFPQLLRQMPSPRGPSLPPSSTNGALERLRRSFDMAPPEMAMPNLRLVRDFASPHHTALAMEEAGLREIRHPDLHHRPPPSFLPPTPPFRGEALGSAERSVSGPIRLLNFPNNDAIHIGHLPPQSPCEAGLGSPIGVRPTLPSGHESELYIRLGHTNQAHQLLFWASTFPQKCTTCTYSSPEDKCIGQPAYEASMGPTPILAGHASRPSSLGRWHLLKDAIHEQKPCIGRSSTSLCDDDEPTVQIGVEVPNSAKKMEIPSPSPPKDVAKELCLSGNCQKRKHEIFILIDGLPFNMQCQGCTLLDHDGEPAGLPGHDPEDDTLIAELPEPSFERKSLHQPKGQKRRSM
+>sp|P0C6P0|BCL8_HUMAN Putative protein BCL8 OS=Homo sapiens OX=9606 GN=NBEAP1 PE=5 SV=1
+MSCCLSSRVHITRPVLEQFLSFAKYLDGLSHGVPLLKQLCDHILFINPAIWIHTPAKVQLSLYTYLSAEFIGTATIYTTICRIGTVIKDNAHLKILLLGY
+>DECOY_sp|P0C6P0|BCL8_HUMAN Putative protein BCL8 OS=Homo sapiens OX=9606 GN=NBEAP1 PE=5 SV=1
+YGLLLIKLHANDKIVTGIRCITTYITATGIFEASLYTYLSLQVKAPTHIWIAPNIFLIHDCLQKLLPVGHSLGDLYKAFSLFQELVPRTIHVRSSLCCSM
+>sp|O00512|BCL9_HUMAN B-cell CLL/lymphoma 9 protein OS=Homo sapiens OX=9606 GN=BCL9 PE=1 SV=4
+MHSSNPKVRSSPSGNTQSSPKSKQEVMVRPPTVMSPSGNPQLDSKFSNQGKQGGSASQSQPSPCDSKSGGHTPKALPGPGGSMGLKNGAGNGAKGKGKRERSISADSFDQRDPGTPNDDSDIKECNSADHIKSQDSQHTPHSMTPSNATAPRSSTPSHGQTTATEPTPAQKTPAKVVYVFSTEMANKAAEAVLKGQVETIVSFHIQNISNNKTERSTAPLNTQISALRNDPKPLPQQPPAPANQDQNSSQNTRLQPTPPIPAPAPKPAAPPRPLDRESPGVENKLIPSVGSPASSTPLPPDGTGPNSTPNNRAVTPVSQGSNSSSADPKAPPPPPVSSGEPPTLGENPDGLSQEQLEHRERSLQTLRDIQRMLFPDEKEFTGAQSGGPQQNPGVLDGPQKKPEGPIQAMMAQSQSLGKGPGPRTDVGAPFGPQGHRDVPFSPDEMVPPSMNSQSGTIGPDHLDHMTPEQIAWLKLQQEFYEEKRRKQEQVVVQQCSLQDMMVHQHGPRGVVRGPPPPYQMTPSEGWAPGGTEPFSDGINMPHSLPPRGMAPHPNMPGSQMRLPGFAGMINSEMEGPNVPNPASRPGLSGVSWPDDVPKIPDGRNFPPGQGIFSGPGRGERFPNPQGLSEEMFQQQLAEKQLGLPPGMAMEGIRPSMEMNRMIPGSQRHMEPGNNPIFPRIPVEGPLSPSRGDFPKGIPPQMGPGRELEFGMVPSGMKGDVNLNVNMGSNSQMIPQKMREAGAGPEEMLKLRPGGSDMLPAQQKMVPLPFGEHPQQEYGMGPRPFLPMSQGPGSNSGLRNLREPIGPDQRTNSRLSHMPPLPLNPSSNPTSLNTAPPVQRGLGRKPLDISVAGSQVHSPGINPLKSPTMHQVQSPMLGSPSGNLKSPQTPSQLAGMLAGPAAAASIKSPPVLGSAAASPVHLKSPSLPAPSPGWTSSPKPPLQSPGIPPNHKAPLTMASPAMLGNVESGGPPPPTASQPASVNIPGSLPSSTPYTMPPEPTLSQNPLSIMMSRMSKFAMPSSTPLYHDAIKTVASSDDDSPPARSPNLPSMNNMPGMGINTQNPRISGPNPVVPMPTLSPMGMTQPLSHSNQMPSPNAVGPNIPPHGVPMGPGLMSHNPIMGHGSQEPPMVPQGRMGFPQGFPPVQSPPQQVPFPHNGPSGGQGSFPGGMGFPGEGPLGRPSNLPQSSADAALCKPGGPGGPDSFTVLGNSMPSVFTDPDLQEVIRPGATGIPEFDLSRIIPSEKPSQTLQYFPRGEVPGRKQPQGPGPGFSHMQGMMGEQAPRMGLALPGMGGPGPVGTPDIPLGTAPSMPGHNPMRPPAFLQQGMMGPHHRMMSPAQSTMPGQPTLMSNPAAAVGMIPGKDRGPAGLYTHPGPVGSPGMMMSMQGMMGPQQNIMIPPQMRPRGMAADVGMGGFSQGPGNPGNMMF
+>DECOY_sp|O00512|BCL9_HUMAN B-cell CLL/lymphoma 9 protein OS=Homo sapiens OX=9606 GN=BCL9 PE=1 SV=4
+FMMNGPNGPGQSFGGMGVDAAMGRPRMQPPIMINQQPGMMGQMSMMMGPSGVPGPHTYLGAPGRDKGPIMGVAAAPNSMLTPQGPMTSQAPSMMRHHPGMMGQQLFAPPRMPNHGPMSPATGLPIDPTGVPGPGGMGPLALGMRPAQEGMMGQMHSFGPGPGQPQKRGPVEGRPFYQLTQSPKESPIIRSLDFEPIGTAGPRIVEQLDPDTFVSPMSNGLVTFSDPGGPGGPKCLAADASSQPLNSPRGLPGEGPFGMGGPFSGQGGSPGNHPFPVQQPPSQVPPFGQPFGMRGQPVMPPEQSGHGMIPNHSMLGPGMPVGHPPINPGVANPSPMQNSHSLPQTMGMPSLTPMPVVPNPGSIRPNQTNIGMGPMNNMSPLNPSRAPPSDDDSSAVTKIADHYLPTSSPMAFKSMRSMMISLPNQSLTPEPPMTYPTSSPLSGPINVSAPQSATPPPPGGSEVNGLMAPSAMTLPAKHNPPIGPSQLPPKPSSTWGPSPAPLSPSKLHVPSAAASGLVPPSKISAAAAPGALMGALQSPTQPSKLNGSPSGLMPSQVQHMTPSKLPNIGPSHVQSGAVSIDLPKRGLGRQVPPATNLSTPNSSPNLPLPPMHSLRSNTRQDPGIPERLNRLGSNSGPGQSMPLFPRPGMGYEQQPHEGFPLPVMKQQAPLMDSGGPRLKLMEEPGAGAERMKQPIMQSNSGMNVNLNVDGKMGSPVMGFELERGPGMQPPIGKPFDGRSPSLPGEVPIRPFIPNNGPEMHRQSGPIMRNMEMSPRIGEMAMGPPLGLQKEALQQQFMEESLGQPNPFREGRGPGSFIGQGPPFNRGDPIKPVDDPWSVGSLGPRSAPNPVNPGEMESNIMGAFGPLRMQSGPMNPHPAMGRPPLSHPMNIGDSFPETGGPAWGESPTMQYPPPPGRVVGRPGHQHVMMDQLSCQQVVVQEQKRRKEEYFEQQLKLWAIQEPTMHDLHDPGITGSQSNMSPPVMEDPSFPVDRHGQPGFPAGVDTRPGPGKGLSQSQAMMAQIPGEPKKQPGDLVGPNQQPGGSQAGTFEKEDPFLMRQIDRLTQLSRERHELQEQSLGDPNEGLTPPEGSSVPPPPPAKPDASSSNSGQSVPTVARNNPTSNPGTGDPPLPTSSAPSGVSPILKNEVGPSERDLPRPPAAPKPAPAPIPPTPQLRTNQSSNQDQNAPAPPQQPLPKPDNRLASIQTNLPATSRETKNNSINQIHFSVITEVQGKLVAEAAKNAMETSFVYVVKAPTKQAPTPETATTQGHSPTSSRPATANSPTMSHPTHQSDQSKIHDASNCEKIDSDDNPTGPDRQDFSDASISRERKGKGKAGNGAGNKLGMSGGPGPLAKPTHGGSKSDCPSPQSQSASGGQKGQNSFKSDLQPNGSPSMVTPPRVMVEQKSKPSSQTNGSPSSRVKPNSSHM
+>sp|Q14457|BECN1_HUMAN Beclin-1 OS=Homo sapiens OX=9606 GN=BECN1 PE=1 SV=2
+MEGSKTSNNSTMQVSFVCQRCSQPLKLDTSFKILDRVTIQELTAPLLTTAQAKPGETQEEETNSGEEPFIETPRQDGVSRRFIPPARMMSTESANSFTLIGEASDGGTMENLSRRLKVTGDLFDIMSGQTDVDHPLCEECTDTLLDQLDTQLNVTENECQNYKRCLEILEQMNEDDSEQLQMELKELALEEERLIQELEDVEKNRKIVAENLEKVQAEAERLDQEEAQYQREYSEFKRQQLELDDELKSVENQMRYAQTQLDKLKKTNVFNATFHIWHSGQFGTINNFRLGRLPSVPVEWNEINAAWGQTVLLLHALANKMGLKFQRYRLVPYGNHSYLESLTDKSKELPLYCSGGLRFFWDNKFDHAMVAFLDCVQQFKEEVEKGETRFCLPYRMDVEKGKIEDTGGSGGSYSIKTQFNSEEQWTKALKFMLTNLKWGLAWVSSQFYNK
+>DECOY_sp|Q14457|BECN1_HUMAN Beclin-1 OS=Homo sapiens OX=9606 GN=BECN1 PE=1 SV=2
+KNYFQSSVWALGWKLNTLMFKLAKTWQEESNFQTKISYSGGSGGTDEIKGKEVDMRYPLCFRTEGKEVEEKFQQVCDLFAVMAHDFKNDWFFRLGGSCYLPLEKSKDTLSELYSHNGYPVLRYRQFKLGMKNALAHLLLVTQGWAANIENWEVPVSPLRGLRFNNITGFQGSHWIHFTANFVNTKKLKDLQTQAYRMQNEVSKLEDDLELQQRKFESYERQYQAEEQDLREAEAQVKELNEAVIKRNKEVDELEQILREEELALEKLEMQLQESDDENMQELIELCRKYNQCENETVNLQTDLQDLLTDTCEECLPHDVDTQGSMIDFLDGTVKLRRSLNEMTGGDSAEGILTFSNASETSMMRAPPIFRRSVGDQRPTEIFPEEGSNTEEEQTEGPKAQATTLLPATLEQITVRDLIKFSTDLKLPQSCRQCVFSVQMTSNNSTKSGEM
+>sp|A8MW95|BECN2_HUMAN Beclin-2 OS=Homo sapiens OX=9606 GN=BECN2 PE=1 SV=2
+MSSIRFLCQRCHQALKLSGSSESRSLPAAPAPTSGQAEPGDTREPGVTTREVTDAEEQQDGASSRSPPGDGSVSKGHANIFTLLGELGAMHMLSSIQKAAGDIFDIVSGQAVVDHPLCEECTDSLLEQLDIQLALTEADSQNYQRCLETGELATSEDEAAALRAELRDLELEEARLVQELEDVDRNNARAAADLQAAQAEAAELDQQERQHYRDYSALKRQQLELLDQLGNVENQLQYARVQRDRLKEINCFTATFEIWVEGPLGVINNFRLGRLPTVRVGWNEINTAWGQAALLLLTLANTIGLQFQRYRLIPCGNHSYLKSLTDDRTELPLFCYGGQDVFLNNKYDRAMVAFLDCMQQFKEEAEKGELGLSLPYGIQVETGLMEDVGGRGECYSIRTHLNTQELWTKALKFMLINFKWSLIWVASRYQK
+>DECOY_sp|A8MW95|BECN2_HUMAN Beclin-2 OS=Homo sapiens OX=9606 GN=BECN2 PE=1 SV=2
+KQYRSAVWILSWKFNILMFKLAKTWLEQTNLHTRISYCEGRGGVDEMLGTEVQIGYPLSLGLEGKEAEEKFQQMCDLFAVMARDYKNNLFVDQGGYCFLPLETRDDTLSKLYSHNGCPILRYRQFQLGITNALTLLLLAAQGWATNIENWGVRVTPLRGLRFNNIVGLPGEVWIEFTATFCNIEKLRDRQVRAYQLQNEVNGLQDLLELQQRKLASYDRYHQREQQDLEAAEAQAAQLDAAARANNRDVDELEQVLRAEELELDRLEARLAAAEDESTALEGTELCRQYNQSDAETLALQIDLQELLSDTCEECLPHDVVAQGSVIDFIDGAAKQISSLMHMAGLEGLLTFINAHGKSVSGDGPPSRSSAGDQQEEADTVERTTVGPERTDGPEAQGSTPAPAAPLSRSESSGSLKLAQHCRQCLFRISSM
+>sp|Q9HBH7|BEX1_HUMAN Protein BEX1 OS=Homo sapiens OX=9606 GN=BEX1 PE=1 SV=2
+MESKEKRAVNSLSMENANQENEEKEQVANKGEPLALPLDAGEYCVPRGNRRRFRVRQPILQYRWDMMHRLGEPQARMREENMERIGEEVRQLMEKLREKQLSHSLRAVSTDPPHHDHHDEFCLMP
+>DECOY_sp|Q9HBH7|BEX1_HUMAN Protein BEX1 OS=Homo sapiens OX=9606 GN=BEX1 PE=1 SV=2
+PMLCFEDHHDHHPPDTSVARLSHSLQKERLKEMLQRVEEGIREMNEERMRAQPEGLRHMMDWRYQLIPQRVRFRRRNGRPVCYEGADLPLALPEGKNAVQEKEENEQNANEMSLSNVARKEKSEM
+>sp|Q9NWD9|BEX4_HUMAN Protein BEX4 OS=Homo sapiens OX=9606 GN=BEX4 PE=1 SV=1
+MESKEELAANNLNGENAQQENEGGEQAPTQNEEESRHLGGGEGQKPGGNIRRGRVRRLVPNFRWAIPNRHIEHNEARDDVERFVGQMMEIKRKTREQQMRHYMRFQTPEPDNHYDFCLIP
+>DECOY_sp|Q9NWD9|BEX4_HUMAN Protein BEX4 OS=Homo sapiens OX=9606 GN=BEX4 PE=1 SV=1
+PILCFDYHNDPEPTQFRMYHRMQQERTKRKIEMMQGVFREVDDRAENHEIHRNPIAWRFNPVLRRVRGRRINGGPKQGEGGGLHRSEEENQTPAQEGGENEQQANEGNLNNAALEEKSEM
+>sp|Q13515|BFSP2_HUMAN Phakinin OS=Homo sapiens OX=9606 GN=BFSP2 PE=1 SV=1
+MSERRVVVDLPTSASSSMPLQRRRASFRGPRSSSSLESPPASRTNAMSGLVRAPGVYVGTAPSGCIGGLGARVTRRALGISSVFLQGLRSSGLATVPAPGLERDHGAVEDLGGCLVEYMAKVHALEQVSQELETQLRMHLESKATRSGNWGALRASWASSCQQVGEAVLENARLMLQTETIQAGADDFKERYENEQPFRKAAEEEINSLYKVIDEANLTKMDLESQIESLKEELGSLSRNYEEDVKLLHKQLAGCELEQMDAPIGTGLDDILETIRIQWERDVEKNRVEAGALLQAKQQAEVAHMSQTQEEKLAAALRVELHNTSCQVQSLQAETESLRALKRGLENTLHDAKHWHDMELQNLGAVVGRLEAELREIRAEAEQQQQERAHLLARKCQLQKDVASYHALLDREESG
+>DECOY_sp|Q13515|BFSP2_HUMAN Phakinin OS=Homo sapiens OX=9606 GN=BFSP2 PE=1 SV=1
+GSEERDLLAHYSAVDKQLQCKRALLHAREQQQQEAEARIERLEAELRGVVAGLNQLEMDHWHKADHLTNELGRKLARLSETEAQLSQVQCSTNHLEVRLAAALKEEQTQSMHAVEAQQKAQLLAGAEVRNKEVDREWQIRITELIDDLGTGIPADMQELECGALQKHLLKVDEEYNRSLSGLEEKLSEIQSELDMKTLNAEDIVKYLSNIEEEAAKRFPQENEYREKFDDAGAQITETQLMLRANELVAEGVQQCSSAWSARLAGWNGSRTAKSELHMRLQTELEQSVQELAHVKAMYEVLCGGLDEVAGHDRELGPAPVTALGSSRLGQLFVSSIGLARRTVRAGLGGICGSPATGVYVGPARVLGSMANTRSAPPSELSSSSRPGRFSARRRQLPMSSSASTPLDVVVRRESM
+>sp|Q9Y6H3|ATP23_HUMAN Mitochondrial inner membrane protease ATP23 homolog OS=Homo sapiens OX=9606 GN=ATP23 PE=1 SV=3
+MAGAPDERRRGPAAGEQLQQQHVSCQVFPERLAQGNPQQGFFSSFFTSNQKCQLRLLKTLETNPYVKLLLDAMKHSGCAVNKDRHFSCEDCNGNVSGGFDASTSQIVLCQNNIHNQAHMNRVVTHELIHAFDHCRAHVDWFTNIRHLACSEVRAANLSGDCSLVNEIFRLHFGLKQHHQTCVRDRATLSILAVRNISKEVAKKAVDEVFESCFNDHEPFGRIPHNKTYARYAHRDFENRDRYYSNI
+>DECOY_sp|Q9Y6H3|ATP23_HUMAN Mitochondrial inner membrane protease ATP23 homolog OS=Homo sapiens OX=9606 GN=ATP23 PE=1 SV=3
+INSYYRDRNEFDRHAYRAYTKNHPIRGFPEHDNFCSEFVEDVAKKAVEKSINRVALISLTARDRVCTQHHQKLGFHLRFIENVLSCDGSLNAARVESCALHRINTFWDVHARCHDFAHILEHTVVRNMHAQNHINNQCLVIQSTSADFGGSVNGNCDECSFHRDKNVACGSHKMADLLLKVYPNTELTKLLRLQCKQNSTFFSSFFGQQPNGQALREPFVQCSVHQQQLQEGAAPGRRREDPAGAM
+>sp|P36542|ATPG_HUMAN ATP synthase subunit gamma, mitochondrial OS=Homo sapiens OX=9606 GN=ATP5F1C PE=1 SV=1
+MFSRAGVAGLSAWTLQPQWIQVRNMATLKDITRRLKSIKNIQKITKSMKMVAAAKYARAERELKPARIYGLGSLALYEKADIKGPEDKKKHLLIGVSSDRGLCGAIHSSIAKQMKSEVATLTAAGKEVMLVGIGDKIRGILYRTHSDQFLVAFKEVGRKPPTFGDASVIALELLNSGYEFDEGSIIFNKFRSVISYKTEEKPIFSLNTVASADSMSIYDDIDADVLQNYQEYNLANIIYYSLKESTTSEQSARMTAMDNASKNASEMIDKLTLTFNRTRQAVITKELIEIISGAAALD
+>DECOY_sp|P36542|ATPG_HUMAN ATP synthase subunit gamma, mitochondrial OS=Homo sapiens OX=9606 GN=ATP5F1C PE=1 SV=1
+DLAAAGSIIEILEKTIVAQRTRNFTLTLKDIMESANKSANDMATMRASQESTTSEKLSYYIINALNYEQYNQLVDADIDDYISMSDASAVTNLSFIPKEETKYSIVSRFKNFIISGEDFEYGSNLLELAIVSADGFTPPKRGVEKFAVLFQDSHTRYLIGRIKDGIGVLMVEKGAATLTAVESKMQKAISSHIAGCLGRDSSVGILLHKKKDEPGKIDAKEYLALSGLGYIRAPKLEREARAYKAAAVMKMSKTIKQINKISKLRRTIDKLTAMNRVQIWQPQLTWASLGAVGARSFM
+>sp|P56134|ATPK_HUMAN ATP synthase subunit f, mitochondrial OS=Homo sapiens OX=9606 GN=ATP5J2 PE=1 SV=3
+MASVGECPAPVPVKDKKLLEVKLGELPSWILMRDFSPSGIFGAFQRGYYRYYNKYINVKKGSISGITMVLACYVLFSYSFSYKHLKHERLRKYH
+>DECOY_sp|P56134|ATPK_HUMAN ATP synthase subunit f, mitochondrial OS=Homo sapiens OX=9606 GN=ATP5J2 PE=1 SV=3
+HYKRLREHKLHKYSFSYSFLVYCALVMTIGSISGKKVNIYKNYYRYYGRQFAGFIGSPSFDRMLIWSPLEGLKVELLKKDKVPVPAPCEGVSAM
+>sp|Q9UKP4|ATS7_HUMAN A disintegrin and metalloproteinase with thrombospondin motifs 7 OS=Homo sapiens OX=9606 GN=ADAMTS7 PE=1 SV=2
+MPGGPSPRSPAPLLRPLLLLLCALAPGAPGPAPGRATEGRAALDIVHPVRVDAGGSFLSYELWPRALRKRDVSVRRDAPAFYELQYRGRELRFNLTANQHLLAPGFVSETRRRGGLGRAHIRAHTPACHLLGEVQDPELEGGLAAISACDGLKGVFQLSNEDYFIEPLDSAPARPGHAQPHVVYKRQAPERLAQRGDSSAPSTCGVQVYPELESRRERWEQRQQWRRPRLRRLHQRSVSKEKWVETLVVADAKMVEYHGQPQVESYVLTIMNMVAGLFHDPSIGNPIHITIVRLVLLEDEEEDLKITHHADNTLKSFCKWQKSINMKGDAHPLHHDTAILLTRKDLCAAMNRPCETLGLSHVAGMCQPHRSCSINEDTGLPLAFTVAHELGHSFGIQHDGSGNDCEPVGKRPFIMSPQLLYDAAPLTWSRCSRQYITRFLDRGWGLCLDDPPAKDIIDFPSVPPGVLYDVSHQCRLQYGAYSAFCEDMDNVCHTLWCSVGTTCHSKLDAAVDGTRCGENKWCLSGECVPVGFRPEAVDGGWSGWSAWSICSRSCGMGVQSAERQCTQPTPKYKGRYCVGERKRFRLCNLQACPAGRPSFRHVQCSHFDAMLYKGQLHTWVPVVNDVNPCELHCRPANEYFAEKLRDAVVDGTPCYQVRASRDLCINGICKNVGCDFEIDSGAMEDRCGVCHGNGSTCHTVSGTFEEAEGLGYVDVGLIPAGAREIRIQEVAEAANFLALRSEDPEKYFLNGGWTIQWNGDYQVAGTTFTYARRGNWENLTSPGPTKEPVWIQLLFQESNPGVHYEYTIHREAGGHDEVPPPVFSWHYGPWTKCTVTCGRGVQRQNVYCLERQAGPVDEEHCDPLGRPDDQQRKCSEQPCPARWWAGEWQLCSSSCGPGGLSRRAVLCIRSVGLDEQSALEPPACEHLPRPPTETPCNRHVPCPATWAVGNWSQCSVTCGEGTQRRNVLCTNDTGVPCDEAQQPASEVTCSLPLCRWPLGTLGPEGSGSGSSSHELFNEADFIPHHLAPRPSPASSPKPGTMGNAIEEEAPELDLPGPVFVDDFYYDYNFINFHEDLSYGPSEEPDLDLAGTGDRTPPPHSHPAAPSTGSPVPATEPPAAKEEGVLGPWSPSPWPSQAGRSPPPPSEQTPGNPLINFLPEEDTPIGAPDLGLPSLSWPRVSTDGLQTPATPESQNDFPVGKDSQSQLPPPWRDRTNEVFKDDEEPKGRGAPHLPPRPSSTLPPLSPVGSTHSSPSPDVAELWTGGTVAWEPALEGGLGPVDSELWPTVGVASLLPPPIAPLPEMKVRDSSLEPGTPSFPTPGPGSWDLQTVAVWGTFLPTTLTGLGHMPEPALNPGPKGQPESLSPEVPLSSRLLSTPAWDSPANSHRVPETQPLAPSLAEAGPPADPLVVRNAGWQAGNWSECSTTCGLGAVWRPVRCSSGRDEDCAPAGRPQPARRCHLRPCATWHSGNWSKCSRSCGGGSSVRDVQCVDTRDLRPLRPFHCQPGPAKPPAHRPCGAQPCLSWYTSSWRECSEACGGGEQQRLVTCPEPGLCEEALRPNTTRPCNTHPCTQWVVGPWGQCSGPCGGGVQRRLVKCVNTQTGLPEEDSDQCGHEAWPESSRPCGTEDCEPVEPPRCERDRLSFGFCETLRLLGRCQLPTIRTQCCRSCSPPSHGAPSRGHQRVARR
+>DECOY_sp|Q9UKP4|ATS7_HUMAN A disintegrin and metalloproteinase with thrombospondin motifs 7 OS=Homo sapiens OX=9606 GN=ADAMTS7 PE=1 SV=2
+RRAVRQHGRSPAGHSPPSCSRCCQTRITPLQCRGLLRLTECFGFSLRDRECRPPEVPECDETGCPRSSEPWAEHGCQDSDEEPLGTQTNVCKVLRRQVGGGCPGSCQGWPGVVWQTCPHTNCPRTTNPRLAEECLGPEPCTVLRQQEGGGCAESCERWSSTYWSLCPQAGCPRHAPPKAPGPQCHFPRLPRLDRTDVCQVDRVSSGGGCSRSCKSWNGSHWTACPRLHCRRAPQPRGAPACDEDRGSSCRVPRWVAGLGCTTSCESWNGAQWGANRVVLPDAPPGAEALSPALPQTEPVRHSNAPSDWAPTSLLRSSLPVEPSLSEPQGKPGPNLAPEPMHGLGTLTTPLFTGWVAVTQLDWSGPGPTPFSPTGPELSSDRVKMEPLPAIPPPLLSAVGVTPWLESDVPGLGGELAPEWAVTGGTWLEAVDPSPSSHTSGVPSLPPLTSSPRPPLHPAGRGKPEEDDKFVENTRDRWPPPLQSQSDKGVPFDNQSEPTAPTQLGDTSVRPWSLSPLGLDPAGIPTDEEPLFNILPNGPTQESPPPPSRGAQSPWPSPSWPGLVGEEKAAPPETAPVPSGTSPAAPHSHPPPTRDGTGALDLDPEESPGYSLDEHFNIFNYDYYFDDVFVPGPLDLEPAEEEIANGMTGPKPSSAPSPRPALHHPIFDAENFLEHSSSGSGSGEPGLTGLPWRCLPLSCTVESAPQQAEDCPVGTDNTCLVNRRQTGEGCTVSCQSWNGVAWTAPCPVHRNCPTETPPRPLHECAPPELASQEDLGVSRICLVARRSLGGPGCSSSCLQWEGAWWRAPCPQESCKRQQDDPRGLPDCHEEDVPGAQRELCYVNQRQVGRGCTVTCKTWPGYHWSFVPPPVEDHGGAERHITYEYHVGPNSEQFLLQIWVPEKTPGPSTLNEWNGRRAYTFTTGAVQYDGNWQITWGGNLFYKEPDESRLALFNAAEAVEQIRIERAGAPILGVDVYGLGEAEEFTGSVTHCTSGNGHCVGCRDEMAGSDIEFDCGVNKCIGNICLDRSARVQYCPTGDVVADRLKEAFYENAPRCHLECPNVDNVVPVWTHLQGKYLMADFHSCQVHRFSPRGAPCAQLNCLRFRKREGVCYRGKYKPTPQTCQREASQVGMGCSRSCISWASWGSWGGDVAEPRFGVPVCEGSLCWKNEGCRTGDVAADLKSHCTTGVSCWLTHCVNDMDECFASYAGYQLRCQHSVDYLVGPPVSPFDIIDKAPPDDLCLGWGRDLFRTIYQRSCRSWTLPAADYLLQPSMIFPRKGVPECDNGSGDHQIGFSHGLEHAVTFALPLGTDENISCSRHPQCMGAVHSLGLTECPRNMAACLDKRTLLIATDHHLPHADGKMNISKQWKCFSKLTNDAHHTIKLDEEEDELLVLRVITIHIPNGISPDHFLGAVMNMITLVYSEVQPQGHYEVMKADAVVLTEVWKEKSVSRQHLRRLRPRRWQQRQEWRERRSELEPYVQVGCTSPASSDGRQALREPAQRKYVVHPQAHGPRAPASDLPEIFYDENSLQFVGKLGDCASIAALGGELEPDQVEGLLHCAPTHARIHARGLGGRRRTESVFGPALLHQNATLNFRLERGRYQLEYFAPADRRVSVDRKRLARPWLEYSLFSGGADVRVPHVIDLAARGETARGPAPGPAGPALACLLLLLPRLLPAPSRPSPGGPM
+>sp|Q9UP79|ATS8_HUMAN A disintegrin and metalloproteinase with thrombospondin motifs 8 OS=Homo sapiens OX=9606 GN=ADAMTS8 PE=2 SV=2
+MLPAPAAPRWPPLLLLLLLLLPLARGAPARPAAGGQASELVVPTRLPGSAGELALHLSAFGKGFVLRLAPDDSFLAPEFKIERLGGSGRATGGERGLRGCFFSGTVNGEPESLAAVSLCRGLSGSFLLDGEEFTIQPQGAGGSLAQPHRLQRWGPAGARPLPRGPEWEVETGEGQRQERGDHQEDSEEESQEEEAEGASEPPPPLGATSRTKRFVSEARFVETLLVADASMAAFYGADLQNHILTLMSVAARIYKHPSIKNSINLMVVKVLIVEDEKWGPEVSDNGGLTLRNFCNWQRRFNQPSDRHPEHYDTAILLTRQNFCGQEGLCDTLGVADIGTICDPNKSCSVIEDEGLQAAHTLAHELGHVLSMPHDDSKPCTRLFGPMGKHHVMAPLFVHLNQTLPWSPCSAMYLTELLDGGHGDCLLDAPAAALPLPTGLPGRMALYQLDQQCRQIFGPDFRHCPNTSAQDVCAQLWCHTDGAEPLCHTKNGSLPWADGTPCGPGHLCSEGSCLPEEEVERPKPVADGGWAPWGPWGECSRTCGGGVQFSHRECKDPEPQNGGRYCLGRRAKYQSCHTEECPPDGKSFREQQCEKYNAYNYTDMDGNLLQWVPKYAGVSPRDRCKLFCRARGRSEFKVFEAKVIDGTLCGPETLAICVRGQCVKAGCDHVVDSPRKLDKCGVCGGKGNSCRKVSGSLTPTNYGYNDIVTIPAGATNIDVKQRSHPGVQNDGNYLALKTADGQYLLNGNLAISAIEQDILVKGTILKYSGSIATLERLQSFRPLPEPLTVQLLTVPGEVFPPKVKYTFFVPNDVDFSMQSSKERATTNIIQPLLHAQWVLGDWSECSSTCGAGWQRRTVECRDPSGQASATCNKALKPEDAKPCESQLCPL
+>DECOY_sp|Q9UP79|ATS8_HUMAN A disintegrin and metalloproteinase with thrombospondin motifs 8 OS=Homo sapiens OX=9606 GN=ADAMTS8 PE=2 SV=2
+LPCLQSECPKADEPKLAKNCTASAQGSPDRCEVTRRQWGAGCTSSCESWDGLVWQAHLLPQIINTTAREKSSQMSFDVDNPVFFTYKVKPPFVEGPVTLLQVTLPEPLPRFSQLRELTAISGSYKLITGKVLIDQEIASIALNGNLLYQGDATKLALYNGDNQVGPHSRQKVDINTAGAPITVIDNYGYNTPTLSGSVKRCSNGKGGCVGCKDLKRPSDVVHDCGAKVCQGRVCIALTEPGCLTGDIVKAEFVKFESRGRARCFLKCRDRPSVGAYKPVWQLLNGDMDTYNYANYKECQQERFSKGDPPCEETHCSQYKARRGLCYRGGNQPEPDKCERHSFQVGGGCTRSCEGWPGWPAWGGDAVPKPREVEEEPLCSGESCLHGPGCPTGDAWPLSGNKTHCLPEAGDTHCWLQACVDQASTNPCHRFDPGFIQRCQQDLQYLAMRGPLGTPLPLAAAPADLLCDGHGGDLLETLYMASCPSWPLTQNLHVFLPAMVHHKGMPGFLRTCPKSDDHPMSLVHGLEHALTHAAQLGEDEIVSCSKNPDCITGIDAVGLTDCLGEQGCFNQRTLLIATDYHEPHRDSPQNFRRQWNCFNRLTLGGNDSVEPGWKEDEVILVKVVMLNISNKISPHKYIRAAVSMLTLIHNQLDAGYFAAMSADAVLLTEVFRAESVFRKTRSTAGLPPPPESAGEAEEEQSEEESDEQHDGREQRQGEGTEVEWEPGRPLPRAGAPGWRQLRHPQALSGGAGQPQITFEEGDLLFSGSLGRCLSVAALSEPEGNVTGSFFCGRLGREGGTARGSGGLREIKFEPALFSDDPALRLVFGKGFASLHLALEGASGPLRTPVVLESAQGGAAPRAPAGRALPLLLLLLLLLPPWRPAAPAPLM
+>sp|Q8WWM7|ATX2L_HUMAN Ataxin-2-like protein OS=Homo sapiens OX=9606 GN=ATXN2L PE=1 SV=2
+MLKPQPLQQPSQPQQPPPTQQAVARRPPGGTSPPNGGLPGPLATSAAPPGPPAAASPCLGPVAAAGSGLRRGAEGILAPQPPPPQQHQERPGAAAIGSARGQSTGKGPPQSPVFEGVYNNSRMLHFLTAVVGSTCDVKVKNGTTYEGIFKTLSSKFELAVDAVHRKASEPAGGPRREDIVDTMVFKPSDVMLVHFRNVDFNYATKDKFTDSAIAMNSKVNGEHKEKVLQRWEGGDSNSDDYDLESDMSNGWDPNEMFKFNEENYGVKTTYDSSLSSYTVPLEKDNSEEFRQRELRAAQLAREIESSPQYRLRIAMENDDGRTEEEKHSAVQRQGSGRESPSLASREGKYIPLPQRVREGPRGGVRCSSSRGGRPGLSSLPPRGPHHLDNSSPGPGSEARGINGGPSRMSPKAQRPLRGAKTLSSPSNRPSGETSVPPPPAVGRMYPPRSPKSAAPAPISASCPEPPIGSAVPTSSASIPVTSSVSDPGVGSISPASPKISLAPTDVKELSTKEPGRTLEPQELARIAGKVPGLQNEQKRFQLEELRKFGAQFKLQPSSSPENSLDPFPPRILKEEPKGKEKEVDGLLTSEPMGSPVSSKTESVSDKEDKPPLAPSGGTEGPEQPPPPCPSQTGSPPVGLIKGEDKDEGPVAEQVKKSTLNPNAKEFNPTKPLLSVNKSTSTPTSPGPRTHSTPSIPVLTAGQSGLYSPQYISYIPQIHMGPAVQAPQMYPYPVSNSVPGQQGKYRGAKGSLPPQRSDQHQPASAPPMMQAAAAAGPPLVAATPYSSYIPYNPQQFPGQPAMMQPMAHYPSQPVFAPMLQSNPRMLTSGSHPQAIVSSSTPQYPSAEQPTPQALYATVHQSYPHHATQLHAHQPQPATTPTGSQPQSQHAAPSPVQHQAGQAPHLGSGQPQQNLYHPGALTGTPPSLPPGPSAQSPQSSFPQPAAVYAIHHQQLPHGFTNMAHVTQAHVQTGITAAPPPHPGAPHPPQVMLLHPPQSHGGPPQGAVPQSGVPALSASTPSPYPYIGHPQGEQPGQAPGFPGGADDRIREFSLAGGIWHGRAEGLQVGQDARVLGGE
+>DECOY_sp|Q8WWM7|ATX2L_HUMAN Ataxin-2-like protein OS=Homo sapiens OX=9606 GN=ATXN2L PE=1 SV=2
+EGGLVRADQGVQLGEARGHWIGGALSFERIRDDAGGPFGPAQGPQEGQPHGIYPYPSPTSASLAPVGSQPVAGQPPGGHSQPPHLLMVQPPHPAGPHPPPAATIGTQVHAQTVHAMNTFGHPLQQHHIAYVAAPQPFSSQPSQASPGPPLSPPTGTLAGPHYLNQQPQGSGLHPAQGAQHQVPSPAAHQSQPQSGTPTTAPQPQHAHLQTAHHPYSQHVTAYLAQPTPQEASPYQPTSSSVIAQPHSGSTLMRPNSQLMPAFVPQSPYHAMPQMMAPQGPFQQPNYPIYSSYPTAAVLPPGAAAAAQMMPPASAPQHQDSRQPPLSGKAGRYKGQQGPVSNSVPYPYMQPAQVAPGMHIQPIYSIYQPSYLGSQGATLVPISPTSHTRPGPSTPTSTSKNVSLLPKTPNFEKANPNLTSKKVQEAVPGEDKDEGKILGVPPSGTQSPCPPPPQEPGETGGSPALPPKDEKDSVSETKSSVPSGMPESTLLGDVEKEKGKPEEKLIRPPFPDLSNEPSSSPQLKFQAGFKRLEELQFRKQENQLGPVKGAIRALEQPELTRGPEKTSLEKVDTPALSIKPSAPSISGVGPDSVSSTVPISASSTPVASGIPPEPCSASIPAPAASKPSRPPYMRGVAPPPPVSTEGSPRNSPSSLTKAGRLPRQAKPSMRSPGGNIGRAESGPGPSSNDLHHPGRPPLSSLGPRGGRSSSCRVGGRPGERVRQPLPIYKGERSALSPSERGSGQRQVASHKEEETRGDDNEMAIRLRYQPSSEIERALQAARLERQRFEESNDKELPVTYSSLSSDYTTKVGYNEENFKFMENPDWGNSMDSELDYDDSNSDGGEWRQLVKEKHEGNVKSNMAIASDTFKDKTAYNFDVNRFHVLMVDSPKFVMTDVIDERRPGGAPESAKRHVADVALEFKSSLTKFIGEYTTGNKVKVDCTSGVVATLFHLMRSNNYVGEFVPSQPPGKGTSQGRASGIAAAGPREQHQQPPPPQPALIGEAGRRLGSGAAAVPGLCPSAAAPPGPPAASTALPGPLGGNPPSTGGPPRRAVAQQTPPPQQPQSPQQLPQPKLM
+>sp|Q156A1|ATX8_HUMAN Ataxin-8 OS=Homo sapiens OX=9606 GN=ATXN8 PE=1 SV=1
+MQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQ
+>DECOY_sp|Q156A1|ATX8_HUMAN Ataxin-8 OS=Homo sapiens OX=9606 GN=ATXN8 PE=1 SV=1
+QQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQM
+>sp|Q9Y679|AUP1_HUMAN Ancient ubiquitous protein 1 OS=Homo sapiens OX=9606 GN=AUP1 PE=1 SV=1
+MELPSGPGPERLFDSHRLPGDCFLLLVLLLYAPVGFCLLVLRLFLGIHVFLVSCALPDSVLRRFVVRTMCAVLGLVARQEDSGLRDHSVRVLISNHVTPFDHNIVNLLTTCSTVSESEAESATGRFPGAQLKAPLSPLAFRMEDTEALPLTPILYPTCQFFFFIFLNIFLLAFSSPGSQPLLNSPPSFVCWSRGFMEMNGRGELVESLKRFCASTRLPPTPLLLFPEEEATNGREGLLRFSSWPFSIQDVVQPLTLQVQRPLVSVTVSDASWVSELLWSLFVPFTVYQVRWLRPVHRQLGEANEEFALRVQQLVAKELGQTGTRLTPADKAEHMKRQRHPRLRPQSAQSSFPPSPGPSPDVQLATLAQRVKEVLPHVPLGVIQRDLAKTGCVDLTITNLLEGAVAFMPEDITKGTQSLPTASASKFPSSGPVTPQPTALTFAKSSWARQESLQERKQALYEYARRRFTERRAQEAD
+>DECOY_sp|Q9Y679|AUP1_HUMAN Ancient ubiquitous protein 1 OS=Homo sapiens OX=9606 GN=AUP1 PE=1 SV=1
+DAEQARRETFRRRAYEYLAQKREQLSEQRAWSSKAFTLATPQPTVPGSSPFKSASATPLSQTGKTIDEPMFAVAGELLNTITLDVCGTKALDRQIVGLPVHPLVEKVRQALTALQVDPSPGPSPPFSSQASQPRLRPHRQRKMHEAKDAPTLRTGTQGLEKAVLQQVRLAFEENAEGLQRHVPRLWRVQYVTFPVFLSWLLESVWSADSVTVSVLPRQVQLTLPQVVDQISFPWSSFRLLGERGNTAEEEPFLLLPTPPLRTSACFRKLSEVLEGRGNMEMFGRSWCVFSPPSNLLPQSGPSSFALLFINLFIFFFFQCTPYLIPTLPLAETDEMRFALPSLPAKLQAGPFRGTASEAESESVTSCTTLLNVINHDFPTVHNSILVRVSHDRLGSDEQRAVLGLVACMTRVVFRRLVSDPLACSVLFVHIGLFLRLVLLCFGVPAYLLLVLLLFCDGPLRHSDFLREPGPGSPLEM
+>sp|Q9P2W7|B3GA1_HUMAN Galactosylgalactosylxylosylprotein 3-beta-glucuronosyltransferase 1 OS=Homo sapiens OX=9606 GN=B3GAT1 PE=1 SV=2
+MPKRRDILAIVLIVLPWTLLITVWHQSTLAPLLAVHKDEGSDPRRETPPGADPREYCTSDRDIVEVVRTEYVYTRPPPWSDTLPTIHVVTPTYSRPVQKAELTRMANTLLHVPNLHWLVVEDAPRRTPLTARLLRDTGLNYTHLHVETPRNYKLRGDARDPRIPRGTMQRNLALRWLRETFPRNSSQPGVVYFADDDNTYSLELFEEMRSTRRVSVWPVAFVGGLRYEAPRVNGAGKVVGWKTVFDPHRPFAIDMAGFAVNLRLILQRSQAYFKLRGVKGGYQESSLLRELVTLNDLEPKAANCTKILVWHTRTEKPVLVNEGKKGFTDPSVEI
+>DECOY_sp|Q9P2W7|B3GA1_HUMAN Galactosylgalactosylxylosylprotein 3-beta-glucuronosyltransferase 1 OS=Homo sapiens OX=9606 GN=B3GAT1 PE=1 SV=2
+IEVSPDTFGKKGENVLVPKETRTHWVLIKTCNAAKPELDNLTVLERLLSSEQYGGKVGRLKFYAQSRQLILRLNVAFGAMDIAFPRHPDFVTKWGVVKGAGNVRPAEYRLGGVFAVPWVSVRRTSRMEEFLELSYTNDDDAFYVVGPQSSNRPFTERLWRLALNRQMTGRPIRPDRADGRLKYNRPTEVHLHTYNLGTDRLLRATLPTRRPADEVVLWHLNPVHLLTNAMRTLEAKQVPRSYTPTVVHITPLTDSWPPPRTYVYETRVVEVIDRDSTCYERPDAGPPTERRPDSGEDKHVALLPALTSQHWVTILLTWPLVILVIALIDRRKPM
+>sp|Q9NPZ5|B3GA2_HUMAN Galactosylgalactosylxylosylprotein 3-beta-glucuronosyltransferase 2 OS=Homo sapiens OX=9606 GN=B3GAT2 PE=1 SV=2
+MKSALFTRFFILLPWILIVIIMLDVDTRRPVPPLTPRPYFSPYAVGRGGARLPLRRGGPAHGTQKRNQSRPQPQPEPQLPTIYAITPTYSRPVQKAELTRLANTFRQVAQLHWILVEDAAARSELVSRFLARAGLPSTHLHVPTPRRYKRPGLPRATEQRNAGLAWLRQRHQHQRAQPGVLFFADDDNTYSLELFQEMRTTRKVSVWPVGLVGGRRYERPLVENGKVVGWYTGWRADRPFAIDMAGFAVSLQVILSNPKAVFKRRGSQPGMQESDFLKQITTVEELEPKANNCTKVLVWHTRTEKVNLANEPKYHLDTVKIEV
+>DECOY_sp|Q9NPZ5|B3GA2_HUMAN Galactosylgalactosylxylosylprotein 3-beta-glucuronosyltransferase 2 OS=Homo sapiens OX=9606 GN=B3GAT2 PE=1 SV=2
+VEIKVTDLHYKPENALNVKETRTHWVLVKTCNNAKPELEEVTTIQKLFDSEQMGPQSGRRKFVAKPNSLIVQLSVAFGAMDIAFPRDARWGTYWGVVKGNEVLPREYRRGGVLGVPWVSVKRTTRMEQFLELSYTNDDDAFFLVGPQARQHQHRQRLWALGANRQETARPLGPRKYRRPTPVHLHTSPLGARALFRSVLESRAAADEVLIWHLQAVQRFTNALRTLEAKQVPRSYTPTIAYITPLQPEPQPQPRSQNRKQTGHAPGGRRLPLRAGGRGVAYPSFYPRPTLPPVPRRTDVDLMIIVILIWPLLIFFRTFLASKM
+>sp|Q9C0J1|B3GN4_HUMAN N-acetyllactosaminide beta-1,3-N-acetylglucosaminyltransferase 4 OS=Homo sapiens OX=9606 GN=B3GNT4 PE=1 SV=1
+MLPPQPSAAHQGRGGRSGLLPKGPAMLCRLCWLVSYSLAVLLLGCLLFLRKAAKPAGDPTAHQPFWAPPTPRHSRCPPNHTVSSASLSLPSRHRLFLTYRHCRNFSILLEPSGCSKDTFLLLAIKSQPGHVERRAAIRSTWGRVGGWARGRQLKLVFLLGVAGSAPPAQLLAYESREFDDILQWDFTEDFFNLTLKELHLQRWVVAACPQAHFMLKGDDDVFVHVPNVLEFLDGWDPAQDLLVGDVIRQALPNRNTKVKYFIPPSMYRATHYPPYAGGGGYVMSRATVRRLQAIMEDAELFPIDDVFVGMCLRRLGLSPMHHAGFKTFGIRRPLDPLDPCLYRGLLLVHRLSPLEMWTMWALVTDEGLKCAAGPIPQR
+>DECOY_sp|Q9C0J1|B3GN4_HUMAN N-acetyllactosaminide beta-1,3-N-acetylglucosaminyltransferase 4 OS=Homo sapiens OX=9606 GN=B3GNT4 PE=1 SV=1
+RQPIPGAACKLGEDTVLAWMTWMELPSLRHVLLLGRYLCPDLPDLPRRIGFTKFGAHHMPSLGLRRLCMGVFVDDIPFLEADEMIAQLRRVTARSMVYGGGGAYPPYHTARYMSPPIFYKVKTNRNPLAQRIVDGVLLDQAPDWGDLFELVNPVHVFVDDDGKLMFHAQPCAAVVWRQLHLEKLTLNFFDETFDWQLIDDFERSEYALLQAPPASGAVGLLFVLKLQRGRAWGGVRGWTSRIAARREVHGPQSKIALLLFTDKSCGSPELLISFNRCHRYTLFLRHRSPLSLSASSVTHNPPCRSHRPTPPAWFPQHATPDGAPKAAKRLFLLCGLLLVALSYSVLWCLRCLMAPGKPLLGSRGGRGQHAASPQPPLM
+>sp|Q6UX72|B3GN9_HUMAN UDP-GlcNAc:betaGal beta-1,3-N-acetylglucosaminyltransferase 9 OS=Homo sapiens OX=9606 GN=B3GNT9 PE=2 SV=1
+MRRRLRLRRDALLTLLLGASLGLLLYAQRDGAAPTASAPRGRGRAAPRPTPGPRAFQLPDAGAAPPAYEGDTPAPPTPTGPFDFARYLRAKDQRRFPLLINQPHKCRGDGAPGGRPDLLIAVKSVAEDFERRQAVRQTWGAEGRVQGALVRRVFLLGVPRGAGSGGADEVGEGARTHWRALLRAESLAYADILLWAFDDTFFNLTLKEIHFLAWASAFCPDVRFVFKGDADVFVNVGNLLEFLAPRDPAQDLLAGDVIVHARPIRTRASKYYIPEAVYGLPAYPAYAGGGGFVLSGATLHRLAGACAQVELFPIDDVFLGMCLQRLRLTPEPHPAFRTFGIPQPSAAPHLSTFDPCFYRELVVVHGLSAADIWLMWRLLHGPHGPACAHPQPVAAGPFQWDS
+>DECOY_sp|Q6UX72|B3GN9_HUMAN UDP-GlcNAc:betaGal beta-1,3-N-acetylglucosaminyltransferase 9 OS=Homo sapiens OX=9606 GN=B3GNT9 PE=2 SV=1
+SDWQFPGAAVPQPHACAPGHPGHLLRWMLWIDAASLGHVVVLERYFCPDFTSLHPAASPQPIGFTRFAPHPEPTLRLRQLCMGLFVDDIPFLEVQACAGALRHLTAGSLVFGGGGAYAPYAPLGYVAEPIYYKSARTRIPRAHVIVDGALLDQAPDRPALFELLNGVNVFVDADGKFVFRVDPCFASAWALFHIEKLTLNFFTDDFAWLLIDAYALSEARLLARWHTRAGEGVEDAGGSGAGRPVGLLFVRRVLAGQVRGEAGWTQRVAQRREFDEAVSKVAILLDPRGGPAGDGRCKHPQNILLPFRRQDKARLYRAFDFPGTPTPPAPTDGEYAPPAAGADPLQFARPGPTPRPAARGRGRPASATPAAGDRQAYLLLGLSAGLLLTLLADRRLRLRRRM
+>sp|Q9Y2C3|B3GT5_HUMAN Beta-1,3-galactosyltransferase 5 OS=Homo sapiens OX=9606 GN=B3GALT5 PE=2 SV=1
+MAFPKMRLMYICLLVLGALCLYFSMYSLNPFKEQSFVYKKDGNFLKLPDTDCRQTPPFLVLLVTSSHKQLAERMAIRQTWGKERMVKGKQLKTFFLLGTTSSAAETKEVDQESQRHGDIIQKDFLDVYYNLTLKTMMGIEWVHRFCPQAAFVMKTDSDMFINVDYLTELLLKKNRTTRFFTGFLKLNEFPIRQPFSKWFVSKSEYPWDRYPPFCSGTGYVFSGDVASQVYNVSKSVPYIKLEDVFVGLCLERLNIRLEELHSQPTFFPGGLRFSVCLFRRIVACHFIKPRTLLDYWQALENSRGEDCPPV
+>DECOY_sp|Q9Y2C3|B3GT5_HUMAN Beta-1,3-galactosyltransferase 5 OS=Homo sapiens OX=9606 GN=B3GALT5 PE=2 SV=1
+VPPCDEGRSNELAQWYDLLTRPKIFHCAVIRRFLCVSFRLGGPFFTPQSHLEELRINLRELCLGVFVDELKIYPVSKSVNYVQSAVDGSFVYGTGSCFPPYRDWPYESKSVFWKSFPQRIPFENLKLFGTFFRTTRNKKLLLETLYDVNIFMDSDTKMVFAAQPCFRHVWEIGMMTKLTLNYYVDLFDKQIIDGHRQSEQDVEKTEAASSTTGLLFFTKLQKGKVMREKGWTQRIAMREALQKHSSTVLLVLFPPTQRCDTDPLKLFNGDKKYVFSQEKFPNLSYMSFYLCLAGLVLLCIYMLRMKPFAM
+>sp|Q96L58|B3GT6_HUMAN Beta-1,3-galactosyltransferase 6 OS=Homo sapiens OX=9606 GN=B3GALT6 PE=1 SV=2
+MKLLRRAWRRRAALGLGTLALCGAALLYLARCAAEPGDPRAMSGRSPPPPAPARAAAFLAVLVASAPRAAERRSVIRSTWLARRGAPGDVWARFAVGTAGLGAEERRALEREQARHGDLLLLPALRDAYENLTAKVLAMLAWLDEHVAFEFVLKADDDSFARLDALLAELRAREPARRRRLYWGFFSGRGRVKPGGRWREAAWQLCDYYLPYALGGGYVLSADLVHYLRLSRDYLRAWHSEDVSLGAWLAPVDVQREHDPRFDTEYRSRGCSNQYLVTHKQSLEDMLEKHATLAREGRLCKREVQLRLSYVYDWSAPPSQCCQRREGIP
+>DECOY_sp|Q96L58|B3GT6_HUMAN Beta-1,3-galactosyltransferase 6 OS=Homo sapiens OX=9606 GN=B3GALT6 PE=1 SV=2
+PIGERRQCCQSPPASWDYVYSLRLQVERKCLRGERALTAHKELMDELSQKHTVLYQNSCGRSRYETDFRPDHERQVDVPALWAGLSVDESHWARLYDRSLRLYHVLDASLVYGGGLAYPLYYDCLQWAAERWRGGPKVRGRGSFFGWYLRRRRAPERARLEALLADLRAFSDDDAKLVFEFAVHEDLWALMALVKATLNEYADRLAPLLLLDGHRAQERELARREEAGLGATGVAFRAWVDGPAGRRALWTSRIVSRREAARPASAVLVALFAAARAPAPPPPSRGSMARPDGPEAACRALYLLAAGCLALTGLGLAARRRWARRLLKM
+>sp|Q8NHY0|B4GN2_HUMAN Beta-1,4 N-acetylgalactosaminyltransferase 2 OS=Homo sapiens OX=9606 GN=B4GALNT2 PE=1 SV=2
+MGSAGFSVGKFHVEVASRGRECVSGTPECGNRLGSAGFGALCLELRGADPAWGPFAAHGRSRRQGSRFLWLLKILVIILVLGIVGFMFGSMFLQAVFSSPKPELPSPAPGVQKLKLLPEERLRNLFSYDGIWLFPKNQCKCEANKEQGGYNFQDAYGQSDLPAVKARRQAEFEHFQRREGLPRPLPLLVQPNLPFGYPVHGVEVMPLHTVPIPGLQFEGPDAPVYEVTLTASLGTLNTLADVPDSVVQGRGQKQLIISTSDRKLLKFILQHVTYTSTGYQHQKVDIVSLESRSSVAKFPVTIRHPVIPKLYDPGPERKLRNLVTIATKTFLRPHKLMIMLRSIREYYPDLTVIVADDSQKPLEIKDNHVEYYTMPFGKGWFAGRNLAISQVTTKYVLWVDDDFLFNEETKIEVLVDVLEKTELDVVGGSVLGNVFQFKLLLEQSENGACLHKRMGFFQPLDGFPSCVVTSGVVNFFLAHTERLQRVGFDPRLQRVAHSEFFIDGLGTLLVGSCPEVIIGHQSRSPVVDSELAALEKTYNTYRSNTLTRVQFKLALHYFKNHLQCAA
+>DECOY_sp|Q8NHY0|B4GN2_HUMAN Beta-1,4 N-acetylgalactosaminyltransferase 2 OS=Homo sapiens OX=9606 GN=B4GALNT2 PE=1 SV=2
+AACQLHNKFYHLALKFQVRTLTNSRYTNYTKELAALESDVVPSRSQHGIIVEPCSGVLLTGLGDIFFESHAVRQLRPDFGVRQLRETHALFFNVVGSTVVCSPFGDLPQFFGMRKHLCAGNESQELLLKFQFVNGLVSGGVVDLETKELVDVLVEIKTEENFLFDDDVWLVYKTTVQSIALNRGAFWGKGFPMTYYEVHNDKIELPKQSDDAVIVTLDPYYERISRLMIMLKHPRLFTKTAITVLNRLKREPGPDYLKPIVPHRITVPFKAVSSRSELSVIDVKQHQYGTSTYTVHQLIFKLLKRDSTSIILQKQGRGQVVSDPVDALTNLTGLSATLTVEYVPADPGEFQLGPIPVTHLPMVEVGHVPYGFPLNPQVLLPLPRPLGERRQFHEFEAQRRAKVAPLDSQGYADQFNYGGQEKNAECKCQNKPFLWIGDYSFLNRLREEPLLKLKQVGPAPSPLEPKPSSFVAQLFMSGFMFGVIGLVLIIVLIKLLWLFRSGQRRSRGHAAFPGWAPDAGRLELCLAGFGASGLRNGCEPTGSVCERGRSAVEVHFKGVSFGASGM
+>sp|O43286|B4GT5_HUMAN Beta-1,4-galactosyltransferase 5 OS=Homo sapiens OX=9606 GN=B4GALT5 PE=2 SV=1
+MRARRGLLRLPRRSLLAALFFFSLSSSLLYFVYVAPGIVNTYLFMMQAQGILIRDNVRTIGAQVYEQVLRSAYAKRNSSVNDSDYPLDLNHSETFLQTTTFLPEDFTYFANHTCPERLPSMKGPIDINMSEIGMDYIHELFSKDPTIKLGGHWKPSDCMPRWKVAILIPFRNRHEHLPVLFRHLLPMLQRQRLQFAFYVVEQVGTQPFNRAMLFNVGFQEAMKDLDWDCLIFHDVDHIPESDRNYYGCGQMPRHFATKLDKYMYLLPYTEFFGGVSGLTVEQFRKINGFPNAFWGWGGEDDDLWNRVQNAGYSVSRPEGDTGKYKSIPHHHRGEVQFLGRYALLRKSKERQGLDGLNNLNYFANITYDALYKNITVNLTPELAQVNEY
+>DECOY_sp|O43286|B4GT5_HUMAN Beta-1,4-galactosyltransferase 5 OS=Homo sapiens OX=9606 GN=B4GALT5 PE=2 SV=1
+YENVQALEPTLNVTINKYLADYTINAFYNLNNLGDLGQREKSKRLLAYRGLFQVEGRHHHPISKYKGTDGEPRSVSYGANQVRNWLDDDEGGWGWFANPFGNIKRFQEVTLGSVGGFFETYPLLYMYKDLKTAFHRPMQGCGYYNRDSEPIHDVDHFILCDWDLDKMAEQFGVNFLMARNFPQTGVQEVVYFAFQLRQRQLMPLLHRFLVPLHEHRNRFPILIAVKWRPMCDSPKWHGGLKITPDKSFLEHIYDMGIESMNIDIPGKMSPLREPCTHNAFYTFDEPLFTTTQLFTESHNLDLPYDSDNVSSNRKAYASRLVQEYVQAGITRVNDRILIGQAQMMFLYTNVIGPAVYVFYLLSSSLSFFFLAALLSRRPLRLLGRRARM
+>sp|Q9UBV7|B4GT7_HUMAN Beta-1,4-galactosyltransferase 7 OS=Homo sapiens OX=9606 GN=B4GALT7 PE=1 SV=1
+MFPSRRKAAQLPWEDGRSGLLSGGLPRKCSVFHLFVACLSLGFFSLLWLQLSCSGDVARAVRGQGQETSGPPRACPPEPPPEHWEEDASWGPHRLAVLVPFRERFEELLVFVPHMRRFLSRKKIRHHIYVLNQVDHFRFNRAALINVGFLESSNSTDYIAMHDVDLLPLNEELDYGFPEAGPFHVASPELHPLYHYKTYVGGILLLSKQHYRLCNGMSNRFWGWGREDDEFYRRIKGAGLQLFRPSGITTGYKTFRHLHDPAWRKRDQKRIAAQKQEQFKVDREGGLNTVKYHVASRTALSVGGAPCTVLNIMLDCDKTATPWCTFS
+>DECOY_sp|Q9UBV7|B4GT7_HUMAN Beta-1,4-galactosyltransferase 7 OS=Homo sapiens OX=9606 GN=B4GALT7 PE=1 SV=1
+SFTCWPTATKDCDLMINLVTCPAGGVSLATRSAVHYKVTNLGGERDVKFQEQKQAAIRKQDRKRWAPDHLHRFTKYGTTIGSPRFLQLGAGKIRRYFEDDERGWGWFRNSMGNCLRYHQKSLLLIGGVYTKYHYLPHLEPSAVHFPGAEPFGYDLEENLPLLDVDHMAIYDTSNSSELFGVNILAARNFRFHDVQNLVYIHHRIKKRSLFRRMHPVFVLLEEFRERFPVLVALRHPGWSADEEWHEPPPEPPCARPPGSTEQGQGRVARAVDGSCSLQLWLLSFFGLSLCAVFLHFVSCKRPLGGSLLGSRGDEWPLQAAKRRSPFM
+>sp|Q9P287|BCCIP_HUMAN BRCA2 and CDKN1A-interacting protein OS=Homo sapiens OX=9606 GN=BCCIP PE=1 SV=1
+MASRSKRRAVESGVPQPPDPPVQRDEEEEKEVENEDEDDDDSDKEKDEEDEVIDEEVNIEFEAYSLSDNDYDGIKKLLQQLFLKAPVNTAELTDLLIQQNHIGSVIKQTDVSEDSNDDMDEDEVFGFISLLNLTERKGTQCVEQIQELVLRFCEKNCEKSMVEQLDKFLNDTTKPVGLLLSERFINVPPQIALPMYQQLQKELAGAHRTNKPCGKCYFYLLISKTFVEAGKNNSKKKPSNKKKAALMFANAEEEFFYEKAILKFNYSVQEESDTCLGGKWSFDDVPMTPLRTVMLIPGDKMNEIMDKLKEYLSV
+>DECOY_sp|Q9P287|BCCIP_HUMAN BRCA2 and CDKN1A-interacting protein OS=Homo sapiens OX=9606 GN=BCCIP PE=1 SV=1
+VSLYEKLKDMIENMKDGPILMVTRLPTMPVDDFSWKGGLCTDSEEQVSYNFKLIAKEYFFEEEANAFMLAAKKKNSPKKKSNNKGAEVFTKSILLYFYCKGCPKNTRHAGALEKQLQQYMPLAIQPPVNIFRESLLLGVPKTTDNLFKDLQEVMSKECNKECFRLVLEQIQEVCQTGKRETLNLLSIFGFVEDEDMDDNSDESVDTQKIVSGIHNQQILLDTLEATNVPAKLFLQQLLKKIGDYDNDSLSYAEFEINVEEDIVEDEEDKEKDSDDDDEDENEVEKEEEEDRQVPPDPPQPVGSEVARRKSRSAM
+>sp|Q9HAY6|BCDO1_HUMAN Beta,beta-carotene 15,15'-dioxygenase OS=Homo sapiens OX=9606 GN=BCO1 PE=1 SV=1
+MDIIFGRNRKEQLEPVRAKVTGKIPAWLQGTLLRNGPGMHTVGESRYNHWFDGLALLHSFTIRDGEVYYRSKYLRSDTYNTNIEANRIVVSEFGTMAYPDPCKNIFSKAFSYLSHTIPDFTDNCLINIMKCGEDFYATSETNYIRKINPQTLETLEKVDYRKYVAVNLATSHPHYDEAGNVLNMGTSIVEKGKTKYVIFKIPATVPEGKKQGKSPWKHTEVFCSIPSRSLLSPSYYHSFGVTENYVIFLEQPFRLDILKMATAYIRRMSWASCLAFHREEKTYIHIIDQRTRQPVQTKFYTDAMVVFHHVNAYEEDGCIVFDVIAYEDNSLYQLFYLANLNQDFKENSRLTSVPTLRRFAVPLHVDKNAEVGTNLIKVASTTATALKEEDGQVYCQPEFLYEGLELPRVNYAHNGKQYRYVFATGVQWSPIPTKIIKYDILTKSSLKWREDDCWPAEPLFVPAPGAKDEDDGVILSAIVSTDPQKLPFLLILDAKSFTELARASVDVDMHMDLHGLFITDMDWDTKKQAASEEQRDRASDCHGAPLT
+>DECOY_sp|Q9HAY6|BCDO1_HUMAN Beta,beta-carotene 15,15'-dioxygenase OS=Homo sapiens OX=9606 GN=BCO1 PE=1 SV=1
+TLPAGHCDSARDRQEESAAQKKTDWDMDTIFLGHLDMHMDVDVSARALETFSKADLILLFPLKQPDTSVIASLIVGDDEDKAGPAPVFLPEAPWCDDERWKLSSKTLIDYKIIKTPIPSWQVGTAFVYRYQKGNHAYNVRPLELGEYLFEPQCYVQGDEEKLATATTSAVKILNTGVEANKDVHLPVAFRRLTPVSTLRSNEKFDQNLNALYFLQYLSNDEYAIVDFVICGDEEYANVHHFVVMADTYFKTQVPQRTRQDIIHIYTKEERHFALCSAWSMRRIYATAMKLIDLRFPQELFIVYNETVGFSHYYSPSLLSRSPISCFVETHKWPSKGQKKGEPVTAPIKFIVYKTKGKEVISTGMNLVNGAEDYHPHSTALNVAVYKRYDVKELTELTQPNIKRIYNTESTAYFDEGCKMINILCNDTFDPITHSLYSFAKSFINKCPDPYAMTGFESVVIRNAEINTNYTDSRLYKSRYYVEGDRITFSHLLALGDFWHNYRSEGVTHMGPGNRLLTGQLWAPIKGTVKARVPELQEKRNRGFIIDM
+>sp|Q8N143|BCL6B_HUMAN B-cell CLL/lymphoma 6 member B protein OS=Homo sapiens OX=9606 GN=BCL6B PE=2 SV=2
+MGSPAAPEGALGYVREFTRHSSDVLGNLNELRLRGILTDVTLLVGGQPLRAHKAVLIACSGFFYSIFRGRAGVGVDVLSLPGGPEARGFAPLLDFMYTSRLRLSPATAPAVLAAATYLQMEHVVQACHRFIQASYEPLGISLRPLEAEPPTPPTAPPPGSPRRSEGHPDPPTESRSCSQGPPSPASPDPKACNWKKYKYIVLNSQASQAGSLVGERSSGQPCPQARLPSGDEASSSSSSSSSSSEEGPIPGPQSRLSPTAATVQFKCGAPASTPYLLTSQAQDTSGSPSERARPLPGSEFFSCQNCEAVAGCSSGLDSLVPGDEDKPYKCQLCRSSFRYKGNLASHRTVHTGEKPYHCSICGARFNRPANLKTHSRIHSGEKPYKCETCGSRFVQVAHLRAHVLIHTGEKPYPCPTCGTRFRHLQTLKSHVRIHTGEKPYHCDPCGLHFRHKSQLRLHLRQKHGAATNTKVHYHILGGP
+>DECOY_sp|Q8N143|BCL6B_HUMAN B-cell CLL/lymphoma 6 member B protein OS=Homo sapiens OX=9606 GN=BCL6B PE=2 SV=2
+PGGLIHYHVKTNTAAGHKQRLHLRLQSKHRFHLGCPDCHYPKEGTHIRVHSKLTQLHRFRTGCTPCPYPKEGTHILVHARLHAVQVFRSGCTECKYPKEGSHIRSHTKLNAPRNFRAGCISCHYPKEGTHVTRHSALNGKYRFSSRCLQCKYPKDEDGPVLSDLGSSCGAVAECNQCSFFESGPLPRARESPSGSTDQAQSTLLYPTSAPAGCKFQVTAATPSLRSQPGPIPGEESSSSSSSSSSSAEDGSPLRAQPCPQGSSREGVLSGAQSAQSNLVIYKYKKWNCAKPDPSAPSPPGQSCSRSETPPDPHGESRRPSGPPPATPPTPPEAELPRLSIGLPEYSAQIFRHCAQVVHEMQLYTAAALVAPATAPSLRLRSTYMFDLLPAFGRAEPGGPLSLVDVGVGARGRFISYFFGSCAILVAKHARLPQGGVLLTVDTLIGRLRLENLNGLVDSSHRTFERVYGLAGEPAAPSGM
+>sp|P41182|BCL6_HUMAN B-cell lymphoma 6 protein OS=Homo sapiens OX=9606 GN=BCL6 PE=1 SV=1
+MASPADSCIQFTRHASDVLLNLNRLRSRDILTDVVIVVSREQFRAHKTVLMACSGLFYSIFTDQLKCNLSVINLDPEINPEGFCILLDFMYTSRLNLREGNIMAVMATAMYLQMEHVVDTCRKFIKASEAEMVSAIKPPREEFLNSRMLMPQDIMAYRGREVVENNLPLRSAPGCESRAFAPSLYSGLSTPPASYSMYSHLPVSSLLFSDEEFRDVRMPVANPFPKERALPCDSARPVPGEYSRPTLEVSPNVCHSNIYSPKETIPEEARSDMHYSVAEGLKPAAPSARNAPYFPCDKASKEEERPSSEDEIALHFEPPNAPLNRKGLVSPQSPQKSDCQPNSPTESCSSKNACILQASGSPPAKSPTDPKACNWKKYKFIVLNSLNQNAKPEGPEQAELGRLSPRAYTAPPACQPPMEPENLDLQSPTKLSASGEDSTIPQASRLNNIVNRSMTGSPRSSSESHSPLYMHPPKCTSCGSQSPQHAEMCLHTAGPTFPEEMGETQSEYSDSSCENGAFFCNECDCRFSEEASLKRHTLQTHSDKPYKCDRCQASFRYKGNLASHKTVHTGEKPYRCNICGAQFNRPANLKTHTRIHSGEKPYKCETCGARFVQVAHLRAHVLIHTGEKPYPCEICGTRFRHLQTLKSHLRIHTGEKPYHCEKCNLHFRHKSQLRLHLRQKHGAITNTKVQYRVSATDLPPELPKAC
+>DECOY_sp|P41182|BCL6_HUMAN B-cell lymphoma 6 protein OS=Homo sapiens OX=9606 GN=BCL6 PE=1 SV=1
+CAKPLEPPLDTASVRYQVKTNTIAGHKQRLHLRLQSKHRFHLNCKECHYPKEGTHIRLHSKLTQLHRFRTGCIECPYPKEGTHILVHARLHAVQVFRAGCTECKYPKEGSHIRTHTKLNAPRNFQAGCINCRYPKEGTHVTKHSALNGKYRFSAQCRDCKYPKDSHTQLTHRKLSAEESFRCDCENCFFAGNECSSDSYESQTEGMEEPFTPGATHLCMEAHQPSQSGCSTCKPPHMYLPSHSESSSRPSGTMSRNVINNLRSAQPITSDEGSASLKTPSQLDLNEPEMPPQCAPPATYARPSLRGLEAQEPGEPKANQNLSNLVIFKYKKWNCAKPDTPSKAPPSGSAQLICANKSSCSETPSNPQCDSKQPSQPSVLGKRNLPANPPEFHLAIEDESSPREEEKSAKDCPFYPANRASPAAPKLGEAVSYHMDSRAEEPITEKPSYINSHCVNPSVELTPRSYEGPVPRASDCPLAREKPFPNAVPMRVDRFEEDSFLLSSVPLHSYMSYSAPPTSLGSYLSPAFARSECGPASRLPLNNEVVERGRYAMIDQPMLMRSNLFEERPPKIASVMEAESAKIFKRCTDVVHEMQLYMATAMVAMINGERLNLRSTYMFDLLICFGEPNIEPDLNIVSLNCKLQDTFISYFLGSCAMLVTKHARFQERSVVIVVDTLIDRSRLRNLNLLVDSAHRTFQICSDAPSAM
+>sp|Q86UU0|BCL9L_HUMAN B-cell CLL/lymphoma 9-like protein OS=Homo sapiens OX=9606 GN=BCL9L PE=1 SV=1
+MRILANKTRLPHPRRREAPGSPPLSPRGHCPPAPAKPMHPENKLTNHGKTGNGGAQSQHQNVNQGPTCNVGSKGVGAGNHGAKANQISPSNSSLKNPQAGVPPFSSLKGKVKRDRSVSVDSGEQREAGTPSLDSEAKEVAPRSKRRCVLERKQPYSGDEWCSGPDSEEDDKPIGATHNCNVADPAMAAPQLGPGQTTQLPLSESSVPGAPHGPPPGLRPDAPGGGGGGGGVPGKPPSQFVYVFTTHLANTAAEAVLQGRADSILAYHQQNVPRAKLDQAPKVPPTPEPLPLSTPSAGTPQSQPPPLPPPPPPAPGSAPPALPPEGPPEDSSQDLAPNSVGAASTGGGTGGTHPNTPTATTANNPLPPGGDPSSAPGPALLGEAAAPGNGQRSLVGSEGLSKEQLEHRERSLQTLRDIERLLLRSGETEPFLKGPPGGAGEGGPPAQAPPPPQQPPTAPPSGLKKYEEPLQSMISQTQSLGGPPLEHEVPGHPPGGDMGQQMNMMIQRLGQDSLTPEQVAWRKLQEEYYEEKRRKEEQIGLHGSRPLQDMMGMGGMMVRGPPPPYHSKPGDQWPPGMGAQLRGPMDVQDPMQLRGGPPFPGPRFPGNQIQRVPGFGGMQSMPMEVPMNAMQRPVRPGMGWTEDLPPMGGPSNFAQNTMPYPGGQGEAERFMTPRVREELLRHQLLEKRSMGMQRPLGMAGSGMGQSMEMERMMQAHRQMDPAMFPGQMAGGEGLAGTPMGMEFGGGRGLLSPPMGQSGLREVDPPMGPGNLNMNMNVNMNMNMNLNVQMTPQQQMLMSQKMRGPGDLMGPQGLSPEEMARVRAQNSSGVMGGPQKMLMPSQFPNQGQQGFSGGQGPYQAMSQDMGNTQDMFSPDQSSMPMSNVGTTRLSHMPLPPASNPPGTVHSAPNRGLGRRPSDLTISINQMGSPGMGHLKSPTLSQVHSPLVTSPSANLKSPQTPSQMVPLPSANPPGPLKSPQVLGSSLSVRSPTGSPSRLKSPSMAVPSPGWVASPKTAMPSPGVSQNKQPPLNMNSSTTLSNMEQGTLPPSGPRSSSSAPPANPPSGLMNPSLPFTSSPDPTPSQNPLSLMMTQMSKYAMPSSTPLYHNAIKTIATSDDELLPDRPLLPPPPPPQGSGPGISNSQPSQMHLNSAAAQSPMGMNLPGQQPLSHEPPPAMLPSPTPLGSNIPLHPNAQGTGGPPQNSMMMAPGGPDSLNAPCGPVPSSSQMMPFPPRLQQPHGAMAPTGGGGGGPGLQQHYPSGMALPPEDLPNQPPGPMPPQQHLMGKAMAGRMGDAYPPGVLPGVASVLNDPELSEVIRPTPTGIPEFDLSRIIPSEKPSSTLQYFPKSENQPPKAQPPNLHLMNLQNMMAEQTPSRPPNLPGQQGVQRGLNMSMCHPGQMSLLGRTGVPPQQGMVPHGLHQGVMSPPQGLMTQQNFMLMKQRGVGGEVYSQPPHMLSPQGSLMGPPPQQNLMVSHPLRQRSVSLDSQMGYLPAPGGMANLPF
+>DECOY_sp|Q86UU0|BCL9L_HUMAN B-cell CLL/lymphoma 9-like protein OS=Homo sapiens OX=9606 GN=BCL9L PE=1 SV=1
+FPLNAMGGPAPLYGMQSDLSVSRQRLPHSVMLNQQPPPGMLSGQPSLMHPPQSYVEGGVGRQKMLMFNQQTMLGQPPSMVGQHLGHPVMGQQPPVGTRGLLSMQGPHCMSMNLGRQVGQQGPLNPPRSPTQEAMMNQLNMLHLNPPQAKPPQNESKPFYQLTSSPKESPIIRSLDFEPIGTPTPRIVESLEPDNLVSAVGPLVGPPYADGMRGAMAKGMLHQQPPMPGPPQNPLDEPPLAMGSPYHQQLGPGGGGGGTPAMAGHPQQLRPPFPMMQSSSPVPGCPANLSDPGGPAMMMSNQPPGGTGQANPHLPINSGLPTPSPLMAPPPEHSLPQQGPLNMGMPSQAAASNLHMQSPQSNSIGPGSGQPPPPPPLLPRDPLLEDDSTAITKIANHYLPTSSPMAYKSMQTMMLSLPNQSPTPDPSSTFPLSPNMLGSPPNAPPASSSSRPGSPPLTGQEMNSLTTSSNMNLPPQKNQSVGPSPMATKPSAVWGPSPVAMSPSKLRSPSGTPSRVSLSSGLVQPSKLPGPPNASPLPVMQSPTQPSKLNASPSTVLPSHVQSLTPSKLHGMGPSGMQNISITLDSPRRGLGRNPASHVTGPPNSAPPLPMHSLRTTGVNSMPMSSQDPSFMDQTNGMDQSMAQYPGQGGSFGQQGQNPFQSPMLMKQPGGMVGSSNQARVRAMEEPSLGQPGMLDGPGRMKQSMLMQQQPTMQVNLNMNMNMNVNMNMNLNGPGMPPDVERLGSQGMPPSLLGRGGGFEMGMPTGALGEGGAMQGPFMAPDMQRHAQMMREMEMSQGMGSGAMGLPRQMGMSRKELLQHRLLEERVRPTMFREAEGQGGPYPMTNQAFNSPGGMPPLDETWGMGPRVPRQMANMPVEMPMSQMGGFGPVRQIQNGPFRPGPFPPGGRLQMPDQVDMPGRLQAGMGPPWQDGPKSHYPPPPGRVMMGGMGMMDQLPRSGHLGIQEEKRRKEEYYEEQLKRWAVQEPTLSDQGLRQIMMNMQQGMDGGPPHGPVEHELPPGGLSQTQSIMSQLPEEYKKLGSPPATPPQQPPPPAQAPPGGEGAGGPPGKLFPETEGSRLLLREIDRLTQLSRERHELQEKSLGESGVLSRQGNGPAAAEGLLAPGPASSPDGGPPLPNNATTATPTNPHTGGTGGGTSAAGVSNPALDQSSDEPPGEPPLAPPASGPAPPPPPPLPPPQSQPTGASPTSLPLPEPTPPVKPAQDLKARPVNQQHYALISDARGQLVAEAATNALHTTFVYVFQSPPKGPVGGGGGGGGPADPRLGPPPGHPAGPVSSESLPLQTTQGPGLQPAAMAPDAVNCNHTAGIPKDDEESDPGSCWEDGSYPQKRELVCRRKSRPAVEKAESDLSPTGAERQEGSDVSVSRDRKVKGKLSSFPPVGAQPNKLSSNSPSIQNAKAGHNGAGVGKSGVNCTPGQNVNQHQSQAGGNGTKGHNTLKNEPHMPKAPAPPCHGRPSLPPSGPAERRRPHPLRTKNALIRM
+>sp|Q8IYS8|BD1L2_HUMAN Biorientation of chromosomes in cell division protein 1-like 2 OS=Homo sapiens OX=9606 GN=BOD1L2 PE=1 SV=2
+MADGGGGGSGGAGPASTRASGGGGPINPASLPPGDPQLIAIIVGQLKSRGLFDSFRRDCKADVDTKPAYQNLSQKADNFVSTHLDKQEWNPPANDNQLHDGLRQSVVQSGRSEAGVDRISSQVVDPKLNHIFRPQIEQIIHEFLVAQKEAAVPALPPEPEGQDPPAPSQDTS
+>DECOY_sp|Q8IYS8|BD1L2_HUMAN Biorientation of chromosomes in cell division protein 1-like 2 OS=Homo sapiens OX=9606 GN=BOD1L2 PE=1 SV=2
+STDQSPAPPDQGEPEPPLAPVAAEKQAVLFEHIIQEIQPRFIHNLKPDVVQSSIRDVGAESRGSQVVSQRLGDHLQNDNAPPNWEQKDLHTSVFNDAKQSLNQYAPKTDVDAKCDRRFSDFLGRSKLQGVIIAILQPDGPPLSAPNIPGGGGSARTSAPGAGGSGGGGGDAM
+>sp|Q3B7T3|BEAN1_HUMAN Protein BEAN1 OS=Homo sapiens OX=9606 GN=BEAN1 PE=2 SV=2
+MSFKRPCPLARYNRTSYFYPTFSESSEHSHLLVSPVLVASAVIGVVIILSCITIIVGSIRRDRQARLQRHRHRHHRHHHHHHHHRRRRHREYEHGYVSDEHTYSRSSRRMRYACSSSEDWPPPLDISSDGDVDATVLRELYPDSPPGYEECVGPGATQLYVPTDAPPPYSLTDSCPTLDGTSDSGSGHSPGRHQQEQRTPAQGGLHTVSMDTLPPYEAVCGAGPPSGLLPLPGPDPGPRGSQGSPTPTRAPASGPERIV
+>DECOY_sp|Q3B7T3|BEAN1_HUMAN Protein BEAN1 OS=Homo sapiens OX=9606 GN=BEAN1 PE=2 SV=2
+VIREPGSAPARTPTPSGQSGRPGPDPGPLPLLGSPPGAGCVAEYPPLTDMSVTHLGGQAPTRQEQQHRGPSHGSGSDSTGDLTPCSDTLSYPPPADTPVYLQTAGPGVCEEYGPPSDPYLERLVTADVDGDSSIDLPPPWDESSSCAYRMRRSSRSYTHEDSVYGHEYERHRRRRHHHHHHHHRHHRHRHRQLRAQRDRRISGVIITICSLIIVVGIVASAVLVPSVLLHSHESSESFTPYFYSTRNYRALPCPRKFSM
+>sp|Q8NFU1|BEST2_HUMAN Bestrophin-2 OS=Homo sapiens OX=9606 GN=BEST2 PE=2 SV=1
+MTVTYTARVANARFGGFSQLLLLWRGSIYKLLWRELLCFLGFYMALSAAYRFVLTEGQKRYFEKLVIYCDQYASLIPVSFVLGFYVTLVVNRWWSQYLCMPLPDALMCVVAGTVHGRDDRGRLYRRTLMRYAGLSAVLILRSVSTAVFKRFPTIDHVVEAGFMTREERKKFENLNSSYNKYWVPCVWFSNLAAQARREGRIRDNSALKLLLEELNVFRGKCGMLFHYDWISVPLVYTQVVTIALYSYFLACLIGRQFLDPAQGYKDHDLDLCVPIFTLLQFFFYAGWLKVAEQLINPFGEDDDDFETNFLIDRNFQVSMLAVDEMYDDLAVLEKDLYWDAAEARAPYTAATVFQLRQPSFQGSTFDITLAKEDMQFQRLDGLDGPMGEAPGDFLQRLLPAGAGMVAGGPLGRRLSFLLRKNSCVSEASTGASCSCAVVPEGAAPECSCGDPLLDPGLPEPEAPPPAGPEPLTLIPGPVEPFSIVTMPGPRGPAPPWLPSPIGEEEENLA
+>DECOY_sp|Q8NFU1|BEST2_HUMAN Bestrophin-2 OS=Homo sapiens OX=9606 GN=BEST2 PE=2 SV=1
+ALNEEEEGIPSPLWPPAPGRPGPMTVISFPEVPGPILTLPEPGAPPPAEPEPLGPDLLPDGCSCEPAAGEPVVACSCSAGTSAESVCSNKRLLFSLRRGLPGGAVMGAGAPLLRQLFDGPAEGMPGDLGDLRQFQMDEKALTIDFTSGQFSPQRLQFVTAATYPARAEAADWYLDKELVALDDYMEDVALMSVQFNRDILFNTEFDDDDEGFPNILQEAVKLWGAYFFFQLLTFIPVCLDLDHDKYGQAPDLFQRGILCALFYSYLAITVVQTYVLPVSIWDYHFLMGCKGRFVNLEELLLKLASNDRIRGERRAQAALNSFWVCPVWYKNYSSNLNEFKKREERTMFGAEVVHDITPFRKFVATSVSRLILVASLGAYRMLTRRYLRGRDDRGHVTGAVVCMLADPLPMCLYQSWWRNVVLTVYFGLVFSVPILSAYQDCYIVLKEFYRKQGETLVFRYAASLAMYFGLFCLLERWLLKYISGRWLLLLQSFGGFRANAVRATYTVTM
+>sp|Q8N1M1|BEST3_HUMAN Bestrophin-3 OS=Homo sapiens OX=9606 GN=BEST3 PE=2 SV=1
+MTVTYSSKVANATFFGFHRLLLKWRGSIYKLLYREFIVFAVLYTAISLVYRLLLTGVQKRYFEKLSIYCDRYAEQIPVTFVLGFYVTLVVNRWWNQFVNLPWPDRLMFLISSSVHGSDEHGRLLRRTLMRYVNLTSLLIFRSVSTAVYKRFPTMDHVVEAGFMTTDERKLFNHLKSPHLKYWVPFIWFGNLATKARNEGRIRDSVDLQSLMTEMNRYRSWCSLLFGYDWVGIPLVYTQVVTLAVYTFFFACLIGRQFLDPTKGYAGHDLDLYIPIFTLLQFFFYAGWLKVAEQLINPFGEDDDDFETNWCIDRNLQVSLLAVDEMHMSLPKMKKDIYWDDSAARPPYTLAAADYCIPSFLGSTVQMGLSGSDFPDEEWLWDYEKHGHRHSMIRRVKRFLSAHEHPSSPRRRSYRRQTSDSSMFLPRDDLSPARDLLDVPSRNPPRASPTWKKSCFPEGSPTLHFSMGELSTIRETSQTSTLQSLTPQSSVRTSPIKMPLVPEVLITAAEAPVPTSGGYHHDSATSILSSEFTGVQPSKTEQQQGPMGSILSPSEKETPPGGPSPQTVSASAEENIFNCEEDPGDTFLKRWSLPGFLGSSHTSLGNLSPDPMSSQPALLIDTETSSEISGINIVAGSRVSSDMLYLMENLDTKETDIIELNKETEESPK
+>DECOY_sp|Q8N1M1|BEST3_HUMAN Bestrophin-3 OS=Homo sapiens OX=9606 GN=BEST3 PE=2 SV=1
+KPSEETEKNLEIIDTEKTDLNEMLYLMDSSVRSGAVINIGSIESSTETDILLAPQSSMPDPSLNGLSTHSSGLFGPLSWRKLFTDGPDEECNFINEEASASVTQPSPGGPPTEKESPSLISGMPGQQQETKSPQVGTFESSLISTASDHHYGGSTPVPAEAATILVEPVLPMKIPSTRVSSQPTLSQLTSTQSTERITSLEGMSFHLTPSGEPFCSKKWTPSARPPNRSPVDLLDRAPSLDDRPLFMSSDSTQRRYSRRRPSSPHEHASLFRKVRRIMSHRHGHKEYDWLWEEDPFDSGSLGMQVTSGLFSPICYDAAALTYPPRAASDDWYIDKKMKPLSMHMEDVALLSVQLNRDICWNTEFDDDDEGFPNILQEAVKLWGAYFFFQLLTFIPIYLDLDHGAYGKTPDLFQRGILCAFFFTYVALTVVQTYVLPIGVWDYGFLLSCWSRYRNMETMLSQLDVSDRIRGENRAKTALNGFWIFPVWYKLHPSKLHNFLKREDTTMFGAEVVHDMTPFRKYVATSVSRFILLSTLNVYRMLTRRLLRGHEDSGHVSSSILFMLRDPWPLNVFQNWWRNVVLTVYFGLVFTVPIQEAYRDCYISLKEFYRKQVGTLLLRYVLSIATYLVAFVIFERYLLKYISGRWKLLLRHFGFFTANAVKSSYTVTM
+>sp|O15155|BET1_HUMAN BET1 homolog OS=Homo sapiens OX=9606 GN=BET1 PE=1 SV=1
+MRRAGLGEGVPPGNYGNYGYANSGYSACEEENERLTESLRSKVTAIKSLSIEIGHEVKTQNKLLAEMDSQFDSTTGFLGKTMGKLKILSRGSQTKLLCYMMLFSLFVFFIIYWIIKLR
+>DECOY_sp|O15155|BET1_HUMAN BET1 homolog OS=Homo sapiens OX=9606 GN=BET1 PE=1 SV=1
+RLKIIWYIIFFVFLSFLMMYCLLKTQSGRSLIKLKGMTKGLFGTTSDFQSDMEALLKNQTKVEHGIEISLSKIATVKSRLSETLRENEEECASYGSNAYGYNGYNGPPVGEGLGARRM
+>sp|Q9NZS9|BFAR_HUMAN Bifunctional apoptosis regulator OS=Homo sapiens OX=9606 GN=BFAR PE=1 SV=1
+MEEPQKSYVNTMDLERDEPLKSTGPQISVSEFSCHCCYDILVNPTTLNCGHSFCRHCLALWWASSKKTECPECREKWEGFPKVSILLRDAIEKLFPDAIRLRFEDIQQNNDIVQSLAAFQKYGNDQIPLAPNTGRANQQMGGGFFSGVLTALTGVAVVLLVYHWSSRESEHDLLVHKAVAKWTAEEVVLWLEQLGPWASLYRERFLSERVNGRLLLTLTEEEFSKTPYTIENSSHRRAILMELERVKALGVKPPQNLWEYKAVNPGRSLFLLYALKSSPRLSLLYLYLFDYTDTFLPFIHTICPLQEDSSGEDIVTKLLDLKEPTWKQWREFLVKYSFLPYQLIAEFAWDWLEVHYWTSRFLIINAMLLSVLELFSFWRIWSRSELKTVPQRMWSHFWKVSTQGLFVAMFWPLIPQFVCNCLFYWALYFNPIINIDLVVKELRRLETQVL
+>DECOY_sp|Q9NZS9|BFAR_HUMAN Bifunctional apoptosis regulator OS=Homo sapiens OX=9606 GN=BFAR PE=1 SV=1
+LVQTELRRLEKVVLDINIIPNFYLAWYFLCNCVFQPILPWFMAVFLGQTSVKWFHSWMRQPVTKLESRSWIRWFSFLELVSLLMANIILFRSTWYHVELWDWAFEAILQYPLFSYKVLFERWQKWTPEKLDLLKTVIDEGSSDEQLPCITHIFPLFTDTYDFLYLYLLSLRPSSKLAYLLFLSRGPNVAKYEWLNQPPKVGLAKVRELEMLIARRHSSNEITYPTKSFEEETLTLLLRGNVRESLFRERYLSAWPGLQELWLVVEEATWKAVAKHVLLDHESERSSWHYVLLVVAVGTLATLVGSFFGGGMQQNARGTNPALPIQDNGYKQFAALSQVIDNNQQIDEFRLRIADPFLKEIADRLLISVKPFGEWKERCEPCETKKSSAWWLALCHRCFSHGCNLTTPNVLIDYCCHCSFESVSIQPGTSKLPEDRELDMTNVYSKQPEEM
+>sp|Q15582|BGH3_HUMAN Transforming growth factor-beta-induced protein ig-h3 OS=Homo sapiens OX=9606 GN=TGFBI PE=1 SV=1
+MALFVRLLALALALALGPAATLAGPAKSPYQLVLQHSRLRGRQHGPNVCAVQKVIGTNRKYFTNCKQWYQRKICGKSTVISYECCPGYEKVPGEKGCPAALPLSNLYETLGVVGSTTTQLYTDRTEKLRPEMEGPGSFTIFAPSNEAWASLPAEVLDSLVSNVNIELLNALRYHMVGRRVLTDELKHGMTLTSMYQNSNIQIHHYPNGIVTVNCARLLKADHHATNGVVHLIDKVISTITNNIQQIIEIEDTFETLRAAVAASGLNTMLEGNGQYTLLAPTNEAFEKIPSETLNRILGDPEALRDLLNNHILKSAMCAEAIVAGLSVETLEGTTLEVGCSGDMLTINGKAIISNKDILATNGVIHYIDELLIPDSAKTLFELAAESDVSTAIDLFRQAGLGNHLSGSERLTLLAPLNSVFKDGTPPIDAHTRNLLRNHIIKDQLASKYLYHGQTLETLGGKKLRVFVYRNSLCIENSCIAAHDKRGRYGTLFTMDRVLTPPMGTVMDVLKGDNRFSMLVAAIQSAGLTETLNREGVYTVFAPTNEAFRALPPRERSRLLGDAKELANILKYHIGDEILVSGGIGALVRLKSLQGDKLEVSLKNNVVSVNKEPVAEPDIMATNGVVHVITNVLQPPANRPQERGDELADSALEIFKQASAFSRASQRSVRLAPVYQKLLERMKH
+>DECOY_sp|Q15582|BGH3_HUMAN Transforming growth factor-beta-induced protein ig-h3 OS=Homo sapiens OX=9606 GN=TGFBI PE=1 SV=1
+HKMRELLKQYVPALRVSRQSARSFASAQKFIELASDALEDGREQPRNAPPQLVNTIVHVVGNTAMIDPEAVPEKNVSVVNNKLSVELKDGQLSKLRVLAGIGGSVLIEDGIHYKLINALEKADGLLRSRERPPLARFAENTPAFVTYVGERNLTETLGASQIAAVLMSFRNDGKLVDMVTGMPPTLVRDMTFLTGYRGRKDHAAICSNEICLSNRYVFVRLKKGGLTELTQGHYLYKSALQDKIIHNRLLNRTHADIPPTGDKFVSNLPALLTLRESGSLHNGLGAQRFLDIATSVDSEAALEFLTKASDPILLEDIYHIVGNTALIDKNSIIAKGNITLMDGSCGVELTTGELTEVSLGAVIAEACMASKLIHNNLLDRLAEPDGLIRNLTESPIKEFAENTPALLTYQGNGELMTNLGSAAVAARLTEFTDEIEIIQQINNTITSIVKDILHVVGNTAHHDAKLLRACNVTVIGNPYHHIQINSNQYMSTLTMGHKLEDTLVRRGVMHYRLANLLEINVNSVLSDLVEAPLSAWAENSPAFITFSGPGEMEPRLKETRDTYLQTTTSGVVGLTEYLNSLPLAAPCGKEGPVKEYGPCCEYSIVTSKGCIKRQYWQKCNTFYKRNTGIVKQVACVNPGHQRGRLRSHQLVLQYPSKAPGALTAAPGLALALALALLRVFLAM
+>sp|O14503|BHE40_HUMAN Class E basic helix-loop-helix protein 40 OS=Homo sapiens OX=9606 GN=BHLHE40 PE=1 SV=1
+MERIPSAQPPPACLPKAPGLEHGDLPGMYPAHMYQVYKSRRGIKRSEDSKETYKLPHRLIEKKRRDRINECIAQLKDLLPEHLKLTTLGHLEKAVVLELTLKHVKALTNLIDQQQQKIIALQSGLQAGELSGRNVETGQEMFCSGFQTCAREVLQYLAKHENTRDLKSSQLVTHLHRVVSELLQGGTSRKPSDPAPKVMDFKEKPSSPAKGSEGPGKNCVPVIQRTFAHSSGEQSGSDTDTDSGYGGESEKGDLRSEQPCFKSDHGRRFTMGERIGAIKQESEEPPTKKNRMQLSDDEGHFTSSDLISSPFLGPHPHQPPFCLPFYLIPPSATAYLPMLEKCWYPTSVPVLYPGLNASAAALSSFMNPDKISAPLLMPQRLPSPLPAHPSVDSSVLLQALKPIPPLNLETKD
+>DECOY_sp|O14503|BHE40_HUMAN Class E basic helix-loop-helix protein 40 OS=Homo sapiens OX=9606 GN=BHLHE40 PE=1 SV=1
+DKTELNLPPIPKLAQLLVSSDVSPHAPLPSPLRQPMLLPASIKDPNMFSSLAAASANLGPYLVPVSTPYWCKELMPLYATASPPILYFPLCFPPQHPHPGLFPSSILDSSTFHGEDDSLQMRNKKTPPEESEQKIAGIREGMTFRRGHDSKFCPQESRLDGKESEGGYGSDTDTDSGSQEGSSHAFTRQIVPVCNKGPGESGKAPSSPKEKFDMVKPAPDSPKRSTGGQLLESVVRHLHTVLQSSKLDRTNEHKALYQLVERACTQFGSCFMEQGTEVNRGSLEGAQLGSQLAIIKQQQQDILNTLAKVHKLTLELVVAKELHGLTTLKLHEPLLDKLQAICENIRDRRKKEILRHPLKYTEKSDESRKIGRRSKYVQYMHAPYMGPLDGHELGPAKPLCAPPPQASPIREM
+>sp|Q93088|BHMT1_HUMAN Betaine--homocysteine S-methyltransferase 1 OS=Homo sapiens OX=9606 GN=BHMT PE=1 SV=2
+MPPVGGKKAKKGILERLNAGEIVIGDGGFVFALEKRGYVKAGPWTPEAAVEHPEAVRQLHREFLRAGSNVMQTFTFYASEDKLENRGNYVLEKISGQEVNEAACDIARQVADEGDALVAGGVSQTPSYLSCKSETEVKKVFLQQLEVFMKKNVDFLIAEYFEHVEEAVWAVETLIASGKPVAATMCIGPEGDLHGVPPGECAVRLVKAGASIIGVNCHFDPTISLKTVKLMKEGLEAARLKAHLMSQPLAYHTPDCNKQGFIDLPEFPFGLEPRVATRWDIQKYAREAYNLGVRYIGGCCGFEPYHIRAIAEELAPERGFLPPASEKHGSWGSGLDMHTKPWVRARARKEYWENLRIASGRPYNPSMSKPDGWGVTKGTAELMQQKEATTEQQLKELFEKQKFKSQ
+>DECOY_sp|Q93088|BHMT1_HUMAN Betaine--homocysteine S-methyltransferase 1 OS=Homo sapiens OX=9606 GN=BHMT PE=1 SV=2
+QSKFKQKEFLEKLQQETTAEKQQMLEATGKTVGWGDPKSMSPNYPRGSAIRLNEWYEKRARARVWPKTHMDLGSGWSGHKESAPPLFGREPALEEAIARIHYPEFGCCGGIYRVGLNYAERAYKQIDWRTAVRPELGFPFEPLDIFGQKNCDPTHYALPQSMLHAKLRAAELGEKMLKVTKLSITPDFHCNVGIISAGAKVLRVACEGPPVGHLDGEPGICMTAAVPKGSAILTEVAWVAEEVHEFYEAILFDVNKKMFVELQQLFVKKVETESKCSLYSPTQSVGGAVLADGEDAVQRAIDCAAENVEQGSIKELVYNGRNELKDESAYFTFTQMVNSGARLFERHLQRVAEPHEVAAEPTWPGAKVYGRKELAFVFGGDGIVIEGANLRELIGKKAKKGGVPPM
+>sp|P55061|BI1_HUMAN Bax inhibitor 1 OS=Homo sapiens OX=9606 GN=TMBIM6 PE=1 SV=2
+MNIFDRKINFDALLKFSHITPSTQQHLKKVYASFALCMFVAAAGAYVHMVTHFIQAGLLSALGSLILMIWLMATPHSHETEQKRLGLLAGFAFLTGVGLGPALEFCIAVNPSILPTAFMGTAMIFTCFTLSALYARRRSYLFLGGILMSALSLLLLSSLGNVFFGSIWLFQANLYVGLVVMCGFVLFDTQLIIEKAEHGDQDYIWHCIDLFLDFITVFRKLMMILAMNEKDKKKEKK
+>DECOY_sp|P55061|BI1_HUMAN Bax inhibitor 1 OS=Homo sapiens OX=9606 GN=TMBIM6 PE=1 SV=2
+KKEKKKDKENMALIMMLKRFVTIFDLFLDICHWIYDQDGHEAKEIILQTDFLVFGCMVVLGVYLNAQFLWISGFFVNGLSSLLLLSLASMLIGGLFLYSRRRAYLASLTFCTFIMATGMFATPLISPNVAICFELAPGLGVGTLFAFGALLGLRKQETEHSHPTAMLWIMLILSGLASLLGAQIFHTVMHVYAGAAAVFMCLAFSAYVKKLHQQTSPTIHSFKLLADFNIKRDFINM
+>sp|Q92843|B2CL2_HUMAN Bcl-2-like protein 2 OS=Homo sapiens OX=9606 GN=BCL2L2 PE=1 SV=2
+MATPASAPDTRALVADFVGYKLRQKGYVCGAGPGEGPAADPLHQAMRAAGDEFETRFRRTFSDLAAQLHVTPGSAQQRFTQVSDELFQGGPNWGRLVAFFVFGAALCAESVNKEMEPLVGQVQEWMVAYLETQLADWIHSSGGWAEFTALYGDGALEEARRLREGNWASVRTVLTGAVALGALVTVGAFFASK
+>DECOY_sp|Q92843|B2CL2_HUMAN Bcl-2-like protein 2 OS=Homo sapiens OX=9606 GN=BCL2L2 PE=1 SV=2
+KSAFFAGVTVLAGLAVAGTLVTRVSAWNGERLRRAEELAGDGYLATFEAWGGSSHIWDALQTELYAVMWEQVQGVLPEMEKNVSEACLAAGFVFFAVLRGWNPGGQFLEDSVQTFRQQASGPTVHLQAALDSFTRRFRTEFEDGAARMAQHLPDAAPGEGPGAGCVYGKQRLKYGVFDAVLARTDPASAPTAM
+>sp|O43521|B2L11_HUMAN Bcl-2-like protein 11 OS=Homo sapiens OX=9606 GN=BCL2L11 PE=1 SV=1
+MAKQPSDVSSECDREGRQLQPAERPPQLRPGAPTSLQTEPQGNPEGNHGGEGDSCPHGSPQGPLAPPASPGPFATRSPLFIFMRRSSLLSRSSSGYFSFDTDRSPAPMSCDKSTQTPSPPCQAFNHYLSAMASMRQAEPADMRPEIWIAQELRRIGDEFNAYYARRVFLNNYQAAEDHPRMVILRLLRYIVRLVWRMH
+>DECOY_sp|O43521|B2L11_HUMAN Bcl-2-like protein 11 OS=Homo sapiens OX=9606 GN=BCL2L11 PE=1 SV=1
+HMRWVLRVIYRLLRLIVMRPHDEAAQYNNLFVRRAYYANFEDGIRRLEQAIWIEPRMDAPEAQRMSAMASLYHNFAQCPPSPTQTSKDCSMPAPSRDTDFSFYGSSSRSLLSSRRMFIFLPSRTAFPGPSAPPALPGQPSGHPCSDGEGGHNGEPNGQPETQLSTPAGPRLQPPREAPQLQRGERDCESSVDSPQKAM
+>sp|P02730|B3AT_HUMAN Band 3 anion transport protein OS=Homo sapiens OX=9606 GN=SLC4A1 PE=1 SV=3
+MEELQDDYEDMMEENLEQEEYEDPDIPESQMEEPAAHDTEATATDYHTTSHPGTHKVYVELQELVMDEKNQELRWMEAARWVQLEENLGENGAWGRPHLSHLTFWSLLELRRVFTKGTVLLDLQETSLAGVANQLLDRFIFEDQIRPQDREELLRALLLKHSHAGELEALGGVKPAVLTRSGDPSQPLLPQHSSLETQLFCEQGDGGTEGHSPSGILEKIPPDSEATLVLVGRADFLEQPVLGFVRLQEAAELEAVELPVPIRFLFVLLGPEAPHIDYTQLGRAAATLMSERVFRIDAYMAQSRGELLHSLEGFLDCSLVLPPTDAPSEQALLSLVPVQRELLRRRYQSSPAKPDSSFYKGLDLNGGPDDPLQQTGQLFGGLVRDIRRRYPYYLSDITDAFSPQVLAAVIFIYFAALSPAITFGGLLGEKTRNQMGVSELLISTAVQGILFALLGAQPLLVVGFSGPLLVFEEAFFSFCETNGLEYIVGRVWIGFWLILLVVLVVAFEGSFLVRFISRYTQEIFSFLISLIFIYETFSKLIKIFQDHPLQKTYNYNVLMVPKPQGPLPNTALLSLVLMAGTFFFAMMLRKFKNSSYFPGKLRRVIGDFGVPISILIMVLVDFFIQDTYTQKLSVPDGFKVSNSSARGWVIHPLGLRSEFPIWMMFASALPALLVFILIFLESQITTLIVSKPERKMVKGSGFHLDLLLVVGMGGVAALFGMPWLSATTVRSVTHANALTVMGKASTPGAAAQIQEVKEQRISGLLVAVLVGLSILMEPILSRIPLAVLFGIFLYMGVTSLSGIQLFDRILLLFKPPKYHPDVPYVKRVKTWRMHLFTGIQIICLAVLWVVKSTPASLALPFVLILTVPLRRVLLPLIFRNVELQCLDADDAKATFDEEEGRDEYDEVAMPV
+>DECOY_sp|P02730|B3AT_HUMAN Band 3 anion transport protein OS=Homo sapiens OX=9606 GN=SLC4A1 PE=1 SV=3
+VPMAVEDYEDRGEEEDFTAKADDADLCQLEVNRFILPLLVRRLPVTLILVFPLALSAPTSKVVWLVALCIIQIGTFLHMRWTKVRKVYPVDPHYKPPKFLLLIRDFLQIGSLSTVGMYLFIGFLVALPIRSLIPEMLISLGVLVAVLLGSIRQEKVEQIQAAAGPTSAKGMVTLANAHTVSRVTTASLWPMGFLAAVGGMGVVLLLDLHFGSGKVMKREPKSVILTTIQSELFILIFVLLAPLASAFMMWIPFESRLGLPHIVWGRASSNSVKFGDPVSLKQTYTDQIFFDVLVMILISIPVGFDGIVRRLKGPFYSSNKFKRLMMAFFFTGAMLVLSLLATNPLPGQPKPVMLVNYNYTKQLPHDQFIKILKSFTEYIFILSILFSFIEQTYRSIFRVLFSGEFAVVLVVLLILWFGIWVRGVIYELGNTECFSFFAEEFVLLPGSFGVVLLPQAGLLAFLIGQVATSILLESVGMQNRTKEGLLGGFTIAPSLAAFYIFIVAALVQPSFADTIDSLYYPYRRRIDRVLGGFLQGTQQLPDDPGGNLDLGKYFSSDPKAPSSQYRRRLLERQVPVLSLLAQESPADTPPLVLSCDLFGELSHLLEGRSQAMYADIRFVRESMLTAAARGLQTYDIHPAEPGLLVFLFRIPVPLEVAELEAAEQLRVFGLVPQELFDARGVLVLTAESDPPIKELIGSPSHGETGGDGQECFLQTELSSHQPLLPQSPDGSRTLVAPKVGGLAELEGAHSHKLLLARLLEERDQPRIQDEFIFRDLLQNAVGALSTEQLDLLVTGKTFVRRLELLSWFTLHSLHPRGWAGNEGLNEELQVWRAAEMWRLEQNKEDMVLEQLEVYVKHTGPHSTTHYDTATAETDHAAPEEMQSEPIDPDEYEEQELNEEMMDEYDDQLEEM
+>sp|O75752|B3GL1_HUMAN UDP-GalNAc:beta-1,3-N-acetylgalactosaminyltransferase 1 OS=Homo sapiens OX=9606 GN=B3GALNT1 PE=1 SV=1
+MASALWTVLPSRMSLRSLKWSLLLLSLLSFFVMWYLSLPHYNVIERVNWMYFYEYEPIYRQDFHFTLREHSNCSHQNPFLVILVTSHPSDVKARQAIRVTWGEKKSWWGYEVLTFFLLGQEAEKEDKMLALSLEDEHLLYGDIIRQDFLDTYNNLTLKTIMAFRWVTEFCPNAKYVMKTDTDVFINTGNLVKYLLNLNHSEKFFTGYPLIDNYSYRGFYQKTHISYQEYPFKVFPPYCSGLGYIMSRDLVPRIYEMMGHVKPIKFEDVYVGICLNLLKVNIHIPEDTNLFFLYRIHLDVCQLRRVIAAHGFSSKEIITFWQVMLRNTTCHY
+>DECOY_sp|O75752|B3GL1_HUMAN UDP-GalNAc:beta-1,3-N-acetylgalactosaminyltransferase 1 OS=Homo sapiens OX=9606 GN=B3GALNT1 PE=1 SV=1
+YHCTTNRLMVQWFTIIEKSSFGHAAIVRRLQCVDLHIRYLFFLNTDEPIHINVKLLNLCIGVYVDEFKIPKVHGMMEYIRPVLDRSMIYGLGSCYPPFVKFPYEQYSIHTKQYFGRYSYNDILPYGTFFKESHNLNLLYKVLNGTNIFVDTDTKMVYKANPCFETVWRFAMITKLTLNNYTDLFDQRIIDGYLLHEDELSLALMKDEKEAEQGLLFFTLVEYGWWSKKEGWTVRIAQRAKVDSPHSTVLIVLFPNQHSCNSHERLTFHFDQRYIPEYEYFYMWNVREIVNYHPLSLYWMVFFSLLSLLLLSWKLSRLSMRSPLVTWLASAM
+>sp|Q8NCR0|B3GL2_HUMAN UDP-GalNAc:beta-1,3-N-acetylgalactosaminyltransferase 2 OS=Homo sapiens OX=9606 GN=B3GALNT2 PE=1 SV=1
+MRNWLVLLCPCVLGAALHLWLRLRSPPPACASGAGPADQLALFPQWKSTHYDVVVGVLSARNNHELRNVIRSTWMRHLLQHPTLSQRVLVKFIIGAHGCEVPVEDREDPYSCKLLNITNPVLNQEIEAFSLSEDTSSGLPEDRVVSVSFRVLYPIVITSLGVFYDANDVGFQRNITVKLYQAEQEEALFIARFSPPSCGVQVNKLWYKPVEQFILPESFEGTIVWESQDLHGLVSRNLHKVTVNDGGGVLRVITAGEGALPHEFLEGVEGVAGGFIYTIQEGDALLHNLHSRPQRLIDHIRNLHEEDALLKEESSIYDDIVFVDVVDTYRNVPAKLLNFYRWTVETTSFNLLLKTDDDCYIDLEAVFNRIVQKNLDGPNFWWGNFRLNWAVDRTGKWQELEYPSPAYPAFACGSGYVISKDIVKWLASNSGRLKTYQGEDVSMGIWMAAIGPKRYQDSLWLCEKTCETGMLSSPQYSPWELTELWKLKERCGDPCRCQAR
+>DECOY_sp|Q8NCR0|B3GL2_HUMAN UDP-GalNAc:beta-1,3-N-acetylgalactosaminyltransferase 2 OS=Homo sapiens OX=9606 GN=B3GALNT2 PE=1 SV=1
+RAQCRCPDGCREKLKWLETLEWPSYQPSSLMGTECTKECLWLSDQYRKPGIAAMWIGMSVDEGQYTKLRGSNSALWKVIDKSIVYGSGCAFAPYAPSPYELEQWKGTRDVAWNLRFNGWWFNPGDLNKQVIRNFVAELDIYCDDDTKLLLNFSTTEVTWRYFNLLKAPVNRYTDVVDVFVIDDYISSEEKLLADEEHLNRIHDILRQPRSHLNHLLADGEQITYIFGGAVGEVGELFEHPLAGEGATIVRLVGGGDNVTVKHLNRSVLGHLDQSEWVITGEFSEPLIFQEVPKYWLKNVQVGCSPPSFRAIFLAEEQEAQYLKVTINRQFGVDNADYFVGLSTIVIPYLVRFSVSVVRDEPLGSSTDESLSFAEIEQNLVPNTINLLKCSYPDERDEVPVECGHAGIIFKVLVRQSLTPHQLLHRMWTSRIVNRLEHNNRASLVGVVVDYHTSKWQPFLALQDAPGAGSACAPPPSRLRLWLHLAAGLVCPCLLVLWNRM
+>sp|Q6Y288|B3GLT_HUMAN Beta-1,3-glucosyltransferase OS=Homo sapiens OX=9606 GN=B3GLCT PE=1 SV=2
+MRPPACWWLLAPPALLALLTCSLAFGLASEDTKKEVKQSQDLEKSGISRKNDIDLKGIVFVIQSQSNSFHAKRAEQLKKSILKQAADLTQELPSVLLLHQLAKQEGAWTILPLLPHFSVTYSRNSSWIFFCEEETRIQIPKLLETLRRYDPSKEWFLGKALHDEEATIIHHYAFSENPTVFKYPDFAAGWALSIPLVNKLTKRLKSESLKSDFTIDLKHEIALYIWDKGGGPPLTPVPEFCTNDVDFYCATTFHSFLPLCRKPVKKKDIFVAVKTCKKFHGDRIPIVKQTWESQASLIEYYSDYTENSIPTVDLGIPNTDRGHCGKTFAILERFLNRSQDKTAWLVIVDDDTLISISRLQHLLSCYDSGEPVFLGERYGYGLGTGGYSYITGGGGMVFSREAVRRLLASKCRCYSNDAPDDMVLGMCFSGLGIPVTHSPLFHQARPVDYPKDYLSHQVPISFHKHWNIDPVKVYFTWLAPSDEDKARQETQKGFREEL
+>DECOY_sp|Q6Y288|B3GLT_HUMAN Beta-1,3-glucosyltransferase OS=Homo sapiens OX=9606 GN=B3GLCT PE=1 SV=2
+LEERFGKQTEQRAKDEDSPALWTFYVKVPDINWHKHFSIPVQHSLYDKPYDVPRAQHFLPSHTVPIGLGSFCMGLVMDDPADNSYCRCKSALLRRVAERSFVMGGGGTIYSYGGTGLGYGYREGLFVPEGSDYCSLLHQLRSISILTDDDVIVLWATKDQSRNLFRELIAFTKGCHGRDTNPIGLDVTPISNETYDSYYEILSAQSEWTQKVIPIRDGHFKKCTKVAVFIDKKKVPKRCLPLFSHFTTACYFDVDNTCFEPVPTLPPGGGKDWIYLAIEHKLDITFDSKLSESKLRKTLKNVLPISLAWGAAFDPYKFVTPNESFAYHHIITAEEDHLAKGLFWEKSPDYRRLTELLKPIQIRTEEECFFIWSSNRSYTVSFHPLLPLITWAGEQKALQHLLLVSPLEQTLDAAQKLISKKLQEARKAHFSNSQSQIVFVIGKLDIDNKRSIGSKELDQSQKVEKKTDESALGFALSCTLLALLAPPALLWWCAPPRM
+>sp|Q9NY97|B3GN2_HUMAN N-acetyllactosaminide beta-1,3-N-acetylglucosaminyltransferase 2 OS=Homo sapiens OX=9606 GN=B3GNT2 PE=1 SV=2
+MSVGRRRIKLLGILMMANVFIYFIMEVSKSSSQEKNGKGEVIIPKEKFWKISTPPEAYWNREQEKLNRQYNPILSMLTNQTGEAGRLSNISHLNYCEPDLRVTSVVTGFNNLPDRFKDFLLYLRCRNYSLLIDQPDKCAKKPFLLLAIKSLTPHFARRQAIRESWGQESNAGNQTVVRVFLLGQTPPEDNHPDLSDMLKFESEKHQDILMWNYRDTFFNLSLKEVLFLRWVSTSCPDTEFVFKGDDDVFVNTHHILNYLNSLSKTKAKDLFIGDVIHNAGPHRDKKLKYYIPEVVYSGLYPPYAGGGGFLYSGHLALRLYHITDQVHLYPIDDVYTGMCLQKLGLVPEKHKGFRTFDIEEKNKNNICSYVDLMLVHSRKPQEMIDIWSQLQSAHLKC
+>DECOY_sp|Q9NY97|B3GN2_HUMAN N-acetyllactosaminide beta-1,3-N-acetylglucosaminyltransferase 2 OS=Homo sapiens OX=9606 GN=B3GNT2 PE=1 SV=2
+CKLHASQLQSWIDIMEQPKRSHVLMLDVYSCINNKNKEEIDFTRFGKHKEPVLGLKQLCMGTYVDDIPYLHVQDTIHYLRLALHGSYLFGGGGAYPPYLGSYVVEPIYYKLKKDRHPGANHIVDGIFLDKAKTKSLSNLYNLIHHTNVFVDDDGKFVFETDPCSTSVWRLFLVEKLSLNFFTDRYNWMLIDQHKESEFKLMDSLDPHNDEPPTQGLLFVRVVTQNGANSEQGWSERIAQRRAFHPTLSKIALLLFPKKACKDPQDILLSYNRCRLYLLFDKFRDPLNNFGTVVSTVRLDPECYNLHSINSLRGAEGTQNTLMSLIPNYQRNLKEQERNWYAEPPTSIKWFKEKPIIVEGKGNKEQSSSKSVEMIFYIFVNAMMLIGLLKIRRRGVSM
+>sp|Q9BYG0|B3GN5_HUMAN Lactosylceramide 1,3-N-acetyl-beta-D-glucosaminyltransferase OS=Homo sapiens OX=9606 GN=B3GNT5 PE=1 SV=1
+MRMLVSGRRVKKWQLIIQLFATCFLASLMFFWEPIDNHIVSHMKSYSYRYLINSYDFVNDTLSLKHTSAGPRYQYLINHKEKCQAQDVLLLLFVKTAPENYDRRSGIRRTWGNENYVRSQLNANIKTLFALGTPNPLEGEELQRKLAWEDQRYNDIIQQDFVDSFYNLTLKLLMQFSWANTYCPHAKFLMTADDDIFIHMPNLIEYLQSLEQIGVQDFWIGRVHRGAPPIRDKSSKYYVSYEMYQWPAYPDYTAGAAYVISGDVAAKVYEASQTLNSSLYIDDVFMGLCANKIGIVPQDHVFFSGEGKTPYHPCIYEKMMTSHGHLEDLQDLWKNATDPKVKTISKGFFGQIYCRLMKIILLCKISYVDTYPCRAAFI
+>DECOY_sp|Q9BYG0|B3GN5_HUMAN Lactosylceramide 1,3-N-acetyl-beta-D-glucosaminyltransferase OS=Homo sapiens OX=9606 GN=B3GNT5 PE=1 SV=1
+IFAARCPYTDVYSIKCLLIIKMLRCYIQGFFGKSITKVKPDTANKWLDQLDELHGHSTMMKEYICPHYPTKGEGSFFVHDQPVIGIKNACLGMFVDDIYLSSNLTQSAEYVKAAVDGSIVYAAGATYDPYAPWQYMEYSVYYKSSKDRIPPAGRHVRGIWFDQVGIQELSQLYEILNPMHIFIDDDATMLFKAHPCYTNAWSFQMLLKLTLNYFSDVFDQQIIDNYRQDEWALKRQLEEGELPNPTGLAFLTKINANLQSRVYNENGWTRRIGSRRDYNEPATKVFLLLLVDQAQCKEKHNILYQYRPGASTHKLSLTDNVFDYSNILYRYSYSKMHSVIHNDIPEWFFMLSALFCTAFLQIILQWKKVRRGSVLMRM
+>sp|Q6ZMB0|B3GN6_HUMAN Acetylgalactosaminyl-O-glycosyl-glycoprotein beta-1,3-N-acetylglucosaminyltransferase OS=Homo sapiens OX=9606 GN=B3GNT6 PE=1 SV=2
+MAFPCRRSLTAKTLACLLVGVSFLALQQWFLQAPRSPREERSPQEETPEGPTDAPAADEPPSELVPGPPCVANASANATADFEQLPARIQDFLRYRHCRHFPLLWDAPAKCAGGRGVFLLLAVKSAPEHYERRELIRRTWGQERSYGGRPVRRLFLLGTPGPEDEARAERLAELVALEAREHGDVLQWAFADTFLNLTLKHLHLLDWLAARCPHARFLLSGDDDVFVHTANVVRFLQAQPPGRHLFSGQLMEGSVPIRDSWSKYFVPPQLFPGSAYPVYCSGGGFLLSGPTARALRAAARHTPLFPIDDAYMGMCLERAGLAPSGHEGIRPFGVQLPGAQQSSFDPCMYRELLLVHRFAPYEMLLMWKALHSPALSCDRGHRVS
+>DECOY_sp|Q6ZMB0|B3GN6_HUMAN Acetylgalactosaminyl-O-glycosyl-glycoprotein beta-1,3-N-acetylglucosaminyltransferase OS=Homo sapiens OX=9606 GN=B3GNT6 PE=1 SV=2
+SVRHGRDCSLAPSHLAKWMLLMEYPAFRHVLLLERYMCPDFSSQQAGPLQVGFPRIGEHGSPALGARELCMGMYADDIPFLPTHRAAARLARATPGSLLFGGGSCYVPYASGPFLQPPVFYKSWSDRIPVSGEMLQGSFLHRGPPQAQLFRVVNATHVFVDDDGSLLFRAHPCRAALWDLLHLHKLTLNLFTDAFAWQLVDGHERAELAVLEALREARAEDEPGPTGLLFLRRVPRGGYSREQGWTRRILERREYHEPASKVALLLFVGRGGACKAPADWLLPFHRCHRYRLFDQIRAPLQEFDATANASANAVCPPGPVLESPPEDAAPADTPGEPTEEQPSREERPSRPAQLFWQQLALFSVGVLLCALTKATLSRRCPFAM
+>sp|Q67FW5|B3GNL_HUMAN UDP-GlcNAc:betaGal beta-1,3-N-acetylglucosaminyltransferase-like protein 1 OS=Homo sapiens OX=9606 GN=B3GNTL1 PE=2 SV=2
+MSGAGVGGASEESQAMQAHVSIILPVHNAEPWLDECLRSVLQQDFEGTMELSVFNDASKDKSGAIIEKWRVKLEDSGVHVIIGGHDSPSPRGVGYAKNQAVAQSSGSYLCFLDSDDVMMPQRVRLQHEAAVQHPSSIIGCRVRRDPPNSTERYTRWINQLTPEQLLTQVFTSNGPTVIMPTWFCSRAWFSHVGPFNEGGQGVPEDLLFFYEHLRKGGGVIRVDQSLLLYRHHPQAATHCVLETTIWTHRVRFLEEQALPRWAAFTIWNAGKQGRRLYRSLTAGSQRKVVAFCDVDENKIRKGFYCHEDSQERPKPRIPILHFRAARPPFVICVKLDLTGGAFEDNLRSLHLQEGQDFLHFS
+>DECOY_sp|Q67FW5|B3GNL_HUMAN UDP-GlcNAc:betaGal beta-1,3-N-acetylglucosaminyltransferase-like protein 1 OS=Homo sapiens OX=9606 GN=B3GNTL1 PE=2 SV=2
+SFHLFDQGEQLHLSRLNDEFAGGTLDLKVCIVFPPRAARFHLIPIRPKPREQSDEHCYFGKRIKNEDVDCFAVVKRQSGATLSRYLRRGQKGANWITFAAWRPLAQEELFRVRHTWITTELVCHTAAQPHHRYLLLSQDVRIVGGGKRLHEYFFLLDEPVGQGGENFPGVHSFWARSCFWTPMIVTPGNSTFVQTLLQEPTLQNIWRTYRETSNPPDRRVRCGIISSPHQVAAEHQLRVRQPMMVDDSDLFCLYSGSSQAVAQNKAYGVGRPSPSDHGGIIVHVGSDELKVRWKEIIAGSKDKSADNFVSLEMTGEFDQQLVSRLCEDLWPEANHVPLIISVHAQMAQSEESAGGVGAGSM
+>sp|O43825|B3GT2_HUMAN Beta-1,3-galactosyltransferase 2 OS=Homo sapiens OX=9606 GN=B3GALT2 PE=1 SV=1
+MLQWRRRHCCFAKMTWNAKRSLFRTHLIGVLSLVFLFAMFLFFNHHDWLPGRAGFKENPVTYTFRGFRSTKSETNHSSLRNIWKETVPQTLRPQTATNSNNTDLSPQGVTGLENTLSANGSIYNEKGTGHPNSYHFKYIINEPEKCQEKSPFLILLIAAEPGQIEARRAIRQTWGNESLAPGIQITRIFLLGLSIKLNGYLQRAILEESRQYHDIIQQEYLDTYYNLTIKTLMGMNWVATYCPHIPYVMKTDSDMFVNTEYLINKLLKPDLPPRHNYFTGYLMRGYAPNRNKDSKWYMPPDLYPSERYPVFCSGTGYVFSGDLAEKIFKVSLGIRRLHLEDVYVGICLAKLRIDPVPPPNEFVFNHWRVSYSSCKYSHLITSHQFQPSELIKYWNHLQQNKHNACANAAKEKAGRYRHRKLH
+>DECOY_sp|O43825|B3GT2_HUMAN Beta-1,3-galactosyltransferase 2 OS=Homo sapiens OX=9606 GN=B3GALT2 PE=1 SV=1
+HLKRHRYRGAKEKAANACANHKNQQLHNWYKILESPQFQHSTILHSYKCSSYSVRWHNFVFENPPPVPDIRLKALCIGVYVDELHLRRIGLSVKFIKEALDGSFVYGTGSCFVPYRESPYLDPPMYWKSDKNRNPAYGRMLYGTFYNHRPPLDPKLLKNILYETNVFMDSDTKMVYPIHPCYTAVWNMGMLTKITLNYYTDLYEQQIIDHYQRSEELIARQLYGNLKISLGLLFIRTIQIGPALSENGWTQRIARRAEIQGPEAAILLILFPSKEQCKEPENIIYKFHYSNPHGTGKENYISGNASLTNELGTVGQPSLDTNNSNTATQPRLTQPVTEKWINRLSSHNTESKTSRFGRFTYTVPNEKFGARGPLWDHHNFFLFMAFLFVLSLVGILHTRFLSRKANWTMKAFCCHRRRWQLM
+>sp|Q13072|BAGE1_HUMAN B melanoma antigen 1 OS=Homo sapiens OX=9606 GN=BAGE PE=2 SV=1
+MAARAVFLALSAQLLQARLMKEESPVVSWRLEPEDGTALCFIF
+>DECOY_sp|Q13072|BAGE1_HUMAN B melanoma antigen 1 OS=Homo sapiens OX=9606 GN=BAGE PE=2 SV=1
+FIFCLATGDEPELRWSVVPSEEKMLRAQLLQASLALFVARAAM
+>sp|Q8N9N5|BANP_HUMAN Protein BANP OS=Homo sapiens OX=9606 GN=BANP PE=1 SV=3
+MMSEHDLADVVQIAVEDLSPDHPVVLENHVVTDEDEPALKRQRLEINCQDPSIKTICLRLDSIEAKLQALEATCKSLEEKLDLVTNKQHSPIQVPMVAGSPLGATQTCNKVRCVVPQTTVILNNDRQNAIVAKMEDPLSNRAPDSLENVISNAVPGRRQNTIVVKVPGQEDSHHEDGESGSEASDSVSSCGQAGSQSIGSNVTLITLNSEEDYPNGTWLGDENNPEMRVRCAIIPSDMLHISTNCRTAEKMALTLLDYLFHREVQAVSNLSGQGKHGKKQLDPLTIYGIRCHLFYKFGITESDWYRIKQSIDSKCRTAWRRKQRGQSLAVKSFSRRTPNSSSYCPSEPMMSTPPPASELPQPQPQPQALHYALANAQQVQIHQIGEDGQVQVGHLHIAQVPQGEQVQITQDSEGNLQIHHVGQDGQLLEATRIPCLLAPSVFKASSGQVLQGAQLIAVASSDPAAAGVDGSPLQGSDIQVQYVQLAPVSDHTAGAQTAEALQPTLQPEMQLEHGAIQIQ
+>DECOY_sp|Q8N9N5|BANP_HUMAN Protein BANP OS=Homo sapiens OX=9606 GN=BANP PE=1 SV=3
+QIQIAGHELQMEPQLTPQLAEATQAGATHDSVPALQVYQVQIDSGQLPSGDVGAAAPDSSAVAILQAGQLVQGSSAKFVSPALLCPIRTAELLQGDQGVHHIQLNGESDQTIQVQEGQPVQAIHLHGVQVQGDEGIQHIQVQQANALAYHLAQPQPQPQPLESAPPPTSMMPESPCYSSSNPTRRSFSKVALSQGRQKRRWATRCKSDISQKIRYWDSETIGFKYFLHCRIGYITLPDLQKKGHKGQGSLNSVAQVERHFLYDLLTLAMKEATRCNTSIHLMDSPIIACRVRMEPNNEDGLWTGNPYDEESNLTILTVNSGISQSGAQGCSSVSDSAESGSEGDEHHSDEQGPVKVVITNQRRGPVANSIVNELSDPARNSLPDEMKAVIANQRDNNLIVTTQPVVCRVKNCTQTAGLPSGAVMPVQIPSHQKNTVLDLKEELSKCTAELAQLKAEISDLRLCITKISPDQCNIELRQRKLAPEDEDTVVHNELVVPHDPSLDEVAIQVVDALDHESMM
+>sp|Q9UHQ4|BAP29_HUMAN B-cell receptor-associated protein 29 OS=Homo sapiens OX=9606 GN=BCAP29 PE=1 SV=2
+MTLQWAAVATFLYAEIGLILIFCLPFIPPQRWQKIFSFNVWGKIATFWNKAFLTIIILLIVLFLDAVREVRKYSSVHTIEKSSTSRPDAYEHTQMKLFRSQRNLYISGFSLFFWLVLRRLVTLITQLAKELSNKGVLKTQAENTNKAAKKFMEENEKLKRILKSHGKDEECVLEAENKKLVEDQEKLKTELRKTSDALSKAQNDVMEMKMQSERLSKEYDQLLKEHSELQDRLERGNKKRL
+>DECOY_sp|Q9UHQ4|BAP29_HUMAN B-cell receptor-associated protein 29 OS=Homo sapiens OX=9606 GN=BCAP29 PE=1 SV=2
+LRKKNGRELRDQLESHEKLLQDYEKSLRESQMKMEMVDNQAKSLADSTKRLETKLKEQDEVLKKNEAELVCEEDKGHSKLIRKLKENEEMFKKAAKNTNEAQTKLVGKNSLEKALQTILTVLRRLVLWFFLSFGSIYLNRQSRFLKMQTHEYADPRSTSSKEITHVSSYKRVERVADLFLVILLIIITLFAKNWFTAIKGWVNFSFIKQWRQPPIFPLCFILILGIEAYLFTAVAAWQLTM
+>sp|Q9HBU1|BARX1_HUMAN Homeobox protein BarH-like 1 OS=Homo sapiens OX=9606 GN=BARX1 PE=1 SV=2
+MQRPGEPGAARFGPPEGCADHRPHRYRSFMIEEILTEPPGPKGAAPAAAAAAAGELLKFGVQALLAARPFHSHLAVLKAEQAAVFKFPLAPLGCSGLSSALLAAGPGLPGAAGAPHLPLELQLRGKLEAAGPGEPGTKAKKGRRSRTVFTELQLMGLEKRFEKQKYLSTPDRIDLAESLGLSQLQVKTWYQNRRMKWKKIVLQGGGLESPTKPKGRPKKNSIPTSEQLTEQERAKDAEKPAEVPGEPSDRSRED
+>DECOY_sp|Q9HBU1|BARX1_HUMAN Homeobox protein BarH-like 1 OS=Homo sapiens OX=9606 GN=BARX1 PE=1 SV=2
+DERSRDSPEGPVEAPKEADKAREQETLQESTPISNKKPRGKPKTPSELGGGQLVIKKWKMRRNQYWTKVQLQSLGLSEALDIRDPTSLYKQKEFRKELGMLQLETFVTRSRRGKKAKTGPEGPGAAELKGRLQLELPLHPAGAAGPLGPGAALLASSLGSCGLPALPFKFVAAQEAKLVALHSHFPRAALLAQVGFKLLEGAAAAAAAPAAGKPGPPETLIEEIMFSRYRHPRHDACGEPPGFRAAGPEGPRQM
+>sp|A8MTZ0|BBIP1_HUMAN BBSome-interacting protein 1 OS=Homo sapiens OX=9606 GN=BBIP1 PE=1 SV=2
+MLKAAAKRPELSGKNTISNNSDMAEVKSMFREVLPKQGPLFVEDIMTMVLCKPKLLPLKSLTLEKLEKMHQAAQNTIRQQEMAEKDQRQITH
+>DECOY_sp|A8MTZ0|BBIP1_HUMAN BBSome-interacting protein 1 OS=Homo sapiens OX=9606 GN=BBIP1 PE=1 SV=2
+HTIQRQDKEAMEQQRITNQAAQHMKELKELTLSKLPLLKPKCLVMTMIDEVFLPGQKPLVERFMSKVEAMDSNNSITNKGSLEPRKAAAKLM
+>sp|Q8ND07|BBOF1_HUMAN Basal body-orientation factor 1 OS=Homo sapiens OX=9606 GN=BBOF1 PE=2 SV=3
+MPSKGKDKKKGKSKGKDTKKLIKTDESVVDRAKANASLWEARLEVTELSRIKYRDTSRILAKSNEDLKKKQCKMEKDIMSVLSYLKKQDQEKDNMIEKLKQQLNETKEKAQEEKDKLEQKYTRQINELEGQFHQKAKEIGMIHTELKAVRQFQKRKIQVERELDDLKENLRNTERIHQETLRRLESRFFEEKHRLEQEAEKKIIMLAERAHHEAIVQLNDAGRNVFKENDYLQKALAYHLKETDALQKNSQKLQESHTLLLHQKEINDLLVKEKIMQLVQQRSQIQTLQKKVVNLETALSYMTKEFESEVLKLQQHAMIENQAGQVEIDKLQHLLQMKDREMNRVKKLAKNILDERTEVERFFLDALHQVKQQILISRKHYKQIAQAAFNLKMRAACTGRTEYPKIRTFDGREHSTNSVNQDLLEAEKWTHIEGNVDIGDLTWEQKEKVLRLLFAKMNGCPSRKYNQSSRPPVPDYVVSDSGETKEFGDESKLQDKIFITQQIAISDSSGEVVLPTIPKEPQESDTGTF
+>DECOY_sp|Q8ND07|BBOF1_HUMAN Basal body-orientation factor 1 OS=Homo sapiens OX=9606 GN=BBOF1 PE=2 SV=3
+FTGTDSEQPEKPITPLVVEGSSDSIAIQQTIFIKDQLKSEDGFEKTEGSDSVVYDPVPPRSSQNYKRSPCGNMKAFLLRLVKEKQEWTLDGIDVNGEIHTWKEAELLDQNVSNTSHERGDFTRIKPYETRGTCAARMKLNFAAQAIQKYHKRSILIQQKVQHLADLFFREVETREDLINKALKKVRNMERDKMQLLHQLKDIEVQGAQNEIMAHQQLKLVESEFEKTMYSLATELNVVKKQLTQIQSRQQVLQMIKEKVLLDNIEKQHLLLTHSEQLKQSNKQLADTEKLHYALAKQLYDNEKFVNRGADNLQVIAEHHAREALMIIKKEAEQELRHKEEFFRSELRRLTEQHIRETNRLNEKLDDLEREVQIKRKQFQRVAKLETHIMGIEKAKQHFQGELENIQRTYKQELKDKEEQAKEKTENLQQKLKEIMNDKEQDQKKLYSLVSMIDKEMKCQKKKLDENSKALIRSTDRYKIRSLETVELRAEWLSANAKARDVVSEDTKILKKTDKGKSKGKKKDKGKSPM
+>sp|Q8N3I7|BBS5_HUMAN Bardet-Biedl syndrome 5 protein OS=Homo sapiens OX=9606 GN=BBS5 PE=1 SV=1
+MSVLDALWEDRDVRFDLSAQQMKTRPGEVLIDCLDSIEDTKGNNGDRGRLLVTNLRILWHSLALSRVNVSVGYNCILNITTRTANSKLRGQTEALYILTKCNSTRFEFIFTNLVPGSPRLFTSVMAVHRAYETSKMYRDFKLRSALIQNKQLRLLPQEHVYDKINGVWNLSSDQGNLGTFFITNVRIVWHANMNDSFNVSIPYLQIRSIKIRDSKFGLALVIESSQQSGGYVLGFKIDPVEKLQESVKEINSLHKVYSASPIFGVDYEMEEKPQPLEALTVEQIQDDVEIDSDGHTDAFVAYFADGNKQQDREPVFSEELGLAIEKLKDGFTLQGLWEVMS
+>DECOY_sp|Q8N3I7|BBS5_HUMAN Bardet-Biedl syndrome 5 protein OS=Homo sapiens OX=9606 GN=BBS5 PE=1 SV=1
+SMVEWLGQLTFGDKLKEIALGLEESFVPERDQQKNGDAFYAVFADTHGDSDIEVDDQIQEVTLAELPQPKEEMEYDVGFIPSASYVKHLSNIEKVSEQLKEVPDIKFGLVYGGSQQSSEIVLALGFKSDRIKISRIQLYPISVNFSDNMNAHWVIRVNTIFFTGLNGQDSSLNWVGNIKDYVHEQPLLRLQKNQILASRLKFDRYMKSTEYARHVAMVSTFLRPSGPVLNTFIFEFRTSNCKTLIYLAETQGRLKSNATRTTINLICNYGVSVNVRSLALSHWLIRLNTVLLRGRDGNNGKTDEISDLCDILVEGPRTKMQQASLDFRVDRDEWLADLVSM
+>sp|P56945|BCAR1_HUMAN Breast cancer anti-estrogen resistance protein 1 OS=Homo sapiens OX=9606 GN=BCAR1 PE=1 SV=2
+MNHLNVLAKALYDNVAESPDELSFRKGDIMTVLEQDTQGLDGWWLCSLHGRQGIVPGNRLKILVGMYDKKPAGPGPGPPATPAQPQPGLHAPAPPASQYTPMLPNTYQPQPDSVYLVPTPSKAQQGLYQVPGPSPQFQSPPAKQTSTFSKQTPHHPFPSPATDLYQVPPGPGGPAQDIYQVPPSAGMGHDIYQVPPSMDTRSWEGTKPPAKVVVPTRVGQGYVYEAAQPEQDEYDIPRHLLAPGPQDIYDVPPVRGLLPSQYGQEVYDTPPMAVKGPNGRDPLLEVYDVPPSVEKGLPPSNHHAVYDVPPSVSKDVPDGPLLREETYDVPPAFAKAKPFDPARTPLVLAAPPPDSPPAEDVYDVPPPAPDLYDVPPGLRRPGPGTLYDVPRERVLPPEVADGGVVDSGVYAVPPPAEREAPAEGKRLSASSTGSTRSSQSASSLEVAGPGREPLELEVAVEALARLQQGVSATVAHLLDLAGSAGATGSWRSPSEPQEPLVQDLQAAVAAVQSAVHELLEFARSAVGNAAHTSDRALHAKLSRQLQKMEDVHQTLVAHGQALDAGRGGSGATLEDLDRLVACSRAVPEDAKQLASFLHGNASLLFRRTKATAPGPEGGGTLHPNPTDKTSSIQSRPLPSPPKFTSQDSPDGQYENSEGGWMEDYDYVHLQGKEEFEKTQKELLEKGSITRQGKSQLELQQLKQFERLEQEVSRPIDHDLANWTPAQPLAPGRTGGLGPSDRQLLLFYLEQCEANLTTLTNAVDAFFTAVATNQPPKIFVAHSKFVILSAHKLVFIGDTLSRQAKAADVRSQVTHYSNLLCDLLRGIVATTKAAALQYPSPSAAQDMVERVKELGHSTQQFRRVLGQLAAA
+>DECOY_sp|P56945|BCAR1_HUMAN Breast cancer anti-estrogen resistance protein 1 OS=Homo sapiens OX=9606 GN=BCAR1 PE=1 SV=2
+AAALQGLVRRFQQTSHGLEKVREVMDQAASPSPYQLAAAKTTAVIGRLLDCLLNSYHTVQSRVDAAKAQRSLTDGIFVLKHASLIVFKSHAVFIKPPQNTAVATFFADVANTLTTLNAECQELYFLLLQRDSPGLGGTRGPALPQAPTWNALDHDIPRSVEQELREFQKLQQLELQSKGQRTISGKELLEKQTKEFEEKGQLHVYDYDEMWGGESNEYQGDPSDQSTFKPPSPLPRSQISSTKDTPNPHLTGGGEPGPATAKTRRFLLSANGHLFSALQKADEPVARSCAVLRDLDELTAGSGGRGADLAQGHAVLTQHVDEMKQLQRSLKAHLARDSTHAANGVASRAFELLEHVASQVAAVAAQLDQVLPEQPESPSRWSGTAGASGALDLLHAVTASVGQQLRALAEVAVELELPERGPGAVELSSASQSSRTSGTSSASLRKGEAPAEREAPPPVAYVGSDVVGGDAVEPPLVRERPVDYLTGPGPRRLGPPVDYLDPAPPPVDYVDEAPPSDPPPAALVLPTRAPDFPKAKAFAPPVDYTEERLLPGDPVDKSVSPPVDYVAHHNSPPLGKEVSPPVDYVELLPDRGNPGKVAMPPTDYVEQGYQSPLLGRVPPVDYIDQPGPALLHRPIDYEDQEPQAAEYVYGQGVRTPVVVKAPPKTGEWSRTDMSPPVQYIDHGMGASPPVQYIDQAPGGPGPPVQYLDTAPSPFPHHPTQKSFTSTQKAPPSQFQPSPGPVQYLGQQAKSPTPVLYVSDPQPQYTNPLMPTYQSAPPAPAHLGPQPQAPTAPPGPGPGAPKKDYMGVLIKLRNGPVIGQRGHLSCLWWGDLGQTDQELVTMIDGKRFSLEDPSEAVNDYLAKALVNLHNM
+>sp|Q9NWK9|BCD1_HUMAN Box C/D snoRNA protein 1 OS=Homo sapiens OX=9606 GN=ZNHIT6 PE=1 SV=1
+MEFAAENEGKSGGGLHSVAEGVRLSPEPGREGVRDLAGAEEFGGGEEGTGLTGIKEIGDGEEGSGQRPEEIPMDLTVVKQEIIDWPGTEGRLAGQWVEQEVEDRPEVKDENAGVLEVKQETDSSLVVKEAKVGEPEVKEEKVKEEVMDWSEVKEEKDNLEIKQEEKFVGQCIKEELMHGECVKEEKDFLKKEIVDDTKVKEEPPINHPVGCKRKLAMSRCETCGTEEAKYRCPRCMRYSCSLPCVKKHKAELTCNGVRDKTAYISIQQFTEMNLLSDYRFLEDVARTADHISRDAFLKRPISNKYMYFMKNRARRQGINLKLLPNGFTKRKENSTFFDKKKQQFCWHVKLQFPQSQAEYIEKRVPDDKTINEILKPYIDPEKSDPVIRQRLKAYIRSQTGVQILMKIEYMQQNLVRYYELDPYKSLLDNLRNKVIIEYPTLHVVLKGSNNDMKVLHQVKSESTKNVGNEN
+>DECOY_sp|Q9NWK9|BCD1_HUMAN Box C/D snoRNA protein 1 OS=Homo sapiens OX=9606 GN=ZNHIT6 PE=1 SV=1
+NENGVNKTSESKVQHLVKMDNNSGKLVVHLTPYEIIVKNRLNDLLSKYPDLEYYRVLNQQMYEIKMLIQVGTQSRIYAKLRQRIVPDSKEPDIYPKLIENITKDDPVRKEIYEAQSQPFQLKVHWCFQQKKKDFFTSNEKRKTFGNPLLKLNIGQRRARNKMFYMYKNSIPRKLFADRSIHDATRAVDELFRYDSLLNMETFQQISIYATKDRVGNCTLEAKHKKVCPLSCSYRMCRPCRYKAEETGCTECRSMALKRKCGVPHNIPPEEKVKTDDVIEKKLFDKEEKVCEGHMLEEKICQGVFKEEQKIELNDKEEKVESWDMVEEKVKEEKVEPEGVKAEKVVLSSDTEQKVELVGANEDKVEPRDEVEQEVWQGALRGETGPWDIIEQKVVTLDMPIEEPRQGSGEEGDGIEKIGTLGTGEEGGGFEEAGALDRVGERGPEPSLRVGEAVSHLGGGSKGENEAAFEM
+>sp|Q9BYV7|BCDO2_HUMAN Beta,beta-carotene 9',10'-oxygenase OS=Homo sapiens OX=9606 GN=BCO2 PE=1 SV=5
+MFFRVFLHFIRSHSATAVDFLPVMVHRLPVFKRYMGNTPQKKAVFGQCRGLPCVAPLLTTVEEAPRGISARVWGHFPKWLNGSLLRIGPGKFEFGKDKYNHWFDGMALLHQFRMAKGTVTYRSKFLQSDTYKANSAKNRIVISEFGTLALPDPCKNVFERFMSRFELPGKAAAMTDNTNVNYVRYKGDYYLCTETNFMNKVDIETLEKTEKVDWSKFIAVNGATAHPHYDLDGTAYNMGNSFGPYGFSYKVIRVPPEKVDLGETIHGVQVICSIASTEKGKPSYYHSFGMTRNYIIFIEQPLKMNLWKIATSKIRGKAFSDGISWEPQCNTRFHVVEKRTGQLLPGRYYSKPFVTFHQINAFEDQGCVIIDLCCQDNGRTLEVYQLQNLRKAGEGLDQVHNSAAKSFPRRFVLPLNVSLNAPEGDNLSPLSYTSASAVKQADGTIWCSHENLHQEDLEKEGGIEFPQIYYDRFSGKKYHFFYGCGFRHLVGDSLIKVDVVNKTLKVWREDGFYPSEPVFVPAPGTNEEDGGVILSVVITPNQNESNFILVLDAKNFEELGRAEVPVQMPYGFHGTFIPI
+>DECOY_sp|Q9BYV7|BCDO2_HUMAN Beta,beta-carotene 9',10'-oxygenase OS=Homo sapiens OX=9606 GN=BCO2 PE=1 SV=5
+IPIFTGHFGYPMQVPVEARGLEEFNKADLVLIFNSENQNPTIVVSLIVGGDEENTGPAPVFVPESPYFGDERWVKLTKNVVDVKILSDGVLHRFGCGYFFHYKKGSFRDYYIQPFEIGGEKELDEQHLNEHSCWITGDAQKVASASTYSLPSLNDGEPANLSVNLPLVFRRPFSKAASNHVQDLGEGAKRLNQLQYVELTRGNDQCCLDIIVCGQDEFANIQHFTVFPKSYYRGPLLQGTRKEVVHFRTNCQPEWSIGDSFAKGRIKSTAIKWLNMKLPQEIFIIYNRTMGFSHYYSPKGKETSAISCIVQVGHITEGLDVKEPPVRIVKYSFGYPGFSNGMNYATGDLDYHPHATAGNVAIFKSWDVKETKELTEIDVKNMFNTETCLYYDGKYRVYNVNTNDTMAAAKGPLEFRSMFREFVNKCPDPLALTGFESIVIRNKASNAKYTDSQLFKSRYTVTGKAMRFQHLLAMGDFWHNYKDKGFEFKGPGIRLLSGNLWKPFHGWVRASIGRPAEEVTTLLPAVCPLGRCQGFVAKKQPTNGMYRKFVPLRHVMVPLFDVATASHSRIFHLFVRFFM
+>sp|O14874|BCKD_HUMAN [3-methyl-2-oxobutanoate dehydrogenase [lipoamide]] kinase, mitochondrial OS=Homo sapiens OX=9606 GN=BCKDK PE=1 SV=2
+MILASVLRSGPGGGLPLRPLLGPALALRARSTSATDTHHVEMARERSKTVTSFYNQSAIDAAAEKPSVRLTPTMMLYAGRSQDGSHLLKSARYLQQELPVRIAHRIKGFRCLPFIIGCNPTILHVHELYIRAFQKLTDFPPIKDQADEAQYCQLVRQLLDDHKDVVTLLAEGLRESRKHIEDEKLVRYFLDKTLTSRLGIRMLATHHLALHEDKPDFVGIICTRLSPKKIIEKWVDFARRLCEHKYGNAPRVRINGHVAARFPFIPMPLDYILPELLKNAMRATMESHLDTPYNVPDVVITIANNDVDLIIRISDRGGGIAHKDLDRVMDYHFTTAEASTQDPRISPLFGHLDMHSGAQSGPMHGFGFGLPTSRAYAEYLGGSLQLQSLQGIGTDVYLRLRHIDGREESFRI
+>DECOY_sp|O14874|BCKD_HUMAN [3-methyl-2-oxobutanoate dehydrogenase [lipoamide]] kinase, mitochondrial OS=Homo sapiens OX=9606 GN=BCKDK PE=1 SV=2
+IRFSEERGDIHRLRLYVDTGIGQLSQLQLSGGLYEAYARSTPLGFGFGHMPGSQAGSHMDLHGFLPSIRPDQTSAEATTFHYDMVRDLDKHAIGGGRDSIRIILDVDNNAITIVVDPVNYPTDLHSEMTARMANKLLEPLIYDLPMPIFPFRAAVHGNIRVRPANGYKHECLRRAFDVWKEIIKKPSLRTCIIGVFDPKDEHLALHHTALMRIGLRSTLTKDLFYRVLKEDEIHKRSERLGEALLTVVDKHDDLLQRVLQCYQAEDAQDKIPPFDTLKQFARIYLEHVHLITPNCGIIFPLCRFGKIRHAIRVPLEQQLYRASKLLHSGDQSRGAYLMMTPTLRVSPKEAAADIASQNYFSTVTKSRERAMEVHHTDTASTSRARLALAPGLLPRLPLGGGPGSRLVSALIM
+>sp|O95999|BCL10_HUMAN B-cell lymphoma/leukemia 10 OS=Homo sapiens OX=9606 GN=BCL10 PE=1 SV=1
+MEPTAPSLTEEDLTEVKKDALENLRVYLCEKIIAERHFDHLRAKKILSREDTEEISCRTSSRKRAGKLLDYLQENPKGLDTLVESIRREKTQNFLIQKITDEVLKLRNIKLEHLKGLKCSSCEPFPDGATNNLSRSNSDESNFSEKLRASTVMYHPEGESSTTPFFSTNSSLNLPVLEVGRTENTIFSSTTLPRPGDPGAPPLPPDLQLEEEGTCANSSEMFLPLRSRTVSRQ
+>DECOY_sp|O95999|BCL10_HUMAN B-cell lymphoma/leukemia 10 OS=Homo sapiens OX=9606 GN=BCL10 PE=1 SV=1
+QRSVTRSRLPLFMESSNACTGEEELQLDPPLPPAGPDGPRPLTTSSFITNETRGVELVPLNLSSNTSFFPTTSSEGEPHYMVTSARLKESFNSEDSNSRSLNNTAGDPFPECSSCKLGKLHELKINRLKLVEDTIKQILFNQTKERRISEVLTDLGKPNEQLYDLLKGARKRSSTRCSIEETDERSLIKKARLHDFHREAIIKECLYVRLNELADKKVETLDEETLSPATPEM
+>sp|Q8WUZ0|BCL7C_HUMAN B-cell CLL/lymphoma 7 protein family member C OS=Homo sapiens OX=9606 GN=BCL7C PE=1 SV=3
+MAGRTVRAETRSRAKDDIKKVMATIEKVRRWEKRWVTVGDTSLRIFKWVPVVDPQEEERRRAGGGAERSRGRERRGRGASPRGGGPLILLDLNDENSNQSFHSEGSLQKGTEPSPGGTPQPSRPVSPAGPPEGVPEEAQPPRLGQERDPGGITAGSTDEPPMLTKEEPVPELLEAEAPEAYPVFEPVPPVPEAAQGDTEDSEGAPPLKRICPNAPDP
+>DECOY_sp|Q8WUZ0|BCL7C_HUMAN B-cell CLL/lymphoma 7 protein family member C OS=Homo sapiens OX=9606 GN=BCL7C PE=1 SV=3
+PDPANPCIRKLPPAGESDETDGQAAEPVPPVPEFVPYAEPAEAELLEPVPEEKTLMPPEDTSGATIGGPDREQGLRPPQAEEPVGEPPGAPSVPRSPQPTGGPSPETGKQLSGESHFSQNSNEDNLDLLILPGGGRPSAGRGRRERGRSREAGGGARRREEEQPDVVPVWKFIRLSTDGVTVWRKEWRRVKEITAMVKKIDDKARSRTEARVTRGAM
+>sp|Q9BUT1|BDH2_HUMAN 3-hydroxybutyrate dehydrogenase type 2 OS=Homo sapiens OX=9606 GN=BDH2 PE=1 SV=2
+MGRLDGKVIILTAAAQGIGQAAALAFAREGAKVIATDINESKLQELEKYPGIQTRVLDVTKKKQIDQFANEVERLDVLFNVAGFVHHGTVLDCEEKDWDFSMNLNVRSMYLMIKAFLPKMLAQKSGNIINMSSVASSVKGVVNRCVYSTTKAAVIGLTKSVAADFIQQGIRCNCVCPGTVDTPSLQERIQARGNPEEARNDFLKRQKTGRFATAEEIAMLCVYLASDESAYVTGNPVIIDGGWSL
+>DECOY_sp|Q9BUT1|BDH2_HUMAN 3-hydroxybutyrate dehydrogenase type 2 OS=Homo sapiens OX=9606 GN=BDH2 PE=1 SV=2
+LSWGGDIIVPNGTVYASEDSALYVCLMAIEEATAFRGTKQRKLFDNRAEEPNGRAQIREQLSPTDVTGPCVCNCRIGQQIFDAAVSKTLGIVAAKTTSYVCRNVVGKVSSAVSSMNIINGSKQALMKPLFAKIMLYMSRVNLNMSFDWDKEECDLVTGHHVFGAVNFLVDLREVENAFQDIQKKKTVDLVRTQIGPYKELEQLKSENIDTAIVKAGERAFALAAAQGIGQAAATLIIVKGDLRGM
+>sp|Q6ZU67|BEND4_HUMAN BEN domain-containing protein 4 OS=Homo sapiens OX=9606 GN=BEND4 PE=2 SV=3
+MEEEMQPAEEGPSVPKIYKQRSPYSVLKTFPSKRPALAKRYERPTLVELPHVRAPPPPPPPFAPHAAVSISSSEPPPQQFQAQSSYPPGPGRAAAAASSSSPSCTPATSQGHLRTPAQPPPASPAASSSSSFAAVVRYGPGAAAAAGTGGTGSDSASLELSAESRMILDAFAQQCSRVLSLLNCGGKLLDSNHSQSMISCVKQEGSSYNERQEHCHIGKGVHSQTSDNVDIEMQYMQRKQQTSAFLRVFTDSLQNYLLSGSFPTPNPSSASEYGHLADVDPLSTSPVHTLGGWTSPATSESHGHPSSSTLPEEEEEEDEEGYCPRCQELEQEVISLQQENEELRRKLESIPVPCQTVLDYLKMVLQHHNQLLIPQPADQPTEGSKQLLNNYPVYITSKQWDEAVNSSKKDGRRLLRYLIRFVFTTDELKYSCGLGKRKRSVQSGETGPERRPLDPVKVTCLREFIRMHCTSNPDWWMPSEEQINKVFSDAVGHARQGRAVGTFLHNGGSFYEGIDHQASQDEVFNKSSQDGSGD
+>DECOY_sp|Q6ZU67|BEND4_HUMAN BEN domain-containing protein 4 OS=Homo sapiens OX=9606 GN=BEND4 PE=2 SV=3
+DGSGDQSSKNFVEDQSAQHDIGEYFSGGNHLFTGVARGQRAHGVADSFVKNIQEESPMWWDPNSTCHMRIFERLCTVKVPDLPRREPGTEGSQVSRKRKGLGCSYKLEDTTFVFRILYRLLRRGDKKSSNVAEDWQKSTIYVPYNNLLQKSGETPQDAPQPILLQNHHQLVMKLYDLVTQCPVPISELKRRLEENEQQLSIVEQELEQCRPCYGEEDEEEEEEPLTSSSPHGHSESTAPSTWGGLTHVPSTSLPDVDALHGYESASSPNPTPFSGSLLYNQLSDTFVRLFASTQQKRQMYQMEIDVNDSTQSHVGKGIHCHEQRENYSSGEQKVCSIMSQSHNSDLLKGGCNLLSLVRSCQQAFADLIMRSEASLELSASDSGTGGTGAAAAAGPGYRVVAAFSSSSSAAPSAPPPQAPTRLHGQSTAPTCSPSSSSAAAAARGPGPPYSSQAQFQQPPPESSSISVAAHPAFPPPPPPPARVHPLEVLTPREYRKALAPRKSPFTKLVSYPSRQKYIKPVSPGEEAPQMEEEM
+>sp|Q7L4P6|BEND5_HUMAN BEN domain-containing protein 5 OS=Homo sapiens OX=9606 GN=BEND5 PE=1 SV=1
+MYAFVRFLEDNVCYALPVSCVRDFSPRSRLDFDNQKVYAVYRGPEELGAGPESPPRAPRDWGALLLHKAQILALAEDKSDLENSVMQKKIKIPKLSLNHVEEDGEVKDYGEEDLQLRHIKRPEGRKPSEVAHKSIEAVVARLEKQNGLSLGHSTCPEEVFVEASPGTEDMDSLEDAVVPRALYEELLRNYQQQQEEMRHLQQELERTRRQLVQQAKKLKEYGALVSEMKELRDLNRRLQDVLLLRLGSGPAIDLEKVKSECLEPEPELRSTFSEEANTSSYYPAPAPVMDKYILDNGKVHLGSGIWVDEEKWHQLQVTQGDSKYTKNLAVMIWGTDVLKNRSVTGVATKKKKDAVPKPPLSPHKLSIVRECLYDRIAQETVDETEIAQRLSKVNKYICEKIMDINKSCKNEERREAKYNLQ
+>DECOY_sp|Q7L4P6|BEND5_HUMAN BEN domain-containing protein 5 OS=Homo sapiens OX=9606 GN=BEND5 PE=1 SV=1
+QLNYKAERREENKCSKNIDMIKECIYKNVKSLRQAIETEDVTEQAIRDYLCERVISLKHPSLPPKPVADKKKKTAVGTVSRNKLVDTGWIMVALNKTYKSDGQTVQLQHWKEEDVWIGSGLHVKGNDLIYKDMVPAPAPYYSSTNAEESFTSRLEPEPELCESKVKELDIAPGSGLRLLLVDQLRRNLDRLEKMESVLAGYEKLKKAQQVLQRRTRELEQQLHRMEEQQQQYNRLLEEYLARPVVADELSDMDETGPSAEVFVEEPCTSHGLSLGNQKELRAVVAEISKHAVESPKRGEPRKIHRLQLDEEGYDKVEGDEEVHNLSLKPIKIKKQMVSNELDSKDEALALIQAKHLLLAGWDRPARPPSEPGAGLEEPGRYVAYVKQNDFDLRSRPSFDRVCSVPLAYCVNDELFRVFAYM
+>sp|Q12934|BFSP1_HUMAN Filensin OS=Homo sapiens OX=9606 GN=BFSP1 PE=1 SV=3
+MYRRSYVFQTRKEQYEHADEASRAAEPERPADEGWAGATSLAALQGLGERVAAHVQRARALEQRHAGLRRQLDAFQRLGELAGPEDALARQVESNRQRVRDLEAERARLERQGTEAQRALDEFRSKYENECECQLLLKEMLERLNKEADEALLHNLRLQLEAQFLQDDISAAKDRHKKNLLEVQTYISILQQIIHTTPPASIVTSGMREEKLLTEREVAALRSQLEEGREVLSHLQAQRVELQAQTTTLEQAIKSAHECYDDEIQLYNEQIETLRKEIEETERVLEKSSYDCRQLAVAQQTLKNELDRYHRIIEIEGNRLTSAFIETPIPLFTQSHGVSLSTGSGGKDLTRALQDITAAKPRQKALPKNVPRRKEIITKDKTNGALEDAPLKGLEDTKLVQVVLKEESESKFESESKEVSPLTQEGAPEDVPDGGQISKGFGKLYRKVKEKVRSPKEPETPTELYTKERHVLVTGDANYVDPRFYVSSITAKGGVAVSVAEDSVLYDGQVEPSPESPKPPLENGQVGLQEKEDGQPIDQQPIDKEIEPDGAELEGPEEKREGEERDEESRRPCAMVTPGAEEPSIPEPPKPAADQDGAEVLGTRSRSLPEKGPPKALAYKTVEVVESIEKISTESIQTYEETAVIVETMIGKTKSDKKKSGEKSS
+>DECOY_sp|Q12934|BFSP1_HUMAN Filensin OS=Homo sapiens OX=9606 GN=BFSP1 PE=1 SV=3
+SSKEGSKKKDSKTKGIMTEVIVATEEYTQISETSIKEISEVVEVTKYALAKPPGKEPLSRSRTGLVEAGDQDAAPKPPEPISPEEAGPTVMACPRRSEEDREEGERKEEPGELEAGDPEIEKDIPQQDIPQGDEKEQLGVQGNELPPKPSEPSPEVQGDYLVSDEAVSVAVGGKATISSVYFRPDVYNADGTVLVHREKTYLETPTEPEKPSRVKEKVKRYLKGFGKSIQGGDPVDEPAGEQTLPSVEKSESEFKSESEEKLVVQVLKTDELGKLPADELAGNTKDKTIIEKRRPVNKPLAKQRPKAATIDQLARTLDKGGSGTSLSVGHSQTFLPIPTEIFASTLRNGEIEIIRHYRDLENKLTQQAVALQRCDYSSKELVRETEEIEKRLTEIQENYLQIEDDYCEHASKIAQELTTTQAQLEVRQAQLHSLVERGEELQSRLAAVERETLLKEERMGSTVISAPPTTHIIQQLISIYTQVELLNKKHRDKAASIDDQLFQAELQLRLNHLLAEDAEKNLRELMEKLLLQCECENEYKSRFEDLARQAETGQRELRAREAELDRVRQRNSEVQRALADEPGALEGLRQFADLQRRLGAHRQELARARQVHAAVREGLGQLAALSTAGAWGEDAPREPEAARSAEDAHEYQEKRTQFVYSRRYM
+>sp|P16442|BGAT_HUMAN Histo-blood group ABO system transferase OS=Homo sapiens OX=9606 GN=ABO PE=1 SV=2
+MAEVLRTLAGKPKCHALRPMILFLIMLVLVLFGYGVLSPRSLMPGSLERGFCMAVREPDHLQRVSLPRMVYPQPKVLTPCRKDVLVVTPWLAPIVWEGTFNIDILNEQFRLQNTTIGLTVFAIKKYVAFLKLFLETAEKHFMVGHRVHYYVFTDQPAAVPRVTLGTGRQLSVLEVRAYKRWQDVSMRRMEMISDFCERRFLSEVDYLVCVDVDMEFRDHVGVEILTPLFGTLHPGFYGSSREAFTYERRPQSQAYIPKDEGDFYYLGGFFGGSVQEVQRLTRACHQAMMVDQANGIEAVWHDESHLNKYLLRHKPTKVLSPEYLWDQQLLGWPAVLRKLRFTAVPKNHQAVRNP
+>DECOY_sp|P16442|BGAT_HUMAN Histo-blood group ABO system transferase OS=Homo sapiens OX=9606 GN=ABO PE=1 SV=2
+PNRVAQHNKPVATFRLKRLVAPWGLLQQDWLYEPSLVKTPKHRLLYKNLHSEDHWVAEIGNAQDVMMAQHCARTLRQVEQVSGGFFGGLYYFDGEDKPIYAQSQPRREYTFAERSSGYFGPHLTGFLPTLIEVGVHDRFEMDVDVCVLYDVESLFRRECFDSIMEMRRMSVDQWRKYARVELVSLQRGTGLTVRPVAAPQDTFVYYHVRHGVMFHKEATELFLKLFAVYKKIAFVTLGITTNQLRFQENLIDINFTGEWVIPALWPTVVLVDKRCPTLVKPQPYVMRPLSVRQLHDPERVAMCFGRELSGPMLSRPSLVGYGFLVLVLMILFLIMPRLAHCKPKGALTRLVEAM
+>sp|Q6ZT62|BGIN_HUMAN Bargin OS=Homo sapiens OX=9606 GN=BARGIN PE=1 SV=2
+MDRGLPGPATPAVTPQPPARPQDDEEAAAPHAAAGPDGQLGTVEQRLEPAKRAAHNIHKRLQACLQGQSGADMDKRVKKLPLMALSTTMAESFKELDPDSSMGKALEMSCAIQNQLARILAEFEMTLERDVLQPLSRLSEEELPAILKHKKSLQKLVSDWNTLKSRLSQATKNSGSSQGLGGSPGSHSHTTMANKVETLKEEEEELKRKVEQCRDEYLADLYHFVTKEDSYANYFIRLLEIQADYHRRSLSSLDTALAELRENHGQADHSPSMTATHFPRVYGVSLATHLQELGREIALPIEACVMMLLSEGMKEEGLFRLAAGASVLKRLKQTMASDPHSLEEFCSDPHAVAGALKSYLRELPEPLMTFDLYDDWMRAASLKEPGARLQALQEVCSRLPPENLSNLRYLMKFLARLAEEQEVNKMTPSNIAIVLGPNLLWPPEKEGDQAQLDAASVSSIQVVGVVEALIQSADTLFPGDINFNVSGLFSAVTLQDTVSDRLASEELPSTAVPTPATTPAPAPAPAPAPAPALASAATKERTESEVPPRPASPKVTRSPPETAAPVEDMARRSTGSLAAAVETASGRQALVVGKPSPYMFECITENFSIDPARTLMVGDRLETDILFGHRCGMTTVLTLTGVSRLEEAQAYLAAGQHDLVPHYYVESIADLTEGLED
+>DECOY_sp|Q6ZT62|BGIN_HUMAN Bargin OS=Homo sapiens OX=9606 GN=BARGIN PE=1 SV=2
+DELGETLDAISEVYYHPVLDHQGAALYAQAEELRSVGTLTLVTTMGCRHGFLIDTELRDGVMLTRAPDISFNETICEFMYPSPKGVVLAQRGSATEVAAALSGTSRRAMDEVPAATEPPSRTVKPSAPRPPVESETREKTAASALAPAPAPAPAPAPAPTTAPTPVATSPLEESALRDSVTDQLTVASFLGSVNFNIDGPFLTDASQILAEVVGVVQISSVSAADLQAQDGEKEPPWLLNPGLVIAINSPTMKNVEQEEALRALFKMLYRLNSLNEPPLRSCVEQLAQLRAGPEKLSAARMWDDYLDFTMLPEPLERLYSKLAGAVAHPDSCFEELSHPDSAMTQKLRKLVSAGAALRFLGEEKMGESLLMMVCAEIPLAIERGLEQLHTALSVGYVRPFHTATMSPSHDAQGHNERLEALATDLSSLSRRHYDAQIELLRIFYNAYSDEKTVFHYLDALYEDRCQEVKRKLEEEEEKLTEVKNAMTTHSHSGPSGGLGQSSGSNKTAQSLRSKLTNWDSVLKQLSKKHKLIAPLEEESLRSLPQLVDRELTMEFEALIRALQNQIACSMELAKGMSSDPDLEKFSEAMTTSLAMLPLKKVRKDMDAGSQGQLCAQLRKHINHAARKAPELRQEVTGLQGDPGAAAHPAAAEEDDQPRAPPQPTVAPTAPGPLGRDM
+>sp|Q6PI77|BHLH9_HUMAN Protein BHLHb9 OS=Homo sapiens OX=9606 GN=BHLHB9 PE=1 SV=1
+MAGTKNKTRAQAKTEKKAAIQAKAGAEREATGVVRPVAKTRAKAKAKTGSKTDAVAEMKAVSKNKVVAETKEGALSEPKTLGKAMGDFTPKAGNESTSSTCKNEAGTDAWFWAGEEATINSWFWNGEEAGNSFSTKNDKPEIGAQVCAEELEPAAGADCKPRSGAEEEEEENVIGNWFWEGDDTSFDPNPKPVSRIVKPQPVYEINEKNRPKDWSEVTIWPNAPAVTPAVLGFRSQAPSEASPPSYIVLASAEENACSLPVATACRPSRNTRSCSQPIPECRFDSDPCIQTIDEIRRQIRIREVNGIKPFACPCKMECYMDSEEFEKLVSLLKSTTDPLIHKIARIAMGVHNVHPFAQEFINEVGVVTLIESLLSFPSPEMRKKTVITLNPPSGDERQRKIELHVKHMCKETMSFPLNSPGQQSGLKILGQLTTDFVHHYIVANYFSELFHLLSSGNCKTRNLVLKLLLNMSENPTAARDMINMKALAALKLIFNQKEAKANLVSGVAIFINIKEHIRKGSIVVVDHLSYNTLMAIFREVKEIIETM
+>DECOY_sp|Q6PI77|BHLH9_HUMAN Protein BHLHb9 OS=Homo sapiens OX=9606 GN=BHLHB9 PE=1 SV=1
+MTEIIEKVERFIAMLTNYSLHDVVVISGKRIHEKINIFIAVGSVLNAKAEKQNFILKLAALAKMNIMDRAATPNESMNLLLKLVLNRTKCNGSSLLHFLESFYNAVIYHHVFDTTLQGLIKLGSQQGPSNLPFSMTEKCMHKVHLEIKRQREDGSPPNLTIVTKKRMEPSPFSLLSEILTVVGVENIFEQAFPHVNHVGMAIRAIKHILPDTTSKLLSVLKEFEESDMYCEMKCPCAFPKIGNVERIRIQRRIEDITQICPDSDFRCEPIPQSCSRTNRSPRCATAVPLSCANEEASALVIYSPPSAESPAQSRFGLVAPTVAPANPWITVESWDKPRNKENIEYVPQPKVIRSVPKPNPDFSTDDGEWFWNGIVNEEEEEEAGSRPKCDAGAAPELEEACVQAGIEPKDNKTSFSNGAEEGNWFWSNITAEEGAWFWADTGAENKCTSSTSENGAKPTFDGMAKGLTKPESLAGEKTEAVVKNKSVAKMEAVADTKSGTKAKAKARTKAVPRVVGTAEREAGAKAQIAAKKETKAQARTKNKTGAM
+>sp|Q6ZP65|BICL1_HUMAN BICD family-like cargo adapter 1 OS=Homo sapiens OX=9606 GN=BICDL1 PE=2 SV=2
+MSAFCLGLVGRASAPAEPDSACCMELPAAAGDAVRSPAAAAALIFPGGSGELELALEEELALLAAGERPSDPGEHPQAEPGSLAEGAGPQPPPSQDPELLSVIRQKEKDLVLAARLGKALLERNQDMSRQYEQMHKELTDKLEHLEQEKHELRRRFENREGEWEGRVSELESDVKQLQDELERQQIHLREADREKSRAVQELSEQNQRLLDQLSRASEVERQLSMQVHALREDFREKNSSTNQHIIRLESLQAEIKMLSDRKRELEHRLSATLEENDLLQGTVEELQDRVLILERQGHDKDLQLHQSQLELQEVRLSCRQLQVKVEELTEERSLQSSAATSTSLLSEIEQSMEAEELEQEREQLRLQLWEAYCQVRYLCSHLRGNDSADSAVSTDSSMDESSETSSAKDVPAGSLRTALNELKRLIQSIVDGMEPTVTLLSVEMTALKEERDRLRVTSEDKEPKEQLQKAIRDRDEAIAKKNAVELELAKCRMDMMSLNSQLLDAIQQKLNLSQQLEAWQDDMHRVIDRQLMDTHLKERSQPAAALCRGHSAGRGDEPSIAEGKRLFSFFRKI
+>DECOY_sp|Q6ZP65|BICL1_HUMAN BICD family-like cargo adapter 1 OS=Homo sapiens OX=9606 GN=BICDL1 PE=2 SV=2
+IKRFFSFLRKGEAISPEDGRGASHGRCLAAAPQSREKLHTDMLQRDIVRHMDDQWAELQQSLNLKQQIADLLQSNLSMMDMRCKALELEVANKKAIAEDRDRIAKQLQEKPEKDESTVRLRDREEKLATMEVSLLTVTPEMGDVISQILRKLENLATRLSGAPVDKASSTESSEDMSSDTSVASDASDNGRLHSCLYRVQCYAEWLQLRLQEREQELEEAEMSQEIESLLSTSTAASSQLSREETLEEVKVQLQRCSLRVEQLELQSQHLQLDKDHGQRELILVRDQLEEVTGQLLDNEELTASLRHELERKRDSLMKIEAQLSELRIIHQNTSSNKERFDERLAHVQMSLQREVESARSLQDLLRQNQESLEQVARSKERDAERLHIQQRELEDQLQKVDSELESVRGEWEGERNEFRRRLEHKEQELHELKDTLEKHMQEYQRSMDQNRELLAKGLRAALVLDKEKQRIVSLLEPDQSPPPQPGAGEALSGPEAQPHEGPDSPREGAALLALEEELALELEGSGGPFILAAAAAPSRVADGAAAPLEMCCASDPEAPASARGVLGLCFASM
+>sp|O00499|BIN1_HUMAN Myc box-dependent-interacting protein 1 OS=Homo sapiens OX=9606 GN=BIN1 PE=1 SV=1
+MAEMGSKGVTAGKIASNVQKKLTRAQEKVLQKLGKADETKDEQFEQCVQNFNKQLTEGTRLQKDLRTYLASVKAMHEASKKLNECLQEVYEPDWPGRDEANKIAENNDLLWMDYHQKLVDQALLTMDTYLGQFPDIKSRIAKRGRKLVDYDSARHHYESLQTAKKKDEAKIAKPVSLLEKAAPQWCQGKLQAHLVAQTNLLRNQAEEELIKAQKVFEEMNVDLQEELPSLWNSRVGFYVNTFQSIAGLEENFHKEMSKLNQNLNDVLVGLEKQHGSNTFTVKAQPSDNAPAKGNKSPSPPDGSPAATPEIRVNHEPEPAGGATPGATLPKSPSQLRKGPPVPPPPKHTPSKEVKQEQILSLFEDTFVPEISVTTPSQFEAPGPFSEQASLLDLDFDPLPPVTSPVKAPTPSGQSIPWDLWEPTESPAGSLPSGEPSAAEGTFAVSWPSQTAEPGPAQPAEASEVAGGTQPAAGAQEPGETAASEAASSSLPAVVVETFPATVNGTVEGGSGAGRLDLPPGFMFKVQAQHDYTATDTDELQLKAGDVVLVIPFQNPEEQDEGWLMGVKESDWNQHKELEKCRGVFPENFTERVP
+>DECOY_sp|O00499|BIN1_HUMAN Myc box-dependent-interacting protein 1 OS=Homo sapiens OX=9606 GN=BIN1 PE=1 SV=1
+PVRETFNEPFVGRCKELEKHQNWDSEKVGMLWGEDQEEPNQFPIVLVVDGAKLQLEDTDTATYDHQAQVKFMFGPPLDLRGAGSGGEVTGNVTAPFTEVVVAPLSSSAAESAATEGPEQAGAAPQTGGAVESAEAPQAPGPEATQSPWSVAFTGEAASPEGSPLSGAPSETPEWLDWPISQGSPTPAKVPSTVPPLPDFDLDLLSAQESFPGPAEFQSPTTVSIEPVFTDEFLSLIQEQKVEKSPTHKPPPPVPPGKRLQSPSKPLTAGPTAGGAPEPEHNVRIEPTAAPSGDPPSPSKNGKAPANDSPQAKVTFTNSGHQKELGVLVDNLNQNLKSMEKHFNEELGAISQFTNVYFGVRSNWLSPLEEQLDVNMEEFVKQAKILEEEAQNRLLNTQAVLHAQLKGQCWQPAAKELLSVPKAIKAEDKKKATQLSEYHHRASDYDVLKRGRKAIRSKIDPFQGLYTDMTLLAQDVLKQHYDMWLLDNNEAIKNAEDRGPWDPEYVEQLCENLKKSAEHMAKVSALYTRLDKQLRTGETLQKNFNQVCQEFQEDKTEDAKGLKQLVKEQARTLKKQVNSAIKGATVGKSGMEAM
+>sp|Q13075|BIRC1_HUMAN Baculoviral IAP repeat-containing protein 1 OS=Homo sapiens OX=9606 GN=NAIP PE=1 SV=3
+MATQQKASDERISQFDHNLLPELSALLGLDAVQLAKELEEEEQKERAKMQKGYNSQMRSEAKRLKTFVTYEPYSSWIPQEMAAAGFYFTGVKSGIQCFCCSLILFGAGLTRLPIEDHKRFHPDCGFLLNKDVGNIAKYDIRVKNLKSRLRGGKMRYQEEEARLASFRNWPFYVQGISPCVLSEAGFVFTGKQDTVQCFSCGGCLGNWEEGDDPWKEHAKWFPKCEFLRSKKSSEEITQYIQSYKGFVDITGEHFVNSWVQRELPMASAYCNDSIFAYEELRLDSFKDWPRESAVGVAALAKAGLFYTGIKDIVQCFSCGGCLEKWQEGDDPLDDHTRCFPNCPFLQNMKSSAEVTPDLQSRGELCELLETTSESNLEDSIAVGPIVPEMAQGEAQWFQEAKNLNEQLRAAYTSASFRHMSLLDISSDLATDHLLGCDLSIASKHISKPVQEPLVLPEVFGNLNSVMCVEGEAGSGKTVLLKKIAFLWASGCCPLLNRFQLVFYLSLSSTRPDEGLASIICDQLLEKEGSVTEMCVRNIIQQLKNQVLFLLDDYKEICSIPQVIGKLIQKNHLSRTCLLIAVRTNRARDIRRYLETILEIKAFPFYNTVCILRKLFSHNMTRLRKFMVYFGKNQSLQKIQKTPLFVAAICAHWFQYPFDPSFDDVAVFKSYMERLSLRNKATAEILKATVSSCGELALKGFFSCCFEFNDDDLAEAGVDEDEDLTMCLMSKFTAQRLRPFYRFLSPAFQEFLAGMRLIELLDSDRQEHQDLGLYHLKQINSPMMTVSAYNNFLNYVSSLPSTKAGPKIVSHLLHLVDNKESLENISENDDYLKHQPEISLQMQLLRGLWQICPQAYFSMVSEHLLVLALKTAYQSNTVAACSPFVLQFLQGRTLTLGALNLQYFFDHPESLSLLRSIHFPIRGNKTSPRAHFSVLETCFDKSQVPTIDQDYASAFEPMNEWERNLAEKEDNVKSYMDMQRRASPDLSTGYWKLSPKQYKIPCLEVDVNDIDVVGQDMLEILMTVFSASQRIELHLNHSRGFIESIRPALELSKASVTKCSISKLELSAAEQELLLTLPSLESLEVSGTIQSQDQIFPNLDKFLCLKELSVDLEGNINVFSVIPEEFPNFHHMEKLLIQISAEYDPSKLVKLIQNSPNLHVFHLKCNFFSDFGSLMTMLVSCKKLTEIKFSDSFFQAVPFVASLPNFISLKILNLEGQQFPDEETSEKFAYILGSLSNLEELILPTGDGIYRVAKLIIQQCQQLHCLRVLSFFKTLNDDSVVEIAKVAISGGFQKLENLKLSINHKITEEGYRNFFQALDNMPNLQELDISRHFTECIKAQATTVKSLSQCVLRLPRLIRLNMLSWLLDADDIALLNVMKERHPQSKYLTILQKWILPFSPIIQK
+>DECOY_sp|Q13075|BIRC1_HUMAN Baculoviral IAP repeat-containing protein 1 OS=Homo sapiens OX=9606 GN=NAIP PE=1 SV=3
+KQIIPSFPLIWKQLITLYKSQPHREKMVNLLAIDDADLLWSLMNLRILRPLRLVCQSLSKVTTAQAKICETFHRSIDLEQLNPMNDLAQFFNRYGEETIKHNISLKLNELKQFGGSIAVKAIEVVSDDNLTKFFSLVRLCHLQQCQQIILKAVRYIGDGTPLILEELNSLSGLIYAFKESTEEDPFQQGELNLIKLSIFNPLSAVFPVAQFFSDSFKIETLKKCSVLMTMLSGFDSFFNCKLHFVHLNPSNQILKVLKSPDYEASIQILLKEMHHFNPFEEPIVSFVNINGELDVSLEKLCLFKDLNPFIQDQSQITGSVELSELSPLTLLLEQEAASLELKSISCKTVSAKSLELAPRISEIFGRSHNLHLEIRQSASFVTMLIELMDQGVVDIDNVDVELCPIKYQKPSLKWYGTSLDPSARRQMDMYSKVNDEKEALNREWENMPEFASAYDQDITPVQSKDFCTELVSFHARPSTKNGRIPFHISRLLSLSEPHDFFYQLNLAGLTLTRGQLFQLVFPSCAAVTNSQYATKLALVLLHESVMSFYAQPCIQWLGRLLQMQLSIEPQHKLYDDNESINELSEKNDVLHLLHSVIKPGAKTSPLSSVYNLFNNYASVTMMPSNIQKLHYLGLDQHEQRDSDLLEILRMGALFEQFAPSLFRYFPRLRQATFKSMLCMTLDEDEDVGAEALDDDNFEFCCSFFGKLALEGCSSVTAKLIEATAKNRLSLREMYSKFVAVDDFSPDFPYQFWHACIAAVFLPTKQIKQLSQNKGFYVMFKRLRTMNHSFLKRLICVTNYFPFAKIELITELYRRIDRARNTRVAILLCTRSLHNKQILKGIVQPISCIEKYDDLLFLVQNKLQQIINRVCMETVSGEKELLQDCIISALGEDPRTSSLSLYFVLQFRNLLPCCGSAWLFAIKKLLVTKGSGAEGEVCMVSNLNGFVEPLVLPEQVPKSIHKSAISLDCGLLHDTALDSSIDLLSMHRFSASTYAARLQENLNKAEQFWQAEGQAMEPVIPGVAISDELNSESTTELLECLEGRSQLDPTVEASSKMNQLFPCNPFCRTHDDLPDDGEQWKELCGGCSFCQVIDKIGTYFLGAKALAAVGVASERPWDKFSDLRLEEYAFISDNCYASAMPLERQVWSNVFHEGTIDVFGKYSQIYQTIEESSKKSRLFECKPFWKAHEKWPDDGEEWNGLCGGCSFCQVTDQKGTFVFGAESLVCPSIGQVYFPWNRFSALRAEEEQYRMKGGRLRSKLNKVRIDYKAINGVDKNLLFGCDPHFRKHDEIPLRTLGAGFLILSCCFCQIGSKVGTFYFGAAAMEQPIWSSYPEYTVFTKLRKAESRMQSNYGKQMKAREKQEEEELEKALQVADLGLLASLEPLLNHDFQSIREDSAKQQTAM
+>sp|Q9NR09|BIRC6_HUMAN Baculoviral IAP repeat-containing protein 6 OS=Homo sapiens OX=9606 GN=BIRC6 PE=1 SV=2
+MVTGGGAAPPGTVTEPLPSVIVLSAGRKMAAAAAAASGPGCSSAAGAGAAGVSEWLVLRDGCMHCDADGLHSLSYHPALNAILAVTSRGTIKVIDGTSGATLQASALSAKPGGQVKCQYISAVDKVIFVDDYAVGCRKDLNGILLLDTALQTPVSKQDDVVQLELPVTEAQQLLSACLEKVDISSTEGYDLFITQLKDGLKNTSHETAANHKVAKWATVTFHLPHHVLKSIASAIVNELKKINQNVAALPVASSVMDRLSYLLPSARPELGVGPGRSVDRSLMYSEANRRETFTSWPHVGYRWAQPDPMAQAGFYHQPASSGDDRAMCFTCSVCLVCWEPTDEPWSEHERHSPNCPFVKGEHTQNVPLSVTLATSPAQFPCTDGTDRISCFGSGSCPHFLAAATKRGKICIWDVSKLMKVHLKFEINAYDPAIVQQLILSGDPSSGVDSRRPTLAWLEDSSSCSDIPKLEGDSDDLLEDSDSEEHSRSDSVTGHTSQKEAMEVSLDITALSILQQPEKLQWEIVANVLEDTVKDLEELGANPCLTNSKSEKTKEKHQEQHNIPFPCLLAGGLLTYKSPATSPISSNSHRSLDGLSRTQGESISEQGSTDNESCTNSELNSPLVRRTLPVLLLYSIKESDEKAGKIFSQMNNIMSKSLHDDGFTVPQIIEMELDSQEQLLLQDPPVTYIQQFADAAANLTSPDSEKWNSVFPKPGTLVQCLRLPKFAEEENLCIDSITPCADGIHLLVGLRTCPVESLSAINQVEALNNLNKLNSALCNRRKGELESNLAVVNGANISVIQHESPADVQTPLIIQPEQRNVSGGYLVLYKMNYATRIVTLEEEPIKIQHIKDPQDTITSLILLPPDILDNREDDCEEPIEDMQLTSKNGFEREKTSDISTLGHLVITTQGGYVKILDLSNFEILAKVEPPKKEGTEEQDTFVSVIYCSGTDRLCACTKGGELHFLQIGGTCDDIDEADILVDGSLSKGIEPSSEGSKPLSNPSSPGISGVDLLVDQPFTLEILTSLVELTRFETLTPRFSATVPPCWVEVQQEQQQRRHPQHLHQQHHGDAAQHTRTWKLQTDSNSWDEHVFELVLPKACMVGHVDFKFVLNSNITNIPQIQVTLLKNKAPGLGKVNALNIEVEQNGKPSLVDLNEEMQHMDVEESQCLRLCPFLEDHKEDILCGPVWLASGLDLSGHAGMLTLTSPKLVKGMAGGKYRSFLIHVKAVNERGTEEICNGGMRPVVRLPSLKHQSNKGYSLASLLAKVAAGKEKSSNVKNENTSGTRKSENLRGCDLLQEVSVTIRRFKKTSISKERVQRCAMLQFSEFHEKLVNTLCRKTDDGQITEHAQSLVLDTLCWLAGVHSNGPGSSKEGNENLLSKTRKFLSDIVRVCFFEAGRSIAHKCARFLALCISNGKCDPCQPAFGPVLLKALLDNMSFLPAATTGGSVYWYFVLLNYVKDEDLAGCSTACASLLTAVSRQLQDRLTPMEALLQTRYGLYSSPFDPVLFDLEMSGSSCKNVYNSSIGVQSDEIDLSDVLSGNGKVSSCTAAEGSFTSLTGLLEVEPLHFTCVSTSDGTRIERDDAMSSFGVTPAVGGLSSGTVGEASTALSSAAQVALQSLSHAMASAEQQLQVLQEKQQQLLKLQQQKAKLEAKLHQTTAAAAAAASAVGPVHNSVPSNPVAAPGFFIHPSDVIPPTPKTTPLFMTPPLTPPNEAVSVVINAELAQLFPGSVIDPPAVNLAAHNKNSNKSRMNPLGSGLALAISHASHFLQPPPHQSIIIERMHSGARRFVTLDFGRPILLTDVLIPTCGDLASLSIDIWTLGEEVDGRRLVVATDISTHSLILHDLIPPPVCRFMKITVIGRYGSTNARAKIPLGFYYGHTYILPWESELKLMHDPLKGEGESANQPEIDQHLAMMVALQEDIQCRYNLACHRLETLLQSIDLPPLNSANNAQYFLRKPDKAVEEDSRVFSAYQDCIQLQLQLNLAHNAVQRLKVALGASRKMLSETSNPEDLIQTSSTEQLRTIIRYLLDTLLSLLHASNGHSVPAVLQSTFHAQACEELFKHLCISGTPKIRLHTGLLLVQLCGGERWWGQFLSNVLQELYNSEQLLIFPQDRVFMLLSCIGQRSLSNSGVLESLLNLLDNLLSPLQPQLPMHRRTEGVLDIPMISWVVMLVSRLLDYVATVEDEAAAAKKPLNGNQWSFINNNLHTQSLNRSSKGSSSLDRLYSRKIRKQLVHHKQQLNLLKAKQKALVEQMEKEKIQSNKGSSYKLLVEQAKLKQATSKHFKDLIRLRRTAEWSRSNLDTEVTTAKESPEIEPLPFTLAHERCISVVQKLVLFLLSMDFTCHADLLLFVCKVLARIANATRPTIHLCEIVNEPQLERLLLLLVGTDFNRGDISWGGAWAQYSLTCMLQDILAGELLAPVAAEAMEEGTVGDDVGATAGDSDDSLQQSSVQLLETIDEPLTHDITGAPPLSSLEKDKEIDLELLQDLMEVDIDPLDIDLEKDPLAAKVFKPISSTWYDYWGADYGTYNYNPYIGGLGIPVAKPPANTEKNGSQTVSVSVSQALDARLEVGLEQQAELMLKMMSTLEADSILQALTNTSPTLSQSPTGTDDSLLGGLQAANQTSQLIIQLSSVPMLNVCFNKLFSMLQVHHVQLESLLQLWLTLSLNSSSTGNKENGADIFLYNANRIPVISLNQASITSFLTVLAWYPNTLLRTWCLVLHSLTLMTNMQLNSGSSSAIGTQESTAHLLVSDPNLIHVLVKFLSGTSPHGTNQHSPQVGPTATQAMQEFLTRLQVHLSSTCPQIFSEFLLKLIHILSTERGAFQTGQGPLDAQVKLLEFTLEQNFEVVSVSTISAVIESVTFLVHHYITCSDKVMSRSGSDSSVGARACFGGLFANLIRPGDAKAVCGEMTRDQLMFDLLKLVNILVQLPLSGNREYSARVSVTTNTTDSVSDEEKVSGGKDGNGSSTSVQGSPAYVADLVLANQQIMSQILSALGLCNSSAMAMIIGASGLHLTKHENFHGGLDAISVGDGLFTILTTLSKKASTVHMMLQPILTYMACGYMGRQGSLATCQLSEPLLWFILRVLDTSDALKAFHDMGGVQLICNNMVTSTRAIVNTARSMVSTIMKFLDSGPNKAVDSTLKTRILASEPDNAEGIHNFAPLGTITSSSPTAQPAEVLLQATPPHRRARSAAWSYIFLPEEAWCDLTIHLPAAVLLKEIHIQPHLASLATCPSSVSVEVSADGVNMLPLSTPVVTSGLTYIKIQLVKAEVASAVCLRLHRPRDASTLGLSQIKLLGLTAFGTTSSATVNNPFLPSEDQVSKTSIGWLRLLHHCLTHISDLEGMMASAAAPTANLLQTCAALLMSPYCGMHSPNIEVVLVKIGLQSTRIGLKLIDILLRNCAASGSDPTDLNSPLLFGRLNGLSSDSTIDILYQLGTTQDPGTKDRIQALLKWVSDSARVAAMKRSGRMNYMCPNSSTVEYGLLMPSPSHLHCVAAILWHSYELLVEYDLPALLDQELFELLFNWSMSLPCNMVLKKAVDSLLCSMCHVHPNYFSLLMGWMGITPPPVQCHHRLSMTDDSKKQDLSSSLTDDSKNAQAPLALTESHLATLASSSQSPEAIKQLLDSGLPSLLVRSLASFCFSHISSSESIAQSIDISQDKLRRHHVPQQCNKMPITADLVAPILRFLTEVGNSHIMKDWLGGSEVNPLWTALLFLLCHSGSTSGSHNLGAQQTSARSASLSSAATTGLTTQQRTAIENATVAFFLQCISCHPNNQKLMAQVLCELFQTSPQRGNLPTSGNISGFIRRLFLQLMLEDEKVTMFLQSPCPLYKGRINATSHVIQHPMYGAGHKFRTLHLPVSTTLSDVLDRVSDTPSITAKLISEQKDDKEKKNHEEKEKVKAENGFQDNYSVVVASGLKSQSKRAVSATPPRPPSRRGRTIPDKIGSTSGAEAANKIITVPVFHLFHKLLAGQPLPAEMTLAQLLTLLYDRKLPQGYRSIDLTVKLGSRVITDPSLSKTDSYKRLHPEKDHGDLLASCPEDEALTPGDECMDGILDESLLETCPIQSPLQVFAGMGGLALIAERLPMLYPEVIQQVSAPVVTSTTQEKPKDSDQFEWVTIEQSGELVYEAPETVAAEPPPIKSAVQTMSPIPAHSLAAFGLFLRLPGYAEVLLKERKHAQCLLRLVLGVTDDGEGSHILQSPSANVLPTLPFHVLRSLFSTTPLTTDDGVLLRRMALEIGALHLILVCLSALSHHSPRVPNSSVNQTEPQVSSSHNPTSTEEQQLYWAKGTGFGTGSTASGWDVEQALTKQRLEEEHVTCLLQVLASYINPVSSAVNGEAQSSHETRGQNSNALPSVLLELLSQSCLIPAMSSYLRNDSVLDMARHVPLYRALLELLRAIASCAAMVPLLLPLSTENGEEEEEQSECQTSVGTLLAKMKTCVDTYTNRLRSKRENVKTGVKPDASDQEPEGLTLLVPDIQKTAEIVYAATTSLRQANQEKKLGEYSKKAAMKPKPLSVLKSLEEKYVAVMKKLQFDTFEMVSEDEDGKLGFKVNYHYMSQVKNANDANSAARARRLAQEAVTLSTSLPLSSSSSVFVRCDEERLDIMKVLITGPADTPYANGCFEFDVYFPQDYPSSPPLVNLETTGGHSVRFNPNLYNDGKVCLSILNTWHGRPEEKWNPQTSSFLQVLVSVQSLILVAEPYFNEPGYERSRGTPSGTQSSREYDGNIRQATVKWAMLEQIRNPSPCFKEVIHKHFYLKRVEIMAQCEEWIADIQQYSSDKRVGRTMSHHAAALKRHTAQLREELLKLPCPEGLDPDTDDAPEVCRATTGAEETLMHDQVKPSSSKELPSDFQL
+>DECOY_sp|Q9NR09|BIRC6_HUMAN Baculoviral IAP repeat-containing protein 6 OS=Homo sapiens OX=9606 GN=BIRC6 PE=1 SV=2
+LQFDSPLEKSSSPKVQDHMLTEEAGTTARCVEPADDTDPDLGEPCPLKLLEERLQATHRKLAAAHHSMTRGVRKDSSYQQIDAIWEECQAMIEVRKLYFHKHIVEKFCPSPNRIQELMAWKVTAQRINGDYERSSQTGSPTGRSREYGPENFYPEAVLILSQVSVLVQLFSSTQPNWKEEPRGHWTNLISLCVKGDNYLNPNFRVSHGGTTELNVLPPSSPYDQPFYVDFEFCGNAYPTDAPGTILVKMIDLREEDCRVFVSSSSSLPLSTSLTVAEQALRRARAASNADNANKVQSMYHYNVKFGLKGDEDESVMEFTDFQLKKMVAVYKEELSKLVSLPKPKMAAKKSYEGLKKEQNAQRLSTTAAYVIEATKQIDPVLLTLGEPEQDSADPKVGTKVNERKSRLRNTYTDVCTKMKALLTGVSTQCESQEEEEEGNETSLPLLLPVMAACSAIARLLELLARYLPVHRAMDLVSDNRLYSSMAPILCSQSLLELLVSPLANSNQGRTEHSSQAEGNVASSVPNIYSALVQLLCTVHEEELRQKTLAQEVDWGSATSGTGFGTGKAWYLQQEETSTPNHSSSVQPETQNVSSNPVRPSHHSLASLCVLILHLAGIELAMRRLLVGDDTTLPTTSFLSRLVHFPLTPLVNASPSQLIHSGEGDDTVGLVLRLLCQAHKREKLLVEAYGPLRLFLGFAALSHAPIPSMTQVASKIPPPEAAVTEPAEYVLEGSQEITVWEFQDSDKPKEQTTSTVVPASVQQIVEPYLMPLREAILALGGMGAFVQLPSQIPCTELLSEDLIGDMCEDGPTLAEDEPCSALLDGHDKEPHLRKYSDTKSLSPDTIVRSGLKVTLDISRYGQPLKRDYLLTLLQALTMEAPLPQGALLKHFLHFVPVTIIKNAAEAGSTSGIKDPITRGRRSPPRPPTASVARKSQSKLGSAVVVSYNDQFGNEAKVKEKEEHNKKEKDDKQESILKATISPTDSVRDLVDSLTTSVPLHLTRFKHGAGYMPHQIVHSTANIRGKYLPCPSQLFMTVKEDELMLQLFLRRIFGSINGSTPLNGRQPSTQFLECLVQAMLKQNNPHCSICQLFFAVTANEIATRQQTTLGTTAASSLSASRASTQQAGLNHSGSTSGSHCLLFLLATWLPNVESGGLWDKMIHSNGVETLFRLIPAVLDATIPMKNCQQPVHHRRLKDQSIDISQAISESSSIHSFCFSALSRVLLSPLGSDLLQKIAEPSQSSSALTALHSETLALPAQANKSDDTLSSSLDQKKSDDTMSLRHHCQVPPPTIGMWGMLLSFYNPHVHCMSCLLSDVAKKLVMNCPLSMSWNFLLEFLEQDLLAPLDYEVLLEYSHWLIAAVCHLHSPSPMLLGYEVTSSNPCMYNMRGSRKMAAVRASDSVWKLLAQIRDKTGPDQTTGLQYLIDITSDSSLGNLRGFLLPSNLDTPDSGSAACNRLLIDILKLGIRTSQLGIKVLVVEINPSHMGCYPSMLLAACTQLLNATPAAASAMMGELDSIHTLCHHLLRLWGISTKSVQDESPLFPNNVTASSTTGFATLGLLKIQSLGLTSADRPRHLRLCVASAVEAKVLQIKIYTLGSTVVPTSLPLMNVGDASVEVSVSSPCTALSALHPQIHIEKLLVAAPLHITLDCWAEEPLFIYSWAASRARRHPPTAQLLVEAPQATPSSSTITGLPAFNHIGEANDPESALIRTKLTSDVAKNPGSDLFKMITSVMSRATNVIARTSTVMNNCILQVGGMDHFAKLADSTDLVRLIFWLLPESLQCTALSGQRGMYGCAMYTLIPQLMMHVTSAKKSLTTLITFLGDGVSIADLGGHFNEHKTLHLGSAGIIMAMASSNCLGLASLIQSMIQQNALVLDAVYAPSGQVSTSSGNGDKGGSVKEEDSVSDTTNTTVSVRASYERNGSLPLQVLINVLKLLDFMLQDRTMEGCVAKADGPRILNAFLGGFCARAGVSSDSGSRSMVKDSCTIYHHVLFTVSEIVASITSVSVVEFNQELTFELLKVQADLPGQGTQFAGRETSLIHILKLLFESFIQPCTSSLHVQLRTLFEQMAQTATPGVQPSHQNTGHPSTGSLFKVLVHILNPDSVLLHATSEQTGIASSSGSNLQMNTMLTLSHLVLCWTRLLTNPYWALVTLFSTISAQNLSIVPIRNANYLFIDAGNEKNGTSSSNLSLTLWLQLLSELQVHHVQLMSFLKNFCVNLMPVSSLQIILQSTQNAAQLGGLLSDDTGTPSQSLTPSTNTLAQLISDAELTSMMKLMLEAQQELGVELRADLAQSVSVSVTQSGNKETNAPPKAVPIGLGGIYPNYNYTGYDAGWYDYWTSSIPKFVKAALPDKELDIDLPDIDVEMLDQLLELDIEKDKELSSLPPAGTIDHTLPEDITELLQVSSQQLSDDSDGATAGVDDGVTGEEMAEAAVPALLEGALIDQLMCTLSYQAWAGGWSIDGRNFDTGVLLLLLRELQPENVIECLHITPRTANAIRALVKCVFLLLDAHCTFDMSLLFLVLKQVVSICREHALTFPLPEIEPSEKATTVETDLNSRSWEATRRLRILDKFHKSTAQKLKAQEVLLKYSSGKNSQIKEKEMQEVLAKQKAKLLNLQQKHHVLQKRIKRSYLRDLSSSGKSSRNLSQTHLNNNIFSWQNGNLPKKAAAAEDEVTAVYDLLRSVLMVVWSIMPIDLVGETRRHMPLQPQLPSLLNDLLNLLSELVGSNSLSRQGICSLLMFVRDQPFILLQESNYLEQLVNSLFQGWWREGGCLQVLLLGTHLRIKPTGSICLHKFLEECAQAHFTSQLVAPVSHGNSAHLLSLLTDLLYRIITRLQETSSTQILDEPNSTESLMKRSAGLAVKLRQVANHALNLQLQLQICDQYASFVRSDEEVAKDPKRLFYQANNASNLPPLDISQLLTELRHCALNYRCQIDEQLAVMMALHQDIEPQNASEGEGKLPDHMLKLESEWPLIYTHGYYFGLPIKARANTSGYRGIVTIKMFRCVPPPILDHLILSHTSIDTAVVLRRGDVEEGLTWIDISLSALDGCTPILVDTLLIPRGFDLTVFRRAGSHMREIIISQHPPPQLFHSAHSIALALGSGLPNMRSKNSNKNHAALNVAPPDIVSGPFLQALEANIVVSVAENPPTLPPTMFLPTTKPTPPIVDSPHIFFGPAAVPNSPVSNHVPGVASAAAAAAATTQHLKAELKAKQQQLKLLQQQKEQLVQLQQEASAMAHSLSQLAVQAASSLATSAEGVTGSSLGGVAPTVGFSSMADDREIRTGDSTSVCTFHLPEVELLGTLSTFSGEAATCSSVKGNGSLVDSLDIEDSQVGISSNYVNKCSSGSMELDFLVPDFPSSYLGYRTQLLAEMPTLRDQLQRSVATLLSACATSCGALDEDKVYNLLVFYWYVSGGTTAAPLFSMNDLLAKLLVPGFAPQCPDCKGNSICLALFRACKHAISRGAEFFCVRVIDSLFKRTKSLLNENGEKSSGPGNSHVGALWCLTDLVLSQAHETIQGDDTKRCLTNVLKEHFESFQLMACRQVREKSISTKKFRRITVSVEQLLDCGRLNESKRTGSTNENKVNSSKEKGAAVKALLSALSYGKNSQHKLSPLRVVPRMGGNCIEETGRENVAKVHILFSRYKGGAMGKVLKPSTLTLMGAHGSLDLGSALWVPGCLIDEKHDELFPCLRLCQSEEVDMHQMEENLDVLSPKGNQEVEINLANVKGLGPAKNKLLTVQIQPINTINSNLVFKFDVHGVMCAKPLVLEFVHEDWSNSDTQLKWTRTHQAADGHHQQHLHQPHRRQQQEQQVEVWCPPVTASFRPTLTEFRTLEVLSTLIELTFPQDVLLDVGSIGPSSPNSLPKSGESSPEIGKSLSGDVLIDAEDIDDCTGGIQLFHLEGGKTCACLRDTGSCYIVSVFTDQEETGEKKPPEVKALIEFNSLDLIKVYGGQTTIVLHGLTSIDSTKEREFGNKSTLQMDEIPEECDDERNDLIDPPLLILSTITDQPDKIHQIKIPEEELTVIRTAYNMKYLVLYGGSVNRQEPQIILPTQVDAPSEHQIVSINAGNVVALNSELEGKRRNCLASNLKNLNNLAEVQNIASLSEVPCTRLGVLLHIGDACPTISDICLNEEEAFKPLRLCQVLTGPKPFVSNWKESDPSTLNAAADAFQQIYTVPPDQLLLQEQSDLEMEIIQPVTFGDDHLSKSMINNMQSFIKGAKEDSEKISYLLLVPLTRRVLPSNLESNTCSENDTSGQESISEGQTRSLGDLSRHSNSSIPSTAPSKYTLLGGALLCPFPINHQEQHKEKTKESKSNTLCPNAGLEELDKVTDELVNAVIEWQLKEPQQLISLATIDLSVEMAEKQSTHGTVSDSRSHEESDSDELLDDSDGELKPIDSCSSSDELWALTPRRSDVGSSPDGSLILQQVIAPDYANIEFKLHVKMLKSVDWICIKGRKTAAALFHPCSGSGFCSIRDTGDTCPFQAPSTALTVSLPVNQTHEGKVFPCNPSHREHESWPEDTPEWCVLCVSCTFCMARDDGSSAPQHYFGAQAMPDPQAWRYGVHPWSTFTERRNAESYMLSRDVSRGPGVGLEPRASPLLYSLRDMVSSAVPLAAVNQNIKKLENVIASAISKLVHHPLHFTVTAWKAVKHNAATEHSTNKLGDKLQTIFLDYGETSSIDVKELCASLLQQAETVPLELQVVDDQKSVPTQLATDLLLIGNLDKRCGVAYDDVFIVKDVASIYQCKVQGGPKASLASAQLTAGSTGDIVKITGRSTVALIANLAPHYSLSHLGDADCHMCGDRLVLWESVGAAGAGAASSCGPGSAAAAAAAMKRGASLVIVSPLPETVTGPPAAGGGTVM
+>sp|Q8IZY5|BLID_HUMAN BH3-like motif-containing cell death inducer OS=Homo sapiens OX=9606 GN=BLID PE=1 SV=2
+MVTLLPIEGQEIHFFEILESECVLYTGWIERASGSSIYPEAKARLPLEALLGSNKEPMLPKETVLSLKRYNLGSSAMKRNVPGHVLQRPSYLTRIQVTLLCNSSAEAL
+>DECOY_sp|Q8IZY5|BLID_HUMAN BH3-like motif-containing cell death inducer OS=Homo sapiens OX=9606 GN=BLID PE=1 SV=2
+LAEASSNCLLTVQIRTLYSPRQLVHGPVNRKMASSGLNYRKLSLVTEKPLMPEKNSGLLAELPLRAKAEPYISSGSAREIWGTYLVCESELIEFFHIEQGEIPLLTVM
+>sp|P51451|BLK_HUMAN Tyrosine-protein kinase Blk OS=Homo sapiens OX=9606 GN=BLK PE=1 SV=3
+MGLVSSKKPDKEKPIKEKDKGQWSPLKVSAQDKDAPPLPPLVVFNHLTPPPPDEHLDEDKHFVVALYDYTAMNDRDLQMLKGEKLQVLKGTGDWWLARSLVTGREGYVPSNFVARVESLEMERWFFRSQGRKEAERQLLAPINKAGSFLIRESETNKGAFSLSVKDVTTQGELIKHYKIRCLDEGGYYISPRITFPSLQALVQHYSKKGDGLCQRLTLPCVRPAPQNPWAQDEWEIPRQSLRLVRKLGSGQFGEVWMGYYKNNMKVAIKTLKEGTMSPEAFLGEANVMKALQHERLVRLYAVVTKEPIYIVTEYMARGCLLDFLKTDEGSRLSLPRLIDMSAQIAEGMAYIERMNSIHRDLRAANILVSEALCCKIADFGLARIIDSEYTAQEGAKFPIKWTAPEAIHFGVFTIKADVWSFGVLLMEVVTYGRVPYPGMSNPEVIRNLERGYRMPRPDTCPPELYRGVIAECWRSRPEERPTFEFLQSVLEDFYTATERQYELQP
+>DECOY_sp|P51451|BLK_HUMAN Tyrosine-protein kinase Blk OS=Homo sapiens OX=9606 GN=BLK PE=1 SV=3
+PQLEYQRETATYFDELVSQLFEFTPREEPRSRWCEAIVGRYLEPPCTDPRPMRYGRELNRIVEPNSMGPYPVRGYTVVEMLLVGFSWVDAKITFVGFHIAEPATWKIPFKAGEQATYESDIIRALGFDAIKCCLAESVLINAARLDRHISNMREIYAMGEAIQASMDILRPLSLRSGEDTKLFDLLCGRAMYETVIYIPEKTVVAYLRVLREHQLAKMVNAEGLFAEPSMTGEKLTKIAVKMNNKYYGMWVEGFQGSGLKRVLRLSQRPIEWEDQAWPNQPAPRVCPLTLRQCLGDGKKSYHQVLAQLSPFTIRPSIYYGGEDLCRIKYHKILEGQTTVDKVSLSFAGKNTESERILFSGAKNIPALLQREAEKRGQSRFFWREMELSEVRAVFNSPVYGERGTVLSRALWWDGTGKLVQLKEGKLMQLDRDNMATYDYLAVVFHKDEDLHEDPPPPTLHNFVVLPPLPPADKDQASVKLPSWQGKDKEKIPKEKDPKKSSVLGM
+>sp|P30043|BLVRB_HUMAN Flavin reductase (NADPH) OS=Homo sapiens OX=9606 GN=BLVRB PE=1 SV=3
+MAVKKIAIFGATGQTGLTTLAQAVQAGYEVTVLVRDSSRLPSEGPRPAHVVVGDVLQAADVDKTVAGQDAVIVLLGTRNDLSPTTVMSEGARNIVAAMKAHGVDKVVACTSAFLLWDPTKVPPRLQAVTDDHIRMHKVLRESGLKYVAVMPPHIGDQPLTGAYTVTLDGRGPSRVISKHDLGHFMLRCLTTDEYDGHSTYPSHQYQ
+>DECOY_sp|P30043|BLVRB_HUMAN Flavin reductase (NADPH) OS=Homo sapiens OX=9606 GN=BLVRB PE=1 SV=3
+QYQHSPYTSHGDYEDTTLCRLMFHGLDHKSIVRSPGRGDLTVTYAGTLPQDGIHPPMVAVYKLGSERLVKHMRIHDDTVAQLRPPVKTPDWLLFASTCAVVKDVGHAKMAAVINRAGESMVTTPSLDNRTGLLVIVADQGAVTKDVDAAQLVDGVVVHAPRPGESPLRSSDRVLVTVEYGAQVAQALTTLGTQGTAGFIAIKKVAM
+>sp|O00327|BMAL1_HUMAN Aryl hydrocarbon receptor nuclear translocator-like protein 1 OS=Homo sapiens OX=9606 GN=ARNTL PE=1 SV=2
+MADQRMDISSTISDFMSPGPTDLLSSSLGTSGVDCNRKRKGSSTDYQESMDTDKDDPHGRLEYTEHQGRIKNAREAHSQIEKRRRDKMNSFIDELASLVPTCNAMSRKLDKLTVLRMAVQHMKTLRGATNPYTEANYKPTFLSDDELKHLILRAADGFLFVVGCDRGKILFVSESVFKILNYSQNDLIGQSLFDYLHPKDIAKVKEQLSSSDTAPRERLIDAKTGLPVKTDITPGPSRLCSGARRSFFCRMKCNRPSVKVEDKDFPSTCSKKKADRKSFCTIHSTGYLKSWPPTKMGLDEDNEPDNEGCNLSCLVAIGRLHSHVVPQPVNGEIRVKSMEYVSRHAIDGKFVFVDQRATAILAYLPQELLGTSCYEYFHQDDIGHLAECHRQVLQTREKITTNCYKFKIKDGSFITLRSRWFSFMNPWTKEVEYIVSTNTVVLANVLEGGDPTFPQLTASPHSMDSMLPSGEGGPKRTHPTVPGIPGGTRAGAGKIGRMIAEEIMEIHRIRGSSPSSCGSSPLNITSTPPPDASSPGGKKILNGGTPDIPSSGLLSGQAQENPGYPYSDSSSILGENPHIGIDMIDNDQGSSSPSNDEAAMAVIMSLLEADAGLGGPVDFSDLPWPL
+>DECOY_sp|O00327|BMAL1_HUMAN Aryl hydrocarbon receptor nuclear translocator-like protein 1 OS=Homo sapiens OX=9606 GN=ARNTL PE=1 SV=2
+LPWPLDSFDVPGGLGADAELLSMIVAMAAEDNSPSSSGQDNDIMDIGIHPNEGLISSSDSYPYGPNEQAQGSLLGSSPIDPTGGNLIKKGGPSSADPPPTSTINLPSSGCSSPSSGRIRHIEMIEEAIMRGIKGAGARTGGPIGPVTPHTRKPGGEGSPLMSDMSHPSATLQPFTPDGGELVNALVVTNTSVIYEVEKTWPNMFSFWRSRLTIFSGDKIKFKYCNTTIKERTQLVQRHCEALHGIDDQHFYEYCSTGLLEQPLYALIATARQDVFVFKGDIAHRSVYEMSKVRIEGNVPQPVVHSHLRGIAVLCSLNCGENDPENDEDLGMKTPPWSKLYGTSHITCFSKRDAKKKSCTSPFDKDEVKVSPRNCKMRCFFSRRAGSCLRSPGPTIDTKVPLGTKADILRERPATDSSSLQEKVKAIDKPHLYDFLSQGILDNQSYNLIKFVSESVFLIKGRDCGVVFLFGDAARLILHKLEDDSLFTPKYNAETYPNTAGRLTKMHQVAMRLVTLKDLKRSMANCTPVLSALEDIFSNMKDRRRKEIQSHAERANKIRGQHETYELRGHPDDKDTDMSEQYDTSSGKRKRNCDVGSTGLSSSLLDTPGPSMFDSITSSIDMRQDAM
+>sp|P13497|BMP1_HUMAN Bone morphogenetic protein 1 OS=Homo sapiens OX=9606 GN=BMP1 PE=1 SV=2
+MPGVARLPLLLGLLLLPRPGRPLDLADYTYDLAEEDDSEPLNYKDPCKAAAFLGDIALDEEDLRAFQVQQAVDLRRHTARKSSIKAAVPGNTSTPSCQSTNGQPQRGACGRWRGRSRSRRAATSRPERVWPDGVIPFVIGGNFTGSQRAVFRQAMRHWEKHTCVTFLERTDEDSYIVFTYRPCGCCSYVGRRGGGPQAISIGKNCDKFGIVVHELGHVVGFWHEHTRPDRDRHVSIVRENIQPGQEYNFLKMEPQEVESLGETYDFDSIMHYARNTFSRGIFLDTIVPKYEVNGVKPPIGQRTRLSKGDIAQARKLYKCPACGETLQDSTGNFSSPEYPNGYSAHMHCVWRISVTPGEKIILNFTSLDLYRSRLCWYDYVEVRDGFWRKAPLRGRFCGSKLPEPIVSTDSRLWVEFRSSSNWVGKGFFAVYEAICGGDVKKDYGHIQSPNYPDDYRPSKVCIWRIQVSEGFHVGLTFQSFEIERHDSCAYDYLEVRDGHSESSTLIGRYCGYEKPDDIKSTSSRLWLKFVSDGSINKAGFAVNFFKEVDECSRPNRGGCEQRCLNTLGSYKCSCDPGYELAPDKRRCEAACGGFLTKLNGSITSPGWPKEYPPNKNCIWQLVAPTQYRISLQFDFFETEGNDVCKYDFVEVRSGLTADSKLHGKFCGSEKPEVITSQYNNMRVEFKSDNTVSKKGFKAHFFSDKDECSKDNGGCQQDCVNTFGSYECQCRSGFVLHDNKHDCKEAGCDHKVTSTSGTITSPNWPDKYPSKKECTWAISSTPGHRVKLTFMEMDIESQPECAYDHLEVFDGRDAKAPVLGRFCGSKKPEPVLATGSRMFLRFYSDNSVQRKGFQASHATECGGQVRADVKTKDLYSHAQFGDNNYPGGVDCEWVIVAEEGYGVELVFQTFEVEEETDCGYDYMELFDGYDSTAPRLGRYCGSGPPEEVYSAGDSVLVKFHSDDTITKKGFHLRYTSTKFQDTLHSRK
+>DECOY_sp|P13497|BMP1_HUMAN Bone morphogenetic protein 1 OS=Homo sapiens OX=9606 GN=BMP1 PE=1 SV=2
+KRSHLTDQFKTSTYRLHFGKKTITDDSHFKVLVSDGASYVEEPPGSGCYRGLRPATSDYGDFLEMYDYGCDTEEEVEFTQFVLEVGYGEEAVIVWECDVGGPYNNDGFQAHSYLDKTKVDARVQGGCETAHSAQFGKRQVSNDSYFRLFMRSGTALVPEPKKSGCFRGLVPAKADRGDFVELHDYACEPQSEIDMEMFTLKVRHGPTSSIAWTCEKKSPYKDPWNPSTITGSTSTVKHDCGAEKCDHKNDHLVFGSRCQCEYSGFTNVCDQQCGGNDKSCEDKDSFFHAKFGKKSVTNDSKFEVRMNNYQSTIVEPKESGCFKGHLKSDATLGSRVEVFDYKCVDNGETEFFDFQLSIRYQTPAVLQWICNKNPPYEKPWGPSTISGNLKTLFGGCAAECRRKDPALEYGPDCSCKYSGLTNLCRQECGGRNPRSCEDVEKFFNVAFGAKNISGDSVFKLWLRSSTSKIDDPKEYGCYRGILTSSESHGDRVELYDYACSDHREIEFSQFTLGVHFGESVQIRWICVKSPRYDDPYNPSQIHGYDKKVDGGCIAEYVAFFGKGVWNSSSRFEVWLRSDTSVIPEPLKSGCFRGRLPAKRWFGDRVEVYDYWCLRSRYLDLSTFNLIIKEGPTVSIRWVCHMHASYGNPYEPSSFNGTSDQLTEGCAPCKYLKRAQAIDGKSLRTRQGIPPKVGNVEYKPVITDLFIGRSFTNRAYHMISDFDYTEGLSEVEQPEMKLFNYEQGPQINERVISVHRDRDPRTHEHWFGVVHGLEHVVIGFKDCNKGISIAQPGGGRRGVYSCCGCPRYTFVIYSDEDTRELFTVCTHKEWHRMAQRFVARQSGTFNGGIVFPIVGDPWVREPRSTAARRSRSRGRWRGCAGRQPQGNTSQCSPTSTNGPVAAKISSKRATHRRLDVAQQVQFARLDEEDLAIDGLFAAAKCPDKYNLPESDDEEALDYTYDALDLPRGPRPLLLLGLLLPLRAVGPM
+>sp|Q96IK1|BOD1_HUMAN Biorientation of chromosomes in cell division protein 1 OS=Homo sapiens OX=9606 GN=BOD1 PE=1 SV=2
+MADGGGGGGTGAVGGGGTSQASAGAATGATGASGGGGPINPASLPPGDPQLIALIVEQLKSRGLFDSFRRDCLADVDTKPAYQNLRQKVDNFVSTHLDKQEWNPTMNKNQLRNGLRQSVVQSGMLEAGVDRIISQVVDPKLNHIFRPQIERAIHEFLAAQKKAAVPAPPPEPEGQDPPAPSQDTS
+>DECOY_sp|Q96IK1|BOD1_HUMAN Biorientation of chromosomes in cell division protein 1 OS=Homo sapiens OX=9606 GN=BOD1 PE=1 SV=2
+STDQSPAPPDQGEPEPPPAPVAAKKQAALFEHIAREIQPRFIHNLKPDVVQSIIRDVGAELMGSQVVSQRLGNRLQNKNMTPNWEQKDLHTSVFNDVKQRLNQYAPKTDVDALCDRRFSDFLGRSKLQEVILAILQPDGPPLSAPNIPGGGGSAGTAGTAAGASAQSTGGGGVAGTGGGGGGDAM
+>sp|Q14137|BOP1_HUMAN Ribosome biogenesis protein BOP1 OS=Homo sapiens OX=9606 GN=BOP1 PE=1 SV=2
+MAGSRGAGRTAAPSVRPEKRRSEPELEPEPEPEPPLLCTSPLSHSTGSDSGVSDSEESVFSGLEDSGSDSSEDDDEGDEEGEDGALDDEGHSGIKKTTEEQVQASTPCPRTEMASARIGDEYAEDSSDEEDIRNTVGNVPLEWYDDFPHVGYDLDGRRIYKPLRTRDELDQFLDKMDDPDYWRTVQDPMTGRDLRLTDEQVALVRRLQSGQFGDVGFNPYEPAVDFFSGDVMIHPVTNRPADKRSFIPSLVEKEKVSRMVHAIKMGWIQPRRPRDPTPSFYDLWAQEDPNAVLGRHKMHVPAPKLALPGHAESYNPPPEYLLSEEERLAWEQQEPGERKLSFLPRKFPSLRAVPAYGRFIQERFERCLDLYLCPRQRKMRVNVDPEDLIPKLPRPRDLQPFPTCQALVYRGHSDLVRCLSVSPGGQWLVSGSDDGSLRLWEVATARCVRTVPVGGVVKSVAWNPSPAVCLVAAAVEDSVLLLNPALGDRLVAGSTDQLLSAFVPPEEPPLQPARWLEASEEERQVGLRLRICHGKPVTQVTWHGRGDYLAVVLATQGHTQVLIHQLSRRRSQSPFRRSHGQVQRVAFHPARPFLLVASQRSVRLYHLLRQELTKKLMPNCKWVSSLAVHPAGDNVICGSYDSKLVWFDLDLSTKPYRMLRHHKKALRAVAFHPRYPLFASGSDDGSVIVCHGMVYNDLLQNPLLVPVKVLKGHVLTRDLGVLDVIFHPTQPWVFSSGADGTVRLFT
+>DECOY_sp|Q14137|BOP1_HUMAN Ribosome biogenesis protein BOP1 OS=Homo sapiens OX=9606 GN=BOP1 PE=1 SV=2
+TFLRVTGDAGSSFVWPQTPHFIVDLVGLDRTLVHGKLVKVPVLLPNQLLDNYVMGHCVIVSGDDSGSAFLPYRPHFAVARLAKKHHRLMRYPKTSLDLDFWVLKSDYSGCIVNDGAPHVALSSVWKCNPMLKKTLEQRLLHYLRVSRQSAVLLFPRAPHFAVRQVQGHSRRFPSQSRRRSLQHILVQTHGQTALVVALYDGRGHWTVQTVPKGHCIRLRLGVQREEESAELWRAPQLPPEEPPVFASLLQDTSGAVLRDGLAPNLLLVSDEVAAAVLCVAPSPNWAVSKVVGGVPVTRVCRATAVEWLRLSGDDSGSVLWQGGPSVSLCRVLDSHGRYVLAQCTPFPQLDRPRPLKPILDEPDVNVRMKRQRPCLYLDLCREFREQIFRGYAPVARLSPFKRPLFSLKREGPEQQEWALREEESLLYEPPPNYSEAHGPLALKPAPVHMKHRGLVANPDEQAWLDYFSPTPDRPRRPQIWGMKIAHVMRSVKEKEVLSPIFSRKDAPRNTVPHIMVDGSFFDVAPEYPNFGVDGFQGSQLRRVLAVQEDTLRLDRGTMPDQVTRWYDPDDMKDLFQDLEDRTRLPKYIRRGDLDYGVHPFDDYWELPVNGVTNRIDEEDSSDEAYEDGIRASAMETRPCPTSAQVQEETTKKIGSHGEDDLAGDEGEEDGEDDDESSDSGSDELGSFVSEESDSVGSDSGTSHSLPSTCLLPPEPEPEPELEPESRRKEPRVSPAATRGAGRSGAM
+>sp|Q7L3V2|BOP_HUMAN Protein Bop OS=Homo sapiens OX=9606 GN=RTL10 PE=1 SV=1
+MPRGRCRQQGPRIPIWAAANYANAHPWQQMDKASPGVAYTPLVDPWIERPCCGDTVCVRTTMEQKSTASGTCGGKPAERGPLAGHMPSSRPHRVDFCWVPGSDPGTFDGSPWLLDRFLAQLGDYMSFHFEHYQDNISRVCEILRRLTGRAQAWAAPYLDGDLPLPDDYELFCQDLKEVVQDPNSFAEYHAVVTCPLPLASSQLPVAPQLPVVRQYLARFLEGLALDMGTAPRSLPAAMATPAVSGSNSVSRSALFEQQLTKESTPGPKEPPVLPSSTCSSKPGPVEPASSQPEEAAPTPVPRLSESANPPAQRPDPAHPGGPKPQKTEEEVLETEGDQEVSLGTPQEVVEAPETPGEPPLSPGF
+>DECOY_sp|Q7L3V2|BOP_HUMAN Protein Bop OS=Homo sapiens OX=9606 GN=RTL10 PE=1 SV=1
+FGPSLPPEGPTEPAEVVEQPTGLSVEQDGETELVEEETKQPKPGGPHAPDPRQAPPNASESLRPVPTPAAEEPQSSAPEVPGPKSSCTSSPLVPPEKPGPTSEKTLQQEFLASRSVSNSGSVAPTAMAAPLSRPATGMDLALGELFRALYQRVVPLQPAVPLQSSALPLPCTVVAHYEAFSNPDQVVEKLDQCFLEYDDPLPLDGDLYPAAWAQARGTLRRLIECVRSINDQYHEFHFSMYDGLQALFRDLLWPSGDFTGPDSGPVWCFDVRHPRSSPMHGALPGREAPKGGCTGSATSKQEMTTRVCVTDGCCPREIWPDVLPTYAVGPSAKDMQQWPHANAYNAAAWIPIRPGQQRCRGRPM
+>sp|Q6PGQ7|BORA_HUMAN Protein aurora borealis OS=Homo sapiens OX=9606 GN=BORA PE=1 SV=2
+MGDVKESKMQITPETPGRIPVLNPFESPSDYSNLHEQTLASPSVFKSTKLPTPGKFRWSIDQLAVINPVEIDPEDIHRQALYLSHSRIDKDVEDKRQKAIEEFFTKDVIVPSPWTDHEGKQLSQCHSSKCTNINSDSPVGKKLTIHSEKSDAACQTLLSLPVDFNLENILGDYFRADEFADQSPGNLSSSSLRRKLFLDGNGSISDSLPSASPGSPHSGVQTSLEMFYSIDLSPVKCRSPLQTPSSGQFSSSPIQASAKKYSLGSITSPSPISSPTFSPIEFQIGETPLSEQRKFTVHSPDASSGTNSNGITNPCIRSPYIDGCSPIKNWSPMRLQMYSGGTQYRTSVIQIPFTLETQGEDEEDKENIPSTDVSSPAMDAAGIHLRQFSNEASTHGTHLVVTAMSVTQNQSSASEKELALLQDVEREKDNNTVDMVDPIEIADETTWIKEPVDNGSLPMTDFVSGIAFSIENSHMCMSPLAESSVIPCESSNIQMDSGYNTQNCGSNIMDTVGAESYCKESDAQTCEVESKSQAFNMKQDHTTQRCWMKTASPFQCSSP
+>DECOY_sp|Q6PGQ7|BORA_HUMAN Protein aurora borealis OS=Homo sapiens OX=9606 GN=BORA PE=1 SV=2
+PSSCQFPSATKMWCRQTTHDQKMNFAQSKSEVECTQADSEKCYSEAGVTDMINSGCNQTNYGSDMQINSSECPIVSSEALPSMCMHSNEISFAIGSVFDTMPLSGNDVPEKIWTTEDAIEIPDVMDVTNNDKEREVDQLLALEKESASSQNQTVSMATVVLHTGHTSAENSFQRLHIGAADMAPSSVDTSPINEKDEEDEGQTELTFPIQIVSTRYQTGGSYMQLRMPSWNKIPSCGDIYPSRICPNTIGNSNTGSSADPSHVTFKRQESLPTEGIQFEIPSFTPSSIPSPSTISGLSYKKASAQIPSSSFQGSSPTQLPSRCKVPSLDISYFMELSTQVGSHPSGPSASPLSDSISGNGDLFLKRRLSSSSLNGPSQDAFEDARFYDGLINELNFDVPLSLLTQCAADSKESHITLKKGVPSDSNINTCKSSHCQSLQKGEHDTWPSPVIVDKTFFEEIAKQRKDEVDKDIRSHSLYLAQRHIDEPDIEVPNIVALQDISWRFKGPTPLKTSKFVSPSALTQEHLNSYDSPSEFPNLVPIRGPTEPTIQMKSEKVDGM
+>sp|Q9NP55|BPIA1_HUMAN BPI fold-containing family A member 1 OS=Homo sapiens OX=9606 GN=BPIFA1 PE=1 SV=1
+MFQTGGLIVFYGLLAQTMAQFGGLPVPLDQTLPLNVNPALPLSPTGLAGSLTNALSNGLLSGGLLGILENLPLLDILKPGGGTSGGLLGGLLGKVTSVIPGLNNIIDIKVTDPQLLELGLVQSPDGHRLYVTIPLGIKLQVNTPLVGASLLRLAVKLDITAEILAVRDKQERIHLVLGDCTHSPGSLQISLLDGLGPLPIQGLLDSLTGILNKVLPELVQGNVCPLVNEVLRGLDITLVHDIVNMLIHGLQFVIKV
+>DECOY_sp|Q9NP55|BPIA1_HUMAN BPI fold-containing family A member 1 OS=Homo sapiens OX=9606 GN=BPIFA1 PE=1 SV=1
+VKIVFQLGHILMNVIDHVLTIDLGRLVENVLPCVNGQVLEPLVKNLIGTLSDLLGQIPLPGLGDLLSIQLSGPSHTCDGLVLHIREQKDRVALIEATIDLKVALRLLSAGVLPTNVQLKIGLPITVYLRHGDPSQVLGLELLQPDTVKIDIINNLGPIVSTVKGLLGGLLGGSTGGGPKLIDLLPLNELIGLLGGSLLGNSLANTLSGALGTPSLPLAPNVNLPLTQDLPVPLGGFQAMTQALLGYFVILGGTQFM
+>sp|Q8N4F0|BPIB2_HUMAN BPI fold-containing family B member 2 OS=Homo sapiens OX=9606 GN=BPIFB2 PE=1 SV=2
+MAWASRLGLLLALLLPVVGASTPGTVVRLNKAALSYVSEIGKAPLQRALQVTVPHFLDWSGEALQPTRIRILNVHVPRLHLKFIAGFGVRLLAAANFTFKVFRAPEPLELTLPVELLADTRVTQSSIRTPVVSISACSLFSGHANEFDGSNSTSHALLVLVQKHIKAVLSNKLCLSISNLVQGVNVHLGTLIGLNPVGPESQIRYSMVSVPTVTSDYISLEVNAVLFLLGKPIILPTDATPFVLPRHVGTEGSMATVGLSQQLFDSALLLLQKAGALNLDITGQLRSDDNLLNTSALGRLIPEVARQFPEPMPVVLKVRLGATPVAMLHTNNATLRLQPFVEVLATASNSAFQSLFSLDVVVNLRLQLSVSKVKLQGTTSVLGDVQLTVASSNVGFIDTDQVRTLMGTVFEKPLLDHLNALLAMGIALPGVVNLHYVAPEIFVYEGYVVISSGLFYQS
+>DECOY_sp|Q8N4F0|BPIB2_HUMAN BPI fold-containing family B member 2 OS=Homo sapiens OX=9606 GN=BPIFB2 PE=1 SV=2
+SQYFLGSSIVVYGEYVFIEPAVYHLNVVGPLAIGMALLANLHDLLPKEFVTGMLTRVQDTDIFGVNSSAVTLQVDGLVSTTGQLKVKSVSLQLRLNVVVDLSFLSQFASNSATALVEVFPQLRLTANNTHLMAVPTAGLRVKLVVPMPEPFQRAVEPILRGLASTNLLNDDSRLQGTIDLNLAGAKQLLLLASDFLQQSLGVTAMSGETGVHRPLVFPTADTPLIIPKGLLFLVANVELSIYDSTVTPVSVMSYRIQSEPGVPNLGILTGLHVNVGQVLNSISLCLKNSLVAKIHKQVLVLLAHSTSNSGDFENAHGSFLSCASISVVPTRISSQTVRTDALLEVPLTLELPEPARFVKFTFNAAALLRVGFGAIFKLHLRPVHVNLIRIRTPQLAEGSWDLFHPVTVQLARQLPAKGIESVYSLAAKNLRVVTGPTSAGVVPLLLALLLGLRSAWAM
+>sp|O15178|BRAC_HUMAN Brachyury protein OS=Homo sapiens OX=9606 GN=T PE=1 SV=1
+MSSPGTESAGKSLQYRVDHLLSAVENELQAGSEKGDPTERELRVGLEESELWLRFKELTNEMIVTKNGRRMFPVLKVNVSGLDPNAMYSFLLDFVAADNHRWKYVNGEWVPGGKPEPQAPSCVYIHPDSPNFGAHWMKAPVSFSKVKLTNKLNGGGQIMLNSLHKYEPRIHIVRVGGPQRMITSHCFPETQFIAVTAYQNEEITALKIKYNPFAKAFLDAKERSDHKEMMEEPGDSQQPGYSQWGWLLPGTSTLCPPANPHPQFGGALSLPSTHSCDRYPTLRSHRSSPYPSPYAHRNNSPTYSDNSPACLSMLQSHDNWSSLGMPAHPSMLPVSHNASPPTSSSQYPSLWSVSNGAVTPGSQAAAVSNGLGAQFFRGSPAHYTPLTHPVSAPSSSGSPLYEGAAAATDIVDSQYDAAAQGRLIASWTPVSPPSM
+>DECOY_sp|O15178|BRAC_HUMAN Brachyury protein OS=Homo sapiens OX=9606 GN=T PE=1 SV=1
+MSPPSVPTWSAILRGQAAADYQSDVIDTAAAAGEYLPSGSSSPASVPHTLPTYHAPSGRFFQAGLGNSVAAAQSGPTVAGNSVSWLSPYQSSSTPPSANHSVPLMSPHAPMGLSSWNDHSQLMSLCAPSNDSYTPSNNRHAYPSPYPSSRHSRLTPYRDCSHTSPLSLAGGFQPHPNAPPCLTSTGPLLWGWQSYGPQQSDGPEEMMEKHDSREKADLFAKAFPNYKIKLATIEENQYATVAIFQTEPFCHSTIMRQPGGVRVIHIRPEYKHLSNLMIQGGGNLKNTLKVKSFSVPAKMWHAGFNPSDPHIYVCSPAQPEPKGGPVWEGNVYKWRHNDAAVFDLLFSYMANPDLGSVNVKLVPFMRRGNKTVIMENTLEKFRLWLESEELGVRLERETPDGKESGAQLENEVASLLHDVRYQLSKGASETGPSSM
+>sp|P27037|AVR2A_HUMAN Activin receptor type-2A OS=Homo sapiens OX=9606 GN=ACVR2A PE=1 SV=1
+MGAAAKLAFAVFLISCSSGAILGRSETQECLFFNANWEKDRTNQTGVEPCYGDKDKRRHCFATWKNISGSIEIVKQGCWLDDINCYDRTDCVEKKDSPEVYFCCCEGNMCNEKFSYFPEMEVTQPTSNPVTPKPPYYNILLYSLVPLMLIAGIVICAFWVYRHHKMAYPPVLVPTQDPGPPPPSPLLGLKPLQLLEVKARGRFGCVWKAQLLNEYVAVKIFPIQDKQSWQNEYEVYSLPGMKHENILQFIGAEKRGTSVDVDLWLITAFHEKGSLSDFLKANVVSWNELCHIAETMARGLAYLHEDIPGLKDGHKPAISHRDIKSKNVLLKNNLTACIADFGLALKFEAGKSAGDTHGQVGTRRYMAPEVLEGAINFQRDAFLRIDMYAMGLVLWELASRCTAADGPVDEYMLPFEEEIGQHPSLEDMQEVVVHKKKRPVLRDYWQKHAGMAMLCETIEECWDHDAEARLSAGCVGERITQMQRLTNIITTEDIVTVVTMVTNVDFPPKESSL
+>DECOY_sp|P27037|AVR2A_HUMAN Activin receptor type-2A OS=Homo sapiens OX=9606 GN=ACVR2A PE=1 SV=1
+LSSEKPPFDVNTVMTVVTVIDETTIINTLRQMQTIREGVCGASLRAEADHDWCEEITECLMAMGAHKQWYDRLVPRKKKHVVVEQMDELSPHQGIEEEFPLMYEDVPGDAATCRSALEWLVLGMAYMDIRLFADRQFNIAGELVEPAMYRRTGVQGHTDGASKGAEFKLALGFDAICATLNNKLLVNKSKIDRHSIAPKHGDKLGPIDEHLYALGRAMTEAIHCLENWSVVNAKLFDSLSGKEHFATILWLDVDVSTGRKEAGIFQLINEHKMGPLSYVEYENQWSQKDQIPFIKVAVYENLLQAKWVCGFRGRAKVELLQLPKLGLLPSPPPPGPDQTPVLVPPYAMKHHRYVWFACIVIGAILMLPVLSYLLINYYPPKPTVPNSTPQTVEMEPFYSFKENCMNGECCCFYVEPSDKKEVCDTRDYCNIDDLWCGQKVIEISGSINKWTAFCHRRKDKDGYCPEVGTQNTRDKEWNANFFLCEQTESRGLIAGSSCSILFVAFALKAAAGM
+>sp|Q13705|AVR2B_HUMAN Activin receptor type-2B OS=Homo sapiens OX=9606 GN=ACVR2B PE=1 SV=3
+MTAPWVALALLWGSLCAGSGRGEAETRECIYYNANWELERTNQSGLERCEGEQDKRLHCYASWRNSSGTIELVKKGCWLDDFNCYDRQECVATEENPQVYFCCCEGNFCNERFTHLPEAGGPEVTYEPPPTAPTLLTVLAYSLLPIGGLSLIVLLAFWMYRHRKPPYGHVDIHEDPGPPPPSPLVGLKPLQLLEIKARGRFGCVWKAQLMNDFVAVKIFPLQDKQSWQSEREIFSTPGMKHENLLQFIAAEKRGSNLEVELWLITAFHDKGSLTDYLKGNIITWNELCHVAETMSRGLSYLHEDVPWCRGEGHKPSIAHRDFKSKNVLLKSDLTAVLADFGLAVRFEPGKPPGDTHGQVGTRRYMAPEVLEGAINFQRDAFLRIDMYAMGLVLWELVSRCKAADGPVDEYMLPFEEEIGQHPSLEELQEVVVHKKMRPTIKDHWLKHPGLAQLCVTIEECWDHDAEARLSAGCVEERVSLIRRSVNGTTSDCLVSLVTSVTNVDLPPKESSI
+>DECOY_sp|Q13705|AVR2B_HUMAN Activin receptor type-2B OS=Homo sapiens OX=9606 GN=ACVR2B PE=1 SV=3
+ISSEKPPLDVNTVSTVLSVLCDSTTGNVSRRILSVREEVCGASLRAEADHDWCEEITVCLQALGPHKLWHDKITPRMKKHVVVEQLEELSPHQGIEEEFPLMYEDVPGDAAKCRSVLEWLVLGMAYMDIRLFADRQFNIAGELVEPAMYRRTGVQGHTDGPPKGPEFRVALGFDALVATLDSKLLVNKSKFDRHAISPKHGEGRCWPVDEHLYSLGRSMTEAVHCLENWTIINGKLYDTLSGKDHFATILWLEVELNSGRKEAAIFQLLNEHKMGPTSFIERESQWSQKDQLPFIKVAVFDNMLQAKWVCGFRGRAKIELLQLPKLGVLPSPPPPGPDEHIDVHGYPPKRHRYMWFALLVILSLGGIPLLSYALVTLLTPATPPPEYTVEPGGAEPLHTFRENCFNGECCCFYVQPNEETAVCEQRDYCNFDDLWCGKKVLEITGSSNRWSAYCHLRKDQEGECRELGSQNTRELEWNANYYICERTEAEGRGSGACLSGWLLALAVWPATM
+>sp|Q6E213|AWAT2_HUMAN Acyl-CoA wax alcohol acyltransferase 2 OS=Homo sapiens OX=9606 GN=AWAT2 PE=1 SV=1
+MLLPSKKDLKTALDVFAVFQWSFSALLITTTVIAVNLYLVVFTPYWPVTVLILTWLAFDWKTPQRGGRRFTCVRHWRLWKHYSDYFPLKLLKTHDICPSRNYILVCHPHGLFAHGWFGHFATEASGFSKIFPGITPYILTLGAFFWMPFLREYVMSTGACSVSRSSIDFLLTHKGTGNMVIVVIGGLAECRYSLPGSSTLVLKNRSGFVRMALQHGVPLIPAYAFGETDLYDQHIFTPGGFVNRFQKWFQSMVHIYPCAFYGRGFTKNSWGLLPYSRPVTTIVGEPLPMPKIENPSQEIVAKYHTLYIDALRKLFDQHKTKFGISETQELEII
+>DECOY_sp|Q6E213|AWAT2_HUMAN Acyl-CoA wax alcohol acyltransferase 2 OS=Homo sapiens OX=9606 GN=AWAT2 PE=1 SV=1
+IIELEQTESIGFKTKHQDFLKRLADIYLTHYKAVIEQSPNEIKPMPLPEGVITTVPRSYPLLGWSNKTFGRGYFACPYIHVMSQFWKQFRNVFGGPTFIHQDYLDTEGFAYAPILPVGHQLAMRVFGSRNKLVLTSSGPLSYRCEALGGIVVIVMNGTGKHTLLFDISSRSVSCAGTSMVYERLFPMWFFAGLTLIYPTIGPFIKSFGSAETAFHGFWGHAFLGHPHCVLIYNRSPCIDHTKLLKLPFYDSYHKWLRWHRVCTFRRGGRQPTKWDFALWTLILVTVPWYPTFVVLYLNVAIVTTTILLASFSWQFVAFVDLATKLDKKSPLLM
+>sp|O14977|AZIN1_HUMAN Antizyme inhibitor 1 OS=Homo sapiens OX=9606 GN=AZIN1 PE=1 SV=2
+MKGFIDDANYSVGLLDEGTNLGNVIDNYVYEHTLTGKNAFFVGDLGKIVKKHSQWQNVVAQIKPFYTVKCNSAPAVLEILAALGTGFACSSKNEMALVQELGVPPENIIYISPCKQVSQIKYAAKVGVNILTCDNEIELKKIARNHPNAKVLLHIATEDNIGGEEGNMKFGTTLKNCRHLLECAKELDVQIIGVKFHVSSACKESQVYVHALSDARCVFDMAGEIGFTMNMLDIGGGFTGTEFQLEEVNHVISPLLDIYFPEGSGVKIISEPGSYYVSSAFTLAVNIIAKKVVENDKFPSGVEKTGSDEPAFMYYMNDGVYGSFASKLSEDLNTIPEVHKKYKEDEPLFTSSLWGPSCDELDQIVESCLLPELNVGDWLIFDNMGADSFHEPSAFNDFQRPAIYYMMSFSDWYEMQDAGITSDSMMKNFFFVPSCIQLSQEDSFSAEA
+>DECOY_sp|O14977|AZIN1_HUMAN Antizyme inhibitor 1 OS=Homo sapiens OX=9606 GN=AZIN1 PE=1 SV=2
+AEASFSDEQSLQICSPVFFFNKMMSDSTIGADQMEYWDSFSMMYYIAPRQFDNFASPEHFSDAGMNDFILWDGVNLEPLLCSEVIQDLEDCSPGWLSSTFLPEDEKYKKHVEPITNLDESLKSAFSGYVGDNMYYMFAPEDSGTKEVGSPFKDNEVVKKAIINVALTFASSVYYSGPESIIKVGSGEPFYIDLLPSIVHNVEELQFETGTFGGGIDLMNMTFGIEGAMDFVCRADSLAHVYVQSEKCASSVHFKVGIIQVDLEKACELLHRCNKLTTGFKMNGEEGGINDETAIHLLVKANPHNRAIKKLEIENDCTLINVGVKAAYKIQSVQKCPSIYIINEPPVGLEQVLAMENKSSCAFGTGLAALIELVAPASNCKVTYFPKIQAVVNQWQSHKKVIKGLDGVFFANKGTLTHEYVYNDIVNGLNTGEDLLGVSYNADDIFGKM
+>sp|Q07817|B2CL1_HUMAN Bcl-2-like protein 1 OS=Homo sapiens OX=9606 GN=BCL2L1 PE=1 SV=1
+MSQSNRELVVDFLSYKLSQKGYSWSQFSDVEENRTEAPEGTESEMETPSAINGNPSWHLADSPAVNGATGHSSSLDAREVIPMAAVKQALREAGDEFELRYRRAFSDLTSQLHITPGTAYQSFEQVVNELFRDGVNWGRIVAFFSFGGALCVESVDKEMQVLVSRIAAWMATYLNDHLEPWIQENGGWDTFVELYGNNAAAESRKGQERFNRWFLTGMTVAGVVLLGSLFSRK
+>DECOY_sp|Q07817|B2CL1_HUMAN Bcl-2-like protein 1 OS=Homo sapiens OX=9606 GN=BCL2L1 PE=1 SV=1
+KRSFLSGLLVVGAVTMGTLFWRNFREQGKRSEAAANNGYLEVFTDWGGNEQIWPELHDNLYTAMWAAIRSVLVQMEKDVSEVCLAGGFSFFAVIRGWNVGDRFLENVVQEFSQYATGPTIHLQSTLDSFARRYRLEFEDGAERLAQKVAAMPIVERADLSSSHGTAGNVAPSDALHWSPNGNIASPTEMESETGEPAETRNEEVDSFQSWSYGKQSLKYSLFDVVLERNSQSM
+>sp|Q9HD36|B2L10_HUMAN Bcl-2-like protein 10 OS=Homo sapiens OX=9606 GN=BCL2L10 PE=1 SV=2
+MADPLRERTELLLADYLGYCAREPGTPEPAPSTPEAAVLRSAAARLRQIHRSFFSAYLGYPGNRFELVALMADSVLSDSPGPTWGRVVTLVTFAGTLLERGPLVTARWKKWGFQPRLKEQEGDVARDCQRLVALLSSRLMGQHRAWLQAQGGWDGFCHFFRTPFPLAFWRKQLVQAFLSCLLTTAFIYLWTRLL
+>DECOY_sp|Q9HD36|B2L10_HUMAN Bcl-2-like protein 10 OS=Homo sapiens OX=9606 GN=BCL2L10 PE=1 SV=2
+LLRTWLYIFATTLLCSLFAQVLQKRWFALPFPTRFFHCFGDWGGQAQLWARHQGMLRSSLLAVLRQCDRAVDGEQEKLRPQFGWKKWRATVLPGRELLTGAFTVLTVVRGWTPGPSDSLVSDAMLAVLEFRNGPYGLYASFFSRHIQRLRAAASRLVAAEPTSPAPEPTGPERACYGLYDALLLETRERLPDAM
+>sp|O00154|BACH_HUMAN Cytosolic acyl coenzyme A thioester hydrolase OS=Homo sapiens OX=9606 GN=ACOT7 PE=1 SV=3
+MKLLARALRLCEFGRQASSRRLVAGQGCVGPRRGCCAPVQVVGPRADLPPCGACITGRIMRPDDANVAGNVHGGTILKMIEEAGAIISTRHCNSQNGERCVAALARVERTDFLSPMCIGEVAHVSAEITYTSKHSVEVQVNVMSENILTGAKKLTNKATLWYVPLSLKNVDKVLEVPPVVYSRQEQEEEGRKRYEAQKLERMETKWRNGDIVQPVLNPEPNTVSYSQSSLIHLVGPSDCTLHGFVHGGVTMKLMDEVAGIVAARHCKTNIVTASVDAINFHDKIRKGCVITISGRMTFTSNKSMEIEVLVDADPVVDSSQKRYRAASAFFTYVSLSQEGRSLPVPQLVPETEDEKKRFEEGKGRYLQMKAKRQGHAEPQP
+>DECOY_sp|O00154|BACH_HUMAN Cytosolic acyl coenzyme A thioester hydrolase OS=Homo sapiens OX=9606 GN=ACOT7 PE=1 SV=3
+PQPEAHGQRKAKMQLYRGKGEEFRKKEDETEPVLQPVPLSRGEQSLSVYTFFASAARYRKQSSDVVPDADVLVEIEMSKNSTFTMRGSITIVCGKRIKDHFNIADVSATVINTKCHRAAVIGAVEDMLKMTVGGHVFGHLTCDSPGVLHILSSQSYSVTNPEPNLVPQVIDGNRWKTEMRELKQAEYRKRGEEEQEQRSYVVPPVELVKDVNKLSLPVYWLTAKNTLKKAGTLINESMVNVQVEVSHKSTYTIEASVHAVEGICMPSLFDTREVRALAAVCREGNQSNCHRTSIIAGAEEIMKLITGGHVNGAVNADDPRMIRGTICAGCPPLDARPGVVQVPACCGRRPGVCGQGAVLRRSSAQRGFECLRLARALLKM
+>sp|Q92934|BAD_HUMAN Bcl2-associated agonist of cell death OS=Homo sapiens OX=9606 GN=BAD PE=1 SV=3
+MFQIPEFEPSEQEDSSSAERGLGPSPAGDGPSGSGKHHRQAPGLLWDASHQQEQPTSSSHHGGAGAVEIRSRHSSYPAGTEDDEGMGEEPSPFRGRSRSAPPNLWAAQRYGRELRRMSDEFVDSFKKGLPRPKSAGTATQMRQSSSWTRVFQSWWDRNLGRGSSAPSQ
+>DECOY_sp|Q92934|BAD_HUMAN Bcl2-associated agonist of cell death OS=Homo sapiens OX=9606 GN=BAD PE=1 SV=3
+QSPASSGRGLNRDWWSQFVRTWSSSQRMQTATGASKPRPLGKKFSDVFEDSMRRLERGYRQAAWLNPPASRSRGRFPSPEEGMGEDDETGAPYSSHRSRIEVAGAGGHHSSSTPQEQQHSADWLLGPAQRHHKGSGSPGDGAPSPGLGREASSSDEQESPEFEPIQFM
+>sp|O95817|BAG3_HUMAN BAG family molecular chaperone regulator 3 OS=Homo sapiens OX=9606 GN=BAG3 PE=1 SV=3
+MSAATHSPMMQVASGNGDRDPLPPGWEIKIDPQTGWPFFVDHNSRTTTWNDPRVPSEGPKETPSSANGPSREGSRLPPAREGHPVYPQLRPGYIPIPVLHEGAENRQVHPFHVYPQPGMQRFRTEAAAAAPQRSQSPLRGMPETTQPDKQCGQVAAAAAAQPPASHGPERSQSPAASDCSSSSSSASLPSSGRSSLGSHQLPRGYISIPVIHEQNVTRPAAQPSFHQAQKTHYPAQQGEYQTHQPVYHKIQGDDWEPRPLRAASPFRSSVQGASSREGSPARSSTPLHSPSPIRVHTVVDRPQQPMTHRETAPVSQPENKPESKPGPVGPELPPGHIPIQVIRKEVDSKPVSQKPPPPSEKVEVKVPPAPVPCPPPSPGPSAVPSSPKSVATEERAAPSTAPAEATPPKPGEAEAPPKHPGVLKVEAILEKVQGLEQAVDNFEGKKTDKKYLMIEEYLTKELLALDSVDPEGRADVRQARRDGVRKVQTILEKLEQKAIDVPGQVQVYELQPSNLEADQPLQAIMEMGAVAADKGKKNAGNAEDPHTETQQPEATAAATSNPSSMTDTPGNPAAP
+>DECOY_sp|O95817|BAG3_HUMAN BAG family molecular chaperone regulator 3 OS=Homo sapiens OX=9606 GN=BAG3 PE=1 SV=3
+PAAPNGPTDTMSSPNSTAAATAEPQQTETHPDEANGANKKGKDAAVAGMEMIAQLPQDAELNSPQLEYVQVQGPVDIAKQELKELITQVKRVGDRRAQRVDARGEPDVSDLALLEKTLYEEIMLYKKDTKKGEFNDVAQELGQVKELIAEVKLVGPHKPPAEAEGPKPPTAEAPATSPAAREETAVSKPSSPVASPGPSPPPCPVPAPPVKVEVKESPPPPKQSVPKSDVEKRIVQIPIHGPPLEPGVPGPKSEPKNEPQSVPATERHTMPQQPRDVVTHVRIPSPSHLPTSSRAPSGERSSAGQVSSRFPSAARLPRPEWDDGQIKHYVPQHTQYEGQQAPYHTKQAQHFSPQAAPRTVNQEHIVPISIYGRPLQHSGLSSRGSSPLSASSSSSSCDSAAPSQSREPGHSAPPQAAAAAAVQGCQKDPQTTEPMGRLPSQSRQPAAAAAETRFRQMGPQPYVHFPHVQRNEAGEHLVPIPIYGPRLQPYVPHGERAPPLRSGERSPGNASSPTEKPGESPVRPDNWTTTRSNHDVFFPWGTQPDIKIEWGPPLPDRDGNGSAVQMMPSHTAASM
+>sp|Q99728|BARD1_HUMAN BRCA1-associated RING domain protein 1 OS=Homo sapiens OX=9606 GN=BARD1 PE=1 SV=2
+MPDNRQPRNRQPRIRSGNEPRSAPAMEPDGRGAWAHSRAALDRLEKLLRCSRCTNILREPVCLGGCEHIFCSNCVSDCIGTGCPVCYTPAWIQDLKINRQLDSMIQLCSKLRNLLHDNELSDLKEDKPRKSLFNDAGNKKNSIKMWFSPRSKKVRYVVSKASVQTQPAIKKDASAQQDSYEFVSPSPPADVSERAKKASARSGKKQKKKTLAEINQKWNLEAEKEDGEFDSKEESKQKLVSFCSQPSVISSPQINGEIDLLASGSLTESECFGSLTEVSLPLAEQIESPDTKSRNEVVTPEKVCKNYLTSKKSLPLENNGKRGHHNRLSSPISKRCRTSILSTSGDFVKQTVPSENIPLPECSSPPSCKRKVGGTSGRKNSNMSDEFISLSPGTPPSTLSSSSYRRVMSSPSAMKLLPNMAVKRNHRGETLLHIASIKGDIPSVEYLLQNGSDPNVKDHAGWTPLHEACNHGHLKVVELLLQHKALVNTTGYQNDSPLHDAAKNGHVDIVKLLLSYGASRNAVNIFGLRPVDYTDDESMKSLLLLPEKNESSSASHCSVMNTGQRRDGPLVLIGSGLSSEQQKMLSELAVILKAKKYTEFDSTVTHVVVPGDAVQSTLKCMLGILNGCWILKFEWVKACLRRKVCEQEEKYEIPEGPRRSRLNREQLLPKLFDGCYFYLWGTFKHHPKDNLIKLVTAGGGQILSRKPKPDSDVTQTINTVAYHARPDSDQRFCTQYIIYEDLCNYHPERVRQGKVWKAPSSWFIDCVMSFELLPLDS
+>DECOY_sp|Q99728|BARD1_HUMAN BRCA1-associated RING domain protein 1 OS=Homo sapiens OX=9606 GN=BARD1 PE=1 SV=2
+SDLPLLEFSMVCDIFWSSPAKWVKGQRVREPHYNCLDEYIIYQTCFRQDSDPRAHYAVTNITQTVDSDPKPKRSLIQGGGATVLKILNDKPHHKFTGWLYFYCGDFLKPLLQERNLRSRRPGEPIEYKEEQECVKRRLCAKVWEFKLIWCGNLIGLMCKLTSQVADGPVVVHTVTSDFETYKKAKLIVALESLMKQQESSLGSGILVLPGDRRQGTNMVSCHSASSSENKEPLLLLSKMSEDDTYDVPRLGFINVANRSAGYSLLLKVIDVHGNKAADHLPSDNQYGTTNVLAKHQLLLEVVKLHGHNCAEHLPTWGAHDKVNPDSGNQLLYEVSPIDGKISAIHLLTEGRHNRKVAMNPLLKMASPSSMVRRYSSSSLTSPPTGPSLSIFEDSMNSNKRGSTGGVKRKCSPPSSCEPLPINESPVTQKVFDGSTSLISTRCRKSIPSSLRNHHGRKGNNELPLSKKSTLYNKCVKEPTVVENRSKTDPSEIQEALPLSVETLSGFCESETLSGSALLDIEGNIQPSSIVSPQSCFSVLKQKSEEKSDFEGDEKEAELNWKQNIEALTKKKQKKGSRASAKKARESVDAPPSPSVFEYSDQQASADKKIAPQTQVSAKSVVYRVKKSRPSFWMKISNKKNGADNFLSKRPKDEKLDSLENDHLLNRLKSCLQIMSDLQRNIKLDQIWAPTYCVPCGTGICDSVCNSCFIHECGGLCVPERLINTCRSCRLLKELRDLAARSHAWAGRGDPEMAPASRPENGSRIRPQRNRPQRNDPM
+>sp|P35613|BASI_HUMAN Basigin OS=Homo sapiens OX=9606 GN=BSG PE=1 SV=2
+MAAALFVLLGFALLGTHGASGAAGFVQAPLSQQRWVGGSVELHCEAVGSPVPEIQWWFEGQGPNDTCSQLWDGARLDRVHIHATYHQHAASTISIDTLVEEDTGTYECRASNDPDRNHLTRAPRVKWVRAQAVVLVLEPGTVFTTVEDLGSKILLTCSLNDSATEVTGHRWLKGGVVLKEDALPGQKTEFKVDSDDQWGEYSCVFLPEPMGTANIQLHGPPRVKAVKSSEHINEGETAMLVCKSESVPPVTDWAWYKITDSEDKALMNGSESRFFVSSSQGRSELHIENLNMEADPGQYRCNGTSSKGSDQAIITLRVRSHLAALWPFLGIVAEVLVLVTIIFIYEKRRKPEDVLDDDDAGSAPLKSSGQHQNDKGKNVRQRNSS
+>DECOY_sp|P35613|BASI_HUMAN Basigin OS=Homo sapiens OX=9606 GN=BSG PE=1 SV=2
+SSNRQRVNKGKDNQHQGSSKLPASGADDDDLVDEPKRRKEYIFIITVLVLVEAVIGLFPWLAALHSRVRLTIIAQDSGKSSTGNCRYQGPDAEMNLNEIHLESRGQSSSVFFRSESGNMLAKDESDTIKYWAWDTVPPVSESKCVLMATEGENIHESSKVAKVRPPGHLQINATGMPEPLFVCSYEGWQDDSDVKFETKQGPLADEKLVVGGKLWRHGTVETASDNLSCTLLIKSGLDEVTTFVTGPELVLVVAQARVWKVRPARTLHNRDPDNSARCEYTGTDEEVLTDISITSAAHQHYTAHIHVRDLRAGDWLQSCTDNPGQGEFWWQIEPVPSGVAECHLEVSGGVWRQQSLPAQVFGAAGSAGHTGLLAFGLLVFLAAAM
+>sp|P50895|BCAM_HUMAN Basal cell adhesion molecule OS=Homo sapiens OX=9606 GN=BCAM PE=1 SV=2
+MEPPDAPAQARGAPRLLLLAVLLAAHPDAQAEVRLSVPPLVEVMRGKSVILDCTPTGTHDHYMLEWFLTDRSGARPRLASAEMQGSELQVTMHDTRGRSPPYQLDSQGRLVLAEAQVGDERDYVCVVRAGAAGTAEATARLNVFAKPEATEVSPNKGTLSVMEDSAQEIATCNSRNGNPAPKITWYRNGQRLEVPVEMNPEGYMTSRTVREASGLLSLTSTLYLRLRKDDRDASFHCAAHYSLPEGRHGRLDSPTFHLTLHYPTEHVQFWVGSPSTPAGWVREGDTVQLLCRGDGSPSPEYTLFRLQDEQEEVLNVNLEGNLTLEGVTRGQSGTYGCRVEDYDAADDVQLSKTLELRVAYLDPLELSEGKVLSLPLNSSAVVNCSVHGLPTPALRWTKDSTPLGDGPMLSLSSITFDSNGTYVCEASLPTVPVLSRTQNFTLLVQGSPELKTAEIEPKADGSWREGDEVTLICSARGHPDPKLSWSQLGGSPAEPIPGRQGWVSSSLTLKVTSALSRDGISCEASNPHGNKRHVFHFGTVSPQTSQAGVAVMAVAVSVGLLLLVVAVFYCVRRKGGPCCRQRREKGAPPPGEPGLSHSGSEQPEQTGLLMGGASGGARGGSGGFGDEC
+>DECOY_sp|P50895|BCAM_HUMAN Basal cell adhesion molecule OS=Homo sapiens OX=9606 GN=BCAM PE=1 SV=2
+CEDGFGGSGGRAGGSAGGMLLGTQEPQESGSHSLGPEGPPPAGKERRQRCCPGGKRRVCYFVAVVLLLLGVSVAVAMVAVGAQSTQPSVTGFHFVHRKNGHPNSAECSIGDRSLASTVKLTLSSSVWGQRGPIPEAPSGGLQSWSLKPDPHGRASCILTVEDGERWSGDAKPEIEATKLEPSGQVLLTFNQTRSLVPVTPLSAECVYTGNSDFTISSLSLMPGDGLPTSDKTWRLAPTPLGHVSCNVVASSNLPLSLVKGESLELPDLYAVRLELTKSLQVDDAADYDEVRCGYTGSQGRTVGELTLNGELNVNLVEEQEDQLRFLTYEPSPSGDGRCLLQVTDGERVWGAPTSPSGVWFQVHETPYHLTLHFTPSDLRGHRGEPLSYHAACHFSADRDDKRLRLYLTSTLSLLGSAERVTRSTMYGEPNMEVPVELRQGNRYWTIKPAPNGNRSNCTAIEQASDEMVSLTGKNPSVETAEPKAFVNLRATAEATGAAGARVVCVYDREDGVQAEALVLRGQSDLQYPPSRGRTDHMTVQLESGQMEASALRPRAGSRDTLFWELMYHDHTGTPTCDLIVSKGRMVEVLPPVSLRVEAQADPHAALLVALLLLRPAGRAQAPADPPEM
+>sp|O75363|BCAS1_HUMAN Breast carcinoma-amplified sequence 1 OS=Homo sapiens OX=9606 GN=BCAS1 PE=1 SV=2
+MGNQMSVPQRVEDQENEPEAETYQDNASALNGVPVVVSTHTVQHLEEVDLGISVKTDNVATSSPETTEISAVADANGKNLGKEAKPEAPAAKSRFFLMLSRPVPGRTGDQAADSSLGSVKLDVSSNKAPANKDPSESWTLPVAAGPGQDTDKTPGHAPAQDKVLSAARDPTLLPPETGGAGGEAPSKPKDSSFFDKFFKLDKGQEKVPGDSQQEAKRAEHQDKVDEVPGLSGQSDDVPAGKDIVDGKEKEGQELGTADCSVPGDPEGLETAKDDSQAAAIAENNNSIMSFFKTLVSPNKAETKKDPEDTGAEKSPTTSADLKSDKANFTSQETQGAGKNSKGCNPSGHTQSVTTPEPAKEGTKEKSGPTSLPLGKLFWKKSVKEDSVPTGAEENVVCESPVEIIKSKEVESALQTVDLNEGDAAPEPTEAKLKREESKPRTSLMAFLRQMSVKGDGGITHSEEINGKDSSCQTSDSTEKTITPPEPEPTGAPQKGKEGSSKDKKSAAEMNKQKSNKQEAKEPAQCTEQATVDTNSLQNGDKLQKRPEKRQQSLGGFFKGLGPKRMLDAQVQTDPVSIGPVGKSK
+>DECOY_sp|O75363|BCAS1_HUMAN Breast carcinoma-amplified sequence 1 OS=Homo sapiens OX=9606 GN=BCAS1 PE=1 SV=2
+KSKGVPGISVPDTQVQADLMRKPGLGKFFGGLSQQRKEPRKQLKDGNQLSNTDVTAQETCQAPEKAEQKNSKQKNMEAASKKDKSSGEKGKQPAGTPEPEPPTITKETSDSTQCSSDKGNIEESHTIGGDGKVSMQRLFAMLSTRPKSEERKLKAETPEPAADGENLDVTQLASEVEKSKIIEVPSECVVNEEAGTPVSDEKVSKKWFLKGLPLSTPGSKEKTGEKAPEPTTVSQTHGSPNCGKSNKGAGQTEQSTFNAKDSKLDASTTPSKEAGTDEPDKKTEAKNPSVLTKFFSMISNNNEAIAAAQSDDKATELGEPDGPVSCDATGLEQGEKEKGDVIDKGAPVDDSQGSLGPVEDVKDQHEARKAEQQSDGPVKEQGKDLKFFKDFFSSDKPKSPAEGGAGGTEPPLLTPDRAASLVKDQAPAHGPTKDTDQGPGAAVPLTWSESPDKNAPAKNSSVDLKVSGLSSDAAQDGTRGPVPRSLMLFFRSKAAPAEPKAEKGLNKGNADAVASIETTEPSSTAVNDTKVSIGLDVEELHQVTHTSVVVPVGNLASANDQYTEAEPENEQDEVRQPVSMQNGM
+>sp|O60756|BCE1_HUMAN Putative protein BCE-1 OS=Homo sapiens OX=9606 GN=BCE1 PE=5 SV=1
+MGRTPTAVQVKSFTKQGQQRRVCRDLPLKNTKNGLSPGMRTCFLYLRFFPCLSWMSLKWTQAVHCARNIVLSFMLLLLLLNYNM
+>DECOY_sp|O60756|BCE1_HUMAN Putative protein BCE-1 OS=Homo sapiens OX=9606 GN=BCE1 PE=5 SV=1
+MNYNLLLLLLMFSLVINRACHVAQTWKLSMWSLCPFFRLYLFCTRMGPSLGNKTNKLPLDRCVRRQQGQKTFSKVQVATPTRGM
+>sp|Q9H2M3|BHMT2_HUMAN S-methylmethionine--homocysteine S-methyltransferase BHMT2 OS=Homo sapiens OX=9606 GN=BHMT2 PE=1 SV=1
+MAPAGRPGAKKGILERLESGEVVIGDGSFLITLEKRGYVKAGLWTPEAVIEHPDAVRQLHMEFLRAGSNVMQTFTFSASEDNMESKWEDVNAAACDLAREVAGKGDALVAGGICQTSIYKYQKDEARIKKLFRQQLEVFAWKNVDFLIAEYFEHVEEAVWAVEVLKESDRPVAVTMCIGPEGDMHDITPGECAVRLVKAGASIVGVNCRFGPDTSLKTMELMKEGLEWAGLKAHLMVQPLGFHAPDCGKEGFVDLPEYPFGLESRVATRWDIQKYAREAYNLGVRYIGGCCGFEPYHIRAIAEELAPERGFLPPASEKHGSWGSGLDMHTKPWIRARARREYWENLLPASGRPFCPSLSKPDF
+>DECOY_sp|Q9H2M3|BHMT2_HUMAN S-methylmethionine--homocysteine S-methyltransferase BHMT2 OS=Homo sapiens OX=9606 GN=BHMT2 PE=1 SV=1
+FDPKSLSPCFPRGSAPLLNEWYERRARARIWPKTHMDLGSGWSGHKESAPPLFGREPALEEAIARIHYPEFGCCGGIYRVGLNYAERAYKQIDWRTAVRSELGFPYEPLDVFGEKGCDPAHFGLPQVMLHAKLGAWELGEKMLEMTKLSTDPGFRCNVGVISAGAKVLRVACEGPTIDHMDGEPGICMTVAVPRDSEKLVEVAWVAEEVHEFYEAILFDVNKWAFVELQQRFLKKIRAEDKQYKYISTQCIGGAVLADGKGAVERALDCAAANVDEWKSEMNDESASFTFTQMVNSGARLFEMHLQRVADPHEIVAEPTWLGAKVYGRKELTILFSGDGIVVEGSELRELIGKKAGPRGAPAM
+>sp|Q9UHR4|BI2L1_HUMAN Brain-specific angiogenesis inhibitor 1-associated protein 2-like protein 1 OS=Homo sapiens OX=9606 GN=BAIAP2L1 PE=1 SV=2
+MSRGPEEVNRLTESTYRNVMEQFNPGLRNLINLGKNYEKAVNAMILAGKAYYDGVAKIGEIATGSPVSTELGHVLIEISSTHKKLNESLDENFKKFHKEIIHELEKKIELDVKYMNATLKRYQTEHKNKLESLEKSQAELKKIRRKSQGSRNALKYEHKEIEYVETVTSRQSEIQKFIADGCKEALLEEKRRFCFLVDKHCGFANHIHYYHLQSAELLNSKLPRWQETCVDAIKVPEKIMNMIEEIKTPASTPVSGTPQASPMIERSNVVRKDYDTLSKCSPKMPPAPSGRAYTSPLIDMFNNPATAAPNSQRVNNSTGTSEDPSLQRSVSVATGLNMMKKQKVKTIFPHTAGSNKTLLSFAQGDVITLLIPEEKDGWLYGEHDVSKARGWFPSSYTKLLEENETEAVTVPTPSPTPVRSISTVNLSENSSVVIPPPDYLECLSMGAAADRRADSARTTSTFKAPASKPETAAPNDANGTAKPPFLSGENPFATVKLRPTVTNDRSAPIIR
+>DECOY_sp|Q9UHR4|BI2L1_HUMAN Brain-specific angiogenesis inhibitor 1-associated protein 2-like protein 1 OS=Homo sapiens OX=9606 GN=BAIAP2L1 PE=1 SV=2
+RIIPASRDNTVTPRLKVTAFPNEGSLFPPKATGNADNPAATEPKSAPAKFTSTTRASDARRDAAAGMSLCELYDPPPIVVSSNESLNVTSISRVPTPSPTPVTVAETENEELLKTYSSPFWGRAKSVDHEGYLWGDKEEPILLTIVDGQAFSLLTKNSGATHPFITKVKQKKMMNLGTAVSVSRQLSPDESTGTSNNVRQSNPAATAPNNFMDILPSTYARGSPAPPMKPSCKSLTDYDKRVVNSREIMPSAQPTGSVPTSAPTKIEEIMNMIKEPVKIADVCTEQWRPLKSNLLEASQLHYYHIHNAFGCHKDVLFCFRRKEELLAEKCGDAIFKQIESQRSTVTEVYEIEKHEYKLANRSGQSKRRIKKLEAQSKELSELKNKHETQYRKLTANMYKVDLEIKKELEHIIEKHFKKFNEDLSENLKKHTSSIEILVHGLETSVPSGTAIEGIKAVGDYYAKGALIMANVAKEYNKGLNILNRLGPNFQEMVNRYTSETLRNVEEPGRSM
+>sp|Q9H694|BICC1_HUMAN Protein bicaudal C homolog 1 OS=Homo sapiens OX=9606 GN=BICC1 PE=1 SV=2
+MAAQGEPGYLAAQSDPGSNSERSTDSPVPGSEDDLVAGATLHSPEWSEERFRVDRKKLEAMLQAAAEGKGRSGEDFFQKIMEETNTQIAWPSKLKIGAKSKKDPHIKVSGKKEDVKEAKEMIMSVLDTKSNRVTLKMDVSHTEHSHVIGKGGNNIKKVMEETGCHIHFPDSNRNNQAEKSNQVSIAGQPAGVESARVRIRELLPLVLMFELPIAGILQPVPDPNSPSIQHISQTYNISVSFKQRSRMYGATVIVRGSQNNTSAVKEGTAMLLEHLAGSLASAIPVSTQLDIAAQHHLFMMGRNGSNIKHIMQRTGAQIHFPDPSNPQKKSTVYLQGTIESVCLARQYLMGCLPLVLMFDMKEEIEVDPQFIAQLMEQLDVFISIKPKPKQPSKSVIVKSVERNALNMYEARKCLLGLESSGVTIATSPSPASCPAGLACPSLDILASAGLGLTGLGLLGPTTLSLNTSTTPNSLLNALNSSVSPLQSPSSGTPSPTLWAPPLANTSSATGFSAIPHLMIPSTAQATLTNILLSGVPTYGHTAPSPPPGLTPVDVHINSMQTEGKKISAALNGHAQSPDIKYGAISTSSLGEKVLSANHGDPSIQTSGSEQTSPKSSPTEGCNDAFVEVGMPRSPSHSGNAGDLKQMMCPSKVSCAKRQTVELLQGTKNSHLHSTDRLLSDPELSATESPLADKKAPGSERAAERAAAAQQNSERAHLAPRSSYVNMQAFDYEQKKLLATKAMLKKPVVTEVRTPTNTWSGLGFSKSMPAETIKELRRANHVSYKPTMTTTYEGSSMSLSRSNSREHLGGGSESDNWRDRNGIGPGSHSEFAASIGSPKRKQNKSTEHYLSSSNYMDCISSLTGSNGCNLNSSFKGSDLPELFSKLGLGKYTDVFQQQEIDLQTFLTLTDQDLKELGITTFGARRKMLLAISELNKNRRKLFESPNARTSFLEGGASGRLPRQYHSDIASVSGRW
+>DECOY_sp|Q9H694|BICC1_HUMAN Protein bicaudal C homolog 1 OS=Homo sapiens OX=9606 GN=BICC1 PE=1 SV=2
+WRGSVSAIDSHYQRPLRGSAGGELFSTRANPSEFLKRRNKNLESIALLMKRRAGFTTIGLEKLDQDTLTLFTQLDIEQQQFVDTYKGLGLKSFLEPLDSGKFSSNLNCGNSGTLSSICDMYNSSSLYHETSKNQKRKPSGISAAFESHSGPGIGNRDRWNDSESGGGLHERSNSRSLSMSSGEYTTTMTPKYSVHNARRLEKITEAPMSKSFGLGSWTNTPTRVETVVPKKLMAKTALLKKQEYDFAQMNVYSSRPALHARESNQQAAAAREAARESGPAKKDALPSETASLEPDSLLRDTSHLHSNKTGQLLEVTQRKACSVKSPCMMQKLDGANGSHSPSRPMGVEVFADNCGETPSSKPSTQESGSTQISPDGHNASLVKEGLSSTSIAGYKIDPSQAHGNLAASIKKGETQMSNIHVDVPTLGPPPSPATHGYTPVGSLLINTLTAQATSPIMLHPIASFGTASSTNALPPAWLTPSPTGSSPSQLPSVSSNLANLLSNPTTSTNLSLTTPGLLGLGTLGLGASALIDLSPCALGAPCSAPSPSTAITVGSSELGLLCKRAEYMNLANREVSKVIVSKSPQKPKPKISIFVDLQEMLQAIFQPDVEIEEKMDFMLVLPLCGMLYQRALCVSEITGQLYVTSKKQPNSPDPFHIQAGTRQMIHKINSGNRGMMFLHHQAAIDLQTSVPIASALSGALHELLMATGEKVASTNNQSGRVIVTAGYMRSRQKFSVSINYTQSIHQISPSNPDPVPQLIGAIPLEFMLVLPLLERIRVRASEVGAPQGAISVQNSKEAQNNRNSDPFHIHCGTEEMVKKINNGGKGIVHSHETHSVDMKLTVRNSKTDLVSMIMEKAEKVDEKKGSVKIHPDKKSKAGIKLKSPWAIQTNTEEMIKQFFDEGSRGKGEAAAQLMAELKKRDVRFREESWEPSHLTAGAVLDDESGPVPSDTSRESNSGPDSQAALYGPEGQAAM
+>sp|Q96G01|BICD1_HUMAN Protein bicaudal D homolog 1 OS=Homo sapiens OX=9606 GN=BICD1 PE=1 SV=3
+MAAEEVLQTVDHYKTEIERLTKELTETTHEKIQAAEYGLVVLEEKLTLKQQYDELEAEYDSLKQELEQLKEAFGQSFSIHRKVAEDGETREETLLQESASKEAYYLGKILEMQNELKQSRAVVTNVQAENERLTAVVQDLKENNEMVELQRIRMKDEIREYKFREARLLQDYTELEEENITLQKLVSTLKQNQVEYEGLKHEIKRFEEETVLLNSQLEDAIRLKEIAEHQLEEALETLKNEREQKNNLRKELSQYISLNDNHISISVDGLKFAEDGSEPNNDDKMNGHIHGPLVKLNGDYRTPTLRKGESLNPVSDLFSELNISEIQKLKQQLMQVEREKAILLANLQESQTQLEHTKGALTEQHERVHRLTEHVNAMRGLQSSKELKAELDGEKGRDSGEEAHDYEVDINGLEILECKYRVAVTEVIDLKAEIKALKEKYNKSVENYTDEKAKYESKIQMYDEQVTSLEKTTKESGEKMAHMEKELQKMTSIANENHSTLNTAQDELVTFSEELAQLYHHVCLCNNETPNRVMLDYYRQSRVTRSGSLKGPDDPRGLLSPRLARRGVSSPVETRTSSEPVAKESTEASKEPSPTKTPTISPVITAPPSSPVLDTSDIRKEPMNIYNLNAIIRDQIKHLQKAVDRSLQLSRQRAAARELAPMIDKDKEALMEEILKLKSLLSTKREQIATLRAVLKANKQTAEVALANLKNKYENEKAMVTETMTKLRNELKALKEDAATFSSLRAMFATRCDEYVTQLDEMQRQLAAAEDEKKTLNTLLRMAIQQKLALTQRLEDLEFDHEQSRRSKGKLGKSKIGSPKVSGEASVTVPTIDTYLLHSQGPQTPNIRVSSGTQRKRQFSPSLCDQSRPRTSGASYLQNLLRVPPDPTSTESFLLKGPPSMSEFIQGHRLSKEKRLTVAPPDCQQPAASVPPQCSQLAGRQDCPTVSPDTALPEEQPHSSSQCAPLHCLSKPPHP
+>DECOY_sp|Q96G01|BICD1_HUMAN Protein bicaudal D homolog 1 OS=Homo sapiens OX=9606 GN=BICD1 PE=1 SV=3
+PHPPKSLCHLPACQSSSHPQEEPLATDPSVTPCDQRGALQSCQPPVSAAPQQCDPPAVTLRKEKSLRHGQIFESMSPPGKLLFSETSTPDPPVRLLNQLYSAGSTRPRSQDCLSPSFQRKRQTGSSVRINPTQPGQSHLLYTDITPVTVSAEGSVKPSGIKSKGLKGKSRRSQEHDFELDELRQTLALKQQIAMRLLTNLTKKEDEAAALQRQMEDLQTVYEDCRTAFMARLSSFTAADEKLAKLENRLKTMTETVMAKENEYKNKLNALAVEATQKNAKLVARLTAIQERKTSLLSKLKLIEEMLAEKDKDIMPALERAAARQRSLQLSRDVAKQLHKIQDRIIANLNYINMPEKRIDSTDLVPSSPPATIVPSITPTKTPSPEKSAETSEKAVPESSTRTEVPSSVGRRALRPSLLGRPDDPGKLSGSRTVRSQRYYDLMVRNPTENNCLCVHHYLQALEESFTVLEDQATNLTSHNENAISTMKQLEKEMHAMKEGSEKTTKELSTVQEDYMQIKSEYKAKEDTYNEVSKNYKEKLAKIEAKLDIVETVAVRYKCELIELGNIDVEYDHAEEGSDRGKEGDLEAKLEKSSQLGRMANVHETLRHVREHQETLAGKTHELQTQSEQLNALLIAKEREVQMLQQKLKQIESINLESFLDSVPNLSEGKRLTPTRYDGNLKVLPGHIHGNMKDDNNPESGDEAFKLGDVSISIHNDNLSIYQSLEKRLNNKQERENKLTELAEELQHEAIEKLRIADELQSNLLVTEEEFRKIEHKLGEYEVQNQKLTSVLKQLTINEEELETYDQLLRAERFKYERIEDKMRIRQLEVMENNEKLDQVVATLRENEAQVNTVVARSQKLENQMELIKGLYYAEKSASEQLLTEERTEGDEAVKRHISFSQGFAEKLQELEQKLSDYEAELEDYQQKLTLKEELVVLGYEAAQIKEHTTETLEKTLREIETKYHDVTQLVEEAAM
+>sp|O95972|BMP15_HUMAN Bone morphogenetic protein 15 OS=Homo sapiens OX=9606 GN=BMP15 PE=1 SV=2
+MVLLSILRILFLCELVLFMEHRAQMAEGGQSSIALLAEAPTLPLIEELLEESPGEQPRKPRLLGHSLRYMLELYRRSADSHGHPRENRTIGATMVRLVKPLTNVARPHRGTWHIQILGFPLRPNRGLYQLVRATVVYRHHLQLTRFNLSCHVEPWVQKNPTNHFPSSEGDSSKPSLMSNAWKEMDITQLVQQRFWNNKGHRILRLRFMCQQQKDSGGLELWHGTSSLDIAFLLLYFNDTHKSIRKAKFLPRGMEEFMERESLLRRTRQADGISAEVTASSSKHSGPENNQCSLHPFQISFRQLGWDHWIIAPPFYTPNYCKGTCLRVLRDGLNSPNHAIIQNLINQLVDQSVPRPSCVPYKYVPISVLMIEANGSILYKEYEGMIAESCTCR
+>DECOY_sp|O95972|BMP15_HUMAN Bone morphogenetic protein 15 OS=Homo sapiens OX=9606 GN=BMP15 PE=1 SV=2
+RCTCSEAIMGEYEKYLISGNAEIMLVSIPVYKYPVCSPRPVSQDVLQNILNQIIAHNPSNLGDRLVRLCTGKCYNPTYFPPAIIWHDWGLQRFSIQFPHLSCQNNEPGSHKSSSATVEASIGDAQRTRRLLSEREMFEEMGRPLFKAKRISKHTDNFYLLLFAIDLSSTGHWLELGGSDKQQQCMFRLRLIRHGKNNWFRQQVLQTIDMEKWANSMLSPKSSDGESSPFHNTPNKQVWPEVHCSLNFRTLQLHHRYVVTARVLQYLGRNPRLPFGLIQIHWTGRHPRAVNTLPKVLRVMTAGITRNERPHGHSDASRRYLELMYRLSHGLLRPKRPQEGPSEELLEEILPLTPAEALLAISSQGGEAMQARHEMFLVLECLFLIRLISLLVM
+>sp|Q9NSY1|BMP2K_HUMAN BMP-2-inducible protein kinase OS=Homo sapiens OX=9606 GN=BMP2K PE=1 SV=2
+MKKFSRMPKSEGGSGGGAAGGGAGGAGAGAGCGSGGSSVGVRVFAVGRHQVTLEESLAEGGFSTVFLVRTHGGIRCALKRMYVNNMPDLNVCKREITIMKELSGHKNIVGYLDCAVNSISDNVWEVLILMEYCRAGQVVNQMNKKLQTGFTEPEVLQIFCDTCEAVARLHQCKTPIIHRDLKVENILLNDGGNYVLCDFGSATNKFLNPQKDGVNVVEEEIKKYTTLSYRAPEMINLYGGKPITTKADIWALGCLLYKLCFFTLPFGESQVAICDGNFTIPDNSRYSRNIHCLIRFMLEPDPEHRPDIFQVSYFAFKFAKKDCPVSNINNSSIPSALPEPMTASEAAARKSQIKARITDTIGPTETSIAPRQRPKANSATTATPSVLTIQSSATPVKVLAPGEFGNHRPKGALRPGNGPEILLGQGPPQQPPQQHRVLQQLQQGDWRLQQLHLQHRHPHQQQQQQQQQQQQQQQQQQQQQQQQQQQHHHHHHHHLLQDAYMQQYQHATQQQQMLQQQFLMHSVYQPQPSASQYPTMMPQYQQAFFQQQMLAQHQPSQQQASPEYLTSPQEFSPALVSYTSSLPAQVGTIMDSSYSANRSVADKEAIANFTNQKNISNPPDMSGWNPFGEDNFSKLTEEELLDREFDLLRSNRLEERASSDKNVDSLSAPHNHPPEDPFGSVPFISHSGSPEKKAEHSSINQENGTANPIKNGKTSPASKDQRTGKKTSVQGQVQKGNDESESDFESDPPSPKSSEEEEQDDEEVLQGEQGDFNDDDTEPENLGHRPLLMDSEDEEEEEKHSSDSDYEQAKAKYSDMSSVYRDRSGSGPTQDLNTILLTSAQLSSDVAVETPKQEFDVFGAVPFFAVRAQQPQQEKNEKNLPQHRFPAAGLEQEEFDVFTKAPFSKKVNVQECHAVGPEAHTIPGYPKSVDVFGSTPFQPFLTSTSKSESNEDLFGLVPFDEITGSQQQKVKQRSLQKLSSRQRRTKQDMSKSNGKRHHGTPTSTKKTLKPTYRTPERARRHKKVGRRDSQSSNEFLTISDSKENISVALTDGKDRGNVLQPEESLLDPFGAKPFHSPDLSWHPPHQGLSDIRADHNTVLPGRPRQNSLHGSFHSADVLKMDDFGAVPFTELVVQSITPHQSQQSQPVELDPFGAAPFPSKQ
+>DECOY_sp|Q9NSY1|BMP2K_HUMAN BMP-2-inducible protein kinase OS=Homo sapiens OX=9606 GN=BMP2K PE=1 SV=2
+QKSPFPAAGFPDLEVPQSQQSQHPTISQVVLETFPVAGFDDMKLVDASHFSGHLSNQRPRGPLVTNHDARIDSLGQHPPHWSLDPSHFPKAGFPDLLSEEPQLVNGRDKGDTLAVSINEKSDSITLFENSSQSDRRGVKKHRRAREPTRYTPKLTKKTSTPTGHHRKGNSKSMDQKTRRQRSSLKQLSRQKVKQQQSGTIEDFPVLGFLDENSESKSTSTLFPQFPTSGFVDVSKPYGPITHAEPGVAHCEQVNVKKSFPAKTFVDFEEQELGAAPFRHQPLNKENKEQQPQQARVAFFPVAGFVDFEQKPTEVAVDSSLQASTLLITNLDQTPGSGSRDRYVSSMDSYKAKAQEYDSDSSHKEEEEEDESDMLLPRHGLNEPETDDDNFDGQEGQLVEEDDQEEEESSKPSPPDSEFDSESEDNGKQVQGQVSTKKGTRQDKSAPSTKGNKIPNATGNEQNISSHEAKKEPSGSHSIFPVSGFPDEPPHNHPASLSDVNKDSSAREELRNSRLLDFERDLLEEETLKSFNDEGFPNWGSMDPPNSINKQNTFNAIAEKDAVSRNASYSSDMITGVQAPLSSTYSVLAPSFEQPSTLYEPSAQQQSPQHQALMQQQFFAQQYQPMMTPYQSASPQPQYVSHMLFQQQLMQQQQTAHQYQQMYADQLLHHHHHHHHQQQQQQQQQQQQQQQQQQQQQQQQQQQHPHRHQLHLQQLRWDGQQLQQLVRHQQPPQQPPGQGLLIEPGNGPRLAGKPRHNGFEGPALVKVPTASSQITLVSPTATTASNAKPRQRPAISTETPGITDTIRAKIQSKRAAAESATMPEPLASPISSNNINSVPCDKKAFKFAFYSVQFIDPRHEPDPELMFRILCHINRSYRSNDPITFNGDCIAVQSEGFPLTFFCLKYLLCGLAWIDAKTTIPKGGYLNIMEPARYSLTTYKKIEEEVVNVGDKQPNLFKNTASGFDCLVYNGGDNLLINEVKLDRHIIPTKCQHLRAVAECTDCFIQLVEPETFGTQLKKNMQNVVQGARCYEMLILVEWVNDSISNVACDLYGVINKHGSLEKMITIERKCVNLDPMNNVYMRKLACRIGGHTRVLFVTSFGGEALSEELTVQHRGVAFVRVGVSSGGSGCGAGAGAGGAGGGAAGGGSGGESKPMRSFKKM
+>sp|P12643|BMP2_HUMAN Bone morphogenetic protein 2 OS=Homo sapiens OX=9606 GN=BMP2 PE=1 SV=1
+MVAGTRCLLALLLPQVLLGGAAGLVPELGRRKFAAASSGRPSSQPSDEVLSEFELRLLSMFGLKQRPTPSRDAVVPPYMLDLYRRHSGQPGSPAPDHRLERAASRANTVRSFHHEESLEELPETSGKTTRRFFFNLSSIPTEEFITSAELQVFREQMQDALGNNSSFHHRINIYEIIKPATANSKFPVTRLLDTRLVNQNASRWESFDVTPAVMRWTAQGHANHGFVVEVAHLEEKQGVSKRHVRISRSLHQDEHSWSQIRPLLVTFGHDGKGHPLHKREKRQAKHKQRKRLKSSCKRHPLYVDFSDVGWNDWIVAPPGYHAFYCHGECPFPLADHLNSTNHAIVQTLVNSVNSKIPKACCVPTELSAISMLYLDENEKVVLKNYQDMVVEGCGCR
+>DECOY_sp|P12643|BMP2_HUMAN Bone morphogenetic protein 2 OS=Homo sapiens OX=9606 GN=BMP2 PE=1 SV=1
+RCGCGEVVMDQYNKLVVKENEDLYLMSIASLETPVCCAKPIKSNVSNVLTQVIAHNTSNLHDALPFPCEGHCYFAHYGPPAVIWDNWGVDSFDVYLPHRKCSSKLRKRQKHKAQRKERKHLPHGKGDHGFTVLLPRIQSWSHEDQHLSRSIRVHRKSVGQKEELHAVEVVFGHNAHGQATWRMVAPTVDFSEWRSANQNVLRTDLLRTVPFKSNATAPKIIEYINIRHHFSSNNGLADQMQERFVQLEASTIFEETPISSLNFFFRRTTKGSTEPLEELSEEHHFSRVTNARSAARELRHDPAPSGPQGSHRRYLDLMYPPVVADRSPTPRQKLGFMSLLRLEFESLVEDSPQSSPRGSSAAAFKRRGLEPVLGAAGGLLVQPLLLALLCRTGAVM
+>sp|Q9Y3E2|BOLA1_HUMAN BolA-like protein 1 OS=Homo sapiens OX=9606 GN=BOLA1 PE=1 SV=1
+MLSGRLVLGLVSMAGRVCLCQGSAGSGAIGPVEAAIRTKLEEALSPEVLELRNESGGHAVPPGSETHFRVAVVSSRFEGLSPLQRHRLVHAALAEELGGPVHALAIQARTPAQWRENSQLDTSPPCLGGNKKTLGTP
+>DECOY_sp|Q9Y3E2|BOLA1_HUMAN BolA-like protein 1 OS=Homo sapiens OX=9606 GN=BOLA1 PE=1 SV=1
+PTGLTKKNGGLCPPSTDLQSNERWQAPTRAQIALAHVPGGLEEALAAHVLRHRQLPSLGEFRSSVVAVRFHTESGPPVAHGGSENRLELVEPSLAEELKTRIAAEVPGIAGSGASGQCLCVRGAMSVLGLVLRGSLM
+>sp|Q9H3K6|BOLA2_HUMAN BolA-like protein 2 OS=Homo sapiens OX=9606 GN=BOLA2 PE=1 SV=1
+MELSAEYLREKLQRDLEAEHVEVEDTTLNRCSCSFRVLVVSAKFEGKPLLQRHRLVNACLAEELPHIHAFEQKTLTPDQWARERQK
+>DECOY_sp|Q9H3K6|BOLA2_HUMAN BolA-like protein 2 OS=Homo sapiens OX=9606 GN=BOLA2 PE=1 SV=1
+KQRERAWQDPTLTKQEFAHIHPLEEALCANVLRHRQLLPKGEFKASVVLVRFSCSCRNLTTDEVEVHEAELDRQLKERLYEASLEM
+>sp|Q53S33|BOLA3_HUMAN BolA-like protein 3 OS=Homo sapiens OX=9606 GN=BOLA3 PE=1 SV=1
+MAAWSPAAAAPLLRGIRGLPLHHRMFATQTEGELRVTQILKEKFPRATAIKVTDISGGCGAMYEIKIESEEFKEKRTVQQHQMVNQALKEEIKEMHGLRIFTSVPKR
+>DECOY_sp|Q53S33|BOLA3_HUMAN BolA-like protein 3 OS=Homo sapiens OX=9606 GN=BOLA3 PE=1 SV=1
+RKPVSTFIRLGHMEKIEEKLAQNVMQHQQVTRKEKFEESEIKIEYMAGCGGSIDTVKIATARPFKEKLIQTVRLEGETQTAFMRHHLPLGRIGRLLPAAAAPSWAAM
+>sp|Q8N9W6|BOLL_HUMAN Protein boule-like OS=Homo sapiens OX=9606 GN=BOLL PE=1 SV=2
+MQTDSLSPSPNPVSPVPLNNPTSAPRYGTVIPNRIFVGGIDFKTNESDLRKFFSQYGSVKEVKIVNDRAGVSKGYGFVTFETQEDAQKILQEAEKLNYKDKKLNIGPAIRKQQVGIPRSSIMPAAGTMYLTTSTGYPYTYHNGVAYFHTPEVTSVPPPWPSRSVCSSPVMVAQPIYQQPAYHYQATTQYLPGQWQWSVPQPSASSAPFLYLQPSEVIYQPVEIAQDGGCVPPPLSLMETSVPEPYSDHGVQATYHQVYAPSAITMPAPVMQPEPIKTVWSIHY
+>DECOY_sp|Q8N9W6|BOLL_HUMAN Protein boule-like OS=Homo sapiens OX=9606 GN=BOLL PE=1 SV=2
+YHISWVTKIPEPQMVPAPMTIASPAYVQHYTAQVGHDSYPEPVSTEMLSLPPPVCGGDQAIEVPQYIVESPQLYLFPASSASPQPVSWQWQGPLYQTTAQYHYAPQQYIPQAVMVPSSCVSRSPWPPPVSTVEPTHFYAVGNHYTYPYGTSTTLYMTGAAPMISSRPIGVQQKRIAPGINLKKDKYNLKEAEQLIKQADEQTEFTVFGYGKSVGARDNVIKVEKVSGYQSFFKRLDSENTKFDIGGVFIRNPIVTGYRPASTPNNLPVPSVPNPSPSLSDTQM
+>sp|Q969J3|BORC5_HUMAN BLOC-1-related complex subunit 5 OS=Homo sapiens OX=9606 GN=BORCS5 PE=1 SV=1
+MGSEQSSEAESRPNDLNSSVTPSPAKHRAKMDDIVVVAQGSQASRNVSNDPDVIKLQEIPTFQPLLKGLLSGQTSPTNAKLEKLDSQQVLQLCLRYQDHLHQCAEAVAFDQNALVKRIKEMDLSVETLFSFMQERQKRYAKYAEQIQKVNEMSAILRRIQMGIDQTVPLLDRLNSMLPEGERLEPFSMKPDRELRL
+>DECOY_sp|Q969J3|BORC5_HUMAN BLOC-1-related complex subunit 5 OS=Homo sapiens OX=9606 GN=BORCS5 PE=1 SV=1
+LRLERDPKMSFPELREGEPLMSNLRDLLPVTQDIGMQIRRLIASMENVKQIQEAYKAYRKQREQMFSFLTEVSLDMEKIRKVLANQDFAVAEACQHLHDQYRLCLQLVQQSDLKELKANTPSTQGSLLGKLLPQFTPIEQLKIVDPDNSVNRSAQSGQAVVVIDDMKARHKAPSPTVSSNLDNPRSEAESSQESGM
+>sp|O14613|BORG1_HUMAN Cdc42 effector protein 2 OS=Homo sapiens OX=9606 GN=CDC42EP2 PE=1 SV=1
+MSTKVPIYLKRGSRKGKKEKLRDLLSSDMISPPLGDFRHTIHIGSGGGSDMFGDISFLQGKFHLLPGTMVEGPEEDGTFDLPFQFTRTATVCGRELPDGPSPLLKNAISLPVIGGPQALTLPTAQAPPKPPRLHLETPQPSPQEGGSVDIWRIPETGSPNSGLTPESGAEEPFLSNASSLLSLHVDLGPSILDDVLQIMDQDLDSMQIPT
+>DECOY_sp|O14613|BORG1_HUMAN Cdc42 effector protein 2 OS=Homo sapiens OX=9606 GN=CDC42EP2 PE=1 SV=1
+TPIQMSDLDQDMIQLVDDLISPGLDVHLSLLSSANSLFPEEAGSEPTLGSNPSGTEPIRWIDVSGGEQPSPQPTELHLRPPKPPAQATPLTLAQPGGIVPLSIANKLLPSPGDPLERGCVTATRTFQFPLDFTGDEEPGEVMTGPLLHFKGQLFSIDGFMDSGGGSGIHITHRFDGLPPSIMDSSLLDRLKEKKGKRSGRKLYIPVKTSM
+>sp|Q6NZY7|BORG3_HUMAN Cdc42 effector protein 5 OS=Homo sapiens OX=9606 GN=CDC42EP5 PE=2 SV=1
+MPVLKQLGPAQPKKRPDRGALSISAPLGDFRHTLHVGRGGDAFGDTSFLSRHGGGPPPEPRAPPAGAPRSPPPPAVPQSAAPSPADPLLSFHLDLGPSMLDAVLGVMDAARPEAAAAKPDAEPRPGTQPPQARCRPNADLELNDVIGL
+>DECOY_sp|Q6NZY7|BORG3_HUMAN Cdc42 effector protein 5 OS=Homo sapiens OX=9606 GN=CDC42EP5 PE=2 SV=1
+LGIVDNLELDANPRCRAQPPQTGPRPEADPKAAAAEPRAADMVGLVADLMSPGLDLHFSLLPDAPSPAASQPVAPPPPSRPAGAPPARPEPPPGGGHRSLFSTDGFADGGRGVHLTHRFDGLPASISLAGRDPRKKPQAPGLQKLVPM
+>sp|Q9H3Q1|BORG4_HUMAN Cdc42 effector protein 4 OS=Homo sapiens OX=9606 GN=CDC42EP4 PE=1 SV=1
+MPILKQLVSSSVHSKRRSRADLTAEMISAPLGDFRHTMHVGRAGDAFGDTSFLNSKAGEPDGESLDEQPSSSSSKRSLLSRKFRGSKRSQSVTRGEREQRDMLGSLRDSALFVKNAMSLPQLNEKEAAEKGTSKLPKSLSSSPVKKANDGEGGDEEAGTEEAVPRRNGAAGPHSPDPLLDEQAFGDLTDLPVVPKATYGLKHAESIMSFHIDLGPSMLGDVLSIMDKEEWDPEEGEGGYHGDEGAAGTITQAPPYAVAAPPLARQEGKAGPDLPSLPSHALEDEGWAAAAPSPGSARSMGSHTTRDSSSLSSCTSGILEERSPAFRGPDRARAAVSRQPDKEFSFMDEEEEDEIRV
+>DECOY_sp|Q9H3Q1|BORG4_HUMAN Cdc42 effector protein 4 OS=Homo sapiens OX=9606 GN=CDC42EP4 PE=1 SV=1
+VRIEDEEEEDMFSFEKDPQRSVAARARDPGRFAPSREELIGSTCSSLSSSDRTTHSGMSRASGPSPAAAAWGEDELAHSPLSPLDPGAKGEQRALPPAAVAYPPAQTITGAAGEDGHYGGEGEEPDWEEKDMISLVDGLMSPGLDIHFSMISEAHKLGYTAKPVVPLDTLDGFAQEDLLPDPSHPGAAGNRRPVAEETGAEEDGGEGDNAKKVPSSSLSKPLKSTGKEAAEKENLQPLSMANKVFLASDRLSGLMDRQEREGRTVSQSRKSGRFKRSLLSRKSSSSSPQEDLSEGDPEGAKSNLFSTDGFADGARGVHMTHRFDGLPASIMEATLDARSRRKSHVSSSVLQKLIPM
+>sp|P59051|BRAS2_HUMAN Putative uncharacterized protein encoded by BRWD1-AS2 OS=Homo sapiens OX=9606 GN=BRWD1-AS2 PE=5 SV=1
+MLGWIQPSRQPQLRAAPPTRTPSAKRCILCNFLPGCWLVGDVAGSRQPSAPQTLRQRQHTRPPPQERGSGRRSPLREARRANPHFKSFPVLEARGLPCGARRTGPRRPVREMTLPSDPERATLPNPRLGAPAVPRRGPRSHGGRR
+>DECOY_sp|P59051|BRAS2_HUMAN Putative uncharacterized protein encoded by BRWD1-AS2 OS=Homo sapiens OX=9606 GN=BRWD1-AS2 PE=5 SV=1
+RRGGHSRPGRRPVAPAGLRPNPLTAREPDSPLTMERVPRRPGTRRAGCPLGRAELVPFSKFHPNARRAERLPSRRGSGREQPPPRTHQRQRLTQPASPQRSGAVDGVLWCGPLFNCLICRKASPTRTPPAARLQPQRSPQIWGLM
+>sp|Q6PJG6|BRAT1_HUMAN BRCA1-associated ATM activator 1 OS=Homo sapiens OX=9606 GN=BRAT1 PE=1 SV=2
+MDPECAQLLPALCAVLVDPRQPVADDTCLEKLLDWFKTVTEGESSVVLLQEHPCLVELLSHVLKVQDLSSGVLSFSLRLAGTFAAQENCFQYLQQGELLPGLFGEPGPLGRATWAVPTVRSGWIQGLRSLAQHPSALRFLADHGAVDTIFSLQGDSSLFVASAASQLLVHVLALSMRGGAEGQPCLPGGDWPACAQKIMDHVEESLCSAATPKVTQALNVLTTTFGRCQSPWTEALWVRLSPRVACLLERDPIPAAHSFVDLLLCVARSPVFSSSDGSLWETVARALSCLGPTHMGPLALGILKLEHCPQALRTQAFQVLLQPLACVLKATVQAPGPPGLLDGTADDATTVDTLLASKSSCAGLLCRTLAHLEELQPLPQRPSPWPQASLLGATVTVLRLCDGSAAPASSVGGHLCGTLAGCVRVQRAALDFLGTLSQGTGPQELVTQALAVLLECLESPGSSPTVLKKAFQATLRWLLSSPKTPGCSDLGPLIPQFLRELFPVLQKRLCHPCWEVRDSALEFLTQLSRHWGGQADFRCALLASEVPQLALQLLQDPESYVRASAVTAMGQLSSQGLHAPTSPEHAEARQSLFLELLHILSVDSEGFPRRAVMQVFTEWLRDGHADAAQDTEQFVATVLQAASRDLDWEVRAQGLELALVFLGQTLGPPRTHCPYAVALPEVAPAQPLTEALRALCHVGLFDFAFCALFDCDRPVAQKSCDLLLFLRDKIASYSSLREARGSPNTASAEATLPRWRAGEQAQPPGDQEPEAVLAMLRSLDLEGLRSTLAESSDHVEKSPQSLLQDMLATGGFLQGDEADCY
+>DECOY_sp|Q6PJG6|BRAT1_HUMAN BRCA1-associated ATM activator 1 OS=Homo sapiens OX=9606 GN=BRAT1 PE=1 SV=2
+YCDAEDGQLFGGTALMDQLLSQPSKEVHDSSEALTSRLGELDLSRLMALVAEPEQDGPPQAQEGARWRPLTAEASATNPSGRAERLSSYSAIKDRLFLLLDCSKQAVPRDCDFLACFAFDFLGVHCLARLAETLPQAPAVEPLAVAYPCHTRPPGLTQGLFVLALELGQARVEWDLDRSAAQLVTAVFQETDQAADAHGDRLWETFVQMVARRPFGESDVSLIHLLELFLSQRAEAHEPSTPAHLGQSSLQGMATVASARVYSEPDQLLQLALQPVESALLACRFDAQGGWHRSLQTLFELASDRVEWCPHCLRKQLVPFLERLFQPILPGLDSCGPTKPSSLLWRLTAQFAKKLVTPSSGPSELCELLVALAQTVLEQPGTGQSLTGLFDLAARQVRVCGALTGCLHGGVSSAPAASGDCLRLVTVTAGLLSAQPWPSPRQPLPQLEELHALTRCLLGACSSKSALLTDVTTADDATGDLLGPPGPAQVTAKLVCALPQLLVQFAQTRLAQPCHELKLIGLALPGMHTPGLCSLARAVTEWLSGDSSSFVPSRAVCLLLDVFSHAAPIPDRELLCAVRPSLRVWLAETWPSQCRGFTTTLVNLAQTVKPTAASCLSEEVHDMIKQACAPWDGGPLCPQGEAGGRMSLALVHVLLQSAASAVFLSSDGQLSFITDVAGHDALFRLASPHQALSRLGQIWGSRVTPVAWTARGLPGPEGFLGPLLEGQQLYQFCNEQAAFTGALRLSFSLVGSSLDQVKLVHSLLEVLCPHEQLLVVSSEGETVTKFWDLLKELCTDDAVPQRPDVLVACLAPLLQACEPDM
+>sp|P51587|BRCA2_HUMAN Breast cancer type 2 susceptibility protein OS=Homo sapiens OX=9606 GN=BRCA2 PE=1 SV=3
+MPIGSKERPTFFEIFKTRCNKADLGPISLNWFEELSSEAPPYNSEPAEESEHKNNNYEPNLFKTPQRKPSYNQLASTPIIFKEQGLTLPLYQSPVKELDKFKLDLGRNVPNSRHKSLRTVKTKMDQADDVSCPLLNSCLSESPVVLQCTHVTPQRDKSVVCGSLFHTPKFVKGRQTPKHISESLGAEVDPDMSWSSSLATPPTLSSTVLIVRNEEASETVFPHDTTANVKSYFSNHDESLKKNDRFIASVTDSENTNQREAASHGFGKTSGNSFKVNSCKDHIGKSMPNVLEDEVYETVVDTSEEDSFSLCFSKCRTKNLQKVRTSKTRKKIFHEANADECEKSKNQVKEKYSFVSEVEPNDTDPLDSNVANQKPFESGSDKISKEVVPSLACEWSQLTLSGLNGAQMEKIPLLHISSCDQNISEKDLLDTENKRKKDFLTSENSLPRISSLPKSEKPLNEETVVNKRDEEQHLESHTDCILAVKQAISGTSPVASSFQGIKKSIFRIRESPKETFNASFSGHMTDPNFKKETEASESGLEIHTVCSQKEDSLCPNLIDNGSWPATTTQNSVALKNAGLISTLKKKTNKFIYAIHDETSYKGKKIPKDQKSELINCSAQFEANAFEAPLTFANADSGLLHSSVKRSCSQNDSEEPTLSLTSSFGTILRKCSRNETCSNNTVISQDLDYKEAKCNKEKLQLFITPEADSLSCLQEGQCENDPKSKKVSDIKEEVLAAACHPVQHSKVEYSDTDFQSQKSLLYDHENASTLILTPTSKDVLSNLVMISRGKESYKMSDKLKGNNYESDVELTKNIPMEKNQDVCALNENYKNVELLPPEKYMRVASPSRKVQFNQNTNLRVIQKNQEETTSISKITVNPDSEELFSDNENNFVFQVANERNNLALGNTKELHETDLTCVNEPIFKNSTMVLYGDTGDKQATQVSIKKDLVYVLAEENKNSVKQHIKMTLGQDLKSDISLNIDKIPEKNNDYMNKWAGLLGPISNHSFGGSFRTASNKEIKLSEHNIKKSKMFFKDIEEQYPTSLACVEIVNTLALDNQKKLSKPQSINTVSAHLQSSVVVSDCKNSHITPQMLFSKQDFNSNHNLTPSQKAEITELSTILEESGSQFEFTQFRKPSYILQKSTFEVPENQMTILKTTSEECRDADLHVIMNAPSIGQVDSSKQFEGTVEIKRKFAGLLKNDCNKSASGYLTDENEVGFRGFYSAHGTKLNVSTEALQKAVKLFSDIENISEETSAEVHPISLSSSKCHDSVVSMFKIENHNDKTVSEKNNKCQLILQNNIEMTTGTFVEEITENYKRNTENEDNKYTAASRNSHNLEFDGSDSSKNDTVCIHKDETDLLFTDQHNICLKLSGQFMKEGNTQIKEDLSDLTFLEVAKAQEACHGNTSNKEQLTATKTEQNIKDFETSDTFFQTASGKNISVAKESFNKIVNFFDQKPEELHNFSLNSELHSDIRKNKMDILSYEETDIVKHKILKESVPVGTGNQLVTFQGQPERDEKIKEPTLLGFHTASGKKVKIAKESLDKVKNLFDEKEQGTSEITSFSHQWAKTLKYREACKDLELACETIEITAAPKCKEMQNSLNNDKNLVSIETVVPPKLLSDNLCRQTENLKTSKSIFLKVKVHENVEKETAKSPATCYTNQSPYSVIENSALAFYTSCSRKTSVSQTSLLEAKKWLREGIFDGQPERINTADYVGNYLYENNSNSTIAENDKNHLSEKQDTYLSNSSMSNSYSYHSDEVYNDSGYLSKNKLDSGIEPVLKNVEDQKNTSFSKVISNVKDANAYPQTVNEDICVEELVTSSSPCKNKNAAIKLSISNSNNFEVGPPAFRIASGKIVCVSHETIKKVKDIFTDSFSKVIKENNENKSKICQTKIMAGCYEALDDSEDILHNSLDNDECSTHSHKVFADIQSEEILQHNQNMSGLEKVSKISPCDVSLETSDICKCSIGKLHKSVSSANTCGIFSTASGKSVQVSDASLQNARQVFSEIEDSTKQVFSKVLFKSNEHSDQLTREENTAIRTPEHLISQKGFSYNVVNSSAFSGFSTASGKQVSILESSLHKVKGVLEEFDLIRTEHSLHYSPTSRQNVSKILPRVDKRNPEHCVNSEMEKTCSKEFKLSNNLNVEGGSSENNHSIKVSPYLSQFQQDKQQLVLGTKVSLVENIHVLGKEQASPKNVKMEIGKTETFSDVPVKTNIEVCSTYSKDSENYFETEAVEIAKAFMEDDELTDSKLPSHATHSLFTCPENEEMVLSNSRIGKRRGEPLILVGEPSIKRNLLNEFDRIIENQEKSLKASKSTPDGTIKDRRLFMHHVSLEPITCVPFRTTKERQEIQNPNFTAPGQEFLSKSHLYEHLTLEKSSSNLAVSGHPFYQVSATRNEKMRHLITTGRPTKVFVPPFKTKSHFHRVEQCVRNINLEENRQKQNIDGHGSDDSKNKINDNEIHQFNKNNSNQAAAVTFTKCEEEPLDLITSLQNARDIQDMRIKKKQRQRVFPQPGSLYLAKTSTLPRISLKAAVGGQVPSACSHKQLYTYGVSKHCIKINSKNAESFQFHTEDYFGKESLWTGKGIQLADGGWLIPSNDGKAGKEEFYRALCDTPGVDPKLISRIWVYNHYRWIIWKLAAMECAFPKEFANRCLSPERVLLQLKYRYDTEIDRSRRSAIKKIMERDDTAAKTLVLCVSDIISLSANISETSSNKTSSADTQKVAIIELTDGWYAVKAQLDPPLLAVLKNGRLTVGQKIILHGAELVGSPDACTPLEAPESLMLKISANSTRPARWYTKLGFFPDPRPFPLPLSSLFSDGGNVGCVDVIIQRAYPIQWMEKTSSGLYIFRNEREEEKEAAKYVEAQQKRLEALFTKIQEEFEEHEENTTKPYLPSRALTRQQVRALQDGAELYEAVKNAADPAYLEGYFSEEQLRALNNHRQMLNDKKQAQIQLEIRKAMESAEQKEQGLSRDVTTVWKLRIVSYSKKEKDSVILSIWRPSSDLYSLLTEGKRYRIYHLATSKSKSKSERANIQLAATKKTQYQQLPVSDEILFQIYQPREPLHFSKFLDPDFQPSCSEVDLIGFVVSVVKKTGLAPFVYLSDECYNLLAIKFWIDLNEDIIKPHMLIAASNLQWRPESKSGLLTLFAGDFSVFSASPKEGHFQETFNKMKNTVENIDILCNEAENKLMHILHANDPKWSTPTKDCTSGPYTAQIIPGTGNKLLMSSPNCEIYYQSPLSLCMAKRKSVSTPVSAQMTSKSCKGEKEIDDQKNCKKRRALDFLSRLPLPPPVSPICTFVSPAAQKAFQPPRSCGTKYETPIKKKELNSPQMTPFKKFNEISLLESNSIADEELALINTQALLSGSTGEKQFISVSESTRTAPTSSEDYLRLKRRCTTSLIKEQESSQASTEECEKNKQDTITTKKYI
+>DECOY_sp|P51587|BRCA2_HUMAN Breast cancer type 2 susceptibility protein OS=Homo sapiens OX=9606 GN=BRCA2 PE=1 SV=3
+IYKKTTITDQKNKECEETSAQSSEQEKILSTTCRRKLRLYDESSTPATRTSESVSIFQKEGTSGSLLAQTNILALEEDAISNSELLSIENFKKFPTMQPSNLEKKKIPTEYKTGCSRPPQFAKQAAPSVFTCIPSVPPPLPLRSLFDLARRKKCNKQDDIEKEGKCSKSTMQASVPTSVSKRKAMCLSLPSQYYIECNPSSMLLKNGTGPIIQATYPGSTCDKTPTSWKPDNAHLIHMLKNEAENCLIDINEVTNKMKNFTEQFHGEKPSASFVSFDGAFLTLLGSKSEPRWQLNSAAILMHPKIIDENLDIWFKIALLNYCEDSLYVFPALGTKKVVSVVFGILDVESCSPQFDPDLFKSFHLPERPQYIQFLIEDSVPLQQYQTKKTAALQINARESKSKSKSTALHYIRYRKGETLLSYLDSSPRWISLIVSDKEKKSYSVIRLKWVTTVDRSLGQEKQEASEMAKRIELQIQAQKKDNLMQRHNNLARLQEESFYGELYAPDAANKVAEYLEAGDQLARVQQRTLARSPLYPKTTNEEHEEFEEQIKTFLAELRKQQAEVYKAAEKEEERENRFIYLGSSTKEMWQIPYARQIIVDVCGVNGGDSFLSSLPLPFPRPDPFFGLKTYWRAPRTSNASIKLMLSEPAELPTCADPSGVLEAGHLIIKQGVTLRGNKLVALLPPDLQAKVAYWGDTLEIIAVKQTDASSTKNSSTESINASLSIIDSVCLVLTKAATDDREMIKKIASRRSRDIETDYRYKLQLLVREPSLCRNAFEKPFACEMAALKWIIWRYHNYVWIRSILKPDVGPTDCLARYFEEKGAKGDNSPILWGGDALQIGKGTWLSEKGFYDETHFQFSEANKSNIKICHKSVGYTYLQKHSCASPVQGGVAAKLSIRPLTSTKALYLSGPQPFVRQRQKKKIRMDQIDRANQLSTILDLPEEECKTFTVAAAQNSNNKNFQHIENDNIKNKSDDSGHGDINQKQRNEELNINRVCQEVRHFHSKTKFPPVFVKTPRGTTILHRMKENRTASVQYFPHGSVALNSSSKELTLHEYLHSKSLFEQGPATFNPNQIEQREKTTRFPVCTIPELSVHHMFLRRDKITGDPTSKSAKLSKEQNEIIRDFENLLNRKISPEGVLILPEGRRKGIRSNSLVMEENEPCTFLSHTAHSPLKSDTLEDDEMFAKAIEVAETEFYNESDKSYTSCVEINTKVPVDSFTETKGIEMKVNKPSAQEKGLVHINEVLSVKTGLVLQQKDQQFQSLYPSVKISHNNESSGGEVNLNNSLKFEKSCTKEMESNVCHEPNRKDVRPLIKSVNQRSTPSYHLSHETRILDFEELVGKVKHLSSELISVQKGSATSFGSFASSNVVNYSFGKQSILHEPTRIATNEERTLQDSHENSKFLVKSFVQKTSDEIESFVQRANQLSADSVQVSKGSATSFIGCTNASSVSKHLKGISCKCIDSTELSVDCPSIKSVKELGSMNQNHQLIEESQIDAFVKHSHTSCEDNDLSNHLIDESDDLAEYCGAMIKTQCIKSKNENNEKIVKSFSDTFIDKVKKITEHSVCVIKGSAIRFAPPGVEFNNSNSISLKIAANKNKCPSSSTVLEEVCIDENVTQPYANADKVNSIVKSFSTNKQDEVNKLVPEIGSDLKNKSLYGSDNYVEDSHYSYSNSMSSNSLYTDQKESLHNKDNEAITSNSNNEYLYNGVYDATNIREPQGDFIGERLWKKAELLSTQSVSTKRSCSTYFALASNEIVSYPSQNTYCTAPSKATEKEVNEHVKVKLFISKSTKLNETQRCLNDSLLKPPVVTEISVLNKDNNLSNQMEKCKPAATIEITECALELDKCAERYKLTKAWQHSFSTIESTGQEKEDFLNKVKDLSEKAIKVKKGSATHFGLLTPEKIKEDREPQGQFTVLQNGTGVPVSEKLIKHKVIDTEEYSLIDMKNKRIDSHLESNLSFNHLEEPKQDFFNVIKNFSEKAVSINKGSATQFFTDSTEFDKINQETKTATLQEKNSTNGHCAEQAKAVELFTLDSLDEKIQTNGEKMFQGSLKLCINHQDTFLLDTEDKHICVTDNKSSDSGDFELNHSNRSAATYKNDENETNRKYNETIEEVFTGTTMEINNQLILQCKNNKESVTKDNHNEIKFMSVVSDHCKSSSLSIPHVEASTEESINEIDSFLKVAKQLAETSVNLKTGHASYFGRFGVENEDTLYGSASKNCDNKLLGAFKRKIEVTGEFQKSSDVQGISPANMIVHLDADRCEESTTKLITMQNEPVEFTSKQLIYSPKRFQTFEFQSGSEELITSLETIEAKQSPTLNHNSNFDQKSFLMQPTIHSNKCDSVVVSSQLHASVTNISQPKSLKKQNDLALTNVIEVCALSTPYQEEIDKFFMKSKKINHESLKIEKNSATRFSGGFSHNSIPGLLGAWKNMYDNNKEPIKDINLSIDSKLDQGLTMKIHQKVSNKNEEALVYVLDKKISVQTAQKDGTDGYLVMTSNKFIPENVCTLDTEHLEKTNGLALNNRENAVQFVFNNENDSFLEESDPNVTIKSISTTEEQNKQIVRLNTNQNFQVKRSPSAVRMYKEPPLLEVNKYNENLACVDQNKEMPINKTLEVDSEYNNGKLKDSMKYSEKGRSIMVLNSLVDKSTPTLILTSANEHDYLLSKQSQFDTDSYEVKSHQVPHCAAALVEEKIDSVKKSKPDNECQGEQLCSLSDAEPTIFLQLKEKNCKAEKYDLDQSIVTNNSCTENRSCKRLITGFSSTLSLTPEESDNQSCSRKVSSHLLGSDANAFTLPAEFANAEFQASCNILESKQDKPIKKGKYSTEDHIAYIFKNTKKKLTSILGANKLAVSNQTTTAPWSGNDILNPCLSDEKQSCVTHIELGSESAETEKKFNPDTMHGSFSANFTEKPSERIRFISKKIGQFSSAVPSTGSIAQKVALICDTHSELHQEEDRKNVVTEENLPKESKPLSSIRPLSNESTLFDKKRKNETDLLDKESINQDCSSIHLLPIKEMQAGNLGSLTLQSWECALSPVVEKSIKDSGSEFPKQNAVNSDLPDTDNPEVESVFSYKEKVQNKSKECEDANAEHFIKKRTKSTRVKQLNKTRCKSFCLSFSDEESTDVVTEYVEDELVNPMSKGIHDKCSNVKFSNGSTKGFGHSAAERQNTNESDTVSAIFRDNKKLSEDHNSFYSKVNATTDHPFVTESAEENRVILVTSSLTPPTALSSSWSMDPDVEAGLSESIHKPTQRGKVFKPTHFLSGCVVSKDRQPTVHTCQLVVPSESLCSNLLPCSVDDAQDMKTKVTRLSKHRSNPVNRGLDLKFKDLEKVPSQYLPLTLGQEKFIIPTSALQNYSPKRQPTKFLNPEYNNNKHESEEAPESNYPPAESSLEEFWNLSIPGLDAKNCRTKFIEFFTPREKSGIPM
+>sp|A0A1B0GUI7|BRDOS_HUMAN Putative uncharacterized protein BRD3OS OS=Homo sapiens OX=9606 GN=BRD3OS PE=5 SV=1
+MSGRVPLAEKALSEGYARLRYRDTSLLIWQQQQQKLESVPPGTYLSRSRSMWYSQYGNEAILVRDKNKLEVSRDTGQSKFCTIM
+>DECOY_sp|A0A1B0GUI7|BRDOS_HUMAN Putative uncharacterized protein BRD3OS OS=Homo sapiens OX=9606 GN=BRD3OS PE=5 SV=1
+MITCFKSQGTDRSVELKNKDRVLIAENGYQSYWMSRSRSLYTGPPVSELKQQQQQWILLSTDRYRLRAYGESLAKEALPVRGSM
+>sp|Q58F21|BRDT_HUMAN Bromodomain testis-specific protein OS=Homo sapiens OX=9606 GN=BRDT PE=1 SV=4
+MSLPSRQTAIIVNPPPPEYINTKKNGRLTNQLQYLQKVVLKDLWKHSFSWPFQRPVDAVKLQLPDYYTIIKNPMDLNTIKKRLENKYYAKASECIEDFNTMFSNCYLYNKPGDDIVLMAQALEKLFMQKLSQMPQEEQVVGVKERIKKGTQQNIAVSSAKEKSSPSATEKVFKQQEIPSVFPKTSISPLNVVQGASVNSSSQTAAQVTKGVKRKADTTTPATSAVKASSEFSPTFTEKSVALPPIKENMPKNVLPDSQQQYNVVKTVKVTEQLRHCSEILKEMLAKKHFSYAWPFYNPVDVNALGLHNYYDVVKNPMDLGTIKEKMDNQEYKDAYKFAADVRLMFMNCYKYNPPDHEVVTMARMLQDVFETHFSKIPIEPVESMPLCYIKTDITETTGRENTNEASSEGNSSDDSEDERVKRLAKLQEQLKAVHQQLQVLSQVPFRKLNKKKEKSKKEKKKEKVNNSNENPRKMCEQMRLKEKSKRNQPKKRKQQFIGLKSEDEDNAKPMNYDEKRQLSLNINKLPGDKLGRVVHIIQSREPSLSNSNPDEIEIDFETLKASTLRELEKYVSACLRKRPLKPPAKKIMMSKEELHSQKKQELEKRLLDVNNQLNSRKRQTKSDKTQPSKAVENVSRLSESSSSSSSSSESESSSSDLSSSDSSDSESEMFPKFTEVKPNDSPSKENVKKMKNECIPPEGRTGVTQIGYCVQDTTSANTTLVHQTTPSHVMPPNHHQLAFNYQELEHLQTVKNISPLQILPPSGDSEQLSNGITVMHPSGDSDTTMLESECQAPVQKDIKIKNADSWKSLGKPVKPSGVMKSSDELFNQFRKAAIEKEVKARTQELIRKHLEQNTKELKASQENQRDLGNGLTVESFSNKIQNKCSGEEQKEHQQSSEAQDKSKLWLLKDRDLARQKEQERRRREAMVGTIDMTLQSDIMTMFENNFD
+>DECOY_sp|Q58F21|BRDT_HUMAN Bromodomain testis-specific protein OS=Homo sapiens OX=9606 GN=BRDT PE=1 SV=4
+DFNNEFMTMIDSQLTMDITGVMAERRRREQEKQRALDRDKLLWLKSKDQAESSQQHEKQEEGSCKNQIKNSFSEVTLGNGLDRQNEQSAKLEKTNQELHKRILEQTRAKVEKEIAAKRFQNFLEDSSKMVGSPKVPKGLSKWSDANKIKIDKQVPAQCESELMTTDSDGSPHMVTIGNSLQESDGSPPLIQLPSINKVTQLHELEQYNFALQHHNPPMVHSPTTQHVLTTNASTTDQVCYGIQTVGTRGEPPICENKMKKVNEKSPSDNPKVETFKPFMESESDSSDSSSLDSSSSESESSSSSSSSSESLRSVNEVAKSPQTKDSKTQRKRSNLQNNVDLLRKELEQKKQSHLEEKSMMIKKAPPKLPRKRLCASVYKELERLTSAKLTEFDIEIEDPNSNSLSPERSQIIHVVRGLKDGPLKNINLSLQRKEDYNMPKANDEDESKLGIFQQKRKKPQNRKSKEKLRMQECMKRPNENSNNVKEKKKEKKSKEKKKNLKRFPVQSLVQLQQHVAKLQEQLKALRKVREDESDDSSNGESSAENTNERGTTETIDTKIYCLPMSEVPEIPIKSFHTEFVDQLMRAMTVVEHDPPNYKYCNMFMLRVDAAFKYADKYEQNDMKEKITGLDMPNKVVDYYNHLGLANVDVPNYFPWAYSFHKKALMEKLIESCHRLQETVKVTKVVNYQQQSDPLVNKPMNEKIPPLAVSKETFTPSFESSAKVASTAPTTTDAKRKVGKTVQAATQSSSNVSAGQVVNLPSISTKPFVSPIEQQKFVKETASPSSKEKASSVAINQQTGKKIREKVGVVQEEQPMQSLKQMFLKELAQAMLVIDDGPKNYLYCNSFMTNFDEICESAKAYYKNELRKKITNLDMPNKIITYYDPLQLKVADVPRQFPWSFSHKWLDKLVVKQLYQLQNTLRGNKKTNIYEPPPPNVIIATQRSPLSM
+>sp|O95415|BRI3_HUMAN Brain protein I3 OS=Homo sapiens OX=9606 GN=BRI3 PE=1 SV=1
+MDHKPLLQERPPAYNLEAGQGDYACGPHGYGAIPAAPPPPPYPYLVTGIPTHHPRVYNIHSRTVTRYPANSIVVVGGCPVCRVGVLEDCFTFLGIFLAIILFPFGFICCFALRKRRCPNCGATFA
+>DECOY_sp|O95415|BRI3_HUMAN Brain protein I3 OS=Homo sapiens OX=9606 GN=BRI3 PE=1 SV=1
+AFTAGCNPCRRKRLAFCCIFGFPFLIIALFIGLFTFCDELVGVRCVPCGGVVVISNAPYRTVTRSHINYVRPHHTPIGTVLYPYPPPPPAAPIAGYGHPGCAYDGQGAELNYAPPREQLLPKHDM
+>sp|Q9C0B6|BRNP2_HUMAN BMP/retinoic acid-inducible neural-specific protein 2 OS=Homo sapiens OX=9606 GN=BRINP2 PE=2 SV=2
+MRWQCGTRFRGLRPAVAPWTALLALGLPGWVLAVSATAAAVVPEQHASVAGQHPLDWLLTDRGPFHRAQEYADFMERYRQGFTTRYRIYREFARWKVNNLALERKDFFSLPLPLAPEFIRNIRLLGRRPNLQQVTENLIKKYGTHFLLSATLGGEESLTIFVDKQKLGRKTETTGGASIIGGSGNSTAVSLETLHQLAASYFIDRESTLRRLHHIQIATGAIKVTETRTGPLGCSNYDNLDSVSSVLVQSPENKVQLLGLQVLLPEYLRERFVAAALSYITCSSEGELVCKENDCWCKCSPTFPECNCPDADIQAMEDSLLQIQDSWATHNRQFEESEEFQALLKRLPDDRFLNSTAISQFWAMDTSLQHRYQQLGAGLKVLFKKTHRILRRLFNLCKRCHRQPRFRLPKERSLSYWWNRIQSLLYCGESTFPGTFLEQSHSCTCPYDQSSCQGPIPCALGEGPACAHCAPDNSTRCGSCNPGYVLAQGLCRPEVAESLENFLGLETDLQDLELKYLLQKQDSRIEVHSIFISNDMRLGSWFDPSWRKRMLLTLKSNKYKPGLVHVMLALSLQICLTKNSTLEPVMAIYVNPFGGSHSESWFMPVNEGSFPDWERTNVDAAAQCQNWTITLGNRWKTFFETVHVYLRSRIKSLDDSSNETIYYEPLEMTDPSKNLGYMKINTLQVFGYSLPFDPDAIRDLILQLDYPYTQGSQDSALLQLIELRDRVNQLSPPGKVRLDLFSCLLRHRLKLANNEVGRIQSSLRAFNSKLPNPVEYETGKLCS
+>DECOY_sp|Q9C0B6|BRNP2_HUMAN BMP/retinoic acid-inducible neural-specific protein 2 OS=Homo sapiens OX=9606 GN=BRINP2 PE=2 SV=2
+SCLKGTEYEVPNPLKSNFARLSSQIRGVENNALKLRHRLLCSFLDLRVKGPPSLQNVRDRLEILQLLASDQSGQTYPYDLQLILDRIADPDFPLSYGFVQLTNIKMYGLNKSPDTMELPEYYITENSSDDLSKIRSRLYVHVTEFFTKWRNGLTITWNQCQAAADVNTREWDPFSGENVPMFWSESHSGGFPNVYIAMVPELTSNKTLCIQLSLALMVHVLGPKYKNSKLTLLMRKRWSPDFWSGLRMDNSIFISHVEIRSDQKQLLYKLELDQLDTELGLFNELSEAVEPRCLGQALVYGPNCSGCRTSNDPACHACAPGEGLACPIPGQCSSQDYPCTCSHSQELFTGPFTSEGCYLLSQIRNWWYSLSREKPLRFRPQRHCRKCLNFLRRLIRHTKKFLVKLGAGLQQYRHQLSTDMAWFQSIATSNLFRDDPLRKLLAQFEESEEFQRNHTAWSDQIQLLSDEMAQIDADPCNCEPFTPSCKCWCDNEKCVLEGESSCTIYSLAAAVFRERLYEPLLVQLGLLQVKNEPSQVLVSSVSDLNDYNSCGLPGTRTETVKIAGTAIQIHHLRRLTSERDIFYSAALQHLTELSVATSNGSGGIISAGGTTETKRGLKQKDVFITLSEEGGLTASLLFHTGYKKILNETVQQLNPRRGLLRINRIFEPALPLPLSFFDKRELALNNVKWRAFERYIRYRTTFGQRYREMFDAYEQARHFPGRDTLLWDLPHQGAVSAHQEPVVAAATASVALVWGPLGLALLATWPAVAPRLGRFRTGCQWRM
+>sp|Q96NH3|BROMI_HUMAN Protein broad-minded OS=Homo sapiens OX=9606 GN=TBC1D32 PE=2 SV=4
+MAHFSSEDQAMLQAMLRRLFQSVKEKITGAPSLECAEEILLHLEETDENFHNYEFVKYLRQHIGNTLGSMIEEEMEKCTSDRNQGEECGYDTVVQQVTKRTQESKEYKEMMHYLKNIMIAVVESMINKFEEDETRNQERQKKIQKEKSHSYRTDNCSDSDSSLNQSYKFCQGKLQLILDQLDPGQPKEVRYEALQTLCSAPPSDVLNCENWTTLCEKLTVSLSDPDPVFSDRILKFCAQTFLLSPLHMTKEIYTSLAKYLESYFLSRENHIPTLSAGVDITNPNMTRLLKKVRLLNEYQKEAPSFWIRHPEKYMEEIVESTLSLLTVKHNQSHVVSQKILDPIYFFALVDTKAVWFKKWMHAHYSRTTVLRLLETKYKSLVTTAIQQCVQYFEMCKTRKADETLGHSKHCRNKQKTFYYLGQELQYIYFIHSLCLLGRLLIYKQGRKLFPIKLKNKKGLVSLIDLLVLFTQLIYYSPSCPKMTSAAHSENYSPASMVTEVLWILSDQKECAVECLYNNIVIETLLQPIHNLMKGNEASPNCSETALIHIAGILARIASVEEGLILLLYGANMNSSEESPTGAHIIAQFSKKLLDEDISIFSGSEMLPVVKGAFISVCRHIYSTCEGLQVLITYNLHESIAKAWKKTSLLSERIPTPVEGSDSVSSVSQESQNIMAWEDNLLDDLLHFAATPKGLLLLQRTGAINECVTFIFNRYAKKLQVSRHKKFGYGVLVTRVASTAAGGIALKKSGFINELITELWSNLEYGRDDVRVTHPRTTPVDPIDRSCQKSFLALVNLLSYPAIYELVRNQDLPNKTEYSLREVPTCVIDIIDRLIILNSEAKIRSLFNYEQSHIFGLRDFIIDGLSVERNHVLVRINLVGGPLERILPPRLLEKSDNPYPWPMFSSYPLPNCYLSDITRNAGIKQDNDLDKLLLCLKISDKQTEWIENCQRQFCKMMKAKPDIISGEALIELLEKFVLHLTESPSECYFPSVEYTATDANVKNESLSSVQQLGIKMTVRYGKFLSLLKDGAENDLTWVLKHCERFLKQQQTSIKSSLLCLQGNYAGHDWFVSSLFMIMLGDKEKTFQFLHQFSRLLTSAFLWLPRLHISSYLPNDTVESGIHPVYFCSTHYIEMLLKAELPLVFSAFHMSGFAPSQICLQWITQCFWNYLDWIEICHYIATCVFLGPDYQVYICIAVFKHLQQDILQHTQTQDLQVFLKEEALHGFRVSDYFEYMEILEQNYRTVLLRDMRNIRLQST
+>DECOY_sp|Q96NH3|BROMI_HUMAN Protein broad-minded OS=Homo sapiens OX=9606 GN=TBC1D32 PE=2 SV=4
+TSQLRINRMDRLLVTRYNQELIEMYEFYDSVRFGHLAEEKLFVQLDQTQTHQLIDQQLHKFVAICIYVQYDPGLFVCTAIYHCIEIWDLYNWFCQTIWQLCIQSPAFGSMHFASFVLPLEAKLLMEIYHTSCFYVPHIGSEVTDNPLYSSIHLRPLWLFASTLLRSFQHLFQFTKEKDGLMIMFLSSVFWDHGAYNGQLCLLSSKISTQQQKLFRECHKLVWTLDNEAGDKLLSLFKGYRVTMKIGLQQVSSLSENKVNADTATYEVSPFYCESPSETLHLVFKELLEILAEGSIIDPKAKMMKCFQRQCNEIWETQKDSIKLCLLLKDLDNDQKIGANRTIDSLYCNPLPYSSFMPWPYPNDSKELLRPPLIRELPGGVLNIRVLVHNREVSLGDIIFDRLGFIHSQEYNFLSRIKAESNLIILRDIIDIVCTPVERLSYETKNPLDQNRVLEYIAPYSLLNVLALFSKQCSRDIPDVPTTRPHTVRVDDRGYELNSWLETILENIFGSKKLAIGGAATSAVRTVLVGYGFKKHRSVQLKKAYRNFIFTVCENIAGTRQLLLLGKPTAAFHLLDDLLNDEWAMINQSEQSVSSVSDSGEVPTPIRESLLSTKKWAKAISEHLNYTILVQLGECTSYIHRCVSIFAGKVVPLMESGSFISIDEDLLKKSFQAIIHAGTPSEESSNMNAGYLLLILGEEVSAIRALIGAIHILATESCNPSAENGKMLNHIPQLLTEIVINNYLCEVACEKQDSLIWLVETVMSAPSYNESHAASTMKPCSPSYYILQTFLVLLDILSVLGKKNKLKIPFLKRGQKYILLRGLLCLSHIFYIYQLEQGLYYFTKQKNRCHKSHGLTEDAKRTKCMEFYQVCQQIATTVLSKYKTELLRLVTTRSYHAHMWKKFWVAKTDVLAFFYIPDLIKQSVVHSQNHKVTLLSLTSEVIEEMYKEPHRIWFSPAEKQYENLLRVKKLLRTMNPNTIDVGASLTPIHNERSLFYSELYKALSTYIEKTMHLPSLLFTQACFKLIRDSFVPDPDSLSVTLKECLTTWNECNLVDSPPASCLTQLAEYRVEKPQGPDLQDLILQLKGQCFKYSQNLSSDSDSCNDTRYSHSKEKQIKKQREQNRTEDEEFKNIMSEVVAIMINKLYHMMEKYEKSEQTRKTVQQVVTDYGCEEGQNRDSTCKEMEEEIMSGLTNGIHQRLYKVFEYNHFNEDTEELHLLIEEACELSPAGTIKEKVSQFLRRLMAQLMAQDESSFHAM
+>sp|P55201|BRPF1_HUMAN Peregrin OS=Homo sapiens OX=9606 GN=BRPF1 PE=1 SV=2
+MGVDFDVKTFCHNLRATKPPYECPVETCRKVYKSYSGIEYHLYHYDHDNPPPPQQTPLRKHKKKGRQSRPANKQSPSPSEVSQSPGREVMSYAQAQRMVEVDLHGRVHRISIFDNLDVVSEDEEAPEEAPENGSNKENTETPAATPKSGKHKNKEKRKDSNHHHHHNVSASTTPKLPEVVYRELEQDTPDAPPRPTSYYRYIEKSAEELDEEVEYDMDEEDYIWLDIMNERRKTEGVSPIPQEIFEYLMDRLEKESYFESHNKGDPNALVDEDAVCCICNDGECQNSNVILFCDMCNLAVHQECYGVPYIPEGQWLCRRCLQSPSRAVDCALCPNKGGAFKQTDDGRWAHVVCALWIPEVCFANTVFLEPIDSIEHIPPARWKLTCYICKQRGSGACIQCHKANCYTAFHVTCAQQAGLYMKMEPVRETGANGTSFSVRKTAYCDIHTPPGSARRLPALSHSEGEEDEDEEEDEGKGWSSEKVKKAKAKSRIKMKKARKILAEKRAAAPVVSVPCIPPHRLSKITNRLTIQRKSQFMQRLHSYWTLKRQSRNGVPLLRRLQTHLQSQRNCDQVGRDSEDKNWALKEQLKSWQRLRHDLERARLLVELIRKREKLKRETIKVQQIAMEMQLTPFLILLRKTLEQLQEKDTGNIFSEPVPLSEVPDYLDHIKKPMDFFTMKQNLEAYRYLNFDDFEEDFNLIVSNCLKYNAKDTIFYRAAVRLREQGGAVLRQARRQAEKMGIDFETGMHIPHSLAGDEATHHTEDAAEEERLVLLENQKHLPVEEQLKLLLERLDEVNASKQSVGRSRRAKMIKKEMTALRRKLAHQRETGRDGPERHGPSSRGSLTPHPAACDKDGQTDSAAEESSSQETSKGLGPNMSSTPAHEVGRRTSVLFSKKNPKTAGPPKRPGRPPKNRESQMTPSHGGSPVGPPQLPIMSSLRQRKRGRSPRPSSSSDSDSDKSTEDPPMDLPANGFSGGNQPVKKSFLVYRNDCSLPRSSSDSESSSSSSSSAASDRTSTTPSKQGRGKPSFSRGTFPEDSSEDTSGTENEAYSVGTGRGVGHSMVRKSLGRGAGWLSEDEDSPLDALDLVWAKCRGYPSYPALIIDPKMPREGMFHHGVPIPVPPLEVLKLGEQMTQEAREHLYLVLFFDNKRTWQWLPRTKLVPLGVNQDLDKEKMLEGRKSNIRKSVQIAYHRALQHRSKVQGEQSSETSDSD
+>DECOY_sp|P55201|BRPF1_HUMAN Peregrin OS=Homo sapiens OX=9606 GN=BRPF1 PE=1 SV=2
+DSDSTESSQEGQVKSRHQLARHYAIQVSKRINSKRGELMKEKDLDQNVGLPVLKTRPLWQWTRKNDFFLVLYLHERAEQTMQEGLKLVELPPVPIPVGHHFMGERPMKPDIILAPYSPYGRCKAWVLDLADLPSDEDESLWGAGRGLSKRVMSHGVGRGTGVSYAENETGSTDESSDEPFTGRSFSPKGRGQKSPTTSTRDSAASSSSSSSSESDSSSRPLSCDNRYVLFSKKVPQNGGSFGNAPLDMPPDETSKDSDSDSSSSPRPSRGRKRQRLSSMIPLQPPGVPSGGHSPTMQSERNKPPRGPRKPPGATKPNKKSFLVSTRRGVEHAPTSSMNPGLGKSTEQSSSEEAASDTQGDKDCAAPHPTLSGRSSPGHREPGDRGTERQHALKRRLATMEKKIMKARRSRGVSQKSANVEDLRELLLKLQEEVPLHKQNELLVLREEEAADETHHTAEDGALSHPIHMGTEFDIGMKEAQRRAQRLVAGGQERLRVAARYFITDKANYKLCNSVILNFDEEFDDFNLYRYAELNQKMTFFDMPKKIHDLYDPVESLPVPESFINGTDKEQLQELTKRLLILFPTLQMEMAIQQVKITERKLKERKRILEVLLRARELDHRLRQWSKLQEKLAWNKDESDRGVQDCNRQSQLHTQLRRLLPVGNRSQRKLTWYSHLRQMFQSKRQITLRNTIKSLRHPPICPVSVVPAAARKEALIKRAKKMKIRSKAKAKKVKESSWGKGEDEEEDEDEEGESHSLAPLRRASGPPTHIDCYATKRVSFSTGNAGTERVPEMKMYLGAQQACTVHFATYCNAKHCQICAGSGRQKCIYCTLKWRAPPIHEISDIPELFVTNAFCVEPIWLACVVHAWRGDDTQKFAGGKNPCLACDVARSPSQLCRRCLWQGEPIYPVGYCEQHVALNCMDCFLIVNSNQCEGDNCICCVADEDVLANPDGKNHSEFYSEKELRDMLYEFIEQPIPSVGETKRRENMIDLWIYDEEDMDYEVEEDLEEASKEIYRYYSTPRPPADPTDQELERYVVEPLKPTTSASVNHHHHHNSDKRKEKNKHKGSKPTAAPTETNEKNSGNEPAEEPAEEDESVVDLNDFISIRHVRGHLDVEVMRQAQAYSMVERGPSQSVESPSPSQKNAPRSQRGKKKHKRLPTQQPPPPNDHDYHYLHYEIGSYSKYVKRCTEVPCEYPPKTARLNHCFTKVDFDVGM
+>sp|Q9NSI6|BRWD1_HUMAN Bromodomain and WD repeat-containing protein 1 OS=Homo sapiens OX=9606 GN=BRWD1 PE=1 SV=4
+MAEPSSARRPVPLIESELYFLIARYLSAGPCRRAAQVLVQELEQYQLLPKRLDWEGNEHNRSYEELVLSNKHVAPDHLLQICQRIGPMLDKEIPPSISRVTSLLGAGRQSLLRTAKDCRHTVWKGSAFAALHRGRPPEMPVNYGSPPNLVEIHRGKQLTGCSTFSTAFPGTMYQHIKMHRRILGHLSAVYCVAFDRTGHRIFTGSDDCLVKIWSTHNGRLLSTLRGHSAEISDMAVNYENTMIAAGSCDKIIRVWCLRTCAPVAVLQGHTGSITSLQFSPMAKGSQRYMVSTGADGTVCFWQWDLESLKFSPRPLKFTEKPRPGVQMLCSSFSVGGMFLATGSTDHVIRMYFLGFEAPEKIAELESHTDKVDSIQFCNNGDRFLSGSRDGTARIWRFEQLEWRSILLDMATRISGDLSSEEERFMKPKVTMIAWNQNDSIVVTAVNDHVLKVWNSYTGQLLHNLMGHADEVFVLETHPFDSRIMLSAGHDGSIFIWDITKGTKMKHYFNMIEGQGHGAVFDCKFSQDGQHFACTDSHGHLLIFGFGCSKPYEKIPDQMFFHTDYRPLIRDSNNYVLDEQTQQAPHLMPPPFLVDVDGNPHPTKYQRLVPGRENSADEHLIPQLGYVATSDGEVIEQIISLQTNDNDERSPESSILDGMIRQLQQQQDQRMGADQDTIPRGLSNGEETPRRGFRRLSLDIQSPPNIGLRRSGQVEGVRQMHQNAPRSQIATERDLQAWKRRVVVPEVPLGIFRKLEDFRLEKGEEERNLYIIGRKRKTLQLSHKSDSVVLVSQSRQRTCRRKYPNYGRRNRSWRELSSGNESSSSVRHETSCDQSEGSGSSEEDEWRSDRKSESYSESSSDSSSRYSDWTADAGINLQPPLRTSCRRRITRFCSSSEDEISTENLSPPKRRRKRKKENKPKKENLRRMTPAELANMEHLYEFHPPVWITDTTLRKSPFVPQMGDEVIYFRQGHEAYIEAVRRNNIYELNPNKEPWRKMDLRDQELVKIVGIRYEVGPPTLCCLKLAFIDPATGKLMDKSFSIRYHDMPDVIDFLVLRQFYDEARQRNWQSCDRFRSIIDDAWWFGTVLSQEPYQPQYPDSHFQCYIVRWDNTEIEKLSPWDMEPIPDNVDPPEELGASISVTTDELEKLLYKPQAGEWGQKSRDEECDRIISGIDQLLNLDIAAAFAGPVDLCTYPKYCTVVAYPTDLYTIRMRLVNRFYRRLSALVWEVRYIEHNARTFNEPESVIARSAKKITDQLLKFIKNQHCTNISELSNTSENDEQNAEDLDDSDLPKTSSGRRRVHDGKKSIRATNYVESNWKKQCKELVNLIFQCEDSEPFRQPVDLVEYPDYRDIIDTPMDFGTVRETLDAGNYDSPLEFCKDIRLIFSNAKAYTPNKRSKIYSMTLRLSALFEEKMKKISSDFKIGQKFNEKLRRSQRFKQRQNCKGDSQPNKSIRNLKPKRLKSQTKIIPELVGSPTQSTSSRTAYLGTHKTSAGISSGVTSGDSSDSAESSERRKRNRPITNGSTLSESEVEDSLATSLSSSASSSSEESKESSRARESSSRSGLSRSSNLRVTRTRAAQRKTGPVSLANGCGRKATRKRVYLSDSDNNSLETGEILKARAGNNRKVLRKCAAVAANKIKLMSDVEENSSSESVCSGRKLPHRNASAVARKKLLHNSEDEQSLKSEIEEEELKDENQLLPVSSSHTAQSNVDESENRDSESESDLRVARKNWHANGYKSHTPAPSKTKFLKIESSEEDSKSHDSDHACNRTAGPSTSVQKLKAESISEEADSEPGRSGGRKYNTFHKNASFFKKTKILSDSEDSESEEQDREDGKCHKMEMNPISGNLNCDPIAMSQCSSDHGCETDLDSDDDKIEKPNNFMKDSASQDNGLSRKISRKRVCSSDSDSSLQVVKKSSKARTGLLRITRRCAATAANKIKLMSDVEDVSLENVHTRSKNGRKKPLHLACTTAKKKLSDCEGSVHCEVPSEQYACEGKPPDPDSEGSTKVLSQALNGDSDSEDMLNSEHKHRHTNIHKIDAPSKRKSSSVTSSGEDSKSHIPGSETDRTFSSESTLAQKATAENNFEVELNYGLRRWNGRRLRTYGKAPFSKTKVIHDSQETAEKEVKRKRSHPELENVKISETTGNSKFRPDTSSKSSDLGSVTESDIDCTDNTKTKRRKTKGKAKVVRKEFVPRDREPNTKVRTCMHNQKDAVQMPSETLKAKMVPEKVPRRCATVAANKIKIMSNLKETISGPENVWIRKSSRKLPHRNASAAAKKKLLNVYKEDDTTINSESEKELEDINRKMLFLRGFRSWKENAQ
+>DECOY_sp|Q9NSI6|BRWD1_HUMAN Bromodomain and WD repeat-containing protein 1 OS=Homo sapiens OX=9606 GN=BRWD1 PE=1 SV=4
+QANEKWSRFGRLFLMKRNIDELEKESESNITTDDEKYVNLLKKKAAASANRHPLKRSSKRIWVNEPGSITEKLNSMIKIKNAAVTACRRPVKEPVMKAKLTESPMQVADKQNHMCTRVKTNPERDRPVFEKRVVKAKGKTKRRKTKTNDTCDIDSETVSGLDSSKSSTDPRFKSNGTTESIKVNELEPHSRKRKVEKEATEQSDHIVKTKSFPAKGYTRLRRGNWRRLGYNLEVEFNNEATAKQALTSESSFTRDTESGPIHSKSDEGSSTVSSSKRKSPADIKHINTHRHKHESNLMDESDSDGNLAQSLVKTSGESDPDPPKGECAYQESPVECHVSGECDSLKKKATTCALHLPKKRGNKSRTHVNELSVDEVDSMLKIKNAATAACRRTIRLLGTRAKSSKKVVQLSSDSDSSCVRKRSIKRSLGNDQSASDKMFNNPKEIKDDDSDLDTECGHDSSCQSMAIPDCNLNGSIPNMEMKHCKGDERDQEESESDESDSLIKTKKFFSANKHFTNYKRGGSRGPESDAEESISEAKLKQVSTSPGATRNCAHDSDHSKSDEESSEIKLFKTKSPAPTHSKYGNAHWNKRAVRLDSESESDRNESEDVNSQATHSSSVPLLQNEDKLEEEEIESKLSQEDESNHLLKKRAVASANRHPLKRGSCVSESSSNEEVDSMLKIKNAAVAACKRLVKRNNGARAKLIEGTELSNNDSDSLYVRKRTAKRGCGNALSVPGTKRQAARTRTVRLNSSRSLGSRSSSERARSSEKSEESSSSASSSLSTALSDEVESESLTSGNTIPRNRKRRESSEASDSSDGSTVGSSIGASTKHTGLYATRSSTSQTPSGVLEPIIKTQSKLRKPKLNRISKNPQSDGKCNQRQKFRQSRRLKENFKQGIKFDSSIKKMKEEFLASLRLTMSYIKSRKNPTYAKANSFILRIDKCFELPSDYNGADLTERVTGFDMPTDIIDRYDPYEVLDVPQRFPESDECQFILNVLEKCQKKWNSEVYNTARISKKGDHVRRRGSSTKPLDSDDLDEANQEDNESTNSLESINTCHQNKIFKLLQDTIKKASRAIVSEPENFTRANHEIYRVEWVLASLRRYFRNVLRMRITYLDTPYAVVTCYKPYTCLDVPGAFAAAIDLNLLQDIGSIIRDCEEDRSKQGWEGAQPKYLLKELEDTTVSISAGLEEPPDVNDPIPEMDWPSLKEIETNDWRVIYCQFHSDPYQPQYPEQSLVTGFWWADDIISRFRDCSQWNRQRAEDYFQRLVLFDIVDPMDHYRISFSKDMLKGTAPDIFALKLCCLTPPGVEYRIGVIKVLEQDRLDMKRWPEKNPNLEYINNRRVAEIYAEHGQRFYIVEDGMQPVFPSKRLTTDTIWVPPHFEYLHEMNALEAPTMRRLNEKKPKNEKKRKRRRKPPSLNETSIEDESSSCFRTIRRRCSTRLPPQLNIGADATWDSYRSSSDSSSESYSESKRDSRWEDEESSGSGESQDCSTEHRVSSSSENGSSLERWSRNRRGYNPYKRRCTRQRSQSVLVVSDSKHSLQLTKRKRGIIYLNREEEGKELRFDELKRFIGLPVEPVVVRRKWAQLDRETAIQSRPANQHMQRVGEVQGSRRLGINPPSQIDLSLRRFGRRPTEEGNSLGRPITDQDAGMRQDQQQQLQRIMGDLISSEPSREDNDNTQLSIIQEIVEGDSTAVYGLQPILHEDASNERGPVLRQYKTPHPNGDVDVLFPPPMLHPAQQTQEDLVYNNSDRILPRYDTHFFMQDPIKEYPKSCGFGFILLHGHSDTCAFHQGDQSFKCDFVAGHGQGEIMNFYHKMKTGKTIDWIFISGDHGASLMIRSDFPHTELVFVEDAHGMLNHLLQGTYSNWVKLVHDNVATVVISDNQNWAIMTVKPKMFREEESSLDGSIRTAMDLLISRWELQEFRWIRATGDRSGSLFRDGNNCFQISDVKDTHSELEAIKEPAEFGLFYMRIVHDTSGTALFMGGVSFSSCLMQVGPRPKETFKLPRPSFKLSELDWQWFCVTGDAGTSVMYRQSGKAMPSFQLSTISGTHGQLVAVPACTRLCWVRIIKDCSGAAIMTNEYNVAMDSIEASHGRLTSLLRGNHTSWIKVLCDDSGTFIRHGTRDFAVCYVASLHGLIRRHMKIHQYMTGPFATSFTSCGTLQKGRHIEVLNPPSGYNVPMEPPRGRHLAAFASGKWVTHRCDKATRLLSQRGAGLLSTVRSISPPIEKDLMPGIRQCIQLLHDPAVHKNSLVLEEYSRNHENGEWDLRKPLLQYQELEQVLVQAARRCPGASLYRAILFYLESEILPVPRRASSPEAM
+>sp|Q6UX41|BTNL8_HUMAN Butyrophilin-like protein 8 OS=Homo sapiens OX=9606 GN=BTNL8 PE=1 SV=1
+MALMLSLVLSLLKLGSGQWQVFGPDKPVQALVGEDAAFSCFLSPKTNAEAMEVRFFRGQFSSVVHLYRDGKDQPFMQMPQYQGRTKLVKDSIAEGRISLRLENITVLDAGLYGCRISSQSYYQKAIWELQVSALGSVPLISITGYVDRDIQLLCQSSGWFPRPTAKWKGPQGQDLSTDSRTNRDMHGLFDVEISLTVQENAGSISCSMRHAHLSREVESRVQIGDTFFEPISWHLATKVLGILCCGLFFGIVGLKIFFSKFQWKIQAELDWRRKHGQAELRDARKHAVEVTLDPETAHPKLCVSDLKTVTHRKAPQEVPHSEKRFTRKSVVASQSFQAGKHYWEVDGGHNKRWRVGVCRDDVDRRKEYVTLSPDHGYWVLRLNGEHLYFTLNPRFISVFPRTPPTKIGVFLDYECGTISFFNINDQSLIYTLTCRFEGLLRPYIEYPSYNEQNGTPIVICPVTQESEKEASWQRASAIPETSNSESSSQATTPFLPRGEM
+>DECOY_sp|Q6UX41|BTNL8_HUMAN Butyrophilin-like protein 8 OS=Homo sapiens OX=9606 GN=BTNL8 PE=1 SV=1
+MEGRPLFPTTAQSSSESNSTEPIASARQWSAEKESEQTVPCIVIPTGNQENYSPYEIYPRLLGEFRCTLTYILSQDNINFFSITGCEYDLFVGIKTPPTRPFVSIFRPNLTFYLHEGNLRLVWYGHDPSLTVYEKRRDVDDRCVGVRWRKNHGGDVEWYHKGAQFSQSAVVSKRTFRKESHPVEQPAKRHTVTKLDSVCLKPHATEPDLTVEVAHKRADRLEAQGHKRRWDLEAQIKWQFKSFFIKLGVIGFFLGCCLIGLVKTALHWSIPEFFTDGIQVRSEVERSLHAHRMSCSISGANEQVTLSIEVDFLGHMDRNTRSDTSLDQGQPGKWKATPRPFWGSSQCLLQIDRDVYGTISILPVSGLASVQLEWIAKQYYSQSSIRCGYLGADLVTINELRLSIRGEAISDKVLKTRGQYQPMQMFPQDKGDRYLHVVSSFQGRFFRVEMAEANTKPSLFCSFAADEGVLAQVPKDPGFVQWQGSGLKLLSLVLSLMLAM
+>sp|O60566|BUB1B_HUMAN Mitotic checkpoint serine/threonine-protein kinase BUB1 beta OS=Homo sapiens OX=9606 GN=BUB1B PE=1 SV=3
+MAAVKKEGGALSEAMSLEGDEWELSKENVQPLRQGRIMSTLQGALAQESACNNTLQQQKRAFEYEIRFYTGNDPLDVWDRYISWTEQNYPQGGKESNMSTLLERAVEALQGEKRYYSDPRFLNLWLKLGRLCNEPLDMYSYLHNQGIGVSLAQFYISWAEEYEARENFRKADAIFQEGIQQKAEPLERLQSQHRQFQARVSRQTLLALEKEEEEEVFESSVPQRSTLAELKSKGKKTARAPIIRVGGALKAPSQNRGLQNPFPQQMQNNSRITVFDENADEASTAELSKPTVQPWIAPPMPRAKENELQAGPWNTGRSLEHRPRGNTASLIAVPAVLPSFTPYVEETARQPVMTPCKIEPSINHILSTRKPGKEEGDPLQRVQSHQQASEEKKEKMMYCKEKIYAGVGEFSFEEIRAEVFRKKLKEQREAELLTSAEKRAEMQKQIEEMEKKLKEIQTTQQERTGDQQEETMPTKETTKLQIASESQKIPGMTLSSSVCQVNCCARETSLAENIWQEQPHSKGPSVPFSIFDEFLLSEKKNKSPPADPPRVLAQRRPLAVLKTSESITSNEDVSPDVCDEFTGIEPLSEDAIITGFRNVTICPNPEDTCDFARAARFVSTPFHEIMSLKDLPSDPERLLPEEDLDVKTSEDQQTACGTIYSQTLSIKKLSPIIEDSREATHSSGFSGSSASVASTSSIKCLQIPEKLELTNETSENPTQSPWCSQYRRQLLKSLPELSASAELCIEDRPMPKLEIEKEIELGNEDYCIKREYLICEDYKLFWVAPRNSAELTVIKVSSQPVPWDFYINLKLKERLNEDFDHFCSCYQYQDGCIVWHQYINCFTLQDLLQHSEYITHEITVLIIYNLLTIVEMLHKAEIVHGDLSPRCLILRNRIHDPYDCNKNNQALKIVDFSYSVDLRVQLDVFTLSGFRTVQILEGQKILANCSSPYQVDLFGIADLAHLLLFKEHLQVFWDGSFWKLSQNISELKDGELWNKFFVRILNANDEATVSVLGELAAEMNGVFDTTFQSHLNKALWKVGKLTSPGALLFQ
+>DECOY_sp|O60566|BUB1B_HUMAN Mitotic checkpoint serine/threonine-protein kinase BUB1 beta OS=Homo sapiens OX=9606 GN=BUB1B PE=1 SV=3
+QFLLAGPSTLKGVKWLAKNLHSQFTTDFVGNMEAALEGLVSVTAEDNANLIRVFFKNWLEGDKLESINQSLKWFSGDWFVQLHEKFLLLHALDAIGFLDVQYPSSCNALIKQGELIQVTRFGSLTFVDLQVRLDVSYSFDVIKLAQNNKNCDYPDHIRNRLILCRPSLDGHVIEAKHLMEVITLLNYIILVTIEHTIYESHQLLDQLTFCNIYQHWVICGDQYQYCSCFHDFDENLREKLKLNIYFDWPVPQSSVKIVTLEASNRPAVWFLKYDECILYERKICYDENGLEIEKEIELKPMPRDEICLEASASLEPLSKLLQRRYQSCWPSQTPNESTENTLELKEPIQLCKISSTSAVSASSGSFGSSHTAERSDEIIPSLKKISLTQSYITGCATQQDESTKVDLDEEPLLREPDSPLDKLSMIEHFPTSVFRAARAFDCTDEPNPCITVNRFGTIIADESLPEIGTFEDCVDPSVDENSTISESTKLVALPRRQALVRPPDAPPSKNKKESLLFEDFISFPVSPGKSHPQEQWINEALSTERACCNVQCVSSSLTMGPIKQSESAIQLKTTEKTPMTEEQQDGTREQQTTQIEKLKKEMEEIQKQMEARKEASTLLEAERQEKLKKRFVEARIEEFSFEGVGAYIKEKCYMMKEKKEESAQQHSQVRQLPDGEEKGPKRTSLIHNISPEIKCPTMVPQRATEEVYPTFSPLVAPVAILSATNGRPRHELSRGTNWPGAQLENEKARPMPPAIWPQVTPKSLEATSAEDANEDFVTIRSNNQMQQPFPNQLGRNQSPAKLAGGVRIIPARATKKGKSKLEALTSRQPVSSEFVEEEEEKELALLTQRSVRAQFQRHQSQLRELPEAKQQIGEQFIADAKRFNERAEYEEAWSIYFQALSVGIGQNHLYSYMDLPENCLRGLKLWLNLFRPDSYYRKEGQLAEVARELLTSMNSEKGGQPYNQETWSIYRDWVDLPDNGTYFRIEYEFARKQQQLTNNCASEQALAGQLTSMIRGQRLPQVNEKSLEWEDGELSMAESLAGGEKKVAAM
+>sp|O43684|BUB3_HUMAN Mitotic checkpoint protein BUB3 OS=Homo sapiens OX=9606 GN=BUB3 PE=1 SV=1
+MTGSNEFKLNQPPEDGISSVKFSPNTSQFLLVSSWDTSVRLYDVPANSMRLKYQHTGAVLDCAFYDPTHAWSGGLDHQLKMHDLNTDQENLVGTHDAPIRCVEYCPEVNVMVTGSWDQTVKLWDPRTPCNAGTFSQPEKVYTLSVSGDRLIVGTAGRRVLVWDLRNMGYVQQRRESSLKYQTRCIRAFPNKQGYVLSSIEGRVAVEYLDPSPEVQKKKYAFKCHRLKENNIEQIYPVNAISFHNIHNTFATGGSDGFVNIWDPFNKKRLCQFHRYPTSIASLAFSNDGTTLAIASSYMYEMDDTEHPEDGIFIRQVTDAETKPKSPCT
+>DECOY_sp|O43684|BUB3_HUMAN Mitotic checkpoint protein BUB3 OS=Homo sapiens OX=9606 GN=BUB3 PE=1 SV=1
+TCPSKPKTEADTVQRIFIGDEPHETDDMEYMYSSAIALTTGDNSFALSAISTPYRHFQCLRKKNFPDWINVFGDSGGTAFTNHINHFSIANVPYIQEINNEKLRHCKFAYKKKQVEPSPDLYEVAVRGEISSLVYGQKNPFARICRTQYKLSSERRQQVYGMNRLDWVLVRRGATGVILRDGSVSLTYVKEPQSFTGANCPTRPDWLKVTQDWSGTVMVNVEPCYEVCRIPADHTGVLNEQDTNLDHMKLQHDLGGSWAHTPDYFACDLVAGTHQYKLRMSNAPVDYLRVSTDWSSVLLFQSTNPSFKVSSIGDEPPQNLKFENSGTM
+>sp|Q9UBR1|BUP1_HUMAN Beta-ureidopropionase OS=Homo sapiens OX=9606 GN=UPB1 PE=1 SV=1
+MAGAEWKSLEECLEKHLPLPDLQEVKRVLYGKELRKLDLPREAFEAASREDFELQGYAFEAAEEQLRRPRIVHVGLVQNRIPLPANAPVAEQVSALHRRIKAIVEVAAMCGVNIICFQEAWTMPFAFCTREKLPWTEFAESAEDGPTTRFCQKLAKNHDMVVVSPILERDSEHGDVLWNTAVVISNSGAVLGKTRKNHIPRVGDFNESTYYMEGNLGHPVFQTQFGRIAVNICYGRHHPLNWLMYSINGAEIIFNPSATIGALSESLWPIEARNAAIANHCFTCAINRVGTEHFPNEFTSGDGKKAHQDFGYFYGSSYVAAPDSSRTPGLSRSRDGLLVAKLDLNLCQQVNDVWNFKMTGRYEMYARELAEAVKSNYSPTIVKE
+>DECOY_sp|Q9UBR1|BUP1_HUMAN Beta-ureidopropionase OS=Homo sapiens OX=9606 GN=UPB1 PE=1 SV=1
+EKVITPSYNSKVAEALERAYMEYRGTMKFNWVDNVQQCLNLDLKAVLLGDRSRSLGPTRSSDPAAVYSSGYFYGFDQHAKKGDGSTFENPFHETGVRNIACTFCHNAIAANRAEIPWLSESLAGITASPNFIIEAGNISYMLWNLPHHRGYCINVAIRGFQTQFVPHGLNGEMYYTSENFDGVRPIHNKRTKGLVAGSNSIVVATNWLVDGHESDRELIPSVVVMDHNKALKQCFRTTPGDEASEAFETWPLKERTCFAFPMTWAEQFCIINVGCMAAVEVIAKIRRHLASVQEAVPANAPLPIRNQVLGVHVIRPRRLQEEAAEFAYGQLEFDERSAAEFAERPLDLKRLEKGYLVRKVEQLDPLPLHKELCEELSKWEAGAM
+>sp|Q9Y6E2|BZW2_HUMAN Basic leucine zipper and W2 domain-containing protein 2 OS=Homo sapiens OX=9606 GN=BZW2 PE=1 SV=1
+MNKHQKPVLTGQRFKTRKRDEKEKFEPTVFRDTLVQGLNEAGDDLEAVAKFLDSTGSRLDYRRYADTLFDILVAGSMLAPGGTRIDDGDKTKMTNHCVFSANEDHETIRNYAQVFNKLIRRYKYLEKAFEDEMKKLLLFLKAFSETEQTKLAMLSGILLGNGTLPATILTSLFTDSLVKEGIAASFAVKLFKAWMAEKDANSVTSSLRKANLDKRLLELFPVNRQSVDHFAKYFTDAGLKELSDFLRVQQSLGTRKELQKELQERLSQECPIKEVVLYVKEEMKRNDLPETAVIGLLWTCIMNAVEWNKKEELVAEQALKHLKQYAPLLAVFSSQGQSELILLQKVQEYCYDNIHFMKAFQKIVVLFYKADVLSEEAILKWYKEAHVAKGKSVFLDQMKKFVEWLQNAEEESESEGEEN
+>DECOY_sp|Q9Y6E2|BZW2_HUMAN Basic leucine zipper and W2 domain-containing protein 2 OS=Homo sapiens OX=9606 GN=BZW2 PE=1 SV=1
+NEEGESESEEEANQLWEVFKKMQDLFVSKGKAVHAEKYWKLIAEESLVDAKYFLVVIKQFAKMFHINDYCYEQVKQLLILESQGQSSFVALLPAYQKLHKLAQEAVLEEKKNWEVANMICTWLLGIVATEPLDNRKMEEKVYLVVEKIPCEQSLREQLEKQLEKRTGLSQQVRLFDSLEKLGADTFYKAFHDVSQRNVPFLELLRKDLNAKRLSSTVSNADKEAMWAKFLKVAFSAAIGEKVLSDTFLSTLITAPLTGNGLLIGSLMALKTQETESFAKLFLLLKKMEDEFAKELYKYRRILKNFVQAYNRITEHDENASFVCHNTMKTKDGDDIRTGGPALMSGAVLIDFLTDAYRRYDLRSGTSDLFKAVAELDDGAENLGQVLTDRFVTPEFKEKEDRKRTKFRQGTLVPKQHKNM
+>sp|Q5H9J7|BEX5_HUMAN Protein BEX5 OS=Homo sapiens OX=9606 GN=BEX5 PE=1 SV=1
+MENVPKENKVVEKAPVQNEAPALGGGEYQEPGGNVKGVWAPPAPGFGEDVPNRLVDNIDMIDGDGDDMERFMEEMRELRRKIRELQLRYSLRILIGDPPHHDHHDEFCLMP
+>DECOY_sp|Q5H9J7|BEX5_HUMAN Protein BEX5 OS=Homo sapiens OX=9606 GN=BEX5 PE=1 SV=1
+PMLCFEDHHDHHPPDGILIRLSYRLQLERIKRRLERMEEMFREMDDGDGDIMDINDVLRNPVDEGFGPAPPAWVGKVNGGPEQYEGGGLAPAENQVPAKEVVKNEKPVNEM
+>sp|Q8TD16|BICD2_HUMAN Protein bicaudal D homolog 2 OS=Homo sapiens OX=9606 GN=BICD2 PE=1 SV=1
+MSAPSEEEEYARLVMEAQPEWLRAEVKRLSHELAETTREKIQAAEYGLAVLEEKHQLKLQFEELEVDYEAIRSEMEQLKEAFGQAHTNHKKVAADGESREESLIQESASKEQYYVRKVLELQTELKQLRNVLTNTQSENERLASVAQELKEINQNVEIQRGRLRDDIKEYKFREARLLQDYSELEEENISLQKQVSVLRQNQVEFEGLKHEIKRLEEETEYLNSQLEDAIRLKEISERQLEEALETLKTEREQKNSLRKELSHYMSINDSFYTSHLHVSLDGLKFSDDAAEPNNDAEALVNGFEHGGLAKLPLDNKTSTPKKEGLAPPSPSLVSDLLSELNISEIQKLKQQLMQMEREKAGLLATLQDTQKQLEHTRGSLSEQQEKVTRLTENLSALRRLQASKERQTALDNEKDRDSHEDGDYYEVDINGPEILACKYHVAVAEAGELREQLKALRSTHEAREAQHAEEKGRYEAEGQALTEKVSLLEKASRQDRELLARLEKELKKVSDVAGETQGSLSVAQDELVTFSEELANLYHHVCMCNNETPNRVMLDYYREGQGGAGRTSPGGRTSPEARGRRSPILLPKGLLAPEAGRADGGTGDSSPSPGSSLPSPLSDPRREPMNIYNLIAIIRDQIKHLQAAVDRTTELSRQRIASQELGPAVDKDKEALMEEILKLKSLLSTKREQITTLRTVLKANKQTAEVALANLKSKYENEKAMVTETMMKLRNELKALKEDAATFSSLRAMFATRCDEYITQLDEMQRQLAAAEDEKKTLNSLLRMAIQQKLALTQRLELLELDHEQTRRGRAKAAPKTKPATPSL
+>DECOY_sp|Q8TD16|BICD2_HUMAN Protein bicaudal D homolog 2 OS=Homo sapiens OX=9606 GN=BICD2 PE=1 SV=1
+LSPTAPKTKPAAKARGRRTQEHDLELLELRQTLALKQQIAMRLLSNLTKKEDEAAALQRQMEDLQTIYEDCRTAFMARLSSFTAADEKLAKLENRLKMMTETVMAKENEYKSKLNALAVEATQKNAKLVTRLTTIQERKTSLLSKLKLIEEMLAEKDKDVAPGLEQSAIRQRSLETTRDVAAQLHKIQDRIIAILNYINMPERRPDSLPSPLSSGPSPSSDGTGGDARGAEPALLGKPLLIPSRRGRAEPSTRGGPSTRGAGGQGERYYDLMVRNPTENNCMCVHHYLNALEESFTVLEDQAVSLSGQTEGAVDSVKKLEKELRALLERDQRSAKELLSVKETLAQGEAEYRGKEEAHQAERAEHTSRLAKLQERLEGAEAVAVHYKCALIEPGNIDVEYYDGDEHSDRDKENDLATQREKSAQLRRLASLNETLRTVKEQQESLSGRTHELQKQTDQLTALLGAKEREMQMLQQKLKQIESINLESLLDSVLSPSPPALGEKKPTSTKNDLPLKALGGHEFGNVLAEADNNPEAADDSFKLGDLSVHLHSTYFSDNISMYHSLEKRLSNKQERETKLTELAEELQRESIEKLRIADELQSNLYETEEELRKIEHKLGEFEVQNQRLVSVQKQLSINEEELESYDQLLRAERFKYEKIDDRLRGRQIEVNQNIEKLEQAVSALRENESQTNTLVNRLQKLETQLELVKRVYYQEKSASEQILSEERSEGDAAVKKHNTHAQGFAEKLQEMESRIAEYDVELEEFQLKLQHKEELVALGYEAAQIKERTTEALEHSLRKVEARLWEPQAEMVLRAYEEEESPASM
+>sp|Q6AI39|BICRL_HUMAN BRD4-interacting chromatin-remodeling complex-associated protein-like OS=Homo sapiens OX=9606 GN=BICRAL PE=1 SV=2
+MDDDDDSCLLDLIGDPQALNYFLHGPSNKSSNDDLTNAGYSAANSNSIFANSSNADPKSSLKGVSNQLGEGPSDGLPLSSSLQFLEDELESSPLPDLTEDQPFDILQKSLQEANITEQTLAEEAYLDASIGSSQQFAQAQLHPSSSASFTQASNVSNYSGQTLQPIGVTHVPVGASFASNTVGVQHGFMQHVGISVPSQHLSNSSQISGSGQIQLIGSFGNHPSMMTINNLDGSQIILKGSGQQAPSNVSGGLLVHRQTPNGNSLFGNSSSSPVAQPVTVPFNSTNFQTSLPVHNIIIQRGLAPNSNKVPINIQPKPIQMGQQNTYNVNNLGIQQHHVQQGISFASASSPQGSVVGPHMSVNIVNQQNTRKPVTSQAVSSTGGSIVIHSPMGQPHAPQSQFLIPTSLSVSSNSVHHVQTINGQLLQTQPSQLISGQVASEHVMLNRNSSNMLRTNQPYTGPMLNNQNTAVHLVSGQTFAASGSPVIANHASPQLVGGQMPLQQASPTVLHLSPGQSSVSQGRPGFATMPSVTSMSGPSRFPAVSSASTAHPSLGSAVQSGSSGSNFTGDQLTQPNRTPVPVSVSHRLPVSSSKSTSTFSNTPGTGTQQQFFCQAQKKCLNQTSPISAPKTTDGLRQAQIPGLLSTTLPGQDSGSKVISASLGTAQPQQEKVVGSSPGHPAVQVESHSGGQKRPAAKQLTKGAFILQQLQRDQAHTVTPDKSHFRSLSDAVQRLLSYHVCQGSMPTEEDLRKVDNEFETVATQLLKRTQAMLNKYRCLLLEDAMRINPSAEMVMIDRMFNQEERASLSRDKRLALVDPEGFQADFCCSFKLDKAAHETQFGRSDQHGSKASSSLQPPAKAQGRDRAKTGVTEPMNHDQFHLVPNHIVVSAEGNISKKTECLGRALKFDKVGLVQYQSTSEEKASRREPLKASQCSPGPEGHRKTSSRSDHGTESKLSSILADSHLEMTCNNSFQDKSLRNSPKNEVLHTDIMKGSGEPQPDLQLTKSLETTFKNILELKKAGRQPQSDPTVSGSVELDFPNFSPMASQENCLEKFIPDHSEGVVETDSILEAAVNSILEC
+>DECOY_sp|Q6AI39|BICRL_HUMAN BRD4-interacting chromatin-remodeling complex-associated protein-like OS=Homo sapiens OX=9606 GN=BICRAL PE=1 SV=2
+CELISNVAAELISDTEVVGESHDPIFKELCNEQSAMPSFNPFDLEVSGSVTPDSQPQRGAKKLELINKFTTELSKTLQLDPQPEGSGKMIDTHLVENKPSNRLSKDQFSNNCTMELHSDALISSLKSETGHDSRSSTKRHGEPGPSCQSAKLPERRSAKEESTSQYQVLGVKDFKLARGLCETKKSINGEASVVIHNPVLHFQDHNMPETVGTKARDRGQAKAPPQLSSSAKSGHQDSRGFQTEHAAKDLKFSCCFDAQFGEPDVLALRKDRSLSAREEQNFMRDIMVMEASPNIRMADELLLCRYKNLMAQTRKLLQTAVTEFENDVKRLDEETPMSGQCVHYSLLRQVADSLSRFHSKDPTVTHAQDRQLQQLIFAGKTLQKAAPRKQGGSHSEVQVAPHGPSSGVVKEQQPQATGLSASIVKSGSDQGPLTTSLLGPIQAQRLGDTTKPASIPSTQNLCKKQAQCFFQQQTGTGPTNSFTSTSKSSSVPLRHSVSVPVPTRNPQTLQDGTFNSGSSGSQVASGLSPHATSASSVAPFRSPGSMSTVSPMTAFGPRGQSVSSQGPSLHLVTPSAQQLPMQGGVLQPSAHNAIVPSGSAAFTQGSVLHVATNQNNLMPGTYPQNTRLMNSSNRNLMVHESAVQGSILQSPQTQLLQGNITQVHHVSNSSVSLSTPILFQSQPAHPQGMPSHIVISGGTSSVAQSTVPKRTNQQNVINVSMHPGVVSGQPSSASAFSIGQQVHHQQIGLNNVNYTNQQGMQIPKPQINIPVKNSNPALGRQIIINHVPLSTQFNTSNFPVTVPQAVPSSSSNGFLSNGNPTQRHVLLGGSVNSPAQQGSGKLIIQSGDLNNITMMSPHNGFSGILQIQGSGSIQSSNSLHQSPVSIGVHQMFGHQVGVTNSAFSAGVPVHTVGIPQLTQGSYNSVNSAQTFSASSSPHLQAQAFQQSSGISADLYAEEALTQETINAEQLSKQLIDFPQDETLDPLPSSELEDELFQLSSSLPLGDSPGEGLQNSVGKLSSKPDANSSNAFISNSNAASYGANTLDDNSSKNSPGHLFYNLAQPDGILDLLCSDDDDDM
+>sp|P53004|BIEA_HUMAN Biliverdin reductase A OS=Homo sapiens OX=9606 GN=BLVRA PE=1 SV=2
+MNAEPERKFGVVVVGVGRAGSVRMRDLRNPHPSSAFLNLIGFVSRRELGSIDGVQQISLEDALSSQEVEVAYICSESSSHEDYIRQFLNAGKHVLVEYPMTLSLAAAQELWELAEQKGKVLHEEHVELLMEEFAFLKKEVVGKDLLKGSLLFTAGPLEEERFGFPAFSGISRLTWLVSLFGELSLVSATLEERKEDQYMKMTVCLETEKKSPLSWIEEKGPGLKRNRYLSFHFKSGSLENVPNVGVNKNIFLKDQNIFVQKLLGQFSEKELAAEKKRILHCLGLAEEIQKYCCSRK
+>DECOY_sp|P53004|BIEA_HUMAN Biliverdin reductase A OS=Homo sapiens OX=9606 GN=BLVRA PE=1 SV=2
+KRSCCYKQIEEALGLCHLIRKKEAALEKESFQGLLKQVFINQDKLFINKNVGVNPVNELSGSKFHFSLYRNRKLGPGKEEIWSLPSKKETELCVTMKMYQDEKREELTASVLSLEGFLSVLWTLRSIGSFAPFGFREEELPGATFLLSGKLLDKGVVEKKLFAFEEMLLEVHEEHLVKGKQEALEWLEQAAALSLTMPYEVLVHKGANLFQRIYDEHSSSESCIYAVEVEQSSLADELSIQQVGDISGLERRSVFGILNLFASSPHPNRLDRMRVSGARGVGVVVVGFKREPEANM
+>sp|Q13323|BIK_HUMAN Bcl-2-interacting killer OS=Homo sapiens OX=9606 GN=BIK PE=1 SV=2
+MSEVRPLSRDILMETLLYEQLLEPPTMEVLGMTDSEEDLDPMEDFDSLECMEGSDALALRLACIGDEMDVSLRAPRLAQLSEVAMHSLGLAFIYDQTEDIRDVLRSFMDGFTTLKENIMRFWRSPNPGSWVSCEQVLLALLLLLALLLPLLSGGLHLLLK
+>DECOY_sp|Q13323|BIK_HUMAN Bcl-2-interacting killer OS=Homo sapiens OX=9606 GN=BIK PE=1 SV=2
+KLLLHLGGSLLPLLLALLLLLALLVQECSVWSGPNPSRWFRMINEKLTTFGDMFSRLVDRIDETQDYIFALGLSHMAVESLQALRPARLSVDMEDGICALRLALADSGEMCELSDFDEMPDLDEESDTMGLVEMTPPELLQEYLLTEMLIDRSLPRVESM
+>sp|Q9NQY0|BIN3_HUMAN Bridging integrator 3 OS=Homo sapiens OX=9606 GN=BIN3 PE=1 SV=1
+MSWIPFKIGQPKKQIVPKTVERDFEREYGKLQQLEEQTRRLQKDMKKSTDADLAMSKSAVKISLDLLSNPLCEQDQDLLNMVTALDTAMKRMDAFNQEKVNQIQKTVIEPLKKFGSVFPSLNMAVKRREQALQDYRRLQAKVEKYEEKEKTGPVLAKLHQAREELRPVREDFEAKNRQLLEEMPRFYGSRLDYFQPSFESLIRAQVVYYSEMHKIFGDLSHQLDQPGHSDEQRERENEAKLSELRALSIVADD
+>DECOY_sp|Q9NQY0|BIN3_HUMAN Bridging integrator 3 OS=Homo sapiens OX=9606 GN=BIN3 PE=1 SV=1
+DDAVISLARLESLKAENERERQEDSHGPQDLQHSLDGFIKHMESYYVVQARILSEFSPQFYDLRSGYFRPMEELLQRNKAEFDERVPRLEERAQHLKALVPGTKEKEEYKEVKAQLRRYDQLAQERRKVAMNLSPFVSGFKKLPEIVTKQIQNVKEQNFADMRKMATDLATVMNLLDQDQECLPNSLLDLSIKVASKSMALDADTSKKMDKQLRRTQEELQQLKGYEREFDREVTKPVIQKKPQGIKFPIWSM
+>sp|Q13489|BIRC3_HUMAN Baculoviral IAP repeat-containing protein 3 OS=Homo sapiens OX=9606 GN=BIRC3 PE=1 SV=2
+MNIVENSIFLSNLMKSANTFELKYDLSCELYRMSTYSTFPAGVPVSERSLARAGFYYTGVNDKVKCFCCGLMLDNWKRGDSPTEKHKKLYPSCRFVQSLNSVNNLEATSQPTFPSSVTNSTHSLLPGTENSGYFRGSYSNSPSNPVNSRANQDFSALMRSSYHCAMNNENARLLTFQTWPLTFLSPTDLAKAGFYYIGPGDRVACFACGGKLSNWEPKDNAMSEHLRHFPKCPFIENQLQDTSRYTVSNLSMQTHAARFKTFFNWPSSVLVNPEQLASAGFYYVGNSDDVKCFCCDGGLRCWESGDDPWVQHAKWFPRCEYLIRIKGQEFIRQVQASYPHLLEQLLSTSDSPGDENAESSIIHFEPGEDHSEDAIMMNTPVINAAVEMGFSRSLVKQTVQRKILATGENYRLVNDLVLDLLNAEDEIREEERERATEEKESNDLLLIRKNRMALFQHLTCVIPILDSLLTAGIINEQEHDVIKQKTQTSLQARELIDTILVKGNIAATVFRNSLQEAEAVLYEHLFVQQDIKYIPTEDVSDLPVEEQLRRLQEERTCKVCMDKEVSIVFIPCGHLVVCKDCAPSLRKCPICRSTIKGTVRTFLS
+>DECOY_sp|Q13489|BIRC3_HUMAN Baculoviral IAP repeat-containing protein 3 OS=Homo sapiens OX=9606 GN=BIRC3 PE=1 SV=2
+SLFTRVTGKITSRCIPCKRLSPACDKCVVLHGCPIFVISVEKDMCVKCTREEQLRRLQEEVPLDSVDETPIYKIDQQVFLHEYLVAEAEQLSNRFVTAAINGKVLITDILERAQLSTQTKQKIVDHEQENIIGATLLSDLIPIVCTLHQFLAMRNKRILLLDNSEKEETAREREEERIEDEANLLDLVLDNVLRYNEGTALIKRQVTQKVLSRSFGMEVAANIVPTNMMIADESHDEGPEFHIISSEANEDGPSDSTSLLQELLHPYSAQVQRIFEQGKIRILYECRPFWKAHQVWPDDGSEWCRLGGDCCFCKVDDSNGVYYFGASALQEPNVLVSSPWNFFTKFRAAHTQMSLNSVTYRSTDQLQNEIFPCKPFHRLHESMANDKPEWNSLKGGCAFCAVRDGPGIYYFGAKALDTPSLFTLPWTQFTLLRANENNMACHYSSRMLASFDQNARSNVPNSPSNSYSGRFYGSNETGPLLSHTSNTVSSPFTPQSTAELNNVSNLSQVFRCSPYLKKHKETPSDGRKWNDLMLGCCFCKVKDNVGTYYFGARALSRESVPVGAPFTSYTSMRYLECSLDYKLEFTNASKMLNSLFISNEVINM
+>sp|Q96P09|BIRC8_HUMAN Baculoviral IAP repeat-containing protein 8 OS=Homo sapiens OX=9606 GN=BIRC8 PE=1 SV=2
+MTGYEARLITFGTWMYSVNKEQLARAGFYAIGQEDKVQCFHCGGGLANWKPKEDPWEQHAKWYPGCKYLLEEKGHEYINNIHLTRSLEGALVQTTKKTPSLTKRISDTIFPNPMLQEAIRMGFDFKDVKKIMEERIQTSGSNYKTLEVLVADLVSAQKDTTENELNQTSLQREISPEEPLRRLQEEKLCKICMDRHIAVVFIPCGHLVTCKQCAEAVDRCPMCSAVIDFKQRVFMS
+>DECOY_sp|Q96P09|BIRC8_HUMAN Baculoviral IAP repeat-containing protein 8 OS=Homo sapiens OX=9606 GN=BIRC8 PE=1 SV=2
+SMFVRQKFDIVASCMPCRDVAEACQKCTVLHGCPIFVVAIHRDMCIKCLKEEQLRRLPEEPSIERQLSTQNLENETTDKQASVLDAVLVELTKYNSGSTQIREEMIKKVDKFDFGMRIAEQLMPNPFITDSIRKTLSPTKKTTQVLAGELSRTLHINNIYEHGKEELLYKCGPYWKAHQEWPDEKPKWNALGGGCHFCQVKDEQGIAYFGARALQEKNVSYMWTGFTILRAEYGTM
+>sp|Q6QNY1|BL1S2_HUMAN Biogenesis of lysosome-related organelles complex 1 subunit 2 OS=Homo sapiens OX=9606 GN=BLOC1S2 PE=1 SV=1
+MAAAAEGVLATRSDEPARDDAAVETAEEAKEPAEADITELCRDMFSKMATYLTGELTATSEDYKLLENMNKLTSLKYLEMKDIAINISRNLKDLNQKYAGLQPYLDQINVIEEQVAALEQAAYKLDAYSKKLEAKYKKLEKR
+>DECOY_sp|Q6QNY1|BL1S2_HUMAN Biogenesis of lysosome-related organelles complex 1 subunit 2 OS=Homo sapiens OX=9606 GN=BLOC1S2 PE=1 SV=1
+RKELKKYKAELKKSYADLKYAAQELAAVQEEIVNIQDLYPQLGAYKQNLDKLNRSINIAIDKMELYKLSTLKNMNELLKYDESTATLEGTLYTAMKSFMDRCLETIDAEAPEKAEEATEVAADDRAPEDSRTALVGEAAAAM
+>sp|Q9UL45|BL1S6_HUMAN Biogenesis of lysosome-related organelles complex 1 subunit 6 OS=Homo sapiens OX=9606 GN=BLOC1S6 PE=1 SV=1
+MSVPGPSSPDGALTRPPYCLEAGEPTPGLSDTSPDEGLIEDLTIEDKAVEQLAEGLLSHYLPDLQRSKQALQELTQNQVVLLDTLEQEISKFKECHSMLDINALFAEAKHYHAKLVNIRKEMLMLHEKTSKLKKRALKLQQKRQKEELEREQQREKEFEREKQLTARPAKRM
+>DECOY_sp|Q9UL45|BL1S6_HUMAN Biogenesis of lysosome-related organelles complex 1 subunit 6 OS=Homo sapiens OX=9606 GN=BLOC1S6 PE=1 SV=1
+MRKAPRATLQKEREFEKERQQERELEEKQRKQQLKLARKKLKSTKEHLMLMEKRINVLKAHYHKAEAFLANIDLMSHCEKFKSIEQELTDLLVVQNQTLEQLAQKSRQLDPLYHSLLGEALQEVAKDEITLDEILGEDPSTDSLGPTPEGAELCYPPRTLAGDPSSPGPVSM
+>sp|Q8WV28|BLNK_HUMAN B-cell linker protein OS=Homo sapiens OX=9606 GN=BLNK PE=1 SV=2
+MDKLNKITVPASQKLRQLQKMVHDIKNNEGGIMNKIKKLKVKAPPSVPRRDYASESPADEEEQWSDDFDSDYENPDEHSDSEMYVMPAEENADDSYEPPPVEQETRPVHPALPFARGEYIDNRSSQRHSPPFSKTLPSKPSWPSEKARLTSTLPALTALQKPQVPPKPKGLLEDEADYVVPVEDNDENYIHPTESSSPPPEKAPMVNRSTKPNSSTPASPPGTASGRNSGAWETKSPPPAAPSPLPRAGKKPTTPLKTTPVASQQNASSVCEEKPIPAERHRGSSHRQEAVQSPVFPPAQKQIHQKPIPLPRFTEGGNPTVDGPLPSFSSNSTISEQEAGVLCKPWYAGACDRKSAEEALHRSNKDGSFLIRKSSGHDSKQPYTLVVFFNKRVYNIPVRFIEATKQYALGRKKNGEEYFGSVAEIIRNHQHSPLVLIDSQNNTKDSTRLKYAVKVS
+>DECOY_sp|Q8WV28|BLNK_HUMAN B-cell linker protein OS=Homo sapiens OX=9606 GN=BLNK PE=1 SV=2
+SVKVAYKLRTSDKTNNQSDILVLPSHQHNRIIEAVSGFYEEGNKKRGLAYQKTAEIFRVPINYVRKNFFVVLTYPQKSDHGSSKRILFSGDKNSRHLAEEASKRDCAGAYWPKCLVGAEQESITSNSSFSPLPGDVTPNGGETFRPLPIPKQHIQKQAPPFVPSQVAEQRHSSGRHREAPIPKEECVSSANQQSAVPTTKLPTTPKKGARPLPSPAAPPPSKTEWAGSNRGSATGPPSAPTSSNPKTSRNVMPAKEPPPSSSETPHIYNEDNDEVPVVYDAEDELLGKPKPPVQPKQLATLAPLTSTLRAKESPWSPKSPLTKSFPPSHRQSSRNDIYEGRAFPLAPHVPRTEQEVPPPEYSDDANEEAPMVYMESDSHEDPNEYDSDFDDSWQEEEDAPSESAYDRRPVSPPAKVKLKKIKNMIGGENNKIDHVMKQLQRLKQSAPVTIKNLKDM
+>sp|P22004|BMP6_HUMAN Bone morphogenetic protein 6 OS=Homo sapiens OX=9606 GN=BMP6 PE=1 SV=1
+MPGLGRRAQWLCWWWGLLCSCCGPPPLRPPLPAAAAAAAGGQLLGDGGSPGRTEQPPPSPQSSSGFLYRRLKTQEKREMQKEILSVLGLPHRPRPLHGLQQPQPPALRQQEEQQQQQQLPRGEPPPGRLKSAPLFMLDLYNALSADNDEDGASEGERQQSWPHEAASSSQRRQPPPGAAHPLNRKSLLAPGSGSGGASPLTSAQDSAFLNDADMVMSFVNLVEYDKEFSPRQRHHKEFKFNLSQIPEGEVVTAAEFRIYKDCVMGSFKNQTFLISIYQVLQEHQHRDSDLFLLDTRVVWASEEGWLEFDITATSNLWVVTPQHNMGLQLSVVTRDGVHVHPRAAGLVGRDGPYDKQPFMVAFFKVSEVHVRTTRSASSRRRQQSRNRSTQSQDVARVSSASDYNSSELKTACRKHELYVSFQDLGWQDWIIAPKGYAANYCDGECSFPLNAHMNATNHAIVQTLVHLMNPEYVPKPCCAPTKLNAISVLYFDDNSNVILKKYRNMVVRACGCH
+>DECOY_sp|P22004|BMP6_HUMAN Bone morphogenetic protein 6 OS=Homo sapiens OX=9606 GN=BMP6 PE=1 SV=1
+HCGCARVVMNRYKKLIVNSNDDFYLVSIANLKTPACCPKPVYEPNMLHVLTQVIAHNTANMHANLPFSCEGDCYNAAYGKPAIIWDQWGLDQFSVYLEHKRCATKLESSNYDSASSVRAVDQSQTSRNRSQQRRRSSASRTTRVHVESVKFFAVMFPQKDYPGDRGVLGAARPHVHVGDRTVVSLQLGMNHQPTVVWLNSTATIDFELWGEESAWVVRTDLLFLDSDRHQHEQLVQYISILFTQNKFSGMVCDKYIRFEAATVVEGEPIQSLNFKFEKHHRQRPSFEKDYEVLNVFSMVMDADNLFASDQASTLPSAGGSGSGPALLSKRNLPHAAGPPPQRRQSSSAAEHPWSQQREGESAGDEDNDASLANYLDLMFLPASKLRGPPPEGRPLQQQQQQEEQQRLAPPQPQQLGHLPRPRHPLGLVSLIEKQMERKEQTKLRRYLFGSSSQPSPPPQETRGPSGGDGLLQGGAAAAAAAPLPPRLPPPGCCSCLLGWWWCLWQARRGLGPM
+>sp|Q7Z569|BRAP_HUMAN BRCA1-associated protein OS=Homo sapiens OX=9606 GN=BRAP PE=1 SV=2
+MSVSLVVIRLELAEHSPVPAGFGFSAAAGEMSDEEIKKTTLASAVACLEGKSPGEKVAIIHQHLGRREMTDVIIETMKSNPDELKTTVEERKSSEASPTAQRSKDHSKECINAAPDSPSKQLPDQISFFSGNPSVEIVHGIMHLYKTNKMTSLKEDVRRSAMLCILTVPAAMTSHDLMKFVAPFNEVIEQMKIIRDSTPNQYMVLIKFRAQADADSFYMTCNGRQFNSIEDDVCQLVYVERAEVLKSEDGASLPVMDLTELPKCTVCLERMDESVNGILTTLCNHSFHSQCLQRWDDTTCPVCRYCQTPEPVEENKCFECGVQENLWICLICGHIGCGRYVSRHAYKHFEETQHTYAMQLTNHRVWDYAGDNYVHRLVASKTDGKIVQYECEGDTCQEEKIDALQLEYSYLLTSQLESQRIYWENKIVRIEKDTAEEINNMKTKFKETIEKCDNLEHKLNDLLKEKQSVERKCTQLNTKVAKLTNELKEEQEMNKCLRANQVLLQNKLKEEERVLKETCDQKDLQITEIQEQLRDVMFYLETQQKINHLPAETRQEIQEGQINIAMASASSPASSGGSGKLPSRKGRSKRGK
+>DECOY_sp|Q7Z569|BRAP_HUMAN BRCA1-associated protein OS=Homo sapiens OX=9606 GN=BRAP PE=1 SV=2
+KGRKSRGKRSPLKGSGGSSAPSSASAMAINIQGEQIEQRTEAPLHNIKQQTELYFMVDRLQEQIETIQLDKQDCTEKLVREEEKLKNQLLVQNARLCKNMEQEEKLENTLKAVKTNLQTCKREVSQKEKLLDNLKHELNDCKEITEKFKTKMNNIEEATDKEIRVIKNEWYIRQSELQSTLLYSYELQLADIKEEQCTDGECEYQVIKGDTKSAVLRHVYNDGAYDWVRHNTLQMAYTHQTEEFHKYAHRSVYRGCGIHGCILCIWLNEQVGCEFCKNEEVPEPTQCYRCVPCTTDDWRQLCQSHFSHNCLTTLIGNVSEDMRELCVTCKPLETLDMVPLSAGDESKLVEAREVYVLQCVDDEISNFQRGNCTMYFSDADAQARFKILVMYQNPTSDRIIKMQEIVENFPAVFKMLDHSTMAAPVTLICLMASRRVDEKLSTMKNTKYLHMIGHVIEVSPNGSFFSIQDPLQKSPSDPAANICEKSHDKSRQATPSAESSKREEVTTKLEDPNSKMTEIIVDTMERRGLHQHIIAVKEGPSKGELCAVASALTTKKIEEDSMEGAAASFGFGAPVPSHEALELRIVVLSVSM
+>sp|Q5PSV4|BRM1L_HUMAN Breast cancer metastasis-suppressor 1-like protein OS=Homo sapiens OX=9606 GN=BRMS1L PE=1 SV=2
+MPVHSRGDKKETNHHDEMEVDYAENEGSSSEDEDTESSSVSEDGDSSEMDDEDCERRRMECLDEMSNLEKQFTDLKDQLYKERLSQVDAKLQEVIAGKAPEYLEPLATLQENMQIRTKVAGIYRELCLESVKNKYECEIQASRQHCESEKLLLYDTVQSELEEKIRRLEEDRHSIDITSELWNDELQSRKKRKDPFSPDKKKPVVVSGPYIVYMLQDLDILEDWTTIRKAMATLGPHRVKTEPPVKLEKHLHSARSEEGRLYYDGEWYIRGQTICIDKKDECPTSAVITTINHDEVWFKRPDGSKSKLYISQLQKGKYSIKHS
+>DECOY_sp|Q5PSV4|BRM1L_HUMAN Breast cancer metastasis-suppressor 1-like protein OS=Homo sapiens OX=9606 GN=BRMS1L PE=1 SV=2
+SHKISYKGKQLQSIYLKSKSGDPRKFWVEDHNITTIVASTPCEDKKDICITQGRIYWEGDYYLRGEESRASHLHKELKVPPETKVRHPGLTAMAKRITTWDELIDLDQLMYVIYPGSVVVPKKKDPSFPDKRKKRSQLEDNWLESTIDISHRDEELRRIKEELESQVTDYLLLKESECHQRSAQIECEYKNKVSELCLERYIGAVKTRIQMNEQLTALPELYEPAKGAIVEQLKADVQSLREKYLQDKLDTFQKELNSMEDLCEMRRRECDEDDMESSDGDESVSSSETDEDESSSGENEAYDVEMEDHHNTEKKDGRSHVPM
+>sp|Q075Z2|BSPH1_HUMAN Binder of sperm protein homolog 1 OS=Homo sapiens OX=9606 GN=BSPH1 PE=2 SV=1
+MGSLMLLFVETTRNSSACIFPVILNELSSTVETITHFPEVTDGECVFPFHYKNGTYYDCIKSKARHKWCSLNKTYEGYWKFCSAEDFANCVFPFWYRRLIYWECTDDGEAFGKKWCSLTKNFNKDRIWKYCE
+>DECOY_sp|Q075Z2|BSPH1_HUMAN Binder of sperm protein homolog 1 OS=Homo sapiens OX=9606 GN=BSPH1 PE=2 SV=1
+ECYKWIRDKNFNKTLSCWKKGFAEGDDTCEWYILRRYWFPFVCNAFDEASCFKWYGEYTKNLSCWKHRAKSKICDYYTGNKYHFPFVCEGDTVEPFHTITEVTSSLENLIVPFICASSNRTTEVFLLMLSGM
+>sp|Q96K17|BT3L4_HUMAN Transcription factor BTF3 homolog 4 OS=Homo sapiens OX=9606 GN=BTF3L4 PE=1 SV=1
+MNQEKLAKLQAQVRIGGKGTARRKKKVVHRTATADDKKLQSSLKKLAVNNIAGIEEVNMIKDDGTVIHFNNPKVQASLSANTFAITGHAEAKPITEMLPGILSQLGADSLTSLRKLAEQFPRQVLDSKAPKPEDIDEEDDDVPDLVENFDEASKNEAN
+>DECOY_sp|Q96K17|BT3L4_HUMAN Transcription factor BTF3 homolog 4 OS=Homo sapiens OX=9606 GN=BTF3L4 PE=1 SV=1
+NAENKSAEDFNEVLDPVDDDEEDIDEPKPAKSDLVQRPFQEALKRLSTLSDAGLQSLIGPLMETIPKAEAHGTIAFTNASLSAQVKPNNFHIVTGDDKIMNVEEIGAINNVALKKLSSQLKKDDATATRHVVKKKRRATGKGGIRVQAQLKALKEQNM
+>sp|Q9H0C5|BTBD1_HUMAN BTB/POZ domain-containing protein 1 OS=Homo sapiens OX=9606 GN=BTBD1 PE=1 SV=1
+MASLGPAAAGEQASGAEAEPGPAGPPPPPSPSSLGPLLPLQREPLYNWQATKASLKERFAFLFNSELLSDVRFVLGKGRGAAAAGGPQRIPAHRFVLAAGSAVFDAMFNGGMATTSAEIELPDVEPAAFLALLRFLYSDEVQIGPETVMTTLYTAKKYAVPALEAHCVEFLTKHLRADNAFMLLTQARLFDEPQLASLCLDTIDKSTMDAISAEGFTDIDIDTLCAVLERDTLSIRESRLFGAVVRWAEAECQRQQLPVTFGNKQKVLGKALSLIRFPLMTIEEFAAGPAQSGILSDREVVNLFLHFTVNPKPRVEYIDRPRCCLRGKECCINRFQQVESRWGYSGTSDRIRFTVNRRISIVGFGLYGSIHGPTDYQVNIQIIEYEKKQTLGQNDTGFSCDGTANTFRVMFKEPIEILPNVCYTACATLKGPDSHYGTKGLKKVVHETPAASKTVFFFFSSPGNNNGTSIEDGQIPEIIFYT
+>DECOY_sp|Q9H0C5|BTBD1_HUMAN BTB/POZ domain-containing protein 1 OS=Homo sapiens OX=9606 GN=BTBD1 PE=1 SV=1
+TYFIIEPIQGDEISTGNNNGPSSFFFFVTKSAAPTEHVVKKLGKTGYHSDPGKLTACATYCVNPLIEIPEKFMVRFTNATGDCSFGTDNQGLTQKKEYEIIQINVQYDTPGHISGYLGFGVISIRRNVTFRIRDSTGSYGWRSEVQQFRNICCEKGRLCCRPRDIYEVRPKPNVTFHLFLNVVERDSLIGSQAPGAAFEEITMLPFRILSLAKGLVKQKNGFTVPLQQRQCEAEAWRVVAGFLRSERISLTDRELVACLTDIDIDTFGEASIADMTSKDITDLCLSALQPEDFLRAQTLLMFANDARLHKTLFEVCHAELAPVAYKKATYLTTMVTEPGIQVEDSYLFRLLALFAAPEVDPLEIEASTTAMGGNFMADFVASGAALVFRHAPIRQPGGAAAAGRGKGLVFRVDSLLESNFLFAFREKLSAKTAQWNYLPERQLPLLPGLSSPSPPPPPGAPGPEAEAGSAQEGAAAPGLSAM
+>sp|Q9Y2F9|BTBD3_HUMAN BTB/POZ domain-containing protein 3 OS=Homo sapiens OX=9606 GN=BTBD3 PE=1 SV=1
+MVDDKEKNMKCLTFFLMLPETVKNRSKKSSKKANTSSSSSNSSKLPPVCYEIITLKTKKKKMAADIFPRKKPANSSSTSVQQYHQQNLSNNNLIPAPNWQGLYPTIRERNAMMFNNDLMADVHFVVGPPGGTQRLPGHKYVLAVGSSVFHAMFYGELAEDKDEIRIPDVEPAAFLAMLKYIYCDEIDLAADTVLATLYAAKKYIVPHLARACVNFLETSLSAKNACVLLSQSCLFEEPDLTQRCWEVIDAQAELALKSEGFCDIDFQTLESILRRETLNAKEIVVFEAALNWAEVECQRQDLALSIENKRKVLGKALYLIRIPTMALDDFANGAAQSGVLTLNETNDIFLWYTAAKKPELQFVSKARKGLVPQRCHRFQSCAYRSNQWRYRGRCDSIQFAVDKRVFIAGFGLYGSSCGSAEYSAKIELKRQGVVLGQNLSKYFSDGSSNTFPVWFEYPVQIEPDTFYTASVILDGNELSYFGQEGMTEVQCGKVTVQFQCSSDSTNGTGVQGGQIPELIFYA
+>DECOY_sp|Q9Y2F9|BTBD3_HUMAN BTB/POZ domain-containing protein 3 OS=Homo sapiens OX=9606 GN=BTBD3 PE=1 SV=1
+AYFILEPIQGGQVGTGNTSDSSCQFQVTVKGCQVETMGEQGFYSLENGDLIVSATYFTDPEIQVPYEFWVPFTNSSGDSFYKSLNQGLVVGQRKLEIKASYEASGCSSGYLGFGAIFVRKDVAFQISDCRGRYRWQNSRYACSQFRHCRQPVLGKRAKSVFQLEPKKAATYWLFIDNTENLTLVGSQAAGNAFDDLAMTPIRILYLAKGLVKRKNEISLALDQRQCEVEAWNLAAEFVVIEKANLTERRLISELTQFDIDCFGESKLALEAQADIVEWCRQTLDPEEFLCSQSLLVCANKASLSTELFNVCARALHPVIYKKAAYLTALVTDAALDIEDCYIYKLMALFAAPEVDPIRIEDKDEALEGYFMAHFVSSGVALVYKHGPLRQTGGPPGVVFHVDAMLDNNFMMANRERITPYLGQWNPAPILNNNSLNQQHYQQVSTSSSNAPKKRPFIDAAMKKKKTKLTIIEYCVPPLKSSNSSSSSTNAKKSSKKSRNKVTEPLMLFFTLCKMNKEKDDVM
+>sp|Q5XKL5|BTBD8_HUMAN BTB/POZ domain-containing protein 8 OS=Homo sapiens OX=9606 GN=BTBD8 PE=2 SV=2
+MARCGEGSAAPMVLLGSAGVCSKGLQRKGPCERRRLKATVSEQLSQDLLRLLREEFHTDVTFSVGCTLFKAHKAVLLARVPDFYFHTIGQTSNSLTNQEPIAVENVEALEFRTFLQIIYSSNRNIKNYEEEILRKKIMEIGISQKQLDISFPKCENSSDCSLQKHEIPEDISDRDDDFISNDNYDLEPASELGEDLLKLYVKPCCPDIDIFVDGKRFKAHRAILSARSSYFAAMLSGCWAESSQEYVTLQGISHVELNVMMHFIYGGTLDIPDKTNVGQILNMADMYGLEGLKEVAIYILRRDYCNFFQKPVPRTLTSILECLIIAHSVGVESLFADCMKWIVKHFARFWSERSFANIPPEIQKSCLNMLIQSLVSIT
+>DECOY_sp|Q5XKL5|BTBD8_HUMAN BTB/POZ domain-containing protein 8 OS=Homo sapiens OX=9606 GN=BTBD8 PE=2 SV=2
+TISVLSQILMNLCSKQIEPPINAFSRESWFRAFHKVIWKMCDAFLSEVGVSHAIILCELISTLTRPVPKQFFNCYDRRLIYIAVEKLGELGYMDAMNLIQGVNTKDPIDLTGGYIFHMMVNLEVHSIGQLTVYEQSSEAWCGSLMAAFYSSRASLIARHAKFRKGDVFIDIDPCCPKVYLKLLDEGLESAPELDYNDNSIFDDDRDSIDEPIEHKQLSCDSSNECKPFSIDLQKQSIGIEMIKKRLIEEEYNKINRNSSYIIQLFTRFELAEVNEVAIPEQNTLSNSTQGITHFYFDPVRALLVAKHAKFLTCGVSFTVDTHFEERLLRLLDQSLQESVTAKLRRRECPGKRQLGKSCVGASGLLVMPAASGEGCRAM
+>sp|Q96Q07|BTBD9_HUMAN BTB/POZ domain-containing protein 9 OS=Homo sapiens OX=9606 GN=BTBD9 PE=1 SV=2
+MSNSHPLRPFTAVGEIDHVHILSEHIGALLIGEEYGDVTFVVEKKRFPAHRVILAARCQYFRALLYGGMRESQPEAEIPLQDTTAEAFTMLLKYIYTGRATLTDEKEEVLLDFLSLAHKYGFPELEDSTSEYLCTILNIQNVCMTFDVASLYSLPKLTCMCCMFMDRNAQEVLSSEGFLSLSKTALLNIVLRDSFAAPEKDIFLALLNWCKHNSKENHAEIMQAVRLPLMSLTELLNVVRPSGLLSPDAILDAIKVRSESRDMDLNYRGMLIPEENIATMKYGAQVVKGELKSALLDGDTQNYDLDHGFSRHPIDDDCRSGIEIKLGQPSIINHIRILLWDRDSRSYSYFIEVSMDELDWVRVIDHSQYLCRSWQKLYFPARVCRYIRIVGTHNTVNKIFHIVAFECMFTNKTFTLEKGLIVPMENVATIADCASVIEGVSRSRNALLNGDTKNYDWDSGYTCHQLGSGAIVVQLAQPYMIGSIRLLLWDCDDRSYSYYVEVSTNQQQWTMVADRTKVSCKSWQSVTFERQPASFIRIVGTHNTANEVFHCVHFECPEQQSSQKEENSEESGTGDTSLAGQQLDSHALRAPSGSSLPSSPGSNSRSPNRQHQ
+>DECOY_sp|Q96Q07|BTBD9_HUMAN BTB/POZ domain-containing protein 9 OS=Homo sapiens OX=9606 GN=BTBD9 PE=1 SV=2
+QHQRNPSRSNSGPSSPLSSGSPARLAHSDLQQGALSTDGTGSEESNEEKQSSQQEPCEFHVCHFVENATNHTGVIRIFSAPQREFTVSQWSKCSVKTRDAVMTWQQQNTSVEVYYSYSRDDCDWLLLRISGIMYPQALQVVIAGSGLQHCTYGSDWDYNKTDGNLLANRSRSVGEIVSACDAITAVNEMPVILGKELTFTKNTFMCEFAVIHFIKNVTNHTGVIRIYRCVRAPFYLKQWSRCLYQSHDIVRVWDLEDMSVEIFYSYSRSDRDWLLIRIHNIISPQGLKIEIGSRCDDDIPHRSFGHDLDYNQTDGDLLASKLEGKVVQAGYKMTAINEEPILMGRYNLDMDRSESRVKIADLIADPSLLGSPRVVNLLETLSMLPLRVAQMIEAHNEKSNHKCWNLLALFIDKEPAAFSDRLVINLLATKSLSLFGESSLVEQANRDMFMCCMCTLKPLSYLSAVDFTMCVNQINLITCLYESTSDELEPFGYKHALSLFDLLVEEKEDTLTARGTYIYKLLMTFAEATTDQLPIEAEPQSERMGGYLLARFYQCRAALIVRHAPFRKKEVVFTVDGYEEGILLAGIHESLIHVHDIEGVATFPRLPHSNSM
+>sp|A6NE02|BTBDH_HUMAN BTB/POZ domain-containing protein 17 OS=Homo sapiens OX=9606 GN=BTBD17 PE=3 SV=1
+MPRRGYSKPGSWGSFWAMLTLVGLVTHAAQRADVGGEAAGTSINHSQAVLQRLQELLRQGNASDVVLRVQAAGTDEVRVFHAHRLLLGLHSELFLELLSNQSEAVLQEPQDCAAVFDKFIRYLYCGELTVLLTQAIPLHRLATKYGVSSLQRGVADYMRAHLAGGAGPAVGWYHYAVGTGDEALRESCLQFLAWNLSAVAASTEWGAVSPELLWQLLQRSDLVLQDELELFHALEAWLGRARPPPAVAERALRAIRYPMIPPAQLFQLQARSAALARHGPAVADLLLQAYQFHAASPLHYAKFFDVNGSAFLPRNYLAPAWGAPWVINNPARDDRSTSFQTQLGPSGHDAGRRVTWNVLFSPRWLPVSLRPVYADAAGTALPAARPEDGRPRLVVTPASSGGDAAGVSFQKTVLVGARQQGRLLVRHAYSFHQSSEEAGDFLAHADLQRRNSEYLVENALHLHLIVKPVYHTLIRTPK
+>DECOY_sp|A6NE02|BTBDH_HUMAN BTB/POZ domain-containing protein 17 OS=Homo sapiens OX=9606 GN=BTBD17 PE=3 SV=1
+KPTRILTHYVPKVILHLHLANEVLYESNRRQLDAHALFDGAEESSQHFSYAHRVLLRGQQRAGVLVTKQFSVGAADGGSSAPTVVLRPRGDEPRAAPLATGAADAYVPRLSVPLWRPSFLVNWTVRRGADHGSPGLQTQFSTSRDDRAPNNIVWPAGWAPALYNRPLFASGNVDFFKAYHLPSAAHFQYAQLLLDAVAPGHRALAASRAQLQFLQAPPIMPYRIARLAREAVAPPPRARGLWAELAHFLELEDQLVLDSRQLLQWLLEPSVAGWETSAAVASLNWALFQLCSERLAEDGTGVAYHYWGVAPGAGGALHARMYDAVGRQLSSVGYKTALRHLPIAQTLLVTLEGCYLYRIFKDFVAACDQPEQLVAESQNSLLELFLESHLGLLLRHAHFVRVEDTGAAQVRLVVDSANGQRLLEQLRQLVAQSHNISTGAAEGGVDARQAAHTVLGVLTLMAWFSGWSGPKSYGRRPM
+>sp|B2RXH4|BTBDI_HUMAN BTB/POZ domain-containing protein 18 OS=Homo sapiens OX=9606 GN=BTBD18 PE=2 SV=1
+MCSPASPKILYRNPRFLRLAFLQLHHQQQSDVFCDVLLQAEGEAVPAHCCILSACSPFFTERLERERPAQGGKVVLELGGLKISTLRKLVDFLYTSEMEVSQEEAQDVLSAARQLRVSELESLQLEGGKLVKAPQGRRLNRECLQPTSAAPISARVVTPSHHPHTPLPTNQTPCPLGAIRLKSLGKEEGPQENNRQNADNLSGTLLLKRKARACPTPQEKNSSPSSHSQEPRENKNDTALDPTVLSPPSLYPSVDKHLLPRKIRLSRSKPSPGICTSKPSSILSGSSSVPATPGRRLWRQRSVNKETPEDKPKPGRASPLQSTPNPSGLGKTGGSRKRSPEVRAPNSDSAEEGQVGRVKLRKIVNGTCWEVVQETPLKNTQDSPQIPDPGGDFQEPSGTQPFSSNEQEMSPTRTELCQDSPMCTKLQDILVSASHSPDHPVVKSEFESSPELVEKEPMLAIDCREPYAFDTALLEQPCEAEEYRITSAAATSELEEILDFMLCGSDIEPPIGSLESPGAEGCRTPTYHLTETGKNWIEGEEWCLPDMELWPRELTELEKEPAGENRGPTELLSPLVMPSEVSEVLSVGGRWTPDLEITSSQPLDGQEDKLLHVSSLDTPQRSYGDLSPPCSNWVETGLEVSLTTDELLYPSPKAGKEVSGHSELLGSLPASSEEEEIDVVDWTAEGRLVPTTVPSVWPDPSSESETEVDILT
+>DECOY_sp|B2RXH4|BTBDI_HUMAN BTB/POZ domain-containing protein 18 OS=Homo sapiens OX=9606 GN=BTBD18 PE=2 SV=1
+TLIDVETESESSPDPWVSPVTTPVLRGEATWDVVDIEEEESSAPLSGLLESHGSVEKGAKPSPYLLEDTTLSVELGTEVWNSCPPSLDGYSRQPTDLSSVHLLKDEQGDLPQSSTIELDPTWRGGVSLVESVESPMVLPSLLETPGRNEGAPEKELETLERPWLEMDPLCWEEGEIWNKGTETLHYTPTRCGEAGPSELSGIPPEIDSGCLMFDLIEELESTAAASTIRYEEAECPQELLATDFAYPERCDIALMPEKEVLEPSSEFESKVVPHDPSHSASVLIDQLKTCMPSDQCLETRTPSMEQENSSFPQTGSPEQFDGGPDPIQPSDQTNKLPTEQVVEWCTGNVIKRLKVRGVQGEEASDSNPARVEPSRKRSGGTKGLGSPNPTSQLPSARGPKPKDEPTEKNVSRQRWLRRGPTAPVSSSGSLISSPKSTCIGPSPKSRSLRIKRPLLHKDVSPYLSPPSLVTPDLATDNKNERPEQSHSSPSSNKEQPTPCARAKRKLLLTGSLNDANQRNNEQPGEEKGLSKLRIAGLPCPTQNTPLPTHPHHSPTVVRASIPAASTPQLCERNLRRGQPAKVLKGGELQLSELESVRLQRAASLVDQAEEQSVEMESTYLFDVLKRLTSIKLGGLELVVKGGQAPRERELRETFFPSCASLICCHAPVAEGEAQLLVDCFVDSQQQHHLQLFALRLFRPNRYLIKPSAPSCM
+>sp|P62324|BTG1_HUMAN Protein BTG1 OS=Homo sapiens OX=9606 GN=BTG1 PE=1 SV=1
+MHPFYTRAATMIGEIAAAVSFISKFLRTKGLTSERQLQTFSQSLQELLAEHYKHHWFPEKPCKGSGYRCIRINHKMDPLIGQAAQRIGLSSQELFRLLPSELTLWVDPYEVSYRIGEDGSICVLYEASPAGGSTQNSTNVQMVDSRISCKEELLLGRTSPSKNYNMMTVSG
+>DECOY_sp|P62324|BTG1_HUMAN Protein BTG1 OS=Homo sapiens OX=9606 GN=BTG1 PE=1 SV=1
+GSVTMMNYNKSPSTRGLLLEEKCSIRSDVMQVNTSNQTSGGAPSAEYLVCISGDEGIRYSVEYPDVWLTLESPLLRFLEQSSLGIRQAAQGILPDMKHNIRICRYGSGKCPKEPFWHHKYHEALLEQLSQSFTQLQRESTLGKTRLFKSIFSVAAAIEGIMTAARTYFPHM
+>sp|Q14201|BTG3_HUMAN Protein BTG3 OS=Homo sapiens OX=9606 GN=BTG3 PE=1 SV=3
+MKNEIAAVVFFFTRLVRKHDKLKKEAVERFAEKLTLILQEKYKNHWYPEKPSKGQAYRCIRVNKFQRVDPDVLKACENSCILYSDLGLPKELTLWVDPCEVCCRYGEKNNAFIVASFENKDENKDEISRKVTRALDKVTSDYHSGSSSSDEETSKEMEVKPSSVTAAASPVYQISELIFPPLPMWHPLPRKKPGMYRGNGHQNHYPPPVPFGYPNQGRKNKPYRPIPVTWVPPPGMHCDRNHWINPHMLAPH
+>DECOY_sp|Q14201|BTG3_HUMAN Protein BTG3 OS=Homo sapiens OX=9606 GN=BTG3 PE=1 SV=3
+HPALMHPNIWHNRDCHMGPPPVWTVPIPRYPKNKRGQNPYGFPVPPPYHNQHGNGRYMGPKKRPLPHWMPLPPFILESIQYVPSAAATVSSPKVEMEKSTEEDSSSSGSHYDSTVKDLARTVKRSIEDKNEDKNEFSAVIFANNKEGYRCCVECPDVWLTLEKPLGLDSYLICSNECAKLVDPDVRQFKNVRICRYAQGKSPKEPYWHNKYKEQLILTLKEAFREVAEKKLKDHKRVLRTFFFVVAAIENKM
+>sp|P15538|C11B1_HUMAN Cytochrome P450 11B1, mitochondrial OS=Homo sapiens OX=9606 GN=CYP11B1 PE=1 SV=5
+MALRAKAEVCMAVPWLSLQRAQALGTRAARVPRTVLPFEAMPRRPGNRWLRLLQIWREQGYEDLHLEVHQTFQELGPIFRYDLGGAGMVCVMLPEDVEKLQQVDSLHPHRMSLEPWVAYRQHRGHKCGVFLLNGPEWRFNRLRLNPEVLSPNAVQRFLPMVDAVARDFSQALKKKVLQNARGSLTLDVQPSIFHYTIEASNLALFGERLGLVGHSPSSASLNFLHALEVMFKSTVQLMFMPRSLSRWTSPKVWKEHFEAWDCIFQYGDNCIQKIYQELAFSRPQQYTSIVAELLLNAELSPDAIKANSMELTAGSVDTTVFPLLMTLFELARNPNVQQALRQESLAAAASISEHPQKATTELPLLRAALKETLRLYPVGLFLERVASSDLVLQNYHIPAGTLVRVFLYSLGRNPALFPRPERYNPQRWLDIRGSGRNFYHVPFGFGMRQCLGRRLAEAEMLLLLHHVLKHLQVETLTQEDIKMVYSFILRPSMFPLLTFRAIN
+>DECOY_sp|P15538|C11B1_HUMAN Cytochrome P450 11B1, mitochondrial OS=Homo sapiens OX=9606 GN=CYP11B1 PE=1 SV=5
+NIARFTLLPFMSPRLIFSYVMKIDEQTLTEVQLHKLVHHLLLLMEAEALRRGLCQRMGFGFPVHYFNRGSGRIDLWRQPNYREPRPFLAPNRGLSYLFVRVLTGAPIHYNQLVLDSSAVRELFLGVPYLRLTEKLAARLLPLETTAKQPHESISAAAALSEQRLAQQVNPNRALEFLTMLLPFVTTDVSGATLEMSNAKIADPSLEANLLLEAVISTYQQPRSFALEQYIKQICNDGYQFICDWAEFHEKWVKPSTWRSLSRPMFMLQVTSKFMVELAHLFNLSASSPSHGVLGLREGFLALNSAEITYHFISPQVDLTLSGRANQLVKKKLAQSFDRAVADVMPLFRQVANPSLVEPNLRLRNFRWEPGNLLFVGCKHGRHQRYAVWPELSMRHPHLSDVQQLKEVDEPLMVCVMGAGGLDYRFIPGLEQFTQHVELHLDEYGQERWIQLLRLWRNGPRRPMAEFPLVTRPVRAARTGLAQARQLSLWPVAMCVEAKARLAM
+>sp|A2RUR9|C144A_HUMAN Coiled-coil domain-containing protein 144A OS=Homo sapiens OX=9606 GN=CCDC144A PE=2 SV=1
+MASWGGEKRGGAEGSPKPAVYATRKTPSVGSQGDQWYLGYPGDQWSSGFPYSWWKNSVGSESKHGEGALDQPQHDVRLEDLGELHRAARSGDVPGVEHILAPGDTGVDKRDRKKSIQQLVPEYKEKQTPESLPQNNNPDWHPTNLTLSDETCQRSKNLKVDDKCPSVSPSMPENQSATKELGQMNLTEREKMDTGVVLLSGNDTLHDLCQSQLPENKESKEAEQDSELTSEEEQERLKGCENKQPQKTSQEPEMAKDCDREDIPIYPVLPHVQKSEEMWIEQGKLEWKNQLKLVINELKQRFGEIYEKYKIPACPEEEPLLDNSTRGTDVKDIPFNLTNNIPGCEEEDASEISVSVVFETFPEQKEPSLKNIIHPYYHPYSGSQEHVCQSSSKFHLHENKLDCDNDNKPGIGHIFSTDKNFHNDASTKKARNPEVVMVEMKEDQEFDLQMTKNMNQNSDSGSTNNYKSLKPKLENLSSLPPDSDRTSEVYLHEELQQDMQKFKNEVNTLEEEFLALKKEDVQLHKDVEEEMEKHRSNSTELSGTLTDGTTVGNDDDGLNQQIPRKENGEHDRPADKTSNEKNEVKNQIYPEADFADSMEPSEIASEDCELSHSVYENFMLLIEQLRMEYKDSASLPRIQDTFCLCEHLLKLKNNHCDQLTVKLKQMENMVSVLQNELSETKKTKLQLELQKIEWEKELYDLRLALKQENEEKRNADMLYNKDSEQLRIKEEECGKVVETKQQLKWNLRRLVKELRTVRNNLDLVVQERNDAQKQLSEEQDARILQDQILTSKQKELEMARKKMNSEISHRHQKEKDLFHEDCMLQEEIALLRLEIDTIKNQNKQKEKKYFEDIEAVKEKNDNLQKIIKLNEETLTETILQYSGQLNNLTAENKILNSELENGKQNQERLEIEMESYRCRLAAAVRDCDQSQTARDLKLDFQRTRQEWVRLHDKMKVDMSGLQAKNEILSEKLSNAESKINSLQIQLHNTRDALGRESLILERVQRDLSQTQCQKKETEQMYQIEQSKLKKYIAKQESVEERLSQLQSENMLLRQQLDDAHKKANSQEKTSSTIQDQFHSAAKNLQAESEKQILSLQEKNKELMDEYNHLKERMDQCEKEKAGRKIDLTEAQETVPSRCLHLDAENEVLQLQQTLFSMKAIQKQCETLQKNKKQLKQEVVNLKSYMERNMLERGKAEWHKLLIEERARKEIEEKLNEAILTLQKQAAVSHEQLVQLREDNTTSIKTQMELTIKDLESEISRIKTSQADFNKTELERYKELYLEEVKVRESLSNELSRTNEMIAEVSTQLTVEKEQTRSRSLFTAYATRPVLESPCVGNLNDSEGLNRKHIPRKKRSALKDMESYLLKMQQKLQNDLTAEVAGSSQTGLHRIPQCSSFSSSSLHLLLCSICQPFFLILQLLLNMNLDPI
+>DECOY_sp|A2RUR9|C144A_HUMAN Coiled-coil domain-containing protein 144A OS=Homo sapiens OX=9606 GN=CCDC144A PE=2 SV=1
+IPDLNMNLLLQLILFFPQCISCLLLHLSSSSFSSCQPIRHLGTQSSGAVEATLDNQLKQQMKLLYSEMDKLASRKKRPIHKRNLGESDNLNGVCPSELVPRTAYATFLSRSRTQEKEVTLQTSVEAIMENTRSLENSLSERVKVEELYLEKYRELETKNFDAQSTKIRSIESELDKITLEMQTKISTTNDERLQVLQEHSVAAQKQLTLIAENLKEEIEKRAREEILLKHWEAKGRELMNREMYSKLNVVEQKLQKKNKQLTECQKQIAKMSFLTQQLQLVENEADLHLCRSPVTEQAETLDIKRGAKEKECQDMREKLHNYEDMLEKNKEQLSLIQKESEAQLNKAASHFQDQITSSTKEQSNAKKHADDLQQRLLMNESQLQSLREEVSEQKAIYKKLKSQEIQYMQETEKKQCQTQSLDRQVRELILSERGLADRTNHLQIQLSNIKSEANSLKESLIENKAQLGSMDVKMKDHLRVWEQRTRQFDLKLDRATQSQDCDRVAAALRCRYSEMEIELREQNQKGNELESNLIKNEATLNNLQGSYQLITETLTEENLKIIKQLNDNKEKVAEIDEFYKKEKQKNQNKITDIELRLLAIEEQLMCDEHFLDKEKQHRHSIESNMKKRAMELEKQKSTLIQDQLIRADQEESLQKQADNREQVVLDLNNRVTRLEKVLRRLNWKLQQKTEVVKGCEEEKIRLQESDKNYLMDANRKEENEQKLALRLDYLEKEWEIKQLELQLKTKKTESLENQLVSVMNEMQKLKVTLQDCHNNKLKLLHECLCFTDQIRPLSASDKYEMRLQEILLMFNEYVSHSLECDESAIESPEMSDAFDAEPYIQNKVENKENSTKDAPRDHEGNEKRPIQQNLGDDDNGVTTGDTLTGSLETSNSRHKEMEEEVDKHLQVDEKKLALFEEELTNVENKFKQMDQQLEEHLYVESTRDSDPPLSSLNELKPKLSKYNNTSGSDSNQNMNKTMQLDFEQDEKMEVMVVEPNRAKKTSADNHFNKDTSFIHGIGPKNDNDCDLKNEHLHFKSSSQCVHEQSGSYPHYYPHIINKLSPEKQEPFTEFVVSVSIESADEEECGPINNTLNFPIDKVDTGRTSNDLLPEEEPCAPIKYKEYIEGFRQKLENIVLKLQNKWELKGQEIWMEESKQVHPLVPYIPIDERDCDKAMEPEQSTKQPQKNECGKLREQEEESTLESDQEAEKSEKNEPLQSQCLDHLTDNGSLLVVGTDMKERETLNMQGLEKTASQNEPMSPSVSPCKDDVKLNKSRQCTEDSLTLNTPHWDPNNNQPLSEPTQKEKYEPVLQQISKKRDRKDVGTDGPALIHEVGPVDGSRAARHLEGLDELRVDHQPQDLAGEGHKSESGVSNKWWSYPFGSSWQDGPYGLYWQDGQSGVSPTKRTAYVAPKPSGEAGGRKEGGWSAM
+>sp|Q86VB7|C163A_HUMAN Scavenger receptor cysteine-rich type 1 protein M130 OS=Homo sapiens OX=9606 GN=CD163 PE=1 SV=2
+MSKLRMVLLEDSGSADFRRHFVNLSPFTITVVLLLSACFVTSSLGGTDKELRLVDGENKCSGRVEVKVQEEWGTVCNNGWSMEAVSVICNQLGCPTAIKAPGWANSSAGSGRIWMDHVSCRGNESALWDCKHDGWGKHSNCTHQQDAGVTCSDGSNLEMRLTRGGNMCSGRIEIKFQGRWGTVCDDNFNIDHASVICRQLECGSAVSFSGSSNFGEGSGPIWFDDLICNGNESALWNCKHQGWGKHNCDHAEDAGVICSKGADLSLRLVDGVTECSGRLEVRFQGEWGTICDDGWDSYDAAVACKQLGCPTAVTAIGRVNASKGFGHIWLDSVSCQGHEPAIWQCKHHEWGKHYCNHNEDAGVTCSDGSDLELRLRGGGSRCAGTVEVEIQRLLGKVCDRGWGLKEADVVCRQLGCGSALKTSYQVYSKIQATNTWLFLSSCNGNETSLWDCKNWQWGGLTCDHYEEAKITCSAHREPRLVGGDIPCSGRVEVKHGDTWGSICDSDFSLEAASVLCRELQCGTVVSILGGAHFGEGNGQIWAEEFQCEGHESHLSLCPVAPRPEGTCSHSRDVGVVCSRYTEIRLVNGKTPCEGRVELKTLGAWGSLCNSHWDIEDAHVLCQQLKCGVALSTPGGARFGKGNGQIWRHMFHCTGTEQHMGDCPVTALGASLCPSEQVASVICSGNQSQTLSSCNSSSLGPTRPTIPEESAVACIESGQLRLVNGGGRCAGRVEIYHEGSWGTICDDSWDLSDAHVVCRQLGCGEAINATGSAHFGEGTGPIWLDEMKCNGKESRIWQCHSHGWGQQNCRHKEDAGVICSEFMSLRLTSEASREACAGRLEVFYNGAWGTVGKSSMSETTVGVVCRQLGCADKGKINPASLDKAMSIPMWVDNVQCPKGPDTLWQCPSSPWEKRLASPSEETWITCDNKIRLQEGPTSCSGRVEIWHGGSWGTVCDDSWDLDDAQVVCQQLGCGPALKAFKEAEFGQGTGPIWLNEVKCKGNESSLWDCPARRWGHSECGHKEDAAVNCTDISVQKTPQKATTGRSSRQSSFIAVGILGVVLLAIFVALFFLTKKRRQRQRLAVSSRGENLVHQIQYREMNSCLNADDLDLMNSSENSHESADFSAAELISVSKFLPISGMEKEAILSHTEKENGNL
+>DECOY_sp|Q86VB7|C163A_HUMAN Scavenger receptor cysteine-rich type 1 protein M130 OS=Homo sapiens OX=9606 GN=CD163 PE=1 SV=2
+LNGNEKETHSLIAEKEMGSIPLFKSVSILEAASFDASEHSNESSNMLDLDDANLCSNMERYQIQHVLNEGRSSVALRQRQRRKKTLFFLAVFIALLVVGLIGVAIFSSQRSSRGTTAKQPTKQVSIDTCNVAADEKHGCESHGWRRAPCDWLSSENGKCKVENLWIPGTGQGFEAEKFAKLAPGCGLQQCVVQADDLDWSDDCVTGWSGGHWIEVRGSCSTPGEQLRIKNDCTIWTEESPSALRKEWPSSPCQWLTDPGKPCQVNDVWMPISMAKDLSAPNIKGKDACGLQRCVVGVTTESMSSKGVTGWAGNYFVELRGACAERSAESTLRLSMFESCIVGADEKHRCNQQGWGHSHCQWIRSEKGNCKMEDLWIPGTGEGFHASGTANIAEGCGLQRCVVHADSLDWSDDCITGWSGEHYIEVRGACRGGGNVLRLQGSEICAVASEEPITPRTPGLSSSNCSSLTQSQNGSCIVSAVQESPCLSAGLATVPCDGMHQETGTCHFMHRWIQGNGKGFRAGGPTSLAVGCKLQQCLVHADEIDWHSNCLSGWAGLTKLEVRGECPTKGNVLRIETYRSCVVGVDRSHSCTGEPRPAVPCLSLHSEHGECQFEEAWIQGNGEGFHAGGLISVVTGCQLERCLVSAAELSFDSDCISGWTDGHKVEVRGSCPIDGGVLRPERHASCTIKAEEYHDCTLGGWQWNKCDWLSTENGNCSSLFLWTNTAQIKSYVQYSTKLASGCGLQRCVVDAEKLGWGRDCVKGLLRQIEVEVTGACRSGGGRLRLELDSGDSCTVGADENHNCYHKGWEHHKCQWIAPEHGQCSVSDLWIHGFGKSANVRGIATVATPCGLQKCAVAADYSDWGDDCITGWEGQFRVELRGSCETVGDVLRLSLDAGKSCIVGADEAHDCNHKGWGQHKCNWLASENGNCILDDFWIPGSGEGFNSSGSFSVASGCELQRCIVSAHDINFNDDCVTGWRGQFKIEIRGSCMNGGRTLRMELNSGDSCTVGADQQHTCNSHKGWGDHKCDWLASENGRCSVHDMWIRGSGASSNAWGPAKIATPCGLQNCIVSVAEMSWGNNCVTGWEEQVKVEVRGSCKNEGDVLRLEKDTGGLSSTVFCASLLLVVTITFPSLNVFHRRFDASGSDELLVMRLKSM
+>sp|Q5SR53|CA200_HUMAN Putative uncharacterized protein PIK3CD-AS1 OS=Homo sapiens OX=9606 GN=PIK3CD-AS1 PE=2 SV=1
+MPSQSACPVLSTAPGTPCDLRKHLLNMVSEEKRSPQLSAKTWRRGLRLQKRRNALFLPEGDICVVGSTSGARALIPETSKLERSGTVIAYCNLELLASSDPPVWASQSTGMTGMSYRSQPQLGFKSTPPAHSSVFHHSVKAPKEDQAQEAASRPLTSQDGWNPNIKK
+>DECOY_sp|Q5SR53|CA200_HUMAN Putative uncharacterized protein PIK3CD-AS1 OS=Homo sapiens OX=9606 GN=PIK3CD-AS1 PE=2 SV=1
+KKINPNWGDQSTLPRSAAEQAQDEKPAKVSHHFVSSHAPPTSKFGLQPQSRYSMGTMGTSQSAWVPPDSSALLELNCYAIVTGSRELKSTEPILARAGSTSGVVCIDGEPLFLANRRKQLRLGRRWTKASLQPSRKEESVMNLLHKRLDCPTGPATSLVPCASQSPM
+>sp|Q8IVY1|CA210_HUMAN Type III endosome membrane protein TEMP OS=Homo sapiens OX=9606 GN=C1orf210 PE=3 SV=1
+MNETNKTLVGPSELPTASAVAPGPGTGARAWPVLVGFVLGAVVLSLLIALAAKCHLCRRYHASYRHRPLPETGRGGRPQVAEDEDDDGFIEDNYIQPGTGELGTEGSRDHFSL
+>DECOY_sp|Q8IVY1|CA210_HUMAN Type III endosome membrane protein TEMP OS=Homo sapiens OX=9606 GN=C1orf210 PE=3 SV=1
+LSFHDRSGETGLEGTGPQIYNDEIFGDDDEDEAVQPRGGRGTEPLPRHRYSAHYRRCLHCKAALAILLSLVVAGLVFGVLVPWARAGTGPGPAVASATPLESPGVLTKNTENM
+>sp|Q6ZS94|CA229_HUMAN Putative uncharacterized protein C1orf229 OS=Homo sapiens OX=9606 GN=C1orf229 PE=2 SV=1
+MGLCTLQPLGPPRKSSTSCGTWTASGLPSLGHLPRRLRLAFDFLEPRARGQRGGSGGCQSTRAAERTRPPHPPNAVLLLQPEPSLTWAQGRGCRGHFRSLPAAASRSGSRTLRCASSDRSLREQKQRRAGPDPTPSPAPPPAGPRPSPGSLGPSAPAAPRTARGAYELQGGASQDGPGQAAVGATPTTGPGTGGEGALLGCGSGRTPPTSATWRRRLLPAEVPPGAAAANFPERERL
+>DECOY_sp|Q6ZS94|CA229_HUMAN Putative uncharacterized protein C1orf229 OS=Homo sapiens OX=9606 GN=C1orf229 PE=2 SV=1
+LREREPFNAAAAGPPVEAPLLRRRWTASTPPTRGSGCGLLAGEGGTGPGTTPTAGVAAQGPGDQSAGGQLEYAGRATRPAAPASPGLSGPSPRPGAPPPAPSPTPDPGARRQKQERLSRDSSACRLTRSGSRSAAAPLSRFHGRCGRGQAWTLSPEPQLLLVANPPHPPRTREAARTSQCGGSGGRQGRARPELFDFALRLRRPLHGLSPLGSATWTGCSTSSKRPPGLPQLTCLGM
+>sp|Q9NY47|CA2D2_HUMAN Voltage-dependent calcium channel subunit alpha-2/delta-2 OS=Homo sapiens OX=9606 GN=CACNA2D2 PE=1 SV=2
+MAVPARTCGASRPGPARTARPWPGCGPHPGPGTRRPTSGPPRPLWLLLPLLPLLAAPGASAYSFPQQHTMQHWARRLEQEVDGVMRIFGGVQQLREIYKDNRNLFEVQENEPQKLVEKVAGDIESLLDRKVQALKRLADAAENFQKAHRWQDNIKEEDIVYYDAKADAELDDPESEDVERGSKASTLRLDFIEDPNFKNKVNYSYAAVQIPTDIYKGSTVILNELNWTEALENVFMENRRQDPTLLWQVFGSATGVTRYYPATPWRAPKKIDLYDVRRRPWYIQGASSPKDMVIIVDVSGSVSGLTLKLMKTSVCEMLDTLSDDDYVNVASFNEKAQPVSCFTHLVQANVRNKKVFKEAVQGMVAKGTTGYKAGFEYAFDQLQNSNITRANCNKMIMMFTDGGEDRVQDVFEKYNWPNRTVRVFTFSVGQHNYDVTPLQWMACANKGYYFEIPSIGAIRINTQEYLDVLGRPMVLAGKEAKQVQWTNVYEDALGLGLVVTGTLPVFNLTQDGPGEKKNQLILGVMGIDVALNDIKRLTPNYTLGANGYVFAIDLNGYVLLHPNLKPQTTNFREPVTLDFLDAELEDENKEEIRRSMIDGNKGHKQIRTLVKSLDERYIDEVTRNYTWVPIRSTNYSLGLVLPPYSTFYLQANLSDQILQVKLPISKLKDFEFLLPSSFESEGHVFIAPREYCKDLNASDNNTEFLKNFIELMEKVTPDSKQCNNFLLHNLILDTGITQQLVERVWRDQDLNTYSLLAVFAATDGGITRVFPNKAAEDWTENPEPFNASFYRRSLDNHGYVFKPPHQDALLRPLELENDTVGILVSTAVELSLGRRTLRPAVVGVKLDLEAWAEKFKVLASNRTHQDQPQKCGPNSHCEMDCEVNNEDLLCVLIDDGGFLVLSNQNHQWDQVGRFFSEVDANLMLALYNNSFYTRKESYDYQAACAPQPPGNLGAAPRGVFVPTVADFLNLAWWTSAAAWSLFQQLLYGLIYHSWFQADPAEAEGSPETRESSCVMKQTQYYFGSVNASYNAIIDCGNCSRLFHAQRLTNTNLLFVVAEKPLCSQCEAGRLLQKETHSDGPEQCELVQRPRYRRGPHICFDYNATEDTSDCGRGASFPPSLGVLVSLQLLLLLGLPPRPQPQVLVHASRRL
+>DECOY_sp|Q9NY47|CA2D2_HUMAN Voltage-dependent calcium channel subunit alpha-2/delta-2 OS=Homo sapiens OX=9606 GN=CACNA2D2 PE=1 SV=2
+LRRSAHVLVQPQPRPPLGLLLLLQLSVLVGLSPPFSAGRGCDSTDETANYDFCIHPGRRYRPRQVLECQEPGDSHTEKQLLRGAECQSCLPKEAVVFLLNTNTLRQAHFLRSCNGCDIIANYSANVSGFYYQTQKMVCSSERTEPSGEAEAPDAQFWSHYILGYLLQQFLSWAAASTWWALNLFDAVTPVFVGRPAAGLNGPPQPACAAQYDYSEKRTYFSNNYLALMLNADVESFFRGVQDWQHNQNSLVLFGGDDILVCLLDENNVECDMECHSNPGCKQPQDQHTRNSALVKFKEAWAELDLKVGVVAPRLTRRGLSLEVATSVLIGVTDNELELPRLLADQHPPKFVYGHNDLSRRYFSANFPEPNETWDEAAKNPFVRTIGGDTAAFVALLSYTNLDQDRWVREVLQQTIGTDLILNHLLFNNCQKSDPTVKEMLEIFNKLFETNNDSANLDKCYERPAIFVHGESEFSSPLLFEFDKLKSIPLKVQLIQDSLNAQLYFTSYPPLVLGLSYNTSRIPVWTYNRTVEDIYREDLSKVLTRIQKHGKNGDIMSRRIEEKNEDELEADLFDLTVPERFNTTQPKLNPHLLVYGNLDIAFVYGNAGLTYNPTLRKIDNLAVDIGMVGLILQNKKEGPGDQTLNFVPLTGTVVLGLGLADEYVNTWQVQKAEKGALVMPRGLVDLYEQTNIRIAGISPIEFYYGKNACAMWQLPTVDYNHQGVSFTFVRVTRNPWNYKEFVDQVRDEGGDTFMMIMKNCNARTINSNQLQDFAYEFGAKYGTTGKAVMGQVAEKFVKKNRVNAQVLHTFCSVPQAKENFSAVNVYDDDSLTDLMECVSTKMLKLTLGSVSGSVDVIIVMDKPSSAGQIYWPRRRVDYLDIKKPARWPTAPYYRTVGTASGFVQWLLTPDQRRNEMFVNELAETWNLENLIVTSGKYIDTPIQVAAYSYNVKNKFNPDEIFDLRLTSAKSGREVDESEPDDLEADAKADYYVIDEEKINDQWRHAKQFNEAADALRKLAQVKRDLLSEIDGAVKEVLKQPENEQVEFLNRNDKYIERLQQVGGFIRMVGDVEQELRRAWHQMTHQQPFSYASAGPAALLPLLPLLLWLPRPPGSTPRRTGPGPHPGCGPWPRATRAPGPRSAGCTRAPVAM
+>sp|Q8IZS8|CA2D3_HUMAN Voltage-dependent calcium channel subunit alpha-2/delta-3 OS=Homo sapiens OX=9606 GN=CACNA2D3 PE=1 SV=1
+MAGPGSPRRASRGASALLAAALLYAALGDVVRSEQQIPLSVVKLWASAFGGEIKSIAAKYSGSQLLQKKYKEYEKDVAIEEIDGLQLVKKLAKNMEEMFHKKSEAVRRLVEAAEEAHLKHEFDADLQYEYFNAVLINERDKDGNFLELGKEFILAPNDHFNNLPVNISLSDVQVPTNMYNKDPAIVNGVYWSESLNKVFVDNFDRDPSLIWQYFGSAKGFFRQYPGIKWEPDENGVIAFDCRNRKWYIQAATSPKDVVILVDVSGSMKGLRLTIAKQTVSSILDTLGDDDFFNIIAYNEELHYVEPCLNGTLVQADRTNKEHFREHLDKLFAKGIGMLDIALNEAFNILSDFNHTGQGSICSQAIMLITDGAVDTYDTIFAKYNWPDRKVRIFTYLIGREAAFADNLKWMACANKGFFTQISTLADVQENVMEYLHVLSRPKVIDQEHDVVWTEAYIDSTLPQAQKLTDDQGPVLMTTVAMPVFSKQNETRSKGILLGVVGTDVPVKELLKTIPKYKLGIHGYAFAITNNGYILTHPELRLLYEEGKKRRKPNYSSVDLSEVEWEDRDDVLRNAMVNRKTGKFSMEVKKTVDKGKRVLVMTNDYYYTDIKGTPFSLGVALSRGHGKYFFRGNVTIEEGLHDLEHPDVSLADEWSYCNTDLHPEHRHLSQLEAIKLYLKGKEPLLQCDKELIQEVLFDAVVSAPIEAYWTSLALNKSENSDKGVEVAFLGTRTGLSRINLFVGAEQLTNQDFLKAGDKENIFNADHFPLWYRRAAEQIPGSFVYSIPFSTGPVNKSNVVTASTSIQLLDERKSPVVAAVGIQMKLEFFQRKFWTASRQCASLDGKCSISCDDETVNCYLIDNNGFILVSEDYTQTGDFFGEIEGAVMNKLLTMGSFKRITLYDYQAMCRANKESSDGAHGLLDPYNAFLSAVKWIMTELVLFLVEFNLCSWWHSDMTAKAQKLKQTLEPCDTEYPAFVSERTIKETTGNIACEDCSKSFVIQQIPSSNLFMVVVDSSCLCESVAPITMAPIEIRYNESLKCERLKAQKIRRRPESCHGFHPEENARECGGAPSLQAQTVLLLLPLLLMLFSR
+>DECOY_sp|Q8IZS8|CA2D3_HUMAN Voltage-dependent calcium channel subunit alpha-2/delta-3 OS=Homo sapiens OX=9606 GN=CACNA2D3 PE=1 SV=1
+RSFLMLLLPLLLLVTQAQLSPAGGCERANEEPHFGHCSEPRRRIKQAKLRECKLSENYRIEIPAMTIPAVSECLCSSDVVVMFLNSSPIQQIVFSKSCDECAINGTTEKITRESVFAPYETDCPELTQKLKQAKATMDSHWWSCLNFEVLFLVLETMIWKVASLFANYPDLLGHAGDSSEKNARCMAQYDYLTIRKFSGMTLLKNMVAGEIEGFFDGTQTYDESVLIFGNNDILYCNVTEDDCSISCKGDLSACQRSATWFKRQFFELKMQIGVAAVVPSKREDLLQISTSATVVNSKNVPGTSFPISYVFSGPIQEAARRYWLPFHDANFINEKDGAKLFDQNTLQEAGVFLNIRSLGTRTGLFAVEVGKDSNESKNLALSTWYAEIPASVVADFLVEQILEKDCQLLPEKGKLYLKIAELQSLHRHEPHLDTNCYSWEDALSVDPHELDHLGEEITVNGRFFYKGHGRSLAVGLSFPTGKIDTYYYDNTMVLVRKGKDVTKKVEMSFKGTKRNVMANRLVDDRDEWEVESLDVSSYNPKRRKKGEEYLLRLEPHTLIYGNNTIAFAYGHIGLKYKPITKLLEKVPVDTGVVGLLIGKSRTENQKSFVPMAVTTMLVPGQDDTLKQAQPLTSDIYAETWVVDHEQDIVKPRSLVHLYEMVNEQVDALTSIQTFFGKNACAMWKLNDAFAAERGILYTFIRVKRDPWNYKAFITDYTDVAGDTILMIAQSCISGQGTHNFDSLINFAENLAIDLMGIGKAFLKDLHERFHEKNTRDAQVLTGNLCPEVYHLEENYAIINFFDDDGLTDLISSVTQKAITLRLGKMSGSVDVLIVVDKPSTAAQIYWKRNRCDFAIVGNEDPEWKIGPYQRFFGKASGFYQWILSPDRDFNDVFVKNLSESWYVGNVIAPDKNYMNTPVQVDSLSINVPLNNFHDNPALIFEKGLELFNGDKDRENILVANFYEYQLDADFEHKLHAEEAAEVLRRVAESKKHFMEEMNKALKKVLQLGDIEEIAVDKEYEKYKKQLLQSGSYKAAISKIEGGFASAWLKVVSLPIQQESRVVDGLAAYLLAAALLASAGRSARRPSGPGAM
+>sp|Q7Z3S7|CA2D4_HUMAN Voltage-dependent calcium channel subunit alpha-2/delta-4 OS=Homo sapiens OX=9606 GN=CACNA2D4 PE=1 SV=2
+MVCGCSALLPLPNPRPTMPATPNFLANPSSSSRWIPLQPMPVAWAFVQKTSALLWLLLLGTSLSPAWGQAKIPLETVKLWADTFGGDLYNTVTKYSGSLLLQKKYKDVESSLKIEEVDGLELVRKFSEDMENMLRRKVEAVQNLVEAAEEADLNHEFNESLVFDYYNSVLINERDEKGNFVELGAEFLLESNAHFSNLPVNTSISSVQLPTNVYNKDPDILNGVYMSEALNAVFVENFQRDPTLTWQYFGSATGFFRIYPGIKWTPDENGVITFDCRNRGWYIQAATSPKDIVILVDVSGSMKGLRMTIAKHTITTILDTLGENDFINIIAYNDYVHYIEPCFKGILVQADRDNREHFKLLVEELMVKGVGVVDQALREAFQILKQFQEAKQGSLCNQAIMLISDGAVEDYEPVFEKYNWPDCKVRVFTYLIGREVSFADRMKWIACNNKGYYTQISTLADTQENVMEYLHVLSRPMVINHDHDIIWTEAYMDSKLLSSQAQSLTLLTTVAMPVFSKKNETRSHGILLGVVGSDVALRELMKLAPRYKLGVHGYAFLNTNNGYILSHPDLRPLYREGKKLKPKPNYNSVDLSEVEWEDQAESLRTAMINRETGTLSMDVKVPMDKGKRVLFLTNDYFFTDISDTPFSLGVVLSRGHGEYILLGNTSVEEGLHDLLHPDLALAGDWIYCITDIDPDHRKLSQLEAMIRFLTRKDPDLECDEELVREVLFDAVVTAPMEAYWTALALNMSEESEHVVDMAFLGTRAGLLRSSLFVGSEKVSDRKFLTPEDEASVFTLDRFPLWYRQASEHPAGSFVFNLRWAEGPESAGEPMVVTASTAVAVTVDKRTAIAAAAGVQMKLEFLQRKFWAATRQCSTVDGPCTQSCEDSDLDCFVIDNNGFILISKRSRETGRFLGEVDGAVLTQLLSMGVFSQVTMYDYQAMCKPSSHHHSAAQPLVSPISAFLTATRWLLQELVLFLLEWSVWGSWYDRGAEAKSVFHHSHKHKKQDPLQPCDTEYPVFVYQPAIREANGIVECGPCQKVFVVQQIPNSNLLLLVTDPTCDCSIFPPVLQEATEVKYNASVKCDRMRSQKLRRRPDSCHAFHPEENAQDCGGASDTSASPPLLLLPVCAWGLLPQLLR
+>DECOY_sp|Q7Z3S7|CA2D4_HUMAN Voltage-dependent calcium channel subunit alpha-2/delta-4 OS=Homo sapiens OX=9606 GN=CACNA2D4 PE=1 SV=2
+RLLQPLLGWACVPLLLLPPSASTDSAGGCDQANEEPHFAHCSDPRRRLKQSRMRDCKVSANYKVETAEQLVPPFISCDCTPDTVLLLLNSNPIQQVVFVKQCPGCEVIGNAERIAPQYVFVPYETDCPQLPDQKKHKHSHHFVSKAEAGRDYWSGWVSWELLFLVLEQLLWRTATLFASIPSVLPQAASHHHSSPKCMAQYDYMTVQSFVGMSLLQTLVAGDVEGLFRGTERSRKSILIFGNNDIVFCDLDSDECSQTCPGDVTSCQRTAAWFKRQLFELKMQVGAAAAIATRKDVTVAVATSATVVMPEGASEPGEAWRLNFVFSGAPHESAQRYWLPFRDLTFVSAEDEPTLFKRDSVKESGVFLSSRLLGARTGLFAMDVVHESEESMNLALATWYAEMPATVVADFLVERVLEEDCELDPDKRTLFRIMAELQSLKRHDPDIDTICYIWDGALALDPHLLDHLGEEVSTNGLLIYEGHGRSLVVGLSFPTDSIDTFFYDNTLFLVRKGKDMPVKVDMSLTGTERNIMATRLSEAQDEWEVESLDVSNYNPKPKLKKGERYLPRLDPHSLIYGNNTNLFAYGHVGLKYRPALKMLERLAVDSGVVGLLIGHSRTENKKSFVPMAVTTLLTLSQAQSSLLKSDMYAETWIIDHDHNIVMPRSLVHLYEMVNEQTDALTSIQTYYGKNNCAIWKMRDAFSVERGILYTFVRVKCDPWNYKEFVPEYDEVAGDSILMIAQNCLSGQKAEQFQKLIQFAERLAQDVVGVGKVMLEEVLLKFHERNDRDAQVLIGKFCPEIYHVYDNYAIINIFDNEGLTDLITTITHKAITMRLGKMSGSVDVLIVIDKPSTAAQIYWGRNRCDFTIVGNEDPTWKIGPYIRFFGTASGFYQWTLTPDRQFNEVFVANLAESMYVGNLIDPDKNYVNTPLQVSSISTNVPLNSFHANSELLFEAGLEVFNGKEDRENILVSNYYDFVLSENFEHNLDAEEAAEVLNQVAEVKRRLMNEMDESFKRVLELGDVEEIKLSSEVDKYKKQLLLSGSYKTVTNYLDGGFTDAWLKVTELPIKAQGWAPSLSTGLLLLWLLASTKQVFAWAVPMPQLPIWRSSSSPNALFNPTAPMTPRPNPLPLLASCGCVM
+>sp|Q8WTZ4|CA5BL_HUMAN Putative inactive carbonic anhydrase 5B-like protein OS=Homo sapiens OX=9606 GN=CA5BP1 PE=5 SV=2
+MTQPPASTSGIMGTLSSWNLKILQINQLHLVHWNAVKFENFEDAALEENGLAVIGVFLKISETSGSPVSTGRPKPLARKLRPAQKHWVLQSRPFLSSQVQENCKVTYFHRKHWVRIRPLRTTPPSWDYTRICIQREMVPARIRVLREMVPEAWRCFPNRLPLLSNIRPDFSKAPLAYVKRWLWTARHPHSLSAAW
+>DECOY_sp|Q8WTZ4|CA5BL_HUMAN Putative inactive carbonic anhydrase 5B-like protein OS=Homo sapiens OX=9606 GN=CA5BP1 PE=5 SV=2
+WAASLSHPHRATWLWRKVYALPAKSFDPRINSLLPLRNPFCRWAEPVMERLVRIRAPVMERQICIRTYDWSPPTTRLPRIRVWHKRHFYTVKCNEQVQSSLFPRSQLVWHKQAPRLKRALPKPRGTSVPSGSTESIKLFVGIVALGNEELAADEFNEFKVANWHVLHLQNIQLIKLNWSSLTGMIGSTSAPPQTM
+>sp|Q8N1I8|CAAS1_HUMAN Putative uncharacterized protein encoded by CACTIN-AS1 OS=Homo sapiens OX=9606 GN=CACTIN-AS1 PE=2 SV=1
+MRPEVGREPAALQPRQRPRSDHQLHRSPFTVPPRTPACRSPGPSPPIAVALEVKPQLEDAIGKLAAEAVAVRVFPLAVDDLESDVLVGRPRVEAQDGEILVVGAGLQEVLGRGALVDEVGVEDVELVSLHDLGRWVVKVVVRLVVLVPLEARVHAVEEARLAWPVLVGPQDGGCPGSARTAAGKSFHHLWPQGQWASKPRMNEGTPQCACR
+>DECOY_sp|Q8N1I8|CAAS1_HUMAN Putative uncharacterized protein encoded by CACTIN-AS1 OS=Homo sapiens OX=9606 GN=CACTIN-AS1 PE=2 SV=1
+RCACQPTGENMRPKSAWQGQPWLHHFSKGAATRASGPCGGDQPGVLVPWALRAEEVAHVRAELPVLVVLRVVVKVVWRGLDHLSVLEVDEVGVEDVLAGRGLVEQLGAGVVLIEGDQAEVRPRGVLVDSELDDVALPFVRVAVAEAALKGIADELQPKVELAVAIPPSPGPSRCAPTRPPVTFPSRHLQHDSRPRQRPQLAAPERGVEPRM
+>sp|Q9BTV7|CABL2_HUMAN CDK5 and ABL1 enzyme substrate 2 OS=Homo sapiens OX=9606 GN=CABLES2 PE=1 SV=3
+MAAAAAGGAPGPAPGPAGPPPPAAPTSAARAPPQALRRRGDSRRRQAALFFLNNISLDGRPPSLGPGGEKPPPPPAEAREPPAPPPPEPPTGLPARTPAPQGLLSPTQVPTGLGLDGQRQRKRVTSQRCSLEFLEDAVGCAPAQRTKHTSGSPRHKGLKKTHFIKNMRQYDTRNSRIVLICAKRSLCAAFSVLPYGEGLRISDLRVDSQKQRHPSGGVSVSSEMVFELEGVELGADGKVVSYAKFLYPTNALVTHKSDSHGLLPTPRPSVPRTLPGSRHKPAPTKSAPASTELGSDVGDTLEYNPNLLDDPQWPCGKHKRVLIFASYMTTVIEYVKPSDLKKDMNETFREKFPHVKLTLSKIRSLKREMRSLSEECSLEPVTVAMAYVYFEKLVLQGKLSKQNRKLCAGACVLLAAKISSDLRKSGVTQLIDKLEERFRFNRRDLIGFEFTVLVALELALYLPENQVLPHYRRLTQQF
+>DECOY_sp|Q9BTV7|CABL2_HUMAN CDK5 and ABL1 enzyme substrate 2 OS=Homo sapiens OX=9606 GN=CABLES2 PE=1 SV=3
+FQQTLRRYHPLVQNEPLYLALELAVLVTFEFGILDRRNFRFREELKDILQTVGSKRLDSSIKAALLVCAGACLKRNQKSLKGQLVLKEFYVYAMAVTVPELSCEESLSRMERKLSRIKSLTLKVHPFKERFTENMDKKLDSPKVYEIVTTMYSAFILVRKHKGCPWQPDDLLNPNYELTDGVDSGLETSAPASKTPAPKHRSGPLTRPVSPRPTPLLGHSDSKHTVLANTPYLFKAYSVVKGDAGLEVGELEFVMESSVSVGGSPHRQKQSDVRLDSIRLGEGYPLVSFAACLSRKACILVIRSNRTDYQRMNKIFHTKKLGKHRPSGSTHKTRQAPACGVADELFELSCRQSTVRKRQRQGDLGLGTPVQTPSLLGQPAPTRAPLGTPPEPPPPAPPERAEAPPPPPKEGGPGLSPPRGDLSINNLFFLAAQRRRSDGRRRLAQPPARAASTPAAPPPPGAPGPAPGPAGGAAAAAM
+>sp|Q9NZU7|CABP1_HUMAN Calcium-binding protein 1 OS=Homo sapiens OX=9606 GN=CABP1 PE=1 SV=5
+MGGGDGAAFKRPGDGARLQRVLGLGSRREPRSLPAGGPAPRRTAPPPPGHASAGPAAMSSHIAKSESKTSLLKAAAAAASGGSRAPRHGPARDPGLPSRRLPGSCPATPQSSGDPSSRRPLCRPAPREEGARGSQRVLPQAHCRPREALPAAASRPSPSSPLPPARGRDGEERGLSPALGLRGSLRARGRGDSVPAAASEADPFLHRLRPMLSSAFGQDRSLRPEEIEELREAFREFDKDKDGYINCRDLGNCMRTMGYMPTEMELIELSQQINMNLGGHVDFDDFVELMGPKLLAETADMIGVKELRDAFREFDTNGDGEISTSELREAMRKLLGHQVGHRDIEEIIRDVDLNGDGRVDFEEFVRMMSR
+>DECOY_sp|Q9NZU7|CABP1_HUMAN Calcium-binding protein 1 OS=Homo sapiens OX=9606 GN=CABP1 PE=1 SV=5
+RSMMRVFEEFDVRGDGNLDVDRIIEEIDRHGVQHGLLKRMAERLESTSIEGDGNTDFERFADRLEKVGIMDATEALLKPGMLEVFDDFDVHGGLNMNIQQSLEILEMETPMYGMTRMCNGLDRCNIYGDKDKDFERFAERLEEIEEPRLSRDQGFASSLMPRLRHLFPDAESAAAPVSDGRGRARLSGRLGLAPSLGREEGDRGRAPPLPSSPSPRSAAAPLAERPRCHAQPLVRQSGRAGEERPAPRCLPRRSSPDGSSQPTAPCSGPLRRSPLGPDRAPGHRPARSGGSAAAAAAKLLSTKSESKAIHSSMAAPGASAHGPPPPATRRPAPGGAPLSRPERRSGLGLVRQLRAGDGPRKFAAGDGGGM
+>sp|P57796|CABP4_HUMAN Calcium-binding protein 4 OS=Homo sapiens OX=9606 GN=CABP4 PE=1 SV=2
+MTTEQARGQQGPNLAIGRQKPPAGVVTPKSDAEEPPLTRKRSKKERGLRGSRKRTGSSGEQTGPEAPGSSNNPPSTGEGPAGAPPASPGPASSRQSHRHRPDSLHDAAQRTYGPLLNRVFGKDRELGPEELDELQAAFEEFDTDRDGYISHRELGDCMRTLGYMPTEMELLEVSQHIKMRMGGRVDFEEFVELIGPKLREETAHMLGVRELRIAFREFDRDRDGRITVAELREAVPALLGEPLAGPELDEMLREVDLNGDGTVDFDEFVMMLSRH
+>DECOY_sp|P57796|CABP4_HUMAN Calcium-binding protein 4 OS=Homo sapiens OX=9606 GN=CABP4 PE=1 SV=2
+HRSLMMVFEDFDVTGDGNLDVERLMEDLEPGALPEGLLAPVAERLEAVTIRGDRDRDFERFAIRLERVGLMHATEERLKPGILEVFEEFDVRGGMRMKIHQSVELLEMETPMYGLTRMCDGLERHSIYGDRDTDFEEFAAQLEDLEEPGLERDKGFVRNLLPGYTRQAADHLSDPRHRHSQRSSAPGPSAPPAGAPGEGTSPPNNSSGPAEPGTQEGSSGTRKRSGRLGREKKSRKRTLPPEEADSKPTVVGAPPKQRGIALNPGQQGRAQETTM
+>sp|Q00975|CAC1B_HUMAN Voltage-dependent N-type calcium channel subunit alpha-1B OS=Homo sapiens OX=9606 GN=CACNA1B PE=1 SV=1
+MVRFGDELGGRYGGPGGGERARGGGAGGAGGPGPGGLQPGQRVLYKQSIAQRARTMALYNPIPVKQNCFTVNRSLFVFSEDNVVRKYAKRITEWPPFEYMILATIIANCIVLALEQHLPDGDKTPMSERLDDTEPYFIGIFCFEAGIKIIALGFVFHKGSYLRNGWNVMDFVVVLTGILATAGTDFDLRTLRAVRVLRPLKLVSGIPSLQVVLKSIMKAMVPLLQIGLLLFFAILMFAIIGLEFYMGKFHKACFPNSTDAEPVGDFPCGKEAPARLCEGDTECREYWPGPNFGITNFDNILFAILTVFQCITMEGWTDILYNTNDAAGNTWNWLYFIPLIIIGSFFMLNLVLGVLSGEFAKERERVENRRAFLKLRRQQQIERELNGYLEWIFKAEEVMLAEEDRNAEEKSPLDVLKRAATKKSRNDLIHAEEGEDRFADLCAVGSPFARASLKSGKTESSSYFRRKEKMFRFFIRRMVKAQSFYWVVLCVVALNTLCVAMVHYNQPRRLTTTLYFAEFVFLGLFLTEMSLKMYGLGPRSYFRSSFNCFDFGVIVGSVFEVVWAAIKPGSSFGISVLRALRLLRIFKVTKYWSSLRNLVVSLLNSMKSIISLLFLLFLFIVVFALLGMQLFGGQFNFQDETPTTNFDTFPAAILTVFQILTGEDWNAVMYHGIESQGGVSKGMFSSFYFIVLTLFGNYTLLNVFLAIAVDNLANAQELTKDEEEMEEAANQKLALQKAKEVAEVSPMSAANISIAARQQNSAKARSVWEQRASQLRLQNLRASCEALYSEMDPEERLRFATTRHLRPDMKTHLDRPLVVELGRDGARGPVGGKARPEAAEAPEGVDPPRRHHRHRDKDKTPAAGDQDRAEAPKAESGEPGAREERPRPHRSHSKEAAGPPEARSERGRGPGPEGGRRHHRRGSPEEAAEREPRRHRAHRHQDPSKECAGAKGERRARHRGGPRAGPREAESGEEPARRHRARHKAQPAHEAVEKETTEKEATEKEAEIVEADKEKELRNHQPREPHCDLETSGTVTVGPMHTLPSTCLQKVEEQPEDADNQRNVTRMGSQPPDPNTIVHIPVMLTGPLGEATVVPSGNVDLESQAEGKKEVEADDVMRSGPRPIVPYSSMFCLSPTNLLRRFCHYIVTMRYFEVVILVVIALSSIALAAEDPVRTDSPRNNALKYLDYIFTGVFTFEMVIKMIDLGLLLHPGAYFRDLWNILDFIVVSGALVAFAFSGSKGKDINTIKSLRVLRVLRPLKTIKRLPKLKAVFDCVVNSLKNVLNILIVYMLFMFIFAVIAVQLFKGKFFYCTDESKELERDCRGQYLDYEKEEVEAQPRQWKKYDFHYDNVLWALLTLFTVSTGEGWPMVLKHSVDATYEEQGPSPGYRMELSIFYVVYFVVFPFFFVNIFVALIIITFQEQGDKVMSECSLEKNERACIDFAISAKPLTRYMPQNRQSFQYKTWTFVVSPPFEYFIMAMIALNTVVLMMKFYDAPYEYELMLKCLNIVFTSMFSMECVLKIIAFGVLNYFRDAWNVFDFVTVLGSITDILVTEIAETNNFINLSFLRLFRAARLIKLLRQGYTIRILLWTFVQSFKALPYVCLLIAMLFFIYAIIGMQVFGNIALDDDTSINRHNNFRTFLQALMLLFRSATGEAWHEIMLSCLSNQACDEQANATECGSDFAYFYFVSFIFLCSFLMLNLFVAVIMDNFEYLTRDSSILGPHHLDEFIRVWAEYDPAACGRISYNDMFEMLKHMSPPLGLGKKCPARVAYKRLVRMNMPISNEDMTVHFTSTLMALIRTALEIKLAPAGTKQHQCDAELRKEISVVWANLPQKTLDLLVPPHKPDEMTVGKVYAALMIFDFYKQNKTTRDQMQQAPGGLSQMGPVSLFHPLKATLEQTQPAVLRGARVFLRQKSSTSLSNGGAIQNQESGIKESVSWGTQRTQDAPHEARPPLERGHSTEIPVGRSGALAVDVQMQSITRRGPDGEPQPGLESQGRAASMPRLAAETQPVTDASPMKRSISTLAQRPRGTHLCSTTPDRPPPSQASSHHHHHRCHRRRDRKQRSLEKGPSLSADMDGAPSSAVGPGLPPGEGPTGCRRERERRQERGRSQERRQPSSSSSEKQRFYSCDRFGGREPPKPKPSLSSHPTSPTAGQEPGPHPQGSGSVNGSPLLSTSGASTPGRGGRRQLPQTPLTPRPSITYKTANSSPIHFAGAQTSLPAFSPGRLSRGLSEHNALLQRDPLSQPLAPGSRIGSDPYLGQRLDSEASVHALPEDTLTFEEAVATNSGRSSRTSYVSSLTSQSHPLRRVPNGYHCTLGLSSGGRARHSYHHPDQDHWC
+>DECOY_sp|Q00975|CAC1B_HUMAN Voltage-dependent N-type calcium channel subunit alpha-1B OS=Homo sapiens OX=9606 GN=CACNA1B PE=1 SV=1
+CWHDQDPHHYSHRARGGSSLGLTCHYGNPVRRLPHSQSTLSSVYSTRSSRGSNTAVAEEFTLTDEPLAHVSAESDLRQGLYPDSGIRSGPALPQSLPDRQLLANHESLGRSLRGPSFAPLSTQAGAFHIPSSNATKYTISPRPTLPTQPLQRRGGRGPTSAGSTSLLPSGNVSGSGQPHPGPEQGATPSTPHSSLSPKPKPPERGGFRDCSYFRQKESSSSSPQRREQSRGREQRRERERRCGTPGEGPPLGPGVASSPAGDMDASLSPGKELSRQKRDRRRHCRHHHHHSSAQSPPPRDPTTSCLHTGRPRQALTSISRKMPSADTVPQTEAALRPMSAARGQSELGPQPEGDPGRRTISQMQVDVALAGSRGVPIETSHGRELPPRAEHPADQTRQTGWSVSEKIGSEQNQIAGGNSLSTSSKQRLFVRAGRLVAPQTQELTAKLPHFLSVPGMQSLGGPAQQMQDRTTKNQKYFDFIMLAAYVKGVTMEDPKHPPVLLDLTKQPLNAWVVSIEKRLEADCQHQKTGAPALKIELATRILAMLTSTFHVTMDENSIPMNMRVLRKYAVRAPCKKGLGLPPSMHKLMEFMDNYSIRGCAAPDYEAWVRIFEDLHHPGLISSDRTLYEFNDMIVAVFLNLMLFSCLFIFSVFYFYAFDSGCETANAQEDCAQNSLCSLMIEHWAEGTASRFLLMLAQLFTRFNNHRNISTDDDLAINGFVQMGIIAYIFFLMAILLCVYPLAKFSQVFTWLLIRITYGQRLLKILRAARFLRLFSLNIFNNTEAIETVLIDTISGLVTVFDFVNWADRFYNLVGFAIIKLVCEMSFMSTFVINLCKLMLEYEYPADYFKMMLVVTNLAIMAMIFYEFPPSVVFTWTKYQFSQRNQPMYRTLPKASIAFDICARENKELSCESMVKDGQEQFTIIILAVFINVFFFPFVVFYVVYFISLEMRYGPSPGQEEYTADVSHKLVMPWGEGTSVTFLTLLAWLVNDYHFDYKKWQRPQAEVEEKEYDLYQGRCDRELEKSEDTCYFFKGKFLQVAIVAFIFMFLMYVILINLVNKLSNVVCDFVAKLKPLRKITKLPRLVRLVRLSKITNIDKGKSGSFAFAVLAGSVVIFDLINWLDRFYAGPHLLLGLDIMKIVMEFTFVGTFIYDLYKLANNRPSDTRVPDEAALAISSLAIVVLIVVEFYRMTVIYHCFRRLLNTPSLCFMSSYPVIPRPGSRMVDDAEVEKKGEAQSELDVNGSPVVTAEGLPGTLMVPIHVITNPDPPQSGMRTVNRQNDADEPQEEVKQLCTSPLTHMPGVTVTGSTELDCHPERPQHNRLEKEKDAEVIEAEKETAEKETTEKEVAEHAPQAKHRARHRRAPEEGSEAERPGARPGGRHRARREGKAGACEKSPDQHRHARHRRPEREAAEEPSGRRHHRRGGEPGPGRGRESRAEPPGAAEKSHSRHPRPREERAGPEGSEAKPAEARDQDGAAPTKDKDRHRHHRRPPDVGEPAEAAEPRAKGGVPGRAGDRGLEVVLPRDLHTKMDPRLHRTTAFRLREEPDMESYLAECSARLNQLRLQSARQEWVSRAKASNQQRAAISINAASMPSVEAVEKAKQLALKQNAAEEMEEEDKTLEQANALNDVAIALFVNLLTYNGFLTLVIFYFSSFMGKSVGGQSEIGHYMVANWDEGTLIQFVTLIAAPFTDFNTTPTEDQFNFQGGFLQMGLLAFVVIFLFLLFLLSIISKMSNLLSVVLNRLSSWYKTVKFIRLLRLARLVSIGFSSGPKIAAWVVEFVSGVIVGFDFCNFSSRFYSRPGLGYMKLSMETLFLGLFVFEAFYLTTTLRRPQNYHVMAVCLTNLAVVCLVVWYFSQAKVMRRIFFRFMKEKRRFYSSSETKGSKLSARAFPSGVACLDAFRDEGEEAHILDNRSKKTAARKLVDLPSKEEANRDEEALMVEEAKFIWELYGNLEREIQQQRRLKLFARRNEVREREKAFEGSLVGLVLNLMFFSGIIILPIFYLWNWTNGAADNTNYLIDTWGEMTICQFVTLIAFLINDFNTIGFNPGPWYERCETDGECLRAPAEKGCPFDGVPEADTSNPFCAKHFKGMYFELGIIAFMLIAFFLLLGIQLLPVMAKMISKLVVQLSPIGSVLKLPRLVRVARLTRLDFDTGATALIGTLVVVFDMVNWGNRLYSGKHFVFGLAIIKIGAEFCFIGIFYPETDDLRESMPTKDGDPLHQELALVICNAIITALIMYEFPPWETIRKAYKRVVNDESFVFLSRNVTFCNQKVPIPNYLAMTRARQAISQKYLVRQGPQLGGPGPGGAGGAGGGRAREGGGPGGYRGGLEDGFRVM
+>sp|Q01668|CAC1D_HUMAN Voltage-dependent L-type calcium channel subunit alpha-1D OS=Homo sapiens OX=9606 GN=CACNA1D PE=1 SV=2
+MMMMMMMKKMQHQRQQQADHANEANYARGTRLPLSGEGPTSQPNSSKQTVLSWQAAIDAARQAKAAQTMSTSAPPPVGSLSQRKRQQYAKSKKQGNSSNSRPARALFCLSLNNPIRRACISIVEWKPFDIFILLAIFANCVALAIYIPFPEDDSNSTNHNLEKVEYAFLIIFTVETFLKIIAYGLLLHPNAYVRNGWNLLDFVIVIVGLFSVILEQLTKETEGGNHSSGKSGGFDVKALRAFRVLRPLRLVSGVPSLQVVLNSIIKAMVPLLHIALLVLFVIIIYAIIGLELFIGKMHKTCFFADSDIVAEEDPAPCAFSGNGRQCTANGTECRSGWVGPNGGITNFDNFAFAMLTVFQCITMEGWTDVLYWMNDAMGFELPWVYFVSLVIFGSFFVLNLVLGVLSGEFSKEREKAKARGDFQKLREKQQLEEDLKGYLDWITQAEDIDPENEEEGGEEGKRNTSMPTSETESVNTENVSGEGENRGCCGSLCQAISKSKLSRRWRRWNRFNRRRCRAAVKSVTFYWLVIVLVFLNTLTISSEHYNQPDWLTQIQDIANKVLLALFTCEMLVKMYSLGLQAYFVSLFNRFDCFVVCGGITETILVELEIMSPLGISVFRCVRLLRIFKVTRHWTSLSNLVASLLNSMKSIASLLLLLFLFIIIFSLLGMQLFGGKFNFDETQTKRSTFDNFPQALLTVFQILTGEDWNAVMYDGIMAYGGPSSSGMIVCIYFIILFICGNYILLNVFLAIAVDNLADAESLNTAQKEEAEEKERKKIARKESLENKKNNKPEVNQIANSDNKVTIDDYREEDEDKDPYPPCDVPVGEEEEEEEEDEPEVPAGPRPRRISELNMKEKIAPIPEGSAFFILSKTNPIRVGCHKLINHHIFTNLILVFIMLSSAALAAEDPIRSHSFRNTILGYFDYAFTAIFTVEILLKMTTFGAFLHKGAFCRNYFNLLDMLVVGVSLVSFGIQSSAISVVKILRVLRVLRPLRAINRAKGLKHVVQCVFVAIRTIGNIMIVTTLLQFMFACIGVQLFKGKFYRCTDEAKSNPEECRGLFILYKDGDVDSPVVRERIWQNSDFNFDNVLSAMMALFTVSTFEGWPALLYKAIDSNGENIGPIYNHRVEISIFFIIYIIIVAFFMMNIFVGFVIVTFQEQGEKEYKNCELDKNQRQCVEYALKARPLRRYIPKNPYQYKFWYVVNSSPFEYMMFVLIMLNTLCLAMQHYEQSKMFNDAMDILNMVFTGVFTVEMVLKVIAFKPKGYFSDAWNTFDSLIVIGSIIDVALSEADPTESENVPVPTATPGNSEESNRISITFFRLFRVMRLVKLLSRGEGIRTLLWTFIKSFQALPYVALLIAMLFFIYAVIGMQMFGKVAMRDNNQINRNNNFQTFPQAVLLLFRCATGEAWQEIMLACLPGKLCDPESDYNPGEEYTCGSNFAIVYFISFYMLCAFLIINLFVAVIMDNFDYLTRDWSILGPHHLDEFKRIWSEYDPEAKGRIKHLDVVTLLRRIQPPLGFGKLCPHRVACKRLVAMNMPLNSDGTVMFNATLFALVRTALKIKTEGNLEQANEELRAVIKKIWKKTSMKLLDQVVPPAGDDEVTVGKFYATFLIQDYFRKFKKRKEQGLVGKYPAKNTTIALQAGLRTLHDIGPEIRRAISCDLQDDEPEETKREEEDDVFKRNGALLGNHVNHVNSDRRDSLQQTNTTHRPLHVQRPSIPPASDTEKPLFPPAGNSVCHNHHNHNSIGKQVPTSTNANLNNANMSKAAHGKRPSIGNLEHVSENGHHSSHKHDREPQRRSSVKRTRYYETYIRSDSGDEQLPTICREDPEIHGYFRDPHCLGEQEYFSSEECYEDDSSPTWSRQNYGYYSRYPGRNIDSERPRGYHHPQGFLEDDDSPVCYDSRRSPRRRLLPPTPASHRRSSFNFECLRRQSSQEEVPSSPIFPHRTALPLHLMQQQIMAVAGLDSSKAQKYSPSHSTRSWATPPATPPYRDWTPCYTPLIQVEQSEALDQVNGSLPSLHRSSWYTDEPDISYRTFTPASLTVPSSFRNKNSDKQRSADSLVEAVLISEGLGRYARDPKFVSATKHEIADACDLTIDEMESAASTLLNGNVRPRANGDVGPLSHRQDYELQDFGPGYSDEEPDPGRDEEDLADEMICITTL
+>DECOY_sp|Q01668|CAC1D_HUMAN Voltage-dependent L-type calcium channel subunit alpha-1D OS=Homo sapiens OX=9606 GN=CACNA1D PE=1 SV=2
+LTTICIMEDALDEEDRGPDPEEDSYGPGFDQLEYDQRHSLPGVDGNARPRVNGNLLTSAASEMEDITLDCADAIEHKTASVFKPDRAYRGLGESILVAEVLSDASRQKDSNKNRFSSPVTLSAPTFTRYSIDPEDTYWSSRHLSPLSGNVQDLAESQEVQILPTYCPTWDRYPPTAPPTAWSRTSHSPSYKQAKSSDLGAVAMIQQQMLHLPLATRHPFIPSSPVEEQSSQRRLCEFNFSSRRHSAPTPPLLRRRPSRRSDYCVPSDDDELFGQPHHYGRPRESDINRGPYRSYYGYNQRSWTPSSDDEYCEESSFYEQEGLCHPDRFYGHIEPDERCITPLQEDGSDSRIYTEYYRTRKVSSRRQPERDHKHSSHHGNESVHELNGISPRKGHAAKSMNANNLNANTSTPVQKGISNHNHHNHCVSNGAPPFLPKETDSAPPISPRQVHLPRHTTNTQQLSDRRDSNVHNVHNGLLAGNRKFVDDEEERKTEEPEDDQLDCSIARRIEPGIDHLTRLGAQLAITTNKAPYKGVLGQEKRKKFKRFYDQILFTAYFKGVTVEDDGAPPVVQDLLKMSTKKWIKKIVARLEENAQELNGETKIKLATRVLAFLTANFMVTGDSNLPMNMAVLRKCAVRHPCLKGFGLPPQIRRLLTVVDLHKIRGKAEPDYESWIRKFEDLHHPGLISWDRTLYDFNDMIVAVFLNIILFACLMYFSIFYVIAFNSGCTYEEGPNYDSEPDCLKGPLCALMIEQWAEGTACRFLLLVAQPFTQFNNNRNIQNNDRMAVKGFMQMGIVAYIFFLMAILLAVYPLAQFSKIFTWLLTRIGEGRSLLKVLRMVRFLRFFTISIRNSEESNGPTATPVPVNESETPDAESLAVDIISGIVILSDFTNWADSFYGKPKFAIVKLVMEVTFVGTFVMNLIDMADNFMKSQEYHQMALCLTNLMILVFMMYEFPSSNVVYWFKYQYPNKPIYRRLPRAKLAYEVCQRQNKDLECNKYEKEGQEQFTVIVFGVFINMMFFAVIIIYIIFFISIEVRHNYIPGINEGNSDIAKYLLAPWGEFTSVTFLAMMASLVNDFNFDSNQWIRERVVPSDVDGDKYLIFLGRCEEPNSKAEDTCRYFKGKFLQVGICAFMFQLLTTVIMINGITRIAVFVCQVVHKLGKARNIARLPRLVRLVRLIKVVSIASSQIGFSVLSVGVVLMDLLNFYNRCFAGKHLFAGFTTMKLLIEVTFIATFAYDFYGLITNRFSHSRIPDEAALAASSLMIFVLILNTFIHHNILKHCGVRIPNTKSLIFFASGEPIPAIKEKMNLESIRRPRPGAPVEPEDEEEEEEEEGVPVDCPPYPDKDEDEERYDDITVKNDSNAIQNVEPKNNKKNELSEKRAIKKREKEEAEEKQATNLSEADALNDVAIALFVNLLIYNGCIFLIIFYICVIMGSSSPGGYAMIGDYMVANWDEGTLIQFVTLLAQPFNDFTSRKTQTEDFNFKGGFLQMGLLSFIIIFLFLLLLLSAISKMSNLLSAVLNSLSTWHRTVKFIRLLRVCRFVSIGLPSMIELEVLITETIGGCVVFCDFRNFLSVFYAQLGLSYMKVLMECTFLALLVKNAIDQIQTLWDPQNYHESSITLTNLFVLVIVLWYFTVSKVAARCRRRNFRNWRRWRRSLKSKSIAQCLSGCCGRNEGEGSVNETNVSETESTPMSTNRKGEEGGEEENEPDIDEAQTIWDLYGKLDEELQQKERLKQFDGRAKAKEREKSFEGSLVGLVLNLVFFSGFIVLSVFYVWPLEFGMADNMWYLVDTWGEMTICQFVTLMAFAFNDFNTIGGNPGVWGSRCETGNATCQRGNGSFACPAPDEEAVIDSDAFFCTKHMKGIFLELGIIAYIIIVFLVLLAIHLLPVMAKIISNLVVQLSPVGSVLRLPRLVRFARLAKVDFGGSKGSSHNGGETEKTLQELIVSFLGVIVIVFDLLNWGNRVYANPHLLLGYAIIKLFTEVTFIILFAYEVKELNHNTSNSDDEPFPIYIALAVCNAFIALLIFIDFPKWEVISICARRIPNNLSLCFLARAPRSNSSNGQKKSKAYQQRKRQSLSGVPPPASTSMTQAAKAQRAADIAAQWSLVTQKSSNPQSTPGEGSLPLRTGRAYNAENAHDAQQQRQHQMKKMMMMMMM
+>sp|Q15878|CAC1E_HUMAN Voltage-dependent R-type calcium channel subunit alpha-1E OS=Homo sapiens OX=9606 GN=CACNA1E PE=1 SV=3
+MARFGEAVVARPGSGDGDSDQSRNRQGTPVPASGQAAAYKQTKAQRARTMALYNPIPVRQNCFTVNRSLFIFGEDNIVRKYAKKLIDWPPFEYMILATIIANCIVLALEQHLPEDDKTPMSRRLEKTEPYFIGIFCFEAGIKIVALGFIFHKGSYLRNGWNVMDFIVVLSGILATAGTHFNTHVDLRTLRAVRVLRPLKLVSGIPSLQIVLKSIMKAMVPLLQIGLLLFFAILMFAIIGLEFYSGKLHRACFMNNSGILEGFDPPHPCGVQGCPAGYECKDWIGPNDGITQFDNILFAVLTVFQCITMEGWTTVLYNTNDALGATWNWLYFIPLIIIGSFFVLNLVLGVLSGEFAKERERVENRRAFMKLRRQQQIERELNGYRAWIDKAEEVMLAEENKNAGTSALEVLRRATIKRSRTEAMTRDSSDEHCVDISSVGTPLARASIKSAKVDGVSYFRHKERLLRISIRHMVKSQVFYWIVLSLVALNTACVAIVHHNQPQWLTHLLYYAEFLFLGLFLLEMSLKMYGMGPRLYFHSSFNCFDFGVTVGSIFEVVWAIFRPGTSFGISVLRALRLLRIFKITKYWASLRNLVVSLMSSMKSIISLLFLLFLFIVVFALLGMQLFGGRFNFNDGTPSANFDTFPAAIMTVFQILTGEDWNEVMYNGIRSQGGVSSGMWSAIYFIVLTLFGNYTLLNVFLAIAVDNLANAQELTKDEQEEEEAFNQKHALQKAKEVSPMSAPNMPSIERDRRRRHHMSMWEPRSSHLRERRRRHHMSVWEQRTSQLRKHMQMSSQEALNREEAPTMNPLNPLNPLSSLNPLNAHPSLYRRPRAIEGLALGLALEKFEEERISRGGSLKGDGGDRSSALDNQRTPLSLGQREPPWLARPCHGNCDPTQQEAGGGEAVVTFEDRARHRQSQRRSRHRRVRTEGKESSSASRSRSASQERSLDEAMPTEGEKDHELRGNHGAKEPTIQEERAQDLRRTNSLMVSRGSGLAGGLDEADTPLVLPHPELEVGKHVVLTEQEPEGSSEQALLGNVQLDMGRVISQSEPDLSCITANTDKATTESTSVTVAIPDVDPLVDSTVVHISNKTDGEASPLKEAEIREDEEEVEKKKQKKEKRETGKAMVPHSSMFIFSTTNPIRRACHYIVNLRYFEMCILLVIAASSIALAAEDPVLTNSERNKVLRYFDYVFTGVFTFEMVIKMIDQGLILQDGSYFRDLWNILDFVVVVGALVAFALANALGTNKGRDIKTIKSLRVLRVLRPLKTIKRLPKLKAVFDCVVTSLKNVFNILIVYKLFMFIFAVIAVQLFKGKFFYCTDSSKDTEKECIGNYVDHEKNKMEVKGREWKRHEFHYDNIIWALLTLFTVSTGEGWPQVLQHSVDVTEEDRGPSRSNRMEMSIFYVVYFVVFPFFFVNIFVALIIITFQEQGDKMMEECSLEKNERACIDFAISAKPLTRYMPQNRHTFQYRVWHFVVSPSFEYTIMAMIALNTVVLMMKYYSAPCTYELALKYLNIAFTMVFSLECVLKVIAFGFLNYFRDTWNIFDFITVIGSITEIILTDSKLVNTSGFNMSFLKLFRAARLIKLLRQGYTIRILLWTFVQSFKALPYVCLLIAMLFFIYAIIGMQVFGNIKLDEESHINRHNNFRSFFGSLMLLFRSATGEAWQEIMLSCLGEKGCEPDTTAPSGQNENERCGTDLAYVYFVSFIFFCSFLMLNLFVAVIMDNFEYLTRDSSILGPHHLDEFVRVWAEYDRAACGRIHYTEMYEMLTLMSPPLGLGKRCPSKVAYKRLVLMNMPVAEDMTVHFTSTLMALIRTALDIKIAKGGADRQQLDSELQKETLAIWPHLSQKMLDLLVPMPKASDLTVGKIYAAMMIMDYYKQSKVKKQRQQLEEQKNAPMFQRMEPSSLPQEIIANAKALPYLQQDPVSGLSGRSGYPSMSPLSPQDIFQLACMDPADDGQFQERQSLEPEVSELKSVQPSNHGIYLPSDTQEHAGSGRASSMPRLTVDPQVVTDPSSMRRSFSTIRDKRSNSSWLEEFSMERSSENTYKSRRRSYHSSLRLSAHRLNSDSGHKSDTHRSGGRERGRSKERKHLLSPDVSRCNSEERGTQADWESPERRQSRSPSEGRSQTPNRQGTGSLSESSIPSVSDTSTPRRSRRQLPPVPPKPRPLLSYSSLIRHAGSISPPADGSEEGSPLTSQALESNNACLTESSNSPHPQQSQHASPQRYISEPYLALHEDSHASDCGEEETLTFEAAVATSLGRSNTIGSAPPLRHSWQMPNGHYRRRRRGGPGPGMMCGAVNNLLSDTEEDDKC
+>DECOY_sp|Q15878|CAC1E_HUMAN Voltage-dependent R-type calcium channel subunit alpha-1E OS=Homo sapiens OX=9606 GN=CACNA1E PE=1 SV=3
+CKDDEETDSLLNNVAGCMMGPGPGGRRRRRYHGNPMQWSHRLPPASGITNSRGLSTAVAAEFTLTEEEGCDSAHSDEHLALYPESIYRQPSAHQSQQPHPSNSSETLCANNSELAQSTLPSGEESGDAPPSISGAHRILSSYSLLPRPKPPVPPLQRRSRRPTSTDSVSPISSESLSGTGQRNPTQSRGESPSRSQRREPSEWDAQTGREESNCRSVDPSLLHKREKSRGRERGGSRHTDSKHGSDSNLRHASLRLSSHYSRRRSKYTNESSREMSFEELWSSNSRKDRITSFSRRMSSPDTVVQPDVTLRPMSSARGSGAHEQTDSPLYIGHNSPQVSKLESVEPELSQREQFQGDDAPDMCALQFIDQPSLPSMSPYGSRGSLGSVPDQQLYPLAKANAIIEQPLSSPEMRQFMPANKQEELQQRQKKVKSQKYYDMIMMAAYIKGVTLDSAKPMPVLLDLMKQSLHPWIALTEKQLESDLQQRDAGGKAIKIDLATRILAMLTSTFHVTMDEAVPMNMLVLRKYAVKSPCRKGLGLPPSMLTLMEYMETYHIRGCAARDYEAWVRVFEDLHHPGLISSDRTLYEFNDMIVAVFLNLMLFSCFFIFSVFYVYALDTGCRENENQGSPATTDPECGKEGLCSLMIEQWAEGTASRFLLMLSGFFSRFNNHRNIHSEEDLKINGFVQMGIIAYIFFLMAILLCVYPLAKFSQVFTWLLIRITYGQRLLKILRAARFLKLFSMNFGSTNVLKSDTLIIETISGIVTIFDFINWTDRFYNLFGFAIVKLVCELSFVMTFAINLYKLALEYTCPASYYKMMLVVTNLAIMAMITYEFSPSVVFHWVRYQFTHRNQPMYRTLPKASIAFDICARENKELSCEEMMKDGQEQFTIIILAVFINVFFFPFVVFYVVYFISMEMRNSRSPGRDEETVDVSHQLVQPWGEGTSVTFLTLLAWIINDYHFEHRKWERGKVEMKNKEHDVYNGICEKETDKSSDTCYFFKGKFLQVAIVAFIFMFLKYVILINFVNKLSTVVCDFVAKLKPLRKITKLPRLVRLVRLSKITKIDRGKNTGLANALAFAVLAGVVVVFDLINWLDRFYSGDQLILGQDIMKIVMEFTFVGTFVYDFYRLVKNRESNTLVPDEAALAISSAAIVLLICMEFYRLNVIYHCARRIPNTTSFIFMSSHPVMAKGTERKEKKQKKKEVEEEDERIEAEKLPSAEGDTKNSIHVVTSDVLPDVDPIAVTVSTSETTAKDTNATICSLDPESQSIVRGMDLQVNGLLAQESSGEPEQETLVVHKGVELEPHPLVLPTDAEDLGGALGSGRSVMLSNTRRLDQAREEQITPEKAGHNGRLEHDKEGETPMAEDLSREQSASRSRSASSSEKGETRVRRHRSRRQSQRHRARDEFTVVAEGGGAEQQTPDCNGHCPRALWPPERQGLSLPTRQNDLASSRDGGDGKLSGGRSIREEEFKELALGLALGEIARPRRYLSPHANLPNLSSLPNLPNLPNMTPAEERNLAEQSSMQMHKRLQSTRQEWVSMHHRRRRERLHSSRPEWMSMHHRRRRDREISPMNPASMPSVEKAKQLAHKQNFAEEEEQEDKTLEQANALNDVAIALFVNLLTYNGFLTLVIFYIASWMGSSVGGQSRIGNYMVENWDEGTLIQFVTMIAAPFTDFNASPTGDNFNFRGGFLQMGLLAFVVIFLFLLFLLSIISKMSSMLSVVLNRLSAWYKTIKFIRLLRLARLVSIGFSTGPRFIAWVVEFISGVTVGFDFCNFSSHFYLRPGMGYMKLSMELLFLGLFLFEAYYLLHTLWQPQNHHVIAVCATNLAVLSLVIWYFVQSKVMHRISIRLLREKHRFYSVGDVKASKISARALPTGVSSIDVCHEDSSDRTMAETRSRKITARRLVELASTGANKNEEALMVEEAKDIWARYGNLEREIQQQRRLKMFARRNEVREREKAFEGSLVGLVLNLVFFSGIIILPIFYLWNWTAGLADNTNYLVTTWGEMTICQFVTLVAFLINDFQTIGDNPGIWDKCEYGAPCGQVGCPHPPDFGELIGSNNMFCARHLKGSYFELGIIAFMLIAFFLLLGIQLLPVMAKMISKLVIQLSPIGSVLKLPRLVRVARLTRLDVHTNFHTGATALIGSLVVIFDMVNWGNRLYSGKHFIFGLAVIKIGAEFCFIGIFYPETKELRRSMPTKDDEPLHQELALVICNAIITALIMYEFPPWDILKKAYKRVINDEGFIFLSRNVTFCNQRVPIPNYLAMTRARQAKTQKYAAAQGSAPVPTGQRNRSQDSDGDGSGPRAVVAEGFRAM
+>sp|Q13698|CAC1S_HUMAN Voltage-dependent L-type calcium channel subunit alpha-1S OS=Homo sapiens OX=9606 GN=CACNA1S PE=1 SV=4
+MEPSSPQDEGLRKKQPKKPVPEILPRPPRALFCLTLENPLRKACISIVEWKPFETIILLTIFANCVALAVYLPMPEDDNNSLNLGLEKLEYFFLIVFSIEAAMKIIAYGFLFHQDAYLRSGWNVLDFTIVFLGVFTVILEQVNVIQSHTAPMSSKGAGLDVKALRAFRVLRPLRLVSGVPSLQVVLNSIFKAMLPLFHIALLVLFMVIIYAIIGLELFKGKMHKTCYFIGTDIVATVENEEPSPCARTGSGRRCTINGSECRGGWPGPNHGITHFDNFGFSMLTVYQCITMEGWTDVLYWVNDAIGNEWPWIYFVTLILLGSFFILNLVLGVLSGEFTKEREKAKSRGTFQKLREKQQLDEDLRGYMSWITQGEVMDVEDFREGKLSLDEGGSDTESLYEIAGLNKIIQFIRHWRQWNRIFRWKCHDIVKSKVFYWLVILIVALNTLSIASEHHNQPLWLTRLQDIANRVLLSLFTTEMLMKMYGLGLRQYFMSIFNRFDCFVVCSGILEILLVESGAMTPLGISVLRCIRLLRIFKITKYWTSLSNLVASLLNSIRSIASLLLLLFLFIVIFALLGMQLFGGRYDFEDTEVRRSNFDNFPQALISVFQVLTGEDWTSMMYNGIMAYGGPSYPGMLVCIYFIILFVCGNYILLNVFLAIAVDNLAEAESLTSAQKAKAEEKKRRKMSKGLPDKSEEEKSTMAKKLEQKPKGEGIPTTAKLKIDEFESNVNEVKDPYPSADFPGDDEEDEPEIPLSPRPRPLAELQLKEKAVPIPEASSFFIFSPTNKIRVLCHRIVNATWFTNFILLFILLSSAALAAEDPIRADSMRNQILKHFDIGFTSVFTVEIVLKMTTYGAFLHKGSFCRNYFNMLDLLVVAVSLISMGLESSAISVVKILRVLRVLRPLRAINRAKGLKHVVQCMFVAISTIGNIVLVTTLLQFMFACIGVQLFKGKFFRCTDLSKMTEEECRGYYYVYKDGDPMQIELRHREWVHSDFHFDNVLSAMMSLFTVSTFEGWPQLLYKAIDSNAEDVGPIYNNRVEMAIFFIIYIILIAFFMMNIFVGFVIVTFQEQGETEYKNCELDKNQRQCVQYALKARPLRCYIPKNPYQYQVWYIVTSSYFEYLMFALIMLNTICLGMQHYNQSEQMNHISDILNVAFTIIFTLEMILKLMAFKARGYFGDPWNVFDFLIVIGSIIDVILSEIDTFLASSGGLYCLGGGCGNVDPDESARISSAFFRLFRVMRLIKLLSRAEGVRTLLWTFIKSFQALPYVALLIVMLFFIYAVIGMQMFGKIALVDGTQINRNNNFQTFPQAVLLLFRCATGEAWQEILLACSYGKLCDPESDYAPGEEYTCGTNFAYYYFISFYMLCAFLVINLFVAVIMDNFDYLTRDWSILGPHHLDEFKAIWAEYDPEAKGRIKHLDVVTLLRRIQPPLGFGKFCPHRVACKRLVGMNMPLNSDGTVTFNATLFALVRTALKIKTEGNFEQANEELRAIIKKIWKRTSMKLLDQVIPPIGDDEVTVGKFYATFLIQEHFRKFMKRQEEYYGYRPKKDIVQIQAGLRTIEEEAAPEICRTVSGDLAAEEELERAMVEAAMEEGIFRRTGGLFGQVDNFLERTNSLPPVMANQRPLQFAEIEMEEMESPVFLEDFPQDPRTNPLARANTNNANANVAYGNSNHSNSHVFSSVHYEREFPEETETPATRGRALGQPCRVLGPHSKPCVEMLKGLLTQRAMPRGQAPPAPCQCPRVESSMPEDRKSSTPGSLHEETPHSRSTRENTSRCSAPATALLIQKALVRGGLGTLAADANFIMATGQALADACQMEPEEVEIMATELLKGREAPEGMASSLGCLNLGSSLGSLDQHQGSQETLIPPRL
+>DECOY_sp|Q13698|CAC1S_HUMAN Voltage-dependent L-type calcium channel subunit alpha-1S OS=Homo sapiens OX=9606 GN=CACNA1S PE=1 SV=4
+LRPPILTEQSGQHQDLSGLSSGLNLCGLSSAMGEPAERGKLLETAMIEVEEPEMQCADALAQGTAMIFNADAALTGLGGRVLAKQILLATAPASCRSTNERTSRSHPTEEHLSGPTSSKRDEPMSSEVRPCQCPAPPAQGRPMARQTLLGKLMEVCPKSHPGLVRCPQGLARGRTAPTETEEPFEREYHVSSFVHSNSHNSNGYAVNANANNTNARALPNTRPDQPFDELFVPSEMEEMEIEAFQLPRQNAMVPPLSNTRELFNDVQGFLGGTRRFIGEEMAAEVMARELEEEAALDGSVTRCIEPAAEEEITRLGAQIQVIDKKPRYGYYEEQRKMFKRFHEQILFTAYFKGVTVEDDGIPPIVQDLLKMSTRKWIKKIIARLEENAQEFNGETKIKLATRVLAFLTANFTVTGDSNLPMNMGVLRKCAVRHPCFKGFGLPPQIRRLLTVVDLHKIRGKAEPDYEAWIAKFEDLHHPGLISWDRTLYDFNDMIVAVFLNIVLFACLMYFSIFYYYAFNTGCTYEEGPAYDSEPDCLKGYSCALLIEQWAEGTACRFLLLVAQPFTQFNNNRNIQTGDVLAIKGFMQMGIVAYIFFLMVILLAVYPLAQFSKIFTWLLTRVGEARSLLKILRMVRFLRFFASSIRASEDPDVNGCGGGLCYLGGSSALFTDIESLIVDIISGIVILFDFVNWPDGFYGRAKFAMLKLIMELTFIITFAVNLIDSIHNMQESQNYHQMGLCITNLMILAFMLYEFYSSTVIYWVQYQYPNKPIYCRLPRAKLAYQVCQRQNKDLECNKYETEGQEQFTVIVFGVFINMMFFAILIIYIIFFIAMEVRNNYIPGVDEANSDIAKYLLQPWGEFTSVTFLSMMASLVNDFHFDSHVWERHRLEIQMPDGDKYVYYYGRCEEETMKSLDTCRFFKGKFLQVGICAFMFQLLTTVLVINGITSIAVFMCQVVHKLGKARNIARLPRLVRLVRLIKVVSIASSELGMSILSVAVVLLDLMNFYNRCFSGKHLFAGYTTMKLVIEVTFVSTFGIDFHKLIQNRMSDARIPDEAALAASSLLIFLLIFNTFWTANVIRHCLVRIKNTPSFIFFSSAEPIPVAKEKLQLEALPRPRPSLPIEPEDEEDDGPFDASPYPDKVENVNSEFEDIKLKATTPIGEGKPKQELKKAMTSKEEESKDPLGKSMKRRKKEEAKAKQASTLSEAEALNDVAIALFVNLLIYNGCVFLIIFYICVLMGPYSPGGYAMIGNYMMSTWDEGTLVQFVSILAQPFNDFNSRRVETDEFDYRGGFLQMGLLAFIVIFLFLLLLLSAISRISNLLSAVLNSLSTWYKTIKFIRLLRICRLVSIGLPTMAGSEVLLIELIGSCVVFCDFRNFISMFYQRLGLGYMKMLMETTFLSLLVRNAIDQLRTLWLPQNHHESAISLTNLAVILIVLWYFVKSKVIDHCKWRFIRNWQRWHRIFQIIKNLGAIEYLSETDSGGEDLSLKGERFDEVDMVEGQTIWSMYGRLDEDLQQKERLKQFTGRSKAKEREKTFEGSLVGLVLNLIFFSGLLILTVFYIWPWENGIADNVWYLVDTWGEMTICQYVTLMSFGFNDFHTIGHNPGPWGGRCESGNITCRRGSGTRACPSPEENEVTAVIDTGIFYCTKHMKGKFLELGIIAYIIVMFLVLLAIHFLPLMAKFISNLVVQLSPVGSVLRLPRLVRFARLAKVDLGAGKSSMPATHSQIVNVQELIVTFVGLFVITFDLVNWGSRLYADQHFLFGYAIIKMAAEISFVILFFYELKELGLNLSNNDDEPMPLYVALAVCNAFITLLIITEFPKWEVISICAKRLPNELTLCFLARPPRPLIEPVPKKPQKKRLGEDQPSSPEM
+>sp|O00305|CACB4_HUMAN Voltage-dependent L-type calcium channel subunit beta-4 OS=Homo sapiens OX=9606 GN=CACNB4 PE=1 SV=2
+MSSSSYAKNGTADGPHSPTSQVARGTTTRRSRLKRSDGSTTSTSFILRQGSADSYTSRPSDSDVSLEEDREAIRQEREQQAAIQLERAKSKPVAFAVKTNVSYCGALDEDVPVPSTAISFDAKDFLHIKEKYNNDWWIGRLVKEGCEIGFIPSPLRLENIRIQQEQKRGRFHGGKSSGNSSSSLGEMVSGTFRATPTSTAKQKQKVTEHIPPYDVVPSMRPVVLVGPSLKGYEVTDMMQKALFDFLKHRFDGRISITRVTADISLAKRSVLNNPSKRAIIERSNTRSSLAEVQSEIERIFELARSLQLVVLDADTINHPAQLIKTSLAPIIVHVKVSSPKVLQRLIKSRGKSQSKHLNVQLVAADKLAQCPPEMFDVILDENQLEDACEHLGEYLEAYWRATHTTSSTPMTPLLGRNLGSTALSPYPTAISGLQSQRMRHSNHSTENSPIERRSLMTSDENYHNERARKSRNRLSSSSQHSRDHYPLVEEDYPDSYQDTYKPHRNRGSPGGYSHDSRHRL
+>DECOY_sp|O00305|CACB4_HUMAN Voltage-dependent L-type calcium channel subunit beta-4 OS=Homo sapiens OX=9606 GN=CACNB4 PE=1 SV=2
+LRHRSDHSYGGPSGRNRHPKYTDQYSDPYDEEVLPYHDRSHQSSSSLRNRSKRARENHYNEDSTMLSRREIPSNETSHNSHRMRQSQLGSIATPYPSLATSGLNRGLLPTMPTSSTTHTARWYAELYEGLHECADELQNEDLIVDFMEPPCQALKDAAVLQVNLHKSQSKGRSKILRQLVKPSSVKVHVIIPALSTKILQAPHNITDADLVVLQLSRALEFIREIESQVEALSSRTNSREIIARKSPNNLVSRKALSIDATVRTISIRGDFRHKLFDFLAKQMMDTVEYGKLSPGVLVVPRMSPVVDYPPIHETVKQKQKATSTPTARFTGSVMEGLSSSSNGSSKGGHFRGRKQEQQIRINELRLPSPIFGIECGEKVLRGIWWDNNYKEKIHLFDKADFSIATSPVPVDEDLAGCYSVNTKVAFAVPKSKARELQIAAQQEREQRIAERDEELSVDSDSPRSTYSDASGQRLIFSTSTTSGDSRKLRSRRTTTGRAVQSTPSHPGDATGNKAYSSSSM
+>sp|Q13137|CACO2_HUMAN Calcium-binding and coiled-coil domain-containing protein 2 OS=Homo sapiens OX=9606 GN=CALCOCO2 PE=1 SV=1
+MEETIKDPPTSAVLLDHCHFSQVIFNSVEKFYIPGGDVTCHYTFTQHFIPRRKDWIGIFRVGWKTTREYYTFMWVTLPIDLNNKSAKQQEVQFKAYYLPKDDEYYQFCYVDEDGVVRGASIPFQFRPENEEDILVVTTQGEVEEIEQHNKELCKENQELKDSCISLQKQNSDMQAELQKKQEELETLQSINKKLELKVKEQKDYWETELLQLKEQNQKMSSENEKMGIRVDQLQAQLSTQEKEMEKLVQGDQDKTEQLEQLKKENDHLFLSLTEQRKDQKKLEQTVEQMKQNETTAMKKQQELMDENFDLSKRLSENEIICNALQRQKERLEGENDLLKRENSRLLSYMGLDFNSLPYQVPTSDEGGARQNPGLAYGNPYSGIQESSSPSPLSIKKCPICKADDICDHTLEQQQMQPLCFNCPICDKIFPATEKQIFEDHVFCHSL
+>DECOY_sp|Q13137|CACO2_HUMAN Calcium-binding and coiled-coil domain-containing protein 2 OS=Homo sapiens OX=9606 GN=CALCOCO2 PE=1 SV=1
+LSHCFVHDEFIQKETAPFIKDCIPCNFCLPQMQQQELTHDCIDDAKCIPCKKISLPSPSSSEQIGSYPNGYALGPNQRAGGEDSTPVQYPLSNFDLGMYSLLRSNERKLLDNEGELREKQRQLANCIIENESLRKSLDFNEDMLEQQKKMATTENQKMQEVTQELKKQDKRQETLSLFLHDNEKKLQELQETKDQDGQVLKEMEKEQTSLQAQLQDVRIGMKENESSMKQNQEKLQLLETEWYDKQEKVKLELKKNISQLTELEEQKKQLEAQMDSNQKQLSICSDKLEQNEKCLEKNHQEIEEVEGQTTVVLIDEENEPRFQFPISAGRVVGDEDVYCFQYYEDDKPLYYAKFQVEQQKASKNNLDIPLTVWMFTYYERTTKWGVRFIGIWDKRRPIFHQTFTYHCTVDGGPIYFKEVSNFIVQSFHCHDLLVASTPPDKITEEM
+>sp|P55290|CAD13_HUMAN Cadherin-13 OS=Homo sapiens OX=9606 GN=CDH13 PE=1 SV=1
+MQPRTPLVLCVLLSQVLLLTSAEDLDCTPGFQQKVFHINQPAEFIEDQSILNLTFSDCKGNDKLRYEVSSPYFKVNSDGGLVALRNITAVGKTLFVHARTPHAEDMAELVIVGGKDIQGSLQDIFKFARTSPVPRQKRSIVVSPILIPENQRQPFPRDVGKVVDSDRPERSKFRLTGKGVDQEPKGIFRINENTGSVSVTRTLDREVIAVYQLFVETTDVNGKTLEGPVPLEVIVIDQNDNRPIFREGPYIGHVMEGSPTGTTVMRMTAFDADDPATDNALLRYNIRQQTPDKPSPNMFYIDPEKGDIVTVVSPALLDRETLENPKYELIIEAQDMAGLDVGLTGTATATIMIDDKNDHSPKFTKKEFQATVEEGAVGVIVNLTVEDKDDPTTGAWRAAYTIINGNPGQSFEIHTNPQTNEGMLSVVKPLDYEISAFHTLLIKVENEDPLVPDVSYGPSSTATVHITVLDVNEGPVFYPDPMMVTRQEDLSVGSVLLTVNATDPDSLQHQTIRYSVYKDPAGWLNINPINGTVDTTAVLDRESPFVDNSVYTALFLAIDSGNPPATGTGTLLITLEDVNDNAPFIYPTVAEVCDDAKNLSVVILGASDKDLHPNTDPFKFEIHKQAVPDKVWKISKINNTHALVSLLQNLNKANYNLPIMVTDSGKPPMTNITDLRVQVCSCRNSKVDCNAAGALRFSLPSVLLLSLFSLACL
+>DECOY_sp|P55290|CAD13_HUMAN Cadherin-13 OS=Homo sapiens OX=9606 GN=CDH13 PE=1 SV=1
+LCALSFLSLLLVSPLSFRLAGAANCDVKSNRCSCVQVRLDTINTMPPKGSDTVMIPLNYNAKNLNQLLSVLAHTNNIKSIKWVKDPVAQKHIEFKFPDTNPHLDKDSAGLIVVSLNKADDCVEAVTPYIFPANDNVDELTILLTGTGTAPPNGSDIALFLATYVSNDVFPSERDLVATTDVTGNIPNINLWGAPDKYVSYRITQHQLSDPDTANVTLLVSGVSLDEQRTVMMPDPYFVPGENVDLVTIHVTATSSPGYSVDPVLPDENEVKILLTHFASIEYDLPKVVSLMGENTQPNTHIEFSQGPNGNIITYAARWAGTTPDDKDEVTLNVIVGVAGEEVTAQFEKKTFKPSHDNKDDIMITATATGTLGVDLGAMDQAEIILEYKPNELTERDLLAPSVVTVIDGKEPDIYFMNPSPKDPTQQRINYRLLANDTAPDDADFATMRMVTTGTPSGEMVHGIYPGERFIPRNDNQDIVIVELPVPGELTKGNVDTTEVFLQYVAIVERDLTRTVSVSGTNENIRFIGKPEQDVGKGTLRFKSREPRDSDVVKGVDRPFPQRQNEPILIPSVVISRKQRPVPSTRAFKFIDQLSGQIDKGGVIVLEAMDEAHPTRAHVFLTKGVATINRLAVLGGDSNVKFYPSSVEYRLKDNGKCDSFTLNLISQDEIFEAPQNIHFVKQQFGPTCDLDEASTLLLVQSLLVCLVLPTRPQM
+>sp|P12830|CADH1_HUMAN Cadherin-1 OS=Homo sapiens OX=9606 GN=CDH1 PE=1 SV=3
+MGPWSRSLSALLLLLQVSSWLCQEPEPCHPGFDAESYTFTVPRRHLERGRVLGRVNFEDCTGRQRTAYFSLDTRFKVGTDGVITVKRPLRFHNPQIHFLVYAWDSTYRKFSTKVTLNTVGHHHRPPPHQASVSGIQAELLTFPNSSPGLRRQKRDWVIPPISCPENEKGPFPKNLVQIKSNKDKEGKVFYSITGQGADTPPVGVFIIERETGWLKVTEPLDRERIATYTLFSHAVSSNGNAVEDPMEILITVTDQNDNKPEFTQEVFKGSVMEGALPGTSVMEVTATDADDDVNTYNAAIAYTILSQDPELPDKNMFTINRNTGVISVVTTGLDRESFPTYTLVVQAADLQGEGLSTTATAVITVTDTNDNPPIFNPTTYKGQVPENEANVVITTLKVTDADAPNTPAWEAVYTILNDDGGQFVVTTNPVNNDGILKTAKGLDFEAKQQYILHVAVTNVVPFEVSLTTSTATVTVDVLDVNEAPIFVPPEKRVEVSEDFGVGQEITSYTAQEPDTFMEQKITYRIWRDTANWLEINPDTGAISTRAELDREDFEHVKNSTYTALIIATDNGSPVATGTGTLLLILSDVNDNAPIPEPRTIFFCERNPKPQVINIIDADLPPNTSPFTAELTHGASANWTIQYNDPTQESIILKPKMALEVGDYKINLKLMDNQNKDQVTTLEVSVCDCEGAAGVCRKAQPVEAGLQIPAILGILGGILALLILILLLLLFLRRRAVVKEPLLPPEDDTRDNVYYYDEEGGGEEDQDFDLSQLHRGLDARPEVTRNDVAPTLMSVPRYLPRPANPDEIGNFIDENLKAADTDPTAPPYDSLLVFDYEGSGSEAASLSSLNSSESDKDQDYDYLNEWGNRFKKLADMYGGGEDD
+>DECOY_sp|P12830|CADH1_HUMAN Cadherin-1 OS=Homo sapiens OX=9606 GN=CDH1 PE=1 SV=3
+DDEGGGYMDALKKFRNGWENLYDYDQDKDSESSNLSSLSAAESGSGEYDFVLLSDYPPATPDTDAAKLNEDIFNGIEDPNAPRPLYRPVSMLTPAVDNRTVEPRADLGRHLQSLDFDQDEEGGGEEDYYYVNDRTDDEPPLLPEKVVARRRLFLLLLLILILLALIGGLIGLIAPIQLGAEVPQAKRCVGAAGECDCVSVELTTVQDKNQNDMLKLNIKYDGVELAMKPKLIISEQTPDNYQITWNASAGHTLEATFPSTNPPLDADIINIVQPKPNRECFFITRPEPIPANDNVDSLILLLTGTGTAVPSGNDTAIILATYTSNKVHEFDERDLEARTSIAGTDPNIELWNATDRWIRYTIKQEMFTDPEQATYSTIEQGVGFDESVEVRKEPPVFIPAENVDLVDVTVTATSTTLSVEFPVVNTVAVHLIYQQKAEFDLGKATKLIGDNNVPNTTVVFQGGDDNLITYVAEWAPTNPADADTVKLTTIVVNAENEPVQGKYTTPNFIPPNDNTDTVTIVATATTSLGEGQLDAAQVVLTYTPFSERDLGTTVVSIVGTNRNITFMNKDPLEPDQSLITYAIAANYTNVDDDADTATVEMVSTGPLAGEMVSGKFVEQTFEPKNDNQDTVTILIEMPDEVANGNSSVAHSFLTYTAIRERDLPETVKLWGTEREIIFVGVPPTDAGQGTISYFVKGEKDKNSKIQVLNKPFPGKENEPCSIPPIVWDRKQRRLGPSSNPFTLLEAQIGSVSAQHPPPRHHHGVTNLTVKTSFKRYTSDWAYVLFHIQPNHFRLPRKVTIVGDTGVKFRTDLSFYATRQRGTCDEFNVRGLVRGRELHRRPVTFTYSEADFGPHCPEPEQCLWSSVQLLLLLASLSRSWPGM
+>sp|P55286|CADH8_HUMAN Cadherin-8 OS=Homo sapiens OX=9606 GN=CDH8 PE=2 SV=2
+MPERLAEMLLDLWTPLIILWITLPPCIYMAPMNQSQVLMSGSPLELNSLGEEQRILNRSKRGWVWNQMFVLEEFSGPEPILVGRLHTDLDPGSKKIKYILSGDGAGTIFQINDVTGDIHAIKRLDREEKAEYTLTAQAVDWETSKPLEPPSEFIIKVQDINDNAPEFLNGPYHATVPEMSILGTSVTNVTATDADDPVYGNSAKLVYSILEGQPYFSIEPETAIIKTALPNMDREAKEEYLVVIQAKDMGGHSGGLSGTTTLTVTLTDVNDNPPKFAQSLYHFSVPEDVVLGTAIGRVKANDQDIGENAQSSYDIIDGDGTALFEITSDAQAQDGIIRLRKPLDFETKKSYTLKVEAANVHIDPRFSGRGPFKDTATVKIVVEDADEPPVFSSPTYLLEVHENAALNSVIGQVTARDPDITSSPIRFSIDRHTDLERQFNINADDGKITLATPLDRELSVWHNITIIATEIRNHSQISRVPVAIKVLDVNDNAPEFASEYEAFLCENGKPGQVIQTVSAMDKDDPKNGHYFLYSLLPEMVNNPNFTIKKNEDNSLSILAKHNGFNRQKQEVYLLPIIISDSGNPPLSSTSTLTIRVCGCSNDGVVQSCNVEAYVLPIGLSMGALIAILACIILLLVIVVLFVTLRRHKNEPLIIKDDEDVRENIIRYDDEGGGEEDTEAFDIATLQNPDGINGFLPRKDIKPDLQFMPRQGLAPVPNGVDVDEFINVRLHEADNDPTAPPYDSIQIYGYEGRGSVAGSLSSLESTTSDSDQNFDYLSDWGPRFKRLGELYSVGESDKET
+>DECOY_sp|P55286|CADH8_HUMAN Cadherin-8 OS=Homo sapiens OX=9606 GN=CDH8 PE=2 SV=2
+TEKDSEGVSYLEGLRKFRPGWDSLYDFNQDSDSTTSELSSLSGAVSGRGEYGYIQISDYPPATPDNDAEHLRVNIFEDVDVGNPVPALGQRPMFQLDPKIDKRPLFGNIGDPNQLTAIDFAETDEEGGGEDDYRIINERVDEDDKIILPENKHRRLTVFLVVIVLLLIICALIAILAGMSLGIPLVYAEVNCSQVVGDNSCGCVRITLTSTSSLPPNGSDSIIIPLLYVEQKQRNFGNHKALISLSNDENKKITFNPNNVMEPLLSYLFYHGNKPDDKDMASVTQIVQGPKGNECLFAEYESAFEPANDNVDLVKIAVPVRSIQSHNRIETAIITINHWVSLERDLPTALTIKGDDANINFQRELDTHRDISFRIPSSTIDPDRATVQGIVSNLAANEHVELLYTPSSFVPPEDADEVVIKVTATDKFPGRGSFRPDIHVNAAEVKLTYSKKTEFDLPKRLRIIGDQAQADSTIEFLATGDGDIIDYSSQANEGIDQDNAKVRGIATGLVVDEPVSFHYLSQAFKPPNDNVDTLTVTLTTTGSLGGSHGGMDKAQIVVLYEEKAERDMNPLATKIIATEPEISFYPQGELISYVLKASNGYVPDDADTATVNTVSTGLISMEPVTAHYPGNLFEPANDNIDQVKIIFESPPELPKSTEWDVAQATLTYEAKEERDLRKIAHIDGTVDNIQFITGAGDGSLIYKIKKSGPDLDTHLRGVLIPEPGSFEELVFMQNWVWGRKSRNLIRQEEGLSNLELPSGSMLVQSQNMPAMYICPPLTIWLIILPTWLDLLMEALREPM
+>sp|Q9BY67|CADM1_HUMAN Cell adhesion molecule 1 OS=Homo sapiens OX=9606 GN=CADM1 PE=1 SV=2
+MASVVLPSGSQCAAAAAAAAPPGLRLRLLLLLFSAAALIPTGDGQNLFTKDVTVIEGEVATISCQVNKSDDSVIQLLNPNRQTIYFRDFRPLKDSRFQLLNFSSSELKVSLTNVSISDEGRYFCQLYTDPPQESYTTITVLVPPRNLMIDIQKDTAVEGEEIEVNCTAMASKPATTIRWFKGNTELKGKSEVEEWSDMYTVTSQLMLKVHKEDDGVPVICQVEHPAVTGNLQTQRYLEVQYKPQVHIQMTYPLQGLTREGDALELTCEAIGKPQPVMVTWVRVDDEMPQHAVLSGPNLFINNLNKTDNGTYRCEASNIVGKAHSDYMLYVYDPPTTIPPPTTTTTTTTTTTTTILTIITDSRAGEEGSIRAVDHAVIGGVVAVVVFAMLCLLIILGRYFARHKGTYFTHEAKGADDAADADTAIINAEGGQNNSEEKKEYFI
+>DECOY_sp|Q9BY67|CADM1_HUMAN Cell adhesion molecule 1 OS=Homo sapiens OX=9606 GN=CADM1 PE=1 SV=2
+IFYEKKEESNNQGGEANIIATDADAADDAGKAEHTFYTGKHRAFYRGLIILLCLMAFVVVAVVGGIVAHDVARISGEEGARSDTIITLITTTTTTTTTTTTTPPPITTPPDYVYLMYDSHAKGVINSAECRYTGNDTKNLNNIFLNPGSLVAHQPMEDDVRVWTVMVPQPKGIAECTLELADGERTLGQLPYTMQIHVQPKYQVELYRQTQLNGTVAPHEVQCIVPVGDDEKHVKLMLQSTVTYMDSWEEVESKGKLETNGKFWRITTAPKSAMATCNVEIEEGEVATDKQIDIMLNRPPVLVTITTYSEQPPDTYLQCFYRGEDSISVNTLSVKLESSSFNLLQFRSDKLPRFDRFYITQRNPNLLQIVSDDSKNVQCSITAVEGEIVTVDKTFLNQGDGTPILAAASFLLLLLRLRLGPPAAAAAAAACQSGSPLVVSAM
+>sp|Q8NFZ8|CADM4_HUMAN Cell adhesion molecule 4 OS=Homo sapiens OX=9606 GN=CADM4 PE=1 SV=1
+MGRARRFQWPLLLLWAAAAGPGAGQEVQTENVTVAEGGVAEITCRLHQYDGSIVVIQNPARQTLFFNGTRALKDERFQLEEFSPRRVRIRLSDARLEDEGGYFCQLYTEDTHHQIATLTVLVAPENPVVEVREQAVEGGEVELSCLVPRSRPAATLRWYRDRKELKGVSSSQENGKVWSVASTVRFRVDRKDDGGIIICEAQNQALPSGHSKQTQYVLDVQYSPTARIHASQAVVREGDTLVLTCAVTGNPRPNQIRWNRGNESLPERAEAVGETLTLPGLVSADNGTYTCEASNKHGHARALYVLVVYDPGAVVEAQTSVPYAIVGGILALLVFLIICVLVGMVWCSVRQKGSYLTHEASGLDEQGEAREAFLNGSDGHKRKEEFFI
+>DECOY_sp|Q8NFZ8|CADM4_HUMAN Cell adhesion molecule 4 OS=Homo sapiens OX=9606 GN=CADM4 PE=1 SV=1
+IFFEEKRKHGDSGNLFAERAEGQEDLGSAEHTLYSGKQRVSCWVMGVLVCIILFVLLALIGGVIAYPVSTQAEVVAGPDYVVLVYLARAHGHKNSAECTYTGNDASVLGPLTLTEGVAEAREPLSENGRNWRIQNPRPNGTVACTLVLTDGERVVAQSAHIRATPSYQVDLVYQTQKSHGSPLAQNQAECIIIGGDDKRDVRFRVTSAVSWVKGNEQSSSVGKLEKRDRYWRLTAAPRSRPVLCSLEVEGGEVAQERVEVVPNEPAVLVTLTAIQHHTDETYLQCFYGGEDELRADSLRIRVRRPSFEELQFREDKLARTGNFFLTQRAPNQIVVISGDYQHLRCTIEAVGGEAVTVNETQVEQGAGPGAAAAWLLLLPWQFRRARGM
+>sp|Q8WZ19|BACD1_HUMAN BTB/POZ domain-containing adapter for CUL3-mediated RhoA degradation protein 1 OS=Homo sapiens OX=9606 GN=KCTD13 PE=1 SV=1
+MSAEASGPAAAAAPSLEAPKPSGLEPGPAAYGLKPLTPNSKYVKLNVGGSLHYTTLRTLTGQDTMLKAMFSGRVEVLTDAGGWVLIDRSGRHFGTILNYLRDGSVPLPESTRELGELLGEARYYLVQGLIEDCQLALQQKRETLSPLCLIPMVTSPREEQQLLASTSKPVVKLLHNRSNNKYSYTSTSDDNLLKNIELFDKLALRFHGRLLFLKDVLGDEICCWSFYGQGRKIAEVCCTSIVYATEKKQTKVEFPEARIFEETLNILIYETPRGPDPALLEATGGAAGAGGAGRGEDEENREHRVRRIHVRRHITHDERPHGQQIVFKD
+>DECOY_sp|Q8WZ19|BACD1_HUMAN BTB/POZ domain-containing adapter for CUL3-mediated RhoA degradation protein 1 OS=Homo sapiens OX=9606 GN=KCTD13 PE=1 SV=1
+DKFVIQQGHPREDHTIHRRVHIRRVRHERNEEDEGRGAGGAGAAGGTAELLAPDPGRPTEYILINLTEEFIRAEPFEVKTQKKETAYVISTCCVEAIKRGQGYFSWCCIEDGLVDKLFLLRGHFRLALKDFLEINKLLNDDSTSTYSYKNNSRNHLLKVVPKSTSALLQQEERPSTVMPILCLPSLTERKQQLALQCDEILGQVLYYRAEGLLEGLERTSEPLPVSGDRLYNLITGFHRGSRDILVWGGADTLVEVRGSFMAKLMTDQGTLTRLTTYHLSGGVNLKVYKSNPTLPKLGYAAPGPELGSPKPAELSPAAAAAPGSAEASM
+>sp|Q13829|BACD2_HUMAN BTB/POZ domain-containing adapter for CUL3-mediated RhoA degradation protein 2 OS=Homo sapiens OX=9606 GN=TNFAIP1 PE=1 SV=1
+MSGDTCLCPASGAKPKLSGFKGGGLGNKYVQLNVGGSLYYTTVRALTRHDTMLKAMFSGRMEVLTDKEGWILIDRCGKHFGTILNYLRDDTITLPQNRQEIKELMAEAKYYLIQGLVNMCQSALQDKKDSYQPVCNIPIITSLKEEERLIESSTKPVVKLLYNRSNNKYSYTSNSDDHLLKNIELFDKLSLRFNGRVLFIKDVIGDEICCWSFYGQGRKLAEVCCTSIVYATEKKQTKVEFPEARIYEETLNVLLYETPRVPDNSLLEATSRSRSQASPSEDEETFELRDRVRRIHVKRYSTYDDRQLGHQSTHRD
+>DECOY_sp|Q13829|BACD2_HUMAN BTB/POZ domain-containing adapter for CUL3-mediated RhoA degradation protein 2 OS=Homo sapiens OX=9606 GN=TNFAIP1 PE=1 SV=1
+DRHTSQHGLQRDDYTSYRKVHIRRVRDRLEFTEEDESPSAQSRSRSTAELLSNDPVRPTEYLLVNLTEEYIRAEPFEVKTQKKETAYVISTCCVEALKRGQGYFSWCCIEDGIVDKIFLVRGNFRLSLKDFLEINKLLHDDSNSTYSYKNNSRNYLLKVVPKTSSEILREEEKLSTIIPINCVPQYSDKKDQLASQCMNVLGQILYYKAEAMLEKIEQRNQPLTITDDRLYNLITGFHKGCRDILIWGEKDTLVEMRGSFMAKLMTDHRTLARVTTYYLSGGVNLQVYKNGLGGGKFGSLKPKAGSAPCLCTDGSM
+>sp|Q9Y5Z0|BACE2_HUMAN Beta-secretase 2 OS=Homo sapiens OX=9606 GN=BACE2 PE=1 SV=1
+MGALARALLLPLLAQWLLRAAPELAPAPFTLPLRVAAATNRVVAPTPGPGTPAERHADGLALALEPALASPAGAANFLAMVDNLQGDSGRGYYLEMLIGTPPQKLQILVDTGSSNFAVAGTPHSYIDTYFDTERSSTYRSKGFDVTVKYTQGSWTGFVGEDLVTIPKGFNTSFLVNIATIFESENFFLPGIKWNGILGLAYATLAKPSSSLETFFDSLVTQANIPNVFSMQMCGAGLPVAGSGTNGGSLVLGGIEPSLYKGDIWYTPIKEEWYYQIEILKLEIGGQSLNLDCREYNADKAIVDSGTTLLRLPQKVFDAVVEAVARASLIPEFSDGFWTGSQLACWTNSETPWSYFPKISIYLRDENSSRSFRITILPQLYIQPMMGAGLNYECYRFGISPSTNALVIGATVMEGFYVIFDRAQKRVGFAASPCAEIAGAAVSEISGPFSTEDVASNCVPAQSLSEPILWIVSYALMSVCGAILLVLIVLLLLPFRCQRRPRDPEVVNDESSLVRHRWK
+>DECOY_sp|Q9Y5Z0|BACE2_HUMAN Beta-secretase 2 OS=Homo sapiens OX=9606 GN=BACE2 PE=1 SV=1
+KWRHRVLSSEDNVVEPDRPRRQCRFPLLLLVILVLLIAGCVSMLAYSVIWLIPESLSQAPVCNSAVDETSFPGSIESVAAGAIEACPSAAFGVRKQARDFIVYFGEMVTAGIVLANTSPSIGFRYCEYNLGAGMMPQIYLQPLITIRFSRSSNEDRLYISIKPFYSWPTESNTWCALQSGTWFGDSFEPILSARAVAEVVADFVKQPLRLLTTGSDVIAKDANYERCDLNLSQGGIELKLIEIQYYWEEKIPTYWIDGKYLSPEIGGLVLSGGNTGSGAVPLGAGCMQMSFVNPINAQTVLSDFFTELSSSPKALTAYALGLIGNWKIGPLFFNESEFITAINVLFSTNFGKPITVLDEGVFGTWSGQTYKVTVDFGKSRYTSSRETDFYTDIYSHPTGAVAFNSSGTDVLIQLKQPPTGILMELYYGRGSDGQLNDVMALFNAAGAPSALAPELALALGDAHREAPTGPGPTPAVVRNTAAAVRLPLTFPAPALEPAARLLWQALLPLLLARALAGM
+>sp|O14867|BACH1_HUMAN Transcription regulator protein BACH1 OS=Homo sapiens OX=9606 GN=BACH1 PE=1 SV=2
+MSLSENSVFAYESSVHSTNVLLSLNDQRKKDVLCDVTIFVEGQRFRAHRSVLAACSSYFHSRIVGQADGELNITLPEEVTVKGFEPLIQFAYTAKLILSKENVDEVCKCVEFLSVHNIEESCFQFLKFKFLDSTADQQECPRKKCFSSHCQKTDLKLSLLDQRDLETDEVEEFLENKNVQTPQCKLRRYQGNAKASPPLQDSASQTYESMCLEKDAALALPSLCPKYRKFQKAFGTDRVRTGESSVKDIHASVQPNERSENECLGGVPECRDLQVMLKCDESKLAMEPEETKKDPASQCPTEKSEVTPFPHNSSIDPHGLYSLSLLHTYDQYGDLNFAGMQNTTVLTEKPLSGTDVQEKTFGESQDLPLKSDLGTREDSSVASSDRSSVEREVAEHLAKGFWSDICSTDTPCQMQLSPAVAKDGSEQISQKRSECPWLGIRISESPEPGQRTFTTLSSVNCPFISTLSTEGCSSNLEIGNDDYVSEPQQEPCPYACVISLGDDSETDTEGDSESCSAREQECEVKLPFNAQRIISLSRNDFQSLLKMHKLTPEQLDCIHDIRRRSKNRIAAQRCRKRKLDCIQNLESEIEKLQSEKESLLKERDHILSTLGETKQNLTGLCQKVCKEAALSQEQIQILAKYSAADCPLSFLISEKDKSTPDGELALPSIFSLSDRPPAVLPPCARGNSEPGYARGQESQQMSTATSEQAGPAEQCRQSGGISDFCQQMTDKCTTDE
+>DECOY_sp|O14867|BACH1_HUMAN Transcription regulator protein BACH1 OS=Homo sapiens OX=9606 GN=BACH1 PE=1 SV=2
+EDTTCKDTMQQCFDSIGGSQRCQEAPGAQESTATSMQQSEQGRAYGPESNGRACPPLVAPPRDSLSFISPLALEGDPTSKDKESILFSLPCDAASYKALIQIQEQSLAAEKCVKQCLGTLNQKTEGLTSLIHDREKLLSEKESQLKEIESELNQICDLKRKRCRQAAIRNKSRRRIDHICDLQEPTLKHMKLLSQFDNRSLSIIRQANFPLKVECEQERASCSESDGETDTESDDGLSIVCAYPCPEQQPESVYDDNGIELNSSCGETSLTSIFPCNVSSLTTFTRQGPEPSESIRIGLWPCESRKQSIQESGDKAVAPSLQMQCPTDTSCIDSWFGKALHEAVEREVSSRDSSAVSSDERTGLDSKLPLDQSEGFTKEQVDTGSLPKETLVTTNQMGAFNLDGYQDYTHLLSLSYLGHPDISSNHPFPTVESKETPCQSAPDKKTEEPEMALKSEDCKLMVQLDRCEPVGGLCENESRENPQVSAHIDKVSSEGTRVRDTGFAKQFKRYKPCLSPLALAADKELCMSEYTQSASDQLPPSAKANGQYRRLKCQPTQVNKNELFEEVEDTELDRQDLLSLKLDTKQCHSSFCKKRPCEQQDATSDLFKFKLFQFCSEEINHVSLFEVCKCVEDVNEKSLILKATYAFQILPEFGKVTVEEPLTINLEGDAQGVIRSHFYSSCAALVSRHARFRQGEVFITVDCLVDKKRQDNLSLLVNTSHVSSEYAFVSNESLSM
+>sp|Q86Y29|BAGE3_HUMAN B melanoma antigen 3 OS=Homo sapiens OX=9606 GN=BAGE3 PE=2 SV=1
+MAAGVVFLALSAQLLQARLMKEESPVVSWRLEPEDGTALDVHFVSTLEPLSNAVKRNVPRCIIILVLQEPTPFRISVTSSCFVQNTLTKLLKDRRKMQTVQCATAQETS
+>DECOY_sp|Q86Y29|BAGE3_HUMAN B melanoma antigen 3 OS=Homo sapiens OX=9606 GN=BAGE3 PE=2 SV=1
+STEQATACQVTQMKRRDKLLKTLTNQVFCSSTVSIRFPTPEQLVLIIICRPVNRKVANSLPELTSVFHVDLATGDEPELRWSVVPSEEKMLRAQLLQASLALFVVGAAM
+>sp|Q9P281|BAHC1_HUMAN BAH and coiled-coil domain-containing protein 1 OS=Homo sapiens OX=9606 GN=BAHCC1 PE=1 SV=4
+MDGRDFAPPPHLLSERGSLGHRSAAAAARLAPAGPAAQPPAHFQPGKYFPSPLPMASHTASSRLMGSSPASSFMGSFLTSSLGSAASTHPSGPSSSPPEQAYRGSHPTTSQIWFSHSHEAPGYPRFSGSLASTFLPVSHLDHHGNSNVLYGQHRFYGTQKDNFYLRNLPPQPTLLPANHNFPSVARAAPAHPMGSCSRDRDRGEAGSLQKGPKDFDRFLVGKELGREKAGKAAEGKERPAAEEDGGKERHKLVLPVPADGHCREGGPAPRGACEGRPKHLTSCLLNTKVLNGEMGRAALASCAGGMLGRPGTGVVTSGRCAKEAAGPPEPGPAFSECLERRQMLHHTASYAGPPPPLSTAAGSFPCLQLHGGPDGLCPLQDKAPRDLKASGPTFVPSVGHLADKGRPFQAAEACAVAGEGKDRHLEGTMAPDHAAPYGVSYAHLKAEGKGERRPGGFEAALNPRLKGLDYLSSAGPEASFPGLPKSGLDKSGYFELPTSSQDCARPGHQDPLGGKAPQACCTLDKTVGKEAPAGPPGAQKVARIRHQQHLMAAEVEQGGIGAEAKRKSLELASLGYSGPHLPPWGVQAGQGTAMAISEERKAGAYLDPFGSGLQQAALLPQELPAPPDEVSAMKNLLKYSSQALVVGQKAPLVGLGGLKASCIQQEAKFLSSKGPGQSERPDCARSREHDTTHGDGEVRQPPVGIAVALARQKDTVSRSEAAYGTNTARQGRAAPAFKGGGGPRSTHALDLEAEEERTRLCDDRLGLASRELLLQDSKDRVEFARIHPPSSCPGDLAPHLMMQSGQLGGDPAPHTHPHPPWLPRTRSPSLWMGGHSYGLGHPALHQNLPPGFPASVAGPVPSVFPLPQDAPTQLVILPSEPTPHSAPHALADVMDQASLWPPMYGGRGPASHMQHPGQLPVYSRPQLLRQQELYALQQQRAAQFQRKPEDQHLDLEEPAQEKAPKSTHKPVALTPTAPGAPSPAAGPTKLPPCCHPPDPKPPASSPTPPPRPSAPCTLNVCPASSPGPGSRVRSAEEKNGEGQQSTADIITSEPVARAHSVAHAGLEFLASNDPSTSASQSFGITDLPPGYLRPMAGLGFSLPSDVHSSNLEDPETMQTTAPGAQPEPTRTFLPGEPPPCSPRSLEEPGLLSGAREATQDLAATPYPTERGPQGKAADPSPLEGLQELQCAALLEAGGPEATGQAHSTQGGAREERSREEGEQGPSSGASSQVLEQRAGSPGALEDEGEQPAPEEDELEEDELGQQSMEDSEEDCGGAPDNSHPPRALPGLDALVAATINLGDLPSDSPPDPQPPAASGPPSTVPLPHSSGIHGIALLSELADLAIQRQRSERTVPEEEEDVLAFNLQHLATLATAWSLVEAAGLDSSTAPAQPPTANPCSGPRLTPRMQILQRKDTWTPKTKPVCPLKAAIDRLDTQEVGMRVRLAELQRRYKEKQRELARLQRKHDHERDESSRSPARRGPGRPRKRKHSSSLPAPRPTGPLPRSDGKKVKAVRTSLGLLCAELRGGSGGEPAKKRSKLERSVYAGLQTASVEKAQCKKSSCQGGLAPSVAHRVAQLKPKVKSKGLPTGLSSFQQKEATPGGRIREKLSRAKSAKVSGATRHPQPKGHGSRETPRCPAQPSVAASQEAGSGYDSEDCEGLLGTEAPPREAGLLLHTGASVAVLGPSPSSVVKMEANQKAKKKKERQGLLGACRLSSPESEVKIKRRSVKAKVGTTLERAPGQRPPGALGKKKAKGKAKGSLRAEPGATPSRDALFNPSRAFACREEGSQLASERLKRATRKGTVLQPVLRRKNGALSITLATRNAKAILGKGRKLSKVKHKAGKQGKGRAVSRLLESFAVEEDFEFDDNSSFSEEEEDEEEEEEDSGPLSAEQSAALARSCAIHKEDLRDGLPVLIPKEDSLLYAGSVRTLQPPDIYSIVIEGERGNRQRIYSLEQLLQEAVLDVRPQSSRYLPPGTRVCAYWSQKSRCLYPGNVVRGASGDEDEDLDSVVVEFDDGDTGHIAVSNVRLLPPDFKIQCTEPSPALLVSSSCRRTKKVSSEAPPPSEAATPSLSPKAQDGPEALKTPGKKSISKDKAGKAELLTSGAKSPTGASDHFLGRRGSPLLSWSAVAQTKRKAVAAASKGPGVLQNLFQLNGSSKKLRAREALFPVHSVATPIFGNGFRADSFSSLASSYAPFVGGTGPGLPRGAHKLLRAKKAERVEAEKGGRRRAGGEFLVKLDHEGVTSPKNKTCKALLMGDKDFSPKLGRPLPSPSYVHPALVGKDKKGRAPIPPLPMGLALRKYAGQAEFPLPYDSDCHSSFSDEDEDGPGLAAGVPSRFLARLSVSSSSSGSSTSSSSGSVSTSSLCSSDNEDSSYSSDDEDPALLLQTCLTHPVPTLLAQPEALRSKGSGPHAHAQRCFLSRATVAGTGAGSGPSSSSKSKLKRKEALSFSKAKELSRRQRPPSVENRPKISAFLPARQLWKWSGNPTQRRGMKGKARKLFYKAIVRGEETLRVGDCAVFLSAGRPNLPYIGRIESMWESWGSNMVVKVKWFYHPEETKLGKRQCDGKNALYQSCHEDENDVQTISHKCQVVAREQYEQMARSRKCQDRQDLYYLAGTYDPTTGRLVTADGVPILC
+>DECOY_sp|Q9P281|BAHC1_HUMAN BAH and coiled-coil domain-containing protein 1 OS=Homo sapiens OX=9606 GN=BAHCC1 PE=1 SV=4
+CLIPVGDATVLRGTTPDYTGALYYLDQRDQCKRSRAMQEYQERAVVQCKHSITQVDNEDEHCSQYLANKGDCQRKGLKTEEPHYFWKVKVVMNSGWSEWMSEIRGIYPLNPRGASLFVACDGVRLTEEGRVIAKYFLKRAKGKMGRRQTPNGSWKWLQRAPLFASIKPRNEVSPPRQRRSLEKAKSFSLAEKRKLKSKSSSSPGSGAGTGAVTARSLFCRQAHAHPGSGKSRLAEPQALLTPVPHTLCTQLLLAPDEDDSSYSSDENDSSCLSSTSVSGSSSSTSSGSSSSSVSLRALFRSPVGAALGPGDEDEDSFSSHCDSDYPLPFEAQGAYKRLALGMPLPPIPARGKKDKGVLAPHVYSPSPLPRGLKPSFDKDGMLLAKCTKNKPSTVGEHDLKVLFEGGARRRGGKEAEVREAKKARLLKHAGRPLGPGTGGVFPAYSSALSSFSDARFGNGFIPTAVSHVPFLAERARLKKSSGNLQFLNQLVGPGKSAAAVAKRKTQAVASWSLLPSGRRGLFHDSAGTPSKAGSTLLEAKGAKDKSISKKGPTKLAEPGDQAKPSLSPTAAESPPPAESSVKKTRRCSSSVLLAPSPETCQIKFDPPLLRVNSVAIHGTDGDDFEVVVSDLDEDEDGSAGRVVNGPYLCRSKQSWYACVRTGPPLYRSSQPRVDLVAEQLLQELSYIRQRNGREGEIVISYIDPPQLTRVSGAYLLSDEKPILVPLGDRLDEKHIACSRALAASQEASLPGSDEEEEEEDEEEESFSSNDDFEFDEEVAFSELLRSVARGKGQKGAKHKVKSLKRGKGLIAKANRTALTISLAGNKRRLVPQLVTGKRTARKLRESALQSGEERCAFARSPNFLADRSPTAGPEARLSGKAKGKAKKKGLAGPPRQGPARELTTGVKAKVSRRKIKVESEPSSLRCAGLLGQREKKKKAKQNAEMKVVSSPSPGLVAVSAGTHLLLGAERPPAETGLLGECDESDYGSGAEQSAAVSPQAPCRPTERSGHGKPQPHRTAGSVKASKARSLKERIRGGPTAEKQQFSSLGTPLGKSKVKPKLQAVRHAVSPALGGQCSSKKCQAKEVSATQLGAYVSRELKSRKKAPEGGSGGRLEACLLGLSTRVAKVKKGDSRPLPGTPRPAPLSSSHKRKRPRGPGRRAPSRSSEDREHDHKRQLRALERQKEKYRRQLEALRVRMGVEQTDLRDIAAKLPCVPKTKPTWTDKRQLIQMRPTLRPGSCPNATPPQAPATSSDLGAAEVLSWATALTALHQLNFALVDEEEEPVTRESRQRQIALDALESLLAIGHIGSSHPLPVTSPPGSAAPPQPDPPSDSPLDGLNITAAVLADLGPLARPPHSNDPAGGCDEESDEMSQQGLEDEELEDEEPAPQEGEDELAGPSGARQELVQSSAGSSPGQEGEERSREERAGGQTSHAQGTAEPGGAELLAACQLEQLGELPSPDAAKGQPGRETPYPTAALDQTAERAGSLLGPEELSRPSCPPPEGPLFTRTPEPQAGPATTQMTEPDELNSSHVDSPLSFGLGAMPRLYGPPLDTIGFSQSASTSPDNSALFELGAHAVSHARAVPESTIIDATSQQGEGNKEEASRVRSGPGPSSAPCVNLTCPASPRPPPTPSSAPPKPDPPHCCPPLKTPGAAPSPAGPATPTLAVPKHTSKPAKEQAPEELDLHQDEPKRQFQAARQQQLAYLEQQRLLQPRSYVPLQGPHQMHSAPGRGGYMPPWLSAQDMVDALAHPASHPTPESPLIVLQTPADQPLPFVSPVPGAVSAPFGPPLNQHLAPHGLGYSHGGMWLSPSRTRPLWPPHPHTHPAPDGGLQGSQMMLHPALDGPCSSPPHIRAFEVRDKSDQLLLERSALGLRDDCLRTREEEAELDLAHTSRPGGGGKFAPAARGQRATNTGYAAESRSVTDKQRALAVAIGVPPQRVEGDGHTTDHERSRACDPRESQGPGKSSLFKAEQQICSAKLGGLGVLPAKQGVVLAQSSYKLLNKMASVEDPPAPLEQPLLAAQQLGSGFPDLYAGAKREESIAMATGQGAQVGWPPLHPGSYGLSALELSKRKAEAGIGGQEVEAAMLHQQHRIRAVKQAGPPGAPAEKGVTKDLTCCAQPAKGGLPDQHGPRACDQSSTPLEFYGSKDLGSKPLGPFSAEPGASSLYDLGKLRPNLAAEFGGPRREGKGEAKLHAYSVGYPAAHDPAMTGELHRDKGEGAVACAEAAQFPRGKDALHGVSPVFTPGSAKLDRPAKDQLPCLGDPGGHLQLCPFSGAATSLPPPPGAYSATHHLMQRRELCESFAPGPEPPGAAEKACRGSTVVGTGPRGLMGGACSALAARGMEGNLVKTNLLCSTLHKPRGECAGRPAPGGERCHGDAPVPLVLKHREKGGDEEAAPREKGEAAKGAKERGLEKGVLFRDFDKPGKQLSGAEGRDRDRSCSGMPHAPAARAVSPFNHNAPLLTPQPPLNRLYFNDKQTGYFRHQGYLVNSNGHHDLHSVPLFTSALSGSFRPYGPAEHSHSFWIQSTTPHSGRYAQEPPSSSPGSPHTSAASGLSSTLFSGMFSSAPSSGMLRSSATHSAMPLPSPFYKGPQFHAPPQAAPGAPALRAAAAASRHGLSGRESLLHPPPAFDRGDM
+>sp|O94812|BAIP3_HUMAN BAI1-associated protein 3 OS=Homo sapiens OX=9606 GN=BAIAP3 PE=1 SV=2
+MRPRGAAFAAGPPGDLHLGTAIGFAGAIWRSRSPAMSTLLDIKSSVLRQVQVCPSFRRRTEQDPGSASADPQEPATGAWKPGDGVEFFAHMRLMLKKGEGRQGLPCLEVPLRSGSPAPPEPVDPSLGLRALAPEEVEMLYEEALYTVLYRAGTMGPDQVDDEEALLSYLQQVFGTSLEEHTEAIERVRKAKAPTYALKVSVMRAKNLLAKDPNGFSDPYCMLGILPASDATREPRAQKEQRFGFRKGSKRGGPLPAKCIQVTEVKSSTLNPVWKEHFLFEIEDVSTDQLHLDIWDHDDDVSLVEACRKLNEVIGLKGMGRYFKQIVKSARANGTAGPTEDHTDDFLGCLNIPVREVPVAGVDRWFKLEPRSSASRVQGHCHLVLKLITTQRDTAMSQRGRSGFLSHLLLLSHLLRLEHSAEEPNSSSWRGELSTPAATILCLHGAQSNLSPLQLAVLHWQVSSRHHQTCTLDYSYLLGLLEDMQAHWEEAPSLPQEQEESLADSLSAFSEFGLQLLRQLRDYFPATNSTAVHRLELLLKCLGKLQLFQPSFEICPFESELNMDIAAALKRGNREWYDRILNDKSPREQPGPQRLPGLVVLADAVYDDLQFCYSVYASLFHSILNVDVFTLTFRQLERLVAEEAWVLTEELSPKMTLEVASGLFELYLTLADLQRFWDSIPGRDSRSLALAGIHAPFLPAVKLWFQVLRDQAKWRLQGAVDMDTLEPVDASSRHSSSAATAGLCLSHIQELWVRLAWPDPAQAQGLGTQLGQDVCEATLFYTELLRKKVDTQPGAAGEAVSEALCVVLNNVELVRKAAGQALKGLAWPEGATGPEGVLPRPLLSCTQALDDDLQREAHTVTAHLTSKMVGDIRKYVQHISLSPDSIQNDEAVAPLMKYLDEKLALLNASLVKGNLSRVLEALWELLLQAILQALGANRDVSADFYSRFHFTLEALVSFFHAEGQGLPLESLRDGSYKRLKEELRLHKCSTRECIEQFYLDKLKQRTLEQNRFGRLSVRCHYEAAEQRLAVEVLHAADLLPLDANGLSDPFVIVELGPPHLFPLVRSQRTQVKTRTLHPVYDELFYFSVPAEACRRRAACVLFTVMDHDWLSTNDFAGEAALGLGGVTGVARPQVGGGARAGQPVTLHLCRPRAQVRSALRRLEGRTSKEAQEFVKKLKELEKCMEADP
+>DECOY_sp|O94812|BAIP3_HUMAN BAI1-associated protein 3 OS=Homo sapiens OX=9606 GN=BAIAP3 PE=1 SV=2
+PDAEMCKELEKLKKVFEQAEKSTRGELRRLASRVQARPRCLHLTVPQGARAGGGVQPRAVGTVGGLGLAAEGAFDNTSLWDHDMVTFLVCAARRRCAEAPVSFYFLEDYVPHLTRTKVQTRQSRVLPFLHPPGLEVIVFPDSLGNADLPLLDAAHLVEVALRQEAAEYHCRVSLRGFRNQELTRQKLKDLYFQEICERTSCKHLRLEEKLRKYSGDRLSELPLGQGEAHFFSVLAELTFHFRSYFDASVDRNAGLAQLIAQLLLEWLAELVRSLNGKVLSANLLALKEDLYKMLPAVAEDNQISDPSLSIHQVYKRIDGVMKSTLHATVTHAERQLDDDLAQTCSLLPRPLVGEPGTAGEPWALGKLAQGAAKRVLEVNNLVVCLAESVAEGAAGPQTDVKKRLLETYFLTAECVDQGLQTGLGQAQAPDPWALRVWLEQIHSLCLGATAASSSHRSSADVPELTDMDVAGQLRWKAQDRLVQFWLKVAPLFPAHIGALALSRSDRGPISDWFRQLDALTLYLEFLGSAVELTMKPSLEETLVWAEEAVLRELQRFTLTFVDVNLISHFLSAYVSYCFQLDDYVADALVVLGPLRQPGPQERPSKDNLIRDYWERNGRKLAAAIDMNLESEFPCIEFSPQFLQLKGLCKLLLELRHVATSNTAPFYDRLQRLLQLGFESFASLSDALSEEQEQPLSPAEEWHAQMDELLGLLYSYDLTCTQHHRSSVQWHLVALQLPSLNSQAGHLCLITAAPTSLEGRWSSSNPEEASHELRLLHSLLLLHSLFGSRGRQSMATDRQTTILKLVLHCHGQVRSASSRPELKFWRDVGAVPVERVPINLCGLFDDTHDETPGATGNARASKVIQKFYRGMGKLGIVENLKRCAEVLSVDDDHDWIDLHLQDTSVDEIEFLFHEKWVPNLTSSKVETVQICKAPLPGGRKSGKRFGFRQEKQARPERTADSAPLIGLMCYPDSFGNPDKALLNKARMVSVKLAYTPAKAKRVREIAETHEELSTGFVQQLYSLLAEEDDVQDPGMTGARYLVTYLAEEYLMEVEEPALARLGLSPDVPEPPAPSGSRLPVELCPLGQRGEGKKLMLRMHAFFEVGDGPKWAGTAPEQPDASASGPDQETRRRFSPCVQVQRLVSSKIDLLTSMAPSRSRWIAGAFGIATGLHLDGPPGAAFAAGRPRM
+>sp|P80723|BASP1_HUMAN Brain acid soluble protein 1 OS=Homo sapiens OX=9606 GN=BASP1 PE=1 SV=2
+MGGKLSKKKKGYNVNDEKAKEKDKKAEGAATEEEGTPKESEPQAAAEPAEAKEGKEKPDQDAEGKAEEKEGEKDAAAAKEEAPKAEPEKTEGAAEAKAEPPKAPEQEQAAPGPAAGGEAPKAAEAAAAPAESAAPAAGEEPSKEEGEPKKTEAPAAPAAQETKSDGAPASDSKPGSSEAAPSSKETPAATEAPSSTPKAQGPAASAEEPKPVEAPAANSDQTVTVKE
+>DECOY_sp|P80723|BASP1_HUMAN Brain acid soluble protein 1 OS=Homo sapiens OX=9606 GN=BASP1 PE=1 SV=2
+EKVTVTQDSNAAPAEVPKPEEASAAPGQAKPTSSPAETAAPTEKSSPAAESSGPKSDSAPAGDSKTEQAAPAAPAETKKPEGEEKSPEEGAAPAASEAPAAAAEAAKPAEGGAAPGPAAQEQEPAKPPEAKAEAAGETKEPEAKPAEEKAAAADKEGEKEEAKGEADQDPKEKGEKAEAPEAAAQPESEKPTGEEETAAGEAKKDKEKAKEDNVNYGKKKKSLKGGM
+>sp|Q8TAM1|BBS10_HUMAN Bardet-Biedl syndrome 10 protein OS=Homo sapiens OX=9606 GN=BBS10 PE=1 SV=2
+MLSSMAAAGSVKAALQVAEVLEAIVSCCVGPEGRQVLCTKPTGEVLLSRNGGRLLEALHLEHPIARMIVDCVSSHLKKTGDGAKTFIIFLCHLLRGLHAITDREKDPLMCENIQTHGRHWKNCSRWKFISQALLTFQTQILDGIMDQYLSRHFLSIFSSAKERTLCRSSLELLLEAYFCGRVGRNNHKFISQLMCDYFFKCMTCKSGIGVFELVDDHFVELNVGVTGLPVSDSRIIAGLVLQKDFSVYRPADGDMRMVIVTETIQPLFSTSGSEFILNSEAQFQTSQFWIMEKTKAIMKHLHSQNVKLLISSVKQPDLVSYYAGVNGISVVECLSSEEVSLIRRIIGLSPFVPPQAFSQCEIPNTALVKFCKPLILRSKRYVHLGLISTCAFIPHSIVLCGPVHGLIEQHEDALHGALKMLRQLFKDLDLNYMTQTNDQNGTSSLFIYKNSGESYQAPDPGNGSIQRPYQDTVAENKDALEKTQTYLKVHSNLVIPDVELETYIPYSTPTLTPTDTFQTVETLTCLSLERNRLTDYYEPLLKNNSTAYSTRGNRIEISYENLQVTNITRKGSMLPVSCKLPNMGTSQSYLSSSMPAGCVLPVGGNFEILLHYYLLNYAKKCHQSEETMVSMIIANALLGIPKVLYKSKTGKYSFPHTYIRAVHALQTNQPLVSSQTGLESVMGKYQLLTSVLQCLTKILTIDMVITVKRHPQKVHNQDSEDEL
+>DECOY_sp|Q8TAM1|BBS10_HUMAN Bardet-Biedl syndrome 10 protein OS=Homo sapiens OX=9606 GN=BBS10 PE=1 SV=2
+LEDESDQNHVKQPHRKVTIVMDITLIKTLCQLVSTLLQYKGMVSELGTQSSVLPQNTQLAHVARIYTHPFSYKGTKSKYLVKPIGLLANAIIMSVMTEESQHCKKAYNLLYYHLLIEFNGGVPLVCGAPMSSSLYSQSTGMNPLKCSVPLMSGKRTINTVQLNEYSIEIRNGRTSYATSNNKLLPEYYDTLRNRELSLCTLTEVTQFTDTPTLTPTSYPIYTELEVDPIVLNSHVKLYTQTKELADKNEAVTDQYPRQISGNGPDPAQYSEGSNKYIFLSSTGNQDNTQTMYNLDLDKFLQRLMKLAGHLADEHQEILGHVPGCLVISHPIFACTSILGLHVYRKSRLILPKCFKVLATNPIECQSFAQPPVFPSLGIIRRILSVEESSLCEVVSIGNVGAYYSVLDPQKVSSILLKVNQSHLHKMIAKTKEMIWFQSTQFQAESNLIFESGSTSFLPQITETVIVMRMDGDAPRYVSFDKQLVLGAIIRSDSVPLGTVGVNLEVFHDDVLEFVGIGSKCTMCKFFYDCMLQSIFKHNNRGVRGCFYAELLLELSSRCLTREKASSFISLFHRSLYQDMIGDLIQTQFTLLAQSIFKWRSCNKWHRGHTQINECMLPDKERDTIAHLGRLLHCLFIIFTKAGDGTKKLHSSVCDVIMRAIPHELHLAELLRGGNRSLLVEGTPKTCLVQRGEPGVCCSVIAELVEAVQLAAKVSGAAAMSSLM
+>sp|Q6ZUJ8|BCAP_HUMAN Phosphoinositide 3-kinase adapter protein 1 OS=Homo sapiens OX=9606 GN=PIK3AP1 PE=1 SV=2
+MAASGVPRGCDILIVYSPDAEEWCQYLQTLFLSSRQVRSQKILTHRLGPEASFSAEDLSLFLSTRCVVVLLSAELVQHFHKPALLPLLQRAFHPPHRVVRLLCGVRDSEEFLDFFPDWAHWQELTCDDEPETYVAAVKKAISEDSGCDSVTDTEPEDEKVVSYSKQQNLPTVTSPGNLMVVQPDRIRCGAETTVYVIVRCKLDDRVATEAEFSPEDSPSVRMEAKVENEYTISVKAPNLSSGNVSLKIYSGDLVVCETVISYYTDMEEIGNLLSNAANPVEFMCQAFKIVPYNTETLDKLLTESLKNNIPASGLHLFGINQLEEEDMMTNQRDEELPTLLHFAAKYGLKNLTALLLTCPGALQAYSVANKHGHYPNTIAEKHGFRDLRQFIDEYVETVDMLKSHIKEELMHGEEADAVYESMAHLSTDLLMKCSLNPGCDEDLYESMAAFVPAATEDLYVEMLQASTSNPIPGDGFSRATKDSMIRKFLEGNSMGMTNLERDQCHLGQEEDVYHTVDDDEAFSVDLASRPPVPVPRPETTAPGAHQLPDNEPYIFKVFAEKSQERPGNFYVSSESIRKGPPVRPWRDRPQSSIYDPFAGMKTPGQRQLITLQEQVKLGIVNVDEAVLHFKEWQLNQKKRSESFRFQQENLKRLRDSITRRQREKQKSGKQTDLEITVPIRHSQHLPAKVEFGVYESGPRKSVIPPRTELRRGDWKTDSTSSTASSTSNRSSTRSLLSVSSGMEGDNEDNEVPEVTRSRSPGPPQVDGTPTMSLERPPRVPPRAASQRPPTRETFHPPPPVPPRGR
+>DECOY_sp|Q6ZUJ8|BCAP_HUMAN Phosphoinositide 3-kinase adapter protein 1 OS=Homo sapiens OX=9606 GN=PIK3AP1 PE=1 SV=2
+RGRPPVPPPPHFTERTPPRQSAARPPVRPPRELSMTPTGDVQPPGPSRSRTVEPVENDENDGEMGSSVSLLSRTSSRNSTSSATSSTSDTKWDGRRLETRPPIVSKRPGSEYVGFEVKAPLHQSHRIPVTIELDTQKGSKQKERQRRTISDRLRKLNEQQFRFSESRKKQNLQWEKFHLVAEDVNVIGLKVQEQLTILQRQGPTKMGAFPDYISSQPRDRWPRVPPGKRISESSVYFNGPREQSKEAFVKFIYPENDPLQHAGPATTEPRPVPVPPRSALDVSFAEDDDVTHYVDEEQGLHCQDRELNTMGMSNGELFKRIMSDKTARSFGDGPIPNSTSAQLMEVYLDETAAPVFAAMSEYLDEDCGPNLSCKMLLDTSLHAMSEYVADAEEGHMLEEKIHSKLMDVTEVYEDIFQRLDRFGHKEAITNPYHGHKNAVSYAQLAGPCTLLLATLNKLGYKAAFHLLTPLEEDRQNTMMDEEELQNIGFLHLGSAPINNKLSETLLKDLTETNYPVIKFAQCMFEVPNAANSLLNGIEEMDTYYSIVTECVVLDGSYIKLSVNGSSLNPAKVSITYENEVKAEMRVSPSDEPSFEAETAVRDDLKCRVIVYVTTEAGCRIRDPQVVMLNGPSTVTPLNQQKSYSVVKEDEPETDTVSDCGSDESIAKKVAAVYTEPEDDCTLEQWHAWDPFFDLFEESDRVGCLLRVVRHPPHFARQLLPLLAPKHFHQVLEASLLVVVCRTSLFLSLDEASFSAEPGLRHTLIKQSRVQRSSLFLTQLYQCWEEADPSYVILIDCGRPVGSAAM
+>sp|O75815|BCAR3_HUMAN Breast cancer anti-estrogen resistance protein 3 OS=Homo sapiens OX=9606 GN=BCAR3 PE=1 SV=1
+MAAGKFASLPRNMPVNHQFPLASSMDLLSSRSPLAEHRPDAYQDVSIHGTLPRKKKGPPPIRSCDDFSHMGTLPHSKSPRQNSPVTQDGIQESPWQDRHGETFTFRDPHLLDPTVEYVKFSKERHIMDRTPEKLKKELEEELLLSSEDLRSHAWYHGRIPRQVSENLVQRDGDFLVRDSLSSPGNFVLTCQWKNLAQHFKINRTVLRLSEAYSRVQYQFEMESFDSIPGLVRCYVGNRRPISQQSGAIIFQPINRTVPLRCLEEHYGTSPGQAREGSLTKGRPDVAKRLSLTMGGVQAREQNLPRGNLLRNKEKSGSQPACLDHMQDRRALSLKAHQSESYLPIGCKLPPQSSGVDTSPCPNSPVFRTGSEPALSPAVVRRVSSDARAGEALRGSDSQLCPKPPPKPCKVPFLKVPSSPSAWLNSEANYCELNPAFATGCGRGAKLPSCAQGSHTELLTAKQNEAPGPRNSGVNYLILDDDDRERPWEPAAAQMEKGQWDKGEFVTPLLETVSSFRPNEFESKFLPPENKPLETAMLKRAKELFTNNDPKVIAQHVLSMDCRVARILGVSEEMRRNMGVSSGLELITLPHGHQLRLDIIERHNTMAIGIAVDILGCTGTLEDRAATLSKIIQVAVELKDSMGDLYSFSALMKALEMPQITRLEKTWTALRHQYTQTAILYEKQLKPFSKLLHEGRESTCVPPNNVSVPLLMPLVTLMERQAVTFEGTDMWEKNDQSCEIMLNHLATARFMAEAADSYRMNAERILAGFQPDEEMNEICKTEFQMRLLWGSKGAQVNQTERYEKFNQILTALSRKLEPPPVKQAEL
+>DECOY_sp|O75815|BCAR3_HUMAN Breast cancer anti-estrogen resistance protein 3 OS=Homo sapiens OX=9606 GN=BCAR3 PE=1 SV=1
+LEAQKVPPPELKRSLATLIQNFKEYRETQNVQAGKSGWLLRMQFETKCIENMEEDPQFGALIREANMRYSDAAEAMFRATALHNLMIECSQDNKEWMDTGEFTVAQREMLTVLPMLLPVSVNNPPVCTSERGEHLLKSFPKLQKEYLIATQTYQHRLATWTKELRTIQPMELAKMLASFSYLDGMSDKLEVAVQIIKSLTAARDELTGTCGLIDVAIGIAMTNHREIIDLRLQHGHPLTILELGSSVGMNRRMEESVGLIRAVRCDMSLVHQAIVKPDNNTFLEKARKLMATELPKNEPPLFKSEFENPRFSSVTELLPTVFEGKDWQGKEMQAAAPEWPRERDDDDLILYNVGSNRPGPAENQKATLLETHSGQACSPLKAGRGCGTAFAPNLECYNAESNLWASPSSPVKLFPVKCPKPPPKPCLQSDSGRLAEGARADSSVRRVVAPSLAPESGTRFVPSNPCPSTDVGSSQPPLKCGIPLYSESQHAKLSLARRDQMHDLCAPQSGSKEKNRLLNGRPLNQERAQVGGMTLSLRKAVDPRGKTLSGERAQGPSTGYHEELCRLPVTRNIPQFIIAGSQQSIPRRNGVYCRVLGPISDFSEMEFQYQVRSYAESLRLVTRNIKFHQALNKWQCTLVFNGPSSLSDRVLFDGDRQVLNESVQRPIRGHYWAHSRLDESSLLLEEELEKKLKEPTRDMIHREKSFKVYEVTPDLLHPDRFTFTEGHRDQWPSEQIGDQTVPSNQRPSKSHPLTGMHSFDDCSRIPPPGKKKRPLTGHISVDQYADPRHEALPSRSSLLDMSSALPFQHNVPMNRPLSAFKGAAM
+>sp|Q9H6U6|BCAS3_HUMAN Breast carcinoma-amplified sequence 3 OS=Homo sapiens OX=9606 GN=BCAS3 PE=1 SV=3
+MNEAMATDSPRRPSRCTGGVVVRPQAVTEQSYMESVVTFLQDVVPQAYSGTPLTEEKEKIVWVRFENADLNDTSRNLEFHEIHSTGNEPPLLIMIGYSDGMQVWSIPISGEAQELFSVRHGPIRAARILPAPQFGAQKCDNFAEKRPLLGVCKSIGSSGTSPPYCCVDLYSLRTGEMVKSIQFKTPIYDLHCNKRILVVVLQEKIAAFDSCTFTKKFFVTSCYPCPGPNMNPIALGSRWLAYAENKLIRCHQSRGGACGDNIQSYTATVISAAKTLKSGLTMVGKVVTQLTGTLPSGVTEDDVAIHSNSRRSPLVPGIITVIDTETVGEGQVLVSEDSDSDGIVAHFPAHEKPVCCMAFNTSGMLLVTTDTLGHDFHVFQILTHPWSSSQCAVHHLYTLHRGETEAKVQDICFSHDCRWVVVSTLRGTSHVFPINPYGGQPCVRTHMSPRVVNRMSRFQKSAGLEEIEQELTSKQGGRCSPVPGLSSSPSGSPLHGKLNSQDSYNNFTNNNPGNPRLSPLPSLMVVMPLAQIKQPMTLGTITKRTGPYLFGAGCFSIKAPCKVKPPPQISPSKSMGGEFCVAAIFGTSRSWFANNAGLKREKDQSKQVVVESLYIISCYGTLVEHMMEPRPLSTAPKISDDTPLEMMTSPRASWTLVRTPQWNELQPPFNANHPLLLAADAVQYYQFLLAGLVPPGSPGPITRHGSYDSLASDHSGQEDEEWLSQVEIVTHTGPHRRLWMGPQFQFKTIHPSGQTTVISSSSSVLQSHGPSDTPQPLLDFDTDDLDLNSLRIQPVRSDPVSMPGSSRPVSDRRGVSTVIDAASGTFDRSVTLLEVCGSWPEGFGLRHMSSMEHTEEGLRERLADAMAESPSRDVVGSGTELQREGSIETLSNSSGSTSGSIPRNFDGYRSPLPTNESQPLSLFPTGFP
+>DECOY_sp|Q9H6U6|BCAS3_HUMAN Breast carcinoma-amplified sequence 3 OS=Homo sapiens OX=9606 GN=BCAS3 PE=1 SV=3
+PFGTPFLSLPQSENTPLPSRYGDFNRPISGSTSGSSNSLTEISGERQLETGSGVVDRSPSEAMADALRERLGEETHEMSSMHRLGFGEPWSGCVELLTVSRDFTGSAADIVTSVGRRDSVPRSSGPMSVPDSRVPQIRLSNLDLDDTDFDLLPQPTDSPGHSQLVSSSSSIVTTQGSPHITKFQFQPGMWLRRHPGTHTVIEVQSLWEEDEQGSHDSALSDYSGHRTIPGPSGPPVLGALLFQYYQVADAALLLPHNANFPPQLENWQPTRVLTWSARPSTMMELPTDDSIKPATSLPRPEMMHEVLTGYCSIIYLSEVVVQKSQDKERKLGANNAFWSRSTGFIAAVCFEGGMSKSPSIQPPPKVKCPAKISFCGAGFLYPGTRKTITGLTMPQKIQALPMVVMLSPLPSLRPNGPNNNTFNNYSDQSNLKGHLPSGSPSSSLGPVPSCRGGQKSTLEQEIEELGASKQFRSMRNVVRPSMHTRVCPQGGYPNIPFVHSTGRLTSVVVWRCDHSFCIDQVKAETEGRHLTYLHHVACQSSSWPHTLIQFVHFDHGLTDTTVLLMGSTNFAMCCVPKEHAPFHAVIGDSDSDESVLVQGEGVTETDIVTIIGPVLPSRRSNSHIAVDDETVGSPLTGTLQTVVKGVMTLGSKLTKAASIVTATYSQINDGCAGGRSQHCRILKNEAYALWRSGLAIPNMNPGPCPYCSTVFFKKTFTCSDFAAIKEQLVVVLIRKNCHLDYIPTKFQISKVMEGTRLSYLDVCCYPPSTGSSGISKCVGLLPRKEAFNDCKQAGFQPAPLIRAARIPGHRVSFLEQAEGSIPISWVQMGDSYGIMILLPPENGTSHIEHFELNRSTDNLDANEFRVWVIKEKEETLPTGSYAQPVVDQLFTVVSEMYSQETVAQPRVVVGGTCRSPRRPSDTAMAENM
+>sp|P10415|BCL2_HUMAN Apoptosis regulator Bcl-2 OS=Homo sapiens OX=9606 GN=BCL2 PE=1 SV=2
+MAHAGRTGYDNREIVMKYIHYKLSQRGYEWDAGDVGAAPPGAAPAPGIFSSQPGHTPHPAASRDPVARTSPLQTPAAPGAAAGPALSPVPPVVHLTLRQAGDDFSRRYRRDFAEMSSQLHLTPFTARGRFATVVEELFRDGVNWGRIVAFFEFGGVMCVESVNREMSPLVDNIALWMTEYLNRHLHTWIQDNGGWDAFVELYGPSMRPLFDFSWLSLKTLLSLALVGACITLGAYLGHK
+>DECOY_sp|P10415|BCL2_HUMAN Apoptosis regulator Bcl-2 OS=Homo sapiens OX=9606 GN=BCL2 PE=1 SV=2
+KHGLYAGLTICAGVLALSLLTKLSLWSFDFLPRMSPGYLEVFADWGGNDQIWTHLHRNLYETMWLAINDVLPSMERNVSEVCMVGGFEFFAVIRGWNVGDRFLEEVVTAFRGRATFPTLHLQSSMEAFDRRYRRSFDDGAQRLTLHVVPPVPSLAPGAAAGPAAPTQLPSTRAVPDRSAAPHPTHGPQSSFIGPAPAAGPPAAGVDGADWEYGRQSLKYHIYKMVIERNDYGTRGAHAM
+>sp|Q9BQE9|BCL7B_HUMAN B-cell CLL/lymphoma 7 protein family member B OS=Homo sapiens OX=9606 GN=BCL7B PE=1 SV=1
+MSGRSVRAETRSRAKDDIKKVMAAIEKVRKWEKKWVTVGDTSLRIFKWVPVTDSKEKEKSKSNSSAAREPNGFPSDASANSSLLLEFQDENSNQSSVSDVYQLKVDSSTNSSPSPQQSESLSPAHTSDFRTDDSQPPTLGQEILEEPSLPSSEVADEPPTLTKEEPVPLETQVVEEEEDSGAPPLKRFCVDQPTVPQTASES
+>DECOY_sp|Q9BQE9|BCL7B_HUMAN B-cell CLL/lymphoma 7 protein family member B OS=Homo sapiens OX=9606 GN=BCL7B PE=1 SV=1
+SESATQPVTPQDVCFRKLPPAGSDEEEEVVQTELPVPEEKTLTPPEDAVESSPLSPEELIEQGLTPPQSDDTRFDSTHAPSLSESQQPSPSSNTSSDVKLQYVDSVSSQNSNEDQFELLLSSNASADSPFGNPERAASSNSKSKEKEKSDTVPVWKFIRLSTDGVTVWKKEWKRVKEIAAMVKKIDDKARSRTEARVSRGSM
+>sp|Q8N888|BCOR2_HUMAN Putative BCoR-like protein 2 OS=Homo sapiens OX=9606 GN=BCORP1 PE=5 SV=1
+MKEKLSKKRAEVKGNRSWLEEFLKPSDNEEGPPPKNKVLSNNASSQKPTHSSCIPLLRLPDKQQKVNESIKTDMLCTDKEEECPAASLLQKYTNNSEKPSGKRQCKTKHLISQDLRQGFLLTGKCYVENADGKIPLGTCFLLGLI
+>DECOY_sp|Q8N888|BCOR2_HUMAN Putative BCoR-like protein 2 OS=Homo sapiens OX=9606 GN=BCORP1 PE=5 SV=1
+ILGLLFCTGLPIKGDANEVYCKGTLLFGQRLDQSILHKTKCQRKGSPKESNNTYKQLLSAAPCEEEKDTCLMDTKISENVKQQKDPLRLLPICSSHTPKQSSANNSLVKNKPPPGEENDSPKLFEELWSRNGKVEARKKSLKEKM
+>sp|Q7RTS1|BHA15_HUMAN Class A basic helix-loop-helix protein 15 OS=Homo sapiens OX=9606 GN=BHLHA15 PE=1 SV=1
+MKTKNRPPRRRAPVQDTEATPGEGTPDGSLPNPGPEPAKGLRSRPARAAARAPGEGRRRRPGPSGPGGRRDSSIQRRLESNERERQRMHKLNNAFQALREVIPHVRADKKLSKIETLTLAKNYIKSLTATILTMSSSRLPGLEGPGPKLYQHYQQQQQVAGGALGATEAQPQGHLQRYSTQIHSFREGT
+>DECOY_sp|Q7RTS1|BHA15_HUMAN Class A basic helix-loop-helix protein 15 OS=Homo sapiens OX=9606 GN=BHLHA15 PE=1 SV=1
+TGERFSHIQTSYRQLHGQPQAETAGLAGGAVQQQQQYHQYLKPGPGELGPLRSSSMTLITATLSKIYNKALTLTEIKSLKKDARVHPIVERLAQFANNLKHMRQRERENSELRRQISSDRRGGPGSPGPRRRRGEGPARAAARAPRSRLGKAPEPGPNPLSGDPTGEGPTAETDQVPARRRPPRNKTKM
+>sp|C9JSJ3|BHMG1_HUMAN Basic helix-loop-helix and HMG box domain-containing protein 1 OS=Homo sapiens OX=9606 GN=BHMG1 PE=3 SV=2
+MFGSSRYLGSSEQPRANSLGPSDRTLVLCSLVEGEDKVNPSEPHGLRMEEKWLLKGKLRNQRNQNKLLSPNKKQRKNHTSKLQELALLLPIALKTGTKKLTKKEILVHVLQYIQYLQRNIDAAKALFKCHITTGEGGLAGLGQKPAWGPARRRRHSTPSSSPSSQKSCLQGACQKPRKKKLTQASESQTRTPKPRRSLALNKPEKLVAPSPDQKGSGTGGTTTPPRCPDSCGHPRPASSSPPGDRKGGQSQLTLLDLAEDTIHCDISSCWCQGSVQDDAPFPALLAQEDVARIHFLNKTQPHPRQKLVFYDSSEDVDKGSLDADPWLPAWTPENSPQGSPLFLGPPQIDVWSGTGHPSEILGLSPSLFSSPGKLLPDEILEDDMEYLTQAAFFEEVCLDLESSPSAYTQEAPQEKDTASKAPKDPPESHSLHRSSVSLDHCYLSLSGNSKAPSSSSSSSSSSSSSEDSDSEPLWKQREDMQANPVGTPGSSEEDEDTTWTPTRLASPLLAAEKKATKGQVARAPVKPKEKKKGPCPPQMKKKCVNGFIMFCRMNRKQYIRSCPGTASTAATKELAQLWRVMTQQERRPYCTKARRFSRQHNRIVKQDGSSSEAEDWETPKPFYQLLAEKALPLPPHLQ
+>DECOY_sp|C9JSJ3|BHMG1_HUMAN Basic helix-loop-helix and HMG box domain-containing protein 1 OS=Homo sapiens OX=9606 GN=BHMG1 PE=3 SV=2
+QLHPPLPLAKEALLQYFPKPTEWDEAESSSGDQKVIRNHQRSFRRAKTCYPRREQQTMVRWLQALEKTAATSATGPCSRIYQKRNMRCFMIFGNVCKKKMQPPCPGKKKEKPKVPARAVQGKTAKKEAALLPSALRTPTWTTDEDEESSGPTGVPNAQMDERQKWLPESDSDESSSSSSSSSSSSSPAKSNGSLSLYCHDLSVSSRHLSHSEPPDKPAKSATDKEQPAEQTYASPSSELDLCVEEFFAAQTLYEMDDELIEDPLLKGPSSFLSPSLGLIESPHGTGSWVDIQPPGLFLPSGQPSNEPTWAPLWPDADLSGKDVDESSDYFVLKQRPHPQTKNLFHIRAVDEQALLAPFPADDQVSGQCWCSSIDCHITDEALDLLTLQSQGGKRDGPPSSSAPRPHGCSDPCRPPTTTGGTGSGKQDPSPAVLKEPKNLALSRRPKPTRTQSESAQTLKKKRPKQCAGQLCSKQSSPSSSPTSHRRRRAPGWAPKQGLGALGGEGTTIHCKFLAKAADINRQLYQIYQLVHVLIEKKTLKKTGTKLAIPLLLALEQLKSTHNKRQKKNPSLLKNQNRQNRLKGKLLWKEEMRLGHPESPNVKDEGEVLSCLVLTRDSPGLSNARPQESSGLYRSSGFM
+>sp|A1A5D9|BICL2_HUMAN BICD family-like cargo adapter 2 OS=Homo sapiens OX=9606 GN=BICDL2 PE=1 SV=2
+MSSPDGPSFPSGPLSGGASPSGDEGFFPFVLERRDSFLGGGPGPEEPEDLALQLQQKEKDLLLAAELGKMLLERNEELRRQLETLSAQHLEREERLQQENHELRRGLAARGAEWEARAVELEGDVEALRAQLGEQRSEQQDSGRERARALSELSEQNLRLSQQLAQASQTEQELQRELDALRGQCQAQALAGAELRTRLESLQGENQMLQSRRQDLEAQIRGLREEVEKGEGRLQTTHEELLLLRRERREHSLELERARSEAGEALSALRRLQRRVSELEEESRLQDADVSAASLQSELAHSLDDGDQGQGADAPGDTPTTRSPKTRKASSPQPSPPEEILEPPKKRTSLSPAEILEEKEVEVAKLQDEISLQQAELQSLREELQRQKELRAQEDPGEALHSALSDRDEAVNKALELSLQLNRVSLERDSLSRELLRAIRQKVALTQELEAWQDDMQVVIGQQLRSQRQKELSASASSSTPRRAAPRFSLRLGPGPAGGFLSNLFRRT
+>DECOY_sp|A1A5D9|BICL2_HUMAN BICD family-like cargo adapter 2 OS=Homo sapiens OX=9606 GN=BICDL2 PE=1 SV=2
+TRRFLNSLFGGAPGPGLRLSFRPAARRPTSSSASASLEKQRQSRLQQGIVVQMDDQWAELEQTLAVKQRIARLLERSLSDRELSVRNLQLSLELAKNVAEDRDSLASHLAEGPDEQARLEKQRQLEERLSQLEAQQLSIEDQLKAVEVEKEELIEAPSLSTRKKPPELIEEPPSPQPSSAKRTKPSRTTPTDGPADAGQGQDGDDLSHALESQLSAASVDADQLRSEEELESVRRQLRRLASLAEGAESRARELELSHERRERRLLLLEEHTTQLRGEGKEVEERLGRIQAELDQRRSQLMQNEGQLSELRTRLEAGALAQAQCQGRLADLERQLEQETQSAQALQQSLRLNQESLESLARARERGSDQQESRQEGLQARLAEVDGELEVARAEWEAGRAALGRRLEHNEQQLREERELHQASLTELQRRLEENRELLMKGLEAALLLDKEKQQLQLALDEPEEPGPGGGLFSDRRELVFPFFGEDGSPSAGGSLPGSPFSPGDPSSM
+>sp|Q9NZM4|BICRA_HUMAN BRD4-interacting chromatin-remodeling complex-associated protein OS=Homo sapiens OX=9606 GN=BICRA PE=1 SV=2
+MDDEDGRCLLDVICDPQALNDFLHGSEKLDSDDLLDNPGEAQSAFYEGPGLHVQEASGNHLNPEPNQPAPSVDLDFLEDDILGSPATGGGGGGSGGADQPCDILQQSLQEANITEQTLEAEAELDLGPFQLPTLQPADGGAGPTGAGGAAAVAAGPQALFPGSTDLLGLQGPPTVLTHQALVPPQDVVNKALSVQPFLQPVGLGNVTLQPIPGLQGLPNGSPGGATAATLGLAPIQVVGQPVMALNTPTSQLLAKQVPVSGYLASAAGPSEPVTLASAGVSPQGAGLVIQKNLSAAVATTLNGNSVFGGAGAASAPTGTPSGQPLAVAPGLGSSPLVPAPNVILHRTPTPIQPKPAGVLPPKLYQLTPKPFAPAGATLTIQGEPGALPQQPKAPQNLTFMAAGKAGQNVVLSGFPAPALQANVFKQPPATTTGAAPPQPPGALSKPMSVHLLNQGSSIVIPAQHMLPGQNQFLLPGAPAVQLPQQLSALPANVGGQILAAAAPHTGGQLIANPILTNQNLAGPLSLGPVLAPHSGAHSAHILSAAPIQVGQPALFQMPVSLAAGSLPTQSQPAPAGPAATTVLQGVTLPPSAVAMLNTPDGLVQPATPAAATGEAAPVLTVQPAPQAPPAVSTPLPLGLQQPQAQQPPQAPTPQAAAPPQATTPQPSPGLASSPEKIVLGQPPSATPTAILTQDSLQMFLPQERSQQPLSAEGPHLSVPASVIVSAPPPAQDPAPATPVAKGAGLGPQAPDSQASPAPAPQIPAAAPLKGPGPSSSPSLPHQAPLGDSPHLPSPHPTRPPSRPPSRPQSVSRPPSEPPLHPCPPPQAPPTLPGIFVIQNQLGVPPPASNPAPTAPGPPQPPLRPQSQPPEGPLPPAPHLPPSSTSSAVASSSETSSRLPAPTPSDFQLQFPPSQGPHKSPTPPPTLHLVPEPAAPPPPPPRTFQMVTTPFPALPQPKALLERFHQVPSGIILQNKAGGAPAAPQTSTSLGPLTSPAASVLVSGQAPSGTPTAPSHAPAPAPMAATGLPPLLPAENKAFASNLPTLNVAKAASSGPGKPSGLQYESKLSGLKKPPTLQPSKEACFLEHLHKHQGSVLHPDYKTAFPSFEDALHRLLPYHVYQGALPSPSDYHKVDEEFETVSTQLLKRTQAMLNKYRLLLLEESRRVSPSAEMVMIDRMFIQEEKTTLALDKQLAKEKPDEYVSSSRSLGLPIAASSEGHRLPGHGPLSSSAPGASTQPPPHLPTKLVIRHGGAGGSPSVTWARASSSLSSSSSSSSAASSLDADEDGPMPSRNRPPIKTYEARSRIGLKLKIKQEAGLSKVVHNTALDPVHQPPPPPATLKVAEPPPRPPPPPPPTGQMNGTVDHPPPAAPERKPLGTAPHCPRLPLRKTYRENVGGPGAPEGTPAGRARGGSPAPLPAKVDEATSGLIRELAAVEDELYQRMLKGPPPEPAASAAQGTGDPDWEAPGLPPAKRRKSESPDVDQASFSSDSPQDDTLTEHLQSAIDSILNLQQAPGRTPAPSYPHAASAGTPASPPPLHRPEAYPPSSHNGGLGARTLTR
+>DECOY_sp|Q9NZM4|BICRA_HUMAN BRD4-interacting chromatin-remodeling complex-associated protein OS=Homo sapiens OX=9606 GN=BICRA PE=1 SV=2
+RTLTRAGLGGNHSSPPYAEPRHLPPPSAPTGASAAHPYSPAPTRGPAQQLNLISDIASQLHETLTDDQPSDSSFSAQDVDPSESKRRKAPPLGPAEWDPDGTGQAASAAPEPPPGKLMRQYLEDEVAALERILGSTAEDVKAPLPAPSGGRARGAPTGEPAGPGGVNERYTKRLPLRPCHPATGLPKREPAAPPPHDVTGNMQGTPPPPPPPRPPPEAVKLTAPPPPPQHVPDLATNHVVKSLGAEQKIKLKLGIRSRAEYTKIPPRNRSPMPGDEDADLSSAASSSSSSSSLSSSARAWTVSPSGGAGGHRIVLKTPLHPPPQTSAGPASSSLPGHGPLRHGESSAAIPLGLSRSSSVYEDPKEKALQKDLALTTKEEQIFMRDIMVMEASPSVRRSEELLLLRYKNLMAQTRKLLQTSVTEFEEDVKHYDSPSPLAGQYVHYPLLRHLADEFSPFATKYDPHLVSGQHKHLHELFCAEKSPQLTPPKKLGSLKSEYQLGSPKGPGSSAAKAVNLTPLNSAFAKNEAPLLPPLGTAAMPAPAPAHSPATPTGSPAQGSVLVSAAPSTLPGLSTSTQPAAPAGGAKNQLIIGSPVQHFRELLAKPQPLAPFPTTVMQFTRPPPPPPAAPEPVLHLTPPPTPSKHPGQSPPFQLQFDSPTPAPLRSSTESSSAVASSTSSPPLHPAPPLPGEPPQSQPRLPPQPPGPATPAPNSAPPPVGLQNQIVFIGPLTPPAQPPPCPHLPPESPPRSVSQPRSPPRSPPRTPHPSPLHPSDGLPAQHPLSPSSSPGPGKLPAAAPIQPAPAPSAQSDPAQPGLGAGKAVPTAPAPDQAPPPASVIVSAPVSLHPGEASLPQQSREQPLFMQLSDQTLIATPTASPPQGLVIKEPSSALGPSPQPTTAQPPAAAQPTPAQPPQQAQPQQLGLPLPTSVAPPAQPAPQVTLVPAAEGTAAAPTAPQVLGDPTNLMAVASPPLTVGQLVTTAAPGAPAPQSQTPLSGAALSVPMQFLAPQGVQIPAASLIHASHAGSHPALVPGLSLPGALNQNTLIPNAILQGGTHPAAAALIQGGVNAPLASLQQPLQVAPAGPLLFQNQGPLMHQAPIVISSGQNLLHVSMPKSLAGPPQPPAAGTTTAPPQKFVNAQLAPAPFGSLVVNQGAKGAAMFTLNQPAKPQQPLAGPEGQITLTAGAPAFPKPTLQYLKPPLVGAPKPQIPTPTRHLIVNPAPVLPSSGLGPAVALPQGSPTGTPASAAGAGGFVSNGNLTTAVAASLNKQIVLGAGQPSVGASALTVPESPGAASALYGSVPVQKALLQSTPTNLAMVPQGVVQIPALGLTAATAGGPSGNPLGQLGPIPQLTVNGLGVPQLFPQVSLAKNVVDQPPVLAQHTLVTPPGQLGLLDTSGPFLAQPGAAVAAAGGAGTPGAGGDAPQLTPLQFPGLDLEAEAELTQETINAEQLSQQLIDCPQDAGGSGGGGGGTAPSGLIDDELFDLDVSPAPQNPEPNLHNGSAEQVHLGPGEYFASQAEGPNDLLDDSDLKESGHLFDNLAQPDCIVDLLCRGDEDDM
+>sp|Q9Y6D6|BIG1_HUMAN Brefeldin A-inhibited guanine nucleotide-exchange protein 1 OS=Homo sapiens OX=9606 GN=ARFGEF1 PE=1 SV=2
+MYEGKKTKNMFLTRALEKILADKEVKKAHHSQLRKACEVALEEIKAETEKQSPPHGEAKAGSSTLPPVKSKTNFIEADKYFLPFELACQSKCPRIVSTSLDCLQKLIAYGHLTGNAPDSTTPGKKLIDRIIETICGCFQGPQTDEGVQLQIIKALLTAVTSQHIEIHEGTVLQAVRTCYNIYLASKNLINQTTAKATLTQMLNVIFARMENQALQEAKQMEKERHRQHHHLLQSPVSHHEPESPQLRYLPPQTVDHISQEHEGDLDLHTNDVDKSLQDDTEPENGSDISSAENEQTEADQATAAETLSKNEVLYDGENHDCEEKPQDIVQNIVEEMVNIVVGDMGEGTTINASADGNIGTIEDGSDSENIQANGIPGTPISVAYTPSLPDDRLSVSSNDTQESGNSSGPSPGAKFSHILQKDAFLVFRSLCKLSMKPLSDGPPDPKSHELRSKILSLQLLLSILQNAGPIFRTNEMFINAIKQYLCVALSKNGVSSVPEVFELSLSIFLTLLSNFKTHLKMQIEVFFKEIFLYILETSTSSFDHKWMVIQTLTRICADAQSVVDIYVNYDCDLNAANIFERLVNDLSKIAQGRGSQELGMSNVQELSLRKKGLECLVSILKCMVEWSKDQYVNPNSQTTLGQEKPSEQEMSEIKHPETINRYGSLNSLESTSSSGIGSYSTQMSGTDNPEQFEVLKQQKEIIEQGIDLFNKKPKRGIQYLQEQGMLGTTPEDIAQFLHQEERLDSTQVGEFLGDNDKFNKEVMYAYVDQHDFSGKDFVSALRMFLEGFRLPGEAQKIDRLMEKFAARYLECNQGQTLFASADTAYVLAYSIIMLTTDLHSPQVKNKMTKEQYIKMNRGINDSKDLPEEYLSAIYNEIAGKKISMKETKELTIPTKSSKQNVASEKQRRLLYNLEMEQMAKTAKALMEAVSHVQAPFTSATHLEHVRPMFKLAWTPFLAAFSVGLQDCDDTEVASLCLEGIRCAIRIACIFSIQLERDAYVQALARFTLLTVSSGITEMKQKNIDTIKTLITVAHTDGNYLGNSWHEILKCISQLELAQLIGTGVKPRYISGTVRGREGSLTGTKDQAPDEFVGLGLVGGNVDWKQIASIQESIGETSSQSVVVAVDRIFTGSTRLDGNAIVDFVRWLCAVSMDELLSTTHPRMFSLQKIVEISYYNMGRIRLQWSRIWEVIGDHFNKVGCNPNEDVAIFAVDSLRQLSMKFLEKGELANFRFQKDFLRPFEHIMKRNRSPTIRDMVVRCIAQMVNSQAANIRSGWKNIFSVFHLAASDQDESIVELAFQTTGHIVTLVFEKHFPATIDSFQDAVKCLSEFACNAAFPDTSMEAIRLIRHCAKYVSDRPQAFKEYTSDDMNVAPEDRVWVRGWFPILFELSCIINRCKLDVRTRGLTVMFEIMKTYGHTYEKHWWQDLFRIVFRIFDNMKLPEQQTEKAEWMTTTCNHALYAICDVFTQYLEVLSDVLLDDIFAQLYWCVQQDNEQLARSGTNCLENVVILNGEKFTLEIWDKTCNCTLDIFKTTIPHALLTWRPNSGETAPPPPSPVSEKPLDTISQKSVDIHDSIQPRSVDNRPQAPLVSASAVNEEVSKIKSTAKFPEQKLFAALLIKCVVQLELIQTIDNIVFFPATSKKEDAENLAAAQRDAVDFDVRVDTQDQGMYRFLTSQQLFKLLDCLLESHRFAKAFNSNNEQRTALWKAGFKGKSKPNLLKQETSSLACGLRILFRMYMDESRVSAWEEVQQRLLNVCSEALSYFLTLTSESHREAWTNLLLLFLTKVLKISDNRFKAHASFYYPLLCEIMQFDLIPELRAVLRRFFLRIGVVFQISQPPEQELGINKQ
+>DECOY_sp|Q9Y6D6|BIG1_HUMAN Brefeldin A-inhibited guanine nucleotide-exchange protein 1 OS=Homo sapiens OX=9606 GN=ARFGEF1 PE=1 SV=2
+QKNIGLEQEPPQSIQFVVGIRLFFRRLVARLEPILDFQMIECLLPYYFSAHAKFRNDSIKLVKTLFLLLLNTWAERHSESTLTLFYSLAESCVNLLRQQVEEWASVRSEDMYMRFLIRLGCALSSTEQKLLNPKSKGKFGAKWLATRQENNSNFAKAFRHSELLCDLLKFLQQSTLFRYMGQDQTDVRVDFDVADRQAAALNEADEKKSTAPFFVINDITQILELQVVCKILLAAFLKQEPFKATSKIKSVEENVASASVLPAQPRNDVSRPQISDHIDVSKQSITDLPKESVPSPPPPATEGSNPRWTLLAHPITTKFIDLTCNCTKDWIELTFKEGNLIVVNELCNTGSRALQENDQQVCWYLQAFIDDLLVDSLVELYQTFVDCIAYLAHNCTTTMWEAKETQQEPLKMNDFIRFVIRFLDQWWHKEYTHGYTKMIEFMVTLGRTRVDLKCRNIICSLEFLIPFWGRVWVRDEPAVNMDDSTYEKFAQPRDSVYKACHRILRIAEMSTDPFAANCAFESLCKVADQFSDITAPFHKEFVLTVIHGTTQFALEVISEDQDSAALHFVSFINKWGSRINAAQSNVMQAICRVVMDRITPSRNRKMIHEFPRLFDKQFRFNALEGKELFKMSLQRLSDVAFIAVDENPNCGVKNFHDGIVEWIRSWQLRIRGMNYYSIEVIKQLSFMRPHTTSLLEDMSVACLWRVFDVIANGDLRTSGTFIRDVAVVVSQSSTEGISEQISAIQKWDVNGGVLGLGVFEDPAQDKTGTLSGERGRVTGSIYRPKVGTGILQALELQSICKLIEHWSNGLYNGDTHAVTILTKITDINKQKMETIGSSVTLLTFRALAQVYADRELQISFICAIRIACRIGELCLSAVETDDCDQLGVSFAALFPTWALKFMPRVHELHTASTFPAQVHSVAEMLAKATKAMQEMELNYLLRRQKESAVNQKSSKTPITLEKTEKMSIKKGAIENYIASLYEEPLDKSDNIGRNMKIYQEKTMKNKVQPSHLDTTLMIISYALVYATDASAFLTQGQNCELYRAAFKEMLRDIKQAEGPLRFGELFMRLASVFDKGSFDHQDVYAYMVEKNFKDNDGLFEGVQTSDLREEQHLFQAIDEPTTGLMGQEQLYQIGRKPKKNFLDIGQEIIEKQQKLVEFQEPNDTGSMQTSYSGIGSSSTSELSNLSGYRNITEPHKIESMEQESPKEQGLTTQSNPNVYQDKSWEVMCKLISVLCELGKKRLSLEQVNSMGLEQSGRGQAIKSLDNVLREFINAANLDCDYNVYIDVVSQADACIRTLTQIVMWKHDFSSTSTELIYLFIEKFFVEIQMKLHTKFNSLLTLFISLSLEFVEPVSSVGNKSLAVCLYQKIANIFMENTRFIPGANQLISLLLQLSLIKSRLEHSKPDPPGDSLPKMSLKCLSRFVLFADKQLIHSFKAGPSPGSSNGSEQTDNSSVSLRDDPLSPTYAVSIPTGPIGNAQINESDSGDEITGINGDASANITTGEGMDGVVINVMEEVINQVIDQPKEECDHNEGDYLVENKSLTEAATAQDAETQENEASSIDSGNEPETDDQLSKDVDNTHLDLDGEHEQSIHDVTQPPLYRLQPSEPEHHSVPSQLLHHHQRHREKEMQKAEQLAQNEMRAFIVNLMQTLTAKATTQNILNKSALYINYCTRVAQLVTGEHIEIHQSTVATLLAKIIQLQVGEDTQPGQFCGCITEIIRDILKKGPTTSDPANGTLHGYAILKQLCDLSTSVIRPCKSQCALEFPLFYKDAEIFNTKSKVPPLTSSGAKAEGHPPSQKETEAKIEELAVECAKRLQSHHAKKVEKDALIKELARTLFMNKTKKGEYM
+>sp|Q9Y6D5|BIG2_HUMAN Brefeldin A-inhibited guanine nucleotide-exchange protein 2 OS=Homo sapiens OX=9606 GN=ARFGEF2 PE=1 SV=3
+MQESQTKSMFVSRALEKILADKEVKRPQHSQLRRACQVALDEIKAEIEKQRLGTAAPPKANFIEADKYFLPFELACQSKSPRVVSTSLDCLQKLIAYGHITGNAPDSGAPGKRLIDRIVETICSCFQGPQTDEGVQLQIIKALLTAVTSPHIEIHEGTILQTVRTCYNIYLASKNLINQTTAKATLTQMLNVIFTRMENQVLQEARELEKPIQSKPQSPVIQAAAVSPKFVRLKHSQAQSKPTTPEKTDLTNGEHARSDSGKVSTENGDAPRERGSSLSGTDDGAQEVVKDILEDVVTSAIKEAAEKHGLTEPERVLGELECQECAIPPGVDENSQTNGIADDRQSLSSADNLESDAQGHQVAARFSHVLQKDAFLVFRSLCKLSMKPLGEGPPDPKSHELRSKVVSLQLLLSVLQNAGPVFRTHEMFINAIKQYLCVALSKNGVSSVPDVFELSLAIFLTLLSNFKMHLKMQIEVFFKEIFLNILETSTSSFEHRWMVIQTLTRICADAQCVVDIYVNYDCDLNAANIFERLVNDLSKIAQGRSGHELGMTPLQELSLRKKGLECLVSILKCMVEWSKDLYVNPNHQTSLGQERLTDQEIGDGKGLDMARRCSVTSMESTVSSGTQTTVQDDPEQFEVIKQQKEIIEHGIELFNKKPKRGIQFLQEQGMLGTSVEDIAQFLHQEERLDSTQVGDFLGDSARFNKEVMYAYVDQLDFCEKEFVSALRTFLEGFRLPGEAQKIDRLMEKFAARYIECNQGQTLFASADTAYVLAYSIIMLTTDLHSPQVKNKMTKEQYIKMNRGINDSKDLPEEYLSSIYEEIEGKKIAMKETKELTIATKSTKQNVASEKQRRLLYNLEMEQMAKTAKALMEAVSHAKAPFTSATHLDHVRPMFKLVWTPLLAAYSIGLQNCDDTEVASLCLEGIRCAIRIACIFGMQLERDAYVQALARFSLLTASSSITEMKQKNIDTIKTLITVAHTDGNYLGNSWHEILKCISQLELAQLIGTGVKTRYLSGSGREREGSLKGHTLAGEEFMGLGLGNLVSGGVDKRQMASFQESVGETSSQSVVVAVDRIFTGSTRLDGNAIVDFVRWLCAVSMDELASPHHPRMFSLQKIVEISYYNMNRIRLQWSRIWHVIGDHFNKVGCNPNEDVAIFAVDSLRQLSMKFLEKGELANFRFQKDFLRPFEHIMKKNRSPTIRDMAIRCIAQMVNSQAANIRSGWKNIFAVFHQAASDHDGNIVELAFQTTCHIVTTIFQHHFPAAIDSFQDAVKCLSEFACNAAFPDTSMEAIRLIRFCGKYVSERPRVLQEYTSDDMNVAPGDRVWVRGWFPILFELSCIINRCKLDVRTRGLTVMFEIMKSYGHTFEKHWWQDLFRIVFRIFDNMKLPEQLSEKSEWMTTTCNHALYAICDVFTQFYEALNEVLLSDVFAQLQWCVKQDNEQLARSGTNCLENLVISNGEKFSPEVWDETCNCMLDIFKTTIPHVLLTWRPVGMEEDSSEKHLDVDLDRQSLSSIDKNPSERGQSQLSNPTDDSWKGRPYANQKLFASLLIKCVVQLELIQTIDNIVFYPATSKKEDAEHMVAAQQDTLDADIHIETEDQGMYKYMSSQHLFKLLDCLQESHSFSKAFNSNYEQRTVLWRAGFKGKSKPNLLKQETSSLACCLRILFRMYVDENRRDSWEEIQQRLLTVCSEALAYFITVNSESHREAWTSLLLLLLTKTLKINDEKFKAHASMYYPYLCEIMQFDLIPELRAVLRKFFLRIGVVYKIWIPEEPSQVPAALSPVW
+>DECOY_sp|Q9Y6D5|BIG2_HUMAN Brefeldin A-inhibited guanine nucleotide-exchange protein 2 OS=Homo sapiens OX=9606 GN=ARFGEF2 PE=1 SV=3
+WVPSLAAPVQSPEEPIWIKYVVGIRLFFKRLVARLEPILDFQMIECLYPYYMSAHAKFKEDNIKLTKTLLLLLLSTWAERHSESNVTIFYALAESCVTLLRQQIEEWSDRRNEDVYMRFLIRLCCALSSTEQKLLNPKSKGKFGARWLVTRQEYNSNFAKSFSHSEQLCDLLKFLHQSSMYKYMGQDETEIHIDADLTDQQAAVMHEADEKKSTAPYFVINDITQILELQVVCKILLSAFLKQNAYPRGKWSDDTPNSLQSQGRESPNKDISSLSQRDLDVDLHKESSDEEMGVPRWTLLVHPITTKFIDLMCNCTEDWVEPSFKEGNSIVLNELCNTGSRALQENDQKVCWQLQAFVDSLLVENLAEYFQTFVDCIAYLAHNCTTTMWESKESLQEPLKMNDFIRFVIRFLDQWWHKEFTHGYSKMIEFMVTLGRTRVDLKCRNIICSLEFLIPFWGRVWVRDGPAVNMDDSTYEQLVRPRESVYKGCFRILRIAEMSTDPFAANCAFESLCKVADQFSDIAAPFHHQFITTVIHCTTQFALEVINGDHDSAAQHFVAFINKWGSRINAAQSNVMQAICRIAMDRITPSRNKKMIHEFPRLFDKQFRFNALEGKELFKMSLQRLSDVAFIAVDENPNCGVKNFHDGIVHWIRSWQLRIRNMNYYSIEVIKQLSFMRPHHPSALEDMSVACLWRVFDVIANGDLRTSGTFIRDVAVVVSQSSTEGVSEQFSAMQRKDVGGSVLNGLGLGMFEEGALTHGKLSGERERGSGSLYRTKVGTGILQALELQSICKLIEHWSNGLYNGDTHAVTILTKITDINKQKMETISSSATLLSFRALAQVYADRELQMGFICAIRIACRIGELCLSAVETDDCNQLGISYAALLPTWVLKFMPRVHDLHTASTFPAKAHSVAEMLAKATKAMQEMELNYLLRRQKESAVNQKTSKTAITLEKTEKMAIKKGEIEEYISSLYEEPLDKSDNIGRNMKIYQEKTMKNKVQPSHLDTTLMIISYALVYATDASAFLTQGQNCEIYRAAFKEMLRDIKQAEGPLRFGELFTRLASVFEKECFDLQDVYAYMVEKNFRASDGLFDGVQTSDLREEQHLFQAIDEVSTGLMGQEQLFQIGRKPKKNFLEIGHEIIEKQQKIVEFQEPDDQVTTQTGSSVTSEMSTVSCRRAMDLGKGDGIEQDTLREQGLSTQHNPNVYLDKSWEVMCKLISVLCELGKKRLSLEQLPTMGLEHGSRGQAIKSLDNVLREFINAANLDCDYNVYIDVVCQADACIRTLTQIVMWRHEFSSTSTELINLFIEKFFVEIQMKLHMKFNSLLTLFIALSLEFVDPVSSVGNKSLAVCLYQKIANIFMEHTRFVPGANQLVSLLLQLSVVKSRLEHSKPDPPGEGLPKMSLKCLSRFVLFADKQLVHSFRAAVQHGQADSELNDASSLSQRDDAIGNTQSNEDVGPPIACEQCELEGLVREPETLGHKEAAEKIASTVVDELIDKVVEQAGDDTGSLSSGRERPADGNETSVKGSDSRAHEGNTLDTKEPTTPKSQAQSHKLRVFKPSVAAAQIVPSQPKSQIPKELERAEQLVQNEMRTFIVNLMQTLTAKATTQNILNKSALYINYCTRVTQLITGEHIEIHPSTVATLLAKIIQLQVGEDTQPGQFCSCITEVIRDILRKGPAGSDPANGTIHGYAILKQLCDLSTSVVRPSKSQCALEFPLFYKDAEIFNAKPPAATGLRQKEIEAKIEDLAVQCARRLQSHQPRKVEKDALIKELARSVFMSKTQSEQM
+>sp|P30411|BKRB2_HUMAN B2 bradykinin receptor OS=Homo sapiens OX=9606 GN=BDKRB2 PE=1 SV=2
+MFSPWKISMFLSVREDSVPTTASFSADMLNVTLQGPTLNGTFAQSKCPQVEWLGWLNTIQPPFLWVLFVLATLENIFVLSVFCLHKSSCTVAEIYLGNLAAADLILACGLPFWAITISNNFDWLFGETLCRVVNAIISMNLYSSICFLMLVSIDRYLALVKTMSMGRMRGVRWAKLYSLVIWGCTLLLSSPMLVFRTMKEYSDEGHNVTACVISYPSLIWEVFTNMLLNVVGFLLPLSVITFCTMQIMQVLRNNEMQKFKEIQTERRATVLVLVVLLLFIICWLPFQISTFLDTLHRLGILSSCQDERIIDVITQIASFMAYSNSCLNPLVYVIVGKRFRKKSWEVYQGVCQKGGCRSEPIQMENSMGTLRTSISVERQIHKLQDWAGSRQ
+>DECOY_sp|P30411|BKRB2_HUMAN B2 bradykinin receptor OS=Homo sapiens OX=9606 GN=BDKRB2 PE=1 SV=2
+QRSGAWDQLKHIQREVSISTRLTGMSNEMQIPESRCGGKQCVGQYVEWSKKRFRKGVIVYVLPNLCSNSYAMFSAIQTIVDIIREDQCSSLIGLRHLTDLFTSIQFPLWCIIFLLLVVLVLVTARRETQIEKFKQMENNRLVQMIQMTCFTIVSLPLLFGVVNLLMNTFVEWILSPYSIVCATVNHGEDSYEKMTRFVLMPSSLLLTCGWIVLSYLKAWRVGRMRGMSMTKVLALYRDISVLMLFCISSYLNMSIIANVVRCLTEGFLWDFNNSITIAWFPLGCALILDAAALNGLYIEAVTCSSKHLCFVSLVFINELTALVFLVWLFPPQITNLWGLWEVQPCKSQAFTGNLTPGQLTVNLMDASFSATTPVSDERVSLFMSIKWPSFM
+>sp|Q8TDH9|BL1S5_HUMAN Biogenesis of lysosome-related organelles complex 1 subunit 5 OS=Homo sapiens OX=9606 GN=BLOC1S5 PE=1 SV=1
+MSGGGTETPVGCEAAPGGGSKKRDSLGTAGSAHLIIKDLGEIHSRLLDHRPVIQGETRYFVKEFEEKRGLREMRVLENLKNMIHETNEHTLPKCRDTMRDSLSQVLQRLQAANDSVCRLQQREQERKKIHSDHLVASEKQHMLQWDNFMKEQPNKRAEVDEEHRKAMERLKEQYAEMEKDLAKFSTF
+>DECOY_sp|Q8TDH9|BL1S5_HUMAN Biogenesis of lysosome-related organelles complex 1 subunit 5 OS=Homo sapiens OX=9606 GN=BLOC1S5 PE=1 SV=1
+FTSFKALDKEMEAYQEKLREMAKRHEEDVEARKNPQEKMFNDWQLMHQKESAVLHDSHIKKREQERQQLRCVSDNAAQLRQLVQSLSDRMTDRCKPLTHENTEHIMNKLNELVRMERLGRKEEFEKVFYRTEGQIVPRHDLLRSHIEGLDKIILHASGATGLSDRKKSGGGPAAECGVPTETGGGSM
+>sp|A4D250|BLACE_HUMAN B-cell acute lymphoblastic leukemia-expressed protein OS=Homo sapiens OX=9606 GN=BLACE PE=2 SV=2
+MMKDIIPASSWASEESTDLQNGSFPLSVAPRSEFPRRRALEDWLLSVFLADQAESEGQLVLERVRDTPPPVTSPRGDGICVSRGKAPSSPGGSTHAWLYLTRHFPWSPFPHGGWTDTSEPCVLETLGGSSLAALRGNSLWVQSSGACAFCVYESLIEQSLPNERFEELLLGPSPGEVMK
+>DECOY_sp|A4D250|BLACE_HUMAN B-cell acute lymphoblastic leukemia-expressed protein OS=Homo sapiens OX=9606 GN=BLACE PE=2 SV=2
+KMVEGPSPGLLLEEFRENPLSQEILSEYVCFACAGSSQVWLSNGRLAALSSGGLTELVCPESTDTWGGHPFPSWPFHRTLYLWAHTSGGPSSPAKGRSVCIGDGRPSTVPPPTDRVRELVLQGESEAQDALFVSLLWDELARRRPFESRPAVSLPFSGNQLDTSEESAWSSAPIIDKMM
+>sp|Q13867|BLMH_HUMAN Bleomycin hydrolase OS=Homo sapiens OX=9606 GN=BLMH PE=1 SV=1
+MSSSGLNSEKVAALIQKLNSDPQFVLAQNVGTTHDLLDICLKRATVQRAQHVFQHAVPQEGKPITNQKSSGRCWIFSCLNVMRLPFMKKLNIEEFEFSQSYLFFWDKVERCYFFLSAFVDTAQRKEPEDGRLVQFLLMNPANDGGQWDMLVNIVEKYGVIPKKCFPESYTTEATRRMNDILNHKMREFCIRLRNLVHSGATKGEISATQDVMMEEIFRVVCICLGNPPETFTWEYRDKDKNYQKIGPITPLEFYREHVKPLFNMEDKICLVNDPRPQHKYNKLYTVEYLSNMVGGRKTLYNNQPIDFLKKMVAASIKDGEAVWFGCDVGKHFNSKLGLSDMNLYDHELVFGVSLKNMNKAERLTFGESLMTHAMTFTAVSEKDDQDGAFTKWRVENSWGEDHGHKGYLCMTDEWFSEYVYEVVVDRKHVPEEVLAVLEQEPIILPAWDPMGALAE
+>DECOY_sp|Q13867|BLMH_HUMAN Bleomycin hydrolase OS=Homo sapiens OX=9606 GN=BLMH PE=1 SV=1
+EALAGMPDWAPLIIPEQELVALVEEPVHKRDVVVEYVYESFWEDTMCLYGKHGHDEGWSNEVRWKTFAGDQDDKESVATFTMAHTMLSEGFTLREAKNMNKLSVGFVLEHDYLNMDSLGLKSNFHKGVDCGFWVAEGDKISAAVMKKLFDIPQNNYLTKRGGVMNSLYEVTYLKNYKHQPRPDNVLCIKDEMNFLPKVHERYFELPTIPGIKQYNKDKDRYEWTFTEPPNGLCICVVRFIEEMMVDQTASIEGKTAGSHVLNRLRICFERMKHNLIDNMRRTAETTYSEPFCKKPIVGYKEVINVLMDWQGGDNAPNMLLFQVLRGDEPEKRQATDVFASLFFYCREVKDWFFLYSQSFEFEEINLKKMFPLRMVNLCSFIWCRGSSKQNTIPKGEQPVAHQFVHQARQVTARKLCIDLLDHTTGVNQALVFQPDSNLKQILAAVKESNLGSSSM
+>sp|O95393|BMP10_HUMAN Bone morphogenetic protein 10 OS=Homo sapiens OX=9606 GN=BMP10 PE=1 SV=1
+MGSLVLTLCALFCLAAYLVSGSPIMNLEQSPLEEDMSLFGDVFSEQDGVDFNTLLQSMKDEFLKTLNLSDIPTQDSAKVDPPEYMLELYNKFATDRTSMPSANIIRSFKNEDLFSQPVSFNGLRKYPLLFNVSIPHHEEVIMAELRLYTLVQRDRMIYDGVDRKITIFEVLESKGDNEGERNMLVLVSGEIYGTNSEWETFDVTDAIRRWQKSGSSTHQLEVHIESKHDEAEDASSGRLEIDTSAQNKHNPLLIVFSDDQSSDKERKEELNEMISHEQLPELDNLGLDSFSSGPGEEALLQMRSNIIYDSTARIRRNAKGNYCKRTPLYIDFKEIGWDSWIIAPPGYEAYECRGVCNYPLAEHLTPTKHAIIQALVHLKNSQKASKACCVPTKLEPISILYLDKGVVTYKFKYEGMAVSECGCR
+>DECOY_sp|O95393|BMP10_HUMAN Bone morphogenetic protein 10 OS=Homo sapiens OX=9606 GN=BMP10 PE=1 SV=1
+RCGCESVAMGEYKFKYTVVGKDLYLISIPELKTPVCCAKSAKQSNKLHVLAQIIAHKTPTLHEALPYNCVGRCEYAEYGPPAIIWSDWGIEKFDIYLPTRKCYNGKANRRIRATSDYIINSRMQLLAEEGPGSSFSDLGLNDLEPLQEHSIMENLEEKREKDSSQDDSFVILLPNHKNQASTDIELRGSSADEAEDHKSEIHVELQHTSSGSKQWRRIADTVDFTEWESNTGYIEGSVLVLMNREGENDGKSELVEFITIKRDVGDYIMRDRQVLTYLRLEAMIVEEHHPISVNFLLPYKRLGNFSVPQSFLDENKFSRIINASPMSTRDTAFKNYLELMYEPPDVKASDQTPIDSLNLTKLFEDKMSQLLTNFDVGDQESFVDGFLSMDEELPSQELNMIPSGSVLYAALCFLACLTLVLSGM
+>sp|P12645|BMP3_HUMAN Bone morphogenetic protein 3 OS=Homo sapiens OX=9606 GN=BMP3 PE=1 SV=2
+MAGASRLLFLWLGCFCVSLAQGERPKPPFPELRKAVPGDRTAGGGPDSELQPQDKVSEHMLRLYDRYSTVQAARTPGSLEGGSQPWRPRLLREGNTVRSFRAAAAETLERKGLYIFNLTSLTKSENILSATLYFCIGELGNISLSCPVSGGCSHHAQRKHIQIDLSAWTLKFSRNQSQLLGHLSVDMAKSHRDIMSWLSKDITQLLRKAKENEEFLIGFNITSKGRQLPKRRLPFPEPYILVYANDAAISEPESVVSSLQGHRNFPTGTVPKWDSHIRAALSIERRKKRSTGVLLPLQNNELPGAEYQYKKDEVWEERKPYKTLQAQAPEKSKNKKKQRKGPHRKSQTLQFDEQTLKKARRKQWIEPRNCARRYLKVDFADIGWSEWIISPKSFDAYYCSGACQFPMPKSLKPSNHATIQSIVRAVGVVPGIPEPCCVPEKMSSLSILFFDENKNVVLKVYPNMTVESCACR
+>DECOY_sp|P12645|BMP3_HUMAN Bone morphogenetic protein 3 OS=Homo sapiens OX=9606 GN=BMP3 PE=1 SV=2
+RCACSEVTMNPYVKLVVNKNEDFFLISLSSMKEPVCCPEPIGPVVGVARVISQITAHNSPKLSKPMPFQCAGSCYYADFSKPSIIWESWGIDAFDVKLYRRACNRPEIWQKRRAKKLTQEDFQLTQSKRHPGKRQKKKNKSKEPAQAQLTKYPKREEWVEDKKYQYEAGPLENNQLPLLVGTSRKKRREISLAARIHSDWKPVTGTPFNRHGQLSSVVSEPESIAADNAYVLIYPEPFPLRRKPLQRGKSTINFGILFEENEKAKRLLQTIDKSLWSMIDRHSKAMDVSLHGLLQSQNRSFKLTWASLDIQIHKRQAHHSCGGSVPCSLSINGLEGICFYLTASLINESKTLSTLNFIYLGKRELTEAAAARFSRVTNGERLLRPRWPQSGGELSGPTRAAQVTSYRDYLRLMHESVKDQPQLESDPGGGATRDGPVAKRLEPFPPKPREGQALSVCFCGLWLFLLRSAGAM
+>sp|P18075|BMP7_HUMAN Bone morphogenetic protein 7 OS=Homo sapiens OX=9606 GN=BMP7 PE=1 SV=1
+MHVRSLRAAAPHSFVALWAPLFLLRSALADFSLDNEVHSSFIHRRLRSQERREMQREILSILGLPHRPRPHLQGKHNSAPMFMLDLYNAMAVEEGGGPGGQGFSYPYKAVFSTQGPPLASLQDSHFLTDADMVMSFVNLVEHDKEFFHPRYHHREFRFDLSKIPEGEAVTAAEFRIYKDYIRERFDNETFRISVYQVLQEHLGRESDLFLLDSRTLWASEEGWLVFDITATSNHWVVNPRHNLGLQLSVETLDGQSINPKLAGLIGRHGPQNKQPFMVAFFKATEVHFRSIRSTGSKQRSQNRSKTPKNQEALRMANVAENSSSDQRQACKKHELYVSFRDLGWQDWIIAPEGYAAYYCEGECAFPLNSYMNATNHAIVQTLVHFINPETVPKPCCAPTQLNAISVLYFDDSSNVILKKYRNMVVRACGCH
+>DECOY_sp|P18075|BMP7_HUMAN Bone morphogenetic protein 7 OS=Homo sapiens OX=9606 GN=BMP7 PE=1 SV=1
+HCGCARVVMNRYKKLIVNSSDDFYLVSIANLQTPACCPKPVTEPNIFHVLTQVIAHNTANMYSNLPFACEGECYYAAYGEPAIIWDQWGLDRFSVYLEHKKCAQRQDSSSNEAVNAMRLAEQNKPTKSRNQSRQKSGTSRISRFHVETAKFFAVMFPQKNQPGHRGILGALKPNISQGDLTEVSLQLGLNHRPNVVWHNSTATIDFVLWGEESAWLTRSDLLFLDSERGLHEQLVQYVSIRFTENDFRERIYDKYIRFEAATVAEGEPIKSLDFRFERHHYRPHFFEKDHEVLNVFSMVMDADTLFHSDQLSALPPGQTSFVAKYPYSFGQGGPGGGEEVAMANYLDLMFMPASNHKGQLHPRPRHPLGLISLIERQMERREQSRLRRHIFSSHVENDLSFDALASRLLFLPAWLAVFSHPAAARLSRVHM
+>sp|P36894|BMR1A_HUMAN Bone morphogenetic protein receptor type-1A OS=Homo sapiens OX=9606 GN=BMPR1A PE=1 SV=2
+MPQLYIYIRLLGAYLFIISRVQGQNLDSMLHGTGMKSDSDQKKSENGVTLAPEDTLPFLKCYCSGHCPDDAINNTCITNGHCFAIIEEDDQGETTLASGCMKYEGSDFQCKDSPKAQLRRTIECCRTNLCNQYLQPTLPPVVIGPFFDGSIRWLVLLISMAVCIIAMIIFSSCFCYKHYCKSISSRRRYNRDLEQDEAFIPVGESLKDLIDQSQSSGSGSGLPLLVQRTIAKQIQMVRQVGKGRYGEVWMGKWRGEKVAVKVFFTTEEASWFRETEIYQTVLMRHENILGFIAADIKGTGSWTQLYLITDYHENGSLYDFLKCATLDTRALLKLAYSAACGLCHLHTEIYGTQGKPAIAHRDLKSKNILIKKNGSCCIADLGLAVKFNSDTNEVDVPLNTRVGTKRYMAPEVLDESLNKNHFQPYIMADIYSFGLIIWEMARRCITGGIVEEYQLPYYNMVPSDPSYEDMREVVCVKRLRPIVSNRWNSDECLRAVLKLMSECWAHNPASRLTALRIKKTLAKMVESQDVKI
+>DECOY_sp|P36894|BMR1A_HUMAN Bone morphogenetic protein receptor type-1A OS=Homo sapiens OX=9606 GN=BMPR1A PE=1 SV=2
+IKVDQSEVMKALTKKIRLATLRSAPNHAWCESMLKLVARLCEDSNWRNSVIPRLRKVCVVERMDEYSPDSPVMNYYPLQYEEVIGGTICRRAMEWIILGFSYIDAMIYPQFHNKNLSEDLVEPAMYRKTGVRTNLPVDVENTDSNFKVALGLDAICCSGNKKILINKSKLDRHAIAPKGQTGYIETHLHCLGCAASYALKLLARTDLTACKLFDYLSGNEHYDTILYLQTWSGTGKIDAAIFGLINEHRMLVTQYIETERFWSAEETTFFVKVAVKEGRWKGMWVEGYRGKGVQRVMQIQKAITRQVLLPLGSGSGSSQSQDILDKLSEGVPIFAEDQELDRNYRRRSSISKCYHKYCFCSSFIIMAIICVAMSILLVLWRISGDFFPGIVVPPLTPQLYQNCLNTRCCEITRRLQAKPSDKCQFDSGEYKMCGSALTTEGQDDEEIIAFCHGNTICTNNIADDPCHGSCYCKLFPLTDEPALTVGNESKKQDSDSKMGTGHLMSDLNQGQVRSIIFLYAGLLRIYIYLQPM
+>sp|O00238|BMR1B_HUMAN Bone morphogenetic protein receptor type-1B OS=Homo sapiens OX=9606 GN=BMPR1B PE=1 SV=1
+MLLRSAGKLNVGTKKEDGESTAPTPRPKVLRCKCHHHCPEDSVNNICSTDGYCFTMIEEDDSGLPVVTSGCLGLEGSDFQCRDTPIPHQRRSIECCTERNECNKDLHPTLPPLKNRDFVDGPIHHRALLISVTVCSLLLVLIILFCYFRYKRQETRPRYSIGLEQDETYIPPGESLRDLIEQSQSSGSGSGLPLLVQRTIAKQIQMVKQIGKGRYGEVWMGKWRGEKVAVKVFFTTEEASWFRETEIYQTVLMRHENILGFIAADIKGTGSWTQLYLITDYHENGSLYDYLKSTTLDAKSMLKLAYSSVSGLCHLHTEIFSTQGKPAIAHRDLKSKNILVKKNGTCCIADLGLAVKFISDTNEVDIPPNTRVGTKRYMPPEVLDESLNRNHFQSYIMADMYSFGLILWEVARRCVSGGIVEEYQLPYHDLVPSDPSYEDMREIVCIKKLRPSFPNRWSSDECLRQMGKLMTECWAHNPASRLTALRVKKTLAKMSESQDIKL
+>DECOY_sp|O00238|BMR1B_HUMAN Bone morphogenetic protein receptor type-1B OS=Homo sapiens OX=9606 GN=BMPR1B PE=1 SV=1
+LKIDQSESMKALTKKVRLATLRSAPNHAWCETMLKGMQRLCEDSSWRNPFSPRLKKICVIERMDEYSPDSPVLDHYPLQYEEVIGGSVCRRAVEWLILGFSYMDAMIYSQFHNRNLSEDLVEPPMYRKTGVRTNPPIDVENTDSIFKVALGLDAICCTGNKKVLINKSKLDRHAIAPKGQTSFIETHLHCLGSVSSYALKLMSKADLTTSKLYDYLSGNEHYDTILYLQTWSGTGKIDAAIFGLINEHRMLVTQYIETERFWSAEETTFFVKVAVKEGRWKGMWVEGYRGKGIQKVMQIQKAITRQVLLPLGSGSGSSQSQEILDRLSEGPPIYTEDQELGISYRPRTEQRKYRFYCFLIILVLLLSCVTVSILLARHHIPGDVFDRNKLPPLTPHLDKNCENRETCCEISRRQHPIPTDRCQFDSGELGLCGSTVVPLGSDDEEIMTFCYGDTSCINNVSDEPCHHHCKCRLVKPRPTPATSEGDEKKTGVNLKGASRLLM
+>sp|Q01954|BNC1_HUMAN Zinc finger protein basonuclin-1 OS=Homo sapiens OX=9606 GN=BNC1 PE=1 SV=2
+MRRRPPSRGGRGAARARETRRQPRHRSGRRMAEAISCTLNCSCQSFKPGKINHRQCDQCKHGWVAHALSKLRIPPMYPTSQVEIVQSNVVFDISSLMLYGTQAIPVRLKILLDRLFSVLKQDEVLQILHALDWTLQDYIRGYVLQDASGKVLDHWSIMTSEEEVATLQQFLRFGETKSIVELMAIQEKEEQSIIIPPSTANVDIRAFIESCSHRSSSLPTPVDKGNPSSIHPFENLISNMTFMLPFQFFNPLPPALIGSLPEQYMLEQGHDQSQDPKQEVHGPFPDSSFLTSSSTPFQVEKDQCLNCPDAITKKEDSTHLSDSSSYNIVTKFERTQLSPEAKVKPERNSLGTKKGRVFCTACEKTFYDKGTLKIHYNAVHLKIKHKCTIEGCNMVFSSLRSRNRHSANPNPRLHMPMNRNNRDKDLRNSLNLASSENYKCPGFTVTSPDCRPPPSYPGSGEDSKGQPAFPNIGQNGVLFPNLKTVQPVLPFYRSPATPAEVANTPGILPSLPLLSSSIPEQLISNEMPFDALPKKKSRKSSMPIKIEKEAVEIANEKRHNLSSDEDMPLQVVSEDEQEACSPQSHRVSEEQHVQSGGLGKPFPEGERPCHRESVIESSGAISQTPEQATHNSERETEQTPALIMVPREVEDGGHEHYFTPGMEPQVPFSDYMELQQRLLAGGLFSALSNRGMAFPCLEDSKELEHVGQHALARQIEENRFQCDICKKTFKNACSVKIHHKNMHVKEMHTCTVEGCNATFPSRRSRDRHSSNLNLHQKALSQEALESSEDHFRAAYLLKDVAKEAYQDVAFTQQASQTSVIFKGTSRMGSLVYPITQVHSASLESYNSGPLSEGTILDLSTTSSMKSESSSHSSWDSDGVSEEGTVLMEDSDGNCEGSSLVPGEDEYPICVLMEKADQSLASLPSGLPITCHLCQKTYSNKGTFRAHYKTVHLRQLHKCKVPGCNTMFSSVRSRNRHSQNPNLHKSLASSPSHLQ
+>DECOY_sp|Q01954|BNC1_HUMAN Zinc finger protein basonuclin-1 OS=Homo sapiens OX=9606 GN=BNC1 PE=1 SV=2
+QLHSPSSALSKHLNPNQSHRNRSRVSSFMTNCGPVKCKHLQRLHVTKYHARFTGKNSYTKQCLHCTIPLGSPLSALSQDAKEMLVCIPYEDEGPVLSSGECNGDSDEMLVTGEESVGDSDWSSHSSSESKMSSTTSLDLITGESLPGSNYSELSASHVQTIPYVLSGMRSTGKFIVSTQSAQQTFAVDQYAEKAVDKLLYAARFHDESSELAEQSLAKQHLNLNSSHRDRSRRSPFTANCGEVTCTHMEKVHMNKHHIKVSCANKFTKKCIDCQFRNEEIQRALAHQGVHELEKSDELCPFAMGRNSLASFLGGALLRQQLEMYDSFPVQPEMGPTFYHEHGGDEVERPVMILAPTQETERESNHTAQEPTQSIAGSSEIVSERHCPREGEPFPKGLGGSQVHQEESVRHSQPSCAEQEDESVVQLPMDEDSSLNHRKENAIEVAEKEIKIPMSSKRSKKKPLADFPMENSILQEPISSSLLPLSPLIGPTNAVEAPTAPSRYFPLVPQVTKLNPFLVGNQGINPFAPQGKSDEGSGPYSPPPRCDPSTVTFGPCKYNESSALNLSNRLDKDRNNRNMPMHLRPNPNASHRNRSRLSSFVMNCGEITCKHKIKLHVANYHIKLTGKDYFTKECATCFVRGKKTGLSNREPKVKAEPSLQTREFKTVINYSSSDSLHTSDEKKTIADPCNLCQDKEVQFPTSSSTLFSSDPFPGHVEQKPDQSQDHGQELMYQEPLSGILAPPLPNFFQFPLMFTMNSILNEFPHISSPNGKDVPTPLSSSRHSCSEIFARIDVNATSPPIIISQEEKEQIAMLEVISKTEGFRLFQQLTAVEEESTMISWHDLVKGSADQLVYGRIYDQLTWDLAHLIQLVEDQKLVSFLRDLLIKLRVPIAQTGYLMLSSIDFVVNSQVIEVQSTPYMPPIRLKSLAHAVWGHKCQDCQRHNIKGPKFSQCSCNLTCSIAEAMRRGSRHRPQRRTERARAAGRGGRSPPRRRM
+>sp|O60238|BNI3L_HUMAN BCL2/adenovirus E1B 19 kDa protein-interacting protein 3-like OS=Homo sapiens OX=9606 GN=BNIP3L PE=1 SV=1
+MSSHLVEPPPPLHNNNNNCEENEQSLPPPAGLNSSWVELPMNSSNGNDNGNGKNGGLEHVPSSSSIHNGDMEKILLDAQHESGQSSSRGSSHCDSPSPQEDGQIMFDVEMHTSRDHSSQSEEEVVEGEKEVEALKKSADWVSDWSSRPENIPPKEFHFRHPKRSVSLSMRKSGAMKKGGIFSAEFLKVFIPSLFLSHVLALGLGIYIGKRLSTPSASTY
+>DECOY_sp|O60238|BNI3L_HUMAN BCL2/adenovirus E1B 19 kDa protein-interacting protein 3-like OS=Homo sapiens OX=9606 GN=BNIP3L PE=1 SV=1
+YTSASPTSLRKGIYIGLGLALVHSLFLSPIFVKLFEASFIGGKKMAGSKRMSLSVSRKPHRFHFEKPPINEPRSSWDSVWDASKKLAEVEKEGEVVEEESQSSHDRSTHMEVDFMIQGDEQPSPSDCHSSGRSSSQGSEHQADLLIKEMDGNHISSSSPVHELGGNKGNGNDNGNSSNMPLEVWSSNLGAPPPLSQENEECNNNNNHLPPPPEVLHSSM
+>sp|Q9GZL8|BPEC1_HUMAN Putative BPES syndrome breakpoint region protein OS=Homo sapiens OX=9606 GN=BPESC1 PE=2 SV=1
+MGGRDQITNLGSEGETHPWGYSSPPYPLHTFFIPFLPSGFGGSGLGIPSDNEKHDLQDCVEVSRPEGPAPELPSSLCGWNKISSLCGLGFPSRDPKTWDLAMLLSPWVDFFELQLL
+>DECOY_sp|Q9GZL8|BPEC1_HUMAN Putative BPES syndrome breakpoint region protein OS=Homo sapiens OX=9606 GN=BPESC1 PE=2 SV=1
+LLQLEFFDVWPSLLMALDWTKPDRSPFGLGCLSSIKNWGCLSSPLEPAPGEPRSVEVCDQLDHKENDSPIGLGSGGFGSPLFPIFFTHLPYPPSSYGWPHTEGESGLNTIQDRGGM
+>sp|Q96DR5|BPIA2_HUMAN BPI fold-containing family A member 2 OS=Homo sapiens OX=9606 GN=BPIFA2 PE=1 SV=2
+MLQLWKLVLLCGVLTGTSESLLDNLGNDLSNVVDKLEPVLHEGLETVDNTLKGILEKLKVDLGVLQKSSAWQLAKQKAQEAEKLLNNVISKLLPTNTDIFGLKISNSLILDVKAEPIDDGKGLNLSFPVTANVTVAGPIIGQIINLKASLDLLTAVTIETDPQTHQPVAVLGECASDPTSISLSLLDKHSQIINKFVNSVINTLKSTVSSLLQKEICPLIRIFIHSLDVNVIQQVVDNPQHKTQLQTLI
+>DECOY_sp|Q96DR5|BPIA2_HUMAN BPI fold-containing family A member 2 OS=Homo sapiens OX=9606 GN=BPIFA2 PE=1 SV=2
+ILTQLQTKHQPNDVVQQIVNVDLSHIFIRILPCIEKQLLSSVTSKLTNIVSNVFKNIIQSHKDLLSLSISTPDSACEGLVAVPQHTQPDTEITVATLLDLSAKLNIIQGIIPGAVTVNATVPFSLNLGKGDDIPEAKVDLILSNSIKLGFIDTNTPLLKSIVNNLLKEAEQAKQKALQWASSKQLVGLDVKLKELIGKLTNDVTELGEHLVPELKDVVNSLDNGLNDLLSESTGTLVGCLLVLKWLQLM
+>sp|P59826|BPIB3_HUMAN BPI fold-containing family B member 3 OS=Homo sapiens OX=9606 GN=BPIFB3 PE=2 SV=2
+MQPVMLALWSLLLLWGLATPCQELLETVGTLARIDKDELGKAIQNSLVGEPILQNVLGSVTAVNRGLLGSGGLLGGGGLLGHGGVFGVVEELSGLKIEELTLPKVLLKLLPGFGVQLSLHTKVGMHCSGPLGGLLQLAAEVNVTSRVALAVSSRGTPILILKRCSTLLGHISLFSGLLPTPLFGVVEQMLFKVLPGLLCPVVDSVLGVVNELLGAVLGLVSLGALGSVEFSLATLPLISNQYIELDINPIVKSVAGDIIDFPKSRAPAKVPPKKDHTSQVMVPLYLFNTTFGLLQTNGALDMDITPELVPSDVPLTTTDLAALLPEALGKLPLHQQLLLFLRVREAPTVTLHNKKALVSLPANIHVLFYVPKGTPESLFELNSVMTVRAQLAPSATKLHISLSLERLSVKVASSFTHAFDGSRLEEWLSHVVGAVYAPKLNVALDVGIPLPKVLNINFSNSVLEIVENAVVLTVAS
+>DECOY_sp|P59826|BPIB3_HUMAN BPI fold-containing family B member 3 OS=Homo sapiens OX=9606 GN=BPIFB3 PE=2 SV=2
+SAVTLVVANEVIELVSNSFNINLVKPLPIGVDLAVNLKPAYVAGVVHSLWEELRSGDFAHTFSSAVKVSLRELSLSIHLKTASPALQARVTMVSNLEFLSEPTGKPVYFLVHINAPLSVLAKKNHLTVTPAERVRLFLLLQQHLPLKGLAEPLLAALDTTTLPVDSPVLEPTIDMDLAGNTQLLGFTTNFLYLPVMVQSTHDKKPPVKAPARSKPFDIIDGAVSKVIPNIDLEIYQNSILPLTALSFEVSGLAGLSVLGLVAGLLENVVGLVSDVVPCLLGPLVKFLMQEVVGFLPTPLLGSFLSIHGLLTSCRKLILIPTGRSSVALAVRSTVNVEAALQLLGGLPGSCHMGVKTHLSLQVGFGPLLKLLVKPLTLEEIKLGSLEEVVGFVGGHGLLGGGGLLGGSGLLGRNVATVSGLVNQLIPEGVLSNQIAKGLEDKDIRALTGVTELLEQCPTALGWLLLLSWLALMVPQM
+>sp|Q8NFQ5|BPIB6_HUMAN BPI fold-containing family B member 6 OS=Homo sapiens OX=9606 GN=BPIFB6 PE=1 SV=1
+MLRILCLALCSLLTGTRADPGALLRLGMDIMNQVQSAMDESHILEKMAAEAGKKQPGMKPIKGITNLKVKDVQLPVITLNFVPGVGIFQCVSTGMTVTGKSFMGGNMEIIVALNITATNRLLRDEETGLPVFKSEGCEVILVNVKTNLPSNMLPKMVNKFLDSTLHKVLPGLMCPAIDAVLVYVNRKWTNLSDPMPVGQMGTVKYVLMSAPATTASYIQLDFSPVVQQQKGKTIKLADAGEALTFPEGYAKGSSQLLLPATFLSAELALLQKSFHVNIQDTMIGELPPQTTKTLARFIPEVAVAYPKSKPLTTQIKIKKPPKVTMKTGKSLLHLHSTLEMFAARWRSKAPMSLFLLEVHFNLKVQYSVHENQLQMATSLDRLLSLSRKSSSIGNFNERELTGFITSYLEEAYIPVVNDVLQVGLPLPDFLAMNYNLAELDIVENALMLDLKLG
+>DECOY_sp|Q8NFQ5|BPIB6_HUMAN BPI fold-containing family B member 6 OS=Homo sapiens OX=9606 GN=BPIFB6 PE=1 SV=1
+GLKLDLMLANEVIDLEALNYNMALFDPLPLGVQLVDNVVPIYAEELYSTIFGTLERENFNGISSSKRSLSLLRDLSTAMQLQNEHVSYQVKLNFHVELLFLSMPAKSRWRAAFMELTSHLHLLSKGTKMTVKPPKKIKIQTTLPKSKPYAVAVEPIFRALTKTTQPPLEGIMTDQINVHFSKQLLALEASLFTAPLLLQSSGKAYGEPFTLAEGADALKITKGKQQQVVPSFDLQIYSATTAPASMLVYKVTGMQGVPMPDSLNTWKRNVYVLVADIAPCMLGPLVKHLTSDLFKNVMKPLMNSPLNTKVNVLIVECGESKFVPLGTEEDRLLRNTATINLAVIIEMNGGMFSKGTVTMGTSVCQFIGVGPVFNLTIVPLQVDKVKLNTIGKIPKMGPQKKGAEAAMKELIHSEDMASQVQNMIDMGLRLLAGPDARTGTLLSCLALCLIRLM
+>sp|P50747|BPL1_HUMAN Biotin--protein ligase OS=Homo sapiens OX=9606 GN=HLCS PE=1 SV=1
+MEDRLHMDNGLVPQKIVSVHLQDSTLKEVKDQVSNKQAQILEPKPEPSLEIKPEQDGMEHVGRDDPKALGEEPKQRRGSASGSEPAGDSDRGGGPVEHYHLHLSSCHECLELENSTIESVKFASAENIPDLPYDYSSSLESVADETSPEREGRRVNLTGKAPNILLYVGSDSQEALGRFHEVRSVLADCVDIDSYILYHLLEDSALRDPWTDNCLLLVIATRESIPEDLYQKFMAYLSQGGKVLGLSSSFTFGGFQVTSKGALHKTVQNLVFSKADQSEVKLSVLSSGCRYQEGPVRLSPGRLQGHLENEDKDRMIVHVPFGTRGGEAVLCQVHLELPPSSNIVQTPEDFNLLKSSNFRRYEVLREILTTLGLSCDMKQVPALTPLYLLSAAEEIRDPLMQWLGKHVDSEGEIKSGQLSLRFVSSYVSEVEITPSCIPVVTNMEAFSSEHFNLEIYRQNLQTKQLGKVILFAEVTPTTMRLLDGLMFQTPQEMGLIVIAARQTEGKGRGGNVWLSPVGCALSTLLISIPLRSQLGQRIPFVQHLMSVAVVEAVRSIPEYQDINLRVKWPNDIYYSDLMKIGGVLVNSTLMGETFYILIGCGFNVTNSNPTICINDLITEYNKQHKAELKPLRADYLIARVVTVLEKLIKEFQDKGPNSVLPLYYRYWVHSGQQVHLGSAEGPKVSIVGLDDSGFLQVHQEGGEVVTVHPDGNSFDMLRNLILPKRR
+>DECOY_sp|P50747|BPL1_HUMAN Biotin--protein ligase OS=Homo sapiens OX=9606 GN=HLCS PE=1 SV=1
+RRKPLILNRLMDFSNGDPHVTVVEGGEQHVQLFGSDDLGVISVKPGEASGLHVQQGSHVWYRYYLPLVSNPGKDQFEKILKELVTVVRAILYDARLPKLEAKHQKNYETILDNICITPNSNTVNFGCGILIYFTEGMLTSNVLVGGIKMLDSYYIDNPWKVRLNIDQYEPISRVAEVVAVSMLHQVFPIRQGLQSRLPISILLTSLACGVPSLWVNGGRGKGETQRAAIVILGMEQPTQFMLGDLLRMTTPTVEAFLIVKGLQKTQLNQRYIELNFHESSFAEMNTVVPICSPTIEVESVYSSVFRLSLQGSKIEGESDVHKGLWQMLPDRIEEAASLLYLPTLAPVQKMDCSLGLTTLIERLVEYRRFNSSKLLNFDEPTQVINSSPPLELHVQCLVAEGGRTGFPVHVIMRDKDENELHGQLRGPSLRVPGEQYRCGSSLVSLKVESQDAKSFVLNQVTKHLAGKSTVQFGGFTFSSSLGLVKGGQSLYAMFKQYLDEPISERTAIVLLLCNDTWPDRLASDELLHYLIYSDIDVCDALVSRVEHFRGLAEQSDSGVYLLINPAKGTLNVRRGEREPSTEDAVSELSSSYDYPLDPINEASAFKVSEITSNELELCEHCSSLHLHYHEVPGGGRDSDGAPESGSASGRRQKPEEGLAKPDDRGVHEMGDQEPKIELSPEPKPELIQAQKNSVQDKVEKLTSDQLHVSVIKQPVLGNDMHLRDEM
+>sp|O95861|BPNT1_HUMAN 3'(2'),5'-bisphosphate nucleotidase 1 OS=Homo sapiens OX=9606 GN=BPNT1 PE=1 SV=1
+MASSNTVLMRLVASAYSIAQKAGMIVRRVIAEGDLGIVEKTCATDLQTKADRLAQMSICSSLARKFPKLTIIGEEDLPSEEVDQELIEDSQWEEILKQPCPSQYSAIKEEDLVVWVDPLDGTKEYTEGLLDNVTVLIGIAYEGKAIAGVINQPYYNYEAGPDAVLGRTIWGVLGLGAFGFQLKEVPAGKHIITTTRSHSNKLVTDCVAAMNPDAVLRVGGAGNKIIQLIEGKASAYVFASPGCKKWDTCAPEVILHAVGGKLTDIHGNVLQYHKDVKHMNSAGVLATLRNYDYYASRVPESIKNALVP
+>DECOY_sp|O95861|BPNT1_HUMAN 3'(2'),5'-bisphosphate nucleotidase 1 OS=Homo sapiens OX=9606 GN=BPNT1 PE=1 SV=1
+PVLANKISEPVRSAYYDYNRLTALVGASNMHKVDKHYQLVNGHIDTLKGGVAHLIVEPACTDWKKCGPSAFVYASAKGEILQIIKNGAGGVRLVADPNMAAVCDTVLKNSHSRTTTIIHKGAPVEKLQFGFAGLGLVGWITRGLVADPGAEYNYYPQNIVGAIAKGEYAIGILVTVNDLLGETYEKTGDLPDVWVVLDEEKIASYQSPCPQKLIEEWQSDEILEQDVEESPLDEEGIITLKPFKRALSSCISMQALRDAKTQLDTACTKEVIGLDGEAIVRRVIMGAKQAISYASAVLRMLVTNSSAM
+>sp|Q3C1V8|BSH_HUMAN Brain-specific homeobox protein homolog OS=Homo sapiens OX=9606 GN=BSX PE=2 SV=2
+MNLNFTSPLHPASSQRPTSFFIEDILLHKPKPLREVAPDHFASSLASRVPLLDYGYPLMPTPTLLAPHAHHPLHKGDHHHPYFLTTSGMPVPALFPHPQHAELPGKHCRRRKARTVFSDSQLSGLEKRFEIQRYLSTPERVELATALSLSETQVKTWFQNRRMKHKKQLRKSQDEPKAPDGPESPEGSPRGSEAATAAEARLSLPAGPFVLTEPEDEVDIGDEGELGSGPHVL
+>DECOY_sp|Q3C1V8|BSH_HUMAN Brain-specific homeobox protein homolog OS=Homo sapiens OX=9606 GN=BSX PE=2 SV=2
+LVHPGSGLEGEDGIDVEDEPETLVFPGAPLSLRAEAATAAESGRPSGEPSEPGDPAKPEDQSKRLQKKHKMRRNQFWTKVQTESLSLATALEVREPTSLYRQIEFRKELGSLQSDSFVTRAKRRRCHKGPLEAHQPHPFLAPVPMGSTTLFYPHHHDGKHLPHHAHPALLTPTPMLPYGYDLLPVRSALSSAFHDPAVERLPKPKHLLIDEIFFSTPRQSSAPHLPSTFNLNM
+>sp|Q8WZ55|BSND_HUMAN Barttin OS=Homo sapiens OX=9606 GN=BSND PE=1 SV=1
+MADEKTFRIGFIVLGLFLLALGTFLMSHDRPQVYGTFYAMGSVMVIGGIIWSMCQCYPKITFVPADSDFQGILSPKAMGLLENGLAAEMKSPSPQPPYVRLWEEAAYDQSLPDFSHIQMKVMSYSEDHRSLLAPEMGQPKLGTSDGGEGGPGDVQAWMEAAVVIHKGSDESEGERRLTQSWPGPLACPQGPAPLASFQDDLDMDSSEGSSPNASPHDREEACSPQQEPQGCRCPLDRFQDFALIDAPTLEDEPQEGQQWEIALPNNWQRYPRTKVEEKEASDTGGEEPEKEEEDLYYGLPDGAGDLLPDKELGFEPDTQG
+>DECOY_sp|Q8WZ55|BSND_HUMAN Barttin OS=Homo sapiens OX=9606 GN=BSND PE=1 SV=1
+GQTDPEFGLEKDPLLDGAGDPLGYYLDEEEKEPEEGGTDSAEKEEVKTRPYRQWNNPLAIEWQQGEQPEDELTPADILAFDQFRDLPCRCGQPEQQPSCAEERDHPSANPSSGESSDMDLDDQFSALPAPGQPCALPGPWSQTLRREGESEDSGKHIVVAAEMWAQVDGPGGEGGDSTGLKPQGMEPALLSRHDESYSMVKMQIHSFDPLSQDYAAEEWLRVYPPQPSPSKMEAALGNELLGMAKPSLIGQFDSDAPVFTIKPYCQCMSWIIGGIVMVSGMAYFTGYVQPRDHSMLFTGLALLFLGLVIFGIRFTKEDAM
+>sp|Q5W0U4|BSPRY_HUMAN B box and SPRY domain-containing protein OS=Homo sapiens OX=9606 GN=BSPRY PE=2 SV=1
+MSAEGAEPGPGSGSGPGPGPLCPEHGQALSWFCGSERRPVCAACAGLGGRCRGHRIRRAEERAEELRNKIVDQCERLQLQSAAITKYVADVLPGKNQRAVSMASAARELVIQRLSLVRSLCESEEQRLLEQVHGEEERAHQSILTQRVHWAEALQKLDTIRTGLVGMLTHLDDLQLIQKEQEIFERTEEAEGILDPQESEMLNFNEKCTRSPLLTQLWATAVLGSLSGTEDIRIDERTVSPFLQLSDDRKTLTFSTKKSKACADGPERFDHWPNALAATSFQNGLHAWMVNVQNSCAYKVGVASGHLPRKGSGSDCRLGHNAFSWVFSRYDQEFRFSHNGQHEPLGLLRGPAQLGVVLDLQVQELLFYEPASGTVLCAHHVSFPGPLFPVFAVADQTISIVR
+>DECOY_sp|Q5W0U4|BSPRY_HUMAN B box and SPRY domain-containing protein OS=Homo sapiens OX=9606 GN=BSPRY PE=2 SV=1
+RVISITQDAVAFVPFLPGPFSVHHACLVTGSAPEYFLLEQVQLDLVVGLQAPGRLLGLPEHQGNHSFRFEQDYRSFVWSFANHGLRCDSGSGKRPLHGSAVGVKYACSNQVNVMWAHLGNQFSTAALANPWHDFREPGDACAKSKKTSFTLTKRDDSLQLFPSVTREDIRIDETGSLSGLVATAWLQTLLPSRTCKENFNLMESEQPDLIGEAEETREFIEQEKQILQLDDLHTLMGVLGTRITDLKQLAEAWHVRQTLISQHAREEEGHVQELLRQEESECLSRVLSLRQIVLERAASAMSVARQNKGPLVDAVYKTIAASQLQLRECQDVIKNRLEEAREEARRIRHGRCRGGLGACAACVPRRESGCFWSLAQGHEPCLPGPGPGSGSGPGPEAGEASM
+>sp|O00478|BT3A3_HUMAN Butyrophilin subfamily 3 member A3 OS=Homo sapiens OX=9606 GN=BTN3A3 PE=1 SV=1
+MKMASSLAFLLLNFHVSLFLVQLLTPCSAQFSVLGPSGPILAMVGEDADLPCHLFPTMSAETMELRWVSSSLRQVVNVYADGKEVEDRQSAPYRGRTSILRDGITAGKAALRIHNVTASDSGKYLCYFQDGDFYEKALVELKVAALGSDLHIEVKGYEDGGIHLECRSTGWYPQPQIKWSDTKGENIPAVEAPVVADGVGLYAVAASVIMRGSSGGGVSCIIRNSLLGLEKTASISIADPFFRSAQPWIAALAGTLPISLLLLAGASYFLWRQQKEKIALSRETEREREMKEMGYAATEQEISLREKLQEELKWRKIQYMARGEKSLAYHEWKMALFKPADVILDPDTANAILLVSEDQRSVQRAEEPRDLPDNPERFEWRYCVLGCENFTSGRHYWEVEVGDRKEWHIGVCSKNVERKKGWVKMTPENGYWTMGLTDGNKYRALTEPRTNLKLPEPPRKVGIFLDYETGEISFYNATDGSHIYTFPHASFSEPLYPVFRILTLEPTALTICPIPKEVESSPDPDLVPDHSLETPLTPGLANESGEPQAEVTSLLLPAHPGAEVSPSATTNQNHKLQARTEALY
+>DECOY_sp|O00478|BT3A3_HUMAN Butyrophilin subfamily 3 member A3 OS=Homo sapiens OX=9606 GN=BTN3A3 PE=1 SV=1
+YLAETRAQLKHNQNTTASPSVEAGPHAPLLLSTVEAQPEGSENALGPTLPTELSHDPVLDPDPSSEVEKPIPCITLATPELTLIRFVPYLPESFSAHPFTYIHSGDTANYFSIEGTEYDLFIGVKRPPEPLKLNTRPETLARYKNGDTLGMTWYGNEPTMKVWGKKREVNKSCVGIHWEKRDGVEVEWYHRGSTFNECGLVCYRWEFREPNDPLDRPEEARQVSRQDESVLLIANATDPDLIVDAPKFLAMKWEHYALSKEGRAMYQIKRWKLEEQLKERLSIEQETAAYGMEKMERERETERSLAIKEKQQRWLFYSAGALLLLSIPLTGALAAIWPQASRFFPDAISISATKELGLLSNRIICSVGGGSSGRMIVSAAVAYLGVGDAVVPAEVAPINEGKTDSWKIQPQPYWGTSRCELHIGGDEYGKVEIHLDSGLAAVKLEVLAKEYFDGDQFYCLYKGSDSATVNHIRLAAKGATIGDRLISTRGRYPASQRDEVEKGDAYVNVVQRLSSSVWRLEMTEASMTPFLHCPLDADEGVMALIPGSPGLVSFQASCPTLLQVLFLSVHFNLLLFALSSAMKM
+>sp|Q9BX70|BTBD2_HUMAN BTB/POZ domain-containing protein 2 OS=Homo sapiens OX=9606 GN=BTBD2 PE=1 SV=1
+MAAGGSGGRASCPPGVGVGPGTGGSPGPSANAAATPAPGNAAAAAAAAAAAAAAPGPTPPAPPGPGTDAQAAGAERAEEAAGPGAAALQREAAYNWQASKPTVQERFAFLFNNEVLCDVHFLVGKGLSSQRIPAHRFVLAVGSAVFDAMFNGGMATTSTEIELPDVEPAAFLALLKFLYSDEVQIGPETVMTTLYTAKKYAVPALEAHCVEFLKKNLRADNAFMLLTQARLFDEPQLASLCLENIDKNTADAITAEGFTDIDLDTLVAVLERDTLGIREVRLFNAVVRWSEAECQRQQLQVTPENRRKVLGKALGLIRFPLMTIEEFAAGPAQSGILVDREVVSLFLHFTVNPKPRVEFIDRPRCCLRGKECSINRFQQVESRWGYSGTSDRIRFSVNKRIFVVGFGLYGSIHGPTDYQVNIQIIHTDSNTVLGQNDTGFSCDGSASTFRVMFKEPVEVLPNVNYTACATLKGPDSHYGTKGLRKVTHESPTTGAKTCFTFCYAAGNNNGTSVEDGQIPEVIFYT
+>DECOY_sp|Q9BX70|BTBD2_HUMAN BTB/POZ domain-containing protein 2 OS=Homo sapiens OX=9606 GN=BTBD2 PE=1 SV=1
+TYFIVEPIQGDEVSTGNNNGAAYCFTFCTKAGTTPSEHTVKRLGKTGYHSDPGKLTACATYNVNPLVEVPEKFMVRFTSASGDCSFGTDNQGLVTNSDTHIIQINVQYDTPGHISGYLGFGVVFIRKNVSFRIRDSTGSYGWRSEVQQFRNISCEKGRLCCRPRDIFEVRPKPNVTFHLFLSVVERDVLIGSQAPGAAFEEITMLPFRILGLAKGLVKRRNEPTVQLQQRQCEAESWRVVANFLRVERIGLTDRELVAVLTDLDIDTFGEATIADATNKDINELCLSALQPEDFLRAQTLLMFANDARLNKKLFEVCHAELAPVAYKKATYLTTMVTEPGIQVEDSYLFKLLALFAAPEVDPLEIETSTTAMGGNFMADFVASGVALVFRHAPIRQSSLGKGVLFHVDCLVENNFLFAFREQVTPKSAQWNYAAERQLAAAGPGAAEEAREAGAAQADTGPGPPAPPTPGPAAAAAAAAAAAAAANGPAPTAAANASPGPSGGTGPGVGVGPPCSARGGSGGAAM
+>sp|Q9P203|BTBD7_HUMAN BTB/POZ domain-containing protein 7 OS=Homo sapiens OX=9606 GN=BTBD7 PE=1 SV=3
+MGANASNYPHSCSPRVGGNSQAQQTFIGTSSYSQQGYGCESKLYSLDHGHEKPQDKKKRTSGLATLKKKFIKRRKSNRSADHAKQMRELLSGWDVRDVNALVEEYEGTSALKELSLQASLARPEARTLQKDMADLYEYKYCTDVDLIFQETCFPVHRAILAARCPFFKTLLSSSPEYGAEIIMDINTAGIDMPMFSALLHYLYTGEFGMEDSRFQNVDILVQLSEEFGTPNSLDVDMRGLFDYMCYYDVVLSFSSDSELVEAFGGNQNCLDEELKAHKAVISARSPFFRNLLQRRIRTGEEITDRTLRTPTRIILDESIIPKKYATVILHCMYTDVVDLSVLHCSPSVGSLSEVQALVAGKPNMTRAEEAMELYHIALFLEFNMLAQGCEDIIAESISLDTLIAILKWSSHPYGSKWVHRQALHFLCEEFSQVMTSDVFYELSKDHLLTAIQSDYLQASEQDILKYLIKWGEHQLMKRIADREPNLLSGTAHSVNKRGVKRRDLDMEELREILSSLLPFVRIEHILPINSEVLSDAMKRGLISTPPSDMLPTTEGGKSNAWLRQKNAGIYVRPRLFSPYVEEAKSVLDEMMVEQTDLVRLRMVRMSNVPDTLYMVNNAVPQCCHMISHQQISSNQSSPPSVVANEIPVPRLLIMKDMVRRLQELRHTEQVQRAYALNCGEGATVSYEIQIRVLREFGLADAAAELLQNPHKFFPDERFGDESPLLTMRQPGRCRVNSTPPAETMFTDLDSFVAFHPPLPPPPPPYHPPATPIHNQLKAGWKQRPPSQHPSRSFSYPCNHSLFHSRTAPKAGPPPVYLPSVKAAPPDCTSTAGLGRQTVAAAAATTTSTATAAAAAASEKQVRTQPVLNDLMPDIAVGVSTLSLKDRRLPELAVDTELSQSVSEAGPGPPQHLSCIPQRHTHTSRKKHTLEQKTDTRENPQEYPDFYDFSNAACRPSTPALSRRTPSPSQGGYFGPDLYSHNKASPSGLKSAYLPGQTSPKKQEEARREYPLSPDGHLHRQKNEPIHLDVVEQPPQRSDFPLAAPENASTGPAHVRGRTAVETDLTFGLTPNRPSLSACSSEAPEERSGRRLADSESLGHGAQRNTDLEREDSISRGRRSPSKPDFLYKKSAL
+>DECOY_sp|Q9P203|BTBD7_HUMAN BTB/POZ domain-containing protein 7 OS=Homo sapiens OX=9606 GN=BTBD7 PE=1 SV=3
+LASKKYLFDPKSPSRRGRSISDERELDTNRQAGHGLSESDALRRGSREEPAESSCASLSPRNPTLGFTLDTEVATRGRVHAPGTSANEPAALPFDSRQPPQEVVDLHIPENKQRHLHGDPSLPYERRAEEQKKPSTQGPLYASKLGSPSAKNHSYLDPGFYGGQSPSPTRRSLAPTSPRCAANSFDYFDPYEQPNERTDTKQELTHKKRSTHTHRQPICSLHQPPGPGAESVSQSLETDVALEPLRRDKLSLTSVGVAIDPMLDNLVPQTRVQKESAAAAAATATSTTTAAAAAVTQRGLGATSTCDPPAAKVSPLYVPPPGAKPATRSHFLSHNCPYSFSRSPHQSPPRQKWGAKLQNHIPTAPPHYPPPPPPLPPHFAVFSDLDTFMTEAPPTSNVRCRGPQRMTLLPSEDGFREDPFFKHPNQLLEAAADALGFERLVRIQIEYSVTAGEGCNLAYARQVQETHRLEQLRRVMDKMILLRPVPIENAVVSPPSSQNSSIQQHSIMHCCQPVANNVMYLTDPVNSMRVMRLRVLDTQEVMMEDLVSKAEEVYPSFLRPRVYIGANKQRLWANSKGGETTPLMDSPPTSILGRKMADSLVESNIPLIHEIRVFPLLSSLIERLEEMDLDRRKVGRKNVSHATGSLLNPERDAIRKMLQHEGWKILYKLIDQESAQLYDSQIATLLHDKSLEYFVDSTMVQSFEECLFHLAQRHVWKSGYPHSSWKLIAILTDLSISEAIIDECGQALMNFELFLAIHYLEMAEEARTMNPKGAVLAQVESLSGVSPSCHLVSLDVVDTYMCHLIVTAYKKPIISEDLIIRTPTRLTRDTIEEGTRIRRQLLNRFFPSRASIVAKHAKLEEDLCNQNGGFAEVLESDSSFSLVVDYYCMYDFLGRMDVDLSNPTGFEESLQVLIDVNQFRSDEMGFEGTYLYHLLASFMPMDIGATNIDMIIEAGYEPSSSLLTKFFPCRAALIARHVPFCTEQFILDVDTCYKYEYLDAMDKQLTRAEPRALSAQLSLEKLASTGEYEEVLANVDRVDWGSLLERMQKAHDASRNSKRRKIFKKKLTALGSTRKKKDQPKEHGHDLSYLKSECGYGQQSYSSTGIFTQQAQSNGGVRPSCSHPYNSANAGM
+>sp|A6NMY6|AXA2L_HUMAN Putative annexin A2-like protein OS=Homo sapiens OX=9606 GN=ANXA2P2 PE=5 SV=2
+MSTVHEILCKLSLEGDHSTPPSAYGSVKAYTNFDAERDALNIETAIKTKGVDEVTIVNIVTNRDNAQRQDIVFSYQRRTKKELASALKSALSGHLETVILGLLKTPAQYDASELKASMKGLGTDEDSLIEIICSRTNQELQEINRVYKEMYKTDLEKDIISDTSGDFRKLMVALAKGRRAEDGSVIDYELIDQDAQDLYDAGVKRKGTDVPKWISIMTERSVPHLQKVFDRYKSYSPYDMLESIRKEVKGDLENAFLNLVQRIQNKPLYFADQLYDSMKGKGTRDKVLIRIMVSRSEVDMLKIRSEFKRKYGKSLYYYIQQDTKGDYQKALLYLCGGDD
+>DECOY_sp|A6NMY6|AXA2L_HUMAN Putative annexin A2-like protein OS=Homo sapiens OX=9606 GN=ANXA2P2 PE=5 SV=2
+DDGGCLYLLAKQYDGKTDQQIYYYLSKGYKRKFESRIKLMDVESRSVMIRILVKDRTGKGKMSDYLQDAFYLPKNQIRQVLNLFANELDGKVEKRISELMDYPSYSKYRDFVKQLHPVSRETMISIWKPVDTGKRKVGADYLDQADQDILEYDIVSGDEARRGKALAVMLKRFDGSTDSIIDKELDTKYMEKYVRNIEQLEQNTRSCIIEILSDEDTGLGKMSAKLESADYQAPTKLLGLIVTELHGSLASKLASALEKKTRRQYSFVIDQRQANDRNTVINVITVEDVGKTKIATEINLADREADFNTYAKVSGYASPPTSHDGELSLKCLIEHVTSM
+>sp|Q5VT79|AXA81_HUMAN Annexin A8-like protein 1 OS=Homo sapiens OX=9606 GN=ANXA8L1 PE=2 SV=2
+MAWWKAWIEQEGVTVKSSSHFNPDPDAETLYKAMKGIGTNEQAIIDVLTKRSNTQRQQIAKSFKAQFGKDLTETLKSELSGKFERLIVALMYPPYRYEAKELHDAMKGLGTKEGVIIEILASRTKNQLREIMKAYEEDYGSSLEEDIQADTSGYLERILVCLLQGSRDDVSSFVDPALALQDAQDLYAAGEKIRGTDEMKFITILCTRSATHLLRVFEEYEKIANKSIEDSIKSETHGSLEEAMLTVVKCTQNLHSYFAERLYYAMKGAGTRDGTLIRNIVSRSEIDLNLIKCHFKKMYGKTLSSMIMEDTSGDYKNALLSLVGSDP
+>DECOY_sp|Q5VT79|AXA81_HUMAN Annexin A8-like protein 1 OS=Homo sapiens OX=9606 GN=ANXA8L1 PE=2 SV=2
+PDSGVLSLLANKYDGSTDEMIMSSLTKGYMKKFHCKILNLDIESRSVINRILTGDRTGAGKMAYYLREAFYSHLNQTCKVVTLMAEELSGHTESKISDEISKNAIKEYEEFVRLLHTASRTCLITIFKMEDTGRIKEGAAYLDQADQLALAPDVFSSVDDRSGQLLCVLIRELYGSTDAQIDEELSSGYDEEYAKMIERLQNKTRSALIEIIVGEKTGLGKMADHLEKAEYRYPPYMLAVILREFKGSLESKLTETLDKGFQAKFSKAIQQRQTNSRKTLVDIIAQENTGIGKMAKYLTEADPDPNFHSSSKVTVGEQEIWAKWWAM
+>sp|Q9H6S1|AZI2_HUMAN 5-azacytidine-induced protein 2 OS=Homo sapiens OX=9606 GN=AZI2 PE=1 SV=1
+MDALVEDDICILNHEKAHKRDTVTPVSIYSGDESVASHFALVTAYEDIKKRLKDSEKENSLLKKRIRFLEEKLIARFEEETSSVGREQVNKAYHAYREVCIDRDNLKSKLDKMNKDNSESLKVLNEQLQSKEVELLQLRTEVETQQVMRNLNPPSSNWEVEKLSCDLKIHGLEQELELMRKECSDLKIELQKAKQTDPYQEDNLKSRDLQKLSISSDNMQHAYWELKREMSNLHLVTQVQAELLRKLKTSTAIKKACAPVGCSEDLGRDSTKLHLMNFTATYTRHPPLLPNGKALCHTTSSPLPGDVKVLSEKAILQSWTDNERSIPNDGTCFQEHSSYGRNSLEDNSWVFPSPPKSSETAFGETKTKTLPLPNLPPLHYLDQHNQNCLYKN
+>DECOY_sp|Q9H6S1|AZI2_HUMAN 5-azacytidine-induced protein 2 OS=Homo sapiens OX=9606 GN=AZI2 PE=1 SV=1
+NKYLCNQNHQDLYHLPPLNPLPLTKTKTEGFATESSKPPSPFVWSNDELSNRGYSSHEQFCTGDNPISRENDTWSQLIAKESLVKVDGPLPSSTTHCLAKGNPLLPPHRTYTATFNMLHLKTSDRGLDESCGVPACAKKIATSTKLKRLLEAQVQTVLHLNSMERKLEWYAHQMNDSSISLKQLDRSKLNDEQYPDTQKAKQLEIKLDSCEKRMLELEQELGHIKLDCSLKEVEWNSSPPNLNRMVQQTEVETRLQLLEVEKSQLQENLVKLSESNDKNMKDLKSKLNDRDICVERYAHYAKNVQERGVSSTEEEFRAILKEELFRIRKKLLSNEKESDKLRKKIDEYATVLAFHSAVSEDGSYISVPTVTDRKHAKEHNLICIDDEVLADM
+>sp|Q96A70|AZIN2_HUMAN Antizyme inhibitor 2 OS=Homo sapiens OX=9606 GN=AZIN2 PE=1 SV=1
+MAGYLSESDFVMVEEGFSTRDLLKELTLGASQATTDEVAAFFVADLGAIVRKHFCFLKCLPRVRPFYAVKCNSSPGVLKVLAQLGLGFSCANKAEMELVQHIGIPASKIICANPCKQIAQIKYAAKHGIQLLSFDNEMELAKVVKSHPSAKMVLCIATDDSHSLSCLSLKFGVSLKSCRHLLENAKKHHVEVVGVSFHIGSGCPDPQAYAQSIADARLVFEMGTELGHKMHVLDLGGGFPGTEGAKVRFEEIASVINSALDLYFPEGCGVDIFAELGRYYVTSAFTVAVSIIAKKEVLLDQPGREEENGSTSKTIVYHLDEGVYGIFNSVLFDNICPTPILQKKPSTEQPLYSSSLWGPAVDGCDCVAEGLWLPQLHVGDWLVFDNMGAYTVGMGSPFWGTQACHITYAMSRVAWEALRRQLMAAEQEDDVEGVCKPLSCGWEITDTLCVGPVFTPASIM
+>DECOY_sp|Q96A70|AZIN2_HUMAN Antizyme inhibitor 2 OS=Homo sapiens OX=9606 GN=AZIN2 PE=1 SV=1
+MISAPTFVPGVCLTDTIEWGCSLPKCVGEVDDEQEAAMLQRRLAEWAVRSMAYTIHCAQTGWFPSGMGVTYAGMNDFVLWDGVHLQPLWLGEAVCDCGDVAPGWLSSSYLPQETSPKKQLIPTPCINDFLVSNFIGYVGEDLHYVITKSTSGNEEERGPQDLLVEKKAIISVAVTFASTVYYRGLEAFIDVGCGEPFYLDLASNIVSAIEEFRVKAGETGPFGGGLDLVHMKHGLETGMEFVLRADAISQAYAQPDPCGSGIHFSVGVVEVHHKKANELLHRCSKLSVGFKLSLCSLSHSDDTAICLVMKASPHSKVVKALEMENDFSLLQIGHKAAYKIQAIQKCPNACIIKSAPIGIHQVLEMEAKNACSFGLGLQALVKLVGPSSNCKVAYFPRVRPLCKLFCFHKRVIAGLDAVFFAAVEDTTAQSAGLTLEKLLDRTSFGEEVMVFDSESLYGAM
+>sp|Q9HB09|B2L12_HUMAN Bcl-2-like protein 12 OS=Homo sapiens OX=9606 GN=BCL2L12 PE=1 SV=1
+MGRPAGLFPPLCPFLGFRPEACWERHMQIERAPSVPPFLRWAGYRPGPVRRRGKVELIKFVRVQWRRPQVEWRRRRWGPGPGASMAGSEELGLREDTLRVLAAFLRRGEAAGSPVPTPPRSPAQEEPTDFLSRLRRCLPCSLGRGAAPSESPRPCSLPIRPCYGLEPGPATPDFYALVAQRLEQLVQEQLKSPPSPELQGPPSTEKEAILRRLVALLEEEAEVINQKLASDPALRSKLVRLSSDSFARLVELFCSRDDSSRPSRACPGPPPPSPEPLARLALAMELSRRVAGLGGTLAGLSVEHVHSFTPWIQAHGGWEGILAVSPVDLNLPLD
+>DECOY_sp|Q9HB09|B2L12_HUMAN Bcl-2-like protein 12 OS=Homo sapiens OX=9606 GN=BCL2L12 PE=1 SV=1
+DLPLNLDVPSVALIGEWGGHAQIWPTFSHVHEVSLGALTGGLGAVRRSLEMALALRALPEPSPPPPGPCARSPRSSDDRSCFLEVLRAFSDSSLRVLKSRLAPDSALKQNIVEAEEELLAVLRRLIAEKETSPPGQLEPSPPSKLQEQVLQELRQAVLAYFDPTAPGPELGYCPRIPLSCPRPSESPAAGRGLSCPLCRRLRSLFDTPEEQAPSRPPTPVPSGAAEGRRLFAALVRLTDERLGLEESGAMSAGPGPGWRRRRWEVQPRRWQVRVFKILEVKGRRRVPGPRYGAWRLFPPVSPAREIQMHREWCAEPRFGLFPCLPPFLGAPRGM
+>sp|Q5TBC7|B2L15_HUMAN Bcl-2-like protein 15 OS=Homo sapiens OX=9606 GN=BCL2L15 PE=1 SV=1
+MKSSQTFEEQTECIVNTLLMDFLSPTLQVASRNLCCVDEVDSGEPCSFDVAIIAGRLRMLGDQFNGELEASAKNVIAETIKGQTGAILQDTVESLSKTWCAQDSSLAYERAFLAVSVKLLEYMAHIAPEVVGQVAIPMTGMINGNQAIREFIQGQGGWENLES
+>DECOY_sp|Q5TBC7|B2L15_HUMAN Bcl-2-like protein 15 OS=Homo sapiens OX=9606 GN=BCL2L15 PE=1 SV=1
+SELNEWGGQGQIFERIAQNGNIMGTMPIAVQGVVEPAIHAMYELLKVSVALFAREYALSSDQACWTKSLSEVTDQLIAGTQGKITEAIVNKASAELEGNFQDGLMRLRGAIIAVDFSCPEGSDVEDVCCLNRSAVQLTPSLFDMLLTNVICETQEEFTQSSKM
+>sp|P61769|B2MG_HUMAN Beta-2-microglobulin OS=Homo sapiens OX=9606 GN=B2M PE=1 SV=1
+MSRSVALAVLALLSLSGLEAIQRTPKIQVYSRHPAENGKSNFLNCYVSGFHPSDIEVDLLKNGERIEKVEHSDLSFSKDWSFYLLYYTEFTPTEKDEYACRVNHVTLSQPKIVKWDRDM
+>DECOY_sp|P61769|B2MG_HUMAN Beta-2-microglobulin OS=Homo sapiens OX=9606 GN=B2M PE=1 SV=1
+MDRDWKVIKPQSLTVHNVRCAYEDKETPTFETYYLLYFSWDKSFSLDSHEVKEIREGNKLLDVEIDSPHFGSVYCNLFNSKGNEAPHRSYVQIKPTRQIAELGSLSLLALVALAVSRSM
+>sp|Q96Q91|B3A4_HUMAN Anion exchange protein 4 OS=Homo sapiens OX=9606 GN=SLC4A9 PE=2 SV=2
+MEMKLPGQEGFEASSAPRNIPSGELDSNPDPGTGPSPDGPSDTESKELGVPKDPLLFIQLNELLGWPQALEWRETGSSSASLLLDMGEMPSITLSTHLHHRWVLFEEKLEVAAGRWSAPHVPTLALPSLQKLRSLLAEGLVLLDCPAQSLLELVEQVTRVESLSPELRGQLQALLLQRPQHYNQTTGTRPCWGSTHPRKASDNEEAPLREQCQNPLRQKLPPGAEAGTVLAGELGFLAQPLGAFVRLRNPVVLGSLTEVSLPSRFFCLLLGPCMLGKGYHEMGRAAAVLLSDPQFQWSVRRASNLHDLLAALDAFLEEVTVLPPGRWDPTARIPPPKCLPSQHKRLPSQQREIRGPAVPRLTSAEDRHRHGPHAHSPELQRTGRLFGGLIQDVRRKVPWYPSDFLDALHLQCFSAVLYIYLATVTNAITFGGLLGDATDGAQGVLESFLGTAVAGAAFCLMAGQPLTILSSTGPVLVFERLLFSFSRDYSLDYLPFRLWVGIWVATFCLVLVATEASVLVRYFTRFTEEGFCALISLIFIYDAVGKMLNLTHTYPIQKPGSSAYGCLCQYPGPGGNESQWIRTRPKDRDDIVSMDLGLINASLLPPPECTRQGGHPRGPGCHTVPDIAFFSLLLFLTSFFFAMALKCVKTSRFFPSVVRKGLSDFSSVLAILLGCGLDAFLGLATPKLMVPREFKPTLPGRGWLVSPFGANPWWWSVAAALPALLLSILIFMDQQITAVILNRMEYRLQKGAGFHLDLFCVAVLMLLTSALGLPWYVSATVISLAHMDSLRRESRACAPGERPNFLGIREQRLTGLVVFILTGASIFLAPVLKFIPMPVLYGIFLYMGVAALSSIQFTNRVKLLLMPAKHQPDLLLLRHVPLTRVHLFTAIQLACLGLLWIIKSTPAAIIFPLMLLGLVGVRKALERVFSPQELLWLDELMPEEERSIPEKGLEPEHSFSGSDSEDSELMYQPKAPEINISVN
+>DECOY_sp|Q96Q91|B3A4_HUMAN Anion exchange protein 4 OS=Homo sapiens OX=9606 GN=SLC4A9 PE=2 SV=2
+NVSINIEPAKPQYMLESDESDSGSFSHEPELGKEPISREEEPMLEDLWLLEQPSFVRELAKRVGVLGLLMLPFIIAAPTSKIIWLLGLCALQIATFLHVRTLPVHRLLLLDPQHKAPMLLLKVRNTFQISSLAAVGMYLFIGYLVPMPIFKLVPALFISAGTLIFVVLGTLRQERIGLFNPREGPACARSERRLSDMHALSIVTASVYWPLGLASTLLMLVAVCFLDLHFGAGKQLRYEMRNLIVATIQQDMFILISLLLAPLAAAVSWWWPNAGFPSVLWGRGPLTPKFERPVMLKPTALGLFADLGCGLLIALVSSFDSLGKRVVSPFFRSTKVCKLAMAFFFSTLFLLLSFFAIDPVTHCGPGRPHGGQRTCEPPPLLSANILGLDMSVIDDRDKPRTRIWQSENGGPGPYQCLCGYASSGPKQIPYTHTLNLMKGVADYIFILSILACFGEETFRTFYRVLVSAETAVLVLCFTAVWIGVWLRFPLYDLSYDRSFSFLLREFVLVPGTSSLITLPQGAMLCFAAGAVATGLFSELVGQAGDTADGLLGGFTIANTVTALYIYLVASFCQLHLADLFDSPYWPVKRRVDQILGGFLRGTRQLEPSHAHPGHRHRDEASTLRPVAPGRIERQQSPLRKHQSPLCKPPPIRATPDWRGPPLVTVEELFADLAALLDHLNSARRVSWQFQPDSLLVAAARGMEHYGKGLMCPGLLLCFFRSPLSVETLSGLVVPNRLRVFAGLPQALFGLEGALVTGAEAGPPLKQRLPNQCQERLPAEENDSAKRPHTSGWCPRTGTTQNYHQPRQLLLAQLQGRLEPSLSEVRTVQEVLELLSQAPCDLLVLGEALLSRLKQLSPLALTPVHPASWRGAAVELKEEFLVWRHHLHTSLTISPMEGMDLLLSASSSGTERWELAQPWGLLENLQIFLLPDKPVGLEKSETDSPGDPSPGTGPDPNSDLEGSPINRPASSAEFGEQGPLKMEM
+>sp|P59052|B3AS1_HUMAN Putative uncharacterized protein B3GALT5-AS1 OS=Homo sapiens OX=9606 GN=B3GALT5-AS1 PE=5 SV=2
+MRRLRHREVRGPVLGHTATGGPQNGTSGCTTAPQQRPPPGTQGMLEQYLNRGGQKSHGLCWLLCFVSQGQNQDVISAELWCRIHVQAHWGCWQNSAVWGCRNEVLVSLLAVGQGLPSASGGRLPSLVHGPSHPDSQHPREVPLAL
+>DECOY_sp|P59052|B3AS1_HUMAN Putative uncharacterized protein B3GALT5-AS1 OS=Homo sapiens OX=9606 GN=B3GALT5-AS1 PE=5 SV=2
+LALPVERPHQSDPHSPGHVLSPLRGGSASPLGQGVALLSVLVENRCGWVASNQWCGWHAQVHIRCWLEASIVDQNQGQSVFCLLWCLGHSKQGGRNLYQELMGQTGPPPRQQPATTCGSTGNQPGGTATHGLVPGRVERHRLRRM
+>sp|O43505|B4GA1_HUMAN Beta-1,4-glucuronyltransferase 1 OS=Homo sapiens OX=9606 GN=B4GAT1 PE=1 SV=1
+MQMSYAIRCAFYQLLLAALMLVAMLQLLYLSLLSGLHGQEEQDQYFEFFPPSPRSVDQVKAQLRTALASGGVLDASGDYRVYRGLLKTTMDPNDVILATHASVDNLLHLSGLLERWEGPLSVSVFAATKEEAQLATVLAYALSSHCPDMRARVAMHLVCPSRYEAAVPDPREPGEFALLRSCQEVFDKLARVAQPGINYALGTNVSYPNNLLRNLAREGANYALVIDVDMVPSEGLWRGLREMLDQSNQWGGTALVVPAFEIRRARRMPMNKNELVQLYQVGEVRPFYYGLCTPCQAPTNYSRWVNLPEESLLRPAYVVPWQDPWEPFYVAGGKVPTFDERFRQYGFNRISQACELHVAGFDFEVLNEGFLVHKGFKEALKFHPQKEAENQHNKILYRQFKQELKAKYPNSPRRC
+>DECOY_sp|O43505|B4GA1_HUMAN Beta-1,4-glucuronyltransferase 1 OS=Homo sapiens OX=9606 GN=B4GAT1 PE=1 SV=1
+CRRPSNPYKAKLEQKFQRYLIKNHQNEAEKQPHFKLAEKFGKHVLFGENLVEFDFGAVHLECAQSIRNFGYQRFREDFTPVKGGAVYFPEWPDQWPVVYAPRLLSEEPLNVWRSYNTPAQCPTCLGYYFPRVEGVQYLQVLENKNMPMRRARRIEFAPVVLATGGWQNSQDLMERLGRWLGESPVMDVDIVLAYNAGERALNRLLNNPYSVNTGLAYNIGPQAVRALKDFVEQCSRLLAFEGPERPDPVAAEYRSPCVLHMAVRARMDPCHSSLAYALVTALQAEEKTAAFVSVSLPGEWRELLGSLHLLNDVSAHTALIVDNPDMTTKLLGRYVRYDGSADLVGGSALATRLQAKVQDVSRPSPPFFEFYQDQEEQGHLGSLLSLYLLQLMAVLMLAALLLQYFACRIAYSMQM
+>sp|O60513|B4GT4_HUMAN Beta-1,4-galactosyltransferase 4 OS=Homo sapiens OX=9606 GN=B4GALT4 PE=1 SV=1
+MGFNLTFHLSYKFRLLLLLTLCLTVVGWATSNYFVGAIQEIPKAKEFMANFHKTLILGKGKTLTNEASTKKVELDNCPSVSPYLRGQSKLIFKPDLTLEEVQAENPKVSRGRYRPQECKALQRVAILVPHRNREKHLMYLLEHLHPFLQRQQLDYGIYVIHQAEGKKFNRAKLLNVGYLEALKEENWDCFIFHDVDLVPENDFNLYKCEEHPKHLVVGRNSTGYRLRYSGYFGGVTALSREQFFKVNGFSNNYWGWGGEDDDLRLRVELQRMKISRPLPEVGKYTMVFHTRDKGNEVNAERMKLLHQVSRVWRTDGLSSCSYKLVSVEHNPLYINITVDFWFGA
+>DECOY_sp|O60513|B4GT4_HUMAN Beta-1,4-galactosyltransferase 4 OS=Homo sapiens OX=9606 GN=B4GALT4 PE=1 SV=1
+AGFWFDVTINIYLPNHEVSVLKYSCSSLGDTRWVRSVQHLLKMREANVENGKDRTHFVMTYKGVEPLPRSIKMRQLEVRLRLDDDEGGWGWYNNSFGNVKFFQERSLATVGGFYGSYRLRYGTSNRGVVLHKPHEECKYLNFDNEPVLDVDHFIFCDWNEEKLAELYGVNLLKARNFKKGEAQHIVYIGYDLQQRQLFPHLHELLYMLHKERNRHPVLIAVRQLAKCEQPRYRGRSVKPNEAQVEELTLDPKFILKSQGRLYPSVSPCNDLEVKKTSAENTLTKGKGLILTKHFNAMFEKAKPIEQIAGVFYNSTAWGVVTLCLTLLLLLRFKYSLHFTLNFGM
+>sp|Q9UPM9|B9D1_HUMAN B9 domain-containing protein 1 OS=Homo sapiens OX=9606 GN=B9D1 PE=1 SV=1
+MATASPSVFLLMVNGQVESAQFPEYDDLYCKYCFVYGQDWAPTAGLEEGISQITSKSQDVRQALVWNFPIDVTFKSTNPYGWPQIVLSVYGPDVFGNDVVRGYGAVHVPFSPGRHKRTIPMFVPESTSKLQKFTSWFMGRRPEYTDPKVVAQGEGREVTRVRSQGFVTLLFNVVTKDMRKLGYDTGPSDTQGVLGPSPPQSFPQ
+>DECOY_sp|Q9UPM9|B9D1_HUMAN B9 domain-containing protein 1 OS=Homo sapiens OX=9606 GN=B9D1 PE=1 SV=1
+QPFSQPPSPGLVGQTDSPGTDYGLKRMDKTVVNFLLTVFGQSRVRTVERGEGQAVVKPDTYEPRRGMFWSTFKQLKSTSEPVFMPITRKHRGPSFPVHVAGYGRVVDNGFVDPGYVSLVIQPWGYPNTSKFTVDIPFNWVLAQRVDQSKSTIQSIGEELGATPAWDQGYVFCYKCYLDDYEPFQASEVQGNVMLLFVSPSATAM
+>sp|Q9NWV8|BABA1_HUMAN BRISC and BRCA1-A complex member 1 OS=Homo sapiens OX=9606 GN=BABAM1 PE=1 SV=1
+MEVAEPSSPTEEEEEEEEHSAEPRPRTRSNPEGAEDRAVGAQASVGSRSEGEGEAASADDGSLNTSGAGPKSWQVPPPAPEVQIRTPRVNCPEKVIICLDLSEEMSLPKLESFNGSKTNALNVSQKMIEMFVRTKHKIDKSHEFALVVVNDDTAWLSGLTSDPRELCSCLYDLETASCSTFNLEGLFSLIQQKTELPVTENVQTIPPPYVVRTILVYSRPPCQPQFSLTEPMKKMFQCPYFFFDVVYIHNGTEEKEEEMSWKDMFAFMGSLDTKGTSYKYEVALAGPALELHNCMAKLLAHPLQRPCQSHASYSLLEEEDEAIEVEATV
+>DECOY_sp|Q9NWV8|BABA1_HUMAN BRISC and BRCA1-A complex member 1 OS=Homo sapiens OX=9606 GN=BABAM1 PE=1 SV=1
+VTAEVEIAEDEEELLSYSAHSQCPRQLPHALLKAMCNHLELAPGALAVEYKYSTGKTDLSGMFAFMDKWSMEEEKEETGNHIYVVDFFFYPCQFMKKMPETLSFQPQCPPRSYVLITRVVYPPPITQVNETVPLETKQQILSFLGELNFTSCSATELDYLCSCLERPDSTLGSLWATDDNVVVLAFEHSKDIKHKTRVFMEIMKQSVNLANTKSGNFSELKPLSMEESLDLCIIVKEPCNVRPTRIQVEPAPPPVQWSKPGAGSTNLSGDDASAAEGEGESRSGVSAQAGVARDEAGEPNSRTRPRPEASHEEEEEEEETPSSPEAVEM
+>sp|Q86Y30|BAGE2_HUMAN B melanoma antigen 2 OS=Homo sapiens OX=9606 GN=BAGE2 PE=2 SV=1
+MAAGVVFLALSAQLLQARLMKEESPVVSWRLEPEDGTALDVHFVSTLEPLSNAVKRNVPRCIIILVLQEPTAFRISVTSSCFVQNTLTKLLKDRRKMQTVQCATARETS
+>DECOY_sp|Q86Y30|BAGE2_HUMAN B melanoma antigen 2 OS=Homo sapiens OX=9606 GN=BAGE2 PE=2 SV=1
+STERATACQVTQMKRRDKLLKTLTNQVFCSSTVSIRFATPEQLVLIIICRPVNRKVANSLPELTSVFHVDLATGDEPELRWSVVPSEEKMLRAQLLQASLALFVVGAAM
+>sp|Q8TBE0|BAHD1_HUMAN Bromo adjacent homology domain-containing 1 protein OS=Homo sapiens OX=9606 GN=BAHD1 PE=1 SV=2
+MTHTRRKSLPMLSSGLTGRREPLQMEDSNMEQGVEGVEPGMPESPGHLTGRRKNYPLRKRPLVPEKPKACKVLLTRLENVAGPRSADEADELPPDLPKPPSPAPSSEDPGLAQPRKRRLASLNAEALNNLLLEREDTSSLAGTRRSRAGDPHRSRDRDRATGGWSSSKKRPRLGDLGGGSRDLSPEPAPDEGPRRDGDPAPKRLASLNAAAFLKLSQERELPLRLPRAHAEVDGRSTEPPAPKAPRPKWPKVNGKNYPKAWQGASSGEAAGPPGWQGCPDEPWPSATPCGPSVQPSHQPLSKALESPLGLRPHLPLLMGGQAALKPEPGRPGEESPAPKQELHQPSFPTPQLSPLPMPGNPADYNGLCVGPELTALGSFYLYCGQEGLQCGGYSPCPMLPEGKLSPVAAPHEEGLLLAPSSVPSGTPFQHPPWGSSRYCSSEDTGVNGYSICGVLPLSVTHAGTTCGGCPYKMPFAAEGCRSLGQLEFPLPEAGHPASPAHPLLGCPVPSVPPAAEPVPHLQTPTSEPQTVARACPQSAKPPSGSKSGLRTGSSCRHTARSKAARRPSHPKQPRVQRPRPRRRRRRRTNGWVPVGAACEKAVYVLDEPEPAIRKSYQAVERHGETIRVRDTVLLKSGPRKTSTPYVAKISALWENPESGELMMSLLWYYRPEHLQGGRSPSMHEPLQNEVFASRHQDQNSVACIEEKCYVLTFAEYCRFCAMAKRRGEGLPSRKTALVPPSADYSTPPHRTVPEDTDPELVFLCRHVYDFRHGRILKNPQ
+>DECOY_sp|Q8TBE0|BAHD1_HUMAN Bromo adjacent homology domain-containing 1 protein OS=Homo sapiens OX=9606 GN=BAHD1 PE=1 SV=2
+QPNKLIRGHRFDYVHRCLFVLEPDTDEPVTRHPPTSYDASPPVLATKRSPLGEGRRKAMACFRCYEAFTLVYCKEEICAVSNQDQHRSAFVENQLPEHMSPSRGGQLHEPRYYWLLSMMLEGSEPNEWLASIKAVYPTSTKRPGSKLLVTDRVRITEGHREVAQYSKRIAPEPEDLVYVAKECAAGVPVWGNTRRRRRRRPRPRQVRPQKPHSPRRAAKSRATHRCSSGTRLGSKSGSPPKASQPCARAVTQPESTPTQLHPVPEAAPPVSPVPCGLLPHAPSAPHGAEPLPFELQGLSRCGEAAFPMKYPCGGCTTGAHTVSLPLVGCISYGNVGTDESSCYRSSGWPPHQFPTGSPVSSPALLLGEEHPAAVPSLKGEPLMPCPSYGGCQLGEQGCYLYFSGLATLEPGVCLGNYDAPNGPMPLPSLQPTPFSPQHLEQKPAPSEEGPRGPEPKLAAQGGMLLPLHPRLGLPSELAKSLPQHSPQVSPGCPTASPWPEDPCGQWGPPGAAEGSSAGQWAKPYNKGNVKPWKPRPAKPAPPETSRGDVEAHARPLRLPLEREQSLKLFAAANLSALRKPAPDGDRRPGEDPAPEPSLDRSGGGLDGLRPRKKSSSWGGTARDRDRSRHPDGARSRRTGALSSTDERELLLNNLAEANLSALRRKRPQALGPDESSPAPSPPKPLDPPLEDAEDASRPGAVNELRTLLVKCAKPKEPVLPRKRLPYNKRRGTLHGPSEPMGPEVGEVGQEMNSDEMQLPERRGTLGSSLMPLSKRRTHTM
+>sp|Q6ZNE5|BAKOR_HUMAN Beclin 1-associated autophagy-related key regulator OS=Homo sapiens OX=9606 GN=ATG14 PE=1 SV=1
+MASPSGKGARALEAPGCGPRPLARDLVDSVDDAEGLYVAVERCPLCNTTRRRLTCAKCVQSGDFVYFDGRDRERFIDKKERLSRLKSKQEEFQKEVLKAMEGKWITDQLRWKIMSCKMRIEQLKQTICKGNEEMEKNSEGLLKTKEKNQKLYSRAQRHQEKKEKIQRHNRKLGDLVEKKTIDLRSHYERLANLRRSHILELTSVIFPIEEVKTGVRDPADVSSESDSAMTSSTVSKLAEARRTTYLSGRWVCDDHNGDTSISITGPWISLPNNGDYSAYYSWVEEKKTTQGPDMEQSNPAYTISAALCYATQLVNILSHILDVNLPKKLCNSEFCGENLSKQKFTRAVKKLNANILYLCFSQHVNLDQLQPLHTLRNLMYLVSPSSEHLGRSGPFEVRADLEESMEFVDPGVAGESDESGDERVSDEETDLGTDWENLPSPRFCDIPSQSVEVSQSQSTQASPPIASSSAGGMISSAAASVTSWFKAYTGHR
+>DECOY_sp|Q6ZNE5|BAKOR_HUMAN Beclin 1-associated autophagy-related key regulator OS=Homo sapiens OX=9606 GN=ATG14 PE=1 SV=1
+RHGTYAKFWSTVSAAASSIMGGASSSAIPPSAQTSQSQSVEVSQSPIDCFRPSPLNEWDTGLDTEEDSVREDGSEDSEGAVGPDVFEMSEELDARVEFPGSRGLHESSPSVLYMLNRLTHLPQLQDLNVHQSFCLYLINANLKKVARTFKQKSLNEGCFESNCLKKPLNVDLIHSLINVLQTAYCLAASITYAPNSQEMDPGQTTKKEEVWSYYASYDGNNPLSIWPGTISISTDGNHDDCVWRGSLYTTRRAEALKSVTSSTMASDSESSVDAPDRVGTKVEEIPFIVSTLELIHSRRLNALREYHSRLDITKKEVLDGLKRNHRQIKEKKEQHRQARSYLKQNKEKTKLLGESNKEMEENGKCITQKLQEIRMKCSMIKWRLQDTIWKGEMAKLVEKQFEEQKSKLRSLREKKDIFRERDRGDFYVFDGSQVCKACTLRRRTTNCLPCREVAVYLGEADDVSDVLDRALPRPGCGPAELARAGKGSPSAM
+>sp|Q8NDB2|BANK1_HUMAN B-cell scaffold protein with ankyrin repeats OS=Homo sapiens OX=9606 GN=BANK1 PE=1 SV=3
+MLPAAPGKGLGSPDPAPCGPAPPGNTKDIIMIYEEDAEEWALYLTEVFLHVVKREAILLYRLENFSFRHLELLNLTSYKCKLLILSNSLLRDLTPKKCQFLEKILHSPKSVVTLLCGVKSSDQLYELLNISQSRWEISTEQEPEDYISVIQSIIFKDSEDYFEVNIPTDLRAKHSGEISERKEIEELSEASRNTIPLAVVLPTEIPCENPGEIFIILRDEVIGDTVEVEFTSSNKRIRTRPALWNKKVWCMKALEFPAGSVHVNVYCDGIVKATTKIKYYPTAKAKECLFRMADSGESLCQNSIEELDGVLTSIFKHEIPYYEFQSLQTEICSQNKYTHFKELPTLLHCAAKFGLKNLAIHLLQCSGATWASKMKNMEGSDPAHIAERHGHKELKKIFEDFSIQEIDINNEQENDYEEDIASFSTYIPSTQNPAFHHESRKTYGQSADGAEANEMEGEGKQNGSGMETKHSPLEVGSESSEDQYDDLYVFIPGADPENNSQEPLMSSRPPLPPPRPVANAFQLERPHFTLPGTMVEGQMERSQNWGHPGVRQETGDEPKGEKEKKEEEKEQEEEEDPYTFAEIDDSEYDMILANLSIKKKTGSRSFIINRPPAPTPRPTSIPPKEETTPYIAQVFQQKTARRQSDDDKFCGLPKKQDRARIESPAFSTLRGCLTDGQEELILLQEKVKNGKMSMDEALEKFKHWQMGKSGLEMIQQEKLRQLRDCIIGKRPEEENVYNKLTIVHHPGGKETAHNENKFYNVHFSNKLPARPQVEKEFGFCCKKDH
+>DECOY_sp|Q8NDB2|BANK1_HUMAN B-cell scaffold protein with ankyrin repeats OS=Homo sapiens OX=9606 GN=BANK1 PE=1 SV=3
+HDKKCCFGFEKEVQPRAPLKNSFHVNYFKNENHATEKGGPHHVITLKNYVNEEEPRKGIICDRLQRLKEQQIMELGSKGMQWHKFKELAEDMSMKGNKVKEQLLILEEQGDTLCGRLTSFAPSEIRARDQKKPLGCFKDDDSQRRATKQQFVQAIYPTTEEKPPISTPRPTPAPPRNIIFSRSGTKKKISLNALIMDYESDDIEAFTYPDEEEEQEKEEEKKEKEGKPEDGTEQRVGPHGWNQSREMQGEVMTGPLTFHPRELQFANAVPRPPPLPPRSSMLPEQSNNEPDAGPIFVYLDDYQDESSESGVELPSHKTEMGSGNQKGEGEMENAEAGDASQGYTKRSEHHFAPNQTSPIYTSFSAIDEEYDNEQENNIDIEQISFDEFIKKLEKHGHREAIHAPDSGEMNKMKSAWTAGSCQLLHIALNKLGFKAACHLLTPLEKFHTYKNQSCIETQLSQFEYYPIEHKFISTLVGDLEEISNQCLSEGSDAMRFLCEKAKATPYYKIKTTAKVIGDCYVNVHVSGAPFELAKMCWVKKNWLAPRTRIRKNSSTFEVEVTDGIVEDRLIIFIEGPNECPIETPLVVALPITNRSAESLEEIEKRESIEGSHKARLDTPINVEFYDESDKFIISQIVSIYDEPEQETSIEWRSQSINLLEYLQDSSKVGCLLTVVSKPSHLIKELFQCKKPTLDRLLSNSLILLKCKYSTLNLLELHRFSFNELRYLLIAERKVVHLFVETLYLAWEEADEEYIMIIDKTNGPPAPGCPAPDPSGLGKGPAAPLM
+>sp|Q9NRL2|BAZ1A_HUMAN Bromodomain adjacent to zinc finger domain protein 1A OS=Homo sapiens OX=9606 GN=BAZ1A PE=1 SV=2
+MPLLHRKPFVRQKPPADLRPDEEVFYCKVTNEIFRHYDDFFERTILCNSLVWSCAVTGRPGLTYQEALESEKKARQNLQSFPEPLIIPVLYLTSLTHRSRLHEICDDIFAYVKDRYFVEETVEVIRNNGARLQCRILEVLPPSHQNGFANGHVNSVDGETIIISDSDDSETQSCSFQNGKKKDAIDPLLFKYKVQPTKKELHESAIVKATQISRRKHLFSRDKLKLFLKQHCEPQDGVIKIKASSLSTYKIAEQDFSYFFPDDPPTFIFSPANRRRGRPPKRIHISQEDNVANKQTLASYRSKATKERDKLLKQEEMKSLAFEKAKLKREKADALEAKKKEKEDKEKKREELKKIVEEERLKKKEEKERLKVEREKEREKLREEKRKYVEYLKQWSKPREDMECDDLKELPEPTPVKTRLPPEIFGDALMVLEFLNAFGELFDLQDEFPDGVTLEVLEEALVGNDSEGPLCELLFFFLTAIFQAIAEEEEEVAKEQLTDADTKDLTEALDEDADPTKSALSAVASLAAAWPQLHQGCSLKSLDLDSCTLSEILRLHILASGADVTSANAKYRYQKRGGFDATDDACMELRLSNPSLVKKLSSTSVYDLTPGEKMKILHALCGKLLTLVSTRDFIEDYVDILRQAKQEFRELKAEQHRKEREEAAARIRKRKEEKLKEQEQKMKEKQEKLKEDEQRNSTADISIGEEEREDFDTSIESKDTEQKELDQDMVTEDEDDPGSHKRGRRGKRGQNGFKEFTRQEQINCVTREPLTADEEEALKQEHQRKEKELLEKIQSAIACTNIFPLGRDRMYRRYWIFPSIPGLFIEEDYSGLTEDMLLPRPSSFQNNVQSQDPQVSTKTGEPLMSESTSNIDQGPRDHSVQLPKPVHKPNRWCFYSSCEQLDQLIEALNSRGHRESALKETLLQEKSRICAQLARFSEEKFHFSDKPQPDSKPTYSRGRSSNAYDPSQMCAEKQLELRLRDFLLDIEDRIYQGTLGAIKVTDRHIWRSALESGRYELLSEENKENGIIKTVNEDVEEMEIDEQTKVIVKDRLLGIKTETPSTVSTNASTPQSVSSVVHYLAMALFQIEQGIERRFLKAPLDASDSGRSYKTVLDRWRESLLSSASLSQVFLHLSTLDRSVIWSKSILNARCKICRKKGDAENMVLCDGCDRGHHTYCVRPKLKTVPEGDWFCPECRPKQRSRRLSSRQRPSLESDEDVEDSMGGEDDEVDGDEEEGQSEEEEYEVEQDEDDSQEEEEVSLPKRGRPQVRLPVKTRGKLSSSFSSRGQQQEPGRYPSRSQQSTPKTTVSSKTGRSLRKINSAPPTETKSLRIASRSTRHSHGPLQADVFVELLSPRRKRRGRKSANNTPENSPNFPNFRVIATKSSEQSRSVNIASKLSLQESESKRRCRKRQSPEPSPVTLGRRSSGRQGGVHELSAFEQLVVELVRHDDSWPFLKLVSKIQVPDYYDIIKKPIALNIIREKVNKCEYKLASEFIDDIELMFSNCFEYNPRNTSEAKAGTRLQAFFHIQAQKLGLHVTPSNVDQVSTPPAAKKSRI
+>DECOY_sp|Q9NRL2|BAZ1A_HUMAN Bromodomain adjacent to zinc finger domain protein 1A OS=Homo sapiens OX=9606 GN=BAZ1A PE=1 SV=2
+IRSKKAAPPTSVQDVNSPTVHLGLKQAQIHFFAQLRTGAKAESTNRPNYEFCNSFMLEIDDIFESALKYECKNVKERIINLAIPKKIIDYYDPVQIKSVLKLFPWSDDHRVLEVVLQEFASLEHVGGQRGSSRRGLTVPSPEPSQRKRCRRKSESEQLSLKSAINVSRSQESSKTAIVRFNPFNPSNEPTNNASKRGRRKRRPSLLEVFVDAQLPGHSHRTSRSAIRLSKTETPPASNIKRLSRGTKSSVTTKPTSQQSRSPYRGPEQQQGRSSFSSSLKGRTKVPLRVQPRGRKPLSVEEEEQSDDEDQEVEYEEEESQGEEEDGDVEDDEGGMSDEVDEDSELSPRQRSSLRRSRQKPRCEPCFWDGEPVTKLKPRVCYTHHGRDCGDCLVMNEADGKKRCIKCRANLISKSWIVSRDLTSLHLFVQSLSASSLLSERWRDLVTKYSRGSDSADLPAKLFRREIGQEIQFLAMALYHVVSSVSQPTSANTSVTSPTETKIGLLRDKVIVKTQEDIEMEEVDENVTKIIGNEKNEESLLEYRGSELASRWIHRDTVKIAGLTGQYIRDEIDLLFDRLRLELQKEACMQSPDYANSSRGRSYTPKSDPQPKDSFHFKEESFRALQACIRSKEQLLTEKLASERHGRSNLAEILQDLQECSSYFCWRNPKHVPKPLQVSHDRPGQDINSTSESMLPEGTKTSVQPDQSQVNNQFSSPRPLLMDETLGSYDEEIFLGPISPFIWYRRYMRDRGLPFINTCAIASQIKELLEKEKRQHEQKLAEEEDATLPERTVCNIQEQRTFEKFGNQGRKGRRGRKHSGPDDEDETVMDQDLEKQETDKSEISTDFDEREEEGISIDATSNRQEDEKLKEQKEKMKQEQEKLKEEKRKRIRAAAEEREKRHQEAKLERFEQKAQRLIDVYDEIFDRTSVLTLLKGCLAHLIKMKEGPTLDYVSTSSLKKVLSPNSLRLEMCADDTADFGGRKQYRYKANASTVDAGSALIHLRLIESLTCSDLDLSKLSCGQHLQPWAAALSAVASLASKTPDADEDLAETLDKTDADTLQEKAVEEEEEAIAQFIATLFFFLLECLPGESDNGVLAEELVELTVGDPFEDQLDFLEGFANLFELVMLADGFIEPPLRTKVPTPEPLEKLDDCEMDERPKSWQKLYEVYKRKEERLKEREKEREVKLREKEEKKKLREEEVIKKLEERKKEKDEKEKKKAELADAKERKLKAKEFALSKMEEQKLLKDREKTAKSRYSALTQKNAVNDEQSIHIRKPPRGRRRNAPSFIFTPPDDPFFYSFDQEAIKYTSLSSAKIKIVGDQPECHQKLFLKLKDRSFLHKRRSIQTAKVIASEHLEKKTPQVKYKFLLPDIADKKKGNQFSCSQTESDDSDSIIITEGDVSNVHGNAFGNQHSPPLVELIRCQLRAGNNRIVEVTEEVFYRDKVYAFIDDCIEHLRSRHTLSTLYLVPIILPEPFSQLNQRAKKESELAEQYTLGPRGTVACSWVLSNCLITREFFDDYHRFIENTVKCYFVEEDPRLDAPPKQRVFPKRHLLPM
+>sp|Q6ZW61|BBS12_HUMAN Bardet-Biedl syndrome 12 protein OS=Homo sapiens OX=9606 GN=BBS12 PE=1 SV=2
+MVMACRVVNKRRHMGLQQLSSFAETGRTFLGPLKSSKFIIDEECHESVLISSTVRLLESLDLTSAVGQLLNEAVQAQNNTYRTGISTLLFLVGAWSSAVEECLHLGVPISIIVSVMSEGLNFCSEEVVSLHVPVHNIFDCMDSTKTFSQLETFSVSLCPFLQVPSDTDLIEELHGLKDVASQTLTISNLSGRPLKSYELFKPQTKVEADNNTSRTLKNSLLADTCCRQSILIHSRHFNRTDNTEGVSKPDGFQEHVTATHKTYRCNDLVELAVGLSHGDHSSMKLVEEAVQLQYQNACVQQGNCTKPFMFDISRIFTCCLPGLPETSSCVCPGYITVVSVSNNPVIKELQNQPVRIVLIEGDLTENYRHLGFNKSANIKTVLDSMRLQEDSSEELWANHVLQVLIQFKVNLVLVQGNVSERLIEKCINSKRLVIGSVNGSVMQAFAEAAGAVQVAYITQVNEDCVGDGVCVTFWRSSPLDVVDRNNRIAILLKTEGINLVTAVLTNPVTAQMQIKEDRFWTCAYRLYYALKEEKVFLGGGAVEFLCLSCLHILAEQSLKKENHACSGWLHNTSSWLASSLAIYRPTVLKFLANGWQKYLSTLLYNTANYSSEFEASTYIQHHLQNATDSGSPSSYILNEYSKLNSRIFNSDISNKLEQIPRVYDVVTPKIEAWRRALDLVLLVLQTDSEIITGHGHTQINSQELTGFLFL
+>DECOY_sp|Q6ZW61|BBS12_HUMAN Bardet-Biedl syndrome 12 protein OS=Homo sapiens OX=9606 GN=BBS12 PE=1 SV=2
+LFLFGTLEQSNIQTHGHGTIIESDTQLVLLVLDLARRWAEIKPTVVDYVRPIQELKNSIDSNFIRSNLKSYENLIYSSPSGSDTANQLHHQIYTSAEFESSYNATNYLLTSLYKQWGNALFKLVTPRYIALSSALWSSTNHLWGSCAHNEKKLSQEALIHLCSLCLFEVAGGGLFVKEEKLAYYLRYACTWFRDEKIQMQATVPNTLVATVLNIGETKLLIAIRNNRDVVDLPSSRWFTVCVGDGVCDENVQTIYAVQVAGAAEAFAQMVSGNVSGIVLRKSNICKEILRESVNGQVLVLNVKFQILVQLVHNAWLEESSDEQLRMSDLVTKINASKNFGLHRYNETLDGEILVIRVPQNQLEKIVPNNSVSVVTIYGPCVCSSTEPLGPLCCTFIRSIDFMFPKTCNGQQVCANQYQLQVAEEVLKMSSHDGHSLGVALEVLDNCRYTKHTATVHEQFGDPKSVGETNDTRNFHRSHILISQRCCTDALLSNKLTRSTNNDAEVKTQPKFLEYSKLPRGSLNSITLTQSAVDKLGHLEEILDTDSPVQLFPCLSVSFTELQSFTKTSDMCDFINHVPVHLSVVEESCFNLGESMVSVIISIPVGLHLCEEVASSWAGVLFLLTSIGTRYTNNQAQVAENLLQGVASTLDLSELLRVTSSILVSEHCEEDIIFKSSKLPGLFTRGTEAFSSLQQLGMHRRKNVVRCAMVM
+>sp|Q8NFJ9|BBS1_HUMAN Bardet-Biedl syndrome 1 protein OS=Homo sapiens OX=9606 GN=BBS1 PE=1 SV=1
+MAAASSSDSDACGAESNEANSKWLDAHYDPMANIHTFSACLALADLHGDGEYKLVVGDLGPGGQQPRLKVLKGPLVMTESPLPALPAAAATFLMEQHEPRTPALALASGPCVYVYKNLRPYFKFSLPQLPPNPLEQDLWNQAKEDRIDPLTLKEMLESIRETAEEPLSIQSLRFLQLELSEMEAFVNQHKSNSIKRQTVITTMTTLKKNLADEDAVSCLVLGTENKELLVLDPEAFTILAKMSLPSVPVFLEVSGQFDVEFRLAAACRNGNIYILRRDSKHPKYCIELSAQPVGLIRVHKVLVVGSTQDSLHGFTHKGKKLWTVQMPAAILTMNLLEQHSRGLQAVMAGLANGEVRIYRDKALLNVIHTPDAVTSLCFGRYGREDNTLIMTTRGGGLIIKILKRTAVFVEGGSEVGPPPAQAMKLNVPRKTRLYVDQTLREREAGTAMHRAFQTDLYLLRLRAARAYLQALESSLSPLSTTAREPLKLHAVVQGLGPTFKLTLHLQNTSTTRPVLGLLVCFLYNEALYSLPRAFFKVPLLVPGLNYPLETFVESLSNKGISDIIKVLVLREGQSAPLLSAHVNMPGSEGLAAA
+>DECOY_sp|Q8NFJ9|BBS1_HUMAN Bardet-Biedl syndrome 1 protein OS=Homo sapiens OX=9606 GN=BBS1 PE=1 SV=1
+AAALGESGPMNVHASLLPASQGERLVLVKIIDSIGKNSLSEVFTELPYNLGPVLLPVKFFARPLSYLAENYLFCVLLGLVPRTTSTNQLHLTLKFTPGLGQVVAHLKLPERATTSLPSLSSELAQLYARAARLRLLYLDTQFARHMATGAERERLTQDVYLRTKRPVNLKMAQAPPPGVESGGEVFVATRKLIKIILGGGRTTMILTNDERGYRGFCLSTVADPTHIVNLLAKDRYIRVEGNALGAMVAQLGRSHQELLNMTLIAAPMQVTWLKKGKHTFGHLSDQTSGVVLVKHVRILGVPQASLEICYKPHKSDRRLIYINGNRCAAALRFEVDFQGSVELFVPVSPLSMKALITFAEPDLVLLEKNETGLVLCSVADEDALNKKLTTMTTIVTQRKISNSKHQNVFAEMESLELQLFRLSQISLPEEATERISELMEKLTLPDIRDEKAQNWLDQELPNPPLQPLSFKFYPRLNKYVYVCPGSALALAPTRPEHQEMLFTAAAAPLAPLPSETMVLPGKLVKLRPQQGGPGLDGVVLKYEGDGHLDALALCASFTHINAMPDYHADLWKSNAENSEAGCADSDSSSAAAM
+>sp|Q9C0K0|BC11B_HUMAN B-cell lymphoma/leukemia 11B OS=Homo sapiens OX=9606 GN=BCL11B PE=1 SV=1
+MSRRKQGNPQHLSQRELITPEADHVEAAILEEDEGLEIEEPSGLGLMVGGPDPDLLTCGQCQMNFPLGDILVFIEHKRKQCGGSLGACYDKALDKDSPPPSSRSELRKVSEPVEIGIQVTPDEDDHLLSPTKGICPKQENIAGPCRPAQLPAVAPIAASSHPHSSVITSPLRALGALPPCLPLPCCSARPVSGDGTQGEGQTEAPFGCQCQLSGKDEPSSYICTTCKQPFNSAWFLLQHAQNTHGFRIYLEPGPASSSLTPRLTIPPPLGPEAVAQSPLMNFLGDSNPFNLLRMTGPILRDHPGFGEGRLPGTPPLFSPPPRHHLDPHRLSAEEMGLVAQHPSAFDRVMRLNPMAIDSPAMDFSRRLRELAGNSSTPPPVSPGRGNPMHRLLNPFQPSPKSPFLSTPPLPPMPPGGTPPPQPPAKSKSCEFCGKTFKFQSNLIVHRRSHTGEKPYKCQLCDHACSQASKLKRHMKTHMHKAGSLAGRSDDGLSAASSPEPGTSELAGEGLKAADGDFRHHESDPSLGHEPEEEDEEEEEEEEELLLENESRPESSFSMDSELSRNRENGGGGVPGVPGAGGGAAKALADEKALVLGKVMENVGLGALPQYGELLADKQKRGAFLKRAAGGGDAGDDDDAGGCGDAGAGGAVNGRGGGFAPGTEPFPGLFPRKPAPLPSPGLNSAAKRIKVEKDLELPPAALIPSENVYSQWLVGYAASRHFMKDPFLGFTDARQSPFATSSEHSSENGSLRFSTPPGDLLDGGLSGRSGTASGGSTPHLGGPGPGRPSSKEGRRSDTCEYCGKVFKNCSNLTVHRRSHTGERPYKCELCNYACAQSSKLTRHMKTHGQIGKEVYRCDICQMPFSVYSTLEKHMKKWHGEHLLTNDVKIEQAERS
+>DECOY_sp|Q9C0K0|BC11B_HUMAN B-cell lymphoma/leukemia 11B OS=Homo sapiens OX=9606 GN=BCL11B PE=1 SV=1
+SREAQEIKVDNTLLHEGHWKKMHKELTSYVSFPMQCIDCRYVEKGIQGHTKMHRTLKSSQACAYNCLECKYPREGTHSRRHVTLNSCNKFVKGCYECTDSRRGEKSSPRGPGPGGLHPTSGGSATGSRGSLGGDLLDGPPTSFRLSGNESSHESSTAFPSQRADTFGLFPDKMFHRSAAYGVLWQSYVNESPILAAPPLELDKEVKIRKAASNLGPSPLPAPKRPFLGPFPETGPAFGGGRGNVAGGAGADGCGGADDDDGADGGGAARKLFAGRKQKDALLEGYQPLAGLGVNEMVKGLVLAKEDALAKAAGGGAGPVGPVGGGGNERNRSLESDMSFSSEPRSENELLLEEEEEEEEEDEEEPEHGLSPDSEHHRFDGDAAKLGEGALESTGPEPSSAASLGDDSRGALSGAKHMHTKMHRKLKSAQSCAHDCLQCKYPKEGTHSRRHVILNSQFKFTKGCFECSKSKAPPQPPPTGGPPMPPLPPTSLFPSKPSPQFPNLLRHMPNGRGPSVPPPTSSNGALERLRRSFDMAPSDIAMPNLRMVRDFASPHQAVLGMEEASLRHPDLHHRPPPSFLPPTGPLRGEGFGPHDRLIPGTMRLLNFPNSDGLFNMLPSQAVAEPGLPPPITLRPTLSSSAPGPELYIRFGHTNQAHQLLFWASNFPQKCTTCIYSSPEDKGSLQCQCGFPAETQGEGQTGDGSVPRASCCPLPLCPPLAGLARLPSTIVSSHPHSSAAIPAVAPLQAPRCPGAINEQKPCIGKTPSLLHDDEDPTVQIGIEVPESVKRLESRSSPPPSDKDLAKDYCAGLSGGCQKRKHEIFVLIDGLPFNMQCQGCTLLDPDPGGVMLGLGSPEEIELGEDEELIAAEVHDAEPTILERQSLHQPNGQKRRSM
+>sp|Q8TDM0|BCAS4_HUMAN Breast carcinoma-amplified sequence 4 OS=Homo sapiens OX=9606 GN=BCAS4 PE=1 SV=1
+MQRTGGGAPRPGRNHGLPGSLRQPDPVALLMLLVDADQPEPMRSGARELALFLTPEPGAEAKEVEETIEGMLLRLEEFCSLADLIRSDTSQILEENIPVLKAKLTEMRGIYAKVDRLEAFVKMVGHHVAFLEADVLQAERDHGAFPQALRRWLGSAGLPSFRNVECSGTIPARCNLRLPGSSDSPASASQVAGITEVTCTGARDVRAAHTV
+>DECOY_sp|Q8TDM0|BCAS4_HUMAN Breast carcinoma-amplified sequence 4 OS=Homo sapiens OX=9606 GN=BCAS4 PE=1 SV=1
+VTHAARVDRAGTCTVETIGAVQSASAPSDSSGPLRLNCRAPITGSCEVNRFSPLGASGLWRRLAQPFAGHDREAQLVDAELFAVHHGVMKVFAELRDVKAYIGRMETLKAKLVPINEELIQSTDSRILDALSCFEELRLLMGEITEEVEKAEAGPEPTLFLALERAGSRMPEPQDADVLLMLLAVPDPQRLSGPLGHNRGPRPAGGGTRQM
+>sp|O15382|BCAT2_HUMAN Branched-chain-amino-acid aminotransferase, mitochondrial OS=Homo sapiens OX=9606 GN=BCAT2 PE=1 SV=2
+MAAAALGQIWARKLLSVPWLLCGPRRYASSSFKAADLQLEMTQKPHKKPGPGEPLVFGKTFTDHMLMVEWNDKGWGQPRIQPFQNLTLHPASSSLHYSLQLFEGMKAFKGKDQQVRLFRPWLNMDRMLRSAMRLCLPSFDKLELLECIRRLIEVDKDWVPDAAGTSLYVRPVLIGNEPSLGVSQPTRALLFVILCPVGAYFPGGSVTPVSLLADPAFIRAWVGGVGNYKLGGNYGPTVLVQQEALKRGCEQVLWLYGPDHQLTEVGTMNIFVYWTHEDGVLELVTPPLNGVILPGVVRQSLLDMAQTWGEFRVVERTITMKQLLRALEEGRVREVFGSGTACQVCPVHRILYKDRNLHIPTMENGPELILRFQKELKEIQYGIRAHEWMFPV
+>DECOY_sp|O15382|BCAT2_HUMAN Branched-chain-amino-acid aminotransferase, mitochondrial OS=Homo sapiens OX=9606 GN=BCAT2 PE=1 SV=2
+VPFMWEHARIGYQIEKLEKQFRLILEPGNEMTPIHLNRDKYLIRHVPCVQCATGSGFVERVRGEELARLLQKMTITREVVRFEGWTQAMDLLSQRVVGPLIVGNLPPTVLELVGDEHTWYVFINMTGVETLQHDPGYLWLVQECGRKLAEQQVLVTPGYNGGLKYNGVGGVWARIFAPDALLSVPTVSGGPFYAGVPCLIVFLLARTPQSVGLSPENGILVPRVYLSTGAADPVWDKDVEILRRICELLELKDFSPLCLRMASRLMRDMNLWPRFLRVQQDKGKFAKMGEFLQLSYHLSSSAPHLTLNQFPQIRPQGWGKDNWEVMLMHDTFTKGFVLPEGPGPKKHPKQTMELQLDAAKFSSSAYRRPGCLLWPVSLLKRAWIQGLAAAAM
+>sp|A6H8Y1|BDP1_HUMAN Transcription factor TFIIIB component B'' homolog OS=Homo sapiens OX=9606 GN=BDP1 PE=1 SV=3
+MFRRARLSVKPNVRPGVGARGSTASNPQRGRESPRPPDPATDSASKPAEPTDVPTVDFGGAEPQEKAPRSSTEKTGGDNDVEESSRSSSTVSQRRKRISSTSSLVKSSVSVPSESHPLSTINQEAPQPTATSTKEKQPCSDRYRIYKAQKLREMLKEELRKEKKQWKNKYAINESQRPPDRSKMTMRDFIYYLPDNNPMTSSLEQEKKTEKPSTPVQTREQEGKSTPNAEDNEMEEETDDGPLLVPRVKVAEDGSIILDEESLTVEVLRTKGPCVVEENDPIFERGSTTTYSSFRKNYYSKPWSNKETDMFFLAISMVGTDFSMIGQLFPHRARIEIKNKFKREEKTNGWRIDKAFQEKRPFDFDFFAHLLQKVLAEEEKRKQKSVKNHSLKEKKSTKPRKNVKVKKVACEGVNNDPDESMSSRISDTERSQKDAQTVEEESLTLSREDAEQVALEVDLNQKKRRRKKQDGANELGVNNLLENATVQAGPSKGEKHKNKCQAIRPELKEGECSKEQMLSCTQNIDGIVGFASTEKVEKRTDPILSLSNQQDATSVATESSESSTSDLPSFEVGIRALCEVNNAEGSCIEERNVDLKNNSLEIDQTENVKPMLRGRFQRPKPNLSRAGKKSVLSQGKTESESKNSHSKTSVEKNHVEKDKMNTLDILRMETTERENPEAETVSVLGEKNCLQEGSQLKALRPVQVRGRLQKPKPNAGKAAERKEILISQEEIGANVEKNENESCADRDTPQHMEDQSRKDFEEEDVILQPEKNDSFQNVQPDEPKVLNECLSVQENNKANKLNQVPILRTRFQKPKPNIGRGTGRREISSKEEVLEKILVSGEMAAALRETVRLDTSPKEMVPAEINTKEMQSDLKETGRRAISPREKILDVIDDTIEMETGLKAMGREICLREKTPEVIDATEEIDKDLEEAGRREISPQKNGPEEVKPLGEVETDLKATGNESSPREKTPEVTDATEEIDKNLEETGRRKISPRENGPEEVKPVDEMETDLNATGRESSPREKTPEVIDATEEIDLEETEREVSPQENGLEEVKPLGEMETDLKATGRDSFPRGKTPEVIDAIEEIEIDLEETEREISPQENGLEEVKPLGEMQTDLKATGREISPREKTPEVIDATEEIDKDLEETGRREISPEENGPEEVKPVDEMETDLKTTGREGSSREKTREVIDAAEVIETDLEETEREISPQENGPEEVKPVGKMETDLKEIREEISQREKVLAEFSAIREKEIDLKETGKRDIPIMEKVSGKMAVVEEMEADLKETGKENFRERGSEEICVTEEKVAELKQTGKTDISPRENELEETSTSRQTDTHLMQSGSNDFSAVPSLDIQNISSEVLSMMHTPVEEKRNSEKEVSSHFSHFKISSQTHESDKTEVQGIQSPDVPEQFSDINLSKSLPQEQKPLEIKPAPFVRSRFKRPKPNLARAALKRETTESEKYIYEKKSETKKMETIVMQENNEQTDTLPSQHDEASLMISREKDTLGHRNEEAVILPCTQTERNLSPSNSCEPKEESQSAPVQKNDSVVSVGTNNVNTFQQEMKESVIQTARQVRGRLQRPRPNIRKTGQRQIVDKGEAKGIIKEGRTILPKDETEKKVLTVSNSQIETEIEVPSSAVPEHRMYENQSQVVLVENLHVNKTNETIRHENKPYVPSSAQMTRRKFQKAKPNLGRAHSKKEEPVLEKVTTDQSKEGKPEDHLLQKGASNTQLLLKEKAELLTSLEVSARKDCVGSKESALAKIDAELEEVGPSRRVGEETVGDNSPSSVVEEQYLNKLTSCPQPLNETSYSKIALDGKTTISSTSEYERNRGERRSHKKFKPNVTRGRGSKRVRGKTSKKEPRASKAMLVTLRASQEEDDDADDFESDYEEESYHLAPEEVNKAPVFVPVGLRSPEPVSAQIEETMEELEITVNVPDVGCIAVVEHELPNTDVTTEEMKQEENLSVPFEMTTSEHIQDEPGTNDGSTEAAITLLTMGDLVLQSEISSEQGDVGVCIIPHVHSKDKSHIPSSLDNVNHKIVHECQELSSPVITTSPASFEENKIVLEEQSSREEISLMEKVKENATPTRNTISKVTSNLRIRSRLAKPKPNLEKTLGTNRLDDYQEVSSLCVTKGAEMETQRETEKNASKATELENKNLGPVTTAENKDQSKLACVHGIKGTSISSEVNLTERNENQEESSQEVHMLSVAPVASSETGPCTLGLDRGLGENSVEEPQIKDSKGDSVLTLPVPEYTPTSIPEVQQENIINPQDLTVNLVANVPQDGEDEQAFILTLVEIPANAVEEFTDATAQFMPNPLLPAPILVKSVNTEERGDMSICLPATSVGQDAMGLSISGRDNSKKPPDNLDLVSRKRFQCRLDKNDHIPPAKKRSLTLRDDCQEYTTEVHSKELTNVFEETGESHKGQDIFLTSGSTLTTPEPQRQQVEAAFQSRGSRSPDACMDKNVPQLPQDEMIVSDKEERTDAAPKSQQMDSRTSSSKASLSRPGRRPLGFLSLICSKNSLESDEPMQVHSKKRLKPLIPGLRKKLKRSNPFNESQEKNRESSDLLPSPSVITTQSENISSSATQVSCDQPLLKEGYKSAQKRAPQGEATTVSEYFFNDIFIEVDETE
+>DECOY_sp|A6H8Y1|BDP1_HUMAN Transcription factor TFIIIB component B'' homolog OS=Homo sapiens OX=9606 GN=BDP1 PE=1 SV=3
+ETEDVEIFIDNFFYESVTTAEGQPARKQASKYGEKLLPQDCSVQTASSSINESQTTIVSPSPLLDSSERNKEQSENFPNSRKLKKRLGPILPKLRKKSHVQMPEDSELSNKSCILSLFGLPRRGPRSLSAKSSSTRSDMQQSKPAADTREEKDSVIMEDQPLQPVNKDMCADPSRSGRSQFAAEVQQRQPEPTTLTSGSTLFIDQGKHSEGTEEFVNTLEKSHVETTYEQCDDRLTLSRKKAPPIHDNKDLRCQFRKRSVLDLNDPPKKSNDRGSISLGMADQGVSTAPLCISMDGREETNVSKVLIPAPLLPNPMFQATADTFEEVANAPIEVLTLIFAQEDEGDQPVNAVLNVTLDQPNIINEQQVEPISTPTYEPVPLTLVSDGKSDKIQPEEVSNEGLGRDLGLTCPGTESSAVPAVSLMHVEQSSEEQNENRETLNVESSISTGKIGHVCALKSQDKNEATTVPGLNKNELETAKSANKETERQTEMEAGKTVCLSSVEQYDDLRNTGLTKELNPKPKALRSRIRLNSTVKSITNRTPTANEKVKEMLSIEERSSQEELVIKNEEFSAPSTTIVPSSLEQCEHVIKHNVNDLSSPIHSKDKSHVHPIICVGVDGQESSIESQLVLDGMTLLTIAAETSGDNTGPEDQIHESTTMEFPVSLNEEQKMEETTVDTNPLEHEVVAICGVDPVNVTIELEEMTEEIQASVPEPSRLGVPVFVPAKNVEEPALHYSEEEYDSEFDDADDDEEQSARLTVLMAKSARPEKKSTKGRVRKSGRGRTVNPKFKKHSRREGRNREYESTSSITTKGDLAIKSYSTENLPQPCSTLKNLYQEEVVSSPSNDGVTEEGVRRSPGVEELEADIKALASEKSGVCDKRASVELSTLLEAKEKLLLQTNSAGKQLLHDEPKGEKSQDTTVKELVPEEKKSHARGLNPKAKQFKRRTMQASSPVYPKNEHRITENTKNVHLNEVLVVQSQNEYMRHEPVASSPVEIETEIQSNSVTLVKKETEDKPLITRGEKIIGKAEGKDVIQRQGTKRINPRPRQLRGRVQRATQIVSEKMEQQFTNVNNTGVSVVSDNKQVPASQSEEKPECSNSPSLNRETQTCPLIVAEENRHGLTDKERSIMLSAEDHQSPLTDTQENNEQMVITEMKKTESKKEYIYKESETTERKLAARALNPKPRKFRSRVFPAPKIELPKQEQPLSKSLNIDSFQEPVDPSQIGQVETKDSEHTQSSIKFHSFHSSVEKESNRKEEVPTHMMSLVESSINQIDLSPVASFDNSGSQMLHTDTQRSTSTEELENERPSIDTKGTQKLEAVKEETVCIEESGRERFNEKGTEKLDAEMEEVVAMKGSVKEMIPIDRKGTEKLDIEKERIASFEALVKERQSIEERIEKLDTEMKGVPKVEEPGNEQPSIERETEELDTEIVEAADIVERTKERSSGERGTTKLDTEMEDVPKVEEPGNEEPSIERRGTEELDKDIEETADIVEPTKERPSIERGTAKLDTQMEGLPKVEELGNEQPSIERETEELDIEIEEIADIVEPTKGRPFSDRGTAKLDTEMEGLPKVEELGNEQPSVERETEELDIEETADIVEPTKERPSSERGTANLDTEMEDVPKVEEPGNERPSIKRRGTEELNKDIEETADTVEPTKERPSSENGTAKLDTEVEGLPKVEEPGNKQPSIERRGAEELDKDIEETADIVEPTKERLCIERGMAKLGTEMEITDDIVDLIKERPSIARRGTEKLDSQMEKTNIEAPVMEKPSTDLRVTERLAAAMEGSVLIKELVEEKSSIERRGTGRGINPKPKQFRTRLIPVQNLKNAKNNEQVSLCENLVKPEDPQVNQFSDNKEPQLIVDEEEFDKRSQDEMHQPTDRDACSENENKEVNAGIEEQSILIEKREAAKGANPKPKQLRGRVQVPRLAKLQSGEQLCNKEGLVSVTEAEPNERETTEMRLIDLTNMKDKEVHNKEVSTKSHSNKSESETKGQSLVSKKGARSLNPKPRQFRGRLMPKVNETQDIELSNNKLDVNREEICSGEANNVECLARIGVEFSPLDSTSSESSETAVSTADQQNSLSLIPDTRKEVKETSAFGVIGDINQTCSLMQEKSCEGEKLEPRIAQCKNKHKEGKSPGAQVTANELLNNVGLENAGDQKKRRRKKQNLDVELAVQEADERSLTLSEEEVTQADKQSRETDSIRSSMSEDPDNNVGECAVKKVKVNKRPKTSKKEKLSHNKVSKQKRKEEEALVKQLLHAFFDFDFPRKEQFAKDIRWGNTKEERKFKNKIEIRARHPFLQGIMSFDTGVMSIALFFMDTEKNSWPKSYYNKRFSSYTTTSGREFIPDNEEVVCPGKTRLVEVTLSEEDLIISGDEAVKVRPVLLPGDDTEEEMENDEANPTSKGEQERTQVPTSPKETKKEQELSSTMPNNDPLYYIFDRMTMKSRDPPRQSENIAYKNKWQKKEKRLEEKLMERLKQAKYIRYRDSCPQKEKTSTATPQPAEQNITSLPHSESPVSVSSKVLSSTSSIRKRRQSVTSSSRSSEEVDNDGGTKETSSRPAKEQPEAGGFDVTPVDTPEAPKSASDTAPDPPRPSERGRQPNSATSGRAGVGPRVNPKVSLRARRFM
+>sp|Q9BUH8|BEGIN_HUMAN Brain-enriched guanylate kinase-associated protein OS=Homo sapiens OX=9606 GN=BEGAIN PE=1 SV=1
+MEKLSALQEQKGELRKRLSYTTHKLEKLETEFDSTRHYLEIELRRAQEELEKVTEKLRRIQSNYMALQRINQELEDKLYRMGQHYEEEKRALSHEIVALNSHLLEAKVTIDKLSEDNELYRKDCNLAAQLLQCSQTYGRVHKVSELPSDFQERVSLHMEKHGCSLPSPLCHPAYADSVPTCVIAKVLEKPDPASLSSRLSDASARDLAFCDGVEKPGPRPPYKGDIYCSDTALYCPEERRRDRRPSVDAPVTDVGFLRAQNSTDSAAEEEEEAEAAAFPAGFQHEAFPSYAGSLPTSSSYSSFSATSEEKEHAQASTLTASQQAIYLNSRDELFDRKPPATTYEGSPRFAKATAAVAAPLEAEVAPGFGRTMSPYPAETFRFPASPGPQQALMPPNLWSLRAKPGTARLPGEDMRGQWRPLSVEDIGAYSYPVSAAGRASPCSFSERYYGGAGGSPGKKADGRASPLYASYKADSFSEGDDLSQGHLAEPCFLRAGGDLSLSPGRSADPLPGYAPSEGGDGDRLGVQLCGTASSPEPEQGSRDSLEPSSMEASPEMHPAARLSPQQAFPRTGGSGLSRKDSLTKAQLYGTLLN
+>DECOY_sp|Q9BUH8|BEGIN_HUMAN Brain-enriched guanylate kinase-associated protein OS=Homo sapiens OX=9606 GN=BEGAIN PE=1 SV=1
+NLLTGYLQAKTLSDKRSLGSGGTRPFAQQPSLRAAPHMEPSAEMSSPELSDRSGQEPEPSSATGCLQVGLRDGDGGESPAYGPLPDASRGPSLSLDGGARLFCPEALHGQSLDDGESFSDAKYSAYLPSARGDAKKGPSGGAGGYYRESFSCPSARGAASVPYSYAGIDEVSLPRWQGRMDEGPLRATGPKARLSWLNPPMLAQQPGPSAPFRFTEAPYPSMTRGFGPAVEAELPAAVAATAKAFRPSGEYTTAPPKRDFLEDRSNLYIAQQSATLTSAQAHEKEESTASFSSYSSSTPLSGAYSPFAEHQFGAPFAAAEAEEEEEAASDTSNQARLFGVDTVPADVSPRRDRRREEPCYLATDSCYIDGKYPPRPGPKEVGDCFALDRASADSLRSSLSAPDPKELVKAIVCTPVSDAYAPHCLPSPLSCGHKEMHLSVREQFDSPLESVKHVRGYTQSCQLLQAALNCDKRYLENDESLKDITVKAELLHSNLAVIEHSLARKEEEYHQGMRYLKDELEQNIRQLAMYNSQIRRLKETVKELEEQARRLEIELYHRTSDFETELKELKHTTYSLRKRLEGKQEQLASLKEM
+>sp|Q00994|BEX3_HUMAN Protein BEX3 OS=Homo sapiens OX=9606 GN=BEX3 PE=1 SV=1
+MANIHQENEEMEQPMQNGEEDRPLGGGEGHQPAGNRRGQARRLAPNFRWAIPNRQINDGMGGDGDDMEIFMEEMREIRRKLRELQLRNCLRILMGELSNHHDHHDEFCLMP
+>DECOY_sp|Q00994|BEX3_HUMAN Protein BEX3 OS=Homo sapiens OX=9606 GN=BEX3 PE=1 SV=1
+PMLCFEDHHDHHNSLEGMLIRLCNRLQLERLKRRIERMEEMFIEMDDGDGGMGDNIQRNPIAWRFNPALRRAQGRRNGAPQHGEGGGLPRDEEGNQMPQEMEENEQHINAM
+>sp|Q6P575|BGP11_HUMAN Putative inactive beta-glucuronidase protein GUSBP11 OS=Homo sapiens OX=9606 GN=GUSBP11 PE=5 SV=2
+MTAAETGRGKPRLGGGSGLGGSPAAVVWLHVGATGRDAVSPREPVVAAQAAGRPLKLPRRLLRQPTPGLRGAESGPTVDMPVPSSFNDIGQGWRLRHFVSWLWYEREVTLLERWIQDLCTRVVLRIGSAHFYAIVWVNGVDTVEHEGGYLPFEADISSLFQVEPLPSHLCITIAINNTLTPQPCHQGPSVHDRHLQVGTILPPLHAPTFPPHPVVFLPGTGYPKGYFVQNTDFDFFSYAGLLWSLLLYTTPPTYIDDVTVTTGVKRDSGEGFW
+>DECOY_sp|Q6P575|BGP11_HUMAN Putative inactive beta-glucuronidase protein GUSBP11 OS=Homo sapiens OX=9606 GN=GUSBP11 PE=5 SV=2
+WFGEGSDRKVGTTVTVDDIYTPPTTYLLLSWLLGAYSFFDFDTNQVFYGKPYGTGPLFVVPHPPFTPAHLPPLITGVQLHRDHVSPGQHCPQPTLTNNIAITICLHSPLPEVQFLSSIDAEFPLYGGEHEVTDVGNVWVIAYFHASGIRLVVRTCLDQIWRELLTVEREYWLWSVFHRLRWGQGIDNFSSPVPMDVTPGSEAGRLGPTPQRLLRRPLKLPRGAAQAAVVPERPSVADRGTAGVHLWVVAAPSGGLGSGGGLRPKGRGTEAATM
+>sp|Q9C0J9|BHE41_HUMAN Class E basic helix-loop-helix protein 41 OS=Homo sapiens OX=9606 GN=BHLHE41 PE=1 SV=1
+MDEGIPHLQERQLLEHRDFIGLDYSSLYMCKPKRSMKRDDTKDTYKLPHRLIEKKRRDRINECIAQLKDLLPEHLKLTTLGHLEKAVVLELTLKHLKALTALTEQQHQKIIALQNGERSLKSPIQSDLDAFHSGFQTCAKEVLQYLSRFESWTPREPRCVQLINHLHAVATQFLPTPQLLTQQVPLSKGTGAPSAAGSAAAPCLERAGQKLEPLAYCVPVIQRTQPSAELAAENDTDTDSGYGGEAEARPDREKGKGAGASRVTIKQEPPGEDSPAPKRMKLDSRGGGSGGGPGGGAAAAAAALLGPDPAAAAALLRPDAALLSSLVAFGGGGGAPFPQPAAAAAPFCLPFCFLSPSAAAAYVQPFLDKSGLEKYLYPAAAAAPFPLLYPGIPAPAAAAAAAAAAAAAAAAFPCLSSVLSPPPEKAGAAAATLLPHEVAPLGAPHPQHPHGRTHLPFAGPREPGNPESSAQEDPSQPGKEAP
+>DECOY_sp|Q9C0J9|BHE41_HUMAN Class E basic helix-loop-helix protein 41 OS=Homo sapiens OX=9606 GN=BHLHE41 PE=1 SV=1
+PAEKGPQSPDEQASSEPNGPERPGAFPLHTRGHPHQPHPAGLPAVEHPLLTAAAAGAKEPPPSLVSSLCPFAAAAAAAAAAAAAAAAPAPIGPYLLPFPAAAAAPYLYKELGSKDLFPQVYAAAASPSLFCFPLCFPAAAAAPQPFPAGGGGGFAVLSSLLAADPRLLAAAAAPDPGLLAAAAAAAGGGPGGGSGGGRSDLKMRKPAPSDEGPPEQKITVRSAGAGKGKERDPRAEAEGGYGSDTDTDNEAALEASPQTRQIVPVCYALPELKQGARELCPAAASGAASPAGTGKSLPVQQTLLQPTPLFQTAVAHLHNILQVCRPERPTWSEFRSLYQLVEKACTQFGSHFADLDSQIPSKLSREGNQLAIIKQHQQETLATLAKLHKLTLELVVAKELHGLTTLKLHEPLLDKLQAICENIRDRRKKEILRHPLKYTDKTDDRKMSRKPKCMYLSSYDLGIFDRHELLQREQLHPIGEDM
+>sp|P55957|BID_HUMAN BH3-interacting domain death agonist OS=Homo sapiens OX=9606 GN=BID PE=1 SV=1
+MDCEVNNGSSLRDECITNLLVFGFLQSCSDNSFRRELDALGHELPVLAPQWEGYDELQTDGNRSSHSRLGRIEADSESQEDIIRNIARHLAQVGDSMDRSIPPGLVNGLALQLRNTSRSEEDRNRDLATALEQLLQAYPRDMEKEKTMLVLALLLAKKVASHTPSLLRDVFHTTVNFINQNLRTYVRSLARNGMD
+>DECOY_sp|P55957|BID_HUMAN BH3-interacting domain death agonist OS=Homo sapiens OX=9606 GN=BID PE=1 SV=1
+DMGNRALSRVYTRLNQNIFNVTTHFVDRLLSPTHSAVKKALLLALVLMTKEKEMDRPYAQLLQELATALDRNRDEESRSTNRLQLALGNVLGPPISRDMSDGVQALHRAINRIIDEQSESDAEIRGLRSHSSRNGDTQLEDYGEWQPALVPLEHGLADLERRFSNDSCSQLFGFVLLNTICEDRLSSGNNVECDM
+>sp|Q5TH69|BIG3_HUMAN Brefeldin A-inhibited guanine nucleotide-exchange protein 3 OS=Homo sapiens OX=9606 GN=ARFGEF3 PE=1 SV=3
+MEEILRKLQKEASGSKYKAIKESCTWALETLGGLDTIVKIPPHVLREKCLLPLQLALESKNVKLAQHALAGMQKLLSEERFVSMETDSDEKQLLNQILNAVKVTPSLNEDLQVEVMKVLLCITYTPTFDLNGSAVLKIAEVCIETYISSCHQRSINTAVRATLSQMLSDLTLQLRQRQENTIIENPDVPQDFGNQGSTVESLCDDVVSVLTVLCEKLQAAINDSQQLQLLYLECILSVLSSSSSSMHLHRRFTDLIWKNLCPALIVILGNPIHDKTITSAHTSSTSTSLESDSASPGVSDHGRGSGCSCTAPALSGPVARTIYYIAAELVRLVGSVDSMKPVLQSLYHRVLLYPPPQHRVEAIKIMKEILGSPQRLCDLAGPSSTESESRKRSISKRKSHLDLLKLIMDGMTEACIKGGIEACYAAVSCVCTLLGALDELSQGKGLSEGQVQLLLLRLEELKDGAEWSRDSMEINEADFRWQRRVLSSEHTPWESGNERSLDISISVTTDTGQTTLEGELGQTTPEDHSGNHKNSLKSPAIPEGKETLSKVLETEAVDQPDVVQRSHTVPYPDITNFLSVDCRTRSYGSRYSESNFSVDDQDLSRTEFDSCDQYSMAAEKDSGRSDVSDIGSDNCSLADEEQTPRDCLGHRSLRTAALSLKLLKNQEADQHSARLFIQSLEGLLPRLLSLSNVEEVDTALQNFASTFCSGMMHSPGFDGNSSLSFQMLMNADSLYTAAHCALLLNLKLSHGDYYRKRPTLAPGVMKDFMKQVQTSGVLMVFSQAWIEELYHQVLDRNMLGEAGYWGSPEDNSLPLITMLTDIDGLESSAIGGQLMASAATESPFAQSRRIDDSTVAGVAFARYILVGCWKNLIDTLSTPLTGRMAGSSKGLAFILGAEGIKEQNQKERDAICMSLDGLRKAARLSCALGVAANCASALAQMAAASCVQEEKEEREAQEPSDAITQVKLKVEQKLEQIGKVQGVWLHTAHVLCMEAILSVGLEMGSHNPDCWPHVFRVCEYVGTLEHNHFSDGASQPPLTISQPQKATGSAGLLGDPECEGSPPEHSPEQGRSLSTAPVVQPLSIQDLVREGSRGRASDFRGGSLMSGSSAAKVVLTLSTQADRLFEDATDKLNLMALGGFLYQLKKASQSQLFHSVTDTVDYSLAMPGEVKSTQDRKSALHLFRLGNAMLRIVRSKARPLLHVMRCWSLVAPHLVEAACHKERHVSQKAVSFIHDILTEVLTDWNEPPHFHFNEALFRPFERIMQLELCDEDVQDQVVTSIGELVEVCSTQIQSGWRPLFSALETVHGGNKSEMKEYLVGDYSMGKGQAPVFDVFEAFLNTDNIQVFANAATSYIMCLMKFVKGLGEVDCKEIGDCAPAPGAPSTDLCLPALDYLRRCSQLLAKIYKMPLKPIFLSGRLAGLPRRLQEQSASSEDGIESVLSDFDDDTGLIEVWIILLEQLTAAVSNCPRQHQPPTLDLLFELLRDVTKTPGPGFGIYAVVHLLLPVMSVWLRRSHKDHSYWDMASANFKHAIGLSCELVVEHIQSFLHSDIRYESMINTMLKDLFELLVACVAKPTETISRVGCSCIRYVLVTAGPVFTEEMWRLACCALQDAFSATLKPVKDLLGCFHSGTESFSGEGCQVRVAAPSSSPSAEAEYWRIRAMAQQVFMLDTQCSPKTPNNFDHAQSCQLIIELPPDEKPNGHTKKSVSFREIVVSLLSHQVLLQNLYDILLEEFVKGPSPGEEKTIQVPEAKLAGFLRYISMQNLAVIFDLLLDSYRTAREFDTSPGLKCLLKKVSGIGGAANLYRQSAMSFNIYFHALVCAVLTNQETITAEQVKKVLFEDDERSTDSSQQCSSEDEDIFEETAQVSPPRGKEKRQWRARMPLLSVQPVSNADWVWLVKRLHKLCMELCNNYIQMHLDLENCMEEPPIFKGDPFFILPSFQSESSTPSTGGFSGKETPSEDDRSQSREHMGESLSLKAGGGDLLLPPSPKVEKKDPSRKKEWWENAGNKIYTMAADKTISKLMTEYKKRKQQHNLSAFPKEVKVEKKGEPLGPRGQDSPLLQRPQHLMDQGQMRHSFSAGPELLRQDKRPRSGSTGSSLSVSVRDAEAQIQAWTNMVLTVLNQIQILPDQTFTALQPAVFPCISQLTCHVTDIRVRQAVREWLGRVGRVYDIIV
+>DECOY_sp|Q5TH69|BIG3_HUMAN Brefeldin A-inhibited guanine nucleotide-exchange protein 3 OS=Homo sapiens OX=9606 GN=ARFGEF3 PE=1 SV=3
+VIIDYVRGVRGLWERVAQRVRIDTVHCTLQSICPFVAPQLATFTQDPLIQIQNLVTLVMNTWAQIQAEADRVSVSLSSGTSGSRPRKDQRLLEPGASFSHRMQGQDMLHQPRQLLPSDQGRPGLPEGKKEVKVEKPFASLNHQQKRKKYETMLKSITKDAAMTYIKNGANEWWEKKRSPDKKEVKPSPPLLLDGGGAKLSLSEGMHERSQSRDDESPTEKGSFGGTSPTSSESQFSPLIFFPDGKFIPPEEMCNELDLHMQIYNNCLEMCLKHLRKVLWVWDANSVPQVSLLPMRARWQRKEKGRPPSVQATEEFIDEDESSCQQSSDTSREDDEFLVKKVQEATITEQNTLVACVLAHFYINFSMASQRYLNAAGGIGSVKKLLCKLGPSTDFERATRYSDLLLDFIVALNQMSIYRLFGALKAEPVQITKEEGPSPGKVFEELLIDYLNQLLVQHSLLSVVIERFSVSKKTHGNPKEDPPLEIILQCSQAHDFNNPTKPSCQTDLMFVQQAMARIRWYEAEASPSSSPAAVRVQCGEGSFSETGSHFCGLLDKVPKLTASFADQLACCALRWMEETFVPGATVLVYRICSCGVRSITETPKAVCAVLLEFLDKLMTNIMSEYRIDSHLFSQIHEVVLECSLGIAHKFNASAMDWYSHDKHSRRLWVSMVPLLLHVVAYIGFGPGPTKTVDRLLEFLLDLTPPQHQRPCNSVAATLQELLIIWVEILGTDDDFDSLVSEIGDESSASQEQLRRPLGALRGSLFIPKLPMKYIKALLQSCRRLYDLAPLCLDTSPAGPAPACDGIEKCDVEGLGKVFKMLCMIYSTAANAFVQINDTNLFAEFVDFVPAQGKGMSYDGVLYEKMESKNGGHVTELASFLPRWGSQIQTSCVEVLEGISTVVQDQVDEDCLELQMIREFPRFLAENFHFHPPENWDTLVETLIDHIFSVAKQSVHREKHCAAEVLHPAVLSWCRMVHLLPRAKSRVIRLMANGLRFLHLASKRDQTSKVEGPMALSYDVTDTVSHFLQSQSAKKLQYLFGGLAMLNLKDTADEFLRDAQTSLTLVVKAASSGSMLSGGRFDSARGRSGERVLDQISLPQVVPATSLSRGQEPSHEPPSGECEPDGLLGASGTAKQPQSITLPPQSAGDSFHNHELTGVYECVRFVHPWCDPNHSGMELGVSLIAEMCLVHATHLWVGQVKGIQELKQEVKLKVQTIADSPEQAEREEKEEQVCSAAAMQALASACNAAVGLACSLRAAKRLGDLSMCIADREKQNQEKIGEAGLIFALGKSSGAMRGTLPTSLTDILNKWCGVLIYRAFAVGAVTSDDIRRSQAFPSETAASAMLQGGIASSELGDIDTLMTILPLSNDEPSGWYGAEGLMNRDLVQHYLEEIWAQSFVMLVGSTQVQKMFDKMVGPALTPRKRYYDGHSLKLNLLLACHAATYLSDANMLMQFSLSSNGDFGPSHMMGSCFTSAFNQLATDVEEVNSLSLLRPLLGELSQIFLRASHQDAEQNKLLKLSLAATRLSRHGLCDRPTQEEDALSCNDSGIDSVDSRGSDKEAAMSYQDCSDFETRSLDQDDVSFNSESYRSGYSRTRCDVSLFNTIDPYPVTHSRQVVDPQDVAETELVKSLTEKGEPIAPSKLSNKHNGSHDEPTTQGLEGELTTQGTDTTVSISIDLSRENGSEWPTHESSLVRRQWRFDAENIEMSDRSWEAGDKLEELRLLLLQVQGESLGKGQSLEDLAGLLTCVCSVAAYCAEIGGKICAETMGDMILKLLDLHSKRKSISRKRSESETSSPGALDCLRQPSGLIEKMIKIAEVRHQPPPYLLVRHYLSQLVPKMSDVSGVLRVLEAAIYYITRAVPGSLAPATCSCGSGRGHDSVGPSASDSELSTSTSSTHASTITKDHIPNGLIVILAPCLNKWILDTFRRHLHMSSSSSSLVSLICELYLLQLQQSDNIAAQLKECLVTLVSVVDDCLSEVTSGQNGFDQPVDPNEIITNEQRQRLQLTLDSLMQSLTARVATNISRQHCSSIYTEICVEAIKLVASGNLDFTPTYTICLLVKMVEVQLDENLSPTVKVANLIQNLLQKEDSDTEMSVFREESLLKQMGALAHQALKVNKSELALQLPLLCKERLVHPPIKVITDLGGLTELAWTCSEKIAKYKSGSAEKQLKRLIEEM
+>sp|Q9UBW5|BIN2_HUMAN Bridging integrator 2 OS=Homo sapiens OX=9606 GN=BIN2 PE=1 SV=3
+MAEGKAGGAAGLFAKQVQKKFSRAQEKVLQKLGKAVETKDERFEQSASNFYQQQAEGHKLYKDLKNFLSAVKVMHESSKRVSETLQEIYSSEWDGHEELKAIVWNNDLLWEDYEEKLADQAVRTMEIYVAQFSEIKERIAKRGRKLVDYDSARHHLEAVQNAKKKDEAKTAKAEEEFNKAQTVFEDLNQELLEELPILYNSRIGCYVTIFQNISNLRDVFYREMSKLNHNLYEVMSKLEKQHSNKVFVVKGLSSSSRRSLVISPPVRTATVSSPLTSPTSPSTLSLKSESESVSATEDLAPDAAQGEDNSEIKELLEEEEIEKEGSEASSSEEDEPLPACNGPAQAQPSPTTERAKSQEEVLPSSTTPSPGGALSPSGQPSSSATEVVLRTRTASEGSEQPKKRASIQRTSAPPSRPPPPRATASPRPSSGNIPSSPTASGGGSPTSPRASLGTGTASPRTSLEVSPNPEPPEKPVRTPEAKENENIHNQNPEELCTSPTLMTSQVASEPGEAKKMEDKEKDNKLISANSSEGQDQLQVSMVPENNNLTAPEPQEEVSTSENPQL
+>DECOY_sp|Q9UBW5|BIN2_HUMAN Bridging integrator 2 OS=Homo sapiens OX=9606 GN=BIN2 PE=1 SV=3
+LQPNESTSVEEQPEPATLNNNEPVMSVQLQDQGESSNASILKNDKEKDEMKKAEGPESAVQSTMLTPSTCLEEPNQNHINENEKAEPTRVPKEPPEPNPSVELSTRPSATGTGLSARPSTPSGGGSATPSSPINGSSPRPSATARPPPPRSPPASTRQISARKKPQESGESATRTRLVVETASSSPQGSPSLAGGPSPTTSSPLVEEQSKARETTPSPQAQAPGNCAPLPEDEESSSAESGEKEIEEEELLEKIESNDEGQAADPALDETASVSESESKLSLTSPSTPSTLPSSVTATRVPPSIVLSRRSSSSLGKVVFVKNSHQKELKSMVEYLNHNLKSMERYFVDRLNSINQFITVYCGIRSNYLIPLEELLEQNLDEFVTQAKNFEEEAKATKAEDKKKANQVAELHHRASDYDVLKRGRKAIREKIESFQAVYIEMTRVAQDALKEEYDEWLLDNNWVIAKLEEHGDWESSYIEQLTESVRKSSEHMVKVASLFNKLDKYLKHGEAQQQYFNSASQEFREDKTEVAKGLKQLVKEQARSFKKQVQKAFLGAAGGAKGEAM
+>sp|P11021|BIP_HUMAN Endoplasmic reticulum chaperone BiP OS=Homo sapiens OX=9606 GN=HSPA5 PE=1 SV=2
+MKLSLVAAMLLLLSAARAEEEDKKEDVGTVVGIDLGTTYSCVGVFKNGRVEIIANDQGNRITPSYVAFTPEGERLIGDAAKNQLTSNPENTVFDAKRLIGRTWNDPSVQQDIKFLPFKVVEKKTKPYIQVDIGGGQTKTFAPEEISAMVLTKMKETAEAYLGKKVTHAVVTVPAYFNDAQRQATKDAGTIAGLNVMRIINEPTAAAIAYGLDKREGEKNILVFDLGGGTFDVSLLTIDNGVFEVVATNGDTHLGGEDFDQRVMEHFIKLYKKKTGKDVRKDNRAVQKLRREVEKAKRALSSQHQARIEIESFYEGEDFSETLTRAKFEELNMDLFRSTMKPVQKVLEDSDLKKSDIDEIVLVGGSTRIPKIQQLVKEFFNGKEPSRGINPDEAVAYGAAVQAGVLSGDQDTGDLVLLDVCPLTLGIETVGGVMTKLIPRNTVVPTKKSQIFSTASDNQPTVTIKVYEGERPLTKDNHLLGTFDLTGIPPAPRGVPQIEVTFEIDVNGILRVTAEDKGTGNKNKITITNDQNRLTPEEIERMVNDAEKFAEEDKKLKERIDTRNELESYAYSLKNQIGDKEKLGGKLSSEDKETMEKAVEEKIEWLESHQDADIEDFKAKKKELEEIVQPIISKLYGSAGPPPTGEEDTAEKDEL
+>DECOY_sp|P11021|BIP_HUMAN Endoplasmic reticulum chaperone BiP OS=Homo sapiens OX=9606 GN=HSPA5 PE=1 SV=2
+LEDKEATDEEGTPPPGASGYLKSIIPQVIEELEKKKAKFDEIDADQHSELWEIKEEVAKEMTEKDESSLKGGLKEKDGIQNKLSYAYSELENRTDIREKLKKDEEAFKEADNVMREIEEPTLRNQDNTITIKNKNGTGKDEATVRLIGNVDIEFTVEIQPVGRPAPPIGTLDFTGLLHNDKTLPREGEYVKITVTPQNDSATSFIQSKKTPVVTNRPILKTMVGGVTEIGLTLPCVDLLVLDGTDQDGSLVGAQVAAGYAVAEDPNIGRSPEKGNFFEKVLQQIKPIRTSGGVLVIEDIDSKKLDSDELVKQVPKMTSRFLDMNLEEFKARTLTESFDEGEYFSEIEIRAQHQSSLARKAKEVERRLKQVARNDKRVDKGTKKKYLKIFHEMVRQDFDEGGLHTDGNTAVVEFVGNDITLLSVDFTGGGLDFVLINKEGERKDLGYAIAAATPENIIRMVNLGAITGADKTAQRQADNFYAPVTVVAHTVKKGLYAEATEKMKTLVMASIEEPAFTKTQGGGIDVQIYPKTKKEVVKFPLFKIDQQVSPDNWTRGILRKADFVTNEPNSTLQNKAADGILREGEPTFAVYSPTIRNGQDNAIIEVRGNKFVGVCSYTTGLDIGVVTGVDEKKDEEEARAASLLLLMAAVLSLKM
+>sp|Q13490|BIRC2_HUMAN Baculoviral IAP repeat-containing protein 2 OS=Homo sapiens OX=9606 GN=BIRC2 PE=1 SV=2
+MHKTASQRLFPGPSYQNIKSIMEDSTILSDWTNSNKQKMKYDFSCELYRMSTYSTFPAGVPVSERSLARAGFYYTGVNDKVKCFCCGLMLDNWKLGDSPIQKHKQLYPSCSFIQNLVSASLGSTSKNTSPMRNSFAHSLSPTLEHSSLFSGSYSSLSPNPLNSRAVEDISSSRTNPYSYAMSTEEARFLTYHMWPLTFLSPSELARAGFYYIGPGDRVACFACGGKLSNWEPKDDAMSEHRRHFPNCPFLENSLETLRFSISNLSMQTHAARMRTFMYWPSSVPVQPEQLASAGFYYVGRNDDVKCFCCDGGLRCWESGDDPWVEHAKWFPRCEFLIRMKGQEFVDEIQGRYPHLLEQLLSTSDTTGEENADPPIIHFGPGESSSEDAVMMNTPVVKSALEMGFNRDLVKQTVQSKILTTGENYKTVNDIVSALLNAEDEKREEEKEKQAEEMASDDLSLIRKNRMALFQQLTCVLPILDNLLKANVINKQEHDIIKQKTQIPLQARELIDTILVKGNAAANIFKNCLKEIDSTLYKNLFVDKNMKYIPTEDVSGLSLEEQLRRLQEERTCKVCMDKEVSVVFIPCGHLVVCQECAPSLRKCPICRGIIKGTVRTFLS
+>DECOY_sp|Q13490|BIRC2_HUMAN Baculoviral IAP repeat-containing protein 2 OS=Homo sapiens OX=9606 GN=BIRC2 PE=1 SV=2
+SLFTRVTGKIIGRCIPCKRLSPACEQCVVLHGCPIFVVSVEKDMCVKCTREEQLRRLQEELSLGSVDETPIYKMNKDVFLNKYLTSDIEKLCNKFINAAANGKVLITDILERAQLPIQTKQKIIDHEQKNIVNAKLLNDLIPLVCTLQQFLAMRNKRILSLDDSAMEEAQKEKEEERKEDEANLLASVIDNVTKYNEGTTLIKSQVTQKVLDRNFGMELASKVVPTNMMVADESSSEGPGFHIIPPDANEEGTTDSTSLLQELLHPYRGQIEDVFEQGKMRILFECRPFWKAHEVWPDDGSEWCRLGGDCCFCKVDDNRGVYYFGASALQEPQVPVSSPWYMFTRMRAAHTQMSLNSISFRLTELSNELFPCNPFHRRHESMADDKPEWNSLKGGCAFCAVRDGPGIYYFGARALESPSLFTLPWMHYTLFRAEETSMAYSYPNTRSSSIDEVARSNLPNPSLSSYSGSFLSSHELTPSLSHAFSNRMPSTNKSTSGLSASVLNQIFSCSPYLQKHKQIPSDGLKWNDLMLGCCFCKVKDNVGTYYFGARALSRESVPVGAPFTSYTSMRYLECSFDYKMKQKNSNTWDSLITSDEMISKINQYSPGPFLRQSATKHM
+>sp|O15392|BIRC5_HUMAN Baculoviral IAP repeat-containing protein 5 OS=Homo sapiens OX=9606 GN=BIRC5 PE=1 SV=3
+MGAPTLPPAWQPFLKDHRISTFKNWPFLEGCACTPERMAEAGFIHCPTENEPDLAQCFFCFKELEGWEPDDDPIEEHKKHSSGCAFLSVKKQFEELTLGEFLKLDRERAKNKIAKETNNKKKEFEETAKKVRRAIEQLAAMD
+>DECOY_sp|O15392|BIRC5_HUMAN Baculoviral IAP repeat-containing protein 5 OS=Homo sapiens OX=9606 GN=BIRC5 PE=1 SV=3
+DMAALQEIARRVKKATEEFEKKKNNTEKAIKNKARERDLKLFEGLTLEEFQKKVSLFACGSSHKKHEEIPDDDPEWGELEKFCFFCQALDPENETPCHIFGAEAMREPTCACGELFPWNKFTSIRHDKLFPQWAPPLTPAGM
+>sp|Q9NUP1|BL1S4_HUMAN Biogenesis of lysosome-related organelles complex 1 subunit 4 OS=Homo sapiens OX=9606 GN=BLOC1S4 PE=1 SV=1
+MEGSFSDGGALPEGLAEEAEPQGAAWSGDSGTVSQSHSSASGPWEDEGAEDGAPGRDLPLLRRAAAGYAACLLPGAGARPEVEALDASLEDLLTRVDEFVGMLDMLRGDSSHVVSEGVPRIHAKAAEMRRIYSRIDRLEAFVRMVGGRVARMEEQVTKAEAELGTFPRAFKKLLHTMNVPSLFSKSAPSRPQQAGYEAPVLFRTEDYFPCCSERPQL
+>DECOY_sp|Q9NUP1|BL1S4_HUMAN Biogenesis of lysosome-related organelles complex 1 subunit 4 OS=Homo sapiens OX=9606 GN=BLOC1S4 PE=1 SV=1
+LQPRESCCPFYDETRFLVPAEYGAQQPRSPASKSFLSPVNMTHLLKKFARPFTGLEAEAKTVQEEMRAVRGGVMRVFAELRDIRSYIRRMEAAKAHIRPVGESVVHSSDGRLMDLMGVFEDVRTLLDELSADLAEVEPRAGAGPLLCAAYGAAARRLLPLDRGPAGDEAGEDEWPGSASSHSQSVTGSDGSWAAGQPEAEEALGEPLAGGDSFSGEM
+>sp|P62952|BLCAP_HUMAN Bladder cancer-associated protein OS=Homo sapiens OX=9606 GN=BLCAP PE=1 SV=1
+MYCLQWLLPVLLIPKPLNPALWFSHSMFMGFYLLSFLLERKPCTICALVFLAALFLICYSCWGNCFLYHCSDSPLPESAHDPGVVGT
+>DECOY_sp|P62952|BLCAP_HUMAN Bladder cancer-associated protein OS=Homo sapiens OX=9606 GN=BLCAP PE=1 SV=1
+TGVVGPDHASEPLPSDSCHYLFCNGWCSYCILFLAALFVLACITCPKRELLFSLLYFGMFMSHSFWLAPNLPKPILLVPLLWQLCYM
+>sp|Q96G97|BSCL2_HUMAN Seipin OS=Homo sapiens OX=9606 GN=BSCL2 PE=1 SV=3
+MVNDPPVPALLWAQEVGQVLAGRARRLLLQFGVLFCTILLLLWVSVFLYGSFYYSYMPTVSHLSPVHFYYRTDCDSSTTSLCSFPVANVSLTKGGRDRVLMYGQPYRVTLELELPESPVNQDLGMFLVTISCYTRGGRIISTSSRSVMLHYRSDLLQMLDTLVFSSLLLFGFAEQKQLLEVELYADYRENSYVPTTGAIIEIHSKRIQLYGAYLRIHAHFTGLRYLLYNFPMTCAFIGVASNFTFLSVIVLFSYMQWVWGGIWPRHRFSLQVNIRKRDNSRKEVQRRISAHQPGPEGQEESTPQSDVTEDGESPEDPSGTEGQLSEEEKPDQQPLSGEEELEPEASDGSGSWEDAALLTEANLPAPAPASASAPVLETLGSSEPAGGALRQRPTCSSS
+>DECOY_sp|Q96G97|BSCL2_HUMAN Seipin OS=Homo sapiens OX=9606 GN=BSCL2 PE=1 SV=3
+SSSCTPRQRLAGGAPESSGLTELVPASASAPAPAPLNAETLLAADEWSGSGDSAEPELEEEGSLPQQDPKEEESLQGETGSPDEPSEGDETVDSQPTSEEQGEPGPQHASIRRQVEKRSNDRKRINVQLSFRHRPWIGGWVWQMYSFLVIVSLFTFNSAVGIFACTMPFNYLLYRLGTFHAHIRLYAGYLQIRKSHIEIIAGTTPVYSNERYDAYLEVELLQKQEAFGFLLLSSFVLTDLMQLLDSRYHLMVSRSSTSIIRGGRTYCSITVLFMGLDQNVPSEPLELELTVRYPQGYMLVRDRGGKTLSVNAVPFSCLSTTSSDCDTRYYFHVPSLHSVTPMYSYYFSGYLFVSVWLLLLITCFLVGFQLLLRRARGALVQGVEQAWLLAPVPPDNVM
+>sp|Q9UPA5|BSN_HUMAN Protein bassoon OS=Homo sapiens OX=9606 GN=BSN PE=1 SV=4
+MGNEVSLEGGAGDGPLPPGGAGPGPGPGPGPGAGKPPSAPAGGGQLPAAGAARSTAVPPVPGPGPGPGPGPGPGSTSRRLDPKEPLGNQRAASPTPKQASATTPGHESPRETRAQGPAGQEADGPRRTLQVDSRTQRSGRSPSVSPDRGSTPTSPYSVPQIAPLPSSTLCPICKTSDLTSTPSQPNFNTCTQCHNKVCNQCGFNPNPHLTQVKEWLCLNCQMQRALGMDMTTAPRSKSQQQLHSPALSPAHSPAKQPLGKPDQERSRGPGGPQPGSRQAETARATSVPGPAQAAAPPEVGRVSPQPPQPTKPSTAEPRPPAGEAPAKSATAVPAGLGATEQTQEGLTGKLFGLGASLLTQASTLMSVQPEADTQGQPAPSKGTPKIVFNDASKEAGPKPLGSGPGPGPAPGAKTEPGARMGPGSGPGALPKTGGTTSPKHGRAEHQAASKAAAKPKTMPKERAICPLCQAELNVGSKSPANYNTCTTCRLQVCNLCGFNPTPHLVEKTEWLCLNCQTKRLLEGSLGEPTPLPPPTSQQPPVGAPHRASGTSPLKQKGPQGLGQPSGPLPAKASPLSTKASPLPSKASPQAKPLRASEPSKTPSSVQEKKTRVPTKAEPMPKPPPETTPTPATPKVKSGVRRAEPATPVVKAVPEAPKGGEAEDLVGKPYSQDASRSPQSLSDTGYSSDGISSSQSEITGVVQQEVEQLDSAGVTGPHPPSPSEIHKVGSSMRPLLQAQGLAPSERSKPLSSGTGEEQKQRPHSLSITPEAFDSDEELEDILEEDEDSAEWRRRREQQDTAESSDDFGSQLRHDYVEDSSEGGLSPLPPQPPARAAELTDEDFMRRQILEMSAEEDNLEEDDTATSGRGLAKHGTQKGGPRPRPEPSQEPAALPKRRLPHNATTGYEELLPEGGSAEATDGSGTLQGGLRRFKTIELNSTGSYGHELDLGQGPDPSLDREPELEMESLTGSPEDRSRGEHSSTLPASTPSYTSGTSPTSLSSLEEDSDSSPSRRQRLEEAKQQRKARHRSHGPLLPTIEDSSEEEELREEEELLREQEKMREVEQQRIRSTARKTRRDKEELRAQRRRERSKTPPSNLSPIEDASPTEELRQAAEMEELHRSSCSEYSPSPSLDSEAEALDGGPSRLYKSGSEYNLPTFMSLYSPTETPSGSSTTPSSGRPLKSAEEAYEEMMRKAELLQRQQGQAAGARGPHGGPSQPTGPRGLGSFEYQDTTDREYGQAAQPAAEGTPASLGAAVYEEILQTSQSIVRMRQASSRDLAFAEDKKKEKQFLNAESAYMDPMKQNGGPLTPGTSPTQLAAPVSFSTPTSSDSSGGRVIPDVRVTQHFAKETQDPLKLHSSPASPSSASKEIGMPFSQGPGTPATTAVAPCPAGLPRGYMTPASPAGSERSPSPSSTAHSYGHSPTTANYGSQTEDLPQAPSGLAAAGRAAREKPLSASDGEGGTPQPSRAYSYFASSSPPLSPSSPSESPTFSPGKMGPRATAEFSTQTPSPAPASDMPRSPGAPTPSPMVAQGTQTPHRPSTPRLVWQESSQEAPFMVITLASDASSQTRMVHASASTSPLCSPTETQPTTHGYSQTTPPSVSQLPPEPPGPPGFPRVPSAGADGPLALYGWGALPAENISLCRISSVPGTSRVEPGPRTPGTAVVDLRTAVKPTPIILTDQGMDLTSLAVEARKYGLALDPIPGRQSTAVQPLVINLNAQEHTFLATATTVSITMASSVFMAQQKQPVVYGDPYQSRLDFGQGGGSPVCLAQVKQVEQAVQTAPYRSGPRGRPREAKFARYNLPNQVAPLARRDVLITQMGTAQSIGLKPGPVPEPGAEPHRATPAELRSHALPGARKPHTVVVQMGEGTAGTVTTLLPEEPAGALDLTGMRPESQLACCDMVYKLPFGSSCTGTFHPAPSVPEKSMADAAPPGQSSSPFYGPRDPEPPEPPTYRAQGVVGPGPHEEQRPYPQGLPGRLYSSMSDTNLAEAGLNYHAQRIGQLFQGPGRDSAMDLSSLKHSYSLGFADGRYLGQGLQYGSVTDLRHPTDLLAHPLPMRRYSSVSNIYSDHRYGPRGDAVGFQEASLAQYSATTAREISRMCAALNSMDQYGGRHGSGGGGPDLVQYQPQHGPGLSAPQSLVPLRPGLLGNPTFPEGHPSPGNLAQYGPAAGQGTAVRQLLPSTATVRAADGMIYSTINTPIAATLPITTQPASVLRPMVRGGMYRPYASGGITAVPLTSLTRVPMIAPRVPLGPTGLYRYPAPSRFPIASSVPPAEGPVYLGKPAAAKAPGAGGPSRPEMPVGAAREEPLPTTTPAAIKEAAGAPAPAPLAGQKPPADAAPGGGSGALSRPGFEKEEASQEERQRKQQEQLLQLERERVELEKLRQLRLQEELERERVELQRHREEEQLLVQRELQELQTIKHHVLQQQQEERQAQFALQREQLAQQRLQLEQIQQLQQQLQQQLEEQKQRQKAPFPAACEAPGRGPPLAAAELAQNGQYWPPLTHAAFIAMAGPEGLGQPREPVLHRGLPSSASDMSLQTEEQWEASRSGIKKRHSMPRLRDACELESGTEPCVVRRIADSSVQTDDEDGESRYLLSRRRRARRSADCSVQTDDEDSAEWEQPVRRRRSRLPRHSDSGSDSKHDATASSSSAAATVRAMSSVGIQTISDCSVQTEPDQLPRVSPAIHITAATDPKVEIVRYISAPEKTGRGESLACQTEPDGQAQGVAGPQLVGPTAISPYLPGIQIVTPGPLGRFEKKKPDPLEIGYQAHLPPESLSQLVSRQPPKSPQVLYSPVSPLSPHRLLDTSFASSERLNKAHVSPQKHFTADSALRQQTLPRPMKTLQRSLSDPKPLSPTAEESAKERFSLYQHQGGLGSQVSALPPNSLVRKVKRTLPSPPPEEAHLPLAGQASPQLYAASLLQRGLTGPTTVPATKASLLRELDRDLRLVEHESTKLRKKQAELDEEEKEIDAKLKYLELGITQRKESLAKDRGGRDYPPLRGLGEHRDYLSDSELNQLRLQGCTTPAGQFVDFPATAAAPATPSGPTAFQQPRFQPPAPQYSAGSGGPTQNGFPAHQAPTYPGPSTYPAPAFPPGASYPAEPGLPNQQAFRPTGHYAGQTPMPTTQSTLFPVPADSRAPLQKPRQTSLADLEQKVPTNYEVIASPVVPMSSAPSETSYSGPAVSSGYEQGKVPEVPRAGDRGSVSQSPAPTYPSDSHYTSLEQNVPRNYVMIDDISELTKDSTSTAPDSQRLEPLGPGSSGRPGKEPGEPGVLDGPTLPCCYARGEEESEEDSYDPRGKGGHLRSMESNGRPASTHYYGDSDYRHGARVEKYGPGPMGPKHPSKSLAPAAISSKRSKHRKQGMEQKISKFSPIEEAKDVESDLASYPPPAVSSSLVSRGRKFQDEITYGLKKNVYEQQKYYGMSSRDAVEDDRIYGGSSRSRAPSAYSGEKLSSHDFSGWGKGYEREREAVERLQKAGPKPSSLSMAHSRVRPPMRSQASEEESPVSPLGRPRPAGGPLPPGGDTCPQFCSSHSMPDVQEHVKDGPRAHAYKREEGYILDDSHCVVSDSEAYHLGQEETDWFDKPRDARSDRFRHHGGHAVSSSSQKRGPARHSYHDYDEPPEEGLWPHDEGGPGRHASAKEHRHGDHGRHSGRHTGEEPGRRAAKPHARDLGRHEARPHSQPSSAPAMPKKGQPGYPSSAEYSQPSRASSAYHHASDSKKGSRQAHSGPAALQSKAEPQAQPQLQGRQAAPGPQQSQSPSSRQIPSGAASRQPQTQQQQQGLGLQPPQQALTQARLQQQSQPTTRGSAPAASQPAGKPQPGPSTATGPQPAGPPRAEQTNGSKGTAKAPQQGRAPQAQPAPGPGPAGVKAGARPGGTPGAPAGQPGADGESVFSKILPGGAAEQAGKLTEAVSAFGKKFSSFW
+>DECOY_sp|Q9UPA5|BSN_HUMAN Protein bassoon OS=Homo sapiens OX=9606 GN=BSN PE=1 SV=4
+WFSSFKKGFASVAETLKGAQEAAGGPLIKSFVSEGDAGPQGAPAGPTGGPRAGAKVGAPGPGPAPQAQPARGQQPAKATGKSGNTQEARPPGAPQPGTATSPGPQPKGAPQSAAPASGRTTPQSQQQLRAQTLAQQPPQLGLGQQQQQTQPQRSAAGSPIQRSSPSQSQQPGPAAQRGQLQPQAQPEAKSQLAAPGSHAQRSGKKSDSAHHYASSARSPQSYEASSPYGPQGKKPMAPASSPQSHPRAEHRGLDRAHPKAARRGPEEGTHRGSHRGHDGHRHEKASAHRGPGGEDHPWLGEEPPEDYDHYSHRAPGRKQSSSSVAHGGHHRFRDSRADRPKDFWDTEEQGLHYAESDSVVCHSDDLIYGEERKYAHARPGDKVHEQVDPMSHSSCFQPCTDGGPPLPGGAPRPRGLPSVPSEEESAQSRMPPRVRSHAMSLSSPKPGAKQLREVAEREREYGKGWGSFDHSSLKEGSYASPARSRSSGGYIRDDEVADRSSMGYYKQQEYVNKKLGYTIEDQFKRGRSVLSSSVAPPPYSALDSEVDKAEEIPSFKSIKQEMGQKRHKSRKSSIAAPALSKSPHKPGMPGPGYKEVRAGHRYDSDGYYHTSAPRGNSEMSRLHGGKGRPDYSDEESEEEGRAYCCPLTPGDLVGPEGPEKGPRGSSGPGLPELRQSDPATSTSDKTLESIDDIMVYNRPVNQELSTYHSDSPYTPAPSQSVSGRDGARPVEPVKGQEYGSSVAPGSYSTESPASSMPVVPSAIVEYNTPVKQELDALSTQRPKQLPARSDAPVPFLTSQTTPMPTQGAYHGTPRFAQQNPLGPEAPYSAGPPFAPAPYTSPGPYTPAQHAPFGNQTPGGSGASYQPAPPQFRPQQFATPGSPTAPAAATAPFDVFQGAPTTCGQLRLQNLESDSLYDRHEGLGRLPPYDRGGRDKALSEKRQTIGLELYKLKADIEKEEEDLEAQKKRLKTSEHEVLRLDRDLERLLSAKTAPVTTPGTLGRQLLSAAYLQPSAQGALPLHAEEPPPSPLTRKVKRVLSNPPLASVQSGLGGQHQYLSFREKASEEATPSLPKPDSLSRQLTKMPRPLTQQRLASDATFHKQPSVHAKNLRESSAFSTDLLRHPSLPSVPSYLVQPSKPPQRSVLQSLSEPPLHAQYGIELPDPKKKEFRGLPGPTVIQIGPLYPSIATPGVLQPGAVGQAQGDPETQCALSEGRGTKEPASIYRVIEVKPDTAATIHIAPSVRPLQDPETQVSCDSITQIGVSSMARVTAAASSSSATADHKSDSGSDSHRPLRSRRRRVPQEWEASDEDDTQVSCDASRRARRRRSLLYRSEGDEDDTQVSSDAIRRVVCPETGSELECADRLRPMSHRKKIGSRSAEWQEETQLSMDSASSPLGRHLVPERPQGLGEPGAMAIFAAHTLPPWYQGNQALEAAALPPGRGPAECAAPFPAKQRQKQEELQQQLQQQLQQIQELQLRQQALQERQLAFQAQREEQQQQLVHHKITQLEQLERQVLLQEEERHRQLEVRERELEEQLRLQRLKELEVRERELQLLQEQQKRQREEQSAEEKEFGPRSLAGSGGGPAADAPPKQGALPAPAPAGAAEKIAAPTTTPLPEERAAGVPMEPRSPGGAGPAKAAAPKGLYVPGEAPPVSSAIPFRSPAPYRYLGTPGLPVRPAIMPVRTLSTLPVATIGGSAYPRYMGGRVMPRLVSAPQTTIPLTAAIPTNITSYIMGDAARVTATSPLLQRVATGQGAAPGYQALNGPSPHGEPFTPNGLLGPRLPVLSQPASLGPGHQPQYQVLDPGGGGSGHRGGYQDMSNLAACMRSIERATTASYQALSAEQFGVADGRPGYRHDSYINSVSSYRRMPLPHALLDTPHRLDTVSGYQLGQGLYRGDAFGLSYSHKLSSLDMASDRGPGQFLQGIRQAHYNLGAEALNTDSMSSYLRGPLGQPYPRQEEHPGPGVVGQARYTPPEPPEPDRPGYFPSSSQGPPAADAMSKEPVSPAPHFTGTCSSGFPLKYVMDCCALQSEPRMGTLDLAGAPEEPLLTTVTGATGEGMQVVVTHPKRAGPLAHSRLEAPTARHPEAGPEPVPGPKLGISQATGMQTILVDRRALPAVQNPLNYRAFKAERPRGRPGSRYPATQVAQEVQKVQALCVPSGGGQGFDLRSQYPDGYVVPQKQQAMFVSSAMTISVTTATALFTHEQANLNIVLPQVATSQRGPIPDLALGYKRAEVALSTLDMGQDTLIIPTPKVATRLDVVATGPTRPGPEVRSTGPVSSIRCLSINEAPLAGWGYLALPGDAGASPVRPFGPPGPPEPPLQSVSPPTTQSYGHTTPQTETPSCLPSTSASAHVMRTQSSADSALTIVMFPAEQSSEQWVLRPTSPRHPTQTGQAVMPSPTPAGPSRPMDSAPAPSPTQTSFEATARPGMKGPSFTPSESPSSPSLPPSSSAFYSYARSPQPTGGEGDSASLPKERAARGAAALGSPAQPLDETQSGYNATTPSHGYSHATSSPSPSRESGAPSAPTMYGRPLGAPCPAVATTAPTGPGQSFPMGIEKSASSPSAPSSHLKLPDQTEKAFHQTVRVDPIVRGGSSDSSTPTSFSVPAALQTPSTGPTLPGGNQKMPDMYASEANLFQKEKKKDEAFALDRSSAQRMRVISQSTQLIEEYVAAGLSAPTGEAAPQAAQGYERDTTDQYEFSGLGRPGTPQSPGGHPGRAGAAQGQQRQLLEAKRMMEEYAEEASKLPRGSSPTTSSGSPTETPSYLSMFTPLNYESGSKYLRSPGGDLAEAESDLSPSPSYESCSSRHLEEMEAAQRLEETPSADEIPSLNSPPTKSRERRRQARLEEKDRRTKRATSRIRQQEVERMKEQERLLEEEERLEEEESSDEITPLLPGHSRHRAKRQQKAEELRQRRSPSSDSDEELSSLSTPSTGSTYSPTSAPLTSSHEGRSRDEPSGTLSEMELEPERDLSPDPGQGLDLEHGYSGTSNLEITKFRRLGGQLTGSGDTAEASGGEPLLEEYGTTANHPLRRKPLAAPEQSPEPRPRPGGKQTGHKALGRGSTATDDEELNDEEASMELIQRRMFDEDTLEAARAPPQPPLPSLGGESSDEVYDHRLQSGFDDSSEATDQQERRRRWEASDEDEELIDELEEDSDFAEPTISLSHPRQKQEEGTGSSLPKSRESPALGQAQLLPRMSSGVKHIESPSPPHPGTVGASDLQEVEQQVVGTIESQSSSIGDSSYGTDSLSQPSRSADQSYPKGVLDEAEGGKPAEPVAKVVPTAPEARRVGSKVKPTAPTPTTEPPPKPMPEAKTPVRTKKEQVSSPTKSPESARLPKAQPSAKSPLPSAKTSLPSAKAPLPGSPQGLGQPGKQKLPSTGSARHPAGVPPQQSTPPPLPTPEGLSGELLRKTQCNLCLWETKEVLHPTPNFGCLNCVQLRCTTCTNYNAPSKSGVNLEAQCLPCIAREKPMTKPKAAAKSAAQHEARGHKPSTTGGTKPLAGPGSGPGMRAGPETKAGPAPGPGPGSGLPKPGAEKSADNFVIKPTGKSPAPQGQTDAEPQVSMLTSAQTLLSAGLGFLKGTLGEQTQETAGLGAPVATASKAPAEGAPPRPEATSPKTPQPPQPSVRGVEPPAAAQAPGPVSTARATEAQRSGPQPGGPGRSREQDPKGLPQKAPSHAPSLAPSHLQQQSKSRPATTMDMGLARQMQCNLCLWEKVQTLHPNPNFGCQNCVKNHCQTCTNFNPQSPTSTLDSTKCIPCLTSSPLPAIQPVSYPSTPTSGRDPSVSPSRGSRQTRSDVQLTRRPGDAEQGAPGQARTERPSEHGPTTASAQKPTPSAARQNGLPEKPDLRRSTSGPGPGPGPGPGPGPVPPVATSRAAGAAPLQGGGAPASPPKGAGPGPGPGPGPGAGGPPLPGDGAGGELSVENGM
+>sp|Q32M84|BTBDG_HUMAN BTB/POZ domain-containing protein 16 OS=Homo sapiens OX=9606 GN=BTBD16 PE=1 SV=2
+MIMSNTHKARLERRVTGSTNRWRLPKQPFSGDLLSLSQMCKALSIDFEEALRNPDRLCISQIQKFFFENFKNKDIQSGEADVILECLGFKWELHQPQLFQSETLAKLYLKALAQGTTHPLRELEELLRAQSPKKTKEKSPAKRIIISLKINDPLVTKVAFATALKNLYMSEVEINLEDLLGVLASAHILQFSGLFQRCVDVMIARLKPSTIKKFYEAGCKYKEEQLTTGCEKWLEMNLVPLGGTQIHLHKIPQDLLHKVLKSPRLFTFSEFHLLKTMLLWVFLQLNYKIQAIPTYETVMTFFKSFPENCCFLDRDIGRSLRPLFLCLRLHGITKGKDLEVLRHLNFFPESWLDQVTVNHYHALENGGDMVHLKDLNTQAVRFGLLFNQENTTYSKTIALYGFFFKIKGLKHDTTSYSFYMQRIKHTDLESPSAVYEHNHVSLRAARLVKYEIRAEALVDGKWQEFRTNQIKQKFGLTTSSCKSHTLKIQTVGIPIYVSFAFIFPAS
+>DECOY_sp|Q32M84|BTBDG_HUMAN BTB/POZ domain-containing protein 16 OS=Homo sapiens OX=9606 GN=BTBD16 PE=1 SV=2
+SAPFIFAFSVYIPIGVTQIKLTHSKCSSTTLGFKQKIQNTRFEQWKGDVLAEARIEYKVLRAARLSVHNHEYVASPSELDTHKIRQMYFSYSTTDHKLGKIKFFFGYLAITKSYTTNEQNFLLGFRVAQTNLDKLHVMDGGNELAHYHNVTVQDLWSEPFFNLHRLVELDKGKTIGHLRLCLFLPRLSRGIDRDLFCCNEPFSKFFTMVTEYTPIAQIKYNLQLFVWLLMTKLLHFESFTFLRPSKLVKHLLDQPIKHLHIQTGGLPVLNMELWKECGTTLQEEKYKCGAEYFKKITSPKLRAIMVDVCRQFLGSFQLIHASALVGLLDELNIEVESMYLNKLATAFAVKTVLPDNIKLSIIIRKAPSKEKTKKPSQARLLEELERLPHTTGQALAKLYLKALTESQFLQPQHLEWKFGLCELIVDAEGSQIDKNKFNEFFFKQIQSICLRDPNRLAEEFDISLAKCMQSLSLLDGSFPQKPLRWRNTSGTVRRELRAKHTNSMIM
+>sp|C9JJ37|BTBDJ_HUMAN BTB/POZ domain-containing protein 19 OS=Homo sapiens OX=9606 GN=BTBD19 PE=2 SV=1
+MEPLGLVVHGKAEPFSAALRSLVNNPRYSDVCFVVGQERQEVFAHRCLLACRCNFFQRLLGTEPGPGVPSPVVLSTVPTEAFLAVLEFLYTNSVKLYRHSVLEVLTAAVEYGLEELRELCLQFVVKVLDVDLVCEALQVAVTFGLGQLQERCVAFIEAHSQEALRTRGFLELSAAALLPLLRSDKLCVDEAELVRAARSWARVGAAVLERPVAEVAAPVVKELRLALLAPAELSALEEQNRQEPLIPVEQIVEAWKCHALRRGDEARGAPCRRRRGTLPREHHRFLDLSFK
+>DECOY_sp|C9JJ37|BTBDJ_HUMAN BTB/POZ domain-containing protein 19 OS=Homo sapiens OX=9606 GN=BTBD19 PE=2 SV=1
+KFSLDLFRHHERPLTGRRRRCPAGRAEDGRRLAHCKWAEVIQEVPILPEQRNQEELASLEAPALLALRLEKVVPAAVEAVPRELVAAGVRAWSRAARVLEAEDVCLKDSRLLPLLAAASLELFGRTRLAEQSHAEIFAVCREQLQGLGFTVAVQLAECVLDVDLVKVVFQLCLERLEELGYEVAATLVELVSHRYLKVSNTYLFELVALFAETPVTSLVVPSPVGPGPETGLLRQFFNCRCALLCRHAFVEQREQGVVFCVDSYRPNNVLSRLAASFPEAKGHVVLGLPEM
+>sp|P35070|BTC_HUMAN Probetacellulin OS=Homo sapiens OX=9606 GN=BTC PE=1 SV=1
+MDRAARCSGASSLPLLLALALGLVILHCVVADGNSTRSPETNGLLCGDPEENCAATTTQSKRKGHFSRCPKQYKHYCIKGRCRFVVAEQTPSCVCDEGYIGARCERVDLFYLRGDRGQILVICLIAVMVVFIILVIGVCTCCHPLRKRRKRKKKEEEMETLGKDITPINEDIEETNIA
+>DECOY_sp|P35070|BTC_HUMAN Probetacellulin OS=Homo sapiens OX=9606 GN=BTC PE=1 SV=1
+AINTEEIDENIPTIDKGLTEMEEEKKKRKRRKRLPHCCTCVGIVLIIFVVMVAILCIVLIQGRDGRLYFLDVRECRAGIYGEDCVCSPTQEAVVFRCRGKICYHKYQKPCRSFHGKRKSQTTTAACNEEPDGCLLGNTEPSRTSNGDAVVCHLIVLGLALALLLPLSSAGSCRAARDM
+>sp|P78543|BTG2_HUMAN Protein BTG2 OS=Homo sapiens OX=9606 GN=BTG2 PE=1 SV=1
+MSHGKGTDMLPEIAAAVGFLSSLLRTRGCVSEQRLKVFSGALQEALTEHYKHHWFPEKPSKGSGYRCIRINHKMDPIISRVASQIGLSQPQLHQLLPSELTLWVDPYEVSYRIGEDGSICVLYEEAPLAASCGLLTCKNQVLLGRSSPSKNYVMAVSS
+>DECOY_sp|P78543|BTG2_HUMAN Protein BTG2 OS=Homo sapiens OX=9606 GN=BTG2 PE=1 SV=1
+SSVAMVYNKSPSSRGLLVQNKCTLLGCSAALPAEEYLVCISGDEGIRYSVEYPDVWLTLESPLLQHLQPQSLGIQSAVRSIIPDMKHNIRICRYGSGKSPKEPFWHHKYHETLAEQLAGSFVKLRQESVCGRTRLLSSLFGVAAAIEPLMDTGKGHSM
+>sp|Q6UXE8|BTNL3_HUMAN Butyrophilin-like protein 3 OS=Homo sapiens OX=9606 GN=BTNL3 PE=2 SV=2
+MAFVLILVLSFYELVSGQWQVTGPGKFVQALVGEDAVFSCSLFPETSAEAMEVRFFRNQFHAVVHLYRDGEDWESKQMPQYRGRTEFVKDSIAGGRVSLRLKNITPSDIGLYGCWFSSQIYDEEATWELRVAALGSLPLISIVGYVDGGIQLLCLSSGWFPQPTAKWKGPQGQDLSSDSRANADGYSLYDVEISIIVQENAGSILCSIHLAEQSHEVESKVLIGETFFQPSPWRLASILLGLLCGALCGVVMGMIIVFFKSKGKIQAELDWRRKHGQAELRDARKHAVEVTLDPETAHPKLCVSDLKTVTHRKAPQEVPHSEKRFTRKSVVASQGFQAGKHYWEVDVGQNVGWYVGVCRDDVDRGKNNVTLSPNNGYWVLRLTTEHLYFTFNPHFISLPPSTPPTRVGVFLDYEGGTISFFNTNDQSLIYTLLTCQFEGLLRPYIQHAMYDEEKGTPIFICPVSWG
+>DECOY_sp|Q6UXE8|BTNL3_HUMAN Butyrophilin-like protein 3 OS=Homo sapiens OX=9606 GN=BTNL3 PE=2 SV=2
+GWSVPCIFIPTGKEEDYMAHQIYPRLLGEFQCTLLTYILSQDNTNFFSITGGEYDLFVGVRTPPTSPPLSIFHPNFTFYLHETTLRLVWYGNNPSLTVNNKGRDVDDRCVGVYWGVNQGVDVEWYHKGAQFGQSAVVSKRTFRKESHPVEQPAKRHTVTKLDSVCLKPHATEPDLTVEVAHKRADRLEAQGHKRRWDLEAQIKGKSKFFVIIMGMVVGCLAGCLLGLLISALRWPSPQFFTEGILVKSEVEHSQEALHISCLISGANEQVIISIEVDYLSYGDANARSDSSLDQGQPGKWKATPQPFWGSSLCLLQIGGDVYGVISILPLSGLAAVRLEWTAEEDYIQSSFWCGYLGIDSPTINKLRLSVRGGAISDKVFETRGRYQPMQKSEWDEGDRYLHVVAHFQNRFFRVEMAEASTEPFLSCSFVADEGVLAQVFKGPGTVQWQGSVLEYFSLVLILVFAM
+>sp|Q6UXG8|BTNL9_HUMAN Butyrophilin-like protein 9 OS=Homo sapiens OX=9606 GN=BTNL9 PE=2 SV=1
+MVDLSVSPDSLKPVSLTSSLVFLMHLLLLQPGEPSSEVKVLGPEYPILALVGEEVEFPCHLWPQLDAQQMEIRWFRSQTFNVVHLYQEQQELPGRQMPAFRNRTKLVKDDIAYGSVVLQLHSIIPSDKGTYGCRFHSDNFSGEALWELEVAGLGSDPHLSLEGFKEGGIQLRLRSSGWYPKPKVQWRDHQGQCLPPEFEAIVWDAQDLFSLETSVVVRAGALSNVSVSIQNLLLSQKKELVVQIADVFVPGASAWKSAFVATLPLLLVLAALALGVLRKQRRSREKLRKQAEKRQEKLTAELEKLQTELDWRRAEGQAEWRAAQKYAVDVTLDPASAHPSLEVSEDGKSVSSRGAPPGPAPGHPQRFSEQTCALSLERFSAGRHYWEVHVGRRSRWFLGACLAAVPRAGPARLSPAAGYWVLGLWNGCEYFVLAPHRVALTLRVPPRRLGVFLDYEAGELSFFNVSDGSHIFTFHDTFSGALCAYFRPRAHDGGEHPDPLTICPLPVRGTGVPEENDSDTWLQPYEPADPALDWW
+>DECOY_sp|Q6UXG8|BTNL9_HUMAN Butyrophilin-like protein 9 OS=Homo sapiens OX=9606 GN=BTNL9 PE=2 SV=1
+WWDLAPDAPEYPQLWTDSDNEEPVGTGRVPLPCITLPDPHEGGDHARPRFYACLAGSFTDHFTFIHSGDSVNFFSLEGAEYDLFVGLRRPPVRLTLAVRHPALVFYECGNWLGLVWYGAAPSLRAPGARPVAALCAGLFWRSRRGVHVEWYHRGASFRELSLACTQESFRQPHGPAPGPPAGRSSVSKGDESVELSPHASAPDLTVDVAYKQAARWEAQGEARRWDLETQLKELEATLKEQRKEAQKRLKERSRRQKRLVGLALAALVLLLPLTAVFASKWASAGPVFVDAIQVVLEKKQSLLLNQISVSVNSLAGARVVVSTELSFLDQADWVIAEFEPPLCQGQHDRWQVKPKPYWGSSRLRLQIGGEKFGELSLHPDSGLGAVELEWLAEGSFNDSHFRCGYTGKDSPIISHLQLVVSGYAIDDKVLKTRNRFAPMQRGPLEQQEQYLHVVNFTQSRFWRIEMQQADLQPWLHCPFEVEEGVLALIPYEPGLVKVESSPEGPQLLLLHMLFVLSSTLSVPKLSDPSVSLDVM
+>sp|O43709|BUD23_HUMAN Probable 18S rRNA (guanine-N(7))-methyltransferase OS=Homo sapiens OX=9606 GN=BUD23 PE=1 SV=2
+MASRGRRPEHGGPPELFYDETEARKYVRNSRMIDIQTRMAGRALELLYLPENKPCYLLDIGCGTGLSGSYLSDEGHYWVGLDISPAMLDEAVDREIEGDLLLGDMGQGIPFKPGTFDGCISISAVQWLCNANKKSENPAKRLYCFFASLFSVLVRGSRAVLQLYPENSEQLELITTQATKAGFSGGMVVDYPNSAKAKKFYLCLFSGPSTFIPEGLSENQDEVEPRESVFTNERFPLRMSRRGMVRKSRAWVLEKKERHRRQGREVRPDTQYTGRKRKPRF
+>DECOY_sp|O43709|BUD23_HUMAN Probable 18S rRNA (guanine-N(7))-methyltransferase OS=Homo sapiens OX=9606 GN=BUD23 PE=1 SV=2
+FRPKRKRGTYQTDPRVERGQRRHREKKELVWARSKRVMGRRSMRLPFRENTFVSERPEVEDQNESLGEPIFTSPGSFLCLYFKKAKASNPYDVVMGGSFGAKTAQTTILELQESNEPYLQLVARSGRVLVSFLSAFFCYLRKAPNESKKNANCLWQVASISICGDFTGPKFPIGQGMDGLLLDGEIERDVAEDLMAPSIDLGVWYHGEDSLYSGSLGTGCGIDLLYCPKNEPLYLLELARGAMRTQIDIMRSNRVYKRAETEDYFLEPPGGHEPRRGRSAM
+>sp|Q99622|C10_HUMAN Protein C10 OS=Homo sapiens OX=9606 GN=C12orf57 PE=1 SV=1
+MASASTQPAALSAEQAKVVLAEVIQAFSAPENAVRMDEARDNACNDMGKMLQFVLPVATQIQQEVIKAYGFSCDGEGVLKFARLVKSYEAQDPEIASLSGKLKALFLPPMTLPPHGPAAGGSVAAS
+>DECOY_sp|Q99622|C10_HUMAN Protein C10 OS=Homo sapiens OX=9606 GN=C12orf57 PE=1 SV=1
+SAAVSGGAAPGHPPLTMPPLFLAKLKGSLSAIEPDQAEYSKVLRAFKLVGEGDCSFGYAKIVEQQIQTAVPLVFQLMKGMDNCANDRAEDMRVANEPASFAQIVEALVVKAQEASLAAPQTSASAM
+>sp|P04920|B3A2_HUMAN Anion exchange protein 2 OS=Homo sapiens OX=9606 GN=SLC4A2 PE=1 SV=4
+MSSAPRRPAKGADSFCTPEPESLGPGTPGFPEQEEDELHRTLGVERFEEILQEAGSRGGEEPGRSYGEEDFEYHRQSSHHIHHPLSTHLPPDARRRKTPQGPGRKPRRRPGASPTGETPTIEEGEEDEDEASEAEGARALTQPSPVSTPSSVQFFLQEDDSADRKAERTSPSSPAPLPHQEATPRASKGAQAGTQVEEAEAEAVAVASGTAGGDDGGASGRPLPKAQPGHRSYNLQERRRIGSMTGAEQALLPRVPTDEIEAQTLATADLDLMKSHRFEDVPGVRRHLVRKNAKGSTQSGREGREPGPTPRARPRAPHKPHEVFVELNELLLDKNQEPQWRETARWIKFEEDVEEETERWGKPHVASLSFRSLLELRRTLAHGAVLLDLDQQTLPGVAHQVVEQMVISDQIKAEDRANVLRALLLKHSHPSDEKDFSFPRNISAGSLGSLLGHHHGQGAESDPHVTEPLMGGVPETRLEVERERELPPPAPPAGITRSKSKHELKLLEKIPENAEATVVLVGCVEFLSRPTMAFVRLREAVELDAVLEVPVPVRFLFLLLGPSSANMDYHEIGRSISTLMSDKQFHEAAYLADEREDLLTAINAFLDCSVVLPPSEVQGEELLRSVAHFQRQMLKKREEQGRLLPTGAGLEPKSAQDKALLQMVEAAGAAEDDPLRRTGRPFGGLIRDVRRRYPHYLSDFRDALDPQCLAAVIFIYFAALSPAITFGGLLGEKTQDLIGVSELIMSTALQGVVFCLLGAQPLLVIGFSGPLLVFEEAFFSFCSSNHLEYLVGRVWIGFWLVFLALLMVALEGSFLVRFVSRFTQEIFAFLISLIFIYETFYKLVKIFQEHPLHGCSASNSSEVDGGENMTWAGARPTLGPGNRSLAGQSGQGKPRGQPNTALLSLVLMAGTFFIAFFLRKFKNSRFFPGRIRRVIGDFGVPIAILIMVLVDYSIEDTYTQKLSVPSGFSVTAPEKRGWVINPLGEKSPFPVWMMVASLLPAILVFILIFMETQITTLIISKKERMLQKGSGFHLDLLLIVAMGGICALFGLPWLAAATVRSVTHANALTVMSKAVAPGDKPKIQEVKEQRVTGLLVALLVGLSIVIGDLLRQIPLAVLFGIFLYMGVTSLNGIQFYERLHLLLMPPKHHPDVTYVKKVRTLRMHLFTALQLLCLALLWAVMSTAASLAFPFILILTVPLRMVVLTRIFTDREMKCLDANEAEPVFDEREGVDEYNEMPMPV
+>DECOY_sp|P04920|B3A2_HUMAN Anion exchange protein 2 OS=Homo sapiens OX=9606 GN=SLC4A2 PE=1 SV=4
+VPMPMENYEDVGEREDFVPEAENADLCKMERDTFIRTLVVMRLPVTLILIFPFALSAATSMVAWLLALCLLQLATFLHMRLTRVKKVYTVDPHHKPPMLLLHLREYFQIGNLSTVGMYLFIGFLVALPIQRLLDGIVISLGVLLAVLLGTVRQEKVEQIKPKDGPAVAKSMVTLANAHTVSRVTAAALWPLGFLACIGGMAVILLLDLHFGSGKQLMREKKSIILTTIQTEMFILIFVLIAPLLSAVMMWVPFPSKEGLPNIVWGRKEPATVSFGSPVSLKQTYTDEISYDVLVMILIAIPVGFDGIVRRIRGPFFRSNKFKRLFFAIFFTGAMLVLSLLATNPQGRPKGQGSQGALSRNGPGLTPRAGAWTMNEGGDVESSNSASCGHLPHEQFIKVLKYFTEYIFILSILFAFIEQTFRSVFRVLFSGELAVMLLALFVLWFGIWVRGVLYELHNSSCFSFFAEEFVLLPGSFGIVLLPQAGLLCFVVGQLATSMILESVGILDQTKEGLLGGFTIAPSLAAFYIFIVAALCQPDLADRFDSLYHPYRRRVDRILGGFPRGTRRLPDDEAAGAAEVMQLLAKDQASKPELGAGTPLLRGQEERKKLMQRQFHAVSRLLEEGQVESPPLVVSCDLFANIATLLDEREDALYAAEHFQKDSMLTSISRGIEHYDMNASSPGLLLFLFRVPVPVELVADLEVAERLRVFAMTPRSLFEVCGVLVVTAEANEPIKELLKLEHKSKSRTIGAPPAPPPLEREREVELRTEPVGGMLPETVHPDSEAGQGHHHGLLSGLSGASINRPFSFDKEDSPHSHKLLLARLVNARDEAKIQDSIVMQEVVQHAVGPLTQQDLDLLVAGHALTRRLELLSRFSLSAVHPKGWRETEEEVDEEFKIWRATERWQPEQNKDLLLENLEVFVEHPKHPARPRARPTPGPERGERGSQTSGKANKRVLHRRVGPVDEFRHSKMLDLDATALTQAEIEDTPVRPLLAQEAGTMSGIRRREQLNYSRHGPQAKPLPRGSAGGDDGGATGSAVAVAEAEAEEVQTGAQAGKSARPTAEQHPLPAPSSPSTREAKRDASDDEQLFFQVSSPTSVPSPQTLARAGEAESAEDEDEEGEEITPTEGTPSAGPRRRPKRGPGQPTKRRRADPPLHTSLPHHIHHSSQRHYEFDEEGYSRGPEEGGRSGAEQLIEEFREVGLTRHLEDEEQEPFGPTGPGLSEPEPTCFSDAGKAPRRPASSM
+>sp|P48751|B3A3_HUMAN Anion exchange protein 3 OS=Homo sapiens OX=9606 GN=SLC4A3 PE=2 SV=2
+MANGVIPPPGGASPLPQVRVPLEEPPLSPDVEEEDDDLGKTLAVSRFGDLISKPPAWDPEKPSRSYSERDFEFHRHTSHHTHHPLSARLPPPHKLRRLPPTSARHTRRKRKKEKTSAPPSEGTPPIQEEGGAGVDEEEEEEEEEEGESEAEPVEPPHSGTPQKAKFSIGSDEDDSPGLPGRAAVTKPLPSVGPHTDKSPQHSSSSPSPRARASRLAGEKSRPWSPSASYDLRERLCPGSALGNPGGPEQQVPTDEAEAQMLGSADLDDMKSHRLEDNPGVRRHLVKKPSRTQGGRGSPSGLAPILRRKKKKKKLDRRPHEVFVELNELMLDRSQEPHWRETARWIKFEEDVEEETERWGKPHVASLSFRSLLELRRTIAHGAALLDLEQTTLPGIAHLVVETMIVSDQIRPEDRASVLRTLLLKHSHPNDDKDSGFFPRNPSSSSMNSVLGNHHPTPSHGPDGAVPTMADDLGEPAPLWPHDPDAKEKPLHMPGGDGHRGKSLKLLEKIPEDAEATVVLVGCVPFLEQPAAAFVRLNEAVLLESVLEVPVPVRFLFVMLGPSHTSTDYHELGRSIATLMSDKLFHEAAYQADDRQDLLSAISEFLDGSIVIPPSEVEGRDLLRSVAAFQRELLRKRREREQTKVEMTTRGGYTAPGKELSLELGGSEATPEDDPLLRTGSVFGGLVRDVRRRYPHYPSDLRDALHSQCVAAVLFIYFAALSPAITFGGLLGEKTEGLMGVSELIVSTAVLGVLFSLLGAQPLLVVGFSGPLLVFEEAFFKFCRAQDLEYLTGRVWVGLWLVVFVLALVAAEGSFLVRYISPFTQEIFAFLISLIFIYETFYKLYKVFTEHPLLPFYPPEGALEGSLDAGLEPNGSALPPTEGPPSPRNQPNTALLSLILMLGTFFIAFFLRKFRNSRFLGGKARRIIGDFGIPISILVMVLVDYSITDTYTQKLTVPTGLSVTSPDKRSWFIPPLGSARPFPPWMMVAAAVPALLVLILIFMETQITALIVSQKARRLLKGSGFHLDLLLIGSLGGLCGLFGLPWLTAATVRSVTHVNALTVMRTAIAPGDKPQIQEVREQRVTGVLIASLVGLSIVMGAVLRRIPLAVLFGIFLYMGVTSLSGIQLSQRLLLILMPAKHHPEQPYVTKVKTWRMHLFTCIQLGCIALLWVVKSTAASLAFPFLLLLTVPLRHCLLPRLFQDRELQALDSEDAEPNFDEDGQDEYNELHMPV
+>DECOY_sp|P48751|B3A3_HUMAN Anion exchange protein 3 OS=Homo sapiens OX=9606 GN=SLC4A3 PE=2 SV=2
+VPMHLENYEDQGDEDFNPEADESDLAQLERDQFLRPLLCHRLPVTLLLLFPFALSAATSKVVWLLAICGLQICTFLHMRWTKVKTVYPQEPHHKAPMLILLLRQSLQIGSLSTVGMYLFIGFLVALPIRRLVAGMVISLGVLSAILVGTVRQERVEQIQPKDGPAIATRMVTLANVHTVSRVTAATLWPLGFLGCLGGLSGILLLDLHFGSGKLLRRAKQSVILATIQTEMFILILVLLAPVAAAVMMWPPFPRASGLPPIFWSRKDPSTVSLGTPVTLKQTYTDTISYDVLVMVLISIPIGFDGIIRRAKGGLFRSNRFKRLFFAIFFTGLMLILSLLATNPQNRPSPPGETPPLASGNPELGADLSGELAGEPPYFPLLPHETFVKYLKYFTEYIFILSILFAFIEQTFPSIYRVLFSGEAAVLALVFVVLWLGVWVRGTLYELDQARCFKFFAEEFVLLPGSFGVVLLPQAGLLSFLVGLVATSVILESVGMLGETKEGLLGGFTIAPSLAAFYIFLVAAVCQSHLADRLDSPYHPYRRRVDRVLGGFVSGTRLLPDDEPTAESGGLELSLEKGPATYGGRTTMEVKTQERERRKRLLERQFAAVSRLLDRGEVESPPIVISGDLFESIASLLDQRDDAQYAAEHFLKDSMLTAISRGLEHYDTSTHSPGLMVFLFRVPVPVELVSELLVAENLRVFAAAPQELFPVCGVLVVTAEADEPIKELLKLSKGRHGDGGPMHLPKEKADPDHPWLPAPEGLDDAMTPVAGDPGHSPTPHHNGLVSNMSSSSPNRPFFGSDKDDNPHSHKLLLTRLVSARDEPRIQDSVIMTEVVLHAIGPLTTQELDLLAAGHAITRRLELLSRFSLSAVHPKGWRETEEEVDEEFKIWRATERWHPEQSRDLMLENLEVFVEHPRRDLKKKKKKRRLIPALGSPSGRGGQTRSPKKVLHRRVGPNDELRHSKMDDLDASGLMQAEAEDTPVQQEPGGPNGLASGPCLRERLDYSASPSWPRSKEGALRSARARPSPSSSSHQPSKDTHPGVSPLPKTVAARGPLGPSDDEDSGISFKAKQPTGSHPPEVPEAESEGEEEEEEEEEEDVGAGGEEQIPPTGESPPASTKEKKRKRRTHRASTPPLRRLKHPPPLRASLPHHTHHSTHRHFEFDRESYSRSPKEPDWAPPKSILDGFRSVALTKGLDDDEEEVDPSLPPEELPVRVQPLPSAGGPPPIVGNAM
+>sp|Q9Y2A9|B3GN3_HUMAN N-acetyllactosaminide beta-1,3-N-acetylglucosaminyltransferase 3 OS=Homo sapiens OX=9606 GN=B3GNT3 PE=1 SV=2
+MKYLRHRRPNATLILAIGAFTLLLFSLLVSPPTCKVQEQPPAIPEALAWPTPPTRPAPAPCHANTSMVTHPDFATQPQHVQNFLLYRHCRHFPLLQDVPPSKCAQPVFLLLVIKSSPSNYVRRELLRRTWGRERKVRGLQLRLLFLVGTASNPHEARKVNRLLELEAQTHGDILQWDFHDSFFNLTLKQVLFLQWQETRCANASFVLNGDDDVFAHTDNMVFYLQDHDPGRHLFVGQLIQNVGPIRAFWSKYYVPEVVTQNERYPPYCGGGGFLLSRFTAAALRRAAHVLDIFPIDDVFLGMCLELEGLKPASHSGIRTSGVRAPSQRLSSFDPCFYRDLLLVHRFLPYEMLLMWDALNQPNLTCGNQTQIY
+>DECOY_sp|Q9Y2A9|B3GN3_HUMAN N-acetyllactosaminide beta-1,3-N-acetylglucosaminyltransferase 3 OS=Homo sapiens OX=9606 GN=B3GNT3 PE=1 SV=2
+YIQTQNGCTLNPQNLADWMLLMEYPLFRHVLLLDRYFCPDFSSLRQSPARVGSTRIGSHSAPKLGELELCMGLFVDDIPFIDLVHAARRLAAATFRSLLFGGGGCYPPYRENQTVVEPVYYKSWFARIPGVNQILQGVFLHRGPDHDQLYFVMNDTHAFVDDDGNLVFSANACRTEQWQLFLVQKLTLNFFSDHFDWQLIDGHTQAELELLRNVKRAEHPNSATGVLFLLRLQLGRVKRERGWTRRLLERRVYNSPSSKIVLLLFVPQACKSPPVDQLLPFHRCHRYLLFNQVHQPQTAFDPHTVMSTNAHCPAPAPRTPPTPWALAEPIAPPQEQVKCTPPSVLLSFLLLTFAGIALILTANPRRHRLYKM
+>sp|Q8NFL0|B3GN7_HUMAN UDP-GlcNAc:betaGal beta-1,3-N-acetylglucosaminyltransferase 7 OS=Homo sapiens OX=9606 GN=B3GNT7 PE=2 SV=1
+MSLWKKTVYRSLCLALALLVAVTVFQRSLTPGQFLQEPPPPTLEPQKAQKPNGQLVNPNNFWKNPKDVAAPTPMASQGPQAWDVTTTNCSANINLTHQPWFQVLEPQFRQFLFYRHCRYFPMLLNHPEKCRGDVYLLVVVKSVITQHDRREAIRQTWGRERQSAGGGRGAVRTLFLLGTASKQEERTHYQQLLAYEDRLYGDILQWGFLDTFFNLTLKEIHFLKWLDIYCPHVPFIFKGDDDVFVNPTNLLEFLADRQPQENLFVGDVLQHARPIRRKDNKYYIPGALYGKASYPPYAGGGGFLMAGSLARRLHHACDTLELYPIDDVFLGMCLEVLGVQPTAHEGFKTFGISRNRNSRMNKEPCFFRAMLVVHKLLPPELLAMWGLVHSNLTCSRKLQVL
+>DECOY_sp|Q8NFL0|B3GN7_HUMAN UDP-GlcNAc:betaGal beta-1,3-N-acetylglucosaminyltransferase 7 OS=Homo sapiens OX=9606 GN=B3GNT7 PE=2 SV=1
+LVQLKRSCTLNSHVLGWMALLEPPLLKHVVLMARFFCPEKNMRSNRNRSIGFTKFGEHATPQVGLVELCMGLFVDDIPYLELTDCAHHLRRALSGAMLFGGGGAYPPYSAKGYLAGPIYYKNDKRRIPRAHQLVDGVFLNEQPQRDALFELLNTPNVFVDDDGKFIFPVHPCYIDLWKLFHIEKLTLNFFTDLFGWQLIDGYLRDEYALLQQYHTREEQKSATGLLFLTRVAGRGGGASQRERGWTQRIAERRDHQTIVSKVVVLLYVDGRCKEPHNLLMPFYRCHRYFLFQRFQPELVQFWPQHTLNINASCNTTTVDWAQPGQSAMPTPAAVDKPNKWFNNPNVLQGNPKQAKQPELTPPPPEQLFQGPTLSRQFVTVAVLLALALCLSRYVTKKWLSM
+>sp|Q7Z7M8|B3GN8_HUMAN UDP-GlcNAc:betaGal beta-1,3-N-acetylglucosaminyltransferase 8 OS=Homo sapiens OX=9606 GN=B3GNT8 PE=1 SV=1
+MRCPKCLLCLSALLTLLGLKVYIEWTSESRLSKAYPSPRGTPPSPTPANPEPTLPANLSTRLGQTIPLPFAYWNQQQWRLGSLPSGDSTETGGCQAWGAAAATEIPDFASYPKDLRRFLLSAACRSFPQWLPGGGGSQVSSCSDTDVPYLLLAVKSEPGRFAERQAVRETWGSPAPGIRLLFLLGSPVGEAGPDLDSLVAWESRRYSDLLLWDFLDVPFNQTLKDLLLLAWLGRHCPTVSFVLRAQDDAFVHTPALLAHLRALPPASARSLYLGEVFTQAMPLRKPGGPFYVPESFFEGGYPAYASGGGYVIAGRLAPWLLRAAARVAPFPFEDVYTGLCIRALGLVPQAHPGFLTAWPADRTADHCAFRNLLLVRPLGPQASIRLWKQLQDPRLQC
+>DECOY_sp|Q7Z7M8|B3GN8_HUMAN UDP-GlcNAc:betaGal beta-1,3-N-acetylglucosaminyltransferase 8 OS=Homo sapiens OX=9606 GN=B3GNT8 PE=1 SV=1
+CQLRPDQLQKWLRISAQPGLPRVLLLNRFACHDATRDAPWATLFGPHAQPVLGLARICLGTYVDEFPFPAVRAAARLLWPALRGAIVYGGGSAYAPYGGEFFSEPVYFPGGPKRLPMAQTFVEGLYLSRASAPPLARLHALLAPTHVFADDQARLVFSVTPCHRGLWALLLLDKLTQNFPVDLFDWLLLDSYRRSEWAVLSDLDPGAEGVPSGLLFLLRIGPAPSGWTERVAQREAFRGPESKVALLLYPVDTDSCSSVQSGGGGPLWQPFSRCAASLLFRRLDKPYSAFDPIETAAAAGWAQCGGTETSDGSPLSGLRWQQQNWYAFPLPITQGLRTSLNAPLTPEPNAPTPSPPTGRPSPYAKSLRSESTWEIYVKLGLLTLLASLCLLCKPCRM
+>sp|O96024|B3GT4_HUMAN Beta-1,3-galactosyltransferase 4 OS=Homo sapiens OX=9606 GN=B3GALT4 PE=1 SV=1
+MQLRLFRRLLLAALLLVIVWTLFGPSGLGEELLSLSLASLLPAPASPGPPLALPRLLIPNQEACSGPGAPPFLLILVCTAPENLNQRNAIRASWGGLREARGLRVQTLFLLGEPNAQHPVWGSQGSDLASESAAQGDILQAAFQDSYRNLTLKTLSGLNWAEKHCPMARYVLKTDDDVYVNVPELVSELVLRGGRWGQWERSTEPQREAEQEGGQVLHSEEVPLLYLGRVHWRVNPSRTPGGRHRVSEEQWPHTWGPFPPYASGTGYVLSASAVQLILKVASRAPLLPLEDVFVGVSARRGGLAPTQCVKLAGATHYPLDRCCYGKFLLTSHRLDPWKMQEAWKLVGGSDGERTAPFCSWFQGVLGILRCRAIAWLQS
+>DECOY_sp|O96024|B3GT4_HUMAN Beta-1,3-galactosyltransferase 4 OS=Homo sapiens OX=9606 GN=B3GALT4 PE=1 SV=1
+SQLWAIARCRLIGLVGQFWSCFPATREGDSGGVLKWAEQMKWPDLRHSTLLFKGYCCRDLPYHTAGALKVCQTPALGGRRASVGVFVDELPLLPARSAVKLILQVASASLVYGTGSAYPPFPGWTHPWQEESVRHRGGPTRSPNVRWHVRGLYLLPVEESHLVQGGEQEAERQPETSREWQGWRGGRLVLESVLEPVNVYVDDDTKLVYRAMPCHKEAWNLGSLTKLTLNRYSDQFAAQLIDGQAASESALDSGQSGWVPHQANPEGLLFLTQVRLGRAERLGGWSARIANRQNLNEPATCVLILLFPPAGPGSCAEQNPILLRPLALPPGPSAPAPLLSALSLSLLEEGLGSPGFLTWVIVLLLAALLLRRFLRLQM
+>sp|Q6L9W6|B4GN3_HUMAN Beta-1,4-N-acetylgalactosaminyltransferase 3 OS=Homo sapiens OX=9606 GN=B4GALNT3 PE=1 SV=2
+MGSPRAARPPLLLRPVKLLRRRFRLLLALAVVSVGLWTLYLELVASAQVGGNPLNRRYGSWRELAKALASRNIPAVDPHLQFYHPQRLSLEDHDIDQGVSSNSSYLKWNKPVPWLSEFRGRANLHVFEDWCGSSIQQLRRNLHFPLYPHIRTTLRKLAVSPKWTNYGLRIFGYLHPFTDGKIQFAIAADDNAEFWLSLDDQVSGLQLLASVGKTGKEWTAPGEFGKFRSQISKPVSLSASHRYYFEVLHKQNEEGTDHVEVAWRRNDPGAKFTIIDSLSLSLFTNETFLQMDEVGHIPQTAASHVDSSNALPRDEQPPADMLRPDPRDTLYRVPLIPKSHLRHVLPDCPYKPSYLVDGLPLQRYQGLRFVHLSFVYPNDYTRLSHMETHNKCFYQENAYYQDRFSFQEYIKIDQPEKQGLEQPGFEENLLEESQYGEVAEETPASNNQNARMLEGRQTPASTLEQDATDYRLRSLRKLLAQPREGLLAPFSKRNSTASFPGRTSHIPVQQPEKRKQKPSPEPSQDSPHSDKWPPGHPVKNLPQMRGPRPRPAGDSPRKTQWLNQVESYIAEQRRGDRMRPQAPGRGWHGEEEVVAAAGQEGQVEGEEEGEEEEEEEDMSEVFEYVPVFDPVVNWDQTFSARNLDFQALRTDWIDLSCNTSGNLLLPEQEALEVTRVFLKKLNQRSRGRYQLQRIVNVEKRQDQLRGGRYLLELELLEQGQRVVRLSEYVSARGWQGIDPAGGEEVEARNLQGLVWDPHNRRRQVLNTRAQEPKLCWPQGFSWSHRAVVHFVVPVKNQARWVQQFIKDMENLFQVTGDPHFNIVITDYSSEDMDVEMALKRSKLRSYQYVKLSGNFERSAGLQAGIDLVKDPHSIIFLCDLHIHFPAGVIDAIRKHCVEGKMAFAPMVMRLHCGATPQWPEGYWEVNGFGLLGIYKSDLDRIGGMNTKEFRDRWGGEDWELLDRILQAGLDVERLSLRNFFHHFHSKRGMWSRRQMKTL
+>DECOY_sp|Q6L9W6|B4GN3_HUMAN Beta-1,4-N-acetylgalactosaminyltransferase 3 OS=Homo sapiens OX=9606 GN=B4GALNT3 PE=1 SV=2
+LTKMQRRSWMGRKSHFHHFFNRLSLREVDLGAQLIRDLLEWDEGGWRDRFEKTNMGGIRDLDSKYIGLLGFGNVEWYGEPWQPTAGCHLRMVMPAFAMKGEVCHKRIADIVGAPFHIHLDCLFIISHPDKVLDIGAQLGASREFNGSLKVYQYSRLKSRKLAMEVDMDESSYDTIVINFHPDGTVQFLNEMDKIFQQVWRAQNKVPVVFHVVARHSWSFGQPWCLKPEQARTNLVQRRRNHPDWVLGQLNRAEVEEGGAPDIGQWGRASVYESLRVVRQGQELLELELLYRGGRLQDQRKEVNVIRQLQYRGRSRQNLKKLFVRTVELAEQEPLLLNGSTNCSLDIWDTRLAQFDLNRASFTQDWNVVPDFVPVYEFVESMDEEEEEEEGEEEGEVQGEQGAAAVVEEEGHWGRGPAQPRMRDGRRQEAIYSEVQNLWQTKRPSDGAPRPRPGRMQPLNKVPHGPPWKDSHPSDQSPEPSPKQKRKEPQQVPIHSTRGPFSATSNRKSFPALLGERPQALLKRLSRLRYDTADQELTSAPTQRGELMRANQNNSAPTEEAVEGYQSEELLNEEFGPQELGQKEPQDIKIYEQFSFRDQYYANEQYFCKNHTEMHSLRTYDNPYVFSLHVFRLGQYRQLPLGDVLYSPKYPCDPLVHRLHSKPILPVRYLTDRPDPRLMDAPPQEDRPLANSSDVHSAATQPIHGVEDMQLFTENTFLSLSLSDIITFKAGPDNRRWAVEVHDTGEENQKHLVEFYYRHSASLSVPKSIQSRFKGFEGPATWEKGTKGVSALLQLGSVQDDLSLWFEANDDAAIAFQIKGDTFPHLYGFIRLGYNTWKPSVALKRLTTRIHPYLPFHLNRRLQQISSGCWDEFVHLNARGRFESLWPVPKNWKLYSSNSSVGQDIDHDELSLRQPHYFQLHPDVAPINRSALAKALERWSGYRRNLPNGGVQASAVLELYLTWLGVSVVALALLLRFRRRLLKVPRLLLPPRAARPSGM
+>sp|O60909|B4GT2_HUMAN Beta-1,4-galactosyltransferase 2 OS=Homo sapiens OX=9606 GN=B4GALT2 PE=1 SV=1
+MSRLLGGTLERVCKAVLLLCLLHFLVAVILYFDVYAQHLAFFSRFSARGPAHALHPAASSSSSSSNCSRPNATASSSGLPEVPSALPGPTAPTLPPCPDSPPGLVGRLLIEFTSPMPLERVQRENPGVLMGGRYTPPDCTPAQTVAVIIPFRHREHHLRYWLHYLHPILRRQRLRYGVYVINQHGEDTFNRAKLLNVGFLEALKEDAAYDCFIFSDVDLVPMDDRNLYRCGDQPRHFAIAMDKFGFRLPYAGYFGGVSGLSKAQFLRINGFPNEYWGWGGEDDDIFNRISLTGMKISRPDIRIGRYRMIKHDRDKHNEPNPQRFTKIQNTKLTMKRDGIGSVRYQVLEVSRQPLFTNITVDIGRPPSWPPRG
+>DECOY_sp|O60909|B4GT2_HUMAN Beta-1,4-galactosyltransferase 2 OS=Homo sapiens OX=9606 GN=B4GALT2 PE=1 SV=1
+GRPPWSPPRGIDVTINTFLPQRSVELVQYRVSGIGDRKMTLKTNQIKTFRQPNPENHKDRDHKIMRYRGIRIDPRSIKMGTLSIRNFIDDDEGGWGWYENPFGNIRLFQAKSLGSVGGFYGAYPLRFGFKDMAIAFHRPQDGCRYLNRDDMPVLDVDSFIFCDYAADEKLAELFGVNLLKARNFTDEGHQNIVYVGYRLRQRRLIPHLYHLWYRLHHERHRFPIIVAVTQAPTCDPPTYRGGMLVGPNERQVRELPMPSTFEILLRGVLGPPSDPCPPLTPATPGPLASPVEPLGSSSATANPRSCNSSSSSSSAAPHLAHAPGRASFRSFFALHQAYVDFYLIVAVLFHLLCLLLVAKCVRELTGGLLRSM
+>sp|Q9UBX8|B4GT6_HUMAN Beta-1,4-galactosyltransferase 6 OS=Homo sapiens OX=9606 GN=B4GALT6 PE=1 SV=1
+MSVLRRMMRVSNRSLLAFIFFFSLSSSCLYFIYVAPGIANTYLFMVQARGIMLRENVKTIGHMIRLYTNKNSTLNGTDYPEGNNSSDYLVQTTTYLPENFTYSPYLPCPEKLPYMRGFLNVNVSEVSFDEIHQLFSKDLDIEPGGHWRPKDCKPRWKVAVLIPFRNRHEHLPIFFLHLIPMLQKQRLEFAFYVIEQTGTQPFNRAMLFNVGFKEAMKDSVWDCVIFHDVDHLPENDRNYYGCGEMPRHFAAKLDKYMYILPYKEFFGGVSGLTVEQFRKINGFPNAFWGWGGEDDDLWNRVHYAGYNVTRPEGDLGKYKSIPHHHRGEVQFLGRYKLLRYSKERQYIDGLNNLIYRPKILVDRLYTNISVNLMPELAPIEDY
+>DECOY_sp|Q9UBX8|B4GT6_HUMAN Beta-1,4-galactosyltransferase 6 OS=Homo sapiens OX=9606 GN=B4GALT6 PE=1 SV=1
+YDEIPALEPMLNVSINTYLRDVLIKPRYILNNLGDIYQREKSYRLLKYRGLFQVEGRHHHPISKYKGLDGEPRTVNYGAYHVRNWLDDDEGGWGWFANPFGNIKRFQEVTLGSVGGFFEKYPLIYMYKDLKAAFHRPMEGCGYYNRDNEPLHDVDHFIVCDWVSDKMAEKFGVNFLMARNFPQTGTQEIVYFAFELRQKQLMPILHLFFIPLHEHRNRFPILVAVKWRPKCDKPRWHGGPEIDLDKSFLQHIEDFSVESVNVNLFGRMYPLKEPCPLYPSYTFNEPLYTTTQVLYDSSNNGEPYDTGNLTSNKNTYLRIMHGITKVNERLMIGRAQVMFLYTNAIGPAVYIFYLCSSSLSFFFIFALLSRNSVRMMRRLVSM
+>sp|P0C853|BAAS2_HUMAN Putative uncharacterized protein BAALC-AS2 OS=Homo sapiens OX=9606 GN=BAALC-AS2 PE=5 SV=1
+MSLKSWHPQSKTKRVGASEGNPQWGSGSMEAPLLSSFLPPLASEAELTGNTWFLHRCSCILNLEESMDSDWGAWWGVSLPRRAPFLIYGSDGPWCTQAGFPGWGH
+>DECOY_sp|P0C853|BAAS2_HUMAN Putative uncharacterized protein BAALC-AS2 OS=Homo sapiens OX=9606 GN=BAALC-AS2 PE=5 SV=1
+HGWGPFGAQTCWPGDSGYILFPARRPLSVGWWAGWDSDMSEELNLICSCRHLFWTNGTLEAESALPPLFSSLLPAEMSGSGWQPNGESAGVRKTKSQPHWSKLSM
+>sp|Q9BYV9|BACH2_HUMAN Transcription regulator protein BACH2 OS=Homo sapiens OX=9606 GN=BACH2 PE=1 SV=1
+MSVDEKPDSPMYVYESTVHCTNILLGLNDQRKKDILCDVTLIVERKEFRAHRAVLAACSEYFWQALVGQTKNDLVVSLPEEVTARGFGPLLQFAYTAKLLLSRENIREVIRCAEFLRMHNLEDSCFSFLQTQLLNSEDGLFVCRKDAACQRPHEDCENSAGEEEDEEEETMDSETAKMACPRDQMLPEPISFEAAAIPVAEKEEALLPEPDVPTDTKESSEKDALTQYPRYKKYQLACTKNVYNASSHSTSGFASTFREDNSSNSLKPGLARGQIKSEPPSEENEEESITLCLSGDEPDAKDRAGDVEMDRKQPSPAPTPTAPAGAACLERSRSVASPSCLRSLFSITKSVELSGLPSTSQQHFARSPACPFDKGITQGDLKTDYTPFTGNYGQPHVGQKEVSNFTMGSPLRGPGLEALCKQEGELDRRSVIFSSSACDQVSTSVHSYSGVSSLDKDLSEPVPKGLWVGAGQSLPSSQAYSHGGLMADHLPGRMRPNTSCPVPIKVCPRSPPLETRTRTSSSCSSYSYAEDGSGGSPCSLPLCEFSSSPCSQGARFLATEHQEPGLMGDGMYNQVRPQIKCEQSYGTNSSDESGSFSEADSESCPVQDRGQEVKLPFPVDQITDLPRNDFQMMIKMHKLTSEQLEFIHDVRRRSKNRIAAQRCRKRKLDCIQNLECEIRKLVCEKEKLLSERNQLKACMGELLDNFSCLSQEVCRDIQSPEQIQALHRYCPVLRPMDLPTASSINPAPLGAEQNIAASQCAVGENVPCCLEPGAAPPGPPWAPSNTSENCTSGRRLEGTDPGTFSERGPPLEPRSQTVTVDFCQEMTDKCTTDEQPRKDYT
+>DECOY_sp|Q9BYV9|BACH2_HUMAN Transcription regulator protein BACH2 OS=Homo sapiens OX=9606 GN=BACH2 PE=1 SV=1
+TYDKRPQEDTTCKDTMEQCFDVTVTQSRPELPPGRESFTGPDTGELRRGSTCNESTNSPAWPPGPPAAGPELCCPVNEGVACQSAAINQEAGLPAPNISSATPLDMPRLVPCYRHLAQIQEPSQIDRCVEQSLCSFNDLLEGMCAKLQNRESLLKEKECVLKRIECELNQICDLKRKRCRQAAIRNKSRRRVDHIFELQESTLKHMKIMMQFDNRPLDTIQDVPFPLKVEQGRDQVPCSESDAESFSGSEDSSNTGYSQECKIQPRVQNYMGDGMLGPEQHETALFRAGQSCPSSSFECLPLSCPSGGSGDEAYSYSSCSSSTRTRTELPPSRPCVKIPVPCSTNPRMRGPLHDAMLGGHSYAQSSPLSQGAGVWLGKPVPESLDKDLSSVGSYSHVSTSVQDCASSSFIVSRRDLEGEQKCLAELGPGRLPSGMTFNSVEKQGVHPQGYNGTFPTYDTKLDGQTIGKDFPCAPSRAFHQQSTSPLGSLEVSKTISFLSRLCSPSAVSRSRELCAAGAPATPTPAPSPQKRDMEVDGARDKADPEDGSLCLTISEEENEESPPESKIQGRALGPKLSNSSNDERFTSAFGSTSHSSANYVNKTCALQYKKYRPYQTLADKESSEKTDTPVDPEPLLAEEKEAVPIAAAEFSIPEPLMQDRPCAMKATESDMTEEEEDEEEGASNECDEHPRQCAADKRCVFLGDESNLLQTQLFSFCSDELNHMRLFEACRIVERINERSLLLKATYAFQLLPGFGRATVEEPLSVVLDNKTQGVLAQWFYESCAALVARHARFEKREVILTVDCLIDKKRQDNLGLLINTCHVTSEYVYMPSDPKEDVSM
+>sp|Q9H503|BAFL_HUMAN Barrier-to-autointegration factor-like protein OS=Homo sapiens OX=9606 GN=BANF2 PE=1 SV=1
+MDNMSPRLRAFLSEPIGEKDVCWVDGISHELAINLVTKGINKAYILLGQFLLMHKNEAEFQRWLICCFGATECEAQQTSHCLKEWCACFL
+>DECOY_sp|Q9H503|BAFL_HUMAN Barrier-to-autointegration factor-like protein OS=Homo sapiens OX=9606 GN=BANF2 PE=1 SV=1
+LFCACWEKLCHSTQQAECETAGFCCILWRQFEAENKHMLLFQGLLIYAKNIGKTVLNIALEHSIGDVWCVDKEGIPESLFARLRPSMNDM
+>sp|O75531|BAF_HUMAN Barrier-to-autointegration factor OS=Homo sapiens OX=9606 GN=BANF1 PE=1 SV=1
+MTTSQKHRDFVAEPMGEKPVGSLAGIGEVLGKKLEERGFDKAYVVLGQFLVLKKDEDLFREWLKDTCGANAKQSRDCFGCLREWCDAFL
+>DECOY_sp|O75531|BAF_HUMAN Barrier-to-autointegration factor OS=Homo sapiens OX=9606 GN=BANF1 PE=1 SV=1
+LFADCWERLCGFCDRSQKANAGCTDKLWERFLDEDKKLVLFQGLVVYAKDFGREELKKGLVEGIGALSGVPKEGMPEAVFDRHKQSTTM
+>sp|O95816|BAG2_HUMAN BAG family molecular chaperone regulator 2 OS=Homo sapiens OX=9606 GN=BAG2 PE=1 SV=1
+MAQAKINAKANEGRFCRSSSMADRSSRLLESLDQLELRVEALREAATAVEQEKEILLEMIHSIQNSQDMRQISDGEREELNLTANRLMGRTLTVEVSVETIRNPQQQESLKHATRIIDEVVNKFLDDLGNAKSHLMSLYSACSSEVPHGPVDQKFQSIVIGCALEDQKKIKRRLETLLRNIENSDKAIKLLEHSKGAGSKTLQQNAESRFN
+>DECOY_sp|O95816|BAG2_HUMAN BAG family molecular chaperone regulator 2 OS=Homo sapiens OX=9606 GN=BAG2 PE=1 SV=1
+NFRSEANQQLTKSGAGKSHELLKIAKDSNEINRLLTELRRKIKKQDELACGIVISQFKQDVPGHPVESSCASYLSMLHSKANGLDDLFKNVVEDIIRTAHKLSEQQQPNRITEVSVEVTLTRGMLRNATLNLEEREGDSIQRMDQSNQISHIMELLIEKEQEVATAAERLAEVRLELQDLSELLRSSRDAMSSSRCFRGENAKANIKAQAM
+>sp|P46379|BAG6_HUMAN Large proline-rich protein BAG6 OS=Homo sapiens OX=9606 GN=BAG6 PE=1 SV=2
+MEPNDSTSTAVEEPDSLEVLVKTLDSQTRTFIVGAQMNVKEFKEHIAASVSIPSEKQRLIYQGRVLQDDKKLQEYNVGGKVIHLVERAPPQTHLPSGASSGTGSASATHGGGSPPGTRGPGASVHDRNANSYVMVGTFNLPSDGSAVDVHINMEQAPIQSEPRVRLVMAQHMIRDIQTLLSRMETLPYLQCRGGPQPQHSQPPPQPPAVTPEPVALSSQTSEPVESEAPPREPMEAEEVEERAPAQNPELTPGPAPAGPTPAPETNAPNHPSPAEYVEVLQELQRLESRLQPFLQRYYEVLGAAATTDYNNNHEGREEDQRLINLVGESLRLLGNTFVALSDLRCNLACTPPRHLHVVRPMSHYTTPMVLQQAAIPIQINVGTTVTMTGNGTRPPPTPNAEAPPPGPGQASSVAPSSTNVESSAEGAPPPGPAPPPATSHPRVIRISHQSVEPVVMMHMNIQDSGTQPGGVPSAPTGPLGPPGHGQTLGQQVPGFPTAPTRVVIARPTPPQARPSHPGGPPVSGTLQGAGLGTNASLAQMVSGLVGQLLMQPVLVAQGTPGMAPPPAPATASASAGTTNTATTAGPAPGGPAQPPPTPQPSMADLQFSQLLGNLLGPAGPGAGGSGVASPTITVAMPGVPAFLQGMTDFLQATQTAPPPPPPPPPPPPAPEQQTMPPPGSPSGGAGSPGGLGLESLSPEFFTSVVQGVLSSLLGSLGARAGSSESIAAFIQRLSGSSNIFEPGADGALGFFGALLSLLCQNFSMVDVVMLLHGHFQPLQRLQPQLRSFFHQHYLGGQEPTPSNIRMATHTLITGLEEYVRESFSLVQVQPGVDIIRTNLEFLQEQFNSIAAHVLHCTDSGFGARLLELCNQGLFECLALNLHCLGGQQMELAAVINGRIRRMSRGVNPSLVSWLTTMMGLRLQVVLEHMPVGPDAILRYVRRVGDPPQPLPEEPMEVQGAERASPEPQRENASPAPGTTAEEAMSRGPPPAPEGGSRDEQDGASAETEPWAAAVPPEWVPIIQQDIQSQRKVKPQPPLSDAYLSGMPAKRRKTMQGEGPQLLLSEAVSRAAKAAGARPLTSPESLSRDLEAPEVQESYRQQLRSDIQKRLQEDPNYSPQRFPNAQRAFADDP
+>DECOY_sp|P46379|BAG6_HUMAN Large proline-rich protein BAG6 OS=Homo sapiens OX=9606 GN=BAG6 PE=1 SV=2
+PDDAFARQANPFRQPSYNPDEQLRKQIDSRLQQRYSEQVEPAELDRSLSEPSTLPRAGAAKAARSVAESLLLQPGEGQMTKRRKAPMGSLYADSLPPQPKVKRQSQIDQQIIPVWEPPVAAAWPETEASAGDQEDRSGGEPAPPPGRSMAEEATTGPAPSANERQPEPSAREAGQVEMPEEPLPQPPDGVRRVYRLIADPGVPMHELVVQLRLGMMTTLWSVLSPNVGRSMRRIRGNIVAALEMQQGGLCHLNLALCEFLGQNCLELLRAGFGSDTCHLVHAAISNFQEQLFELNTRIIDVGPQVQVLSFSERVYEELGTILTHTAMRINSPTPEQGGLYHQHFFSRLQPQLRQLPQFHGHLLMVVDVMSFNQCLLSLLAGFFGLAGDAGPEFINSSGSLRQIFAAISESSGARAGLSGLLSSLVGQVVSTFFEPSLSELGLGGPSGAGGSPSGPPPMTQQEPAPPPPPPPPPPPPATQTAQLFDTMGQLFAPVGPMAVTITPSAVGSGGAGPGAPGLLNGLLQSFQLDAMSPQPTPPPQAPGGPAPGATTATNTTGASASATAPAPPPAMGPTGQAVLVPQMLLQGVLGSVMQALSANTGLGAGQLTGSVPPGGPHSPRAQPPTPRAIVVRTPATPFGPVQQGLTQGHGPPGLPGTPASPVGGPQTGSDQINMHMMVVPEVSQHSIRIVRPHSTAPPPAPGPPPAGEASSEVNTSSPAVSSAQGPGPPPAEANPTPPPRTGNGTMTVTTGVNIQIPIAAQQLVMPTTYHSMPRVVHLHRPPTCALNCRLDSLAVFTNGLLRLSEGVLNILRQDEERGEHNNNYDTTAAAGLVEYYRQLFPQLRSELRQLEQLVEVYEAPSPHNPANTEPAPTPGAPAPGPTLEPNQAPAREEVEEAEMPERPPAESEVPESTQSSLAVPEPTVAPPQPPPQSHQPQPGGRCQLYPLTEMRSLLTQIDRIMHQAMVLRVRPESQIPAQEMNIHVDVASGDSPLNFTGVMVYSNANRDHVSAGPGRTGPPSGGGHTASASGTGSSAGSPLHTQPPAREVLHIVKGGVNYEQLKKDDQLVRGQYILRQKESPISVSAAIHEKFEKVNMQAGVIFTRTQSDLTKVLVELSDPEEVATSTSDNPEM
+>sp|Q9UQB8|BAIP2_HUMAN Brain-specific angiogenesis inhibitor 1-associated protein 2 OS=Homo sapiens OX=9606 GN=BAIAP2 PE=1 SV=1
+MSLSRSEEMHRLTENVYKTIMEQFNPSLRNFIAMGKNYEKALAGVTYAAKGYFDALVKMGELASESQGSKELGDVLFQMAEVHRQIQNQLEEMLKSFHNELLTQLEQKVELDSRYLSAALKKYQTEQRSKGDALDKCQAELKKLRKKSQGSKNPQKYSDKELQYIDAISNKQGELENYVSDGYKTALTEERRRFCFLVEKQCAVAKNSAAYHSKGKELLAQKLPLWQQACADPSKIPERAVQLMQQVASNGATLPSALSASKSNLVISDPIPGAKPLPVPPELAPFVGRMSAQESTPIMNGVTGPDGEDYSPWADRKAAQPKSLSPPQSQSKLSDSYSNTLPVRKSVTPKNSYATTENKTLPRSSSMAAGLERNGRMRVKAIFSHAAGDNSTLLSFKEGDLITLLVPEARDGWHYGESEKTKMRGWFPFSYTRVLDSDGSDRLHMSLQQGKSSSTGNLLDKDDLAIPPPDYGAASRAFPAQTASGFKQRPYSVAVPAFSQGLDDYGARSMSRNPFAHVQLKPTVTNDRCDLSAQGPEGREHGDGSARTLAGR
+>DECOY_sp|Q9UQB8|BAIP2_HUMAN Brain-specific angiogenesis inhibitor 1-associated protein 2 OS=Homo sapiens OX=9606 GN=BAIAP2 PE=1 SV=1
+RGALTRASGDGHERGEPGQASLDCRDNTVTPKLQVHAFPNRSMSRAGYDDLGQSFAPVAVSYPRQKFGSATQAPFARSAAGYDPPPIALDDKDLLNGTSSSKGQQLSMHLRDSGDSDLVRTYSFPFWGRMKTKESEGYHWGDRAEPVLLTILDGEKFSLLTSNDGAAHSFIAKVRMRGNRELGAAMSSSRPLTKNETTAYSNKPTVSKRVPLTNSYSDSLKSQSQPPSLSKPQAAKRDAWPSYDEGDPGTVGNMIPTSEQASMRGVFPALEPPVPLPKAGPIPDSIVLNSKSASLASPLTAGNSAVQQMLQVAREPIKSPDACAQQWLPLKQALLEKGKSHYAASNKAVACQKEVLFCFRRREETLATKYGDSVYNELEGQKNSIADIYQLEKDSYKQPNKSGQSKKRLKKLEAQCKDLADGKSRQETQYKKLAASLYRSDLEVKQELQTLLENHFSKLMEELQNQIQRHVEAMQFLVDGLEKSGQSESALEGMKVLADFYGKAAYTVGALAKEYNKGMAIFNRLSPNFQEMITKYVNETLRHMEESRSLSM
+>sp|Q13145|BAMBI_HUMAN BMP and activin membrane-bound inhibitor homolog OS=Homo sapiens OX=9606 GN=BAMBI PE=1 SV=1
+MDRHSSYIFIWLQLELCAMAVLLTKGEIRCYCDAAHCVATGYMCKSELSACFSRLLDPQNSNSPLTHGCLDSLASTTDICQAKQARNHSGTTIPTLECCHEDMCNYRGLHDVLSPPRGEASGQGNRYQHDGSRNLITKVQELTSSKELWFRAAVIAVPIAGGLILVLLIMLALRMLRSENKRLQDQRQQMLSRLHYSFHGHHSKKGQVAKLDLECMVPVSGHENCCLTCDKMRQADLSNDKILSLVHWGMYSGHGKLEFV
+>DECOY_sp|Q13145|BAMBI_HUMAN BMP and activin membrane-bound inhibitor homolog OS=Homo sapiens OX=9606 GN=BAMBI PE=1 SV=1
+VFELKGHGSYMGWHVLSLIKDNSLDAQRMKDCTLCCNEHGSVPVMCELDLKAVQGKKSHHGHFSYHLRSLMQQRQDQLRKNESRLMRLALMILLVLILGGAIPVAIVAARFWLEKSSTLEQVKTILNRSGDHQYRNGQGSAEGRPPSLVDHLGRYNCMDEHCCELTPITTGSHNRAQKAQCIDTTSALSDLCGHTLPSNSNQPDLLRSFCASLESKCMYGTAVCHAADCYCRIEGKTLLVAMACLELQLWIFIYSSHRDM
+>sp|Q9NY43|BARH2_HUMAN BarH-like 2 homeobox protein OS=Homo sapiens OX=9606 GN=BARHL2 PE=1 SV=2
+MTMEGASGSSFGIDTILSSASSGSPGMMNGDFRPLGEARTADFRSQATPSPCSEIDTVGTAPSSPISVTMEPPEPHLVADATQHHHHLHHSQQPPPPAAAPTQSLQPLPQQQQPLPPQQPPPPPPQQLGSAASAPRTSTSSFLIKDILGDSKPLAACAPYSTSVSSPHHTPKQESNAVHESFRPKLEQEDSKTKLDKREDSQSDIKCHGTKEEGDREITSSRESPPVRAKKPRKARTAFSDHQLNQLERSFERQKYLSVQDRMDLAAALNLTDTQVKTWYQNRRTKWKRQTAVGLELLAEAGNYSALQRMFPSPYFYHPSLLGSMDSTTAAAAAAAMYSSMYRTPPAPHPQLQRPLVPRVLIHGLGPGGQPALNPLSSPIPGTPHPR
+>DECOY_sp|Q9NY43|BARH2_HUMAN BarH-like 2 homeobox protein OS=Homo sapiens OX=9606 GN=BARHL2 PE=1 SV=2
+RPHPTGPIPSSLPNLAPQGGPGLGHILVRPVLPRQLQPHPAPPTRYMSSYMAAAAAAATTSDMSGLLSPHYFYPSPFMRQLASYNGAEALLELGVATQRKWKTRRNQYWTKVQTDTLNLAAALDMRDQVSLYKQREFSRELQNLQHDSFATRAKRPKKARVPPSERSSTIERDGEEKTGHCKIDSQSDERKDLKTKSDEQELKPRFSEHVANSEQKPTHHPSSVSTSYPACAALPKSDGLIDKILFSSTSTRPASAASGLQQPPPPPPQQPPLPQQQQPLPQLSQTPAAAPPPPQQSHHLHHHHQTADAVLHPEPPEMTVSIPSSPATGVTDIESCPSPTAQSRFDATRAEGLPRFDGNMMGPSGSSASSLITDIGFSSGSAGEMTM
+>sp|Q8N1L9|BATF2_HUMAN Basic leucine zipper transcriptional factor ATF-like 2 OS=Homo sapiens OX=9606 GN=BATF2 PE=1 SV=1
+MHLCGGNGLLTQTDPKEQQRQLKKQKNRAAAQRSRQKHTDKADALHQQHESLEKDNLALRKEIQSLQAELAWWSRTLHVHERLCPMDCASCSAPGLLGCWDQAEGLLGPGPQGQHGCREQLELFQTPGSCYPAQPLSPGPQPHDSPSLLQCPLPSLSLGPAVVAEPPVQLSPSPLLFASHTGSSLQGSSSKLSALQPSLTAQTAPPQPLELEHPTRGKLGSSPDNPSSALGLARLQSREHKPALSAATWQGLVVDPSPHPLLAFPLLSSAQVHF
+>DECOY_sp|Q8N1L9|BATF2_HUMAN Basic leucine zipper transcriptional factor ATF-like 2 OS=Homo sapiens OX=9606 GN=BATF2 PE=1 SV=1
+FHVQASSLLPFALLPHPSPDVVLGQWTAASLAPKHERSQLRALGLASSPNDPSSGLKGRTPHELELPQPPATQATLSPQLASLKSSSGQLSSGTHSAFLLPSPSLQVPPEAVVAPGLSLSPLPCQLLSPSDHPQPGPSLPQAPYCSGPTQFLELQERCGHQGQPGPGLLGEAQDWCGLLGPASCSACDMPCLREHVHLTRSWWALEAQLSQIEKRLALNDKELSEHQQHLADAKDTHKQRSRQAAARNKQKKLQRQQEKPDTQTLLGNGGCLHM
+>sp|Q16520|BATF_HUMAN Basic leucine zipper transcriptional factor ATF-like OS=Homo sapiens OX=9606 GN=BATF PE=1 SV=1
+MPHSSDSSDSSFSRSPPPGKQDSSDDVRRVQRREKNRIAAQKSRQRQTQKADTLHLESEDLEKQNAALRKEIKQLTEELKYFTSVLNSHEPLCSVLAASTPSPPEVVYSAHAFHQPHVSSPRFQP
+>DECOY_sp|Q16520|BATF_HUMAN Basic leucine zipper transcriptional factor ATF-like OS=Homo sapiens OX=9606 GN=BATF PE=1 SV=1
+PQFRPSSVHPQHFAHASYVVEPPSPTSAALVSCLPEHSNLVSTFYKLEETLQKIEKRLAANQKELDESELHLTDAKQTQRQRSKQAAIRNKERRQVRRVDDSSDQKGPPPSRSFSSDSSDSSHPM
+>sp|Q9BXH1|BBC3_HUMAN Bcl-2-binding component 3 OS=Homo sapiens OX=9606 GN=BBC3 PE=1 SV=1
+MARARQEGSSPEPVEGLARDGPRPFPLGRLVPSAVSCGLCEPGLAAAPAAPTLLPAAYLCAPTAPPAVTAALGGSRWPGGPRSRPRGPRPDGPQPSLSLAEQHLESPVPSAPGALAGGPTQAAPGVRGEEEQWAREIGAQLRRMADDLNAQYERRRQEEQQRHRPSPWRVLYNLIMGLLPLPRGHRAPEMEPN
+>DECOY_sp|Q9BXH1|BBC3_HUMAN Bcl-2-binding component 3 OS=Homo sapiens OX=9606 GN=BBC3 PE=1 SV=1
+NPEMEPARHGRPLPLLGMILNYLVRWPSPRHRQQEEQRRREYQANLDDAMRRLQAGIERAWQEEEGRVGPAAQTPGGALAGPASPVPSELHQEALSLSPQPGDPRPGRPRSRPGGPWRSGGLAATVAPPATPACLYAAPLLTPAAPAAALGPECLGCSVASPVLRGLPFPRPGDRALGEVPEPSSGEQRARAM
+>sp|Q9BXC9|BBS2_HUMAN Bardet-Biedl syndrome 2 protein OS=Homo sapiens OX=9606 GN=BBS2 PE=1 SV=1
+MLLPVFTLKLRHKISPRMVAIGRYDGTHPCLAAATQTGKVFIHNPHTRNQHVSASRVFQSPLESDVSLLNINQAVSCLTAGVLNPELGYDALLVGTQTNLLAYDVYNNSDLFYREVADGANAIVLGTLGDISSPLAIIGGNCALQGFNHEGSDLFWTVTGDNVNSLALCDFDGDGKKELLVGSEDFDIRVFKEDEIVAEMTETEIVTSLCPMYGSRFGYALSNGTVGVYDKTSRYWRIKSKNHAMSIHAFDLNSDGVNELITGWSNGKVDARSDRTGEVIFKDNFSSAIAGVVEGDYRMDGHIQLICCSVDGEIRGYLPGTAEMRGNLMDTSAEQDLIRELSQKKQNLLLELRNYEENAKAELASPLNEADGHRGIIPANTRLHTTLSVSLGNETQTAHTELRISTSNDTIIRAVLIFAEGIFTGESHVVHPSIHNLSSSICIPIVPPKDVPVDLHLKAFVGYRSSTQFHVFESTRQLPRFSMYALTSLDPASEPISYVNFTIAERAQRVVVWLGQNFLLPEDTHIQNAPFQVCFTSLRNGGHLHIKIKLSGEITINTDDIDLAGDIIQSMASFFAIEDLQVEADFPVYFEELRKVLVKVDEYHSVHQKLSADMADHSNLIRSLLVGAEDARLMRDMKTMKSRYMELYDLNRDLLNGYKIRCNNHTELLGNLKAVNQAIQRAGRLRVGKPKNQVITACRDAIRSNNINTLFKIMRVGTASS
+>DECOY_sp|Q9BXC9|BBS2_HUMAN Bardet-Biedl syndrome 2 protein OS=Homo sapiens OX=9606 GN=BBS2 PE=1 SV=1
+SSATGVRMIKFLTNINNSRIADRCATIVQNKPKGVRLRGARQIAQNVAKLNGLLETHNNCRIKYGNLLDRNLDYLEMYRSKMTKMDRMLRADEAGVLLSRILNSHDAMDASLKQHVSHYEDVKVLVKRLEEFYVPFDAEVQLDEIAFFSAMSQIIDGALDIDDTNITIEGSLKIKIHLHGGNRLSTFCVQFPANQIHTDEPLLFNQGLWVVVRQAREAITFNVYSIPESAPDLSTLAYMSFRPLQRTSEFVHFQTSSRYGVFAKLHLDVPVDKPPVIPICISSSLNHISPHVVHSEGTFIGEAFILVARIITDNSTSIRLETHATQTENGLSVSLTTHLRTNAPIIGRHGDAENLPSALEAKANEEYNRLELLLNQKKQSLERILDQEASTDMLNGRMEATGPLYGRIEGDVSCCILQIHGDMRYDGEVVGAIASSFNDKFIVEGTRDSRADVKGNSWGTILENVGDSNLDFAHISMAHNKSKIRWYRSTKDYVGVTGNSLAYGFRSGYMPCLSTVIETETMEAVIEDEKFVRIDFDESGVLLEKKGDGDFDCLALSNVNDGTVTWFLDSGEHNFGQLACNGGIIALPSSIDGLTGLVIANAGDAVERYFLDSNNYVDYALLNTQTGVLLADYGLEPNLVGATLCSVAQNINLLSVDSELPSQFVRSASVHQNRTHPNHIFVKGTQTAAALCPHTGDYRGIAVMRPSIKHRLKLTFVPLLM
+>sp|Q96RK4|BBS4_HUMAN Bardet-Biedl syndrome 4 protein OS=Homo sapiens OX=9606 GN=BBS4 PE=1 SV=2
+MAEERVATRTQFPVSTESQKPRQKKAPEFPILEKQNWLIHLHYIRKDYEACKAVIKEQLQETQGLCEYAIYVQALIFRLEGNIQESLELFQTCAVLSPQSADNLKQVARSLFLLGKHKAAIEVYNEAAKLNQKDWEISHNLGVCYIYLKQFNKAQDQLHNALNLNRHDLTYIMLGKIHLLEGDLDKAIEVYKKAVEFSPENTELLTTLGLLYLQLGIYQKAFEHLGNALTYDPTNYKAILAAGSMMQTHGDFDVALTKYRVVACAVPESPPLWNNIGMCFFGKKKYVAAISCLKRANYLAPFDWKILYNLGLVHLTMQQYASAFHFLSAAINFQPKMGELYMLLAVALTNLEDIENAKRAYAEAVHLDKCNPLVNLNYAVLLYNQGEKKNALAQYQEMEKKVSLLKDNSSLEFDSEMVEMAQKLGAALQVGEALVWTKPVKDPKSKHQTTSTSKPASFQQPLGSNQALGQAMSSAAAYRTLPSGAGGTSQFTKPPSLPLEPEPAVESSPTETSEQIREK
+>DECOY_sp|Q96RK4|BBS4_HUMAN Bardet-Biedl syndrome 4 protein OS=Homo sapiens OX=9606 GN=BBS4 PE=1 SV=2
+KERIQESTETPSSEVAPEPELPLSPPKTFQSTGGAGSPLTRYAAASSMAQGLAQNSGLPQQFSAPKSTSTTQHKSKPDKVPKTWVLAEGVQLAAGLKQAMEVMESDFELSSNDKLLSVKKEMEQYQALANKKEGQNYLLVAYNLNVLPNCKDLHVAEAYARKANEIDELNTLAVALLMYLEGMKPQFNIAASLFHFASAYQQMTLHVLGLNYLIKWDFPALYNARKLCSIAAVYKKKGFFCMGINNWLPPSEPVACAVVRYKTLAVDFDGHTQMMSGAALIAKYNTPDYTLANGLHEFAKQYIGLQLYLLGLTTLLETNEPSFEVAKKYVEIAKDLDGELLHIKGLMIYTLDHRNLNLANHLQDQAKNFQKLYIYCVGLNHSIEWDKQNLKAAENYVEIAAKHKGLLFLSRAVQKLNDASQPSLVACTQFLELSEQINGELRFILAQVYIAYECLGQTEQLQEKIVAKCAEYDKRIYHLHILWNQKELIPFEPAKKQRPKQSETSVPFQTRTAVREEAM
+>sp|Q8IWZ6|BBS7_HUMAN Bardet-Biedl syndrome 7 protein OS=Homo sapiens OX=9606 GN=BBS7 PE=1 SV=2
+MDLILNRMDYLQVGVTSQKTMKLIPASRHRATQKVVIGDHDGVVMCFGMKKGEAAAVFKTLPGPKIARLELGGVINTPQEKIFIAAASEIRGFTKRGKQFLSFETNLTESIKAMHISGSDLFLSASYIYNHYCDCKDQHYYLSGDKINDVICLPVERLSRITPVLACQDRVLRVLQGSDVMYAVEVPGPPTVLALHNGNGGDSGEDLLFGTSDGKLALIQITTSKPVRKWEIQNEKKRGGILCIDSFDIVGDGVKDLLVGRDDGMVEVYSFDNANEPVLRFDQMLSESVTSIQGGCVGKDSYDEIVVSTYSGWVTGLTTEPIHKESGPGEELKINQEMQNKISSLRNELEHLQYKVLQERENYQQSSQSSKAKSAVPSFGINDKFTLNKDDASYSLILEVQTAIDNVLIQSDVPIDLLDVDKNSAVVSFSSCDSESNDNFLLATYRCQADTTRLELKIRSIEGQYGTLQAYVTPRIQPKTCQVRQYHIKPLSLHQRTHFIDHDRPMNTLTLTGQFSFAEVHSWVVFCLPEVPEKPPAGECVTFYFQNTFLDTQLESTYRKGEGVFKSDNISTISILKDVLSKEATKRKINLNISYEINEVSVKHTLKLIHPKLEYQLLLAKKVQLIDALKELQIHEGNTNFLIPEYHCILEEADHLQEEYKKQPAHLERLYGMITDLFIDKFKFKGTNVKTKVPLLLEILDSYDQNALISFFDAA
+>DECOY_sp|Q8IWZ6|BBS7_HUMAN Bardet-Biedl syndrome 7 protein OS=Homo sapiens OX=9606 GN=BBS7 PE=1 SV=2
+AADFFSILANQDYSDLIELLLPVKTKVNTGKFKFKDIFLDTIMGYLRELHAPQKKYEEQLHDAEELICHYEPILFNTNGEHIQLEKLADILQVKKALLLQYELKPHILKLTHKVSVENIEYSINLNIKRKTAEKSLVDKLISITSINDSKFVGEGKRYTSELQTDLFTNQFYFTVCEGAPPKEPVEPLCFVVWSHVEAFSFQGTLTLTNMPRDHDIFHTRQHLSLPKIHYQRVQCTKPQIRPTVYAQLTGYQGEISRIKLELRTTDAQCRYTALLFNDNSESDCSSFSVVASNKDVDLLDIPVDSQILVNDIATQVELILSYSADDKNLTFKDNIGFSPVASKAKSSQSSQQYNEREQLVKYQLHELENRLSSIKNQMEQNIKLEEGPGSEKHIPETTLGTVWGSYTSVVIEDYSDKGVCGGQISTVSESLMQDFRLVPENANDFSYVEVMGDDRGVLLDKVGDGVIDFSDICLIGGRKKENQIEWKRVPKSTTIQILALKGDSTGFLLDEGSDGGNGNHLALVTPPGPVEVAYMVDSGQLVRLVRDQCALVPTIRSLREVPLCIVDNIKDGSLYYHQDKCDCYHNYIYSASLFLDSGSIHMAKISETLNTEFSLFQKGRKTFGRIESAAAIFIKEQPTNIVGGLELRAIKPGPLTKFVAAAEGKKMGFCMVVGDHDGIVVKQTARHRSAPILKMTKQSTVGVQLYDMRNLILDM
+>sp|Q8WY36|BBX_HUMAN HMG box transcription factor BBX OS=Homo sapiens OX=9606 GN=BBX PE=1 SV=1
+MKGSNRNKDHSAEGEGVGKRPKRKCLQWHPLLAKKLLDFSEEEEEEDEEEDIDKVQLLGADGLEQDVGETEDDESPEQRARRPMNAFLLFCKRHRSLVRQEHPRLDNRGATKILADWWAVLDPKEKQKYTDMAKEYKDAFMKANPGYKWCPTTNKPVKSPTPTVNPRKKLWAFPSDSSRDLPSPKKAKTEEMPQLNFGMADPTQMGGLSMLLLAGEHALGTPEVSSGTCRPDVSESPELRQKSPLFQFAEISSSTSHSDASTKQCQTSALFQFAEISSNTSQLGGAEPVKRCGKSALFQLAEMCLASEGMKMEESKLIKAKESDGGRIKELEKGKEEKEIKMEKTDETRLQKEAEFEKSAKENLRDSKELRNFEALQIDDIMAIKMEDPKEIRKEELEEDHKCSHFPDFSYSASSKIIISDVPSRKDHMCHPHGIMIIEDPAALNKPEKLKKKKKKSKMDRHGNDKSTPKKTCKKRQSSESDIESVIYTIEAVAKGDWGIEKLGDTPRKKVRTSSSGKGSILDAKPPKKKVKSREKKMSKEKSSDTTKESRPPDFISISASKNISGETPEGIKAEPLTPMEDALPPSLSGQAKPEDSDCHRKIETCGSRKSERSCKGALYKTLVSEGMLTSLRANVDRGKRSSGKGNSSDHEGCWNEESWTFSQSGTSGSKKFKKTKPKEDCLLGSAKLDEEFEKKFNSLPQYSPVTFDRKCVPVPRKKKKTGNVSSEPTKTSKGPFQSQKKNLFHKIVSKYKHKKEKPNVPEKGSGDKWSNKQLFLDAIHPTEAIFSEDRNTMEPVHKVKNIPSIFNTPEPTTTQEPLVGSQKRKARKTKITHLVRTADGRVSPAGGTLDDKPKEQLQRSLPKATETDCNDKCSHNTEVGETRSSTPEMPAVSAFFSLAALAEVAAMENVHRGQRSTPLTHDGQPKEMPQAPVLISCADQ
+>DECOY_sp|Q8WY36|BBX_HUMAN HMG box transcription factor BBX OS=Homo sapiens OX=9606 GN=BBX PE=1 SV=1
+QDACSILVPAQPMEKPQGDHTLPTSRQGRHVNEMAAVEALAALSFFASVAPMEPTSSRTEGVETNHSCKDNCDTETAKPLSRQLQEKPKDDLTGGAPSVRGDATRVLHTIKTKRAKRKQSGVLPEQTTTPEPTNFISPINKVKHVPEMTNRDESFIAETPHIADLFLQKNSWKDGSGKEPVNPKEKKHKYKSVIKHFLNKKQSQFPGKSTKTPESSVNGTKKKKRPVPVCKRDFTVPSYQPLSNFKKEFEEDLKASGLLCDEKPKTKKFKKSGSTGSQSFTWSEENWCGEHDSSNGKGSSRKGRDVNARLSTLMGESVLTKYLAGKCSRESKRSGCTEIKRHCDSDEPKAQGSLSPPLADEMPTLPEAKIGEPTEGSINKSASISIFDPPRSEKTTDSSKEKSMKKERSKVKKKPPKADLISGKGSSSTRVKKRPTDGLKEIGWDGKAVAEITYIVSEIDSESSQRKKCTKKPTSKDNGHRDMKSKKKKKKLKEPKNLAAPDEIIMIGHPHCMHDKRSPVDSIIIKSSASYSFDPFHSCKHDEELEEKRIEKPDEMKIAMIDDIQLAEFNRLEKSDRLNEKASKEFEAEKQLRTEDTKEMKIEKEEKGKELEKIRGGDSEKAKILKSEEMKMGESALCMEALQFLASKGCRKVPEAGGLQSTNSSIEAFQFLASTQCQKTSADSHSTSSSIEAFQFLPSKQRLEPSESVDPRCTGSSVEPTGLAHEGALLLMSLGGMQTPDAMGFNLQPMEETKAKKPSPLDRSSDSPFAWLKKRPNVTPTPSKVPKNTTPCWKYGPNAKMFADKYEKAMDTYKQKEKPDLVAWWDALIKTAGRNDLRPHEQRVLSRHRKCFLLFANMPRRARQEPSEDDETEGVDQELGDAGLLQVKDIDEEEDEEEEEESFDLLKKALLPHWQLCKRKPRKGVGEGEASHDKNRNSGKM
+>sp|P54687|BCAT1_HUMAN Branched-chain-amino-acid aminotransferase, cytosolic OS=Homo sapiens OX=9606 GN=BCAT1 PE=1 SV=3
+MKDCSNGCSAECTGEGGSKEVVGTFKAKDLIVTPATILKEKPDPNNLVFGTVFTDHMLTVEWSSEFGWEKPHIKPLQNLSLHPGSSALHYAVELFEGLKAFRGVDNKIRLFQPNLNMDRMYRSAVRATLPVFDKEELLECIQQLVKLDQEWVPYSTSASLYIRPTFIGTEPSLGVKKPTKALLFVLLSPVGPYFSSGTFNPVSLWANPKYVRAWKGGTGDCKMGGNYGSSLFAQCEAVDNGCQQVLWLYGEDHQITEVGTMNLFLYWINEDGEEELATPPLDGIILPGVTRRCILDLAHQWGEFKVSERYLTMDDLTTALEGNRVREMFGSGTACVVCPVSDILYKGETIHIPTMENGPKLASRILSKLTDIQYGREESDWTIVLS
+>DECOY_sp|P54687|BCAT1_HUMAN Branched-chain-amino-acid aminotransferase, cytosolic OS=Homo sapiens OX=9606 GN=BCAT1 PE=1 SV=3
+SLVITWDSEERGYQIDTLKSLIRSALKPGNEMTPIHITEGKYLIDSVPCVVCATGSGFMERVRNGELATTLDDMTLYRESVKFEGWQHALDLICRRTVGPLIIGDLPPTALEEEGDENIWYLFLNMTGVETIQHDEGYLWLVQQCGNDVAECQAFLSSGYNGGMKCDGTGGKWARVYKPNAWLSVPNFTGSSFYPGVPSLLVFLLAKTPKKVGLSPETGIFTPRIYLSASTSYPVWEQDLKVLQQICELLEEKDFVPLTARVASRYMRDMNLNPQFLRIKNDVGRFAKLGEFLEVAYHLASSGPHLSLNQLPKIHPKEWGFESSWEVTLMHDTFVTGFVLNNPDPKEKLITAPTVILDKAKFTGVVEKSGGEGTCEASCGNSCDKM
+>sp|A2AJT9|BCLA3_HUMAN BCLAF1 and THRAP3 family member 3 OS=Homo sapiens OX=9606 GN=BCLAF3 PE=1 SV=1
+MARSRSRSPRWKHRSLSPVPRNAEHYKQRHSHGHYGCEYRKDPKRPVAWRMDSEKHGQSKPRIPSRGNIYYQSYEHRSPSPNIRNSLENVYMYKPHRGYSPGRGDSNRRAQYMPKYSEGIPYKEHERNSYPQKVQGGHSPDDHRVRGSGKGGKPPQRSIADSFRFEGKWHEDELRHQRIQEEKYSQSTRRGSEDFETRSSFQKRYPEDRDFRKYGHTSKRPKDVERYESREPARNPKWKPEHSLPPYQEDTDQWNLGPQTYRHAEREHPETSSATKVSYDYRHKRPKLLDGDQDFSDGRTQKYCKEEDRKYSFQKGPLNRELDCFNTGRGRETQDGQVKEPFKPSKKDSIACTYSNKNDVDLRSSNDKWKEKIKKEGDCRKESNSSSNQLDKSQKLPDVKPSPINLRKKSLTVKVDVKKTVDTFRVASSYSTERQMSHDLVAVGRKSENFHPVFEHLDSTQNTENKPTGEFAQEIITIIHQVKANYFPSPGITLHERFSTMQDIHKADVNEIPLNSDPEIHRRIDMSLAELQSKQAVIYESEQTLIKIIDPNDLRHDIERRRKERLQNEDEHIFHIASAAERDDQNSSFSKVKNVHTDGFQKPTHFIKSNFRKCIEKPYMNYTTQRKDIITHKPFEVEGNHRNTRVRPFKSNFRGGRCQPNYKSGLVQKSLYIQAKYQRLRFTGPRGFITHKFRERLMRKKKEYTDVATGI
+>DECOY_sp|A2AJT9|BCLA3_HUMAN BCLAF1 and THRAP3 family member 3 OS=Homo sapiens OX=9606 GN=BCLAF3 PE=1 SV=1
+IGTAVDTYEKKKRMLRERFKHTIFGRPGTFRLRQYKAQIYLSKQVLGSKYNPQCRGGRFNSKFPRVRTNRHNGEVEFPKHTIIDKRQTTYNMYPKEICKRFNSKIFHTPKQFGDTHVNKVKSFSSNQDDREAASAIHFIHEDENQLREKRRREIDHRLDNPDIIKILTQESEYIVAQKSQLEALSMDIRRHIEPDSNLPIENVDAKHIDQMTSFREHLTIGPSPFYNAKVQHIITIIEQAFEGTPKNETNQTSDLHEFVPHFNESKRGVAVLDHSMQRETSYSSAVRFTDVTKKVDVKVTLSKKRLNIPSPKVDPLKQSKDLQNSSSNSEKRCDGEKKIKEKWKDNSSRLDVDNKNSYTCAISDKKSPKFPEKVQGDQTERGRGTNFCDLERNLPGKQFSYKRDEEKCYKQTRGDSFDQDGDLLKPRKHRYDYSVKTASSTEPHEREAHRYTQPGLNWQDTDEQYPPLSHEPKWKPNRAPERSEYREVDKPRKSTHGYKRFDRDEPYRKQFSSRTEFDESGRRTSQSYKEEQIRQHRLEDEHWKGEFRFSDAISRQPPKGGKGSGRVRHDDPSHGGQVKQPYSNREHEKYPIGESYKPMYQARRNSDGRGPSYGRHPKYMYVNELSNRINPSPSRHEYSQYYINGRSPIRPKSQGHKESDMRWAVPRKPDKRYECGYHGHSHRQKYHEANRPVPSLSRHKWRPSRSRSRAM
+>sp|Q9NYF8|BCLF1_HUMAN Bcl-2-associated transcription factor 1 OS=Homo sapiens OX=9606 GN=BCLAF1 PE=1 SV=2
+MGRSNSRSHSSRSKSRSQSSSRSRSRSHSRKKRYSSRSRSRTYSRSRSRDRMYSRDYRRDYRNNRGMRRPYGYRGRGRGYYQGGGGRYHRGGYRPVWNRRHSRSPRRGRSRSRSPKRRSVSSQRSRSRSRRSYRSSRSPRSSSSRSSSPYSKSPVSKRRGSQEKQTKKAEGEPQEESPLKSKSQEEPKDTFEHDPSESIDEFNKSSATSGDIWPGLSAYDNSPRSPHSPSPIATPPSQSSSCSDAPMLSTVHSAKNTPSQHSHSIQHSPERSGSGSVGNGSSRYSPSQNSPIHHIPSRRSPAKTIAPQNAPRDESRGRSSFYPDGGDQETAKTGKFLKRFTDEESRVFLLDRGNTRDKEASKEKGSEKGRAEGEWEDQEALDYFSDKESGKQKFNDSEGDDTEETEDYRQFRKSVLADQGKSFATASHRNTEEEGLKYKSKVSLKGNRESDGFREEKNYKLKETGYVVERPSTTKDKHKEEDKNSERITVKKETQSPEQVKSEKLKDLFDYSPPLHKNLDAREKSTFREESPLRIKMIASDSHRPEVKLKMAPVPLDDSNRPASLTKDRLLASTLVHSVKKEQEFRSIFDHIKLPQASKSTSESFIQHIVSLVHHVKEQYFKSAAMTLNERFTSYQKATEEHSTRQKSPEIHRRIDISPSTLRKHTRLAGEERVFKEENQKGDKKLRCDSADLRHDIDRRRKERSKERGDSKGSRESSGSRKQEKTPKDYKEYKSYKDDSKHKREQDHSRSSSSSASPSSPSSREEKESKKEREEEFKTHHEMKEYSGFAGVSRPRGTFFRIRGRGRARGVFAGTNTGPNNSNTTFQKRPKEEEWDPEYTPKSKKYFLHDDRDDGVDYWAKRGRGRGTFQRGRGRFNFKKSGSSPKWTHDKYQGDGIVEDEEETMENNEEKKDRRKEEKE
+>DECOY_sp|Q9NYF8|BCLF1_HUMAN Bcl-2-associated transcription factor 1 OS=Homo sapiens OX=9606 GN=BCLAF1 PE=1 SV=2
+EKEEKRRDKKEENNEMTEEEDEVIGDGQYKDHTWKPSSGSKKFNFRGRGRQFTGRGRGRKAWYDVGDDRDDHLFYKKSKPTYEPDWEEEKPRKQFTTNSNNPGTNTGAFVGRARGRGRIRFFTGRPRSVGAFGSYEKMEHHTKFEEEREKKSEKEERSSPSSPSASSSSSRSHDQERKHKSDDKYSKYEKYDKPTKEQKRSGSSERSGKSDGREKSREKRRRDIDHRLDASDCRLKKDGKQNEEKFVREEGALRTHKRLTSPSIDIRRHIEPSKQRTSHEETAKQYSTFRENLTMAASKFYQEKVHHVLSVIHQIFSESTSKSAQPLKIHDFISRFEQEKKVSHVLTSALLRDKTLSAPRNSDDLPVPAMKLKVEPRHSDSAIMKIRLPSEERFTSKERADLNKHLPPSYDFLDKLKESKVQEPSQTEKKVTIRESNKDEEKHKDKTTSPREVVYGTEKLKYNKEERFGDSERNGKLSVKSKYKLGEEETNRHSATAFSKGQDALVSKRFQRYDETEETDDGESDNFKQKGSEKDSFYDLAEQDEWEGEARGKESGKEKSAEKDRTNGRDLLFVRSEEDTFRKLFKGTKATEQDGGDPYFSSRGRSEDRPANQPAITKAPSRRSPIHHIPSNQSPSYRSSGNGVSGSGSREPSHQISHSHQSPTNKASHVTSLMPADSCSSSQSPPTAIPSPSHPSRPSNDYASLGPWIDGSTASSKNFEDISESPDHEFTDKPEEQSKSKLPSEEQPEGEAKKTQKEQSGRRKSVPSKSYPSSSRSSSSRPSRSSRYSRRSRSRSRQSSVSRRKPSRSRSRGRRPSRSHRRNWVPRYGGRHYRGGGGQYYGRGRGRYGYPRRMGRNNRYDRRYDRSYMRDRSRSRSYTRSRSRSSYRKKRSHSRSRSRSSSQSRSKSRSSHSRSNSRGM
+>sp|Q5H9F3|BCORL_HUMAN BCL-6 corepressor-like protein 1 OS=Homo sapiens OX=9606 GN=BCORL1 PE=1 SV=1
+MISTAPLYSGVHNWTSSDRIRMCGINEERRAPLSDEESTTGDCQHFGSQEFCVSSSFSKVELTAVGSGSNARGADPDGSATEKLGHKSEDKPDDPQPKMDYAGNVAEAEGFLVPLSSPGDGLKLPASDSAEASNSRADCSWTPLNTQMSKQVDCSPAGVKALDSRQGVGEKNTFILATLGTGVPVEGTLPLVTTNFSPLPAPICPPAPGSASVPHSVPDAFQVPLSVPAPVPHSGLVPVQVATSVPAPSPPLAPVPALAPAPPSVPTLISDSNPLSVSASVLVPVPASAPPSGPVPLSAPAPAPLSVPVSAPPLALIQAPVPPSAPTLVLAPVPTPVLAPMPASTPPAAPAPPSVPMPTPTPSSGPPSTPTLIPAFAPTPVPAPTPAPIFTPAPTPMPAATPAAIPTSAPIPASFSLSRVCFPAAQAPAMQKVPLSFQPGTVLTPSQPLVYIPPPSCGQPLSVATLPTTLGVSSTLTLPVLPSYLQDRCLPGVLASPELRSYPYAFSVARPLTSDSKLVSLEVNRLPCTSPSGSTTTQPAPDGVPGPLADTSLVTASAKVLPTPQPLLPAPSGSSAPPHPAKMPSGTEQQTEGTSVTFSPLKSPPQLEREMASPPECSEMPLDLSSKSNRQKLPLPNQRKTPPMPVLTPVHTSSKALLSTVLSRSQRTTQAAGGNVTSCLGSTSSPFVIFPEIVRNGDPSTWVKNSTALISTIPGTYVGVANPVPASLLLNKDPNLGLNRDPRHLPKQEPISIIDQGEPKGTGATCGKKGSQAGAEGQPSTVKRYTPARIAPGLPGCQTKELSLWKPTGPANIYPRCSVNGKPTSTQVLPVGWSPYHQASLLSIGISSAGQLTPSQGAPIRPTSVVSEFSGVPSLSSSEAVHGLPEGQPRPGGSFVPEQDPVTKNKTCRIAAKPYEEQVNPVLLTLSPQTGTLALSVQPSGGDIRMNQGPEESESHLCSDSTPKMEGPQGACGLKLAGDTKPKNQVLATYMSHELVLATPQNLPKMPELPLLPHDSHPKELILDVVPSSRRGSSTERPQLGSQVDLGRVKMEKVDGDVVFNLATCFRADGLPVAPQRGQAEVRAKAGQARVKQESVGVFACKNKWQPDDVTESLPPKKMKCGKEKDSEEQQLQPQAKAVVRSSHRPKCRKLPSDPQESTKKSPRGASDSGKEHNGVRGKHKHRKPTKPESQSPGKRADSHEEGSLEKKAKSSFRDFIPVVLSTRTRSQSGSICSSFAGMADSDMGSQEVFPTEEEEEVTPTPAKRRKVRKTQRDTQYRSHHAQDKSLLSQGRRHLWRAREMPWRTEAARQMWDTNEEEEEEEEEGLLKRKKRRRQKSRKYQTGEYLTEQEDEQRRKGRADLKARKQKTSSSQSLEHRLRNRNLLLPNKVQGISDSPNGFLPNNLEEPACLENSEKPSGKRKCKTKHMATVSEEAKDVVLYCLQKDSEDVNHRDNAGYTALHEACSRGWTDILNILLEHGANVNCSAQDGTRPVHDAVVNDNLETIWLLLSYGADPTLATYSGQTAMKLASSDTMKRFLSDHLSDLQGRAEGDPGVSWDFYSSSVLEEKDGFACDLLHNPPGSSDQEGDDPMEEDDFMFELSDKPLLPCYNLQVSVSRGPCNWFLFSDVLKRLKLSSRIFQARFPHFEITTMPKAEFYRQVASSQLLTPAERPGGLDDRSPPGSSETVELVRYEPDLLRLLGSEVEFQSCNS
+>DECOY_sp|Q5H9F3|BCORL_HUMAN BCL-6 corepressor-like protein 1 OS=Homo sapiens OX=9606 GN=BCORL1 PE=1 SV=1
+SNCSQFEVESGLLRLLDPEYRVLEVTESSGPPSRDDLGGPREAPTLLQSSAVQRYFEAKPMTTIEFHPFRAQFIRSSLKLRKLVDSFLFWNCPGRSVSVQLNYCPLLPKDSLEFMFDDEEMPDDGEQDSSGPPNHLLDCAFGDKEELVSSSYFDWSVGPDGEARGQLDSLHDSLFRKMTDSSALKMATQGSYTALTPDAGYSLLLWITELNDNVVADHVPRTGDQASCNVNAGHELLINLIDTWGRSCAEHLATYGANDRHNVDESDKQLCYLVVDKAEESVTAMHKTKCKRKGSPKESNELCAPEELNNPLFGNPSDSIGQVKNPLLLNRNRLRHELSQSSSTKQKRAKLDARGKRRQEDEQETLYEGTQYKRSKQRRRKKRKLLGEEEEEEEEENTDWMQRAAETRWPMERARWLHRRGQSLLSKDQAHHSRYQTDRQTKRVKRRKAPTPTVEEEEETPFVEQSGMDSDAMGAFSSCISGSQSRTRTSLVVPIFDRFSSKAKKELSGEEHSDARKGPSQSEPKTPKRHKHKGRVGNHEKGSDSAGRPSKKTSEQPDSPLKRCKPRHSSRVVAKAQPQLQQEESDKEKGCKMKKPPLSETVDDPQWKNKCAFVGVSEQKVRAQGAKARVEAQGRQPAVPLGDARFCTALNFVVDGDVKEMKVRGLDVQSGLQPRETSSGRRSSPVVDLILEKPHSDHPLLPLEPMKPLNQPTALVLEHSMYTALVQNKPKTDGALKLGCAGQPGEMKPTSDSCLHSESEEPGQNMRIDGGSPQVSLALTGTQPSLTLLVPNVQEEYPKAAIRCTKNKTVPDQEPVFSGGPRPQGEPLGHVAESSSLSPVGSFESVVSTPRIPAGQSPTLQGASSIGISLLSAQHYPSWGVPLVQTSTPKGNVSCRPYINAPGTPKWLSLEKTQCGPLGPAIRAPTYRKVTSPQGEAGAQSGKKGCTAGTGKPEGQDIISIPEQKPLHRPDRNLGLNPDKNLLLSAPVPNAVGVYTGPITSILATSNKVWTSPDGNRVIEPFIVFPSSTSGLCSTVNGGAAQTTRQSRSLVTSLLAKSSTHVPTLVPMPPTKRQNPLPLKQRNSKSSLDLPMESCEPPSAMERELQPPSKLPSFTVSTGETQQETGSPMKAPHPPASSGSPAPLLPQPTPLVKASATVLSTDALPGPVGDPAPQTTTSGSPSTCPLRNVELSVLKSDSTLPRAVSFAYPYSRLEPSALVGPLCRDQLYSPLVPLTLTSSVGLTTPLTAVSLPQGCSPPPIYVLPQSPTLVTGPQFSLPVKQMAPAQAAPFCVRSLSFSAPIPASTPIAAPTAAPMPTPAPTFIPAPTPAPVPTPAFAPILTPTSPPGSSPTPTPMPVSPPAPAAPPTSAPMPALVPTPVPALVLTPASPPVPAQILALPPASVPVSLPAPAPASLPVPGSPPASAPVPVLVSASVSLPNSDSILTPVSPPAPALAPVPALPPSPAPVSTAVQVPVLGSHPVPAPVSLPVQFADPVSHPVSASGPAPPCIPAPLPSFNTTVLPLTGEVPVGTGLTALIFTNKEGVGQRSDLAKVGAPSCDVQKSMQTNLPTWSCDARSNSAEASDSAPLKLGDGPSSLPVLFGEAEAVNGAYDMKPQPDDPKDESKHGLKETASGDPDAGRANSGSGVATLEVKSFSSSVCFEQSGFHQCDGTTSEEDSLPARREENIGCMRIRDSSTWNHVGSYLPATSIM
+>sp|Q6W2J9|BCOR_HUMAN BCL-6 corepressor OS=Homo sapiens OX=9606 GN=BCOR PE=1 SV=1
+MLSATPLYGNVHSWMNSERVRMCGASEDRKILVNDGDASKARLELREENPLNHNVVDASTAHRIDGLAALSMDRTGLIREGLRVPGNIVYSSLCGLGSEKGREAATSTLGGLGFSSERNPEMQFKPNTPETVEASAVSGKPPNGFSAIYKTPPGIQKSAVATAEALGLDRPASDKQSPLNINGASYLRLPWVNPYMEGATPAIYPFLDSPNKYSLNMYKALLPQQSYSLAQPLYSPVCTNGERFLYLPPPHYVGPHIPSSLASPMRLSTPSASPAIPPLVHCADKSLPWKMGVSPGNPVDSHAYPHIQNSKQPRVPSAKAVTSGLPGDTALLLPPSPRPSPRVHLPTQPAADTYSEFHKHYARISTSPSVALSKPYMTVSSEFPAARLSNGKYPKAPEGGEGAQPVPGHARKTAVQDRKDGSSPPLLEKQTVTKDVTDKPLDLSSKVVDVDASKADHMKKMAPTVLVHSRAGSGLVLSGSEIPKETLSPPGNGCAIYRSEIISTAPSSWVVPGPSPNEENNGKSMSLKNKALDWAIPQQRSSSCPRMGGTDAVITNVSGSVSSAGRPASASPAPNANADGTKTSRSSVETTPSVIQHVGQPPATPAKHSSSTSSKGAKASNPEPSFKANENGLPPSSIFLSPNEAFRSPPIPYPRSYLPYPAPEGIAVSPLSLHGKGPVYPHPVLLPNGSLFPGHLAPKPGLPYGLPTGRPEFVTYQDALGLGMVHPMLIPHTPIEITKEEKPERRSRSHERARYEDPTLRNRFSEILETSSTKLHPDVPTDKNLKPNPNWNQGKTVVKSDKLVYVDLLREEPDAKTDTNVSKPSFAAESVGQSAEPPKPSVEPALQQHRDFIALREELGRISDFHETYTFKQPVFTVSKDSVLAGTNKENLGLPVSTPFLEPPLGSDGPAVTFGKTQEDPKPFCVGSAPPSVDVTPTYTKDGADEAESNDGKVLKPKPSKLAKRIANSAGYVGDRFKCVTTELYADSSQLSREQRALQMEGLQEDSILCLPAAYCERAMMRFSELEMKEREGGHPATKDSEMCKFSPADWERLKGNQDKKPKSVTLEEAIAEQNESERCEYSVGNKHRDPFEAPEDKDLPVEKYFVERQPVSEPPADQVASDMPHSPTLRVDRKRKVSGDSSHTETTAEEVPEDPLLKAKRRRVSKDDWPEREMTNSSSNHLEDPHYSELTNLKVCIELTGLHPKKQRHLLHLRERWEQQVSAADGKPGRQSRKEVTQATQPEAIPQGTNITEEKPGRKRAEAKGNRSWSEESLKPSDNEQGLPVFSGSPPMKSLSSTSAGGKKQAQPSCAPASRPPAKQQKIKENQKTDVLCADEEEDCQAASLLQKYTDNSEKPSGKRLCKTKHLIPQESRRGLPLTGEYYVENADGKVTVRRFRKRPEPSSDYDLSPAKQEPKPFDRLQQLLPASQSTQLPCSSSPQETTQSRPMPPEARRLIVNKNAGETLLQRAARLGYEEVVLYCLENKICDVNHRDNAGYCALHEACARGWLNIVRHLLEYGADVNCSAQDGTRPLHDAVENDHLEIVRLLLSYGADPTLATYSGRTIMKMTHSELMEKFLTDYLNDLQGRNDDDASGTWDFYGSSVCEPDDESGYDVLANPPGPEDQDDDDDAYSDVFEFEFSETPLLPCYNIQVSVAQGPRNWLLLSDVLKKLKMSSRIFRCNFPNVEIVTIAEAEFYRQVSASLLFSCSKDLEAFNPESKELLDLVEFTNEIQTLLGSSVEWLHPSDLASDNYW
+>DECOY_sp|Q6W2J9|BCOR_HUMAN BCL-6 corepressor OS=Homo sapiens OX=9606 GN=BCOR PE=1 SV=1
+WYNDSALDSPHLWEVSSGLLTQIENTFEVLDLLEKSEPNFAELDKSCSFLLSASVQRYFEAEAITVIEVNPFNCRFIRSSMKLKKLVDSLLLWNRPGQAVSVQINYCPLLPTESFEFEFVDSYADDDDDQDEPGPPNALVDYGSEDDPECVSSGYFDWTGSADDDNRGQLDNLYDTLFKEMLESHTMKMITRGSYTALTPDAGYSLLLRVIELHDNEVADHLPRTGDQASCNVDAGYELLHRVINLWGRACAEHLACYGANDRHNVDCIKNELCYLVVEEYGLRAARQLLTEGANKNVILRRAEPPMPRSQTTEQPSSSCPLQTSQSAPLLQQLRDFPKPEQKAPSLDYDSSPEPRKRFRRVTVKGDANEVYYEGTLPLGRRSEQPILHKTKCLRKGSPKESNDTYKQLLSAAQCDEEEDACLVDTKQNEKIKQQKAPPRSAPACSPQAQKKGGASTSSLSKMPPSGSFVPLGQENDSPKLSEESWSRNGKAEARKRGPKEETINTGQPIAEPQTAQTVEKRSQRGPKGDAASVQQEWRERLHLLHRQKKPHLGTLEICVKLNTLESYHPDELHNSSSNTMEREPWDDKSVRRRKAKLLPDEPVEEATTETHSSDGSVKRKRDVRLTPSHPMDSAVQDAPPESVPQREVFYKEVPLDKDEPAEFPDRHKNGVSYECRESENQEAIAEELTVSKPKKDQNGKLREWDAPSFKCMESDKTAPHGGEREKMELESFRMMARECYAAPLCLISDEQLGEMQLARQERSLQSSDAYLETTVCKFRDGVYGASNAIRKALKSPKPKLVKGDNSEAEDAGDKTYTPTVDVSPPASGVCFPKPDEQTKGFTVAPGDSGLPPELFPTSVPLGLNEKNTGALVSDKSVTFVPQKFTYTEHFDSIRGLEERLAIFDRHQQLAPEVSPKPPEASQGVSEAAFSPKSVNTDTKADPEERLLDVYVLKDSKVVTKGQNWNPNPKLNKDTPVDPHLKTSSTELIESFRNRLTPDEYRAREHSRSRREPKEEKTIEIPTHPILMPHVMGLGLADQYTVFEPRGTPLGYPLGPKPALHGPFLSGNPLLVPHPYVPGKGHLSLPSVAIGEPAPYPLYSRPYPIPPSRFAENPSLFISSPPLGNENAKFSPEPNSAKAGKSSTSSSHKAPTAPPQGVHQIVSPTTEVSSRSTKTGDANANPAPSASAPRGASSVSGSVNTIVADTGGMRPCSSSRQQPIAWDLAKNKLSMSKGNNEENPSPGPVVWSSPATSIIESRYIACGNGPPSLTEKPIESGSLVLGSGARSHVLVTPAMKKMHDAKSADVDVVKSSLDLPKDTVDKTVTQKELLPPSSGDKRDQVATKRAHGPVPQAGEGGEPAKPYKGNSLRAAPFESSVTMYPKSLAVSPSTSIRAYHKHFESYTDAAPQTPLHVRPSPRPSPPLLLATDGPLGSTVAKASPVRPQKSNQIHPYAHSDVPNGPSVGMKWPLSKDACHVLPPIAPSASPTSLRMPSALSSPIHPGVYHPPPLYLFREGNTCVPSYLPQALSYSQQPLLAKYMNLSYKNPSDLFPYIAPTAGEMYPNVWPLRLYSAGNINLPSQKDSAPRDLGLAEATAVASKQIGPPTKYIASFGNPPKGSVASAEVTEPTNPKFQMEPNRESSFGLGGLTSTAAERGKESGLGCLSSYVINGPVRLGERILGTRDMSLAALGDIRHATSADVVNHNLPNEERLELRAKSADGDNVLIKRDESAGCMRVRESNMWSHVNGYLPTASLM
+>sp|P11274|BCR_HUMAN Breakpoint cluster region protein OS=Homo sapiens OX=9606 GN=BCR PE=1 SV=2
+MVDPVGFAEAWKAQFPDSEPPRMELRSVGDIEQELERCKASIRRLEQEVNQERFRMIYLQTLLAKEKKSYDRQRWGFRRAAQAPDGASEPRASASRPQPAPADGADPPPAEEPEARPDGEGSPGKARPGTARRPGAAASGERDDRGPPASVAALRSNFERIRKGHGQPGADAEKPFYVNVEFHHERGLVKVNDKEVSDRISSLGSQAMQMERKKSQHGAGSSVGDASRPPYRGRSSESSCGVDGDYEDAELNPRFLKDNLIDANGGSRPPWPPLEYQPYQSIYVGGMMEGEGKGPLLRSQSTSEQEKRLTWPRRSYSPRSFEDCGGGYTPDCSSNENLTSSEEDFSSGQSSRVSPSPTTYRMFRDKSRSPSQNSQQSFDSSSPPTPQCHKRHRHCPVVVSEATIVGVRKTGQIWPNDGEGAFHGDADGSFGTPPGYGCAADRAEEQRRHQDGLPYIDDSPSSSPHLSSKGRGSRDALVSGALESTKASELDLEKGLEMRKWVLSGILASEETYLSHLEALLLPMKPLKAAATTSQPVLTSQQIETIFFKVPELYEIHKEFYDGLFPRVQQWSHQQRVGDLFQKLASQLGVYRAFVDNYGVAMEMAEKCCQANAQFAEISENLRARSNKDAKDPTTKNSLETLLYKPVDRVTRSTLVLHDLLKHTPASHPDHPLLQDALRISQNFLSSINEEITPRRQSMTVKKGEHRQLLKDSFMVELVEGARKLRHVFLFTDLLLCTKLKKQSGGKTQQYDCKWYIPLTDLSFQMVDELEAVPNIPLVPDEELDALKIKISQIKNDIQREKRANKGSKATERLKKKLSEQESLLLLMSPSMAFRVHSRNGKSYTFLISSDYERAEWRENIREQQKKCFRSFSLTSVELQMLTNSCVKLQTVHSIPLTINKEDDESPGLYGFLNVIVHSATGFKQSSNLYCTLEVDSFGYFVNKAKTRVYRDTAEPNWNEEFEIELEGSQTLRILCYEKCYNKTKIPKEDGESTDRLMGKGQVQLDPQALQDRDWQRTVIAMNGIEVKLSVKFNSREFSLKRMPSRKQTGVFGVKIAVVTKRERSKVPYIVRQCVEEIERRGMEEVGIYRVSGVATDIQALKAAFDVNNKDVSVMMSEMDVNAIAGTLKLYFRELPEPLFTDEFYPNFAEGIALSDPVAKESCMLNLLLSLPEANLLTFLFLLDHLKRVAEKEAVNKMSLHNLATVFGPTLLRPSEKESKLPANPSQPITMTDSWSLEVMSQVQVLLYFLQLEAIPAPDSKRQSILFSTEV
+>DECOY_sp|P11274|BCR_HUMAN Breakpoint cluster region protein OS=Homo sapiens OX=9606 GN=BCR PE=1 SV=2
+VETSFLISQRKSDPAPIAELQLFYLLVQVQSMVELSWSDTMTIPQSPNAPLKSEKESPRLLTPGFVTALNHLSMKNVAEKEAVRKLHDLLFLFTLLNAEPLSLLLNLMCSEKAVPDSLAIGEAFNPYFEDTFLPEPLERFYLKLTGAIANVDMESMMVSVDKNNVDFAAKLAQIDTAVGSVRYIGVEEMGRREIEEVCQRVIYPVKSRERKTVVAIKVGFVGTQKRSPMRKLSFERSNFKVSLKVEIGNMAIVTRQWDRDQLAQPDLQVQGKGMLRDTSEGDEKPIKTKNYCKEYCLIRLTQSGELEIEFEENWNPEATDRYVRTKAKNVFYGFSDVELTCYLNSSQKFGTASHVIVNLFGYLGPSEDDEKNITLPISHVTQLKVCSNTLMQLEVSTLSFSRFCKKQQERINERWEAREYDSSILFTYSKGNRSHVRFAMSPSMLLLLSEQESLKKKLRETAKSGKNARKERQIDNKIQSIKIKLADLEEDPVLPINPVAELEDVMQFSLDTLPIYWKCDYQQTKGGSQKKLKTCLLLDTFLFVHRLKRAGEVLEVMFSDKLLQRHEGKKVTMSQRRPTIEENISSLFNQSIRLADQLLPHDPHSAPTHKLLDHLVLTSRTVRDVPKYLLTELSNKTTPDKADKNSRARLNESIEAFQANAQCCKEAMEMAVGYNDVFARYVGLQSALKQFLDGVRQQHSWQQVRPFLGDYFEKHIEYLEPVKFFITEIQQSTLVPQSTTAAAKLPKMPLLLAELHSLYTEESALIGSLVWKRMELGKELDLESAKTSELAGSVLADRSGRGKSSLHPSSSPSDDIYPLGDQHRRQEEARDAACGYGPPTGFSGDADGHFAGEGDNPWIQGTKRVGVITAESVVVPCHRHRKHCQPTPPSSSDFSQQSNQSPSRSKDRFMRYTTPSPSVRSSQGSSFDEESSTLNENSSCDPTYGGGCDEFSRPSYSRRPWTLRKEQESTSQSRLLPGKGEGEMMGGVYISQYPQYELPPWPPRSGGNADILNDKLFRPNLEADEYDGDVGCSSESSRGRYPPRSADGVSSGAGHQSKKREMQMAQSGLSSIRDSVEKDNVKVLGREHHFEVNVYFPKEADAGPQGHGKRIREFNSRLAAVSAPPGRDDREGSAAAGPRRATGPRAKGPSGEGDPRAEPEEAPPPDAGDAPAPQPRSASARPESAGDPAQAARRFGWRQRDYSKKEKALLTQLYIMRFREQNVEQELRRISAKCRELEQEIDGVSRLEMRPPESDPFQAKWAEAFGVPDVM
+>sp|Q9Y276|BCS1_HUMAN Mitochondrial chaperone BCS1 OS=Homo sapiens OX=9606 GN=BCS1L PE=1 SV=1
+MPLSDFILALKDNPYFGAGFGLVGVGTALALARKGVQLGLVAFRRHYMITLEVPARDRSYAWLLSWLTRHSTRTQHLSVETSYLQHESGRISTKFEFVPSPGNHFIWYRGKWIRVERSREMQMIDLQTGTPWESVTFTALGTDRKVFFNILEEARELALQQEEGKTVMYTAVGSEWRPFGYPRRRRPLNSVVLQQGLADRIVRDVQEFIDNPKWYTDRGIPYRRGYLLYGPPGCGKSSFITALAGELEHSICLLSLTDSSLSDDRLNHLLSVAPQQSLVLLEDVDAAFLSRDLAVENPVKYQGLGRLTFSGLLNALDGVASTEARIVFMTTNHVDRLDPALIRPGRVDLKEYVGYCSHWQLTQMFQRFYPGQAPSLAENFAEHVLRATNQISPAQVQGYFMLYKNDPVGAIHNAESLRR
+>DECOY_sp|Q9Y276|BCS1_HUMAN Mitochondrial chaperone BCS1 OS=Homo sapiens OX=9606 GN=BCS1L PE=1 SV=1
+RRLSEANHIAGVPDNKYLMFYGQVQAPSIQNTARLVHEAFNEALSPAQGPYFRQFMQTLQWHSCYGVYEKLDVRGPRILAPDLRDVHNTTMFVIRAETSAVGDLANLLGSFTLRGLGQYKVPNEVALDRSLFAADVDELLVLSQQPAVSLLHNLRDDSLSSDTLSLLCISHELEGALATIFSSKGCGPPGYLLYGRRYPIGRDTYWKPNDIFEQVDRVIRDALGQQLVVSNLPRRRRPYGFPRWESGVATYMVTKGEEQQLALERAEELINFFVKRDTGLATFTVSEWPTGTQLDIMQMERSREVRIWKGRYWIFHNGPSPVFEFKTSIRGSEHQLYSTEVSLHQTRTSHRTLWSLLWAYSRDRAPVELTIMYHRRFAVLGLQVGKRALALATGVGVLGFGAGFYPNDKLALIFDSLPM
+>sp|Q8NFC6|BD1L1_HUMAN Biorientation of chromosomes in cell division protein 1-like 1 OS=Homo sapiens OX=9606 GN=BOD1L1 PE=1 SV=2
+MATNPQPQPPPPAPPPPPPQPQPQPPPPPPGPGAGPGAGGAGGAGAGAGDPQLVAMIVNHLKSQGLFDQFRRDCLADVDTKPAYQNLRQRVDNFVANHLATHTWSPHLNKNQLRNNIRQQVLKSGMLESGIDRIISQVVDPKINHTFRPQVEKAVHEFLATLNHKEEGSGNTAPDDEKPDTSLITQGVPTPGPSANVANDAMSILETITSLNQEASAARASTETSNAKTSERASKKLPSQPTTDTSTDKERTSEDMADKEKSTADSGGEGLETAPKSEEFSDLPCPVEEIKNYTKEHNNLILLNKDVQQESSEQKNKSTDKGEKKPDSNEKGERKKEKKEKTEKKFDHSKKSEDTQKVKDEKQAKEKEVESLKLPSEKNSNKAKTVEGTKEDFSLIDSDVDGLTDITVSSVHTSDLSSFEEDTEEEVVTSDSMEEGEITSDDEEKNKQNKTKTQTSDSSEGKTKSVRHAYVHKPYLYSKYYSDSDDELTVEQRRQSIAKEKEERLLRRQINREKLEEKRKQKAEKTKSSKTKGQGRSSVDLEESSTKSLEPKAARIKEVLKERKVLEKKVALSKKRKKDSRNVEENSKKKQQYEEDSKETLKTSEHCEKEKISSSKELKHVHAKSEPSKPARRLSESLHVVDENKNESKLEREHKRRTSTPVIMEGVQEETDTRDVKRQVERSEICTEEPQKQKSTLKNEKHLKKDDSETPHLKSLLKKEVKSSKEKPEREKTPSEDKLSVKHKYKGDCMHKTGDETELHSSEKGLKVEENIQKQSQQTKLSSDDKTERKSKHRNERKLSVLGKDGKPVSEYIIKTDENVRKENNKKERRLSAEKTKAEHKSRRSSDSKIQKDSLGSKQHGITLQRRSESYSEDKCDMDSTNMDSNLKPEEVVHKEKRRTKSLLEEKLVLKSKSKTQGKQVKVVETELQEGATKQATTPKPDKEKNTEENDSEKQRKSKVEDKPFEETGVEPVLETASSSAHSTQKDSSHRAKLPLAKEKYKSDKDSTSTRLERKLSDGHKSRSLKHSSKDIKKKDENKSDDKDGKEVDSSHEKARGNSSLMEKKLSRRLCENRRGSLSQEMAKGEEKLAANTLSTPSGSSLQRPKKSGDMTLIPEQEPMEIDSEPGVENVFEVSKTQDNRNNNSQQDIDSENMKQKTSATVQKDELRTCTADSKATAPAYKPGRGTGVNSNSEKHADHRSTLTKKMHIQSAVSKMNPGEKEPIHRGTTEVNIDSETVHRMLLSAPSENDRVQKNLKNTAAEEHVAQGDATLEHSTNLDSSPSLSSVTVVPLRESYDPDVIPLFDKRTVLEGSTASTSPADHSALPNQSLTVRESEVLKTSDSKEGGEGFTVDTPAKASITSKRHIPEAHQATLLDGKQGKVIMPLGSKLTGVIVENENITKEGGLVDMAKKENDLNAEPNLKQTIKATVENGKKDGIAVDHVVGLNTEKYAETVKLKHKRSPGKVKDISIDVERRNENSEVDTSAGSGSAPSVLHQRNGQTEDVATGPRRAEKTSVATSTEGKDKDVTLSPVKAGPATTTSSETRQSEVALPCTSIEADEGLIIGTHSRNNPLHVGAEASECTVFAAAEEGGAVVTEGFAESETFLTSTKEGESGECAVAESEDRAADLLAVHAVKIEANVNSVVTEEKDDAVTSAGSEEKCDGSLSRDSEIVEGTITFISEVESDGAVTSAGTEIRAGSISSEEVDGSQGNMMRMGPKKETEGTVTCTGAEGRSDNFVICSVTGAGPREERMVTGAGVVLGDNDAPPGTSASQEGDGSVNDGTEGESAVTSTGITEDGEGPASCTGSEDSSEGFAISSESEENGESAMDSTVAKEGTNVPLVAAGPCDDEGIVTSTGAKEEDEEGEDVVTSTGRGNEIGHASTCTGLGEESEGVLICESAEGDSQIGTVVEHVEAEAGAAIMNANENNVDSMSGTEKGSKDTDICSSAKGIVESSVTSAVSGKDEVTPVPGGCEGPMTSAASDQSDSQLEKVEDTTISTGLVGGSYDVLVSGEVPECEVAHTSPSEKEDEDIITSVENEECDGLMATTASGDITNQNSLAGGKNQGKVLIISTSTTNDYTPQVSAITDVEGGLSDALRTEENMEGTRVTTEEFEAPMPSAVSGDDSQLTASRSEEKDECAMISTSIGEEFELPISSATTIKCAESLQPVAAAVEERATGPVLISTADFEGPMPSAPPEAESPLASTSKEEKDECALISTSIAEECEASVSGVVVESENERAGTVMEEKDGSGIISTSSVEDCEGPVSSAVPQEEGDPSVTPAEEMGDTAMISTSTSEGCEAVMIGAVLQDEDRLTITRVEDLSDAAIISTSTAECMPISASIDRHEENQLTADNPEGNGDLSATEVSKHKVPMPSLIAENNCRCPGPVRGGKEPGPVLAVSTEEGHNGPSVHKPSAGQGHPSAVCAEKEEKHGKECPEIGPFAGRGQKESTLHLINAEEKNVLLNSLQKEDKSPETGTAGGSSTASYSAGRGLEGNANSPAHLRGPEQTSGQTAKDPSVSIRYLAAVNTGAIKADDMPPVQGTVAEHSFLPAEQQGSEDNLKTSTTKCITGQESKIAPSHTMIPPATYSVALLAPKCEQDLTIKNDYSGKWTDQASAEKTGDDNSTRKSFPEEGDIMVTVSSEENVCDIGNEESPLNVLGGLKLKANLKMEAYVPSEEEKNGEILAPPESLCGGKPSGIAELQREPLLVNESLNVENSGFRTNEEIHSESYNKGEISSGRKDNAEAISGHSVEADPKEVEEEERHMPKRKRKQHYLSSEDEPDDNPDVLDSRIETAQRQCPETEPHDTKEENSRDLEELPKTSSETNSTTSRVMEEKDEYSSSETTGEKPEQNDDDTIKSQEEDQPIIIKRKRGRPRKYPVETTLKMKDDSKTDTGIVTVEQSPSSSKLKVMQTDESNKETANLQERSISNDDGEEKIVTSVRRRGRKPKRSLTVSDDAESSEPERKRQKSVSDPVEDKKEQESDEEEEEEEEDEPSGATTRSTTRSEAQRSKTQLSPSIKRKREVSPPGARTRGQQRVEEAPVKKAKR
+>DECOY_sp|Q8NFC6|BD1L1_HUMAN Biorientation of chromosomes in cell division protein 1-like 1 OS=Homo sapiens OX=9606 GN=BOD1L1 PE=1 SV=2
+RKAKKVPAEEVRQQGRTRAGPPSVERKRKISPSLQTKSRQAESRTTSRTTAGSPEDEEEEEEEEDSEQEKKDEVPDSVSKQRKREPESSEADDSVTLSRKPKRGRRRVSTVIKEEGDDNSISREQLNATEKNSEDTQMVKLKSSSPSQEVTVIGTDTKSDDKMKLTTEVPYKRPRGRKRKIIIPQDEEQSKITDDDNQEPKEGTTESSSYEDKEEMVRSTTSNTESSTKPLEELDRSNEEKTDHPETEPCQRQATEIRSDLVDPNDDPEDESSLYHQKRKRKPMHREEEEVEKPDAEVSHGSIAEANDKRGSSIEGKNYSESHIEENTRFGSNEVNLSENVLLPERQLEAIGSPKGGCLSEPPALIEGNKEEESPVYAEMKLNAKLKLGGLVNLPSEENGIDCVNEESSVTVMIDGEEPFSKRTSNDDGTKEASAQDTWKGSYDNKITLDQECKPALLAVSYTAPPIMTHSPAIKSEQGTICKTTSTKLNDESGQQEAPLFSHEAVTGQVPPMDDAKIAGTNVAALYRISVSPDKATQGSTQEPGRLHAPSNANGELGRGASYSATSSGGATGTEPSKDEKQLSNLLVNKEEANILHLTSEKQGRGAFPGIEPCEKGHKEEKEACVASPHGQGASPKHVSPGNHGEETSVALVPGPEKGGRVPGPCRCNNEAILSPMPVKHKSVETASLDGNGEPNDATLQNEEHRDISASIPMCEATSTSIIAADSLDEVRTITLRDEDQLVAGIMVAECGESTSTSIMATDGMEEAPTVSPDGEEQPVASSVPGECDEVSSTSIIGSGDKEEMVTGARENESEVVVGSVSAECEEAISTSILACEDKEEKSTSALPSEAEPPASPMPGEFDATSILVPGTAREEVAAAVPQLSEACKITTASSIPLEFEEGISTSIMACEDKEESRSATLQSDDGSVASPMPAEFEETTVRTGEMNEETRLADSLGGEVDTIASVQPTYDNTTSTSIILVKGQNKGGALSNQNTIDGSATTAMLGDCEENEVSTIIDEDEKESPSTHAVECEPVEGSVLVDYSGGVLGTSITTDEVKELQSDSQDSAASTMPGECGGPVPTVEDKGSVASTVSSEVIGKASSCIDTDKSGKETGSMSDVNNENANMIAAGAEAEVHEVVTGIQSDGEASECILVGESEEGLGTCTSAHGIENGRGTSTVVDEGEEDEEKAGTSTVIGEDDCPGAAVLPVNTGEKAVTSDMASEGNEESESSIAFGESSDESGTCSAPGEGDETIGTSTVASEGETGDNVSGDGEQSASTGPPADNDGLVVGAGTVMREERPGAGTVSCIVFNDSRGEAGTCTVTGETEKKPGMRMMNGQSGDVEESSISGARIETGASTVAGDSEVESIFTITGEVIESDRSLSGDCKEESGASTVADDKEETVVSNVNAEIKVAHVALLDAARDESEAVACEGSEGEKTSTLFTESEAFGETVVAGGEEAAAFVTCESAEAGVHLPNNRSHTGIILGEDAEISTCPLAVESQRTESSTTTAPGAKVPSLTVDKDKGETSTAVSTKEARRPGTAVDETQGNRQHLVSPASGSGASTDVESNENRREVDISIDKVKGPSRKHKLKVTEAYKETNLGVVHDVAIGDKKGNEVTAKITQKLNPEANLDNEKKAMDVLGGEKTINENEVIVGTLKSGLPMIVKGQKGDLLTAQHAEPIHRKSTISAKAPTDVTFGEGGEKSDSTKLVESERVTLSQNPLASHDAPSTSATSGELVTRKDFLPIVDPDYSERLPVVTVSSLSPSSDLNTSHELTADGQAVHEEAATNKLNKQVRDNESPASLLMRHVTESDINVETTGRHIPEKEGPNMKSVASQIHMKKTLTSRHDAHKESNSNVGTGRGPKYAPATAKSDATCTRLEDKQVTASTKQKMNESDIDQQSNNNRNDQTKSVEFVNEVGPESDIEMPEQEPILTMDGSKKPRQLSSGSPTSLTNAALKEEGKAMEQSLSGRRNECLRRSLKKEMLSSNGRAKEHSSDVEKGDKDDSKNEDKKKIDKSSHKLSRSKHGDSLKRELRTSTSDKDSKYKEKALPLKARHSSDKQTSHASSSATELVPEVGTEEFPKDEVKSKRQKESDNEETNKEKDPKPTTAQKTAGEQLETEVVKVQKGQTKSKSKLVLKEELLSKTRRKEKHVVEEPKLNSDMNTSDMDCKDESYSESRRQLTIGHQKSGLSDKQIKSDSSRRSKHEAKTKEASLRREKKNNEKRVNEDTKIIYESVPKGDKGLVSLKRENRHKSKRETKDDSSLKTQQSQKQINEEVKLGKESSHLETEDGTKHMCDGKYKHKVSLKDESPTKEREPKEKSSKVEKKLLSKLHPTESDDKKLHKENKLTSKQKQPEETCIESREVQRKVDRTDTEEQVGEMIVPTSTRRKHERELKSENKNEDVVHLSESLRRAPKSPESKAHVHKLEKSSSIKEKECHESTKLTEKSDEEYQQKKKSNEEVNRSDKKRKKSLAVKKELVKREKLVEKIRAAKPELSKTSSEELDVSSRGQGKTKSSKTKEAKQKRKEELKERNIQRRLLREEKEKAISQRRQEVTLEDDSDSYYKSYLYPKHVYAHRVSKTKGESSDSTQTKTKNQKNKEEDDSTIEGEEMSDSTVVEEETDEEFSSLDSTHVSSVTIDTLGDVDSDILSFDEKTGEVTKAKNSNKESPLKLSEVEKEKAQKEDKVKQTDESKKSHDFKKETKEKKEKKREGKENSDPKKEGKDTSKNKQESSEQQVDKNLLILNNHEKTYNKIEEVPCPLDSFEESKPATELGEGGSDATSKEKDAMDESTREKDTSTDTTPQSPLKKSARESTKANSTETSARAASAEQNLSTITELISMADNAVNASPGPTPVGQTILSTDPKEDDPATNGSGEEKHNLTALFEHVAKEVQPRFTHNIKPDVVQSIIRDIGSELMGSKLVQQRINNRLQNKNLHPSWTHTALHNAVFNDVRQRLNQYAPKTDVDALCDRRFQDFLGQSKLHNVIMAVLQPDGAGAGAGGAGGAGPGAGPGPPPPPPQPQPQPPPPPPAPPPPQPQPNTAM
+>sp|Q02338|BDH_HUMAN D-beta-hydroxybutyrate dehydrogenase, mitochondrial OS=Homo sapiens OX=9606 GN=BDH1 PE=1 SV=3
+MLATRLSRPLSRLPGKTLSACDRENGARRPLLLGSTSFIPIGRRTYASAAEPVGSKAVLVTGCDSGFGFSLAKHLHSKGFLVFAGCLMKDKGHDGVKELDSLNSDRLRTVQLNVCSSEEVEKVVEIVRSSLKDPEKGMWGLVNNAGISTFGEVEFTSLETYKQVAEVNLWGTVRMTKSFLPLIRRAKGRVVNISSMLGRMANPARSPYCITKFGVEAFSDCLRYEMYPLGVKVSVVEPGNFIAATSLYSPESIQAIAKKMWEELPEVVRKDYGKKYFDEKIAKMETYCSSGSTDTSPVIDAVTHALTATTPYTRYHPMDYYWWLRMQIMTHLPGAISDMIYIR
+>DECOY_sp|Q02338|BDH_HUMAN D-beta-hydroxybutyrate dehydrogenase, mitochondrial OS=Homo sapiens OX=9606 GN=BDH1 PE=1 SV=3
+RIYIMDSIAGPLHTMIQMRLWWYYDMPHYRTYPTTATLAHTVADIVPSTDTSGSSCYTEMKAIKEDFYKKGYDKRVVEPLEEWMKKAIAQISEPSYLSTAAIFNGPEVVSVKVGLPYMEYRLCDSFAEVGFKTICYPSRAPNAMRGLMSSINVVRGKARRILPLFSKTMRVTGWLNVEAVQKYTELSTFEVEGFTSIGANNVLGWMGKEPDKLSSRVIEVVKEVEESSCVNLQVTRLRDSNLSDLEKVGDHGKDKMLCGAFVLFGKSHLHKALSFGFGSDCGTVLVAKSGVPEAASAYTRRGIPIFSTSGLLLPRRAGNERDCASLTKGPLRSLPRSLRTALM
+>sp|Q8NDZ0|BEND2_HUMAN BEN domain-containing protein 2 OS=Homo sapiens OX=9606 GN=BEND2 PE=2 SV=2
+MSERTQEQDFVIITVDDSDDNNDCSIEMVEVSETADNSTNDIADDSTYVTADNPTDDTATQPNFPGGNDGHHRPLQMSYGSGSVTQAGVQWHDHSSLQPQPLGLKQFFHLSLPSSWDDRRTPPCPVAHGDQIVSQINHPVHLRRYSYNSEEVDFPKRGRFYTPEVQSSISPPAERQETHAWASPAVTSLESAACHELQEADLSESLSYPRIVSSSSLQQYVAQGGSFPCFGMPWNFISGGAESTNAVISFANATTAVPMAVLSRRESSLANNPGVVNYSALPENENVGPGRALSSFCFHPNLEMPERPANSSKNSTETANYPTLMGNYNGQNTASLSVFIPPYFAEKIILTEMPGTTETNVENNSQTVYYPALSGNTSAPYPASSYLPITSNFESGPQMSYGTMSYSTEMKNNCDQDDASASACLTPDFALLPLNILVKVDTNTENSVNTMNRSTLLDSDSGQDSSSSSVCIPPKYGYLGDPKRNVRVLKIHLLAVQNMAKPKQAACYLVRILFSKEILISSSVDIHLKDSQSLDPNKMAALREYLATTFPTCDLHEHGKDWQDCISGINSMIYCLCSEGKSTPKTVRKNKKRTNRVASASADRNDQRGRDGGEGCSWMFQPMNNSKMREKRNLQPNSNAIPEGMREPSTDNPEEPGEAWSYFGRPWRNIRMPCSVLTLAKTKSCASLSARYLIQKLFTKDVLVQSNVYGNLKHGLCALDPNKISALREFLQENYPICDLSENGRDWKSCVTSINSGIRSLRHDVRRAEARSQSLPAVTPPELEQESKPGDPDATDPST
+>DECOY_sp|Q8NDZ0|BEND2_HUMAN BEN domain-containing protein 2 OS=Homo sapiens OX=9606 GN=BEND2 PE=2 SV=2
+TSPDTADPDGPKSEQELEPPTVAPLSQSRAEARRVDHRLSRIGSNISTVCSKWDRGNESLDCIPYNEQLFERLASIKNPDLACLGHKLNGYVNSQVLVDKTFLKQILYRASLSACSKTKALTLVSCPMRINRWPRGFYSWAEGPEEPNDTSPERMGEPIANSNPQLNRKERMKSNNMPQFMWSCGEGGDRGRQDNRDASASAVRNTRKKNKRVTKPTSKGESCLCYIMSNIGSICDQWDKGHEHLDCTPFTTALYERLAAMKNPDLSQSDKLHIDVSSSILIEKSFLIRVLYCAAQKPKAMNQVALLHIKLVRVNRKPDGLYGYKPPICVSSSSSDQGSDSDLLTSRNMTNVSNETNTDVKVLINLPLLAFDPTLCASASADDQDCNNKMETSYSMTGYSMQPGSEFNSTIPLYSSAPYPASTNGSLAPYYVTQSNNEVNTETTGPMETLIIKEAFYPPIFVSLSATNQGNYNGMLTPYNATETSNKSSNAPREPMELNPHFCFSSLARGPGVNENEPLASYNVVGPNNALSSERRSLVAMPVATTANAFSIVANTSEAGGSIFNWPMGFCPFSGGQAVYQQLSSSSVIRPYSLSESLDAEQLEHCAASELSTVAPSAWAHTEQREAPPSISSQVEPTYFRGRKPFDVEESNYSYRRLHVPHNIQSVIQDGHAVPCPPTRRDDWSSPLSLHFFQKLGLPQPQLSSHDHWQVGAQTVSGSGYSMQLPRHHGDNGGPFNPQTATDDTPNDATVYTSDDAIDNTSNDATESVEVMEISCDNNDDSDDVTIIVFDQEQTRESM
+>sp|Q5T5X7|BEND3_HUMAN BEN domain-containing protein 3 OS=Homo sapiens OX=9606 GN=BEND3 PE=1 SV=1
+MNSTEFTEDVEEVLKSITVKVETEAEDAALDCSVNSRTSEKHSVDSVLTALQDSSKRKQLVSDGLLDSVPGVKRRRLIPEALLAGMRNRENSSPCQGNGEQAGRGRSLGNVWPGEEEPCNDATTPSYKKPLYGISHKIMEKKNPPSGDLLNVYELFEKANASNSPSSLRLLNEPQKRDCGSTGAGTDNDPNIYFLIQKMFYMLNTLTSNMSQLHSKVDLLSLEVSRIKKQVSPTEMVAKFQPPPEYQLTAAELKQIVDQSLSGGDLACRLLVQLFPELFSDVDFSRGCSACGFAAKRKLESLHLQLIRNYVEVYYPSVKDTAVWQAECLPQLNDFFSRFWAQREMEDSQPSGQVASFFEAEQVDPGHFLDNKDQEEALSLDRSSTIASDHVVDTQDLTEFLDEASSPGEFAVFLLHRLFPELFDHRKLGEQYSCYGDGGKQELDPQRLQIIRNYTEIYFPDMQEEEAWLQQCAQRINDELEGLGLDAGSEGDPPRDDCYDSSSLPDDISVVKVEDSFEGERPGRRSKKIWLVPIDFDKLEIPQPDFEVPGADCLLSKEQLRSIYESSLSIGNFASRLLVHLFPELFTHENLRKQYNCSGSLGKKQLDPSRIKLIRHYVQLLYPRAKNDRVWTLEFVGKLDERCRRRDTEQRRSYQQQRKVHVPGPECRDLTSYAINPERFREEFEGPPLPPERSSKDFCKIPLDELVVPSPDFPVPSPYLLSDKEVREIVQQSLSVGNFAARLLVRLFPELFTAENLRLQYNHSGACNKKQLDPTRLRLIRHYVEAVYPVEKMEEVWHYECIPSIDERCRRPNRKKCDILKKAKKVEK
+>DECOY_sp|Q5T5X7|BEND3_HUMAN BEN domain-containing protein 3 OS=Homo sapiens OX=9606 GN=BEND3 PE=1 SV=1
+KEVKKAKKLIDCKKRNPRRCREDISPICEYHWVEEMKEVPYVAEVYHRILRLRTPDLQKKNCAGSHNYQLRLNEATFLEPFLRVLLRAAFNGVSLSQQVIERVEKDSLLYPSPVPFDPSPVVLEDLPIKCFDKSSREPPLPPGEFEERFREPNIAYSTLDRCEPGPVHVKRQQQYSRRQETDRRRCREDLKGVFELTWVRDNKARPYLLQVYHRILKIRSPDLQKKGLSGSCNYQKRLNEHTFLEPFLHVLLRSAFNGISLSSEYISRLQEKSLLCDAGPVEFDPQPIELKDFDIPVLWIKKSRRGPREGEFSDEVKVVSIDDPLSSSDYCDDRPPDGESGADLGLGELEDNIRQACQQLWAEEEQMDPFYIETYNRIIQLRQPDLEQKGGDGYCSYQEGLKRHDFLEPFLRHLLFVAFEGPSSAEDLFETLDQTDVVHDSAITSSRDLSLAEEQDKNDLFHGPDVQEAEFFSAVQGSPQSDEMERQAWFRSFFDNLQPLCEAQWVATDKVSPYYVEVYNRILQLHLSELKRKAAFGCASCGRSFDVDSFLEPFLQVLLRCALDGGSLSQDVIQKLEAATLQYEPPPQFKAVMETPSVQKKIRSVELSLLDVKSHLQSMNSTLTNLMYFMKQILFYINPDNDTGAGTSGCDRKQPENLLRLSSPSNSANAKEFLEYVNLLDGSPPNKKEMIKHSIGYLPKKYSPTTADNCPEEEGPWVNGLSRGRGAQEGNGQCPSSNERNRMGALLAEPILRRRKVGPVSDLLGDSVLQKRKSSDQLATLVSDVSHKESTRSNVSCDLAADEAETEVKVTISKLVEEVDETFETSNM
+>sp|Q5SZJ8|BEND6_HUMAN BEN domain-containing protein 6 OS=Homo sapiens OX=9606 GN=BEND6 PE=1 SV=2
+MQKIVQTDEITNTQAFRKGKRKRTETMDSENANSDMDKGQRDPYSGNAFLPGESSSEDEEPLAELSKEELCAKIKSLKEKLTNTRKENSRLRQSLVMLQVLPQAVTQFEELVGMAEALLKGGGTMSTSASTLWRATNNSSPDSFASTCSNSNSNSSSPVSLKPEEEHQTDEKQFQIEKWQIARCNKSKPQKFINDLMQVLYTNEYMATHSLTGAKSSTSRDKAVKPAMNQNEVQEIIGVTKQLFPNTDDVSIRRMIGQKLNNCTKKPNLSKNLNSQDIK
+>DECOY_sp|Q5SZJ8|BEND6_HUMAN BEN domain-containing protein 6 OS=Homo sapiens OX=9606 GN=BEND6 PE=1 SV=2
+KIDQSNLNKSLNPKKTCNNLKQGIMRRISVDDTNPFLQKTVGIIEQVENQNMAPKVAKDRSTSSKAGTLSHTAMYENTYLVQMLDNIFKQPKSKNCRAIQWKEIQFQKEDTQHEEEPKLSVPSSSNSNSNSCTSAFSDPSSNNTARWLTSASTSMTGGGKLLAEAMGVLEEFQTVAQPLVQLMVLSQRLRSNEKRTNTLKEKLSKIKACLEEKSLEALPEEDESSSEGPLFANGSYPDRQGKDMDSNANESDMTETRKRKGKRFAQTNTIEDTQVIKQM
+>sp|P16278|BGAL_HUMAN Beta-galactosidase OS=Homo sapiens OX=9606 GN=GLB1 PE=1 SV=2
+MPGFLVRILPLLLVLLLLGPTRGLRNATQRMFEIDYSRDSFLKDGQPFRYISGSIHYSRVPRFYWKDRLLKMKMAGLNAIQTYVPWNFHEPWPGQYQFSEDHDVEYFLRLAHELGLLVILRPGPYICAEWEMGGLPAWLLEKESILLRSSDPDYLAAVDKWLGVLLPKMKPLLYQNGGPVITVQVENEYGSYFACDFDYLRFLQKRFRHHLGDDVVLFTTDGAHKTFLKCGALQGLYTTVDFGTGSNITDAFLSQRKCEPKGPLINSEFYTGWLDHWGQPHSTIKTEAVASSLYDILARGASVNLYMFIGGTNFAYWNGANSPYAAQPTSYDYDAPLSEAGDLTEKYFALRNIIQKFEKVPEGPIPPSTPKFAYGKVTLEKLKTVGAALDILCPSGPIKSLYPLTFIQVKQHYGFVLYRTTLPQDCSNPAPLSSPLNGVHDRAYVAVDGIPQGVLERNNVITLNITGKAGATLDLLVENMGRVNYGAYINDFKGLVSNLTLSSNILTDWTIFPLDTEDAVRSHLGGWGHRDSGHHDEAWAHNSSNYTLPAFYMGNFSIPSGIPDLPQDTFIQFPGWTKGQVWINGFNLGRYWPARGPQLTLFVPQHILMTSAPNTITVLELEWAPCSSDDPELCAVTFVDRPVIGSSVTYDHPSKPVEKRLMPPPPQKNKDSWLDHV
+>DECOY_sp|P16278|BGAL_HUMAN Beta-galactosidase OS=Homo sapiens OX=9606 GN=GLB1 PE=1 SV=2
+VHDLWSDKNKQPPPPMLRKEVPKSPHDYTVSSGIVPRDVFTVACLEPDDSSCPAWELELVTITNPASTMLIHQPVFLTLQPGRAPWYRGLNFGNIWVQGKTWGPFQIFTDQPLDPIGSPISFNGMYFAPLTYNSSNHAWAEDHHGSDRHGWGGLHSRVADETDLPFITWDTLINSSLTLNSVLGKFDNIYAGYNVRGMNEVLLDLTAGAKGTINLTIVNNRELVGQPIGDVAVYARDHVGNLPSSLPAPNSCDQPLTTRYLVFGYHQKVQIFTLPYLSKIPGSPCLIDLAAGVTKLKELTVKGYAFKPTSPPIPGEPVKEFKQIINRLAFYKETLDGAESLPADYDYSTPQAAYPSNAGNWYAFNTGGIFMYLNVSAGRALIDYLSSAVAETKITSHPQGWHDLWGTYFESNILPGKPECKRQSLFADTINSGTGFDVTTYLGQLAGCKLFTKHAGDTTFLVVDDGLHHRFRKQLFRLYDFDCAFYSGYENEVQVTIVPGGNQYLLPKMKPLLVGLWKDVAALYDPDSSRLLISEKELLWAPLGGMEWEACIYPGPRLIVLLGLEHALRLFYEVDHDESFQYQGPWPEHFNWPVYTQIANLGAMKMKLLRDKWYFRPVRSYHISGSIYRFPQGDKLFSDRSYDIEFMRQTANRLGRTPGLLLLVLLLPLIRVLFGPM
+>sp|Q7RTU4|BHA09_HUMAN Class A basic helix-loop-helix protein 9 OS=Homo sapiens OX=9606 GN=BHLHA9 PE=1 SV=2
+MLRGAPGLGLTARKGAEDSAEDLGGPCPEPGGDSGVLGANGASCSRGEAEEPAGRRRARPVRSKARRMAANVRERKRILDYNEAFNALRRALRHDLGGKRLSKIATLRRAIHRIAALSLVLRASPAPRGPCGHLECHGPAARGDTGDTGASPPPPAGPSLARPDAARPSVPSAPRCASCPPHAPLARPSAVAEGPGLAQASGGSWRRCPGASSAGPPPWPRGYLRSAPGMGHPRS
+>DECOY_sp|Q7RTU4|BHA09_HUMAN Class A basic helix-loop-helix protein 9 OS=Homo sapiens OX=9606 GN=BHLHA9 PE=1 SV=2
+SRPHGMGPASRLYGRPWPPPGASSAGPCRRWSGGSAQALGPGEAVASPRALPAHPPCSACRPASPVSPRAADPRALSPGAPPPPSAGTDGTDGRAAPGHCELHGCPGRPAPSARLVLSLAAIRHIARRLTAIKSLRKGGLDHRLARRLANFAENYDLIRKRERVNAAMRRAKSRVPRARRRGAPEEAEGRSCSAGNAGLVGSDGGPEPCPGGLDEASDEAGKRATLGLGPAGRLM
+>sp|Q6UXY1|BI2L2_HUMAN Brain-specific angiogenesis inhibitor 1-associated protein 2-like protein 2 OS=Homo sapiens OX=9606 GN=BAIAP2L2 PE=1 SV=1
+MAPEMDQFYRSTMAIYKSIMEQFNPALENLVYLGNNYLRAFHALSEAAEVYFSAIQKIGERALQSPTSQILGEILVQMSDTQRHLNSDLEVVVQTFHGGLLQHMEKNTKLDMQFIKDSRQHYELEYRHRAANLEKCMSELWRMERKRDKNVREMKESVNRLHAQMQAFVSESQRAAELEEKRRYRFLAEKHLLLSNTFLQFFGRARGMLQNRVLLWKEQSEASRSPSRAHSPGLLGPALGPPYPSGRLTPTCLDMPPRPLGEFSSPRSRHGSGSYGTEPDARPASQLEPDRRSLPRTPSASSLYSGSAQSSRSNSFGERPGGGGGARRVRALVSHSEGANHTLLRFSAGDVVEVLVPEAQNGWLYGKLEGSSASGWFPEAYVKALEEGPVNPMTPVTPMTSMTSMSPMTPMNPGNELPSRSYPLRGSHSLDDLLDRPGNSIAPSEYWDGQSRSRTPSRVPSRAPSPAPPPLPSSRRSSMGSTAVATDVKKLMSSEQYPPQELFPRGTNPFATVKLRPTITNDRSAPLIR
+>DECOY_sp|Q6UXY1|BI2L2_HUMAN Brain-specific angiogenesis inhibitor 1-associated protein 2-like protein 2 OS=Homo sapiens OX=9606 GN=BAIAP2L2 PE=1 SV=1
+RILPASRDNTITPRLKVTAFPNTGRPFLEQPPYQESSMLKKVDTAVATSGMSSRRSSPLPPPAPSPARSPVRSPTRSRSQGDWYESPAISNGPRDLLDDLSHSGRLPYSRSPLENGPNMPTMPSMSTMSTMPTVPTMPNVPGEELAKVYAEPFWGSASSGELKGYLWGNQAEPVLVEVVDGASFRLLTHNAGESHSVLARVRRAGGGGGPREGFSNSRSSQASGSYLSSASPTRPLSRRDPELQSAPRADPETGYSGSGHRSRPSSFEGLPRPPMDLCTPTLRGSPYPPGLAPGLLGPSHARSPSRSAESQEKWLLVRNQLMGRARGFFQLFTNSLLLHKEALFRYRRKEELEAARQSESVFAQMQAHLRNVSEKMERVNKDRKREMRWLESMCKELNAARHRYELEYHQRSDKIFQMDLKTNKEMHQLLGGHFTQVVVELDSNLHRQTDSMQVLIEGLIQSTPSQLAREGIKQIASFYVEAAESLAHFARLYNNGLYVLNELAPNFQEMISKYIAMTSRYFQDMEPAM
+>sp|Q6QNY0|BL1S3_HUMAN Biogenesis of lysosome-related organelles complex 1 subunit 3 OS=Homo sapiens OX=9606 GN=BLOC1S3 PE=1 SV=1
+MASQGRRRRPLRRPETVVPGEATETDSERSASSSEEEELYLGPSGPTRGRPTGLRVAGEAAETDSEPEPEPEPTAAPRDLPPLVVQRESAEEAWGTEEAPAPAPARSLLQLRLAESQARLDHDVAAAVSGVYRRAGRDVAALASRLAAAQAAGLAAAHSVRLARGDLCALAERLDIVAGCRLLPDIRGVPGTEPEKDPGPRA
+>DECOY_sp|Q6QNY0|BL1S3_HUMAN Biogenesis of lysosome-related organelles complex 1 subunit 3 OS=Homo sapiens OX=9606 GN=BLOC1S3 PE=1 SV=1
+ARPGPDKEPETGPVGRIDPLLRCGAVIDLREALACLDGRALRVSHAAALGAAQAAALRSALAAVDRGARRYVGSVAAAVDHDLRAQSEALRLQLLSRAPAPAPAEETGWAEEASERQVVLPPLDRPAATPEPEPEPESDTEAAEGAVRLGTPRGRTPGSPGLYLEEEESSSASRESDTETAEGPVVTEPRRLPRRRRGQSAM
+>sp|A8MY62|BLML_HUMAN Putative beta-lactamase-like 1 OS=Homo sapiens OX=9606 GN=LACTBL1 PE=2 SV=2
+MCPRHPEPVPLAHPLPVLKEALEKVDQILRQAMSAPGVAAMSAVVIHNDTVLWTGNFGKKNGSDPASGAPNEYTMYRISSISKIFPVLMLYRLWEEGIVASLDDPLERYASTFTINNPLGLASAEQQGLILRRMASQLSGLPRRLRSTSLLWKGSTQEALNLLKDDVLVVDPGTRCHYSTLAFSLLAHVLAAHTAQGDYQRWVSENVLEPLGMADTGFDLTPDVRARLAAGFYGSGRPAPLYDLGWYRPSGQMYSTAADLAKLAVALLGGGPRRLLRPDAAKTLLAPLLACPGAYFANETGTPWEFHAQRGYRVVRKDGDLDGYAATFSLVPPLRLGLVLLLAGPRPPGPDLVARAYDELLPALERALREAEPGPAPPPTAHPFAGYFTFANLTFYEVRAGPAGELRLRQFGPRVEALVPPAFRTLALRHLHGRVFQLHVAHEFPCALPLGDAWLSLEAQHGQLVNFYPLDHHGLSPGFDVPGLNTYRVLRLRGKPVFKT
+>DECOY_sp|A8MY62|BLML_HUMAN Putative beta-lactamase-like 1 OS=Homo sapiens OX=9606 GN=LACTBL1 PE=2 SV=2
+TKFVPKGRLRLVRYTNLGPVDFGPSLGHHDLPYFNVLQGHQAELSLWADGLPLACPFEHAVHLQFVRGHLHRLALTRFAPPVLAEVRPGFQRLRLEGAPGARVEYFTLNAFTFYGAFPHATPPPAPGPEAERLARELAPLLEDYARAVLDPGPPRPGALLLVLGLRLPPVLSFTAAYGDLDGDKRVVRYGRQAHFEWPTGTENAFYAGPCALLPALLTKAADPRLLRRPGGGLLAVALKALDAATSYMQGSPRYWGLDYLPAPRGSGYFGAALRARVDPTLDFGTDAMGLPELVNESVWRQYDGQATHAALVHALLSFALTSYHCRTGPDVVLVDDKLLNLAEQTSGKWLLSTSRLRRPLGSLQSAMRRLILGQQEASALGLPNNITFTSAYRELPDDLSAVIGEEWLRYLMLVPFIKSISSIRYMTYENPAGSAPDSGNKKGFNGTWLVTDNHIVVASMAAVGPASMAQRLIQDVKELAEKLVPLPHALPVPEPHRPCM
+>sp|Q8WYA1|BMAL2_HUMAN Aryl hydrocarbon receptor nuclear translocator-like protein 2 OS=Homo sapiens OX=9606 GN=ARNTL2 PE=1 SV=2
+MAAEEEAAAGGKVLREENQCIAPVVSSRVSPGTRPTAMGSFSSHMTEFPRKRKGSDSDPSQSGIMTEKVVEKLSQNPLTYLLSTRIEISASSGSRVEDGEHQVKMKAFREAHSQTEKRRRDKMNNLIEELSAMIPQCNPMARKLDKLTVLRMAVQHLRSLKGLTNSYVGSNYRPSFLQDNELRHLILKTAEGFLFVVGCERGKILFVSKSVSKILNYDQASLTGQSLFDFLHPKDVAKVKEQLSSFDISPREKLIDAKTGLQVHSNLHAGRTRVYSGSRRSFFCRIKSCKISVKEEHGCLPNSKKKEHRKFYTIHCTGYLRSWPPNIVGMEEERNSKKDNSNFTCLVAIGRLQPYIVPQNSGEINVKPTEFITRFAVNGKFVYVDQRATAILGYLPQELLGTSCYEYFHQDDHNNLTDKHKAVLQSKEKILTDSYKFRAKDGSFVTLKSQWFSFTNPWTKELEYIVSVNTLVLGHSEPGEASFLPCSSQSSEESSRQSCMSVPGMSTGTVLGAGSIGTDIANEILDLQRLQSSSYLDDSSPTGLMKDTHTVNCRSMSNKELFPPSPSEMGELEATRQNQSTVAVHSHEPLLSDGAQLDFDALCDNDDTAMAAFMNYLEAEGGLGDPGDFSDIQWTL
+>DECOY_sp|Q8WYA1|BMAL2_HUMAN Aryl hydrocarbon receptor nuclear translocator-like protein 2 OS=Homo sapiens OX=9606 GN=ARNTL2 PE=1 SV=2
+LTWQIDSFDGPDGLGGEAELYNMFAAMATDDNDCLADFDLQAGDSLLPEHSHVAVTSQNQRTAELEGMESPSPPFLEKNSMSRCNVTHTDKMLGTPSSDDLYSSSQLRQLDLIENAIDTGISGAGLVTGTSMGPVSMCSQRSSEESSQSSCPLFSAEGPESHGLVLTNVSVIYELEKTWPNTFSFWQSKLTVFSGDKARFKYSDTLIKEKSQLVAKHKDTLNNHDDQHFYEYCSTGLLEQPLYGLIATARQDVYVFKGNVAFRTIFETPKVNIEGSNQPVIYPQLRGIAVLCTFNSNDKKSNREEEMGVINPPWSRLYGTCHITYFKRHEKKKSNPLCGHEEKVSIKCSKIRCFFSRRSGSYVRTRGAHLNSHVQLGTKADILKERPSIDFSSLQEKVKAVDKPHLFDFLSQGTLSAQDYNLIKSVSKSVFLIKGRECGVVFLFGEATKLILHRLENDQLFSPRYNSGVYSNTLGKLSRLHQVAMRLVTLKDLKRAMPNCQPIMASLEEILNNMKDRRRKETQSHAERFAKMKVQHEGDEVRSGSSASIEIRTSLLYTLPNQSLKEVVKETMIGSQSPDSDSGKRKRPFETMHSSFSGMATPRTGPSVRSSVVPAICQNEERLVKGGAAAEEEAAM
+>sp|P34820|BMP8B_HUMAN Bone morphogenetic protein 8B OS=Homo sapiens OX=9606 GN=BMP8B PE=2 SV=2
+MTALPGPLWLLGLALCALGGGGPGLRPPPGCPQRRLGARERRDVQREILAVLGLPGRPRPRAPPAASRLPASAPLFMLDLYHAMAGDDDEDGAPAERRLGRADLVMSFVNMVERDRALGHQEPHWKEFRFDLTQIPAGEAVTAAEFRIYKVPSIHLLNRTLHVSMFQVVQEQSNRESDLFFLDLQTLRAGDEGWLVLDVTAASDCWLLKRHKDLGLRLYVETEDGHSVDPGLAGLLGQRAPRSQQPFVVTFFRASPSPIRTPRAVRPLRRRQPKKSNELPQANRLPGIFDDVHGSHGRQVCRRHELYVSFQDLGWLDWVIAPQGYSAYYCEGECSFPLDSCMNATNHAILQSLVHLMMPDAVPKACCAPTKLSATSVLYYDSSNNVILRKHRNMVVKACGCH
+>DECOY_sp|P34820|BMP8B_HUMAN Bone morphogenetic protein 8B OS=Homo sapiens OX=9606 GN=BMP8B PE=2 SV=2
+HCGCAKVVMNRHKRLIVNNSSDYYLVSTASLKTPACCAKPVADPMMLHVLSQLIAHNTANMCSDLPFSCEGECYYASYGQPAIVWDLWGLDQFSVYLEHRRCVQRGHSGHVDDFIGPLRNAQPLENSKKPQRRRLPRVARPTRIPSPSARFFTVVFPQQSRPARQGLLGALGPDVSHGDETEVYLRLGLDKHRKLLWCDSAATVDLVLWGEDGARLTQLDLFFLDSERNSQEQVVQFMSVHLTRNLLHISPVKYIRFEAATVAEGAPIQTLDFRFEKWHPEQHGLARDREVMNVFSMVLDARGLRREAPAGDEDDDGAMAHYLDLMFLPASAPLRSAAPPARPRPRGPLGLVALIERQVDRRERAGLRRQPCGPPPRLGPGGGGLACLALGLLWLPGPLATM
+>sp|P31946|1433B_HUMAN 14-3-3 protein beta/alpha OS=Homo sapiens OX=9606 GN=YWHAB PE=1 SV=3
+MTMDKSELVQKAKLAEQAERYDDMAAAMKAVTEQGHELSNEERNLLSVAYKNVVGARRSSWRVISSIEQKTERNEKKQQMGKEYREKIEAELQDICNDVLELLDKYLIPNATQPESKVFYLKMKGDYFRYLSEVASGDNKQTTVSNSQQAYQEAFEISKKEMQPTHPIRLGLALNFSVFYYEILNSPEKACSLAKTAFDEAIAELDTLNEESYKDSTLIMQLLRDNLTLWTSENQGDEGDAGEGEN
+>DECOY_sp|P31946|1433B_HUMAN 14-3-3 protein beta/alpha OS=Homo sapiens OX=9606 GN=YWHAB PE=1 SV=3
+NEGEGADGEDGQNESTWLTLNDRLLQMILTSDKYSEENLTDLEAIAEDFATKALSCAKEPSNLIEYYFVSFNLALGLRIPHTPQMEKKSIEFAEQYAQQSNSVTTQKNDGSAVESLYRFYDGKMKLYFVKSEPQTANPILYKDLLELVDNCIDQLEAEIKERYEKGMQQKKENRETKQEISSIVRWSSRRAGVVNKYAVSLLNREENSLEHGQETVAKMAAAMDDYREAQEALKAKQVLESKDMTM
+>sp|Q15173|2A5B_HUMAN Serine/threonine-protein phosphatase 2A 56 kDa regulatory subunit beta isoform OS=Homo sapiens OX=9606 GN=PPP2R5B PE=1 SV=1
+METKLPPASTPTSPSSPGLSPVPPPDKVDGFSRRSLRRARPRRSHSSSQFRYQSNQQELTPLPLLKDVPASELHELLSRKLAQCGVMFDFLDCVADLKGKEVKRAALNELVECVGSTRGVLIEPVYPDIIRMISVNIFRTLPPSENPEFDPEEDEPNLEPSWPHLQLVYEFFLRFLESPDFQPSVAKRYVDQKFVLMLLELFDSEDPREREYLKTILHRVYGKFLGLRAYIRKQCNHIFLRFIYEFEHFNGVAELLEILGSIINGFALPLKTEHKQFLVRVLIPLHSVKSLSVFHAQLAYCVVQFLEKDATLTEHVIRGLLKYWPKTCTQKEVMFLGEMEEILDVIEPSQFVKIQEPLFKQVARCVSSPHFQVAERALYFWNNEYILSLIEDNCHTVLPAVFGTLYQVSKEHWNQTIVSLIYNVLKTFMEMNGKLFDELTASYKLEKQQEQQKAQERQELWQGLEELRLRRLQGTQGAKEAPLQRLTPQVAASGGQS
+>DECOY_sp|Q15173|2A5B_HUMAN Serine/threonine-protein phosphatase 2A 56 kDa regulatory subunit beta isoform OS=Homo sapiens OX=9606 GN=PPP2R5B PE=1 SV=1
+SQGGSAAVQPTLRQLPAEKAGQTGQLRRLRLEELGQWLEQREQAKQQEQQKELKYSATLEDFLKGNMEMFTKLVNYILSVITQNWHEKSVQYLTGFVAPLVTHCNDEILSLIYENNWFYLAREAVQFHPSSVCRAVQKFLPEQIKVFQSPEIVDLIEEMEGLFMVEKQTCTKPWYKLLGRIVHETLTADKELFQVVCYALQAHFVSLSKVSHLPILVRVLFQKHETKLPLAFGNIISGLIELLEAVGNFHEFEYIFRLFIHNCQKRIYARLGLFKGYVRHLITKLYERERPDESDFLELLMLVFKQDVYRKAVSPQFDPSELFRLFFEYVLQLHPWSPELNPEDEEPDFEPNESPPLTRFINVSIMRIIDPYVPEILVGRTSGVCEVLENLAARKVEKGKLDAVCDLFDFMVGCQALKRSLLEHLESAPVDKLLPLPTLEQQNSQYRFQSSSHSRRPRARRLSRRSFGDVKDPPPVPSLGPSSPSTPTSAPPLKTEM
+>sp|P30154|2AAB_HUMAN Serine/threonine-protein phosphatase 2A 65 kDa regulatory subunit A beta isoform OS=Homo sapiens OX=9606 GN=PPP2R1B PE=1 SV=3
+MAGASELGTGPGAAGGDGDDSLYPIAVLIDELRNEDVQLRLNSIKKLSTIALALGVERTRSELLPFLTDTIYDEDEVLLALAEQLGNFTGLVGGPDFAHCLLPPLENLATVEETVVRDKAVESLRQISQEHTPVALEAYFVPLVKRLASGDWFTSRTSACGLFSVCYPRASNAVKAEIRQQFRSLCSDDTPMVRRAAASKLGEFAKVLELDSVKSEIVPLFTSLASDEQDSVRLLAVEACVSIAQLLSQDDLETLVMPTLRQAAEDKSWRVRYMVADRFSELQKAMGPKITLNDLIPAFQNLLKDCEAEVRAAAAHKVKELGENLPIEDRETIIMNQILPYIKELVSDTNQHVKSALASVIMGLSTILGKENTIEHLLPLFLAQLKDECPDVRLNIISNLDCVNEVIGIRQLSQSLLPAIVELAEDAKWRVRLAIIEYMPLLAGQLGVEFFDEKLNSLCMAWLVDHVYAIREAATNNLMKLVQKFGTEWAQNTIVPKVLVMANDPNYLHRMTTLFCINALSEACGQEITTKQMLPIVLKMAGDQVANVRFNVAKSLQKIGPILDTNALQGEVKPVLQKLGQDEDMDVKYFAQEAISVLALA
+>DECOY_sp|P30154|2AAB_HUMAN Serine/threonine-protein phosphatase 2A 65 kDa regulatory subunit A beta isoform OS=Homo sapiens OX=9606 GN=PPP2R1B PE=1 SV=3
+ALALVSIAEQAFYKVDMDEDQGLKQLVPKVEGQLANTDLIPGIKQLSKAVNFRVNAVQDGAMKLVIPLMQKTTIEQGCAESLANICFLTTMRHLYNPDNAMVLVKPVITNQAWETGFKQVLKMLNNTAAERIAYVHDVLWAMCLSNLKEDFFEVGLQGALLPMYEIIALRVRWKADEALEVIAPLLSQSLQRIGIVENVCDLNSIINLRVDPCEDKLQALFLPLLHEITNEKGLITSLGMIVSALASKVHQNTDSVLEKIYPLIQNMIITERDEIPLNEGLEKVKHAAAARVEAECDKLLNQFAPILDNLTIKPGMAKQLESFRDAVMYRVRWSKDEAAQRLTPMVLTELDDQSLLQAISVCAEVALLRVSDQEDSALSTFLPVIESKVSDLELVKAFEGLKSAAARRVMPTDDSCLSRFQQRIEAKVANSARPYCVSFLGCASTRSTFWDGSALRKVLPVFYAELAVPTHEQSIQRLSEVAKDRVVTEEVTALNELPPLLCHAFDPGGVLGTFNGLQEALALLVEDEDYITDTLFPLLESRTREVGLALAITSLKKISNLRLQVDENRLEDILVAIPYLSDDGDGGAAGPGTGLESAGAM
+>sp|Q66LE6|2ABD_HUMAN Serine/threonine-protein phosphatase 2A 55 kDa regulatory subunit B delta isoform OS=Homo sapiens OX=9606 GN=PPP2R2D PE=1 SV=1
+MAGAGGGGCPAGGNDFQWCFSQVKGAIDEDVAEADIISTVEFNYSGDLLATGDKGGRVVIFQREQENKSRPHSRGEYNVYSTFQSHEPEFDYLKSLEIEEKINKIRWLPQQNAAHFLLSTNDKTIKLWKISERDKRAEGYNLKDEDGRLRDPFRITALRVPILKPMDLMVEASPRRIFANAHTYHINSISVNSDHETYLSADDLRINLWHLEITDRSFNIVDIKPANMEELTEVITAAEFHPHQCNVFVYSSSKGTIRLCDMRSSALCDRHSKFFEEPEDPSSRSFFSEIISSISDVKFSHSGRYMMTRDYLSVKVWDLNMESRPVETHQVHEYLRSKLCSLYENDCIFDKFECCWNGSDSAIMTGSYNNFFRMFDRDTRRDVTLEASRESSKPRASLKPRKVCTGGKRRKDEISVDSLDFNKKILHTAWHPVDNVIAVAATNNLYIFQDKIN
+>DECOY_sp|Q66LE6|2ABD_HUMAN Serine/threonine-protein phosphatase 2A 55 kDa regulatory subunit B delta isoform OS=Homo sapiens OX=9606 GN=PPP2R2D PE=1 SV=1
+NIKDQFIYLNNTAAVAIVNDVPHWATHLIKKNFDLSDVSIEDKRRKGGTCVKRPKLSARPKSSERSAELTVDRRTDRDFMRFFNNYSGTMIASDSGNWCCEFKDFICDNEYLSCLKSRLYEHVQHTEVPRSEMNLDWVKVSLYDRTMMYRGSHSFKVDSISSIIESFFSRSSPDEPEEFFKSHRDCLASSRMDCLRITGKSSSYVFVNCQHPHFEAATIVETLEEMNAPKIDVINFSRDTIELHWLNIRLDDASLYTEHDSNVSISNIHYTHANAFIRRPSAEVMLDMPKLIPVRLATIRFPDRLRGDEDKLNYGEARKDRESIKWLKITKDNTSLLFHAANQQPLWRIKNIKEEIELSKLYDFEPEHSQFTSYVNYEGRSHPRSKNEQERQFIVVRGGKDGTALLDGSYNFEVTSIIDAEAVDEDIAGKVQSFCWQFDNGGAPCGGGGAGAM
+>sp|P13761|2B17_HUMAN HLA class II histocompatibility antigen, DRB1-7 beta chain OS=Homo sapiens OX=9606 GN=HLA-DRB1 PE=1 SV=1
+MVCLKLPGGSCMAALTVTLMVLSSPLALAGDTQPRFLWQGKYKCHFFNGTERVQFLERLFYNQEEFVRFDSDVGEYRAVTELGRPVAESWNSQKDILEDRRGQVDTVCRHNYGVGESFTVQRRVHPEVTVYPAKTQPLQHHNLLVCSVSGFYPGSIEVRWFRNGQEEKAGVVSTGLIQNGDWTFQTLVMLETVPRSGEVYTCQVEHPSVMSPLTVEWRARSESAQSKMLSGVGGFVLGLLFLGAGLFIYFRNQKGHSGLQPTGFLS
+>DECOY_sp|P13761|2B17_HUMAN HLA class II histocompatibility antigen, DRB1-7 beta chain OS=Homo sapiens OX=9606 GN=HLA-DRB1 PE=1 SV=1
+SLFGTPQLGSHGKQNRFYIFLGAGLFLLGLVFGGVGSLMKSQASESRARWEVTLPSMVSPHEVQCTYVEGSRPVTELMVLTQFTWDGNQILGTSVVGAKEEQGNRFWRVEISGPYFGSVSCVLLNHHQLPQTKAPYVTVEPHVRRQVTFSEGVGYNHRCVTDVQGRRDELIDKQSNWSEAVPRGLETVARYEGVDSDFRVFEEQNYFLRELFQVRETGNFFHCKYKGQWLFRPQTDGALALPSSLVMLTVTLAAMCSGGPLKLCVM
+>sp|Q30167|2B1A_HUMAN HLA class II histocompatibility antigen, DRB1-10 beta chain OS=Homo sapiens OX=9606 GN=HLA-DRB1 PE=1 SV=2
+MVCLRLPGGSCMAVLTVTLMVLSSPLALAGDTRPRFLEEVKFECHFFNGTERVRLLERRVHNQEEYARYDSDVGEYRAVTELGRPDAEYWNSQKDLLERRRAAVDTYCRHNYGVGESFTVQRRVQPKVTVYPSKTQPLQHHNLLVCSVNGFYPGSIEVRWFRNGQEEKTGVVSTGLIQNGDWTFQTLVMLETVPQSGEVYTCQVEHPSVMSPLTVEWRARSESAQSKMLSGVGGFVLGLLFLGAGLFIYFRNQKGHSGLPPTGFLS
+>DECOY_sp|Q30167|2B1A_HUMAN HLA class II histocompatibility antigen, DRB1-10 beta chain OS=Homo sapiens OX=9606 GN=HLA-DRB1 PE=1 SV=2
+SLFGTPPLGSHGKQNRFYIFLGAGLFLLGLVFGGVGSLMKSQASESRARWEVTLPSMVSPHEVQCTYVEGSQPVTELMVLTQFTWDGNQILGTSVVGTKEEQGNRFWRVEISGPYFGNVSCVLLNHHQLPQTKSPYVTVKPQVRRQVTFSEGVGYNHRCYTDVAARRRELLDKQSNWYEADPRGLETVARYEGVDSDYRAYEEQNHVRRELLRVRETGNFFHCEFKVEELFRPRTDGALALPSSLVMLTVTLVAMCSGGPLRLCVM
+>sp|Q95IE3|2B1C_HUMAN HLA class II histocompatibility antigen, DRB1-12 beta chain OS=Homo sapiens OX=9606 GN=HLA-DRB1 PE=1 SV=1
+MVCLRLPGGSCMAVLTVTLMVLSSPLALAGDTRPRFLEYSTGECYFFNGTERVRLLERHFHNQEELLRFDSDVGEFRAVTELGRPVAESWNSQKDILEDRRAAVDTYCRHNYGAVESFTVQRRVHPKVTVYPSKTQPLQHHNLLVCSVSGFYPGSIEVRWFRNGQEEKTGVVSTGLIHNGDWTFQTLVMLETVPRSGEVYTCQVEHPSVTSPLTVEWRARSESAQSKMLSGVGGFVLGLLFLGAGLFIYFRNQKGHSGLQPRGFLS
+>DECOY_sp|Q95IE3|2B1C_HUMAN HLA class II histocompatibility antigen, DRB1-12 beta chain OS=Homo sapiens OX=9606 GN=HLA-DRB1 PE=1 SV=1
+SLFGRPQLGSHGKQNRFYIFLGAGLFLLGLVFGGVGSLMKSQASESRARWEVTLPSTVSPHEVQCTYVEGSRPVTELMVLTQFTWDGNHILGTSVVGTKEEQGNRFWRVEISGPYFGSVSCVLLNHHQLPQTKSPYVTVKPHVRRQVTFSEVAGYNHRCYTDVAARRDELIDKQSNWSEAVPRGLETVARFEGVDSDFRLLEEQNHFHRELLRVRETGNFFYCEGTSYELFRPRTDGALALPSSLVMLTVTLVAMCSGGPLRLCVM
+>sp|P01911|2B1F_HUMAN HLA class II histocompatibility antigen, DRB1-15 beta chain OS=Homo sapiens OX=9606 GN=HLA-DRB1 PE=1 SV=2
+MVCLKLPGGSCMTALTVTLMVLSSPLALSGDTRPRFLWQPKRECHFFNGTERVRFLDRYFYNQEESVRFDSDVGEFRAVTELGRPDAEYWNSQKDILEQARAAVDTYCRHNYGVVESFTVQRRVQPKVTVYPSKTQPLQHHNLLVCSVSGFYPGSIEVRWFLNGQEEKAGMVSTGLIQNGDWTFQTLVMLETVPRSGEVYTCQVEHPSVTSPLTVEWRARSESAQSKMLSGVGGFVLGLLFLGAGLFIYFRNQKGHSGLQPTGFLS
+>DECOY_sp|P01911|2B1F_HUMAN HLA class II histocompatibility antigen, DRB1-15 beta chain OS=Homo sapiens OX=9606 GN=HLA-DRB1 PE=1 SV=2
+SLFGTPQLGSHGKQNRFYIFLGAGLFLLGLVFGGVGSLMKSQASESRARWEVTLPSTVSPHEVQCTYVEGSRPVTELMVLTQFTWDGNQILGTSVMGAKEEQGNLFWRVEISGPYFGSVSCVLLNHHQLPQTKSPYVTVKPQVRRQVTFSEVVGYNHRCYTDVAARAQELIDKQSNWYEADPRGLETVARFEGVDSDFRVSEEQNYFYRDLFRVRETGNFFHCERKPQWLFRPRTDGSLALPSSLVMLTVTLATMCSGGPLKLCVM
+>sp|P31937|3HIDH_HUMAN 3-hydroxyisobutyrate dehydrogenase, mitochondrial OS=Homo sapiens OX=9606 GN=HIBADH PE=1 SV=2
+MAASLRLLGAASGLRYWSRRLRPAAGSFAAVCSRSVASKTPVGFIGLGNMGNPMAKNLMKHGYPLIIYDVFPDACKEFQDAGEQVVSSPADVAEKADRIITMLPTSINAIEAYSGANGILKKVKKGSLLIDSSTIDPAVSKELAKEVEKMGAVFMDAPVSGGVGAARSGNLTFMVGGVEDEFAAAQELLGCMGSNVVYCGAVGTGQAAKICNNMLLAISMIGTAEAMNLGIRLGLDPKLLAKILNMSSGRCWSSDTYNPVPGVMDGVPSANNYQGGFGTTLMAKDLGLAQDSATSTKSPILLGSLAHQIYRMMCAKGYSKKDFSSVFQFLREEETF
+>DECOY_sp|P31937|3HIDH_HUMAN 3-hydroxyisobutyrate dehydrogenase, mitochondrial OS=Homo sapiens OX=9606 GN=HIBADH PE=1 SV=2
+FTEEERLFQFVSSFDKKSYGKACMMRYIQHALSGLLIPSKTSTASDQALGLDKAMLTTGFGGQYNNASPVGDMVGPVPNYTDSSWCRGSSMNLIKALLKPDLGLRIGLNMAEATGIMSIALLMNNCIKAAQGTGVAGCYVVNSGMCGLLEQAAAFEDEVGGVMFTLNGSRAAGVGGSVPADMFVAGMKEVEKALEKSVAPDITSSDILLSGKKVKKLIGNAGSYAEIANISTPLMTIIRDAKEAVDAPSSVVQEGADQFEKCADPFVDYIILPYGHKMLNKAMPNGMNGLGIFGVPTKSAVSRSCVAAFSGAAPRLRRSWYRLGSAAGLLRLSAAM
+>sp|Q13542|4EBP2_HUMAN Eukaryotic translation initiation factor 4E-binding protein 2 OS=Homo sapiens OX=9606 GN=EIF4EBP2 PE=1 SV=1
+MSSSAGSGHQPSQSRAIPTRTVAISDAAQLPHDYCTTPGGTLFSTTPGGTRIIYDRKFLLDRRNSPMAQTPPCHLPNIPGVTSPGTLIEDSKVEVNNLNNLNNHDRKHAVGDDAQFEMDI
+>DECOY_sp|Q13542|4EBP2_HUMAN Eukaryotic translation initiation factor 4E-binding protein 2 OS=Homo sapiens OX=9606 GN=EIF4EBP2 PE=1 SV=1
+IDMEFQADDGVAHKRDHNNLNNLNNVEVKSDEILTGPSTVGPINPLHCPPTQAMPSNRRDLLFKRDYIIRTGGPTTSFLTGGPTTCYDHPLQAADSIAVTRTPIARSQSPQHGSGASSSM
+>sp|P02763|A1AG1_HUMAN Alpha-1-acid glycoprotein 1 OS=Homo sapiens OX=9606 GN=ORM1 PE=1 SV=1
+MALSWVLTVLSLLPLLEAQIPLCANLVPVPITNATLDQITGKWFYIASAFRNEEYNKSVQEIQATFFYFTPNKTEDTIFLREYQTRQDQCIYNTTYLNVQRENGTISRYVGGQEHFAHLLILRDTKTYMLAFDVNDEKNWGLSVYADKPETTKEQLGEFYEALDCLRIPKSDVVYTDWKKDKCEPLEKQHEKERKQEEGES
+>DECOY_sp|P02763|A1AG1_HUMAN Alpha-1-acid glycoprotein 1 OS=Homo sapiens OX=9606 GN=ORM1 PE=1 SV=1
+SEGEEQKREKEHQKELPECKDKKWDTYVVDSKPIRLCDLAEYFEGLQEKTTEPKDAYVSLGWNKEDNVDFALMYTKTDRLILLHAFHEQGGVYRSITGNERQVNLYTTNYICQDQRTQYERLFITDETKNPTFYFFTAQIEQVSKNYEENRFASAIYFWKGTIQDLTANTIPVPVLNACLPIQAELLPLLSLVTLVWSLAM
+>sp|P20848|A1ATR_HUMAN Putative alpha-1-antitrypsin-related protein OS=Homo sapiens OX=9606 GN=SERPINA2 PE=1 SV=1
+MPFSVSWGVLLLAGLCCLVPSSLVEDPQGDAAQKTDTSHHDQGDWEDLACQKISYNVTDLAFDLYKSWLIYHNQHVLVTPTSVAMAFRMLSLGTKADTRTEILEGLNVNLTETPEAKIHECFQQVLQALSRPDTRLQLTTGSSLFVNKSMKLVDTFLEDTKKLYHSEASSINFRDTEEAKEQINNYVEKRTGRKVVDLVKHLKKDTSLALVDYISFHGKWKDKFKAERIMVEGFHVDDKTIIRVPMINHLGRFDIHRDRELSSWVLAQHYVGNATAFFILPDPKKMWQLEEKLTYSHLENIQRAFDIRSINLHFPKLSISGTYKLKRVPRNLGITKIFSNEADLSGVSQEAPLKLSKAVHVAVLTIDEKGTEATGAPHLEEKAWSKYQTVMFNRPFLVIIKEYITNFPLFIGKVVNPTQK
+>DECOY_sp|P20848|A1ATR_HUMAN Putative alpha-1-antitrypsin-related protein OS=Homo sapiens OX=9606 GN=SERPINA2 PE=1 SV=1
+KQTPNVVKGIFLPFNTIYEKIIVLFPRNFMVTQYKSWAKEELHPAGTAETGKEDITLVAVHVAKSLKLPAEQSVGSLDAENSFIKTIGLNRPVRKLKYTGSISLKPFHLNISRIDFARQINELHSYTLKEELQWMKKPDPLIFFATANGVYHQALVWSSLERDRHIDFRGLHNIMPVRIITKDDVHFGEVMIREAKFKDKWKGHFSIYDVLALSTDKKLHKVLDVVKRGTRKEVYNNIQEKAEETDRFNISSAESHYLKKTDELFTDVLKMSKNVFLSSGTTLQLRTDPRSLAQLVQQFCEHIKAEPTETLNVNLGELIETRTDAKTGLSLMRFAMAVSTPTVLVHQNHYILWSKYLDFALDTVNYSIKQCALDEWDGQDHHSTDTKQAADGQPDEVLSSPVLCCLGALLLVGWSVSFPM
+>sp|P01009|A1AT_HUMAN Alpha-1-antitrypsin OS=Homo sapiens OX=9606 GN=SERPINA1 PE=1 SV=3
+MPSSVSWGILLLAGLCCLVPVSLAEDPQGDAAQKTDTSHHDQDHPTFNKITPNLAEFAFSLYRQLAHQSNSTNIFFSPVSIATAFAMLSLGTKADTHDEILEGLNFNLTEIPEAQIHEGFQELLRTLNQPDSQLQLTTGNGLFLSEGLKLVDKFLEDVKKLYHSEAFTVNFGDTEEAKKQINDYVEKGTQGKIVDLVKELDRDTVFALVNYIFFKGKWERPFEVKDTEEEDFHVDQVTTVKVPMMKRLGMFNIQHCKKLSSWVLLMKYLGNATAIFFLPDEGKLQHLENELTHDIITKFLENEDRRSASLHLPKLSITGTYDLKSVLGQLGITKVFSNGADLSGVTEEAPLKLSKAVHKAVLTIDEKGTEAAGAMFLEAIPMSIPPEVKFNKPFVFLMIEQNTKSPLFMGKVVNPTQK
+>DECOY_sp|P01009|A1AT_HUMAN Alpha-1-antitrypsin OS=Homo sapiens OX=9606 GN=SERPINA1 PE=1 SV=3
+KQTPNVVKGMFLPSKTNQEIMLFVFPKNFKVEPPISMPIAELFMAGAAETGKEDITLVAKHVAKSLKLPAEETVGSLDAGNSFVKTIGLQGLVSKLDYTGTISLKPLHLSASRRDENELFKTIIDHTLENELHQLKGEDPLFFIATANGLYKMLLVWSSLKKCHQINFMGLRKMMPVKVTTVQDVHFDEEETDKVEFPREWKGKFFIYNVLAFVTDRDLEKVLDVIKGQTGKEVYDNIQKKAEETDGFNVTFAESHYLKKVDELFKDVLKLGESLFLGNGTTLQLQSDPQNLTRLLEQFGEHIQAEPIETLNFNLGELIEDHTDAKTGLSLMAFATAISVPSFFINTSNSQHALQRYLSFAFEALNPTIKNFTPHDQDHHSTDTKQAADGQPDEALSVPVLCCLGALLLIGWSVSSPM
+>sp|P04217|A1BG_HUMAN Alpha-1B-glycoprotein OS=Homo sapiens OX=9606 GN=A1BG PE=1 SV=4
+MSMLVVFLLLWGVTWGPVTEAAIFYETQPSLWAESESLLKPLANVTLTCQAHLETPDFQLFKNGVAQEPVHLDSPAIKHQFLLTGDTQGRYRCRSGLSTGWTQLSKLLELTGPKSLPAPWLSMAPVSWITPGLKTTAVCRGVLRGVTFLLRREGDHEFLEVPEAQEDVEATFPVHQPGNYSCSYRTDGEGALSEPSATVTIEELAAPPPPVLMHHGESSQVLHPGNKVTLTCVAPLSGVDFQLRRGEKELLVPRSSTSPDRIFFHLNAVALGDGGHYTCRYRLHDNQNGWSGDSAPVELILSDETLPAPEFSPEPESGRALRLRCLAPLEGARFALVREDRGGRRVHRFQSPAGTEALFELHNISVADSANYSCVYVDLKPPFGGSAPSERLELHVDGPPPRPQLRATWSGAVLAGRDAVLRCEGPIPDVTFELLREGETKAVKTVRTPGAAANLELIFVGPQHAGNYRCRYRSWVPHTFESELSDPVELLVAES
+>DECOY_sp|P04217|A1BG_HUMAN Alpha-1B-glycoprotein OS=Homo sapiens OX=9606 GN=A1BG PE=1 SV=4
+SEAVLLEVPDSLESEFTHPVWSRYRCRYNGAHQPGVFILELNAAAGPTRVTKVAKTEGERLLEFTVDPIPGECRLVADRGALVAGSWTARLQPRPPPGDVHLELRESPASGGFPPKLDVYVCSYNASDAVSINHLEFLAETGAPSQFRHVRRGGRDERVLAFRAGELPALCRLRLARGSEPEPSFEPAPLTEDSLILEVPASDGSWGNQNDHLRYRCTYHGGDGLAVANLHFFIRDPSTSSRPVLLEKEGRRLQFDVGSLPAVCTLTVKNGPHLVQSSEGHHMLVPPPPAALEEITVTASPESLAGEGDTRYSCSYNGPQHVPFTAEVDEQAEPVELFEHDGERRLLFTVGRLVGRCVATTKLGPTIWSVPAMSLWPAPLSKPGTLELLKSLQTWGTSLGSRCRYRGQTDGTLLFQHKIAPSDLHVPEQAVGNKFLQFDPTELHAQCTLTVNALPKLLSESEAWLSPQTEYFIAAETVPGWTVGWLLLFVVLMSM
+>sp|Q4UJ75|A20A4_HUMAN Ankyrin repeat domain-containing protein 20A4 OS=Homo sapiens OX=9606 GN=ANKRD20A4 PE=4 SV=1
+MKLFGFGSRRGQTAQGSIDHVYTGSGYRIRDSELQKIHRAAVKGDAAEVERCLARRSGELDALDKQHRTALHLACASGHVQVVTLLVNRKCQIDVCDKENRTPLIQAVHCQEEACAVILLEHGANPNLKDIYGNTALHYAVYSESTSLAEKLLSHGAHIEALDKDNNTPLLFAIICKKEKMVEFLLKKKASSHAVDRLRRSALMLAVYYDSPGIVNILLKQNIDVFAQDMCGRDAEDYAISHHLTKIQQQILEHKKKILKKEKSDVGSSDESAVSIFHELRVDSLPASDDKDLNVATKQCVPEKVSEPLPGSSHEKGNRIVNGQGEGPPAKHPSLKPSTEVEDPAVKGAVQRKNVQTLRAEQALPVASEEEQQRHERSEKKQPQVKEGNNTNKSEKIQLSENICDSTSSAAAGRLTQQRKIGKTYPQQFPKKLKEEHDRCTLKQENEEKTNVNMLYKKNREELERKEKQYKKEVEAKQLEPTVQSLEMKSKTARNTPNWDFHNHEEMKGLMDENCILKADIAILRQEICTMKNDNLEKENKYLKDIKIVKETNAALEKYIKLNEEMITETAFRYQQELNDLKAENTRLNAELLKEKESKKRLEADIESYQSRLAAAISKHSESVKTERNLKLALERTQDVSVQVEMSSAISKVKDENEFLTEQLSETQIKFNALKDKFRKTRDSLRKKSLALETVQNNLSQTQQQTQEMKEMYQNAEAKVNNSTGKWNCVEERICHLQRENAWLVQQLDDVHQKEDHKEIVTNIQRGFIESGKKDFVLEEKSKKLMNECDHLKESLFQYEREKTEVVVSIKEDKYFQTSRKKI
+>DECOY_sp|Q4UJ75|A20A4_HUMAN Ankyrin repeat domain-containing protein 20A4 OS=Homo sapiens OX=9606 GN=ANKRD20A4 PE=4 SV=1
+IKKRSTQFYKDEKISVVVETKEREYQFLSEKLHDCENMLKKSKEELVFDKKGSEIFGRQINTVIEKHDEKQHVDDLQQVLWANERQLHCIREEVCNWKGTSNNVKAEANQYMEKMEQTQQQTQSLNNQVTELALSKKRLSDRTKRFKDKLANFKIQTESLQETLFENEDKVKSIASSMEVQVSVDQTRELALKLNRETKVSESHKSIAAALRSQYSEIDAELRKKSEKEKLLEANLRTNEAKLDNLEQQYRFATETIMEENLKIYKELAANTEKVIKIDKLYKNEKELNDNKMTCIEQRLIAIDAKLICNEDMLGKMEEHNHFDWNPTNRATKSKMELSQVTPELQKAEVEKKYQKEKRELEERNKKYLMNVNTKEENEQKLTCRDHEEKLKKPFQQPYTKGIKRQQTLRGAAASSTSDCINESLQIKESKNTNNGEKVQPQKKESREHRQQEEESAVPLAQEARLTQVNKRQVAGKVAPDEVETSPKLSPHKAPPGEGQGNVIRNGKEHSSGPLPESVKEPVCQKTAVNLDKDDSAPLSDVRLEHFISVASEDSSGVDSKEKKLIKKKHELIQQQIKTLHHSIAYDEADRGCMDQAFVDINQKLLINVIGPSDYYVALMLASRRLRDVAHSSAKKKLLFEVMKEKKCIIAFLLPTNNDKDLAEIHAGHSLLKEALSTSESYVAYHLATNGYIDKLNPNAGHELLIVACAEEQCHVAQILPTRNEKDCVDIQCKRNVLLTVVQVHGSACALHLATRHQKDLADLEGSRRALCREVEAADGKVAARHIKQLESDRIRYGSGTYVHDISGQATQGRRSGFGFLKM
+>sp|P01023|A2MG_HUMAN Alpha-2-macroglobulin OS=Homo sapiens OX=9606 GN=A2M PE=1 SV=3
+MGKNKLLHPSLVLLLLVLLPTDASVSGKPQYMVLVPSLLHTETTEKGCVLLSYLNETVTVSASLESVRGNRSLFTDLEAENDVLHCVAFAVPKSSSNEEVMFLTVQVKGPTQEFKKRTTVMVKNEDSLVFVQTDKSIYKPGQTVKFRVVSMDENFHPLNELIPLVYIQDPKGNRIAQWQSFQLEGGLKQFSFPLSSEPFQGSYKVVVQKKSGGRTEHPFTVEEFVLPKFEVQVTVPKIITILEEEMNVSVCGLYTYGKPVPGHVTVSICRKYSDASDCHGEDSQAFCEKFSGQLNSHGCFYQQVKTKVFQLKRKEYEMKLHTEAQIQEEGTVVELTGRQSSEITRTITKLSFVKVDSHFRQGIPFFGQVRLVDGKGVPIPNKVIFIRGNEANYYSNATTDEHGLVQFSINTTNVMGTSLTVRVNYKDRSPCYGYQWVSEEHEEAHHTAYLVFSPSKSFVHLEPMSHELPCGHTQTVQAHYILNGGTLLGLKKLSFYYLIMAKGGIVRTGTHGLLVKQEDMKGHFSISIPVKSDIAPVARLLIYAVLPTGDVIGDSAKYDVENCLANKVDLSFSPSQSLPASHAHLRVTAAPQSVCALRAVDQSVLLMKPDAELSASSVYNLLPEKDLTGFPGPLNDQDNEDCINRHNVYINGITYTPVSSTNEKDMYSFLEDMGLKAFTNSKIRKPKMCPQLQQYEMHGPEGLRVGFYESDVMGRGHARLVHVEEPHTETVRKYFPETWIWDLVVVNSAGVAEVGVTVPDTITEWKAGAFCLSEDAGLGISSTASLRAFQPFFVELTMPYSVIRGEAFTLKATVLNYLPKCIRVSVQLEASPAFLAVPVEKEQAPHCICANGRQTVSWAVTPKSLGNVNFTVSAEALESQELCGTEVPSVPEHGRKDTVIKPLLVEPEGLEKETTFNSLLCPSGGEVSEELSLKLPPNVVEESARASVSVLGDILGSAMQNTQNLLQMPYGCGEQNMVLFAPNIYVLDYLNETQQLTPEIKSKAIGYLNTGYQRQLNYKHYDGSYSTFGERYGRNQGNTWLTAFVLKTFAQARAYIFIDEAHITQALIWLSQRQKDNGCFRSSGSLLNNAIKGGVEDEVTLSAYITIALLEIPLTVTHPVVRNALFCLESAWKTAQEGDHGSHVYTKALLAYAFALAGNQDKRKEVLKSLNEEAVKKDNSVHWERPQKPKAPVGHFYEPQAPSAEVEMTSYVLLAYLTAQPAPTSEDLTSATNIVKWITKQQNAQGGFSSTQDTVVALHALSKYGAATFTRTGKAAQVTIQSSGTFSSKFQVDNNNRLLLQQVSLPELPGEYSMKVTGEGCVYLQTSLKYNILPEKEEFPFALGVQTLPQTCDEPKAHTSFQISLSVSYTGSRSASNMAIVDVKMVSGFIPLKPTVKMLERSNHVSRTEVSSNHVLIYLDKVSNQTLSLFFTVLQDVPVRDLKPAIVKVYDYYETDEFAIAEYNAPCSKDLGNA
+>DECOY_sp|P01023|A2MG_HUMAN Alpha-2-macroglobulin OS=Homo sapiens OX=9606 GN=A2M PE=1 SV=3
+ANGLDKSCPANYEAIAFEDTEYYDYVKVIAPKLDRVPVDQLVTFFLSLTQNSVKDLYILVHNSSVETRSVHNSRELMKVTPKLPIFGSVMKVDVIAMNSASRSGTYSVSLSIQFSTHAKPEDCTQPLTQVGLAFPFEEKEPLINYKLSTQLYVCGEGTVKMSYEGPLEPLSVQQLLLRNNNDVQFKSSFTGSSQITVQAAKGTRTFTAAGYKSLAHLAVVTDQTSSFGGQANQQKTIWKVINTASTLDESTPAPQATLYALLVYSTMEVEASPAQPEYFHGVPAKPKQPREWHVSNDKKVAEENLSKLVEKRKDQNGALAFAYALLAKTYVHSGHDGEQATKWASELCFLANRVVPHTVTLPIELLAITIYASLTVEDEVGGKIANNLLSGSSRFCGNDKQRQSLWILAQTIHAEDIFIYARAQAFTKLVFATLWTNGQNRGYREGFTSYSGDYHKYNLQRQYGTNLYGIAKSKIEPTLQQTENLYDLVYINPAFLVMNQEGCGYPMQLLNQTNQMASGLIDGLVSVSARASEEVVNPPLKLSLEESVEGGSPCLLSNFTTEKELGEPEVLLPKIVTDKRGHEPVSPVETGCLEQSELAEASVTFNVNGLSKPTVAWSVTQRGNACICHPAQEKEVPVALFAPSAELQVSVRICKPLYNLVTAKLTFAEGRIVSYPMTLEVFFPQFARLSATSSIGLGADESLCFAGAKWETITDPVTVGVEAVGASNVVVLDWIWTEPFYKRVTETHPEEVHVLRAHGRGMVDSEYFGVRLGEPGHMEYQQLQPCMKPKRIKSNTFAKLGMDELFSYMDKENTSSVPTYTIGNIYVNHRNICDENDQDNLPGPFGTLDKEPLLNYVSSASLEADPKMLLVSQDVARLACVSQPAATVRLHAHSAPLSQSPSFSLDVKNALCNEVDYKASDGIVDGTPLVAYILLRAVPAIDSKVPISISFHGKMDEQKVLLGHTGTRVIGGKAMILYYFSLKKLGLLTGGNLIYHAQVTQTHGCPLEHSMPELHVFSKSPSFVLYATHHAEEHEESVWQYGYCPSRDKYNVRVTLSTGMVNTTNISFQVLGHEDTTANSYYNAENGRIFIVKNPIPVGKGDVLRVQGFFPIGQRFHSDVKVFSLKTITRTIESSQRGTLEVVTGEEQIQAETHLKMEYEKRKLQFVKTKVQQYFCGHSNLQGSFKECFAQSDEGHCDSADSYKRCISVTVHGPVPKGYTYLGCVSVNMEEELITIIKPVTVQVEFKPLVFEEVTFPHETRGGSKKQVVVKYSGQFPESSLPFSFQKLGGELQFSQWQAIRNGKPDQIYVLPILENLPHFNEDMSVVRFKVTQGPKYISKDTQVFVLSDENKVMVTTRKKFEQTPGKVQVTLFMVEENSSSKPVAFAVCHLVDNEAELDTFLSRNGRVSELSASVTVTENLYSLLVCGKETTETHLLSPVLVMYQPKGSVSADTPLLVLLLLVLSPHLLKNKGM
+>sp|Q9NPC4|A4GAT_HUMAN Lactosylceramide 4-alpha-galactosyltransferase OS=Homo sapiens OX=9606 GN=A4GALT PE=2 SV=1
+MSKPPDLLLRLLRGAPRQRVCTLFIIGFKFTFFVSIMIYWHVVGEPKEKGQLYNLPAEIPCPTLTPPTPPSHGPTPGNIFFLETSDRTNPNFLFMCSVESAARTHPESHVLVLMKGLPGGNASLPRHLGISLLSCFPNVQMLPLDLRELFRDTPLADWYAAVQGRWEPYLLPVLSDASRIALMWKFGGIYLDTDFIVLKNLRNLTNVLGTQSRYVLNGAFLAFERRHEFMALCMRDFVDHYNGWIWGHQGPQLLTRVFKKWCSIRSLAESRACRGVTTLPPEAFYPIPWQDWKKYFEDINPEELPRLLSATYAVHVWNKKSQGTRFEATSRALLAQLHARYCPTTHEAMKMYL
+>DECOY_sp|Q9NPC4|A4GAT_HUMAN Lactosylceramide 4-alpha-galactosyltransferase OS=Homo sapiens OX=9606 GN=A4GALT PE=2 SV=1
+LYMKMAEHTTPCYRAHLQALLARSTAEFRTGQSKKNWVHVAYTASLLRPLEEPNIDEFYKKWDQWPIPYFAEPPLTTVGRCARSEALSRISCWKKFVRTLLQPGQHGWIWGNYHDVFDRMCLAMFEHRREFALFAGNLVYRSQTGLVNTLNRLNKLVIFDTDLYIGGFKWMLAIRSADSLVPLLYPEWRGQVAAYWDALPTDRFLERLDLPLMQVNPFCSLLSIGLHRPLSANGGPLGKMLVLVHSEPHTRAASEVSCMFLFNPNTRDSTELFFINGPTPGHSPPTPPTLTPCPIEAPLNYLQGKEKPEGVVHWYIMISVFFTFKFGIIFLTCVRQRPAGRLLRLLLDPPKSM
+>sp|P01011|AACT_HUMAN Alpha-1-antichymotrypsin OS=Homo sapiens OX=9606 GN=SERPINA3 PE=1 SV=2
+MERMLPLLALGLLAAGFCPAVLCHPNSPLDEENLTQENQDRGTHVDLGLASANVDFAFSLYKQLVLKAPDKNVIFSPLSISTALAFLSLGAHNTTLTEILKGLKFNLTETSEAEIHQSFQHLLRTLNQSSDELQLSMGNAMFVKEQLSLLDRFTEDAKRLYGSEAFATDFQDSAAAKKLINDYVKNGTRGKITDLIKDLDSQTMMVLVNYIFFKAKWEMPFDPQDTHQSRFYLSKKKWVMVPMMSLHHLTIPYFRDEELSCTVVELKYTGNASALFILPDQDKMEEVEAMLLPETLKRWRDSLEFREIGELYLPKFSISRDYNLNDILLQLGIEEAFTSKADLSGITGARNLAVSQVVHKAVLDVFEEGTEASAATAVKITLLSALVETRTIVRFNRPFLMIIVPTDTQNIFFMSKVTNPKQA
+>DECOY_sp|P01011|AACT_HUMAN Alpha-1-antichymotrypsin OS=Homo sapiens OX=9606 GN=SERPINA3 PE=1 SV=2
+AQKPNTVKSMFFINQTDTPVIIMLFPRNFRVITRTEVLASLLTIKVATAASAETGEEFVDLVAKHVVQSVALNRAGTIGSLDAKSTFAEEIGLQLLIDNLNYDRSISFKPLYLEGIERFELSDRWRKLTEPLLMAEVEEMKDQDPLIFLASANGTYKLEVVTCSLEEDRFYPITLHHLSMMPVMVWKKKSLYFRSQHTDQPDFPMEWKAKFFIYNVLVMMTQSDLDKILDTIKGRTGNKVYDNILKKAAASDQFDTAFAESGYLRKADETFRDLLSLQEKVFMANGMSLQLEDSSQNLTRLLHQFSQHIEAESTETLNFKLGKLIETLTTNHAGLSLFALATSISLPSFIVNKDPAKLVLQKYLSFAFDVNASALGLDVHTGRDQNEQTLNEEDLPSNPHCLVAPCFGAALLGLALLPLMREM
+>sp|Q6PD74|AAGAB_HUMAN Alpha- and gamma-adaptin-binding protein p34 OS=Homo sapiens OX=9606 GN=AAGAB PE=1 SV=1
+MAAGVPCALVTSCSSVFSGDQLVQHILGTEDLIVEVTSNDAVRFYPWTIDNKYYSADINLCVVPNKFLVTAEIAESVQAFVVYFDSTQKSGLDSVSSWLPLAKAWLPEVMILVCDRVSEDGINRQKAQEWCIKHGFELVELSPEELPEEDDDFPESTGVKRIVQALNANVWSNVVMKNDRNQGFSLLNSLTGTNHSIGSADPCHPEQPHLPAADSTESLSDHRGGASNTTDAQVDSIVDPMLDLDIQELASLTTGGGDVENFERLFSKLKEMKDKAATLPHEQRKVHAEKVAKAFWMAIGGDRDEIEGLSSDEEH
+>DECOY_sp|Q6PD74|AAGAB_HUMAN Alpha- and gamma-adaptin-binding protein p34 OS=Homo sapiens OX=9606 GN=AAGAB PE=1 SV=1
+HEEDSSLGEIEDRDGGIAMWFAKAVKEAHVKRQEHPLTAAKDKMEKLKSFLREFNEVDGGGTTLSALEQIDLDLMPDVISDVQADTTNSAGGRHDSLSETSDAAPLHPQEPHCPDASGISHNTGTLSNLLSFGQNRDNKMVVNSWVNANLAQVIRKVGTSEPFDDDEEPLEEPSLEVLEFGHKICWEQAKQRNIGDESVRDCVLIMVEPLWAKALPLWSSVSDLGSKQTSDFYVVFAQVSEAIEATVLFKNPVVCLNIDASYYKNDITWPYFRVADNSTVEVILDETGLIHQVLQDGSFVSSCSTVLACPVGAAM
+>sp|Q9UGJ0|AAKG2_HUMAN 5'-AMP-activated protein kinase subunit gamma-2 OS=Homo sapiens OX=9606 GN=PRKAG2 PE=1 SV=1
+MGSAVMDTKKKKDVSSPGGSGGKKNASQKRRSLRVHIPDLSSFAMPLLDGDLEGSGKHSSRKVDSPFGPGSPSKGFFSRGPQPRPSSPMSAPVRPKTSPGSPKTVFPFSYQESPPRSPRRMSFSGIFRSSSKESSPNSNPATSPGGIRFFSRSRKTSGLSSSPSTPTQVTKQHTFPLESYKHEPERLENRIYASSSPPDTGQRFCPSSFQSPTRPPLASPTHYAPSKAAALAAALGPAEAGMLEKLEFEDEAVEDSESGVYMRFMRSHKCYDIVPTSSKLVVFDTTLQVKKAFFALVANGVRAAPLWESKKQSFVGMLTITDFINILHRYYKSPMVQIYELEEHKIETWRELYLQETFKPLVNISPDASLFDAVYSLIKNKIHRLPVIDPISGNALYILTHKRILKFLQLFMSDMPKPAFMKQNLDELGIGTYHNIAFIHPDTPIIKALNIFVERRISALPVVDESGKVVDIYSKFDVINLAAEKTYNNLDITVTQALQHRSQYFEGVVKCNKLEILETIVDRIVRAEVHRLVVVNEADSIVGIISLSDILQALILTPAGAKQKETETE
+>DECOY_sp|Q9UGJ0|AAKG2_HUMAN 5'-AMP-activated protein kinase subunit gamma-2 OS=Homo sapiens OX=9606 GN=PRKAG2 PE=1 SV=1
+ETETEKQKAGAPTLILAQLIDSLSIIGVISDAENVVVLRHVEARVIRDVITELIELKNCKVVGEFYQSRHQLAQTVTIDLNNYTKEAALNIVDFKSYIDVVKGSEDVVPLASIRREVFINLAKIIPTDPHIFAINHYTGIGLEDLNQKMFAPKPMDSMFLQLFKLIRKHTLIYLANGSIPDIVPLRHIKNKILSYVADFLSADPSINVLPKFTEQLYLERWTEIKHEELEYIQVMPSKYYRHLINIFDTITLMGVFSQKKSEWLPAARVGNAVLAFFAKKVQLTTDFVVLKSSTPVIDYCKHSRMFRMYVGSESDEVAEDEFELKELMGAEAPGLAAALAAAKSPAYHTPSALPPRTPSQFSSPCFRQGTDPPSSSAYIRNELREPEHKYSELPFTHQKTVQTPTSPSSSLGSTKRSRSFFRIGGPSTAPNSNPSSEKSSSRFIGSFSMRRPSRPPSEQYSFPFVTKPSGPSTKPRVPASMPSSPRPQPGRSFFGKSPSGPGFPSDVKRSSHKGSGELDGDLLPMAFSSLDPIHVRLSRRKQSANKKGGSGGPSSVDKKKKTDMVASGM
+>sp|P54646|AAPK2_HUMAN 5'-AMP-activated protein kinase catalytic subunit alpha-2 OS=Homo sapiens OX=9606 GN=PRKAA2 PE=1 SV=2
+MAEKQKHDGRVKIGHYVLGDTLGVGTFGKVKIGEHQLTGHKVAVKILNRQKIRSLDVVGKIKREIQNLKLFRHPHIIKLYQVISTPTDFFMVMEYVSGGELFDYICKHGRVEEMEARRLFQQILSAVDYCHRHMVVHRDLKPENVLLDAHMNAKIADFGLSNMMSDGEFLRTSCGSPNYAAPEVISGRLYAGPEVDIWSCGVILYALLCGTLPFDDEHVPTLFKKIRGGVFYIPEYLNRSVATLLMHMLQVDPLKRATIKDIREHEWFKQDLPSYLFPEDPSYDANVIDDEAVKEVCEKFECTESEVMNSLYSGDPQDQLAVAYHLIIDNRRIMNQASEFYLASSPPSGSFMDDSAMHIPPGLKPHPERMPPLIADSPKARCPLDALNTTKPKSLAVKKAKWHLGIRSQSKPYDIMAEVYRAMKQLDFEWKVVNAYHLRVRRKNPVTGNYVKMSLQLYLVDNRSYLLDFKSIDDEVVEQRSGSSTPQRSCSAAGLHRPRSSFDSTTAESHSLSGSLTGSLTGSTLSSVSPRLGSHTMDFFEMCASLITTLAR
+>DECOY_sp|P54646|AAPK2_HUMAN 5'-AMP-activated protein kinase catalytic subunit alpha-2 OS=Homo sapiens OX=9606 GN=PRKAA2 PE=1 SV=2
+RALTTILSACMEFFDMTHSGLRPSVSSLTSGTLSGTLSGSLSHSEATTSDFSSRPRHLGAASCSRQPTSSGSRQEVVEDDISKFDLLYSRNDVLYLQLSMKVYNGTVPNKRRVRLHYANVVKWEFDLQKMARYVEAMIDYPKSQSRIGLHWKAKKVALSKPKTTNLADLPCRAKPSDAILPPMREPHPKLGPPIHMASDDMFSGSPPSSALYFESAQNMIRRNDIILHYAVALQDQPDGSYLSNMVESETCEFKECVEKVAEDDIVNADYSPDEPFLYSPLDQKFWEHERIDKITARKLPDVQLMHMLLTAVSRNLYEPIYFVGGRIKKFLTPVHEDDFPLTGCLLAYLIVGCSWIDVEPGAYLRGSIVEPAAYNPSGCSTRLFEGDSMMNSLGFDAIKANMHADLLVNEPKLDRHVVMHRHCYDVASLIQQFLRRAEMEEVRGHKCIYDFLEGGSVYEMVMFFDTPTSIVQYLKIIHPHRFLKLNQIERKIKGVVDLSRIKQRNLIKVAVKHGTLQHEGIKVKGFTGVGLTDGLVYHGIKVRGDHKQKEAM
+>sp|Q9UDR5|AASS_HUMAN Alpha-aminoadipic semialdehyde synthase, mitochondrial OS=Homo sapiens OX=9606 GN=AASS PE=1 SV=1
+MLQVHRTGLGRLGVSLSKGLHHKAVLAVRREDVNAWERRAPLAPKHIKGITNLGYKVLIQPSNRRAIHDKDYVKAGGILQEDISEACLILGVKRPPEEKLMSRKTYAFFSHTIKAQEANMGLLDEILKQEIRLIDYEKMVDHRGVRVVAFGQWAGVAGMINILHGMGLRLLALGHHTPFMHIGMAHNYRNSSQAVQAVRDAGYEISLGLMPKSIGPLTFVFTGTGNVSKGAQAIFNELPCEYVEPHELKEVSQTGDLRKVYGTVLSRHHHLVRKTDAVYDPAEYDKHPERYISRFNTDIAPYTTCLINGIYWEQNTPRLLTRQDAQSLLAPGKFSPAGVEGCPALPHKLVAICDISADTGGSIEFMTECTTIEHPFCMYDADQHIIHDSVEGSGILMCSIDNLPAQLPIEATECFGDMLYPYVEEMILSDATQPLESQNFSPVVRDAVITSNGTLPDKYKYIQTLRESRERAQSLSMGTRRKVLVLGSGYISEPVLEYLSRDGNIEITVGSDMKNQIEQLGKKYNINPVSMDICKQEEKLGFLVAKQDLVISLLPYVLHPLVAKACITNKVNMVTASYITPALKELEKSVEDAGITIIGELGLDPGLDHMLAMETIDKAKEVGATIESYISYCGGLPAPEHSNNPLRYKFSWSPVGVLMNVMQSATYLLDGKVVNVAGGISFLDAVTSMDFFPGLNLEGYPNRDSTKYAEIYGISSAHTLLRGTLRYKGYMKALNGFVKLGLINREALPAFRPEANPLTWKQLLCDLVGISPSSEHDVLKEAVLKKLGGDNTQLEAAEWLGLLGDEQVPQAESILDALSKHLVMKLSYGPEEKDMIVMRDSFGIRHPSGHLEHKTIDLVAYGDINGFSAMAKTVGLPTAMAAKMLLDGEIGAKGLMGPFSKEIYGPILERIKAEGIIYTTQSTIKP
+>DECOY_sp|Q9UDR5|AASS_HUMAN Alpha-aminoadipic semialdehyde synthase, mitochondrial OS=Homo sapiens OX=9606 GN=AASS PE=1 SV=1
+PKITSQTTYIIGEAKIRELIPGYIEKSFPGMLGKAGIEGDLLMKAAMATPLGVTKAMASFGNIDGYAVLDITKHELHGSPHRIGFSDRMVIMDKEEPGYSLKMVLHKSLADLISEAQPVQEDGLLGLWEAAELQTNDGGLKKLVAEKLVDHESSPSIGVLDCLLQKWTLPNAEPRFAPLAERNILGLKVFGNLAKMYGKYRLTGRLLTHASSIGYIEAYKTSDRNPYGELNLGPFFDMSTVADLFSIGGAVNVVKGDLLYTASQMVNMLVGVPSWSFKYRLPNNSHEPAPLGGCYSIYSEITAGVEKAKDITEMALMHDLGPDLGLEGIITIGADEVSKELEKLAPTIYSATVMNVKNTICAKAVLPHLVYPLLSIVLDQKAVLFGLKEEQKCIDMSVPNINYKKGLQEIQNKMDSGVTIEINGDRSLYELVPESIYGSGLVLVKRRTGMSLSQARERSERLTQIYKYKDPLTGNSTIVADRVVPSFNQSELPQTADSLIMEEVYPYLMDGFCETAEIPLQAPLNDISCMLIGSGEVSDHIIHQDADYMCFPHEITTCETMFEISGGTDASIDCIAVLKHPLAPCGEVGAPSFKGPALLSQADQRTLLRPTNQEWYIGNILCTTYPAIDTNFRSIYREPHKDYEAPDYVADTKRVLHHHRSLVTGYVKRLDGTQSVEKLEHPEVYECPLENFIAQAGKSVNGTGTFVFTLPGISKPMLGLSIEYGADRVAQVAQSSNRYNHAMGIHMFPTHHGLALLRLGMGHLINIMGAVGAWQGFAVVRVGRHDVMKEYDILRIEQKLIEDLLGMNAEQAKITHSFFAYTKRSMLKEEPPRKVGLILCAESIDEQLIGGAKVYDKDHIARRNSPQILVKYGLNTIGKIHKPALPARREWANVDERRVALVAKHHLGKSLSVGLRGLGTRHVQLM
+>sp|P17174|AATC_HUMAN Aspartate aminotransferase, cytoplasmic OS=Homo sapiens OX=9606 GN=GOT1 PE=1 SV=3
+MAPPSVFAEVPQAQPVLVFKLTADFREDPDPRKVNLGVGAYRTDDCHPWVLPVVKKVEQKIANDNSLNHEYLPILGLAEFRSCASRLALGDDSPALKEKRVGGVQSLGGTGALRIGADFLARWYNGTNNKNTPVYVSSPTWENHNAVFSAAGFKDIRSYRYWDAEKRGLDLQGFLNDLENAPEFSIVVLHACAHNPTGIDPTPEQWKQIASVMKHRFLFPFFDSAYQGFASGNLERDAWAIRYFVSEGFEFFCAQSFSKNFGLYNERVGNLTVVGKEPESILQVLSQMEKIVRITWSNPPAQGARIVASTLSNPELFEEWTGNVKTMADRILTMRSELRARLEALKTPGTWNHITDQIGMFSFTGLNPKQVEYLVNEKHIYLLPSGRINVSGLTTKNLDYVATSIHEAVTKIQ
+>DECOY_sp|P17174|AATC_HUMAN Aspartate aminotransferase, cytoplasmic OS=Homo sapiens OX=9606 GN=GOT1 PE=1 SV=3
+QIKTVAEHISTAVYDLNKTTLGSVNIRGSPLLYIHKENVLYEVQKPNLGTFSFMGIQDTIHNWTGPTKLAELRARLESRMTLIRDAMTKVNGTWEEFLEPNSLTSAVIRAGQAPPNSWTIRVIKEMQSLVQLISEPEKGVVTLNGVRENYLGFNKSFSQACFFEFGESVFYRIAWADRELNGSAFGQYASDFFPFLFRHKMVSAIQKWQEPTPDIGTPNHACAHLVVISFEPANELDNLFGQLDLGRKEADWYRYSRIDKFGAASFVANHNEWTPSSVYVPTNKNNTGNYWRALFDAGIRLAGTGGLSQVGGVRKEKLAPSDDGLALRSACSRFEALGLIPLYEHNLSNDNAIKQEVKKVVPLVWPHCDDTRYAGVGLNVKRPDPDERFDATLKFVLVPQAQPVEAFVSPPAM
+>sp|Q7Z5M8|AB12B_HUMAN Protein ABHD12B OS=Homo sapiens OX=9606 GN=ABHD12B PE=2 SV=1
+MDAQDCQAAASPEPPGPPARSCVAAWWDMVDRNLRYFPHSCSMLGRKIAALYDSFTSKSLKEHVFLPLIDMLIYFNFFKAPFLVDLKKPELKIPHTVNFYLRVEPGVMLGIWHTVPSCRGEDAKGKDCCWYEAALRDGNPIIVYLHGSAEHRAASHRLKLVKVLSDGGFHVLSVDYRGFGDSTGKPTEEGLTTDAICVYEWTKARSGITPVCLWGHSLGTGVATNAAKVLEEKGCPVDAIVLEAPFTNMWVASINYPLLKIYRNIPGFLRTLMDALRKDKIIFPNDENVKFLSSPLLILHGEDDRTVPLEYGKKLYEIARNAYRNKERVKMVIFPPGFQHNLLCKSPTLLITVRDFLSKQWS
+>DECOY_sp|Q7Z5M8|AB12B_HUMAN Protein ABHD12B OS=Homo sapiens OX=9606 GN=ABHD12B PE=2 SV=1
+SWQKSLFDRVTILLTPSKCLLNHQFGPPFIVMKVREKNRYANRAIEYLKKGYELPVTRDDEGHLILLPSSLFKVNEDNPFIIKDKRLADMLTRLFGPINRYIKLLPYNISAVWMNTFPAELVIADVPCGKEELVKAANTAVGTGLSHGWLCVPTIGSRAKTWEYVCIADTTLGEETPKGTSDGFGRYDVSLVHFGGDSLVKVLKLRHSAARHEASGHLYVIIPNGDRLAAEYWCCDKGKADEGRCSPVTHWIGLMVGPEVRLYFNVTHPIKLEPKKLDVLFPAKFFNFYILMDILPLFVHEKLSKSTFSDYLAAIKRGLMSCSHPFYRLNRDVMDWWAAVCSRAPPGPPEPSAAAQCDQADM
+>sp|O14639|ABLM1_HUMAN Actin-binding LIM protein 1 OS=Homo sapiens OX=9606 GN=ABLIM1 PE=1 SV=3
+MPAFLGLKCLGKLCSSEKSKVTSSERTSARGSNRKRLIVEDRRVSGTSFTAHRRATITHLLYLCPKDYCPRGRVCNSVDPFVAHPQDPHHPSEKPVIHCHKCGEPCKGEVLRVQTKHFHIKCFTCKVCGCDLAQGGFFIKNGEYLCTLDYQRMYGTRCHGCGEFVEGEVVTALGKTYHPNCFACTICKRPFPPGDRVTFNGRDCLCQLCAQPMSSSPKETTFSSNCAGCGRDIKNGQALLALDKQWHLGCFKCKSCGKVLTGEYISKDGAPYCEKDYQGLFGVKCEACHQFITGKVLEAGDKHYHPSCARCSRCNQMFTEGEEMYLQGSTVWHPDCKQSTKTEEKLRPTRTSSESIYSRPGSSIPGSPGHTIYAKVDNEILDYKDLAAIPKVKAIYDIERPDLITYEPFYTSGYDDKQERQSLGESPRTLSPTPSAEGYQDVRDRMIHRSTSQGSINSPVYSRHSYTPTTSRSPQHFHRPGNEPSSGRNSPLPYRPDSRPLTPTYAQAPKHFHVPDQGINIYRKPPIYKQHAALAAQSKSSEDIIKFSKFPAAQAPDPSETPKIETDHWPGPPSFAVVGPDMKRRSSGREEDDEELLRRRQLQEEQLMKLNSGLGQLILKEEMEKESRERSSLLASRYDSPINSASHIPSSKTASLPGYGRNGLHRPVSTDFAQYNSYGDVSGGVRDYQTLPDGHMPAMRMDRGVSMPNMLEPKIFPYEMLMVTNRGRNKILREVDRTRLERHLAPEVFREIFGMSIQEFDRLPLWRRNDMKKKAKLF
+>DECOY_sp|O14639|ABLM1_HUMAN Actin-binding LIM protein 1 OS=Homo sapiens OX=9606 GN=ABLIM1 PE=1 SV=3
+FLKAKKKMDNRRWLPLRDFEQISMGFIERFVEPALHRELRTRDVERLIKNRGRNTVMLMEYPFIKPELMNPMSVGRDMRMAPMHGDPLTQYDRVGGSVDGYSNYQAFDTSVPRHLGNRGYGPLSATKSSPIHSASNIPSDYRSALLSSRERSEKEMEEKLILQGLGSNLKMLQEEQLQRRRLLEEDDEERGSSRRKMDPGVVAFSPPGPWHDTEIKPTESPDPAQAAPFKSFKIIDESSKSQAALAAHQKYIPPKRYINIGQDPVHFHKPAQAYTPTLPRSDPRYPLPSNRGSSPENGPRHFHQPSRSTTPTYSHRSYVPSNISGQSTSRHIMRDRVDQYGEASPTPSLTRPSEGLSQREQKDDYGSTYFPEYTILDPREIDYIAKVKPIAALDKYDLIENDVKAYITHGPSGPISSGPRSYISESSTRTPRLKEETKTSQKCDPHWVTSGQLYMEEGETFMQNCRSCRACSPHYHKDGAELVKGTIFQHCAECKVGFLGQYDKECYPAGDKSIYEGTLVKGCSKCKFCGLHWQKDLALLAQGNKIDRGCGACNSSFTTEKPSSSMPQACLQCLCDRGNFTVRDGPPFPRKCITCAFCNPHYTKGLATVVEGEVFEGCGHCRTGYMRQYDLTCLYEGNKIFFGGQALDCGCVKCTFCKIHFHKTQVRLVEGKCPEGCKHCHIVPKESPHHPDQPHAVFPDVSNCVRGRPCYDKPCLYLLHTITARRHATFSTGSVRRDEVILRKRNSGRASTRESSTVKSKESSCLKGLCKLGLFAPM
+>sp|Q9P1F3|ABRAL_HUMAN Costars family protein ABRACL OS=Homo sapiens OX=9606 GN=ABRACL PE=1 SV=1
+MNVDHEVNLLVEEIHRLGSKNADGKLSVKFGVLFRDDKCANLFEALVGTLKAAKRRKIVTYPGELLLQGVHDDVDIILLQD
+>DECOY_sp|Q9P1F3|ABRAL_HUMAN Costars family protein ABRACL OS=Homo sapiens OX=9606 GN=ABRACL PE=1 SV=1
+DQLLIIDVDDHVGQLLLEGPYTVIKRRKAAKLTGVLAEFLNACKDDRFLVGFKVSLKGDANKSGLRHIEEVLLNVEHDVNM
+>sp|Q6UWZ7|ABRX1_HUMAN BRCA1-A complex subunit Abraxas 1 OS=Homo sapiens OX=9606 GN=ABRAXAS1 PE=1 SV=2
+MEGESTSAVLSGFVLGALAFQHLNTDSDTEGFLLGEVKGEAKNSITDSQMDDVEVVYTIDIQKYIPCYQLFSFYNSSGEVNEQALKKILSNVKKNVVGWYKFRRHSDQIMTFRERLLHKNLQEHFSNQDLVFLLLTPSIITESCSTHRLEHSLYKPQKGLFHRVPLVVANLGMSEQLGYKTVSGSCMSTGFSRAVQTHSSKFFEEDGSLKEVHKINEMYASLQEELKSICKKVEDSEQAVDKLVKDVNRLKREIEKRRGAQIQAAREKNIQKDPQENIFLCQALRTFFPNSEFLHSCVMSLKNRHVSKSSCNYNHHLDVVDNLTLMVEHTDIPEASPASTPQIIKHKALDLDDRWQFKRSRLLDTQDKRSKADTGSSNQDKASKMSSPETDEEIEKMKGFGEYSRSPTF
+>DECOY_sp|Q6UWZ7|ABRX1_HUMAN BRCA1-A complex subunit Abraxas 1 OS=Homo sapiens OX=9606 GN=ABRAXAS1 PE=1 SV=2
+FTPSRSYEGFGKMKEIEEDTEPSSMKSAKDQNSSGTDAKSRKDQTDLLRSRKFQWRDDLDLAKHKIIQPTSAPSAEPIDTHEVMLTLNDVVDLHHNYNCSSKSVHRNKLSMVCSHLFESNPFFTRLAQCLFINEQPDKQINKERAAQIQAGRRKEIERKLRNVDKVLKDVAQESDEVKKCISKLEEQLSAYMENIKHVEKLSGDEEFFKSSHTQVARSFGTSMCSGSVTKYGLQESMGLNAVVLPVRHFLGKQPKYLSHELRHTSCSETIISPTLLLFVLDQNSFHEQLNKHLLRERFTMIQDSHRRFKYWGVVNKKVNSLIKKLAQENVEGSSNYFSFLQYCPIYKQIDITYVVEVDDMQSDTISNKAEGKVEGLLFGETDSDTNLHQFALAGLVFGSLVASTSEGEM
+>sp|Q8N961|ABTB2_HUMAN Ankyrin repeat and BTB/POZ domain-containing protein 2 OS=Homo sapiens OX=9606 GN=ABTB2 PE=2 SV=2
+MAGTYSSTLKTLEDLTLDSGYGAGDSCRSLSLSSSKSNSQALNSSAQQHRGAAWWCYSGSMNSRHNSWDTVNTVLPEDPEVADLFSRCPRLPELEEFPWTEGDVARVLRKGAGGRRLPQFSAEAVRRLAGLLRRALIRVAREAQRLSVLHAKCTRFEVQSAVRLVHSWALAESCALAAVKALSLYSMSAGDGLRRGKSARCGLTFSVGRFFRWMVDTRISVRIHEYAAISLTACMENLVEEIRARVMASHSPDGGGAGGGEVSAEALEMVINNDAELWGVLQPYEHLICGKNANGVLSLPAYFSPYNGGSLGHDERADAYAQLELRTLEQSLLATCVGSISELSDLVSRAMHHMQGRHPLCPGASPARQARQPPQPITWSPDALHTLYYFLRCPQMESMENPNLDPPRMTLNNERPFMLLPPLMEWMRVAITYAEHRRSLTVDSGDIRQAARLLLPGLDCEPRQLKPEHCFSSFRRLDARAATEKFNQDLGFRMLNCGRTDLINQAIEALGPDGVNTMDDQGMTPLMYACAAGDEAMVQMLIDAGANLDIQVPSNSPRHPSIHPDSRHWTSLTFAVLHGHISVVQLLLDAGAHVEGSAVNGGEDSYAETPLQLASAAGNYELVSLLLSRGADPLLSMLEAHGMGSSLHEDMNCFSHSAAHGHRNVLRKLLTQPQQAKADVLSLEEILAEGVEESDASSQGSGSEGPVRLSRTRTKALQEAMYYSAEHGYVDITMELRALGVPWKLHIWIESLRTSFSQSRYSVVQSLLRDFSSIREEEYNEELVTEGLQLMFDILKTSKNDSVIQQLATIFTHCYGSSPIPSIPEIRKTLPARLDPHFLNNKEMSDVTFLVEGKLFYAHKVLLVTASNRFKTLMTNKSEQDGDSSKTIEISDMKYHIFQMMMQYLYYGGTESMEIPTTDILELLSAASLFQLDALQRHCEILCSQTLSMESAVNTYKYAKIHNAPELALFCEGFFLKHMKALLEQDAFRQLIYGRSSKVQGLDPLQDLQNTLAERVHSVYITSRV
+>DECOY_sp|Q8N961|ABTB2_HUMAN Ankyrin repeat and BTB/POZ domain-containing protein 2 OS=Homo sapiens OX=9606 GN=ABTB2 PE=2 SV=2
+VRSTIYVSHVREALTNQLDQLPDLGQVKSSRGYILQRFADQELLAKMHKLFFGECFLALEPANHIKAYKYTNVASEMSLTQSCLIECHRQLADLQFLSAASLLELIDTTPIEMSETGGYYLYQMMMQFIHYKMDSIEITKSSDGDQESKNTMLTKFRNSATVLLVKHAYFLKGEVLFTVDSMEKNNLFHPDLRAPLTKRIEPISPIPSSGYCHTFITALQQIVSDNKSTKLIDFMLQLGETVLEENYEEERISSFDRLLSQVVSYRSQSFSTRLSEIWIHLKWPVGLARLEMTIDVYGHEASYYMAEQLAKTRTRSLRVPGESGSGQSSADSEEVGEALIEELSLVDAKAQQPQTLLKRLVNRHGHAASHSFCNMDEHLSSGMGHAELMSLLPDAGRSLLLSVLEYNGAASALQLPTEAYSDEGGNVASGEVHAGADLLLQVVSIHGHLVAFTLSTWHRSDPHISPHRPSNSPVQIDLNAGADILMQVMAEDGAACAYMLPTMGQDDMTNVGDPGLAEIAQNILDTRGCNLMRFGLDQNFKETAARADLRRFSSFCHEPKLQRPECDLGPLLLRAAQRIDGSDVTLSRRHEAYTIAVRMWEMLPPLLMFPRENNLTMRPPDLNPNEMSEMQPCRLFYYLTHLADPSWTIPQPPQRAQRAPSAGPCLPHRGQMHHMARSVLDSLESISGVCTALLSQELTRLELQAYADAREDHGLSGGNYPSFYAPLSLVGNANKGCILHEYPQLVGWLEADNNIVMELAEASVEGGGAGGGDPSHSAMVRARIEEVLNEMCATLSIAAYEHIRVSIRTDVMWRFFRGVSFTLGCRASKGRRLGDGASMSYLSLAKVAALACSEALAWSHVLRVASQVEFRTCKAHLVSLRQAERAVRILARRLLGALRRVAEASFQPLRRGGAGKRLVRAVDGETWPFEELEPLRPCRSFLDAVEPDEPLVTNVTDWSNHRSNMSGSYCWWAAGRHQQASSNLAQSNSKSSSLSLSRCSDGAGYGSDLTLDELTKLTSSYTGAM
+>sp|Q8IZM0|CNG1O_HUMAN Putative CNGA1-overlapping antisense gene protein OS=Homo sapiens OX=9606 PE=5 SV=1
+MDSYSAKIRANLVCRRSTDPSIRVTFSSRSLGSLPAFAMFRSSRPSFIKICFPFSSSIVLASGYSVRASMRSSFERQNRSE
+>DECOY_sp|Q8IZM0|CNG1O_HUMAN Putative CNGA1-overlapping antisense gene protein OS=Homo sapiens OX=9606 PE=5 SV=1
+ESRNQREFSSRMSARVSYGSALVISSSFPFCIKIFSPRSSRFMAFAPLSGLSRSSFTVRISPDTSRRCVLNARIKASYSDM
+>sp|Q8IV77|CNGA4_HUMAN Cyclic nucleotide-gated cation channel alpha-4 OS=Homo sapiens OX=9606 GN=CNGA4 PE=1 SV=3
+MSQDTKVKTTESSPPAPSKARKLLPVLDPSGDYYYWWLNTMVFPVMYNLIILVCRACFPDLQHGYLVAWLVLDYTSDLLYLLDMVVRFHTGFLEQGILVVDKGRISSRYVRTWSFFLDLASLMPTDVVYVRLGPHTPTLRLNRFLRAPRLFEAFDRTETRTAYPNAFRIAKLMLYIFVVIHWNSCLYFALSRYLGFGRDAWVYPDPAQPGFERLRRQYLYSFYFSTLILTTVGDTPPPAREEEYLFMVGDFLLAVMGFATIMGSMSSVIYNMNTADAAFYPDHALVKKYMKLQHVNRKLERRVIDWYQHLQINKKMTNEVAILQHLPERLRAEVAVSVHLSTLSRVQIFQNCEASLLEELVLKLQPQTYSPGEYVCRKGDIGQEMYIIREGQLAVVADDGITQYAVLGAGLYFGEISIINIKGNMSGNRRTANIKSLGYSDLFCLSKEDLREVLSEYPQAQTIMEEKGREILLKMNKLDVNAEAAEIALQEATESRLRGLDQQLDDLQTKFARLLAELESSALKIAYRIERLEWQTREWPMPEDLAEADDEGEPEEGTSKDEEGRASQEGPPGPE
+>DECOY_sp|Q8IV77|CNGA4_HUMAN Cyclic nucleotide-gated cation channel alpha-4 OS=Homo sapiens OX=9606 GN=CNGA4 PE=1 SV=3
+EPGPPGEQSARGEEDKSTGEEPEGEDDAEALDEPMPWERTQWELREIRYAIKLASSELEALLRAFKTQLDDLQQDLGRLRSETAEQLAIEAAEANVDLKNMKLLIERGKEEMITQAQPYESLVERLDEKSLCFLDSYGLSKINATRRNGSMNGKINIISIEGFYLGAGLVAYQTIGDDAVVALQGERIIYMEQGIDGKRCVYEGPSYTQPQLKLVLEELLSAECNQFIQVRSLTSLHVSVAVEARLREPLHQLIAVENTMKKNIQLHQYWDIVRRELKRNVHQLKMYKKVLAHDPYFAADATNMNYIVSSMSGMITAFGMVALLFDGVMFLYEEERAPPPTDGVTTLILTSFYFSYLYQRRLREFGPQAPDPYVWADRGFGLYRSLAFYLCSNWHIVVFIYLMLKAIRFANPYATRTETRDFAEFLRPARLFRNLRLTPTHPGLRVYVVDTPMLSALDLFFSWTRVYRSSIRGKDVVLIGQELFGTHFRVVMDLLYLLDSTYDLVLWAVLYGHQLDPFCARCVLIILNYMVPFVMTNLWWYYYDGSPDLVPLLKRAKSPAPPSSETTKVKTDQSM
+>sp|Q99439|CNN2_HUMAN Calponin-2 OS=Homo sapiens OX=9606 GN=CNN2 PE=1 SV=4
+MSSTQFNKGPSYGLSAEVKNRLLSKYDPQKEAELRTWIEGLTGLSIGPDFQKGLKDGTILCTLMNKLQPGSVPKINRSMQNWHQLENLSNFIKAMVSYGMNPVDLFEANDLFESGNMTQVQVSLLALAGKAKTKGLQSGVDIGVKYSEKQERNFDDATMKAGQCVIGLQMGTNKCASQSGMTAYGTRRHLYDPKNHILPPMDHSTISLQMGTNKCASQVGMTAPGTRRHIYDTKLGTDKCDNSSMSLQMGYTQGANQSGQVFGLGRQIYDPKYCPQGTVADGAPSGTGDCPDPGEVPEYPPYYQEEAGY
+>DECOY_sp|Q99439|CNN2_HUMAN Calponin-2 OS=Homo sapiens OX=9606 GN=CNN2 PE=1 SV=4
+YGAEEQYYPPYEPVEGPDPCDGTGSPAGDAVTGQPCYKPDYIQRGLGFVQGSQNAGQTYGMQLSMSSNDCKDTGLKTDYIHRRTGPATMGVQSACKNTGMQLSITSHDMPPLIHNKPDYLHRRTGYATMGSQSACKNTGMQLGIVCQGAKMTADDFNREQKESYKVGIDVGSQLGKTKAKGALALLSVQVQTMNGSEFLDNAEFLDVPNMGYSVMAKIFNSLNELQHWNQMSRNIKPVSGPQLKNMLTCLITGDKLGKQFDPGISLGTLGEIWTRLEAEKQPDYKSLLRNKVEASLGYSPGKNFQTSSM
+>sp|Q8NE01|CNNM3_HUMAN Metal transporter CNNM3 OS=Homo sapiens OX=9606 GN=CNNM3 PE=1 SV=1
+MAAAVAAAGRLGWLFAALCLGNAAGEAAPGPRVLGFCLEEDGAAGAGWVRGGAARDTPDATFLLRLFGPGFANSSWSWVAPEGAGCREEAASPAGEWRALLRLRLRAEAVRPHSALLAVRVEPGGGAAEEAAPPWALGLGAAGLLALAALARGLQLSALALAPAEVQVLRESGSEAERAAARRLEPARRWAGCALGALLLLASLAQAALAVLLYRAAGQRAVPAVLGSAGLVFLVGEVVPAAVSGRWTLALAPRALGLSRLAVLLTLPVALPVGQLLELAARPGRLRERVLELARGGGDPYSDLSKGVLRCRTVEDVLTPLEDCFMLDASTVLDFGVLASIMQSGHTRIPVYEEERSNIVDMLYLKDLAFVDPEDCTPLSTITRFYNHPLHFVFNDTKLDAVLEEFKRGKSHLAIVQKVNNEGEGDPFYEVLGLVTLEDVIEEIIRSEILDESEDYRDTVVKRKPASLMAPLKRKEEFSLFKVSDDEYKVTISPQLLLATQRFLSREVDVFSPLRISEKVLLHLLKHPSVNQEVRFDESNRLATHHYLYQRSQPVDYFILILQGRVEVEIGKEGLKFENGAFTYYGVSALTVPSSVHQSPVSSLQPIRHDLQPDPGDGTHSSAYCPDYTVRALSDLQLIKVTRLQYLNALLATRAQNLPQSPENTDLQVIPGSQTRLLGEKTTTAAGSSHSRPGVPVEGSPGRNPGV
+>DECOY_sp|Q8NE01|CNNM3_HUMAN Metal transporter CNNM3 OS=Homo sapiens OX=9606 GN=CNNM3 PE=1 SV=1
+VGPNRGPSGEVPVGPRSHSSGAATTTKEGLLRTQSGPIVQLDTNEPSQPLNQARTALLANLYQLRTVKILQLDSLARVTYDPCYASSHTGDGPDPQLDHRIPQLSSVPSQHVSSPVTLASVGYYTFAGNEFKLGEKGIEVEVRGQLILIFYDVPQSRQYLYHHTALRNSEDFRVEQNVSPHKLLHLLVKESIRLPSFVDVERSLFRQTALLLQPSITVKYEDDSVKFLSFEEKRKLPAMLSAPKRKVVTDRYDESEDLIESRIIEEIVDELTVLGLVEYFPDGEGENNVKQVIALHSKGRKFEELVADLKTDNFVFHLPHNYFRTITSLPTCDEPDVFALDKLYLMDVINSREEEYVPIRTHGSQMISALVGFDLVTSADLMFCDELPTLVDEVTRCRLVGKSLDSYPDGGGRALELVRERLRGPRAALELLQGVPLAVPLTLLVALRSLGLARPALALTWRGSVAAPVVEGVLFVLGASGLVAPVARQGAARYLLVALAAQALSALLLLAGLACGAWRRAPELRRAAAREAESGSERLVQVEAPALALASLQLGRALAALALLGAAGLGLAWPPAAEEAAGGGPEVRVALLASHPRVAEARLRLRLLARWEGAPSAAEERCGAGEPAVWSWSSNAFGPGFLRLLFTADPTDRAAGGRVWGAGAAGDEELCFGLVRPGPAAEGAANGLCLAAFLWGLRGAAAVAAAM
+>sp|Q9H9A5|CNO10_HUMAN CCR4-NOT transcription complex subunit 10 OS=Homo sapiens OX=9606 GN=CNOT10 PE=1 SV=1
+MAADKPADQGAEKHEGTGQSSGITDQEKELSTNAFQAFTSGNYDACLQHLACLQDINKDDYKIILNTAVAEFFKSNQTTTDNLRQTLNQLKNQVHSAVEEMDGLDDVENSMLYYNQAVILYHLRQYTEAISVGEKLYQFIEPFEEKFAQAVCFLLVDLYILTYQAEKALHLLAVLEKMISQGNNNKNGKNETGNNNNKDGSNHKAESGALIEAAKSKIHQYKVRAYIQMKSLKACKREIKSVMNTAGNSAPSLFLKSNFEYLRGNYRKAVKLLNSSNIAEHPGFMKTGECLRCMFWNNLGCIHFAMSKHNLGIFYFKKALQENDNVCAQLSAGSTDPGKKFSGRPMCTLLTNKRYELLYNCGIQLLHIGRPLAAFECLIEAVQVYHANPRLWLRLAECCIAANKGTSEQETKGLPSKKGIVQSIVGQGYHRKIVLASQSIQNTVYNDGQSSAIPVASMEFAAICLRNALLLLPEEQQDPKQENGAKNSNQLGGNTESSESSETCSSKSHDGDKFIPAPPSSPLRKQELENLKCSILACSAYVALALGDNLMALNHADKLLQQPKLSGSLKFLGHLYAAEALISLDRISDAITHLNPENVTDVSLGISSNEQDQGSDKGENEAMESSGKRAPQCYPSSVNSARTVMLFNLGSAYCLRSEYDKARKCLHQAASMIHPKEVPPEAILLAVYLELQNGNTQLALQIIKRNQLLPAVKTHSEVRKKPVFQPVHPIQPIQMPAFTTVQRK
+>DECOY_sp|Q9H9A5|CNO10_HUMAN CCR4-NOT transcription complex subunit 10 OS=Homo sapiens OX=9606 GN=CNOT10 PE=1 SV=1
+KRQVTTFAPMQIPQIPHVPQFVPKKRVESHTKVAPLLQNRKIIQLALQTNGNQLELYVALLIAEPPVEKPHIMSAAQHLCKRAKDYESRLCYASGLNFLMVTRASNVSSPYCQPARKGSSEMAENEGKDSGQDQENSSIGLSVDTVNEPNLHTIADSIRDLSILAEAAYLHGLFKLSGSLKPQQLLKDAHNLAMLNDGLALAVYASCALISCKLNELEQKRLPSSPPAPIFKDGDHSKSSCTESSESSETNGGLQNSNKAGNEQKPDQQEEPLLLLANRLCIAAFEMSAVPIASSQGDNYVTNQISQSALVIKRHYGQGVISQVIGKKSPLGKTEQESTGKNAAICCEALRLWLRPNAHYVQVAEILCEFAALPRGIHLLQIGCNYLLEYRKNTLLTCMPRGSFKKGPDTSGASLQACVNDNEQLAKKFYFIGLNHKSMAFHICGLNNWFMCRLCEGTKMFGPHEAINSSNLLKVAKRYNGRLYEFNSKLFLSPASNGATNMVSKIERKCAKLSKMQIYARVKYQHIKSKAAEILAGSEAKHNSGDKNNNNGTENKGNKNNNGQSIMKELVALLHLAKEAQYTLIYLDVLLFCVAQAFKEEFPEIFQYLKEGVSIAETYQRLHYLIVAQNYYLMSNEVDDLGDMEEVASHVQNKLQNLTQRLNDTTTQNSKFFEAVATNLIIKYDDKNIDQLCALHQLCADYNGSTFAQFANTSLEKEQDTIGSSQGTGEHKEAGQDAPKDAAM
+>sp|O75175|CNOT3_HUMAN CCR4-NOT transcription complex subunit 3 OS=Homo sapiens OX=9606 GN=CNOT3 PE=1 SV=1
+MADKRKLQGEIDRCLKKVSEGVEQFEDIWQKLHNAANANQKEKYEADLKKEIKKLQRLRDQIKTWVASNEIKDKRQLIDNRKLIETQMERFKVVERETKTKAYSKEGLGLAQKVDPAQKEKEEVGQWLTNTIDTLNMQVDQFESEVESLSVQTRKKKGDKDKQDRIEGLKRHIEKHRYHVRMLETILRMLDNDSILVDAIRKIKDDVEYYVDSSQDPDFEENEFLYDDLDLEDIPQALVATSPPSHSHMEDEIFNQSSSTPTSTTSSSPIPPSPANCTTENSEDDKKRGRSTDSEVSQSPAKNGSKPVHSNQHPQSPAVPPTYPSGPPPAASALSTTPGNNGVPAPAAPPSALGPKASPAPSHNSGTPAPYAQAVAPPAPSGPSTTQPRPPSVQPSGGGGGGSGGGGSSSSSNSSAGGGAGKQNGATSYSSVVADSPAEVALSSSGGNNASSQALGPPSGPHNPPPSTSKEPSAAAPTGAGGVAPGSGNNSGGPSLLVPLPVNPPSSPTPSFSDAKAAGALLNGPPQFSTAPEIKAPEPLSSLKSMAERAAISSGIEDPVPTLHLTERDIILSSTSAPPASAQPPLQLSEVNIPLSLGVCPLGPVPLTKEQLYQQAMEEAAWHHMPHPSDSERIRQYLPRNPCPTPPYHHQMPPPHSDTVEFYQRLSTETLFFIFYYLEGTKAQYLAAKALKKQSWRFHTKYMMWFQRHEEPKTITDEFEQGTYIYFDYEKWGQRKKEGFTFEYRYLEDRDLQ
+>DECOY_sp|O75175|CNOT3_HUMAN CCR4-NOT transcription complex subunit 3 OS=Homo sapiens OX=9606 GN=CNOT3 PE=1 SV=1
+QLDRDELYRYEFTFGEKKRQGWKEYDFYIYTGQEFEDTITKPEEHRQFWMMYKTHFRWSQKKLAKAALYQAKTGELYYFIFFLTETSLRQYFEVTDSHPPPMQHHYPPTPCPNRPLYQRIRESDSPHPMHHWAAEEMAQQYLQEKTLPVPGLPCVGLSLPINVESLQLPPQASAPPASTSSLIIDRETLHLTPVPDEIGSSIAAREAMSKLSSLPEPAKIEPATSFQPPGNLLAGAAKADSFSPTPSSPPNVPLPVLLSPGGSNNGSGPAVGGAGTPAAASPEKSTSPPPNHPGSPPGLAQSSANNGGSSSLAVEAPSDAVVSSYSTAGNQKGAGGGASSNSSSSSGGGGSGGGGGGSPQVSPPRPQTTSPGSPAPPAVAQAYPAPTGSNHSPAPSAKPGLASPPAAPAPVGNNGPTTSLASAAPPPGSPYTPPVAPSQPHQNSHVPKSGNKAPSQSVESDTSRGRKKDDESNETTCNAPSPPIPSSSTTSTPTSSSQNFIEDEMHSHSPPSTAVLAQPIDELDLDDYLFENEEFDPDQSSDVYYEVDDKIKRIADVLISDNDLMRLITELMRVHYRHKEIHRKLGEIRDQKDKDGKKKRTQVSLSEVESEFQDVQMNLTDITNTLWQGVEEKEKQAPDVKQALGLGEKSYAKTKTEREVVKFREMQTEILKRNDILQRKDKIENSAVWTKIQDRLRQLKKIEKKLDAEYKEKQNANAANHLKQWIDEFQEVGESVKKLCRDIEGQLKRKDAM
+>sp|Q9ULM6|CNOT6_HUMAN CCR4-NOT transcription complex subunit 6 OS=Homo sapiens OX=9606 GN=CNOT6 PE=1 SV=2
+MPKEKYEPPDPRRMYTIMSSEEAANGKKSHWAELEISGKVRSLSASLWSLTHLTALHLSDNSLSRIPSDIAKLHNLVYLDLSSNKIRSLPAELGNMVSLRELHLNNNLLRVLPFELGKLFQLQTLGLKGNPLTQDILNLYQEPDGTRRLLNYLLDNLSGTAKRITTEQPPPRSWIMLQEPDRTRPTALFSVMCYNVLCDKYATRQLYGYCPSWALNWDYRKKAIIQEILSCNADIVSLQEVETEQYYSFFLVELKERGYNGFFSPKSRARTMSEQERKHVDGCAIFFKTEKFTLVQKHTVEFNQLAMANSEGSEAMLNRVMTKDNIGVAVLLELRKESIEMPSGKPHLGTEKQLILVANAHMHWDPEYSDVKLVQTMMFLSEVKNIIDKASRNLKSSVLGEFGTIPLVLCADLNSLPDSGVVEYLSTGGVETNHKDFKELRYNESLTNFSCHGKNGTTNGRITHGFKLQSAYESGLMPYTNYTFDFKGIIDYIFYSKPQLNTLGILGPLDHHWLVENNISGCPHPLIPSDHFSLFAQLELLLPFLPQVNGIHLPGRR
+>DECOY_sp|Q9ULM6|CNOT6_HUMAN CCR4-NOT transcription complex subunit 6 OS=Homo sapiens OX=9606 GN=CNOT6 PE=1 SV=2
+RRGPLHIGNVQPLFPLLLELQAFLSFHDSPILPHPCGSINNEVLWHHDLPGLIGLTNLQPKSYFIYDIIGKFDFTYNTYPMLGSEYASQLKFGHTIRGNTTGNKGHCSFNTLSENYRLEKFDKHNTEVGGTSLYEVVGSDPLSNLDACLVLPITGFEGLVSSKLNRSAKDIINKVESLFMMTQVLKVDSYEPDWHMHANAVLILQKETGLHPKGSPMEISEKRLELLVAVGINDKTMVRNLMAESGESNAMALQNFEVTHKQVLTFKETKFFIACGDVHKREQESMTRARSKPSFFGNYGREKLEVLFFSYYQETEVEQLSVIDANCSLIEQIIAKKRYDWNLAWSPCYGYLQRTAYKDCLVNYCMVSFLATPRTRDPEQLMIWSRPPPQETTIRKATGSLNDLLYNLLRRTGDPEQYLNLIDQTLPNGKLGLTQLQFLKGLEFPLVRLLNNNLHLERLSVMNGLEAPLSRIKNSSLDLYVLNHLKAIDSPIRSLSNDSLHLATLHTLSWLSASLSRVKGSIELEAWHSKKGNAAEESSMITYMRRPDPPEYKEKPM
+>sp|Q9UIV1|CNOT7_HUMAN CCR4-NOT transcription complex subunit 7 OS=Homo sapiens OX=9606 GN=CNOT7 PE=1 SV=3
+MPAATVDHSQRICEVWACNLDEEMKKIRQVIRKYNYVAMDTEFPGVVARPIGEFRSNADYQYQLLRCNVDLLKIIQLGLTFMNEQGEYPPGTSTWQFNFKFNLTEDMYAQDSIELLTTSGIQFKKHEEEGIETQYFAELLMTSGVVLCEGVKWLSFHSGYDFGYLIKILTNSNLPEEELDFFEILRLFFPVIYDVKYLMKSCKNLKGGLQEVAEQLELERIGPQHQAGSDSLLTGMAFFKMREMFFEDHIDDAKYCGHLYGLGSGSSYVQNGTGNAYEEEANKQS
+>DECOY_sp|Q9UIV1|CNOT7_HUMAN CCR4-NOT transcription complex subunit 7 OS=Homo sapiens OX=9606 GN=CNOT7 PE=1 SV=3
+SQKNAEEEYANGTGNQVYSSGSGLGYLHGCYKADDIHDEFFMERMKFFAMGTLLSDSGAQHQPGIRELELQEAVEQLGGKLNKCSKMLYKVDYIVPFFLRLIEFFDLEEEPLNSNTLIKILYGFDYGSHFSLWKVGECLVVGSTMLLEAFYQTEIGEEEHKKFQIGSTTLLEISDQAYMDETLNFKFNFQWTSTGPPYEGQENMFTLGLQIIKLLDVNCRLLQYQYDANSRFEGIPRAVVGPFETDMAVYNYKRIVQRIKKMEEDLNCAWVECIRQSHDVTAAPM
+>sp|Q9BV87|CNPD1_HUMAN Protein CNPPD1 OS=Homo sapiens OX=9606 GN=CNPPD1 PE=2 SV=2
+MDLTGLLLDEEGTFSLAGFQDFTFLPGHQKLSARIRRRLYYGWDWEADCSLEELSSPVADIAVELLQKAAPSPIRRLQKKYVAHVSREACISPCAMMLALVYIERLRHRNPDYLQHVSSSDLFLISMMVASKYLYDEGEEEEVFNDEWGAAGGVAVPTLNALERGFLSAMDWHLYTDPREIFEVLSWLESCVAEQQGRWRGWYTYTDLCVLLEQPTWQLALGSLCQRLVKLSCLLAVAYVSSVALAVASVAVIHQSLGLSCIPTPGPPDLGLTSRCLLEPCIPSVPQCLPSLANVSSCLEGSMGLRSLWGSLLASLTPPPLPPPDPPAPPTLLHNCHLCQKLQRDSPTCHACLHPNRTVPTALSSPWYHTYGLAPPWPWSPVLLSLPQPQQCSLFSVMELARLKSFVFPG
+>DECOY_sp|Q9BV87|CNPD1_HUMAN Protein CNPPD1 OS=Homo sapiens OX=9606 GN=CNPPD1 PE=2 SV=2
+GPFVFSKLRALEMVSFLSCQQPQPLSLLVPSWPWPPALGYTHYWPSSLATPVTRNPHLCAHCTPSDRQLKQCLHCNHLLTPPAPPDPPPLPPPTLSALLSGWLSRLGMSGELCSSVNALSPLCQPVSPICPELLCRSTLGLDPPGPTPICSLGLSQHIVAVSAVALAVSSVYAVALLCSLKVLRQCLSGLALQWTPQELLVCLDTYTYWGRWRGQQEAVCSELWSLVEFIERPDTYLHWDMASLFGRELANLTPVAVGGAAGWEDNFVEEEEGEDYLYKSAVMMSILFLDSSSVHQLYDPNRHRLREIYVLALMMACPSICAERSVHAVYKKQLRRIPSPAAKQLLEVAIDAVPSSLEELSCDAEWDWGYYLRRRIRASLKQHGPLFTFDQFGALSFTGEEDLLLGTLDM
+>sp|Q3B7I2|CNPY1_HUMAN Protein canopy homolog 1 OS=Homo sapiens OX=9606 GN=CNPY1 PE=3 SV=1
+MNDYKLEEDPVTKERTFKRFAPRKGDKIYQEFKKLYFYSDAYRPLKFACETIIEEYEDEISSLIAQETHYLADKLCSEKSDLCETSANHTEL
+>DECOY_sp|Q3B7I2|CNPY1_HUMAN Protein canopy homolog 1 OS=Homo sapiens OX=9606 GN=CNPY1 PE=3 SV=1
+LETHNASTECLDSKESCLKDALYHTEQAILSSIEDEYEEIITECAFKLPRYADSYFYLKKFEQYIKDGKRPAFRKFTREKTVPDEELKYDNM
+>sp|Q9BT09|CNPY3_HUMAN Protein canopy homolog 3 OS=Homo sapiens OX=9606 GN=CNPY3 PE=1 SV=1
+MDSMPEPASRCLLLLPLLLLLLLLLPAPELGPSQAGAEENDWVRLPSKCEVCKYVAVELKSAFEETGKTKEVIGTGYGILDQKASGVKYTKSDLRLIEVTETICKRLLDYSLHKERTGSNRFAKGMSETFETLHNLVHKGVKVVMDIPYELWNETSAEVADLKKQCDVLVEEFEEVIEDWYRNHQEEDLTEFLCANHVLKGKDTSCLAEQWSGKKGDTAALGGKKSKKKSSRAKAAGGRSSSSKQRKELGGLEGDPSPEEDEGIQKASPLTHSPPDEL
+>DECOY_sp|Q9BT09|CNPY3_HUMAN Protein canopy homolog 3 OS=Homo sapiens OX=9606 GN=CNPY3 PE=1 SV=1
+LEDPPSHTLPSAKQIGEDEEPSPDGELGGLEKRQKSSSSRGGAAKARSSKKKSKKGGLAATDGKKGSWQEALCSTDKGKLVHNACLFETLDEEQHNRYWDEIVEEFEEVLVDCQKKLDAVEASTENWLEYPIDMVVKVGKHVLNHLTEFTESMGKAFRNSGTREKHLSYDLLRKCITETVEILRLDSKTYKVGSAKQDLIGYGTGIVEKTKGTEEFASKLEVAVYKCVECKSPLRVWDNEEAGAQSPGLEPAPLLLLLLLLLPLLLLCRSAPEPMSDM
+>sp|Q8N910|CO056_HUMAN Putative uncharacterized protein C15orf56 OS=Homo sapiens OX=9606 GN=C15orf56 PE=2 SV=1
+MPRAGRAPAEGGPAPGTRSSRCLRPRPLAWRRLVPNFGAWAPRKGAARVGRPVLSPRTSGAAGEPTCGAGSPGTLEEGVASGRTRRRTQSAGEVAKCRWGLGQEPLCPRGAVLLNSFSPPAWPQFPPALRLRALAWPQPRGPACGSTAQWPPRGDPTWRIS
+>DECOY_sp|Q8N910|CO056_HUMAN Putative uncharacterized protein C15orf56 OS=Homo sapiens OX=9606 GN=C15orf56 PE=2 SV=1
+SIRWTPDGRPPWQATSGCAPGRPQPWALARLRLAPPFQPWAPPSFSNLLVAGRPCLPEQGLGWRCKAVEGASQTRRRTRGSAVGEELTGPSGAGCTPEGAAGSTRPSLVPRGVRAAGKRPAWAGFNPVLRRWALPRPRLCRSSRTGPAPGGEAPARGARPM
+>sp|A8K5M9|CO062_HUMAN Uncharacterized protein C15orf62, mitochondrial OS=Homo sapiens OX=9606 GN=C15orf62 PE=2 SV=1
+METWRKGSFRNASFFKQLSLGRPRRLRRQSSVLSQASTAGGDHEEYSNREVIRELQGRPDGRRLPLWGDEQPRATLLAPPKPPRLYRESSSCPNILEPPPAYTAAYSATLPSALSLSSALHQHSEKGLVDTPCFQRTPTPDLSDPFLSFKVDLGISLLEEVLQMLREQFPSEPSF
+>DECOY_sp|A8K5M9|CO062_HUMAN Uncharacterized protein C15orf62, mitochondrial OS=Homo sapiens OX=9606 GN=C15orf62 PE=2 SV=1
+FSPESPFQERLMQLVEELLSIGLDVKFSLFPDSLDPTPTRQFCPTDVLGKESHQHLASSLSLASPLTASYAATYAPPPELINPCSSSERYLRPPKPPALLTARPQEDGWLPLRRGDPRGQLERIVERNSYEEHDGGATSAQSLVSSQRRLRRPRGLSLQKFFSANRFSGKRWTEM
+>sp|P02461|CO3A1_HUMAN Collagen alpha-1(III) chain OS=Homo sapiens OX=9606 GN=COL3A1 PE=1 SV=4
+MMSFVQKGSWLLLALLHPTIILAQQEAVEGGCSHLGQSYADRDVWKPEPCQICVCDSGSVLCDDIICDDQELDCPNPEIPFGECCAVCPQPPTAPTRPPNGQGPQGPKGDPGPPGIPGRNGDPGIPGQPGSPGSPGPPGICESCPTGPQNYSPQYDSYDVKSGVAVGGLAGYPGPAGPPGPPGPPGTSGHPGSPGSPGYQGPPGEPGQAGPSGPPGPPGAIGPSGPAGKDGESGRPGRPGERGLPGPPGIKGPAGIPGFPGMKGHRGFDGRNGEKGETGAPGLKGENGLPGENGAPGPMGPRGAPGERGRPGLPGAAGARGNDGARGSDGQPGPPGPPGTAGFPGSPGAKGEVGPAGSPGSNGAPGQRGEPGPQGHAGAQGPPGPPGINGSPGGKGEMGPAGIPGAPGLMGARGPPGPAGANGAPGLRGGAGEPGKNGAKGEPGPRGERGEAGIPGVPGAKGEDGKDGSPGEPGANGLPGAAGERGAPGFRGPAGPNGIPGEKGPAGERGAPGPAGPRGAAGEPGRDGVPGGPGMRGMPGSPGGPGSDGKPGPPGSQGESGRPGPPGPSGPRGQPGVMGFPGPKGNDGAPGKNGERGGPGGPGPQGPPGKNGETGPQGPPGPTGPGGDKGDTGPPGPQGLQGLPGTGGPPGENGKPGEPGPKGDAGAPGAPGGKGDAGAPGERGPPGLAGAPGLRGGAGPPGPEGGKGAAGPPGPPGAAGTPGLQGMPGERGGLGSPGPKGDKGEPGGPGADGVPGKDGPRGPTGPIGPPGPAGQPGDKGEGGAPGLPGIAGPRGSPGERGETGPPGPAGFPGAPGQNGEPGGKGERGAPGEKGEGGPPGVAGPPGGSGPAGPPGPQGVKGERGSPGGPGAAGFPGARGLPGPPGSNGNPGPPGPSGSPGKDGPPGPAGNTGAPGSPGVSGPKGDAGQPGEKGSPGAQGPPGAPGPLGIAGITGARGLAGPPGMPGPRGSPGPQGVKGESGKPGANGLSGERGPPGPQGLPGLAGTAGEPGRDGNPGSDGLPGRDGSPGGKGDRGENGSPGAPGAPGHPGPPGPVGPAGKSGDRGESGPAGPAGAPGPAGSRGAPGPQGPRGDKGETGERGAAGIKGHRGFPGNPGAPGSPGPAGQQGAIGSPGPAGPRGPVGPSGPPGKDGTSGHPGPIGPPGPRGNRGERGSEGSPGHPGQPGPPGPPGAPGPCCGGVGAAAIAGIGGEKAGGFAPYYGDEPMDFKINTDEIMTSLKSVNGQIESLISPDGSRKNPARNCRDLKFCHPELKSGEYWVDPNQGCKLDAIKVFCNMETGETCISANPLNVPRKHWWTDSSAEKKHVWFGESMDGGFQFSYGNPELPEDVLDVHLAFLRLLSSRASQNITYHCKNSIAYMDQASGNVKKALKLMGSNEGEFKAEGNSKFTYTVLEDGCTKHTGEWSKTVFEYRTRKAVRLPIVDIAPYDIGGPDQEFGVDVGPVCFL
+>DECOY_sp|P02461|CO3A1_HUMAN Collagen alpha-1(III) chain OS=Homo sapiens OX=9606 GN=COL3A1 PE=1 SV=4
+LFCVPGVDVGFEQDPGGIDYPAIDVIPLRVAKRTRYEFVTKSWEGTHKTCGDELVTYTFKSNGEAKFEGENSGMLKLAKKVNGSAQDMYAISNKCHYTINQSARSSLLRLFALHVDLVDEPLEPNGYSFQFGGDMSEGFWVHKKEASSDTWWHKRPVNLPNASICTEGTEMNCFVKIADLKCGQNPDVWYEGSKLEPHCFKLDRCNRAPNKRSGDPSILSEIQGNVSKLSTMIEDTNIKFDMPEDGYYPAFGGAKEGGIGAIAAAGVGGCCPGPAGPPGPPGPQGPHGPSGESGREGRNGRPGPPGIPGPHGSTGDKGPPGSPGVPGRPGAPGPSGIAGQQGAPGPSGPAGPNGPFGRHGKIGAAGREGTEGKDGRPGQPGPAGRSGAPGPAGAPGAPGSEGRDGSKGAPGVPGPPGPHGPAGPAGPSGNEGRDGKGGPSGDRGPLGDSGPNGDRGPEGATGALGPLGQPGPPGREGSLGNAGPKGSEGKVGQPGPSGRPGPMGPPGALGRAGTIGAIGLPGPAGPPGQAGPSGKEGPQGADGKPGSVGPSGPAGTNGAPGPPGDKGPSGSPGPPGPNGNSGPPGPLGRAGPFGAAGPGGPSGREGKVGQPGPPGAPGSGGPPGAVGPPGGEGKEGPAGREGKGGPEGNQGPAGPFGAPGPPGTEGREGPSGRPGAIGPLGPAGGEGKDGPQGAPGPPGIPGTPGRPGDKGPVGDAGPGGPEGKDGKPGPSGLGGREGPMGQLGPTGAAGPPGPPGAAGKGGEPGPPGAGGRLGPAGALGPPGREGPAGADGKGGPAGPAGADGKPGPEGPKGNEGPPGGTGPLGQLGQPGPPGTDGKDGGPGTPGPPGQPGTEGNKGPPGQPGPGGPGGREGNKGPAGDNGKPGPFGMVGPQGRPGSPGPPGPRGSEGQSGPPGPKGDSGPGGPSGPMGRMGPGGPVGDRGPEGAAGRPGAPGPAGREGAPGKEGPIGNPGAPGRFGPAGREGAAGPLGNAGPEGPSGDKGDEGKAGPVGPIGAEGREGRPGPEGKAGNKGPEGAGGRLGPAGNAGAPGPPGRAGMLGPAGPIGAPGMEGKGGPSGNIGPPGPPGQAGAHGQPGPEGRQGPAGNSGPSGAPGVEGKAGPSGPFGATGPPGPPGPQGDSGRAGDNGRAGAAGPLGPRGREGPAGRPGMPGPAGNEGPLGNEGKLGPAGTEGKEGNRGDFGRHGKMGPFGPIGAPGKIGPPGPLGREGPRGPRGSEGDKGAPGSPGIAGPPGPPGSPGAQGPEGPPGQYGPSGPSGPHGSTGPPGPPGPPGAPGPYGALGGVAVGSKVDYSDYQPSYNQPGTPCSECIGPPGPSGPSGPQGPIGPDGNRGPIGPPGPDGKPGQPGQGNPPRTPATPPQPCVACCEGFPIEPNPCDLEQDDCIIDDCLVSGSDCVCIQCPEPKWVDRDAYSQGLHSCGGEVAEQQALIITPHLLALLLWSGKQVFSMM
+>sp|P53420|CO4A4_HUMAN Collagen alpha-4(IV) chain OS=Homo sapiens OX=9606 GN=COL4A4 PE=1 SV=3
+MWSLHIVLMRCSFRLTKSLATGPWSLILILFSVQYVYGSGKKYIGPCGGRDCSVCHCVPEKGSRGPPGPPGPQGPIGPLGAPGPIGLSGEKGMRGDRGPPGAAGDKGDKGPTGVPGFPGLDGIPGHPGPPGPRGKPGMSGHNGSRGDPGFPGGRGALGPGGPLGHPGEKGEKGNSVFILGAVKGIQGDRGDPGLPGLPGSWGAGGPAGPTGYPGEPGLVGPPGQPGRPGLKGNPGVGVKGQMGDPGEVGQQGSPGPTLLVEPPDFCLYKGEKGIKGIPGMVGLPGPPGRKGESGIGAKGEKGIPGFPGPRGDPGSYGSPGFPGLKGELGLVGDPGLFGLIGPKGDPGNRGHPGPPGVLVTPPLPLKGPPGDPGFPGRYGETGDVGPPGPPGLLGRPGEACAGMIGPPGPQGFPGLPGLPGEAGIPGRPDSAPGKPGKPGSPGLPGAPGLQGLPGSSVIYCSVGNPGPQGIKGKVGPPGGRGPKGEKGNEGLCACEPGPMGPPGPPGLPGRQGSKGDLGLPGWLGTKGDPGPPGAEGPPGLPGKHGASGPPGNKGAKGDMVVSRVKGHKGERGPDGPPGFPGQPGSHGRDGHAGEKGDPGPPGDHEDATPGGKGFPGPLGPPGKAGPVGPPGLGFPGPPGERGHPGVPGHPGVRGPDGLKGQKGDTISCNVTYPGRHGPPGFDGPPGPKGFPGPQGAPGLSGSDGHKGRPGTPGTAEIPGPPGFRGDMGDPGFGGEKGSSPVGPPGPPGSPGVNGQKGIPGDPAFGHLGPPGKRGLSGVPGIKGPRGDPGCPGAEGPAGIPGFLGLKGPKGREGHAGFPGVPGPPGHSCERGAPGIPGQPGLPGYPGSPGAPGGKGQPGDVGPPGPAGMKGLPGLPGRPGAHGPPGLPGIPGPFGDDGLPGPPGPKGPRGLPGFPGFPGERGKPGAEGCPGAKGEPGEKGMSGLPGDRGLRGAKGAIGPPGDEGEMAIISQKGTPGEPGPPGDDGFPGERGDKGTPGMQGRRGEPGRYGPPGFHRGEPGEKGQPGPPGPPGPPGSTGLRGFIGFPGLPGDQGEPGSPGPPGFSGIDGARGPKGNKGDPASHFGPPGPKGEPGSPGCPGHFGASGEQGLPGIQGPRGSPGRPGPPGSSGPPGCPGDHGMPGLRGQPGEMGDPGPRGLQGDPGIPGPPGIKGPSGSPGLNGLHGLKGQKGTKGASGLHDVGPPGPVGIPGLKGERGDPGSPGISPPGPRGKKGPPGPPGSSGPPGPAGATGRAPKDIPDPGPPGDQGPPGPDGPRGAPGPPGLPGSVDLLRGEPGDCGLPGPPGPPGPPGPPGYKGFPGCDGKDGQKGPVGFPGPQGPHGFPGPPGEKGLPGPPGRKGPTGLPGPRGEPGPPADVDDCPRIPGLPGAPGMRGPEGAMGLPGMRGPSGPGCKGEPGLDGRRGVDGVPGSPGPPGRKGDTGEDGYPGGPGPPGPIGDPGPKGFGPGYLGGFLLVLHSQTDQEPTCPLGMPRLWTGYSLLYLEGQEKAHNQDLGLAGSCLPVFSTLPFAYCNIHQVCHYAQRNDRSYWLASAAPLPMMPLSEEAIRPYVSRCAVCEAPAQAVAVHSQDQSIPPCPQTWRSLWIGYSFLMHTGAGDQGGGQALMSPGSCLEDFRAAPFLECQGRQGTCHFFANKYSFWLTTVKADLQFSSAPAPDTLKESQAQRQKISRCQVCVKYS
+>DECOY_sp|P53420|CO4A4_HUMAN Collagen alpha-4(IV) chain OS=Homo sapiens OX=9606 GN=COL4A4 PE=1 SV=3
+SYKVCVQCRSIKQRQAQSEKLTDPAPASSFQLDAKVTTLWFSYKNAFFHCTGQRGQCELFPAARFDELCSGPSMLAQGGGQDGAGTHMLFSYGIWLSRWTQPCPPISQDQSHVAVAQAPAECVACRSVYPRIAEESLPMMPLPAASALWYSRDNRQAYHCVQHINCYAFPLTSFVPLCSGALGLDQNHAKEQGELYLLSYGTWLRPMGLPCTPEQDTQSHLVLLFGGLYGPGFGKPGPDGIPGPPGPGGPYGDEGTDGKRGPPGPSGPVGDVGRRGDLGPEGKCGPGSPGRMGPLGMAGEPGRMGPAGPLGPIRPCDDVDAPPGPEGRPGPLGTPGKRGPPGPLGKEGPPGPFGHPGQPGPFGVPGKQGDKGDCGPFGKYGPPGPPGPPGPPGPLGCDGPEGRLLDVSGPLGPPGPAGRPGDPGPPGQDGPPGPDPIDKPARGTAGAPGPPGSSGPPGPPGKKGRPGPPSIGPSGPDGREGKLGPIGVPGPPGVDHLGSAGKTGKQGKLGHLGNLGPSGSPGKIGPPGPIGPDGQLGRPGPDGMEGPQGRLGPMGHDGPCGPPGSSGPPGPRGPSGRPGQIGPLGQEGSAGFHGPCGPSGPEGKPGPPGFHSAPDGKNGKPGRAGDIGSFGPPGPSGPEGQDGPLGPFGIFGRLGTSGPPGPPGPPGPQGKEGPEGRHFGPPGYRGPEGRRGQMGPTGKDGREGPFGDDGPPGPEGPTGKQSIIAMEGEDGPPGIAGKAGRLGRDGPLGSMGKEGPEGKAGPCGEAGPKGREGPFGPFGPLGRPGKPGPPGPLGDDGFPGPIGPLGPPGHAGPRGPLGPLGKMGAPGPPGVDGPQGKGGPAGPSGPYGPLGPQGPIGPAGRECSHGPPGPVGPFGAHGERGKPGKLGLFGPIGAPGEAGPCGPDGRPGKIGPVGSLGRKGPPGLHGFAPDGPIGKQGNVGPSGPPGPPGVPSSGKEGGFGPDGMDGRFGPPGPIEATGPTGPRGKHGDSGSLGPAGQPGPFGKPGPPGDFGPPGHRGPYTVNCSITDGKQGKLGDPGRVGPHGPVGPHGREGPPGPFGLGPPGVPGAKGPPGLPGPFGKGGPTADEHDGPPGPDGKEGAHGDRGHSGPQGPFGPPGDPGREGKHGKVRSVVMDGKAGKNGPPGSAGHKGPLGPPGEAGPPGPDGKTGLWGPLGLDGKSGQRGPLGPPGPPGMPGPECACLGENGKEGKPGRGGPPGVKGKIGQPGPNGVSCYIVSSGPLGQLGPAGPLGPSGPKGPKGPASDPRGPIGAEGPLGPLGPFGQPGPPGIMGACAEGPRGLLGPPGPPGVDGTEGYRGPFGPDGPPGKLPLPPTVLVGPPGPHGRNGPDGKPGILGFLGPDGVLGLEGKLGPFGPSGYSGPDGRPGPFGPIGKEGKAGIGSEGKRGPPGPLGVMGPIGKIGKEGKYLCFDPPEVLLTPGPSGQQGVEGPDGMQGKVGVGPNGKLGPRGPQGPPGVLGPEGPYGTPGAPGGAGWSGPLGPLGPDGRDGQIGKVAGLIFVSNGKEGKEGPHGLPGGPGLAGRGGPFGPDGRSGNHGSMGPKGRPGPPGPHGPIGDLGPFGPVGTPGKDGKDGAAGPPGRDGRMGKEGSLGIPGPAGLPGIPGQPGPPGPPGRSGKEPVCHCVSCDRGGCPGIYKKGSGYVYQVSFLILILSWPGTALSKTLRFSCRMLVIHLSWM
+>sp|P29400|CO4A5_HUMAN Collagen alpha-5(IV) chain OS=Homo sapiens OX=9606 GN=COL4A5 PE=1 SV=2
+MKLRGVSLAAGLFLLALSLWGQPAEAAACYGCSPGSKCDCSGIKGEKGERGFPGLEGHPGLPGFPGPEGPPGPRGQKGDDGIPGPPGPKGIRGPPGLPGFPGTPGLPGMPGHDGAPGPQGIPGCNGTKGERGFPGSPGFPGLQGPPGPPGIPGMKGEPGSIIMSSLPGPKGNPGYPGPPGIQGLPGPTGIPGPIGPPGPPGLMGPPGPPGLPGPKGNMGLNFQGPKGEKGEQGLQGPPGPPGQISEQKRPIDVEFQKGDQGLPGDRGPPGPPGIRGPPGPPGGEKGEKGEQGEPGKRGKPGKDGENGQPGIPGLPGDPGYPGEPGRDGEKGQKGDTGPPGPPGLVIPRPGTGITIGEKGNIGLPGLPGEKGERGFPGIQGPPGLPGPPGAAVMGPPGPPGFPGERGQKGDEGPPGISIPGPPGLDGQPGAPGLPGPPGPAGPHIPPSDEICEPGPPGPPGSPGDKGLQGEQGVKGDKGDTCFNCIGTGISGPPGQPGLPGLPGPPGSLGFPGQKGEKGQAGATGPKGLPGIPGAPGAPGFPGSKGEPGDILTFPGMKGDKGELGSPGAPGLPGLPGTPGQDGLPGLPGPKGEPGGITFKGERGPPGNPGLPGLPGNIGPMGPPGFGPPGPVGEKGIQGVAGNPGQPGIPGPKGDPGQTITQPGKPGLPGNPGRDGDVGLPGDPGLPGQPGLPGIPGSKGEPGIPGIGLPGPPGPKGFPGIPGPPGAPGTPGRIGLEGPPGPPGFPGPKGEPGFALPGPPGPPGLPGFKGALGPKGDRGFPGPPGPPGRTGLDGLPGPKGDVGPNGQPGPMGPPGLPGIGVQGPPGPPGIPGPIGQPGLHGIPGEKGDPGPPGLDVPGPPGERGSPGIPGAPGPIGPPGSPGLPGKAGASGFPGTKGEMGMMGPPGPPGPLGIPGRSGVPGLKGDDGLQGQPGLPGPTGEKGSKGEPGLPGPPGPMDPNLLGSKGEKGEPGLPGIPGVSGPKGYQGLPGDPGQPGLSGQPGLPGPPGPKGNPGLPGQPGLIGPPGLKGTIGDMGFPGPQGVEGPPGPSGVPGQPGSPGLPGQKGDKGDPGISSIGLPGLPGPKGEPGLPGYPGNPGIKGSVGDPGLPGLPGTPGAKGQPGLPGFPGTPGPPGPKGISGPPGNPGLPGEPGPVGGGGHPGQPGPPGEKGKPGQDGIPGPAGQKGEPGQPGFGNPGPPGLPGLSGQKGDGGLPGIPGNPGLPGPKGEPGFHGFPGVQGPPGPPGSPGPALEGPKGNPGPQGPPGRPGLPGPEGPPGLPGNGGIKGEKGNPGQPGLPGLPGLKGDQGPPGLQGNPGRPGLNGMKGDPGLPGVPGFPGMKGPSGVPGSAGPEGEPGLIGPPGPPGLPGPSGQSIIIKGDAGPPGIPGQPGLKGLPGPQGPQGLPGPTGPPGDPGRNGLPGFDGAGGRKGDPGLPGQPGTRGLDGPPGPDGLQGPPGPPGTSSVAHGFLITRHSQTTDAPQCPQGTLQVYEGFSLLYVQGNKRAHGQDLGTAGSCLRRFSTMPFMFCNINNVCNFASRNDYSYWLSTPEPMPMSMQPLKGQSIQPFISRCAVCEAPAVVIAVHSQTIQIPHCPQGWDSLWIGYSFMMHTSAGAEGSGQALASPGSCLEEFRSAPFIECHGRGTCNYYANSYSFWLATVDVSDMFSKPQSETLKAGDLRTRISRCQVCMKRT
+>DECOY_sp|P29400|CO4A5_HUMAN Collagen alpha-5(IV) chain OS=Homo sapiens OX=9606 GN=COL4A5 PE=1 SV=2
+TRKMCVQCRSIRTRLDGAKLTESQPKSFMDSVDVTALWFSYSNAYYNCTGRGHCEIFPASRFEELCSGPSALAQGSGEAGASTHMMFSYGIWLSDWGQPCHPIQITQSHVAIVVAPAECVACRSIFPQISQGKLPQMSMPMPEPTSLWYSYDNRSAFNCVNNINCFMFPMTSFRRLCSGATGLDQGHARKNGQVYLLSFGEYVQLTGQPCQPADTTQSHRTILFGHAVSSTGPPGPPGQLGDPGPPGDLGRTGPQGPLGPDGKRGGAGDFGPLGNRGPDGPPGTPGPLGQPGQPGPLGKLGPQGPIGPPGADGKIIISQGSPGPLGPPGPPGILGPEGEPGASGPVGSPGKMGPFGPVGPLGPDGKMGNLGPRGPNGQLGPPGQDGKLGPLGPLGPQGPNGKEGKIGGNGPLGPPGEPGPLGPRGPPGQPGPNGKPGELAPGPSGPPGPPGQVGPFGHFGPEGKPGPLGPNGPIGPLGGDGKQGSLGPLGPPGPNGFGPQGPEGKQGAPGPIGDQGPKGKEGPPGPQGPHGGGGVPGPEGPLGPNGPPGSIGKPGPPGPTGPFGPLGPQGKAGPTGPLGPLGPDGVSGKIGPNGPYGPLGPEGKPGPLGPLGISSIGPDGKDGKQGPLGPSGPQGPVGSPGPPGEVGQPGPFGMDGITGKLGPPGILGPQGPLGPNGKPGPPGPLGPQGSLGPQGPDGPLGQYGKPGSVGPIGPLGPEGKEGKSGLLNPDMPGPPGPLGPEGKSGKEGTPGPLGPQGQLGDDGKLGPVGSRGPIGLPGPPGPPGMMGMEGKTGPFGSAGAKGPLGPSGPPGIPGPAGPIGPSGREGPPGPVDLGPPGPDGKEGPIGHLGPQGIPGPIGPPGPPGQVGIGPLGPPGMPGPQGNPGVDGKPGPLGDLGTRGPPGPPGPFGRDGKPGLAGKFGPLGPPGPPGPLAFGPEGKPGPFGPPGPPGELGIRGPTGPAGPPGPIGPFGKPGPPGPLGIGPIGPEGKSGPIGPLGPQGPLGPDGPLGVDGDRGPNGPLGPKGPQTITQGPDGKPGPIGPQGPNGAVGQIGKEGVPGPPGFGPPGMPGINGPLGPLGPNGPPGREGKFTIGGPEGKPGPLGPLGDQGPTGPLGPLGPAGPSGLEGKDGKMGPFTLIDGPEGKSGPFGPAGPAGPIGPLGKPGTAGAQGKEGKQGPFGLSGPPGPLGPLGPQGPPGSIGTGICNFCTDGKDGKVGQEGQLGKDGPSGPPGPPGPECIEDSPPIHPGAPGPPGPLGPAGPQGDLGPPGPISIGPPGEDGKQGREGPFGPPGPPGMVAAGPPGPLGPPGQIGPFGREGKEGPLGPLGINGKEGITIGTGPRPIVLGPPGPPGTDGKQGKEGDRGPEGPYGPDGPLGPIGPQGNEGDKGPKGRKGPEGQEGKEGKEGGPPGPPGRIGPPGPPGRDGPLGQDGKQFEVDIPRKQESIQGPPGPPGQLGQEGKEGKPGQFNLGMNGKPGPLGPPGPPGMLGPPGPPGIPGPIGTPGPLGQIGPPGPYGPNGKPGPLSSMIISGPEGKMGPIGPPGPPGQLGPFGPSGPFGREGKTGNCGPIGQPGPAGDHGPMGPLGPTGPFGPLGPPGRIGKPGPPGPIGDDGKQGRPGPPGEPGPFGPLGPHGELGPFGREGKEGKIGSCDCKSGPSCGYCAAAEAPQGWLSLALLFLGAALSVGRLKM
+>sp|P0C0L5|CO4B_HUMAN Complement C4-B OS=Homo sapiens OX=9606 GN=C4B PE=1 SV=2
+MRLLWGLIWASSFFTLSLQKPRLLLFSPSVVHLGVPLSVGVQLQDVPRGQVVKGSVFLRNPSRNNVPCSPKVDFTLSSERDFALLSLQVPLKDAKSCGLHQLLRGPEVQLVAHSPWLKDSLSRTTNIQGINLLFSSRRGHLFLQTDQPIYNPGQRVRYRVFALDQKMRPSTDTITVMVENSHGLRVRKKEVYMPSSIFQDDFVIPDISEPGTWKISARFSDGLESNSSTQFEVKKYVLPNFEVKITPGKPYILTVPGHLDEMQLDIQARYIYGKPVQGVAYVRFGLLDEDGKKTFFRGLESQTKLVNGQSHISLSKAEFQDALEKLNMGITDLQGLRLYVAAAIIESPGGEMEEAELTSWYFVSSPFSLDLSKTKRHLVPGAPFLLQALVREMSGSPASGIPVKVSATVSSPGSVPEVQDIQQNTDGSGQVSIPIIIPQTISELQLSVSAGSPHPAIARLTVAAPPSGGPGFLSIERPDSRPPRVGDTLNLNLRAVGSGATFSHYYYMILSRGQIVFMNREPKRTLTSVSVFVDHHLAPSFYFVAFYYHGDHPVANSLRVDVQAGACEGKLELSVDGAKQYRNGESVKLHLETDSLALVALGALDTALYAAGSKSHKPLNMGKVFEAMNSYDLGCGPGGGDSALQVFQAAGLAFSDGDQWTLSRKRLSCPKEKTTRKKRNVNFQKAINEKLGQYASPTAKRCCQDGVTRLPMMRSCEQRAARVQQPDCREPFLSCCQFAESLRKKSRDKGQAGLQRALEILQEEDLIDEDDIPVRSFFPENWLWRVETVDRFQILTLWLPDSLTTWEIHGLSLSKTKGLCVATPVQLRVFREFHLHLRLPMSVRRFEQLELRPVLYNYLDKNLTVSVHVSPVEGLCLAGGGGLAQQVLVPAGSARPVAFSVVPTAATAVSLKVVARGSFEFPVGDAVSKVLQIEKEGAIHREELVYELNPLDHRGRTLEIPGNSDPNMIPDGDFNSYVRVTASDPLDTLGSEGALSPGGVASLLRLPRGCGEQTMIYLAPTLAASRYLDKTEQWSTLPPETKDHAVDLIQKGYMRIQQFRKADGSYAAWLSRGSSTWLTAFVLKVLSLAQEQVGGSPEKLQETSNWLLSQQQADGSFQDLSPVIHRSMQGGLVGNDETVALTAFVTIALHHGLAVFQDEGAEPLKQRVEASISKASSFLGEKASAGLLGAHAAAITAYALTLTKAPADLRGVAHNNLMAMAQETGDNLYWGSVTGSQSNAVSPTPAPRNPSDPMPQAPALWIETTAYALLHLLLHEGKAEMADQAAAWLTRQGSFQGGFRSTQDTVIALDALSAYWIASHTTEERGLNVTLSSTGRNGFKSHALQLNNRQIRGLEEELQFSLGSKINVKVGGNSKGTLKVLRTYNVLDMKNTTCQDLQIEVTVKGHVEYTMEANEDYEDYEYDELPAKDDPDAPLQPVTPLQLFEGRRNRRRREAPKVVEEQESRVHYTVCIWRNGKVGLSGMAIADVTLLSGFHALRADLEKLTSLSDRYVSHFETEGPHVLLYFDSVPTSRECVGFEAVQEVPVGLVQPASATLYDYYNPERRCSVFYGAPSKSRLLATLCSAEVCQCAEGKCPRQRRALERGLQDEDGYRMKFACYYPRVEYGFQVKVLREDSRAAFRLFETKITQVLHFTKDVKAAANQMRNFLVRASCRLRLEPGKEYLIMGLDGATYDLEGHPQYLLDSNSWIEEMPSERLCRSTRQRAACAQLNDFLQEYGTQGCQV
+>DECOY_sp|P0C0L5|CO4B_HUMAN Complement C4-B OS=Homo sapiens OX=9606 GN=C4B PE=1 SV=2
+VQCGQTGYEQLFDNLQACAARQRTSRCLRESPMEEIWSNSDLLYQPHGELDYTAGDLGMILYEKGPELRLRCSARVLFNRMQNAAAKVDKTFHLVQTIKTEFLRFAARSDERLVKVQFGYEVRPYYCAFKMRYGDEDQLGRELARRQRPCKGEACQCVEASCLTALLRSKSPAGYFVSCRREPNYYDYLTASAPQVLGVPVEQVAEFGVCERSTPVSDFYLLVHPGETEFHSVYRDSLSTLKELDARLAHFGSLLTVDAIAMGSLGVKGNRWICVTYHVRSEQEEVVKPAERRRRNRRGEFLQLPTVPQLPADPDDKAPLEDYEYDEYDENAEMTYEVHGKVTVEIQLDQCTTNKMDLVNYTRLVKLTGKSNGGVKVNIKSGLSFQLEEELGRIQRNNLQLAHSKFGNRGTSSLTVNLGREETTHSAIWYASLADLAIVTDQTSRFGGQFSGQRTLWAAAQDAMEAKGEHLLLHLLAYATTEIWLAPAQPMPDSPNRPAPTPSVANSQSGTVSGWYLNDGTEQAMAMLNNHAVGRLDAPAKTLTLAYATIAAAHAGLLGASAKEGLFSSAKSISAEVRQKLPEAGEDQFVALGHHLAITVFATLAVTEDNGVLGGQMSRHIVPSLDQFSGDAQQQSLLWNSTEQLKEPSGGVQEQALSLVKLVFATLWTSSGRSLWAAYSGDAKRFQQIRMYGKQILDVAHDKTEPPLTSWQETKDLYRSAALTPALYIMTQEGCGRPLRLLSAVGGPSLAGESGLTDLPDSATVRVYSNFDGDPIMNPDSNGPIELTRGRHDLPNLEYVLEERHIAGEKEIQLVKSVADGVPFEFSGRAVVKLSVATAATPVVSFAVPRASGAPVLVQQALGGGGALCLGEVPSVHVSVTLNKDLYNYLVPRLELQEFRRVSMPLRLHLHFERFVRLQVPTAVCLGKTKSLSLGHIEWTTLSDPLWLTLIQFRDVTEVRWLWNEPFFSRVPIDDEDILDEEQLIELARQLGAQGKDRSKKRLSEAFQCCSLFPERCDPQQVRAARQECSRMMPLRTVGDQCCRKATPSAYQGLKENIAKQFNVNRKKRTTKEKPCSLRKRSLTWQDGDSFALGAAQFVQLASDGGGPGCGLDYSNMAEFVKGMNLPKHSKSGAAYLATDLAGLAVLALSDTELHLKVSEGNRYQKAGDVSLELKGECAGAQVDVRLSNAVPHDGHYYFAVFYFSPALHHDVFVSVSTLTRKPERNMFVIQGRSLIMYYYHSFTAGSGVARLNLNLTDGVRPPRSDPREISLFGPGGSPPAAVTLRAIAPHPSGASVSLQLESITQPIIIPISVQGSGDTNQQIDQVEPVSGPSSVTASVKVPIGSAPSGSMERVLAQLLFPAGPVLHRKTKSLDLSFPSSVFYWSTLEAEEMEGGPSEIIAAAVYLRLGQLDTIGMNLKELADQFEAKSLSIHSQGNVLKTQSELGRFFTKKGDEDLLGFRVYAVGQVPKGYIYRAQIDLQMEDLHGPVTLIYPKGPTIKVEFNPLVYKKVEFQTSSNSELGDSFRASIKWTGPESIDPIVFDDQFISSPMYVEKKRVRLGHSNEVMVTITDTSPRMKQDLAFVRYRVRQGPNYIPQDTQLFLHGRRSSFLLNIGQINTTRSLSDKLWPSHAVLQVEPGRLLQHLGCSKADKLPVQLSLLAFDRESSLTFDVKPSCPVNNRSPNRLFVSGKVVQGRPVDQLQVGVSLPVGLHVVSPSFLLLRPKQLSLTFFSSAWILGWLLRM
+>sp|P20908|CO5A1_HUMAN Collagen alpha-1(V) chain OS=Homo sapiens OX=9606 GN=COL5A1 PE=1 SV=3
+MDVHTRWKARSALRPGAPLLPPLLLLLLWAPPPSRAAQPADLLKVLDFHNLPDGITKTTGFCATRRSSKGPDVAYRVTKDAQLSAPTKQLYPASAFPEDFSILTTVKAKKGSQAFLVSIYNEQGIQQIGLELGRSPVFLYEDHTGKPGPEDYPLFRGINLSDGKWHRIALSVHKKNVTLILDCKKKTTKFLDRSDHPMIDINGIIVFGTRILDEEVFEGDIQQLLFVSDHRAAYDYCEHYSPDCDTAVPDTPQSQDPNPDEYYTEGDGEGETYYYEYPYYEDPEDLGKEPTPSKKPVEAAKETTEVPEELTPTPTEAAPMPETSEGAGKEEDVGIGDYDYVPSEDYYTPSPYDDLTYGEGEENPDQPTDPGAGAEIPTSTADTSNSSNPAPPPGEGADDLEGEFTEETIRNLDENYYDPYYDPTSSPSEIGPGMPANQDTIYEGIGGPRGEKGQKGEPAIIEPGMLIEGPPGPEGPAGLPGPPGTMGPTGQVGDPGERGPPGRPGLPGADGLPGPPGTMLMLPFRFGGGGDAGSKGPMVSAQESQAQAILQQARLALRGPAGPMGLTGRPGPVGPPGSGGLKGEPGDVGPQGPRGVQGPPGPAGKPGRRGRAGSDGARGMPGQTGPKGDRGFDGLAGLPGEKGHRGDPGPSGPPGPPGDDGERGDDGEVGPRGLPGEPGPRGLLGPKGPPGPPGPPGVTGMDGQPGPKGNVGPQGEPGPPGQQGNPGAQGLPGPQGAIGPPGEKGPLGKPGLPGMPGADGPPGHPGKEGPPGEKGGQGPPGPQGPIGYPGPRGVKGADGIRGLKGTKGEKGEDGFPGFKGDMGIKGDRGEIGPPGPRGEDGPEGPKGRGGPNGDPGPLGPPGEKGKLGVPGLPGYPGRQGPKGSIGFPGFPGANGEKGGRGTPGKPGPRGQRGPTGPRGERGPRGITGKPGPKGNSGGDGPAGPPGERGPNGPQGPTGFPGPKGPPGPPGKDGLPGHPGQRGETGFQGKTGPPGPPGVVGPQGPTGETGPMGERGHPGPPGPPGEQGLPGLAGKEGTKGDPGPAGLPGKDGPPGLRGFPGDRGLPGPVGALGLKGNEGPPGPPGPAGSPGERGPAGAAGPIGIPGRPGPQGPPGPAGEKGAPGEKGPQGPAGRDGLQGPVGLPGPAGPVGPPGEDGDKGEIGEPGQKGSKGDKGEQGPPGPTGPQGPIGQPGPSGADGEPGPRGQQGLFGQKGDEGPRGFPGPPGPVGLQGLPGPPGEKGETGDVGQMGPPGPPGPRGPSGAPGADGPQGPPGGIGNPGAVGEKGEPGEAGEPGLPGEGGPPGPKGERGEKGESGPSGAAGPPGPKGPPGDDGPKGSPGPVGFPGDPGPPGEPGPAGQDGPPGDKGDDGEPGQTGSPGPTGEPGPSGPPGKRGPPGPAGPEGRQGEKGAKGEAGLEGPPGKTGPIGPQGAPGKPGPDGLRGIPGPVGEQGLPGSPGPDGPPGPMGPPGLPGLKGDSGPKGEKGHPGLIGLIGPPGEQGEKGDRGLPGPQGSSGPKGEQGITGPSGPIGPPGPPGLPGPPGPKGAKGSSGPTGPKGEAGHPGPPGPPGPPGEVIQPLPIQASRTRRNIDASQLLDDGNGENYVDYADGMEEIFGSLNSLKLEIEQMKRPLGTQQNPARTCKDLQLCHPDFPDGEYWVDPNQGCSRDSFKVYCNFTAGGSTCVFPDKKSEGARITSWPKENPGSWFSEFKRGKLLSYVDAEGNPVGVVQMTFLRLLSASAHQNVTYHCYQSVAWQDAATGSYDKALRFLGSNDEEMSYDNNPYIRALVDGCATKKGYQKTVLEIDTPKVEQVPIVDIMFNDFGEASQKFGFEVGPACFMG
+>DECOY_sp|P20908|CO5A1_HUMAN Collagen alpha-1(V) chain OS=Homo sapiens OX=9606 GN=COL5A1 PE=1 SV=3
+GMFCAPGVEFGFKQSAEGFDNFMIDVIPVQEVKPTDIELVTKQYGKKTACGDVLARIYPNNDYSMEEDNSGLFRLAKDYSGTAADQWAVSQYCHYTVNQHASASLLRLFTMQVVGVPNGEADVYSLLKGRKFESFWSGPNEKPWSTIRAGESKKDPFVCTSGGATFNCYVKFSDRSCGQNPDVWYEGDPFDPHCLQLDKCTRAPNQQTGLPRKMQEIELKLSNLSGFIEEMGDAYDVYNEGNGDDLLQSADINRRTRSAQIPLPQIVEGPPGPPGPPGPHGAEGKPGTPGSSGKAGKPGPPGPLGPPGPPGIPGSPGTIGQEGKPGSSGQPGPLGRDGKEGQEGPPGILGILGPHGKEGKPGSDGKLGPLGPPGMPGPPGDPGPSGPLGQEGVPGPIGRLGDPGPKGPAGQPGIPGTKGPPGELGAEGKAGKEGQRGEPGAPGPPGRKGPPGSPGPEGTPGPSGTQGPEGDDGKDGPPGDQGAPGPEGPPGPDGPFGVPGPSGKPGDDGPPGKPGPPGAAGSPGSEGKEGREGKPGPPGGEGPLGPEGAEGPEGKEGVAGPNGIGGPPGQPGDAGPAGSPGRPGPPGPPGMQGVDGTEGKEGPPGPLGQLGVPGPPGPFGRPGEDGKQGFLGQQGRPGPEGDAGSPGPQGIPGQPGTPGPPGQEGKDGKSGKQGPEGIEGKDGDEGPPGVPGAPGPLGVPGQLGDRGAPGQPGKEGPAGKEGAPGPPGQPGPRGPIGIPGAAGAPGREGPSGAPGPPGPPGENGKLGLAGVPGPLGRDGPFGRLGPPGDKGPLGAPGPDGKTGEKGALGPLGQEGPPGPPGPHGREGMPGTEGTPGQPGVVGPPGPPGTKGQFGTEGRQGPHGPLGDKGPPGPPGKPGPFGTPGQPGNPGREGPPGAPGDGGSNGKPGPKGTIGRPGREGRPGTPGRQGRPGPKGPTGRGGKEGNAGPFGPFGISGKPGQRGPYGPLGPVGLKGKEGPPGLPGPDGNPGGRGKPGEPGDEGRPGPPGIEGRDGKIGMDGKFGPFGDEGKEGKTGKLGRIGDAGKVGRPGPYGIPGQPGPPGQGGKEGPPGEKGPHGPPGDAGPMGPLGPKGLPGKEGPPGIAGQPGPLGQAGPNGQQGPPGPEGQPGVNGKPGPQGDMGTVGPPGPPGPPGKPGLLGRPGPEGPLGRPGVEGDDGREGDDGPPGPPGSPGPDGRHGKEGPLGALGDFGRDGKPGTQGPMGRAGDSGARGRRGPKGAPGPPGQVGRPGQPGVDGPEGKLGGSGPPGVPGPRGTLGMPGAPGRLALRAQQLIAQAQSEQASVMPGKSGADGGGGFRFPLMLMTGPPGPLGDAGPLGPRGPPGREGPDGVQGTPGMTGPPGPLGAPGEPGPPGEILMGPEIIAPEGKQGKEGRPGGIGEYITDQNAPMGPGIESPSSTPDYYPDYYNEDLNRITEETFEGELDDAGEGPPPAPNSSNSTDATSTPIEAGAGPDTPQDPNEEGEGYTLDDYPSPTYYDESPVYDYDGIGVDEEKGAGESTEPMPAAETPTPTLEEPVETTEKAAEVPKKSPTPEKGLDEPDEYYPYEYYYTEGEGDGETYYEDPNPDQSQPTDPVATDCDPSYHECYDYAARHDSVFLLQQIDGEFVEEDLIRTGFVIIGNIDIMPHDSRDLFKTTKKKCDLILTVNKKHVSLAIRHWKGDSLNIGRFLPYDEPGPKGTHDEYLFVPSRGLELGIQQIGQENYISVLFAQSGKKAKVTTLISFDEPFASAPYLQKTPASLQADKTVRYAVDPGKSSRRTACFGTTKTIGDPLNHFDLVKLLDAPQAARSPPPAWLLLLLLPPLLPAGPRLASRAKWRTHVDM
+>sp|P25067|CO8A2_HUMAN Collagen alpha-2(VIII) chain OS=Homo sapiens OX=9606 GN=COL8A2 PE=1 SV=2
+MLGTLTPLSSLLLLLLVLVLGCGPRASSGGGAGGAAGYAPVKYIQPMQKGPVGPPFREGKGQYLEMPLPLLPMDLKGEPGPPGKPGPRGPPGPPGFPGKPGMGKPGLHGQPGPAGPPGFSRMGKAGPPGLPGKVGPPGQPGLRGEPGIRGDQGLRGPPGPPGLPGPSGITIPGKPGAQGVPGPPGFQGEPGPQGEPGPPGDRGLKGDNGVGQPGLPGAPGQGGAPGPPGLPGPAGLGKPGLDGLPGAPGDKGESGPPGVPGPRGEPGAVGPKGPPGVDGVGVPGAAGLPGPQGPSGAKGEPGTRGPPGLIGPTGYGMPGLPGPKGDRGPAGVPGLLGDRGEPGEDGEPGEQGPQGLGGPPGLPGSAGLPGRRGPPGPKGEAGPGGPPGVPGIRGDQGPSGLAGKPGVPGERGLPGAHGPPGPTGPKGEPGFTGRPGGPGVAGALGQKGDLGLPGQPGLRGPSGIPGLQGPAGPIGPQGLPGLKGEPGLPGPPGEGRAGEPGTAGPTGPPGVPGSPGITGPPGPPGPPGPPGAPGAFDETGIAGLHLPNGGVEGAVLGKGGKPQFGLGELSAHATPAFTAVLTSPFPASGMPVKFDRTLYNGHSGYNPATGIFTCPVGGVYYFAYHVHVKGTNVWVALYKNNVPATYTYDEYKKGYLDQASGGAVLQLRPNDQVWVQMPSDQANGLYSTEYIHSSFSGFLLCPT
+>DECOY_sp|P25067|CO8A2_HUMAN Collagen alpha-2(VIII) chain OS=Homo sapiens OX=9606 GN=COL8A2 PE=1 SV=2
+TPCLLFGSFSSHIYETSYLGNAQDSPMQVWVQDNPRLQLVAGGSAQDLYGKKYEDYTYTAPVNNKYLAVWVNTGKVHVHYAFYYVGGVPCTFIGTAPNYGSHGNYLTRDFKVPMGSAPFPSTLVATFAPTAHASLEGLGFQPKGGKGLVAGEVGGNPLHLGAIGTEDFAGPAGPPGPPGPPGPPGTIGPSGPVGPPGTPGATGPEGARGEGPPGPLGPEGKLGPLGQPGIPGAPGQLGPIGSPGRLGPQGPLGLDGKQGLAGAVGPGGPRGTFGPEGKPGTPGPPGHAGPLGREGPVGPKGALGSPGQDGRIGPVGPPGGPGAEGKPGPPGRRGPLGASGPLGPPGGLGQPGQEGPEGDEGPEGRDGLLGPVGAPGRDGKPGPLGPMGYGTPGILGPPGRTGPEGKAGSPGQPGPLGAAGPVGVGDVGPPGKPGVAGPEGRPGPVGPPGSEGKDGPAGPLGDLGPKGLGAPGPLGPPGPAGGQGPAGPLGPQGVGNDGKLGRDGPPGPEGQPGPEGQFGPPGPVGQAGPKGPITIGSPGPLGPPGPPGRLGQDGRIGPEGRLGPQGPPGVKGPLGPPGAKGMRSFGPPGAPGPQGHLGPKGMGPKGPFGPPGPPGRPGPKGPPGPEGKLDMPLLPLPMELYQGKGERFPPGVPGKQMPQIYKVPAYGAAGGAGGGSSARPGCGLVLVLLLLLLSSLPTLTGLM
+>sp|P07357|CO8A_HUMAN Complement component C8 alpha chain OS=Homo sapiens OX=9606 GN=C8A PE=1 SV=2
+MFAVVFFILSLMTCQPGVTAQEKVNQRVRRAATPAAVTCQLSNWSEWTDCFPCQDKKYRHRSLLQPNKFGGTICSGDIWDQASCSSSTTCVRQAQCGQDFQCKETGRCLKRHLVCNGDQDCLDGSDEDDCEDVRAIDEDCSQYEPIPGSQKAALGYNILTQEDAQSVYDASYYGGQCETVYNGEWRELRYDSTCERLYYGDDEKYFRKPYNFLKYHFEALADTGISSEFYDNANDLLSKVKKDKSDSFGVTIGIGPAGSPLLVGVGVSHSQDTSFLNELNKYNEKKFIFTRIFTKVQTAHFKMRKDDIMLDEGMLQSLMELPDQYNYGMYAKFINDYGTHYITSGSMGGIYEYILVIDKAKMESLGITSRDITTCFGGSLGIQYEDKINVGGGLSGDHCKKFGGGKTERARKAMAVEDIISRVRGGSSGWSGGLAQNRSTITYRSWGRSLKYNPVVIDFEMQPIHEVLRHTSLGPLEAKRQNLRRALDQYLMEFNACRCGPCFNNGVPILEGTSCRCQCRLGSLGAACEQTQTEGAKADGSWSCWSSWSVCRAGIQERRRECDNPAPQNGGASCPGRKVQTQAC
+>DECOY_sp|P07357|CO8A_HUMAN Complement component C8 alpha chain OS=Homo sapiens OX=9606 GN=C8A PE=1 SV=2
+CAQTQVKRGPCSAGGNQPAPNDCERRREQIGARCVSWSSWCSWSGDAKAGETQTQECAAGLSGLRCQCRCSTGELIPVGNNFCPGCRCANFEMLYQDLARRLNQRKAELPGLSTHRLVEHIPQMEFDIVVPNYKLSRGWSRYTITSRNQALGGSWGSSGGRVRSIIDEVAMAKRARETKGGGFKKCHDGSLGGGVNIKDEYQIGLSGGFCTTIDRSTIGLSEMKAKDIVLIYEYIGGMSGSTIYHTGYDNIFKAYMGYNYQDPLEMLSQLMGEDLMIDDKRMKFHATQVKTFIRTFIFKKENYKNLENLFSTDQSHSVGVGVLLPSGAPGIGITVGFSDSKDKKVKSLLDNANDYFESSIGTDALAEFHYKLFNYPKRFYKEDDGYYLRECTSDYRLERWEGNYVTECQGGYYSADYVSQADEQTLINYGLAAKQSGPIPEYQSCDEDIARVDECDDEDSGDLCDQDGNCVLHRKLCRGTEKCQFDQGCQAQRVCTTSSSCSAQDWIDGSCITGGFKNPQLLSRHRYKKDQCPFCDTWESWNSLQCTVAAPTAARRVRQNVKEQATVGPQCTMLSLIFFVVAFM
+>sp|P07358|CO8B_HUMAN Complement component C8 beta chain OS=Homo sapiens OX=9606 GN=C8B PE=1 SV=3
+MKNSRTWAWRAPVELFLLCAALGCLSLPGSRGERPHSFGSNAVNKSFAKSRQMRSVDVTLMPIDCELSSWSSWTTCDPCQKKRYRYAYLLQPSQFHGEPCNFSDKEVEDCVTNRPCRSQVRCEGFVCAQTGRCVNRRLLCNGDNDCGDQSDEANCRRIYKKCQHEMDQYWGIGSLASGINLFTNSFEGPVLDHRYYAGGCSPHYILNTRFRKPYNVESYTPQTQGKYEFILKEYESYSDFERNVTEKMASKSGFSFGFKIPGIFELGISSQSDRGKHYIRRTKRFSHTKSVFLHARSDLEVAHYKLKPRSLMLHYEFLQRVKRLPLEYSYGEYRDLFRDFGTHYITEAVLGGIYEYTLVMNKEAMERGDYTLNNVHACAKNDFKIGGAIEEVYVSLGVSVGKCRGILNEIKDRNKRDTMVEDLVVLVRGGASEHITTLAYQELPTADLMQEWGDAVQYNPAIIKVKVEPLYELVTATDFAYSSTVRQNMKQALEEFQKEVSSCHCAPCQGNGVPVLKGSRCDCICPVGSQGLACEVSYRKNTPIDGKWNCWSNWSSCSGRRKTRQRQCNNPPPQNGGSPCSGPASETLDCS
+>DECOY_sp|P07358|CO8B_HUMAN Complement component C8 beta chain OS=Homo sapiens OX=9606 GN=C8B PE=1 SV=3
+SCDLTESAPGSCPSGGNQPPPNNCQRQRTKRRGSCSSWNSWCNWKGDIPTNKRYSVECALGQSGVPCICDCRSGKLVPVGNGQCPACHCSSVEKQFEELAQKMNQRVTSSYAFDTATVLEYLPEVKVKIIAPNYQVADGWEQMLDATPLEQYALTTIHESAGGRVLVVLDEVMTDRKNRDKIENLIGRCKGVSVGLSVYVEEIAGGIKFDNKACAHVNNLTYDGREMAEKNMVLTYEYIGGLVAETIYHTGFDRFLDRYEGYSYELPLRKVRQLFEYHLMLSRPKLKYHAVELDSRAHLFVSKTHSFRKTRRIYHKGRDSQSSIGLEFIGPIKFGFSFGSKSAMKETVNREFDSYSEYEKLIFEYKGQTQPTYSEVNYPKRFRTNLIYHPSCGGAYYRHDLVPGEFSNTFLNIGSALSGIGWYQDMEHQCKKYIRRCNAEDSQDGCDNDGNCLLRRNVCRGTQACVFGECRVQSRCPRNTVCDEVEKDSFNCPEGHFQSPQLLYAYRYRKKQCPDCTTWSSWSSLECDIPMLTVDVSRMQRSKAFSKNVANSGFSHPREGRSGPLSLCGLAACLLFLEVPARWAWTRSNKM
+>sp|Q14055|CO9A2_HUMAN Collagen alpha-2(IX) chain OS=Homo sapiens OX=9606 GN=COL9A2 PE=1 SV=2
+MAAATASPRSLLVLLQVVVLALAQIRGPPGERGPPGPPGPPGVPGSDGIDGDNGPPGKAGPPGPKGEPGKAGPDGPDGKPGIDGLTGAKGEPGPMGIPGVKGQPGLPGPPGLPGPGFAGPPGPPGPVGLPGEIGIRGPKGDPGPDGPSGPPGPPGKPGRPGTIQGLEGSADFLCPTNCPPGMKGPPGLQGVKGHAGKRGILGDPGHQGKPGPKGDVGASGEQGIPGPPGPQGIRGYPGMAGPKGETGPHGYKGMVGAIGATGPPGEEGPRGPPGRAGEKGDEGSPGIRGPQGITGPKGATGPPGINGKDGTPGTPGMKGSAGQAGQPGSPGHQGLAGVPGQPGTKGGPGDQGEPGPQGLPGFSGPPGKEGEPGPRGEIGPQGIMGQKGDQGERGPVGQPGPQGRQGPKGEQGPPGIPGPQGLPGVKGDKGSPGKTGPRGKVGDPGVAGLPGEKGEKGESGEPGPKGQQGVRGEPGYPGPSGDAGAPGVQGYPGPPGPRGLAGNRGVPGQPGRQGVEGRDATDQHIVDVALKMLQEQLAEVAVSAKREALGAVGMMGPPGPPGPPGYPGKQGPHGHPGPRGVPGIVGAVGQIGNTGPKGKRGEKGDPGEVGRGHPGMPGPPGIPGLPGRPGQAINGKDGDRGSPGAPGEAGRPGLPGPVGLPGFCEPAACLGASAYASARLTEPGSIKGP
+>DECOY_sp|Q14055|CO9A2_HUMAN Collagen alpha-2(IX) chain OS=Homo sapiens OX=9606 GN=COL9A2 PE=1 SV=2
+PGKISGPETLRASAYASAGLCAAPECFGPLGVPGPLGPRGAEGPAGPSGRDGDKGNIAQGPRGPLGPIGPPGPMGPHGRGVEGPDGKEGRKGKPGTNGIQGVAGVIGPVGRPGPHGHPGQKGPYGPPGPPGPPGMMGVAGLAERKASVAVEALQEQLMKLAVDVIHQDTADRGEVGQRGPQGPVGRNGALGRPGPPGPYGQVGPAGADGSPGPYGPEGRVGQQGKPGPEGSEGKEGKEGPLGAVGPDGVKGRPGTKGPSGKDGKVGPLGQPGPIGPPGQEGKPGQRGQPGPQGVPGREGQDGKQGMIGQPGIEGRPGPEGEKGPPGSFGPLGQPGPEGQDGPGGKTGPQGPVGALGQHGPSGPQGAQGASGKMGPTGPTGDKGNIGPPGTAGKPGTIGQPGRIGPSGEDGKEGARGPPGRPGEEGPPGTAGIAGVMGKYGHPGTEGKPGAMGPYGRIGQPGPPGPIGQEGSAGVDGKPGPKGQHGPDGLIGRKGAHGKVGQLGPPGKMGPPCNTPCLFDASGELGQITGPRGPKGPPGPPGSPGDPGPDGKPGRIGIEGPLGVPGPPGPPGAFGPGPLGPPGPLGPQGKVGPIGMPGPEGKAGTLGDIGPKGDPGDPGAKGPEGKPGPPGAKGPPGNDGDIGDSGPVGPPGPPGPPGREGPPGRIQALALVVVQLLVLLSRPSATAAAM
+>sp|Q9GZY4|COA1_HUMAN Cytochrome c oxidase assembly factor 1 homolog OS=Homo sapiens OX=9606 GN=COA1 PE=1 SV=1
+MMWQKYAGSRRSMPLGARILFHGVFYAGGFAIVYYLIQKFHSRALYYKLAVEQLQSHPEAQEALGPPLNIHYLKLIDRENFVDIVDAKLKIPVSGSKSEGLLYVHSSRGGPFQRWHLDEVFLELKDGQQIPVFKLSGENGDEVKKE
+>DECOY_sp|Q9GZY4|COA1_HUMAN Cytochrome c oxidase assembly factor 1 homolog OS=Homo sapiens OX=9606 GN=COA1 PE=1 SV=1
+EKKVEDGNEGSLKFVPIQQGDKLELFVEDLHWRQFPGGRSSHVYLLGESKSGSVPIKLKADVIDVFNERDILKLYHINLPPGLAEQAEPHSQLQEVALKYYLARSHFKQILYYVIAFGGAYFVGHFLIRAGLPMSRRSGAYKQWMM
+>sp|Q9Y2R0|COA3_HUMAN Cytochrome c oxidase assembly factor 3 homolog, mitochondrial OS=Homo sapiens OX=9606 GN=COA3 PE=1 SV=1
+MASSGAGDPLDSKRGEAPFAQRIDPTREKLTPEQLHSMRQAELAQWQKVLPRRRTRNIVTGLGIGALVLAIYGYTFYSISQERFLDELEDEAKAARARALARASGS
+>DECOY_sp|Q9Y2R0|COA3_HUMAN Cytochrome c oxidase assembly factor 3 homolog, mitochondrial OS=Homo sapiens OX=9606 GN=COA3 PE=1 SV=1
+SGSARALARARAAKAEDELEDLFREQSISYFTYGYIALVLAGIGLGTVINRTRRRPLVKQWQALEAQRMSHLQEPTLKERTPDIRQAFPAEGRKSDLPDGAGSSAM
+>sp|P83436|COG7_HUMAN Conserved oligomeric Golgi complex subunit 7 OS=Homo sapiens OX=9606 GN=COG7 PE=1 SV=1
+MDFSKFLADDFDVKEWINAAFRAGSKEAASGKADGHAATLVMKLQLFIQEVNHAVEETSHQALQNMPKVLRDVEALKQEASFLKEQMILVKEDIKKFEQDTSQSMQVLVEIDQVKSRMQLAAESLQEADKWSTLSADIEETFKTQDIAVISAKLTGMQNSLMMLVDTPDYSEKCVHLEALKNRLEALASPQIVAAFTSQAVDQSKVFVKVFTEIDRMPQLLAYYYKCHKVQLLAAWQELCQSDLSLDRQLTGLYDALLGAWHTQIQWATQVFQKPHEVVMVLLIQTLGALMPSLPSCLSNGVERAGPEQELTRLLEFYDATAHFAKGLEMALLPHLHEHNLVKVTELVDAVYDPYKPYQLKYGDMEESNLLIQMSAVPLEHGEVIDCVQELSHSVNKLFGLASAAVDRCVRFTNGLGTCGLLSALKSLFAKYVSDFTSTLQSIRKKCKLDHIPPNSLFQEDWTAFQNSIRIIATCGELLRHCGDFEQQLANRILSTAGKYLSDSCSPRSLAGFQESILTDKKNSAKNPWQEYNYLQKDNPAEYASLMEILYTLKEKGSSNHNLLAAPRAALTRLNQQAHQLAFDSVFLRIKQQLLLISKMDSWNTAGIGETLTDELPAFSLTPLEYISNIGQYIMSLPLNLEPFVTQEDSALELALHAGKLPFPPEQGDELPELDNMADNWLGSIARATMQTYCDAILQIPELSPHSAKQLATDIDYLINVMDALGLQPSRTLQHIVTLLKTRPEDYRQVSKGLPRRLATTVATMRSVNY
+>DECOY_sp|P83436|COG7_HUMAN Conserved oligomeric Golgi complex subunit 7 OS=Homo sapiens OX=9606 GN=COG7 PE=1 SV=1
+YNVSRMTAVTTALRRPLGKSVQRYDEPRTKLLTVIHQLTRSPQLGLADMVNILYDIDTALQKASHPSLEPIQLIADCYTQMTARAISGLWNDAMNDLEPLEDGQEPPFPLKGAHLALELASDEQTVFPELNLPLSMIYQGINSIYELPTLSFAPLEDTLTEGIGATNWSDMKSILLLQQKIRLFVSDFALQHAQQNLRTLAARPAALLNHNSSGKEKLTYLIEMLSAYEAPNDKQLYNYEQWPNKASNKKDTLISEQFGALSRPSCSDSLYKGATSLIRNALQQEFDGCHRLLEGCTAIIRISNQFATWDEQFLSNPPIHDLKCKKRISQLTSTFDSVYKAFLSKLASLLGCTGLGNTFRVCRDVAASALGFLKNVSHSLEQVCDIVEGHELPVASMQILLNSEEMDGYKLQYPKYPDYVADVLETVKVLNHEHLHPLLAMELGKAFHATADYFELLRTLEQEPGAREVGNSLCSPLSPMLAGLTQILLVMVVEHPKQFVQTAWQIQTHWAGLLADYLGTLQRDLSLDSQCLEQWAALLQVKHCKYYYALLQPMRDIETFVKVFVKSQDVAQSTFAAVIQPSALAELRNKLAELHVCKESYDPTDVLMMLSNQMGTLKASIVAIDQTKFTEEIDASLTSWKDAEQLSEAALQMRSKVQDIEVLVQMSQSTDQEFKKIDEKVLIMQEKLFSAEQKLAEVDRLVKPMNQLAQHSTEEVAHNVEQIFLQLKMVLTAAHGDAKGSAAEKSGARFAANIWEKVDFDDALFKSFDM
+>sp|Q9H0A8|COMD4_HUMAN COMM domain-containing protein 4 OS=Homo sapiens OX=9606 GN=COMMD4 PE=1 SV=1
+MRFRFCGDLDCPDWVLAEISTLAKMSSVKLRLLCSQVLKELLGQGIDYEKILKLTADAKFESGDVKATVAVLSFILSSAAKHSVDGESLSSELQQLGLPKEHAASLCRCYEEKQSPLQKHLRVCSLRMNRLAGVGWRVDYTLSSSLLQSVEEPMVHLRLEVAAAPGTPAQPVAMSLSADKFQVLLAELKQAQTLMSSLG
+>DECOY_sp|Q9H0A8|COMD4_HUMAN COMM domain-containing protein 4 OS=Homo sapiens OX=9606 GN=COMMD4 PE=1 SV=1
+GLSSMLTQAQKLEALLVQFKDASLSMAVPQAPTGPAAAVELRLHVMPEEVSQLLSSSLTYDVRWGVGALRNMRLSCVRLHKQLPSQKEEYCRCLSAAHEKPLGLQQLESSLSEGDVSHKAASSLIFSLVAVTAKVDGSEFKADATLKLIKEYDIGQGLLEKLVQSCLLRLKVSSMKALTSIEALVWDPCDLDGCFRFRM
+>sp|Q86VX2|COMD7_HUMAN COMM domain-containing protein 7 OS=Homo sapiens OX=9606 GN=COMMD7 PE=1 SV=2
+MGRLHCTEDPVPEAVGGDMQQLNQLGAQQFSALTEVLFHFLTEPKEVERFLAQLSEFATTNQISLGSLRSIVKSLLLVPNGALKKSLTAKQVQADFITLGLSEEKATYFSEKWKQNAPTLARWAIGQTLMINQLIDMEWKFGVTSGSSELEKVGSIFLQLKLVVKKGNQTENVYIELTLPQFYSFLHEMERVRTSMECFC
+>DECOY_sp|Q86VX2|COMD7_HUMAN COMM domain-containing protein 7 OS=Homo sapiens OX=9606 GN=COMMD7 PE=1 SV=2
+CFCEMSTRVREMEHLFSYFQPLTLEIYVNETQNGKKVVLKLQLFISGVKELESSGSTVGFKWEMDILQNIMLTQGIAWRALTPANQKWKESFYTAKEESLGLTIFDAQVQKATLSKKLAGNPVLLLSKVISRLSGLSIQNTTAFESLQALFREVEKPETLFHFLVETLASFQQAGLQNLQQMDGGVAEPVPDETCHLRGM
+>sp|P21964|COMT_HUMAN Catechol O-methyltransferase OS=Homo sapiens OX=9606 GN=COMT PE=1 SV=2
+MPEAPPLLLAAVLLGLVLLVVLLLLLRHWGWGLCLIGWNEFILQPIHNLLMGDTKEQRILNHVLQHAEPGNAQSVLEAIDTYCEQKEWAMNVGDKKGKIVDAVIQEHQPSVLLELGAYCGYSAVRMARLLSPGARLITIEINPDCAAITQRMVDFAGVKDKVTLVVGASQDIIPQLKKKYDVDTLDMVFLDHWKDRYLPDTLLLEECGLLRKGTVLLADNVICPGAPDFLAHVRGSSCFECTHYQSFLEYREVVDGLEKAIYKGPGSEAGP
+>DECOY_sp|P21964|COMT_HUMAN Catechol O-methyltransferase OS=Homo sapiens OX=9606 GN=COMT PE=1 SV=2
+PGAESGPGKYIAKELGDVVERYELFSQYHTCEFCSSGRVHALFDPAGPCIVNDALLVTGKRLLGCEELLLTDPLYRDKWHDLFVMDLTDVDYKKKLQPIIDQSAGVVLTVKDKVGAFDVMRQTIAACDPNIEITILRAGPSLLRAMRVASYGCYAGLELLVSPQHEQIVADVIKGKKDGVNMAWEKQECYTDIAELVSQANGPEAHQLVHNLIRQEKTDGMLLNHIPQLIFENWGILCLGWGWHRLLLLLVVLLVLGLLVAALLLPPAEPM
+>sp|Q99807|COQ7_HUMAN 5-demethoxyubiquinone hydroxylase, mitochondrial OS=Homo sapiens OX=9606 GN=COQ7 PE=1 SV=3
+MSCAGAAAAPRLWRLRPGARRSLSAYGRRTSVRFRSSGMTLDNISRAAVDRIIRVDHAGEYGANRIYAGQMAVLGRTSVGPVIQKMWDQEKDHLKKFNELMVTFRVRPTVLMPLWNVLGFALGAGTALLGKEGAMACTVAVEESIAHHYNNQIRTLMEEDPEKYEELLQLIKKFRDEELEHHDIGLDHDAELAPAYAVLKSIIQAGCRVAIYLSERL
+>DECOY_sp|Q99807|COQ7_HUMAN 5-demethoxyubiquinone hydroxylase, mitochondrial OS=Homo sapiens OX=9606 GN=COQ7 PE=1 SV=3
+LRESLYIAVRCGAQIISKLVAYAPALEADHDLGIDHHELEEDRFKKILQLLEEYKEPDEEMLTRIQNNYHHAISEEVAVTCAMAGEKGLLATGAGLAFGLVNWLPMLVTPRVRFTVMLENFKKLHDKEQDWMKQIVPGVSTRGLVAMQGAYIRNAGYEGAHDVRIIRDVAARSINDLTMGSSRFRVSTRRGYASLSRRAGPRLRWLRPAAAAGACSM
+>sp|Q8NI60|COQ8A_HUMAN Atypical kinase COQ8A, mitochondrial OS=Homo sapiens OX=9606 GN=COQ8A PE=1 SV=1
+MAAILGDTIMVAKGLVKLTQAAVETHLQHLGIGGELIMAARALQSTAVEQIGMFLGKVQGQDKHEEYFAENFGGPEGEFHFSVPHAAGASTDFSSASAPDQSAPPSLGHAHSEGPAPAYVASGPFREAGFPGQASSPLGRANGRLFANPRDSFSAMGFQRRFFHQDQSPVGGLTAEDIEKARQAKARPENKQHKQTLSEHARERKVPVTRIGRLANFGGLAVGLGFGALAEVAKKSLRSEDPSGKKAVLGSSPFLSEANAERIVRTLCKVRGAALKLGQMLSIQDDAFINPHLAKIFERVRQSADFMPLKQMMKTLNNDLGPNWRDKLEYFEERPFAAASIGQVHLARMKGGREVAMKIQYPGVAQSINSDVNNLMAVLNMSNMLPEGLFPEHLIDVLRRELALECDYQREAACARKFRDLLKGHPFFYVPEIVDELCSPHVLTTELVSGFPLDQAEGLSQEIRNEICYNILVLCLRELFEFHFMQTDPNWSNFFYDPQQHKVALLDFGATREYDRSFTDLYIQIIRAAADRDRETVRAKSIEMKFLTGYEVKVMEDAHLDAILILGEAFASDEPFDFGTQSTTEKIHNLIPVMLRHRLVPPPEETYSLHRKMGGSFLICSKLKARFPCKAMFEEAYSNYCKRQAQQ
+>DECOY_sp|Q8NI60|COQ8A_HUMAN Atypical kinase COQ8A, mitochondrial OS=Homo sapiens OX=9606 GN=COQ8A PE=1 SV=1
+QQAQRKCYNSYAEEFMAKCPFRAKLKSCILFSGGMKRHLSYTEEPPPVLRHRLMVPILNHIKETTSQTGFDFPEDSAFAEGLILIADLHADEMVKVEYGTLFKMEISKARVTERDRDAAARIIQIYLDTFSRDYERTAGFDLLAVKHQQPDYFFNSWNPDTQMFHFEFLERLCLVLINYCIENRIEQSLGEAQDLPFGSVLETTLVHPSCLEDVIEPVYFFPHGKLLDRFKRACAAERQYDCELALERRLVDILHEPFLGEPLMNSMNLVAMLNNVDSNISQAVGPYQIKMAVERGGKMRALHVQGISAAAFPREEFYELKDRWNPGLDNNLTKMMQKLPMFDASQRVREFIKALHPNIFADDQISLMQGLKLAAGRVKCLTRVIREANAESLFPSSGLVAKKGSPDESRLSKKAVEALAGFGLGVALGGFNALRGIRTVPVKRERAHESLTQKHQKNEPRAKAQRAKEIDEATLGGVPSQDQHFFRRQFGMASFSDRPNAFLRGNARGLPSSAQGPFGAERFPGSAVYAPAPGESHAHGLSPPASQDPASASSFDTSAGAAHPVSFHFEGEPGGFNEAFYEEHKDQGQVKGLFMGIQEVATSQLARAAMILEGGIGLHQLHTEVAAQTLKVLGKAVMITDGLIAAM
+>sp|O75208|COQ9_HUMAN Ubiquinone biosynthesis protein COQ9, mitochondrial OS=Homo sapiens OX=9606 GN=COQ9 PE=1 SV=1
+MAAAAVSGALGRAGWRLLQLRCLPVARCRQALVPRAFHASAVGLRSSDEQKQQPPNSFSQQHSETQGAEKPDPESSHSPPRYTDQGGEEEEDYESEEQLQHRILTAALEFVPAHGWTAEAIAEGAQSLGLSSAAASMFGKDGSELILHFVTQCNTRLTRVLEEEQKLVQLGQAEKRKTDQFLRDAVETRLRMLIPYIEHWPRALSILMLPHNIPSSLSLLTSMVDDMWHYAGDQSTDFNWYTRRAMLAAIYNTTELVMMQDSSPDFEDTWRFLENRVNDAMNMGHTAKQVKSTGEALVQGLMGAAVTLKNLTGLNQRR
+>DECOY_sp|O75208|COQ9_HUMAN Ubiquinone biosynthesis protein COQ9, mitochondrial OS=Homo sapiens OX=9606 GN=COQ9 PE=1 SV=1
+RRQNLGTLNKLTVAAGMLGQVLAEGTSKVQKATHGMNMADNVRNELFRWTDEFDPSSDQMMVLETTNYIAALMARRTYWNFDTSQDGAYHWMDDVMSTLLSLSSPINHPLMLISLARPWHEIYPILMRLRTEVADRLFQDTKRKEAQGLQVLKQEEELVRTLRTNCQTVFHLILESGDKGFMSAAASSLGLSQAGEAIAEATWGHAPVFELAATLIRHQLQEESEYDEEEEGGQDTYRPPSHSSEPDPKEAGQTESHQQSFSNPPQQKQEDSSRLGVASAHFARPVLAQRCRAVPLCRLQLLRWGARGLAGSVAAAAM
+>sp|Q9BR76|COR1B_HUMAN Coronin-1B OS=Homo sapiens OX=9606 GN=CORO1B PE=1 SV=1
+MSFRKVVRQSKFRHVFGQPVKNDQCYEDIRVSRVTWDSTFCAVNPKFLAVIVEASGGGAFLVLPLSKTGRIDKAYPTVCGHTGPVLDIDWCPHNDEVIASGSEDCTVMVWQIPENGLTSPLTEPVVVLEGHTKRVGIIAWHPTARNVLLSAGCDNVVLIWNVGTAEELYRLDSLHPDLIYNVSWNHNGSLFCSACKDKSVRIIDPRRGTLVAEREKAHEGARPMRAIFLADGKVFTTGFSRMSERQLALWDPENLEEPMALQELDSSNGALLPFYDPDTSVVYVCGKGDSSIRYFEITEEPPYIHFLNTFTSKEPQRGMGSMPKRGLEVSKCEIARFYKLHERKCEPIVMTVPRKSDLFQDDLYPDTAGPEAALEAEEWVSGRDADPILISLREAYVPSKQRDLKISRRNVLSDSRPAMAPGSSHLGAPASTTTAADATPSGSLARAGEAGKLEEVMQELRALRALVKEQGDRICRLEEQLGRMENGDA
+>DECOY_sp|Q9BR76|COR1B_HUMAN Coronin-1B OS=Homo sapiens OX=9606 GN=CORO1B PE=1 SV=1
+ADGNEMRGLQEELRCIRDGQEKVLARLARLEQMVEELKGAEGARALSGSPTADAATTTSAPAGLHSSGPAMAPRSDSLVNRRSIKLDRQKSPVYAERLSILIPDADRGSVWEEAELAAEPGATDPYLDDQFLDSKRPVTMVIPECKREHLKYFRAIECKSVELGRKPMSGMGRQPEKSTFTNLFHIYPPEETIEFYRISSDGKGCVYVVSTDPDYFPLLAGNSSDLEQLAMPEELNEPDWLALQRESMRSFGTTFVKGDALFIARMPRAGEHAKEREAVLTGRRPDIIRVSKDKCASCFLSGNHNWSVNYILDPHLSDLRYLEEATGVNWILVVNDCGASLLVNRATPHWAIIGVRKTHGELVVVPETLPSTLGNEPIQWVMVTCDESGSAIVEDNHPCWDIDLVPGTHGCVTPYAKDIRGTKSLPLVLFAGGGSAEVIVALFKPNVACFTSDWTVRSVRIDEYCQDNKVPQGFVHRFKSQRVVKRFSM
+>sp|Q9ULV4|COR1C_HUMAN Coronin-1C OS=Homo sapiens OX=9606 GN=CORO1C PE=1 SV=1
+MRRVVRQSKFRHVFGQAVKNDQCYDDIRVSRVTWDSSFCAVNPRFVAIIIEASGGGAFLVLPLHKTGRIDKSYPTVCGHTGPVLDIDWCPHNDQVIASGSEDCTVMVWQIPENGLTLSLTEPVVILEGHSKRVGIVAWHPTARNVLLSAGCDNAIIIWNVGTGEALINLDDMHSDMIYNVSWNRNGSLICTASKDKKVRVIDPRKQEIVAEKEKAHEGARPMRAIFLADGNVFTTGFSRMSERQLALWNPKNMQEPIALHEMDTSNGVLLPFYDPDTSIIYLCGKGDSSIRYFEITDESPYVHYLNTFSSKEPQRGMGYMPKRGLDVNKCEIARFFKLHERKCEPIIMTVPRKSDLFQDDLYPDTAGPEAALEAEEWFEGKNADPILISLKHGYIPGKNRDLKVVKKNILDSKPTANKKCDLISIPKKTTDTASVQNEAKLDEILKEIKSIKDTICNQDERISKLEQQMAKIAA
+>DECOY_sp|Q9ULV4|COR1C_HUMAN Coronin-1C OS=Homo sapiens OX=9606 GN=CORO1C PE=1 SV=1
+AAIKAMQQELKSIREDQNCITDKISKIEKLIEDLKAENQVSATDTTKKPISILDCKKNATPKSDLINKKVVKLDRNKGPIYGHKLSILIPDANKGEFWEEAELAAEPGATDPYLDDQFLDSKRPVTMIIPECKREHLKFFRAIECKNVDLGRKPMYGMGRQPEKSSFTNLYHVYPSEDTIEFYRISSDGKGCLYIISTDPDYFPLLVGNSTDMEHLAIPEQMNKPNWLALQRESMRSFGTTFVNGDALFIARMPRAGEHAKEKEAVIEQKRPDIVRVKKDKSATCILSGNRNWSVNYIMDSHMDDLNILAEGTGVNWIIIANDCGASLLVNRATPHWAVIGVRKSHGELIVVPETLSLTLGNEPIQWVMVTCDESGSAIVQDNHPCWDIDLVPGTHGCVTPYSKDIRGTKHLPLVLFAGGGSAEIIIAVFRPNVACFSSDWTVRSVRIDDYCQDNKVAQGFVHRFKSQRVVRRM
+>sp|Q7Z4L0|COX8C_HUMAN Cytochrome c oxidase subunit 8C, mitochondrial OS=Homo sapiens OX=9606 GN=COX8C PE=2 SV=1
+MPLLRGRCPARRHYRRLALLGLQPAPRFAHSGPPRQRPLSAAEMAVGLVVFFTTFLTPAAYVLGNLKQFRRN
+>DECOY_sp|Q7Z4L0|COX8C_HUMAN Cytochrome c oxidase subunit 8C, mitochondrial OS=Homo sapiens OX=9606 GN=COX8C PE=2 SV=1
+NRRFQKLNGLVYAAPTLFTTFFVVLGVAMEAASLPRQRPPGSHAFRPAPQLGLLALRRYHRRAPCRGRLLPM
+>sp|Q96MC5|CP045_HUMAN Uncharacterized protein C16orf45 OS=Homo sapiens OX=9606 GN=C16orf45 PE=1 SV=1
+MELKQSLSTHLEAEKPLRRYGAVEETAWKTERLGRNQLDIISMAETTMMPEEIELEMAKIQRLREVLVRRESELRFMMDDIQLCKDIMDLKQELQNLVAIPEKEKTKLQKQREDELIQKIHKLVQKRDFLVDDAEVERLREQEEDKEMADFLRIKLKPLDKVTKSPASSRAEKKAEPPPSKPTVAKTGLALIKDCCGATQCNIM
+>DECOY_sp|Q96MC5|CP045_HUMAN Uncharacterized protein C16orf45 OS=Homo sapiens OX=9606 GN=C16orf45 PE=1 SV=1
+MINCQTAGCCDKILALGTKAVTPKSPPPEAKKEARSSAPSKTVKDLPKLKIRLFDAMEKDEEQERLREVEADDVLFDRKQVLKHIKQILEDERQKQLKTKEKEPIAVLNQLEQKLDMIDKCLQIDDMMFRLESERRVLVERLRQIKAMELEIEEPMMTTEAMSIIDLQNRGLRETKWATEEVAGYRRLPKEAELHTSLSQKLEM
+>sp|Q6UWD8|CP054_HUMAN Transmembrane protein C16orf54 OS=Homo sapiens OX=9606 GN=C16orf54 PE=1 SV=1
+MPLTPEPPSGRVEGPPAWEAAPWPSLPCGPCIPIMLVLATLAALFILTTAVLAERLFRRALRPDPSHRAPTLVWRPGGELWIEPMGTARERSEDWYGSAVPLLTDRAPEPPTQVGTLEARATAPPAPSAPNSAPSNLGPQTVLEVPARSTFWGPQPWEGRPPATGLVSWAEPEQRPEASVQFGSPQARRQRPGSPDPEWGLQPRVTLEQISAFWKREGRTSVGF
+>DECOY_sp|Q6UWD8|CP054_HUMAN Transmembrane protein C16orf54 OS=Homo sapiens OX=9606 GN=C16orf54 PE=1 SV=1
+FGVSTRGERKWFASIQELTVRPQLGWEPDPSGPRQRRAQPSGFQVSAEPRQEPEAWSVLGTAPPRGEWPQPGWFTSRAPVELVTQPGLNSPASNPASPAPPATARAELTGVQTPPEPARDTLLPVASGYWDESRERATGMPEIWLEGGPRWVLTPARHSPDPRLARRFLREALVATTLIFLAALTALVLMIPICPGCPLSPWPAAEWAPPGEVRGSPPEPTLPM
+>sp|P05093|CP17A_HUMAN Steroid 17-alpha-hydroxylase/17,20 lyase OS=Homo sapiens OX=9606 GN=CYP17A1 PE=1 SV=1
+MWELVALLLLTLAYLFWPKRRCPGAKYPKSLLSLPLVGSLPFLPRHGHMHNNFFKLQKKYGPIYSVRMGTKTTVIVGHHQLAKEVLIKKGKDFSGRPQMATLDIASNNRKGIAFADSGAHWQLHRRLAMATFALFKDGDQKLEKIICQEISTLCDMLATHNGQSIDISFPVFVAVTNVISLICFNTSYKNGDPELNVIQNYNEGIIDNLSKDSLVDLVPWLKIFPNKTLEKLKSHVKIRNDLLNKILENYKEKFRSDSITNMLDTLMQAKMNSDNGNAGPDQDSELLSDNHILTTIGDIFGAGVETTTSVVKWTLAFLLHNPQVKKKLYEEIDQNVGFSRTPTISDRNRLLLLEATIREVLRLRPVAPMLIPHKANVDSSIGEFAVDKGTEVIINLWALHHNEKEWHQPDQFMPERFLNPAGTQLISPSVSYLPFGAGPRSCIGEILARQELFLIMAWLLQRFDLEVPDDGQLPSLEGIPKVVFLIDSFKVKIKVRQAWREAQAEGST
+>DECOY_sp|P05093|CP17A_HUMAN Steroid 17-alpha-hydroxylase/17,20 lyase OS=Homo sapiens OX=9606 GN=CYP17A1 PE=1 SV=1
+TSGEAQAERWAQRVKIKVKFSDILFVVKPIGELSPLQGDDPVELDFRQLLWAMILFLEQRALIEGICSRPGAGFPLYSVSPSILQTGAPNLFREPMFQDPQHWEKENHHLAWLNIIVETGKDVAFEGISSDVNAKHPILMPAVPRLRLVERITAELLLLRNRDSITPTRSFGVNQDIEEYLKKKVQPNHLLFALTWKVVSTTTEVGAGFIDGITTLIHNDSLLESDQDPGANGNDSNMKAQMLTDLMNTISDSRFKEKYNELIKNLLDNRIKVHSKLKELTKNPFIKLWPVLDVLSDKSLNDIIGENYNQIVNLEPDGNKYSTNFCILSIVNTVAVFVPFSIDISQGNHTALMDCLTSIEQCIIKELKQDGDKFLAFTAMALRRHLQWHAGSDAFAIGKRNNSAIDLTAMQPRGSFDKGKKILVEKALQHHGVIVTTKTGMRVSYIPGYKKQLKFFNNHMHGHRPLFPLSGVLPLSLLSKPYKAGPCRRKPWFLYALTLLLLAVLEWM
+>sp|P08686|CP21A_HUMAN Steroid 21-hydroxylase OS=Homo sapiens OX=9606 GN=CYP21A2 PE=1 SV=1
+MLLLGLLLLPLLAGARLLWNWWKLRSLHLPPLAPGFLHLLQPDLPIYLLGLTQKFGPIYRLHLGLQDVVVLNSKRTIEEAMVKKWADFAGRPEPLTYKLVSKNYPDLSLGDYSLLWKAHKKLTRSALLLGIRDSMEPVVEQLTQEFCERMRAQPGTPVAIEEEFSLLTCSIICYLTFGDKIKDDNLMPAYYKCIQEVLKTWSHWSIQIVDVIPFLRFFPNPGLRRLKQAIEKRDHIVEMQLRQHKESLVAGQWRDMMDYMLQGVAQPSMEEGSGQLLEGHVHMAAVDLLIGGTETTANTLSWAVVFLLHHPEIQQRLQEELDHELGPGASSSRVPYKDRARLPLLNATIAEVLRLRPVVPLALPHRTTRPSSISGYDIPEGTVIIPNLQGAHLDETVWERPHEFWPDRFLEPGKNSRALAFGCGARVCLGEPLARLELFVVLTRLLQAFTLLPSGDALPSLQPLPHCSVILKMQPFQVRLQPRGMGAHSPGQNQ
+>DECOY_sp|P08686|CP21A_HUMAN Steroid 21-hydroxylase OS=Homo sapiens OX=9606 GN=CYP21A2 PE=1 SV=1
+QNQGPSHAGMGRPQLRVQFPQMKLIVSCHPLPQLSPLADGSPLLTFAQLLRTLVVFLELRALPEGLCVRAGCGFALARSNKGPELFRDPWFEHPREWVTEDLHAGQLNPIIVTGEPIDYGSISSPRTTRHPLALPVVPRLRLVEAITANLLPLRARDKYPVRSSSAGPGLEHDLEEQLRQQIEPHHLLFVVAWSLTNATTETGGILLDVAAMHVHGELLQGSGEEMSPQAVGQLMYDMMDRWQGAVLSEKHQRLQMEVIHDRKEIAQKLRRLGPNPFFRLFPIVDVIQISWHSWTKLVEQICKYYAPMLNDDKIKDGFTLYCIISCTLLSFEEEIAVPTGPQARMRECFEQTLQEVVPEMSDRIGLLLASRTLKKHAKWLLSYDGLSLDPYNKSVLKYTLPEPRGAFDAWKKVMAEEITRKSNLVVVDQLGLHLRYIPGFKQTLGLLYIPLDPQLLHLFGPALPPLHLSRLKWWNWLLRAGALLPLLLLGLLLM
+>sp|P33261|CP2CJ_HUMAN Cytochrome P450 2C19 OS=Homo sapiens OX=9606 GN=CYP2C19 PE=1 SV=3
+MDPFVVLVLCLSCLLLLSIWRQSSGRGKLPPGPTPLPVIGNILQIDIKDVSKSLTNLSKIYGPVFTLYFGLERMVVLHGYEVVKEALIDLGEEFSGRGHFPLAERANRGFGIVFSNGKRWKEIRRFSLMTLRNFGMGKRSIEDRVQEEARCLVEELRKTKASPCDPTFILGCAPCNVICSIIFQKRFDYKDQQFLNLMEKLNENIRIVSTPWIQICNNFPTIIDYFPGTHNKLLKNLAFMESDILEKVKEHQESMDINNPRDFIDCFLIKMEKEKQNQQSEFTIENLVITAADLLGAGTETTSTTLRYALLLLLKHPEVTAKVQEEIERVVGRNRSPCMQDRGHMPYTDAVVHEVQRYIDLIPTSLPHAVTCDVKFRNYLIPKGTTILTSLTSVLHDNKEFPNPEMFDPRHFLDEGGNFKKSNYFMPFSAGKRICVGEGLARMELFLFLTFILQNFNLKSLIDPKDLDTTPVVNGFASVPPFYQLCFIPV
+>DECOY_sp|P33261|CP2CJ_HUMAN Cytochrome P450 2C19 OS=Homo sapiens OX=9606 GN=CYP2C19 PE=1 SV=3
+VPIFCLQYFPPVSAFGNVVPTTDLDKPDILSKLNFNQLIFTLFLFLEMRALGEGVCIRKGASFPMFYNSKKFNGGEDLFHRPDFMEPNPFEKNDHLVSTLSTLITTGKPILYNRFKVDCTVAHPLSTPILDIYRQVEHVVADTYPMHGRDQMCPSRNRGVVREIEEQVKATVEPHKLLLLLAYRLTTSTTETGAGLLDAATIVLNEITFESQQNQKEKEMKILFCDIFDRPNNIDMSEQHEKVKELIDSEMFALNKLLKNHTGPFYDIITPFNNCIQIWPTSVIRINENLKEMLNLFQQDKYDFRKQFIISCIVNCPACGLIFTPDCPSAKTKRLEEVLCRAEEQVRDEISRKGMGFNRLTMLSFRRIEKWRKGNSFVIGFGRNAREALPFHGRGSFEEGLDILAEKVVEYGHLVVMRELGFYLTFVPGYIKSLNTLSKSVDKIDIQLINGIVPLPTPGPPLKGRGSSQRWISLLLLCSLCLVLVVFPDM
+>sp|P10635|CP2D6_HUMAN Cytochrome P450 2D6 OS=Homo sapiens OX=9606 GN=CYP2D6 PE=1 SV=2
+MGLEALVPLAVIVAIFLLLVDLMHRRQRWAARYPPGPLPLPGLGNLLHVDFQNTPYCFDQLRRRFGDVFSLQLAWTPVVVLNGLAAVREALVTHGEDTADRPPVPITQILGFGPRSQGVFLARYGPAWREQRRFSVSTLRNLGLGKKSLEQWVTEEAACLCAAFANHSGRPFRPNGLLDKAVSNVIASLTCGRRFEYDDPRFLRLLDLAQEGLKEESGFLREVLNAVPVLLHIPALAGKVLRFQKAFLTQLDELLTEHRMTWDPAQPPRDLTEAFLAEMEKAKGNPESSFNDENLRIVVADLFSAGMVTTSTTLAWGLLLMILHPDVQRRVQQEIDDVIGQVRRPEMGDQAHMPYTTAVIHEVQRFGDIVPLGVTHMTSRDIEVQGFRIPKGTTLITNLSSVLKDEAVWEKPFRFHPEHFLDAQGHFVKPEAFLPFSAGRRACLGEPLARMELFLFFTSLLQHFSFSVPTGQPRPSHHGVFAFLVSPSPYELCAVPR
+>DECOY_sp|P10635|CP2D6_HUMAN Cytochrome P450 2D6 OS=Homo sapiens OX=9606 GN=CYP2D6 PE=1 SV=2
+RPVACLEYPSPSVLFAFVGHHSPRPQGTPVSFSFHQLLSTFFLFLEMRALPEGLCARRGASFPLFAEPKVFHGQADLFHEPHFRFPKEWVAEDKLVSSLNTILTTGKPIRFGQVEIDRSTMHTVGLPVIDGFRQVEHIVATTYPMHAQDGMEPRRVQGIVDDIEQQVRRQVDPHLIMLLLGWALTTSTTVMGASFLDAVVIRLNEDNFSSEPNGKAKEMEALFAETLDRPPQAPDWTMRHETLLEDLQTLFAKQFRLVKGALAPIHLLVPVANLVERLFGSEEKLGEQALDLLRLFRPDDYEFRRGCTLSAIVNSVAKDLLGNPRFPRGSHNAFAACLCAAEETVWQELSKKGLGLNRLTSVSFRRQERWAPGYRALFVGQSRPGFGLIQTIPVPPRDATDEGHTVLAERVAALGNLVVVPTWALQLSFVDGFRRRLQDFCYPTNQFDVHLLNGLGPLPLPGPPYRAAWRQRRHMLDVLLLFIAVIVALPVLAELGM
+>sp|P05181|CP2E1_HUMAN Cytochrome P450 2E1 OS=Homo sapiens OX=9606 GN=CYP2E1 PE=1 SV=1
+MSALGVTVALLVWAAFLLLVSMWRQVHSSWNLPPGPFPLPIIGNLFQLELKNIPKSFTRLAQRFGPVFTLYVGSQRMVVMHGYKAVKEALLDYKDEFSGRGDLPAFHAHRDRGIIFNNGPTWKDIRRFSLTTLRNYGMGKQGNESRIQREAHFLLEALRKTQGQPFDPTFLIGCAPCNVIADILFRKHFDYNDEKFLRLMYLFNENFHLLSTPWLQLYNNFPSFLHYLPGSHRKVIKNVAEVKEYVSERVKEHHQSLDPNCPRDLTDCLLVEMEKEKHSAERLYTMDGITVTVADLFFAGTETTSTTLRYGLLILMKYPEIEEKLHEEIDRVIGPSRIPAIKDRQEMPYMDAVVHEIQRFITLVPSNLPHEATRDTIFRGYLIPKGTVVVPTLDSVLYDNQEFPDPEKFKPEHFLNENGKFKYSDYFKPFSTGKRVCAGEGLARMELFLLLCAILQHFNLKPLVDPKDIDLSPIHIGFGCIPPRYKLCVIPRS
+>DECOY_sp|P05181|CP2E1_HUMAN Cytochrome P450 2E1 OS=Homo sapiens OX=9606 GN=CYP2E1 PE=1 SV=1
+SRPIVCLKYRPPICGFGIHIPSLDIDKPDVLPKLNFHQLIACLLLFLEMRALGEGACVRKGTSFPKFYDSYKFKGNENLFHEPKFKEPDPFEQNDYLVSDLTPVVVTGKPILYGRFITDRTAEHPLNSPVLTIFRQIEHVVADMYPMEQRDKIAPIRSPGIVRDIEEHLKEEIEPYKMLILLGYRLTTSTTETGAFFLDAVTVTIGDMTYLREASHKEKEMEVLLCDTLDRPCNPDLSQHHEKVRESVYEKVEAVNKIVKRHSGPLYHLFSPFNNYLQLWPTSLLHFNENFLYMLRLFKEDNYDFHKRFLIDAIVNCPACGILFTPDFPQGQTKRLAELLFHAERQIRSENGQKGMGYNRLTTLSFRRIDKWTPGNNFIIGRDRHAHFAPLDGRGSFEDKYDLLAEKVAKYGHMVVMRQSGVYLTFVPGFRQALRTFSKPINKLELQFLNGIIPLPFPGPPLNWSSHVQRWMSVLLLFAAWVLLAVTVGLASM
+>sp|Q6VVX0|CP2R1_HUMAN Vitamin D 25-hydroxylase OS=Homo sapiens OX=9606 GN=CYP2R1 PE=1 SV=1
+MWKLWRAEEGAAALGGALFLLLFALGVRQLLKQRRPMGFPPGPPGLPFIGNIYSLAASSELPHVYMRKQSQVYGEIFSLDLGGISTVVLNGYDVVKECLVHQSEIFADRPCLPLFMKMTKMGGLLNSRYGRGWVDHRRLAVNSFRYFGYGQKSFESKILEETKFFNDAIETYKGRPFDFKQLITNAVSNITNLIIFGERFTYEDTDFQHMIELFSENVELAASASVFLYNAFPWIGILPFGKHQQLFRNAAVVYDFLSRLIEKASVNRKPQLPQHFVDAYLDEMDQGKNDPSSTFSKENLIFSVGELIIAGTETTTNVLRWAILFMALYPNIQGQVQKEIDLIMGPNGKPSWDDKCKMPYTEAVLHEVLRFCNIVPLGIFHATSEDAVVRGYSIPKGTTVITNLYSVHFDEKYWRDPEVFHPERFLDSSGYFAKKEALVPFSLGRRHCLGEHLARMEMFLFFTALLQRFHLHFPHELVPDLKPRLGMTLQPQPYLICAERR
+>DECOY_sp|Q6VVX0|CP2R1_HUMAN Vitamin D 25-hydroxylase OS=Homo sapiens OX=9606 GN=CYP2R1 PE=1 SV=1
+RREACILYPQPQLTMGLRPKLDPVLEHPFHLHFRQLLATFFLFMEMRALHEGLCHRRGLSFPVLAEKKAFYGSSDLFREPHFVEPDRWYKEDFHVSYLNTIVTTGKPISYGRVVADESTAHFIGLPVINCFRLVEHLVAETYPMKCKDDWSPKGNPGMILDIEKQVQGQINPYLAMFLIAWRLVNTTTETGAIILEGVSFILNEKSFTSSPDNKGQDMEDLYADVFHQPLQPKRNVSAKEILRSLFDYVVAANRFLQQHKGFPLIGIWPFANYLFVSASAALEVNESFLEIMHQFDTDEYTFREGFIILNTINSVANTILQKFDFPRGKYTEIADNFFKTEELIKSEFSKQGYGFYRFSNVALRRHDVWGRGYRSNLLGGMKTMKMFLPLCPRDAFIESQHVLCEKVVDYGNLVVTSIGGLDLSFIEGYVQSQKRMYVHPLESSAALSYINGIFPLGPPGPPFGMPRRQKLLQRVGLAFLLLFLAGGLAAAGEEARWLKWM
+>sp|Q7Z449|CP2U1_HUMAN Cytochrome P450 2U1 OS=Homo sapiens OX=9606 GN=CYP2U1 PE=1 SV=1
+MSSPGPSQPPAEDPPWPARLLRAPLGLLRLDPSGGALLLCGLVALLGWSWLRRRRARGIPPGPTPWPLVGNFGHVLLPPFLRRRSWLSSRTRAAGIDPSVIGPQVLLAHLARVYGSIFSFFIGHYLVVVLSDFHSVREALVQQAEVFSDRPRVPLISIVTKEKGVVFAHYGPVWRQQRKFSHSTLRHFGLGKLSLEPKIIEEFKYVKAEMQKHGEDPFCPFSIISNAVSNIICSLCFGQRFDYTNSEFKKMLGFMSRGLEICLNSQVLLVNICPWLYYLPFGPFKELRQIEKDITSFLKKIIKDHQESLDRENPQDFIDMYLLHMEEERKNNSNSSFDEEYLFYIIGDLFIAGTDTTTNSLLWCLLYMSLNPDVQEKVHEEIERVIGANRAPSLTDKAQMPYTEATIMEVQRLTVVVPLAIPHMTSENTVLQGYTIPKGTLILPNLWSVHRDPAIWEKPEDFYPNRFLDDQGQLIKKETFIPFGIGKRVCMGEQLAKMELFLMFVSLMQSFAFALPEDSKKPLLTGRFGLTLAPHPFNITISRR
+>DECOY_sp|Q7Z449|CP2U1_HUMAN Cytochrome P450 2U1 OS=Homo sapiens OX=9606 GN=CYP2U1 PE=1 SV=1
+RRSITINFPHPALTLGFRGTLLPKKSDEPLAFAFSQMLSVFMLFLEMKALQEGMCVRKGIGFPIFTEKKILQGQDDLFRNPYFDEPKEWIAPDRHVSWLNPLILTGKPITYGQLVTNESTMHPIALPVVVTLRQVEMITAETYPMQAKDTLSPARNAGIVREIEEHVKEQVDPNLSMYLLCWLLSNTTTDTGAIFLDGIIYFLYEEDFSSNSNNKREEEMHLLYMDIFDQPNERDLSEQHDKIIKKLFSTIDKEIQRLEKFPGFPLYYLWPCINVLLVQSNLCIELGRSMFGLMKKFESNTYDFRQGFCLSCIINSVANSIISFPCFPDEGHKQMEAKVYKFEEIIKPELSLKGLGFHRLTSHSFKRQQRWVPGYHAFVVGKEKTVISILPVRPRDSFVEAQQVLAERVSHFDSLVVVLYHGIFFSFISGYVRALHALLVQPGIVSPDIGAARTRSSLWSRRRLFPPLLVHGFNGVLPWPTPGPPIGRARRRRLWSWGLLAVLGCLLLAGGSPDLRLLGLPARLLRAPWPPDEAPPQSPGPSSM
+>sp|Q9NYL5|CP39A_HUMAN 24-hydroxycholesterol 7-alpha-hydroxylase OS=Homo sapiens OX=9606 GN=CYP39A1 PE=2 SV=2
+MELISPTVIIILGCLALFLLLQRKNLRRPPCIKGWIPWIGVGFEFGKAPLEFIEKARIKYGPIFTVFAMGNRMTFVTEEEGINVFLKSKKVDFELAVQNIVYRTASIPKNVFLALHEKLYIMLKGKMGTVNLHQFTGQLTEELHEQLENLGTHGTMDLNNLVRHLLYPVTVNMLFNKSLFSTNKKKIKEFHQYFQVYDEDFEYGSQLPECLLRNWSKSKKWFLELFEKNIPDIKACKSAKDNSMTLLQATLDIVETETSKENSPNYGLLLLWASLSNAVPVAFWTLAYVLSHPDIHKAIMEGISSVFGKAGKDKIKVSEDDLENLLLIKWCVLETIRLKAPGVITRKVVKPVEILNYIIPSGDLLMLSPFWLHRNPKYFPEPELFKPERWKKANLEKHSFLDCFMAFGSGKFQCPARWFALLEVQMCIILILYKYDCSLLDPLPKQSYLHLVGVPQPEGQCRIEYKQRI
+>DECOY_sp|Q9NYL5|CP39A_HUMAN 24-hydroxycholesterol 7-alpha-hydroxylase OS=Homo sapiens OX=9606 GN=CYP39A1 PE=2 SV=2
+IRQKYEIRCQGEPQPVGVLHLYSQKPLPDLLSCDYKYLILIICMQVELLAFWRAPCQFKGSGFAMFCDLFSHKELNAKKWREPKFLEPEPFYKPNRHLWFPSLMLLDGSPIIYNLIEVPKVVKRTIVGPAKLRITELVCWKILLLNELDDESVKIKDKGAKGFVSSIGEMIAKHIDPHSLVYALTWFAVPVANSLSAWLLLLGYNPSNEKSTETEVIDLTAQLLTMSNDKASKCAKIDPINKEFLELFWKKSKSWNRLLCEPLQSGYEFDEDYVQFYQHFEKIKKKNTSFLSKNFLMNVTVPYLLHRVLNNLDMTGHTGLNELQEHLEETLQGTFQHLNVTGMKGKLMIYLKEHLALFVNKPISATRYVINQVALEFDVKKSKLFVNIGEEETVFTMRNGMAFVTFIPGYKIRAKEIFELPAKGFEFGVGIWPIWGKICPPRRLNKRQLLLFLALCGLIIIVTPSILEM
+>sp|P08684|CP3A4_HUMAN Cytochrome P450 3A4 OS=Homo sapiens OX=9606 GN=CYP3A4 PE=1 SV=4
+MALIPDLAMETWLLLAVSLVLLYLYGTHSHGLFKKLGIPGPTPLPFLGNILSYHKGFCMFDMECHKKYGKVWGFYDGQQPVLAITDPDMIKTVLVKECYSVFTNRRPFGPVGFMKSAISIAEDEEWKRLRSLLSPTFTSGKLKEMVPIIAQYGDVLVRNLRREAETGKPVTLKDVFGAYSMDVITSTSFGVNIDSLNNPQDPFVENTKKLLRFDFLDPFFLSITVFPFLIPILEVLNICVFPREVTNFLRKSVKRMKESRLEDTQKHRVDFLQLMIDSQNSKETESHKALSDLELVAQSIIFIFAGYETTSSVLSFIMYELATHPDVQQKLQEEIDAVLPNKAPPTYDTVLQMEYLDMVVNETLRLFPIAMRLERVCKKDVEINGMFIPKGVVVMIPSYALHRDPKYWTEPEKFLPERFSKKNKDNIDPYIYTPFGSGPRNCIGMRFALMNMKLALIRVLQNFSFKPCKETQIPLKLSLGGLLQPEKPVVLKVESRDGTVSGA
+>DECOY_sp|P08684|CP3A4_HUMAN Cytochrome P450 3A4 OS=Homo sapiens OX=9606 GN=CYP3A4 PE=1 SV=4
+AGSVTGDRSEVKLVVPKEPQLLGGLSLKLPIQTEKCPKFSFNQLVRILALKMNMLAFRMGICNRPGSGFPTYIYPDINDKNKKSFREPLFKEPETWYKPDRHLAYSPIMVVVGKPIFMGNIEVDKKCVRELRMAIPFLRLTENVVMDLYEMQLVTDYTPPAKNPLVADIEEQLKQQVDPHTALEYMIFSLVSSTTEYGAFIFIISQAVLELDSLAKHSETEKSNQSDIMLQLFDVRHKQTDELRSEKMRKVSKRLFNTVERPFVCINLVELIPILFPFVTISLFFPDLFDFRLLKKTNEVFPDQPNNLSDINVGFSTSTIVDMSYAGFVDKLTVPKGTEAERRLNRVLVDGYQAIIPVMEKLKGSTFTPSLLSRLRKWEEDEAISIASKMFGVPGFPRRNTFVSYCEKVLVTKIMDPDTIALVPQQGDYFGWVKGYKKHCEMDFMCFGKHYSLINGLFPLPTPGPIGLKKFLGHSHTGYLYLLVLSVALLLWTEMALDPILAM
+>sp|Q5TCH4|CP4AM_HUMAN Cytochrome P450 4A22 OS=Homo sapiens OX=9606 GN=CYP4A22 PE=1 SV=1
+MSVSVLSPSRRLGGVSGILQVTSLLILLLLLIKAAQLYLHRQWLLKALQQFPCPPSHWLFGHIQEFQHDQELQRIQERVKTFPSACPYWIWGGKVRVQLYDPDYMKVILGRSDPKSHGSYKFLAPRIGYGLLLLNGQTWFQHRRMLTPAFHNDILKPYVGLMADSVRVMLDKWEELLGQDSPLEVFQHVSLMTLDTIMKSAFSHQGSIQVDRNSQSYIQAISDLNSLVFCCMRNAFHENDTIYSLTSAGRWTHRACQLAHQHTDQVIQLRKAQLQKEGELEKIKRKRHLDFLDILLLAKMENGSILSDKDLRAEVDTFMFEGHDTTASGISWILYALATHPKHQERCREEIHGLLGDGASITWNHLDQMPYTTMCIKEALRLYPPVPGIGRELSTPVTFPDGRSLPKGIMVLLSIYGLHHNPKVWPNLEVFDPSRFAPGSAQHSHAFLPFSGGSRNCIGKQFAMNQLKVARALTLLRFELLPDPTRIPIPMARLVLKSKNGIHLRLRRLPNPCEDKDQL
+>DECOY_sp|Q5TCH4|CP4AM_HUMAN Cytochrome P450 4A22 OS=Homo sapiens OX=9606 GN=CYP4A22 PE=1 SV=1
+LQDKDECPNPLRRLRLHIGNKSKLVLRAMPIPIRTPDPLLEFRLLTLARAVKLQNMAFQKGICNRSGGSFPLFAHSHQASGPAFRSPDFVELNPWVKPNHHLGYISLLVMIGKPLSRGDPFTVPTSLERGIGPVPPYLRLAEKICMTTYPMQDLHNWTISAGDGLLGHIEERCREQHKPHTALAYLIWSIGSATTDHGEFMFTDVEARLDKDSLISGNEMKALLLIDLFDLHRKRKIKELEGEKQLQAKRLQIVQDTHQHALQCARHTWRGASTLSYITDNEHFANRMCCFVLSNLDSIAQIYSQSNRDVQISGQHSFASKMITDLTMLSVHQFVELPSDQGLLEEWKDLMVRVSDAMLGVYPKLIDNHFAPTLMRRHQFWTQGNLLLLGYGIRPALFKYSGHSKPDSRGLIVKMYDPDYLQVRVKGGWIWYPCASPFTKVREQIRQLEQDHQFEQIHGFLWHSPPCPFQQLAKLLWQRHLYLQAAKILLLLLILLSTVQLIGSVGGLRRSPSLVSVSM
+>sp|P13584|CP4B1_HUMAN Cytochrome P450 4B1 OS=Homo sapiens OX=9606 GN=CYP4B1 PE=1 SV=2
+MVPSFLSLSFSSLGLWASGLILVLGFLKLIHLLLRRQTLAKAMDKFPGPPTHWLFGHALEIQETGSLDKVVSWAHQFPYAHPLWFGQFIGFLNIYEPDYAKAVYSRGDPKAPDVYDFFLQWIGRGLLVLEGPKWLQHRKLLTPGFHYDVLKPYVAVFTESTRIMLDKWEEKAREGKSFDIFCDVGHMALNTLMKCTFGRGDTGLGHRDSSYYLAVSDLTLLMQQRLVSFQYHNDFIYWLTPHGRRFLRACQVAHDHTDQVIRERKAALQDEKVRKKIQNRRHLDFLDILLGARDEDDIKLSDADLRAEVDTFMFEGHDTTTSGISWFLYCMALYPEHQHRCREEVREILGDQDFFQWDDLGKMTYLTMCIKESFRLYPPVPQVYRQLSKPVTFVDGRSLPAGSLISMHIYALHRNSAVWPDPEVFDSLRFSTENASKRHPFAFMPFSAGPRNCIGQQFAMSEMKVVTAMCLLRFEFSLDPSRLPIKMPQLVLRSKNGFHLHLKPLGPGSGK
+>DECOY_sp|P13584|CP4B1_HUMAN Cytochrome P450 4B1 OS=Homo sapiens OX=9606 GN=CYP4B1 PE=1 SV=2
+KGSGPGLPKLHLHFGNKSRLVLQPMKIPLRSPDLSFEFRLLCMATVVKMESMAFQQGICNRPGASFPMFAFPHRKSANETSFRLSDFVEPDPWVASNRHLAYIHMSILSGAPLSRGDVFTVPKSLQRYVQPVPPYLRFSEKICMTLYTMKGLDDWQFFDQDGLIERVEERCRHQHEPYLAMCYLFWSIGSTTTDHGEFMFTDVEARLDADSLKIDDEDRAGLLIDLFDLHRRNQIKKRVKEDQLAAKRERIVQDTHDHAVQCARLFRRGHPTLWYIFDNHYQFSVLRQQMLLTLDSVALYYSSDRHGLGTDGRGFTCKMLTNLAMHGVDCFIDFSKGERAKEEWKDLMIRTSETFVAVYPKLVDYHFGPTLLKRHQLWKPGELVLLGRGIWQLFFDYVDPAKPDGRSYVAKAYDPEYINLFGIFQGFWLPHAYPFQHAWSVVKDLSGTEQIELAHGFLWHTPPGPFKDMAKALTQRRLLLHILKLFGLVLILGSAWLGLSSFSLSLFSPVM
+>sp|P78329|CP4F2_HUMAN Phylloquinone omega-hydroxylase CYP4F2 OS=Homo sapiens OX=9606 GN=CYP4F2 PE=1 SV=1
+MSQLSLSWLGLWPVAASPWLLLLLVGASWLLAHVLAWTYAFYDNCRRLRCFPQPPRRNWFWGHQGMVNPTEEGMRVLTQLVATYPQGFKVWMGPISPLLSLCHPDIIRSVINASAAIAPKDKFFYSFLEPWLGDGLLLSAGDKWSRHRRMLTPAFHFNILKPYMKIFNESVNIMHAKWQLLASEGSACLDMFEHISLMTLDSLQKCVFSFDSHCQEKPSEYIAAILELSALVSKRHHEILLHIDFLYYLTPDGQRFRRACRLVHDFTDAVIQERRRTLPSQGVDDFLQAKAKSKTLDFIDVLLLSKDEDGKKLSDEDIRAEADTFMFEGHDTTASGLSWVLYHLAKHPEYQERCRQEVQELLKDREPKEIEWDDLAHLPFLTMCMKESLRLHPPVPVISRHVTQDIVLPDGRVIPKGIICLISVFGTHHNPAVWPDPEVYDPFRFDPENIKERSPLAFIPFSAGPRNCIGQTFAMAEMKVVLALTLLRFRVLPDHTEPRRKPELVLRAEGGLWLRVEPLS
+>DECOY_sp|P78329|CP4F2_HUMAN Phylloquinone omega-hydroxylase CYP4F2 OS=Homo sapiens OX=9606 GN=CYP4F2 PE=1 SV=1
+SLPEVRLWLGGEARLVLEPKRRPETHDPLVRFRLLTLALVVKMEAMAFTQGICNRPGASFPIFALPSREKINEPDFRFPDYVEPDPWVAPNHHTGFVSILCIIGKPIVRGDPLVIDQTVHRSIVPVPPHLRLSEKMCMTLFPLHALDDWEIEKPERDKLLEQVEQRCREQYEPHKALHYLVWSLGSATTDHGEFMFTDAEARIDEDSLKKGDEDKSLLLVDIFDLTKSKAKAQLFDDVGQSPLTRRREQIVADTFDHVLRCARRFRQGDPTLYYLFDIHLLIEHHRKSVLASLELIAAIYESPKEQCHSDFSFVCKQLSDLTMLSIHEFMDLCASGESALLQWKAHMINVSENFIKMYPKLINFHFAPTLMRRHRSWKDGASLLLGDGLWPELFSYFFKDKPAIAASANIVSRIIDPHCLSLLPSIPGMWVKFGQPYTAVLQTLVRMGEETPNVMGQHGWFWNRRPPQPFCRLRRCNDYFAYTWALVHALLWSAGVLLLLLWPSAAVPWLGLWSLSLQSM
+>sp|Q8N1L4|CP4Z2_HUMAN Putative inactive cytochrome P450 family member 4Z2 OS=Homo sapiens OX=9606 GN=CYP4Z2P PE=5 SV=2
+MEPSWLQELMAHPFLLLILLCMSLLLFQVIRLYQRRRWTIRAMHLFPAPPAHWFYGHKESYPVKEFEVYPELMEKYPCAVPLWVGPFTMFFNIHDPDYVKILLKRQDPKSAVSHKILESWVGRGLVTLDGSKWKKHRQIVKPGFNISILKIFITMMSKSVRMMLNKWEEHIAQNSRLELFQHVSLMTLDSIMKCAFSHQGSIQLDSTLDSYLKAVFNLSKISNQRMNNFLHHNDLVFKFSSQGQIFSKFNQELHQFTEKVIQDRKESLKDKLKQDTTQKRRQDFLDILLSAKSENTKDFSEADLQAEVKTFMFAGHDTTTTAISWIFYCLAKYPEHQQRC
+>DECOY_sp|Q8N1L4|CP4Z2_HUMAN Putative inactive cytochrome P450 family member 4Z2 OS=Homo sapiens OX=9606 GN=CYP4Z2P PE=5 SV=2
+CRQQHEPYKALCYFIWSIATTTTDHGAFMFTKVEAQLDAESFDKTNESKASLLIDLFDQRRKQTTDQKLKDKLSEKRDQIVKETFQHLEQNFKSFIQGQSSFKFVLDNHHLFNNMRQNSIKSLNFVAKLYSDLTSDLQISGQHSFACKMISDLTMLSVHQFLELRSNQAIHEEWKNLMMRVSKSMMTIFIKLISINFGPKVIQRHKKWKSGDLTVLGRGVWSELIKHSVASKPDQRKLLIKVYDPDHINFFMTFPGVWLPVACPYKEMLEPYVEFEKVPYSEKHGYFWHAPPAPFLHMARITWRRRQYLRIVQFLLLSMCLLILLLFPHAMLEQLWSPEM
+>sp|Q3L8U1|CHD9_HUMAN Chromodomain-helicase-DNA-binding protein 9 OS=Homo sapiens OX=9606 GN=CHD9 PE=1 SV=2
+MTDPMMDFFDDANLFGETLEGLSDDAFVQPGPVSLVDELNLGAEFEPLHIDSLNHVQGTPTHQKMTDFEQLNQFDSIKFHHVNQSFGSPAEHVLSPHSQFNCSPIHPQNQPNGLFPDVSDGSPMWGHQTATTISNQNGSPFHQQGHSHSMHQNKSFVAHHDFALFQANEQQTQCTSLRSQQNRNNLNPGQNSLSQSKNFMNVSGPHRVNVNHPPQMTNASNSQQSISMQQFSQTSNPSAHFHKCSSHQEGNFNGPSPNMTSCSVSNSQQFSSHYSFSSNHISPNSLLQSSAVLASNHTNQTLSDFTGSNSFSPHRGIKQESTQHILNPNTSLNSNNFQILHSSHPQGNYSNSKLSPVHMNFPDPVDSGTQMGHFNDHVETNGFSSLEENLLHQVESQTEPFTGLDPEDLLQEGLLPHFDESTFGQDNSSHILDHDLDRQFTSHLVTRPSDMAQTQLQSQARSWHSSFSNHQHLHDRNHLCLQRQPPSSKKSDGSGTYTKLQNTQVRVMSEKKQRKKVESESKQEKANRIISEAIAKAKERGERNIPRVMSPENFPTASVEGKEEKKGRRMKSKPKDKDSKKTKTCSKLKEKTKIGKLIITLGKKQKRKNESSDEISDAEQMPQHTLKDQDSQKRRSNRQIKRKKYAEDIEGKQSEEEVKGSMKIKKNSAPLPGEQPLQLFVENPSEEDAAIVDKILSSRTVKKEISPGVMIDTEEFFVKYKNYSYLHCEWATEEQLLKDKRIQQKIKRFKLRQAQRAHFFADMEEEPFNPDYVEVDRVLEVSFCEDKDTGEPVIYYLVKWCSLPYEDSTWELKEDVDLAKIEEFEQLQASRPDTRRLDRPPSNIWKKIDQSRDYKNGNQLREYQLEGLNWLLFNWYNRRNCILADEMGLGKTIQSITFLYEILLTGIRGPFLIIAPLSTIANWEREFRTWTDINVVVYHGSLISRQMIQQYEMYFRDSQGRIIRGAYRFQAIITTFEMILGGCGELNAIEWRCVIIDEAHRLKNKNCKLLEGLKLMNLEHKVLLTGTPLQNTVEELFSLLHFLEPLRFPSESTFMQEFGDLKTEEQVQKLQAILKPMMLRRLKEDVEKKLAPKEETIIEVELTNIQKKYYRAILEKNFSFLSKGAGQTNVPNLVNTMMELRKCCNHPYLIKGAEEKILGEFRDTYNPAASDFHLQAMIQSAGKLVLIDKLLPKMKAGGHKVLIFSQMVRCLDILEDYLIHKRYLYERIDGRVRGNLRQAAIDRFSKPDSDRFVFLLCTRAGGLGINLTAADTCIIFDSDWNPQNDLQAQARCHRIGQNKAVKVYRLVTRNSYEREMFDRASLKLGLDKAVLQSMSGRESNVGGIQQLSKKEIEDLLRRGAYGAIMEEEDEGSKFCEEDIDQILLRRTKTITIESEGRGSTFAKASFVASGNRTDISLDDPNFWQKWAKKAEIDIEAISGRNSLVIDTPRIRKQTRPFSATKDELAELSEAESEGDEKPKLRRPCDRSNGYGRTECFRVEKNLLVYGWGRWREILSHGRFKRQLNEHDVEIICRALLAYCLVHYRGDEKIKGFIWDLITPTEDGQTRELQNHLGLSAPVPRGRKGKKVKTQTSSFDIQKAEWLRKYNPEQLLQDEGYKKHIKHHCNKVLLRVRMLYYLKQEVIGNECQKVFDGVDASDIDVWVPEPDHSEVPAEWWDFDADKSLLIGVFKHGYEKYNTIRADPALCFLERVGKPDEKAVAAEQRANDYMDGDVEDPEYKPAPAIFKDDIEDDVSSPGDLVIADGDGQLMEGDKVYWPTQSALTTRLRRLITAYQRTNKNRQIQQIQPTFSVPTSVMQPIYEEATLNPKMAAKIERQQRWTRREEADFYRVVSTFGVVFDPDRGQFDWTKFRAMARLHKKTDDSLEKYLYAFMSMCRRVCRLPSKEELVDPNIFIQPITEERASRTLYRIELLRKVREQALRHPQLFERLKLCHPNPDLPVWWECGPHDRDLLIGAAKHGVSRTDYHILRDPELSFMAAQRNYSQSKMAHSRTSTPLLQQYQVALSASPLTSLPRLLDAKGIILEEMKVKSENLKEEPQSSEEESMSSVETRTLIKSEPVSPKNGVLPQATGDQKSGGKCETDRRMVAARTEPLTPNPASKKPRVHKRGSESSSDSDSDSERSSCSSRSSSSSSSSSCSHSRSGSSSSSSSSCSSASSSSSSSTSSSSSSSSSSSEESDSDEEEAQKRESTTHMKAYDEESVASLSTTQDETQDSFQMNNGTPESAYILQGGYMLAASYWPKDRVMINRLDSICQTVLKGKWPSARRSYDANTVASFYTTKLLDSPGAATEYSDPSVPTPPGAGVKEEHDQSTQMSKVKKHVREKEFTVKIKDEGGLKLTFQKQGLAQKRPFDGEDGALGQQQYLTRLRELQSASETSLVNFPKSIPVSGTSIQPTLGANGVILDNQPIVKKRRGRRKNVEGVDIFFFNRNKPPNHVSLGLTSSQISTGINPALSYTQPQGIPDTESPVPVINLKDGTRLAGDDAPKRKDLEKWLKEHPGYVEDLGAFIPRMQLHEGRPKQKRHRCRNPNKLDVNSLTGEERVQLINRRNARKVGGAFAPPLKDLCRFLKENSEYGVAPEWGDVVKQSGFLPESMYERILTGPVVREEVSRRGRRPKSGIAKATAAAAAASATSVSGNPLLANGLLPGVDLTTLQALQQNLQNLQSLQVTAGLMGMPTGLPSGGEAKNMAAMFPMLLSGMAGLPNLLGMGGLLTKPTESGTEDKKGSDSKESEGKTERTESQSSENGGENSVSSSPSTSSTAALNTAAAANPLALNPLLLSNILYPGMLLTPGLNLHIPTLSQSNTFDVQNKNSDLGSSKSVEVKEEDSRIKDQEDKGGTEPSPLNENSTDEGSEKADASSGSDSTSSSSEDSDSSNED
+>DECOY_sp|Q3L8U1|CHD9_HUMAN Chromodomain-helicase-DNA-binding protein 9 OS=Homo sapiens OX=9606 GN=CHD9 PE=1 SV=2
+DENSSDSDESSSSTSDSGSSADAKESGEDTSNENLPSPETGGKDEQDKIRSDEEKVEVSKSSGLDSNKNQVDFTNSQSLTPIHLNLGPTLLMGPYLINSLLLPNLALPNAAAATNLAATSSTSPSSSVSNEGGNESSQSETRETKGESEKSDSGKKDETGSETPKTLLGGMGLLNPLGAMGSLLMPFMAAMNKAEGGSPLGTPMGMLGATVQLSQLNQLNQQLAQLTTLDVGPLLGNALLPNGSVSTASAAAAAATAKAIGSKPRRGRRSVEERVVPGTLIREYMSEPLFGSQKVVDGWEPAVGYESNEKLFRCLDKLPPAFAGGVKRANRRNILQVREEGTLSNVDLKNPNRCRHRKQKPRGEHLQMRPIFAGLDEVYGPHEKLWKELDKRKPADDGALRTGDKLNIVPVPSETDPIGQPQTYSLAPNIGTSIQSSTLGLSVHNPPKNRNFFFIDVGEVNKRRGRRKKVIPQNDLIVGNAGLTPQISTGSVPISKPFNVLSTESASQLERLRTLYQQQGLAGDEGDFPRKQALGQKQFTLKLGGEDKIKVTFEKERVHKKVKSMQTSQDHEEKVGAGPPTPVSPDSYETAAGPSDLLKTTYFSAVTNADYSRRASPWKGKLVTQCISDLRNIMVRDKPWYSAALMYGGQLIYASEPTGNNMQFSDQTEDQTTSLSAVSEEDYAKMHTTSERKQAEEEDSDSEESSSSSSSSSSSTSSSSSSSASSCSSSSSSSSGSRSHSCSSSSSSSSSRSSCSSRESDSDSDSSSESGRKHVRPKKSAPNPTLPETRAAVMRRDTECKGGSKQDGTAQPLVGNKPSVPESKILTRTEVSSMSEEESSQPEEKLNESKVKMEELIIGKADLLRPLSTLPSASLAVQYQQLLPTSTRSHAMKSQSYNRQAAMFSLEPDRLIHYDTRSVGHKAAGILLDRDHPGCEWWVPLDPNPHCLKLREFLQPHRLAQERVKRLLEIRYLTRSAREETIPQIFINPDVLEEKSPLRCVRRCMSMFAYLYKELSDDTKKHLRAMARFKTWDFQGRDPDFVVGFTSVVRYFDAEERRTWRQQREIKAAMKPNLTAEEYIPQMVSTPVSFTPQIQQIQRNKNTRQYATILRRLRTTLASQTPWYVKDGEMLQGDGDAIVLDGPSSVDDEIDDKFIAPAPKYEPDEVDGDMYDNARQEAAVAKEDPKGVRELFCLAPDARITNYKEYGHKFVGILLSKDADFDWWEAPVESHDPEPVWVDIDSADVGDFVKQCENGIVEQKLYYLMRVRLLVKNCHHKIHKKYGEDQLLQEPNYKRLWEAKQIDFSSTQTKVKKGKRGRPVPASLGLHNQLERTQGDETPTILDWIFGKIKEDGRYHVLCYALLARCIIEVDHENLQRKFRGHSLIERWRGWGYVLLNKEVRFCETRGYGNSRDCPRRLKPKEDGESEAESLEALEDKTASFPRTQKRIRPTDIVLSNRGSIAEIDIEAKKAWKQWFNPDDLSIDTRNGSAVFSAKAFTSGRGESEITITKTRRLLIQDIDEECFKSGEDEEEMIAGYAGRRLLDEIEKKSLQQIGGVNSERGSMSQLVAKDLGLKLSARDFMEREYSNRTVLRYVKVAKNQGIRHCRAQAQLDNQPNWDSDFIICTDAATLNIGLGGARTCLLFVFRDSDPKSFRDIAAQRLNGRVRGDIREYLYRKHILYDELIDLCRVMQSFILVKHGGAKMKPLLKDILVLKGASQIMAQLHFDSAAPNYTDRFEGLIKEEAGKILYPHNCCKRLEMMTNVLNPVNTQGAGKSLFSFNKELIARYYKKQINTLEVEIITEEKPALKKEVDEKLRRLMMPKLIAQLKQVQEETKLDGFEQMFTSESPFRLPELFHLLSFLEEVTNQLPTGTLLVKHELNMLKLGELLKCNKNKLRHAEDIIVCRWEIANLEGCGGLIMEFTTIIAQFRYAGRIIRGQSDRFYMEYQQIMQRSILSGHYVVVNIDTWTRFEREWNAITSLPAIILFPGRIGTLLIEYLFTISQITKGLGMEDALICNRRNYWNFLLWNLGELQYERLQNGNKYDRSQDIKKWINSPPRDLRRTDPRSAQLQEFEEIKALDVDEKLEWTSDEYPLSCWKVLYYIVPEGTDKDECFSVELVRDVEVYDPNFPEEEMDAFFHARQAQRLKFRKIKQQIRKDKLLQEETAWECHLYSYNKYKVFFEETDIMVGPSIEKKVTRSSLIKDVIAADEESPNEVFLQLPQEGPLPASNKKIKMSGKVEEESQKGEIDEAYKKRKIQRNSRRKQSDQDKLTHQPMQEADSIEDSSENKRKQKKGLTIILKGIKTKEKLKSCTKTKKSDKDKPKSKMRRGKKEEKGEVSATPFNEPSMVRPINREGREKAKAIAESIIRNAKEQKSESEVKKRQKKESMVRVQTNQLKTYTGSGDSKKSSPPQRQLCLHNRDHLHQHNSFSSHWSRAQSQLQTQAMDSPRTVLHSTFQRDLDHDLIHSSNDQGFTSEDFHPLLGEQLLDEPDLGTFPETQSEVQHLLNEELSSFGNTEVHDNFHGMQTGSDVPDPFNMHVPSLKSNSYNGQPHSSHLIQFNNSNLSTNPNLIHQTSEQKIGRHPSFSNSGTFDSLTQNTHNSALVASSQLLSNPSIHNSSFSYHSSFQQSNSVSCSTMNPSPGNFNGEQHSSCKHFHASPNSTQSFQQMSISQQSNSANTMQPPHNVNVRHPGSVNMFNKSQSLSNQGPNLNNRNQQSRLSTCQTQQENAQFLAFDHHAVFSKNQHMSHSHGQQHFPSGNQNSITTATQHGWMPSGDSVDPFLGNPQNQPHIPSCNFQSHPSLVHEAPSGFSQNVHHFKISDFQNLQEFDTMKQHTPTGQVHNLSDIHLPEFEAGLNLEDVLSVPGPQVFADDSLGELTEGFLNADDFFDMMPDTM
+>sp|Q8NAJ2|CI106_HUMAN Putative uncharacterized protein C9orf106 OS=Homo sapiens OX=9606 GN=C9orf106 PE=2 SV=1
+MAYVALSDKPHLSGEVGEEACSSWNPPLFSPRPLPRLWPLPGTPFLPIRALPFSASSSGKSSLVPSPSSSAHSGFRTPCLGPDCLLCTQGCELHEGRNHMAVHSCVARAWPGDPQEVRHLNPLLCDPGSQVEPSWPWHPGLEQAAASWVGNHVSPAHRQALRGHSLGSALRALMPGRHCPLCVPCKRGCDLRGGRGKHGPRPCCPLLRKFPVLPVHPWPFPCAVWDSGWSCR
+>DECOY_sp|Q8NAJ2|CI106_HUMAN Putative uncharacterized protein C9orf106 OS=Homo sapiens OX=9606 GN=C9orf106 PE=2 SV=1
+RCSWGSDWVACPFPWPHVPLVPFKRLLPCCPRPGHKGRGGRLDCGRKCPVCLPCHRGPMLARLASGLSHGRLAQRHAPSVHNGVWSAAAQELGPHWPWSPEVQSGPDCLLPNLHRVEQPDGPWARAVCSHVAMHNRGEHLECGQTCLLCDPGLCPTRFGSHASSSPSPVLSSKGSSSASFPLARIPLFPTGPLPWLRPLPRPSFLPPNWSSCAEEGVEGSLHPKDSLAVYAM
+>sp|Q8WZB0|CI130_HUMAN Putative uncharacterized protein encoded by LINC00476 OS=Homo sapiens OX=9606 GN=LINC00476 PE=5 SV=1
+MAANATSGRPPSIALRQPEATGWRRGIPAKVATKGTQAEREGDVRSGGRARGRCVRLAKRCSPSSLGLRRRRRRTGGRQGDPQIFLGSDFRLLTPANSNPVLENPARTGSRIVIGISRERNLPFCGRSNLPDVSSL
+>DECOY_sp|Q8WZB0|CI130_HUMAN Putative uncharacterized protein encoded by LINC00476 OS=Homo sapiens OX=9606 GN=LINC00476 PE=5 SV=1
+LSSVDPLNSRGCFPLNRERSIGIVIRSGTRAPNELVPNSNAPTLLRFDSGLFIQPDGQRGGTRRRRRRLGLSSPSCRKALRVCRGRARGGSRVDGEREAQTGKTAVKAPIGRRWGTAEPQRLAISPPRGSTANAAM
+>sp|Q5TBE3|CI153_HUMAN Uncharacterized protein C9orf153 OS=Homo sapiens OX=9606 GN=C9orf153 PE=4 SV=1
+MFLTGDTSPAEDNREATLPQCSLPELYACIENFNKESKKSNLLKMHGISLNEAQEVLARNLNVMSFTRGADVRGDLQPVISVNKMNKPGKHRKTPSPKINK
+>DECOY_sp|Q5TBE3|CI153_HUMAN Uncharacterized protein C9orf153 OS=Homo sapiens OX=9606 GN=C9orf153 PE=4 SV=1
+KNIKPSPTKRHKGPKNMKNVSIVPQLDGRVDAGRTFSMVNLNRALVEQAENLSIGHMKLLNSKKSEKNFNEICAYLEPLSCQPLTAERNDEAPSTDGTLFM
+>sp|O75838|CIB2_HUMAN Calcium and integrin-binding family member 2 OS=Homo sapiens OX=9606 GN=CIB2 PE=1 SV=1
+MGNKQTIFTEEQLDNYQDCTFFNKKDILKLHSRFYELAPNLVPMDYRKSPIVHVPMSLIIQMPELRENPFKERIVAAFSEDGEGNLTFNDFVDMFSVLCESAPRELKANYAFKIYDFNTDNFICKEDLELTLARLTKSELDEEEVVLVCDKVIEEADLDGDGKLGFADFEDMIAKAPDFLSTFHIRI
+>DECOY_sp|O75838|CIB2_HUMAN Calcium and integrin-binding family member 2 OS=Homo sapiens OX=9606 GN=CIB2 PE=1 SV=1
+IRIHFTSLFDPAKAIMDEFDAFGLKGDGDLDAEEIVKDCVLVVEEEDLESKTLRALTLELDEKCIFNDTNFDYIKFAYNAKLERPASECLVSFMDVFDNFTLNGEGDESFAAVIREKFPNERLEPMQIILSMPVHVIPSKRYDMPVLNPALEYFRSHLKLIDKKNFFTCDQYNDLQEETFITQKNGM
+>sp|A0PJX0|CIB4_HUMAN Calcium and integrin-binding family member 4 OS=Homo sapiens OX=9606 GN=CIB4 PE=1 SV=1
+MGQCLRYQMHWEDLEEYQALTFLTRNEILCIHDTFLKLCPPGKYYKEATLTMDQVSSLPALRVNPFRDRICRVFSHKGMFSFEDVLGMASVFSEQACPSLKIEYAFRIYDFNENGFIDEEDLQRIILRLLNSDDMSEDLLMDLTNHVLSESDLDNDNMLSFSEFEHAMAKSPDFMNSFRIHFWGC
+>DECOY_sp|A0PJX0|CIB4_HUMAN Calcium and integrin-binding family member 4 OS=Homo sapiens OX=9606 GN=CIB4 PE=1 SV=1
+CGWFHIRFSNMFDPSKAMAHEFESFSLMNDNDLDSESLVHNTLDMLLDESMDDSNLLRLIIRQLDEEDIFGNENFDYIRFAYEIKLSPCAQESFVSAMGLVDEFSFMGKHSFVRCIRDRFPNVRLAPLSSVQDMTLTAEKYYKGPPCLKLFTDHICLIENRTLFTLAQYEELDEWHMQYRLCQGM
+>sp|Q96AQ7|CIDEC_HUMAN Cell death activator CIDE-3 OS=Homo sapiens OX=9606 GN=CIDEC PE=1 SV=1
+MEYAMKSLSLLYPKSLSRHVSVRTSVVTQQLLSEPSPKAPRARPCRVSTADRSVRKGIMAYSLEDLLLKVRDTLMLADKPFFLVLEEDGTTVETEEYFQALAGDTVFMVLQKGQKWQPPSEQGTRHPLSLSHKPAKKIDVARVTFDLYKLNPQDFIGCLNVKATFYDTYSLSYDLHCCGAKRIMKEAFRWALFSMQATGHVLLGTSCYLQQLLDATEEGQPPKGKASSLIPTCLKILQ
+>DECOY_sp|Q96AQ7|CIDEC_HUMAN Cell death activator CIDE-3 OS=Homo sapiens OX=9606 GN=CIDEC PE=1 SV=1
+QLIKLCTPILSSAKGKPPQGEETADLLQQLYCSTGLLVHGTAQMSFLAWRFAEKMIRKAGCCHLDYSLSYTDYFTAKVNLCGIFDQPNLKYLDFTVRAVDIKKAPKHSLSLPHRTGQESPPQWKQGKQLVMFVTDGALAQFYEETEVTTGDEELVLFFPKDALMLTDRVKLLLDELSYAMIGKRVSRDATSVRCPRARPAKPSPESLLQQTVVSTRVSVHRSLSKPYLLSLSKMAYEM
+>sp|Q9BW66|CINP_HUMAN Cyclin-dependent kinase 2-interacting protein OS=Homo sapiens OX=9606 GN=CINP PE=1 SV=1
+MEAKTLGTVTPRKPVLSVSARKIKDNAADWHNLILKWETLNDAGFTTANNIANLKISLLNKDKIELDSSSPASKENEEKVCLEYNEELEKLCEELQATLDGLTKIQVKMEKLSSTTKGICELENYHYGEESKRPPLFHTWPTTHFYEVSHKLLEMYRKELLLKRTVAKELAHTGDPDLTLSYLSMWLHQPYVESDSRLHLESMLLETGHRAL
+>DECOY_sp|Q9BW66|CINP_HUMAN Cyclin-dependent kinase 2-interacting protein OS=Homo sapiens OX=9606 GN=CINP PE=1 SV=1
+LARHGTELLMSELHLRSDSEVYPQHLWMSLYSLTLDPDGTHALEKAVTRKLLLEKRYMELLKHSVEYFHTTPWTHFLPPRKSEEGYHYNELECIGKTTSSLKEMKVQIKTLGDLTAQLEECLKELEENYELCVKEENEKSAPSSSDLEIKDKNLLSIKLNAINNATTFGADNLTEWKLILNHWDAANDKIKRASVSLVPKRPTVTGLTKAEM
+>sp|Q5T1B1|CJ091_HUMAN Uncharacterized protein C10orf91 OS=Homo sapiens OX=9606 GN=C10orf91 PE=2 SV=2
+MWSFLPGAESVSMGPVPGVSSLGACWTHDQDSGRAEDRPQAPRITQYTWVLSFLFTEKPQTRSTSPISHQGQPQTTRALSLRQPQHPSAPASGRPRPPHSSGPDLAEAAPVVDQASQAAGRASSGLGLWEQASVSQGFRNAAFEA
+>DECOY_sp|Q5T1B1|CJ091_HUMAN Uncharacterized protein C10orf91 OS=Homo sapiens OX=9606 GN=C10orf91 PE=2 SV=2
+AEFAANRFGQSVSAQEWLGLGSSARGAAQSAQDVVPAAEALDPGSSHPPRPRGSAPASPHQPQRLSLARTTQPQGQHSIPSTSRTQPKETFLFSLVWTYQTIRPAQPRDEARGSDQDHTWCAGLSSVGPVPGMSVSEAGPLFSWM
+>sp|Q5VZT2|CJ113_HUMAN Putative uncharacterized protein C10orf113 OS=Homo sapiens OX=9606 GN=C10orf113 PE=2 SV=2
+MAKSERRIYSMESMAPEISEDIGCPLAYMRESVCALLINQSFFSCVAEMYIPDMNRPGKAERRAEKGLRPPRKLVGETRRLQSWPTLARWLRRVSFSLYKPPIQAAPEPDPGNWAKSPRLSLGPEGITKGKHGFKFQGIKEKFNVSKKVLKMTFL
+>DECOY_sp|Q5VZT2|CJ113_HUMAN Putative uncharacterized protein C10orf113 OS=Homo sapiens OX=9606 GN=C10orf113 PE=2 SV=2
+LFTMKLVKKSVNFKEKIGQFKFGHKGKTIGEPGLSLRPSKAWNGPDPEPAAQIPPKYLSFSVRRLWRALTPWSQLRRTEGVLKRPPRLGKEARREAKGPRNMDPIYMEAVCSFFSQNILLACVSERMYALPCGIDESIEPAMSEMSYIRRESKAM
+>sp|B7Z368|CJ142_HUMAN Uncharacterized protein C10orf142 OS=Homo sapiens OX=9606 GN=C10orf142 PE=2 SV=1
+MRMYSSDAHERPPSPSLGTTPPHPLPPTGSPRPRQDSAAGNSEEREPRGLRRASGVGSSCKRPTVCMGRQQGLPFCTVCGYRCSSPERTRGRCAVGKVRVAGGGGAPGGGAGMRCCGCRERNINKELELF
+>DECOY_sp|B7Z368|CJ142_HUMAN Uncharacterized protein C10orf142 OS=Homo sapiens OX=9606 GN=C10orf142 PE=2 SV=1
+FLELEKNINRERCGCCRMGAGGGPAGGGGAVRVKGVACRGRTREPSSCRYGCVTCFPLGQQRGMCVTPRKCSSGVGSARRLGRPEREESNGAASDQRPRPSGTPPLPHPPTTGLSPSPPREHADSSYMRM
+>sp|Q96F05|CK024_HUMAN Uncharacterized protein C11orf24 OS=Homo sapiens OX=9606 GN=C11orf24 PE=1 SV=2
+MWTALVLIWIFSLSLSESHAASNDPRNFVPNKMWKGLVKRNASVETVDNKTSEDVTMAAASPVTLTKGTSAAHLNSMEVTTEDTSRTDVSEPATSGGAADGVTSIAPTAVASSTTAASITTAASSMTVASSAPTTAASSTTVASIAPTTAASSMTAASSTPMTLALPAPTSTSTGRTPSTTATGHPSLSTALAQVPKSSALPRTATLATLATRAQTVATTANTSSPMSTRPSPSKHMPSDTAASPVPPMRPQAQGPISQVSVDQPVVNTTNKSTPMPSNTTPEPAPTPTVVTTTKAQAREPTASPVPVPHTSPIPEMEAMSPTTQPSPMPYTQRAAGPGTSQAPEQVETEATPGTDSTGPTPRSSGGTKMPATDSCQPSTQGQYMVVTTEPLTQAVVDKTLLLVVLLLGVTLFITVLVLFALQAYESYKKKDYTQVDYLINGMYADSEM
+>DECOY_sp|Q96F05|CK024_HUMAN Uncharacterized protein C11orf24 OS=Homo sapiens OX=9606 GN=C11orf24 PE=1 SV=2
+MESDAYMGNILYDVQTYDKKKYSEYAQLAFLVLVTIFLTVGLLLVVLLLTKDVVAQTLPETTVVMYQGQTSPQCSDTAPMKTGGSSRPTPGTSDTGPTAETEVQEPAQSTGPGAARQTYPMPSPQTTPSMAEMEPIPSTHPVPVPSATPERAQAKTTTVVTPTPAPEPTTNSPMPTSKNTTNVVPQDVSVQSIPGQAQPRMPPVPSAATDSPMHKSPSPRTSMPSSTNATTAVTQARTALTALTATRPLASSKPVQALATSLSPHGTATTSPTRGTSTSTPAPLALTMPTSSAATMSSAATTPAISAVTTSSAATTPASSAVTMSSAATTISAATTSSAVATPAISTVGDAAGGSTAPESVDTRSTDETTVEMSNLHAASTGKTLTVPSAAAMTVDESTKNDVTEVSANRKVLGKWMKNPVFNRPDNSAAHSESLSLSFIWILVLATWM
+>sp|Q8N8P7|CK044_HUMAN Uncharacterized protein C11orf44 OS=Homo sapiens OX=9606 GN=C11orf44 PE=2 SV=1
+MVLLCLFLASLAATPRAGVTGAWPTSGLSILAQLQPSCELRWTQLSRSSWRDLVMTTSLWSLAYFQHFSQETTTQEQLDEMEEIPRESQCGRQDFHALSCPTASPRDRVLLCCPGWRAMVPS
+>DECOY_sp|Q8N8P7|CK044_HUMAN Uncharacterized protein C11orf44 OS=Homo sapiens OX=9606 GN=C11orf44 PE=2 SV=1
+SPVMARWGPCCLLVRDRPSATPCSLAHFDQRGCQSERPIEEMEDLQEQTTTEQSFHQFYALSWLSTTMVLDRWSSRSLQTWRLECSPQLQALISLGSTPWAGTVGARPTAALSALFLCLLVM
+>sp|Q8IXP5|CK053_HUMAN Uncharacterized protein C11orf53 OS=Homo sapiens OX=9606 GN=C11orf53 PE=2 SV=1
+MPGSPVTSGYYGVRRSFLSDSDFHNSKQFSNDVYTSSVGKPFPCESSAGQSHAALLEPYFPQEPYGDYRPPALTPNAGSLFSASPLPPLLPPPFPGDPAHFLFRDSWEQTLPDGLSQPDPVSADALLTLPPSTSCLSQLESGSIAQHRGSSWGSSLAGAQSYSLHALEDLHHTPGYPTPPPYPFTPFMTVSNDLPPKVGPLSPDEEADTGSLHDPSPWVKEDGSIAWGSYECRRAY
+>DECOY_sp|Q8IXP5|CK053_HUMAN Uncharacterized protein C11orf53 OS=Homo sapiens OX=9606 GN=C11orf53 PE=2 SV=1
+YARRCEYSGWAISGDEKVWPSPDHLSGTDAEEDPSLPGVKPPLDNSVTMFPTFPYPPPTPYGPTHHLDELAHLSYSQAGALSSGWSSGRHQAISGSELQSLCSTSPPLTLLADASVPDPQSLGDPLTQEWSDRFLFHAPDGPFPPPLLPPLPSASFLSGANPTLAPPRYDGYPEQPFYPELLAAHSQGASSECPFPKGVSSTYVDNSFQKSNHFDSDSLFSRRVGYYGSTVPSGPM
+>sp|Q8NCR3|CK065_HUMAN Uncharacterized protein C11orf65 OS=Homo sapiens OX=9606 GN=C11orf65 PE=1 SV=1
+MPWKEESEFTKQDKAARVIQQAWKSFLNVAIFQHFKSLIDLRRQGEPRQIVKYINPKEAELLDAAAGIHVRFRLGGVKFPPDIYYKIFTHRPIEDLCANSPRNYAKLPAKHTSHNKNDHLQEEDHSGWYHRIENNGWRPVSDTFWLSTDGMVVEDKKESEFHFSKLKRRQDLEKKRKLRKIEWMRQMYYSGSLEAKSTHHETLGLIHTATKGLIRAFEDGGIDSVMEWEVDEVLNWTNTLNFDEYIASWKEIATSNSSANFKGFRFNQAQKNIYNYGGDISKMQMGIPDDTYYENVYQEPNVTRLTPDSTYGL
+>DECOY_sp|Q8NCR3|CK065_HUMAN Uncharacterized protein C11orf65 OS=Homo sapiens OX=9606 GN=C11orf65 PE=1 SV=1
+LGYTSDPTLRTVNPEQYVNEYYTDDPIGMQMKSIDGGYNYINKQAQNFRFGKFNASSNSTAIEKWSAIYEDFNLTNTWNLVEDVEWEMVSDIGGDEFARILGKTATHILGLTEHHTSKAELSGSYYMQRMWEIKRLKRKKELDQRRKLKSFHFESEKKDEVVMGDTSLWFTDSVPRWGNNEIRHYWGSHDEEQLHDNKNHSTHKAPLKAYNRPSNACLDEIPRHTFIKYYIDPPFKVGGLRFRVHIGAAADLLEAEKPNIYKVIQRPEGQRRLDILSKFHQFIAVNLFSKWAQQIVRAAKDQKTFESEEKWPM
+>sp|Q96MX0|CKLF3_HUMAN CKLF-like MARVEL transmembrane domain-containing protein 3 OS=Homo sapiens OX=9606 GN=CMTM3 PE=1 SV=1
+MWPPDPDPDPDPEPAGGSRPGPAVPGLRALLPARAFLCSLKGRLLLAESGLSFITFICYVASSASAFLTAPLLEFLLALYFLFADAMQLNDKWQGLCWPMMDFLRCVTAALIYFAISITAIAKYSDGASKAAGVFGFFATIVFATDFYLIFNDVAKFLKQGDSADETTAHKTEEENSDSDSD
+>DECOY_sp|Q96MX0|CKLF3_HUMAN CKLF-like MARVEL transmembrane domain-containing protein 3 OS=Homo sapiens OX=9606 GN=CMTM3 PE=1 SV=1
+DSDSDSNEEETKHATTEDASDGQKLFKAVDNFILYFDTAFVITAFFGFVGAAKSAGDSYKAIATISIAFYILAATVCRLFDMMPWCLGQWKDNLQMADAFLFYLALLFELLPATLFASASSAVYCIFTIFSLGSEALLLRGKLSCLFARAPLLARLGPVAPGPRSGGAPEPDPDPDPDPPWM
+>sp|Q8IZV2|CKLF8_HUMAN CKLF-like MARVEL transmembrane domain-containing protein 8 OS=Homo sapiens OX=9606 GN=CMTM8 PE=1 SV=2
+MEEPQRARSHTVTTTASSFAENFSTSSSSFAYDREFLRTLPGFLIVAEIVLGLLVWTLIAGTEYFRVPAFGWVMFVAVFYWVLTVFFLIIYITMTYTRIPQVPWTTVGLCFNGSAFVLYLSAAVVDASSVSPERDSHNFNSWAASSFFAFLVTICYAGNTYFSFIAWRSRTIQ
+>DECOY_sp|Q8IZV2|CKLF8_HUMAN CKLF-like MARVEL transmembrane domain-containing protein 8 OS=Homo sapiens OX=9606 GN=CMTM8 PE=1 SV=2
+QITRSRWAIFSFYTNGAYCITVLFAFFSSAAWSNFNHSDREPSVSSADVVAASLYLVFASGNFCLGVTTWPVQPIRTYTMTIYIILFFVTLVWYFVAVFMVWGFAPVRFYETGAILTWVLLGLVIEAVILFGPLTRLFERDYAFSSSSTSFNEAFSSATTTVTHSRARQPEEM
+>sp|Q8N999|CL029_HUMAN Uncharacterized protein C12orf29 OS=Homo sapiens OX=9606 GN=C12orf29 PE=1 SV=2
+MKRLGSVQRKMPCVFVTEVKEEPSSKREHQPFKVLATETVSHKALDADIYSAIPTEKVDGTCCYVTTYKDQPYLWARLDRKPNKQAEKRFKNFLHSKENPKEFFWNVEEDFKPAPECWIPAKETEQINGNPVPDENGHIPGWVPVEKNNKQYCWHSSVVNYEFEIALVLKHHPDDSGLLEISAVPLSDLLEQTLELIGTNINGNPYGLGSKKHPLHLLIPHGAFQIRNLPSLKHNDLVSWFEDCKEGKIEGIVWHCSDGCLIKVHRHHLGLCWPIPDTYMNSRPVIINMNLNKCDSAFDIKCLFNHFLKIDNQKFVRLKDIIFDV
+>DECOY_sp|Q8N999|CL029_HUMAN Uncharacterized protein C12orf29 OS=Homo sapiens OX=9606 GN=C12orf29 PE=1 SV=2
+VDFIIDKLRVFKQNDIKLFHNFLCKIDFASDCKNLNMNIIVPRSNMYTDPIPWCLGLHHRHVKILCGDSCHWVIGEIKGEKCDEFWSVLDNHKLSPLNRIQFAGHPILLHLPHKKSGLGYPNGNINTGILELTQELLDSLPVASIELLGSDDPHHKLVLAIEFEYNVVSSHWCYQKNNKEVPVWGPIHGNEDPVPNGNIQETEKAPIWCEPAPKFDEEVNWFFEKPNEKSHLFNKFRKEAQKNPKRDLRAWLYPQDKYTTVYCCTGDVKETPIASYIDADLAKHSVTETALVKFPQHERKSSPEEKVETVFVCPMKRQVSGLRKM
+>sp|Q495D7|CL036_HUMAN Putative uncharacterized protein encoded by LINC01559 OS=Homo sapiens OX=9606 GN=LINC01559 PE=2 SV=1
+MERFLNSKARRLGSCSHPAFYLLCVPDEDTSCSTIYLPLKRRADPDQLFSDLLGGTQRLWSNRFGNEESFPGRVRALVDTFCWIARAPPLGNPLRLEERIAWRIQRLKSGQTALIEKKKQKIEEDVRHQCQQTTCDRC
+>DECOY_sp|Q495D7|CL036_HUMAN Putative uncharacterized protein encoded by LINC01559 OS=Homo sapiens OX=9606 GN=LINC01559 PE=2 SV=1
+CRDCTTQQCQHRVDEEIKQKKKEILATQGSKLRQIRWAIREELRLPNGLPPARAIWCFTDVLARVRGPFSEENGFRNSWLRQTGGLLDSFLQDPDARRKLPLYITSCSTDEDPVCLLYFAPHSCSGLRRAKSNLFREM
+>sp|Q96LP6|CL042_HUMAN Uncharacterized protein C12orf42 OS=Homo sapiens OX=9606 GN=C12orf42 PE=2 SV=2
+MSTVICMKQREEEFLLTIRPFANRMQKSPCYIPIVSSATLWDRSTPSAKHIPCYERTSVPCSRFINHMKNFSESPKFRSLHFLNFPVFPERTQNSMACKRLLHTCQYIVPRCSVSTVSFDEESYEEFRSSPAPSSETDEAPLIFTARGETEERARGAPKQAWNSSFLEQLVKKPNWAHSVNPVHLEAQGIHISRHTRPKGQPLSSPKKNSGSAARPSTAIGLCRRSQTPGALQSTGPSNTELEPEERMAVPAGAQAHPDDIQSRLLGASGNPVGKGAVAMAPEMLPKHPHTPRDRRPQADTSLHGNLAGAPLPLLAGASTHFPSKRLIKVCSSAPPRPTRRFHTVCSQALSRPVVNAHLH
+>DECOY_sp|Q96LP6|CL042_HUMAN Uncharacterized protein C12orf42 OS=Homo sapiens OX=9606 GN=C12orf42 PE=2 SV=2
+HLHANVVPRSLAQSCVTHFRRTPRPPASSCVKILRKSPFHTSAGALLPLPAGALNGHLSTDAQPRRDRPTHPHKPLMEPAMAVAGKGVPNGSAGLLRSQIDDPHAQAGAPVAMREEPELETNSPGTSQLAGPTQSRRCLGIATSPRAASGSNKKPSSLPQGKPRTHRSIHIGQAELHVPNVSHAWNPKKVLQELFSSNWAQKPAGRAREETEGRATFILPAEDTESSPAPSSRFEEYSEEDFSVTSVSCRPVIYQCTHLLRKCAMSNQTREPFVPFNLFHLSRFKPSESFNKMHNIFRSCPVSTREYCPIHKASPTSRDWLTASSVIPIYCPSKQMRNAFPRITLLFEEERQKMCIVTSM
+>sp|A8MTZ7|CL071_HUMAN Uncharacterized protein C12orf71 OS=Homo sapiens OX=9606 GN=C12orf71 PE=4 SV=3
+MAYSSSNSDIEDDSSKSNSNLSLSVGYFPCEDTPCEDTTSWEDAPSKGPSIHFLPPVQGAWGTERIGRRMKRQDQIQDEPEQFCKLSIFLAWDVDIGSDNTDSRANRLLNGDNLWIDKLPKERTKLSVGKLNNLVQEFQIFLENLKDDDAVFPETAQQDFQLSSGSPPEMVQMISQATASQRTSAPEISSILSEQPEKDDTPSHTQAQCCLNFGWAFSWLRQRILPSLLRRDHPVNATKSPHRSAPTKRLFHRGKRIQPQETLELGHPI
+>DECOY_sp|A8MTZ7|CL071_HUMAN Uncharacterized protein C12orf71 OS=Homo sapiens OX=9606 GN=C12orf71 PE=4 SV=3
+IPHGLELTEQPQIRKGRHFLRKTPASRHPSKTANVPHDRRLLSPLIRQRLWSFAWGFNLCCQAQTHSPTDDKEPQESLISSIEPASTRQSATAQSIMQVMEPPSGSSLQFDQQATEPFVADDDKLNELFIQFEQVLNNLKGVSLKTREKPLKDIWLNDGNLLRNARSDTNDSGIDVDWALFISLKCFQEPEDQIQDQRKMRRGIRETGWAGQVPPLFHISPGKSPADEWSTTDECPTDECPFYGVSLSLNSNSKSSDDEIDSNSSSYAM
+>sp|Q69YU5|CL073_HUMAN Uncharacterized protein C12orf73 OS=Homo sapiens OX=9606 GN=C12orf73 PE=3 SV=2
+MPAGVPMSTYLKMFAASLLAMCAGAEVVHRYYRPDLTIPEIPPKRGELKTELLGLKERKHKPQVSQQEELK
+>DECOY_sp|Q69YU5|CL073_HUMAN Uncharacterized protein C12orf73 OS=Homo sapiens OX=9606 GN=C12orf73 PE=3 SV=2
+KLEEQQSVQPKHKREKLGLLETKLEGRKPPIEPITLDPRYYRHVVEAGACMALLSAAFMKLYTSMPVGAPM
+>sp|P0DPE3|CL081_HUMAN Uncharacterized protein C12orf81 OS=Homo sapiens OX=9606 GN=C12orf81 PE=3 SV=1
+MAARTLASALVLTLWVWALAPAGAVDAMGPHAAVRLAELLTPEECGHFRSLLEAPEPDVEAELSRLSEDRLARPEPLNTTSGSPSRRRRREAAEDPAGRVAGPGEVSDGCREALAAWLAPQAASLSWDRLARALRRSGRPDVARELGKNLHQQATLQLRKFGQRFLPRPGAAARVPFAPAPRPRRAAVPAPDWDALQLIVERLPQPLYERSPMGWAGPLALGLLTGFVGALGTGALVVLLTLWITGGDGDRASPGSPGPLATVQGWWETKLLLPKERRAPPGAWAADGPDSPSPHSALALSCKMGAQSWGSGALDGL
+>DECOY_sp|P0DPE3|CL081_HUMAN Uncharacterized protein C12orf81 OS=Homo sapiens OX=9606 GN=C12orf81 PE=3 SV=1
+LGDLAGSGWSQAGMKCSLALASHPSPSDPGDAAWAGPPARREKPLLLKTEWWGQVTALPGPSGPSARDGDGGTIWLTLLVVLAGTGLAGVFGTLLGLALPGAWGMPSREYLPQPLREVILQLADWDPAPVAARRPRPAPAFPVRAAAGPRPLFRQGFKRLQLTAQQHLNKGLERAVDPRGSRRLARALRDWSLSAAQPALWAALAERCGDSVEGPGAVRGAPDEAAERRRRRSPSGSTTNLPEPRALRDESLRSLEAEVDPEPAELLSRFHGCEEPTLLEALRVAAHPGMADVAGAPALAWVWLTLVLASALTRAAM
+>sp|Q5QGZ9|CL12A_HUMAN C-type lectin domain family 12 member A OS=Homo sapiens OX=9606 GN=CLEC12A PE=1 SV=3
+MSEEVTYADLQFQNSSEMEKIPEIGKFGEKAPPAPSHVWRPAALFLTLLCLLLLIGLGVLASMFHVTLKIEMKKMNKLQNISEELQRNISLQLMSNMNISNKIRNLSTTLQTIATKLCRELYSKEQEHKCKPCPRRWIWHKDSCYFLSDDVQTWQESKMACAAQNASLLKINNKNALEFIKSQSRSYDYWLGLSPEEDSTRGMRVDNIINSSAWVIRNAPDLNNMYCGYINRLYVQYYHCTYKKRMICEKMANPVQLGSTYFREA
+>DECOY_sp|Q5QGZ9|CL12A_HUMAN C-type lectin domain family 12 member A OS=Homo sapiens OX=9606 GN=CLEC12A PE=1 SV=3
+AERFYTSGLQVPNAMKECIMRKKYTCHYYQVYLRNIYGCYMNNLDPANRIVWASSNIINDVRMGRTSDEEPSLGLWYDYSRSQSKIFELANKNNIKLLSANQAACAMKSEQWTQVDDSLFYCSDKHWIWRRPCPKCKHEQEKSYLERCLKTAITQLTTSLNRIKNSINMNSMLQLSINRQLEESINQLKNMKKMEIKLTVHFMSALVGLGILLLLCLLTLFLAAPRWVHSPAPPAKEGFKGIEPIKEMESSNQFQLDAYTVEESM
+>sp|Q8NCF0|CL18C_HUMAN C-type lectin domain family 18 member C OS=Homo sapiens OX=9606 GN=CLEC18C PE=2 SV=2
+MLHPETSPGRGHLLAVLLALLGTAWAEVWPPQLQEQAPMAGALNRKESFLLLSLHNRLRSWVQPPAADMRRLDWSDSLAQLAQARAALCGIPTPSLASGLWRTLQVGWNMQLLPAGLASFVEVVSLWFAEGQRYSHAAGECARNATCTHYTQLVWATSSQLGCGRHLCSAGQAAIEAFVCAYSPRGNWEVNGKTIVPYKKGAWCSLCTASVSGCFKAWDHAGGLCEVPRNPCRMSCQNHGRLNISTCHCHCPPGYTGRYCQVRCSLQCVHGRFREEECSCVCDIGYGGAQCATKVHFPFHTCDLRIDGDCFMVSSEADTYYRARMKCQRKGGVLAQIKSQKVQDILAFYLGRLETTNEVIDSDFETRNFWIGLTYKTAKDSFRWATGEHQAFTSFAFGQPDNHGFGNCVELQASAAFNWNNQRCKTRNRYICQFAQEHISRWGPGS
+>DECOY_sp|Q8NCF0|CL18C_HUMAN C-type lectin domain family 18 member C OS=Homo sapiens OX=9606 GN=CLEC18C PE=2 SV=2
+SGPGWRSIHEQAFQCIYRNRTKCRQNNWNFAASAQLEVCNGFGHNDPQGFAFSTFAQHEGTAWRFSDKATKYTLGIWFNRTEFDSDIVENTTELRGLYFALIDQVKQSKIQALVGGKRQCKMRARYYTDAESSVMFCDGDIRLDCTHFPFHVKTACQAGGYGIDCVCSCEEERFRGHVCQLSCRVQCYRGTYGPPCHCHCTSINLRGHNQCSMRCPNRPVECLGGAHDWAKFCGSVSATCLSCWAGKKYPVITKGNVEWNGRPSYACVFAEIAAQGASCLHRGCGLQSSTAWVLQTYHTCTANRACEGAAHSYRQGEAFWLSVVEVFSALGAPLLQMNWGVQLTRWLGSALSPTPIGCLAARAQALQALSDSWDLRRMDAAPPQVWSRLRNHLSLLLFSEKRNLAGAMPAQEQLQPPWVEAWATGLLALLVALLHGRGPSTEPHLM
+>sp|Q8N2M8|CLASR_HUMAN CLK4-associating serine/arginine rich protein OS=Homo sapiens OX=9606 GN=CLASRP PE=1 SV=4
+MWHEARKHERKLRGMMVDYKKRAERRREYYEKIKKDPAQFLQVHGRACKVHLDSAVALAAESPVNMMPWQGDTNNMIDRFDVRAHLDHIPDYTPPLLTTISPEQESDERKCNYERYRGLVQNDFAGISEEQCLYQIYIDELYGGLQRPSEDEKKKLAEKKASIGYTYEDSTVAKVEKAAEKPEEEESAAEEESNSDEDEVIPDIDVEVDVDELNQEQVADLNKQATTYGMADGDFVRMLRKDKEEAEAIKHAKALEEEKAMYSGRRSRRQRREFREKRLRGRKISPPSYARRDSPTYDPYKRSPSESSSESRSRSRSPTPGREEKITFITSFGGSDEEAAAAAAAAAASGVTTGKPPAPPQPGGPAPGRNASARRRSSSSSSSSSASRTSSSRSSSRSSSRSRRGGGYYRSGRHARSRSRSWSRSRSRSRRYSRSRSRGRRHSGGGSRDGHRYSRSPARRGGYGPRRRSRSRSHSGDRYRRGGRGLRHHSSSRSRSSWSLSPSRSRSLTRSRSHSPSPSQSRSRSRSRSQSPSPSPAREKLTRPAASPAVGEKLKKTEPAAGKETGAAKPKLTPQEKLKLRMQKALNRQFKADKKAAQEKMIQQEHERQEREDELRAMARKIRMKERERREKEREEWERQYSRQSRSPSPRYSREYSSSRRRSRSRSRSPHYRH
+>DECOY_sp|Q8N2M8|CLASR_HUMAN CLK4-associating serine/arginine rich protein OS=Homo sapiens OX=9606 GN=CLASRP PE=1 SV=4
+HRYHPSRSRSRSRRRSSSYERSYRPSPSRSQRSYQREWEEREKERREREKMRIKRAMARLEDEREQREHEQQIMKEQAAKKDAKFQRNLAKQMRLKLKEQPTLKPKAAGTEKGAAPETKKLKEGVAPSAAPRTLKERAPSPSPSQSRSRSRSRSQSPSPSHSRSRTLSRSRSPSLSWSSRSRSSSHHRLGRGGRRYRDGSHSRSRSRRRPGYGGRRAPSRSYRHGDRSGGGSHRRGRSRSRSYRRSRSRSRSWSRSRSRAHRGSRYYGGGRRSRSSSRSSSRSSSTRSASSSSSSSSSRRRASANRGPAPGGPQPPAPPKGTTVGSAAAAAAAAAAEEDSGGFSTIFTIKEERGPTPSRSRSRSESSSESPSRKYPDYTPSDRRAYSPPSIKRGRLRKERFERRQRRSRRGSYMAKEEELAKAHKIAEAEEKDKRLMRVFDGDAMGYTTAQKNLDAVQEQNLEDVDVEVDIDPIVEDEDSNSEEEAASEEEEPKEAAKEVKAVTSDEYTYGISAKKEALKKKEDESPRQLGGYLEDIYIQYLCQEESIGAFDNQVLGRYREYNCKREDSEQEPSITTLLPPTYDPIHDLHARVDFRDIMNNTDGQWPMMNVPSEAALAVASDLHVKCARGHVQLFQAPDKKIKEYYERRREARKKYDVMMGRLKREHKRAEHWM
+>sp|P28329|CLAT_HUMAN Choline O-acetyltransferase OS=Homo sapiens OX=9606 GN=CHAT PE=1 SV=4
+MGLRTAKKRGLGGGGKWKREEGGGTRGRREVRPACFLQSGGRGDPGDVGGPAGNPGCSPHPRAATRPPPLPAHTPAHTPEWCGAASAEAAEPRRAGPHLCIPAPGLTKTPILEKVPRKMAAKTPSSEESGLPKLPVPPLQQTLATYLQCMRHLVSEEQFRKSQAIVQQFGAPGGLGETLQQKLLERQEKTANWVSEYWLNDMYLNNRLALPVNSSPAVIFARQHFPGTDDQLRFAASLISGVLSYKALLDSHSIPTDCAKGQLSGQPLCMKQYYGLFSSYRLPGHTQDTLVAQNSSIMPEPEHVIVACCNQFFVLDVVINFRRLSEGDLFTQLRKIVKMASNEDERLPPIGLLTSDGRSEWAEARTVLVKDSTNRDSLDMIERCICLVCLDAPGGVELSDTHRALQLLHGGGYSKNGANRWYDKSLQFVVGRDGTCGVVCEHSPFDGIVLVQCTEHLLKHVTQSSRKLIRADSVSELPAPRRLRWKCSPEIQGHLASSAEKLQRIVKNLDFIVYKFDNYGKTFIKKQKCSPDAFIQVALQLAFYRLHRRLVPTYESASIRRFQEGRVDNIRSATPEALAFVRAVTDHKAAVPASEKLLLLKDAIRAQTAYTVMAITGMAIDNHLLALRELARAMCKELPEMFMDETYLMSNRFVLSTSQVPTTTEMFCCYGPVVPNGYGACYNPQPETILFCISSFHSCKETSSSKFAKAVEESLIDMRDLCSLLPPTESKPLATKEKATRPSQGHQP
+>DECOY_sp|P28329|CLAT_HUMAN Choline O-acetyltransferase OS=Homo sapiens OX=9606 GN=CHAT PE=1 SV=4
+PQHGQSPRTAKEKTALPKSETPPLLSCLDRMDILSEEVAKAFKSSSTEKCSHFSSICFLITEPQPNYCAGYGNPVVPGYCCFMETTTPVQSTSLVFRNSMLYTEDMFMEPLEKCMARALERLALLHNDIAMGTIAMVTYATQARIADKLLLLKESAPVAAKHDTVARVFALAEPTASRINDVRGEQFRRISASEYTPVLRRHLRYFALQLAVQIFADPSCKQKKIFTKGYNDFKYVIFDLNKVIRQLKEASSALHGQIEPSCKWRLRRPAPLESVSDARILKRSSQTVHKLLHETCQVLVIGDFPSHECVVGCTGDRGVVFQLSKDYWRNAGNKSYGGGHLLQLARHTDSLEVGGPADLCVLCICREIMDLSDRNTSDKVLVTRAEAWESRGDSTLLGIPPLREDENSAMKVIKRLQTFLDGESLRRFNIVVDLVFFQNCCAVIVHEPEPMISSNQAVLTDQTHGPLRYSSFLGYYQKMCLPQGSLQGKACDTPISHSDLLAKYSLVGSILSAAFRLQDDTGPFHQRAFIVAPSSNVPLALRNNLYMDNLWYESVWNATKEQRELLKQQLTEGLGGPAGFQQVIAQSKRFQEESVLHRMCQLYTALTQQLPPVPLKPLGSEESSPTKAAMKRPVKELIPTKTLGPAPICLHPGARRPEAAEASAAGCWEPTHAPTHAPLPPPRTAARPHPSCGPNGAPGGVDGPDGRGGSQLFCAPRVERRGRTGGGEERKWKGGGGLGRKKATRLGM
+>sp|Q9Y240|CLC11_HUMAN C-type lectin domain family 11 member A OS=Homo sapiens OX=9606 GN=CLEC11A PE=1 SV=1
+MQAAWLLGALVVPQLLGFGHGARGAEREWEGGWGGAQEEEREREALMLKHLQEALGLPAGRGDENPAGTVEGKEDWEMEEDQGEEEEEEATPTPSSGPSPSPTPEDIVTYILGRLAGLDAGLHQLHVRLHALDTRVVELTQGLRQLRNAAGDTRDAVQALQEAQGRAEREHGRLEGCLKGLRLGHKCFLLSRDFEAQAAAQARCTARGGSLAQPADRQQMEALTRYLRAALAPYNWPVWLGVHDRRAEGLYLFENGQRVSFFAWHRSPRPELGAQPSASPHPLSPDQPNGGTLENCVAQASDDGSWWDHDCQRRLYYVCEFPF
+>DECOY_sp|Q9Y240|CLC11_HUMAN C-type lectin domain family 11 member A OS=Homo sapiens OX=9606 GN=CLEC11A PE=1 SV=1
+FPFECVYYLRRQCDHDWWSGDDSAQAVCNELTGGNPQDPSLPHPSASPQAGLEPRPSRHWAFFSVRQGNEFLYLGEARRDHVGLWVPWNYPALAARLYRTLAEMQQRDAPQALSGGRATCRAQAAAQAEFDRSLLFCKHGLRLGKLCGELRGHEREARGQAEQLAQVADRTDGAANRLQRLGQTLEVVRTDLAHLRVHLQHLGADLGALRGLIYTVIDEPTPSPSPGSSPTPTAEEEEEEGQDEEMEWDEKGEVTGAPNEDGRGAPLGLAEQLHKLMLAEREREEEQAGGWGGEWEREAGRAGHGFGLLQPVVLAGLLWAAQM
+>sp|Q6UVW9|CLC2A_HUMAN C-type lectin domain family 2 member A OS=Homo sapiens OX=9606 GN=CLEC2A PE=1 SV=2
+MINPELRDGRADGFIHRIVPKLIQNWKIGLMCFLSIIITTVCIIMIATWSKHAKPVACSGDWLGVRDKCFYFSDDTRNWTASKIFCSLQKAELAQIDTQEDMEFLKRYAGTDMHWIGLSRKQGDSWKWTNGTTFNGWFEIIGNGSFAFLSADGVHSSRGFIDIKWICSKPKYFL
+>DECOY_sp|Q6UVW9|CLC2A_HUMAN C-type lectin domain family 2 member A OS=Homo sapiens OX=9606 GN=CLEC2A PE=1 SV=2
+LFYKPKSCIWKIDIFGRSSHVGDASLFAFSGNGIIEFWGNFTTGNTWKWSDGQKRSLGIWHMDTGAYRKLFEMDEQTDIQALEAKQLSCFIKSATWNRTDDSFYFCKDRVGLWDGSCAVPKAHKSWTAIMIICVTTIIISLFCMLGIKWNQILKPVIRHIFGDARGDRLEPNIM
+>sp|Q9ULY5|CLC4E_HUMAN C-type lectin domain family 4 member E OS=Homo sapiens OX=9606 GN=CLEC4E PE=1 SV=1
+MNSSKSSETQCTERGCFSSQMFLWTVAGIPILFLSACFITRCVVTFRIFQTCDEKKFQLPENFTELSCYNYGSGSVKNCCPLNWEYFQSSCYFFSTDTISWALSLKNCSAMGAHLVVINSQEEQEFLSYKKPKMREFFIGLSDQVVEGQWQWVDGTPLTKSLSFWDVGEPNNIATLEDCATMRDSSNPRQNWNDVTCFLNYFRICEMVGINPLNKGKSL
+>DECOY_sp|Q9ULY5|CLC4E_HUMAN C-type lectin domain family 4 member E OS=Homo sapiens OX=9606 GN=CLEC4E PE=1 SV=1
+LSKGKNLPNIGVMECIRFYNLFCTVDNWNQRPNSSDRMTACDELTAINNPEGVDWFSLSKTLPTGDVWQWQGEVVQDSLGIFFERMKPKKYSLFEQEEQSNIVVLHAGMASCNKLSLAWSITDTSFFYCSSQFYEWNLPCCNKVSGSGYNYCSLETFNEPLQFKKEDCTQFIRFTVVCRTIFCASLFLIPIGAVTWLFMQSSFCGRETCQTESSKSSNM
+>sp|Q9UJ71|CLC4K_HUMAN C-type lectin domain family 4 member K OS=Homo sapiens OX=9606 GN=CD207 PE=1 SV=2
+MTVEKEAPDAHFTVDKQNISLWPREPPPKSGPSLVPGKTPTVRAALICLTLVLVASVLLQAVLYPRFMGTISDVKTNVQLLKGRVDNISTLDSEIKKNSDGMEAAGVQIQMVNESLGYVRSQFLKLKTSVEKANAQIQILTRSWEEVSTLNAQIPELKSDLEKASALNTKIRALQGSLENMSKLLKRQNDILQVVSQGWKYFKGNFYYFSLIPKTWYSAEQFCVSRNSHLTSVTSESEQEFLYKTAGGLIYWIGLTKAGMEGDWSWVDDTPFNKVQSVRFWIPGEPNNAGNNEHCGNIKAPSLQAWNDAPCDKTFLFICKRPYVPSEP
+>DECOY_sp|Q9UJ71|CLC4K_HUMAN C-type lectin domain family 4 member K OS=Homo sapiens OX=9606 GN=CD207 PE=1 SV=2
+PESPVYPRKCIFLFTKDCPADNWAQLSPAKINGCHENNGANNPEGPIWFRVSQVKNFPTDDVWSWDGEMGAKTLGIWYILGGATKYLFEQESESTVSTLHSNRSVCFQEASYWTKPILSFYYFNGKFYKWGQSVVQLIDNQRKLLKSMNELSGQLARIKTNLASAKELDSKLEPIQANLTSVEEWSRTLIQIQANAKEVSTKLKLFQSRVYGLSENVMQIQVGAAEMGDSNKKIESDLTSINDVRGKLLQVNTKVDSITGMFRPYLVAQLLVSAVLVLTLCILAARVTPTKGPVLSPGSKPPPERPWLSINQKDVTFHADPAEKEVTM
+>sp|Q9NY25|CLC5A_HUMAN C-type lectin domain family 5 member A OS=Homo sapiens OX=9606 GN=CLEC5A PE=1 SV=1
+MNWHMIISGLIVVVLKVVGMTLFLLYFPQIFNKSNDGFTTTRSYGTVSQIFGSSSPSPNGFITTRSYGTVCPKDWEFYQARCFFLSTSESSWNESRDFCKGKGSTLAIVNTPEKLKFLQDITDAEKYFIGLIYHREEKRWRWINNSVFNGNVTNQNQNFNCATIGLTKTFDAASCDISYRRICEKNAK
+>DECOY_sp|Q9NY25|CLC5A_HUMAN C-type lectin domain family 5 member A OS=Homo sapiens OX=9606 GN=CLEC5A PE=1 SV=1
+KANKECIRRYSIDCSAADFTKTLGITACNFNQNQNTVNGNFVSNNIWRWRKEERHYILGIFYKEADTIDQLFKLKEPTNVIALTSGKGKCFDRSENWSSESTSLFFCRAQYFEWDKPCVTGYSRTTIFGNPSPSSSGFIQSVTGYSRTTTFGDNSKNFIQPFYLLFLTMGVVKLVVVILGSIIMHWNM
+>sp|P51790|CLCN3_HUMAN H(+)/Cl(-) exchange transporter 3 OS=Homo sapiens OX=9606 GN=CLCN3 PE=1 SV=2
+MESEQLFHRGYYRNSYNSITSASSDEELLDGAGVIMDFQTSEDDNLLDGDTAVGTHYTMTNGGSINSSTHLLDLLDEPIPGVGTYDDFHTIDWVREKCKDRERHRRINSKKKESAWEMTKSLYDAWSGWLVVTLTGLASGALAGLIDIAADWMTDLKEGICLSALWYNHEQCCWGSNETTFEERDKCPQWKTWAELIIGQAEGPGSYIMNYIMYIFWALSFAFLAVSLVKVFAPYACGSGIPEIKTILSGFIIRGYLGKWTLMIKTITLVLAVASGLSLGKEGPLVHVACCCGNIFSYLFPKYSTNEAKKREVLSAASAAGVSVAFGAPIGGVLFSLEEVSYYFPLKTLWRSFFAALVAAFVLRSINPFGNSRLVLFYVEYHTPWYLFELFPFILLGVFGGLWGAFFIRANIAWCRRRKSTKFGKYPVLEVIIVAAITAVIAFPNPYTRLNTSELIKELFTDCGPLESSSLCDYRNDMNASKIVDDIPDRPAGIGVYSAIWQLCLALIFKIIMTVFTFGIKVPSGLFIPSMAIGAIAGRIVGIAVEQLAYYHHDWFIFKEWCEVGADCITPGLYAMVGAAACLGGVTRMTVSLVVIVFELTGGLEYIVPLMAAVMTSKWVGDAFGREGIYEAHIRLNGYPFLDAKEEFTHTTLAADVMRPRRNDPPLAVLTQDNMTVDDIENMINETSYNGFPVIMSKESQRLVGFALRRDLTIAIESARKKQEGIVGSSRVCFAQHTPSLPAESPRPLKLRSILDMSPFTVTDHTPMEIVVDIFRKLGLRQCLVTHNGRLLGIITKKDILRHMAQTANQDPASIMFN
+>DECOY_sp|P51790|CLCN3_HUMAN H(+)/Cl(-) exchange transporter 3 OS=Homo sapiens OX=9606 GN=CLCN3 PE=1 SV=2
+NFMISAPDQNATQAMHRLIDKKTIIGLLRGNHTVLCQRLGLKRFIDVVIEMPTHDTVTFPSMDLISRLKLPRPSEAPLSPTHQAFCVRSSGVIGEQKKRASEIAITLDRRLAFGVLRQSEKSMIVPFGNYSTENIMNEIDDVTMNDQTLVALPPDNRRPRMVDAALTTHTFEEKADLFPYGNLRIHAEYIGERGFADGVWKSTMVAAMLPVIYELGGTLEFVIVVLSVTMRTVGGLCAAAGVMAYLGPTICDAGVECWEKFIFWDHHYYALQEVAIGVIRGAIAGIAMSPIFLGSPVKIGFTFVTMIIKFILALCLQWIASYVGIGAPRDPIDDVIKSANMDNRYDCLSSSELPGCDTFLEKILESTNLRTYPNPFAIVATIAAVIIVELVPYKGFKTSKRRRCWAINARIFFAGWLGGFVGLLIFPFLEFLYWPTHYEVYFLVLRSNGFPNISRLVFAAVLAAFFSRWLTKLPFYYSVEELSFLVGGIPAGFAVSVGAASAASLVERKKAENTSYKPFLYSFINGCCCAVHVLPGEKGLSLGSAVALVLTITKIMLTWKGLYGRIIFGSLITKIEPIGSGCAYPAFVKVLSVALFAFSLAWFIYMIYNMIYSGPGEAQGIILEAWTKWQPCKDREEFTTENSGWCCQEHNYWLASLCIGEKLDTMWDAAIDILGALAGSALGTLTVVLWGSWADYLSKTMEWASEKKKSNIRRHRERDKCKERVWDITHFDDYTGVGPIPEDLLDLLHTSSNISGGNTMTYHTGVATDGDLLNDDESTQFDMIVGAGDLLEEDSSASTISNYSNRYYGRHFLQESEM
+>sp|P56749|CLD12_HUMAN Claudin-12 OS=Homo sapiens OX=9606 GN=CLDN12 PE=1 SV=1
+MGCRDVHAATVLSFLCGIASVAGLFAGTLLPNWRKLRLITFNRNEKNLTVYTGLWVKCARYDGSSDCLMYDTTWYSSVDQLDLRVLQFALPLSMLIAMGALLLCLIGMCNTAFRSSVPNIKLAKCLVNSAGCHLVAGLLFFLAGTVSLSPSIWVIFYNIHLNKKFEPVFSFDYAVYVTIASAGGLFMTSLILFIWYCTCKSLPSPFWQPLYSHPPSMHTYSQPYSARSRLSAIEIDIPVVSHTT
+>DECOY_sp|P56749|CLD12_HUMAN Claudin-12 OS=Homo sapiens OX=9606 GN=CLDN12 PE=1 SV=1
+TTHSVVPIDIEIASLRSRASYPQSYTHMSPPHSYLPQWFPSPLSKCTCYWIFLILSTMFLGGASAITVYVAYDFSFVPEFKKNLHINYFIVWISPSLSVTGALFFLLGAVLHCGASNVLCKALKINPVSSRFATNCMGILCLLLAGMAILMSLPLAFQLVRLDLQDVSSYWTTDYMLCDSSGDYRACKVWLGTYVTLNKENRNFTILRLKRWNPLLTGAFLGAVSAIGCLFSLVTAAHVDRCGM
+>sp|O95500|CLD14_HUMAN Claudin-14 OS=Homo sapiens OX=9606 GN=CLDN14 PE=1 SV=1
+MASTAVQLLGFLLSFLGMVGTLITTILPHWRRTAHVGTNILTAVSYLKGLWMECVWHSTGIYQCQIYRSLLALPQDLQAARALMVISCLLSGIACACAVIGMKCTRCAKGTPAKTTFAILGGTLFILAGLLCMVAVSWTTNDVVQNFYNPLLPSGMKFEIGQALYLGFISSSLSLIGGTLLCLSCQDEAPYRPYQAPPRATTTTANTAPAYQPPAAYKDNRAPSVTSATHSGYRLNDYV
+>DECOY_sp|O95500|CLD14_HUMAN Claudin-14 OS=Homo sapiens OX=9606 GN=CLDN14 PE=1 SV=1
+VYDNLRYGSHTASTVSPARNDKYAAPPQYAPATNATTTTARPPAQYPRYPAEDQCSLCLLTGGILSLSSSIFGLYLAQGIEFKMGSPLLPNYFNQVVDNTTWSVAVMCLLGALIFLTGGLIAFTTKAPTGKACRTCKMGIVACACAIGSLLCSIVMLARAAQLDQPLALLSRYIQCQYIGTSHWVCEMWLGKLYSVATLINTGVHATRRWHPLITTILTGVMGLFSLLFGLLQVATSAM
+>sp|P56746|CLD15_HUMAN Claudin-15 OS=Homo sapiens OX=9606 GN=CLDN15 PE=1 SV=1
+MSMAVETFGFFMATVGLLMLGVTLPNSYWRVSTVHGNVITTNTIFENLWFSCATDSLGVYNCWEFPSMLALSGYIQACRALMITAILLGFLGLLLGIAGLRCTNIGGLELSRKAKLAATAGALHILAGICGMVAISWYAFNITRDFFDPLYPGTKYELGPALYLGWSASLISILGGLCLCSACCCGSDEDPAASARRPYQAPVSVMPVATSDQEGDSSFGKYGRNAYV
+>DECOY_sp|P56746|CLD15_HUMAN Claudin-15 OS=Homo sapiens OX=9606 GN=CLDN15 PE=1 SV=1
+VYANRGYKGFSSDGEQDSTAVPMVSVPAQYPRRASAAPDEDSGCCCASCLCLGGLISILSASWGLYLAPGLEYKTGPYLPDFFDRTINFAYWSIAVMGCIGALIHLAGATAALKAKRSLELGGINTCRLGAIGLLLGLFGLLIATIMLARCAQIYGSLALMSPFEWCNYVGLSDTACSFWLNEFITNTTIVNGHVTSVRWYSNPLTVGLMLLGVTAMFFGFTEVAMSM
+>sp|Q9Y5I7|CLD16_HUMAN Claudin-16 OS=Homo sapiens OX=9606 GN=CLDN16 PE=1 SV=1
+MTSRTPLLVTACLYYSYCNSRHLQQGVRKSKRPVFSHCQVPETQKTDTRHLSGARAGVCPCCHPDGLLATMRDLLQYIACFFAFFSAGFLIVATWTDCWMVNADDSLEVSTKCRGLWWECVTNAFDGIRTCDEYDSILAEHPLKLVVTRALMITADILAGFGFLTLLLGLDCVKFLPDEPYIKVRICFVAGATLLIAGTPGIIGSVWYAVDVYVERSTLVLHNIFLGIQYKFGWSCWLGMAGSLGCFLAGAVLTCCLYLFKDVGPERNYPYSLRKAYSAAGVSMAKSYSAPRTETAKMYAVDTRV
+>DECOY_sp|Q9Y5I7|CLD16_HUMAN Claudin-16 OS=Homo sapiens OX=9606 GN=CLDN16 PE=1 SV=1
+VRTDVAYMKATETRPASYSKAMSVGAASYAKRLSYPYNREPGVDKFLYLCCTLVAGALFCGLSGAMGLWCSWGFKYQIGLFINHLVLTSREVYVDVAYWVSGIIGPTGAILLTAGAVFCIRVKIYPEDPLFKVCDLGLLLTLFGFGALIDATIMLARTVVLKLPHEALISDYEDCTRIGDFANTVCEWWLGRCKTSVELSDDANVMWCDTWTAVILFGASFFAFFCAIYQLLDRMTALLGDPHCCPCVGARAGSLHRTDTKQTEPVQCHSFVPRKSKRVGQQLHRSNCYSYYLCATVLLPTRSTM
+>sp|P56856|CLD18_HUMAN Claudin-18 OS=Homo sapiens OX=9606 GN=CLDN18 PE=2 SV=1
+MSTTTCQVVAFLLSILGLAGCIAATGMDMWSTQDLYDNPVTSVFQYEGLWRSCVRQSSGFTECRPYFTILGLPAMLQAVRALMIVGIVLGAIGLLVSIFALKCIRIGSMEDSAKANMTLTSGIMFIVSGLCAIAGVSVFANMLVTNFWMSTANMYTGMGGMVQTVQTRYTFGAALFVGWVAGGLTLIGGVMMCIACRGLAPEETNYKAVSYHASGHSVAYKPGGFKASTGFGSNTKNKKIYDGGARTEDEVQSYPSKHDYV
+>DECOY_sp|P56856|CLD18_HUMAN Claudin-18 OS=Homo sapiens OX=9606 GN=CLDN18 PE=2 SV=1
+VYDHKSPYSQVEDETRAGGDYIKKNKTNSGFGTSAKFGGPKYAVSHGSAHYSVAKYNTEEPALGRCAICMMVGGILTLGGAVWGVFLAAGFTYRTQVTQVMGGMGTYMNATSMWFNTVLMNAFVSVGAIACLGSVIFMIGSTLTMNAKASDEMSGIRICKLAFISVLLGIAGLVIGVIMLARVAQLMAPLGLITFYPRCETFGSSQRVCSRWLGEYQFVSTVPNDYLDQTSWMDMGTAAICGALGLISLLFAVVQCTTTSM
+>sp|Q8N6F1|CLD19_HUMAN Claudin-19 OS=Homo sapiens OX=9606 GN=CLDN19 PE=1 SV=2
+MANSGLQLLGYFLALGGWVGIIASTALPQWKQSSYAGDAIITAVGLYEGLWMSCASQSTGQVQCKLYDSLLALDGHIQSARALMVVAVLLGFVAMVLSVVGMKCTRVGDSNPIAKGRVAIAGGALFILAGLCTLTAVSWYATLVTQEFFNPSTPVNARYEFGPALFVGWASAGLAVLGGSFLCCTCPEPERPNSSPQPYRPGPSAAAREPVVKLPASAKGPLGV
+>DECOY_sp|Q8N6F1|CLD19_HUMAN Claudin-19 OS=Homo sapiens OX=9606 GN=CLDN19 PE=1 SV=2
+VGLPGKASAPLKVVPERAAASPGPRYPQPSSNPREPEPCTCCLFSGGLVALGASAWGVFLAPGFEYRANVPTSPNFFEQTVLTAYWSVATLTCLGALIFLAGGAIAVRGKAIPNSDGVRTCKMGVVSLVMAVFGLLVAVVMLARASQIHGDLALLSDYLKCQVQGTSQSACSMWLGEYLGVATIIADGAYSSQKWQPLATSAIIGVWGGLALFYGLLQLGSNAM
+>sp|Q96B33|CLD23_HUMAN Claudin-23 OS=Homo sapiens OX=9606 GN=CLDN23 PE=2 SV=2
+MRTPVVMTLGMVLAPCGLLLNLTGTLAPGWRLVKGFLNQPVDVELYQGLWDMCREQSSRERECGQTDQWGYFEAQPVLVARALMVTSLAATVLGLLLASLGVRCWQDEPNFVLAGLSGVVLFVAGLLGLIPVSWYNHFLGDRDVLPAPASPVTVQVSYSLVLGYLGSCLLLLGGFSLALSFAPWCDERCRRRRKGPSAGPRRSSVSTIQVEWPEPDLAPAIKYYSDGQHRPPPAQHRKPKPKPKVGFPMPRPRPKAYTNSVDVLDGEGWESQDAPSCSTHPCDSSLPCDSDL
+>DECOY_sp|Q96B33|CLD23_HUMAN Claudin-23 OS=Homo sapiens OX=9606 GN=CLDN23 PE=2 SV=2
+LDSDCPLSSDCPHTSCSPADQSEWGEGDLVDVSNTYAKPRPRPMPFGVKPKPKPKRHQAPPPRHQGDSYYKIAPALDPEPWEVQITSVSSRRPGASPGKRRRRCREDCWPAFSLALSFGGLLLLCSGLYGLVLSYSVQVTVPSAPAPLVDRDGLFHNYWSVPILGLLGAVFLVVGSLGALVFNPEDQWCRVGLSALLLGLVTAALSTVMLARAVLVPQAEFYGWQDTQGCERERSSQERCMDWLGQYLEVDVPQNLFGKVLRWGPALTGTLNLLLGCPALVMGLTMVVPTRM
+>sp|C9JDP6|CLD25_HUMAN Putative claudin-25 OS=Homo sapiens OX=9606 GN=CLDN25 PE=5 SV=1
+MAWSFRAKVQLGGLLLSLLGWVCSCVTTILPQWKTLNLELNEMETWIMGIWEVCVDREEVATVCKAFESFLSLPQELQVARILMVASHGLGLLGLLLCSFGSECFQFHRIRWVFKRRLGLLGRTLEASASATTLLPVSWVAHATIQDFWDDSIPDIIPRWEFGGALYLGWAAGIFLALGGLLLIFSACLGKEDVPFPLMAGPTVPLSCAPVEESDGSFHLMLRPRNLVI
+>DECOY_sp|C9JDP6|CLD25_HUMAN Putative claudin-25 OS=Homo sapiens OX=9606 GN=CLDN25 PE=5 SV=1
+IVLNRPRLMLHFSGDSEEVPACSLPVTPGAMLPFPVDEKGLCASFILLLGGLALFIGAAWGLYLAGGFEWRPIIDPISDDWFDQITAHAVWSVPLLTTASASAELTRGLLGLRRKFVWRIRHFQFCESGFSCLLLGLLGLGHSAVMLIRAVQLEQPLSLFSEFAKCVTAVEERDVCVEWIGMIWTEMENLELNLTKWQPLITTVCSCVWGLLSLLLGGLQVKARFSWAM
+>sp|O95471|CLD7_HUMAN Claudin-7 OS=Homo sapiens OX=9606 GN=CLDN7 PE=1 SV=4
+MANSGLQLLGFSMALLGWVGLVACTAIPQWQMSSYAGDNIITAQAMYKGLWMDCVTQSTGMMSCKMYDSVLALSAALQATRALMVVSLVLGFLAMFVATMGMKCTRCGGDDKVKKARIAMGGGIIFIVAGLAALVACSWYGHQIVTDFYNPLIPTNIKYEFGPAIFIGWAGSALVILGGALLSCSCPGNESKAGYRVPRSYPKSNSSKEYV
+>DECOY_sp|O95471|CLD7_HUMAN Claudin-7 OS=Homo sapiens OX=9606 GN=CLDN7 PE=1 SV=4
+VYEKSSNSKPYSRPVRYGAKSENGPCSCSLLAGGLIVLASGAWGIFIAPGFEYKINTPILPNYFDTVIQHGYWSCAVLAALGAVIFIIGGGMAIRAKKVKDDGGCRTCKMGMTAVFMALFGLVLSVVMLARTAQLAASLALVSDYMKCSMMGTSQTVCDMWLGKYMAQATIINDGAYSSMQWQPIATCAVLGVWGLLAMSFGLLQLGSNAM
+>sp|O75153|CLU_HUMAN Clustered mitochondria protein homolog OS=Homo sapiens OX=9606 GN=CLUH PE=1 SV=2
+MLLNGDCPESLKKEAAAAEPPRENGLDEAGPGDETTGQEVIVIQDTGFSVKILAPGIEPFSLQVSPQEMVQEIHQVLMDREDTCHRTCFSLHLDGNVLDHFSELRSVEGLQEGSVLRVVEEPYTVREARIHVRHVRDLLKSLDPSDAFNGVDCNSLSFLSVFTDGDLGDSGKRKKGLEMDPIDCTPPEYILPGSRERPLCPLQPQNRDWKPLQCLKVLTMSGWNPPPGNRKMHGDLMYLFVITAEDRQVSITASTRGFYLNQSTAYHFNPKPASPRFLSHSLVELLNQISPTFKKNFAVLQKKRVQRHPFERIATPFQVYSWTAPQAEHAMDCVRAEDAYTSRLGYEEHIPGQTRDWNEELQTTRELPRKNLPERLLRERAIFKVHSDFTAAATRGAMAVIDGNVMAINPSEETKMQMFIWNNIFFSLGFDVRDHYKDFGGDVAAYVAPTNDLNGVRTYNAVDVEGLYTLGTVVVDYRGYRVTAQSIIPGILERDQEQSVIYGSIDFGKTVVSHPRYLELLERTSRPLKILRHQVLNDRDEEVELCSSVECKGIIGNDGRHYILDLLRTFPPDLNFLPVPGEELPEECARAGFPRAHRHKLCCLRQELVDAFVEHRYLLFMKLAALQLMQQNASQLETPSSLENGGPSSLESKSEDPPGQEAGSEEEGSSASGLAKVKELAETIAADDGTDPRSREVIRNACKAVGSISSTAFDIRFNPDIFSPGVRFPESCQDEVRDQKQLLKDAAAFLLSCQIPGLVKDCMEHAVLPVDGATLAEVMRQRGINMRYLGKVLELVLRSPARHQLDHVFKIGIGELITRSAKHIFKTYLQGVELSGLSAAISHFLNCFLSSYPNPVAHLPADELVSKKRNKRRKNRPPGAADNTAWAVMTPQELWKNICQEAKNYFDFDLECETVDQAVETYGLQKITLLREISLKTGIQVLLKEYSFDSRHKPAFTEEDVLNIFPVVKHVNPKASDAFHFFQSGQAKVQQGFLKEGCELINEALNLFNNVYGAMHVETCACLRLLARLHYIMGDYAEALSNQQKAVLMSERVMGTEHPNTIQEYMHLALYCFASSQLSTALSLLYRARYLMLLVFGEDHPEMALLDNNIGLVLHGVMEYDLSLRFLENALAVSTKYHGPKALKVALSHHLVARVYESKAEFRSALQHEKEGYTIYKTQLGEDHEKTKESSEYLKCLTQQAVALQRTMNEIYRNGSSANIPPLKFTAPSMASVLEQLNVINGILFIPLSQKDLENLKAEVARRHQLQEASRNRDRAEEPMATEPAPAGAPGDLGSQPPAAKDPSPSVQG
+>DECOY_sp|O75153|CLU_HUMAN Clustered mitochondria protein homolog OS=Homo sapiens OX=9606 GN=CLUH PE=1 SV=2
+GQVSPSPDKAAPPQSGLDGPAGAPAPETAMPEEARDRNRSAEQLQHRRAVEAKLNELDKQSLPIFLIGNIVNLQELVSAMSPATFKLPPINASSGNRYIENMTRQLAVAQQTLCKLYESSEKTKEHDEGLQTKYITYGEKEHQLASRFEAKSEYVRAVLHHSLAVKLAKPGHYKTSVALANELFRLSLDYEMVGHLVLGINNDLLAMEPHDEGFVLLMLYRARYLLSLATSLQSSAFCYLALHMYEQITNPHETGMVRESMLVAKQQNSLAEAYDGMIYHLRALLRLCACTEVHMAGYVNNFLNLAENILECGEKLFGQQVKAQGSQFFHFADSAKPNVHKVVPFINLVDEETFAPKHRSDFSYEKLLVQIGTKLSIERLLTIKQLGYTEVAQDVTECELDFDFYNKAEQCINKWLEQPTMVAWATNDAAGPPRNKRRKNRKKSVLEDAPLHAVPNPYSSLFCNLFHSIAASLGSLEVGQLYTKFIHKASRTILEGIGIKFVHDLQHRAPSRLVLELVKGLYRMNIGRQRMVEALTAGDVPLVAHEMCDKVLGPIQCSLLFAAADKLLQKQDRVEDQCSEPFRVGPSFIDPNFRIDFATSSISGVAKCANRIVERSRPDTGDDAAITEALEKVKALGSASSGEEESGAEQGPPDESKSELSSPGGNELSSPTELQSANQQMLQLAALKMFLLYRHEVFADVLEQRLCCLKHRHARPFGARACEEPLEEGPVPLFNLDPPFTRLLDLIYHRGDNGIIGKCEVSSCLEVEEDRDNLVQHRLIKLPRSTRELLELYRPHSVVTKGFDISGYIVSQEQDRELIGPIISQATVRYGRYDVVVTGLTYLGEVDVANYTRVGNLDNTPAVYAAVDGGFDKYHDRVDFGLSFFINNWIFMQMKTEESPNIAMVNGDIVAMAGRTAAATFDSHVKFIARERLLREPLNKRPLERTTQLEENWDRTQGPIHEEYGLRSTYADEARVCDMAHEAQPATWSYVQFPTAIREFPHRQVRKKQLVAFNKKFTPSIQNLLEVLSHSLFRPSAPKPNFHYATSQNLYFGRTSATISVQRDEATIVFLYMLDGHMKRNGPPPNWGSMTLVKLCQLPKWDRNQPQLPCLPRERSGPLIYEPPTCDIPDMELGKKRKGSDGLDGDTFVSLFSLSNCDVGNFADSPDLSKLLDRVHRVHIRAERVTYPEEVVRLVSGEQLGEVSRLESFHDLVNGDLHLSFCTRHCTDERDMLVQHIEQVMEQPSVQLSFPEIGPALIKVSFGTDQIVIVEQGTTEDGPGAEDLGNERPPEAAAAEKKLSEPCDGNLLM
+>sp|Q5SYC1|CLVS2_HUMAN Clavesin-2 OS=Homo sapiens OX=9606 GN=CLVS2 PE=2 SV=1
+MTHLQAGLSPETLEKARLELNENPDTLHQDIQEVRDMVITRPDIGFLRTDDAFILRFLRARKFHHFEAFRLLAQYFEYRQQNLDMFKSFKATDPGIKQALKDGFPGGLANLDHYGRKILVLFAANWDQSRYTLVDILRAILLSLEAMIEDPELQVNGFVLIIDWSNFTFKQASKLTPSMLRLAIEGLQDSFPARFGGIHFVNQPWYIHALYTVIRPFLKEKTRKRIFLHGNNLNSLHQLIHPEILPSEFGGMLPPYDMGTWARTLLDHEYDDDSEYNVDSYSMPVKEVEKELSPKSMKRSQSVVDPTVLKRMDKNEEENMQPLLSLD
+>DECOY_sp|Q5SYC1|CLVS2_HUMAN Clavesin-2 OS=Homo sapiens OX=9606 GN=CLVS2 PE=2 SV=1
+DLSLLPQMNEEENKDMRKLVTPDVVSQSRKMSKPSLEKEVEKVPMSYSDVNYESDDDYEHDLLTRAWTGMDYPPLMGGFESPLIEPHILQHLSNLNNGHLFIRKRTKEKLFPRIVTYLAHIYWPQNVFHIGGFRAPFSDQLGEIALRLMSPTLKSAQKFTFNSWDIILVFGNVQLEPDEIMAELSLLIARLIDVLTYRSQDWNAAFLVLIKRGYHDLNALGGPFGDKLAQKIGPDTAKFSKFMDLNQQRYEFYQALLRFAEFHHFKRARLFRLIFADDTRLFGIDPRTIVMDRVEQIDQHLTDPNENLELRAKELTEPSLGAQLHTM
+>sp|Q8N0X4|CLYBL_HUMAN Citramalyl-CoA lyase, mitochondrial OS=Homo sapiens OX=9606 GN=CLYBL PE=1 SV=2
+MALRLLRRAARGAAAAALLRLKASLAADIPRLGYSSSSHHKYIPRRAVLYVPGNDEKKIKKIPSLNVDCAVLDCEDGVAANKKNEARLRIVKTLEDIDLGPTEKCVRVNSVSSGLAEEDLETLLQSRVLPSSLMLPKVESPEEIQWFADKFSFHLKGRKLEQPMNLIPFVETAMGLLNFKAVCEETLKVGPQVGLFLDAVVFGGEDFRASIGATSSKETLDILYARQKIVVIAKAFGLQAIDLVYIDFRDGAGLLRQSREGAAMGFTGKQVIHPNQIAVVQEQFSPSPEKIKWAEELIAAFKEHQQLGKGAFTFQGSMIDMPLLKQAQNTVTLATSIKEK
+>DECOY_sp|Q8N0X4|CLYBL_HUMAN Citramalyl-CoA lyase, mitochondrial OS=Homo sapiens OX=9606 GN=CLYBL PE=1 SV=2
+KEKISTALTVTNQAQKLLPMDIMSGQFTFAGKGLQQHEKFAAILEEAWKIKEPSPSFQEQVVAIQNPHIVQKGTFGMAAGERSQRLLGAGDRFDIYVLDIAQLGFAKAIVVIKQRAYLIDLTEKSSTAGISARFDEGGFVVADLFLGVQPGVKLTEECVAKFNLLGMATEVFPILNMPQELKRGKLHFSFKDAFWQIEEPSEVKPLMLSSPLVRSQLLTELDEEALGSSVSNVRVCKETPGLDIDELTKVIRLRAENKKNAAVGDECDLVACDVNLSPIKKIKKEDNGPVYLVARRPIYKHHSSSSYGLRPIDAALSAKLRLLAAAAAGRAARRLLRLAM
+>sp|Q4W4Y0|CN028_HUMAN Uncharacterized protein C14orf28 OS=Homo sapiens OX=9606 GN=C14orf28 PE=2 SV=1
+MKTLFEEIKASIKNNYNQDRSFCRPVLPWGGVFTIKAGRKAVSCTPLYVEIRLKNTCTIDGFLMLLYVILNENENFPRELSLHFGREFVDCFLYLMDTYSFTTVKLLWIWDKMEKQQYKSEVHKASLIIDLFGNEHDNFTKNLENLMSTIQESYCSNWRCPTRVQEDQQRTININPPQEIPHGNLIRLAVNELFCSKIELCEEHGCGGLREFSQRIFCHGAPPFVVLNMQHWKSEDLAYVPYYLDLSDHKYLLEGATLFNKEEHHYSAAFQIGGHWMHYDGLRNVNLILLNKPPEFLLLSSLVYIRATEK
+>DECOY_sp|Q4W4Y0|CN028_HUMAN Uncharacterized protein C14orf28 OS=Homo sapiens OX=9606 GN=C14orf28 PE=2 SV=1
+KETARIYVLSSLLLFEPPKNLLILNVNRLGDYHMWHGGIQFAASYHHEEKNFLTAGELLYKHDSLDLYYPVYALDESKWHQMNLVVFPPAGHCFIRQSFERLGGCGHEECLEIKSCFLENVALRILNGHPIEQPPNINITRQQDEQVRTPCRWNSCYSEQITSMLNELNKTFNDHENGFLDIILSAKHVESKYQQKEMKDWIWLLKVTTFSYTDMLYLFCDVFERGFHLSLERPFNENENLIVYLLMLFGDITCTNKLRIEVYLPTCSVAKRGAKITFVGGWPLVPRCFSRDQNYNNKISAKIEEFLTKM
+>sp|Q9NPU4|CN132_HUMAN Uncharacterized protein C14orf132 OS=Homo sapiens OX=9606 GN=C14orf132 PE=3 SV=2
+MDLSFMAAQLPMMGGAFMDSPNEDFSTEYSLFNSSANVHAAANGQGQPEDPPRSSNDAVLLWIAIIATLGNIVVVGVVYAFTF
+>DECOY_sp|Q9NPU4|CN132_HUMAN Uncharacterized protein C14orf132 OS=Homo sapiens OX=9606 GN=C14orf132 PE=3 SV=2
+FTFAYVVGVVVINGLTAIIAIWLLVADNSSRPPDEPQGQGNAAAHVNASSNFLSYETSFDENPSDMFAGGMMPLQAAMFSLDM
+>sp|Q8NCQ2|CNAS1_HUMAN Uncharacterized protein CSNK1G2-AS1 OS=Homo sapiens OX=9606 GN=CSNK1G2-AS1 PE=2 SV=2
+MGRAGPRSTADTRPRATVITTRRPRPWQKPTSPRRLHRRRPRGQPASENAAEPSQVAISKGKSPDYANGGKAAMDSRASDAINKSKVDTSASNPSQRRPSRLRGKRSLALPPRLECLFPSSCGSRRATSRPGFPPLEGSHLGCQLLPL
+>DECOY_sp|Q8NCQ2|CNAS1_HUMAN Uncharacterized protein CSNK1G2-AS1 OS=Homo sapiens OX=9606 GN=CSNK1G2-AS1 PE=2 SV=2
+LPLLQCGLHSGELPPFGPRSTARRSGCSSPFLCELRPPLALSRKGRLRSPRRQSPNSASTDVKSKNIADSARSDMAAKGGNAYDPSKGKSIAVQSPEAANESAPQGRPRRRHLRRPSTPKQWPRPRRTTIVTARPRTDATSRPGARGM
+>sp|O95476|CNEP1_HUMAN CTD nuclear envelope phosphatase 1 OS=Homo sapiens OX=9606 GN=CTDNEP1 PE=1 SV=2
+MMRTQCLLGLRTFVAFAAKLWSFFIYLLRRQIRTVIQYQTVRYDILPLSPVSRNRLAQVKRKILVLDLDETLIHSHHDGVLRPTVRPGTPPDFILKVVIDKHPVRFFVHKRPHVDFFLEVVSQWYELVVFTASMEIYGSAVADKLDNSRSILKRRYYRQHCTLELGSYIKDLSVVHSDLSSIVILDNSPGAYRSHPDNAIPIKSWFSDPSDTALLNLLPMLDALRFTADVRSVLSRNLHQHRLW
+>DECOY_sp|O95476|CNEP1_HUMAN CTD nuclear envelope phosphatase 1 OS=Homo sapiens OX=9606 GN=CTDNEP1 PE=1 SV=2
+WLRHQHLNRSLVSRVDATFRLADLMPLLNLLATDSPDSFWSKIPIANDPHSRYAGPSNDLIVISSLDSHVVSLDKIYSGLELTCHQRYYRRKLISRSNDLKDAVASGYIEMSATFVVLEYWQSVVELFFDVHPRKHVFFRVPHKDIVVKLIFDPPTGPRVTPRLVGDHHSHILTEDLDLVLIKRKVQALRNRSVPSLPLIDYRVTQYQIVTRIQRRLLYIFFSWLKAAFAVFTRLGLLCQTRMM
+>sp|Q9BYD5|CNFN_HUMAN Cornifelin OS=Homo sapiens OX=9606 GN=CNFN PE=1 SV=2
+MSYPVTSQPQCATTSCYQTQLSDWHTGLTDCCNDMPVCLCGTFAPLCLACRISDDFGECCCAPYLPGGLHSIRTGMRERYHIQGSVGHDWAALTFCLPCALCQMARELKIRE
+>DECOY_sp|Q9BYD5|CNFN_HUMAN Cornifelin OS=Homo sapiens OX=9606 GN=CNFN PE=1 SV=2
+ERIKLERAMQCLACPLCFTLAAWDHGVSGQIHYRERMGTRISHLGGPLYPACCCEGFDDSIRCALCLPAFTGCLCVPMDNCCDTLGTHWDSLQTQYCSTTACQPQSTVPYSM
+>sp|Q9NQW8|CNGB3_HUMAN Cyclic nucleotide-gated cation channel beta-3 OS=Homo sapiens OX=9606 GN=CNGB3 PE=1 SV=2
+MFKSLTKVNKVKPIGENNENEQSSRRNEEGSHPSNQSQQTTAQEENKGEEKSLKTKSTPVTSEEPHTNIQDKLSKKNSSGDLTTNPDPQNAAEPTGTVPEQKEMDPGKEGPNSPQNKPPAAPVINEYADAQLHNLVKRMRQRTALYKKKLVEGDLSSPEASPQTAKPTAVPPVKESDDKPTEHYYRLLWFKVKKMPLTEYLKRIKLPNSIDSYTDRLYLLWLLLVTLAYNWNCCFIPLRLVFPYQTADNIHYWLIADIICDIIYLYDMLFIQPRLQFVRGGDIIVDSNELRKHYRTSTKFQLDVASIIPFDICYLFFGFNPMFRANRMLKYTSFFEFNHHLESIMDKAYIYRVIRTTGYLLFILHINACVYYWASNYEGIGTTRWVYDGEGNEYLRCYYWAVRTLITIGGLPEPQTLFEIVFQLLNFFSGVFVFSSLIGQMRDVIGAATANQNYFRACMDDTIAYMNNYSIPKLVQKRVRTWYEYTWDSQRMLDESDLLKTLPTTVQLALAIDVNFSIISKVDLFKGCDTQMIYDMLLRLKSVLYLPGDFVCKKGEIGKEMYIIKHGEVQVLGGPDGTKVLVTLKAGSVFGEISLLAAGGGNRRTANVVAHGFANLLTLDKKTLQEILVHYPDSERILMKKARVLLKQKAKTAEATPPRKDLALLFPPKEETPKLFKTLLGGTGKASLARLLKLKREQAAQKKENSEGGEEEGKENEDKQKENEDKQKENEDKGKENEDKDKGREPEEKPLDRPECTASPIAVEEEPHSVRRTVLPRGTSRQSLIISMAPSAEGGEEVLTIEVKEKAKQ
+>DECOY_sp|Q9NQW8|CNGB3_HUMAN Cyclic nucleotide-gated cation channel beta-3 OS=Homo sapiens OX=9606 GN=CNGB3 PE=1 SV=2
+QKAKEKVEITLVEEGGEASPAMSIILSQRSTGRPLVTRRVSHPEEEVAIPSATCEPRDLPKEEPERGKDKDENEKGKDENEKQKDENEKQKDENEKGEEEGGESNEKKQAAQERKLKLLRALSAKGTGGLLTKFLKPTEEKPPFLLALDKRPPTAEATKAKQKLLVRAKKMLIRESDPYHVLIEQLTKKDLTLLNAFGHAVVNATRRNGGGAALLSIEGFVSGAKLTVLVKTGDPGGLVQVEGHKIIYMEKGIEGKKCVFDGPLYLVSKLRLLMDYIMQTDCGKFLDVKSIISFNVDIALALQVTTPLTKLLDSEDLMRQSDWTYEYWTRVRKQVLKPISYNNMYAITDDMCARFYNQNATAAGIVDRMQGILSSFVFVGSFFNLLQFVIEFLTQPEPLGGITILTRVAWYYCRLYENGEGDYVWRTTGIGEYNSAWYYVCANIHLIFLLYGTTRIVRYIYAKDMISELHHNFEFFSTYKLMRNARFMPNFGFFLYCIDFPIISAVDLQFKTSTRYHKRLENSDVIIDGGRVFQLRPQIFLMDYLYIIDCIIDAILWYHINDATQYPFVLRLPIFCCNWNYALTVLLLWLLYLRDTYSDISNPLKIRKLYETLPMKKVKFWLLRYYHETPKDDSEKVPPVATPKATQPSAEPSSLDGEVLKKKYLATRQRMRKVLNHLQADAYENIVPAAPPKNQPSNPGEKGPDMEKQEPVTGTPEAANQPDPNTTLDGSSNKKSLKDQINTHPEESTVPTSKTKLSKEEGKNEEQATTQQSQNSPHSGEENRRSSQENENNEGIPKVKNVKTLSKFM
+>sp|G9CGD6|CNIPF_HUMAN CNK3/IPCEF1 fusion protein OS=Homo sapiens OX=9606 GN=CNK3/IPCEF1 PE=1 SV=1
+MEPVTKWSPKQVVDWTRGLDDCLQQYVHKFEREKINGEQLLQISHQDLEELGVTRIGHQELVLEAVDLLCALNYGLETDNMKNLVLKLRASSHNLQNYISSRRKSPAYDGNTSRKAPNEFLTSVVELIGAAKALLAWLDRAPFTGITDFSVTKNKIIQLCLDLTTTVQKDCFVAEMEDKVLTVVKVLNGICDKTIRSTTDPVMSQCACLEEVHLPNIKPGEGLGMYIKSTYDGLHVITGTTENSPADRSQKIHAGDEVIQVNQQTVVGWQLKNLVKKLRENPTGVVLLLKKRPTGSFNFTPAPLKNLRWKPPLVQTSPPPATTQSPESTMDTSLKKEKSAILDLYIPPPPAVPYSPRDENGSFVYGGSSKCKQPLPGPKGSESPNSFLDQESRRRRFTIADSDQLPGYSVETNILPTKMREKTPSYGKPRPLSMPADGNWMGIVDPFARPRGHGRKAFVSTKMTSYMAIDGSALVPLRQKPRRKTQGFLTMSRRRISCKDLGHADCQGWLYKKKEKGSFLSNKWKKFWVILKGSSLYWYSNQMAEKADGFVNLPDFTVERASECKKKHAFKISHPQIKTFYFAAENVQEMNVWLNKLGSAVIHQESTTKDEECYSESEQEDPEIAAETPPPPHASQTQSLTAQQASSSSPSLSGTSYSFSSLENTVKTPSSFPSSLSKERQSLPDTVNSLSAAEDEGQPITFAVQVHSPVPSEAGIHKALENSFVTSESGFLNSLSSDDTSSLSSNHDHLTVPDKPAGSKIMDKEETKVSEDDEMEKLYKSLEQASLSPLGDRRPSTKKELRKSFVKRCKNPSINEKLHKIRTLNSTLKCKEHDLAMINQLLDDPKLTARKYREWKVMNTLLIQDIYQQQRASPAPDDTDDTPQELKKSPSSPSVENSI
+>DECOY_sp|G9CGD6|CNIPF_HUMAN CNK3/IPCEF1 fusion protein OS=Homo sapiens OX=9606 GN=CNK3/IPCEF1 PE=1 SV=1
+ISNEVSPSSPSKKLEQPTDDTDDPAPSARQQQYIDQILLTNMVKWERYKRATLKPDDLLQNIMALDHEKCKLTSNLTRIKHLKENISPNKCRKVFSKRLEKKTSPRRDGLPSLSAQELSKYLKEMEDDESVKTEEKDMIKSGAPKDPVTLHDHNSSLSSTDDSSLSNLFGSESTVFSNELAKHIGAESPVPSHVQVAFTIPQGEDEAASLSNVTDPLSQREKSLSSPFSSPTKVTNELSSFSYSTGSLSPSSSSAQQATLSQTQSAHPPPPTEAAIEPDEQESESYCEEDKTTSEQHIVASGLKNLWVNMEQVNEAAFYFTKIQPHSIKFAHKKKCESAREVTFDPLNVFGDAKEAMQNSYWYLSSGKLIVWFKKWKNSLFSGKEKKKYLWGQCDAHGLDKCSIRRRSMTLFGQTKRRPKQRLPVLASGDIAMYSTMKTSVFAKRGHGRPRAFPDVIGMWNGDAPMSLPRPKGYSPTKERMKTPLINTEVSYGPLQDSDAITFRRRRSEQDLFSNPSESGKPGPLPQKCKSSGGYVFSGNEDRPSYPVAPPPPIYLDLIASKEKKLSTDMTSEPSQTTAPPPSTQVLPPKWRLNKLPAPTFNFSGTPRKKLLLVVGTPNERLKKVLNKLQWGVVTQQNVQIVEDGAHIKQSRDAPSNETTGTIVHLGDYTSKIYMGLGEGPKINPLHVEELCACQSMVPDTTSRITKDCIGNLVKVVTLVKDEMEAVFCDKQVTTTLDLCLQIIKNKTVSFDTIGTFPARDLWALLAKAAGILEVVSTLFENPAKRSTNGDYAPSKRRSSIYNQLNHSSARLKLVLNKMNDTELGYNLACLLDVAELVLEQHGIRTVGLEELDQHSIQLLQEGNIKEREFKHVYQQLCDDLGRTWDVVQKPSWKTVPEM
+>sp|Q8WXI2|CNKR2_HUMAN Connector enhancer of kinase suppressor of ras 2 OS=Homo sapiens OX=9606 GN=CNKSR2 PE=1 SV=1
+MALIMEPVSKWSPSQVVDWMKGLDDCLQQYIKNFEREKISGDQLLRITHQELEDLGVSRIGHQELILEAVDLLCALNYGLETENLKTLSHKLNASAKNLQNFITGRRRSGHYDGRTSRKLPNDFLTSVVDLIGAAKSLLAWLDRSPFAAVTDYSVTRNNVIQLCLELTTIVQQDCTVYETENKILHVCKTLSGVCDHIISLSSDPLVSQSAHLEVIQLANIKPSEGLGMYIKSTYDGLHVITGTTENSPADRCKKIHAGDEVIQVNHQTVVGWQLKNLVNALREDPSGVILTLKKRPQSMLTSAPALLKNMRWKPLALQPLIPRSPTSSVATPSSTISTPTKRDSSALQDLYIPPPPAEPYIPRDEKGNLPCEDLRGHMVGKPVHKGSESPNSFLDQEYRKRFNIVEEDTVLYCYEYEKGRSSSQGRRESTPTYGKLRPISMPVEYNWVGDYEDPNKMKRDSRRENSLLRYMSNEKIAQEEYMFQRNSKKDTGKKSKKKGDKSNSPTHYSLLPSLQMDALRQDIMGTPVPETTLYHTFQQSSLQHKSKKKNKGPIAGKSKRRISCKDLGRGDCEGWLWKKKDAKSYFSQKWKKYWFVLKDASLYWYINEEDEKAEGFISLPEFKIDRASECRKKYAFKACHPKIKSFYFAAEHLDDMNRWLNRINMLTAGYAERERIKQEQDYWSESDKEEADTPSTPKQDSPPPPYDTYPRPPSMSCASPYVEAKHSRLSSTETSQSQSSHEEFRQEVTGSSAVSPIRKTASQRRSWQDLIETPLTSSGLHYLQTLPLEDSVFSDSAAISPEHRRQSTLPTQKCHLQDHYGPYPLAESERMQVLNGNGGKPRSFTLPRDSGFNHCCLNAPVSACDPQDDVQPPEVEEEEEEEEEEGEAAGENIGEKSESREEKLGDSLQDLYRALEQASLSPLGEHRISTKMEYKLSFIKRCNDPVMNEKLHRLRILKSTLKAREGEVAIIDKVLDNPDLTSKEFQQWKQMYLDLFLDICQNTTSNDPLSISSEVDVITSSLAHTHSYIETHV
+>DECOY_sp|Q8WXI2|CNKR2_HUMAN Connector enhancer of kinase suppressor of ras 2 OS=Homo sapiens OX=9606 GN=CNKSR2 PE=1 SV=1
+VHTEIYSHTHALSSTIVDVESSISLPDNSTTNQCIDLFLDLYMQKWQQFEKSTLDPNDLVKDIIAVEGERAKLTSKLIRLRHLKENMVPDNCRKIFSLKYEMKTSIRHEGLPSLSAQELARYLDQLSDGLKEERSESKEGINEGAAEGEEEEEEEEEEVEPPQVDDQPDCASVPANLCCHNFGSDRPLTFSRPKGGNGNLVQMRESEALPYPGYHDQLHCKQTPLTSQRRHEPSIAASDSFVSDELPLTQLYHLGSSTLPTEILDQWSRRQSATKRIPSVASSGTVEQRFEEHSSQSQSTETSSLRSHKAEVYPSACSMSPPRPYTDYPPPPSDQKPTSPTDAEEKDSESWYDQEQKIREREAYGATLMNIRNLWRNMDDLHEAAFYFSKIKPHCAKFAYKKRCESARDIKFEPLSIFGEAKEDEENIYWYLSADKLVFWYKKWKQSFYSKADKKKWLWGECDGRGLDKCSIRRKSKGAIPGKNKKKSKHQLSSQQFTHYLTTEPVPTGMIDQRLADMQLSPLLSYHTPSNSKDGKKKSKKGTDKKSNRQFMYEEQAIKENSMYRLLSNERRSDRKMKNPDEYDGVWNYEVPMSIPRLKGYTPTSERRGQSSSRGKEYEYCYLVTDEEVINFRKRYEQDLFSNPSESGKHVPKGVMHGRLDECPLNGKEDRPIYPEAPPPPIYLDQLASSDRKTPTSITSSPTAVSSTPSRPILPQLALPKWRMNKLLAPASTLMSQPRKKLTLIVGSPDERLANVLNKLQWGVVTQHNVQIVEDGAHIKKCRDAPSNETTGTIVHLGDYTSKIYMGLGESPKINALQIVELHASQSVLPDSSLSIIHDCVGSLTKCVHLIKNETEYVTCDQQVITTLELCLQIVNNRTVSYDTVAAFPSRDLWALLSKAAGILDVVSTLFDNPLKRSTRGDYHGSRRRGTIFNQLNKASANLKHSLTKLNETELGYNLACLLDVAELILEQHGIRSVGLDELEQHTIRLLQDGSIKEREFNKIYQQLCDDLGKMWDVVQSPSWKSVPEMILAM
+>sp|P51911|CNN1_HUMAN Calponin-1 OS=Homo sapiens OX=9606 GN=CNN1 PE=1 SV=2
+MSSAHFNRGPAYGLSAEVKNKLAQKYDHQREQELREWIEGVTGRRIGNNFMDGLKDGIILCEFINKLQPGSVKKINESTQNWHQLENIGNFIKAITKYGVKPHDIFEANDLFENTNHTQVQSTLLALASMAKTKGNKVNVGVKYAEKQERKFEPGKLREGRNIIGLQMGTNKFASQQGMTAYGTRRHLYDPKLGTDQPLDQATISLQMGTNKGASQAGMTAPGTKRQIFEPGLGMEHCDTLNVSLQMGSNKGASQRGMTVYGLPRQVYDPKYCLTPEYPELGEPAHNHHAHNYYNSA
+>DECOY_sp|P51911|CNN1_HUMAN Calponin-1 OS=Homo sapiens OX=9606 GN=CNN1 PE=1 SV=2
+ASNYYNHAHHNHAPEGLEPYEPTLCYKPDYVQRPLGYVTMGRQSAGKNSGMQLSVNLTDCHEMGLGPEFIQRKTGPATMGAQSAGKNTGMQLSITAQDLPQDTGLKPDYLHRRTGYATMGQQSAFKNTGMQLGIINRGERLKGPEFKREQKEAYKVGVNVKNGKTKAMSALALLTSQVQTHNTNEFLDNAEFIDHPKVGYKTIAKIFNGINELQHWNQTSENIKKVSGPQLKNIFECLIIGDKLGDMFNNGIRRGTVGEIWERLEQERQHDYKQALKNKVEASLGYAPGRNFHASSM
+>sp|Q9NRU3|CNNM1_HUMAN Metal transporter CNNM1 OS=Homo sapiens OX=9606 GN=CNNM1 PE=1 SV=3
+MAAAAAAAAAVGVRLRDCCSRGAVLLLFFSLSPRPPAAAAWLLGLRPEDTAGGRVSLEGGTLRAAEGTSFLLRVYFQPGPPATAAPVPSPTLNSGENGTGDWAPRLVFIEEPPGGGGVAPSAVPTRPPGPQRCREQSDWASDVEVLGPLRPGGVAGSALVQVRVRELRKGEAERGGAGGGGKLFSLCAWDGRAWHHHGAAGGFLLRVRPRLYGPGGDLLPPAWLRALGALLLLALSALFSGLRLSLLSLDPVELRVLRNSGSAAEQEQARRVQAVRGRGTHLLCTLLLGQAGANAALAGWLYTSLPPGFGGTGEDYSEEGIHFPWLPALVCTGAVFLGAEICPYSVCSRHGLAIASHSVCLTRLLMAAAFPVCYPLGRLLDWALRQEISTFYTREKLLETLRAADPYSDLVKEELNIIQGALELRTKVVEEVLTPLGDCFMLRSDAVLDFATVSEILRSGYTRIPVYEGDQRHNIVDILFVKDLAFVDPDDCTPLLTVTRFYNRPLHCVFNDTRLDTVLEEFKKGKSHLAIVQRVNNEGEGDPFYEVMGIVTLEDIIEEIIKSEILDETDLYTDNRKKQRVPQRERKRHDFSLFKLSDTEMRVKISPQLLLATHRFMATEVEPFKSLYLSEKILLRLLKHPNVIQELKFDEKNKKAPEHYLYQRNRPVDYFVLLLQGKVEVEVGKEGLRFENGAFTYYGVPAIMTTACSDNDVRKVGSLAGSSVFLNRSPSRCSGLNRSESPNRERSDFGGSNTQLYSSSNNLYMPDYSVHILSDVQFVKITRQQYQNALTACHMDSSPQSPDMEAFTDGDSTKAPTTRGTPQTPKDDPAITLLNNRNSLPCSRSDGLRSPSEVVYLRMEELAFTQEEMTDFEEHSTQQLTLSPAAVPTRAASDSECCNINLDTETSPCSSDFEENVGKKLLRTLSGQKRKRSPEGERTSEDNSNLTPLIT
+>DECOY_sp|Q9NRU3|CNNM1_HUMAN Metal transporter CNNM1 OS=Homo sapiens OX=9606 GN=CNNM1 PE=1 SV=3
+TILPTLNSNDESTREGEPSRKRKQGSLTRLLKKGVNEEFDSSCPSTETDLNINCCESDSAARTPVAAPSLTLQQTSHEEFDTMEEQTFALEEMRLYVVESPSRLGDSRSCPLSNRNNLLTIAPDDKPTQPTGRTTPAKTSDGDTFAEMDPSQPSSDMHCATLANQYQQRTIKVFQVDSLIHVSYDPMYLNNSSSYLQTNSGGFDSRERNPSESRNLGSCRSPSRNLFVSSGALSGVKRVDNDSCATTMIAPVGYYTFAGNEFRLGEKGVEVEVKGQLLLVFYDVPRNRQYLYHEPAKKNKEDFKLEQIVNPHKLLRLLIKESLYLSKFPEVETAMFRHTALLLQPSIKVRMETDSLKFLSFDHRKRERQPVRQKKRNDTYLDTEDLIESKIIEEIIDELTVIGMVEYFPDGEGENNVRQVIALHSKGKKFEELVTDLRTDNFVCHLPRNYFRTVTLLPTCDDPDVFALDKVFLIDVINHRQDGEYVPIRTYGSRLIESVTAFDLVADSRLMFCDGLPTLVEEVVKTRLELAGQIINLEEKVLDSYPDAARLTELLKERTYFTSIEQRLAWDLLRGLPYCVPFAAAMLLRTLCVSHSAIALGHRSCVSYPCIEAGLFVAGTCVLAPLWPFHIGEESYDEGTGGFGPPLSTYLWGALAANAGAQGLLLTCLLHTGRGRVAQVRRAQEQEAASGSNRLVRLEVPDLSLLSLRLGSFLASLALLLLAGLARLWAPPLLDGGPGYLRPRVRLLFGGAAGHHHWARGDWACLSFLKGGGGAGGREAEGKRLERVRVQVLASGAVGGPRLPGLVEVDSAWDSQERCRQPGPPRTPVASPAVGGGGPPEEIFVLRPAWDGTGNEGSNLTPSPVPAATAPPGPQFYVRLLFSTGEAARLTGGELSVRGGATDEPRLGLLWAAAAPPRPSLSFFLLLVAGRSCCDRLRVGVAAAAAAAAAM
+>sp|O95628|CNOT4_HUMAN CCR4-NOT transcription complex subunit 4 OS=Homo sapiens OX=9606 GN=CNOT4 PE=1 SV=3
+MSRSPDAKEDPVECPLCMEPLEIDDINFFPCTCGYQICRFCWHRIRTDENGLCPACRKPYPEDPAVYKPLSQEELQRIKNEKKQKQNERKQKISENRKHLASVRVVQKNLVFVVGLSQRLADPEVLKRPEYFGKFGKIHKVVINNSTSYAGSQGPSASAYVTYIRSEDALRAIQCVNNVVVDGRTLKASLGTTKYCSYFLKNMQCPKPDCMYLHELGDEAASFTKEEMQAGKHQEYEQKLLQELYKLNPNFLQLSTGSVDKNKNKVTPLQRYDTPIDKPSDSLSIGNGDNSQQISNSDTPSPPPGLSKSNPVIPISSSNHSARSPFEGAVTESQSLFSDNFRHPNPIPSGLPPFPSSPQTSSDWPTAPEPQSLFTSETIPVSSSTDWQAAFGFGSSKQPEDDLGFDPFDVTRKALADLIEKELSVQDQPSLSPTSLQNSSSHTTTAKGPGSGFLHPAAATNANSLNSTFSVLPQRFPQFQQHRAVYNSFSFPGQAARYPWMAFPRNSIMHLNHTANPTSNSNFLDLNLPPQHNTGLGGIPVAGEEEVKVSTMPLSTSSHSLQQGQQPTSLHTTVA
+>DECOY_sp|O95628|CNOT4_HUMAN CCR4-NOT transcription complex subunit 4 OS=Homo sapiens OX=9606 GN=CNOT4 PE=1 SV=3
+AVTTHLSTPQQGQQLSHSSTSLPMTSVKVEEEGAVPIGGLGTNHQPPLNLDLFNSNSTPNATHNLHMISNRPFAMWPYRAAQGPFSFSNYVARHQQFQPFRQPLVSFTSNLSNANTAAAPHLFGSGPGKATTTHSSSNQLSTPSLSPQDQVSLEKEILDALAKRTVDFPDFGLDDEPQKSSGFGFAAQWDTSSSVPITESTFLSQPEPATPWDSSTQPSSPFPPLGSPIPNPHRFNDSFLSQSETVAGEFPSRASHNSSSIPIVPNSKSLGPPPSPTDSNSIQQSNDGNGISLSDSPKDIPTDYRQLPTVKNKNKDVSGTSLQLFNPNLKYLEQLLKQEYEQHKGAQMEEKTFSAAEDGLEHLYMCDPKPCQMNKLFYSCYKTTGLSAKLTRGDVVVNNVCQIARLADESRIYTVYASASPGQSGAYSTSNNIVVKHIKGFKGFYEPRKLVEPDALRQSLGVVFVLNKQVVRVSALHKRNESIKQKRENQKQKKENKIRQLEEQSLPKYVAPDEPYPKRCAPCLGNEDTRIRHWCFRCIQYGCTCPFFNIDDIELPEMCLPCEVPDEKADPSRSM
+>sp|Q6PJW8|CNST_HUMAN Consortin OS=Homo sapiens OX=9606 GN=CNST PE=1 SV=3
+MDDSDTPTYYLQIEPQDGCHPGDSVERSVTCLPSASDENENQLDGDGHEHLTSSDSAMGKPQVSEQDSLNNNESCTLSCEVAAGENLQNTLCEASRDEQAFLGKDKKIPGKRSPRSKKGTAKKIPPGLFSGDIAPLMQEKVLSAVTYAVDDEEAAEVNANEQPEAPKLVLQSLFSLIRGEVEQLDSRALPLCLHQIAESYFQEEDYEKAMKFIQLERLYHEQLLANLSAIQEQWETKWKTVQPHTVTALRNSEKGFNGEDFERLTKICATHQDPLLSKHKIAAVEKSQERKCSTQLLVSEDPKEGGATTKESESKTCLGTESSKESQHTVEPLGSSPCCHQMDVQTDSPSLSVTAGKDHMEELLCSAEATLALHTQSSETAGSPSGPDSSEDACEDDSRLQLAQTEACQDVARIEGIAEDPKVFLSSKSKTEPLISPGCDRIPPALISEGKYSQAQRKELRLPLRDASEALPTDQLENNELNELQQPDLTDSDGKSPQAQADSDGSENVLCGNNQISDLGILLPEVCMAPEEKGDKDDQLNKETEDYLNSLLEGCLKDTEDSLSYEDNQDDDSDLLQDLSPEEASYSLQENLPSDESCLSLDDLAKRIEIAEVVPTEGLVSILKKRNDTVGDHPAQMQHKPSKRRVRFQEIDDSLDQDEVGGGSCILLVLLCIATVFLSVGGTALYCTFGDMESPVCTDFADNMDFYYTKLLQGVAELKHWIYLS
+>DECOY_sp|Q6PJW8|CNST_HUMAN Consortin OS=Homo sapiens OX=9606 GN=CNST PE=1 SV=3
+SLYIWHKLEAVGQLLKTYYFDMNDAFDTCVPSEMDGFTCYLATGGVSLFVTAICLLVLLICSGGGVEDQDLSDDIEQFRVRRKSPKHQMQAPHDGVTDNRKKLISVLGETPVVEAIEIRKALDDLSLCSEDSPLNEQLSYSAEEPSLDQLLDSDDDQNDEYSLSDETDKLCGELLSNLYDETEKNLQDDKDGKEEPAMCVEPLLIGLDSIQNNGCLVNESGDSDAQAQPSKGDSDTLDPQQLENLENNELQDTPLAESADRLPLRLEKRQAQSYKGESILAPPIRDCGPSILPETKSKSSLFVKPDEAIGEIRAVDQCAETQALQLRSDDECADESSDPGSPSGATESSQTHLALTAEASCLLEEMHDKGATVSLSPSDTQVDMQHCCPSSGLPEVTHQSEKSSETGLCTKSESEKTTAGGEKPDESVLLQTSCKREQSKEVAAIKHKSLLPDQHTACIKTLREFDEGNFGKESNRLATVTHPQVTKWKTEWQEQIASLNALLQEHYLRELQIFKMAKEYDEEQFYSEAIQHLCLPLARSDLQEVEGRILSFLSQLVLKPAEPQENANVEAAEEDDVAYTVASLVKEQMLPAIDGSFLGPPIKKATGKKSRPSRKGPIKKDKGLFAQEDRSAECLTNQLNEGAAVECSLTCSENNNLSDQESVQPKGMASDSSTLHEHGDGDLQNENEDSASPLCTVSREVSDGPHCGDQPEIQLYYTPTDSDDM
+>sp|P26441|CNTF_HUMAN Ciliary neurotrophic factor OS=Homo sapiens OX=9606 GN=CNTF PE=1 SV=1
+MAFTEHSPLTPHRRDLCSRSIWLARKIRSDLTALTESYVKHQGLNKNINLDSADGMPVASTDQWSELTEAERLQENLQAYRTFHVLLARLLEDQQVHFTPTEGDFHQAIHTLLLQVAAFAYQIEELMILLEYKIPRNEADGMPINVGDGGLFEKKLWGLKVLQELSQWTVRSIHDLRFISSHQTGIPARGSHYIANNKKM
+>DECOY_sp|P26441|CNTF_HUMAN Ciliary neurotrophic factor OS=Homo sapiens OX=9606 GN=CNTF PE=1 SV=1
+MKKNNAIYHSGRAPIGTQHSSIFRLDHISRVTWQSLEQLVKLGWLKKEFLGGDGVNIPMGDAENRPIKYELLIMLEEIQYAFAAVQLLLTHIAQHFDGETPTFHVQQDELLRALLVHFTRYAQLNEQLREAETLESWQDTSAVPMGDASDLNINKNLGQHKVYSETLATLDSRIKRALWISRSCLDRRHPTLPSHETFAM
+>sp|Q02246|CNTN2_HUMAN Contactin-2 OS=Homo sapiens OX=9606 GN=CNTN2 PE=1 SV=1
+MGTATRRKPHLLLVAAVALVSSSAWSSALGSQTTFGPVFEDQPLSVLFPEESTEEQVLLACRARASPPATYRWKMNGTEMKLEPGSRHQLVGGNLVIMNPTKAQDAGVYQCLASNPVGTVVSREAILRFGFLQEFSKEERDPVKAHEGWGVMLPCNPPAHYPGLSYRWLLNEFPNFIPTDGRHFVSQTTGNLYIARTNASDLGNYSCLATSHMDFSTKSVFSKFAQLNLAAEDTRLFAPSIKARFPAETYALVGQQVTLECFAFGNPVPRIKWRKVDGSLSPQWTTAEPTLQIPSVSFEDEGTYECEAENSKGRDTVQGRIIVQAQPEWLKVISDTEADIGSNLRWGCAAAGKPRPTVRWLRNGEPLASQNRVEVLAGDLRFSKLSLEDSGMYQCVAENKHGTIYASAELAVQALAPDFRLNPVRRLIPAARGGEILIPCQPRAAPKAVVLWSKGTEILVNSSRVTVTPDGTLIIRNISRSDEGKYTCFAENFMGKANSTGILSVRDATKITLAPSSADINLGDNLTLQCHASHDPTMDLTFTWTLDDFPIDFDKPGGHYRRTNVKETIGDLTILNAQLRHGGKYTCMAQTVVDSASKEATVLVRGPPGPPGGVVVRDIGDTTIQLSWSRGFDNHSPIAKYTLQARTPPAGKWKQVRTNPANIEGNAETAQVLGLTPWMDYEFRVIASNILGTGEPSGPSSKIRTREAAPSVAPSGLSGGGGAPGELIVNWTPMSREYQNGDGFGYLLSFRRQGSTHWQTARVPGADAQYFVYSNESVRPYTPFEVKIRSYNRRGDGPESLTALVYSAEEEPRVAPTKVWAKGVSSSEMNVTWEPVQQDMNGILLGYEIRYWKAGDKEAAADRVRTAGLDTSARVSGLHPNTKYHVTVRAYNRAGTGPASPSANATTMKPPPRRPPGNISWTFSSSSLSIKWDPVVPFRNESAVTGYKMLYQNDLHLTPTLHLTGKNWIEIPVPEDIGHALVQIRTTGPGGDGIPAEVHIVRNGGTSMMVENMAVRPAPHPGTVISHSVAMLILIGSLEL
+>DECOY_sp|Q02246|CNTN2_HUMAN Contactin-2 OS=Homo sapiens OX=9606 GN=CNTN2 PE=1 SV=1
+LELSGILILMAVSHSIVTGPHPAPRVAMNEVMMSTGGNRVIHVEAPIGDGGPGTTRIQVLAHGIDEPVPIEIWNKGTLHLTPTLHLDNQYLMKYGTVASENRFPVVPDWKISLSSSSFTWSINGPPRRPPPKMTTANASPSAPGTGARNYARVTVHYKTNPHLGSVRASTDLGATRVRDAAAEKDGAKWYRIEYGLLIGNMDQQVPEWTVNMESSSVGKAWVKTPAVRPEEEASYVLATLSEPGDGRRNYSRIKVEFPTYPRVSENSYVFYQADAGPVRATQWHTSGQRRFSLLYGFGDGNQYERSMPTWNVILEGPAGGGGSLGSPAVSPAAERTRIKSSPGSPEGTGLINSAIVRFEYDMWPTLGLVQATEANGEINAPNTRVQKWKGAPPTRAQLTYKAIPSHNDFGRSWSLQITTDGIDRVVVGGPPGPPGRVLVTAEKSASDVVTQAMCTYKGGHRLQANLITLDGITEKVNTRRYHGGPKDFDIPFDDLTWTFTLDMTPDHSAHCQLTLNDGLNIDASSPALTIKTADRVSLIGTSNAKGMFNEAFCTYKGEDSRSINRIILTGDPTVTVRSSNVLIETGKSWLVVAKPAARPQCPILIEGGRAAPILRRVPNLRFDPALAQVALEASAYITGHKNEAVCQYMGSDELSLKSFRLDGALVEVRNQSALPEGNRLWRVTPRPKGAAACGWRLNSGIDAETDSIVKLWEPQAQVIIRGQVTDRGKSNEAECEYTGEDEFSVSPIQLTPEATTWQPSLSGDVKRWKIRPVPNGFAFCELTVQQGVLAYTEAPFRAKISPAFLRTDEAALNLQAFKSFVSKTSFDMHSTALCSYNGLDSANTRAIYLNGTTQSVFHRGDTPIFNPFENLLWRYSLGPYHAPPNCPLMVGWGEHAKVPDREEKSFEQLFGFRLIAERSVVTGVPNSALCQYVGADQAKTPNMIVLNGGVLQHRSGPELKMETGNMKWRYTAPPSARARCALLVQEETSEEPFLVSLPQDEFVPGFTTQSGLASSWASSSVLAVAAVLLLHPKRRTATGM
+>sp|Q8IWV2|CNTN4_HUMAN Contactin-4 OS=Homo sapiens OX=9606 GN=CNTN4 PE=1 SV=1
+MRLPWELLVLQSFILCLADDSTLHGPIFIQEPSPVMFPLDSEEKKVKLNCEVKGNPKPHIRWKLNGTDVDTGMDFRYSVVEGSLLINNPNKTQDAGTYQCTATNSFGTIVSREAKLQFAYLDNFKTRTRSTVSVRRGQGMVLLCGPPPHSGELSYAWIFNEYPSYQDNRRFVSQETGNLYIAKVEKSDVGNYTCVVTNTVTNHKVLGPPTPLILRNDGVMGEYEPKIEVQFPETVPTAKGATVKLECFALGNPVPTIIWRRADGKPIARKARRHKSNGILEIPNFQQEDAGLYECVAENSRGKNVARGQLTFYAQPNWIQKINDIHVAMEENVFWECKANGRPKPTYKWLKNGEPLLTRDRIQIEQGTLNITIVNLSDAGMYQCLAENKHGVIFSNAELSVIAVGPDFSRTLLKRVTLVKVGGEVVIECKPKASPKPVYTWKKGRDILKENERITISEDGNLRIINVTKSDAGSYTCIATNHFGTASSTGNLVVKDPTRVMVPPSSMDVTVGESIVLPCQVTHDHSLDIVFTWSFNGHLIDFDRDGDHFERVGGQDSAGDLMIRNIQLKHAGKYVCMVQTSVDRLSAAADLIVRGPPGPPEAVTIDEITDTTAQLSWRPGPDNHSPITMYVIQARTPFSVGWQAVSTVPELIDGKTFTATVVGLNPWVEYEFRTVAANVIGIGEPSRPSEKRRTEEALPEVTPANVSGGGGSKSELVITWETVPEELQNGRGFGYVVAFRPYGKMIWMLTVLASADASRYVFRNESVHPFSPFEVKVGVFNNKGEGPFSPTTVVYSAEEEPTKPPASIFARSLSATDIEVFWASPLEKNRGRIQGYEVKYWRHEDKEENARKIRTVGNQTSTKITNLKGSVLYHLAVKAYNSAGTGPSSATVNVTTRKPPPSQPPGNIIWNSSDSKIILNWDQVKALDNESEVKGYKVLYRWNRQSSTSVIETNKTSVELSLPFDEDYIIEIKPFSDGGDGSSSEQIRIPKISNAYARGSGASTSNACTLSAISTIMISLTARSSL
+>DECOY_sp|Q8IWV2|CNTN4_HUMAN Contactin-4 OS=Homo sapiens OX=9606 GN=CNTN4 PE=1 SV=1
+LSSRATLSIMITSIASLTCANSTSAGSGRAYANSIKPIRIQESSSGDGGDSFPKIEIIYDEDFPLSLEVSTKNTEIVSTSSQRNWRYLVKYGKVESENDLAKVQDWNLIIKSDSSNWIINGPPQSPPPKRTTVNVTASSPGTGASNYAKVALHYLVSGKLNTIKTSTQNGVTRIKRANEEKDEHRWYKVEYGQIRGRNKELPSAWFVEIDTASLSRAFISAPPKTPEEEASYVVTTPSFPGEGKNNFVGVKVEFPSFPHVSENRFVYRSADASALVTLMWIMKGYPRFAVVYGFGRGNQLEEPVTEWTIVLESKSGGGGSVNAPTVEPLAEETRRKESPRSPEGIGIVNAAVTRFEYEVWPNLGVVTATFTKGDILEPVTSVAQWGVSFPTRAQIVYMTIPSHNDPGPRWSLQATTDTIEDITVAEPPGPPGRVILDAAASLRDVSTQVMCVYKGAHKLQINRIMLDGASDQGGVREFHDGDRDFDILHGNFSWTFVIDLSHDHTVQCPLVISEGVTVDMSSPPVMVRTPDKVVLNGTSSATGFHNTAICTYSGADSKTVNIIRLNGDESITIRENEKLIDRGKKWTYVPKPSAKPKCEIVVEGGVKVLTVRKLLTRSFDPGVAIVSLEANSFIVGHKNEALCQYMGADSLNVITINLTGQEIQIRDRTLLPEGNKLWKYTPKPRGNAKCEWFVNEEMAVHIDNIKQIWNPQAYFTLQGRAVNKGRSNEAVCEYLGADEQQFNPIELIGNSKHRRAKRAIPKGDARRWIITPVPNGLAFCELKVTAGKATPVTEPFQVEIKPEYEGMVGDNRLILPTPPGLVKHNTVTNTVVCTYNGVDSKEVKAIYLNGTEQSVFRRNDQYSPYENFIWAYSLEGSHPPPGCLLVMGQGRRVSVTSRTRTKFNDLYAFQLKAERSVITGFSNTATCQYTGADQTKNPNNILLSGEVVSYRFDMGTDVDTGNLKWRIHPKPNGKVECNLKVKKEESDLPFMVPSPEQIFIPGHLTSDDALCLIFSQLVLLEWPLRM
+>sp|O94779|CNTN5_HUMAN Contactin-5 OS=Homo sapiens OX=9606 GN=CNTN5 PE=1 SV=2
+MASSWKLMLFLSVTMCLSEYSKSLPGLSTSYAALLRIKKSSSSSLFGSKTRPRYSSPSLGTLSASSPSWLGAAQNYYSPINLYHSSDAFKQDESVDYGPVFVQEPDDIIFPTDSDEKKVALNCEVRGNPVPSYRWLRNGTEIDLESDYRYSLIDGTFIISNPSEAKDSGHYQCLATNTVGSILSREATLQFAYLGNFSGRTRSAVSVREGQGVVLMCSPPPHSPEIIYSWVFNEFPSFVAEDSRRFISQETGNLYISKVQTSDVGSYICLVKNTVTNARVLSPPTPLTLRNDGVMGEYEPKIEVHFPFTVTAAKGTTVKMECFALGNPVPTITWMKVNGYIPSKARLRKSQAVLEIPNVQLDDAGIYECRAENSRGKNSFRGQLQVYTYPHWVEKLNDTQLDSGSPLRWECKATGKPRPTYRWLKNGVPLSPQSRVEMVNGVLMIHNVNQSDAGMYQCLAENKYGAIYASAELKILASAPTFALNQLKKTIIVTKDQEVVIECKPQGSPKPTISWKKGDRAVRENKRIAILPDGSLRILNASKSDEGKYVCRGENVFGSAEIIASLSVKEPTRIELTPKRTELTVGESIVLNCKAIHDASLDVTFYWTLKGQPIDFEEEGGHFESIRAQASSADLMIRNILLMHAGRYGCRVQTTADSVSDEAELLVRGPPGPPGIVIVEEITESTATLSWSPAADNHSPISSYNLQARSPFSLGWQTVKTVPEIITGDMESAMAVDLNPWVEYEFRVVATNPIGTGDPSTPSRMIRTNEAVPKTAPTNVSGRSGRRHELVIAWEPVSEEFQNGEGFGYIVAFRPNGTRGWKEKMVTSSEASKFIYRDESVPPLTPFEVKVGVYNNKGDGPFSQIVVICSAEGEPSAAPTDVKATSVSVSEILVAWKHIKESLGRPQGFEVGYWKDMEQEDTAETVKTRGNESFVILTGLEGNTLYHFTVRAYNGAGYGPPSSEVSATTKKSPPSQAPSNLRWEQQGSQVSLGWEPVIPLANESEVVGYKVFYRQEGHSNSQVIETQKLQAVVPLPDAGVYIIEVRAYSEGGDGTASSQIRVPSYSGGKITSAQSTLHSLSTSSSSVTLLLALMIPSTSW
+>DECOY_sp|O94779|CNTN5_HUMAN Contactin-5 OS=Homo sapiens OX=9606 GN=CNTN5 PE=1 SV=2
+WSTSPIMLALLLTVSSSSTSLSHLTSQASTIKGGSYSPVRIQSSATGDGGESYARVEIIYVGADPLPVVAQLKQTEIVQSNSHGEQRYFVKYGVVESENALPIVPEWGLSVQSGQQEWRLNSPAQSPPSKKTTASVESSPPGYGAGNYARVTFHYLTNGELGTLIVFSENGRTKVTEATDEQEMDKWYGVEFGQPRGLSEKIHKWAVLIESVSVSTAKVDTPAASPEGEASCIVVIQSFPGDGKNNYVGVKVEFPTLPPVSEDRYIFKSAESSTVMKEKWGRTGNPRFAVIYGFGEGNQFEESVPEWAIVLEHRRGSRGSVNTPATKPVAENTRIMRSPTSPDGTGIPNTAVVRFEYEVWPNLDVAMASEMDGTIIEPVTKVTQWGLSFPSRAQLNYSSIPSHNDAAPSWSLTATSETIEEVIVIGPPGPPGRVLLEAEDSVSDATTQVRCGYRGAHMLLINRIMLDASSAQARISEFHGGEEEFDIPQGKLTWYFTVDLSADHIAKCNLVISEGVTLETRKPTLEIRTPEKVSLSAIIEASGFVNEGRCVYKGEDSKSANLIRLSGDPLIAIRKNERVARDGKKWSITPKPSGQPKCEIVVEQDKTVIITKKLQNLAFTPASALIKLEASAYIAGYKNEALCQYMGADSQNVNHIMLVGNVMEVRSQPSLPVGNKLWRYTPRPKGTAKCEWRLPSGSDLQTDNLKEVWHPYTYVQLQGRFSNKGRSNEARCEYIGADDLQVNPIELVAQSKRLRAKSPIYGNVKMWTITPVPNGLAFCEMKVTTGKAATVTFPFHVEIKPEYEGMVGDNRLTLPTPPSLVRANTVTNKVLCIYSGVDSTQVKSIYLNGTEQSIFRRSDEAVFSPFENFVWSYIIEPSHPPPSCMLVVGQGERVSVASRTRGSFNGLYAFQLTAERSLISGVTNTALCQYHGSDKAESPNSIIFTGDILSYRYDSELDIETGNRLWRYSPVPNGRVECNLAVKKEDSDTPFIIDDPEQVFVPGYDVSEDQKFADSSHYLNIPSYYNQAAGLWSPSSASLTGLSPSSYRPRTKSGFLSSSSSKKIRLLAAYSTSLGPLSKSYESLCMTVSLFLMLKWSSAM
+>sp|Q32M92|CO032_HUMAN Uncharacterized protein C15orf32 OS=Homo sapiens OX=9606 GN=C15orf32 PE=2 SV=2
+MNKRTSVDASKEDLHPADPQSGEGVPPNRKNTKTSPRGEGTAPPFSARPCVWTLCEMLSILALVGVLHPFYRSNNQVYQKLKTHLRCQSSRVDGLMLKPTLLTPSQLKSPEGHLILPTFNHLVIRHILDPKQIFCVADVCTDCKFNCGSIERHQKRHLMRVSQDWEHLIRYRNQICLS
+>DECOY_sp|Q32M92|CO032_HUMAN Uncharacterized protein C15orf32 OS=Homo sapiens OX=9606 GN=C15orf32 PE=2 SV=2
+SLCIQNRYRILHEWDQSVRMLHRKQHREISGCNFKCDTCVDAVCFIQKPDLIHRIVLHNFTPLILHGEPSKLQSPTLLTPKLMLGDVRSSQCRLHTKLKQYVQNNSRYFPHLVGVLALISLMECLTWVCPRASFPPATGEGRPSTKTNKRNPPVGEGSQPDAPHLDEKSADVSTRKNM
+>sp|Q86WW8|COA5_HUMAN Cytochrome c oxidase assembly factor 5 OS=Homo sapiens OX=9606 GN=COA5 PE=1 SV=1
+MPKYYEDKPQGGACAGLKEDLGACLLQSDCVVQEGKSPRQCLKEGYCNSLKYAFFECKRSVLDNRARFRGRKGY
+>DECOY_sp|Q86WW8|COA5_HUMAN Cytochrome c oxidase assembly factor 5 OS=Homo sapiens OX=9606 GN=COA5 PE=1 SV=1
+YGKRGRFRARNDLVSRKCEFFAYKLSNCYGEKLCQRPSKGEQVVCDSQLLCAGLDEKLGACAGGQPKDEYYKPM
+>sp|Q5JTJ3|COA6_HUMAN Cytochrome c oxidase assembly factor 6 homolog OS=Homo sapiens OX=9606 GN=COA6 PE=1 SV=1
+MGPGGPLLSPSRGFLLCKTGWHSNRLLGDCGPHTPVSTALSFIAVGMAAPSMKERQVCWGARDEYWKCLDENLEDASQCKKLRSSFESSCPQQWIKYFDKRRDYLKFKEKFEAGQFEPSETTAKS
+>DECOY_sp|Q5JTJ3|COA6_HUMAN Cytochrome c oxidase assembly factor 6 homolog OS=Homo sapiens OX=9606 GN=COA6 PE=1 SV=1
+SKATTESPEFQGAEFKEKFKLYDRRKDFYKIWQQPCSSEFSSRLKKCQSADELNEDLCKWYEDRAGWCVQREKMSPAAMGVAIFSLATSVPTHPGCDGLLRNSHWGTKCLLFGRSPSLLPGGPGM
+>sp|Q96CD2|COAC_HUMAN Phosphopantothenoylcysteine decarboxylase OS=Homo sapiens OX=9606 GN=PPCDC PE=1 SV=2
+MEPKASCPAAAPLMERKFHVLVGVTGSVAALKLPLLVSKLLDIPGLEVAVVTTERAKHFYSPQDIPVTLYSDADEWEIWKSRSDPVLHIDLRRWADLLLVAPLDANTLGKVASGICDNLLTCVMRAWDRSKPLLFCPAMNTAMWEHPITAQQVDQLKAFGYVEIPCVAKKLVCGDEGLGAMAEVGTIVDKVKEVLFQHSGFQQS
+>DECOY_sp|Q96CD2|COAC_HUMAN Phosphopantothenoylcysteine decarboxylase OS=Homo sapiens OX=9606 GN=PPCDC PE=1 SV=2
+SQQFGSHQFLVEKVKDVITGVEAMAGLGEDGCVLKKAVCPIEVYGFAKLQDVQQATIPHEWMATNMAPCFLLPKSRDWARMVCTLLNDCIGSAVKGLTNADLPAVLLLDAWRRLDIHLVPDSRSKWIEWEDADSYLTVPIDQPSYFHKARETTVVAVELGPIDLLKSVLLPLKLAAVSGTVGVLVHFKREMLPAAAPCSAKPEM
+>sp|P13942|COBA2_HUMAN Collagen alpha-2(XI) chain OS=Homo sapiens OX=9606 GN=COL11A2 PE=1 SV=5
+MERCSRCHRLLLLLPLVLGLSAAPGWAGAPPVDVLRALRFPSLPDGVRRAKGICPADVAYRVARPAQLSAPTRQLFPGGFPKDFSLLTVVRTRPGLQAPLLTLYSAQGVRQLGLELGRPVRFLYEDQTGRPQPPSQPVFRGLSLADGKWHRVAVAVKGQSVTLIVDCKKRVTRPLPRSARPVLDTHGVIIFGARILDEEVFEGDVQELAIVPGVQAAYESCEQKELECEGGQRERPQNQQPHRAQRSPQQQPSRLHRPQNQEPQSQPTESLYYDYEPPYYDVMTTGTTPDYQDPTPGEEEEILESSLLPPLEEEQTDLQVPPTADRFQAEEYGEGGTDPPEGPYDYTYGYGDDYREETELGPALSAETAHSGAAAHGPRGLKGEKGEPAVLEPGMLVEGPPGPEGPAGLIGPPGIQGNPGPVGDPGERGPPGRAGLPGSDGAPGPPGTSLMLPFRFGSGGGDKGPVVAAQEAQAQAILQQARLALRGPPGPMGYTGRPGPLGQPGSPGLKGESGDLGPQGPRGPQGLTGPPGKAGRRGRAGADGARGMPGDPGVKGDRGFDGLPGLPGEKGHRGDTGAQGLPGPPGEDGERGDDGEIGPRGLPGESGPRGLLGPKGPPGIPGPPGVRGMDGPQGPKGSLGPQGEPGPPGQQGTPGTQGLPGPQGAIGPHGEKGPQGKPGLPGMPGSDGPPGHPGKEGPPGTKGNQGPSGPQGPLGYPGPRGVKGVDGIRGLKGHKGEKGEDGFPGFKGDIGVKGDRGEVGVPGSRGEDGPEGPKGRTGPTGDPGPPGLMGEKGKLGVPGLPGYPGRQGPKGSLGFPGFPGASGEKGARGLSGKSGPRGERGPTGPRGQRGPRGATGKSGAKGTSGGDGPHGPPGERGLPGPQGPNGFPGPKGPLGPPGKDGLPGHPGQRGEVGFQGKTGPPGPPGVVGPQGAAGETGPMGERGHPGPPGPPGEQGLPGTAGKEGTKGDPGPPGAPGKDGPAGLRGFPGERGLPGTAGGPGLKGNEGPSGPPGPAGSPGERGAAGSGGPIGPPGRPGPQGPPGAAGEKGVPGEKGPIGPTGRDGVQGPVGLPGPAGPPGVAGEDGDKGEVGDPGQKGTKGNKGEHGPPGPPGPIGPVGQPGAAGADGEPGARGPQGHFGAKGDEGTRGFNGPPGPIGLQGLPGPSGEKGETGDVGPMGPPGPPGPRGPAGPNGADGPQGPPGGVGNLGPPGEKGEPGESGSPGIQGEPGVKGPRGERGEKGESGQPGEPGPPGPKGPTGDDGPKGNPGPVGFPGDPGPPGEGGPRGQDGAKGDRGEDGEPGQPGSPGPTGENGPPGPLGKRGPAGSPGSEGRQGGKGAKGDPGAIGAPGKTGPVGPAGPAGKPGPDGLRGLPGSVGQQGRPGATGQAGPPGPVGPPGLPGLRGDAGAKGEKGHPGLIGLIGPPGEQGEKGDRGLPGPQGSPGQKGEMGIPGASGPIGPGGPPGLPGPAGPKGAKGATGPGGPKGEKGVQGPPGHPGPPGEVIQPLPIQMPKKTRRSVDGSRLMQEDEAIPTGGAPGSPGGLEEIFGSLDSLREEIEQMRRPTGTQDSPARTCQDLKLCHPELPDGEYWVDPNQGCARDAFRVFCNFTAGGETCVTPRDDVTQFSYVDSEGSPVGVVQLTFLRLLSVSAHQDVSYPCSGAARDGPLRLRGANEDELSPETSPYVKEFRDGCQTQQGRTVLEVRTPVLEQLPVLDASFSDLGAPPRRGGVLLGPVCFMG
+>DECOY_sp|P13942|COBA2_HUMAN Collagen alpha-2(XI) chain OS=Homo sapiens OX=9606 GN=COL11A2 PE=1 SV=5
+GMFCVPGLLVGGRRPPAGLDSFSADLVPLQELVPTRVELVTRGQQTQCGDRFEKVYPSTEPSLEDENAGRLRLPGDRAAGSCPYSVDQHASVSLLRLFTLQVVGVPSGESDVYSFQTVDDRPTVCTEGGATFNCFVRFADRACGQNPDVWYEGDPLEPHCLKLDQCTRAPSDQTGTPRRMQEIEERLSDLSGFIEELGGPSGPAGGTPIAEDEQMLRSGDVSRRTKKPMQIPLPQIVEGPPGPHGPPGQVGKEGKPGGPGTAGKAGKPGAPGPLGPPGGPGIPGSAGPIGMEGKQGPSGQPGPLGRDGKEGQEGPPGILGILGPHGKEGKAGADGRLGPLGPPGVPGPPGAQGTAGPRGQQGVSGPLGRLGDPGPKGAPGAPGVPGTKGPAGIAGPDGKAGKGGQRGESGPSGAPGRKGLPGPPGNEGTPGPSGPQGPEGDEGRDGKAGDQGRPGGEGPPGPDGPFGVPGPNGKPGDDGTPGKPGPPGPEGPQGSEGKEGREGRPGKVGPEGQIGPSGSEGPEGKEGPPGLNGVGGPPGQPGDAGNPGAPGRPGPPGPPGMPGVDGTEGKEGSPGPLGQLGIPGPPGNFGRTGEDGKAGFHGQPGRAGPEGDAGAAGPQGVPGIPGPPGPPGHEGKNGKTGKQGPDGVEGKDGDEGAVGPPGAPGPLGVPGQVGDRGTPGIPGKEGPVGKEGAAGPPGQPGPRGPPGIPGGSGAAGREGPSGAPGPPGSPGENGKLGPGGATGPLGREGPFGRLGAPGDKGPAGPPGPDGKTGEKGATGPLGQEGPPGPPGPHGREGMPGTEGAAGQPGVVGPPGPPGTKGQFGVEGRQGPHGPLGDKGPPGLPGKPGPFGNPGQPGPLGREGPPGHPGDGGSTGKAGSKGTAGRPGRQGRPGTPGREGRPGSKGSLGRAGKEGSAGPFGPFGLSGKPGQRGPYGPLGPVGLKGKEGMLGPPGPDGTPGTRGKPGEPGDEGRSGPVGVEGRDGKVGIDGKFGPFGDEGKEGKHGKLGRIGDVGKVGRPGPYGLPGQPGSPGQNGKTGPPGEKGPHGPPGDSGPMGPLGPKGQPGKEGHPGIAGQPGPLGQTGPTGQQGPPGPEGQPGLSGKPGQPGDMGRVGPPGPIGPPGKPGLLGRPGSEGPLGRPGIEGDDGREGDEGPPGPLGQAGTDGRHGKEGPLGPLGDFGRDGKVGPDGPMGRAGDAGARGRRGAKGPPGTLGQPGRPGQPGLDGSEGKLGPSGPQGLPGPRGTYGMPGPPGRLALRAQQLIAQAQAEQAAVVPGKDGGGSGFRFPLMLSTGPPGPAGDSGPLGARGPPGREGPDGVPGPNGQIGPPGILGAPGEPGPPGEVLMGPELVAPEGKEGKLGRPGHAAAGSHATEASLAPGLETEERYDDGYGYTYDYPGEPPDTGGEGYEEAQFRDATPPVQLDTQEEELPPLLSSELIEEEEGPTPDQYDPTTGTTMVDYYPPEYDYYLSETPQSQPEQNQPRHLRSPQQQPSRQARHPQQNQPRERQGGECELEKQECSEYAAQVGPVIALEQVDGEFVEEDLIRAGFIIVGHTDLVPRASRPLPRTVRKKCDVILTVSQGKVAVAVRHWKGDALSLGRFVPQSPPQPRGTQDEYLFRVPRGLELGLQRVGQASYLTLLPAQLGPRTRVVTLLSFDKPFGGPFLQRTPASLQAPRAVRYAVDAPCIGKARRVGDPLSPFRLARLVDVPPAGAWGPAASLGLVLPLLLLLRHCRSCREM
+>sp|O75128|COBL_HUMAN Protein cordon-bleu OS=Homo sapiens OX=9606 GN=COBL PE=1 SV=2
+MDAPRASAAKPPTGRKMKARAPPPPGKAATLHVHSDQKPPHDGALGSQQNLVRMKEALRASTMDVTVVLPSGLEKRSVLNGSHAMMDLLVELCLQNHLNPSHHALEIRSSETQQPLSFKPNTLIGTLNVHTVFLKEKVPEEKVKPGPPKVPEKSVRLVVNYLRTQKAVVRVSPEVPLQNILPVICAKCEVSPEHVVLLRDNIAGEELELSKSLNELGIKELYAWDNRRETFRKSSLGNDETDKEKKKFLGFFKVNKRSNSKGCLTTPNSPSMHSRSLTLGPSLSLGSISGVSVKSEMKKRRAPPPPGSGPPVQDKASEKVSLGSQIDLQKKKRRAPAPPPPQPPPPSPLIPNRTEDKEENRKSTMVSLPLGSGSHCSPDGAPQVLSEAEETVSVGSCFASEDTTEDSGVMSSPSDIVSLDSQQDSMKYKDKWATDQEDCSDQDLAGTPDLGPQKSPLWEKNGSENSHLRTEKAVTASNDEEDLLIAGEFRKTLAELDEDLEEMEDSYETDTSSLTSSIHGASNHCPQDAMIPHGDTDAIPVTFIGEVSDDPVDSGLFSNRNNNAGSFDSEGVASRRDSLAPLQAEHSQPHEKAREEVPALHPASHDVGKGIRVALSNISKDGNLMETAPRVTSFASNLHTDNLNAKVKDKVYGCADGERTQATERVNSQPVNEKDSNDKNAALAPTSWHQRGQNPGKSYRLKHGLTTYKIIPPKSEMRCYDRDVSLSTGAIKIDELGNLVSPHATGIRIISLSSSVPEAESQPIGKVREFWRCNSVEKHLGRPSESSARGPPSTPVPTQTQNPESRLQADPKPISPQQKSAHHEGRNPLGEGRNQPPTMGMGHVRVPAAHTTEVTFLKPQRRTSSQYVASAIAKRIGAPKVHADVVRPHGYAEKGYAGKAPVLAAPPVTVKDDRTSSPHSETQGWKDGAQWPCVTPPNNHGEDLAVGAPPRGEVIGPHRKLSTQDRPAAIHRSSCFSLVQSSQRDRVSVGQSCGFSGKQSTSSQEASSASEPRRAPDGTDPPPPHTSDTQACSRELVNGSVRAPGHGEPSHPPGGSGTESHILLEREEKPSVFSTDGNETDSIWPPSIFGPKKKFKPVVQRPVPKDTSLHSALMEAIHSAGGKDRLRKTAEHTGEGRPAKLSYTEAEGERSALLAAIRGHSGTCSLRKVASSASEELQSFRDAALSAQGSESPLLEDLGLLSPPAIPPPPPPPSQALSAPRTASRFSTGTLSNTADARQALMDAIRSGTGAARLRKVPLLV
+>DECOY_sp|O75128|COBL_HUMAN Protein cordon-bleu OS=Homo sapiens OX=9606 GN=COBL PE=1 SV=2
+VLLPVKRLRAAGTGSRIADMLAQRADATNSLTGTSFRSATRPASLAQSPPPPPPPIAPPSLLGLDELLPSESGQASLAADRFSQLEESASSAVKRLSCTGSHGRIAALLASREGEAETYSLKAPRGEGTHEATKRLRDKGGASHIAEMLASHLSTDKPVPRQVVPKFKKKPGFISPPWISDTENGDTSFVSPKEERELLIHSETGSGGPPHSPEGHGPARVSGNVLERSCAQTDSTHPPPPDTGDPARRPESASSAEQSSTSQKGSFGCSQGVSVRDRQSSQVLSFCSSRHIAAPRDQTSLKRHPGIVEGRPPAGVALDEGHNNPPTVCPWQAGDKWGQTESHPSSTRDDKVTVPPAALVPAKGAYGKEAYGHPRVVDAHVKPAGIRKAIASAVYQSSTRRQPKLFTVETTHAAPVRVHGMGMTPPQNRGEGLPNRGEHHASKQQPSIPKPDAQLRSEPNQTQTPVPTSPPGRASSESPRGLHKEVSNCRWFERVKGIPQSEAEPVSSSLSIIRIGTAHPSVLNGLEDIKIAGTSLSVDRDYCRMESKPPIIKYTTLGHKLRYSKGPNQGRQHWSTPALAANKDNSDKENVPQSNVRETAQTREGDACGYVKDKVKANLNDTHLNSAFSTVRPATEMLNGDKSINSLAVRIGKGVDHSAPHLAPVEERAKEHPQSHEAQLPALSDRRSAVGESDFSGANNNRNSFLGSDVPDDSVEGIFTVPIADTDGHPIMADQPCHNSAGHISSTLSSTDTEYSDEMEELDEDLEALTKRFEGAILLDEEDNSATVAKETRLHSNESGNKEWLPSKQPGLDPTGALDQDSCDEQDTAWKDKYKMSDQQSDLSVIDSPSSMVGSDETTDESAFCSGVSVTEEAESLVQPAGDPSCHSGSGLPLSVMTSKRNEEKDETRNPILPSPPPPQPPPPAPARRKKKQLDIQSGLSVKESAKDQVPPGSGPPPPARRKKMESKVSVGSISGLSLSPGLTLSRSHMSPSNPTTLCGKSNSRKNVKFFGLFKKKEKDTEDNGLSSKRFTERRNDWAYLEKIGLENLSKSLELEEGAINDRLLVVHEPSVECKACIVPLINQLPVEPSVRVVAKQTRLYNVVLRVSKEPVKPPGPKVKEEPVKEKLFVTHVNLTGILTNPKFSLPQQTESSRIELAHHSPNLHNQLCLEVLLDMMAHSGNLVSRKELGSPLVVTVDMTSARLAEKMRVLNQQSGLAGDHPPKQDSHVHLTAAKGPPPPARAKMKRGTPPKAASARPADM
+>sp|Q496F6|CLM2_HUMAN CMRF35-like molecule 2 OS=Homo sapiens OX=9606 GN=CD300E PE=1 SV=2
+MWLLPALLLLCLSGCLSLKGPGSVTGTAGDSLTVWCQYESMYKGYNKYWCRGQYDTSCESIVETKGEEKVERNGRVSIRDHPEALAFTVTMQNLNEDDAGSYWCKIQTVWVLDSWSRDPSDLVRVYVSPAITTPRRTTHPATPPIFLVVNPGRNLSTGEVLTQNSGFRLSSPHFLLVVLLKLPLLLSMLGAVFWVNRPQWAPPGR
+>DECOY_sp|Q496F6|CLM2_HUMAN CMRF35-like molecule 2 OS=Homo sapiens OX=9606 GN=CD300E PE=1 SV=2
+RGPPAWQPRNVWFVAGLMSLLLPLKLLVVLLFHPSSLRFGSNQTLVEGTSLNRGPNVVLFIPPTAPHTTRRPTTIAPSVYVRVLDSPDRSWSDLVWVTQIKCWYSGADDENLNQMTVTFALAEPHDRISVRGNREVKEEGKTEVISECSTDYQGRCWYKNYGKYMSEYQCWVTLSDGATGTVSGPGKLSLCGSLCLLLLAPLLWM
+>sp|Q08708|CLM6_HUMAN CMRF35-like molecule 6 OS=Homo sapiens OX=9606 GN=CD300C PE=2 SV=1
+MTARAWASWRSSALLLLLVPGYFPLSHPMTVAGPVGGSLSVQCRYEKEHRTLNKFWCRPPQILRCDKIVETKGSAGKRNGRVSIRDSPANLSFTVTLENLTEEDAGTYWCGVDTPWLRDFHDPIVEVEVSVFPAGTTTASSPQSSMGTSGPPTKLPVHTWPSVTRKDSPEPSPHPGSLFSNVRFLLLVLLELPLLLSMLGAVLWVNRPQRSSRSRQNWPKGENQ
+>DECOY_sp|Q08708|CLM6_HUMAN CMRF35-like molecule 6 OS=Homo sapiens OX=9606 GN=CD300C PE=2 SV=1
+QNEGKPWNQRSRSSRQPRNVWLVAGLMSLLLPLELLVLLLFRVNSFLSGPHPSPEPSDKRTVSPWTHVPLKTPPGSTGMSSQPSSATTTGAPFVSVEVEVIPDHFDRLWPTDVGCWYTGADEETLNELTVTFSLNAPSDRISVRGNRKGASGKTEVIKDCRLIQPPRCWFKNLTRHEKEYRCQVSLSGGVPGAVTMPHSLPFYGPVLLLLLASSRWSAWARATM
+>sp|A8K4G0|CLM7_HUMAN CMRF35-like molecule 7 OS=Homo sapiens OX=9606 GN=CD300LB PE=1 SV=2
+MWLPPALLLLSLSGCFSIQGPESVRAPEQGSLTVQCHYKQGWETYIKWWCRGVRWDTCKILIETRGSEQGEKSDRVSIKDNQKDRTFTVTMEGLRRDDADVYWCGIERRGPDLGTQVKVIVDPEGAASTTASSPTNSNMAVFIGSHKRNHYMLLVFVKVPILLILVTAILWLKGSQRVPEEPGEQPIYMNFSEPLTKDMAT
+>DECOY_sp|A8K4G0|CLM7_HUMAN CMRF35-like molecule 7 OS=Homo sapiens OX=9606 GN=CD300LB PE=1 SV=2
+TAMDKTLPESFNMYIPQEGPEEPVRQSGKLWLIATVLILLIPVKVFVLLMYHNRKHSGIFVAMNSNTPSSATTSAAGEPDVIVKVQTGLDPGRREIGCWYVDADDRRLGEMTVTFTRDKQNDKISVRDSKEGQESGRTEILIKCTDWRVGRCWWKIYTEWGQKYHCQVTLSGQEPARVSEPGQISFCGSLSLLLLAPPLWM
+>sp|Q6UXG3|CLM9_HUMAN CMRF35-like molecule 9 OS=Homo sapiens OX=9606 GN=CD300LG PE=1 SV=2
+MRLLVLLWGCLLLPGYEALEGPEEISGFEGDTVSLQCTYREELRDHRKYWCRKGGILFSRCSGTIYAEEEGQETMKGRVSIRDSRQELSLIVTLWNLTLQDAGEYWCGVEKRGPDESLLISLFVFPGPCCPPSPSPTFQPLATTRLQPKAKAQQTQPPGLTSPGLYPAATTAKQGKTGAEAPPLPGTSQYGHERTSQYTGTSPHPATSPPAGSSRPPMQLDSTSAEDTSPALSSGSSKPRVSIPMVRILAPVLVLLSLLSAAGLIAFCSHLLLWRKEAQQATETQRNEKFCLSRLTAEEKEAPSQAPEGDVISMPPLHTSEEELGFSKFVSA
+>DECOY_sp|Q6UXG3|CLM9_HUMAN CMRF35-like molecule 9 OS=Homo sapiens OX=9606 GN=CD300LG PE=1 SV=2
+ASVFKSFGLEEESTHLPPMSIVDGEPAQSPAEKEEATLRSLCFKENRQTETAQQAEKRWLLLHSCFAILGAASLLSLLVLVPALIRVMPISVRPKSSGSSLAPSTDEASTSDLQMPPRSSGAPPSTAPHPSTGTYQSTREHGYQSTGPLPPAEAGTKGQKATTAAPYLGPSTLGPPQTQQAKAKPQLRTTALPQFTPSPSPPCCPGPFVFLSILLSEDPGRKEVGCWYEGADQLTLNWLTVILSLEQRSDRISVRGKMTEQGEEEAYITGSCRSFLIGGKRCWYKRHDRLEERYTCQLSVTDGEFGSIEEPGELAEYGPLLLCGWLLVLLRM
+>sp|Q13286|CLN3_HUMAN Battenin OS=Homo sapiens OX=9606 GN=CLN3 PE=1 SV=1
+MGGCAGSRRRFSDSEGEETVPEPRLPLLDHQGAHWKNAVGFWLLGLCNNFSYVVMLSAAHDILSHKRTSGNQSHVDPGPTPIPHNSSSRFDCNSVSTAAVLLADILPTLVIKLLAPLGLHLLPYSPRVLVSGICAAGSFVLVAFSHSVGTSLCGVVFASISSGLGEVTFLSLTAFYPRAVISWWSSGTGGAGLLGALSYLGLTQAGLSPQQTLLSMLGIPALLLASYFLLLTSPEAQDPGGEEEAESAARQPLIRTEAPESKPGSSSSLSLRERWTVFKGLLWYIVPLVVVYFAEYFINQGLFELLFFWNTSLSHAQQYRWYQMLYQAGVFASRSSLRCCRIRFTWALALLQCLNLVFLLADVWFGFLPSIYLVFLIILYEGLLGGAAYVNTFHNIALETSDEHREFAMAATCISDTLGISLSGLLALPLHDFLCQLS
+>DECOY_sp|Q13286|CLN3_HUMAN Battenin OS=Homo sapiens OX=9606 GN=CLN3 PE=1 SV=1
+SLQCLFDHLPLALLGSLSIGLTDSICTAAMAFERHEDSTELAINHFTNVYAAGGLLGEYLIILFVLYISPLFGFWVDALLFVLNLCQLLALAWTFRIRCCRLSSRSAFVGAQYLMQYWRYQQAHSLSTNWFFLLEFLGQNIFYEAFYVVVLPVIYWLLGKFVTWRERLSLSSSSGPKSEPAETRILPQRAASEAEEEGGPDQAEPSTLLLFYSALLLAPIGLMSLLTQQPSLGAQTLGLYSLAGLLGAGGTGSSWWSIVARPYFATLSLFTVEGLGSSISAFVVGCLSTGVSHSFAVLVFSGAACIGSVLVRPSYPLLHLGLPALLKIVLTPLIDALLVAATSVSNCDFRSSSNHPIPTPGPDVHSQNGSTRKHSLIDHAASLMVVYSFNNCLGLLWFGVANKWHAGQHDLLPLRPEPVTEEGESDSFRRRSGACGGM
+>sp|Q5K130|CLU1O_HUMAN Putative chronic lymphocytic leukemia up-regulated protein 1 opposite strand transcript protein OS=Homo sapiens OX=9606 GN=CLLU1OS PE=5 SV=1
+MNKLGHNELKECLKTATDSLQTVQPSISQTCTSYGPALGAPLPGRNEVALLTSLPPNYEISEGKPRAISAYVRAGKGNVTRRRKKTHLGNDDGKKEAQEKM
+>DECOY_sp|Q5K130|CLU1O_HUMAN Putative chronic lymphocytic leukemia up-regulated protein 1 opposite strand transcript protein OS=Homo sapiens OX=9606 GN=CLLU1OS PE=5 SV=1
+MKEQAEKKGDDNGLHTKKRRRTVNGKGARVYASIARPKGESIEYNPPLSTLLAVENRGPLPAGLAPGYSTCTQSISPQVTQLSDTATKLCEKLENHGLKNM
+>sp|Q96NS8|CLUP3_HUMAN Putative protein CLUHP3 OS=Homo sapiens OX=9606 GN=CLUHP3 PE=5 SV=1
+MFLNGNCLETLKKKEPEGGRRRLSHPGNMGWMRPSQETTPPDRSHHSGFGLFCGDPGPEIEPFSLWVFPQEMVLEIHQLFMDHEYPCHHITSHCTWVAAHWTTSQSCAAWQGCRRACCSTWWKSPAQCTRPTVMSATFETAQEPGPS
+>DECOY_sp|Q96NS8|CLUP3_HUMAN Putative protein CLUHP3 OS=Homo sapiens OX=9606 GN=CLUHP3 PE=5 SV=1
+SPGPEQATEFTASMVTPRTCQAPSKWWTSCCARRCGQWAACSQSTTWHAAVWTCHSTIHHCPYEHDMFLQHIELVMEQPFVWLSFPEIEPGPDGCFLGFGSHHSRDPPTTEQSPRMWGMNGPHSLRRRGGEPEKKKLTELCNGNLFM
+>sp|A0A1B0GVH6|CM042_HUMAN Uncharacterized protein C13orf42 OS=Homo sapiens OX=9606 GN=C13orf42 PE=4 SV=1
+MFRKIHSIFNSSPQRKTAAESPFYEGASPAVKLIRSSSMYVVGDHGEKFSESLKKYKSTSSMDTSLYYLRQEEDRAWMYSRTQDCLQYLQELLALRKKYLSSFSDLKPHRTQGISSTSSKSSKGGKKTPVRSTPKEIKKATPKKYSQFSADVAEAIAFFDSIIAELDTERRPRAAEASLPNEDVDFDVATSSREHSLHSNWILRAPRRHSEDIAAHTVHTVDGQFRRSTEHRTVGTQRRLERHPIYLPKAVEGAFNTWKFKPKACKKDLGSSRQILFNFSGEDMEWDAELFALEPQLSPGEDYYETENPKGQWLLRERLWERTVP
+>DECOY_sp|A0A1B0GVH6|CM042_HUMAN Uncharacterized protein C13orf42 OS=Homo sapiens OX=9606 GN=C13orf42 PE=4 SV=1
+PVTREWLRERLLWQGKPNETEYYDEGPSLQPELAFLEADWEMDEGSFNFLIQRSSGLDKKCAKPKFKWTNFAGEVAKPLYIPHRELRRQTGVTRHETSRRFQGDVTHVTHAAIDESHRRPARLIWNSHLSHERSSTAVDFDVDENPLSAEAARPRRETDLEAIISDFFAIAEAVDASFQSYKKPTAKKIEKPTSRVPTKKGGKSSKSSTSSIGQTRHPKLDSFSSLYKKRLALLEQLYQLCDQTRSYMWARDEEQRLYYLSTDMSSTSKYKKLSESFKEGHDGVVYMSSSRILKVAPSAGEYFPSEAATKRQPSSNFISHIKRFM
+>sp|P10645|CMGA_HUMAN Chromogranin-A OS=Homo sapiens OX=9606 GN=CHGA PE=1 SV=7
+MRSAAVLALLLCAGQVTALPVNSPMNKGDTEVMKCIVEVISDTLSKPSPMPVSQECFETLRGDERILSILRHQNLLKELQDLALQGAKERAHQQKKHSGFEDELSEVLENQSSQAELKEAVEEPSSKDVMEKREDSKEAEKSGEATDGARPQALPEPMQESKAEGNNQAPGEEEEEEEEATNTHPPASLPSQKYPGPQAEGDSEGLSQGLVDREKGLSAEPGWQAKREEEEEEEEEAEAGEEAVPEEEGPTVVLNPHPSLGYKEIRKGESRSEALAVDGAGKPGAEEAQDPEGKGEQEHSQQKEEEEEMAVVPQGLFRGGKSGELEQEEERLSKEWEDSKRWSKMDQLAKELTAEKRLEGQEEEEDNRDSSMKLSFRARAYGFRGPGPQLRRGWRPSSREDSLEAGLPLQVRGYPEEKKEEEGSANRRPEDQELESLSAIEAELEKVAHQLQALRRG
+>DECOY_sp|P10645|CMGA_HUMAN Chromogranin-A OS=Homo sapiens OX=9606 GN=CHGA PE=1 SV=7
+GRRLAQLQHAVKELEAEIASLSELEQDEPRRNASGEEEKKEEPYGRVQLPLGAELSDERSSPRWGRRLQPGPGRFGYARARFSLKMSSDRNDEEEEQGELRKEATLEKALQDMKSWRKSDEWEKSLREEEQELEGSKGGRFLGQPVVAMEEEEEKQQSHEQEGKGEPDQAEEAGPKGAGDVALAESRSEGKRIEKYGLSPHPNLVVTPGEEEPVAEEGAEAEEEEEEEEERKAQWGPEASLGKERDVLGQSLGESDGEAQPGPYKQSPLSAPPHTNTAEEEEEEEEGPAQNNGEAKSEQMPEPLAQPRAGDTAEGSKEAEKSDERKEMVDKSSPEEVAEKLEAQSSQNELVESLEDEFGSHKKQQHAREKAGQLALDQLEKLLNQHRLISLIREDGRLTEFCEQSVPMPSPKSLTDSIVEVICKMVETDGKNMPSNVPLATVQGACLLLALVAASRM
+>sp|Q5EBM0|CMPK2_HUMAN UMP-CMP kinase 2, mitochondrial OS=Homo sapiens OX=9606 GN=CMPK2 PE=1 SV=3
+MAFARRLLRGPLSGPLLGRRGVCAGAMAPPRRFVLELPDCTLAHFALGADAPGDADAPDPRLAALLGPPERSYSLCVPVTPDAGCGARVRAARLHQRLLHQLRRGPFQRCQLLRLLCYCPGGQAGGAQQGFLLRDPLDDPDTRQALLELLGACQEAPRPHLGEFEADPRGQLWQRLWEVQDGRRLQVGCAQVVPVPEPPLHPVVPDLPSSVVFPDREAARAVLEECTSFIPEARAVLDLVDQCPKQIQKGKFQVVAIEGLDATGKTTVTQSVADSLKAVLLKSPPSCIGQWRKIFDDEPTIIRRAFYSLGNYIVASEIAKESAKSPVIVDRYWHSTATYAIATEVSGGLQHLPPAHHPVYQWPEDLLKPDLILLLTVSPEERLQRLQGRGMEKTREEAELEANSVFRQKVEMSYQRMENPGCHVVDASPSREKVLQTVLSLIQNSFSEP
+>DECOY_sp|Q5EBM0|CMPK2_HUMAN UMP-CMP kinase 2, mitochondrial OS=Homo sapiens OX=9606 GN=CMPK2 PE=1 SV=3
+PESFSNQILSLVTQLVKERSPSADVVHCGPNEMRQYSMEVKQRFVSNAELEAEERTKEMGRGQLRQLREEPSVTLLLILDPKLLDEPWQYVPHHAPPLHQLGGSVETAIAYTATSHWYRDVIVPSKASEKAIESAVIYNGLSYFARRIITPEDDFIKRWQGICSPPSKLLVAKLSDAVSQTVTTKGTADLGEIAVVQFKGKQIQKPCQDVLDLVARAEPIFSTCEELVARAAERDPFVVSSPLDPVVPHLPPEPVPVVQACGVQLRRGDQVEWLRQWLQGRPDAEFEGLHPRPAEQCAGLLELLAQRTDPDDLPDRLLFGQQAGGAQGGPCYCLLRLLQCRQFPGRRLQHLLRQHLRAARVRAGCGADPTVPVCLSYSREPPGLLAALRPDPADADGPADAGLAFHALTCDPLELVFRRPPAMAGACVGRRGLLPGSLPGRLLRRAFAM
+>sp|O94983|CMTA2_HUMAN Calmodulin-binding transcription activator 2 OS=Homo sapiens OX=9606 GN=CAMTA2 PE=1 SV=3
+MNTKDTTEVAENSHHLKIFLPKKLLECLPRCPLLPPERLRWNTNEEIASYLITFEKHDEWLSCAPKTRPQNGSIILYNRKKVKYRKDGYLWKKRKDGKTTREDHMKLKVQGMECLYGCYVHSSIVPTFHRRCYWLLQNPDIVLVHYLNVPALEDCGKGCSPIFCSISSDRREWLKWSREELLGQLKPMFHGIKWSCGNGTEEFSVEHLVQQILDTHPTKPAPRTHACLCSGGLGSGSLTHKCSSTKHRIISPKVEPRALTLTSIPHAHPPEPPPLIAPLPPELPKAHTSPSSSSSSSSSGFAEPLEIRPSPPTSRGGSSRGGTAILLLTGLEQRAGGLTPTRHLAPQADPRPSMSLAVVVGTEPSAPPAPPSPAFDPDRFLNSPQRGQTYGGGQGVSPDFPEAEAAHTPCSALEPAAALEPQAAARGPPPQSVAGGRRGNCFFIQDDDSGEELKGHGAAPPIPSPPPSPPPSPAPLEPSSRVGRGEALFGGPVGASELEPFSLSSFPDLMGELISDEAPSIPAPTPQLSPALSTITDFSPEWSYPEGGVKVLITGPWTEAAEHYSCVFDHIAVPASLVQPGVLRCYCPAHEVGLVSLQVAGREGPLSASVLFEYRARRFLSLPSTQLDWLSLDDNQFRMSILERLEQMEKRMAEIAAAGQVPCQGPDAPPVQDEGQGPGFEARVVVLVESMIPRSTWKGPERLAHGSPFRGMSLLHLAAAQGYARLIETLSQWRSVETGSLDLEQEVDPLNVDHFSCTPLMWACALGHLEAAVLLFRWNRQALSIPDSLGRLPLSVAHSRGHVRLARCLEELQRQEPSVEPPFALSPPSSSPDTGLSSVSSPSELSDGTFSVTSAYSSAPDGSPPPAPLPASEMTMEDMAPGQLSSGVPEAPLLLMDYEATNSKGPLSSLPALPPASDDGAAPEDADSPQAVDVIPVDMISLAKQIIEATPERIKREDFVGLPEAGASMRERTGAVGLSETMSWLASYLENVDHFPSSTPPSELPFERGRLAVPSAPSWAEFLSASTSGKMESDFALLTLSDHEQRELYEAARVIQTAFRKYKGRRLKEQQEVAAAVIQRCYRKYKQLTWIALKFALYKKMTQAAILIQSKFRSYYEQKRFQQSRRAAVLIQQHYRSYRRRPGPPHRTSATLPARNKGSFLTKKQDQAARKIMRFLRRCRHRMRELKQNQELEGLPQPGLAT
+>DECOY_sp|O94983|CMTA2_HUMAN Calmodulin-binding transcription activator 2 OS=Homo sapiens OX=9606 GN=CAMTA2 PE=1 SV=3
+TALGPQPLGELEQNQKLERMRHRCRRLFRMIKRAAQDQKKTLFSGKNRAPLTASTRHPPGPRRRYSRYHQQILVAARRSQQFRKQEYYSRFKSQILIAAQTMKKYLAFKLAIWTLQKYKRYCRQIVAAAVEQQEKLRRGKYKRFATQIVRAAEYLERQEHDSLTLLAFDSEMKGSTSASLFEAWSPASPVALRGREFPLESPPTSSPFHDVNELYSALWSMTESLGVAGTRERMSAGAEPLGVFDERKIREPTAEIIQKALSIMDVPIVDVAQPSDADEPAAGDDSAPPLAPLSSLPGKSNTAEYDMLLLPAEPVGSSLQGPAMDEMTMESAPLPAPPPSGDPASSYASTVSFTGDSLESPSSVSSLGTDPSSSPPSLAFPPEVSPEQRQLEELCRALRVHGRSHAVSLPLRGLSDPISLAQRNWRFLLVAAELHGLACAWMLPTCSFHDVNLPDVEQELDLSGTEVSRWQSLTEILRAYGQAAALHLLSMGRFPSGHALREPGKWTSRPIMSEVLVVVRAEFGPGQGEDQVPPADPGQCPVQGAAAIEAMRKEMQELRELISMRFQNDDLSLWDLQTSPLSLFRRARYEFLVSASLPGERGAVQLSVLGVEHAPCYCRLVGPQVLSAPVAIHDFVCSYHEAAETWPGTILVKVGGEPYSWEPSFDTITSLAPSLQPTPAPISPAEDSILEGMLDPFSSLSFPELESAGVPGGFLAEGRGVRSSPELPAPSPPPSPPPSPIPPAAGHGKLEEGSDDDQIFFCNGRRGGAVSQPPPGRAAAQPELAAAPELASCPTHAAEAEPFDPSVGQGGGYTQGRQPSNLFRDPDFAPSPPAPPASPETGVVVALSMSPRPDAQPALHRTPTLGGARQELGTLLLIATGGRSSGGRSTPPSPRIELPEAFGSSSSSSSSSPSTHAKPLEPPLPAILPPPEPPHAHPISTLTLARPEVKPSIIRHKTSSCKHTLSGSGLGGSCLCAHTRPAPKTPHTDLIQQVLHEVSFEETGNGCSWKIGHFMPKLQGLLEERSWKLWERRDSSISCFIPSCGKGCDELAPVNLYHVLVIDPNQLLWYCRRHFTPVISSHVYCGYLCEMGQVKLKMHDERTTKGDKRKKWLYGDKRYKVKKRNYLIISGNQPRTKPACSLWEDHKEFTILYSAIEENTNWRLREPPLLPCRPLCELLKKPLFIKLHHSNEAVETTDKTNM
+>sp|Q8WXQ3|CN183_HUMAN Putative uncharacterized protein encoded by LINC01599 OS=Homo sapiens OX=9606 GN=LINC01599 PE=2 SV=1
+MEVLGFSNSKMDVRAKRQLEIWMEMAPSKPEPQGKAQQSQPTSVLSAANAAQRGKCCCCREGHSPEDYGRQWAENVENHPEVAAHTSCLQSITPHFIAGEMGCTAKESQEQVPASITQILRNPWFSRLDSPRSRCLHLASSVDPIPGLQFFIVIVSDHQKVDREEEWKQGKIRKEIHWIPLFTMWSWLQCVEDRSIAGIPKLEHGDSHQTESLLDVLVGGFWGVPHTAPPRLQEAGGPTGGCGVGGQPLGGRGQWVGEETGPVGGPHAWWVPPTAPGTSLVLLVLLAQPFQSSEWMPVSSHTPPGTQTNTAALLNVCTSYSEYV
+>DECOY_sp|Q8WXQ3|CN183_HUMAN Putative uncharacterized protein encoded by LINC01599 OS=Homo sapiens OX=9606 GN=LINC01599 PE=2 SV=1
+VYESYSTCVNLLAATNTQTGPPTHSSVPMWESSQFPQALLVLLVLSTGPATPPVWWAHPGGVPGTEEGVWQGRGGLPQGGVGCGGTPGGAEQLRPPATHPVGWFGGVLVDLLSETQHSDGHELKPIGAISRDEVCQLWSWMTFLPIWHIEKRIKGQKWEEERDVKQHDSVIVIFFQLGPIPDVSSALHLCRSRPSDLRSFWPNRLIQTISAPVQEQSEKATCGMEGAIFHPTISQLCSTHAAVEPHNEVNEAWQRGYDEPSHGERCCCCKGRQAANAASLVSTPQSQQAKGQPEPKSPAMEMWIELQRKARVDMKSNSFGLVEM
+>sp|Q8NA66|CNBD1_HUMAN Cyclic nucleotide-binding domain-containing protein 1 OS=Homo sapiens OX=9606 GN=CNBD1 PE=2 SV=1
+MPMSSLPAAILSHMTAINNVPPPPLHSIPNLKKSKHINYGQLNALCHIRGQHSRSMSNILSAHDTFMKQYPKVFLHQKPRLPKLFKQEEQRELNEGKEESQHQQPDDSNNIAVHVQRAHGGHILYRPKRATEKFEEFLAILKKLPIHRTPYEHKTVWKFLKTIPDLTFQLNDKHLKTLSKTVFSETWLKGSTVVANDGFYVILKGLARPQTNVYKNLIEGSDSPDSFISQSFHSFIWSEEFKNSTLAEMYLPSYDSMLSKWSTFGTLEVMPQNESETQMFSVVTEDDCEILKIPAKGYAKIKEEKIKLENMQKLKLIRMCPYYEEWPTLSIYELIALLKWKKFPPGHVIVESGNIISFVGYINSGCCNIYRSIIGFVKLRSNKVKRSQKLVYMGKLKEKESFGEISVLLQVPFTCTIITKKEVEMAIIEDKDLFVA
+>DECOY_sp|Q8NA66|CNBD1_HUMAN Cyclic nucleotide-binding domain-containing protein 1 OS=Homo sapiens OX=9606 GN=CNBD1 PE=2 SV=1
+AVFLDKDEIIAMEVEKKTIITCTFPVQLLVSIEGFSEKEKLKGMYVLKQSRKVKNSRLKVFGIISRYINCCGSNIYGVFSIINGSEVIVHGPPFKKWKLLAILEYISLTPWEEYYPCMRILKLKQMNELKIKEEKIKAYGKAPIKLIECDDETVVSFMQTESENQPMVELTGFTSWKSLMSDYSPLYMEALTSNKFEESWIFSHFSQSIFSDPSDSGEILNKYVNTQPRALGKLIVYFGDNAVVTSGKLWTESFVTKSLTKLHKDNLQFTLDPITKLFKWVTKHEYPTRHIPLKKLIALFEEFKETARKPRYLIHGGHARQVHVAINNSDDPQQHQSEEKGENLERQEEQKFLKPLRPKQHLFVKPYQKMFTDHASLINSMSRSHQGRIHCLANLQGYNIHKSKKLNPISHLPPPPVNNIATMHSLIAAPLSSMPM
+>sp|Q13956|CNCG_HUMAN Retinal cone rhodopsin-sensitive cGMP 3',5'-cyclic phosphodiesterase subunit gamma OS=Homo sapiens OX=9606 GN=PDE6H PE=1 SV=1
+MSDNTTLPAPASNQGPTTPRKGPPKFKQRQTRQFKSKPPKKGVKGFGDDIPGMEGLGTDITVICPWEAFSHLELHELAQFGII
+>DECOY_sp|Q13956|CNCG_HUMAN Retinal cone rhodopsin-sensitive cGMP 3',5'-cyclic phosphodiesterase subunit gamma OS=Homo sapiens OX=9606 GN=PDE6H PE=1 SV=1
+IIGFQALEHLELHSFAEWPCIVTIDTGLGEMGPIDDGFGKVGKKPPKSKFQRTQRQKFKPPGKRPTTPGQNSAPAPLTTNDSM
+>sp|Q15003|CND2_HUMAN Condensin complex subunit 2 OS=Homo sapiens OX=9606 GN=NCAPH PE=1 SV=3
+MGPPGPALPATMNNSSSETRGHPHSASSPSERVFPMPLPRKAPLNIPGTPVLEDFPQNDDEKERLQRRRSRVFDLQFSTDSPRLLASPSSRSIDISATIPKFTNTQITEHYSTCIKLSTENKITTKNAFGLHLIDFMSEILKQKDTEPTNFKVAAGTLDASTKIYAVRVDAVHADVYRVLGGLGKDAPSLEEVEGHVADGSATEMGTTKKAVKPKKKHLHRTIEQNINNLNVSEADRKCEIDPMFQKTAASFDECSTAGVFLSTLHCQDYRSELLFPSDVQTLSTGEPLELPELGCVEMTDLKAPLQQCAEDRQICPSLAGFQFTQWDSETHNESVSALVDKFKKNDQVFDINAEVDESDCGDFPDGSLGDDFDANDEPDHTAVGDHEEFRSWKEPCQVQSCQEEMISLGDGDIRTMCPLLSMKPGEYSYFSPRTMSMWAGPDHWRFRPRRKQDAPSQSENKKKSTKKDFEIDFEDDIDFDVYFRKTKAATILTKSTLENQNWRATTLPTDFNYNVDTLVQLHLKPGTRLLKMAQGHRVETEHYEEIEDYDYNNPNDTSNFCPGLQAADSDDEDLDDLFVGPVGNSDLSPYPCHPPKTAQQNGDTPEAQGLDITTYGESNLVAEPQKVNKIEIHYAKTAKKMDMKKLKQSMWSLLTALSGKEADAEANHREAGKEAALAEVADEKMLSGLTKDLQRSLPPVMAQNLSIPLAFACLLHLANEKNLKLEGTEDLSDVLVRQGD
+>DECOY_sp|Q15003|CND2_HUMAN Condensin complex subunit 2 OS=Homo sapiens OX=9606 GN=NCAPH PE=1 SV=3
+DGQRVLVDSLDETGELKLNKENALHLLCAFALPISLNQAMVPPLSRQLDKTLGSLMKEDAVEALAAEKGAERHNAEADAEKGSLATLLSWMSQKLKKMDMKKATKAYHIEIKNVKQPEAVLNSEGYTTIDLGQAEPTDGNQQATKPPHCPYPSLDSNGVPGVFLDDLDEDDSDAAQLGPCFNSTDNPNNYDYDEIEEYHETEVRHGQAMKLLRTGPKLHLQVLTDVNYNFDTPLTTARWNQNELTSKTLITAAKTKRFYVDFDIDDEFDIEFDKKTSKKKNESQSPADQKRRPRFRWHDPGAWMSMTRPSFYSYEGPKMSLLPCMTRIDGDGLSIMEEQCSQVQCPEKWSRFEEHDGVATHDPEDNADFDDGLSGDPFDGCDSEDVEANIDFVQDNKKFKDVLASVSENHTESDWQTFQFGALSPCIQRDEACQQLPAKLDTMEVCGLEPLELPEGTSLTQVDSPFLLESRYDQCHLTSLFVGATSCEDFSAATKQFMPDIECKRDAESVNLNNINQEITRHLHKKKPKVAKKTTGMETASGDAVHGEVEELSPADKGLGGLVRYVDAHVADVRVAYIKTSADLTGAAVKFNTPETDKQKLIESMFDILHLGFANKTTIKNETSLKICTSYHETIQTNTFKPITASIDISRSSPSALLRPSDTSFQLDFVRSRRRQLREKEDDNQPFDELVPTGPINLPAKRPLPMPFVRESPSSASHPHGRTESSSNNMTAPLAPGPPGM
+>sp|Q86XI2|CNDG2_HUMAN Condensin-2 complex subunit G2 OS=Homo sapiens OX=9606 GN=NCAPG2 PE=1 SV=1
+MEKRETFVQAVSKELVGEFLQFVQLDKEASDPFSLNELLDELSRKQKEELWQRLKNLLTDVLLESPVDGWQVVEAQGEDNMETEHGSKMRKSIEIIYAITSVILASVSVINESENYEALLECVIILNGILYALPESERKLQSSIQDLCVTWWEKGLPAKEDTGKTAFVMLLRRSLETKTGADVCRLWRIHQALYCFDYDLEESGEIKDMLLECFININYIKKEEGRRFLSCLFNWNINFIKMIHGTIKNQLQGLQKSLMVYIAEIYFRAWKKASGKILEAIENDCIQDFMFHGIHLPRRSPVHSKVREVLSYFHHQKKVRQGVEEMLYRLYKPILWRGLKARNSEVRSNAALLFVEAFPIRDPNLHAIEMDSEIQKQFEELYSLLEDPYPMVRSTGILGVCKITSKYWEMMPPTILIDLLKKVTGELAFDTSSADVRCSVFKCLPMILDNKLSHPLLEQLLPALRYSLHDNSEKVRVAFVDMLLKIKAVRAAKFWKICPMEHILVRLETDSRPVSRRLVSLIFNSFLPVNQPEEVWCERCVTLVQMNHAAARRFYQYAHEHTACTNIAKLIHVIRHCLNACIQRAVREPPEDEEEEDGREKENVTVLDKTLSVNDVACMAGLLEIIVILWKSIDRSMENNKEAKLYTINKFASVLPEYLKVFKDDRCKIPLFMLMSFMPASAVPPFSCGVISTLRSREEGAVDKSYCTLLDCLCSWGQVGHILELVDNWLPTEHAQAKSNTASKGRVQIHDTRPVKPELALVYIEYLLTHPKNRECLLSAPRKKLNHLLKALETSKADLESLLQTPGGKPRGFSEAAAPRAFGLHCRLSIHLQHKFCSEGKVYLSMLEDTGFWLESKILSFIQDQEEDYLKLHRVIYQQIIQTYLTVCKDVVMVGLGDHQFQMQLLQRSLGIMQTVKGFFYVSLLLDILKEITGSSLIQKTDSDEEVAMLLDTVQKVFQKMLECIARSFRKQPEEGLRLLYSVQRPLHEFITAVQSRHTDTPVHRGVLSTLIAGPVVEISHQLRKVSDVEELTPPEHLSDLPPFSRCLIGIIIKSSNVVRSFLDELKACVASNDIEGIVCLTAAVHIILVINAGKHKSSKVREVAATVHRKLKTFMEITLEEDSIERFLYESSSRTLGELLNS
+>DECOY_sp|Q86XI2|CNDG2_HUMAN Condensin-2 complex subunit G2 OS=Homo sapiens OX=9606 GN=NCAPG2 PE=1 SV=1
+SNLLEGLTRSSSEYLFREISDEELTIEMFTKLKRHVTAAVERVKSSKHKGANIVLIIHVAATLCVIGEIDNSAVCAKLEDLFSRVVNSSKIIIGILCRSFPPLDSLHEPPTLEEVDSVKRLQHSIEVVPGAILTSLVGRHVPTDTHRSQVATIFEHLPRQVSYLLRLGEEPQKRFSRAICELMKQFVKQVTDLLMAVEEDSDTKQILSSGTIEKLIDLLLSVYFFGKVTQMIGLSRQLLQMQFQHDGLGVMVVDKCVTLYTQIIQQYIVRHLKLYDEEQDQIFSLIKSELWFGTDELMSLYVKGESCFKHQLHISLRCHLGFARPAAAESFGRPKGGPTQLLSELDAKSTELAKLLHNLKKRPASLLCERNKPHTLLYEIYVLALEPKVPRTDHIQVRGKSATNSKAQAHETPLWNDVLELIHGVQGWSCLCDLLTCYSKDVAGEERSRLTSIVGCSFPPVASAPMFSMLMFLPIKCRDDKFVKLYEPLVSAFKNITYLKAEKNNEMSRDISKWLIVIIELLGAMCAVDNVSLTKDLVTVNEKERGDEEEEDEPPERVARQICANLCHRIVHILKAINTCATHEHAYQYFRRAAAHNMQVLTVCRECWVEEPQNVPLFSNFILSVLRRSVPRSDTELRVLIHEMPCIKWFKAARVAKIKLLMDVFAVRVKESNDHLSYRLAPLLQELLPHSLKNDLIMPLCKFVSCRVDASSTDFALEGTVKKLLDILITPPMMEWYKSTIKCVGLIGTSRVMPYPDELLSYLEEFQKQIESDMEIAHLNPDRIPFAEVFLLAANSRVESNRAKLGRWLIPKYLRYLMEEVGQRVKKQHHFYSLVERVKSHVPSRRPLHIGHFMFDQICDNEIAELIKGSAKKWARFYIEAIYVMLSKQLGQLQNKITGHIMKIFNINWNFLCSLFRRGEEKKIYNINIFCELLMDKIEGSEELDYDFCYLAQHIRWLRCVDAGTKTELSRRLLMVFATKGTDEKAPLGKEWWTVCLDQISSQLKRESEPLAYLIGNLIIVCELLAEYNESENIVSVSALIVSTIAYIIEISKRMKSGHETEMNDEGQAEVVQWGDVPSELLVDTLLNKLRQWLEEKQKRSLEDLLENLSFPDSAEKDLQVFQLFEGVLEKSVAQVFTERKEM
+>sp|Q6IBW4|CNDH2_HUMAN Condensin-2 complex subunit H2 OS=Homo sapiens OX=9606 GN=NCAPH2 PE=1 SV=1
+MEDVEARFAHLLQPIRDLTKNWEVDVAAQLGEYLEELDQICISFDEGKTTMNFIEAALLIQGSACVYSKKVEYLYSLVYQALDFISGKRRAKQLSSVQEDRANGVASSGVPQEAENEFLSLDDFPDSRTNVDLKNDQTPSEVLIIPLLPMALVAPDEMEKNNNPLYSRQGEVLASRKDFRMNTCVPHPRGAFMLEPEGMSPMEPAGVSPMPGTQKDTGRTEEQPMEVSVCRSPVPALGFSQEPGPSPEGPMPLGGGEDEDAEEAVELPEASAPKAALEPKESRSPQQSAALPRRYMLREREGAPEPASCVKETPDPWQSLDPFDSLESKPFKKGRPYSVPPCVEEALGQKRKRKGAAKLQDFHQWYLAAYADHADSRRLRRKGPSFADMEVLYWTHVKEQLETLRKLQRREVAEQWLRPAEEDHLEDSLEDLGAADDFLEPEEYMEPEGADPREAADLDAVPMSLSYEELVRRNVELFIATSQKFVQETELSQRIRDWEDTVQPLLQEQEQHVPFDIHTYGDQLVSRFPQLNEWCPFAELVAGQPAFEVCRSMLASLQLANDYTVEITQQPGLEMAVDTMSLRLLTHQRAHKRFQTYAAPSMAQP
+>DECOY_sp|Q6IBW4|CNDH2_HUMAN Condensin-2 complex subunit H2 OS=Homo sapiens OX=9606 GN=NCAPH2 PE=1 SV=1
+PQAMSPAAYTQFRKHARQHTLLRLSMTDVAMELGPQQTIEVTYDNALQLSALMSRCVEFAPQGAVLEAFPCWENLQPFRSVLQDGYTHIDFPVHQEQEQLLPQVTDEWDRIRQSLETEQVFKQSTAIFLEVNRRVLEEYSLSMPVADLDAAERPDAGEPEMYEEPELFDDAAGLDELSDELHDEEAPRLWQEAVERRQLKRLTELQEKVHTWYLVEMDAFSPGKRRLRRSDAHDAYAALYWQHFDQLKAAGKRKRKQGLAEEVCPPVSYPRGKKFPKSELSDFPDLSQWPDPTEKVCSAPEPAGERERLMYRRPLAASQQPSRSEKPELAAKPASAEPLEVAEEADEDEGGGLPMPGEPSPGPEQSFGLAPVPSRCVSVEMPQEETRGTDKQTGPMPSVGAPEMPSMGEPELMFAGRPHPVCTNMRFDKRSALVEGQRSYLPNNNKEMEDPAVLAMPLLPIILVESPTQDNKLDVNTRSDPFDDLSLFENEAEQPVGSSAVGNARDEQVSSLQKARRKGSIFDLAQYVLSYLYEVKKSYVCASGQILLAAEIFNMTTKGEDFSICIQDLEELYEGLQAAVDVEWNKTLDRIPQLLHAFRAEVDEM
+>sp|P29973|CNGA1_HUMAN cGMP-gated cation channel alpha-1 OS=Homo sapiens OX=9606 GN=CNGA1 PE=1 SV=3
+MKLSMKNNIINTQQSFVTMPNVIVPDIEKEIRRMENGACSSFSEDDDSASTSEESENENPHARGSFSYKSLRKGGPSQREQYLPGAIALFNVNNSSNKDQEPEEKKKKKKEKKSKSDDKNENKNDPEKKKKKKDKEKKKKEEKSKDKKEEEKKEVVVIDPSGNTYYNWLFCITLPVMYNWTMVIARACFDELQSDYLEYWLILDYVSDIVYLIDMFVRTRTGYLEQGLLVKEELKLINKYKSNLQFKLDVLSLIPTDLLYFKLGWNYPEIRLNRLLRFSRMFEFFQRTETRTNYPNIFRISNLVMYIVIIIHWNACVFYSISKAIGFGNDTWVYPDINDPEFGRLARKYVYSLYWSTLTLTTIGETPPPVRDSEYVFVVVDFLIGVLIFATIVGNIGSMISNMNAARAEFQARIDAIKQYMHFRNVSKDMEKRVIKWFDYLWTNKKTVDEKEVLKYLPDKLRAEIAINVHLDTLKKVRIFADCEAGLLVELVLKLQPQVYSPGDYICKKGDIGREMYIIKEGKLAVVADDGVTQFVVLSDGSYFGEISILNIKGSKAGNRRTANIKSIGYSDLFCLSKDDLMEALTEYPDAKTMLEEKGKQILMKDGLLDLNIANAGSDPKDLEEKVTRMEGSVDLLQTRFARILAEYESMQQKLKQRLTKVEKFLKPLIDTEFSSIEGPGAESGPIDST
+>DECOY_sp|P29973|CNGA1_HUMAN cGMP-gated cation channel alpha-1 OS=Homo sapiens OX=9606 GN=CNGA1 PE=1 SV=3
+TSDIPGSEAGPGEISSFETDILPKLFKEVKTLRQKLKQQMSEYEALIRAFRTQLLDVSGEMRTVKEELDKPDSGANAINLDLLGDKMLIQKGKEELMTKADPYETLAEMLDDKSLCFLDSYGISKINATRRNGAKSGKINLISIEGFYSGDSLVVFQTVGDDAVVALKGEKIIYMERGIDGKKCIYDGPSYVQPQLKLVLEVLLGAECDAFIRVKKLTDLHVNIAIEARLKDPLYKLVEKEDVTKKNTWLYDFWKIVRKEMDKSVNRFHMYQKIADIRAQFEARAANMNSIMSGINGVITAFILVGILFDVVVFVYESDRVPPPTEGITTLTLTSWYLSYVYKRALRGFEPDNIDPYVWTDNGFGIAKSISYFVCANWHIIIVIYMVLNSIRFINPYNTRTETRQFFEFMRSFRLLRNLRIEPYNWGLKFYLLDTPILSLVDLKFQLNSKYKNILKLEEKVLLGQELYGTRTRVFMDILYVIDSVYDLILWYELYDSQLEDFCARAIVMTWNYMVPLTICFLWNYYTNGSPDIVVVEKKEEEKKDKSKEEKKKKEKDKKKKKKEPDNKNENKDDSKSKKEKKKKKKEEPEQDKNSSNNVNFLAIAGPLYQERQSPGGKRLSKYSFSGRAHPNENESEESTSASDDDESFSSCAGNEMRRIEKEIDPVIVNPMTVFSQQTNIINNKMSLKM
+>sp|Q16280|CNGA2_HUMAN Cyclic nucleotide-gated olfactory channel OS=Homo sapiens OX=9606 GN=CNGA2 PE=2 SV=2
+MTEKTNGVKSSPANNHNHHAPPAIKANGKDDHRTSSRPHSAADDDTSSELQRLADVDAPQQGRSGFRRIVRLVGIIREWANKNFREEEPRPDSFLERFRGPELQTVTTQEGDGKGDKDGEDKGTKKKFELFVLDPAGDWYYCWLFVIAMPVLYNWCLLVARACFSDLQKGYYLVWLVLDYVSDVVYIADLFIRLRTGFLEQGLLVKDTKKLRDNYIHTLQFKLDVASIIPTDLIYFAVDIHSPEVRFNRLLHFARMFEFFDRTETRTNYPNIFRISNLVLYILVIIHWNACIYYAISKSIGFGVDTWVYPNITDPEYGYLAREYIYCLYWSTLTLTTIGETPPPVKDEEYLFVIFDFLIGVLIFATIVGNVGSMISNMNATRAEFQAKIDAVKHYMQFRKVSKGMEAKVIRWFDYLWTNKKTVDEREILKNLPAKLRAEIAINVHLSTLKKVRIFHDCEAGLLVELVLKLRPQVFSPGDYICRKGDIGKEMYIIKEGKLAVVADDGVTQYALLSAGSCFGEISILNIKGSKMGNRRTANIRSLGYSDLFCLSKDDLMEAVTEYPDAKKVLEERGREILMKEGLLDENEVATSMEVDVQEKLGQLETNMETLYTRFGRLLAEYTGAQQKLKQRITVLETKMKQNNEDDYLSDGMNSPELAAADEP
+>DECOY_sp|Q16280|CNGA2_HUMAN Cyclic nucleotide-gated olfactory channel OS=Homo sapiens OX=9606 GN=CNGA2 PE=2 SV=2
+PEDAAALEPSNMGDSLYDDENNQKMKTELVTIRQKLKQQAGTYEALLRGFRTYLTEMNTELQGLKEQVDVEMSTAVENEDLLGEKMLIERGREELVKKADPYETVAEMLDDKSLCFLDSYGLSRINATRRNGMKSGKINLISIEGFCSGASLLAYQTVGDDAVVALKGEKIIYMEKGIDGKRCIYDGPSFVQPRLKLVLEVLLGAECDHFIRVKKLTSLHVNIAIEARLKAPLNKLIEREDVTKKNTWLYDFWRIVKAEMGKSVKRFQMYHKVADIKAQFEARTANMNSIMSGVNGVITAFILVGILFDFIVFLYEEDKVPPPTEGITTLTLTSWYLCYIYERALYGYEPDTINPYVWTDVGFGISKSIAYYICANWHIIVLIYLVLNSIRFINPYNTRTETRDFFEFMRAFHLLRNFRVEPSHIDVAFYILDTPIISAVDLKFQLTHIYNDRLKKTDKVLLGQELFGTRLRIFLDAIYVVDSVYDLVLWVLYYGKQLDSFCARAVLLCWNYLVPMAIVFLWCYYWDGAPDLVFLEFKKKTGKDEGDKDGKGDGEQTTVTQLEPGRFRELFSDPRPEEERFNKNAWERIIGVLRVIRRFGSRGQQPADVDALRQLESSTDDDAASHPRSSTRHDDKGNAKIAPPAHHNHNNAPSSKVGNTKETM
+>sp|Q14028|CNGB1_HUMAN Cyclic nucleotide-gated cation channel beta-1 OS=Homo sapiens OX=9606 GN=CNGB1 PE=1 SV=2
+MLGWVQRVLPQPPGTPRKTKMQEEEEVEPEPEMEAEVEPEPNPEEAETESESMPPEESFKEEEVAVADPSPQETKEAALTSTISLRAQGAEISEMNSPSRRVLTWLMKGVEKVIPQPVHSITEDPAQILGHGSTGDTGCTDEPNEALEAQDTRPGLRLLLWLEQNLERVLPQPPKSSEVWRDEPAVATGAASDPAPPGRPQEMGPKLQARETPSLPTPIPLQPKEEPKEAPAPEPQPGSQAQTSSLPPTRDPARLVAWVLHRLEMALPQPVLHGKIGEQEPDSPGICDVQTISILPGGQVEPDLVLEEVEPPWEDAHQDVSTSPQGTEVVPAYEEENKAVEKMPRELSRIEEEKEDEEEEEEEEEEEEEEEVTEVLLDSCVVSQVGVGQSEEDGTRPQSTSDQKLWEEVGEEAKKEAEEKAKEEAEEVAEEEAEKEPQDWAETKEEPEAEAEAASSGVPATKQHPEVQVEDTDADSCPLMAEENPPSTVLPPPSPAKSDTLIVPSSASGTHRKKLPSEDDEAEELKALSPAESPVVAWSDPTTPKDTDGQDRAASTASTNSAIINDRLQELVKLFKERTEKVKEKLIDPDVTSDEESPKPSPAKKAPEPAPDTKPAEAEPVEEEHYCDMLCCKFKHRPWKKYQFPQSIDPLTNLMYVLWLFFVVMAWNWNCWLIPVRWAFPYQTPDNIHHWLLMDYLCDLIYFLDITVFQTRLQFVRGGDIITDKKDMRNNYLKSRRFKMDLLSLLPLDFLYLKVGVNPLLRLPRCLKYMAFFEFNSRLESILSKAYVYRVIRTTAYLLYSLHLNSCLYYWASAYQGLGSTHWVYDGVGNSYIRCYYFAVKTLITIGGLPDPKTLFEIVFQLLNYFTGVFAFSVMIGQMRDVVGAATAGQTYYRSCMDSTVKYMNFYKIPKSVQNRVKTWYEYTWHSQGMLDESELMVQLPDKMRLDLAIDVNYNIVSKVALFQGCDRQMIFDMLKRLRSVVYLPNDYVCKKGEIGREMYIIQAGQVQVLGGPDGKSVLVTLKAGSVFGEISLLAVGGGNRRTANVVAHGFTNLFILDKKDLNEILVHYPESQKLLRKKARRMLRSNNKPKEEKSVLILPPRAGTPKLFNAALAMTGKMGGKGAKGGKLAHLRARLKELAALEAAAKQQELVEQAKSSQDVKGEEGSAAPDQHTHPKEAATDPPAPRTPPEPPGSPPSSPPPASLGRPEGEEEGPAEPEEHSVRICMSPGPEPGEQILSVKMPEEREEKAE
+>DECOY_sp|Q14028|CNGB1_HUMAN Cyclic nucleotide-gated cation channel beta-1 OS=Homo sapiens OX=9606 GN=CNGB1 PE=1 SV=2
+EAKEEREEPMKVSLIQEGPEPGPSMCIRVSHEEPEAPGEEEGEPRGLSAPPPSSPPSGPPEPPTRPAPPDTAAEKPHTHQDPAASGEEGKVDQSSKAQEVLEQQKAAAELAALEKLRARLHALKGGKAGKGGMKGTMALAANFLKPTGARPPLILVSKEEKPKNNSRLMRRAKKRLLKQSEPYHVLIENLDKKDLIFLNTFGHAVVNATRRNGGGVALLSIEGFVSGAKLTVLVSKGDPGGLVQVQGAQIIYMERGIEGKKCVYDNPLYVVSRLRKLMDFIMQRDCGQFLAVKSVINYNVDIALDLRMKDPLQVMLESEDLMGQSHWTYEYWTKVRNQVSKPIKYFNMYKVTSDMCSRYYTQGATAAGVVDRMQGIMVSFAFVGTFYNLLQFVIEFLTKPDPLGGITILTKVAFYYCRIYSNGVGDYVWHTSGLGQYASAWYYLCSNLHLSYLLYATTRIVRYVYAKSLISELRSNFEFFAMYKLCRPLRLLPNVGVKLYLFDLPLLSLLDMKFRRSKLYNNRMDKKDTIIDGGRVFQLRTQFVTIDLFYILDCLYDMLLWHHINDPTQYPFAWRVPILWCNWNWAMVVFFLWLVYMLNTLPDISQPFQYKKWPRHKFKCCLMDCYHEEEVPEAEAPKTDPAPEPAKKAPSPKPSEEDSTVDPDILKEKVKETREKFLKVLEQLRDNIIASNTSATSAARDQGDTDKPTTPDSWAVVPSEAPSLAKLEEAEDDESPLKKRHTGSASSPVILTDSKAPSPPPLVTSPPNEEAMLPCSDADTDEVQVEPHQKTAPVGSSAAEAEAEPEEKTEAWDQPEKEAEEEAVEEAEEKAKEEAEKKAEEGVEEWLKQDSTSQPRTGDEESQGVGVQSVVCSDLLVETVEEEEEEEEEEEEEEEDEKEEEIRSLERPMKEVAKNEEEYAPVVETGQPSTSVDQHADEWPPEVEELVLDPEVQGGPLISITQVDCIGPSDPEQEGIKGHLVPQPLAMELRHLVWAVLRAPDRTPPLSSTQAQSGPQPEPAPAEKPEEKPQLPIPTPLSPTERAQLKPGMEQPRGPPAPDSAAGTAVAPEDRWVESSKPPQPLVRELNQELWLLLRLGPRTDQAELAENPEDTCGTDGTSGHGLIQAPDETISHVPQPIVKEVGKMLWTLVRRSPSNMESIEAGQARLSITSTLAAEKTEQPSPDAVAVEEEKFSEEPPMSESETEAEEPNPEPEVEAEMEPEPEVEEEEQMKTKRPTGPPQPLVRQVWGLM
+>sp|O95406|CNIH1_HUMAN Protein cornichon homolog 1 OS=Homo sapiens OX=9606 GN=CNIH1 PE=1 SV=1
+MAFTFAAFCYMLALLLTAALIFFAIWHIIAFDELKTDYKNPIDQCNTLNPLVLPEYLIHAFFCVMFLCAAEWLTLGLNMPLLAYHIWRYMSRPVMSGPGLYDPTTIMNADILAYCQKEGWCKLAFYLLAFFYYLYGMIYVLVSS
+>DECOY_sp|O95406|CNIH1_HUMAN Protein cornichon homolog 1 OS=Homo sapiens OX=9606 GN=CNIH1 PE=1 SV=1
+SSVLVYIMGYLYYFFALLYFALKCWGEKQCYALIDANMITTPDYLGPGSMVPRSMYRWIHYALLPMNLGLTLWEAACLFMVCFFAHILYEPLVLPNLTNCQDIPNKYDTKLEDFAIIHWIAFFILAATLLLALMYCFAAFTFAM
+>sp|Q6PI25|CNIH2_HUMAN Protein cornichon homolog 2 OS=Homo sapiens OX=9606 GN=CNIH2 PE=1 SV=1
+MAFTFAAFCYMLTLVLCASLIFFVIWHIIAFDELRTDFKNPIDQGNPARARERLKNIERICCLLRKLVVPEYSIHGLFCLMFLCAAEWVTLGLNIPLLFYHLWRYFHRPADGSEVMYDAVSIMNADILNYCQKESWCKLAFYLLSFFYYLYSMVYTLVSF
+>DECOY_sp|Q6PI25|CNIH2_HUMAN Protein cornichon homolog 2 OS=Homo sapiens OX=9606 GN=CNIH2 PE=1 SV=1
+FSVLTYVMSYLYYFFSLLYFALKCWSEKQCYNLIDANMISVADYMVESGDAPRHFYRWLHYFLLPINLGLTVWEAACLFMLCFLGHISYEPVVLKRLLCCIREINKLRERARAPNGQDIPNKFDTRLEDFAIIHWIVFFILSACLVLTLMYCFAAFTFAM
+>sp|Q9P003|CNIH4_HUMAN Protein cornichon homolog 4 OS=Homo sapiens OX=9606 GN=CNIH4 PE=1 SV=1
+MEAVVFVFSLLDCCALIFLSVYFIITLSDLECDYINARSCCSKLNKWVIPELIGHTIVTVLLLMSLHWFIFLLNLPVATWNIYRYIMVPSGNMGVFDPTEIHNRGQLKSHMKEAMIKLGFHLLCFFMYLYSMILALIND
+>DECOY_sp|Q9P003|CNIH4_HUMAN Protein cornichon homolog 4 OS=Homo sapiens OX=9606 GN=CNIH4 PE=1 SV=1
+DNILALIMSYLYMFFCLLHFGLKIMAEKMHSKLQGRNHIETPDFVGMNGSPVMIYRYINWTAVPLNLLFIFWHLSMLLLVTVITHGILEPIVWKNLKSCCSRANIYDCELDSLTIIFYVSLFILACCDLLSFVFVVAEM
+>sp|Q969H4|CNKR1_HUMAN Connector enhancer of kinase suppressor of ras 1 OS=Homo sapiens OX=9606 GN=CNKSR1 PE=1 SV=1
+MEPVETWTPGKVATWLRGLDDSLQDYPFEDWQLPGKNLLQLCPQSLEALAVRSLGHQELILGGVEQLQALSSRLQTENLQSLTEGLLGATHDFQSIVQGCLGDCAKTPIDVLCAAVELLHEADALLFWLSRYLFSHLNDFSACQEIRDLLEELSQVLHEDGPAAEKEGTVLRICSHVAGICHNILVCCPKELLEQKAVLEQVQLDSPLGLEIHTTSNCQHFVSQVDTQVPTDSRLQIQPGDEVVQINEQVVVREERDMVGWPRKNMVRELLREPAGLSLVLKKIPIPETPPQTPPQVLDSPHQRSPSLSLAPLSPRAPSEDVFAFDLSSNPSPGPSPAWTDSASLGPEPLPIPPEPPAILPAGVAGTPGLPESPDKSPVGRKKSKGLATRLSRRRVSCRELGRPDCDGWLLLRKAPGGFMGPRWRRRWFVLKGHTLYWYRQPQDEKAEGLINVSNYSLESGHDQKKKYVFQLTHDVYKPFIFAADTLTDLSMWVRHLITCISKYQSPGRAPPPREEDCYSETEAEDPDDEAGSHSASPSPAQAGSPLHGDTSPAATPTQRSPRTSFGSLTDSSEEALEGMVRGLRQGGVSLLGQPQPLTQEQWRSSFMRRNRDPQLNERVHRVRALQSTLKAKLQELQVLEEVLGDPELTGEKFRQWKEQNRELYSEGLGAWGVAQAEGSSHILTSDSTEQSPHSLPSDPEEHSHLCPLTSESSLRPPDL
+>DECOY_sp|Q969H4|CNKR1_HUMAN Connector enhancer of kinase suppressor of ras 1 OS=Homo sapiens OX=9606 GN=CNKSR1 PE=1 SV=1
+LDPPRLSSESTLPCLHSHEEPDSPLSHPSQETSDSTLIHSSGEAQAVGWAGLGESYLERNQEKWQRFKEGTLEPDGLVEELVQLEQLKAKLTSQLARVRHVRENLQPDRNRRMFSSRWQEQTLPQPQGLLSVGGQRLGRVMGELAEESSDTLSGFSTRPSRQTPTAAPSTDGHLPSGAQAPSPSASHSGAEDDPDEAETESYCDEERPPPARGPSQYKSICTILHRVWMSLDTLTDAAFIFPKYVDHTLQFVYKKKQDHGSELSYNSVNILGEAKEDQPQRYWYLTHGKLVFWRRRWRPGMFGGPAKRLLLWGDCDPRGLERCSVRRRSLRTALGKSKKRGVPSKDPSEPLGPTGAVGAPLIAPPEPPIPLPEPGLSASDTWAPSPGPSPNSSLDFAFVDESPARPSLPALSLSPSRQHPSDLVQPPTQPPTEPIPIKKLVLSLGAPERLLERVMNKRPWGVMDREERVVVQENIQVVEDGPQIQLRSDTPVQTDVQSVFHQCNSTTHIELGLPSDLQVQELVAKQELLEKPCCVLINHCIGAVHSCIRLVTGEKEAAPGDEHLVQSLEELLDRIEQCASFDNLHSFLYRSLWFLLADAEHLLEVAACLVDIPTKACDGLCGQVISQFDHTAGLLGETLSQLNETQLRSSLAQLQEVGGLILEQHGLSRVALAELSQPCLQLLNKGPLQWDEFPYDQLSDDLGRLWTAVKGPTWTEVPEM
+>sp|O75829|CNMD_HUMAN Leukocyte cell-derived chemotaxin 1 OS=Homo sapiens OX=9606 GN=CNMD PE=1 SV=1
+MTENSDKVPIALVGPDDVEFCSPPAYATLTVKPSSPARLLKVGAVVLISGAVLLLFGAIGAFYFWKGSDSHIYNVHYTMSINGKLQDGSMEIDAGNNLETFKMGSGAEEAIAVNDFQNGITGIRFAGGEKCYIKAQVKARIPEVGAVTKQSISSKLEGKIMPVKYEENSLIWVAVDQPVKDNSFLSSKVLELCGDLPIFWLKPTYPKEIQRERREVVRKIVPTTTKRPHSGPRSNPGAGRLNNETRPSVQEDSQAFNPDNPYHQQEGESMTFDPRLDHEGICCIECRRSYTHCQKICEPLGGYYPWPYNYQGCRSACRVIMPCSWWVARILGMV
+>DECOY_sp|O75829|CNMD_HUMAN Leukocyte cell-derived chemotaxin 1 OS=Homo sapiens OX=9606 GN=CNMD PE=1 SV=1
+VMGLIRAVWWSCPMIVRCASRCGQYNYPWPYYGGLPECIKQCHTYSRRCEICCIGEHDLRPDFTMSEGEQQHYPNDPNFAQSDEQVSPRTENNLRGAGPNSRPGSHPRKTTTPVIKRVVERRERQIEKPYTPKLWFIPLDGCLELVKSSLFSNDKVPQDVAVWILSNEEYKVPMIKGELKSSISQKTVAGVEPIRAKVQAKIYCKEGGAFRIGTIGNQFDNVAIAEEAGSGMKFTELNNGADIEMSGDQLKGNISMTYHVNYIHSDSGKWFYFAGIAGFLLLVAGSILVVAGVKLLRAPSSPKVTLTAYAPPSCFEVDDPGVLAIPVKDSNETM
+>sp|A5YKK6|CNOT1_HUMAN CCR4-NOT transcription complex subunit 1 OS=Homo sapiens OX=9606 GN=CNOT1 PE=1 SV=2
+MNLDSLSLALSQISYLVDNLTKKNYRASQQEIQHIVNRHGPEADRHLLRCLFSHVDFSGDGKSSGKDFHQTQFLIQECALLITKPNFISTLSYAIDNPLHYQKSLKPAPHLFAQLSKVLKLSKVQEVIFGLALLNSSSSDLRGFAAQFIKQKLPDLLRSYIDADVSGNQEGGFQDIAIEVLHLLLSHLLFGQKGAFGVGQEQIDAFLKTLRRDFPQERCPVVLAPLLYPEKRDILMDRILPDSGGVAKTMMESSLADFMQEVGYGFCASIEECRNIIVQFGVREVTAAQVARVLGMMARTHSGLTDGIPLQSISAPGSGIWSDGKDKSDGAQAHTWNVEVLIDVLKELNPSLNFKEVTYELDHPGFQIRDSKGLHNVVYGIQRGLGMEVFPVDLIYRPWKHAEGQLSFIQHSLINPEIFCFADYPCHTVATDILKAPPEDDNREIATWKSLDLIESLLRLAEVGQYEQVKQLFSFPIKHCPDMLVLALLQINTSWHTLRHELISTLMPIFLGNHPNSAIILHYAWHGQGQSPSIRQLIMHAMAEWYMRGEQYDQAKLSRILDVAQDLKALSMLLNGTPFAFVIDLAALASRREYLKLDKWLTDKIREHGEPFIQACMTFLKRRCPSILGGLAPEKDQPKSAQLPPETLATMLACLQACAGSVSQELSETILTMVANCSNVMNKARQPPPGVMPKGRPPSASSLDAISPVQIDPLAGMTSLSIGGSAAPHTQSMQGFPPNLGSAFSTPQSPAKAFPPLSTPNQTTAFSGIGGLSSQLPVGGLGTGSLTGIGTGALGLPAVNNDPFVQRKLGTSGLNQPTFQQSKMKPSDLSQVWPEANQHFSKEIDDEANSYFQRIYNHPPHPTMSVDEVLEMLQRFKDSTIKREREVFNCMLRNLFEEYRFFPQYPDKELHITACLFGGIIEKGLVTYMALGLALRYVLEALRKPFGSKMYYFGIAALDRFKNRLKDYPQYCQHLASISHFMQFPHHLQEYIEYGQQSRDPPVKMQGSITTPGSIALAQAQAQAQVPAKAPLAGQVSTMVTTSTTTTVAKTVTVTRPTGVSFKKDVPPSINTTNIDTLLVATDQTERIVEPPENIQEKIAFIFNNLSQSNMTQKVEELKETVKEEFMPWVSQYLVMKRVSIEPNFHSLYSNFLDTLKNPEFNKMVLNETYRNIKVLLTSDKAAANFSDRSLLKNLGHWLGMITLAKNKPILHTDLDVKSLLLEAYVKGQQELLYVVPFVAKVLESSIRSVVFRPPNPWTMAIMNVLAELHQEHDLKLNLKFEIEVLCKNLALDINELKPGNLLKDKDRLKNLDEQLSAPKKDVKQPEELPPITTTTTSTTPATNTTCTATVPPQPQYSYHDINVYSLAGLAPHITLNPTIPLFQAHPQLKQCVRQAIERAVQELVHPVVDRSIKIAMTTCEQIVRKDFALDSEESRMRIAAHHMMRNLTAGMAMITCREPLLMSISTNLKNSFASALRTASPQQREMMDQAAAQLAQDNCELACCFIQKTAVEKAGPEMDKRLATEFELRKHARQEGRRYCDPVVLTYQAERMPEQIRLKVGGVDPKQLAVYEEFARNVPGFLPTNDLSQPTGFLAQPMKQAWATDDVAQIYDKCITELEQHLHAIPPTLAMNPQAQALRSLLEVVVLSRNSRDAIAALGLLQKAVEGLLDATSGADADLLLRYRECHLLVLKALQDGRAYGSPWCNKQITRCLIECRDEYKYNVEAVELLIRNHLVNMQQYDLHLAQSMENGLNYMAVAFAMQLVKILLVDERSVAHVTEADLFHTIETLMRINAHSRGNAPEGLPQLMEVVRSNYEAMIDRAHGGPNFMMHSGISQASEYDDPPGLREKAEYLLREWVNLYHSAAAGRDSTKAFSAFVGQMHQQGILKTDDLITRFFRLCTEMCVEISYRAQAEQQHNPAANPTMIRAKCYHNLDAFVRLIALLVKHSGEATNTVTKINLLNKVLGIVVGVLLQDHDVRQSEFQQLPYHRIFIMLLLELNAPEHVLETINFQTLTAFCNTFHILRPTKAPGFVYAWLELISHRIFIARMLAHTPQQKGWPMYAQLLIDLFKYLAPFLRNVELTKPMQILYKGTLRVLLVLLHDFPEFLCDYHYGFCDVIPPNCIQLRNLILSAFPRNMRLPDPFTPNLKVDMLSEINIAPRILTNFTGVMPPQFKKDLDSYLKTRSPVTFLSDLRSNLQVSNEPGNRYNLQLINALVLYVGTQAIAHIHNKGSTPSMSTITHSAHMDIFQNLAVDLDTEGRYLFLNAIANQLRYPNSHTHYFSCTMLYLFAEANTEAIQEQITRVLLERLIVNRPHPWGLLITFIELIKNPAFKFWNHEFVHCAPEIEKLFQSVAQCCMGQKQAQQVMEGTGAS
+>DECOY_sp|A5YKK6|CNOT1_HUMAN CCR4-NOT transcription complex subunit 1 OS=Homo sapiens OX=9606 GN=CNOT1 PE=1 SV=2
+SAGTGEMVQQAQKQGMCCQAVSQFLKEIEPACHVFEHNWFKFAPNKILEIFTILLGWPHPRNVILRELLVRTIQEQIAETNAEAFLYLMTCSFYHTHSNPYRLQNAIANLFLYRGETDLDVALNQFIDMHASHTITSMSPTSGKNHIHAIAQTGVYLVLANILQLNYRNGPENSVQLNSRLDSLFTVPSRTKLYSDLDKKFQPPMVGTFNTLIRPAINIESLMDVKLNPTFPDPLRMNRPFASLILNRLQICNPPIVDCFGYHYDCLFEPFDHLLVLLVRLTGKYLIQMPKTLEVNRLFPALYKFLDILLQAYMPWGKQQPTHALMRAIFIRHSILELWAYVFGPAKTPRLIHFTNCFATLTQFNITELVHEPANLELLLMIFIRHYPLQQFESQRVDHDQLLVGVVIGLVKNLLNIKTVTNTAEGSHKVLLAILRVFADLNHYCKARIMTPNAAPNHQQEAQARYSIEVCMETCLRFFRTILDDTKLIGQQHMQGVFASFAKTSDRGAAASHYLNVWERLLYEAKERLGPPDDYESAQSIGSHMMFNPGGHARDIMAEYNSRVVEMLQPLGEPANGRSHANIRMLTEITHFLDAETVHAVSREDVLLIKVLQMAFAVAMYNLGNEMSQALHLDYQQMNVLHNRILLEVAEVNYKYEDRCEILCRTIQKNCWPSGYARGDQLAKLVLLHCERYRLLLDADAGSTADLLGEVAKQLLGLAAIADRSNRSLVVVELLSRLAQAQPNMALTPPIAHLHQELETICKDYIQAVDDTAWAQKMPQALFGTPQSLDNTPLFGPVNRAFEEYVALQKPDVGGVKLRIQEPMREAQYTLVVPDCYRRGEQRAHKRLEFETALRKDMEPGAKEVATKQIFCCALECNDQALQAAAQDMMERQQPSATRLASAFSNKLNTSISMLLPERCTIMAMGATLNRMMHHAAIRMRSEESDLAFDKRVIQECTTMAIKISRDVVPHVLEQVAREIAQRVCQKLQPHAQFLPITPNLTIHPALGALSYVNIDHYSYQPQPPVTATCTTNTAPTTSTTTTTIPPLEEPQKVDKKPASLQEDLNKLRDKDKLLNGPKLENIDLALNKCLVEIEFKLNLKLDHEQHLEALVNMIAMTWPNPPRFVVSRISSELVKAVFPVVYLLEQQGKVYAELLLSKVDLDTHLIPKNKALTIMGLWHGLNKLLSRDSFNAAAKDSTLLVKINRYTENLVMKNFEPNKLTDLFNSYLSHFNPEISVRKMVLYQSVWPMFEEKVTEKLEEVKQTMNSQSLNNFIFAIKEQINEPPEVIRETQDTAVLLTDINTTNISPPVDKKFSVGTPRTVTVTKAVTTTTSTTVMTSVQGALPAKAPVQAQAQAQALAISGPTTISGQMKVPPDRSQQGYEIYEQLHHPFQMFHSISALHQCYQPYDKLRNKFRDLAAIGFYYMKSGFPKRLAELVYRLALGLAMYTVLGKEIIGGFLCATIHLEKDPYQPFFRYEEFLNRLMCNFVERERKITSDKFRQLMELVEDVSMTPHPPHNYIRQFYSNAEDDIEKSFHQNAEPWVQSLDSPKMKSQQFTPQNLGSTGLKRQVFPDNNVAPLGLAGTGIGTLSGTGLGGVPLQSSLGGIGSFATTQNPTSLPPFAKAPSQPTSFASGLNPPFGQMSQTHPAASGGISLSTMGALPDIQVPSIADLSSASPPRGKPMVGPPPQRAKNMVNSCNAVMTLITESLEQSVSGACAQLCALMTALTEPPLQASKPQDKEPALGGLISPCRRKLFTMCAQIFPEGHERIKDTLWKDLKLYERRSALAALDIVFAFPTGNLLMSLAKLDQAVDLIRSLKAQDYQEGRMYWEAMAHMILQRISPSQGQGHWAYHLIIASNPHNGLFIPMLTSILEHRLTHWSTNIQLLALVLMDPCHKIPFSFLQKVQEYQGVEALRLLSEILDLSKWTAIERNDDEPPAKLIDTAVTHCPYDAFCFIEPNILSHQIFSLQGEAHKWPRYILDVPFVEMGLGRQIGYVVNHLGKSDRIQFGPHDLEYTVEKFNLSPNLEKLVDILVEVNWTHAQAGDSKDKGDSWIGSGPASISQLPIGDTLGSHTRAMMGLVRAVQAATVERVGFQVIINRCEEISACFGYGVEQMFDALSSEMMTKAVGGSDPLIRDMLIDRKEPYLLPALVVPCREQPFDRRLTKLFADIQEQGVGFAGKQGFLLHSLLLHLVEIAIDQFGGEQNGSVDADIYSRLLDPLKQKIFQAAFGRLDSSSSNLLALGFIVEQVKSLKLVKSLQAFLHPAPKLSKQYHLPNDIAYSLTSIFNPKTILLACEQILFQTQHFDKGSSKGDGSFDVHSFLCRLLHRDAEPGHRNVIHQIEQQSARYNKKTLNDVLYSIQSLALSLSDLNM
+>sp|P21554|CNR1_HUMAN Cannabinoid receptor 1 OS=Homo sapiens OX=9606 GN=CNR1 PE=1 SV=1
+MKSILDGLADTTFRTITTDLLYVGSNDIQYEDIKGDMASKLGYFPQKFPLTSFRGSPFQEKMTAGDNPQLVPADQVNITEFYNKSLSSFKENEENIQCGENFMDIECFMVLNPSQQLAIAVLSLTLGTFTVLENLLVLCVILHSRSLRCRPSYHFIGSLAVADLLGSVIFVYSFIDFHVFHRKDSRNVFLFKLGGVTASFTASVGSLFLTAIDRYISIHRPLAYKRIVTRPKAVVAFCLMWTIAIVIAVLPLLGWNCEKLQSVCSDIFPHIDETYLMFWIGVTSVLLLFIVYAYMYILWKAHSHAVRMIQRGTQKSIIIHTSEDGKVQVTRPDQARMDIRLAKTLVLILVVLIICWGPLLAIMVYDVFGKMNKLIKTVFAFCSMLCLLNSTVNPIIYALRSKDLRHAFRSMFPSCEGTAQPLDNSMGDSDCLHKHANNAASVHRAAESCIKSTVKIAKVTMSVSTDTSAEAL
+>DECOY_sp|P21554|CNR1_HUMAN Cannabinoid receptor 1 OS=Homo sapiens OX=9606 GN=CNR1 PE=1 SV=1
+LAEASTDTSVSMTVKAIKVTSKICSEAARHVSAANNAHKHLCDSDGMSNDLPQATGECSPFMSRFAHRLDKSRLAYIIPNVTSNLLCLMSCFAFVTKILKNMKGFVDYVMIALLPGWCIILVVLILVLTKALRIDMRAQDPRTVQVKGDESTHIIISKQTGRQIMRVAHSHAKWLIYMYAYVIFLLLVSTVGIWFMLYTEDIHPFIDSCVSQLKECNWGLLPLVAIVIAITWMLCFAVVAKPRTVIRKYALPRHISIYRDIATLFLSGVSATFSATVGGLKFLFVNRSDKRHFVHFDIFSYVFIVSGLLDAVALSGIFHYSPRCRLSRSHLIVCLVLLNELVTFTGLTLSLVAIALQQSPNLVMFCEIDMFNEGCQINEENEKFSSLSKNYFETINVQDAPVLQPNDGATMKEQFPSGRFSTLPFKQPFYGLKSAMDGKIDEYQIDNSGVYLLDTTITRFTTDALGDLISKM
+>sp|Q6ZRI6|CO039_HUMAN Uncharacterized protein C15orf39 OS=Homo sapiens OX=9606 GN=C15orf39 PE=1 SV=3
+MAEKRPLRTLGPVMYGKLPRLETDSGLEHSLPHSVGNQDPCTYKGSYFSCPMAGTPKAESEQLASWTPYPPLYSTGMAGPPLQADNLLTNCLFYRSPAEGPEKMQDSSPVELLPFSPQAHSYPGPPLAAPKPVYRNPLCYGLSTCLGEGAVKRPLDVDWTLATGPLLPSADPPCSLAPAPSKGQTLDGTFLRGVPAEGSSKDSSGSFSPCQPFLEKYQTIHSTGFLASRYTGPYPRNSKQAMSEGPSSPWTQLAQPLGPPCQDTGPTHYPPPHHPPPHPPQALPCPPACRHPEKQGSYSPALPLQPLGGHKGTGYQAGGLGSPYLRQQAAQAPYIPPLGLDAYPYPSAPLPAPSPGLKLEPPLTPRCPLDFAPQTLSFPYARDDLSLYGASPGLGGTPPSQNNVRAVPQPGAFQRACQPLPASQPCSEPVRPAQEAEEKTWLPSCRKEKLQPRLSEHSGPPIVIRDSPVPCTPPALPPCARECQSLPQKEGARPPSSPPMPVIDNVFSLAPYRDYLDVPAPEATTEPDSATAEPDSAPATSEGQDKGCRGTLPAQEGPSGSKPLRGSLKEEVALDLSVRKPTAEASPVKASRSVEHAKPTAAMDVPDVGNMVSDLPGLKKIDTEAPGLPGVPVTTDAMPRTNFHSSVAFMFRKFKILRPAPLPAAVVPSTPTSAPAPTQPAPTPTSGPIGLRILAQQPLSVTCFSLALPSPPAVAVASPAPAPAPSPAPARAQAPASARDPAPAPAPVAGPAPASTSAPGDSLEQHFTGLHASLCDAISGSVAHSPPEKLREWLETAGPWGQAAWQDCQGVQGLLAKLLSQLQRFDRTHRCPFPHVVRAGAIFVPIHLVKERLFPRLPPASVDHVLQEHRVELRPTTLSEERALRELALPGCTSRMLKLLALRQLPDIYPDLLGLQWRDCVRRQLGDFDTEAGAVSSSEPTVARGEPESLALAQKSPAPKVRKPGRKPPTPGPEKAEAAAGEESCGASPTPATSASPPGPTLKARFRSLLETAWLNGLALPTWGHKSSRPDQPSPCPQLLDSQSHHL
+>DECOY_sp|Q6ZRI6|CO039_HUMAN Uncharacterized protein C15orf39 OS=Homo sapiens OX=9606 GN=C15orf39 PE=1 SV=3
+LHHSQSDLLQPCPSPQDPRSSKHGWTPLALGNLWATELLSRFRAKLTPGPPSASTAPTPSAGCSEEGAAAEAKEPGPTPPKRGPKRVKPAPSKQALALSEPEGRAVTPESSSVAGAETDFDGLQRRVCDRWQLGLLDPYIDPLQRLALLKLMRSTCGPLALERLAREESLTTPRLEVRHEQLVHDVSAPPLRPFLREKVLHIPVFIAGARVVHPFPCRHTRDFRQLQSLLKALLGQVGQCDQWAAQGWPGATELWERLKEPPSHAVSGSIADCLSAHLGTFHQELSDGPASTSAPAPGAVPAPAPAPDRASAPAQARAPAPSPAPAPAPSAVAVAPPSPLALSFCTVSLPQQALIRLGIPGSTPTPAPQTPAPASTPTSPVVAAPLPAPRLIKFKRFMFAVSSHFNTRPMADTTVPVGPLGPAETDIKKLGPLDSVMNGVDPVDMAATPKAHEVSRSAKVPSAEATPKRVSLDLAVEEKLSGRLPKSGSPGEQAPLTGRCGKDQGESTAPASDPEATASDPETTAEPAPVDLYDRYPALSFVNDIVPMPPSSPPRAGEKQPLSQCERACPPLAPPTCPVPSDRIVIPPGSHESLRPQLKEKRCSPLWTKEEAEQAPRVPESCPQSAPLPQCARQFAGPQPVARVNNQSPPTGGLGPSAGYLSLDDRAYPFSLTQPAFDLPCRPTLPPELKLGPSPAPLPASPYPYADLGLPPIYPAQAAQQRLYPSGLGGAQYGTGKHGGLPQLPLAPSYSGQKEPHRCAPPCPLAQPPHPPPHHPPPYHTPGTDQCPPGLPQALQTWPSSPGESMAQKSNRPYPGTYRSALFGTSHITQYKELFPQCPSFSGSSDKSSGEAPVGRLFTGDLTQGKSPAPALSCPPDASPLLPGTALTWDVDLPRKVAGEGLCTSLGYCLPNRYVPKPAALPPGPYSHAQPSFPLLEVPSSDQMKEPGEAPSRYFLCNTLLNDAQLPPGAMGTSYLPPYPTWSALQESEAKPTGAMPCSFYSGKYTCPDQNGVSHPLSHELGSDTELRPLKGYMVPGLTRLPRKEAM
+>sp|Q9Y2V0|CO041_HUMAN Uncharacterized protein C15orf41 OS=Homo sapiens OX=9606 GN=C15orf41 PE=1 SV=2
+MILTKAQYDEIAQCLVSVPPTRQSLRKLKQRFPSQSQATLLSIFSQEYQKHIKRTHAKHHTSEAIESYYQRYLNGVVKNGAAPVLLDLANEVDYAPSLMARLILERFLQEHEETPPSKSIINSMLRDPSQIPDGVLANQVYQCIVNDCCYGPLVDCIKHAIGHEHEVLLRDLLLEKNLSFLDEDQLRAKGYDKTPDFILQVPVAVEGHIIHWIESKASFGDECSHHAYLHDQFWSYWNRFGPGLVIYWYGFIQELDCNRERGILLKACFPTNIVTLCHSIA
+>DECOY_sp|Q9Y2V0|CO041_HUMAN Uncharacterized protein C15orf41 OS=Homo sapiens OX=9606 GN=C15orf41 PE=1 SV=2
+AISHCLTVINTPFCAKLLIGRERNCDLEQIFGYWYIVLGPGFRNWYSWFQDHLYAHHSCEDGFSAKSEIWHIIHGEVAVPVQLIFDPTKDYGKARLQDEDLFSLNKELLLDRLLVEHEHGIAHKICDVLPGYCCDNVICQYVQNALVGDPIQSPDRLMSNIISKSPPTEEHEQLFRELILRAMLSPAYDVENALDLLVPAAGNKVVGNLYRQYYSEIAESTHHKAHTRKIHKQYEQSFISLLTAQSQSPFRQKLKRLSQRTPPVSVLCQAIEDYQAKTLIM
+>sp|Q9HAK2|COE2_HUMAN Transcription factor COE2 OS=Homo sapiens OX=9606 GN=EBF2 PE=1 SV=4
+MFGIQDTLGRGPTLKEKSLGAEMDSVRSWVRNVGVVDANVAAQSGVALSRAHFEKQPPSNLRKSNFFHFVLALYDRQGQPVEIERTAFVDFVENDKEQGNEKTNNGTHYKLQLLYSNGVRTEQDLYVRLIDSVTKQPIAYEGQNKNPEMCRVLLTHEVMCSRCCEKKSCGNRNETPSDPVIIDRFFLKFFLKCNQNCLKTAGNPRDMRRFQVVLSTTVNVDGHVLAVSDNMFVHNNSKHGRRARRLDPSEATPCIKAISPSEGWTTGGAMVIIIGDNFFDGLQVVFGTMLVWSELITPHAIRVQTPPRHIPGVVEVTLSYKSKQFCKGAPGRFIYTALNEPTIDYGFQRLQKVIPRHPGDPERLAKEMLLKRAADLVEALYGTPHNNQDIILKRAADIAEALYSVPRNPSQLPALSSSPAHSGMMGINSYGSQLGVSISESTQGNNQGYIRNTSSISPRGYSSSSTPQQSNYSTSSNSMNGYSNVPMANLGVPGSPGFLNGSPTGSPYGIMSSSPTVGSSSTSSILPFSSSVFPAVKQKSAFAPVIRPQGSPSPACSSGNGNGFRAMTGLVVPPM
+>DECOY_sp|Q9HAK2|COE2_HUMAN Transcription factor COE2 OS=Homo sapiens OX=9606 GN=EBF2 PE=1 SV=4
+MPPVVLGTMARFGNGNGSSCAPSPSGQPRIVPAFASKQKVAPFVSSSFPLISSTSSSGVTPSSSMIGYPSGTPSGNLFGPSGPVGLNAMPVNSYGNMSNSSTSYNSQQPTSSSSYGRPSISSTNRIYGQNNGQTSESISVGLQSGYSNIGMMGSHAPSSSLAPLQSPNRPVSYLAEAIDAARKLIIDQNNHPTGYLAEVLDAARKLLMEKALREPDGPHRPIVKQLRQFGYDITPENLATYIFRGPAGKCFQKSKYSLTVEVVGPIHRPPTQVRIAHPTILESWVLMTGFVVQLGDFFNDGIIIVMAGGTTWGESPSIAKICPTAESPDLRRARRGHKSNNHVFMNDSVALVHGDVNVTTSLVVQFRRMDRPNGATKLCNQNCKLFFKLFFRDIIVPDSPTENRNGCSKKECCRSCMVEHTLLVRCMEPNKNQGEYAIPQKTVSDILRVYLDQETRVGNSYLLQLKYHTGNNTKENGQEKDNEVFDVFATREIEVPQGQRDYLALVFHFFNSKRLNSPPQKEFHARSLAVGSQAAVNADVVGVNRVWSRVSDMEAGLSKEKLTPGRGLTDQIGFM
+>sp|P23528|COF1_HUMAN Cofilin-1 OS=Homo sapiens OX=9606 GN=CFL1 PE=1 SV=3
+MASGVAVSDGVIKVFNDMKVRKSSTPEEVKKRKKAVLFCLSEDKKNIILEEGKEILVGDVGQTVDDPYATFVKMLPDKDCRYALYDATYETKESKKEDLVFIFWAPESAPLKSKMIYASSKDAIKKKLTGIKHELQANCYEEVKDRCTLAEKLGGSAVISLEGKPL
+>DECOY_sp|P23528|COF1_HUMAN Cofilin-1 OS=Homo sapiens OX=9606 GN=CFL1 PE=1 SV=3
+LPKGELSIVASGGLKEALTCRDKVEEYCNAQLEHKIGTLKKKIADKSSAYIMKSKLPASEPAWFIFVLDEKKSEKTEYTADYLAYRCDKDPLMKVFTAYPDDVTQGVDGVLIEKGEELIINKKDESLCFLVAKKRKKVEEPTSSKRVKMDNFVKIVGDSVAVGSAM
+>sp|Q96MW5|COG8_HUMAN Conserved oligomeric Golgi complex subunit 8 OS=Homo sapiens OX=9606 GN=COG8 PE=1 SV=2
+MATAATIPSVATATAAALGEVEDEGLLASLFRDRFPEAQWRERPDVGRYLRELSGSGLERLRREPERLAEERAQLLQQTRDLAFANYKTFIRGAECTERIHRLFGDVEASLGRLLDRLPSFQQSCRNFVKEAEEISSNRRMNSLTLNRHTEILEILEIPQLMDTCVRNSYYEEALELAAYVRRLERKYSSIPVIQGIVNEVRQSMQLMLSQLIQQLRTNIQLPACLRVIGYLRRMDVFTEAELRVKFLQARDAWLRSILTAIPNDDPYFHITKTIEASRVHLFDIITQYRAIFSDEDPLLPPAMGEHTVNESAIFHGWVLQKVSQFLQVLETDLYRGIGGHLDSLLGQCMYFGLSFSRVGADFRGQLAPVFQRVAISTFQKAIQETVEKFQEEMNSYMLISAPAILGTSNMPAAVPATQPGTLQPPMVLLDFPPLACFLNNILVAFNDLRLCCPVALAQDVTGALEDALAKVTKIILAFHRAEEAAFSSGEQELFVQFCTVFLEDLVPYLNRCLQVLFPPAQIAQTLGIPPTQLSKYGNLGHVNIGAIQEPLAFILPKRETLFTLDDQALGPELTAPAPEPPAEEPRLEPAGPACPEGGRAETQAEPPSVGP
+>DECOY_sp|Q96MW5|COG8_HUMAN Conserved oligomeric Golgi complex subunit 8 OS=Homo sapiens OX=9606 GN=COG8 PE=1 SV=2
+PGVSPPEAQTEARGGEPCAPGAPELRPEEAPPEPAPATLEPGLAQDDLTFLTERKPLIFALPEQIAGINVHGLNGYKSLQTPPIGLTQAIQAPPFLVQLCRNLYPVLDELFVTCFQVFLEQEGSSFAAEEARHFALIIKTVKALADELAGTVDQALAVPCCLRLDNFAVLINNLFCALPPFDLLVMPPQLTGPQTAPVAAPMNSTGLIAPASILMYSNMEEQFKEVTEQIAKQFTSIAVRQFVPALQGRFDAGVRSFSLGFYMCQGLLSDLHGGIGRYLDTELVQLFQSVKQLVWGHFIASENVTHEGMAPPLLPDEDSFIARYQTIIDFLHVRSAEITKTIHFYPDDNPIATLISRLWADRAQLFKVRLEAETFVDMRRLYGIVRLCAPLQINTRLQQILQSLMLQMSQRVENVIGQIVPISSYKRELRRVYAALELAEEYYSNRVCTDMLQPIELIELIETHRNLTLSNMRRNSSIEEAEKVFNRCSQQFSPLRDLLRGLSAEVDGFLRHIRETCEAGRIFTKYNAFALDRTQQLLQAREEALREPERRLRELGSGSLERLYRGVDPRERWQAEPFRDRFLSALLGEDEVEGLAAATATAVSPITAATAM
+>sp|P39060|COIA1_HUMAN Collagen alpha-1(XVIII) chain OS=Homo sapiens OX=9606 GN=COL18A1 PE=1 SV=5
+MAPYPCGCHILLLLFCCLAAARANLLNLNWLWFNNEDTSHAATTIPEPQGPLPVQPTADTTTHVTPRNGSTEPATAPGSPEPPSELLEDGQDTPTSAESPDAPEENIAGVGAEILNVAKGIRSFVQLWNDTVPTESLARAETLVLETPVGPLALAGPSSTPQENGTTLWPSRGIPSSPGAHTTEAGTLPAPTPSPPSLGRPWAPLTGPSVPPPSSGRASLSSLLGGAPPWGSLQDPDSQGLSPAAAAPSQQLQRPDVRLRTPLLHPLVMGSLGKHAAPSAFSSGLPGALSQVAVTTLTRDSGAWVSHVANSVGPGLANNSALLGADPEAPAGRCLPLPPSLPVCGHLGISRFWLPNHLHHESGEQVRAGARAWGGLLQTHCHPFLAWFFCLLLVPPCGSVPPPAPPPCCQFCEALQDACWSRLGGGRLPVACASLPTQEDGYCVLIGPAAERISEEVGLLQLLGDPPPQQVTQTDDPDVGLAYVFGPDANSGQVARYHFPSLFFRDFSLLFHIRPATEGPGVLFAITDSAQAMVLLGVKLSGVQDGHQDISLLYTEPGAGQTHTAASFRLPAFVGQWTHLALSVAGGFVALYVDCEEFQRMPLARSSRGLELEPGAGLFVAQAGGADPDKFQGVIAELKVRRDPQVSPMHCLDEEGDDSDGASGDSGSGLGDARELLREETGAALKPRLPAPPPVTTPPLAGGSSTEDSRSEEVEEQTTVASLGAQTLPGSDSVSTWDGSVRTPGGRVKEGGLKGQKGEPGVPGPPGRAGPPGSPCLPGPPGLPCPVSPLGPAGPALQTVPGPQGPPGPPGRDGTPGRDGEPGDPGEDGKPGDTGPQGFPGTPGDVGPKGDKGDPGVGERGPPGPQGPPGPPGPSFRHDKLTFIDMEGSGFGGDLEALRGPRGFPGPPGPPGVPGLPGEPGRFGVNSSDVPGPAGLPGVPGREGPPGFPGLPGPPGPPGREGPPGRTGQKGSLGEAGAPGHKGSKGAPGPAGARGESGLAGAPGPAGPPGPPGPPGPPGPGLPAGFDDMEGSGGPFWSTARSADGPQGPPGLPGLKGDPGVPGLPGAKGEVGADGVPGFPGLPGREGIAGPQGPKGDRGSRGEKGDPGKDGVGQPGLPGPPGPPGPVVYVSEQDGSVLSVPGPEGRPGFAGFPGPAGPKGNLGSKGERGSPGPKGEKGEPGSIFSPDGGALGPAQKGAKGEPGFRGPPGPYGRPGYKGEIGFPGRPGRPGMNGLKGEKGEPGDASLGFGMRGMPGPPGPPGPPGPPGTPVYDSNVFAESSRPGPPGLPGNQGPPGPKGAKGEVGPPGPPGQFPFDFLQLEAEMKGEKGDRGDAGQKGERGEPGGGGFFGSSLPGPPGPPGPPGPRGYPGIPGPKGESIRGQPGPPGPQGPPGIGYEGRQGPPGPPGPPGPPSFPGPHRQTISVPGPPGPPGPPGPPGTMGASSGVRLWATRQAMLGQVHEVPEGWLIFVAEQEELYVRVQNGFRKVQLEARTPLPRGTDNEVAALQPPVVQLHDSNPYPRREHPHPTARPWRADDILASPPRLPEPQPYPGAPHHSSYVHLRPARPTSPPAHSHRDFQPVLHLVALNSPLSGGMRGIRGADFQCFQQARAVGLAGTFRAFLSSRLQDLYSIVRRADRAAVPIVNLKDELLFPSWEALFSGSEGPLKPGARIFSFDGKDVLRHPTWPQKSVWHGSDPNGRRLTESYCETWRTEAPSATGQASSLLGGRLLGQSAASCHHAYIVLCIENSFMTASK
+>DECOY_sp|P39060|COIA1_HUMAN Collagen alpha-1(XVIII) chain OS=Homo sapiens OX=9606 GN=COL18A1 PE=1 SV=5
+KSATMFSNEICLVIYAHHCSAASQGLLRGGLLSSAQGTASPAETRWTECYSETLRRGNPDSGHWVSKQPWTPHRLVDKGDFSFIRAGPKLPGESGSFLAEWSPFLLEDKLNVIPVAARDARRVISYLDQLRSSLFARFTGALGVARAQQFCQFDAGRIGRMGGSLPSNLAVLHLVPQFDRHSHAPPSTPRAPRLHVYSSHHPAGPYPQPEPLRPPSALIDDARWPRATPHPHERRPYPNSDHLQVVPPQLAAVENDTGRPLPTRAELQVKRFGNQVRVYLEEQEAVFILWGEPVEHVQGLMAQRTAWLRVGSSAGMTGPPGPPGPPGPPGPVSITQRHPGPFSPPGPPGPPGPPGQRGEYGIGPPGQPGPPGPQGRISEGKPGPIGPYGRPGPPGPPGPPGPLSSGFFGGGGPEGREGKQGADGRDGKEGKMEAELQLFDFPFQGPPGPPGVEGKAGKPGPPGQNGPLGPPGPRSSEAFVNSDYVPTGPPGPPGPPGPPGPMGRMGFGLSADGPEGKEGKLGNMGPRGPRGPFGIEGKYGPRGYPGPPGRFGPEGKAGKQAPGLAGGDPSFISGPEGKEGKPGPSGREGKSGLNGKPGAPGPFGAFGPRGEPGPVSLVSGDQESVYVVPGPPGPPGPLGPQGVGDKGPDGKEGRSGRDGKPGQPGAIGERGPLGPFGPVGDAGVEGKAGPLGPVGPDGKLGPLGPPGQPGDASRATSWFPGGSGEMDDFGAPLGPGPPGPPGPPGPPGAPGPAGALGSEGRAGAPGPAGKSGKHGPAGAEGLSGKQGTRGPPGERGPPGPPGPLGPFGPPGERGPVGPLGAPGPVDSSNVGFRGPEGPLGPVGPPGPPGPFGRPGRLAELDGGFGSGEMDIFTLKDHRFSPGPPGPPGQPGPPGREGVGPDGKDGKPGVDGPTGPFGQPGTDGPKGDEGPDGPEGDRGPTGDRGPPGPPGQPGPVTQLAPGAPGLPSVPCPLGPPGPLCPSGPPGARGPPGPVGPEGKQGKLGGEKVRGGPTRVSGDWTSVSDSGPLTQAGLSAVTTQEEVEESRSDETSSGGALPPTTVPPPAPLRPKLAAGTEERLLERADGLGSGSDGSAGDSDDGEEDLCHMPSVQPDRRVKLEAIVGQFKDPDAGGAQAVFLGAGPELELGRSSRALPMRQFEECDVYLAVFGGAVSLALHTWQGVFAPLRFSAATHTQGAGPETYLLSIDQHGDQVGSLKVGLLVMAQASDTIAFLVGPGETAPRIHFLLSFDRFFLSPFHYRAVQGSNADPGFVYALGVDPDDTQTVQQPPPDGLLQLLGVEESIREAAPGILVCYGDEQTPLSACAVPLRGGGLRSWCADQLAECFQCCPPPAPPPVSGCPPVLLLCFFWALFPHCHTQLLGGWARAGARVQEGSEHHLHNPLWFRSIGLHGCVPLSPPLPLCRGAPAEPDAGLLASNNALGPGVSNAVHSVWAGSDRTLTTVAVQSLAGPLGSSFASPAAHKGLSGMVLPHLLPTRLRVDPRQLQQSPAAAAPSLGQSDPDQLSGWPPAGGLLSSLSARGSSPPPVSPGTLPAWPRGLSPPSPTPAPLTGAETTHAGPSSPIGRSPWLTTGNEQPTSSPGALALPGVPTELVLTEARALSETPVTDNWLQVFSRIGKAVNLIEAGVGAINEEPADPSEASTPTDQGDELLESPPEPSGPATAPETSGNRPTVHTTTDATPQVPLPGQPEPITTAAHSTDENNFWLWNLNLLNARAAALCCFLLLLIHCGCPYPAM
+>sp|Q14993|COJA1_HUMAN Collagen alpha-1(XIX) chain OS=Homo sapiens OX=9606 GN=COL19A1 PE=1 SV=3
+MRLTGPWKLWLWMSIFLLPASTSVTVRDKTEESCPILRIEGHQLTYDNINKLEVSGFDLGDSFSLRRAFCESDKTCFKLGSALLIRDTIKIFPKGLPEEYSVAAMFRVRRNAKKERWFLWQVLNQQNIPQISIVVDGGKKVVEFMFQATEGDVLNYIFRNRELRPLFDRQWHKLGISIQSQVISLYMDCNLIARRQTDEKDTVDFHGRTVIATRASDGKPVDIELHQLKIYCSANLIAQETCCEISDTKCPEQDGFGNIASSWVTAHASKMSSYLPAKQELKDQCQCIPNKGEAGLPGAPGSPGQKGHKGEPGENGLHGAPGFPGQKGEQGFEGSKGETGEKGEQGEKGDPALAGLNGENGLKGDLGPHGPPGPKGEKGDTGPPGPPALPGSLGIQGPQGPPGKEGQRGRRGKTGPPGKPGPPGPPGPPGIQGIHQTLGGYYNKDNKGNDEHEAGGLKGDKGETGLPGFPGSVGPKGQKGEPGEPFTKGEKGDRGEPGVIGSQGVKGEPGDPGPPGLIGSPGLKGQQGSAGSMGPRGPPGDVGLPGEHGIPGKQGIKGEKGDPGGIIGPPGLPGPKGEAGPPGKSLPGEPGLDGNPGAPGPRGPKGERGLPGVHGSPGDIGPQGIGIPGRTGAQGPAGEPGIQGPRGLPGLPGTPGTPGNDGVPGRDGKPGLPGPPGDPIALPLLGDIGALLKNFCGNCQASVPGLKSNKGEEGGAGEPGKYDSMARKGDIGPRGPPGIPGREGPKGSKGERGYPGIPGEKGDEGLQGIPGIPGAPGPTGPPGLMGRTGHPGPTGAKGEKGSDGPPGKPGPPGPPGIPFNERNGMSSLYKIKGGVNVPSYPGPPGPPGPKGDPGPVGEPGAMGLPGLEGFPGVKGDRGPAGPPGIAGMSGKPGAPGPPGVPGEPGERGPVGDIGFPGPEGPSGKPGINGKDGIPGAQGIMGKPGDRGPKGERGDQGIPGDRGSQGERGKPGLTGMKGAIGPMGPPGNKGSMGSPGHQGPPGSPGIPGIPADAVSFEEIKKYINQEVLRIFEERMAVFLSQLKLPAAMLAAQAYGRPGPPGKDGLPGPPGDPGPQGYRGQKGERGEPGIGLPGSPGLPGTSALGLPGSPGAPGPQGPPGPSGRCNPEDCLYPVSHAHQRTGGN
+>DECOY_sp|Q14993|COJA1_HUMAN Collagen alpha-1(XIX) chain OS=Homo sapiens OX=9606 GN=COL19A1 PE=1 SV=3
+NGGTRQHAHSVPYLCDEPNCRGSPGPPGQPGPAGPSGPLGLASTGPLGPSGPLGIGPEGREGKQGRYGQPGPDGPPGPLGDKGPPGPRGYAQAALMAAPLKLQSLFVAMREEFIRLVEQNIYKKIEEFSVADAPIGPIGPSGPPGQHGPSGMSGKNGPPGMPGIAGKMGTLGPKGREGQSGRDGPIGQDGREGKPGRDGPKGMIGQAGPIGDKGNIGPKGSPGEPGPFGIDGVPGREGPEGPVGPPGPAGPKGSMGAIGPPGAPGRDGKVGPFGELGPLGMAGPEGVPGPDGKPGPPGPPGPYSPVNVGGKIKYLSSMGNRENFPIGPPGPPGPKGPPGDSGKEGKAGTPGPHGTRGMLGPPGTPGPAGPIGPIGQLGEDGKEGPIGPYGREGKSGKPGERGPIGPPGRPGIDGKRAMSDYKGPEGAGGEEGKNSKLGPVSAQCNGCFNKLLAGIDGLLPLAIPDGPPGPLGPKGDRGPVGDNGPTGPTGPLGPLGRPGQIGPEGAPGQAGTRGPIGIGQPGIDGPSGHVGPLGREGKPGRPGPAGPNGDLGPEGPLSKGPPGAEGKPGPLGPPGIIGGPDGKEGKIGQKGPIGHEGPLGVDGPPGRPGMSGASGQQGKLGPSGILGPPGPDGPEGKVGQSGIVGPEGRDGKEGKTFPEGPEGKQGKPGVSGPFGPLGTEGKDGKLGGAEHEDNGKNDKNYYGGLTQHIGQIGPPGPPGPPGPKGPPGTKGRRGRQGEKGPPGQPGQIGLSGPLAPPGPPGTDGKEGKPGPPGHPGLDGKLGNEGNLGALAPDGKEGQEGKEGTEGKSGEFGQEGKQGPFGPAGHLGNEGPEGKHGKQGPSGPAGPLGAEGKNPICQCQDKLEQKAPLYSSMKSAHATVWSSAINGFGDQEPCKTDSIECCTEQAILNASCYIKLQHLEIDVPKGDSARTAIVTRGHFDVTDKEDTQRRAILNCDMYLSIVQSQISIGLKHWQRDFLPRLERNRFIYNLVDGETAQFMFEVVKKGGDVVISIQPINQQNLVQWLFWREKKANRRVRFMAAVSYEEPLGKPFIKITDRILLASGLKFCTKDSECFARRLSFSDGLDFGSVELKNINDYTLQHGEIRLIPCSEETKDRVTVSTSAPLLFISMWLWLKWPGTLRM
+>sp|P04118|COL_HUMAN Colipase OS=Homo sapiens OX=9606 GN=CLPS PE=1 SV=2
+MEKILILLLVALSVAYAAPGPRGIIINLENGELCMNSAQCKSNCCQHSSALGLARCTSMASENSECSVKTLYGIYYKCPCERGLTCEGDKTIVGSITNTNFGICHDAGRSKQ
+>DECOY_sp|P04118|COL_HUMAN Colipase OS=Homo sapiens OX=9606 GN=CLPS PE=1 SV=2
+QKSRGADHCIGFNTNTISGVITKDGECTLGRECPCKYYIGYLTKVSCESNESAMSTCRALGLASSHQCCNSKCQASNMCLEGNELNIIIGRPGPAAYAVSLAVLLLILIKEM
+>sp|Q7Z4G1|COMD6_HUMAN COMM domain-containing protein 6 OS=Homo sapiens OX=9606 GN=COMMD6 PE=1 SV=1
+MEASSEPPLDAKSDVTNQLVDFQWKLGMAVSSDTCRSLKYPYVAVMLKVADHSGQVKTKCFEMTIPQFQNFYRQFKEIAAVIETV
+>DECOY_sp|Q7Z4G1|COMD6_HUMAN COMM domain-containing protein 6 OS=Homo sapiens OX=9606 GN=COMMD6 PE=1 SV=1
+VTEIVAAIEKFQRYFNQFQPITMEFCKTKVQGSHDAVKLMVAVYPYKLSRCTDSSVAMGLKWQFDVLQNTVDSKADLPPESSAEM
+>sp|O15431|COPT1_HUMAN High affinity copper uptake protein 1 OS=Homo sapiens OX=9606 GN=SLC31A1 PE=1 SV=1
+MDHSHHMGMSYMDSNSTMQPSHHHPTTSASHSHGGGDSSMMMMPMTFYFGFKNVELLFSGLVINTAGEMAGAFVAVFLLAMFYEGLKIARESLLRKSQVSIRYNSMPVPGPNGTILMETHKTVGQQMLSFPHLLQTVLHIIQVVISYFLMLIFMTYNGYLCIAVAAGAGTGYFLFSWKKAVVVDITEHCH
+>DECOY_sp|O15431|COPT1_HUMAN High affinity copper uptake protein 1 OS=Homo sapiens OX=9606 GN=SLC31A1 PE=1 SV=1
+HCHETIDVVVAKKWSFLFYGTGAGAAVAICLYGNYTMFILMLFYSIVVQIIHLVTQLLHPFSLMQQGVTKHTEMLITGNPGPVPMSNYRISVQSKRLLSERAIKLGEYFMALLFVAVFAGAMEGATNIVLGSFLLEVNKFGFYFTMPMMMMSSDGGGHSHSASTTPHHHSPQMTSNSDMYSMGMHHSHDM
+>sp|Q96H96|COQ2_HUMAN 4-hydroxybenzoate polyprenyltransferase, mitochondrial OS=Homo sapiens OX=9606 GN=COQ2 PE=1 SV=1
+MLGSRAAGFARGLRALALAWLPGWRGRSFALARAAGAPHGGDLQPPACPEPRGRQLSLSAAAVVDSAPRPLQPYLRLMRLDKPIGTWLLYLPCTWSIGLAAEPGCFPDWYMLSLFGTGAILMRGAGCTINDMWDQDYDKKVTRTANRPIAAGDISTFQSFVFLGGQLTLALGVLLCLNYYSIALGAGSLLLVITYPLMKRISYWPQLALGLTFNWGALLGWSAIKGSCDPSVCLPLYFSGVMWTLIYDTIYAHQDKRDDVLIGLKSTALRFGENTKPWLSGFSVAMLGALSLVGVNSGQTAPYYAALGAVGAHLTHQIYTLDIHRPEDCWNKFISNRTLGLIVFLGIVLGNLWKEKKTDKTKKGIENKIEN
+>DECOY_sp|Q96H96|COQ2_HUMAN 4-hydroxybenzoate polyprenyltransferase, mitochondrial OS=Homo sapiens OX=9606 GN=COQ2 PE=1 SV=1
+NEIKNEIGKKTKDTKKEKWLNGLVIGLFVILGLTRNSIFKNWCDEPRHIDLTYIQHTLHAGVAGLAAYYPATQGSNVGVLSLAGLMAVSFGSLWPKTNEGFRLATSKLGILVDDRKDQHAYITDYILTWMVGSFYLPLCVSPDCSGKIASWGLLAGWNFTLGLALQPWYSIRKMLPYTIVLLLSGAGLAISYYNLCLLVGLALTLQGGLFVFSQFTSIDGAAIPRNATRTVKKDYDQDWMDNITCGAGRMLIAGTGFLSLMYWDPFCGPEAALGISWTCPLYLLWTGIPKDLRMLRLYPQLPRPASDVVAAASLSLQRGRPEPCAPPQLDGGHPAGAARALAFSRGRWGPLWALALARLGRAFGAARSGLM
+>sp|Q6QEF8|CORO6_HUMAN Coronin-6 OS=Homo sapiens OX=9606 GN=CORO6 PE=1 SV=2
+MSRRVVRQSKFRHVFGQAAKADQAYEDIRVSKVTWDSSFCAVNPKFLAIIVEAGGGGAFIVLPLAKTGRVDKNYPLVTGHTAPVLDIDWCPHNDNVIASASDDTTIMVWQIPDYTPMRNITEPIITLEGHSKRVGILSWHPTARNVLLSAGGDNVIIIWNVGTGEVLLSLDDMHPDVIHSVCWNSNGSLLATTCKDKTLRIIDPRKGQVVAEQARPHEGARPLRAVFTADGKLLSTGFSRMSERQLALWDPNNFEEPVALQEMDTSNGVLLPFYDPDSSIVYLCGKGDSSIRYFEITDEPPFVHYLNTFSSKEPQRGMGFMPKRGLDVSKCEIARFYKLHERKCEPIIMTVPRKSDLFQDDLYPDTPGPEPALEADEWLSGQDAEPVLISLRDGYVPPKHRELRVTKRNILDVRPPSGPRRSQSASDAPLSQQHTLETLLEEIKALRERVQAQEQRITALENMLCELVDGTD
+>DECOY_sp|Q6QEF8|CORO6_HUMAN Coronin-6 OS=Homo sapiens OX=9606 GN=CORO6 PE=1 SV=2
+DTGDVLECLMNELATIRQEQAQVRERLAKIEELLTELTHQQSLPADSASQSRRPGSPPRVDLINRKTVRLERHKPPVYGDRLSILVPEADQGSLWEDAELAPEPGPTDPYLDDQFLDSKRPVTMIIPECKREHLKYFRAIECKSVDLGRKPMFGMGRQPEKSSFTNLYHVFPPEDTIEFYRISSDGKGCLYVISSDPDYFPLLVGNSTDMEQLAVPEEFNNPDWLALQRESMRSFGTSLLKGDATFVARLPRAGEHPRAQEAVVQGKRPDIIRLTKDKCTTALLSGNSNWCVSHIVDPHMDDLSLLVEGTGVNWIIIVNDGGASLLVNRATPHWSLIGVRKSHGELTIIPETINRMPTYDPIQWVMITTDDSASAIVNDNHPCWDIDLVPATHGTVLPYNKDVRGTKALPLVIFAGGGGAEVIIALFKPNVACFSSDWTVKSVRIDEYAQDAKAAQGFVHRFKSQRVVRRSM
+>sp|P00395|COX1_HUMAN Cytochrome c oxidase subunit 1 OS=Homo sapiens OX=9606 GN=MT-CO1 PE=1 SV=1
+MFADRWLFSTNHKDIGTLYLLFGAWAGVLGTALSLLIRAELGQPGNLLGNDHIYNVIVTAHAFVMIFFMVMPIMIGGFGNWLVPLMIGAPDMAFPRMNNMSFWLLPPSLLLLLASAMVEAGAGTGWTVYPPLAGNYSHPGASVDLTIFSLHLAGVSSILGAINFITTIINMKPPAMTQYQTPLFVWSVLITAVLLLLSLPVLAAGITMLLTDRNLNTTFFDPAGGGDPILYQHLFWFFGHPEVYILILPGFGMISHIVTYYSGKKEPFGYMGMVWAMMSIGFLGFIVWAHHMFTVGMDVDTRAYFTSATMIIAIPTGVKVFSWLATLHGSNMKWSAAVLWALGFIFLFTVGGLTGIVLANSSLDIVLHDTYYVVAHFHYVLSMGAVFAIMGGFIHWFPLFSGYTLDQTYAKIHFTIMFIGVNLTFFPQHFLGLSGMPRRYSDYPDAYTTWNILSSVGSFISLTAVMLMIFMIWEAFASKRKVLMVEEPSMNLEWLYGCPPPYHTFEEPVYMKS
+>DECOY_sp|P00395|COX1_HUMAN Cytochrome c oxidase subunit 1 OS=Homo sapiens OX=9606 GN=MT-CO1 PE=1 SV=1
+SKMYVPEEFTHYPPPCGYLWELNMSPEEVMLVKRKSAFAEWIMFIMLMVATLSIFSGVSSLINWTTYADPYDSYRRPMGSLGLFHQPFFTLNVGIFMITFHIKAYTQDLTYGSFLPFWHIFGGMIAFVAGMSLVYHFHAVVYYTDHLVIDLSSNALVIGTLGGVTFLFIFGLAWLVAASWKMNSGHLTALWSFVKVGTPIAIIMTASTFYARTDVDMGVTFMHHAWVIFGLFGISMMAWVMGMYGFPEKKGSYYTVIHSIMGFGPLILIYVEPHGFFWFLHQYLIPDGGGAPDFFTTNLNRDTLLMTIGAALVPLSLLLLVATILVSWVFLPTQYQTMAPPKMNIITTIFNIAGLISSVGALHLSFITLDVSAGPHSYNGALPPYVTWGTGAGAEVMASALLLLLSPPLLWFSMNNMRPFAMDPAGIMLPVLWNGFGGIMIPMVMFFIMVFAHATVIVNYIHDNGLLNGPQGLEARILLSLATGLVGAWAGFLLYLTGIDKHNTSFLWRDAFM
+>sp|Q5RI15|COX20_HUMAN Cytochrome c oxidase assembly protein COX20, mitochondrial OS=Homo sapiens OX=9606 GN=COX20 PE=1 SV=2
+MAAPPEPGEPEERKSLKLLGFLDVENTPCARHSILYGSLGSVVAGFGHFLFTSRIRRSCDVGVGGFILVTLGCWFHCRYNYAKQRIQERIAREEIKKKILYEGTHLDPERKHNGSSSN
+>DECOY_sp|Q5RI15|COX20_HUMAN Cytochrome c oxidase assembly protein COX20, mitochondrial OS=Homo sapiens OX=9606 GN=COX20 PE=1 SV=2
+NSSSGNHKREPDLHTGEYLIKKKIEERAIREQIRQKAYNYRCHFWCGLTVLIFGGVGVDCSRRIRSTFLFHGFGAVVSGLSGYLISHRACPTNEVDLFGLLKLSKREEPEGPEPPAAM
+>sp|P09669|COX6C_HUMAN Cytochrome c oxidase subunit 6C OS=Homo sapiens OX=9606 GN=COX6C PE=1 SV=2
+MAPEVLPKPRMRGLLARRLRNHMAVAFVLSLGVAALYKFRVADQRKKAYADFYRNYDVMKDFEEMRKAGIFQSVK
+>DECOY_sp|P09669|COX6C_HUMAN Cytochrome c oxidase subunit 6C OS=Homo sapiens OX=9606 GN=COX6C PE=1 SV=2
+KVSQFIGAKRMEEFDKMVDYNRYFDAYAKKRQDAVRFKYLAAVGLSLVFAVAMHNRLRRALLGRMRPKPLVEPAM
+>sp|P15954|COX7C_HUMAN Cytochrome c oxidase subunit 7C, mitochondrial OS=Homo sapiens OX=9606 GN=COX7C PE=1 SV=1
+MLGQSIRRFTTSVVRRSHYEEGPGKNLPFSVENKWSLLAKMCLYFGSAFATPFLVVRHQLLKT
+>DECOY_sp|P15954|COX7C_HUMAN Cytochrome c oxidase subunit 7C, mitochondrial OS=Homo sapiens OX=9606 GN=COX7C PE=1 SV=1
+TKLLQHRVVLFPTAFASGFYLCMKALLSWKNEVSFPLNKGPGEEYHSRRVVSTTFRRISQGLM
+>sp|P10176|COX8A_HUMAN Cytochrome c oxidase subunit 8A, mitochondrial OS=Homo sapiens OX=9606 GN=COX8A PE=1 SV=2
+MSVLTPLLLRGLTGSARRLPVPRAKIHSLPPEGKLGIMELAVGLTSCFVTFLLPAGWILSHLETYRRPE
+>DECOY_sp|P10176|COX8A_HUMAN Cytochrome c oxidase subunit 8A, mitochondrial OS=Homo sapiens OX=9606 GN=COX8A PE=1 SV=2
+EPRRYTELHSLIWGAPLLFTVFCSTLGVALEMIGLKGEPPLSHIKARPVPLRRASGTLGRLLLPTLVSM
+>sp|Q5SY13|COAS1_HUMAN Putative uncharacterized protein encoded by COL5A1-AS1 OS=Homo sapiens OX=9606 GN=COL5A1-AS1 PE=5 SV=1
+MRRPWEKKEATRNPIAEVLARKKRTEELISLQEHGSRKQKIKEASISWAETSRQIG
+>DECOY_sp|Q5SY13|COAS1_HUMAN Putative uncharacterized protein encoded by COL5A1-AS1 OS=Homo sapiens OX=9606 GN=COL5A1-AS1 PE=5 SV=1
+GIQRSTEAWSISAEKIKQKRSGHEQLSILEETRKKRALVEAIPNRTAEKKEWPRRM
+>sp|Q13057|COASY_HUMAN Bifunctional coenzyme A synthase OS=Homo sapiens OX=9606 GN=COASY PE=1 SV=4
+MAVFRSGLLVLTTPLASLAPRLASILTSAARLVNHTLYVHLQPGMSLEGPAQPQSSPVQATFEVLDFITHLYAGADVHRHLDVRILLTNIRTKSTFLPPLPTSVQNLAHPPEVVLTDFQTLDGSQYNPVKQQLVRYATSCYSCCPRLASVLLYSDYGIGEVPVEPLDVPLPSTIRPASPVAGSPKQPVRGYYRGAVGGTFDRLHNAHKVLLSVACILAQEQLVVGVADKDLLKSKLLPELLQPYTERVEHLSEFLVDIKPSLTFDVIPLLDPYGPAGSDPSLEFLVVSEETYRGGMAINRFRLENDLEELALYQIQLLKDLRHTENEEDKVSSSSFRQRMLGNLLRPPYERPELPTCLYVIGLTGISGSGKSSIAQRLKGLGAFVIDSDHLGHRAYAPGGPAYQPVVEAFGTDILHKDGIINRKVLGSRVFGNKKQLKILTDIMWPIIAKLAREEMDRAVAEGKRVCVIDAAVLLEAGWQNLVHEVWTAVIPETEAVRRIVERDGLSEAAAQSRLQSQMSGQQLVEQSHVVLSTLWEPHITQRQVEKAWALLQKRIPKTHQALD
+>DECOY_sp|Q13057|COASY_HUMAN Bifunctional coenzyme A synthase OS=Homo sapiens OX=9606 GN=COASY PE=1 SV=4
+DLAQHTKPIRKQLLAWAKEVQRQTIHPEWLTSLVVHSQEVLQQGSMQSQLRSQAAAESLGDREVIRRVAETEPIVATWVEHVLNQWGAELLVAADIVCVRKGEAVARDMEERALKAIIPWMIDTLIKLQKKNGFVRSGLVKRNIIGDKHLIDTGFAEVVPQYAPGGPAYARHGLHDSDIVFAGLGKLRQAISSKGSGSIGTLGIVYLCTPLEPREYPPRLLNGLMRQRFSSSSVKDEENETHRLDKLLQIQYLALEELDNELRFRNIAMGGRYTEESVVLFELSPDSGAPGYPDLLPIVDFTLSPKIDVLFESLHEVRETYPQLLEPLLKSKLLDKDAVGVVLQEQALICAVSLLVKHANHLRDFTGGVAGRYYGRVPQKPSGAVPSAPRITSPLPVDLPEVPVEGIGYDSYLLVSALRPCCSYCSTAYRVLQQKVPNYQSGDLTQFDTLVVEPPHALNQVSTPLPPLFTSKTRINTLLIRVDLHRHVDAGAYLHTIFDLVEFTAQVPSSQPQAPGELSMGPQLHVYLTHNVLRAASTLISALRPALSALPTTLVLLGSRFVAM
+>sp|Q5TAT6|CODA1_HUMAN Collagen alpha-1(XIII) chain OS=Homo sapiens OX=9606 GN=COL13A1 PE=1 SV=1
+MVAERTHKAAATGARGPGELGAPGTVALVAARAERGARLPSPGSCGLLTLALCSLALSLLAHFRTAELQARVLRLEAERGEQQMETAILGRVNQLLDEKWKLHSRRRREAPKTSPGCNCPPGPPGPTGRPGLPGDKGAIGMPGRVGSPGDAGLSIIGPRGPPGQPGTRGFPGFPGPIGLDGKPGHPGPKGDMGLTGPPGQPGPQGQKGEKGQCGEYPHRECLSSMPAALRSSQIIALKLLPLLNSVRLAPPPVIKRRTFQGEQSQASIQGPPGPPGPPGPSGPLGHPGLPGPMGPPGLPGPPGPKGDPGIQGYHGRKGERGMPGMPGKHGAKGAPGIAVAGMKGEPGIPGTKGEKGAEGSPGLPGLLGQKGEKGDAGNSIGGGRGEPGPPGLPGPPGPKGEAGVDGQVGPPGQPGDKGERGAAGEQGPDGPKGSKGEPGKGEMVDYNGNINEALQEIRTLALMGPPGLPGQIGPPGAPGIPGQKGEIGLPGPPGHDGEKGPRGKPGDMGPPGPQGPPGKDGPPGVKGENGHPGSPGEKGEKGETGQAGSPGEKGEAGEKGNPGAEVPGLPGPEGPPGPPGLQGVPGPKGEAGLDGAKGEKGFQGEKGDRGPLGLPGASGLDGRPGPPGTPGPIGVPGPAGPKGERGSKGDPGMTGPTGAAGLPGLHGPPGDKGNRGERGKKGSRGPKGDKGDQGAPGLDAPCPLGEDGLPVQGCWNK
+>DECOY_sp|Q5TAT6|CODA1_HUMAN Collagen alpha-1(XIII) chain OS=Homo sapiens OX=9606 GN=COL13A1 PE=1 SV=1
+KNWCGQVPLGDEGLPCPADLGPAGQDGKDGKPGRSGKKGREGRNGKDGPPGHLGPLGAAGTPGTMGPDGKSGREGKPGAPGPVGIPGPTGPPGPRGDLGSAGPLGLPGRDGKEGQFGKEGKAGDLGAEGKPGPVGQLGPPGPPGEPGPLGPVEAGPNGKEGAEGKEGPSGAQGTEGKEGKEGPSGPHGNEGKVGPPGDKGPPGQPGPPGMDGPKGRPGKEGDHGPPGPLGIEGKQGPIGPAGPPGIQGPLGPPGMLALTRIEQLAENINGNYDVMEGKGPEGKSGKPGDPGQEGAAGREGKDGPQGPPGVQGDVGAEGKPGPPGPLGPPGPEGRGGGISNGADGKEGKQGLLGPLGPSGEAGKEGKTGPIGPEGKMGAVAIGPAGKAGHKGPMGPMGREGKRGHYGQIGPDGKPGPPGPLGPPGMPGPLGPHGLPGSPGPPGPPGPPGQISAQSQEGQFTRRKIVPPPALRVSNLLPLLKLAIIQSSRLAAPMSSLCERHPYEGCQGKEGKQGQPGPQGPPGTLGMDGKPGPHGPKGDLGIPGPFGPFGRTGPQGPPGRPGIISLGADGPSGVRGPMGIAGKDGPLGPRGTPGPPGPPCNCGPSTKPAERRRRSHLKWKEDLLQNVRGLIATEMQQEGREAELRLVRAQLEATRFHALLSLALSCLALTLLGCSGPSPLRAGREARAAVLAVTGPAGLEGPGRAGTAAAKHTREAVM
+>sp|Q9UH73|COE1_HUMAN Transcription factor COE1 OS=Homo sapiens OX=9606 GN=EBF1 PE=1 SV=2
+MFGIQESIQRSGSSMKEEPLGSGMNAVRTWMQGAGVLDANTAAQSGVGLARAHFEKQPPSNLRKSNFFHFVLALYDRQGQPVEIERTAFVGFVEKEKEANSEKTNNGIHYRLQLLYSNGIRTEQDFYVRLIDSMTKQAIVYEGQDKNPEMCRVLLTHEIMCSRCCDKKSCGNRNETPSDPVIIDRFFLKFFLKCNQNCLKNAGNPRDMRRFQVVVSTTVNVDGHVLAVSDNMFVHNNSKHGRRARRLDPSEGTPSYLEHATPCIKAISPSEGWTTGGATVIIIGDNFFDGLQVIFGTMLVWSELITPHAIRVQTPPRHIPGVVEVTLSYKSKQFCKGTPGRFIYTALNEPTIDYGFQRLQKVIPRHPGDPERLPKEVILKRAADLVEALYGMPHNNQEIILKRAADIAEALYSVPRNHNQLPALANTSVHAGMMGVNSFSGQLAVNVSEASQATNQGFTRNSSSVSPHGYVPSTTPQQTNYNSVTTSMNGYGSAAMSNLGGSPTFLNGSAANSPYAIVPSSPTMASSTSLPSNCSSSSGIFSFSPANMVSAVKQKSAFAPVVRPQTSPPPTCTSTNGNSLQAISGMIVPPM
+>DECOY_sp|Q9UH73|COE1_HUMAN Transcription factor COE1 OS=Homo sapiens OX=9606 GN=EBF1 PE=1 SV=2
+MPPVIMGSIAQLSNGNTSTCTPPPSTQPRVVPAFASKQKVASVMNAPSFSFIGSSSSCNSPLSTSSAMTPSSPVIAYPSNAASGNLFTPSGGLNSMAASGYGNMSTTVSNYNTQQPTTSPVYGHPSVSSSNRTFGQNTAQSAESVNVALQGSFSNVGMMGAHVSTNALAPLQNHNRPVSYLAEAIDAARKLIIEQNNHPMGYLAEVLDAARKLIVEKPLREPDGPHRPIVKQLRQFGYDITPENLATYIFRGPTGKCFQKSKYSLTVEVVGPIHRPPTQVRIAHPTILESWVLMTGFIVQLGDFFNDGIIIVTAGGTTWGESPSIAKICPTAHELYSPTGESPDLRRARRGHKSNNHVFMNDSVALVHGDVNVTTSVVVQFRRMDRPNGANKLCNQNCKLFFKLFFRDIIVPDSPTENRNGCSKKDCCRSCMIEHTLLVRCMEPNKDQGEYVIAQKTMSDILRVYFDQETRIGNSYLLQLRYHIGNNTKESNAEKEKEVFGVFATREIEVPQGQRDYLALVFHFFNSKRLNSPPQKEFHARALGVGSQAATNADLVGAGQMWTRVANMGSGLPEEKMSSGSRQISEQIGFM
+>sp|P39059|COFA1_HUMAN Collagen alpha-1(XV) chain OS=Homo sapiens OX=9606 GN=COL15A1 PE=1 SV=2
+MAPRRNNGQCWCLLMLLSVSTPLPAVTQTRGATETASQGHLDLTQLIGVPLPSSVSFVTGYGGFPAYSFGPGANVGRPARTLIPSTFFRDFAISVVVKPSSTRGGVLFAITDAFQKVIYLGLRLSGVEDGHQRIILYYTEPGSHVSQEAAAFSVPVMTHRWNRFAMIVQGEEVTLLVNCEEHSRIPFQRSSQALAFESSAGIFMGNAGATGLERFTGSLQQLTVHPDPRTPEELCDPEESSASGETSGLQEADGVAEILEAVTYTQASPKEAKVEPINTPPTPSSPFEDMELSGEPVPEGTLETTNMSIIQHSSPKQGSGEILNDTLEGVHSVDGDPITDSGSGAGAFLDIAEEKNLAATAAGLAEVPISTAGEAEASSVPTGGPTLSMSTENPEEGVTPGPDNEERLAATAAGEAEALASMPGEVEASGVAPGELDLSMSAQSLGEEATVGPSSEDSLTTAAAATEVSLSTFEDEEASGVPTDGLAPLTATMAPERAVTSGPGDEEDLAAATTEEPLITAGGEESGSPPPDGPPLPLPTVAPERWITPAQREHVGMKGQAGPKGEKGDAGEELPGPPEPSGPVGPTAGAEAEGSGLGWGSDVGSGSGDLVGSEQLLRGPPGPPGPPGLPGIPGKPGTDVFMGPPGSPGEDGPAGEPGPPGPEGQPGVDGATGLPGMKGEKGARGPNGSVGEKGDPGNRGLPGPPGKKGQAGPPGVMGPPGPPGPPGPPGPGCTMGLGFEDTEGSGSTQLLNEPKLSRPTAAIGLKGEKGDRGPKGERGMDGASIVGPPGPRGPPGHIKVLSNSLINITHGFMNFSDIPELVGPPGPDGLPGLPGFPGPRGPKGDTGLPGFPGLKGEQGEKGEPGAILTEDIPLERLMGKKGEPGMHGAPGPMGPKGPPGHKGEFGLPGRPGRPGLNGLKGTKGDPGVIMQGPPGLPGPPGPPGPPGAVINIKGAIFPIPVRPHCKMPVDTAHPGSPELITFHGVKGEKGSWGLPGSKGEKGDQGAQGPPGPPLDLAYLRHFLNNLKGENGDKGFKGEKGEKGDINGSFLMSGPPGLPGNPGPAGQKGETVVGPQGPPGAPGLPGPPGFGRPGDPGPPGPPGPPGPPAILGAAVALPGPPGPPGQPGLPGSRNLVTAFSNMDDMLQKAHLVIEGTFIYLRDSTEFFIRVRDGWKKLQLGELIPIPADSPPPPALSSNPHQLLPPPNPISSANYEKPALHLAALNMPFSGDIRADFQCFKQARAAGLLSTYRAFLSSHLQDLSTIVRKAERYSLPIVNLKGQVLFNNWDSIFSGHGGQFNMHIPIYSFDGRDIMTDPSWPQKVIWHGSSPHGVRLVDNYCEAWRTADTAVTGLASPLSTGKILDQKAYSCANRLIVLCIENSFMTDARK
+>DECOY_sp|P39059|COFA1_HUMAN Collagen alpha-1(XV) chain OS=Homo sapiens OX=9606 GN=COL15A1 PE=1 SV=2
+KRADTMFSNEICLVILRNACSYAKQDLIKGTSLPSALGTVATDATRWAECYNDVLRVGHPSSGHWIVKQPWSPDTMIDRGDFSYIPIHMNFQGGHGSFISDWNNFLVQGKLNVIPLSYREAKRVITSLDQLHSSLFARYTSLLGAARAQKFCQFDARIDGSFPMNLAALHLAPKEYNASSIPNPPPLLQHPNSSLAPPPPSDAPIPILEGLQLKKWGDRVRIFFETSDRLYIFTGEIVLHAKQLMDDMNSFATVLNRSGPLGPQGPPGPPGPLAVAAGLIAPPGPPGPPGPPGPDGPRGFGPPGPLGPAGPPGQPGVVTEGKQGAPGPNGPLGPPGSMLFSGNIDGKEGKEGKFGKDGNEGKLNNLFHRLYALDLPPGPPGQAGQDGKEGKSGPLGWSGKEGKVGHFTILEPSGPHATDVPMKCHPRVPIPFIAGKINIVAGPPGPPGPPGPLGPPGQMIVGPDGKTGKLGNLGPRGPRGPLGFEGKHGPPGKPGMPGPAGHMGPEGKKGMLRELPIDETLIAGPEGKEGQEGKLGPFGPLGTDGKPGRPGPFGPLGPLGDPGPPGVLEPIDSFNMFGHTINILSNSLVKIHGPPGRPGPPGVISAGDMGREGKPGRDGKEGKLGIAATPRSLKPENLLQTSGSGETDEFGLGMTCGPGPPGPPGPPGPPGMVGPPGAQGKKGPPGPLGRNGPDGKEGVSGNPGRAGKEGKMGPLGTAGDVGPQGEPGPPGPEGAPGDEGPSGPPGMFVDTGPKGPIGPLGPPGPPGPPGRLLQESGVLDGSGSGVDSGWGLGSGEAEAGATPGVPGSPEPPGPLEEGADGKEGKPGAQGKMGVHERQAPTIWREPAVTPLPLPPGDPPPSGSEEGGATILPEETTAAALDEEDGPGSTVAREPAMTATLPALGDTPVGSAEEDEFTSLSVETAAAATTLSDESSPGVTAEEGLSQASMSLDLEGPAVGSAEVEGPMSALAEAEGAATAALREENDPGPTVGEEPNETSMSLTPGGTPVSSAEAEGATSIPVEALGAATAALNKEEAIDLFAGAGSGSDTIPDGDVSHVGELTDNLIEGSGQKPSSHQIISMNTTELTGEPVPEGSLEMDEFPSSPTPPTNIPEVKAEKPSAQTYTVAELIEAVGDAEQLGSTEGSASSEEPDCLEEPTRPDPHVTLQQLSGTFRELGTAGANGMFIGASSEFALAQSSRQFPIRSHEECNVLLTVEEGQVIMAFRNWRHTMVPVSFAAAEQSVHSGPETYYLIIRQHGDEVGSLRLGLYIVKQFADTIAFLVGGRTSSPKVVVSIAFDRFFTSPILTRAPRGVNAGPGFSYAPFGGYGTVFSVSSPLPVGILQTLDLHGQSATETAGRTQTVAPLPTSVSLLMLLCWCQGNNRRPAM
+>sp|Q07092|COGA1_HUMAN Collagen alpha-1(XVI) chain OS=Homo sapiens OX=9606 GN=COL16A1 PE=1 SV=2
+MWVSWAPGLWLLGLWATFGHGANTGAQCPPSQQEGLKLEHSSSLPANVTGFNLIHRLSLMKTSAIKKIRNPKGPLILRLGAAPVTQPTRRVFPRGLPEEFALVLTLLLKKHTHQKTWYLFQVTDANGYPQISLEVNSQERSLELRAQGQDGDFVSCIFPVPQLFDLRWHKLMLSVAGRVASVHVDCSSASSQPLGPRRPMRPVGHVFLGLDAEQGKPVSFDLQQVHIYCDPELVLEEGCCEILPAGCPPETSKARRDTQSNELIEINPQSEGKVYTRCFCLEEPQNSEVDAQLTGRISQKAERGAKVHQETAADECPPCVHGARDSNVTLAPSGPKGGKGERGLPGPPGSKGEKGARGNDCVRISPDAPLQCAEGPKGEKGESGALGPSGLPGSTGEKGQKGEKGDGGIKGVPGKPGRDGRPGEICVIGPKGQKGDPGFVGPEGLAGEPGPPGLPGPPGIGLPGTPGDPGGPPGPKGDKGSSGIPGKEGPGGKPGKPGVKGEKGDPCEVCPTLPEGFQNFVGLPGKPGPKGEPGDPVPARGDPGIQGIKGEKGEPCLSCSSVVGAQHLVSSTGASGDVGSPGFGLPGLPGRAGVPGLKGEKGNFGEAGPAGSPGPPGPVGPAGIKGAKGEPCEPCPALSNLQDGDVRVVALPGPSGEKGEPGPPGFGLPGKQGKAGERGLKGQKGDAGNPGDPGTPGTTGRPGLSGEPGVQGPAGPKGEKGDGCTACPSLQGTVTDMAGRPGQPGPKGEQGPEGVGRPGKPGQPGLPGVQGPPGLKGVQGEPGPPGRGVQGPQGEPGAPGLPGIQGLPGPRGPPGPTGEKGAQGSPGVKGATGPVGPPGASVSGPPGRDGQQGQTGLRGTPGEKGPRGEKGEPGECSCPSQGDLIFSGMPGAPGLWMGSSWQPGPQGPPGIPGPPGPPGVPGLQGVPGNNGLPGQPGLTAELGSLPIEQHLLKSICGDCVQGQRAHPGYLVEKGEKGDQGIPGVPGLDNCAQCFLSLERPRAEEARGDNSEGDPGCVGSPGLPGPPGLPGQRGEEGPPGMRGSPGPPGPIGPPGFPGAVGSPGLPGLQGERGLTGLTGDKGEPGPPGQPGYPGATGPPGLPGIKGERGYTGSAGEKGEPGPPGSEGLPGPPGPAGPRGERGPQGNSGEKGDQGFQGQPGFPGPPGPPGFPGKVGSPGPPGPQAEKGSEGIRGPSGLPGSPGPPGPPGIQGPAGLDGLDGKDGKPGLRGDPGPAGPPGLMGPPGFKGKTGHPGLPGPKGDCGKPGPPGSTGRPGAEGEPGAMGPQGRPGPPGHVGPPGPPGQPGPAGISAVGLKGDRGATGERGLAGLPGQPGPPGHPGPPGEPGTDGAAGKEGPPGKQGFYGPPGPKGDPGAAGQKGQAGEKGRAGMPGGPGKSGSMGPVGPPGPAGERGHPGAPGPSGSPGLPGVPGSMGDMVNYDEIKRFIRQEIIKMFDERMAYYTSRMQFPMEMAAAPGRPGPPGKDGAPGRPGAPGSPGLPGQIGREGRQGLPGVRGLPGTKGEKGDIGIGIAGENGLPGPPGPQGPPGYGKMGATGPMGQQGIPGIPGPPGPMGQPGKAGHCNPSDCFGAMPMEQQYPPMKTMKGPFG
+>DECOY_sp|Q07092|COGA1_HUMAN Collagen alpha-1(XVI) chain OS=Homo sapiens OX=9606 GN=COL16A1 PE=1 SV=2
+GFPGKMTKMPPYQQEMPMAGFCDSPNCHGAKGPQGMPGPPGPIGPIGQQGMPGTAGMKGYGPPGQPGPPGPLGNEGAIGIGIDGKEGKTGPLGRVGPLGQRGERGIQGPLGPSGPAGPRGPAGDKGPPGPRGPAAAMEMPFQMRSTYYAMREDFMKIIEQRIFRKIEDYNVMDGMSGPVGPLGPSGSPGPAGPHGREGAPGPPGVPGMSGSKGPGGPMGARGKEGAQGKQGAAGPDGKPGPPGYFGQKGPPGEKGAAGDTGPEGPPGPHGPPGPQGPLGALGREGTAGRDGKLGVASIGAPGPQGPPGPPGVHGPPGPRGQPGMAGPEGEAGPRGTSGPPGPKGCDGKPGPLGPHGTKGKFGPPGMLGPPGAPGPDGRLGPKGDKGDLGDLGAPGQIGPPGPPGPSGPLGSPGRIGESGKEAQPGPPGPSGVKGPFGPPGPPGPFGPQGQFGQDGKEGSNGQPGREGRPGAPGPPGPLGESGPPGPEGKEGASGTYGREGKIGPLGPPGTAGPYGPQGPPGPEGKDGTLGTLGREGQLGPLGPSGVAGPFGPPGIPGPPGPSGRMGPPGEEGRQGPLGPPGPLGPSGVCGPDGESNDGRAEEARPRELSLFCQACNDLGPVGPIGQDGKEGKEVLYGPHARQGQVCDGCISKLLHQEIPLSGLEATLGPQGPLGNNGPVGQLGPVGPPGPPGPIGPPGQPGPQWSSGMWLGPAGPMGSFILDGQSPCSCEGPEGKEGRPGKEGPTGRLGTQGQQGDRGPPGSVSAGPPGVPGTAGKVGPSGQAGKEGTPGPPGRPGPLGQIGPLGPAGPEGQPGQVGRGPPGPEGQVGKLGPPGQVGPLGPQGPKGPRGVGEPGQEGKPGPQGPRGAMDTVTGQLSPCATCGDGKEGKPGAPGQVGPEGSLGPRGTTGPTGPDGPNGADGKQGKLGREGAKGQKGPLGFGPPGPEGKEGSPGPLAVVRVDGDQLNSLAPCPECPEGKAGKIGAPGVPGPPGPSGAPGAEGFNGKEGKLGPVGARGPLGPLGFGPSGVDGSAGTSSVLHQAGVVSSCSLCPEGKEGKIGQIGPDGRAPVPDGPEGKPGPKGPLGVFNQFGEPLTPCVECPDGKEGKVGPKGPKGGPGEKGPIGSSGKDGKPGPPGGPDGPTGPLGIGPPGPLGPPGPEGALGEPGVFGPDGKQGKPGIVCIEGPRGDRGPKGPVGKIGGDGKEGKQGKEGTSGPLGSPGLAGSEGKEGKPGEACQLPADPSIRVCDNGRAGKEGKSGPPGPLGREGKGGKPGSPALTVNSDRAGHVCPPCEDAATEQHVKAGREAKQSIRGTLQADVESNQPEELCFCRTYVKGESQPNIEILENSQTDRRAKSTEPPCGAPLIECCGEELVLEPDCYIHVQQLDFSVPKGQEADLGLFVHGVPRMPRRPGLPQSSASSCDVHVSAVRGAVSLMLKHWRLDFLQPVPFICSVFDGDQGQARLELSREQSNVELSIQPYGNADTVQFLYWTKQHTHKKLLLTLVLAFEEPLGRPFVRRTPQTVPAAGLRLILPGKPNRIKKIASTKMLSLRHILNFGTVNAPLSSSHELKLGEQQSPPCQAGTNAGHGFTAWLGLLWLGPAWSVWM
+>sp|Q9Y6Z7|COL10_HUMAN Collectin-10 OS=Homo sapiens OX=9606 GN=COLEC10 PE=1 SV=2
+MNGFASLLRRNQFILLVLFLLQIQSLGLDIDSRPTAEVCATHTISPGPKGDDGEKGDPGEEGKHGKVGRMGPKGIKGELGDMGDQGNIGKTGPIGKKGDKGEKGLLGIPGEKGKAGTVCDCGRYRKFVGQLDISIARLKTSMKFVKNVIAGIRETEEKFYYIVQEEKNYRESLTHCRIRGGMLAMPKDEAANTLIADYVAKSGFFRVFIGVNDLEREGQYMFTDNTPLQNYSNWNEGEPSDPYGHEDCVEMLSSGRWNDTECHLTMYFVCEFIKKKK
+>DECOY_sp|Q9Y6Z7|COL10_HUMAN Collectin-10 OS=Homo sapiens OX=9606 GN=COLEC10 PE=1 SV=2
+KKKKIFECVFYMTLHCETDNWRGSSLMEVCDEHGYPDSPEGENWNSYNQLPTNDTFMYQGERELDNVGIFVRFFGSKAVYDAILTNAAEDKPMALMGGRIRCHTLSERYNKEEQVIYYFKEETERIGAIVNKVFKMSTKLRAISIDLQGVFKRYRGCDCVTGAKGKEGPIGLLGKEGKDGKKGIPGTKGINGQDGMDGLEGKIGKPGMRGVKGHKGEEGPDGKEGDDGKPGPSITHTACVEATPRSDIDLGLSQIQLLFLVLLIFQNRRLLSAFGNM
+>sp|Q9BWP8|COL11_HUMAN Collectin-11 OS=Homo sapiens OX=9606 GN=COLEC11 PE=1 SV=1
+MRGNLALVGVLISLAFLSLLPSGHPQPAGDDACSVQILVPGLKGDAGEKGDKGAPGRPGRVGPTGEKGDMGDKGQKGSVGRHGKIGPIGSKGEKGDSGDIGPPGPNGEPGLPCECSQLRKAIGEMDNQVSQLTSELKFIKNAVAGVRETESKIYLLVKEEKRYADAQLSCQGRGGTLSMPKDEAANGLMAAYLAQAGLARVFIGINDLEKEGAFVYSDHSPMRTFNKWRSGEPNNAYDEEDCVEMVASGGWNDVACHTTMYFMCEFDKENM
+>DECOY_sp|Q9BWP8|COL11_HUMAN Collectin-11 OS=Homo sapiens OX=9606 GN=COLEC11 PE=1 SV=1
+MNEKDFECMFYMTTHCAVDNWGGSAVMEVCDEEDYANNPEGSRWKNFTRMPSHDSYVFAGEKELDNIGIFVRALGAQALYAAMLGNAAEDKPMSLTGGRGQCSLQADAYRKEEKVLLYIKSETERVGAVANKIFKLESTLQSVQNDMEGIAKRLQSCECPLGPEGNPGPPGIDGSDGKEGKSGIPGIKGHRGVSGKQGKDGMDGKEGTPGVRGPRGPAGKDGKEGADGKLGPVLIQVSCADDGAPQPHGSPLLSLFALSILVGVLALNGRM
+>sp|Q96P44|COLA1_HUMAN Collagen alpha-1(XXI) chain OS=Homo sapiens OX=9606 GN=COL21A1 PE=2 SV=1
+MAHYITFLCMVLVLLLQNSVLAEDGEVRSSCRTAPTDLVFILDGSYSVGPENFEIVKKWLVNITKNFDIGPKFIQVGVVQYSDYPVLEIPLGSYDSGEHLTAAVESILYLGGNTKTGKAIQFALDYLFAKSSRFLTKIAVVLTDGKSQDDVKDAAQAARDSKITLFAIGVGSETEDAELRAIANKPSSTYVFYVEDYIAISKIREVMKQKLCEESVCPTRIPVAARDERGFDILLGLDVNKKVKKRIQLSPKKIKGYEVTSKVDLSELTSNVFPEGLPPSYVFVSTQRFKVKKIWDLWRILTIDGRPQIAVTLNGVDKILLFTTTSVINGSQVVTFANPQVKTLFDEGWHQIRLLVTEQDVTLYIDDQQIENKPLHPVLGILINGQTQIGKYSGKEETVQFDVQKLRIYCDPEQNNRETACEIPGFNGECLNGPSDVGSTPAPCICPPGKPGLQGPKGDPGLPGNPGYPGQPGQDGKPGYQGIAGTPGVPGSPGIQGARGLPGYKGEPGRDGDKGDRGLPGFPGLHGMPGSKGEMGAKGDKGSPGFYGKKGAKGEKGNAGFPGLPGPAGEPGRHGKDGLMGSPGFKGEAGSPGAPGQDGTRGEPGIPGFPGNRGLMGQKGEIGPPGQQGKKGAPGMPGLMGSNGSPGQPGTPGSKGSKGEPGIQGMPGASGLKGEPGATGSPGEPGYMGLPGIQGKKGDKGNQGEKGIQGQKGENGRQGIPGQQGIQGHHGAKGERGEKGEPGVRGAIGSKGESGVDGLMGPAGPKGQPGDPGPQGPPGLDGKPGREFSEQFIRQVCTDVIRAQLPVLLQSGRIRNCDHCLSQHGSPGIPGPPGPIGPEGPRGLPGLPGRDGVPGLVGVPGRPGVRGLKGLPGRNGEKGSQGFGYPGEQGPPGPPGPEGPPGISKEGPPGDPGLPGKDGDHGKPGIQGQPGPPGICDPSLCFSVIARRDPFRKGPNY
+>DECOY_sp|Q96P44|COLA1_HUMAN Collagen alpha-1(XXI) chain OS=Homo sapiens OX=9606 GN=COL21A1 PE=2 SV=1
+YNPGKRFPDRRAIVSFCLSPDCIGPPGPQGQIGPKGHDGDKGPLGPDGPPGEKSIGPPGEPGPPGPPGQEGPYGFGQSGKEGNRGPLGKLGRVGPRGPVGVLGPVGDRGPLGPLGRPGEPGIPGPPGPIGPSGHQSLCHDCNRIRGSQLLVPLQARIVDTCVQRIFQESFERGPKGDLGPPGQPGPDGPQGKPGAPGMLGDVGSEGKSGIAGRVGPEGKEGREGKAGHHGQIGQQGPIGQRGNEGKQGQIGKEGQNGKDGKKGQIGPLGMYGPEGPSGTAGPEGKLGSAGPMGQIGPEGKSGKSGPTGPQGPSGNSGMLGPMGPAGKKGQQGPPGIEGKQGMLGRNGPFGPIGPEGRTGDQGPAGPSGAEGKFGPSGMLGDKGHRGPEGAPGPLGPFGANGKEGKAGKKGYFGPSGKDGKAGMEGKSGPMGHLGPFGPLGRDGKDGDRGPEGKYGPLGRAGQIGPSGPVGPTGAIGQYGPKGDQGPQGPYGPNGPLGPDGKPGQLGPKGPPCICPAPTSGVDSPGNLCEGNFGPIECATERNNQEPDCYIRLKQVDFQVTEEKGSYKGIQTQGNILIGLVPHLPKNEIQQDDIYLTVDQETVLLRIQHWGEDFLTKVQPNAFTVVQSGNIVSTTTFLLIKDVGNLTVAIQPRGDITLIRWLDWIKKVKFRQTSVFVYSPPLGEPFVNSTLESLDVKSTVEYGKIKKPSLQIRKKVKKNVDLGLLIDFGREDRAAVPIRTPCVSEECLKQKMVERIKSIAIYDEVYFVYTSSPKNAIARLEADETESGVGIAFLTIKSDRAAQAADKVDDQSKGDTLVVAIKTLFRSSKAFLYDLAFQIAKGTKTNGGLYLISEVAATLHEGSDYSGLPIELVPYDSYQVVGVQIFKPGIDFNKTINVLWKKVIEFNEPGVSYSGDLIFVLDTPATRCSSRVEGDEALVSNQLLLVLVMCLFTIYHAM
+>sp|A8K830|COLC2_HUMAN Colorectal cancer-associated protein 2 OS=Homo sapiens OX=9606 GN=COLCA2 PE=2 SV=1
+MHPEPLLNSTQSAPHHFPDSFQATPFCFNQSLIPGSPSNSSILSGSLDYSYSPVQLPSYAPENYNSPASLDTRTCGYPPEDHSYQHLSSHAQYSCFSSATTSICYCASCEAEDLDALQAAEYFYPSTDCVDFAPSAAATSDFYKRETNCDICYS
+>DECOY_sp|A8K830|COLC2_HUMAN Colorectal cancer-associated protein 2 OS=Homo sapiens OX=9606 GN=COLCA2 PE=2 SV=1
+SYCIDCNTERKYFDSTAAASPAFDVCDTSPYFYEAAQLADLDEAECSACYCISTTASSFCSYQAHSSLHQYSHDEPPYGCTRTDLSAPSNYNEPAYSPLQVPSYSYDLSGSLISSNSPSGPILSQNFCFPTAQFSDPFHHPASQTSNLLPEPHM
+>sp|Q86X83|COMD2_HUMAN COMM domain-containing protein 2 OS=Homo sapiens OX=9606 GN=COMMD2 PE=1 SV=2
+MLLELSEEHKEHLAFLPQVDSAVVAEFGRIAVEFLRRGANPKIYEGAARKLNVSSDTVQHGVEGLTYLLTESSKLMISELDFQDSVFVLGFSEELNKLLLQLYLDNRKEIRTILSELAPSLPSYHNLEWRLDVQLASRSLRQQIKPAVTIKLHLNQNGDHNTKVLQTDPATLLHLVQQLEQALEEMKTNHCRRVVRNIK
+>DECOY_sp|Q86X83|COMD2_HUMAN COMM domain-containing protein 2 OS=Homo sapiens OX=9606 GN=COMMD2 PE=1 SV=2
+KINRVVRRCHNTKMEELAQELQQVLHLLTAPDTQLVKTNHDGNQNLHLKITVAPKIQQRLSRSALQVDLRWELNHYSPLSPALESLITRIEKRNDLYLQLLLKNLEESFGLVFVSDQFDLESIMLKSSETLLYTLGEVGHQVTDSSVNLKRAAGEYIKPNAGRRLFEVAIRGFEAVVASDVQPLFALHEKHEESLELLM
+>sp|Q9Y678|COPG1_HUMAN Coatomer subunit gamma-1 OS=Homo sapiens OX=9606 GN=COPG1 PE=1 SV=1
+MLKKFDKKDEESGGGSNPFQHLEKSAVLQEARVFNETPINPRKCAHILTKILYLINQGEHLGTTEATEAFFAMTKLFQSNDPTLRRMCYLTIKEMSCIAEDVIIVTSSLTKDMTGKEDNYRGPAVRALCQITDSTMLQAIERYMKQAIVDKVPSVSSSALVSSLHLLKCSFDVVKRWVNEAQEAASSDNIMVQYHALGLLYHVRKNDRLAVNKMISKVTRHGLKSPFAYCMMIRVASKQLEEEDGSRDSPLFDFIESCLRNKHEMVVYEAASAIVNLPGCSAKELAPAVSVLQLFCSSPKAALRYAAVRTLNKVAMKHPSAVTACNLDLENLVTDSNRSIATLAITTLLKTGSESSIDRLMKQISSFMSEISDEFKVVVVQAISALCQKYPRKHAVLMNFLFTMLREEGGFEYKRAIVDCIISIIEENSESKETGLSHLCEFIEDCEFTVLATRILHLLGQEGPKTTNPSKYIRFIYNRVVLEHEEVRAGAVSALAKFGAQNEEMLPSILVLLKRCVMDDDNEVRDRATFYLNVLEQKQKALNAGYILNGLTVSIPGLERALQQYTLEPSEKPFDLKSVPLATAPMAEQRTESTPITAVKQPEKVAATRQEIFQEQLAAVPEFRGLGPLFKSSPEPVALTESETEYVIRCTKHTFTNHMVFQFDCTNTLNDQTLENVTVQMEPTEAYEVLCYVPARSLPYNQPGTCYTLVALPKEDPTAVACTFSCMMKFTVKDCDPTTGETDDEGYEDEYVLEDLEVTVADHIQKVMKLNFEAAWDEVGDEFEKEETFTLSTIKTLEEAVGNIVKFLGMHPCERSDKVPDNKNTHTLLLAGVFRGGHDILVRSRLLLLDTVTMQVTARSLEELPVDIILASVG
+>DECOY_sp|Q9Y678|COPG1_HUMAN Coatomer subunit gamma-1 OS=Homo sapiens OX=9606 GN=COPG1 PE=1 SV=1
+GVSALIIDVPLEELSRATVQMTVTDLLLLRSRVLIDHGGRFVGALLLTHTNKNDPVKDSRECPHMGLFKVINGVAEELTKITSLTFTEEKEFEDGVEDWAAEFNLKMVKQIHDAVTVELDELVYEDEYGEDDTEGTTPDCDKVTFKMMCSFTCAVATPDEKPLAVLTYCTGPQNYPLSRAPVYCLVEYAETPEMQVTVNELTQDNLTNTCDFQFVMHNTFTHKTCRIVYETESETLAVPEPSSKFLPGLGRFEPVAALQEQFIEQRTAAVKEPQKVATIPTSETRQEAMPATALPVSKLDFPKESPELTYQQLARELGPISVTLGNLIYGANLAKQKQELVNLYFTARDRVENDDDMVCRKLLVLISPLMEENQAGFKALASVAGARVEEHELVVRNYIFRIYKSPNTTKPGEQGLLHLIRTALVTFECDEIFECLHSLGTEKSESNEEIISIICDVIARKYEFGGEERLMTFLFNMLVAHKRPYKQCLASIAQVVVVKFEDSIESMFSSIQKMLRDISSESGTKLLTTIALTAISRNSDTVLNELDLNCATVASPHKMAVKNLTRVAAYRLAAKPSSCFLQLVSVAPALEKASCGPLNVIASAAEYVVMEHKNRLCSEIFDFLPSDRSGDEEELQKSAVRIMMCYAFPSKLGHRTVKSIMKNVALRDNKRVHYLLGLAHYQVMINDSSAAEQAENVWRKVVDFSCKLLHLSSVLASSSVSPVKDVIAQKMYREIAQLMTSDTIQCLARVAPGRYNDEKGTMDKTLSSTVIIVDEAICSMEKITLYCMRRLTPDNSQFLKTMAFFAETAETTGLHEGQNILYLIKTLIHACKRPNIPTENFVRAEQLVASKELHQFPNSGGGSEEDKKDFKKLM
+>sp|Q9NQ92|COPRS_HUMAN Coordinator of PRMT5 and differentiation stimulator OS=Homo sapiens OX=9606 GN=COPRS PE=1 SV=3
+MDLQAAGAQAQGAAEPSRGPPLPSARGAPPSPEAGFATADHSSQERETEKAMDRLARGTQSIPNDSPARGEGTHSEEEGFAMDEEDSDGELNTWELSEGTNCPPKEQPGDLFNEDWDSELKADQGNPYDADDIQESISQELKPWVCCAPQGDMIYDPSWHHPPPLIPYYSKMVFETGQFDDAED
+>DECOY_sp|Q9NQ92|COPRS_HUMAN Coordinator of PRMT5 and differentiation stimulator OS=Homo sapiens OX=9606 GN=COPRS PE=1 SV=3
+DEADDFQGTEFVMKSYYPILPPPHHWSPDYIMDGQPACCVWPKLEQSISEQIDDADYPNGQDAKLESDWDENFLDGPQEKPPCNTGESLEWTNLEGDSDEEDMAFGEEESHTGEGRAPSDNPISQTGRALRDMAKETEREQSSHDATAFGAEPSPPAGRASPLPPGRSPEAAGQAQAGAAQLDM
+>sp|O15432|COPT2_HUMAN Probable low affinity copper uptake protein 2 OS=Homo sapiens OX=9606 GN=SLC31A2 PE=1 SV=1
+MAMHFIFSDTAVLLFDFWSVHSPAGMALSVLVLLLLAVLYEGIKVGKAKLLNQVLVNLPTSISQQTIAETDGDSAGSDSFPVGRTHHRWYLCHFGQSLIHVIQVVIGYFIMLAVMSYNTWIFLGVVLGSAVGYYLAYPLLSTA
+>DECOY_sp|O15432|COPT2_HUMAN Probable low affinity copper uptake protein 2 OS=Homo sapiens OX=9606 GN=SLC31A2 PE=1 SV=1
+ATSLLPYALYYGVASGLVVGLFIWTNYSMVALMIFYGIVVQIVHILSQGFHCLYWRHHTRGVPFSDSGASDGDTEAITQQSISTPLNVLVQNLLKAKGVKIGEYLVALLLLVLVSLAMGAPSHVSWFDFLLVATDSFIFHMAM
+>sp|Q9UQ03|COR2B_HUMAN Coronin-2B OS=Homo sapiens OX=9606 GN=CORO2B PE=1 SV=4
+MTVTKMSWRPQYRSSKFRNVYGKVANREHCFDGIPITKNVHDNHFCAVNTRFLAIVTESAGGGSFLVIPLEQTGRIEPNYPKVCGHQGNVLDIKWNPFIDNIIASCSEDTSVRIWEIPEGGLKRNMTEALLELHGHSRRVGLVEWHPTTNNILFSAGYDYKVLIWNLDVGEPVKMIDCHTDVILCMSFNTDGSLLTTTCKDKKLRVIEPRSGRVLQEANCKNHRVNRVVFLGNMKRLLTTGVSRWNTRQIALWDQEDLSMPLIEEEIDGLSGLLFPFYDADTHMLYLAGKGDGNIRYYEISTEKPYLSYLMEFRSPAPQKGLGVMPKHGLDVSACEVFRFYKLVTLKGLIEPISMIVPRRSDSYQEDIYPMTPGTEPALTPDEWLGGINRDPVLMSLKEGYKKSSKMVFKAPIKEKKSVVVNGIDLLENVPPRTENELLRMFFRQQDEIRRLKEELAQKDIRIRQLQLELKNLRNSPKNC
+>DECOY_sp|Q9UQ03|COR2B_HUMAN Coronin-2B OS=Homo sapiens OX=9606 GN=CORO2B PE=1 SV=4
+CNKPSNRLNKLELQLQRIRIDKQALEEKLRRIEDQQRFFMRLLENETRPPVNELLDIGNVVVSKKEKIPAKFVMKSSKKYGEKLSMLVPDRNIGGLWEDPTLAPETGPTMPYIDEQYSDSRRPVIMSIPEILGKLTVLKYFRFVECASVDLGHKPMVGLGKQPAPSRFEMLYSLYPKETSIEYYRINGDGKGALYLMHTDADYFPFLLGSLGDIEEEILPMSLDEQDWLAIQRTNWRSVGTTLLRKMNGLFVVRNVRHNKCNAEQLVRGSRPEIVRLKKDKCTTTLLSGDTNFSMCLIVDTHCDIMKVPEGVDLNWILVKYDYGASFLINNTTPHWEVLGVRRSHGHLELLAETMNRKLGGEPIEWIRVSTDESCSAIINDIFPNWKIDLVNGQHGCVKPYNPEIRGTQELPIVLFSGGGASETVIALFRTNVACFHNDHVNKTIPIGDFCHERNAVKGYVNRFKSSRYQPRWSMKTVTM
+>sp|Q8IZC6|CORA1_HUMAN Collagen alpha-1(XXVII) chain OS=Homo sapiens OX=9606 GN=COL27A1 PE=1 SV=1
+MGAGSARGARGTAAAAAARGGGFLFSWILVSFACHLASTQGAPEDVDILQRLGLSWTKAGSPAPPGVIPFQSGFIFTQRARLQAPTGTVIPAALGTELALVLSLCSHRVNHAFLFAVRSQKRKLQLGLQFLPGKTVVHLGSRRSVAFDLDMHDGRWHHLALELRGRTVTLVTACGQRRVPVLLPFHRDPALDPGGSFLFGKMNPHAVQFEGALCQFSIYPVTQVAHNYCTHLRKQCGQADTYQSPLGPLFSQDSGRPFTFQSDLALLGLENLTTATPALGSLPAGRGPRGTVAPATPTKPQRTSPTNPHQHMAVGGPAQTPLLPAKLSASNALDPMLPASVGGSTRTPRPAAAQPSQKITATKIPKSLPTKPSAPSTSIVPIKSPHPTQKTAPSSFTKSALPTQKQVPPTSRPVPARVSRPAEKPIQRNPGMPRPPPPSTRPLPPTTSSSKKPIPTLARTEAKITSHASKPASARTSTHKPPPFTALSSSPAPTPGSTRSTRPPATMVPPTSGTSTPRTAPAVPTPGSAPTGSKKPIGSEASKKAGPKSSPRKPVPLRPGKAARDVPLSDLTTRPSPRQPQPSQQTTPALVLAPAQFLSSSPRPTSSGYSIFHLAGSTPFPLLMGPPGPKGDCGLPGPPGLPGLPGIPGARGPRGPPGPYGNPGLPGPPGAKGQKGDPGLSPGKAHDGAKGDMGLPGLSGNPGPPGRKGHKGYPGPAGHPGEQGQPGPEGSPGAKGYPGRQGLPGPVGDPGPKGSRGYIGLPGLFGLPGSDGERGLPGVPGKRGKMGMPGFPGVFGERGPPGLDGNPGELGLPGPPGVPGLIGDLGVLGPIGYPGPKGMKGLMGSVGEPGLKGDKGEQGVPGVSGDPGFQGDKGSQGLPGFPGARGKPGPLGKVGDKGSIGFPGPPGPEGFPGDIGPPGDNGPEGMKGKPGARGLPGPRGQLGPEGDEGPMGPPGAPGLEGQPGRKGFPGRPGLDGVKGEPGDPGRPGPVGEQGFMGFIGLVGEPGIVGEKGDRGMMGPPGVPGPKGSMGHPGMPGGMGTPGEPGPQGPPGSRGPPGMRGAKGRRGPRGPDGPAGEQGSRGLKGPPGPQGRPGRPGQQGVAGERGHLGSRGFPGIPGPSGPPGTKGLPGEPGPQGPQGPIGPPGEMGPKGPPGAVGEPGLPGEAGMKGDLGPLGTPGEQGLIGQRGEPGLEGDSGPMGPDGLKGDRGDPGPDGEHGEKGQEGLMGEDGPPGPPGVTGVRGPEGKSGKQGEKGRTGAKGAKGYQGQLGEMGVPGDPGPPGTPGPKGSRGSLGPTGAPGRMGAQGEPGLAGYDGHKGIVGPLGPPGPKGEKGEQGEDGKAEGPPGPPGDRGPVGDRGDRGEPGDPGYPGQEGVQGLRGKPGQQGQPGHPGPRGWPGPKGSKGAEGPKGKQGKAGAPGRRGVQGLQGLPGPRGVVGRQGLEGIAGPDGLPGRDGQAGQQGEQGDDGDPGPMGPAGKRGNPGVAGLPGAQGPPGFKGESGLPGQLGPPGKRGTEGRTGLPGNQGEPGSKGQPGDSGEMGFPGMAGLFGPKGPPGDIGFKGIQGPRGPPGLMGKEGIVGPLGILGPSGLPGPKGDKGSRGDWGLQGPRGPPGPRGRPGPPGPPGGPIQLQQDDLGAAFQTWMDTSGALRPESYSYPDRLVLDQGGEIFKTLHYLSNLIQSIKTPLGTKENPARVCRDLMDCEQKMVDGTYWVDPNLGCSSDTIEVSCNFTHGGQTCLKPITASKVEFAISRVQMNFLHLLSSEVTQHITIHCLNMTVWQEGTGQTPAKQAVRFRAWNGQIFEAGGQFRPEVSMDGCKVQDGRWHQTLFTFRTQDPQQLPIISVDNLPPASSGKQYRLEVGPACFL
+>DECOY_sp|Q8IZC6|CORA1_HUMAN Collagen alpha-1(XXVII) chain OS=Homo sapiens OX=9606 GN=COL27A1 PE=1 SV=1
+LFCAPGVELRYQKGSSAPPLNDVSIIPLQQPDQTRFTFLTQHWRGDQVKCGDMSVEPRFQGGAEFIQGNWARFRVAQKAPTQGTGEQWVTMNLCHITIHQTVESSLLHLFNMQVRSIAFEVKSATIPKLCTQGGHTFNCSVEITDSSCGLNPDVWYTGDVMKQECDMLDRCVRAPNEKTGLPTKISQILNSLYHLTKFIEGGQDLVLRDPYSYSEPRLAGSTDMWTQFAAGLDDQQLQIPGGPPGPPGPRGRPGPPGRPGQLGWDGRSGKDGKPGPLGSPGLIGLPGVIGEKGMLGPPGRPGQIGKFGIDGPPGKPGFLGAMGPFGMEGSDGPQGKSGPEGQNGPLGTRGETGRKGPPGLQGPLGSEGKFGPPGQAGPLGAVGPNGRKGAPGMPGPDGDDGQEGQQGAQGDRGPLGDPGAIGELGQRGVVGRPGPLGQLGQVGRRGPAGAKGQKGKPGEAGKSGKPGPWGRPGPHGPQGQQGPKGRLGQVGEQGPYGPDGPEGRDGRDGVPGRDGPPGPPGEAKGDEGQEGKEGKPGPPGLPGVIGKHGDYGALGPEGQAGMRGPAGTPGLSGRSGKPGPTGPPGPDGPVGMEGLQGQYGKAGKAGTRGKEGQKGSKGEPGRVGTVGPPGPPGDEGMLGEQGKEGHEGDPGPDGRDGKLGDPGMPGSDGELGPEGRQGILGQEGPTGLPGLDGKMGAEGPLGPEGVAGPPGKPGMEGPPGIPGQPGQPGPEGPLGKTGPPGSPGPIGPFGRSGLHGREGAVGQQGPRGPRGQPGPPGKLGRSGQEGAPGDPGRPGRRGKAGRMGPPGRSGPPGQPGPEGPTGMGGPMGPHGMSGKPGPVGPPGMMGRDGKEGVIGPEGVLGIFGMFGQEGVPGPRGPDGPEGKVGDLGPRGPFGKRGPQGELGPAGPPGMPGEDGEPGLQGRPGPLGRAGPKGKMGEPGNDGPPGIDGPFGEPGPPGPFGISGKDGVKGLPGPKGRAGPFGPLGQSGKDGQFGPDGSVGPVGQEGKDGKLGPEGVSGMLGKMGKPGPYGIPGLVGLDGILGPVGPPGPLGLEGPNGDLGPPGREGFVGPFGPMGMKGRKGPVGPLGREGDSGPLGFLGPLGIYGRSGKPGPDGVPGPLGQRGPYGKAGPSGEPGPQGQEGPHGAPGPYGKHGKRGPPGPNGSLGPLGMDGKAGDHAKGPSLGPDGKQGKAGPPGPLGPNGYPGPPGRPGRAGPIGPLGPLGPPGPLGCDGKPGPPGMLLPFPTSGALHFISYGSSTPRPSSSLFQAPALVLAPTTQQSPQPQRPSPRTTLDSLPVDRAAKGPRLPVPKRPSSKPGAKKSAESGIPKKSGTPASGPTPVAPATRPTSTGSTPPVMTAPPRTSRTSGPTPAPSSSLATFPPPKHTSTRASAPKSAHSTIKAETRALTPIPKKSSSTTPPLPRTSPPPPRPMGPNRQIPKEAPRSVRAPVPRSTPPVQKQTPLASKTFSSPATKQTPHPSKIPVISTSPASPKTPLSKPIKTATIKQSPQAAAPRPTRTSGGVSAPLMPDLANSASLKAPLLPTQAPGGVAMHQHPNTPSTRQPKTPTAPAVTGRPGRGAPLSGLAPTATTLNELGLLALDSQFTFPRGSDQSFLPGLPSQYTDAQGCQKRLHTCYNHAVQTVPYISFQCLAGEFQVAHPNMKGFLFSGGPDLAPDRHFPLLVPVRRQGCATVLTVTRGRLELALHHWRGDHMDLDFAVSRRSGLHVVTKGPLFQLGLQLKRKQSRVAFLFAHNVRHSCLSLVLALETGLAAPIVTGTPAQLRARQTFIFGSQFPIVGPPAPSGAKTWSLGLRQLIDVDEPAGQTSALHCAFSVLIWSFLFGGGRAAAAAATGRAGRASGAGM
+>sp|P10589|COT1_HUMAN COUP transcription factor 1 OS=Homo sapiens OX=9606 GN=NR2F1 PE=1 SV=1
+MAMVVSSWRDPQDDVAGGNPGGPNPAAQAARGGGGGAGEQQQQAGSGAPHTPQTPGQPGAPATPGTAGDKGQGPPGSGQSQQHIECVVCGDKSSGKHYGQFTCEGCKSFFKRSVRRNLTYTCRANRNCPIDQHHRNQCQYCRLKKCLKVGMRREAVQRGRMPPTQPNPGQYALTNGDPLNGHCYLSGYISLLLRAEPYPTSRYGSQCMQPNNIMGIENICELAARLLFSAVEWARNIPFFPDLQITDQVSLLRLTWSELFVLNAAQCSMPLHVAPLLAAAGLHASPMSADRVVAFMDHIRIFQEQVEKLKALHVDSAEYSCLKAIVLFTSDACGLSDAAHIESLQEKSQCALEEYVRSQYPNQPSRFGKLLLRLPSLRTVSSSVIEQLFFVRLVGKTPIETLIRDMLLSGSSFNWPYMSIQCS
+>DECOY_sp|P10589|COT1_HUMAN COUP transcription factor 1 OS=Homo sapiens OX=9606 GN=NR2F1 PE=1 SV=1
+SCQISMYPWNFSSGSLLMDRILTEIPTKGVLRVFFLQEIVSSSVTRLSPLRLLLKGFRSPQNPYQSRVYEELACQSKEQLSEIHAADSLGCADSTFLVIAKLCSYEASDVHLAKLKEVQEQFIRIHDMFAVVRDASMPSAHLGAAALLPAVHLPMSCQAANLVFLESWTLRLLSVQDTIQLDPFFPINRAWEVASFLLRAALECINEIGMINNPQMCQSGYRSTPYPEARLLLSIYGSLYCHGNLPDGNTLAYQGPNPQTPPMRGRQVAERRMGVKLCKKLRCYQCQNRHHQDIPCNRNARCTYTLNRRVSRKFFSKCGECTFQGYHKGSSKDGCVVCEIHQQSQGSGPPGQGKDGATGPTAPAGPQGPTQPTHPAGSGAQQQQEGAGGGGGRAAQAAPNPGGPNGGAVDDQPDRWSSVVMAM
+>sp|P24468|COT2_HUMAN COUP transcription factor 2 OS=Homo sapiens OX=9606 GN=NR2F2 PE=1 SV=1
+MAMVVSTWRDPQDEVPGSQGSQASQAPPVPGPPPGAPHTPQTPGQGGPASTPAQTAAGGQGGPGGPGSDKQQQQQHIECVVCGDKSSGKHYGQFTCEGCKSFFKRSVRRNLSYTCRANRNCPIDQHHRNQCQYCRLKKCLKVGMRREAVQRGRMPPTQPTHGQFALTNGDPLNCHSYLSGYISLLLRAEPYPTSRFGSQCMQPNNIMGIENICELAARMLFSAVEWARNIPFFPDLQITDQVALLRLTWSELFVLNAAQCSMPLHVAPLLAAAGLHASPMSADRVVAFMDHIRIFQEQVEKLKALHVDSAEYSCLKAIVLFTSDACGLSDVAHVESLQEKSQCALEEYVRSQYPNQPTRFGKLLLRLPSLRTVSSSVIEQLFFVRLVGKTPIETLIRDMLLSGSSFNWPYMAIQ
+>DECOY_sp|P24468|COT2_HUMAN COUP transcription factor 2 OS=Homo sapiens OX=9606 GN=NR2F2 PE=1 SV=1
+QIAMYPWNFSSGSLLMDRILTEIPTKGVLRVFFLQEIVSSSVTRLSPLRLLLKGFRTPQNPYQSRVYEELACQSKEQLSEVHAVDSLGCADSTFLVIAKLCSYEASDVHLAKLKEVQEQFIRIHDMFAVVRDASMPSAHLGAAALLPAVHLPMSCQAANLVFLESWTLRLLAVQDTIQLDPFFPINRAWEVASFLMRAALECINEIGMINNPQMCQSGFRSTPYPEARLLLSIYGSLYSHCNLPDGNTLAFQGHTPQTPPMRGRQVAERRMGVKLCKKLRCYQCQNRHHQDIPCNRNARCTYSLNRRVSRKFFSKCGECTFQGYHKGSSKDGCVVCEIHQQQQQKDSGPGGPGGQGGAATQAPTSAPGGQGPTQPTHPAGPPPGPVPPAQSAQSGQSGPVEDQPDRWTSVVMAM
+>sp|Q7KZN9|COX15_HUMAN Cytochrome c oxidase assembly protein COX15 homolog OS=Homo sapiens OX=9606 GN=COX15 PE=1 SV=1
+MQRLLFPPLRALKGRQYLPLLAPRAAPRAQCDCIRRPLRPGQYSTISEVALQSGRGTVSLPSKAAERVVGRWLLVCSGTVAGAVILGGVTRLTESGLSMVDWHLIKEMKPPTSQEEWEAEFQRYQQFPEFKILNHDMTLTEFKFIWYMEYSHRMWGRLVGLVYILPAAYFWRKGWLSRGMKGRVLALCGLVCFQGLLGWYMVKSGLEEKSDSHDIPRVSQYRLAAHLGSALVLYCASLWTSLSLLLPPHKLPETHQLLQLRRFAHGTAGLVFLTALSGAFVAGLDAGLVYNSFPKMGESWIPEDLFTFSPILRNVFENPTMVQFDHRILGITSVTAITVLYFLSRRIPLPRRTKMAAVTLLALAYTQVGLGISTLLMYVPTPLAATHQSGSLALLTGALWLMNELRRVPK
+>DECOY_sp|Q7KZN9|COX15_HUMAN Cytochrome c oxidase assembly protein COX15 homolog OS=Homo sapiens OX=9606 GN=COX15 PE=1 SV=1
+KPVRRLENMLWLAGTLLALSGSQHTAALPTPVYMLLTSIGLGVQTYALALLTVAAMKTRRPLPIRRSLFYLVTIATVSTIGLIRHDFQVMTPNEFVNRLIPSFTFLDEPIWSEGMKPFSNYVLGADLGAVFAGSLATLFVLGATGHAFRRLQLLQHTEPLKHPPLLLSLSTWLSACYLVLASGLHAALRYQSVRPIDHSDSKEELGSKVMYWGLLGQFCVLGCLALVRGKMGRSLWGKRWFYAAPLIYVLGVLRGWMRHSYEMYWIFKFETLTMDHNLIKFEPFQQYRQFEAEWEEQSTPPKMEKILHWDVMSLGSETLRTVGGLIVAGAVTGSCVLLWRGVVREAAKSPLSVTGRGSQLAVESITSYQGPRLPRRICDCQARPAARPALLPLYQRGKLARLPPFLLRQM
+>sp|Q07973|CP24A_HUMAN 1,25-dihydroxyvitamin D(3) 24-hydroxylase, mitochondrial OS=Homo sapiens OX=9606 GN=CYP24A1 PE=1 SV=2
+MSSPISKSRSLAAFLQQLRSPRQPPRLVTSTAYTSPQPREVPVCPLTAGGETQNAAALPGPTSWPLLGSLLQILWKGGLKKQHDTLVEYHKKYGKIFRMKLGSFESVHLGSPCLLEALYRTESAYPQRLEIKPWKAYRDYRKEGYGLLILEGEDWQRVRSAFQKKLMKPGEVMKLDNKINEVLADFMGRIDELCDERGHVEDLYSELNKWSFESICLVLYEKRFGLLQKNAGDEAVNFIMAIKTMMSTFGRMMVTPVELHKSLNTKVWQDHTLAWDTIFKSVKACIDNRLEKYSQQPSADFLCDIYHQNRLSKKELYAAVTELQLAAVETTANSLMWILYNLSRNPQVQQKLLKEIQSVLPENQVPRAEDLRNMPYLKACLKESMRLTPSVPFTTRTLDKATVLGEYALPKGTVLMLNTQVLGSSEDNFEDSSQFRPERWLQEKEKINPFAHLPFGVGKRMCIGRRLAELQLHLALCWIVRKYDIQATDNEPVEMLHSGTLVPSRELPIAFCQR
+>DECOY_sp|Q07973|CP24A_HUMAN 1,25-dihydroxyvitamin D(3) 24-hydroxylase, mitochondrial OS=Homo sapiens OX=9606 GN=CYP24A1 PE=1 SV=2
+RQCFAIPLERSPVLTGSHLMEVPENDTAQIDYKRVIWCLALHLQLEALRRGICMRKGVGFPLHAFPNIKEKEQLWREPRFQSSDEFNDESSGLVQTNLMLVTGKPLAYEGLVTAKDLTRTTFPVSPTLRMSEKLCAKLYPMNRLDEARPVQNEPLVSQIEKLLKQQVQPNRSLNYLIWMLSNATTEVAALQLETVAAYLEKKSLRNQHYIDCLFDASPQQSYKELRNDICAKVSKFITDWALTHDQWVKTNLSKHLEVPTVMMRGFTSMMTKIAMIFNVAEDGANKQLLGFRKEYLVLCISEFSWKNLESYLDEVHGREDCLEDIRGMFDALVENIKNDLKMVEGPKMLKKQFASRVRQWDEGELILLGYGEKRYDRYAKWPKIELRQPYASETRYLAELLCPSGLHVSEFSGLKMRFIKGYKKHYEVLTDHQKKLGGKWLIQLLSGLLPWSTPGPLAAANQTEGGATLPCVPVERPQPSTYATSTVLRPPQRPSRLQQLFAALSRSKSIPSSM
+>sp|Q9BV73|CP250_HUMAN Centrosome-associated protein CEP250 OS=Homo sapiens OX=9606 GN=CEP250 PE=1 SV=2
+METRSPGLNNMKPQSLQLVLEEQVLALQQQMAENQAASWRKLKNSQEAQQRQATLVRKLQAKVLQYRSWCQELEKRLEATGGPIPQRWENVEEPNLDELLVRLEEEQQRCESLAEVNTQLRLHMEKADVVNKALREDVEKLTVDWSRARDELMRKESQWQMEQEFFKGYLKGEHGRLLSLWREVVTFRRHFLEMKSATDRDLMELKAEHVRLSGSLLTCCLRLTVGAQSREPNGSGRMDGREPAQLLLLLAKTQELEKEAHERSQELIQLKSQGDLEKAELQDRVTELSALLTQSQKQNEDYEKMIKALRETVEILETNHTELMEHEASLSRNAQEEKLSLQQVIKDITQVMVEEGDNIAQGSGHENSLELDSSIFSQFDYQDADKALTLVRSVLTRRRQAVQDLRQQLAGCQEAVNLLQQQHDQWEEEGKALRQRLQKLTGERDTLAGQTVDLQGEVDSLSKERELLQKAREELRQQLEVLEQEAWRLRRVNVELQLQGDSAQGQKEEQQEELHLAVRERERLQEMLMGLEAKQSESLSELITLREALESSHLEGELLRQEQTEVTAALARAEQSIAELSSSENTLKTEVADLRAAAVKLSALNEALALDKVGLNQQLLQLEEENQSVCSRMEAAEQARNALQVDLAEAEKRREALWEKNTHLEAQLQKAEEAGAELQADLRDIQEEKEEIQKKLSESRHQQEAATTQLEQLHQEAKRQEEVLARAVQEKEALVREKAALEVRLQAVERDRQDLAEQLQGLSSAKELLESSLFEAQQQNSVIEVTKGQLEVQIQTVTQAKEVIQGEVRCLKLELDTERSQAEQERDAAARQLAQAEQEGKTALEQQKAAHEKEVNQLREKWEKERSWHQQELAKALESLEREKMELEMRLKEQQTEMEAIQAQREEERTQAESALCQMQLETEKERVSLLETLLQTQKELADASQQLERLRQDMKVQKLKEQETTGILQTQLQEAQRELKEAARQHRDDLAALQEESSSLLQDKMDLQKQVEDLKSQLVAQDDSQRLVEQEVQEKLRETQEYNRIQKELEREKASLTLSLMEKEQRLLVLQEADSIRQQELSALRQDMQEAQGEQKELSAQMELLRQEVKEKEADFLAQEAQLLEELEASHITEQQLRASLWAQEAKAAQLQLRLRSTESQLEALAAEQQPGNQAQAQAQLASLYSALQQALGSVCESRPELSGGGDSAPSVWGLEPDQNGARSLFKRGPLLTALSAEAVASALHKLHQDLWKTQQTRDVLRDQVQKLEERLTDTEAEKSQVHTELQDLQRQLSQNQEEKSKWEGKQNSLESELMELHETMASLQSRLRRAELQRMEAQGERELLQAAKENLTAQVEHLQAAVVEARAQASAAGILEEDLRTARSALKLKNEEVESERERAQALQEQGELKVAQGKALQENLALLTQTLAEREEEVETLRGQIQELEKQREMQKAALELLSLDLKKRNQEVDLQQEQIQELEKCRSVLEHLPMAVQEREQKLTVQREQIRELEKDRETQRNVLEHQLLELEKKDQMIESQRGQVQDLKKQLVTLECLALELEENHHKMECQQKLIKELEGQRETQRVALTHLTLDLEERSQELQAQSSQIHDLESHSTVLARELQERDQEVKSQREQIEELQRQKEHLTQDLERRDQELMLQKERIQVLEDQRTRQTKILEEDLEQIKLSLRERGRELTTQRQLMQERAEEGKGPSKAQRGSLEHMKLILRDKEKEVECQQEHIHELQELKDQLEQQLQGLHRKVGETSLLLSQREQEIVVLQQQLQEAREQGELKEQSLQSQLDEAQRALAQRDQELEALQQEQQQAQGQEERVKEKADALQGALEQAHMTLKERHGELQDHKEQARRLEEELAVEGRRVQALEEVLGDLRAESREQEKALLALQQQCAEQAQEHEVETRALQDSWLQAQAVLKERDQELEALRAESQSSRHQEEAARARAEALQEALGKAHAALQGKEQHLLEQAELSRSLEASTATLQASLDACQAHSRQLEEALRIQEGEIQDQDLRYQEDVQQLQQALAQRDEELRHQQEREQLLEKSLAQRVQENMIQEKQNLGQEREEEEIRGLHQSVRELQLTLAQKEQEILELRETQQRNNLEALPHSHKTSPMEEQSLKLDSLEPRLQRELERLQAALRQTEAREIEWREKAQDLALSLAQTKASVSSLQEVAMFLQASVLERDSEQQRLQDELELTRRALEKERLHSPGATSTAELGSRGEQGVQLGEVSGVEAEPSPDGMEKQSWRQRLEHLQQAVARLEIDRSRLQRHNVQLRSTLEQVERERRKLKREAMRAAQAGSLEISKATASSPTQQDGRGQKNSDAKCVAELQKEVVLLQAQLTLERKQKQDYITRSAQTSRELAGLHHSLSHSLLAVAQAPEATVLEAETRRLDESLTQSLTSPGPVLLHPSPSTTQAASR
+>DECOY_sp|Q9BV73|CP250_HUMAN Centrosome-associated protein CEP250 OS=Homo sapiens OX=9606 GN=CEP250 PE=1 SV=2
+RSAAQTTSPSPHLLVPGPSTLSQTLSEDLRRTEAELVTAEPAQAVALLSHSLSHHLGALERSTQASRTIYDQKQKRELTLQAQLLVVEKQLEAVCKADSNKQGRGDQQTPSSATAKSIELSGAQAARMAERKLKRREREVQELTSRLQVNHRQLRSRDIELRAVAQQLHELRQRWSQKEMGDPSPEAEVGSVEGLQVGQEGRSGLEATSTAGPSHLREKELARRTLELEDQLRQQESDRELVSAQLFMAVEQLSSVSAKTQALSLALDQAKERWEIERAETQRLAAQLRELERQLRPELSDLKLSQEEMPSTKHSHPLAELNNRQQTERLELIEQEKQALTLQLERVSQHLGRIEEEEREQGLNQKEQIMNEQVRQALSKELLQEREQQHRLEEDRQALAQQLQQVDEQYRLDQDQIEGEQIRLAEELQRSHAQCADLSAQLTATSAELSRSLEAQELLHQEKGQLAAHAKGLAEQLAEARARAAEEQHRSSQSEARLAELEQDREKLVAQAQLWSDQLARTEVEHEQAQEACQQQLALLAKEQERSEARLDGLVEELAQVRRGEVALEEELRRAQEKHDQLEGHREKLTMHAQELAGQLADAKEKVREEQGQAQQQEQQLAELEQDRQALARQAEDLQSQLSQEKLEGQERAEQLQQQLVVIEQERQSLLLSTEGVKRHLGQLQQELQDKLEQLEHIHEQQCEVEKEKDRLILKMHELSGRQAKSPGKGEEAREQMLQRQTTLERGRERLSLKIQELDEELIKTQRTRQDELVQIREKQLMLEQDRRELDQTLHEKQRQLEEIQERQSKVEQDREQLERALVTSHSELDHIQSSQAQLEQSREELDLTLHTLAVRQTERQGELEKILKQQCEMKHHNEELELALCELTVLQKKLDQVQGRQSEIMQDKKELELLQHELVNRQTERDKELERIQERQVTLKQEREQVAMPLHELVSRCKELEQIQEQQLDVEQNRKKLDLSLLELAAKQMERQKELEQIQGRLTEVEEEREALTQTLLALNEQLAKGQAVKLEGQEQLAQARERESEVEENKLKLASRATRLDEELIGAASAQARAEVVAAQLHEVQATLNEKAAQLLEREGQAEMRQLEARRLRSQLSAMTEHLEMLESELSNQKGEWKSKEEQNQSLQRQLDQLETHVQSKEAETDTLREELKQVQDRLVDRTQQTKWLDQHLKHLASAVAEASLATLLPGRKFLSRAGNQDPELGWVSPASDGGGSLEPRSECVSGLAQQLASYLSALQAQAQAQNGPQQEAALAELQSETSRLRLQLQAAKAEQAWLSARLQQETIHSAELEELLQAEQALFDAEKEKVEQRLLEMQASLEKQEGQAEQMDQRLASLEQQRISDAEQLVLLRQEKEMLSLTLSAKERELEKQIRNYEQTERLKEQVEQEVLRQSDDQAVLQSKLDEVQKQLDMKDQLLSSSEEQLAALDDRHQRAAEKLERQAEQLQTQLIGTTEQEKLKQVKMDQRLRELQQSADALEKQTQLLTELLSVREKETELQMQCLASEAQTREEERQAQIAEMETQQEKLRMELEMKERELSELAKALEQQHWSREKEWKERLQNVEKEHAAKQQELATKGEQEAQALQRAAADREQEAQSRETDLELKLCRVEGQIVEKAQTVTQIQVELQGKTVEIVSNQQQAEFLSSELLEKASSLGQLQEALDQRDREVAQLRVELAAKERVLAEKEQVARALVEEQRKAEQHLQELQTTAAEQQHRSESLKKQIEEKEEQIDRLDAQLEAGAEEAKQLQAELHTNKEWLAERRKEAEALDVQLANRAQEAAEMRSCVSQNEEELQLLQQNLGVKDLALAENLASLKVAAARLDAVETKLTNESSSLEAISQEARALAATVETQEQRLLEGELHSSELAERLTILESLSESQKAELGMLMEQLRERERVALHLEEQQEEKQGQASDGQLQLEVNVRRLRWAEQELVELQQRLEERAKQLLEREKSLSDVEGQLDVTQGALTDREGTLKQLRQRLAKGEEEWQDHQQQLLNVAEQCGALQQRLDQVAQRRRTLVSRVLTLAKDADQYDFQSFISSDLELSNEHGSGQAINDGEEVMVQTIDKIVQQLSLKEEQANRSLSAEHEMLETHNTELIEVTERLAKIMKEYDENQKQSQTLLASLETVRDQLEAKELDGQSKLQILEQSREHAEKELEQTKALLLLLQAPERGDMRGSGNPERSQAGVTLRLCCTLLSGSLRVHEAKLEMLDRDTASKMELFHRRFTVVERWLSLLRGHEGKLYGKFFEQEMQWQSEKRMLEDRARSWDVTLKEVDERLAKNVVDAKEMHLRLQTNVEALSECRQQEEELRVLLEDLNPEEVNEWRQPIPGGTAELRKELEQCWSRYQLVKAQLKRVLTAQRQQAEQSNKLKRWSAAQNEAMQQQLALVQEELVLQLSQPKMNNLGPSRTEM
+>sp|Q02318|CP27A_HUMAN Sterol 26-hydroxylase, mitochondrial OS=Homo sapiens OX=9606 GN=CYP27A1 PE=1 SV=1
+MAALGCARLRWALRGAGRGLCPHGARAKAAIPAALPSDKATGAPGAGPGVRRRQRSLEEIPRLGQLRFFFQLFVQGYALQLHQLQVLYKAKYGPMWMSYLGPQMHVNLASAPLLEQVMRQEGKYPVRNDMELWKEHRDQHDLTYGPFTTEGHHWYQLRQALNQRLLKPAEAALYTDAFNEVIDDFMTRLDQLRAESASGNQVSDMAQLFYYFALEAICYILFEKRIGCLQRSIPEDTVTFVRSIGLMFQNSLYATFLPKWTRPVLPFWKRYLDGWNAIFSFGKKLIDEKLEDMEAQLQAAGPDGIQVSGYLHFLLASGQLSPREAMGSLPELLMAGVDTTSNTLTWALYHLSKDPEIQEALHEEVVGVVPAGQVPQHKDFAHMPLLKAVLKETLRLYPVVPTNSRIIEKEIEVDGFLFPKNTQFVFCHYVVSRDPTAFSEPESFQPHRWLRNSQPATPRIQHPFGSVPFGYGVRACLGRRIAELEMQLLLARLIQKYKVVLAPETGELKSVARIVLVPNKKVGLQFLQRQC
+>DECOY_sp|Q02318|CP27A_HUMAN Sterol 26-hydroxylase, mitochondrial OS=Homo sapiens OX=9606 GN=CYP27A1 PE=1 SV=1
+CQRQLFQLGVKKNPVLVIRAVSKLEGTEPALVVKYKQILRALLLQMELEAIRRGLCARVGYGFPVSGFPHQIRPTAPQSNRLWRHPQFSEPESFATPDRSVVYHCFVFQTNKPFLFGDVEIEKEIIRSNTPVVPYLRLTEKLVAKLLPMHAFDKHQPVQGAPVVGVVEEHLAEQIEPDKSLHYLAWTLTNSTTDVGAMLLEPLSGMAERPSLQGSALLFHLYGSVQIGDPGAAQLQAEMDELKEDILKKGFSFIANWGDLYRKWFPLVPRTWKPLFTAYLSNQFMLGISRVFTVTDEPISRQLCGIRKEFLIYCIAELAFYYFLQAMDSVQNGSASEARLQDLRTMFDDIVENFADTYLAAEAPKLLRQNLAQRLQYWHHGETTFPGYTLDHQDRHEKWLEMDNRVPYKGEQRMVQELLPASALNVHMQPGLYSMWMPGYKAKYLVQLQHLQLAYGQVFLQFFFRLQGLRPIEELSRQRRRVGPGAGPAGTAKDSPLAAPIAAKARAGHPCLGRGAGRLAWRLRACGLAAM
+>sp|P11509|CP2A6_HUMAN Cytochrome P450 2A6 OS=Homo sapiens OX=9606 GN=CYP2A6 PE=1 SV=3
+MLASGMLLVALLVCLTVMVLMSVWQQRKSKGKLPPGPTPLPFIGNYLQLNTEQMYNSLMKISERYGPVFTIHLGPRRVVVLCGHDAVREALVDQAEEFSGRGEQATFDWVFKGYGVVFSNGERAKQLRRFSIATLRDFGVGKRGIEERIQEEAGFLIDALRGTGGANIDPTFFLSRTVSNVISSIVFGDRFDYKDKEFLSLLRMMLGIFQFTSTSTGQLYEMFSSVMKHLPGPQQQAFQLLQGLEDFIAKKVEHNQRTLDPNSPRDFIDSFLIRMQEEEKNPNTEFYLKNLVMTTLNLFIGGTETVSTTLRYGFLLLMKHPEVEAKVHEEIDRVIGKNRQPKFEDRAKMPYMEAVIHEIQRFGDVIPMSLARRVKKDTKFRDFFLPKGTEVFPMLGSVLRDPSFFSNPQDFNPQHFLNEKGQFKKSDAFVPFSIGKRNCFGEGLARMELFLFFTTVMQNFRLKSSQSPKDIDVSPKHVGFATIPRNYTMSFLPR
+>DECOY_sp|P11509|CP2A6_HUMAN Cytochrome P450 2A6 OS=Homo sapiens OX=9606 GN=CYP2A6 PE=1 SV=3
+RPLFSMTYNRPITAFGVHKPSVDIDKPSQSSKLRFNQMVTTFFLFLEMRALGEGFCNRKGISFPVFADSKKFQGKENLFHQPNFDQPNSFFSPDRLVSGLMPFVETGKPLFFDRFKTDKKVRRALSMPIVDGFRQIEHIVAEMYPMKARDEFKPQRNKGIVRDIEEHVKAEVEPHKMLLLFGYRLTTSVTETGGIFLNLTTMVLNKLYFETNPNKEEEQMRILFSDIFDRPSNPDLTRQNHEVKKAIFDELGQLLQFAQQQPGPLHKMVSSFMEYLQGTSTSTFQFIGLMMRLLSLFEKDKYDFRDGFVISSIVNSVTRSLFFTPDINAGGTGRLADILFGAEEQIREEIGRKGVGFDRLTAISFRRLQKAREGNSFVVGYGKFVWDFTAQEGRGSFEEAQDVLAERVADHGCLVVVRRPGLHITFVPGYRESIKMLSNYMQETNLQLYNGIFPLPTPGPPLKGKSKRQQWVSMLVMVTLCVLLAVLLMGSALM
+>sp|P20813|CP2B6_HUMAN Cytochrome P450 2B6 OS=Homo sapiens OX=9606 GN=CYP2B6 PE=1 SV=1
+MELSVLLFLALLTGLLLLLVQRHPNTHDRLPPGPRPLPLLGNLLQMDRRGLLKSFLRFREKYGDVFTVHLGPRPVVMLCGVEAIREALVDKAEAFSGRGKIAMVDPFFRGYGVIFANGNRWKVLRRFSVTTMRDFGMGKRSVEERIQEEAQCLIEELRKSKGALMDPTFLFQSITANIICSIVFGKRFHYQDQEFLKMLNLFYQTFSLISSVFGQLFELFSGFLKYFPGAHRQVYKNLQEINAYIGHSVEKHRETLDPSAPKDLIDTYLLHMEKEKSNAHSEFSHQNLNLNTLSLFFAGTETTSTTLRYGFLLMLKYPHVAERVYREIEQVIGPHRPPELHDRAKMPYTEAVIYEIQRFSDLLPMGVPHIVTQHTSFRGYIIPKDTEVFLILSTALHDPHYFEKPDAFNPDHFLDANGALKKTEAFIPFSLGKRICLGEGIARAELFLFFTTILQNFSMASPVAPEDIDLTPQECGVGKIPPTYQIRFLPR
+>DECOY_sp|P20813|CP2B6_HUMAN Cytochrome P450 2B6 OS=Homo sapiens OX=9606 GN=CYP2B6 PE=1 SV=1
+RPLFRIQYTPPIKGVGCEQPTLDIDEPAVPSAMSFNQLITTFFLFLEARAIGEGLCIRKGLSFPIFAETKKLAGNADLFHDPNFADPKEFYHPDHLATSLILFVETDKPIIYGRFSTHQTVIHPVGMPLLDSFRQIEYIVAETYPMKARDHLEPPRHPGIVQEIERYVREAVHPYKLMLLFGYRLTTSTTETGAFFLSLTNLNLNQHSFESHANSKEKEMHLLYTDILDKPASPDLTERHKEVSHGIYANIEQLNKYVQRHAGPFYKLFGSFLEFLQGFVSSILSFTQYFLNLMKLFEQDQYHFRKGFVISCIINATISQFLFTPDMLAGKSKRLEEILCQAEEQIREEVSRKGMGFDRMTTVSFRRLVKWRNGNAFIVGYGRFFPDVMAIKGRGSFAEAKDVLAERIAEVGCLMVVPRPGLHVTFVDGYKERFRLFSKLLGRRDMQLLNGLLPLPRPGPPLRDHTNPHRQVLLLLLGTLLALFLLVSLEM
+>sp|P11712|CP2C9_HUMAN Cytochrome P450 2C9 OS=Homo sapiens OX=9606 GN=CYP2C9 PE=1 SV=3
+MDSLVVLVLCLSCLLLLSLWRQSSGRGKLPPGPTPLPVIGNILQIGIKDISKSLTNLSKVYGPVFTLYFGLKPIVVLHGYEAVKEALIDLGEEFSGRGIFPLAERANRGFGIVFSNGKKWKEIRRFSLMTLRNFGMGKRSIEDRVQEEARCLVEELRKTKASPCDPTFILGCAPCNVICSIIFHKRFDYKDQQFLNLMEKLNENIKILSSPWIQICNNFSPIIDYFPGTHNKLLKNVAFMKSYILEKVKEHQESMDMNNPQDFIDCFLMKMEKEKHNQPSEFTIESLENTAVDLFGAGTETTSTTLRYALLLLLKHPEVTAKVQEEIERVIGRNRSPCMQDRSHMPYTDAVVHEVQRYIDLLPTSLPHAVTCDIKFRNYLIPKGTTILISLTSVLHDNKEFPNPEMFDPHHFLDEGGNFKKSKYFMPFSAGKRICVGEALAGMELFLFLTSILQNFNLKSLVDPKNLDTTPVVNGFASVPPFYQLCFIPV
+>DECOY_sp|P11712|CP2C9_HUMAN Cytochrome P450 2C9 OS=Homo sapiens OX=9606 GN=CYP2C9 PE=1 SV=3
+VPIFCLQYFPPVSAFGNVVPTTDLNKPDVLSKLNFNQLISTLFLFLEMGALAEGVCIRKGASFPMFYKSKKFNGGEDLFHHPDFMEPNPFEKNDHLVSTLSILITTGKPILYNRFKIDCTVAHPLSTPLLDIYRQVEHVVADTYPMHSRDQMCPSRNRGIVREIEEQVKATVEPHKLLLLLAYRLTTSTTETGAGFLDVATNELSEITFESPQNHKEKEMKMLFCDIFDQPNNMDMSEQHEKVKELIYSKMFAVNKLLKNHTGPFYDIIPSFNNCIQIWPSSLIKINENLKEMLNLFQQDKYDFRKHFIISCIVNCPACGLIFTPDCPSAKTKRLEEVLCRAEEQVRDEISRKGMGFNRLTMLSFRRIEKWKKGNSFVIGFGRNAREALPFIGRGSFEEGLDILAEKVAEYGHLVVIPKLGFYLTFVPGYVKSLNTLSKSIDKIGIQLINGIVPLPTPGPPLKGRGSSQRWLSLLLLCSLCLVLVVLSDM
+>sp|Q02928|CP4AB_HUMAN Cytochrome P450 4A11 OS=Homo sapiens OX=9606 GN=CYP4A11 PE=1 SV=1
+MSVSVLSPSRLLGDVSGILQAASLLILLLLLIKAVQLYLHRQWLLKALQQFPCPPSHWLFGHIQELQQDQELQRIQKWVETFPSACPHWLWGGKVRVQLYDPDYMKVILGRSDPKSHGSYRFLAPWIGYGLLLLNGQTWFQHRRMLTPAFHYDILKPYVGLMADSVRVMLDKWEELLGQDSPLEVFQHVSLMTLDTIMKCAFSHQGSIQVDRNSQSYIQAISDLNNLVFSRVRNAFHQNDTIYSLTSAGRWTHRACQLAHQHTDQVIQLRKAQLQKEGELEKIKRKRHLDFLDILLLAKMENGSILSDKDLRAEVDTFMFEGHDTTASGISWILYALATHPKHQERCREEIHSLLGDGASITWNHLDQMPYTTMCIKEALRLYPPVPGIGRELSTPVTFPDGRSLPKGIMVLLSIYGLHHNPKVWPNPEVFDPFRFAPGSAQHSHAFLPFSGGSRNCIGKQFAMNELKVATALTLLRFELLPDPTRIPIPIARLVLKSKNGIHLRLRRLPNPCEDKDQL
+>DECOY_sp|Q02928|CP4AB_HUMAN Cytochrome P450 4A11 OS=Homo sapiens OX=9606 GN=CYP4A11 PE=1 SV=1
+LQDKDECPNPLRRLRLHIGNKSKLVLRAIPIPIRTPDPLLEFRLLTLATAVKLENMAFQKGICNRSGGSFPLFAHSHQASGPAFRFPDFVEPNPWVKPNHHLGYISLLVMIGKPLSRGDPFTVPTSLERGIGPVPPYLRLAEKICMTTYPMQDLHNWTISAGDGLLSHIEERCREQHKPHTALAYLIWSIGSATTDHGEFMFTDVEARLDKDSLISGNEMKALLLIDLFDLHRKRKIKELEGEKQLQAKRLQIVQDTHQHALQCARHTWRGASTLSYITDNQHFANRVRSFVLNNLDSIAQIYSQSNRDVQISGQHSFACKMITDLTMLSVHQFVELPSDQGLLEEWKDLMVRVSDAMLGVYPKLIDYHFAPTLMRRHQFWTQGNLLLLGYGIWPALFRYSGHSKPDSRGLIVKMYDPDYLQVRVKGGWLWHPCASPFTEVWKQIRQLEQDQQLEQIHGFLWHSPPCPFQQLAKLLWQRHLYLQVAKILLLLLILLSAAQLIGSVDGLLRSPSLVSVSM
+>sp|Q8IWX8|CHERP_HUMAN Calcium homeostasis endoplasmic reticulum protein OS=Homo sapiens OX=9606 GN=CHERP PE=1 SV=3
+MEMPLPPDDQELRNVIDKLAQFVARNGPEFEKMTMEKQKDNPKFSFLFGGEFYSYYKCKLALEQQQLICKQQTPELEPAATMPPLPQPPLAPAAPIPPAQGAPSMDELIQQSQWNLQQQEQHLLALRQEQVTAAVAHAVEQQMQKLLEETQLDMNEFDNLLQPIIDTCTKDAISAGKNWMFSNAKSPPHCELMAGHLRNRITADGAHFELRLHLIYLINDVLHHCQRKQARELLAALQKVVVPIYCTSFLAVEEDKQQKIARLLQLWEKNGYFDDSIIQQLQSPALGLGQYQATLINEYSSVVQPVQLAFQQQIQTLKTQHEEFVTSLAQQQQQQQQQQQQLQMPQMEAEVKATPPPPAPPPAPAPAPAIPPTTQPDDSKPPIQMPGSSEYEAPGGVQDPAAAGPRGPGPHDQIPPNKPPWFDQPHPVAPWGQQQPPEQPPYPHHQGGPPHCPPWNNSHEGMWGEQRGDPGWNGQRDAPWNNQPDAAWNSQFEGPWNSQHEQPPWGGGQREPPFRMQRPPHFRGPFPPHQQHPQFNQPPHPHNFNRFPPRFMQDDFPPRHPFERPPYPHRFDYPQGDFPAEMGPPHHHPGHRMPHPGINEHPPWAGPQHPDFGPPPHGFNGQPPHMRRQGPPHINHDDPSLVPNVPYFDLPAGLMAPLVKLEDHEYKPLDPKDIRLPPPMPPSERLLAAVEAFYSPPSHDRPRNSEGWEQNGLYEFFRAKMRARRRKGQEKRNSGPSRSRSRSKSRGRSSSRSNSRSSKSSGSYSRSRSRSCSRSYSRSRSRSRSRSRSSRSRSRSQSRSRSKSYSPGRRRRSRSRSPTPPSSAGLGSNSAPPIPDSRLGEENKGHQMLVKMGWSGSGGLGAKEQGIQDPIKGGDVRDKWDQYKGVGVALDDPYENYRRNKSYSFIARMKARDECK
+>DECOY_sp|Q8IWX8|CHERP_HUMAN Calcium homeostasis endoplasmic reticulum protein OS=Homo sapiens OX=9606 GN=CHERP PE=1 SV=3
+KCEDRAKMRAIFSYSKNRRYNEYPDDLAVGVGKYQDWKDRVDGGKIPDQIGQEKAGLGGSGSWGMKVLMQHGKNEEGLRSDPIPPASNSGLGASSPPTPSRSRSRRRRGPSYSKSRSRSQSRSRSRSSRSRSRSRSRSRSYSRSCSRSRSRSYSGSSKSSRSNSRSSSRGRSKSRSRSRSPGSNRKEQGKRRRARMKARFFEYLGNQEWGESNRPRDHSPPSYFAEVAALLRESPPMPPPLRIDKPDLPKYEHDELKVLPAMLGAPLDFYPVNPVLSPDDHNIHPPGQRRMHPPQGNFGHPPPGFDPHQPGAWPPHENIGPHPMRHGPHHHPPGMEAPFDGQPYDFRHPYPPREFPHRPPFDDQMFRPPFRNFNHPHPPQNFQPHQQHPPFPGRFHPPRQMRFPPERQGGGWPPQEHQSNWPGEFQSNWAADPQNNWPADRQGNWGPDGRQEGWMGEHSNNWPPCHPPGGQHHPYPPQEPPQQQGWPAVPHPQDFWPPKNPPIQDHPGPGRPGAAAPDQVGGPAEYESSGPMQIPPKSDDPQTTPPIAPAPAPAPPPAPPPPTAKVEAEMQPMQLQQQQQQQQQQQQALSTVFEEHQTKLTQIQQQFALQVPQVVSSYENILTAQYQGLGLAPSQLQQIISDDFYGNKEWLQLLRAIKQQKDEEVALFSTCYIPVVVKQLAALLERAQKRQCHHLVDNILYILHLRLEFHAGDATIRNRLHGAMLECHPPSKANSFMWNKGASIADKTCTDIIPQLLNDFENMDLQTEELLKQMQQEVAHAVAATVQEQRLALLHQEQQQLNWQSQQILEDMSPAGQAPPIPAAPALPPQPLPPMTAAPELEPTQQKCILQQQELALKCKYYSYFEGGFLFSFKPNDKQKEMTMKEFEPGNRAVFQALKDIVNRLEQDDPPLPMEM
+>sp|Q9BY43|CHM4A_HUMAN Charged multivesicular body protein 4a OS=Homo sapiens OX=9606 GN=CHMP4A PE=1 SV=3
+MSGLGRLFGKGKKEKGPTPEEAIQKLKETEKILIKKQEFLEQKIQQELQTAKKYGTKNKRAALQALRRKKRFEQQLAQTDGTLSTLEFQREAIENATTNAEVLRTMELAAQSMKKAYQDMDIDKVDELMTDITEQQEVAQQISDAISRPMGFGDDVDEDELLEELEELEQEELAQELLNVGDKEEEPSVKLPSVPSTHLPAGPAPKVDEDEEALKQLAEWVS
+>DECOY_sp|Q9BY43|CHM4A_HUMAN Charged multivesicular body protein 4a OS=Homo sapiens OX=9606 GN=CHMP4A PE=1 SV=3
+SVWEALQKLAEEDEDVKPAPGAPLHTSPVSPLKVSPEEEKDGVNLLEQALEEQELEELEELLEDEDVDDGFGMPRSIADSIQQAVEQQETIDTMLEDVKDIDMDQYAKKMSQAALEMTRLVEANTTANEIAERQFELTSLTGDTQALQQEFRKKRRLAQLAARKNKTGYKKATQLEQQIKQELFEQKKILIKETEKLKQIAEEPTPGKEKKGKGFLRGLGSM
+>sp|Q9P2E5|CHPF2_HUMAN Chondroitin sulfate glucuronyltransferase OS=Homo sapiens OX=9606 GN=CHPF2 PE=2 SV=2
+MRLSSLLALLRPALPLILGLSLGCSLSLLRVSWIQGEGEDPCVEAVGERGGPQNPDSRARLDQSDEDFKPRIVPYYRDPNKPYKKVLRTRYIQTELGSRERLLVAVLTSRATLSTLAVAVNRTVAHHFPRLLYFTGQRGARAPAGMQVVSHGDERPAWLMSETLRHLHTHFGADYDWFFIMQDDTYVQAPRLAALAGHLSINQDLYLGRAEEFIGAGEQARYCHGGFGYLLSRSLLLRLRPHLDGCRGDILSARPDEWLGRCLIDSLGVGCVSQHQGQQYRSFELAKNRDPEKEGSSAFLSAFAVHPVSEGTLMYRLHKRFSALELERAYSEIEQLQAQIRNLTVLTPEGEAGLSWPVGLPAPFTPHSRFEVLGWDYFTEQHTFSCADGAPKCPLQGASRADVGDALETALEQLNRRYQPRLRFQKQRLLNGYRRFDPARGMEYTLDLLLECVTQRGHRRALARRVSLLRPLSRVEILPMPYVTEATRVQLVLPLLVAEAAAAPAFLEAFAANVLEPREHALLTLLLVYGPREGGRGAPDPFLGVKAAAAELERRYPGTRLAWLAVRAEAPSQVRLMDVVSKKHPVDTLFFLTTVWTRPGPEVLNRCRMNAISGWQAFFPVHFQEFNPALSPQRSPPGPPGAGPDPPSPPGADPSRGAPIGGRFDRQASAEGCFYNADYLAARARLAGELAGQEEEEALEGLEVMDVFLRFSGLHLFRAVEPGLVQKFSLRDCSPRLSEELYHRCRLSNLEGLGGRAQLAMALFEQEQANST
+>DECOY_sp|Q9P2E5|CHPF2_HUMAN Chondroitin sulfate glucuronyltransferase OS=Homo sapiens OX=9606 GN=CHPF2 PE=2 SV=2
+TSNAQEQEFLAMALQARGGLGELNSLRCRHYLEESLRPSCDRLSFKQVLGPEVARFLHLGSFRLFVDMVELGELAEEEEQGALEGALRARAALYDANYFCGEASAQRDFRGGIPAGRSPDAGPPSPPDPGAGPPGPPSRQPSLAPNFEQFHVPFFAQWGSIANMRCRNLVEPGPRTWVTTLFFLTDVPHKKSVVDMLRVQSPAEARVALWALRTGPYRRELEAAAAKVGLFPDPAGRGGERPGYVLLLTLLAHERPELVNAAFAELFAPAAAAEAVLLPLVLQVRTAETVYPMPLIEVRSLPRLLSVRRALARRHGRQTVCELLLDLTYEMGRAPDFRRYGNLLRQKQFRLRPQYRRNLQELATELADGVDARSAGQLPCKPAGDACSFTHQETFYDWGLVEFRSHPTFPAPLGVPWSLGAEGEPTLVTLNRIQAQLQEIESYARELELASFRKHLRYMLTGESVPHVAFASLFASSGEKEPDRNKALEFSRYQQGQHQSVCGVGLSDILCRGLWEDPRASLIDGRCGDLHPRLRLLLSRSLLYGFGGHCYRAQEGAGIFEEARGLYLDQNISLHGALAALRPAQVYTDDQMIFFWDYDAGFHTHLHRLTESMLWAPREDGHSVVQMGAPARAGRQGTFYLLRPFHHAVTRNVAVALTSLTARSTLVAVLLRERSGLETQIYRTRLVKKYPKNPDRYYPVIRPKFDEDSQDLRARSDPNQPGGREGVAEVCPDEGEGQIWSVRLLSLSCGLSLGLILPLAPRLLALLSSLRM
+>sp|Q9NRG0|CHRC1_HUMAN Chromatin accessibility complex protein 1 OS=Homo sapiens OX=9606 GN=CHRAC1 PE=1 SV=1
+MADVVVGKDKGGEQRLISLPLSRIRVIMKSSPEVSSINQEALVLTAKATELFVQCLATYSYRHGSGKEKKVLTYSDLANTAQQSETFQFLADILPKKILASKYLKMLKEEKREEDEENDNDNESDHDEADS
+>DECOY_sp|Q9NRG0|CHRC1_HUMAN Chromatin accessibility complex protein 1 OS=Homo sapiens OX=9606 GN=CHRAC1 PE=1 SV=1
+SDAEDHDSENDNDNEEDEERKEEKLMKLYKSALIKKPLIDALFQFTESQQATNALDSYTLVKKEKGSGHRYSYTALCQVFLETAKATLVLAEQNISSVEPSSKMIVRIRSLPLSILRQEGGKDKGVVVDAM
+>sp|Q9Y2V2|CHSP1_HUMAN Calcium-regulated heat-stable protein 1 OS=Homo sapiens OX=9606 GN=CARHSP1 PE=1 SV=2
+MSSEPPPPPQPPTHQASVGLLDTPRSRERSPSPLRGNVVPSPLPTRRTRTFSATVRASQGPVYKGVCKCFCRSKGHGFITPADGGPDIFLHISDVEGEYVPVEGDEVTYKMCSIPPKNEKLQAVEVVITHLAPGTKHETWSGHVISS
+>DECOY_sp|Q9Y2V2|CHSP1_HUMAN Calcium-regulated heat-stable protein 1 OS=Homo sapiens OX=9606 GN=CARHSP1 PE=1 SV=2
+SSIVHGSWTEHKTGPALHTIVVEVAQLKENKPPISCMKYTVEDGEVPVYEGEVDSIHLFIDPGGDAPTIFGHGKSRCFCKCVGKYVPGQSARVTASFTRTRRTPLPSPVVNGRLPSPSRERSRPTDLLGVSAQHTPPQPPPPPESSM
+>sp|Q9Y4C5|CHST2_HUMAN Carbohydrate sulfotransferase 2 OS=Homo sapiens OX=9606 GN=CHST2 PE=1 SV=2
+MSRSPQRALPPGALPRLLQAAPAAAPRALLPQWPRRPGRRWPASPLGMKVFRRKALVLCAGYALLLVLTMLNLLDYKWHKEPLQQCNPDGPLGAAAGAAGGSWGRPGPPPAGPPRAHARLDLRTPYRPPAAAVGAAPAAAAGMAGVAAPPGNGTRGTGGVGDKRQLVYVFTTWRSGSSFFGELFNQNPEVFFLYEPVWHVWQKLYPGDAVSLQGAARDMLSALYRCDLSVFQLYSPAGSGGRNLTTLGIFGAATNKVVCSSPLCPAYRKEVVGLVDDRVCKKCPPQRLARFEEECRKYRTLVIKGVRVFDVAVLAPLLRDPALDLKVIHLVRDPRAVASSRIRSRHGLIRESLQVVRSRDPRAHRMPFLEAAGHKLGAKKEGVGGPADYHALGAMEVICNSMAKTLQTALQPPDWLQGHYLVVRYEDLVGDPVKTLRRVYDFVGLLVSPEMEQFALNMTSGSGSSSKPFVVSARNATQAANAWRTALTFQQIKQVEEFCYQPMAVLGYERVNSPEEVKDLSKTLLRKPRL
+>DECOY_sp|Q9Y4C5|CHST2_HUMAN Carbohydrate sulfotransferase 2 OS=Homo sapiens OX=9606 GN=CHST2 PE=1 SV=2
+LRPKRLLTKSLDKVEEPSNVREYGLVAMPQYCFEEVQKIQQFTLATRWANAAQTANRASVVFPKSSSGSGSTMNLAFQEMEPSVLLGVFDYVRRLTKVPDGVLDEYRVVLYHGQLWDPPQLATQLTKAMSNCIVEMAGLAHYDAPGGVGEKKAGLKHGAAELFPMRHARPDRSRVVQLSERILGHRSRIRSSAVARPDRVLHIVKLDLAPDRLLPALVAVDFVRVGKIVLTRYKRCEEEFRALRQPPCKKCVRDDVLGVVEKRYAPCLPSSCVVKNTAAGFIGLTTLNRGGSGAPSYLQFVSLDCRYLASLMDRAAGQLSVADGPYLKQWVHWVPEYLFFVEPNQNFLEGFFSSGSRWTTFVYVLQRKDGVGGTGRTGNGPPAAVGAMGAAAAPAAGVAAAPPRYPTRLDLRAHARPPGAPPPGPRGWSGGAAGAAAGLPGDPNCQQLPEKHWKYDLLNLMTLVLLLAYGACLVLAKRRFVKMGLPSAPWRRGPRRPWQPLLARPAAAPAAQLLRPLAGPPLARQPSRSM
+>sp|Q9GZS9|CHST5_HUMAN Carbohydrate sulfotransferase 5 OS=Homo sapiens OX=9606 GN=CHST5 PE=2 SV=3
+MGMRARVPKVAHSTRRPPAARMWLPRFSSKTVTVLLLAQTTCLLLFIISRPGPSSPAGGEDRVHVLVLSSWRSGSSFLGQLFSQHPDVFYLMEPAWHVWTTLSQGSAATLHMAVRDLMRSIFLCDMDVFDAYMPQSRNLSAFFNWATSRALCSPPACSAFPRGTISKQDVCKTLCTRQPFSLAREACRSYSHVVLKEVRFFNLQVLYPLLSDPALNLRIVHLVRDPRAVLRSREAAGPILARDNGIVLGTNGKWVEADPHLRLIREVCRSHVRIAEAATLKPPPFLRGRYRLVRFEDLAREPLAEIRALYAFTGLTLTPQLEAWIHNITHGSGIGKPIEAFHTSSRNARNVSQAWRHALPFTKILRVQEVCAGALQLLGYRPVYSADQQRDLTLDLVLPRGPDHFSWASPD
+>DECOY_sp|Q9GZS9|CHST5_HUMAN Carbohydrate sulfotransferase 5 OS=Homo sapiens OX=9606 GN=CHST5 PE=2 SV=3
+DPSAWSFHDPGRPLVLDLTLDRQQDASYVPRYGLLQLAGACVEQVRLIKTFPLAHRWAQSVNRANRSSTHFAEIPKGIGSGHTINHIWAELQPTLTLGTFAYLARIEALPERALDEFRVLRYRGRLFPPPKLTAAEAIRVHSRCVERILRLHPDAEVWKGNTGLVIGNDRALIPGAAERSRLVARPDRVLHVIRLNLAPDSLLPYLVQLNFFRVEKLVVHSYSRCAERALSFPQRTCLTKCVDQKSITGRPFASCAPPSCLARSTAWNFFASLNRSQPMYADFVDMDCLFISRMLDRVAMHLTAASGQSLTTWVHWAPEMLYFVDPHQSFLQGLFSSGSRWSSLVLVHVRDEGGAPSSPGPRSIIFLLLCTTQALLLVTVTKSSFRPLWMRAAPPRRTSHAVKPVRARMGM
+>sp|Q7LFX5|CHSTF_HUMAN Carbohydrate sulfotransferase 15 OS=Homo sapiens OX=9606 GN=CHST15 PE=1 SV=1
+MRHCINCCIQLLPDGAHKQQVNCQGGPHHGHQACPTCKGENKILFRVDSKQMNLLAVLEVRTEGNENWGGFLRFKKGKRCSLVFGLIIMTLVMASYILSGAHQELLISSPFHYGGFPSNPSLMDSENPSDTKEHHHQSSVNNISYMKDYPSIKLIINSITTRIEFTTRQLPDLEDLKKQELHMFSVIPNKFLPNSKSPCWYEEFSGQNTTDPYLTNSYVLYSKRFRSTFDALRKAFWGHLAHAHGKHFRLRCLPHFYIIGQPKCGTTDLYDRLRLHPEVKFSAIKEPHWWTRKRFGIVRLRDGLRDRYPVEDYLDLFDLAAHQIHQGLQASSAKEQSKMNTIIIGEASASTMWDNNAWTFFYDNSTDGEPPFLTQDFIHAFQPNARLIVMLRDPVERLYSDYLYFASSNKSADDFHEKVTEALQLFENCMLDYSLRACVYNNTLNNAMPVRLQVGLYAVYLLDWLSVFDKQQFLILRLEDHASNVKYTMHKVFQFLNLGPLSEKQEALMTKSPASNARRPEDRNLGPMWPITQKILRDFYRPFNARLAQVLADEAFAWKTT
+>DECOY_sp|Q7LFX5|CHSTF_HUMAN Carbohydrate sulfotransferase 15 OS=Homo sapiens OX=9606 GN=CHST15 PE=1 SV=1
+TTKWAFAEDALVQALRANFPRYFDRLIKQTIPWMPGLNRDEPRRANSAPSKTMLAEQKESLPGLNLFQFVKHMTYKVNSAHDELRLILFQQKDFVSLWDLLYVAYLGVQLRVPMANNLTNNYVCARLSYDLMCNEFLQLAETVKEHFDDASKNSSAFYLYDSYLREVPDRLMVILRANPQFAHIFDQTLFPPEGDTSNDYFFTWANNDWMTSASAEGIIITNMKSQEKASSAQLGQHIQHAALDFLDLYDEVPYRDRLGDRLRVIGFRKRTWWHPEKIASFKVEPHLRLRDYLDTTGCKPQGIIYFHPLCRLRFHKGHAHALHGWFAKRLADFTSRFRKSYLVYSNTLYPDTTNQGSFEEYWCPSKSNPLFKNPIVSFMHLEQKKLDELDPLQRTTFEIRTTISNIILKISPYDKMYSINNVSSQHHHEKTDSPNESDMLSPNSPFGGYHFPSSILLEQHAGSLIYSAMVLTMIILGFVLSCRKGKKFRLFGGWNENGETRVELVALLNMQKSDVRFLIKNEGKCTPCAQHGHHPGGQCNVQQKHAGDPLLQICCNICHRM
+>sp|Q9Y3Y2|CHTOP_HUMAN Chromatin target of PRMT1 protein OS=Homo sapiens OX=9606 GN=CHTOP PE=1 SV=2
+MAAQSAPKVVLKSTTKMSLNERFTNMLKNKQPTPVNIRASMQQQQQLASARNRRLAQQMENRPSVQAALKLKQSLKQRLGKSNIQARLGRPIGALARGAIGGRGLPIIQRGLPRGGLRGGRATRTLLRGGMSLRGQNLLRGGRAVAPRMGLRRGGVRGRGGPGRGGLGRGAMGRGGIGGRGRGMIGRGRGGFGGRGRGRGRGRGALARPVLTKEQLDNQLDAYMSKTKGHLDAELDAYMAQTDPETND
+>DECOY_sp|Q9Y3Y2|CHTOP_HUMAN Chromatin target of PRMT1 protein OS=Homo sapiens OX=9606 GN=CHTOP PE=1 SV=2
+DNTEPDTQAMYADLEADLHGKTKSMYADLQNDLQEKTLVPRALAGRGRGRGRGRGGFGGRGRGIMGRGRGGIGGRGMAGRGLGGRGPGGRGRVGGRRLGMRPAVARGGRLLNQGRLSMGGRLLTRTARGGRLGGRPLGRQIIPLGRGGIAGRALAGIPRGLRAQINSKGLRQKLSQKLKLAAQVSPRNEMQQALRRNRASALQQQQQMSARINVPTPQKNKLMNTFRENLSMKTTSKLVVKPASQAAM
+>sp|Q8WUH1|CHUR_HUMAN Protein Churchill OS=Homo sapiens OX=9606 GN=CHURC1 PE=1 SV=2
+MRQPYLSSREVSSSRKRWRTFPVDCVAMCGDCVEKEYPNRGNTCLENGSFLLNFTGCAVCSKRDFMLITNKSLKEEDGEEIVTYDHLCKNCHHVIARHEYTFSIMDEFQEYTMLCLLCGKAEDTISILPDDPRQMTLLF
+>DECOY_sp|Q8WUH1|CHUR_HUMAN Protein Churchill OS=Homo sapiens OX=9606 GN=CHURC1 PE=1 SV=2
+FLLTMQRPDDPLISITDEAKGCLLCLMTYEQFEDMISFTYEHRAIVHHCNKCLHDYTVIEEGDEEKLSKNTILMFDRKSCVACGTFNLLFSGNELCTNGRNPYEKEVCDGCMAVCDVPFTRWRKRSSSVERSSLYPQRM
+>sp|Q9P2X8|CI027_HUMAN Putative uncharacterized protein encoded by LINC00474 OS=Homo sapiens OX=9606 GN=LINC00474 PE=5 SV=2
+MLCVSGFTSNLYSSKKDDKMKEISRTSNWGSSFSEKSGCMQTHPSMNLDCRDVTYVMNLLLIAHHHLLQ
+>DECOY_sp|Q9P2X8|CI027_HUMAN Putative uncharacterized protein encoded by LINC00474 OS=Homo sapiens OX=9606 GN=LINC00474 PE=5 SV=2
+QLLHHHAILLLNMVYTVDRCDLNMSPHTQMCGSKESFSSGWNSTRSIEKMKDDKKSSYLNSTFGSVCLM
+>sp|Q8IXQ3|CI040_HUMAN Uncharacterized protein C9orf40 OS=Homo sapiens OX=9606 GN=C9orf40 PE=1 SV=1
+MAKRRAAEPVTFHVPWKRLLLCDFAEQPPPPPLWIRPPGVAHAGQLLGVPEQHRKRKIDAGTMAEPSASPSKRRDSGDNSAPSGQEREDHGLETGDPPLPPPPVLPGPGEELPGARLPGGGGDDGAGRAGPPRGDWGVASRQHNEEFWQYNTFQYWRNPLPPIDLADIEDLSEDTLTEATLQGRNEGAEVDMES
+>DECOY_sp|Q8IXQ3|CI040_HUMAN Uncharacterized protein C9orf40 OS=Homo sapiens OX=9606 GN=C9orf40 PE=1 SV=1
+SEMDVEAGENRGQLTAETLTDESLDEIDALDIPPLPNRWYQFTNYQWFEENHQRSAVGWDGRPPGARGAGDDGGGGPLRAGPLEEGPGPLVPPPPLPPDGTELGHDEREQGSPASNDGSDRRKSPSASPEAMTGADIKRKRHQEPVGLLQGAHAVGPPRIWLPPPPPQEAFDCLLLRKWPVHFTVPEAARRKAM
+>sp|B1AMM8|CI107_HUMAN Putative uncharacterized protein encoded by LINC00587 OS=Homo sapiens OX=9606 GN=LINC00587 PE=5 SV=1
+MQFADWLHPSGWTIEILNAYGMGDRKRTNSMSKEAFTPEQLHLEKELGEMRLRPTVLHSQTDHQGFRPIPMMQ
+>DECOY_sp|B1AMM8|CI107_HUMAN Putative uncharacterized protein encoded by LINC00587 OS=Homo sapiens OX=9606 GN=LINC00587 PE=5 SV=1
+QMMPIPRFGQHDTQSHLVTPRLRMEGLEKELHLQEPTFAEKSMSNTRKRDGMGYANLIEITWGSPHLWDAFQM
+>sp|Q9H3H3|CK068_HUMAN UPF0696 protein C11orf68 OS=Homo sapiens OX=9606 GN=C11orf68 PE=1 SV=2
+MEPGEELEEEGSPGGREDGFTAEHLAAEAMAADMDPWLVFDARTTPATELDAWLAKYPPSQVTRYGDPGSPNSEPVGWIAVYGQGYSPNSGDVQGLQAAWEALQTSGRPITPGTLRQLAITHHVLSGKWLMHLAPGFKLDHAWAGIARAVVEGQLQVAKVSPRAKEGGRQVICVYTDDFTDRLGVLEADSAIRAAGIKCLLTYKPDVYTYLGIYRANRWHLCPTLYESRFQLGGSARGSRVLDRANNVELT
+>DECOY_sp|Q9H3H3|CK068_HUMAN UPF0696 protein C11orf68 OS=Homo sapiens OX=9606 GN=C11orf68 PE=1 SV=2
+TLEVNNARDLVRSGRASGGLQFRSEYLTPCLHWRNARYIGLYTYVDPKYTLLCKIGAARIASDAELVGLRDTFDDTYVCIVQRGGEKARPSVKAVQLQGEVVARAIGAWAHDLKFGPALHMLWKGSLVHHTIALQRLTGPTIPRGSTQLAEWAAQLGQVDGSNPSYGQGYVAIWGVPESNPSGPDGYRTVQSPPYKALWADLETAPTTRADFVLWPDMDAAMAEAALHEATFGDERGGPSGEEELEEGPEM
+>sp|Q86VG3|CK074_HUMAN Uncharacterized protein C11orf74 OS=Homo sapiens OX=9606 GN=C11orf74 PE=1 SV=1
+MSAHMSGLEIMDEDQLIKDVLDKFLNCHEQTYDEEFLNTFTHLSQEDHVSKRGVFGTDSSENIFTSAKVTHKNEADDYHLRNKTIFLRTSSQCLEEQVDNFLDLEDLDMDEEIKPQMSEDLLLLPGEVEQDVSTSIPSCIPFVAQPPTCEVKPKPSVKRMDKQTEEILGDEVQLFSLDEEFDYDNVMLTSKFSPAEIENIKELCKQQKRKDTSPDLEKSCD
+>DECOY_sp|Q86VG3|CK074_HUMAN Uncharacterized protein C11orf74 OS=Homo sapiens OX=9606 GN=C11orf74 PE=1 SV=1
+DCSKELDPSTDKRKQQKCLEKINEIEAPSFKSTLMVNDYDFEEDLSFLQVEDGLIEETQKDMRKVSPKPKVECTPPQAVFPICSPISTSVDQEVEGPLLLLDESMQPKIEEDMDLDELDLFNDVQEELCQSSTRLFITKNRLHYDDAENKHTVKASTFINESSDTGFVGRKSVHDEQSLHTFTNLFEEDYTQEHCNLFKDLVDKILQDEDMIELGSMHASM
+>sp|A6NJI1|CK086_HUMAN Uncharacterized protein C11orf86 OS=Homo sapiens OX=9606 GN=C11orf86 PE=4 SV=2
+MGTGLRSQSLREPRPSYGKLQEPWGRPQEGQLRRALSLRQGQEKSRSQGLERGTEGPDATAQERVPGSLGDTEQLIQAQRRGSRWWLRRYQQVRRRWESFVAIFPSVTLSQPASP
+>DECOY_sp|A6NJI1|CK086_HUMAN Uncharacterized protein C11orf86 OS=Homo sapiens OX=9606 GN=C11orf86 PE=4 SV=2
+PSAPQSLTVSPFIAVFSEWRRRVQQYRRLWWRSGRRQAQILQETDGLSGPVREQATADPGETGRELGQSRSKEQGQRLSLARRLQGEQPRGWPEQLKGYSPRPERLSQSRLGTGM
+>sp|A0A1B0GVM6|CK097_HUMAN Uncharacterized protein C11orf97 OS=Homo sapiens OX=9606 GN=C11orf97 PE=4 SV=1
+MTGEEAVVVTAVVAPKAGREEEQPPPPAGLGCGARGEPGRGPLEHGQQWKKFLYCEPHKRIKEVLEEERHIKRDECHIKNPAAVALEGIWSIKRNLPVGGLKPGLPSRNSLLPQAKYYSRHGGLRR
+>DECOY_sp|A0A1B0GVM6|CK097_HUMAN Uncharacterized protein C11orf97 OS=Homo sapiens OX=9606 GN=C11orf97 PE=4 SV=1
+RRLGGHRSYYKAQPLLSNRSPLGPKLGGVPLNRKISWIGELAVAAPNKIHCEDRKIHREEELVEKIRKHPECYLFKKWQQGHELPGRGPEGRAGCGLGAPPPPQEEERGAKPAVVATVVVAEEGTM
+>sp|E9PRG8|CK098_HUMAN Uncharacterized protein C11orf98 OS=Homo sapiens OX=9606 GN=C11orf98 PE=4 SV=1
+MGAPGGKINRPRTELKKKLFKRRRVLNRERRLRHRVVGAVIDQGLITRHHLKKRASSARANITLSGKKRRKLLQQIRLAQKEKTAMEGEAGTEVGEGNPGFIWDNTSFFPLLSGSPFKASQD
+>DECOY_sp|E9PRG8|CK098_HUMAN Uncharacterized protein C11orf98 OS=Homo sapiens OX=9606 GN=C11orf98 PE=4 SV=1
+DQSAKFPSGSLLPFFSTNDWIFGPNGEGVETGAEGEMATKEKQALRIQQLLKRRKKGSLTINARASSARKKLHHRTILGQDIVAGVVRHRLRRERNLVRRRKFLKKKLETRPRNIKGGPAGM
+>sp|Q7Z7J9|CK2N1_HUMAN Calcium/calmodulin-dependent protein kinase II inhibitor 1 OS=Homo sapiens OX=9606 GN=CAMK2N1 PE=1 SV=1
+MSEVLPYGDEKLSPYGDGGDVGQIFSCRLQDTNNFFGAGQNKRPPKLGQIGRSKRVVIEDDRIDDVLKNMTDKAPPGV
+>DECOY_sp|Q7Z7J9|CK2N1_HUMAN Calcium/calmodulin-dependent protein kinase II inhibitor 1 OS=Homo sapiens OX=9606 GN=CAMK2N1 PE=1 SV=1
+VGPPAKDTMNKLVDDIRDDEIVVRKSRGIQGLKPPRKNQGAGFFNNTDQLRCSFIQGVDGGDGYPSLKEDGYPLVESM
+>sp|Q07065|CKAP4_HUMAN Cytoskeleton-associated protein 4 OS=Homo sapiens OX=9606 GN=CKAP4 PE=1 SV=2
+MPSAKQRGSKGGHGAASPSEKGAHPSGGADDVAKKPPPAPQQPPPPPAPHPQQHPQQHPQNQAHGKGGHRGGGGGGGKSSSSSSASAAAAAAAASSSASCSRRLGRALNFLFYLALVAAAAFSGWCVHHVLEEVQQVRRSHQDFSRQREELGQGLQGVEQKVQSLQATFGTFESILRSSQHKQDLTEKAVKQGESEVSRISEVLQKLQNEILKDLSDGIHVVKDARERDFTSLENTVEERLTELTKSINDNIAIFTEVQKRSQKEINDMKAKVASLEESEGNKQDLKALKEAVKEIQTSAKSREWDMEALRSTLQTMESDIYTEVRELVSLKQEQQAFKEAADTERLALQALTEKLLRSEESVSRLPEEIRRLEEELRQLKSDSHGPKEDGGFRHSEAFEALQQKSQGLDSRLQHVEDGVLSMQVASARQTESLESLLSKSQEHEQRLAALQGRLEGLGSSEADQDGLASTVRSLGETQLVLYGDVEELKRSVGELPSTVESLQKVQEQVHTLLSQDQAQAARLPPQDFLDRLSSLDNLKASVSQVEADLKMLRTAVDSLVAYSVKIETNENNLESAKGLLDDLRNDLDRLFVKVEKIHEKV
+>DECOY_sp|Q07065|CKAP4_HUMAN Cytoskeleton-associated protein 4 OS=Homo sapiens OX=9606 GN=CKAP4 PE=1 SV=2
+VKEHIKEVKVFLRDLDNRLDDLLGKASELNNENTEIKVSYAVLSDVATRLMKLDAEVQSVSAKLNDLSSLRDLFDQPPLRAAQAQDQSLLTHVQEQVKQLSEVTSPLEGVSRKLEEVDGYLVLQTEGLSRVTSALGDQDAESSGLGELRGQLAALRQEHEQSKSLLSELSETQRASAVQMSLVGDEVHQLRSDLGQSKQQLAEFAESHRFGGDEKPGHSDSKLQRLEEELRRIEEPLRSVSEESRLLKETLAQLALRETDAAEKFAQQEQKLSVLERVETYIDSEMTQLTSRLAEMDWERSKASTQIEKVAEKLAKLDQKNGESEELSAVKAKMDNIEKQSRKQVETFIAINDNISKTLETLREEVTNELSTFDRERADKVVHIGDSLDKLIENQLKQLVESIRSVESEGQKVAKETLDQKHQSSRLISEFTGFTAQLSQVKQEVGQLGQGLEERQRSFDQHSRRVQQVEELVHHVCWGSFAAAAVLALYFLFNLARGLRRSCSASSSAAAAAAAASASSSSSSKGGGGGGGRHGGKGHAQNQPHQQPHQQPHPAPPPPPQQPAPPPKKAVDDAGGSPHAGKESPSAAGHGGKSGRQKASPM
+>sp|Q8IZ96|CKLF1_HUMAN CKLF-like MARVEL transmembrane domain-containing protein 1 OS=Homo sapiens OX=9606 GN=CMTM1 PE=2 SV=1
+MDPEHAKPESSEAPSGNLKQPETAAALSLILGALACFIITQANESFITITSLEICIVVFFILIYVLTLHHLLTYLHWPLLDLTNSIITAVFLSVVAILAMQEKKRRHLLYVGGSLCLTAVIVCCIDAFVVTTKMRTNLKRFLGVEVERKLSPAKDAYPETGPDAPQRPA
+>DECOY_sp|Q8IZ96|CKLF1_HUMAN CKLF-like MARVEL transmembrane domain-containing protein 1 OS=Homo sapiens OX=9606 GN=CMTM1 PE=2 SV=1
+APRQPADPGTEPYADKAPSLKREVEVGLFRKLNTRMKTTVVFADICCVIVATLCLSGGVYLLHRRKKEQMALIAVVSLFVATIISNTLDLLPWHLYTLLHHLTLVYILIFFVVICIELSTITIFSENAQTIIFCALAGLILSLAAATEPQKLNGSPAESSEPKAHEPDM
+>sp|Q8IZR5|CKLF4_HUMAN CKLF-like MARVEL transmembrane domain-containing protein 4 OS=Homo sapiens OX=9606 GN=CMTM4 PE=1 SV=1
+MRSGEELDGFEGEASSTSMISGASSPYQPTTEPVSQRRGLAGLRCDPDYLRGALGRLKVAQVILALIAFICIETIMACSPCEGLYFFEFVSCSAFVVTGVLLIMFSLNLHMRIPQINWNLTDLVNTGLSAFLFFIASIVLAALNHRAGAEIAAVIFGFLATAAYAVNTFLAVQKWRVSVRQQSTNDYIRARTESRDVDSRPEIQRLDTFSYSTNVTVRKKSPTNLLSLNHWQLA
+>DECOY_sp|Q8IZR5|CKLF4_HUMAN CKLF-like MARVEL transmembrane domain-containing protein 4 OS=Homo sapiens OX=9606 GN=CMTM4 PE=1 SV=1
+ALQWHNLSLLNTPSKKRVTVNTSYSFTDLRQIEPRSDVDRSETRARIYDNTSQQRVSVRWKQVALFTNVAYAATALFGFIVAAIEAGARHNLAALVISAIFFLFASLGTNVLDTLNWNIQPIRMHLNLSFMILLVGTVVFASCSVFEFFYLGECPSCAMITEICIFAILALIVQAVKLRGLAGRLYDPDCRLGALGRRQSVPETTPQYPSSAGSIMSTSSAEGEFGDLEEGSRM
+>sp|Q9NX76|CKLF6_HUMAN CKLF-like MARVEL transmembrane domain-containing protein 6 OS=Homo sapiens OX=9606 GN=CMTM6 PE=1 SV=1
+MENGAVYSPTTEEDPGPARGPRSGLAAYFFMGRLPLLRRVLKGLQLLLSLLAFICEEVVSQCTLCGGLYFFEFVSCSAFLLSLLILIVYCTPFYERVDTTKVKSSDFYITLGTGCVFLLASIIFVSTHDRTSAEIAAIVFGFIASFMFLLDFITMLYEKRQESQLRKPENTTRAEALTEPLNA
+>DECOY_sp|Q9NX76|CKLF6_HUMAN CKLF-like MARVEL transmembrane domain-containing protein 6 OS=Homo sapiens OX=9606 GN=CMTM6 PE=1 SV=1
+ANLPETLAEARTTNEPKRLQSEQRKEYLMTIFDLLFMFSAIFGFVIAAIEASTRDHTSVFIISALLFVCGTGLTIYFDSSKVKTTDVREYFPTCYVILILLSLLFASCSVFEFFYLGGCLTCQSVVEECIFALLSLLLQLGKLVRRLLPLRGMFFYAALGSRPGRAPGPDEETTPSYVAGNEM
+>sp|Q8IYA6|CKP2L_HUMAN Cytoskeleton-associated protein 2-like OS=Homo sapiens OX=9606 GN=CKAP2L PE=1 SV=4
+MVGPGPTAAAAVEERQRKLQEYLAAKGKLKSQNTKPYLKSKNNCQNQPPSKSTIRPKNDVTNHVVLPVKPKRSISIKLQPRPPNTAGSQKPKLEPPKLLGKRLTSECVSSNPYSKPSSKSFQQCEAGSSTTGELSRKPVGSLNIEQLKTTKQQLTDQGNGKCIDFMNNIHVENESLDNFLKETNKENLLDILTEPERKPDPKLYTRSKPKTDSYNQTKNSLVPKQALGKSSVNSAVLKDRVNKQFVGETQSRTFPVKSQQLSRGADLARPGVKPSRTVPSHFIRTLSKVQSSKKPVVKNIKDIKVNRSQYERPNETKIRSYPVTEQRVKHTKPRTYPSLLQGEYNNRHPNIKQDQKSSQVCIPQTSCVLQKSKAISQRPNLTVGRFNSAIPSTPSIRPNGTSGNKHNNNGFQQKAQTLDSKLKKAVPQNHFLNKTAPKTQADVTTVNGTQTNPNIKKKATAEDRRKQLEEWQKSKGKTYKRPPMELKTKRKVIKEMNISFWKSIEKEEEEKKAQLELSSKINNTLTECLNLIEGGVPSNEILNILSSIPEAEKFAKFWICKAKLLASKGTFDVIGLYEEAIKNGATPIQELRKVVLNILQDSNRTTEGITSDSLVAETSITSVEELAKKMESVKSCLSPKEREQVTATPRIAKAEQHNYPGIKLQIGPIPRINGMPEVQDMKFITPVRRSSRIERAVSRYPEMLQEHDLVVASLDELLEVEETKCFIFRRNEALPVTLGFQTPES
+>DECOY_sp|Q8IYA6|CKP2L_HUMAN Cytoskeleton-associated protein 2-like OS=Homo sapiens OX=9606 GN=CKAP2L PE=1 SV=4
+SEPTQFGLTVPLAENRRFIFCKTEEVELLEDLSAVVLDHEQLMEPYRSVAREIRSSRRVPTIFKMDQVEPMGNIRPIPGIQLKIGPYNHQEAKAIRPTATVQEREKPSLCSKVSEMKKALEEVSTISTEAVLSDSTIGETTRNSDQLINLVVKRLEQIPTAGNKIAEEYLGIVDFTGKSALLKAKCIWFKAFKEAEPISSLINLIENSPVGGEILNLCETLTNNIKSSLELQAKKEEEEKEISKWFSINMEKIVKRKTKLEMPPRKYTKGKSKQWEELQKRRDEATAKKKINPNTQTGNVTTVDAQTKPATKNLFHNQPVAKKLKSDLTQAKQQFGNNNHKNGSTGNPRISPTSPIASNFRGVTLNPRQSIAKSKQLVCSTQPICVQSSKQDQKINPHRNNYEGQLLSPYTRPKTHKVRQETVPYSRIKTENPREYQSRNVKIDKINKVVPKKSSQVKSLTRIFHSPVTRSPKVGPRALDAGRSLQQSKVPFTRSQTEGVFQKNVRDKLVASNVSSKGLAQKPVLSNKTQNYSDTKPKSRTYLKPDPKREPETLIDLLNEKNTEKLFNDLSENEVHINNMFDICKGNGQDTLQQKTTKLQEINLSGVPKRSLEGTTSSGAECQQFSKSSPKSYPNSSVCESTLRKGLLKPPELKPKQSGATNPPRPQLKISISRKPKVPLVVHNTVDNKPRITSKSPPQNQCNNKSKLYPKTNQSKLKGKAALYEQLKRQREEVAAAATPGPGVM
+>sp|P61024|CKS1_HUMAN Cyclin-dependent kinases regulatory subunit 1 OS=Homo sapiens OX=9606 GN=CKS1B PE=1 SV=1
+MSHKQIYYSDKYDDEEFEYRHVMLPKDIAKLVPKTHLMSESEWRNLGVQQSQGWVHYMIHEPEPHILLFRRPLPKKPKK
+>DECOY_sp|P61024|CKS1_HUMAN Cyclin-dependent kinases regulatory subunit 1 OS=Homo sapiens OX=9606 GN=CKS1B PE=1 SV=1
+KKPKKPLPRRFLLIHPEPEHIMYHVWGQSQQVGLNRWESESMLHTKPVLKAIDKPLMVHRYEFEEDDYKDSYYIQKHSM
+>sp|Q9NQ89|CL004_HUMAN Protein C12orf4 OS=Homo sapiens OX=9606 GN=C12orf4 PE=2 SV=1
+MKKNRERFCNREREFVYKFKVGSQCLELRVPLKFPVQENASHLHGRLMLLHSLPCFIEKDLKEALTQFIEEESLSDYDRDAEASLAAVKSGEVDLHQLASTWAKAYAETTLEHARPEEPSWDEDFADVYHDLIHSPASETLLNLEHNYFVSISELIGERDVELKKLRERQGIEMEKVMQELGKSLTDQDVNSLAAQHFESQQDLENKWSNELKQSTAIQKQEYQEWVIKLHQDLKNPNNSSLSEEIKVQPSQFRESVEAIGRIYEEQRKLEESFTIHLGAQLKTMHNLRLLRADMLDFCKHKRNHRSGVKLHRLQTALSLYSTSLCGLVLLVDNRINSYSGIKRDFATVCQECTDFHFPRIEEQLEVVQQVVLYARTQRRSKLKESLDSGNQNGGNDDKTKNAERNYLNVLPGEFYITRHSNLSEIHVAFHLCVDDHVKSGNITARDPAIMGLRNILKVCCTHDITTISIPLLLVHDMSEEMTIPWCLRRAELVFKCVKGFMMEMASWDGGISRTVQFLVPQSISEEMFYQLSNMLPQIFRVSSTLTLTSKH
+>DECOY_sp|Q9NQ89|CL004_HUMAN Protein C12orf4 OS=Homo sapiens OX=9606 GN=C12orf4 PE=2 SV=1
+HKSTLTLTSSVRFIQPLMNSLQYFMEESISQPVLFQVTRSIGGDWSAMEMMFGKVCKFVLEARRLCWPITMEESMDHVLLLPISITTIDHTCCVKLINRLGMIAPDRATINGSKVHDDVCLHFAVHIESLNSHRTIYFEGPLVNLYNREANKTKDDNGGNQNGSDLSEKLKSRRQTRAYLVVQQVVELQEEIRPFHFDTCEQCVTAFDRKIGSYSNIRNDVLLVLGCLSTSYLSLATQLRHLKVGSRHNRKHKCFDLMDARLLRLNHMTKLQAGLHITFSEELKRQEEYIRGIAEVSERFQSPQVKIEESLSSNNPNKLDQHLKIVWEQYEQKQIATSQKLENSWKNELDQQSEFHQAALSNVDQDTLSKGLEQMVKEMEIGQRERLKKLEVDREGILESISVFYNHELNLLTESAPSHILDHYVDAFDEDWSPEEPRAHELTTEAYAKAWTSALQHLDVEGSKVAALSAEADRDYDSLSEEEIFQTLAEKLDKEIFCPLSHLLMLRGHLHSANEQVPFKLPVRLELCQSGVKFKYVFERERNCFRERNKKM
+>sp|Q96LM1|CL037_HUMAN Putative uncharacterized protein encoded by LINC00615 OS=Homo sapiens OX=9606 GN=LINC00615 PE=5 SV=1
+MKQKQEVMFQSRGRLSLYIQMSSVYSAKLGPVGGICGQKQKPSFFFFKAQSQDARPLAPAACISKIAKAGRELPGRHLPGQKTPTLAGRHVPLKIEKEAIVYYVAVMSDWDTSCLQRTIKPLSCPHLGLTPS
+>DECOY_sp|Q96LM1|CL037_HUMAN Putative uncharacterized protein encoded by LINC00615 OS=Homo sapiens OX=9606 GN=LINC00615 PE=5 SV=1
+SPTLGLHPCSLPKITRQLCSTDWDSMVAVYYVIAEKEIKLPVHRGALTPTKQGPLHRGPLERGAKAIKSICAAPALPRADQSQAKFFFFSPKQKQGCIGGVPGLKASYVSSMQIYLSLRGRSQFMVEQKQKM
+>sp|Q8NHS4|CLHC1_HUMAN Clathrin heavy chain linker domain-containing protein 1 OS=Homo sapiens OX=9606 GN=CLHC1 PE=1 SV=3
+MSVHQIRKHAVLPPIICRSDKEFLESVQRYIITETERLGCSEEGPADEYYIIYRNVFDKVIEHITAYKSILTSIKKEYDAFIETIKKDRRTTFCLHGKLKGLAAEPTALVYYRKRTIQLEAKMRIIESNSSKIQSQIDHIKQCRAEYDTKEVKYCTFSKDPSKPIPGMTLQESMNLDALTKYMKHLEDKYAEIKQAMLIKYVPAQRKADLDEEMIVLLKRRDVAENLNKKLQFCHQRLQIISQALSSWVKSDMSSPFQDFVEQIQKTKYLQGDQGIVEELMEDDPRRAKEAEIMLHYIERFNELISLGEYEKAACYAANSPRRILRNIGTMNTFKAVGKIRGKPLPLLLFFEALFITSHAFPCPVDAALTLEGIKCGLSEKRLDLVTNWVTQERLTFSEEAGDVICDYGEQDTYNKAKCLALAQIVYSECGLHKKAILCLCKQGQTHRVMEYIQQLKDFTTDDLLQLLMSCPQVELIQCLTKELNEKQPSLSFGLAILHLFSADMKKVGIKLLQEINKGGIDAVESLMINDSFCSIEKWQEVANICSQNGFDKLSNDITSILRSQAAVTEISEEDDAVNLMEHVFW
+>DECOY_sp|Q8NHS4|CLHC1_HUMAN Clathrin heavy chain linker domain-containing protein 1 OS=Homo sapiens OX=9606 GN=CLHC1 PE=1 SV=3
+WFVHEMLNVADDEESIETVAAQSRLISTIDNSLKDFGNQSCINAVEQWKEISCFSDNIMLSEVADIGGKNIEQLLKIGVKKMDASFLHLIALGFSLSPQKENLEKTLCQILEVQPCSMLLQLLDDTTFDKLQQIYEMVRHTQGQKCLCLIAKKHLGCESYVIQALALCKAKNYTDQEGYDCIVDGAEESFTLREQTVWNTVLDLRKESLGCKIGELTLAADVPCPFAHSTIFLAEFFLLLPLPKGRIKGVAKFTNMTGINRLIRRPSNAAYCAAKEYEGLSILENFREIYHLMIEAEKARRPDDEMLEEVIGQDGQLYKTKQIQEVFDQFPSSMDSKVWSSLAQSIIQLRQHCFQLKKNLNEAVDRRKLLVIMEEDLDAKRQAPVYKILMAQKIEAYKDELHKMYKTLADLNMSEQLTMGPIPKSPDKSFTCYKVEKTDYEARCQKIHDIQSQIKSSNSEIIRMKAELQITRKRYYVLATPEAALGKLKGHLCFTTRRDKKITEIFADYEKKISTLISKYATIHEIVKDFVNRYIIYYEDAPGEESCGLRETETIIYRQVSELFEKDSRCIIPPLVAHKRIQHVSM
+>sp|O00299|CLIC1_HUMAN Chloride intracellular channel protein 1 OS=Homo sapiens OX=9606 GN=CLIC1 PE=1 SV=4
+MAEEQPQVELFVKAGSDGAKIGNCPFSQRLFMVLWLKGVTFNVTTVDTKRRTETVQKLCPGGQLPFLLYGTEVHTDTNKIEEFLEAVLCPPRYPKLAALNPESNTAGLDIFAKFSAYIKNSNPALNDNLEKGLLKALKVLDNYLTSPLPEEVDETSAEDEGVSQRKFLDGNELTLADCNLLPKLHIVQVVCKKYRGFTIPEAFRGVHRYLSNAYAREEFASTCPDDEEIELAYEQVAKALK
+>DECOY_sp|O00299|CLIC1_HUMAN Chloride intracellular channel protein 1 OS=Homo sapiens OX=9606 GN=CLIC1 PE=1 SV=4
+KLAKAVQEYALEIEEDDPCTSAFEERAYANSLYRHVGRFAEPITFGRYKKCVVQVIHLKPLLNCDALTLENGDLFKRQSVGEDEASTEDVEEPLPSTLYNDLVKLAKLLGKELNDNLAPNSNKIYASFKAFIDLGATNSEPNLAALKPYRPPCLVAELFEEIKNTDTHVETGYLLFPLQGGPCLKQVTETRRKTDVTTVNFTVGKLWLVMFLRQSFPCNGIKAGDSGAKVFLEVQPQEEAM
+>sp|Q9NZA1|CLIC5_HUMAN Chloride intracellular channel protein 5 OS=Homo sapiens OX=9606 GN=CLIC5 PE=1 SV=3
+MNDEDYSTIYDTIQNERTYEVPDQPEENESPHYDDVHEYLRPENDLYATQLNTHEYDFVSVYTIKGEETSLASVQSEDRGYLLPDEIYSELQEAHPGEPQEDRGISMEGLYSSTQDQQLCAAELQENGSVMKEDLPSPSSFTIQHSKAFSTTKYSCYSDAEGLEEKEGAHMNPEIYLFVKAGIDGESIGNCPFSQRLFMILWLKGVVFNVTTVDLKRKPADLHNLAPGTHPPFLTFNGDVKTDVNKIEEFLEETLTPEKYPKLAAKHRESNTAGIDIFSKFSAYIKNTKQQNNAALERGLTKALKKLDDYLNTPLPEEIDANTCGEDKGSRRKFLDGDELTLADCNLLPKLHVVKIVAKKYRNYDIPAEMTGLWRYLKNAYARDEFTNTCAADSEIELAYADVAKRLSRS
+>DECOY_sp|Q9NZA1|CLIC5_HUMAN Chloride intracellular channel protein 5 OS=Homo sapiens OX=9606 GN=CLIC5 PE=1 SV=3
+SRSLRKAVDAYALEIESDAACTNTFEDRAYANKLYRWLGTMEAPIDYNRYKKAVIKVVHLKPLLNCDALTLEDGDLFKRRSGKDEGCTNADIEEPLPTNLYDDLKKLAKTLGRELAANNQQKTNKIYASFKSFIDIGATNSERHKAALKPYKEPTLTEELFEEIKNVDTKVDGNFTLFPPHTGPALNHLDAPKRKLDVTTVNFVVGKLWLIMFLRQSFPCNGISEGDIGAKVFLYIEPNMHAGEKEELGEADSYCSYKTTSFAKSHQITFSSPSPLDEKMVSGNEQLEAACLQQDQTSSYLGEMSIGRDEQPEGPHAEQLESYIEDPLLYGRDESQVSALSTEEGKITYVSVFDYEHTNLQTAYLDNEPRLYEHVDDYHPSENEEPQDPVEYTRENQITDYITSYDEDNM
+>sp|P49761|CLK3_HUMAN Dual specificity protein kinase CLK3 OS=Homo sapiens OX=9606 GN=CLK3 PE=1 SV=3
+MPVLSARRRELADHAGSGRRSGPSPTARSGPHLSALRAQPARAAHLSGRGTYVRRDTAGGGPGQARPLGPPGTSLLGRGARRSGEGWCPGAFESGARAARPPSRVEPRLATAASREGAGLPRAEVAAGSGRGARSGEWGLAAAGAWETMHHCKRYRSPEPDPYLSYRWKRRRSYSREHEGRLRYPSRREPPPRRSRSRSHDRLPYQRRYRERRDSDTYRCEERSPSFGEDYYGPSRSRHRRRSRERGPYRTRKHAHHCHKRRTRSCSSASSRSQQSSKRSSRSVEDDKEGHLVCRIGDWLQERYEIVGNLGEGTFGKVVECLDHARGKSQVALKIIRNVGKYREAARLEINVLKKIKEKDKENKFLCVLMSDWFNFHGHMCIAFELLGKNTFEFLKENNFQPYPLPHVRHMAYQLCHALRFLHENQLTHTDLKPENILFVNSEFETLYNEHKSCEEKSVKNTSIRVADFGSATFDHEHHTTIVATRHYRPPEVILELGWAQPCDVWSIGCILFEYYRGFTLFQTHENREHLVMMEKILGPIPSHMIHRTRKQKYFYKGGLVWDENSSDGRYVKENCKPLKSYMLQDSLEHVQLFDLMRRMLEFDPAQRITLAEALLHPFFAGLTPEERSFHTSRNPSR
+>DECOY_sp|P49761|CLK3_HUMAN Dual specificity protein kinase CLK3 OS=Homo sapiens OX=9606 GN=CLK3 PE=1 SV=3
+RSPNRSTHFSREEPTLGAFFPHLLAEALTIRQAPDFELMRRMLDFLQVHELSDQLMYSKLPKCNEKVYRGDSSNEDWVLGGKYFYKQKRTRHIMHSPIPGLIKEMMVLHERNEHTQFLTFGRYYEFLICGISWVDCPQAWGLELIVEPPRYHRTAVITTHHEHDFTASGFDAVRISTNKVSKEECSKHENYLTEFESNVFLINEPKLDTHTLQNEHLFRLAHCLQYAMHRVHPLPYPQFNNEKLFEFTNKGLLEFAICMHGHFNFWDSMLVCLFKNEKDKEKIKKLVNIELRAAERYKGVNRIIKLAVQSKGRAHDLCEVVKGFTGEGLNGVIEYREQLWDGIRCVLHGEKDDEVSRSSRKSSQQSRSSASSCSRTRRKHCHHAHKRTRYPGRERSRRRHRSRSPGYYDEGFSPSREECRYTDSDRRERYRRQYPLRDHSRSRSRRPPPERRSPYRLRGEHERSYSRRRKWRYSLYPDPEPSRYRKCHHMTEWAGAAALGWEGSRAGRGSGAAVEARPLGAGERSAATALRPEVRSPPRAARAGSEFAGPCWGEGSRRAGRGLLSTGPPGLPRAQGPGGGATDRRVYTGRGSLHAARAPQARLASLHPGSRATPSPGSRRGSGAHDALERRRASLVPM
+>sp|Q9UBY8|CLN8_HUMAN Protein CLN8 OS=Homo sapiens OX=9606 GN=CLN8 PE=1 SV=3
+MNPASDGGTSESIFDLDYASWGIRSTLMVAGFVFYLGVFVVCHQLSSSLNATYRSLVAREKVFWDLAATRAVFGVQSTAAGLWALLGDPVLHADKARGQQNWCWFHITTATGFFCFENVAVHLSNLIFRTFDLFLVIHHLFAFLGFLGCLVNLQAGHYLAMTTLLLEMSTPFTCVSWMLLKAGWSESLFWKLNQWLMIHMFHCRMVLTYHMWWVCFWHWDGLVSSLYLPHLTLFLVGLALLTLIINPYWTHKKTQQLLNPVDWNFAQPEAKSRPEGNGQLLRKKRP
+>DECOY_sp|Q9UBY8|CLN8_HUMAN Protein CLN8 OS=Homo sapiens OX=9606 GN=CLN8 PE=1 SV=3
+PRKKRLLQGNGEPRSKAEPQAFNWDVPNLLQQTKKHTWYPNIILTLLALGVLFLTLHPLYLSSVLGDWHWFCVWWMHYTLVMRCHFMHIMLWQNLKWFLSESWGAKLLMWSVCTFPTSMELLLTTMALYHGAQLNVLCGLFGLFAFLHHIVLFLDFTRFILNSLHVAVNEFCFFGTATTIHFWCWNQQGRAKDAHLVPDGLLAWLGAATSQVGFVARTAALDWFVKERAVLSRYTANLSSSLQHCVVFVGLYFVFGAVMLTSRIGWSAYDLDFISESTGGDSAPNM
+>sp|Q16740|CLPP_HUMAN ATP-dependent Clp protease proteolytic subunit, mitochondrial OS=Homo sapiens OX=9606 GN=CLPP PE=1 SV=1
+MWPGILVGGARVASCRYPALGPRLAAHFPAQRPPQRTLQNGLALQRCLHATATRALPLIPIVVEQTGRGERAYDIYSRLLRERIVCVMGPIDDSVASLVIAQLLFLQSESNKKPIHMYINSPGGVVTAGLAIYDTMQYILNPICTWCVGQAASMGSLLLAAGTPGMRHSLPNSRIMIHQPSGGARGQATDIAIQAEEIMKLKKQLYNIYAKHTKQSLQVIESAMERDRYMSPMEAQEFGILDKVLVHPPQDGEDEPTLVQKEPVEAAPAAEPVPAST
+>DECOY_sp|Q16740|CLPP_HUMAN ATP-dependent Clp protease proteolytic subunit, mitochondrial OS=Homo sapiens OX=9606 GN=CLPP PE=1 SV=1
+TSAPVPEAAPAAEVPEKQVLTPEDEGDQPPHVLVKDLIGFEQAEMPSMYRDREMASEIVQLSQKTHKAYINYLQKKLKMIEEAQIAIDTAQGRAGGSPQHIMIRSNPLSHRMGPTGAALLLSGMSAAQGVCWTCIPNLIYQMTDYIALGATVVGGPSNIYMHIPKKNSESQLFLLQAIVLSAVSDDIPGMVCVIRERLLRSYIDYAREGRGTQEVVIPILPLARTATAHLCRQLALGNQLTRQPPRQAPFHAALRPGLAPYRCSAVRAGGVLIGPWM
+>sp|A0PK11|CLRN2_HUMAN Clarin-2 OS=Homo sapiens OX=9606 GN=CLRN2 PE=2 SV=1
+MPGWFKKAWYGLASLLSFSSFILIIVALVVPHWLSGKILCQTGVDLVNATDRELVKFIGDIYYGLFRGCKVRQCGLGGRQSQFTIFPHLVKELNAGLHVMILLLLFLALALALVSMGFAILNMIQVPYRAVSGPGGICLWNVLAGGVVALAIASFVAAVKFHDLTERIANFQEKLFQFVVVEEQYEESFWICVASASAHAANLVVVAISQIPLPEIKTKIEEATVTAEDILY
+>DECOY_sp|A0PK11|CLRN2_HUMAN Clarin-2 OS=Homo sapiens OX=9606 GN=CLRN2 PE=2 SV=1
+YLIDEATVTAEEIKTKIEPLPIQSIAVVVLNAAHASASAVCIWFSEEYQEEVVVFQFLKEQFNAIRETLDHFKVAAVFSAIALAVVGGALVNWLCIGGPGSVARYPVQIMNLIAFGMSVLALALALFLLLLIMVHLGANLEKVLHPFITFQSQRGGLGCQRVKCGRFLGYYIDGIFKVLERDTANVLDVGTQCLIKGSLWHPVVLAVIILIFSSFSLLSALGYWAKKFWGPM
+>sp|Q8NCR9|CLRN3_HUMAN Clarin-3 OS=Homo sapiens OX=9606 GN=CLRN3 PE=2 SV=1
+MPTTKKTLMFLSSFFTSLGSFIVICSILGTQAWITSTIAVRDSASNGSIFITYGLFRGESSEELSHGLAEPKKKFAVLEILNNSSQKTLHSVTILFLVLSLITSLLSSGFTFYNSISNPYQTFLGPTGVYTWNGLGASFVFVTMILFVANTQSNQLSEELFQMLYPATTSKGTTHSYGYSFWLILLVILLNIVTVTIIIFYQKARYQRKQEQRKPMEYAPRDGILF
+>DECOY_sp|Q8NCR9|CLRN3_HUMAN Clarin-3 OS=Homo sapiens OX=9606 GN=CLRN3 PE=2 SV=1
+FLIGDRPAYEMPKRQEQKRQYRAKQYFIIITVTVINLLIVLLILWFSYGYSHTTGKSTTAPYLMQFLEESLQNSQTNAVFLIMTVFVFSAGLGNWTYVGTPGLFTQYPNSISNYFTFGSSLLSTILSLVLFLITVSHLTKQSSNNLIELVAFKKKPEALGHSLEESSEGRFLGYTIFISGNSASDRVAITSTIWAQTGLISCIVIFSGLSTFFSSLFMLTKKTTPM
+>sp|Q9Y271|CLTR1_HUMAN Cysteinyl leukotriene receptor 1 OS=Homo sapiens OX=9606 GN=CYSLTR1 PE=1 SV=1
+MDETGNLTVSSATCHDTIDDFRNQVYSTLYSMISVVGFFGNGFVLYVLIKTYHKKSAFQVYMINLAVADLLCVCTLPLRVVYYVHKGIWLFGDFLCRLSTYALYVNLYCSIFFMTAMSFFRCIAIVFPVQNINLVTQKKARFVCVGIWIFVILTSSPFLMAKPQKDEKNNTKCFEPPQDNQTKNHVLVLHYVSLFVGFIIPFVIIIVCYTMIILTLLKKSMKKNLSSHKKAIGMIMVVTAAFLVSFMPYHIQRTIHLHFLHNETKPCDSVLRMQKSVVITLSLAASNCCFDPLLYFFSGGNFRKRLSTFRKHSLSSVTYVPRKKASLPEKGEEICKV
+>DECOY_sp|Q9Y271|CLTR1_HUMAN Cysteinyl leukotriene receptor 1 OS=Homo sapiens OX=9606 GN=CYSLTR1 PE=1 SV=1
+VKCIEEGKEPLSAKKRPVYTVSSLSHKRFTSLRKRFNGGSFFYLLPDFCCNSAALSLTIVVSKQMRLVSDCPKTENHLFHLHITRQIHYPMFSVLFAATVVMIMGIAKKHSSLNKKMSKKLLTLIIMTYCVIIIVFPIIFGVFLSVYHLVLVHNKTQNDQPPEFCKTNNKEDKQPKAMLFPSSTLIVFIWIGVCVFRAKKQTVLNINQVPFVIAICRFFSMATMFFISCYLNVYLAYTSLRCLFDGFLWIGKHVYYVVRLPLTCVCLLDAVALNIMYVQFASKKHYTKILVYLVFGNGFFGVVSIMSYLTSYVQNRFDDITDHCTASSVTLNGTEDM
+>sp|Q9NS75|CLTR2_HUMAN Cysteinyl leukotriene receptor 2 OS=Homo sapiens OX=9606 GN=CYSLTR2 PE=1 SV=1
+MERKFMSLQPSISVSEMEPNGTFSNNNSRNCTIENFKREFFPIVYLIIFFWGVLGNGLSIYVFLQPYKKSTSVNVFMLNLAISDLLFISTLPFRADYYLRGSNWIFGDLACRIMSYSLYVNMYSSIYFLTVLSVVRFLAMVHPFRLLHVTSIRSAWILCGIIWILIMASSIMLLDSGSEQNGSVTSCLELNLYKIAKLQTMNYIALVVGCLLPFFTLSICYLLIIRVLLKVEVPESGLRVSHRKALTTIIITLIIFFLCFLPYHTLRTVHLTTWKVGLCKDRLHKALVITLALAAANACFNPLLYYFAGENFKDRLKSALRKGHPQKAKTKCVFPVSVWLRKETRV
+>DECOY_sp|Q9NS75|CLTR2_HUMAN Cysteinyl leukotriene receptor 2 OS=Homo sapiens OX=9606 GN=CYSLTR2 PE=1 SV=1
+VRTEKRLWVSVPFVCKTKAKQPHGKRLASKLRDKFNEGAFYYLLPNFCANAAALALTIVLAKHLRDKCLGVKWTTLHVTRLTHYPLFCLFFIILTIIITTLAKRHSVRLGSEPVEVKLLVRIILLYCISLTFFPLLCGVVLAIYNMTQLKAIKYLNLELCSTVSGNQESGSDLLMISSAMILIWIIGCLIWASRISTVHLLRFPHVMALFRVVSLVTLFYISSYMNVYLSYSMIRCALDGFIWNSGRLYYDARFPLTSIFLLDSIALNLMFVNVSTSKKYPQLFVYISLGNGLVGWFFIILYVIPFFERKFNEITCNRSNNNSFTGNPEMESVSISPQLSMFKREM
+>sp|Q96DG6|CMBL_HUMAN Carboxymethylenebutenolidase homolog OS=Homo sapiens OX=9606 GN=CMBL PE=1 SV=1
+MANEAYPCPCDIGHRLEYGGLGREVQVEHIKAYVTKSPVDAGKAVIVIQDIFGWQLPNTRYIADMISGNGYTTIVPDFFVGQEPWDPSGDWSIFPEWLKTRNAQKIDREISAILKYLKQQCHAQKIGIVGFCWGGTAVHHLMMKYSEFRAGVSVYGIVKDSEDIYNLKNPTLFIFAENDVVIPLKDVSLLTQKLKEHCKVEYQIKTFSGQTHGFVHRKREDCSPADKPYIDEARRNLIEWLNKYM
+>DECOY_sp|Q96DG6|CMBL_HUMAN Carboxymethylenebutenolidase homolog OS=Homo sapiens OX=9606 GN=CMBL PE=1 SV=1
+MYKNLWEILNRRAEDIYPKDAPSCDERKRHVFGHTQGSFTKIQYEVKCHEKLKQTLLSVDKLPIVVDNEAFIFLTPNKLNYIDESDKVIGYVSVGARFESYKMMLHHVATGGWCFGVIGIKQAHCQQKLYKLIASIERDIKQANRTKLWEPFISWDGSPDWPEQGVFFDPVITTYGNGSIMDAIYRTNPLQWGFIDQIVIVAKGADVPSKTVYAKIHEVQVERGLGGYELRHGIDCPCPYAENAM
+>sp|O75746|CMC1_HUMAN Calcium-binding mitochondrial carrier protein Aralar1 OS=Homo sapiens OX=9606 GN=SLC25A12 PE=1 SV=2
+MAVKVQTTKRGDPHELRNIFLQYASTEVDGERYMTPEDFVQRYLGLYNDPNSNPKIVQLLAGVADQTKDGLISYQEFLAFESVLCAPDSMFIVAFQLFDKSGNGEVTFENVKEIFGQTIIHHHIPFNWDCEFIRLHFGHNRKKHLNYTEFTQFLQELQLEHARQAFALKDKSKSGMISGLDFSDIMVTIRSHMLTPFVEENLVSAAGGSISHQVSFSYFNAFNSLLNNMELVRKIYSTLAGTRKDVEVTKEEFAQSAIRYGQVTPLEIDILYQLADLYNASGRLTLADIERIAPLAEGALPYNLAELQRQQSPGLGRPIWLQIAESAYRFTLGSVAGAVGATAVYPIDLVKTRMQNQRGSGSVVGELMYKNSFDCFKKVLRYEGFFGLYRGLIPQLIGVAPEKAIKLTVNDFVRDKFTRRDGSVPLPAEVLAGGCAGGSQVIFTNPLEIVKIRLQVAGEITTGPRVSALNVLRDLGIFGLYKGAKACFLRDIPFSAIYFPVYAHCKLLLADENGHVGGLNLLAAGAMAGVPAASLVTPADVIKTRLQVAARAGQTTYSGVIDCFRKILREEGPSAFWKGTAARVFRSSPQFGVTLVTYELLQRWFYIDFGGLKPAGSEPTPKSRIADLPPANPDHIGGYRLATATFAGIENKFGLYLPKFKSPSVAVVQPKAAVAATQ
+>DECOY_sp|O75746|CMC1_HUMAN Calcium-binding mitochondrial carrier protein Aralar1 OS=Homo sapiens OX=9606 GN=SLC25A12 PE=1 SV=2
+QTAAVAAKPQVVAVSPSKFKPLYLGFKNEIGAFTATALRYGGIHDPNAPPLDAIRSKPTPESGAPKLGGFDIYFWRQLLEYTVLTVGFQPSSRFVRAATGKWFASPGEERLIKRFCDIVGSYTTQGARAAVQLRTKIVDAPTVLSAAPVGAMAGAALLNLGGVHGNEDALLLKCHAYVPFYIASFPIDRLFCAKAGKYLGFIGLDRLVNLASVRPGTTIEGAVQLRIKVIELPNTFIVQSGGACGGALVEAPLPVSGDRRTFKDRVFDNVTLKIAKEPAVGILQPILGRYLGFFGEYRLVKKFCDFSNKYMLEGVVSGSGRQNQMRTKVLDIPYVATAGVAGAVSGLTFRYASEAIQLWIPRGLGPSQQRQLEALNYPLAGEALPAIREIDALTLRGSANYLDALQYLIDIELPTVQGYRIASQAFEEKTVEVDKRTGALTSYIKRVLEMNNLLSNFANFYSFSVQHSISGGAASVLNEEVFPTLMHSRITVMIDSFDLGSIMGSKSKDKLAFAQRAHELQLEQLFQTFETYNLHKKRNHGFHLRIFECDWNFPIHHHIITQGFIEKVNEFTVEGNGSKDFLQFAVIFMSDPACLVSEFALFEQYSILGDKTQDAVGALLQVIKPNSNPDNYLGLYRQVFDEPTMYREGDVETSAYQLFINRLEHPDGRKTTQVKVAM
+>sp|Q9UJS0|CMC2_HUMAN Calcium-binding mitochondrial carrier protein Aralar2 OS=Homo sapiens OX=9606 GN=SLC25A13 PE=1 SV=2
+MAAAKVALTKRADPAELRTIFLKYASIEKNGEFFMSPNDFVTRYLNIFGESQPNPKTVELLSGVVDQTKDGLISFQEFVAFESVLCAPDALFMVAFQLFDKAGKGEVTFEDVKQVFGQTTIHQHIPFNWDSEFVQLHFGKERKRHLTYAEFTQFLLEIQLEHAKQAFVQRDNARTGRVTAIDFRDIMVTIRPHVLTPFVEECLVAAAGGTTSHQVSFSYFNGFNSLLNNMELIRKIYSTLAGTRKDVEVTKEEFVLAAQKFGQVTPMEVDILFQLADLYEPRGRMTLADIERIAPLEEGTLPFNLAEAQRQKASGDSARPVLLQVAESAYRFGLGSVAGAVGATAVYPIDLVKTRMQNQRSTGSFVGELMYKNSFDCFKKVLRYEGFFGLYRGLLPQLLGVAPEKAIKLTVNDFVRDKFMHKDGSVPLAAEILAGGCAGGSQVIFTNPLEIVKIRLQVAGEITTGPRVSALSVVRDLGFFGIYKGAKACFLRDIPFSAIYFPCYAHVKASFANEDGQVSPGSLLLAGAIAGMPAASLVTPADVIKTRLQVAARAGQTTYSGVIDCFRKILREEGPKALWKGAGARVFRSSPQFGVTLLTYELLQRWFYIDFGGVKPMGSEPVPKSRINLPAPNPDHVGGYKLAVATFAGIENKFGLYLPLFKPSVSTSKAIGGGP
+>DECOY_sp|Q9UJS0|CMC2_HUMAN Calcium-binding mitochondrial carrier protein Aralar2 OS=Homo sapiens OX=9606 GN=SLC25A13 PE=1 SV=2
+PGGGIAKSTSVSPKFLPLYLGFKNEIGAFTAVALKYGGVHDPNPAPLNIRSKPVPESGMPKVGGFDIYFWRQLLEYTLLTVGFQPSSRFVRAGAGKWLAKPGEERLIKRFCDIVGSYTTQGARAAVQLRTKIVDAPTVLSAAPMGAIAGALLLSGPSVQGDENAFSAKVHAYCPFYIASFPIDRLFCAKAGKYIGFFGLDRVVSLASVRPGTTIEGAVQLRIKVIELPNTFIVQSGGACGGALIEAALPVSGDKHMFKDRVFDNVTLKIAKEPAVGLLQPLLGRYLGFFGEYRLVKKFCDFSNKYMLEGVFSGTSRQNQMRTKVLDIPYVATAGVAGAVSGLGFRYASEAVQLLVPRASDGSAKQRQAEALNFPLTGEELPAIREIDALTMRGRPEYLDALQFLIDVEMPTVQGFKQAALVFEEKTVEVDKRTGALTSYIKRILEMNNLLSNFGNFYSFSVQHSTTGGAAAVLCEEVFPTLVHPRITVMIDRFDIATVRGTRANDRQVFAQKAHELQIELLFQTFEAYTLHRKREKGFHLQVFESDWNFPIHQHITTQGFVQKVDEFTVEGKGAKDFLQFAVMFLADPACLVSEFAVFEQFSILGDKTQDVVGSLLEVTKPNPQSEGFINLYRTVFDNPSMFFEGNKEISAYKLFITRLEAPDARKTLAVKAAAM
+>sp|Q99788|CML1_HUMAN Chemokine-like receptor 1 OS=Homo sapiens OX=9606 GN=CMKLR1 PE=1 SV=2
+MRMEDEDYNTSISYGDEYPDYLDSIVVLEDLSPLEARVTRIFLVVVYSIVCFLGILGNGLVIIIATFKMKKTVNMVWFLNLAVADFLFNVFLPIHITYAAMDYHWVFGTAMCKISNFLLIHNMFTSVFLLTIISSDRCISVLLPVWSQNHRSVRLAYMACMVIWVLAFFLSSPSLVFRDTANLHGKISCFNNFSLSTPGSSSWPTHSQMDPVGYSRHMVVTVTRFLCGFLVPVLIITACYLTIVCKLQRNRLAKTKKPFKIIVTIIITFFLCWCPYHTLNLLELHHTAMPGSVFSLGLPLATALAIANSCMNPILYVFMGQDFKKFKVALFSRLVNALSEDTGHSSYPSHRSFTKMSSMNERTSMNERETGML
+>DECOY_sp|Q99788|CML1_HUMAN Chemokine-like receptor 1 OS=Homo sapiens OX=9606 GN=CMKLR1 PE=1 SV=2
+LMGTERENMSTRENMSSMKTFSRHSPYSSHGTDESLANVLRSFLAVKFKKFDQGMFVYLIPNMCSNAIALATALPLGLSFVSGPMATHHLELLNLTHYPCWCLFFTIIITVIIKFPKKTKALRNRQLKCVITLYCATIILVPVLFGCLFRTVTVVMHRSYGVPDMQSHTPWSSSGPTSLSFNNFCSIKGHLNATDRFVLSPSSLFFALVWIVMCAMYALRVSRHNQSWVPLLVSICRDSSIITLLFVSTFMNHILLFNSIKCMATGFVWHYDMAAYTIHIPLFVNFLFDAVALNLFWVMNVTKKMKFTAIIIVLGNGLIGLFCVISYVVVLFIRTVRAELPSLDELVVISDLYDPYEDGYSISTNYDEDEMRM
+>sp|Q8N815|CNTD1_HUMAN Cyclin N-terminal domain-containing protein 1 OS=Homo sapiens OX=9606 GN=CNTD1 PE=2 SV=2
+MDGPMRPRSASLVDFQFGVVATETIEDALLHLAQQNEQAVREASGRLGRFREPQIVEFVFLLSEQWCLEKSVSYQAVEILERFMVKQAENICRQATIQPRDNKRESQNWRALKQQLVNKFTLRLVSCVQLASKLSFRNKIISNITVLNFLQALGYLHTKEELLESELDVLKSLNFRINLPTPLAYVETLLEVLGYNGCLVPAMRLHATCLTLLDLVYLLHEPIYESLLRASIENSTPSQLQGEKFTSVKEDFMLLAVGIIAASAFIQNHECWSQVVGHLQSITGIALASIAEFSYAILTHGVGANTPGRQQSIPPHLAARALKTVASSNT
+>DECOY_sp|Q8N815|CNTD1_HUMAN Cyclin N-terminal domain-containing protein 1 OS=Homo sapiens OX=9606 GN=CNTD1 PE=2 SV=2
+TNSSAVTKLARAALHPPISQQRGPTNAGVGHTLIAYSFEAISALAIGTISQLHGVVQSWCEHNQIFASAAIIGVALLMFDEKVSTFKEGQLQSPTSNEISARLLSEYIPEHLLYVLDLLTLCTAHLRMAPVLCGNYGLVELLTEVYALPTPLNIRFNLSKLVDLESELLEEKTHLYGLAQLFNLVTINSIIKNRFSLKSALQVCSVLRLTFKNVLQQKLARWNQSERKNDRPQITAQRCINEAQKVMFRELIEVAQYSVSKELCWQESLLFVFEVIQPERFRGLRGSAERVAQENQQALHLLADEITETAVVGFQFDVLSASRPRMPGDM
+>sp|P26992|CNTFR_HUMAN Ciliary neurotrophic factor receptor subunit alpha OS=Homo sapiens OX=9606 GN=CNTFR PE=1 SV=2
+MAAPVPWACCAVLAAAAAVVYAQRHSPQEAPHVQYERLGSDVTLPCGTANWDAAVTWRVNGTDLAPDLLNGSQLVLHGLELGHSGLYACFHRDSWHLRHQVLLHVGLPPREPVLSCRSNTYPKGFYCSWHLPTPTYIPNTFNVTVLHGSKIMVCEKDPALKNRCHIRYMHLFSTIKYKVSISVSNALGHNATAITFDEFTIVKPDPPENVVARPVPSNPRRLEVTWQTPSTWPDPESFPLKFFLRYRPLILDQWQHVELSDGTAHTITDAYAGKEYIIQVAAKDNEIGTWSDWSVAAHATPWTEEPRHLTTEAQAAETTTSTTSSLAPPPTTKICDPGELGSGGGPSAPFLVSVPITLALAAAAATASSLLI
+>DECOY_sp|P26992|CNTFR_HUMAN Ciliary neurotrophic factor receptor subunit alpha OS=Homo sapiens OX=9606 GN=CNTFR PE=1 SV=2
+ILLSSATAAAAALALTIPVSVLFPASPGGGSGLEGPDCIKTTPPPALSSTTSTTTEAAQAETTLHRPEETWPTAHAAVSWDSWTGIENDKAAVQIIYEKGAYADTITHATGDSLEVHQWQDLILPRYRLFFKLPFSEPDPWTSPTQWTVELRRPNSPVPRAVVNEPPDPKVITFEDFTIATANHGLANSVSISVKYKITSFLHMYRIHCRNKLAPDKECVMIKSGHLVTVNFTNPIYTPTPLHWSCYFGKPYTNSRCSLVPERPPLGVHLLVQHRLHWSDRHFCAYLGSHGLELGHLVLQSGNLLDPALDTGNVRWTVAADWNATGCPLTVDSGLREYQVHPAEQPSHRQAYVVAAAAALVACCAWPVPAAM
+>sp|Q12860|CNTN1_HUMAN Contactin-1 OS=Homo sapiens OX=9606 GN=CNTN1 PE=1 SV=1
+MKMWLLVSHLVIISITTCLAEFTWYRRYGHGVSEEDKGFGPIFEEQPINTIYPEESLEGKVSLNCRARASPFPVYKWRMNNGDVDLTSDRYSMVGGNLVINNPDKQKDAGIYYCLASNNYGMVRSTEATLSFGYLDPFPPEERPEVRVKEGKGMVLLCDPPYHFPDDLSYRWLLNEFPVFITMDKRRFVSQTNGNLYIANVEASDKGNYSCFVSSPSITKSVFSKFIPLIPIPERTTKPYPADIVVQFKDVYALMGQNVTLECFALGNPVPDIRWRKVLEPMPSTAEISTSGAVLKIFNIQLEDEGIYECEAENIRGKDKHQARIYVQAFPEWVEHINDTEVDIGSDLYWPCVATGKPIPTIRWLKNGYAYHKGELRLYDVTFENAGMYQCIAENTYGAIYANAELKILALAPTFEMNPMKKKILAAKGGRVIIECKPKAAPKPKFSWSKGTEWLVNSSRILIWEDGSLEINNITRNDGGIYTCFAENNRGKANSTGTLVITDPTRIILAPINADITVGENATMQCAASFDPALDLTFVWSFNGYVIDFNKENIHYQRNFMLDSNGELLIRNAQLKHAGRYTCTAQTIVDNSSASADLVVRGPPGPPGGLRIEDIRATSVALTWSRGSDNHSPISKYTIQTKTILSDDWKDAKTDPPIIEGNMEAARAVDLIPWMEYEFRVVATNTLGRGEPSIPSNRIKTDGAAPNVAPSDVGGGGGRNRELTITWAPLSREYHYGNNFGYIVAFKPFDGEEWKKVTVTNPDTGRYVHKDETMSPSTAFQVKVKAFNNKGDGPYSLVAVINSAQDAPSEAPTEVGVKVLSSSEISVHWEHVLEKIVESYQIRYWAAHDKEEAANRVQVTSQEYSARLENLLPDTQYFIEVGACNSAGCGPPSDMIEAFTKKAPPSQPPRIISSVRSGSRYIITWDHVVALSNESTVTGYKVLYRPDGQHDGKLYSTHKHSIEVPIPRDGEYVVEVRAHSDGGDGVVSQVKISGAPTLSPSLLGLLLPAFGILVYLEF
+>DECOY_sp|Q12860|CNTN1_HUMAN Contactin-1 OS=Homo sapiens OX=9606 GN=CNTN1 PE=1 SV=1
+FELYVLIGFAPLLLGLLSPSLTPAGSIKVQSVVGDGGDSHARVEVVYEGDRPIPVEISHKHTSYLKGDHQGDPRYLVKYGTVTSENSLAVVHDWTIIYRSGSRVSSIIRPPQSPPAKKTFAEIMDSPPGCGASNCAGVEIFYQTDPLLNELRASYEQSTVQVRNAAEEKDHAAWYRIQYSEVIKELVHEWHVSIESSSLVKVGVETPAESPADQASNIVAVLSYPGDGKNNFAKVKVQFATSPSMTEDKHVYRGTDPNTVTVKKWEEGDFPKFAVIYGFNNGYHYERSLPAWTITLERNRGGGGGVDSPAVNPAAGDTKIRNSPISPEGRGLTNTAVVRFEYEMWPILDVARAAEMNGEIIPPDTKADKWDDSLITKTQITYKSIPSHNDSGRSWTLAVSTARIDEIRLGGPPGPPGRVVLDASASSNDVITQATCTYRGAHKLQANRILLEGNSDLMFNRQYHINEKNFDIVYGNFSWVFTLDLAPDFSAACQMTANEGVTIDANIPALIIRTPDTIVLTGTSNAKGRNNEAFCTYIGGDNRTINNIELSGDEWILIRSSNVLWETGKSWSFKPKPAAKPKCEIIVRGGKAALIKKKMPNMEFTPALALIKLEANAYIAGYTNEAICQYMGANEFTVDYLRLEGKHYAYGNKLWRITPIPKGTAVCPWYLDSGIDVETDNIHEVWEPFAQVYIRAQHKDKGRINEAECEYIGEDELQINFIKLVAGSTSIEATSPMPELVKRWRIDPVPNGLAFCELTVNQGMLAYVDKFQVVIDAPYPKTTREPIPILPIFKSFVSKTISPSSVFCSYNGKDSAEVNAIYLNGNTQSVFRRKDMTIFVPFENLLWRYSLDDPFHYPPDCLLVMGKGEKVRVEPREEPPFPDLYGFSLTAETSRVMGYNNSALCYYIGADKQKDPNNIVLNGGVMSYRDSTLDVDGNNMRWKYVPFPSARARCNLSVKGELSEEPYITNIPQEEFIPGFGKDEESVGHGYRRYWTFEALCTTISIIVLHSVLLWMKM
+>sp|P78357|CNTP1_HUMAN Contactin-associated protein 1 OS=Homo sapiens OX=9606 GN=CNTNAP1 PE=1 SV=1
+MMHLRLFCILLAAVSGAEGWGYYGCDEELVGPLYARSLGASSYYSLLTAPRFARLHGISGWSPRIGDPNPWLQIDLMKKHRIRAVATQGSFNSWDWVTRYMLLYGDRVDSWTPFYQRGHNSTFFGNVNESAVVRHDLHFHFTARYIRIVPLAWNPRGKIGLRLGLYGCPYKADILYFDGDDAISYRFPRGVSRSLWDVFAFSFKTEEKDGLLLHAEGAQGDYVTLELEGAHLLLHMSLGSSPIQPRPGHTTVSAGGVLNDQHWHYVRVDRFGRDVNFTLDGYVQRFILNGDFERLNLDTEMFIGGLVGAARKNLAYRHNFRGCIENVIFNRVNIADLAVRRHSRITFEGKVAFRCLDPVPHPINFGGPHNFVQVPGFPRRGRLAVSFRFRTWDLTGLLLFSRLGDGLGHVELTLSEGQVNVSIAQSGRKKLQFAAGYRLNDGFWHEVNFVAQENHAVISIDDVEGAEVRVSYPLLIRTGTSYFFGGCPKPASRWDCHSNQTAFHGCMELLKVDGQLVNLTLVEGRRLGFYAEVLFDTCGITDRCSPNMCEHDGRCYQSWDDFICYCELTGYKGETCHTPLYKESCEAYRLSGKTSGNFTIDPDGSGPLKPFVVYCDIRENRAWTVVRHDRLWTTRVTGSSMERPFLGAIQYWNASWEEVSALANASQHCEQWIEFSCYNSRLLNTAGGYPYSFWIGRNEEQHFYWGGSQPGIQRCACGLDRSCVDPALYCNCDADQPQWRTDKGLLTFVDHLPVTQVVIGDTNRSTSEAQFFLRPLRCYGDRNSWNTISFHTGAALRFPPIRANHSLDVSFYFRTSAPSGVFLENMGGPYCQWRRPYVRVELNTSRDVVFAFDVGNGDENLTVHSDDFEFNDDEWHLVRAEINVKQARLRVDHRPWVLRPMPLQTYIWMEYDQPLYVGSAELKRRPFVGCLRAMRLNGVTLNLEGRANASEGTSPNCTGHCAHPRLPCFHGGRCVERYSYYTCDCDLTAFDGPYCNHDIGGFFEPGTWMRYNLQSALRSAAREFSHMLSRPVPGYEPGYIPGYDTPGYVPGYHGPGYRLPDYPRPGRPVPGYRGPVYNVTGEEVSFSFSTSSAPAVLLYVSSFVRDYMAVLIKDDGTLQLRYQLGTSPYVYQLTTRPVTDGQPHSINITRVYRNLFIQVDYFPLTEQKFSLLVDSQLDSPKALYLGRVMETGVIDPEIQRYNTPGFSGCLSGVRFNNVAPLKTHFRTPRPMTAELAEALRVQGELSESNCGAMPRLVSEVPPELDPWYLPPDFPYYHDEGWVAILLGFLVAFLLLGLVGMLVLFYLQNHRYKGSYHTNEPKAAHEYHPGSKPPLPTSGPAQVPTPTAAPNQAPASAPAPAPTPAPAPGPRDQNLPQILEESRSE
+>DECOY_sp|P78357|CNTP1_HUMAN Contactin-associated protein 1 OS=Homo sapiens OX=9606 GN=CNTNAP1 PE=1 SV=1
+ESRSEELIQPLNQDRPGPAPAPTPAPAPASAPAQNPAATPTPVQAPGSTPLPPKSGPHYEHAAKPENTHYSGKYRHNQLYFLVLMGVLGLLLFAVLFGLLIAVWGEDHYYPFDPPLYWPDLEPPVESVLRPMAGCNSESLEGQVRLAEALEATMPRPTRFHTKLPAVNNFRVGSLCGSFGPTNYRQIEPDIVGTEMVRGLYLAKPSDLQSDVLLSFKQETLPFYDVQIFLNRYVRTINISHPQGDTVPRTTLQYVYPSTGLQYRLQLTGDDKILVAMYDRVFSSVYLLVAPASSTSFSFSVEEGTVNYVPGRYGPVPRGPRPYDPLRYGPGHYGPVYGPTDYGPIYGPEYGPVPRSLMHSFERAASRLASQLNYRMWTGPEFFGGIDHNCYPGDFATLDCDCTYYSYREVCRGGHFCPLRPHACHGTCNPSTGESANARGELNLTVGNLRMARLCGVFPRRKLEASGVYLPQDYEMWIYTQLPMPRLVWPRHDVRLRAQKVNIEARVLHWEDDNFEFDDSHVTLNEDGNGVDFAFVVDRSTNLEVRVYPRRWQCYPGGMNELFVGSPASTRFYFSVDLSHNARIPPFRLAAGTHFSITNWSNRDGYCRLPRLFFQAESTSRNTDGIVVQTVPLHDVFTLLGKDTRWQPQDADCNCYLAPDVCSRDLGCACRQIGPQSGGWYFHQEENRGIWFSYPYGGATNLLRSNYCSFEIWQECHQSANALASVEEWSANWYQIAGLFPREMSSGTVRTTWLRDHRVVTWARNERIDCYVVFPKLPGSGDPDITFNGSTKGSLRYAECSEKYLPTHCTEGKYGTLECYCIFDDWSQYCRGDHECMNPSCRDTIGCTDFLVEAYFGLRRGEVLTLNVLQGDVKLLEMCGHFATQNSHCDWRSAPKPCGGFFYSTGTRILLPYSVRVEAGEVDDISIVAHNEQAVFNVEHWFGDNLRYGAAFQLKKRGSQAISVNVQGESLTLEVHGLGDGLRSFLLLGTLDWTRFRFSVALRGRRPFGPVQVFNHPGGFNIPHPVPDLCRFAVKGEFTIRSHRRVALDAINVRNFIVNEICGRFNHRYALNKRAAGVLGGIFMETDLNLREFDGNLIFRQVYGDLTFNVDRGFRDVRVYHWHQDNLVGGASVTTHGPRPQIPSSGLSMHLLLHAGELELTVYDGQAGEAHLLLGDKEETKFSFAFVDWLSRSVGRPFRYSIADDGDFYLIDAKYPCGYLGLRLGIKGRPNWALPVIRIYRATFHFHLDHRVVASENVNGFFTSNHGRQYFPTWSDVRDGYLLMYRTVWDWSNFSGQTAVARIRHKKMLDIQLWPNPDGIRPSWGSIGHLRAFRPATLLSYYSSAGLSRAYLPGVLEEDCGYYGWGEAGSVAALLICFLRLHMM
+>sp|Q9UHC6|CNTP2_HUMAN Contactin-associated protein-like 2 OS=Homo sapiens OX=9606 GN=CNTNAP2 PE=1 SV=1
+MQAAPRAGCGAALLLWIVSSCLCRAWTAPSTSQKCDEPLVSGLPHVAFSSSSSISGSYSPGYAKINKRGGAGGWSPSDSDHYQWLQVDFGNRKQISAIATQGRYSSSDWVTQYRMLYSDTGRNWKPYHQDGNIWAFPGNINSDGVVRHELQHPIIARYVRIVPLDWNGEGRIGLRIEVYGCSYWADVINFDGHVVLPYRFRNKKMKTLKDVIALNFKTSESEGVILHGEGQQGDYITLELKKAKLVLSLNLGSNQLGPIYGHTSVMTGSLLDDHHWHSVVIERQGRSINLTLDRSMQHFRTNGEFDYLDLDYEITFGGIPFSGKPSSSSRKNFKGCMESINYNGVNITDLARRKKLEPSNVGNLSFSCVEPYTVPVFFNATSYLEVPGRLNQDLFSVSFQFRTWNPNGLLVFSHFADNLGNVEIDLTESKVGVHINITQTKMSQIDISSGSGLNDGQWHEVRFLAKENFAILTIDGDEASAVRTNSPLQVKTGEKYFFGGFLNQMNNSSHSVLQPSFQGCMQLIQVDDQLVNLYEVAQRKPGSFANVSIDMCAIIDRCVPNHCEHGGKCSQTWDSFKCTCDETGYSGATCHNSIYEPSCEAYKHLGQTSNYYWIDPDGSGPLGPLKVYCNMTEDKVWTIVSHDLQMQTPVVGYNPEKYSVTQLVYSASMDQISAITDSAEYCEQYVSYFCKMSRLLNTPDGSPYTWWVGKANEKHYYWGGSGPGIQKCACGIERNCTDPKYYCNCDADYKQWRKDAGFLSYKDHLPVSQVVVGDTDRQGSEAKLSVGPLRCQGDRNYWNAASFPNPSSYLHFSTFQGETSADISFYFKTLTPWGVFLENMGKEDFIKLELKSATEVSFSFDVGNGPVEIVVRSPTPLNDDQWHRVTAERNVKQASLQVDRLPQQIRKAPTEGHTRLELYSQLFVGGAGGQQGFLGCIRSLRMNGVTLDLEERAKVTSGFISGCSGHCTSYGTNCENGGKCLERYHGYSCDCSNTAYDGTFCNKDVGAFFEEGMWLRYNFQAPATNARDSSSRVDNAPDQQNSHPDLAQEEIRFSFSTTKAPCILLYISSFTTDFLAVLVKPTGSLQIRYNLGGTREPYNIDVDHRNMANGQPHSVNITRHEKTIFLKLDHYPSVSYHLPSSSDTLFNSPKSLFLGKVIETGKIDQEIHKYNTPGFTGCLSRVQFNQIAPLKAALRQTNASAHVHIQGELVESNCGASPLTLSPMSSATDPWHLDHLDSASADFPYNPGQGQAIRNGVNRNSAIIGGVIAVVIFTILCTLVFLIRYMFRHKGTYHTNEAKGAESAESADAAIMNNDPNFTETIDESKKEWLI
+>DECOY_sp|Q9UHC6|CNTP2_HUMAN Contactin-associated protein-like 2 OS=Homo sapiens OX=9606 GN=CNTNAP2 PE=1 SV=1
+ILWEKKSEDITETFNPDNNMIAADASEASEAGKAENTHYTGKHRFMYRILFVLTCLITFIVVAIVGGIIASNRNVGNRIAQGQGPNYPFDASASDLHDLHWPDTASSMPSLTLPSAGCNSEVLEGQIHVHASANTQRLAAKLPAIQNFQVRSLCGTFGPTNYKHIEQDIKGTEIVKGLFLSKPSNFLTDSSSPLHYSVSPYHDLKLFITKEHRTINVSHPQGNAMNRHDVDINYPERTGGLNYRIQLSGTPKVLVALFDTTFSSIYLLICPAKTTSFSFRIEEQALDPHSNQQDPANDVRSSSDRANTAPAQFNYRLWMGEEFFAGVDKNCFTGDYATNSCDCSYGHYRELCKGGNECNTGYSTCHGSCGSIFGSTVKAREELDLTVGNMRLSRICGLFGQQGGAGGVFLQSYLELRTHGETPAKRIQQPLRDVQLSAQKVNREATVRHWQDDNLPTPSRVVIEVPGNGVDFSFSVETASKLELKIFDEKGMNELFVGWPTLTKFYFSIDASTEGQFTSFHLYSSPNPFSAANWYNRDGQCRLPGVSLKAESGQRDTDGVVVQSVPLHDKYSLFGADKRWQKYDADCNCYYKPDTCNREIGCACKQIGPGSGGWYYHKENAKGVWWTYPSGDPTNLLRSMKCFYSVYQECYEASDTIASIQDMSASYVLQTVSYKEPNYGVVPTQMQLDHSVITWVKDETMNCYVKLPGLPGSGDPDIWYYNSTQGLHKYAECSPEYISNHCTAGSYGTEDCTCKFSDWTQSCKGGHECHNPVCRDIIACMDISVNAFSGPKRQAVEYLNVLQDDVQILQMCGQFSPQLVSHSSNNMQNLFGGFFYKEGTKVQLPSNTRVASAEDGDITLIAFNEKALFRVEHWQGDNLGSGSSIDIQSMKTQTINIHVGVKSETLDIEVNGLNDAFHSFVLLGNPNWTRFQFSVSFLDQNLRGPVELYSTANFFVPVTYPEVCSFSLNGVNSPELKKRRALDTINVGNYNISEMCGKFNKRSSSSPKGSFPIGGFTIEYDLDLYDFEGNTRFHQMSRDLTLNISRGQREIVVSHWHHDDLLSGTMVSTHGYIPGLQNSGLNLSLVLKAKKLELTIYDGQQGEGHLIVGESESTKFNLAIVDKLTKMKKNRFRYPLVVHGDFNIVDAWYSCGYVEIRLGIRGEGNWDLPVIRVYRAIIPHQLEHRVVGDSNINGPFAWINGDQHYPKWNRGTDSYLMRYQTVWDSSSYRGQTAIASIQKRNGFDVQLWQYHDSDSPSWGGAGGRKNIKAYGPSYSGSISSSSSFAVHPLGSVLPEDCKQSTSPATWARCLCSSVIWLLLAAGCGARPAAQM
+>sp|Q8WYK1|CNTP5_HUMAN Contactin-associated protein-like 5 OS=Homo sapiens OX=9606 GN=CNTNAP5 PE=2 SV=1
+MDSLPRLTSVLTLLFSGLWHLGLTATNYNCDDPLASLLSPMAFSSSSDLTGTHSPAQLNWRVGTGGWSPADSNAQQWLQMDLGNRVEITAVATQGRYGSSDWVTSYSLMFSDTGRNWKQYKQEDSIWTFAGNMNADSVVHHKLLHSVRARFVRFVPLEWNPSGKIGMRVEVYGCSYKSDVADFDGRSSLLYRFNQKLMSTLKDVISLKFKSMQGDGVLFHGEGQRGDHITLELQKGRLALHLNLGDSKARLSSSLPSATLGSLLDDQHWHSVLIERVGKQVNFTVDKHTQHFRTKGETDALDIDYELSFGGIPVPGKPGTFLKKNFHGCIENLYYNGVNIIDLAKRRKHQIYTGNVTFSCSEPQIVPITFVNSSGSYLLLPGTPQIDGLSVSFQFRTWNKDGLLLSTELSEGSGTLLLSLEGGILRLVIQKMTERVAEILTGSNLNDGLWHSVSINARRNRITLTLDDEAAPPAPDSTWVQIYSGNSYYFGGCPDNLTDSQCLNPIKAFQGCMRLIFIDNQPKDLISVQQGSLGNFSDLHIDLCSIKDRCLPNYCEHGGSCSQSWTTFYCNCSDTSYTGATCHNSIYEQSCEVYRHQGNTAGFFYIDSDGSGPLGPLQVYCNITEDKIWTSVQHNNTELTRVRGANPEKPYAMALDYGGSMEQLEAVIDGSEHCEQEVAYHCRRSRLLNTPDGTPFTWWIGRSNERHPYWGGSPPGVQQCECGLDESCLDIQHFCNCDADKDEWTNDTGFLSFKDHLPVTQIVITDTDRSNSEAAWRIGPLRCYGDRRFWNAVSFYTEASYLHFPTFHAEFSADISFFFKTTALSGVFLENLGIKDFIRLEISSPSEITFAIDVGNGPVELVVQSPSLLNDNQWHYVRAERNLKETSLQVDNLPRSTRETSEEGHFRLQLNSQLFVGGTSSRQKGFLGCIRSLHLNGQKMDLEERAKVTSGVRPGCPGHCSSYGSICHNGGKCVEKHNGYLCDCTNSPYEGPFCKKEVSAVFEAGTSVTYMFQEPYPVTKNISLSSSAIYTDSAPSKENIALSFVTTQAPSLLLFINSSSQDFVVVLLCKNGSLQVRYHLNKEETHVFTIDADNFANRRMHHLKINREGRELTIQMDQQLRLSYNFSPEVEFRVIRSLTLGKVTENLGLDSEVAKANAMGFAGCMSSVQYNHIAPLKAALRHATVAPVTVHGTLTESSCGFMVDSDVNAVTTVHSSSDPFGKTDEREPLTNAVRSDSAVIGGVIAVVIFIIFCIIGIMTRFLYQHKQSHRTSQMKEKEYPENLDSSFRNEIDLQNTVSECKREYFI
+>DECOY_sp|Q8WYK1|CNTP5_HUMAN Contactin-associated protein-like 5 OS=Homo sapiens OX=9606 GN=CNTNAP5 PE=2 SV=1
+IFYERKCESVTNQLDIENRFSSDLNEPYEKEKMQSTRHSQKHQYLFRTMIGIICFIIFIVVAIVGGIVASDSRVANTLPEREDTKGFPDSSSHVTTVANVDSDVMFGCSSETLTGHVTVPAVTAHRLAAKLPAIHNYQVSSMCGAFGMANAKAVESDLGLNETVKGLTLSRIVRFEVEPSFNYSLRLQQDMQITLERGERNIKLHHMRRNAFNDADITFVHTEEKNLHYRVQLSGNKCLLVVVFDQSSSNIFLLLSPAQTTVFSLAINEKSPASDTYIASSSLSINKTVPYPEQFMYTVSTGAEFVASVEKKCFPGEYPSNTCDCLYGNHKEVCKGGNHCISGYSSCHGPCGPRVGSTVKAREELDMKQGNLHLSRICGLFGKQRSSTGGVFLQSNLQLRFHGEESTERTSRPLNDVQLSTEKLNREARVYHWQNDNLLSPSQVVLEVPGNGVDIAFTIESPSSIELRIFDKIGLNELFVGSLATTKFFFSIDASFEAHFTPFHLYSAETYFSVANWFRRDGYCRLPGIRWAAESNSRDTDTIVIQTVPLHDKFSLFGTDNTWEDKDADCNCFHQIDLCSEDLGCECQQVGPPSGGWYPHRENSRGIWWTFPTGDPTNLLRSRRCHYAVEQECHESGDIVAELQEMSGGYDLAMAYPKEPNAGRVRTLETNNHQVSTWIKDETINCYVQLPGLPGSGDSDIYFFGATNGQHRYVECSQEYISNHCTAGTYSTDSCNCYFTTWSQSCSGGHECYNPLCRDKISCLDIHLDSFNGLSGQQVSILDKPQNDIFILRMCGQFAKIPNLCQSDTLNDPCGGFYYSNGSYIQVWTSDPAPPAAEDDLTLTIRNRRANISVSHWLGDNLNSGTLIEAVRETMKQIVLRLIGGELSLLLTGSGESLETSLLLGDKNWTRFQFSVSLGDIQPTGPLLLYSGSSNVFTIPVIQPESCSFTVNGTYIQHKRRKALDIINVGNYYLNEICGHFNKKLFTGPKGPVPIGGFSLEYDIDLADTEGKTRFHQTHKDVTFNVQKGVREILVSHWHQDDLLSGLTASPLSSSLRAKSDGLNLHLALRGKQLELTIHDGRQGEGHFLVGDGQMSKFKLSIVDKLTSMLKQNFRYLLSSRGDFDAVDSKYSCGYVEVRMGIKGSPNWELPVFRVFRARVSHLLKHHVVSDANMNGAFTWISDEQKYQKWNRGTDSFMLSYSTVWDSSGYRGQTAVATIEVRNGLDMQLWQQANSDAPSWGGTGVRWNLQAPSHTGTLDSSSSFAMPSLLSALPDDCNYNTATLGLHWLGSFLLTLVSTLRPLSDM
+>sp|P01024|CO3_HUMAN Complement C3 OS=Homo sapiens OX=9606 GN=C3 PE=1 SV=2
+MGPTSGPSLLLLLLTHLPLALGSPMYSIITPNILRLESEETMVLEAHDAQGDVPVTVTVHDFPGKKLVLSSEKTVLTPATNHMGNVTFTIPANREFKSEKGRNKFVTVQATFGTQVVEKVVLVSLQSGYLFIQTDKTIYTPGSTVLYRIFTVNHKLLPVGRTVMVNIENPEGIPVKQDSLSSQNQLGVLPLSWDIPELVNMGQWKIRAYYENSPQQVFSTEFEVKEYVLPSFEVIVEPTEKFYYIYNEKGLEVTITARFLYGKKVEGTAFVIFGIQDGEQRISLPESLKRIPIEDGSGEVVLSRKVLLDGVQNPRAEDLVGKSLYVSATVILHSGSDMVQAERSGIPIVTSPYQIHFTKTPKYFKPGMPFDLMVFVTNPDGSPAYRVPVAVQGEDTVQSLTQGDGVAKLSINTHPSQKPLSITVRTKKQELSEAEQATRTMQALPYSTVGNSNNYLHLSVLRTELRPGETLNVNFLLRMDRAHEAKIRYYTYLIMNKGRLLKAGRQVREPGQDLVVLPLSITTDFIPSFRLVAYYTLIGASGQREVVADSVWVDVKDSCVGSLVVKSGQSEDRQPVPGQQMTLKIEGDHGARVVLVAVDKGVFVLNKKNKLTQSKIWDVVEKADIGCTPGSGKDYAGVFSDAGLTFTSSSGQQTAQRAELQCPQPAARRRRSVQLTEKRMDKVGKYPKELRKCCEDGMRENPMRFSCQRRTRFISLGEACKKVFLDCCNYITELRRQHARASHLGLARSNLDEDIIAEENIVSRSEFPESWLWNVEDLKEPPKNGISTKLMNIFLKDSITTWEILAVSMSDKKGICVADPFEVTVMQDFFIDLRLPYSVVRNEQVEIRAVLYNYRQNQELKVRVELLHNPAFCSLATTKRRHQQTVTIPPKSSLSVPYVIVPLKTGLQEVEVKAAVYHHFISDGVRKSLKVVPEGIRMNKTVAVRTLDPERLGREGVQKEDIPPADLSDQVPDTESETRILLQGTPVAQMTEDAVDAERLKHLIVTPSGCGEQNMIGMTPTVIAVHYLDETEQWEKFGLEKRQGALELIKKGYTQQLAFRQPSSAFAAFVKRAPSTWLTAYVVKVFSLAVNLIAIDSQVLCGAVKWLILEKQKPDGVFQEDAPVIHQEMIGGLRNNNEKDMALTAFVLISLQEAKDICEEQVNSLPGSITKAGDFLEANYMNLQRSYTVAIAGYALAQMGRLKGPLLNKFLTTAKDKNRWEDPGKQLYNVEATSYALLALLQLKDFDFVPPVVRWLNEQRYYGGGYGSTQATFMVFQALAQYQKDAPDHQELNLDVSLQLPSRSSKITHRIHWESASLLRSEETKENEGFTVTAEGKGQGTLSVVTMYHAKAKDQLTCNKFDLKVTIKPAPETEKRPQDAKNTMILEICTRYRGDQDATMSILDISMMTGFAPDTDDLKQLANGVDRYISKYELDKAFSDRNTLIIYLDKVSHSEDDCLAFKVHQYFNVELIQPGAVKVYAYYNLEESCTRFYHPEKEDGKLNKLCRDELCRCAEENCFIQKSDDKVTLEERLDKACEPGVDYVYKTRLVKVQLSNDFDEYIMAIEQTIKSGSDEVQVGQQRTFISPIKCREALKLEEKKHYLMWGLSSDFWGEKPNLSYIIGKDTWVEHWPEEDECQDEENQKQCQDLGAFTESMVVFGCPN
+>DECOY_sp|P01024|CO3_HUMAN Complement C3 OS=Homo sapiens OX=9606 GN=C3 PE=1 SV=2
+NPCGFVVMSETFAGLDQCQKQNEEDQCEDEEPWHEVWTDKGIIYSLNPKEGWFDSSLGWMLYHKKEELKLAERCKIPSIFTRQQGVQVEDSGSKITQEIAMIYEDFDNSLQVKVLRTKYVYDVGPECAKDLREELTVKDDSKQIFCNEEACRCLEDRCLKNLKGDEKEPHYFRTCSEELNYYAYVKVAGPQILEVNFYQHVKFALCDDESHSVKDLYIILTNRDSFAKDLEYKSIYRDVGNALQKLDDTDPAFGTMMSIDLISMTADQDGRYRTCIELIMTNKADQPRKETEPAPKITVKLDFKNCTLQDKAKAHYMTVVSLTGQGKGEATVTFGENEKTEESRLLSASEWHIRHTIKSSRSPLQLSVDLNLEQHDPADKQYQALAQFVMFTAQTSGYGGGYYRQENLWRVVPPVFDFDKLQLLALLAYSTAEVNYLQKGPDEWRNKDKATTLFKNLLPGKLRGMQALAYGAIAVTYSRQLNMYNAELFDGAKTISGPLSNVQEECIDKAEQLSILVFATLAMDKENNNRLGGIMEQHIVPADEQFVGDPKQKELILWKVAGCLVQSDIAILNVALSFVKVVYATLWTSPARKVFAAFASSPQRFALQQTYGKKILELAGQRKELGFKEWQETEDLYHVAIVTPTMGIMNQEGCGSPTVILHKLREADVADETMQAVPTGQLLIRTESETDPVQDSLDAPPIDEKQVGERGLREPDLTRVAVTKNMRIGEPVVKLSKRVGDSIFHHYVAAKVEVEQLGTKLPVIVYPVSLSSKPPITVTQQHRRKTTALSCFAPNHLLEVRVKLEQNQRYNYLVARIEVQENRVVSYPLRLDIFFDQMVTVEFPDAVCIGKKDSMSVALIEWTTISDKLFINMLKTSIGNKPPEKLDEVNWLWSEPFESRSVINEEAIIDEDLNSRALGLHSARAHQRRLETIYNCCDLFVKKCAEGLSIFRTRRQCSFRMPNERMGDECCKRLEKPYKGVKDMRKETLQVSRRRRAAPQPCQLEARQATQQGSSSTFTLGADSFVGAYDKGSGPTCGIDAKEVVDWIKSQTLKNKKNLVFVGKDVAVLVVRAGHDGEIKLTMQQGPVPQRDESQGSKVVLSGVCSDKVDVWVSDAVVERQGSAGILTYYAVLRFSPIFDTTISLPLVVLDQGPERVQRGAKLLRGKNMILYTYYRIKAEHARDMRLLFNVNLTEGPRLETRLVSLHLYNNSNGVTSYPLAQMTRTAQEAESLEQKKTRVTISLPKQSPHTNISLKAVGDGQTLSQVTDEGQVAVPVRYAPSGDPNTVFVMLDFPMGPKFYKPTKTFHIQYPSTVIPIGSREAQVMDSGSHLIVTASVYLSKGVLDEARPNQVGDLLVKRSLVVEGSGDEIPIRKLSEPLSIRQEGDQIGFIVFATGEVKKGYLFRATITVELGKENYIYYFKETPEVIVEFSPLVYEKVEFETSFVQQPSNEYYARIKWQGMNVLEPIDWSLPLVGLQNQSSLSDQKVPIGEPNEINVMVTRGVPLLKHNVTFIRYLVTSGPTYITKDTQIFLYGSQLSVLVVKEVVQTGFTAQVTVFKNRGKESKFERNAPITFTVNGMHNTAPTLVTKESSLVLKKGPFDHVTVTVPVDGQADHAELVMTEESELRLINPTIISYMPSGLALPLHTLLLLLLSPGSTPGM
+>sp|P08572|CO4A2_HUMAN Collagen alpha-2(IV) chain OS=Homo sapiens OX=9606 GN=COL4A2 PE=1 SV=4
+MGRDQRAVAGPALRRWLLLGTVTVGFLAQSVLAGVKKFDVPCGGRDCSGGCQCYPEKGGRGQPGPVGPQGYNGPPGLQGFPGLQGRKGDKGERGAPGVTGPKGDVGARGVSGFPGADGIPGHPGQGGPRGRPGYDGCNGTQGDSGPQGPPGSEGFTGPPGPQGPKGQKGEPYALPKEERDRYRGEPGEPGLVGFQGPPGRPGHVGQMGPVGAPGRPGPPGPPGPKGQQGNRGLGFYGVKGEKGDVGQPGPNGIPSDTLHPIIAPTGVTFHPDQYKGEKGSEGEPGIRGISLKGEEGIMGFPGLRGYPGLSGEKGSPGQKGSRGLDGYQGPDGPRGPKGEAGDPGPPGLPAYSPHPSLAKGARGDPGFPGAQGEPGSQGEPGDPGLPGPPGLSIGDGDQRRGLPGEMGPKGFIGDPGIPALYGGPPGPDGKRGPPGPPGLPGPPGPDGFLFGLKGAKGRAGFPGLPGSPGARGPKGWKGDAGECRCTEGDEAIKGLPGLPGPKGFAGINGEPGRKGDRGDPGQHGLPGFPGLKGVPGNIGAPGPKGAKGDSRTITTKGERGQPGVPGVPGMKGDDGSPGRDGLDGFPGLPGPPGDGIKGPPGDPGYPGIPGTKGTPGEMGPPGLGLPGLKGQRGFPGDAGLPGPPGFLGPPGPAGTPGQIDCDTDVKRAVGGDRQEAIQPGCIGGPKGLPGLPGPPGPTGAKGLRGIPGFAGADGGPGPRGLPGDAGREGFPGPPGFIGPRGSKGAVGLPGPDGSPGPIGLPGPDGPPGERGLPGEVLGAQPGPRGDAGVPGQPGLKGLPGDRGPPGFRGSQGMPGMPGLKGQPGLPGPSGQPGLYGPPGLHGFPGAPGQEGPLGLPGIPGREGLPGDRGDPGDTGAPGPVGMKGLSGDRGDAGFTGEQGHPGSPGFKGIDGMPGTPGLKGDRGSPGMDGFQGMPGLKGRPGFPGSKGEAGFFGIPGLKGLAGEPGFKGSRGDPGPPGPPPVILPGMKDIKGEKGDEGPMGLKGYLGAKGIQGMPGIPGLSGIPGLPGRPGHIKGVKGDIGVPGIPGLPGFPGVAGPPGITGFPGFIGSRGDKGAPGRAGLYGEIGATGDFGDIGDTINLPGRPGLKGERGTTGIPGLKGFFGEKGTEGDIGFPGITGVTGVQGPPGLKGQTGFPGLTGPPGSQGELGRIGLPGGKGDDGWPGAPGLPGFPGLRGIRGLHGLPGTKGFPGSPGSDIHGDPGFPGPPGERGDPGEANTLPGPVGVPGQKGDQGAPGERGPPGSPGLQGFPGITPPSNISGAPGDKGAPGIFGLKGYRGPPGPPGSAALPGSKGDTGNPGAPGTPGTKGWAGDSGPQGRPGVFGLPGEKGPRGEQGFMGNTGPTGAVGDRGPKGPKGDPGFPGAPGTVGAPGIAGIPQKIAVQPGTVGPQGRRGPPGAPGEMGPQGPPGEPGFRGAPGKAGPQGRGGVSAVPGFRGDEGPIGHQGPIGQEGAPGRPGSPGLPGMPGRSVSIGYLLVKHSQTDQEPMCPVGMNKLWSGYSLLYFEGQEKAHNQDLGLAGSCLARFSTMPFLYCNPGDVCYYASRNDKSYWLSTTAPLPMMPVAEDEIKPYISRCSVCEAPAIAIAVHSQDVSIPHCPAGWRSLWIGYSFLMHTAAGDEGGGQSLVSPGSCLEDFRATPFIECNGGRGTCHYYANKYSFWLTTIPEQSFQGSPSADTLKAGLIRTHISRCQVCMKNL
+>DECOY_sp|P08572|CO4A2_HUMAN Collagen alpha-2(IV) chain OS=Homo sapiens OX=9606 GN=COL4A2 PE=1 SV=4
+LNKMCVQCRSIHTRILGAKLTDASPSGQFSQEPITTLWFSYKNAYYHCTGRGGNCEIFPTARFDELCSGPSVLSQGGGEDGAATHMLFSYGIWLSRWGAPCHPISVDQSHVAIAIAPAECVSCRSIYPKIEDEAVPMMPLPATTSLWYSKDNRSAYYCVDGPNCYLFPMTSFRALCSGALGLDQNHAKEQGEFYLLSYGSWLKNMGVPCMPEQDTQSHKVLLYGISVSRGPMGPLGPSGPRGPAGEQGIPGQHGIPGEDGRFGPVASVGGRGQPGAKGPAGRFGPEGPPGQPGMEGPAGPPGRRGQPGVTGPQVAIKQPIGAIGPAGVTGPAGPFGPDGKPGKPGRDGVAGTPGTNGMFGQEGRPGKEGPLGFVGPRGQPGSDGAWGKTGPTGPAGPNGTDGKSGPLAASGPPGPPGRYGKLGFIGPAGKDGPAGSINSPPTIGPFGQLGPSGPPGREGPAGQDGKQGPVGVPGPLTNAEGPDGREGPPGPFGPDGHIDSGPSGPFGKTGPLGHLGRIGRLGPFGPLGPAGPWGDDGKGGPLGIRGLEGQSGPPGTLGPFGTQGKLGPPGQVGTVGTIGPFGIDGETGKEGFFGKLGPIGTTGREGKLGPRGPLNITDGIDGFDGTAGIEGYLGARGPAGKDGRSGIFGPFGTIGPPGAVGPFGPLGPIGPVGIDGKVGKIHGPRGPLGPIGSLGPIGPMGQIGKAGLYGKLGMPGEDGKEGKIDKMGPLIVPPPGPPGPDGRSGKFGPEGALGKLGPIGFFGAEGKSGPFGPRGKLGPMGQFGDMGPSGRDGKLGPTGPMGDIGKFGPSGPHGQEGTFGADGRDGSLGKMGVPGPAGTDGPDGRDGPLGERGPIGPLGLPGEQGPAGPFGHLGPPGYLGPQGSPGPLGPQGKLGPMGPMGQSGRFGPPGRDGPLGKLGPQGPVGADGRPGPQAGLVEGPLGREGPPGDPGPLGIPGPSGDPGPLGVAGKSGRPGIFGPPGPFGERGADGPLGRPGPGGDAGAFGPIGRLGKAGTPGPPGPLGPLGKPGGICGPQIAEQRDGGVARKVDTDCDIQGPTGAPGPPGLFGPPGPLGADGPFGRQGKLGPLGLGPPGMEGPTGKTGPIGPYGPDGPPGKIGDGPPGPLGPFGDLGDRGPSGDDGKMGPVGPVGPQGREGKTTITRSDGKAGKPGPAGINGPVGKLGPFGPLGHQGPDGRDGKRGPEGNIGAFGKPGPLGPLGKIAEDGETCRCEGADGKWGKPGRAGPSGPLGPFGARGKAGKLGFLFGDPGPPGPLGPPGPPGRKGDPGPPGGYLAPIGPDGIFGKPGMEGPLGRRQDGDGISLGPPGPLGPDGPEGQSGPEGQAGPFGPDGRAGKALSPHPSYAPLGPPGPDGAEGKPGRPGDPGQYGDLGRSGKQGPSGKEGSLGPYGRLGPFGMIGEEGKLSIGRIGPEGESGKEGKYQDPHFTVGTPAIIPHLTDSPIGNPGPQGVDGKEGKVGYFGLGRNGQQGKPGPPGPPGPRGPAGVPGMQGVHGPRGPPGQFGVLGPEGPEGRYRDREEKPLAYPEGKQGKPGQPGPPGTFGESGPPGQPGSDGQTGNCGDYGPRGRPGGQGPHGPIGDAGPFGSVGRAGVDGKPGTVGPAGREGKDGKRGQLGPFGQLGPPGNYGQPGVPGPQGRGGKEPYCQCGGSCDRGGCPVDFKKVGALVSQALFGVTVTGLLLWRRLAPGAVARQDRGM
+>sp|Q01955|CO4A3_HUMAN Collagen alpha-3(IV) chain OS=Homo sapiens OX=9606 GN=COL4A3 PE=1 SV=3
+MSARTAPRPQVLLLPLLLVLLAAAPAASKGCVCKDKGQCFCDGAKGEKGEKGFPGPPGSPGQKGFTGPEGLPGPQGPKGFPGLPGLTGSKGVRGISGLPGFSGSPGLPGTPGNTGPYGLVGVPGCSGSKGEQGFPGLPGTLGYPGIPGAAGLKGQKGAPAKEEDIELDAKGDPGLPGAPGPQGLPGPPGFPGPVGPPGPPGFFGFPGAMGPRGPKGHMGERVIGHKGERGVKGLTGPPGPPGTVIVTLTGPDNRTDLKGEKGDKGAMGEPGPPGPSGLPGESYGSEKGAPGDPGLQGKPGKDGVPGFPGSEGVKGNRGFPGLMGEDGIKGQKGDIGPPGFRGPTEYYDTYQEKGDEGTPGPPGPRGARGPQGPSGPPGVPGSPGSSRPGLRGAPGWPGLKGSKGERGRPGKDAMGTPGSPGCAGSPGLPGSPGPPGPPGDIVFRKGPPGDHGLPGYLGSPGIPGVDGPKGEPGLLCTQCPYIPGPPGLPGLPGLHGVKGIPGRQGAAGLKGSPGSPGNTGLPGFPGFPGAQGDPGLKGEKGETLQPEGQVGVPGDPGLRGQPGRKGLDGIPGTPGVKGLPGPKGELALSGEKGDQGPPGDPGSPGSPGPAGPAGPPGYGPQGEPGLQGTQGVPGAPGPPGEAGPRGELSVSTPVPGPPGPPGPPGHPGPQGPPGIPGSLGKCGDPGLPGPDGEPGIPGIGFPGPPGPKGDQGFPGTKGSLGCPGKMGEPGLPGKPGLPGAKGEPAVAMPGGPGTPGFPGERGNSGEHGEIGLPGLPGLPGTPGNEGLDGPRGDPGQPGPPGEQGPPGRCIEGPRGAQGLPGLNGLKGQQGRRGKTGPKGDPGIPGLDRSGFPGETGSPGIPGHQGEMGPLGQRGYPGNPGILGPPGEDGVIGMMGFPGAIGPPGPPGNPGTPGQRGSPGIPGVKGQRGTPGAKGEQGDKGNPGPSEISHVIGDKGEPGLKGFAGNPGEKGNRGVPGMPGLKGLKGLPGPAGPPGPRGDLGSTGNPGEPGLRGIPGSMGNMGMPGSKGKRGTLGFPGRAGRPGLPGIHGLQGDKGEPGYSEGTRPGPPGPTGDPGLPGDMGKKGEMGQPGPPGHLGPAGPEGAPGSPGSPGLPGKPGPHGDLGFKGIKGLLGPPGIRGPPGLPGFPGSPGPMGIRGDQGRDGIPGPAGEKGETGLLRAPPGPRGNPGAQGAKGDRGAPGFPGLPGRKGAMGDAGPRGPTGIEGFPGPPGLPGAIIPGQTGNRGPPGSRGSPGAPGPPGPPGSHVIGIKGDKGSMGHPGPKGPPGTAGDMGPPGRLGAPGTPGLPGPRGDPGFQGFPGVKGEKGNPGFLGSIGPPGPIGPKGPPGVRGDPGTLKIISLPGSPGPPGTPGEPGMQGEPGPPGPPGNLGPCGPRGKPGKDGKPGTPGPAGEKGNKGSKGEPGPAGSDGLPGLKGKRGDSGSPATWTTRGFVFTRHSQTTAIPSCPEGTVPLYSGFSFLFVQGNQRAHGQDLGTLGSCLQRFTTMPFLFCNVNDVCNFASRNDYSYWLSTPALMPMNMAPITGRALEPYISRCTVCEGPAIAIAVHSQTTDIPPCPHGWISLWKGFSFIMFTSAGSEGTGQALASPGSCLEEFRASPFLECHGRGTCNYYSNSYSFWLASLNPERMFRKPIPSTVKAGELEKIISRCQVCMKKRH
+>DECOY_sp|Q01955|CO4A3_HUMAN Collagen alpha-3(IV) chain OS=Homo sapiens OX=9606 GN=COL4A3 PE=1 SV=3
+HRKKMCVQCRSIIKELEGAKVTSPIPKRFMREPNLSALWFSYSNSYYNCTGRGHCELFPSARFEELCSGPSALAQGTGESGASTFMIFSFGKWLSIWGHPCPPIDTTQSHVAIAIAPGECVTCRSIYPELARGTIPAMNMPMLAPTSLWYSYDNRSAFNCVDNVNCFLFPMTTFRQLCSGLTGLDQGHARQNGQVFLFSFGSYLPVTGEPCSPIATTQSHRTFVFGRTTWTAPSGSDGRKGKLGPLGDSGAPGPEGKSGKNGKEGAPGPTGPKGDKGPKGRPGCPGLNGPPGPPGPEGQMGPEGPTGPPGPSGPLSIIKLTGPDGRVGPPGKPGIPGPPGISGLFGPNGKEGKVGPFGQFGPDGRPGPLGPTGPAGLRGPPGMDGATGPPGKPGPHGMSGKDGKIGIVHSGPPGPPGPAGPSGRSGPPGRNGTQGPIIAGPLGPPGPFGEIGTPGRPGADGMAGKRGPLGPFGPAGRDGKAGQAGPNGRPGPPARLLGTEGKEGAPGPIGDRGQDGRIGMPGPSGPFGPLGPPGRIGPPGLLGKIGKFGLDGHPGPKGPLGPSGPSGPAGEPGAPGLHGPPGPQGMEGKKGMDGPLGPDGTPGPPGPRTGESYGPEGKDGQLGHIGPLGPRGARGPFGLTGRKGKSGPMGMNGMSGPIGRLGPEGPNGTSGLDGRPGPPGAPGPLGKLGKLGPMGPVGRNGKEGPNGAFGKLGPEGKDGIVHSIESPGPNGKDGQEGKAGPTGRQGKVGPIGPSGRQGPTGPNGPPGPPGIAGPFGMMGIVGDEGPPGLIGPNGPYGRQGLPGMEGQHGPIGPSGTEGPFGSRDLGPIGPDGKPGTKGRRGQQGKLGNLGPLGQAGRPGEICRGPPGQEGPPGPQGPDGRPGDLGENGPTGPLGPLGPLGIEGHEGSNGREGPFGPTGPGGPMAVAPEGKAGPLGPKGPLGPEGMKGPCGLSGKTGPFGQDGKPGPPGPFGIGPIGPEGDPGPLGPDGCKGLSGPIGPPGQPGPHGPPGPPGPPGPVPTSVSLEGRPGAEGPPGPAGPVGQTGQLGPEGQPGYGPPGAPGAPGPSGPSGPDGPPGQDGKEGSLALEGKPGPLGKVGPTGPIGDLGKRGPQGRLGPDGPVGVQGEPQLTEGKEGKLGPDGQAGPFGPFGPLGTNGPSGPSGKLGAAGQRGPIGKVGHLGPLGPLGPPGPIYPCQTCLLGPEGKPGDVGPIGPSGLYGPLGHDGPPGKRFVIDGPPGPPGPSGPLGPSGACGPSGPTGMADKGPRGREGKSGKLGPWGPAGRLGPRSSGPSGPVGPPGSPGQPGRAGRPGPPGPTGEDGKEQYTDYYETPGRFGPPGIDGKQGKIGDEGMLGPFGRNGKVGESGPFGPVGDKGPKGQLGPDGPAGKESGYSEGPLGSPGPPGPEGMAGKDGKEGKLDTRNDPGTLTVIVTGPPGPPGTLGKVGREGKHGIVREGMHGKPGRPGMAGPFGFFGPPGPPGVPGPFGPPGPLGQPGPAGPLGPDGKADLEIDEEKAPAGKQGKLGAAGPIGPYGLTGPLGPFGQEGKSGSCGPVGVLGYPGTNGPTGPLGPSGSFGPLGSIGRVGKSGTLGPLGPFGKPGQPGPLGEPGTFGKQGPSGPPGPFGKEGKEGKAGDCFCQGKDKCVCGKSAAPAAALLVLLLPLLLVQPRPATRASM
+>sp|Q14031|CO4A6_HUMAN Collagen alpha-6(IV) chain OS=Homo sapiens OX=9606 GN=COL4A6 PE=1 SV=3
+MLINKLWLLLVTLCLTEELAAAGEKSYGKPCGGQDCSGSCQCFPEKGARGRPGPIGIQGPTGPQGFTGSTGLSGLKGERGFPGLLGPYGPKGDKGPMGVPGFLGINGIPGHPGQPGPRGPPGLDGCNGTQGAVGFPGPDGYPGLLGPPGLPGQKGSKGDPVLAPGSFKGMKGDPGLPGLDGITGPQGAPGFPGAVGPAGPPGLQGPPGPPGPLGPDGNMGLGFQGEKGVKGDVGLPGPAGPPPSTGELEFMGFPKGKKGSKGEPGPKGFPGISGPPGFPGLGTTGEKGEKGEKGIPGLPGPRGPMGSEGVQGPPGQQGKKGTLGFPGLNGFQGIEGQKGDIGLPGPDVFIDIDGAVISGNPGDPGVPGLPGLKGDEGIQGLRGPSGVPGLPALSGVPGALGPQGFPGLKGDQGNPGRTTIGAAGLPGRDGLPGPPGPPGPPSPEFETETLHNKESGFPGLRGEQGPKGNLGLKGIKGDSGFCACDGGVPNTGPPGEPGPPGPWGLIGLPGLKGARGDRGSGGAQGPAGAPGLVGPLGPSGPKGKKGEPILSTIQGMPGDRGDSGSQGFRGVIGEPGKDGVPGLPGLPGLPGDGGQGFPGEKGLPGLPGEKGHPGPPGLPGNGLPGLPGPRGLPGDKGKDGLPGQQGLPGSKGITLPCIIPGSYGPSGFPGTPGFPGPKGSRGLPGTPGQPGSSGSKGEPGSPGLVHLPELPGFPGPRGEKGLPGFPGLPGKDGLPGMIGSPGLPGSKGATGDIFGAENGAPGEQGLQGLTGHKGFLGDSGLPGLKGVHGKPGLLGPKGERGSPGTPGQVGQPGTPGSSGPYGIKGKSGLPGAPGFPGISGHPGKKGTRGKKGPPGSIVKKGLPGLKGLPGNPGLVGLKGSPGSPGVAGLPALSGPKGEKGSVGFVGFPGIPGLPGIPGTRGLKGIPGSTGKMGPSGRAGTPGEKGDRGNPGPVGIPSPRRPMSNLWLKGDKGSQGSAGSNGFPGPRGDKGEAGRPGPPGLPGAPGLPGIIKGVSGKPGPPGFMGIRGLPGLKGSSGITGFPGMPGESGSQGIRGSPGLPGASGLPGLKGDNGQTVEISGSPGPKGQPGESGFKGTKGRDGLIGNIGFPGNKGEDGKVGVSGDVGLPGAPGFPGVAGMRGEPGLPGSSGHQGAIGPLGSPGLIGPKGFPGFPGLHGLNGLPGTKGTHGTPGPSITGVPGPAGLPGPKGEKGYPGIGIGAPGKPGLRGQKGDRGFPGLQGPAGLPGAPGISLPSLIAGQPGDPGRPGLDGERGRPGPAGPPGPPGPSSNQGDTGDPGFPGIPGPKGPKGDQGIPGFSGLPGELGLKGMRGEPGFMGTPGKVGPPGDPGFPGMKGKAGPRGSSGLQGDPGQTPTAEAVQVPPGPLGLPGIDGIPGLTGDPGAQGPVGLQGSKGLPGIPGKDGPSGLPGPPGALGDPGLPGLQGPPGFEGAPGQQGPFGMPGMPGQSMRVGYTLVKHSQSEQVPPCPIGMSQLWVGYSLLFVEGQEKAHNQDLGFAGSCLPRFSTMPFIYCNINEVCHYARRNDKSYWLSTTAPIPMMPVSQTQIPQYISRCSVCEAPSQAIAVHSQDITIPQCPLGWRSLWIGYSFLMHTAAGAEGGGQSLVSPGSCLEDFRATPFIECSGARGTCHYFANKYSFWLTTVEERQQFGELPVSETLKAGQLHTRVSRCQVCMKSL
+>DECOY_sp|Q14031|CO4A6_HUMAN Collagen alpha-6(IV) chain OS=Homo sapiens OX=9606 GN=COL4A6 PE=1 SV=3
+LSKMCVQCRSVRTHLQGAKLTESVPLEGFQQREEVTTLWFSYKNAFYHCTGRAGSCEIFPTARFDELCSGPSVLSQGGGEAGAATHMLFSYGIWLSRWGLPCQPITIDQSHVAIAQSPAECVSCRSIYQPIQTQSVPMMPIPATTSLWYSKDNRRAYHCVENINCYIFPMTSFRPLCSGAFGLDQNHAKEQGEVFLLSYGVWLQSMGIPCPPVQESQSHKVLTYGVRMSQGPMGPMGFPGQQGPAGEFGPPGQLGPLGPDGLAGPPGPLGSPGDKGPIGPLGKSGQLGVPGQAGPDGTLGPIGDIGPLGLPGPPVQVAEATPTQGPDGQLGSSGRPGAKGKMGPFGPDGPPGVKGPTGMFGPEGRMGKLGLEGPLGSFGPIGQDGKPGKPGPIGPFGPDGTDGQNSSPGPPGPPGAPGPRGREGDLGPRGPDGPQGAILSPLSIGPAGPLGAPGQLGPFGRDGKQGRLGPKGPAGIGIGPYGKEGKPGPLGAPGPVGTISPGPTGHTGKTGPLGNLGHLGPFGPFGKPGILGPSGLPGIAGQHGSSGPLGPEGRMGAVGPFGPAGPLGVDGSVGVKGDEGKNGPFGINGILGDRGKTGKFGSEGPQGKPGPSGSIEVTQGNDGKLGPLGSAGPLGPSGRIGQSGSEGPMGPFGTIGSSGKLGPLGRIGMFGPPGPKGSVGKIIGPLGPAGPLGPPGPRGAEGKDGRPGPFGNSGASGQSGKDGKLWLNSMPRRPSPIGVPGPNGRDGKEGPTGARGSPGMKGTSGPIGKLGRTGPIGPLGPIGPFGVFGVSGKEGKPGSLAPLGAVGPSGPSGKLGVLGPNGPLGKLGPLGKKVISGPPGKKGRTGKKGPHGSIGPFGPAGPLGSKGKIGYPGSSGPTGPQGVQGPTGPSGREGKPGLLGPKGHVGKLGPLGSDGLFGKHGTLGQLGQEGPAGNEAGFIDGTAGKSGPLGPSGIMGPLGDKGPLGPFGPLGKEGRPGPFGPLEPLHVLGPSGPEGKSGSSGPQGPTGPLGRSGKPGPFGPTGPFGSPGYSGPIICPLTIGKSGPLGQQGPLGDKGKDGPLGRPGPLGPLGNGPLGPPGPHGKEGPLGPLGKEGPFGQGGDGPLGPLGPLGPVGDKGPEGIVGRFGQSGSDGRDGPMGQITSLIPEGKKGKPGSPGLPGVLGPAGAPGQAGGSGRDGRAGKLGPLGILGWPGPPGPEGPPGTNPVGGDCACFGSDGKIGKLGLNGKPGQEGRLGPFGSEKNHLTETEFEPSPPGPPGPPGPLGDRGPLGAAGITTRGPNGQDGKLGPFGQPGLAGPVGSLAPLGPVGSPGRLGQIGEDGKLGPLGPVGPDGPNGSIVAGDIDIFVDPGPLGIDGKQGEIGQFGNLGPFGLTGKKGQQGPPGQVGESGMPGRPGPLGPIGKEGKEGKEGTTGLGPFGPPGSIGPFGKPGPEGKSGKKGKPFGMFELEGTSPPPGAPGPLGVDGKVGKEGQFGLGMNGDPGLPGPPGPPGQLGPPGAPGVAGPFGPAGQPGTIGDLGPLGPDGKMGKFSGPALVPDGKSGKQGPLGPPGLLGPYGDPGPFGVAGQTGNCGDLGPPGRPGPQGPHGPIGNIGLFGPVGMPGKDGKPGYPGLLGPFGREGKLGSLGTSGTFGQPGTPGQIGIPGPRGRAGKEPFCQCSGSCDQGGCPKGYSKEGAAALEETLCLTVLLLWLKNILM
+>sp|P0C0L4|CO4A_HUMAN Complement C4-A OS=Homo sapiens OX=9606 GN=C4A PE=1 SV=2
+MRLLWGLIWASSFFTLSLQKPRLLLFSPSVVHLGVPLSVGVQLQDVPRGQVVKGSVFLRNPSRNNVPCSPKVDFTLSSERDFALLSLQVPLKDAKSCGLHQLLRGPEVQLVAHSPWLKDSLSRTTNIQGINLLFSSRRGHLFLQTDQPIYNPGQRVRYRVFALDQKMRPSTDTITVMVENSHGLRVRKKEVYMPSSIFQDDFVIPDISEPGTWKISARFSDGLESNSSTQFEVKKYVLPNFEVKITPGKPYILTVPGHLDEMQLDIQARYIYGKPVQGVAYVRFGLLDEDGKKTFFRGLESQTKLVNGQSHISLSKAEFQDALEKLNMGITDLQGLRLYVAAAIIESPGGEMEEAELTSWYFVSSPFSLDLSKTKRHLVPGAPFLLQALVREMSGSPASGIPVKVSATVSSPGSVPEVQDIQQNTDGSGQVSIPIIIPQTISELQLSVSAGSPHPAIARLTVAAPPSGGPGFLSIERPDSRPPRVGDTLNLNLRAVGSGATFSHYYYMILSRGQIVFMNREPKRTLTSVSVFVDHHLAPSFYFVAFYYHGDHPVANSLRVDVQAGACEGKLELSVDGAKQYRNGESVKLHLETDSLALVALGALDTALYAAGSKSHKPLNMGKVFEAMNSYDLGCGPGGGDSALQVFQAAGLAFSDGDQWTLSRKRLSCPKEKTTRKKRNVNFQKAINEKLGQYASPTAKRCCQDGVTRLPMMRSCEQRAARVQQPDCREPFLSCCQFAESLRKKSRDKGQAGLQRALEILQEEDLIDEDDIPVRSFFPENWLWRVETVDRFQILTLWLPDSLTTWEIHGLSLSKTKGLCVATPVQLRVFREFHLHLRLPMSVRRFEQLELRPVLYNYLDKNLTVSVHVSPVEGLCLAGGGGLAQQVLVPAGSARPVAFSVVPTAAAAVSLKVVARGSFEFPVGDAVSKVLQIEKEGAIHREELVYELNPLDHRGRTLEIPGNSDPNMIPDGDFNSYVRVTASDPLDTLGSEGALSPGGVASLLRLPRGCGEQTMIYLAPTLAASRYLDKTEQWSTLPPETKDHAVDLIQKGYMRIQQFRKADGSYAAWLSRDSSTWLTAFVLKVLSLAQEQVGGSPEKLQETSNWLLSQQQADGSFQDPCPVLDRSMQGGLVGNDETVALTAFVTIALHHGLAVFQDEGAEPLKQRVEASISKANSFLGEKASAGLLGAHAAAITAYALTLTKAPVDLLGVAHNNLMAMAQETGDNLYWGSVTGSQSNAVSPTPAPRNPSDPMPQAPALWIETTAYALLHLLLHEGKAEMADQASAWLTRQGSFQGGFRSTQDTVIALDALSAYWIASHTTEERGLNVTLSSTGRNGFKSHALQLNNRQIRGLEEELQFSLGSKINVKVGGNSKGTLKVLRTYNVLDMKNTTCQDLQIEVTVKGHVEYTMEANEDYEDYEYDELPAKDDPDAPLQPVTPLQLFEGRRNRRRREAPKVVEEQESRVHYTVCIWRNGKVGLSGMAIADVTLLSGFHALRADLEKLTSLSDRYVSHFETEGPHVLLYFDSVPTSRECVGFEAVQEVPVGLVQPASATLYDYYNPERRCSVFYGAPSKSRLLATLCSAEVCQCAEGKCPRQRRALERGLQDEDGYRMKFACYYPRVEYGFQVKVLREDSRAAFRLFETKITQVLHFTKDVKAAANQMRNFLVRASCRLRLEPGKEYLIMGLDGATYDLEGHPQYLLDSNSWIEEMPSERLCRSTRQRAACAQLNDFLQEYGTQGCQV
+>DECOY_sp|P0C0L4|CO4A_HUMAN Complement C4-A OS=Homo sapiens OX=9606 GN=C4A PE=1 SV=2
+VQCGQTGYEQLFDNLQACAARQRTSRCLRESPMEEIWSNSDLLYQPHGELDYTAGDLGMILYEKGPELRLRCSARVLFNRMQNAAAKVDKTFHLVQTIKTEFLRFAARSDERLVKVQFGYEVRPYYCAFKMRYGDEDQLGRELARRQRPCKGEACQCVEASCLTALLRSKSPAGYFVSCRREPNYYDYLTASAPQVLGVPVEQVAEFGVCERSTPVSDFYLLVHPGETEFHSVYRDSLSTLKELDARLAHFGSLLTVDAIAMGSLGVKGNRWICVTYHVRSEQEEVVKPAERRRRNRRGEFLQLPTVPQLPADPDDKAPLEDYEYDEYDENAEMTYEVHGKVTVEIQLDQCTTNKMDLVNYTRLVKLTGKSNGGVKVNIKSGLSFQLEEELGRIQRNNLQLAHSKFGNRGTSSLTVNLGREETTHSAIWYASLADLAIVTDQTSRFGGQFSGQRTLWASAQDAMEAKGEHLLLHLLAYATTEIWLAPAQPMPDSPNRPAPTPSVANSQSGTVSGWYLNDGTEQAMAMLNNHAVGLLDVPAKTLTLAYATIAAAHAGLLGASAKEGLFSNAKSISAEVRQKLPEAGEDQFVALGHHLAITVFATLAVTEDNGVLGGQMSRDLVPCPDQFSGDAQQQSLLWNSTEQLKEPSGGVQEQALSLVKLVFATLWTSSDRSLWAAYSGDAKRFQQIRMYGKQILDVAHDKTEPPLTSWQETKDLYRSAALTPALYIMTQEGCGRPLRLLSAVGGPSLAGESGLTDLPDSATVRVYSNFDGDPIMNPDSNGPIELTRGRHDLPNLEYVLEERHIAGEKEIQLVKSVADGVPFEFSGRAVVKLSVAAAATPVVSFAVPRASGAPVLVQQALGGGGALCLGEVPSVHVSVTLNKDLYNYLVPRLELQEFRRVSMPLRLHLHFERFVRLQVPTAVCLGKTKSLSLGHIEWTTLSDPLWLTLIQFRDVTEVRWLWNEPFFSRVPIDDEDILDEEQLIELARQLGAQGKDRSKKRLSEAFQCCSLFPERCDPQQVRAARQECSRMMPLRTVGDQCCRKATPSAYQGLKENIAKQFNVNRKKRTTKEKPCSLRKRSLTWQDGDSFALGAAQFVQLASDGGGPGCGLDYSNMAEFVKGMNLPKHSKSGAAYLATDLAGLAVLALSDTELHLKVSEGNRYQKAGDVSLELKGECAGAQVDVRLSNAVPHDGHYYFAVFYFSPALHHDVFVSVSTLTRKPERNMFVIQGRSLIMYYYHSFTAGSGVARLNLNLTDGVRPPRSDPREISLFGPGGSPPAAVTLRAIAPHPSGASVSLQLESITQPIIIPISVQGSGDTNQQIDQVEPVSGPSSVTASVKVPIGSAPSGSMERVLAQLLFPAGPVLHRKTKSLDLSFPSSVFYWSTLEAEEMEGGPSEIIAAAVYLRLGQLDTIGMNLKELADQFEAKSLSIHSQGNVLKTQSELGRFFTKKGDEDLLGFRVYAVGQVPKGYIYRAQIDLQMEDLHGPVTLIYPKGPTIKVEFNPLVYKKVEFQTSSNSELGDSFRASIKWTGPESIDPIVFDDQFISSPMYVEKKRVRLGHSNEVMVTITDTSPRMKQDLAFVRYRVRQGPNYIPQDTQLFLHGRRSSFLLNIGQINTTRSLSDKLWPSHAVLQVEPGRLLQHLGCSKADKLPVQLSLLAFDRESSLTFDVKPSCPVNNRSPNRLFVSGKVVQGRPVDQLQVGVSLPVGLHVVSPSFLLLRPKQLSLTFFSSAWILGWLLRM
+>sp|P25940|CO5A3_HUMAN Collagen alpha-3(V) chain OS=Homo sapiens OX=9606 GN=COL5A3 PE=1 SV=3
+MGNRRDLGQPRAGLCLLLAALQLLPGTQADPVDVLKALGVQGGQAGVPEGPGFCPQRTPEGDRAFRIGQASTLGIPTWELFPEGHFPENFSLLITLRGQPANQSVLLSIYDERGARQLGLALGPALGLLGDPFRPLPQQVNLTDGRWHRVAVSIDGEMVTLVADCEAQPPVLGHGPRFISIAGLTVLGTQDLGEKTFEGDIQELLISPDPQAAFQACERYLPDCDNLAPAATVAPQGEPETPRPRRKGKGKGRKKGRGRKGKGRKKNKEIWTSSPPPDSAENQTSTDIPKTETPAPNLPPTPTPLVVTSTVTTGLNATILERSLDPDSGTELGTLETKAAREDEEGDDSTMGPDFRAAEYPSRTQFQIFPGAGEKGAKGEPAVIEKGQQFEGPPGAPGPQGVVGPSGPPGPPGFPGDPGPPGPAGLPGIPGIDGIRGPPGTVIMMPFQFAGGSFKGPPVSFQQAQAQAVLQQTQLSMKGPPGPVGLTGRPGPVGLPGHPGLKGEEGAEGPQGPRGLQGPHGPPGRVGKMGRPGADGARGLPGDTGPKGDRGFDGLPGLPGEKGQRGDFGHVGQPGPPGEDGERGAEGPPGPTGQAGEPGPRGLLGPRGSPGPTGRPGVTGIDGAPGAKGNVGPPGEPGPPGQQGNHGSQGLPGPQGLIGTPGEKGPPGNPGIPGLPGSDGPLGHPGHEGPTGEKGAQGPPGSAGPPGYPGPRGVKGTSGNRGLQGEKGEKGEDGFPGFKGDVGLKGDQGKPGAPGPRGEDGPEGPKGQAGQAGEEGPPGSAGEKGKLGVPGLPGYPGRPGPKGSIGFPGPLGPIGEKGKSGKTGQPGLEGERGPPGSRGERGQPGATGQPGPKGDVGQDGAPGIPGEKGLPGLQGPPGFPGPKGPPGHQGKDGRPGHPGQRGELGFQGQTGPPGPAGVLGPQGKTGEVGPLGERGPPGPPGPPGEQGLPGLEGREGAKGELGPPGPLGKEGPAGLRGFPGPKGGPGDPGPTGLKGDKGPPGPVGANGSPGERGPLGPAGGIGLPGQSGSEGPVGPAGKKGSRGERGPPGPTGKDGIPGPLGPLGPPGAAGPSGEEGDKGDVGAPGHKGSKGDKGDAGPPGQPGIRGPAGHPGPPGADGAQGRRGPPGLFGQKGDDGVRGFVGVIGPPGLQGLPGPPGEKGEVGDVGSMGPHGAPGPRGPQGPTGSEGTPGLPGGVGQPGAVGEKGERGDAGDPGPPGAPGIPGPKGDIGEKGDSGPSGAAGPPGKKGPPGEDGAKGSVGPTGLPGDLGPPGDPGVSGIDGSPGEKGDPGDVGGPGPPGASGEPGAPGPPGKRGPSGHMGREGREGEKGAKGEPGPDGPPGRTGPMGARGPPGRVGPEGLRGIPGPVGEPGLLGAPGQMGPPGPLGPSGLPGLKGDTGPKGEKGHIGLIGLIGPPGEAGEKGDQGLPGVQGPPGPKGDPGPPGPIGSLGHPGPPGVAGPLGQKGSKGSPGSMGPRGDTGPAGPPGPPGAPAELHGLRRRRRFVPVPLPVVEGGLEEVLASLTSLSLELEQLRRPPGTAERPGLVCHELHRNHPHLPDGEYWIDPNQGCARDSFRVFCNFTAGGETCLYPDKKFEIVKLASWSKEKPGGWYSTFRRGKKFSYVDADGSPVNVVQLNFLKLLSATARQNFTYSCQNAAAWLDEATGDYSHSARFLGTNGEELSFNQTTAATVSVPQDGCRLRKGQTKTLFEFSSSRAGFLPLWDVAATDFGQTNQKFGFELGPVCFSS
+>DECOY_sp|P25940|CO5A3_HUMAN Collagen alpha-3(V) chain OS=Homo sapiens OX=9606 GN=COL5A3 PE=1 SV=3
+SSFCVPGLEFGFKQNTQGFDTAAVDWLPLFGARSSSFEFLTKTQGKRLRCGDQPVSVTAATTQNFSLEEGNTGLFRASHSYDGTAEDLWAAANQCSYTFNQRATASLLKLFNLQVVNVPSGDADVYSFKKGRRFTSYWGGPKEKSWSALKVIEFKKDPYLCTEGGATFNCFVRFSDRACGQNPDIWYEGDPLHPHNRHLEHCVLGPREATGPPRRLQELELSLSTLSALVEELGGEVVPLPVPVFRRRRRLGHLEAPAGPPGPPGAPGTDGRPGMSGPSGKSGKQGLPGAVGPPGPHGLSGIPGPPGPDGKPGPPGQVGPLGQDGKEGAEGPPGILGILGIHGKEGKPGTDGKLGPLGSPGLPGPPGMQGPAGLLGPEGVPGPIGRLGEPGVRGPPGRAGMPGTRGPPGDPGPEGKAGKEGERGERGMHGSPGRKGPPGPAGPEGSAGPPGPGGVDGPDGKEGPSGDIGSVGPDGPPGLDGPLGTPGVSGKAGDEGPPGKKGPPGAAGSPGSDGKEGIDGKPGPIGPAGPPGPDGADGREGKEGVAGPQGVGGPLGPTGESGTPGQPGRPGPAGHPGMSGVDGVEGKEGPPGPLGQLGPPGIVGVFGRVGDDGKQGFLGPPGRRGQAGDAGPPGPHGAPGRIGPQGPPGADGKDGKSGKHGPAGVDGKDGEEGSPGAAGPPGLPGLPGPIGDKGTPGPPGREGRSGKKGAPGVPGESGSQGPLGIGGAPGLPGREGPSGNAGVPGPPGKDGKLGTPGPDGPGGKPGPFGRLGAPGEKGLPGPPGLEGKAGERGELGPLGQEGPPGPPGPPGREGLPGVEGTKGQPGLVGAPGPPGTQGQFGLEGRQGPHGPRGDKGQHGPPGKPGPFGPPGQLGPLGKEGPIGPAGDQGVDGKPGPQGTAGPQGREGRSGPPGREGELGPQGTKGSKGKEGIPGLPGPFGISGKPGPRGPYGPLGPVGLKGKEGASGPPGEEGAQGAQGKPGEPGDEGRPGPAGPKGQDGKLGVDGKFGPFGDEGKEGKEGQLGRNGSTGKVGRPGPYGPPGASGPPGQAGKEGTPGEHGPHGLPGDSGPLGPIGPNGPPGKEGPTGILGQPGPLGQSGHNGQQGPPGPEGPPGVNGKAGPAGDIGTVGPRGTPGPSGRPGLLGRPGPEGAQGTPGPPGEAGREGDEGPPGPQGVHGFDGRQGKEGPLGPLGDFGRDGKPGTDGPLGRAGDAGPRGMKGVRGPPGHPGQLGRPGQPGEAGEEGKLGPHGPLGVPGPRGTLGVPGPPGKMSLQTQQLVAQAQAQQFSVPPGKFSGGAFQFPMMIVTGPPGRIGDIGPIGPLGAPGPPGPDGPFGPPGPPGSPGVVGQPGPAGPPGEFQQGKEIVAPEGKAGKEGAGPFIQFQTRSPYEAARFDPGMTSDDGEEDERAAKTELTGLETGSDPDLSRELITANLGTTVTSTVVLPTPTPPLNPAPTETKPIDTSTQNEASDPPPSSTWIEKNKKRGKGKRGRGKKRGKGKGKRRPRPTEPEGQPAVTAAPALNDCDPLYRECAQFAAQPDPSILLEQIDGEFTKEGLDQTGLVTLGAISIFRPGHGLVPPQAECDAVLTVMEGDISVAVRHWRGDTLNVQQPLPRFPDGLLGLAPGLALGLQRAGREDYISLLVSQNAPQGRLTILLSFNEPFHGEPFLEWTPIGLTSAQGIRFARDGEPTRQPCFGPGEPVGAQGGQVGLAKLVDVPDAQTGPLLQLAALLLCLGARPQGLDRRNGM
+>sp|P12110|CO6A2_HUMAN Collagen alpha-2(VI) chain OS=Homo sapiens OX=9606 GN=COL6A2 PE=1 SV=4
+MLQGTCSVLLLWGILGAIQAQQQEVISPDTTERNNNCPEKTDCPIHVYFVLDTSESVTMQSPTDILLFHMKQFVPQFISQLQNEFYLDQVALSWRYGGLHFSDQVEVFSPPGSDRASFIKNLQGISSFRRGTFTDCALANMTEQIRQDRSKGTVHFAVVITDGHVTGSPCGGIKLQAERAREEGIRLFAVAPNQNLKEQGLRDIASTPHELYRNDYATMLPDSTEIDQDTINRIIKVMKHEAYGECYKVSCLEIPGPSGPKGYRGQKGAKGNMGEPGEPGQKGRQGDPGIEGPIGFPGPKGVPGFKGEKGEFGADGRKGAPGLAGKNGTDGQKGKLGRIGPPGCKGDPGNRGPDGYPGEAGSPGERGDQGGKGDPGRPGRRGPPGEIGAKGSKGYQGNSGAPGSPGVKGAKGGPGPRGPKGEPGRRGDPGTKGSPGSDGPKGEKGDPGPEGPRGLAGEVGNKGAKGDRGLPGPRGPQGALGEPGKQGSRGDPGDAGPRGDSGQPGPKGDPGRPGFSYPGPRGAPGEKGEPGPRGPEGGRGDFGLKGEPGRKGEKGEPADPGPPGEPGPRGPRGVPGPEGEPGPPGDPGLTECDVMTYVRETCGCCDCEKRCGALDVVFVIDSSESIGYTNFTLEKNFVINVVNRLGAIAKDPKSETGTRVGVVQYSHEGTFEAIQLDDERIDSLSSFKEAVKNLEWIAGGTWTPSALKFAYDRLIKESRRQKTRVFAVVITDGRHDPRDDDLNLRALCDRDVTVTAIGIGDMFHEKHESENLYSIACDKPQQVRNMTLFSDLVAEKFIDDMEDVLCPDPQIVCPDLPCQTELSVAQCTQRPVDIVFLLDGSERLGEQNFHKARRFVEQVARRLTLARRDDDPLNARVALLQFGGPGEQQVAFPLSHNLTAIHEALETTQYLNSFSHVGAGVVHAINAIVRSPRGGARRHAELSFVFLTDGVTGNDSLHESAHSMRKQNVVPTVLALGSDVDMDVLTTLSLGDRAAVFHEKDYDSLAQPGFFDRFIRWIC
+>DECOY_sp|P12110|CO6A2_HUMAN Collagen alpha-2(VI) chain OS=Homo sapiens OX=9606 GN=COL6A2 PE=1 SV=4
+CIWRIFRDFFGPQALSDYDKEHFVAARDGLSLTTLVDMDVDSGLALVTPVVNQKRMSHASEHLSDNGTVGDTLFVFSLEAHRRAGGRPSRVIANIAHVVGAGVHSFSNLYQTTELAEHIATLNHSLPFAVQQEGPGGFQLLAVRANLPDDDRRALTLRRAVQEVFRRAKHFNQEGLRESGDLLFVIDVPRQTCQAVSLETQCPLDPCVIQPDPCLVDEMDDIFKEAVLDSFLTMNRVQQPKDCAISYLNESEHKEHFMDGIGIATVTVDRDCLARLNLDDDRPDHRGDTIVVAFVRTKQRRSEKILRDYAFKLASPTWTGGAIWELNKVAEKFSSLSDIREDDLQIAEFTGEHSYQVVGVRTGTESKPDKAIAGLRNVVNIVFNKELTFNTYGISESSDIVFVVDLAGCRKECDCCGCTERVYTMVDCETLGPDGPPGPEGEPGPVGRPGRPGPEGPPGPDAPEGKEGKRGPEGKLGFDGRGGEPGRPGPEGKEGPAGRPGPYSFGPRGPDGKPGPQGSDGRPGADGPDGRSGQKGPEGLAGQPGRPGPLGRDGKAGKNGVEGALGRPGEPGPDGKEGKPGDSGPSGKTGPDGRRGPEGKPGRPGPGGKAGKVGPSGPAGSNGQYGKSGKAGIEGPPGRRGPRGPDGKGGQDGREGPSGAEGPYGDPGRNGPDGKCGPPGIRGLKGKQGDTGNKGALGPAGKRGDAGFEGKEGKFGPVGKPGPFGIPGEIGPDGQRGKQGPEGPEGMNGKAGKQGRYGKPGSPGPIELCSVKYCEGYAEHKMVKIIRNITDQDIETSDPLMTAYDNRYLEHPTSAIDRLGQEKLNQNPAVAFLRIGEERAREAQLKIGGCPSGTVHGDTIVVAFHVTGKSRDQRIQETMNALACDTFTGRRFSSIGQLNKIFSARDSGPPSFVEVQDSFHLGGYRWSLAVQDLYFENQLQSIFQPVFQKMHFLLIDTPSQMTVSESTDLVFYVHIPCDTKEPCNNNRETTDPSIVEQQQAQIAGLIGWLLLVSCTGQLM
+>sp|A6NMZ7|CO6A6_HUMAN Collagen alpha-6(VI) chain OS=Homo sapiens OX=9606 GN=COL6A6 PE=1 SV=2
+MMLLILFLVIICSHISVNQDSGPEYADVVFLVDSSDRLGSKSFPFVKMFITKMISSLPIEADKYRVALAQYSDKLHSEFHLSTFKGRSPMLNHLRKNFGFIGGSLQIGKALQEAHRTYFSAPANGRDKKQFPPILVVLASSESEDNVEEASKALRKDGVKIISVGVQKASEENLKAMATSQFHFNLRTVRDLSMFSQNMTHIIKDVIKYKEGAVDDIFVEACQGPSMADVVFLLDMSINGSEENFDYLKGFLEESVSALDIKENCMRVGLVAYSNETKVINSLSMGINKSEVLQHIQNLSPRTGKAYTGAAIKKLRKEVFSARNGSRKNQGVPQIAVLVTHRDSEDNVTKAAVNLRREGVTIFTLGIEGASDTQLEKIASHPAEQYVSKLKTFADLAAHNQTFLKKLRNQITHTVSVFSERTETLKSGCVDTEEADIYLLIDGSGSTQATDFHEMKTFLSEVVGMFNIAPHKVRVGAVQYADSWDLEFEINKYSNKQDLGKAIENIRQMGGNTNTGAALNFTLSLLQKAKKQRGNKVPCHLVVLTNGMSKDSILEPANRLREEHIRVYAIGIKEANQTQLREIAGEEKRVYYVHDFDALKDIRNQVVQEICTEEACKEMKADIMFLVDSSGSIGPENFSKMKTFMKNLVSKSQIGPDRVQIGVVQFSDINKEEFQLNRFMSQSDISNAIDQMAHIGQTTLTGSALSFVSQYFSPTKGARPNIRKFLILITDGEAQDIVKEPAVVLRQEGVIIYSVGVFGSNVTQLEEISGRPEMVFYVENFDILQRIEDDLVFGICSPREECKRIEVLDVVFVIDSSGSIDYDEYNIMKDFMIGLVKKADVGKNQVRFGALKYADDPEVLFYLDDFGTKLEVISVLQNDQAMGGSTYTAEALGFSDHMFTEARGSRLNKGVPQVLIVITDGESHDADKLNATAKALRDKGILVLAVGIDGANPVELLAMAGSSDKYFFVETFGGLKGIFSDVTASVCNSSKVDCEIDKVDLVFLMDGSTSIQPNDFKKMKEFLASVVQDFDVSLNRVRIGAAQFSDTYHPEFPLGTFIGEKEISFQIENIKQIFGNTHIGAALREVEHYFRPDMGSRINTGTPQVLLVLTDGQSQDEVAQAAEALRHRGIDIYSVGIGDVDDQQLIQITGTAEKKLTVHNFDELKKVNKRIVRNICTTAGESNCFVDVVVGFDVSTQEKGQTLLEGQPWMETYLQDILRAISSLNGVSCEVGTETQVSVAFQVTNAMEKYSPKFEIYSENILNSLKDITVKGPSLLNANLLDSLWDTFQNKSAARGKVVLLFSDGLDDDVEKLEQKSDELRKEGLNALITVALDGPADSSDLADLPYIEFGKGFEYRTQLSIGMRELGSRLSKQLVNVAERTCCCLFCKCIGGDGTMGDPGPPGKRGPPGFKGSEGYLGEEGIAGERGAPGPVGEQGTKGCYGTKGPKGNRGLNGQEGEVGENGIDGLNGEQGDNGLPGRKGEKGDEGSQGSPGKRGTPGDRGAKGLRGDPGAPGVDSSIEGPTGLKGERGRQGRRGWPGPPGTPGSRRKTAAHGRRGHTGPQGTAGIPGPDGLEGSLGLKGPQGPRGEAGVKGEKGGVGSKGPQGPPGPGGEAGNQGRLGSQGNKGEPGDLGEKGAVGFPGPRGLQGNDGSPGYGSVGRKGAKGQEGFPGESGPKGEIGDPGGPGETGLKGARGKMISAGLPGEMGSPGEPGPPGRKGVKGAKGLASFSTCELIQYVRDRSPGRHGKPECPVHPTELVFALDHSRDVTEQEFERMKEMMAFLVRDIKVRENSCPVGAHIAILSYNSHARHLVRFSDAYKKSQLLREIETIPYERSSASREIGRAMRFISRNVFKRTLPGAHTRKIATFFSSGQSADAHSITTAAMEFGALEIIPVVITFSNVPSVRRAFAIDDTGTFQVIVVPSGADYIPALERLQRCTFCYDVCKPDASCDQARPPPVQSYMDAAFLLDASRNMGSAEFEDIRAFLGALLDHFEITPEPETSVTGDRVALLSHAPPDFLPNTQKSPVRAEFNLTTYRSKRLMKRHVHESVKQLNGDAFIGHALQWTLDNVFLSTPNLRRNKVIFVISAGETSHLDGEILKKESLRAKCQGYALFVFSLGPIWDDKELEDLASHPLDHHLVQLGRIHKPDHSYGVKFVKSFINSIRRAINKYPPINLKIKCNRLNSIDPKQPPRPFRSFVPGPLKATLKEDVLQKAKFFQDKKYLSRVARSGRDDAIQNFMRSTSHTFKNGRMIESAPKQHD
+>DECOY_sp|A6NMZ7|CO6A6_HUMAN Collagen alpha-6(VI) chain OS=Homo sapiens OX=9606 GN=COL6A6 PE=1 SV=2
+DHQKPASEIMRGNKFTHSTSRMFNQIADDRGSRAVRSLYKKDQFFKAKQLVDEKLTAKLPGPVFSRFPRPPQKPDISNLRNCKIKLNIPPYKNIARRISNIFSKVFKVGYSHDPKHIRGLQVLHHDLPHSALDELEKDDWIPGLSFVFLAYGQCKARLSEKKLIEGDLHSTEGASIVFIVKNRRLNPTSLFVNDLTWQLAHGIFADGNLQKVSEHVHRKMLRKSRYTTLNFEARVPSKQTNPLFDPPAHSLLAVRDGTVSTEPEPTIEFHDLLAGLFARIDEFEASGMNRSADLLFAADMYSQVPPPRAQDCSADPKCVDYCFTCRQLRELAPIYDAGSPVVIVQFTGTDDIAFARRVSPVNSFTIVVPIIELAGFEMAATTISHADASQGSSFFTAIKRTHAGPLTRKFVNRSIFRMARGIERSASSREYPITEIERLLQSKKYADSFRVLHRAHSNYSLIAIHAGVPCSNERVKIDRVLFAMMEKMREFEQETVDRSHDLAFVLETPHVPCEPKGHRGPSRDRVYQILECTSFSALGKAGKVGKRGPPGPEGPSGMEGPLGASIMKGRAGKLGTEGPGGPDGIEGKPGSEGPFGEQGKAGKRGVSGYGPSGDNGQLGRPGPFGVAGKEGLDGPEGKNGQSGLRGQNGAEGGPGPPGQPGKSGVGGKEGKVGAEGRPGQPGKLGLSGELGDPGPIGATGQPGTHGRRGHAATKRRSGPTGPPGPWGRRGQRGREGKLGTPGEISSDVGPAGPDGRLGKAGRDGPTGRKGPSGQSGEDGKEGKRGPLGNDGQEGNLGDIGNEGVEGEQGNLGRNGKPGKTGYCGKTGQEGVPGPAGREGAIGEEGLYGESGKFGPPGRKGPPGPDGMTGDGGICKCFLCCCTREAVNVLQKSLRSGLERMGISLQTRYEFGKGFEIYPLDALDSSDAPGDLAVTILANLGEKRLEDSKQELKEVDDDLGDSFLLVVKGRAASKNQFTDWLSDLLNANLLSPGKVTIDKLSNLINESYIEFKPSYKEMANTVQFAVSVQTETGVECSVGNLSSIARLIDQLYTEMWPQGELLTQGKEQTSVDFGVVVDVFCNSEGATTCINRVIRKNVKKLEDFNHVTLKKEATGTIQILQQDDVDGIGVSYIDIGRHRLAEAAQAVEDQSQGDTLVLLVQPTGTNIRSGMDPRFYHEVERLAAGIHTNGFIQKINEIQFSIEKEGIFTGLPFEPHYTDSFQAAGIRVRNLSVDFDQVVSALFEKMKKFDNPQISTSGDMLFVLDVKDIECDVKSSNCVSATVDSFIGKLGGFTEVFFYKDSSGAMALLEVPNAGDIGVALVLIGKDRLAKATANLKDADHSEGDTIVILVQPVGKNLRSGRAETFMHDSFGLAEATYTSGGMAQDNQLVSIVELKTGFDDLYFLVEPDDAYKLAGFRVQNKGVDAKKVLGIMFDKMINYEDYDISGSSDIVFVVDLVEIRKCEERPSCIGFVLDDEIRQLIDFNEVYFVMEPRGSIEELQTVNSGFVGVSYIIVGEQRLVVAPEKVIDQAEGDTILILFKRINPRAGKTPSFYQSVFSLASGTLTTQGIHAMQDIANSIDSQSMFRNLQFEEKNIDSFQVVGIQVRDPGIQSKSVLNKMFTKMKSFNEPGISGSSDVLFMIDAKMEKCAEETCIEQVVQNRIDKLADFDHVYYVRKEEGAIERLQTQNAEKIGIAYVRIHEERLRNAPELISDKSMGNTLVVLHCPVKNGRQKKAKQLLSLTFNLAAGTNTNGGMQRINEIAKGLDQKNSYKNIEFELDWSDAYQVAGVRVKHPAINFMGVVESLFTKMEHFDTAQTSGSGDILLYIDAEETDVCGSKLTETRESFVSVTHTIQNRLKKLFTQNHAALDAFTKLKSVYQEAPHSAIKELQTDSAGEIGLTFITVGERRLNVAAKTVNDESDRHTVLVAIQPVGQNKRSGNRASFVEKRLKKIAAGTYAKGTRPSLNQIHQLVESKNIGMSLSNIVKTENSYAVLGVRMCNEKIDLASVSEELFGKLYDFNEESGNISMDLLFVVDAMSPGQCAEVFIDDVAGEKYKIVDKIIHTMNQSFMSLDRVTRLNFHFQSTAMAKLNEESAKQVGVSIIKVGDKRLAKSAEEVNDESESSALVVLIPPFQKKDRGNAPASFYTRHAEQLAKGIQLSGGIFGFNKRLHNLMPSRGKFTSLHFESHLKDSYQALAVRYKDAEIPLSSIMKTIFMKVFPFSKSGLRDSSDVLFVVDAYEPGSDQNVSIHSCIIVLFLILLMM
+>sp|Q14050|CO9A3_HUMAN Collagen alpha-3(IX) chain OS=Homo sapiens OX=9606 GN=COL9A3 PE=1 SV=2
+MAGPRACAPLLLLLLLGELLAAAGAQRVGLPGPPGPPGPPGKPGQDGIDGEAGPPGLPGPPGPKGAPGKPGKPGEAGLPGLPGVDGLTGRDGPPGPKGAPGERGSLGPPGPPGLGGKGLPGPPGEAGVSGPPGGIGLRGPPGPSGLPGLPGPPGPPGPPGHPGVLPEGATDLQCPSICPPGPPGPPGMPGFKGPTGYKGEQGEVGKDGEKGDPGPPGPAGLPGSVGLQGPRGLRGLPGPLGPPGDRGPIGFRGPPGIPGAPGKAGDRGERGPEGFRGPKGDLGRPGPKGTPGVAGPSGEPGMPGKDGQNGVPGLDGQKGEAGRNGAPGEKGPNGLPGLPGRAGSKGEKGERGRAGELGEAGPSGEPGVPGDAGMPGERGEAGHRGSAGALGPQGPPGAPGVRGFQGQKGSMGDPGLPGPQGLRGDVGDRGPGGAAGPKGDQGIAGSDGLPGDKGELGPSGLVGPKGESGSRGELGPKGTQGPNGTSGVQGVPGPPGPLGLQGVPGVPGITGKPGVPGKEASEQRIRELCGGMISEQIAQLAAHLRKPLAPGSIGRPGPAGPPGPPGPPGSIGHPGARGPPGYRGPTGELGDPGPRGNQGDRGDKGAAGAGLDGPEGDQGPQGPQGVPGTSKDGQDGAPGEPGPPGDPGLPGAIGAQGTPGICDTSACQGAVLGGVGEKSGSRSS
+>DECOY_sp|Q14050|CO9A3_HUMAN Collagen alpha-3(IX) chain OS=Homo sapiens OX=9606 GN=COL9A3 PE=1 SV=2
+SSRSGSKEGVGGLVAGQCASTDCIGPTGQAGIAGPLGPDGPPGPEGPAGDQGDKSTGPVGQPGQPGQDGEPGDLGAGAAGKDGRDGQNGRPGPDGLEGTPGRYGPPGRAGPHGISGPPGPPGPPGAPGPRGISGPALPKRLHAALQAIQESIMGGCLERIRQESAEKGPVGPKGTIGPVGPVGQLGLPGPPGPVGQVGSTGNPGQTGKPGLEGRSGSEGKPGVLGSPGLEGKDGPLGDSGAIGQDGKPGAAGGPGRDGVDGRLGQPGPLGPDGMSGKQGQFGRVGPAGPPGQPGLAGASGRHGAEGREGPMGADGPVGPEGSPGAEGLEGARGREGKEGKSGARGPLGPLGNPGKEGPAGNRGAEGKQGDLGPVGNQGDKGPMGPEGSPGAVGPTGKPGPRGLDGKPGRFGEPGREGRDGAKGPAGPIGPPGRFGIPGRDGPPGLPGPLGRLGRPGQLGVSGPLGAPGPPGPDGKEGDKGVEGQEGKYGTPGKFGPMGPPGPPGPPCISPCQLDTAGEPLVGPHGPPGPPGPPGPLGPLGSPGPPGRLGIGGPPGSVGAEGPPGPLGKGGLGPPGPPGLSGREGPAGKPGPPGDRGTLGDVGPLGPLGAEGPKGPKGPAGKPGPPGPLGPPGAEGDIGDQGPKGPPGPPGPPGPLGVRQAGAAALLEGLLLLLLLPACARPGAM
+>sp|P02748|CO9_HUMAN Complement component C9 OS=Homo sapiens OX=9606 GN=C9 PE=1 SV=2
+MSACRSFAVAICILEISILTAQYTTSYDPELTESSGSASHIDCRMSPWSEWSQCDPCLRQMFRSRSIEVFGQFNGKRCTDAVGDRRQCVPTEPCEDAEDDCGNDFQCSTGRCIKMRLRCNGDNDCGDFSDEDDCESEPRPPCRDRVVEESELARTAGYGINILGMDPLSTPFDNEFYNGLCNRDRDGNTLTYYRRPWNVASLIYETKGEKNFRTEHYEEQIEAFKSIIQEKTSNFNAAISLKFTPTETNKAEQCCEETASSISLHGKGSFRFSYSKNETYQLFLSYSSKKEKMFLHVKGEIHLGRFVMRNRDVVLTTTFVDDIKALPTTYEKGEYFAFLETYGTHYSSSGSLGGLYELIYVLDKASMKRKGVELKDIKRCLGYHLDVSLAFSEISVGAEFNKDDCVKRGEGRAVNITSENLIDDVVSLIRGGTRKYAFELKEKLLRGTVIDVTDFVNWASSINDAPVLISQKLSPIYNLVPVKMKNAHLKKQNLERAIEDYINEFSVRKCHTCQNGGTVILMDGKCLCACPFKFEGIACEISKQKISEGLPALEFPNEK
+>DECOY_sp|P02748|CO9_HUMAN Complement component C9 OS=Homo sapiens OX=9606 GN=C9 PE=1 SV=2
+KENPFELAPLGESIKQKSIECAIGEFKFPCACLCKGDMLIVTGGNQCTHCKRVSFENIYDEIARELNQKKLHANKMKVPVLNYIPSLKQSILVPADNISSAWNVFDTVDIVTGRLLKEKLEFAYKRTGGRILSVVDDILNESTINVARGEGRKVCDDKNFEAGVSIESFALSVDLHYGLCRKIDKLEVGKRKMSAKDLVYILEYLGGLSGSSSYHTGYTELFAFYEGKEYTTPLAKIDDVFTTTLVVDRNRMVFRGLHIEGKVHLFMKEKKSSYSLFLQYTENKSYSFRFSGKGHLSISSATEECCQEAKNTETPTFKLSIAANFNSTKEQIISKFAEIQEEYHETRFNKEGKTEYILSAVNWPRRYYTLTNGDRDRNCLGNYFENDFPTSLPDMGLINIGYGATRALESEEVVRDRCPPRPESECDDEDSFDGCDNDGNCRLRMKICRGTSCQFDNGCDDEADECPETPVCQRRDGVADTCRKGNFQGFVEISRSRFMQRLCPDCQSWESWPSMRCDIHSASGSSETLEPDYSTTYQATLISIELICIAVAFSRCASM
+>sp|Q9NYJ1|COA4_HUMAN Cytochrome c oxidase assembly factor 4 homolog, mitochondrial OS=Homo sapiens OX=9606 GN=COA4 PE=1 SV=2
+MSTSVPQGHTWTQRVKKDDEEEDPLDQLISRSGCAASHFAVQECMAQHQDWRQCQPQVQAFKDCMSEQQARRQEELQRRQEQAGAHH
+>DECOY_sp|Q9NYJ1|COA4_HUMAN Cytochrome c oxidase assembly factor 4 homolog, mitochondrial OS=Homo sapiens OX=9606 GN=COA4 PE=1 SV=2
+HHAGAQEQRRQLEEQRRAQQESMCDKFAQVQPQCQRWDQHQAMCEQVAFHSAACGSRSILQDLPDEEEDDKKVRQTWTHGQPVSTSM
+>sp|P15882|CHIN_HUMAN N-chimaerin OS=Homo sapiens OX=9606 GN=CHN1 PE=1 SV=3
+MALTLFDTDEYRPPVWKSYLYQLQQEAPHPRRITCTCEVENRPKYYGREFHGMISREAADQLLIVAEGSYLIRESQRQPGTYTLALRFGSQTRNFRLYYDGKHFVGEKRFESIHDLVTDGLITLYIETKAAEYIAKMTINPIYEHVGYTTLNREPAYKKHMPVLKETHDERDSTGQDGVSEKRLTSLVRRATLKENEQIPKYEKIHNFKVHTFRGPHWCEYCANFMWGLIAQGVKCADCGLNVHKQCSKMVPNDCKPDLKHVKKVYSCDLTTLVKAHTTKRPMVVDMCIREIESRGLNSEGLYRVSGFSDLIEDVKMAFDRDGEKADISVNMYEDINIITGALKLYFRDLPIPLITYDAYPKFIESAKIMDPDEQLETLHEALKLLPPAHCETLRYLMAHLKRVTLHEKENLMNAENLGIVFGPTLMRSPELDAMAALNDIRYQRLVVELLIKNEDILF
+>DECOY_sp|P15882|CHIN_HUMAN N-chimaerin OS=Homo sapiens OX=9606 GN=CHN1 PE=1 SV=3
+FLIDENKILLEVVLRQYRIDNLAAMADLEPSRMLTPGFVIGLNEANMLNEKEHLTVRKLHAMLYRLTECHAPPLLKLAEHLTELQEDPDMIKASEIFKPYADYTILPIPLDRFYLKLAGTIINIDEYMNVSIDAKEGDRDFAMKVDEILDSFGSVRYLGESNLGRSEIERICMDVVMPRKTTHAKVLTTLDCSYVKKVHKLDPKCDNPVMKSCQKHVNLGCDACKVGQAILGWMFNACYECWHPGRFTHVKFNHIKEYKPIQENEKLTARRVLSTLRKESVGDQGTSDREDHTEKLVPMHKKYAPERNLTTYGVHEYIPNITMKAIYEAAKTEIYLTILGDTVLDHISEFRKEGVFHKGDYYLRFNRTQSGFRLALTYTGPQRQSERILYSGEAVILLQDAAERSIMGHFERGYYKPRNEVECTCTIRRPHPAEQQLQYLYSKWVPPRYEDTDFLTLAM
+>sp|O96017|CHK2_HUMAN Serine/threonine-protein kinase Chk2 OS=Homo sapiens OX=9606 GN=CHEK2 PE=1 SV=1
+MSRESDVEAQQSHGSSACSQPHGSVTQSQGSSSQSQGISSSSTSTMPNSSQSSHSSSGTLSSLETVSTQELYSIPEDQEPEDQEPEEPTPAPWARLWALQDGFANLECVNDNYWFGRDKSCEYCFDEPLLKRTDKYRTYSKKHFRIFREVGPKNSYIAYIEDHSGNGTFVNTELVGKGKRRPLNNNSEIALSLSRNKVFVFFDLTVDDQSVYPKALRDEYIMSKTLGSGACGEVKLAFERKTCKKVAIKIISKRKFAIGSAREADPALNVETEIEILKKLNHPCIIKIKNFFDAEDYYIVLELMEGGELFDKVVGNKRLKEATCKLYFYQMLLAVQYLHENGIIHRDLKPENVLLSSQEEDCLIKITDFGHSKILGETSLMRTLCGTPTYLAPEVLVSVGTAGYNRAVDCWSLGVILFICLSGYPPFSEHRTQVSLKDQITSGKYNFIPEVWAEVSEKALDLVKKLLVVDPKARFTTEEALRHPWLQDEDMKRKFQDLLSEENESTALPQVLAQPSTSRKRPREGEAEGAETTKRPAVCAAVL
+>DECOY_sp|O96017|CHK2_HUMAN Serine/threonine-protein kinase Chk2 OS=Homo sapiens OX=9606 GN=CHEK2 PE=1 SV=1
+LVAACVAPRKTTEAGEAEGERPRKRSTSPQALVQPLATSENEESLLDQFKRKMDEDQLWPHRLAEETTFRAKPDVVLLKKVLDLAKESVEAWVEPIFNYKGSTIQDKLSVQTRHESFPPYGSLCIFLIVGLSWCDVARNYGATGVSVLVEPALYTPTGCLTRMLSTEGLIKSHGFDTIKILCDEEQSSLLVNEPKLDRHIIGNEHLYQVALLMQYFYLKCTAEKLRKNGVVKDFLEGGEMLELVIYYDEADFFNKIKIICPHNLKKLIEIETEVNLAPDAERASGIAFKRKSIIKIAVKKCTKREFALKVEGCAGSGLTKSMIYEDRLAKPYVSQDDVTLDFFVFVKNRSLSLAIESNNNLPRRKGKGVLETNVFTGNGSHDEIYAIYSNKPGVERFIRFHKKSYTRYKDTRKLLPEDFCYECSKDRGFWYNDNVCELNAFGDQLAWLRAWPAPTPEEPEQDEPEQDEPISYLEQTSVTELSSLTGSSSHSSQSSNPMTSTSSSSIGQSQSSSGQSQTVSGHPQSCASSGHSQQAEVDSERSM
+>sp|Q7LBR1|CHM1B_HUMAN Charged multivesicular body protein 1b OS=Homo sapiens OX=9606 GN=CHMP1B PE=1 SV=1
+MSNMEKHLFNLKFAAKELSRSAKKCDKEEKAEKAKIKKAIQKGNMEVARIHAENAIRQKNQAVNFLRMSARVDAVAARVQTAVTMGKVTKSMAGVVKSMDATLKTMNLEKISALMDKFEHQFETLDVQTQQMEDTMSSTTTLTTPQNQVDMLLQEMADEAGLDLNMELPQGQTGSVGTSVASAEQDELSQRLARLRDQV
+>DECOY_sp|Q7LBR1|CHM1B_HUMAN Charged multivesicular body protein 1b OS=Homo sapiens OX=9606 GN=CHMP1B PE=1 SV=1
+VQDRLRALRQSLEDQEASAVSTGVSGTQGQPLEMNLDLGAEDAMEQLLMDVQNQPTTLTTTSSMTDEMQQTQVDLTEFQHEFKDMLASIKELNMTKLTADMSKVVGAMSKTVKGMTVATQVRAAVADVRASMRLFNVAQNKQRIANEAHIRAVEMNGKQIAKKIKAKEAKEEKDCKKASRSLEKAAFKLNFLHKEMNSM
+>sp|O43633|CHM2A_HUMAN Charged multivesicular body protein 2a OS=Homo sapiens OX=9606 GN=CHMP2A PE=1 SV=1
+MDLLFGRRKTPEELLRQNQRALNRAMRELDRERQKLETQEKKIIADIKKMAKQGQMDAVRIMAKDLVRTRRYVRKFVLMRANIQAVSLKIQTLKSNNSMAQAMKGVTKAMGTMNRQLKLPQIQKIMMEFERQAEIMDMKEEMMNDAIDDAMGDEEDEEESDAVVSQVLDELGLSLTDELSNLPSTGGSLSVAAGGKKAEAAASALADADADLEERLKNLRRD
+>DECOY_sp|O43633|CHM2A_HUMAN Charged multivesicular body protein 2a OS=Homo sapiens OX=9606 GN=CHMP2A PE=1 SV=1
+DRRLNKLREELDADADALASAAAEAKKGGAAVSLSGGTSPLNSLEDTLSLGLEDLVQSVVADSEEEDEEDGMADDIADNMMEEKMDMIEAQREFEMMIKQIQPLKLQRNMTGMAKTVGKMAQAMSNNSKLTQIKLSVAQINARMLVFKRVYRRTRVLDKAMIRVADMQGQKAMKKIDAIIKKEQTELKQRERDLERMARNLARQNQRLLEEPTKRRGFLLDM
+>sp|Q9H444|CHM4B_HUMAN Charged multivesicular body protein 4b OS=Homo sapiens OX=9606 GN=CHMP4B PE=1 SV=1
+MSVFGKLFGAGGGKAGKGGPTPQEAIQRLRDTEEMLSKKQEFLEKKIEQELTAAKKHGTKNKRAALQALKRKKRYEKQLAQIDGTLSTIEFQREALENANTNTEVLKNMGYAAKAMKAAHDNMDIDKVDELMQDIADQQELAEEISTAISKPVGFGEEFDEDELMAELEELEQEELDKNLLEISGPETVPLPNVPSIALPSKPAKKKEEEDDDMKELENWAGSM
+>DECOY_sp|Q9H444|CHM4B_HUMAN Charged multivesicular body protein 4b OS=Homo sapiens OX=9606 GN=CHMP4B PE=1 SV=1
+MSGAWNELEKMDDDEEEKKKAPKSPLAISPVNPLPVTEPGSIELLNKDLEEQELEELEAMLEDEDFEEGFGVPKSIATSIEEALEQQDAIDQMLEDVKDIDMNDHAAKMAKAAYGMNKLVETNTNANELAERQFEITSLTGDIQALQKEYRKKRKLAQLAARKNKTGHKKAATLEQEIKKELFEQKKSLMEETDRLRQIAEQPTPGGKGAKGGGAGFLKGFVSM
+>sp|Q8N4C0|CI062_HUMAN Putative uncharacterized protein C9orf62 OS=Homo sapiens OX=9606 GN=C9orf62 PE=2 SV=1
+MGLSPGQTSVSFLWPLLEVRDHNTGRGLVPATVLTPGSPETLLELRQAFLGSRQARHGHDAAPSSGQQGCSVDRTAGRPVLGWRLRNSLTGQEGRQHLHLSGIRTSRKAKEYKPVFFGATEISVLMAVAESLREPPPPQWGWFLSSLFLKIF
+>DECOY_sp|Q8N4C0|CI062_HUMAN Putative uncharacterized protein C9orf62 OS=Homo sapiens OX=9606 GN=C9orf62 PE=2 SV=1
+FIKLFLSSLFWGWQPPPPERLSEAVAMLVSIETAGFFVPKYEKAKRSTRIGSLHLHQRGEQGTLSNRLRWGLVPRGATRDVSCGQQGSSPAADHGHRAQRSGLFAQRLELLTEPSGPTLVTAPVLGRGTNHDRVELLPWLFSVSTQGPSLGM
+>sp|Q5VXU9|CI084_HUMAN Uncharacterized protein C9orf84 OS=Homo sapiens OX=9606 GN=C9orf84 PE=2 SV=1
+MTDTSVLDQWKASFFVEDFLEKKTITRMVTQINCEFEEVVPSSNPDSQIEVEEVSLYTHMDYNEVFTPVSCLEKCSALQNQNQDLFIDDKGILFVSSRKHLPTLPTLLSRLKLFLVKDPLLDFKGQIFTEANFSRECFSLQETLEAFVKEDFCMDKVNFCQEKLEDTICLNEPSSFLIEYEFLIPPSLKPEIDIPSLSELKELLNPVPEIINYVDEKEKLFERDLTNKHGIEDIGDIKFSSTEILTIQSQSEPEECSKPGELEMPLTPLFLTCQHSSVNSLRTELQTFPLSPVCKINLLTAEESANEYYMMWQLERCRSPLNPFLLTVPRIQEPHSQYSVTDLKKIFSVKEESLVINLEKAEWWKQAGLNLKMMETLEHLNTYLCHDNLSSNDTKIEIFLPTKVLQLESCLEHKSHSSPIALIDEKSTNAHLSLPQKSPSLAKEVPDLCFSDDYFSDKGAAKEEKPKNDQEPVNRIIQKKENNDHFELDCTGPSIKSPSSSIIKKASFEHGKKQENDLDLLSDFIMLRNKYKTCTSKTEVTNSDEKHDKEACSLTLQEESPIVHINKTLEEINQERGTDSVIEIQASDSQCQAFCLLEAAASPILKNLVSLCTLPTANWKFATVIFDQTRFLLKEQEKVVSDAVRQGTIDEREMTFKHAALLHLLVTIRDVLLTCSLDTALGYLSKAKDIYNSILGPYLGDIWRQLEIVQFIRGKKPETNYKIQELQCQILSWMQSQQQIKVLIIIRMDSDGEKHFLIKILNKIEGLTLTVLHSNERKDFLESEGVLRGTSSCVVVHNQYIGADFPWSNFSFVVEYNYVEDSCWTKHCKELNIPYMAFKVILPDTVLERSTLLDRFGGFLLEIQIPYVFFASEGLLNTPDILQLLESNYNISLVERGCSESLKLFGSSECYVVVTIDEHTAIILQDLEELNYEKASDNIIMRLMALSLQYRYCWIILYTKETLNSEYLLTEKTLHHLALIYAALVSFGLNSEELDVKLIIAPGVEATALIIRQIADHSLMTSKRDPHEWLDKSWLKVSPSEEEMYLLDFPCINPLVAQLMLNKGPSLHWILLATLCQLQELLPEVPEKVLKHFCSITSLFKIGSSSITKSPQISSPQENRNQISTLSSQSSASDLDSVIQEHNEYYQYLGLGETVQEDKTTILNDNSSIMELKEISSFLPPVTSYNQTSYWKDSSCKSNIGQNTPFLINIESRRPAYNSFLNHSDSESDVFSLGLTQMNCETIKSPTDTQKRVSVVPRFINSQKRRTHEAKGFINKDVSDPIFSLEGTQSPLHWNFKKNIWEQENHPFNLQYGAQQTACNKLYSQKGNLFTDQQKCLSDESEGLTCESSKDETFWRELPSVPSLDLFRASDSNANQKEFNSLYFYQRAGKSLGQKRHHESSFNSGDKESLTGFMCSQLPQFKKRRLAYEKVPGRVDGQTRLRFF
+>DECOY_sp|Q5VXU9|CI084_HUMAN Uncharacterized protein C9orf84 OS=Homo sapiens OX=9606 GN=C9orf84 PE=2 SV=1
+FFRLRTQGDVRGPVKEYALRRKKFQPLQSCMFGTLSEKDGSNFSSEHHRKQGLSKGARQYFYLSNFEKQNANSDSARFLDLSPVSPLERWFTEDKSSECTLGESEDSLCKQQDTFLNGKQSYLKNCATQQAGYQLNFPHNEQEWINKKFNWHLPSQTGELSFIPDSVDKNIFGKAEHTRRKQSNIFRPVVSVRKQTDTPSKITECNMQTLGLSFVDSESDSHNLFSNYAPRRSEINILFPTNQGINSKCSSDKWYSTQNYSTVPPLFSSIEKLEMISSNDNLITTKDEQVTEGLGLYQYYENHEQIVSDLDSASSQSSLTSIQNRNEQPSSIQPSKTISSSGIKFLSTISCFHKLVKEPVEPLLEQLQCLTALLIWHLSPGKNLMLQAVLPNICPFDLLYMEEESPSVKLWSKDLWEHPDRKSTMLSHDAIQRIILATAEVGPAIILKVDLEESNLGFSVLAAYILALHHLTKETLLYESNLTEKTYLIIWCYRYQLSLAMLRMIINDSAKEYNLEELDQLIIATHEDITVVVYCESSGFLKLSESCGREVLSINYNSELLQLIDPTNLLGESAFFVYPIQIELLFGGFRDLLTSRELVTDPLIVKFAMYPINLEKCHKTWCSDEVYNYEVVFSFNSWPFDAGIYQNHVVVCSSTGRLVGESELFDKRENSHLVTLTLGEIKNLIKILFHKEGDSDMRIIILVKIQQQSQMWSLIQCQLEQIKYNTEPKKGRIFQVIELQRWIDGLYPGLISNYIDKAKSLYGLATDLSCTLLVDRITVLLHLLAAHKFTMEREDITGQRVADSVVKEQEKLLFRTQDFIVTAFKWNATPLTCLSVLNKLIPSAAAELLCFAQCQSDSAQIEIVSDTGREQNIEELTKNIHVIPSEEQLTLSCAEKDHKEDSNTVETKSTCTKYKNRLMIFDSLLDLDNEQKKGHEFSAKKIISSSPSKISPGTCDLEFHDNNEKKQIIRNVPEQDNKPKEEKAAGKDSFYDDSFCLDPVEKALSPSKQPLSLHANTSKEDILAIPSSHSKHELCSELQLVKTPLFIEIKTDNSSLNDHCLYTNLHELTEMMKLNLGAQKWWEAKELNIVLSEEKVSFIKKLDTVSYQSHPEQIRPVTLLFPNLPSRCRELQWMMYYENASEEATLLNIKCVPSLPFTQLETRLSNVSSHQCTLFLPTLPMELEGPKSCEEPESQSQITLIETSSFKIDGIDEIGHKNTLDREFLKEKEDVYNIIEPVPNLLEKLESLSPIDIEPKLSPPILFEYEILFSSPENLCITDELKEQCFNVKDMCFDEKVFAELTEQLSFCERSFNAETFIQGKFDLLPDKVLFLKLRSLLTPLTPLHKRSSVFLIGKDDIFLDQNQNQLASCKELCSVPTFVENYDMHTYLSVEEVEIQSDPNSSPVVEEFECNIQTVMRTITKKELFDEVFFSAKWQDLVSTDTM
+>sp|Q5T035|CI129_HUMAN Putative uncharacterized protein C9orf129 OS=Homo sapiens OX=9606 GN=C9orf129 PE=4 SV=1
+MPGMVPPHVPPQMLNIPQTSLQAKPVAPQVPSPGGAPGQGPYPYSLSEPAPLTLDTSGKNLTEQNSYSNIPHEGKHTPLYERSLPINPAQSGSPNHVDSAYFPGSSTSSSSDNDEGSGGATKYTIYWGFRATDHHVQGRDSQARGTAAHWHGGHVCSPNVFWRISHGPAQQLTFPTEQAAPPVCPAPASRRLSAPG
+>DECOY_sp|Q5T035|CI129_HUMAN Putative uncharacterized protein C9orf129 OS=Homo sapiens OX=9606 GN=C9orf129 PE=4 SV=1
+GPASLRRSAPAPCVPPAAQETPFTLQQAPGHSIRWFVNPSCVHGGHWHAATGRAQSDRGQVHHDTARFGWYITYKTAGGSGEDNDSSSSTSSGPFYASDVHNPSGSQAPNIPLSREYLPTHKGEHPINSYSNQETLNKGSTDLTLPAPESLSYPYPGQGPAGGPSPVQPAVPKAQLSTQPINLMQPPVHPPVMGPM
+>sp|Q5VTT2|CI135_HUMAN Protein C9orf135 OS=Homo sapiens OX=9606 GN=C9orf135 PE=1 SV=1
+MDSLDRSCQDWCDRKQHWLEIGPPDLVERKGSLTLRSHHKKYSKPVLVYSWHRDREAFPKGYDIEGPEKVKKLCNSTYRRLGTDESPIWTSETHEKLSQMCLNTEWVEMKSKALLNEETVSSGIIERVTGLPATGFGAVFPRHPPDWSKMCALTTYSEDYVPPYDYQPHAYPCQDDYSIVHRKCRSQFTDLNGSKRFGINTWHDESGIYANSDVKQKLYPLTSGPIVPI
+>DECOY_sp|Q5VTT2|CI135_HUMAN Protein C9orf135 OS=Homo sapiens OX=9606 GN=C9orf135 PE=1 SV=1
+IPVIPGSTLPYLKQKVDSNAYIGSEDHWTNIGFRKSGNLDTFQSRCKRHVISYDDQCPYAHPQYDYPPVYDESYTTLACMKSWDPPHRPFVAGFGTAPLGTVREIIGSSVTEENLLAKSKMEVWETNLCMQSLKEHTESTWIPSEDTGLRRYTSNCLKKVKEPGEIDYGKPFAERDRHWSYVLVPKSYKKHHSRLTLSGKREVLDPPGIELWHQKRDCWDQCSRDLSDM
+>sp|A2RU37|CI170_HUMAN Uncharacterized protein C9orf170 OS=Homo sapiens OX=9606 GN=C9orf170 PE=2 SV=1
+MWSRRGLGVSRAPLHLLLGVWGPSGRTGGQRKGASLARPGRGGLASCSVGANGKRDVLFLRKTLTNTVEDIQIDNFRRKSDLGVGSPDWKNLLIDVTREDHENSQNNSKRRCKVNCETDQR
+>DECOY_sp|A2RU37|CI170_HUMAN Uncharacterized protein C9orf170 OS=Homo sapiens OX=9606 GN=C9orf170 PE=2 SV=1
+RQDTECNVKCRRKSNNQSNEHDERTVDILLNKWDPSGVGLDSKRRFNDIQIDEVTNTLTKRLFLVDRKGNAGVSCSALGGRGPRALSAGKRQGGTRGSPGWVGLLLHLPARSVGLGRRSWM
+>sp|O76071|CIAO1_HUMAN Probable cytosolic iron-sulfur protein assembly protein CIAO1 OS=Homo sapiens OX=9606 GN=CIAO1 PE=1 SV=1
+MKDSLVLLGRVPAHPDSRCWFLAWNPAGTLLASCGGDRRIRIWGTEGDSWICKSVLSEGHQRTVRKVAWSPCGNYLASASFDATTCIWKKNQDDFECVTTLEGHENEVKSVAWAPSGNLLATCSRDKSVWVWEVDEEDEYECVSVLNSHTQDVKHVVWHPSQELLASASYDDTVKLYREEEDDWVCCATLEGHESTVWSLAFDPSGQRLASCSDDRTVRIWRQYLPGNEQGVACSGSDPSWKCICTLSGFHSRTIYDIAWCQLTGALATACGDDAIRVFQEDPNSDPQQPTFSLTAHLHQAHSQDVNCVAWNPKEPGLLASCSDDGEVAFWKYQRPEGL
+>DECOY_sp|O76071|CIAO1_HUMAN Probable cytosolic iron-sulfur protein assembly protein CIAO1 OS=Homo sapiens OX=9606 GN=CIAO1 PE=1 SV=1
+LGEPRQYKWFAVEGDDSCSALLGPEKPNWAVCNVDQSHAQHLHATLSFTPQQPDSNPDEQFVRIADDGCATALAGTLQCWAIDYITRSHFGSLTCICKWSPDSGSCAVGQENGPLYQRWIRVTRDDSCSALRQGSPDFALSWVTSEHGELTACCVWDDEEERYLKVTDDYSASALLEQSPHWVVHKVDQTHSNLVSVCEYEDEEDVEWVWVSKDRSCTALLNGSPAWAVSKVENEHGELTTVCEFDDQNKKWICTTADFSASALYNGCPSWAVKRVTRQHGESLVSKCIWSDGETGWIRIRRDGGCSALLTGAPNWALFWCRSDPHAPVRGLLVLSDKM
+>sp|Q99828|CIB1_HUMAN Calcium and integrin-binding protein 1 OS=Homo sapiens OX=9606 GN=CIB1 PE=1 SV=4
+MGGSGSRLSKELLAEYQDLTFLTKQEILLAHRRFCELLPQEQRSVESSLRAQVPFEQILSLPELKANPFKERICRVFSTSPAKDSLSFEDFLDLLSVFSDTATPDIKSHYAFRIFDFDDDGTLNREDLSRLVNCLTGEGEDTRLSASEMKQLIDNILEESDIDRDGTINLSEFQHVISRSPDFASSFKIVL
+>DECOY_sp|Q99828|CIB1_HUMAN Calcium and integrin-binding protein 1 OS=Homo sapiens OX=9606 GN=CIB1 PE=1 SV=4
+LVIKFSSAFDPSRSIVHQFESLNITGDRDIDSEELINDILQKMESASLRTDEGEGTLCNVLRSLDERNLTGDDDFDFIRFAYHSKIDPTATDSFVSLLDLFDEFSLSDKAPSTSFVRCIREKFPNAKLEPLSLIQEFPVQARLSSEVSRQEQPLLECFRRHALLIEQKTLFTLDQYEALLEKSLRSGSGGM
+>sp|Q96RK0|CIC_HUMAN Protein capicua homolog OS=Homo sapiens OX=9606 GN=CIC PE=1 SV=2
+MYSAHRPLMPASSAASRGLGMFVWTNVEPRSVAVFPWHSLVPFLAPSQPDPSVQPSEAQQPASHPVASNQSKEPAESAAVAHERPPGGTGSADPERPPGATCPESPGPGPPHPLGVVESGKGPPPTTEEEASGPPGEPRLDSETESDHDDAFLSIMSPEIQLPLPPGKRRTQSLSALPKERDSSSEKDGRSPNKREKDHIRRPMNAFMIFSKRHRALVHQRHPNQDNRTVSKILGEWWYALGPKEKQKYHDLAFQVKEAHFKAHPDWKWCNKDRKKSSSEAKPTSLGLAGGHKETRERSMSETGTAAAPGVSSELLSVAAQTLLSSDTKAPGSSSCGAERLHTVGGPGSARPRAFSHSGVHSLDGGEVDSQALQELTQMVSGPASYSGPKPSTQYGAPGPFAAPGEGGALAATGRPPLLPTRASRSQRAASEDMTSDEERMVICEEEGDDDVIADDGFGTTDIDLKCKERVTDSESGDSSGEDPEGNKGFGRKVFSPVIRSSFTHCRPPLDPEPPGPPDPPVAFGKGYGSAPSSSASSPASSSASAATSFSLGSGTFKAQESGQGSTAGPLRPPPPGAGGPATPSKATRFLPMDPATFRRKRPESVGGLEPPGPSVIAAPPSGGGNILQTLVLPPNKEEQEGGGARVPSAPAPSLAYGAPAAPLSRPAATMVTNVVRPVSSTPVPIASKPFPTSGRAEASPNDTAGARTEMGTGSRVPGGSPLGVSLVYSDKKSAAATSPAPHLVAGPLLGTVGKAPATVTNLLVGTPGYGAPAPPAVQFIAQGAPGGGTTAGSGAGAGSGPNGPVPLGILQPGALGKAGGITQVQYILPTLPQQLQVAPAPAPAPGTKAAAPSGPAPTTSIRFTLPPGTSTNGKVLAATAPTPGIPILQSVPSAPPPKAQSVSPVQAPPPGGSAQLLPGKVLVPLAAPSMSVRGGGAGQPLPLVSPPFSVPVQNGAQPPSKIIQLTPVPVSTPSGLVPPLSPATLPGPTSQPQKVLLPSSTRITYVQSAGGHALPLGTSPASSQAGTVTSYGPTSSVALGFTSLGPSGPAFVQPLLSAGQAPLLAPGQVGVSPVPSPQLPPACAAPGGPVITAFYSGSPAPTSSAPLAQPSQAPPSLVYTVATSTTPPAATILPKGPPAPATATPAPTSPFPSATAGSMTYSLVAPKAQRPSPKAPQKVKAAIASIPVGSFEAGASGRPGPAPRQPLEPGPVREPTAPESELEGQPTPPAPPPLPETWTPTARSSPPLPPPAEERTSAKGPETMASKFPSSSSDWRVPGQGLENRGEPPTPPSPAPAPAVAPGGSSESSSGRAAGDTPERKEAAGTGKKVKVRPPPLKKTFDSVDNRVLSEVDFEERFAELPEFRPEEVLPSPTLQSLATSPRAILGSYRKKRKNSTDLDSAPEDPTSPKRKMRRRSSCSSEPNTPKSAKCEGDIFTFDRTGTEAEDVLGELEYDKVPYSSLRRTLDQRRALVMQLFQDHGFFPSAQATAAFQARYADIFPSKVCLQLKIREVRQKIMQAATPTEQPPGAEAPLPVPPPTGTAAAPAPTPSPAGGPDPTSPSSDSGTAQAAPPLPPPPESGPGQPGWEGAPQPSPPPPGPSTAATGR
+>DECOY_sp|Q96RK0|CIC_HUMAN Protein capicua homolog OS=Homo sapiens OX=9606 GN=CIC PE=1 SV=2
+RGTAATSPGPPPPSPQPAGEWGPQGPGSEPPPPLPPAAQATGSDSSPSTPDPGGAPSPTPAPAAATGTPPPVPLPAEAGPPQETPTAAQMIKQRVERIKLQLCVKSPFIDAYRAQFAATAQASPFFGHDQFLQMVLARRQDLTRRLSSYPVKDYELEGLVDEAETGTRDFTFIDGECKASKPTNPESSCSSRRRMKRKPSTPDEPASDLDTSNKRKKRYSGLIARPSTALSQLTPSPLVEEPRFEPLEAFREEFDVESLVRNDVSDFTKKLPPPRVKVKKGTGAAEKREPTDGAARGSSSESSGGPAVAPAPAPSPPTPPEGRNELGQGPVRWDSSSSPFKSAMTEPGKASTREEAPPPLPPSSRATPTWTEPLPPPAPPTPQGELESEPATPERVPGPELPQRPAPGPRGSAGAEFSGVPISAIAAKVKQPAKPSPRQAKPAVLSYTMSGATASPFPSTPAPTATAPAPPGKPLITAAPPTTSTAVTYVLSPPAQSPQALPASSTPAPSGSYFATIVPGGPAACAPPLQPSPVPSVGVQGPALLPAQGASLLPQVFAPGSPGLSTFGLAVSSTPGYSTVTGAQSSAPSTGLPLAHGGASQVYTIRTSSPLLVKQPQSTPGPLTAPSLPPVLGSPTSVPVPTLQIIKSPPQAGNQVPVSFPPSVLPLPQGAGGGRVSMSPAALPVLVKGPLLQASGGPPPAQVPSVSQAKPPPASPVSQLIPIGPTPATAALVKGNTSTGPPLTFRISTTPAPGSPAAAKTGPAPAPAPAVQLQQPLTPLIYQVQTIGGAKGLAGPQLIGLPVPGNPGSGAGAGSGATTGGGPAGQAIFQVAPPAPAGYGPTGVLLNTVTAPAKGVTGLLPGAVLHPAPSTAAASKKDSYVLSVGLPSGGPVRSGTGMETRAGATDNPSAEARGSTPFPKSAIPVPTSSVPRVVNTVMTAAPRSLPAAPAGYALSPAPASPVRAGGGEQEEKNPPLVLTQLINGGGSPPAAIVSPGPPELGGVSEPRKRRFTAPDMPLFRTAKSPTAPGGAGPPPPRLPGATSGQGSEQAKFTGSGLSFSTAASASSSAPSSASSSPASGYGKGFAVPPDPPGPPEPDLPPRCHTFSSRIVPSFVKRGFGKNGEPDEGSSDGSESDTVREKCKLDIDTTGFGDDAIVDDDGEEECIVMREEDSTMDESAARQSRSARTPLLPPRGTAALAGGEGPAAFPGPAGYQTSPKPGSYSAPGSVMQTLEQLAQSDVEGGDLSHVGSHSFARPRASGPGGVTHLREAGCSSSGPAKTDSSLLTQAAVSLLESSVGPAAATGTESMSRERTEKHGGALGLSTPKAESSSKKRDKNCWKWDPHAKFHAEKVQFALDHYKQKEKPGLAYWWEGLIKSVTRNDQNPHRQHVLARHRKSFIMFANMPRRIHDKERKNPSRGDKESSSDREKPLASLSQTRRKGPPLPLQIEPSMISLFADDHDSETESDLRPEGPPGSAEEETTPPPGKGSEVVGLPHPPGPGPSEPCTAGPPREPDASGTGGPPREHAVAASEAPEKSQNSAVPHSAPQQAESPQVSPDPQSPALFPVLSHWPFVAVSRPEVNTWVFMGLGRSAASSAPMLPRHASYM
+>sp|O60543|CIDEA_HUMAN Cell death activator CIDE-A OS=Homo sapiens OX=9606 GN=CIDEA PE=1 SV=1
+MEAARDYAGALIRPLTFMGSQTKRVLFTPLMHPARPFRVSNHDRSSRRGVMASSLQELISKTLDALVIATGLVTLVLEEDGTVVDTEEFFQTLGDNTHFMILEKGQKWMPGSQHVPTCSPPKRSGIARVTFDLYRLNPKDFIGCLNVKATMYEMYSVSYDIRCTGLKGLLRSLLRFLSYSAQVTGQFLIYLGTYMLRVLDDKEERPSLRSQAKGRFTCG
+>DECOY_sp|O60543|CIDEA_HUMAN Cell death activator CIDE-A OS=Homo sapiens OX=9606 GN=CIDEA PE=1 SV=1
+GCTFRGKAQSRLSPREEKDDLVRLMYTGLYILFQGTVQASYSLFRLLSRLLGKLGTCRIDYSVSYMEYMTAKVNLCGIFDKPNLRYLDFTVRAIGSRKPPSCTPVHQSGPMWKQGKELIMFHTNDGLTQFFEETDVVTGDEELVLTVLGTAIVLADLTKSILEQLSSAMVGRRSSRDHNSVRFPRAPHMLPTFLVRKTQSGMFTLPRILAGAYDRAAEM
+>sp|Q9NPC3|CIP1_HUMAN E3 ubiquitin-protein ligase CCNB1IP1 OS=Homo sapiens OX=9606 GN=CCNB1IP1 PE=1 SV=1
+MSLCEDMLLCNYRKCRIKLSGYAWVTACSHIFCDQHGSGEFSRSPAICPACNSTLSGKLDIVRTELSPSEEYKAMVLAGLRPEIVLDISSRALAFWTYQVHQERLYQEYNFSKAEGHLKQMEKIYTQQIQSKDVELTSMKGEVTSMKKVLEEYKKKFSDISEKLMERNRQYQKLQGLYDSLRLRNITIANHEGTLEPSMIAQSGVLGFPLGNNSKFPLDNTPVRNRGDGDGDFQFRPFFAGSPTAPEPSNSFFSFVSPSRELEQQQVSSRAFKVKRI
+>DECOY_sp|Q9NPC3|CIP1_HUMAN E3 ubiquitin-protein ligase CCNB1IP1 OS=Homo sapiens OX=9606 GN=CCNB1IP1 PE=1 SV=1
+IRKVKFARSSVQQQELERSPSVFSFFSNSPEPATPSGAFFPRFQFDGDGDGRNRVPTNDLPFKSNNGLPFGLVGSQAIMSPELTGEHNAITINRLRLSDYLGQLKQYQRNREMLKESIDSFKKKYEELVKKMSTVEGKMSTLEVDKSQIQQTYIKEMQKLHGEAKSFNYEQYLREQHVQYTWFALARSSIDLVIEPRLGALVMAKYEESPSLETRVIDLKGSLTSNCAPCIAPSRSFEGSGHQDCFIHSCATVWAYGSLKIRCKRYNCLLMDECLSM
+>sp|Q9NZ45|CISD1_HUMAN CDGSH iron-sulfur domain-containing protein 1 OS=Homo sapiens OX=9606 GN=CISD1 PE=1 SV=1
+MSLTSSSSVRVEWIAAVTIAAGTAAIGYLAYKRFYVKDHRNKAMINLHIQKDNPKIVHAFDMEDLGDKAVYCRCWRSKKFPFCDGAHTKHNEETGDNVGPLIIKKKET
+>DECOY_sp|Q9NZ45|CISD1_HUMAN CDGSH iron-sulfur domain-containing protein 1 OS=Homo sapiens OX=9606 GN=CISD1 PE=1 SV=1
+TEKKKIILPGVNDGTEENHKTHAGDCFPFKKSRWCRCYVAKDGLDEMDFAHVIKPNDKQIHLNIMAKNRHDKVYFRKYALYGIAATGAAITVAAIWEVRVSSSSTLSM
+>sp|O75390|CISY_HUMAN Citrate synthase, mitochondrial OS=Homo sapiens OX=9606 GN=CS PE=1 SV=2
+MALLTAAARLLGTKNASCLVLAARHASASSTNLKDILADLIPKEQARIKTFRQQHGKTVVGQITVDMMYGGMRGMKGLVYETSVLDPDEGIRFRGFSIPECQKLLPKAKGGEEPLPEGLFWLLVTGHIPTEEQVSWLSKEWAKRAALPSHVVTMLDNFPTNLHPMSQLSAAVTALNSESNFARAYAQGISRTKYWELIYEDSMDLIAKLPCVAAKIYRNLYREGSGIGAIDSNLDWSHNFTNMLGYTDHQFTELTRLYLTIHSDHEGGNVSAHTSHLVGSALSDPYLSFAAAMNGLAGPLHGLANQEVLVWLTQLQKEVGKDVSDEKLRDYIWNTLNSGRVVPGYGHAVLRKTDPRYTCQREFALKHLPNDPMFKLVAQLYKIVPNVLLEQGKAKNPWPNVDAHSGVLLQYYGMTEMNYYTVLFGVSRALGVLAQLIWSRALGFPLERPKSMSTEGLMKFVDSKSG
+>DECOY_sp|O75390|CISY_HUMAN Citrate synthase, mitochondrial OS=Homo sapiens OX=9606 GN=CS PE=1 SV=2
+GSKSDVFKMLGETSMSKPRELPFGLARSWILQALVGLARSVGFLVTYYNMETMGYYQLLVGSHADVNPWPNKAKGQELLVNPVIKYLQAVLKFMPDNPLHKLAFERQCTYRPDTKRLVAHGYGPVVRGSNLTNWIYDRLKEDSVDKGVEKQLQTLWVLVEQNALGHLPGALGNMAAAFSLYPDSLASGVLHSTHASVNGGEHDSHITLYLRTLETFQHDTYGLMNTFNHSWDLNSDIAGIGSGERYLNRYIKAAVCPLKAILDMSDEYILEWYKTRSIGQAYARAFNSESNLATVAASLQSMPHLNTPFNDLMTVVHSPLAARKAWEKSLWSVQEETPIHGTVLLWFLGEPLPEEGGKAKPLLKQCEPISFGRFRIGEDPDLVSTEYVLGKMGRMGGYMMDVTIQGVVTKGHQQRFTKIRAQEKPILDALIDKLNTSSASAHRAALVLCSANKTGLLRAAATLLAM
+>sp|Q5T742|CJ025_HUMAN Uncharacterized protein C10orf25 OS=Homo sapiens OX=9606 GN=C10orf25 PE=2 SV=3
+MVPGPPESVVRFFLWFCFLLPPTRKASCDPRDLKSCNRPCVWSRLLKPNSSLSNLETAYFPQILRFLRPWYFSRSHLNYHQKAPARWEWLYSIYRKGTKAQRRNVLRSPCAPPQPSWPCSVI
+>DECOY_sp|Q5T742|CJ025_HUMAN Uncharacterized protein C10orf25 OS=Homo sapiens OX=9606 GN=C10orf25 PE=2 SV=3
+IVSCPWSPQPPACPSRLVNRRQAKTGKRYISYLWEWRAPAKQHYNLHSRSFYWPRLFRLIQPFYATELNSLSSNPKLLRSWVCPRNCSKLDRPDCSAKRTPPLLFCFWLFFRVVSEPPGPVM
+>sp|Q5T681|CJ062_HUMAN Uncharacterized protein C10orf62 OS=Homo sapiens OX=9606 GN=C10orf62 PE=1 SV=1
+MLWVQRKRRRKETSECPSDKDKSPESHKAKNESWIKSHFSRLSEEKLALDNNASASGNATQTESGSEEVSSTVHIETFTTRHGEVGSALHRESFTSRQKTSGPSVIQEIHQESGKAPSTDEATWAAVAACTKEIDTQGRHLAHSMLQRAIAYQHSGHLESKDINQEELRALEEVEMKLQKNFLTQRENTIAGANHTHTFYGHSHHSHHGHPSHQSHSLPNRRH
+>DECOY_sp|Q5T681|CJ062_HUMAN Uncharacterized protein C10orf62 OS=Homo sapiens OX=9606 GN=C10orf62 PE=1 SV=1
+HRRNPLSHSQHSPHGHHSHHSHGYFTHTHNAGAITNERQTLFNKQLKMEVEELARLEEQNIDKSELHGSHQYAIARQLMSHALHRGQTDIEKTCAAVAAWTAEDTSPAKGSEQHIEQIVSPGSTKQRSTFSERHLASGVEGHRTTFTEIHVTSSVEESGSETQTANGSASANNDLALKEESLRSFHSKIWSENKAKHSEPSKDKDSPCESTEKRRRKRQVWLM
+>sp|Q96M02|CJ090_HUMAN Centrosomal protein C10orf90 OS=Homo sapiens OX=9606 GN=C10orf90 PE=2 SV=2
+MLKLSGEGLRDSYHSRRDQIALKNLQSDVTEAKSDFTKETLASQNTKMISSIVISQMIDENKSRENRASLPLPCAIAQSRAHHAKQSLANRSGVNIHRAFALLPGRLGIPAPSDERGPEAELPPKEERPCGGPRRGFASITITARRVGPPARALVWGTAGDSLCPKCRAEDTLFQAPPALANGAHPGRHQRSFACTEFSRNSSVVRLKVPEAHTGLCERRKYWVTHADDKETSFSPDTPLSGKSPLVFSSCVHLRVSQQCPDSIYYVDKSLSVPIEPPQIASPKMHRSVLSLNLNCSSHRLTADGVDGLVNREPISEALKQELLEGDQDLVGQRWNPGLQESHLKETPSLRRVHLGTGACPWSGSFPLENTELANVGANQVTVRKGEKDHTTHCHASDHANQLSIHIPGWSYRAVHTKVFSGSSKRQQGEVCMTVSAPPVEQKPTRHFLPIGDSSPSDDCLSRDLSEPTERRHQSFLKPRILFPGFLCPLQDVCASLQEDNGVQIESKFPKGDYTCCDLVVKIKECKKSEDPTTPEPSPAAPSPAPRDGAGSPGLSEDCSESQQTPARSLTLQEALEVRKPQFISRSQERLKKLEHMVQQRKAQRKEDLRQKQSLLPIRTSKKQFTIPHPLSDNLFKPKERCISEKEMHMRSKRIYDNLPEVKKKKEEQRKRVILQSNRLRAEVFKKQLLDQLLQRNAV
+>DECOY_sp|Q96M02|CJ090_HUMAN Centrosomal protein C10orf90 OS=Homo sapiens OX=9606 GN=C10orf90 PE=2 SV=2
+VANRQLLQDLLQKKFVEARLRNSQLIVRKRQEEKKKKVEPLNDYIRKSRMHMEKESICREKPKFLNDSLPHPITFQKKSTRIPLLSQKQRLDEKRQAKRQQVMHELKKLREQSRSIFQPKRVELAEQLTLSRAPTQQSESCDESLGPSGAGDRPAPSPAAPSPEPTTPDESKKCEKIKVVLDCCTYDGKPFKSEIQVGNDEQLSACVDQLPCLFGPFLIRPKLFSQHRRETPESLDRSLCDDSPSSDGIPLFHRTPKQEVPPASVTMCVEGQQRKSSGSFVKTHVARYSWGPIHISLQNAHDSAHCHTTHDKEGKRVTVQNAGVNALETNELPFSGSWPCAGTGLHVRRLSPTEKLHSEQLGPNWRQGVLDQDGELLEQKLAESIPERNVLGDVGDATLRHSSCNLNLSLVSRHMKPSAIQPPEIPVSLSKDVYYISDPCQQSVRLHVCSSFVLPSKGSLPTDPSFSTEKDDAHTVWYKRRECLGTHAEPVKLRVVSSNRSFETCAFSRQHRGPHAGNALAPPAQFLTDEARCKPCLSDGATGWVLARAPPGVRRATITISAFGRRPGGCPREEKPPLEAEPGREDSPAPIGLRGPLLAFARHINVGSRNALSQKAHHARSQAIACPLPLSARNERSKNEDIMQSIVISSIMKTNQSALTEKTFDSKAETVDSQLNKLAIQDRRSHYSDRLGEGSLKLM
+>sp|Q8N326|CJ111_HUMAN Uncharacterized protein C10orf111 OS=Homo sapiens OX=9606 GN=C10orf111 PE=1 SV=1
+MESLQTPQHRENQDKREKEYGVKHMPMGNNAGNLEPEKRKAVRVALSSATAAQNIPSSVHCGCSKQWRLRLPSESLQSRGQVMKRPNNILKLRNLDLLIYPWPELRRRQVASDLMSLLLLPAFSGLTWAPFLFLFTYLPPFLNLLTVGFVSYFLV
+>DECOY_sp|Q8N326|CJ111_HUMAN Uncharacterized protein C10orf111 OS=Homo sapiens OX=9606 GN=C10orf111 PE=1 SV=1
+VLFYSVFGVTLLNLFPPLYTFLFLFPAWTLGSFAPLLLLSMLDSAVQRRRLEPWPYILLDLNRLKLINNPRKMVQGRSQLSESPLRLRWQKSCGCHVSSPINQAATASSLAVRVAKRKEPELNGANNGMPMHKVGYEKERKDQNERHQPTQLSEM
+>sp|Q5T292|CJ128_HUMAN Putative uncharacterized protein C10orf128 OS=Homo sapiens OX=9606 GN=C10orf128 PE=3 SV=1
+MNLGVSMLRILFLLDVGGAQVLATGKTPGAEIDFKYALIGTAVGVAISAGFLALKICMIRRHLFDDDSSDLKSTPGGLSDTIPLKKRAPRRNHNFSKRDAQVIEL
+>DECOY_sp|Q5T292|CJ128_HUMAN Putative uncharacterized protein C10orf128 OS=Homo sapiens OX=9606 GN=C10orf128 PE=3 SV=1
+LEIVQADRKSFNHNRRPARKKLPITDSLGGPTSKLDSSDDDFLHRRIMCIKLALFGASIAVGVATGILAYKFDIEAGPTKGTALVQAGGVDLLFLIRLMSVGLNM
+>sp|Q9P2W6|CK021_HUMAN Uncharacterized protein C11orf21 OS=Homo sapiens OX=9606 GN=C11orf21 PE=2 SV=1
+MGRTWCGMWRRRRPGRRSAVPRWPHLSSQSGVEPPDRWTGTPGWPSRDQEAPGSMMPPAAAQPSAHGALVPPATAHEPVDHPALHWLACCCCLSLPGQLPLAIRLGWDLDLEAGPSSGKLCPRARRWQPLPS
+>DECOY_sp|Q9P2W6|CK021_HUMAN Uncharacterized protein C11orf21 OS=Homo sapiens OX=9606 GN=C11orf21 PE=2 SV=1
+SPLPQWRRARPCLKGSSPGAELDLDWGLRIALPLQGPLSLCCCCALWHLAPHDVPEHATAPPVLAGHASPQAAAPPMMSGPAEQDRSPWGPTGTWRDPPEVGSQSSLHPWRPVASRRGPRRRRWMGCWTRGM
+>sp|Q9BRQ4|CK070_HUMAN Uncharacterized protein C11orf70 OS=Homo sapiens OX=9606 GN=C11orf70 PE=2 SV=3
+MATGELGDLGGYYFRFLPQKTFQSLSSKEITSRLRQWSMLGRIKAQAFGFDQTFQSYRKDDFVMAFFKDPNVIPNLKLLSDSSGQWIILGTEVKKIEAINVPCTQLSMSFFHRLYDEDIVRDSGHIVKCLDSFCDPFLISDELRRVLLVEDSEKYEIFSQPDREEFLFCLFKHLCLGGALCQYEDVISPYLETTKLIYKDLVSVRKNPQTKKIQITSSVFKVSAYDSAGMCYPSAKNHEQTFSYFIVDPIRRHLHVLYHCYGVGDMS
+>DECOY_sp|Q9BRQ4|CK070_HUMAN Uncharacterized protein C11orf70 OS=Homo sapiens OX=9606 GN=C11orf70 PE=2 SV=3
+SMDGVGYCHYLVHLHRRIPDVIFYSFTQEHNKASPYCMGASDYASVKFVSSTIQIKKTQPNKRVSVLDKYILKTTELYPSIVDEYQCLAGGLCLHKFLCFLFEERDPQSFIEYKESDEVLLVRRLEDSILFPDCFSDLCKVIHGSDRVIDEDYLRHFFSMSLQTCPVNIAEIKKVETGLIIWQGSSDSLLKLNPIVNPDKFFAMVFDDKRYSQFTQDFGFAQAKIRGLMSWQRLRSTIEKSSLSQFTKQPLFRFYYGGLDGLEGTAM
+>sp|Q6NUJ2|CK087_HUMAN Uncharacterized protein C11orf87 OS=Homo sapiens OX=9606 GN=C11orf87 PE=1 SV=2
+MSARAPKELRLALPPCLLNRTFASPNASGSGNTGARGPGAVGSGTCITQVGQQLFQSFSSTLVLIVLVTLIFCLIVLSLSTFHIHKRRMKKRKMQRAQEEYERDHCSGSRGGGGLPRPGRQAPTHAKETRLERQPRDSPFCAPSNASSLSSSSPGLPCQGPCAPPPPPPASSPQGAHAASSCLDTAGEGLLQTVVLS
+>DECOY_sp|Q6NUJ2|CK087_HUMAN Uncharacterized protein C11orf87 OS=Homo sapiens OX=9606 GN=C11orf87 PE=1 SV=2
+SLVVTQLLGEGATDLCSSAAHAGQPSSAPPPPPPACPGQCPLGPSSSSLSSANSPACFPSDRPQRELRTEKAHTPAQRGPRPLGGGGRSGSCHDREYEEQARQMKRKKMRRKHIHFTSLSLVILCFILTVLVILVLTSSFSQFLQQGVQTICTGSGVAGPGRAGTNGSGSANPSAFTRNLLCPPLALRLEKPARASM
+>sp|Q96F83|CLBA1_HUMAN Uncharacterized protein CLBA1 OS=Homo sapiens OX=9606 GN=CLBA1 PE=2 SV=2
+MQGRRELGGEPLSDLQEEAASASLRVAPERLSDDSLEWRRTCPDLLLSDGKASISMPREGGSTCTARCPDPGEHSSTWGEFEGFRESSAKSGQFSQSLELLEGPTEPQPPRTTSAPKECSSHQPCQGGPWVTGTSAVPPSEPILSYENILKCAFQEITVQQAAEDVSTIDHFLEISSEEKPGVERVHKLCNESRKLWRALQSIHTTSTSQRLWSESRCQENFFLVLGIDAAQKNLSGGQGHIMEDCDLKEPEGLLTVSSFCLQHCKALIQTKLSGPPGSKQGRLMTCSRFLKTPSCGGGQHITIPRKRMFTPRKLKLTLFNSDVC
+>DECOY_sp|Q96F83|CLBA1_HUMAN Uncharacterized protein CLBA1 OS=Homo sapiens OX=9606 GN=CLBA1 PE=2 SV=2
+CVDSNFLTLKLKRPTFMRKRPITIHQGGGCSPTKLFRSCTMLRGQKSGPPGSLKTQILAKCHQLCFSSVTLLGEPEKLDCDEMIHGQGGSLNKQAADIGLVLFFNEQCRSESWLRQSTSTTHISQLARWLKRSENCLKHVREVGPKEESSIELFHDITSVDEAAQQVTIEQFACKLINEYSLIPESPPVASTGTVWPGGQCPQHSSCEKPASTTRPPQPETPGELLELSQSFQGSKASSERFGEFEGWTSSHEGPDPCRATCTSGGERPMSISAKGDSLLLDPCTRRWELSDDSLREPAVRLSASAAEEQLDSLPEGGLERRGQM
+>sp|Q9P126|CLC1B_HUMAN C-type lectin domain family 1 member B OS=Homo sapiens OX=9606 GN=CLEC1B PE=1 SV=2
+MQDEDGYITLNIKTRKPALISVGSASSSWWRVMALILLILCVGMVVGLVALGIWSVMQRNYLQGENENRTGTLQQLAKRFCQYVVKQSELKGTFKGHKCSPCDTNWRYYGDSCYGFFRHNLTWEESKQYCTDMNATLLKIDNRNIVEYIKARTHLIRWVGLSRQKSNEVWKWEDGSVISENMFEFLEDGKGNMNCAYFHNGKMHPTFCENKHYLMCERKAGMTKVDQLP
+>DECOY_sp|Q9P126|CLC1B_HUMAN C-type lectin domain family 1 member B OS=Homo sapiens OX=9606 GN=CLEC1B PE=1 SV=2
+PLQDVKTMGAKRECMLYHKNECFTPHMKGNHFYACNMNGKGDELFEFMNESIVSGDEWKWVENSKQRSLGVWRILHTRAKIYEVINRNDIKLLTANMDTCYQKSEEWTLNHRFFGYCSDGYYRWNTDCPSCKHGKFTGKLESQKVVYQCFRKALQQLTGTRNENEGQLYNRQMVSWIGLAVLGVVMGVCLILLILAMVRWWSSSASGVSILAPKRTKINLTIYGDEDQM
+>sp|Q92478|CLC2B_HUMAN C-type lectin domain family 2 member B OS=Homo sapiens OX=9606 GN=CLEC2B PE=1 SV=2
+MMTKHKKCFIIVGVLITTNIITLIVKLTRDSQSLCPYDWIGFQNKCYYFSKEEGDWNSSKYNCSTQHADLTIIDNIEEMNFLRRYKCSSDHWIGLKMAKNRTGQWVDGATFTKSFGMRGSEGCAYLSDDGAATARCYTERKWICRKRIH
+>DECOY_sp|Q92478|CLC2B_HUMAN C-type lectin domain family 2 member B OS=Homo sapiens OX=9606 GN=CLEC2B PE=1 SV=2
+HIRKRCIWKRETYCRATAAGDDSLYACGESGRMGFSKTFTAGDVWQGTRNKAMKLGIWHDSSCKYRRLFNMEEINDIITLDAHQTSCNYKSSNWDGEEKSFYYCKNQFGIWDYPCLSQSDRTLKVILTIINTTILVGVIIFCKKHKTMM
+>sp|P0C7M8|CLC2L_HUMAN C-type lectin domain family 2 member L OS=Homo sapiens OX=9606 GN=CLEC2L PE=3 SV=1
+MEPAREPPSRARPPPPLAARPAPAPAAPRPRSPAEAEARGPEGLLRRSGSGYEGSTSWKAALEDTTTRLLLGAIAVLLFAILVVMSILASKGCIKCEAPCPEDWLLYGRKCYFFSEEPRDWNTGRQYCHTHEAVLAVIQSQKELEFMFKFTRREPWIGLRRVGDEFHWVNGDPFDPDTFTIAGPGECVFVEPTRLVSTECLMTRPWVCSKMAYT
+>DECOY_sp|P0C7M8|CLC2L_HUMAN C-type lectin domain family 2 member L OS=Homo sapiens OX=9606 GN=CLEC2L PE=3 SV=1
+TYAMKSCVWPRTMLCETSVLRTPEVFVCEGPGAITFTDPDFPDGNVWHFEDGVRRLGIWPERRTFKFMFELEKQSQIVALVAEHTHCYQRGTNWDRPEESFFYCKRGYLLWDEPCPAECKICGKSALISMVVLIAFLLVAIAGLLLRTTTDELAAKWSTSGEYGSGSRRLLGEPGRAEAEAPSRPRPAAPAPAPRAALPPPPRARSPPERAPEM
+>sp|Q9UMR7|CLC4A_HUMAN C-type lectin domain family 4 member A OS=Homo sapiens OX=9606 GN=CLEC4A PE=1 SV=1
+MTSEITYAEVRFKNEFKSSGINTASSAASKERTAPHKSNTGFPKLLCASLLIFFLLLAISFFIAFVIFFQKYSQLLEKKTTKELVHTTLECVKKNMPVEETAWSCCPKNWKSFSSNCYFISTESASWQDSEKDCARMEAHLLVINTQEEQDFIFQNLQEESAYFVGLSDPEGQRHWQWVDQTPYNESSTFWHPREPSDPNERCVVLNFRKSPKRWGWNDVNCLGPQRSVCEMMKIHL
+>DECOY_sp|Q9UMR7|CLC4A_HUMAN C-type lectin domain family 4 member A OS=Homo sapiens OX=9606 GN=CLEC4A PE=1 SV=1
+LHIKMMECVSRQPGLCNVDNWGWRKPSKRFNLVVCRENPDSPERPHWFTSSENYPTQDVWQWHRQGEPDSLGVFYASEEQLNQFIFDQEEQTNIVLLHAEMRACDKESDQWSASETSIFYCNSSFSKWNKPCCSWATEEVPMNKKVCELTTHVLEKTTKKELLQSYKQFFIVFAIFFSIALLLFFILLSACLLKPFGTNSKHPATREKSAASSATNIGSSKFENKFRVEAYTIESTM
+>sp|Q8N1N0|CLC4F_HUMAN C-type lectin domain family 4 member F OS=Homo sapiens OX=9606 GN=CLEC4F PE=2 SV=2
+MDGEAVRFCTDNQCVSLHPQEVDSVAMAPAAPKIPRLVQATPAFMAVTLVFSLVTLFVVVQQQTRPVPKPVQAVILGDNITGHLPFEPNNHHHFGREAEMRELIQTFKGHMENSSAWVVEIQMLKCRVDNVNSQLQVLGDHLGNTNADIQMVKGVLKDATTLSLQTQMLRSSLEGTNAEIQRLKEDLEKADALTFQTLNFLKSSLENTSIELHVLSRGLENANSEIQMLNASLETANTQAQLANSSLKNANAEIYVLRGHLDSVNDLRTQNQVLRNSLEGANAEIQGLKENLQNTNALNSQTQAFIKSSFDNTSAEIQFLRGHLERAGDEIHVLKRDLKMVTAQTQKANGRLDQTDTQIQVFKSEMENVNTLNAQIQVLNGHMKNASREIQTLKQGMKNASALTSQTQMLDSNLQKASAEIQRLRGDLENTKALTMEIQQEQSRLKTLHVVITSQEQLQRTQSQLLQMVLQGWKFNGGSLYYFSSVKKSWHEAEQFCVSQGAHLASVASKEEQAFLVEFTSKVYYWIGLTDRGTEGSWRWTDGTPFNAAQNKAPGSKGSCPLRKYIIVNSGMGACSFIDTPPCPWILSN
+>DECOY_sp|Q8N1N0|CLC4F_HUMAN C-type lectin domain family 4 member F OS=Homo sapiens OX=9606 GN=CLEC4F PE=2 SV=2
+NSLIWPCPPTDIFSCAGMGSNVIIYKRLPCSGKSGPAKNQAANFPTGDTWRWSGETGRDTLGIWYYVKSTFEVLFAQEEKSAVSALHAGQSVCFQEAEHWSKKVSSFYYLSGGNFKWGQLVMQLLQSQTRQLQEQSTIVVHLTKLRSQEQQIEMTLAKTNELDGRLRQIEASAKQLNSDLMQTQSTLASANKMGQKLTQIERSANKMHGNLVQIQANLTNVNEMESKFVQIQTDTQDLRGNAKQTQATVMKLDRKLVHIEDGARELHGRLFQIEASTNDFSSKIFAQTQSNLANTNQLNEKLGQIEANAGELSNRLVQNQTRLDNVSDLHGRLVYIEANANKLSSNALQAQTNATELSANLMQIESNANELGRSLVHLEISTNELSSKLFNLTQFTLADAKELDEKLRQIEANTGELSSRLMQTQLSLTTADKLVGKVMQIDANTNGLHDGLVQLQSNVNDVRCKLMQIEVVWASSNEMHGKFTQILERMEAERGFHHHNNPEFPLHGTINDGLIVAQVPKPVPRTQQQVVVFLTVLSFVLTVAMFAPTAQVLRPIKPAAPAMAVSDVEQPHLSVCQNDTCFRVAEGDM
+>sp|Q9H2X3|CLC4M_HUMAN C-type lectin domain family 4 member M OS=Homo sapiens OX=9606 GN=CLEC4M PE=1 SV=1
+MSDSKEPRVQQLGLLEEDPTTSGIRLFPRDFQFQQIHGHKSSTGCLGHGALVLQLLSFMLLAGVLVAILVQVSKVPSSLSQEQSEQDAIYQNLTQLKAAVGELSEKSKLQEIYQELTQLKAAVGELPEKSKLQEIYQELTRLKAAVGELPEKSKLQEIYQELTRLKAAVGELPEKSKLQEIYQELTRLKAAVGELPEKSKLQEIYQELTELKAAVGELPEKSKLQEIYQELTQLKAAVGELPDQSKQQQIYQELTDLKTAFERLCRHCPKDWTFFQGNCYFMSNSQRNWHDSVTACQEVRAQLVVIKTAEEQNFLQLQTSRSNRFSWMGLSDLNQEGTWQWVDGSPLSPSFQRYWNSGEPNNSGNEDCAEFSGSGWNDNRCDVDNYWICKKPAACFRDE
+>DECOY_sp|Q9H2X3|CLC4M_HUMAN C-type lectin domain family 4 member M OS=Homo sapiens OX=9606 GN=CLEC4M PE=1 SV=1
+EDRFCAAPKKCIWYNDVDCRNDNWGSGSFEACDENGSNNPEGSNWYRQFSPSLPSGDVWQWTGEQNLDSLGMWSFRNSRSTQLQLFNQEEATKIVVLQARVEQCATVSDHWNRQSNSMFYCNGQFFTWDKPCHRCLREFATKLDTLEQYIQQQKSQDPLEGVAAKLQTLEQYIEQLKSKEPLEGVAAKLETLEQYIEQLKSKEPLEGVAAKLRTLEQYIEQLKSKEPLEGVAAKLRTLEQYIEQLKSKEPLEGVAAKLRTLEQYIEQLKSKEPLEGVAAKLQTLEQYIEQLKSKESLEGVAAKLQTLNQYIADQESQEQSLSSPVKSVQVLIAVLVGALLMFSLLQLVLAGHGLCGTSSKHGHIQQFQFDRPFLRIGSTTPDEELLGLQQVRPEKSDSM
+>sp|P78369|CLD10_HUMAN Claudin-10 OS=Homo sapiens OX=9606 GN=CLDN10 PE=1 SV=2
+MASTASEIIAFMVSISGWVLVSSTLPTDYWKVSTIDGTVITTATYWANLWKACVTDSTGVSNCKDFPSMLALDGYIQACRGLMIAAVSLGFFGSIFALFGMKCTKVGGSDKAKAKIACLAGIVFILSGLCSMTGCSLYANKITTEFFDPLFVEQKYELGAALFIGWAGASLCIIGGVIFCFSISDNNKTPRYTYNGATSVMSSRTKYHGGEDFKTTNPSKQFDKNAYV
+>DECOY_sp|P78369|CLD10_HUMAN Claudin-10 OS=Homo sapiens OX=9606 GN=CLDN10 PE=1 SV=2
+VYANKDFQKSPNTTKFDEGGHYKTRSSMVSTAGNYTYRPTKNNDSISFCFIVGGIICLSAGAWGIFLAAGLEYKQEVFLPDFFETTIKNAYLSCGTMSCLGSLIFVIGALCAIKAKAKDSGGVKTCKMGFLAFISGFFGLSVAAIMLGRCAQIYGDLALMSPFDKCNSVGTSDTVCAKWLNAWYTATTIVTGDITSVKWYDTPLTSSVLVWGSISVMFAIIESATSAM
+>sp|O15551|CLD3_HUMAN Claudin-3 OS=Homo sapiens OX=9606 GN=CLDN3 PE=1 SV=1
+MSMGLEITGTALAVLGWLGTIVCCALPMWRVSAFIGSNIITSQNIWEGLWMNCVVQSTGQMQCKVYDSLLALPQDLQAARALIVVAILLAAFGLLVALVGAQCTNCVQDDTAKAKITIVAGVLFLLAALLTLVPVSWSANTIIRDFYNPVVPEAQKREMGAGLYVGWAAAALQLLGGALLCCSCPPREKKYTATKVVYSAPRSTGPGASLGTGYDRKDYV
+>DECOY_sp|O15551|CLD3_HUMAN Claudin-3 OS=Homo sapiens OX=9606 GN=CLDN3 PE=1 SV=1
+VYDKRDYGTGLSAGPGTSRPASYVVKTATYKKERPPCSCCLLAGGLLQLAAAAWGVYLGAGMERKQAEPVVPNYFDRIITNASWSVPVLTLLAALLFLVGAVITIKAKATDDQVCNTCQAGVLAVLLGFAALLIAVVILARAAQLDQPLALLSDYVKCQMQGTSQVVCNMWLGEWINQSTIINSGIFASVRWMPLACCVITGLWGLVALATGTIELGMSM
+>sp|O00501|CLD5_HUMAN Claudin-5 OS=Homo sapiens OX=9606 GN=CLDN5 PE=2 SV=1
+MGSAALEILGLVLCLVGWGGLILACGLPMWQVTAFLDHNIVTAQTTWKGLWMSCVVQSTGHMQCKVYDSVLALSTEVQAARALTVSAVLLAFVALFVTLAGAQCTTCVAPGPAKARVALTGGVLYLFCGLLALVPLCWFANIVVREFYDPSVPVSQKYELGAALYIGWAATALLMVGGCLLCCGAWVCTGRPDLSFPVKYSAPRRPTATGDYDKKNYV
+>DECOY_sp|O00501|CLD5_HUMAN Claudin-5 OS=Homo sapiens OX=9606 GN=CLDN5 PE=2 SV=1
+VYNKKDYDGTATPRRPASYKVPFSLDPRGTCVWAGCCLLCGGVMLLATAAWGIYLAAGLEYKQSVPVSPDYFERVVINAFWCLPVLALLGCFLYLVGGTLAVRAKAPGPAVCTTCQAGALTVFLAVFALLVASVTLARAAQVETSLALVSDYVKCQMHGTSQVVCSMWLGKWTTQATVINHDLFATVQWMPLGCALILGGWGVLCLVLGLIELAASGM
+>sp|P56747|CLD6_HUMAN Claudin-6 OS=Homo sapiens OX=9606 GN=CLDN6 PE=1 SV=2
+MASAGMQILGVVLTLLGWVNGLVSCALPMWKVTAFIGNSIVVAQVVWEGLWMSCVVQSTGQMQCKVYDSLLALPQDLQAARALCVIALLVALFGLLVYLAGAKCTTCVEEKDSKARLVLTSGIVFVISGVLTLIPVCWTAHAIIRDFYNPLVAEAQKRELGASLYLGWAASGLLLLGGGLLCCTCPSGGSQGPSHYMARYSTSAPAISRGPSEYPTKNYV
+>DECOY_sp|P56747|CLD6_HUMAN Claudin-6 OS=Homo sapiens OX=9606 GN=CLDN6 PE=1 SV=2
+VYNKTPYESPGRSIAPASTSYRAMYHSPGQSGGSPCTCCLLGGGLLLLGSAAWGLYLSAGLERKQAEAVLPNYFDRIIAHATWCVPILTLVGSIVFVIGSTLVLRAKSDKEEVCTTCKAGALYVLLGFLAVLLAIVCLARAAQLDQPLALLSDYVKCQMQGTSQVVCSMWLGEWVVQAVVISNGIFATVKWMPLACSVLGNVWGLLTLVVGLIQMGASAM
+>sp|Q96DZ5|CLIP3_HUMAN CAP-Gly domain-containing linker protein 3 OS=Homo sapiens OX=9606 GN=CLIP3 PE=1 SV=3
+MTKTDPAPMAPPPRGEEEEEEEEDEPVPEAPSPTQERRQKPVVHPSAPAPLPKDYAFTFFDPNDPACQEILFDPQTTIPELFAIVRQWVPQVQHKIDVIGNEILRRGCHVNDRDGLTDMTLLHYACKAGAHGVGDPAAAVRLSQQLLALGADVTLRSRWTNMNALHYAAYFDVPDLVRVLLKGARPRVVNSTCSDFNHGSALHIAASSLCLGAAKCLLEHGANPALRNRKGQVPAEVVPDPMDMSLDKAEAALVAKELRTLLEEAVPLSCALPKVTLPNYDNVPGNLMLSALGLRLGDRVLLDGQKTGTLRFCGTTEFASGQWVGVELDEPEGKNDGSVGGVRYFICPPKQGLFASVSKISKAVDAPPSSVTSTPRTPRMDFSRVTGKGRREHKGKKKTPSSPSLGSLQQRDGAKAEVGDQVLVAGQKQGIVRFYGKTDFAPGYWYGIELDQPTGKHDGSVFGVRYFTCPPRHGVFAPASRIQRIGGSTDSPGDSVGAKKVHQVTMTQPKRTFTTVRTPKDIASENSISRLLFCCWFPWMLRAEMQS
+>DECOY_sp|Q96DZ5|CLIP3_HUMAN CAP-Gly domain-containing linker protein 3 OS=Homo sapiens OX=9606 GN=CLIP3 PE=1 SV=3
+SQMEARLMWPFWCCFLLRSISNESAIDKPTRVTTFTRKPQTMTVQHVKKAGVSDGPSDTSGGIRQIRSAPAFVGHRPPCTFYRVGFVSGDHKGTPQDLEIGYWYGPAFDTKGYFRVIGQKQGAVLVQDGVEAKAGDRQQLSGLSPSSPTKKKGKHERRGKGTVRSFDMRPTRPTSTVSSPPADVAKSIKSVSAFLGQKPPCIFYRVGGVSGDNKGEPEDLEVGVWQGSAFETTGCFRLTGTKQGDLLVRDGLRLGLASLMLNGPVNDYNPLTVKPLACSLPVAEELLTRLEKAVLAAEAKDLSMDMPDPVVEAPVQGKRNRLAPNAGHELLCKAAGLCLSSAAIHLASGHNFDSCTSNVVRPRAGKLLVRVLDPVDFYAAYHLANMNTWRSRLTVDAGLALLQQSLRVAAAPDGVGHAGAKCAYHLLTMDTLGDRDNVHCGRRLIENGIVDIKHQVQPVWQRVIAFLEPITTQPDFLIEQCAPDNPDFFTFAYDKPLPAPASPHVVPKQRREQTPSPAEPVPEDEEEEEEEEGRPPPAMPAPDTKTM
+>sp|P49759|CLK1_HUMAN Dual specificity protein kinase CLK1 OS=Homo sapiens OX=9606 GN=CLK1 PE=1 SV=2
+MRHSKRTYCPDWDDKDWDYGKWRSSSSHKRRKRSHSSAQENKRCKYNHSKMCDSHYLESRSINEKDYHSRRYIDEYRNDYTQGCEPGHRQRDHESRYQNHSSKSSGRSGRSSYKSKHRIHHSTSHRRSHGKSHRRKRTRSVEDDEEGHLICQSGDVLSARYEIVDTLGEGAFGKVVECIDHKAGGRHVAVKIVKNVDRYCEAARSEIQVLEHLNTTDPNSTFRCVQMLEWFEHHGHICIVFELLGLSTYDFIKENGFLPFRLDHIRKMAYQICKSVNFLHSNKLTHTDLKPENILFVQSDYTEAYNPKIKRDERTLINPDIKVVDFGSATYDDEHHSTLVSTRHYRAPEVILALGWSQPCDVWSIGCILIEYYLGFTVFPTHDSKEHLAMMERILGPLPKHMIQKTRKRKYFHHDRLDWDEHSSAGRYVSRRCKPLKEFMLSQDVEHERLFDLIQKMLEYDPAKRITLREALKHPFFDLLKKSI
+>DECOY_sp|P49759|CLK1_HUMAN Dual specificity protein kinase CLK1 OS=Homo sapiens OX=9606 GN=CLK1 PE=1 SV=2
+ISKKLLDFFPHKLAERLTIRKAPDYELMKQILDFLREHEVDQSLMFEKLPKCRRSVYRGASSHEDWDLRDHHFYKRKRTKQIMHKPLPGLIREMMALHEKSDHTPFVTFGLYYEILICGISWVDCPQSWGLALIVEPARYHRTSVLTSHHEDDYTASGFDVVKIDPNILTREDRKIKPNYAETYDSQVFLINEPKLDTHTLKNSHLFNVSKCIQYAMKRIHDLRFPLFGNEKIFDYTSLGLLEFVICIHGHHEFWELMQVCRFTSNPDTTNLHELVQIESRAAECYRDVNKVIKVAVHRGGAKHDICEVVKGFAGEGLTDVIEYRASLVDGSQCILHGEEDDEVSRTRKRRHSKGHSRRHSTSHHIRHKSKYSSRGSRGSSKSSHNQYRSEHDRQRHGPECGQTYDNRYEDIYRRSHYDKENISRSELYHSDCMKSHNYKCRKNEQASSHSRKRRKHSSSSRWKGYDWDKDDWDPCYTRKSHRM
+>sp|O75503|CLN5_HUMAN Ceroid-lipofuscinosis neuronal protein 5 OS=Homo sapiens OX=9606 GN=CLN5 PE=1 SV=2
+MAQEVDTAQGAEMRRGAGAARGRASWCWALALLWLAVVPGWSRVSGIPSRRHWPVPYKRFDFRPKPDPYCQAKYTFCPTGSPIPVMEGDDDIEVFRLQAPVWEFKYGDLLGHLKIMHDAIGFRSTLTGKNYTMEWYELFQLGNCTFPHLRPEMDAPFWCNQGAACFFEGIDDVHWKENGTLVQVATISGNMFNQMAKWVKQDNETGIYYETWNVKASPEKGAETWFDSYDCSKFVLRTFNKLAEFGAEFKNIETNYTRIFLYSGEPTYLGNETSVFGPTGNKTLGLAIKRFYYPFKPHLPTKEFLLSLLQIFDAVIVHKQFYLFYNFEYWFLPMKFPFIKITYEEIPLPIRNKTLSGL
+>DECOY_sp|O75503|CLN5_HUMAN Ceroid-lipofuscinosis neuronal protein 5 OS=Homo sapiens OX=9606 GN=CLN5 PE=1 SV=2
+LGSLTKNRIPLPIEEYTIKIFPFKMPLFWYEFNYFLYFQKHVIVADFIQLLSLLFEKTPLHPKFPYYFRKIALGLTKNGTPGFVSTENGLYTPEGSYLFIRTYNTEINKFEAGFEALKNFTRLVFKSCDYSDFWTEAGKEPSAKVNWTEYYIGTENDQKVWKAMQNFMNGSITAVQVLTGNEKWHVDDIGEFFCAAGQNCWFPADMEPRLHPFTCNGLQFLEYWEMTYNKGTLTSRFGIADHMIKLHGLLDGYKFEWVPAQLRFVEIDDDGEMVPIPSGTPCFTYKAQCYPDPKPRFDFRKYPVPWHRRSPIGSVRSWGPVVALWLLALAWCWSARGRAAGAGRRMEAGQATDVEQAM
+>sp|Q9H078|CLPB_HUMAN Caseinolytic peptidase B protein homolog OS=Homo sapiens OX=9606 GN=CLPB PE=1 SV=1
+MLGSLVLRRKALAPRLLLRLLRSPTLRGHGGASGRNVTTGSLGEPQWLRVATGGRPGTSPALFSGRGAATGGRQGGRFDTKCLAAATWGRLPGPEETLPGQDSWNGVPSRAGLGMCALAAALVVHCYSKSPSNKDAALLEAARANNMQEVSRLLSEGADVNAKHRLGWTALMVAAINRNNSVVQVLLAAGADPNLGDDFSSVYKTAKEQGIHSLEDGGQDGASRHITNQWTSALEFRRWLGLPAGVLITREDDFNNRLNNRASFKGCTALHYAVLADDYRTVKELLDGGANPLQRNEMGHTPLDYAREGEVMKLLRTSEAKYQEKQRKREAEERRRFPLEQRLKEHIIGQESAIATVGAAIRRKENGWYDEEHPLVFLFLGSSGIGKTELAKQTAKYMHKDAKKGFIRLDMSEFQERHEVAKFIGSPPGYVGHEEGGQLTKKLKQCPNAVVLFDEVDKAHPDVLTIMLQLFDEGRLTDGKGKTIDCKDAIFIMTSNVASDEIAQHALQLRQEALEMSRNRIAENLGDVQISDKITISKNFKENVIRPILKAHFRRDEFLGRINEIVYFLPFCHSELIQLVNKELNFWAKRAKQRHNITLLWDREVADVLVDGYNVHYGARSIKHEVERRVVNQLAAAYEQDLLPGGCTLRITVEDSDKQLLKSPELPSPQAEKRLPKLRLEIIDKDSKTRRLDIRAPLHPEKVCNTI
+>DECOY_sp|Q9H078|CLPB_HUMAN Caseinolytic peptidase B protein homolog OS=Homo sapiens OX=9606 GN=CLPB PE=1 SV=1
+ITNCVKEPHLPARIDLRRTKSDKDIIELRLKPLRKEAQPSPLEPSKLLQKDSDEVTIRLTCGGPLLDQEYAAALQNVVRREVEHKISRAGYHVNYGDVLVDAVERDWLLTINHRQKARKAWFNLEKNVLQILESHCFPLFYVIENIRGLFEDRRFHAKLIPRIVNEKFNKSITIKDSIQVDGLNEAIRNRSMELAEQRLQLAHQAIEDSAVNSTMIFIADKCDITKGKGDTLRGEDFLQLMITLVDPHAKDVEDFLVVANPCQKLKKTLQGGEEHGVYGPPSGIFKAVEHREQFESMDLRIFGKKADKHMYKATQKALETKGIGSSGLFLFVLPHEEDYWGNEKRRIAAGVTAIASEQGIIHEKLRQELPFRRREEAERKRQKEQYKAESTRLLKMVEGERAYDLPTHGMENRQLPNAGGDLLEKVTRYDDALVAYHLATCGKFSARNNLRNNFDDERTILVGAPLGLWRRFELASTWQNTIHRSAGDQGGDELSHIGQEKATKYVSSFDDGLNPDAGAALLVQVVSNNRNIAAVMLATWGLRHKANVDAGESLLRSVEQMNNARAAELLAADKNSPSKSYCHVVLAAALACMGLGARSPVGNWSDQGPLTEEPGPLRGWTAAALCKTDFRGGQRGGTAAGRGSFLAPSTGPRGGTAVRLWQPEGLSGTTVNRGSAGGHGRLTPSRLLRLLLRPALAKRRLVLSGLM
+>sp|O76031|CLPX_HUMAN ATP-dependent Clp protease ATP-binding subunit clpX-like, mitochondrial OS=Homo sapiens OX=9606 GN=CLPX PE=1 SV=2
+MPSCGACTCGAAAVRLITSSLASAQRGISGGRIHMSVLGRLGTFETQILQRAPLRSFTETPAYFASKDGISKDGSGDGNKKSASEGSSKKSGSGNSGKGGNQLRCPKCGDLCTHVETFVSSTRFVKCEKCHHFFVVLSEADSKKSIIKEPESAAEAVKLAFQQKPPPPPKKIYNYLDKYVVGQSFAKKVLSVAVYNHYKRIYNNIPANLRQQAEVEKQTSLTPRELEIRRREDEYRFTKLLQIAGISPHGNALGASMQQQVNQQIPQEKRGGEVLDSSHDDIKLEKSNILLLGPTGSGKTLLAQTLAKCLDVPFAICDCTTLTQAGYVGEDIESVIAKLLQDANYNVEKAQQGIVFLDEVDKIGSVPGIHQLRDVGGEGVQQGLLKLLEGTIVNVPEKNSRKLRGETVQVDTTNILFVASGAFNGLDRIISRRKNEKYLGFGTPSNLGKGRRAAAAADLANRSGESNTHQDIEEKDRLLRHVEARDLIEFGMIPEFVGRLPVVVPLHSLDEKTLVQILTEPRNAVIPQYQALFSMDKCELNVTEDALKAIARLALERKTGARGLRSIMEKLLLEPMFEVPNSDIVCVEVDKEVVEGKKEPGYIRAPTKESSEEEYDSGVEEEGWPRQADAANS
+>DECOY_sp|O76031|CLPX_HUMAN ATP-dependent Clp protease ATP-binding subunit clpX-like, mitochondrial OS=Homo sapiens OX=9606 GN=CLPX PE=1 SV=2
+SNAADAQRPWGEEEVGSDYEEESSEKTPARIYGPEKKGEVVEKDVEVCVIDSNPVEFMPELLLKEMISRLGRAGTKRELALRAIAKLADETVNLECKDMSFLAQYQPIVANRPETLIQVLTKEDLSHLPVVVPLRGVFEPIMGFEILDRAEVHRLLRDKEEIDQHTNSEGSRNALDAAAAARRGKGLNSPTGFGLYKENKRRSIIRDLGNFAGSAVFLINTTDVQVTEGRLKRSNKEPVNVITGELLKLLGQQVGEGGVDRLQHIGPVSGIKDVEDLFVIGQQAKEVNYNADQLLKAIVSEIDEGVYGAQTLTTCDCIAFPVDLCKALTQALLTKGSGTPGLLLINSKELKIDDHSSDLVEGGRKEQPIQQNVQQQMSAGLANGHPSIGAIQLLKTFRYEDERRRIELERPTLSTQKEVEAQQRLNAPINNYIRKYHNYVAVSLVKKAFSQGVVYKDLYNYIKKPPPPPKQQFALKVAEAASEPEKIISKKSDAESLVVFFHHCKECKVFRTSSVFTEVHTCLDGCKPCRLQNGGKGSNGSGSKKSSGESASKKNGDGSGDKSIGDKSAFYAPTETFSRLPARQLIQTEFTGLRGLVSMHIRGGSIGRQASALSSTILRVAAAGCTCAGCSPM
+>sp|P58418|CLRN1_HUMAN Clarin-1 OS=Homo sapiens OX=9606 GN=CLRN1 PE=1 SV=2
+MPSQQKKIIFCMAGVFSFACALGVVTALGTPLWIKATVLCKTGALLVNASGQELDKFMGEMQYGLFHGEGVRQCGLGARPFRFSFFPDLLKAIPVSIHVNVILFSAILIVLTMVGTAFFMYNAFGKPFETLHGPLGLYLLSFISGSCGCLVMILFASEVKIHHLSEKIANYKEGTYVYKTQSEKYTTSFWVIFFCFFVHFLNGLLIRLAGFQFPFAKSKDAETTNVAADLMY
+>DECOY_sp|P58418|CLRN1_HUMAN Clarin-1 OS=Homo sapiens OX=9606 GN=CLRN1 PE=1 SV=2
+YMLDAAVNTTEADKSKAFPFQFGALRILLGNLFHVFFCFFIVWFSTTYKESQTKYVYTGEKYNAIKESLHHIKVESAFLIMVLCGCSGSIFSLLYLGLPGHLTEFPKGFANYMFFATGVMTLVILIASFLIVNVHISVPIAKLLDPFFSFRFPRAGLGCQRVGEGHFLGYQMEGMFKDLEQGSANVLLAGTKCLVTAKIWLPTGLATVVGLACAFSFVGAMCFIIKKQQSPM
+>sp|Q96AJ1|CLUA1_HUMAN Clusterin-associated protein 1 OS=Homo sapiens OX=9606 GN=CLUAP1 PE=1 SV=4
+MSFRDLRNFTEMMRALGYPRHISMENFRTPNFGLVSEVLLWLVKRYEPQTDIPPDVDTEQDRVFFIKAIAQFMATKAHIKLNTKKLYQADGYAVKELLKITSVLYNAMKTKGMEGSEIVEEDVNKFKFDLGSKIADLKAARQLASEITSKGASLYDLLGMEVELREMRTEAIARPLEINETEKVMRIAIKEILTQVQKTKDLLNNVASDEANLEAKIEKRKLELERNRKRLETLQSVRPCFMDEYEKTEEELQKQYDTYLEKFQNLTYLEQQLEDHHRMEQERFEEAKNTLCLIQNKLKEEEKRLLKSGSNDDSDIDIQEDDESDSELEERRLPKPQTAMEMLMQGRPGKRIVGTMQGGDSDDNEDSEESEIDMEDDDDEDDDLEDESISLSPTKPNRRVRKSEPLDESDNDF
+>DECOY_sp|Q96AJ1|CLUA1_HUMAN Clusterin-associated protein 1 OS=Homo sapiens OX=9606 GN=CLUAP1 PE=1 SV=4
+FDNDSEDLPESKRVRRNPKTPSLSISEDELDDDEDDDDEMDIESEESDENDDSDGGQMTGVIRKGPRGQMLMEMATQPKPLRREELESDSEDDEQIDIDSDDNSGSKLLRKEEEKLKNQILCLTNKAEEFREQEMRHHDELQQELYTLNQFKELYTDYQKQLEEETKEYEDMFCPRVSQLTELRKRNRELELKRKEIKAELNAEDSAVNNLLDKTKQVQTLIEKIAIRMVKETENIELPRAIAETRMERLEVEMGLLDYLSAGKSTIESALQRAAKLDAIKSGLDFKFKNVDEEVIESGEMGKTKMANYLVSTIKLLEKVAYGDAQYLKKTNLKIHAKTAMFQAIAKIFFVRDQETDVDPPIDTQPEYRKVLWLLVESVLGFNPTRFNEMSIHRPYGLARMMETFNRLDRFSM
+>sp|P10909|CLUS_HUMAN Clusterin OS=Homo sapiens OX=9606 GN=CLU PE=1 SV=1
+MMKTLLLFVGLLLTWESGQVLGDQTVSDNELQEMSNQGSKYVNKEIQNAVNGVKQIKTLIEKTNEERKTLLSNLEEAKKKKEDALNETRESETKLKELPGVCNETMMALWEECKPCLKQTCMKFYARVCRSGSGLVGRQLEEFLNQSSPFYFWMNGDRIDSLLENDRQQTHMLDVMQDHFSRASSIIDELFQDRFFTREPQDTYHYLPFSLPHRRPHFFFPKSRIVRSLMPFSPYEPLNFHAMFQPFLEMIHEAQQAMDIHFHSPAFQHPPTEFIREGDDDRTVCREIRHNSTGCLRMKDQCDKCREILSVDCSTNNPSQAKLRRELDESLQVAERLTRKYNELLKSYQWKMLNTSSLLEQLNEQFNWVSRLANLTQGEDQYYLRVTTVASHTSDSDVPSGVTEVVVKLFDSDPITVTVPVEVSRKNPKFMETVAEKALQEYRKKHREE
+>DECOY_sp|P10909|CLUS_HUMAN Clusterin OS=Homo sapiens OX=9606 GN=CLU PE=1 SV=1
+EERHKKRYEQLAKEAVTEMFKPNKRSVEVPVTVTIPDSDFLKVVVETVGSPVDSDSTHSAVTTVRLYYQDEGQTLNALRSVWNFQENLQELLSSTNLMKWQYSKLLENYKRTLREAVQLSEDLERRLKAQSPNNTSCDVSLIERCKDCQDKMRLCGTSNHRIERCVTRDDDGERIFETPPHQFAPSHFHIDMAQQAEHIMELFPQFMAHFNLPEYPSFPMLSRVIRSKPFFFHPRRHPLSFPLYHYTDQPERTFFRDQFLEDIISSARSFHDQMVDLMHTQQRDNELLSDIRDGNMWFYFPSSQNLFEELQRGVLGSGSRCVRAYFKMCTQKLCPKCEEWLAMMTENCVGPLEKLKTESERTENLADEKKKKAEELNSLLTKREENTKEILTKIQKVGNVANQIEKNVYKSGQNSMEQLENDSVTQDGLVQGSEWTLLLGVFLLLTKMM
+>sp|Q17RA5|CMAS1_HUMAN Putative uncharacterized protein C21orf62-AS1 OS=Homo sapiens OX=9606 GN=C21orf62-AS1 PE=5 SV=1
+MHHVRQLMMPICPMALNSTTSSSTTFGAFRIMTLNVEEWATAWKVLILLEAAVEEEKRSEEKRILVCGTCGTRSSQKNL
+>DECOY_sp|Q17RA5|CMAS1_HUMAN Putative uncharacterized protein C21orf62-AS1 OS=Homo sapiens OX=9606 GN=C21orf62-AS1 PE=5 SV=1
+LNKQSSRTGCTGCVLIRKEESRKEEEVAAELLILVKWATAWEEVNLTMIRFAGFTTSSSTTSNLAMPCIPMMLQRVHHM
+>sp|Q86TU6|CN070_HUMAN Putative uncharacterized protein encoded by LINC00523 OS=Homo sapiens OX=9606 GN=LINC00523 PE=5 SV=1
+MGIGTGHTSMNKGGKDVTLLELSVEKRRWRINMETSKIILEKMQSDDVLDGNRERSNEREGRDSLSEKLKSKQNLKDEEKLRYIKTGKSIQVEGTVRAKALRWVQ
+>DECOY_sp|Q86TU6|CN070_HUMAN Putative uncharacterized protein encoded by LINC00523 OS=Homo sapiens OX=9606 GN=LINC00523 PE=5 SV=1
+QVWRLAKARVTGEVQISKGTKIYRLKEEDKLNQKSKLKESLSDRGERENSRERNGDLVDDSQMKELIIKSTEMNIRWRRKEVSLELLTVDKGGKNMSTHGTGIGM
+>sp|Q9H972|CN093_HUMAN Uncharacterized protein C14orf93 OS=Homo sapiens OX=9606 GN=C14orf93 PE=1 SV=1
+MSFSATILFSPPSGSEARCCCCACKSETNGGNTGSQGGNPPPSTPITVTGHGLAVQSSEQLLHVIYQRVDKAVGLAEAALGLARANNELLKRLQEEVGDLRQGKVSIPDEDGESRAHSSPPEEPGPLKESPGEAFKALSAVEEECDSVGSGVQVVIEELRQLGAASVGPGPLGFPATQRDMRLPGCTLAASEAAPLLNPLVDDYVASEGAVQRVLVPAYAKQLSPATQLAIQRATPETGPENGTKLPPPRPEDMLNAAAALDSALEESGPGSTGELRHSLGLTVSPCRTRGSGQKNSRRKRDLVLSKLVHNVHNHITNDKRFNGSESIKSSWNISVVKFLLEKLKQELVTSPHNYTDKELKGACVAYFLTKRREYRNSLNPFKGLKEKEEKKLRSRRYRLFANRSSIMRHFGPEDQRLWNDVTEELMSDEEDSLNEPGVWVARPPRFRAQRLTELCYHLDANSKHGTKANRVYGPPSDRLPSAEAQLLPPELYNPNFQEEEDEGGDENAPGSPSFDQPHKTCCPDLNSFIEIKVEKDE
+>DECOY_sp|Q9H972|CN093_HUMAN Uncharacterized protein C14orf93 OS=Homo sapiens OX=9606 GN=C14orf93 PE=1 SV=1
+EDKEVKIEIFSNLDPCCTKHPQDFSPSGPANEDGGEDEEEQFNPNYLEPPLLQAEASPLRDSPPGYVRNAKTGHKSNADLHYCLETLRQARFRPPRAVWVGPENLSDEEDSMLEETVDNWLRQDEPGFHRMISSRNAFLRYRRSRLKKEEKEKLGKFPNLSNRYERRKTLFYAVCAGKLEKDTYNHPSTVLEQKLKELLFKVVSINWSSKISESGNFRKDNTIHNHVNHVLKSLVLDRKRRSNKQGSGRTRCPSVTLGLSHRLEGTSGPGSEELASDLAAAANLMDEPRPPPLKTGNEPGTEPTARQIALQTAPSLQKAYAPVLVRQVAGESAVYDDVLPNLLPAAESAALTCGPLRMDRQTAPFGLPGPGVSAAGLQRLEEIVVQVGSGVSDCEEEVASLAKFAEGPSEKLPGPEEPPSSHARSEGDEDPISVKGQRLDGVEEQLRKLLENNARALGLAAEALGVAKDVRQYIVHLLQESSQVALGHGTVTIPTSPPPNGGQSGTNGGNTESKCACCCCRAESGSPPSFLITASFSM
+>sp|Q86U02|CN165_HUMAN Putative uncharacterized protein encoded by LINC00596 OS=Homo sapiens OX=9606 GN=LINC00596 PE=5 SV=1
+MFTLLLSNYYSRLEGWMMDNNFSHHWKGMFPTETESTVFCLFVYLFIFVFETASGFVAQTGVHWCNLGSLQPLPPGFKRFSCLSLPSSLDYRHAPPCLANFYIFSGDRVSPCWPDWS
+>DECOY_sp|Q86U02|CN165_HUMAN Putative uncharacterized protein encoded by LINC00596 OS=Homo sapiens OX=9606 GN=LINC00596 PE=5 SV=1
+SWDPWCPSVRDGSFIYFNALCPPAHRYDLSSPLSLCSFRKFGPPLPQLSGLNCWHVGTQAVFGSATEFVFIFLYVFLCFVTSETETPFMGKWHHSFNNDMMWGELRSYYNSLLLTFM
+>sp|Q96M20|CNBD2_HUMAN Cyclic nucleotide-binding domain-containing protein 2 OS=Homo sapiens OX=9606 GN=CNBD2 PE=2 SV=2
+MRRHMVTYAWQLLKKELGLYQLAMDIIIMIRVCKMFRQGLRGFREYQIIETAHWKHPIFSFWDKKMQSRVTFDTMDFIAEEGHFPPKAIQIMQKKPSWRTEDEIQAVCNILQVLDSYRNYAEPLQLLLAKVMRFERFGRRRVIIKKGQKGNSFYFIYLGTVAITKDEDGSSAFLDPHPKLLHKGSCFGEMDVLHASVRRSTIVCMEETEFLVVDREDFFANKLDQEVQKDAQYRFEFFRKMELFASWSDEKLWQLVAMAKIERFSYGQLISKDFGESPFIMFISKGSCEVLRLLDLGASPSYRRWIWQHLELIDGRPLKTHLSEYSPMERFKEFQIKSYPLQDFSSLKLPHLKKAWGLQGTSFSRKIRTSGDTLPKMLGPKIQSRPAQSIKCAMINIKPGELPKEAAVGAYVKVHTVEQGEILGLHQAFLPEGECDTRPLILMSLGNELIRIRKEIFYELIDNDDEMIKKLLKLNIAFPSDEDMCQKFLQQNSWNIFRKDLLQLLVEPCQSQLFTPNRPKKREIYNPKSVVLDLCSINKTTKPRYPIFMAPQKYLPPLRIVQAIKAPRYKIRELLA
+>DECOY_sp|Q96M20|CNBD2_HUMAN Cyclic nucleotide-binding domain-containing protein 2 OS=Homo sapiens OX=9606 GN=CNBD2 PE=2 SV=2
+ALLERIKYRPAKIAQVIRLPPLYKQPAMFIPYRPKTTKNISCLDLVVSKPNYIERKKPRNPTFLQSQCPEVLLQLLDKRFINWSNQQLFKQCMDEDSPFAINLKLLKKIMEDDNDILEYFIEKRIRILENGLSMLILPRTDCEGEPLFAQHLGLIEGQEVTHVKVYAGVAAEKPLEGPKINIMACKISQAPRSQIKPGLMKPLTDGSTRIKRSFSTGQLGWAKKLHPLKLSSFDQLPYSKIQFEKFREMPSYESLHTKLPRGDILELHQWIWRRYSPSAGLDLLRLVECSGKSIFMIFPSEGFDKSILQGYSFREIKAMAVLQWLKEDSWSAFLEMKRFFEFRYQADKQVEQDLKNAFFDERDVVLFETEEMCVITSRRVSAHLVDMEGFCSGKHLLKPHPDLFASSGDEDKTIAVTGLYIFYFSNGKQGKKIIVRRRGFREFRMVKALLLQLPEAYNRYSDLVQLINCVAQIEDETRWSPKKQMIQIAKPPFHGEEAIFDMTDFTVRSQMKKDWFSFIPHKWHATEIIQYERFGRLGQRFMKCVRIMIIIDMALQYLGLEKKLLQWAYTVMHRRM
+>sp|Q9NSA3|CNBP1_HUMAN Beta-catenin-interacting protein 1 OS=Homo sapiens OX=9606 GN=CTNNBIP1 PE=1 SV=1
+MNREGAPGKSPEEMYIQQKVRVLLMLRKMGSNLTASEEEFLRTYAGVVNSQLSQLPPHSIDQGAEDVVMAFSRSETEDRRQ
+>DECOY_sp|Q9NSA3|CNBP1_HUMAN Beta-catenin-interacting protein 1 OS=Homo sapiens OX=9606 GN=CTNNBIP1 PE=1 SV=1
+QRRDETESRSFAMVVDEAGQDISHPPLQSLQSNVVGAYTRLFEEESATLNSGMKRLMLLVRVKQQIYMEEPSKGPAGERNM
+>sp|P62633|CNBP_HUMAN Cellular nucleic acid-binding protein OS=Homo sapiens OX=9606 GN=CNBP PE=1 SV=1
+MSSNECFKCGRSGHWARECPTGGGRGRGMRSRGRGGFTSDRGFQFVSSSLPDICYRCGESGHLAKDCDLQEDACYNCGRGGHIAKDCKEPKREREQCCYNCGKPGHLARDCDHADEQKCYSCGEFGHIQKDCTKVKCYRCGETGHVAINCSKTSEVNCYRCGESGHLARECTIEATA
+>DECOY_sp|P62633|CNBP_HUMAN Cellular nucleic acid-binding protein OS=Homo sapiens OX=9606 GN=CNBP PE=1 SV=1
+ATAEITCERALHGSEGCRYCNVESTKSCNIAVHGTEGCRYCKVKTCDKQIHGFEGCSYCKQEDAHDCDRALHGPKGCNYCCQERERKPEKCDKAIHGGRGCNYCADEQLDCDKALHGSEGCRYCIDPLSSSVFQFGRDSTFGGRGRSRMGRGRGGGTPCERAWHGSRGCKFCENSSM
+>sp|Q96KN2|CNDP1_HUMAN Beta-Ala-His dipeptidase OS=Homo sapiens OX=9606 GN=CNDP1 PE=1 SV=4
+MDPKLGRMAASLLAVLLLLLERGMFSSPSPPPALLEKVFQYIDLHQDEFVQTLKEWVAIESDSVQPVPRFRQELFRMMAVAADTLQRLGARVASVDMGPQQLPDGQSLPIPPIILAELGSDPTKGTVCFYGHLDVQPADRGDGWLTDPYVLTEVDGKLYGRGATDNKGPVLAWINAVSAFRALEQDLPVNIKFIIEGMEEAGSVALEELVEKEKDRFFSGVDYIVISDNLWISQRKPAITYGTRGNSYFMVEVKCRDQDFHSGTFGGILHEPMADLVALLGSLVDSSGHILVPGIYDEVVPLTEEEINTYKAIHLDLEEYRNSSRVEKFLFDTKEEILMHLWRYPSLSIHGIEGAFDEPGTKTVIPGRVIGKFSIRLVPHMNVSAVEKQVTRHLEDVFSKRNSSNKMVVSMTLGLHPWIANIDDTQYLAAKRAIRTVFGTEPDMIRDGSTIPIAKMFQEIVHKSVVLIPLGAVDDGEHSQNEKINRWNYIEGTKLFAAFFLEMAQLH
+>DECOY_sp|Q96KN2|CNDP1_HUMAN Beta-Ala-His dipeptidase OS=Homo sapiens OX=9606 GN=CNDP1 PE=1 SV=4
+HLQAMELFFAAFLKTGEIYNWRNIKENQSHEGDDVAGLPILVVSKHVIEQFMKAIPITSGDRIMDPETGFVTRIARKAALYQTDDINAIWPHLGLTMSVVMKNSSNRKSFVDELHRTVQKEVASVNMHPVLRISFKGIVRGPIVTKTGPEDFAGEIGHISLSPYRWLHMLIEEKTDFLFKEVRSSNRYEELDLHIAKYTNIEEETLPVVEDYIGPVLIHGSSDVLSGLLAVLDAMPEHLIGGFTGSHFDQDRCKVEVMFYSNGRTGYTIAPKRQSIWLNDSIVIYDVGSFFRDKEKEVLEELAVSGAEEMGEIIFKINVPLDQELARFASVANIWALVPGKNDTAGRGYLKGDVETLVYPDTLWGDGRDAPQVDLHGYFCVTGKTPDSGLEALIIPPIPLSQGDPLQQPGMDVSAVRAGLRQLTDAAVAMMRFLEQRFRPVPQVSDSEIAVWEKLTQVFEDQHLDIYQFVKELLAPPPSPSSFMGRELLLLLVALLSAAMRGLKPDM
+>sp|Q5K131|CLLU1_HUMAN Chronic lymphocytic leukemia up-regulated protein 1 OS=Homo sapiens OX=9606 GN=CLLU1 PE=2 SV=1
+MFNKCSFHSSIYRPAADNSASSLCAIICFLNLVIECDLETNSEINKLIIYLFSQNNRIRFSKLLLKILFYISIFSYPELMCEQYVTFIKPGIHYGQVSKKHIIYSTFLSKNFKFQLLRVCW
+>DECOY_sp|Q5K131|CLLU1_HUMAN Chronic lymphocytic leukemia up-regulated protein 1 OS=Homo sapiens OX=9606 GN=CLLU1 PE=2 SV=1
+WCVRLLQFKFNKSLFTSYIIHKKSVQGYHIGPKIFTVYQECMLEPYSFISIYFLIKLLLKSFRIRNNQSFLYIILKNIESNTELDCEIVLNLFCIIACLSSASNDAAPRYISSHFSCKNFM
+>sp|Q9H6B4|CLMP_HUMAN CXADR-like membrane protein OS=Homo sapiens OX=9606 GN=CLMP PE=1 SV=1
+MSLLLLLLLVSYYVGTLGTHTEIKRVAEEKVTLPCHHQLGLPEKDTLDIEWLLTDNEGNQKVVITYSSRHVYNNLTEEQKGRVAFASNFLAGDASLQIEPLKPSDEGRYTCKVKNSGRYVWSHVILKVLVRPSKPKCELEGELTEGSDLTLQCESSSGTEPIVYYWQRIREKEGEDERLPPKSRIDYNHPGRVLLQNLTMSYSGLYQCTAGNEAGKESCVVRVTVQYVQSIGMVAGAVTGIVAGALLIFLLVWLLIRRKDKERYEEEERPNEIREDAEAPKARLVKPSSSSSGSRSSRSGSSSTRSTANSASRSQRTLSTDAAPQPGLATQAYSLVGPEVRGSEPKKVHHANLTKAETTPSMIPSQSRAFQTV
+>DECOY_sp|Q9H6B4|CLMP_HUMAN CXADR-like membrane protein OS=Homo sapiens OX=9606 GN=CLMP PE=1 SV=1
+VTQFARSQSPIMSPTTEAKTLNAHHVKKPESGRVEPGVLSYAQTALGPQPAADTSLTRQSRSASNATSRTSSSGSRSSRSGSSSSSPKVLRAKPAEADERIENPREEEEYREKDKRRILLWVLLFILLAGAVIGTVAGAVMGISQVYQVTVRVVCSEKGAENGATCQYLGSYSMTLNQLLVRGPHNYDIRSKPPLREDEGEKERIRQWYYVIPETGSSSECQLTLDSGETLEGELECKPKSPRVLVKLIVHSWVYRGSNKVKCTYRGEDSPKLPEIQLSADGALFNSAFAVRGKQEETLNNYVHRSSYTIVVKQNGENDTLLWEIDLTDKEPLGLQHHCPLTVKEEAVRKIETHTGLTGVYYSVLLLLLLLSM
+>sp|Q8IVM7|CM029_HUMAN Putative uncharacterized protein encoded by LINC00346 OS=Homo sapiens OX=9606 GN=LINC00346 PE=5 SV=1
+MRPQPRGGSGRKENTGEEREGRAERYHAISADGERRLQPGTAVLTRHVSFLFGGREAEEHVMETDRVEGARDGDKRKEVCFVPIQGSFCFMRLTCARLVAALDIHGLSFSLRFCGSRDTKHEDITGREGQVTPLPRGTPQLCTARVGLSSPRTRGQGVPISCKT
+>DECOY_sp|Q8IVM7|CM029_HUMAN Putative uncharacterized protein encoded by LINC00346 OS=Homo sapiens OX=9606 GN=LINC00346 PE=5 SV=1
+TKCSIPVGQGRTRPSSLGVRATCLQPTGRPLPTVQGERGTIDEHKTDRSGCFRLSFSLGHIDLAAVLRACTLRMFCFSGQIPVFCVEKRKDGDRAGEVRDTEMVHEEAERGGFLFSVHRTLVATGPQLRREGDASIAHYREARGEREEGTNEKRGSGGRPQPRM
+>sp|P23946|CMA1_HUMAN Chymase OS=Homo sapiens OX=9606 GN=CMA1 PE=1 SV=1
+MLLLPLPLLLFLLCSRAEAGEIIGGTECKPHSRPYMAYLEIVTSNGPSKFCGGFLIRRNFVLTAAHCAGRSITVTLGAHNITEEEDTWQKLEVIKQFRHPKYNTSTLHHDIMLLKLKEKASLTLAVGTLPFPSQFNFVPPGRMCRVAGWGRTGVLKPGSDTLQEVKLRLMDPQACSHFRDFDHNLQLCVGNPRKTKSAFKGDSGGPLLCAGVAQGIVSYGRSDAKPPAVFTRISHYRPWINQILQAN
+>DECOY_sp|P23946|CMA1_HUMAN Chymase OS=Homo sapiens OX=9606 GN=CMA1 PE=1 SV=1
+NAQLIQNIWPRYHSIRTFVAPPKADSRGYSVIGQAVGACLLPGGSDGKFASKTKRPNGVCLQLNHDFDRFHSCAQPDMLRLKVEQLTDSGPKLVGTRGWGAVRCMRGPPVFNFQSPFPLTGVALTLSAKEKLKLLMIDHHLTSTNYKPHRFQKIVELKQWTDEEETINHAGLTVTISRGACHAATLVFNRRILFGGCFKSPGNSTVIELYAMYPRSHPKCETGGIIEGAEARSCLLFLLLPLPLLLM
+>sp|P56277|CMC4_HUMAN Cx9C motif-containing protein 4 OS=Homo sapiens OX=9606 GN=CMC4 PE=1 SV=1
+MPQKDPCQKQACEIQKCLQANSYMESKCQAVIQELRKCCAQYPKGRSVVCSGFEKEEEENLTRKSASK
+>DECOY_sp|P56277|CMC4_HUMAN Cx9C motif-containing protein 4 OS=Homo sapiens OX=9606 GN=CMC4 PE=1 SV=1
+KSASKRTLNEEEEKEFGSCVVSRGKPYQACCKRLEQIVAQCKSEMYSNAQLCKQIECAQKQCPDKQPM
+>sp|Q8IY22|CMIP_HUMAN C-Maf-inducing protein OS=Homo sapiens OX=9606 GN=CMIP PE=1 SV=3
+MDVTSSSGGGGDPRQIEETKPLLGGDVSAPEGTKMGAVPCRRALLLCNGMRYKLLQEGDIQVCVIRHPRTFLSKILTSKFLRRWEPHHLTLADNSLASATPTGYMENSVSYSAIEDVQLLSWENAPKYCLQLTIPGGTVLLQAANSYLRDQWFHSLQWKKKIYKYKKVLSNPSRWEVVLKEIRTLVDMALTSPLQDDSINQAPLEIVSKLLSENTNLTTQEHENIIVAIAPLLENNHPPPDLCEFFCKHCRERPRSMVVIEVFTPVVQRILKHNMDFGKCPRLRLFTQEYILALNELNAGMEVVKKFIQSMHGPTGHCPHPRVLPNLVAVCLAAIYSCYEEFINSRDNSPSLKEIRNGCQQPCDRKPTLPLRLLHPSPDLVSQEATLSEARLKSVVVASSEIHVEVERTSTAKPALTASAGNDSEPNLIDCLMVSPACSTMSIELGPQADRTLGCYVEILKLLSDYDDWRPSLASLLQPIPFPKEALAHEKFTKELKYVIQRFAEDPRQEVHSCLLSVRAGKDGWFQLYSPGGVACDDDGELFASMVHILMGSCYKTKKFLLSLAENKLGPCMLLALRGNQTMVEILCLMLEYNIIDNNDTQLQIISTLESTDVGKRMYEQLCDRQRELKELQRKGGPTRLTLPSKSTDADLARLLSSGSFGNLENLSLAFTNVTSACAEHLIKLPSLKQLNLWSTQFGDAGLRLLSEHLTMLQVLNLCETPVTDAGLLALSSMKSLCSLNMNSTKLSADTYEDLKAKLPNLKEVDVRYTEAW
+>DECOY_sp|Q8IY22|CMIP_HUMAN C-Maf-inducing protein OS=Homo sapiens OX=9606 GN=CMIP PE=1 SV=3
+WAETYRVDVEKLNPLKAKLDEYTDASLKTSNMNLSCLSKMSSLALLGADTVPTECLNLVQLMTLHESLLRLGADGFQTSWLNLQKLSPLKILHEACASTVNTFALSLNELNGFSGSSLLRALDADTSKSPLTLRTPGGKRQLEKLERQRDCLQEYMRKGVDTSELTSIIQLQTDNNDIINYELMLCLIEVMTQNGRLALLMCPGLKNEALSLLFKKTKYCSGMLIHVMSAFLEGDDDCAVGGPSYLQFWGDKGARVSLLCSHVEQRPDEAFRQIVYKLEKTFKEHALAEKPFPIPQLLSALSPRWDDYDSLLKLIEVYCGLTRDAQPGLEISMTSCAPSVMLCDILNPESDNGASATLAPKATSTREVEVHIESSAVVVSKLRAESLTAEQSVLDPSPHLLRLPLTPKRDCPQQCGNRIEKLSPSNDRSNIFEEYCSYIAALCVAVLNPLVRPHPCHGTPGHMSQIFKKVVEMGANLENLALIYEQTFLRLRPCKGFDMNHKLIRQVVPTFVEIVVMSRPRERCHKCFFECLDPPPHNNELLPAIAVIINEHEQTTLNTNESLLKSVIELPAQNISDDQLPSTLAMDVLTRIEKLVVEWRSPNSLVKKYKYIKKKWQLSHFWQDRLYSNAAQLLVTGGPITLQLCYKPANEWSLLQVDEIASYSVSNEMYGTPTASALSNDALTLHHPEWRRLFKSTLIKSLFTRPHRIVCVQIDGEQLLKYRMGNCLLLARRCPVAGMKTGEPASVDGGLLPKTEEIQRPDGGGGSSSTVDM
+>sp|Q7Z624|CMKMT_HUMAN Calmodulin-lysine N-methyltransferase OS=Homo sapiens OX=9606 GN=CAMKMT PE=1 SV=2
+MESRVADAGTGETARAAGGSPAVGCTTRGPVVSAPLGAARWKLLRQVLKQKHLDDCLRHVSVRRFESFNLFSVTEGKERETEEEVGAWVQYTSIFCPEYSISLRHNSGSLNVEDVLTSFDNTGNVCIWPSEEVLAYYCLKHNNIFRALAVCELGGGMTCLAGLMVAISADVKEVLLTDGNEKAIRNVQDIITRNQKAGVFKTQKISSCVLRWDNETDVSQLEGHFDIVMCADCLFLDQYRASLVDAIKRLLQPRGKAMVFAPRRGNTLNQFCNLAEKAGFCIQRHENYDEHISNFHSKLKKENPDIYEENLHYPLLLILTKHG
+>DECOY_sp|Q7Z624|CMKMT_HUMAN Calmodulin-lysine N-methyltransferase OS=Homo sapiens OX=9606 GN=CAMKMT PE=1 SV=2
+GHKTLILLLPYHLNEEYIDPNEKKLKSHFNSIHEDYNEHRQICFGAKEALNCFQNLTNGRRPAFVMAKGRPQLLRKIADVLSARYQDLFLCDACMVIDFHGELQSVDTENDWRLVCSSIKQTKFVGAKQNRTIIDQVNRIAKENGDTLLVEKVDASIAVMLGALCTMGGGLECVALARFINNHKLCYYALVEESPWICVNGTNDFSTLVDEVNLSGSNHRLSISYEPCFISTYQVWAGVEEETEREKGETVSFLNFSEFRRVSVHRLCDDLHKQKLVQRLLKWRAAGLPASVVPGRTTCGVAPSGGAARATEGTGADAVRSEM
+>sp|Q8N3K9|CMYA5_HUMAN Cardiomyopathy-associated protein 5 OS=Homo sapiens OX=9606 GN=CMYA5 PE=1 SV=3
+MASRDSNHAGESFLGSDGDEEATRELETEEESEGEEDETAAESEEEPDSRLSDQDEEGKIKQEYIISDPSFSMVTVQREDSGITWETNSSRSSTPWASEESQTSGVCSREGSTVNSPPGNVSFIVDEVKKVRKRTHKSKHGSPSLRRKGNRKRNSFESQDVPTNKKGSPLTSASQVLTTEKEKSYTGIYDKARKKKTTSNTPPITGAIYKEHKPLVLRPVYIGTVQYKIKMFNSVKEELIPLQFYGTLPKGYVIKEIHYRKGKDASISLEPDLDNSGSNTVSKTRKLVAQSIEDKVKEVFPPWRGALSKGSESLTLMFSHEDQKKIYADSPLNATSALEHTVPSYSSSGRAEQGIQLRHSQSVPQQPEDEAKPHEVEPPSVTPDTPATMFLRTTKEECELASPGTAASENDSSVSPSFANEVKKEDVYSAHHSISLEAASPGLAASTQDGLDPDQEQPDLTSIERAEPVSAKLTPTHPSVKGEKEENMLEPSISLSEPLMLEEPEKEEIETSLPIAITPEPEDSNLVEEEIVELDYPESPLVSEKPFPPHMSPEVEHKEEELILPLLAASSPEHVALSEEEREEIASVSTGSAFVSEYSVPQDLNHELQEQEGEPVPPSNVEAIAEHAVLSEEENEEFEAYSPAAAPTSESSLSPSTTEKTSENQSPLFSTVTPEYMVLSGDEASESGCYTPDSTSASEYSVPSLATKESLKKTIDRKSPLILKGVSEYMIPSEEKEDTGSFTPAVAPASEPSLSPSTTEKTSECQSPLPSTATSEHVVPSEGEDLGSERFTPDSKLISKYAAPLNATQESQKKIINEASQFKPKGISEHTVLSVDGKEVIGPSSPDLVVASEHSFPPHTTEMTSECQAPPLSATPSEYVVLSDEEAVELERYTPSSTSASEFSVPPYATPEAQEEEIVHRSLNLKGASSPMNLSEEDQEDIGPFSPDSAFVSEFSFPPYATQEAEKREFECDSPICLTSPSEHTILSDEDTEEAELFSPDSASQVSIPPFRISETEKNELEPDSLLTAVSASGYSCFSEADEEDIGSTAATPVSEQFSSSQKQKAETFPLMSPLEDLSLPPSTDKSEKAEIKPEIPTTSTSVSEYLILAQKQKTQAYLEPESEDLIPSHLTSEVEKGEREASSSVAAIPAALPAQSSIVKEETKPASPHSVLPDSVPAIKKEQEPTAALTLKAADEQMALSKVRKEEIVPDSQEATAHVSQDQKMEPQPPNVPESEMKYSVLPDMVDEPKKGVKPKLVLNVTSELEQRKLSKNEPEVIKPYSPLKETSLSGPEALSAVKMEMKHDSKITTTPIVLHSASSGVEKQVEHGPPALAFSALSEEIKKEIEPSSSTTTASVTKLDSNLTRAVKEEIPTDSSLITPVDRPVLTKVGKGELGSGLPPLVTSADEHSVLAEEDKVAIKGASPIETSSKHLAWSEAEKEIKFDSLPSVSSIAEHSVLSEVEAKEVKAGLPVIKTSSSQHSDKSEEARVEDKQDLLFSTVCDSERLVSSQKKSLMSTSEVLEPEHELPLSLWGEIKKKETELPSSQNVSPASKHIIPKGKDEETASSSPELENLASGLAPTLLLLSDDKNKPAVEVSSTAQGDFPSEKQDVALAELSLEPEKKDKPHQPLELPNAGSEFSSDLGRQSGSIGTKQAKSPITETEDSVLEKGPAELRSREGKEENRELCASSTMPAISELSSLLREESQNEEIKPFSPKIISLESKEPPASVAEGGNPEEFQPFTFSLKGLSEEVSHPADFKKGGNQEIGPLPPTGNLKAQVMGDILDKLSEETGHPNSSQVLQSITEPSKIAPSDLLVEQKKTEKALHSDQTVKLPDVSTSSEDKQDLGIKQFSLMRENLPLEQSKSFMTTKPADVKETKMEEFFISPKDENWMLGKPENVASQHEQRIAGSVQLDSSSSNELRPGQLKAAVSSKDHTCEVRKQVLPHSAEESHLSSQEAVSALDTSSGNTETLSSKSYSSEEVKLAEEPKSLVLAGNVERNIAEGKEIHSLMESESLLLEKANTELSWPSKEDSQEKIKLPPERFFQKPVSGLSVEQVKSETISSSVKTAHFPAEGVEPALGNEKEAHRSTPPFPEEKPLEESKMVQSKVIDDADEGKKPSPEVKIPTQRKPISSIHAREPQSPESPEVTQNPPTQPKVAKPDLPEEKGKKGISSFKSWMSSLFFGSSTPDNKVAEQEDLETQPSPSVEKAVTVIDPEGTIPTNFNVAEKPADHSLSEVKLKTADEPRGTLVKSGDGQNVKEKSMILSNVEDLQQPKFISEVSREDYGKKEISGDSEEMNINSVVTSADGENLEIQSYSLIGEKLVMEEAKTIVPPHVTDSKRVQKPAIAPPSKWNISIFKEEPRSDQKQKSLLSFDVVDKVPQQPKSASSNFASKNITKESEKPESIILPVEESKGSLIDFSEDRLKKEMQNPTSLKISEEETKLRSVSPTEKKDNLENRSYTLAEKKVLAEKQNSVAPLELRDSNEIGKTQITLGSRSTELKESKADAMPQHFYQNEDYNERPKIIVGSEKEKGEEKENQVYVLSEGKKQQEHQPYSVNVAESMSRESDISLGHSLGETQSFSLVKATSVTEKSEAMLAEAHPEIREAKAVGTQPHPLEESKVLVEKTKTFLPVALSCRDEIENHSLSQEGNLVLEKSSRDMPDHSEEKEQFRESELSKGGSVDITKETVKQGFQEKAVGTQPRPLEESKVLVEKTKTFLPVVLSCHDEIENHSLSQEGNLVLEKSSRDMPDHSEEKEQFKESELWKGGSVDITKESMKEGFPSKESERTLARPFDETKSSETPPYLLSPVKPQTLASGASPEINAVKKKEMPRSELTPERHTVHTIQTSKDDTSDVPKQSVLVSKHHLEAAEDTRVKEPLSSAKSNYAQFISNTSASNADKMVSNKEMPKEPEDTYAKGEDFTVTSKPAGLSEDQKTAFSIISEGCEILNIHAPAFISSIDQEESEQMQDKLEYLEEKASFKTIPLPDDSETVACHKTLKSRLEDEKVTPLKENKQKETHKTKEEISTDSETDLSFIQPTIPSEEDYFEKYTLIDYNISPDPEKQKAPQKLNVEEKLSKEVTEETISFPVSSVESALEHEYDLVKLDESFYGPEKGHNILSHPETQSQNSADRNVSKDTKRDVDSKSPGMPLFEAEEGVLSRTQIFPTTIKVIDPEFLEEPPALAFLYKDLYEEAVGEKKKEEETASEGDSVNSEASFPSRNSDTDDGTGIYFEKYILKDDILHDTSLTQKDQGQGLEEKRVGKDDSYQPIAAEGEIWGKFGTICREKSLEEQKGVYGEGESVDHVETVGNVAMQKKAPITEDVRVATQKISYAVPFEDTHHVLERADEAGSHGNEVGNASPEVNLNVPVQVSFPEEEFASGATHVQETSLEEPKILVPPEPSEERLRNSPVQDEYEFTESLHNEVVPQDILSEELSSESTPEDVLSQGKESFEHISENEFASEAEQSTPAEQKELGSERKEEDQLSSEVVTEKAQKELKKSQIDTYCYTCKCPISATDKVFGTHKDHEVSTLDTAISAVKVQLAEFLENLQEKSLRIEAFVSEIESFFNTIEENCSKNEKRLEEQNEEMMKKVLAQYDEKAQSFEEVKKKKMEFLHEQMVHFLQSMDTAKDTLETIVREAEELDEAVFLTSFEEINERLLSAMESTASLEKMPAAFSLFEHYDDSSARSDQMLKQVAVPQPPRLEPQEPNSATSTTIAVYWSMNKEDVIDSFQVYCMEEPQDDQEVNELVEEYRLTVKESYCIFEDLEPDRCYQVWVMAVNFTGCSLPSERAIFRTAPSTPVIRAEDCTVCWNTATIRWRPTTPEATETYTLEYCRQHSPEGEGLRSFSGIKGLQLKVNLQPNDNYFFYVRAINAFGTSEQSEAALISTRGTRFLLLRETAHPALHISSSGTVISFGERRRLTEIPSVLGEELPSCGQHYWETTVTDCPAYRLGICSSSAVQAGALGQGETSWYMHCSEPQRYTFFYSGIVSDVHVTERPARVGILLDYNNQRLIFINAESEQLLFIIRHRFNEGVHPAFALEKPGKCTLHLGIEPPDSVRHK
+>DECOY_sp|Q8N3K9|CMYA5_HUMAN Cardiomyopathy-associated protein 5 OS=Homo sapiens OX=9606 GN=CMYA5 PE=1 SV=3
+KHRVSDPPEIGLHLTCKGPKELAFAPHVGENFRHRIIFLLQESEANIFILRQNNYDLLIGVRAPRETVHVDSVIGSYFFTYRQPESCHMYWSTEGQGLAGAQVASSSCIGLRYAPCDTVTTEWYHQGCSPLEEGLVSPIETLRRREGFSIVTGSSSIHLAPHATERLLLFRTGRTSILAAESQESTGFANIARVYFFYNDNPQLNVKLQLGKIGSFSRLGEGEPSHQRCYELTYTETAEPTTPRWRITATNWCVTCDEARIVPTSPATRFIARESPLSCGTFNVAMVWVQYCRDPELDEFICYSEKVTLRYEEVLENVEQDDQPEEMCYVQFSDIVDEKNMSWYVAITTSTASNPEQPELRPPQPVAVQKLMQDSRASSDDYHEFLSFAAPMKELSATSEMASLLRENIEEFSTLFVAEDLEEAERVITELTDKATDMSQLFHVMQEHLFEMKKKKVEEFSQAKEDYQALVKKMMEENQEELRKENKSCNEEITNFFSEIESVFAEIRLSKEQLNELFEALQVKVASIATDLTSVEHDKHTGFVKDTASIPCKCTYCYTDIQSKKLEKQAKETVVESSLQDEEKRESGLEKQEAPTSQEAESAFENESIHEFSEKGQSLVDEPTSESSLEESLIDQPVVENHLSETFEYEDQVPSNRLREESPEPPVLIKPEELSTEQVHTAGSAFEEEPFSVQVPVNLNVEPSANGVENGHSGAEDARELVHHTDEFPVAYSIKQTAVRVDETIPAKKQMAVNGVTEVHDVSEGEGYVGKQEELSKERCITGFKGWIEGEAAIPQYSDDKGVRKEELGQGQDKQTLSTDHLIDDKLIYKEFYIGTGDDTDSNRSPFSAESNVSDGESATEEEKKKEGVAEEYLDKYLFALAPPEELFEPDIVKITTPFIQTRSLVGEEAEFLPMGPSKSDVDRKTDKSVNRDASNQSQTEPHSLINHGKEPGYFSEDLKVLDYEHELASEVSSVPFSITEETVEKSLKEEVNLKQPAKQKEPDPSINYDILTYKEFYDEESPITPQIFSLDTESDTSIEEKTKHTEKQKNEKLPTVKEDELRSKLTKHCAVTESDDPLPITKFSAKEELYELKDQMQESEEQDISSIFAPAHINLIECGESIISFATKQDESLGAPKSTVTFDEGKAYTDEPEKPMEKNSVMKDANSASTNSIFQAYNSKASSLPEKVRTDEAAELHHKSVLVSQKPVDSTDDKSTQITHVTHREPTLESRPMEKKKVANIEPSAGSALTQPKVPSLLYPPTESSKTEDFPRALTRESEKSPFGEKMSEKTIDVSGGKWLESEKFQEKEESHDPMDRSSKELVLNGEQSLSHNEIEDHCSLVVPLFTKTKEVLVKSEELPRPQTGVAKEQFGQKVTEKTIDVSGGKSLESERFQEKEESHDPMDRSSKELVLNGEQSLSHNEIEDRCSLAVPLFTKTKEVLVKSEELPHPQTGVAKAERIEPHAEALMAESKETVSTAKVLSFSQTEGLSHGLSIDSERSMSEAVNVSYPQHEQQKKGESLVYVQNEKEEGKEKESGVIIKPRENYDENQYFHQPMADAKSEKLETSRSGLTIQTKGIENSDRLELPAVSNQKEALVKKEALTYSRNELNDKKETPSVSRLKTEEESIKLSTPNQMEKKLRDESFDILSGKSEEVPLIISEPKESEKTINKSAFNSSASKPQQPVKDVVDFSLLSKQKQDSRPEEKFISINWKSPPAIAPKQVRKSDTVHPPVITKAEEMVLKEGILSYSQIELNEGDASTVVSNINMEESDGSIEKKGYDERSVESIFKPQQLDEVNSLIMSKEKVNQGDGSKVLTGRPEDATKLKVESLSHDAPKEAVNFNTPITGEPDIVTVAKEVSPSPQTELDEQEAVKNDPTSSGFFLSSMWSKFSSIGKKGKEEPLDPKAVKPQTPPNQTVEPSEPSQPERAHISSIPKRQTPIKVEPSPKKGEDADDIVKSQVMKSEELPKEEPFPPTSRHAEKENGLAPEVGEAPFHATKVSSSITESKVQEVSLGSVPKQFFREPPLKIKEQSDEKSPWSLETNAKELLLSESEMLSHIEKGEAINREVNGALVLSKPEEALKVEESSYSKSSLTETNGSSTDLASVAEQSSLHSEEASHPLVQKRVECTHDKSSVAAKLQGPRLENSSSSDLQVSGAIRQEHQSAVNEPKGLMWNEDKPSIFFEEMKTEKVDAPKTTMFSKSQELPLNERMLSFQKIGLDQKDESSTSVDPLKVTQDSHLAKETKKQEVLLDSPAIKSPETISQLVQSSNPHGTEESLKDLIDGMVQAKLNGTPPLPGIEQNGGKKFDAPHSVEESLGKLSFTFPQFEEPNGGEAVSAPPEKSELSIIKPSFPKIEENQSEERLLSSLESIAPMTSSACLERNEEKGERSRLEAPGKELVSDETETIPSKAQKTGISGSQRGLDSSFESGANPLELPQHPKDKKEPELSLEALAVDQKESPFDGQATSSVEVAPKNKDDSLLLLTPALGSALNELEPSSSATEEDKGKPIIHKSAPSVNQSSPLETEKKKIEGWLSLPLEHEPELVESTSMLSKKQSSVLRESDCVTSFLLDQKDEVRAEESKDSHQSSSTKIVPLGAKVEKAEVESLVSHEAISSVSPLSDFKIEKEAESWALHKSSTEIPSAGKIAVKDEEALVSHEDASTVLPPLGSGLEGKGVKTLVPRDVPTILSSDTPIEEKVARTLNSDLKTVSATTTSSSPEIEKKIEESLASFALAPPGHEVQKEVGSSASHLVIPTTTIKSDHKMEMKVASLAEPGSLSTEKLPSYPKIVEPENKSLKRQELESTVNLVLKPKVGKKPEDVMDPLVSYKMESEPVNPPQPEMKQDQSVHATAEQSDPVIEEKRVKSLAMQEDAAKLTLAATPEQEKKIAPVSDPLVSHPSAPKTEEKVISSQAPLAAPIAAVSSSAEREGKEVESTLHSPILDESEPELYAQTKQKQALILYESVSTSTTPIEPKIEAKESKDTSPPLSLDELPSMLPFTEAKQKQSSSFQESVPTAATSGIDEEDAESFCSYGSASVATLLSDPELENKETESIRFPPISVQSASDPSFLEAEETDEDSLITHESPSTLCIPSDCEFERKEAEQTAYPPFSFESVFASDPSFPGIDEQDEESLNMPSSAGKLNLSRHVIEEEQAEPTAYPPVSFESASTSSPTYRELEVAEEDSLVVYESPTASLPPAQCESTMETTHPPFSHESAVVLDPSSPGIVEKGDVSLVTHESIGKPKFQSAENIIKKQSEQTANLPAAYKSILKSDPTFRESGLDEGESPVVHESTATSPLPSQCESTKETTSPSLSPESAPAVAPTFSGTDEKEESPIMYESVGKLILPSKRDITKKLSEKTALSPVSYESASTSDPTYCGSESAEDGSLVMYEPTVTSFLPSQNESTKETTSPSLSSESTPAAAPSYAEFEENEEESLVAHEAIAEVNSPPVPEGEQEQLEHNLDQPVSYESVFASGTSVSAIEEREEESLAVHEPSSAALLPLILEEEKHEVEPSMHPPFPKESVLPSEPYDLEVIEEEVLNSDEPEPTIAIPLSTEIEEKEPEELMLPESLSISPELMNEEKEGKVSPHTPTLKASVPEAREISTLDPQEQDPDLGDQTSAALGPSAAELSISHHASYVDEKKVENAFSPSVSSDNESAATGPSALECEEKTTRLFMTAPTDPTVSPPEVEHPKAEDEPQQPVSQSHRLQIGQEARGSSSYSPVTHELASTANLPSDAYIKKQDEHSFMLTLSESGKSLAGRWPPFVEKVKDEISQAVLKRTKSVTNSGSNDLDPELSISADKGKRYHIEKIVYGKPLTGYFQLPILEEKVSNFMKIKYQVTGIYVPRLVLPKHEKYIAGTIPPTNSTTKKKRAKDYIGTYSKEKETTLVQSASTLPSGKKNTPVDQSEFSNRKRNGKRRLSPSGHKSKHTRKRVKKVEDVIFSVNGPPSNVTSGERSCVGSTQSEESAWPTSSRSSNTEWTIGSDERQVTVMSFSPDSIIYEQKIKGEEDQDSLRSDPEEESEAATEDEEGESEEETELERTAEEDGDSGLFSEGAHNSDRSAM
+>sp|Q8N769|CN178_HUMAN Uncharacterized protein C14orf178 OS=Homo sapiens OX=9606 GN=C14orf178 PE=2 SV=1
+MGREMKKTGTPRPFRIEDPNQQPTWHDQPEMGSHYFAQAGLELLGSSNPPASASQSAGITGVSHCARPGEHDLNHTVFQVKDSTFLRHLESDRPEFKSCLPPHFTEPSVSLSTSEGCEDAMG
+>DECOY_sp|Q8N769|CN178_HUMAN Uncharacterized protein C14orf178 OS=Homo sapiens OX=9606 GN=C14orf178 PE=2 SV=1
+GMADECGESTSLSVSPETFHPPLCSKFEPRDSELHRLFTSDKVQFVTHNLDHEGPRACHSVGTIGASQSASAPPNSSGLLELGAQAFYHSGMEPQDHWTPQQNPDEIRFPRPTGTKKMERGM
+>sp|Q16281|CNGA3_HUMAN Cyclic nucleotide-gated cation channel alpha-3 OS=Homo sapiens OX=9606 GN=CNGA3 PE=1 SV=2
+MAKINTQYSHPSRTHLKVKTSDRDLNRAENGLSRAHSSSEETSSVLQPGIAMETRGLADSGQGSFTGQGIARLSRLIFLLRRWAARHVHHQDQGPDSFPDRFRGAELKEVSSQESNAQANVGSQEPADRGRSAWPLAKCNTNTSNNTEEEKKTKKKDAIVVDPSSNLYYRWLTAIALPVFYNWYLLICRACFDELQSEYLMLWLVLDYSADVLYVLDVLVRARTGFLEQGLMVSDTNRLWQHYKTTTQFKLDVLSLVPTDLAYLKVGTNYPEVRFNRLLKFSRLFEFFDRTETRTNYPNMFRIGNLVLYILIIIHWNACIYFAISKFIGFGTDSWVYPNISIPEHGRLSRKYIYSLYWSTLTLTTIGETPPPVKDEEYLFVVVDFLVGVLIFATIVGNVGSMISNMNASRAEFQAKIDSIKQYMQFRKVTKDLETRVIRWFDYLWANKKTVDEKEVLKSLPDKLKAEIAINVHLDTLKKVRIFQDCEAGLLVELVLKLRPTVFSPGDYICKKGDIGKEMYIINEGKLAVVADDGVTQFVVLSDGSYFGEISILNIKGSKSGNRRTANIRSIGYSDLFCLSKDDLMEALTEYPEAKKALEEKGRQILMKDNLIDEELARAGADPKDLEEKVEQLGSSLDTLQTRFARLLAEYNATQMKMKQRLSQLESQVKGGGDKPLADGEVPGDATKTEDKQQ
+>DECOY_sp|Q16281|CNGA3_HUMAN Cyclic nucleotide-gated cation channel alpha-3 OS=Homo sapiens OX=9606 GN=CNGA3 PE=1 SV=2
+QQKDETKTADGPVEGDALPKDGGGKVQSELQSLRQKMKMQTANYEALLRAFRTQLTDLSSGLQEVKEELDKPDAGARALEEDILNDKMLIQRGKEELAKKAEPYETLAEMLDDKSLCFLDSYGISRINATRRNGSKSGKINLISIEGFYSGDSLVVFQTVGDDAVVALKGENIIYMEKGIDGKKCIYDGPSFVTPRLKLVLEVLLGAECDQFIRVKKLTDLHVNIAIEAKLKDPLSKLVEKEDVTKKNAWLYDFWRIVRTELDKTVKRFQMYQKISDIKAQFEARSANMNSIMSGVNGVITAFILVGVLFDVVVFLYEEDKVPPPTEGITTLTLTSWYLSYIYKRSLRGHEPISINPYVWSDTGFGIFKSIAFYICANWHIIILIYLVLNGIRFMNPYNTRTETRDFFEFLRSFKLLRNFRVEPYNTGVKLYALDTPVLSLVDLKFQTTTKYHQWLRNTDSVMLGQELFGTRARVLVDLVYLVDASYDLVLWLMLYESQLEDFCARCILLYWNYFVPLAIATLWRYYLNSSPDVVIADKKKTKKEEETNNSTNTNCKALPWASRGRDAPEQSGVNAQANSEQSSVEKLEAGRFRDPFSDPGQDQHHVHRAAWRRLLFILRSLRAIGQGTFSGQGSDALGRTEMAIGPQLVSSTEESSSHARSLGNEARNLDRDSTKVKLHTRSPHSYQTNIKAM
+>sp|Q8TBE1|CNIH3_HUMAN Protein cornichon homolog 3 OS=Homo sapiens OX=9606 GN=CNIH3 PE=2 SV=1
+MAFTFAAFCYMLSLVLCAALIFFAIWHIIAFDELRTDFKSPIDQCNPVHARERLRNIERICFLLRKLVLPEYSIHSLFCIMFLCAQEWLTLGLNVPLLFYHFWRYFHCPADSSELAYDPPVVMNADTLSYCQKEAWCKLAFYLLSFFYYLYCMIYTLVSS
+>DECOY_sp|Q8TBE1|CNIH3_HUMAN Protein cornichon homolog 3 OS=Homo sapiens OX=9606 GN=CNIH3 PE=2 SV=1
+SSVLTYIMCYLYYFFSLLYFALKCWAEKQCYSLTDANMVVPPDYALESSDAPCHFYRWFHYFLLPVNLGLTLWEQACLFMICFLSHISYEPLVLKRLLFCIREINRLRERAHVPNCQDIPSKFDTRLEDFAIIHWIAFFILAACLVLSLMYCFAAFTFAM
+>sp|Q6P9H4|CNKR3_HUMAN Connector enhancer of kinase suppressor of ras 3 OS=Homo sapiens OX=9606 GN=CNKSR3 PE=1 SV=1
+MEPVTKWSPKQVVDWTRGLDDCLQQYVHKFEREKINGEQLLQISHQDLEELGVTRIGHQELVLEAVDLLCALNYGLETDNMKNLVLKLRASSHNLQNYISSRRKSPAYDGNTSRKAPNEFLTSVVELIGAAKALLAWLDRAPFTGITDFSVTKNKIIQLCLDLTTTVQKDCFVAEMEDKVLTVVKVLNGICDKTIRSTTDPVMSQCACLEEVHLPNIKPGEGLGMYIKSTYDGLHVITGTTENSPADRSQKIHAGDEVIQVNQQTVVGWQLKNLVKKLRENPTGVVLLLKKRPTGSFNFTPAPLKNLRWKPPLVQTSPPPATTQSPESTMDTSLKKEKSAILDLYIPPPPAVPYSPRDENGSFVYGGSSKCKQPLPGPKGSESPNSFLDQESRRRRFTIADSDQLPGYSVETNILPTKMREKTPSYGKPRPLSMPADGNWMGIVDPFARPRGHGRKGEDALCRYFSNERIPPIIEESSSPPYRFSRPTTERHLVRGADYIRGSRCYINSDLHSSATIPFQEEGTKKKSGSSATKSSSTEPSLLVSWFTRLKLLTH
+>DECOY_sp|Q6P9H4|CNKR3_HUMAN Connector enhancer of kinase suppressor of ras 3 OS=Homo sapiens OX=9606 GN=CNKSR3 PE=1 SV=1
+HTLLKLRTFWSVLLSPETSSSKTASSGSKKKTGEEQFPITASSHLDSNIYCRSGRIYDAGRVLHRETTPRSFRYPPSSSEEIIPPIRENSFYRCLADEGKRGHGRPRAFPDVIGMWNGDAPMSLPRPKGYSPTKERMKTPLINTEVSYGPLQDSDAITFRRRRSEQDLFSNPSESGKPGPLPQKCKSSGGYVFSGNEDRPSYPVAPPPPIYLDLIASKEKKLSTDMTSEPSQTTAPPPSTQVLPPKWRLNKLPAPTFNFSGTPRKKLLLVVGTPNERLKKVLNKLQWGVVTQQNVQIVEDGAHIKQSRDAPSNETTGTIVHLGDYTSKIYMGLGEGPKINPLHVEELCACQSMVPDTTSRITKDCIGNLVKVVTLVKDEMEAVFCDKQVTTTLDLCLQIIKNKTVSFDTIGTFPARDLWALLAKAAGILEVVSTLFENPAKRSTNGDYAPSKRRSSIYNQLNHSSARLKLVLNKMNDTELGYNLACLLDVAELVLEQHGIRTVGLEELDQHSIQLLQEGNIKEREFKHVYQQLCDDLGRTWDVVQKPSWKTVPEM
+>sp|Q15417|CNN3_HUMAN Calponin-3 OS=Homo sapiens OX=9606 GN=CNN3 PE=1 SV=1
+MTHFNKGPSYGLSAEVKNKIASKYDHQAEEDLRNWIEEVTGMSIGPNFQLGLKDGIILCELINKLQPGSVKKVNESSLNWPQLENIGNFIKAIQAYGMKPHDIFEANDLFENGNMTQVQTTLVALAGLAKTKGFHTTIDIGVKYAEKQTRRFDEGKLKAGQSVIGLQMGTNKCASQAGMTAYGTRRHLYDPKMQTDKPFDQTTISLQMGTNKGASQAGMLAPGTRRDIYDQKLTLQPVDNSTISLQMGTNKVASQKGMSVYGLGRQVYDPKYCAAPTEPVIHNGSQGTGTNGSEISDSDYQAEYPDEYHGEYQDDYPRDYQYSDQGIDY
+>DECOY_sp|Q15417|CNN3_HUMAN Calponin-3 OS=Homo sapiens OX=9606 GN=CNN3 PE=1 SV=1
+YDIGQDSYQYDRPYDDQYEGHYEDPYEAQYDSDSIESGNTGTGQSGNHIVPETPAACYKPDYVQRGLGYVSMGKQSAVKNTGMQLSITSNDVPQLTLKQDYIDRRTGPALMGAQSAGKNTGMQLSITTQDFPKDTQMKPDYLHRRTGYATMGAQSACKNTGMQLGIVSQGAKLKGEDFRRTQKEAYKVGIDITTHFGKTKALGALAVLTTQVQTMNGNEFLDNAEFIDHPKMGYAQIAKIFNGINELQPWNLSSENVKKVSGPQLKNILECLIIGDKLGLQFNPGISMGTVEEIWNRLDEEAQHDYKSAIKNKVEASLGYSPGKNFHTM
+>sp|Q9H8M5|CNNM2_HUMAN Metal transporter CNNM2 OS=Homo sapiens OX=9606 GN=CNNM2 PE=1 SV=2
+MIGCGACEPKVKMAGGQAAAALPTWKMAARRSLSARGRGILQAAAGRLLPLLLLSCCCGAGGCAAVGENEETVIIGLRLEDTNDVSFMEGGALRVSERTRVKLRVYGQNINNETWSRIAFTEHERRRHSPGERGLGGPAPPEPDSGPQRCGIRTSDIIILPHIILNRRTSGIIEIEIKPLRKMEKSKSYYLCTSLSTPALGAGGSGSTGGAVGGKGGSGVAGLPPPPWAETTWIYHDGEDTKMIVGEEKKFLLPFWLQVIFISLLLCLSGMFSGLNLGLMALDPMELRIVQNCGTEKEKNYAKRIEPVRRQGNYLLCSLLLGNVLVNTTLTILLDDIAGSGLVAVVVSTIGIVIFGEIVPQAICSRHGLAVGANTIFLTKFFMMMTFPASYPVSKLLDCVLGQEIGTVYNREKLLEMLRVTDPYNDLVKEELNIIQGALELRTKTVEDVMTPLRDCFMITGEAILDFNTMSEIMESGYTRIPVFEGERSNIVDLLFVKDLAFVDPDDCTPLKTITKFYNHPLHFVFNDTKLDAMLEEFKKGKSHLAIVQRVNNEGEGDPFYEVLGIVTLEDVIEEIIKSEILDETDLYTDNRTKKKVAHRERKQDFSAFKQTDSEMKVKISPQLLLAMHRFLATEVEAFSPSQMSEKILLRLLKHPNVIQELKYDEKNKKAPEYYLYQRNKPVDYFVLILQGKVEVEAGKEGMKFEASAFSYYGVMALTASPVPLSLSRTFVVSRTELLAAGSPGENKSPPRPCGLNHSDSLSRSDRIDAVTPTLGSSNNQLNSSLLQVYIPDYSVRALSDLQFVKISRQQYQNALMASRMDKTPQSSDSENTKIELTLTELHDGLPDETANLLNEQNCVTHSKANHSLHNEGAI
+>DECOY_sp|Q9H8M5|CNNM2_HUMAN Metal transporter CNNM2 OS=Homo sapiens OX=9606 GN=CNNM2 PE=1 SV=2
+IAGENHLSHNAKSHTVCNQENLLNATEDPLGDHLETLTLEIKTNESDSSQPTKDMRSAMLANQYQQRSIKVFQLDSLARVSYDPIYVQLLSSNLQNNSSGLTPTVADIRDSRSLSDSHNLGCPRPPSKNEGPSGAALLETRSVVFTRSLSLPVPSATLAMVGYYSFASAEFKMGEKGAEVEVKGQLILVFYDVPKNRQYLYYEPAKKNKEDYKLEQIVNPHKLLRLLIKESMQSPSFAEVETALFRHMALLLQPSIKVKMESDTQKFASFDQKRERHAVKKKTRNDTYLDTEDLIESKIIEEIVDELTVIGLVEYFPDGEGENNVRQVIALHSKGKKFEELMADLKTDNFVFHLPHNYFKTITKLPTCDDPDVFALDKVFLLDVINSREGEFVPIRTYGSEMIESMTNFDLIAEGTIMFCDRLPTMVDEVTKTRLELAGQIINLEEKVLDNYPDTVRLMELLKERNYVTGIEQGLVCDLLKSVPYSAPFTMMMFFKTLFITNAGVALGHRSCIAQPVIEGFIVIGITSVVVAVLGSGAIDDLLITLTTNVLVNGLLLSCLLYNGQRRVPEIRKAYNKEKETGCNQVIRLEMPDLAMLGLNLGSFMGSLCLLLSIFIVQLWFPLLFKKEEGVIMKTDEGDHYIWTTEAWPPPPLGAVGSGGKGGVAGGTSGSGGAGLAPTSLSTCLYYSKSKEMKRLPKIEIEIIGSTRRNLIIHPLIIIDSTRIGCRQPGSDPEPPAPGGLGREGPSHRRREHETFAIRSWTENNINQGYVRLKVRTRESVRLAGGEMFSVDNTDELRLGIIVTEENEGVAACGGAGCCCSLLLLPLLRGAAAQLIGRGRASLSRRAAMKWTPLAAAAQGGAMKVKPECAGCGIM
+>sp|Q6P4Q7|CNNM4_HUMAN Metal transporter CNNM4 OS=Homo sapiens OX=9606 GN=CNNM4 PE=1 SV=3
+MAPVGGGGRPVGGPARGRLLLAAPVLLVLLWALGARGQGSPQQGTIVGMRLASCNKSCGTNPDGIIFVSEGSTVNLRLYGYSLGNISSNLISFTEVDDAETLHKSTSCLELTKDLVVQQLVNVSRGNTSGVLVVLTKFLRRSESMKLYALCTRAQPDGPWLKWTDKDSLLFMVEEPGRFLPLWLHILLITVLLVLSGIFSGLNLGLMALDPMELRIVQNCGTEKERRYARKIEPIRRKGNYLLCSLLLGNVLVNTSLTILLDNLIGSGLMAVASSTIGIVIFGEILPQALCSRHGLAVGANTILLTKFFMLLTFPLSFPISKLLDFFLGQEIRTVYNREKLMEMLKVTEPYNDLVKEELNMIQGALELRTKTVEDIMTQLQDCFMIRSDAILDFNTMSEIMESGYTRIPVFEDEQSNIVDILYVKDLAFVDPDDCTPLKTITRFYNHPVHFVFHDTKLDAMLEEFKKGKSHLAIVQKVNNEGEGDPFYEVLGLVTLEDVIEEIIKSEILDESDMYTDNRSRKRVSEKNKRDFSAFKDADNELKVKISPQLLLAAHRFLATEVSQFSPSLISEKILLRLLKYPDVIQELKFDEHNKYYARHYLYTRNKPADYFILILQGKVEVEAGKENMKFETGAFSYYGTMALTSVPSDRSPAHPTPLSRSASLSYPDRTDVSTAATLAGSSNQFGSSVLGQYISDFSVRALVDLQYIKITRQQYQNGLLASRMENSPQFPIDGCTTHMENLAEKSELPVVDETTTLLNERNSLLHKASHENAI
+>DECOY_sp|Q6P4Q7|CNNM4_HUMAN Metal transporter CNNM4 OS=Homo sapiens OX=9606 GN=CNNM4 PE=1 SV=3
+IANEHSAKHLLSNRENLLTTTEDVVPLESKEALNEMHTTCGDIPFQPSNEMRSALLGNQYQQRTIKIYQLDVLARVSFDSIYQGLVSSGFQNSSGALTAATSVDTRDPYSLSASRSLPTPHAPSRDSPVSTLAMTGYYSFAGTEFKMNEKGAEVEVKGQLILIFYDAPKNRTYLYHRAYYKNHEDFKLEQIVDPYKLLRLLIKESILSPSFQSVETALFRHAALLLQPSIKVKLENDADKFASFDRKNKESVRKRSRNDTYMDSEDLIESKIIEEIVDELTVLGLVEYFPDGEGENNVKQVIALHSKGKKFEELMADLKTDHFVFHVPHNYFRTITKLPTCDDPDVFALDKVYLIDVINSQEDEFVPIRTYGSEMIESMTNFDLIADSRIMFCDQLQTMIDEVTKTRLELAGQIMNLEEKVLDNYPETVKLMEMLKERNYVTRIEQGLFFDLLKSIPFSLPFTLLMFFKTLLITNAGVALGHRSCLAQPLIEGFIVIGITSSAVAMLGSGILNDLLITLSTNVLVNGLLLSCLLYNGKRRIPEIKRAYRREKETGCNQVIRLEMPDLAMLGLNLGSFIGSLVLLVTILLIHLWLPLFRGPEEVMFLLSDKDTWKLWPGDPQARTCLAYLKMSESRRLFKTLVVLVGSTNGRSVNVLQQVVLDKTLELCSTSKHLTEADDVETFSILNSSINGLSYGYLRLNVTSGESVFIIGDPNTGCSKNCSALRMGVITGQQPSGQGRAGLAWLLVLLVPAALLLRGRAPGGVPRGGGGVPAM
+>sp|Q9UKZ1|CNO11_HUMAN CCR4-NOT transcription complex subunit 11 OS=Homo sapiens OX=9606 GN=CNOT11 PE=1 SV=1
+MPGGGASAASGRLLTAAEQRGSREAAGSASRSGFGGSGGGRGGASGPGSGSGGPGGPAGRMSLTPKELSSLLSIISEEAGGGSTFEGLSTAFHHYFSKADHFRLGSVLVMLLQQPDLLPSAAQRLTALYLLWEMYRTEPLAANPFAASFAHLLNPAPPARGGQEPDRPPLSGFLPPITPPEKFFLSQLMLAPPRELFKKTPRQIALMDVGNMGQSVDISGLQLALAERQSELPTQSKASFPSILSDPDPDSSNSGFDSSVASQITEALVSGPKPPIESHFRPEFIRPPPPLHICEDELAWLNPTEPDHAIQWDKSMCVKNSTGVEIKRIMAKAFKSPLSSPQQTQLLGELEKDPKLVYHIGLTPAKLPDLVENNPLVAIEMLLKLMQSSQITEYFSVLVNMDMSLHSMEVVNRLTTAVDLPPEFIHLYISNCISTCEQIKDKYMQNRLVRLVCVFLQSLIRNKIINVQDLFIEVQAFCIEFSRIREAAGLFRLLKTLDTGETPSETKMSK
+>DECOY_sp|Q9UKZ1|CNO11_HUMAN CCR4-NOT transcription complex subunit 11 OS=Homo sapiens OX=9606 GN=CNOT11 PE=1 SV=1
+KSMKTESPTEGTDLTKLLRFLGAAERIRSFEICFAQVEIFLDQVNIIKNRILSQLFVCVLRVLRNQMYKDKIQECTSICNSIYLHIFEPPLDVATTLRNVVEMSHLSMDMNVLVSFYETIQSSQMLKLLMEIAVLPNNEVLDPLKAPTLGIHYVLKPDKELEGLLQTQQPSSLPSKFAKAMIRKIEVGTSNKVCMSKDWQIAHDPETPNLWALEDECIHLPPPPRIFEPRFHSEIPPKPGSVLAETIQSAVSSDFGSNSSDPDPDSLISPFSAKSQTPLESQREALALQLGSIDVSQGMNGVDMLAIQRPTKKFLERPPALMLQSLFFKEPPTIPPLFGSLPPRDPEQGGRAPPAPNLLHAFSAAFPNAALPETRYMEWLLYLATLRQAASPLLDPQQLLMVLVSGLRFHDAKSFYHHFATSLGEFTSGGGAEESIISLLSSLEKPTLSMRGAPGGPGGSGSGPGSAGGRGGGSGGFGSRSASGAAERSGRQEAATLLRGSAASAGGGPM
+>sp|Q8N129|CNPY4_HUMAN Protein canopy homolog 4 OS=Homo sapiens OX=9606 GN=CNPY4 PE=2 SV=1
+MGPVRLGILLFLFLAVHEAWAGMLKEEDDDTERLPSKCEVCKLLSTELQAELSRTGRSREVLELGQVLDTGKRKRHVPYSVSETRLEEALENLCERILDYSVHAERKGSLRYAKGQSQTMATLKGLVQKGVKVDLGIPLELWDEPSVEVTYLKKQCETMLEEFEDIVGDWYFHHQEQPLQNFLCEGHVLPAAETACLQETWTGKEITDGEEKTEGEEEQEEEEEEEEEEGGDKMTKTGSHPKLDREDL
+>DECOY_sp|Q8N129|CNPY4_HUMAN Protein canopy homolog 4 OS=Homo sapiens OX=9606 GN=CNPY4 PE=2 SV=1
+LDERDLKPHSGTKTMKDGGEEEEEEEEEEQEEEGETKEEGDTIEKGTWTEQLCATEAAPLVHGECLFNQLPQEQHHFYWDGVIDEFEELMTECQKKLYTVEVSPEDWLELPIGLDVKVGKQVLGKLTAMTQSQGKAYRLSGKREAHVSYDLIRECLNELAEELRTESVSYPVHRKRKGTDLVQGLELVERSRGTRSLEAQLETSLLKCVECKSPLRETDDDEEKLMGAWAEHVALFLFLLIGLRVPGM
+>sp|Q9H8S5|CNTD2_HUMAN Cyclin N-terminal domain-containing protein 2 OS=Homo sapiens OX=9606 GN=CNTD2 PE=2 SV=2
+MLVRGRDQGSGSRLGPIVRRWAPRPSPLQSLAASLDAEPSSAAVPDGFPAGPTVSPRRLARPPGLEEALSALGLQGEREYAGDIFAEVMVCRVLPLRALPRAVTPEMRALVVDWLVQVHEYLGLAGDTLYLAVHLLDSYLSAGRVRLHRLQLLGVACLFVACKMEECVLPEPAFLCLLSADSFSRAELLRAERRILSRLDFRLHHPGPLLCLGLLAALAGSSPQVMLLATYFLELSLLEAEAAGWEPGRRAAAALSLAHRLLDGAGSRLQPELYRCSLGGGSVWGHRSFRDLPSWSFLRSRRMRDNY
+>DECOY_sp|Q9H8S5|CNTD2_HUMAN Cyclin N-terminal domain-containing protein 2 OS=Homo sapiens OX=9606 GN=CNTD2 PE=2 SV=2
+YNDRMRRSRLFSWSPLDRFSRHGWVSGGGLSCRYLEPQLRSGAGDLLRHALSLAAAARRGPEWGAAEAELLSLELFYTALLMVQPSSGALAALLGLCLLPGPHHLRFDLRSLIRREARLLEARSFSDASLLCLFAPEPLVCEEMKCAVFLCAVGLLQLRHLRVRGASLYSDLLHVALYLTDGALGLYEHVQVLWDVVLARMEPTVARPLARLPLVRCVMVEAFIDGAYEREGQLGLASLAEELGPPRALRRPSVTPGAPFGDPVAASSPEADLSAALSQLPSPRPAWRRVIPGLRSGSGQDRGRVLM
+>sp|Q7Z7A1|CNTRL_HUMAN Centriolin OS=Homo sapiens OX=9606 GN=CNTRL PE=1 SV=2
+MKKGSQQKIFSKAKIPSSSHSPIPSSMSNMRSRSLSPLIGSETLPFHSGGQWCEQVEIADENNMLLDYQDHKGADSHAGVRYITEALIKKLTKQDNLALIKSLNLSLSKDGGKKFKYIENLEKCVKLEVLNLSYNLIGKIEKLDKLLKLRELNLSYNKISKIEGIENMCNLQKLNLAGNEIEHIPVWLGKKLKSLRVLNLKGNKISSLQDISKLKPLQDLISLILVENPVVTLPHYLQFTIFHLRSLESLEGQPVTTQDRQEAFERFSLEEVERLERDLEKKMIETEELKSKQTRFLEEIKNQDKLNKSLKEEAMLQKQSCEELKSDLNTKNELLKQKTIELTRACQKQYELEQELAFYKIDAKFEPLNYYPSEYAEIDKAPDESPYIGKSRYKRNMFATESYIIDSAQAVQIKKMEPDEQLRNDHMNLRGHTPLDTQLEDKEKKISAAQTRLSELHDEIEKAEQQILRATEEFKQLEEAIQLKKISEAGKDLLYKQLSGRLQLVNKLRQEALDLELQMEKQKQEIAGKQKEIKDLQIAIDSLDSKDPKHSHMKAQKSGKEQQLDIMNKQYQQLESRLDEILSRIAKETEEIKDLEEQLTEGQIAANEALKKDLEGVISGLQEYLGTIKGQATQAQNECRKLRDEKETLLQRLTEVEQERDQLEIVAMDAENMRKELAELESALQEQHEVNASLQQTQGDLSAYEAELEARLNLRDAEANQLKEELEKVTRLTQLEQSALQAELEKERQALKNALGKAQFSEEKEQENSELHAKLKHLQDDNNLLKQQLKDFQNHLNHVVDGLVRPEEVAARVDELRRKLKLGTGEMNIHSPSDVLGKSLADLQKQFSEILARSKWERDEAQVRERKLQEEMALQQEKLATGQEEFRQACERALEARMNFDKRQHEARIQQMENEIHYLQENLKSMEEIQGLTDLQLQEADEEKERILAQLRELEKKKKLEDAKSQEQVFGLDKELKKLKKAVATSDKLATAELTIAKDQLKSLHGTVMKINQERAEELQEAERFSRKAAQAARDLTRAEAEIELLQNLLRQKGEQFRLEMEKTGVGTGANSQVLEIEKLNETMERQRTEIARLQNVLDLTGSDNKGGFENVLEEIAELRREVSYQNDYISSMADPFKRRGYWYFMPPPPSSKVSSHSSQATKDSGVGLKYSASTPVRKPRPGQQDGKEGSQPPPASGYWVYSPIRSGLHKLFPSRDADSGGDSQEESELDDQEEPPFVPPPGYMMYTVLPDGSPVPQGMALYAPPPPLPNNSRPLTPGTVVYGPPPAGAPMVYGPPPPNFSIPFIPMGVLHCNVPEHHNLENEVSRLEDIMQHLKSKKREERWMRASKRQSEKEMEELHHNIDDLLQEKKSLECEVEELHRTVQKRQQQKDFIDGNVESLMTELEIEKSLKHHEDIVDEIECIEKTLLKRRSELREADRLLAEAESELSCTKEKTKNAVEKFTDAKRSLLQTESDAEELERRAQETAVNLVKADQQLRSLQADAKDLEQHKIKQEEILKEINKIVAAKDSDFQCLSKKKEKLTEELQKLQKDIEMAERNEDHHLQVLKESEVLLQAKRAELEKLKSQVTSQQQEMAVLDRQLGHKKEELHLLQGSMVQAKADLQEALRLGETEVTEKCNHIREVKSLLEELSFQKGELNVQISERKTQLTLIKQEIEKEEENLQVVLRQMSKHKTELKNILDMLQLENHELQGLKLQHDQRVSELEKTQVAVLEEKLELENLQQISQQQKGEIEWQKQLLERDKREIERMTAESRALQSCVECLSKEKEDLQEKCDIWEKKLAQTKRVLAAAEENSKMEQSNLEKLELNVRKLQQELDQLNRDKLSLHNDISAMQQQLQEKREAVNSLQEELANVQDHLNLAKQDLLHTTKHQDVLLSEQTRLQKDISEWANRFEDCQKEEETKQQQLQVLQNEIEENKLKLVQQEMMFQRLQKERESEESKLETSKVTLKEQQHQLEKELTDQKSKLDQVLSKVLAAEERVRTLQEEERWCESLEKTLSQTKRQLSEREQQLVEKSGELLALQKEADSMRADFSLLRNQFLTERKKAEKQVASLKEALKIQRSQLEKNLLEQKQENSCIQKEMATIELVAQDNHERARRLMKELNQMQYEYTELKKQMANQKDLERRQMEISDAMRTLKSEVKDEIRTSLKNLNQFLPELPADLEAILERNENLEGELESLKENLPFTMNEGPFEEKLNFSQVHIMDEHWRGEALREKLRHREDRLKAQLRHCMSKQAEVLIKGKRQTEGTLHSLRRQVDALGELVTSTSADSASSPSLSQLESSLTEDSQLGQNQEKNASAR
+>DECOY_sp|Q7Z7A1|CNTRL_HUMAN Centriolin OS=Homo sapiens OX=9606 GN=CNTRL PE=1 SV=2
+RASANKEQNQGLQSDETLSSELQSLSPSSASDASTSTVLEGLADVQRRLSHLTGETQRKGKILVEAQKSMCHRLQAKLRDERHRLKERLAEGRWHEDMIHVQSFNLKEEFPGENMTFPLNEKLSELEGELNENRELIAELDAPLEPLFQNLNKLSTRIEDKVESKLTRMADSIEMQRRELDKQNAMQKKLETYEYQMQNLEKMLRRAREHNDQAVLEITAMEKQICSNEQKQELLNKELQSRQIKLAEKLSAVQKEAKKRETLFQNRLLSFDARMSDAEKQLALLEGSKEVLQQERESLQRKTQSLTKELSECWREEEQLTRVREEAALVKSLVQDLKSKQDTLEKELQHQQEKLTVKSTELKSEESEREKQLRQFMMEQQVLKLKNEEIENQLVQLQQQKTEEEKQCDEFRNAWESIDKQLRTQESLLVDQHKTTHLLDQKALNLHDQVNALEEQLSNVAERKEQLQQQMASIDNHLSLKDRNLQDLEQQLKRVNLELKELNSQEMKSNEEAAALVRKTQALKKEWIDCKEQLDEKEKSLCEVCSQLARSEATMREIERKDRELLQKQWEIEGKQQQSIQQLNELELKEELVAVQTKELESVRQDHQLKLGQLEHNELQLMDLINKLETKHKSMQRLVVQLNEEEKEIEQKILTLQTKRESIQVNLEGKQFSLEELLSKVERIHNCKETVETEGLRLAEQLDAKAQVMSGQLLHLEEKKHGLQRDLVAMEQQQSTVQSKLKELEARKAQLLVESEKLVQLHHDENREAMEIDKQLKQLEETLKEKKKSLCQFDSDKAAVIKNIEKLIEEQKIKHQELDKADAQLSRLQQDAKVLNVATEQARRELEEADSETQLLSRKADTFKEVANKTKEKTCSLESEAEALLRDAERLESRRKLLTKEICEIEDVIDEHHKLSKEIELETMLSEVNGDIFDKQQQRKQVTRHLEEVECELSKKEQLLDDINHHLEEMEKESQRKSARMWREERKKSKLHQMIDELRSVENELNHHEPVNCHLVGMPIFPISFNPPPPGYVMPAGAPPPGYVVTGPTLPRSNNPLPPPPAYLAMGQPVPSGDPLVTYMMYGPPPVFPPEEQDDLESEEQSDGGSDADRSPFLKHLGSRIPSYVWYGSAPPPQSGEKGDQQGPRPKRVPTSASYKLGVGSDKTAQSSHSSVKSSPPPPMFYWYGRRKFPDAMSSIYDNQYSVERRLEAIEELVNEFGGKNDSGTLDLVNQLRAIETRQREMTENLKEIELVQSNAGTGVGTKEMELRFQEGKQRLLNQLLEIEAEARTLDRAAQAAKRSFREAEQLEEAREQNIKMVTGHLSKLQDKAITLEATALKDSTAVAKKLKKLEKDLGFVQEQSKADELKKKKELERLQALIREKEEDAEQLQLDTLGQIEEMSKLNEQLYHIENEMQQIRAEHQRKDFNMRAELARECAQRFEEQGTALKEQQLAMEEQLKRERVQAEDREWKSRALIESFQKQLDALSKGLVDSPSHINMEGTGLKLKRRLEDVRAAVEEPRVLGDVVHNLHNQFDKLQQKLLNNDDQLHKLKAHLESNEQEKEESFQAKGLANKLAQREKELEAQLASQELQTLRTVKELEEKLQNAEADRLNLRAELEAEYASLDGQTQQLSANVEHQEQLASELEALEKRMNEADMAVIELQDREQEVETLRQLLTEKEDRLKRCENQAQTAQGKITGLYEQLGSIVGELDKKLAENAAIQGETLQEELDKIEETEKAIRSLIEDLRSELQQYQKNMIDLQQEKGSKQAKMHSHKPDKSDLSDIAIQLDKIEKQKGAIEQKQKEMQLELDLAEQRLKNVLQLRGSLQKYLLDKGAESIKKLQIAEELQKFEETARLIQQEAKEIEDHLESLRTQAASIKKEKDELQTDLPTHGRLNMHDNRLQEDPEMKKIQVAQASDIIYSETAFMNRKYRSKGIYPSEDPAKDIEAYESPYYNLPEFKADIKYFALEQELEYQKQCARTLEITKQKLLENKTNLDSKLEECSQKQLMAEEKLSKNLKDQNKIEELFRTQKSKLEETEIMKKELDRELREVEELSFREFAEQRDQTTVPQGELSELSRLHFITFQLYHPLTVVPNEVLILSILDQLPKLKSIDQLSSIKNGKLNLVRLSKLKKGLWVPIHEIENGALNLKQLNCMNEIGEIKSIKNYSLNLERLKLLKDLKEIKGILNYSLNLVELKVCKELNEIYKFKKGGDKSLSLNLSKILALNDQKTLKKILAETIYRVGAHSDAGKHDQYDLLMNNEDAIEVQECWQGGSHFPLTESGILPSLSRSRMNSMSSPIPSHSSSPIKAKSFIKQQSGKKM
+>sp|Q8NAA6|CO053_HUMAN Uncharacterized protein C15orf53 OS=Homo sapiens OX=9606 GN=C15orf53 PE=2 SV=1
+MELQGAQEDLGISLSSPRRNHETRPGSKAKGRSSICLQASVWMAGGKLRLRASEHLTQGHQQELRDWNLGEDASLLFSKSPFGAGKLIQAPAHVFRQCWVQGNAWISCITKFDSKRSPEVASSPSYLTVPRRSPLPVFLRPSDRCVCGGCYLGKSTRRRACQSLLSDPLGVTFPTQTRP
+>DECOY_sp|Q8NAA6|CO053_HUMAN Uncharacterized protein C15orf53 OS=Homo sapiens OX=9606 GN=C15orf53 PE=2 SV=1
+PRTQTPFTVGLPDSLLSQCARRRTSKGLYCGGCVCRDSPRLFVPLPSRRPVTLYSPSSAVEPSRKSDFKTICSIWANGQVWCQRFVHAPAQILKGAGFPSKSFLLSADEGLNWDRLEQQHGQTLHESARLRLKGGAMWVSAQLCISSRGKAKSGPRTEHNRRPSSLSIGLDEQAGQLEM
+>sp|P07360|CO8G_HUMAN Complement component C8 gamma chain OS=Homo sapiens OX=9606 GN=C8G PE=1 SV=3
+MLPPGTATLLTLLLAAGSLGQKPQRPRRPASPISTIQPKANFDAQQFAGTWLLVAVGSACRFLQEQGHRAEATTLHVAPQGTAMAVSTFRKLDGICWQVRQLYGDTGVLGRFLLQARDARGAVHVVVAETDYQSFAVLYLERAGQLSVKLYARSLPVSDSVLSGFEQRVQEAHLTEDQIFYFPKYGFCEAADQFHVLDEVRR
+>DECOY_sp|P07360|CO8G_HUMAN Complement component C8 gamma chain OS=Homo sapiens OX=9606 GN=C8G PE=1 SV=3
+RRVEDLVHFQDAAECFGYKPFYFIQDETLHAEQVRQEFGSLVSDSVPLSRAYLKVSLQGARELYLVAFSQYDTEAVVVHVAGRADRAQLLFRGLVGTDGYLQRVQWCIGDLKRFTSVAMATGQPAVHLTTAEARHGQEQLFRCASGVAVLLWTGAFQQADFNAKPQITSIPSAPRRPRQPKQGLSGAALLLTLLTATGPPLM
+>sp|P20849|CO9A1_HUMAN Collagen alpha-1(IX) chain OS=Homo sapiens OX=9606 GN=COL9A1 PE=1 SV=3
+MKTCWKIPVFFFVCSFLEPWASAAVKRRPRFPVNSNSNGGNELCPKIRIGQDDLPGFDLISQFQVDKAASRRAIQRVVGSATLQVAYKLGNNVDFRIPTRNLYPSGLPEEYSFLTTFRMTGSTLKKNWNIWQIQDSSGKEQVGIKINGQTQSVVFSYKGLDGSLQTAAFSNLSSLFDSQWHKIMIGVERSSATLFVDCNRIESLPIKPRGPIDIDGFAVLGKLADNPQVSVPFELQWMLIHCDPLRPRRETCHELPARITPSQTTDERGPPGEQGPPGPPGPPGVPGIDGIDGDRGPKGPPGPPGPAGEPGKPGAPGKPGTPGADGLTGPDGSPGSIGSKGQKGEPGVPGSRGFPGRGIPGPPGPPGTAGLPGELGRVGPVGDPGRRGPPGPPGPPGPRGTIGFHDGDPLCPNACPPGRSGYPGLPGMRGHKGAKGEIGEPGRQGHKGEEGDQGELGEVGAQGPPGAQGLRGITGIVGDKGEKGARGLDGEPGPQGLPGAPGDQGQRGPPGEAGPKGDRGAEGARGIPGLPGPKGDTGLPGVDGRDGIPGMPGTKGEPGKPGPPGDAGLQGLPGVPGIPGAKGVAGEKGSTGAPGKPGQMGNSGKPGQQGPPGEVGPRGPQGLPGSRGELGPVGSPGLPGKLGSLGSPGLPGLPGPPGLPGMKGDRGVVGEPGPKGEQGASGEEGEAGERGELGDIGLPGPKGSAGNPGEPGLRGPEGSRGLPGVEGPRGPPGPRGVQGEQGATGLPGVQGPPGRAPTDQHIKQVCMRVIQEHFAEMAASLKRPDSGATGLPGRPGPPGPPGPPGENGFPGQMGIRGLPGIKGPPGALGLRGPKGDLGEKGERGPPGRGPNGLPGAIGLPGDPGPASYGRNGRDGERGPPGVAGIPGVPGPPGPPGLPGFCEPASCTMQAGQRAFNKGPDP
+>DECOY_sp|P20849|CO9A1_HUMAN Collagen alpha-1(IX) chain OS=Homo sapiens OX=9606 GN=COL9A1 PE=1 SV=3
+PDPGKNFARQGAQMTCSAPECFGPLGPPGPPGPVGPIGAVGPPGREGDRGNRGYSAPGPDGPLGIAGPLGNPGRGPPGREGKEGLDGKPGRLGLAGPPGKIGPLGRIGMQGPFGNEGPPGPPGPPGPRGPLGTAGSDPRKLSAAMEAFHEQIVRMCVQKIHQDTPARGPPGQVGPLGTAGQEGQVGRPGPPGRPGEVGPLGRSGEPGRLGPEGPNGASGKPGPLGIDGLEGREGAEGEEGSAGQEGKPGPEGVVGRDGKMGPLGPPGPLGPLGPSGLSGLKGPLGPSGVPGLEGRSGPLGQPGRPGVEGPPGQQGPKGSNGMQGPKGPAGTSGKEGAVGKAGPIGPVGPLGQLGADGPPGPKGPEGKTGPMGPIGDRGDVGPLGTDGKPGPLGPIGRAGEAGRDGKPGAEGPPGRQGQDGPAGPLGQPGPEGDLGRAGKEGKDGVIGTIGRLGQAGPPGQAGVEGLEGQDGEEGKHGQRGPEGIEGKAGKHGRMGPLGPYGSRGPPCANPCLPDGDHFGITGRPGPPGPPGPPGRRGPDGVPGVRGLEGPLGATGPPGPPGPIGRGPFGRSGPVGPEGKQGKSGISGPSGDPGTLGDAGPTGPKGPAGPKGPEGAPGPPGPPGKPGRDGDIGDIGPVGPPGPPGPPGQEGPPGREDTTQSPTIRAPLEHCTERRPRLPDCHILMWQLEFPVSVQPNDALKGLVAFGDIDIPGRPKIPLSEIRNCDVFLTASSREVGIMIKHWQSDFLSSLNSFAATQLSGDLGKYSFVVSQTQGNIKIGVQEKGSSDQIQWINWNKKLTSGTMRFTTLFSYEEPLGSPYLNRTPIRFDVNNGLKYAVQLTASGVVRQIARRSAAKDVQFQSILDFGPLDDQGIRIKPCLENGGNSNSNVPFRPRRKVAASAWPELFSCVFFFVPIKWCTKM
+>sp|Q99715|COCA1_HUMAN Collagen alpha-1(XII) chain OS=Homo sapiens OX=9606 GN=COL12A1 PE=1 SV=2
+MRSRLPPALAALGAALLLSSIEAEVDPPSDLNFKIIDENTVHMSWAKPVDPIVGYRITVDPTTDGPTKEFTLSASTTETLLSELVPETEYVVTITSYDEVEESVPVIGQLTIQTGSSTKPVEKKPGKTEIQKCSVSAWTDLVFLVDGSWSVGRNNFKYILDFIAALVSAFDIGEEKTRVGVVQYSSDTRTEFNLNQYYQRDELLAAIKKIPYKGGNTMTGDAIDYLVKNTFTESAGARVGFPKVAIIITDGKSQDEVEIPARELRNVGVEVFSLGIKAADAKELKQIASTPSLNHVFNVANFDAIVDIQNEIISQVCSGVDEQLGELVSGEEVVEPPSNLIAMEVSSKYVKLNWNPSPSPVTGYKVILTPMTAGSRQHALSVGPQTTTLSVRDLSADTEYQISVSAMKGMTSSEPISIMEKTQPMKVQVECSRGVDIKADIVFLVDGSYSIGIANFVKVRAFLEVLVKSFEISPNRVQISLVQYSRDPHTEFTLKKFTKVEDIIEAINTFPYRGGSTNTGKAMTYVREKIFVPSKGSRSNVPKVMILITDGKSSDAFRDPAIKLRNSDVEIFAVGVKDAVRSELEAIASPPAETHVFTVEDFDAFQRISFELTQSICLRIEQELAAIKKKAYVPPKDLSFSEVTSYGFKTNWSPAGENVFSYHITYKEAAGDDEVTVVEPASSTSVVLSSLKPETLYLVNVTAEYEDGFSIPLAGEETTEEVKGAPRNLKVTDETTDSFKITWTQAPGRVLRYRIIYRPVAGGESREVTTPPNQRRRTLENLIPDTKYEVSVIPEYFSGPGTPLTGNAATEEVRGNPRDLRVSDPTTSTMKLSWSGAPGKVKQYLVTYTPVAGGETQEVTVRGDTTNTVLQGLKEGTQYALSVTALYASGAGDALFGEGTTLEERGSPQDLVTKDITDTSIGAYWTSAPGMVRGYRVSWKSLYDDVDTGEKNLPEDAIHTMIENLQPETKYRISVFATYSSGEGEPLTGDATTELSQDSKTLKVDEETENTMRVTWKPAPGKVVNYRVVYRPHGRGKQMVAKVPPTVTSTVLKRLQPQTTYDITVLPIYKMGEGKLRQGSGTTASRFKSPRNLKTSDPTMSSFRVTWEPAPGEVKGYKVTFHPTGDDRRLGELVVGPYDNTVVLEELRAGTTYKVNVFGMFDGGESSPLVGQEMTTLSDTTVMPILSSGMECLTRAEADIVLLVDGSWSIGRANFRTVRSFISRIVEVFDIGPKRVQIALAQYSGDPRTEWQLNAHRDKKSLLQAVANLPYKGGNTLTGMALNFIRQQNFRTQAGMRPRARKIGVLITDGKSQDDVEAPSKKLKDEGVELFAIGIKNADEVELKMIATDPDDTHAYNVADFESLSRIVDDLTINLCNSVKGPGDLEAPSNLVISERTHRSFRVSWTPPSDSVDRYKVEYYPVSGGKRQEFYVSRMETSTVLKDLKPETEYVVNVYSVVEDEYSEPLKGTEKTLPVPVVSLNIYDVGPTTMHVQWQPVGGATGYILSYKPVKDTEPTRPKEVRLGPTVNDMQLTDLVPNTEYAVTVQAVLHDLTSEPVTVREVTLPLPRPQDLKLRDVTHSTMNVFWEPVPGKVRKYIVRYKTPEEDVKEVEVDRSETSTSLKDLFSQTLYTVSVSAVHDEGESPPVTAQETTRPVPAPTNLKITEVTSEGFRGTWDHGASDVSLYRITWAPFGSSDKMETILNGDENTLVFENLNPNTIYEVSITAIYPDESESDDLIGSERTLPILTTQAPKSGPRNLQVYNATSNSLTVKWDPASGRVQKYRITYQPSTGEGNEQTTTIGGRQNSVVLQKLKPDTPYTITVSSLYPDGEGGRMTGRGKTKPLNTVRNLRVYDPSTSTLNVRWDHAEGNPRQYKLFYAPAAGGPEELVPIPGNTNYAILRNLQPDTSYTVTVVPVYTEGDGGRTSDTGRTLMRGLARNVQVYNPTPNSLDVRWDPAPGPVLQYRVVYSPVDGTRPSESIVVPGNTRMVHLERLIPDTLYSVNLVALYSDGEGNPSPAQGRTLPRSGPRNLRVFGETTNSLSVAWDHADGPVQQYRIIYSPTVGDPIDEYTTVPGRRNNVILQPLQPDTPYKITVIAVYEDGDGGHLTGNGRTVGLLPPQNIHISDEWYTRFRVSWDPSPSPVLGYKIVYKPVGSNEPMEAFVGEMTSYTLHNLNPSTTYDVNVYAQYDSGLSVPLTDQGTTLYLNVTDLKTYQIGWDTFCVKWSPHRAATSYRLKLSPADGTRGQEITVRGSETSHCFTGLSPDTDYGVTVFVQTPNLEGPGVSVKEHTTVKPTEAPTEPPTPPPPPTIPPARDVCKGAKADIVFLTDASWSIGDDNFNKVVKFIFNTVGGFDEISPAGIQVSFVQYSDEVKSEFKLNTYNDKALALGALQNIRYRGGNTRTGKALTFIKEKVLTWESGMRKNVPKVLVVVTDGRSQDEVKKAALVIQQSGFSVFVVGVADVDYNELANIASKPSERHVFIVDDFESFEKIEDNLITFVCETATSSCPLIYLDGYTSPGFKMLEAYNLTEKNFASVQGVSLESGSFPSYSAYRIQKNAFVNQPTADLHPNGLPPSYTIILLFRLLPETPSDPFAIWQITDRDYKPQVGVIADPSSKTLSFFNKDTRGEVQTVTFDTEEVKTLFYGSFHKVHIVVTSKSVKIYIDCYEIIEKDIKEAGNITTDGYEILGKLLKGERKSAAFQIQSFDIVCSPVWTSRDRCCDIPSRRDEGKCPAFPNSCTCTQDSVGPPGPPGPAGGPGAKGPRGERGISGAIGPPGPRGDIGPPGPQGPPGPQGPNGLSIPGEQGRQGMKGDAGEPGLPGRTGTPGLPGPPGPMGPPGDRGFTGKDGAMGPRGPPGPPGSPGSPGVTGPSGKPGKPGDHGRPGPSGLKGEKGDRGDIASQNMMRAVARQVCEQLISGQMNRFNQMLNQIPNDYQSSRNQPGPPGPPGPPGSAGARGEPGPGGRPGFPGTPGMQGPPGERGLPGEKGERGTGSSGPRGLPGPPGPQGESRTGPPGSTGSRGPPGPPGRPGNSGIRGPPGPPGYCDSSQCASIPYNGQGYPGSG
+>DECOY_sp|Q99715|COCA1_HUMAN Collagen alpha-1(XII) chain OS=Homo sapiens OX=9606 GN=COL12A1 PE=1 SV=2
+GSGPYGQGNYPISACQSSDCYGPPGPPGRIGSNGPRGPPGPPGRSGTSGPPGTRSEGQPGPPGPLGRPGSSGTGREGKEGPLGREGPPGQMGPTGPFGPRGGPGPEGRAGASGPPGPPGPPGPQNRSSQYDNPIQNLMQNFRNMQGSILQECVQRAVARMMNQSAIDGRDGKEGKLGSPGPRGHDGPKGPKGSPGTVGPSGPSGPPGPPGRPGMAGDKGTFGRDGPPGMPGPPGPLGPTGTRGPLGPEGADGKMGQRGQEGPISLGNPGQPGPPGQPGPPGIDGRPGPPGIAGSIGREGRPGKAGPGGAPGPPGPPGVSDQTCTCSNPFAPCKGEDRRSPIDCCRDRSTWVPSCVIDFSQIQFAASKREGKLLKGLIEYGDTTINGAEKIDKEIIEYCDIYIKVSKSTVVIHVKHFSGYFLTKVEETDFTVTQVEGRTDKNFFSLTKSSPDAIVGVQPKYDRDTIQWIAFPDSPTEPLLRFLLIITYSPPLGNPHLDATPQNVFANKQIRYASYSPFSGSELSVGQVSAFNKETLNYAELMKFGPSTYGDLYILPCSSTATECVFTILNDEIKEFSEFDDVIFVHRESPKSAINALENYDVDAVGVVFVSFGSQQIVLAAKKVEDQSRGDTVVVLVKPVNKRMGSEWTLVKEKIFTLAKGTRTNGGRYRINQLAGLALAKDNYTNLKFESKVEDSYQVFSVQIGAPSIEDFGGVTNFIFKVVKNFNDDGISWSADTLFVIDAKAGKCVDRAPPITPPPPPTPPETPAETPKVTTHEKVSVGPGELNPTQVFVTVGYDTDPSLGTFCHSTESGRVTIEQGRTGDAPSLKLRYSTAARHPSWKVCFTDWGIQYTKLDTVNLYLTTGQDTLPVSLGSDYQAYVNVDYTTSPNLNHLTYSTMEGVFAEMPENSGVPKYVIKYGLVPSPSPDWSVRFRTYWEDSIHINQPPLLGVTRGNGTLHGGDGDEYVAIVTIKYPTDPQLPQLIVNNRRGPVTTYEDIPDGVTPSYIIRYQQVPGDAHDWAVSLSNTTEGFVRLNRPGSRPLTRGQAPSPNGEGDSYLAVLNVSYLTDPILRELHVMRTNGPVVISESPRTGDVPSYVVRYQLVPGPAPDWRVDLSNPTPNYVQVNRALGRMLTRGTDSTRGGDGETYVPVVTVTYSTDPQLNRLIAYNTNGPIPVLEEPGGAAPAYFLKYQRPNGEAHDWRVNLTSTSPDYVRLNRVTNLPKTKGRGTMRGGEGDPYLSSVTITYPTDPKLKQLVVSNQRGGITTTQENGEGTSPQYTIRYKQVRGSAPDWKVTLSNSTANYVQLNRPGSKPAQTTLIPLTRESGILDDSESEDPYIATISVEYITNPNLNEFVLTNEDGNLITEMKDSSGFPAWTIRYLSVDSAGHDWTGRFGESTVETIKLNTPAPVPRTTEQATVPPSEGEDHVASVSVTYLTQSFLDKLSTSTESRDVEVEKVDEEPTKYRVIYKRVKGPVPEWFVNMTSHTVDRLKLDQPRPLPLTVERVTVPESTLDHLVAQVTVAYETNPVLDTLQMDNVTPGLRVEKPRTPETDKVPKYSLIYGTAGGVPQWQVHMTTPGVDYINLSVVPVPLTKETGKLPESYEDEVVSYVNVVYETEPKLDKLVTSTEMRSVYFEQRKGGSVPYYEVKYRDVSDSPPTWSVRFSRHTRESIVLNSPAELDGPGKVSNCLNITLDDVIRSLSEFDAVNYAHTDDPDTAIMKLEVEDANKIGIAFLEVGEDKLKKSPAEVDDQSKGDTILVGIKRARPRMGAQTRFNQQRIFNLAMGTLTNGGKYPLNAVAQLLSKKDRHANLQWETRPDGSYQALAIQVRKPGIDFVEVIRSIFSRVTRFNARGISWSGDVLLVIDAEARTLCEMGSSLIPMVTTDSLTTMEQGVLPSSEGGDFMGFVNVKYTTGARLEELVVTNDYPGVVLEGLRRDDGTPHFTVKYGKVEGPAPEWTVRFSSMTPDSTKLNRPSKFRSATTGSGQRLKGEGMKYIPLVTIDYTTQPQLRKLVTSTVTPPVKAVMQKGRGHPRYVVRYNVVKGPAPKWTVRMTNETEEDVKLTKSDQSLETTADGTLPEGEGSSYTAFVSIRYKTEPQLNEIMTHIADEPLNKEGTDVDDYLSKWSVRYGRVMGPASTWYAGISTDTIDKTVLDQPSGREELTTGEGFLADGAGSAYLATVSLAYQTGEKLGQLVTNTTDGRVTVEQTEGGAVPTYTVLYQKVKGPAGSWSLKMTSTTPDSVRLDRPNGRVEETAANGTLPTGPGSFYEPIVSVEYKTDPILNELTRRRQNPPTTVERSEGGAVPRYIIRYRLVRGPAQTWTIKFSDTTEDTVKLNRPAGKVEETTEEGALPISFGDEYEATVNVLYLTEPKLSSLVVSTSSAPEVVTVEDDGAAEKYTIHYSFVNEGAPSWNTKFGYSTVESFSLDKPPVYAKKKIAALEQEIRLCISQTLEFSIRQFADFDEVTFVHTEAPPSAIAELESRVADKVGVAFIEVDSNRLKIAPDRFADSSKGDTILIMVKPVNSRSGKSPVFIKERVYTMAKGTNTSGGRYPFTNIAEIIDEVKTFKKLTFETHPDRSYQVLSIQVRNPSIEFSKVLVELFARVKVFNAIGISYSGDVLFVIDAKIDVGRSCEVQVKMPQTKEMISIPESSTMGKMASVSIQYETDASLDRVSLTTTQPGVSLAHQRSGATMPTLIVKYGTVPSPSPNWNLKVYKSSVEMAILNSPPEVVEEGSVLEGLQEDVGSCVQSIIENQIDVIADFNAVNFVHNLSPTSAIQKLEKADAAKIGLSFVEVGVNRLERAPIEVEDQSKGDTIIIAVKPFGVRAGASETFTNKVLYDIADGTMTNGGKYPIKKIAALLEDRQYYQNLNFETRTDSSYQVVGVRTKEEGIDFASVLAAIFDLIYKFNNRGVSWSGDVLFVLDTWASVSCKQIETKGPKKEVPKTSSGTQITLQGIVPVSEEVEDYSTITVVYETEPVLESLLTETTSASLTFEKTPGDTTPDVTIRYGVIPDVPKAWSMHVTNEDIIKFNLDSPPDVEAEISSLLLAAGLAALAPPLRSRM
+>sp|Q9BQW3|COE4_HUMAN Transcription factor COE4 OS=Homo sapiens OX=9606 GN=EBF4 PE=2 SV=2
+MFPAQDALPRSGLNLKEEPLLPAGLGSVRSWMQGAGILDASTAAQSGVGLARAHFEKQPPSNLRKSNFFHFVLAMYDRQGQPVEVERTAFIDFVEKDREPGAEKTNNGIHYRLRLVYNNGLRTEQDLYVRLIDSMSKQAIIYEGQDKNPEMCRVLLTHEIMCSRCCDRKSCGNRNETPSDPVIIDRFFLKFFLKCNQNCLKNAGNPRDMRRFQVVVSTTVSVDGHVLAVSDNMFVHNNSKHGRRARRLDPSEAATPCIKAISPGEGWTTGGATVIVIGDNFFDGLQVVFGNVLVWSELITPHAIRVQTPPRHIPGVVEVTLSYKSKQFCKGCPGRFVYTALNEPTIDYGFQRLQKVIPRHPGDPERLPKEVLLKRAADLAEALYGVPGSNQELLLKRAADVAEALYSTPRAPGPLAPLAPSHPHPAVVGINAFSSPLAIAVGDATPGPEPGYARSCSSASPRGFAPSPGSQQSGYGGGLGAGLGGYGAPGVAGLGVPGSPSFLNGSTATSPFAIMPSSPPLAAASSMSLPAAAPTTSVFSFSPVNMISAVKQRSAFAPVLRPPSSPPQACPRAHGEGLPDQSFEDSDKFHSPARGLQGLAYS
+>DECOY_sp|Q9BQW3|COE4_HUMAN Transcription factor COE4 OS=Homo sapiens OX=9606 GN=EBF4 PE=2 SV=2
+SYALGQLGRAPSHFKDSDEFSQDPLGEGHARPCAQPPSSPPRLVPAFASRQKVASIMNVPSFSFVSTTPAAAPLSMSSAAALPPSSPMIAFPSTATSGNLFSPSGPVGLGAVGPAGYGGLGAGLGGGYGSQQSGPSPAFGRPSASSCSRAYGPEPGPTADGVAIALPSSFANIGVVAPHPHSPALPALPGPARPTSYLAEAVDAARKLLLEQNSGPVGYLAEALDAARKLLVEKPLREPDGPHRPIVKQLRQFGYDITPENLATYVFRGPCGKCFQKSKYSLTVEVVGPIHRPPTQVRIAHPTILESWVLVNGFVVQLGDFFNDGIVIVTAGGTTWGEGPSIAKICPTAAESPDLRRARRGHKSNNHVFMNDSVALVHGDVSVTTSVVVQFRRMDRPNGANKLCNQNCKLFFKLFFRDIIVPDSPTENRNGCSKRDCCRSCMIEHTLLVRCMEPNKDQGEYIIAQKSMSDILRVYLDQETRLGNNYVLRLRYHIGNNTKEAGPERDKEVFDIFATREVEVPQGQRDYMALVFHFFNSKRLNSPPQKEFHARALGVGSQAATSADLIGAGQMWSRVSGLGAPLLPEEKLNLGSRPLADQAPFM
+>sp|Q05707|COEA1_HUMAN Collagen alpha-1(XIV) chain OS=Homo sapiens OX=9606 GN=COL14A1 PE=1 SV=3
+MKIFQRKMRYWLLPPFLAIVYFCTIVQGQVAPPTRLRYNVISHDSIQISWKAPRGKFGGYKLLVTPTSGGKTNQLNLQNTATKAIIQGLMPDQNYTVQIIAYNKDKESKPAQGQFRIKDLEKRKDPKPRVKVVDRGNGSRPSSPEEVKFVCQTPAIADIVILVDGSWSIGRFNFRLVRHFLENLVTAFDVGSEKTRIGLAQYSGDPRIEWHLNAFSTKDEVIEAVRNLPYKGGNTLTGLALNYIFENSFKPEAGSRTGVSKIGILITDGKSQDDIIPPSRNLRESGVELFAIGVKNADVNELQEIASEPDSTHVYNVAEFDLMHTVVESLTRTLCSRVEEQDREIKASAHAITGPPTELITSEVTARSFMVNWTHAPGNVEKYRVVYYPTRGGKPDEVVVDGTVSSTVLKNLMSLTEYQIAVFAIYAHTASEGLRGTETTLALPMASDLLLYDVTENSMRVKWDAVPGASGYLILYAPLTEGLAGDEKEMKIGETHTDIELSGLLPNTEYTVTVYAMFGEEASDPVTGQETTLALSPPRNLRISNVGSNSARLTWDPTSRQINGYRIVYNNADGTEINEVEVDPITTFPLKGLTPLTEYTIAIFSIYDEGQSEPLTGVFTTEEVPAQQYLEIDEVTTDSFRVTWHPLSADEGLHKLMWIPVYGGKTEEVVLKEEQDSHVIEGLEPGTEYEVSLLAVLDDGSESEVVTAVGTTLDSFWTEPATTIVPTTSVTSVFQTGIRNLVVGDETTSSLRVKWDISDSDVQQFRVTYMTAQGDPEEEVIGTVMVPGSQNNLLLKPLLPDTEYKVTVTPIYTDGEGVSVSAPGKTLPSSGPQNLRVSEEWYNRLRITWDPPSSPVKGYRIVYKPVSVPGPTLETFVGADINTILITNLLSGMDYNVKIFASQASGFSDALTGMVKTLFLGVTNLQAKHVEMTSLCAHWQVHRHATAYRVVIESLQDRQKQESTVGGGTTRHCFYGLQPDSEYKISVYTKLQEIEGPSVSIMEKTQSLPTRPPTFPPTIPPAKEVCKAAKADLVFMVDGSWSIGDENFNKIISFLYSTVGALNKIGTDGTQVAMVQFTDDPRTEFKLNAYKTKETLLDAIKHISYKGGNTKTGKAIKYVRDTLFTAESGTRRGIPKVIVVITDGRSQDDVNKISREMQLDGYSIFAIGVADADYSELVSIGSKPSARHVFFVDDFDAFKKIEDELITFVCETASATCPVVHKDGIDLAGFKMMEMFGLVEKDFSSVEGVSMEPGTFNVFPCYQLHKDALVSQPTRYLHPEGLPSDYTISFLFRILPDTPQEPFALWEILNKNSDPLVGVILDNGGKTLTYFNYDQSGDFQTVTFEGPEIRKIFYGSFHKLHIVVSETLVKVVIDCKQVGEKAMNASANITSDGVEVLGKMVRSRGPGGNSAPFQLQMFDIVCSTSWANTDKCCELPGLRDDESCPDLPHSCSCSETNEVALGPAGPPGGPGLRGPKGQQGEPGPKGPDGPRGEIGLPGPQGPPGPQGPSGLSIQGMPGMPGEKGEKGDTGLPGPQGIPGGVGSPGRDGSPGQRGLPGKDGSSGPPGPPGPIGIPGTPGVPGITGSMGPQGALGPPGVPGAKGERGERGDLQSQAMVRSVARQVCEQLIQSHMARYTAILNQIPSHSSSIRTVQGPPGEPGRPGSPGAPGEQGPPGTPGFPGNAGVPGTPGERGLTGIKGEKGNPGVGTQGPRGPPGPAGPSGESRPGSPGPPGSPGPRGPPGHLGVPGPQGPSGQPGYCDPSSCSAYGVRAPHPDQPEFTPVQDELEAMELWGPGV
+>DECOY_sp|Q05707|COEA1_HUMAN Collagen alpha-1(XIV) chain OS=Homo sapiens OX=9606 GN=COL14A1 PE=1 SV=3
+VGPGWLEMAELEDQVPTFEPQDPHPARVGYASCSSPDCYGPQGSPGQPGPVGLHGPPGRPGPSGPPGPSGPRSEGSPGAPGPPGRPGQTGVGPNGKEGKIGTLGREGPTGPVGANGPFGPTGPPGQEGPAGPSGPRGPEGPPGQVTRISSSHSPIQNLIATYRAMHSQILQECVQRAVSRVMAQSQLDGREGREGKAGPVGPPGLAGQPGMSGTIGPVGPTGPIGIPGPPGPPGSSGDKGPLGRQGPSGDRGPSGVGGPIGQPGPLGTDGKEGKEGPMGPMGQISLGSPGQPGPPGQPGPLGIEGRPGDPGKPGPEGQQGKPGRLGPGGPPGAPGLAVENTESCSCSHPLDPCSEDDRLGPLECCKDTNAWSTSCVIDFMQLQFPASNGGPGRSRVMKGLVEVGDSTINASANMAKEGVQKCDIVVKVLTESVVIHLKHFSGYFIKRIEPGEFTVTQFDGSQDYNFYTLTKGGNDLIVGVLPDSNKNLIEWLAFPEQPTDPLIRFLFSITYDSPLGEPHLYRTPQSVLADKHLQYCPFVNFTGPEMSVGEVSSFDKEVLGFMEMMKFGALDIGDKHVVPCTASATECVFTILEDEIKKFADFDDVFFVHRASPKSGISVLESYDADAVGIAFISYGDLQMERSIKNVDDQSRGDTIVVIVKPIGRRTGSEATFLTDRVYKIAKGTKTNGGKYSIHKIADLLTEKTKYANLKFETRPDDTFQVMAVQTGDTGIKNLAGVTSYLFSIIKNFNEDGISWSGDVMFVLDAKAAKCVEKAPPITPPFTPPRTPLSQTKEMISVSPGEIEQLKTYVSIKYESDPQLGYFCHRTTGGGVTSEQKQRDQLSEIVVRYATAHRHVQWHACLSTMEVHKAQLNTVGLFLTKVMGTLADSFGSAQSAFIKVNYDMGSLLNTILITNIDAGVFTELTPGPVSVPKYVIRYGKVPSSPPDWTIRLRNYWEESVRLNQPGSSPLTKGPASVSVGEGDTYIPTVTVKYETDPLLPKLLLNNQSGPVMVTGIVEEEPDGQATMYTVRFQQVDSDSIDWKVRLSSTTEDGVVLNRIGTQFVSTVSTTPVITTAPETWFSDLTTGVATVVESESGDDLVALLSVEYETGPELGEIVHSDQEEKLVVEETKGGYVPIWMLKHLGEDASLPHWTVRFSDTTVEDIELYQQAPVEETTFVGTLPESQGEDYISFIAITYETLPTLGKLPFTTIPDVEVENIETGDANNYVIRYGNIQRSTPDWTLRASNSGVNSIRLNRPPSLALTTEQGTVPDSAEEGFMAYVTVTYETNPLLGSLEIDTHTEGIKMEKEDGALGETLPAYLILYGSAGPVADWKVRMSNETVDYLLLDSAMPLALTTETGRLGESATHAYIAFVAIQYETLSMLNKLVTSSVTGDVVVEDPKGGRTPYYVVRYKEVNGPAHTWNVMFSRATVESTILETPPGTIAHASAKIERDQEEVRSCLTRTLSEVVTHMLDFEAVNYVHTSDPESAIEQLENVDANKVGIAFLEVGSERLNRSPPIIDDQSKGDTILIGIKSVGTRSGAEPKFSNEFIYNLALGTLTNGGKYPLNRVAEIVEDKTSFANLHWEIRPDGSYQALGIRTKESGVDFATVLNELFHRVLRFNFRGISWSGDVLIVIDAIAPTQCVFKVEEPSSPRSGNGRDVVKVRPKPDKRKELDKIRFQGQAPKSEKDKNYAIIQVTYNQDPMLGQIIAKTATNQLNLQNTKGGSTPTVLLKYGGFKGRPAKWSIQISDHSIVNYRLRTPPAVQGQVITCFYVIALFPPLLWYRMKRQFIKM
+>sp|Q14746|COG2_HUMAN Conserved oligomeric Golgi complex subunit 2 OS=Homo sapiens OX=9606 GN=COG2 PE=1 SV=1
+MEKSRMNLPKGPDTLCFDKDEFMKEDFDVDHFVSDCRKRVQLEELRDDLELYYKLLKTAMVELINKDYADFVNLSTNLVGMDKALNQLSVPLGQLREEVLSLRSSVSEGIRAVDERMSKQEDIRKKKMCVLRLIQVIRSVEKIEKILNSQSSKETSALEASSPLLTGQILERIATEFNQLQFHAVQSKGMPLLDKVRPRIAGITAMLQQSLEGLLLEGLQTSDVDIIRHCLRTYATIDKTRDAEALVGQVLVKPYIDEVIIEQFVESHPNGLQVMYNKLLEFVPHHCRLLREVTGGAISSEKGNTVPGYDFLVNSVWPQIVQGLEEKLPSLFNPGNPDAFHEKYTISMDFVRRLERQCGSQASVKRLRAHPAYHSFNKKWNLPVYFQIRFREIAGSLEAALTDVLEDAPAESPYCLLASHRTWSSLRRCWSDEMFLPLLVHRLWRLTLQILARYSVFVNELSLRPISNESPKEIKKPLVTGSKEPSITQGNTEDQGSGPSETKPVVSISRTQLVYVVADLDKLQEQLPELLEIIKPKLEMIGFKNFSSISAALEDSQSSFSACVPSLSSKIIQDLSDSCFGFLKSALEVPRLYRRTNKEVPTTASSYVDSALKPLFQLQSGHKDKLKQAIIQQWLEGTLSESTHKYYETVSDVLNSVKKMEESLKRLKQARKTTPANPVGPSGGMSDDDKIRLQLALDVEYLGEQIQKLGLQASDIKSFSALAELVAAAKDQATAEQP
+>DECOY_sp|Q14746|COG2_HUMAN Conserved oligomeric Golgi complex subunit 2 OS=Homo sapiens OX=9606 GN=COG2 PE=1 SV=1
+PQEATAQDKAAAVLEALASFSKIDSAQLGLKQIQEGLYEVDLALQLRIKDDDSMGGSPGVPNAPTTKRAQKLRKLSEEMKKVSNLVDSVTEYYKHTSESLTGELWQQIIAQKLKDKHGSQLQFLPKLASDVYSSATTPVEKNTRRYLRPVELASKLFGFCSDSLDQIIKSSLSPVCASFSSQSDELAASISSFNKFGIMELKPKIIELLEPLQEQLKDLDAVVYVLQTRSISVVPKTESPGSGQDETNGQTISPEKSGTVLPKKIEKPSENSIPRLSLENVFVSYRALIQLTLRWLRHVLLPLFMEDSWCRRLSSWTRHSALLCYPSEAPADELVDTLAAELSGAIERFRIQFYVPLNWKKNFSHYAPHARLRKVSAQSGCQRELRRVFDMSITYKEHFADPNGPNFLSPLKEELGQVIQPWVSNVLFDYGPVTNGKESSIAGGTVERLLRCHHPVFELLKNYMVQLGNPHSEVFQEIIVEDIYPKVLVQGVLAEADRTKDITAYTRLCHRIIDVDSTQLGELLLGELSQQLMATIGAIRPRVKDLLPMGKSQVAHFQLQNFETAIRELIQGTLLPSSAELASTEKSSQSNLIKEIKEVSRIVQILRLVCMKKKRIDEQKSMREDVARIGESVSSRLSLVEERLQGLPVSLQNLAKDMGVLNTSLNVFDAYDKNILEVMATKLLKYYLELDDRLEELQVRKRCDSVFHDVDFDEKMFEDKDFCLTDPGKPLNMRSKEM
+>sp|Q6UWE3|COLL2_HUMAN Colipase-like protein 2 OS=Homo sapiens OX=9606 GN=CLPSL2 PE=1 SV=3
+MAAALALVAGVLSGAVLPLWSALPQYKKKITDRCFHHSECYSGCCLMDLDSGGAFCAPRARITMICLPQTKGATNIICPCRMGLTCISKDLMCSRRCHMI
+>DECOY_sp|Q6UWE3|COLL2_HUMAN Colipase-like protein 2 OS=Homo sapiens OX=9606 GN=CLPSL2 PE=1 SV=3
+IMHCRRSCMLDKSICTLGMRCPCIINTAGKTQPLCIMTIRARPACFAGGSDLDMLCCGSYCESHHFCRDTIKKKYQPLASWLPLVAGSLVGAVLALAAAM
+>sp|Q6ZR85|CQ107_HUMAN Uncharacterized protein C17orf107 OS=Homo sapiens OX=9606 GN=C17orf107 PE=2 SV=1
+MKGTPSSLDTLMWIYHFHSSTEVALQPPLLSSLELSVAAAHEYLEQRFRELKSLEPPEPKMQGMLPAPKPTLGLVLREATASLVSFGTTLLEISALWLQQEARRLDGSAGPAPDGRDPGAALSRVAQAAGQGVRQAGAAVGASARLLVQGAWLCLCGRGLQGSASFLRQSQQQLGLGIPGEPVSSGHGVS
+>DECOY_sp|Q6ZR85|CQ107_HUMAN Uncharacterized protein C17orf107 OS=Homo sapiens OX=9606 GN=C17orf107 PE=2 SV=1
+SVGHGSSVPEGPIGLGLQQQSQRLFSASGQLGRGCLCLWAGQVLLRASAGVAAGAQRVGQGAAQAVRSLAAGPDRGDPAPGASGDLRRAEQQLWLASIELLTTGFSVLSATAERLVLGLTPKPAPLMGQMKPEPPELSKLERFRQELYEHAAAVSLELSSLLPPQLAVETSSHFHYIWMLTDLSSPTGKM
+>sp|Q9H8M1|CQ10B_HUMAN Coenzyme Q-binding protein COQ10 homolog B, mitochondrial OS=Homo sapiens OX=9606 GN=COQ10B PE=2 SV=1
+MAARTGHTALRRVVSGCRPKSATAAGAQAPVRNGRYLASCGILMSRTLPLHTSILPKEICARTFFKITAPLINKRKEYSERRILGYSMQEMYDVVSGVEDYKHFVPWCKKSDVISKRSGYCKTRLEIGFPPVLERYTSVVTLVKPHLVKASCTDGRLFNHLETIWRFSPGLPGYPRTCTLDFSISFEFRSLLHSQLATLFFDEVVKQMVAAFERRACKLYGPETNIPRELMLHEVHHT
+>DECOY_sp|Q9H8M1|CQ10B_HUMAN Coenzyme Q-binding protein COQ10 homolog B, mitochondrial OS=Homo sapiens OX=9606 GN=COQ10B PE=2 SV=1
+THHVEHLMLERPINTEPGYLKCARREFAAVMQKVVEDFFLTALQSHLLSRFEFSISFDLTCTRPYGPLGPSFRWITELHNFLRGDTCSAKVLHPKVLTVVSTYRELVPPFGIELRTKCYGSRKSIVDSKKCWPVFHKYDEVGSVVDYMEQMSYGLIRRESYEKRKNILPATIKFFTRACIEKPLISTHLPLTRSMLIGCSALYRGNRVPAQAGAATASKPRCGSVVRRLATHGTRAAM
+>sp|Q9UP83|COG5_HUMAN Conserved oligomeric Golgi complex subunit 5 OS=Homo sapiens OX=9606 GN=COG5 PE=1 SV=3
+MGWVGGRRRDSASPPGRSRSAADDINPAPANMEGGGGSVAVAGLGARGSGAAAATVRELLQDGCYSDFLNEDFDVKTYTSQSIHQAVIAEQLAKLAQGISQLDRELHLQVVARHEDLLAQATGIESLEGVLQMMQTRIGALQGAVDRIKAKIVEPYNKIVARTAQLARLQVACDLLRRIIRILNLSKRLQGQLQGGSREITKAAQSLNELDYLSQGIDLSGIEVIENDLLFIARARLEVENQAKRLLEQGLETQNPTQVGTALQVFYNLGTLKDTITSVVDGYCATLEENINSALDIKVLTQPSQSAVRGGPGRSTMPTPGNTAALRASFWTNMEKLMDHIYAVCGQVQHLQKVLAKKRDPVSHICFIEEIVKDGQPEIFYTFWNSVTQALSSQFHMATNSSMFLKQAFEGEYPKLLRLYNDLWKRLQQYSQHIQGNFNASGTTDLYVDLQHMEDDAQDIFIPKKPDYDPEKALKDSLQPYEAAYLSKSLSRLFDPINLVFPPGGRNPPSSDELDGIIKTIASELNVAAVDTNLTLAVSKNVAKTIQLYSVKSEQLLSTQGDASQVIGPLTEGQRRNVAVVNSLYKLHQSVTKAIHALMENAVQPLLTSVGDAIEAIIITMHQEDFSGSLSSSGKPDVPCSLYMKELQGFIARVMSDYFKHFECLDFVFDNTEAIAQRAVELFIRHASLIRPLGEGGKMRLAADFAQMELAVGPFCRRVSDLGKSYRMLRSFRPLLFQASEHVASSPALGDVIPFSIIIQFLFTRAPAELKSPFQRAEWSHTRFSQWLDDHPSEKDRLLLIRGALEAYVQSVRSREGKEFAPVYPIMVQLLQKAMSALQ
+>DECOY_sp|Q9UP83|COG5_HUMAN Conserved oligomeric Golgi complex subunit 5 OS=Homo sapiens OX=9606 GN=COG5 PE=1 SV=3
+QLASMAKQLLQVMIPYVPAFEKGERSRVSQVYAELAGRILLLRDKESPHDDLWQSFRTHSWEARQFPSKLEAPARTFLFQIIISFPIVDGLAPSSAVHESAQFLLPRFSRLMRYSKGLDSVRRCFPGVALEMQAFDAALRMKGGEGLPRILSAHRIFLEVARQAIAETNDFVFDLCEFHKFYDSMVRAIFGQLEKMYLSCPVDPKGSSSLSGSFDEQHMTIIIAEIADGVSTLLPQVANEMLAHIAKTVSQHLKYLSNVVAVNRRQGETLPGIVQSADGQTSLLQESKVSYLQITKAVNKSVALTLNTDVAAVNLESAITKIIGDLEDSSPPNRGGPPFVLNIPDFLRSLSKSLYAAEYPQLSDKLAKEPDYDPKKPIFIDQADDEMHQLDVYLDTTGSANFNGQIHQSYQQLRKWLDNYLRLLKPYEGEFAQKLFMSSNTAMHFQSSLAQTVSNWFTYFIEPQGDKVIEEIFCIHSVPDRKKALVKQLHQVQGCVAYIHDMLKEMNTWFSARLAATNGPTPMTSRGPGGRVASQSPQTLVKIDLASNINEELTACYGDVVSTITDKLTGLNYFVQLATGVQTPNQTELGQELLRKAQNEVELRARAIFLLDNEIVEIGSLDIGQSLYDLENLSQAAKTIERSGGQLQGQLRKSLNLIRIIRRLLDCAVQLRALQATRAVIKNYPEVIKAKIRDVAGQLAGIRTQMMQLVGELSEIGTAQALLDEHRAVVQLHLERDLQSIGQALKALQEAIVAQHISQSTYTKVDFDENLFDSYCGDQLLERVTAAAAGSGRAGLGAVAVSGGGGEMNAPAPNIDDAASRSRGPPSASDRRRGGVWGM
+>sp|P01189|COLI_HUMAN Pro-opiomelanocortin OS=Homo sapiens OX=9606 GN=POMC PE=1 SV=2
+MPRSCCSRSGALLLALLLQASMEVRGWCLESSQCQDLTTESNLLECIRACKPDLSAETPMFPGNGDEQPLTENPRKYVMGHFRWDRFGRRNSSSSGSSGAGQKREDVSAGEDCGPLPEGGPEPRSDGAKPGPREGKRSYSMEHFRWGKPVGKKRRPVKVYPNGAEDESAEAFPLEFKRELTGQRLREGDGPDGPADDGAGAQADLEHSLLVAAEKKDEGPYRMEHFRWGSPPKDKRYGGFMTSEKSQTPLVTLFKNAIIKNAYKKGE
+>DECOY_sp|P01189|COLI_HUMAN Pro-opiomelanocortin OS=Homo sapiens OX=9606 GN=POMC PE=1 SV=2
+EGKKYANKIIANKFLTVLPTQSKESTMFGGYRKDKPPSGWRFHEMRYPGEDKKEAAVLLSHELDAQAGAGDDAPGDPGDGERLRQGTLERKFELPFAEASEDEAGNPYVKVPRRKKGVPKGWRFHEMSYSRKGERPGPKAGDSRPEPGGEPLPGCDEGASVDERKQGAGSSGSSSSNRRGFRDWRFHGMVYKRPNETLPQEDGNGPFMPTEASLDPKCARICELLNSETTLDQCQSSELCWGRVEMSAQLLLALLLAGSRSCCSRPM
+>sp|Q9Y6G5|COMDA_HUMAN COMM domain-containing protein 10 OS=Homo sapiens OX=9606 GN=COMMD10 PE=1 SV=1
+MAVPAALILRESPSMKKAVSLINAIDTGRFPRLLTRILQKLHLKAESSFSEEEEEKLQAAFSLEKQDLHLVLETISFILEQAVYHNVKPAALQQQLENIHLRQDKAEAFVNTWSSMGQETVEKFRQRILAPCKLETVGWQLNLQMAHSAQAKLKSPQAVLQLGVNNEDSKSLEKVLVEFSHKELFDFYNKLETIQAQLDSLT
+>DECOY_sp|Q9Y6G5|COMDA_HUMAN COMM domain-containing protein 10 OS=Homo sapiens OX=9606 GN=COMMD10 PE=1 SV=1
+TLSDLQAQITELKNYFDFLEKHSFEVLVKELSKSDENNVGLQLVAQPSKLKAQASHAMQLNLQWGVTELKCPALIRQRFKEVTEQGMSSWTNVFAEAKDQRLHINELQQQLAAPKVNHYVAQELIFSITELVLHLDQKELSFAAQLKEEEEESFSSEAKLHLKQLIRTLLRPFRGTDIANILSVAKKMSPSERLILAAPVAM
+>sp|P24311|COX7B_HUMAN Cytochrome c oxidase subunit 7B, mitochondrial OS=Homo sapiens OX=9606 GN=COX7B PE=1 SV=2
+MFPLVKSALNRLQVRSIQQTMARQSHQKRTPDFHDKYGNAVLASGATFCIVTWTYVATQVGIEWNLSPVGRVTPKEWRNQ
+>DECOY_sp|P24311|COX7B_HUMAN Cytochrome c oxidase subunit 7B, mitochondrial OS=Homo sapiens OX=9606 GN=COX7B PE=1 SV=2
+QNRWEKPTVRGVPSLNWEIGVQTAVYTWTVICFTAGSALVANGYKDHFDPTRKQHSQRAMTQQISRVQLRNLASKVLPFM
+>sp|O60397|COX7S_HUMAN Putative cytochrome c oxidase subunit 7A3, mitochondrial OS=Homo sapiens OX=9606 GN=COX7A2P2 PE=5 SV=1
+MLWNLLALHQIGQRTISTASHRHFKNKVPEKQKLFQEDDGIPLYLKGGIADALLHRATMILTVGGTAYAIYQLAVASFPNKGVTSIIPAITWFTFIQLSMDQKSDK
+>DECOY_sp|O60397|COX7S_HUMAN Putative cytochrome c oxidase subunit 7A3, mitochondrial OS=Homo sapiens OX=9606 GN=COX7A2P2 PE=5 SV=1
+KDSKQDMSLQIFTFWTIAPIISTVGKNPFSAVALQYIAYATGGVTLIMTARHLLADAIGGKLYLPIGDDEQFLKQKEPVKNKFHRHSATSITRQGIQHLALLNWLM
+>sp|Q7Z7K0|COXM1_HUMAN COX assembly mitochondrial protein homolog OS=Homo sapiens OX=9606 GN=CMC1 PE=1 SV=1
+MALDPADQHLRHVEKDVLIPKIMREKAKERCSEQVQDFTKCCKNSGVLMVVKCRKENSALKECLTAYYNDPAFYEECKMEYLKEREEFRKTGIPTKKRLQKLPTSM
+>DECOY_sp|Q7Z7K0|COXM1_HUMAN COX assembly mitochondrial protein homolog OS=Homo sapiens OX=9606 GN=CMC1 PE=1 SV=1
+MSTPLKQLRKKTPIGTKRFEEREKLYEMKCEEYFAPDNYYATLCEKLASNEKRCKVVMLVGSNKCCKTFDQVQESCREKAKERMIKPILVDKEVHRLHQDAPDLAM
+>sp|Q6P387|CP046_HUMAN Uncharacterized protein C16orf46 OS=Homo sapiens OX=9606 GN=C16orf46 PE=2 SV=2
+MDLCQKNETDLENAENNEIQFTEETEPTYTCPDGKSEKNHVYCLLDVSDITLEQDEKAKEFIIGTGWEEAVQGWGRTSPAACIWPRKIPKKARVGEGACSDCLVCVNLSHWSLQTKPPTEGGPEKDQSSPSQTQAAPQGPSTASRAISDICFPTYFRAEKKSLQIKEFIWCNKDWAIPGTNRGKASGNPSGGAHRGLSIPGPLTSRALLVLPPLKASLSNALDVLGKKSKNSFLQSEEKVLDVEKDGCVAYAYGLKTADGKGEKRASELAKHPMVNDTPSSPSPAAQISLLTDPEQRCLHWSLLSEKNLACPPDPSNVRYLAALQLLQKRGVQSYKSKFKAKEPRSPVITRKHVLPKAKQENRPQMLETKVFPRPVLPSLTVSRVIIPVSTHRIL
+>DECOY_sp|Q6P387|CP046_HUMAN Uncharacterized protein C16orf46 OS=Homo sapiens OX=9606 GN=C16orf46 PE=2 SV=2
+LIRHTSVPIIVRSVTLSPLVPRPFVKTELMQPRNEQKAKPLVHKRTIVPSRPEKAKFKSKYSQVGRKQLLQLAALYRVNSPDPPCALNKESLLSWHLCRQEPDTLLSIQAAPSPSSPTDNVMPHKALESARKEGKGDATKLGYAYAVCGDKEVDLVKEESQLFSNKSKKGLVDLANSLSAKLPPLVLLARSTLPGPISLGRHAGGSPNGSAKGRNTGPIAWDKNCWIFEKIQLSKKEARFYTPFCIDSIARSATSPGQPAAQTQSPSSQDKEPGGETPPKTQLSWHSLNVCVLCDSCAGEGVRAKKPIKRPWICAAPSTRGWGQVAEEWGTGIIFEKAKEDQELTIDSVDLLCYVHNKESKGDPCTYTPETEETFQIENNEANELDTENKQCLDM
+>sp|Q6ZP98|CP047_HUMAN Putative uncharacterized protein C16orf47 OS=Homo sapiens OX=9606 GN=C16orf47 PE=2 SV=1
+MVSSFAGIREIEKLRHKEVNKSQQGTGPGLEPRGSNSRTSATSSGTKRQLHRVLRGQWLSSSAPVSSAEPKASHLCIQGLSSSPIHHQGPVILPVDARLSLDVSVPEQRCSSYYLGRLWPQKYLVSSHSVKWN
+>DECOY_sp|Q6ZP98|CP047_HUMAN Putative uncharacterized protein C16orf47 OS=Homo sapiens OX=9606 GN=C16orf47 PE=2 SV=1
+NWKVSHSSVLYKQPWLRGLYYSSCRQEPVSVDLSLRADVPLIVPGQHHIPSSSLGQICLHSAKPEASSVPASSSLWQGRLVRHLQRKTGSSTASTRSNSGRPELGPGTGQQSKNVEKHRLKEIERIGAFSSVM
+>sp|Q8N9R0|CP081_HUMAN Putative uncharacterized protein encoded by LINC00304 OS=Homo sapiens OX=9606 GN=LINC00304 PE=5 SV=2
+MQYLHCCLQIAPNQEGMVQAGGQGHGLARVVLRAVLSPPCWAPHSPCGSPAATEAGRLMRRLPSVGGRMTAPKTPRFLTRRPPASSPEDPPLPHPKTPRFLTQRPPASLPRRPRFLTLGPVSSHSSGDLRLWTAHQLPQQGGCPG
+>DECOY_sp|Q8N9R0|CP081_HUMAN Putative uncharacterized protein encoded by LINC00304 OS=Homo sapiens OX=9606 GN=LINC00304 PE=5 SV=2
+GPCGGQQPLQHATWLRLDGSSHSSVPGLTLFRPRRPLSAPPRQTLFRPTKPHPLPPDEPSSAPPRRTLFRPTKPATMRGGVSPLRRMLRGAETAAPSGCPSHPAWCPPSLVARLVVRALGHGQGGAQVMGEQNPAIQLCCHLYQM
+>sp|A6NNT2|CP096_HUMAN Uncharacterized protein C16orf96 OS=Homo sapiens OX=9606 GN=C16orf96 PE=4 SV=3
+MSFSLTFTELANIAIPQCGVLNFKALHLLLHGILEHIHMAELKKVLSGDEDFLQTSQVVIMPREGDAQPILNPMKRLSNVFDHVVSRLDKLENQLALLQDLPSTAQLLEASQGTARPVQDLWHLIKLRKMVEGHDEVMAKSMQTLQDLLTDLHALQVTITALRKEVDMLKNMLDKVHPERMDIFAEDFKIQNWKMVALQREVASLQNKFKTIPKTEDMVLWSGLHDAMFTSEIGSSPLDLWQSVEQLPEAALAQTTKYLEATRAIQVSEPVQNPQLLQTVWHYEVPELLPEGSSAQAVSLSRAQEPAQPPALTPESAPGCTTEFAPGPAPGTEPVPGLELGLELEPVPALGPVPGPSVTPGSLPAPWPVLGPVPAPGAQPPPLGDWPALPRRWPLPQGWPRVGSWPLWDLGVLRPTQPQPSRAPPPATEFGSLWPRPLQPYQSRQGEALQLAAVQVKGEENDVPSLRGLRERARKDGAPKDRTRKDGVPKDRGGKDVDPKDRAHKDDVPKDRGGKDVDPKDRAHKDDVPKDRGGKDGDPKDRVGKDGAPKEAQPKAPQSALHRLKTTAAIAAAAAAAYAAATSSAAQAAKVAAKFVKDAPATKMAAIATDTAAAGPLGVFADVLGAGPSRGATESQILGDDSEIYEILSPSYSAASIGPDPALSQAMVATKQAMSPEDKKRAVKYSMSHIAQIPVKHDSLKEEFAQLSCNLNQRLSYLANMGGPSSLGTTVDILQKKIGSLQKSRLKEEELERIWGNQIEMMKDRYITLDKAVENLQIRMDEFKTLQAQIKRLEMNKVNKSTMEEELREKADRSALAGKASRVDLETVALELNEMIQGILFKVTIHEDSWKKAMEELSKDVNTKLVHSDLDPLKKEMEEVWKIVRKLLIEGLRLDPDSAAGFRRKLFKRVKCISCDRPVEMMTGPQLITIRKAHLLSRLRPASANSCEYLQRQQMREQQWLQLQDLGIQEDCQQDWGDGPQNATSLKCKSCNLLTLYPYGDPHVIDYDSAEVDILGVDGILYKGRVNSQRGAQPLAVAKELAAVKAPSPPSQSLYDRVHSSALFGAICPPLCPRSSACSAASGPHLTMPARPPSLPPLLLLPPLIPSLRDPQQAPGSTRLSRAPHIESRVGRKPPEEPANP
+>DECOY_sp|A6NNT2|CP096_HUMAN Uncharacterized protein C16orf96 OS=Homo sapiens OX=9606 GN=C16orf96 PE=4 SV=3
+PNAPEEPPKRGVRSEIHPARSLRTSGPAQQPDRLSPILPPLLLLPPLSPPRAPMTLHPGSAASCASSRPCLPPCIAGFLASSHVRDYLSQSPPSPAKVAALEKAVALPQAGRQSNVRGKYLIGDVGLIDVEASDYDIVHPDGYPYLTLLNCSKCKLSTANQPGDGWDQQCDEQIGLDQLQLWQQERMQQRQLYECSNASAPRLRSLLHAKRITILQPGTMMEVPRDCSICKVRKFLKRRFGAASDPDLRLGEILLKRVIKWVEEMEKKLPDLDSHVLKTNVDKSLEEMAKKWSDEHITVKFLIGQIMENLELAVTELDVRSAKGALASRDAKERLEEEMTSKNVKNMELRKIQAQLTKFEDMRIQLNEVAKDLTIYRDKMMEIQNGWIRELEEEKLRSKQLSGIKKQLIDVTTGLSSPGGMNALYSLRQNLNCSLQAFEEKLSDHKVPIQAIHSMSYKVARKKDEPSMAQKTAVMAQSLAPDPGISAASYSPSLIEYIESDDGLIQSETAGRSPGAGLVDAFVGLPGAAATDTAIAAMKTAPADKVFKAAVKAAQAASSTAAAYAAAAAAAIAATTKLRHLASQPAKPQAEKPAGDKGVRDKPDGDKGGRDKPVDDKHARDKPDVDKGGRDKPVDDKHARDKPDVDKGGRDKPVGDKRTRDKPAGDKRARERLGRLSPVDNEEGKVQVAALQLAEGQRSQYPQLPRPWLSGFETAPPPARSPQPQTPRLVGLDWLPWSGVRPWGQPLPWRRPLAPWDGLPPPQAGPAPVPGLVPWPAPLSGPTVSPGPVPGLAPVPELELGLELGPVPETGPAPGPAFETTCGPASEPTLAPPQAPEQARSLSVAQASSGEPLLEPVEYHWVTQLLQPNQVPESVQIARTAELYKTTQALAAEPLQEVSQWLDLPSSGIESTFMADHLGSWLVMDETKPITKFKNQLSAVERQLAVMKWNQIKFDEAFIDMREPHVKDLMNKLMDVEKRLATITVQLAHLDTLLDQLTQMSKAMVEDHGEVMKRLKILHWLDQVPRATGQSAELLQATSPLDQLLALQNELKDLRSVVHDFVNSLRKMPNLIPQADGERPMIVVQSTQLFDEDGSLVKKLEAMHIHELIGHLLLHLAKFNLVGCQPIAINALETFTLSFSM
+>sp|H3BN30|CP097_HUMAN Uncharacterized protein C16orf97 OS=Homo sapiens OX=9606 GN=C16orf97 PE=2 SV=1
+MKKWKSRLFPRPPNWLSGKYLRLPSSAMFLIDFCLCVPWTTPFQCKILMVEFIVGFYDFCLALEVQYRTSKLFLCGQPSPRRSLGIQQQALKLACLSPTLLESSSCVDPPGNTAGSRMPSSSNCIK
+>DECOY_sp|H3BN30|CP097_HUMAN Uncharacterized protein C16orf97 OS=Homo sapiens OX=9606 GN=C16orf97 PE=2 SV=1
+KICNSSSPMRSGATNGPPDVCSSSELLTPSLCALKLAQQQIGLSRRPSPQGCLFLKSTRYQVELALCFDYFGVIFEVMLIKCQFPTTWPVCLCFDILFMASSPLRLYKGSLWNPPRPFLRSKWKKM
+>sp|Q494V2|CP100_HUMAN Cilia- and flagella-associated protein 100 OS=Homo sapiens OX=9606 GN=CFAP100 PE=2 SV=1
+MSEIPSTIVSKNMTNDKNSLESMNISSSSSTEENPKKQARKNEEHGPDPSANPFHLSGDVDFFLLRDQERNKALSERQQQKTMRVHQKMTYSSKVSAKHTSLRRQLQLEDKQEDLEARAEAEHQRAFRDYTTWKLTLTKEKNVEPENMSGYIKQKRQMFLLQYALDVKRREIQRLETLATKEEARLERAEKSLEKDAALFDEFVRENDCSSVQAMRAAEKETKAKIEKILEIRDLTTQIVNIKSEISRFEDTLKHYKVYKDFLYKLSPKEWLEEQEKKHSFLKKAKEVSEASKESSVNSTPGDKGPGIKGKASSMWAKEGQGTKKPWRFLQTMRLGRSPSYLSSPQQGSQPSESSGGDSRGSNSPIPPTQEDTDSDGEEPQLYFTEPQQLLDVFRELEEQNLSLIQNSQETEKTLEELSHTLKHTQIRMDREVNQLKQWVTTMMMSITKEEDTAAELELKARVFHFGEYKGDQQDKLLESLNCKVLDVYRHCTGTQQEANLGTVQMLTIIEHQLDELLENLEHVPQVKIEQAERAKEKERRIRLREEKLQMQKILQEEHLQRARARAQAEIKKKRGRTLVCRSRPPAHRIKQQSEHTLMDKEEEELLFFFT
+>DECOY_sp|Q494V2|CP100_HUMAN Cilia- and flagella-associated protein 100 OS=Homo sapiens OX=9606 GN=CFAP100 PE=2 SV=1
+TFFFLLEEEEKDMLTHESQQKIRHAPPRSRCVLTRGRKKKIEAQARARARQLHEEQLIKQMQLKEERLRIRREKEKAREAQEIKVQPVHELNELLEDLQHEIITLMQVTGLNAEQQTGTCHRYVDLVKCNLSELLKDQQDGKYEGFHFVRAKLELEAATDEEKTISMMMTTVWQKLQNVERDMRIQTHKLTHSLEELTKETEQSNQILSLNQEELERFVDLLQQPETFYLQPEEGDSDTDEQTPPIPSNSGRSDGGSSESPQSGQQPSSLYSPSRGLRMTQLFRWPKKTGQGEKAWMSSAKGKIGPGKDGPTSNVSSEKSAESVEKAKKLFSHKKEQEELWEKPSLKYLFDKYVKYHKLTDEFRSIESKINVIQTTLDRIELIKEIKAKTEKEAARMAQVSSCDNERVFEDFLAADKELSKEARELRAEEKTALTELRQIERRKVDLAYQLLFMQRKQKIYGSMNEPEVNKEKTLTLKWTTYDRFARQHEAEARAELDEQKDELQLQRRLSTHKASVKSSYTMKQHVRMTKQQQRESLAKNREQDRLLFFDVDGSLHFPNASPDPGHEENKRAQKKPNEETSSSSSINMSELSNKDNTMNKSVITSPIESM
+>sp|P11511|CP19A_HUMAN Aromatase OS=Homo sapiens OX=9606 GN=CYP19A1 PE=1 SV=3
+MVLEMLNPIHYNITSIVPEAMPAATMPVLLLTGLFLLVWNYEGTSSIPGPGYCMGIGPLISHGRFLWMGIGSACNYYNRVYGEFMRVWISGEETLIISKSSSMFHIMKHNHYSSRFGSKLGLQCIGMHEKGIIFNNNPELWKTTRPFFMKALSGPGLVRMVTVCAESLKTHLDRLEEVTNESGYVDVLTLLRRVMLDTSNTLFLRIPLDESAIVVKIQGYFDAWQALLIKPDIFFKISWLYKKYEKSVKDLKDAIEVLIAEKRRRISTEEKLEECMDFATELILAEKRGDLTRENVNQCILEMLIAAPDTMSVSLFFMLFLIAKHPNVEEAIIKEIQTVIGERDIKIDDIQKLKVMENFIYESMRYQPVVDLVMRKALEDDVIDGYPVKKGTNIILNIGRMHRLEFFPKPNEFTLENFAKNVPYRYFQPFGFGPRGCAGKYIAMVMMKAILVTLLRRFHVKTLQGQCVESIQKIHDLSLHPDETKNMLEMIFTPRNSDRCLEH
+>DECOY_sp|P11511|CP19A_HUMAN Aromatase OS=Homo sapiens OX=9606 GN=CYP19A1 PE=1 SV=3
+HELCRDSNRPTFIMELMNKTEDPHLSLDHIKQISEVCQGQLTKVHFRRLLTVLIAKMMVMAIYKGACGRPGFGFPQFYRYPVNKAFNELTFENPKPFFELRHMRGINLIINTGKKVPYGDIVDDELAKRMVLDVVPQYRMSEYIFNEMVKLKQIDDIKIDREGIVTQIEKIIAEEVNPHKAILFLMFFLSVSMTDPAAILMELICQNVNERTLDGRKEALILETAFDMCEELKEETSIRRRKEAILVEIADKLDKVSKEYKKYLWSIKFFIDPKILLAQWADFYGQIKVVIASEDLPIRLFLTNSTDLMVRRLLTLVDVYGSENTVEELRDLHTKLSEACVTVMRVLGPGSLAKMFFPRTTKWLEPNNNFIIGKEHMGICQLGLKSGFRSSYHNHKMIHFMSSSKSIILTEEGSIWVRMFEGYVRNYYNCASGIGMWLFRGHSILPGIGMCYGPGPISSTGEYNWVLLFLGTLLLVPMTAAPMAEPVISTINYHIPNLMELVM
+>sp|P05177|CP1A2_HUMAN Cytochrome P450 1A2 OS=Homo sapiens OX=9606 GN=CYP1A2 PE=1 SV=3
+MALSQSVPFSATELLLASAIFCLVFWVLKGLRPRVPKGLKSPPEPWGWPLLGHVLTLGKNPHLALSRMSQRYGDVLQIRIGSTPVLVLSRLDTIRQALVRQGDDFKGRPDLYTSTLITDGQSLTFSTDSGPVWAARRRLAQNALNTFSIASDPASSSSCYLEEHVSKEAKALISRLQELMAGPGHFDPYNQVVVSVANVIGAMCFGQHFPESSDEMLSLVKNTHEFVETASSGNPLDFFPILRYLPNPALQRFKAFNQRFLWFLQKTVQEHYQDFDKNSVRDITGALFKHSKKGPRASGNLIPQEKIVNLVNDIFGAGFDTVTTAISWSLMYLVTKPEIQRKIQKELDTVIGRERRPRLSDRPQLPYLEAFILETFRHSSFLPFTIPHSTTRDTTLNGFYIPKKCCVFVNQWQVNHDPELWEDPSEFRPERFLTADGTAINKPLSEKMMLFGMGKRRCIGEVLAKWEIFLFLAILLQQLEFSVPPGVKVDLTPIYGLTMKHARCEHVQARRFSIN
+>DECOY_sp|P05177|CP1A2_HUMAN Cytochrome P450 1A2 OS=Homo sapiens OX=9606 GN=CYP1A2 PE=1 SV=3
+NISFRRAQVHECRAHKMTLGYIPTLDVKVGPPVSFELQQLLIALFLFIEWKALVEGICRRKGMGFLMMKESLPKNIATGDATLFREPRFESPDEWLEPDHNVQWQNVFVCCKKPIYFGNLTTDRTTSHPITFPLFSSHRFTELIFAELYPLQPRDSLRPRRERGIVTDLEKQIKRQIEPKTVLYMLSWSIATTVTDFGAGFIDNVLNVIKEQPILNGSARPGKKSHKFLAGTIDRVSNKDFDQYHEQVTKQLFWLFRQNFAKFRQLAPNPLYRLIPFFDLPNGSSATEVFEHTNKVLSLMEDSSEPFHQGFCMAGIVNAVSVVVQNYPDFHGPGAMLEQLRSILAKAEKSVHEELYCSSSSAPDSAISFTNLANQALRRRAAWVPGSDTSFTLSQGDTILTSTYLDPRGKFDDGQRVLAQRITDLRSLVLVPTSGIRIQLVDGYRQSMRSLALHPNKGLTLVHGLLPWGWPEPPSKLGKPVRPRLGKLVWFVLCFIASALLLETASFPVSQSLAM
+>sp|Q16678|CP1B1_HUMAN Cytochrome P450 1B1 OS=Homo sapiens OX=9606 GN=CYP1B1 PE=1 SV=2
+MGTSLSPNDPWPLNPLSIQQTTLLLLLSVLATVHVGQRLLRQRRRQLRSAPPGPFAWPLIGNAAAVGQAAHLSFARLARRYGDVFQIRLGSCPIVVLNGERAIHQALVQQGSAFADRPAFASFRVVSGGRSMAFGHYSEHWKVQRRAAHSMMRNFFTRQPRSRQVLEGHVLSEARELVALLVRGSADGAFLDPRPLTVVAVANVMSAVCFGCRYSHDDPEFRELLSHNEEFGRTVGAGSLVDVMPWLQYFPNPVRTVFREFEQLNRNFSNFILDKFLRHCESLRPGAAPRDMMDAFILSAEKKAAGDSHGGGARLDLENVPATITDIFGASQDTLSTALQWLLLLFTRYPDVQTRVQAELDQVVGRDRLPCMGDQPNLPYVLAFLYEAMRFSSFVPVTIPHATTANTSVLGYHIPKDTVVFVNQWSVNHDPLKWPNPENFDPARFLDKDGLINKDLTSRVMIFSVGKRRCIGEELSKMQLFLFISILAHQCDFRANPNEPAKMNFSYGLTIKPKSFKVNVTLRESMELLDSAVQNLQAKETCQ
+>DECOY_sp|Q16678|CP1B1_HUMAN Cytochrome P450 1B1 OS=Homo sapiens OX=9606 GN=CYP1B1 PE=1 SV=2
+QCTEKAQLNQVASDLLEMSERLTVNVKFSKPKITLGYSFNMKAPENPNARFDCQHALISIFLFLQMKSLEEGICRRKGVSFIMVRSTLDKNILGDKDLFRAPDFNEPNPWKLPDHNVSWQNVFVVTDKPIHYGLVSTNATTAHPITVPVFSSFRMAEYLFALVYPLNPQDGMCPLRDRGVVQDLEAQVRTQVDPYRTFLLLLWQLATSLTDQSAGFIDTITAPVNELDLRAGGGHSDGAAKKEASLIFADMMDRPAAGPRLSECHRLFKDLIFNSFNRNLQEFERFVTRVPNPFYQLWPMVDVLSGAGVTRGFEENHSLLERFEPDDHSYRCGFCVASMVNAVAVVTLPRPDLFAGDASGRVLLAVLERAESLVHGELVQRSRPQRTFFNRMMSHAARRQVKWHESYHGFAMSRGGSVVRFSAFAPRDAFASGQQVLAQHIAREGNLVVIPCSGLRIQFVDGYRRALRAFSLHAAQGVAAANGILPWAFPGPPASRLQRRRQRLLRQGVHVTALVSLLLLLTTQQISLPNLPWPDNPSLSTGM
+>sp|Q6V0L0|CP26C_HUMAN Cytochrome P450 26C1 OS=Homo sapiens OX=9606 GN=CYP26C1 PE=2 SV=2
+MFPWGLSCLSVLGAAGTALLCAGLLLSLAQHLWTLRWMLSRDRASTLPLPKGSMGWPFFGETLHWLVQGSRFHSSRRERYGTVFKTHLLGRPVIRVSGAENVRTILLGEHRLVRSQWPQSAHILLGSHTLLGAVGEPHRRRRKVLARVFSRAALERYVPRLQGALRHEVRSWCAAGGPVSVYDASKALTFRMAARILLGLRLDEAQCATLARTFEQLVENLFSLPLDVPFSGLRKGIRARDQLHRHLEGAISEKLHEDKAAEPGDALDLIIHSARELGHEPSMQELKESAVELLFAAFFTTASASTSLVLLLLQHPAAIAKIREELVAQGLGRACGCAPGAAGGSEGPPPDCGCEPDLSLAALGRLRYVDCVVKEVLRLLPPVSGGYRTALRTFELDGYQIPKGWSVMYSIRDTHETAAVYRSPPEGFDPERFGAAREDSRGASSRFHYIPFGGGARSCLGQELAQAVLQLLAVELVRTARWELATPAFPAMQTVPIVHPVDGLRLFFHPLTPSVAGNGLCL
+>DECOY_sp|Q6V0L0|CP26C_HUMAN Cytochrome P450 26C1 OS=Homo sapiens OX=9606 GN=CYP26C1 PE=2 SV=2
+LCLGNGAVSPTLPHFFLRLGDVPHVIPVTQMAPFAPTALEWRATRVLEVALLQLVAQALEQGLCSRAGGGFPIYHFRSSAGRSDERAAGFREPDFGEPPSRYVAATEHTDRISYMVSWGKPIQYGDLEFTRLATRYGGSVPPLLRLVEKVVCDVYRLRGLAALSLDPECGCDPPPGESGGAAGPACGCARGLGQAVLEERIKAIAAPHQLLLLVLSTSASATTFFAAFLLEVASEKLEQMSPEHGLERASHIILDLADGPEAAKDEHLKESIAGELHRHLQDRARIGKRLGSFPVDLPLSFLNEVLQEFTRALTACQAEDLRLGLLIRAAMRFTLAKSADYVSVPGGAACWSRVEHRLAGQLRPVYRELAARSFVRALVKRRRRHPEGVAGLLTHSGLLIHASQPWQSRVLRHEGLLITRVNEAGSVRIVPRGLLHTKFVTGYRERRSSHFRSGQVLWHLTEGFFPWGMSGKPLPLTSARDRSLMWRLTWLHQALSLLLGACLLATGAAGLVSLCSLGWPFM
+>sp|Q16696|CP2AD_HUMAN Cytochrome P450 2A13 OS=Homo sapiens OX=9606 GN=CYP2A13 PE=1 SV=3
+MLASGLLLVTLLACLTVMVLMSVWRQRKSRGKLPPGPTPLPFIGNYLQLNTEQMYNSLMKISERYGPVFTIHLGPRRVVVLCGHDAVKEALVDQAEEFSGRGEQATFDWLFKGYGVAFSNGERAKQLRRFSIATLRGFGVGKRGIEERIQEEAGFLIDALRGTHGANIDPTFFLSRTVSNVISSIVFGDRFDYEDKEFLSLLRMMLGSFQFTATSTGQLYEMFSSVMKHLPGPQQQAFKELQGLEDFIAKKVEHNQRTLDPNSPRDFIDSFLIRMQEEEKNPNTEFYLKNLVMTTLNLFFAGTETVSTTLRYGFLLLMKHPEVEAKVHEEIDRVIGKNRQPKFEDRAKMPYTEAVIHEIQRFGDMLPMGLAHRVNKDTKFRDFFLPKGTEVFPMLGSVLRDPRFFSNPRDFNPQHFLDKKGQFKKSDAFVPFSIGKRYCFGEGLARMELFLFFTTIMQNFRFKSPQSPKDIDVSPKHVGFATIPRNYTMSFLPR
+>DECOY_sp|Q16696|CP2AD_HUMAN Cytochrome P450 2A13 OS=Homo sapiens OX=9606 GN=CYP2A13 PE=1 SV=3
+RPLFSMTYNRPITAFGVHKPSVDIDKPSQPSKFRFNQMITTFFLFLEMRALGEGFCYRKGISFPVFADSKKFQGKKDLFHQPNFDRPNSFFRPDRLVSGLMPFVETGKPLFFDRFKTDKNVRHALGMPLMDGFRQIEHIVAETYPMKARDEFKPQRNKGIVRDIEEHVKAEVEPHKMLLLFGYRLTTSVTETGAFFLNLTTMVLNKLYFETNPNKEEEQMRILFSDIFDRPSNPDLTRQNHEVKKAIFDELGQLEKFAQQQPGPLHKMVSSFMEYLQGTSTATFQFSGLMMRLLSLFEKDEYDFRDGFVISSIVNSVTRSLFFTPDINAGHTGRLADILFGAEEQIREEIGRKGVGFGRLTAISFRRLQKAREGNSFAVGYGKFLWDFTAQEGRGSFEEAQDVLAEKVADHGCLVVVRRPGLHITFVPGYRESIKMLSNYMQETNLQLYNGIFPLPTPGPPLKGRSKRQRWVSMLVMVTLCALLTVLLLGSALM
+>sp|P10632|CP2C8_HUMAN Cytochrome P450 2C8 OS=Homo sapiens OX=9606 GN=CYP2C8 PE=1 SV=2
+MEPFVVLVLCLSFMLLFSLWRQSCRRRKLPPGPTPLPIIGNMLQIDVKDICKSFTNFSKVYGPVFTVYFGMNPIVVFHGYEAVKEALIDNGEEFSGRGNSPISQRITKGLGIISSNGKRWKEIRRFSLTTLRNFGMGKRSIEDRVQEEAHCLVEELRKTKASPCDPTFILGCAPCNVICSVVFQKRFDYKDQNFLTLMKRFNENFRILNSPWIQVCNNFPLLIDCFPGTHNKVLKNVALTRSYIREKVKEHQASLDVNNPRDFIDCFLIKMEQEKDNQKSEFNIENLVGTVADLFVAGTETTSTTLRYGLLLLLKHPEVTAKVQEEIDHVIGRHRSPCMQDRSHMPYTDAVVHEIQRYSDLVPTGVPHAVTTDTKFRNYLIPKGTTIMALLTSVLHDDKEFPNPNIFDPGHFLDKNGNFKKSDYFMPFSAGKRICAGEGLARMELFLFLTTILQNFNLKSVDDLKNLNTTAVTKGIVSLPPSYQICFIPV
+>DECOY_sp|P10632|CP2C8_HUMAN Cytochrome P450 2C8 OS=Homo sapiens OX=9606 GN=CYP2C8 PE=1 SV=2
+VPIFCIQYSPPLSVIGKTVATTNLNKLDDVSKLNFNQLITTLFLFLEMRALGEGACIRKGASFPMFYDSKKFNGNKDLFHGPDFINPNPFEKDDHLVSTLLAMITTGKPILYNRFKTDTTVAHPVGTPVLDSYRQIEHVVADTYPMHSRDQMCPSRHRGIVHDIEEQVKATVEPHKLLLLLGYRLTTSTTETGAVFLDAVTGVLNEINFESKQNDKEQEMKILFCDIFDRPNNVDLSAQHEKVKERIYSRTLAVNKLVKNHTGPFCDILLPFNNCVQIWPSNLIRFNENFRKMLTLFNQDKYDFRKQFVVSCIVNCPACGLIFTPDCPSAKTKRLEEVLCHAEEQVRDEISRKGMGFNRLTTLSFRRIEKWRKGNSSIIGLGKTIRQSIPSNGRGSFEEGNDILAEKVAEYGHFVVIPNMGFYVTFVPGYVKSFNTFSKCIDKVDIQLMNGIIPLPTPGPPLKRRRCSQRWLSFLLMFSLCLVLVVFPEM
+>sp|Q96SQ9|CP2S1_HUMAN Cytochrome P450 2S1 OS=Homo sapiens OX=9606 GN=CYP2S1 PE=1 SV=2
+MEATGTWALLLALALLLLLTLALSGTRARGHLPPGPTPLPLLGNLLQLRPGALYSGLMRLSKKYGPVFTIYLGPWRPVVVLVGQEAVREALGGQAEEFSGRGTVAMLEGTFDGHGVFFSNGERWRQLRKFTMLALRDLGMGKREGEELIQAEARCLVETFQGTEGRPFDPSLLLAQATSNVVCSLLFGLRFSYEDKEFQAVVRAAGGTLLGVSSQGGQTYEMFSWFLRPLPGPHKQLLHHVSTLAAFTVRQVQQHQGNLDASGPARDLVDAFLLKMAQEEQNPGTEFTNKNMLMTVIYLLFAGTMTVSTTVGYTLLLLMKYPHVQKWVREELNRELGAGQAPSLGDRTRLPYTDAVLHEAQRLLALVPMGIPRTLMRTTRFRGYTLPQGTEVFPLLGSILHDPNIFKHPEEFNPDRFLDADGRFRKHEAFLPFSLGKRVCLGEGLAKAELFLFFTTILQAFSLESPCPPDTLSLKPTVSGLFNIPPAFQLQVRPTDLHSTTQTR
+>DECOY_sp|Q96SQ9|CP2S1_HUMAN Cytochrome P450 2S1 OS=Homo sapiens OX=9606 GN=CYP2S1 PE=1 SV=2
+RTQTTSHLDTPRVQLQFAPPINFLGSVTPKLSLTDPPCPSELSFAQLITTFFLFLEAKALGEGLCVRKGLSFPLFAEHKRFRGDADLFRDPNFEEPHKFINPDHLISGLLPFVETGQPLTYGRFRTTRMLTRPIGMPVLALLRQAEHLVADTYPLRTRDGLSPAQGAGLERNLEERVWKQVHPYKMLLLLTYGVTTSVTMTGAFLLYIVTMLMNKNTFETGPNQEEQAMKLLFADVLDRAPGSADLNGQHQQVQRVTFAALTSVHHLLQKHPGPLPRLFWSFMEYTQGGQSSVGLLTGGAARVVAQFEKDEYSFRLGFLLSCVVNSTAQALLLSPDFPRGETGQFTEVLCRAEAQILEEGERKGMGLDRLALMTFKRLQRWREGNSFFVGHGDFTGELMAVTGRGSFEEAQGGLAERVAEQGVLVVVPRWPGLYITFVPGYKKSLRMLGSYLAGPRLQLLNGLLPLPTPGPPLHGRARTGSLALTLLLLLALALLLAWTGTAEM
+>sp|Q9Y6A2|CP46A_HUMAN Cholesterol 24-hydroxylase OS=Homo sapiens OX=9606 GN=CYP46A1 PE=1 SV=1
+MSPGLLLLGSAVLLAFGLCCTFVHRARSRYEHIPGPPRPSFLLGHLPCFWKKDEVGGRVLQDVFLDWAKKYGPVVRVNVFHKTSVIVTSPESVKKFLMSTKYNKDSKMYRALQTVFGERLFGQGLVSECNYERWHKQRRVIDLAFSRSSLVSLMETFNEKAEQLVEILEAKADGQTPVSMQDMLTYTAMDILAKAAFGMETSMLLGAQKPLSQAVKLMLEGITASRNTLAKFLPGKRKQLREVRESIRFLRQVGRDWVQRRREALKRGEEVPADILTQILKAEEGAQDDEGLLDNFVTFFIAGHETSANHLAFTVMELSRQPEIVARLQAEVDEVIGSKRYLDFEDLGRLQYLSQVLKESLRLYPPAWGTFRLLEEETLIDGVRVPGNTPLLFSTYVMGRMDTYFEDPLTFNPDRFGPGAPKPRFTYFPFSLGHRSCIGQQFAQMEVKVVMAKLLQRLEFRLVPGQRFGLQEQATLKPLDPVLCTLRPRGWQPAPPPPPC
+>DECOY_sp|Q9Y6A2|CP46A_HUMAN Cholesterol 24-hydroxylase OS=Homo sapiens OX=9606 GN=CYP46A1 PE=1 SV=1
+CPPPPPAPQWGRPRLTCLVPDLPKLTAQEQLGFRQGPVLRFELRQLLKAMVVKVEMQAFQQGICSRHGLSFPFYTFRPKPAGPGFRDPNFTLPDEFYTDMRGMVYTSFLLPTNGPVRVGDILTEEELLRFTGWAPPYLRLSEKLVQSLYQLRGLDEFDLYRKSGIVEDVEAQLRAVIEPQRSLEMVTFALHNASTEHGAIFFTVFNDLLGEDDQAGEEAKLIQTLIDAPVEEGRKLAERRRQVWDRGVQRLFRISERVERLQKRKGPLFKALTNRSATIGELMLKVAQSLPKQAGLLMSTEMGFAAKALIDMATYTLMDQMSVPTQGDAKAELIEVLQEAKENFTEMLSVLSSRSFALDIVRRQKHWREYNCESVLGQGFLREGFVTQLARYMKSDKNYKTSMLFKKVSEPSTVIVSTKHFVNVRVVPGYKKAWDLFVDQLVRGGVEDKKWFCPLHGLLFSPRPPGPIHEYRSRARHVFTCCLGFALLVASGLLLLGPSM
+>sp|Q08477|CP4F3_HUMAN Docosahexaenoic acid omega-hydroxylase CYP4F3 OS=Homo sapiens OX=9606 GN=CYP4F3 PE=1 SV=2
+MPQLSLSSLGLWPMAASPWLLLLLVGASWLLARILAWTYTFYDNCCRLRCFPQPPKRNWFLGHLGLIHSSEEGLLYTQSLACTFGDMCCWWVGPWHAIVRIFHPTYIKPVLFAPAAIVPKDKVFYSFLKPWLGDGLLLSAGEKWSRHRRMLTPAFHFNILKPYMKIFNESVNIMHAKWQLLASEGSARLDMFEHISLMTLDSLQKCVFSFDSHCQEKPSEYIAAILELSALVTKRHQQILLYIDFLYYLTPDGQRFRRACRLVHDFTDAVIQERRRTLPSQGVDDFLQAKAKSKTLDFIDVLLLSKDEDGKKLSDEDIRAEADTFMFEGHDTTASGLSWVLYHLAKHPEYQERCRQEVQELLKDREPKEIEWDDLAQLPFLTMCIKESLRLHPPVPAVSRCCTQDIVLPDGRVIPKGIICLISVFGTHHNPAVWPDPEVYDPFRFDPKNIKERSPLAFIPFSAGPRNCIGQAFAMAEMKVVLGLTLLRFRVLPDHTEPRRKPELVLRAEGGLWLRVEPLS
+>DECOY_sp|Q08477|CP4F3_HUMAN Docosahexaenoic acid omega-hydroxylase CYP4F3 OS=Homo sapiens OX=9606 GN=CYP4F3 PE=1 SV=2
+SLPEVRLWLGGEARLVLEPKRRPETHDPLVRFRLLTLGLVVKMEAMAFAQGICNRPGASFPIFALPSREKINKPDFRFPDYVEPDPWVAPNHHTGFVSILCIIGKPIVRGDPLVIDQTCCRSVAPVPPHLRLSEKICMTLFPLQALDDWEIEKPERDKLLEQVEQRCREQYEPHKALHYLVWSLGSATTDHGEFMFTDAEARIDEDSLKKGDEDKSLLLVDIFDLTKSKAKAQLFDDVGQSPLTRRREQIVADTFDHVLRCARRFRQGDPTLYYLFDIYLLIQQHRKTVLASLELIAAIYESPKEQCHSDFSFVCKQLSDLTMLSIHEFMDLRASGESALLQWKAHMINVSENFIKMYPKLINFHFAPTLMRRHRSWKEGASLLLGDGLWPKLFSYFVKDKPVIAAPAFLVPKIYTPHFIRVIAHWPGVWWCCMDGFTCALSQTYLLGEESSHILGLHGLFWNRKPPQPFCRLRCCNDYFTYTWALIRALLWSAGVLLLLLWPSAAMPWLGLSSLSLQPM
+>sp|P98187|CP4F8_HUMAN Cytochrome P450 4F8 OS=Homo sapiens OX=9606 GN=CYP4F8 PE=1 SV=1
+MSLLSLSWLGLRPVAASPWLLLLVVGASWLLARILAWTYAFYHNGRRLRCFPQPRKQNWFLGHLGLVTPTEEGLRVLTQLVATYPQGFVRWLGPITPIINLCHPDIVRSVINTSDAITDKDIVFYKTLKPWLGDGLLLSVGDKWRHHRRLLTPAFHFNILKPYIKIFSKSANIMHAKWQRLAMEGSTCLDVFEHISLMTLDSLQKCIFSFDSNCQEKPSEYITAIMELSALVVKRNNQFFRYKDFLYFLTPCGRRFHRACRLVHDFTDAVIQERRRTLTSQGVDDFLQAKAKSKTLDFIDVLLLSEDKNGKELSDEDIRAEADTFMFGGHDTTASGLSWVLYNLARHPEYQERCRQEVQELLKDREPKEIEWDDLAQLPFLTMCLKESLRLHPPIPTFARGCTQDVVLPDSRVIPKGNVCNINIFAIHHNPSVWPDPEVYDPFRFDPENAQKRSPMAFIPFSAGPRNCIGQKFAMAEMKVVLALTLLRFRILPDHREPRRTPEIVLRAEDGLWLRVEPLG
+>DECOY_sp|P98187|CP4F8_HUMAN Cytochrome P450 4F8 OS=Homo sapiens OX=9606 GN=CYP4F8 PE=1 SV=1
+GLPEVRLWLGDEARLVIEPTRRPERHDPLIRFRLLTLALVVKMEAMAFKQGICNRPGASFPIFAMPSRKQANEPDFRFPDYVEPDPWVSPNHHIAFININCVNGKPIVRSDPLVVDQTCGRAFTPIPPHLRLSEKLCMTLFPLQALDDWEIEKPERDKLLEQVEQRCREQYEPHRALNYLVWSLGSATTDHGGFMFTDAEARIDEDSLEKGNKDESLLLVDIFDLTKSKAKAQLFDDVGQSTLTRRREQIVADTFDHVLRCARHFRRGCPTLFYLFDKYRFFQNNRKVVLASLEMIATIYESPKEQCNSDFSFICKQLSDLTMLSIHEFVDLCTSGEMALRQWKAHMINASKSFIKIYPKLINFHFAPTLLRRHHRWKDGVSLLLGDGLWPKLTKYFVIDKDTIADSTNIVSRVIDPHCLNIIPTIPGLWRVFGQPYTAVLQTLVRLGEETPTVLGLHGLFWNQKRPQPFCRLRRGNHYFAYTWALIRALLWSAGVVLLLLWPSAAVPRLGLWSLSLLSM
+>sp|Q9HCS2|CP4FC_HUMAN Cytochrome P450 4F12 OS=Homo sapiens OX=9606 GN=CYP4F12 PE=1 SV=2
+MSLLSLPWLGLRPVATSPWLLLLLVVGSWLLARILAWTYAFYNNCRRLQCFPQPPKRNWFWGHLGLITPTEEGLKNSTQMSATYSQGFTIWLGPIIPFIVLCHPDTIRSITNASAAIAPKDNLFIRFLKPWLGEGILLSGGDKWSRHRRMLTPAFHFNILKSYITIFNKSANIMLDKWQHLASEGSSCLDMFEHISLMTLDSLQKCIFSFDSHCQERPSEYIATILELSALVEKRSQHILQHMDFLYYLSHDGRRFHRACRLVHDFTDAVIRERRRTLPTQGIDDFFKDKAKSKTLDFIDVLLLSKDEDGKALSDEDIRAEADTFMFGGHDTTASGLSWVLYNLARHPEYQERCRQEVQELLKDRDPKEIEWDDLAQLPFLTMCVKESLRLHPPAPFISRCCTQDIVLPDGRVIPKGITCLIDIIGVHHNPTVWPDPEVYDPFRFDPENSKGRSPLAFIPFSAGPRNCIGQAFAMAEMKVVLALMLLHFRFLPDHTEPRRKLELIMRAEGGLWLRVEPLNVSLQ
+>DECOY_sp|Q9HCS2|CP4FC_HUMAN Cytochrome P450 4F12 OS=Homo sapiens OX=9606 GN=CYP4F12 PE=1 SV=2
+QLSVNLPEVRLWLGGEARMILELKRRPETHDPLFRFHLLMLALVVKMEAMAFAQGICNRPGASFPIFALPSRGKSNEPDFRFPDYVEPDPWVTPNHHVGIIDILCTIGKPIVRGDPLVIDQTCCRSIFPAPPHLRLSEKVCMTLFPLQALDDWEIEKPDRDKLLEQVEQRCREQYEPHRALNYLVWSLGSATTDHGGFMFTDAEARIDEDSLAKGDEDKSLLLVDIFDLTKSKAKDKFFDDIGQTPLTRRRERIVADTFDHVLRCARHFRRGDHSLYYLFDMHQLIHQSRKEVLASLELITAIYESPREQCHSDFSFICKQLSDLTMLSIHEFMDLCSSGESALHQWKDLMINASKNFITIYSKLINFHFAPTLMRRHRSWKDGGSLLIGEGLWPKLFRIFLNDKPAIAASANTISRITDPHCLVIFPIIPGLWITFGQSYTASMQTSNKLGEETPTILGLHGWFWNRKPPQPFCQLRRCNNYFAYTWALIRALLWSGVVLLLLLWPSTAVPRLGLWPLSLLSM
+>sp|Q6ZWL3|CP4V2_HUMAN Cytochrome P450 4V2 OS=Homo sapiens OX=9606 GN=CYP4V2 PE=1 SV=2
+MAGLWLGLVWQKLLLWGAASALSLAGASLVLSLLQRVASYARKWQQMRPIPTVARAYPLVGHALLMKPDGREFFQQIIEYTEEYRHMPLLKLWVGPVPMVALYNAENVEVILTSSKQIDKSSMYKFLEPWLGLGLLTSTGNKWRSRRKMLTPTFHFTILEDFLDIMNEQANILVKKLEKHINQEAFNCFFYITLCALDIICETAMGKNIGAQSNDDSEYVRAVYRMSEMIFRRIKMPWLWLDLWYLMFKEGWEHKKSLQILHTFTNSVIAERANEMNANEDCRGDGRGSAPSKNKRRAFLDLLLSVTDDEGNRLSHEDIREEVDTFMFEGHDTTAAAINWSLYLLGSNPEVQKKVDHELDDVFGKSDRPATVEDLKKLRYLECVIKETLRLFPSVPLFARSVSEDCEVAGYRVLKGTEAVIIPYALHRDPRYFPNPEEFQPERFFPENAQGRHPYAYVPFSAGPRNCIGQKFAVMEEKTILSCILRHFWIESNQKREELGLEGQLILRPSNGIWIKLKRRNADER
+>DECOY_sp|Q6ZWL3|CP4V2_HUMAN Cytochrome P450 4V2 OS=Homo sapiens OX=9606 GN=CYP4V2 PE=1 SV=2
+REDANRRKLKIWIGNSPRLILQGELGLEERKQNSEIWFHRLICSLITKEEMVAFKQGICNRPGASFPVYAYPHRGQANEPFFREPQFEEPNPFYRPDRHLAYPIIVAETGKLVRYGAVECDESVSRAFLPVSPFLRLTEKIVCELYRLKKLDEVTAPRDSKGFVDDLEHDVKKQVEPNSGLLYLSWNIAAATTDHGEFMFTDVEERIDEHSLRNGEDDTVSLLLDLFARRKNKSPASGRGDGRCDENANMENAREAIVSNTFTHLIQLSKKHEWGEKFMLYWLDLWLWPMKIRRFIMESMRYVARVYESDDNSQAGINKGMATECIIDLACLTIYFFCNFAEQNIHKELKKVLINAQENMIDLFDELITFHFTPTLMKRRSRWKNGTSTLLGLGLWPELFKYMSSKDIQKSSTLIVEVNEANYLAVMPVPGVWLKLLPMHRYEETYEIIQQFFERGDPKMLLAHGVLPYARAVTPIPRMQQWKRAYSAVRQLLSLVLSAGALSLASAAGWLLLKQWVLGLWLGAM
+>sp|Q86W10|CP4Z1_HUMAN Cytochrome P450 4Z1 OS=Homo sapiens OX=9606 GN=CYP4Z1 PE=2 SV=1
+MEPSWLQELMAHPFLLLILLCMSLLLFQVIRLYQRRRWMIRALHLFPAPPAHWFYGHKEFYPVKEFEVYHKLMEKYPCAVPLWVGPFTMFFSVHDPDYAKILLKRQDPKSAVSHKILESWVGRGLVTLDGSKWKKHRQIVKPGFNISILKIFITMMSESVRMMLNKWEEHIAQNSRLELFQHVSLMTLDSIMKCAFSHQGSIQLDSTLDSYLKAVFNLSKISNQRMNNFLHHNDLVFKFSSQGQIFSKFNQELHQFTEKVIQDRKESLKDKLKQDTTQKRRWDFLDILLSAKSENTKDFSEADLQAEVKTFMFAGHDTTSSAISWILYCLAKYPEHQQRCRDEIRELLGDGSSITWEHLSQMPYTTMCIKECLRLYAPVVNISRLLDKPITFPDGRSLPAGITVFINIWALHHNPYFWEDPQVFNPLRFSRENSEKIHPYAFIPFSAGLRNCIGQHFAIIECKVAVALTLLRFKLAPDHSRPPQPVRQVVLKSKNGIHVFAKKVC
+>DECOY_sp|Q86W10|CP4Z1_HUMAN Cytochrome P450 4Z1 OS=Homo sapiens OX=9606 GN=CYP4Z1 PE=2 SV=1
+CVKKAFVHIGNKSKLVVQRVPQPPRSHDPALKFRLLTLAVAVKCEIIAFHQGICNRLGASFPIFAYPHIKESNERSFRLPNFVQPDEWFYPNHHLAWINIFVTIGAPLSRGDPFTIPKDLLRSINVVPAYLRLCEKICMTTYPMQSLHEWTISSGDGLLERIEDRCRQQHEPYKALCYLIWSIASSTTDHGAFMFTKVEAQLDAESFDKTNESKASLLIDLFDWRRKQTTDQKLKDKLSEKRDQIVKETFQHLEQNFKSFIQGQSSFKFVLDNHHLFNNMRQNSIKSLNFVAKLYSDLTSDLQISGQHSFACKMISDLTMLSVHQFLELRSNQAIHEEWKNLMMRVSESMMTIFIKLISINFGPKVIQRHKKWKSGDLTVLGRGVWSELIKHSVASKPDQRKLLIKAYDPDHVSFFMTFPGVWLPVACPYKEMLKHYVEFEKVPYFEKHGYFWHAPPAPFLHLARIMWRRRQYLRIVQFLLLSMCLLILLLFPHAMLEQLWSPEM
+>sp|Q16850|CP51A_HUMAN Lanosterol 14-alpha demethylase OS=Homo sapiens OX=9606 GN=CYP51A1 PE=1 SV=3
+MLLLGLLQAGGSVLGQAMEKVTGGNLLSMLLIACAFTLSLVYLIRLAAGHLVQLPAGVKSPPYIFSPIPFLGHAIAFGKSPIEFLENAYEKYGPVFSFTMVGKTFTYLLGSDAAALLFNSKNEDLNAEDVYSRLTTPVFGKGVAYDVPNPVFLEQKKMLKSGLNIAHFKQHVSIIEKETKEYFESWGESGEKNVFEALSELIILTASHCLHGKEIRSQLNEKVAQLYADLDGGFSHAAWLLPGWLPLPSFRRRDRAHREIKDIFYKAIQKRRQSQEKIDDILQTLLDATYKDGRPLTDDEVAGMLIGLLLAGQHTSSTTSAWMGFFLARDKTLQKKCYLEQKTVCGENLPPLTYDQLKDLNLLDRCIKETLRLRPPIMIMMRMARTPQTVAGYTIPPGHQVCVSPTVNQRLKDSWVERLDFNPDRYLQDNPASGEKFAYVPFGAGRHRCIGENFAYVQIKTIWSTMLRLYEFDLIDGYFPTVNYTTMIHTPENPVIRYKRRSK
+>DECOY_sp|Q16850|CP51A_HUMAN Lanosterol 14-alpha demethylase OS=Homo sapiens OX=9606 GN=CYP51A1 PE=1 SV=3
+KSRRKYRIVPNEPTHIMTTYNVTPFYGDILDFEYLRLMTSWITKIQVYAFNEGICRHRGAGFPVYAFKEGSAPNDQLYRDPNFDLREVWSDKLRQNVTPSVCVQHGPPITYGAVTQPTRAMRMMIMIPPRLRLTEKICRDLLNLDKLQDYTLPPLNEGCVTKQELYCKKQLTKDRALFFGMWASTTSSTHQGALLLGILMGAVEDDTLPRGDKYTADLLTQLIDDIKEQSQRRKQIAKYFIDKIERHARDRRRFSPLPLWGPLLWAAHSFGGDLDAYLQAVKENLQSRIEKGHLCHSATLIILESLAEFVNKEGSEGWSEFYEKTEKEIISVHQKFHAINLGSKLMKKQELFVPNPVDYAVGKGFVPTTLRSYVDEANLDENKSNFLLAAADSGLLYTFTKGVMTFSFVPGYKEYANELFEIPSKGFAIAHGLFPIPSFIYPPSKVGAPLQVLHGAALRILYVLSLTFACAILLMSLLNGGTVKEMAQGLVSGGAQLLGLLLM
+>sp|P22680|CP7A1_HUMAN Cholesterol 7-alpha-monooxygenase OS=Homo sapiens OX=9606 GN=CYP7A1 PE=1 SV=2
+MMTTSLIWGIAIAACCCLWLILGIRRRQTGEPPLENGLIPYLGCALQFGANPLEFLRANQRKHGHVFTCKLMGKYVHFITNPLSYHKVLCHGKYFDWKKFHFATSAKAFGHRSIDPMDGNTTENINDTFIKTLQGHALNSLTESMMENLQRIMRPPVSSNSKTAAWVTEGMYSFCYRVMFEAGYLTIFGRDLTRRDTQKAHILNNLDNFKQFDKVFPALVAGLPIHMFRTAHNAREKLAESLRHENLQKRESISELISLRMFLNDTLSTFDDLEKAKTHLVVLWASQANTIPATFWSLFQMIRNPEAMKAATEEVKRTLENAGQKVSLEGNPICLSQAELNDLPVLDSIIKESLRLSSASLNIRTAKEDFTLHLEDGSYNIRKDDIIALYPQLMHLDPEIYPDPLTFKYDRYLDENGKTKTTFYCNGLKLKYYYMPFGSGATICPGRLFAIHEIKQFLILMLSYFELELIEGQAKCPPLDQSRAGLGILPPLNDIEFKYKFKHL
+>DECOY_sp|P22680|CP7A1_HUMAN Cholesterol 7-alpha-monooxygenase OS=Homo sapiens OX=9606 GN=CYP7A1 PE=1 SV=2
+LHKFKYKFEIDNLPPLIGLGARSQDLPPCKAQGEILELEFYSLMLILFQKIEHIAFLRGPCITAGSGFPMYYYKLKLGNCYFTTKTKGNEDLYRDYKFTLPDPYIEPDLHMLQPYLAIIDDKRINYSGDELHLTFDEKATRINLSASSLRLSEKIISDLVPLDNLEAQSLCIPNGELSVKQGANELTRKVEETAAKMAEPNRIMQFLSWFTAPITNAQSAWLVVLHTKAKELDDFTSLTDNLFMRLSILESISERKQLNEHRLSEALKERANHATRFMHIPLGAVLAPFVKDFQKFNDLNNLIHAKQTDRRTLDRGFITLYGAEFMVRYCFSYMGETVWAATKSNSSVPPRMIRQLNEMMSETLSNLAHGQLTKIFTDNINETTNGDMPDISRHGFAKASTAFHFKKWDFYKGHCLVKHYSLPNTIFHVYKGMLKCTFVHGHKRQNARLFELPNAGFQLACGLYPILGNELPPEGTQRRRIGLILWLCCCAAIAIGWILSTTMM
+>sp|Q8NE35|CPEB3_HUMAN Cytoplasmic polyadenylation element-binding protein 3 OS=Homo sapiens OX=9606 GN=CPEB3 PE=1 SV=2
+MQDDLLMDKSKTQPQPQQQQRQQQQPQPESSVSEAPSTPLSSETPKPEENSAVPALSPAAAPPAPNGPDKMQMESPLLPGLSFHQPPQQPPPPQEPAAPGASLSPSFGSTWSTGTTNAVEDSFFQGITPVNGTMLFQNFPHHVNPVFGGTFSPQIGLAQTQHHQQPPPPAPAPQPAQPAQPPQAQPPQQRRSPASPSQAPYAQRSAAAAYGHQPIMTSKPSSSSAVAAAAAAAAASSASSSWNTHQSVNAAWSAPSNPWGGLQAGRDPRRAVGVGVGVGVGVPSPLNPISPLKKPFSSNVIAPPKFPRAAPLTSKSWMEDNAFRTDNGNNLLPFQDRSRPYDTFNLHSLENSLMDMIRTDHEPLKGKHYPPSGPPMSFADIMWRNHFAGRMGINFHHPGTDNIMALNNAFLDDSHGDQALSSGLSSPTRCQNGERVERYSRKVFVGGLPPDIDEDEITASFRRFGPLVVDWPHKAESKSYFPPKGYAFLLFQEESSVQALIDACLEEDGKLYLCVSSPTIKDKPVQIRPWNLSDSDFVMDGSQPLDPRKTIFVGGVPRPLRAVELAMIMDRLYGGVCYAGIDTDPELKYPKGAGRVAFSNQQSYIAAISARFVQLQHNDIDKRVEVKPYVLDDQMCDECQGTRCGGKFAPFFCANVTCLQYYCEYCWASIHSRAGREFHKPLVKEGGDRPRHVPFRWS
+>DECOY_sp|Q8NE35|CPEB3_HUMAN Cytoplasmic polyadenylation element-binding protein 3 OS=Homo sapiens OX=9606 GN=CPEB3 PE=1 SV=2
+SWRFPVHRPRDGGEKVLPKHFERGARSHISAWCYECYYQLCTVNACFFPAFKGGCRTGQCEDCMQDDLVYPKVEVRKDIDNHQLQVFRASIAAIYSQQNSFAVRGAGKPYKLEPDTDIGAYCVGGYLRDMIMALEVARLPRPVGGVFITKRPDLPQSGDMVFDSDSLNWPRIQVPKDKITPSSVCLYLKGDEELCADILAQVSSEEQFLLFAYGKPPFYSKSEAKHPWDVVLPGFRRFSATIEDEDIDPPLGGVFVKRSYREVREGNQCRTPSSLGSSLAQDGHSDDLFANNLAMINDTGPHHFNIGMRGAFHNRWMIDAFSMPPGSPPYHKGKLPEHDTRIMDMLSNELSHLNFTDYPRSRDQFPLLNNGNDTRFANDEMWSKSTLPAARPFKPPAIVNSSFPKKLPSIPNLPSPVGVGVGVGVGVARRPDRGAQLGGWPNSPASWAANVSQHTNWSSSASSAAAAAAAAAVASSSSPKSTMIPQHGYAAAASRQAYPAQSPSAPSRRQQPPQAQPPQAPQAPQPAPAPPPPQQHHQTQALGIQPSFTGGFVPNVHHPFNQFLMTGNVPTIGQFFSDEVANTTGTSWTSGFSPSLSAGPAAPEQPPPPQQPPQHFSLGPLLPSEMQMKDPGNPAPPAAAPSLAPVASNEEPKPTESSLPTSPAESVSSEPQPQQQQRQQQQPQPQTKSKDMLLDDQM
+>sp|A4D0V7|CPED1_HUMAN Cadherin-like and PC-esterase domain-containing protein 1 OS=Homo sapiens OX=9606 GN=CPED1 PE=2 SV=1
+MVCRPVFPCRRRFCPRPFLVGLVVAICLFYQTLTLRGSRKLTAAAPGAVPHTSTETQASRCKKGFSQDKQCFLLSGNAQETRKVKESMETHFGSHGRRAILYRPPFYSKTELQLHQHILTQHGYTVVIAEERLNAGLGPGLLEQGDLGSWDLLICLSSKKAEGTPCISKEVMCQLGLHQKANRLPEIQQPLCRKEGLCQIVRRFPELQLPVSPSVCLDQGMQLKPSTSSHLLKTVKPRVWKPGDWSREQLNETTVLAPHETIFRAEDLSVILKAYVLVTSLTPLRAFIHSTGTVWNPPKKKRFTVKLQTFFETFLRASSPQQAFDIMKEAIGKLLLAAEVFSETSTLGPKTFHRCRFCFQLLTFDIGYGSFMYPVVLQVHEHLNFQDYDNMDFEDQNTEEFLLNDTFNFLFPNESSLSIFSEIFQRLYRSDVFKGENYQKELNQCLSLEEINSIMTFIKELGSLGQFQLLFPSTTPGIQSLMHEFYDVANPVGNPGSVLTQYWSLLNVFEQFQFMNKKTQPHPLEWNSFTEDKNIEKPQVPFDAIENKKAAVPQIKNENKEIHCSDDENTPCHIKQIFTHPHLELNPDFHPKIKDYYCEVPFDVVTVTIGVETPKCLCKVHLYEQAGPSFASYPLGLGMNKISIFVVDESPAHGETLITYKLTIYREDRPSLPLFEAFTACGFVQDCGLLIHPEETCGLQPISSDYIEAILQSELKRCPSGDMKGQWIVPCLSCSDNRTCDWREITWQPHNCQYGVLTKPQLQQCLGGRKILFIGDSTNRGIMYYLIERLNETLQEWQKVHGTKFYHNVNGGKTLISYSYYPQFWISPSLRPTFENALEHLLQRSRPLENTGQTVLVVGGVQWLNSNHLQIIHKVLKRENLLNILVIIKTLGIGFHLPVDGVHFLTQSEVQNLWKENLIILDTAKKHGYEVVDTFTITMGRYKEFLQGKCGCHFHEVVKSKLSKEYNFIKMKRSRNHIMGRYFSNQSKLQQGTVTNFRSPYHVRGPINQVCSEILLSRMCANKRTM
+>DECOY_sp|A4D0V7|CPED1_HUMAN Cadherin-like and PC-esterase domain-containing protein 1 OS=Homo sapiens OX=9606 GN=CPED1 PE=2 SV=1
+MTRKNACMRSLLIESCVQNIPGRVHYPSRFNTVTGQQLKSQNSFYRGMIHNRSRKMKIFNYEKSLKSKVVEHFHCGCKGQLFEKYRGMTITFTDVVEYGHKKATDLIILNEKWLNQVESQTLFHVGDVPLHFGIGLTKIIVLINLLNERKLVKHIIQLHNSNLWQVGGVVLVTQGTNELPRSRQLLHELANEFTPRLSPSIWFQPYYSYSILTKGGNVNHYFKTGHVKQWEQLTENLREILYYMIGRNTSDGIFLIKRGGLCQQLQPKTLVGYQCNHPQWTIERWDCTRNDSCSLCPVIWQGKMDGSPCRKLESQLIAEIYDSSIPQLGCTEEPHILLGCDQVFGCATFAEFLPLSPRDERYITLKYTILTEGHAPSEDVVFISIKNMGLGLPYSAFSPGAQEYLHVKCLCKPTEVGITVTVVDFPVECYYDKIKPHFDPNLELHPHTFIQKIHCPTNEDDSCHIEKNENKIQPVAAKKNEIADFPVQPKEINKDETFSNWELPHPQTKKNMFQFQEFVNLLSWYQTLVSGPNGVPNAVDYFEHMLSQIGPTTSPFLLQFQGLSGLEKIFTMISNIEELSLCQNLEKQYNEGKFVDSRYLRQFIESFISLSSENPFLFNFTDNLLFEETNQDEFDMNDYDQFNLHEHVQLVVPYMFSGYGIDFTLLQFCFRCRHFTKPGLTSTESFVEAALLLKGIAEKMIDFAQQPSSARLFTEFFTQLKVTFRKKKPPNWVTGTSHIFARLPTLSTVLVYAKLIVSLDEARFITEHPALVTTENLQERSWDGPKWVRPKVTKLLHSSTSPKLQMGQDLCVSPSVPLQLEPFRRVIQCLGEKRCLPQQIEPLRNAKQHLGLQCMVEKSICPTGEAKKSSLCILLDWSGLDGQELLGPGLGANLREEAIVVTYGHQTLIHQHLQLETKSYFPPRYLIARRGHSGFHTEMSEKVKRTEQANGSLLFCQKDQSFGKKCRSAQTETSTHPVAGPAAATLKRSGRLTLTQYFLCIAVVLGVLFPRPCFRRRCPFVPRCVM
+>sp|P22792|CPN2_HUMAN Carboxypeptidase N subunit 2 OS=Homo sapiens OX=9606 GN=CPN2 PE=1 SV=3
+MLPGAWLLWTSLLLLARPAQPCPMGCDCFVQEVFCSDEELATVPLDIPPYTKNIIFVETSFTTLETRAFGSNPNLTKVVFLNTQLCQFRPDAFGGLPRLEDLEVTGSSFLNLSTNIFSNLTSLGKLTLNFNMLEALPEGLFQHLAALESLHLQGNQLQALPRRLFQPLTHLKTLNLAQNLLAQLPEELFHPLTSLQTLKLSNNALSGLPQGVFGKLGSLQELFLDSNNISELPPQVFSQLFCLERLWLQRNAITHLPLSIFASLGNLTFLSLQWNMLRVLPAGLFAHTPCLVGLSLTHNQLETVAEGTFAHLSNLRSLMLSYNAITHLPAGIFRDLEELVKLYLGSNNLTALHPALFQNLSKLELLSLSKNQLTTLPEGIFDTNYNLFNLALHGNPWQCDCHLAYLFNWLQQYTDRLLNIQTYCAGPAYLKGQVVPALNEKQLVCPVTRDHLGFQVTWPDESKAGGSWDLAVQERAARSQCTYSNPEGTVVLACDQAQCRWLNVQLSPQQGSLGLQYNASQEWDLRSSCGSLRLTVSIEARAAGP
+>DECOY_sp|P22792|CPN2_HUMAN Carboxypeptidase N subunit 2 OS=Homo sapiens OX=9606 GN=CPN2 PE=1 SV=3
+PGAARAEISVTLRLSGCSSRLDWEQSANYQLGLSGQQPSLQVNLWRCQAQDCALVVTGEPNSYTCQSRAAREQVALDWSGGAKSEDPWTVQFGLHDRTVPCVLQKENLAPVVQGKLYAPGACYTQINLLRDTYQQLWNFLYALHCDCQWPNGHLALNFLNYNTDFIGEPLTTLQNKSLSLLELKSLNQFLAPHLATLNNSGLYLKVLEELDRFIGAPLHTIANYSLMLSRLNSLHAFTGEAVTELQNHTLSLGVLCPTHAFLGAPLVRLMNWQLSLFTLNGLSAFISLPLHTIANRQLWLRELCFLQSFVQPPLESINNSDLFLEQLSGLKGFVGQPLGSLANNSLKLTQLSTLPHFLEEPLQALLNQALNLTKLHTLPQFLRRPLAQLQNGQLHLSELAALHQFLGEPLAELMNFNLTLKGLSTLNSFINTSLNLFSSGTVELDELRPLGGFADPRFQCLQTNLFVVKTLNPNSGFARTELTTFSTEVFIINKTYPPIDLPVTALEEDSCFVEQVFCDCGMPCPQAPRALLLLSTWLLWAGPLM
+>sp|Q8IYJ1|CPNE9_HUMAN Copine-9 OS=Homo sapiens OX=9606 GN=CPNE9 PE=1 SV=3
+MSLGGASERSVPATKIEITVSCRNLLDLDTFSKSDPMVVLYTQSRASQEWREFGRTEVIDNTLNPDFVRKFVLDYFFEEKQNLRFDVYNVDSKTNISKPKDFLGQAFLALGEVIGGQGSRVERTLTGVPGKKCGTILLTAEELSNCRDIATMQLCANKLDKKDFFGKSDPFLVFYRSNEDGTFTICHKTEVVKNTLNPVWQPFSIPVRALCNGDYDRTVKIDVYDWDRDGSHDFIGEFTTSYRELSKAQNQFTVYEVLNPRKKCKKKKYVNSGTVTLLSFSVDSEFTFVDYIKGGTQLNFTVAIDFTASNGNPLQPTSLHYMSPYQLSAYAMALKAVGEIIQDYDSDKLFPAYGFGAKLPPEGRISHQFPLNNNDEDPNCAGIEGVLESYFQSLRTVQLYGPTYFAPVINQVARAAAKISDGSQYYVLLIITDGVISDMTQTKEAIVSASSLPMSIIIVGVGPAMFEAMEELDGDDVRVSSRGRYAERDIVQFVPFRDYVDRSGNQVLSMARLAKDVLAEIPEQLLSYMRTRDIQPRPPPPANPSPIPAPEQP
+>DECOY_sp|Q8IYJ1|CPNE9_HUMAN Copine-9 OS=Homo sapiens OX=9606 GN=CPNE9 PE=1 SV=3
+PQEPAPIPSPNAPPPPRPQIDRTRMYSLLQEPIEALVDKALRAMSLVQNGSRDVYDRFPVFQVIDREAYRGRSSVRVDDGDLEEMAEFMAPGVGVIIISMPLSSASVIAEKTQTMDSIVGDTIILLVYYQSGDSIKAAARAVQNIVPAFYTPGYLQVTRLSQFYSELVGEIGACNPDEDNNNLPFQHSIRGEPPLKAGFGYAPFLKDSDYDQIIEGVAKLAMAYASLQYPSMYHLSTPQLPNGNSATFDIAVTFNLQTGGKIYDVFTFESDVSFSLLTVTGSNVYKKKKCKKRPNLVEYVTFQNQAKSLERYSTTFEGIFDHSGDRDWDYVDIKVTRDYDGNCLARVPISFPQWVPNLTNKVVETKHCITFTGDENSRYFVLFPDSKGFFDKKDLKNACLQMTAIDRCNSLEEATLLITGCKKGPVGTLTREVRSGQGGIVEGLALFAQGLFDKPKSINTKSDVNYVDFRLNQKEEFFYDLVFKRVFDPNLTNDIVETRGFERWEQSARSQTYLVVMPDSKSFTDLDLLNRCSVTIEIKTAPVSRESAGGLSM
+>sp|Q9UKF6|CPSF3_HUMAN Cleavage and polyadenylation specificity factor subunit 3 OS=Homo sapiens OX=9606 GN=CPSF3 PE=1 SV=1
+MSAIPAEESDQLLIRPLGAGQEVGRSCIILEFKGRKIMLDCGIHPGLEGMDALPYIDLIDPAEIDLLLISHFHLDHCGALPWFLQKTSFKGRTFMTHATKAIYRWLLSDYVKVSNISADDMLYTETDLEESMDKIETINFHEVKEVAGIKFWCYHAGHVLGAAMFMIEIAGVKLLYTGDFSRQEDRHLMAAEIPNIKPDILIIESTYGTHIHEKREEREARFCNTVHDIVNRGGRGLIPVFALGRAQELLLILDEYWQNHPELHDIPIYYASSLAKKCMAVYQTYVNAMNDKIRKQININNPFVFKHISNLKSMDHFDDIGPSVVMASPGMMQSGLSRELFESWCTDKRNGVIIAGYCVEGTLAKHIMSEPEEITTMSGQKLPLKMSVDYISFSAHTDYQQTSEFIRALKPPHVILVHGEQNEMARLKAALIREYEDNDEVHIEVHNPRNTEAVTLNFRGEKLAKVMGFLADKKPEQGQRVSGILVKRNFNYHILSPCDLSNYTDLAMSTVKQTQAIPYTGPFNLLCYQLQKLTGDVEELEIQEKPALKVFKNITVIQEPGMVVLEWLANPSNDMYADTVTTVILEVQSNPKIRKGAVQKVSKKLEMHVYSKRLEIMLQDIFGEDCVSVKDDSILSVTVDGKTANLNLETRTVECEEGSEDDESLREMVELAAQRLYEALTPVH
+>DECOY_sp|Q9UKF6|CPSF3_HUMAN Cleavage and polyadenylation specificity factor subunit 3 OS=Homo sapiens OX=9606 GN=CPSF3 PE=1 SV=1
+HVPTLAEYLRQAALEVMERLSEDDESGEECEVTRTELNLNATKGDVTVSLISDDKVSVCDEGFIDQLMIELRKSYVHMELKKSVKQVAGKRIKPNSQVELIVTTVTDAYMDNSPNALWELVVMGPEQIVTINKFVKLAPKEQIELEEVDGTLKQLQYCLLNFPGTYPIAQTQKVTSMALDTYNSLDCPSLIHYNFNRKVLIGSVRQGQEPKKDALFGMVKALKEGRFNLTVAETNRPNHVEIHVEDNDEYERILAAKLRAMENQEGHVLIVHPPKLARIFESTQQYDTHASFSIYDVSMKLPLKQGSMTTIEEPESMIHKALTGEVCYGAIIVGNRKDTCWSEFLERSLGSQMMGPSAMVVSPGIDDFHDMSKLNSIHKFVFPNNINIQKRIKDNMANVYTQYVAMCKKALSSAYYIPIDHLEPHNQWYEDLILLLEQARGLAFVPILGRGGRNVIDHVTNCFRAEREERKEHIHTGYTSEIILIDPKINPIEAAMLHRDEQRSFDGTYLLKVGAIEIMFMAAGLVHGAHYCWFKIGAVEKVEHFNITEIKDMSEELDTETYLMDDASINSVKVYDSLLWRYIAKTAHTMFTRGKFSTKQLFWPLAGCHDLHFHSILLLDIEAPDILDIYPLADMGELGPHIGCDLMIKRGKFELIICSRGVEQGAGLPRILLQDSEEAPIASM
+>sp|Q8NEP4|CQ047_HUMAN Uncharacterized protein C17orf47 OS=Homo sapiens OX=9606 GN=C17orf47 PE=2 SV=3
+MVKTNKPGAKVAVSAQRGSEVTTNTSPQQGHGYVLASSHRSAAVSLNPSHRRSEAAHPTTPHSASDYPRSVSLQSGPGHYAVPTPRGPETGPRTESSRHSSPHLKSQKTQTLASHASSRQWKVSPPREEAARRGSESKSGREVGHHASSIPDAKSTHQLSFQDQKNNLQSQILEDDPPSKVQNPQGVRVPRRILSYPKDEAVQTEPIQRITTTSEIRSPRSPSLLEHGSSCVSADYQTAQRRVPVEESETGPYGPIPSKPKALYRNMNLDSLLKLSVLKDSDGVHRVSARVDPESLHKYSAYPETKPSAKVLVSSQVESNVRTPIRGNSEVGRRVTISPGVQSVEPTHHVTVPSVSEGSHKSSMFVTPEPIYKQQTQKPPEITYMSQGPTPRYPELSQKPSIHAELELTPRPLPPRSLPRYGPDSSWWPLLNPEVETPQSQLTTPDFEPKCSPSLDLLLSGFKIDSSPFCEDLKFQREKASLSPPSPPKEFPSWAPLSEVPQTPKHTCKQPIQRFTAFFLDVSEEMYNRVIWWLKGLCFSLLWAHCGSLGDGRTGEEWHLCIYRAGSFRR
+>DECOY_sp|Q8NEP4|CQ047_HUMAN Uncharacterized protein C17orf47 OS=Homo sapiens OX=9606 GN=C17orf47 PE=2 SV=3
+RRFSGARYICLHWEEGTRGDGLSGCHAWLLSFCLGKLWWIVRNYMEESVDLFFATFRQIPQKCTHKPTQPVESLPAWSPFEKPPSPPSLSAKERQFKLDECFPSSDIKFGSLLLDLSPSCKPEFDPTTLQSQPTEVEPNLLPWWSSDPGYRPLSRPPLPRPTLELEAHISPKQSLEPYRPTPGQSMYTIEPPKQTQQKYIPEPTVFMSSKHSGESVSPVTVHHTPEVSQVGPSITVRRGVESNGRIPTRVNSEVQSSVLVKASPKTEPYASYKHLSEPDVRASVRHVGDSDKLVSLKLLSDLNMNRYLAKPKSPIPGYPGTESEEVPVRRQATQYDASVCSSGHELLSPSRPSRIESTTTIRQIPETQVAEDKPYSLIRRPVRVGQPNQVKSPPDDELIQSQLNNKQDQFSLQHTSKADPISSAHHGVERGSKSESGRRAAEERPPSVKWQRSSAHSALTQTKQSKLHPSSHRSSETRPGTEPGRPTPVAYHGPGSQLSVSRPYDSASHPTTPHAAESRRHSPNLSVAASRHSSALVYGHGQQPSTNTTVESGRQASVAVKAGPKNTKVM
+>sp|Q9BSJ5|CQ080_HUMAN Uncharacterized protein C17orf80 OS=Homo sapiens OX=9606 GN=C17orf80 PE=2 SV=2
+MSDNPPRMEVCPYCKKPFKRLKSHLPYCKMIGPTIPTDQKVYQSKPATLPRAKKMKGPIKDLIKAKGKELETENEERNSKLVVDKPEQTVKTFPLPAVGLERAATTKADKDIKNPIQPSFKMLKNTKPMTTFQEETKAQFYASEKTSPKRELAKDLPKSGESRCNPSEAGASLLVGSIEPSLSNQDRKYSSTLPNDVQTTSGDLKLDKIDPQRQELLVKLLDVPTGDCHISPKNVSDGVKRVRTLLSNERDSKGRDHLSGVPTDVTVTETPEKNTESLILSLKMSSLGKIQVMEKQEKGLTLGVETCGSKGNAEKSMSATEKQERTVMSHGCENFNTRDSVTGKESQGERPHLSLFIPRETTYQFHSVSQSSSQSLASLATTFLQEKKAEAQNHHCVPDVKALMESPEGQLSLEPKSDSQFQASHTGCQSPLCSAQRHTPQSPFTNHAAAAGRKTLRSCMGLEWFPELYPGYLGLGVLPGKPQCWNAMTQKPQLISPQGERLSQVSLLERSSTHIRSLEPPAGLTTSNFSLMRLLGAVQKGWIRCNTTIRKSGFGGITMLFTGYFVLCCSWSFRRLKKLCRPLPWKSTVPPCIGVAKTTGDCRSKTCLD
+>DECOY_sp|Q9BSJ5|CQ080_HUMAN Uncharacterized protein C17orf80 OS=Homo sapiens OX=9606 GN=C17orf80 PE=2 SV=2
+DLCTKSRCDGTTKAVGICPPVTSKWPLPRCLKKLRRFSWSCCLVFYGTFLMTIGGFGSKRITTNCRIWGKQVAGLLRMLSFNSTTLGAPPELSRIHTSSRELLSVQSLREGQPSILQPKQTMANWCQPKGPLVGLGLYGPYLEPFWELGMCSRLTKRGAAAAHNTFPSQPTHRQASCLPSQCGTHSAQFQSDSKPELSLQGEPSEMLAKVDPVCHHNQAEAKKEQLFTTALSALSQSSSQSVSHFQYTTERPIFLSLHPREGQSEKGTVSDRTNFNECGHSMVTREQKETASMSKEANGKSGCTEVGLTLGKEQKEMVQIKGLSSMKLSLILSETNKEPTETVTVDTPVGSLHDRGKSDRENSLLTRVRKVGDSVNKPSIHCDGTPVDLLKVLLEQRQPDIKDLKLDGSTTQVDNPLTSSYKRDQNSLSPEISGVLLSAGAESPNCRSEGSKPLDKALERKPSTKESAYFQAKTEEQFTTMPKTNKLMKFSPQIPNKIDKDAKTTAARELGVAPLPFTKVTQEPKDVVLKSNREENETELEKGKAKILDKIPGKMKKARPLTAPKSQYVKQDTPITPGIMKCYPLHSKLRKFPKKCYPCVEMRPPNDSM
+>sp|F2Z3M2|CQ112_HUMAN Uncharacterized protein C17orf112 OS=Homo sapiens OX=9606 GN=C17orf112 PE=4 SV=1
+MYTSLKSTFVAFADGRGTTESMPSPPLANSNHESPVNQLGNMQNMRLGPSFILTGIFLGNGRTEASPFFTDEFALSKIFPEYKRNLFGKFQTNMAFSLELDPPSLLLSVVLFMF
+>DECOY_sp|F2Z3M2|CQ112_HUMAN Uncharacterized protein C17orf112 OS=Homo sapiens OX=9606 GN=C17orf112 PE=4 SV=1
+FMFLVVSLLLSPPDLELSFAMNTQFKGFLNRKYEPFIKSLAFEDTFFPSAETRGNGLFIGTLIFSPGLRMNQMNGLQNVPSEHNSNALPPSPMSETTGRGDAFAVFTSKLSTYM
+>sp|Q96N68|CR015_HUMAN Putative uncharacterized protein C18orf15 OS=Homo sapiens OX=9606 GN=C18orf15 PE=5 SV=1
+MQGQGALKESHIHLPTEQPEASLVLQGQLAESSALGPKGALRPQAQSPDVPVSWWQGSGKRLSHRLPHICSQPPLGPFLPLTWPSCGFFGLGGAASASLGLEVLQDSVSTWARGPCCPVHPQSLTVVCMCACMCVCVHVCACVYVCMCVLVCMCACACMRAHRYFLMDCAGICSPHGPGTQ
+>DECOY_sp|Q96N68|CR015_HUMAN Putative uncharacterized protein C18orf15 OS=Homo sapiens OX=9606 GN=C18orf15 PE=5 SV=1
+QTGPGHPSCIGACDMLFYRHARMCACACMCVLVCMCVYVCACVHVCVCMCACMCVVTLSQPHVPCCPGRAWTSVSDQLVELGLSASAAGGLGFFGCSPWTLPLFPGLPPQSCIHPLRHSLRKGSGQWWSVPVDPSQAQPRLAGKPGLASSEALQGQLVLSAEPQETPLHIHSEKLAGQGQM
+>sp|Q7Z4B0|CR020_HUMAN Putative uncharacterized protein encoded by LINC00305 OS=Homo sapiens OX=9606 GN=LINC00305 PE=5 SV=1
+MINLHRLCIIHVVATLLSTLLSLISVAISATCKDEKGKQEMETGQQPSGLSATLTKVKCAKRQKTVVRVRFYMLSMKNKACRKNLSKGYNQRPEGSKEESHMVVKEKRKGDH
+>DECOY_sp|Q7Z4B0|CR020_HUMAN Putative uncharacterized protein encoded by LINC00305 OS=Homo sapiens OX=9606 GN=LINC00305 PE=5 SV=1
+HDGKRKEKVVMHSEEKSGEPRQNYGKSLNKRCAKNKMSLMYFRVRVVTKQRKACKVKTLTASLGSPQQGTEMEQKGKEDKCTASIAVSILSLLTSLLTAVVHIICLRHLNIM
+>sp|Q8TCD1|CR032_HUMAN UPF0729 protein C18orf32 OS=Homo sapiens OX=9606 GN=C18orf32 PE=3 SV=1
+MVCIPCIVIPVLLWIYKKFLEPYIYPLVSPFVSRIWPKKAIQESNDTNKGKVNFKGADMNGLPTKGPTEICDKKKD
+>DECOY_sp|Q8TCD1|CR032_HUMAN UPF0729 protein C18orf32 OS=Homo sapiens OX=9606 GN=C18orf32 PE=3 SV=1
+DKKKDCIETPGKTPLGNMDAGKFNVKGKNTDNSEQIAKKPWIRSVFPSVLPYIYPELFKKYIWLLVPIVICPICVM
+>sp|Q9H3Y0|CRSPL_HUMAN Peptidase inhibitor R3HDML OS=Homo sapiens OX=9606 GN=R3HDML PE=2 SV=1
+MPLLPSTVGLAGLLFWAGQAVNALIMPNATPAPAQPESTAMRLLSGLEVPRYRRKRHISVRDMNALLDYHNHIRASVYPPAANMEYMVWDKRLARAAEAWATQCIWAHGPSQLMRYVGQNLSIHSGQYRSVVDLMKSWSEEKWHYLFPAPRDCNPHCPWRCDGPTCSHYTQMVWASSNRLGCAIHTCSSISVWGNTWHRAAYLVCNYAIKGNWIGESPYKMGKPCSSCPPSYQGSCNSNMCFKGLKSNKFTWF
+>DECOY_sp|Q9H3Y0|CRSPL_HUMAN Peptidase inhibitor R3HDML OS=Homo sapiens OX=9606 GN=R3HDML PE=2 SV=1
+FWTFKNSKLGKFCMNSNCSGQYSPPCSSCPKGMKYPSEGIWNGKIAYNCVLYAARHWTNGWVSISSCTHIACGLRNSSAWVMQTYHSCTPGDCRWPCHPNCDRPAPFLYHWKEESWSKMLDVVSRYQGSHISLNQGVYRMLQSPGHAWICQTAWAEAARALRKDWVMYEMNAAPPYVSARIHNHYDLLANMDRVSIHRKRRYRPVELGSLLRMATSEPQAPAPTANPMILANVAQGAWFLLGALGVTSPLLPM
+>sp|O95727|CRTAM_HUMAN Cytotoxic and regulatory T-cell molecule OS=Homo sapiens OX=9606 GN=CRTAM PE=1 SV=2
+MWWRVLSLLAWFPLQEASLTNHTETITVEEGQTLTLKCVTSLRKNSSLQWLTPSGFTIFLNEYPALKNSKYQLLHHSANQLSITVPNVTLQDEGVYKCLHYSDSVSTKEVKVIVLATPFKPILEASVIRKQNGEEHVVLMCSTMRSKPPPQITWLLGNSMEVSGGTLHEFETDGKKCNTTSTLIIHTYGKNSTVDCIIRHRGLQGRKLVAPFRFEDLVTDEETASDALERNSLSSQDPQQPTSTVSVTEDSSTSEIDKEEKEQTTQDPDLTTEANPQYLGLARKKSGILLLTLVSFLIFILFIIVQLFIMKLRKAHVIWKKENEVSEHTLESYRSRSNNEETSSEEKNGQSSHPMRCMNYITKLYSEAKTKRKENVQHSKLEEKHIQVPESIV
+>DECOY_sp|O95727|CRTAM_HUMAN Cytotoxic and regulatory T-cell molecule OS=Homo sapiens OX=9606 GN=CRTAM PE=1 SV=2
+VISEPVQIHKEELKSHQVNEKRKTKAESYLKTIYNMCRMPHSSQGNKEESSTEENNSRSRYSELTHESVENEKKWIVHAKRLKMIFLQVIIFLIFILFSVLTLLLIGSKKRALGLYQPNAETTLDPDQTTQEKEEKDIESTSSDETVSVTSTPQQPDQSSLSNRELADSATEEDTVLDEFRFPAVLKRGQLGRHRIICDVTSNKGYTHIILTSTTNCKKGDTEFEHLTGGSVEMSNGLLWTIQPPPKSRMTSCMLVVHEEGNQKRIVSAELIPKFPTALVIVKVEKTSVSDSYHLCKYVGEDQLTVNPVTISLQNASHHLLQYKSNKLAPYENLFITFGSPTLWQLSSNKRLSTVCKLTLTQGEEVTITETHNTLSAEQLPFWALLSLVRWWM
+>sp|Q16526|CRY1_HUMAN Cryptochrome-1 OS=Homo sapiens OX=9606 GN=CRY1 PE=1 SV=1
+MGVNAVHWFRKGLRLHDNPALKECIQGADTIRCVYILDPWFAGSSNVGINRWRFLLQCLEDLDANLRKLNSRLFVIRGQPADVFPRLFKEWNITKLSIEYDSEPFGKERDAAIKKLATEAGVEVIVRISHTLYDLDKIIELNGGQPPLTYKRFQTLISKMEPLEIPVETITSEVIEKCTTPLSDDHDEKYGVPSLEELGFDTDGLSSAVWPGGETEALTRLERHLERKAWVANFERPRMNANSLLASPTGLSPYLRFGCLSCRLFYFKLTDLYKKVKKNSSPPLSLYGQLLWREFFYTAATNNPRFDKMEGNPICVQIPWDKNPEALAKWAEGRTGFPWIDAIMTQLRQEGWIHHLARHAVACFLTRGDLWISWEEGMKVFEELLLDADWSINAGSWMWLSCSSFFQQFFHCYCPVGFGRRTDPNGDYIRRYLPVLRGFPAKYIYDPWNAPEGIQKVAKCLIGVNYPKPMVNHAEASRLNIERMKQIYQQLSRYRGLGLLASVPSNPNGNGGFMGYSAENIPGCSSSGSCSQGSGILHYAHGDSQQTHLLKQGRSSMGTGLSGGKRPSQEEDTQSIGPKVQRQSTN
+>DECOY_sp|Q16526|CRY1_HUMAN Cryptochrome-1 OS=Homo sapiens OX=9606 GN=CRY1 PE=1 SV=1
+NTSQRQVKPGISQTDEEQSPRKGGSLGTGMSSRGQKLLHTQQSDGHAYHLIGSGQSCSGSSSCGPINEASYGMFGGNGNPNSPVSALLGLGRYRSLQQYIQKMREINLRSAEAHNVMPKPYNVGILCKAVKQIGEPANWPDYIYKAPFGRLVPLYRRIYDGNPDTRRGFGVPCYCHFFQQFFSSCSLWMWSGANISWDADLLLEEFVKMGEEWSIWLDGRTLFCAVAHRALHHIWGEQRLQTMIADIWPFGTRGEAWKALAEPNKDWPIQVCIPNGEMKDFRPNNTAATYFFERWLLQGYLSLPPSSNKKVKKYLDTLKFYFLRCSLCGFRLYPSLGTPSALLSNANMRPREFNAVWAKRELHRELRTLAETEGGPWVASSLGDTDFGLEELSPVGYKEDHDDSLPTTCKEIVESTITEVPIELPEMKSILTQFRKYTLPPQGGNLEIIKDLDYLTHSIRVIVEVGAETALKKIAADREKGFPESDYEISLKTINWEKFLRPFVDAPQGRIVFLRSNLKRLNADLDELCQLLFRWRNIGVNSSGAFWPDLIYVCRITDAGQICEKLAPNDHLRLGKRFWHVANVGM
+>sp|A0A140G945|CRYA2_HUMAN Alpha-crystallin A2 chain OS=Homo sapiens OX=9606 GN=CRYAA2 PE=1 SV=1
+MDVTIQHPWFKRTLGPFYPSRLFDQFFGEGLFEYDLLPFLSSTISPYYRQSLFRTVLDSGISEVRSDRDKFVIFLDVKHFSPEDLTVKVQDDFVEIHGKHNERQDDHGYISREFHRRYRLPSNVDQSALSCSLSADGMLTFCGPKIQTGLDATHAERAIPVSREEKPTSAPSS
+>DECOY_sp|A0A140G945|CRYA2_HUMAN Alpha-crystallin A2 chain OS=Homo sapiens OX=9606 GN=CRYAA2 PE=1 SV=1
+SSPASTPKEERSVPIAREAHTADLGTQIKPGCFTLMGDASLSCSLASQDVNSPLRYRRHFERSIYGHDDQRENHKGHIEVFDDQVKVTLDEPSFHKVDLFIVFKDRDSRVESIGSDLVTRFLSQRYYPSITSSLFPLLDYEFLGEGFFQDFLRSPYFPGLTRKFWPHQITVDM
+>sp|Q14894|CRYM_HUMAN Ketimine reductase mu-crystallin OS=Homo sapiens OX=9606 GN=CRYM PE=1 SV=1
+MSRVPAFLSAAEVEEHLRSSSLLIPPLETALANFSSGPEGGVMQPVRTVVPVTKHRGYLGVMPAYSAAEDALTTKLVTFYEDRGITSVVPSHQATVLLFEPSNGTLLAVMDGNVITAKRTAAVSAIATKFLKPPSSEVLCILGAGVQAYSHYEIFTEQFSFKEVRIWNRTKENAEKFADTVQGEVRVCSSVQEAVAGADVIITVTLATEPILFGEWVKPGAHINAVGASRPDWRELDDELMKEAVLYVDSQEAALKESGDVLLSGAEIFAELGEVIKGVKPAHCEKTTVFKSLGMAVEDTVAAKLIYDSWSSGK
+>DECOY_sp|Q14894|CRYM_HUMAN Ketimine reductase mu-crystallin OS=Homo sapiens OX=9606 GN=CRYM PE=1 SV=1
+KGSSWSDYILKAAVTDEVAMGLSKFVTTKECHAPKVGKIVEGLEAFIEAGSLLVDGSEKLAAEQSDVYLVAEKMLEDDLERWDPRSAGVANIHAGPKVWEGFLIPETALTVTIIVDAGAVAEQVSSCVRVEGQVTDAFKEANEKTRNWIRVEKFSFQETFIEYHSYAQVGAGLICLVESSPPKLFKTAIASVAATRKATIVNGDMVALLTGNSPEFLLVTAQHSPVVSTIGRDEYFTVLKTTLADEAASYAPMVGLYGRHKTVPVVTRVPQMVGGEPGSSFNALATELPPILLSSSRLHEEVEAASLFAPVRSM
+>sp|Q9BVV8|CS024_HUMAN Uncharacterized membrane protein C19orf24 OS=Homo sapiens OX=9606 GN=C19orf24 PE=1 SV=2
+MGPRVLQPPLLLLLLALLLAALPCGAEEASPLRPAQVTLSPPPAVTNGSQPGAPHNSTHTRPPGASGSALTRSFYVILGFCGLTALYFLIRAFRLKKPQRRRYGLLANTEDPTEMASLDSDEETVFESRNLR
+>DECOY_sp|Q9BVV8|CS024_HUMAN Uncharacterized membrane protein C19orf24 OS=Homo sapiens OX=9606 GN=C19orf24 PE=1 SV=2
+RLNRSEFVTEEDSDLSAMETPDETNALLGYRRRQPKKLRFARILFYLATLGCFGLIVYFSRTLASGSAGPPRTHTSNHPAGPQSGNTVAPPPSLTVQAPRLPSAEEAGCPLAALLLALLLLLLPPQLVRPGM
+>sp|Q9H6X5|CS044_HUMAN Uncharacterized protein C19orf44 OS=Homo sapiens OX=9606 GN=C19orf44 PE=1 SV=1
+MASARKASRPMRDVFGDFSDVSLEDSTMEEIRNFQISRNLTKIAPGHSRFLKRNQTLDEKHLLLKENPVLGSGPRLASCRPPTTASRIRANAALMKLAQLETRIMNRKLQRNLSDTESDSMTADAGLPKRADRILSGGALELASQNTDKTSQNQARELPVTENNAQNAKVSRFLKKKQAPVENISPEAPAGKERTLQTPKQKEPARTFDSPDSDEEEMKVLLGSLMDSSREKNTNQGFSSANVSEEEERKLFSVPSQLRAFTVPSVELSSAKPSQTSHLPTSLAADRTLHSTRSRADYPQSHVSSDTASHTPSVSITGAFSNSVSLKMGHVKLVSSPGRSEAETVDEPVSEGADDSLDEFRINILSLDGLAPAVSENSDLEQEEESAQRQKTAGKIFRAEASTGQDAPRQAQARSWASQGKAASAEGDESEVSEHLSASSASAIQQDSTSSMQPPSEAPMVNTVSSAYSEDFENSPSLTASEPTAHSKESLDRTLDALSESSSSVKTDLPQTAESRKKSGRHVTRVLVKDTAVQTPDPAFTYEWTKVASMAAMGPALGGAYVDPTPIANHVISADAIEALTAYSPAVLALHDVLKQQLSLTQQFIQASRHLHASLLRSLDADSFHYHTLEEAKEYIRCHRPAPLTMEDALEEVNKEL
+>DECOY_sp|Q9H6X5|CS044_HUMAN Uncharacterized protein C19orf44 OS=Homo sapiens OX=9606 GN=C19orf44 PE=1 SV=1
+LEKNVEELADEMTLPAPRHCRIYEKAEELTHYHFSDADLSRLLSAHLHRSAQIFQQTLSLQQKLVDHLALVAPSYATLAEIADASIVHNAIPTPDVYAGGLAPGMAAMSAVKTWEYTFAPDPTQVATDKVLVRTVHRGSKKRSEATQPLDTKVSSSSESLADLTRDLSEKSHATPESATLSPSNEFDESYASSVTNVMPAESPPQMSSTSDQQIASASSASLHESVESEDGEASAAKGQSAWSRAQAQRPADQGTSAEARFIKGATKQRQASEEEQELDSNESVAPALGDLSLINIRFEDLSDDAGESVPEDVTEAESRGPSSVLKVHGMKLSVSNSFAGTISVSPTHSATDSSVHSQPYDARSRTSHLTRDAALSTPLHSTQSPKASSLEVSPVTFARLQSPVSFLKREEEESVNASSFGQNTNKERSSDMLSGLLVKMEEEDSDPSDFTRAPEKQKPTQLTREKGAPAEPSINEVPAQKKKLFRSVKANQANNETVPLERAQNQSTKDTNQSALELAGGSLIRDARKPLGADATMSDSETDSLNRQLKRNMIRTELQALKMLAANARIRSATTPPRCSALRPGSGLVPNEKLLLHKEDLTQNRKLFRSHGPAIKTLNRSIQFNRIEEMTSDELSVDSFDGFVDRMPRSAKRASAM
+>sp|Q9NVV2|CS073_HUMAN Putative uncharacterized protein C19orf73 OS=Homo sapiens OX=9606 GN=C19orf73 PE=1 SV=2
+MRLKVGFQGGGCFRKDALCLEGGVSARWARAPHSAPLRPPRELHAAPPPATPTQTVVRPAGFPRRTRLMVRSAPPTQRPPTGSGCVSGLWRKGLGLRPQTLLRVGSVVLSSAPALRPRLGPCLRPPPSD
+>DECOY_sp|Q9NVV2|CS073_HUMAN Putative uncharacterized protein C19orf73 OS=Homo sapiens OX=9606 GN=C19orf73 PE=1 SV=2
+DSPPPRLCPGLRPRLAPASSLVVSGVRLLTQPRLGLGKRWLGSVCGSGTPPRQTPPASRVMLRTRRPFGAPRVVTQTPTAPPPAAHLERPPRLPASHPARAWRASVGGELCLADKRFCGGGQFGVKLRM
+>sp|Q9P1W3|CSC1_HUMAN Calcium permeable stress-gated cation channel 1 OS=Homo sapiens OX=9606 GN=TMEM63C PE=2 SV=1
+MSASPDDLSTGGRLQNMTVDECFQSRNTVLQGQPFGGVPTVLCLNIALWVLVLVVYSFLRKAAWDYGRLALLIHNDSLTSLIYGEQSEKTSPSETSLEMERRDKGFCSWFFNSITMKDEDLINKCGDDARIYIVFQYHLIIFVLIICIPSLGIILPINYTGSVLDWSSHFARTTIVNVSTESKLLWLHSLLSFFYFITNFMFMAHHCLGFAPRNSQKVTRTLMITYVPKDIEDPELIIKHFHEAYPGSVVTRVHFCYDVRNLIDLDDQRRHAMRGRLFYTAKAKKTGKVMIRIHPCARLCFCKCWTCFKEVDAEQYYSELEEQLTDEFNAELNRVPLKRLDLIFVTFQDSRMAKRVRKDYKYVQCGVQPQQSSVTTIVKSYYWRVTMAPHPKDIIWKHLSVRRFFWWARFIAINTFLFFLFFFLTTPAIIMNTIDMYNVTRPIEKLQNPIVTQFFPSVMLWGFTVILPLIVYFSAFLEAHWTRSSQNLVMVHKCYIFLVFMVVILPSMGLTSLDVFLRWLFDIYYLEQASIRFQCVFLPDNGAFFVNYVITAALLGTGMELLRLGSLFCYSTRLFFSRSEPERVNIRKNQAIDFQFGREYAWMMNVFSVVMAYSITCPIIVPFGLLYLCMKHLTDRYNMYYSFAPTKLNEQIHMAAVSQAIFAPLLGLFWMLFFSILRLGSLHAITIFSLSTLLIAMVIAFVGIFLGKLRMVADYEPEEEEIQTVFDMEPSSTSSTPTSLLYVATVLQEPELNLTPASSPARHTYGTMNNQPEEGEEESGLRGFARELDSAQFQEGLELEGQNQYH
+>DECOY_sp|Q9P1W3|CSC1_HUMAN Calcium permeable stress-gated cation channel 1 OS=Homo sapiens OX=9606 GN=TMEM63C PE=2 SV=1
+HYQNQGELELGEQFQASDLERAFGRLGSEEEGEEPQNNMTGYTHRAPSSAPTLNLEPEQLVTAVYLLSTPTSSTSSPEMDFVTQIEEEEPEYDAVMRLKGLFIGVFAIVMAILLTSLSFITIAHLSGLRLISFFLMWFLGLLPAFIAQSVAAMHIQENLKTPAFSYYMNYRDTLHKMCLYLLGFPVIIPCTISYAMVVSFVNMMWAYERGFQFDIAQNKRINVREPESRSFFLRTSYCFLSGLRLLEMGTGLLAATIVYNVFFAGNDPLFVCQFRISAQELYYIDFLWRLFVDLSTLGMSPLIVVMFVLFIYCKHVMVLNQSSRTWHAELFASFYVILPLIVTFGWLMVSPFFQTVIPNQLKEIPRTVNYMDITNMIIAPTTLFFFLFFLFTNIAIFRAWWFFRRVSLHKWIIDKPHPAMTVRWYYSKVITTVSSQQPQVGCQVYKYDKRVRKAMRSDQFTVFILDLRKLPVRNLEANFEDTLQEELESYYQEADVEKFCTWCKCFCLRACPHIRIMVKGTKKAKATYFLRGRMAHRRQDDLDILNRVDYCFHVRTVVSGPYAEHFHKIILEPDEIDKPVYTIMLTRTVKQSNRPAFGLCHHAMFMFNTIFYFFSLLSHLWLLKSETSVNVITTRAFHSSWDLVSGTYNIPLIIGLSPICIILVFIILHYQFVIYIRADDGCKNILDEDKMTISNFFWSCFGKDRREMELSTESPSTKESQEGYILSTLSDNHILLALRGYDWAAKRLFSYVVLVLVWLAINLCLVTPVGGFPQGQLVTNRSQFCEDVTMNQLRGGTSLDDPSASM
+>sp|Q6XLA1|CSC2A_HUMAN Protein CASC2, isoform 3 OS=Homo sapiens OX=9606 GN=CASC2 PE=2 SV=1
+MTQEKMYPHLFSERCANQHQHRTAEEKKYVSEKQLIHWRCEEPSAHHNSIDIKRMKHFGSALRLRQTFHLDTADHPCVITMNPALPWKLEGSNSTSTLPLPA
+>DECOY_sp|Q6XLA1|CSC2A_HUMAN Protein CASC2, isoform 3 OS=Homo sapiens OX=9606 GN=CASC2 PE=2 SV=1
+APLPLTSTSNSGELKWPLAPNMTIVCPHDATDLHFTQRLRLASGFHKMRKIDISNHHASPEECRWHILQKESVYKKEEATRHQHQNACRESFLHPYMKEQTM
+>sp|O94886|CSCL1_HUMAN CSC1-like protein 1 OS=Homo sapiens OX=9606 GN=TMEM63A PE=1 SV=3
+MMDSPFLELWQSKAVSIREQLGLGDRPNDSYCYNSAKNSTVLQGVTFGGIPTVLLIDVSCFLFLILVFSIIRRRFWDYGRIALVSEADSESRFQRLSSTSSSGQQDFENELGCCPWLTAIFRLHDDQILEWCGEDAIHYLSFQRHIIFLLVVVSFLSLCVILPVNLSGDLLDKDPYSFGRTTIANLQTDNDLLWLHTIFAVIYLFLTVGFMRHHTQSIKYKEENLVRRTLFITGLPRDARKETVESHFRDAYPTCEVVDVQLCYNVAKLIYLCKEKKKTEKSLTYYTNLQVKTGQRTLINPKPCGQFCCCEVLGCEWEDAISYYTRMKDRLLERITEEERHVQDQPLGMAFVTFQEKSMATYILKDFNACKCQSLQCKGEPQPSSHSRELYTSKWTVTFAADPEDICWKNLSIQGLRWWLQWLGINFTLFLGLFFLTTPSIILSTMDKFNVTKPIHALNNPIISQFFPTLLLWSFSALLPSIVYYSTLLESHWTKSGENQIMMTKVYIFLIFMVLILPSLGLTSLDFFFRWLFDKTSSEASIRLECVFLPDQGAFFVNYVIASAFIGNGMELLRLPGLILYTFRMIMAKTAADRRNVKQNQAFQYEFGAMYAWMLCVFTVIVAYSITCPIIAPFGLIYILLKHMVDRHNLYFVYLPAKLEKGIHFAAVNQALAAPILCLFWLYFFSFLRLGMKAPATLFTFLVLLLTILVCLAHTCFGCFKHLSPLNYKTEEPASDKGSEAEAHMPPPFTPYVPRILNGLASERTALSPQQQQQQTYGAIHNISGTIPGQCLAQSATGSVAAAPQEA
+>DECOY_sp|O94886|CSCL1_HUMAN CSC1-like protein 1 OS=Homo sapiens OX=9606 GN=TMEM63A PE=1 SV=3
+AEQPAAAVSGTASQALCQGPITGSINHIAGYTQQQQQQPSLATRESALGNLIRPVYPTFPPPMHAEAESGKDSAPEETKYNLPSLHKFCGFCTHALCVLITLLLVLFTFLTAPAKMGLRLFSFFYLWFLCLIPAALAQNVAAFHIGKELKAPLYVFYLNHRDVMHKLLIYILGFPAIIPCTISYAVIVTFVCLMWAYMAGFEYQFAQNQKVNRRDAATKAMIMRFTYLILGPLRLLEMGNGIFASAIVYNVFFAGQDPLFVCELRISAESSTKDFLWRFFFDLSTLGLSPLILVMFILFIYVKTMMIQNEGSKTWHSELLTSYYVISPLLASFSWLLLTPFFQSIIPNNLAHIPKTVNFKDMTSLIISPTTLFFLGLFLTFNIGLWQLWWRLGQISLNKWCIDEPDAAFTVTWKSTYLERSHSSPQPEGKCQLSQCKCANFDKLIYTAMSKEQFTVFAMGLPQDQVHREEETIRELLRDKMRTYYSIADEWECGLVECCCFQGCPKPNILTRQGTKVQLNTYYTLSKETKKKEKCLYILKAVNYCLQVDVVECTPYADRFHSEVTEKRADRPLGTIFLTRRVLNEEKYKISQTHHRMFGVTLFLYIVAFITHLWLLDNDTQLNAITTRGFSYPDKDLLDGSLNVPLIVCLSLFSVVVLLFIIHRQFSLYHIADEGCWELIQDDHLRFIATLWPCCGLENEFDQQGSSSTSSLRQFRSESDAESVLAIRGYDWFRRRIISFVLILFLFCSVDILLVTPIGGFTVGQLVTSNKASNYCYSDNPRDGLGLQERISVAKSQWLELFPSDMM
+>sp|P09603|CSF1_HUMAN Macrophage colony-stimulating factor 1 OS=Homo sapiens OX=9606 GN=CSF1 PE=1 SV=2
+MTAPGAAGRCPPTTWLGSLLLLVCLLASRSITEEVSEYCSHMIGSGHLQSLQRLIDSQMETSCQITFEFVDQEQLKDPVCYLKKAFLLVQDIMEDTMRFRDNTPNAIAIVQLQELSLRLKSCFTKDYEEHDKACVRTFYETPLQLLEKVKNVFNETKNLLDKDWNIFSKNCNNSFAECSSQDVVTKPDCNCLYPKAIPSSDPASVSPHQPLAPSMAPVAGLTWEDSEGTEGSSLLPGEQPLHTVDPGSAKQRPPRSTCQSFEPPETPVVKDSTIGGSPQPRPSVGAFNPGMEDILDSAMGTNWVPEEASGEASEIPVPQGTELSPSRPGGGSMQTEPARPSNFLSASSPLPASAKGQQPADVTGTALPRVGPVRPTGQDWNHTPQKTDHPSALLRDPPEPGSPRISSLRPQGLSNPSTLSAQPQLSRSHSSGSVLPLGELEGRRSTRDRRSPAEPEGGPASEGAARPLPRFNSVPLTDTGHERQSEGSFSPQLQESVFHLLVPSVILVLLAVGGLLFYRWRRRSHQEPQRADSPLEQPEGSPLTQDDRQVELPV
+>DECOY_sp|P09603|CSF1_HUMAN Macrophage colony-stimulating factor 1 OS=Homo sapiens OX=9606 GN=CSF1 PE=1 SV=2
+VPLEVQRDDQTLPSGEPQELPSDARQPEQHSRRRWRYFLLGGVALLVLIVSPVLLHFVSEQLQPSFSGESQREHGTDTLPVSNFRPLPRAAGESAPGGEPEAPSRRDRTSRRGELEGLPLVSGSSHSRSLQPQASLTSPNSLGQPRLSSIRPSGPEPPDRLLASPHDTKQPTHNWDQGTPRVPGVRPLATGTVDAPQQGKASAPLPSSASLFNSPRAPETQMSGGGPRSPSLETGQPVPIESAEGSAEEPVWNTGMASDLIDEMGPNFAGVSPRPQPSGGITSDKVVPTEPPEFSQCTSRPPRQKASGPDVTHLPQEGPLLSSGETGESDEWTLGAVPAMSPALPQHPSVSAPDSSPIAKPYLCNCDPKTVVDQSSCEAFSNNCNKSFINWDKDLLNKTENFVNKVKELLQLPTEYFTRVCAKDHEEYDKTFCSKLRLSLEQLQVIAIANPTNDRFRMTDEMIDQVLLFAKKLYCVPDKLQEQDVFEFTIQCSTEMQSDILRQLSQLHGSGIMHSCYESVEETISRSALLCVLLLLSGLWTTPPCRGAAGPATM
+>sp|P0DML3|CSH2_HUMAN Chorionic somatomammotropin hormone 2 OS=Homo sapiens OX=9606 GN=CSH2 PE=1 SV=1
+MAAGSRTSLLLAFALLCLPWLQEAGAVQTVPLSRLFDHAMLQAHRAHQLAIDTYQEFEETYIPKDQKYSFLHDSQTSFCFSDSIPTPSNMEETQQKSNLELLRISLLLIESWLEPVRFLRSMFANNLVYDTSDSDDYHLLKDLEEGIQTLMGRLEDGSRRTGQILKQTYSKFDTNSHNHDALLKNYGLLYCFRKDMDKVETFLRMVQCRSVEGSCGF
+>DECOY_sp|P0DML3|CSH2_HUMAN Chorionic somatomammotropin hormone 2 OS=Homo sapiens OX=9606 GN=CSH2 PE=1 SV=1
+FGCSGEVSRCQVMRLFTEVKDMDKRFCYLLGYNKLLADHNHSNTDFKSYTQKLIQGTRRSGDELRGMLTQIGEELDKLLHYDDSDSTDYVLNNAFMSRLFRVPELWSEILLLSIRLLELNSKQQTEEMNSPTPISDSFCFSTQSDHLFSYKQDKPIYTEEFEQYTDIALQHARHAQLMAHDFLRSLPVTQVAGAEQLWPLCLLAFALLLSTRSGAAM
+>sp|Q14406|CSHL_HUMAN Chorionic somatomammotropin hormone-like 1 OS=Homo sapiens OX=9606 GN=CSHL1 PE=2 SV=2
+MAAGSRTSLLLAFALLCLPWLQEAGAVQTVPLSRLFKEAMLQAHRAHQLAIDTYQEFISSWGMEAYITKEQKYSFLHDSQTSFCFSDSIPTSSNMEETQQKSNLELLHISLLLIESRLEPVRFLRSTFTNNLVYDTSDSDDYHLLKDLEEGIQMLMGRLEDGSHLTGQTLKQTYSKFDTNSHNHDALLKNYGLLHCFRKDMDKVETFLRMVQCRSVEGSCGF
+>DECOY_sp|Q14406|CSHL_HUMAN Chorionic somatomammotropin hormone-like 1 OS=Homo sapiens OX=9606 GN=CSHL1 PE=2 SV=2
+FGCSGEVSRCQVMRLFTEVKDMDKRFCHLLGYNKLLADHNHSNTDFKSYTQKLTQGTLHSGDELRGMLMQIGEELDKLLHYDDSDSTDYVLNNTFTSRLFRVPELRSEILLLSIHLLELNSKQQTEEMNSSTPISDSFCFSTQSDHLFSYKQEKTIYAEMGWSSIFEQYTDIALQHARHAQLMAEKFLRSLPVTQVAGAEQLWPLCLLAFALLLSTRSGAAM
+>sp|P19784|CSK22_HUMAN Casein kinase II subunit alpha' OS=Homo sapiens OX=9606 GN=CSNK2A2 PE=1 SV=1
+MPGPAAGSRARVYAEVNSLRSREYWDYEAHVPSWGNQDDYQLVRKLGRGKYSEVFEAINITNNERVVVKILKPVKKKKIKREVKILENLRGGTNIIKLIDTVKDPVSKTPALVFEYINNTDFKQLYQILTDFDIRFYMYELLKALDYCHSKGIMHRDVKPHNVMIDHQQKKLRLIDWGLAEFYHPAQEYNVRVASRYFKGPELLVDYQMYDYSLDMWSLGCMLASMIFRREPFFHGQDNYDQLVRIAKVLGTEELYGYLKKYHIDLDPHFNDILGQHSRKRWENFIHSENRHLVSPEALDLLDKLLRYDHQQRLTAKEAMEHPYFYPVVKEQSQPCADNAVLSSGLTAAR
+>DECOY_sp|P19784|CSK22_HUMAN Casein kinase II subunit alpha' OS=Homo sapiens OX=9606 GN=CSNK2A2 PE=1 SV=1
+RAATLGSSLVANDACPQSQEKVVPYFYPHEMAEKATLRQQHDYRLLKDLLDLAEPSVLHRNESHIFNEWRKRSHQGLIDNFHPDLDIHYKKLYGYLEETGLVKAIRVLQDYNDQGHFFPERRFIMSALMCGLSWMDLSYDYMQYDVLLEPGKFYRSAVRVNYEQAPHYFEALGWDILRLKKQQHDIMVNHPKVDRHMIGKSHCYDLAKLLEYMYFRIDFDTLIQYLQKFDTNNIYEFVLAPTKSVPDKVTDILKIINTGGRLNELIKVERKIKKKKVPKLIKVVVRENNTINIAEFVESYKGRGLKRVLQYDDQNGWSPVHAEYDWYERSRLSNVEAYVRARSGAAPGPM
+>sp|O14936|CSKP_HUMAN Peripheral plasma membrane protein CASK OS=Homo sapiens OX=9606 GN=CASK PE=1 SV=3
+MADDDVLFEDVYELCEVIGKGPFSVVRRCINRETGQQFAVKIVDVAKFTSSPGLSTEDLKREASICHMLKHPHIVELLETYSSDGMLYMVFEFMDGADLCFEIVKRADAGFVYSEAVASHYMRQILEALRYCHDNNIIHRDVKPHCVLLASKENSAPVKLGGFGVAIQLGESGLVAGGRVGTPHFMAPEVVKREPYGKPVDVWGCGVILFILLSGCLPFYGTKERLFEGIIKGKYKMNPRQWSHISESAKDLVRRMLMLDPAERITVYEALNHPWLKERDRYAYKIHLPETVEQLRKFNARRKLKGAVLAAVSSHKFNSFYGDPPEELPDFSEDPTSSGLLAAERAVSQVLDSLEEIHALTDCSEKDLDFLHSVFQDQHLHTLLDLYDKINTKSSPQIRNPPSDAVQRAKEVLEEISCYPENNDAKELKRILTQPHFMALLQTHDVVAHEVYSDEALRVTPPPTSPYLNGDSPESANGDMDMENVTRVRLVQFQKNTDEPMGITLKMNELNHCIVARIMHGGMIHRQGTLHVGDEIREINGISVANQTVEQLQKMLREMRGSITFKIVPSYRTQSSSCERDSPSTSRQSPANGHSSTNNSVSDLPSTTQPKGRQIYVRAQFEYDPAKDDLIPCKEAGIRFRVGDIIQIISKDDHNWWQGKLENSKNGTAGLIPSPELQEWRVACIAMEKTKQEQQASCTWFGKKKKQYKDKYLAKHNAVFDQLDLVTYEEVVKLPAFKRKTLVLLGAHGVGRRHIKNTLITKHPDRFAYPIPHTTRPPKKDEENGKNYYFVSHDQMMQDISNNEYLEYGSHEDAMYGTKLETIRKIHEQGLIAILDVEPQALKVLRTAEFAPFVVFIAAPTITPGLNEDESLQRLQKESDILQRTYAHYFDLTIINNEIDETIRHLEEAVELVCTAPQWVPVSWVY
+>DECOY_sp|O14936|CSKP_HUMAN Peripheral plasma membrane protein CASK OS=Homo sapiens OX=9606 GN=CASK PE=1 SV=3
+YVWSVPVWQPATCVLEVAEELHRITEDIENNIITLDFYHAYTRQLIDSEKQLRQLSEDENLGPTITPAAIFVVFPAFEATRLVKLAQPEVDLIAILGQEHIKRITELKTGYMADEHSGYELYENNSIDQMMQDHSVFYYNKGNEEDKKPPRTTHPIPYAFRDPHKTILTNKIHRRGVGHAGLLVLTKRKFAPLKVVEEYTVLDLQDFVANHKALYKDKYQKKKKGFWTCSAQQEQKTKEMAICAVRWEQLEPSPILGATGNKSNELKGQWWNHDDKSIIQIIDGVRFRIGAEKCPILDDKAPDYEFQARVYIQRGKPQTTSPLDSVSNNTSSHGNAPSQRSTSPSDRECSSSQTRYSPVIKFTISGRMERLMKQLQEVTQNAVSIGNIERIEDGVHLTGQRHIMGGHMIRAVICHNLENMKLTIGMPEDTNKQFQVLRVRTVNEMDMDGNASEPSDGNLYPSTPPPTVRLAEDSYVEHAVVDHTQLLAMFHPQTLIRKLEKADNNEPYCSIEELVEKARQVADSPPNRIQPSSKTNIKDYLDLLTHLHQDQFVSHLFDLDKESCDTLAHIEELSDLVQSVAREAALLGSSTPDESFDPLEEPPDGYFSNFKHSSVAALVAGKLKRRANFKRLQEVTEPLHIKYAYRDREKLWPHNLAEYVTIREAPDLMLMRRVLDKASESIHSWQRPNMKYKGKIIGEFLREKTGYFPLCGSLLIFLIVGCGWVDVPKGYPERKVVEPAMFHPTGVRGGAVLGSEGLQIAVGFGGLKVPASNEKSALLVCHPKVDRHIINNDHCYRLAELIQRMYHSAVAESYVFGADARKVIEFCLDAGDMFEFVMYLMGDSSYTELLEVIHPHKLMHCISAERKLDETSLGPSSTFKAVDVIKVAFQQGTERNICRRVVSFPGKGIVECLEYVDEFLVDDDAM
+>sp|Q96S65|CSRN1_HUMAN Cysteine/serine-rich nuclear protein 1 OS=Homo sapiens OX=9606 GN=CSRNP1 PE=1 SV=2
+MTGLLKRKFDQLDEDNSSVSSSSSSSGCQSRSCSPSSSVSRAWDSEEEGPWDQMPLPDRDFCGPRSFTPLSILKRARRERPGRVAFDGITVFYFPRCQGFTSVPSRGGCTLGMALRHSACRRFSLAEFAQEQARARHEKLRQRLKEEKLEMLQWKLSAAGVPQAEAGLPPVVDAIDDASVEEDLAVAVAGGRLEEVSFLQPYPARRRRALLRASGVRRIDREEKRELQALRQSREDCGCHCDRICDPETCSCSLAGIKCQMDHTAFPCGCCREGCENPMGRVEFNQARVQTHFIHTLTRLQLEQEAESFRELEAPAQGSPPSPGEEALVPTFPLAKPPMNNELGDNSCSSDMTDSSTASSSASGTSEAPDCPTHPGLPGPGFQPGVDDDSLARILSFSDSDFGGEEEEEEEGSVGNLDNLSCFHPADIFGTSDPGGLASWTHSYSGCSFTSGVLDENANLDASCFLNGGLEGSREGSLPGTSVPPSMDAGRSSSVDLSLSSCDSFELLQALPDYSLGPHYTSQKVSDSLDNIEAPHFPLPGLSPPGDASSCFLESLMGFSEPAAEALDPFIDSQFEDTVPASLMEPVPV
+>DECOY_sp|Q96S65|CSRN1_HUMAN Cysteine/serine-rich nuclear protein 1 OS=Homo sapiens OX=9606 GN=CSRNP1 PE=1 SV=2
+VPVPEMLSAPVTDEFQSDIFPDLAEAAPESFGMLSELFCSSADGPPSLGPLPFHPAEINDLSDSVKQSTYHPGLSYDPLAQLLEFSDCSSLSLDVSSSRGADMSPPVSTGPLSGERSGELGGNLFCSADLNANEDLVGSTFSCGSYSHTWSALGGPDSTGFIDAPHFCSLNDLNGVSGEEEEEEEGGFDSDSFSLIRALSDDDVGPQFGPGPLGPHTPCDPAESTGSASSSATSSDTMDSSCSNDGLENNMPPKALPFTPVLAEEGPSPPSGQAPAELERFSEAEQELQLRTLTHIFHTQVRAQNFEVRGMPNECGERCCGCPFATHDMQCKIGALSCSCTEPDCIRDCHCGCDERSQRLAQLERKEERDIRRVGSARLLARRRRAPYPQLFSVEELRGGAVAVALDEEVSADDIADVVPPLGAEAQPVGAASLKWQLMELKEEKLRQRLKEHRARAQEQAFEALSFRRCASHRLAMGLTCGGRSPVSTFGQCRPFYFVTIGDFAVRGPRERRARKLISLPTFSRPGCFDRDPLPMQDWPGEEESDWARSVSSSPSCSRSQCGSSSSSSSVSSNDEDLQDFKRKLLGTM
+>sp|Q5W186|CST9_HUMAN Cystatin-9 OS=Homo sapiens OX=9606 GN=CST9 PE=2 SV=1
+MSSPQRRKAMPWALSLLLMGFQLLVTYAWCSEEEMGGNNKIVQDPMFLATVEFALNTFNVQSKEEHAYRLLRVLSSWREDSMDRKWRGKMVFSMNLQLRQTVCRKFEDDIDNCPFQESLELNNVRQGISFPQVHSCGCCMGCGVGTGAADKAIPRDKGK
+>DECOY_sp|Q5W186|CST9_HUMAN Cystatin-9 OS=Homo sapiens OX=9606 GN=CST9 PE=2 SV=1
+KGKDRPIAKDAAGTGVGCGMCCGCSHVQPFSIGQRVNNLELSEQFPCNDIDDEFKRCVTQRLQLNMSFVMKGRWKRDMSDERWSSLVRLLRYAHEEKSQVNFTNLAFEVTALFMPDQVIKNNGGMEEESCWAYTVLLQFGMLLLSLAWPMAKRRQPSSM
+>sp|Q9H0L4|CSTFT_HUMAN Cleavage stimulation factor subunit 2 tau variant OS=Homo sapiens OX=9606 GN=CSTF2T PE=1 SV=1
+MSSLAVRDPAMDRSLRSVFVGNIPYEATEEQLKDIFSEVGSVVSFRLVYDRETGKPKGYGFCEYQDQETALSAMRNLNGREFSGRALRVDNAASEKNKEELKSLGPAAPIIDSPYGDPIDPEDAPESITRAVASLPPEQMFELMKQMKLCVQNSHQEARNMLLQNPQLAYALLQAQVVMRIMDPEIALKILHRKIHVTPLIPGKSQSVSVSGPGPGPGPGLCPGPNVLLNQQNPPAPQPQHLARRPVKDIPPLMQTPIQGGIPAPGPIPAAVPGAGPGSLTPGGAMQPQLGMPGVGPVPLERGQVQMSDPRAPIPRGPVTPGGLPPRGLLGDAPNDPRGGTLLSVTGEVEPRGYLGPPHQGPPMHHASGHDTRGPSSHEMRGGPLGDPRLLIGEPRGPMIDQRGLPMDGRGGRDSRAMETRAMETEVLETRVMERRGMETCAMETRGMEARGMDARGLEMRGPVPSSRGPMTGGIQGPGPINIGAGGPPQGPRQVPGISGVGNPGAGMQGTGIQGTGMQGAGIQGGGMQGAGIQGVSIQGGGIQGGGIQGASKQGGSQPSSFSPGQSQVTPQDQEKAALIMQVLQLTADQIAMLPPEQRQSILILKEQIQKSTGAS
+>DECOY_sp|Q9H0L4|CSTFT_HUMAN Cleavage stimulation factor subunit 2 tau variant OS=Homo sapiens OX=9606 GN=CSTF2T PE=1 SV=1
+SAGTSKQIQEKLILISQRQEPPLMAIQDATLQLVQMILAAKEQDQPTVQSQGPSFSSPQSGGQKSAGQIGGGQIGGGQISVGQIGAGQMGGGQIGAGQMGTGQIGTGQMGAGPNGVGSIGPVQRPGQPPGGAGINIPGPGQIGGTMPGRSSPVPGRMELGRADMGRAEMGRTEMACTEMGRREMVRTELVETEMARTEMARSDRGGRGDMPLGRQDIMPGRPEGILLRPDGLPGGRMEHSSPGRTDHGSAHHMPPGQHPPGLYGRPEVEGTVSLLTGGRPDNPADGLLGRPPLGGPTVPGRPIPARPDSMQVQGRELPVPGVGPMGLQPQMAGGPTLSGPGAGPVAAPIPGPAPIGGQIPTQMLPPIDKVPRRALHQPQPAPPNQQNLLVNPGPCLGPGPGPGPGSVSVSQSKGPILPTVHIKRHLIKLAIEPDMIRMVVQAQLLAYALQPNQLLMNRAEQHSNQVCLKMQKMLEFMQEPPLSAVARTISEPADEPDIPDGYPSDIIPAAPGLSKLEEKNKESAANDVRLARGSFERGNLNRMASLATEQDQYECFGYGKPKGTERDYVLRFSVVSGVESFIDKLQEETAEYPINGVFVSRLSRDMAPDRVALSSM
+>sp|O94985|CSTN1_HUMAN Calsyntenin-1 OS=Homo sapiens OX=9606 GN=CLSTN1 PE=1 SV=1
+MLRRPAPALAPAARLLLAGLLCGGGVWAARVNKHKPWLEPTYHGIVTENDNTVLLDPPLIALDKDAPLRFAESFEVTVTKEGEICGFKIHGQNVPFDAVVVDKSTGEGVIRSKEKLDCELQKDYSFTIQAYDCGKGPDGTNVKKSHKATVHIQVNDVNEYAPVFKEKSYKATVIEGKQYDSILRVEAVDADCSPQFSQICSYEIITPDVPFTVDKDGYIKNTEKLNYGKEHQYKLTVTAYDCGKKRATEDVLVKISIKPTCTPGWQGWNNRIEYEPGTGALAVFPNIHLETCDEPVASVQATVELETSHIGKGCDRDTYSEKSLHRLCGAAAGTAELLPSPSGSLNWTMGLPTDNGHDSDQVFEFNGTQAVRIPDGVVSVSPKEPFTISVWMRHGPFGRKKETILCSSDKTDMNRHHYSLYVHGCRLIFLFRQDPSEEKKYRPAEFHWKLNQVCDEEWHHYVLNVEFPSVTLYVDGTSHEPFSVTEDYPLHPSKIETQLVVGACWQEFSGVENDNETEPVTVASAGGDLHMTQFFRGNLAGLTLRSGKLADKKVIDCLYTCKEGLDLQVLEDSGRGVQIQAHPSQLVLTLEGEDLGELDKAMQHISYLNSRQFPTPGIRRLKITSTIKCFNEATCISVPPVDGYVMVLQPEEPKISLSGVHHFARAASEFESSEGVFLFPELRIISTITREVEPEGDGAEDPTVQESLVSEEIVHDLDTCEVTVEGEELNHEQESLEVDMARLQQKGIEVSSSELGMTFTGVDTMASYEEVLHLLRYRNWHARSLLDRKFKLICSELNGRYISNEFKVEVNVIHTANPMEHANHMAAQPQFVHPEHRSFVDLSGHNLANPHPFAVVPSTATVVIVVCVSFLVFMIILGVFRIRAAHRRTMRDQDTGKENEMDWDDSALTITVNPMETYEDQHSSEEEEEEEEEEESEDGEEEDDITSAESESSEEEEGEQGDPQNATRQQQLEWDDSTLSY
+>DECOY_sp|O94985|CSTN1_HUMAN Calsyntenin-1 OS=Homo sapiens OX=9606 GN=CLSTN1 PE=1 SV=1
+YSLTSDDWELQQQRTANQPDGQEGEEEESSESEASTIDDEEEGDESEEEEEEEEEEESSHQDEYTEMPNVTITLASDDWDMENEKGTDQDRMTRRHAARIRFVGLIIMFVLFSVCVVIVVTATSPVVAFPHPNALNHGSLDVFSRHEPHVFQPQAAMHNAHEMPNATHIVNVEVKFENSIYRGNLESCILKFKRDLLSRAHWNRYRLLHLVEEYSAMTDVGTFTMGLESSSVEIGKQQLRAMDVELSEQEHNLEEGEVTVECTDLDHVIEESVLSEQVTPDEAGDGEPEVERTITSIIRLEPFLFVGESSEFESAARAFHHVGSLSIKPEEPQLVMVYGDVPPVSICTAENFCKITSTIKLRRIGPTPFQRSNLYSIHQMAKDLEGLDEGELTLVLQSPHAQIQVGRGSDELVQLDLGEKCTYLCDIVKKDALKGSRLTLGALNGRFFQTMHLDGGASAVTVPETENDNEVGSFEQWCAGVVLQTEIKSPHLPYDETVSFPEHSTGDVYLTVSPFEVNLVYHHWEEDCVQNLKWHFEAPRYKKEESPDQRFLFILRCGHVYLSYHHRNMDTKDSSCLITEKKRGFPGHRMWVSITFPEKPSVSVVGDPIRVAQTGNFEFVQDSDHGNDTPLGMTWNLSGSPSPLLEATGAAAGCLRHLSKESYTDRDCGKGIHSTELEVTAQVSAVPEDCTELHINPFVALAGTGPEYEIRNNWGQWGPTCTPKISIKVLVDETARKKGCDYATVTLKYQHEKGYNLKETNKIYGDKDVTFPVDPTIIEYSCIQSFQPSCDADVAEVRLISDYQKGEIVTAKYSKEKFVPAYENVDNVQIHVTAKHSKKVNTGDPGKGCDYAQITFSYDKQLECDLKEKSRIVGEGTSKDVVVADFPVNQGHIKFGCIEGEKTVTVEFSEAFRLPADKDLAILPPDLLVTNDNETVIGHYTPELWPKHKNVRAAWVGGGCLLGALLLRAAPALAPAPRRLM
+>sp|Q9NUD7|CT096_HUMAN Uncharacterized protein C20orf96 OS=Homo sapiens OX=9606 GN=C20orf96 PE=2 SV=2
+MAHVLQKPKHSGTHSIVQEFQVPDYVPWQQSKQETKPSTLPPVQQANSLHTSKMKTLTRVQPVFHFKPTTVVTSCQPKNPRELHRRRKLDPGKMHAKIWLMKTSLRSGRAALRELRSRENFLSKLNRELIETIQEMENSTTLHVRALLQQQDTLATIIDILEYSNKKRLQQLKSELQEWEEKKKCKMSYLEQQAEQLNAKIEKTQEEVNFLSTYMDHEYSIKSVQISTLMRQLQQVKDSQQDELDDLGEMRRKVLESLSDKIQKKKKKILSSVVAETQRPYEEALLQKMWESQDFLKCMQRFREIIDQFEENMPVLRAEVEELQAQTREPREVIFEDVLLRRPKCTPDMDVILNIPVEEPLPF
+>DECOY_sp|Q9NUD7|CT096_HUMAN Uncharacterized protein C20orf96 OS=Homo sapiens OX=9606 GN=C20orf96 PE=2 SV=2
+FPLPEEVPINLIVDMDPTCKPRRLLVDEFIVERPERTQAQLEEVEARLVPMNEEFQDIIERFRQMCKLFDQSEWMKQLLAEEYPRQTEAVVSSLIKKKKKQIKDSLSELVKRRMEGLDDLEDQQSDKVQQLQRMLTSIQVSKISYEHDMYTSLFNVEEQTKEIKANLQEAQQELYSMKCKKKEEWEQLESKLQQLRKKNSYELIDIITALTDQQQLLARVHLTTSNEMEQITEILERNLKSLFNERSRLERLAARGSRLSTKMLWIKAHMKGPDLKRRRHLERPNKPQCSTVVTTPKFHFVPQVRTLTKMKSTHLSNAQQVPPLTSPKTEQKSQQWPVYDPVQFEQVISHTGSHKPKQLVHAM
+>sp|Q9BQM9|CT144_HUMAN Uncharacterized protein C20orf144 OS=Homo sapiens OX=9606 GN=C20orf144 PE=2 SV=1
+MGNYSSHKRTKAPKQARKERPADMDKAWWKSFLNHLTRKKPATRIVLILPLDKRQPLANAGQRIDYASGAGLGSPAAPRLRGAGEGSEREPRMPVLLLLRRQEARRPEEGGARAALSWPRLLSRFRSPGKAPREAGPAEEQPRKRCRCPRPQL
+>DECOY_sp|Q9BQM9|CT144_HUMAN Uncharacterized protein C20orf144 OS=Homo sapiens OX=9606 GN=C20orf144 PE=2 SV=1
+LQPRPCRCRKRPQEEAPGAERPAKGPSRFRSLLRPWSLAARAGGEEPRRAEQRRLLLLVPMRPERESGEGAGRLRPAAPSGLGAGSAYDIRQGANALPQRKDLPLILVIRTAPKKRTLHNLFSKWWAKDMDAPREKRAQKPAKTRKHSSYNGM
+>sp|Q9UGB4|CT187_HUMAN Putative uncharacterized protein C20orf187 OS=Homo sapiens OX=9606 GN=C20orf187 PE=4 SV=1
+MDILLDLGWHFSNCDEDTFYSPVQNTEGDLLFFDHNLKTDRGHVERSVMD
+>DECOY_sp|Q9UGB4|CT187_HUMAN Putative uncharacterized protein C20orf187 OS=Homo sapiens OX=9606 GN=C20orf187 PE=4 SV=1
+DMVSREVHGRDTKLNHDFFLLDGETNQVPSYFTDEDCNSFHWGLDLLIDM
+>sp|Q9H4R4|CT191_HUMAN Putative nuclear receptor corepressor 1-like protein NCOR1P1 OS=Homo sapiens OX=9606 GN=NCOR1P1 PE=5 SV=1
+MSSSGYPPNQGAFSTEQSHYPPHSVKYTFPSTHHQQDPAFGGKHEAPSSPILGQPCGDDQNASPSKLSKEELIECMDRVDREIAKVEQQILKLKKKQVKVFV
+>DECOY_sp|Q9H4R4|CT191_HUMAN Putative nuclear receptor corepressor 1-like protein NCOR1P1 OS=Homo sapiens OX=9606 GN=NCOR1P1 PE=5 SV=1
+VFVKVQKKKLKLIQQEVKAIERDVRDMCEILEEKSLKSPSANQDDGCPQGLIPSSPAEHKGGFAPDQQHHTSPFTYKVSHPPYHSQETSFAGQNPPYGSSSM
+>sp|Q8N268|CT197_HUMAN Uncharacterized protein C20orf197 OS=Homo sapiens OX=9606 GN=C20orf197 PE=2 SV=1
+MVALFQHSPYWQADGYGHSHRLKCQHFKQHRQYNDKLEISSNLGPQFNALLNILLNIVHPTLSHDTRRSKGLKIEGLLQSRELGNSWTVTMCIWVLKALQSSAPNKPLDWLDPMPCFQNLLARGTP
+>DECOY_sp|Q8N268|CT197_HUMAN Uncharacterized protein C20orf197 OS=Homo sapiens OX=9606 GN=C20orf197 PE=2 SV=1
+PTGRALLNQFCPMPDLWDLPKNPASSQLAKLVWICMTVTWSNGLERSQLLGEIKLGKSRRTDHSLTPHVINLLINLLANFQPGLNSSIELKDNYQRHQKFHQCKLRHSHGYGDAQWYPSHQFLAVM
+>sp|Q9P2B4|CT2NL_HUMAN CTTNBP2 N-terminal-like protein OS=Homo sapiens OX=9606 GN=CTTNBP2NL PE=1 SV=2
+MNLEKLSKPELLTLFSILEGELEARDLVIEALKAQHRDTFIEERYGKYNISDPLMALQRDFETLKEKNDGEKQPVCTNPLSILKVVMKQCKNMQERMLSQLAAAESRHRKVILDLEEERQRHAQDTAEGDDVTYMLEKERERLTQQLEFEKSQVKKFEKEQKKLSSQLEEERSRHKQLSSMLVLECKKATNKAAEEGQKAGELSLKLEKEKSRVSKLEEELAAERKRGLQTEAQVEKQLSEFDIEREQLRAKLNREENRTKTLKEEMESLKKIVKDLEASHQHSSPNEQLKKPVTVSKGTATEPLMLMSVFCQTESFPAERTHGSNIAKMTNTGLPGPATPAYSYAKTNGHCDPEIQTTRELTAGNNVENQVPPREKSVALAQEKPVENGGCPVGIETPVPMPSPLSSSGSSLSPSSTASSSLTSSPCSSPVLTKRLLGSSASSPGYQSSYQVGINQRFHAARHKFQSQADQDQQASGLQSPPSRDLSPTLIDNSAAKQLARNTVTQVLSRFTSQQGPIKPVSPNSSPFGTDYRNLANTANPRGDTSHSPTPGKVSSPLSPLSPGIKSPTIPRAERGNPPPIPPKKPGLTPSPSATTPLTKTHSQAASLTTAEDLASSCSSNTVVANGKDVELLLPTSS
+>DECOY_sp|Q9P2B4|CT2NL_HUMAN CTTNBP2 N-terminal-like protein OS=Homo sapiens OX=9606 GN=CTTNBP2NL PE=1 SV=2
+SSTPLLLEVDKGNAVVTNSSCSSALDEATTLSAAQSHTKTLPTTASPSPTLGPKKPPIPPPNGREARPITPSKIGPSLPSLPSSVKGPTPSHSTDGRPNATNALNRYDTGFPSSNPSVPKIPGQQSTFRSLVQTVTNRALQKAASNDILTPSLDRSPPSQLGSAQQDQDAQSQFKHRAAHFRQNIGVQYSSQYGPSSASSGLLRKTLVPSSCPSSTLSSSATSSPSLSSGSSSLPSPMPVPTEIGVPCGGNEVPKEQALAVSKERPPVQNEVNNGATLERTTQIEPDCHGNTKAYSYAPTAPGPLGTNTMKAINSGHTREAPFSETQCFVSMLMLPETATGKSVTVPKKLQENPSSHQHSAELDKVIKKLSEMEEKLTKTRNEERNLKARLQEREIDFESLQKEVQAETQLGRKREAALEEELKSVRSKEKELKLSLEGAKQGEEAAKNTAKKCELVLMSSLQKHRSREEELQSSLKKQEKEFKKVQSKEFELQQTLREREKELMYTVDDGEATDQAHRQREEELDLIVKRHRSEAAALQSLMREQMNKCQKMVVKLISLPNTCVPQKEGDNKEKLTEFDRQLAMLPDSINYKGYREEIFTDRHQAKLAEIVLDRAELEGELISFLTLLEPKSLKELNM
+>sp|Q8NHU0|CT453_HUMAN Cancer/testis antigen family 45 member A3 OS=Homo sapiens OX=9606 GN=CT45A3 PE=1 SV=1
+MTDKTEKVAVDPETVFKRPRECDSPSYQKRQRMALLARKQGAGDSLIAGSAMSKEKKLMTGHAIPPSQLDSQIDDFTGFSKDRMMQKPGSNAPVGGNVTSSFSGDDLECRETASSPKSQREINADIKRKLVKELRCVGQKYEKIFEMLEGVQGPTAVRKRFFESIIKEAARCMRRDFVKHLKKKLKRMI
+>DECOY_sp|Q8NHU0|CT453_HUMAN Cancer/testis antigen family 45 member A3 OS=Homo sapiens OX=9606 GN=CT45A3 PE=1 SV=1
+IMRKLKKKLHKVFDRRMCRAAEKIISEFFRKRVATPGQVGELMEFIKEYKQGVCRLEKVLKRKIDANIERQSKPSSATERCELDDGSFSSTVNGGVPANSGPKQMMRDKSFGTFDDIQSDLQSPPIAHGTMLKKEKSMASGAILSDGAGQKRALLAMRQRKQYSPSDCERPRKFVTEPDVAVKETKDTM
+>sp|P13987|CD59_HUMAN CD59 glycoprotein OS=Homo sapiens OX=9606 GN=CD59 PE=1 SV=1
+MGIQGGSVLFGLLLVLAVFCHSGHSLQCYNCPNPTADCKTAVNCSSDFDACLITKAGLQVYNKCWKFEHCNFNDVTTRLRENELTYYCCKKDLCNFNEQLENGGTSLSEKTVLLLVTPFLAAAWSLHP
+>DECOY_sp|P13987|CD59_HUMAN CD59 glycoprotein OS=Homo sapiens OX=9606 GN=CD59 PE=1 SV=1
+PHLSWAAALFPTVLLLVTKESLSTGGNELQENFNCLDKKCCYYTLENERLRTTVDNFNCHEFKWCKNYVQLGAKTILCADFDSSCNVATKCDATPNPCNYCQLSHGSHCFVALVLLLGFLVSGGQIGM
+>sp|Q15078|CD5R1_HUMAN Cyclin-dependent kinase 5 activator 1 OS=Homo sapiens OX=9606 GN=CDK5R1 PE=1 SV=1
+MGTVLSLSPSYRKATLFEDGAATVGHYTAVQNSKNAKDKNLKRHSIISVLPWKRIVAVSAKKKNSKKVQPNSSYQNNITHLNNENLKKSLSCANLSTFAQPPPAQPPAPPASQLSGSQTGGSSSVKKAPHPAVTSAGTPKRVIVQASTSELLRCLGEFLCRRCYRLKHLSPTDPVLWLRSVDRSLLLQGWQDQGFITPANVVFLYMLCRDVISSEVGSDHELQAVLLTCLYLSYSYMGNEISYPLKPFLVESCKEAFWDRCLSVINLMSSKMLQINADPHYFTQVFSDLKNESGQEDKKRLLLGLDR
+>DECOY_sp|Q15078|CD5R1_HUMAN Cyclin-dependent kinase 5 activator 1 OS=Homo sapiens OX=9606 GN=CDK5R1 PE=1 SV=1
+RDLGLLLRKKDEQGSENKLDSFVQTFYHPDANIQLMKSSMLNIVSLCRDWFAEKCSEVLFPKLPYSIENGMYSYSLYLCTLLVAQLEHDSGVESSIVDRCLMYLFVVNAPTIFGQDQWGQLLLSRDVSRLWLVPDTPSLHKLRYCRRCLFEGLCRLLESTSAQVIVRKPTGASTVAPHPAKKVSSSGGTQSGSLQSAPPAPPQAPPPQAFTSLNACSLSKKLNENNLHTINNQYSSNPQVKKSNKKKASVAVIRKWPLVSIISHRKLNKDKANKSNQVATYHGVTAAGDEFLTAKRYSPSLSLVTGM
+>sp|P32970|CD70_HUMAN CD70 antigen OS=Homo sapiens OX=9606 GN=CD70 PE=1 SV=2
+MPEEGSGCSVRRRPYGCVLRAALVPLVAGLVICLVVCIQRFAQAQQQLPLESLGWDVAELQLNHTGPQQDPRLYWQGGPALGRSFLHGPELDKGQLRIHRDGIYMVHIQVTLAICSSTTASRHHPTTLAVGICSPASRSISLLRLSFHQGCTIASQRLTPLARGDTLCTNLTGTLLPSRNTDETFFGVQWVRP
+>DECOY_sp|P32970|CD70_HUMAN CD70 antigen OS=Homo sapiens OX=9606 GN=CD70 PE=1 SV=2
+PRVWQVGFFTEDTNRSPLLTGTLNTCLTDGRALPTLRQSAITCGQHFSLRLLSISRSAPSCIGVALTTPHHRSATTSSCIALTVQIHVMYIGDRHIRLQGKDLEPGHLFSRGLAPGGQWYLRPDQQPGTHNLQLEAVDWGLSELPLQQQAQAFRQICVVLCIVLGAVLPVLAARLVCGYPRRRVSCGSGEEPM
+>sp|P11912|CD79A_HUMAN B-cell antigen receptor complex-associated protein alpha chain OS=Homo sapiens OX=9606 GN=CD79A PE=1 SV=2
+MPGGPGVLQALPATIFLLFLLSAVYLGPGCQALWMHKVPASLMVSLGEDAHFQCPHNSSNNANVTWWRVLHGNYTWPPEFLGPGEDPNGTLIIQNVNKSHGGIYVCRVQEGNESYQQSCGTYLRVRQPPPRPFLDMGEGTKNRIITAEGIILLFCAVVPGTLLLFRKRWQNEKLGLDAGDEYEDENLYEGLNLDDCSMYEDISRGLQGTYQDVGSLNIGDVQLEKP
+>DECOY_sp|P11912|CD79A_HUMAN B-cell antigen receptor complex-associated protein alpha chain OS=Homo sapiens OX=9606 GN=CD79A PE=1 SV=2
+PKELQVDGINLSGVDQYTGQLGRSIDEYMSCDDLNLGEYLNEDEYEDGADLGLKENQWRKRFLLLTGPVVACFLLIIGEATIIRNKTGEGMDLFPRPPPQRVRLYTGCSQQYSENGEQVRCVYIGGHSKNVNQIILTGNPDEGPGLFEPPWTYNGHLVRWWTVNANNSSNHPCQFHADEGLSVMLSAPVKHMWLAQCGPGLYVASLLFLLFITAPLAQLVGPGGPM
+>sp|P09564|CD7_HUMAN T-cell antigen CD7 OS=Homo sapiens OX=9606 GN=CD7 PE=1 SV=1
+MAGPPRLLLLPLLLALARGLPGALAAQEVQQSPHCTTVPVGASVNITCSTSGGLRGIYLRQLGPQPQDIIYYEDGVVPTTDRRFRGRIDFSGSQDNLTITMHRLQLSDTGTYTCQAITEVNVYGSGTLVLVTEEQSQGWHRCSDAPPRASALPAPPTGSALPDPQTASALPDPPAASALPAALAVISFLLGLGLGVACVLARTQIKKLCSWRDKNSAACVVYEDMSHSRCNTLSSPNQYQ
+>DECOY_sp|P09564|CD7_HUMAN T-cell antigen CD7 OS=Homo sapiens OX=9606 GN=CD7 PE=1 SV=1
+QYQNPSSLTNCRSHSMDEYVVCAASNKDRWSCLKKIQTRALVCAVGLGLGLLFSIVALAAPLASAAPPDPLASATQPDPLASGTPPAPLASARPPADSCRHWGQSQEETVLVLTGSGYVNVETIAQCTYTGTDSLQLRHMTITLNDQSGSFDIRGRFRRDTTPVVGDEYYIIDQPQPGLQRLYIGRLGGSTSCTINVSAGVPVTTCHPSQQVEQAALAGPLGRALALLLPLLLLRPPGAM
+>sp|P48960|CD97_HUMAN CD97 antigen OS=Homo sapiens OX=9606 GN=CD97 PE=1 SV=4
+MGGRVFLAFCVWLTLPGAETQDSRGCARWCPQNSSCVNATACRCNPGFSSFSEIITTPTETCDDINECATPSKVSCGKFSDCWNTEGSYDCVCSPGYEPVSGAKTFKNESENTCQDVDECQQNPRLCKSYGTCVNTLGSYTCQCLPGFKFIPEDPKVCTDVNECTSGQNPCHSSTHCLNNVGSYQCRCRPGWQPIPGSPNGPNNTVCEDVDECSSGQHQCDSSTVCFNTVGSYSCRCRPGWKPRHGIPNNQKDTVCEDMTFSTWTPPPGVHSQTLSRFFDKVQDLGRDSKTSSAEVTIQNVIKLVDELMEAPGDVEALAPPVRHLIATQLLSNLEDIMRILAKSLPKGPFTYISPSNTELTLMIQERGDKNVTMGQSSARMKLNWAVAAGAEDPGPAVAGILSIQNMTTLLANASLNLHSKKQAELEEIYESSIRGVQLRRLSAVNSIFLSHNNTKELNSPILFAFSHLESSDGEAGRDPPAKDVMPGPRQELLCAFWKSDSDRGGHWATEGCQVLGSKNGSTTCQCSHLSSFAILMAHYDVEDWKLTLITRVGLALSLFCLLLCILTFLLVRPIQGSRTTIHLHLCICLFVGSTIFLAGIENEGGQVGLRCRLVAGLLHYCFLAAFCWMSLEGLELYFLVVRVFQGQGLSTRWLCLIGYGVPLLIVGVSAAIYSKGYGRPRYCWLDFEQGFLWSFLGPVTFIILCNAVIFVTTVWKLTQKFSEINPDMKKLKKARALTITAIAQLFLLGCTWVFGLFIFDDRSLVLTYVFTILNCLQGAFLYLLHCLLNKKVREEYRKWACLVAGGSKYSEFTSTTSGTGHNQTRALRASESGI
+>DECOY_sp|P48960|CD97_HUMAN CD97 antigen OS=Homo sapiens OX=9606 GN=CD97 PE=1 SV=4
+IGSESARLARTQNHGTGSTTSTFESYKSGGAVLCAWKRYEERVKKNLLCHLLYLFAGQLCNLITFVYTLVLSRDDFIFLGFVWTCGLLFLQAIATITLARAKKLKKMDPNIESFKQTLKWVTTVFIVANCLIIFTVPGLFSWLFGQEFDLWCYRPRGYGKSYIAASVGVILLPVGYGILCLWRTSLGQGQFVRVVLFYLELGELSMWCFAALFCYHLLGAVLRCRLGVQGGENEIGALFITSGVFLCICLHLHITTRSGQIPRVLLFTLICLLLCFLSLALGVRTILTLKWDEVDYHAMLIAFSSLHSCQCTTSGNKSGLVQCGETAWHGGRDSDSKWFACLLEQRPGPMVDKAPPDRGAEGDSSELHSFAFLIPSNLEKTNNHSLFISNVASLRRLQVGRISSEYIEELEAQKKSHLNLSANALLTTMNQISLIGAVAPGPDEAGAAVAWNLKMRASSQGMTVNKDGREQIMLTLETNSPSIYTFPGKPLSKALIRMIDELNSLLQTAILHRVPPALAEVDGPAEMLEDVLKIVNQITVEASSTKSDRGLDQVKDFFRSLTQSHVGPPPTWTSFTMDECVTDKQNNPIGHRPKWGPRCRCSYSGVTNFCVTSSDCQHQGSSCEDVDECVTNNPGNPSGPIPQWGPRCRCQYSGVNNLCHTSSHCPNQGSTCENVDTCVKPDEPIFKFGPLCQCTYSGLTNVCTGYSKCLRPNQQCEDVDQCTNESENKFTKAGSVPEYGPSCVCDYSGETNWCDSFKGCSVKSPTACENIDDCTETPTTIIESFSSFGPNCRCATANVCSSNQPCWRACGRSDQTEAGPLTLWVCFALFVRGGM
+>sp|Q12834|CDC20_HUMAN Cell division cycle protein 20 homolog OS=Homo sapiens OX=9606 GN=CDC20 PE=1 SV=2
+MAQFAFESDLHSLLQLDAPIPNAPPARWQRKAKEAAGPAPSPMRAANRSHSAGRTPGRTPGKSSSKVQTTPSKPGGDRYIPHRSAAQMEVASFLLSKENQPENSQTPTKKEHQKAWALNLNGFDVEEAKILRLSGKPQNAPEGYQNRLKVLYSQKATPGSSRKTCRYIPSLPDRILDAPEIRNDYYLNLVDWSSGNVLAVALDNSVYLWSASSGDILQLLQMEQPGEYISSVAWIKEGNYLAVGTSSAEVQLWDVQQQKRLRNMTSHSARVGSLSWNSYILSSGSRSGHIHHHDVRVAEHHVATLSGHSQEVCGLRWAPDGRHLASGGNDNLVNVWPSAPGEGGWVPLQTFTQHQGAVKAVAWCPWQSNVLATGGGTSDRHIRIWNVCSGACLSAVDAHSQVCSILWSPHYKELISGHGFAQNQLVIWKYPTMAKVAELKGHTSRVLSLTMSPDGATVASAAADETLRLWRCFELDPARRREREKASAAKSSLIHQGIR
+>DECOY_sp|Q12834|CDC20_HUMAN Cell division cycle protein 20 homolog OS=Homo sapiens OX=9606 GN=CDC20 PE=1 SV=2
+RIGQHILSSKAASAKERERRRAPDLEFCRWLRLTEDAAASAVTAGDPSMTLSLVRSTHGKLEAVKAMTPYKWIVLQNQAFGHGSILEKYHPSWLISCVQSHADVASLCAGSCVNWIRIHRDSTGGGTALVNSQWPCWAVAKVAGQHQTFTQLPVWGGEGPASPWVNVLNDNGGSALHRGDPAWRLGCVEQSHGSLTAVHHEAVRVDHHHIHGSRSGSSLIYSNWSLSGVRASHSTMNRLRKQQQVDWLQVEASSTGVALYNGEKIWAVSSIYEGPQEMQLLQLIDGSSASWLYVSNDLAVALVNGSSWDVLNLYYDNRIEPADLIRDPLSPIYRCTKRSSGPTAKQSYLVKLRNQYGEPANQPKGSLRLIKAEEVDFGNLNLAWAKQHEKKTPTQSNEPQNEKSLLFSAVEMQAASRHPIYRDGGPKSPTTQVKSSSKGPTRGPTRGASHSRNAARMPSPAPGAAEKAKRQWRAPPANPIPADLQLLSHLDSEFAFQAM
+>sp|Q9UJX2|CDC23_HUMAN Cell division cycle protein 23 homolog OS=Homo sapiens OX=9606 GN=CDC23 PE=1 SV=3
+MAASTSMVPVAVTAAVAPVLSINSDFSDLREIKKQLLLIAGLTRERGLLHSSKWSAELAFSLPALPLAELQPPPPITEEDAQDMDAYTLAKAYFDVKEYDRAAHFLHGCNSKKAYFLYMYSRYLSGEKKKDDETVDSLGPLEKGQVKNEALRELRVELSKKHQARELDGFGLYLYGVVLRKLDLVKEAIDVFVEATHVLPLHWGAWLELCNLITDKEMLKFLSLPDTWMKEFFLAHIYTELQLIEEALQKYQNLIDVGFSKSSYIVSQIAVAYHNIRDIDKALSIFNELRKQDPYRIENMDTFSNLLYVRSMKSELSYLAHNLCEIDKYRVETCCVIGNYYSLRSQHEKAALYFQRALKLNPRYLGAWTLMGHEYMEMKNTSAAIQAYRHAIEVNKRDYRAWYGLGQTYEILKMPFYCLYYYRRAHQLRPNDSRMLVALGECYEKLNQLVEAKKCYWRAYAVGDVEKMALVKLAKLHEQLTESEQAAQCYIKYIQDIYSCGEIVEHLEESTAFRYLAQYYFKCKLWDEASTCAQKCCAFNDTREEGKALLRQILQLRNQGETPTTEVPAPFFLPASLSANNTPTRRVSPLNLSSVTP
+>DECOY_sp|Q9UJX2|CDC23_HUMAN Cell division cycle protein 23 homolog OS=Homo sapiens OX=9606 GN=CDC23 PE=1 SV=3
+PTVSSLNLPSVRRTPTNNASLSAPLFFPAPVETTPTEGQNRLQLIQRLLAKGEERTDNFACCKQACTSAEDWLKCKFYYQALYRFATSEELHEVIEGCSYIDQIYKIYCQAAQESETLQEHLKALKVLAMKEVDGVAYARWYCKKAEVLQNLKEYCEGLAVLMRSDNPRLQHARRYYYLCYFPMKLIEYTQGLGYWARYDRKNVEIAHRYAQIAASTNKMEMYEHGMLTWAGLYRPNLKLARQFYLAAKEHQSRLSYYNGIVCCTEVRYKDIECLNHALYSLESKMSRVYLLNSFTDMNEIRYPDQKRLENFISLAKDIDRINHYAVAIQSVIYSSKSFGVDILNQYKQLAEEILQLETYIHALFFEKMWTDPLSLFKLMEKDTILNCLELWAGWHLPLVHTAEVFVDIAEKVLDLKRLVVGYLYLGFGDLERAQHKKSLEVRLERLAENKVQGKELPGLSDVTEDDKKKEGSLYRSYMYLFYAKKSNCGHLFHAARDYEKVDFYAKALTYADMDQADEETIPPPPQLEALPLAPLSFALEASWKSSHLLGRERTLGAILLLQKKIERLDSFDSNISLVPAVAATVAVPVMSTSAAM
+>sp|Q16543|CDC37_HUMAN Hsp90 co-chaperone Cdc37 OS=Homo sapiens OX=9606 GN=CDC37 PE=1 SV=1
+MVDYSVWDHIEVSDDEDETHPNIDTASLFRWRHQARVERMEQFQKEKEELDRGCRECKRKVAECQRKLKELEVAEGGKAELERLQAEAQQLRKEERSWEQKLEEMRKKEKSMPWNVDTLSKDGFSKSMVNTKPEKTEEDSEEVREQKHKTFVEKYEKQIKHFGMLRRWDDSQKYLSDNVHLVCEETANYLVIWCIDLEVEEKCALMEQVAHQTIVMQFILELAKSLKVDPRACFRQFFTKIKTADRQYMEGFNDELEAFKERVRGRAKLRIEKAMKEYEEEERKKRLGPGGLDPVEVYESLPEELQKCFDVKDVQMLQDAISKMDPTDAKYHMQRCIDSGLWVPNSKASEAKEGEEAGPGDPLLEAVPKTGDEKDVSV
+>DECOY_sp|Q16543|CDC37_HUMAN Hsp90 co-chaperone Cdc37 OS=Homo sapiens OX=9606 GN=CDC37 PE=1 SV=1
+VSVDKEDGTKPVAELLPDGPGAEEGEKAESAKSNPVWLGSDICRQMHYKADTPDMKSIADQLMQVDKVDFCKQLEEPLSEYVEVPDLGGPGLRKKREEEEYEKMAKEIRLKARGRVREKFAELEDNFGEMYQRDATKIKTFFQRFCARPDVKLSKALELIFQMVITQHAVQEMLACKEEVELDICWIVLYNATEECVLHVNDSLYKQSDDWRRLMGFHKIQKEYKEVFTKHKQERVEESDEETKEPKTNVMSKSFGDKSLTDVNWPMSKEKKRMEELKQEWSREEKRLQQAEAQLRELEAKGGEAVELEKLKRQCEAVKRKCERCGRDLEEKEKQFQEMREVRAQHRWRFLSATDINPHTEDEDDSVEIHDWVSYDVM
+>sp|Q96FF9|CDCA5_HUMAN Sororin OS=Homo sapiens OX=9606 GN=CDCA5 PE=1 SV=1
+MSGRRTRSGGAAQRSGPRAPSPTKPLRRSQRKSGSELPSILPEIWPKTPSAAAVRKPIVLKRIVAHAVEVPAVQSPRRSPRISFFLEKENEPPGRELTKEDLFKTHSVPATPTSTPVPNPEAESSSKEGELDARDLEMSKKVRRSYSRLETLGSASTSTPGRRSCFGFEGLLGAEDLSGVSPVVCSKLTEVPRVCAKPWAPDMTLPGISPPPEKQKRKKKKMPEILKTELDEWAAAMNAEFEAAEQFDLLVE
+>DECOY_sp|Q96FF9|CDCA5_HUMAN Sororin OS=Homo sapiens OX=9606 GN=CDCA5 PE=1 SV=1
+EVLLDFQEAAEFEANMAAAWEDLETKLIEPMKKKKRKQKEPPPSIGPLTMDPAWPKACVRPVETLKSCVVPSVGSLDEAGLLGEFGFCSRRGPTSTSASGLTELRSYSRRVKKSMELDRADLEGEKSSSEAEPNPVPTSTPTAPVSHTKFLDEKTLERGPPENEKELFFSIRPSRRPSQVAPVEVAHAVIRKLVIPKRVAAASPTKPWIEPLISPLESGSKRQSRRLPKTPSPARPGSRQAAGGSRTRRGSM
+>sp|A6H8M9|CDHR4_HUMAN Cadherin-related family member 4 OS=Homo sapiens OX=9606 GN=CDHR4 PE=2 SV=1
+MVLLRLLVFLFAPVVSDLCSLPCFINVSESQGPGTVLQFLSFNCSSYTPTPTLELLNVQPPTTFFNPPSLARWQGTYVGKLTLSSSAQLDALMVNHYKVQLKFTCGNHVMEGSLSVDVQRDLSHIQCAGQFASPAGEMIQVPETVTPGARLYTLLLPGLELHGAQMSIISAQDLPHFPGPFSINEQGWLQAPSQGLLGQAQKVFQLQISVSFGQRQSCQGMVIVKVLPVPSSQVSFLEQAQNITIPENLAPGSEVVQVQARGVDLRYEILSPVPSPLFSIGRADGVVRTTTPLELARTSGTAVSRLQVKAFEQGQLWASAKLNLTMNVQLVNLWPPRCLPALLVSQIPETAPVGTVLNTLTCEDPDSVGATLDYKLWFRSSSNPASLCLYDRVLEVNATLDCDTPGACFQHAASILVLDGGQPQMTTEVPVLVMVTPINEFSPACAPRTFRVQEDAAPHTLLGSVVGTDMDYPHDNIEYYTSGGPTTFAVDRLSGEVHLLGPLDYEQQRLYRLTVLVIDHGQDQNPNHHLSGSCTITIEVEDVNDHAPECEPPFQELTIYAPLGRSVEVTKMSCQIPQEPQRLIYSYSIVGGNSQNRFILQGAILVHSDLVLGPFWPEQPRTYELLICVADAGPSTPHLSTTATIIVHLVPRRASTVATSTHRTTVPSTMTPMLVTDTEAFWQPQPWFVVVLTATGALLLLALGWLLGRLLQGLAQLLQAPSKPAQALLLNSIQGTEGSIEGFLEAPKMEMSQAPSSVMSLHFDGRAQDSRTGRDYLFNTHTGARRWL
+>DECOY_sp|A6H8M9|CDHR4_HUMAN Cadherin-related family member 4 OS=Homo sapiens OX=9606 GN=CDHR4 PE=2 SV=1
+LWRRAGTHTNFLYDRGTRSDQARGDFHLSMVSSPAQSMEMKPAELFGEISGETGQISNLLLAQAPKSPAQLLQALGQLLRGLLWGLALLLLAGTATLVVVFWPQPQWFAETDTVLMPTMTSPVTTRHTSTAVTSARRPVLHVIITATTSLHPTSPGADAVCILLEYTRPQEPWFPGLVLDSHVLIAGQLIFRNQSNGGVISYSYILRQPEQPIQCSMKTVEVSRGLPAYITLEQFPPECEPAHDNVDEVEITITCSGSLHHNPNQDQGHDIVLVTLRYLRQQEYDLPGLLHVEGSLRDVAFTTPGGSTYYEINDHPYDMDTGVVSGLLTHPAADEQVRFTRPACAPSFENIPTVMVLVPVETTMQPQGGDLVLISAAHQFCAGPTDCDLTANVELVRDYLCLSAPNSSSRFWLKYDLTAGVSDPDECTLTNLVTGVPATEPIQSVLLAPLCRPPWLNVLQVNMTLNLKASAWLQGQEFAKVQLRSVATGSTRALELPTTTRVVGDARGISFLPSPVPSLIEYRLDVGRAQVQVVESGPALNEPITINQAQELFSVQSSPVPLVKVIVMGQCSQRQGFSVSIQLQFVKQAQGLLGQSPAQLWGQENISFPGPFHPLDQASIISMQAGHLELGPLLLTYLRAGPTVTEPVQIMEGAPSAFQGACQIHSLDRQVDVSLSGEMVHNGCTFKLQVKYHNVMLADLQASSSLTLKGVYTGQWRALSPPNFFTTPPQVNLLELTPTPTYSSCNFSLFQLVTGPGQSESVNIFCPLSCLDSVVPAFLFVLLRLLVM
+>sp|P06493|CDK1_HUMAN Cyclin-dependent kinase 1 OS=Homo sapiens OX=9606 GN=CDK1 PE=1 SV=3
+MEDYTKIEKIGEGTYGVVYKGRHKTTGQVVAMKKIRLESEEEGVPSTAIREISLLKELRHPNIVSLQDVLMQDSRLYLIFEFLSMDLKKYLDSIPPGQYMDSSLVKSYLYQILQGIVFCHSRRVLHRDLKPQNLLIDDKGTIKLADFGLARAFGIPIRVYTHEVVTLWYRSPEVLLGSARYSTPVDIWSIGTIFAELATKKPLFHGDSEIDQLFRIFRALGTPNNEVWPEVESLQDYKNTFPKWKPGSLASHVKNLDENGLDLLSKMLIYDPAKRISGKMALNHPYFNDLDNQIKKM
+>DECOY_sp|P06493|CDK1_HUMAN Cyclin-dependent kinase 1 OS=Homo sapiens OX=9606 GN=CDK1 PE=1 SV=3
+MKKIQNDLDNFYPHNLAMKGSIRKAPDYILMKSLLDLGNEDLNKVHSALSGPKWKPFTNKYDQLSEVEPWVENNPTGLARFIRFLQDIESDGHFLPKKTALEAFITGISWIDVPTSYRASGLLVEPSRYWLTVVEHTYVRIPIGFARALGFDALKITGKDDILLNQPKLDRHLVRRSHCFVIGQLIQYLYSKVLSSDMYQGPPISDLYKKLDMSLFEFILYLRSDQMLVDQLSVINPHRLEKLLSIERIATSPVGEEESELRIKKMAVVQGTTKHRGKYVVGYTGEGIKEIKTYDEM
+>sp|Q00526|CDK3_HUMAN Cyclin-dependent kinase 3 OS=Homo sapiens OX=9606 GN=CDK3 PE=1 SV=1
+MDMFQKVEKIGEGTYGVVYKAKNRETGQLVALKKIRLDLEMEGVPSTAIREISLLKELKHPNIVRLLDVVHNERKLYLVFEFLSQDLKKYMDSTPGSELPLHLIKSYLFQLLQGVSFCHSHRVIHRDLKPQNLLINELGAIKLADFGLARAFGVPLRTYTHEVVTLWYRAPEILLGSKFYTTAVDIWSIGCIFAEMVTRKALFPGDSEIDQLFRIFRMLGTPSEDTWPGVTQLPDYKGSFPKWTRKGLEEIVPNLEPEGRDLLMQLLQYDPSQRITAKTALAHPYFSSPEPSPAARQYVLQRFRH
+>DECOY_sp|Q00526|CDK3_HUMAN Cyclin-dependent kinase 3 OS=Homo sapiens OX=9606 GN=CDK3 PE=1 SV=1
+HRFRQLVYQRAAPSPEPSSFYPHALATKATIRQSPDYQLLQMLLDRGEPELNPVIEELGKRTWKPFSGKYDPLQTVGPWTDESPTGLMRFIRFLQDIESDGPFLAKRTVMEAFICGISWIDVATTYFKSGLLIEPARYWLTVVEHTYTRLPVGFARALGFDALKIAGLENILLNQPKLDRHIVRHSHCFSVGQLLQFLYSKILHLPLESGPTSDMYKKLDQSLFEFVLYLKRENHVVDLLRVINPHKLEKLLSIERIATSPVGEMELDLRIKKLAVLQGTERNKAKYVVGYTGEGIKEVKQFMDM
+>sp|P49336|CDK8_HUMAN Cyclin-dependent kinase 8 OS=Homo sapiens OX=9606 GN=CDK8 PE=1 SV=1
+MDYDFKVKLSSERERVEDLFEYEGCKVGRGTYGHVYKAKRKDGKDDKDYALKQIEGTGISMSACREIALLRELKHPNVISLQKVFLSHADRKVWLLFDYAEHDLWHIIKFHRASKANKKPVQLPRGMVKSLLYQILDGIHYLHANWVLHRDLKPANILVMGEGPERGRVKIADMGFARLFNSPLKPLADLDPVVVTFWYRAPELLLGARHYTKAIDIWAIGCIFAELLTSEPIFHCRQEDIKTSNPYHHDQLDRIFNVMGFPADKDWEDIKKMPEHSTLMKDFRRNTYTNCSLIKYMEKHKVKPDSKAFHLLQKLLTMDPIKRITSEQAMQDPYFLEDPLPTSDVFAGCQIPYPKREFLTEEEPDDKGDKKNQQQQQGNNHTNGTGHPGNQDSSHTQGPPLKKVRVVPPTTTSGGLIMTSDYQRSNPHAAYPNPGPSTSQPQSSMGYSATSQQPPQYSHQTHRY
+>DECOY_sp|P49336|CDK8_HUMAN Cyclin-dependent kinase 8 OS=Homo sapiens OX=9606 GN=CDK8 PE=1 SV=1
+YRHTQHSYQPPQQSTASYGMSSQPQSTSPGPNPYAAHPNSRQYDSTMILGGSTTTPPVVRVKKLPPGQTHSSDQNGPHGTGNTHNNGQQQQQNKKDGKDDPEEETLFERKPYPIQCGAFVDSTPLPDELFYPDQMAQESTIRKIPDMTLLKQLLHFAKSDPKVKHKEMYKILSCNTYTNRRFDKMLTSHEPMKKIDEWDKDAPFGMVNFIRDLQDHHYPNSTKIDEQRCHFIPESTLLEAFICGIAWIDIAKTYHRAGLLLEPARYWFTVVVPDLDALPKLPSNFLRAFGMDAIKVRGREPGEGMVLINAPKLDRHLVWNAHLYHIGDLIQYLLSKVMGRPLQVPKKNAKSARHFKIIHWLDHEAYDFLLWVKRDAHSLFVKQLSIVNPHKLERLLAIERCASMSIGTGEIQKLAYDKDDKGDKRKAKYVHGYTGRGVKCGEYEFLDEVRERESSLKVKFDYDM
+>sp|P50750|CDK9_HUMAN Cyclin-dependent kinase 9 OS=Homo sapiens OX=9606 GN=CDK9 PE=1 SV=3
+MAKQYDSVECPFCDEVSKYEKLAKIGQGTFGEVFKARHRKTGQKVALKKVLMENEKEGFPITALREIKILQLLKHENVVNLIEICRTKASPYNRCKGSIYLVFDFCEHDLAGLLSNVLVKFTLSEIKRVMQMLLNGLYYIHRNKILHRDMKAANVLITRDGVLKLADFGLARAFSLAKNSQPNRYTNRVVTLWYRPPELLLGERDYGPPIDLWGAGCIMAEMWTRSPIMQGNTEQHQLALISQLCGSITPEVWPNVDNYELYEKLELVKGQKRKVKDRLKAYVRDPYALDLIDKLLVLDPAQRIDSDDALNHDFFWSDPMPSDLKGMLSTHLTSMFEYLAPPRRKGSQITQQSTNQSRNPATTNQTEFERVF
+>DECOY_sp|P50750|CDK9_HUMAN Cyclin-dependent kinase 9 OS=Homo sapiens OX=9606 GN=CDK9 PE=1 SV=3
+FVREFETQNTTAPNRSQNTSQQTIQSGKRRPPALYEFMSTLHTSLMGKLDSPMPDSWFFDHNLADDSDIRQAPDLVLLKDILDLAYPDRVYAKLRDKVKRKQGKVLELKEYLEYNDVNPWVEPTISGCLQSILALQHQETNGQMIPSRTWMEAMICGAGWLDIPPGYDREGLLLEPPRYWLTVVRNTYRNPQSNKALSFARALGFDALKLVGDRTILVNAAKMDRHLIKNRHIYYLGNLLMQMVRKIESLTFKVLVNSLLGALDHECFDFVLYISGKCRNYPSAKTRCIEILNVVNEHKLLQLIKIERLATIPFGEKENEMLVKKLAVKQGTKRHRAKFVEGFTGQGIKALKEYKSVEDCFPCEVSDYQKAM
+>sp|Q16667|CDKN3_HUMAN Cyclin-dependent kinase inhibitor 3 OS=Homo sapiens OX=9606 GN=CDKN3 PE=1 SV=1
+MKPPSSIQTSEFDSSDEEPIEDEQTPIHISWLSLSRVNCSQFLGLCALPGCKFKDVRRNVQKDTEELKSCGIQDIFVFCTRGELSKYRVPNLLDLYQQCGIITHHHPIADGGTPDIASCCEIMEELTTCLKNYRKTLIHCYGGLGRSCLVAACLLLYLSDTISPEQAIDSLRDLRGSGAIQTIKQYNYLHEFRDKLAAHLSSRDSQSRSVSR
+>DECOY_sp|Q16667|CDKN3_HUMAN Cyclin-dependent kinase inhibitor 3 OS=Homo sapiens OX=9606 GN=CDKN3 PE=1 SV=1
+RSVSRSQSDRSSLHAALKDRFEHLYNYQKITQIAGSGRLDRLSDIAQEPSITDSLYLLLCAAVLCSRGLGGYCHILTKRYNKLCTTLEEMIECCSAIDPTGGDAIPHHHTIIGCQQYLDLLNPVRYKSLEGRTCFVFIDQIGCSKLEETDKQVNRRVDKFKCGPLACLGLFQSCNVRSLSLWSIHIPTQEDEIPEEDSSDFESTQISSPPKM
+>sp|P38936|CDN1A_HUMAN Cyclin-dependent kinase inhibitor 1 OS=Homo sapiens OX=9606 GN=CDKN1A PE=1 SV=3
+MSEPAGDVRQNPCGSKACRRLFGPVDSEQLSRDCDALMAGCIQEARERWNFDFVTETPLEGDFAWERVRGLGLPKLYLPTGPRRGRDELGGGRRPGTSPALLQGTAEEDHVDLSLSCTLVPRSGEQAEGSPGGPGDSQGRKRRQTSMTDFYHSKRRLIFSKRKP
+>DECOY_sp|P38936|CDN1A_HUMAN Cyclin-dependent kinase inhibitor 1 OS=Homo sapiens OX=9606 GN=CDKN1A PE=1 SV=3
+PKRKSFILRRKSHYFDTMSTQRRKRGQSDGPGGPSGEAQEGSRPVLTCSLSLDVHDEEATGQLLAPSTGPRRGGGLEDRGRRPGTPLYLKPLGLGRVREWAFDGELPTETVFDFNWRERAEQICGAMLADCDRSLQESDVPGFLRRCAKSGCPNQRVDGAPESM
+>sp|P42771|CDN2A_HUMAN Cyclin-dependent kinase inhibitor 2A OS=Homo sapiens OX=9606 GN=CDKN2A PE=1 SV=2
+MEPAAGSSMEPSADWLATAAARGRVEEVRALLEAGALPNAPNSYGRRPIQVMMMGSARVAELLLLHGAEPNCADPATLTRPVHDAAREGFLDTLVVLHRAGARLDVRDAWGRLPVDLAEELGHRDVARYLRAAAGGTRGSNHARIDAAEGPSDIPD
+>DECOY_sp|P42771|CDN2A_HUMAN Cyclin-dependent kinase inhibitor 2A OS=Homo sapiens OX=9606 GN=CDKN2A PE=1 SV=2
+DPIDSPGEAADIRAHNSGRTGGAAARLYRAVDRHGLEEALDVPLRGWADRVDLRAGARHLVVLTDLFGERAADHVPRTLTAPDACNPEAGHLLLLEAVRASGMMMVQIPRRGYSNPANPLAGAELLARVEEVRGRAAATALWDASPEMSSGAAPEM
+>sp|Q8N9R6|CDRT4_HUMAN CMT1A duplicated region transcript 4 protein OS=Homo sapiens OX=9606 GN=CDRT4 PE=2 SV=2
+MDARRMKKEGLTENTGLPRKLLEKHDPWPAYVTYTSQTVKRLIEKSKTRELECMRALEERPWASRQNKPSSVIQPKRRKSSKSSGKAVFRDTLSESTLSMWGAYSVLAMAPTMIPEPTHLHADSRDCPTENYNKIIFARKPMMRMLPTVRY
+>DECOY_sp|Q8N9R6|CDRT4_HUMAN CMT1A duplicated region transcript 4 protein OS=Homo sapiens OX=9606 GN=CDRT4 PE=2 SV=2
+YRVTPLMRMMPKRAFIIKNYNETPCDRSDAHLHTPEPIMTPAMALVSYAGWMSLTSESLTDRFVAKGSSKSSKRRKPQIVSSPKNQRSAWPREELARMCELERTKSKEILRKVTQSTYTVYAPWPDHKELLKRPLGTNETLGEKKMRRADM
+>sp|Q92903|CDS1_HUMAN Phosphatidate cytidylyltransferase 1 OS=Homo sapiens OX=9606 GN=CDS1 PE=2 SV=2
+MLELRHRGSCPGPREAVSPPHREGEAAGGDHETESTSDKETDIDDRYGDLDSRTDSDIPEIPPSSDRTPEILKKALSGLSSRWKNWWIRGILTLTMISLFFLIIYMGSFMLMLLVLGIQVKCFHEIITIGYRVYHSYDLPWFRTLSWYFLLCVNYFFYGETVADYFATFVQREEQLQFLIRYHRFISFALYLAGFCMFVLSLVKKHYRLQFYMFAWTHVTLLITVTQSHLVIQNLFEGMIWFLVPISSVICNDITAYLFGFFFGRTPLIKLSPKKTWEGFIGGFFSTVVFGFIAAYVLSKYQYFVCPVEYRSDVNSFVTECEPSELFQLQTYSLPPFLKAVLRQERVSLYPFQIHSIALSTFASLIGPFGGFFASGFKRAFKIKDFANTIPGHGGIMDRFDCQYLMATFVHVYITSFIRGPNPSKVLQQLLVLQPEQQLNIYKTLKTHLIEKGILQPTLKV
+>DECOY_sp|Q92903|CDS1_HUMAN Phosphatidate cytidylyltransferase 1 OS=Homo sapiens OX=9606 GN=CDS1 PE=2 SV=2
+VKLTPQLIGKEILHTKLTKYINLQQEPQLVLLQQLVKSPNPGRIFSTIYVHVFTAMLYQCDFRDMIGGHGPITNAFDKIKFARKFGSAFFGGFPGILSAFTSLAISHIQFPYLSVREQRLVAKLFPPLSYTQLQFLESPECETVFSNVDSRYEVPCVFYQYKSLVYAAIFGFVVTSFFGGIFGEWTKKPSLKILPTRGFFFGFLYATIDNCIVSSIPVLFWIMGEFLNQIVLHSQTVTILLTVHTWAFMYFQLRYHKKVLSLVFMCFGALYLAFSIFRHYRILFQLQEERQVFTAFYDAVTEGYFFYNVCLLFYWSLTRFWPLDYSHYVRYGITIIEHFCKVQIGLVLLMLMFSGMYIILFFLSIMTLTLIGRIWWNKWRSSLGSLAKKLIEPTRDSSPPIEPIDSDTRSDLDGYRDDIDTEKDSTSETEHDGGAAEGERHPPSVAERPGPCSGRHRLELM
+>sp|Q9H211|CDT1_HUMAN DNA replication factor Cdt1 OS=Homo sapiens OX=9606 GN=CDT1 PE=1 SV=3
+MEQRRVTDFFARRRPGPPRIAPPKLACRTPSPARPALRAPASATSGSRKRARPPAAPGRDQARPPARRRLRLSVDEVSSPSTPEAPDIPACPSPGQKIKKSTPAAGQPPHLTSAQDQDTISELASCLQRARELGARVRALKASAQDAGESCTPEAEGRPEEPCGEKAPAYQRFHALAQPGLPGLVLPYKYQVLAEMFRSMDTIVGMLHNRSETPTFAKVQRGVQDMMRRRFEECNVGQIKTVYPASYRFRQERSVPTFKDGTRRSDYQLTIEPLLEQEADGAAPQLTASRLLQRRQIFSQKLVEHVKEHHKAFLASLSPAMVVPEDQLTRWHPRFNVDEVPDIEPAALPQPPATEKLTTAQEVLARARNLISPRMEKALSQLALRSAAPSSPGSPRPALPATPPATPPAASPSALKGVSQDLLERIRAKEAQKQLAQMTRCPEQEQRLQRLERLPELARVLRSVFVSERKPALSMEVACARMVGSCCTIMSPGEMEKHLLLLSELLPDWLSLHRIRTDTYVKLDKAADLAHITARLAHQTRAEEGL
+>DECOY_sp|Q9H211|CDT1_HUMAN DNA replication factor Cdt1 OS=Homo sapiens OX=9606 GN=CDT1 PE=1 SV=3
+LGEEARTQHALRATIHALDAAKDLKVYTDTRIRHLSLWDPLLESLLLLHKEMEGPSMITCCSGVMRACAVEMSLAPKRESVFVSRLVRALEPLRELRQLRQEQEPCRTMQALQKQAEKARIRELLDQSVGKLASPSAAPPTAPPTAPLAPRPSGPSSPAASRLALQSLAKEMRPSILNRARALVEQATTLKETAPPQPLAAPEIDPVEDVNFRPHWRTLQDEPVVMAPSLSALFAKHHEKVHEVLKQSFIQRRQLLRSATLQPAAGDAEQELLPEITLQYDSRRTGDKFTPVSREQRFRYSAPYVTKIQGVNCEEFRRRMMDQVGRQVKAFTPTESRNHLMGVITDMSRFMEALVQYKYPLVLGPLGPQALAHFRQYAPAKEGCPEEPRGEAEPTCSEGADQASAKLARVRAGLERARQLCSALESITDQDQASTLHPPQGAAPTSKKIKQGPSPCAPIDPAEPTSPSSVEDVSLRLRRRAPPRAQDRGPAAPPRARKRSGSTASAPARLAPRAPSPTRCALKPPAIRPPGPRRRAFFDTVRRQEM
+>sp|Q99626|CDX2_HUMAN Homeobox protein CDX-2 OS=Homo sapiens OX=9606 GN=CDX2 PE=1 SV=3
+MYVSYLLDKDVSMYPSSVRHSGGLNLAPQNFVSPPQYPDYGGYHVAAAAAAAANLDSAQSPGPSWPAAYGAPLREDWNGYAPGGAAAAANAVAHGLNGGSPAAAMGYSSPADYHPHHHPHHHPHHPAAAPSCASGLLQTLNPGPPGPAATAAAEQLSPGGQRRNLCEWMRKPAQQSLGSQVKTRTKDKYRVVYTDHQRLELEKEFHYSRYITIRRKAELAATLGLSERQVKIWFQNRRAKERKINKKKLQQQQQQQPPQPPPPPPQPPQPQPGPLRSVPEPLSPVSSLQASVPGSVPGVLGPTGGVLNPTVTQ
+>DECOY_sp|Q99626|CDX2_HUMAN Homeobox protein CDX-2 OS=Homo sapiens OX=9606 GN=CDX2 PE=1 SV=3
+QTVTPNLVGGTPGLVGPVSGPVSAQLSSVPSLPEPVSRLPGPQPQPPQPPPPPPQPPQQQQQQQLKKKNIKREKARRNQFWIKVQRESLGLTAALEAKRRITIYRSYHFEKELELRQHDTYVVRYKDKTRTKVQSGLSQQAPKRMWECLNRRQGGPSLQEAAATAAPGPPGPNLTQLLGSACSPAAAPHHPHHHPHHHPHYDAPSSYGMAAAPSGGNLGHAVANAAAAAGGPAYGNWDERLPAGYAAPWSPGPSQASDLNAAAAAAAAVHYGGYDPYQPPSVFNQPALNLGGSHRVSSPYMSVDKDLLYSVYM
+>sp|Q9Y6F8|CDY1_HUMAN Testis-specific chromodomain protein Y 1 OS=Homo sapiens OX=9606 GN=CDY1 PE=1 SV=1
+MASQEFEVEAIVDKRQDKNGNTQYLVRWKGYDKQDDTWEPEQHLMNCEKCVHDFNRRQTEKQKKLTWTTTSRIFSNNARRRTSRSTKANYSKNSPKTPVTDKHHRSKNRKLFAASKNVRRKAASILSDTKNMEIINSTIETLAPDSPFDHKTVSGFQKLEKLDPIAADQQDTVVFKVTEGKLLRDPLSRPGAEQTGIQNKTQIHPLMSQMSGSVTASMATGSATRKGIVVLIDPLAANGTTDMHTSVPRVKGGQRNITDDSRDQPFIKKMHFTIRLTESASTYRDIVVKKEDGFTQIVLSTRSTEKNALNTEVIKEIVNALNSAAADDSKLVLFSAAGSVFCCGLDFGYFVKHLRNNRNTASLEMVDTIKNFVNTFIQFKKPIVVSVNGPAIGLGASILPLCDLVWANEKAWFQTPYTTFGQSPDGCSSITFPKMMGKASANEMLIAGRKLTAREACAKGLVSQVFLTGTFTQEVMIQIKELASYNPIVLEECKALVRCNIKLELEQANERECEVLRKIWSSAQGIESMLKYVENKIDEF
+>DECOY_sp|Q9Y6F8|CDY1_HUMAN Testis-specific chromodomain protein Y 1 OS=Homo sapiens OX=9606 GN=CDY1 PE=1 SV=1
+FEDIKNEVYKLMSEIGQASSWIKRLVECERENAQELELKINCRVLAKCEELVIPNYSALEKIQIMVEQTFTGTLFVQSVLGKACAERATLKRGAILMENASAKGMMKPFTISSCGDPSQGFTTYPTQFWAKENAWVLDCLPLISAGLGIAPGNVSVVIPKKFQIFTNVFNKITDVMELSATNRNNRLHKVFYGFDLGCCFVSGAASFLVLKSDDAAASNLANVIEKIVETNLANKETSRTSLVIQTFGDEKKVVIDRYTSASETLRITFHMKKIFPQDRSDDTINRQGGKVRPVSTHMDTTGNAALPDILVVIGKRTASGTAMSATVSGSMQSMLPHIQTKNQIGTQEAGPRSLPDRLLKGETVKFVVTDQQDAAIPDLKELKQFGSVTKHDFPSDPALTEITSNIIEMNKTDSLISAAKRRVNKSAAFLKRNKSRHHKDTVPTKPSNKSYNAKTSRSTRRRANNSFIRSTTTWTLKKQKETQRRNFDHVCKECNMLHQEPEWTDDQKDYGKWRVLYQTNGNKDQRKDVIAEVEFEQSAM
+>sp|Q9Y232|CDYL_HUMAN Chromodomain Y-like protein OS=Homo sapiens OX=9606 GN=CDYL PE=1 SV=2
+MTFQASHRSAWGKSRKKNWQYEGPTQKLFLKRNNVSAPDGPSDPSISVSSEQSGAQQPPALQVERIVDKRKNKKGKTEYLVRWKGYDSEDDTWEPEQHLVNCEEYIHDFNRRHTEKQKESTLTRTNRTSPNNARKQISRSTNSNFSKTSPKALVIGKDHESKNSQLFAASQKFRKNTAPSLSSRKNMDLAKSGIKILVPKSPVKSRTAVDGFQSESPEKLDPVEQGQEDTVAPEVAAEKPVGALLGPGAERARMGSRPRIHPLVPQVPGPVTAAMATGLAVNGKGTSPFMDALTANGTTNIQTSVTGVTASKRKFIDDRRDQPFDKRLRFSVRQTESAYRYRDIVVRKQDGFTHILLSTKSSENNSLNPEVMREVQSALSTAAADDSKLVLLSAVGSVFCCGLDFIYFIRRLTDDRKRESTKMAEAIRNFVNTFIQFKKPIIVAVNGPAIGLGASILPLCDVVWANEKAWFQTPYTTFGQSPDGCSTVMFPKIMGGASANEMLLSGRKLTAQEACGKGLVSQVFWPGTFTQEVMVRIKELASCNPVVLEESKALVRCNMKMELEQANERECEVLKKIWGSAQGMDSMLKYLQRKIDEF
+>DECOY_sp|Q9Y232|CDYL_HUMAN Chromodomain Y-like protein OS=Homo sapiens OX=9606 GN=CDYL PE=1 SV=2
+FEDIKRQLYKLMSDMGQASGWIKKLVECERENAQELEMKMNCRVLAKSEELVVPNCSALEKIRVMVEQTFTGPWFVQSVLGKGCAEQATLKRGSLLMENASAGGMIKPFMVTSCGDPSQGFTTYPTQFWAKENAWVVDCLPLISAGLGIAPGNVAVIIPKKFQIFTNVFNRIAEAMKTSERKRDDTLRRIFYIFDLGCCFVSGVASLLVLKSDDAAATSLASQVERMVEPNLSNNESSKTSLLIHTFGDQKRVVIDRYRYASETQRVSFRLRKDFPQDRRDDIFKRKSATVGTVSTQINTTGNATLADMFPSTGKGNVALGTAMAATVPGPVQPVLPHIRPRSGMRAREAGPGLLAGVPKEAAVEPAVTDEQGQEVPDLKEPSESQFGDVATRSKVPSKPVLIKIGSKALDMNKRSSLSPATNKRFKQSAAFLQSNKSEHDKGIVLAKPSTKSFNSNTSRSIQKRANNPSTRNTRTLTSEKQKETHRRNFDHIYEECNVLHQEPEWTDDESDYGKWRVLYETKGKKNKRKDVIREVQLAPPQQAGSQESSVSISPDSPGDPASVNNRKLFLKQTPGEYQWNKKRSKGWASRHSAQFTM
+>sp|Q96MH7|CE034_HUMAN Uncharacterized protein C5orf34 OS=Homo sapiens OX=9606 GN=C5orf34 PE=2 SV=2
+MAAELRMILYEDDSVQVQYVDGSTLQLSPCGTEFLFEKSPPVSAHPLEQPERIRQRTHFVISTYREQLQRALDFRNSSATCPFLSETIIPSERKKHIFIDITEVRWPSLDTDGTMIYMESGIVKITSLDGHAYLCLPRSQHEFTVHFLCKVSQKSDSSAVLSETNNKAPKDKLVEKTGKICIRGNLPGQRLKNKENEFHCQIMKSKETLKKMSCVNGTEGREELPSPGTKHTCVYTWVKQCWSVAACPEEWKYPLSLALHFHNKISNMSKIDAHITQSRFLTSDISEERGKVVSVLPRALSLSCPVPHLHRWNFCDSLLQRQSDEYSYPELVKMVWYKGVTYRLTHQNMNSIEIYSGDGSVFKSEGAYFGNYFTYYSIQEGSGKREEKTYSVNNLPPDRPGSPFTVGSLIKQATRILQHCVKMRLSLSHNYRICCWKMVPGINDSNILPLVLKESLIPSVGRFLAYSDDKVHAIFLDGITLTLNWNFSSPIEKRQVNQGLNLGWCKLTFPDGQEQLIQIEHPEPYERYVTTVTSWCRRLTQTSPREMPTHSSSSVLQENWSVASELEKIQKFNLLLENSGILNQISNKKNEQQSFDHYKPGSSETLLGEVNENRVSIALKKTSEILHDIDCLLSNSKK
+>DECOY_sp|Q96MH7|CE034_HUMAN Uncharacterized protein C5orf34 OS=Homo sapiens OX=9606 GN=C5orf34 PE=2 SV=2
+KKSNSLLCDIDHLIESTKKLAISVRNENVEGLLTESSGPKYHDFSQQENKKNSIQNLIGSNELLLNFKQIKELESAVSWNEQLVSSSSHTPMERPSTQTLRRCWSTVTTVYREYPEPHEIQILQEQGDPFTLKCWGLNLGQNVQRKEIPSSFNWNLTLTIGDLFIAHVKDDSYALFRGVSPILSEKLVLPLINSDNIGPVMKWCCIRYNHSLSLRMKVCHQLIRTAQKILSGVTFPSGPRDPPLNNVSYTKEERKGSGEQISYYTFYNGFYAGESKFVSGDGSYIEISNMNQHTLRYTVGKYWVMKVLEPYSYEDSQRQLLSDCFNWRHLHPVPCSLSLARPLVSVVKGREESIDSTLFRSQTIHADIKSMNSIKNHFHLALSLPYKWEEPCAAVSWCQKVWTYVCTHKTGPSPLEERGETGNVCSMKKLTEKSKMIQCHFENEKNKLRQGPLNGRICIKGTKEVLKDKPAKNNTESLVASSDSKQSVKCLFHVTFEHQSRPLCLYAHGDLSTIKVIGSEMYIMTGDTDLSPWRVETIDIFIHKKRESPIITESLFPCTASSNRFDLARQLQERYTSIVFHTRQRIREPQELPHASVPPSKEFLFETGCPSLQLTSGDVYQVQVSDDEYLIMRLEAAM
+>sp|Q8N8D9|CE056_HUMAN Uncharacterized protein C5orf56 OS=Homo sapiens OX=9606 GN=C5orf56 PE=2 SV=2
+MDSLAAGELNASHQPWVPEFVAYWRKTHQGNLQTLLLHWLLLCSCLHHLYNASCHPAFPVEYSHAVCCLQPRFWKEMSPFSSSSTTHLFSKCFFYTCLCWAWQQCSSEQNHQPCSHAAYNQVERQT
+>DECOY_sp|Q8N8D9|CE056_HUMAN Uncharacterized protein C5orf56 OS=Homo sapiens OX=9606 GN=C5orf56 PE=2 SV=2
+TQREVQNYAAHSCPQHNQESSCQQWAWCLCTYFFCKSFLHTTSSSSFPSMEKWFRPQLCCVAHSYEVPFAPHCSANYLHHLCSCLLLWHLLLTQLNGQHTKRWYAVFEPVWPQHSANLEGAALSDM
+>sp|A6NFR6|CE060_HUMAN Putative uncharacterized protein C5orf60 OS=Homo sapiens OX=9606 GN=C5orf60 PE=1 SV=2
+MPRAQLPEDSSAVDMDILFPLDSVIGTELCPSPIPQIIHFVLFVVFSLVILIILRLYIPREPSSVPPREEDSENDQAEVGEWLRIGNKYITLKDYRILLKELENLEIYTFLSKKCLKKLSREGSSHHLPRQVRPGPVYKPAPARNHRPRGGRGKASPTSFHVSPRAPLAPLASMPSSVPKTSVESLGSPSSLSSSKPREPLCPLKHPSHQPPASTLSPNPTSSTESLGYLSSLSSSQPPEPLRPLKHPSHKPRGRSLPRRRNPGWVSWSDSMQADSETDTIICPMCKAPERSCPHTWWVPSSPRVIRGVGRCSDPNLGLSWRQEAARAWCHCTSSQFPFKHPNLPTHLPKASF
+>DECOY_sp|A6NFR6|CE060_HUMAN Putative uncharacterized protein C5orf60 OS=Homo sapiens OX=9606 GN=C5orf60 PE=1 SV=2
+FSAKPLHTPLNPHKFPFQSSTCHCWARAAEQRWSLGLNPDSCRGVGRIVRPSSPVWWTHPCSREPAKCMPCIITDTESDAQMSDSWSVWGPNRRRPLSRGRPKHSPHKLPRLPEPPQSSSLSSLYGLSETSSTPNPSLTSAPPQHSPHKLPCLPERPKSSSLSSPSGLSEVSTKPVSSPMSALPALPARPSVHFSTPSAKGRGGRPRHNRAPAPKYVPGPRVQRPLHHSSGERSLKKLCKKSLFTYIELNELEKLLIRYDKLTIYKNGIRLWEGVEAQDNESDEERPPVSSPERPIYLRLIILIVLSFVVFLVFHIIQPIPSPCLETGIVSDLPFLIDMDVASSDEPLQARPM
+>sp|Q8N8E3|CE112_HUMAN Centrosomal protein of 112 kDa OS=Homo sapiens OX=9606 GN=CEP112 PE=1 SV=2
+MEVGSEEEKWEKLDAEFDHFVVDMKPFVLKLPHRTERQRCALWIRKLCEPSGTGAGIMGRKNRNLYAKLLLHMLKRGALEGPFTHRPEPGTLKILPSYMSIYFDEPNPARAKGSSPEGLPAWVLGELETSEHKLNESWKLSSGEDNTLVQSPTDVYSREQYTGKLRVRSHSLSPTHREDGQNITPKICEVYSKKSPVSLDDSDIEARLNSWNLGIENPRYLRQKPIPVSLMTPKFSLRKSSSFHDDHFLSRIREKELDMKTKMMEAKFHEEKLKLQQKHDADVQKILERKNNEIEELKTLYRSKQHETEETIRKLEKKVQTLIRDCQVIRETKEDQIAELKKICEQSTESLNNDWEKKLHNAVAEMEQEKFDLQKQHTENIQELLEDTNVRLNKMESEYMAQTQSTNHMIKELEARVQQLTGEAENSNLQRQKLIQEKAELERCYQITCSELQEVKARRNTLHKEKDHLVNDYEQNMKLLQTKYDADINLLKQEHALSASKASSMIEELEQNVCQLKQQLQESELQRKQQLRDQENKFQMEKSHLKHIYEKKAHDLQSELDKGKEDTQKKIHKFEEALKEKEEQLTRVTEVQRLQAQQADAALEEFKRQVELNSEKVYAEMKEQMEKVEADLTRSKSLREKQSKEFLWQLEDIRQRYEQQIVELKLEHEQEKTHLLQQHNAEKDSLVRDHEREIENLEKQLRAANMEHENQIQEFKKRDAQVIADMEAQVHKLREELINVNSQRKQQLVELGLLREEEKQRATREHEIVVNKLKAESEKMKIELKKTHAAETEMTLEKANSKLKQIEKEYTQKLAKSSQIIAELQTTISSLKEENSQQQLAAERRLQDVRQKFEDEKKQLIRDNDQAIKVLQDELENRSNQVRCAEKKLQHKELESQEQITYIRQEYETKLKGLMPASLRQELEDTISSLKSQVNFLQKRASILQEELTTYQGRR
+>DECOY_sp|Q8N8E3|CE112_HUMAN Centrosomal protein of 112 kDa OS=Homo sapiens OX=9606 GN=CEP112 PE=1 SV=2
+RRGQYTTLEEQLISARKQLFNVQSKLSSITDELEQRLSAPMLGKLKTEYEQRIYTIQEQSELEKHQLKKEACRVQNSRNELEDQLVKIAQDNDRILQKKEDEFKQRVDQLRREAALQQQSNEEKLSSITTQLEAIIQSSKALKQTYEKEIQKLKSNAKELTMETEAAHTKKLEIKMKESEAKLKNVVIEHERTARQKEEERLLGLEVLQQKRQSNVNILEERLKHVQAEMDAIVQADRKKFEQIQNEHEMNAARLQKELNEIEREHDRVLSDKEANHQQLLHTKEQEHELKLEVIQQEYRQRIDELQWLFEKSQKERLSKSRTLDAEVKEMQEKMEAYVKESNLEVQRKFEELAADAQQAQLRQVETVRTLQEEKEKLAEEFKHIKKQTDEKGKDLESQLDHAKKEYIHKLHSKEMQFKNEQDRLQQKRQLESEQLQQKLQCVNQELEEIMSSAKSASLAHEQKLLNIDADYKTQLLKMNQEYDNVLHDKEKHLTNRRAKVEQLESCTIQYCRELEAKEQILKQRQLNSNEAEGTLQQVRAELEKIMHNTSQTQAMYESEMKNLRVNTDELLEQINETHQKQLDFKEQEMEAVANHLKKEWDNNLSETSQECIKKLEAIQDEKTERIVQCDRILTQVKKELKRITEETEHQKSRYLTKLEEIENNKRELIKQVDADHKQQLKLKEEHFKAEMMKTKMDLEKERIRSLFHDDHFSSSKRLSFKPTMLSVPIPKQRLYRPNEIGLNWSNLRAEIDSDDLSVPSKKSYVECIKPTINQGDERHTPSLSHSRVRLKGTYQERSYVDTPSQVLTNDEGSSLKWSENLKHESTELEGLVWAPLGEPSSGKARAPNPEDFYISMYSPLIKLTGPEPRHTFPGELAGRKLMHLLLKAYLNRNKRGMIGAGTGSPECLKRIWLACRQRETRHPLKLVFPKMDVVFHDFEADLKEWKEEESGVEM
+>sp|O75871|CEAM4_HUMAN Carcinoembryonic antigen-related cell adhesion molecule 4 OS=Homo sapiens OX=9606 GN=CEACAM4 PE=1 SV=2
+MGPPSAAPRGGHRPWQGLLITASLLTFWHPPTTVQFTIEALPSSAAEGKDVLLLACNISETIQAYYWHKGKTAEGSPLIAGYITDIQANIPGAAYSGRETVYPNGSLLFQNITLEDAGSYTLRTINASYDSDQATGQLHVHQNNVPGLPVGAVAGIVTGVLVGVALVAALVCFLLLSRTGRASIQRDLREQPPPASTPGHGPSHRSTFSAPLPSPRTATPIYEELLYSDANIYCQIDHKADVVS
+>DECOY_sp|O75871|CEAM4_HUMAN Carcinoembryonic antigen-related cell adhesion molecule 4 OS=Homo sapiens OX=9606 GN=CEACAM4 PE=1 SV=2
+SVVDAKHDIQCYINADSYLLEEYIPTATRPSPLPASFTSRHSPGHGPTSAPPPQERLDRQISARGTRSLLLFCVLAAVLAVGVLVGTVIGAVAGVPLGPVNNQHVHLQGTAQDSDYSANITRLTYSGADELTINQFLLSGNPYVTERGSYAAGPINAQIDTIYGAILPSGEATKGKHWYYAQITESINCALLLVDKGEAASSPLAEITFQVTTPPHWFTLLSATILLGQWPRHGGRPAASPPGM
+>sp|P06731|CEAM5_HUMAN Carcinoembryonic antigen-related cell adhesion molecule 5 OS=Homo sapiens OX=9606 GN=CEACAM5 PE=1 SV=3
+MESPSAPPHRWCIPWQRLLLTASLLTFWNPPTTAKLTIESTPFNVAEGKEVLLLVHNLPQHLFGYSWYKGERVDGNRQIIGYVIGTQQATPGPAYSGREIIYPNASLLIQNIIQNDTGFYTLHVIKSDLVNEEATGQFRVYPELPKPSISSNNSKPVEDKDAVAFTCEPETQDATYLWWVNNQSLPVSPRLQLSNGNRTLTLFNVTRNDTASYKCETQNPVSARRSDSVILNVLYGPDAPTISPLNTSYRSGENLNLSCHAASNPPAQYSWFVNGTFQQSTQELFIPNITVNNSGSYTCQAHNSDTGLNRTTVTTITVYAEPPKPFITSNNSNPVEDEDAVALTCEPEIQNTTYLWWVNNQSLPVSPRLQLSNDNRTLTLLSVTRNDVGPYECGIQNKLSVDHSDPVILNVLYGPDDPTISPSYTYYRPGVNLSLSCHAASNPPAQYSWLIDGNIQQHTQELFISNITEKNSGLYTCQANNSASGHSRTTVKTITVSAELPKPSISSNNSKPVEDKDAVAFTCEPEAQNTTYLWWVNGQSLPVSPRLQLSNGNRTLTLFNVTRNDARAYVCGIQNSVSANRSDPVTLDVLYGPDTPIISPPDSSYLSGANLNLSCHSASNPSPQYSWRINGIPQQHTQVLFIAKITPNNNGTYACFVSNLATGRNNSIVKSITVSASGTSPGLSAGATVGIMIGVLVGVALI
+>DECOY_sp|P06731|CEAM5_HUMAN Carcinoembryonic antigen-related cell adhesion molecule 5 OS=Homo sapiens OX=9606 GN=CEACAM5 PE=1 SV=3
+ILAVGVLVGIMIGVTAGASLGPSTGSASVTISKVISNNRGTALNSVFCAYTGNNNPTIKAIFLVQTHQQPIGNIRWSYQPSPNSASHCSLNLNAGSLYSSDPPSIIPTDPGYLVDLTVPDSRNASVSNQIGCVYARADNRTVNFLTLTRNGNSLQLRPSVPLSQGNVWWLYTTNQAEPECTFAVADKDEVPKSNNSSISPKPLEASVTITKVTTRSHGSASNNAQCTYLGSNKETINSIFLEQTHQQINGDILWSYQAPPNSAAHCSLSLNVGPRYYTYSPSITPDDPGYLVNLIVPDSHDVSLKNQIGCEYPGVDNRTVSLLTLTRNDNSLQLRPSVPLSQNNVWWLYTTNQIEPECTLAVADEDEVPNSNNSTIFPKPPEAYVTITTVTTRNLGTDSNHAQCTYSGSNNVTINPIFLEQTSQQFTGNVFWSYQAPPNSAAHCSLNLNEGSRYSTNLPSITPADPGYLVNLIVSDSRRASVPNQTECKYSATDNRTVNFLTLTRNGNSLQLRPSVPLSQNNVWWLYTADQTEPECTFAVADKDEVPKSNNSSISPKPLEPYVRFQGTAEENVLDSKIVHLTYFGTDNQIINQILLSANPYIIERGSYAPGPTAQQTGIVYGIIQRNGDVREGKYWSYGFLHQPLNHVLLLVEKGEAVNFPTSEITLKATTPPNWFTLLSATLLLRQWPICWRHPPASPSEM
+>sp|P40199|CEAM6_HUMAN Carcinoembryonic antigen-related cell adhesion molecule 6 OS=Homo sapiens OX=9606 GN=CEACAM6 PE=1 SV=3
+MGPPSAPPCRLHVPWKEVLLTASLLTFWNPPTTAKLTIESTPFNVAEGKEVLLLAHNLPQNRIGYSWYKGERVDGNSLIVGYVIGTQQATPGPAYSGRETIYPNASLLIQNVTQNDTGFYTLQVIKSDLVNEEATGQFHVYPELPKPSISSNNSNPVEDKDAVAFTCEPEVQNTTYLWWVNGQSLPVSPRLQLSNGNMTLTLLSVKRNDAGSYECEIQNPASANRSDPVTLNVLYGPDGPTISPSKANYRPGENLNLSCHAASNPPAQYSWFINGTFQQSTQELFIPNITVNNSGSYMCQAHNSATGLNRTTVTMITVSGSAPVLSAVATVGITIGVLARVALI
+>DECOY_sp|P40199|CEAM6_HUMAN Carcinoembryonic antigen-related cell adhesion molecule 6 OS=Homo sapiens OX=9606 GN=CEACAM6 PE=1 SV=3
+ILAVRALVGITIGVTAVASLVPASGSVTIMTVTTRNLGTASNHAQCMYSGSNNVTINPIFLEQTSQQFTGNIFWSYQAPPNSAAHCSLNLNEGPRYNAKSPSITPGDPGYLVNLTVPDSRNASAPNQIECEYSGADNRKVSLLTLTMNGNSLQLRPSVPLSQGNVWWLYTTNQVEPECTFAVADKDEVPNSNNSSISPKPLEPYVHFQGTAEENVLDSKIVQLTYFGTDNQTVNQILLSANPYITERGSYAPGPTAQQTGIVYGVILSNGDVREGKYWSYGIRNQPLNHALLLVEKGEAVNFPTSEITLKATTPPNWFTLLSATLLVEKWPVHLRCPPASPPGM
+>sp|P53567|CEBPG_HUMAN CCAAT/enhancer-binding protein gamma OS=Homo sapiens OX=9606 GN=CEBPG PE=1 SV=1
+MSKISQQNSTPGVNGISVIHTQAHASGLQQVPQLVPAGPGGGGKAVAPSKQSKKSSPMDRNSDEYRQRRERNNMAVKKSRLKSKQKAQDTLQRVNQLKEENERLEAKIKLLTKELSVLKDLFLEHAHNLADNVQSISTENTTADGDNAGQ
+>DECOY_sp|P53567|CEBPG_HUMAN CCAAT/enhancer-binding protein gamma OS=Homo sapiens OX=9606 GN=CEBPG PE=1 SV=1
+QGANDGDATTNETSISQVNDALNHAHELFLDKLVSLEKTLLKIKAELRENEEKLQNVRQLTDQAKQKSKLRSKKVAMNNRERRQRYEDSNRDMPSSKKSQKSPAVAKGGGGPGAPVLQPVQQLGSAHAQTHIVSIGNVGPTSNQQSIKSM
+>sp|Q86SI9|CEI_HUMAN Protein CEI OS=Homo sapiens OX=9606 GN=C5orf38 PE=2 SV=1
+MVAPAARVFLRAVRAALTSTVPDLLCLLARGSPRGLASGRLPLAVHSAQHGPGSGAPWLRIARRALRFVLSKHWGDDCYLTNRLWQDLKPPSHVENGQELRLAPPVQWALQVQGNQLQTAVLCLRMAPPEPAGSRQRI
+>DECOY_sp|Q86SI9|CEI_HUMAN Protein CEI OS=Homo sapiens OX=9606 GN=C5orf38 PE=2 SV=1
+IRQRSGAPEPPAMRLCLVATQLQNGQVQLAWQVPPALRLEQGNEVHSPPKLDQWLRNTLYCDDGWHKSLVFRLARRAIRLWPAGSGPGHQASHVALPLRGSALGRPSGRALLCLLDPVTSTLAARVARLFVRAAPAVM
+>sp|Q92879|CELF1_HUMAN CUGBP Elav-like family member 1 OS=Homo sapiens OX=9606 GN=CELF1 PE=1 SV=2
+MNGTLDHPDQPDLDAIKMFVGQVPRTWSEKDLRELFEQYGAVYEINVLRDRSQNPPQSKGCCFVTFYTRKAALEAQNALHNMKVLPGMHHPIQMKPADSEKNNAVEDRKLFIGMISKKCTENDIRVMFSSFGQIEECRILRGPDGLSRGCAFVTFTTRAMAQTAIKAMHQAQTMEGCSSPMVVKFADTQKDKEQKRMAQQLQQQMQQISAASVWGNLAGLNTLGPQYLALYLQLLQQTASSGNLNTLSSLHPMGGLNAMQLQNLAALAAAASAAQNTPSGTNALTTSSSPLSVLTSSGSSPSSSSSNSVNPIASLGALQTLAGATAGLNVGSLAGMAALNGGLGSSGLSNGTGSTMEALTQAYSGIQQYAAAALPTLYNQNLLTQQSIGAAGSQKEGPEGANLFIYHLPQEFGDQDLLQMFMPFGNVVSAKVFIDKQTNLSKCFGFVSYDNPVSAQAAIQSMNGFQIGMKRLKVQLKRSKNDSKPY
+>DECOY_sp|Q92879|CELF1_HUMAN CUGBP Elav-like family member 1 OS=Homo sapiens OX=9606 GN=CELF1 PE=1 SV=2
+YPKSDNKSRKLQVKLRKMGIQFGNMSQIAAQASVPNDYSVFGFCKSLNTQKDIFVKASVVNGFPMFMQLLDQDGFEQPLHYIFLNAGEPGEKQSGAAGISQQTLLNQNYLTPLAAAAYQQIGSYAQTLAEMTSGTGNSLGSSGLGGNLAAMGALSGVNLGATAGALTQLAGLSAIPNVSNSSSSSPSSGSSTLVSLPSSSTTLANTGSPTNQAASAAAALAALNQLQMANLGGMPHLSSLTNLNGSSATQQLLQLYLALYQPGLTNLGALNGWVSAASIQQMQQQLQQAMRKQEKDKQTDAFKVVMPSSCGEMTQAQHMAKIATQAMARTTFTVFACGRSLGDPGRLIRCEEIQGFSSFMVRIDNETCKKSIMGIFLKRDEVANNKESDAPKMQIPHHMGPLVKMNHLANQAELAAKRTYFTVFCCGKSQPPNQSRDRLVNIEYVAGYQEFLERLDKESWTRPVQGVFMKIADLDPQDPHDLTGNM
+>sp|Q8N6W0|CELF5_HUMAN CUGBP Elav-like family member 5 OS=Homo sapiens OX=9606 GN=CELF5 PE=1 SV=1
+MARLTESEARRQQQQLLQPRPSPVGSSGPEPPGGQPDGMKDLDAIKLFVGQIPRHLDEKDLKPLFEQFGRIYELTVLKDPYTGMHKGCAFLTYCARDSAIKAQTALHEQKTLPGMARPIQVKPADSESRGGRDRKLFVGMLNKQQSEEDVLRLFQPFGVIDECTVLRGPDGSSKGCAFVKFSSHTEAQAAIHALHGSQTMPGASSSLVVKFADTDKERTLRRMQQMVGQLGILTPSLTLPFSPYSAYAQALMQQQTTVLSTSGSYLSPGVAFSPCHIQQIGAVSLNGLPATPIAPASGLHSPPLLGTTAVPGLVAPITNGFAGVVPFPGGHPALETVYANGLVPYPAQSPTVAETLHPAFSGVQQYTAMYPTAAITPIAHSVPQPPPLLQQQQREGPEGCNLFIYHLPQEFGDTELTQMFLPFGNIISSKVFMDRATNQSKCFGFVSFDNPASAQAAIQAMNGFQIGMKRLKVQLKRPKDPGHPY
+>DECOY_sp|Q8N6W0|CELF5_HUMAN CUGBP Elav-like family member 5 OS=Homo sapiens OX=9606 GN=CELF5 PE=1 SV=1
+YPHGPDKPRKLQVKLRKMGIQFGNMAQIAAQASAPNDFSVFGFCKSQNTARDMFVKSSIINGFPLFMQTLETDGFEQPLHYIFLNCGEPGERQQQQLLPPPQPVSHAIPTIAATPYMATYQQVGSFAPHLTEAVTPSQAPYPVLGNAYVTELAPHGGPFPVVGAFGNTIPAVLGPVATTGLLPPSHLGSAPAIPTAPLGNLSVAGIQQIHCPSFAVGPSLYSGSTSLVTTQQQMLAQAYASYPSFPLTLSPTLIGLQGVMQQMRRLTREKDTDAFKVVLSSSAGPMTQSGHLAHIAAQAETHSSFKVFACGKSSGDPGRLVTCEDIVGFPQFLRLVDEESQQKNLMGVFLKRDRGGRSESDAPKVQIPRAMGPLTKQEHLATQAKIASDRACYTLFACGKHMGTYPDKLVTLEYIRGFQEFLPKLDKEDLHRPIQGVFLKIADLDKMGDPQGGPPEPGSSGVPSPRPQLLQQQQRRAESETLRAM
+>sp|P19835|CEL_HUMAN Bile salt-activated lipase OS=Homo sapiens OX=9606 GN=CEL PE=1 SV=3
+MGRLQLVVLGLTCCWAVASAAKLGAVYTEGGFVEGVNKKLGLLGDSVDIFKGIPFAAPTKALENPQPHPGWQGTLKAKNFKKRCLQATITQDSTYGDEDCLYLNIWVPQGRKQVSRDLPVMIWIYGGAFLMGSGHGANFLNNYLYDGEEIATRGNVIVVTFNYRVGPLGFLSTGDANLPGNYGLRDQHMAIAWVKRNIAAFGGDPNNITLFGESAGGASVSLQTLSPYNKGLIRRAISQSGVALSPWVIQKNPLFWAKKVAEKVGCPVGDAARMAQCLKVTDPRALTLAYKVPLAGLEYPMLHYVGFVPVIDGDFIPADPINLYANAADIDYIAGTNNMDGHIFASIDMPAINKGNKKVTEEDFYKLVSEFTITKGLRGAKTTFDVYTESWAQDPSQENKKKTVVDFETDVLFLVPTEIALAQHRANAKSAKTYAYLFSHPSRMPVYPKWVGADHADDIQYVFGKPFATPTGYRPQDRTVSKAMIAYWTNFAKTGDPNMGDSAVPTHWEPYTTENSGYLEITKKMGSSSMKRSLRTNFLRYWTLTYLALPTVTDQEATPVPPTGDSEATPVPPTGDSETAPVPPTGDSGAPPVPPTGDSGAPPVPPTGDSGAPPVPPTGDSGAPPVPPTGDSGAPPVPPTGDSGAPPVPPTGDSGAPPVPPTGDSGAPPVPPTGDAGPPPVPPTGDSGAPPVPPTGDSGAPPVTPTGDSETAPVPPTGDSGAPPVPPTGDSEAAPVPPTDDSKEAQMPAVIRF
+>DECOY_sp|P19835|CEL_HUMAN Bile salt-activated lipase OS=Homo sapiens OX=9606 GN=CEL PE=1 SV=3
+FRIVAPMQAEKSDDTPPVPAAESDGTPPVPPAGSDGTPPVPATESDGTPTVPPAGSDGTPPVPPAGSDGTPPVPPPGADGTPPVPPAGSDGTPPVPPAGSDGTPPVPPAGSDGTPPVPPAGSDGTPPVPPAGSDGTPPVPPAGSDGTPPVPPAGSDGTPPVPPAGSDGTPPVPATESDGTPPVPTAESDGTPPVPTAEQDTVTPLALYTLTWYRLFNTRLSRKMSSSGMKKTIELYGSNETTYPEWHTPVASDGMNPDGTKAFNTWYAIMAKSVTRDQPRYGTPTAFPKGFVYQIDDAHDAGVWKPYVPMRSPHSFLYAYTKASKANARHQALAIETPVLFLVDTEFDVVTKKKNEQSPDQAWSETYVDFTTKAGRLGKTITFESVLKYFDEETVKKNGKNIAPMDISAFIHGDMNNTGAIYDIDAANAYLNIPDAPIFDGDIVPVFGVYHLMPYELGALPVKYALTLARPDTVKLCQAMRAADGVPCGVKEAVKKAWFLPNKQIVWPSLAVGSQSIARRILGKNYPSLTQLSVSAGGASEGFLTINNPDGGFAAINRKVWAIAMHQDRLGYNGPLNADGTSLFGLPGVRYNFTVVIVNGRTAIEEGDYLYNNLFNAGHGSGMLFAGGYIWIMVPLDRSVQKRGQPVWINLYLCDEDGYTSDQTITAQLCRKKFNKAKLTGQWGPHPQPNELAKTPAAFPIGKFIDVSDGLLGLKKNVGEVFGGETYVAGLKAASAVAWCCTLGLVVLQLRGM
+>sp|Q8WUJ3|CEMIP_HUMAN Cell migration-inducing and hyaluronan-binding protein OS=Homo sapiens OX=9606 GN=CEMIP PE=1 SV=2
+MGAAGRQDFLFKAMLTISWLTLTCFPGATSTVAAGCPDQSPELQPWNPGHDQDHHVHIGQGKTLLLTSSATVYSIHISEGGKLVIKDHDEPIVLRTRHILIDNGGELHAGSALCPFQGNFTIILYGRADEGIQPDPYYGLKYIGVGKGGALELHGQKKLSWTFLNKTLHPGGMAEGGYFFERSWGHRGVIVHVIDPKSGTVIHSDRFDTYRSKKESERLVQYLNAVPDGRILSVAVNDEGSRNLDDMARKAMTKLGSKHFLHLGFRHPWSFLTVKGNPSSSVEDHIEYHGHRGSAAARVFKLFQTEHGEYFNVSLSSEWVQDVEWTEWFDHDKVSQTKGGEKISDLWKAHPGKICNRPIDIQATTMDGVNLSTEVVYKKGQDYRFACYDRGRACRSYRVRFLCGKPVRPKLTVTIDTNVNSTILNLEDNVQSWKPGDTLVIASTDYSMYQAEEFQVLPCRSCAPNQVKVAGKPMYLHIGEEIDGVDMRAEVGLLSRNIIVMGEMEDKCYPYRNHICNFFDFDTFGGHIKFALGFKAAHLEGTELKHMGQQLVGQYPIHFHLAGDVDERGGYDPPTYIRDLSIHHTFSRCVTVHGSNGLLIKDVVGYNSLGHCFFTEDGPEERNTFDHCLGLLVKSGTLLPSDRDSKMCKMITEDSYPGYIPKPRQDCNAVSTFWMANPNNNLINCAAAGSEETGFWFIFHHVPTGPSVGMYSPGYSEHIPLGKFYNNRAHSNYRAGMIIDNGVKTTEASAKDKRPFLSIISARYSPHQDADPLKPREPAIIRHFIAYKNQDHGAWLRGGDVWLDSCRFADNGIGLTLASGGTFPYDDGSKQEIKNSLFVGESGNVGTEMMDNRIWGPGGLDHSGRTLPIGQNFPIRGIQLYDGPINIQNCTFRKFVALEGRHTSALAFRLNNAWQSCPHNNVTGIAFEDVPITSRVFFGEPGPWFNQLDMDGDKTSVFHDVDGSVSEYPGSYLTKNDNWLVRHPDCINVPDWRGAICSGCYAQMYIQAYKTSNLRMKIIKNDFPSHPLYLEGALTRSTHYQQYQPVVTLQKGYTIHWDQTAPAELAIWLINFNKGDWIRVGLCYPRGTTFSILSDVHNRLLKQTSKTGVFVRTLQMDKVEQSYPGRSHYYWDEDSGLLFLKLKAQNEREKFAFCSMKGCERIKIKALIPKNAGVSDCTATAYPKFTERAVVDVPMPKKLFGSQLKTKDHFLEVKMESSKQHFFHLWNDFAYIEVDGKKYPSSEDGIQVVVIDGNQGRVVSHTSFRNSILQGIPWQLFNYVATIPDNSIVLMASKGRYVSRGPWTRVLEKLGADRGLKLKEQMAFVGFKGSFRPIWVTLDTEDHKAKIFQVVPIPVVKKKKL
+>DECOY_sp|Q8WUJ3|CEMIP_HUMAN Cell migration-inducing and hyaluronan-binding protein OS=Homo sapiens OX=9606 GN=CEMIP PE=1 SV=2
+LKKKKVVPIPVVQFIKAKHDETDLTVWIPRFSGKFGVFAMQEKLKLGRDAGLKELVRTWPGRSVYRGKSAMLVISNDPITAVYNFLQWPIGQLISNRFSTHSVVRGQNGDIVVVQIGDESSPYKKGDVEIYAFDNWLHFFHQKSSEMKVELFHDKTKLQSGFLKKPMPVDVVARETFKPYATATCDSVGANKPILAKIKIRECGKMSCFAFKERENQAKLKLFLLGSDEDWYYHSRGPYSQEVKDMQLTRVFVGTKSTQKLLRNHVDSLISFTTGRPYCLGVRIWDGKNFNILWIALEAPATQDWHITYGKQLTVVPQYQQYHTSRTLAGELYLPHSPFDNKIIKMRLNSTKYAQIYMQAYCGSCIAGRWDPVNICDPHRVLWNDNKTLYSGPYESVSGDVDHFVSTKDGDMDLQNFWPGPEGFFVRSTIPVDEFAIGTVNNHPCSQWANNLRFALASTHRGELAVFKRFTCNQINIPGDYLQIGRIPFNQGIPLTRGSHDLGGPGWIRNDMMETGVNGSEGVFLSNKIEQKSGDDYPFTGGSALTLGIGNDAFRCSDLWVDGGRLWAGHDQNKYAIFHRIIAPERPKLPDADQHPSYRASIISLFPRKDKASAETTKVGNDIIMGARYNSHARNNYFKGLPIHESYGPSYMGVSPGTPVHHFIFWFGTEESGAAACNILNNNPNAMWFTSVANCDQRPKPIYGPYSDETIMKCMKSDRDSPLLTGSKVLLGLCHDFTNREEPGDETFFCHGLSNYGVVDKILLGNSGHVTVCRSFTHHISLDRIYTPPDYGGREDVDGALHFHIPYQGVLQQGMHKLETGELHAAKFGLAFKIHGGFTDFDFFNCIHNRYPYCKDEMEGMVIINRSLLGVEARMDVGDIEEGIHLYMPKGAVKVQNPACSRCPLVQFEEAQYMSYDTSAIVLTDGPKWSQVNDELNLITSNVNTDITVTLKPRVPKGCLFRVRYSRCARGRDYCAFRYDQGKKYVVETSLNVGDMTTAQIDIPRNCIKGPHAKWLDSIKEGGKTQSVKDHDFWETWEVDQVWESSLSVNFYEGHETQFLKFVRAAASGRHGHYEIHDEVSSSPNGKVTLFSWPHRFGLHLFHKSGLKTMAKRAMDDLNRSGEDNVAVSLIRGDPVANLYQVLRESEKKSRYTDFRDSHIVTGSKPDIVHVIVGRHGWSREFFYGGEAMGGPHLTKNLFTWSLKKQGHLELAGGKGVGIYKLGYYPDPQIGEDARGYLIITFNGQFPCLASGAHLEGGNDILIHRTRLVIPEDHDKIVLKGGESIHISYVTASSTLLLTKGQGIHVHHDQDHGPNWPQLEPSQDPCGAAVTSTAGPFCTLTLWSITLMAKFLFDQRGAAGM
+>sp|P07199|CENPB_HUMAN Major centromere autoantigen B OS=Homo sapiens OX=9606 GN=CENPB PE=1 SV=2
+MGPKRRQLTFREKSRIIQEVEENPDLRKGEIARRFNIPPSTLSTILKNKRAILASERKYGVASTCRKTNKLSPYDKLEGLLIAWFQQIRAAGLPVKGIILKEKALRIAEELGMDDFTASNGWLDRFRRRHGVVSCSGVARARARNAAPRTPAAPASPAAVPSEGSGGSTTGWRAREEQPPSVAEGYASQDVFSATETSLWYDFLPDQAAGLCGGDGRPRQATQRLSVLLCANADGSEKLPPLVAGKSAKPRAGQAGLPCDYTANSKGGVTTQALAKYLKALDTRMAAESRRVLLLAGRLAAQSLDTSGLRHVQLAFFPPGTVHPLERGVVQQVKGHYRQAMLLKAMAALEGQDPSGLQLGLTEALHFVAAAWQAVEPSDIAACFREAGFGGGPNATITTSLKSEGEEEEEEEEEEEEEEGEGEEEEEEGEEEEEEGGEGEELGEEEEVEEEGDVDSDEEEEEDEESSSEGLEAEDWAQGVVEAGGSFGAYGAQEEAQCPTLHFLEGGEDSDSDSEEEDDEEEDDEDEDDDDDEEDGDEVPVPSFGEAMAYFAMVKRYLTSFPIDDRVQSHILHLEHDLVHVTRKNHARQAGVRGLGHQS
+>DECOY_sp|P07199|CENPB_HUMAN Major centromere autoantigen B OS=Homo sapiens OX=9606 GN=CENPB PE=1 SV=2
+SQHGLGRVGAQRAHNKRTVHVLDHELHLIHSQVRDDIPFSTLYRKVMAFYAMAEGFSPVPVEDGDEEDDDDDEDEDDEEEDDEEESDSDSDEGGELFHLTPCQAEEQAGYAGFSGGAEVVGQAWDEAELGESSSEEDEEEEEDSDVDGEEEVEEEEGLEEGEGGEEEEEEGEEEEEEGEGEEEEEEEEEEEEEEGESKLSTTITANPGGGFGAERFCAAIDSPEVAQWAAAVFHLAETLGLQLGSPDQGELAAMAKLLMAQRYHGKVQQVVGRELPHVTGPPFFALQVHRLGSTDLSQAALRGALLLVRRSEAAMRTDLAKLYKALAQTTVGGKSNATYDCPLGAQGARPKASKGAVLPPLKESGDANACLLVSLRQTAQRPRGDGGCLGAAQDPLFDYWLSTETASFVDQSAYGEAVSPPQEERARWGTTSGGSGESPVAAPSAPAAPTRPAANRARARAVGSCSVVGHRRRFRDLWGNSATFDDMGLEEAIRLAKEKLIIGKVPLGAARIQQFWAILLGELKDYPSLKNTKRCTSAVGYKRESALIARKNKLITSLTSPPINFRRAIEGKRLDPNEEVEQIIRSKERFTLQRRKPGM
+>sp|Q9H3R5|CENPH_HUMAN Centromere protein H OS=Homo sapiens OX=9606 GN=CENPH PE=1 SV=1
+MEEQPQMQDADEPADSGGEGRAGGPPQVAGAQAACSEDRMTLLLRLRAQTKQQLLEYKSMVDASEEKTPEQIMQEKQIEAKIEDLENEIEEVKVAFEIKKLALDRMRLSTALKKNLEKISRQSSVLMDNMKHLLELNKLIMKSQQESWDLEEKLLDIRKKRLQLKQASESKLLEIQTEKNKQKIDLDSMENSERIKIIRQNLQMEIKITTVIQHVFQNLILGSKVNWAEDPALKEIVLQLEKNVDMM
+>DECOY_sp|Q9H3R5|CENPH_HUMAN Centromere protein H OS=Homo sapiens OX=9606 GN=CENPH PE=1 SV=1
+MMDVNKELQLVIEKLAPDEAWNVKSGLILNQFVHQIVTTIKIEMQLNQRIIKIRESNEMSDLDIKQKNKETQIELLKSESAQKLQLRKKRIDLLKEELDWSEQQSKMILKNLELLHKMNDMLVSSQRSIKELNKKLATSLRMRDLALKKIEFAVKVEEIENELDEIKAEIQKEQMIQEPTKEESADVMSKYELLQQKTQARLRLLLTMRDESCAAQAGAVQPPGGARGEGGSDAPEDADQMQPQEEM
+>sp|Q92674|CENPI_HUMAN Centromere protein I OS=Homo sapiens OX=9606 GN=CENPI PE=1 SV=2
+MSPQKRVKNVQAQNRTSQGSSSFQTTLSAWKVKQDPSNSKNISKHGQNNPVGDYEHADDQAEEDALQMAVGYFEKGPIKASQNKDKTLEKHLKTVENVAWKNGLASEEIDILLNIALSGKFGNAVNTRILKCMIPATVISEDSVVKAVSWLCVGKCSGSTKVLFYRWLVAMFDFIDRKEQINLLYGFFFASLQDDALCPYVCHLLYLLTKKENVKPFRVRKLLDLQAKMGMQPHLQALLSLYKFFAPALISVSLPVRKKIYFKNSENLWKTALLAVKQRNRGPSPEPLKLMLGPANVRPLKRKWNSLSVIPVLNSSSYTKECGKKEMSLSDCLNRSGSFPLEQLQSFPQLLQNIHCLELPSQMGSVLNNSLLLHYINCVRDEPVLLRFYYWLSQTLQEECIWYKVNNYEHGKEFTNFLDTIIRAECFLQEGFYSCEAFLYKSLPLWDGLCCRSQFLQLVSWIPFSSFSEVKPLLFDHLAQLFFTSTIYFKCSVLQSLKELLQNWLLWLSMDIHMKPVTNSPLETTLGGSMNSVSKLIHYVGWLSTTAMRLESNNTFLLHFILDFYEKVCDIYINYNLPLVVLFPPGIFYSALLSLDTSILNQLCFIMHRYRKNLTAAKKNELVQKTKSEFNFSSKTYQEFNHYLTSMVGCLWTSKPFGKGIYIDPEILEKTGVAEYKNSLNVVHHPSFLSYAVSFLLQESPEERTVNVSSIRGKKWSWYLDYLFSQGLQGLKLFIRSSVHHSSIPRAEGINCNNQY
+>DECOY_sp|Q92674|CENPI_HUMAN Centromere protein I OS=Homo sapiens OX=9606 GN=CENPI PE=1 SV=2
+YQNNCNIGEARPISSHHVSSRIFLKLGQLGQSFLYDLYWSWKKGRISSVNVTREEPSEQLLFSVAYSLFSPHHVVNLSNKYEAVGTKELIEPDIYIGKGFPKSTWLCGVMSTLYHNFEQYTKSSFNFESKTKQVLENKKAATLNKRYRHMIFCLQNLISTDLSLLASYFIGPPFLVVLPLNYNIYIDCVKEYFDLIFHLLFTNNSELRMATTSLWGVYHILKSVSNMSGGLTTELPSNTVPKMHIDMSLWLLWNQLLEKLSQLVSCKFYITSTFFLQALHDFLLPKVESFSSFPIWSVLQLFQSRCCLGDWLPLSKYLFAECSYFGEQLFCEARIITDLFNTFEKGHEYNNVKYWICEEQLTQSLWYYFRLLVPEDRVCNIYHLLLSNNLVSGMQSPLELCHINQLLQPFSQLQELPFSGSRNLCDSLSMEKKGCEKTYSSSNLVPIVSLSNWKRKLPRVNAPGLMLKLPEPSPGRNRQKVALLATKWLNESNKFYIKKRVPLSVSILAPAFFKYLSLLAQLHPQMGMKAQLDLLKRVRFPKVNEKKTLLYLLHCVYPCLADDQLSAFFFGYLLNIQEKRDIFDFMAVLWRYFLVKTSGSCKGVCLWSVAKVVSDESIVTAPIMCKLIRTNVANGFKGSLAINLLIDIEESALGNKWAVNEVTKLHKELTKDKNQSAKIPGKEFYGVAMQLADEEAQDDAHEYDGVPNNQGHKSINKSNSPDQKVKWASLTTQFSSSGQSTRNQAQVNKVRKQPSM
+>sp|Q13352|CENPR_HUMAN Centromere protein R OS=Homo sapiens OX=9606 GN=ITGB3BP PE=1 SV=2
+MPVKRSLKLDGLLEENSFDPSKITRKKSVITYSPTTGTCQMSLFASPTSSEEQKHRNGLSNEKRKKLNHPSLTESKESTTKDNDEFMMLLSKVEKLSEEIMEIMQNLSSIQALEGSRELENLIGISCASHFLKREMQKTKELMTKVNKQKLFEKSTGLPHKASRHLDSYEFLKAILN
+>DECOY_sp|Q13352|CENPR_HUMAN Centromere protein R OS=Homo sapiens OX=9606 GN=ITGB3BP PE=1 SV=2
+NLIAKLFEYSDLHRSAKHPLGTSKEFLKQKNVKTMLEKTKQMERKLFHSACSIGILNELERSGELAQISSLNQMIEMIEESLKEVKSLLMMFEDNDKTTSEKSETLSPHNLKKRKENSLGNRHKQEESSTPSAFLSMQCTGTTPSYTIVSKKRTIKSPDFSNEELLGDLKLSRKVPM
+>sp|Q5EE01|CENPW_HUMAN Centromere protein W OS=Homo sapiens OX=9606 GN=CENPW PE=1 SV=1
+MALSTIVSQRKQIKRKAPRGFLKRVFKRKKPQLRLEKSGDLLVHLNCLLFVHRLAEESRTNACASKCRVINKEHVLAAAKVILKKSRG
+>DECOY_sp|Q5EE01|CENPW_HUMAN Centromere protein W OS=Homo sapiens OX=9606 GN=CENPW PE=1 SV=1
+GRSKKLIVKAAALVHEKNIVRCKSACANTRSEEALRHVFLLCNLHVLLDGSKELRLQPKKRKFVRKLFGRPAKRKIQKRQSVITSLAM
+>sp|Q5T699|CF183_HUMAN Putative uncharacterized protein C6orf183 OS=Homo sapiens OX=9606 GN=C6orf183 PE=5 SV=3
+MDEIYKITSTERVQLLEKKLAVQLTELKSEIEEQGALQGTANRVYSSIQMPKDIYYFRRERELALKKTLQVAESKPLVVQADAVQRELESCLRREYTPENLPLLLLQYYTERIAQLAQSKYLHMLRWKRFCQHSKIMEQLYPLYKKQVGYIMQEYNDTLQRAERLSVARENFLMGKNNPPNLVTQEDLTIYTKWLVCHLHSLGTVHQYLQALQYLPISKVLSVAFNRVAEAGQKNENVCVNDIDPDIQGSASPDPVDTSISGPMRTEAAFVLPQHATETGELKPQLKLLLSHFSIPYDVEELWDSAKEMELFSLVSQKFQSVFMEQQRLQMFPDCEAGIAKADNLGLAGPRMTLKKKANWISFIKIKPKCDPWQKKLLTKLKERRRTDALLQLQAKFLKISNPE
+>DECOY_sp|Q5T699|CF183_HUMAN Putative uncharacterized protein C6orf183 OS=Homo sapiens OX=9606 GN=C6orf183 PE=5 SV=3
+EPNSIKLFKAQLQLLADTRRREKLKTLLKKQWPDCKPKIKIFSIWNAKKKLTMRPGALGLNDAKAIGAECDPFMQLRQQEMFVSQFKQSVLSFLEMEKASDWLEEVDYPISFHSLLLKLQPKLEGTETAHQPLVFAAETRMPGSISTDVPDPSASGQIDPDIDNVCVNENKQGAEAVRNFAVSLVKSIPLYQLAQLYQHVTGLSHLHCVLWKTYITLDEQTVLNPPNNKGMLFNERAVSLREARQLTDNYEQMIYGVQKKYLPYLQEMIKSHQCFRKWRLMHLYKSQALQAIRETYYQLLLLPLNEPTYERRLCSELERQVADAQVVLPKSEAVQLTKKLALERERRFYYIDKPMQISSYVRNATGQLAGQEEIESKLETLQVALKKELLQVRETSTIKYIEDM
+>sp|O15335|CHAD_HUMAN Chondroadherin OS=Homo sapiens OX=9606 GN=CHAD PE=1 SV=2
+MVRPMLLLSLGLLAGLLPALAACPQNCHCHSDLQHVICDKVGLQKIPKVSEKTKLLNLQRNNFPVLAANSFRAMPNLVSLHLQHCQIREVAAGAFRGLKQLIYLYLSHNDIRVLRAGAFDDLTELTYLYLDHNKVTELPRGLLSPLVNLFILQLNNNKIRELRAGAFQGAKDLRWLYLSENALSSLQPGALDDVENLAKFHVDRNQLSSYPSAALSKLRVVEELKLSHNPLKSIPDNAFQSFGRYLETLWLDNTNLEKFSDGAFLGVTTLKHVHLENNRLNQLPSNFPFDSLETLALTNNPWKCTCQLRGLRRWLEAKASRPDATCASPAKFKGQHIRDTDAFRSCKFPTKRSKKAGRH
+>DECOY_sp|O15335|CHAD_HUMAN Chondroadherin OS=Homo sapiens OX=9606 GN=CHAD PE=1 SV=2
+HRGAKKSRKTPFKCSRFADTDRIHQGKFKAPSACTADPRSAKAELWRRLGRLQCTCKWPNNTLALTELSDFPFNSPLQNLRNNELHVHKLTTVGLFAGDSFKELNTNDLWLTELYRGFSQFANDPISKLPNHSLKLEEVVRLKSLAASPYSSLQNRDVHFKALNEVDDLAGPQLSSLANESLYLWRLDKAGQFAGARLERIKNNNLQLIFLNVLPSLLGRPLETVKNHDLYLYTLETLDDFAGARLVRIDNHSLYLYILQKLGRFAGAAVERIQCHQLHLSVLNPMARFSNAALVPFNNRQLNLLKTKESVKPIKQLGVKDCIVHQLDSHCHCNQPCAALAPLLGALLGLSLLLMPRVM
+>sp|Q96JM3|CHAP1_HUMAN Chromosome alignment-maintaining phosphoprotein 1 OS=Homo sapiens OX=9606 GN=CHAMP1 PE=1 SV=2
+MEAFQELRKPSARLECDHCSFRGTDYENVQIHMGTIHPEFCDEMDAGGLGKMIFYQKSAKLFHCHKCFFTSKMYSNVYYHITSKHASPDKWNDKPKNQLNKETDPVKSPPLPEHQKIPCNSAEPKSIPALSMETQKLGSVLSPESPKPTPLTPLEPQKPGSVVSPELQTPLPSPEPSKPASVSSPEPPKSVPVCESQKLAPVPSPEPQKPAPVSPESVKATLSNPKPQKQSHFPETLGPPSASSPESPVLAASPEPWGPSPAASPESRKSARTTSPEPRKPSPSESPEPWKPFPAVSPEPRRPAPAVSPGSWKPGPPGSPRPWKSNPSASSGPWKPAKPAPSVSPGPWKPIPSVSPGPWKPTPSVSSASWKSSSVSPSSWKSPPASPESWKSGPPELRKTAPTLSPEHWKAVPPVSPELRKPGPPLSPEIRSPAGSPELRKPSGSPDLWKLSPDQRKTSPASLDFPESQKSSRGGSPDLWKSSFFIEPQKPVFPETRKPGPSGPSESPKAASDIWKPVLSIDTEPRKPALFPEPAKTAPPASPEARKRALFPEPRKHALFPELPKSALFSESQKAVELGDELQIDAIDDQKCDILVQEELLASPKKLLEDTLFPSSKKLKKDNQESSDAELSSSEYIKTDLDAMDIKGQESSSDQEQVDVESIDFSKENKMDMTSPEQSRNVLQFTEEKEAFISEEEIAKYMKRGKGKYYCKICCCRAMKKGAVLHHLVNKHNVHSPYKCTICGKAFLLESLLKNHVAAHGQSLLKCPRCNFESNFPRGFKKHLTHCQSRHNEEANKKLMEALEPPLEEQQI
+>DECOY_sp|Q96JM3|CHAP1_HUMAN Chromosome alignment-maintaining phosphoprotein 1 OS=Homo sapiens OX=9606 GN=CHAMP1 PE=1 SV=2
+IQQEELPPELAEMLKKNAEENHRSQCHTLHKKFGRPFNSEFNCRPCKLLSQGHAAVHNKLLSELLFAKGCITCKYPSHVNHKNVLHHLVAGKKMARCCCIKCYYKGKGRKMYKAIEEESIFAEKEETFQLVNRSQEPSTMDMKNEKSFDISEVDVQEQDSSSEQGKIDMADLDTKIYESSSLEADSSEQNDKKLKKSSPFLTDELLKKPSALLEEQVLIDCKQDDIADIQLEDGLEVAKQSESFLASKPLEPFLAHKRPEPFLARKRAEPSAPPATKAPEPFLAPKRPETDISLVPKWIDSAAKPSESPGSPGPKRTEPFVPKQPEIFFSSKWLDPSGGRSSKQSEPFDLSAPSTKRQDPSLKWLDPSGSPKRLEPSGAPSRIEPSLPPGPKRLEPSVPPVAKWHEPSLTPATKRLEPPGSKWSEPSAPPSKWSSPSVSSSKWSASSVSPTPKWPGPSVSPIPKWPGPSVSPAPKAPKWPGSSASPNSKWPRPSGPPGPKWSGPSVAPAPRRPEPSVAPFPKWPEPSESPSPKRPEPSTTRASKRSEPSAAPSPGWPEPSAALVPSEPSSASPPGLTEPFHSQKQPKPNSLTAKVSEPSVPAPKQPEPSPVPALKQSECVPVSKPPEPSSVSAPKSPEPSPLPTQLEPSVVSGPKQPELPTLPTPKPSEPSLVSGLKQTEMSLAPISKPEASNCPIKQHEPLPPSKVPDTEKNLQNKPKDNWKDPSAHKSTIHYYVNSYMKSTFFCKHCHFLKASKQYFIMKGLGGADMEDCFEPHITGMHIQVNEYDTGRFSCHDCELRASPKRLEQFAEM
+>sp|Q96BP2|CHCH1_HUMAN Coiled-coil-helix-coiled-coil-helix domain-containing protein 1 OS=Homo sapiens OX=9606 GN=CHCHD1 PE=1 SV=1
+MATPSLRGRLARFGNPRKPVLKPNKPLILANRVGERRREKGEATCITEMSVMMACWKQNEFRDDACRKEIQGFLDCAARAQEARKMRSIQETLGESGSLLPNKLNKLLQRFPNKPYLS
+>DECOY_sp|Q96BP2|CHCH1_HUMAN Coiled-coil-helix-coiled-coil-helix domain-containing protein 1 OS=Homo sapiens OX=9606 GN=CHCHD1 PE=1 SV=1
+SLYPKNPFRQLLKNLKNPLLSGSEGLTEQISRMKRAEQARAACDLFGQIEKRCADDRFENQKWCAMMVSMETICTAEGKERRREGVRNALILPKNPKLVPKRPNGFRALRGRLSPTAM
+>sp|Q9Y6H1|CHCH2_HUMAN Coiled-coil-helix-coiled-coil-helix domain-containing protein 2 OS=Homo sapiens OX=9606 GN=CHCHD2 PE=1 SV=1
+MPRGSRSRTSRMAPPASRAPQMRAAPRPAPVAQPPAAAPPSAVGSSAAAPRQPGLMAQMATTAAGVAVGSAVGHTLGHAITGGFSGGSNAEPARPDITYQEPQGTQPAQQQQPCLYEIKQFLECAQNQGDIKLCEGFNEVLKQCRLANGLA
+>DECOY_sp|Q9Y6H1|CHCH2_HUMAN Coiled-coil-helix-coiled-coil-helix domain-containing protein 2 OS=Homo sapiens OX=9606 GN=CHCHD2 PE=1 SV=1
+ALGNALRCQKLVENFGECLKIDGQNQACELFQKIEYLCPQQQQAPQTGQPEQYTIDPRAPEANSGGSFGGTIAHGLTHGVASGVAVGAATTAMQAMLGPQRPAAASSGVASPPAAAPPQAVPAPRPAARMQPARSAPPAMRSTRSRSGRPM
+>sp|Q8NE62|CHDH_HUMAN Choline dehydrogenase, mitochondrial OS=Homo sapiens OX=9606 GN=CHDH PE=1 SV=2
+MWCLLRGLGRPGALARGALGQQQSLGARALASAGSESRDEYSYVVVGAGSAGCVLAGRLTEDPAERVLLLEAGPKDVLAGSKRLSWKIHMPAALVANLCDDRYNWCYHTEVQRGLDGRVLYWPRGRVWGGSSSLNAMVYVRGHAEDYERWQRQGARGWDYAHCLPYFRKAQGHELGASRYRGADGPLRVSRGKTNHPLHCAFLEATQQAGYPLTEDMNGFQQEGFGWMDMTIHEGKRWSAACAYLHPALSRTNLKAEAETLVSRVLFEGTRAVGVEYVKNGQSHRAYASKEVILSGGAINSPQLLMLSGIGNADDLKKLGIPVVCHLPGVGQNLQDHLEIYIQQACTRPITLHSAQKPLRKVCIGLEWLWKFTGEGATAHLETGGFIRSQPGVPHPDIQFHFLPSQVIDHGRVPTQQEAYQVHVGPMRGTSVGWLKLRSANPQDHPVIQPNYLSTETDIEDFRLCVKLTREIFAQEALAPFRGKELQPGSHIQSDKEIDAFVRAKADSAYHPSCTCKMGQPSDPTAVVDPQTRVLGVENLRVVDASIMPSMVSGNLNAPTIMIAEKAADIIKGQPALWDKDVPVYKPRTLATQR
+>DECOY_sp|Q8NE62|CHDH_HUMAN Choline dehydrogenase, mitochondrial OS=Homo sapiens OX=9606 GN=CHDH PE=1 SV=2
+RQTALTRPKYVPVDKDWLAPQGKIIDAAKEAIMITPANLNGSVMSPMISADVVRLNEVGLVRTQPDVVATPDSPQGMKCTCSPHYASDAKARVFADIEKDSQIHSGPQLEKGRFPALAEQAFIERTLKVCLRFDEIDTETSLYNPQIVPHDQPNASRLKLWGVSTGRMPGVHVQYAEQQTPVRGHDIVQSPLFHFQIDPHPVGPQSRIFGGTELHATAGEGTFKWLWELGICVKRLPKQASHLTIPRTCAQQIYIELHDQLNQGVGPLHCVVPIGLKKLDDANGIGSLMLLQPSNIAGGSLIVEKSAYARHSQGNKVYEVGVARTGEFLVRSVLTEAEAKLNTRSLAPHLYACAASWRKGEHITMDMWGFGEQQFGNMDETLPYGAQQTAELFACHLPHNTKGRSVRLPGDAGRYRSAGLEHGQAKRFYPLCHAYDWGRAGQRQWREYDEAHGRVYVMANLSSSGGWVRGRPWYLVRGDLGRQVETHYCWNYRDDCLNAVLAAPMHIKWSLRKSGALVDKPGAELLLVREAPDETLRGALVCGASGAGVVVYSYEDRSESGASALARAGLSQQQGLAGRALAGPRGLGRLLCWM
+>sp|O14757|CHK1_HUMAN Serine/threonine-protein kinase Chk1 OS=Homo sapiens OX=9606 GN=CHEK1 PE=1 SV=2
+MAVPFVEDWDLVQTLGEGAYGEVQLAVNRVTEEAVAVKIVDMKRAVDCPENIKKEICINKMLNHENVVKFYGHRREGNIQYLFLEYCSGGELFDRIEPDIGMPEPDAQRFFHQLMAGVVYLHGIGITHRDIKPENLLLDERDNLKISDFGLATVFRYNNRERLLNKMCGTLPYVAPELLKRREFHAEPVDVWSCGIVLTAMLAGELPWDQPSDSCQEYSDWKEKKTYLNPWKKIDSAPLALLHKILVENPSARITIPDIKKDRWYNKPLKKGAKRPRVTSGGVSESPSGFSKHIQSNLDFSPVNSASSEENVKYSSSQPEPRTGLSLWDTSPSYIDKLVQGISFSQPTCPDHMLLNSQLLGTPGSSQNPWQRLVKRMTRFFTKLDADKSYQCLKETCEKLGYQWKKSCMNQVTISTTDRRNNKLIFKVNLLEMDDKILVDFRLSKGDGLEFKRHFLKIKGKLIDIVSSQKIWLPAT
+>DECOY_sp|O14757|CHK1_HUMAN Serine/threonine-protein kinase Chk1 OS=Homo sapiens OX=9606 GN=CHEK1 PE=1 SV=2
+TAPLWIKQSSVIDILKGKIKLFHRKFELGDGKSLRFDVLIKDDMELLNVKFILKNNRRDTTSITVQNMCSKKWQYGLKECTEKLCQYSKDADLKTFFRTMRKVLRQWPNQSSGPTGLLQSNLLMHDPCTPQSFSIGQVLKDIYSPSTDWLSLGTRPEPQSSSYKVNEESSASNVPSFDLNSQIHKSFGSPSESVGGSTVRPRKAGKKLPKNYWRDKKIDPITIRASPNEVLIKHLLALPASDIKKWPNLYTKKEKWDSYEQCSDSPQDWPLEGALMATLVIGCSWVDVPEAHFERRKLLEPAVYPLTGCMKNLLRERNNYRFVTALGFDSIKLNDREDLLLNEPKIDRHTIGIGHLYVVGAMLQHFFRQADPEPMGIDPEIRDFLEGGSCYELFLYQINGERRHGYFKVVNEHNLMKNICIEKKINEPCDVARKMDVIKVAVAEETVRNVALQVEGYAGEGLTQVLDWDEVFPVAM
+>sp|P35790|CHKA_HUMAN Choline kinase alpha OS=Homo sapiens OX=9606 GN=CHKA PE=1 SV=3
+MKTKFCTGGEAEPSPLGLLLSCGSGSAAPAPGVGQQRDAASDLESKQLGGQQPPLALPPPPPLPLPLPLPQPPPPQPPADEQPEPRTRRRAYLWCKEFLPGAWRGLREDEFHISVIRGGLSNMLFQCSLPDTTATLGDEPRKVLLRLYGAILQMRSCNKEGSEQAQKENEFQGAEAMVLESVMFAILAERSLGPKLYGIFPQGRLEQFIPSRRLDTEELSLPDISAEIAEKMATFHGMKMPFNKEPKWLFGTMEKYLKEVLRIKFTEESRIKKLHKLLSYNLPLELENLRSLLESTPSPVVFCHNDCQEGNILLLEGRENSEKQKLMLIDFEYSSYNYRGFDIGNHFCEWMYDYSYEKYPFFRANIRKYPTKKQQLHFISSYLPAFQNDFENLSTEEKSIIKEEMLLEVNRFALASHFLWGLWSIVQAKISSIEFGYMDYAQARFDAYFHQKRKLGV
+>DECOY_sp|P35790|CHKA_HUMAN Choline kinase alpha OS=Homo sapiens OX=9606 GN=CHKA PE=1 SV=3
+VGLKRKQHFYADFRAQAYDMYGFEISSIKAQVISWLGWLFHSALAFRNVELLMEEKIISKEETSLNEFDNQFAPLYSSIFHLQQKKTPYKRINARFFPYKEYSYDYMWECFHNGIDFGRYNYSSYEFDILMLKQKESNERGELLLINGEQCDNHCFVVPSPTSELLSRLNELELPLNYSLLKHLKKIRSEETFKIRLVEKLYKEMTGFLWKPEKNFPMKMGHFTAMKEAIEASIDPLSLEETDLRRSPIFQELRGQPFIGYLKPGLSREALIAFMVSELVMAEAGQFENEKQAQESGEKNCSRMQLIAGYLRLLVKRPEDGLTATTDPLSCQFLMNSLGGRIVSIHFEDERLGRWAGPLFEKCWLYARRRTRPEPQEDAPPQPPPPQPLPLPLPLPPPPPLALPPQQGGLQKSELDSAADRQQGVGPAPAASGSGCSLLLGLPSPEAEGGTCFKTKM
+>sp|Q9Y3E7|CHMP3_HUMAN Charged multivesicular body protein 3 OS=Homo sapiens OX=9606 GN=CHMP3 PE=1 SV=3
+MGLFGKTQEKPPKELVNEWSLKIRKEMRVVDRQIRDIQREEEKVKRSVKDAAKKGQKDVCIVLAKEMIRSRKAVSKLYASKAHMNSVLMGMKNQLAVLRVAGSLQKSTEVMKAMQSLVKIPEIQATMRELSKEMMKAGIIEEMLEDTFESMDDQEEMEEEAEMEIDRILFEITAGALGKAPSKVTDALPEPEPPGAMAASEDEEEEEEALEAMQSRLATLRS
+>DECOY_sp|Q9Y3E7|CHMP3_HUMAN Charged multivesicular body protein 3 OS=Homo sapiens OX=9606 GN=CHMP3 PE=1 SV=3
+SRLTALRSQMAELAEEEEEEDESAAMAGPPEPEPLADTVKSPAKGLAGATIEFLIRDIEMEAEEEMEEQDDMSEFTDELMEEIIGAKMMEKSLERMTAQIEPIKVLSQMAKMVETSKQLSGAVRLVALQNKMGMLVSNMHAKSAYLKSVAKRSRIMEKALVICVDKQGKKAADKVSRKVKEEERQIDRIQRDVVRMEKRIKLSWENVLEKPPKEQTKGFLGM
+>sp|Q96FZ7|CHMP6_HUMAN Charged multivesicular body protein 6 OS=Homo sapiens OX=9606 GN=CHMP6 PE=1 SV=3
+MGNLFGRKKQSRVTEQDKAILQLKQQRDKLRQYQKRIAQQLERERALARQLLRDGRKERAKLLLKKKRYQEQLLDRTENQISSLEAMVQSIEFTQIEMKVMEGLQFGNECLNKMHQVMSIEEVERILDETQEAVEYQRQIDELLAGSFTQEDEDAILEELSAITQEQIELPEVPSEPLPEKIPENVPVKARPRQAELVAAS
+>DECOY_sp|Q96FZ7|CHMP6_HUMAN Charged multivesicular body protein 6 OS=Homo sapiens OX=9606 GN=CHMP6 PE=1 SV=3
+SAAVLEAQRPRAKVPVNEPIKEPLPESPVEPLEIQEQTIASLEELIADEDEQTFSGALLEDIQRQYEVAEQTEDLIREVEEISMVQHMKNLCENGFQLGEMVKMEIQTFEISQVMAELSSIQNETRDLLQEQYRKKKLLLKAREKRGDRLLQRALARERELQQAIRKQYQRLKDRQQKLQLIAKDQETVRSQKKRGFLNGM
+>sp|O43745|CHP2_HUMAN Calcineurin B homologous protein 2 OS=Homo sapiens OX=9606 GN=CHP2 PE=1 SV=3
+MGSRSSHAAVIPDGDSIRRETGFSQASLLRLHHRFRALDRNKKGYLSRMDLQQIGALAVNPLGDRIIESFFPDGSQRVDFPGFVRVLAHFRPVEDEDTETQDPKKPEPLNSRRNKLHYAFQLYDLDRDGKISRHEMLQVLRLMVGVQVTEEQLENIADRTVQEADEDGDGAVSFVEFTKSLEKMDVEQKMSIRILK
+>DECOY_sp|O43745|CHP2_HUMAN Calcineurin B homologous protein 2 OS=Homo sapiens OX=9606 GN=CHP2 PE=1 SV=3
+KLIRISMKQEVDMKELSKTFEVFSVAGDGDEDAEQVTRDAINELQEETVQVGVMLRLVQLMEHRSIKGDRDLDYLQFAYHLKNRRSNLPEPKKPDQTETDEDEVPRFHALVRVFGPFDVRQSGDPFFSEIIRDGLPNVALAGIQQLDMRSLYGKKNRDLARFRHHLRLLSAQSFGTERRISDGDPIVAAHSSRSGM
+>sp|Q14019|COTL1_HUMAN Coactosin-like protein OS=Homo sapiens OX=9606 GN=COTL1 PE=1 SV=3
+MATKIDKEACRAAYNLVRDDGSAVIWVTFKYDGSTIVPGEQGAEYQHFIQQCTDDVRLFAFVRFTTGDAMSKRSKFALITWIGENVSGLQRAKTGTDKTLVKEVVQNFAKEFVISDRKELEEDFIKSELKKAGGANYDAQTE
+>DECOY_sp|Q14019|COTL1_HUMAN Coactosin-like protein OS=Homo sapiens OX=9606 GN=COTL1 PE=1 SV=3
+ETQADYNAGGAKKLESKIFDEELEKRDSIVFEKAFNQVVEKVLTKDTGTKARQLGSVNEGIWTILAFKSRKSMADGTTFRVFAFLRVDDTCQQIFHQYEAGQEGPVITSGDYKFTVWIVASGDDRVLNYAARCAEKDIKTAM
+>sp|P20674|COX5A_HUMAN Cytochrome c oxidase subunit 5A, mitochondrial OS=Homo sapiens OX=9606 GN=COX5A PE=1 SV=2
+MLGAALRRCAVAATTRADPRGLLHSARTPGPAVAIQSVRCYSHGSQETDEEFDARWVTYFNKPDIDAWELRKGINTLVTYDMVPEPKIIDAALRACRRLNDFASTVRILEVVKDKAGPHKEIYPYVIQELRPTLNELGISTPEELGLDKV
+>DECOY_sp|P20674|COX5A_HUMAN Cytochrome c oxidase subunit 5A, mitochondrial OS=Homo sapiens OX=9606 GN=COX5A PE=1 SV=2
+VKDLGLEEPTSIGLENLTPRLEQIVYPYIEKHPGAKDKVVELIRVTSAFDNLRRCARLAADIIKPEPVMDYTVLTNIGKRLEWADIDPKNFYTVWRADFEEDTEQSGHSYCRVSQIAVAPGPTRASHLLGRPDARTTAAVACRRLAAGLM
+>sp|P10606|COX5B_HUMAN Cytochrome c oxidase subunit 5B, mitochondrial OS=Homo sapiens OX=9606 GN=COX5B PE=1 SV=2
+MASRLLRGAGTLAAQALRARGPSGAAAMRSMASGGGVPTDEEQATGLEREIMLAAKKGLDPYNVLAPKGASGTREDPNLVPSISNKRIVGCICEEDNTSVVWFWLHKGEAQRCPRCGAHYKLVPQQLAH
+>DECOY_sp|P10606|COX5B_HUMAN Cytochrome c oxidase subunit 5B, mitochondrial OS=Homo sapiens OX=9606 GN=COX5B PE=1 SV=2
+HALQQPVLKYHAGCRPCRQAEGKHLWFWVVSTNDEECICGVIRKNSISPVLNPDERTGSAGKPALVNYPDLGKKAALMIERELGTAQEEDTPVGGGSAMSRMAAAGSPGRARLAQAALTGAGRLLRSAM
+>sp|Q8WVH0|CPLX3_HUMAN Complexin-3 OS=Homo sapiens OX=9606 GN=CPLX3 PE=2 SV=1
+MAFMVKTMVGGQLKNLTGSLGGGEDKGDGDKSAAEAQGMSREEYEEYQKQLVEEKMERDAQFTQRKAERATLRSHFRDKYRLPKNETDESQIQMAGGDVELPRELAKMIEEDTEEEEEKASVLGQLASLPGLNLGSLKDKAQATLGDLKQSAEKCHVM
+>DECOY_sp|Q8WVH0|CPLX3_HUMAN Complexin-3 OS=Homo sapiens OX=9606 GN=CPLX3 PE=2 SV=1
+MVHCKEASQKLDGLTAQAKDKLSGLNLGPLSALQGLVSAKEEEEETDEEIMKALERPLEVDGGAMQIQSEDTENKPLRYKDRFHSRLTAREAKRQTFQADREMKEEVLQKQYEEYEERSMGQAEAASKDGDGKDEGGGLSGTLNKLQGGVMTKVMFAM
+>sp|Q96A23|CPNE4_HUMAN Copine-4 OS=Homo sapiens OX=9606 GN=CPNE4 PE=1 SV=1
+MKKMSNIYESAANTLGIFNSPCLTKVELRVACKGISDRDALSKPDPCVILKMQSHGQWFEVDRTEVIRTCINPVYSKLFTVDFYFEEVQRLRFEVHDISSNHNGLKEADFLGGMECTLGQIVSQRKLSKSLLKHGNTAGKSSITVIAEELSGNDDYVELAFNARKLDDKDFFSKSDPFLEIFRMNDDATQQLVHRTEVVMNNLSPAWKSFKVSVNSLCSGDPDRRLKCIVWDWDSNGKHDFIGEFTSTFKEMRGAMEGKQVQWECINPKYKAKKKNYKNSGTVILNLCKIHKMHSFLDYIMGGCQIQFTVAIDFTASNGDPRNSCSLHYIHPYQPNEYLKALVAVGEICQDYDSDKMFPAFGFGARIPPEYTVSHDFAINFNEDNPECAGIQGVVEAYQSCLPKLQLYGPTNIAPIIQKVAKSASEETNTKEASQYFILLILTDGVITDMADTREAIVHASHLPMSVIIVGVGNADFSDMQMLDGDDGILRSPKGEPVLRDIVQFVPFRNFKHASPAALAKSVLAEVPNQVVDYYNGKGIKPKCSSEMYESSRTLAP
+>DECOY_sp|Q96A23|CPNE4_HUMAN Copine-4 OS=Homo sapiens OX=9606 GN=CPNE4 PE=1 SV=1
+PALTRSSEYMESSCKPKIGKGNYYDVVQNPVEALVSKALAAPSAHKFNRFPVFQVIDRLVPEGKPSRLIGDDGDLMQMDSFDANGVGVIIVSMPLHSAHVIAERTDAMDTIVGDTLILLIFYQSAEKTNTEESASKAVKQIIPAINTPGYLQLKPLCSQYAEVVGQIGACEPNDENFNIAFDHSVTYEPPIRAGFGFAPFMKDSDYDQCIEGVAVLAKLYENPQYPHIYHLSCSNRPDGNSATFDIAVTFQIQCGGMIYDLFSHMKHIKCLNLIVTGSNKYNKKKAKYKPNICEWQVQKGEMAGRMEKFTSTFEGIFDHKGNSDWDWVICKLRRDPDGSCLSNVSVKFSKWAPSLNNMVVETRHVLQQTADDNMRFIELFPDSKSFFDKDDLKRANFALEVYDDNGSLEEAIVTISSKGATNGHKLLSKSLKRQSVIQGLTCEMGGLFDAEKLGNHNSSIDHVEFRLRQVEEFYFDVTFLKSYVPNICTRIVETRDVEFWQGHSQMKLIVCPDPKSLADRDSIGKCAVRLEVKTLCPSNFIGLTNAASEYINSMKKM
+>sp|O95741|CPNE6_HUMAN Copine-6 OS=Homo sapiens OX=9606 GN=CPNE6 PE=1 SV=3
+MSDPEMGWVPEPPTMTLGASRVELRVSCHGLLDRDTLTKPHPCVLLKLYSDEQWVEVERTEVLRSCSSPVFSRVLALEYFFEEKQPLQFHVFDAEDGATSPRNDTFLGSTECTLGQIVSQTKVTKPLLLKNGKTAGKSTITIVAEEVSGTNDYVQLTFRAYKLDNKDLFSKSDPFMEIYKTNEDQSDQLVWRTEVVKNNLNPSWEPFRLSLHSLCSCDVHRPLKFLVYDYDSSGKHDFIGEFTSTFQEMQEGTANPGQEMQWDCINPKYRDKKKNYKSSGTVVLAQCTVEKVHTFLDYIMGGCQISFTVAIDFTASNGDPRSSQSLHCLSPRQPNHYLQALRAVGGICQDYDSDKRFPAFGFGARIPPNFEVSHDFAINFDPENPECEEISGVIASYRRCLPQIQLYGPTNVAPIINRVAEPAQREQSTGQATKYSVLLVLTDGVVSDMAETRTAIVRASRLPMSIIIVGVGNADFSDMRLLDGDDGPLRCPRGVPAARDIVQFVPFRDFKDAAPSALAKCVLAEVPRQVVEYYASQGISPGAPRPCTLATTPSPSP
+>DECOY_sp|O95741|CPNE6_HUMAN Copine-6 OS=Homo sapiens OX=9606 GN=CPNE6 PE=1 SV=3
+PSPSPTTALTCPRPAGPSIGQSAYYEVVQRPVEALVCKALASPAADKFDRFPVFQVIDRAAPVGRPCRLPGDDGDLLRMDSFDANGVGVIIISMPLRSARVIATRTEAMDSVVGDTLVLLVSYKTAQGTSQERQAPEAVRNIIPAVNTPGYLQIQPLCRRYSAIVGSIEECEPNEPDFNIAFDHSVEFNPPIRAGFGFAPFRKDSDYDQCIGGVARLAQLYHNPQRPSLCHLSQSSRPDGNSATFDIAVTFSIQCGGMIYDLFTHVKEVTCQALVVTGSSKYNKKKDRYKPNICDWQMEQGPNATGEQMEQFTSTFEGIFDHKGSSDYDYVLFKLPRHVDCSCLSHLSLRFPEWSPNLNNKVVETRWVLQDSQDENTKYIEMFPDSKSFLDKNDLKYARFTLQVYDNTGSVEEAVITITSKGATKGNKLLLPKTVKTQSVIQGLTCETSGLFTDNRPSTAGDEADFVHFQLPQKEEFFYELALVRSFVPSSCSRLVETREVEVWQEDSYLKLLVCPHPKTLTDRDLLGHCSVRLEVRSAGLTMTPPEPVWGMEPDSM
+>sp|O95639|CPSF4_HUMAN Cleavage and polyadenylation specificity factor subunit 4 OS=Homo sapiens OX=9606 GN=CPSF4 PE=1 SV=1
+MQEIIASVDHIKFDLEIAVEQQLGAQPLPFPGMDKSGAAVCEFFLKAACGKGGMCPFRHISGEKTVVCKHWLRGLCKKGDQCEFLHEYDMTKMPECYFYSKFGECSNKECPFLHIDPESKIKDCPWYDRGFCKHGPLCRHRHTRRVICVNYLVGFCPEGPSCKFMHPRFELPMGTTEQPPLPQQTQPPAKQSNNPPLQRSSSLIQLTSQNSSPNQQRTPQVIGVMQSQNSSAGNRGPRPLEQVTCYKCGEKGHYANRCTKGHLAFLSGQ
+>DECOY_sp|O95639|CPSF4_HUMAN Cleavage and polyadenylation specificity factor subunit 4 OS=Homo sapiens OX=9606 GN=CPSF4 PE=1 SV=1
+QGSLFALHGKTCRNAYHGKEGCKYCTVQELPRPGRNGASSNQSQMVGIVQPTRQQNPSSNQSTLQILSSSRQLPPNNSQKAPPQTQQPLPPQETTGMPLEFRPHMFKCSPGEPCFGVLYNVCIVRRTHRHRCLPGHKCFGRDYWPCDKIKSEPDIHLFPCEKNSCEGFKSYFYCEPMKTMDYEHLFECQDGKKCLGRLWHKCVVTKEGSIHRFPCMGGKGCAAKLFFECVAAGSKDMGPFPLPQAGLQQEVAIELDFKIHDVSAIIEQM
+>sp|Q8N684|CPSF7_HUMAN Cleavage and polyadenylation specificity factor subunit 7 OS=Homo sapiens OX=9606 GN=CPSF7 PE=1 SV=1
+MSEGVDLIDIYADEEFNQDPEFNNTDQIDLYDDVLTATSQPSDDRSSSTEPPPPVRQEPSPKPNNKTPAILYTYSGLRNRRAAVYVGSFSWWTTDQQLIQVIRSIGVYDVVELKFAENRANGQSKGYAEVVVASENSVHKLLELLPGKVLNGEKVDVRPATRQNLSQFEAQARKRECVRVPRGGIPPRAHSRDSSDSADGRATPSENLVPSSARVDKPPSVLPYFNRPPSALPLMGLPPPPIPPPPPLSSSFGVPPPPPGIHYQHLMPPPPRLPPHLAVPPPGAIPPALHLNPAFFPPPNATVGPPPDTYMKASAPYNHHGSRDSGPPPSTVSEAEFEDIMKRNRAISSSAISKAVSGASAGDYSDAIETLLTAIAVIKQSRVANDERCRVLISSLKDCLHGIEAKSYSVGASGSSSRKRHRSRERSPSRSRESSRRHRDLLHNEDRHDDYFQERNREHERHRDRERDRHH
+>DECOY_sp|Q8N684|CPSF7_HUMAN Cleavage and polyadenylation specificity factor subunit 7 OS=Homo sapiens OX=9606 GN=CPSF7 PE=1 SV=1
+HHRDRERDRHREHERNREQFYDDHRDENHLLDRHRRSSERSRSPSRERSRHRKRSSSGSAGVSYSKAEIGHLCDKLSSILVRCREDNAVRSQKIVAIATLLTEIADSYDGASAGSVAKSIASSSIARNRKMIDEFEAESVTSPPPGSDRSGHHNYPASAKMYTDPPPGVTANPPPFFAPNLHLAPPIAGPPPVALHPPLRPPPPMLHQYHIGPPPPPVGFSSSLPPPPPIPPPPLGMLPLASPPRNFYPLVSPPKDVRASSPVLNESPTARGDASDSSDRSHARPPIGGRPVRVCERKRAQAEFQSLNQRTAPRVDVKEGNLVKGPLLELLKHVSNESAVVVEAYGKSQGNARNEAFKLEVVDYVGISRIVQILQQDTTWWSFSGVYVAARRNRLGSYTYLIAPTKNNPKPSPEQRVPPPPETSSSRDDSPQSTATLVDDYLDIQDTNNFEPDQNFEEDAYIDILDVGESM
+>sp|Q8TCG5|CPT1C_HUMAN Carnitine O-palmitoyltransferase 1, brain isoform OS=Homo sapiens OX=9606 GN=CPT1C PE=1 SV=1
+MAEAHQAVGFRPSLTSDGAEVELSAPVLQEIYLSGLRSWKRHLSRFWNDFLTGVFPASPLSWLFLFSAIQLAWFLQLDPSLGLMEKIKELLPDWGGQHHGLRGVLAAALFASCLWGALIFTLHVALRLLLSYHGWLLEPHGAMSSPTKTWLALVRIFSGRHPMLFSYQRSLPRQPVPSVQDTVRKYLESVRPILSDEDFDWTAVLAQEFLRLQASLLQWYLRLKSWWASNYVSDWWEEFVYLRSRNPLMVNSNYYMMDFLYVTPTPLQAARAGNAVHALLLYRHRLNRQEIPPTLLMGMRPLCSAQYEKIFNTTRIPGVQKDYIRHLHDSQHVAVFHRGRFFRMGTHSRNSLLSPRALEQQFQRILDDPSPACPHEEHLAALTAAPRGTWAQVRTSLKTQAAEALEAVEGAAFFVSLDAEPAGLTREDPAASLDAYAHALLAGRGHDRWFDKSFTLIVFSNGKLGLSVEHSWADCPISGHMWEFTLATECFQLGYSTDGHCKGHPDPTLPQPQRLQWDLPDQIHSSISLALRGAKILSENVDCHVVPFSLFGKSFIRRCHLSSDSFIQIALQLAHFRDRGQFCLTYESAMTRLFLEGRTETVRSCTREACNFVRAMEDKEKTDPQCLALFRVAVDKHQALLKAAMSGQGVDRHLFALYIVSRFLHLQSPFLTQVHSEQWQLSTSQIPVQQMHLFDVHNYPDYVSSGGGFGPADDHGYGVSYIFMGDGMITFHISSKKSSTKTDSHRLGQHIEDALLDVASLFQAGQHFKRRFRGSGKENSRHRCGFLSRQTGASKASMTSTDF
+>DECOY_sp|Q8TCG5|CPT1C_HUMAN Carnitine O-palmitoyltransferase 1, brain isoform OS=Homo sapiens OX=9606 GN=CPT1C PE=1 SV=1
+FDTSTMSAKSAGTQRSLFGCRHRSNEKGSGRFRRKFHQGAQFLSAVDLLADEIHQGLRHSDTKTSSKKSSIHFTIMGDGMFIYSVGYGHDDAPGFGGGSSVYDPYNHVDFLHMQQVPIQSTSLQWQESHVQTLFPSQLHLFRSVIYLAFLHRDVGQGSMAAKLLAQHKDVAVRFLALCQPDTKEKDEMARVFNCAERTCSRVTETRGELFLRTMASEYTLCFQGRDRFHALQLAIQIFSDSSLHCRRIFSKGFLSFPVVHCDVNESLIKAGRLALSISSHIQDPLDWQLRQPQPLTPDPHGKCHGDTSYGLQFCETALTFEWMHGSIPCDAWSHEVSLGLKGNSFVILTFSKDFWRDHGRGALLAHAYADLSAAPDERTLGAPEADLSVFFAAGEVAELAEAAQTKLSTRVQAWTGRPAATLAALHEEHPCAPSPDDLIRQFQQELARPSLLSNRSHTGMRFFRGRHFVAVHQSDHLHRIYDKQVGPIRTTNFIKEYQASCLPRMGMLLTPPIEQRNLRHRYLLLAHVANGARAAQLPTPTVYLFDMMYYNSNVMLPNRSRLYVFEEWWDSVYNSAWWSKLRLYWQLLSAQLRLFEQALVATWDFDEDSLIPRVSELYKRVTDQVSPVPQRPLSRQYSFLMPHRGSFIRVLALWTKTPSSMAGHPELLWGHYSLLLRLAVHLTFILAGWLCSAFLAAALVGRLGHHQGGWDPLLEKIKEMLGLSPDLQLFWALQIASFLFLWSLPSAPFVGTLFDNWFRSLHRKWSRLGSLYIEQLVPASLEVEAGDSTLSPRFGVAQHAEAM
+>sp|A8MQB3|CQ051_HUMAN Uncharacterized protein C17orf51 OS=Homo sapiens OX=9606 GN=C17orf51 PE=2 SV=1
+MGEKSRRKGPAPRHADGKLGRTCDHPYAPWSFTPSSRAPTAWVRPPCPVWASRLQEHSPEPRRARAPPTRRAQAALYAPALRLRDHLDRFSILMTSCTSWLQAPQAPGLCRDEQSSRISVPQLSGAPILLPDLEGTKLSNFQESSPLPHKHERKDKRSTPEEEGRSAPEKIIQSLKLCPGGHRPASLSSGCPAGCRLSFNLPPSMLLSVQKCCMPSSLKTC
+>DECOY_sp|A8MQB3|CQ051_HUMAN Uncharacterized protein C17orf51 OS=Homo sapiens OX=9606 GN=C17orf51 PE=2 SV=1
+CTKLSSPMCCKQVSLLMSPPLNFSLRCGAPCGSSLSAPRHGGPCLKLSQIIKEPASRGEEEPTSRKDKREHKHPLPSSEQFNSLKTGELDPLLIPAGSLQPVSIRSSQEDRCLGPAQPAQLWSTCSTMLISFRDLHDRLRLAPAYLAAQARRTPPARARRPEPSHEQLRSAWVPCPPRVWATPARSSPTFSWPAYPHDCTRGLKGDAHRPAPGKRRSKEGM
+>sp|Q2M2W7|CQ058_HUMAN UPF0450 protein C17orf58 OS=Homo sapiens OX=9606 GN=C17orf58 PE=3 SV=2
+MNRLYLTPDGFFFRVHMLALDSSSCNKPCPEFKPGSRYIVMGHIYHKRRQLPTALLQVLRGRLRPGDGLLRSSSSYVKRFNRKREGQIQGAIHTQCI
+>DECOY_sp|Q2M2W7|CQ058_HUMAN UPF0450 protein C17orf58 OS=Homo sapiens OX=9606 GN=C17orf58 PE=3 SV=2
+ICQTHIAGQIQGERKRNFRKVYSSSSRLLGDGPRLRGRLVQLLATPLQRRKHYIHGMVIYRSGPKFEPCPKNCSSSDLALMHVRFFFGDPTLYLRNM
+>sp|Q86X59|CQ082_HUMAN Putative uncharacterized protein C17orf82 OS=Homo sapiens OX=9606 GN=C17orf82 PE=1 SV=2
+MGRPLEGQPLRALDLYPEPAFLRSGKDPKSSPASSPSFAVLGPEVRSTGGQAGSRRRPSAPCSQDRAAAEGAPALLGGSPSSGSPGHPPGSAFGVEAGCRALNVSEHARGGFALGLPFGLSGGAYLFLLLDGAGDPKPTPEAPISSADGRAWFPSESSWQLPQLPAGSTSGSEPRARPGLGPRQLLTGPRDGAAGQGPGRGLTARLGREREIDCGPRQAGHGGTATDTGRAGSGARHRPPRDRGTPGLRTH
+>DECOY_sp|Q86X59|CQ082_HUMAN Putative uncharacterized protein C17orf82 OS=Homo sapiens OX=9606 GN=C17orf82 PE=1 SV=2
+HTRLGPTGRDRPPRHRAGSGARGTDTATGGHGAQRPGCDIERERGLRATLGRGPGQGAAGDRPGTLLQRPGLGPRARPESGSTSGAPLQPLQWSSESPFWARGDASSIPAEPTPKPDGAGDLLLFLYAGGSLGFPLGLAFGGRAHESVNLARCGAEVGFASGPPHGPSGSSPSGGLLAPAGEAAARDQSCPASPRRRSGAQGGTSRVEPGLVAFSPSSAPSSKPDKGSRLFAPEPYLDLARLPQGELPRGM
+>sp|Q32NC0|CR021_HUMAN UPF0711 protein C18orf21 OS=Homo sapiens OX=9606 GN=C18orf21 PE=1 SV=1
+MRQKHYLEAAARGLHDSCPGQARYLLWAYTSSHDDKSTFEETCPYCFQLLVLDNSRVRLKPKARLTPKIQKLLNREARNYTLSFKEAKMVKKFKDSKSVLLITCKTCNRTVKHHGKSRSFVSTLKSNPATPTSKLSLKTPERRTANPNHDMSGSKGKSPASVFRTPTSGQSVSTCSSKNTSKTKKHFSQLKMLLSQNESQKIPKVDFRNFLSSLKGGLLK
+>DECOY_sp|Q32NC0|CR021_HUMAN UPF0711 protein C18orf21 OS=Homo sapiens OX=9606 GN=C18orf21 PE=1 SV=1
+KLLGGKLSSLFNRFDVKPIKQSENQSLLMKLQSFHKKTKSTNKSSCTSVSQGSTPTRFVSAPSKGKSGSMDHNPNATRREPTKLSLKSTPTAPNSKLTSVFSRSKGHHKVTRNCTKCTILLVSKSDKFKKVMKAEKFSLTYNRAERNLLKQIKPTLRAKPKLRVRSNDLVLLQFCYPCTEEFTSKDDHSSTYAWLLYRAQGPCSDHLGRAAAELYHKQRM
+>sp|Q6ZTR6|CR065_HUMAN Putative uncharacterized protein C18orf65 OS=Homo sapiens OX=9606 GN=C18orf65 PE=2 SV=1
+MRVPPAGTWALRPIWTEMGTPLRGSQAPGRIVLLLLVITPQWRWIPSKTPNVAPRSNQRCNPGGYLSGGVSLCASHSQPAALPNLGRLQKKLLQTRCKGRRMCPKAGDQTGGAFCMCDVSGGGGECVSGSGGGGESGRKTGTTSAMKDPRVLKCKLRVTNDLH
+>DECOY_sp|Q6ZTR6|CR065_HUMAN Putative uncharacterized protein C18orf65 OS=Homo sapiens OX=9606 GN=C18orf65 PE=2 SV=1
+HLDNTVRLKCKLVRPDKMASTTGTKRGSEGGGGSGSVCEGGGGSVDCMCFAGGTQDGAKPCMRRGKCRTQLLKKQLRGLNPLAAPQSHSACLSVGGSLYGGPNCRQNSRPAVNPTKSPIWRWQPTIVLLLLVIRGPAQSGRLPTGMETWIPRLAWTGAPPVRM
+>sp|Q96BA8|CR3L1_HUMAN Cyclic AMP-responsive element-binding protein 3-like protein 1 OS=Homo sapiens OX=9606 GN=CREB3L1 PE=1 SV=1
+MDAVLEPFPADRLFPGSSFLDLGDLNESDFLNNAHFPEHLDHFTENMEDFSNDLFSSFFDDPVLDEKSPLLDMELDSPTPGIQAEHSYSLSGDSAPQSPLVPIKMEDTTQDAEHGAWALGHKLCSIMVKQEQSPELPVDPLAAPSAMAAAAAMATTPLLGLSPLSRLPIPHQAPGEMTQLPVIKAEPLEVNQFLKVTPEDLVQMPPTPPSSHGSDSDGSQSPRSLPPSSPVRPMARSSTAISTSPLLTAPHKLQGTSGPLLLTEEEKRTLIAEGYPIPTKLPLTKAEEKALKRVRRKIKNKISAQESRRKKKEYVECLEKKVETFTSENNELWKKVETLENANRTLLQQLQKLQTLVTNKISRPYKMAATQTGTCLMVAALCFVLVLGSLVPCLPEFSSGSQTVKEDPLAADGVYTASQMPSRSLLFYDDGAGLWEDGRSTLLPMEPPDGWEINPGGPAEQRPRDHLQHDHLDSTHETTKYLSEAWPKDGGNGTSPDFSHSKEWFHDRDLGPNTTIKLS
+>DECOY_sp|Q96BA8|CR3L1_HUMAN Cyclic AMP-responsive element-binding protein 3-like protein 1 OS=Homo sapiens OX=9606 GN=CREB3L1 PE=1 SV=1
+SLKITTNPGLDRDHFWEKSHSFDPSTGNGGDKPWAESLYKTTEHTSDLHDHQLHDRPRQEAPGGPNIEWGDPPEMPLLTSRGDEWLGAGDDYFLLSRSPMQSATYVGDAALPDEKVTQSGSSFEPLCPVLSGLVLVFCLAAVMLCTGTQTAAMKYPRSIKNTVLTQLKQLQQLLTRNANELTEVKKWLENNESTFTEVKKELCEVYEKKKRRSEQASIKNKIKRRVRKLAKEEAKTLPLKTPIPYGEAILTRKEEETLLLPGSTGQLKHPATLLPSTSIATSSRAMPRVPSSPPLSRPSQSGDSDSGHSSPPTPPMQVLDEPTVKLFQNVELPEAKIVPLQTMEGPAQHPIPLRSLPSLGLLPTTAMAAAAAMASPAALPDVPLEPSQEQKVMISCLKHGLAWAGHEADQTTDEMKIPVLPSQPASDGSLSYSHEAQIGPTPSDLEMDLLPSKEDLVPDDFFSSFLDNSFDEMNETFHDLHEPFHANNLFDSENLDGLDLFSSGPFLRDAPFPELVADM
+>sp|P78560|CRADD_HUMAN Death domain-containing protein CRADD OS=Homo sapiens OX=9606 GN=CRADD PE=1 SV=1
+MEARDKQVLRSLRLELGAEVLVEGLVLQYLYQEGILTENHIQEINAQTTGLRKTMLLLDILPSRGPKAFDTFLDSLQEFPWVREKLKKAREEAMTDLPAGDRLTGIPSHILNSSPSDRQINQLAQRLGPEWEPMVLSLGLSQTDIYRCKANHPHNVQSQVVEAFIRWRQRFGKQATFQSLHNGLRAVEVDPSLLLHMLE
+>DECOY_sp|P78560|CRADD_HUMAN Death domain-containing protein CRADD OS=Homo sapiens OX=9606 GN=CRADD PE=1 SV=1
+ELMHLLLSPDVEVARLGNHLSQFTAQKGFRQRWRIFAEVVQSQVNHPHNAKCRYIDTQSLGLSLVMPEWEPGLRQALQNIQRDSPSSNLIHSPIGTLRDGAPLDTMAEERAKKLKERVWPFEQLSDLFTDFAKPGRSPLIDLLLMTKRLGTTQANIEQIHNETLIGEQYLYQLVLGEVLVEAGLELRLSRLVQKDRAEM
+>sp|P53672|CRBA2_HUMAN Beta-crystallin A2 OS=Homo sapiens OX=9606 GN=CRYBA2 PE=1 SV=3
+MSSAPAPGPAPASLTLWDEEDFQGRRCRLLSDCANVCERGGLPRVRSVKVENGVWVAFEYPDFQGQQFILEKGDYPRWSAWSGSSSHNSNQLLSFRPVLCANHNDSRVTLFEGDNFQGCKFDLVDDYPSLPSMGWASKDVGSLKVSSGAWVAYQYPGYRGYQYVLERDRHSGEFCTYGELGTQAHTGQLQSIRRVQH
+>DECOY_sp|P53672|CRBA2_HUMAN Beta-crystallin A2 OS=Homo sapiens OX=9606 GN=CRYBA2 PE=1 SV=3
+HQVRRISQLQGTHAQTGLEGYTCFEGSHRDRELVYQYGRYGPYQYAVWAGSSVKLSGVDKSAWGMSPLSPYDDVLDFKCGQFNDGEFLTVRSDNHNACLVPRFSLLQNSNHSSSGSWASWRPYDGKELIFQQGQFDPYEFAVWVGNEVKVSRVRPLGGRECVNACDSLLRCRRGQFDEEDWLTLSAPAPGPAPASSM
+>sp|P53673|CRBA4_HUMAN Beta-crystallin A4 OS=Homo sapiens OX=9606 GN=CRYBA4 PE=1 SV=3
+MTLQCTKSAGPWKMVVWDEDGFQGRRHEFTAECPSVLELGFETVRSLKVLSGAWVGFEHAGFQGQQYILERGEYPSWDAWGGNTAYPAERLTSFRPAACANHRDSRLTIFEQENFLGKKGELSDDYPSLQAMGWEGNEVGSFHVHSGAWVCSQFPGYRGFQYVLECDHHSGDYKHFREWGSHAPTFQVQSIRRIQQ
+>DECOY_sp|P53673|CRBA4_HUMAN Beta-crystallin A4 OS=Homo sapiens OX=9606 GN=CRYBA4 PE=1 SV=3
+QQIRRISQVQFTPAHSGWERFHKYDGSHHDCELVYQFGRYGPFQSCVWAGSHVHFSGVENGEWGMAQLSPYDDSLEGKKGLFNEQEFITLRSDRHNACAAPRFSTLREAPYATNGGWADWSPYEGRELIYQQGQFGAHEFGVWAGSLVKLSRVTEFGLELVSPCEATFEHRRGQFGDEDWVVMKWPGASKTCQLTM
+>sp|P53674|CRBB1_HUMAN Beta-crystallin B1 OS=Homo sapiens OX=9606 GN=CRYBB1 PE=1 SV=2
+MSQAAKASASATVAVNPGPDTKGKGAPPAGTSPSPGTTLAPTTVPITSAKAAELPPGNYRLVVFELENFQGRRAEFSGECSNLADRGFDRVRSIIVSAGPWVAFEQSNFRGEMFILEKGEYPRWNTWSSSYRSDRLMSFRPIKMDAQEHKISLFEGANFKGNTIEIQGDDAPSLWVYGFSDRVGSVKVSSGTWVGYQYPGYRGYQYLLEPGDFRHWNEWGAFQPQMQSLRRLRDKQWHLEGSFPVLATEPPK
+>DECOY_sp|P53674|CRBB1_HUMAN Beta-crystallin B1 OS=Homo sapiens OX=9606 GN=CRYBB1 PE=1 SV=2
+KPPETALVPFSGELHWQKDRLRRLSQMQPQFAGWENWHRFDGPELLYQYGRYGPYQYGVWTGSSVKVSGVRDSFGYVWLSPADDGQIEITNGKFNAGEFLSIKHEQADMKIPRFSMLRDSRYSSSWTNWRPYEGKELIFMEGRFNSQEFAVWPGASVIISRVRDFGRDALNSCEGSFEARRGQFNELEFVVLRYNGPPLEAAKASTIPVTTPALTTGPSPSTGAPPAGKGKTDPGPNVAVTASASAKAAQSM
+>sp|P43320|CRBB2_HUMAN Beta-crystallin B2 OS=Homo sapiens OX=9606 GN=CRYBB2 PE=1 SV=2
+MASDHQTQAGKPQSLNPKIIIFEQENFQGHSHELNGPCPNLKETGVEKAGSVLVQAGPWVGYEQANCKGEQFVFEKGEYPRWDSWTSSRRTDSLSSLRPIKVDSQEHKIILYENPNFTGKKMEIIDDDVPSFHAHGYQEKVSSVRVQSGTWVGYQYPGYRGLQYLLEKGDYKDSSDFGAPHPQVQSVRRIRDMQWHQRGAFHPSN
+>DECOY_sp|P43320|CRBB2_HUMAN Beta-crystallin B2 OS=Homo sapiens OX=9606 GN=CRYBB2 PE=1 SV=2
+NSPHFAGRQHWQMDRIRRVSQVQPHPAGFDSSDKYDGKELLYQLGRYGPYQYGVWTGSQVRVSSVKEQYGHAHFSPVDDDIIEMKKGTFNPNEYLIIKHEQSDVKIPRLSSLSDTRRSSTWSDWRPYEGKEFVFQEGKCNAQEYGVWPGAQVLVSGAKEVGTEKLNPCPGNLEHSHGQFNEQEFIIIKPNLSQPKGAQTQHDSAM
+>sp|Q8N1P7|CRBG2_HUMAN Beta/gamma crystallin domain-containing protein 2 OS=Homo sapiens OX=9606 GN=CRYBG2 PE=2 SV=1
+MELRTPGTKWSPQGIGSLRRVVWDYSTPEISLFSEEGLKGEQVKLTEALKNSQGLEKPLQVASATVSAGLWLLYPKPLFEDTPYILEPGEYPTSEAWGTSDPSVGSLKPMRLGCPSVEKPGEPRAVVYEAPGFQGRSWEVSRDIYNLQQPEDSQSPHLASVGSLRVLGGCWVGYEKEGFRGHQYLLEEGEYPDWSHWGGYDELLTSLRVIRTDFGDPAVVLFEAMDFEGHGVEVSKALPDVELVQHGPSTQAIHVLSGVWVAYQEVGFSGEQYVLEKGVYRNCEDWGAGNSTLASLQPVLQVGEHDLHFVSKIQLFSRPDFLGDHFSFEDDQAALPASFRPQSCRVHGGSWILFDETNFEGDQHILSEGEFPTLTAMGCLASTVLGSLQKVSLHFSEPSIFLYGLECFEGKEIELSREVRSLQAEGFNNHVLSVRIKGGIWVLCEHSDFRGRQWLVGSCEITNWLTYSGTQRVGSLYPIKQRRVYFRLWNAALGGFLAVPDHVEDMKAGRVVVADPQAGGSCIWYYEDGLLKNQMAPTMSLQVIGPPSPGSKVVLWAESRLPRQTWSISESGHICSQMFEGQILDVKGGRGYDRDHVVLWEPDEDRASQIWTIHVL
+>DECOY_sp|Q8N1P7|CRBG2_HUMAN Beta/gamma crystallin domain-containing protein 2 OS=Homo sapiens OX=9606 GN=CRYBG2 PE=2 SV=1
+LVHITWIQSARDEDPEWLVVHDRDYGRGGKVDLIQGEFMQSCIHGSESISWTQRPLRSEAWLVVKSGPSPPGIVQLSMTPAMQNKLLGDEYYWICSGGAQPDAVVVRGAKMDEVHDPVALFGGLAANWLRFYVRRQKIPYLSGVRQTGSYTLWNTIECSGVLWQRGRFDSHECLVWIGGKIRVSLVHNNFGEAQLSRVERSLEIEKGEFCELGYLFISPESFHLSVKQLSGLVTSALCGMATLTPFEGESLIHQDGEFNTEDFLIWSGGHVRCSQPRFSAPLAAQDDEFSFHDGLFDPRSFLQIKSVFHLDHEGVQLVPQLSALTSNGAGWDECNRYVGKELVYQEGSFGVEQYAVWVGSLVHIAQTSPGHQVLEVDPLAKSVEVGHGEFDMAEFLVVAPDGFDTRIVRLSTLLEDYGGWHSWDPYEGEELLYQHGRFGEKEYGVWCGGLVRLSGVSALHPSQSDEPQQLNYIDRSVEWSRGQFGPAEYVVARPEGPKEVSPCGLRMPKLSGVSPDSTGWAESTPYEGPELIYPTDEFLPKPYLLWLGASVTASAVQLPKELGQSNKLAETLKVQEGKLGEESFLSIEPTSYDWVVRRLSGIGQPSWKTGPTRLEM
+>sp|Q6WN34|CRDL2_HUMAN Chordin-like protein 2 OS=Homo sapiens OX=9606 GN=CHRDL2 PE=1 SV=1
+MVPEVRVLSSLLGLALLWFPLDSHARARPDMFCLFHGKRYSPGESWHPYLEPQGLMYCLRCTCSEGAHVSCYRLHCPPVHCPQPVTEPQQCCPKCVEPHTPSGLRAPPKSCQHNGTMYQHGEIFSAHELFPSRLPNQCVLCSCTEGQIYCGLTTCPEPGCPAPLPLPDSCCQACKDEASEQSDEEDSVQSLHGVRHPQDPCSSDAGRKRGPGTPAPTGLSAPLSFIPRHFRPKGAGSTTVKIVLKEKHKKACVHGGKTYSHGEVWHPAFRAFGPLPCILCTCEDGRQDCQRVTCPTEYPCRHPEKVAGKCCKICPEDKADPGHSEISSTRCPKAPGRVLVHTSVSPSPDNLRRFALEHEASDLVEIYLWKLVKGIFHLTQIKKVRKQDFQKEAQHFRLLAGPHEGHWNVFLAQTLELKVTASPDKVTKT
+>DECOY_sp|Q6WN34|CRDL2_HUMAN Chordin-like protein 2 OS=Homo sapiens OX=9606 GN=CHRDL2 PE=1 SV=1
+TKTVKDPSATVKLELTQALFVNWHGEHPGALLRFHQAEKQFDQKRVKKIQTLHFIGKVLKWLYIEVLDSAEHELAFRRLNDPSPSVSTHVLVRGPAKPCRTSSIESHGPDAKDEPCIKCCKGAVKEPHRCPYETPCTVRQCDQRGDECTCLICPLPGFARFAPHWVEGHSYTKGGHVCAKKHKEKLVIKVTTSGAGKPRFHRPIFSLPASLGTPAPTGPGRKRGADSSCPDQPHRVGHLSQVSDEEDSQESAEDKCAQCCSDPLPLPAPCGPEPCTTLGCYIQGETCSCLVCQNPLRSPFLEHASFIEGHQYMTGNHQCSKPPARLGSPTHPEVCKPCCQQPETVPQPCHVPPCHLRYCSVHAGESCTCRLCYMLGQPELYPHWSEGPSYRKGHFLCFMDPRARAHSDLPFWLLALGLLSSLVRVEPVM
+>sp|Q6Q6R5|CRIP3_HUMAN Cysteine-rich protein 3 OS=Homo sapiens OX=9606 GN=CRIP3 PE=2 SV=2
+MSWTCPRCQQPVFFAEKVSSLGKNWHRFCLKCERCHSILSPGGHAEHNGRPYCHKPCYGALFGPRGVNIGGVGSYLYNPPTPSPGCTTPLSPSSFSPPRPRTGLPQGKKSPPHMKTFTGETSLCPGCGEPVYFAEKVMSLGRNWHRPCLRCQRCHKTLTAGSHAEHDGVPYCHVPCYGYLFGPKGGQPHPRHWDGMYMPEVWHVHGLWVCVDNFPCG
+>DECOY_sp|Q6Q6R5|CRIP3_HUMAN Cysteine-rich protein 3 OS=Homo sapiens OX=9606 GN=CRIP3 PE=2 SV=2
+GCPFNDVCVWLGHVHWVEPMYMGDWHRPHPQGGKPGFLYGYCPVHCYPVGDHEAHSGATLTKHCRQCRLCPRHWNRGLSMVKEAFYVPEGCGPCLSTEGTFTKMHPPSKKGQPLGTRPRPPSFSSPSLPTTCGPSPTPPNYLYSGVGGINVGRPGFLAGYCPKHCYPRGNHEAHGGPSLISHCRECKLCFRHWNKGLSSVKEAFFVPQQCRPCTWSM
+>sp|P54107|CRIS1_HUMAN Cysteine-rich secretory protein 1 OS=Homo sapiens OX=9606 GN=CRISP1 PE=1 SV=1
+MEIKHLLFLVAAACLLPMLSMKKKSARDQFNKLVTDLPNVQEEIVNIHNALRRRVVPPASNMLKMSWSEEAAQNARIFSKYCDMTESNPLERRLPNTFCGENMHMTSYPVSWSSVIGVWYSESTSFKHGEWTTTDDDITTDHYTQIVWATSYLIGCAIASCRQQGSPRYLYVCHYCHEGNDPETKNEPYKTGVPCEACPSNCEDKLCTNPCIYYDEYFDCDIQVHYLGCNHSTTILFCKATCLCDTEIK
+>DECOY_sp|P54107|CRIS1_HUMAN Cysteine-rich secretory protein 1 OS=Homo sapiens OX=9606 GN=CRISP1 PE=1 SV=1
+KIETDCLCTAKCFLITTSHNCGLYHVQIDCDFYEDYYICPNTCLKDECNSPCAECPVGTKYPENKTEPDNGEHCYHCVYLYRPSGQQRCSAIACGILYSTAWVIQTYHDTTIDDDTTTWEGHKFSTSESYWVGIVSSWSVPYSTMHMNEGCFTNPLRRELPNSETMDCYKSFIRANQAAEESWSMKLMNSAPPVVRRRLANHINVIEEQVNPLDTVLKNFQDRASKKKMSLMPLLCAAAVLFLLHKIEM
+>sp|Q9Y2S2|CRYL1_HUMAN Lambda-crystallin homolog OS=Homo sapiens OX=9606 GN=CRYL1 PE=1 SV=3
+MASSAAGCVVIVGSGVIGRSWAMLFASGGFQVKLYDIEQQQIRNALENIRKEMKLLEQAGSLKGSLSVEEQLSLISGCPNIQEAVEGAMHIQECVPEDLELKKKIFAQLDSIIDDRVILSSSTSCLMPSKLFAGLVHVKQCIVAHPVNPPYYIPLVELVPHPETAPTTVDRTHALMKKIGQCPMRVQKEVAGFVLNRLQYAIISEAWRLVEEGIVSPSDLDLVMSEGLGMRYAFIGPLETMHLNAEGMLSYCDRYSEGIKHVLQTFGPIPEFSRATAEKVNQDMCMKVPDDPEHLAARRQWRDECLMRLAKLKSQVQPQ
+>DECOY_sp|Q9Y2S2|CRYL1_HUMAN Lambda-crystallin homolog OS=Homo sapiens OX=9606 GN=CRYL1 PE=1 SV=3
+QPQVQSKLKALRMLCEDRWQRRAALHEPDDPVKMCMDQNVKEATARSFEPIPGFTQLVHKIGESYRDCYSLMGEANLHMTELPGIFAYRMGLGESMVLDLDSPSVIGEEVLRWAESIIAYQLRNLVFGAVEKQVRMPCQGIKKMLAHTRDVTTPATEPHPVLEVLPIYYPPNVPHAVICQKVHVLGAFLKSPMLCSTSSSLIVRDDIISDLQAFIKKKLELDEPVCEQIHMAGEVAEQINPCGSILSLQEEVSLSGKLSGAQELLKMEKRINELANRIQQQEIDYLKVQFGGSAFLMAWSRGIVGSGVIVVCGAASSAM
+>sp|Q9NSK7|CS012_HUMAN Protein C19orf12 OS=Homo sapiens OX=9606 GN=C19orf12 PE=1 SV=3
+MERLKSHKPATMTIMVEDIMKLLCSLSGERKMKAAVKHSGKGALVTGAMAFVGGLVGGPPGLAVGGAVGGLLGAWMTSGQFKPVPQILMELPPAEQQRLFNEAAAIIRHLEWTDAVQLTALVMGSEALQQQLLAMLVNYVTKELRAEIQYDD
+>DECOY_sp|Q9NSK7|CS012_HUMAN Protein C19orf12 OS=Homo sapiens OX=9606 GN=C19orf12 PE=1 SV=3
+DDYQIEARLEKTVYNVLMALLQQQLAESGMVLATLQVADTWELHRIIAAAENFLRQQEAPPLEMLIQPVPKFQGSTMWAGLLGGVAGGVALGPPGGVLGGVFAMAGTVLAGKGSHKVAAKMKREGSLSCLLKMIDEVMITMTAPKHSKLREM
+>sp|Q0VDD7|CS057_HUMAN Uncharacterized protein C19orf57 OS=Homo sapiens OX=9606 GN=C19orf57 PE=1 SV=2
+MTKRKKLRTSGEGLCPPKPLKNPRLGDFYGDPQSSMLGCLHHPEEPEGKLGPVPSTQQHGEEPGKAVSSSPDEETGSPCRLLRQPEKEPAPLPPSQNSFGRFVPQFAKSRKTVTRKEEMKDEDRGSGAFSLETIAESSAQSPGCQLLVETLGVPLQEATELGDPTQADSARPEQSSQSPVQAVPGSGDSQPDDPPDRGTGLSASQRASQDHLSEQGADDSKPETDRVPGDGGQKEHLPSIDSEGEKPDRGAPQEGGAQRTAGAGLPGGPQEEGDGVPCTPASAPTSGPAPGLGPASWCLEPGSVAQGSPDPQQTPSRMGREGEGTHSSLGCSSLGMVVIADLSTDPTELEERALEVAGPDGQASAISPASPRRKAADGGHRRALPGCTSLTGETTGESGEAGQDGKPPGDVLVGPTASLALAPGSGESMMGAGDSGHASPDTGPCVNQKQEPGPAQEEAELGGQNLERDLEGFRVSPQASVVLEHREIADDPLQEPGAQQGIPDTTSELAGQRDHLPHSADQGTWADSLAVELDFLLDSQIQDALDASDFEAPPEQLFPSGNKPGPCWPGPSSHANGDPVAVAKAQPRTFVGIQASEASRMEDATNVVRGLIVELSNLNRLIMGTHRDLEAFKRLNYRKTKLGGKAPLPYPSKGPGNIPRGDPPWREL
+>DECOY_sp|Q0VDD7|CS057_HUMAN Uncharacterized protein C19orf57 OS=Homo sapiens OX=9606 GN=C19orf57 PE=1 SV=2
+LERWPPDGRPINGPGKSPYPLPAKGGLKTKRYNLRKFAELDRHTGMILRNLNSLEVILGRVVNTADEMRSAESAQIGVFTRPQAKAVAVPDGNAHSSPGPWCPGPKNGSPFLQEPPAEFDSADLADQIQSDLLFDLEVALSDAWTGQDASHPLHDRQGALESTTDPIGQQAGPEQLPDDAIERHELVVSAQPSVRFGELDRELNQGGLEAEEQAPGPEQKQNVCPGTDPSAHGSDGAGMMSEGSGPALALSATPGVLVDGPPKGDQGAEGSEGTTEGTLSTCGPLARRHGGDAAKRRPSAPSIASAQGDPGAVELAREELETPDTSLDAIVVMGLSSCGLSSHTGEGERGMRSPTQQPDPSGQAVSGPELCWSAPGLGPAPGSTPASAPTCPVGDGEEQPGGPLGAGATRQAGGEQPAGRDPKEGESDISPLHEKQGGDGPVRDTEPKSDDAGQESLHDQSARQSASLGTGRDPPDDPQSDGSGPVAQVPSQSSQEPRASDAQTPDGLETAEQLPVGLTEVLLQCGPSQASSEAITELSFAGSGRDEDKMEEKRTVTKRSKAFQPVFRGFSNQSPPLPAPEKEPQRLLRCPSGTEEDPSSSVAKGPEEGHQQTSPVPGLKGEPEEPHHLCGLMSSQPDGYFDGLRPNKLPKPPCLGEGSTRLKKRKTM
+>sp|C9J6K1|CS081_HUMAN Putative uncharacterized protein C19orf81 OS=Homo sapiens OX=9606 GN=C19orf81 PE=4 SV=1
+MQPEVEPVCFPAMGSPTMHRKAGALLMDLETPEEMQARSLGRPIKSSKQYLRQVIAEYEALDRELPCIRKFPTPPASQPLCLCMETLPEEDFTHLEVLQALEAQLPGAMESGRVSSIRFENMNVICGTAGRRNRWLIAVTDFQTRSRLLRSGLSPRGLAHQIVRHDDLLLGDYRLHLRRSLVRRRMLEALGAEPNEEA
+>DECOY_sp|C9J6K1|CS081_HUMAN Putative uncharacterized protein C19orf81 OS=Homo sapiens OX=9606 GN=C19orf81 PE=4 SV=1
+AEENPEAGLAELMRRRVLSRRLHLRYDGLLLDDHRVIQHALGRPSLGSRLLRSRTQFDTVAILWRNRRGATGCIVNMNEFRISSVRGSEMAGPLQAELAQLVELHTFDEEPLTEMCLCLPQSAPPTPFKRICPLERDLAEYEAIVQRLYQKSSKIPRGLSRAQMEEPTELDMLLAGAKRHMTPSGMAPFCVPEVEPQM
+>sp|Q9Y534|CSDC2_HUMAN Cold shock domain-containing protein C2 OS=Homo sapiens OX=9606 GN=CSDC2 PE=1 SV=1
+MTSESTSPPVVPPLHSPKSPVWPTFPFHREGSRVWERGGVPPRDLPSPLPTKRTRTYSATARASAGPVFKGVCKQFSRSQGHGFITPENGSEDIFVHVSDIEGEYVPVEGDEVTYKMCPIPPKNQKFQAVEVVLTQLAPHTPHETWSGQVVGS
+>DECOY_sp|Q9Y534|CSDC2_HUMAN Cold shock domain-containing protein C2 OS=Homo sapiens OX=9606 GN=CSDC2 PE=1 SV=1
+SGVVQGSWTEHPTHPALQTLVVEVAQFKQNKPPIPCMKYTVEDGEVPVYEGEIDSVHVFIDESGNEPTIFGHGQSRSFQKCVGKFVPGASARATASYTRTRKTPLPSPLDRPPVGGREWVRSGERHFPFTPWVPSKPSHLPPVVPPSTSESTM
+>sp|P15509|CSF2R_HUMAN Granulocyte-macrophage colony-stimulating factor receptor subunit alpha OS=Homo sapiens OX=9606 GN=CSF2RA PE=1 SV=1
+MLLLVTSLLLCELPHPAFLLIPEKSDLRTVAPASSLNVRFDSRTMNLSWDCQENTTFSKCFLTDKKNRVVEPRLSNNECSCTFREICLHEGVTFEVHVNTSQRGFQQKLLYPNSGREGTAAQNFSCFIYNADLMNCTWARGPTAPRDVQYFLYIRNSKRRREIRCPYYIQDSGTHVGCHLDNLSGLTSRNYFLVNGTSREIGIQFFDSLLDTKKIERFNPPSNVTVRCNTTHCLVRWKQPRTYQKLSYLDFQYQLDVHRKNTQPGTENLLINVSGDLENRYNFPSSEPRAKHSVKIRAADVRILNWSSWSEAIEFGSDDGNLGSVYIYVLLIVGTLVCGIVLGFLFKRFLRIQRLFPPVPQIKDKLNDNHEVEDEIIWEEFTPEEGKGYREEVLTVKEIT
+>DECOY_sp|P15509|CSF2R_HUMAN Granulocyte-macrophage colony-stimulating factor receptor subunit alpha OS=Homo sapiens OX=9606 GN=CSF2RA PE=1 SV=1
+TIEKVTLVEERYGKGEEPTFEEWIIEDEVEHNDNLKDKIQPVPPFLRQIRLFRKFLFGLVIGCVLTGVILLVYIYVSGLNGDDSGFEIAESWSSWNLIRVDAARIKVSHKARPESSPFNYRNELDGSVNILLNETGPQTNKRHVDLQYQFDLYSLKQYTRPQKWRVLCHTTNCRVTVNSPPNFREIKKTDLLSDFFQIGIERSTGNVLFYNRSTLGSLNDLHCGVHTGSDQIYYPCRIERRRKSNRIYLFYQVDRPATPGRAWTCNMLDANYIFCSFNQAATGERGSNPYLLKQQFGRQSTNVHVEFTVGEHLCIERFTCSCENNSLRPEVVRNKKDTLFCKSFTTNEQCDWSLNMTRSDFRVNLSSAPAVTRLDSKEPILLFAPHPLECLLLSTVLLLM
+>sp|Q99062|CSF3R_HUMAN Granulocyte colony-stimulating factor receptor OS=Homo sapiens OX=9606 GN=CSF3R PE=1 SV=1
+MARLGNCSLTWAALIILLLPGSLEECGHISVSAPIVHLGDPITASCIIKQNCSHLDPEPQILWRLGAELQPGGRQQRLSDGTQESIITLPHLNHTQAFLSCCLNWGNSLQILDQVELRAGYPPAIPHNLSCLMNLTTSSLICQWEPGPETHLPTSFTLKSFKSRGNCQTQGDSILDCVPKDGQSHCCIPRKHLLLYQNMGIWVQAENALGTSMSPQLCLDPMDVVKLEPPMLRTMDPSPEAAPPQAGCLQLCWEPWQPGLHINQKCELRHKPQRGEASWALVGPLPLEALQYELCGLLPATAYTLQIRCIRWPLPGHWSDWSPSLELRTTERAPTVRLDTWWRQRQLDPRTVQLFWKPVPLEEDSGRIQGYVVSWRPSGQAGAILPLCNTTELSCTFHLPSEAQEVALVAYNSAGTSRPTPVVFSESRGPALTRLHAMARDPHSLWVGWEPPNPWPQGYVIEWGLGPPSASNSNKTWRMEQNGRATGFLLKENIRPFQLYEIIVTPLYQDTMGPSQHVYAYSQEMAPSHAPELHLKHIGKTWAQLEWVPEPPELGKSPLTHYTIFWTNAQNQSFSAILNASSRGFVLHGLEPASLYHIHLMAASQAGATNSTVLTLMTLTPEGSELHIILGLFGLLLLLTCLCGTAWLCCSPNRKNPLWPSVPDPAHSSLGSWVPTIMEEDAFQLPGLGTPPITKLTVLEEDEKKPVPWESHNSSETCGLPTLVQTYVLQGDPRAVSTQPQSQSGTSDQVLYGQLLGSPTSPGPGHYLRCDSTQPLLAGLTPSPKSYENLWFQASPLGTLVTPAPSQEDDCVFGPLLNFPLLQGIRVHGMEALGSF
+>DECOY_sp|Q99062|CSF3R_HUMAN Granulocyte colony-stimulating factor receptor OS=Homo sapiens OX=9606 GN=CSF3R PE=1 SV=1
+FSGLAEMGHVRIGQLLPFNLLPGFVCDDEQSPAPTVLTGLPSAQFWLNEYSKPSPTLGALLPQTSDCRLYHGPGPSTPSGLLQGYLVQDSTGSQSQPQTSVARPDGQLVYTQVLTPLGCTESSNHSEWPVPKKEDEELVTLKTIPPTGLGPLQFADEEMITPVWSGLSSHAPDPVSPWLPNKRNPSCCLWATGCLCTLLLLLGFLGLIIHLESGEPTLTMLTLVTSNTAGAQSAAMLHIHYLSAPELGHLVFGRSSANLIASFSQNQANTWFITYHTLPSKGLEPPEPVWELQAWTKGIHKLHLEPAHSPAMEQSYAYVHQSPGMTDQYLPTVIIEYLQFPRINEKLLFGTARGNQEMRWTKNSNSASPPGLGWEIVYGQPWPNPPEWGVWLSHPDRAMAHLRTLAPGRSESFVVPTPRSTGASNYAVLAVEQAESPLHFTCSLETTNCLPLIAGAQGSPRWSVVYGQIRGSDEELPVPKWFLQVTRPDLQRQRWWTDLRVTPARETTRLELSPSWDSWHGPLPWRICRIQLTYATAPLLGCLEYQLAELPLPGVLAWSAEGRQPKHRLECKQNIHLGPQWPEWCLQLCGAQPPAAEPSPDMTRLMPPELKVVDMPDLCLQPSMSTGLANEAQVWIGMNQYLLLHKRPICCHSQGDKPVCDLISDGQTQCNGRSKFSKLTFSTPLHTEPGPEWQCILSSTTLNMLCSLNHPIAPPYGARLEVQDLIQLSNGWNLCCSLFAQTHNLHPLTIISEQTGDSLRQQRGGPQLEAGLRWLIQPEPDLHSCNQKIICSATIPDGLHVIPASVSIHGCEELSGPLLLIILAAWTLSCNGLRAM
+>sp|P0DML2|CSH1_HUMAN Chorionic somatomammotropin hormone 1 OS=Homo sapiens OX=9606 GN=CSH1 PE=1 SV=1
+MAPGSRTSLLLAFALLCLPWLQEAGAVQTVPLSRLFDHAMLQAHRAHQLAIDTYQEFEETYIPKDQKYSFLHDSQTSFCFSDSIPTPSNMEETQQKSNLELLRISLLLIESWLEPVRFLRSMFANNLVYDTSDSDDYHLLKDLEEGIQTLMGRLEDGSRRTGQILKQTYSKFDTNSHNHDALLKNYGLLYCFRKDMDKVETFLRMVQCRSVEGSCGF
+>DECOY_sp|P0DML2|CSH1_HUMAN Chorionic somatomammotropin hormone 1 OS=Homo sapiens OX=9606 GN=CSH1 PE=1 SV=1
+FGCSGEVSRCQVMRLFTEVKDMDKRFCYLLGYNKLLADHNHSNTDFKSYTQKLIQGTRRSGDELRGMLTQIGEELDKLLHYDDSDSTDYVLNNAFMSRLFRVPELWSEILLLSIRLLELNSKQQTEEMNSPTPISDSFCFSTQSDHLFSYKQDKPIYTEEFEQYTDIALQHARHAQLMAHDFLRSLPVTQVAGAEQLWPLCLLAFALLLSTRSGPAM
+>sp|P68400|CSK21_HUMAN Casein kinase II subunit alpha OS=Homo sapiens OX=9606 GN=CSNK2A1 PE=1 SV=1
+MSGPVPSRARVYTDVNTHRPREYWDYESHVVEWGNQDDYQLVRKLGRGKYSEVFEAINITNNEKVVVKILKPVKKKKIKREIKILENLRGGPNIITLADIVKDPVSRTPALVFEHVNNTDFKQLYQTLTDYDIRFYMYEILKALDYCHSMGIMHRDVKPHNVMIDHEHRKLRLIDWGLAEFYHPGQEYNVRVASRYFKGPELLVDYQMYDYSLDMWSLGCMLASMIFRKEPFFHGHDNYDQLVRIAKVLGTEDLYDYIDKYNIELDPRFNDILGRHSRKRWERFVHSENQHLVSPEALDFLDKLLRYDHQSRLTAREAMEHPYFYTVVKDQARMGSSSMPGGSTPVSSANMMSGISSVPTPSPLGPLAGSPVIAAANPLGMPVPAAAGAQQ
+>DECOY_sp|P68400|CSK21_HUMAN Casein kinase II subunit alpha OS=Homo sapiens OX=9606 GN=CSNK2A1 PE=1 SV=1
+QQAGAAAPVPMGLPNAAAIVPSGALPGLPSPTPVSSIGSMMNASSVPTSGGPMSSSGMRAQDKVVTYFYPHEMAERATLRSQHDYRLLKDLFDLAEPSVLHQNESHVFREWRKRSHRGLIDNFRPDLEINYKDIYDYLDETGLVKAIRVLQDYNDHGHFFPEKRFIMSALMCGLSWMDLSYDYMQYDVLLEPGKFYRSAVRVNYEQGPHYFEALGWDILRLKRHEHDIMVNHPKVDRHMIGMSHCYDLAKLIEYMYFRIDYDTLTQYLQKFDTNNVHEFVLAPTRSVPDKVIDALTIINPGGRLNELIKIERKIKKKKVPKLIKVVVKENNTINIAEFVESYKGRGLKRVLQYDDQNGWEVVHSEYDWYERPRHTNVDTYVRARSPVPGSM
+>sp|Q8WXE0|CSKI2_HUMAN Caskin-2 OS=Homo sapiens OX=9606 GN=CASKIN2 PE=1 SV=2
+MGREQDLILAVKNGDVTGVQKLVAKVKATKTKLLGSTKRLNVNYQDADGFSALHHAALGGSLELIALLLEAQATVDIKDSNGMRPLHYAAWQGRLEPVRLLLRASAAVNAASLDGQIPLHLAAQYGHYEVSEMLLQHQSNPCLVNKAKKTPLDLACEFGRLKVAQLLLNSHLCVALLEGEAKDPCDPNYTTPLHLAAKNGHREVIRQLLRAGIEINRQTKTGTALHEAALYGKTEVVRLLLEGGVDVNIRNTYNQTALDIVNQFTTSQASREIKQLLREASGILKVRALKDFWNLHDPTALNVRAGDVITVLEQHPDGRWKGHIHESQRGTDRIGYFPPGIVEVVSKRVGIPAARLPSAPTPLRPGFSRTPQPPAEEPPHPLTYSQLPRVGLSPDSPAGDRNSVGSEGSVGSIRSAGSGQSSEGTNGHGPGLLIENAQPLPSAGEDQVLPGLHPPSLADNLSHRPLANCRSGEQIFTQDVRPEQLLEGKDAQAIHNWLSEFQLEGYTAHFLQAGYDVPTISRMTPEDLTAIGVTKPGHRKKIASEIAQLSIAEWLPSYIPTDLLEWLCALGLPQYHKQLVSSGYDSMGLVADLTWEELQEIGVNKLGHQKKLMLGVKRLAELRRGLLQGEALSEGGRRLAKGPELMAIEGLENGEGPATAGPRLLTFQGSELSPELQAAMAGGGPEPLPLPPARSPSQESIGARSRGSGHSQEQPAPQPSGGDPSPPQERNLPEGTERPPKLCSSLPGQGPPPYVFMYPQGSPSSPAPGPPPGAPWAFSYLAGPPATPPDPPRPKRRSHSLSRPGPTEGDAEGEAEGPVGSTLGSYATLTRRPGRSALVRTSPSVTPTPARGTPRSQSFALRARRKGPPPPPPKRLSSVSGPSPEPPPLDESPGPKEGATGPRRRTLSEPAGPSEPPGPPAPAGPASDTEEEEPGPEGTPPSRGSSGEGLPFAEEGNLTIKQRPKPAGPPPRETPVPPGLDFNLTESDTVKRRPKCREREPLQTALLAFGVASATPGPAAPLPSPTPGESPPASSLPQPEPSSLPAQGVPTPLAPSPAMQPPVPPCPGPGLESSAASRWNGETEPPAAPAALLKVPGAGTAPKPVSVACTQLAFSGPKLAPRLGPRPVPPPRPESTGTVGPGQAQQRLEQTSSSLAAALRAAEKSIGTKEQEGTPSASTKHILDDISTMFDALADQLDAMLD
+>DECOY_sp|Q8WXE0|CSKI2_HUMAN Caskin-2 OS=Homo sapiens OX=9606 GN=CASKIN2 PE=1 SV=2
+DLMADLQDALADFMTSIDDLIHKTSASPTGEQEKTGISKEAARLAAALSSSTQELRQQAQGPGVTGTSEPRPPPVPRPGLRPALKPGSFALQTCAVSVPKPATGAGPVKLLAAPAAPPETEGNWRSAASSELGPGPCPPVPPQMAPSPALPTPVGQAPLSSPEPQPLSSAPPSEGPTPSPLPAAPGPTASAVGFALLATQLPERERCKPRRKVTDSETLNFDLGPPVPTERPPPGAPKPRQKITLNGEEAFPLGEGSSGRSPPTGEPGPEEEETDSAPGAPAPPGPPESPGAPESLTRRRPGTAGEKPGPSEDLPPPEPSPGSVSSLRKPPPPPPGKRRARLAFSQSRPTGRAPTPTVSPSTRVLASRGPRRTLTAYSGLTSGVPGEAEGEADGETPGPRSLSHSRRKPRPPDPPTAPPGALYSFAWPAGPPPGPAPSSPSGQPYMFVYPPPGQGPLSSCLKPPRETGEPLNREQPPSPDGGSPQPAPQEQSHGSGRSRAGISEQSPSRAPPLPLPEPGGGAMAAQLEPSLESGQFTLLRPGATAPGEGNELGEIAMLEPGKALRRGGESLAEGQLLGRRLEALRKVGLMLKKQHGLKNVGIEQLEEWTLDAVLGMSDYGSSVLQKHYQPLGLACLWELLDTPIYSPLWEAISLQAIESAIKKRHGPKTVGIATLDEPTMRSITPVDYGAQLFHATYGELQFESLWNHIAQADKGELLQEPRVDQTFIQEGSRCNALPRHSLNDALSPPHLGPLVQDEGASPLPQANEILLGPGHGNTGESSQGSGASRISGVSGESGVSNRDGAPSDPSLGVRPLQSYTLPHPPEEAPPQPTRSFGPRLPTPASPLRAAPIGVRKSVVEVIGPPFYGIRDTGRQSEHIHGKWRGDPHQELVTIVDGARVNLATPDHLNWFDKLARVKLIGSAERLLQKIERSAQSTTFQNVIDLATQNYTNRINVDVGGELLLRVVETKGYLAAEHLATGTKTQRNIEIGARLLQRIVERHGNKAALHLPTTYNPDCPDKAEGELLAVCLHSNLLLQAVKLRGFECALDLPTKKAKNVLCPNSQHQLLMESVEYHGYQAALHLPIQGDLSAANVAASARLLLRVPELRGQWAAYHLPRMGNSDKIDVTAQAELLLAILELSGGLAAHHLASFGDADQYNVNLRKTSGLLKTKTAKVKAVLKQVGTVDGNKVALILDQERGM
+>sp|Q96PZ7|CSMD1_HUMAN CUB and sushi domain-containing protein 1 OS=Homo sapiens OX=9606 GN=CSMD1 PE=1 SV=3
+MTAWRRFQSLLLLLGLLVLCARLLTAAKGQNCGGLVQGPNGTIESPGFPHGYPNYANCTWIIITGERNRIQLSFHTFALEEDFDILSVYDGQPQQGNLKVRLSGFQLPSSIVSTGSILTLWFTTDFAVSAQGFKALYEVLPSHTCGNPGEILKGVLHGTRFNIGDKIRYSCLPGYILEGHAILTCIVSPGNGASWDFPAPFCRAEGACGGTLRGTSSSISSPHFPSEYENNADCTWTILAEPGDTIALVFTDFQLEEGYDFLEISGTEAPSIWLTGMNLPSPVISSKNWLRLHFTSDSNHRRKGFNAQFQVKKAIELKSRGVKMLPSKDGSHKNSVLSQGGVALVSDMCPDPGIPENGRRAGSDFRVGANVQFSCEDNYVLQGSKSITCQRVTETLAAWSDHRPICRARTCGSNLRGPSGVITSPNYPVQYEDNAHCVWVITTTDPDKVIKLAFEEFELERGYDTLTVGDAGKVGDTRSVLYVLTGSSVPDLIVSMSNQMWLHLQSDDSIGSPGFKAVYQEIEKGGCGDPGIPAYGKRTGSSFLHGDTLTFECPAAFELVGERVITCQQNNQWSGNKPSCVFSCFFNFTASSGIILSPNYPEEYGNNMNCVWLIISEPGSRIHLIFNDFDVEPQFDFLAVKDDGISDITVLGTFSGNEVPSQLASSGHIVRLEFQSDHSTTGRGFNITYTTFGQNECHDPGIPINGRRFGDRFLLGSSVSFHCDDGFVKTQGSESITCILQDGNVVWSSTVPRCEAPCGGHLTASSGVILPPGWPGYYKDSLHCEWIIEAKPGHSIKITFDRFQTEVNYDTLEVRDGPASSSPLIGEYHGTQAPQFLISTGNFMYLLFTTDNSRSSIGFLIHYESVTLESDSCLDPGIPVNGHRHGGDFGIRSTVTFSCDPGYTLSDDEPLVCERNHQWNHALPSCDALCGGYIQGKSGTVLSPGFPDFYPNSLNCTWTIEVSHGKGVQMIFHTFHLESSHDYLLITEDGSFSEPVARLTGSVLPHTIKAGLFGNFTAQLRFISDFSISYEGFNITFSEYDLEPCDDPGVPAFSRRIGFHFGVGDSLTFSCFLGYRLEGATKLTCLGGGRRVWSAPLPRCVAECGASVKGNEGTLLSPNFPSNYDNNHECIYKIETEAGKGIHLRTRSFQLFEGDTLKVYDGKDSSSRPLGTFTKNELLGLILNSTSNHLWLEFNTNGSDTDQGFQLTYTSFDLVKCEDPGIPNYGYRIRDEGHFTDTVVLYSCNPGYAMHGSNTLTCLSGDRRVWDKPLPSCIAECGGQIHAATSGRILSPGYPAPYDNNLHCTWIIEADPGKTISLHFIVFDTEMAHDILKVWDGPVDSDILLKEWSGSALPEDIHSTFNSLTLQFDSDFFISKSGFSIQFSTSIAATCNDPGMPQNGTRYGDSREAGDTVTFQCDPGYQLQGQAKITCVQLNNRFFWQPDPPTCIAACGGNLTGPAGVILSPNYPQPYPPGKECDWRVKVNPDFVIALIFKSFNMEPSYDFLHIYEGEDSNSPLIGSYQGSQAPERIESSGNSLFLAFRSDASVGLSGFAIEFKEKPREACFDPGNIMNGTRVGTDFKLGSTITYQCDSGYKILDPSSITCVIGADGKPSWDQVLPSCNAPCGGQYTGSEGVVLSPNYPHNYTAGQICLYSITVPKEFVVFGQFAYFQTALNDLAELFDGTHAQARLLSSLSGSHSGETLPLATSNQILLRFSAKSGASARGFHFVYQAVPRTSDTQCSSVPEPRYGRRIGSEFSAGSIVRFECNPGYLLQGSTALHCQSVPNALAQWNDTIPSCVVPCSGNFTQRRGTILSPGYPEPYGNNLNCIWKIIVTEGSGIQIQVISFATEQNWDSLEIHDGGDVTAPRLGSFSGTTVPALLNSTSNQLYLHFQSDISVAAAGFHLEYKTVGLAACQEPALPSNSIKIGDRYMVNDVLSFQCEPGYTLQGRSHISCMPGTVRRWNYPSPLCIATCGGTLSTLGGVILSPGFPGSYPNNLDCTWRISLPIGYGAHIQFLNFSTEANHDFLEIQNGPYHTSPMIGQFSGTDLPAALLSTTHETLIHFYSDHSQNRQGFKLAYQAYELQNCPDPPPFQNGYMINSDYSVGQSVSFECYPGYILIGHPVLTCQHGINRNWNYPFPRCDAPCGYNVTSQNGTIYSPGFPDEYPILKDCIWLITVPPGHGVYINFTLLQTEAVNDYIAVWDGPDQNSPQLGVFSGNTALETAYSSTNQVLLKFHSDFSNGGFFVLNFHAFQLKKCQPPPAVPQAEMLTEDDDFEIGDFVKYQCHPGYTLVGTDILTCKLSSQLQFEGSLPTCEAQCPANEVRTGSSGVILSPGYPGNYFNSQTCSWSIKVEPNYNITIFVDTFQSEKQFDALEVFDGSSGQSPLLVVLSGNHTEQSNFTSRSNQLYLRWSTDHATSKKGFKIRYAAPYCSLTHPLKNGGILNRTAGAVGSKVHYFCKPGYRMVGHSNATCRRNPLGMYQWDSLTPLCQAVSCGIPESPGNGSFTGNEFTLDSKVVYECHEGFKLESSQQATAVCQEDGLWSNKGKPPTCKPVACPSIEAQLSEHVIWRLVSGSLNEYGAQVLLSCSPGYYLEGWRLLRCQANGTWNIGDERPSCRVISCGSLSFPPNGNKIGTLTVYGATAIFTCNTGYTLVGSHVRECLANGLWSGSETRCLAGHCGSPDPIVNGHISGDGFSYRDTVVYQCNPGFRLVGTSVRICLQDHKWSGQTPVCVPITCGHPGNPAHGFTNGSEFNLNDVVNFTCNTGYLLQGVSRAQCRSNGQWSSPLPTCRVVNCSDPGFVENAIRHGQQNFPESFEYGMSILYHCKKGFYLLGSSALTCMANGLWDRSLPKCLAISCGHPGVPANAVLTGELFTYGAVVHYSCRGSESLIGNDTRVCQEDSHWSGALPHCTGNNPGFCGDPGTPAHGSRLGDDFKTKSLLRFSCEMGHQLRGSPERTCLLNGSWSGLQPVCEAVSCGNPGTPTNGMIVSSDGILFSSSVIYACWEGYKTSGLMTRHCTANGTWTGTAPDCTIISCGDPGTLANGIQFGTDFTFNKTVSYQCNPGYVMEAVTSATIRCTKDGRWNPSKPVCKAVLCPQPPPVQNGTVEGSDFRWGSSISYSCMDGYQLSHSAILSCEGRGVWKGEIPQCLPVFCGDPGIPAEGRLSGKSFTYKSEVFFQCKSPFILVGSSRRVCQADGTWSGIQPTCIDPAHNTCPDPGTPHFGIQNSSRGYEVGSTVFFRCRKGYHIQGSTTRTCLANLTWSGIQTECIPHACRQPETPAHADVRAIDLPTFGYTLVYTCHPGFFLAGGSEHRTCKADMKWTGKSPVCKSKGVREVNETVTKTPVPSDVFFVNSLWKGYYEYLGKRQPATLTVDWFNATSSKVNATFSEASPVELKLTGIYKKEEAHLLLKAFQIKGQADIFVSKFENDNWGLDGYVSSGLERGGFTFQGDIHGKDFGKFKLERQDPLNPDQDSSSHYHGTSSGSVAAAILVPFFALILSGFAFYLYKHRTRPKVQYNGYAGHENSNGQASFENPMYDTNLKPTEAKAVRFDTTLNTVCTVV
+>DECOY_sp|Q96PZ7|CSMD1_HUMAN CUB and sushi domain-containing protein 1 OS=Homo sapiens OX=9606 GN=CSMD1 PE=1 SV=3
+VVTCVTNLTTDFRVAKAETPKLNTDYMPNEFSAQGNSNEHGAYGNYQVKPRTRHKYLYFAFGSLILAFFPVLIAAAVSGSSTGHYHSSSDQDPNLPDQRELKFKGFDKGHIDGQFTFGGRELGSSVYGDLGWNDNEFKSVFIDAQGKIQFAKLLLHAEEKKYIGTLKLEVPSAESFTANVKSSTANFWDVTLTAPQRKGLYEYYGKWLSNVFFVDSPVPTKTVTENVERVGKSKCVPSKGTWKMDAKCTRHESGGALFFGPHCTYVLTYGFTPLDIARVDAHAPTEPQRCAHPICETQIGSWTLNALCTRTTSGQIHYGKRCRFFVTSGVEYGRSSNQIGFHPTGPDPCTNHAPDICTPQIGSWTGDAQCVRRSSGVLIFPSKCQFFVESKYTFSKGSLRGEAPIGPDGCFVPLCQPIEGKWVGRGECSLIASHSLQYGDMCSYSISSGWRFDSGEVTGNQVPPPQPCLVAKCVPKSPNWRGDKTCRITASTVAEMVYGPNCQYSVTKNFTFDTGFQIGNALTGPDGCSIITCDPATGTWTGNATCHRTMLGSTKYGEWCAYIVSSSFLIGDSSVIMGNTPTGPNGCSVAECVPQLGSWSGNLLCTREPSGRLQHGMECSFRLLSKTKFDDGLRSGHAPTGPDGCFGPNNGTCHPLAGSWHSDEQCVRTDNGILSESGRCSYHVVAGYTFLEGTLVANAPVGPHGCSIALCKPLSRDWLGNAMCTLASSGLLYFGKKCHYLISMGYEFSEPFNQQGHRIANEVFGPDSCNVVRCTPLPSSWQGNSRCQARSVGQLLYGTNCTFNVVDNLNFESGNTFGHAPNGPHGCTIPVCVPTQGSWKHDQLCIRVSTGVLRFGPNCQYVVTDRYSFGDGSIHGNVIPDPSGCHGALCRTESGSWLGNALCERVHSGVLTYGTNCTFIATAGYVTLTGIKNGNPPFSLSGCSIVRCSPREDGINWTGNAQCRLLRWGELYYGPSCSLLVQAGYENLSGSVLRWIVHESLQAEISPCAVPKCTPPKGKNSWLGDEQCVATAQQSSELKFGEHCEYVVKSDLTFENGTFSGNGPSEPIGCSVAQCLPTLSDWQYMGLPNRRCTANSHGVMRYGPKCFYHVKSGVAGATRNLIGGNKLPHTLSCYPAAYRIKFGKKSTAHDTSWRLYLQNSRSTFNSQETHNGSLVVLLPSQGSSGDFVELADFQKESQFTDVFITINYNPEVKISWSCTQSNFYNGPYGPSLIVGSSGTRVENAPCQAECTPLSGEFQLQSSLKCTLIDTGVLTYGPHCQYKVFDGIEFDDDETLMEAQPVAPPPQCKKLQFAHFNLVFFGGNSFDSHFKLLVQNTSSYATELATNGSFVGLQPSNQDPGDWVAIYDNVAETQLLTFNIYVGHGPPVTILWICDKLIPYEDPFGPSYITGNQSTVNYGCPADCRPFPYNWNRNIGHQCTLVPHGILIYGPYCEFSVSQGVSYDSNIMYGNQFPPPDPCNQLEYAQYALKFGQRNQSHDSYFHILTEHTTSLLAAPLDTGSFQGIMPSTHYPGNQIELFDHNAETSFNLFQIHAGYGIPLSIRWTCDLNNPYSGPFGPSLIVGGLTSLTGGCTAICLPSPYNWRRVTGPMCSIHSRGQLTYGPECQFSLVDNVMYRDGIKISNSPLAPEQCAALGVTKYELHFGAAAVSIDSQFHLYLQNSTSNLLAPVTTGSFSGLRPATVDGGDHIELSDWNQETAFSIVQIQIGSGETVIIKWICNLNNGYPEPYGPSLITGRRQTFNGSCPVVCSPITDNWQALANPVSQCHLATSGQLLYGPNCEFRVISGASFESGIRRGYRPEPVSSCQTDSTRPVAQYVFHFGRASAGSKASFRLLIQNSTALPLTEGSHSGSLSSLLRAQAHTGDFLEALDNLATQFYAFQGFVVFEKPVTISYLCIQGATYNHPYNPSLVVGESGTYQGGCPANCSPLVQDWSPKGDAGIVCTISSPDLIKYGSDCQYTITSGLKFDTGVRTGNMINGPDFCAERPKEKFEIAFGSLGVSADSRFALFLSNGSSEIREPAQSGQYSGILPSNSDEGEYIHLFDYSPEMNFSKFILAIVFDPNVKVRWDCEKGPPYPQPYNPSLIVGAPGTLNGGCAAICTPPDPQWFFRNNLQVCTIKAQGQLQYGPDCQFTVTDGAERSDGYRTGNQPMGPDNCTAAISTSFQISFGSKSIFFDSDFQLTLSNFTSHIDEPLASGSWEKLLIDSDVPGDWVKLIDHAMETDFVIFHLSITKGPDAEIIWTCHLNNDYPAPYGPSLIRGSTAAHIQGGCEAICSPLPKDWVRRDGSLCTLTNSGHMAYGPNCSYLVVTDTFHGEDRIRYGYNPIGPDECKVLDFSTYTLQFGQDTDSGNTNFELWLHNSTSNLILGLLENKTFTGLPRSSSDKGDYVKLTDGEFLQFSRTRLHIGKGAETEIKYICEHNNDYNSPFNPSLLTGENGKVSAGCEAVCRPLPASWVRRGGGLCTLKTAGELRYGLFCSFTLSDGVGFHFGIRRSFAPVGPDDCPELDYESFTINFGEYSISFDSIFRLQATFNGFLGAKITHPLVSGTLRAVPESFSGDETILLYDHSSELHFTHFIMQVGKGHSVEITWTCNLSNPYFDPFGPSLVTGSKGQIYGGCLADCSPLAHNWQHNRECVLPEDDSLTYGPDCSFTVTSRIGFDGGHRHGNVPIGPDLCSDSELTVSEYHILFGISSRSNDTTFLLYMFNGTSILFQPAQTGHYEGILPSSSAPGDRVELTDYNVETQFRDFTIKISHGPKAEIIWECHLSDKYYGPWGPPLIVGSSATLHGGCPAECRPVTSSWVVNGDQLICTISESGQTKVFGDDCHFSVSSGLLFRDGFRRGNIPIGPDHCENQGFTTYTINFGRGTTSHDSQFELRVIHGSSALQSPVENGSFTGLVTIDSIGDDKVALFDFQPEVDFDNFILHIRSGPESIILWVCNMNNGYEEPYNPSLIIGSSATFNFFCSFVCSPKNGSWQNNQQCTIVREGVLEFAAPCEFTLTDGHLFSSGTRKGYAPIGPDGCGGKEIEQYVAKFGPSGISDDSQLHLWMQNSMSVILDPVSSGTLVYLVSRTDGVKGADGVTLTDYGRELEFEEFALKIVKDPDTTTIVWVCHANDEYQVPYNPSTIVGSPGRLNSGCTRARCIPRHDSWAALTETVRQCTISKSGQLVYNDECSFQVNAGVRFDSGARRGNEPIGPDPCMDSVLAVGGQSLVSNKHSGDKSPLMKVGRSKLEIAKKVQFQANFGKRRHNSDSTFHLRLWNKSSIVPSPLNMGTLWISPAETGSIELFDYGEELQFDTFVLAITDGPEALITWTCDANNEYESPFHPSSISSSTGRLTGGCAGEARCFPAPFDWSAGNGPSVICTLIAHGELIYGPLCSYRIKDGINFRTGHLVGKLIEGPNGCTHSPLVEYLAKFGQASVAFDTTFWLTLISGTSVISSPLQFGSLRVKLNGQQPQGDYVSLIDFDEELAFTHFSLQIRNREGTIIIWTCNAYNPYGHPFGPSEITGNPGQVLGGCNQGKAATLLRACLVLLGLLLLLSQFRRWATM
+>sp|Q7Z408|CSMD2_HUMAN CUB and sushi domain-containing protein 2 OS=Homo sapiens OX=9606 GN=CSMD2 PE=1 SV=2
+MRLISIAPGPRWQVQSHHPRSAGQNCTFQLHGPNGTVESPGFPYGYPNYANCTWTITAEEQHRIQLVFQSFALEEDFDVLSVFDGPPQPENLRTRLTGFQLPATIVSAATTLSLRLISDYAVSAQGFHATYEVLPSHTCGNPGRLPNGIQQGSTFNLGDKVRYSCNLGFFLEGHAVLTCHAGSENSATWDFPLPSCRADDACGGTLRGQSGIISSPHFPSEYHNNADCTWTILAELGDTIALVFIDFQLEDGYDFLEVTGTEGSSLWFTGASLPAPVISSKNWLRLHFTSDGNHRQRGFSAQYQVKKQIELKSRGVKLMPSKDNSQKTSVLTQVGVSQGHNMCPDPGIPERGKRLGSDFRLGSSVQFTCNEGYDLQGSKRITCMKVSDMFAAWSDHRPVCRARMCDAHLRGPSGIITSPNFPIQYDNNAHCVWIITALNPSKVIKLAFEEFDLERGYDTLTVGDGGQDGDQKTVLYILTGTSVPDLIVSTNHQMWLLFQTDGSGSSLGFKASYEEIEQGSCGDPGIPAYGRREGSRFHHGDTLKFECQPAFELVGQKAITCQKNNQWSAKKPGCVFSCFFNFTSPSGVVLSPNYPEDYGNHLHCVWLILARPESRIHLAFNDIDVEPQFDFLVIKDGATAEAPVLGTFSGNQLPSSITSSGHVARLEFQTDHSTGKRGFNITFTTFRHNECPDPGVPVNGKRFGDSLQLGSSISFLCDEGFLGTQGSETITCVLKEGSVVWNSAVLRCEAPCGGHLTSPSGTILSPGWPGFYKDALSCAWVIEAQPGYPIKITFDRFKTEVNYDTLEVRDGRTYSAPLIGVYHGTQVPQFLISTSNYLYLLFSTDKSHSDIGFQLRYETITLQSDHCLDPGIPVNGQRHGNDFYVGALVTFSCDSGYTLSDGEPLECEPNFQWSRALPSCEALCGGFIQGSSGTILSPGFPDFYPNNLNCTWIIETSHGKGVFFTFHTFHLESGHDYLLITENGSFTQPLRQLTGSRLPAPISAGLYGNFTAQVRFISDFSMSYEGFNITFSEYDLEPCEEPEVPAYSIRKGLQFGVGDTLTFSCFPGYRLEGTARITCLGGRRRLWSSPLPRCVAECGNSVTGTQGTLLSPNFPVNYNNNHECIYSIQTQPGKGIQLKARAFELSEGDVLKVYDGNNNSARLLGVFSHSEMMGVTLNSTSSSLWLDFITDAENTSKGFELHFSSFELIKCEDPGTPKFGYKVHDEGHFAGSSVSFSCDPGYSLRGSEELLCLSGERRTWDRPLPTCVAECGGTVRGEVSGQVLSPGYPAPYEHNLNCIWTIEAEAGCTIGLHFLVFDTEEVHDVLRIWDGPVESGVLLKELSGPALPKDLHSTFNSVVLQFSTDFFTSKQGFAIQFSVSTATSCNDPGIPQNGSRSGDSWEAGDSTVFQCDPGYALQGSAEISCVKIENRFFWQPSPPTCIAPCGGDLTGPSGVILSPNYPEPYPPGKECDWKVTVSPDYVIALVFNIFNLEPGYDFLHIYDGRDSLSPLIGSFYGSQLPGRIESSSNSLFLAFRSDASVSNAGFVIDYTENPRESCFDPGSIKNGTRVGSDLKLGSSVTYYCHGGYEVEGTSTLSCILGPDGKPVWNNPRPVCTAPCGGQYVGSDGVVLSPNYPQNYTSGQICLYFVTVPKDYVVFGQFAFFHTALNDVVEVHDGHSQHSRLLSSLSGSHTGESLPLATSNQVLIKFSAKGLAPARGFHFVYQAVPRTSATQCSSVPEPRYGKRLGSDFSVGAIVRFECNSGYALQGSPEIECLPVPGALAQWNVSAPTCVVPCGGNLTERRGTILSPGFPEPYLNSLNCVWKIVVPEGAGIQIQVVSFVTEQNWDSLEVFDGADNTVTMLGSFSGTTVPALLNSTSNQLYLHFYSDISVSAAGFHLEYKTVGLSSCPEPAVPSNGVKTGERYLVNDVVSFQCEPGYALQGHAHISCMPGTVRRWNYPPPLCIAQCGGTVEEMEGVILSPGFPGNYPSNMDCSWKIALPVGFGAHIQFLNFSTEPNHDYIEIRNGPYETSRMMGRFSGSELPSSLLSTSHETTVYFHSDHSQNRPGFKLEYQDLTYSHQISSFLRGFDLSELERTNSTPPVAASYVWDLDPGCEAYELQECPDPEPFANGIVRGAGYNVGQSVTFECLPGYQLTGHPVLTCQHGTNRNWDHPLPKCEVPCGGNITSSNGTVYSPGFPSPYSSSQDCVWLITVPIGHGVRLNLSLLQTEPSGDFITIWDGPQQTAPRLGVFTRSMAKKTVQSSSNQVLLKFHRDAATGGIFAIAFSAYPLTKCPPPTILPNAEVVTENEEFNIGDIVRYRCLPGFTLVGNEILTCKLGTYLQFEGPPPICEVHCPTNELLTDSTGVILSQSYPGSYPQFQTCSWLVRVEPDYNISLTVEYFLSEKQYDEFEIFDGPSGQSPLLKALSGNYSAPLIVTSSSNSVYLRWSSDHAYNRKGFKIRYSAPYCSLPRAPLHGFILGQTSTQPGGSIHFGCNAGYRLVGHSMAICTRHPQGYHLWSEAIPLCQALSCGLPEAPKNGMVFGKEYTVGTKAMYSCSEGYHLQAGAEATAECLDTGLWSNRNVPPQCVPVTCPDVSSISVEHGRWRLIFETQYQFQAQLMLICDPGYYYTGQRVIRCQANGKWSLGDSTPTCRIISCGELPIPPNGHRIGTLSVYGATAIFSCNSGYTLVGSRVRECMANGLWSGSEVRCLAGHCGTPEPIVNGHINGENYSYRGSVVYQCNAGFRLIGMSVRICQQDHHWSGKTPFCVLVSCGHPGSPPHSQMSGDSYTVGAVVRYSCIGKRTLVGNSTRMCGLDGHWTGSLPHCSGTSVGVCGDPGIPAHGIRLGDSFDPGTVMRFSCEAGHVLRGSSERTCQANGSWSGSQPECGVISCGNPGTPSNARVVFSDGLVFSSSIVYECREGYYATGLLSRHCSVNGTWTGSDPECLVINCGDPGIPANGLRLGNDFRYNKTVTYQCVPGYMMESHRVSVLSCTKDRTWNGTKPVCKALMCKPPPLIPNGKVVGSDFMWGSSVTYACLEGYQLSLPAVFTCEGNGSWTGELPQCFPVFCGDPGVPSRGRREDRGFSYRSSVSFSCHPPLVLVGSPRRFCQSDGTWSGTQPSCIDPTLTTCADPGVPQFGIQNNSQGYQVGSTVLFRCQKGYLLQGSTTRTCLPNLTWSGTPPDCVPHHCRQPETPTHANVGALDLPSMGYTLIYSCQEGFSLKGGSEHRTCKADGSWTGKPPICLEVRPSGRPINTAREPPLTQALIPGDVFAKNSLWKGAYEYQGKKQPAMLRVTGFQVANSKVNATMIDHSGVELHLAGTYKKEDFHLLLQVYQITGPVEIFMNKFKDDHWALDGHVSSESSGATFIYQGSVKGQGFGQFGFQRLDLRLLESDPESIGRHFASNSSSVAAAILVPFIALIIAGFVLYLYKHRRRPKVPFNGYAGHENTNVRATFENPMYDRNIQPTDIMASEAEFTVSTVCTAV
+>DECOY_sp|Q7Z408|CSMD2_HUMAN CUB and sushi domain-containing protein 2 OS=Homo sapiens OX=9606 GN=CSMD2 PE=1 SV=2
+VATCVTSVTFEAESAMIDTPQINRDYMPNEFTARVNTNEHGAYGNFPVKPRRRHKYLYLVFGAIILAIFPVLIAAAVSSSNSAFHRGISEPDSELLRLDLRQFGFQGFGQGKVSGQYIFTAGSSESSVHGDLAWHDDKFKNMFIEVPGTIQYVQLLLHFDEKKYTGALHLEVGSHDIMTANVKSNAVQFGTVRLMAPQKKGQYEYAGKWLSNKAFVDGPILAQTLPPERATNIPRGSPRVELCIPPKGTWSGDAKCTRHESGGKLSFGEQCSYILTYGMSPLDLAGVNAHTPTEPQRCHHPVCDPPTGSWTLNPLCTRTTSGQLLYGKQCRFLVTSGVQYGQSNNQIGFQPVGPDACTTLTPDICSPQTGSWTGDSQCFRRPSGVLVLPPHCSFSVSSRYSFGRDERRGRSPVGPDGCFVPFCQPLEGTWSGNGECTFVAPLSLQYGELCAYTVSSGWMFDSGVVKGNPILPPPKCMLAKCVPKTGNWTRDKTCSLVSVRHSEMMYGPVCQYTVTKNYRFDNGLRLGNAPIGPDGCNIVLCEPDSGTWTGNVSCHRSLLGTAYYGERCEYVISSSFVLGDSFVVRANSPTGPNGCSIVGCEPQSGSWSGNAQCTRESSGRLVHGAECSFRMVTGPDFSDGLRIGHAPIGPDGCVGVSTGSCHPLSGTWHGDLGCMRTSNGVLTRKGICSYRVVAGVTYSDGSMQSHPPSGPHGCSVLVCFPTKGSWHHDQQCIRVSMGILRFGANCQYVVSGRYSYNEGNIHGNVIPEPTGCHGALCRVESGSWLGNAMCERVRSGVLTYGSNCSFIATAGYVSLTGIRHGNPPIPLEGCSIIRCTPTSDGLSWKGNAQCRIVRQGTYYYGPDCILMLQAQFQYQTEFILRWRGHEVSISSVDPCTVPVCQPPVNRNSWLGTDLCEATAEAGAQLHYGESCSYMAKTGVTYEKGFVMGNKPAEPLGCSLAQCLPIAESWLHYGQPHRTCIAMSHGVLRYGANCGFHISGGPQTSTQGLIFGHLPARPLSCYPASYRIKFGKRNYAHDSSWRLYVSNSSSTVILPASYNGSLAKLLPSQGSPGDFIEFEDYQKESLFYEVTLSINYDPEVRVLWSCTQFQPYSGPYSQSLIVGTSDTLLENTPCHVECIPPPGEFQLYTGLKCTLIENGVLTFGPLCRYRVIDGINFEENETVVEANPLITPPPCKTLPYASFAIAFIGGTAADRHFKLLVQNSSSQVTKKAMSRTFVGLRPATQQPGDWITIFDGSPETQLLSLNLRVGHGIPVTILWVCDQSSSYPSPFGPSYVTGNSSTINGGCPVECKPLPHDWNRNTGHQCTLVPHGTLQYGPLCEFTVSQGVNYGAGRVIGNAFPEPDPCEQLEYAECGPDLDWVYSAAVPPTSNTRELESLDFGRLFSSIQHSYTLDQYELKFGPRNQSHDSHFYVTTEHSTSLLSSPLESGSFRGMMRSTEYPGNRIEIYDHNPETSFNLFQIHAGFGVPLAIKWSCDMNSPYNGPFGPSLIVGEMEEVTGGCQAICLPPPYNWRRVTGPMCSIHAHGQLAYGPECQFSVVDNVLYREGTKVGNSPVAPEPCSSLGVTKYELHFGAASVSIDSYFHLYLQNSTSNLLAPVTTGSFSGLMTVTNDAGDFVELSDWNQETVFSVVQIQIGAGEPVVIKWVCNLSNLYPEPFGPSLITGRRETLNGGCPVVCTPASVNWQALAGPVPLCEIEPSGQLAYGSNCEFRVIAGVSFDSGLRKGYRPEPVSSCQTASTRPVAQYVFHFGRAPALGKASFKILVQNSTALPLSEGTHSGSLSSLLRSHQSHGDHVEVVDNLATHFFAFQGFVVYDKPVTVFYLCIQGSTYNQPYNPSLVVGDSGVYQGGCPATCVPRPNNWVPKGDPGLICSLTSTGEVEYGGHCYYTVSSGLKLDSGVRTGNKISGPDFCSERPNETYDIVFGANSVSADSRFALFLSNSSSEIRGPLQSGYFSGILPSLSDRGDYIHLFDYGPELNFINFVLAIVYDPSVTVKWDCEKGPPYPEPYNPSLIVGSPGTLDGGCPAICTPPSPQWFFRNEIKVCSIEASGQLAYGPDCQFVTSDGAEWSDGSRSGNQPIGPDNCSTATSVSFQIAFGQKSTFFDTSFQLVVSNFTSHLDKPLAPGSLEKLLVGSEVPGDWIRLVDHVEETDFVLFHLGITCGAEAEITWICNLNHEYPAPYGPSLVQGSVEGRVTGGCEAVCTPLPRDWTRREGSLCLLEESGRLSYGPDCSFSVSSGAFHGEDHVKYGFKPTGPDECKILEFSSFHLEFGKSTNEADTIFDLWLSSSTSNLTVGMMESHSFVGLLRASNNNGDYVKLVDGESLEFARAKLQIGKGPQTQISYICEHNNNYNVPFNPSLLTGQTGTVSNGCEAVCRPLPSSWLRRRGGLCTIRATGELRYGPFCSFTLTDGVGFQLGKRISYAPVEPEECPELDYESFTINFGEYSMSFDSIFRVQATFNGYLGASIPAPLRSGTLQRLPQTFSGNETILLYDHGSELHFTHFTFFVGKGHSTEIIWTCNLNNPYFDPFGPSLITGSSGQIFGGCLAECSPLARSWQFNPECELPEGDSLTYGSDCSFTVLAGVYFDNGHRQGNVPIGPDLCHDSQLTITEYRLQFGIDSHSKDTSFLLYLYNSTSILFQPVQTGHYVGILPASYTRGDRVELTDYNVETKFRDFTIKIPYGPQAEIVWACSLADKYFGPWGPSLITGSPSTLHGGCPAECRLVASNWVVSGEKLVCTITESGQTGLFGEDCLFSISSGLQLSDGFRKGNVPVGPDPCENHRFTTFTINFGRKGTSHDTQFELRAVHGSSTISSPLQNGSFTGLVPAEATAGDKIVLFDFQPEVDIDNFALHIRSEPRALILWVCHLHNGYDEPYNPSLVVGSPSTFNFFCSFVCGPKKASWQNNKQCTIAKQGVLEFAPQCEFKLTDGHHFRSGERRGYAPIGPDGCSGQEIEEYSAKFGLSSGSGDTQFLLWMQHNTSVILDPVSTGTLIYLVTKQDGDQGGDGVTLTDYGRELDFEEFALKIVKSPNLATIIWVCHANNDYQIPFNPSTIIGSPGRLHADCMRARCVPRHDSWAAFMDSVKMCTIRKSGQLDYGENCTFQVSSGLRFDSGLRKGREPIGPDPCMNHGQSVGVQTLVSTKQSNDKSPMLKVGRSKLEIQKKVQYQASFGRQRHNGDSTFHLRLWNKSSIVPAPLSAGTFWLSSGETGTVELFDYGDELQFDIFVLAITDGLEALITWTCDANNHYESPFHPSSIIGSQGRLTGGCADDARCSPLPFDWTASNESGAHCTLVAHGELFFGLNCSYRVKDGLNFTSGQQIGNPLRGPNGCTHSPLVEYTAHFGQASVAYDSILRLSLTTAASVITAPLQFGTLRTRLNEPQPPGDFVSLVDFDEELAFSQFVLQIRHQEEATITWTCNAYNPYGYPFGPSEVTGNPGHLQFTCNQGASRPHHSQVQWRPGPAISILRM
+>sp|Q7Z407|CSMD3_HUMAN CUB and sushi domain-containing protein 3 OS=Homo sapiens OX=9606 GN=CSMD3 PE=2 SV=3
+MKGIRKGESRAKESKPWEPGKRRCAKCGRLDFILMKKMGIKSGFTFWNLVFLLTVSCVKGFIYTCGGTLKGLNGTIESPGFPYGYPNGANCTWVIIAEERNRIQIVFQSFALEEEYDYLSLYDGHPHPTNFRTRLTGFHLPPPVTSTKSVFSLRLTSDFAVSAHGFKVYYEELQSSSCGNPGVPPKGVLYGTRFDVGDKIRYSCVTGYILDGHPQLTCIANSVNTASWDFPVPICRAEDACGGTMRGSSGIISSPSFPNEYHNNADCTWTIVAEPGDTISLIFTDFQMEEKYDYLEIEGSEPPTIWLSGMNIPPPIISNKNWLRLHFVTDSNHRYRGFSAPYQGSSTLTHTTSTGELEEHNRTTTGAIAVASTPADVTVSSVTAVTIHRLSEEQRVQVTSLRNSGLDPNTSKDGLSPHPADTQSTRRRPRHAEQIERTKELAVVTHRVKKAIDFKSRGFKLFPGKDNSNKFSILNEGGIKTASNLCPDPGEPENGKRIGSDFSLGSTVQFSCDEDYVLQGAKSITCQRIAEVFAAWSDHRPVCKVKTCGSNLQGPSGTFTSPNFPFQYDSNAQCVWVITAVNTNKVIQINFEEFDLEIGYDTLTIGDGGEVGDPRTVLQVLTGSFVPDLIVSMSSQMWLHLQTDESVGSVGFKVNYKEIEKESCGDPGTPLYGIREGDGFSNRDVLRFECQFGFELIGEKSIVCQENNQWSANIPICIFPCLSNFTAPMGTVLSPDYPEGYGNNLNCIWTIISDPGSRIHLSFNDFDLESQFDFLAVKDGDSPESPILGTFTGAEVPSHLTSNSHILRLEFQADHSMSGRGFNITYNTFGHNECPDPGIPINARRFGDNFQLGSSISVICEEGFIKTQGTETITCILMDGKVMWSGLIPKCGAPCGGHFSAPSGVILSPGWPGYYKDSLNCEWVIEAEPGHSIKITFERFQTELNYDVLEVHDGPNLLSPLLGSYNGTQVPQFLFSSSNFIYLLFTTDNSRSNNGFKIHYESVTVNTYSCLDPGIPVHGRRYGHDFSIGSTVSFSCDSGYRLSHEEPLLCEKNHWWSHPLPTCDALCGGDVRGPSGTILSPGYPEFYPNSLNCTWTVDVTHGKGVQFNFHTFHLEDHHDYLLITENGSFTQPLARLTGSDLPPTINAGLYGNFRAQLRFISDFSISYEGFNITFSEYNLEPCEDPGIPQYGSRIGFNFGIGDTLTFSCSSGYRLEGTSEIICLGGGRRVWSAPLPRCVAECGASATNNEGILLSPNYPLNYENNHECIYSIQVQAGKGINISARTFHLAQGDVLKIYDGKDKTTHLLGAFTGASMRGLTLSSTSNQLWLEFNSDTEGTDEGFQLVYTSFELSHCEDPGIPQFGYKISDQGHFAGSTIIYGCNPGYTLHGSSLLKCMTGERRAWDYPLPSCIAECGGRFKGESSGRILSPGYPFPYDNNLRCMWMIEVDPGNIVSLQFLAFDTEASHDILRVWDGPPENDMLLKEISGSLIPEGIHSTLNIVTIQFDTDFYISKSGFAIQFSSSVATACRDPGVPMNGTRNGDGREPGDTVVFQCDPGYELQGEERITCIQVENRYFWQPSPPVCIAPCGGNLTGSSGFILSPNFPHPYPHSRDCDWTITVNADYVISLAFISFSIEPNYDFLYIYDGPDSNSPLIGSFQDSKLPERIESSSNTMHLAFRSDGSVSYTGFHLEYKAKLRESCFDPGNIMNGTRLGMDYKLGSTVTYYCDAGYVLQGYSTLTCIMGDDGRPGWNRALPSCHAPCGSRSTGSEGTVLSPNYPKNYSVGHNCVYSIAVPKEFVVFGQFVFFQTSLHDVVEVYDGPTQQSSLLSSLSGSHSGESLPLSSGNQITIRFTSVGPITAKGFHFVYQAVPRTSSTQCSSVPEPRFGRRIGNEFAVGSSVLFDCNPGYILHGSIAIRCETVPNSLAQWNDSLPTCIVPCGGILTKRKGTILSPGYPEPYDNNLNCVWKITVPEGAGIQVQVVSFATEHNWDSLDFYDGGDNNAPRLGSYSGTTIPHLLNSTSNNLYLNFQSDISVSAAGFHLEYTAIGLDSCPEPQTPSSGIKIGDRYMVGDVVSFQCDQGYSLQGHSHITCMPGPVRRWNYPIPICLAQCGGAMSDFSGVILSPGFPGNYPSSLDCTWTINLPIGFGVHLQFVNFSTETIHDYLEVRSGSSETSTVIGRLSGPQIPSSLFSTTHETSLYFHSDYSQNKQGFHIVYQAYQLQSCPDPRPFRNGFVIGNDFTVGQTISFECFPGYTLIGNSALTCLHGVSRNWNHPLPRCEALCGGNITAMNGTIYSPGYPDEYPNFQDCFWLVRVPPGNGIYINFTVLQTEPIYDFITVWDGPDQNSPQIGQFSGNTALESVYSTSNQILIKFHSDFTTSGFFVLSYHAYQLRVCQPPPPVPNAEILTEDDEFEIGDIIRYQCLPGFTLVGNAILTCRLGERLQMDGAPPVCQVLCPANELRLDSTGVILSPGYPDSYPNLQMCAWSISVEKGYNITMFVEFFQTEKEFDVLQVYDGPNIQSPVLISLSGDYSSAFNITSNGHEVFLQWSADHGNNKKGFRIRYIAFYCSTPESPPHGYIISQTGGQLNSVVRWACDRGFRLVGKSSAVCRKSSYGYHAWDAPVPACQAISCGIPKAPTNGGILTTDYLVGTRVTYFCNDGYRLSSKELTTAVCQSDGTWSNHNKTPRCVVVTCPSINSFILEHGRWRIVNGSHYEYKTKVVFSCDPGYHGLGPASIECLPNGTWSWRNERPYCQIISCGELPTPPNGNKIGTQTSYGSTAIFTCDLGFMLVGSAVRECLSSGLWSESETRCLAGHCGIPELIVNGQVIGENYGYRDTVVYQCNPGFRLIGSSVRICQQDHNWSGQLPSCVPVSCGHPGSPIYGRTSGNGFNFNDVVTFSCNIGYLMQGPTKAQCQANRQWSHPPPMCKVVNCSDPGIPANSKRESKIEHGNFTYGTVVFYDCNPGYFLFGSSVLICQPNGQWDKPLPECIMIDCGHPGVPPNAVLSGEKYTFGSTVHYSCTGKRSLLGQSSRTCQLNGHWSGSQPHCSGDATGTCGDPGTPGHGSRQESNFRTKSTVRYACDTGYILHGSEERTCLANGSWTGRQPECKAVQCGNPGTTANGKVFRIDGTTFSSSVIYSCMEGYILSGPSVRQCTANGTWSGTLPNCTIISCGDPGIPANGLRYGDDYVVGQNVSYMCQPGYTMELNGSRIRTCTINGTWSGVMPTCRAVTCPTPPQISNGRLEGTNFDWGFSISYICSPGYELSFPAVLTCVGNGTWSGEVPQCLPKFCGDPGIPAQGKREGKSFIYQSEVSFSCNFPFILVGSSTRICQADGTWSGSSPHCIEPTQTSCENPGVPRHGSQNNTFGFQVGSVVQFHCKKGHLLQGSTTRTCLPDLTWSGIQPECIPHSCKQPETPAHANVVGMDLPSHGYTLIYTCQPGFFLAGGTEHRVCRSDNTWTGKVPICEAGSKILVKDPRPALGTPSPKLSVPDDVFAQNYIWKGSYNFKGRKQPMTLTVTSFNASTGRVNATLSNSNMELLLSGVYKSQEARLMLRIYLIKVPAHASVKKMKEENWAMDGFVSAEPDGATYVFQGFIQGKDYGQFGLQRLGLNMSEGSNSSNQPHGTNSSSVAIAILVPFFALIFAGFGFYLYKQRTAPKTQYTGCSVHENNNGQAAFENPMYDTNAKSVEGKAVRFDPNLNTVCTMV
+>DECOY_sp|Q7Z407|CSMD3_HUMAN CUB and sushi domain-containing protein 3 OS=Homo sapiens OX=9606 GN=CSMD3 PE=2 SV=3
+VMTCVTNLNPDFRVAKGEVSKANTDYMPNEFAAQGNNNEHVSCGTYQTKPATRQKYLYFGFGAFILAFFPVLIAIAVSSSNTGHPQNSSNSGESMNLGLRQLGFQGYDKGQIFGQFVYTAGDPEASVFGDMAWNEEKMKKVSAHAPVKILYIRLMLRAEQSKYVGSLLLEMNSNSLTANVRGTSANFSTVTLTMPQKRGKFNYSGKWIYNQAFVDDPVSLKPSPTGLAPRPDKVLIKSGAECIPVKGTWTNDSRCVRHETGGALFFGPQCTYILTYGHSPLDMGVVNAHAPTEPQKCSHPICEPQIGSWTLDPLCTRTTSGQLLHGKKCHFQVVSGVQFGFTNNQSGHRPVGPNECSTQTPEICHPSSGSWTGDAQCIRTSSGVLIFPFNCSFSVESQYIFSKGERKGQAPIGPDGCFKPLCQPVEGSWTGNGVCTLVAPFSLEYGPSCIYSISFGWDFNTGELRGNSIQPPTPCTVARCTPMVGSWTGNITCTRIRSGNLEMTYGPQCMYSVNQGVVYDDGYRLGNAPIGPDGCSIITCNPLTGSWTGNATCQRVSPGSLIYGEMCSYIVSSSFTTGDIRFVKGNATTGPNGCQVAKCEPQRGTWSGNALCTREESGHLIYGTDCAYRVTSKTRFNSEQRSGHGPTGPDGCTGTADGSCHPQSGSWHGNLQCTRSSQGLLSRKGTCSYHVTSGFTYKEGSLVANPPVGPHGCDIMICEPLPKDWQGNPQCILVSSGFLFYGPNCDYFVVTGYTFNGHEIKSERKSNAPIGPDSCNVVKCMPPPHSWQRNAQCQAKTPGQMLYGINCSFTVVDNFNFGNGSTRGYIPSGPHGCSVPVCSPLQGSWNHDQQCIRVSSGILRFGPNCQYVVTDRYGYNEGIVQGNVILEPIGCHGALCRTESESWLGSSLCERVASGVLMFGLDCTFIATSGYSTQTGIKNGNPPTPLEGCSIIQCYPRENRWSWTGNPLCEISAPGLGHYGPDCSFVVKTKYEYHSGNVIRWRGHELIFSNISPCTVVVCRPTKNHNSWTGDSQCVATTLEKSSLRYGDNCFYTVRTGVLYDTTLIGGNTPAKPIGCSIAQCAPVPADWAHYGYSSKRCVASSKGVLRFGRDCAWRVVSNLQGGTQSIIYGHPPSEPTSCYFAIYRIRFGKKNNGHDASWQLFVEHGNSTINFASSYDGSLSILVPSQINPGDYVQLVDFEKETQFFEVFMTINYGKEVSISWACMQLNPYSDPYGPSLIVGTSDLRLENAPCLVQCVPPAGDMQLREGLRCTLIANGVLTFGPLCQYRIIDGIEFEDDETLIEANPVPPPPQCVRLQYAHYSLVFFGSTTFDSHFKILIQNSTSYVSELATNGSFQGIQPSNQDPGDWVTIFDYIPETQLVTFNIYIGNGPPVRVLWFCDQFNPYEDPYGPSYITGNMATINGGCLAECRPLPHNWNRSVGHLCTLASNGILTYGPFCEFSITQGVTFDNGIVFGNRFPRPDPCSQLQYAQYVIHFGQKNQSYDSHFYLSTEHTTSFLSSPIQPGSLRGIVTSTESSGSRVELYDHITETSFNVFQLHVGFGIPLNITWTCDLSSPYNGPFGPSLIVGSFDSMAGGCQALCIPIPYNWRRVPGPMCTIHSHGQLSYGQDCQFSVVDGVMYRDGIKIGSSPTQPEPCSDLGIATYELHFGAASVSIDSQFNLYLNNSTSNLLHPITTGSYSGLRPANNDGGDYFDLSDWNHETAFSVVQVQIGAGEPVTIKWVCNLNNDYPEPYGPSLITGKRKTLIGGCPVICTPLSDNWQALSNPVTECRIAISGHLIYGPNCDFLVSSGVAFENGIRRGFRPEPVSSCQTSSTRPVAQYVFHFGKATIPGVSTFRITIQNGSSLPLSEGSHSGSLSSLLSSQQTPGDYVEVVDHLSTQFFVFQGFVVFEKPVAISYVCNHGVSYNKPYNPSLVTGESGTSRSGCPAHCSPLARNWGPRGDDGMICTLTSYGQLVYGADCYYTVTSGLKYDMGLRTGNMINGPDFCSERLKAKYELHFGTYSVSGDSRFALHMTNSSSEIREPLKSDQFSGILPSNSDPGDYIYLFDYNPEISFSIFALSIVYDANVTITWDCDRSHPYPHPFNPSLIFGSSGTLNGGCPAICVPPSPQWFYRNEVQICTIREEGQLEYGPDCQFVVTDGPERGDGNRTGNMPVGPDRCATAVSSSFQIAFGSKSIYFDTDFQITVINLTSHIGEPILSGSIEKLLMDNEPPGDWVRLIDHSAETDFALFQLSVINGPDVEIMWMCRLNNDYPFPYGPSLIRGSSEGKFRGGCEAICSPLPYDWARREGTMCKLLSSGHLTYGPNCGYIITSGAFHGQDSIKYGFQPIGPDECHSLEFSTYVLQFGEDTGETDSNFELWLQNSTSSLTLGRMSAGTFAGLLHTTKDKGDYIKLVDGQALHFTRASINIGKGAQVQISYICEHNNEYNLPYNPSLLIGENNTASAGCEAVCRPLPASWVRRGGGLCIIESTGELRYGSSCSFTLTDGIGFNFGIRSGYQPIGPDECPELNYESFTINFGEYSISFDSIFRLQARFNGYLGANITPPLDSGTLRALPQTFSGNETILLYDHHDELHFTHFNFQVGKGHTVDVTWTCNLSNPYFEPYGPSLITGSPGRVDGGCLADCTPLPHSWWHNKECLLPEEHSLRYGSDCSFSVTSGISFDHGYRRGHVPIGPDLCSYTNVTVSEYHIKFGNNSRSNDTTFLLYIFNSSSFLFQPVQTGNYSGLLPSLLNPGDHVELVDYNLETQFREFTIKISHGPEAEIVWECNLSDKYYGPWGPSLIVGSPASFHGGCPAGCKPILGSWMVKGDMLICTITETGQTKIFGEECIVSISSGLQFNDGFRRANIPIGPDPCENHGFTNYTINFGRGSMSHDAQFELRLIHSNSTLHSPVEAGTFTGLIPSEPSDGDKVALFDFQSELDFDNFSLHIRSGPDSIITWICNLNNGYGEPYDPSLVTGMPATFNSLCPFICIPINASWQNNEQCVISKEGILEFGFQCEFRLVDRNSFGDGERIGYLPTGPDGCSEKEIEKYNVKFGVSGVSEDTQLHLWMQSSMSVILDPVFSGTLVQLVTRPDGVEGGDGITLTDYGIELDFEEFNIQIVKNTNVATIVWVCQANSDYQFPFNPSTFTGSPGQLNSGCTKVKCVPRHDSWAAFVEAIRQCTISKAGQLVYDEDCSFQVTSGLSFDSGIRKGNEPEGPDPCLNSATKIGGENLISFKNSNDKGPFLKFGRSKFDIAKKVRHTVVALEKTREIQEAHRPRRRTSQTDAPHPSLGDKSTNPDLGSNRLSTVQVRQEESLRHITVATVSSVTVDAPTSAVAIAGTTTRNHEELEGTSTTHTLTSSGQYPASFGRYRHNSDTVFHLRLWNKNSIIPPPINMGSLWITPPESGEIELYDYKEEMQFDTFILSITDGPEAVITWTCDANNHYENPFSPSSIIGSSGRMTGGCADEARCIPVPFDWSATNVSNAICTLQPHGDLIYGTVCSYRIKDGVDFRTGYLVGKPPVGPNGCSSSQLEEYYVKFGHASVAFDSTLRLSFVSKTSTVPPPLHFGTLRTRFNTPHPHGDYLSLYDYEEELAFSQFVIQIRNREEAIIVWTCNAGNPYGYPFGPSEITGNLGKLTGGCTYIFGKVCSVTLLFVLNWFTFGSKIGMKKMLIFDLRGCKACRRKGPEWPKSEKARSEGKRIGKM
+>sp|P04439|1A03_HUMAN HLA class I histocompatibility antigen, A-3 alpha chain OS=Homo sapiens OX=9606 GN=HLA-A PE=1 SV=2
+MAVMAPRTLLLLLSGALALTQTWAGSHSMRYFFTSVSRPGRGEPRFIAVGYVDDTQFVRFDSDAASQRMEPRAPWIEQEGPEYWDQETRNVKAQSQTDRVDLGTLRGYYNQSEAGSHTIQIMYGCDVGSDGRFLRGYRQDAYDGKDYIALNEDLRSWTAADMAAQITKRKWEAAHEAEQLRAYLDGTCVEWLRRYLENGKETLQRTDPPKTHMTHHPISDHEATLRCWALGFYPAEITLTWQRDGEDQTQDTELVETRPAGDGTFQKWAAVVVPSGEEQRYTCHVQHEGLPKPLTLRWELSSQPTIPIVGIIAGLVLLGAVITGAVVAAVMWRRKSSDRKGGSYTQAASSDSAQGSDVSLTACKV
+>DECOY_sp|P04439|1A03_HUMAN HLA class I histocompatibility antigen, A-3 alpha chain OS=Homo sapiens OX=9606 GN=HLA-A PE=1 SV=2
+VKCATLSVDSGQASDSSAAQTYSGGKRDSSKRRWMVAAVVAGTIVAGLLVLGAIIGVIPITPQSSLEWRLTLPKPLGEHQVHCTYRQEEGSPVVVAAWKQFTGDGAPRTEVLETDQTQDEGDRQWTLTIEAPYFGLAWCRLTAEHDSIPHHTMHTKPPDTRQLTEKGNELYRRLWEVCTGDLYARLQEAEHAAEWKRKTIQAAMDAATWSRLDENLAIYDKGDYADQRYGRLFRGDSGVDCGYMIQITHSGAESQNYYGRLTGLDVRDTQSQAKVNRTEQDWYEPGEQEIWPARPEMRQSAADSDFRVFQTDDVYGVAIFRPEGRGPRSVSTFFYRMSHSGAWTQTLALAGSLLLLLTRPAMVAM
+>sp|P30447|1A23_HUMAN HLA class I histocompatibility antigen, A-23 alpha chain OS=Homo sapiens OX=9606 GN=HLA-A PE=1 SV=1
+MAVMAPRTLVLLLSGALALTQTWAGSHSMRYFSTSVSRPGRGEPRFIAVGYVDDTQFVRFDSDAASQRMEPRAPWIEQEGPEYWDEETGKVKAHSQTDRENLRIALRYYNQSEAGSHTLQMMFGCDVGSDGRFLRGYHQYAYDGKDYIALKEDLRSWTAADMAAQITQRKWEAARVAEQLRAYLEGTCVDGLRRYLENGKETLQRTDPPKTHMTHHPISDHEATLRCWALGFYPAEITLTWQRDGEDQTQDTELVETRPAGDGTFQKWAAVVVPSGEEQRYTCHVQHEGLPKPLTLRWEPSSQPTVHIVGIIAGLVLLGAVITGAVVAAVMWRRNSSDRKGGSYSQAASSDSAQGSDVSLTACKV
+>DECOY_sp|P30447|1A23_HUMAN HLA class I histocompatibility antigen, A-23 alpha chain OS=Homo sapiens OX=9606 GN=HLA-A PE=1 SV=1
+VKCATLSVDSGQASDSSAAQSYSGGKRDSSNRRWMVAAVVAGTIVAGLLVLGAIIGVIHVTPQSSPEWRLTLPKPLGEHQVHCTYRQEEGSPVVVAAWKQFTGDGAPRTEVLETDQTQDEGDRQWTLTIEAPYFGLAWCRLTAEHDSIPHHTMHTKPPDTRQLTEKGNELYRRLGDVCTGELYARLQEAVRAAEWKRQTIQAAMDAATWSRLDEKLAIYDKGDYAYQHYGRLFRGDSGVDCGFMMQLTHSGAESQNYYRLAIRLNERDTQSHAKVKGTEEDWYEPGEQEIWPARPEMRQSAADSDFRVFQTDDVYGVAIFRPEGRGPRSVSTSFYRMSHSGAWTQTLALAGSLLLVLTRPAMVAM
+>sp|P05534|1A24_HUMAN HLA class I histocompatibility antigen, A-24 alpha chain OS=Homo sapiens OX=9606 GN=HLA-A PE=1 SV=2
+MAVMAPRTLVLLLSGALALTQTWAGSHSMRYFSTSVSRPGRGEPRFIAVGYVDDTQFVRFDSDAASQRMEPRAPWIEQEGPEYWDEETGKVKAHSQTDRENLRIALRYYNQSEAGSHTLQMMFGCDVGSDGRFLRGYHQYAYDGKDYIALKEDLRSWTAADMAAQITKRKWEAAHVAEQQRAYLEGTCVDGLRRYLENGKETLQRTDPPKTHMTHHPISDHEATLRCWALGFYPAEITLTWQRDGEDQTQDTELVETRPAGDGTFQKWAAVVVPSGEEQRYTCHVQHEGLPKPLTLRWEPSSQPTVPIVGIIAGLVLLGAVITGAVVAAVMWRRNSSDRKGGSYSQAASSDSAQGSDVSLTACKV
+>DECOY_sp|P05534|1A24_HUMAN HLA class I histocompatibility antigen, A-24 alpha chain OS=Homo sapiens OX=9606 GN=HLA-A PE=1 SV=2
+VKCATLSVDSGQASDSSAAQSYSGGKRDSSNRRWMVAAVVAGTIVAGLLVLGAIIGVIPVTPQSSPEWRLTLPKPLGEHQVHCTYRQEEGSPVVVAAWKQFTGDGAPRTEVLETDQTQDEGDRQWTLTIEAPYFGLAWCRLTAEHDSIPHHTMHTKPPDTRQLTEKGNELYRRLGDVCTGELYARQQEAVHAAEWKRKTIQAAMDAATWSRLDEKLAIYDKGDYAYQHYGRLFRGDSGVDCGFMMQLTHSGAESQNYYRLAIRLNERDTQSHAKVKGTEEDWYEPGEQEIWPARPEMRQSAADSDFRVFQTDDVYGVAIFRPEGRGPRSVSTSFYRMSHSGAWTQTLALAGSLLLVLTRPAMVAM
+>sp|P10314|1A32_HUMAN HLA class I histocompatibility antigen, A-32 alpha chain OS=Homo sapiens OX=9606 GN=HLA-A PE=1 SV=2
+MAVMAPRTLLLLLLGALALTQTWAGSHSMRYFFTSVSRPGRGEPRFIAVGYVDDTQFVRFDSDAASQRMEPRAPWIEQEGPEYWDQETRNVKAHSQTDRESLRIALRYYNQSEAGSHTIQMMYGCDVGPDGRLLRGYQQDAYDGKDYIALNEDLRSWTAADMAAQITQRKWEAARVAEQLRAYLEGTCVEWLRRYLENGKETLQRTDAPKTHMTHHAVSDHEATLRCWALSFYPAEITLTWQRDGEDQTQDTELVETRPAGDGTFQKWASVVVPSGQEQRYTCHVQHEGLPKPLTLRWEPSSQPTIPIVGIIAGLVLFGAMFAGAVVAAVRWRRKSSDRKGGSYSQAASSDSAQGSDMSLTACKV
+>DECOY_sp|P10314|1A32_HUMAN HLA class I histocompatibility antigen, A-32 alpha chain OS=Homo sapiens OX=9606 GN=HLA-A PE=1 SV=2
+VKCATLSMDSGQASDSSAAQSYSGGKRDSSKRRWRVAAVVAGAFMAGFLVLGAIIGVIPITPQSSPEWRLTLPKPLGEHQVHCTYRQEQGSPVVVSAWKQFTGDGAPRTEVLETDQTQDEGDRQWTLTIEAPYFSLAWCRLTAEHDSVAHHTMHTKPADTRQLTEKGNELYRRLWEVCTGELYARLQEAVRAAEWKRQTIQAAMDAATWSRLDENLAIYDKGDYADQQYGRLLRGDPGVDCGYMMQITHSGAESQNYYRLAIRLSERDTQSHAKVNRTEQDWYEPGEQEIWPARPEMRQSAADSDFRVFQTDDVYGVAIFRPEGRGPRSVSTFFYRMSHSGAWTQTLALAGLLLLLLTRPAMVAM
+>sp|P30453|1A34_HUMAN HLA class I histocompatibility antigen, A-34 alpha chain OS=Homo sapiens OX=9606 GN=HLA-A PE=1 SV=1
+MAIMAPRTLVLLLSGALALTQTWAGSHSMRYFYTSVSRPGRGEPRFIAVGYVDDTQFVRFDSDAASQRMEPRAPWIEQEGPEYWDRNTRKVKAQSQTDRVDLGTLRGYYNQSEDGSHTIQRMYGCDVGPDGRFLRGYQQDAYDGKDYIALNEDLRSWTAADMAAQITQRKWETAHEAEQWRAYLEGTCVEWLRRYLENGKETLQRTDAPKTHMTHHAVSDHEATLRCWALSFYPAEITLTWQRDGEDQTQDTELVETRPAGDGTFQKWASVVVPSGQEQRYTCHVQHEGLPKPLTLRWEPSSQPTIPIVGILAGLVLFGAVIAGAVVAAVMWRRKSSDRKGGSYSQAASSDSAQGSDMSLTACKV
+>DECOY_sp|P30453|1A34_HUMAN HLA class I histocompatibility antigen, A-34 alpha chain OS=Homo sapiens OX=9606 GN=HLA-A PE=1 SV=1
+VKCATLSMDSGQASDSSAAQSYSGGKRDSSKRRWMVAAVVAGAIVAGFLVLGALIGVIPITPQSSPEWRLTLPKPLGEHQVHCTYRQEQGSPVVVSAWKQFTGDGAPRTEVLETDQTQDEGDRQWTLTIEAPYFSLAWCRLTAEHDSVAHHTMHTKPADTRQLTEKGNELYRRLWEVCTGELYARWQEAEHATEWKRQTIQAAMDAATWSRLDENLAIYDKGDYADQQYGRLFRGDPGVDCGYMRQITHSGDESQNYYGRLTGLDVRDTQSQAKVKRTNRDWYEPGEQEIWPARPEMRQSAADSDFRVFQTDDVYGVAIFRPEGRGPRSVSTYFYRMSHSGAWTQTLALAGSLLLVLTRPAMIAM
+>sp|P30459|1A74_HUMAN HLA class I histocompatibility antigen, A-74 alpha chain OS=Homo sapiens OX=9606 GN=HLA-A PE=1 SV=1
+MAVMAPRTLLLLLLGALALTQTRAGSHSMRYFFTSVSRPGRGEPRFIAVGYVDDTQFVRFDSDAASQRMEPRAPWIEQEGPEYWDQETRNVKAHSQTDRVDLGTLRGYYNQSEAGSHTIQMMYGCDVGPDGRLLRGYQQDAYDGKDYIALNEDLRSWTAADMAAQITQRKWEAARVAEQLRAYLEGTCVEWLRRYLENGKETLQRTDAPKTHMTHHAVSDHEATLRCWALSFYPAEITLTWQRDGEDQTQDTELVETRPAGDGTFQKWASVVVPSGQEQRYTCHVQHEGLPKPLTLRWEPSSQPTIPIVGIIAGLVLFGAMFAGAVVAAVRWRRKSSDRKGGSYSQAASSDSAQGSDMSLTACKV
+>DECOY_sp|P30459|1A74_HUMAN HLA class I histocompatibility antigen, A-74 alpha chain OS=Homo sapiens OX=9606 GN=HLA-A PE=1 SV=1
+VKCATLSMDSGQASDSSAAQSYSGGKRDSSKRRWRVAAVVAGAFMAGFLVLGAIIGVIPITPQSSPEWRLTLPKPLGEHQVHCTYRQEQGSPVVVSAWKQFTGDGAPRTEVLETDQTQDEGDRQWTLTIEAPYFSLAWCRLTAEHDSVAHHTMHTKPADTRQLTEKGNELYRRLWEVCTGELYARLQEAVRAAEWKRQTIQAAMDAATWSRLDENLAIYDKGDYADQQYGRLLRGDPGVDCGYMMQITHSGAESQNYYGRLTGLDVRDTQSHAKVNRTEQDWYEPGEQEIWPARPEMRQSAADSDFRVFQTDDVYGVAIFRPEGRGPRSVSTFFYRMSHSGARTQTLALAGLLLLLLTRPAMVAM
+>sp|P01889|1B07_HUMAN HLA class I histocompatibility antigen, B-7 alpha chain OS=Homo sapiens OX=9606 GN=HLA-B PE=1 SV=3
+MLVMAPRTVLLLLSAALALTETWAGSHSMRYFYTSVSRPGRGEPRFISVGYVDDTQFVRFDSDAASPREEPRAPWIEQEGPEYWDRNTQIYKAQAQTDRESLRNLRGYYNQSEAGSHTLQSMYGCDVGPDGRLLRGHDQYAYDGKDYIALNEDLRSWTAADTAAQITQRKWEAAREAEQRRAYLEGECVEWLRRYLENGKDKLERADPPKTHVTHHPISDHEATLRCWALGFYPAEITLTWQRDGEDQTQDTELVETRPAGDRTFQKWAAVVVPSGEEQRYTCHVQHEGLPKPLTLRWEPSSQSTVPIVGIVAGLAVLAVVVIGAVVAAVMCRRKSSGGKGGSYSQAACSDSAQGSDVSLTA
+>DECOY_sp|P01889|1B07_HUMAN HLA class I histocompatibility antigen, B-7 alpha chain OS=Homo sapiens OX=9606 GN=HLA-B PE=1 SV=3
+ATLSVDSGQASDSCAAQSYSGGKGGSSKRRCMVAAVVAGIVVVALVALGAVIGVIPVTSQSSPEWRLTLPKPLGEHQVHCTYRQEEGSPVVVAAWKQFTRDGAPRTEVLETDQTQDEGDRQWTLTIEAPYFGLAWCRLTAEHDSIPHHTVHTKPPDARELKDKGNELYRRLWEVCEGELYARRQEAERAAEWKRQTIQAATDAATWSRLDENLAIYDKGDYAYQDHGRLLRGDPGVDCGYMSQLTHSGAESQNYYGRLNRLSERDTQAQAKYIQTNRDWYEPGEQEIWPARPEERPSAADSDFRVFQTDDVYGVSIFRPEGRGPRSVSTYFYRMSHSGAWTETLALAASLLLLVTRPAMVLM
+>sp|P30466|1B18_HUMAN HLA class I histocompatibility antigen, B-18 alpha chain OS=Homo sapiens OX=9606 GN=HLA-B PE=1 SV=1
+MRVTAPRTLLLLLWGAVALTETWAGSHSMRYFHTSVSRPGRGEPRFISVGYVDGTQFVRFDSDAASPRTEPRAPWIEQEGPEYWDRNTQISKTNTQTYRESLRNLRGYYNQSEAGSHTLQRMYGCDVGPDGRLLRGHDQSAYDGKDYIALNEDLSSWTAADTAAQITQRKWEAARVAEQLRAYLEGTCVEWLRRHLENGKETLQRADPPKTHVTHHPISDHEATLRCWALGFYPAEITLTWQRDGEDQTQDTELVETRPAGDRTFQKWAAVVVPSGEEQRYTCHVQHEGLPKPLTLRWEPSSQSTIPIVGIVAGLAVLAVVVIGAVVATVMCRRKSSGGKGGSYSQAASSDSAQGSDVSLTA
+>DECOY_sp|P30466|1B18_HUMAN HLA class I histocompatibility antigen, B-18 alpha chain OS=Homo sapiens OX=9606 GN=HLA-B PE=1 SV=1
+ATLSVDSGQASDSSAAQSYSGGKGGSSKRRCMVTAVVAGIVVVALVALGAVIGVIPITSQSSPEWRLTLPKPLGEHQVHCTYRQEEGSPVVVAAWKQFTRDGAPRTEVLETDQTQDEGDRQWTLTIEAPYFGLAWCRLTAEHDSIPHHTVHTKPPDARQLTEKGNELHRRLWEVCTGELYARLQEAVRAAEWKRQTIQAATDAATWSSLDENLAIYDKGDYASQDHGRLLRGDPGVDCGYMRQLTHSGAESQNYYGRLNRLSERYTQTNTKSIQTNRDWYEPGEQEIWPARPETRPSAADSDFRVFQTGDVYGVSIFRPEGRGPRSVSTHFYRMSHSGAWTETLAVAGWLLLLLTRPATVRM
+>sp|P18463|1B37_HUMAN HLA class I histocompatibility antigen, B-37 alpha chain OS=Homo sapiens OX=9606 GN=HLA-B PE=1 SV=1
+MRVTAPRTLLLLLWGAVALTETWAGSHSMRYFHTSVSRPGRGEPRFISVGYVDDTQFVRFDSDAASPRTEPRAPWIEQEGPEYWDRETQISKTNTQTYREDLRTLLRYYNQSEAGSHTIQRMSGCDVGPDGRLLRGYNQFAYDGKDYIALNEDLSSWTAADTAAQITQRKWEAARVAEQDRAYLEGTCVEWLRRYLENGKETLQRADPPKTHVTHHPISDHEATLRCWALGFYPAEITLTWQRDGEDQTQDTELVETRPAGDRTFQKWAAVVVPSGEEQRYTCHVQHEGLPKPLTLRWEPSSQSTIPIVGIVAGLAVLAVVVIGAVVATVMCRRKSSGGKGGSYSQAASSDSAQGSDVSLTA
+>DECOY_sp|P18463|1B37_HUMAN HLA class I histocompatibility antigen, B-37 alpha chain OS=Homo sapiens OX=9606 GN=HLA-B PE=1 SV=1
+ATLSVDSGQASDSSAAQSYSGGKGGSSKRRCMVTAVVAGIVVVALVALGAVIGVIPITSQSSPEWRLTLPKPLGEHQVHCTYRQEEGSPVVVAAWKQFTRDGAPRTEVLETDQTQDEGDRQWTLTIEAPYFGLAWCRLTAEHDSIPHHTVHTKPPDARQLTEKGNELYRRLWEVCTGELYARDQEAVRAAEWKRQTIQAATDAATWSSLDENLAIYDKGDYAFQNYGRLLRGDPGVDCGSMRQITHSGAESQNYYRLLTRLDERYTQTNTKSIQTERDWYEPGEQEIWPARPETRPSAADSDFRVFQTDDVYGVSIFRPEGRGPRSVSTHFYRMSHSGAWTETLAVAGWLLLLLTRPATVRM
+>sp|P30479|1B41_HUMAN HLA class I histocompatibility antigen, B-41 alpha chain OS=Homo sapiens OX=9606 GN=HLA-B PE=1 SV=1
+MRVTAPRTVLLLLSAALALTETWAGSHSMRYFHTAMSRPGRGEPRFITVGYVDDTLFVRFDSDATSPRKEPRAPWIEQEGPEYWDRETQISKTNTQTYRESLRNLRGYYNQSEAGSHTWQRMYGCDVGPDGRLLRGHNQYAYDGKDYIALNEDLRSWTAADTAAQITQRKWEAARVAEQDRAYLEGTCVEWLRRYLENGKDTLERADPPKTHVTHHPISDHEATLRCWALGFYPAEITLTWQRDGEDQTQDTELVETRPAGDRTFQKWAAVVVPSGEEQRYTCHVQHEGLPKPLTLRWEPSSQSTVPIVGIVAGLAVLAVVVIGAVVAAVMCRRKSSGGKGGSYSQAACSDSAQGSDVSLTA
+>DECOY_sp|P30479|1B41_HUMAN HLA class I histocompatibility antigen, B-41 alpha chain OS=Homo sapiens OX=9606 GN=HLA-B PE=1 SV=1
+ATLSVDSGQASDSCAAQSYSGGKGGSSKRRCMVAAVVAGIVVVALVALGAVIGVIPVTSQSSPEWRLTLPKPLGEHQVHCTYRQEEGSPVVVAAWKQFTRDGAPRTEVLETDQTQDEGDRQWTLTIEAPYFGLAWCRLTAEHDSIPHHTVHTKPPDARELTDKGNELYRRLWEVCTGELYARDQEAVRAAEWKRQTIQAATDAATWSRLDENLAIYDKGDYAYQNHGRLLRGDPGVDCGYMRQWTHSGAESQNYYGRLNRLSERYTQTNTKSIQTERDWYEPGEQEIWPARPEKRPSTADSDFRVFLTDDVYGVTIFRPEGRGPRSMATHFYRMSHSGAWTETLALAASLLLLVTRPATVRM
+>sp|P30481|1B44_HUMAN HLA class I histocompatibility antigen, B-44 alpha chain OS=Homo sapiens OX=9606 GN=HLA-B PE=1 SV=1
+MRVTAPRTLLLLLWGAVALTETWAGSHSMRYFYTAMSRPGRGEPRFITVGYVDDTLFVRFDSDATSPRKEPRAPWIEQEGPEYWDRETQISKTNTQTYRENLRTALRYYNQSEAGSHIIQRMYGCDVGPDGRLLRGYDQDAYDGKDYIALNEDLSSWTAADTAAQITQRKWEAARVAEQDRAYLEGLCVESLRRYLENGKETLQRADPPKTHVTHHPISDHEVTLRCWALGFYPAEITLTWQRDGEDQTQDTELVETRPAGDRTFQKWAAVVVPSGEEQRYTCHVQHEGLPKPLTLRWEPSSQSTVPIVGIVAGLAVLAVVVIGAVVAAVMCRRKSSGGKGGSYSQAACSDSAQGSDVSLTA
+>DECOY_sp|P30481|1B44_HUMAN HLA class I histocompatibility antigen, B-44 alpha chain OS=Homo sapiens OX=9606 GN=HLA-B PE=1 SV=1
+ATLSVDSGQASDSCAAQSYSGGKGGSSKRRCMVAAVVAGIVVVALVALGAVIGVIPVTSQSSPEWRLTLPKPLGEHQVHCTYRQEEGSPVVVAAWKQFTRDGAPRTEVLETDQTQDEGDRQWTLTIEAPYFGLAWCRLTVEHDSIPHHTVHTKPPDARQLTEKGNELYRRLSEVCLGELYARDQEAVRAAEWKRQTIQAATDAATWSSLDENLAIYDKGDYADQDYGRLLRGDPGVDCGYMRQIIHSGAESQNYYRLATRLNERYTQTNTKSIQTERDWYEPGEQEIWPARPEKRPSTADSDFRVFLTDDVYGVTIFRPEGRGPRSMATYFYRMSHSGAWTETLAVAGWLLLLLTRPATVRM
+>sp|P30493|1B55_HUMAN HLA class I histocompatibility antigen, B-55 alpha chain OS=Homo sapiens OX=9606 GN=HLA-B PE=1 SV=1
+MRVTAPRTLLLLLWGALALTETWAGSHSMRYFYTAMSRPGRGEPRFIAVGYVDDTQFVRFDSDAASPREEPRAPWIEQEGPEYWDRNTQIYKAQAQTDRESLRNLRGYYNQSEAGSHTWQTMYGCDLGPDGRLLRGHNQLAYDGKDYIALNEDLSSWTAADTAAQITQRKWEAAREAEQLRAYLEGTCVEWLRRYLENGKETLQRADPPKTHVTHHPISDHEATLRCWALGFYPAEITLTWQRDGEDQTQDTELVETRPAGDRTFQKWAAVVVPSGEEQRYTCHVQHEGLPKPLTLRWEPSSQSTIPIVGIVAGLAVLAVVVIGAVVATVMCRRKSSGGKGGSYSQAASSDSAQGSDVSLTA
+>DECOY_sp|P30493|1B55_HUMAN HLA class I histocompatibility antigen, B-55 alpha chain OS=Homo sapiens OX=9606 GN=HLA-B PE=1 SV=1
+ATLSVDSGQASDSSAAQSYSGGKGGSSKRRCMVTAVVAGIVVVALVALGAVIGVIPITSQSSPEWRLTLPKPLGEHQVHCTYRQEEGSPVVVAAWKQFTRDGAPRTEVLETDQTQDEGDRQWTLTIEAPYFGLAWCRLTAEHDSIPHHTVHTKPPDARQLTEKGNELYRRLWEVCTGELYARLQEAERAAEWKRQTIQAATDAATWSSLDENLAIYDKGDYALQNHGRLLRGDPGLDCGYMTQWTHSGAESQNYYGRLNRLSERDTQAQAKYIQTNRDWYEPGEQEIWPARPEERPSAADSDFRVFQTDDVYGVAIFRPEGRGPRSMATYFYRMSHSGAWTETLALAGWLLLLLTRPATVRM
+>sp|P10319|1B58_HUMAN HLA class I histocompatibility antigen, B-58 alpha chain OS=Homo sapiens OX=9606 GN=HLA-B PE=1 SV=1
+MRVTAPRTVLLLLWGAVALTETWAGSHSMRYFYTAMSRPGRGEPRFIAVGYVDDTQFVRFDSDAASPRTEPRAPWIEQEGPEYWDGETRNMKASAQTYRENLRIALRYYNQSEAGSHIIQRMYGCDLGPDGRLLRGHDQSAYDGKDYIALNEDLSSWTAADTAAQITQRKWEAARVAEQLRAYLEGLCVEWLRRYLENGKETLQRADPPKTHVTHHPVSDHEATLRCWALGFYPAEITLTWQRDGEDQTQDTELVETRPAGDRTFQKWAAVVVPSGEEQRYTCHVQHEGLPKPLTLRWEPSSQSTIPIVGIVAGLAVLAVVVIGAVVATVMCRRKSSGGKGGSYSQAASSDSAQGSDVSLTA
+>DECOY_sp|P10319|1B58_HUMAN HLA class I histocompatibility antigen, B-58 alpha chain OS=Homo sapiens OX=9606 GN=HLA-B PE=1 SV=1
+ATLSVDSGQASDSSAAQSYSGGKGGSSKRRCMVTAVVAGIVVVALVALGAVIGVIPITSQSSPEWRLTLPKPLGEHQVHCTYRQEEGSPVVVAAWKQFTRDGAPRTEVLETDQTQDEGDRQWTLTIEAPYFGLAWCRLTAEHDSVPHHTVHTKPPDARQLTEKGNELYRRLWEVCLGELYARLQEAVRAAEWKRQTIQAATDAATWSSLDENLAIYDKGDYASQDHGRLLRGDPGLDCGYMRQIIHSGAESQNYYRLAIRLNERYTQASAKMNRTEGDWYEPGEQEIWPARPETRPSAADSDFRVFQTDDVYGVAIFRPEGRGPRSMATYFYRMSHSGAWTETLAVAGWLLLLVTRPATVRM
+>sp|Q29718|1B82_HUMAN HLA class I histocompatibility antigen, B-82 alpha chain OS=Homo sapiens OX=9606 GN=HLA-B PE=1 SV=1
+MRVTAPRTLLLLLWGALALTETWAGSHSMRYFYTAMSRPGRGEPRFISVGYVDDTQFVRFDSDAASPREEPRAPWIEQEGPEYWDRNTQIYKAQAQTDRESLRNLRGYYNQSEAGSHTLQRMFGCDLGPDGRLLRGHNQLAYDGKDYIALNEDLSSWTAADTAAQITQRKWEAARVAEQDRAYLEDLCVESLRRYLENGKETLQRADPPKTHVTHHPISDHEATLRCWALGFYPAEITLTWQRDGEDQTQDTELVETRPAGDRTFQKWAAVVVPSGEEQRYTCHVQHEGLPKPLTLRWEPSSQSTIPIVGIVAGLAVLAVVVIGAVVATVMCRRKSSGGKGGSYSQAASSDSAQGSDVSLTA
+>DECOY_sp|Q29718|1B82_HUMAN HLA class I histocompatibility antigen, B-82 alpha chain OS=Homo sapiens OX=9606 GN=HLA-B PE=1 SV=1
+ATLSVDSGQASDSSAAQSYSGGKGGSSKRRCMVTAVVAGIVVVALVALGAVIGVIPITSQSSPEWRLTLPKPLGEHQVHCTYRQEEGSPVVVAAWKQFTRDGAPRTEVLETDQTQDEGDRQWTLTIEAPYFGLAWCRLTAEHDSIPHHTVHTKPPDARQLTEKGNELYRRLSEVCLDELYARDQEAVRAAEWKRQTIQAATDAATWSSLDENLAIYDKGDYALQNHGRLLRGDPGLDCGFMRQLTHSGAESQNYYGRLNRLSERDTQAQAKYIQTNRDWYEPGEQEIWPARPEERPSAADSDFRVFQTDDVYGVSIFRPEGRGPRSMATYFYRMSHSGAWTETLALAGWLLLLLTRPATVRM
+>sp|P30501|1C02_HUMAN HLA class I histocompatibility antigen, Cw-2 alpha chain OS=Homo sapiens OX=9606 GN=HLA-C PE=1 SV=1
+MRVMEPRTLILLLSGALALTETWACSHSMRYFYTAVSRPSRGEPHFIAVGYVDDTQFVRFDSDAASPRGEPRGRWVEQEGPEYWDRETQKYNRQAQTDRVNLRKLRGYYNQSEAGSHTLQRMYGCDLGPDGRLLRGYDQSAYDGKDYIALNEDLRSWTAADTAAQITQRKWEAAREAEEWRAYLEGECVEWLRRYLENGKEKLQRAEHPKTHVTHHPVSDHEATLRCWALGFYPTEITLTWQRDGEDQTQDTELVETRPAGDGTFQKWAAVVVPSGEEQRYTCHVQHEGLPEPLTLRWEPSSQPTIPIVGIVAGLAVLAVLAVLGAVVAVVMCRRKSSGGKGGSCSQAASSNSAQGSDESLIASKA
+>DECOY_sp|P30501|1C02_HUMAN HLA class I histocompatibility antigen, Cw-2 alpha chain OS=Homo sapiens OX=9606 GN=HLA-C PE=1 SV=1
+AKSAILSEDSGQASNSSAAQSCSGGKGGSSKRRCMVVAVVAGLVALVALVALGAVIGVIPITPQSSPEWRLTLPEPLGEHQVHCTYRQEEGSPVVVAAWKQFTGDGAPRTEVLETDQTQDEGDRQWTLTIETPYFGLAWCRLTAEHDSVPHHTVHTKPHEARQLKEKGNELYRRLWEVCEGELYARWEEAERAAEWKRQTIQAATDAATWSRLDENLAIYDKGDYASQDYGRLLRGDPGLDCGYMRQLTHSGAESQNYYGRLKRLNVRDTQAQRNYKQTERDWYEPGEQEVWRGRPEGRPSAADSDFRVFQTDDVYGVAIFHPEGRSPRSVATYFYRMSHSCAWTETLALAGSLLLILTRPEMVRM
+>sp|P30504|1C04_HUMAN HLA class I histocompatibility antigen, Cw-4 alpha chain OS=Homo sapiens OX=9606 GN=HLA-C PE=1 SV=1
+MRVMAPRTLILLLSGALALTETWAGSHSMRYFSTSVSWPGRGEPRFIAVGYVDDTQFVRFDSDAASPRGEPREPWVEQEGPEYWDRETQKYKRQAQADRVNLRKLRGYYNQSEDGSHTLQRMFGCDLGPDGRLLRGYNQFAYDGKDYIALNEDLRSWTAADTAAQITQRKWEAAREAEQRRAYLEGTCVEWLRRYLENGKETLQRAEHPKTHVTHHPVSDHEATLRCWALGFYPAEITLTWQWDGEDQTQDTELVETRPAGDGTFQKWAAVVVPSGEEQRYTCHVQHEGLPEPLTLRWKPSSQPTIPIVGIVAGLAVLAVLAVLGAMVAVVMCRRKSSGGKGGSCSQAASSNSAQGSDESLIACKA
+>DECOY_sp|P30504|1C04_HUMAN HLA class I histocompatibility antigen, Cw-4 alpha chain OS=Homo sapiens OX=9606 GN=HLA-C PE=1 SV=1
+AKCAILSEDSGQASNSSAAQSCSGGKGGSSKRRCMVVAVMAGLVALVALVALGAVIGVIPITPQSSPKWRLTLPEPLGEHQVHCTYRQEEGSPVVVAAWKQFTGDGAPRTEVLETDQTQDEGDWQWTLTIEAPYFGLAWCRLTAEHDSVPHHTVHTKPHEARQLTEKGNELYRRLWEVCTGELYARRQEAERAAEWKRQTIQAATDAATWSRLDENLAIYDKGDYAFQNYGRLLRGDPGLDCGFMRQLTHSGDESQNYYGRLKRLNVRDAQAQRKYKQTERDWYEPGEQEVWPERPEGRPSAADSDFRVFQTDDVYGVAIFRPEGRGPWSVSTSFYRMSHSGAWTETLALAGSLLLILTRPAMVRM
+>sp|P30505|1C08_HUMAN HLA class I histocompatibility antigen, Cw-8 alpha chain OS=Homo sapiens OX=9606 GN=HLA-C PE=1 SV=1
+MRVMAPRTLILLLSGALALTETWACSHSMRYFYTAVSRPGRGEPRFIAVGYVDDTQFVQFDSDAASPRGEPRAPWVEQEGPEYWDRETQKYKRQAQTDRVSLRNLRGYYNQSEAGSHTLQRMYGCDLGPDGRLLRGYNQFAYDGKDYIALNEDLRSWTAADTAAQITQRKWEAARTAEQLRAYLEGTCVEWLRRYLENGKKTLQRAEHPKTHVTHHPVSDHEATLRCWALGFYPAEITLTWQRDGEDQTQDTELVETRPAGDGTFQKWAAVVVPSGEEQRYTCHVQHEGLPEPLTLRWGPSSQPTIPIVGIVAGLAVLAVLAVLGAVMAVVMCRRKSSGGKGGSCSQAASSNSAQGSDESLIACKA
+>DECOY_sp|P30505|1C08_HUMAN HLA class I histocompatibility antigen, Cw-8 alpha chain OS=Homo sapiens OX=9606 GN=HLA-C PE=1 SV=1
+AKCAILSEDSGQASNSSAAQSCSGGKGGSSKRRCMVVAMVAGLVALVALVALGAVIGVIPITPQSSPGWRLTLPEPLGEHQVHCTYRQEEGSPVVVAAWKQFTGDGAPRTEVLETDQTQDEGDRQWTLTIEAPYFGLAWCRLTAEHDSVPHHTVHTKPHEARQLTKKGNELYRRLWEVCTGELYARLQEATRAAEWKRQTIQAATDAATWSRLDENLAIYDKGDYAFQNYGRLLRGDPGLDCGYMRQLTHSGAESQNYYGRLNRLSVRDTQAQRKYKQTERDWYEPGEQEVWPARPEGRPSAADSDFQVFQTDDVYGVAIFRPEGRGPRSVATYFYRMSHSCAWTETLALAGSLLLILTRPAMVRM
+>sp|P30508|1C12_HUMAN HLA class I histocompatibility antigen, Cw-12 alpha chain OS=Homo sapiens OX=9606 GN=HLA-C PE=1 SV=2
+MRVMAPRTLILLLSGALALTETWACSHSMRYFYTAVSRPGRGEPRFIAVGYVDDTQFVRFDSDAASPRGEPRAPWVEQEGPEYWDRETQKYKRQAQADRVSLRNLRGYYNQSEAGSHTLQRMYGCDLGPDGRLLRGYDQSAYDGKDYIALNEDLRSWTAADTAAQITQRKWEAAREAEQWRAYLEGTCVEWLRRYLENGKETLQRAEHPKTHVTHHPVSDHEATLRCWALGFYPAEITLTWQRDGEDQTQDTELVETRPAGDGTFQKWAAVVVPSGEEQRYTCHVQHEGLPEPLTLRWEPSSQPTIPIVGIVAGLAVLAVLAVLGAVMAVVMCRRKSSGGKGGSCSQAASSNSAQGSDESLIACKA
+>DECOY_sp|P30508|1C12_HUMAN HLA class I histocompatibility antigen, Cw-12 alpha chain OS=Homo sapiens OX=9606 GN=HLA-C PE=1 SV=2
+AKCAILSEDSGQASNSSAAQSCSGGKGGSSKRRCMVVAMVAGLVALVALVALGAVIGVIPITPQSSPEWRLTLPEPLGEHQVHCTYRQEEGSPVVVAAWKQFTGDGAPRTEVLETDQTQDEGDRQWTLTIEAPYFGLAWCRLTAEHDSVPHHTVHTKPHEARQLTEKGNELYRRLWEVCTGELYARWQEAERAAEWKRQTIQAATDAATWSRLDENLAIYDKGDYASQDYGRLLRGDPGLDCGYMRQLTHSGAESQNYYGRLNRLSVRDAQAQRKYKQTERDWYEPGEQEVWPARPEGRPSAADSDFRVFQTDDVYGVAIFRPEGRGPRSVATYFYRMSHSCAWTETLALAGSLLLILTRPAMVRM
+>sp|Q14738|2A5D_HUMAN Serine/threonine-protein phosphatase 2A 56 kDa regulatory subunit delta isoform OS=Homo sapiens OX=9606 GN=PPP2R5D PE=1 SV=1
+MPYKLKKEKEPPKVAKCTAKPSSSGKDGGGENTEEAQPQPQPQPQPQAQSQPPSSNKRPSNSTPPPTQLSKIKYSGGPQIVKKERRQSSSRFNLSKNRELQKLPALKDSPTQEREELFIQKLRQCCVLFDFVSDPLSDLKFKEVKRAGLNEMVEYITHSRDVVTEAIYPEAVTMFSVNLFRTLPPSSNPTGAEFDPEEDEPTLEAAWPHLQLVYEFFLRFLESPDFQPNIAKKYIDQKFVLALLDLFDSEDPRERDFLKTILHRIYGKFLGLRAYIRRQINHIFYRFIYETEHHNGIAELLEILGSIINGFALPLKEEHKMFLIRVLLPLHKVKSLSVYHPQLAYCVVQFLEKESSLTEPVIVGLLKFWPKTHSPKEVMFLNELEEILDVIEPSEFSKVMEPLFRQLAKCVSSPHFQVAERALYYWNNEYIMSLISDNAARVLPIMFPALYRNSKSHWNKTIHGLIYNALKLFMEMNQKLFDDCTQQYKAEKQKGRFRMKEREEMWQKIEELARLNPQYPMFRAPPPLPPVYSMETETPTAEDIQLLKRTVETEAVQMLKDIKKEKVLLRRKSELPQDVYTIKALEAHKRAEEFLTASQEAL
+>DECOY_sp|Q14738|2A5D_HUMAN Serine/threonine-protein phosphatase 2A 56 kDa regulatory subunit delta isoform OS=Homo sapiens OX=9606 GN=PPP2R5D PE=1 SV=1
+LAEQSATLFEEARKHAELAKITYVDQPLESKRRLLVKEKKIDKLMQVAETEVTRKLLQIDEATPTETEMSYVPPLPPPARFMPYQPNLRALEEIKQWMEEREKMRFRGKQKEAKYQQTCDDFLKQNMEMFLKLANYILGHITKNWHSKSNRYLAPFMIPLVRAANDSILSMIYENNWYYLAREAVQFHPSSVCKALQRFLPEMVKSFESPEIVDLIEELENLFMVEKPSHTKPWFKLLGVIVPETLSSEKELFQVVCYALQPHYVSLSKVKHLPLLVRILFMKHEEKLPLAFGNIISGLIELLEAIGNHHETEYIFRYFIHNIQRRIYARLGLFKGYIRHLITKLFDRERPDESDFLDLLALVFKQDIYKKAINPQFDPSELFRLFFEYVLQLHPWAAELTPEDEEPDFEAGTPNSSPPLTRFLNVSFMTVAEPYIAETVVDRSHTIYEVMENLGARKVEKFKLDSLPDSVFDFLVCCQRLKQIFLEEREQTPSDKLAPLKQLERNKSLNFRSSSQRREKKVIQPGGSYKIKSLQTPPPTSNSPRKNSSPPQSQAQPQPQPQPQPQAEETNEGGGDKGSSSPKATCKAVKPPEKEKKLKYPM
+>sp|P04229|2B11_HUMAN HLA class II histocompatibility antigen, DRB1-1 beta chain OS=Homo sapiens OX=9606 GN=HLA-DRB1 PE=1 SV=2
+MVCLKLPGGSCMTALTVTLMVLSSPLALAGDTRPRFLWQLKFECHFFNGTERVRLLERCIYNQEESVRFDSDVGEYRAVTELGRPDAEYWNSQKDLLEQRRAAVDTYCRHNYGVGESFTVQRRVEPKVTVYPSKTQPLQHHNLLVCSVSGFYPGSIEVRWFRNGQEEKAGVVSTGLIQNGDWTFQTLVMLETVPRSGEVYTCQVEHPSVTSPLTVEWRARSESAQSKMLSGVGGFVLGLLFLGAGLFIYFRNQKGHSGLQPTGFLS
+>DECOY_sp|P04229|2B11_HUMAN HLA class II histocompatibility antigen, DRB1-1 beta chain OS=Homo sapiens OX=9606 GN=HLA-DRB1 PE=1 SV=2
+SLFGTPQLGSHGKQNRFYIFLGAGLFLLGLVFGGVGSLMKSQASESRARWEVTLPSTVSPHEVQCTYVEGSRPVTELMVLTQFTWDGNQILGTSVVGAKEEQGNRFWRVEISGPYFGSVSCVLLNHHQLPQTKSPYVTVKPEVRRQVTFSEGVGYNHRCYTDVAARRQELLDKQSNWYEADPRGLETVARYEGVDSDFRVSEEQNYICRELLRVRETGNFFHCEFKLQWLFRPRTDGALALPSSLVMLTVTLATMCSGGPLKLCVM
+>sp|P08908|5HT1A_HUMAN 5-hydroxytryptamine receptor 1A OS=Homo sapiens OX=9606 GN=HTR1A PE=1 SV=3
+MDVLSPGQGNNTTSPPAPFETGGNTTGISDVTVSYQVITSLLLGTLIFCAVLGNACVVAAIALERSLQNVANYLIGSLAVTDLMVSVLVLPMAALYQVLNKWTLGQVTCDLFIALDVLCCTSSILHLCAIALDRYWAITDPIDYVNKRTPRRAAALISLTWLIGFLISIPPMLGWRTPEDRSDPDACTISKDHGYTIYSTFGAFYIPLLLMLVLYGRIFRAARFRIRKTVKKVEKTGADTRHGASPAPQPKKSVNGESGSRNWRLGVESKAGGALCANGAVRQGDDGAALEVIEVHRVGNSKEHLPLPSEAGPTPCAPASFERKNERNAEAKRKMALARERKTVKTLGIIMGTFILCWLPFFIVALVLPFCESSCHMPTLLGAIINWLGYSNSLLNPVIYAYFNKDFQNAFKKIIKCKFCRQ
+>DECOY_sp|P08908|5HT1A_HUMAN 5-hydroxytryptamine receptor 1A OS=Homo sapiens OX=9606 GN=HTR1A PE=1 SV=3
+QRCFKCKIIKKFANQFDKNFYAYIVPNLLSNSYGLWNIIAGLLTPMHCSSECFPLVLAVIFFPLWCLIFTGMIIGLTKVTKRERALAMKRKAEANRENKREFSAPACPTPGAESPLPLHEKSNGVRHVEIVELAAGDDGQRVAGNACLAGGAKSEVGLRWNRSGSEGNVSKKPQPAPSAGHRTDAGTKEVKKVTKRIRFRAARFIRGYLVLMLLLPIYFAGFTSYITYGHDKSITCADPDSRDEPTRWGLMPPISILFGILWTLSILAAARRPTRKNVYDIPDTIAWYRDLAIACLHLISSTCCLVDLAIFLDCTVQGLTWKNLVQYLAAMPLVLVSVMLDTVALSGILYNAVNQLSRELAIAAVVCANGLVACFILTGLLLSTIVQYSVTVDSIGTTNGGTEFPAPPSTTNNGQGPSLVDM
+>sp|P28222|5HT1B_HUMAN 5-hydroxytryptamine receptor 1B OS=Homo sapiens OX=9606 GN=HTR1B PE=1 SV=1
+MEEPGAQCAPPPPAGSETWVPQANLSSAPSQNCSAKDYIYQDSISLPWKVLLVMLLALITLATTLSNAFVIATVYRTRKLHTPANYLIASLAVTDLLVSILVMPISTMYTVTGRWTLGQVVCDFWLSSDITCCTASILHLCVIALDRYWAITDAVEYSAKRTPKRAAVMIALVWVFSISISLPPFFWRQAKAEEEVSECVVNTDHILYTVYSTVGAFYFPTLLLIALYGRIYVEARSRILKQTPNRTGKRLTRAQLITDSPGSTSSVTSINSRVPDVPSESGSPVYVNQVKVRVSDALLEKKKLMAARERKATKTLGIILGAFIVCWLPFFIISLVMPICKDACWFHLAIFDFFTWLGYLNSLINPIIYTMSNEDFKQAFHKLIRFKCTS
+>DECOY_sp|P28222|5HT1B_HUMAN 5-hydroxytryptamine receptor 1B OS=Homo sapiens OX=9606 GN=HTR1B PE=1 SV=1
+STCKFRILKHFAQKFDENSMTYIIPNILSNLYGLWTFFDFIALHFWCADKCIPMVLSIIFFPLWCVIFAGLIIGLTKTAKRERAAMLKKKELLADSVRVKVQNVYVPSGSESPVDPVRSNISTVSSTSGPSDTILQARTLRKGTRNPTQKLIRSRAEVYIRGYLAILLLTPFYFAGVTSYVTYLIHDTNVVCESVEEEAKAQRWFFPPLSISISFVWVLAIMVAARKPTRKASYEVADTIAWYRDLAIVCLHLISATCCTIDSSLWFDCVVQGLTWRGTVTYMTSIPMVLISVLLDTVALSAILYNAPTHLKRTRYVTAIVFANSLTTALTILALLMVLLVKWPLSISDQYIYDKASCNQSPASSLNAQPVWTESGAPPPPACQAGPEEM
+>sp|P28221|5HT1D_HUMAN 5-hydroxytryptamine receptor 1D OS=Homo sapiens OX=9606 GN=HTR1D PE=1 SV=1
+MSPLNQSAEGLPQEASNRSLNATETSEAWDPRTLQALKISLAVVLSVITLATVLSNAFVLTTILLTRKLHTPANYLIGSLATTDLLVSILVMPISIAYTITHTWNFGQILCDIWLSSDITCCTASILHLCVIALDRYWAITDALEYSKRRTAGHAATMIAIVWAISICISIPPLFWRQAKAQEEMSDCLVNTSQISYTIYSTCGAFYIPSVLLIILYGRIYRAARNRILNPPSLYGKRFTTAHLITGSAGSSLCSLNSSLHEGHSHSAGSPLFFNHVKIKLADSALERKRISAARERKATKILGIILGAFIICWLPFFVVSLVLPICRDSCWIHPALFDFFTWLGYLNSLINPIIYTVFNEEFRQAFQKIVPFRKAS
+>DECOY_sp|P28221|5HT1D_HUMAN 5-hydroxytryptamine receptor 1D OS=Homo sapiens OX=9606 GN=HTR1D PE=1 SV=1
+SAKRFPVIKQFAQRFEENFVTYIIPNILSNLYGLWTFFDFLAPHIWCSDRCIPLVLSVVFFPLWCIIFAGLIIGLIKTAKRERAASIRKRELASDALKIKVHNFFLPSGASHSHGEHLSSNLSCLSSGASGTILHATTFRKGYLSPPNLIRNRAARYIRGYLIILLVSPIYFAGCTSYITYSIQSTNVLCDSMEEQAKAQRWFLPPISICISIAWVIAIMTAAHGATRRKSYELADTIAWYRDLAIVCLHLISATCCTIDSSLWIDCLIQGFNWTHTITYAISIPMVLISVLLDTTALSGILYNAPTHLKRTLLITTLVFANSLVTALTIVSLVVALSIKLAQLTRPDWAESTETANLSRNSAEQPLGEASQNLPSM
+>sp|Q8WXA8|5HT3C_HUMAN 5-hydroxytryptamine receptor 3C OS=Homo sapiens OX=9606 GN=HTR3C PE=1 SV=2
+MEGGWPARQSALLCLTVSLLLQGRGDAFTINCSGFDQHGVDPAVFQAVFDRKAFRPFTNYSIPTRVNISFTLSAILGVDAQLQLLTSFLWMDLVWDNPFINWNPKECVGINKLTVLAENLWLPDIFIVESMDVDQTPSGLTAYISSEGRIKYDKPMRVTSICNLDIFYFPFDQQNCTFTFSSFLYTVDSMLLGMDKEVWEITDTSRKVIQTQGEWELLGINKATPKMSMGNNLYDQIMFYVAIRRRPSLYIINLLVPSSFLVAIDALSFYLPAESENRAPFKITLLLGYNVFLLMMNDLLPASGTPLISVYFALCLSLMVVSLLETVFITYLLHVATTQPPPMPRWLHSLLLHCTSPGRCCPTAPQKGNKGLGLTLTHLPGPKEPGELAGKKLGPRETEPDGGSGWTKTQLMELWVQFSHAMDTLLFRLYLLFMASSILTVIVLWNT
+>DECOY_sp|Q8WXA8|5HT3C_HUMAN 5-hydroxytryptamine receptor 3C OS=Homo sapiens OX=9606 GN=HTR3C PE=1 SV=2
+TNWLVIVTLISSAMFLLYLRFLLTDMAHSFQVWLEMLQTKTWGSGGDPETERPGLKKGALEGPEKPGPLHTLTLGLGKNGKQPATPCCRGPSTCHLLLSHLWRPMPPPQTTAVHLLYTIFVTELLSVVMLSLCLAFYVSILPTGSAPLLDNMMLLFVNYGLLLTIKFPARNESEAPLYFSLADIAVLFSSPVLLNIIYLSPRRRIAVYFMIQDYLNNGMSMKPTAKNIGLLEWEGQTQIVKRSTDTIEWVEKDMGLLMSDVTYLFSSFTFTCNQQDFPFYFIDLNCISTVRMPKDYKIRGESSIYATLGSPTQDVDMSEVIFIDPLWLNEALVTLKNIGVCEKPNWNIFPNDWVLDMWLFSTLLQLQADVGLIASLTFSINVRTPISYNTFPRFAKRDFVAQFVAPDVGHQDFGSCNITFADGRGQLLLSVTLCLLASQRAPWGGEM
+>sp|A5X5Y0|5HT3E_HUMAN 5-hydroxytryptamine receptor 3E OS=Homo sapiens OX=9606 GN=HTR3E PE=1 SV=1
+MEGSWFHRKRFSFYLLLGFLLQGRGVTFTINCSGFGQHGADPTALNSVFNRKPFRPVTNISVPTQVNISFAMSAILDVNEQLHLLSSFLWLEMVWDNPFISWNPEECEGITKMSMAAKNLWLPDIFIIELMDVDKTPKGLTAYVSNEGRIRYKKPMKVDSICNLDIFYFPFDQQNCTLTFSSFLYTVDSMLLDMEKEVWEITDASRNILQTHGEWELLGLSKATAKLSRGGNLYDQIVFYVAIRRRPSLYVINLLVPSGFLVAIDALSFYLPVKSGNRVPFKITLLLGYNVFLLMMSDLLPTSGTPLIGVYFALCLSLMVGSLLETIFITHLLHVATTQPPPLPRWLHSLLLHCNSPGRCCPTAPQKENKGPGLTPTHLPGVKEPEVSAGQMPGPAEAELTGGSEWTRAQREHEAQKQHSVELWLQFSHAMDAMLFRLYLLFMASSIITVICLWNT
+>DECOY_sp|A5X5Y0|5HT3E_HUMAN 5-hydroxytryptamine receptor 3E OS=Homo sapiens OX=9606 GN=HTR3E PE=1 SV=1
+TNWLCIVTIISSAMFLLYLRFLMADMAHSFQLWLEVSHQKQAEHERQARTWESGGTLEAEAPGPMQGASVEPEKVGPLHTPTLGPGKNEKQPATPCCRGPSNCHLLLSHLWRPLPPPQTTAVHLLHTIFITELLSGVMLSLCLAFYVGILPTGSTPLLDSMMLLFVNYGLLLTIKFPVRNGSKVPLYFSLADIAVLFGSPVLLNIVYLSPRRRIAVYFVIQDYLNGGRSLKATAKSLGLLEWEGHTQLINRSADTIEWVEKEMDLLMSDVTYLFSSFTLTCNQQDFPFYFIDLNCISDVKMPKKYRIRGENSVYATLGKPTKDVDMLEIIFIDPLWLNKAAMSMKTIGECEEPNWSIFPNDWVMELWLFSSLLHLQENVDLIASMAFSINVQTPVSINTVPRFPKRNFVSNLATPDAGHQGFGSCNITFTVGRGQLLFGLLLYFSFRKRHFWSGEM
+>sp|Q13639|5HT4R_HUMAN 5-hydroxytryptamine receptor 4 OS=Homo sapiens OX=9606 GN=HTR4 PE=1 SV=2
+MDKLDANVSSEEGFGSVEKVVLLTFLSTVILMAILGNLLVMVAVCWDRQLRKIKTNYFIVSLAFADLLVSVLVMPFGAIELVQDIWIYGEVFCLVRTSLDVLLTTASIFHLCCISLDRYYAICCQPLVYRNKMTPLRIALMLGGCWVIPTFISFLPIMQGWNNIGIIDLIEKRKFNQNSNSTYCVFMVNKPYAITCSVVAFYIPFLLMVLAYYRIYVTAKEHAHQIQMLQRAGASSESRPQSADQHSTHRMRTETKAAKTLCIIMGCFCLCWAPFFVTNIVDPFIDYTVPGQVWTAFLWLGYINSGLNPFLYAFLNKSFRRAFLIILCCDDERYRRPSILGQTVPCSTTTINGSTHVLRDAVECGGQWESQCHPPATSPLVAAQPSDT
+>DECOY_sp|Q13639|5HT4R_HUMAN 5-hydroxytryptamine receptor 4 OS=Homo sapiens OX=9606 GN=HTR4 PE=1 SV=2
+TDSPQAAVLPSTAPPHCQSEWQGGCEVADRLVHTSGNITTTSCPVTQGLISPRRYREDDCCLIILFARRFSKNLFAYLFPNLGSNIYGLWLFATWVQGPVTYDIFPDVINTVFFPAWCLCFCGMIICLTKAAKTETRMRHTSHQDASQPRSESSAGARQLMQIQHAHEKATVYIRYYALVMLLFPIYFAVVSCTIAYPKNVMFVCYTSNSNQNFKRKEILDIIGINNWGQMIPLFSIFTPIVWCGGLMLAIRLPTMKNRYVLPQCCIAYYRDLSICCLHFISATTLLVDLSTRVLCFVEGYIWIDQVLEIAGFPMVLVSVLLDAFALSVIFYNTKIKRLQRDWCVAVMVLLNGLIAMLIVTSLFTLLVVKEVSGFGEESSVNADLKDM
+>sp|P34969|5HT7R_HUMAN 5-hydroxytryptamine receptor 7 OS=Homo sapiens OX=9606 GN=HTR7 PE=1 SV=2
+MMDVNSSGRPDLYGHLRSFLLPEVGRGLPDLSPDGGADPVAGSWAPHLLSEVTASPAPTWDAPPDNASGCGEQINYGRVEKVVIGSILTLITLLTIAGNCLVVISVCFVKKLRQPSNYLIVSLALADLSVAVAVMPFVSVTDLIGGKWIFGHFFCNVFIAMDVMCCTASIMTLCVISIDRYLGITRPLTYPVRQNGKCMAKMILSVWLLSASITLPPLFGWAQNVNDDKVCLISQDFGYTIYSTAVAFYIPMSVMLFMYYQIYKAARKSAAKHKFPGFPRVEPDSVIALNGIVKLQKEVEECANLSRLLKHERKNISIFKREQKAATTLGIIVGAFTVCWLPFFLLSTARPFICGTSCSCIPLWVERTFLWLGYANSLINPFIYAFFNRDLRTTYRSLLQCQYRNINRKLSAAGMHEALKLAERPERPEFVLRACTRRVLLRPEKRPPVSVWVLQSPDHHNWLADKMLTTVEKKVMIHD
+>DECOY_sp|P34969|5HT7R_HUMAN 5-hydroxytryptamine receptor 7 OS=Homo sapiens OX=9606 GN=HTR7 PE=1 SV=2
+DHIMVKKEVTTLMKDALWNHHDPSQLVWVSVPPRKEPRLLVRRTCARLVFEPREPREALKLAEHMGAASLKRNINRYQCQLLSRYTTRLDRNFFAYIFPNILSNAYGLWLFTREVWLPICSCSTGCIFPRATSLLFFPLWCVTFAGVIIGLTTAAKQERKFISINKREHKLLRSLNACEEVEKQLKVIGNLAIVSDPEVRPFGPFKHKAASKRAAKYIQYYMFLMVSMPIYFAVATSYITYGFDQSILCVKDDNVNQAWGFLPPLTISASLLWVSLIMKAMCKGNQRVPYTLPRTIGLYRDISIVCLTMISATCCMVDMAIFVNCFFHGFIWKGGILDTVSVFPMVAVAVSLDALALSVILYNSPQRLKKVFCVSIVVLCNGAITLLTILTLISGIVVKEVRGYNIQEGCGSANDPPADWTPAPSATVESLLHPAWSGAVPDAGGDPSLDPLGRGVEPLLFSRLHGYLDPRGSSNVDMM
+>sp|P49902|5NTC_HUMAN Cytosolic purine 5'-nucleotidase OS=Homo sapiens OX=9606 GN=NT5C2 PE=1 SV=1
+MSTSWSDRLQNAADMPANMDKHALKKYRREAYHRVFVNRSLAMEKIKCFGFDMDYTLAVYKSPEYESLGFELTVERLVSIGYPQELLSFAYDSTFPTRGLVFDTLYGNLLKVDAYGNLLVCAHGFNFIRGPETREQYPNKFIQRDDTERFYILNTLFNLPETYLLACLVDFFTNCPRYTSCETGFKDGDLFMSYRSMFQDVRDAVDWVHYKGSLKEKTVENLEKYVVKDGKLPLLLSRMKEVGKVFLATNSDYKYTDKIMTYLFDFPHGPKPGSSHRPWQSYFDLILVDARKPLFFGEGTVLRQVDTKTGKLKIGTYTGPLQHGIVYSGGSSDTICDLLGAKGKDILYIGDHIFGDILKSKKRQGWRTFLVIPELAQELHVWTDKSSLFEELQSLDIFLAELYKHLDSSSNERPDISSIQRRIKKVTHDMDMCYGMMGSLFRSGSRQTLFASQVMRYADLYAASFINLLYYPFSYLFRAAHVLMPHESTVEHTHVDINEMESPLATRNRTSVDFKDTDYKRHQLTRSISEIKPPNLFPLAPQEITHCHDEDDDEEEEEEEE
+>DECOY_sp|P49902|5NTC_HUMAN Cytosolic purine 5'-nucleotidase OS=Homo sapiens OX=9606 GN=NT5C2 PE=1 SV=1
+EEEEEEEEDDDEDHCHTIEQPALPFLNPPKIESISRTLQHRKYDTDKFDVSTRNRTALPSEMENIDVHTHEVTSEHPMLVHAARFLYSFPYYLLNIFSAAYLDAYRMVQSAFLTQRSGSRFLSGMMGYCMDMDHTVKKIRRQISSIDPRENSSSDLHKYLEALFIDLSQLEEFLSSKDTWVHLEQALEPIVLFTRWGQRKKSKLIDGFIHDGIYLIDKGKAGLLDCITDSSGGSYVIGHQLPGTYTGIKLKGTKTDVQRLVTGEGFFLPKRADVLILDFYSQWPRHSSGPKPGHPFDFLYTMIKDTYKYDSNTALFVKGVEKMRSLLLPLKGDKVVYKELNEVTKEKLSGKYHVWDVADRVDQFMSRYSMFLDGDKFGTECSTYRPCNTFFDVLCALLYTEPLNFLTNLIYFRETDDRQIFKNPYQERTEPGRIFNFGHACVLLNGYADVKLLNGYLTDFVLGRTPFTSDYAFSLLEQPYGISVLREVTLEFGLSEYEPSKYVALTYDMDFGFCKIKEMALSRNVFVRHYAERRYKKLAHKDMNAPMDAANQLRDSWSTSM
+>sp|P52209|6PGD_HUMAN 6-phosphogluconate dehydrogenase, decarboxylating OS=Homo sapiens OX=9606 GN=PGD PE=1 SV=3
+MAQADIALIGLAVMGQNLILNMNDHGFVVCAFNRTVSKVDDFLANEAKGTKVVGAQSLKEMVSKLKKPRRIILLVKAGQAVDDFIEKLVPLLDTGDIIIDGGNSEYRDTTRRCRDLKAKGILFVGSGVSGGEEGARYGPSLMPGGNKEAWPHIKTIFQGIAAKVGTGEPCCDWVGDEGAGHFVKMVHNGIEYGDMQLICEAYHLMKDVLGMAQDEMAQAFEDWNKTELDSFLIEITANILKFQDTDGKHLLPKIRDSAGQKGTGKWTAISALEYGVPVTLIGEAVFARCLSSLKDERIQASKKLKGPQKFQFDGDKKSFLEDIRKALYASKIISYAQGFMLLRQAATEFGWTLNYGGIALMWRGGCIIRSVFLGKIKDAFDRNPELQNLLLDDFFKSAVENCQDSWRRAVSTGVQAGIPMPCFTTALSFYDGYRHEMLPASLIQAQRDYFGAHTYELLAKPGQFIHTNWTGHGGTVSSSSYNA
+>DECOY_sp|P52209|6PGD_HUMAN 6-phosphogluconate dehydrogenase, decarboxylating OS=Homo sapiens OX=9606 GN=PGD PE=1 SV=3
+ANYSSSSVTGGHGTWNTHIFQGPKALLEYTHAGFYDRQAQILSAPLMEHRYGDYFSLATTFCPMPIGAQVGTSVARRWSDQCNEVASKFFDDLLLNQLEPNRDFADKIKGLFVSRIICGGRWMLAIGGYNLTWGFETAAQRLLMFGQAYSIIKSAYLAKRIDELFSKKDGDFQFKQPGKLKKSAQIREDKLSSLCRAFVAEGILTVPVGYELASIATWKGTGKQGASDRIKPLLHKGDTDQFKLINATIEILFSDLETKNWDEFAQAMEDQAMGLVDKMLHYAECILQMDGYEIGNHVMKVFHGAGEDGVWDCCPEGTGVKAAIGQFITKIHPWAEKNGGPMLSPGYRAGEEGGSVGSGVFLIGKAKLDRCRRTTDRYESNGGDIIIDGTDLLPVLKEIFDDVAQGAKVLLIIRRPKKLKSVMEKLSQAGVVKTGKAENALFDDVKSVTRNFACVVFGHDNMNLILNQGMVALGILAIDAQAM
+>sp|P36639|8ODP_HUMAN 7,8-dihydro-8-oxoguanine triphosphatase OS=Homo sapiens OX=9606 GN=NUDT1 PE=1 SV=3
+MYWSNQITRRLGERVQGFMSGISPQQMGEPEGSWSGKNPGTMGASRLYTLVLVLQPQRVLLGMKKRGFGAGRWNGFGGKVQEGETIEDGARRELQEESGLTVDALHKVGQIVFEFVGEPELMDVHVFCTDSIQGTPVESDEMRPCWFQLDQIPFKDMWPDDSYWFPLLLQKKKFHGYFKFQGQDTILDYTLREVDTV
+>DECOY_sp|P36639|8ODP_HUMAN 7,8-dihydro-8-oxoguanine triphosphatase OS=Homo sapiens OX=9606 GN=NUDT1 PE=1 SV=3
+VTDVERLTYDLITDQGQFKFYGHFKKKQLLLPFWYSDDPWMDKFPIQDLQFWCPRMEDSEVPTGQISDTCFVHVDMLEPEGVFEFVIQGVKHLADVTLGSEEQLERRAGDEITEGEQVKGGFGNWRGAGFGRKKMGLLVRQPQLVLVLTYLRSAGMTGPNKGSWSGEPEGMQQPSIGSMFGQVREGLRRTIQNSWYM
+>sp|Q8TDN7|ACER1_HUMAN Alkaline ceramidase 1 OS=Homo sapiens OX=9606 GN=ACER1 PE=2 SV=1
+MPSIFAYQSSEVDWCESNFQYSELVAEFYNTFSNIPFFIFGPLMMLLMHPYAQKRSRYIYVVWVLFMIIGLFSMYFHMTLSFLGQLLDEIAILWLLGSGYSIWMPRCYFPSFLGGNRSQFIRLVFITTVVSTLLSFLRPTVNAYALNSIALHILYIVCQEYRKTSNKELRHLIEVSVVLWAVALTSWISDRLLCSFWQRIHFFYLHSIWHVLISITFPYGMVTMALVDANYEMPGETLKVRYWPRDSWPVGLPYVEIRGDDKDC
+>DECOY_sp|Q8TDN7|ACER1_HUMAN Alkaline ceramidase 1 OS=Homo sapiens OX=9606 GN=ACER1 PE=2 SV=1
+CDKDDGRIEVYPLGVPWSDRPWYRVKLTEGPMEYNADVLAMTVMGYPFTISILVHWISHLYFFHIRQWFSCLLRDSIWSTLAVAWLVVSVEILHRLEKNSTKRYEQCVIYLIHLAISNLAYANVTPRLFSLLTSVVTTIFVLRIFQSRNGGLFSPFYCRPMWISYGSGLLWLIAIEDLLQGLFSLTMHFYMSFLGIIMFLVWVVYIYRSRKQAYPHMLLMMLPGFIFFPINSFTNYFEAVLESYQFNSECWDVESSQYAFISPM
+>sp|P12821|ACE_HUMAN Angiotensin-converting enzyme OS=Homo sapiens OX=9606 GN=ACE PE=1 SV=1
+MGAASGRRGPGLLLPLPLLLLLPPQPALALDPGLQPGNFSADEAGAQLFAQSYNSSAEQVLFQSVAASWAHDTNITAENARRQEEAALLSQEFAEAWGQKAKELYEPIWQNFTDPQLRRIIGAVRTLGSANLPLAKRQQYNALLSNMSRIYSTAKVCLPNKTATCWSLDPDLTNILASSRSYAMLLFAWEGWHNAAGIPLKPLYEDFTALSNEAYKQDGFTDTGAYWRSWYNSPTFEDDLEHLYQQLEPLYLNLHAFVRRALHRRYGDRYINLRGPIPAHLLGDMWAQSWENIYDMVVPFPDKPNLDVTSTMLQQGWNATHMFRVAEEFFTSLELSPMPPEFWEGSMLEKPADGREVVCHASAWDFYNRKDFRIKQCTRVTMDQLSTVHHEMGHIQYYLQYKDLPVSLRRGANPGFHEAIGDVLALSVSTPEHLHKIGLLDRVTNDTESDINYLLKMALEKIAFLPFGYLVDQWRWGVFSGRTPPSRYNFDWWYLRTKYQGICPPVTRNETHFDAGAKFHVPNVTPYIRYFVSFVLQFQFHEALCKEAGYEGPLHQCDIYRSTKAGAKLRKVLQAGSSRPWQEVLKDMVGLDALDAQPLLKYFQPVTQWLQEQNQQNGEVLGWPEYQWHPPLPDNYPEGIDLVTDEAEASKFVEEYDRTSQVVWNEYAEANWNYNTNITTETSKILLQKNMQIANHTLKYGTQARKFDVNQLQNTTIKRIIKKVQDLERAALPAQELEEYNKILLDMETTYSVATVCHPNGSCLQLEPDLTNVMATSRKYEDLLWAWEGWRDKAGRAILQFYPKYVELINQAARLNGYVDAGDSWRSMYETPSLEQDLERLFQELQPLYLNLHAYVRRALHRHYGAQHINLEGPIPAHLLGNMWAQTWSNIYDLVVPFPSAPSMDTTEAMLKQGWTPRRMFKEADDFFTSLGLLPVPPEFWNKSMLEKPTDGREVVCHASAWDFYNGKDFRIKQCTTVNLEDLVVAHHEMGHIQYFMQYKDLPVALREGANPGFHEAIGDVLALSVSTPKHLHSLNLLSSEGGSDEHDINFLMKMALDKIAFIPFSYLVDQWRWRVFDGSITKENYNQEWWSLRLKYQGLCPPVPRTQGDFDPGAKFHIPSSVPYIRYFVSFIIQFQFHEALCQAAGHTGPLHKCDIYQSKEAGQRLATAMKLGFSRPWPEAMQLITGQPNMSASAMLSYFKPLLDWLRTENELHGEKLGWPQYNWTPNSARSEGPLPDSGRVSFLGLDLDAQQARVGQWLLLFLGIALLVATLGLSQRLFSIRHRSLHRHSHGPQFGSEVELRHS
+>DECOY_sp|P12821|ACE_HUMAN Angiotensin-converting enzyme OS=Homo sapiens OX=9606 GN=ACE PE=1 SV=1
+SHRLEVESGFQPGHSHRHLSRHRISFLRQSLGLTAVLLAIGLFLLLWQGVRAQQADLDLGLFSVRGSDPLPGESRASNPTWNYQPWGLKEGHLENETRLWDLLPKFYSLMASASMNPQGTILQMAEPWPRSFGLKMATALRQGAEKSQYIDCKHLPGTHGAAQCLAEHFQFQIIFSVFYRIYPVSSPIHFKAGPDFDGQTRPVPPCLGQYKLRLSWWEQNYNEKTISGDFVRWRWQDVLYSFPIFAIKDLAMKMLFNIDHEDSGGESSLLNLSHLHKPTSVSLALVDGIAEHFGPNAGERLAVPLDKYQMFYQIHGMEHHAVVLDELNVTTCQKIRFDKGNYFDWASAHCVVERGDTPKELMSKNWFEPPVPLLGLSTFFDDAEKFMRRPTWGQKLMAETTDMSPASPFPVVLDYINSWTQAWMNGLLHAPIPGELNIHQAGYHRHLARRVYAHLNLYLPQLEQFLRELDQELSPTEYMSRWSDGADVYGNLRAAQNILEVYKPYFQLIARGAKDRWGEWAWLLDEYKRSTAMVNTLDPELQLCSGNPHCVTAVSYTTEMDLLIKNYEELEQAPLAARELDQVKKIIRKITTNQLQNVDFKRAQTGYKLTHNAIQMNKQLLIKSTETTINTNYNWNAEAYENWVVQSTRDYEEVFKSAEAEDTVLDIGEPYNDPLPPHWQYEPWGLVEGNQQNQEQLWQTVPQFYKLLPQADLADLGVMDKLVEQWPRSSGAQLVKRLKAGAKTSRYIDCQHLPGEYGAEKCLAEHFQFQLVFSVFYRIYPTVNPVHFKAGADFHTENRTVPPCIGQYKTRLYWWDFNYRSPPTRGSFVGWRWQDVLYGFPLFAIKELAMKLLYNIDSETDNTVRDLLGIKHLHEPTSVSLALVDGIAEHFGPNAGRRLSVPLDKYQLYYQIHGMEHHVTSLQDMTVRTCQKIRFDKRNYFDWASAHCVVERGDAPKELMSGEWFEPPMPSLELSTFFEEAVRFMHTANWGQQLMTSTVDLNPKDPFPVVMDYINEWSQAWMDGLLHAPIPGRLNIYRDGYRRHLARRVFAHLNLYLPELQQYLHELDDEFTPSNYWSRWYAGTDTFGDQKYAENSLATFDEYLPKLPIGAANHWGEWAFLLMAYSRSSALINTLDPDLSWCTATKNPLCVKATSYIRSMNSLLANYQQRKALPLNASGLTRVAGIIRRLQPDTFNQWIPEYLEKAKQGWAEAFEQSLLAAEEQRRANEATINTDHAWSAAVSQFLVQEASSNYSQAFLQAGAEDASFNGPQLGPDLALAPQPPLLLLLPLPLLLGPGRRGSAAGM
+>sp|P32297|ACHA3_HUMAN Neuronal acetylcholine receptor subunit alpha-3 OS=Homo sapiens OX=9606 GN=CHRNA3 PE=1 SV=4
+MGSGPLSLPLALSPPRLLLLLLLSLLPVARASEAEHRLFERLFEDYNEIIRPVANVSDPVIIHFEVSMSQLVKVDEVNQIMETNLWLKQIWNDYKLKWNPSDYGGAEFMRVPAQKIWKPDIVLYNNAVGDFQVDDKTKALLKYTGEVTWIPPAIFKSSCKIDVTYFPFDYQNCTMKFGSWSYDKAKIDLVLIGSSMNLKDYWESGEWAIIKAPGYKHDIKYNCCEEIYPDITYSLYIRRLPLFYTINLIIPCLLISFLTVLVFYLPSDCGEKVTLCISVLLSLTVFLLVITETIPSTSLVIPLIGEYLLFTMIFVTLSIVITVFVLNVHYRTPTTHTMPSWVKTVFLNLLPRVMFMTRPTSNEGNAQKPRPLYGAELSNLNCFSRAESKGCKEGYPCQDGMCGYCHHRRIKISNFSANLTRSSSSESVDAVLSLSALSPEIKEAIQSVKYIAENMKAQNEAKEIQDDWKYVAMVIDRIFLWVFTLVCILGTAGLFLQPLMAREDA
+>DECOY_sp|P32297|ACHA3_HUMAN Neuronal acetylcholine receptor subunit alpha-3 OS=Homo sapiens OX=9606 GN=CHRNA3 PE=1 SV=4
+ADERAMLPQLFLGATGLICVLTFVWLFIRDIVMAVYKWDDQIEKAENQAKMNEAIYKVSQIAEKIEPSLASLSLVADVSESSSSRTLNASFNSIKIRRHHCYGCMGDQCPYGEKCGKSEARSFCNLNSLEAGYLPRPKQANGENSTPRTMFMVRPLLNLFVTKVWSPMTHTTPTRYHVNLVFVTIVISLTVFIMTFLLYEGILPIVLSTSPITETIVLLFVTLSLLVSICLTVKEGCDSPLYFVLVTLFSILLCPIILNITYFLPLRRIYLSYTIDPYIEECCNYKIDHKYGPAKIIAWEGSEWYDKLNMSSGILVLDIKAKDYSWSGFKMTCNQYDFPFYTVDIKCSSKFIAPPIWTVEGTYKLLAKTKDDVQFDGVANNYLVIDPKWIKQAPVRMFEAGGYDSPNWKLKYDNWIQKLWLNTEMIQNVEDVKVLQSMSVEFHIIVPDSVNAVPRIIENYDEFLREFLRHEAESARAVPLLSLLLLLLLRPPSLALPLSLPGSGM
+>sp|P43681|ACHA4_HUMAN Neuronal acetylcholine receptor subunit alpha-4 OS=Homo sapiens OX=9606 GN=CHRNA4 PE=1 SV=2
+MELGGPGAPRLLPPLLLLLGTGLLRASSHVETRAHAEERLLKKLFSGYNKWSRPVANISDVVLVRFGLSIAQLIDVDEKNQMMTTNVWVKQEWHDYKLRWDPADYENVTSIRIPSELIWRPDIVLYNNADGDFAVTHLTKAHLFHDGRVQWTPPAIYKSSCSIDVTFFPFDQQNCTMKFGSWTYDKAKIDLVNMHSRVDQLDFWESGEWVIVDAVGTYNTRKYECCAEIYPDITYAFVIRRLPLFYTINLIIPCLLISCLTVLVFYLPSECGEKITLCISVLLSLTVFLLLITEIIPSTSLVIPLIGEYLLFTMIFVTLSIVITVFVLNVHHRSPRTHTMPTWVRRVFLDIVPRLLLMKRPSVVKDNCRRLIESMHKMASAPRFWPEPEGEPPATSGTQSLHPPSPSFCVPLDVPAEPGPSCKSPSDQLPPQQPLEAEKASPHPSPGPCRPPHGTQAPGLAKARSLSVQHMSSPGEAVEGGVRCRSRSIQYCVPRDDAAPEADGQAAGALASRNTHSAELPPPDQPSPCKCTCKKEPSSVSPSATVKTRSTKAPPPHLPLSPALTRAVEGVQYIADHLKAEDTDFSVKEDWKYVAMVIDRIFLWMFIIVCLLGTVGLFLPPWLAGMI
+>DECOY_sp|P43681|ACHA4_HUMAN Neuronal acetylcholine receptor subunit alpha-4 OS=Homo sapiens OX=9606 GN=CHRNA4 PE=1 SV=2
+IMGALWPPLFLGVTGLLCVIIFMWLFIRDIVMAVYKWDEKVSFDTDEAKLHDAIYQVGEVARTLAPSLPLHPPPAKTSRTKVTASPSVSSPEKKCTCKCPSPQDPPPLEASHTNRSALAGAAQGDAEPAADDRPVCYQISRSRCRVGGEVAEGPSSMHQVSLSRAKALGPAQTGHPPRCPGPSPHPSAKEAELPQQPPLQDSPSKCSPGPEAPVDLPVCFSPSPPHLSQTGSTAPPEGEPEPWFRPASAMKHMSEILRRCNDKVVSPRKMLLLRPVIDLFVRRVWTPMTHTRPSRHHVNLVFVTIVISLTVFIMTFLLYEGILPIVLSTSPIIETILLLFVTLSLLVSICLTIKEGCESPLYFVLVTLCSILLCPIILNITYFLPLRRIVFAYTIDPYIEACCEYKRTNYTGVADVIVWEGSEWFDLQDVRSHMNVLDIKAKDYTWSGFKMTCNQQDFPFFTVDISCSSKYIAPPTWQVRGDHFLHAKTLHTVAFDGDANNYLVIDPRWILESPIRISTVNEYDAPDWRLKYDHWEQKVWVNTTMMQNKEDVDILQAISLGFRVLVVDSINAVPRSWKNYGSFLKKLLREEAHARTEVHSSARLLGTGLLLLLPPLLRPAGPGGLEM
+>sp|Q05901|ACHB3_HUMAN Neuronal acetylcholine receptor subunit beta-3 OS=Homo sapiens OX=9606 GN=CHRNB3 PE=2 SV=2
+MLPDFMLVLIVLGIPSSATTGFNSIAENEDALLRHLFQGYQKWVRPVLHSNDTIKVYFGLKISQLVDVDEKNQLMTTNVWLKQEWTDHKLRWNPDDYGGIHSIKVPSESLWLPDIVLFENADGRFEGSLMTKVIVKSNGTVVWTPPASYKSSCTMDVTFFPFDRQNCSMKFGSWTYDGTMVDLILINENVDRKDFFDNGEWEILNAKGMKGNRRDGVYSYPFITYSFVLRRLPLFYTLFLIIPCLGLSFLTVLVFYLPSDEGEKLSLSTSVLVSLTVFLLVIEEIIPSSSKVIPLIGEYLLFIMIFVTLSIIVTVFVINVHHRSSSTYHPMAPWVKRLFLQKLPKLLCMKDHVDRYSSPEKEESQPVVKGKVLEKKKQKQLSDGEKVLVAFLEKAADSIRYISRHVKKEHFISQVVQDWKFVAQVLDRIFLWLFLIVSVTGSVLIFTPALKMWLHSYH
+>DECOY_sp|Q05901|ACHB3_HUMAN Neuronal acetylcholine receptor subunit beta-3 OS=Homo sapiens OX=9606 GN=CHRNB3 PE=2 SV=2
+HYSHLWMKLAPTFILVSGTVSVILFLWLFIRDLVQAVFKWDQVVQSIFHEKKVHRSIYRISDAAKELFAVLVKEGDSLQKQKKKELVKGKVVPQSEEKEPSSYRDVHDKMCLLKPLKQLFLRKVWPAMPHYTSSSRHHVNIVFVTVIISLTVFIMIFLLYEGILPIVKSSSPIIEEIVLLFVTLSVLVSTSLSLKEGEDSPLYFVLVTLFSLGLCPIILFLTYFLPLRRLVFSYTIFPYSYVGDRRNGKMGKANLIEWEGNDFFDKRDVNENILILDVMTGDYTWSGFKMSCNQRDFPFFTVDMTCSSKYSAPPTWVVTGNSKVIVKTMLSGEFRGDANEFLVIDPLWLSESPVKISHIGGYDDPNWRLKHDTWEQKLWVNTTMLQNKEDVDVLQSIKLGFYVKITDNSHLVPRVWKQYGQFLHRLLADENEAISNFGTTASSPIGLVILVLMFDPLM
+>sp|P30926|ACHB4_HUMAN Neuronal acetylcholine receptor subunit beta-4 OS=Homo sapiens OX=9606 GN=CHRNB4 PE=1 SV=2
+MRRAPSLVLFFLVALCGRGNCRVANAEEKLMDDLLNKTRYNNLIRPATSSSQLISIKLQLSLAQLISVNEREQIMTTNVWLKQEWTDYRLTWNSSRYEGVNILRIPAKRIWLPDIVLYNNADGTYEVSVYTNLIVRSNGSVLWLPPAIYKSACKIEVKYFPFDQQNCTLKFRSWTYDHTEIDMVLMTPTASMDDFTPSGEWDIVALPGRRTVNPQDPSYVDVTYDFIIKRKPLFYTINLIIPCVLTTLLAILVFYLPSDCGEKMTLCISVLLALTFFLLLISKIVPPTSLDVPLIGKYLMFTMVLVTFSIVTSVCVLNVHHRSPSTHTMAPWVKRCFLHKLPTFLFMKRPGPDSSPARAFPPSKSCVTKPEATATSTSPSNFYGNSMYFVNPASAASKSPAGSTPVAIPRDFWLRSSGRFRQDVQEALEGVSFIAQHMKNDDEDQSVVEDWKYVAMVVDRLFLWVFMFVCVLGTVGLFLPPLFQTHAASEGPYAAQRD
+>DECOY_sp|P30926|ACHB4_HUMAN Neuronal acetylcholine receptor subunit beta-4 OS=Homo sapiens OX=9606 GN=CHRNB4 PE=1 SV=2
+DRQAAYPGESAAHTQFLPPLFLGVTGLVCVFMFVWLFLRDVVMAVYKWDEVVSQDEDDNKMHQAIFSVGELAEQVDQRFRGSSRLWFDRPIAVPTSGAPSKSAASAPNVFYMSNGYFNSPSTSTATAEPKTVCSKSPPFARAPSSDPGPRKMFLFTPLKHLFCRKVWPAMTHTSPSRHHVNLVCVSTVISFTVLVMTFMLYKGILPVDLSTPPVIKSILLLFFTLALLVSICLTMKEGCDSPLYFVLIALLTTLVCPIILNITYFLPKRKIIFDYTVDVYSPDQPNVTRRGPLAVIDWEGSPTFDDMSATPTMLVMDIETHDYTWSRFKLTCNQQDFPFYKVEIKCASKYIAPPLWLVSGNSRVILNTYVSVEYTGDANNYLVIDPLWIRKAPIRLINVGEYRSSNWTLRYDTWEQKLWVNTTMIQERENVSILQALSLQLKISILQSSSTAPRILNNYRTKNLLDDMLKEEANAVRCNGRGCLAVLFFLVLSPARRM
+>sp|Q9NPB9|ACKR4_HUMAN Atypical chemokine receptor 4 OS=Homo sapiens OX=9606 GN=ACKR4 PE=1 SV=1
+MALEQNQSTDYYYEENEMNGTYDYSQYELICIKEDVREFAKVFLPVFLTIVFVIGLAGNSMVVAIYAYYKKQRTKTDVYILNLAVADLLLLFTLPFWAVNAVHGWVLGKIMCKITSALYTLNFVSGMQFLACISIDRYVAVTKVPSQSGVGKPCWIICFCVWMAAILLSIPQLVFYTVNDNARCIPIFPRYLGTSMKALIQMLEICIGFVVPFLIMGVCYFITARTLMKMPNIKISRPLKVLLTVVIVFIVTQLPYNIVKFCRAIDIIYSLITSCNMSKRMDIAIQVTESIALFHSCLNPILYVFMGASFKNYVMKVAKKYGSWRRQRQSVEEFPFDSEGPTEPTSTFSI
+>DECOY_sp|Q9NPB9|ACKR4_HUMAN Atypical chemokine receptor 4 OS=Homo sapiens OX=9606 GN=ACKR4 PE=1 SV=1
+ISFTSTPETPGESDFPFEEVSQRQRRWSGYKKAVKMVYNKFSAGMFVYLIPNLCSHFLAISETVQIAIDMRKSMNCSTILSYIIDIARCFKVINYPLQTVIFVIVVTLLVKLPRSIKINPMKMLTRATIFYCVGMILFPVVFGICIELMQILAKMSTGLYRPFIPICRANDNVTYFVLQPISLLIAAMWVCFCIIWCPKGVGSQSPVKTVAVYRDISICALFQMGSVFNLTYLASTIKCMIKGLVWGHVANVAWFPLTFLLLLDAVALNLIYVDTKTRQKKYYAYIAVVMSNGALGIVFVITLFVPLFVKAFERVDEKICILEYQSYDYTGNMENEEYYYDTSQNQELAM
+>sp|O94805|ACL6B_HUMAN Actin-like protein 6B OS=Homo sapiens OX=9606 GN=ACTL6B PE=1 SV=1
+MSGGVYGGDEVGALVFDIGSFSVRAGYAGEDCPKADFPTTVGLLAAEEGGGLELEGDKEKKGKIFHIDTNALHVPRDGAEVMSPLKNGMIEDWECFRAILDHTYSKHVKSEPNLHPVLMSEAPWNTRAKREKLTELMFEQYNIPAFFLCKTAVLTAFANGRSTGLVLDSGATHTTAIPVHDGYVLQQGIVKSPLAGDFISMQCRELFQEMAIDIIPPYMIAAKEPVREGAPPNWKKKEKLPQVSKSWHNYMCNEVIQDFQASVLQVSDSPYDEQVAAQMPTVHYEMPNGYNTDYGAERLRIPEGLFDPSNVKGLSGNTMLGVGHVVTTSIGMCDIDIRPGLYGSVIVTGGNTLLQGFTDRLNRELSQKTPPSMRLKLIASNSTMERKFSPWIGGSILASLGTFQQMWISKQEYEEGGKQCVERKCP
+>DECOY_sp|O94805|ACL6B_HUMAN Actin-like protein 6B OS=Homo sapiens OX=9606 GN=ACTL6B PE=1 SV=1
+PCKREVCQKGGEEYEQKSIWMQQFTGLSALISGGIWPSFKREMTSNSAILKLRMSPPTKQSLERNLRDTFGQLLTNGGTVIVSGYLGPRIDIDCMGISTTVVHGVGLMTNGSLGKVNSPDFLGEPIRLREAGYDTNYGNPMEYHVTPMQAAVQEDYPSDSVQLVSAQFDQIVENCMYNHWSKSVQPLKEKKKWNPPAGERVPEKAAIMYPPIIDIAMEQFLERCQMSIFDGALPSKVIGQQLVYGDHVPIATTHTAGSDLVLGTSRGNAFATLVATKCLFFAPINYQEFMLETLKERKARTNWPAESMLVPHLNPESKVHKSYTHDLIARFCEWDEIMGNKLPSMVEAGDRPVHLANTDIHFIKGKKEKDGELELGGGEEAALLGVTTPFDAKPCDEGAYGARVSFSGIDFVLAGVEDGGYVGGSM
+>sp|Q68CK6|ACS2B_HUMAN Acyl-coenzyme A synthetase ACSM2B, mitochondrial OS=Homo sapiens OX=9606 GN=ACSM2B PE=1 SV=2
+MHWLRKVQGLCTLWGTQMSSRTLYINSRQLVSLQWGHQEVPAKFNFASDVLDHWADMEKAGKRLPSPALWWVNGKGKELMWNFRELSENSQQAANILSGACGLQRGDRVAVMLPRVPEWWLVILGCIRAGLIFMPGTIQMKSTDILYRLQMSKAKAIVAGDEVIQEVDTVASECPSLRIKLLVSEKSCDGWLNFKKLLNEASTTHHCVETGSQEASAIYFTSGTSGLPKMAEHSYSSLGLKAKMDAGWTGLQASDIMWTISDTGWILNILGSLLESWTLGACTFVHLLPKFDPLVILKTLSSYPIKSMMGAPIVYRMLLQQDLSSYKFPHLQNCLAGGESLLPETLENWRAQTGLDIREFYGQTETGLTCMVSKTMKIKPGYMGTAASCYDVQVIDDKGNVLPPGTEGDIGIRVKPIRPIGIFSGYVENPDKTAANIRGDFWLLGDRGIKDEDGYFQFMGRADDIINSSGYRIGPSEVENALMKHPAVVETAVISSPDPVRGEVVKAFVILASQFLSHDPEQLTKELQQHVKSVTAPYKYPRKIEFVLNLPKTVTGKIQRTKLRDKEWKMSGKARAQ
+>DECOY_sp|Q68CK6|ACS2B_HUMAN Acyl-coenzyme A synthetase ACSM2B, mitochondrial OS=Homo sapiens OX=9606 GN=ACSM2B PE=1 SV=2
+QARAKGSMKWEKDRLKTRQIKGTVTKPLNLVFEIKRPYKYPATVSKVHQQLEKTLQEPDHSLFQSALIVFAKVVEGRVPDPSSIVATEVVAPHKMLANEVESPGIRYGSSNIIDDARGMFQFYGDEDKIGRDGLLWFDGRINAATKDPNEVYGSFIGIPRIPKVRIGIDGETGPPLVNGKDDIVQVDYCSAATGMYGPKIKMTKSVMCTLGTETQGYFERIDLGTQARWNELTEPLLSEGGALCNQLHPFKYSSLDQQLLMRYVIPAGMMSKIPYSSLTKLIVLPDFKPLLHVFTCAGLTWSELLSGLINLIWGTDSITWMIDSAQLGTWGADMKAKLGLSSYSHEAMKPLGSTGSTFYIASAEQSGTEVCHHTTSAENLLKKFNLWGDCSKESVLLKIRLSPCESAVTDVEQIVEDGAVIAKAKSMQLRYLIDTSKMQITGPMFILGARICGLIVLWWEPVRPLMVAVRDGRQLGCAGSLINAAQQSNESLERFNWMLEKGKGNVWWLAPSPLRKGAKEMDAWHDLVDSAFNFKAPVEQHGWQLSVLQRSNIYLTRSSMQTGWLTCLGQVKRLWHM
+>sp|Q9NUB1|ACS2L_HUMAN Acetyl-coenzyme A synthetase 2-like, mitochondrial OS=Homo sapiens OX=9606 GN=ACSS1 PE=1 SV=2
+MAARTLGRGVGRLLGSLRGLSGQPARPPCGVSAPRRAASGPSGSAPAVAAAAAQPGSYPALSAQAAREPAAFWGPLARDTLVWDTPYHTVWDCDFSTGKIGWFLGGQLNVSVNCLDQHVRKSPESVALIWERDEPGTEVRITYRELLETTCRLANTLKRHGVHRGDRVAIYMPVSPLAVAAMLACARIGAVHTVIFAGFSAESLAGRINDAKCKVVITFNQGLRGGRVVELKKIVDEAVKHCPTVQHVLVAHRTDNKVHMGDLDVPLEQEMAKEDPVCAPESMGSEDMLFMLYTSGSTGMPKGIVHTQAGYLLYAALTHKLVFDHQPGDIFGCVADIGWITGHSYVVYGPLCNGATSVLFESTPVYPNAGRYWETVERLKINQFYGAPTAVRLLLKYGDAWVKKYDRSSLRTLGSVGEPINCEAWEWLHRVVGDSRCTLVDTWWQTETGGICIAPRPSEEGAEILPAMAMRPFFGIVPVLMDEKGSVVEGSNVSGALCISQAWPGMARTIYGDHQRFVDAYFKAYPGYYFTGDGAYRTEGGYYQITGRMDDVINISGHRLGTAEIEDAIADHPAVPESAVIGYPHDIKGEAAFAFIVVKDSAGDSDVVVQELKSMVATKIAKYAVPDEILVVKRLPKTRSGKVMRRLLRKIITSEAQELGDTTTLEDPSIIAEILSVYQKCKDKQAAAK
+>DECOY_sp|Q9NUB1|ACS2L_HUMAN Acetyl-coenzyme A synthetase 2-like, mitochondrial OS=Homo sapiens OX=9606 GN=ACSS1 PE=1 SV=2
+KAAAQKDKCKQYVSLIEAIISPDELTTTDGLEQAESTIIKRLLRRMVKGSRTKPLRKVVLIEDPVAYKAIKTAVMSKLEQVVVDSDGASDKVVIFAFAAEGKIDHPYGIVASEPVAPHDAIADEIEATGLRHGSINIVDDMRGTIQYYGGETRYAGDGTFYYGPYAKFYADVFRQHDGYITRAMGPWAQSICLAGSVNSGEVVSGKEDMLVPVIGFFPRMAMAPLIEAGEESPRPAICIGGTETQWWTDVLTCRSDGVVRHLWEWAECNIPEGVSGLTRLSSRDYKKVWADGYKLLLRVATPAGYFQNIKLREVTEWYRGANPYVPTSEFLVSTAGNCLPGYVVYSHGTIWGIDAVCGFIDGPQHDFVLKHTLAAYLLYGAQTHVIGKPMGTSGSTYLMFLMDESGMSEPACVPDEKAMEQELPVDLDGMHVKNDTRHAVLVHQVTPCHKVAEDVIKKLEVVRGGRLGQNFTIVVKCKADNIRGALSEASFGAFIVTHVAGIRACALMAAVALPSVPMYIAVRDGRHVGHRKLTNALRCTTELLERYTIRVETGPEDREWILAVSEPSKRVHQDLCNVSVNLQGGLFWGIKGTSFDCDWVTHYPTDWVLTDRALPGWFAAPERAAQASLAPYSGPQAAAAAVAPASGSPGSAARRPASVGCPPRAPQGSLGRLSGLLRGVGRGLTRAAM
+>sp|Q9ULC5|ACSL5_HUMAN Long-chain-fatty-acid--CoA ligase 5 OS=Homo sapiens OX=9606 GN=ACSL5 PE=1 SV=1
+MLFIFNFLFSPLPTPALICILTFGAAIFLWLITRPQPVLPLLDLNNQSVGIEGGARKGVSQKNNDLTSCCFSDAKTMYEVFQRGLAVSDNGPCLGYRKPNQPYRWLSYKQVSDRAEYLGSCLLHKGYKSSPDQFVGIFAQNRPEWIISELACYTYSMVAVPLYDTLGPEAIVHIVNKADIAMVICDTPQKALVLIGNVEKGFTPSLKVIILMDPFDDDLKQRGEKSGIEILSLYDAENLGKEHFRKPVPPSPEDLSVICFTSGTTGDPKGAMITHQNIVSNAAAFLKCVEHAYEPTPDDVAISYLPLAHMFERIVQAVVYSCGARVGFFQGDIRLLADDMKTLKPTLFPAVPRLLNRIYDKVQNEAKTPLKKFLLKLAVSSKFKELQKGIIRHDSFWDKLIFAKIQDSLGGRVRVIVTGAAPMSTSVMTFFRAAMGCQVYEAYGQTECTGGCTFTLPGDWTSGHVGVPLACNYVKLEDVADMNYFTVNNEGEVCIKGTNVFKGYLKDPEKTQEALDSDGWLHTGDIGRWLPNGTLKIIDRKKNIFKLAQGEYIAPEKIENIYNRSQPVLQIFVHGESLRSSLVGVVVPDTDVLPSFAAKLGVKGSFEELCQNQVVREAILEDLQKIGKESGLKTFEQVKAIFLHPEPFSIENGLLTPTLKAKRGELSKYFRTQIDSLYEHIQD
+>DECOY_sp|Q9ULC5|ACSL5_HUMAN Long-chain-fatty-acid--CoA ligase 5 OS=Homo sapiens OX=9606 GN=ACSL5 PE=1 SV=1
+DQIHEYLSDIQTRFYKSLEGRKAKLTPTLLGNEISFPEPHLFIAKVQEFTKLGSEKGIKQLDELIAERVVQNQCLEEFSGKVGLKAAFSPLVDTDPVVVGVLSSRLSEGHVFIQLVPQSRNYINEIKEPAIYEGQALKFINKKRDIIKLTGNPLWRGIDGTHLWGDSDLAEQTKEPDKLYGKFVNTGKICVEGENNVTFYNMDAVDELKVYNCALPVGVHGSTWDGPLTFTCGGTCETQGYAEYVQCGMAARFFTMVSTSMPAAGTVIVRVRGGLSDQIKAFILKDWFSDHRIIGKQLEKFKSSVALKLLFKKLPTKAENQVKDYIRNLLRPVAPFLTPKLTKMDDALLRIDGQFFGVRAGCSYVVAQVIREFMHALPLYSIAVDDPTPEYAHEVCKLFAAANSVINQHTIMAGKPDGTTGSTFCIVSLDEPSPPVPKRFHEKGLNEADYLSLIEIGSKEGRQKLDDDFPDMLIIVKLSPTFGKEVNGILVLAKQPTDCIVMAIDAKNVIHVIAEPGLTDYLPVAVMSYTYCALESIIWEPRNQAFIGVFQDPSSKYGKHLLCSGLYEARDSVQKYSLWRYPQNPKRYGLCPGNDSVALGRQFVEYMTKADSFCCSTLDNNKQSVGKRAGGEIGVSQNNLDLLPLVPQPRTILWLFIAAGFTLICILAPTPLPSFLFNFIFLM
+>sp|P62736|ACTA_HUMAN Actin, aortic smooth muscle OS=Homo sapiens OX=9606 GN=ACTA2 PE=1 SV=1
+MCEEEDSTALVCDNGSGLCKAGFAGDDAPRAVFPSIVGRPRHQGVMVGMGQKDSYVGDEAQSKRGILTLKYPIEHGIITNWDDMEKIWHHSFYNELRVAPEEHPTLLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVTHNVPIYEGYALPHAIMRLDLAGRDLTDYLMKILTERGYSFVTTAEREIVRDIKEKLCYVALDFENEMATAASSSSLEKSYELPDGQVITIGNERFRCPETLFQPSFIGMESAGIHETTYNSIMKCDIDIRKDLYANNVLSGGTTMYPGIADRMQKEITALAPSTMKIKIIAPPERKYSVWIGGSILASLSTFQQMWISKQEYDEAGPSIVHRKCF
+>DECOY_sp|P62736|ACTA_HUMAN Actin, aortic smooth muscle OS=Homo sapiens OX=9606 GN=ACTA2 PE=1 SV=1
+FCKRHVISPGAEDYEQKSIWMQQFTSLSALISGGIWVSYKREPPAIIKIKMTSPALATIEKQMRDAIGPYMTTGGSLVNNAYLDKRIDIDCKMISNYTTEHIGASEMGIFSPQFLTEPCRFRENGITIVQGDPLEYSKELSSSSAATAMENEFDLAVYCLKEKIDRVIEREATTVFSYGRETLIKMLYDTLDRGALDLRMIAHPLAYGEYIPVNHTVGDGSDLVIGTTRGSAYLSLVAQIAVYMAPVNFTEFMIQTMKERNAKPNLPAETLLTPHEEPAVRLENYFSHHWIKEMDDWNTIIGHEIPYKLTLIGRKSQAEDGVYSDKQGMGVMVGQHRPRGVISPFVARPADDGAFGAKCLGSGNDCVLATSDEEECM
+>sp|Q9BYX7|ACTBM_HUMAN Putative beta-actin-like protein 3 OS=Homo sapiens OX=9606 GN=POTEKP PE=5 SV=1
+MDDDTAVLVIDNGSGMCKAGFAGDDAPQAVFPSIVGRPRHQGMMEGMHQKESYVGKEAQSKRGMLTLKYPMEHGIITNWDDMEKIWHHTFYNELRVAPEEHPILLTEAPLNPKANREKMTQIMFETFNTPAMYVAIQAVLSLYTSGRTTGIVMDSGDGFTHTVPIYEGNALPHATLRLDLAGRELTDYLMKILTERGYRFTTTAEQEIVRDIKEKLCYVALDSEQEMAMAASSSSVEKSYELPDGQVITIGNERFRCPEALFQPCFLGMESCGIHKTTFNSIVKSDVDIRKDLYTNTVLSGGTTMYPGIAHRMQKEITALAPSIMKIKIIAPPKRKYSVWVGGSILASLSTFQQMWISKQEYDESGPSIVHRKCF
+>DECOY_sp|Q9BYX7|ACTBM_HUMAN Putative beta-actin-like protein 3 OS=Homo sapiens OX=9606 GN=POTEKP PE=5 SV=1
+FCKRHVISPGSEDYEQKSIWMQQFTSLSALISGGVWVSYKRKPPAIIKIKMISPALATIEKQMRHAIGPYMTTGGSLVTNTYLDKRIDVDSKVISNFTTKHIGCSEMGLFCPQFLAEPCRFRENGITIVQGDPLEYSKEVSSSSAAMAMEQESDLAVYCLKEKIDRVIEQEATTTFRYGRETLIKMLYDTLERGALDLRLTAHPLANGEYIPVTHTFGDGSDMVIGTTRGSTYLSLVAQIAVYMAPTNFTEFMIQTMKERNAKPNLPAETLLIPHEEPAVRLENYFTHHWIKEMDDWNTIIGHEMPYKLTLMGRKSQAEKGVYSEKQHMGEMMGQHRPRGVISPFVAQPADDGAFGAKCMGSGNDIVLVATDDDM
+>sp|P60709|ACTB_HUMAN Actin, cytoplasmic 1 OS=Homo sapiens OX=9606 GN=ACTB PE=1 SV=1
+MDDDIAALVVDNGSGMCKAGFAGDDAPRAVFPSIVGRPRHQGVMVGMGQKDSYVGDEAQSKRGILTLKYPIEHGIVTNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNTPAMYVAIQAVLSLYASGRTTGIVMDSGDGVTHTVPIYEGYALPHAILRLDLAGRDLTDYLMKILTERGYSFTTTAEREIVRDIKEKLCYVALDFEQEMATAASSSSLEKSYELPDGQVITIGNERFRCPEALFQPSFLGMESCGIHETTFNSIMKCDVDIRKDLYANTVLSGGTTMYPGIADRMQKEITALAPSTMKIKIIAPPERKYSVWIGGSILASLSTFQQMWISKQEYDESGPSIVHRKCF
+>DECOY_sp|P60709|ACTB_HUMAN Actin, cytoplasmic 1 OS=Homo sapiens OX=9606 GN=ACTB PE=1 SV=1
+FCKRHVISPGSEDYEQKSIWMQQFTSLSALISGGIWVSYKREPPAIIKIKMTSPALATIEKQMRDAIGPYMTTGGSLVTNAYLDKRIDVDCKMISNFTTEHIGCSEMGLFSPQFLAEPCRFRENGITIVQGDPLEYSKELSSSSAATAMEQEFDLAVYCLKEKIDRVIEREATTTFSYGRETLIKMLYDTLDRGALDLRLIAHPLAYGEYIPVTHTVGDGSDMVIGTTRGSAYLSLVAQIAVYMAPTNFTEFMIQTMKERNAKPNLPAETLLVPHEEPAVRLENYFTHHWIKEMDDWNTVIGHEIPYKLTLIGRKSQAEDGVYSDKQGMGVMVGQHRPRGVISPFVARPADDGAFGAKCMGSGNDVVLAAIDDDM
+>sp|O43707|ACTN4_HUMAN Alpha-actinin-4 OS=Homo sapiens OX=9606 GN=ACTN4 PE=1 SV=2
+MVDYHAANQSYQYGPSSAGNGAGGGGSMGDYMAQEDDWDRDLLLDPAWEKQQRKTFTAWCNSHLRKAGTQIENIDEDFRDGLKLMLLLEVISGERLPKPERGKMRVHKINNVNKALDFIASKGVKLVSIGAEEIVDGNAKMTLGMIWTIILRFAIQDISVEETSAKEGLLLWCQRKTAPYKNVNVQNFHISWKDGLAFNALIHRHRPELIEYDKLRKDDPVTNLNNAFEVAEKYLDIPKMLDAEDIVNTARPDEKAIMTYVSSFYHAFSGAQKAETAANRICKVLAVNQENEHLMEDYEKLASDLLEWIRRTIPWLEDRVPQKTIQEMQQKLEDFRDYRRVHKPPKVQEKCQLEINFNTLQTKLRLSNRPAFMPSEGKMVSDINNGWQHLEQAEKGYEEWLLNEIRRLERLDHLAEKFRQKASIHEAWTDGKEAMLKHRDYETATLSDIKALIRKHEAFESDLAAHQDRVEQIAAIAQELNELDYYDSHNVNTRCQKICDQWDALGSLTHSRREALEKTEKQLEAIDQLHLEYAKRAAPFNNWMESAMEDLQDMFIVHTIEEIEGLISAHDQFKSTLPDADREREAILAIHKEAQRIAESNHIKLSGSNPYTTVTPQIINSKWEKVQQLVPKRDHALLEEQSKQQSNEHLRRQFASQANVVGPWIQTKMEEIGRISIEMNGTLEDQLSHLKQYERSIVDYKPNLDLLEQQHQLIQEALIFDNKHTNYTMEHIRVGWEQLLTTIARTINEVENQILTRDAKGISQEQMQEFRASFNHFDKDHGGALGPEEFKACLISLGYDVENDRQGEAEFNRIMSLVDPNHSGLVTFQAFIDFMSRETTDTDTADQVIASFKVLAGDKNFITAEELRRELPPDQAEYCIARMAPYQGPDAVPGALDYKSFSTALYGESDL
+>DECOY_sp|O43707|ACTN4_HUMAN Alpha-actinin-4 OS=Homo sapiens OX=9606 GN=ACTN4 PE=1 SV=2
+LDSEGYLATSFSKYDLAGPVADPGQYPAMRAICYEAQDPPLERRLEEATIFNKDGALVKFSAIVQDATDTDTTERSMFDIFAQFTVLGSHNPDVLSMIRNFEAEGQRDNEVDYGLSILCAKFEEPGLAGGHDKDFHNFSARFEQMQEQSIGKADRTLIQNEVENITRAITTLLQEWGVRIHEMTYNTHKNDFILAEQILQHQQELLDLNPKYDVISREYQKLHSLQDELTGNMEISIRGIEEMKTQIWPGVVNAQSAFQRRLHENSQQKSQEELLAHDRKPVLQQVKEWKSNIIQPTVTTYPNSGSLKIHNSEAIRQAEKHIALIAERERDADPLTSKFQDHASILGEIEEITHVIFMDQLDEMASEMWNNFPAARKAYELHLQDIAELQKETKELAERRSHTLSGLADWQDCIKQCRTNVNHSDYYDLENLEQAIAAIQEVRDQHAALDSEFAEHKRILAKIDSLTATEYDRHKLMAEKGDTWAEHISAKQRFKEALHDLRELRRIENLLWEEYGKEAQELHQWGNNIDSVMKGESPMFAPRNSLRLKTQLTNFNIELQCKEQVKPPKHVRRYDRFDELKQQMEQITKQPVRDELWPITRRIWELLDSALKEYDEMLHENEQNVALVKCIRNAATEAKQAGSFAHYFSSVYTMIAKEDPRATNVIDEADLMKPIDLYKEAVEFANNLNTVPDDKRLKDYEILEPRHRHILANFALGDKWSIHFNQVNVNKYPATKRQCWLLLGEKASTEEVSIDQIAFRLIITWIMGLTMKANGDVIEEAGISVLKVGKSAIFDLAKNVNNIKHVRMKGREPKPLREGSIVELLLMLKLGDRFDEDINEIQTGAKRLHSNCWATFTKRQQKEWAPDLLLDRDWDDEQAMYDGMSGGGGAGNGASSPGYQYSQNAAHYDVM
+>sp|P36896|ACV1B_HUMAN Activin receptor type-1B OS=Homo sapiens OX=9606 GN=ACVR1B PE=1 SV=1
+MAESAGASSFFPLVVLLLAGSGGSGPRGVQALLCACTSCLQANYTCETDGACMVSIFNLDGMEHHVRTCIPKVELVPAGKPFYCLSSEDLRNTHCCYTDYCNRIDLRVPSGHLKEPEHPSMWGPVELVGIIAGPVFLLFLIIIIVFLVINYHQRVYHNRQRLDMEDPSCEMCLSKDKTLQDLVYDLSTSGSGSGLPLFVQRTVARTIVLQEIIGKGRFGEVWRGRWRGGDVAVKIFSSREERSWFREAEIYQTVMLRHENILGFIAADNKDNGTWTQLWLVSDYHEHGSLFDYLNRYTVTIEGMIKLALSAASGLAHLHMEIVGTQGKPGIAHRDLKSKNILVKKNGMCAIADLGLAVRHDAVTDTIDIAPNQRVGTKRYMAPEVLDETINMKHFDSFKCADIYALGLVYWEIARRCNSGGVHEEYQLPYYDLVPSDPSIEEMRKVVCDQKLRPNIPNWWQSYEALRVMGKMMRECWYANGAARLTALRIKKTLSQLSVQEDVKI
+>DECOY_sp|P36896|ACV1B_HUMAN Activin receptor type-1B OS=Homo sapiens OX=9606 GN=ACVR1B PE=1 SV=1
+IKVDEQVSLQSLTKKIRLATLRAAGNAYWCERMMKGMVRLAEYSQWWNPINPRLKQDCVVKRMEEISPDSPVLDYYPLQYEEHVGGSNCRRAIEWYVLGLAYIDACKFSDFHKMNITEDLVEPAMYRKTGVRQNPAIDITDTVADHRVALGLDAIACMGNKKVLINKSKLDRHAIGPKGQTGVIEMHLHALGSAASLALKIMGEITVTYRNLYDFLSGHEHYDSVLWLQTWTGNDKNDAAIFGLINEHRLMVTQYIEAERFWSREERSSFIKVAVDGGRWRGRWVEGFRGKGIIEQLVITRAVTRQVFLPLGSGSGSTSLDYVLDQLTKDKSLCMECSPDEMDLRQRNHYVRQHYNIVLFVIIIILFLLFVPGAIIGVLEVPGWMSPHEPEKLHGSPVRLDIRNCYDTYCCHTNRLDESSLCYFPKGAPVLEVKPICTRVHHEMGDLNFISVMCAGDTECTYNAQLCSTCACLLAQVGRPGSGGSGALLLVVLPFFSSAGASEAM
+>sp|P35368|ADA1B_HUMAN Alpha-1B adrenergic receptor OS=Homo sapiens OX=9606 GN=ADRA1B PE=1 SV=3
+MNPDLDTGHNTSAPAHWGELKNANFTGPNQTSSNSTLPQLDITRAISVGLVLGAFILFAIVGNILVILSVACNRHLRTPTNYFIVNLAMADLLLSFTVLPFSAALEVLGYWVLGRIFCDIWAAVDVLCCTASILSLCAISIDRYIGVRYSLQYPTLVTRRKAILALLSVWVLSTVISIGPLLGWKEPAPNDDKECGVTEEPFYALFSSLGSFYIPLAVILVMYCRVYIVAKRTTKNLEAGVMKEMSNSKELTLRIHSKNFHEDTLSSTKAKGHNPRSSIAVKLFKFSREKKAAKTLGIVVGMFILCWLPFFIALPLGSLFSTLKPPDAVFKVVFWLGYFNSCLNPIIYPCSSKEFKRAFVRILGCQCRGRGRRRRRRRRRLGGCAYTYRPWTRGGSLERSQSRKDSLDDSGSCLSGSQRTLPSASPSPGYLGRGAPPPVELCAFPEWKAPGALLSLPAPEPPGRRGRHDSGPLFTFKLLTEPESPGTDGGASNGGCEAAADVANGQPGFKSNMPLAPGQF
+>DECOY_sp|P35368|ADA1B_HUMAN Alpha-1B adrenergic receptor OS=Homo sapiens OX=9606 GN=ADRA1B PE=1 SV=3
+FQGPALPMNSKFGPQGNAVDAAAECGGNSAGGDTGPSEPETLLKFTFLPGSDHRGRRGPPEPAPLSLLAGPAKWEPFACLEVPPPAGRGLYGPSPSASPLTRQSGSLCSGSDDLSDKRSQSRELSGGRTWPRYTYACGGLRRRRRRRRRGRGRCQCGLIRVFARKFEKSSCPYIIPNLCSNFYGLWFVVKFVADPPKLTSFLSGLPLAIFFPLWCLIFMGVVIGLTKAAKKERSFKFLKVAISSRPNHGKAKTSSLTDEHFNKSHIRLTLEKSNSMEKMVGAELNKTTRKAVIYVRCYMVLIVALPIYFSGLSSFLAYFPEETVGCEKDDNPAPEKWGLLPGISIVTSLVWVSLLALIAKRRTVLTPYQLSYRVGIYRDISIACLSLISATCCLVDVAAWIDCFIRGLVWYGLVELAASFPLVTFSLLLDAMALNVIFYNTPTRLHRNCAVSLIVLINGVIAFLIFAGLVLGVSIARTIDLQPLTSNSSTQNPGTFNANKLEGWHAPASTNHGTDLDPNM
+>sp|P25100|ADA1D_HUMAN Alpha-1D adrenergic receptor OS=Homo sapiens OX=9606 GN=ADRA1D PE=1 SV=2
+MTFRDLLSVSFEGPRPDSSAGGSSAGGGGGSAGGAAPSEGPAVGGVPGGAGGGGGVVGAGSGEDNRSSAGEPGSAGAGGDVNGTAAVGGLVVSAQGVGVGVFLAAFILMAVAGNLLVILSVACNRHLQTVTNYFIVNLAVADLLLSATVLPFSATMEVLGFWAFGRAFCDVWAAVDVLCCTASILSLCTISVDRYVGVRHSLKYPAIMTERKAAAILALLWVVALVVSVGPLLGWKEPVPPDERFCGITEEAGYAVFSSVCSFYLPMAVIVVMYCRVYVVARSTTRSLEAGVKRERGKASEVVLRIHCRGAATGADGAHGMRSAKGHTFRSSLSVRLLKFSREKKAAKTLAIVVGVFVLCWFPFFFVLPLGSLFPQLKPSEGVFKVIFWLGYFNSCVNPLIYPCSSREFKRAFLRLLRCQCRRRRRRRPLWRVYGHHWRASTSGLRQDCAPSSGDAPPGAPLALTALPDPDPEPPGTPEMQAPVASRRKPPSAFREWRLLGPFRRPTTQLRAKVSSLSHKIRAGGAQRAEAACAQRSEVEAVSLGVPHEVAEGATCQAYELADYSNLRETDI
+>DECOY_sp|P25100|ADA1D_HUMAN Alpha-1D adrenergic receptor OS=Homo sapiens OX=9606 GN=ADRA1D PE=1 SV=2
+IDTERLNSYDALEYAQCTAGEAVEHPVGLSVAEVESRQACAAEARQAGGARIKHSLSSVKARLQTTPRRFPGLLRWERFASPPKRRSAVPAQMEPTGPPEPDPDPLATLALPAGPPADGSSPACDQRLGSTSARWHHGYVRWLPRRRRRRRCQCRLLRLFARKFERSSCPYILPNVCSNFYGLWFIVKFVGESPKLQPFLSGLPLVFFFPFWCLVFVGVVIALTKAAKKERSFKLLRVSLSSRFTHGKASRMGHAGDAGTAAGRCHIRLVVESAKGRERKVGAELSRTTSRAVVYVRCYMVVIVAMPLYFSCVSSFVAYGAEETIGCFREDPPVPEKWGLLPGVSVVLAVVWLLALIAAAKRETMIAPYKLSHRVGVYRDVSITCLSLISATCCLVDVAAWVDCFARGFAWFGLVEMTASFPLVTASLLLDAVALNVIFYNTVTQLHRNCAVSLIVLLNGAVAMLIFAALFVGVGVGQASVVLGGVAATGNVDGGAGASGPEGASSRNDEGSGAGVVGGGGGAGGPVGGVAPGESPAAGGASGGGGGASSGGASSDPRPGEFSVSLLDRFTM
+>sp|O43506|ADA20_HUMAN Disintegrin and metalloproteinase domain-containing protein 20 OS=Homo sapiens OX=9606 GN=ADAM20 PE=2 SV=2
+MAVGEPLVHIRVTLLLLWFGMFLSISGHSQARPSQYFTSPEVVIPLKVISRGRGAKAPGWLSYSLRFGGQRYIVHMRVNKLLFAAHLPVFTYTEQHALLQDQPFIQDDCYYHGYVEGVPESLVALSTCSGGFLGMLQINDLVYEIKPISVSATFEHLVYKIDSDDTQFPPMRCGLTEEKIAHQMELQLSYNFTLKQSSFVGWWTHQRFVELVVVVDNIRYLFSQSNATTVQHEVFNVVNIVDSFYHPLEVDVILTGIDIWTASNPLPTSGDLDNVLEDFSIWKNYNLNNRLQHDVAHLFIKDTQGMKLGVAYVKGICQNPFNTGVDVFEDNRLVVFAITLGHELGHNLGMQHDTQWCVCELQWCIMHAYRKVTTKFSNCSYAQYWDSTISSGLCIQPPPYPGNIFRLKYCGNLVVEEGEECDCGTIRQCAKDPCCLLNCTLHPGAACAFGICCKDCKFLPSGTLCRQQVGECDLPEWCNGTSHQCPDDVYVQDGISCNVNAFCYEKTCNNHDIQCKEIFGQDARSASQSCYQEINTQGNRFGHCGIVGTTYVKCWTPDIMCGRVQCENVGVIPNLIEHSTVQQFHLNDTTCWGTDYHLGMAIPDIGEVKDGTVCGPEKICIRKKCASMVHLSQACQPKTCNMRGICNNKQHCHCNHEWAPPYCKDKGYGGSADSGPPPKNNMEGLNVMGKLRYLSLLCLLPLVAFLLFCLHVLFKKRTKSKEDEEG
+>DECOY_sp|O43506|ADA20_HUMAN Disintegrin and metalloproteinase domain-containing protein 20 OS=Homo sapiens OX=9606 GN=ADAM20 PE=2 SV=2
+GEEDEKSKTRKKFLVHLCFLLFAVLPLLCLLSLYRLKGMVNLGEMNNKPPPGSDASGGYGKDKCYPPAWEHNCHCHQKNNCIGRMNCTKPQCAQSLHVMSACKKRICIKEPGCVTGDKVEGIDPIAMGLHYDTGWCTTDNLHFQQVTSHEILNPIVGVNECQVRGCMIDPTWCKVYTTGVIGCHGFRNGQTNIEQYCSQSASRADQGFIEKCQIDHNNCTKEYCFANVNCSIGDQVYVDDPCQHSTGNCWEPLDCEGVQQRCLTGSPLFKCDKCCIGFACAAGPHLTCNLLCCPDKACQRITGCDCEEGEEVVLNGCYKLRFINGPYPPPQICLGSSITSDWYQAYSCNSFKTTVKRYAHMICWQLECVCWQTDHQMGLNHGLEHGLTIAFVVLRNDEFVDVGTNFPNQCIGKVYAVGLKMGQTDKIFLHAVDHQLRNNLNYNKWISFDELVNDLDGSTPLPNSATWIDIGTLIVDVELPHYFSDVINVVNFVEHQVTTANSQSFLYRINDVVVVLEVFRQHTWWGVFSSQKLTFNYSLQLEMQHAIKEETLGCRMPPFQTDDSDIKYVLHEFTASVSIPKIEYVLDNIQLMGLFGGSCTSLAVLSEPVGEVYGHYYCDDQIFPQDQLLAHQETYTFVPLHAAFLLKNVRMHVIYRQGGFRLSYSLWGPAKAGRGRSIVKLPIVVEPSTFYQSPRAQSHGSISLFMGFWLLLLTVRIHVLPEGVAM
+>sp|Q9P0K1|ADA22_HUMAN Disintegrin and metalloproteinase domain-containing protein 22 OS=Homo sapiens OX=9606 GN=ADAM22 PE=1 SV=1
+MQAAVAVSVPFLLLCVLGTCPPARCGQAGDASLMELEKRKENRFVERQSIVPLRLIYRSGGEDESRHDALDTRVRGDLGGPQLTHVDQASFQVDAFGTSFILDVVLNHDLLSSEYIERHIEHGGKTVEVKGGEHCYYQGHIRGNPDSFVALSTCHGLHGMFYDGNHTYLIEPEENDTTQEDFHFHSVYKSRLFEFSLDDLPSEFQQVNITPSKFILKPRPKRSKRQLRRYPRNVEEETKYIELMIVNDHLMFKKHRLSVVHTNTYAKSVVNMADLIYKDQLKTRIVLVAMETWATDNKFAISENPLITLREFMKYRRDFIKEKSDAVHLFSGSQFESSRSGAAYIGGICSLLKGGGVNEFGKTDLMAVTLAQSLAHNIGIISDKRKLASGECKCEDTWSGCIMGDTGYYLPKKFTQCNIEEYHDFLNSGGGACLFNKPSKLLDPPECGNGFIETGEECDCGTPAECVLEGAECCKKCTLTQDSQCSDGLCCKKCKFQPMGTVCREAVNDCDIRETCSGNSSQCAPNIHKMDGYSCDGVQGICFGGRCKTRDRQCKYIWGQKVTASDKYCYEKLNIEGTEKGNCGKDKDTWIQCNKRDVLCGYLLCTNIGNIPRLGELDGEITSTLVVQQGRTLNCSGGHVKLEEDVDLGYVEDGTPCGPQMMCLEHRCLPVASFNFSTCLSSKEGTICSGNGVCSNELKCVCNRHWIGSDCNTYFPHNDDAKTGITLSGNGVAGTNIIIGIIAGTILVLALILGITAWGYKNYREQRQLPQGDYVKKPGDGDSFYSDIPPGVSTNSASSSKKRSNGLSHSWSERIPDTKHISDICENGRPRSNSWQGNLGGNKKKIRGKRFRPRSNSTETLSPAKSPSSSTGSIASSRKYPYPMPPLPDEDKKVNRQSARLWETSI
+>DECOY_sp|Q9P0K1|ADA22_HUMAN Disintegrin and metalloproteinase domain-containing protein 22 OS=Homo sapiens OX=9606 GN=ADAM22 PE=1 SV=1
+ISTEWLRASQRNVKKDEDPLPPMPYPYKRSSAISGTSSSPSKAPSLTETSNSRPRFRKGRIKKKNGGLNGQWSNSRPRGNECIDSIHKTDPIRESWSHSLGNSRKKSSSASNTSVGPPIDSYFSDGDGPKKVYDGQPLQRQERYNKYGWATIGLILALVLITGAIIGIIINTGAVGNGSLTIGTKADDNHPFYTNCDSGIWHRNCVCKLENSCVGNGSCITGEKSSLCTSFNFSAVPLCRHELCMMQPGCPTGDEVYGLDVDEELKVHGGSCNLTRGQQVVLTSTIEGDLEGLRPINGINTCLLYGCLVDRKNCQIWTDKDKGCNGKETGEINLKEYCYKDSATVKQGWIYKCQRDRTKCRGGFCIGQVGDCSYGDMKHINPACQSSNGSCTERIDCDNVAERCVTGMPQFKCKKCCLGDSCQSDQTLTCKKCCEAGELVCEAPTGCDCEEGTEIFGNGCEPPDLLKSPKNFLCAGGGSNLFDHYEEINCQTFKKPLYYGTDGMICGSWTDECKCEGSALKRKDSIIGINHALSQALTVAMLDTKGFENVGGGKLLSCIGGIYAAGSRSSEFQSGSFLHVADSKEKIFDRRYKMFERLTILPNESIAFKNDTAWTEMAVLVIRTKLQDKYILDAMNVVSKAYTNTHVVSLRHKKFMLHDNVIMLEIYKTEEEVNRPYRRLQRKSRKPRPKLIFKSPTINVQQFESPLDDLSFEFLRSKYVSHFHFDEQTTDNEEPEILYTHNGDYFMGHLGHCTSLAVFSDPNGRIHGQYYCHEGGKVEVTKGGHEIHREIYESSLLDHNLVVDLIFSTGFADVQFSAQDVHTLQPGGLDGRVRTDLADHRSEDEGGSRYILRLPVISQREVFRNEKRKELEMLSADGAQGCRAPPCTGLVCLLLFPVSVAVAAQM
+>sp|O75077|ADA23_HUMAN Disintegrin and metalloproteinase domain-containing protein 23 OS=Homo sapiens OX=9606 GN=ADAM23 PE=1 SV=1
+MKPPGSSSRQPPLAGCSLAGASCGPQRGPAGSVPASAPARTPPCRLLLVLLLLPPLAASSRPRAWGAAAPSAPHWNETAEKNLGVLADEDNTLQQNSSSNISYSNAMQKEITLPSRLIYYINQDSESPYHVLDTKARHQQKHNKAVHLAQASFQIEAFGSKFILDLILNNGLLSSDYVEIHYENGKPQYSKGGEHCYYHGSIRGVKDSKVALSTCNGLHGMFEDDTFVYMIEPLELVHDEKSTGRPHIIQKTLAGQYSKQMKNLTMERGDQWPFLSELQWLKRRKRAVNPSRGIFEEMKYLELMIVNDHKTYKKHRSSHAHTNNFAKSVVNLVDSIYKEQLNTRVVLVAVETWTEKDQIDITTNPVQMLHEFSKYRQRIKQHADAVHLISRVTFHYKRSSLSYFGGVCSRTRGVGVNEYGLPMAVAQVLSQSLAQNLGIQWEPSSRKPKCDCTESWGGCIMEETGVSHSRKFSKCSILEYRDFLQRGGGACLFNRPTKLFEPTECGNGYVEAGEECDCGFHVECYGLCCKKCSLSNGAHCSDGPCCNNTSCLFQPRGYECRDAVNECDITEYCTGDSGQCPPNLHKQDGYACNQNQGRCYNGECKTRDNQCQYIWGTKAAGSDKFCYEKLNTEGTEKGNCGKDGDRWIQCSKHDVFCGFLLCTNLTRAPRIGQLQGEIIPTSFYHQGRVIDCSGAHVVLDDDTDVGYVEDGTPCGPSMMCLDRKCLQIQALNMSSCPLDSKGKVCSGHGVCSNEATCICDFTWAGTDCSIRDPVRNLHPPKDEGPKGPSATNLIIGSIAGAILVAAIVLGGTGWGFKNVKKRRFDPTQQGPI
+>DECOY_sp|O75077|ADA23_HUMAN Disintegrin and metalloproteinase domain-containing protein 23 OS=Homo sapiens OX=9606 GN=ADAM23 PE=1 SV=1
+IPGQQTPDFRRKKVNKFGWGTGGLVIAAVLIAGAISGIILNTASPGKPGEDKPPHLNRVPDRISCDTGAWTFDCICTAENSCVGHGSCVKGKSDLPCSSMNLAQIQLCKRDLCMMSPGCPTGDEVYGVDTDDDLVVHAGSCDIVRGQHYFSTPIIEGQLQGIRPARTLNTCLLFGCFVDHKSCQIWRDGDKGCNGKETGETNLKEYCFKDSGAAKTGWIYQCQNDRTKCEGNYCRGQNQNCAYGDQKHLNPPCQGSDGTCYETIDCENVADRCEYGRPQFLCSTNNCCPGDSCHAGNSLSCKKCCLGYCEVHFGCDCEEGAEVYGNGCETPEFLKTPRNFLCAGGGRQLFDRYELISCKSFKRSHSVGTEEMICGGWSETCDCKPKRSSPEWQIGLNQALSQSLVQAVAMPLGYENVGVGRTRSCVGGFYSLSSRKYHFTVRSILHVADAHQKIRQRYKSFEHLMQVPNTTIDIQDKETWTEVAVLVVRTNLQEKYISDVLNVVSKAFNNTHAHSSRHKKYTKHDNVIMLELYKMEEFIGRSPNVARKRRKLWQLESLFPWQDGREMTLNKMQKSYQGALTKQIIHPRGTSKEDHVLELPEIMYVFTDDEFMGHLGNCTSLAVKSDKVGRISGHYYCHEGGKSYQPKGNEYHIEVYDSSLLGNNLILDLIFKSGFAEIQFSAQALHVAKNHKQQHRAKTDLVHYPSESDQNIYYILRSPLTIEKQMANSYSINSSSNQQLTNDEDALVGLNKEATENWHPASPAAAGWARPRSSAALPPLLLLVLLLRCPPTRAPASAPVSGAPGRQPGCSAGALSCGALPPQRSSSGPPKM
+>sp|Q8NCV1|ADAD2_HUMAN Adenosine deaminase domain-containing protein 2 OS=Homo sapiens OX=9606 GN=ADAD2 PE=2 SV=1
+MASASQGADDDGSRRKPRLAASLQISPQPRPWRPLPAQAQSAWGPAPAPATYRAEGGWPQVSVLRDSGPGAGAGVGELGAARAWENLGEQMGKAPRVPVPPAGLSLPLKDPPASQAVSLLTEYAASLGIFLLFREDQPPGPCFPFSVSAELDGVVCPAGTANSKTEAKQQAALSALCYIRSQLENPESPQTSSRPPLAPLSVENILTHEQRCAALVSAGFDLLLDERSPYWACKGTVAGVILEREIPRARGHVKEIYKLVALGTGSSCCAGWLEFSGQQLHDCHGLVIARRALLRFLFRQLLLATQGGPKGKEQSVLAPQPGPGPPFTLKPRVFLHLYISNTPKGAARDIYLPPTSEGGLPHSPPMRLQAHVLGQLKPVCYVAPSLCDTHVGCLSASDKLARWAVLGLGGALLAHLVSPLYSTSLILADSCHDPPTLSRAIHTRPCLDSVLGPCLPPPYVRTALHLFAGPPVAPSEPTPDTCRGLSLNWSLGDPGIEVVDVATGRVKANAALGPPSRLCKASFLRAFHQAARAVGKPYLLALKTYEAAKAGPYQEARRQLSLLLDQQGLGAWPSKPLVGKFRN
+>DECOY_sp|Q8NCV1|ADAD2_HUMAN Adenosine deaminase domain-containing protein 2 OS=Homo sapiens OX=9606 GN=ADAD2 PE=2 SV=1
+NRFKGVLPKSPWAGLGQQDLLLSLQRRAEQYPGAKAAEYTKLALLYPKGVARAAQHFARLFSAKCLRSPPGLAANAKVRGTAVDVVEIGPDGLSWNLSLGRCTDPTPESPAVPPGAFLHLATRVYPPPLCPGLVSDLCPRTHIARSLTPPDHCSDALILSTSYLPSVLHALLAGGLGLVAWRALKDSASLCGVHTDCLSPAVYCVPKLQGLVHAQLRMPPSHPLGGESTPPLYIDRAAGKPTNSIYLHLFVRPKLTFPPGPGPQPALVSQEKGKPGGQTALLLQRFLFRLLARRAIVLGHCDHLQQGSFELWGACCSSGTGLAVLKYIEKVHGRARPIERELIVGAVTGKCAWYPSREDLLLDFGASVLAACRQEHTLINEVSLPALPPRSSTQPSEPNELQSRIYCLASLAAQQKAETKSNATGAPCVVGDLEASVSFPFCPGPPQDERFLLFIGLSAAYETLLSVAQSAPPDKLPLSLGAPPVPVRPAKGMQEGLNEWARAAGLEGVGAGAGPGSDRLVSVQPWGGEARYTAPAPAPGWASQAQAPLPRWPRPQPSIQLSAALRPKRRSGDDDAGQSASAM
+>sp|Q9NPF8|ADAP2_HUMAN Arf-GAP with dual PH domain-containing protein 2 OS=Homo sapiens OX=9606 GN=ADAP2 PE=1 SV=1
+MGDRERNKKRLLELLRAPDTGNAHCADCGAADPDWASYKLGIFICLNCCGVHRNFPDISRVKSVRLDFWDDSIVEFMIHNGNLRVKAKFEARVPAFYYIPQANDCLVLKEQWIRAKYERREFMADGETISLPGNREGFLWKRGRDNSQFLRRKFVLLAREGLLKYFTKEQGKSPKAVISIKDLNATFQTEKIGHPHGLQITYRRDGHTRNLFVYHESGKEIVDWFNALRAARLQYLKMAFPELPESELVPFLTRNYLKQGFMEKTGPKQKEPFKKRWFALDCHERRLLYYKNPLDAFEQGQVFLGNKEQGYEAYEDLPKGIRGNRWKAGLTIVTPERRFVLTCPSEKEQQEWLESLRGVLSSPLTPLNRLTASTESGRSSR
+>DECOY_sp|Q9NPF8|ADAP2_HUMAN Arf-GAP with dual PH domain-containing protein 2 OS=Homo sapiens OX=9606 GN=ADAP2 PE=1 SV=1
+RSSRGSETSATLRNLPTLPSSLVGRLSELWEQQEKESPCTLVFRREPTVITLGAKWRNGRIGKPLDEYAEYGQEKNGLFVQGQEFADLPNKYYLLRREHCDLAFWRKKFPEKQKPGTKEMFGQKLYNRTLFPVLESEPLEPFAMKLYQLRAARLANFWDVIEKGSEHYVFLNRTHGDRRYTIQLGHPHGIKETQFTANLDKISIVAKPSKGQEKTFYKLLGERALLVFKRRLFQSNDRGRKWLFGERNGPLSITEGDAMFERREYKARIWQEKLVLCDNAQPIYYFAPVRAEFKAKVRLNGNHIMFEVISDDWFDLRVSKVRSIDPFNRHVGCCNLCIFIGLKYSAWDPDAAGCDACHANGTDPARLLELLRKKNRERDGM
+>sp|P43652|AFAM_HUMAN Afamin OS=Homo sapiens OX=9606 GN=AFM PE=1 SV=1
+MKLLKLTGFIFFLFFLTESLTLPTQPRDIENFNSTQKFIEDNIEYITIIAFAQYVQEATFEEMEKLVKDMVEYKDRCMADKTLPECSKLPNNVLQEKICAMEGLPQKHNFSHCCSKVDAQRRLCFFYNKKSDVGFLPPFPTLDPEEKCQAYESNRESLLNHFLYEVARRNPFVFAPTLLTVAVHFEEVAKSCCEEQNKVNCLQTRAIPVTQYLKAFSSYQKHVCGALLKFGTKVVHFIYIAILSQKFPKIEFKELISLVEDVSSNYDGCCEGDVVQCIRDTSKVMNHICSKQDSISSKIKECCEKKIPERGQCIINSNKDDRPKDLSLREGKFTDSENVCQERDADPDTFFAKFTFEYSRRHPDLSIPELLRIVQIYKDLLRNCCNTENPPGCYRYAEDKFNETTEKSLKMVQQECKHFQNLGKDGLKYHYLIRLTKIAPQLSTEELVSLGEKMVTAFTTCCTLSEEFACVDNLADLVFGELCGVNENRTINPAVDHCCKTNFAFRRPCFESLKADKTYVPPPFSQDLFTFHADMCQSQNEELQRKTDRFLVNLVKLKHELTDEELQSLFTNFANVVDKCCKAESPEVCFNEESPKIGN
+>DECOY_sp|P43652|AFAM_HUMAN Afamin OS=Homo sapiens OX=9606 GN=AFM PE=1 SV=1
+NGIKPSEENFCVEPSEAKCCKDVVNAFNTFLSQLEEDTLEHKLKVLNVLFRDTKRQLEENQSQCMDAHFTFLDQSFPPPVYTKDAKLSEFCPRRFAFNTKCCHDVAPNITRNENVGCLEGFVLDALNDVCAFEESLTCCTTFATVMKEGLSVLEETSLQPAIKTLRILYHYKLGDKGLNQFHKCEQQVMKLSKETTENFKDEAYRYCGPPNETNCCNRLLDKYIQVIRLLEPISLDPHRRSYEFTFKAFFTDPDADREQCVNESDTFKGERLSLDKPRDDKNSNIICQGREPIKKECCEKIKSSISDQKSCIHNMVKSTDRICQVVDGECCGDYNSSVDEVLSILEKFEIKPFKQSLIAIYIFHVVKTGFKLLAGCVHKQYSSFAKLYQTVPIARTQLCNVKNQEECCSKAVEEFHVAVTLLTPAFVFPNRRAVEYLFHNLLSERNSEYAQCKEEPDLTPFPPLFGVDSKKNYFFCLRRQADVKSCCHSFNHKQPLGEMACIKEQLVNNPLKSCEPLTKDAMCRDKYEVMDKVLKEMEEFTAEQVYQAFAIITIYEINDEIFKQTSNFNEIDRPQTPLTLSETLFFLFFIFGTLKLLKM
+>sp|P51825|AFF1_HUMAN AF4/FMR2 family member 1 OS=Homo sapiens OX=9606 GN=AFF1 PE=1 SV=1
+MAAQSSLYNDDRNLLRIREKERRNQEAHQEKEAFPEKIPLFGEPYKTAKGDELSSRIQNMLGNYEEVKEFLSTKSHTHRLDASENRLGKPKYPLIPDKGSSIPSSSFHTSVHHQSIHTPASGPLSVGNISHNPKMAQPRTEPMPSLHAKSCGPPDSQHLTQDRLGQEGFGSSHHKKGDRRADGDHCASVTDSAPERELSPLISLPSPVPPLSPIHSNQQTLPRTQGSSKVHGSSNNSKGYCPAKSPKDLAVKVHDKETPQDSLVAPAQPPSQTFPPPSLPSKSVAMQQKPTAYVRPMDGQDQAPSESPELKPLPEDYRQQTFEKTDLKVPAKAKLTKLKMPSQSVEQTYSNEVHCVEEILKEMTHSWPPPLTAIHTPSTAEPSKFPFPTKDSQHVSSVTQNQKQYDTSSKTHSNSQQGTSSMLEDDLQLSDSEDSDSEQTPEKPPSSSAPPSAPQSLPEPVASAHSSSAESESTSDSDSSSDSESESSSSDSEENEPLETPAPEPEPPTTNKWQLDNWLTKVSQPAAPPEGPRSTEPPRRHPESKGSSDSATSQEHSESKDPPPKSSSKAPRAPPEAPHPGKRSCQKSPAQQEPPQRQTVGTKQPKKPVKASARAGSRTSLQGEREPGLLPYGSRDQTSKDKPKVKTKGRPRAAASNEPKPAVPPSSEKKKHKSSLPAPSKALSGPEPAKDNVEDRTPEHFALVPLTESQGPPHSGSGSRTSGCRQAVVVQEDSRKDRLPLPLRDTKLLSPLRDTPPPQSLMVKITLDLLSRIPQPPGKGSRQRKAEDKQPPAGKKHSSEKRSSDSSSKLAKKRKGEAERDCDNKKIRLEKEIKSQSSSSSSSHKESSKTKPSRPSSQSSKKEMLPPPPVSSSSQKPAKPALKRSRREADTCGQDPPKSASSTKSNHKDSSIPKQRRVEGKGSRSSSEHKGSSGDTANPFPVPSLPNGNSKPGKPQVKFDKQQADLHMREAKKMKQKAELMTDRVGKAFKYLEAVLSFIECGIATESESQSSKSAYSVYSETVDLIKFIMSLKSFSDATAPTQEKIFAVLCMRCQSILNMAMFRCKKDIAIKYSRTLNKHFESSSKVAQAPSPCIASTGTPSPLSPMPSPASSVGSQSSAGSVGSSGVAATISTPVTIQNMTSSYVTITSHVLTAFDLWEQAEALTRKNKEFFARLSTNVCTLALNSSLVDLVHYTRQGFQQLQELTKTP
+>DECOY_sp|P51825|AFF1_HUMAN AF4/FMR2 family member 1 OS=Homo sapiens OX=9606 GN=AFF1 PE=1 SV=1
+PTKTLEQLQQFGQRTYHVLDVLSSNLALTCVNTSLRAFFEKNKRTLAEAQEWLDFATLVHSTITVYSSTMNQITVPTSITAAVGSSGVSGASSQSGVSSAPSPMPSLPSPTGTSAICPSPAQAVKSSSEFHKNLTRSYKIAIDKKCRFMAMNLISQCRMCLVAFIKEQTPATADSFSKLSMIFKILDVTESYVSYASKSSQSESETAIGCEIFSLVAELYKFAKGVRDTMLEAKQKMKKAERMHLDAQQKDFKVQPKGPKSNGNPLSPVPFPNATDGSSGKHESSSRSGKGEVRRQKPISSDKHNSKTSSASKPPDQGCTDAERRSRKLAPKAPKQSSSSVPPPPLMEKKSSQSSPRSPKTKSSEKHSSSSSSSQSKIEKELRIKKNDCDREAEGKRKKALKSSSDSSRKESSHKKGAPPQKDEAKRQRSGKGPPQPIRSLLDLTIKVMLSQPPPTDRLPSLLKTDRLPLPLRDKRSDEQVVVAQRCGSTRSGSGSHPPGQSETLPVLAFHEPTRDEVNDKAPEPGSLAKSPAPLSSKHKKKESSPPVAPKPENSAAARPRGKTKVKPKDKSTQDRSGYPLLGPEREGQLSTRSGARASAKVPKKPQKTGVTQRQPPEQQAPSKQCSRKGPHPAEPPARPAKSSSKPPPDKSESHEQSTASDSSGKSEPHRRPPETSRPGEPPAAPQSVKTLWNDLQWKNTTPPEPEPAPTELPENEESDSSSSESESDSSSDSDSTSESEASSSHASAVPEPLSQPASPPASSSPPKEPTQESDSDESDSLQLDDELMSSTGQQSNSHTKSSTDYQKQNQTVSSVHQSDKTPFPFKSPEATSPTHIATLPPPWSHTMEKLIEEVCHVENSYTQEVSQSPMKLKTLKAKAPVKLDTKEFTQQRYDEPLPKLEPSESPAQDQGDMPRVYATPKQQMAVSKSPLSPPPFTQSPPQAPAVLSDQPTEKDHVKVALDKPSKAPCYGKSNNSSGHVKSSGQTRPLTQQNSHIPSLPPVPSPLSILPSLEREPASDTVSACHDGDARRDGKKHHSSGFGEQGLRDQTLHQSDPPGCSKAHLSPMPETRPQAMKPNHSINGVSLPGSAPTHISQHHVSTHFSSSPISSGKDPILPYKPKGLRNESADLRHTHSKTSLFEKVEEYNGLMNQIRSSLEDGKATKYPEGFLPIKEPFAEKEQHAEQNRREKERIRLLNRDDNYLSSQAAM
+>sp|P51826|AFF3_HUMAN AF4/FMR2 family member 3 OS=Homo sapiens OX=9606 GN=AFF3 PE=1 SV=2
+MDSFDLALLQEWDLESLCVYEPDRNALRRKERERRNQETQQDDGTFNSSYSLFSEPYKTNKGDELSNRIQNTLGNYDEMKDFLTDRSNQSHLVGVPKPGVPQTPVNKIDEHFVADSRAQNQPSSICSTTTSTPAAVPVQQSKRGTMGWQKAGHPPSDGQQRATQQGSLRTLLGDGVGRQQPRAKQVCNVEVGLQTQERPPAMAAKHSSSGHCVQNFPPSLASKPSLVQQKPTAYVRPMDGQDQAPDESPKLKSSSETSVHCTSYRGVPASKPEPARAKAKLSKFSIPKQGEESRSGETNSCVEEIIREMTWLPPLSAIQAPGKVEPTKFPFPNKDSQLVSSGHNNPKKGDAEPESPDSGTSNTSMLEDDLKLSSDEEENEQQAAQRTALRALSDSAVVQQPNCRTSVPSSKGSSSSSSSGSSSSSSDSESSSGSDSETESSSSESEGSKPPHFSSPEAEPASSNKWQLDKWLNKVNPHKPPILIQNESHGSESNQYYNPVKEDVQDCGKVPDVCQPSLREKEIKSTCKEEQRPRTANKAPGSKGVKQKSPPAAVAVAVSAAAPPPAVPCAPAENAPAPARRSAGKKPTRRTERTSAGDGANCHRPEEPAAADALGTSVVVPPEPTKTRPCGNNRASHRKELRSSVTCEKRRTRGLSRIVPKSKEFIETESSSSSSSSDSDLESEQEEYPLSKAQTVAASASSGNDQRLKEAAANGGSGPRAPVGSINARTTSDIAKELEEQFYTLVPFGRNELLSPLKDSDEIRSLWVKIDLTLLSRIPEHLPQEPGVLSAPATKDSESAPPSHTSDTPAEKALPKSKRKRKCDNEDDYREIKKSQGEKDSSSRLATSTSNTLSANHCNMNINSVAIPINKNEKMLRSPISPLSDASKHKYTSEDLTSSSRPNGNSLFTSASSSKKPKADSQLQPHGGDLTKAAHNNSENIPLHKSRPQTKPWSPGSNGHRDCKRQKLVFDDMPRSADYFMQEAKRMKHKADAMVEKFGKALNYAEAALSFIECGNAMEQGPMESKSPYTMYSETVELIRYAMRLKTHSGPNATPEDKQLAALCYRCLALLYWRMFRLKRDHAVKYSKALIDYFKNSSKAAQAPSPWGASGKSTGTPSPMSPNPSPASSVGSQGSLSNASALSPSTIVSIPQRIHQMAANHVSITNSILHSYDYWEMADNLAKENREFFNDLDLLMGPVTLHSSMEHLVQYSQQGLHWLRNSAHLS
+>DECOY_sp|P51826|AFF3_HUMAN AF4/FMR2 family member 3 OS=Homo sapiens OX=9606 GN=AFF3 PE=1 SV=2
+SLHASNRLWHLGQQSYQVLHEMSSHLTVPGMLLDLDNFFERNEKALNDAMEWYDYSHLISNTISVHNAAMQHIRQPISVITSPSLASANSLSGQSGVSSAPSPNPSMPSPTGTSKGSAGWPSPAQAAKSSNKFYDILAKSYKVAHDRKLRFMRWYLLALCRYCLAALQKDEPTANPGSHTKLRMAYRILEVTESYMTYPSKSEMPGQEMANGCEIFSLAAEAYNLAKGFKEVMADAKHKMRKAEQMFYDASRPMDDFVLKQRKCDRHGNSGPSWPKTQPRSKHLPINESNNHAAKTLDGGHPQLQSDAKPKKSSSASTFLSNGNPRSSSTLDESTYKHKSADSLPSIPSRLMKENKNIPIAVSNINMNCHNASLTNSTSTALRSSSDKEGQSKKIERYDDENDCKRKRKSKPLAKEAPTDSTHSPPASESDKTAPASLVGPEQPLHEPIRSLLTLDIKVWLSRIEDSDKLPSLLENRGFPVLTYFQEELEKAIDSTTRANISGVPARPGSGGNAAAEKLRQDNGSSASAAVTQAKSLPYEEQESELDSDSSSSSSSSETEIFEKSKPVIRSLGRTRRKECTVSSRLEKRHSARNNGCPRTKTPEPPVVVSTGLADAAAPEEPRHCNAGDGASTRETRRTPKKGASRRAPAPANEAPACPVAPPPAAASVAVAVAAPPSKQKVGKSGPAKNATRPRQEEKCTSKIEKERLSPQCVDPVKGCDQVDEKVPNYYQNSESGHSENQILIPPKHPNVKNLWKDLQWKNSSAPEAEPSSFHPPKSGESESSSSETESDSGSSSESDSSSSSSGSSSSSSSGKSSPVSTRCNPQQVVASDSLARLATRQAAQQENEEEDSSLKLDDELMSTNSTGSDPSEPEADGKKPNNHGSSVLQSDKNPFPFKTPEVKGPAQIASLPPLWTMERIIEEVCSNTEGSRSEEGQKPISFKSLKAKARAPEPKSAPVGRYSTCHVSTESSSKLKPSEDPAQDQGDMPRVYATPKQQVLSPKSALSPPFNQVCHGSSSHKAAMAPPREQTQLGVEVNCVQKARPQQRGVGDGLLTRLSGQQTARQQGDSPPHGAKQWGMTGRKSQQVPVAAPTSTTTSCISSPQNQARSDAVFHEDIKNVPTQPVGPKPVGVLHSQNSRDTLFDKMEDYNGLTNQIRNSLEDGKNTKYPESFLSYSSNFTGDDQQTEQNRREREKRRLANRDPEYVCLSELDWEQLLALDFSDM
+>sp|Q8WV93|AFG1L_HUMAN AFG1-like ATPase OS=Homo sapiens OX=9606 GN=AFG1L PE=1 SV=2
+MAASWSLLVTLRPLAQSPLRGRCVGCGAWAAALAPLATAPGKPFWKAYTVQTSESMTPTATSETYLKALAVCHGPLDHYDFLIKAHELKDDEHQRRVIQCLQKLHEDLKGYNIEAEGLFSKLFSRSKPPRGLYVYGDVGTGKTMVMDMFYAYVEMKRKKRVHFHGFMLDVHKRIHRLKQSLPKRKPGFMAKSYDPIAPIAEEISEEACLLCFDEFQVTDIADAMILKQLFENLFKNGVVVVATSNRPPEDLYKNGLQRANFVPFIAVLKEYCNTVQLDSGIDYRKRELPAAGKLYYLTSEADVEAVMDKLFDELAQKQNDLTRPRILKVQGRELRLNKACGTVADCTFEELCERPLGASDYLELSKNFDTIFLRNIPQFTLANRTQGRRFITLIDNFYDLKVRIICSASTPISSLFLHQHHDSELEQSRILMDDLGLSQDSAEGLSMFTGEEEIFAFQRTISRLTEMQTEQYWNEGDRTKK
+>DECOY_sp|Q8WV93|AFG1L_HUMAN AFG1-like ATPase OS=Homo sapiens OX=9606 GN=AFG1L PE=1 SV=2
+KKTRDGENWYQETQMETLRSITRQFAFIEEEGTFMSLGEASDQSLGLDDMLIRSQELESDHHQHLFLSSIPTSASCIIRVKLDYFNDILTIFRRGQTRNALTFQPINRLFITDFNKSLELYDSAGLPRECLEEFTCDAVTGCAKNLRLERGQVKLIRPRTLDNQKQALEDFLKDMVAEVDAESTLYYLKGAAPLERKRYDIGSDLQVTNCYEKLVAIFPVFNARQLGNKYLDEPPRNSTAVVVVGNKFLNEFLQKLIMADAIDTVQFEDFCLLCAEESIEEAIPAIPDYSKAMFGPKRKPLSQKLRHIRKHVDLMFGHFHVRKKRKMEVYAYFMDMVMTKGTGVDGYVYLGRPPKSRSFLKSFLGEAEINYGKLDEHLKQLCQIVRRQHEDDKLEHAKILFDYHDLPGHCVALAKLYTESTATPTMSESTQVTYAKWFPKGPATALPALAAAWAGCGVCRGRLPSQALPRLTVLLSWSAAM
+>sp|Q99490|AGAP2_HUMAN Arf-GAP with GTPase, ANK repeat and PH domain-containing protein 2 OS=Homo sapiens OX=9606 GN=AGAP2 PE=1 SV=2
+MSRGAGALQRRTTTYLISLTLVKLESVPPPPPSPSAAAVGAPGARGSEPRDPGSPRGAEEPGKKRHERLFHRQDALWISTSSAGAGGAEPPALSPAPASPARPVSPAPGRRLSLWAAPPGPPLSGGLSPDSKPGGAPSSSRRPLLSSPSWGGPEPEGRTGGGVPGSSSPHPGTGSRRLKVAPPPPAPKPCKTVTTSGAKAGGGKGAGSRLSWPESEGKPRVKGSKSSAGTGASVSAAATAAAAGGGGSTASTSGGVGAGAGARGKLSPRKGKSKTLDNSDLHPGPPAGSPPPLTLPPTPSPATAVTAASAQPPGPAPPITLEPPAPGLKRGREGGRASTRDRKMLKFISGIFTKSTGGPPGSGPLPGPPSLSSGSGSRELLGAELRASPKAVINSQEWTLSRSIPELRLGVLGDARSGKSSLIHRFLTGSYQVLEKTESEQYKKEMLVDGQTHLVLIREEAGAPDAKFSGWADAVIFVFSLEDENSFQAVSRLHGQLSSLRGEGRGGLALALVGTQDRISASSPRVVGDARARALCADMKRCSYYETCATYGLNVDRVFQEVAQKVVTLRKQQQLLAACKSLPSSPSHSAASTPVAGQASNGGHTSDYSSSLPSSPNVGHRELRAEAAAVAGLSTPGSLHRAAKRRTSLFANRRGSDSEKRSLDSRGETTGSGRAIPIKQSFLLKRSGNSLNKEWKKKYVTLSSNGFLLYHPSINDYIHSTHGKEMDLLRTTVKVPGKRPPRAISAFGPSASINGLVKDMSTVQMGEGLEATTPMPSPSPSPSSLQPPPDQTSKHLLKPDRNLARALSTDCTPSGDLSPLSREPPPSPMVKKQRRKKLTTPSKTEGSAGQAEAKRKMWKLKSFGSLRNIYKAEENFEFLIVSSTGQTWHFEAASFEERDAWVQAIESQILASLQCCESSKVKLRTDSQSEAVAIQAIRNAKGNSICVDCGAPNPTWASLNLGALICIECSGIHRNLGTHLSRVRSLDLDDWPRELTLVLTAIGNDTANRVWESDTRGRAKPSRDSSREERESWIRAKYEQLLFLAPLSTSEEPLGRQLWAAVQAQDVATVLLLLAHARHGPLDTSVEDPQLRSPLHLAAELAHVVITQLLLWYGADVAARDAQGRTALFYARQAGSQLCADILLQHGCPGEGGSAATTPSAATTPSITATPSPRRRSSAASVGRADAPVALV
+>DECOY_sp|Q99490|AGAP2_HUMAN Arf-GAP with GTPase, ANK repeat and PH domain-containing protein 2 OS=Homo sapiens OX=9606 GN=AGAP2 PE=1 SV=2
+VLAVPADARGVSAASSRRRPSPTATISPTTAASPTTAASGGEGPCGHQLLIDACLQSGAQRAYFLATRGQADRAAVDAGYWLLLQTIVVHALEAALHLPSRLQPDEVSTDLPGHRAHALLLLVTAVDQAQVAAWLQRGLPEESTSLPALFLLQEYKARIWSEREERSSDRSPKARGRTDSEWVRNATDNGIATLVLTLERPWDDLDLSRVRSLHTGLNRHIGSCEICILAGLNLSAWTPNPAGCDVCISNGKANRIAQIAVAESQSDTRLKVKSSECCQLSALIQSEIAQVWADREEFSAAEFHWTQGTSSVILFEFNEEAKYINRLSGFSKLKWMKRKAEAQGASGETKSPTTLKKRRQKKVMPSPPPERSLPSLDGSPTCDTSLARALNRDPKLLHKSTQDPPPQLSSPSPSPSPMPTTAELGEGMQVTSMDKVLGNISASPGFASIARPPRKGPVKVTTRLLDMEKGHTSHIYDNISPHYLLFGNSSLTVYKKKWEKNLSNGSRKLLFSQKIPIARGSGTTEGRSDLSRKESDSGRRNAFLSTRRKAARHLSGPTSLGAVAAAEARLERHGVNPSSPLSSSYDSTHGGNSAQGAVPTSAASHSPSSPLSKCAALLQQQKRLTVVKQAVEQFVRDVNLGYTACTEYYSCRKMDACLARARADGVVRPSSASIRDQTGVLALALGGRGEGRLSSLQGHLRSVAQFSNEDELSFVFIVADAWGSFKADPAGAEERILVLHTQGDVLMEKKYQESETKELVQYSGTLFRHILSSKGSRADGLVGLRLEPISRSLTWEQSNIVAKPSARLEAGLLERSGSGSSLSPPGPLPGSGPPGGTSKTFIGSIFKLMKRDRTSARGGERGRKLGPAPPELTIPPAPGPPQASAATVATAPSPTPPLTLPPPSGAPPGPHLDSNDLTKSKGKRPSLKGRAGAGAGVGGSTSATSGGGGAAAATAAASVSAGTGASSKSGKVRPKGESEPWSLRSGAGKGGGAKAGSTTVTKCPKPAPPPPAVKLRRSGTGPHPSSSGPVGGGTRGEPEPGGWSPSSLLPRRSSSPAGGPKSDPSLGGSLPPGPPAAWLSLRRGPAPSVPRAPSAPAPSLAPPEAGGAGASSTSIWLADQRHFLREHRKKGPEEAGRPSGPDRPESGRAGPAGVAAASPSPPPPPVSELKVLTLSILYTTTRRQLAGAGRSM
+>sp|Q96P47|AGAP3_HUMAN Arf-GAP with GTPase, ANK repeat and PH domain-containing protein 3 OS=Homo sapiens OX=9606 GN=AGAP3 PE=1 SV=2
+MNFQAGGGQSPQQQQQLAGGPPQQFALSNSAAIRAEIQRFESVHPNIYAIYDLIERIEDLALQNQIREHVISIEDSFVNSQEWTLSRSVPELKVGIVGNLSSGKSALVHRYLTGTYVQEESPEGGRFKKEIVVDGQSYLLLIRDEGGPPELQFAAWVDAVVFVFSLEDEISFQTVYNYFLRLCSFRNASEVPMVLVGTQDAISAANPRVIDDSRARKLSTDLKRCTYYETCATYGLNVERVFQDVAQKVVALRKKQQLAIGPCKSLPNSPSHSAVSAASIPAVHINQATNGGGSAFSDYSSSVPSTPSISQRELRIETIAASSTPTPIRKQSKRRSNIFTSRKGADLDREKKAAECKVDSIGSGRAIPIKQGILLKRSGKSLNKEWKKKYVTLCDNGLLTYHPSLHDYMQNIHGKEIDLLRTTVKVPGKRLPRATPATAPGTSPRANGLSVERSNTQLGGGTGAPHSASSASLHSERPLSSSAWAGPRPEGLHQRSCSVSSADQWSEATTSLPPGMQHPASGPAEVLSSSPKLDPPPSPHSNRKKHRRKKSTGTPRPDGPSSATEEAEESFEFVVVSLTGQTWHFEASTAEERELWVQSVQAQILASLQGCRSAKDKTRLGNQNAALAVQAVRTVRGNSFCIDCDAPNPDWASLNLGALMCIECSGIHRHLGAHLSRVRSLDLDDWPPELLAVMTAMGNALANSVWEGALGGYSKPGPDACREEKERWIRAKYEQKLFLAPLPSSDVPLGQQLLRAVVEDDLRLLVMLLAHGSKEEVNETYGDGDGRTALHLSSAMANVVFTQLLIWYGVDVRSRDARGLTPLAYARRAGSQECADILIQHGCPGEGCGLAPTPNREPANGTNPSAELHRSPSLL
+>DECOY_sp|Q96P47|AGAP3_HUMAN Arf-GAP with GTPase, ANK repeat and PH domain-containing protein 3 OS=Homo sapiens OX=9606 GN=AGAP3 PE=1 SV=2
+LLSPSRHLEASPNTGNAPERNPTPALGCGEGPCGHQILIDACEQSGARRAYALPTLGRADRSRVDVGYWILLQTFVVNAMASSLHLATRGDGDGYTENVEEKSGHALLMVLLRLDDEVVARLLQQGLPVDSSPLPALFLKQEYKARIWREKEERCADPGPKSYGGLAGEWVSNALANGMATMVALLEPPWDDLDLSRVRSLHAGLHRHIGSCEICMLAGLNLSAWDPNPADCDICFSNGRVTRVAQVALAANQNGLRTKDKASRCGQLSALIQAQVSQVWLEREEATSAEFHWTQGTLSVVVFEFSEEAEETASSPGDPRPTGTSKKRRHKKRNSHPSPPPDLKPSSSLVEAPGSAPHQMGPPLSTTAESWQDASSVSCSRQHLGEPRPGAWASSSLPRESHLSASSASHPAGTGGGLQTNSREVSLGNARPSTGPATAPTARPLRKGPVKVTTRLLDIEKGHINQMYDHLSPHYTLLGNDCLTVYKKKWEKNLSKGSRKLLIGQKIPIARGSGISDVKCEAAKKERDLDAGKRSTFINSRRKSQKRIPTPTSSAAITEIRLERQSISPTSPVSSSYDSFASGGGNTAQNIHVAPISAASVASHSPSNPLSKCPGIALQQKKRLAVVKQAVDQFVREVNLGYTACTEYYTCRKLDTSLKRARSDDIVRPNAASIADQTGVLVMPVESANRFSCLRLFYNYVTQFSIEDELSFVFVVADVWAAFQLEPPGGEDRILLLYSQGDVVIEKKFRGGEPSEEQVYTGTLYRHVLASKGSSLNGVIGVKLEPVSRSLTWEQSNVFSDEISIVHERIQNQLALDEIREILDYIAYINPHVSEFRQIEARIAASNSLAFQQPPGGALQQQQQPSQGGGAQFNM
+>sp|Q5VUJ5|AGAP7_HUMAN Putative Arf-GAP with GTPase, ANK repeat and PH domain-containing protein 7 OS=Homo sapiens OX=9606 GN=AGAP7P PE=5 SV=1
+MGNILTCRVHPSVSLEFDQQQGSVCPSESEIYEAGAEDRMAGAPMAAAVQPAEVTVEVGEDLHMHHVRDREMPEALEFNPSANPEASTIFQRNSQTDVVEIRRSNCTNHVSTVHFSQQYSLCSTIFLDDSTAIQHYLTMTIISVTLEIPHHITQRDADRSLSIPDEQLHSFAVSTVHITKNRNGGGSLNNYSSSIPSTPSTSQEDPQFSVPPTANTPTPVCKRSMRWSNLFTSEKGSDPDKERKAPENHADTIGSGRAIPIKQGMLLKRSGKWLKTWKKKYVTLCSNGVLTYYSSLGDYMKYIHKKEIDLQTSTIKVPGKWPSLATLACTPISSSKSDGLSKDMDTGLGDSICFSPSISSTTIPKLNPPPSPHANKKKHLKKKSTNNFMIVSATGQTWHFEATTYEERDAWVQAIQSQILASLQSCESSKSKSQLTSQSEAMALQSIQNMRGNAHCVDCETQNPKWASLNLGVLMCIECSGIHRSLGTRLSRVRSLELDDWPVELRKVMSSIGNDLANSIWEGSSQGRTKPTEKSTREEKERWIRSKYEEKLFLAPLPCTELSLGQQLLRATADEDLQTAILLLAHGSREEVNETCGEGDGCTALHLACRKGNVVLAQLLIWYGVDVMARDAHGNTALTYARQASSQECINVLLQYGCPDECV
+>DECOY_sp|Q5VUJ5|AGAP7_HUMAN Putative Arf-GAP with GTPase, ANK repeat and PH domain-containing protein 7 OS=Homo sapiens OX=9606 GN=AGAP7P PE=5 SV=1
+VCEDPCGYQLLVNICEQSSAQRAYTLATNGHADRAMVDVGYWILLQALVVNGKRCALHLATCGDGEGCTENVEERSGHALLLIATQLDEDATARLLQQGLSLETCPLPALFLKEEYKSRIWREKEERTSKETPKTRGQSSGEWISNALDNGISSMVKRLEVPWDDLELSRVRSLRTGLSRHIGSCEICMLVGLNLSAWKPNQTECDVCHANGRMNQISQLAMAESQSTLQSKSKSSECSQLSALIQSQIAQVWADREEYTTAEFHWTQGTASVIMFNNTSKKKLHKKKNAHPSPPPNLKPITTSSISPSFCISDGLGTDMDKSLGDSKSSSIPTCALTALSPWKGPVKITSTQLDIEKKHIYKMYDGLSSYYTLVGNSCLTVYKKKWTKLWKGSRKLLMGQKIPIARGSGITDAHNEPAKREKDPDSGKESTFLNSWRMSRKCVPTPTNATPPVSFQPDEQSTSPTSPISSSYNNLSGGGNRNKTIHVTSVAFSHLQEDPISLSRDADRQTIHHPIELTVSIITMTLYHQIATSDDLFITSCLSYQQSFHVTSVHNTCNSRRIEVVDTQSNRQFITSAEPNASPNFELAEPMERDRVHHMHLDEGVEVTVEAPQVAAAMPAGAMRDEAGAEYIESESPCVSGQQQDFELSVSPHVRCTLINGM
+>sp|Q9HCK5|AGO4_HUMAN Protein argonaute-4 OS=Homo sapiens OX=9606 GN=AGO4 PE=1 SV=2
+MEALGPGPPASLFQPPRRPGLGTVGKPIRLLANHFQVQIPKIDVYHYDVDIKPEKRPRRVNREVVDTMVRHFKMQIFGDRQPGYDGKRNMYTAHPLPIGRDRVDMEVTLPGEGKDQTFKVSVQWVSVVSLQLLLEALAGHLNEVPDDSVQALDVITRHLPSMRYTPVGRSFFSPPEGYYHPLGGGREVWFGFHQSVRPAMWNMMLNIDVSATAFYRAQPIIEFMCEVLDIQNINEQTKPLTDSQRVKFTKEIRGLKVEVTHCGQMKRKYRVCNVTRRPASHQTFPLQLENGQAMECTVAQYFKQKYSLQLKYPHLPCLQVGQEQKHTYLPLEVCNIVAGQRCIKKLTDNQTSTMIKATARSAPDRQEEISRLVKSNSMVGGPDPYLKEFGIVVHNEMTELTGRVLPAPMLQYGGRNKTVATPNQGVWDMRGKQFYAGIEIKVWAVACFAPQKQCREDLLKSFTDQLRKISKDAGMPIQGQPCFCKYAQGADSVEPMFKHLKMTYVGLQLIVVILPGKTPVYAEVKRVGDTLLGMATQCVQVKNVVKTSPQTLSNLCLKINAKLGGINNVLVPHQRPSVFQQPVIFLGADVTHPPAGDGKKPSIAAVVGSMDGHPSRYCATVRVQTSRQEISQELLYSQEVIQDLTNMVRELLIQFYKSTRFKPTRIIYYRGGVSEGQMKQVAWPELIAIRKACISLEEDYRPGITYIVVQKRHHTRLFCADKTERVGKSGNVPAGTTVDSTITHPSEFDFYLCSHAGIQGTSRPSHYQVLWDDNCFTADELQLLTYQLCHTYVRCTRSVSIPAPAYYARLVAFRARYHLVDKDHDSAEGSHVSGQSNGRDPQALAKAVQIHHDTQHTMYFA
+>DECOY_sp|Q9HCK5|AGO4_HUMAN Protein argonaute-4 OS=Homo sapiens OX=9606 GN=AGO4 PE=1 SV=2
+AFYMTHQTDHHIQVAKALAQPDRGNSQGSVHSGEASDHDKDVLHYRARFAVLRAYYAPAPISVSRTCRVYTHCLQYTLLQLEDATFCNDDWLVQYHSPRSTGQIGAHSCLYFDFESPHTITSDVTTGAPVNGSKGVRETKDACFLRTHHRKQVVIYTIGPRYDEELSICAKRIAILEPWAVQKMQGESVGGRYYIIRTPKFRTSKYFQILLERVMNTLDQIVEQSYLLEQSIEQRSTQVRVTACYRSPHGDMSGVVAAISPKKGDGAPPHTVDAGLFIVPQQFVSPRQHPVLVNNIGGLKANIKLCLNSLTQPSTKVVNKVQVCQTAMGLLTDGVRKVEAYVPTKGPLIVVILQLGVYTMKLHKFMPEVSDAGQAYKCFCPQGQIPMGADKSIKRLQDTFSKLLDERCQKQPAFCAVAWVKIEIGAYFQKGRMDWVGQNPTAVTKNRGGYQLMPAPLVRGTLETMENHVVIGFEKLYPDPGGVMSNSKVLRSIEEQRDPASRATAKIMTSTQNDTLKKICRQGAVINCVELPLYTHKQEQGVQLCPLHPYKLQLSYKQKFYQAVTCEMAQGNELQLPFTQHSAPRRTVNCVRYKRKMQGCHTVEVKLGRIEKTFKVRQSDTLPKTQENINQIDLVECMFEIIPQARYFATASVDINLMMNWMAPRVSQHFGFWVERGGGLPHYYGEPPSFFSRGVPTYRMSPLHRTIVDLAQVSDDPVENLHGALAELLLQLSVVSVWQVSVKFTQDKGEGPLTVEMDVRDRGIPLPHATYMNRKGDYGPQRDGFIQMKFHRVMTDVVERNVRRPRKEPKIDVDYHYVDIKPIQVQFHNALLRIPKGVTGLGPRRPPQFLSAPPGPGLAEM
+>sp|O95994|AGR2_HUMAN Anterior gradient protein 2 homolog OS=Homo sapiens OX=9606 GN=AGR2 PE=1 SV=1
+MEKIPVSAFLLLVALSYTLARDTTVKPGAKKDTKDSRPKLPQTLSRGWGDQLIWTQTYEEALYKSKTSNKPLMIIHHLDECPHSQALKKVFAENKEIQKLAEQFVLLNLVYETTDKHLSPDGQYVPRIMFVDPSLTVRADITGRYSNRLYAYEPADTALLLDNMKKALKLLKTEL
+>DECOY_sp|O95994|AGR2_HUMAN Anterior gradient protein 2 homolog OS=Homo sapiens OX=9606 GN=AGR2 PE=1 SV=1
+LETKLLKLAKKMNDLLLATDAPEYAYLRNSYRGTIDARVTLSPDVFMIRPVYQGDPSLHKDTTEYVLNLLVFQEALKQIEKNEAFVKKLAQSHPCEDLHHIIMLPKNSTKSKYLAEEYTQTWILQDGWGRSLTQPLKPRSDKTDKKAGPKVTTDRALTYSLAVLLLFASVPIKEM
+>sp|Q8TD06|AGR3_HUMAN Anterior gradient protein 3 OS=Homo sapiens OX=9606 GN=AGR3 PE=1 SV=1
+MMLHSALGLCLLLVTVSSNLAIAIKKEKRPPQTLSRGWGDDITWVQTYEEGLFYAQKSKKPLMVIHHLEDCQYSQALKKVFAQNEEIQEMAQNKFIMLNLMHETTDKNLSPDGQYVPRIMFVDPSLTVRADIAGRYSNRLYTYEPRDLPLLIENMKKALRLIQSEL
+>DECOY_sp|Q8TD06|AGR3_HUMAN Anterior gradient protein 3 OS=Homo sapiens OX=9606 GN=AGR3 PE=1 SV=1
+LESQILRLAKKMNEILLPLDRPEYTYLRNSYRGAIDARVTLSPDVFMIRPVYQGDPSLNKDTTEHMLNLMIFKNQAMEQIEENQAFVKKLAQSYQCDELHHIVMLPKKSKQAYFLGEEYTQVWTIDDGWGRSLTQPPRKEKKIAIALNSSVTVLLLCLGLASHLMM
+>sp|Q14246|AGRE1_HUMAN Adhesion G protein-coupled receptor E1 OS=Homo sapiens OX=9606 GN=ADGRE1 PE=2 SV=3
+MRGFNLLLFWGCCVMHSWEGHIRPTRKPNTKGNNCRDSTLCPAYATCTNTVDSYYCACKQGFLSSNGQNHFKDPGVRCKDIDECSQSPQPCGPNSSCKNLSGRYKCSCLDGFSSPTGNDWVPGKPGNFSCTDINECLTSSVCPEHSDCVNSMGSYSCSCQVGFISRNSTCEDVDECADPRACPEHATCNNTVGNYSCFCNPGFESSSGHLSFQGLKASCEDIDECTEMCPINSTCTNTPGSYFCTCHPGFAPSNGQLNFTDQGVECRDIDECRQDPSTCGPNSICTNALGSYSCGCIAGFHPNPEGSQKDGNFSCQRVLFKCKEDVIPDNKQIQQCQEGTAVKPAYVSFCAQINNIFSVLDKVCENKTTVVSLKNTTESFVPVLKQISTWTKFTKEETSSLATVFLESVESMTLASFWKPSANITPAVRTEYLDIESKVINKECSEENVTLDLVAKGDKMKIGCSTIEESESTETTGVAFVSFVGMESVLNERFFKDHQAPLTTSEIKLKMNSRVVGGIMTGEKKDGFSDPIIYTLENIQPKQKFERPICVSWSTDVKGGRWTSFGCVILEASETYTICSCNQMANLAVIMASGELTMDFSLYIISHVGIIISLVCLVLAIATFLLCRSIRNHNTYLHLHLCVCLLLAKTLFLAGIHKTDNKMGCAIIAGFLHYLFLACFFWMLVEAVILFLMVRNLKVVNYFSSRNIKMLHICAFGYGLPMLVVVISASVQPQGYGMHNRCWLNTETGFIWSFLGPVCTVIVINSLLLTWTLWILRQRLSSVNAEVSTLKDTRLLTFKAFAQLFILGCSWVLGIFQIGPVAGVMAYLFTIINSLQGAFIFLIHCLLNGQVREEYKRWITGKTKPSSQSQTSRILLSSMPSASKTG
+>DECOY_sp|Q14246|AGRE1_HUMAN Adhesion G protein-coupled receptor E1 OS=Homo sapiens OX=9606 GN=ADGRE1 PE=2 SV=3
+GTKSASPMSSLLIRSTQSQSSPKTKGTIWRKYEERVQGNLLCHILFIFAGQLSNIITFLYAMVGAVPGIQFIGLVWSCGLIFLQAFAKFTLLRTDKLTSVEANVSSLRQRLIWLTWTLLLSNIVIVTCVPGLFSWIFGTETNLWCRNHMGYGQPQVSASIVVVLMPLGYGFACIHLMKINRSSFYNVVKLNRVMLFLIVAEVLMWFFCALFLYHLFGAIIACGMKNDTKHIGALFLTKALLLCVCLHLHLYTNHNRISRCLLFTAIALVLCVLSIIIGVHSIIYLSFDMTLEGSAMIVALNAMQNCSCITYTESAELIVCGFSTWRGGKVDTSWSVCIPREFKQKPQINELTYIIPDSFGDKKEGTMIGGVVRSNMKLKIESTTLPAQHDKFFRENLVSEMGVFSVFAVGTTETSESEEITSCGIKMKDGKAVLDLTVNEESCEKNIVKSEIDLYETRVAPTINASPKWFSALTMSEVSELFVTALSSTEEKTFKTWTSIQKLVPVFSETTNKLSVVTTKNECVKDLVSFINNIQACFSVYAPKVATGEQCQQIQKNDPIVDEKCKFLVRQCSFNGDKQSGEPNPHFGAICGCSYSGLANTCISNPGCTSPDQRCEDIDRCEVGQDTFNLQGNSPAFGPHCTCFYSGPTNTCTSNIPCMETCEDIDECSAKLGQFSLHGSSSEFGPNCFCSYNGVTNNCTAHEPCARPDACEDVDECTSNRSIFGVQCSCSYSGMSNVCDSHEPCVSSTLCENIDTCSFNGPKGPVWDNGTPSSFGDLCSCKYRGSLNKCSSNPGCPQPSQSCEDIDKCRVGPDKFHNQGNSSLFGQKCACYYSDVTNTCTAYAPCLTSDRCNNGKTNPKRTPRIHGEWSHMVCCGWFLLLNFGRM
+>sp|Q9BY15|AGRE3_HUMAN Adhesion G protein-coupled receptor E3 OS=Homo sapiens OX=9606 GN=ADGRE3 PE=2 SV=2
+MQGPLLLPGLCFLLSLFGAVTQKTKTSCAKCPPNASCVNNTHCTCNHGYTSGSGQKLFTFPLETCNDINECTPPYSVYCGFNAVCYNVEGSFYCQCVPGYRLHSGNEQFSNSNENTCQDTTSSKTTEGRKELQKIVDKFESLLTNQTLWRTEGRQEISSTATTILRDVESKVLETALKDPEQKVLKIQNDSVAIETQAITDNCSEERKTFNLNVQMNSMDIRCSDIIQGDTQGPSAIAFISYSSLGNIINATFFEEMDKKDQVYLNSQVVSAAIGPKRNVSLSKSVTLTFQHVKMTPSTKKVFCVYWKSTGQGSQWSRDGCFLIHVNKSHTMCNCSHLSSFAVLMALTSQEEDPVLTVITYVGLSVSLLCLLLAALTFLLCKAIRNTSTSLHLQLSLCLFLAHLLFLVGIDRTEPKVLCSIIAGALHYLYLAAFTWMLLEGVHLFLTARNLTVVNYSSINRLMKWIMFPVGYGVPAVTVAISAASWPHLYGTADRCWLHLDQGFMWSFLGPVCAIFSANLVLFILVFWILKRKLSSLNSEVSTIQNTRMLAFKATAQLFILGCTWCLGLLQVGPAAQVMAYLFTIINSLQGFFIFLVYCLLSQQVQKQYQKWFREIVKSKSESETYTLSSKMGPDSKPSEGDVFPGQVKRKY
+>DECOY_sp|Q9BY15|AGRE3_HUMAN Adhesion G protein-coupled receptor E3 OS=Homo sapiens OX=9606 GN=ADGRE3 PE=2 SV=2
+YKRKVQGPFVDGESPKSDPGMKSSLTYTESESKSKVIERFWKQYQKQVQQSLLCYVLFIFFGQLSNIITFLYAMVQAAPGVQLLGLCWTCGLIFLQATAKFALMRTNQITSVESNLSSLKRKLIWFVLIFLVLNASFIACVPGLFSWMFGQDLHLWCRDATGYLHPWSAASIAVTVAPVGYGVPFMIWKMLRNISSYNVVTLNRATLFLHVGELLMWTFAALYLYHLAGAIISCLVKPETRDIGVLFLLHALFLCLSLQLHLSTSTNRIAKCLLFTLAALLLCLLSVSLGVYTIVTLVPDEEQSTLAMLVAFSSLHSCNCMTHSKNVHILFCGDRSWQSGQGTSKWYVCFVKKTSPTMKVHQFTLTVSKSLSVNRKPGIAASVVQSNLYVQDKKDMEEFFTANIINGLSSYSIFAIASPGQTDGQIIDSCRIDMSNMQVNLNFTKREESCNDTIAQTEIAVSDNQIKLVKQEPDKLATELVKSEVDRLITTATSSIEQRGETRWLTQNTLLSEFKDVIKQLEKRGETTKSSTTDQCTNENSNSFQENGSHLRYGPVCQCYFSGEVNYCVANFGCYVSYPPTCENIDNCTELPFTFLKQGSGSTYGHNCTCHTNNVCSANPPCKACSTKTKQTVAGFLSLLFCLGPLLLPGQM
+>sp|Q8IZF3|AGRF4_HUMAN Adhesion G protein-coupled receptor F4 OS=Homo sapiens OX=9606 GN=ADGRF4 PE=2 SV=3
+MKMKSQATMICCLVFFLSTECSHYRSKIHLKAGDKLQSPEGKPKTGRIQEKCEGPCISSSNCSQPCAKDFHGEIGFTCNQKKWQKSAETCTSLSVEKLFKDSTGASRLSVAAPSIPLHILDFRAPETIESVAQGIRKNCPFDYACITDMVKSSETTSGNIAFIVELLKNISTDLSDNVTREKMKSYSEVANHILDTAAISNWAFIPNKNASSDLLQSVNLFARQLHIHNNSENIVNELFIQTKGFHINHNTSEKSLNFSMSMNNTTEDILGMVQIPRQELRKLWPNASQAISIAFPTLGAILREAHLQNVSLPRQVNGLVLSVVLPERLQEIILTFEKINKTRNARAQCVGWHSKKRRWDEKACQMMLDIRNEVKCRCNYTSVVMSFSILMSSKSMTDKVLDYITCIGLSVSILSLVLCLIIEATVWSRVVVTEISYMRHVCIVNIAVSLLTANVWFIIGSHFNIKAQDYNMCVAVTFFSHFFYLSLFFWMLFKALLIIYGILVIFRRMMKSRMMVIGFAIGYGCPLIIAVTTVAITEPEKGYMRPEACWLNWDNTKALLAFAIPAFVIVAVNLIVVLVVAVNTQRPSIGSSKSQDVVIIMRISKNVAILTPLLGLTWGFGIATLIEGTSLTFHIIFALLNAFQGFFILLFGTIMDHKIRDALRMRMSSLKGKSRAAENASLGPTNGSKLMNRQG
+>DECOY_sp|Q8IZF3|AGRF4_HUMAN Adhesion G protein-coupled receptor F4 OS=Homo sapiens OX=9606 GN=ADGRF4 PE=2 SV=3
+GQRNMLKSGNTPGLSANEAARSKGKLSSMRMRLADRIKHDMITGFLLIFFGQFANLLAFIIHFTLSTGEILTAIGFGWTLGLLPTLIAVNKSIRMIIVVDQSKSSGISPRQTNVAVVLVVILNVAVIVFAPIAFALLAKTNDWNLWCAEPRMYGKEPETIAVTTVAIILPCGYGIAFGIVMMRSKMMRRFIVLIGYIILLAKFLMWFFLSLYFFHSFFTVAVCMNYDQAKINFHSGIIFWVNATLLSVAINVICVHRMYSIETVVVRSWVTAEIILCLVLSLISVSLGICTIYDLVKDTMSKSSMLISFSMVVSTYNCRCKVENRIDLMMQCAKEDWRRKKSHWGVCQARANRTKNIKEFTLIIEQLREPLVVSLVLGNVQRPLSVNQLHAERLIAGLTPFAISIAQSANPWLKRLEQRPIQVMGLIDETTNNMSMSFNLSKESTNHNIHFGKTQIFLENVINESNNHIHLQRAFLNVSQLLDSSANKNPIFAWNSIAATDLIHNAVESYSKMKERTVNDSLDTSINKLLEVIFAINGSTTESSKVMDTICAYDFPCNKRIGQAVSEITEPARFDLIHLPISPAAVSLRSAGTSDKFLKEVSLSTCTEASKQWKKQNCTFGIEGHFDKACPQSCNSSSICPGECKEQIRGTKPKGEPSQLKDGAKLHIKSRYHSCETSLFFVLCCIMTAQSKMKM
+>sp|O00468|AGRIN_HUMAN Agrin OS=Homo sapiens OX=9606 GN=AGRN PE=1 SV=5
+MAGRSHPGPLRPLLPLLVVAACVLPGAGGTCPERALERREEEANVVLTGTVEEILNVDPVQHTYSCKVRVWRYLKGKDLVARESLLDGGNKVVISGFGDPLICDNQVSTGDTRIFFVNPAPPYLWPAHKNELMLNSSLMRITLRNLEEVEFCVEDKPGTHFTPVPPTPPDACRGMLCGFGAVCEPNAEGPGRASCVCKKSPCPSVVAPVCGSDASTYSNECELQRAQCSQQRRIRLLSRGPCGSRDPCSNVTCSFGSTCARSADGLTASCLCPATCRGAPEGTVCGSDGADYPGECQLLRRACARQENVFKKFDGPCDPCQGALPDPSRSCRVNPRTRRPEMLLRPESCPARQAPVCGDDGVTYENDCVMGRSGAARGLLLQKVRSGQCQGRDQCPEPCRFNAVCLSRRGRPRCSCDRVTCDGAYRPVCAQDGRTYDSDCWRQQAECRQQRAIPSKHQGPCDQAPSPCLGVQCAFGATCAVKNGQAACECLQACSSLYDPVCGSDGVTYGSACELEATACTLGREIQVARKGPCDRCGQCRFGALCEAETGRCVCPSECVALAQPVCGSDGHTYPSECMLHVHACTHQISLHVASAGPCETCGDAVCAFGAVCSAGQCVCPRCEHPPPGPVCGSDGVTYGSACELREAACLQQTQIEEARAGPCEQAECGSGGSGSGEDGDCEQELCRQRGGIWDEDSEDGPCVCDFSCQSVPGSPVCGSDGVTYSTECELKKARCESQRGLYVAAQGACRGPTFAPLPPVAPLHCAQTPYGCCQDNITAARGVGLAGCPSACQCNPHGSYGGTCDPATGQCSCRPGVGGLRCDRCEPGFWNFRGIVTDGRSGCTPCSCDPQGAVRDDCEQMTGLCSCKPGVAGPKCGQCPDGRALGPAGCEADASAPATCAEMRCEFGARCVEESGSAHCVCPMLTCPEANATKVCGSDGVTYGNECQLKTIACRQGLQISIQSLGPCQEAVAPSTHPTSASVTVTTPGLLLSQALPAPPGALPLAPSSTAHSQTTPPPSSRPRTTASVPRTTVWPVLTVPPTAPSPAPSLVASAFGESGSTDGSSDEELSGDQEASGGGSGGLEPLEGSSVATPGPPVERASCYNSALGCCSDGKTPSLDAEGSNCPATKVFQGVLELEGVEGQELFYTPEMADPKSELFGETARSIESTLDDLFRNSDVKKDFRSVRLRDLGPGKSVRAIVDVHFDPTTAFRAPDVARALLRQIQVSRRRSLGVRRPLQEHVRFMDFDWFPAFITGATSGAIAAGATARATTASRLPSSAVTPRAPHPSHTSQPVAKTTAAPTTRRPPTTAPSRVPGRRPPAPQQPPKPCDSQPCFHGGTCQDWALGGGFTCSCPAGRGGAVCEKVLGAPVPAFEGRSFLAFPTLRAYHTLRLALEFRALEPQGLLLYNGNARGKDFLALALLDGRVQLRFDTGSGPAVLTSAVPVEPGQWHRLELSRHWRRGTLSVDGETPVLGESPSGTDGLNLDTDLFVGGVPEDQAAVALERTFVGAGLRGCIRLLDVNNQRLELGIGPGAATRGSGVGECGDHPCLPNPCHGGAPCQNLEAGRFHCQCPPGRVGPTCADEKSPCQPNPCHGAAPCRVLPEGGAQCECPLGREGTFCQTASGQDGSGPFLADFNGFSHLELRGLHTFARDLGEKMALEVVFLARGPSGLLLYNGQKTDGKGDFVSLALRDRRLEFRYDLGKGAAVIRSREPVTLGAWTRVSLERNGRKGALRVGDGPRVLGESPKSRKVPHTVLNLKEPLYVGGAPDFSKLARAAAVSSGFDGAIQLVSLGGRQLLTPEHVLRQVDVTSFAGHPCTRASGHPCLNGASCVPREAAYVCLCPGGFSGPHCEKGLVEKSAGDVDTLAFDGRTFVEYLNAVTESELANEIPVPETLDSGALHEKALQSNHFELSLRTEATQGLVLWSGKATERADYVALAIVDGHLQLSYNLGSQPVVLRSTVPVNTNRWLRVVAHREQREGSLQVGNEAPVTGSSPLGATQLDTDGALWLGGLPELPVGPALPKAYGTGFVGCLRDVVVGRHPLHLLEDAVTKPELRPCPTP
+>DECOY_sp|O00468|AGRIN_HUMAN Agrin OS=Homo sapiens OX=9606 GN=AGRN PE=1 SV=5
+PTPCPRLEPKTVADELLHLPHRGVVVDRLCGVFGTGYAKPLAPGVPLEPLGGLWLAGDTDLQTAGLPSSGTVPAENGVQLSGERQERHAVVRLWRNTNVPVTSRLVVPQSGLNYSLQLHGDVIALAVYDARETAKGSWLVLGQTAETRLSLEFHNSQLAKEHLAGSDLTEPVPIENALESETVANLYEVFTRGDFALTDVDGASKEVLGKECHPGSFGGPCLCVYAAERPVCSAGNLCPHGSARTCPHGAFSTVDVQRLVHEPTLLQRGGLSVLQIAGDFGSSVAAARALKSFDPAGGVYLPEKLNLVTHPVKRSKPSEGLVRPGDGVRLAGKRGNRELSVRTWAGLTVPERSRIVAAGKGLDYRFELRRDRLALSVFDGKGDTKQGNYLLLGSPGRALFVVELAMKEGLDRAFTHLGRLELHSFGNFDALFPGSGDQGSATQCFTGERGLPCECQAGGEPLVRCPAAGHCPNPQCPSKEDACTPGVRGPPCQCHFRGAELNQCPAGGHCPNPLCPHDGCEGVGSGRTAAGPGIGLELRQNNVDLLRICGRLGAGVFTRELAVAAQDEPVGGVFLDTDLNLGDTGSPSEGLVPTEGDVSLTGRRWHRSLELRHWQGPEVPVASTLVAPGSGTDFRLQVRGDLLALALFDKGRANGNYLLLGQPELARFELALRLTHYARLTPFALFSRGEFAPVPAGLVKECVAGGRGAPCSCTFGGGLAWDQCTGGHFCPQSDCPKPPQQPAPPRRGPVRSPATTPPRRTTPAATTKAVPQSTHSPHPARPTVASSPLRSATTARATAGAAIAGSTAGTIFAPFWDFDMFRVHEQLPRRVGLSRRRSVQIQRLLARAVDPARFATTPDFHVDVIARVSKGPGLDRLRVSRFDKKVDSNRFLDDLTSEISRATEGFLESKPDAMEPTYFLEQGEVGELELVGQFVKTAPCNSGEADLSPTKGDSCCGLASNYCSAREVPPGPTAVSSGELPELGGSGGGSAEQDGSLEEDSSGDTSGSEGFASAVLSPAPSPATPPVTLVPWVTTRPVSATTRPRSSPPPTTQSHATSSPALPLAGPPAPLAQSLLLGPTTVTVSASTPHTSPAVAEQCPGLSQISIQLGQRCAITKLQCENGYTVGDSGCVKTANAEPCTLMPCVCHASGSEEVCRAGFECRMEACTAPASADAECGAPGLARGDPCQGCKPGAVGPKCSCLGTMQECDDRVAGQPDCSCPTCGSRGDTVIGRFNWFGPECRDCRLGGVGPRCSCQGTAPDCTGGYSGHPNCQCASPCGALGVGRAATINDQCCGYPTQACHLPAVPPLPAFTPGRCAGQAAVYLGRQSECRAKKLECETSYTVGDSGCVPSGPVSQCSFDCVCPGDESDEDWIGGRQRCLEQECDGDEGSGSGGSGCEAQECPGARAEEIQTQQLCAAERLECASGYTVGDSGCVPGPPPHECRPCVCQGASCVAGFACVADGCTECPGASAVHLSIQHTCAHVHLMCESPYTHGDSGCVPQALAVCESPCVCRGTEAECLAGFRCQGCRDCPGKRAVQIERGLTCATAELECASGYTVGDSGCVPDYLSSCAQLCECAAQGNKVACTAGFACQVGLCPSPAQDCPGQHKSPIARQQRCEAQQRWCDSDYTRGDQACVPRYAGDCTVRDCSCRPRGRRSLCVANFRCPEPCQDRGQCQGSRVKQLLLGRAAGSRGMVCDNEYTVGDDGCVPAQRAPCSEPRLLMEPRRTRPNVRCSRSPDPLAGQCPDCPGDFKKFVNEQRACARRLLQCEGPYDAGDSGCVTGEPAGRCTAPCLCSATLGDASRACTSGFSCTVNSCPDRSGCPGRSLLRIRRQQSCQARQLECENSYTSADSGCVPAVVSPCPSKKCVCSARGPGEANPECVAGFGCLMGRCADPPTPPVPTFHTGPKDEVCFEVEELNRLTIRMLSSNLMLENKHAPWLYPPAPNVFFIRTDGTSVQNDCILPDGFGSIVVKNGGDLLSERAVLDKGKLYRWVRVKCSYTHQVPDVNLIEEVTGTLVVNAEEERRELAREPCTGGAGPLVCAAVVLLPLLPRLPGPHSRGAM
+>sp|O95490|AGRL2_HUMAN Adhesion G protein-coupled receptor L2 OS=Homo sapiens OX=9606 GN=ADGRL2 PE=1 SV=2
+MVSSGCRMRSLWFIIVISFLPNTEGFSRAALPFGLVRRELSCEGYSIDLRCPGSDVIMIESANYGRTDDKICDADPFQMENTDCYLPDAFKIMTQRCNNRTQCIVVTGSDVFPDPCPGTYKYLEVQYECVPYIFVCPGTLKAIVDSPCIYEAEQKAGAWCKDPLQAADKIYFMPWTPYRTDTLIEYASLEDFQNSRQTTTYKLPNRVDGTGFVVYDGAVFFNKERTRNIVKFDLRTRIKSGEAIINYANYHDTSPYRWGGKTDIDLAVDENGLWVIYATEQNNGMIVISQLNPYTLRFEATWETVYDKRAASNAFMICGVLYVVRSVYQDNESETGKNSIDYIYNTRLNRGEYVDVPFPNQYQYIAAVDYNPRDNQLYVWNNNFILRYSLEFGPPDPAQVPTTAVTITSSAELFKTIISTTSTTSQKGPMSTTVAGSQEGSKGTKPPPAVSTTKIPPITNIFPLPERFCEALDSKGIKWPQTQRGMMVERPCPKGTRGTASYLCMISTGTWNPKGPDLSNCTSHWVNQLAQKIRSGENAASLANELAKHTKGPVFAGDVSSSVRLMEQLVDILDAQLQELKPSEKDSAGRSYNKLQKREKTCRAYLKAIVDTVDNLLRPEALESWKHMNSSEQAHTATMLLDTLEEGAFVLADNLLEPTRVSMPTENIVLEVAVLSTEGQIQDFKFPLGIKGAGSSIQLSANTVKQNSRNGLAKLVFIIYRSLGQFLSTENATIKLGADFIGRNSTIAVNSHVISVSINKESSRVYLTDPVLFTLPHIDPDNYFNANCSFWNYSERTMMGYWSTQGCKLVDTNKTRTTCACSHLTNFAILMAHREIAYKDGVHELLLTVITWVGIVISLVCLAICIFTFCFFRGLQSDRNTIHKNLCINLFIAEFIFLIGIDKTKYAIACPIFAGLLHFFFLAAFAWMCLEGVQLYLMLVEVFESEYSRKKYYYVAGYLFPATVVGVSAAIDYKSYGTEKACWLHVDNYFIWSFIGPVTFIILLNIIFLVITLCKMVKHSNTLKPDSSRLENIKSWVLGAFALLCLLGLTWSFGLLFINEETIVMAYLFTIFNAFQGVFIFIFHCALQKKVRKEYGKCFRHSYCCGGLPTESPHSSVKASTTRTSARYSSGTQSRIRRMWNDTVRKQSESSFISGDINSTSTLNQGMTGNYLLTNPLLRPHGTNNPYNTLLAETVVCNAPSAPVFNSPGHSLNNARDTSAMDTLPLNGNFNNSYSLHKGDYNDSVQVVDCGLSLNDTAFEKMIISELVHNNLRGSSKTHNLELTLPVKPVIGGSSSEDDAIVADASSLMHSDNPGLELHHKELEAPLIPQRTHSLLYQPQKKVKSEGTDSYVSQLTAEAEDHLQSPNRDSLYTSMPNLRDSPYPESSPDMEEDLSPSRRSENEDIYYKSMPNLGAGHQLQMCYQISRGNSDGYIIPINKEGCIPEGDVREGQMQLVTSL
+>DECOY_sp|O95490|AGRL2_HUMAN Adhesion G protein-coupled receptor L2 OS=Homo sapiens OX=9606 GN=ADGRL2 PE=1 SV=2
+LSTVLQMQGERVDGEPICGEKNIPIIYGDSNGRSIQYCMQLQHGAGLNPMSKYYIDENESRRSPSLDEEMDPSSEPYPSDRLNPMSTYLSDRNPSQLHDEAEATLQSVYSDTGESKVKKQPQYLLSHTRQPILPAELEKHHLELGPNDSHMLSSADAVIADDESSSGGIVPKVPLTLELNHTKSSGRLNNHVLESIIMKEFATDNLSLGCDVVQVSDNYDGKHLSYSNNFNGNLPLTDMASTDRANNLSHGPSNFVPASPANCVVTEALLTNYPNNTGHPRLLPNTLLYNGTMGQNLTSTSNIDGSIFSSESQKRVTDNWMRRIRSQTGSSYRASTRTTSAKVSSHPSETPLGGCCYSHRFCKGYEKRVKKQLACHFIFIFVGQFANFITFLYAMVITEENIFLLGFSWTLGLLCLLAFAGLVWSKINELRSSDPKLTNSHKVMKCLTIVLFIINLLIIFTVPGIFSWIFYNDVHLWCAKETGYSKYDIAASVGVVTAPFLYGAVYYYKKRSYESEFVEVLMLYLQVGELCMWAFAALFFFHLLGAFIPCAIAYKTKDIGILFIFEAIFLNICLNKHITNRDSQLGRFFCFTFICIALCVLSIVIGVWTIVTLLLEHVGDKYAIERHAMLIAFNTLHSCACTTRTKNTDVLKCGQTSWYGMMTRESYNWFSCNANFYNDPDIHPLTFLVPDTLYVRSSEKNISVSIVHSNVAITSNRGIFDAGLKITANETSLFQGLSRYIIFVLKALGNRSNQKVTNASLQISSGAGKIGLPFKFDQIQGETSLVAVELVINETPMSVRTPELLNDALVFAGEELTDLLMTATHAQESSNMHKWSELAEPRLLNDVTDVIAKLYARCTKERKQLKNYSRGASDKESPKLEQLQADLIDVLQEMLRVSSSVDGAFVPGKTHKALENALSAANEGSRIKQALQNVWHSTCNSLDPGKPNWTGTSIMCLYSATGRTGKPCPREVMMGRQTQPWKIGKSDLAECFREPLPFINTIPPIKTTSVAPPPKTGKSGEQSGAVTTSMPGKQSTTSTTSIITKFLEASSTITVATTPVQAPDPPGFELSYRLIFNNNWVYLQNDRPNYDVAAIYQYQNPFPVDVYEGRNLRTNYIYDISNKGTESENDQYVSRVVYLVGCIMFANSAARKDYVTEWTAEFRLTYPNLQSIVIMGNNQETAYIVWLGNEDVALDIDTKGGWRYPSTDHYNAYNIIAEGSKIRTRLDFKVINRTREKNFFVAGDYVVFGTGDVRNPLKYTTTQRSNQFDELSAYEILTDTRYPTWPMFYIKDAAQLPDKCWAGAKQEAEYICPSDVIAKLTGPCVFIYPVCEYQVELYKYTGPCPDPFVDSGTVVICQTRNNCRQTMIKFADPLYCDTNEMQFPDADCIKDDTRGYNASEIMIVDSGPCRLDISYGECSLERRVLGFPLAARSFGETNPLFSIVIIFWLSRMRCGSSVM
+>sp|P30443|1A01_HUMAN HLA class I histocompatibility antigen, A-1 alpha chain OS=Homo sapiens OX=9606 GN=HLA-A PE=1 SV=1
+MAVMAPRTLLLLLSGALALTQTWAGSHSMRYFFTSVSRPGRGEPRFIAVGYVDDTQFVRFDSDAASQKMEPRAPWIEQEGPEYWDQETRNMKAHSQTDRANLGTLRGYYNQSEDGSHTIQIMYGCDVGPDGRFLRGYRQDAYDGKDYIALNEDLRSWTAADMAAQITKRKWEAVHAAEQRRVYLEGRCVDGLRRYLENGKETLQRTDPPKTHMTHHPISDHEATLRCWALGFYPAEITLTWQRDGEDQTQDTELVETRPAGDGTFQKWAAVVVPSGEEQRYTCHVQHEGLPKPLTLRWELSSQPTIPIVGIIAGLVLLGAVITGAVVAAVMWRRKSSDRKGGSYTQAASSDSAQGSDVSLTACKV
+>DECOY_sp|P30443|1A01_HUMAN HLA class I histocompatibility antigen, A-1 alpha chain OS=Homo sapiens OX=9606 GN=HLA-A PE=1 SV=1
+VKCATLSVDSGQASDSSAAQTYSGGKRDSSKRRWMVAAVVAGTIVAGLLVLGAIIGVIPITPQSSLEWRLTLPKPLGEHQVHCTYRQEEGSPVVVAAWKQFTGDGAPRTEVLETDQTQDEGDRQWTLTIEAPYFGLAWCRLTAEHDSIPHHTMHTKPPDTRQLTEKGNELYRRLGDVCRGELYVRRQEAAHVAEWKRKTIQAAMDAATWSRLDENLAIYDKGDYADQRYGRLFRGDPGVDCGYMIQITHSGDESQNYYGRLTGLNARDTQSHAKMNRTEQDWYEPGEQEIWPARPEMKQSAADSDFRVFQTDDVYGVAIFRPEGRGPRSVSTFFYRMSHSGAWTQTLALAGSLLLLLTRPAMVAM
+>sp|P30462|1B14_HUMAN HLA class I histocompatibility antigen, B-14 alpha chain OS=Homo sapiens OX=9606 GN=HLA-B PE=1 SV=1
+MLVMAPRTVLLLLSAALALTETWAGSHSMRYFYTSVSRPGRGEPRFISVGYVDDTQFVRFDSDAASPREEPRAPWIEQEGPEYWDRNTQICKTNTQTDRESLRNLRGYYNQSEAGSHTLQWMYGCDVGPDGRLLRGYNQFAYDGKDYIALNEDLSSWTAADTAAQITQRKWEAAREAEQLRAYLEGTCVEWLRRHLENGKETLQRADPPKTHVTHHPISDHEATLRCWALGFYPAEITLTWQRDGEDQTQDTELVETRPAGDRTFQKWAAVVVPSGEEQRYTCHVQHEGLPKPLTLRWEPSSQSTVPIVGIVAGLAVLAVVVIGAVVAAVMCRRKSSGGKGGSYSQAASSDSAQGSDVSLTA
+>DECOY_sp|P30462|1B14_HUMAN HLA class I histocompatibility antigen, B-14 alpha chain OS=Homo sapiens OX=9606 GN=HLA-B PE=1 SV=1
+ATLSVDSGQASDSSAAQSYSGGKGGSSKRRCMVAAVVAGIVVVALVALGAVIGVIPVTSQSSPEWRLTLPKPLGEHQVHCTYRQEEGSPVVVAAWKQFTRDGAPRTEVLETDQTQDEGDRQWTLTIEAPYFGLAWCRLTAEHDSIPHHTVHTKPPDARQLTEKGNELHRRLWEVCTGELYARLQEAERAAEWKRQTIQAATDAATWSSLDENLAIYDKGDYAFQNYGRLLRGDPGVDCGYMWQLTHSGAESQNYYGRLNRLSERDTQTNTKCIQTNRDWYEPGEQEIWPARPEERPSAADSDFRVFQTDDVYGVSIFRPEGRGPRSVSTYFYRMSHSGAWTETLALAASLLLLVTRPAMVLM
+>sp|P30464|1B15_HUMAN HLA class I histocompatibility antigen, B-15 alpha chain OS=Homo sapiens OX=9606 GN=HLA-B PE=1 SV=2
+MRVTAPRTVLLLLSGALALTETWAGSHSMRYFYTAMSRPGRGEPRFIAVGYVDDTQFVRFDSDAASPRMAPRAPWIEQEGPEYWDRETQISKTNTQTYRESLRNLRGYYNQSEAGSHTLQRMYGCDVGPDGRLLRGHDQSAYDGKDYIALNEDLSSWTAADTAAQITQRKWEAAREAEQWRAYLEGLCVEWLRRYLENGKETLQRADPPKTHVTHHPISDHEATLRCWALGFYPAEITLTWQRDGEDQTQDTELVETRPAGDRTFQKWAAVVVPSGEEQRYTCHVQHEGLPKPLTLRWEPSSQSTIPIVGIVAGLAVLAVVVIGAVVATVMCRRKSSGGKGGSYSQAASSDSAQGSDVSLTA
+>DECOY_sp|P30464|1B15_HUMAN HLA class I histocompatibility antigen, B-15 alpha chain OS=Homo sapiens OX=9606 GN=HLA-B PE=1 SV=2
+ATLSVDSGQASDSSAAQSYSGGKGGSSKRRCMVTAVVAGIVVVALVALGAVIGVIPITSQSSPEWRLTLPKPLGEHQVHCTYRQEEGSPVVVAAWKQFTRDGAPRTEVLETDQTQDEGDRQWTLTIEAPYFGLAWCRLTAEHDSIPHHTVHTKPPDARQLTEKGNELYRRLWEVCLGELYARWQEAERAAEWKRQTIQAATDAATWSSLDENLAIYDKGDYASQDHGRLLRGDPGVDCGYMRQLTHSGAESQNYYGRLNRLSERYTQTNTKSIQTERDWYEPGEQEIWPARPAMRPSAADSDFRVFQTDDVYGVAIFRPEGRGPRSMATYFYRMSHSGAWTETLALAGSLLLLVTRPATVRM
+>sp|P30685|1B35_HUMAN HLA class I histocompatibility antigen, B-35 alpha chain OS=Homo sapiens OX=9606 GN=HLA-B PE=1 SV=1
+MRVTAPRTVLLLLWGAVALTETWAGSHSMRYFYTAMSRPGRGEPRFIAVGYVDDTQFVRFDSDAASPRTEPRAPWIEQEGPEYWDRNTQIFKTNTQTYRESLRNLRGYYNQSEAGSHIIQRMYGCDLGPDGRLLRGHDQSAYDGKDYIALNEDLSSWTAADTAAQITQRKWEAARVAEQLRAYLEGLCVEWLRRYLENGKETLQRADPPKTHVTHHPVSDHEATLRCWALGFYPAEITLTWQRDGEDQTQDTELVETRPAGDRTFQKWAAVVVPSGEEQRYTCHVQHEGLPKPLTLRWEPSSQSTIPIVGIVAGLAVLAVVVIGAVVATVMCRRKSSGGKGGSYSQAASSDSAQGSDVSLTA
+>DECOY_sp|P30685|1B35_HUMAN HLA class I histocompatibility antigen, B-35 alpha chain OS=Homo sapiens OX=9606 GN=HLA-B PE=1 SV=1
+ATLSVDSGQASDSSAAQSYSGGKGGSSKRRCMVTAVVAGIVVVALVALGAVIGVIPITSQSSPEWRLTLPKPLGEHQVHCTYRQEEGSPVVVAAWKQFTRDGAPRTEVLETDQTQDEGDRQWTLTIEAPYFGLAWCRLTAEHDSVPHHTVHTKPPDARQLTEKGNELYRRLWEVCLGELYARLQEAVRAAEWKRQTIQAATDAATWSSLDENLAIYDKGDYASQDHGRLLRGDPGLDCGYMRQIIHSGAESQNYYGRLNRLSERYTQTNTKFIQTNRDWYEPGEQEIWPARPETRPSAADSDFRVFQTDDVYGVAIFRPEGRGPRSMATYFYRMSHSGAWTETLAVAGWLLLLVTRPATVRM
+>sp|P30475|1B39_HUMAN HLA class I histocompatibility antigen, B-39 alpha chain OS=Homo sapiens OX=9606 GN=HLA-B PE=1 SV=1
+MLVMAPRTVLLLLSAALALTETWAGSHSMRYFYTSVSRPGRGEPRFISVGYVDDTQFVRFDSDAASPREEPRAPWIEQEGPEYWDRNTQICKTNTQTDRESLRNLRGYYNQSEAGSHTLQRMYGCDVGPDGRLLRGHNQFAYDGKDYIALNEDLSSWTAADTAAQITQRKWEAARVAEQLRTYLEGTCVEWLRRYLENGKETLQRADPPKTHVTHHPISDHEATLRCWALGFYPAEITLTWQRDGEDQTQDTELVETRPAGDRTFQKWAAVVVPSGEEQRYTCHVQHEGLPKPLTLRWEPSSQSTVPIVGIVAGLAVLAVVVIGAVVAAVMCRRKSSGGKGGSYSQAASSDSAQGSDVSLTA
+>DECOY_sp|P30475|1B39_HUMAN HLA class I histocompatibility antigen, B-39 alpha chain OS=Homo sapiens OX=9606 GN=HLA-B PE=1 SV=1
+ATLSVDSGQASDSSAAQSYSGGKGGSSKRRCMVAAVVAGIVVVALVALGAVIGVIPVTSQSSPEWRLTLPKPLGEHQVHCTYRQEEGSPVVVAAWKQFTRDGAPRTEVLETDQTQDEGDRQWTLTIEAPYFGLAWCRLTAEHDSIPHHTVHTKPPDARQLTEKGNELYRRLWEVCTGELYTRLQEAVRAAEWKRQTIQAATDAATWSSLDENLAIYDKGDYAFQNHGRLLRGDPGVDCGYMRQLTHSGAESQNYYGRLNRLSERDTQTNTKCIQTNRDWYEPGEQEIWPARPEERPSAADSDFRVFQTDDVYGVSIFRPEGRGPRSVSTYFYRMSHSGAWTETLALAASLLLLVTRPAMVLM
+>sp|P30484|1B46_HUMAN HLA class I histocompatibility antigen, B-46 alpha chain OS=Homo sapiens OX=9606 GN=HLA-B PE=1 SV=1
+MRVTAPRTVLLLLSGALALTETWAGSHSMRYFYTAMSRPGRGEPRFIAVGYVDDTQFVRFDSDAASPRMAPRAPWIEQEGPEYWDRETQKYKRQAQTDRVSLRNLRGYYNQSEAGSHTLQRMYGCDVGPDGRLLRGHDQSAYDGKDYIALNEDLSSWTAADTAAQITQRKWEAAREAEQWRAYLEGLCVEWLRRYLENGKETLQRADPPKTHVTHHPISDHEATLRCWALGFYPAEITLTWQRDGEDQTQDTELVETRPAGDRTFQKWAAVVVPSGEEQRYTCHVQHEGLPKPLTLRWEPSSQSTIPIVGIVAGLAVLAVVVIGAVVATVMCRRKSSGGKGGSYSQAASSDSAQGSDVSLTA
+>DECOY_sp|P30484|1B46_HUMAN HLA class I histocompatibility antigen, B-46 alpha chain OS=Homo sapiens OX=9606 GN=HLA-B PE=1 SV=1
+ATLSVDSGQASDSSAAQSYSGGKGGSSKRRCMVTAVVAGIVVVALVALGAVIGVIPITSQSSPEWRLTLPKPLGEHQVHCTYRQEEGSPVVVAAWKQFTRDGAPRTEVLETDQTQDEGDRQWTLTIEAPYFGLAWCRLTAEHDSIPHHTVHTKPPDARQLTEKGNELYRRLWEVCLGELYARWQEAERAAEWKRQTIQAATDAATWSSLDENLAIYDKGDYASQDHGRLLRGDPGVDCGYMRQLTHSGAESQNYYGRLNRLSVRDTQAQRKYKQTERDWYEPGEQEIWPARPAMRPSAADSDFRVFQTDDVYGVAIFRPEGRGPRSMATYFYRMSHSGAWTETLALAGSLLLLVTRPATVRM
+>sp|P30486|1B48_HUMAN HLA class I histocompatibility antigen, B-48 alpha chain OS=Homo sapiens OX=9606 GN=HLA-B PE=1 SV=1
+MLVMAPRTVLLLLSAALALTETWAGSHSMRYFYTSVSRPGRGEPRFISVGYVDDTQFVRFDSDAASPREEPRAPWIEQEGPEYWDRETQISKTNTQTYRESLRNLRGYYNQSEAGSHTLQSMYGCDVGPDGRLLRGHNQYAYDGKDYIALNEDLRSWTAADTAAQISQRKLEAARVAEQLRAYLEGECVEWLRRYLENGKDKLERADPPKTHVTHHPISDHEATLRCWALGFYPAEITLTWQRDGEDQTQDTELVETRPAGDRTFQKWTAVVVPSGEEQRYTCHVQHEGLPKPLTLRWEPSSQSTVPIVGIVAGLAVLAVVVIGAVVAAVMCRRKSSGGKGGSYSQAACSDSAQGSDVSLTA
+>DECOY_sp|P30486|1B48_HUMAN HLA class I histocompatibility antigen, B-48 alpha chain OS=Homo sapiens OX=9606 GN=HLA-B PE=1 SV=1
+ATLSVDSGQASDSCAAQSYSGGKGGSSKRRCMVAAVVAGIVVVALVALGAVIGVIPVTSQSSPEWRLTLPKPLGEHQVHCTYRQEEGSPVVVATWKQFTRDGAPRTEVLETDQTQDEGDRQWTLTIEAPYFGLAWCRLTAEHDSIPHHTVHTKPPDARELKDKGNELYRRLWEVCEGELYARLQEAVRAAELKRQSIQAATDAATWSRLDENLAIYDKGDYAYQNHGRLLRGDPGVDCGYMSQLTHSGAESQNYYGRLNRLSERYTQTNTKSIQTERDWYEPGEQEIWPARPEERPSAADSDFRVFQTDDVYGVSIFRPEGRGPRSVSTYFYRMSHSGAWTETLALAASLLLLVTRPAMVLM
+>sp|P30488|1B50_HUMAN HLA class I histocompatibility antigen, B-50 alpha chain OS=Homo sapiens OX=9606 GN=HLA-B PE=1 SV=1
+MRVTAPRTVLLLLSAALALTETWAGSHSMRYFHTAMSRPGRGEPRFITVGYVDDTLFVRFDSDATSPRKEPRAPWIEQEGPEYWDRETQISKTNTQTYRESLRNLRGYYNQSEAGSHTWQRMYGCDLGPDGRLLRGYNQLAYDGKDYIALNEDLSSWTAADTAAQITQRKWEAAREAEQLRAYLEGLCVEWLRRYLENGKETLQRADPPKTHVTHHPISDHEATLRCWALGFYPAEITLTWQRDGEDQTQDTELVETRPAGDRTFQKWAAVVVPSGEEQRYTCHVQHEGLPKPLTLRWEPSSQSTIPIVGIVAGLAVLAVVVIGAVVATVMCRRKSSGGKGGSYSQAASSDSAQGSDVSLTA
+>DECOY_sp|P30488|1B50_HUMAN HLA class I histocompatibility antigen, B-50 alpha chain OS=Homo sapiens OX=9606 GN=HLA-B PE=1 SV=1
+ATLSVDSGQASDSSAAQSYSGGKGGSSKRRCMVTAVVAGIVVVALVALGAVIGVIPITSQSSPEWRLTLPKPLGEHQVHCTYRQEEGSPVVVAAWKQFTRDGAPRTEVLETDQTQDEGDRQWTLTIEAPYFGLAWCRLTAEHDSIPHHTVHTKPPDARQLTEKGNELYRRLWEVCLGELYARLQEAERAAEWKRQTIQAATDAATWSSLDENLAIYDKGDYALQNYGRLLRGDPGLDCGYMRQWTHSGAESQNYYGRLNRLSERYTQTNTKSIQTERDWYEPGEQEIWPARPEKRPSTADSDFRVFLTDDVYGVTIFRPEGRGPRSMATHFYRMSHSGAWTETLALAASLLLLVTRPATVRM
+>sp|P30490|1B52_HUMAN HLA class I histocompatibility antigen, B-52 alpha chain OS=Homo sapiens OX=9606 GN=HLA-B PE=1 SV=1
+MRVTAPRTVLLLLWGAVALTETWAGSHSMRYFYTAMSRPGRGEPRFIAVGYVDDTQFVRFDSDAASPRTEPRAPWIEQEGPEYWDRETQISKTNTQTYRENLRIALRYYNQSEAGSHTWQTMYGCDVGPDGRLLRGHNQYAYDGKDYIALNEDLSSWTAADTAAQITQRKWEAAREAEQLRAYLEGLCVEWLRRHLENGKETLQRADPPKTHVTHHPVSDHEATLRCWALGFYPAEITLTWQRDGEDQTQDTELVETRPAGDRTFQKWAAVVVPSGEEQRYTCHVQHEGLPKPLTLRWEPSSQSTIPIVGIVAGLAVLAVVVIGAVVATVMCRRKSSGGKGGSYSQAASSDSAQGSDVSLTA
+>DECOY_sp|P30490|1B52_HUMAN HLA class I histocompatibility antigen, B-52 alpha chain OS=Homo sapiens OX=9606 GN=HLA-B PE=1 SV=1
+ATLSVDSGQASDSSAAQSYSGGKGGSSKRRCMVTAVVAGIVVVALVALGAVIGVIPITSQSSPEWRLTLPKPLGEHQVHCTYRQEEGSPVVVAAWKQFTRDGAPRTEVLETDQTQDEGDRQWTLTIEAPYFGLAWCRLTAEHDSVPHHTVHTKPPDARQLTEKGNELHRRLWEVCLGELYARLQEAERAAEWKRQTIQAATDAATWSSLDENLAIYDKGDYAYQNHGRLLRGDPGVDCGYMTQWTHSGAESQNYYRLAIRLNERYTQTNTKSIQTERDWYEPGEQEIWPARPETRPSAADSDFRVFQTDDVYGVAIFRPEGRGPRSMATYFYRMSHSGAWTETLAVAGWLLLLVTRPATVRM
+>sp|P30492|1B54_HUMAN HLA class I histocompatibility antigen, B-54 alpha chain OS=Homo sapiens OX=9606 GN=HLA-B PE=1 SV=1
+MRVTAPRTLLLLLWGALALTETWAGSHSMRYFYTAMSRPGRGEPRFIAVGYVDDTQFVRFDSDAASPRGEPRAPWVEQEGPEYWDRNTQIYKAQAQTDRESLRNLRGYYNQSEAGSHTWQTMYGCDLGPDGRLLRGHNQLAYDGKDYIALNEDLSSWTAADTAAQITQRKWEAARVAEQLRAYLEGTCVEWLRRYLENGKETLQRADPPKTHVTHHPISDHEATLRCWALGFYPAEITLTWQRDGEDQTQDTELVETRPAGDRTFQKWAAVVVPSGEEQRYTCHVQHEGLPKPLTLRWEPSSQSTIPIVGIVAGLAVLAVVVIGAVVATVMCRRKSSGGKGGSYSQAASSDSAQGSDVSLTA
+>DECOY_sp|P30492|1B54_HUMAN HLA class I histocompatibility antigen, B-54 alpha chain OS=Homo sapiens OX=9606 GN=HLA-B PE=1 SV=1
+ATLSVDSGQASDSSAAQSYSGGKGGSSKRRCMVTAVVAGIVVVALVALGAVIGVIPITSQSSPEWRLTLPKPLGEHQVHCTYRQEEGSPVVVAAWKQFTRDGAPRTEVLETDQTQDEGDRQWTLTIEAPYFGLAWCRLTAEHDSIPHHTVHTKPPDARQLTEKGNELYRRLWEVCTGELYARLQEAVRAAEWKRQTIQAATDAATWSSLDENLAIYDKGDYALQNHGRLLRGDPGLDCGYMTQWTHSGAESQNYYGRLNRLSERDTQAQAKYIQTNRDWYEPGEQEVWPARPEGRPSAADSDFRVFQTDDVYGVAIFRPEGRGPRSMATYFYRMSHSGAWTETLALAGWLLLLLTRPATVRM
+>sp|P30495|1B56_HUMAN HLA class I histocompatibility antigen, B-56 alpha chain OS=Homo sapiens OX=9606 GN=HLA-B PE=1 SV=1
+MRVTAPRTLLLLLWGALALTETWAGSHSMRYFYTAMSRPGRGEPRFIAVGYVDDTQFVRFDSDAASPREEPRAPWIEQEGPEYWDRNTQIYKAQAQTDRESLRNLRGYYNQSEAGSHTWQTMYGCDLGPDGRLLRGHNQLAYDGKDYIALNEDLSSWTAADTAAQITQRKWEAARVAEQLRAYLEGLCVEWLRRYLENGKETLQRADPPKTHVTHHPISDHEATLRCWALGFYPAEITLTWQRDGEDQTQDTELVETRPAGDRTFQKWAAVVVPSGEEQRYTCHVQHEGLPKPLTLRWEPSSQSTIPIVGIVAGLAVLAVVVIGAVVATVMCRRKSSGGKGGSYSQAASSDSAQGSDVSLTA
+>DECOY_sp|P30495|1B56_HUMAN HLA class I histocompatibility antigen, B-56 alpha chain OS=Homo sapiens OX=9606 GN=HLA-B PE=1 SV=1
+ATLSVDSGQASDSSAAQSYSGGKGGSSKRRCMVTAVVAGIVVVALVALGAVIGVIPITSQSSPEWRLTLPKPLGEHQVHCTYRQEEGSPVVVAAWKQFTRDGAPRTEVLETDQTQDEGDRQWTLTIEAPYFGLAWCRLTAEHDSIPHHTVHTKPPDARQLTEKGNELYRRLWEVCLGELYARLQEAVRAAEWKRQTIQAATDAATWSSLDENLAIYDKGDYALQNHGRLLRGDPGLDCGYMTQWTHSGAESQNYYGRLNRLSERDTQAQAKYIQTNRDWYEPGEQEIWPARPEERPSAADSDFRVFQTDDVYGVAIFRPEGRGPRSMATYFYRMSHSGAWTETLALAGWLLLLLTRPATVRM
+>sp|P18465|1B57_HUMAN HLA class I histocompatibility antigen, B-57 alpha chain OS=Homo sapiens OX=9606 GN=HLA-B PE=1 SV=1
+MRVTAPRTVLLLLWGAVALTETWAGSHSMRYFYTAMSRPGRGEPRFIAVGYVDDTQFVRFDSDAASPRMAPRAPWIEQEGPEYWDGETRNMKASAQTYRENLRIALRYYNQSEAGSHIIQVMYGCDVGPDGRLLRGHDQSAYDGKDYIALNEDLSSWTAADTAAQITQRKWEAARVAEQLRAYLEGLCVEWLRRYLENGKETLQRADPPKTHVTHHPISDHEATLRCWALGFYPAEITLTWQRDGEDQTQDTELVETRPAGDRTFQKWAAVVVPSGEEQRYTCHVQHEGLPKPLTLRWEPSSQSTVPIVGIVAGLAVLAVVVIGAVVAAVMCRRKSSGGKGGSYSQAACSDSAQGSDVSLTA
+>DECOY_sp|P18465|1B57_HUMAN HLA class I histocompatibility antigen, B-57 alpha chain OS=Homo sapiens OX=9606 GN=HLA-B PE=1 SV=1
+ATLSVDSGQASDSCAAQSYSGGKGGSSKRRCMVAAVVAGIVVVALVALGAVIGVIPVTSQSSPEWRLTLPKPLGEHQVHCTYRQEEGSPVVVAAWKQFTRDGAPRTEVLETDQTQDEGDRQWTLTIEAPYFGLAWCRLTAEHDSIPHHTVHTKPPDARQLTEKGNELYRRLWEVCLGELYARLQEAVRAAEWKRQTIQAATDAATWSSLDENLAIYDKGDYASQDHGRLLRGDPGVDCGYMVQIIHSGAESQNYYRLAIRLNERYTQASAKMNRTEGDWYEPGEQEIWPARPAMRPSAADSDFRVFQTDDVYGVAIFRPEGRGPRSMATYFYRMSHSGAWTETLAVAGWLLLLVTRPATVRM
+>sp|Q31612|1B73_HUMAN HLA class I histocompatibility antigen, B-73 alpha chain OS=Homo sapiens OX=9606 GN=HLA-B PE=1 SV=1
+MLVMAPRTVLLLLSAALALTETWAGSHSMRYFHTSVSRPGRGEPRFITVGYVDDTQFVRFDSDAASPREEPRAPWIEQEGPEYWDRNTQICKAKAQTDRVGLRNLRGYYNQSEDGSHTWQTMYGCDMGPDGRLLRGYNQFAYDGKDYIALNEDLRSWTAADTAAQITQRKWEAARVAEQLRAYLEGECVEWLRRHLENGKETLQRADPPKTHVTHHPISDHEATLRCWALGFYPAEITLTWQRDGEDQTQDTELVETRPAGDGTFQKWAAVVVPSGQEQRYTCHVQHEGLQEPCTLRWKPSSQSTIPIVGIVAGLAVLVVTVAVVAVVAAVMCRRKSSGGKGGSYSQAASSDSAQGSDVSLTA
+>DECOY_sp|Q31612|1B73_HUMAN HLA class I histocompatibility antigen, B-73 alpha chain OS=Homo sapiens OX=9606 GN=HLA-B PE=1 SV=1
+ATLSVDSGQASDSSAAQSYSGGKGGSSKRRCMVAAVVAVVAVTVVLVALGAVIGVIPITSQSSPKWRLTCPEQLGEHQVHCTYRQEQGSPVVVAAWKQFTGDGAPRTEVLETDQTQDEGDRQWTLTIEAPYFGLAWCRLTAEHDSIPHHTVHTKPPDARQLTEKGNELHRRLWEVCEGELYARLQEAVRAAEWKRQTIQAATDAATWSRLDENLAIYDKGDYAFQNYGRLLRGDPGMDCGYMTQWTHSGDESQNYYGRLNRLGVRDTQAKAKCIQTNRDWYEPGEQEIWPARPEERPSAADSDFRVFQTDDVYGVTIFRPEGRGPRSVSTHFYRMSHSGAWTETLALAASLLLLVTRPAMVLM
+>sp|Q31610|1B81_HUMAN HLA class I histocompatibility antigen, B-81 alpha chain OS=Homo sapiens OX=9606 GN=HLA-B PE=1 SV=1
+MLVMAPRTVLLLLWGAVALTETWAGSHSMRYFYTSVSRPGRGEPRFISVGYVDDTQFVRFDSDAASPREEPRAPWIEQEGPEYWDRNTQIYKAQAQTDRESLRNLRGYYNQSEAGSHTLQSMYGCDVGPDGRLLRGHNQYAYDGKDYIALNEDLRSWTAADTAAQISQRKLEAARVAEQLRAYLEGECVEWLRRYLENGKDKLERADPPKTHVTHHPISDHEATLRCWALGFYPAEITLTWQRDGEDQTQDTELVETRPAGDRTFQKWTAVVVPSGEEQRYTCHVQHEGLPKPLTLRWEPSSQSTVPIVGIVAGLAVLAVVVIGAVVAAVMCRRKSSGGKGGSYSQAACSDSAQGSDVSLTA
+>DECOY_sp|Q31610|1B81_HUMAN HLA class I histocompatibility antigen, B-81 alpha chain OS=Homo sapiens OX=9606 GN=HLA-B PE=1 SV=1
+ATLSVDSGQASDSCAAQSYSGGKGGSSKRRCMVAAVVAGIVVVALVALGAVIGVIPVTSQSSPEWRLTLPKPLGEHQVHCTYRQEEGSPVVVATWKQFTRDGAPRTEVLETDQTQDEGDRQWTLTIEAPYFGLAWCRLTAEHDSIPHHTVHTKPPDARELKDKGNELYRRLWEVCEGELYARLQEAVRAAELKRQSIQAATDAATWSRLDENLAIYDKGDYAYQNHGRLLRGDPGVDCGYMSQLTHSGAESQNYYGRLNRLSERDTQAQAKYIQTNRDWYEPGEQEIWPARPEERPSAADSDFRVFQTDDVYGVSIFRPEGRGPRSVSTYFYRMSHSGAWTETLAVAGWLLLLVTRPAMVLM
+>sp|Q07000|1C15_HUMAN HLA class I histocompatibility antigen, Cw-15 alpha chain OS=Homo sapiens OX=9606 GN=HLA-C PE=1 SV=1
+MRVMAPRTLLLLLSGALALTETWACSHSMRYFYTAVSRPGRGEPHFIAVGYVDDTQFVRFDSDAASPRGEPRAPWVEQEGPEYWDRETQNYKRQAQTDRVNLRKLRGYYNQSEAGSHIIQRMYGCDLGPDGRLLRGHDQLAYDGKDYIALNEDLRSWTAADTAAQITQRKWEAAREAEQLRAYLEGTCVEWLRRYLENGKETLQRAEHPKTHVTHHPVSDHEATLRCWALGFYPAEITLTWQRDGEDQTQDTELVETRPAGDGTFQKWAAVVVPSGEEQRYTCHVQHEGLPEPLTLRWEPSSQPTIPIVGIVAGLAVLAVLAVLGAVMAVVMCRRKSSGGKGGSCSQAASSNSAQGSDESLIACKA
+>DECOY_sp|Q07000|1C15_HUMAN HLA class I histocompatibility antigen, Cw-15 alpha chain OS=Homo sapiens OX=9606 GN=HLA-C PE=1 SV=1
+AKCAILSEDSGQASNSSAAQSCSGGKGGSSKRRCMVVAMVAGLVALVALVALGAVIGVIPITPQSSPEWRLTLPEPLGEHQVHCTYRQEEGSPVVVAAWKQFTGDGAPRTEVLETDQTQDEGDRQWTLTIEAPYFGLAWCRLTAEHDSVPHHTVHTKPHEARQLTEKGNELYRRLWEVCTGELYARLQEAERAAEWKRQTIQAATDAATWSRLDENLAIYDKGDYALQDHGRLLRGDPGLDCGYMRQIIHSGAESQNYYGRLKRLNVRDTQAQRKYNQTERDWYEPGEQEVWPARPEGRPSAADSDFRVFQTDDVYGVAIFHPEGRGPRSVATYFYRMSHSCAWTETLALAGSLLLLLTRPAMVRM
+>sp|Q29865|1C18_HUMAN HLA class I histocompatibility antigen, Cw-18 alpha chain OS=Homo sapiens OX=9606 GN=HLA-C PE=1 SV=1
+MRVMAPRALLLLLSGGLALTETWACSHSMRYFDTAVSRPGRGEPRFISVGYVDDTQFVRFDSDAASPRGEPRAPWVEQEGPEYWDRETQKYKRQAQADRVNLRKLRGYYNQSEDGSHTLQRMFGCDLGPDGRLLRGYNQFAYDGKDYIALNEDLRSWTAADTAAQITQRKWEAAREAEQRRAYLEGTCVEWLRRYLENGKETLQRAEHPKTHVTHHPVSDHEATLRCWALGFYPAEITLTWQWDGEDQTQDTELVETRPAGDGTFQKWAAVVVPSGEEQRYTCHVQHEGLPEPLTLRWKPSSQPTIPIVGIVAGLAVLVVLAVLGAVVAVVMCRRKSSGGKGGSCSQAASSNSAQGSDESLIACKA
+>DECOY_sp|Q29865|1C18_HUMAN HLA class I histocompatibility antigen, Cw-18 alpha chain OS=Homo sapiens OX=9606 GN=HLA-C PE=1 SV=1
+AKCAILSEDSGQASNSSAAQSCSGGKGGSSKRRCMVVAVVAGLVALVVLVALGAVIGVIPITPQSSPKWRLTLPEPLGEHQVHCTYRQEEGSPVVVAAWKQFTGDGAPRTEVLETDQTQDEGDWQWTLTIEAPYFGLAWCRLTAEHDSVPHHTVHTKPHEARQLTEKGNELYRRLWEVCTGELYARRQEAERAAEWKRQTIQAATDAATWSRLDENLAIYDKGDYAFQNYGRLLRGDPGLDCGFMRQLTHSGDESQNYYGRLKRLNVRDAQAQRKYKQTERDWYEPGEQEVWPARPEGRPSAADSDFRVFQTDDVYGVSIFRPEGRGPRSVATDFYRMSHSCAWTETLALGGSLLLLLARPAMVRM
+>sp|P14060|3BHS1_HUMAN 3 beta-hydroxysteroid dehydrogenase/Delta 5-->4-isomerase type 1 OS=Homo sapiens OX=9606 GN=HSD3B1 PE=1 SV=2
+MTGWSCLVTGAGGFLGQRIIRLLVKEKELKEIRVLDKAFGPELREEFSKLQNKTKLTVLEGDILDEPFLKRACQDVSVIIHTACIIDVFGVTHRESIMNVNVKGTQLLLEACVQASVPVFIYTSSIEVAGPNSYKEIIQNGHEEEPLENTWPAPYPHSKKLAEKAVLAANGWNLKNGGTLYTCALRPMYIYGEGSRFLSASINEALNNNGILSSVGKFSTVNPVYVGNVAWAHILALRALQDPKKAPSIRGQFYYISDDTPHQSYDNLNYTLSKEFGLRLDSRWSFPLSLMYWIGFLLEIVSFLLRPIYTYRPPFNRHIVTLSNSVFTFSYKKAQRDLAYKPLYSWEEAKQKTVEWVGSLVDRHKETLKSKTQ
+>DECOY_sp|P14060|3BHS1_HUMAN 3 beta-hydroxysteroid dehydrogenase/Delta 5-->4-isomerase type 1 OS=Homo sapiens OX=9606 GN=HSD3B1 PE=1 SV=2
+QTKSKLTEKHRDVLSGVWEVTKQKAEEWSYLPKYALDRQAKKYSFTFVSNSLTVIHRNFPPRYTYIPRLLFSVIELLFGIWYMLSLPFSWRSDLRLGFEKSLTYNLNDYSQHPTDDSIYYFQGRISPAKKPDQLARLALIHAWAVNGVYVPNVTSFKGVSSLIGNNNLAENISASLFRSGEGYIYMPRLACTYLTGGNKLNWGNAALVAKEALKKSHPYPAPWTNELPEEEHGNQIIEKYSNPGAVEISSTYIFVPVSAQVCAELLLQTGKVNVNMISERHTVGFVDIICATHIIVSVDQCARKLFPEDLIDGELVTLKTKNQLKSFEERLEPGFAKDLVRIEKLEKEKVLLRIIRQGLFGGAGTVLCSWGTM
+>sp|P26439|3BHS2_HUMAN 3 beta-hydroxysteroid dehydrogenase/Delta 5-->4-isomerase type 2 OS=Homo sapiens OX=9606 GN=HSD3B2 PE=1 SV=2
+MGWSCLVTGAGGLLGQRIVRLLVEEKELKEIRALDKAFRPELREEFSKLQNRTKLTVLEGDILDEPFLKRACQDVSVVIHTACIIDVFGVTHRESIMNVNVKGTQLLLEACVQASVPVFIYTSSIEVAGPNSYKEIIQNGHEEEPLENTWPTPYPYSKKLAEKAVLAANGWNLKNGDTLYTCALRPTYIYGEGGPFLSASINEALNNNGILSSVGKFSTVNPVYVGNVAWAHILALRALRDPKKAPSVRGQFYYISDDTPHQSYDNLNYILSKEFGLRLDSRWSLPLTLMYWIGFLLEVVSFLLSPIYSYQPPFNRHTVTLSNSVFTFSYKKAQRDLAYKPLYSWEEAKQKTVEWVGSLVDRHKETLKSKTQ
+>DECOY_sp|P26439|3BHS2_HUMAN 3 beta-hydroxysteroid dehydrogenase/Delta 5-->4-isomerase type 2 OS=Homo sapiens OX=9606 GN=HSD3B2 PE=1 SV=2
+QTKSKLTEKHRDVLSGVWEVTKQKAEEWSYLPKYALDRQAKKYSFTFVSNSLTVTHRNFPPQYSYIPSLLFSVVELLFGIWYMLTLPLSWRSDLRLGFEKSLIYNLNDYSQHPTDDSIYYFQGRVSPAKKPDRLARLALIHAWAVNGVYVPNVTSFKGVSSLIGNNNLAENISASLFPGGEGYIYTPRLACTYLTDGNKLNWGNAALVAKEALKKSYPYPTPWTNELPEEEHGNQIIEKYSNPGAVEISSTYIFVPVSAQVCAELLLQTGKVNVNMISERHTVGFVDIICATHIVVSVDQCARKLFPEDLIDGELVTLKTRNQLKSFEERLEPRFAKDLARIEKLEKEEVLLRVIRQGLLGGAGTVLCSWGM
+>sp|O60239|3BP5_HUMAN SH3 domain-binding protein 5 OS=Homo sapiens OX=9606 GN=SH3BP5 PE=1 SV=2
+MDAALKRSRSEEPAEILPPARDEEEEEEEGMEQGLEEEEEVDPRIQGELEKLNQSTDDINRRETELEDARQKFRSVLVEATVKLDELVKKIGKAVEDSKPYWEARRVARQAQLEAQKATQDFQRATEVLRAAKETISLAEQRLLEDDKRQFDSAWQEMLNHATQRVMEAEQTKTRSELVHKETAARYNAAMGRMRQLEKKLKRAINKSKPYFELKAKYYVQLEQLKKTVDDLQAKLTLAKGEYKMALKNLEMISDEIHERRRSSAMGPRGCGVGAEGSSTSVEDLPGSKPEPDAISVASEAFEDDSCSNFVSEDDSETQSVSSFSSGPTSPSEMPDQFPAVVRPGSLDLPSPVSLSEFGMMFPVLGPRSECSGASSPECEVERGDRAEGAENKTSDKANNNRGLSSSSGSGGSSKSQSSTSPEGQALENRMKQLSLQCSKGRDGIIADIKMVQIG
+>DECOY_sp|O60239|3BP5_HUMAN SH3 domain-binding protein 5 OS=Homo sapiens OX=9606 GN=SH3BP5 PE=1 SV=2
+GIQVMKIDAIIGDRGKSCQLSLQKMRNELAQGEPSTSSQSKSSGGSGSSSSLGRNNNAKDSTKNEAGEARDGREVECEPSSAGSCESRPGLVPFMMGFESLSVPSPLDLSGPRVVAPFQDPMESPSTPGSSFSSVSQTESDDESVFNSCSDDEFAESAVSIADPEPKSGPLDEVSTSSGEAGVGCGRPGMASSRRREHIEDSIMELNKLAMKYEGKALTLKAQLDDVTKKLQELQVYYKAKLEFYPKSKNIARKLKKELQRMRGMAANYRAATEKHVLESRTKTQEAEMVRQTAHNLMEQWASDFQRKDDELLRQEALSITEKAARLVETARQFDQTAKQAELQAQRAVRRAEWYPKSDEVAKGIKKVLEDLKVTAEVLVSRFKQRADELETERRNIDDTSQNLKELEGQIRPDVEEEEELGQEMGEEEEEEEDRAPPLIEAPEESRSRKLAADM
+>sp|P30939|5HT1F_HUMAN 5-hydroxytryptamine receptor 1F OS=Homo sapiens OX=9606 GN=HTR1F PE=2 SV=1
+MDFLNSSDQNLTSEELLNRMPSKILVSLTLSGLALMTTTINSLVIAAIIVTRKLHHPANYLICSLAVTDFLVAVLVMPFSIVYIVRESWIMGQVVCDIWLSVDITCCTCSILHLSAIALDRYRAITDAVEYARKRTPKHAGIMITIVWIISVFISMPPLFWRHQGTSRDDECIIKHDHIVSTIYSTFGAFYIPLALILILYYKIYRAAKTLYHKRQASRIAKEEVNGQVLLESGEKSTKSVSTSYVLEKSLSDPSTDFDKIHSTVRSLRSEFKHEKSWRRQKISGTRERKAATTLGLILGAFVICWLPFFVKELVVNVCDKCKISEEMSNFLAWLGYLNSLINPLIYTIFNEDFKKAFQKLVRCRC
+>DECOY_sp|P30939|5HT1F_HUMAN 5-hydroxytryptamine receptor 1F OS=Homo sapiens OX=9606 GN=HTR1F PE=2 SV=1
+CRCRVLKQFAKKFDENFITYILPNILSNLYGLWALFNSMEESIKCKDCVNVVLEKVFFPLWCIVFAGLILGLTTAAKRERTGSIKQRRWSKEHKFESRLSRVTSHIKDFDTSPDSLSKELVYSTSVSKTSKEGSELLVQGNVEEKAIRSAQRKHYLTKAARYIKYYLILILALPIYFAGFTSYITSVIHDHKIICEDDRSTGQHRWFLPPMSIFVSIIWVITIMIGAHKPTRKRAYEVADTIARYRDLAIASLHLISCTCCTIDVSLWIDCVVQGMIWSERVIYVISFPMVLVAVLFDTVALSCILYNAPHHLKRTVIIAAIVLSNITTTMLALGSLTLSVLIKSPMRNLLEESTLNQDSSNLFDM
+>sp|P05408|7B2_HUMAN Neuroendocrine protein 7B2 OS=Homo sapiens OX=9606 GN=SCG5 PE=1 SV=2
+MVSRMVSTMLSGLLFWLASGWTPAFAYSPRTPDRVSEADIQRLLHGVMEQLGIARPRVEYPAHQAMNLVGPQSIEGGAHEGLQHLGPFGNIPNIVAELTGDNIPKDFSEDQGYPDPPNPCPVGKTADDGCLENTPDTAEFSREFQLHQHLFDPEHDYPGLGKWNKKLLYEKMKGGERRKRRSVNPYLQGQRLDNVVAKKSVPHFSDEDKDPE
+>DECOY_sp|P05408|7B2_HUMAN Neuroendocrine protein 7B2 OS=Homo sapiens OX=9606 GN=SCG5 PE=1 SV=2
+EPDKDEDSFHPVSKKAVVNDLRQGQLYPNVSRRKRREGGKMKEYLLKKNWKGLGPYDHEPDFLHQHLQFERSFEATDPTNELCGDDATKGVPCPNPPDPYGQDESFDKPINDGTLEAVINPINGFPGLHQLGEHAGGEISQPGVLNMAQHAPYEVRPRAIGLQEMVGHLLRQIDAESVRDPTRPSYAFAPTWGSALWFLLGSLMTSVMRSVM
+>sp|Q676U5|A16L1_HUMAN Autophagy-related protein 16-1 OS=Homo sapiens OX=9606 GN=ATG16L1 PE=1 SV=2
+MSSGLRAADFPRWKRHISEQLRRRDRLQRQAFEEIILQYNKLLEKSDLHSVLAQKLQAEKHDVPNRHEISPGHDGTWNDNQLQEMAQLRIKHQEELTELHKKRGELAQLVIDLNNQMQRKDREMQMNEAKIAECLQTISDLETECLDLRTKLCDLERANQTLKDEYDALQITFTALEGKLRKTTEENQELVTRWMAEKAQEANRLNAENEKDSRRRQARLQKELAEAAKEPLPVEQDDDIEVIVDETSDHTEETSPVRAISRAATKRLSQPAGGLLDSITNIFGRRSVSSFPVPQDNVDTHPGSGKEVRVPATALCVFDAHDGEVNAVQFSPGSRLLATGGMDRRVKLWEVFGEKCEFKGSLSGSNAGITSIEFDSAGSYLLAASNDFASRIWTVDDYRLRHTLTGHSGKVLSAKFLLDNARIVSGSHDRTLKLWDLRSKVCIKTVFAGSSCNDIVCTEQCVMSGHFDKKIRFWDIRSESIVREMELLGKITALDLNPERTELLSCSRDDLLKVIDLRTNAIKQTFSAPGFKCGSDWTRVVFSPDGSYVAAGSAEGSLYIWSVLTGKVEKVLSKQHSSSINAVAWSPSGSHVVSVDKGCKAVLWAQY
+>DECOY_sp|Q676U5|A16L1_HUMAN Autophagy-related protein 16-1 OS=Homo sapiens OX=9606 GN=ATG16L1 PE=1 SV=2
+YQAWLVAKCGKDVSVVHSGSPSWAVANISSSHQKSLVKEVKGTLVSWIYLSGEASGAAVYSGDPSFVVRTWDSGCKFGPASFTQKIANTRLDIVKLLDDRSCSLLETREPNLDLATIKGLLEMERVISESRIDWFRIKKDFHGSMVCQETCVIDNCSSGAFVTKICVKSRLDWLKLTRDHSGSVIRANDLLFKASLVKGSHGTLTHRLRYDDVTWIRSAFDNSAALLYSGASDFEISTIGANSGSLSGKFECKEGFVEWLKVRRDMGGTALLRSGPSFQVANVEGDHADFVCLATAPVRVEKGSGPHTDVNDQPVPFSSVSRRGFINTISDLLGGAPQSLRKTAARSIARVPSTEETHDSTEDVIVEIDDDQEVPLPEKAAEALEKQLRAQRRRSDKENEANLRNAEQAKEAMWRTVLEQNEETTKRLKGELATFTIQLADYEDKLTQNARELDCLKTRLDLCETELDSITQLCEAIKAENMQMERDKRQMQNNLDIVLQALEGRKKHLETLEEQHKIRLQAMEQLQNDNWTGDHGPSIEHRNPVDHKEAQLKQALVSHLDSKELLKNYQLIIEEFAQRQLRDRRRLQESIHRKWRPFDAARLGSSM
+>sp|P19652|A1AG2_HUMAN Alpha-1-acid glycoprotein 2 OS=Homo sapiens OX=9606 GN=ORM2 PE=1 SV=2
+MALSWVLTVLSLLPLLEAQIPLCANLVPVPITNATLDRITGKWFYIASAFRNEEYNKSVQEIQATFFYFTPNKTEDTIFLREYQTRQNQCFYNSSYLNVQRENGTVSRYEGGREHVAHLLFLRDTKTLMFGSYLDDEKNWGLSFYADKPETTKEQLGEFYEALDCLCIPRSDVMYTDWKKDKCEPLEKQHEKERKQEEGES
+>DECOY_sp|P19652|A1AG2_HUMAN Alpha-1-acid glycoprotein 2 OS=Homo sapiens OX=9606 GN=ORM2 PE=1 SV=2
+SEGEEQKREKEHQKELPECKDKKWDTYMVDSRPICLCDLAEYFEGLQEKTTEPKDAYFSLGWNKEDDLYSGFMLTKTDRLFLLHAVHERGGEYRSVTGNERQVNLYSSNYFCQNQRTQYERLFITDETKNPTFYFFTAQIEQVSKNYEENRFASAIYFWKGTIRDLTANTIPVPVLNACLPIQAELLPLLSLVTLVWSLAM
+>sp|Q96IX9|A26L1_HUMAN Putative ankyrin repeat domain-containing protein 26-like 1 OS=Homo sapiens OX=9606 GN=ANKRD36BP1 PE=5 SV=1
+MGTRTLQFEISDSHEKEEDLLHKNHLMQDEIARLRLEIHTIKNQILEKKYLKDIEIIKRKHEDLQKALKQNGEKSTKTIAHYSGQLTALTDENTMLRSKLEKEKQSRQRLTKWNHTIVD
+>DECOY_sp|Q96IX9|A26L1_HUMAN Putative ankyrin repeat domain-containing protein 26-like 1 OS=Homo sapiens OX=9606 GN=ANKRD36BP1 PE=5 SV=1
+DVITHNWKTLRQRSQKEKELKSRLMTNEDTLATLQGSYHAITKTSKEGNQKLAKQLDEHKRKIIEIDKLYKKELIQNKITHIELRLRAIEDQMLHNKHLLDEEKEHSDSIEFQLTRTGM
+>sp|U3KPV4|A3LT2_HUMAN Alpha-1,3-galactosyltransferase 2 OS=Homo sapiens OX=9606 GN=A3GALT2 PE=2 SV=1
+MALKEGLRAWKRIFWRQILLTLGLLGLFLYGLPKFRHLEALIPMGVCPSATMSQLRDNFTGALRPWARPEVLTCTPWGAPIIWDGSFDPDVAKQEARQQNLTIGLTIFAVGRYLEKYLERFLETAEQHFMAGQSVMYYVFTELPGAVPRVALGPGRRLPVERVARERRWQDVSMARMRTLHAALGGLPGREAHFMFCMDVDQHFSGTFGPEALAESVAQLHSWHYHWPSWLLPFERDAHSAAAMAWGQGDFYNHAAVFGGSVAALRGLTAHCAGGLDWDRARGLEARWHDESHLNKFFWLHKPAKVLSPEFCWSPDIGPRAEIRRPRLLWAPKGYRLLRN
+>DECOY_sp|U3KPV4|A3LT2_HUMAN Alpha-1,3-galactosyltransferase 2 OS=Homo sapiens OX=9606 GN=A3GALT2 PE=2 SV=1
+NRLLRYGKPAWLLRPRRIEARPGIDPSWCFEPSLVKAPKHLWFFKNLHSEDHWRAELGRARDWDLGGACHATLGRLAAVSGGFVAAHNYFDGQGWAMAAASHADREFPLLWSPWHYHWSHLQAVSEALAEPGFTGSFHQDVDMCFMFHAERGPLGGLAAHLTRMRAMSVDQWRRERAVREVPLRRGPGLAVRPVAGPLETFVYYMVSQGAMFHQEATELFRELYKELYRGVAFITLGITLNQQRAEQKAVDPDFSGDWIIPAGWPTCTLVEPRAWPRLAGTFNDRLQSMTASPCVGMPILAELHRFKPLGYLFLGLLGLTLLIQRWFIRKWARLGEKLAM
+>sp|Q96GX2|A7L3B_HUMAN Ataxin-7-like protein 3B OS=Homo sapiens OX=9606 GN=ATXN7L3B PE=1 SV=2
+MEEISLANLDTNKLEAIAQEIYVDLIEDSCLGFCFEVHRAVKCGYFYLEFAETGSVKDFGIQPVEDKGACRLPLCSLPGEPGNGPDQQLQRSPPEFQ
+>DECOY_sp|Q96GX2|A7L3B_HUMAN Ataxin-7-like protein 3B OS=Homo sapiens OX=9606 GN=ATXN7L3B PE=1 SV=2
+QFEPPSRQLQQDPGNGPEGPLSCLPLRCAGKDEVPQIGFDKVSGTEAFELYFYGCKVARHVEFCFGLCSDEILDVYIEQAIAELKNTDLNALSIEEM
+>sp|Q86V21|AACS_HUMAN Acetoacetyl-CoA synthetase OS=Homo sapiens OX=9606 GN=AACS PE=1 SV=1
+MSKEERPGREEILECQVMWEPDSKKNTQMDRFRAAVGAACGLALESYDDLYHWSVESYSDFWAEFWKFSGIVFSRVYDEVVDTSKGIADVPEWFKGSRLNYAENLLRHKENDRVALYIAREGKEEIVKVTFEELRQEVALFAAAMRKMGVKKGDRVVGYLPNSEHAVEAMLAAASIGAIWSSTSPDFGVNGVLDRFSQIQPKLIFSVEAVVYNGKEHNHMEKLQQVVKGLPDLKKVVVIPYVSSRENIDLSKIPNSVFLDDFLATGTSEQAPQLEFEQLPFSHPLFIMFSSGTTGAPKCMVHSAGGTLIQHLKEHLLHGNMTSSDILLCYTTVGWMMWNWMVSLLATGAAMVLYDGSPLVPTPNVLWDLVDRIGITVLVTGAKWLSVLEEKAMKPVETHSLQMLHTILSTGSPLKAQSYEYVYRCIKSSILLGSISGGTDIISCFMGHNFSLPVYKGEIQARNLGMAVEAWNEEGKAVWGESGELVCTKPIPCQPTHFWNDENGNKYRKAYFSKFPGIWAHGDYCRINPKTGGIVMLGRSDGTLNPNGVRFGSSEIYNIVESFEEVEDSLCVPQYNKYREERVILFLKMASGHAFQPDLVKRIRDAIRMGLSARHVPSLILETKGIPYTLNGKKVEVAVKQIIAGKAVEQGGAFSNPETLDLYRDIPELQGF
+>DECOY_sp|Q86V21|AACS_HUMAN Acetoacetyl-CoA synthetase OS=Homo sapiens OX=9606 GN=AACS PE=1 SV=1
+FGQLEPIDRYLDLTEPNSFAGGQEVAKGAIIQKVAVEVKKGNLTYPIGKTELILSPVHRASLGMRIADRIRKVLDPQFAHGSAMKLFLIVREERYKNYQPVCLSDEVEEFSEVINYIESSGFRVGNPNLTGDSRGLMVIGGTKPNIRCYDGHAWIGPFKSFYAKRYKNGNEDNWFHTPQCPIPKTCVLEGSEGWVAKGEENWAEVAMGLNRAQIEGKYVPLSFNHGMFCSIIDTGGSISGLLISSKICRYVYEYSQAKLPSGTSLITHLMQLSHTEVPKMAKEELVSLWKAGTVLVTIGIRDVLDWLVNPTPVLPSGDYLVMAAGTALLSVMWNWMMWGVTTYCLLIDSSTMNGHLLHEKLHQILTGGASHVMCKPAGTTGSSFMIFLPHSFPLQEFELQPAQESTGTALFDDLFVSNPIKSLDINERSSVYPIVVVKKLDPLGKVVQQLKEMHNHEKGNYVVAEVSFILKPQIQSFRDLVGNVGFDPSTSSWIAGISAAALMAEVAHESNPLYGVVRDGKKVGMKRMAAAFLAVEQRLEEFTVKVIEEKGERAIYLAVRDNEKHRLLNEAYNLRSGKFWEPVDAIGKSTDVVEDYVRSFVIGSFKWFEAWFDSYSEVSWHYLDDYSELALGCAAGVAARFRDMQTNKKSDPEWMVQCELIEERGPREEKSM
+>sp|Q9Y478|AAKB1_HUMAN 5'-AMP-activated protein kinase subunit beta-1 OS=Homo sapiens OX=9606 GN=PRKAB1 PE=1 SV=4
+MGNTSSERAALERHGGHKTPRRDSSGGTKDGDRPKILMDSPEDADLFHSEEIKAPEKEEFLAWQHDLEVNDKAPAQARPTVFRWTGGGKEVYLSGSFNNWSKLPLTRSHNNFVAILDLPEGEHQYKFFVDGQWTHDPSEPIVTSQLGTVNNIIQVKKTDFEVFDALMVDSQKCSDVSELSSSPPGPYHQEPYVCKPEERFRAPPILPPHLLQVILNKDTGISCDPALLPEPNHVMLNHLYALSIKDGVMVLSATHRYKKKYVTTLLYKPI
+>DECOY_sp|Q9Y478|AAKB1_HUMAN 5'-AMP-activated protein kinase subunit beta-1 OS=Homo sapiens OX=9606 GN=PRKAB1 PE=1 SV=4
+IPKYLLTTVYKKKYRHTASLVMVGDKISLAYLHNLMVHNPEPLLAPDCSIGTDKNLIVQLLHPPLIPPARFREEPKCVYPEQHYPGPPSSSLESVDSCKQSDVMLADFVEFDTKKVQIINNVTGLQSTVIPESPDHTWQGDVFFKYQHEGEPLDLIAVFNNHSRTLPLKSWNNFSGSLYVEKGGGTWRFVTPRAQAPAKDNVELDHQWALFEEKEPAKIEESHFLDADEPSDMLIKPRDGDKTGGSSDRRPTKHGGHRELAARESSTNGM
+>sp|O43741|AAKB2_HUMAN 5'-AMP-activated protein kinase subunit beta-2 OS=Homo sapiens OX=9606 GN=PRKAB2 PE=1 SV=1
+MGNTTSDRVSGERHGAKAARSEGAGGHAPGKEHKIMVGSTDDPSVFSLPDSKLPGDKEFVSWQQDLEDSVKPTQQARPTVIRWSEGGKEVFISGSFNNWSTKIPLIKSHNDFVAILDLPEGEHQYKFFVDGQWVHDPSEPVVTSQLGTINNLIHVKKSDFEVFDALKLDSMESSETSCRDLSSSPPGPYGQEMYAFRSEERFKSPPILPPHLLQVILNKDTNISCDPALLPEPNHVMLNHLYALSIKDSVMVLSATHRYKKKYVTTLLYKPI
+>DECOY_sp|O43741|AAKB2_HUMAN 5'-AMP-activated protein kinase subunit beta-2 OS=Homo sapiens OX=9606 GN=PRKAB2 PE=1 SV=1
+IPKYLLTTVYKKKYRHTASLVMVSDKISLAYLHNLMVHNPEPLLAPDCSINTDKNLIVQLLHPPLIPPSKFREESRFAYMEQGYPGPPSSSLDRCSTESSEMSDLKLADFVEFDSKKVHILNNITGLQSTVVPESPDHVWQGDVFFKYQHEGEPLDLIAVFDNHSKILPIKTSWNNFSGSIFVEKGGESWRIVTPRAQQTPKVSDELDQQWSVFEKDGPLKSDPLSFVSPDDTSGVMIKHEKGPAHGGAGESRAAKAGHREGSVRDSTTNGM
+>sp|Q9BTE6|AASD1_HUMAN Alanyl-tRNA editing protein Aarsd1 OS=Homo sapiens OX=9606 GN=AARSD1 PE=1 SV=2
+MAFWCQRDSYAREFTTTVVSCCPAELQTEGSNGKKEVLSGFQVVLEDTVLFPEGGGQPDDRGTINDISVLRVTRRGEQADHFTQTPLDPGSQVLVRVDWERRFDHMQQHSGQHLITAVADHLFKLKTTSWELGRFRSAIELDTPSMTAEQVAAIEQSVNEKIRDRLPVNVRELSLDDPEVEQVSGRGLPDDHAGPIRVVNIEGVDSNMCCGTHVSNLSDLQVIKILGTEKGKKNRTNLIFLSGNRVLKWMERSHGTEKALTALLKCGAEDHVEAVKKLQNSTKILQKNNLNLLRDLAVHIAHSLRNSPDWGGVVILHRKEGDSEFMNIIANEIGSEETLLFLTVGDEKGGGLFLLAGPPASVETLGPRVAEVLEGKGAGKKGRFQGKATKMSRRMEAQALLQDYISTQSAKE
+>DECOY_sp|Q9BTE6|AASD1_HUMAN Alanyl-tRNA editing protein Aarsd1 OS=Homo sapiens OX=9606 GN=AARSD1 PE=1 SV=2
+EKASQTSIYDQLLAQAEMRRSMKTAKGQFRGKKGAGKGELVEAVRPGLTEVSAPPGALLFLGGGKEDGVTLFLLTEESGIENAIINMFESDGEKRHLIVVGGWDPSNRLSHAIHVALDRLLNLNNKQLIKTSNQLKKVAEVHDEAGCKLLATLAKETGHSREMWKLVRNGSLFILNTRNKKGKETGLIKIVQLDSLNSVHTGCCMNSDVGEINVVRIPGAHDDPLGRGSVQEVEPDDLSLERVNVPLRDRIKENVSQEIAAVQEATMSPTDLEIASRFRGLEWSTTKLKFLHDAVATILHQGSHQQMHDFRREWDVRVLVQSGPDLPTQTFHDAQEGRRTVRLVSIDNITGRDDPQGGGEPFLVTDELVVQFGSLVEKKGNSGETQLEAPCCSVVTTTFERAYSDRQCWFAM
+>sp|Q8NHS2|AATC2_HUMAN Putative aspartate aminotransferase, cytoplasmic 2 OS=Homo sapiens OX=9606 GN=GOT1L1 PE=2 SV=2
+MPTLSVFMDVPLAHKLEGSLLKTYKQDDYPNKIFLAYRVCMTNEGHPWVSLVVQKTRLQISQDPSLNYEYLPTMGLKSFIQASLALLFGKHSQAIVENRVGGVHTVGDSGAFQLGVQFLRAWHKDARIVYIISSQKELHGLVFQDMGFTVYEYSVWDPKKLCMDPDILLNVVEQIPHGCVLVMGNIIDCKLTPSGWAKLMSMIKSKQIFPFFDIPCQGLYTSDLEEDTRILQYFVSQGFEFFCSQSLSKNFGIYDEGVGMLVVVAVNNQQLLCVLSQLEGLAQALWLNPPNTGARVITSILCNPALLGEWKQSLKEVVENIMLTKEKVKEKLQLLGTPGSWGHITEQSGTHGYLGLNSQQVEYLVRKKHIYIPKNGQINFSCINANNINYITEGINEAVLLTESSEMCLPKEKKTLIGIKL
+>DECOY_sp|Q8NHS2|AATC2_HUMAN Putative aspartate aminotransferase, cytoplasmic 2 OS=Homo sapiens OX=9606 GN=GOT1L1 PE=2 SV=2
+LKIGILTKKEKPLCMESSETLLVAENIGETIYNINNANICSFNIQGNKPIYIHKKRVLYEVQQSNLGLYGHTGSQETIHGWSGPTGLLQLKEKVKEKTLMINEVVEKLSQKWEGLLAPNCLISTIVRAGTNPPNLWLAQALGELQSLVCLLQQNNVAVVVLMGVGEDYIGFNKSLSQSCFFEFGQSVFYQLIRTDEELDSTYLGQCPIDFFPFIQKSKIMSMLKAWGSPTLKCDIINGMVLVCGHPIQEVVNLLIDPDMCLKKPDWVSYEYVTFGMDQFVLGHLEKQSSIIYVIRADKHWARLFQVGLQFAGSDGVTHVGGVRNEVIAQSHKGFLLALSAQIFSKLGMTPLYEYNLSPDQSIQLRTKQVVLSVWPHGENTMCVRYALFIKNPYDDQKYTKLLSGELKHALPVDMFVSLTPM
+>sp|Q9UH17|ABC3B_HUMAN DNA dC->dU-editing enzyme APOBEC-3B OS=Homo sapiens OX=9606 GN=APOBEC3B PE=1 SV=1
+MNPQIRNPMERMYRDTFYDNFENEPILYGRSYTWLCYEVKIKRGRSNLLWDTGVFRGQVYFKPQYHAEMCFLSWFCGNQLPAYKCFQITWFVSWTPCPDCVAKLAEFLSEHPNVTLTISAARLYYYWERDYRRALCRLSQAGARVTIMDYEEFAYCWENFVYNEGQQFMPWYKFDENYAFLHRTLKEILRYLMDPDTFTFNFNNDPLVLRRRQTYLCYEVERLDNGTWVLMDQHMGFLCNEAKNLLCGFYGRHAELRFLDLVPSLQLDPAQIYRVTWFISWSPCFSWGCAGEVRAFLQENTHVRLRIFAARIYDYDPLYKEALQMLRDAGAQVSIMTYDEFEYCWDTFVYRQGCPFQPWDGLEEHSQALSGRLRAILQNQGN
+>DECOY_sp|Q9UH17|ABC3B_HUMAN DNA dC->dU-editing enzyme APOBEC-3B OS=Homo sapiens OX=9606 GN=APOBEC3B PE=1 SV=1
+NGQNQLIARLRGSLAQSHEELGDWPQFPCGQRYVFTDWCYEFEDYTMISVQAGADRLMQLAEKYLPDYDYIRAAFIRLRVHTNEQLFARVEGACGWSFCPSWSIFWTVRYIQAPDLQLSPVLDLFRLEAHRGYFGCLLNKAENCLFGMHQDMLVWTGNDLREVEYCLYTQRRRLVLPDNNFNFTFTDPDMLYRLIEKLTRHLFAYNEDFKYWPMFQQGENYVFNEWCYAFEEYDMITVRAGAQSLRCLARRYDREWYYYLRAASITLTVNPHESLFEALKAVCDPCPTWSVFWTIQFCKYAPLQNGCFWSLFCMEAHYQPKFYVQGRFVGTDWLLNSRGRKIKVEYCLWTYSRGYLIPENEFNDYFTDRYMREMPNRIQPNM
+>sp|Q99758|ABCA3_HUMAN ATP-binding cassette sub-family A member 3 OS=Homo sapiens OX=9606 GN=ABCA3 PE=1 SV=2
+MAVLRQLALLLWKNYTLQKRKVLVTVLELFLPLLFSGILIWLRLKIQSENVPNATIYPGQSIQELPLFFTFPPPGDTWELAYIPSHSDAAKTVTETVRRALVINMRVRGFPSEKDFEDYIRYDNCSSSVLAAVVFEHPFNHSKEPLPLAVKYHLRFSYTRRNYMWTQTGSFFLKETEGWHTTSLFPLFPNPGPREPTSPDGGEPGYIREGFLAVQHAVDRAIMEYHADAATRQLFQRLTVTIKRFPYPPFIADPFLVAIQYQLPLLLLLSFTYTALTIARAVVQEKERRLKEYMRMMGLSSWLHWSAWFLLFFLFLLIAASFMTLLFCVKVKPNVAVLSRSDPSLVLAFLLCFAISTISFSFMVSTFFSKANMAAAFGGFLYFFTYIPYFFVAPRYNWMTLSQKLCSCLLSNVAMAMGAQLIGKFEAKGMGIQWRDLLSPVNVDDDFCFGQVLGMLLLDSVLYGLVTWYMEAVFPGQFGVPQPWYFFIMPSYWCGKPRAVAGKEEEDSDPEKALRNEYFEAEPEDLVAGIKIKHLSKVFRVGNKDRAAVRDLNLNLYEGQITVLLGHNGAGKTTTLSMLTGLFPPTSGRAYISGYEISQDMVQIRKSLGLCPQHDILFDNLTVAEHLYFYAQLKGLSRQKCPEEVKQMLHIIGLEDKWNSRSRFLSGGMRRKLSIGIALIAGSKVLILDEPTSGMDAISRRAIWDLLQRQKSDRTIVLTTHFMDEADLLGDRIAIMAKGELQCCGSSLFLKQKYGAGYHMTLVKEPHCNPEDISQLVHHHVPNATLESSAGAELSFILPRESTHRFEGLFAKLEKKQKELGIASFGASITTMEEVFLRVGKLVDSSMDIQAIQLPALQYQHERRASDWAVDSNLCGAMDPSDGIGALIEEERTAVKLNTGLALHCQQFWAMFLKKAAYSWREWKMVAAQVLVPLTCVTLALLAINYSSELFDDPMLRLTLGEYGRTVVPFSVPGTSQLGQQLSEHLKDALQAEGQEPREVLGDLEEFLIFRASVEGGGFNERCLVAASFRDVGERTVVNALFNNQAYHSPATALAVVDNLLFKLLCGPHASIVVSNFPQPRSALQAAKDQFNEGRKGFDIALNLLFAMAFLASTFSILAVSERAVQAKHVQFVSGVHVASFWLSALLWDLISFLIPSLLLLVVFKAFDVRAFTRDGHMADTLLLLLLYGWAIIPLMYLMNFFFLGAATAYTRLTIFNILSGIATFLMVTIMRIPAVKLEELSKTLDHVFLVLPNHCLGMAVSSFYENYETRRYCTSSEVAAHYCKKYNIQYQENFYAWSAPGVGRFVASMAASGCAYLILLFLIETNLLQRLRGILCALRRRRTLTELYTRMPVLPEDQDVADERTRILAPSPDSLLHTPLIIKELSKVYEQRVPLLAVDRLSLAVQKGECFGLLGFNGAGKTTTFKMLTGEESLTSGDAFVGGHRISSDVGKVRQRIGYCPQFDALLDHMTGREMLVMYARLRGIPERHIGACVENTLRGLLLEPHANKLVRTYSGGNKRKLSTGIALIGEPAVIFLDEPSTGMDPVARRLLWDTVARARESGKAIIITSHSMEECEALCTRLAIMVQGQFKCLGSPQHLKSKFGSGYSLRAKVQSEGQQEALEEFKAFVDLTFPGSVLEDEHQGMVHYHLPGRDLSWAKVFGILEKAKEKYGVDDYSVSQISLEQVFLSFAHLQPPTAEEGR
+>DECOY_sp|Q99758|ABCA3_HUMAN ATP-binding cassette sub-family A member 3 OS=Homo sapiens OX=9606 GN=ABCA3 PE=1 SV=2
+RGEEATPPQLHAFSLFVQELSIQSVSYDDVGYKEKAKELIGFVKAWSLDRGPLHYHVMGQHEDELVSGPFTLDVFAKFEELAEQQGESQVKARLSYGSGFKSKLHQPSGLCKFQGQVMIALRTCLAECEEMSHSTIIIAKGSERARAVTDWLLRRAVPDMGTSPEDLFIVAPEGILAIGTSLKRKNGGSYTRVLKNAHPELLLGRLTNEVCAGIHREPIGRLRAYMVLMERGTMHDLLADFQPCYGIRQRVKGVDSSIRHGGVFADGSTLSEEGTLMKFTTTKGAGNFGLLGFCEGKQVALSLRDVALLPVRQEYVKSLEKIILPTHLLSDPSPALIRTREDAVDQDEPLVPMRTYLETLTRRRRLACLIGRLRQLLNTEILFLLILYACGSAAMSAVFRGVGPASWAYFNEQYQINYKKCYHAAVESSTCYRRTEYNEYFSSVAMGLCHNPLVLFVHDLTKSLEELKVAPIRMITVMLFTAIGSLINFITLRTYATAAGLFFFNMLYMLPIIAWGYLLLLLLTDAMHGDRTFARVDFAKFVVLLLLSPILFSILDWLLASLWFSAVHVGSVFQVHKAQVARESVALISFTSALFAMAFLLNLAIDFGKRGENFQDKAAQLASRPQPFNSVVISAHPGCLLKFLLNDVVALATAPSHYAQNNFLANVVTREGVDRFSAAVLCRENFGGGEVSARFILFEELDGLVERPEQGEAQLADKLHESLQQGLQSTGPVSFPVVTRGYEGLTLRLMPDDFLESSYNIALLALTVCTLPVLVQAAVMKWERWSYAAKKLFMAWFQQCHLALGTNLKVATREEEILAGIGDSPDMAGCLNSDVAWDSARREHQYQLAPLQIAQIDMSSDVLKGVRLFVEEMTTISAGFSAIGLEKQKKELKAFLGEFRHTSERPLIFSLEAGASSELTANPVHHHVLQSIDEPNCHPEKVLTMHYGAGYKQKLFLSSGCCQLEGKAMIAIRDGLLDAEDMFHTTLVITRDSKQRQLLDWIARRSIADMGSTPEDLILVKSGAILAIGISLKRRMGGSLFRSRSNWKDELGIIHLMQKVEEPCKQRSLGKLQAYFYLHEAVTLNDFLIDHQPCLGLSKRIQVMDQSIEYGSIYARGSTPPFLGTLMSLTTTKGAGNHGLLVTIQGEYLNLNLDRVAARDKNGVRFVKSLHKIKIGAVLDEPEAEFYENRLAKEPDSDEEEKGAVARPKGCWYSPMIFFYWPQPVGFQGPFVAEMYWTVLGYLVSDLLLMGLVQGFCFDDDVNVPSLLDRWQIGMGKAEFKGILQAGMAMAVNSLLCSCLKQSLTMWNYRPAVFFYPIYTFFYLFGGFAAAMNAKSFFTSVMFSFSITSIAFCLLFALVLSPDSRSLVAVNPKVKVCFLLTMFSAAILLFLFFLLFWASWHLWSSLGMMRMYEKLRREKEQVVARAITLATYTFSLLLLLPLQYQIAVLFPDAIFPPYPFRKITVTLRQFLQRTAADAHYEMIARDVAHQVALFGERIYGPEGGDPSTPERPGPNPFLPFLSTTHWGETEKLFFSGTQTWMYNRRTYSFRLHYKVALPLPEKSHNFPHEFVVAALVSSSCNDYRIYDEFDKESPFGRVRMNIVLARRVTETVTKAADSHSPIYALEWTDGPPPFTFFLPLEQISQGPYITANPVNESQIKLRLWILIGSFLLPLFLELVTVLVKRKQLTYNKWLLLALQRLVAM
+>sp|Q8WWZ4|ABCAA_HUMAN ATP-binding cassette sub-family A member 10 OS=Homo sapiens OX=9606 GN=ABCA10 PE=2 SV=3
+MNKMALASFMKGRTVIGTPDEETMDIELPKKYHEMVGVIFSDTFSYRLKFNWGYRIPVIKEHSEYTEHCWAMHGEIFCYLAKYWLKGFVAFQAAINAAIIEVTTNHSVMEELTSVIGINMKIPPFISKGEIMNEWFHFTCLVSFSSFIYFASLNVARERGKFKKLMTVMGLRESAFWLSWGLTYICFIFIMSIFMALVITSIPIVFHTGFMVIFTLYSLYGLSLIALAFLMSVLIRKPMLAGLAGFLFTVFWGCLGFTVLYRQLPLSLGWVLSLLSPFAFTAGMAQITHLDNYLSGVIFPDPSGDSYKMIATFFILAFDTLFYLIFTLYFERVLPDKDGHGDSPLFFLKSSFWSKHQNTHHEIFENEINPEHSSDDSFEPVSPEFHGKEAIRIRNVIKEYNGKTGKVEALQGIFFDIYEGQITAILGHNGAGKSTLLNILSGLSVSTEGSATIYNTQLSEITDMEEIRKNIGFCPQFNFQFDFLTVRENLRVFAKIKGIQPKEVEQEVKRIIMELDMQSIQDIIAKKLSGGQKRKLTLGIAILGDPQVLLLDEPTAGLDPFSRHRVWSLLKEHKVDRLILFSTQFMDEADILADRKVFLSNGKLKCAGSSLFLKRKWGIGYHLSLHRNEMCDTEKITSLIKQHIPDAKLTTESEEKLVYSLPLEKTNKFPDLYSDLDKCSDQGIRNYAVSVTSLNEVFLNLEGKSAIDEPDFDIGKQEKIHVTRNTGDESEMEQVLCSLPETRKAVSSAALWRRQIYAVATLRFLKLRRERRALLCLLLVLGIAFIPIILEKIMYKVTRETHCWEFSPSMYFLSLEQIPKTPLTSLLIVNNTGSNIEDLVHSLKCQDIVLEIDDFRNRNGSDDPSYNGAIIVSGDQKDYRFSVACNTKKLNCFPVLMGIVSNALMGIFNFTELIQMESTSFSRDDIVLDLGFIDGSIFLLLITNCVSPFIGMSSISDYKKNVQSQLWISGLWPSAYWCGQALVDIPLYFLILFSIHLIYYFIFLGFQLSWELMFVLVVCIIGCAVSLIFLTYVLSFIFRKWRKNNGFWSFGFFIILICVSTIMVSTQYEKLNLILCMIFIPSFTLLGYVMLLIQLDFMRNLDSLDNRINEVNKTILLTTLIPYLQSVIFLFVIRCLEMKYGNEIMNKDPVFRISPRSRETHPNPEEPEEEDEDVQAERVQAANALTAPNLEEEPVITASCLHKEYYETKKSCFSTRKKKIAIRNVSFCVKKGEVLGLLGHNGAGKSTSIKMITGCTKPTAGVVVLQGSRASVRQQHDNSLKFLGYCPQENSLWPKLTMKEHLELYAAVKGLGKEDAALSISRLVEALKLQEQLKAPVKTLSEGIKRKLCFVLSILGNPSVVLLDEPFTGMDPEGQQQMWQILQATVKNKERGTLLTTHYMSEAEAVCDRMAMMVSGTLRCIGSIQHLKNKFGRDYLLEIKMKEPTQVEALHTEILKLFPQAAWQERYSSLMAYKLPVEDVHPLSRAFFKLEAMKQTFNLEEYSLSQATLEQVFLELCKEQELGNVDDKIDTTVEWKLLPQEDP
+>DECOY_sp|Q8WWZ4|ABCAA_HUMAN ATP-binding cassette sub-family A member 10 OS=Homo sapiens OX=9606 GN=ABCA10 PE=2 SV=3
+PDEQPLLKWEVTTDIKDDVNGLEQEKCLELFVQELTAQSLSYEELNFTQKMAELKFFARSLPHVDEVPLKYAMLSSYREQWAAQPFLKLIETHLAEVQTPEKMKIELLYDRGFKNKLHQISGICRLTGSVMMAMRDCVAEAESMYHTTLLTGREKNKVTAQLIQWMQQQGEPDMGTFPEDLLVVSPNGLISLVFCLKRKIGESLTKVPAKLQEQLKLAEVLRSISLAADEKGLGKVAAYLELHEKMTLKPWLSNEQPCYGLFKLSNDHQQRVSARSGQLVVVGATPKTCGTIMKISTSKGAGNHGLLGLVEGKKVCFSVNRIAIKKKRTSFCSKKTEYYEKHLCSATIVPEEELNPATLANAAQVREAQVDEDEEEPEEPNPHTERSRPSIRFVPDKNMIENGYKMELCRIVFLFIVSQLYPILTTLLITKNVENIRNDLSDLNRMFDLQILLMVYGLLTFSPIFIMCLILNLKEYQTSVMITSVCILIIFFGFSWFGNNKRWKRFIFSLVYTLFILSVACGIICVVLVFMLEWSLQFGLFIFYYILHISFLILFYLPIDVLAQGCWYASPWLGSIWLQSQVNKKYDSISSMGIFPSVCNTILLLFISGDIFGLDLVIDDRSFSTSEMQILETFNFIGMLANSVIGMLVPFCNLKKTNCAVSFRYDKQDGSVIIAGNYSPDDSGNRNRFDDIELVIDQCKLSHVLDEINSGTNNVILLSTLPTKPIQELSLFYMSPSFEWCHTERTVKYMIKELIIPIFAIGLVLLLCLLARRERRLKLFRLTAVAYIQRRWLAASSVAKRTEPLSCLVQEMESEDGTNRTVHIKEQKGIDFDPEDIASKGELNLFVENLSTVSVAYNRIGQDSCKDLDSYLDPFKNTKELPLSYVLKEESETTLKADPIHQKILSTIKETDCMENRHLSLHYGIGWKRKLFLSSGACKLKGNSLFVKRDALIDAEDMFQTSFLILRDVKHEKLLSWVRHRSFPDLGATPEDLLLVQPDGLIAIGLTLKRKQGGSLKKAIIDQISQMDLEMIIRKVEQEVEKPQIGKIKAFVRLNERVTLFDFQFNFQPCFGINKRIEEMDTIESLQTNYITASGETSVSLGSLINLLTSKGAGNHGLIATIQGEYIDFFIGQLAEVKGTKGNYEKIVNRIRIAEKGHFEPSVPEFSDDSSHEPNIENEFIEHHTNQHKSWFSSKLFFLPSDGHGDKDPLVREFYLTFILYFLTDFALIFFTAIMKYSDGSPDPFIVGSLYNDLHTIQAMGATFAFPSLLSLVWGLSLPLQRYLVTFGLCGWFVTFLFGALGALMPKRILVSMLFALAILSLGYLSYLTFIVMFGTHFVIPISTIVLAMFISMIFIFCIYTLGWSLWFASERLGMVTMLKKFKGRERAVNLSAFYIFSSFSVLCTFHFWENMIEGKSIFPPIKMNIGIVSTLEEMVSHNTTVEIIAANIAAQFAVFGKLWYKALYCFIEGHMAWCHETYESHEKIVPIRYGWNFKLRYSFTDSFIVGVMEHYKKPLEIDMTEEDPTGIVTRGKMFSALAMKNM
+>sp|Q9NP58|ABCB6_HUMAN ATP-binding cassette sub-family B member 6, mitochondrial OS=Homo sapiens OX=9606 GN=ABCB6 PE=1 SV=1
+MVTVGNYCEAEGPVGPAWMQDGLSPCFFFTLVPSTRMALGTLALVLALPCRRRERPAGADSLSWGAGPRISPYVLQLLLATLQAALPLAGLAGRVGTARGAPLPSYLLLASVLESLAGACGLWLLVVERSQARQRLAMGIWIKFRHSPGLLLLWTVAFAAENLALVSWNSPQWWWARADLGQQVQFSLWVLRYVVSGGLFVLGLWAPGLRPQSYTLQVHEEDQDVERSQVRSAAQQSTWRDFGRKLRLLSGYLWPRGSPALQLVVLICLGLMGLERALNVLVPIFYRNIVNLLTEKAPWNSLAWTVTSYVFLKFLQGGGTGSTGFVSNLRTFLWIRVQQFTSRRVELLIFSHLHELSLRWHLGRRTGEVLRIADRGTSSVTGLLSYLVFNVIPTLADIIIGIIYFSMFFNAWFGLIVFLCMSLYLTLTIVVTEWRTKFRRAMNTQENATRARAVDSLLNFETVKYYNAESYEVERYREAIIKYQGLEWKSSASLVLLNQTQNLVIGLGLLAGSLLCAYFVTEQKLQVGDYVLFGTYIIQLYMPLNWFGTYYRMIQTNFIDMENMFDLLKEETEVKDLPGAGPLRFQKGRIEFENVHFSYADGRETLQDVSFTVMPGQTLALVGPSGAGKSTILRLLFRFYDISSGCIRIDGQDISQVTQASLRSHIGVVPQDTVLFNDTIADNIRYGRVTAGNDEVEAAAQAAGIHDAIMAFPEGYRTQVGERGLKLSGGEKQRVAIARTILKAPGIILLDEATSALDTSNERAIQASLAKVCANRTTIVVAHRLSTVVNADQILVIKDGCIVERGRHEALLSRGGVYADMWQLQQGQEETSEDTKPQTMER
+>DECOY_sp|Q9NP58|ABCB6_HUMAN ATP-binding cassette sub-family B member 6, mitochondrial OS=Homo sapiens OX=9606 GN=ABCB6 PE=1 SV=1
+REMTQPKTDESTEEQGQQLQWMDAYVGGRSLLAEHRGREVICGDKIVLIQDANVVTSLRHAVVITTRNACVKALSAQIARENSTDLASTAEDLLIIGPAKLITRAIAVRQKEGGSLKLGREGVQTRYGEPFAMIADHIGAAQAAAEVEDNGATVRGYRINDAITDNFLVTDQPVVGIHSRLSAQTVQSIDQGDIRICGSSIDYFRFLLRLITSKGAGSPGVLALTQGPMVTFSVDQLTERGDAYSFHVNEFEIRGKQFRLPGAGPLDKVETEEKLLDFMNEMDIFNTQIMRYYTGFWNLPMYLQIIYTGFLVYDGVQLKQETVFYACLLSGALLGLGIVLNQTQNLLVLSASSKWELGQYKIIAERYREVEYSEANYYKVTEFNLLSDVARARTANEQTNMARRFKTRWETVVITLTLYLSMCLFVILGFWANFFMSFYIIGIIIDALTPIVNFVLYSLLGTVSSTGRDAIRLVEGTRRGLHWRLSLEHLHSFILLEVRRSTFQQVRIWLFTRLNSVFGTSGTGGGQLFKLFVYSTVTWALSNWPAKETLLNVINRYFIPVLVNLARELGMLGLCILVVLQLAPSGRPWLYGSLLRLKRGFDRWTSQQAASRVQSREVDQDEEHVQLTYSQPRLGPAWLGLVFLGGSVVYRLVWLSFQVQQGLDARAWWWQPSNWSVLALNEAAFAVTWLLLLGPSHRFKIWIGMALRQRAQSREVVLLWLGCAGALSELVSALLLYSPLPAGRATGVRGALGALPLAAQLTALLLQLVYPSIRPGAGWSLSDAGAPRERRRCPLALVLALTGLAMRTSPVLTFFFCPSLGDQMWAPGVPGEAECYNGVTVM
+>sp|Q9NUJ1|ABHDA_HUMAN Mycophenolic acid acyl-glucuronide esterase, mitochondrial OS=Homo sapiens OX=9606 GN=ABHD10 PE=1 SV=1
+MAVARLAAVAAWVPCRSWGWAAVPFGPHRGLSVLLARIPQRAPRWLPACRQKTSLSFLNRPDLPNLAYKKLKGKSPGIIFIPGYLSYMNGTKALAIEEFCKSLGHACIRFDYSGVGSSDGNSEESTLGKWRKDVLSIIDDLADGPQILVGSSLGGWLMLHAAIARPEKVVALIGVATAADTLVTKFNQLPVELKKEVEMKGVWSMPSKYSEEGVYNVQYSFIKEAEHHCLLHSPIPVNCPIRLLHGMKDDIVPWHTSMQVADRVLSTDVDVILRKHSDHRMREKADIQLLVYTIDDLIDKLSTIVN
+>DECOY_sp|Q9NUJ1|ABHDA_HUMAN Mycophenolic acid acyl-glucuronide esterase, mitochondrial OS=Homo sapiens OX=9606 GN=ABHD10 PE=1 SV=1
+NVITSLKDILDDITYVLLQIDAKERMRHDSHKRLIVDVDTSLVRDAVQMSTHWPVIDDKMGHLLRIPCNVPIPSHLLCHHEAEKIFSYQVNYVGEESYKSPMSWVGKMEVEKKLEVPLQNFKTVLTDAATAVGILAVVKEPRAIAAHLMLWGGLSSGVLIQPGDALDDIISLVDKRWKGLTSEESNGDSSGVGSYDFRICAHGLSKCFEEIALAKTGNMYSLYGPIFIIGPSKGKLKKYALNPLDPRNLFSLSTKQRCAPLWRPARQPIRALLVSLGRHPGFPVAAWGWSRCPVWAAVAALRAVAM
+>sp|Q7L211|ABHDD_HUMAN Protein ABHD13 OS=Homo sapiens OX=9606 GN=ABHD13 PE=2 SV=1
+MEKSWMLWNFVERWLIALASWSWALCRISLLPLIVTFHLYGGIILLLLIFISIAGILYKFQDVLLYFPEQPSSSRLYVPMPTGIPHENIFIRTKDGIRLNLILIRYTGDNSPYSPTIIYFHGNAGNIGHRLPNALLMLVNLKVNLLLVDYRGYGKSEGEASEEGLYLDSEAVLDYVMTRPDLDKTKIFLFGRSLGGAVAIHLASENSHRISAIMVENTFLSIPHMASTLFSFFPMRYLPLWCYKNKFLSYRKISQCRMPSLFISGLSDQLIPPVMMKQLYELSPSRTKRLAIFPDGTHNDTWQCQGYFTALEQFIKEVVKSHSPEEMAKTSSNVTII
+>DECOY_sp|Q7L211|ABHDD_HUMAN Protein ABHD13 OS=Homo sapiens OX=9606 GN=ABHD13 PE=2 SV=1
+IITVNSSTKAMEEPSHSKVVEKIFQELATFYGQCQWTDNHTGDPFIALRKTRSPSLEYLQKMMVPPILQDSLGSIFLSPMRCQSIKRYSLFKNKYCWLPLYRMPFFSFLTSAMHPISLFTNEVMIASIRHSNESALHIAVAGGLSRGFLFIKTKDLDPRTMVYDLVAESDLYLGEESAEGESKGYGRYDVLLLNVKLNVLMLLANPLRHGINGANGHFYIITPSYPSNDGTYRILILNLRIGDKTRIFINEHPIGTPMPVYLRSSSPQEPFYLLVDQFKYLIGAISIFILLLLIIGGYLHFTVILPLLSIRCLAWSWSALAILWREVFNWLMWSKEM
+>sp|P00519|ABL1_HUMAN Tyrosine-protein kinase ABL1 OS=Homo sapiens OX=9606 GN=ABL1 PE=1 SV=4
+MLEICLKLVGCKSKKGLSSSSSCYLEEALQRPVASDFEPQGLSEAARWNSKENLLAGPSENDPNLFVALYDFVASGDNTLSITKGEKLRVLGYNHNGEWCEAQTKNGQGWVPSNYITPVNSLEKHSWYHGPVSRNAAEYLLSSGINGSFLVRESESSPGQRSISLRYEGRVYHYRINTASDGKLYVSSESRFNTLAELVHHHSTVADGLITTLHYPAPKRNKPTVYGVSPNYDKWEMERTDITMKHKLGGGQYGEVYEGVWKKYSLTVAVKTLKEDTMEVEEFLKEAAVMKEIKHPNLVQLLGVCTREPPFYIITEFMTYGNLLDYLRECNRQEVNAVVLLYMATQISSAMEYLEKKNFIHRDLAARNCLVGENHLVKVADFGLSRLMTGDTYTAHAGAKFPIKWTAPESLAYNKFSIKSDVWAFGVLLWEIATYGMSPYPGIDLSQVYELLEKDYRMERPEGCPEKVYELMRACWQWNPSDRPSFAEIHQAFETMFQESSISDEVEKELGKQGVRGAVSTLLQAPELPTKTRTSRRAAEHRDTTDVPEMPHSKGQGESDPLDHEPAVSPLLPRKERGPPEGGLNEDERLLPKDKKTNLFSALIKKKKKTAPTPPKRSSSFREMDGQPERRGAGEEEGRDISNGALAFTPLDTADPAKSPKPSNGAGVPNGALRESGGSGFRSPHLWKKSSTLTSSRLATGEEEGGGSSSKRFLRSCSASCVPHGAKDTEWRSVTLPRDLQSTGRQFDSSTFGGHKSEKPALPRKRAGENRSDQVTRGTVTPPPRLVKKNEEAADEVFKDIMESSPGSSPPNLTPKPLRRQVTVAPASGLPHKEEAGKGSALGTPAAAEPVTPTSKAGSGAPGGTSKGPAEESRVRRHKHSSESPGRDKGKLSRLKPAPPPPPAASAGKAGGKPSQSPSQEAAGEAVLGAKTKATSLVDAVNSDAAKPSQPGEGLKKPVLPATPKPQSAKPSGTPISPAPVPSTLPSASSALAGDQPSSTAFIPLISTRVSLRKTRQPPERIASGAITKGVVLDSTEALCLAISRNSEQMASHSAVLEAGKNLYTFCVSYVDSIQQMRNKFAFREAINKLENNLRELQICPATAGSGPAATQDFSKLLSSVKEISDIVQR
+>DECOY_sp|P00519|ABL1_HUMAN Tyrosine-protein kinase ABL1 OS=Homo sapiens OX=9606 GN=ABL1 PE=1 SV=4
+RQVIDSIEKVSSLLKSFDQTAAPGSGATAPCIQLERLNNELKNIAERFAFKNRMQQISDVYSVCFTYLNKGAELVASHSAMQESNRSIALCLAETSDLVVGKTIAGSAIREPPQRTKRLSVRTSILPIFATSSPQDGALASSASPLTSPVPAPSIPTGSPKASQPKPTAPLVPKKLGEGPQSPKAADSNVADVLSTAKTKAGLVAEGAAEQSPSQSPKGGAKGASAAPPPPPAPKLRSLKGKDRGPSESSHKHRRVRSEEAPGKSTGGPAGSGAKSTPTVPEAAAPTGLASGKGAEEKHPLGSAPAVTVQRRLPKPTLNPPSSGPSSEMIDKFVEDAAEENKKVLRPPPTVTGRTVQDSRNEGARKRPLAPKESKHGGFTSSDFQRGTSQLDRPLTVSRWETDKAGHPVCSASCSRLFRKSSSGGGEEEGTALRSSTLTSSKKWLHPSRFGSGGSERLAGNPVGAGNSPKPSKAPDATDLPTFALAGNSIDRGEEEGAGRREPQGDMERFSSSRKPPTPATKKKKKILASFLNTKKDKPLLREDENLGGEPPGREKRPLLPSVAPEHDLPDSEGQGKSHPMEPVDTTDRHEAARRSTRTKTPLEPAQLLTSVAGRVGQKGLEKEVEDSISSEQFMTEFAQHIEAFSPRDSPNWQWCARMLEYVKEPCGEPREMRYDKELLEYVQSLDIGPYPSMGYTAIEWLLVGFAWVDSKISFKNYALSEPATWKIPFKAGAHATYTDGTMLRSLGFDAVKVLHNEGVLCNRAALDRHIFNKKELYEMASSIQTAMYLLVVANVEQRNCERLYDLLNGYTMFETIIYFPPERTCVGLLQVLNPHKIEKMVAAEKLFEEVEMTDEKLTKVAVTLSYKKWVGEYVEGYQGGGLKHKMTIDTREMEWKDYNPSVGYVTPKNRKPAPYHLTTILGDAVTSHHHVLEALTNFRSESSVYLKGDSATNIRYHYVRGEYRLSISRQGPSSESERVLFSGNIGSSLLYEAANRSVPGHYWSHKELSNVPTIYNSPVWGQGNKTQAECWEGNHNYGLVRLKEGKTISLTNDGSAVFDYLAVFLNPDNESPGALLNEKSNWRAAESLGQPEFDSAVPRQLAEELYCSSSSSLGKKSKCGVLKLCIELM
+>sp|O00763|ACACB_HUMAN Acetyl-CoA carboxylase 2 OS=Homo sapiens OX=9606 GN=ACACB PE=1 SV=3
+MVLLLCLSCLIFSCLTFSWLKIWGKMTDSKPITKSKSEANLIPSQEPFPASDNSGETPQRNGEGHTLPKTPSQAEPASHKGPKDAGRRRNSLPPSHQKPPRNPLSSSDAAPSPELQANGTGTQGLEATDTNGLSSSARPQGQQAGSPSKEDKKQANIKRQLMTNFILGSFDDYSSDEDSVAGSSRESTRKGSRASLGALSLEAYLTTGEAETRVPTMRPSMSGLHLVKRGREHKKLDLHRDFTVASPAEFVTRFGGDRVIEKVLIANNGIAAVKCMRSIRRWAYEMFRNERAIRFVVMVTPEDLKANAEYIKMADHYVPVPGGPNNNNYANVELIVDIAKRIPVQAVWAGWGHASENPKLPELLCKNGVAFLGPPSEAMWALGDKIASTVVAQTLQVPTLPWSGSGLTVEWTEDDLQQGKRISVPEDVYDKGCVKDVDEGLEAAERIGFPLMIKASEGGGGKGIRKAESAEDFPILFRQVQSEIPGSPIFLMKLAQHARHLEVQILADQYGNAVSLFGRDCSIQRRHQKIVEEAPATIAPLAIFEFMEQCAIRLAKTVGYVSAGTVEYLYSQDGSFHFLELNPRLQVEHPCTEMIADVNLPAAQLQIAMGVPLHRLKDIRLLYGESPWGVTPISFETPSNPPLARGHVIAARITSENPDEGFKPSSGTVQELNFRSSKNVWGYFSVAATGGLHEFADSQFGHCFSWGENREEAISNMVVALKELSIRGDFRTTVEYLINLLETESFQNNDIDTGWLDYLIAEKVQAEKPDIMLGVVCGALNVADAMFRTCMTDFLHSLERGQVLPADSLLNLVDVELIYGGVKYILKVARQSLTMFVLIMNGCHIEIDAHRLNDGGLLLSYNGNSYTTYMKEEVDSYRITIGNKTCVFEKENDPTVLRSPSAGKLTQYTVEDGGHVEAGSSYAEMEVMKMIMTLNVQERGRVKYIKRPGAVLEAGCVVARLELDDPSKVHPAEPFTGELPAQQTLPILGEKLHQVFHSVLENLTNVMSGFCLPEPVFSIKLKEWVQKLMMTLRHPSLPLLELQEIMTSVAGRIPAPVEKSVRRVMAQYASNITSVLCQFPSQQIATILDCHAATLQRKADREVFFINTQSIVQLVQRYRSGIRGYMKTVVLDLLRRYLRVEHHFQQAHYDKCVINLREQFKPDMSQVLDCIFSHAQVAKKNQLVIMLIDELCGPDPSLSDELISILNELTQLSKSEHCKVALRARQILIASHLPSYELRHNQVESIFLSAIDMYGHQFCPENLKKLILSETTIFDVLPTFFYHANKVVCMASLEVYVRRGYIAYELNSLQHRQLPDGTCVVEFQFMLPSSHPNRMTVPISITNPDLLRHSTELFMDSGFSPLCQRMGAMVAFRRFEDFTRNFDEVISCFANVPKDTPLFSEARTSLYSEDDCKSLREEPIHILNVSIQCADHLEDEALVPILRTFVQSKKNILVDYGLRRITFLIAQEKEFPKFFTFRARDEFAEDRIYRHLEPALAFQLELNRMRNFDLTAVPCANHKMHLYLGAAKVKEGVEVTDHRFFIRAIIRHSDLITKEASFEYLQNEGERLLLEAMDELEVAFNNTSVRTDCNHIFLNFVPTVIMDPFKIEESVRYMVMRYGSRLWKLRVLQAEVKINIRQTTTGSAVPIRLFITNESGYYLDISLYKEVTDSRSGNIMFHSFGNKQGPQHGMLINTPYVTKDLLQAKRFQAQTLGTTYIYDFPEMFRQALFKLWGSPDKYPKDILTYTELVLDSQGQLVEMNRLPGGNEVGMVAFKMRFKTQEYPEGRDVIVIGNDITFRIGSFGPGEDLLYLRASEMARAEGIPKIYVAANSGARIGMAEEIKHMFHVAWVDPEDPHKGFKYLYLTPQDYTRISSLNSVHCKHIEEGGESRYMITDIIGKDDGLGVENLRGSGMIAGESSLAYEEIVTISLVTCRAIGIGAYLVRLGQRVIQVENSHIILTGASALNKVLGREVYTSNNQLGGVQIMHYNGVSHITVPDDFEGVYTILEWLSYMPKDNHSPVPIITPTDPIDREIEFLPSRAPYDPRWMLAGRPHPTLKGTWQSGFFDHGSFKEIMAPWAQTVVTGRARLGGIPVGVIAVETRTVEVAVPADPANLDSEAKIIQQAGQVWFPDSAYKTAQAVKDFNREKLPLMIFANWRGFSGGMKDMYDQVLKFGAYIVDGLRQYKQPILIYIPPYAELRGGSWVVIDATINPLCIEMYADKESRGGVLEPEGTVEIKFRKKDLIKSMRRIDPAYKKLMEQLGEPDLSDKDRKDLEGRLKAREDLLLPIYHQVAVQFADFHDTPGRMLEKGVISDILEWKTARTFLYWRLRRLLLEDQVKQEILQASGELSHVHIQSMLRRWFVETEGAVKAYLWDNNQVVVQWLEQHWQAGDGPRSTIRENITYLKHDSVLKTIRGLVEENPEVAVDCVIYLSQHISPAERAQVVHLLSTMDSPAST
+>DECOY_sp|O00763|ACACB_HUMAN Acetyl-CoA carboxylase 2 OS=Homo sapiens OX=9606 GN=ACACB PE=1 SV=3
+TSAPSDMTSLLHVVQAREAPSIHQSLYIVCDVAVEPNEEVLGRITKLVSDHKLYTINERITSRPGDGAQWHQELWQVVVQNNDWLYAKVAGETEVFWRRLMSQIHVHSLEGSAQLIEQKVQDELLLRRLRWYLFTRATKWELIDSIVGKELMRGPTDHFDAFQVAVQHYIPLLLDERAKLRGELDKRDKDSLDPEGLQEMLKKYAPDIRRMSKILDKKRFKIEVTGEPELVGGRSEKDAYMEICLPNITADIVVWSGGRLEAYPPIYILIPQKYQRLGDVIYAGFKLVQDYMDKMGGSFGRWNAFIMLPLKERNFDKVAQATKYASDPFWVQGAQQIIKAESDLNAPDAPVAVEVTRTEVAIVGVPIGGLRARGTVVTQAWPAMIEKFSGHDFFGSQWTGKLTPHPRGALMWRPDYPARSPLFEIERDIPDTPTIIPVPSHNDKPMYSLWELITYVGEFDDPVTIHSVGNYHMIQVGGLQNNSTYVERGLVKNLASAGTLIIHSNEVQIVRQGLRVLYAGIGIARCTVLSITVIEEYALSSEGAIMGSGRLNEVGLGDDKGIIDTIMYRSEGGEEIHKCHVSNLSSIRTYDQPTLYLYKFGKHPDEPDVWAVHFMHKIEEAMGIRAGSNAAVYIKPIGEARAMESARLYLLDEGPGFSGIRFTIDNGIVIVDRGEPYEQTKFRMKFAVMGVENGGPLRNMEVLQGQSDLVLETYTLIDKPYKDPSGWLKFLAQRFMEPFDYIYTTGLTQAQFRKAQLLDKTVYPTNILMGHQPGQKNGFSHFMINGSRSDTVEKYLSIDLYYGSENTIFLRIPVASGTTTQRINIKVEAQLVRLKWLRSGYRMVMYRVSEEIKFPDMIVTPVFNLFIHNCDTRVSTNNFAVELEDMAELLLREGENQLYEFSAEKTILDSHRIIARIFFRHDTVEVGEKVKAAGLYLHMKHNACPVATLDFNRMRNLELQFALAPELHRYIRDEAFEDRARFTFFKPFEKEQAILFTIRRLGYDVLINKKSQVFTRLIPVLAEDELHDACQISVNLIHIPEERLSKCDDESYLSTRAESFLPTDKPVNAFCSIVEDFNRTFDEFRRFAVMAGMRQCLPSFGSDMFLETSHRLLDPNTISIPVTMRNPHSSPLMFQFEVVCTGDPLQRHQLSNLEYAIYGRRVYVELSAMCVVKNAHYFFTPLVDFITTESLILKKLNEPCFQHGYMDIASLFISEVQNHRLEYSPLHSAILIQRARLAVKCHESKSLQTLENLISILEDSLSPDPGCLEDILMIVLQNKKAVQAHSFICDLVQSMDPKFQERLNIVCKDYHAQQFHHEVRLYRRLLDLVVTKMYGRIGSRYRQVLQVISQTNIFFVERDAKRQLTAAHCDLITAIQQSPFQCLVSTINSAYQAMVRRVSKEVPAPIRGAVSTMIEQLELLPLSPHRLTMMLKQVWEKLKISFVPEPLCFGSMVNTLNELVSHFVQHLKEGLIPLTQQAPLEGTFPEAPHVKSPDDLELRAVVCGAELVAGPRKIYKVRGREQVNLTMIMKMVEMEAYSSGAEVHGGDEVTYQTLKGASPSRLVTPDNEKEFVCTKNGITIRYSDVEEKMYTTYSNGNYSLLLGGDNLRHADIEIHCGNMILVFMTLSQRAVKLIYKVGGYILEVDVLNLLSDAPLVQGRELSHLFDTMCTRFMADAVNLAGCVVGLMIDPKEAQVKEAILYDLWGTDIDNNQFSETELLNILYEVTTRFDGRISLEKLAVVMNSIAEERNEGWSFCHGFQSDAFEHLGGTAAVSFYGWVNKSSRFNLEQVTGSSPKFGEDPNESTIRAAIVHGRALPPNSPTEFSIPTVGWPSEGYLLRIDKLRHLPVGMAIQLQAAPLNVDAIMETCPHEVQLRPNLELFHFSGDQSYLYEVTGASVYGVTKALRIACQEMFEFIALPAITAPAEEVIKQHRRQISCDRGFLSVANGYQDALIQVELHRAHQALKMLFIPSGPIESQVQRFLIPFDEASEAKRIGKGGGGESAKIMLPFGIREAAELGEDVDKVCGKDYVDEPVSIRKGQQLDDETWEVTLGSGSWPLTPVQLTQAVVTSAIKDGLAWMAESPPGLFAVGNKCLLEPLKPNESAHGWGAWVAQVPIRKAIDVILEVNAYNNNNPGGPVPVYHDAMKIYEANAKLDEPTVMVVFRIARENRFMEYAWRRISRMCKVAAIGNNAILVKEIVRDGGFRTVFEAPSAVTFDRHLDLKKHERGRKVLHLGSMSPRMTPVRTEAEGTTLYAELSLAGLSARSGKRTSERSSGAVSDEDSSYDDFSGLIFNTMLQRKINAQKKDEKSPSGAQQGQPRASSSLGNTDTAELGQTGTGNAQLEPSPAADSSSLPNRPPKQHSPPLSNRRRGADKPGKHSAPEAQSPTKPLTHGEGNRQPTEGSNDSAPFPEQSPILNAESKSKTIPKSDTMKGWIKLWSFTLCSFILCSLCLLLVM
+>sp|Q15027|ACAP1_HUMAN Arf-GAP with coiled-coil, ANK repeat and PH domain-containing protein 1 OS=Homo sapiens OX=9606 GN=ACAP1 PE=1 SV=1
+MTVKLDFEECLKDSPRFRASIELVEAEVSELETRLEKLLKLGTGLLESGRHYLAASRAFVVGICDLARLGPPEPMMAECLEKFTVSLNHKLDSHAELLDATQHTLQQQIQTLVKEGLRGFREARRDFWRGAESLEAALTHNAEVPRRRAQEAEEAGAALRTARAGYRGRALDYALQINVIEDKRKFDIMEFVLRLVEAQATHFQQGHEELSRLSQYRKELGAQLHQLVLNSAREKRDMEQRHVLLKQKELGGEEPEPSLREGPGGLVMEGHLFKRASNAFKTWSRRWFTIQSNQLVYQKKYKDPVTVVVDDLRLCTVKLCPDSERRFCFEVVSTSKSCLLQADSERLLQLWVSAVQSSIASAFSQARLDDSPRGPGQGSGHLAIGSAATLGSGGMARGREPGGVGHVVAQVQSVDGNAQCCDCREPAPEWASINLGVTLCIQCSGIHRSLGVHFSKVRSLTLDSWEPELVKLMCELGNVIINQIYEARVEAMAVKKPGPSCSRQEKEAWIHAKYVEKKFLTKLPEIRGRRGGRGRPRGQPPVPPKPSIRPRPGSLRSKPEPPSEDLGSLHPGALLFRASGHPPSLPTMADALAHGADVNWVNGGQDNATPLIQATAANSLLACEFLLQNGANVNQADSAGRGPLHHATILGHTGLACLFLKRGADLGARDSEGRDPLTIAMETANADIVTLLRLAKMREAEAAQGQAGDETYLDIFRDFSLMASDDPEKLSRRSHDLHTL
+>DECOY_sp|Q15027|ACAP1_HUMAN Arf-GAP with coiled-coil, ANK repeat and PH domain-containing protein 1 OS=Homo sapiens OX=9606 GN=ACAP1 PE=1 SV=1
+LTHLDHSRRSLKEPDDSAMLSFDRFIDLYTEDGAQGQAAEAERMKALRLLTVIDANATEMAITLPDRGESDRAGLDAGRKLFLCALGTHGLITAHHLPGRGASDAQNVNAGNQLLFECALLSNAATAQILPTANDQGGNVWNVDAGHALADAMTPLSPPHGSARFLLAGPHLSGLDESPPEPKSRLSGPRPRISPKPPVPPQGRPRGRGGRRGRIEPLKTLFKKEVYKAHIWAEKEQRSCSPGPKKVAMAEVRAEYIQNIIVNGLECMLKVLEPEWSDLTLSRVKSFHVGLSRHIGSCQICLTVGLNISAWEPAPERCDCCQANGDVSQVQAVVHGVGGPERGRAMGGSGLTAASGIALHGSGQGPGRPSDDLRAQSFASAISSQVASVWLQLLRESDAQLLCSKSTSVVEFCFRRESDPCLKVTCLRLDDVVVTVPDKYKKQYVLQNSQITFWRRSWTKFANSARKFLHGEMVLGGPGERLSPEPEEGGLEKQKLLVHRQEMDRKERASNLVLQHLQAGLEKRYQSLRSLEEHGQQFHTAQAEVLRLVFEMIDFKRKDEIVNIQLAYDLARGRYGARATRLAAGAEEAEQARRRPVEANHTLAAELSEAGRWFDRRAERFGRLGEKVLTQIQQQLTHQTADLLEAHSDLKHNLSVTFKELCEAMMPEPPGLRALDCIGVVFARSAALYHRGSELLGTGLKLLKELRTELESVEAEVLEISARFRPSDKLCEEFDLKVTM
+>sp|Q96GR2|ACBG1_HUMAN Long-chain-fatty-acid--CoA ligase ACSBG1 OS=Homo sapiens OX=9606 GN=ACSBG1 PE=2 SV=2
+MPRNSGAGYGCPHGDPSMLDSRETPQESRQDMIVRTTQEKLKTSSLTDRQPLSKESLNHALELSVPEKVNNAQWDAPEEALWTTRADGRVRLRIDPSCPQLPYTVHRMFYEALDKYGDLIALGFKRQDKWEHISYSQYYLLARRAAKGFLKLGLKQAHSVAILGFNSPEWFFSAVGTVFAGGIVTGIYTTSSPEACQYIAYDCCANVIMVDTQKQLEKILKIWKQLPHLKAVVIYKEPPPNKMANVYTMEEFMELGNEVPEEALDAIIDTQQPNQCCVLVYTSGTTGNPKGVMLSQDNITWTARYGSQAGDIRPAEVQQEVVVSYLPLSHIAAQIYDLWTGIQWGAQVCFAEPDALKGSLVNTLREVEPTSHMGVPRVWEKIMERIQEVAAQSGFIRRKMLLWAMSVTLEQNLTCPGSDLKPFTTRLADYLVLAKVRQALGFAKCQKNFYGAAPMMAETQHFFLGLNIRLYAGYGLSETSGPHFMSSPYNYRLYSSGKLVPGCRVKLVNQDAEGIGEICLWGRTIFMGYLNMEDKTCEAIDEEGWLHTGDAGRLDADGFLYITGRLKELIITAGGENVPPVPIEEAVKMELPIISNAMLIGDQRKFLSMLLTLKCTLDPDTSDQTDNLTEQAMEFCQRVGSRATTVSEIIEKKDEAVYQAIEEGIRRVNMNAAARPYHIQKWAILERDFSISGGELGPTMKLKRLTVLEKYKGIIDSFYQEQKM
+>DECOY_sp|Q96GR2|ACBG1_HUMAN Long-chain-fatty-acid--CoA ligase ACSBG1 OS=Homo sapiens OX=9606 GN=ACSBG1 PE=2 SV=2
+MKQEQYFSDIIGKYKELVTLRKLKMTPGLEGGSISFDRELIAWKQIHYPRAAANMNVRRIGEEIAQYVAEDKKEIIESVTTARSGVRQCFEMAQETLNDTQDSTDPDLTCKLTLLMSLFKRQDGILMANSIIPLEMKVAEEIPVPPVNEGGATIILEKLRGTIYLFGDADLRGADGTHLWGEEDIAECTKDEMNLYGMFITRGWLCIEGIGEADQNVLKVRCGPVLKGSSYLRYNYPSSMFHPGSTESLGYGAYLRINLGLFFHQTEAMMPAAGYFNKQCKAFGLAQRVKALVLYDALRTTFPKLDSGPCTLNQELTVSMAWLLMKRRIFGSQAAVEQIREMIKEWVRPVGMHSTPEVERLTNVLSGKLADPEAFCVQAGWQIGTWLDYIQAAIHSLPLYSVVVEQQVEAPRIDGAQSGYRATWTINDQSLMVGKPNGTTGSTYVLVCCQNPQQTDIIADLAEEPVENGLEMFEEMTYVNAMKNPPPEKYIVVAKLHPLQKWIKLIKELQKQTDVMIVNACCDYAIYQCAEPSSTTYIGTVIGGAFVTGVASFFWEPSNFGLIAVSHAQKLGLKLFGKAARRALLYYQSYSIHEWKDQRKFGLAILDGYKDLAEYFMRHVTYPLQPCSPDIRLRVRGDARTTWLAEEPADWQANNVKEPVSLELAHNLSEKSLPQRDTLSSTKLKEQTTRVIMDQRSEQPTERSDLMSPDGHPCGYGAGSNRPM
+>sp|Q5FVE4|ACBG2_HUMAN Long-chain-fatty-acid--CoA ligase ACSBG2 OS=Homo sapiens OX=9606 GN=ACSBG2 PE=1 SV=2
+MTGTPKTQEGAKDLEVDMNKTEVTPRLWTTCRDGEVLLRLSKHGPGHETPMTIPEFFRESVNRFGTYPALASKNGKKWEILNFNQYYEACRKAAKSLIKLGLERFHGVGILGFNSAEWFITAVGAILAGGLCVGIYATNSAEVCQYVITHAKVNILLVENDQQLQKILSIPQSSLEPLKAIIQYRLPMKKNNNLYSWDDFMELGRSIPDTQLEQVIESQKANQCAVLIYTSGTTGIPKGVMLSHDNITWIAGAVTKDFKLTDKHETVVSYLPLSHIAAQMMDIWVPIKIGALTYFAQADALKGTLVSTLKEVKPTVFIGVPQIWEKIHEMVKKNSAKSMGLKKKAFVWARNIGFKVNSKKMLGKYNTPVSYRMAKTLVFSKVKTSLGLDHCHSFISGTAPLNQETAEFFLSLDIPIGELYGLSESSGPHTISNQNNYRLLSCGKILTGCKNMLFQQNKDGIGEICLWGRHIFMGYLESETETTEAIDDEGWLHSGDLGQLDGLGFLYVTGHIKEILITAGGENVPPIPVETLVKKKIPIISNAMLVGDKLKFLSMLLTLKCEMNQMSGEPLDKLNFEAINFCRGLGSQASTVTEIVKQQDPLVYKAIQQGINAVNQEAMNNAQRIEKWVILEKDFSIYGGELGPMMKLKRHFVAQKYKKQIDHMYH
+>DECOY_sp|Q5FVE4|ACBG2_HUMAN Long-chain-fatty-acid--CoA ligase ACSBG2 OS=Homo sapiens OX=9606 GN=ACSBG2 PE=1 SV=2
+HYMHDIQKKYKQAVFHRKLKMMPGLEGGYISFDKELIVWKEIRQANNMAEQNVANIGQQIAKYVLPDQQKVIETVTSAQSGLGRCFNIAEFNLKDLPEGSMQNMECKLTLLMSLFKLKDGVLMANSIIPIKKKVLTEVPIPPVNEGGATILIEKIHGTVYLFGLGDLQGLDGSHLWGEDDIAETTETESELYGMFIHRGWLCIEGIGDKNQQFLMNKCGTLIKGCSLLRYNNQNSITHPGSSESLGYLEGIPIDLSLFFEATEQNLPATGSIFSHCHDLGLSTKVKSFVLTKAMRYSVPTNYKGLMKKSNVKFGINRAWVFAKKKLGMSKASNKKVMEHIKEWIQPVGIFVTPKVEKLTSVLTGKLADAQAFYTLAGIKIPVWIDMMQAAIHSLPLYSVVTEHKDTLKFDKTVAGAIWTINDHSLMVGKPIGTTGSTYILVACQNAKQSEIVQELQTDPISRGLEMFDDWSYLNNNKKMPLRYQIIAKLPELSSQPISLIKQLQQDNEVLLINVKAHTIVYQCVEASNTAYIGVCLGGALIAGVATIFWEASNFGLIGVGHFRELGLKILSKAAKRCAEYYQNFNLIEWKKGNKSALAPYTGFRNVSERFFEPITMPTEHGPGHKSLRLLVEGDRCTTWLRPTVETKNMDVELDKAGEQTKPTGTM
+>sp|P07108|ACBP_HUMAN Acyl-CoA-binding protein OS=Homo sapiens OX=9606 GN=DBI PE=1 SV=2
+MSQAEFEKAAEEVRHLKTKPSDEEMLFIYGHYKQATVGDINTERPGMLDFTGKAKWDAWNELKGTSKEDAMKAYINKVEELKKKYGI
+>DECOY_sp|P07108|ACBP_HUMAN Acyl-CoA-binding protein OS=Homo sapiens OX=9606 GN=DBI PE=1 SV=2
+IGYKKKLEEVKNIYAKMADEKSTGKLENWADWKAKGTFDLMGPRETNIDGVTAQKYHGYIFLMEEDSPKTKLHRVEEAAKEFEAQSM
+>sp|Q16570|ACKR1_HUMAN Atypical chemokine receptor 1 OS=Homo sapiens OX=9606 GN=ACKR1 PE=1 SV=3
+MGNCLHRAELSPSTENSSQLDFEDVWNSSYGVNDSFPDGDYGANLEAAAPCHSCNLLDDSALPFFILTSVLGILASSTVLFMLFRPLFRWQLCPGWPVLAQLAVGSALFSIVVPVLAPGLGSTRSSALCSLGYCVWYGSAFAQALLLGCHASLGHRLGAGQVPGLTLGLTVGIWGVAALLTLPVTLASGASGGLCTLIYSTELKALQATHTVACLAIFVLLPLGLFGAKGLKKALGMGPGPWMNILWAWFIFWWPHGVVLGLDFLVRSKLLLLSTCLAQQALDLLLNLAEALAILHCVATPLLLALFCHQATRTLLPSLPLPEGWSSHLDTLGSKS
+>DECOY_sp|Q16570|ACKR1_HUMAN Atypical chemokine receptor 1 OS=Homo sapiens OX=9606 GN=ACKR1 PE=1 SV=3
+SKSGLTDLHSSWGEPLPLSPLLTRTAQHCFLALLLPTAVCHLIALAEALNLLLDLAQQALCTSLLLLKSRVLFDLGLVVGHPWWFIFWAWLINMWPGPGMGLAKKLGKAGFLGLPLLVFIALCAVTHTAQLAKLETSYILTCLGGSAGSALTVPLTLLAAVGWIGVTLGLTLGPVQGAGLRHGLSAHCGLLLAQAFASGYWVCYGLSCLASSRTSGLGPALVPVVISFLASGVALQALVPWGPCLQWRFLPRFLMFLVTSSALIGLVSTLIFFPLASDDLLNCSHCPAAAELNAGYDGDPFSDNVGYSSNWVDEFDLQSSNETSPSLEARHLCNGM
+>sp|P25106|ACKR3_HUMAN Atypical chemokine receptor 3 OS=Homo sapiens OX=9606 GN=ACKR3 PE=1 SV=3
+MDLHLFDYSEPGNFSDISWPCNSSDCIVVDTVMCPNMPNKSVLLYTLSFIYIFIFVIGMIANSVVVWVNIQAKTTGYDTHCYILNLAIADLWVVLTIPVWVVSLVQHNQWPMGELTCKVTHLIFSINLFGSIFFLTCMSVDRYLSITYFTNTPSSRKKMVRRVVCILVWLLAFCVSLPDTYYLKTVTSASNNETYCRSFYPEHSIKEWLIGMELVSVVLGFAVPFSIIAVFYFLLARAISASSDQEKHSSRKIIFSYVVVFLVCWLPYHVAVLLDIFSILHYIPFTCRLEHALFTALHVTQCLSLVHCCVNPVLYSFINRNYRYELMKAFIFKYSAKTGLTKLIDASRVSETEYSALEQSTK
+>DECOY_sp|P25106|ACKR3_HUMAN Atypical chemokine receptor 3 OS=Homo sapiens OX=9606 GN=ACKR3 PE=1 SV=3
+KTSQELASYETESVRSADILKTLGTKASYKFIFAKMLEYRYNRNIFSYLVPNVCCHVLSLCQTVHLATFLAHELRCTFPIYHLISFIDLLVAVHYPLWCVLFVVVYSFIIKRSSHKEQDSSASIARALLFYFVAIISFPVAFGLVVSVLEMGILWEKISHEPYFSRCYTENNSASTVTKLYYTDPLSVCFALLWVLICVVRRVMKKRSSPTNTFYTISLYRDVSMCTLFFISGFLNISFILHTVKCTLEGMPWQNHQVLSVVWVPITLVVWLDAIALNLIYCHTDYGTTKAQINVWVVVSNAIMGIVFIFIYIFSLTYLLVSKNPMNPCMVTDVVICDSSNCPWSIDSFNGPESYDFLHLDM
+>sp|Q9Y615|ACL7A_HUMAN Actin-like protein 7A OS=Homo sapiens OX=9606 GN=ACTL7A PE=1 SV=1
+MWAPPAAIMGDGPTKKVGNQAPLQTQALQTASLRDGPAKRAVWVRHTSSEPQEPTESKAAKERPKQEVTKAVVVDLGTGYCKCGFAGLPRPTHKISTTVGKPYMETAKTGDNRKETFVGQELNNTNVHLKLVNPLRHGIIVDWDTVQDIWEYLFRQEMKIAPEEHAVLVSDPPLSPHTNREKYAEMLFEAFNTPAMHIAYQSRLSMYSYGRTSGLVVEVGHGVSYVVPIYEGYPLPSITGRLDYAGSDLTAYLLGLLNSAGNEFTQDQMGIVEDIKKKCCFVALDPIEEKKVPLSEHTIRYVLPDGKEIQLCQERFLCSEMFFKPSLIKSMQLGLHTQTVSCLNKCDIALKRDLMGNILLCGGSTMLSGFPNRLQKELSSMCPNDTPQVNVLPERDSAVWTGGSILASLQGFQPLWVHRFEYEEHGPFFLYRRCF
+>DECOY_sp|Q9Y615|ACL7A_HUMAN Actin-like protein 7A OS=Homo sapiens OX=9606 GN=ACTL7A PE=1 SV=1
+FCRRYLFFPGHEEYEFRHVWLPQFGQLSALISGGTWVASDREPLVNVQPTDNPCMSSLEKQLRNPFGSLMTSGGCLLINGMLDRKLAIDCKNLCSVTQTHLGLQMSKILSPKFFMESCLFREQCLQIEKGDPLVYRITHESLPVKKEEIPDLAVFCCKKKIDEVIGMQDQTFENGASNLLGLLYATLDSGAYDLRGTISPLPYGEYIPVVYSVGHGVEVVLGSTRGYSYMSLRSQYAIHMAPTNFAEFLMEAYKERNTHPSLPPDSVLVAHEEPAIKMEQRFLYEWIDQVTDWDVIIGHRLPNVLKLHVNTNNLEQGVFTEKRNDGTKATEMYPKGVTTSIKHTPRPLGAFGCKCYGTGLDVVVAKTVEQKPREKAAKSETPEQPESSTHRVWVARKAPGDRLSATQLAQTQLPAQNGVKKTPGDGMIAAPPAWM
+>sp|P08172|ACM2_HUMAN Muscarinic acetylcholine receptor M2 OS=Homo sapiens OX=9606 GN=CHRM2 PE=1 SV=1
+MNNSTNSSNNSLALTSPYKTFEVVFIVLVAGSLSLVTIIGNILVMVSIKVNRHLQTVNNYFLFSLACADLIIGVFSMNLYTLYTVIGYWPLGPVVCDLWLALDYVVSNASVMNLLIISFDRYFCVTKPLTYPVKRTTKMAGMMIAAAWVLSFILWAPAILFWQFIVGVRTVEDGECYIQFFSNAAVTFGTAIAAFYLPVIIMTVLYWHISRASKSRIKKDKKEPVANQDPVSPSLVQGRIVKPNNNNMPSSDDGLEHNKIQNGKAPRDPVTENCVQGEEKESSNDSTSVSAVASNMRDDEITQDENTVSTSLGHSKDENSKQTCIRIGTKTPKSDSCTPTNTTVEVVGSSGQNGDEKQNIVARKIVKMTKQPAKKKPPPSREKKVTRTILAILLAFIITWAPYNVMVLINTFCAPCIPNTVWTIGYWLCYINSTINPACYALCNATFKKTFKHLLMCHYKNIGATR
+>DECOY_sp|P08172|ACM2_HUMAN Muscarinic acetylcholine receptor M2 OS=Homo sapiens OX=9606 GN=CHRM2 PE=1 SV=1
+RTAGINKYHCMLLHKFTKKFTANCLAYCAPNITSNIYCLWYGITWVTNPICPACFTNILVMVNYPAWTIIFALLIALITRTVKKERSPPPKKKAPQKTMKVIKRAVINQKEDGNQGSSGVVEVTTNTPTCSDSKPTKTGIRICTQKSNEDKSHGLSTSVTNEDQTIEDDRMNSAVASVSTSDNSSEKEEGQVCNETVPDRPAKGNQIKNHELGDDSSPMNNNNPKVIRGQVLSPSVPDQNAVPEKKDKKIRSKSARSIHWYLVTMIIVPLYFAAIATGFTVAANSFFQIYCEGDEVTRVGVIFQWFLIAPAWLIFSLVWAAAIMMGAMKTTRKVPYTLPKTVCFYRDFSIILLNMVSANSVVYDLALWLDCVVPGLPWYGIVTYLTYLNMSFVGIILDACALSFLFYNNVTQLHRNVKISVMVLINGIITVLSLSGAVLVIFVVEFTKYPSTLALSNNSSNTSNNM
+>sp|P20309|ACM3_HUMAN Muscarinic acetylcholine receptor M3 OS=Homo sapiens OX=9606 GN=CHRM3 PE=1 SV=1
+MTLHNNSTTSPLFPNISSSWIHSPSDAGLPPGTVTHFGSYNVSRAAGNFSSPDGTTDDPLGGHTVWQVVFIAFLTGILALVTIIGNILVIVSFKVNKQLKTVNNYFLLSLACADLIIGVISMNLFTTYIIMNRWALGNLACDLWLAIDYVASNASVMNLLVISFDRYFSITRPLTYRAKRTTKRAGVMIGLAWVISFVLWAPAILFWQYFVGKRTVPPGECFIQFLSEPTITFGTAIAAFYMPVTIMTILYWRIYKETEKRTKELAGLQASGTEAETENFVHPTGSSRSCSSYELQQQSMKRSNRRKYGRCHFWFTTKSWKPSSEQMDQDHSSSDSWNNNDAAASLENSASSDEEDIGSETRAIYSIVLKLPGHSTILNSTKLPSSDNLQVPEEELGMVDLERKADKLQAQKSVDDGGSFPKSFSKLPIQLESAVDTAKTSDVNSSVGKSTATLPLSFKEATLAKRFALKTRSQITKRKRMSLVKEKKAAQTLSAILLAFIITWTPYNIMVLVNTFCDSCIPKTFWNLGYWLCYINSTVNPVCYALCNKTFRTTFKMLLLCQCDKKKRRKQQYQQRQSVIFHKRAPEQAL
+>DECOY_sp|P20309|ACM3_HUMAN Muscarinic acetylcholine receptor M3 OS=Homo sapiens OX=9606 GN=CHRM3 PE=1 SV=1
+LAQEPARKHFIVSQRQQYQQKRRKKKDCQCLLLMKFTTRFTKNCLAYCVPNVTSNIYCLWYGLNWFTKPICSDCFTNVLVMINYPTWTIIFALLIASLTQAAKKEKVLSMRKRKTIQSRTKLAFRKALTAEKFSLPLTATSKGVSSNVDSTKATDVASELQIPLKSFSKPFSGGDDVSKQAQLKDAKRELDVMGLEEEPVQLNDSSPLKTSNLITSHGPLKLVISYIARTESGIDEEDSSASNELSAAADNNNWSDSSSHDQDMQESSPKWSKTTFWFHCRGYKRRNSRKMSQQQLEYSSCSRSSGTPHVFNETEAETGSAQLGALEKTRKETEKYIRWYLITMITVPMYFAAIATGFTITPESLFQIFCEGPPVTRKGVFYQWFLIAPAWLVFSIVWALGIMVGARKTTRKARYTLPRTISFYRDFSIVLLNMVSANSAVYDIALWLDCALNGLAWRNMIIYTTFLNMSIVGIILDACALSLLFYNNVTKLQKNVKFSVIVLINGIITVLALIGTLFAIFVVQWVTHGGLPDDTTGDPSSFNGAARSVNYSGFHTVTGPPLGADSPSHIWSSSINPFLPSTTSNNHLTM
+>sp|Q8TDX5|ACMSD_HUMAN 2-amino-3-carboxymuconate-6-semialdehyde decarboxylase OS=Homo sapiens OX=9606 GN=ACMSD PE=1 SV=1
+MKIDIHSHILPKEWPDLKKRFGYGGWVQLQHHSKGEAKLLKDGKVFRVVRENCWDPEVRIREMDQKGVTVQALSTVPVMFSYWAKPEDTLNLCQLLNNDLASTVVSYPRRFVGLGTLPMQAPELAVKEMERCVKELGFPGVQIGTHVNEWDLNAQELFPVYAAAERLKCSLFVHPWDMQMDGRMAKYWLPWLVGMPAETTIAICSMIMGGVFEKFPKLKVCFAHGGGAFPFTVGRISHGFSMRPDLCAQDNPMNPKKYLGSFYTDALVHDPLSLKLLTDVIGKDKVILGTDYPFPLGELEPGKLIESMEEFDEETKNKLKAGNALAFLGLERKQFE
+>DECOY_sp|Q8TDX5|ACMSD_HUMAN 2-amino-3-carboxymuconate-6-semialdehyde decarboxylase OS=Homo sapiens OX=9606 GN=ACMSD PE=1 SV=1
+EFQKRELGLFALANGAKLKNKTEEDFEEMSEILKGPELEGLPFPYDTGLIVKDKGIVDTLLKLSLPDHVLADTYFSGLYKKPNMPNDQACLDPRMSFGHSIRGVTFPFAGGGHAFCVKLKPFKEFVGGMIMSCIAITTEAPMGVLWPLWYKAMRGDMQMDWPHVFLSCKLREAAAYVPFLEQANLDWENVHTGIQVGPFGLEKVCREMEKVALEPAQMPLTGLGVFRRPYSVVTSALDNNLLQCLNLTDEPKAWYSFMVPVTSLAQVTVGKQDMERIRVEPDWCNERVVRFVKGDKLLKAEGKSHHQLQVWGGYGFRKKLDPWEKPLIHSHIDIKM
+>sp|Q8WYK0|ACO12_HUMAN Acyl-coenzyme A thioesterase 12 OS=Homo sapiens OX=9606 GN=ACOT12 PE=1 SV=1
+MERPAPGEVVMSQAIQPAHATARGELSAGQLLKWIDTTACLAAEKHAGVSCVTASVDDIQFEETARVGQVITIKAKVTRAFSTSMEISIKVMVQDMLTGIEKLVSVAFSTFVAKPVGKEKIHLKPVTLLTEQDHVEHNLAAERRKVRLQHEDTFNNLMKESSKFDDLIFDEEEGAVSTRGTSVQSIELVLPPHANHHGNTFGGQIMAWMETVATISASRLCWAHPFLKSVDMFKFRGPSTVGDRLVFTAIVNNTFQTCVEVGVRVEAFDCQEWAEGRGRHINSAFLIYNAADDKENLITFPRIQPISKDDFRRYRGAIARKRIRLGRKYVISHKEEVPLCIHWDISKQASLSDSNVEALKKLAAKRGWEVTSTVEKIKIYTLEEHDVLSVWVEKHVGSPAHLAYRLLSDFTKRPLWDPHFVSCEVIDWVSEDDQLYHITCPILNDDKPKDLVVLVSRRKPLKDGNTYTVAVKSVILPSVPPSPQYIRSEIICAGFLIHAIDSNSCIVSYFNHMSASILPYFAGNLGGWSKSIEETAASCIQFLENPPDDGFVSTF
+>DECOY_sp|Q8WYK0|ACO12_HUMAN Acyl-coenzyme A thioesterase 12 OS=Homo sapiens OX=9606 GN=ACOT12 PE=1 SV=1
+FTSVFGDDPPNELFQICSAATEEISKSWGGLNGAFYPLISASMHNFYSVICSNSDIAHILFGACIIESRIYQPSPPVSPLIVSKVAVTYTNGDKLPKRRSVLVVLDKPKDDNLIPCTIHYLQDDESVWDIVECSVFHPDWLPRKTFDSLLRYALHAPSGVHKEVWVSLVDHEELTYIKIKEVTSTVEWGRKAALKKLAEVNSDSLSAQKSIDWHICLPVEEKHSIVYKRGLRIRKRAIAGRYRRFDDKSIPQIRPFTILNEKDDAANYILFASNIHRGRGEAWEQCDFAEVRVGVEVCTQFTNNVIATFVLRDGVTSPGRFKFMDVSKLFPHAWCLRSASITAVTEMWAMIQGGFTNGHHNAHPPLVLEISQVSTGRTSVAGEEEDFILDDFKSSEKMLNNFTDEHQLRVKRREAALNHEVHDQETLLTVPKLHIKEKGVPKAVFTSFAVSVLKEIGTLMDQVMVKISIEMSTSFARTVKAKITIVQGVRATEEFQIDDVSATVCSVGAHKEAALCATTDIWKLLQGASLEGRATAHAPQIAQSMVVEGPAPREM
+>sp|Q9NPJ3|ACO13_HUMAN Acyl-coenzyme A thioesterase 13 OS=Homo sapiens OX=9606 GN=ACOT13 PE=1 SV=1
+MTSMTQSLREVIKAMTKARNFERVLGKITLVSAAPGKVICEMKVEEEHTNAIGTLHGGLTATLVDNISTMALLCTERGAPGVSVDMNITYMSPAKLGEDIVITAHVLKQGKTLAFTSVDLTNKATGKLIAQGRHTKHLGN
+>DECOY_sp|Q9NPJ3|ACO13_HUMAN Acyl-coenzyme A thioesterase 13 OS=Homo sapiens OX=9606 GN=ACOT13 PE=1 SV=1
+NGLHKTHRGQAILKGTAKNTLDVSTFALTKGQKLVHATIVIDEGLKAPSMYTINMDVSVGPAGRETCLLAMTSINDVLTATLGGHLTGIANTHEEEVKMECIVKGPAASVLTIKGLVREFNRAKTMAKIVERLSQTMSTM
+>sp|Q8N1Q8|ACO15_HUMAN Acyl-coenzyme A thioesterase THEM5 OS=Homo sapiens OX=9606 GN=THEM5 PE=1 SV=2
+MIRRCFQVAARLGHHRGLLEAPRILPRLNPASAFGSSTDSMFSRFLPEKTDLKDYALPNASWCSDMLSLYQEFLEKTKSSGWIKLPSFKSNRDHIRGLKLPSGLAVSSDKGDCRIFTRCIQVEGQGFEYVIFFQPTQKKSVCLFQPGSYLEGPPGFAHGGSLAAMMDETFSKTAFLAGEGLFTLSLNIRFKNLIPVDSLVVMDVELDKIEDQKLYMSCIAHSRDQQTVYAKSSGVFLQLQLEEESPQ
+>DECOY_sp|Q8N1Q8|ACO15_HUMAN Acyl-coenzyme A thioesterase THEM5 OS=Homo sapiens OX=9606 GN=THEM5 PE=1 SV=2
+QPSEEELQLQLFVGSSKAYVTQQDRSHAICSMYLKQDEIKDLEVDMVVLSDVPILNKFRINLSLTFLGEGALFATKSFTEDMMAALSGGHAFGPPGELYSGPQFLCVSKKQTPQFFIVYEFGQGEVQICRTFIRCDGKDSSVALGSPLKLGRIHDRNSKFSPLKIWGSSKTKELFEQYLSLMDSCWSANPLAYDKLDTKEPLFRSFMSDTSSGFASAPNLRPLIRPAELLGRHHGLRAAVQFCRRIM
+>sp|P21399|ACOC_HUMAN Cytoplasmic aconitate hydratase OS=Homo sapiens OX=9606 GN=ACO1 PE=1 SV=3
+MSNPFAHLAEPLDPVQPGKKFFNLNKLEDSRYGRLPFSIRVLLEAAIRNCDEFLVKKQDIENILHWNVTQHKNIEVPFKPARVILQDFTGVPAVVDFAAMRDAVKKLGGDPEKINPVCPADLVIDHSIQVDFNRRADSLQKNQDLEFERNRERFEFLKWGSQAFHNMRIIPPGSGIIHQVNLEYLARVVFDQDGYYYPDSLVGTDSHTTMIDGLGILGWGVGGIEAEAVMLGQPISMVLPQVIGYRLMGKPHPLVTSTDIVLTITKHLRQVGVVGKFVEFFGPGVAQLSIADRATIANMCPEYGATAAFFPVDEVSITYLVQTGRDEEKLKYIKKYLQAVGMFRDFNDPSQDPDFTQVVELDLKTVVPCCSGPKRPQDKVAVSDMKKDFESCLGAKQGFKGFQVAPEHHNDHKTFIYDNTEFTLAHGSVVIAAITSCTNTSNPSVMLGAGLLAKKAVDAGLNVMPYIKTSLSPGSGVVTYYLQESGVMPYLSQLGFDVVGYGCMTCIGNSGPLPEPVVEAITQGDLVAVGVLSGNRNFEGRVHPNTRANYLASPPLVIAYAIAGTIRIDFEKEPLGVNAKGQQVFLKDIWPTRDEIQAVERQYVIPGMFKEVYQKIETVNESWNALATPSDKLFFWNSKSTYIKSPPFFENLTLDLQPPKSIVDAYVLLNLGDSVTTDHISPAGNIARNSPAARYLTNRGLTPREFNSYGSRRGNDAVMARGTFANIRLLNRFLNKQAPQTIHLPSGEILDVFDAAERYQQAGLPLIVLAGKEYGAGSSRDWAAKGPFLLGIKAVLAESYERIHRSNLVGMGVIPLEYLPGENADALGLTGQERYTIIIPENLKPQMKVQVKLDTGKTFQAVMRFDTDVELTYFLNGGILNYMIRKMAK
+>DECOY_sp|P21399|ACOC_HUMAN Cytoplasmic aconitate hydratase OS=Homo sapiens OX=9606 GN=ACO1 PE=1 SV=3
+KAMKRIMYNLIGGNLFYTLEVDTDFRMVAQFTKGTDLKVQVKMQPKLNEPIIITYREQGTLGLADANEGPLYELPIVGMGVLNSRHIREYSEALVAKIGLLFPGKAAWDRSSGAGYEKGALVILPLGAQQYREAADFVDLIEGSPLHITQPAQKNLFRNLLRINAFTGRAMVADNGRRSGYSNFERPTLGRNTLYRAAPSNRAINGAPSIHDTTVSDGLNLLVYADVISKPPQLDLTLNEFFPPSKIYTSKSNWFFLKDSPTALANWSENVTEIKQYVEKFMGPIVYQREVAQIEDRTPWIDKLFVQQGKANVGLPEKEFDIRITGAIAYAIVLPPSALYNARTNPHVRGEFNRNGSLVGVAVLDGQTIAEVVPEPLPGSNGICTMCGYGVVDFGLQSLYPMVGSEQLYYTVVGSGPSLSTKIYPMVNLGADVAKKALLGAGLMVSPNSTNTCSTIAAIVVSGHALTFETNDYIFTKHDNHHEPAVQFGKFGQKAGLCSEFDKKMDSVAVKDQPRKPGSCCPVVTKLDLEVVQTFDPDQSPDNFDRFMGVAQLYKKIYKLKEEDRGTQVLYTISVEDVPFFAATAGYEPCMNAITARDAISLQAVGPGFFEVFKGVVGVQRLHKTITLVIDTSTVLPHPKGMLRYGIVQPLVMSIPQGLMVAEAEIGGVGWGLIGLGDIMTTHSDTGVLSDPYYYGDQDFVVRALYELNVQHIIGSGPPIIRMNHFAQSGWKLFEFRERNREFELDQNKQLSDARRNFDVQISHDIVLDAPCVPNIKEPDGGLKKVADRMAAFDVVAPVGTFDQLIVRAPKFPVEINKHQTVNWHLINEIDQKKVLFEDCNRIAAELLVRISFPLRGYRSDELKNLNFFKKGPQVPDLPEALHAFPNSM
+>sp|Q99798|ACON_HUMAN Aconitate hydratase, mitochondrial OS=Homo sapiens OX=9606 GN=ACO2 PE=1 SV=2
+MAPYSLLVTRLQKALGVRQYHVASVLCQRAKVAMSHFEPNEYIHYDLLEKNINIVRKRLNRPLTLSEKIVYGHLDDPASQEIERGKSYLRLRPDRVAMQDATAQMAMLQFISSGLSKVAVPSTIHCDHLIEAQVGGEKDLRRAKDINQEVYNFLATAGAKYGVGFWKPGSGIIHQIILENYAYPGVLLIGTDSHTPNGGGLGGICIGVGGADAVDVMAGIPWELKCPKVIGVKLTGSLSGWSSPKDVILKVAGILTVKGGTGAIVEYHGPGVDSISCTGMATICNMGAEIGATTSVFPYNHRMKKYLSKTGREDIANLADEFKDHLVPDPGCHYDQLIEINLSELKPHINGPFTPDLAHPVAEVGKVAEKEGWPLDIRVGLIGSCTNSSYEDMGRSAAVAKQALAHGLKCKSQFTITPGSEQIRATIERDGYAQILRDLGGIVLANACGPCIGQWDRKDIKKGEKNTIVTSYNRNFTGRNDANPETHAFVTSPEIVTALAIAGTLKFNPETDYLTGTDGKKFRLEAPDADELPKGEFDPGQDTYQHPPKDSSGQHVDVSPTSQRLQLLEPFDKWDGKDLEDLQILIKVKGKCTTDHISAAGPWLKFRGHLDNISNNLLIGAINIENGKANSVRNAVTQEFGPVPDTARYYKKHGIRWVVIGDENYGEGSSREHAALEPRHLGGRAIITKSFARIHETNLKKQGLLPLTFADPADYNKIHPVDKLTIQGLKDFTPGKPLKCIIKHPNGTQETILLNHTFNETQIEWFRAGSALNRMKELQQ
+>DECOY_sp|Q99798|ACON_HUMAN Aconitate hydratase, mitochondrial OS=Homo sapiens OX=9606 GN=ACO2 PE=1 SV=2
+QQLEKMRNLASGARFWEIQTENFTHNLLITEQTGNPHKIICKLPKGPTFDKLGQITLKDVPHIKNYDAPDAFTLPLLGQKKLNTEHIRAFSKTIIARGGLHRPELAAHERSSGEGYNEDGIVVWRIGHKKYYRATDPVPGFEQTVANRVSNAKGNEINIAGILLNNSINDLHGRFKLWPGAASIHDTTCKGKVKILIQLDELDKGDWKDFPELLQLRQSTPSVDVHQGSSDKPPHQYTDQGPDFEGKPLEDADPAELRFKKGDTGTLYDTEPNFKLTGAIALATVIEPSTVFAHTEPNADNRGTFNRNYSTVITNKEGKKIDKRDWQGICPGCANALVIGGLDRLIQAYGDREITARIQESGPTITFQSKCKLGHALAQKAVAASRGMDEYSSNTCSGILGVRIDLPWGEKEAVKGVEAVPHALDPTFPGNIHPKLESLNIEILQDYHCGPDPVLHDKFEDALNAIDERGTKSLYKKMRHNYPFVSTTAGIEAGMNCITAMGTCSISDVGPGHYEVIAGTGGKVTLIGAVKLIVDKPSSWGSLSGTLKVGIVKPCKLEWPIGAMVDVADAGGVGICIGGLGGGNPTHSDTGILLVGPYAYNELIIQHIIGSGPKWFGVGYKAGATALFNYVEQNIDKARRLDKEGGVQAEILHDCHITSPVAVKSLGSSIFQLMAMQATADQMAVRDPRLRLYSKGREIEQSAPDDLHGYVIKESLTLPRNLRKRVININKELLDYHIYENPEFHSMAVKARQCLVSAVHYQRVGLAKQLRTVLLSYPAM
+>sp|Q86TX2|ACOT1_HUMAN Acyl-coenzyme A thioesterase 1 OS=Homo sapiens OX=9606 GN=ACOT1 PE=1 SV=1
+MAATLILEPAGRCCWDEPVRIAVRGLAPEQPVTLRASLRDEKGALFQAHARYRADTLGELDLERAPALGGSFAGLEPMGLLWALEPEKPLVRLVKRDVRTPLAVELEVLDGHDPDPGRLLCRVRHERYFLPPGVRREPVRAGRVRGTLFLPPEPGPFPGIVDMFGTGGGLLEYRASLLAGKGFAVMALAYYNYEDLPKTMETLHLEYFEEAVNYLLSHPEVKGPGVGLLGISKGGELCLSMASFLKGITAAVVINGSVANVGGTLRYKGETLPPVGVNRNRIKVTKDGYADIVDVLNSPLEGPDQKSFIPVERAESTFLFLVGQDDHNWKSEFYANEACKRLQAHGRRKPQIICYPETGHYIEPPYFPLCRASLHALVGSPIIWGGEPRAHAMAQVDAWKQLQTFFHKHLGGHEGTIPSKV
+>DECOY_sp|Q86TX2|ACOT1_HUMAN Acyl-coenzyme A thioesterase 1 OS=Homo sapiens OX=9606 GN=ACOT1 PE=1 SV=1
+VKSPITGEHGGLHKHFFTQLQKWADVQAMAHARPEGGWIIPSGVLAHLSARCLPFYPPEIYHGTEPYCIIQPKRRGHAQLRKCAENAYFESKWNHDDQGVLFLFTSEAREVPIFSKQDPGELPSNLVDVIDAYGDKTVKIRNRNVGVPPLTEGKYRLTGGVNAVSGNIVVAATIGKLFSAMSLCLEGGKSIGLLGVGPGKVEPHSLLYNVAEEFYELHLTEMTKPLDEYNYYALAMVAFGKGALLSARYELLGGGTGFMDVIGPFPGPEPPLFLTGRVRGARVPERRVGPPLFYREHRVRCLLRGPDPDHGDLVELEVALPTRVDRKVLRVLPKEPELAWLLGMPELGAFSGGLAPARELDLEGLTDARYRAHAQFLAGKEDRLSARLTVPQEPALGRVAIRVPEDWCCRGAPELILTAAM
+>sp|Q3I5F7|ACOT6_HUMAN Putative acyl-coenzyme A thioesterase 6 OS=Homo sapiens OX=9606 GN=ACOT6 PE=1 SV=1
+MLQHPKVKGPSIALLGFSKGGDLCLSMASFLKGITATVLINACVANTVAPLHYKDMIIPKLVDDLGKVKITKSGFLTFMDTWSNPLEEHNHQSLVPLEKAQVPFLFIVGMDDQSWKSEFYAQIASERLQAHGKERPQIICYPETGHCIDPPYFPPSRASVHAVLGEAIFYGGEPKAHSKAQVDAWQQIQTFFHKHLNGKKSVKHSKI
+>DECOY_sp|Q3I5F7|ACOT6_HUMAN Putative acyl-coenzyme A thioesterase 6 OS=Homo sapiens OX=9606 GN=ACOT6 PE=1 SV=1
+IKSHKVSKKGNLHKHFFTQIQQWADVQAKSHAKPEGGYFIAEGLVAHVSARSPPFYPPDICHGTEPYCIIQPREKGHAQLRESAIQAYFESKWSQDDMGVIFLFPVQAKELPVLSQHNHEELPNSWTDMFTLFGSKTIKVKGLDDVLKPIIMDKYHLPAVTNAVCANILVTATIGKLFSAMSLCLDGGKSFGLLAISPGKVKPHQLM
+>sp|O15254|ACOX3_HUMAN Peroxisomal acyl-coenzyme A oxidase 3 OS=Homo sapiens OX=9606 GN=ACOX3 PE=1 SV=2
+MASTVEGGDTALLPEFPRGPLDAYRARASFSWKELALFTEGEGMLRFKKTIFSALENDPLFARSPGADLSLEKYRELNFLRCKRIFEYDFLSVEDMFKSPLKVPALIQCLGMYDSSLAAKYLLHSLVFGSAVYSSGSERHLTYIQKIFRMEIFGCFALTELSHGSNTKAIRTTAHYDPATEEFIIHSPDFEAAKFWVGNMGKTATHAVVFAKLCVPGDQCHGLHPFIVQIRDPKTLLPMPGVMVGDIGKKLGQNGLDNGFAMFHKVRVPRQSLLNRMGDVTPEGTYVSPFKDVRQRFGASLGSLSSGRVSIVSLAILNLKLAVAIALRFSATRRQFGPTEEEEIPVLEYPMQQWRLLPYLAAVYALDHFSKSLFLDLVELQRGLASGDRSARQAELGREIHALASASKPLASWTTQQGIQECREACGGHGYLAMNRLGVLRDDNDPNCTYEGDNNILLQQTSNYLLGLLAHQVHDGACFRSPLKSVDFLDAYPGILDQKFEVSSVADCLDSAVALAAYKWLVCYLLRETYQKLNQEKRSGSSDFEARNKCQVSHGRPLALAFVELTVVQRFHEHVHQPSVPPSLRAVLGRLSALYALWSLSRHAALLYRGGYFSGEQAGEVLESAVLALCSQLKDDAVALVDVIAPPDFVLDSPIGRADGELYKNLWGAVLQESKVLERASWWPEFSVNKPVIGSLKSKL
+>DECOY_sp|O15254|ACOX3_HUMAN Peroxisomal acyl-coenzyme A oxidase 3 OS=Homo sapiens OX=9606 GN=ACOX3 PE=1 SV=2
+LKSKLSGIVPKNVSFEPWWSARELVKSEQLVAGWLNKYLEGDARGIPSDLVFDPPAIVDVLAVADDKLQSCLALVASELVEGAQEGSFYGGRYLLAAHRSLSWLAYLASLRGLVARLSPPVSPQHVHEHFRQVVTLEVFALALPRGHSVQCKNRAEFDSSGSRKEQNLKQYTERLLYCVLWKYAALAVASDLCDAVSSVEFKQDLIGPYADLFDVSKLPSRFCAGDHVQHALLGLLYNSTQQLLINNDGEYTCNPDNDDRLVGLRNMALYGHGGCAERCEQIGQQTTWSALPKSASALAHIERGLEAQRASRDGSALGRQLEVLDLFLSKSFHDLAYVAALYPLLRWQQMPYELVPIEEEETPGFQRRTASFRLAIAVALKLNLIALSVISVRGSSLSGLSAGFRQRVDKFPSVYTGEPTVDGMRNLLSQRPVRVKHFMAFGNDLGNQGLKKGIDGVMVGPMPLLTKPDRIQVIFPHLGHCQDGPVCLKAFVVAHTATKGMNGVWFKAAEFDPSHIIFEETAPDYHATTRIAKTNSGHSLETLAFCGFIEMRFIKQIYTLHRESGSSYVASGFVLSHLLYKAALSSDYMGLCQILAPVKLPSKFMDEVSLFDYEFIRKCRLFNLERYKELSLDAGPSRAFLPDNELASFITKKFRLMGEGETFLALEKWSFSARARYADLPGRPFEPLLATDGGEVTSAM
+>sp|O14561|ACPM_HUMAN Acyl carrier protein, mitochondrial OS=Homo sapiens OX=9606 GN=NDUFAB1 PE=1 SV=3
+MASRVLSAYVSRLPAAFAPLPRVRMLAVARPLSTALCSAGTQTRLGTLQPALVLAQVPGRVTQLCRQYSDMPPLTLEGIQDRVLYVLKLYDKIDPEKLSVNSHFMKDLGLDSLDQVEIIMAMEDEFGFEIPDIDAEKLMCPQEIVDYIADKKDVYE
+>DECOY_sp|O14561|ACPM_HUMAN Acyl carrier protein, mitochondrial OS=Homo sapiens OX=9606 GN=NDUFAB1 PE=1 SV=3
+EYVDKKDAIYDVIEQPCMLKEADIDPIEFGFEDEMAMIIEVQDLSDLGLDKMFHSNVSLKEPDIKDYLKLVYLVRDQIGELTLPPMDSYQRCLQTVRGPVQALVLAPQLTGLRTQTGASCLATSLPRAVALMRVRPLPAFAAPLRSVYASLVRSAM
+>sp|Q8NEB7|ACRBP_HUMAN Acrosin-binding protein OS=Homo sapiens OX=9606 GN=ACRBP PE=2 SV=1
+MRKPAAGFLPSLLKVLLLPLAPAAAQDSTQASTPGSPLSPTEYERFFALLTPTWKAETTCRLRATHGCRNPTLVQLDQYENHGLVPDGAVCSNLPYASWFESFCQFTHYRCSNHVYYAKRVLCSQPVSILSPNTLKEIEASAEVSPTTMTSPISPHFTVTERQTFQPWPERLSNNVEELLQSSLSLGGQEQAPEHKQEQGVEHRQEPTQEHKQEEGQKQEEQEEEQEEEGKQEEGQGTKEGREAVSQLQTDSEPKFHSESLSSNPSSFAPRVREVESTPMIMENIQELIRSAQEIDEMNEIYDENSYWRNQNPGSLLQLPHTEALLVLCYSIVENTCIITPTAKAWKYMEEEILGFGKSVCDSLGRRHMSTCALCDFCSLKLEQCHSEASLQRQQCDTSHKTPFVSPLLASQSLSIGNQVGSPESGRFYGLDLYGGLHMDFWCARLATKGCEDVRVSGWLQTEFLSFQDGDFPTKICDTDYIQYPNYCSFKSQQCLMRNRNRKVSRMRCLQNETYSALSPGKSEDVVLRWSQEFSTLTLGQFG
+>DECOY_sp|Q8NEB7|ACRBP_HUMAN Acrosin-binding protein OS=Homo sapiens OX=9606 GN=ACRBP PE=2 SV=1
+GFQGLTLTSFEQSWRLVVDESKGPSLASYTENQLCRMRSVKRNRNRMLCQQSKFSCYNPYQIYDTDCIKTPFDGDQFSLFETQLWGSVRVDECGKTALRACWFDMHLGGYLDLGYFRGSEPSGVQNGISLSQSALLPSVFPTKHSTDCQQRQLSAESHCQELKLSCFDCLACTSMHRRGLSDCVSKGFGLIEEEMYKWAKATPTIICTNEVISYCLVLLAETHPLQLLSGPNQNRWYSNEDYIENMEDIEQASRILEQINEMIMPTSEVERVRPAFSSPNSSLSESHFKPESDTQLQSVAERGEKTGQGEEQKGEEEQEEEQEEQKQGEEQKHEQTPEQRHEVGQEQKHEPAQEQGGLSLSSQLLEEVNNSLREPWPQFTQRETVTFHPSIPSTMTTPSVEASAEIEKLTNPSLISVPQSCLVRKAYYVHNSCRYHTFQCFSEFWSAYPLNSCVAGDPVLGHNEYQDLQVLTPNRCGHTARLRCTTEAKWTPTLLAFFREYETPSLPSGPTSAQTSDQAAAPALPLLLVKLLSPLFGAAPKRM
+>sp|P10323|ACRO_HUMAN Acrosin OS=Homo sapiens OX=9606 GN=ACR PE=2 SV=4
+MVEMLPTAILLVLAVSVVAKDNATCDGPCGLRFRQNPQGGVRIVGGKAAQHGAWPWMVSLQIFTYNSHRYHTCGGSLLNSRWVLTAAHCFVGKNNVHDWRLVFGAKEITYGNNKPVKAPLQERYVEKIIIHEKYNSATEGNDIALVEITPPISCGRFIGPGCLPHFKAGLPRGSQSCWVAGWGYIEEKAPRPSSILMEARVDLIDLDLCNSTQWYNGRVQPTNVCAGYPVGKIDTCQGDSGGPLMCKDSKESAYVVVGITSWGVGCARAKRPGIYTATWPYLNWIASKIGSNALRMIQSATPPPPTTRPPPIRPPFSHPISAHLPWYFQPPPRPLPPRPPAAQPRPPPSPPPPPPPPASPLPPPPPPPPPTPSSTTKLPQGLSFAKRLQQLIEVLKGKTYSDGKNHYDMETTELPELTSTS
+>DECOY_sp|P10323|ACRO_HUMAN Acrosin OS=Homo sapiens OX=9606 GN=ACR PE=2 SV=4
+STSTLEPLETTEMDYHNKGDSYTKGKLVEILQQLRKAFSLGQPLKTTSSPTPPPPPPPPPLPSAPPPPPPPPSPPPRPQAAPPRPPLPRPPPQFYWPLHASIPHSFPPRIPPPRTTPPPPTASQIMRLANSGIKSAIWNLYPWTATYIGPRKARACGVGWSTIGVVVYASEKSDKCMLPGGSDGQCTDIKGVPYGACVNTPQVRGNYWQTSNCLDLDILDVRAEMLISSPRPAKEEIYGWGAVWCSQSGRPLGAKFHPLCGPGIFRGCSIPPTIEVLAIDNGETASNYKEHIIIKEVYREQLPAKVPKNNGYTIEKAGFVLRWDHVNNKGVFCHAATLVWRSNLLSGGCTHYRHSNYTFIQLSVMWPWAGHQAAKGGVIRVGGQPNQRFRLGCPGDCTANDKAVVSVALVLLIATPLMEVM
+>sp|Q4L235|ACSF4_HUMAN Beta-alanine-activating enzyme OS=Homo sapiens OX=9606 GN=AASDH PE=1 SV=3
+MTLQELVHKAASCYMDRVAVCFDECNNQLPVYYTYKTVVNAASELSNFLLLHCDFQGIREIGLYCQPGIDLPSWILGILQVPAAYVPIEPDSPPSLSTHFMKKCNLKYILVEKKQINKFKSFHETLLNYDTFTVEHNDLVLFRLHWKNTEVNLMLNDGKEKYEKEKIKSISSEHVNEEKAEEHMDLRLKHCLAYVLHTSGTTGIPKIVRVPHKCIVPNIQHFRVLFDITQEDVLFLASPLTFDPSVVEIFLALSSGASLLIVPTSVKLLPSKLASVLFSHHRVTVLQATPTLLRRFGSQLIKSTVLSATTSLRVLALGGEAFPSLTVLRSWRGEGNKTQIFNVYGITEVSSWATIYRIPEKTLNSTLKCELPVQLGFPLLGTVVEVRDTNGFTIQEGSGQVFLGGRNRVCFLDDEVTVPLGTMRATGDFVTVKDGEIFFLGRKDSQIKRHGKRLNIELVQQVAEELQQVESCAVTWYNQEKLILFMVSKDASVKEYIFKELQKYLPSHAVPDELVLIDSLPFTSHGKIDVSELNKIYLNYINLKSENKLSGKEDLWEKLQYLWKSTLNLPEDLLRVPDESLFLNSGGDSLKSIRLLSEIEKLVGTSVPGLLEIILSSSILEIYNHILQTVVPDEDVTFRKSCATKRKLSDINQEEASGTSLHQKAIMTFTCHNEINAFVVLSRGSQILSLNSTRFLTKLGHCSSACPSDSVSQTNIQNLKGLNSPVLIGKSKDPSCVAKVSEEGKPAIGTQKMELHVRWRSDTGKCVDASPLVVIPTFDKSSTTVYIGSHSHRMKAVDFYSGKVKWEQILGDRIESSACVSKCGNFIVVGCYNGLVYVLKSNSGEKYWMFTTEDAVKSSATMDPTTGLIYIGSHDQHAYALDIYRKKCVWKSKCGGTVFSSPCLNLIPHHLYFATLGGLLLAVNPATGNVIWKHSCGKPLFSSPQCCSQYICIGCVDGNLLCFTHFGEQVWQFSTSGPIFSSPCTSPSEQKIFFGSHDCFIYCCNMKGHLQWKFETTSRVYATPFAFHNYNGSNEMLLAAASTDGKVWILESQSGQLQSVYELPGEVFSSPVVLESMLIIGCRDNYVYCLDLLGGNQK
+>DECOY_sp|Q4L235|ACSF4_HUMAN Beta-alanine-activating enzyme OS=Homo sapiens OX=9606 GN=AASDH PE=1 SV=3
+KQNGGLLDLCYVYNDRCGIILMSELVVPSSFVEGPLEYVSQLQGSQSELIWVKGDTSAAALLMENSGNYNHFAFPTAYVRSTTEFKWQLHGKMNCCYIFCDHSGFFIKQESPSTCPSSFIPGSTSFQWVQEGFHTFCLLNGDVCGICIYQSCCQPSSFLPKGCSHKWIVNGTAPNVALLLGGLTAFYLHHPILNLCPSSFVTGGCKSKWVCKKRYIDLAYAHQDHSGIYILGTTPDMTASSKVADETTFMWYKEGSNSKLVYVLGNYCGVVIFNGCKSVCASSEIRDGLIQEWKVKGSYFDVAKMRHSHSGIYVTTSSKDFTPIVVLPSADVCKGTDSRWRVHLEMKQTGIAPKGEESVKAVCSPDKSKGILVPSNLGKLNQINTQSVSDSPCASSCHGLKTLFRTSNLSLIQSGRSLVVFANIENHCTFTMIAKQHLSTGSAEEQNIDSLKRKTACSKRFTVDEDPVVTQLIHNYIELISSSLIIELLGPVSTGVLKEIESLLRISKLSDGGSNLFLSEDPVRLLDEPLNLTSKWLYQLKEWLDEKGSLKNESKLNIYNLYIKNLESVDIKGHSTFPLSDILVLEDPVAHSPLYKQLEKFIYEKVSADKSVMFLILKEQNYWTVACSEVQQLEEAVQQVLEINLRKGHRKIQSDKRGLFFIEGDKVTVFDGTARMTGLPVTVEDDLFCVRNRGGLFVQGSGEQITFGNTDRVEVVTGLLPFGLQVPLECKLTSNLTKEPIRYITAWSSVETIGYVNFIQTKNGEGRWSRLVTLSPFAEGGLALVRLSTTASLVTSKILQSGFRRLLTPTAQLVTVRHHSFLVSALKSPLLKVSTPVILLSAGSSLALFIEVVSPDFTLPSALFLVDEQTIDFLVRFHQINPVICKHPVRVIKPIGTTGSTHLVYALCHKLRLDMHEEAKEENVHESSISKIKEKEYKEKGDNLMLNVETNKWHLRFLVLDNHEVTFTDYNLLTEHFSKFKNIQKKEVLIYKLNCKKMFHTSLSPPSDPEIPVYAAPVQLIGLIWSPLDIGPQCYLGIERIGQFDCHLLLFNSLESAANVVTKYTYYVPLQNNCEDFCVAVRDMYCSAAKHVLEQLTM
+>sp|O95573|ACSL3_HUMAN Long-chain-fatty-acid--CoA ligase 3 OS=Homo sapiens OX=9606 GN=ACSL3 PE=1 SV=3
+MNNHVSSKPSTMKLKHTINPILLYFIHFLISLYTILTYIPFYFFSESRQEKSNRIKAKPVNSKPDSAYRSVNSLDGLASVLYPGCDTLDKVFTYAKNKFKNKRLLGTREVLNEEDEVQPNGKIFKKVILGQYNWLSYEDVFVRAFNFGNGLQMLGQKPKTNIAIFCETRAEWMIAAQACFMYNFQLVTLYATLGGPAIVHALNETEVTNIITSKELLQTKLKDIVSLVPRLRHIITVDGKPPTWSEFPKGIIVHTMAAVEALGAKASMENQPHSKPLPSDIAVIMYTSGSTGLPKGVMISHSNIIAGITGMAERIPELGEEDVYIGYLPLAHVLELSAELVCLSHGCRIGYSSPQTLADQSSKIKKGSKGDTSMLKPTLMAAVPEIMDRIYKNVMNKVSEMSSFQRNLFILAYNYKMEQISKGRNTPLCDSFVFRKVRSLLGGNIRLLLCGGAPLSATTQRFMNICFCCPVGQGYGLTESAGAGTISEVWDYNTGRVGAPLVCCEIKLKNWEEGGYFNTDKPHPRGEILIGGQSVTMGYYKNEAKTKADFFEDENGQRWLCTGDIGEFEPDGCLKIIDRKKDLVKLQAGEYVSLGKVEAALKNLPLVDNICAYANSYHSYVIGFVVPNQKELTELARKKGLKGTWEELCNSCEMENEVLKVLSEAAISASLEKFEIPVKIRLSPEPWTPETGLVTDAFKLKRKELKTHYQADIERMYGRK
+>DECOY_sp|O95573|ACSL3_HUMAN Long-chain-fatty-acid--CoA ligase 3 OS=Homo sapiens OX=9606 GN=ACSL3 PE=1 SV=3
+KRGYMREIDAQYHTKLEKRKLKFADTVLGTEPTWPEPSLRIKVPIEFKELSASIAAESLVKLVENEMECSNCLEEWTGKLGKKRALETLEKQNPVVFGIVYSHYSNAYACINDVLPLNKLAAEVKGLSVYEGAQLKVLDKKRDIIKLCGDPEFEGIDGTCLWRQGNEDEFFDAKTKAENKYYGMTVSQGGILIEGRPHPKDTNFYGGEEWNKLKIECCVLPAGVRGTNYDWVESITGAGASETLGYGQGVPCCFCINMFRQTTASLPAGGCLLLRINGGLLSRVKRFVFSDCLPTNRGKSIQEMKYNYALIFLNRQFSSMESVKNMVNKYIRDMIEPVAAMLTPKLMSTDGKSGKKIKSSQDALTQPSSYGIRCGHSLCVLEASLELVHALPLYGIYVDEEGLEPIREAMGTIGAIINSHSIMVGKPLGTSGSTYMIVAIDSPLPKSHPQNEMSAKAGLAEVAAMTHVIIGKPFESWTPPKGDVTIIHRLRPVLSVIDKLKTQLLEKSTIINTVETENLAHVIAPGGLTAYLTVLQFNYMFCAQAAIMWEARTECFIAINTKPKQGLMQLGNGFNFARVFVDEYSLWNYQGLIVKKFIKGNPQVEDEENLVERTGLLRKNKFKNKAYTFVKDLTDCGPYLVSALGDLSNVSRYASDPKSNVPKAKIRNSKEQRSESFFYFPIYTLITYLSILFHIFYLLIPNITHKLKMTSPKSSVHNNM
+>sp|O60488|ACSL4_HUMAN Long-chain-fatty-acid--CoA ligase 4 OS=Homo sapiens OX=9606 GN=ACSL4 PE=1 SV=2
+MKLKLNVLTIILLPVHLLITIYSALIFIPWYFLTNAKKKNAMAKRIKAKPTSDKPGSPYRSVTHFDSLAVIDIPGADTLDKLFDHAVSKFGKKDSLGTREILSEENEMQPNGKVFKKLILGNYKWMNYLEVNRRVNNFGSGLTALGLKPKNTIAIFCETRAEWMIAAQTCFKYNFPLVTLYATLGKEAVVHGLNESEASYLITSVELLESKLKTALLDISCVKHIIYVDNKAINKAEYPEGFEIHSMQSVEELGSNPENLGIPPSRPTPSDMAIVMYTSGSTGRPKGVMMHHSNLIAGMTGQCERIPGLGPKDTYIGYLPLAHVLELTAEISCFTYGCRIGYSSPLTLSDQSSKIKKGSKGDCTVLKPTLMAAVPEIMDRIYKNVMSKVQEMNYIQKTLFKIGYDYKLEQIKKGYDAPLCNLLLFKKVKALLGGNVRMMLSGGAPLSPQTHRFMNVCFCCPIGQGYGLTESCGAGTVTEVTDYTTGRVGAPLICCEIKLKDWQEGGYTINDKPNPRGEIVIGGQNISMGYFKNEEKTAEDYSVDENGQRWFCTGDIGEFHPDGCLQIIDRKKDLVKLQAGEYVSLGKVEAALKNCPLIDNICAFAKSDQSYVISFVVPNQKRLTLLAQQKGVEGTWVDICNNPAMEAEILKEIREAANAMKLERFEIPIKVRLSPEPWTPETGLVTDAFKLKRKELRNHYLKDIERMYGGK
+>DECOY_sp|O60488|ACSL4_HUMAN Long-chain-fatty-acid--CoA ligase 4 OS=Homo sapiens OX=9606 GN=ACSL4 PE=1 SV=2
+KGGYMREIDKLYHNRLEKRKLKFADTVLGTEPTWPEPSLRVKIPIEFRELKMANAAERIEKLIEAEMAPNNCIDVWTGEVGKQQALLTLRKQNPVVFSIVYSQDSKAFACINDILPCNKLAAEVKGLSVYEGAQLKVLDKKRDIIQLCGDPHFEGIDGTCFWRQGNEDVSYDEATKEENKFYGMSINQGGIVIEGRPNPKDNITYGGEQWDKLKIECCILPAGVRGTTYDTVETVTGAGCSETLGYGQGIPCCFCVNMFRHTQPSLPAGGSLMMRVNGGLLAKVKKFLLLNCLPADYGKKIQELKYDYGIKFLTKQIYNMEQVKSMVNKYIRDMIEPVAAMLTPKLVTCDGKSGKKIKSSQDSLTLPSSYGIRCGYTFCSIEATLELVHALPLYGIYTDKPGLGPIRECQGTMGAILNSHHMMVGKPRGTSGSTYMVIAMDSPTPRSPPIGLNEPNSGLEEVSQMSHIEFGEPYEAKNIAKNDVYIIHKVCSIDLLATKLKSELLEVSTILYSAESENLGHVVAEKGLTAYLTVLPFNYKFCTQAAIMWEARTECFIAITNKPKLGLATLGSGFNNVRRNVELYNMWKYNGLILKKFVKGNPQMENEESLIERTGLSDKKGFKSVAHDFLKDLTDAGPIDIVALSDFHTVSRYPSGPKDSTPKAKIRKAMANKKKANTLFYWPIFILASYITILLHVPLLIITLVNLKLKM
+>sp|Q08AH1|ACSM1_HUMAN Acyl-coenzyme A synthetase ACSM1, mitochondrial OS=Homo sapiens OX=9606 GN=ACSM1 PE=1 SV=1
+MQWLMRFRTLWGIHKSFHNIHPAPSQLRCRSLSEFGAPRWNDYEVPEEFNFASYVLDYWAQKEKEGKRGPNPAFWWVNGQGDEVKWSFREMGDLTRRVANVFTQTCGLQQGDHLALMLPRVPEWWLVAVGCMRTGIIFIPATILLKAKDILYRLQLSKAKGIVTIDALASEVDSIASQCPSLKTKLLVSDHSREGWLDFRSLVKSASPEHTCVKSKTLDPMVIFFTSGTTGFPKMAKHSHGLALQPSFPGSRKLRSLKTSDVSWCLSDSGWIVATIWTLVEPWTAGCTVFIHHLPQFDTKVIIQTLLKYPINHFWGVSSIYRMILQQDFTSIRFPALEHCYTGGEVVLPKDQEEWKRRTGLLLYENYGQSETGLICATYWGMKIKPGFMGKATPPYDVQVIDDKGSILPPNTEGNIGIRIKPVRPVSLFMCYEGDPEKTAKVECGDFYNTGDRGKMDEEGYICFLGRSDDIINASGYRIGPAEVESALVEHPAVAESAVVGSPDPIRGEVVKAFIVLTPQFLSHDKDQLTKELQQHVKSVTAPYKYPRKVEFVSELPKTITGKIERKELRKKETGQM
+>DECOY_sp|Q08AH1|ACSM1_HUMAN Acyl-coenzyme A synthetase ACSM1, mitochondrial OS=Homo sapiens OX=9606 GN=ACSM1 PE=1 SV=1
+MQGTEKKRLEKREIKGTITKPLESVFEVKRPYKYPATVSKVHQQLEKTLQDKDHSLFQPTLVIFAKVVEGRIPDPSGVVASEAVAPHEVLASEVEAPGIRYGSANIIDDSRGLFCIYGEEDMKGRDGTNYFDGCEVKATKEPDGEYCMFLSVPRVPKIRIGINGETNPPLISGKDDIVQVDYPPTAKGMFGPKIKMGWYTACILGTESQGYNEYLLLGTRRKWEEQDKPLVVEGGTYCHELAPFRISTFDQQLIMRYISSVGWFHNIPYKLLTQIIVKTDFQPLHHIFVTCGATWPEVLTWITAVIWGSDSLCWSVDSTKLSRLKRSGPFSPQLALGHSHKAMKPFGTTGSTFFIVMPDLTKSKVCTHEPSASKVLSRFDLWGERSHDSVLLKTKLSPCQSAISDVESALADITVIGKAKSLQLRYLIDKAKLLITAPIFIIGTRMCGVAVLWWEPVRPLMLALHDGQQLGCTQTFVNAVRRTLDGMERFSWKVEDGQGNVWWFAPNPGRKGEKEKQAWYDLVYSAFNFEEPVEYDNWRPAGFESLSRCRLQSPAPHINHFSKHIGWLTRFRMLWQM
+>sp|Q53FZ2|ACSM3_HUMAN Acyl-coenzyme A synthetase ACSM3, mitochondrial OS=Homo sapiens OX=9606 GN=ACSM3 PE=1 SV=2
+MLARVTRKMLRHAKCFQRLAIFGSVRALHKDNRTATPQNFSNYESMKQDFKLGIPEYFNFAKDVLDQWTDKEKAGKKPSNPAFWWINRNGEEMRWSFEELGSLSRKFANILSEACSLQRGDRVILILPRVPEWWLANVACLRTGTVLIPGTTQLTQKDILYRLQSSKANCIITNDVLAPAVDAVASKCENLHSKLIVSENSREGWGNLKELMKHASDSHTCVKTKHNEIMAIFFTSGTSGYPKMTAHTHSSFGLGLSVNGRFWLDLTPSDVMWNTSDTGWAKSAWSSVFSPWIQGACVFTHHLPRFEPTSILQTLSKYPITVFCSAPTVYRMLVQNDITSYKFKSLKHCVSAGEPITPDVTEKWRNKTGLDIYEGYGQTETVLICGNFKGMKIKPGSMGKPSPAFDVKIVDVNGNVLPPGQEGDIGIQVLPNRPFGLFTHYVDNPSKTASTLRGNFYITGDRGYMDKDGYFWFVARADDVILSSGYRIGPFEVENALNEHPSVAESAVVSSPDPIRGEVVKAFVVLNPDYKSHDQEQLIKEIQEHVKKTTAPYKYPRKVEFIQELPKTISGKTKRNELRKKEWKTI
+>DECOY_sp|Q53FZ2|ACSM3_HUMAN Acyl-coenzyme A synthetase ACSM3, mitochondrial OS=Homo sapiens OX=9606 GN=ACSM3 PE=1 SV=2
+ITKWEKKRLENRKTKGSITKPLEQIFEVKRPYKYPATTKKVHEQIEKILQEQDHSKYDPNLVVFAKVVEGRIPDPSSVVASEAVSPHENLANEVEFPGIRYGSSLIVDDARAVFWFYGDKDMYGRDGTIYFNGRLTSATKSPNDVYHTFLGFPRNPLVQIGIDGEQGPPLVNGNVDVIKVDFAPSPKGMSGPKIKMGKFNGCILVTETQGYGEYIDLGTKNRWKETVDPTIPEGASVCHKLSKFKYSTIDNQVLMRYVTPASCFVTIPYKSLTQLISTPEFRPLHHTFVCAGQIWPSFVSSWASKAWGTDSTNWMVDSPTLDLWFRGNVSLGLGFSSHTHATMKPYGSTGSTFFIAMIENHKTKVCTHSDSAHKMLEKLNGWGERSNESVILKSHLNECKSAVADVAPALVDNTIICNAKSSQLRYLIDKQTLQTTGPILVTGTRLCAVNALWWEPVRPLILIVRDGRQLSCAESLINAFKRSLSGLEEFSWRMEEGNRNIWWFAPNSPKKGAKEKDTWQDLVDKAFNFYEPIGLKFDQKMSEYNSFNQPTATRNDKHLARVSGFIALRQFCKAHRLMKRTVRALM
+>sp|Q6NUN0|ACSM5_HUMAN Acyl-coenzyme A synthetase ACSM5, mitochondrial OS=Homo sapiens OX=9606 GN=ACSM5 PE=1 SV=2
+MRPWLRHLVLQALRNSRAFCGSHGKPAPLPVPQKIVATWEAISLGRQLVPEYFNFAHDVLDVWSRLEEAGHRPPNPAFWWVNGTGAEIKWSFEELGKQSRKAANVLGGACGLQPGDRMMLVLPRLPEWWLVSVACMRTGTVMIPGVTQLTEKDLKYRLQASRAKSIITSDSLAPRVDAISAECPSLQTKLLVSDSSRPGWLNFRELLREASTEHNCMRTKSRDPLAIYFTSGTTGAPKMVEHSQSSYGLGFVASGRRWVALTESDIFWNTTDTGWVKAAWTLFSAWPNGSCIFVHELPRVDAKVILNTLSKFPITTLCCVPTIFRLLVQEDLTRYQFQSLRHCLTGGEALNPDVREKWKHQTGVELYEGYGQSETVVICANPKGMKIKSGSMGKASPPYDVQIVDDEGNVLPPGEEGNVAVRIRPTRPFCFFNCYLDNPEKTAASEQGDFYITGDRARMDKDGYFWFMGRNDDVINSSSYRIGPVEVESALAEHPAVLESAVVSSPDPIRGEVVKAFIVLTPAYSSHDPEALTRELQEHVKRVTAPYKYPRKVAFVSELPKTVSGKIQRSKLRSQEWGK
+>DECOY_sp|Q6NUN0|ACSM5_HUMAN Acyl-coenzyme A synthetase ACSM5, mitochondrial OS=Homo sapiens OX=9606 GN=ACSM5 PE=1 SV=2
+KGWEQSRLKSRQIKGSVTKPLESVFAVKRPYKYPATVRKVHEQLERTLAEPDHSSYAPTLVIFAKVVEGRIPDPSSVVASELVAPHEALASEVEVPGIRYSSSNIVDDNRGMFWFYGDKDMRARDGTIYFDGQESAATKEPNDLYCNFFCFPRTPRIRVAVNGEEGPPLVNGEDDVIQVDYPPSAKGMSGSKIKMGKPNACIVVTESQGYGEYLEVGTQHKWKERVDPNLAEGGTLCHRLSQFQYRTLDEQVLLRFITPVCCLTTIPFKSLTNLIVKADVRPLEHVFICSGNPWASFLTWAAKVWGTDTTNWFIDSETLAVWRRGSAVFGLGYSSQSHEVMKPAGTTGSTFYIALPDRSKTRMCNHETSAERLLERFNLWGPRSSDSVLLKTQLSPCEASIADVRPALSDSTIISKARSAQLRYKLDKETLQTVGPIMVTGTRMCAVSVLWWEPLRPLVLMMRDGPQLGCAGGLVNAAKRSQKGLEEFSWKIEAGTGNVWWFAPNPPRHGAEELRSWVDLVDHAFNFYEPVLQRGLSIAEWTAVIKQPVPLPAPKGHSGCFARSNRLAQLVLHRLWPRM
+>sp|P68032|ACTC_HUMAN Actin, alpha cardiac muscle 1 OS=Homo sapiens OX=9606 GN=ACTC1 PE=1 SV=1
+MCDDEETTALVCDNGSGLVKAGFAGDDAPRAVFPSIVGRPRHQGVMVGMGQKDSYVGDEAQSKRGILTLKYPIEHGIITNWDDMEKIWHHTFYNELRVAPEEHPTLLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVTHNVPIYEGYALPHAIMRLDLAGRDLTDYLMKILTERGYSFVTTAEREIVRDIKEKLCYVALDFENEMATAASSSSLEKSYELPDGQVITIGNERFRCPETLFQPSFIGMESAGIHETTYNSIMKCDIDIRKDLYANNVLSGGTTMYPGIADRMQKEITALAPSTMKIKIIAPPERKYSVWIGGSILASLSTFQQMWISKQEYDEAGPSIVHRKCF
+>DECOY_sp|P68032|ACTC_HUMAN Actin, alpha cardiac muscle 1 OS=Homo sapiens OX=9606 GN=ACTC1 PE=1 SV=1
+FCKRHVISPGAEDYEQKSIWMQQFTSLSALISGGIWVSYKREPPAIIKIKMTSPALATIEKQMRDAIGPYMTTGGSLVNNAYLDKRIDIDCKMISNYTTEHIGASEMGIFSPQFLTEPCRFRENGITIVQGDPLEYSKELSSSSAATAMENEFDLAVYCLKEKIDRVIEREATTVFSYGRETLIKMLYDTLDRGALDLRMIAHPLAYGEYIPVNHTVGDGSDLVIGTTRGSAYLSLVAQIAVYMAPVNFTEFMIQTMKERNAKPNLPAETLLTPHEEPAVRLENYFTHHWIKEMDDWNTIIGHEIPYKLTLIGRKSQAEDGVYSDKQGMGVMVGQHRPRGVISPFVARPADDGAFGAKVLGSGNDCVLATTEEDDCM
+>sp|P63261|ACTG_HUMAN Actin, cytoplasmic 2 OS=Homo sapiens OX=9606 GN=ACTG1 PE=1 SV=1
+MEEEIAALVIDNGSGMCKAGFAGDDAPRAVFPSIVGRPRHQGVMVGMGQKDSYVGDEAQSKRGILTLKYPIEHGIVTNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNTPAMYVAIQAVLSLYASGRTTGIVMDSGDGVTHTVPIYEGYALPHAILRLDLAGRDLTDYLMKILTERGYSFTTTAEREIVRDIKEKLCYVALDFEQEMATAASSSSLEKSYELPDGQVITIGNERFRCPEALFQPSFLGMESCGIHETTFNSIMKCDVDIRKDLYANTVLSGGTTMYPGIADRMQKEITALAPSTMKIKIIAPPERKYSVWIGGSILASLSTFQQMWISKQEYDESGPSIVHRKCF
+>DECOY_sp|P63261|ACTG_HUMAN Actin, cytoplasmic 2 OS=Homo sapiens OX=9606 GN=ACTG1 PE=1 SV=1
+FCKRHVISPGSEDYEQKSIWMQQFTSLSALISGGIWVSYKREPPAIIKIKMTSPALATIEKQMRDAIGPYMTTGGSLVTNAYLDKRIDVDCKMISNFTTEHIGCSEMGLFSPQFLAEPCRFRENGITIVQGDPLEYSKELSSSSAATAMEQEFDLAVYCLKEKIDRVIEREATTTFSYGRETLIKMLYDTLDRGALDLRLIAHPLAYGEYIPVTHTVGDGSDMVIGTTRGSAYLSLVAQIAVYMAPTNFTEFMIQTMKERNAKPNLPAETLLVPHEEPAVRLENYFTHHWIKEMDDWNTVIGHEIPYKLTLIGRKSQAEDGVYSDKQGMGVMVGQHRPRGVISPFVARPADDGAFGAKCMGSGNDIVLAAIEEEM
+>sp|Q01718|ACTHR_HUMAN Adrenocorticotropic hormone receptor OS=Homo sapiens OX=9606 GN=MC2R PE=1 SV=1
+MKHIINSYENINNTARNNSDCPRVVLPEEIFFTISIVGVLENLIVLLAVFKNKNLQAPMYFFICSLAISDMLGSLYKILENILIILRNMGYLKPRGSFETTADDIIDSLFVLSLLGSIFSLSVIAADRYITIFHALRYHSIVTMRRTVVVLTVIWTFCTGTGITMVIFSHHVPTVITFTSLFPLMLVFILCLYVHMFLLARSHTRKISTLPRANMKGAITLTILLGVFIFCWAPFVLHVLLMTFCPSNPYCACYMSLFQVNGMLIMCNAVIDPFIYAFRSPELRDAFKKMIFCSRYW
+>DECOY_sp|Q01718|ACTHR_HUMAN Adrenocorticotropic hormone receptor OS=Homo sapiens OX=9606 GN=MC2R PE=1 SV=1
+WYRSCFIMKKFADRLEPSRFAYIFPDIVANCMILMGNVQFLSMYCACYPNSPCFTMLLVHLVFPAWCFIFVGLLITLTIAGKMNARPLTSIKRTHSRALLFMHVYLCLIFVLMLPFLSTFTIVTPVHHSFIVMTIGTGTCFTWIVTLVVVTRRMTVISHYRLAHFITIYRDAAIVSLSFISGLLSLVFLSDIIDDATTEFSGRPKLYGMNRLIILINELIKYLSGLMDSIALSCIFFYMPAQLNKNKFVALLVILNELVGVISITFFIEEPLVVRPCDSNNRATNNINEYSNIIHKM
+>sp|P63104|1433Z_HUMAN 14-3-3 protein zeta/delta OS=Homo sapiens OX=9606 GN=YWHAZ PE=1 SV=1
+MDKNELVQKAKLAEQAERYDDMAACMKSVTEQGAELSNEERNLLSVAYKNVVGARRSSWRVVSSIEQKTEGAEKKQQMAREYREKIETELRDICNDVLSLLEKFLIPNASQAESKVFYLKMKGDYYRYLAEVAAGDDKKGIVDQSQQAYQEAFEISKKEMQPTHPIRLGLALNFSVFYYEILNSPEKACSLAKTAFDEAIAELDTLSEESYKDSTLIMQLLRDNLTLWTSDTQGDEAEAGEGGEN
+>DECOY_sp|P63104|1433Z_HUMAN 14-3-3 protein zeta/delta OS=Homo sapiens OX=9606 GN=YWHAZ PE=1 SV=1
+NEGGEGAEAEDGQTDSTWLTLNDRLLQMILTSDKYSEESLTDLEAIAEDFATKALSCAKEPSNLIEYYFVSFNLALGLRIPHTPQMEKKSIEFAEQYAQQSQDVIGKKDDGAAVEALYRYYDGKMKLYFVKSEAQSANPILFKELLSLVDNCIDRLETEIKERYERAMQQKKEAGETKQEISSVVRWSSRRAGVVNKYAVSLLNREENSLEAGQETVSKMCAAMDDYREAQEALKAKQVLENKDM
+>sp|P01892|1A02_HUMAN HLA class I histocompatibility antigen, A-2 alpha chain OS=Homo sapiens OX=9606 GN=HLA-A PE=1 SV=1
+MAVMAPRTLVLLLSGALALTQTWAGSHSMRYFFTSVSRPGRGEPRFIAVGYVDDTQFVRFDSDAASQRMEPRAPWIEQEGPEYWDGETRKVKAHSQTHRVDLGTLRGYYNQSEAGSHTVQRMYGCDVGSDWRFLRGYHQYAYDGKDYIALKEDLRSWTAADMAAQTTKHKWEAAHVAEQLRAYLEGTCVEWLRRYLENGKETLQRTDAPKTHMTHHAVSDHEATLRCWALSFYPAEITLTWQRDGEDQTQDTELVETRPAGDGTFQKWAAVVVPSGQEQRYTCHVQHEGLPKPLTLRWEPSSQPTIPIVGIIAGLVLFGAVITGAVVAAVMWRRKSSDRKGGSYSQAASSDSAQGSDVSLTACKV
+>DECOY_sp|P01892|1A02_HUMAN HLA class I histocompatibility antigen, A-2 alpha chain OS=Homo sapiens OX=9606 GN=HLA-A PE=1 SV=1
+VKCATLSVDSGQASDSSAAQSYSGGKRDSSKRRWMVAAVVAGTIVAGFLVLGAIIGVIPITPQSSPEWRLTLPKPLGEHQVHCTYRQEQGSPVVVAAWKQFTGDGAPRTEVLETDQTQDEGDRQWTLTIEAPYFSLAWCRLTAEHDSVAHHTMHTKPADTRQLTEKGNELYRRLWEVCTGELYARLQEAVHAAEWKHKTTQAAMDAATWSRLDEKLAIYDKGDYAYQHYGRLFRWDSGVDCGYMRQVTHSGAESQNYYGRLTGLDVRHTQSHAKVKRTEGDWYEPGEQEIWPARPEMRQSAADSDFRVFQTDDVYGVAIFRPEGRGPRSVSTFFYRMSHSGAWTQTLALAGSLLLVLTRPAMVAM
+>sp|P13746|1A11_HUMAN HLA class I histocompatibility antigen, A-11 alpha chain OS=Homo sapiens OX=9606 GN=HLA-A PE=1 SV=1
+MAVMAPRTLLLLLSGALALTQTWAGSHSMRYFYTSVSRPGRGEPRFIAVGYVDDTQFVRFDSDAASQRMEPRAPWIEQEGPEYWDQETRNVKAQSQTDRVDLGTLRGYYNQSEDGSHTIQIMYGCDVGPDGRFLRGYRQDAYDGKDYIALNEDLRSWTAADMAAQITKRKWEAAHAAEQQRAYLEGRCVEWLRRYLENGKETLQRTDPPKTHMTHHPISDHEATLRCWALGFYPAEITLTWQRDGEDQTQDTELVETRPAGDGTFQKWAAVVVPSGEEQRYTCHVQHEGLPKPLTLRWELSSQPTIPIVGIIAGLVLLGAVITGAVVAAVMWRRKSSDRKGGSYTQAASSDSAQGSDVSLTACKV
+>DECOY_sp|P13746|1A11_HUMAN HLA class I histocompatibility antigen, A-11 alpha chain OS=Homo sapiens OX=9606 GN=HLA-A PE=1 SV=1
+VKCATLSVDSGQASDSSAAQTYSGGKRDSSKRRWMVAAVVAGTIVAGLLVLGAIIGVIPITPQSSLEWRLTLPKPLGEHQVHCTYRQEEGSPVVVAAWKQFTGDGAPRTEVLETDQTQDEGDRQWTLTIEAPYFGLAWCRLTAEHDSIPHHTMHTKPPDTRQLTEKGNELYRRLWEVCRGELYARQQEAAHAAEWKRKTIQAAMDAATWSRLDENLAIYDKGDYADQRYGRLFRGDPGVDCGYMIQITHSGDESQNYYGRLTGLDVRDTQSQAKVNRTEQDWYEPGEQEIWPARPEMRQSAADSDFRVFQTDDVYGVAIFRPEGRGPRSVSTYFYRMSHSGAWTQTLALAGSLLLLLTRPAMVAM
+>sp|Q4AC99|1A1L2_HUMAN Probable inactive 1-aminocyclopropane-1-carboxylate synthase-like protein 2 OS=Homo sapiens OX=9606 GN=ACCSL PE=2 SV=3
+MSHRSDTLPVPSGQRRGRVPRDHSIYTQLLEITLHLQQAMTEHFVQLTSRQGLSLEERRHTEAICEHEALLSRLICRMINLLQSGAASGLELQVPLPSEDSRGDVRYGQRAQLSGQPDPVPQLSDCEAAFVNRDLSIRGIDISVFYQSSFQDYNAYQKDKYHKDKNTLGFINLGTSENKLCMDLMTERLQESDMNCIEDTLLQYPDWRGQPFLREEVARFLTYYCRAPTRLDPENVVVLNGCCSVFCALAMVLCDPGEAFLVPAPFYGGFAFSSRLYAKVELIPVHLESEVTVTNTHPFQLTVDKLEEALLEARLEGKKVRGLVLINPQNPLGDIYSPDSLMKYLEFAKRYNLHVIIDEIYMLSVFDESITFHSILSMKSLPDSNRTHVIWGTSKDFGISGFRFGALYTHNKEVASAVSAFGYLHSISGITQHKLCQLLQNTEWIDKVYLPTNCYRLREAHKYITAELKALEIPFHNRSSGLYVWINLKKYLDPCTFEEERLLYCRFLDNKLLLSRGKTYMCKEPGWFCLIFADELPRLKLAMRRFCDVLQEQKEALIVKQLEDAMRE
+>DECOY_sp|Q4AC99|1A1L2_HUMAN Probable inactive 1-aminocyclopropane-1-carboxylate synthase-like protein 2 OS=Homo sapiens OX=9606 GN=ACCSL PE=2 SV=3
+ERMADELQKVILAEKQEQLVDCFRRMALKLRPLEDAFILCFWGPEKCMYTKGRSLLLKNDLFRCYLLREEEFTCPDLYKKLNIWVYLGSSRNHFPIELAKLEATIYKHAERLRYCNTPLYVKDIWETNQLLQCLKHQTIGSISHLYGFASVASAVEKNHTYLAGFRFGSIGFDKSTGWIVHTRNSDPLSKMSLISHFTISEDFVSLMYIEDIIVHLNYRKAFELYKMLSDPSYIDGLPNQPNILVLGRVKKGELRAELLAEELKDVTLQFPHTNTVTVESELHVPILEVKAYLRSSFAFGGYFPAPVLFAEGPDCLVMALACFVSCCGNLVVVNEPDLRTPARCYYTLFRAVEERLFPQGRWDPYQLLTDEICNMDSEQLRETMLDMCLKNESTGLNIFGLTNKDKHYKDKQYANYDQFSSQYFVSIDIGRISLDRNVFAAECDSLQPVPDPQGSLQARQGYRVDGRSDESPLPVQLELGSAAGSQLLNIMRCILRSLLAEHECIAETHRREELSLGQRSTLQVFHETMAQQLHLTIELLQTYISHDRPVRGRRQGSPVPLTDSRHSM
+>sp|P30450|1A26_HUMAN HLA class I histocompatibility antigen, A-26 alpha chain OS=Homo sapiens OX=9606 GN=HLA-A PE=1 SV=2
+MAVMAPRTLVLLLSGALALTQTWAGSHSMRYFYTSVSRPGRGEPRFIAVGYVDDTQFVRFDSDAASQRMEPRAPWIEQEGPEYWDRNTRNVKAHSQTDRANLGTLRGYYNQSEDGSHTIQRMYGCDVGPDGRFLRGYQQDAYDGKDYIALNEDLRSWTAADMAAQITQRKWETAHEAEQWRAYLEGRCVEWLRRYLENGKETLQRTDAPKTHMTHHAVSDHEATLRCWALSFYPAEITLTWQRDGEDQTQDTELVETRPAGDGTFQKWASVVVPSGQEQRYTCHVQHEGLPKPLTLRWEPSSQPTIPIVGIIAGLVLFGAVIAGAVVAAVMWRRKSSDRKGGSYSQAASSDSAQGSDMSLTACKV
+>DECOY_sp|P30450|1A26_HUMAN HLA class I histocompatibility antigen, A-26 alpha chain OS=Homo sapiens OX=9606 GN=HLA-A PE=1 SV=2
+VKCATLSMDSGQASDSSAAQSYSGGKRDSSKRRWMVAAVVAGAIVAGFLVLGAIIGVIPITPQSSPEWRLTLPKPLGEHQVHCTYRQEQGSPVVVSAWKQFTGDGAPRTEVLETDQTQDEGDRQWTLTIEAPYFSLAWCRLTAEHDSVAHHTMHTKPADTRQLTEKGNELYRRLWEVCRGELYARWQEAEHATEWKRQTIQAAMDAATWSRLDENLAIYDKGDYADQQYGRLFRGDPGVDCGYMRQITHSGDESQNYYGRLTGLNARDTQSHAKVNRTNRDWYEPGEQEIWPARPEMRQSAADSDFRVFQTDDVYGVAIFRPEGRGPRSVSTYFYRMSHSGAWTQTLALAGSLLLVLTRPAMVAM
+>sp|P16188|1A30_HUMAN HLA class I histocompatibility antigen, A-30 alpha chain OS=Homo sapiens OX=9606 GN=HLA-A PE=1 SV=2
+MAVMAPRTLLLLLSGALALTHTWAGSHSMRYFSTSVSRPGSGEPRFIAVGYVDDTQFVRFDSDAASQRMEPRAPWIEQERPEYWDQETRNVKAQSQTDRVDLGTLRGYYNQSEAGSHTIQIMYGCDVGSDGRFLRGYEQHAYDGKDYIALNEDLRSWTAADMAAQITQRKWEAARWAEQLRAYLEGTCVEWLRRYLENGKETLQRTDPPKTHMTHHPISDHEATLRCWALGFYPAEITLTWQRDGEDQTQDTELVETRPAGDGTFQKWAAVVVPSGEEQRYTCHVQHEGLPKPLTLRWELSSQPTIPIVGIIAGLVLLGAVITGAVVAAVMWRRKSSDRKGGSYTQAASSDSAQGSDVSLTACKV
+>DECOY_sp|P16188|1A30_HUMAN HLA class I histocompatibility antigen, A-30 alpha chain OS=Homo sapiens OX=9606 GN=HLA-A PE=1 SV=2
+VKCATLSVDSGQASDSSAAQTYSGGKRDSSKRRWMVAAVVAGTIVAGLLVLGAIIGVIPITPQSSLEWRLTLPKPLGEHQVHCTYRQEEGSPVVVAAWKQFTGDGAPRTEVLETDQTQDEGDRQWTLTIEAPYFGLAWCRLTAEHDSIPHHTMHTKPPDTRQLTEKGNELYRRLWEVCTGELYARLQEAWRAAEWKRQTIQAAMDAATWSRLDENLAIYDKGDYAHQEYGRLFRGDSGVDCGYMIQITHSGAESQNYYGRLTGLDVRDTQSQAKVNRTEQDWYEPREQEIWPARPEMRQSAADSDFRVFQTDDVYGVAIFRPEGSGPRSVSTSFYRMSHSGAWTHTLALAGSLLLLLTRPAMVAM
+>sp|P16190|1A33_HUMAN HLA class I histocompatibility antigen, A-33 alpha chain OS=Homo sapiens OX=9606 GN=HLA-A PE=1 SV=3
+MAVMAPRTLLLLLLGALALTQTWAGSHSMRYFTTSVSRPGRGEPRFIAVGYVDDTQFVRFDSDAASQRMEPRAPWIEQEGPEYWDRNTRNVKAHSQIDRVDLGTLRGYYNQSEAGSHTIQMMYGCDVGSDGRFLRGYQQDAYDGKDYIALNEDLRSWTAADMAAQITQRKWEAARVAEQLRAYLEGTCVEWLRRHLENGKETLQRTDPPKTHMTHHAVSDHEATLRCWALSFYPAEITLTWQRDGEDQTQDTELVETRPAGDGTFQKWASVVVPSGQEQRYTCHVQHEGLPKPLTLRWEPSSQPTIPIVGIIAGLVLFGAVFAGAVVAAVRWRRKSSDRKGGSYSQAASSDSAQGSDMSLTACKV
+>DECOY_sp|P16190|1A33_HUMAN HLA class I histocompatibility antigen, A-33 alpha chain OS=Homo sapiens OX=9606 GN=HLA-A PE=1 SV=3
+VKCATLSMDSGQASDSSAAQSYSGGKRDSSKRRWRVAAVVAGAFVAGFLVLGAIIGVIPITPQSSPEWRLTLPKPLGEHQVHCTYRQEQGSPVVVSAWKQFTGDGAPRTEVLETDQTQDEGDRQWTLTIEAPYFSLAWCRLTAEHDSVAHHTMHTKPPDTRQLTEKGNELHRRLWEVCTGELYARLQEAVRAAEWKRQTIQAAMDAATWSRLDENLAIYDKGDYADQQYGRLFRGDSGVDCGYMMQITHSGAESQNYYGRLTGLDVRDIQSHAKVNRTNRDWYEPGEQEIWPARPEMRQSAADSDFRVFQTDDVYGVAIFRPEGRGPRSVSTTFYRMSHSGAWTQTLALAGLLLLLLTRPAMVAM
+>sp|P30461|1B13_HUMAN HLA class I histocompatibility antigen, B-13 alpha chain OS=Homo sapiens OX=9606 GN=HLA-B PE=1 SV=1
+MRVTAPRTLLLLLWGAVALTETWAGSHSMRYFYTAMSRPGRGEPRFITVGYVDDTQFVRFDSDATSPRMAPRAPWIEQEGPEYWDRETQISKTNTQTYRENLRTALRYYNQSEAGSHTWQTMYGCDLGPDGRLLRGHNQLAYDGKDYIALNEDLSSWTAADTAAQITQLKWEAARVAEQLRAYLEGECVEWLRRYLENGKETLQRADPPKTHVTHHPISDHEATLRCWALGFYPAEITLTWQRDGEDQTQDTELVETRPAGDRTFQKWAAVVVPSGEEQRYTCHVQHEGLPKPLTLRWEPSSQSTVPIVGIVAGLAVLAVVVIGAVVAAVMCRRKSSGGKGGSYSQAACSDSAQGSDVSLTA
+>DECOY_sp|P30461|1B13_HUMAN HLA class I histocompatibility antigen, B-13 alpha chain OS=Homo sapiens OX=9606 GN=HLA-B PE=1 SV=1
+ATLSVDSGQASDSCAAQSYSGGKGGSSKRRCMVAAVVAGIVVVALVALGAVIGVIPVTSQSSPEWRLTLPKPLGEHQVHCTYRQEEGSPVVVAAWKQFTRDGAPRTEVLETDQTQDEGDRQWTLTIEAPYFGLAWCRLTAEHDSIPHHTVHTKPPDARQLTEKGNELYRRLWEVCEGELYARLQEAVRAAEWKLQTIQAATDAATWSSLDENLAIYDKGDYALQNHGRLLRGDPGLDCGYMTQWTHSGAESQNYYRLATRLNERYTQTNTKSIQTERDWYEPGEQEIWPARPAMRPSTADSDFRVFQTDDVYGVTIFRPEGRGPRSMATYFYRMSHSGAWTETLAVAGWLLLLLTRPATVRM
+>sp|Q95365|1B38_HUMAN HLA class I histocompatibility antigen, B-38 alpha chain OS=Homo sapiens OX=9606 GN=HLA-B PE=1 SV=1
+MLVMAPRTVLLLLSAALALTETWAGSHSMRYFYTSVSRPGRGEPRFISVGYVDDTQFVRFDSDAASPREEPRAPWIEQEGPEYWDRNTQICKTNTQTYRENLRIALRYYNQSEAGSHTLQRMYGCDVGPDGRLLRGHNQFAYDGKDYIALNEDLSSWTAADTAAQITQRKWEAARVAEQLRTYLEGTCVEWLRRYLENGKETLQRADPPKTHVTHHPISDHEATLRCWALGFYPAEITLTWQRDGEDQTQDTELVETRPAGDRTFQKWAAVVVPSGEEQRYTCHVQHEGLPKPLTLRWEPSSQSTVPIVGIVAGLAVLAVVVIGAVVAAVMCRRKSSGGKGGSYSQAASSDSAQGSDVSLTA
+>DECOY_sp|Q95365|1B38_HUMAN HLA class I histocompatibility antigen, B-38 alpha chain OS=Homo sapiens OX=9606 GN=HLA-B PE=1 SV=1
+ATLSVDSGQASDSSAAQSYSGGKGGSSKRRCMVAAVVAGIVVVALVALGAVIGVIPVTSQSSPEWRLTLPKPLGEHQVHCTYRQEEGSPVVVAAWKQFTRDGAPRTEVLETDQTQDEGDRQWTLTIEAPYFGLAWCRLTAEHDSIPHHTVHTKPPDARQLTEKGNELYRRLWEVCTGELYTRLQEAVRAAEWKRQTIQAATDAATWSSLDENLAIYDKGDYAFQNHGRLLRGDPGVDCGYMRQLTHSGAESQNYYRLAIRLNERYTQTNTKCIQTNRDWYEPGEQEIWPARPEERPSAADSDFRVFQTDDVYGVSIFRPEGRGPRSVSTYFYRMSHSGAWTETLALAASLLLLVTRPAMVLM
+>sp|Q04826|1B40_HUMAN HLA class I histocompatibility antigen, B-40 alpha chain OS=Homo sapiens OX=9606 GN=HLA-B PE=1 SV=1
+MRVTAPRTLLLLLWGAVALTETWAGSHSMRYFHTSVSRPGRGEPRFITVGYVDDTLFVRFDSDATSPRKEPRAPWIEQEGPEYWDRETQISKTNTQTYRESLRNLRGYYNQSEAGSHTLQSMYGCDVGPDGRLLRGHNQYAYDGKDYIALNEDLRSWTAADTAAQITQRKWEAARVAEQLRAYLEGECVEWLRRYLENGKETLQRADPPKTHVTHHPISDHEATLRCWALGFYPAEITLTWQRDGEDQTQDTELVETRPAGDRTFQKWAAVVVPSGEEQRYTCHVQHEGLPKPLTLRWEPSSQSTVPIVGIVAGLAVLAVVVIGAVVAAVMCRRKSSGGKGGSYSQAACSDSAQGSDVSLTA
+>DECOY_sp|Q04826|1B40_HUMAN HLA class I histocompatibility antigen, B-40 alpha chain OS=Homo sapiens OX=9606 GN=HLA-B PE=1 SV=1
+ATLSVDSGQASDSCAAQSYSGGKGGSSKRRCMVAAVVAGIVVVALVALGAVIGVIPVTSQSSPEWRLTLPKPLGEHQVHCTYRQEEGSPVVVAAWKQFTRDGAPRTEVLETDQTQDEGDRQWTLTIEAPYFGLAWCRLTAEHDSIPHHTVHTKPPDARQLTEKGNELYRRLWEVCEGELYARLQEAVRAAEWKRQTIQAATDAATWSRLDENLAIYDKGDYAYQNHGRLLRGDPGVDCGYMSQLTHSGAESQNYYGRLNRLSERYTQTNTKSIQTERDWYEPGEQEIWPARPEKRPSTADSDFRVFLTDDVYGVTIFRPEGRGPRSVSTHFYRMSHSGAWTETLAVAGWLLLLLTRPATVRM
+>sp|P30480|1B42_HUMAN HLA class I histocompatibility antigen, B-42 alpha chain OS=Homo sapiens OX=9606 GN=HLA-B PE=1 SV=1
+MLVMAPRTVLLLLSAALALTETWAGSHSMRYFYTSVSRPGRGEPRFISVGYVDDTQFVRFDSDAASPREEPRAPWIEQEGPEYWDRNTQIYKAQAQTDRESLRNLRGYYNQSEAGSHTLQSMYGCDVGPDGRLLRGHNQYAYDGKDYIALNEDLRSWTAADTAAQITQRKWEAARVAEQDRAYLEGTCVEWLRRYLENGKDTLERADPPKTHVTHHPISDHEATLRCWALGFYPAEITLTWQRDGEDQTQDTELVETRPAGDRTFQKWAAVVVPSGEEQRYTCHVQHEGLPKPLTLRWEPSSQSTVPIVGIVAGLAVLAVVVIGAVVAAVMCRRKSSGGKGGSYSQAACSDSAQGSDVSLTA
+>DECOY_sp|P30480|1B42_HUMAN HLA class I histocompatibility antigen, B-42 alpha chain OS=Homo sapiens OX=9606 GN=HLA-B PE=1 SV=1
+ATLSVDSGQASDSCAAQSYSGGKGGSSKRRCMVAAVVAGIVVVALVALGAVIGVIPVTSQSSPEWRLTLPKPLGEHQVHCTYRQEEGSPVVVAAWKQFTRDGAPRTEVLETDQTQDEGDRQWTLTIEAPYFGLAWCRLTAEHDSIPHHTVHTKPPDARELTDKGNELYRRLWEVCTGELYARDQEAVRAAEWKRQTIQAATDAATWSRLDENLAIYDKGDYAYQNHGRLLRGDPGVDCGYMSQLTHSGAESQNYYGRLNRLSERDTQAQAKYIQTNRDWYEPGEQEIWPARPEERPSAADSDFRVFQTDDVYGVSIFRPEGRGPRSVSTYFYRMSHSGAWTETLALAASLLLLVTRPAMVLM
+>sp|P30483|1B45_HUMAN HLA class I histocompatibility antigen, B-45 alpha chain OS=Homo sapiens OX=9606 GN=HLA-B PE=1 SV=1
+MRVTAPRTVLLLLSAALALTETWAGSHSMRYFHTAMSRPGRGEPRFITVGYVDDTLFVRFDSDATSPRKEPRAPWIEQEGPEYWDRETQISKTNTQTYRESLRNLRGYYNQSEAGSHTWQRMYGCDLGPDGRLLRGYNQLAYDGKDYIALNEDLSSWTAADTAAQITQRKWEAARVAEQDRAYLEGLCVESLRRYLENGKETLQRADPPKTHVTHHPISDHEATLRCWALGFYPAEITLTWQRDGEDQTQDTELVETRPAGDRTFQKWAAVVVPSGEEQRYTCHVQHEGLPKPLTLRWEPSSQSTIPIVGIVAGLAVLAVVVIGAVVATVMCRRKSSGGKGGSYSQAASSDSAQGSDVSLTA
+>DECOY_sp|P30483|1B45_HUMAN HLA class I histocompatibility antigen, B-45 alpha chain OS=Homo sapiens OX=9606 GN=HLA-B PE=1 SV=1
+ATLSVDSGQASDSSAAQSYSGGKGGSSKRRCMVTAVVAGIVVVALVALGAVIGVIPITSQSSPEWRLTLPKPLGEHQVHCTYRQEEGSPVVVAAWKQFTRDGAPRTEVLETDQTQDEGDRQWTLTIEAPYFGLAWCRLTAEHDSIPHHTVHTKPPDARQLTEKGNELYRRLSEVCLGELYARDQEAVRAAEWKRQTIQAATDAATWSSLDENLAIYDKGDYALQNYGRLLRGDPGLDCGYMRQWTHSGAESQNYYGRLNRLSERYTQTNTKSIQTERDWYEPGEQEIWPARPEKRPSTADSDFRVFLTDDVYGVTIFRPEGRGPRSMATHFYRMSHSGAWTETLALAASLLLLVTRPATVRM
+>sp|P30485|1B47_HUMAN HLA class I histocompatibility antigen, B-47 alpha chain OS=Homo sapiens OX=9606 GN=HLA-B PE=1 SV=1
+MRVTAPRTLLLLLWGAVALTETWAGSHSMRYFYTAMSRPGRGEPRFITVGYVDDTLFVRFDSDATSPRKEPRAPWIEQEGPEYWDRETQISKTNTQTYREDLRTLLRYYNQSEAGSHTLQRMFGCDVGPDGRLLRGYHQDAYDGKDYIALNEDLSSWTAADTAAQITQRKWEAARVAEQLRAYLEGECVEWLRRYLENGKETLQRADPPKTHVTHHPISDHEATLRCWALGFYPAEITLTWQRDGEDQTQDTELVETRPAGDRTFQKWAAVVVPSGEEQRYTCHVQHEGLPKPLTLRWEPSSQSTVPIVGIVAGLAVLAVVVIGAVVAAVVCRRKSSGGKGGSYSQAACSDSAQGSDVSLTA
+>DECOY_sp|P30485|1B47_HUMAN HLA class I histocompatibility antigen, B-47 alpha chain OS=Homo sapiens OX=9606 GN=HLA-B PE=1 SV=1
+ATLSVDSGQASDSCAAQSYSGGKGGSSKRRCVVAAVVAGIVVVALVALGAVIGVIPVTSQSSPEWRLTLPKPLGEHQVHCTYRQEEGSPVVVAAWKQFTRDGAPRTEVLETDQTQDEGDRQWTLTIEAPYFGLAWCRLTAEHDSIPHHTVHTKPPDARQLTEKGNELYRRLWEVCEGELYARLQEAVRAAEWKRQTIQAATDAATWSSLDENLAIYDKGDYADQHYGRLLRGDPGVDCGFMRQLTHSGAESQNYYRLLTRLDERYTQTNTKSIQTERDWYEPGEQEIWPARPEKRPSTADSDFRVFLTDDVYGVTIFRPEGRGPRSMATYFYRMSHSGAWTETLAVAGWLLLLLTRPATVRM
+>sp|P30487|1B49_HUMAN HLA class I histocompatibility antigen, B-49 alpha chain OS=Homo sapiens OX=9606 GN=HLA-B PE=1 SV=2
+MRVTAPRTVLLLLSAALALTETWAGSHSMRYFHTAMSRPGRGEPRFITVGYVDDTLFVRFDSDATSPRKEPRAPWIEQEGPEYWDRETQISKTNTQTYRENLRIALRYYNQSEAGSHTWQRMYGCDLGPDGRLLRGYNQLAYDGKDYIALNEDLSSWTAADTAAQITQRKWEAAREAEQLRAYLEGLCVEWLRRYLENGKETLQRADPPKTHVTHHPISDHEATLRCWALGFYPAEITLTWQRDGEDQTQDTELVETRPAGDRTFQKWAAVVVPSGEEQRYTCHVQHEGLPKPLTLRWEPSSQSTIPIVGIVAGLAVLAVVVIGAVVATVMCRRKSSGGKGGSYSQAASSDSAQGSDVSLTA
+>DECOY_sp|P30487|1B49_HUMAN HLA class I histocompatibility antigen, B-49 alpha chain OS=Homo sapiens OX=9606 GN=HLA-B PE=1 SV=2
+ATLSVDSGQASDSSAAQSYSGGKGGSSKRRCMVTAVVAGIVVVALVALGAVIGVIPITSQSSPEWRLTLPKPLGEHQVHCTYRQEEGSPVVVAAWKQFTRDGAPRTEVLETDQTQDEGDRQWTLTIEAPYFGLAWCRLTAEHDSIPHHTVHTKPPDARQLTEKGNELYRRLWEVCLGELYARLQEAERAAEWKRQTIQAATDAATWSSLDENLAIYDKGDYALQNYGRLLRGDPGLDCGYMRQWTHSGAESQNYYRLAIRLNERYTQTNTKSIQTERDWYEPGEQEIWPARPEKRPSTADSDFRVFLTDDVYGVTIFRPEGRGPRSMATHFYRMSHSGAWTETLALAASLLLLVTRPATVRM
+>sp|P18464|1B51_HUMAN HLA class I histocompatibility antigen, B-51 alpha chain OS=Homo sapiens OX=9606 GN=HLA-B PE=1 SV=1
+MRVTAPRTVLLLLWGAVALTETWAGSHSMRYFYTAMSRPGRGEPRFIAVGYVDDTQFVRFDSDAASPRTEPRAPWIEQEGPEYWDRNTQIFKTNTQTYRENLRIALRYYNQSEAGSHTWQTMYGCDVGPDGRLLRGHNQYAYDGKDYIALNEDLSSWTAADTAAQITQRKWEAAREAEQLRAYLEGLCVEWLRRHLENGKETLQRADPPKTHVTHHPVSDHEATLRCWALGFYPAEITLTWQRDGEDQTQDTELVETRPAGDRTFQKWAAVVVPSGEEQRYTCHVQHEGLPKPLTLRWEPSSQSTIPIVGIVAGLAVLAVVVIGAVVATVMCRRKSSGGKGGSYSQAASSDSAQGSDVSLTA
+>DECOY_sp|P18464|1B51_HUMAN HLA class I histocompatibility antigen, B-51 alpha chain OS=Homo sapiens OX=9606 GN=HLA-B PE=1 SV=1
+ATLSVDSGQASDSSAAQSYSGGKGGSSKRRCMVTAVVAGIVVVALVALGAVIGVIPITSQSSPEWRLTLPKPLGEHQVHCTYRQEEGSPVVVAAWKQFTRDGAPRTEVLETDQTQDEGDRQWTLTIEAPYFGLAWCRLTAEHDSVPHHTVHTKPPDARQLTEKGNELHRRLWEVCLGELYARLQEAERAAEWKRQTIQAATDAATWSSLDENLAIYDKGDYAYQNHGRLLRGDPGVDCGYMTQWTHSGAESQNYYRLAIRLNERYTQTNTKFIQTNRDWYEPGEQEIWPARPETRPSAADSDFRVFQTDDVYGVAIFRPEGRGPRSMATYFYRMSHSGAWTETLAVAGWLLLLVTRPATVRM
+>sp|P30491|1B53_HUMAN HLA class I histocompatibility antigen, B-53 alpha chain OS=Homo sapiens OX=9606 GN=HLA-B PE=1 SV=1
+MRVTAPRTVLLLLWGAVALTETWAGSHSMRYFYTAMSRPGRGEPRFIAVGYVDDTQFVRFDSDAASPRTEPRAPWIEQEGPEYWDRNTQIFKTNTQTYRENLRIALRYYNQSEAGSHIIQRMYGCDLGPDGRLLRGHDQSAYDGKDYIALNEDLSSWTAADTAAQITQRKWEAARVAEQLRAYLEGLCVEWLRRYLENGKETLQRADPPKTHVTHHPVSDHEATLRCWALGFYPAEITLTWQRDGEDQTQDTELVETRPAGDRTFQKWAAVVVPSGEEQRYTCHVQHEGLPKPLTLRWEPSSQSTIPIVGIVAGLAVLAVVVIGAVVATVMCRRKSSGGKGGSYSQAASSDSAQGSDVSLTA
+>DECOY_sp|P30491|1B53_HUMAN HLA class I histocompatibility antigen, B-53 alpha chain OS=Homo sapiens OX=9606 GN=HLA-B PE=1 SV=1
+ATLSVDSGQASDSSAAQSYSGGKGGSSKRRCMVTAVVAGIVVVALVALGAVIGVIPITSQSSPEWRLTLPKPLGEHQVHCTYRQEEGSPVVVAAWKQFTRDGAPRTEVLETDQTQDEGDRQWTLTIEAPYFGLAWCRLTAEHDSVPHHTVHTKPPDARQLTEKGNELYRRLWEVCLGELYARLQEAVRAAEWKRQTIQAATDAATWSSLDENLAIYDKGDYASQDHGRLLRGDPGLDCGYMRQIIHSGAESQNYYRLAIRLNERYTQTNTKFIQTNRDWYEPGEQEIWPARPETRPSAADSDFRVFQTDDVYGVAIFRPEGRGPRSMATYFYRMSHSGAWTETLAVAGWLLLLVTRPATVRM
+>sp|Q29940|1B59_HUMAN HLA class I histocompatibility antigen, B-59 alpha chain OS=Homo sapiens OX=9606 GN=HLA-B PE=1 SV=1
+MRVTAPRTLLLLLWGALALTETWAGSHSMRYFYTAMSRPGRGEPRFIAVGYVDDTQFVRFDSDAASPREEPRAPWIEQEGPEYWDRNTQIFKTNTQTYRENLRIALRYYNQSEAGSHTWQTMYGCDLGPDGRLLRGHNQLAYDGKDYIALNEDLSSWTAADTAAQITQRKWEAARVAEQLRAYLEGTCVEWLRRYLENGKETLQRADPPKTHVTHHPISDHEATLRCWALGFYPAEITLTWQRDGEDQTQDTELVETRPAGDRTFQKWAAVVVPSGEEQRYTCHVQHEGLPKPLTLRWEPSSQSTIPIVGIVAGLAVLAVVVIGAVVATVMCRRKSSGGKGGSYSQAASSDSAQGSDVSLTA
+>DECOY_sp|Q29940|1B59_HUMAN HLA class I histocompatibility antigen, B-59 alpha chain OS=Homo sapiens OX=9606 GN=HLA-B PE=1 SV=1
+ATLSVDSGQASDSSAAQSYSGGKGGSSKRRCMVTAVVAGIVVVALVALGAVIGVIPITSQSSPEWRLTLPKPLGEHQVHCTYRQEEGSPVVVAAWKQFTRDGAPRTEVLETDQTQDEGDRQWTLTIEAPYFGLAWCRLTAEHDSIPHHTVHTKPPDARQLTEKGNELYRRLWEVCTGELYARLQEAVRAAEWKRQTIQAATDAATWSSLDENLAIYDKGDYALQNHGRLLRGDPGLDCGYMTQWTHSGAESQNYYRLAIRLNERYTQTNTKFIQTNRDWYEPGEQEIWPARPEERPSAADSDFRVFQTDDVYGVAIFRPEGRGPRSMATYFYRMSHSGAWTETLALAGWLLLLLTRPATVRM
+>sp|Q29836|1B67_HUMAN HLA class I histocompatibility antigen, B-67 alpha chain OS=Homo sapiens OX=9606 GN=HLA-B PE=1 SV=1
+MLVMAPRTVLLLLSAALALTETWAGSHSMRYFYTSVSRPGRGEPRFISVGYVDDTQFVRFDSDAASPREEPRAPWIEQEGPEYWDRNTQIYKAQAQTDRESLRNLRGYYNQSEAGSHTLQRMYGCDVGPDGRLLRGHNQFAYDGKDYIALNEDLSSWTAADTAAQITQRKWEAARVAEQLRTYLEGTCVEWLRRYLENGKETLQRADPPKTHVTHHPISDHEATLRCWALGFYPAEITLTWQRDGEDQTQDTELVETRPAGDRTFQKWAAVVVPSGEEQRYTCHVQHEGLPKPLTLRWEPSSQSTVPIVGIVAGLAVLAVVVIGAVVAAVMCRRKSSGGKGGSYSQAASSDSAQGSDVSLTA
+>DECOY_sp|Q29836|1B67_HUMAN HLA class I histocompatibility antigen, B-67 alpha chain OS=Homo sapiens OX=9606 GN=HLA-B PE=1 SV=1
+ATLSVDSGQASDSSAAQSYSGGKGGSSKRRCMVAAVVAGIVVVALVALGAVIGVIPVTSQSSPEWRLTLPKPLGEHQVHCTYRQEEGSPVVVAAWKQFTRDGAPRTEVLETDQTQDEGDRQWTLTIEAPYFGLAWCRLTAEHDSIPHHTVHTKPPDARQLTEKGNELYRRLWEVCTGELYTRLQEAVRAAEWKRQTIQAATDAATWSSLDENLAIYDKGDYAFQNHGRLLRGDPGVDCGYMRQLTHSGAESQNYYGRLNRLSERDTQAQAKYIQTNRDWYEPGEQEIWPARPEERPSAADSDFRVFQTDDVYGVSIFRPEGRGPRSVSTYFYRMSHSGAWTETLALAASLLLLVTRPAMVLM
+>sp|P30498|1B78_HUMAN HLA class I histocompatibility antigen, B-78 alpha chain OS=Homo sapiens OX=9606 GN=HLA-B PE=1 SV=1
+MRVTAPRTVLLLLWGAVALTETWAGSHSMRYFYTAMSRPGRGEPRFIAVGYVDDTQFVRFDSDAASPRTEPRAPWIEQEGPEYWDRNTQIFKTNTQTDRESLRNLRGYYNQSEAGSHTWQTMYGCDVGPDGRLLRGHNQYAYDGKDYIALNEDLSSWTAADTAAQITQRKWEAAREAEQLRAYLEGLCVEWLRRHLENGKETLQRADPPKTHVTHHPVSDHEATLRCWALGFYPAEITLTWQRDGEDQTQDTELVETRPAGDRTFQKWAAVVVPSGEEQRYTCHVQHEGLPKPLTLRWEPSSQSTIPIVGIVAGLAVLAVVVIGAVVATVMCRRKSSGGKGGSYSQAASSDSAQGSDVSLTA
+>DECOY_sp|P30498|1B78_HUMAN HLA class I histocompatibility antigen, B-78 alpha chain OS=Homo sapiens OX=9606 GN=HLA-B PE=1 SV=1
+ATLSVDSGQASDSSAAQSYSGGKGGSSKRRCMVTAVVAGIVVVALVALGAVIGVIPITSQSSPEWRLTLPKPLGEHQVHCTYRQEEGSPVVVAAWKQFTRDGAPRTEVLETDQTQDEGDRQWTLTIEAPYFGLAWCRLTAEHDSVPHHTVHTKPPDARQLTEKGNELHRRLWEVCLGELYARLQEAERAAEWKRQTIQAATDAATWSSLDENLAIYDKGDYAYQNHGRLLRGDPGVDCGYMTQWTHSGAESQNYYGRLNRLSERDTQTNTKFIQTNRDWYEPGEQEIWPARPETRPSAADSDFRVFQTDDVYGVAIFRPEGRGPRSMATYFYRMSHSGAWTETLAVAGWLLLLVTRPATVRM
+>sp|Q29960|1C16_HUMAN HLA class I histocompatibility antigen, Cw-16 alpha chain OS=Homo sapiens OX=9606 GN=HLA-C PE=1 SV=1
+MRVMAPRTLILLLSGALALTETWACSHSMRYFYTAVSRPGRGEPRFIAVGYVDDTQFVRFDSDAASPRGEPRAPWVEQEGPEYWDRETQKYKRQAQTDRVSLRNLRGYYNQSEAGSHTLQWMYGCDLGPDGRLLRGYDQSAYDGKDYIALNEHLRSCTAADTAAQITQRKWEAARAAEQQRAYLEGTCVEWLRRYLENGKETLQRAEHPKTHVTHHLVSDHEATLRCWALGFYPAEITLTWQRDGEDQTQDTELVETRPAGDGTFQKWAAVVVPSGEEQRYTCHVQHEGLPEPLTLRWEPSSQPTIPIVGIVAGLAVLAVLAVLGAVVAVVMCRRKSSGGKGGSCSQAASSNSAQGSDESLIACKA
+>DECOY_sp|Q29960|1C16_HUMAN HLA class I histocompatibility antigen, Cw-16 alpha chain OS=Homo sapiens OX=9606 GN=HLA-C PE=1 SV=1
+AKCAILSEDSGQASNSSAAQSCSGGKGGSSKRRCMVVAVVAGLVALVALVALGAVIGVIPITPQSSPEWRLTLPEPLGEHQVHCTYRQEEGSPVVVAAWKQFTGDGAPRTEVLETDQTQDEGDRQWTLTIEAPYFGLAWCRLTAEHDSVLHHTVHTKPHEARQLTEKGNELYRRLWEVCTGELYARQQEAARAAEWKRQTIQAATDAATCSRLHENLAIYDKGDYASQDYGRLLRGDPGLDCGYMWQLTHSGAESQNYYGRLNRLSVRDTQAQRKYKQTERDWYEPGEQEVWPARPEGRPSAADSDFRVFQTDDVYGVAIFRPEGRGPRSVATYFYRMSHSCAWTETLALAGSLLLILTRPAMVRM
+>sp|P30153|2AAA_HUMAN Serine/threonine-protein phosphatase 2A 65 kDa regulatory subunit A alpha isoform OS=Homo sapiens OX=9606 GN=PPP2R1A PE=1 SV=4
+MAAADGDDSLYPIAVLIDELRNEDVQLRLNSIKKLSTIALALGVERTRSELLPFLTDTIYDEDEVLLALAEQLGTFTTLVGGPEYVHCLLPPLESLATVEETVVRDKAVESLRAISHEHSPSDLEAHFVPLVKRLAGGDWFTSRTSACGLFSVCYPRVSSAVKAELRQYFRNLCSDDTPMVRRAAASKLGEFAKVLELDNVKSEIIPMFSNLASDEQDSVRLLAVEACVNIAQLLPQEDLEALVMPTLRQAAEDKSWRVRYMVADKFTELQKAVGPEITKTDLVPAFQNLMKDCEAEVRAAASHKVKEFCENLSADCRENVIMSQILPCIKELVSDANQHVKSALASVIMGLSPILGKDNTIEHLLPLFLAQLKDECPEVRLNIISNLDCVNEVIGIRQLSQSLLPAIVELAEDAKWRVRLAIIEYMPLLAGQLGVEFFDEKLNSLCMAWLVDHVYAIREAATSNLKKLVEKFGKEWAHATIIPKVLAMSGDPNYLHRMTTLFCINVLSEVCGQDITTKHMLPTVLRMAGDPVANVRFNVAKSLQKIGPILDNSTLQSEVKPILEKLTQDQDVDVKYFAQEALTVLSLA
+>DECOY_sp|P30153|2AAA_HUMAN Serine/threonine-protein phosphatase 2A 65 kDa regulatory subunit A alpha isoform OS=Homo sapiens OX=9606 GN=PPP2R1A PE=1 SV=4
+ALSLVTLAEQAFYKVDVDQDQTLKELIPKVESQLTSNDLIPGIKQLSKAVNFRVNAVPDGAMRLVTPLMHKTTIDQGCVESLVNICFLTTMRHLYNPDGSMALVKPIITAHAWEKGFKEVLKKLNSTAAERIAYVHDVLWAMCLSNLKEDFFEVGLQGALLPMYEIIALRVRWKADEALEVIAPLLSQSLQRIGIVENVCDLNSIINLRVEPCEDKLQALFLPLLHEITNDKGLIPSLGMIVSALASKVHQNADSVLEKICPLIQSMIVNERCDASLNECFEKVKHSAAARVEAECDKMLNQFAPVLDTKTIEPGVAKQLETFKDAVMYRVRWSKDEAAQRLTPMVLAELDEQPLLQAINVCAEVALLRVSDQEDSALNSFMPIIESKVNDLELVKAFEGLKSAAARRVMPTDDSCLNRFYQRLEAKVASSVRPYCVSFLGCASTRSTFWDGGALRKVLPVFHAELDSPSHEHSIARLSEVAKDRVVTEEVTALSELPPLLCHVYEPGGVLTTFTGLQEALALLVEDEDYITDTLFPLLESRTREVGLALAITSLKKISNLRLQVDENRLEDILVAIPYLSDDGDAAAM
+>sp|P63151|2ABA_HUMAN Serine/threonine-protein phosphatase 2A 55 kDa regulatory subunit B alpha isoform OS=Homo sapiens OX=9606 GN=PPP2R2A PE=1 SV=1
+MAGAGGGNDIQWCFSQVKGAVDDDVAEADIISTVEFNHSGELLATGDKGGRVVIFQQEQENKIQSHSRGEYNVYSTFQSHEPEFDYLKSLEIEEKINKIRWLPQKNAAQFLLSTNDKTIKLWKISERDKRPEGYNLKEEDGRYRDPTTVTTLRVPVFRPMDLMVEASPRRIFANAHTYHINSISINSDYETYLSADDLRINLWHLEITDRSFNIVDIKPANMEELTEVITAAEFHPNSCNTFVYSSSKGTIRLCDMRASALCDRHSKLFEEPEDPSNRSFFSEIISSISDVKFSHSGRYMMTRDYLSVKIWDLNMENRPVETYQVHEYLRSKLCSLYENDCIFDKFECCWNGSDSVVMTGSYNNFFRMFDRNTKRDITLEASRENNKPRTVLKPRKVCASGKRKKDEISVDSLDFNKKILHTAWHPKENIIAVATTNNLYIFQDKVN
+>DECOY_sp|P63151|2ABA_HUMAN Serine/threonine-protein phosphatase 2A 55 kDa regulatory subunit B alpha isoform OS=Homo sapiens OX=9606 GN=PPP2R2A PE=1 SV=1
+NVKDQFIYLNNTTAVAIINEKPHWATHLIKKNFDLSDVSIEDKKRKGSACVKRPKLVTRPKNNERSAELTIDRKTNRDFMRFFNNYSGTMVVSDSGNWCCEFKDFICDNEYLSCLKSRLYEHVQYTEVPRNEMNLDWIKVSLYDRTMMYRGSHSFKVDSISSIIESFFSRNSPDEPEEFLKSHRDCLASARMDCLRITGKSSSYVFTNCSNPHFEAATIVETLEEMNAPKIDVINFSRDTIELHWLNIRLDDASLYTEYDSNISISNIHYTHANAFIRRPSAEVMLDMPRFVPVRLTTVTTPDRYRGDEEKLNYGEPRKDRESIKWLKITKDNTSLLFQAANKQPLWRIKNIKEEIELSKLYDFEPEHSQFTSYVNYEGRSHSQIKNEQEQQFIVVRGGKDGTALLEGSHNFEVTSIIDAEAVDDDVAGKVQSFCWQIDNGGGAGAM
+>sp|Q00005|2ABB_HUMAN Serine/threonine-protein phosphatase 2A 55 kDa regulatory subunit B beta isoform OS=Homo sapiens OX=9606 GN=PPP2R2B PE=1 SV=1
+MEEDIDTRKINNSFLRDHSYATEADIISTVEFNHTGELLATGDKGGRVVIFQREQESKNQVHRRGEYNVYSTFQSHEPEFDYLKSLEIEEKINKIRWLPQQNAAYFLLSTNDKTVKLWKVSERDKRPEGYNLKDEEGRLRDPATITTLRVPVLRPMDLMVEATPRRVFANAHTYHINSISVNSDYETYMSADDLRINLWNFEITNQSFNIVDIKPANMEELTEVITAAEFHPHHCNTFVYSSSKGTIRLCDMRASALCDRHTKFFEEPEDPSNRSFFSEIISSISDVKFSHSGRYIMTRDYLTVKVWDLNMENRPIETYQVHDYLRSKLCSLYENDCIFDKFECVWNGSDSVIMTGSYNNFFRMFDRNTKRDVTLEASRENSKPRAILKPRKVCVGGKRRKDEISVDSLDFSKKILHTAWHPSENIIAVAATNNLYIFQDKVN
+>DECOY_sp|Q00005|2ABB_HUMAN Serine/threonine-protein phosphatase 2A 55 kDa regulatory subunit B beta isoform OS=Homo sapiens OX=9606 GN=PPP2R2B PE=1 SV=1
+NVKDQFIYLNNTAAVAIINESPHWATHLIKKSFDLSDVSIEDKRRKGGVCVKRPKLIARPKSNERSAELTVDRKTNRDFMRFFNNYSGTMIVSDSGNWVCEFKDFICDNEYLSCLKSRLYDHVQYTEIPRNEMNLDWVKVTLYDRTMIYRGSHSFKVDSISSIIESFFSRNSPDEPEEFFKTHRDCLASARMDCLRITGKSSSYVFTNCHHPHFEAATIVETLEEMNAPKIDVINFSQNTIEFNWLNIRLDDASMYTEYDSNVSISNIHYTHANAFVRRPTAEVMLDMPRLVPVRLTTITAPDRLRGEEDKLNYGEPRKDRESVKWLKVTKDNTSLLFYAANQQPLWRIKNIKEEIELSKLYDFEPEHSQFTSYVNYEGRRHVQNKSEQERQFIVVRGGKDGTALLEGTHNFEVTSIIDAETAYSHDRLFSNNIKRTDIDEEM
+>sp|Q9Y2T4|2ABG_HUMAN Serine/threonine-protein phosphatase 2A 55 kDa regulatory subunit B gamma isoform OS=Homo sapiens OX=9606 GN=PPP2R2C PE=1 SV=4
+MGEDTDTRKINHSFLRDHSYVTEADIISTVEFNHTGELLATGDKGGRVVIFQREPESKNAPHSQGEYDVYSTFQSHEPEFDYLKSLEIEEKINKIKWLPQQNAAHSLLSTNDKTIKLWKITERDKRPEGYNLKDEEGKLKDLSTVTSLQVPVLKPMDLMVEVSPRRIFANGHTYHINSISVNSDCETYMSADDLRINLWHLAITDRSFNIVDIKPANMEDLTEVITASEFHPHHCNLFVYSSSKGSLRLCDMRAAALCDKHSKLFEEPEDPSNRSFFSEIISSVSDVKFSHSGRYMLTRDYLTVKVWDLNMEARPIETYQVHDYLRSKLCSLYENDCIFDKFECAWNGSDSVIMTGAYNNFFRMFDRNTKRDVTLEASRESSKPRAVLKPRRVCVGGKRRRDDISVDSLDFTKKILHTAWHPAENIIAIAATNNLYIFQDKVNSDMH
+>DECOY_sp|Q9Y2T4|2ABG_HUMAN Serine/threonine-protein phosphatase 2A 55 kDa regulatory subunit B gamma isoform OS=Homo sapiens OX=9606 GN=PPP2R2C PE=1 SV=4
+HMDSNVKDQFIYLNNTAAIAIINEAPHWATHLIKKTFDLSDVSIDDRRRKGGVCVRRPKLVARPKSSERSAELTVDRKTNRDFMRFFNNYAGTMIVSDSGNWACEFKDFICDNEYLSCLKSRLYDHVQYTEIPRAEMNLDWVKVTLYDRTLMYRGSHSFKVDSVSSIIESFFSRNSPDEPEEFLKSHKDCLAAARMDCLRLSGKSSSYVFLNCHHPHFESATIVETLDEMNAPKIDVINFSRDTIALHWLNIRLDDASMYTECDSNVSISNIHYTHGNAFIRRPSVEVMLDMPKLVPVQLSTVTSLDKLKGEEDKLNYGEPRKDRETIKWLKITKDNTSLLSHAANQQPLWKIKNIKEEIELSKLYDFEPEHSQFTSYVDYEGQSHPANKSEPERQFIVVRGGKDGTALLEGTHNFEVTSIIDAETVYSHDRLFSHNIKRTDTDEGM
+>sp|Q30134|2B18_HUMAN HLA class II histocompatibility antigen, DRB1-8 beta chain OS=Homo sapiens OX=9606 GN=HLA-DRB1 PE=1 SV=2
+MVCLRLPGGSCMAVLTVTLMVLSSPLALAGDTRPRFLEYSTGECYFFNGTERVRFLDRYFYNQEEYVRFDSDVGEYRAVTELGRPSAEYWNSQKDFLEDRRALVDTYCRHNYGVGESFTVQRRVHPKVTVYPSKTQPLQHHNLLVCSVSGFYPGSIEVRWFRNGQEEKTGVVSTGLIHNGDWTFQTLVMLETVPRSGEVYTCQVEHPSVTSPLTVEWSARSESAQSKMLSGVGGFVLGLLFLGAGLFIYFRNQKGHSGLQPTGFLS
+>DECOY_sp|Q30134|2B18_HUMAN HLA class II histocompatibility antigen, DRB1-8 beta chain OS=Homo sapiens OX=9606 GN=HLA-DRB1 PE=1 SV=2
+SLFGTPQLGSHGKQNRFYIFLGAGLFLLGLVFGGVGSLMKSQASESRASWEVTLPSTVSPHEVQCTYVEGSRPVTELMVLTQFTWDGNHILGTSVVGTKEEQGNRFWRVEISGPYFGSVSCVLLNHHQLPQTKSPYVTVKPHVRRQVTFSEGVGYNHRCYTDVLARRDELFDKQSNWYEASPRGLETVARYEGVDSDFRVYEEQNYFYRDLFRVRETGNFFYCEGTSYELFRPRTDGALALPSSLVMLTVTLVAMCSGGPLRLCVM
+>sp|Q29974|2B1G_HUMAN HLA class II histocompatibility antigen, DRB1-16 beta chain OS=Homo sapiens OX=9606 GN=HLA-DRB1 PE=1 SV=1
+MVCLKLPGGSCMTALTVTLMVLSSPLALAGDTRPRFLWQPKRECHFFNGTERVRFLDRYFYNQEESVRFDSDVGEYRAVTELGRPDAEYWNSQKDFLEDRRAAVDTYCRHNYGVGESFTVQRRVQPKVTVYPSKTQPLQHHNLLVCSVSGFYPGSIEVRWFLNGQEEKAGMVSTGLIQNGDWTFQTLVMLETVPRSGEVYTCQVEHPSVTSPLTVEWRARSESAQSKMLSGVGGFVLGLLFLGAGLFIYFRNQKGHSGLQPTGFLS
+>DECOY_sp|Q29974|2B1G_HUMAN HLA class II histocompatibility antigen, DRB1-16 beta chain OS=Homo sapiens OX=9606 GN=HLA-DRB1 PE=1 SV=1
+SLFGTPQLGSHGKQNRFYIFLGAGLFLLGLVFGGVGSLMKSQASESRARWEVTLPSTVSPHEVQCTYVEGSRPVTELMVLTQFTWDGNQILGTSVMGAKEEQGNLFWRVEISGPYFGSVSCVLLNHHQLPQTKSPYVTVKPQVRRQVTFSEGVGYNHRCYTDVAARRDELFDKQSNWYEADPRGLETVARYEGVDSDFRVSEEQNYFYRDLFRVRETGNFFHCERKPQWLFRPRTDGALALPSSLVMLTVTLATMCSGGPLKLCVM
+>sp|Q9Y3L3|3BP1_HUMAN SH3 domain-binding protein 1 OS=Homo sapiens OX=9606 GN=SH3BP1 PE=1 SV=3
+MMKRQLHRMRQLAQTGSLGRTPETAEFLGEDLLQVEQRLEPAKRAAHNIHKRLQACLQGQSGADMDKRVKKLPLMALSTTMAESFKELDPDSSMGKALEMSCAIQNQLARILAEFEMTLERDVLQPLSRLSEEELPAILKHKKSLQKLVSDWNTLKSRLSQATKNSGSSQGLGGSPGSHSHTTMANKVETLKEEEEELKRKVEQCRDEYLADLYHFVTKEDSYANYFIRLLEIQADYHRRSLSSLDTALAELRENHGQADHSPSMTATHFPRVYGVSLATHLQELGREIALPIEACVMMLLSEGMKEEGLFRLAAGASVLKRLKQTMASDPHSLEEFCSDPHAVAGALKSYLRELPEPLMTFDLYDDWMRAASLKEPGARLQALQEVCSRLPPENLSNLRYLMKFLARLAEEQEVNKMTPSNIAIVLGPNLLWPPEKEGDQAQLDAASVSSIQVVGVVEALIQSADTLFPGDINFNVSGLFSAVTLQDTVSDRLASEELPSTAVPTPATTPAPAPAPAPAPAPALASAATKERTESEVPPRPASPKVTRSPPETAAPVEDMARRTKRPAPARPTMPPPQVSGSRSSPPAPPLPPGSGSPGTPQALPRRLVGSSLRAPTVPPPLPPTPPQPARRQSRRSPASPSPASPGPASPSPVSLSNPAQVDLGAATAEGGAPEAISGVPTPPAIPPQPRPRSLASETN
+>DECOY_sp|Q9Y3L3|3BP1_HUMAN SH3 domain-binding protein 1 OS=Homo sapiens OX=9606 GN=SH3BP1 PE=1 SV=3
+NTESALSRPRPQPPIAPPTPVGSIAEPAGGEATAAGLDVQAPNSLSVPSPSAPGPSAPSPSAPSRRSQRRAPQPPTPPLPPPVTPARLSSGVLRRPLAQPTGPSGSGPPLPPAPPSSRSGSVQPPPMTPRAPAPRKTRRAMDEVPAATEPPSRTVKPSAPRPPVESETREKTAASALAPAPAPAPAPAPAPTTAPTPVATSPLEESALRDSVTDQLTVASFLGSVNFNIDGPFLTDASQILAEVVGVVQISSVSAADLQAQDGEKEPPWLLNPGLVIAINSPTMKNVEQEEALRALFKMLYRLNSLNEPPLRSCVEQLAQLRAGPEKLSAARMWDDYLDFTMLPEPLERLYSKLAGAVAHPDSCFEELSHPDSAMTQKLRKLVSAGAALRFLGEEKMGESLLMMVCAEIPLAIERGLEQLHTALSVGYVRPFHTATMSPSHDAQGHNERLEALATDLSSLSRRHYDAQIELLRIFYNAYSDEKTVFHYLDALYEDRCQEVKRKLEEEEEKLTEVKNAMTTHSHSGPSGGLGQSSGSNKTAQSLRSKLTNWDSVLKQLSKKHKLIAPLEEESLRSLPQLVDRELTMEFEALIRALQNQIACSMELAKGMSSDPDLEKFSEAMTTSLAMLPLKKVRKDMDAGSQGQLCAQLRKHINHAARKAPELRQEVQLLDEGLFEATEPTRGLSGTQALQRMRHLQRKMM
+>sp|Q7L8J4|3BP5L_HUMAN SH3 domain-binding protein 5-like OS=Homo sapiens OX=9606 GN=SH3BP5L PE=1 SV=1
+MAELRQVPGGRETPQGELRPEVVEDEVPRSPVAEEPGGGGSSSSEAKLSPREEEELDPRIQEELEHLNQASEEINQVELQLDEARTTYRRILQESARKLNTQGSHLGSCIEKARPYYEARRLAKEAQQETQKAALRYERAVSMHNAAREMVFVAEQGVMADKNRLDPTWQEMLNHATCKVNEAEEERLRGEREHQRVTRLCQQAEARVQALQKTLRRAIGKSRPYFELKAQFSQILEEHKAKVTELEQQVAQAKTRYSVALRNLEQISEQIHARRRGGLPPHPLGPRRSSPVGAEAGPEDMEDGDSGIEGAEGAGLEEGSSLGPGPAPDTDTLSLLSLRTVASDLQKCDSVEHLRGLSDHVSLDGQELGTRSGGRRGSDGGARGGRHQRSVSL
+>DECOY_sp|Q7L8J4|3BP5L_HUMAN SH3 domain-binding protein 5-like OS=Homo sapiens OX=9606 GN=SH3BP5L PE=1 SV=1
+LSVSRQHRGGRAGGDSGRRGGSRTGLEQGDLSVHDSLGRLHEVSDCKQLDSAVTRLSLLSLTDTDPAPGPGLSSGEELGAGEAGEIGSDGDEMDEPGAEAGVPSSRRPGLPHPPLGGRRRAHIQESIQELNRLAVSYRTKAQAVQQELETVKAKHEELIQSFQAKLEFYPRSKGIARRLTKQLAQVRAEAQQCLRTVRQHEREGRLREEEAENVKCTAHNLMEQWTPDLRNKDAMVGQEAVFVMERAANHMSVAREYRLAAKQTEQQAEKALRRAEYYPRAKEICSGLHSGQTNLKRASEQLIRRYTTRAEDLQLEVQNIEESAQNLHELEEQIRPDLEEEERPSLKAESSSSGGGGPEEAVPSRPVEDEVVEPRLEGQPTERGGPVQRLEAM
+>sp|P46952|3HAO_HUMAN 3-hydroxyanthranilate 3,4-dioxygenase OS=Homo sapiens OX=9606 GN=HAAO PE=1 SV=2
+MERRLGVRAWVKENRGSFQPPVCNKLMHQEQLKVMFIGGPNTRKDYHIEEGEEVFYQLEGDMVLRVLEQGKHRDVVIRQGEIFLLPARVPHSPQRFANTVGLVVERRRLETELDGLRYYVGDTMDVLFEKWFYCKDLGTQLAPIIQEFFSSEQYRTGKPIPDQLLKEPPFPLSTRSIMEPMSLDAWLDSHHRELQAGTPLSLFGDTYETQVIAYGQGSSEGLRQNVDVWLWQLEGSSVVTMGGRRLSLAPDDSLLVLAGTSYAWERTQGSVALSVTQDPACKKPLG
+>DECOY_sp|P46952|3HAO_HUMAN 3-hydroxyanthranilate 3,4-dioxygenase OS=Homo sapiens OX=9606 GN=HAAO PE=1 SV=2
+GLPKKCAPDQTVSLAVSGQTREWAYSTGALVLLSDDPALSLRRGGMTVVSSGELQWLWVDVNQRLGESSGQGYAIVQTEYTDGFLSLPTGAQLERHHSDLWADLSMPEMISRTSLPFPPEKLLQDPIPKGTRYQESSFFEQIIPALQTGLDKCYFWKEFLVDMTDGVYYRLGDLETELRRREVVLGVTNAFRQPSHPVRAPLLFIEGQRIVVDRHKGQELVRLVMDGELQYFVEEGEEIHYDKRTNPGGIFMVKLQEQHMLKNCVPPQFSGRNEKVWARVGLRREM
+>sp|Q13541|4EBP1_HUMAN Eukaryotic translation initiation factor 4E-binding protein 1 OS=Homo sapiens OX=9606 GN=EIF4EBP1 PE=1 SV=3
+MSGGSSCSQTPSRAIPATRRVVLGDGVQLPPGDYSTTPGGTLFSTTPGGTRIIYDRKFLMECRNSPVTKTPPRDLPTIPGVTSPSSDEPPMEASQSHLRNSPEDKRAGGEESQFEMDI
+>DECOY_sp|Q13541|4EBP1_HUMAN Eukaryotic translation initiation factor 4E-binding protein 1 OS=Homo sapiens OX=9606 GN=EIF4EBP1 PE=1 SV=3
+IDMEFQSEEGGARKDEPSNRLHSQSAEMPPEDSSPSTVGPITPLDRPPTKTVPSNRCEMLFKRDYIIRTGGPTTSFLTGGPTTSYDGPPLQVGDGLVVRRTAPIARSPTQSCSSGGSM
+>sp|P08195|4F2_HUMAN 4F2 cell-surface antigen heavy chain OS=Homo sapiens OX=9606 GN=SLC3A2 PE=1 SV=3
+MELQPPEASIAVVSIPRQLPGSHSEAGVQGLSAGDDSELGSHCVAQTGLELLASGDPLPSASQNAEMIETGSDCVTQAGLQLLASSDPPALASKNAEVTGTMSQDTEVDMKEVELNELEPEKQPMNAASGAAMSLAGAEKNGLVKIKVAEDEAEAAAAAKFTGLSKEELLKVAGSPGWVRTRWALLLLFWLGWLGMLAGAVVIIVRAPRCRELPAQKWWHTGALYRIGDLQAFQGHGAGNLAGLKGRLDYLSSLKVKGLVLGPIHKNQKDDVAQTDLLQIDPNFGSKEDFDSLLQSAKKKSIRVILDLTPNYRGENSWFSTQVDTVATKVKDALEFWLQAGVDGFQVRDIENLKDASSFLAEWQNITKGFSEDRLLIAGTNSSDLQQILSLLESNKDLLLTSSYLSDSGSTGEHTKSLVTQYLNATGNRWCSWSLSQARLLTSFLPAQLLRLYQLMLFTLPGTPVFSYGDEIGLDAAALPGQPMEAPVMLWDESSFPDIPGAVSANMTVKGQSEDPGSLLSLFRRLSDQRSKERSLLHGDFHAFSAGPGLFSYIRHWDQNERFLVVLNFGDVGLSAGLQASDLPASASLPAKADLLLSTQPGREEGSPLELERLKLEPHEGLLLRFPYAA
+>DECOY_sp|P08195|4F2_HUMAN 4F2 cell-surface antigen heavy chain OS=Homo sapiens OX=9606 GN=SLC3A2 PE=1 SV=3
+AAYPFRLLLGEHPELKLRELELPSGEERGPQTSLLLDAKAPLSASAPLDSAQLGASLGVDGFNLVVLFRENQDWHRIYSFLGPGASFAHFDGHLLSREKSRQDSLRRFLSLLSGPDESQGKVTMNASVAGPIDPFSSEDWLMVPAEMPQGPLAAADLGIEDGYSFVPTGPLTFLMLQYLRLLQAPLFSTLLRAQSLSWSCWRNGTANLYQTVLSKTHEGTSGSDSLYSSTLLLDKNSELLSLIQQLDSSNTGAILLRDESFGKTINQWEALFSSADKLNEIDRVQFGDVGAQLWFELADKVKTAVTDVQTSFWSNEGRYNPTLDLIVRISKKKASQLLSDFDEKSGFNPDIQLLDTQAVDDKQNKHIPGLVLGKVKLSSLYDLRGKLGALNGAGHGQFAQLDGIRYLAGTHWWKQAPLERCRPARVIIVVAGALMGLWGLWFLLLLAWRTRVWGPSGAVKLLEEKSLGTFKAAAAAEAEDEAVKIKVLGNKEAGALSMAAGSAANMPQKEPELENLEVEKMDVETDQSMTGTVEANKSALAPPDSSALLQLGAQTVCDSGTEIMEANQSASPLPDGSALLELGTQAVCHSGLESDDGASLGQVGAESHSGPLQRPISVVAISAEPPQLEM
+>sp|P45954|ACDSB_HUMAN Short/branched chain specific acyl-CoA dehydrogenase, mitochondrial OS=Homo sapiens OX=9606 GN=ACADSB PE=1 SV=1
+MEGLAVRLLRGSRLLRRNFLTCLSSWKIPPHVSKSSQSEALLNITNNGIHFAPLQTFTDEEMMIKSSVKKFAQEQIAPLVSTMDENSKMEKSVIQGLFQQGLMGIEVDPEYGGTGASFLSTVLVIEELAKVDASVAVFCEIQNTLINTLIRKHGTEEQKATYLPQLTTEKVGSFCLSEAGAGSDSFALKTRADKEGDYYVLNGSKMWISSAEHAGLFLVMANVDPTIGYKGITSFLVDRDTPGLHIGKPENKLGLRASSTCPLTFENVKVPEANILGQIGHGYKYAIGSLNEGRIGIAAQMLGLAQGCFDYTIPYIKERIQFGKRLFDFQGLQHQVAHVATQLEAARLLTYNAARLLEAGKPFIKEASMAKYYASEIAGQTTSKCIEWMGGVGYTKDYPVEKYFRDAKIGTIYEGASNIQLNTIAKHIDAEY
+>DECOY_sp|P45954|ACDSB_HUMAN Short/branched chain specific acyl-CoA dehydrogenase, mitochondrial OS=Homo sapiens OX=9606 GN=ACADSB PE=1 SV=1
+YEADIHKAITNLQINSAGEYITGIKADRFYKEVPYDKTYGVGGMWEICKSTTQGAIESAYYKAMSAEKIFPKGAELLRAANYTLLRAAELQTAVHAVQHQLGQFDFLRKGFQIREKIYPITYDFCGQALGLMQAAIGIRGENLSGIAYKYGHGIQGLINAEPVKVNEFTLPCTSSARLGLKNEPKGIHLGPTDRDVLFSTIGKYGITPDVNAMVLFLGAHEASSIWMKSGNLVYYDGEKDARTKLAFSDSGAGAESLCFSGVKETTLQPLYTAKQEETGHKRILTNILTNQIECFVAVSADVKALEEIVLVTSLFSAGTGGYEPDVEIGMLGQQFLGQIVSKEMKSNEDMTSVLPAIQEQAFKKVSSKIMMEEDTFTQLPAFHIGNNTINLLAESQSSKSVHPPIKWSSLCTLFNRRLLRSGRLLRVALGEM
+>sp|Q9NUN7|ACER3_HUMAN Alkaline ceramidase 3 OS=Homo sapiens OX=9606 GN=ACER3 PE=1 SV=3
+MAPAADREGYWGPTTSTLDWCEENYSVTWYIAEFWNTVSNLIMIIPPMFGAVQSVRDGLEKRYIASYLALTVVGMGSWCFHMTLKYEMQLLDELPMIYSCCIFVYCMFECFKIKNSVNYHLLFTLVLFSLIVTTVYLKVKEPIFHQVMYGMLVFTLVLRSIYIVTWVYPWLRGLGYTSLGIFLLGFLFWNIDNIFCESLRNFRKKVPPIIGITTQFHAWWHILTGLGSYLHILFSLYTRTLYLRYRPKVKFLFGIWPVILFEPLRKH
+>DECOY_sp|Q9NUN7|ACER3_HUMAN Alkaline ceramidase 3 OS=Homo sapiens OX=9606 GN=ACER3 PE=1 SV=3
+HKRLPEFLIVPWIGFLFKVKPRYRLYLTRTYLSFLIHLYSGLGTLIHWWAHFQTTIGIIPPVKKRFNRLSECFINDINWFLFGLLFIGLSTYGLGRLWPYVWTVIYISRLVLTFVLMGYMVQHFIPEKVKLYVTTVILSFLVLTFLLHYNVSNKIKFCEFMCYVFICCSYIMPLEDLLQMEYKLTMHFCWSGMGVVTLALYSAIYRKELGDRVSQVAGFMPPIIMILNSVTNWFEAIYWTVSYNEECWDLTSTTPGWYGERDAAPAM
+>sp|O96019|ACL6A_HUMAN Actin-like protein 6A OS=Homo sapiens OX=9606 GN=ACTL6A PE=1 SV=1
+MSGGVYGGDEVGALVFDIGSYTVRAGYAGEDCPKVDFPTAIGMVVERDDGSTLMEIDGDKGKQGGPTYYIDTNALRVPRENMEAISPLKNGMVEDWDSFQAILDHTYKMHVKSEASLHPVLMSEAPWNTRAKREKLTELMFEHYNIPAFFLCKTAVLTAFANGRSTGLILDSGATHTTAIPVHDGYVLQQGIVKSPLAGDFITMQCRELFQEMNIELVPPYMIASKEAVREGSPANWKRKEKLPQVTRSWHNYMCNCVIQDFQASVLQVSDSTYDEQVAAQMPTVHYEFPNGYNCDFGAERLKIPEGLFDPSNVKGLSGNTMLGVSHVVTTSVGMCDIDIRPGLYGSVIVAGGNTLIQSFTDRLNRELSQKTPPSMRLKLIANNTTVERRFSSWIGGSILASLGTFQQMWISKQEYEEGGKQCVERKCP
+>DECOY_sp|O96019|ACL6A_HUMAN Actin-like protein 6A OS=Homo sapiens OX=9606 GN=ACTL6A PE=1 SV=1
+PCKREVCQKGGEEYEQKSIWMQQFTGLSALISGGIWSSFRREVTTNNAILKLRMSPPTKQSLERNLRDTFSQILTNGGAVIVSGYLGPRIDIDCMGVSTTVVHSVGLMTNGSLGKVNSPDFLGEPIKLREAGFDCNYGNPFEYHVTPMQAAVQEDYTSDSVQLVSAQFDQIVCNCMYNHWSRTVQPLKEKRKWNAPSGERVAEKSAIMYPPVLEINMEQFLERCQMTIFDGALPSKVIGQQLVYGDHVPIATTHTAGSDLILGTSRGNAFATLVATKCLFFAPINYHEFMLETLKERKARTNWPAESMLVPHLSAESKVHMKYTHDLIAQFSDWDEVMGNKLPSIAEMNERPVRLANTDIYYTPGGQKGKDGDIEMLTSGDDREVVMGIATPFDVKPCDEGAYGARVTYSGIDFVLAGVEDGGYVGGSM
+>sp|P11229|ACM1_HUMAN Muscarinic acetylcholine receptor M1 OS=Homo sapiens OX=9606 GN=CHRM1 PE=1 SV=2
+MNTSAPPAVSPNITVLAPGKGPWQVAFIGITTGLLSLATVTGNLLVLISFKVNTELKTVNNYFLLSLACADLIIGTFSMNLYTTYLLMGHWALGTLACDLWLALDYVASNASVMNLLLISFDRYFSVTRPLSYRAKRTPRRAALMIGLAWLVSFVLWAPAILFWQYLVGERTVLAGQCYIQFLSQPIITFGTAMAAFYLPVTVMCTLYWRIYRETENRARELAALQGSETPGKGGGSSSSSERSQPGAEGSPETPPGRCCRCCRAPRLLQAYSWKEEEEEDEGSMESLTSSEGEEPGSEVVIKMPMVDPEAQAPTKQPPRSSPNTVKRPTKKGRDRAGKGQKPRGKEQLAKRKTFSLVKEKKAARTLSAILLAFILTWTPYNIMVLVSTFCKDCVPETLWELGYWLCYVNSTINPMCYALCNKAFRDTFRLLLLCRWDKRRWRKIPKRPGSVHRTPSRQC
+>DECOY_sp|P11229|ACM1_HUMAN Muscarinic acetylcholine receptor M1 OS=Homo sapiens OX=9606 GN=CHRM1 PE=1 SV=2
+CQRSPTRHVSGPRKPIKRWRRKDWRCLLLLRFTDRFAKNCLAYCMPNITSNVYCLWYGLEWLTEPVCDKCFTSVLVMINYPTWTLIFALLIASLTRAAKKEKVLSFTKRKALQEKGRPKQGKGARDRGKKTPRKVTNPSSRPPQKTPAQAEPDVMPMKIVVESGPEEGESSTLSEMSGEDEEEEEKWSYAQLLRPARCCRCCRGPPTEPSGEAGPQSRESSSSSGGGKGPTESGQLAALERARNETERYIRWYLTCMVTVPLYFAAMATGFTIIPQSLFQIYCQGALVTREGVLYQWFLIAPAWLVFSVLWALGIMLAARRPTRKARYSLPRTVSFYRDFSILLLNMVSANSAVYDLALWLDCALTGLAWHGMLLYTTYLNMSFTGIILDACALSLLFYNNVTKLETNVKFSILVLLNGTVTALSLLGTTIGIFAVQWPGKGPALVTINPSVAPPASTNM
+>sp|P08912|ACM5_HUMAN Muscarinic acetylcholine receptor M5 OS=Homo sapiens OX=9606 GN=CHRM5 PE=2 SV=2
+MEGDSYHNATTVNGTPVNHQPLERHRLWEVITIAAVTAVVSLITIVGNVLVMISFKVNSQLKTVNNYYLLSLACADLIIGIFSMNLYTTYILMGRWALGSLACDLWLALDYVASNASVMNLLVISFDRYFSITRPLTYRAKRTPKRAGIMIGLAWLISFILWAPAILCWQYLVGKRTVPLDECQIQFLSEPTITFGTAIAAFYIPVSVMTILYCRIYRETEKRTKDLADLQGSDSVTKAEKRKPAHRALFRSCLRCPRPTLAQRERNQASWSSSRRSTSTTGKPSQATGPSANWAKAEQLTTCSSYPSSEDEDKPATDPVLQVVYKSQGKESPGEEFSAEETEETFVKAETEKSDYDTPNYLLSPAAAHRPKSQKCVAYKFRLVVKADGNQETNNGCHKVKIMPCPFPVAKEPSTKGLNPNPSHQMTKRKRVVLVKERKAAQTLSAILLAFIITWTPYNIMVLVSTFCDKCVPVTLWHLGYWLCYVNSTVNPICYALCNRTFRKTFKMLLLCRWKKKKVEEKLYWQGNSKLP
+>DECOY_sp|P08912|ACM5_HUMAN Muscarinic acetylcholine receptor M5 OS=Homo sapiens OX=9606 GN=CHRM5 PE=2 SV=2
+PLKSNGQWYLKEEVKKKKWRCLLLMKFTKRFTRNCLAYCIPNVTSNVYCLWYGLHWLTVPVCKDCFTSVLVMINYPTWTIIFALLIASLTQAAKREKVLVVRKRKTMQHSPNPNLGKTSPEKAVPFPCPMIKVKHCGNNTEQNGDAKVVLRFKYAVCKQSKPRHAAAPSLLYNPTDYDSKETEAKVFTEETEEASFEEGPSEKGQSKYVVQLVPDTAPKDEDESSPYSSCTTLQEAKAWNASPGTAQSPKGTTSTSRRSSSWSAQNRERQALTPRPCRLCSRFLARHAPKRKEAKTVSDSGQLDALDKTRKETERYIRCYLITMVSVPIYFAAIATGFTITPESLFQIQCEDLPVTRKGVLYQWCLIAPAWLIFSILWALGIMIGARKPTRKARYTLPRTISFYRDFSIVLLNMVSANSAVYDLALWLDCALSGLAWRGMLIYTTYLNMSFIGIILDACALSLLYYNNVTKLQSNVKFSIMVLVNGVITILSVVATVAAITIVEWLRHRELPQHNVPTGNVTTANHYSDGEM
+>sp|Q8WXI4|ACO11_HUMAN Acyl-coenzyme A thioesterase 11 OS=Homo sapiens OX=9606 GN=ACOT11 PE=1 SV=1
+MIQNVGNHLRRGLASVFSNRTSRKSALRAGNDSAMADGEGYRNPTEVQMSQLVLPCHTNQRGELSVGQLLKWIDTTACLSAERHAGCPCVTASMDDIYFEHTISVGQVVNIKAKVNRAFNSSMEVGIQVASEDLCSEKQWNVCKALATFVARREITKVKLKQITPRTEEEKMEHSVAAERRRMRLVYADTIKDLLANCAIQGDLESRDCSRMVPAEKTRVESVELVLPPHANHQGNTFGGQIMAWMENVATIAASRLCRAHPTLKAIEMFHFRGPSQVGDRLVLKAIVNNAFKHSMEVGVCVEAYRQEAETHRRHINSAFMTFVVLDADDQPQLLPWIRPQPGDGERRYREASARKKIRLDRKYIVSCKQTEVPLSVPWDPSNQVYLSYNNVSSLKMLVAKDNWVLSSEISQVRLYTLEDDKFLSFHMEMVVHVDAAQAFLLLSDLRQRPEWDKHYRSVELVQQVDEDDAIYHVTSPALGGHTKPQDFVILASRRKPCDNGDPYVIALRSVTLPTHRETPEYRRGETLCSGFCLWREGDQLTKCCWVRVSLTELVSASGFYSWGLESRSKGRRSDGWNGKLAGGHLSTLKAIPVAKINSRFGYLQDT
+>DECOY_sp|Q8WXI4|ACO11_HUMAN Acyl-coenzyme A thioesterase 11 OS=Homo sapiens OX=9606 GN=ACOT11 PE=1 SV=1
+TDQLYGFRSNIKAVPIAKLTSLHGGALKGNWGDSRRGKSRSELGWSYFGSASVLETLSVRVWCCKTLQDGERWLCFGSCLTEGRRYEPTERHTPLTVSRLAIVYPDGNDCPKRRSALIVFDQPKTHGGLAPSTVHYIADDEDVQQVLEVSRYHKDWEPRQRLDSLLLFAQAADVHVVMEMHFSLFKDDELTYLRVQSIESSLVWNDKAVLMKLSSVNNYSLYVQNSPDWPVSLPVETQKCSVIYKRDLRIKKRASAERYRREGDGPQPRIWPLLQPQDDADLVVFTMFASNIHRRHTEAEQRYAEVCVGVEMSHKFANNVIAKLVLRDGVQSPGRFHFMEIAKLTPHARCLRSAAITAVNEMWAMIQGGFTNGQHNAHPPLVLEVSEVRTKEAPVMRSCDRSELDGQIACNALLDKITDAYVLRMRRREAAVSHEMKEEETRPTIQKLKVKTIERRAVFTALAKCVNWQKESCLDESAVQIGVEMSSNFARNVKAKINVVQGVSITHEFYIDDMSATVCPCGAHREASLCATTDIWKLLQGVSLEGRQNTHCPLVLQSMQVETPNRYGEGDAMASDNGARLASKRSTRNSFVSALGRRLHNGVNQIM
+>sp|O14734|ACOT8_HUMAN Acyl-coenzyme A thioesterase 8 OS=Homo sapiens OX=9606 GN=ACOT8 PE=1 SV=1
+MSSPQAPEDGQGCGDRGDPPGDLRSVLVTTVLNLEPLDEDLFRGRHYWVPAKRLFGGQIVGQALVAAAKSVSEDVHVHSLHCYFVRAGDPKLPVLYQVERTRTGSSFSVRSVKAVQHGKPIFICQASFQQAQPSPMQHQFSMPTVPPPEELLDCETLIDQYLRDPNLQKRYPLALNRIAAQEVPIEIKPVNPSPLSQLQRMEPKQMFWVRARGYIGEGDMKMHCCVAAYISDYAFLGTALLPHQWQHKVHFMVSLDHSMWFHAPFRADHWMLYECESPWAGGSRGLVHGRLWRQDGVLAVTCAQEGVIRVKPQVSESKL
+>DECOY_sp|O14734|ACOT8_HUMAN Acyl-coenzyme A thioesterase 8 OS=Homo sapiens OX=9606 GN=ACOT8 PE=1 SV=1
+LKSESVQPKVRIVGEQACTVALVGDQRWLRGHVLGRSGGAWPSECEYLMWHDARFPAHFWMSHDLSVMFHVKHQWQHPLLATGLFAYDSIYAAVCCHMKMDGEGIYGRARVWFMQKPEMRQLQSLPSPNVPKIEIPVEQAAIRNLALPYRKQLNPDRLYQDILTECDLLEEPPPVTPMSFQHQMPSPQAQQFSAQCIFIPKGHQVAKVSRVSFSSGTRTREVQYLVPLKPDGARVFYCHLSHVHVDESVSKAAAVLAQGVIQGGFLRKAPVWYHRGRFLDEDLPELNLVTTVLVSRLDGPPDGRDGCGQGDEPAQPSSM
+>sp|Q15067|ACOX1_HUMAN Peroxisomal acyl-coenzyme A oxidase 1 OS=Homo sapiens OX=9606 GN=ACOX1 PE=1 SV=3
+MNPDLRRERDSASFNPELLTHILDGSPEKTRRRREIENMILNDPDFQHEDLNFLTRSQRYEVAVRKSAIMVKKMREFGIADPDEIMWFKKLHLVNFVEPVGLNYSMFIPTLLNQGTTAQKEKWLLSSKGLQIIGTYAQTEMGHGTHLRGLETTATYDPETQEFILNSPTVTSIKWWPGGLGKTSNHAIVLAQLITKGKCYGLHAFIVPIREIGTHKPLPGITVGDIGPKFGYDEIDNGYLKMDNHRIPRENMLMKYAQVKPDGTYVKPLSNKLTYGTMVFVRSFLVGEAARALSKACTIAIRYSAVRHQSEIKPGEPEPQILDFQTQQYKLFPLLATAYAFQFVGAYMKETYHRINEGIGQGDLSELPELHALTAGLKAFTSWTANTGIEACRMACGGHGYSHCSGLPNIYVNFTPSCTFEGENTVMMLQTARFLMKSYDQVHSGKLVCGMVSYLNDLPSQRIQPQQVAVWPTMVDINSPESLTEAYKLRAARLVEIAAKNLQKEVIHRKSKEVAWNLTSVDLVRASEAHCHYVVVKLFSEKLLKIQDKAIQAVLRSLCLLYSLYGISQNAGDFLQGSIMTEPQITQVNQRVKELLTLIRSDAVALVDAFDFQDVTLGSVLGRYDGNVYENLFEWAKNSPLNKAEVHESYKHLKSLQSKL
+>DECOY_sp|Q15067|ACOX1_HUMAN Peroxisomal acyl-coenzyme A oxidase 1 OS=Homo sapiens OX=9606 GN=ACOX1 PE=1 SV=3
+LKSQLSKLHKYSEHVEAKNLPSNKAWEFLNEYVNGDYRGLVSGLTVDQFDFADVLAVADSRILTLLEKVRQNVQTIQPETMISGQLFDGANQSIGYLSYLLCLSRLVAQIAKDQIKLLKESFLKVVVYHCHAESARVLDVSTLNWAVEKSKRHIVEKQLNKAAIEVLRAARLKYAETLSEPSNIDVMTPWVAVQQPQIRQSPLDNLYSVMGCVLKGSHVQDYSKMLFRATQLMMVTNEGEFTCSPTFNVYINPLGSCHSYGHGGCAMRCAEIGTNATWSTFAKLGATLAHLEPLESLDGQGIGENIRHYTEKMYAGVFQFAYATALLPFLKYQQTQFDLIQPEPEGPKIESQHRVASYRIAITCAKSLARAAEGVLFSRVFVMTGYTLKNSLPKVYTGDPKVQAYKMLMNERPIRHNDMKLYGNDIEDYGFKPGIDGVTIGPLPKHTGIERIPVIFAHLGYCKGKTILQALVIAHNSTKGLGGPWWKISTVTPSNLIFEQTEPDYTATTELGRLHTGHGMETQAYTGIIQLGKSSLLWKEKQATTGQNLLTPIFMSYNLGVPEVFNVLHLKKFWMIEDPDAIGFERMKKVMIASKRVAVEYRQSRTLFNLDEHQFDPDNLIMNEIERRRRTKEPSGDLIHTLLEPNFSASDRERRLDPNM
+>sp|Q99424|ACOX2_HUMAN Peroxisomal acyl-coenzyme A oxidase 2 OS=Homo sapiens OX=9606 GN=ACOX2 PE=1 SV=1
+MGSPVHRVSLGDTWSRQMHPDIESERYMQSFDVERLTNILDGGAQNTALRRKVESIIHSYPEFSCKDNYFMTQNERYKAAMRRAFHIRLIARRLGWLEDGRELGYAYRALSGDVALNIHRVFVRALRSLGSEEQIAKWDPLCKNIQIIATYAQTELGHGTYLQGLETEATYDAATQEFVIHSPTLTATKWWPGDLGRSATHALVQAQLICSGARRGMHAFIVPIRSLQDHTPLPGIIIGDIGPKMDFDQTDNGFLQLNHVRVPRENMLSRFAQVLPDGTYVKLGTAQSNYLPMVVVRVELLSGEILPILQKACVIAMRYSVIRRQSRLRPSDPEAKVLDYQTQQQKLFPQLAISYAFHFLAVSLLEFFQHSYTAILNQDFSFLPELHALSTGMKAMMSEFCTQGAEMCRRACGGHGYSKLSGLPSLVTKLSASCTYEGENTVLYLQVARFLVKSYLQTQMSPGSTPQRSLSPSVAYLTAPDLARCPAQRAADFLCPELYTTAWAHVAVRLIKDSVQHLQTLTQSGADQHEAWNQTTVIHLQAAKVHCYYVTVKGFTEALEKLENEPAIQQVLKRLCDLHAIHGILTNSGDFLHDAFLSGAQVDMARTAYLDLLRLIRKDAILLTDAFDFTDQCLNSALGCYDGNVYERLFQWAQKSPTNTQENPAYEEYIRPLLQSWRSKL
+>DECOY_sp|Q99424|ACOX2_HUMAN Peroxisomal acyl-coenzyme A oxidase 2 OS=Homo sapiens OX=9606 GN=ACOX2 PE=1 SV=1
+LKSRWSQLLPRIYEEYAPNEQTNTPSKQAWQFLREYVNGDYCGLASNLCQDTFDFADTLLIADKRILRLLDLYATRAMDVQAGSLFADHLFDGSNTLIGHIAHLDCLRKLVQQIAPENELKELAETFGKVTVYYCHVKAAQLHIVTTQNWAEHQDAGSQTLTQLHQVSDKILRVAVHAWATTYLEPCLFDAARQAPCRALDPATLYAVSPSLSRQPTSGPSMQTQLYSKVLFRAVQLYLVTNEGEYTCSASLKTVLSPLGSLKSYGHGGCARRCMEAGQTCFESMMAKMGTSLAHLEPLFSFDQNLIATYSHQFFELLSVALFHFAYSIALQPFLKQQQTQYDLVKAEPDSPRLRSQRRIVSYRMAIVCAKQLIPLIEGSLLEVRVVVMPLYNSQATGLKVYTGDPLVQAFRSLMNERPVRVHNLQLFGNDTQDFDMKPGIDGIIIGPLPTHDQLSRIPVIFAHMGRRAGSCILQAQVLAHTASRGLDGPWWKTATLTPSHIVFEQTAADYTAETELGQLYTGHGLETQAYTAIIQINKCLPDWKAIQEESGLSRLARVFVRHINLAVDGSLARYAYGLERGDELWGLRRAILRIHFARRMAAKYRENQTMFYNDKCSFEPYSHIISEVKRRLATNQAGGDLINTLREVDFSQMYRESEIDPHMQRSWTDGLSVRHVPSGM
+>sp|P33121|ACSL1_HUMAN Long-chain-fatty-acid--CoA ligase 1 OS=Homo sapiens OX=9606 GN=ACSL1 PE=1 SV=1
+MQAHELFRYFRMPELVDFRQYVRTLPTNTLMGFGAFAALTTFWYATRPKPLKPPCDLSMQSVEVAGSGGARRSALLDSDEPLVYFYDDVTTLYEGFQRGIQVSNNGPCLGSRKPDQPYEWLSYKQVAELSECIGSALIQKGFKTAPDQFIGIFAQNRPEWVIIEQGCFAYSMVIVPLYDTLGNEAITYIVNKAELSLVFVDKPEKAKLLLEGVENKLIPGLKIIVVMDAYGSELVERGQRCGVEVTSMKAMEDLGRANRRKPKPPAPEDLAVICFTSGTTGNPKGAMVTHRNIVSDCSAFVKATENTVNPCPDDTLISFLPLAHMFERVVECVMLCHGAKIGFFQGDIRLLMDDLKVLQPTVFPVVPRLLNRMFDRIFGQANTTLKRWLLDFASKRKEAELRSGIIRNNSLWDRLIFHKVQSSLGGRVRLMVTGAAPVSATVLTFLRAALGCQFYEGYGQTECTAGCCLTMPGDWTAGHVGAPMPCNLIKLVDVEEMNYMAAEGEGEVCVKGPNVFQGYLKDPAKTAEALDKDGWLHTGDIGKWLPNGTLKIIDRKKHIFKLAQGEYIAPEKIENIYMRSEPVAQVFVHGESLQAFLIAIVVPDVETLCSWAQKRGFEGSFEELCRNKDVKKAILEDMVRLGKDSGLKPFEQVKGITLHPELFSIDNGLLTPTMKAKRPELRNYFRSQIDDLYSTIKV
+>DECOY_sp|P33121|ACSL1_HUMAN Long-chain-fatty-acid--CoA ligase 1 OS=Homo sapiens OX=9606 GN=ACSL1 PE=1 SV=1
+VKITSYLDDIQSRFYNRLEPRKAKMTPTLLGNDISFLEPHLTIGKVQEFPKLGSDKGLRVMDELIAKKVDKNRCLEEFSGEFGRKQAWSCLTEVDPVVIAILFAQLSEGHVFVQAVPESRMYINEIKEPAIYEGQALKFIHKKRDIIKLTGNPLWKGIDGTHLWGDKDLAEATKAPDKLYGQFVNPGKVCVEGEGEAAMYNMEEVDVLKILNCPMPAGVHGATWDGPMTLCCGATCETQGYGEYFQCGLAARLFTLVTASVPAAGTVMLRVRGGLSSQVKHFILRDWLSNNRIIGSRLEAEKRKSAFDLLWRKLTTNAQGFIRDFMRNLLRPVVPFVTPQLVKLDDMLLRIDGQFFGIKAGHCLMVCEVVREFMHALPLFSILTDDPCPNVTNETAKVFASCDSVINRHTVMAGKPNGTTGSTFCIVALDEPAPPKPKRRNARGLDEMAKMSTVEVGCRQGREVLESGYADMVVIIKLGPILKNEVGELLLKAKEPKDVFVLSLEAKNVIYTIAENGLTDYLPVIVMSYAFCGQEIIVWEPRNQAFIGIFQDPATKFGKQILASGICESLEAVQKYSLWEYPQDPKRSGLCPGNNSVQIGRQFGEYLTTVDDYFYVLPEDSDLLASRRAGGSGAVEVSQMSLDCPPKLPKPRTAYWFTTLAAFAGFGMLTNTPLTRVYQRFDVLEPMRFYRFLEHAQM
+>sp|O14672|ADA10_HUMAN Disintegrin and metalloproteinase domain-containing protein 10 OS=Homo sapiens OX=9606 GN=ADAM10 PE=1 SV=1
+MVLLRVLILLLSWAAGMGGQYGNPLNKYIRHYEGLSYNVDSLHQKHQRAKRAVSHEDQFLRLDFHAHGRHFNLRMKRDTSLFSDEFKVETSNKVLDYDTSHIYTGHIYGEEGSFSHGSVIDGRFEGFIQTRGGTFYVEPAERYIKDRTLPFHSVIYHEDDINYPHKYGPQGGCADHSVFERMRKYQMTGVEEVTQIPQEEHAANGPELLRKKRTTSAEKNTCQLYIQTDHLFFKYYGTREAVIAQISSHVKAIDTIYQTTDFSGIRNISFMVKRIRINTTADEKDPTNPFRFPNIGVEKFLELNSEQNHDDYCLAYVFTDRDFDDGVLGLAWVGAPSGSSGGICEKSKLYSDGKKKSLNTGIITVQNYGSHVPPKVSHITFAHEVGHNFGSPHDSGTECTPGESKNLGQKENGNYIMYARATSGDKLNNNKFSLCSIRNISQVLEKKRNNCFVESGQPICGNGMVEQGEECDCGYSDQCKDECCFDANQPEGRKCKLKPGKQCSPSQGPCCTAQCAFKSKSEKCRDDSDCAREGICNGFTALCPASDPKPNFTDCNRHTQVCINGQCAGSICEKYGLEECTCASSDGKDDKELCHVCCMKKMDPSTCASTGSVQWSRHFSGRTITLQPGSPCNDFRGYCDVFMRCRLVDADGPLARLKKAIFSPELYENIAEWIVAHWWAVLLMGIALIMLMAGFIKICSVHTPSSNPKLPPPKPLPGTLKRRRPPQPIQQPQRQRPRESYQMGHMRR
+>DECOY_sp|O14672|ADA10_HUMAN Disintegrin and metalloproteinase domain-containing protein 10 OS=Homo sapiens OX=9606 GN=ADAM10 PE=1 SV=1
+RRMHGMQYSERPRQRQPQQIPQPPRRRKLTGPLPKPPPLKPNSSPTHVSCIKIFGAMLMILAIGMLLVAWWHAVIWEAINEYLEPSFIAKKLRALPGDADVLRCRMFVDCYGRFDNCPSGPQLTITRGSFHRSWQVSGTSACTSPDMKKMCCVHCLEKDDKGDSSACTCEELGYKECISGACQGNICVQTHRNCDTFNPKPDSAPCLATFGNCIGERACDSDDRCKESKSKFACQATCCPGQSPSCQKGPKLKCKRGEPQNADFCCEDKCQDSYGCDCEEGQEVMGNGCIPQGSEVFCNNRKKELVQSINRISCLSFKNNNLKDGSTARAYMIYNGNEKQGLNKSEGPTCETGSDHPSGFNHGVEHAFTIHSVKPPVHSGYNQVTIIGTNLSKKKGDSYLKSKECIGGSSGSPAGVWALGLVGDDFDRDTFVYALCYDDHNQESNLELFKEVGINPFRFPNTPDKEDATTNIRIRKVMFSINRIGSFDTTQYITDIAKVHSSIQAIVAERTGYYKFFLHDTQIYLQCTNKEASTTRKKRLLEPGNAAHEEQPIQTVEEVGTMQYKRMREFVSHDACGGQPGYKHPYNIDDEHYIVSHFPLTRDKIYREAPEVYFTGGRTQIFGEFRGDIVSGHSFSGEEGYIHGTYIHSTDYDLVKNSTEVKFEDSFLSTDRKMRLNFHRGHAHFDLRLFQDEHSVARKARQHKQHLSDVNYSLGEYHRIYKNLPNGYQGGMGAAWSLLLILVRLLVM
+>sp|Q9BZ11|ADA33_HUMAN Disintegrin and metalloproteinase domain-containing protein 33 OS=Homo sapiens OX=9606 GN=ADAM33 PE=1 SV=2
+MGWRPRRARGTPLLLLLLLLLLWPVPGAGVLQGHIPGQPVTPHWVLDGQPWRTVSLEEPVSKPDMGLVALEAEGQELLLELEKNHRLLAPGYIETHYGPDGQPVVLAPNHTDHCHYQGRVRGFPDSWVVLCTCSGMSGLITLSRNASYYLRPWPPRGSKDFSTHEIFRMEQLLTWKGTCGHRDPGNKAGMTSLPGGPQSRGRREARRTRKYLELYIVADHTLFLTRHRNLNHTKQRLLEVANYVDQLLRTLDIQVALTGLEVWTERDRSRVTQDANATLWAFLQWRRGLWAQRPHDSAQLLTGRAFQGATVGLAPVEGMCRAESSGGVSTDHSELPIGAAATMAHEIGHSLGLSHDPDGCCVEAAAESGGCVMAAATGHPFPRVFSACSRRQLRAFFRKGGGACLSNAPDPGLPVPPALCGNGFVEAGEECDCGPGQECRDLCCFAHNCSLRPGAQCAHGDCCVRCLLKPAGALCRQAMGDCDLPEFCTGTSSHCPPDVYLLDGSPCARGSGYCWDGACPTLEQQCQQLWGPGSHPAPEACFQVVNSAGDAHGNCGQDSEGHFLPCAGRDALCGKLQCQGGKPSLLAPHMVPVDSTVHLDGQEVTCRGALALPSAQLDLLGLGLVEPGTQCGPRMVCQSRRCRKNAFQELQRCLTACHSHGVCNSNHNCHCAPGWAPPFCDKPGFGGSMDSGPVQAENHDTFLLAMLLSVLLPLLPGAGLAWCCYRLPGAHLQRCSWGCRRDPACSGPKDGPHRDHPLGGVHPMELGPTATGQPWPLDPENSHEPSSHPEKPLPAVSPDPQADQVQMPRSCLW
+>DECOY_sp|Q9BZ11|ADA33_HUMAN Disintegrin and metalloproteinase domain-containing protein 33 OS=Homo sapiens OX=9606 GN=ADAM33 PE=1 SV=2
+WLCSRPMQVQDAQPDPSVAPLPKEPHSSPEHSNEPDLPWPQGTATPGLEMPHVGGLPHDRHPGDKPGSCAPDRRCGWSCRQLHAGPLRYCCWALGAGPLLPLLVSLLMALLFTDHNEAQVPGSDMSGGFGPKDCFPPAWGPACHCNHNSNCVGHSHCATLCRQLEQFANKRCRRSQCVMRPGCQTGPEVLGLGLLDLQASPLALAGRCTVEQGDLHVTSDVPVMHPALLSPKGGQCQLKGCLADRGACPLFHGESDQGCNGHADGASNVVQFCAEPAPHSGPGWLQQCQQELTPCAGDWCYGSGRACPSGDLLYVDPPCHSSTGTCFEPLDCDGMAQRCLAGAPKLLCRVCCDGHACQAGPRLSCNHAFCCLDRCEQGPGCDCEEGAEVFGNGCLAPPVPLGPDPANSLCAGGGKRFFARLQRRSCASFVRPFPHGTAAAMVCGGSEAAAEVCCGDPDHSLGLSHGIEHAMTAAAGIPLESHDTSVGGSSEARCMGEVPALGVTAGQFARGTLLQASDHPRQAWLGRRWQLFAWLTANADQTVRSRDRETWVELGTLAVQIDLTRLLQDVYNAVELLRQKTHNLNRHRTLFLTHDAVIYLELYKRTRRAERRGRSQPGGPLSTMGAKNGPDRHGCTGKWTLLQEMRFIEHTSFDKSGRPPWPRLYYSANRSLTILGSMGSCTCLVVWSDPFGRVRGQYHCHDTHNPALVVPQGDPGYHTEIYGPALLRHNKELELLLEQGEAELAVLGMDPKSVPEELSVTRWPQGDLVWHPTVPQGPIHGQLVGAGPVPWLLLLLLLLLLPTGRARRPRWGM
+>sp|Q6NVV9|ADAM5_HUMAN Putative disintegrin and metalloproteinase domain-containing protein 5 OS=Homo sapiens OX=9606 GN=ADAM5 PE=5 SV=2
+MQTSILIKSSCRPQFQRRFHHRMQKQIQNIISILSSASVINSYDENDIRHSKPLLVQMDCNYNGYVAGIPNSLVTLSVCSGLRGTMQLKNISYGIEPMEAVSGFIHKIYEEKYADTNILLEENDTYTWFNSEYQVRKSSEKTDFIKLFPRYIEMHIVVDKNLFKPANMICRKSVGKECDFTEYCNGDLPYCLPDTYVRDGEYCDSGGAFCFQGKCRTFDKQCDDLIGRGSRGAPVFCYDEINTRGDNFGNCGTAHCLFQHILCGKLVCTWEHRDLISRPNLSVIYAHVRDQTCVSTYLPRRTPPPVNSPISITSYYSAEDRDETFVQDGSMCGPDMYCFEMHCKHVRFLMNLKLCDASNHCDRHGVCNNFNHCHCEKGYNPPYCQPKQGAFGSIDDGHLVPPTERSYMEEGR
+>DECOY_sp|Q6NVV9|ADAM5_HUMAN Putative disintegrin and metalloproteinase domain-containing protein 5 OS=Homo sapiens OX=9606 GN=ADAM5 PE=5 SV=2
+RGEEMYSRETPPVLHGDDISGFAGQKPQCYPPNYGKECHCHNFNNCVGHRDCHNSADCLKLNMLFRVHKCHMEFCYMDPGCMSGDQVFTEDRDEASYYSTISIPSNVPPPTRRPLYTSVCTQDRVHAYIVSLNPRSILDRHEWTCVLKGCLIHQFLCHATGCNGFNDGRTNIEDYCFVPAGRSGRGILDDCQKDFTRCKGQFCFAGGSDCYEGDRVYTDPLCYPLDGNCYETFDCEKGVSKRCIMNAPKFLNKDVVIHMEIYRPFLKIFDTKESSKRVQYESNFWTYTDNEELLINTDAYKEEYIKHIFGSVAEMPEIGYSINKLQMTGRLGSCVSLTVLSNPIGAVYGNYNCDMQVLLPKSHRIDNEDYSNIVSASSLISIINQIQKQMRHHFRRQFQPRCSSKILISTQM
+>sp|Q9BUB4|ADAT1_HUMAN tRNA-specific adenosine deaminase 1 OS=Homo sapiens OX=9606 GN=ADAT1 PE=1 SV=1
+MWTADEIAQLCYEHYGIRLPKKGKPEPNHEWTLLAAVVKIQSPADKACDTPDKPVQVTKEVVSMGTGTKCIGQSKMRKNGDILNDSHAEVIARRSFQRYLLHQLQLAATLKEDSIFVPGTQKGVWKLRRDLIFVFFSSHTPCGDASIIPMLEFEDQPCCPVFRNWAHNSSVEASSNLEAPGNERKCEDPDSPVTKKMRLEPGTAAREVTNGAAHHQSFGKQKSGPISPGIHSCDLTVEGLATVTRIAPGSAKVIDVYRTGAKCVPGEAGDSGKPGAAFHQVGLLRVKPGRGDRTRSMSCSDKMARWNVLGCQGALLMHLLEEPIYLSAVVIGKCPYSQEAMQRALIGRCQNVSALPKGFGVQELKILQSDLLFEQSRSAVQAKRADSPGRLVPCGAAISWSAVPEQPLDVTANGFPQGTTKKTIGSLQARSQISKVELFRSFQKLLSRIARDKWPHSLRVQKLDTYQEYKEAASSYQEAWSTLRKQVFGSWIRNPPDYHQFK
+>DECOY_sp|Q9BUB4|ADAT1_HUMAN tRNA-specific adenosine deaminase 1 OS=Homo sapiens OX=9606 GN=ADAT1 PE=1 SV=1
+KFQHYDPPNRIWSGFVQKRLTSWAEQYSSAAEKYEQYTDLKQVRLSHPWKDRAIRSLLKQFSRFLEVKSIQSRAQLSGITKKTTGQPFGNATVDLPQEPVASWSIAAGCPVLRGPSDARKAQVASRSQEFLLDSQLIKLEQVGFGKPLASVNQCRGILARQMAEQSYPCKGIVVASLYIPEELLHMLLAGQCGLVNWRAMKDSCSMSRTRDGRGPKVRLLGVQHFAAGPKGSDGAEGPVCKAGTRYVDIVKASGPAIRTVTALGEVTLDCSHIGPSIPGSKQKGFSQHHAAGNTVERAATGPELRMKKTVPSDPDECKRENGPAELNSSAEVSSNHAWNRFVPCCPQDEFELMPIISADGCPTHSSFFVFILDRRLKWVGKQTGPVFISDEKLTAALQLQHLLYRQFSRRAIVEAHSDNLIDGNKRMKSQGICKTGTGMSVVEKTVQVPKDPTDCAKDAPSQIKVVAALLTWEHNPEPKGKKPLRIGYHEYCLQAIEDATWM
+>sp|Q96EY9|ADAT3_HUMAN Probable inactive tRNA-specific adenosine deaminase-like protein 3 OS=Homo sapiens OX=9606 GN=ADAT3 PE=1 SV=1
+MEPAPGLVEQPKCLEAGSPEPEPAPWQALPVLSEKQSGDVELVLAYAAPVLDKRQTSRLLKEVSALHPLPAQPHLKRVRPSRDAGSPHALEMLLCLAGPASGPRSLAELLPRPAVDPRGLGQPFLVPVPARPPLTRGQFEEARAHWPTSFHEDKQVTSALAGRLFSTQERAAMQSHMERAVWAARRAAARGLRAVGAVVVDPASDRVLATGHDCSCADNPLLHAVMVCVDLVARGQGRGTYDFRPFPACSFAPAAAPQAVRAGAVRKLDADEDGLPYLCTGYDLYVTREPCAMCAMALVHARILRVFYGAPSPDGALGTRFRIHARPDLNHRFQVFRGVLEEQCRWLDPDT
+>DECOY_sp|Q96EY9|ADAT3_HUMAN Probable inactive tRNA-specific adenosine deaminase-like protein 3 OS=Homo sapiens OX=9606 GN=ADAT3 PE=1 SV=1
+TDPDLWRCQEELVGRFVQFRHNLDPRAHIRFRTGLAGDPSPAGYFVRLIRAHVLAMACMACPERTVYLDYGTCLYPLGDEDADLKRVAGARVAQPAAAPAFSCAPFPRFDYTGRGQGRAVLDVCVMVAHLLPNDACSCDHGTALVRDSAPDVVVAGVARLGRAAARRAAWVAREMHSQMAAREQTSFLRGALASTVQKDEHFSTPWHARAEEFQGRTLPPRAPVPVLFPQGLGRPDVAPRPLLEALSRPGSAPGALCLLMELAHPSGADRSPRVRKLHPQAPLPHLASVEKLLRSTQRKDLVPAAYALVLEVDGSQKESLVPLAQWPAPEPEPSGAELCKPQEVLGPAPEM
+>sp|Q7Z695|ADCK2_HUMAN Uncharacterized aarF domain-containing protein kinase 2 OS=Homo sapiens OX=9606 GN=ADCK2 PE=2 SV=1
+MVAPWRVSVRVCLSHLRCFELRQGLSLLRPSECPRDARLCWLLLGTLPKVVSLCGDVGEGAPDVLSRRRVRCSGAAGAGPAESLPRAGPLGGVFLHLRLWLRAGALLVKFFPLLLLYPLTYLAPSVSTLWLHLLLKATETSGPTYIKLGQWASTRRDLFSEAFCAQFSKLHVRVTPHPWTHTERFLRQAFGDDWGSILSFENREPVGSGCVAQVYKAYANTAFLETDSVQRLGRASCLPPFSHTGAVGGLRELFGYLGNGRKPPENLADQSFLERLLLPKADLVGSNAGVSRAQVPGHQPEATNLISVAVKVLHPGLLAQVHMDLLLMKIGSRVLGVLPGIKWLSLPEIVEEFEKLMVQQIDLRYEAQNLEHFQVNFRNVKAVKFPTPLRPFVTREVLVETYEESVPVSSYQQAGIPVDLKRKIARLGINMLLKMIFVDNFVHADLHPGNILVQGANGLSSSQEAQLQQADICDTLVVAVPSSLCPLRLVLLDAGIVAELQAPDLRNFRAVFMAVVMGQGQRVAELILHHARASECRDVEGFKTEMAMLVTQARKNTITLEKLHVSSLLSSVFKLLMTHKVKLESNFASIVFAIMVLEGLGRSLDPKLDILEAARPFLLTGPVCPP
+>DECOY_sp|Q7Z695|ADCK2_HUMAN Uncharacterized aarF domain-containing protein kinase 2 OS=Homo sapiens OX=9606 GN=ADCK2 PE=2 SV=1
+PPCVPGTLLFPRAAELIDLKPDLSRGLGELVMIAFVISAFNSELKVKHTMLLKFVSSLLSSVHLKELTITNKRAQTVLMAMETKFGEVDRCESARAHHLILEAVRQGQGMVVAMFVARFNRLDPAQLEAVIGADLLVLRLPCLSSPVAVVLTDCIDAQQLQAEQSSSLGNAGQVLINGPHLDAHVFNDVFIMKLLMNIGLRAIKRKLDVPIGAQQYSSVPVSEEYTEVLVERTVFPRLPTPFKVAKVNRFNVQFHELNQAEYRLDIQQVMLKEFEEVIEPLSLWKIGPLVGLVRSGIKMLLLDMHVQALLGPHLVKVAVSILNTAEPQHGPVQARSVGANSGVLDAKPLLLRELFSQDALNEPPKRGNGLYGFLERLGGVAGTHSFPPLCSARGLRQVSDTELFATNAYAKYVQAVCGSGVPERNEFSLISGWDDGFAQRLFRETHTWPHPTVRVHLKSFQACFAESFLDRRTSAWQGLKIYTPGSTETAKLLLHLWLTSVSPALYTLPYLLLLPFFKVLLAGARLWLRLHLFVGGLPGARPLSEAPGAGAAGSCRVRRRSLVDPAGEGVDGCLSVVKPLTGLLLWCLRADRPCESPRLLSLGQRLEFCRLHSLCVRVSVRWPAVM
+>sp|Q08828|ADCY1_HUMAN Adenylate cyclase type 1 OS=Homo sapiens OX=9606 GN=ADCY1 PE=1 SV=2
+MAGAPRGGGGGGGGAGEPGGAERAAGTSRRRGLRACDEEFACPELEALFRGYTLRLEQAATLKALAVLSLLAGALALAELLGAPGPAPGLAKGSHPVHCVLFLALLVVTNVRSLQVPQLQQVGQLALLFSLTFALLCCPFALGGPARGSAGAAGGPATAEQGVWQLLLVTFVSYALLPVRSLLAIGFGLVVAASHLLVTATLVPAKRPRLWRTLGANALLFVGVNMYGVFVRILTERSQRKAFLQARSCIEDRLRLEDENEKQERLLMSLLPRNVAMEMKEDFLKPPERIFHKIYIQRHDNVSILFADIVGFTGLASQCTAQELVKLLNELFGKFDELATENHCRRIKILGDCYYCVSGLTQPKTDHAHCCVEMGLDMIDTITSVAEATEVDLNMRVGLHTGRVLCGVLGLRKWQYDVWSNDVTLANVMEAAGLPGKVHITKTTLACLNGDYEVEPGYGHERNSFLKTHNIETFFIVPSHRRKIFPGLILSDIKPAKRMKFKTVCYLLVQLMHCRKMFKAEIPFSNVMTCEDDDKRRALRTASEKLRNRSSFSTNVVYTTPGTRVNRYISRLLEARQTELEMADLNFFTLKYKHVEREQKYHQLQDEYFTSAVVLTLILAALFGLVYLLIFPQSVVVLLLLVFCICFLVACVLYLHITRVQCFPGCLTIQIRTVLCIFIVVLIYSVAQGCVVGCLPWAWSSKPNSSLVVLSSGGQRTALPTLPCESTHHALLCCLVGTLPLAIFFRVSSLPKMILLSGLTTSYILVLELSGYTRTGGGAVSGRSYEPIVAILLFSCALALHARQVDIRLRLDYLWAAQAEEEREDMEKVKLDNRRILFNLLPAHVAQHFLMSNPRNMDLYYQSYSQVGVMFASIPNFNDFYIELDGNNMGVECLRLLNEIIADFDELMEKDFYKDIEKIKTIGSTYMAAVGLAPTSGTKAKKSISSHLSTLADFAIEMFDVLDEINYQSYNDFVLRVGINVGPVVAGVIGARRPQYDIWGNTVNVASRMDSTGVQGRIQVTEEVHRLLRRCPYHFVCRGKVSVKGKGEMLTYFLEGRTDGNGSQIRSLGLDRKMCPFGRAGLQGRRPPVCPMPGVSVRAGLPPHSPGQYLPSAAAGKEA
+>DECOY_sp|Q08828|ADCY1_HUMAN Adenylate cyclase type 1 OS=Homo sapiens OX=9606 GN=ADCY1 PE=1 SV=2
+AEKGAAASPLYQGPSHPPLGARVSVGPMPCVPPRRGQLGARGFPCMKRDLGLSRIQSGNGDTRGELFYTLMEGKGKVSVKGRCVFHYPCRRLLRHVEETVQIRGQVGTSDMRSAVNVTNGWIDYQPRRAGIVGAVVPGVNIGVRLVFDNYSQYNIEDLVDFMEIAFDALTSLHSSISKKAKTGSTPALGVAAMYTSGITKIKEIDKYFDKEMLEDFDAIIENLLRLCEVGMNNGDLEIYFDNFNPISAFMVGVQSYSQYYLDMNRPNSMLFHQAVHAPLLNFLIRRNDLKVKEMDEREEEAQAAWLYDLRLRIDVQRAHLALACSFLLIAVIPEYSRGSVAGGGTRTYGSLELVLIYSTTLGSLLIMKPLSSVRFFIALPLTGVLCCLLAHHTSECPLTPLATRQGGSSLVVLSSNPKSSWAWPLCGVVCGQAVSYILVVIFICLVTRIQITLCGPFCQVRTIHLYLVCAVLFCICFVLLLLVVVSQPFILLYVLGFLAALILTLVVASTFYEDQLQHYKQEREVHKYKLTFFNLDAMELETQRAELLRSIYRNVRTGPTTYVVNTSFSSRNRLKESATRLARRKDDDECTMVNSFPIEAKFMKRCHMLQVLLYCVTKFKMRKAPKIDSLILGPFIKRRHSPVIFFTEINHTKLFSNREHGYGPEVEYDGNLCALTTKTIHVKGPLGAAEMVNALTVDNSWVDYQWKRLGLVGCLVRGTHLGVRMNLDVETAEAVSTITDIMDLGMEVCCHAHDTKPQTLGSVCYYCDGLIKIRRCHNETALEDFKGFLENLLKVLEQATCQSALGTFGVIDAFLISVNDHRQIYIKHFIREPPKLFDEKMEMAVNRPLLSMLLREQKENEDELRLRDEICSRAQLFAKRQSRETLIRVFVGYMNVGVFLLANAGLTRWLRPRKAPVLTATVLLHSAAVVLGFGIALLSRVPLLAYSVFTVLLLQWVGQEATAPGGAAGASGRAPGGLAFPCCLLAFTLSFLLALQGVQQLQPVQLSRVNTVVLLALFLVCHVPHSGKALGPAPGPAGLLEALALAGALLSLVALAKLTAAQELRLTYGRFLAELEPCAFEEDCARLGRRRSTGAAREAGGPEGAGGGGGGGGRPAGAM
+>sp|Q08462|ADCY2_HUMAN Adenylate cyclase type 2 OS=Homo sapiens OX=9606 GN=ADCY2 PE=1 SV=5
+MWQEAMRRRRYLRDRSEEAAGGGDGLPRSRDWLYESYYCMSQQHPLIVFLLLIVMGSCLALLAVFFALGLEVEDHVAFLITVPTALAIFFAIFILVCIESVFKKLLRLFSLVIWICLVAMGYLFMCFGGTVSPWDQVSFFLFIIFVVYTMLPFNMRDAIIASVLTSSSHTIVLSVCLSATPGGKEHLVWQILANVIIFICGNLAGAYHKHLMELALQQTYQDTCNCIKSRIKLEFEKRQQERLLLSLLPAHIAMEMKAEIIQRLQGPKAGQMENTNNFHNLYVKRHTNVSILYADIVGFTRLASDCSPGELVHMLNELFGKFDQIAKENECMRIKILGDCYYCVSGLPISLPNHAKNCVKMGLDMCEAIKKVRDATGVDINMRVGVHSGNVLCGVIGLQKWQYDVWSHDVTLANHMEAGGVPGRVHISSVTLEHLNGAYKVEEGDGDIRDPYLKQHLVKTYFVINPKGERRSPQHLFRPRHTLDGAKMRASVRMTRYLESWGAAKPFAHLHHRDSMTTENGKISTTDVPMGQHNFQNRTLRTKSQKKRFEEELNERMIQAIDGINAQKQWLKSEDIQRISLLFYNKVLEKEYRATALPAFKYYVTCACLIFFCIFIVQILVLPKTSVLGISFGAAFLLLAFILFVCFAGQLLQCSKKASPLLMWLLKSSGIIANRPWPRISLTIITTAIILMMAVFNMFFLSDSEETIPPTANTTNTSFSASNNQVAILRAQNLFFLPYFIYSCILGLISCSVFLRVNYELKMLIMMVALVGYNTILLHTHAHVLGDYSQVLFERPGIWKDLKTMGSVSLSIFFITLLVLGRQNEYYCRLDFLWKNKFKKEREEIETMENLNRVLLENVLPAHVAEHFLARSLKNEELYHQSYDCVCVMFASIPDFKEFYTESDVNKEGLECLRLLNEIIADFDDLLSKPKFSGVEKIKTIGSTYMAATGLSAVPSQEHSQEPERQYMHIGTMVEFAFALVGKLDAINKHSFNDFKLRVGINHGPVIAGVIGAQKPQYDIWGNTVNVASRMDSTGVLDKIQVTEETSLVLQTLGYTCTCRGIINVKGKGDLKTYFVNTEMSRSLSQSNVAS
+>DECOY_sp|Q08462|ADCY2_HUMAN Adenylate cyclase type 2 OS=Homo sapiens OX=9606 GN=ADCY2 PE=1 SV=5
+SAVNSQSLSRSMETNVFYTKLDGKGKVNIIGRCTCTYGLTQLVLSTEETVQIKDLVGTSDMRSAVNVTNGWIDYQPKQAGIVGAIVPGHNIGVRLKFDNFSHKNIADLKGVLAFAFEVMTGIHMYQREPEQSHEQSPVASLGTAAMYTSGITKIKEVGSFKPKSLLDDFDAIIENLLRLCELGEKNVDSETYFEKFDPISAFMVCVCDYSQHYLEENKLSRALFHEAVHAPLVNELLVRNLNEMTEIEEREKKFKNKWLFDLRCYYENQRGLVLLTIFFISLSVSGMTKLDKWIGPREFLVQSYDGLVHAHTHLLITNYGVLAVMMILMKLEYNVRLFVSCSILGLICSYIFYPLFFLNQARLIAVQNNSASFSTNTTNATPPITEESDSLFFMNFVAMMLIIATTIITLSIRPWPRNAIIGSSKLLWMLLPSAKKSCQLLQGAFCVFLIFALLLFAAGFSIGLVSTKPLVLIQVIFICFFILCACTVYYKFAPLATARYEKELVKNYFLLSIRQIDESKLWQKQANIGDIAQIMRENLEEEFRKKQSKTRLTRNQFNHQGMPVDTTSIKGNETTMSDRHHLHAFPKAAGWSELYRTMRVSARMKAGDLTHRPRFLHQPSRREGKPNIVFYTKVLHQKLYPDRIDGDGEEVKYAGNLHELTVSSIHVRGPVGGAEMHNALTVDHSWVDYQWKQLGIVGCLVNGSHVGVRMNIDVGTADRVKKIAECMDLGMKVCNKAHNPLSIPLGSVCYYCDGLIKIRMCENEKAIQDFKGFLENLMHVLEGPSCDSALRTFGVIDAYLISVNTHRKVYLNHFNNTNEMQGAKPGQLRQIIEAKMEMAIHAPLLSLLLREQQRKEFELKIRSKICNCTDQYTQQLALEMLHKHYAGALNGCIFIIVNALIQWVLHEKGGPTASLCVSLVITHSSSTLVSAIIADRMNFPLMTYVVFIIFLFFSVQDWPSVTGGFCMFLYGMAVLCIWIVLSFLRLLKKFVSEICVLIFIAFFIALATPVTILFAVHDEVELGLAFFVALLALCSGMVILLLFVILPHQQSMCYYSEYLWDRSRPLGDGGGAAEESRDRLYRRRRMAEQWM
+>sp|P28223|5HT2A_HUMAN 5-hydroxytryptamine receptor 2A OS=Homo sapiens OX=9606 GN=HTR2A PE=1 SV=2
+MDILCEENTSLSSTTNSLMQLNDDTRLYSNDFNSGEANTSDAFNWTVDSENRTNLSCEGCLSPSCLSLLHLQEKNWSALLTAVVIILTIAGNILVIMAVSLEKKLQNATNYFLMSLAIADMLLGFLVMPVSMLTILYGYRWPLPSKLCAVWIYLDVLFSTASIMHLCAISLDRYVAIQNPIHHSRFNSRTKAFLKIIAVWTISVGISMPIPVFGLQDDSKVFKEGSCLLADDNFVLIGSFVSFFIPLTIMVITYFLTIKSLQKEATLCVSDLGTRAKLASFSFLPQSSLSSEKLFQRSIHREPGSYTGRRTMQSISNEQKACKVLGIVFFLFVVMWCPFFITNIMAVICKESCNEDVIGALLNVFVWIGYLSSAVNPLVYTLFNKTYRSAFSRYIQCQYKENKKPLQLILVNTIPALAYKSSQLQMGQKKNSKQDAKTTDNDCSMVALGKQHSEEASKDNSDGVNEKVSCV
+>DECOY_sp|P28223|5HT2A_HUMAN 5-hydroxytryptamine receptor 2A OS=Homo sapiens OX=9606 GN=HTR2A PE=1 SV=2
+VCSVKENVGDSNDKSAEESHQKGLAVMSCDNDTTKADQKSNKKQGMQLQSSKYALAPITNVLILQLPKKNEKYQCQIYRSFASRYTKNFLTYVLPNVASSLYGIWVFVNLLAGIVDENCSEKCIVAMINTIFFPCWMVVFLFFVIGLVKCAKQENSISQMTRRGTYSGPERHISRQFLKESSLSSQPLFSFSALKARTGLDSVCLTAEKQLSKITLFYTIVMITLPIFFSVFSGILVFNDDALLCSGEKFVKSDDQLGFVPIPMSIGVSITWVAIIKLFAKTRSNFRSHHIPNQIAVYRDLSIACLHMISATSFLVDLYIWVACLKSPLPWRYGYLITLMSVPMVLFGLLMDAIALSMLFYNTANQLKKELSVAMIVLINGAITLIIVVATLLASWNKEQLHLLSLCSPSLCGECSLNTRNESDVTWNFADSTNAEGSNFDNSYLRTDDNLQMLSNTTSSLSTNEECLIDM
+>sp|P28335|5HT2C_HUMAN 5-hydroxytryptamine receptor 2C OS=Homo sapiens OX=9606 GN=HTR2C PE=1 SV=1
+MVNLRNAVHSFLVHLIGLLVWQCDISVSPVAAIVTDIFNTSDGGRFKFPDGVQNWPALSIVIIIIMTIGGNILVIMAVSMEKKLHNATNYFLMSLAIADMLVGLLVMPLSLLAILYDYVWPLPRYLCPVWISLDVLFSTASIMHLCAISLDRYVAIRNPIEHSRFNSRTKAIMKIAIVWAISIGVSVPIPVIGLRDEEKVFVNNTTCVLNDPNFVLIGSFVAFFIPLTIMVITYCLTIYVLRRQALMLLHGHTEEPPGLSLDFLKCCKRNTAEEENSANPNQDQNARRRKKKERRPRGTMQAINNERKASKVLGIVFFVFLIMWCPFFITNILSVLCEKSCNQKLMEKLLNVFVWIGYVCSGINPLVYTLFNKIYRRAFSNYLRCNYKVEKKPPVRQIPRVAATALSGRELNVNIYRHTNEPVIEKASDNEPGIEMQVENLELPVNPSSVVSERISSV
+>DECOY_sp|P28335|5HT2C_HUMAN 5-hydroxytryptamine receptor 2C OS=Homo sapiens OX=9606 GN=HTR2C PE=1 SV=1
+VSSIRESVVSSPNVPLELNEVQMEIGPENDSAKEIVPENTHRYINVNLERGSLATAAVRPIQRVPPKKEVKYNCRLYNSFARRYIKNFLTYVLPNIGSCVYGIWVFVNLLKEMLKQNCSKECLVSLINTIFFPCWMILFVFFVIGLVKSAKRENNIAQMTGRPRREKKKRRRANQDQNPNASNEEEATNRKCCKLFDLSLGPPEETHGHLLMLAQRRLVYITLCYTIVMITLPIFFAVFSGILVFNPDNLVCTTNNVFVKEEDRLGIVPIPVSVGISIAWVIAIKMIAKTRSNFRSHEIPNRIAVYRDLSIACLHMISATSFLVDLSIWVPCLYRPLPWVYDYLIALLSLPMVLLGVLMDAIALSMLFYNTANHLKKEMSVAMIVLINGGITMIIIIVISLAPWNQVGDPFKFRGGDSTNFIDTVIAAVPSVSIDCQWVLLGILHVLFSHVANRLNVM
+>sp|P47898|5HT5A_HUMAN 5-hydroxytryptamine receptor 5A OS=Homo sapiens OX=9606 GN=HTR5A PE=2 SV=1
+MDLPVNLTSFSLSTPSPLETNHSLGKDDLRPSSPLLSVFGVLILTLLGFLVAATFAWNLLVLATILRVRTFHRVPHNLVASMAVSDVLVAALVMPLSLVHELSGRRWQLGRRLCQLWIACDVLCCTASIWNVTAIALDRYWSITRHMEYTLRTRKCVSNVMIALTWALSAVISLAPLLFGWGETYSEGSEECQVSREPSYAVFSTVGAFYLPLCVVLFVYWKIYKAAKFRVGSRKTNSVSPISEAVEVKDSAKQPQMVFTVRHATVTFQPEGDTWREQKEQRAALMVGILIGVFVLCWIPFFLTELISPLCSCDIPAIWKSIFLWLGYSNSFFNPLIYTAFNKNYNSAFKNFFSRQH
+>DECOY_sp|P47898|5HT5A_HUMAN 5-hydroxytryptamine receptor 5A OS=Homo sapiens OX=9606 GN=HTR5A PE=2 SV=1
+HQRSFFNKFASNYNKNFATYILPNFFSNSYGLWLFISKWIAPIDCSCLPSILETLFFPIWCLVFVGILIGVMLAARQEKQERWTDGEPQFTVTAHRVTFVMQPQKASDKVEVAESIPSVSNTKRSGVRFKAAKYIKWYVFLVVCLPLYFAGVTSFVAYSPERSVQCEESGESYTEGWGFLLPALSIVASLAWTLAIMVNSVCKRTRLTYEMHRTISWYRDLAIATVNWISATCCLVDCAIWLQCLRRGLQWRRGSLEHVLSLPMVLAAVLVDSVAMSAVLNHPVRHFTRVRLITALVLLNWAFTAAVLFGLLTLILVGFVSLLPSSPRLDDKGLSHNTELPSPTSLSFSTLNVPLDM
+>sp|P50406|5HT6R_HUMAN 5-hydroxytryptamine receptor 6 OS=Homo sapiens OX=9606 GN=HTR6 PE=1 SV=1
+MVPEPGPTANSTPAWGAGPPSAPGGSGWVAAALCVVIALTAAANSLLIALICTQPALRNTSNFFLVSLFTSDLMVGLVVMPPAMLNALYGRWVLARGLCLLWTAFDVMCCSASILNLCLISLDRYLLILSPLRYKLRMTPLRALALVLGAWSLAALASFLPLLLGWHELGHARPPVPGQCRLLASLPFVLVASGLTFFLPSGAICFTYCRILLAARKQAVQVASLTTGMASQASETLQVPRTPRPGVESADSRRLATKHSRKALKASLTLGILLGMFFVTWLPFFVANIVQAVCDCISPGLFDVLTWLGYCNSTMNPIIYPLFMRDFKRALGRFLPCPRCPRERQASLASPSLRTSHSGPRPGLSLQQVLPLPLPPDSDSDSDAGSGGSSGLRLTAQLLLPGEATQDPPLPTRAAAAVNFFNIDPAEPELRPHPLGIPTN
+>DECOY_sp|P50406|5HT6R_HUMAN 5-hydroxytryptamine receptor 6 OS=Homo sapiens OX=9606 GN=HTR6 PE=1 SV=1
+NTPIGLPHPRLEPEAPDINFFNVAAAARTPLPPDQTAEGPLLLQATLRLGSSGGSGADSDSDSDPPLPLPLVQQLSLGPRPGSHSTRLSPSALSAQRERPCRPCPLFRGLARKFDRMFLPYIIPNMTSNCYGLWTLVDFLGPSICDCVAQVINAVFFPLWTVFFMGLLIGLTLSAKLAKRSHKTALRRSDASEVGPRPTRPVQLTESAQSAMGTTLSAVQVAQKRAALLIRCYTFCIAGSPLFFTLGSAVLVFPLSALLRCQGPVPPRAHGLEHWGLLLPLFSALAALSWAGLVLALARLPTMRLKYRLPSLILLYRDLSILCLNLISASCCMVDFATWLLCLGRALVWRGYLANLMAPPMVVLGVMLDSTFLSVLFFNSTNRLAPQTCILAILLSNAAATLAIVVCLAAAVWGSGGPASPPGAGWAPTSNATPGPEPVM
+>sp|Q9BXI3|5NT1A_HUMAN Cytosolic 5'-nucleotidase 1A OS=Homo sapiens OX=9606 GN=NT5C1A PE=1 SV=1
+MEPGQPREPQEPREPGPGAETAAAPVWEEAKIFYDNLAPKKKPKSPKPQNAVTIAVSSRALFRMDEEQQIYTEQGVEEYVRYQLEHENEPFSPGPAFPFVKALEAVNRRLRELYPDSEDVFDIVLMTNNHAQVGVRLINSINHYDLFIERFCMTGGNSPICYLKAYHTNLYLSADAEKVREAIDEGIAAATIFSPSRDVVVSQSQLRVAFDGDAVLFSDESERIVKAHGLDRFFEHEKAHENKPLAQGPLKGFLEALGRLQKKFYSKGLRLECPIRTYLVTARSAASSGARALKTLRSWGLETDEALFLAGAPKGPLLEKIRPHIFFDDQMFHVAGAQEMGTVAAHVPYGVAQTPRRTAPAKQAPSAQ
+>DECOY_sp|Q9BXI3|5NT1A_HUMAN Cytosolic 5'-nucleotidase 1A OS=Homo sapiens OX=9606 GN=NT5C1A PE=1 SV=1
+QASPAQKAPATRRPTQAVGYPVHAAVTGMEQAGAVHFMQDDFFIHPRIKELLPGKPAGALFLAEDTELGWSRLTKLARAGSSAASRATVLYTRIPCELRLGKSYFKKQLRGLAELFGKLPGQALPKNEHAKEHEFFRDLGHAKVIRESEDSFLVADGDFAVRLQSQSVVVDRSPSFITAAAIGEDIAERVKEADASLYLNTHYAKLYCIPSNGGTMCFREIFLDYHNISNILRVGVQAHNNTMLVIDFVDESDPYLERLRRNVAELAKVFPFAPGPSFPENEHELQYRVYEEVGQETYIQQEEDMRFLARSSVAITVANQPKPSKPKKKPALNDYFIKAEEWVPAAATEAGPGPERPEQPERPQGPEM
+>sp|Q969T7|5NT3B_HUMAN 7-methylguanosine phosphate-specific 5'-nucleotidase OS=Homo sapiens OX=9606 GN=NT5C3B PE=1 SV=4
+MAEEVSTLMKATVLMRQPGRVQEIVGALRKGGGDRLQVISDFDMTLSRFAYNGKRCPSSYNILDNSKIISEECRKELTALLHHYYPIEIDPHRTVKEKLPHMVEWWTKAHNLLCQQKIQKFQIAQVVRESNAMLREGYKTFFNTLYHNNIPLFIFSAGIGDILEEIIRQMKVFHPNIHIVSNYMDFNEDGFLQGFKGQLIHTYNKNSSACENSGYFQQLEGKTNVILLGDSIGDLTMADGVPGVQNILKIGFLNDKVEERRERYMDSYDIVLEKDETLDVVNGLLQHILCQGVQLEMQGP
+>DECOY_sp|Q969T7|5NT3B_HUMAN 7-methylguanosine phosphate-specific 5'-nucleotidase OS=Homo sapiens OX=9606 GN=NT5C3B PE=1 SV=4
+PGQMELQVGQCLIHQLLGNVVDLTEDKELVIDYSDMYRERREEVKDNLFGIKLINQVGPVGDAMTLDGISDGLLIVNTKGELQQFYGSNECASSNKNYTHILQGKFGQLFGDENFDMYNSVIHINPHFVKMQRIIEELIDGIGASFIFLPINNHYLTNFFTKYGERLMANSERVVQAIQFKQIKQQCLLNHAKTWWEVMHPLKEKVTRHPDIEIPYYHHLLATLEKRCEESIIKSNDLINYSSPCRKGNYAFRSLTMDFDSIVQLRDGGGKRLAGVIEQVRGPQRMLVTAKMLTSVEEAM
+>sp|P21589|5NTD_HUMAN 5'-nucleotidase OS=Homo sapiens OX=9606 GN=NT5E PE=1 SV=1
+MCPRAARAPATLLLALGAVLWPAAGAWELTILHTNDVHSRLEQTSEDSSKCVNASRCMGGVARLFTKVQQIRRAEPNVLLLDAGDQYQGTIWFTVYKGAEVAHFMNALRYDAMALGNHEFDNGVEGLIEPLLKEAKFPILSANIKAKGPLASQISGLYLPYKVLPVGDEVVGIVGYTSKETPFLSNPGTNLVFEDEITALQPEVDKLKTLNVNKIIALGHSGFEMDKLIAQKVRGVDVVVGGHSNTFLYTGNPPSKEVPAGKYPFIVTSDDGRKVPVVQAYAFGKYLGYLKIEFDERGNVISSHGNPILLNSSIPEDPSIKADINKWRIKLDNYSTQELGKTIVYLDGSSQSCRFRECNMGNLICDAMINNNLRHTDEMFWNHVSMCILNGGGIRSPIDERNNGTITWENLAAVLPFGGTFDLVQLKGSTLKKAFEHSVHRYGQSTGEFLQVGGIHVVYDLSRKPGDRVVKLDVLCTKCRVPSYDPLKMDEVYKVILPNFLANGGDGFQMIKDELLRHDSGDQDINVVSTYISKMKVIYPAVEGRIKFSTGSHCHGSFSLIFLSLWAVIFVLYQ
+>DECOY_sp|P21589|5NTD_HUMAN 5'-nucleotidase OS=Homo sapiens OX=9606 GN=NT5E PE=1 SV=1
+QYLVFIVAWLSLFILSFSGHCHSGTSFKIRGEVAPYIVKMKSIYTSVVNIDQDGSDHRLLEDKIMQFGDGGNALFNPLIVKYVEDMKLPDYSPVRCKTCLVDLKVVRDGPKRSLDYVVHIGGVQLFEGTSQGYRHVSHEFAKKLTSGKLQVLDFTGGFPLVAALNEWTITGNNREDIPSRIGGGNLICMSVHNWFMEDTHRLNNNIMADCILNGMNCERFRCSQSSGDLYVITKGLEQTSYNDLKIRWKNIDAKISPDEPISSNLLIPNGHSSIVNGREDFEIKLYGLYKGFAYAQVVPVKRGDDSTVIFPYKGAPVEKSPPNGTYLFTNSHGGVVVDVGRVKQAILKDMEFGSHGLAIIKNVNLTKLKDVEPQLATIEDEFVLNTGPNSLFPTEKSTYGVIGVVEDGVPLVKYPLYLGSIQSALPGKAKINASLIPFKAEKLLPEILGEVGNDFEHNGLAMADYRLANMFHAVEAGKYVTFWITGQYQDGADLLLVNPEARRIQQVKTFLRAVGGMCRSANVCKSSDESTQELRSHVDNTHLITLEWAGAAPWLVAGLALLLTAPARAARPCM
+>sp|O95336|6PGL_HUMAN 6-phosphogluconolactonase OS=Homo sapiens OX=9606 GN=PGLS PE=1 SV=2
+MAAPAPGLISVFSSSQELGAALAQLVAQRAACCLAGARARFALGLSGGSLVSMLARELPAAVAPAGPASLARWTLGFCDERLVPFDHAESTYGLYRTHLLSRLPIPESQVITINPELPVEEAAEDYAKKLRQAFQGDSIPVFDLLILGVGPDGHTCSLFPDHPLLQEREKIVAPISDSPKPPPQRVTLTLPVLNAARTVIFVATGEGKAAVLKRILEDQEENPLPAALVQPHTGKLCWFLDEAAARLLTVPFEKHSTL
+>DECOY_sp|O95336|6PGL_HUMAN 6-phosphogluconolactonase OS=Homo sapiens OX=9606 GN=PGLS PE=1 SV=2
+LTSHKEFPVTLLRAAAEDLFWCLKGTHPQVLAAPLPNEEQDELIRKLVAAKGEGTAVFIVTRAANLVPLTLTVRQPPPKPSDSIPAVIKEREQLLPHDPFLSCTHGDPGVGLILLDFVPISDGQFAQRLKKAYDEAAEEVPLEPNITIVQSEPIPLRSLLHTRYLGYTSEAHDFPVLREDCFGLTWRALSAPGAPAVAAPLERALMSVLSGGSLGLAFRARAGALCCAARQAVLQALAAGLEQSSSFVSILGPAPAAM
+>sp|P29274|AA2AR_HUMAN Adenosine receptor A2a OS=Homo sapiens OX=9606 GN=ADORA2A PE=1 SV=2
+MPIMGSSVYITVELAIAVLAILGNVLVCWAVWLNSNLQNVTNYFVVSLAAADIAVGVLAIPFAITISTGFCAACHGCLFIACFVLVLTQSSIFSLLAIAIDRYIAIRIPLRYNGLVTGTRAKGIIAICWVLSFAIGLTPMLGWNNCGQPKEGKNHSQGCGEGQVACLFEDVVPMNYMVYFNFFACVLVPLLLMLGVYLRIFLAARRQLKQMESQPLPGERARSTLQKEVHAAKSLAIIVGLFALCWLPLHIINCFTFFCPDCSHAPLWLMYLAIVLSHTNSVVNPFIYAYRIREFRQTFRKIIRSHVLRQQEPFKAAGTSARVLAAHGSDGEQVSLRLNGHPPGVWANGSAPHPERRPNGYALGLVSGGSAQESQGNTGLPDVELLSHELKGVCPEPPGLDDPLAQDGAGVS
+>DECOY_sp|P29274|AA2AR_HUMAN Adenosine receptor A2a OS=Homo sapiens OX=9606 GN=ADORA2A PE=1 SV=2
+SVGAGDQALPDDLGPPEPCVGKLEHSLLEVDPLGTNGQSEQASGGSVLGLAYGNPRREPHPASGNAWVGPPHGNLRLSVQEGDSGHAALVRASTGAAKFPEQQRLVHSRIIKRFTQRFERIRYAYIFPNVVSNTHSLVIALYMLWLPAHSCDPCFFTFCNIIHLPLWCLAFLGVIIALSKAAHVEKQLTSRAREGPLPQSEMQKLQRRAALFIRLYVGLMLLLPVLVCAFFNFYVMYNMPVVDEFLCAVQGEGCGQSHNKGEKPQGCNNWGLMPTLGIAFSLVWCIAIIGKARTGTVLGNYRLPIRIAIYRDIAIALLSFISSQTLVLVFCAIFLCGHCAACFGTSITIAFPIALVGVAIDAAALSVVFYNTVNQLNSNLWVAWCVLVNGLIALVAIALEVTIYVSSGMIPM
+>sp|P22760|AAAD_HUMAN Arylacetamide deacetylase OS=Homo sapiens OX=9606 GN=AADAC PE=1 SV=5
+MGRKSLYLLIVGILIAYYIYTPLPDNVEEPWRMMWINAHLKTIQNLATFVELLGLHHFMDSFKVVGSFDEVPPTSDENVTVTETKFNNILVRVYVPKRKSEALRRGLFYIHGGGWCVGSAALSGYDLLSRWTADRLDAVVVSTNYRLAPKYHFPIQFEDVYNALRWFLRKKVLAKYGVNPERIGISGDSAGGNLAAAVTQQLLDDPDVKIKLKIQSLIYPALQPLDVDLPSYQENSNFLFLSKSLMVRFWSEYFTTDRSLEKAMLSRQHVPVESSHLFKFVNWSSLLPERFIKGHVYNNPNYGSSELAKKYPGFLDVRAAPLLADDNKLRGLPLTYVITCQYDLLRDDGLMYVTRLRNTGVQVTHNHVEDGFHGAFSFLGLKISHRLINQYIEWLKENL
+>DECOY_sp|P22760|AAAD_HUMAN Arylacetamide deacetylase OS=Homo sapiens OX=9606 GN=AADAC PE=1 SV=5
+LNEKLWEIYQNILRHSIKLGLFSFAGHFGDEVHNHTVQVGTNRLRTVYMLGDDRLLDYQCTIVYTLPLGRLKNDDALLPAARVDLFGPYKKALESSGYNPNNYVHGKIFREPLLSSWNVFKFLHSSEVPVHQRSLMAKELSRDTTFYESWFRVMLSKSLFLFNSNEQYSPLDVDLPQLAPYILSQIKLKIKVDPDDLLQQTVAAALNGGASDGSIGIREPNVGYKALVKKRLFWRLANYVDEFQIPFHYKPALRYNTSVVVADLRDATWRSLLDYGSLAASGVCWGGGHIYFLGRRLAESKRKPVYVRVLINNFKTETVTVNEDSTPPVEDFSGVVKFSDMFHHLGLLEVFTALNQITKLHANIWMMRWPEEVNDPLPTYIYYAILIGVILLYLSKRGM
+>sp|Q7RTV5|AAED1_HUMAN Thioredoxin-like protein AAED1 OS=Homo sapiens OX=9606 GN=AAED1 PE=2 SV=1
+MAAPAPVTRQVSGAAALVPAPSGPDSGQPLAAAVAELPVLDARGQRVPFGALFRERRAVVVFVRHFLCYICKEYVEDLAKIPRSFLQEANVTLIVIGQSSYHHIEPFCKLTGYSHEIYVDPEREIYKRLGMKRGEEIASSGQSPHIKSNLLSGSLQSLWRAVTGPLFDFQGDPAQQGGTLILGPGNNIHFIHRDRNRLDHKPINSVLQLVGVQHVNFTNRPSVIHV
+>DECOY_sp|Q7RTV5|AAED1_HUMAN Thioredoxin-like protein AAED1 OS=Homo sapiens OX=9606 GN=AAED1 PE=2 SV=1
+VHIVSPRNTFNVHQVGVLQLVSNIPKHDLRNRDRHIFHINNGPGLILTGGQQAPDGQFDFLPGTVARWLSQLSGSLLNSKIHPSQGSSAIEEGRKMGLRKYIEREPDVYIEHSYGTLKCFPEIHHYSSQGIVILTVNAEQLFSRPIKALDEVYEKCIYCLFHRVFVVVARRERFLAGFPVRQGRADLVPLEAVAAALPQGSDPGSPAPVLAAAGSVQRTVPAPAAM
+>sp|Q13685|AAMP_HUMAN Angio-associated migratory cell protein OS=Homo sapiens OX=9606 GN=AAMP PE=1 SV=2
+MESESESGAAADTPPLETLSFHGDEEIIEVVELDPGPPDPDDLAQEMEDVDFEEEEEEEGNEEGWVLEPQEGVVGSMEGPDDSEVTFALHSASVFCVSLDPKTNTLAVTGGEDDKAFVWRLSDGELLFECAGHKDSVTCAGFSHDSTLVATGDMSGLLKVWQVDTKEEVWSFEAGDLEWMEWHPRAPVLLAGTADGNTWMWKVPNGDCKTFQGPNCPATCGRVLPDGKRAVVGYEDGTIRIWDLKQGSPIHVLKGTEGHQGPLTCVAANQDGSLILTGSVDCQAKLVSATTGKVVGVFRPETVASQPSLGEGEESESNSVESLGFCSVMPLAAVGYLDGTLAIYDLATQTLRHQCQHQSGIVQLLWEAGTAVVYTCSLDGIVRLWDARTGRLLTDYRGHTAEILDFALSKDASLVVTTSGDHKAKVFCVQRPDR
+>DECOY_sp|Q13685|AAMP_HUMAN Angio-associated migratory cell protein OS=Homo sapiens OX=9606 GN=AAMP PE=1 SV=2
+RDPRQVCFVKAKHDGSTTVVLSADKSLAFDLIEATHGRYDTLLRGTRADWLRVIGDLSCTYVVATGAEWLLQVIGSQHQCQHRLTQTALDYIALTGDLYGVAALPMVSCFGLSEVSNSESEEGEGLSPQSAVTEPRFVGVVKGTTASVLKAQCDVSGTLILSGDQNAAVCTLPGQHGETGKLVHIPSGQKLDWIRITGDEYGVVARKGDPLVRGCTAPCNPGQFTKCDGNPVKWMWTNGDATGALLVPARPHWEMWELDGAEFSWVEEKTDVQWVKLLGSMDGTAVLTSDHSFGACTVSDKHGACEFLLEGDSLRWVFAKDDEGGTVALTNTKPDLSVCFVSASHLAFTVESDDPGEMSGVVGEQPELVWGEENGEEEEEEEFDVDEMEQALDDPDPPGPDLEVVEIIEEDGHFSLTELPPTDAAAGSESESEM
+>sp|Q9Y312|AAR2_HUMAN Protein AAR2 homolog OS=Homo sapiens OX=9606 GN=AAR2 PE=1 SV=2
+MAAVQMDPELAKRLFFEGATVVILNMPKGTEFGIDYNSWEVGPKFRGVKMIPPGIHFLHYSSVDKANPKEVGPRMGFFLSLHQRGLTVLRWSTLREEVDLSPAPESEVEAMRANLQELDQFLGPYPYATLKKWISLTNFISEATVEKLQPENRQICAFSDVLPVLSMKHTKDRVGQNLPRCGIECKSYQEGLARLPEMKPRAGTEIRFSELPTQMFPEGATPAEITKHSMDLSYALETVLNKQFPSSPQDVLGELQFAFVCFLLGNVYEAFEHWKRLLNLLCRSEAAMMKHHTLYINLISILYHQLGEIPADFFVDIVSQDNFLTSTLQVFFSSACSIAVDATLRKKAEKFQAHLTKKFRWDFAAEPEDCAPVVVELPEGIEMG
+>DECOY_sp|Q9Y312|AAR2_HUMAN Protein AAR2 homolog OS=Homo sapiens OX=9606 GN=AAR2 PE=1 SV=2
+GMEIGEPLEVVVPACDEPEAAFDWRFKKTLHAQFKEAKKRLTADVAISCASSFFVQLTSTLFNDQSVIDVFFDAPIEGLQHYLISILNIYLTHHKMMAAESRCLLNLLRKWHEFAEYVNGLLFCVFAFQLEGLVDQPSSPFQKNLVTELAYSLDMSHKTIEAPTAGEPFMQTPLESFRIETGARPKMEPLRALGEQYSKCEIGCRPLNQGVRDKTHKMSLVPLVDSFACIQRNEPQLKEVTAESIFNTLSIWKKLTAYPYPGLFQDLEQLNARMAEVESEPAPSLDVEERLTSWRLVTLGRQHLSLFFGMRPGVEKPNAKDVSSYHLFHIGPPIMKVGRFKPGVEWSNYDIGFETGKPMNLIVVTAGEFFLRKALEPDMQVAAM
+>sp|Q4LEZ3|AARD_HUMAN Alanine and arginine-rich domain-containing protein OS=Homo sapiens OX=9606 GN=AARD PE=2 SV=1
+MGPGDFRRCRERISQGLQGLPGRAELWFPPRPACDFFGDGRSTDIQEEALAASPLLEDLRRRLTRAFQWAVQRAISRRVQEAAAAAAAREEQSWTGVEATLARLRAELVEMHFQNHQLARTLLDLNMKVQQLKKEYELEITSDSQSPKDDAANPE
+>DECOY_sp|Q4LEZ3|AARD_HUMAN Alanine and arginine-rich domain-containing protein OS=Homo sapiens OX=9606 GN=AARD PE=2 SV=1
+EPNAADDKPSQSDSTIELEYEKKLQQVKMNLDLLTRALQHNQFHMEVLEARLRALTAEVGTWSQEERAAAAAAAEQVRRSIARQVAWQFARTLRRRLDELLPSAALAEEQIDTSRGDGFFDCAPRPPFWLEARGPLGQLGQSIRERCRRFDGPGM
+>sp|Q9NY61|AATF_HUMAN Protein AATF OS=Homo sapiens OX=9606 GN=AATF PE=1 SV=1
+MAGPQPLALQLEQLLNPRPSEADPEADPEEATAARVIDRFDEGEDGEGDFLVVGSIRKLASASLLDTDKRYCGKTTSRKAWNEDHWEQTLPGSSDEEISDEEGSGDEDSEGLGLEEYDEDDLGAAEEQECGDHRESKKSRSHSAKTPGFSVQSISDFEKFTKGMDDLGSSEEEEDEESGMEEGDDAEDSQGESEEDRAGDRNSEDDGVVMTFSSVKVSEEVEKGRAVKNQIALWDQLLEGRIKLQKALLTTNQLPQPDVFPLFKDKGGPEFSSALKNSHKALKALLRSLVGLQEELLFQYPDTRYLVDGTKPNAGSEEISSEDDELVEEKKQQRRRVPAKRKLEMEDYPSFMAKRFADFTVYRNRTLQKWHDKTKLASGKLGKGFGAFERSILTQIDHILMDKERLLRRTQTKRSVYRVLGKPEPAAQPVPESLPGEPEILPQAPANAHLKDLDEEIFDDDDFYHQLLRELIERKTSSLDPNDQVAMGRQWLAIQKLRSKIHKKVDRKASKGRKLRFHVLSKLLSFMAPIDHTTMNDDARTELYRSLFGQLHPPDEGHGD
+>DECOY_sp|Q9NY61|AATF_HUMAN Protein AATF OS=Homo sapiens OX=9606 GN=AATF PE=1 SV=1
+DGHGEDPPHLQGFLSRYLETRADDNMTTHDIPAMFSLLKSLVHFRLKRGKSAKRDVKKHIKSRLKQIALWQRGMAVQDNPDLSSTKREILERLLQHYFDDDDFIEEDLDKLHANAPAQPLIEPEGPLSEPVPQAAPEPKGLVRYVSRKTQTRRLLREKDMLIHDIQTLISREFAGFGKGLKGSALKTKDHWKQLTRNRYVTFDAFRKAMFSPYDEMELKRKAPVRRRQQKKEEVLEDDESSIEESGANPKTGDVLYRTDPYQFLLEEQLGVLSRLLAKLAKHSNKLASSFEPGGKDKFLPFVDPQPLQNTTLLAKQLKIRGELLQDWLAIQNKVARGKEVEESVKVSSFTMVVGDDESNRDGARDEESEGQSDEADDGEEMGSEEDEEEESSGLDDMGKTFKEFDSISQVSFGPTKASHSRSKKSERHDGCEQEEAAGLDDEDYEELGLGESDEDGSGEEDSIEEDSSGPLTQEWHDENWAKRSTTKGCYRKDTDLLSASALKRISGVVLFDGEGDEGEDFRDIVRAATAEEPDAEPDAESPRPNLLQELQLALPQPGAM
+>sp|P00505|AATM_HUMAN Aspartate aminotransferase, mitochondrial OS=Homo sapiens OX=9606 GN=GOT2 PE=1 SV=3
+MALLHSGRVLPGIAAAFHPGLAAAASARASSWWTHVEMGPPDPILGVTEAFKRDTNSKKMNLGVGAYRDDNGKPYVLPSVRKAEAQIAAKNLDKEYLPIGGLAEFCKASAELALGENSEVLKSGRFVTVQTISGTGALRIGASFLQRFFKFSRDVFLPKPTWGNHTPIFRDAGMQLQGYRYYDPKTCGFDFTGAVEDISKIPEQSVLLLHACAHNPTGVDPRPEQWKEIATVVKKRNLFAFFDMAYQGFASGDGDKDAWAVRHFIEQGINVCLCQSYAKNMGLYGERVGAFTMVCKDADEAKRVESQLKILIRPMYSNPPLNGARIAAAILNTPDLRKQWLQEVKVMADRIIGMRTQLVSNLKKEGSTHNWQHITDQIGMFCFTGLKPEQVERLIKEFSIYMTKDGRISVAGVTSSNVGYLAHAIHQVTK
+>DECOY_sp|P00505|AATM_HUMAN Aspartate aminotransferase, mitochondrial OS=Homo sapiens OX=9606 GN=GOT2 PE=1 SV=3
+KTVQHIAHALYGVNSSTVGAVSIRGDKTMYISFEKILREVQEPKLGTFCFMGIQDTIHQWNHTSGEKKLNSVLQTRMGIIRDAMVKVEQLWQKRLDPTNLIAAAIRAGNLPPNSYMPRILIKLQSEVRKAEDADKCVMTFAGVREGYLGMNKAYSQCLCVNIGQEIFHRVAWADKDGDGSAFGQYAMDFFAFLNRKKVVTAIEKWQEPRPDVGTPNHACAHLLLVSQEPIKSIDEVAGTFDFGCTKPDYYRYGQLQMGADRFIPTHNGWTPKPLFVDRSFKFFRQLFSAGIRLAGTGSITQVTVFRGSKLVESNEGLALEASAKCFEALGGIPLYEKDLNKAAIQAEAKRVSPLVYPKGNDDRYAGVGLNMKKSNTDRKFAETVGLIPDPPGMEVHTWWSSARASAAAALGPHFAAAIGPLVRGSHLLAM
+>sp|Q96GS6|AB17A_HUMAN Alpha/beta hydrolase domain-containing protein 17A OS=Homo sapiens OX=9606 GN=ABHD17A PE=1 SV=1
+MNGLSLSELCCLFCCPPCPGRIAAKLAFLPPEATYSLVPEPEPGPGGAGAAPLGTLRASSGAPGRWKLHLTERADFQYSQRELDTIEVFPTKSARGNRVSCMYVRCVPGARYTVLFSHGNAVDLGQMSSFYIGLGSRLHCNIFSYDYSGYGASSGRPSERNLYADIDAAWQALRTRYGISPDSIILYGQSIGTVPTVDLASRYECAAVVLHSPLTSGMRVAFPDTKKTYCFDAFPNIEKVSKITSPVLIIHGTEDEVIDFSHGLALYERCPKAVEPLWVEGAGHNDIELYSQYLERLRRFISQELPSQRA
+>DECOY_sp|Q96GS6|AB17A_HUMAN Alpha/beta hydrolase domain-containing protein 17A OS=Homo sapiens OX=9606 GN=ABHD17A PE=1 SV=1
+ARQSPLEQSIFRRLRELYQSYLEIDNHGAGEVWLPEVAKPCREYLALGHSFDIVEDETGHIILVPSTIKSVKEINPFADFCYTKKTDPFAVRMGSTLPSHLVVAACEYRSALDVTPVTGISQGYLIISDPSIGYRTRLAQWAADIDAYLNRESPRGSSAGYGSYDYSFINCHLRSGLGIYFSSMQGLDVANGHSFLVTYRAGPVCRVYMCSVRNGRASKTPFVEITDLERQSYQFDARETLHLKWRGPAGSSARLTGLPAAGAGGPGPEPEPVLSYTAEPPLFALKAAIRGPCPPCCFLCCLESLSLGNM
+>sp|Q5VST6|AB17B_HUMAN Alpha/beta hydrolase domain-containing protein 17B OS=Homo sapiens OX=9606 GN=ABHD17B PE=1 SV=1
+MNNLSFSELCCLFCCPPCPGKIASKLAFLPPDPTYTLMCDESGSRWTLHLSERADWQYSSREKDAIECFMTRTSKGNRIACMFVRCSPNAKYTLLFSHGNAVDLGQMSSFYIGLGSRINCNIFSYDYSGYGASSGKPTEKNLYADIEAAWLALRTRYGIRPENVIIYGQSIGTVPSVDLAARYESAAVILHSPLTSGMRVAFPDTKKTYCFDAFPNIDKISKITSPVLIIHGTEDEVIDFSHGLALFERCQRPVEPLWVEGAGHNDVELYGQYLERLKQFVSQELVNL
+>DECOY_sp|Q5VST6|AB17B_HUMAN Alpha/beta hydrolase domain-containing protein 17B OS=Homo sapiens OX=9606 GN=ABHD17B PE=1 SV=1
+LNVLEQSVFQKLRELYQGYLEVDNHGAGEVWLPEVPRQCREFLALGHSFDIVEDETGHIILVPSTIKSIKDINPFADFCYTKKTDPFAVRMGSTLPSHLIVAASEYRAALDVSPVTGISQGYIIVNEPRIGYRTRLALWAAEIDAYLNKETPKGSSAGYGSYDYSFINCNIRSGLGIYFSSMQGLDVANGHSFLLTYKANPSCRVFMCAIRNGKSTRTMFCEIADKERSSYQWDARESLHLTWRSGSEDCMLTYTPDPPLFALKSAIKGPCPPCCFLCCLESFSLNNM
+>sp|Q8WWZ7|ABCA5_HUMAN ATP-binding cassette sub-family A member 5 OS=Homo sapiens OX=9606 GN=ABCA5 PE=2 SV=2
+MSTAIREVGVWRQTRTLLLKNYLIKCRTKKSSVQEILFPLFFLFWLILISMMHPNKKYEEVPNIELNPMDKFTLSNLILGYTPVTNITSSIMQKVSTDHLPDVIITEEYTNEKEMLTSSLSKPSNFVGVVFKDSMSYELRFFPDMIPVSSIYMDSRAGCSKSCEAAQYWSSGFTVLQASIDAAIIQLKTNVSLWKELESTKAVIMGETAVVEIDTFPRGVILIYLVIAFSPFGYFLAIHIVAEKEKKIKEFLKIMGLHDTAFWLSWVLLYTSLIFLMSLLMAVIATASLLFPQSSSIVIFLLFFLYGLSSVFFALMLTPLFKKSKHVGIVEFFVTVAFGFIGLMIILIESFPKSLVWLFSPFCHCTFVIGIAQVMHLEDFNEGASFSNLTAGPYPLIITIIMLTLNSIFYVLLAVYLDQVIPGEFGLRRSSLYFLKPSYWSKSKRNYEELSEGNVNGNISFSEIIEPVSSEFVGKEAIRISGIQKTYRKKGENVEALRNLSFDIYEGQITALLGHSGTGKSTLMNILCGLCPPSDGFASIYGHRVSEIDEMFEARKMIGICPQLDIHFDVLTVEENLSILASIKGIPANNIIQEVQKVLLDLDMQTIKDNQAKKLSGGQKRKLSLGIAVLGNPKILLLDEPTAGMDPCSRHIVWNLLKYRKANRVTVFSTHFMDEADILADRKAVISQGMLKCVGSSMFLKSKWGIGYRLSMYIDKYCATESLSSLVKQHIPGATLLQQNDQQLVYSLPFKDMDKFSGLFSALDSHSNLGVISYGVSMTTLEDVFLKLEVEAEIDQADYSVFTQQPLEEEMDSKSFDEMEQSLLILSETKAALVSTMSLWKQQMYTIAKFHFFTLKRESKSVRSVLLLLLIFFTVQIFMFLVHHSFKNAVVPIKLVPDLYFLKPGDKPHKYKTSLLLQNSADSDISDLISFFTSQNIMVTMINDSDYVSVAPHSAALNVMHSEKDYVFAAVFNSTMVYSLPILVNIISNYYLYHLNVTETIQIWSTPFFQEITDIVFKIELYFQAALLGIIVTAMPPYFAMENAENHKIKAYTQLKLSGLLPSAYWIGQAVVDIPLFFIILILMLGSLLAFHYGLYFYTVKFLAVVFCLIGYVPSVILFTYIASFTFKKILNTKEFWSFIYSVAALACIAITEITFFMGYTIATILHYAFCIIIPIYPLLGCLISFIKISWKNVRKNVDTYNPWDRLSVAVISPYLQCVLWIFLLQYYEKKYGGRSIRKDPFFRNLSTKSKNRKLPEPPDNEDEDEDVKAERLKVKELMGCQCCEEKPSIMVSNLHKEYDDKKDFLLSRKVKKVATKYISFCVKKGEILGLLGPNGAGKSTIINILVGDIEPTSGQVFLGDYSSETSEDDDSLKCMGYCPQINPLWPDTTLQEHFEIYGAVKGMSASDMKEVISRITHALDLKEHLQKTVKKLPAGIKRKLCFALSMLGNPQITLLDEPSTGMDPKAKQHMWRAIRTAFKNRKRAAILTTHYMEEAEAVCDRVAIMVSGQLRCIGTVQHLKSKFGKGYFLEIKLKDWIENLEVDRLQREIQYIFPNASRQESFSSILAYKIPKEDVQSLSQSFFKLEEAKHAFAIEEYSFSQATLEQVFVELTKEQEEEDNSCGTLNSTLWWERTQEDRVVF
+>DECOY_sp|Q8WWZ7|ABCA5_HUMAN ATP-binding cassette sub-family A member 5 OS=Homo sapiens OX=9606 GN=ABCA5 PE=2 SV=2
+FVVRDEQTREWWLTSNLTGCSNDEEEQEKTLEVFVQELTAQSFSYEEIAFAHKAEELKFFSQSLSQVDEKPIKYALISSFSEQRSANPFIYQIERQLRDVELNEIWDKLKIELFYGKGFKSKLHQVTGICRLQGSVMIAVRDCVAEAEEMYHTTLIAARKRNKFATRIARWMHQKAKPDMGTSPEDLLTIQPNGLMSLAFCLKRKIGAPLKKVTKQLHEKLDLAHTIRSIVEKMDSASMGKVAGYIEFHEQLTTDPWLPNIQPCYGMCKLSDDDESTESSYDGLFVQGSTPEIDGVLINIITSKGAGNPGLLGLIEGKKVCFSIYKTAVKKVKRSLLFDKKDDYEKHLNSVMISPKEECCQCGMLEKVKLREAKVDEDEDENDPPEPLKRNKSKTSLNRFFPDKRISRGGYKKEYYQLLFIWLVCQLYPSIVAVSLRDWPNYTDVNKRVNKWSIKIFSILCGLLPYIPIIICFAYHLITAITYGMFFTIETIAICALAAVSYIFSWFEKTNLIKKFTFSAIYTFLIVSPVYGILCFVVALFKVTYFYLGYHFALLSGLMLILIIFFLPIDVVAQGIWYASPLLGSLKLQTYAKIKHNEANEMAFYPPMATVIIGLLAAQFYLEIKFVIDTIEQFFPTSWIQITETVNLHYLYYNSIINVLIPLSYVMTSNFVAAFVYDKESHMVNLAASHPAVSVYDSDNIMTVMINQSTFFSILDSIDSDASNQLLLSTKYKHPKDGPKLFYLDPVLKIPVVANKFSHHVLFMFIQVTFFILLLLLVSRVSKSERKLTFFHFKAITYMQQKWLSMTSVLAAKTESLILLSQEMEDFSKSDMEEELPQQTFVSYDAQDIEAEVELKLFVDELTTMSVGYSIVGLNSHSDLASFLGSFKDMDKFPLSYVLQQDNQQLLTAGPIHQKVLSSLSETACYKDIYMSLRYGIGWKSKLFMSSGVCKLMGQSIVAKRDALIDAEDMFHTSFVTVRNAKRYKLLNWVIHRSCPDMGATPEDLLLIKPNGLVAIGLSLKRKQGGSLKKAQNDKITQMDLDLLVKQVEQIINNAPIGKISALISLNEEVTLVDFHIDLQPCIGIMKRAEFMEDIESVRHGYISAFGDSPPCLGCLINMLTSKGTGSHGLLATIQGEYIDFSLNRLAEVNEGKKRYTKQIGSIRIAEKGVFESSVPEIIESFSINGNVNGESLEEYNRKSKSWYSPKLFYLSSRRLGFEGPIVQDLYVALLVYFISNLTLMIITIILPYPGATLNSFSAGENFDELHMVQAIGIVFTCHCFPSFLWVLSKPFSEILIIMLGIFGFAVTVFFEVIGVHKSKKFLPTLMLAFFVSSLGYLFFLLFIVISSSQPFLLSATAIVAMLLSMLFILSTYLLVWSLWFATDHLGMIKLFEKIKKEKEAVIHIALFYGFPSFAIVLYILIVGRPFTDIEVVATEGMIVAKTSELEKWLSVNTKLQIIAADISAQLVTFGSSWYQAAECSKSCGARSDMYISSVPIMDPFFRLEYSMSDKFVVGVFNSPKSLSSTLMEKENTYEETIIVDPLHDTSVKQMISSTINTVPTYGLILNSLTFKDMPNLEINPVEEYKKNPHMMSILILWFLFFLPFLIEQVSSKKTRCKILYNKLLLTRTQRWVGVERIATSM
+>sp|Q8IZY2|ABCA7_HUMAN ATP-binding cassette sub-family A member 7 OS=Homo sapiens OX=9606 GN=ABCA7 PE=1 SV=3
+MAFWTQLMLLLWKNFMYRRRQPVQLLVELLWPLFLFFILVAVRHSHPPLEHHECHFPNKPLPSAGTVPWLQGLICNVNNTCFPQLTPGEEPGRLSNFNDSLVSRLLADARTVLGGASAHRTLAGLGKLIATLRAARSTAQPQPTKQSPLEPPMLDVAELLTSLLRTESLGLALGQAQEPLHSLLEAAEDLAQELLALRSLVELRALLQRPRGTSGPLELLSEALCSVRGPSSTVGPSLNWYEASDLMELVGQEPESALPDSSLSPACSELIGALDSHPLSRLLWRRLKPLILGKLLFAPDTPFTRKLMAQVNRTFEELTLLRDVREVWEMLGPRIFTFMNDSSNVAMLQRLLQMQDEGRRQPRPGGRDHMEALRSFLDPGSGGYSWQDAHADVGHLVGTLGRVTECLSLDKLEAAPSEAALVSRALQLLAEHRFWAGVVFLGPEDSSDPTEHPTPDLGPGHVRIKIRMDIDVVTRTNKIRDRFWDPGPAADPLTDLRYVWGGFVYLQDLVERAAVRVLSGANPRAGLYLQQMPYPCYVDDVFLRVLSRSLPLFLTLAWIYSVTLTVKAVVREKETRLRDTMRAMGLSRAVLWLGWFLSCLGPFLLSAALLVLVLKLGDILPYSHPGVVFLFLAAFAVATVTQSFLLSAFFSRANLAAACGGLAYFSLYLPYVLCVAWRDRLPAGGRVAASLLSPVAFGFGCESLALLEEQGEGAQWHNVGTRPTADVFSLAQVSGLLLLDAALYGLATWYLEAVCPGQYGIPEPWNFPFRRSYWCGPRPPKSPAPCPTPLDPKVLVEEAPPGLSPGVSVRSLEKRFPGSPQPALRGLSLDFYQGHITAFLGHNGAGKTTTLSILSGLFPPSGGSAFILGHDVRSSMAAIRPHLGVCPQYNVLFDMLTVDEHVWFYGRLKGLSAAVVGPEQDRLLQDVGLVSKQSVQTRHLSGGMQRKLSVAIAFVGGSQVVILDEPTAGVDPASRRGIWELLLKYREGRTLILSTHHLDEAELLGDRVAVVAGGRLCCCGSPLFLRRHLGSGYYLTLVKARLPLTTNEKADTDMEGSVDTRQEKKNGSQGSRVGTPQLLALVQHWVPGARLVEELPHELVLVLPYTGAHDGSFATLFRELDTRLAELRLTGYGISDTSLEEIFLKVVEECAADTDMEDGSCGQHLCTGIAGLDVTLRLKMPPQETALENGEPAGSAPETDQGSGPDAVGRVQGWALTRQQLQALLLKRFLLARRSRRGLFAQIVLPALFVGLALVFSLIVPPFGHYPALRLSPTMYGAQVSFFSEDAPGDPGRARLLEALLQEAGLEEPPVQHSSHRFSAPEVPAEVAKVLASGNWTPESPSPACQCSRPGARRLLPDCPAAAGGPPPPQAVTGSGEVVQNLTGRNLSDFLVKTYPRLVRQGLKTKKWVNEVRYGGFSLGGRDPGLPSGQELGRSVEELWALLSPLPGGALDRVLKNLTAWAHSLDAQDSLKIWFNNKGWHSMVAFVNRASNAILRAHLPPGPARHAHSITTLNHPLNLTKEQLSEGALMASSVDVLVSICVVFAMSFVPASFTLVLIEERVTRAKHLQLMGGLSPTLYWLGNFLWDMCNYLVPACIVVLIFLAFQQRAYVAPANLPALLLLLLLYGWSITPLMYPASFFFSVPSTAYVVLTCINLFIGINGSMATFVLELFSDQKLQEVSRILKQVFLIFPHFCLGRGLIDMVRNQAMADAFERLGDRQFQSPLRWEVVGKNLLAMVIQGPLFLLFTLLLQHRSQLLPQPRVRSLPLLGEEDEDVARERERVVQGATQGDVLVLRNLTKVYRGQRMPAVDRLCLGIPPGECFGLLGVNGAGKTSTFRMVTGDTLASRGEAVLAGHSVAREPSAAHLSMGYCPQSDAIFELLTGREHLELLARLRGVPEAQVAQTAGSGLARLGLSWYADRPAGTYSGGNKRKLATALALVGDPAVVFLDEPTTGMDPSARRFLWNSLLAVVREGRSVMLTSHSMEECEALCSRLAIMVNGRFRCLGSPQHLKGRFAAGHTLTLRVPAARSQPAAAFVAAEFPGAELREAHGGRLRFQLPPGGRCALARVFGELAVHGAEHGVEDFSVSQTMLEEVFLYFSKDQGKDEDTEEQKEAGVGVDPAPGLQHPKRVSQFLDDPSTAETVL
+>DECOY_sp|Q8IZY2|ABCA7_HUMAN ATP-binding cassette sub-family A member 7 OS=Homo sapiens OX=9606 GN=ABCA7 PE=1 SV=3
+LVTEATSPDDLFQSVRKPHQLGPAPDVGVGAEKQEETDEDKGQDKSFYLFVEELMTQSVSFDEVGHEAGHVALEGFVRALACRGGPPLQFRLRGGHAERLEAGPFEAAVFAAAPQSRAAPVRLTLTHGAAFRGKLHQPSGLCRFRGNVMIALRSCLAECEEMSHSTLMVSRGERVVALLSNWLFRRASPDMGTTPEDLFVVAPDGVLALATALKRKNGGSYTGAPRDAYWSLGLRALGSGATQAVQAEPVGRLRALLELHERGTLLEFIADSQPCYGMSLHAASPERAVSHGALVAEGRSALTDGTVMRFTSTKGAGNVGLLGFCEGPPIGLCLRDVAPMRQGRYVKTLNRLVLVDGQTAGQVVRERERAVDEDEEGLLPLSRVRPQPLLQSRHQLLLTFLLFLPGQIVMALLNKGVVEWRLPSQFQRDGLREFADAMAQNRVMDILGRGLCFHPFILFVQKLIRSVEQLKQDSFLELVFTAMSGNIGIFLNICTLVVYATSPVSFFFSAPYMLPTISWGYLLLLLLLAPLNAPAVYARQQFALFILVVICAPVLYNCMDWLFNGLWYLTPSLGGMLQLHKARTVREEILVLTFSAPVFSMAFVVCISVLVDVSSAMLAGESLQEKTLNLPHNLTTISHAHRAPGPPLHARLIANSARNVFAVMSHWGKNNFWIKLSDQADLSHAWATLNKLVRDLAGGPLPSLLAWLEEVSRGLEQGSPLGPDRGGLSFGGYRVENVWKKTKLGQRVLRPYTKVLFDSLNRGTLNQVVEGSGTVAQPPPPGGAAAPCDPLLRRAGPRSCQCAPSPSEPTWNGSALVKAVEAPVEPASFRHSSHQVPPEELGAEQLLAELLRARGPDGPADESFFSVQAGYMTPSLRLAPYHGFPPVILSFVLALGVFLAPLVIQAFLGRRSRRALLFRKLLLAQLQQRTLAWGQVRGVADPGSGQDTEPASGAPEGNELATEQPPMKLRLTVDLGAIGTCLHQGCSGDEMDTDAACEEVVKLFIEELSTDSIGYGTLRLEALRTDLERFLTAFSGDHAGTYPLVLVLEHPLEEVLRAGPVWHQVLALLQPTGVRSGQSGNKKEQRTDVSGEMDTDAKENTTLPLRAKVLTLYYGSGLHRRLFLPSGCCCLRGGAVVAVRDGLLEAEDLHHTSLILTRGERYKLLLEWIGRRSAPDVGATPEDLIVVQSGGVFAIAVSLKRQMGGSLHRTQVSQKSVLGVDQLLRDQEPGVVAASLGKLRGYFWVHEDVTLMDFLVNYQPCVGLHPRIAAMSSRVDHGLIFASGGSPPFLGSLISLTTTKGAGNHGLFATIHGQYFDLSLGRLAPQPSGPFRKELSRVSVGPSLGPPAEEVLVKPDLPTPCPAPSKPPRPGCWYSRRFPFNWPEPIGYQGPCVAELYWTALGYLAADLLLLGSVQALSFVDATPRTGVNHWQAGEGQEELLALSECGFGFAVPSLLSAAVRGGAPLRDRWAVCLVYPLYLSFYALGGCAAALNARSFFASLLFSQTVTAVAFAALFLFVVGPHSYPLIDGLKLVLVLLAASLLFPGLCSLFWGLWLVARSLGMARMTDRLRTEKERVVAKVTLTVSYIWALTLFLPLSRSLVRLFVDDVYCPYPMQQLYLGARPNAGSLVRVAAREVLDQLYVFGGWVYRLDTLPDAAPGPDWFRDRIKNTRTVVDIDMRIKIRVHGPGLDPTPHETPDSSDEPGLFVVGAWFRHEALLQLARSVLAAESPAAELKDLSLCETVRGLTGVLHGVDAHADQWSYGGSGPDLFSRLAEMHDRGGPRPQRRGEDQMQLLRQLMAVNSSDNMFTFIRPGLMEWVERVDRLLTLEEFTRNVQAMLKRTFPTDPAFLLKGLILPKLRRWLLRSLPHSDLAGILESCAPSLSSDPLASEPEQGVLEMLDSAEYWNLSPGVTSSPGRVSCLAESLLELPGSTGRPRQLLARLEVLSRLALLEQALDEAAELLSHLPEQAQGLALGLSETRLLSTLLEAVDLMPPELPSQKTPQPQATSRAARLTAILKGLGALTRHASAGGLVTRADALLRSVLSDNFNSLRGPEEGPTLQPFCTNNVNCILGQLWPVTGASPLPKNPFHCEHHELPPHSHRVAVLIFFLFLPWLLEVLLQVPQRRRYMFNKWLLLMLQTWFAM
+>sp|O75027|ABCB7_HUMAN ATP-binding cassette sub-family B member 7, mitochondrial OS=Homo sapiens OX=9606 GN=ABCB7 PE=1 SV=2
+MALLAMHSWRWAAAAAAFEKRRHSAILIRPLVSVSGSGPQWRPHQLGALGTARAYQIPESLKSITWQRLGKGNSGQFLDAAKALQVWPLIEKRTCWHGHAGGGLHTDPKEGLKDVDTRKIIKAMLSYVWPKDRPDLRARVAISLGFLGGAKAMNIVVPFMFKYAVDSLNQMSGNMLNLSDAPNTVATMATAVLIGYGVSRAGAAFFNEVRNAVFGKVAQNSIRRIAKNVFLHLHNLDLGFHLSRQTGALSKAIDRGTRGISFVLSALVFNLLPIMFEVMLVSGVLYYKCGAQFALVTLGTLGTYTAFTVAVTRWRTRFRIEMNKADNDAGNAAIDSLLNYETVKYFNNERYEAQRYDGFLKTYETASLKSTSTLAMLNFGQSAIFSVGLTAIMVLASQGIVAGTLTVGDLVMVNGLLFQLSLPLNFLGTVYRETRQALIDMNTLFTLLKVDTQIKDKVMASPLQITPQTATVAFDNVHFEYIEGQKVLSGISFEVPAGKKVAIVGGSGSGKSTIVRLLFRFYEPQKGSIYLAGQNIQDVSLESLRRAVGVVPQDAVLFHNTIYYNLLYGNISASPEEVYAVAKLAGLHDAILRMPHGYDTQVGERGLKLSGGEKQRVAIARAILKDPPVILYDEATSSLDSITEETILGAMKDVVKHRTSIFIAHRLSTVVDADEIIVLDQGKVAERGTHHGLLANPHSIYSEMWHTQSSRVQNHDNPKWEAKKENISKEEERKKLQEEIVNSVKGCGNCSC
+>DECOY_sp|O75027|ABCB7_HUMAN ATP-binding cassette sub-family B member 7, mitochondrial OS=Homo sapiens OX=9606 GN=ABCB7 PE=1 SV=2
+CSCNGCGKVSNVIEEQLKKREEEKSINEKKAEWKPNDHNQVRSSQTHWMESYISHPNALLGHHTGREAVKGQDLVIIEDADVVTSLRHAIFISTRHKVVDKMAGLITEETISDLSSTAEDYLIVPPDKLIARAIAVRQKEGGSLKLGREGVQTDYGHPMRLIADHLGALKAVAYVEEPSASINGYLLNYYITNHFLVADQPVVGVARRLSELSVDQINQGALYISGKQPEYFRFLLRVITSKGSGSGGVIAVKKGAPVEFSIGSLVKQGEIYEFHVNDFAVTATQPTIQLPSAMVKDKIQTDVKLLTFLTNMDILAQRTERYVTGLFNLPLSLQFLLGNVMVLDGVTLTGAVIGQSALVMIATLGVSFIASQGFNLMALTSTSKLSATEYTKLFGDYRQAEYRENNFYKVTEYNLLSDIAANGADNDAKNMEIRFRTRWRTVAVTFATYTGLTGLTVLAFQAGCKYYLVGSVLMVEFMIPLLNFVLASLVFSIGRTGRDIAKSLAGTQRSLHFGLDLNHLHLFVNKAIRRISNQAVKGFVANRVENFFAAGARSVGYGILVATAMTAVTNPADSLNLMNGSMQNLSDVAYKFMFPVVINMAKAGGLFGLSIAVRARLDPRDKPWVYSLMAKIIKRTDVDKLGEKPDTHLGGGAHGHWCTRKEILPWVQLAKAADLFQGSNGKGLRQWTISKLSEPIQYARATGLAGLQHPRWQPGSGSVSVLPRILIASHRRKEFAAAAAAWRWSHMALLAM
+>sp|P33897|ABCD1_HUMAN ATP-binding cassette sub-family D member 1 OS=Homo sapiens OX=9606 GN=ABCD1 PE=1 SV=2
+MPVLSRPRPWRGNTLKRTAVLLALAAYGAHKVYPLVRQCLAPARGLQAPAGEPTQEASGVAAAKAGMNRVFLQRLLWLLRLLFPRVLCRETGLLALHSAALVSRTFLSVYVARLDGRLARCIVRKDPRAFGWQLLQWLLIALPATFVNSAIRYLEGQLALSFRSRLVAHAYRLYFSQQTYYRVSNMDGRLRNPDQSLTEDVVAFAASVAHLYSNLTKPLLDVAVTSYTLLRAARSRGAGTAWPSAIAGLVVFLTANVLRAFSPKFGELVAEEARRKGELRYMHSRVVANSEEIAFYGGHEVELALLQRSYQDLASQINLILLERLWYVMLEQFLMKYVWSASGLLMVAVPIITATGYSESDAEAVKKAALEKKEEELVSERTEAFTIARNLLTAAADAIERIMSSYKEVTELAGYTARVHEMFQVFEDVQRCHFKRPRELEDAQAGSGTIGRSGVRVEGPLKIRGQVVDVEQGIICENIPIVTPSGEVVVASLNIRVEEGMHLLITGPNGCGKSSLFRILGGLWPTYGGVLYKPPPQRMFYIPQRPYMSVGSLRDQVIYPDSVEDMQRKGYSEQDLEAILDVVHLHHILQREGGWEAMCDWKDVLSGGEKQRIGMARMFYHRPKYALLDECTSAVSIDVEGKIFQAAKDAGIALLSITHRPSLWKYHTHLLQFDGEGGWKFEKLDSAARLSLTEEKQRLEQQLAGIPKMQRRLQELCQILGEAVAPAHVPAPSPQGPGGLQGAST
+>DECOY_sp|P33897|ABCD1_HUMAN ATP-binding cassette sub-family D member 1 OS=Homo sapiens OX=9606 GN=ABCD1 PE=1 SV=2
+TSAGQLGGPGQPSPAPVHAPAVAEGLIQCLEQLRRQMKPIGALQQELRQKEETLSLRAASDLKEFKWGGEGDFQLLHTHYKWLSPRHTISLLAIGADKAAQFIKGEVDISVASTCEDLLAYKPRHYFMRAMGIRQKEGGSLVDKWDCMAEWGGERQLIHHLHVVDLIAELDQESYGKRQMDEVSDPYIVQDRLSGVSMYPRQPIYFMRQPPPKYLVGGYTPWLGGLIRFLSSKGCGNPGTILLHMGEEVRINLSAVVVEGSPTVIPINECIIGQEVDVVQGRIKLPGEVRVGSRGITGSGAQADELERPRKFHCRQVDEFVQFMEHVRATYGALETVEKYSSMIREIADAAATLLNRAITFAETRESVLEEEKKELAAKKVAEADSESYGTATIIPVAVMLLGSASWVYKMLFQELMVYWLRELLILNIQSALDQYSRQLLALEVEHGGYFAIEESNAVVRSHMYRLEGKRRAEEAVLEGFKPSFARLVNATLFVVLGAIASPWATGAGRSRAARLLTYSTVAVDLLPKTLNSYLHAVSAAFAVVDETLSQDPNRLRGDMNSVRYYTQQSFYLRYAHAVLRSRFSLALQGELYRIASNVFTAPLAILLWQLLQWGFARPDKRVICRALRGDLRAVYVSLFTRSVLAASHLALLGTERCLVRPFLLRLLWLLRQLFVRNMGAKAAAVGSAEQTPEGAPAQLGRAPALCQRVLPYVKHAGYAALALLVATRKLTNGRWPRPRSLVPM
+>sp|Q9UG63|ABCF2_HUMAN ATP-binding cassette sub-family F member 2 OS=Homo sapiens OX=9606 GN=ABCF2 PE=1 SV=2
+MPSDLAKKKAAKKKEAAKARQRPRKGHEENGDVVTEPQVAEKNEANGRETTEVDLLTKELEDFEMKKAAARAVTGVLASHPNSTDVHIINLSLTFHGQELLSDTKLELNSGRRYGLIGLNGIGKSMLLSAIGKREVPIPEHIDIYHLTREMPPSDKTPLHCVMEVDTERAMLEKEAERLAHEDAECEKLMELYERLEELDADKAEMRASRILHGLGFTPAMQRKKLKDFSGGWRMRVALARALFIRPFMLLLDEPTNHLDLDACVWLEEELKTFKRILVLVSHSQDFLNGVCTNIIHMHNKKLKYYTGNYDQYVKTRLELEENQMKRFHWEQDQIAHMKNYIARFGHGSAKLARQAQSKEKTLQKMMASGLTERVVSDKTLSFYFPPCGKIPPPVIMVQNVSFKYTKDGPCIYNNLEFGIDLDTRVALVGPNGAGKSTLLKLLTGELLPTDGMIRKHSHVKIGRYHQHLQEQLDLDLSPLEYMMKCYPEIKEKEEMRKIIGRYGLTGKQQVSPIRNLSDGQKCRVCLAWLAWQNPHMLFLDEPTNHLDIETIDALADAINEFEGGMMLVSHDFRLIQQVAQEIWVCEKQTITKWPGDILAYKEHLKSKLVDEEPQLTKRTHNV
+>DECOY_sp|Q9UG63|ABCF2_HUMAN ATP-binding cassette sub-family F member 2 OS=Homo sapiens OX=9606 GN=ABCF2 PE=1 SV=2
+VNHTRKTLQPEEDVLKSKLHEKYALIDGPWKTITQKECVWIEQAVQQILRFDHSVLMMGGEFENIADALADITEIDLHNTPEDLFLMHPNQWALWALCVRCKQGDSLNRIPSVQQKGTLGYRGIIKRMEEKEKIEPYCKMMYELPSLDLDLQEQLHQHYRGIKVHSHKRIMGDTPLLEGTLLKLLTSKGAGNPGVLAVRTDLDIGFELNNYICPGDKTYKFSVNQVMIVPPPIKGCPPFYFSLTKDSVVRETLGSAMMKQLTKEKSQAQRALKASGHGFRAIYNKMHAIQDQEWHFRKMQNEELELRTKVYQDYNGTYYKLKKNHMHIINTCVGNLFDQSHSVLVLIRKFTKLEEELWVCADLDLHNTPEDLLLMFPRIFLARALAVRMRWGGSFDKLKKRQMAPTFGLGHLIRSARMEAKDADLEELREYLEMLKECEADEHALREAEKELMARETDVEMVCHLPTKDSPPMERTLHYIDIHEPIPVERKGIASLLMSKGIGNLGILGYRRGSNLELKTDSLLEQGHFTLSLNIIHVDTSNPHSALVGTVARAAAKKMEFDELEKTLLDVETTERGNAENKEAVQPETVVDGNEEHGKRPRQRAKAAEKKKAAKKKALDSPM
+>sp|P45844|ABCG1_HUMAN ATP-binding cassette sub-family G member 1 OS=Homo sapiens OX=9606 GN=ABCG1 PE=1 SV=3
+MACLMAAFSVGTAMNASSYSAEMTEPKSVCVSVDEVVSSNMEATETDLLNGHLKKVDNNLTEAQRFSSLPRRAAVNIEFRDLSYSVPEGPWWRKKGYKTLLKGISGKFNSGELVAIMGPSGAGKSTLMNILAGYRETGMKGAVLINGLPRDLRCFRKVSCYIMQDDMLLPHLTVQEAMMVSAHLKLQEKDEGRREMVKEILTALGLLSCANTRTGSLSGGQRKRLAIALELVNNPPVMFFDEPTSGLDSASCFQVVSLMKGLAQGGRSIICTIHQPSAKLFELFDQLYVLSQGQCVYRGKVCNLVPYLRDLGLNCPTYHNPADFVMEVASGEYGDQNSRLVRAVREGMCDSDHKRDLGGDAEVNPFLWHRPSEEVKQTKRLKGLRKDSSSMEGCHSFSASCLTQFCILFKRTFLSIMRDSVLTHLRITSHIGIGLLIGLLYLGIGNEAKKVLSNSGFLFFSMLFLMFAALMPTVLTFPLEMGVFLREHLNYWYSLKAYYLAKTMADVPFQIMFPVAYCSIVYWMTSQPSDAVRFVLFAALGTMTSLVAQSLGLLIGAASTSLQVATFVGPVTAIPVLLFSGFFVSFDTIPTYLQWMSYISYVRYGFEGVILSIYGLDREDLHCDIDETCHFQKSEAILRELDVENAKLYLDFIVLGIFFISLRLIAYFVLRYKIRAER
+>DECOY_sp|P45844|ABCG1_HUMAN ATP-binding cassette sub-family G member 1 OS=Homo sapiens OX=9606 GN=ABCG1 PE=1 SV=3
+REARIKYRLVFYAILRLSIFFIGLVIFDLYLKANEVDLERLIAESKQFHCTEDIDCHLDERDLGYISLIVGEFGYRVYSIYSMWQLYTPITDFSVFFGSFLLVPIATVPGVFTAVQLSTSAAGILLGLSQAVLSTMTGLAAFLVFRVADSPQSTMWYVISCYAVPFMIQFPVDAMTKALYYAKLSYWYNLHERLFVGMELPFTLVTPMLAAFMLFLMSFFLFGSNSLVKKAENGIGLYLLGILLGIGIHSTIRLHTLVSDRMISLFTRKFLICFQTLCSASFSHCGEMSSSDKRLGKLRKTQKVEESPRHWLFPNVEADGGLDRKHDSDCMGERVARVLRSNQDGYEGSAVEMVFDAPNHYTPCNLGLDRLYPVLNCVKGRYVCQGQSLVYLQDFLEFLKASPQHITCIISRGGQALGKMLSVVQFCSASDLGSTPEDFFMVPPNNVLELAIALRKRQGGSLSGTRTNACSLLGLATLIEKVMERRGEDKEQLKLHASVMMAEQVTLHPLLMDDQMIYCSVKRFCRLDRPLGNILVAGKMGTERYGALINMLTSKGAGSPGMIAVLEGSNFKGSIGKLLTKYGKKRWWPGEPVSYSLDRFEINVAARRPLSSFRQAETLNNDVKKLHGNLLDTETAEMNSSVVEDVSVCVSKPETMEASYSSANMATGVSFAAMLCAM
+>sp|Q9UNQ0|ABCG2_HUMAN ATP-binding cassette sub-family G member 2 OS=Homo sapiens OX=9606 GN=ABCG2 PE=1 SV=3
+MSSSNVEVFIPVSQGNTNGFPATASNDLKAFTEGAVLSFHNICYRVKLKSGFLPCRKPVEKEILSNINGIMKPGLNAILGPTGGGKSSLLDVLAARKDPSGLSGDVLINGAPRPANFKCNSGYVVQDDVVMGTLTVRENLQFSAALRLATTMTNHEKNERINRVIQELGLDKVADSKVGTQFIRGVSGGERKRTSIGMELITDPSILFLDEPTTGLDSSTANAVLLLLKRMSKQGRTIIFSIHQPRYSIFKLFDSLTLLASGRLMFHGPAQEALGYFESAGYHCEAYNNPADFFLDIINGDSTAVALNREEDFKATEIIEPSKQDKPLIEKLAEIYVNSSFYKETKAELHQLSGGEKKKKITVFKEISYTTSFCHQLRWVSKRSFKNLLGNPQASIAQIIVTVVLGLVIGAIYFGLKNDSTGIQNRAGVLFFLTTNQCFSSVSAVELFVVEKKLFIHEYISGYYRVSSYFLGKLLSDLLPMRMLPSIIFTCIVYFMLGLKPKADAFFVMMFTLMMVAYSASSMALAIAAGQSVVSVATLLMTICFVFMMIFSGLLVNLTTIASWLSWLQYFSIPRYGFTALQHNEFLGQNFCPGLNATGNNPCNYATCTGEEYLVKQGIDLSPWGLWKNHVALACMIVIFLTIAYLKLLFLKKYS
+>DECOY_sp|Q9UNQ0|ABCG2_HUMAN ATP-binding cassette sub-family G member 2 OS=Homo sapiens OX=9606 GN=ABCG2 PE=1 SV=3
+SYKKLFLLKLYAITLFIVIMCALAVHNKWLGWPSLDIGQKVLYEEGTCTAYNCPNNGTANLGPCFNQGLFENHQLATFGYRPISFYQLWSLWSAITTLNVLLGSFIMMFVFCITMLLTAVSVVSQGAAIALAMSSASYAVMMLTFMMVFFADAKPKLGLMFYVICTFIISPLMRMPLLDSLLKGLFYSSVRYYGSIYEHIFLKKEVVFLEVASVSSFCQNTTLFFLVGARNQIGTSDNKLGFYIAGIVLGLVVTVIIQAISAQPNGLLNKFSRKSVWRLQHCFSTTYSIEKFVTIKKKKEGGSLQHLEAKTEKYFSSNVYIEALKEILPKDQKSPEIIETAKFDEERNLAVATSDGNIIDLFFDAPNNYAECHYGASEFYGLAEQAPGHFMLRGSALLTLSDFLKFISYRPQHISFIITRGQKSMRKLLLLVANATSSDLGTTPEDLFLISPDTILEMGISTRKREGGSVGRIFQTGVKSDAVKDLGLEQIVRNIRENKEHNTMTTALRLAASFQLNERVTLTGMVVDDQVVYGSNCKFNAPRPAGNILVDGSLGSPDKRAALVDLLSSKGGGTPGLIANLGPKMIGNINSLIEKEVPKRCPLFGSKLKVRYCINHFSLVAGETFAKLDNSATAPFGNTNGQSVPIFVEVNSSSM
+>sp|Q9H221|ABCG8_HUMAN ATP-binding cassette sub-family G member 8 OS=Homo sapiens OX=9606 GN=ABCG8 PE=1 SV=1
+MAGKAAEERGLPKGATPQDTSGLQDRLFSSESDNSLYFTYSGQPNTLEVRDLNYQVDLASQVPWFEQLAQFKMPWTSPSCQNSCELGIQNLSFKVRSGQMLAIIGSSGCGRASLLDVITGRGHGGKIKSGQIWINGQPSSPQLVRKCVAHVRQHNQLLPNLTVRETLAFIAQMRLPRTFSQAQRDKRVEDVIAELRLRQCADTRVGNMYVRGLSGGERRRVSIGVQLLWNPGILILDEPTSGLDSFTAHNLVKTLSRLAKGNRLVLISLHQPRSDIFRLFDLVLLMTSGTPIYLGAAQHMVQYFTAIGYPCPRYSNPADFYVDLTSIDRRSREQELATREKAQSLAALFLEKVRDLDDFLWKAETKDLDEDTCVESSVTPLDTNCLPSPTKMPGAVQQFTTLIRRQISNDFRDLPTLLIHGAEACLMSMTIGFLYFGHGSIQLSFMDTAALLFMIGALIPFNVILDVISKCYSERAMLYYELEDGLYTTGPYFFAKILGELPEHCAYIIIYGMPTYWLANLRPGLQPFLLHFLLVWLVVFCCRIMALAAAALLPTFHMASFFSNALYNSFYLAGGFMINLSSLWTVPAWISKVSFLRWCFEGLMKIQFSRRTYKMPLGNLTIAVSGDKILSVMELDSYPLYAIYLIVIGLSGGFMVLYYVSLRFIKQKPSQDW
+>DECOY_sp|Q9H221|ABCG8_HUMAN ATP-binding cassette sub-family G member 8 OS=Homo sapiens OX=9606 GN=ABCG8 PE=1 SV=1
+WDQSPKQKIFRLSVYYLVMFGGSLGIVILYIAYLPYSDLEMVSLIKDGSVAITLNGLPMKYTRRSFQIKMLGEFCWRLFSVKSIWAPVTWLSSLNIMFGGALYFSNYLANSFFSAMHFTPLLAAAALAMIRCCFVVLWVLLFHLLFPQLGPRLNALWYTPMGYIIIYACHEPLEGLIKAFFYPGTTYLGDELEYYLMARESYCKSIVDLIVNFPILAGIMFLLAATDMFSLQISGHGFYLFGITMSMLCAEAGHILLTPLDRFDNSIQRRILTTFQQVAGPMKTPSPLCNTDLPTVSSEVCTDEDLDKTEAKWLFDDLDRVKELFLAALSQAKERTALEQERSRRDISTLDVYFDAPNSYRPCPYGIATFYQVMHQAAGLYIPTGSTMLLVLDFLRFIDSRPQHLSILVLRNGKALRSLTKVLNHATFSDLGSTPEDLILIGPNWLLQVGISVRRREGGSLGRVYMNGVRTDACQRLRLEAIVDEVRKDRQAQSFTRPLRMQAIFALTERVTLNPLLQNHQRVHAVCKRVLQPSSPQGNIWIQGSKIKGGHGRGTIVDLLSARGCGSSGIIALMQGSRVKFSLNQIGLECSNQCSPSTWPMKFQALQEFWPVQSALDVQYNLDRVELTNPQGSYTFYLSNDSESSFLRDQLGSTDQPTAGKPLGREEAAKGAM
+>sp|Q8N2K0|ABD12_HUMAN Monoacylglycerol lipase ABHD12 OS=Homo sapiens OX=9606 GN=ABHD12 PE=1 SV=2
+MRKRTEPVALEHERCAAAGSSSSGSAAAALDADCRLKQNLRLTGPAAAEPRCAADAGMKRALGRRKGVWLRLRKILFCVLGLYIAIPFLIKLCPGIQAKLIFLNFVRVPYFIDLKKPQDQGLNHTCNYYLQPEEDVTIGVWHTVPAVWWKNAQGKDQMWYEDALASSHPIILYLHGNAGTRGGDHRVELYKVLSSLGYHVVTFDYRGWGDSVGTPSERGMTYDALHVFDWIKARSGDNPVYIWGHSLGTGVATNLVRRLCERETPPDALILESPFTNIREEAKSHPFSVIYRYFPGFDWFFLDPITSSGIKFANDENVKHISCPLLILHAEDDPVVPFQLGRKLYSIAAPARSFRDFKVQFVPFHSDLGYRHKYIYKSPELPRILREFLGKSEPEHQH
+>DECOY_sp|Q8N2K0|ABD12_HUMAN Monoacylglycerol lipase ABHD12 OS=Homo sapiens OX=9606 GN=ABHD12 PE=1 SV=2
+HQHEPESKGLFERLIRPLEPSKYIYKHRYGLDSHFPVFQVKFDRFSRAPAAISYLKRGLQFPVVPDDEAHLILLPCSIHKVNEDNAFKIGSSTIPDLFFWDFGPFYRYIVSFPHSKAEERINTFPSELILADPPTERECLRRVLNTAVGTGLSHGWIYVPNDGSRAKIWDFVHLADYTMGRESPTGVSDGWGRYDFTVVHYGLSSLVKYLEVRHDGGRTGANGHLYLIIPHSSALADEYWMQDKGQANKWWVAPVTHWVGITVDEEPQLYYNCTHNLGQDQPKKLDIFYPVRVFNLFILKAQIGPCLKILFPIAIYLGLVCFLIKRLRLWVGKRRGLARKMGADAACRPEAAAPGTLRLNQKLRCDADLAAAASGSSSSGAAACREHELAVPETRKRM
+>sp|Q0P651|ABD18_HUMAN Protein ABHD18 OS=Homo sapiens OX=9606 GN=ABHD18 PE=2 SV=1
+MGVSKLDILYRRLLLTKLFIRGWGRPEDLKRLFEFRKMIGNRERCQNLVSSDYPVHIDKIEEQSDCKILDGHFVSPMAHYVPDIMPIESVIARFQFIVPKEWNSKYRPVCIHLAGTGDHHYWRRRTLMARPMIKEARMASLLLENPYYGCRKPKDQVRSSLKNVSDLFVMGGALVLESAALLHWLEREGYGPLGMTGISMGGHMASLAVSNWPKPMPLIPCLSWSTASGVFTTTDSFKMGQEFVKHFTSSADKLTNLNLVSRTLNLDISNQVVSQKPADCHNSSKTSVSATSEGLLLQDTSKMKRFNQTLSTNKSGYTSRNPQSYHLLSKEQSRNSLRKESLIFMKGVMDECTHVANFSVPVDPSLIIVVQAKEDAYIPRTGVRSLQEIWPGCEIRYLEGGHISAYLFKQGLFR
+>DECOY_sp|Q0P651|ABD18_HUMAN Protein ABHD18 OS=Homo sapiens OX=9606 GN=ABHD18 PE=2 SV=1
+RFLGQKFLYASIHGGELYRIECGPWIEQLSRVGTRPIYADEKAQVVIILSPDVPVSFNAVHTCEDMVGKMFILSEKRLSNRSQEKSLLHYSQPNRSTYGSKNTSLTQNFRKMKSTDQLLLGESTASVSTKSSNHCDAPKQSVVQNSIDLNLTRSVLNLNTLKDASSTFHKVFEQGMKFSDTTTFVGSATSWSLCPILPMPKPWNSVALSAMHGGMSIGTMGLPGYGERELWHLLAASELVLAGGMVFLDSVNKLSSRVQDKPKRCGYYPNELLLSAMRAEKIMPRAMLTRRRWYHHDGTGALHICVPRYKSNWEKPVIFQFRAIVSEIPMIDPVYHAMPSVFHGDLIKCDSQEEIKDIHVPYDSSVLNQCRERNGIMKRFEFLRKLDEPRGWGRIFLKTLLLRRYLIDLKSVGM
+>sp|Q9Y235|ABEC2_HUMAN C->U-editing enzyme APOBEC-2 OS=Homo sapiens OX=9606 GN=APOBEC2 PE=1 SV=1
+MAQKEEAAVATEAASQNGEDLENLDDPEKLKELIELPPFEIVTGERLPANFFKFQFRNVEYSSGRNKTFLCYVVEAQGKGGQVQASRGYLEDEHAAAHAEEAFFNTILPAFDPALRYNVTWYVSSSPCAACADRIIKTLSKTKNLRLLILVGRLFMWEEPEIQAALKKLKEAGCKLRIMKPQDFEYVWQNFVEQEEGESKAFQPWEDIQENFLYYEEKLADILK
+>DECOY_sp|Q9Y235|ABEC2_HUMAN C->U-editing enzyme APOBEC-2 OS=Homo sapiens OX=9606 GN=APOBEC2 PE=1 SV=1
+KLIDALKEEYYLFNEQIDEWPQFAKSEGEEQEVFNQWVYEFDQPKMIRLKCGAEKLKKLAAQIEPEEWMFLRGVLILLRLNKTKSLTKIIRDACAACPSSSVYWTVNYRLAPDFAPLITNFFAEEAHAAAHEDELYGRSAQVQGGKGQAEVVYCLFTKNRGSSYEVNRFQFKFFNAPLREGTVIEFPPLEILEKLKEPDDLNELDEGNQSAAETAVAAEEKQAM
+>sp|Q9H3Z7|ABHGB_HUMAN Protein ABHD16B OS=Homo sapiens OX=9606 GN=ABHD16B PE=3 SV=1
+MCVICFVKALVRVFKIYLTASYTYPFRGWPVAFRWDDVRAVGRSSSHRALTCAAAAAGVWLLRDETLGGDALGRPPRGARSQAQCLLQQLRELPGQLASYALAHSLGRWLVYPGSVSLMTRALLPLLQQGQERLVERYHGRRAKLVACDGNEIDTMFMDRRQHPGSHVHGPRLVICCEGNAGFYEMGCLSAPLEAGYSVLGWNHPGFGSSTGVPFPQHDANAMDVVVEYALHRLHFPPAHLVVYGWSVGGFTATWATMTYPELGALVLDATFDDLVPLALKVMPHSWKGLVVRTVREHFNLNVAEQLCCYPGPVLLLRRTQDDVVSTSGRLRPLSPGDVEGNRGNELLLRLLEHRYPVVMAREGRAVVTRWLRAGSLAQEAAFYARYRVDEDWCLALLRSYRARCEEELEGEEALGPHGPAFPWLVGQGLSSRRRRRLALFLARKHLKNVEATHFSPLEPEEFQLPWRL
+>DECOY_sp|Q9H3Z7|ABHGB_HUMAN Protein ABHD16B OS=Homo sapiens OX=9606 GN=ABHD16B PE=3 SV=1
+LRWPLQFEEPELPSFHTAEVNKLHKRALFLALRRRRRSSLGQGVLWPFAPGHPGLAEEGELEEECRARYSRLLALCWDEDVRYRAYFAAEQALSGARLWRTVVARGERAMVVPYRHELLRLLLENGRNGEVDGPSLPRLRGSTSVVDDQTRRLLLVPGPYCCLQEAVNLNFHERVTRVVLGKWSHPMVKLALPVLDDFTADLVLAGLEPYTMTAWTATFGGVSWGYVVLHAPPFHLRHLAYEVVVDMANADHQPFPVGTSSGFGPHNWGLVSYGAELPASLCGMEYFGANGECCIVLRPGHVHSGPHQRRDMFMTDIENGDCAVLKARRGHYREVLREQGQQLLPLLARTMLSVSGPYVLWRGLSHALAYSALQGPLERLQQLLCQAQSRAGRPPRGLADGGLTEDRLLWVGAAAAACTLARHSSSRGVARVDDWRFAVPWGRFPYTYSATLYIKFVRVLAKVFCIVCM
+>sp|Q9P2A4|ABI3_HUMAN ABI gene family member 3 OS=Homo sapiens OX=9606 GN=ABI3 PE=1 SV=2
+MAELQQLQEFEIPTGREALRGNHSALLRVADYCEDNYVQATDKRKALEETMAFTTQALASVAYQVGNLAGHTLRMLDLQGAALRQVEARVSTLGQMVNMHMEKVARREIGTLATVQRLPPGQKVIAPENLPPLTPYCRRPLNFGCLDDIGHGIKDLSTQLSRTGTLSRKSIKAPATPASATLGRPPRIPEPVHLPVVPDGRLSAASSAFSLASAGSAEGVGGAPTPKGQAAPPAPPLPSSLDPPPPPAAVEVFQRPPTLEELSPPPPDEELPLPLDLPPPPPLDGDELGLPPPPPGFGPDEPSWVPASYLEKVVTLYPYTSQKDNELSFSEGTVICVTRRYSDGWCEGVSSEGTGFFPGNYVEPSC
+>DECOY_sp|Q9P2A4|ABI3_HUMAN ABI gene family member 3 OS=Homo sapiens OX=9606 GN=ABI3 PE=1 SV=2
+CSPEVYNGPFFGTGESSVGECWGDSYRRTVCIVTGESFSLENDKQSTYPYLTVVKELYSAPVWSPEDPGFGPPPPPLGLEDGDLPPPPPLDLPLPLEEDPPPPSLEELTPPRQFVEVAAPPPPPDLSSPLPPAPPAAQGKPTPAGGVGEASGASALSFASSAASLRGDPVVPLHVPEPIRPPRGLTASAPTAPAKISKRSLTGTRSLQTSLDKIGHGIDDLCGFNLPRRCYPTLPPLNEPAIVKQGPPLRQVTALTGIERRAVKEMHMNVMQGLTSVRAEVQRLAAGQLDLMRLTHGALNGVQYAVSALAQTTFAMTEELAKRKDTAQVYNDECYDAVRLLASHNGRLAERGTPIEFEQLQQLEAM
+>sp|O94929|ABLM3_HUMAN Actin-binding LIM protein 3 OS=Homo sapiens OX=9606 GN=ABLIM3 PE=1 SV=3
+MNTSIPYQQNPYNPRGSSNVIQCYRCGDTCKGEVVRVHNNHFHIRCFTCQVCGCGLAQSGFFFKNQEYICTQDYQQLYGTRCDSCRDFITGEVISALGRTYHPKCFVCSLCRKPFPIGDKVTFSGKECVCQTCSQSMASSKPIKIRGPSHCAGCKEEIKHGQSLLALDKQWHVSCFKCQTCSVILTGEYISKDGVPYCESDYHAQFGIKCETCDRYISGRVLEAGGKHYHPTCARCVRCHQMFTEGEEMYLTGSEVWHPICKQAARAEKKLKHRRTSETSISPPGSSIGSPNRVICAKVDNEILNYKDLAALPKVKSIYEVQRPDLISYEPHSRYMSDEMLERCGYGESLGTLSPYSQDIYENLDLRQRRASSPGYIDSPTYSRQGMSPTFSRSPHHYYRSGPESGRSSPYHSQLDVRSSTPTSYQAPKHFHIPAGDSNIYRKPPIYKRHGDLSTATKSKTSEDISQTSKYSPIYSPDPYYASESEYWTYHGSPKVPRARRFSSGGEEDDFDRSMHKLQSGIGRLILKEEMKARSSSYADPWTPPRSSTSSREALHTAGYEMSLNGSPRSHYLADSDPLISKSASLPAYRRNGLHRTPSADLFHYDSMNAVNWGMREYKIYPYELLLVTTRGRNRLPKDVDRTRLERHLSQEEFYQVFGMTISEFDRLALWKRNELKKQARLF
+>DECOY_sp|O94929|ABLM3_HUMAN Actin-binding LIM protein 3 OS=Homo sapiens OX=9606 GN=ABLIM3 PE=1 SV=3
+FLRAQKKLENRKWLALRDFESITMGFVQYFEEQSLHRELRTRDVDKPLRNRGRTTVLLLEYPYIKYERMGWNVANMSDYHFLDASPTRHLGNRRYAPLSASKSILPDSDALYHSRPSGNLSMEYGATHLAERSSTSSRPPTWPDAYSSSRAKMEEKLILRGIGSQLKHMSRDFDDEEGGSSFRRARPVKPSGHYTWYESESAYYPDPSYIPSYKSTQSIDESTKSKTATSLDGHRKYIPPKRYINSDGAPIHFHKPAQYSTPTSSRVDLQSHYPSSRGSEPGSRYYHHPSRSFTPSMGQRSYTPSDIYGPSSARRQRLDLNEYIDQSYPSLTGLSEGYGCRELMEDSMYRSHPEYSILDPRQVEYISKVKPLAALDKYNLIENDVKACIVRNPSGISSGPPSISTESTRRHKLKKEARAAQKCIPHWVESGTLYMEEGETFMQHCRVCRACTPHYHKGGAELVRGSIYRDCTECKIGFQAHYDSECYPVGDKSIYEGTLIVSCTQCKFCSVHWQKDLALLSQGHKIEEKCGACHSPGRIKIPKSSAMSQSCTQCVCEKGSFTVKDGIPFPKRCLSCVFCKPHYTRGLASIVEGTIFDRCSDCRTGYLQQYDQTCIYEQNKFFFGSQALGCGCVQCTFCRIHFHNNHVRVVEGKCTDGCRYCQIVNSSGRPNYPNQQYPISTNM
+>sp|Q8N0Z2|ABRA_HUMAN Actin-binding Rho-activating protein OS=Homo sapiens OX=9606 GN=ABRA PE=2 SV=1
+MAPGEKESGEGPAKSALRKIRTATLVISLARGWQQWANENSIRQAQEPTGWLPGGTQDSPQAPKPITPPTSHQKAQSAPKSPPRLPEGHGDGQSSEKAPEVSHIKKKEVSKTVVSKTYERGGDVSHLSHRYERDAGVLEPGQPENDIDRILHSHGSPTRRRKCANLVSELTKGWRVMEQEEPTWRSDSVDTEDSGYGGEAEERPEQDGVQVAVVRIKRPLPSQVNRFTEKLNCKAQQKYSPVGNLKGRWQQWADEHIQSQKLNPFSEEFDYELAMSTRLHKGDEGYGRPKEGTKTAERAKRAEEHIYREMMDMCFIICTMARHRRDGKIQVTFGDLFDRYVRISDKVVGILMRARKHGLVDFEGEMLWQGRDDHVVITLLK
+>DECOY_sp|Q8N0Z2|ABRA_HUMAN Actin-binding Rho-activating protein OS=Homo sapiens OX=9606 GN=ABRA PE=2 SV=1
+KLLTIVVHDDRGQWLMEGEFDVLGHKRARMLIGVVKDSIRVYRDFLDGFTVQIKGDRRHRAMTCIIFCMDMMERYIHEEARKAREATKTGEKPRGYGEDGKHLRTSMALEYDFEESFPNLKQSQIHEDAWQQWRGKLNGVPSYKQQAKCNLKETFRNVQSPLPRKIRVVAVQVGDQEPREEAEGGYGSDETDVSDSRWTPEEQEMVRWGKTLESVLNACKRRRTPSGHSHLIRDIDNEPQGPELVGADREYRHSLHSVDGGREYTKSVVTKSVEKKKIHSVEPAKESSQGDGHGEPLRPPSKPASQAKQHSTPPTIPKPAQPSDQTGGPLWGTPEQAQRISNENAWQQWGRALSIVLTATRIKRLASKAPGEGSEKEGPAM
+>sp|Q15018|ABRX2_HUMAN BRISC complex subunit Abraxas 2 OS=Homo sapiens OX=9606 GN=ABRAXAS2 PE=1 SV=2
+MAASISGYTFSAVCFHSANSNADHEGFLLGEVRQEETFSISDSQISNTEFLQVIEIHNHQPCSKLFSFYDYASKVNEESLDRILKDRRKKVIGWYRFRRNTQQQMSYREQVLHKQLTRILGVPDLVFLLFSFISTANNSTHALEYVLFRPNRRYNQRISLAIPNLGNTSQQEYKVSSVPNTSQSYAKVIKEHGTDFFDKDGVMKDIRAIYQVYNALQEKVQAVCADVEKSERVVESCQAEVNKLRRQITQRKNEKEQERRLQQAVLSRQMPSESLDPAFSPRMPSSGFAAEGRSTLGDAEASDPPPPYSDFHPNNQESTLSHSRMERSVFMPRPQAVGSSNYASTSAGLKYPGSGADLPPPQRAAGDSGEDSDDSDYENLIDPTEPSNSEYSHSKDSRPMAHPDEDPRNTQTSQI
+>DECOY_sp|Q15018|ABRX2_HUMAN BRISC complex subunit Abraxas 2 OS=Homo sapiens OX=9606 GN=ABRAXAS2 PE=1 SV=2
+IQSTQTNRPDEDPHAMPRSDKSHSYESNSPETPDILNEYDSDDSDEGSDGAARQPPPLDAGSGPYKLGASTSAYNSSGVAQPRPMFVSREMRSHSLTSEQNNPHFDSYPPPPDSAEADGLTSRGEAAFGSSPMRPSFAPDLSESPMQRSLVAQQLRREQEKENKRQTIQRRLKNVEAQCSEVVRESKEVDACVAQVKEQLANYVQYIARIDKMVGDKDFFDTGHEKIVKAYSQSTNPVSSVKYEQQSTNGLNPIALSIRQNYRRNPRFLVYELAHTSNNATSIFSFLLFVLDPVGLIRTLQKHLVQERYSMQQQTNRRFRYWGIVKKRRDKLIRDLSEENVKSAYDYFSFLKSCPQHNHIEIVQLFETNSIQSDSISFTEEQRVEGLLFGEHDANSNASHFCVASFTYGSISAAM
+>sp|Q9ULW3|ABT1_HUMAN Activator of basal transcription 1 OS=Homo sapiens OX=9606 GN=ABT1 PE=1 SV=1
+MEAEESEKAATEQEPLEGTEQTLDAEEEQEESEEAACGSKKRVVPGIVYLGHIPPRFRPLHVRNLLSAYGEVGRVFFQAEDRFVRRKKKAAAAAGGKKRSYTKDYTEGWVEFRDKRIAKRVAASLHNTPMGARRRSPFRYDLWNLKYLHRFTWSHLSEHLAFERQVRRQRLRAEVAQAKRETDFYLQSVERGQRFLAADGDPARPDGSWTFAQRPTEQELRARKAARPGGRERARLATAQDKARSNKGLLARIFGAPPPSESMEGPSLVRDS
+>DECOY_sp|Q9ULW3|ABT1_HUMAN Activator of basal transcription 1 OS=Homo sapiens OX=9606 GN=ABT1 PE=1 SV=1
+SDRVLSPGEMSESPPPAGFIRALLGKNSRAKDQATALRARERGGPRAAKRARLEQETPRQAFTWSGDPRAPDGDAALFRQGREVSQLYFDTERKAQAVEARLRQRRVQREFALHESLHSWTFRHLYKLNWLDYRFPSRRRAGMPTNHLSAAVRKAIRKDRFEVWGETYDKTYSRKKGGAAAAAKKKRRVFRDEAQFFVRGVEGYASLLNRVHLPRFRPPIHGLYVIGPVVRKKSGCAAEESEEQEEEADLTQETGELPEQETAAKESEEAEM
+>sp|Q969K4|ABTB1_HUMAN Ankyrin repeat and BTB/POZ domain-containing protein 1 OS=Homo sapiens OX=9606 GN=ABTB1 PE=1 SV=1
+MDTSDLFASCRKGDVGRVRYLLEQRDVEVNVRDKWDSTPLYYACLCGHEELVLYLLANGARCEANTFDGERCLYGALSDPIRRALRDYKQVTASCRRRDYYDDFLQRLLEQGIHSDVVFVVHGKPFRVHRCVLGARSAYFANMLDTKWKGKSVVVLRHPLINPVAFGALLQYLYTGRLDIGVEHVSDCERLAKQCQLWDLLSDLEAKCEKVSEFVASKPGTCVKVLTIEPPPADPRLREDMALLADCALPPELRGDLWELPFPCPDGFNSCPDICFRVAGCSFLCHKAFFCGRSDYFRALLDDHFRESEEPATSGGPPAVTLHGISPDVFTHVLYYMYSDHTELSPEAAYDVLSVADMYLLPGLKRLCGRSLAQMLDEDTVVGVWRVAKLFRLARLEDQCTEYMAKVIEKLVEREDFVEAVKEEAAAVAARQETDSIPLVDDIRFHVASTVQTYSAIEEAQQRLRALEDLLVSIGLDC
+>DECOY_sp|Q969K4|ABTB1_HUMAN Ankyrin repeat and BTB/POZ domain-containing protein 1 OS=Homo sapiens OX=9606 GN=ABTB1 PE=1 SV=1
+CDLGISVLLDELARLRQQAEEIASYTQVTSAVHFRIDDVLPISDTEQRAAVAAAEEKVAEVFDEREVLKEIVKAMYETCQDELRALRFLKAVRWVGVVTDEDLMQALSRGCLRKLGPLLYMDAVSLVDYAAEPSLETHDSYMYYLVHTFVDPSIGHLTVAPPGGSTAPEESERFHDDLLARFYDSRGCFFAKHCLFSCGAVRFCIDPCSNFGDPCPFPLEWLDGRLEPPLACDALLAMDERLRPDAPPPEITLVKVCTGPKSAVFESVKECKAELDSLLDWLQCQKALRECDSVHEVGIDLRGTYLYQLLAGFAVPNILPHRLVVVSKGKWKTDLMNAFYASRAGLVCRHVRFPKGHVVFVVDSHIGQELLRQLFDDYYDRRRCSATVQKYDRLARRIPDSLAGYLCREGDFTNAECRAGNALLYLVLEEHGCLCAYYLPTSDWKDRVNVEVDRQELLYRVRGVDGKRCSAFLDSTDM
+>sp|P30532|ACHA5_HUMAN Neuronal acetylcholine receptor subunit alpha-5 OS=Homo sapiens OX=9606 GN=CHRNA5 PE=1 SV=2
+MAARGSGPRALRLLLLVQLVAGRCGLAGAAGGAQRGLSEPSSIAKHEDSLLKDLFQDYERWVRPVEHLNDKIKIKFGLAISQLVDVDEKNQLMTTNVWLKQEWIDVKLRWNPDDYGGIKVIRVPSDSVWTPDIVLFDNADGRFEGTSTKTVIRYNGTVTWTPPANYKSSCTIDVTFFPFDLQNCSMKFGSWTYDGSQVDIILEDQDVDKRDFFDNGEWEIVSATGSKGNRTDSCCWYPYVTYSFVIKRLPLFYTLFLIIPCIGLSFLTVLVFYLPSNEGEKICLCTSVLVSLTVFLLVIEEIIPSSSKVIPLIGEYLVFTMIFVTLSIMVTVFAINIHHRSSSTHNAMAPLVRKIFLHTLPKLLCMRSHVDRYFTQKEETESGSGPKSSRNTLEAALDSIRYITRHIMKENDVREVVEDWKFIAQVLDRMFLWTFLFVSIVGSLGLFVPVIYKWANILIPVHIGNANK
+>DECOY_sp|P30532|ACHA5_HUMAN Neuronal acetylcholine receptor subunit alpha-5 OS=Homo sapiens OX=9606 GN=CHRNA5 PE=1 SV=2
+KNANGIHVPILINAWKYIVPVFLGLSGVISVFLFTWLFMRDLVQAIFKWDEVVERVDNEKMIHRTIYRISDLAAELTNRSSKPGSGSETEEKQTFYRDVHSRMCLLKPLTHLFIKRVLPAMANHTSSSRHHINIAFVTVMISLTVFIMTFVLYEGILPIVKSSSPIIEEIVLLFVTLSVLVSTCLCIKEGENSPLYFVLVTLFSLGICPIILFLTYFLPLRKIVFSYTVYPYWCCSDTRNGKSGTASVIEWEGNDFFDRKDVDQDELIIDVQSGDYTWSGFKMSCNQLDFPFFTVDITCSSKYNAPPTWTVTGNYRIVTKTSTGEFRGDANDFLVIDPTWVSDSPVRIVKIGGYDDPNWRLKVDIWEQKLWVNTTMLQNKEDVDVLQSIALGFKIKIKDNLHEVPRVWREYDQFLDKLLSDEHKAISSPESLGRQAGGAAGALGCRGAVLQVLLLLRLARPGSGRAAM
+>sp|Q15825|ACHA6_HUMAN Neuronal acetylcholine receptor subunit alpha-6 OS=Homo sapiens OX=9606 GN=CHRNA6 PE=1 SV=1
+MLTSKGQGFLHGGLCLWLCVFTPFFKGCVGCATEERLFHKLFSHYNQFIRPVENVSDPVTVHFEVAITQLANVDEVNQIMETNLWLRHIWNDYKLRWDPMEYDGIETLRVPADKIWKPDIVLYNNAVGDFQVEGKTKALLKYNGMITWTPPAIFKSSCPMDITFFPFDHQNCSLKFGSWTYDKAEIDLLIIGSKVDMNDFWENSEWEIIDASGYKHDIKYNCCEEIYTDITYSFYIRRLPMFYTINLIIPCLFISFLTVLVFYLPSDCGEKVTLCISVLLSLTVFLLVITETIPSTSLVVPLVGEYLLFTMIFVTLSIVVTVFVLNIHYRTPTTHTMPRWVKTVFLKLLPQVLLMRWPLDKTRGTGSDAVPRGLARRPAKGKLASHGEPRHLKECFHCHKSNELATSKRRLSHQPLQWVVENSEHSPEVEDVINSVQFIAENMKSHNETKEVEDDWKYVAMVVDRVFLWVFIIVCVFGTAGLFLQPLLGNTGKS
+>DECOY_sp|Q15825|ACHA6_HUMAN Neuronal acetylcholine receptor subunit alpha-6 OS=Homo sapiens OX=9606 GN=CHRNA6 PE=1 SV=1
+SKGTNGLLPQLFLGATGFVCVIIFVWLFVRDVVMAVYKWDDEVEKTENHSKMNEAIFQVSNIVDEVEPSHESNEVVWQLPQHSLRRKSTALENSKHCHFCEKLHRPEGHSALKGKAPRRALGRPVADSGTGRTKDLPWRMLLVQPLLKLFVTKVWRPMTHTTPTRYHINLVFVTVVISLTVFIMTFLLYEGVLPVVLSTSPITETIVLLFVTLSLLVSICLTVKEGCDSPLYFVLVTLFSIFLCPIILNITYFMPLRRIYFSYTIDTYIEECCNYKIDHKYGSADIIEWESNEWFDNMDVKSGIILLDIEAKDYTWSGFKLSCNQHDFPFFTIDMPCSSKFIAPPTWTIMGNYKLLAKTKGEVQFDGVANNYLVIDPKWIKDAPVRLTEIGDYEMPDWRLKYDNWIHRLWLNTEMIQNVEDVNALQTIAVEFHVTVPDSVNEVPRIFQNYHSFLKHFLREETACGVCGKFFPTFVCLWLCLGGHLFGQGKSTLM
+>sp|P36544|ACHA7_HUMAN Neuronal acetylcholine receptor subunit alpha-7 OS=Homo sapiens OX=9606 GN=CHRNA7 PE=1 SV=5
+MRCSPGGVWLALAASLLHVSLQGEFQRKLYKELVKNYNPLERPVANDSQPLTVYFSLSLLQIMDVDEKNQVLTTNIWLQMSWTDHYLQWNVSEYPGVKTVRFPDGQIWKPDILLYNSADERFDATFHTNVLVNSSGHCQYLPPGIFKSSCYIDVRWFPFDVQHCKLKFGSWSYGGWSLDLQMQEADISGYIPNGEWDLVGIPGKRSERFYECCKEPYPDVTFTVTMRRRTLYYGLNLLIPCVLISALALLVFLLPADSGEKISLGITVLLSLTVFMLLVAEIMPATSDSVPLIAQYFASTMIIVGLSVVVTVIVLQYHHHDPDGGKMPKWTRVILLNWCAWFLRMKRPGEDKVRPACQHKQRRCSLASVEMSAVAPPPASNGNLLYIGFRGLDGVHCVPTPDSGVVCGRMACSPTHDEHLLHGGQPPEGDPDLAKILEEVRYIANRFRCQDESEAVCSEWKFAACVVDRLCLMAFSVFTIICTIGILMSAPNFVEAVSKDFA
+>DECOY_sp|P36544|ACHA7_HUMAN Neuronal acetylcholine receptor subunit alpha-7 OS=Homo sapiens OX=9606 GN=CHRNA7 PE=1 SV=5
+AFDKSVAEVFNPASMLIGITCIITFVSFAMLCLRDVVCAAFKWESCVAESEDQCRFRNAIYRVEELIKALDPDGEPPQGGHLLHEDHTPSCAMRGCVVGSDPTPVCHVGDLGRFGIYLLNGNSAPPPAVASMEVSALSCRRQKHQCAPRVKDEGPRKMRLFWACWNLLIVRTWKPMKGGDPDHHHYQLVIVTVVVSLGVIIMTSAFYQAILPVSDSTAPMIEAVLLMFVTLSLLVTIGLSIKEGSDAPLLFVLLALASILVCPILLNLGYYLTRRRMTVTFTVDPYPEKCCEYFRESRKGPIGVLDWEGNPIYGSIDAEQMQLDLSWGGYSWSGFKLKCHQVDFPFWRVDIYCSSKFIGPPLYQCHGSSNVLVNTHFTADFREDASNYLLIDPKWIQGDPFRVTKVGPYESVNWQLYHDTWSMQLWINTTLVQNKEDVDMIQLLSLSFYVTLPQSDNAVPRELPNYNKVLEKYLKRQFEGQLSVHLLSAALALWVGGPSCRM
+>sp|P02708|ACHA_HUMAN Acetylcholine receptor subunit alpha OS=Homo sapiens OX=9606 GN=CHRNA1 PE=1 SV=2
+MEPWPLLLLFSLCSAGLVLGSEHETRLVAKLFKDYSSVVRPVEDHRQVVEVTVGLQLIQLINVDEVNQIVTTNVRLKQGDMVDLPRPSCVTLGVPLFSHLQNEQWVDYNLKWNPDDYGGVKKIHIPSEKIWRPDLVLYNNADGDFAIVKFTKVLLQYTGHITWTPPAIFKSYCEIIVTHFPFDEQNCSMKLGTWTYDGSVVAINPESDQPDLSNFMESGEWVIKESRGWKHSVTYSCCPDTPYLDITYHFVMQRLPLYFIVNVIIPCLLFSFLTGLVFYLPTDSGEKMTLSISVLLSLTVFLLVIVELIPSTSSAVPLIGKYMLFTMVFVIASIIITVIVINTHHRSPSTHVMPNWVRKVFIDTIPNIMFFSTMKRPSREKQDKKIFTEDIDISDISGKPGPPPMGFHSPLIKHPEVKSAIEGIKYIAETMKSDQESNNAAAEWKYVAMVMDHILLGVFMLVCIIGTLAVFAGRLIELNQQG
+>DECOY_sp|P02708|ACHA_HUMAN Acetylcholine receptor subunit alpha OS=Homo sapiens OX=9606 GN=CHRNA1 PE=1 SV=2
+GQQNLEILRGAFVALTGIICVLMFVGLLIHDMVMAVYKWEAAANNSEQDSKMTEAIYKIGEIASKVEPHKILPSHFGMPPPGPKGSIDSIDIDETFIKKDQKERSPRKMTSFFMINPITDIFVKRVWNPMVHTSPSRHHTNIVIVTIIISAIVFVMTFLMYKGILPVASSTSPILEVIVLLFVTLSLLVSISLTMKEGSDTPLYFVLGTLFSFLLCPIIVNVIFYLPLRQMVFHYTIDLYPTDPCCSYTVSHKWGRSEKIVWEGSEMFNSLDPQDSEPNIAVVSGDYTWTGLKMSCNQEDFPFHTVIIECYSKFIAPPTWTIHGTYQLLVKTFKVIAFDGDANNYLVLDPRWIKESPIHIKKVGGYDDPNWKLNYDVWQENQLHSFLPVGLTVCSPRPLDVMDGQKLRVNTTVIQNVEDVNILQILQLGVTVEVVQRHDEVPRVVSSYDKFLKAVLRTEHESGLVLGASCLSFLLLLPWPEM
+>sp|P11230|ACHB_HUMAN Acetylcholine receptor subunit beta OS=Homo sapiens OX=9606 GN=CHRNB1 PE=1 SV=3
+MTPGALLMLLGALGAPLAPGVRGSEAEGRLREKLFSGYDSSVRPAREVGDRVRVSVGLILAQLISLNEKDEEMSTKVYLDLEWTDYRLSWDPAEHDGIDSLRITAESVWLPDVVLLNNNDGNFDVALDISVVVSSDGSVRWQPPGIYRSSCSIQVTYFPFDWQNCTMVFSSYSYDSSEVSLQTGLGPDGQGHQEIHIHEGTFIENGQWEIIHKPSRLIQPPGDPRGGREGQRQEVIFYLIIRRKPLFYLVNVIAPCILITLLAIFVFYLPPDAGEKMGLSIFALLTLTVFLLLLADKVPETSLSVPIIIKYLMFTMVLVTFSVILSVVVLNLHHRSPHTHQMPLWVRQIFIHKLPLYLRLKRPKPERDLMPEPPHCSSPGSGWGRGTDEYFIRKPPSDFLFPKPNRFQPELSAPDLRRFIDGPNRAVALLPELREVVSSISYIARQLQEQEDHDALKEDWQFVAMVVDRLFLWTFIIFTSVGTLVIFLDATYHLPPPDPFP
+>DECOY_sp|P11230|ACHB_HUMAN Acetylcholine receptor subunit beta OS=Homo sapiens OX=9606 GN=CHRNB1 PE=1 SV=3
+PFPDPPPLHYTADLFIVLTGVSTFIIFTWLFLRDVVMAVFQWDEKLADHDEQEQLQRAIYSISSVVERLEPLLAVARNPGDIFRRLDPASLEPQFRNPKPFLFDSPPKRIFYEDTGRGWGSGPSSCHPPEPMLDREPKPRKLRLYLPLKHIFIQRVWLPMQHTHPSRHHLNLVVVSLIVSFTVLVMTFMLYKIIIPVSLSTEPVKDALLLLFVTLTLLAFISLGMKEGADPPLYFVFIALLTILICPAIVNVLYFLPKRRIILYFIVEQRQGERGGRPDGPPQILRSPKHIIEWQGNEIFTGEHIHIEQHGQGDPGLGTQLSVESSDYSYSSFVMTCNQWDFPFYTVQISCSSRYIGPPQWRVSGDSSVVVSIDLAVDFNGDNNNLLVVDPLWVSEATIRLSDIGDHEAPDWSLRYDTWELDLYVKTSMEEDKENLSILQALILGVSVRVRDGVERAPRVSSDYGSFLKERLRGEAESGRVGPALPAGLAGLLMLLAGPTM
+>sp|O00590|ACKR2_HUMAN Atypical chemokine receptor 2 OS=Homo sapiens OX=9606 GN=ACKR2 PE=1 SV=2
+MAATASPQPLATEDADSENSSFYYYDYLDEVAFMLCRKDAVVSFGKVFLPVFYSLIFVLGLSGNLLLLMVLLRYVPRRRMVEIYLLNLAISNLLFLVTLPFWGISVAWHWVFGSFLCKMVSTLYTINFYSGIFFISCMSLDKYLEIVHAQPYHRLRTRAKSLLLATIVWAVSLAVSIPDMVFVQTHENPKGVWNCHADFGGHGTIWKLFLRFQQNLLGFLLPLLAMIFFYSRIGCVLVRLRPAGQGRALKIAAALVVAFFVLWFPYNLTLFLHTLLDLQVFGNCEVSQHLDYALQVTESIAFLHCCFSPILYAFSSHRFRQYLKAFLAAVLGWHLAPGTAQASLSSCSESSILTAQEEMTGMNDLGERQSENYPNKEDVGNKSA
+>DECOY_sp|O00590|ACKR2_HUMAN Atypical chemokine receptor 2 OS=Homo sapiens OX=9606 GN=ACKR2 PE=1 SV=2
+ASKNGVDEKNPYNESQREGLDNMGTMEEQATLISSESCSSLSAQATGPALHWGLVAALFAKLYQRFRHSSFAYLIPSFCCHLFAISETVQLAYDLHQSVECNGFVQLDLLTHLFLTLNYPFWLVFFAVVLAAAIKLARGQGAPRLRVLVCGIRSYFFIMALLPLLFGLLNQQFRLFLKWITGHGGFDAHCNWVGKPNEHTQVFVMDPISVALSVAWVITALLLSKARTRLRHYPQAHVIELYKDLSMCSIFFIGSYFNITYLTSVMKCLFSGFVWHWAVSIGWFPLTVLFLLNSIALNLLYIEVMRRRPVYRLLVMLLLLNGSLGLVFILSYFVPLFVKGFSVVADKRCLMFAVEDLYDYYYFSSNESDADETALPQPSATAAM
+>sp|P53396|ACLY_HUMAN ATP-citrate synthase OS=Homo sapiens OX=9606 GN=ACLY PE=1 SV=3
+MSAKAISEQTGKELLYKFICTTSAIQNRFKYARVTPDTDWARLLQDHPWLLSQNLVVKPDQLIKRRGKLGLVGVNLTLDGVKSWLKPRLGQEATVGKATGFLKNFLIEPFVPHSQAEEFYVCIYATREGDYVLFHHEGGVDVGDVDAKAQKLLVGVDEKLNPEDIKKHLLVHAPEDKKEILASFISGLFNFYEDLYFTYLEINPLVVTKDGVYVLDLAAKVDATADYICKVKWGDIEFPPPFGREAYPEEAYIADLDAKSGASLKLTLLNPKGRIWTMVAGGGASVVYSDTICDLGGVNELANYGEYSGAPSEQQTYDYAKTILSLMTREKHPDGKILIIGGSIANFTNVAATFKGIVRAIRDYQGPLKEHEVTIFVRRGGPNYQEGLRVMGEVGKTTGIPIHVFGTETHMTAIVGMALGHRPIPNQPPTAAHTANFLLNASGSTSTPAPSRTASFSESRADEVAPAKKAKPAMPQDSVPSPRSLQGKSTTLFSRHTKAIVWGMQTRAVQGMLDFDYVCSRDEPSVAAMVYPFTGDHKQKFYWGHKEILIPVFKNMADAMRKHPEVDVLINFASLRSAYDSTMETMNYAQIRTIAIIAEGIPEALTRKLIKKADQKGVTIIGPATVGGIKPGCFKIGNTGGMLDNILASKLYRPGSVAYVSRSGGMSNELNNIISRTTDGVYEGVAIGGDRYPGSTFMDHVLRYQDTPGVKMIVVLGEIGGTEEYKICRGIKEGRLTKPIVCWCIGTCATMFSSEVQFGHAGACANQASETAVAKNQALKEAGVFVPRSFDELGEIIQSVYEDLVANGVIVPAQEVPPPTVPMDYSWARELGLIRKPASFMTSICDERGQELIYAGMPITEVFKEEMGIGGVLGLLWFQKRLPKYSCQFIEMCLMVTADHGPAVSGAHNTIICARAGKDLVSSLTSGLLTIGDRFGGALDAAAKMFSKAFDSGIIPMEFVNKMKKEGKLIMGIGHRVKSINNPDMRVQILKDYVRQHFPATPLLDYALEVEKITTSKKPNLILNVDGLIGVAFVDMLRNCGSFTREEADEYIDIGALNGIFVLGRSMGFIGHYLDQKRLKQGLYRHPWDDISYVLPEHMSM
+>DECOY_sp|P53396|ACLY_HUMAN ATP-citrate synthase OS=Homo sapiens OX=9606 GN=ACLY PE=1 SV=3
+MSMHEPLVYSIDDWPHRYLGQKLRKQDLYHGIFGMSRGLVFIGNLAGIDIYEDAEERTFSGCNRLMDVFAVGILGDVNLILNPKKSTTIKEVELAYDLLPTAPFHQRVYDKLIQVRMDPNNISKVRHGIGMILKGEKKMKNVFEMPIIGSDFAKSFMKAAADLAGGFRDGITLLGSTLSSVLDKGARACIITNHAGSVAPGHDATVMLCMEIFQCSYKPLRKQFWLLGLVGGIGMEEKFVETIPMGAYILEQGREDCISTMFSAPKRILGLERAWSYDMPVTPPPVEQAPVIVGNAVLDEYVSQIIEGLEDFSRPVFVGAEKLAQNKAVATESAQNACAGAHGFQVESSFMTACTGICWCVIPKTLRGEKIGRCIKYEETGGIEGLVVIMKVGPTDQYRLVHDMFTSGPYRDGGIAVGEYVGDTTRSIINNLENSMGGSRSVYAVSGPRYLKSALINDLMGGTNGIKFCGPKIGGVTAPGIITVGKQDAKKILKRTLAEPIGEAIIAITRIQAYNMTEMTSDYASRLSAFNILVDVEPHKRMADAMNKFVPILIEKHGWYFKQKHDGTFPYVMAAVSPEDRSCVYDFDLMGQVARTQMGWVIAKTHRSFLTTSKGQLSRPSPVSDQPMAPKAKKAPAVEDARSESFSATRSPAPTSTSGSANLLFNATHAATPPQNPIPRHGLAMGVIATMHTETGFVHIPIGTTKGVEGMVRLGEQYNPGGRRVFITVEHEKLPGQYDRIARVIGKFTAAVNTFNAISGGIILIKGDPHKERTMLSLITKAYDYTQQESPAGSYEGYNALENVGGLDCITDSYVVSAGGGAVMTWIRGKPNLLTLKLSAGSKADLDAIYAEEPYAERGFPPPFEIDGWKVKCIYDATADVKAALDLVYVGDKTVVLPNIELYTFYLDEYFNFLGSIFSALIEKKDEPAHVLLHKKIDEPNLKEDVGVLLKQAKADVDGVDVGGEHHFLVYDGERTAYICVYFEEAQSHPVFPEILFNKLFGTAKGVTAEQGLRPKLWSKVGDLTLNVGVLGLKGRRKILQDPKVVLNQSLLWPHDQLLRAWDTDPTVRAYKFRNQIASTTCIFKYLLEKGTQESIAKASM
+>sp|P49753|ACOT2_HUMAN Acyl-coenzyme A thioesterase 2, mitochondrial OS=Homo sapiens OX=9606 GN=ACOT2 PE=1 SV=6
+MSNKLLSPHPHSVVLRSEFKMASSPAVLRASRLYQWSLKSSAQFLGSPQLRQVGQIIRVPARMAATLILEPAGRCCWDEPVRIAVRGLAPEQPVTLRASLRDEKGALFQAHARYRADTLGELDLERAPALGGSFAGLEPMGLLWALEPEKPLVRLVKRDVRTPLAVELEVLDGHDPDPGRLLCQTRHERYFLPPGVRREPVRVGRVRGTLFLPPEPGPFPGIVDMFGTGGGLLEYRASLLAGKGFAVMALAYYNYEDLPKTMETLHLEYFEEAMNYLLSHPEVKGPGVGLLGISKGGELCLSMASFLKGITAAVVINGSVANVGGTLHYKGETLPPVGVNRNRIKVTKDGYADIVDVLNSPLEGPDQKSFIPVERAESTFLFLVGQDDHNWKSEFYANEACKRLQAHGRRKPQIICYPETGHYIEPPYFPLCRASLHALVGSPIIWGGEPRAHAMAQVDAWKQLQTFFHKHLGGHEGTIPSKV
+>DECOY_sp|P49753|ACOT2_HUMAN Acyl-coenzyme A thioesterase 2, mitochondrial OS=Homo sapiens OX=9606 GN=ACOT2 PE=1 SV=6
+VKSPITGEHGGLHKHFFTQLQKWADVQAMAHARPEGGWIIPSGVLAHLSARCLPFYPPEIYHGTEPYCIIQPKRRGHAQLRKCAENAYFESKWNHDDQGVLFLFTSEAREVPIFSKQDPGELPSNLVDVIDAYGDKTVKIRNRNVGVPPLTEGKYHLTGGVNAVSGNIVVAATIGKLFSAMSLCLEGGKSIGLLGVGPGKVEPHSLLYNMAEEFYELHLTEMTKPLDEYNYYALAMVAFGKGALLSARYELLGGGTGFMDVIGPFPGPEPPLFLTGRVRGVRVPERRVGPPLFYREHRTQCLLRGPDPDHGDLVELEVALPTRVDRKVLRVLPKEPELAWLLGMPELGAFSGGLAPARELDLEGLTDARYRAHAQFLAGKEDRLSARLTVPQEPALGRVAIRVPEDWCCRGAPELILTAAMRAPVRIIQGVQRLQPSGLFQASSKLSWQYLRSARLVAPSSAMKFESRLVVSHPHPSLLKNSM
+>sp|Q9Y305|ACOT9_HUMAN Acyl-coenzyme A thioesterase 9, mitochondrial OS=Homo sapiens OX=9606 GN=ACOT9 PE=1 SV=2
+MRRAALRLCALGKGQLTPGRGLTQGPQNPKKQGIFHIHEVRDKLREIVGASTNWRDHVKAMEERKLLHSFLAKSQDGLPPRRMKDSYIEVLLPLGSEPELREKYLTVQNTVRFGRILEDLDSLGVLICYMHNKIHSAKMSPLSIVTALVDKIDMCKKSLSPEQDIKFSGHVSWVGKTSMEVKMQMFQLHGDEFCPVLDATFVMVARDSENKGPAFVNPLIPESPEEEELFRQGELNKGRRIAFSSTSLLKMAPSAEERTTIHEMFLSTLDPKTISFRSRVLPSNAVWMENSKLKSLEICHPQERNIFNRIFGGFLMRKAYELAWATACSFGGSRPFVVAVDDIMFQKPVEVGSLLFLSSQVCFTQNNYIQVRVHSEVASLQEKQHTTTNVFHFTFMSEKEVPLVFPKTYGESMLYLDGQRHFNSMSGPATLRKDYLVEP
+>DECOY_sp|Q9Y305|ACOT9_HUMAN Acyl-coenzyme A thioesterase 9, mitochondrial OS=Homo sapiens OX=9606 GN=ACOT9 PE=1 SV=2
+PEVLYDKRLTAPGSMSNFHRQGDLYLMSEGYTKPFVLPVEKESMFTFHFVNTTTHQKEQLSAVESHVRVQIYNNQTFCVQSSLFLLSGVEVPKQFMIDDVAVVFPRSGGFSCATAWALEYAKRMLFGGFIRNFINREQPHCIELSKLKSNEMWVANSPLVRSRFSITKPDLTSLFMEHITTREEASPAMKLLSTSSFAIRRGKNLEGQRFLEEEEPSEPILPNVFAPGKNESDRAVMVFTADLVPCFEDGHLQFMQMKVEMSTKGVWSVHGSFKIDQEPSLSKKCMDIKDVLATVISLPSMKASHIKNHMYCILVGLSDLDELIRGFRVTNQVTLYKERLEPESGLPLLVEIYSDKMRRPPLGDQSKALFSHLLKREEMAKVHDRWNTSAGVIERLKDRVEHIHFIGQKKPNQPGQTLGRGPTLQGKGLACLRLAARRM
+>sp|P62258|1433E_HUMAN 14-3-3 protein epsilon OS=Homo sapiens OX=9606 GN=YWHAE PE=1 SV=1
+MDDREDLVYQAKLAEQAERYDEMVESMKKVAGMDVELTVEERNLLSVAYKNVIGARRASWRIISSIEQKEENKGGEDKLKMIREYRQMVETELKLICCDILDVLDKHLIPAANTGESKVFYYKMKGDYHRYLAEFATGNDRKEAAENSLVAYKAASDIAMTELPPTHPIRLGLALNFSVFYYEILNSPDRACRLAKAAFDDAIAELDTLSEESYKDSTLIMQLLRDNLTLWTSDMQGDGEEQNKEALQDVEDENQ
+>DECOY_sp|P62258|1433E_HUMAN 14-3-3 protein epsilon OS=Homo sapiens OX=9606 GN=YWHAE PE=1 SV=1
+QNEDEVDQLAEKNQEEGDGQMDSTWLTLNDRLLQMILTSDKYSEESLTDLEAIADDFAAKALRCARDPSNLIEYYFVSFNLALGLRIPHTPPLETMAIDSAAKYAVLSNEAAEKRDNGTAFEALYRHYDGKMKYYFVKSEGTNAAPILHKDLVDLIDCCILKLETEVMQRYERIMKLKDEGGKNEEKQEISSIIRWSARRAGIVNKYAVSLLNREEVTLEVDMGAVKKMSEVMEDYREAQEALKAQYVLDERDDM
+>sp|Q04917|1433F_HUMAN 14-3-3 protein eta OS=Homo sapiens OX=9606 GN=YWHAH PE=1 SV=4
+MGDREQLLQRARLAEQAERYDDMASAMKAVTELNEPLSNEDRNLLSVAYKNVVGARRSSWRVISSIEQKTMADGNEKKLEKVKAYREKIEKELETVCNDVLSLLDKFLIKNCNDFQYESKVFYLKMKGDYYRYLAEVASGEKKNSVVEASEAAYKEAFEISKEQMQPTHPIRLGLALNFSVFYYEIQNAPEQACLLAKQAFDDAIAELDTLNEDSYKDSTLIMQLLRDNLTLWTSDQQDEEAGEGN
+>DECOY_sp|Q04917|1433F_HUMAN 14-3-3 protein eta OS=Homo sapiens OX=9606 GN=YWHAH PE=1 SV=4
+NGEGAEEDQQDSTWLTLNDRLLQMILTSDKYSDENLTDLEAIADDFAQKALLCAQEPANQIEYYFVSFNLALGLRIPHTPQMQEKSIEFAEKYAAESAEVVSNKKEGSAVEALYRYYDGKMKLYFVKSEYQFDNCNKILFKDLLSLVDNCVTELEKEIKERYAKVKELKKENGDAMTKQEISSIVRWSSRRAGVVNKYAVSLLNRDENSLPENLETVAKMASAMDDYREAQEALRARQLLQERDGM
+>sp|P61981|1433G_HUMAN 14-3-3 protein gamma OS=Homo sapiens OX=9606 GN=YWHAG PE=1 SV=2
+MVDREQLVQKARLAEQAERYDDMAAAMKNVTELNEPLSNEERNLLSVAYKNVVGARRSSWRVISSIEQKTSADGNEKKIEMVRAYREKIEKELEAVCQDVLSLLDNYLIKNCSETQYESKVFYLKMKGDYYRYLAEVATGEKRATVVESSEKAYSEAHEISKEHMQPTHPIRLGLALNYSVFYYEIQNAPEQACHLAKTAFDDAIAELDTLNEDSYKDSTLIMQLLRDNLTLWTSDQQDDDGGEGNN
+>DECOY_sp|P61981|1433G_HUMAN 14-3-3 protein gamma OS=Homo sapiens OX=9606 GN=YWHAG PE=1 SV=2
+NNGEGGDDDQQDSTWLTLNDRLLQMILTSDKYSDENLTDLEAIADDFATKALHCAQEPANQIEYYFVSYNLALGLRIPHTPQMHEKSIEHAESYAKESSEVVTARKEGTAVEALYRYYDGKMKLYFVKSEYQTESCNKILYNDLLSLVDQCVAELEKEIKERYARVMEIKKENGDASTKQEISSIVRWSSRRAGVVNKYAVSLLNREENSLPENLETVNKMAAAMDDYREAQEALRAKQVLQERDVM
+>sp|P31947|1433S_HUMAN 14-3-3 protein sigma OS=Homo sapiens OX=9606 GN=SFN PE=1 SV=1
+MERASLIQKAKLAEQAERYEDMAAFMKGAVEKGEELSCEERNLLSVAYKNVVGGQRAAWRVLSSIEQKSNEEGSEEKGPEVREYREKVETELQGVCDTVLGLLDSHLIKEAGDAESRVFYLKMKGDYYRYLAEVATGDDKKRIIDSARSAYQEAMDISKKEMPPTNPIRLGLALNFSVFHYEIANSPEEAISLAKTTFDEAMADLHTLSEDSYKDSTLIMQLLRDNLTLWTADNAGEEGGEAPQEPQS
+>DECOY_sp|P31947|1433S_HUMAN 14-3-3 protein sigma OS=Homo sapiens OX=9606 GN=SFN PE=1 SV=1
+SQPEQPAEGGEEGANDATWLTLNDRLLQMILTSDKYSDESLTHLDAMAEDFTTKALSIAEEPSNAIEYHFVSFNLALGLRIPNTPPMEKKSIDMAEQYASRASDIIRKKDDGTAVEALYRYYDGKMKLYFVRSEADGAEKILHSDLLGLVTDCVGQLETEVKERYERVEPGKEESGEENSKQEISSLVRWAARQGGVVNKYAVSLLNREECSLEEGKEVAGKMFAAMDEYREAQEALKAKQILSAREM
+>sp|Q96QU6|1A1L1_HUMAN 1-aminocyclopropane-1-carboxylate synthase-like protein 1 OS=Homo sapiens OX=9606 GN=ACCS PE=1 SV=1
+MFTLPQKDFRAPTTCLGPTCMQDLGSSHGEDLEGECSRKLDQKLPELRGVGDPAMISSDTSYLSSRGRMIKWFWDSAEEGYRTYHMDEYDEDKNPSGIINLGTSENKLCFDLLSWRLSQRDMQRVEPSLLQYADWRGHLFLREEVAKFLSFYCKSPVPLRPENVVVLNGGASLFSALATVLCEAGEAFLIPTPYYGAITQHVCLYGNIRLAYVYLDSEVTGLDTRPFQLTVEKLEMALREAHSEGVKVKGLILISPQNPLGDVYSPEELQEYLVFAKRHRLHVIVDEVYMLSVFEKSVGYRSVLSLERLPDPQRTHVMWATSKDFGMSGLRFGTLYTENQDVATAVASLCRYHGLSGLVQYQMAQLLRDRDWINQVYLPENHARLKAAHTYVSEELRALGIPFLSRGAGFFIWVDLRKYLPKGTFEEEMLLWRRFLDNKVLLSFGKAFECKEPGWFRFVFSDQVHRLCLGMQRVQQVLAGKSQVAEDPRPSQSQEPSDQRR
+>DECOY_sp|Q96QU6|1A1L1_HUMAN 1-aminocyclopropane-1-carboxylate synthase-like protein 1 OS=Homo sapiens OX=9606 GN=ACCS PE=1 SV=1
+RRQDSPEQSQSPRPDEAVQSKGALVQQVRQMGLCLRHVQDSFVFRFWGPEKCEFAKGFSLLVKNDLFRRWLLMEEEFTGKPLYKRLDVWIFFGAGRSLFPIGLARLEESVYTHAAKLRAHNEPLYVQNIWDRDRLLQAMQYQVLGSLGHYRCLSAVATAVDQNETYLTGFRLGSMGFDKSTAWMVHTRQPDPLRELSLVSRYGVSKEFVSLMYVEDVIVHLRHRKAFVLYEQLEEPSYVDGLPNQPSILILGKVKVGESHAERLAMELKEVTLQFPRTDLGTVESDLYVYALRINGYLCVHQTIAGYYPTPILFAEGAECLVTALASFLSAGGNLVVVNEPRLPVPSKCYFSLFKAVEERLFLHGRWDAYQLLSPEVRQMDRQSLRWSLLDFCLKNESTGLNIIGSPNKDEDYEDMHYTRYGEEASDWFWKIMRGRSSLYSTDSSIMAPDGVGRLEPLKQDLKRSCEGELDEGHSSGLDQMCTPGLCTTPARFDKQPLTFM
+>sp|P18462|1A25_HUMAN HLA class I histocompatibility antigen, A-25 alpha chain OS=Homo sapiens OX=9606 GN=HLA-A PE=1 SV=1
+MAVMAPRTLVLLLSGALALTQTWAGSHSMRYFYTSVSRPGRGEPRFIAVGYVDDTQFVRFDSDAASQRMEPRAPWIEQEGPEYWDRNTRNVKAHSQTDRESLRIALRYYNQSEDGSHTIQRMYGCDVGPDGRFLRGYQQDAYDGKDYIALNEDLRSWTAADMAAQITQRKWETAHEAEQWRAYLEGRCVEWLRRYLENGKETLQRTDAPKTHMTHHAVSDHEATLRCWALSFYPAEITLTWQRDGEDQTQDTELVETRPAGDGTFQKWASVVVPSGQEQRYTCHVQHEGLPKPLTLRWEPSSQPTIPIVGIIAGLVLFGAVIAGAVVAAVMWRRKSSDRKGGSYSQAASSDSAQGSDMSLTACKV
+>DECOY_sp|P18462|1A25_HUMAN HLA class I histocompatibility antigen, A-25 alpha chain OS=Homo sapiens OX=9606 GN=HLA-A PE=1 SV=1
+VKCATLSMDSGQASDSSAAQSYSGGKRDSSKRRWMVAAVVAGAIVAGFLVLGAIIGVIPITPQSSPEWRLTLPKPLGEHQVHCTYRQEQGSPVVVSAWKQFTGDGAPRTEVLETDQTQDEGDRQWTLTIEAPYFSLAWCRLTAEHDSVAHHTMHTKPADTRQLTEKGNELYRRLWEVCRGELYARWQEAEHATEWKRQTIQAAMDAATWSRLDENLAIYDKGDYADQQYGRLFRGDPGVDCGYMRQITHSGDESQNYYRLAIRLSERDTQSHAKVNRTNRDWYEPGEQEIWPARPEMRQSAADSDFRVFQTDDVYGVAIFRPEGRGPRSVSTYFYRMSHSGAWTQTLALAGSLLLVLTRPAMVAM
+>sp|P30512|1A29_HUMAN HLA class I histocompatibility antigen, A-29 alpha chain OS=Homo sapiens OX=9606 GN=HLA-A PE=1 SV=2
+MAVMAPRTLLLLLLGALALTQTWAGSHSMRYFTTSVSRPGRGEPRFIAVGYVDDTQFVRFDSDAASQRMEPRAPWIEQEGPEYWDLQTRNVKAQSQTDRANLGTLRGYYNQSEAGSHTIQMMYGCHVGSDGRFLRGYRQDAYDGKDYIALNEDLRSWTAADMAAQITQRKWEAARVAEQLRAYLEGTCVEWLRRYLENGKETLQRTDAPKTHMTHHAVSDHEATLRCWALSFYPAEITLTWQRDGEDQTQDTELVETRPAGDGTFQKWASVVVPSGQEQRYTCHVQHEGLPKPLTLRWEPSSQPTIPIVGIIAGLVLFGAVFAGAVVAAVRWRRKSSDRKGGSYSQAASSDSAQGSDMSLTACKV
+>DECOY_sp|P30512|1A29_HUMAN HLA class I histocompatibility antigen, A-29 alpha chain OS=Homo sapiens OX=9606 GN=HLA-A PE=1 SV=2
+VKCATLSMDSGQASDSSAAQSYSGGKRDSSKRRWRVAAVVAGAFVAGFLVLGAIIGVIPITPQSSPEWRLTLPKPLGEHQVHCTYRQEQGSPVVVSAWKQFTGDGAPRTEVLETDQTQDEGDRQWTLTIEAPYFSLAWCRLTAEHDSVAHHTMHTKPADTRQLTEKGNELYRRLWEVCTGELYARLQEAVRAAEWKRQTIQAAMDAATWSRLDENLAIYDKGDYADQRYGRLFRGDSGVHCGYMMQITHSGAESQNYYGRLTGLNARDTQSQAKVNRTQLDWYEPGEQEIWPARPEMRQSAADSDFRVFQTDDVYGVAIFRPEGRGPRSVSTTFYRMSHSGAWTQTLALAGLLLLLLTRPAMVAM
+>sp|P16189|1A31_HUMAN HLA class I histocompatibility antigen, A-31 alpha chain OS=Homo sapiens OX=9606 GN=HLA-A PE=1 SV=2
+MAVMAPRTLLLLLLGALALTQTWAGSHSMRYFTTSVSRPGRGEPRFIAVGYVDDTQFVRFDSDAASQRMEPRAPWIEQERPEYWDQETRNVKAHSQIDRVDLGTLRGYYNQSEAGSHTIQMMYGCDVGSDGRFLRGYQQDAYDGKDYIALNEDLRSWTAADMAAQITQRKWEAARVAEQLRAYLEGTCVEWLRRYLENGKETLQRTDPPKTHMTHHAVSDHEATLRCWALSFYPAEITLTWQRDGEDQTQDTELVETRPAGDGTFQKWASVVVPSGQEQRYTCHVQHEGLPKPLTLRWEPSSQPTIPIVGIIAGLVLFGAVFAGAVVAAVRWRRKSSDRKGGSYSQAASSDSAQGSDMSLTACKV
+>DECOY_sp|P16189|1A31_HUMAN HLA class I histocompatibility antigen, A-31 alpha chain OS=Homo sapiens OX=9606 GN=HLA-A PE=1 SV=2
+VKCATLSMDSGQASDSSAAQSYSGGKRDSSKRRWRVAAVVAGAFVAGFLVLGAIIGVIPITPQSSPEWRLTLPKPLGEHQVHCTYRQEQGSPVVVSAWKQFTGDGAPRTEVLETDQTQDEGDRQWTLTIEAPYFSLAWCRLTAEHDSVAHHTMHTKPPDTRQLTEKGNELYRRLWEVCTGELYARLQEAVRAAEWKRQTIQAAMDAATWSRLDENLAIYDKGDYADQQYGRLFRGDSGVDCGYMMQITHSGAESQNYYGRLTGLDVRDIQSHAKVNRTEQDWYEPREQEIWPARPEMRQSAADSDFRVFQTDDVYGVAIFRPEGRGPRSVSTTFYRMSHSGAWTQTLALAGLLLLLLTRPAMVAM
+>sp|P30455|1A36_HUMAN HLA class I histocompatibility antigen, A-36 alpha chain OS=Homo sapiens OX=9606 GN=HLA-A PE=1 SV=1
+MAVMAPRTLLLLLSGALALTQTWAGSHSMRYFFTSVSRPGRGEPRFIAVGYVDDTQFVRFDSDAASQKMEPRAPWIEQEGPEYWDQETRNMKAHSQTDRANLGTLRGYYNQSEDGSHTIQIMYGCDVGPDGRFLRGYRQDAYDGKDYIALNEDLRSWTAADMAAQITKRKWEAVHAAEQRRVYLEGTCVEWLRRYLENGKETLQRTDPPKTHMTHHPISDHEATLRCWALGFYPAEITLTWQRDGEDQTQDTELVETRPAGDGTFQKWAAVVVPSGEEQRYTCHVQHEGLPKPLTLRWELSSQPTIPIVGIIAGLVLLGAVITGAVVAAVMWRRKSSDRKGGSYTQAASSDSAQGSDVSLTACKV
+>DECOY_sp|P30455|1A36_HUMAN HLA class I histocompatibility antigen, A-36 alpha chain OS=Homo sapiens OX=9606 GN=HLA-A PE=1 SV=1
+VKCATLSVDSGQASDSSAAQTYSGGKRDSSKRRWMVAAVVAGTIVAGLLVLGAIIGVIPITPQSSLEWRLTLPKPLGEHQVHCTYRQEEGSPVVVAAWKQFTGDGAPRTEVLETDQTQDEGDRQWTLTIEAPYFGLAWCRLTAEHDSIPHHTMHTKPPDTRQLTEKGNELYRRLWEVCTGELYVRRQEAAHVAEWKRKTIQAAMDAATWSRLDENLAIYDKGDYADQRYGRLFRGDPGVDCGYMIQITHSGDESQNYYGRLTGLNARDTQSHAKMNRTEQDWYEPGEQEIWPARPEMKQSAADSDFRVFQTDDVYGVAIFRPEGRGPRSVSTFFYRMSHSGAWTQTLALAGSLLLLLTRPAMVAM
+>sp|P30457|1A66_HUMAN HLA class I histocompatibility antigen, A-66 alpha chain OS=Homo sapiens OX=9606 GN=HLA-A PE=1 SV=1
+MAVMAPRTLVLLLSGALALTQTWAGSHSMRYFYTSVSRPGRGEPRFIAVGYVDDTQFVRFDSDAASQRMEPRAPWIEQEGPEYWDRNTRNVKAQSQTDRVDLGTLRGYYNQSEDGSHTIQRMYGCDVGPDGRFLRGYQQDAYDGKDYIALNEDLRSWTAADMAAQITQRKWETAHEAEQWRAYLEGRCVEWLRRYLENGKETLQRTDAPKTHMTHHAVSDHEATLRCWALSFYPAEITLTWQRDGEDQTQDTELVETRPAGDGTFQKWASVVVPSGQEQRYTCHVQHEGLPKPLTLRWEPSSQPTIPIVGIIAGLVLFGAVIAGAVVAAVMWRRKSSDRKGGSYSQAASSDSAQGSDMSLTACKV
+>DECOY_sp|P30457|1A66_HUMAN HLA class I histocompatibility antigen, A-66 alpha chain OS=Homo sapiens OX=9606 GN=HLA-A PE=1 SV=1
+VKCATLSMDSGQASDSSAAQSYSGGKRDSSKRRWMVAAVVAGAIVAGFLVLGAIIGVIPITPQSSPEWRLTLPKPLGEHQVHCTYRQEQGSPVVVSAWKQFTGDGAPRTEVLETDQTQDEGDRQWTLTIEAPYFSLAWCRLTAEHDSVAHHTMHTKPADTRQLTEKGNELYRRLWEVCRGELYARWQEAEHATEWKRQTIQAAMDAATWSRLDENLAIYDKGDYADQQYGRLFRGDPGVDCGYMRQITHSGDESQNYYGRLTGLDVRDTQSQAKVNRTNRDWYEPGEQEIWPARPEMRQSAADSDFRVFQTDDVYGVAIFRPEGRGPRSVSTYFYRMSHSGAWTQTLALAGSLLLVLTRPAMVAM
+>sp|P01891|1A68_HUMAN HLA class I histocompatibility antigen, A-68 alpha chain OS=Homo sapiens OX=9606 GN=HLA-A PE=1 SV=4
+MAVMAPRTLVLLLSGALALTQTWAGSHSMRYFYTSVSRPGRGEPRFIAVGYVDDTQFVRFDSDAASQRMEPRAPWIEQEGPEYWDRNTRNVKAQSQTDRVDLGTLRGYYNQSEAGSHTIQMMYGCDVGSDGRFLRGYRQDAYDGKDYIALKEDLRSWTAADMAAQTTKHKWEAAHVAEQWRAYLEGTCVEWLRRYLENGKETLQRTDAPKTHMTHHAVSDHEATLRCWALSFYPAEITLTWQRDGEDQTQDTELVETRPAGDGTFQKWVAVVVPSGQEQRYTCHVQHEGLPKPLTLRWEPSSQPTIPIVGIIAGLVLFGAVITGAVVAAVMWRRKSSDRKGGSYSQAASSDSAQGSDVSLTACKV
+>DECOY_sp|P01891|1A68_HUMAN HLA class I histocompatibility antigen, A-68 alpha chain OS=Homo sapiens OX=9606 GN=HLA-A PE=1 SV=4
+VKCATLSVDSGQASDSSAAQSYSGGKRDSSKRRWMVAAVVAGTIVAGFLVLGAIIGVIPITPQSSPEWRLTLPKPLGEHQVHCTYRQEQGSPVVVAVWKQFTGDGAPRTEVLETDQTQDEGDRQWTLTIEAPYFSLAWCRLTAEHDSVAHHTMHTKPADTRQLTEKGNELYRRLWEVCTGELYARWQEAVHAAEWKHKTTQAAMDAATWSRLDEKLAIYDKGDYADQRYGRLFRGDSGVDCGYMMQITHSGAESQNYYGRLTGLDVRDTQSQAKVNRTNRDWYEPGEQEIWPARPEMRQSAADSDFRVFQTDDVYGVAIFRPEGRGPRSVSTYFYRMSHSGAWTQTLALAGSLLLVLTRPAMVAM
+>sp|Q15172|2A5A_HUMAN Serine/threonine-protein phosphatase 2A 56 kDa regulatory subunit alpha isoform OS=Homo sapiens OX=9606 GN=PPP2R5A PE=1 SV=1
+MSSSSPPAGAASAAISASEKVDGFTRKSVRKAQRQKRSQGSSQFRSQGSQAELHPLPQLKDATSNEQQELFCQKLQQCCILFDFMDSVSDLKSKEIKRATLNELVEYVSTNRGVIVESAYSDIVKMISANIFRTLPPSDNPDFDPEEDEPTLEASWPHIQLVYEFFLRFLESPDFQPSIAKRYIDQKFVQQLLELFDSEDPRERDFLKTVLHRIYGKFLGLRAFIRKQINNIFLRFIYETEHFNGVAELLEILGSIINGFALPLKAEHKQFLMKVLIPMHTAKGLALFHAQLAYCVVQFLEKDTTLTEPVIRGLLKFWPKTCSQKEVMFLGEIEEILDVIEPTQFKKIEEPLFKQISKCVSSSHFQVAERALYFWNNEYILSLIEENIDKILPIMFASLYKISKEHWNPTIVALVYNVLKTLMEMNGKLFDDLTSSYKAERQREKKKELEREELWKKLEELKLKKALEKQNSAYNMHSILSNTSAE
+>DECOY_sp|Q15172|2A5A_HUMAN Serine/threonine-protein phosphatase 2A 56 kDa regulatory subunit alpha isoform OS=Homo sapiens OX=9606 GN=PPP2R5A PE=1 SV=1
+EASTNSLISHMNYASNQKELAKKLKLEELKKWLEERELEKKKERQREAKYSSTLDDFLKGNMEMLTKLVNYVLAVITPNWHEKSIKYLSAFMIPLIKDINEEILSLIYENNWFYLAREAVQFHSSSVCKSIQKFLPEEIKKFQTPEIVDLIEEIEGLFMVEKQSCTKPWFKLLGRIVPETLTTDKELFQVVCYALQAHFLALGKATHMPILVKMLFQKHEAKLPLAFGNIISGLIELLEAVGNFHETEYIFRLFINNIQKRIFARLGLFKGYIRHLVTKLFDRERPDESDFLELLQQVFKQDIYRKAISPQFDPSELFRLFFEYVLQIHPWSAELTPEDEEPDFDPNDSPPLTRFINASIMKVIDSYASEVIVGRNTSVYEVLENLTARKIEKSKLDSVSDMFDFLICCQQLKQCFLEQQENSTADKLQPLPHLEAQSGQSRFQSSGQSRKQRQAKRVSKRTFGDVKESASIAASAAGAPPSSSSM
+>sp|Q16537|2A5E_HUMAN Serine/threonine-protein phosphatase 2A 56 kDa regulatory subunit epsilon isoform OS=Homo sapiens OX=9606 GN=PPP2R5E PE=1 SV=1
+MSSAPTTPPSVDKVDGFSRKSVRKARQKRSQSSSQFRSQGKPIELTPLPLLKDVPSSEQPELFLKKLQQCCVIFDFMDTLSDLKMKEYKRSTLNELVDYITISRGCLTEQTYPEVVRMVSCNIFRTLPPSDSNEFDPEEDEPTLEASWPHLQLVYEFFIRFLESQEFQPSIAKKYIDQKFVLQLLELFDSEDPRERDYLKTVLHRIYGKFLGLRAFIRKQINNIFLRFVYETEHFNGVAELLEILGSIINGFALPLKAEHKQFLVKVLIPLHTVRSLSLFHAQLAYCIVQFLEKDPSLTEPVIRGLMKFWPKTCSQKEVMFLGELEEILDVIEPSQFVKIQEPLFKQIAKCVSSPHFQVAERALYYWNNEYIMSLIEENSNVILPIMFSSLYRISKEHWNPAIVALVYNVLKAFMEMNSTMFDELTATYKSDRQREKKKEKEREELWKKLEDLELKRGLRRDGIIPT
+>DECOY_sp|Q16537|2A5E_HUMAN Serine/threonine-protein phosphatase 2A 56 kDa regulatory subunit epsilon isoform OS=Homo sapiens OX=9606 GN=PPP2R5E PE=1 SV=1
+TPIIGDRRLGRKLELDELKKWLEEREKEKKKERQRDSKYTATLEDFMTSNMEMFAKLVNYVLAVIAPNWHEKSIRYLSSFMIPLIVNSNEEILSMIYENNWYYLAREAVQFHPSSVCKAIQKFLPEQIKVFQSPEIVDLIEELEGLFMVEKQSCTKPWFKMLGRIVPETLSPDKELFQVICYALQAHFLSLSRVTHLPILVKVLFQKHEAKLPLAFGNIISGLIELLEAVGNFHETEYVFRLFINNIQKRIFARLGLFKGYIRHLVTKLYDRERPDESDFLELLQLVFKQDIYKKAISPQFEQSELFRIFFEYVLQLHPWSAELTPEDEEPDFENSDSPPLTRFINCSVMRVVEPYTQETLCGRSITIYDVLENLTSRKYEKMKLDSLTDMFDFIVCCQQLKKLFLEPQESSPVDKLLPLPTLEIPKGQSRFQSSSQSRKQRAKRVSKRSFGDVKDVSPPTTPASSM
+>sp|Q13362|2A5G_HUMAN Serine/threonine-protein phosphatase 2A 56 kDa regulatory subunit gamma isoform OS=Homo sapiens OX=9606 GN=PPP2R5C PE=1 SV=3
+MLTCNKAGSRMVVDAANSNGPFQPVVLLHIRDVPPADQEKLFIQKLRQCCVLFDFVSDPLSDLKWKEVKRAALSEMVEYITHNRNVITEPIYPEVVHMFAVNMFRTLPPSSNPTGAEFDPEEDEPTLEAAWPHLQLVYEFFLRFLESPDFQPNIAKKYIDQKFVLQLLELFDSEDPRERDFLKTTLHRIYGKFLGLRAYIRKQINNIFYRFIYETEHHNGIAELLEILGSIINGFALPLKEEHKIFLLKVLLPLHKVKSLSVYHPQLAYCVVQFLEKDSTLTEPVVMALLKYWPKTHSPKEVMFLNELEEILDVIEPSEFVKIMEPLFRQLAKCVSSPHFQVAERALYYWNNEYIMSLISDNAAKILPIMFPSLYRNSKTHWNKTIHGLIYNALKLFMEMNQKLFDDCTQQFKAEKLKEKLKMKEREEAWVKIENLAKANPQYTVYSQASTMSIPVAMETDGPLFEDVQMLRKTVKDEAHQAQKDPKKDRPLARRKSELPQDPHTKKALEAHCRADELASQDGR
+>DECOY_sp|Q13362|2A5G_HUMAN Serine/threonine-protein phosphatase 2A 56 kDa regulatory subunit gamma isoform OS=Homo sapiens OX=9606 GN=PPP2R5C PE=1 SV=3
+RGDQSALEDARCHAELAKKTHPDQPLESKRRALPRDKKPDKQAQHAEDKVTKRLMQVDEFLPGDTEMAVPISMTSAQSYVTYQPNAKALNEIKVWAEEREKMKLKEKLKEAKFQQTCDDFLKQNMEMFLKLANYILGHITKNWHTKSNRYLSPFMIPLIKAANDSILSMIYENNWYYLAREAVQFHPSSVCKALQRFLPEMIKVFESPEIVDLIEELENLFMVEKPSHTKPWYKLLAMVVPETLTSDKELFQVVCYALQPHYVSLSKVKHLPLLVKLLFIKHEEKLPLAFGNIISGLIELLEAIGNHHETEYIFRYFINNIQKRIYARLGLFKGYIRHLTTKLFDRERPDESDFLELLQLVFKQDIYKKAINPQFDPSELFRLFFEYVLQLHPWAAELTPEDEEPDFEAGTPNSSPPLTRFMNVAFMHVVEPYIPETIVNRNHTIYEVMESLAARKVEKWKLDSLPDSVFDFLVCCQRLKQIFLKEQDAPPVDRIHLLVVPQFPGNSNAADVVMRSGAKNCTLM
+>sp|Q9TQE0|2B19_HUMAN HLA class II histocompatibility antigen, DRB1-9 beta chain OS=Homo sapiens OX=9606 GN=HLA-DRB1 PE=1 SV=1
+MVCLKLPGGSCMAALTVTLMVLSSPLALAGDTQPRFLKQDKFECHFFNGTERVRYLHRGIYNQEENVRFDSDVGEYRAVTELGRPVAESWNSQKDFLERRRAEVDTVCRHNYGVGESFTVQRRVHPEVTVYPAKTQPLQHHNLLVCSVSGFYPGSIEVRWFRNGQEEKAGVVSTGLIQNGDWTFQTLVMLETVPRSGEVYTCQVEHPSVMSPLTVEWRARSESAQSKMLSGVGGFVLGLLFLGAGLFIYFRNQKGHSGLQPTGFLS
+>DECOY_sp|Q9TQE0|2B19_HUMAN HLA class II histocompatibility antigen, DRB1-9 beta chain OS=Homo sapiens OX=9606 GN=HLA-DRB1 PE=1 SV=1
+SLFGTPQLGSHGKQNRFYIFLGAGLFLLGLVFGGVGSLMKSQASESRARWEVTLPSMVSPHEVQCTYVEGSRPVTELMVLTQFTWDGNQILGTSVVGAKEEQGNRFWRVEISGPYFGSVSCVLLNHHQLPQTKAPYVTVEPHVRRQVTFSEGVGYNHRCVTDVEARRRELFDKQSNWSEAVPRGLETVARYEGVDSDFRVNEEQNYIGRHLYRVRETGNFFHCEFKDQKLFRPQTDGALALPSSLVMLTVTLAAMCSGGPLKLCVM
+>sp|Q5Y7A7|2B1D_HUMAN HLA class II histocompatibility antigen, DRB1-13 beta chain OS=Homo sapiens OX=9606 GN=HLA-DRB1 PE=1 SV=1
+MVCLRLPGGSCMAVLTVTLMVLSSPLALAGDTRPRFLEYSTSECHFFNGTERVRFLDRYFHNQEENVRFDSDVGEFRAVTELGRPDAEYWNSQKDILEDERAAVDTYCRHNYGVVESFTVQRRVHPKVTVYPSKTQPLQHHNLLVCSVSGFYPGSIEVRWFRNGQEEKTGVVSTGLIHNGDWTFQTLVMLETVPRSGEVYTCQVEHPSVTSPLTVEWRARSESAQSKMLSGVGGFVLGLLFLGAGLFIYFRNQKGHSGLQPRGFLS
+>DECOY_sp|Q5Y7A7|2B1D_HUMAN HLA class II histocompatibility antigen, DRB1-13 beta chain OS=Homo sapiens OX=9606 GN=HLA-DRB1 PE=1 SV=1
+SLFGRPQLGSHGKQNRFYIFLGAGLFLLGLVFGGVGSLMKSQASESRARWEVTLPSTVSPHEVQCTYVEGSRPVTELMVLTQFTWDGNHILGTSVVGTKEEQGNRFWRVEISGPYFGSVSCVLLNHHQLPQTKSPYVTVKPHVRRQVTFSEVVGYNHRCYTDVAAREDELIDKQSNWYEADPRGLETVARFEGVDSDFRVNEEQNHFYRDLFRVRETGNFFHCESTSYELFRPRTDGALALPSSLVMLTVTLVAMCSGGPLRLCVM
+>sp|O60516|4EBP3_HUMAN Eukaryotic translation initiation factor 4E-binding protein 3 OS=Homo sapiens OX=9606 GN=EIF4EBP3 PE=1 SV=1
+MSTSTSCPIPGGRDQLPDCYSTTPGGTLYATTPGGTRIIYDRKFLLECKNSPIARTPPCCLPQIPGVTTPPTAPLSKLEELKEQETEEEIPDDAQFEMDI
+>DECOY_sp|O60516|4EBP3_HUMAN Eukaryotic translation initiation factor 4E-binding protein 3 OS=Homo sapiens OX=9606 GN=EIF4EBP3 PE=1 SV=1
+IDMEFQADDPIEEETEQEKLEELKSLPATPPTTVGPIQPLCCPPTRAIPSNKCELLFKRDYIIRTGGPTTAYLTGGPTTSYCDPLQDRGGPIPCSTSTSM
+>sp|Q9NRA8|4ET_HUMAN Eukaryotic translation initiation factor 4E transporter OS=Homo sapiens OX=9606 GN=EIF4ENIF1 PE=1 SV=2
+MDRRSMGETESGDAFLDLKKPPASKCPHRYTKEELLDIKELPHSKQRPSCLSEKYDSDGVWDPEKWHASLYPASGRSSPVESLKKELDTDRPSLVRRIVDPRERVKEDDLDVVLSPQRRSFGGGCHVTAAVSSRRSGSPLEKDSDGLRLLGGRRIGSGRIISARTFEKDHRLSDKDLRDLRDRDRERDFKDKRFRREFGDSKRVFGERRRNDSYTEEEPEWFSAGPTSQSETIELTGFDDKILEEDHKGRKRTRRRTASVKEGIVECNGGVAEEDEVEVILAQEPAADQEVPRDAVLPEQSPGDFDFNEFFNLDKVPCLASMIEDVLGEGSVSASRFSRWFSNPSRSGSRSSSLGSTPHEELERLAGLEQAILSPGQNSGNYFAPIPLEDHAENKVDILEMLQKAKVDLKPLLSSLSANKEKLKESSHSGVVLSVEEVEAGLKGLKVDQQVKNSTPFMAEHLEETLSAVTNNRQLKKDGDMTAFNKLVSTMKASGTLPSQPKVSRNLESHLMSPAEIPGQPVPKNILQELLGQPVQRPASSNLLSGLMGSLEPTTSLLGQRAPSPPLSQVFQTRAASADYLRPRIPSPIGFTPGPQQLLGDPFQGMRKPMSPITAQMSQLELQQAALEGLALPHDLAVQAANFYQPGFGKPQVDRTRDGFRNRQQRVTKSPAPVHRGNSSSPAPAASITSMLSPSFTPTSVIRKMYESKEKSKEEPASGKAALGDSKEDTQKASEENLLSSSSVPSADRDSSPTTNSKLSALQRSSCSTPLSQANRYTKEQDYRPKATGRKTPTLASPVPTTPFLRPVHQVPLVPHVPMVRPAHQLHPGLVQRMLAQGVHPQHLPSLLQTGVLPPGMDLSHLQGISGPILGQPFYPLPAASHPLLNPRPGTPLHLAMVQQQLQRSVLHPPGSGSHAAAVSVQTTPQNVPSRSGLPHMHSQLEHRPSQRSSSPVGLAKWFGSDVLQQPLPSMPAKVISVDELEYRQ
+>DECOY_sp|Q9NRA8|4ET_HUMAN Eukaryotic translation initiation factor 4E transporter OS=Homo sapiens OX=9606 GN=EIF4ENIF1 PE=1 SV=2
+QRYELEDVSIVKAPMSPLPQQLVDSGFWKALGVPSSSRQSPRHELQSHMHPLGSRSPVNQPTTQVSVAAAHSGSGPPHLVSRQLQQQVMALHLPTGPRPNLLPHSAAPLPYFPQGLIPGSIGQLHSLDMGPPLVGTQLLSPLHQPHVGQALMRQVLGPHLQHAPRVMPVHPVLPVQHVPRLFPTTPVPSALTPTKRGTAKPRYDQEKTYRNAQSLPTSCSSRQLASLKSNTTPSSDRDASPVSSSSLLNEESAKQTDEKSDGLAAKGSAPEEKSKEKSEYMKRIVSTPTFSPSLMSTISAAPAPSSSNGRHVPAPSKTVRQQRNRFGDRTRDVQPKGFGPQYFNAAQVALDHPLALGELAAQQLELQSMQATIPSMPKRMGQFPDGLLQQPGPTFGIPSPIRPRLYDASAARTQFVQSLPPSPARQGLLSTTPELSGMLGSLLNSSAPRQVPQGLLEQLINKPVPQGPIEAPSMLHSELNRSVKPQSPLTGSAKMTSVLKNFATMDGDKKLQRNNTVASLTEELHEAMFPTSNKVQQDVKLGKLGAEVEEVSLVVGSHSSEKLKEKNASLSSLLPKLDVKAKQLMELIDVKNEAHDELPIPAFYNGSNQGPSLIAQELGALRELEEHPTSGLSSSRSGSRSPNSFWRSFRSASVSGEGLVDEIMSALCPVKDLNFFENFDFDGPSQEPLVADRPVEQDAAPEQALIVEVEDEEAVGGNCEVIGEKVSATRRRTRKRGKHDEELIKDDFGTLEITESQSTPGASFWEPEEETYSDNRRREGFVRKSDGFERRFRKDKFDRERDRDRLDRLDKDSLRHDKEFTRASIIRGSGIRRGGLLRLGDSDKELPSGSRRSSVAATVHCGGGFSRRQPSLVVDLDDEKVRERPDVIRRVLSPRDTDLEKKLSEVPSSRGSAPYLSAHWKEPDWVGDSDYKESLCSPRQKSHPLEKIDLLEEKTYRHPCKSAPPKKLDLFADGSETEGMSRRDM
+>sp|Q13085|ACACA_HUMAN Acetyl-CoA carboxylase 1 OS=Homo sapiens OX=9606 GN=ACACA PE=1 SV=2
+MDEPSPLAQPLELNQHSRFIIGSVSEDNSEDEISNLVKLDLLEEKEGSLSPASVGSDTLSDLGISSLQDGLALHIRSSMSGLHLVKQGRDRKKIDSQRDFTVASPAEFVTRFGGNKVIEKVLIANNGIAAVKCMRSIRRWSYEMFRNERAIRFVVMVTPEDLKANAEYIKMADHYVPVPGGPNNNNYANVELILDIAKRIPVQAVWAGWGHASENPKLPELLLKNGIAFMGPPSQAMWALGDKIASSIVAQTAGIPTLPWSGSGLRVDWQENDFSKRILNVPQELYEKGYVKDVDDGLQAAEEVGYPVMIKASEGGGGKGIRKVNNADDFPNLFRQVQAEVPGSPIFVMRLAKQSRHLEVQILADQYGNAISLFGRDCSVQRRHQKIIEEAPATIATPAVFEHMEQCAVKLAKMVGYVSAGTVEYLYSQDGSFYFLELNPRLQVEHPCTEMVADVNLPAAQLQIAMGIPLYRIKDIRMMYGVSPWGDSPIDFEDSAHVPCPRGHVIAARITSENPDEGFKPSSGTVQELNFRSNKNVWGYFSVAAAGGLHEFADSQFGHCFSWGENREEAISNMVVALKELSIRGDFRTTVEYLIKLLETESFQMNRIDTGWLDRLIAEKVQAERPDTMLGVVCGALHVADVSLRNSVSNFLHSLERGQVLPAHTLLNTVDVELIYEGVKYVLKVTRQSPNSYVVIMNGSCVEVDVHRLSDGGLLLSYDGSSYTTYMKEEVDRYRITIGNKTCVFEKENDPSVMRSPSAGKLIQYIVEDGGHVFAGQCYAEIEVMKMVMTLTAVESGCIHYVKRPGAALDPGCVLAKMQLDNPSKVQQAELHTGSLPRIQSTALRGEKLHRVFHYVLDNLVNVMNGYCLPDPFFSSKVKDWVERLMKTLRDPSLPLLELQDIMTSVSGRIPPNVEKSIKKEMAQYASNITSVLCQFPSQQIANILDSHAATLNRKSEREVFFMNTQSIVQLVQRYRSGIRGHMKAVVMDLLRQYLRVETQFQNGHYDKCVFALREENKSDMNTVLNYIFSHAQVTKKNLLVTMLIDQLCGRDPTLTDELLNILTELTQLSKTTNAKVALRARQVLIASHLPSYELRHNQVESIFLSAIDMYGHQFCIENLQKLILSETSIFDVLPNFFYHSNQVVRMAALEVYVRRAYIAYELNSVQHRQLKDNTCVVEFQFMLPTSHPNRGNIPTLNRMSFSSNLNHYGMTHVASVSDVLLDNSFTPPCQRMGGMVSFRTFEDFVRIFDEVMGCFSDSPPQSPTFPEAGHTSLYDEDKVPRDEPIHILNVAIKTDCDIEDDRLAAMFREFTQQNKATLVDHGIRRLTFLVAQKDFRKQVNYEVDRRFHREFPKFFTFRARDKFEEDRIYRHLEPALAFQLELNRMRNFDLTAIPCANHKMHLYLGAAKVEVGTEVTDYRFFVRAIIRHSDLVTKEASFEYLQNEGERLLLEAMDELEVAFNNTNVRTDCNHIFLNFVPTVIMDPSKIEESVRSMVMRYGSRLWKLRVLQAELKINIRLTPTGKAIPIRLFLTNESGYYLDISLYKEVTDSRTAQIMFQAYGDKQGPLHGMLINTPYVTKDLLQSKRFQAQSLGTTYIYDIPEMFRQSLIKLWESMSTQAFLPSPPLPSDMLTYTELVLDDQGQLVHMNRLPGGNEIGMVAWKMTFKSPEYPEGRDIIVIGNDITYRIGSFGPQEDLLFLRASELARAEGIPRIYVSANSGARIGLAEEIRHMFHVAWVDPEDPYKGYRYLYLTPQDYKRVSALNSVHCEHVEDEGESRYKITDIIGKEEGIGPENLRGSGMIAGESSLAYNEIITISLVTCRAIGIGAYLVRLGQRTIQVENSHLILTGAGALNKVLGREVYTSNNQLGGIQIMHNNGVTHCTVCDDFEGVFTVLHWLSYMPKSVHSSVPLLNSKDPIDRIIEFVPTKTPYDPRWMLAGRPHPTQKGQWLSGFFDYGSFSEIMQPWAQTVVVGRARLGGIPVGVVAVETRTVELSIPADPANLDSEAKIIQQAGQVWFPDSAFKTYQAIKDFNREGLPLMVFANWRGFSGGMKDMYDQVLKFGAYIVDGLRECCQPVLVYIPPQAELRGGSWVVIDSSINPRHMEMYADRESRGSVLEPEGTVEIKFRRKDLVKTMRRVDPVYIHLAERLGTPELSTAERKELENKLKEREEFLIPIYHQVAVQFADLHDTPGRMQEKGVISDILDWKTSRTFFYWRLRRLLLEDLVKKKIHNANPELTDGQIQAMLRRWFVEVEGTVKAYVWDNNKDLAEWLEKQLTEEDGVHSVIEENIKCISRDYVLKQIRSLVQANPEVAMDSIIHMTQHISPTQRAEVIRILSTMDSPST
+>DECOY_sp|Q13085|ACACA_HUMAN Acetyl-CoA carboxylase 1 OS=Homo sapiens OX=9606 GN=ACACA PE=1 SV=2
+TSPSDMTSLIRIVEARQTPSIHQTMHIISDMAVEPNAQVLSRIQKLVYDRSICKINEEIVSHVGDEETLQKELWEALDKNNDWVYAKVTGEVEVFWRRLMAQIQGDTLEPNANHIKKKVLDELLLRRLRWYFFTRSTKWDLIDSIVGKEQMRGPTDHLDAFQVAVQHYIPILFEEREKLKNELEKREATSLEPTGLREALHIYVPDVRRMTKVLDKRRFKIEVTGEPELVSGRSERDAYMEMHRPNISSDIVVWSGGRLEAQPPIYVLVPQCCERLGDVIYAGFKLVQDYMDKMGGSFGRWNAFVMLPLGERNFDKIAQYTKFASDPFWVQGAQQIIKAESDLNAPDAPISLEVTRTEVAVVGVPIGGLRARGVVVTQAWPQMIESFSGYDFFGSLWQGKQTPHPRGALMWRPDYPTKTPVFEIIRDIPDKSNLLPVSSHVSKPMYSLWHLVTFVGEFDDCVTCHTVGNNHMIQIGGLQNNSTYVERGLVKNLAGAGTLILHSNEVQITRQGLRVLYAGIGIARCTVLSITIIENYALSSEGAIMGSGRLNEPGIGEEKGIIDTIKYRSEGEDEVHECHVSNLASVRKYDQPTLYLYRYGKYPDEPDVWAVHFMHRIEEALGIRAGSNASVYIRPIGEARALESARLFLLDEQPGFSGIRYTIDNGIVIIDRGEPYEPSKFTMKWAVMGIENGGPLRNMHVLQGQDDLVLETYTLMDSPLPPSPLFAQTSMSEWLKILSQRFMEPIDYIYTTGLSQAQFRKSQLLDKTVYPTNILMGHLPGQKDGYAQFMIQATRSDTVEKYLSIDLYYGSENTLFLRIPIAKGTPTLRINIKLEAQLVRLKWLRSGYRMVMSRVSEEIKSPDMIVTPVFNLFIHNCDTRVNTNNFAVELEDMAELLLREGENQLYEFSAEKTVLDSHRIIARVFFRYDTVETGVEVKAAGLYLHMKHNACPIATLDFNRMRNLELQFALAPELHRYIRDEEFKDRARFTFFKPFERHFRRDVEYNVQKRFDKQAVLFTLRRIGHDVLTAKNQQTFERFMAALRDDEIDCDTKIAVNLIHIPEDRPVKDEDYLSTHGAEPFTPSQPPSDSFCGMVEDFIRVFDEFTRFSVMGGMRQCPPTFSNDLLVDSVSAVHTMGYHNLNSSFSMRNLTPINGRNPHSTPLMFQFEVVCTNDKLQRHQVSNLEYAIYARRVYVELAAMRVVQNSHYFFNPLVDFISTESLILKQLNEICFQHGYMDIASLFISEVQNHRLEYSPLHSAILVQRARLAVKANTTKSLQTLETLINLLEDTLTPDRGCLQDILMTVLLNKKTVQAHSFIYNLVTNMDSKNEERLAFVCKDYHGNQFQTEVRLYQRLLDMVVAKMHGRIGSRYRQVLQVISQTNMFFVERESKRNLTAAHSDLINAIQQSPFQCLVSTINSAYQAMEKKISKEVNPPIRGSVSTMIDQLELLPLSPDRLTKMLREVWDKVKSSFFPDPLCYGNMVNVLNDLVYHFVRHLKEGRLATSQIRPLSGTHLEAQQVKSPNDLQMKALVCGPDLAAGPRKVYHICGSEVATLTMVMKMVEIEAYCQGAFVHGGDEVIYQILKGASPSRMVSPDNEKEFVCTKNGITIRYRDVEEKMYTTYSSGDYSLLLGGDSLRHVDVEVCSGNMIVVYSNPSQRTVKLVYKVGEYILEVDVTNLLTHAPLVQGRELSHLFNSVSNRLSVDAVHLAGCVVGLMTDPREAQVKEAILRDLWGTDIRNMQFSETELLKILYEVTTRFDGRISLEKLAVVMNSIAEERNEGWSFCHGFQSDAFEHLGGAAAVSFYGWVNKNSRFNLEQVTGSSPKFGEDPNESTIRAAIVHGRPCPVHASDEFDIPSDGWPSVGYMMRIDKIRYLPIGMAIQLQAAPLNVDAVMETCPHEVQLRPNLELFYFSGDQSYLYEVTGASVYGVMKALKVACQEMHEFVAPTAITAPAEEIIKQHRRQVSCDRGFLSIANGYQDALIQVELHRSQKALRMVFIPSGPVEAQVQRFLNPFDDANNVKRIGKGGGGESAKIMVPYGVEEAAQLGDDVDKVYGKEYLEQPVNLIRKSFDNEQWDVRLGSGSWPLTPIGATQAVISSAIKDGLAWMAQSPPGMFAIGNKLLLEPLKPNESAHGWGAWVAQVPIRKAIDLILEVNAYNNNNPGGPVPVYHDAMKIYEANAKLDEPTVMVVFRIARENRFMEYSWRRISRMCKVAAIGNNAILVKEIVKNGGFRTVFEAPSAVTFDRQSDIKKRDRGQKVLHLGSMSSRIHLALGDQLSSIGLDSLTDSGVSAPSLSGEKEELLDLKVLNSIEDESNDESVSGIIFRSHQNLELPQALPSPEDM
+>sp|Q9UKU7|ACAD8_HUMAN Isobutyryl-CoA dehydrogenase, mitochondrial OS=Homo sapiens OX=9606 GN=ACAD8 PE=1 SV=1
+MLWSGCRRFGARLGCLPGGLRVLVQTGHRSLTSCIDPSMGLNEEQKEFQKVAFDFAAREMAPNMAEWDQKELFPVDVMRKAAQLGFGGVYIQTDVGGSGLSRLDTSVIFEALATGCTSTTAYISIHNMCAWMIDSFGNEEQRHKFCPPLCTMEKFASYCLTEPGSGSDAASLLTSAKKQGDHYILNGSKAFISGAGESDIYVVMCRTGGPGPKGISCIVVEKGTPGLSFGKKEKKVGWNSQPTRAVIFEDCAVPVANRIGSEGQGFLIAVRGLNGGRINIASCSLGAAHASVILTRDHLNVRKQFGEPLASNQYLQFTLADMATRLVAARLMVRNAAVALQEERKDAVALCSMAKLFATDECFAICNQALQMHGGYGYLKDYAVQQYVRDSRVHQILEGSNEVMRILISRSLLQE
+>DECOY_sp|Q9UKU7|ACAD8_HUMAN Isobutyryl-CoA dehydrogenase, mitochondrial OS=Homo sapiens OX=9606 GN=ACAD8 PE=1 SV=1
+EQLLSRSILIRMVENSGELIQHVRSDRVYQQVAYDKLYGYGGHMQLAQNCIAFCEDTAFLKAMSCLAVADKREEQLAVAANRVMLRAAVLRTAMDALTFQLYQNSALPEGFQKRVNLHDRTLIVSAHAAGLSCSAINIRGGNLGRVAILFGQGESGIRNAVPVACDEFIVARTPQSNWGVKKEKKGFSLGPTGKEVVICSIGKPGPGGTRCMVVYIDSEGAGSIFAKSGNLIYHDGQKKASTLLSAADSGSGPETLCYSAFKEMTCLPPCFKHRQEENGFSDIMWACMNHISIYATTSTCGTALAEFIVSTDLRSLGSGGVDTQIYVGGFGLQAAKRMVDVPFLEKQDWEAMNPAMERAAFDFAVKQFEKQEENLGMSPDICSTLSRHGTQVLVRLGGPLCGLRAGFRRCGSWLM
+>sp|P11310|ACADM_HUMAN Medium-chain specific acyl-CoA dehydrogenase, mitochondrial OS=Homo sapiens OX=9606 GN=ACADM PE=1 SV=1
+MAAGFGRCCRVLRSISRFHWRSQHTKANRQREPGLGFSFEFTEQQKEFQATARKFAREEIIPVAAEYDKTGEYPVPLIRRAWELGLMNTHIPENCGGLGLGTFDACLISEELAYGCTGVQTAIEGNSLGQMPIIIAGNDQQKKKYLGRMTEEPLMCAYCVTEPGAGSDVAGIKTKAEKKGDEYIINGQKMWITNGGKANWYFLLARSDPDPKAPANKAFTGFIVEADTPGIQIGRKELNMGQRCSDTRGIVFEDVKVPKENVLIGDGAGFKVAMGAFDKTRPVVAAGAVGLAQRALDEATKYALERKTFGKLLVEHQAISFMLAEMAMKVELARMSYQRAAWEVDSGRRNTYYASIAKAFAGDIANQLATDAVQILGGNGFNTEYPVEKLMRDAKIYQIYEGTSQIQRLIVAREHIDKYKN
+>DECOY_sp|P11310|ACADM_HUMAN Medium-chain specific acyl-CoA dehydrogenase, mitochondrial OS=Homo sapiens OX=9606 GN=ACADM PE=1 SV=1
+NKYKDIHERAVILRQIQSTGEYIQYIKADRMLKEVPYETNFGNGGLIQVADTALQNAIDGAFAKAISAYYTNRRGSDVEWAARQYSMRALEVKMAMEALMFSIAQHEVLLKGFTKRELAYKTAEDLARQALGVAGAAVVPRTKDFAGMAVKFGAGDGILVNEKPVKVDEFVIGRTDSCRQGMNLEKRGIQIGPTDAEVIFGTFAKNAPAKPDPDSRALLFYWNAKGGNTIWMKQGNIIYEDGKKEAKTKIGAVDSGAGPETVCYACMLPEETMRGLYKKKQQDNGAIIIPMQGLSNGEIATQVGTCGYALEESILCADFTGLGLGGCNEPIHTNMLGLEWARRILPVPYEGTKDYEAAVPIIEERAFKRATAQFEKQQETFEFSFGLGPERQRNAKTHQSRWHFRSISRLVRCCRGFGAAM
+>sp|Q96P50|ACAP3_HUMAN Arf-GAP with coiled-coil, ANK repeat and PH domain-containing protein 3 OS=Homo sapiens OX=9606 GN=ACAP3 PE=1 SV=2
+MTVEFEECVKDSPRFRATIDEVETDVVEIEAKLDKLVKLCSGMVEAGKAYVSTSRLFVSGVRDLSQQCQGDTVISECLQRFADSLQEVVNYHMILFDQAQRSVRQQLQSFVKEDVRKFKETKKQFDKVREDLELSLVRNAQAPRHRPHEVEEATGALTLTRKCFRHLALDYVLQINVLQAKKKFEILDSMLSFMHAQSSFFQQGYSLLHQLDPYMKKLAAELDQLVIDSAVEKREMERKHAAIQQRTLLQDFSYDESKVEFDVDAPSGVVMEGYLFKRASNAFKTWNRRWFSIQNSQLVYQKKLKDALTVVVDDLRLCSVKPCEDIERRFCFEVLSPTKSCMLQADSEKLRQAWVQAVQASIASAYRESPDSCYSERLDRTASPSTSSIDSATDTRERGVKGESVLQRVQSVAGNSQCGDCGQPDPRWASINLGVLLCIECSGIHRSLGVHCSKVRSLTLDSWEPELLKLMCELGNSAVNQIYEAQCEGAGSRKPTASSSRQDKEAWIKDKYVEKKFLRKAPMAPALEAPRRWRVQKCLRPHSSPRAPTARRKVRLEPVLPCVAALSSVGTLDRKFRRDSLFCPDELDSLFSYFDAGAAGAGPRSLSSDSGLGGSSDGSSDVLAFGSGSVVDSVTEEEGAESEESSGEADGDTEAEAWGLADVRELHPGLLAHRAARARDLPALAAALAHGAEVNWADAEDEGKTPLVQAVLGGSLIVCEFLLQNGADVNQRDSRGRAPLHHATLLGRTGQVCLFLKRGADQHALDQEQRDPLAIAVQAANADIVTLLRLARMAEEMREAEAAPGPPGALAGSPTELQFRRCIQEFISLHLEES
+>DECOY_sp|Q96P50|ACAP3_HUMAN Arf-GAP with coiled-coil, ANK repeat and PH domain-containing protein 3 OS=Homo sapiens OX=9606 GN=ACAP3 PE=1 SV=2
+SEELHLSIFEQICRRFQLETPSGALAGPPGPAAEAERMEEAMRALRLLTVIDANAAQVAIALPDRQEQDLAHQDAGRKLFLCVQGTRGLLTAHHLPARGRSDRQNVDAGNQLLFECVILSGGLVAQVLPTKGEDEADAWNVEAGHALAAALAPLDRARAARHALLGPHLERVDALGWAEAETDGDAEGSSEESEAGEEETVSDVVSGSGFALVDSSGDSSGGLGSDSSLSRPGAGAAGADFYSFLSDLEDPCFLSDRRFKRDLTGVSSLAAVCPLVPELRVKRRATPARPSSHPRLCKQVRWRRPAELAPAMPAKRLFKKEVYKDKIWAEKDQRSSSATPKRSGAGECQAEYIQNVASNGLECMLKLLEPEWSDLTLSRVKSCHVGLSRHIGSCEICLLVGLNISAWRPDPQGCDGCQSNGAVSQVRQLVSEGKVGRERTDTASDISSTSPSATRDLRESYCSDPSERYASAISAQVAQVWAQRLKESDAQLMCSKTPSLVEFCFRREIDECPKVSCLRLDDVVVTLADKLKKQYVLQSNQISFWRRNWTKFANSARKFLYGEMVVGSPADVDFEVKSEDYSFDQLLTRQQIAAHKREMERKEVASDIVLQDLEAALKKMYPDLQHLLSYGQQFFSSQAHMFSLMSDLIEFKKKAQLVNIQLVYDLALHRFCKRTLTLAGTAEEVEHPRHRPAQANRVLSLELDERVKDFQKKTEKFKRVDEKVFSQLQQRVSRQAQDFLIMHYNVVEQLSDAFRQLCESIVTDGQCQQSLDRVGSVFLRSTSVYAKGAEVMGSCLKVLKDLKAEIEVVDTEVEDITARFRPSDKVCEEFEVTM
+>sp|O00400|ACATN_HUMAN Acetyl-coenzyme A transporter 1 OS=Homo sapiens OX=9606 GN=SLC33A1 PE=1 SV=1
+MSPTISHKDSSRQRRPGNFSHSLDMKSGPLPPGGWDDSHLDSAGREGDREALLGDTGTGDFLKAPQSFRAELSSILLLLFLYVLQGIPLGLAGSIPLILQSKNVSYTDQAFFSFVFWPFSLKLLWAPLVDAVYVKNFGRRKSWLVPTQYILGLFMIYLSTQVDRLLGNTDDRTPDVIALTVAFFLFEFLAATQDIAVDGWALTMLSRENVGYASTCNSVGQTAGYFLGNVLFLALESADFCNKYLRFQPQPRGIVTLSDFLFFWGTVFLITTTLVALLKKENEVSVVKEETQGITDTYKLLFAIIKMPAVLTFCLLILTAKIGFSAADAVTGLKLVEEGVPKEHLALLAVPMVPLQIILPLIISKYTAGPQPLNTFYKAMPYRLLLGLEYALLVWWTPKVEHQGGFPIYYYIVVLLSYALHQVTVYSMYVSIMAFNAKVSDPLIGGTYMTLLNTVSNLGGNWPSTVALWLVDPLTVKECVGASNQNCRTPDAVELCKKLGGSCVTALDGYYVESIICVFIGFGWWFFLGPKFKKLQDEGSSSWKCKRNN
+>DECOY_sp|O00400|ACATN_HUMAN Acetyl-coenzyme A transporter 1 OS=Homo sapiens OX=9606 GN=SLC33A1 PE=1 SV=1
+NNRKCKWSSSGEDQLKKFKPGLFFWWGFGIFVCIISEVYYGDLATVCSGGLKKCLEVADPTRCNQNSAGVCEKVTLPDVLWLAVTSPWNGGLNSVTNLLTMYTGGILPDSVKANFAMISVYMSYVTVQHLAYSLLVVIYYYIPFGGQHEVKPTWWVLLAYELGLLLRYPMAKYFTNLPQPGATYKSIILPLIIQLPVMPVALLALHEKPVGEEVLKLGTVADAASFGIKATLILLCFTLVAPMKIIAFLLKYTDTIGQTEEKVVSVENEKKLLAVLTTTILFVTGWFFLFDSLTVIGRPQPQFRLYKNCFDASELALFLVNGLFYGATQGVSNCTSAYGVNERSLMTLAWGDVAIDQTAALFEFLFFAVTLAIVDPTRDDTNGLLRDVQTSLYIMFLGLIYQTPVLWSKRRGFNKVYVADVLPAWLLKLSFPWFVFSFFAQDTYSVNKSQLILPISGALGLPIGQLVYLFLLLLISSLEARFSQPAKLFDGTGTDGLLAERDGERGASDLHSDDWGGPPLPGSKMDLSHSFNGPRRQRSSDKHSITPSM
+>sp|Q5T8D3|ACBD5_HUMAN Acyl-CoA-binding domain-containing protein 5 OS=Homo sapiens OX=9606 GN=ACBD5 PE=1 SV=1
+MFQFHAGSWESWCCCCLIPADRPWDRGQHWQLEMADTRSVHETRFEAAVKVIQSLPKNGSFQPTNEMMLKFYSFYKQATEGPCKLSRPGFWDPIGRYKWDAWSSLGDMTKEEAMIAYVEEMKKIIETMPMTEKVEELLRVIGPFYEIVEDKKSGRSSDITSVRLEKISKCLEDLGNVLTSTPNAKTVNGKAESSDSGAESEEEEAQEEVKGAEQSDNDKKMMKKSADHKNLEVIVTNGYDKDGFVQDIQNDIHASSSLNGRSTEEVKPIDENLGQTGKSAVCIHQDINDDHVEDVTGIQHLTSDSDSEVYCDSMEQFGQEESLDSFTSNNGPFQYYLGGHSSQPMENSGFREDIQVPPGNGNIGNMQVVAVEGKGEVKHGGEDGRNNSGAPHREKRGGETDEFSNVRRGRGHRMQHLSEGTKGRQVGSGGDGERWGSDRGSRGSLNEQIALVLMRLQEDMQNVLQRLQKLETLTALQAKSSTSTLQTAPQPTSQRPSWWPFEMSPGVLTFAIIWPFIAQWLVYLYYQRRRRKLN
+>DECOY_sp|Q5T8D3|ACBD5_HUMAN Acyl-CoA-binding domain-containing protein 5 OS=Homo sapiens OX=9606 GN=ACBD5 PE=1 SV=1
+NLKRRRRQYYLYVLWQAIFPWIIAFTLVGPSMEFPWWSPRQSTPQPATQLTSTSSKAQLATLTELKQLRQLVNQMDEQLRMLVLAIQENLSGRSGRDSGWREGDGGSGVQRGKTGESLHQMRHGRGRRVNSFEDTEGGRKERHPAGSNNRGDEGGHKVEGKGEVAVVQMNGINGNGPPVQIDERFGSNEMPQSSHGGLYYQFPGNNSTFSDLSEEQGFQEMSDCYVESDSDSTLHQIGTVDEVHDDNIDQHICVASKGTQGLNEDIPKVEETSRGNLSSSAHIDNQIDQVFGDKDYGNTVIVELNKHDASKKMMKKDNDSQEAGKVEEQAEEEESEAGSDSSEAKGNVTKANPTSTLVNGLDELCKSIKELRVSTIDSSRGSKKDEVIEYFPGIVRLLEEVKETMPMTEIIKKMEEVYAIMAEEKTMDGLSSWADWKYRGIPDWFGPRSLKCPGETAQKYFSYFKLMMENTPQFSGNKPLSQIVKVAAEFRTEHVSRTDAMELQWHQGRDWPRDAPILCCCCWSEWSGAHFQFM
+>sp|P08173|ACM4_HUMAN Muscarinic acetylcholine receptor M4 OS=Homo sapiens OX=9606 GN=CHRM4 PE=1 SV=2
+MANFTPVNGSSGNQSVRLVTSSSHNRYETVEMVFIATVTGSLSLVTVVGNILVMLSIKVNRQLQTVNNYFLFSLACADLIIGAFSMNLYTVYIIKGYWPLGAVVCDLWLALDYVVSNASVMNLLIISFDRYFCVTKPLTYPARRTTKMAGLMIAAAWVLSFVLWAPAILFWQFVVGKRTVPDNQCFIQFLSNPAVTFGTAIAAFYLPVVIMTVLYIHISLASRSRVHKHRPEGPKEKKAKTLAFLKSPLMKQSVKKPPPGEAAREELRNGKLEEAPPPALPPPPRPVADKDTSNESSSGSATQNTKERPATELSTTEATTPAMPAPPLQPRALNPASRWSKIQIVTKQTGNECVTAIEIVPATPAGMRPAANVARKFASIARNQVRKKRQMAARERKVTRTIFAILLAFILTWTPYNVMVLVNTFCQSCIPDTVWSIGYWLCYVNSTINPACYALCNATFKKTFRHLLLCQYRNIGTAR
+>DECOY_sp|P08173|ACM4_HUMAN Muscarinic acetylcholine receptor M4 OS=Homo sapiens OX=9606 GN=CHRM4 PE=1 SV=2
+RATGINRYQCLLLHRFTKKFTANCLAYCAPNITSNVYCLWYGISWVTDPICSQCFTNVLVMVNYPTWTLIFALLIAFITRTVKRERAAMQRKKRVQNRAISAFKRAVNAAPRMGAPTAPVIEIATVCENGTQKTVIQIKSWRSAPNLARPQLPPAPMAPTTAETTSLETAPREKTNQTASGSSSENSTDKDAVPRPPPPLAPPPAEELKGNRLEERAAEGPPPKKVSQKMLPSKLFALTKAKKEKPGEPRHKHVRSRSALSIHIYLVTMIVVPLYFAAIATGFTVAPNSLFQIFCQNDPVTRKGVVFQWFLIAPAWLVFSLVWAAAIMLGAMKTTRRAPYTLPKTVCFYRDFSIILLNMVSANSVVYDLALWLDCVVAGLPWYGKIIYVTYLNMSFAGIILDACALSFLFYNNVTQLQRNVKISLMVLINGVVTVLSLSGTVTAIFVMEVTEYRNHSSSTVLRVSQNGSSGNVPTFNAM
+>sp|Q96QF7|ACRC_HUMAN Acidic repeat-containing protein OS=Homo sapiens OX=9606 GN=GCNA PE=1 SV=1
+MDGCKKELPRLQEPEEDEDCYILNVQSSSDDTSGSSVARRAPKRQASCILNVQSRSGDTSGSSVARRAPKRQASSVVVIDSDSDEECHTHEEKKAKLLEINSDDESPECCHVKPAIQEPPIVISDDDNDDDNGNDLEVPDDNSDDSEAPDDNSDDSEAPDDNSDDSEAPDDNSDDSEAPDDNSDDSDVPDDNSDDSSDDNSDDSSDDNSDDSDVPDDKSDDSDVPDDSSDDSDVPDDSSDDSEAPDDSSDDSEAPDDSSDDSEAPDDSSDDSEAPDDSSDDSEASDDSSDDSEASDDSSDDSEAPDDKSDDSDVPEDKSDDSDVPDDNSDDLEVPVPAEDLCNEGQIASDEEELVEAAAAVSQHDSSDDAGEQDLGENLSKPPSDPEANPEVSERKLPTEEEPAPVVEQSGKRKSKTKTIVEPPRKRQTKTKNIVEPPRKRQTKTKNIVEPLRKRKAKTKNVSVTPGHKKRGPSKKKPGAAKVEKRKTRTPKCKVPGCFLQDLEKSKKYSGKNLKRNKDELVQRIYDLFNRSVCDKKLPEKLRIGWNNKMVKTAGLCSTGEMWYPKWRRFAKIQIGLKVCDSADRIRDTLIHEMCHAASWLIDGIHDSHGDAWKYYARKSNRIHPELPRVTRCHNYKINYKVHYECTGCKTRIGCYTKSLDTSRFICAKCKGSLVMVPLTQKDGTRIVPHV
+>DECOY_sp|Q96QF7|ACRC_HUMAN Acidic repeat-containing protein OS=Homo sapiens OX=9606 GN=GCNA PE=1 SV=1
+VHPVIRTGDKQTLPVMVLSGKCKACIFRSTDLSKTYCGIRTKCGTCEYHVKYNIKYNHCRTVRPLEPHIRNSKRAYYKWADGHSDHIGDILWSAAHCMEHILTDRIRDASDCVKLGIQIKAFRRWKPYWMEGTSCLGATKVMKNNWGIRLKEPLKKDCVSRNFLDYIRQVLEDKNRKLNKGSYKKSKELDQLFCGPVKCKPTRTKRKEVKAAGPKKKSPGRKKHGPTVSVNKTKAKRKRLPEVINKTKTQRKRPPEVINKTKTQRKRPPEVITKTKSKRKGSQEVVPAPEEETPLKRESVEPNAEPDSPPKSLNEGLDQEGADDSSDHQSVAAAAEVLEEEDSAIQGENCLDEAPVPVELDDSNDDPVDSDDSKDEPVDSDDSKDDPAESDDSSDDSAESDDSSDDSAESDDSSDDPAESDDSSDDPAESDDSSDDPAESDDSSDDPAESDDSSDDPVDSDDSSDDPVDSDDSKDDPVDSDDSNDDSSDDSNDDSSDDSNDDPVDSDDSNDDPAESDDSNDDPAESDDSNDDPAESDDSNDDPAESDDSNDDPVELDNGNDDDNDDDSIVIPPEQIAPKVHCCEPSEDDSNIELLKAKKEEHTHCEEDSDSDIVVVSSAQRKPARRAVSSGSTDGSRSQVNLICSAQRKPARRAVSSGSTDDSSSQVNLIYCDEDEEPEQLRPLEKKCGDM
+>sp|Q08AH3|ACS2A_HUMAN Acyl-coenzyme A synthetase ACSM2A, mitochondrial OS=Homo sapiens OX=9606 GN=ACSM2A PE=1 SV=2
+MHWLRKVQGLCTLWGTQMSSRTLYINSRQLVSLQWGHQEVPAKFNFASDVLDHWADMEKAGKRLPSPALWWVNGKGKELMWNFRELSENSQQAANVLSGACGLQRGDRVAVVLPRVPEWWLVILGCIRAGLIFMPGTIQMKSTDILYRLQMSKAKAIVAGDEVIQEVDTVASECPSLRIKLLVSEKSCDGWLNFKKLLNEASTTHHCVETGSQEASAIYFTSGTSGLPKMAEHSYSSLGLKAKMDAGWTGLQASDIMWTISDTGWILNILCSLMEPWALGACTFVHLLPKFDPLVILKTLSSYPIKSMMGAPIVYRMLLQQDLSSYKFPHLQNCVTVGESLLPETLENWRAQTGLDIRESYGQTETGLTCMVSKTMKIKPGYMGTAASCYDVQIIDDKGNVLPPGTEGDIGIRVKPIRPIGIFSGYVDNPDKTAANIRGDFWLLGDRGIKDEDGYFQFMGRANDIINSSGYRIGPSEVENALMEHPAVVETAVISSPDPVRGEVVKAFVVLASQFLSHDPEQLTKELQQHVKSVTAPYKYPRKIEFVLNLPKTVTGKIQRAKLRDKEWKMSGKARAQ
+>DECOY_sp|Q08AH3|ACS2A_HUMAN Acyl-coenzyme A synthetase ACSM2A, mitochondrial OS=Homo sapiens OX=9606 GN=ACSM2A PE=1 SV=2
+QARAKGSMKWEKDRLKARQIKGTVTKPLNLVFEIKRPYKYPATVSKVHQQLEKTLQEPDHSLFQSALVVFAKVVEGRVPDPSSIVATEVVAPHEMLANEVESPGIRYGSSNIIDNARGMFQFYGDEDKIGRDGLLWFDGRINAATKDPNDVYGSFIGIPRIPKVRIGIDGETGPPLVNGKDDIIQVDYCSAATGMYGPKIKMTKSVMCTLGTETQGYSERIDLGTQARWNELTEPLLSEGVTVCNQLHPFKYSSLDQQLLMRYVIPAGMMSKIPYSSLTKLIVLPDFKPLLHVFTCAGLAWPEMLSCLINLIWGTDSITWMIDSAQLGTWGADMKAKLGLSSYSHEAMKPLGSTGSTFYIASAEQSGTEVCHHTTSAENLLKKFNLWGDCSKESVLLKIRLSPCESAVTDVEQIVEDGAVIAKAKSMQLRYLIDTSKMQITGPMFILGARICGLIVLWWEPVRPLVVAVRDGRQLGCAGSLVNAAQQSNESLERFNWMLEKGKGNVWWLAPSPLRKGAKEMDAWHDLVDSAFNFKAPVEQHGWQLSVLQRSNIYLTRSSMQTGWLTCLGQVKRLWHM
+>sp|Q4G176|ACSF3_HUMAN Acyl-CoA synthetase family member 3, mitochondrial OS=Homo sapiens OX=9606 GN=ACSF3 PE=1 SV=3
+MLPHVVLTFRRLGCALASCRLAPARHRGSGLLHTAPVARSDRSAPVFTRALAFGDRIALVDQHGRHTYRELYSRSLRLSQEICRLCGCVGGDLREERVSFLCANDASYVVAQWASWMSGGVAVPLYRKHPAAQLEYVICDSQSSVVLASQEYLELLSPVVRKLGVPLLPLTPAIYTGAVEEPAEVPVPEQGWRNKGAMIIYTSGTTGRPKGVLSTHQNIRAVVTGLVHKWAWTKDDVILHVLPLHHVHGVVNALLCPLWVGATCVMMPEFSPQQVWEKFLSSETPRINVFMAVPTIYTKLMEYYDRHFTQPHAQDFLRAVCEEKIRLMVSGSAALPLPVLEKWKNITGHTLLERYGMTEIGMALSGPLTTAVRLPGSVGTPLPGVQVRIVSENPQREACSYTIHAEGDERGTKVTPGFEEKEGELLVRGPSVFREYWNKPEETKSAFTLDGWFKTGDTVVFKDGQYWIRGRTSVDIIKTGGYKVSALEVEWHLLAHPSITDVAVIGVPDMTWGQRVTAVVTLREGHSLSHRELKEWARNVLAPYAVPSELVLVEEIPRNQMGKIDKKALIRHFHPS
+>DECOY_sp|Q4G176|ACSF3_HUMAN Acyl-CoA synthetase family member 3, mitochondrial OS=Homo sapiens OX=9606 GN=ACSF3 PE=1 SV=3
+SPHFHRILAKKDIKGMQNRPIEEVLVLESPVAYPALVNRAWEKLERHSLSHGERLTVVATVRQGWTMDPVGIVAVDTISPHALLHWEVELASVKYGGTKIIDVSTRGRIWYQGDKFVVTDGTKFWGDLTFASKTEEPKNWYERFVSPGRVLLEGEKEEFGPTVKTGREDGEAHITYSCAERQPNESVIRVQVGPLPTGVSGPLRVATTLPGSLAMGIETMGYRELLTHGTINKWKELVPLPLAASGSVMLRIKEECVARLFDQAHPQTFHRDYYEMLKTYITPVAMFVNIRPTESSLFKEWVQQPSFEPMMVCTAGVWLPCLLANVVGHVHHLPLVHLIVDDKTWAWKHVLGTVVARINQHTSLVGKPRGTTGSTYIIMAGKNRWGQEPVPVEAPEEVAGTYIAPTLPLLPVGLKRVVPSLLELYEQSALVVSSQSDCIVYELQAAPHKRYLPVAVGGSMWSAWQAVVYSADNACLFSVREERLDGGVCGCLRCIEQSLRLSRSYLERYTHRGHQDVLAIRDGFALARTFVPASRDSRAVPATHLLGSGRHRAPALRCSALACGLRRFTLVVHPLM
+>sp|P0C7M7|ACSM4_HUMAN Acyl-coenzyme A synthetase ACSM4, mitochondrial OS=Homo sapiens OX=9606 GN=ACSM4 PE=2 SV=1
+MKIFFRYQTFRFIWLTKPPGRRLHKDHQLWTPLTLADFEAINRCNRPLPKNFNFAADVLDQWSQKEKTGERPANPALWWVNGKGDEVKWSFRELGSLSRKAANVLTKPCGLQRGDRLAVILPRIPEWWLVNVACIRTGIIFMPGTIQLTAKDILYRLRASKAKCIVASEEVAPAVESIVLECPDLKTKLLVSPQSWNGWLSFQELFQFASEEHSCVETGSQEPMTIYFTSGTTGFPKMAQHSQSSLGIGFTLCGRYWLDLKSSDIIWNMSDTGWVKAAIGSVFSSWLCGACVFVHRMAQFDTDTFLDTLTTYPITTLCSPPTVYRMLVQKDLKRYKFKSLRHCLTGGEPLNPEVLEQWRVQTGLELYEGYGQTEVGMICANQKGQEIKPGSMGKGMLPYDVQIIDENGNVLPPGKEGEIALRLKPTRPFCFFSKYVDNPQKTAATIRGDFYVTGDRGVMDSDGYFWFVGRADDVIISSGYRIGPFEVESALIEHPAVVESAVVSSPDQIRGEVVKAFVVLAAPFKSYNPEKLTLELQDHVKKSTAPYKYPRKVEFVQELPKTITGKIKRNVLRDQEWRGR
+>DECOY_sp|P0C7M7|ACSM4_HUMAN Acyl-coenzyme A synthetase ACSM4, mitochondrial OS=Homo sapiens OX=9606 GN=ACSM4 PE=2 SV=1
+RGRWEQDRLVNRKIKGTITKPLEQVFEVKRPYKYPATSKKVHDQLELTLKEPNYSKFPAALVVFAKVVEGRIQDPSSVVASEVVAPHEILASEVEFPGIRYGSSIIVDDARGVFWFYGDSDMVGRDGTVYFDGRITAATKQPNDVYKSFFCFPRTPKLRLAIEGEKGPPLVNGNEDIIQVDYPLMGKGMSGPKIEQGKQNACIMGVETQGYGEYLELGTQVRWQELVEPNLPEGGTLCHRLSKFKYRKLDKQVLMRYVTPPSCLTTIPYTTLTDLFTDTDFQAMRHVFVCAGCLWSSFVSGIAAKVWGTDSMNWIIDSSKLDLWYRGCLTFGIGLSSQSHQAMKPFGTTGSTFYITMPEQSGTEVCSHEESAFQFLEQFSLWGNWSQPSVLLKTKLDPCELVISEVAPAVEESAVICKAKSARLRYLIDKATLQITGPMFIIGTRICAVNVLWWEPIRPLIVALRDGRQLGCPKTLVNAAKRSLSGLERFSWKVEDGKGNVWWLAPNAPREGTKEKQSWQDLVDAAFNFNKPLPRNCRNIAEFDALTLPTWLQHDKHLRRGPPKTLWIFRFTQYRFFIKM
+>sp|Q9H6R3|ACSS3_HUMAN Acyl-CoA synthetase short-chain family member 3, mitochondrial OS=Homo sapiens OX=9606 GN=ACSS3 PE=1 SV=1
+MKPSWLQCRKVTSAGGLGGPLPGSSPARGAGAALRALVVPGPRGGLGGRGCRALSSGSGSEYKTHFAASVTDPERFWGKAAEQISWYKPWTKTLENKHSPSTRWFVEGMLNICYNAVDRHIENGKGDKIAIIYDSPVTNTKATFTYKEVLEQVSKLAGVLVKHGIKKGDTVVIYMPMIPQAMYTMLACARIGAIHSLIFGGFASKELSSRIDHVKPKVVVTASFGIEPGRRVEYVPLVEEALKIGQHKPDKILIYNRPNMEAVPLAPGRDLDWDEEMAKAQSHDCVPVLSEHPLYILYTSGTTGLPKGVIRPTGGYAVMLHWSMSSIYGLQPGEVWWAASDLGWVVGHSYICYGPLLHGNTTVLYEGKPVGTPDAGAYFRVLAEHGVAALFTAPTAIRAIRQQDPGAALGKQYSLTRFKTLFVAGERCDVETLEWSKNVFRVPVLDHWWQTETGSPITASCVGLGNSKTPPPGQAGKSVPGYNVMILDDNMQKLKARCLGNIVVKLPLPPGAFSGLWKNQEAFKHLYFEKFPGYYDTMDAGYMDEEGYLYVMSRVDDVINVAGHRISAGAIEESILSHGTVADCAVVGKEDPLKGHVPLALCVLRKDINATEEQVLEEIVKHVRQNIGPVAAFRNAVFVKQLPKTRSGKIPRSALSAIVNGKPYKITSTIEDPSIFGHVEEMLKQA
+>DECOY_sp|Q9H6R3|ACSS3_HUMAN Acyl-CoA synthetase short-chain family member 3, mitochondrial OS=Homo sapiens OX=9606 GN=ACSS3 PE=1 SV=1
+AQKLMEEVHGFISPDEITSTIKYPKGNVIASLASRPIKGSRTKPLQKVFVANRFAAVPGINQRVHKVIEELVQEETANIDKRLVCLALPVHGKLPDEKGVVACDAVTGHSLISEEIAGASIRHGAVNIVDDVRSMVYLYGEEDMYGADMTDYYGPFKEFYLHKFAEQNKWLGSFAGPPLPLKVVINGLCRAKLKQMNDDLIMVNYGPVSKGAQGPPPTKSNGLGVCSATIPSGTETQWWHDLVPVRFVNKSWELTEVDCREGAVFLTKFRTLSYQKGLAAGPDQQRIARIATPATFLAAVGHEALVRFYAGADPTGVPKGEYLVTTNGHLLPGYCIYSHGVVWGLDSAAWWVEGPQLGYISSMSWHLMVAYGGTPRIVGKPLGTTGSTYLIYLPHESLVPVCDHSQAKAMEEDWDLDRGPALPVAEMNPRNYILIKDPKHQGIKLAEEVLPVYEVRRGPEIGFSATVVVKPKVHDIRSSLEKSAFGGFILSHIAGIRACALMTYMAQPIMPMYIVVTDGKKIGHKVLVGALKSVQELVEKYTFTAKTNTVPSDYIIAIKDGKGNEIHRDVANYCINLMGEVFWRTSPSHKNELTKTWPKYWSIQEAAKGWFREPDTVSAAFHTKYESGSGSSLARCGRGGLGGRPGPVVLARLAAGAGRAPSSGPLPGGLGGASTVKRCQLWSPKM
+>sp|Q8TC94|ACTL9_HUMAN Actin-like protein 9 OS=Homo sapiens OX=9606 GN=ACTL9 PE=1 SV=3
+MDASRPKSSESQSSLEAPRPGPNPSPNVVNKPLQRDFPGMVADRLPPKTGVVVIDMGTGTCKVGFAGQASPTYTVATILGCQPKKPATSGQSGLQTFIGEAARVLPELTLVQPLRSGIVVDWDAAELIWRHLLEHDLRVATHDHPLLFSDPPFSPATNREKLVEVAFESLRSPAMYVASQSVLSVYAHGRVSGLVVDTGHGVTYTVPVFQGYNLLHATERLDLAGNHLTAFLAEMLLQAGLPLGQQDLDLVENIKHHYCYVASDFQKEQARPEQEYKRTLKLPDGRTVTLGKELFQCPELLFNPPEVPGLSPVGLSTMAKQSLRKLSLEMRADLAQNVLLCGGSSLFTGFEGRFRAELLRALPAETHVVVAAQPTRNFSVWIGGSILASLRAFQSCWVLREQYEEQGPYIVYRKCY
+>DECOY_sp|Q8TC94|ACTL9_HUMAN Actin-like protein 9 OS=Homo sapiens OX=9606 GN=ACTL9 PE=1 SV=3
+YCKRYVIYPGQEEYQERLVWCSQFARLSALISGGIWVSFNRTPQAAVVVHTEAPLARLLEARFRGEFGTFLSSGGCLLVNQALDARMELSLKRLSQKAMTSLGVPSLGPVEPPNFLLEPCQFLEKGLTVTRGDPLKLTRKYEQEPRAQEKQFDSAVYCYHHKINEVLDLDQQGLPLGAQLLMEALFATLHNGALDLRETAHLLNYGQFVPVTYTVGHGTDVVLGSVRGHAYVSLVSQSAVYMAPSRLSEFAVEVLKERNTAPSFPPDSFLLPHDHTAVRLDHELLHRWILEAADWDVVIGSRLPQVLTLEPLVRAAEGIFTQLGSQGSTAPKKPQCGLITAVTYTPSAQGAFGVKCTGTGMDIVVVGTKPPLRDAVMGPFDRQLPKNVVNPSPNPGPRPAELSSQSESSKPRSADM
+>sp|P68133|ACTS_HUMAN Actin, alpha skeletal muscle OS=Homo sapiens OX=9606 GN=ACTA1 PE=1 SV=1
+MCDEDETTALVCDNGSGLVKAGFAGDDAPRAVFPSIVGRPRHQGVMVGMGQKDSYVGDEAQSKRGILTLKYPIEHGIITNWDDMEKIWHHTFYNELRVAPEEHPTLLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVTHNVPIYEGYALPHAIMRLDLAGRDLTDYLMKILTERGYSFVTTAEREIVRDIKEKLCYVALDFENEMATAASSSSLEKSYELPDGQVITIGNERFRCPETLFQPSFIGMESAGIHETTYNSIMKCDIDIRKDLYANNVMSGGTTMYPGIADRMQKEITALAPSTMKIKIIAPPERKYSVWIGGSILASLSTFQQMWITKQEYDEAGPSIVHRKCF
+>DECOY_sp|P68133|ACTS_HUMAN Actin, alpha skeletal muscle OS=Homo sapiens OX=9606 GN=ACTA1 PE=1 SV=1
+FCKRHVISPGAEDYEQKTIWMQQFTSLSALISGGIWVSYKREPPAIIKIKMTSPALATIEKQMRDAIGPYMTTGGSMVNNAYLDKRIDIDCKMISNYTTEHIGASEMGIFSPQFLTEPCRFRENGITIVQGDPLEYSKELSSSSAATAMENEFDLAVYCLKEKIDRVIEREATTVFSYGRETLIKMLYDTLDRGALDLRMIAHPLAYGEYIPVNHTVGDGSDLVIGTTRGSAYLSLVAQIAVYMAPVNFTEFMIQTMKERNAKPNLPAETLLTPHEEPAVRLENYFTHHWIKEMDDWNTIIGHEIPYKLTLIGRKSQAEDGVYSDKQGMGVMVGQHRPRGVISPFVARPADDGAFGAKVLGSGNDCVLATTEDEDCM
+>sp|Q04771|ACVR1_HUMAN Activin receptor type-1 OS=Homo sapiens OX=9606 GN=ACVR1 PE=1 SV=1
+MVDGVMILPVLIMIALPSPSMEDEKPKVNPKLYMCVCEGLSCGNEDHCEGQQCFSSLSINDGFHVYQKGCFQVYEQGKMTCKTPPSPGQAVECCQGDWCNRNITAQLPTKGKSFPGTQNFHLEVGLIILSVVFAVCLLACLLGVALRKFKRRNQERLNPRDVEYGTIEGLITTNVGDSTLADLLDHSCTSGSGSGLPFLVQRTVARQITLLECVGKGRYGEVWRGSWQGENVAVKIFSSRDEKSWFRETELYNTVMLRHENILGFIASDMTSRHSSTQLWLITHYHEMGSLYDYLQLTTLDTVSCLRIVLSIASGLAHLHIEIFGTQGKPAIAHRDLKSKNILVKKNGQCCIADLGLAVMHSQSTNQLDVGNNPRVGTKRYMAPEVLDETIQVDCFDSYKRVDIWAFGLVLWEVARRMVSNGIVEDYKPPFYDVVPNDPSFEDMRKVVCVDQQRPNIPNRWFSDPTLTSLAKLMKECWYQNPSARLTALRIKKTLTKIDNSLDKLKTDC
+>DECOY_sp|Q04771|ACVR1_HUMAN Activin receptor type-1 OS=Homo sapiens OX=9606 GN=ACVR1 PE=1 SV=1
+CDTKLKDLSNDIKTLTKKIRLATLRASPNQYWCEKMLKALSTLTPDSFWRNPINPRQQDVCVVKRMDEFSPDNPVVDYFPPKYDEVIGNSVMRRAVEWLVLGFAWIDVRKYSDFCDVQITEDLVEPAMYRKTGVRPNNGVDLQNTSQSHMVALGLDAICCQGNKKVLINKSKLDRHAIAPKGQTGFIEIHLHALGSAISLVIRLCSVTDLTTLQLYDYLSGMEHYHTILWLQTSSHRSTMDSAIFGLINEHRLMVTNYLETERFWSKEDRSSFIKVAVNEGQWSGRWVEGYRGKGVCELLTIQRAVTRQVLFPLGSGSGSTCSHDLLDALTSDGVNTTILGEITGYEVDRPNLREQNRRKFKRLAVGLLCALLCVAFVVSLIILGVELHFNQTGPFSKGKTPLQATINRNCWDGQCCEVAQGPSPPTKCTMKGQEYVQFCGKQYVHFGDNISLSSFCQQGECHDENGCSLGECVCMYLKPNVKPKEDEMSPSPLAIMILVPLIMVGDVM
+>sp|Q9Y3Q7|ADA18_HUMAN Disintegrin and metalloproteinase domain-containing protein 18 OS=Homo sapiens OX=9606 GN=ADAM18 PE=2 SV=1
+MFLLLALLTELGRLQAHEGSEGIFLHVTVPRKIKSNDSEVSERKMIYIITIDGQPYTLHLGKQSFLPQNFLVYTYNETGSLHSVSPYFMMHCHYQGYAAEFPNSFVTLSICSGLRGFLQFENISYGIEPVESSARFEHIIYQMKNNDPNVSILAVNYSHIWQKDQPYKVPLNSQIKNLSKLLPQYLEIYIIVEKALYDYMGSEMMAVTQKIVQVIGLVNTMFTQFKLTVILSSLELWSNENQISTSGDADDILQRFLAWKRDYLILRPHDIAYLLVYRKHPKYVGATFPGTVCNKSYDAGIAMYPDAIGLEGFSVIIAQLLGLNVGLTYDDITQCFCLRATCIMNHEAVSASGRKIFSNCSMHDYRYFVSKFETKCLQKLSNLQPLHQNQPVCGNGILESNEECDCGNKNECQFKKCCDYNTCKLKGSVKCGSGPCCTSKCELSIAGTPCRKSIDPECDFTEYCNGTSSNCVPDTYALNGRLCKLGTAYCYNGQCQTTDNQCAKIFGKGAQGAPFACFKEVNSLHERSENCGFKNSQPLPCERKDVLCGKLACVQPHKNANKSDAQSTVYSYIQDHVCVSIATGSSMRSDGTDNAYVADGTMCGPEMYCVNKTCRKVHLMGYNCNATTKCKGKGICNNFGNCQCFPGHRPPDCKFQFGSPGGSIDDGNFQKSGDFYTEKGYNTHWNNWFILSFCIFLPFFIVFTTVIFKRNEISKSCNRENAEYNRNSSVVSESDDVGH
+>DECOY_sp|Q9Y3Q7|ADA18_HUMAN Disintegrin and metalloproteinase domain-containing protein 18 OS=Homo sapiens OX=9606 GN=ADAM18 PE=2 SV=1
+HGVDDSESVVSSNRNYEANERNCSKSIENRKFIVTTFVIFFPLFICFSLIFWNNWHTNYGKETYFDGSKQFNGDDISGGPSGFQFKCDPPRHGPFCQCNGFNNCIGKGKCKTTANCNYGMLHVKRCTKNVCYMEPGCMTGDAVYANDTGDSRMSSGTAISVCVHDQIYSYVTSQADSKNANKHPQVCALKGCLVDKRECPLPQSNKFGCNESREHLSNVEKFCAFPAGQAGKGFIKACQNDTTQCQGNYCYATGLKCLRGNLAYTDPVCNSSTGNCYETFDCEPDISKRCPTGAISLECKSTCCPGSGCKVSGKLKCTNYDCCKKFQCENKNGCDCEENSELIGNGCVPQNQHLPQLNSLKQLCKTEFKSVFYRYDHMSCNSFIKRGSASVAEHNMICTARLCFCQTIDDYTLGVNLGLLQAIIVSFGELGIADPYMAIGADYSKNCVTGPFTAGVYKPHKRYVLLYAIDHPRLILYDRKWALFRQLIDDADGSTSIQNENSWLELSSLIVTLKFQTFMTNVLGIVQVIKQTVAMMESGMYDYLAKEVIIYIELYQPLLKSLNKIQSNLPVKYPQDKQWIHSYNVALISVNPDNNKMQYIIHEFRASSEVPEIGYSINEFQLFGRLGSCISLTVFSNPFEAAYGQYHCHMMFYPSVSHLSGTENYTYVLFNQPLFSQKGLHLTYPQGDITIIYIMKRESVESDNSKIKRPVTVHLFIGESGEHAQLRGLETLLALLLFM
+>sp|Q9H013|ADA19_HUMAN Disintegrin and metalloproteinase domain-containing protein 19 OS=Homo sapiens OX=9606 GN=ADAM19 PE=1 SV=3
+MPGGAGAARLCLLAFALQPLRPRAAREPGWTRGSEEGSPKLQHELIIPQWKTSESPVREKHPLKAELRVMAEGRELILDLEKNEQLFAPSYTETHYTSSGNPQTTTRKLEDHCFYHGTVRETELSSVTLSTCRGIRGLITVSSNLSYVIEPLPDSKGQHLIYRSEHLKPPPGNCGFEHSKPTTRDWALQFTQQTKKRPRRMKREDLNSMKYVELYLVADYLEFQKNRRDQDATKHKLIEIANYVDKFYRSLNIRIALVGLEVWTHGNMCEVSENPYSTLWSFLSWRRKLLAQKYHDNAQLITGMSFHGTTIGLAPLMAMCSVYQSGGVNMDHSENAIGVAATMAHEMGHNFGMTHDSADCCSASAADGGCIMAAATGHPFPKVFNGCNRRELDRYLQSGGGMCLSNMPDTRMLYGGRRCGNGYLEDGEECDCGEEEECNNPCCNASNCTLRPGAECAHGSCCHQCKLLAPGTLCREQARQCDLPEFCTGKSPHCPTNFYQMDGTPCEGGQAYCYNGMCLTYQEQCQQLWGPGARPAPDLCFEKVNVAGDTFGNCGKDMNGEHRKCNMRDAKCGKIQCQSSEARPLESNAVPIDTTIIMNGRQIQCRGTHVYRGPEEEGDMLDPGLVMTGTKCGYNHICFEGQCRNTSFFETEGCGKKCNGHGVCNNNQNCHCLPGWAPPFCNTPGHGGSIDSGPMPPESVGPVVAGVLVAILVLAVLMLMYYCCRQNNKLGQLKPSALPSKLRQQFSCPFRVSQNSGTGHANPTFKLQTPQGKRKVINTPEILRKPSQPPPRPPPDYLRGGSPPAPLPAHLSRAARNSPGPGSQIERTESSRRPPPSRPIPPAPNCIVSQDFSRPRPPQKALPANPVPGRRSLPRPGGASPLRPPGAGPQQSRPLAALAPKVSPREALKVKAGTRGLQGGRCRVEKTKQFMLLVVWTELPEQKPRAKHSCFLVPA
+>DECOY_sp|Q9H013|ADA19_HUMAN Disintegrin and metalloproteinase domain-containing protein 19 OS=Homo sapiens OX=9606 GN=ADAM19 PE=1 SV=3
+APVLFCSHKARPKQEPLETWVVLLMFQKTKEVRCRGGQLGRTGAKVKLAERPSVKPALAALPRSQQPGAGPPRLPSAGGPRPLSRRGPVPNAPLAKQPPRPRSFDQSVICNPAPPIPRSPPPRRSSETREIQSGPGPSNRAARSLHAPLPAPPSGGRLYDPPPRPPPQSPKRLIEPTNIVKRKGQPTQLKFTPNAHGTGSNQSVRFPCSFQQRLKSPLASPKLQGLKNNQRCCYYMLMLVALVLIAVLVGAVVPGVSEPPMPGSDISGGHGPTNCFPPAWGPLCHCNQNNNCVGHGNCKKGCGETEFFSTNRCQGEFCIHNYGCKTGTMVLGPDLMDGEEEPGRYVHTGRCQIQRGNMIITTDIPVANSELPRAESSQCQIKGCKADRMNCKRHEGNMDKGCNGFTDGAVNVKEFCLDPAPRAGPGWLQQCQEQYTLCMGNYCYAQGGECPTGDMQYFNTPCHPSKGTCFEPLDCQRAQERCLTGPALLKCQHCCSGHACEAGPRLTCNSANCCPNNCEEEEGCDCEEGDELYGNGCRRGGYLMRTDPMNSLCMGGGSQLYRDLERRNCGNFVKPFPHGTAAAMICGGDAASASCCDASDHTMGFNHGMEHAMTAAVGIANESHDMNVGGSQYVSCMAMLPALGITTGHFSMGTILQANDHYKQALLKRRWSLFSWLTSYPNESVECMNGHTWVELGVLAIRINLSRYFKDVYNAIEILKHKTADQDRRNKQFELYDAVLYLEVYKMSNLDERKMRRPRKKTQQTFQLAWDRTTPKSHEFGCNGPPPKLHESRYILHQGKSDPLPEIVYSLNSSVTILGRIGRCTSLTVSSLETERVTGHYFCHDELKRTTTQPNGSSTYHTETYSPAFLQENKELDLILERGEAMVRLEAKLPHKERVPSESTKWQPIILEHQLKPSGEESGRTWGPERAARPRLPQLAFALLCLRAAGAGGPM
+>sp|P35348|ADA1A_HUMAN Alpha-1A adrenergic receptor OS=Homo sapiens OX=9606 GN=ADRA1A PE=1 SV=2
+MVFLSGNASDSSNCTQPPAPVNISKAILLGVILGGLILFGVLGNILVILSVACHRHLHSVTHYYIVNLAVADLLLTSTVLPFSAIFEVLGYWAFGRVFCNIWAAVDVLCCTASIMGLCIISIDRYIGVSYPLRYPTIVTQRRGLMALLCVWALSLVISIGPLFGWRQPAPEDETICQINEEPGYVLFSALGSFYLPLAIILVMYCRVYVVAKRESRGLKSGLKTDKSDSEQVTLRIHRKNAPAGGSGMASAKTKTHFSVRLLKFSREKKAAKTLGIVVGCFVLCWLPFFLVMPIGSFFPDFKPSETVFKIVFWLGYLNSCINPIIYPCSSQEFKKAFQNVLRIQCLCRKQSSKHALGYTLHPPSQAVEGQHKDMVRIPVGSRETFYRISKTDGVCEWKFFSSMPRGSARITVSKDQSSCTTARVRSKSFLQVCCCVGPSTPSLDKNHQVPTIKVHTISLSENGEEV
+>DECOY_sp|P35348|ADA1A_HUMAN Alpha-1A adrenergic receptor OS=Homo sapiens OX=9606 GN=ADRA1A PE=1 SV=2
+VEEGNESLSITHVKITPVQHNKDLSPTSPGVCCCVQLFSKSRVRATTCSSQDKSVTIRASGRPMSSFFKWECVGDTKSIRYFTERSGVPIRVMDKHQGEVAQSPPHLTYGLAHKSSQKRCLCQIRLVNQFAKKFEQSSCPYIIPNICSNLYGLWFVIKFVTESPKFDPFFSGIPMVLFFPLWCLVFCGVVIGLTKAAKKERSFKLLRVSFHTKTKASAMGSGGAPANKRHIRLTVQESDSKDTKLGSKLGRSERKAVVYVRCYMVLIIALPLYFSGLASFLVYGPEENIQCITEDEPAPQRWGFLPGISIVLSLAWVCLLAMLGRRQTVITPYRLPYSVGIYRDISIICLGMISATCCLVDVAAWINCFVRGFAWYGLVEFIASFPLVTSTLLLDAVALNVIYYHTVSHLHRHCAVSLIVLINGLVGFLILGGLIVGLLIAKSINVPAPPQTCNSSDSANGSLFVM
+>sp|A8MUL3|ADAS1_HUMAN Putative uncharacterized protein ADARB2-AS1 OS=Homo sapiens OX=9606 GN=ADARB2-AS1 PE=5 SV=2
+MKQLFPPPPGTSLTHALGAWRGRERAQAATSLLASSASQFPTAVEDALMSVLTSHCAPSTPAATRAQQTGTRGHIHPACPCQQSCVGASRPPGRPQIFLPLTTALSLEAYAADTCSAADFLHNPSSWGKVWYLNEASFDLYSYHYFW
+>DECOY_sp|A8MUL3|ADAS1_HUMAN Putative uncharacterized protein ADARB2-AS1 OS=Homo sapiens OX=9606 GN=ADARB2-AS1 PE=5 SV=2
+WFYHYSYLDFSAENLYWVKGWSSPNHLFDAASCTDAAYAELSLATTLPLFIQPRGPPRSAGVCSQQCPCAPHIHGRTGTQQARTAAPTSPACHSTLVSMLADEVATPFQSASSALLSTAAQARERGRWAGLAHTLSTGPPPPFLQKM
+>sp|P00813|ADA_HUMAN Adenosine deaminase OS=Homo sapiens OX=9606 GN=ADA PE=1 SV=3
+MAQTPAFDKPKVELHVHLDGSIKPETILYYGRRRGIALPANTAEGLLNVIGMDKPLTLPDFLAKFDYYMPAIAGCREAIKRIAYEFVEMKAKEGVVYVEVRYSPHLLANSKVEPIPWNQAEGDLTPDEVVALVGQGLQEGERDFGVKARSILCCMRHQPNWSPKVVELCKKYQQQTVVAIDLAGDETIPGSSLLPGHVQAYQEAVKSGIHRTVHAGEVGSAEVVKEAVDILKTERLGHGYHTLEDQALYNRLRQENMHFEICPWSSYLTGAWKPDTEHAVIRLKNDQANYSLNTDDPLIFKSTLDTDYQMTKRDMGFTEEEFKRLNINAAKSSFLPEDEKRELLDLLYKAYGMPPSASAGQNL
+>DECOY_sp|P00813|ADA_HUMAN Adenosine deaminase OS=Homo sapiens OX=9606 GN=ADA PE=1 SV=3
+LNQGASASPPMGYAKYLLDLLERKEDEPLFSSKAANINLRKFEEETFGMDRKTMQYDTDLTSKFILPDDTNLSYNAQDNKLRIVAHETDPKWAGTLYSSWPCIEFHMNEQRLRNYLAQDELTHYGHGLRETKLIDVAEKVVEASGVEGAHVTRHIGSKVAEQYAQVHGPLLSSGPITEDGALDIAVVTQQQYKKCLEVVKPSWNPQHRMCCLISRAKVGFDREGEQLGQGVLAVVEDPTLDGEAQNWPIPEVKSNALLHPSYRVEVYVVGEKAKMEVFEYAIRKIAERCGAIAPMYYDFKALFDPLTLPKDMGIVNLLGEATNAPLAIGRRRGYYLITEPKISGDLHVHLEVKPKDFAPTQAM
+>sp|C9JUS6|ADM5_HUMAN Putative adrenomedullin-5-like protein OS=Homo sapiens OX=9606 GN=ADM5 PE=2 SV=1
+MTIHILILLLLLAFSAQGDLDTAARRGQHQVPQHRGHVCYLGVCRTHRLAEIIYWIRCLHQGALGEGQPRAPGPLQLWAPPVARGGSPARFPGFRPAARGLAQCPARWVTSGTARPLLGFSLPICMLELLLHISSPLTPAPETVFPSPSPGCD
+>DECOY_sp|C9JUS6|ADM5_HUMAN Putative adrenomedullin-5-like protein OS=Homo sapiens OX=9606 GN=ADM5 PE=2 SV=1
+DCGPSPSPFVTEPAPTLPSSIHLLLELMCIPLSFGLLPRATGSTVWRAPCQALGRAAPRFGPFRAPSGGRAVPPAWLQLPGPARPQGEGLAGQHLCRIWYIIEALRHTRCVGLYCVHGRHQPVQHQGRRAATDLDGQASFALLLLLILIHITM
+>sp|Q3LIE5|ADPRM_HUMAN Manganese-dependent ADP-ribose/CDP-alcohol diphosphatase OS=Homo sapiens OX=9606 GN=ADPRM PE=1 SV=1
+MDDKPNPEALSDSSERLFSFGVIADVQFADLEDGFNFQGTRRRYYRHSLLHLQGAIEDWNNESSMPCCVLQLGDIIDGYNAQYNASKKSLELVMDMFKRLKVPVHHTWGNHEFYNFSREYLTHSKLNTKFLEDQIVHHPETMPSEDYYAYHFVPFPKFRFILLDAYDLSVLGVDQSSPKYEQCMKILREHNPNTELNSPQGLSEPQFVQFNGGFSQEQLNWLNEVLTFSDTNQEKVVIVSHLPIYPDASDNVCLAWNYRDALAVIWSHECVVCFFAGHTHDGGYSEDPFGVYHVNLEGVIETAPDSQAFGTVHVYPDKMMLKGRGRVPDRIMNYKKERAFHC
+>DECOY_sp|Q3LIE5|ADPRM_HUMAN Manganese-dependent ADP-ribose/CDP-alcohol diphosphatase OS=Homo sapiens OX=9606 GN=ADPRM PE=1 SV=1
+CHFAREKKYNMIRDPVRGRGKLMMKDPYVHVTGFAQSDPATEIVGELNVHYVGFPDESYGGDHTHGAFFCVVCEHSWIVALADRYNWALCVNDSADPYIPLHSVIVVKEQNTDSFTLVENLWNLQEQSFGGNFQVFQPESLGQPSNLETNPNHERLIKMCQEYKPSSQDVGLVSLDYADLLIFRFKPFPVFHYAYYDESPMTEPHHVIQDELFKTNLKSHTLYERSFNYFEHNGWTHHVPVKLRKFMDMVLELSKKSANYQANYGDIIDGLQLVCCPMSSENNWDEIAGQLHLLSHRYYRRRTGQFNFGDELDAFQVDAIVGFSFLRESSDSLAEPNPKDDM
+>sp|Q16186|ADRM1_HUMAN Proteasomal ubiquitin receptor ADRM1 OS=Homo sapiens OX=9606 GN=ADRM1 PE=1 SV=2
+MTTSGALFPSLVPGSRGASNKYLVEFRAGKMSLKGTTVTPDKRKGLVYIQQTDDSLIHFCWKDRTSGNVEDDLIIFPDDCEFKRVPQCPSGRVYVLKFKAGSKRLFFWMQEPKTDQDEEHCRKVNEYLNNPPMPGALGASGSSGHELSALGGEGGLQSLLGNMSHSQLMQLIGPAGLGGLGGLGALTGPGLASLLGSSGPPGSSSSSSSRSQSAAVTPSSTTSSTRATPAPSAPAAASATSPSPAPSSGNGASTAASPTQPIQLSDLQSILATMNVPAGPAGGQQVDLASVLTPEIMAPILANADVQERLLPYLPSGESLPQTADEIQNTLTSPQFQQALGMFSAALASGQLGPLMCQFGLPAEAVEAANKGDVEAFAKAMQNNAKPEQKEGDTKDKKDEEEDMSLD
+>DECOY_sp|Q16186|ADRM1_HUMAN Proteasomal ubiquitin receptor ADRM1 OS=Homo sapiens OX=9606 GN=ADRM1 PE=1 SV=2
+DLSMDEEEDKKDKTDGEKQEPKANNQMAKAFAEVDGKNAAEVAEAPLGFQCMLPGLQGSALAASFMGLAQQFQPSTLTNQIEDATQPLSEGSPLYPLLREQVDANALIPAMIEPTLVSALDVQQGGAPGAPVNMTALISQLDSLQIPQTPSAATSAGNGSSPAPSPSTASAAAPASPAPTARTSSTTSSPTVAASQSRSSSSSSSGPPGSSGLLSALGPGTLAGLGGLGGLGAPGILQMLQSHSMNGLLSQLGGEGGLASLEHGSSGSAGLAGPMPPNNLYENVKRCHEEDQDTKPEQMWFFLRKSGAKFKLVYVRGSPCQPVRKFECDDPFIILDDEVNGSTRDKWCFHILSDDTQQIYVLGKRKDPTVTTGKLSMKGARFEVLYKNSAGRSGPVLSPFLAGSTTM
+>sp|Q96IZ2|ADTRP_HUMAN Androgen-dependent TFPI-regulating protein OS=Homo sapiens OX=9606 GN=ADTRP PE=2 SV=1
+MTKTSTCIYHFLVLSWYTFLNYYISQEGKDEVKPKILANGARWKYMTLLNLLLQTIFYGVTCLDDVLKRTKGGKDIKFLTAFRDLLFTTLAFPVSTFVFLAFWILFLYNRDLIYPKVLDTVIPVWLNHAMHTFIFPITLAEVVLRPHSYPSKKTGLTLLAAASIAYISRILWLYFETGTWVYPVFAKLSLLGLAAFFSLSYVFIASIYLLGEKLNHWKWGDMRQPRKKRK
+>DECOY_sp|Q96IZ2|ADTRP_HUMAN Androgen-dependent TFPI-regulating protein OS=Homo sapiens OX=9606 GN=ADTRP PE=2 SV=1
+KRKKRPQRMDGWKWHNLKEGLLYISAIFVYSLSFFAALGLLSLKAFVPYVWTGTEFYLWLIRSIYAISAAALLTLGTKKSPYSHPRLVVEALTIPFIFTHMAHNLWVPIVTDLVKPYILDRNYLFLIWFALFVFTSVPFALTTFLLDRFATLFKIDKGGKTRKLVDDLCTVGYFITQLLLNLLTMYKWRAGNALIKPKVEDKGEQSIYYNLFTYWSLVLFHYICTSTKTM
+>sp|Q6ZN18|AEBP2_HUMAN Zinc finger protein AEBP2 OS=Homo sapiens OX=9606 GN=AEBP2 PE=1 SV=2
+MAAAITDMADLEELSRLSPLPPGSPGSAARGRAEPPEEEEEEEEEEEEAEAEAVAALLLNGGSGGGGGGGGGGVGGGEAETMSEPSPESASQAGEDEDEEEDDEEEEDESSSSGGGEEESSAESLVGSSGGSSSDETRSLSPGAASSSSGDGDGKEGLEEPKGPRGSQGGGGGGSSSSSVVSSGGDEGYGTGGGGSSATSGGRRGSLEMSSDGEPLSRMDSEDSISSTIMDVDSTISSGRSTPAMMNGQGSTTSSSKNIAYNCCWDQCQACFNSSPDLADHIRSIHVDGQRGGVFVCLWKGCKVYNTPSTSQSWLQRHMLTHSGDKPFKCVVGGCNASFASQGGLARHVPTHFSQQNSSKVSSQPKAKEESPSKAGMNKRRKLKNKRRRSLPRPHDFFDAQTLDAIRHRAICFNLSAHIESLGKGHSVVFHSTVIAKRKEDSGKIKLLLHWMPEDILPDVWVNESERHQLKTKVVHLSKLPKDTALLLDPNIYRTMPQKRLKRTLIRKVFNLYLSKQ
+>DECOY_sp|Q6ZN18|AEBP2_HUMAN Zinc finger protein AEBP2 OS=Homo sapiens OX=9606 GN=AEBP2 PE=1 SV=2
+QKSLYLNFVKRILTRKLRKQPMTRYINPDLLLATDKPLKSLHVVKTKLQHRESENVWVDPLIDEPMWHLLLKIKGSDEKRKAIVTSHFVVSHGKGLSEIHASLNFCIARHRIADLTQADFFDHPRPLSRRRKNKLKRRKNMGAKSPSEEKAKPQSSVKSSNQQSFHTPVHRALGGQSAFSANCGGVVCKFPKDGSHTLMHRQLWSQSTSPTNYVKCGKWLCVFVGGRQGDVHISRIHDALDPSSNFCAQCQDWCCNYAINKSSSTTSGQGNMMAPTSRGSSITSDVDMITSSISDESDMRSLPEGDSSMELSGRRGGSTASSGGGGTGYGEDGGSSVVSSSSSGGGGGGQSGRPGKPEELGEKGDGDGSSSSAAGPSLSRTEDSSSGGSSGVLSEASSEEEGGGSSSSEDEEEEDDEEEDEDEGAQSASEPSPESMTEAEGGGVGGGGGGGGGGSGGNLLLAAVAEAEAEEEEEEEEEEEEPPEARGRAASGPSGPPLPSLRSLEELDAMDTIAAAM
+>sp|Q96SZ5|AEDO_HUMAN 2-aminoethanethiol dioxygenase OS=Homo sapiens OX=9606 GN=ADO PE=1 SV=2
+MPRDNMASLIQRIARQACLTFRGSGGGRGASDRDAASGPEAPMQPGFPENLSKLKSLLTQLRAEDLNIAPRKATLQPLPPNLPPVTYMHIYETDGFSLGVFLLKSGTSIPLHDHPGMHGMLKVLYGTVRISCMDKLDAGGGQRPRALPPEQQFEPPLQPREREAVRPGVLRSRAEYTEASGPCILTPHRDNLHQIDAVEGPAAFLDILAPPYDPDDGRDCHYYRVLEPVRPKEASSSACDLPREVWLLETPQADDFWCEGEPYPGPKVFP
+>DECOY_sp|Q96SZ5|AEDO_HUMAN 2-aminoethanethiol dioxygenase OS=Homo sapiens OX=9606 GN=ADO PE=1 SV=2
+PFVKPGPYPEGECWFDDAQPTELLWVERPLDCASSSAEKPRVPELVRYYHCDRGDDPDYPPALIDLFAAPGEVADIQHLNDRHPTLICPGSAETYEARSRLVGPRVAERERPQLPPEFQQEPPLARPRQGGGADLKDMCSIRVTGYLVKLMGHMGPHDHLPISTGSKLLFVGLSFGDTEYIHMYTVPPLNPPLPQLTAKRPAINLDEARLQTLLSKLKSLNEPFGPQMPAEPGSAADRDSAGRGGGSGRFTLCAQRAIRQILSAMNDRPM
+>sp|Q8TED9|AF1L1_HUMAN Actin filament-associated protein 1-like 1 OS=Homo sapiens OX=9606 GN=AFAP1L1 PE=1 SV=2
+MDRGQVLEQLLPELTGLLSLLDHEYLSDTTLEKKMAVASILQSLQPLPAKEVSYLYVNTADLHSGPSFVESLFEEFDCDLSDLRDMPEDDGEPSKGASPELAKSPRLRNAADLPPPLPNKPPPEDYYEEALPLGPGKSPEYISSHNGCSPSHSIVDGYYEDADSSYPATRVNGELKSSYNDSDAMSSSYESYDEEEEEGKSPQPRHQWPSEEASMHLVRECRICAFLLRKKRFGQWAKQLTVIREDQLLCYKSSKDRQPHLRLALDTCSIIYVPKDSRHKRHELRFTQGATEVLVLALQSREQAEEWLKVIREVSKPVGGAEGVEVPRSPVLLCKLDLDKRLSQEKQTSDSDSVGVGDNCSTLGRRETCDHGKGKKSSLAELKGSMSRAAGRKITRIIGFSKKKTLADDLQTSSTEEEVPCCGYLNVLVNQGWKERWCRLKCNTLYFHKDHMDLRTHVNAIALQGCEVAPGFGPRHPFAFRILRNRQEVAILEASCSEDMGRWLGLLLVEMGSRVTPEALHYDYVDVETLTSIVSAGRNSFLYARSCQNQWPEPRVYDDVPYEKMQDEEPERPTGAQVKRHASSCSEKSHRVDPQVKVKRHASSANQYKYGKNRAEEDARRYLVEKEKLEKEKETIRTELIALRQEKRELKEAIRSSPGAKLKALEEAVATLEAQCRAKEERRIDLELKLVAVKERLQQSLAGGPALGLSVSSKPKSGETANKPQNSVPEQPLPVNCVSELRKRSPSIVASNQGRVLQKAKEWEMKKT
+>DECOY_sp|Q8TED9|AF1L1_HUMAN Actin filament-associated protein 1-like 1 OS=Homo sapiens OX=9606 GN=AFAP1L1 PE=1 SV=2
+TKKMEWEKAKQLVRGQNSAVISPSRKRLESVCNVPLPQEPVSNQPKNATEGSKPKSSVSLGLAPGGALSQQLREKVAVLKLELDIRREEKARCQAELTAVAEELAKLKAGPSSRIAEKLERKEQRLAILETRITEKEKELKEKEVLYRRADEEARNKGYKYQNASSAHRKVKVQPDVRHSKESCSSAHRKVQAGTPREPEEDQMKEYPVDDYVRPEPWQNQCSRAYLFSNRGASVISTLTEVDVYDYHLAEPTVRSGMEVLLLGLWRGMDESCSAELIAVEQRNRLIRFAFPHRPGFGPAVECGQLAIANVHTRLDMHDKHFYLTNCKLRCWREKWGQNVLVNLYGCCPVEEETSSTQLDDALTKKKSFGIIRTIKRGAARSMSGKLEALSSKKGKGHDCTERRGLTSCNDGVGVSDSDSTQKEQSLRKDLDLKCLLVPSRPVEVGEAGGVPKSVERIVKLWEEAQERSQLALVLVETAGQTFRLEHRKHRSDKPVYIISCTDLALRLHPQRDKSSKYCLLQDERIVTLQKAWQGFRKKRLLFACIRCERVLHMSAEESPWQHRPQPSKGEEEEEDYSEYSSSMADSDNYSSKLEGNVRTAPYSSDADEYYGDVISHSPSCGNHSSIYEPSKGPGLPLAEEYYDEPPPKNPLPPPLDAANRLRPSKALEPSAGKSPEGDDEPMDRLDSLDCDFEEFLSEVFSPGSHLDATNVYLYSVEKAPLPQLSQLISAVAMKKELTTDSLYEHDLLSLLGTLEPLLQELVQGRDM
+>sp|Q8N556|AFAP1_HUMAN Actin filament-associated protein 1 OS=Homo sapiens OX=9606 GN=AFAP1 PE=1 SV=2
+MEELIVELRLFLELLDHEYLTSTVREKKAVITNILLRIQSSKGFDVKDHAQKQETANSLPAPPQMPLPEIPQPWLPPDSGPPPLPTSSLPEGYYEEAVPLSPGKAPEYITSNYDSDAMSSSYESYDEEEEDGKGKKTRHQWPSEEASMDLVKDAKICAFLLRKKRFGQWTKLLCVIKDTKLLCYKSSKDQQPQMELPLQGCNITYIPKDSKKKKHELKITQQGTDPLVLAVQSKEQAEQWLKVIKEAYSGCSGPVDSECPPPPSSPVHKAELEKKLSSERPSSDGEGVVENGITTCNGKEQVKRKKSSKSEAKGTVSKVTGKKITKIISLGKKKPSTDEQTSSAEEDVPTCGYLNVLSNSRWRERWCRVKDNKLIFHKDRTDLKTHIVSIPLRGCEVIPGLDSKHPLTFRLLRNGQEVAVLEASSSEDMGRWIGILLAETGSSTDPEALHYDYIDVEMSASVIQTAKQTFCFMNRRVISANPYLGGTSNGYAHPSGTALHYDDVPCINGSLKGKKPPVASNGVTGKGKTLSSQPKKADPAAVVKRTGSNAAQYKYGKNRVEADAKRLQTKEEELLKRKEALRNRLAQLRKERKDLRAAIEVNAGRKPQAILEEKLKQLEEECRQKEAERVSLELELTEVKESLKKALAGGVTLGLAIEPKSGTSSPQSPVFRHRTLENSPISSCDTSDTEGPVPVNSAAVLKKSQAAPGSSPCRGHVLRKAKEWELKNGT
+>DECOY_sp|Q8N556|AFAP1_HUMAN Actin filament-associated protein 1 OS=Homo sapiens OX=9606 GN=AFAP1 PE=1 SV=2
+TGNKLEWEKAKRLVHGRCPSSGPAAQSKKLVAASNVPVPGETDSTDCSSIPSNELTRHRFVPSQPSSTGSKPEIALGLTVGGALAKKLSEKVETLELELSVREAEKQRCEEELQKLKEELIAQPKRGANVEIAARLDKREKRLQALRNRLAEKRKLLEEEKTQLRKADAEVRNKGYKYQAANSGTRKVVAAPDAKKPQSSLTKGKGTVGNSAVPPKKGKLSGNICPVDDYHLATGSPHAYGNSTGGLYPNASIVRRNMFCFTQKATQIVSASMEVDIYDYHLAEPDTSSGTEALLIGIWRGMDESSSAELVAVEQGNRLLRFTLPHKSDLGPIVECGRLPISVIHTKLDTRDKHFILKNDKVRCWRERWRSNSLVNLYGCTPVDEEASSTQEDTSPKKKGLSIIKTIKKGTVKSVTGKAESKSSKKRKVQEKGNCTTIGNEVVGEGDSSPRESSLKKELEAKHVPSSPPPPCESDVPGSCGSYAEKIVKLWQEAQEKSQVALVLPDTGQQTIKLEHKKKKSDKPIYTINCGQLPLEMQPQQDKSSKYCLLKTDKIVCLLKTWQGFRKKRLLFACIKADKVLDMSAEESPWQHRTKKGKGDEEEEDYSEYSSSMADSDYNSTIYEPAKGPSLPVAEEYYGEPLSSTPLPPPGSDPPLWPQPIEPLPMQPPAPLSNATEQKQAHDKVDFGKSSQIRLLINTIVAKKERVTSTLYEHDLLELFLRLEVILEEM
+>sp|Q6ULP2|AFTIN_HUMAN Aftiphilin OS=Homo sapiens OX=9606 GN=AFTPH PE=1 SV=3
+MEPDIIRMYSSSPPPLDNGAEDDDDDEFGEFGGFSEVSPSGVGFVDFDTPDYTRPKEEFVPSNHFMPIHEFSENVDSLTSFKSIKNGNDKDITAELSAPVKGQSDVLLSTTSKEIISSEMLATSIDGMERPGNLNKVVEQRQNVGTLESFSPGDFRTNMNVVHQNKQLESCNGEKPPCLEILTNGFAVLETVNPQGTDDLDNVADSKGRKPLSTHSTEYNLDSVPSPAEEFADFATFSKKERIQLEEIECAVLNDREALTIRENNKINRVNELNSVKEVALGRSLDNKGDTDGEDQVCVSEISIVTNRGFSVEKQGLPTLQQDEFLQSGVQSKAWSLVDSADNSEAIRREQCKTEEKLDLLTSKCAHLCMDSVKTSDDEVGSPKEESRKFTNFQSPNIDPTEENDLDDSLSVKNGDSSNDFVTCNDINEDDFGDFGDFGSASGSTPPFVTGTQDSMSDATFEESSEHFPHFSEPGDDFGEFGDINAVSCQEETILTKSDLKQTSDNLSEECQLARKSSGTGTEPVAKLKNGQEGEIGHFDSVPNIQDDCNGFQDSDDFADFSSAGPSQVVDWNAFEDEQKDSCSWAAFGDQQATESHHRKEAWQSHRTDENIDTPGTPKTHSVPSATSKGAVASGHLQESATSVQTALLNRLERIFEACFPSILVPDAEEEVTSLKHLLETSTLPIKTREALPESGELLDVWTELQDIHDAHGLRYQWGGSHSNKKLLSSLGIDTRNILFTGNKKQPVIVPMYAAGLGMLEPTKEPLKPLSAAEKIASIGQTATMSPDMNTCTSDQFQESLPPVQFDWSSSGLTNPLDASGGSTLLNLDFFGPVDDSSSSSSTTIPGVDPELYELTTSKLEISTSSLKVTDAFARLMSTVEKTSTSTRKPKREEHLSEEAIKVIAGLPDLTFMHAKVLMFPATLTPSTSSQEKADG
+>DECOY_sp|Q6ULP2|AFTIN_HUMAN Aftiphilin OS=Homo sapiens OX=9606 GN=AFTPH PE=1 SV=3
+GDAKEQSSTSPTLTAPFMLVKAHMFTLDPLGAIVKIAEESLHEERKPKRTSTSTKEVTSMLRAFADTVKLSSTSIELKSTTLEYLEPDVGPITTSSSSSSDDVPGFFDLNLLTSGGSADLPNTLGSSSWDFQVPPLSEQFQDSTCTNMDPSMTATQGISAIKEAASLPKLPEKTPELMGLGAAYMPVIVPQKKNGTFLINRTDIGLSSLLKKNSHSGGWQYRLGHADHIDQLETWVDLLEGSEPLAERTKIPLTSTELLHKLSTVEEEADPVLISPFCAEFIRELRNLLATQVSTASEQLHGSAVAGKSTASPVSHTKPTGPTDINEDTRHSQWAEKRHHSETAQQDGFAAWSCSDKQEDEFANWDVVQSPGASSFDAFDDSDQFGNCDDQINPVSDFHGIEGEQGNKLKAVPETGTGSSKRALQCEESLNDSTQKLDSKTLITEEQCSVANIDGFEGFDDGPESFHPFHESSEEFTADSMSDQTGTVFPPTSGSASGFDGFDGFDDENIDNCTVFDNSSDGNKVSLSDDLDNEETPDINPSQFNTFKRSEEKPSGVEDDSTKVSDMCLHACKSTLLDLKEETKCQERRIAESNDASDVLSWAKSQVGSQLFEDQQLTPLGQKEVSFGRNTVISIESVCVQDEGDTDGKNDLSRGLAVEKVSNLENVRNIKNNERITLAERDNLVACEIEELQIREKKSFTAFDAFEEAPSPVSDLNYETSHTSLPKRGKSDAVNDLDDTGQPNVTELVAFGNTLIELCPPKEGNCSELQKNQHVVNMNTRFDGPSFSELTGVNQRQEVVKNLNGPREMGDISTALMESSIIEKSTTSLLVDSQGKVPASLEATIDKDNGNKISKFSTLSDVNESFEHIPMFHNSPVFEEKPRTYDPTDFDVFGVGSPSVESFGGFEGFEDDDDDEAGNDLPPPSSSYMRIIDPEM
+>sp|P31941|ABC3A_HUMAN DNA dC->dU-editing enzyme APOBEC-3A OS=Homo sapiens OX=9606 GN=APOBEC3A PE=1 SV=3
+MEASPASGPRHLMDPHIFTSNFNNGIGRHKTYLCYEVERLDNGTSVKMDQHRGFLHNQAKNLLCGFYGRHAELRFLDLVPSLQLDPAQIYRVTWFISWSPCFSWGCAGEVRAFLQENTHVRLRIFAARIYDYDPLYKEALQMLRDAGAQVSIMTYDEFKHCWDTFVDHQGCPFQPWDGLDEHSQALSGRLRAILQNQGN
+>DECOY_sp|P31941|ABC3A_HUMAN DNA dC->dU-editing enzyme APOBEC-3A OS=Homo sapiens OX=9606 GN=APOBEC3A PE=1 SV=3
+NGQNQLIARLRGSLAQSHEDLGDWPQFPCGQHDVFTDWCHKFEDYTMISVQAGADRLMQLAEKYLPDYDYIRAAFIRLRVHTNEQLFARVEGACGWSFCPSWSIFWTVRYIQAPDLQLSPVLDLFRLEAHRGYFGCLLNKAQNHLFGRHQDMKVSTGNDLREVEYCLYTKHRGIGNNFNSTFIHPDMLHRPGSAPSAEM
+>sp|Q9NUT2|ABCB8_HUMAN ATP-binding cassette sub-family B member 8, mitochondrial OS=Homo sapiens OX=9606 GN=ABCB8 PE=1 SV=3
+MLVHLFRVGIRGGPFPGRLLPPLRFQTFSAVRNTWRNGKTGQLHKAEGEYSDGYRSSSLLRAVAHLRSQLWAHLPRAPLAPRWSPSAWCWVGGALLGPMVLSKHPHLCLVALCEAEEAPPASSTPHVVGSRFNWKLFWQFLHPHLLVLGVAVVLALGAALVNVQIPLLLGQLVEVVAKYTRDHVGSFMTESQNLSTHLLILYGVQGLLTFGYLVLLSHVGERMAVDMRRALFSSLLRQDITFFDANKTGQLVSRLTTDVQEFKSSFKLVISQGLRSCTQVAGCLVSLSMLSTRLTLLLMVATPALMGVGTLMGSGLRKLSRQCQEQIARAMGVADEALGNVRTVRAFAMEQREEERYGAELEACRCRAEELGRGIALFQGLSNIAFNCMVLGTLFIGGSLVAGQQLTGGDLMSFLVASQTVQRSMANLSVLFGQVVRGLSAGARVFEYMALNPCIPLSGGCCVPKEQLRGSVTFQNVCFSYPCRPGFEVLKDFTLTLPPGKIVALVGQSGGGKTTVASLLERFYDPTAGVVMLDGRDLRTLDPSWLRGQVVGFISQEPVLFGTTIMENIRFGKLEASDEEVYTAAREANAHEFITSFPEGYNTVVGERGTTLSGGQKQRLAIARALIKQPTVLILDEATSALDAESERVVQEALDRASAGRTVLVIAHRLSTVRGAHCIVVMADGRVWEAGTHEELLKKGGLYAELIRRQALDAPRTAAPPPKKPEGPRSHQHKS
+>DECOY_sp|Q9NUT2|ABCB8_HUMAN ATP-binding cassette sub-family B member 8, mitochondrial OS=Homo sapiens OX=9606 GN=ABCB8 PE=1 SV=3
+SKHQHSRPGEPKKPPPAATRPADLAQRRILEAYLGGKKLLEEHTGAEWVRGDAMVVICHAGRVTSLRHAIVLVTRGASARDLAEQVVRESEADLASTAEDLILVTPQKILARAIALRQKQGGSLTTGREGVVTNYGEPFSTIFEHANAERAATYVEEDSAELKGFRINEMITTGFLVPEQSIFGVVQGRLWSPDLTRLDRGDLMVVGATPDYFRELLSAVTTKGGGSQGVLAVIKGPPLTLTFDKLVEFGPRCPYSFCVNQFTVSGRLQEKPVCCGGSLPICPNLAMYEFVRAGASLGRVVQGFLVSLNAMSRQVTQSAVLFSMLDGGTLQQGAVLSGGIFLTGLVMCNFAINSLGQFLAIGRGLEEARCRCAELEAGYREEERQEMAFARVTRVNGLAEDAVGMARAIQEQCQRSLKRLGSGMLTGVGMLAPTAVMLLLTLRTSLMSLSVLCGAVQTCSRLGQSIVLKFSSKFEQVDTTLRSVLQGTKNADFFTIDQRLLSSFLARRMDVAMREGVHSLLVLYGFTLLGQVGYLILLHTSLNQSETMFSGVHDRTYKAVVEVLQGLLLPIQVNVLAAGLALVVAVGLVLLHPHLFQWFLKWNFRSGVVHPTSSAPPAEEAECLAVLCLHPHKSLVMPGLLAGGVWCWASPSWRPALPARPLHAWLQSRLHAVARLLSSSRYGDSYEGEAKHLQGTKGNRWTNRVASFTQFRLPPLLRGPFPGGRIGVRFLHVLM
+>sp|Q8WW27|ABEC4_HUMAN Putative C->U-editing enzyme APOBEC-4 OS=Homo sapiens OX=9606 GN=APOBEC4 PE=2 SV=1
+MEPIYEEYLANHGTIVKPYYWLSFSLDCSNCPYHIRTGEEARVSLTEFCQIFGFPYGTTFPQTKHLTFYELKTSSGSLVQKGHASSCTGNYIHPESMLFEMNGYLDSAIYNNDSIRHIILYSNNSPCNEANHCCISKMYNFLITYPGITLSIYFSQLYHTEMDFPASAWNREALRSLASLWPRVVLSPISGGIWHSVLHSFISGVSGSHVFQPILTGRALADRHNAYEINAITGVKPYFTDVLLQTKRNPNTKAQEALESYPLNNAFPGQFFQMPSGQLQPNLPPDLRAPVVFVLVPLRDLPPMHMGQNPNKPRNIVRHLNMPQMSFQETKDLGRLPTGRSVEIVEITEQFASSKEADEKKKKKGKK
+>DECOY_sp|Q8WW27|ABEC4_HUMAN Putative C->U-editing enzyme APOBEC-4 OS=Homo sapiens OX=9606 GN=APOBEC4 PE=2 SV=1
+KKGKKKKKEDAEKSSAFQETIEVIEVSRGTPLRGLDKTEQFSMQPMNLHRVINRPKNPNQGMHMPPLDRLPVLVFVVPARLDPPLNPQLQGSPMQFFQGPFANNLPYSELAEQAKTNPNRKTQLLVDTFYPKVGTIANIEYANHRDALARGTLIPQFVHSGSVGSIFSHLVSHWIGGSIPSLVVRPWLSALSRLAERNWASAPFDMETHYLQSFYISLTIGPYTILFNYMKSICCHNAENCPSNNSYLIIHRISDNNYIASDLYGNMEFLMSEPHIYNGTCSSAHGKQVLSGSSTKLEYFTLHKTQPFTTGYPFGFIQCFETLSVRAEEGTRIHYPCNSCDLSFSLWYYPKVITGHNALYEEYIPEM
+>sp|Q8WU67|ABHD3_HUMAN Phospholipase ABHD3 OS=Homo sapiens OX=9606 GN=ABHD3 PE=1 SV=2
+MQRLAMDLRMLSRELSLYLEHQVRVGFFGSGVGLSLILGFSVAYAFYYLSSIAKKPQLVTGGESFSRFLQDHCPVVTETYYPTVWCWEGRGQTLLRPFITSKPPVQYRNELIKTADGGQISLDWFDNDNSTCYMDASTRPTILLLPGLTGTSKESYILHMIHLSEELGYRCVVFNNRGVAGENLLTPRTYCCANTEDLETVIHHVHSLYPSAPFLAAGVSMGGMLLLNYLGKIGSKTPLMAAATFSVGWNTFACSESLEKPLNWLLFNYYLTTCLQSSVNKHRHMFVKQVDMDHVMKAKSIREFDKRFTSVMFGYQTIDDYYTDASPSPRLKSVGIPVLCLNSVDDVFSPSHAIPIETAKQNPNVALVLTSYGGHIGFLEGIWPRQSTYMDRVFKQFVQAMVEHGHELS
+>DECOY_sp|Q8WU67|ABHD3_HUMAN Phospholipase ABHD3 OS=Homo sapiens OX=9606 GN=ABHD3 PE=1 SV=2
+SLEHGHEVMAQVFQKFVRDMYTSQRPWIGELFGIHGGYSTLVLAVNPNQKATEIPIAHSPSFVDDVSNLCLVPIGVSKLRPSPSADTYYDDITQYGFMVSTFRKDFERISKAKMVHDMDVQKVFMHRHKNVSSQLCTTLYYNFLLWNLPKELSESCAFTNWGVSFTAAAMLPTKSGIKGLYNLLLMGGMSVGAALFPASPYLSHVHHIVTELDETNACCYTRPTLLNEGAVGRNNFVVCRYGLEESLHIMHLIYSEKSTGTLGPLLLITPRTSADMYCTSNDNDFWDLSIQGGDATKILENRYQVPPKSTIFPRLLTQGRGEWCWVTPYYTETVVPCHDQLFRSFSEGGTVLQPKKAISSLYYFAYAVSFGLILSLGVGSGFFGVRVQHELYLSLERSLMRLDMALRQM
+>sp|Q96I13|ABHD8_HUMAN Protein ABHD8 OS=Homo sapiens OX=9606 GN=ABHD8 PE=2 SV=1
+MLTGVTDGIFCCLLGTPPNAVGPLESVESSDGYTFVEVKPGRVLRVKHAGPAPAAAPPPPSSASSDAAQGDLSGLVRCQRRITVYRNGRLLVENLGRAPRADLLHGQNGSGEPPAALEVELADPAGSDGRLAPGSAGSGSGSGSGGRRRRARRPKRTIHIDCEKRITSCKGAQADVVLFFIHGVGGSLAIWKEQLDFFVRLGYEVVAPDLAGHGASSAPQVAAAYTFYALAEDMRAIFKRYAKKRNVLIGHSYGVSFCTFLAHEYPDLVHKVIMINGGGPTALEPSFCSIFNMPTCVLHCLSPCLAWSFLKAGFARQGAKEKQLLKEGNAFNVSSFVLRAMMSGQYWPEGDEVYHAELTVPVLLVHGMHDKFVPVEEDQRMAEILLLAFLKLIDEGSHMVMLECPETVNTLLHEFLLWEPEPSPKALPEPLPAPPEDKK
+>DECOY_sp|Q96I13|ABHD8_HUMAN Protein ABHD8 OS=Homo sapiens OX=9606 GN=ABHD8 PE=2 SV=1
+KKDEPPAPLPEPLAKPSPEPEWLLFEHLLTNVTEPCELMVMHSGEDILKLFALLLIEAMRQDEEVPVFKDHMGHVLLVPVTLEAHYVEDGEPWYQGSMMARLVFSSVNFANGEKLLQKEKAGQRAFGAKLFSWALCPSLCHLVCTPMNFISCFSPELATPGGGNIMIVKHVLDPYEHALFTCFSVGYSHGILVNRKKAYRKFIARMDEALAYFTYAAAVQPASSAGHGALDPAVVEYGLRVFFDLQEKWIALSGGVGHIFFLVVDAQAGKCSTIRKECDIHITRKPRRARRRRGGSGSGSGSGASGPALRGDSGAPDALEVELAAPPEGSGNQGHLLDARPARGLNEVLLRGNRYVTIRRQCRVLGSLDGQAADSSASSPPPPAAAPAPGAHKVRLVRGPKVEVFTYGDSSEVSELPGVANPPTGLLCCFIGDTVGTLM
+>sp|Q9NYB9|ABI2_HUMAN Abl interactor 2 OS=Homo sapiens OX=9606 GN=ABI2 PE=1 SV=1
+MAELQMLLEEEIPGGRRALFDSYTNLERVADYCENNYIQSADKQRALEETKAYTTQSLASVAYLINTLANNVLQMLDIQASQLRRMESSINHISQTVDIHKEKVARREIGILTTNKNTSRTHKIIAPANLERPVRYIRKPIDYTILDDIGHGVKWLLRFKVSTQNMKMGGLPRTTPPTQKPPSPPMSGKGTLGRHSPYRTLEPVRPPVVPNDYVPSPTRNMAPSQQSPVRTASVNQRNRTYSSSGSSGGSHPSSRSSSRENSGSGSVGVPIAVPTPSPPSVFPAPAGSAGTPPLPATSASAPAPLVPATVPSSTAPNAAAGGAPNLADGFTSPTPPVVSSTPPTGHPVQFYSMNRPASRHTPPTIGGSLPYRRPPSITSQTSLQNQMNGGPFYSQNPVSDTPPPPPPVEEPVFDESPPPPPPPEDYEEEEAAVVEYSDPYAEEDPPWAPRSYLEKVVAIYDYTKDKEDELSFQEGAIIYVIKKNDDGWYEGVMNGVTGLFPGNYVESIMHYSE
+>DECOY_sp|Q9NYB9|ABI2_HUMAN Abl interactor 2 OS=Homo sapiens OX=9606 GN=ABI2 PE=1 SV=1
+ESYHMISEVYNGPFLGTVGNMVGEYWGDDNKKIVYIIAGEQFSLEDEKDKTYDYIAVVKELYSRPAWPPDEEAYPDSYEVVAAEEEEYDEPPPPPPPSEDFVPEEVPPPPPPTDSVPNQSYFPGGNMQNQLSTQSTISPPRRYPLSGGITPPTHRSAPRNMSYFQVPHGTPPTSSVVPPTPSTFGDALNPAGGAAANPATSSPVTAPVLPAPASASTAPLPPTGASGAPAPFVSPPSPTPVAIPVGVSGSGSNERSSSRSSPHSGGSSGSSSYTRNRQNVSATRVPSQQSPAMNRTPSPVYDNPVVPPRVPELTRYPSHRGLTGKGSMPPSPPKQTPPTTRPLGGMKMNQTSVKFRLLWKVGHGIDDLITYDIPKRIYRVPRELNAPAIIKHTRSTNKNTTLIGIERRAVKEKHIDVTQSIHNISSEMRRLQSAQIDLMQLVNNALTNILYAVSALSQTTYAKTEELARQKDASQIYNNECYDAVRELNTYSDFLARRGGPIEEELLMQLEAM
+>sp|Q709F0|ACD11_HUMAN Acyl-CoA dehydrogenase family member 11 OS=Homo sapiens OX=9606 GN=ACAD11 PE=1 SV=2
+MKPGATGESDLAEVLPQHKFDSKSLEAYLNQHLSGFGAEREATLTIAQYRAGKSNPTFYLQKGFQTYVLRKKPPGSLLPKAHQIDREFKVQKALFSIGFPVPKPILYCSDTSVIGTEFYVMEHVQGRIFRDLTIPGLSPAERSAIYVATVETLAQLRSLNIQSLQLEGYGIGAGYCKRQVSTWTKQYQAAAHQDIPAMQQLSEWLMKNLPDNDNEENLIHGDFRLDNIVFHPKECRVIAVLDWELSTIGHPLSDLAHFSLFYFWPRTVPMINQGSYSENSGIPSMEELISIYCRCRGINSILPNWNFFLALSYFKMAGIAQGVYSRYLLGNNSSEDSFLFANIVQPLAETGLQLSKRTFSTVLPQIDTTGQLFVQTRKGQEVLIKVKHFMKQHILPAEKEVTEFYVQNENSVDKWGKPLVIDKLKEMAKVEGLWNLFLPAVSGLSHVDYALIAEETGKCFFAPDVFNCQAPDTGNMEVLHLYGSEEQKKQWLEPLLQGNITSCFCMTEPDVASSDATNIECSIQRDEDSYVINGKKWWSSGAGNPKCKIAIVLGRTQNTSLSRHKQHSMILVPMNTPGVKIIRPLSVFGYTDNFHGGHFEIHFNQVRVPATNLILGEGRGFEISQGRLGPGRIHHCMRTVGLAERALQIMCERATQRIAFKKKLYAHEVVAHWIAESRIAIEKIRLLTLKAAHSMDTLGSAGAKKEIAMIKVAAPRAVSKIVDWAIQVCGGAGVSQDYPLANMYAITRVLRLADGPDEVHLSAIATMELRDQAKRLTAKI
+>DECOY_sp|Q709F0|ACD11_HUMAN Acyl-CoA dehydrogenase family member 11 OS=Homo sapiens OX=9606 GN=ACAD11 PE=1 SV=2
+IKATLRKAQDRLEMTAIASLHVEDPGDALRLVRTIAYMNALPYDQSVGAGGCVQIAWDVIKSVARPAAVKIMAIEKKAGASGLTDMSHAAKLTLLRIKEIAIRSEAIWHAVVEHAYLKKKFAIRQTARECMIQLAREALGVTRMCHHIRGPGLRGQSIEFGRGEGLILNTAPVRVQNFHIEFHGGHFNDTYGFVSLPRIIKVGPTNMPVLIMSHQKHRSLSTNQTRGLVIAIKCKPNGAGSSWWKKGNIVYSDEDRQISCEINTADSSAVDPETMCFCSTINGQLLPELWQKKQEESGYLHLVEMNGTDPAQCNFVDPAFFCKGTEEAILAYDVHSLGSVAPLFLNWLGEVKAMEKLKDIVLPKGWKDVSNENQVYFETVEKEAPLIHQKMFHKVKILVEQGKRTQVFLQGTTDIQPLVTSFTRKSLQLGTEALPQVINAFLFSDESSNNGLLYRSYVGQAIGAMKFYSLALFFNWNPLISNIGRCRCYISILEEMSPIGSNESYSGQNIMPVTRPWFYFLSFHALDSLPHGITSLEWDLVAIVRCEKPHFVINDLRFDGHILNEENDNDPLNKMLWESLQQMAPIDQHAAAQYQKTWTSVQRKCYGAGIGYGELQLSQINLSRLQALTEVTAVYIASREAPSLGPITLDRFIRGQVHEMVYFETGIVSTDSCYLIPKPVPFGISFLAKQVKFERDIQHAKPLLSGPPKKRLVYTQFGKQLYFTPNSKGARYQAITLTAEREAGFGSLHQNLYAELSKSDFKHQPLVEALDSEGTAGPKM
+>sp|Q96AP0|ACD_HUMAN Adrenocortical dysplasia protein homolog OS=Homo sapiens OX=9606 GN=ACD PE=1 SV=3
+MPGRCQSDAAMRVNGPASRAPAGWTSGSLHTGPRAGRPRAQARGVRGRGLLLRPRPAKELPLPRKGGAWAPAGNPGPLHPLGVAVGMAGSGRLVLRPWIRELILGSETPSSPRAGQLLEVLQDAEAAVAGPSHAPDTSDVGATLLVSDGTHSVRCLVTREALDTSDWEEKEFGFRGTEGRLLLLQDCGVHVQVAEGGAPAEFYLQVDRFSLLPTEQPRLRVPGCNQDLDVQKKLYDCLEEHLSESTSSNAGLSLSQLLDEMREDQEHQGALVCLAESCLTLEGPCTAPPVTHWAASRCKATGEAVYTVPSSMLCISENDQLILSSLGPCQRTQGPELPPPDPALQDLSLTLIASPPSSPSSSGTPALPGHMSSEESGTSISLLPALSLAAPDPGQRSSSQPSPAICSAPATLTPRSPHASRTPSSPLQSCTPSLSPRSHVPSPHQALVTRPQKPSLEFKEFVGLPCKNRPPFPRTGATRGAQEPCSVWEPPKRHRDGSAFQYEYEPPCTSLCARVQAVRLPPQLMAWALHFLMDAQPGSEPTPM
+>DECOY_sp|Q96AP0|ACD_HUMAN Adrenocortical dysplasia protein homolog OS=Homo sapiens OX=9606 GN=ACD PE=1 SV=3
+MPTPESGPQADMLFHLAWAMLQPPLRVAQVRACLSTCPPEYEYQFASGDRHRKPPEWVSCPEQAGRTAGTRPFPPRNKCPLGVFEKFELSPKQPRTVLAQHPSPVHSRPSLSPTCSQLPSSPTRSAHPSRPTLTAPASCIAPSPQSSSRQGPDPAALSLAPLLSISTGSEESSMHGPLAPTGSSSPSSPPSAILTLSLDQLAPDPPPLEPGQTRQCPGLSSLILQDNESICLMSSPVTYVAEGTAKCRSAAWHTVPPATCPGELTLCSEALCVLAGQHEQDERMEDLLQSLSLGANSSTSESLHEELCDYLKKQVDLDQNCGPVRLRPQETPLLSFRDVQLYFEAPAGGEAVQVHVGCDQLLLLRGETGRFGFEKEEWDSTDLAERTVLCRVSHTGDSVLLTAGVDSTDPAHSPGAVAAEADQLVELLQGARPSSPTESGLILERIWPRLVLRGSGAMGVAVGLPHLPGPNGAPAWAGGKRPLPLEKAPRPRLLLGRGRVGRAQARPRGARPGTHLSGSTWGAPARSAPGNVRMAADSQCRGPM
+>sp|Q9BYF1|ACE2_HUMAN Angiotensin-converting enzyme 2 OS=Homo sapiens OX=9606 GN=ACE2 PE=1 SV=2
+MSSSSWLLLSLVAVTAAQSTIEEQAKTFLDKFNHEAEDLFYQSSLASWNYNTNITEENVQNMNNAGDKWSAFLKEQSTLAQMYPLQEIQNLTVKLQLQALQQNGSSVLSEDKSKRLNTILNTMSTIYSTGKVCNPDNPQECLLLEPGLNEIMANSLDYNERLWAWESWRSEVGKQLRPLYEEYVVLKNEMARANHYEDYGDYWRGDYEVNGVDGYDYSRGQLIEDVEHTFEEIKPLYEHLHAYVRAKLMNAYPSYISPIGCLPAHLLGDMWGRFWTNLYSLTVPFGQKPNIDVTDAMVDQAWDAQRIFKEAEKFFVSVGLPNMTQGFWENSMLTDPGNVQKAVCHPTAWDLGKGDFRILMCTKVTMDDFLTAHHEMGHIQYDMAYAAQPFLLRNGANEGFHEAVGEIMSLSAATPKHLKSIGLLSPDFQEDNETEINFLLKQALTIVGTLPFTYMLEKWRWMVFKGEIPKDQWMKKWWEMKREIVGVVEPVPHDETYCDPASLFHVSNDYSFIRYYTRTLYQFQFQEALCQAAKHEGPLHKCDISNSTEAGQKLFNMLRLGKSEPWTLALENVVGAKNMNVRPLLNYFEPLFTWLKDQNKNSFVGWSTDWSPYADQSIKVRISLKSALGDKAYEWNDNEMYLFRSSVAYAMRQYFLKVKNQMILFGEEDVRVANLKPRISFNFFVTAPKNVSDIIPRTEVEKAIRMSRSRINDAFRLNDNSLEFLGIQPTLGPPNQPPVSIWLIVFGVVMGVIVVGIVILIFTGIRDRKKKNKARSGENPYASIDISKGENNPGFQNTDDVQTSF
+>DECOY_sp|Q9BYF1|ACE2_HUMAN Angiotensin-converting enzyme 2 OS=Homo sapiens OX=9606 GN=ACE2 PE=1 SV=2
+FSTQVDDTNQFGPNNEGKSIDISAYPNEGSRAKNKKKRDRIGTFILIVIGVVIVGMVVGFVILWISVPPQNPPGLTPQIGLFELSNDNLRFADNIRSRSMRIAKEVETRPIIDSVNKPATVFFNFSIRPKLNAVRVDEEGFLIMQNKVKLFYQRMAYAVSSRFLYMENDNWEYAKDGLASKLSIRVKISQDAYPSWDTSWGVFSNKNQDKLWTFLPEFYNLLPRVNMNKAGVVNELALTWPESKGLRLMNFLKQGAETSNSIDCKHLPGEHKAAQCLAEQFQFQYLTRTYYRIFSYDNSVHFLSAPDCYTEDHPVPEVVGVIERKMEWWKKMWQDKPIEGKFVMWRWKELMYTFPLTGVITLAQKLLFNIETENDEQFDPSLLGISKLHKPTAASLSMIEGVAEHFGENAGNRLLFPQAAYAMDYQIHGMEHHATLFDDMTVKTCMLIRFDGKGLDWATPHCVAKQVNGPDTLMSNEWFGQTMNPLGVSVFFKEAEKFIRQADWAQDVMADTVDINPKQGFPVTLSYLNTWFRGWMDGLLHAPLCGIPSIYSPYANMLKARVYAHLHEYLPKIEEFTHEVDEILQGRSYDYGDVGNVEYDGRWYDGYDEYHNARAMENKLVVYEEYLPRLQKGVESRWSEWAWLRENYDLSNAMIENLGPELLLCEQPNDPNCVKGTSYITSMTNLITNLRKSKDESLVSSGNQQLAQLQLKVTLNQIEQLPYMQALTSQEKLFASWKDGANNMNQVNEETINTNYNWSALSSQYFLDEAEHNFKDLFTKAQEEITSQAATVAVLSLLLWSSSSM
+>sp|Q15822|ACHA2_HUMAN Neuronal acetylcholine receptor subunit alpha-2 OS=Homo sapiens OX=9606 GN=CHRNA2 PE=1 SV=2
+MGPSCPVFLSFTKLSLWWLLLTPAGGEEAKRPPPRAPGDPLSSPSPTALPQGGSHTETEDRLFKHLFRGYNRWARPVPNTSDVVIVRFGLSIAQLIDVDEKNQMMTTNVWLKQEWSDYKLRWNPTDFGNITSLRVPSEMIWIPDIVLYNNADGEFAVTHMTKAHLFSTGTVHWVPPAIYKSSCSIDVTFFPFDQQNCKMKFGSWTYDKAKIDLEQMEQTVDLKDYWESGEWAIVNATGTYNSKKYDCCAEIYPDVTYAFVIRRLPLFYTINLIIPCLLISCLTVLVFYLPSDCGEKITLCISVLLSLTVFLLLITEIIPSTSLVIPLIGEYLLFTMIFVTLSIVITVFVLNVHHRSPSTHTMPHWVRGALLGCVPRWLLMNRPPPPVELCHPLRLKLSPSYHWLESNVDAEEREVVVEEEDRWACAGHVAPSVGTLCSHGHLHSGASGPKAEALLQEGELLLSPHMQKALEGVHYIADHLRSEDADSSVKEDWKYVAMVIDRIFLWLFIIVCFLGTIGLFLPPFLAGMI
+>DECOY_sp|Q15822|ACHA2_HUMAN Neuronal acetylcholine receptor subunit alpha-2 OS=Homo sapiens OX=9606 GN=CHRNA2 PE=1 SV=2
+IMGALFPPLFLGITGLFCVIIFLWLFIRDIVMAVYKWDEKVSSDADESRLHDAIYHVGELAKQMHPSLLLEGEQLLAEAKPGSAGSHLHGHSCLTGVSPAVHGACAWRDEEEVVVEREEADVNSELWHYSPSLKLRLPHCLEVPPPPRNMLLWRPVCGLLAGRVWHPMTHTSPSRHHVNLVFVTIVISLTVFIMTFLLYEGILPIVLSTSPIIETILLLFVTLSLLVSICLTIKEGCDSPLYFVLVTLCSILLCPIILNITYFLPLRRIVFAYTVDPYIEACCDYKKSNYTGTANVIAWEGSEWYDKLDVTQEMQELDIKAKDYTWSGFKMKCNQQDFPFFTVDISCSSKYIAPPVWHVTGTSFLHAKTMHTVAFEGDANNYLVIDPIWIMESPVRLSTINGFDTPNWRLKYDSWEQKLWVNTTMMQNKEDVDILQAISLGFRVIVVDSTNPVPRAWRNYGRFLHKFLRDETETHSGGQPLATPSPSSLPDGPARPPPRKAEEGGAPTLLLWWLSLKTFSLFVPCSPGM
+>sp|P07510|ACHG_HUMAN Acetylcholine receptor subunit gamma OS=Homo sapiens OX=9606 GN=CHRNG PE=1 SV=2
+MHGGQGPLLLLLLLAVCLGAQGRNQEERLLADLMQNYDPNLRPAERDSDVVNVSLKLTLTNLISLNEREEALTTNVWIEMQWCDYRLRWDPRDYEGLWVLRVPSTMVWRPDIVLENNVDGVFEVALYCNVLVSPDGCIYWLPPAIFRSACSISVTYFPFDWQNCSLIFQSQTYSTNEIDLQLSQEDGQTIEWIFIDPEAFTENGEWAIQHRPAKMLLDPAAPAQEAGHQKVVFYLLIQRKPLFYVINIIAPCVLISSVAILIHFLPAKAGGQKCTVAINVLLAQTVFLFLVAKKVPETSQAVPLISKYLTFLLVVTILIVVNAVVVLNVSLRSPHTHSMARGVRKVFLRLLPQLLRMHVRPLAPAAVQDTQSRLQNGSSGWSITTGEEVALCLPRSELLFQQWQRQGLVAAALEKLEKGPELGLSQFCGSLKQAAPAIQACVEACNLIACARHQQSHFDNGNEEWFLVGRVLDRVCFLAMLSLFICGTAGIFLMAHYNRVPALPFPGDPRPYLPSPD
+>DECOY_sp|P07510|ACHG_HUMAN Acetylcholine receptor subunit gamma OS=Homo sapiens OX=9606 GN=CHRNG PE=1 SV=2
+DPSPLYPRPDGPFPLAPVRNYHAMLFIGATGCIFLSLMALFCVRDLVRGVLFWEENGNDFHSQQHRACAILNCAEVCAQIAPAAQKLSGCFQSLGLEPGKELKELAAAVLGQRQWQQFLLESRPLCLAVEEGTTISWGSSGNQLRSQTDQVAAPALPRVHMRLLQPLLRLFVKRVGRAMSHTHPSRLSVNLVVVANVVILITVVLLFTLYKSILPVAQSTEPVKKAVLFLFVTQALLVNIAVTCKQGGAKAPLFHILIAVSSILVCPAIINIVYFLPKRQILLYFVVKQHGAEQAPAAPDLLMKAPRHQIAWEGNETFAEPDIFIWEITQGDEQSLQLDIENTSYTQSQFILSCNQWDFPFYTVSISCASRFIAPPLWYICGDPSVLVNCYLAVEFVGDVNNELVIDPRWVMTSPVRLVWLGEYDRPDWRLRYDCWQMEIWVNTTLAEERENLSILNTLTLKLSVNVVDSDREAPRLNPDYNQMLDALLREEQNRGQAGLCVALLLLLLLPGQGGHM
+>sp|Q9UKV3|ACINU_HUMAN Apoptotic chromatin condensation inducer in the nucleus OS=Homo sapiens OX=9606 GN=ACIN1 PE=1 SV=2
+MWRRKHPRTSGGTRGVLSGNRGVEYGSGRGHLGTFEGRWRKLPKMPEAVGTDPSTSRKMAELEEVTLDGKPLQALRVTDLKAALEQRGLAKSGQKSALVKRLKGALMLENLQKHSTPHAAFQPNSQIGEEMSQNSFIKQYLEKQQELLRQRLEREAREAAELEEASAESEDEMIHPEGVASLLPPDFQSSLERPELELSRHSPRKSSSISEEKGDSDDEKPRKGERRSSRVRQARAAKLSEGSQPAEEEEDQETPSRNLRVRADRNLKTEEEEEEEEEEEEDDEEEEGDDEGQKSREAPILKEFKEEGEEIPRVKPEEMMDERPKTRSQEQEVLERGGRFTRSQEEARKSHLARQQQEKEMKTTSPLEEEEREIKSSQGLKEKSKSPSPPRLTEDRKKASLVALPEQTASEEETPPPLLTKEASSPPPHPQLHSEEEIEPMEGPAPAVLIQLSPPNTDADTRELLVSQHTVQLVGGLSPLSSPSDTKAESPAEKVPEESVLPLVQKSTLADYSAQKDLEPESDRSAQPLPLKIEELALAKGITEECLKQPSLEQKEGRRASHTLLPSHRLKQSADSSSSRSSSSSSSSSRSRSRSPDSSGSRSHSPLRSKQRDVAQARTHANPRGRPKMGSRSTSESRSRSRSRSRSASSNSRKSLSPGVSRDSSTSYTETKDPSSGQEVATPPVPQLQVCEPKERTSTSSSSVQARRLSQPESAEKHVTQRLQPERGSPKKCEAEEAEPPAATQPQTSETQTSHLPESERIHHTVEEKEEVTMDTSENRPENDVPEPPMPIADQVSNDDRPEGSVEDEEKKESSLPKSFKRKISVVSATKGVPAGNSDTEGGQPGRKRRWGASTATTQKKPSISITTESLKSLIPDIKPLAGQEAVVDLHADDSRISEDETERNGDDGTHDKGLKICRTVTQVVPAEGQENGQREEEEEEKEPEAEPPVPPQVSVEVALPPPAEHEVKKVTLGDTLTRRSISQQKSGVSITIDDPVRTAQVPSPPRGKISNIVHISNLVRPFTLGQLKELLGRTGTLVEEAFWIDKIKSHCFVTYSTVEEAVATRTALHGVKWPQSNPKFLCADYAEQDELDYHRGLLVDRPSETKTEEQGIPRPLHPPPPPPVQPPQHPRAEQREQERAVREQWAEREREMERRERTRSEREWDRDKVREGPRSRSRSRDRRRKERAKSKEKKSEKKEKAQEEPPAKLLDDLFRKTKAAPCIYWLPLTDSQIVQKEAERAERAKEREKRRKEQEEEEQKEREKEAERERNRQLEREKRREHSRERDRERERERERDRGDRDRDRERDRERGRERDRRDTKRHSRSRSRSTPVRDRGGRR
+>DECOY_sp|Q9UKV3|ACINU_HUMAN Apoptotic chromatin condensation inducer in the nucleus OS=Homo sapiens OX=9606 GN=ACIN1 PE=1 SV=2
+RRGGRDRVPTSRSRSRSHRKTDRRDRERGRERDRERDRDRDGRDRERERERERDRERSHERRKERELQRNREREAEKEREKQEEEEQEKRRKEREKAREAREAEKQVIQSDTLPLWYICPAAKTKRFLDDLLKAPPEEQAKEKKESKKEKSKAREKRRRDRSRSRSRPGERVKDRDWERESRTRERREMEREREAWQERVAREQERQEARPHQPPQVPPPPPPHLPRPIGQEETKTESPRDVLLGRHYDLEDQEAYDACLFKPNSQPWKVGHLATRTAVAEEVTSYTVFCHSKIKDIWFAEEVLTGTRGLLEKLQGLTFPRVLNSIHVINSIKGRPPSPVQATRVPDDITISVGSKQQSISRRTLTDGLTVKKVEHEAPPPLAVEVSVQPPVPPEAEPEKEEEEEERQGNEQGEAPVVQTVTRCIKLGKDHTGDDGNRETEDESIRSDDAHLDVVAEQGALPKIDPILSKLSETTISISPKKQTTATSAGWRRKRGPQGGETDSNGAPVGKTASVVSIKRKFSKPLSSEKKEEDEVSGEPRDDNSVQDAIPMPPEPVDNEPRNESTDMTVEEKEEVTHHIRESEPLHSTQTESTQPQTAAPPEAEEAECKKPSGREPQLRQTVHKEASEPQSLRRAQVSSSSTSTREKPECVQLQPVPPTAVEQGSSPDKTETYSTSSDRSVGPSLSKRSNSSASRSRSRSRSRSESTSRSGMKPRGRPNAHTRAQAVDRQKSRLPSHSRSGSSDPSRSRSRSSSSSSSSSRSSSSDASQKLRHSPLLTHSARRGEKQELSPQKLCEETIGKALALEEIKLPLPQASRDSEPELDKQASYDALTSKQVLPLVSEEPVKEAPSEAKTDSPSSLPSLGGVLQVTHQSVLLERTDADTNPPSLQILVAPAPGEMPEIEEESHLQPHPPPSSAEKTLLPPPTEEESATQEPLAVLSAKKRDETLRPPSPSKSKEKLGQSSKIEREEEELPSTTKMEKEQQQRALHSKRAEEQSRTFRGGRELVEQEQSRTKPREDMMEEPKVRPIEEGEEKFEKLIPAERSKQGEDDGEEEEDDEEEEEEEEEEEETKLNRDARVRLNRSPTEQDEEEEAPQSGESLKAARAQRVRSSRREGKRPKEDDSDGKEESISSSKRPSHRSLELEPRELSSQFDPPLLSAVGEPHIMEDESEASAEELEAAERAERELRQRLLEQQKELYQKIFSNQSMEEGIQSNPQFAAHPTSHKQLNELMLAGKLRKVLASKQGSKALGRQELAAKLDTVRLAQLPKGDLTVEELEAMKRSTSPDTGVAEPMKPLKRWRGEFTGLHGRGSGYEVGRNGSLVGRTGGSTRPHKRRWM
+>sp|Q5JWF8|ACL10_HUMAN Actin-like protein 10 OS=Homo sapiens OX=9606 GN=ACTL10 PE=2 SV=1
+MASTALLALCSTGAFSGLAVEAGAGVCHATPIYAGHSWHQATFRLNVAGSTLSRYLRDLLVAANPDLLQQALPRKAITHLKKRSCYVSLDFEGDLRDPARHHPASFSVGNGCCVCLSSERFRCPEPIFQPGLLGQAEQGLPALAFRALQKMPKTLRTRLADTVVLAGGSTLFPGFAERLDKELEAQCRRHGYAALRPHLVAKHGRGMAVWTGGSMVASLHSFQRRWITRAMYQECGSRLLYDVFN
+>DECOY_sp|Q5JWF8|ACL10_HUMAN Actin-like protein 10 OS=Homo sapiens OX=9606 GN=ACTL10 PE=2 SV=1
+NFVDYLLRSGCEQYMARTIWRRQFSHLSAVMSGGTWVAMGRGHKAVLHPRLAAYGHRRCQAELEKDLREAFGPFLTSGGALVVTDALRTRLTKPMKQLARFALAPLGQEAQGLLGPQFIPEPCRFRESSLCVCCGNGVSFSAPHHRAPDRLDGEFDLSVYCSRKKLHTIAKRPLAQQLLDPNAAVLLDRLYRSLTSGAVNLRFTAQHWSHGAYIPTAHCVGAGAEVALGSFAGTSCLALLATSAM
+>sp|Q9Y614|ACL7B_HUMAN Actin-like protein 7B OS=Homo sapiens OX=9606 GN=ACTL7B PE=2 SV=1
+MATRNSPMPLGTAQGDPGEAGTRPGPDASLRDTGAATQLKMKPRKVHKIKAVIIDLGSQYCKCGYAGEPRPTYFISSTVGKRCPEAADAGDTRKWTLVGHELLNTEAPLKLVNPLKHGIVVDWDCVQDIWEYIFRTAMKILPEEHAVLVSDPPLSPSSNREKYAELMFETFGIPAMHVTSQSLLSIYSYGKTSGLVVESGHGVSHVVPISEGDVLPGLTSRADYAGGDLTNYLMQLLNEAGHAFTDDHLHIIEHIKKKCCYAAFLPEEELGLVPEELRVDYELPDGKLITIGQERFRCSEMLFQPSLAGSTQPGLPELTAACLGRCQDTGFKEEMAANVLLCGGCTMLDGFPERFQRELSLLCPGDSPAVAAAPERKTSVWTGGSILASLQAFQQLWVSKEEFEERGSVAIYSKC
+>DECOY_sp|Q9Y614|ACL7B_HUMAN Actin-like protein 7B OS=Homo sapiens OX=9606 GN=ACTL7B PE=2 SV=1
+CKSYIAVSGREEFEEKSVWLQQFAQLSALISGGTWVSTKREPAAAVAPSDGPCLLSLERQFREPFGDLMTCGGCLLVNAAMEEKFGTDQCRGLCAATLEPLGPQTSGALSPQFLMESCRFREQGITILKGDPLEYDVRLEEPVLGLEEEPLFAAYCCKKKIHEIIHLHDDTFAHGAENLLQMLYNTLDGGAYDARSTLGPLVDGESIPVVHSVGHGSEVVLGSTKGYSYISLLSQSTVHMAPIGFTEFMLEAYKERNSSPSLPPDSVLVAHEEPLIKMATRFIYEWIDQVCDWDVVIGHKLPNVLKLPAETNLLEHGVLTWKRTDGADAAEPCRKGVTSSIFYTPRPEGAYGCKCYQSGLDIIVAKIKHVKRPKMKLQTAAGTDRLSADPGPRTGAEGPDGQATGLPMPSNRTAM
+>sp|Q6ZNF0|ACP7_HUMAN Acid phosphatase type 7 OS=Homo sapiens OX=9606 GN=ACP7 PE=2 SV=2
+MHPLPGYWSCYCLLLLFSLGVQGSLGAPSAAPEQVHLSYPGEPGSMTVTWTTWVPTRSEVQFGLQPSGPLPLRAQGTFVPFVDGGILRRKLYIHRVTLRKLLPGVQYVYRCGSAQGWSRRFRFRALKNGAHWSPRLAVFGDLGADNPKAVPRLRRDTQQGMYDAVLHVGDFAYNLDQDNARVGDRFMRLIEPVAASLPYMTCPGNHEERYNFSNYKARFSMPGDNEGLWYSWDLGPAHIISFSTEVYFFLHYGRHLVQRQFRWLESDLQKANKNRAARPWIITMGHRPMYCSNADLDDCTRHESKVRKGLQGKLYGLEDLFYKYGVDLQLWAHEHSYERLWPIYNYQVFNGSREMPYTNPRGPVHIITGSAGCEERLTPFAVFPRPWSAVRVKEYGYTRLHILNGTHIHIQQVSDDQDGKIVDDVWVVRPLFGRRMYL
+>DECOY_sp|Q6ZNF0|ACP7_HUMAN Acid phosphatase type 7 OS=Homo sapiens OX=9606 GN=ACP7 PE=2 SV=2
+LYMRRGFLPRVVWVDDVIKGDQDDSVQQIHIHTGNLIHLRTYGYEKVRVASWPRPFVAFPTLREECGASGTIIHVPGRPNTYPMERSGNFVQYNYIPWLREYSHEHAWLQLDVGYKYFLDELGYLKGQLGKRVKSEHRTCDDLDANSCYMPRHGMTIIWPRAARNKNAKQLDSELWRFQRQVLHRGYHLFFYVETSFSIIHAPGLDWSYWLGENDGPMSFRAKYNSFNYREEHNGPCTMYPLSAAVPEILRMFRDGVRANDQDLNYAFDGVHLVADYMGQQTDRRLRPVAKPNDAGLDGFVALRPSWHAGNKLARFRFRRSWGQASGCRYVYQVGPLLKRLTVRHIYLKRRLIGGDVFPVFTGQARLPLPGSPQLGFQVESRTPVWTTWTVTMSGPEGPYSLHVQEPAASPAGLSGQVGLSFLLLLCYCSWYGPLPHM
+>sp|P13798|ACPH_HUMAN Acylamino-acid-releasing enzyme OS=Homo sapiens OX=9606 GN=APEH PE=1 SV=4
+MERQVLLSEPEEAAALYRGLSRQPALSAACLGPEVTTQYGGQYRTVHTEWTQRDLERMENIRFCRQYLVFHDGDSVVFAGPAGNSVETRGELLSRESPSGTMKAVLRKAGGTGPGEEKQFLEVWEKNRKLKSFNLSALEKHGPVYEDDCFGCLSWSHSETHLLYVAEKKRPKAESFFQTKALDVSASDDEIARLKKPDQAIKGDQFVFYEDWGENMVSKSIPVLCVLDVESGNISVLEGVPENVSPGQAFWAPGDAGVVFVGWWHEPFRLGIRFCTNRRSALYYVDLIGGKCELLSDDSLAVSSPRLSPDQCRIVYLQYPSLIPHHQCSQLCLYDWYTKVTSVVVDVVPRQLGENFSGIYCSLLPLGCWSADSQRVVFDSAQRSRQDLFAVDTQVGTVTSLTAGGSGGSWKLLTIDQDLMVAQFSTPSLPPTLKVGFLPSAGKEQSVLWVSLEEAEPIPDIHWGIRVLQPPPEQENVQYAGLDFEAILLQPGSPPDKTQVPMVVMPHGGPHSSFVTAWMLFPAMLCKMGFAVLLVNYRGSTGFGQDSILSLPGNVGHQDVKDVQFAVEQVLQEEHFDASHVALMGGSHGGFISCHLIGQYPETYRACVARNPVINIASMLGSTDIPDWCVVEAGFPFSSDCLPDLSVWAEMLDKSPIRYIPQVKTPLLLMLGQEDRRVPFKQGMEYYRALKTRNVPVRLLLYPKSTHALSEVEVESDSFMNAVLWLRTHLGS
+>DECOY_sp|P13798|ACPH_HUMAN Acylamino-acid-releasing enzyme OS=Homo sapiens OX=9606 GN=APEH PE=1 SV=4
+SGLHTRLWLVANMFSDSEVEVESLAHTSKPYLLLRVPVNRTKLARYYEMGQKFPVRRDEQGLMLLLPTKVQPIYRIPSKDLMEAWVSLDPLCDSSFPFGAEVVCWDPIDTSGLMSAINIVPNRAVCARYTEPYQGILHCSIFGGHSGGMLAVHSADFHEEQLVQEVAFQVDKVDQHGVNGPLSLISDQGFGTSGRYNVLLVAFGMKCLMAPFLMWATVFSSHPGGHPMVVMPVQTKDPPSGPQLLIAEFDLGAYQVNEQEPPPQLVRIGWHIDPIPEAEELSVWLVSQEKGASPLFGVKLTPPLSPTSFQAVMLDQDITLLKWSGGSGGATLSTVTGVQTDVAFLDQRSRQASDFVVRQSDASWCGLPLLSCYIGSFNEGLQRPVVDVVVSTVKTYWDYLCLQSCQHHPILSPYQLYVIRCQDPSLRPSSVALSDDSLLECKGGILDVYYLASRRNTCFRIGLRFPEHWWGVFVVGADGPAWFAQGPSVNEPVGELVSINGSEVDLVCLVPISKSVMNEGWDEYFVFQDGKIAQDPKKLRAIEDDSASVDLAKTQFFSEAKPRKKEAVYLLHTESHSWSLCGFCDDEYVPGHKELASLNFSKLKRNKEWVELFQKEEGPGTGGAKRLVAKMTGSPSERSLLEGRTEVSNGAPGAFVVSDGDHFVLYQRCFRINEMRELDRQTWETHVTRYQGGYQTTVEPGLCAASLAPQRSLGRYLAAAEEPESLLVQREM
+>sp|Q9UKU0|ACSL6_HUMAN Long-chain-fatty-acid--CoA ligase 6 OS=Homo sapiens OX=9606 GN=ACSL6 PE=2 SV=4
+MQTQEILRILRLPELGDLGQFFRSLSATTLVSMGALAAILAYWFTHRPKALQPPCNLLMQSEEVEDSGGARRSVIGSGPQLLTHYYDDARTMYQVFRRGLSISGNGPCLGFRKPKQPYQWLSYQEVADRAEFLGSGLLQHNCKACTDQFIGVFAQNRPEWIIVELACYTYSMVVVPLYDTLGPGAIRYIINTADISTVIVDKPQKAVLLLEHVERKETPGLKLIILMDPFEEALKERGQKCGVVIKSMQAVEDCGQENHQAPVPPQPDDLSIVCFTSGTTGNPKGAMLTHGNVVADFSGFLKVTEKVIFPRQDDVLISFLPLAHMFERVIQSVVYCHGGRVGFFQGDIRLLSDDMKALCPTIFPVVPRLLNRMYDKIFSQANTPLKRWLLEFAAKRKQAEVRSGIIRNDSIWDELFFNKIQASLGGCVRMIVTGAAPASPTVLGFLRAALGCQVYEGYGQTECTAGCTFTTPGDWTSGHVGAPLPCNHIKLVDVEELNYWACKGEGEICVRGPNVFKGYLKDPDRTKEALDSDGWLHTGDIGKWLPAGTLKIIDRKKHIFKLAQGEYVAPEKIENIYIRSQPVAQIYVHGDSLKAFLVGIVVPDPEVMPSWAQKRGIEGTYADLCTNKDLKKAILEDMVRLGKESGLHSFEQVKAIHIHSDMFSVQNGLLTPTLKAKRPELREYFKKQIEELYSISM
+>DECOY_sp|Q9UKU0|ACSL6_HUMAN Long-chain-fatty-acid--CoA ligase 6 OS=Homo sapiens OX=9606 GN=ACSL6 PE=2 SV=4
+MSISYLEEIQKKFYERLEPRKAKLTPTLLGNQVSFMDSHIHIAKVQEFSHLGSEKGLRVMDELIAKKLDKNTCLDAYTGEIGRKQAWSPMVEPDPVVIGVLFAKLSDGHVYIQAVPQSRIYINEIKEPAVYEGQALKFIHKKRDIIKLTGAPLWKGIDGTHLWGDSDLAEKTRDPDKLYGKFVNPGRVCIEGEGKCAWYNLEEVDVLKIHNCPLPAGVHGSTWDGPTTFTCGATCETQGYGEYVQCGLAARLFGLVTPSAPAAGTVIMRVCGGLSAQIKNFFLEDWISDNRIIGSRVEAQKRKAAFELLWRKLPTNAQSFIKDYMRNLLRPVVPFITPCLAKMDDSLLRIDGQFFGVRGGHCYVVSQIVREFMHALPLFSILVDDQRPFIVKETVKLFGSFDAVVNGHTLMAGKPNGTTGSTFCVISLDDPQPPVPAQHNEQGCDEVAQMSKIVVGCKQGREKLAEEFPDMLIILKLGPTEKREVHELLLVAKQPKDVIVTSIDATNIIYRIAGPGLTDYLPVVVMSYTYCALEVIIWEPRNQAFVGIFQDTCAKCNHQLLGSGLFEARDAVEQYSLWQYPQKPKRFGLCPGNGSISLGRRFVQYMTRADDYYHTLLQPGSGIVSRRAGGSDEVEESQMLLNCPPQLAKPRHTFWYALIAALAGMSVLTTASLSRFFQGLDGLEPLRLIRLIEQTQM
+>sp|Q6P461|ACSM6_HUMAN Acyl-coenzyme A synthetase ACSM6, mitochondrial OS=Homo sapiens OX=9606 GN=ACSM6 PE=2 SV=3
+MLGRFQPFSLVRSFRLGFEACCYPNQKCATQTIRPPDSRCLVQAVSQNFNFAKDVLDQWSQLEKDGLRGPYPALWKVSAKGEEDKWSFERMTQLSKKAASILSDTCALSHGDRLMIILPPTPEAYWICLACVRLGITFVPGSPQLTAKKIRYQLRMSKAQCIVANEAMAPVVNSAVSDCPTLKTKLLVSDKSYDGWLDFKKLIQVAPPKQTYMRTKSQDPMAIFFTKGTTGAPKMVEYSQYGLGMGFSQASRRWMDLQPTDVLWSLGDAFGGSLSLSAVLGTWFQGACVFLCHMPTFCPETVLNVLSRFPITTLSANPEMYQELLQHKCFTSYRFKSLKQCVAAGGPISPGVIEDWKRITKLDIYEGYGQTETGLLCATSKTIKLKPSSLGKPLPPYIVQIVDENSNLLPPGEEGNIAIRIKLNQPASLYCPHMVSWEEYASARGHMLYLTGDRGIMDEDGYFWWSGRVDDVANALGQRL
+>DECOY_sp|Q6P461|ACSM6_HUMAN Acyl-coenzyme A synthetase ACSM6, mitochondrial OS=Homo sapiens OX=9606 GN=ACSM6 PE=2 SV=3
+LRQGLANAVDDVRGSWWFYGDEDMIGRDGTLYLMHGRASAYEEWSVMHPCYLSAPQNLKIRIAINGEEGPPLLNSNEDVIQVIYPPLPKGLSSPKLKITKSTACLLGTETQGYGEYIDLKTIRKWDEIVGPSIPGGAAVCQKLSKFRYSTFCKHQLLEQYMEPNASLTTIPFRSLVNLVTEPCFTPMHCLFVCAGQFWTGLVASLSLSGGFADGLSWLVDTPQLDMWRRSAQSFGMGLGYQSYEVMKPAGTTGKTFFIAMPDQSKTRMYTQKPPAVQILKKFDLWGDYSKDSVLLKTKLTPCDSVASNVVPAMAENAVICQAKSMRLQYRIKKATLQPSGPVFTIGLRVCALCIWYAEPTPPLIIMLRDGHSLACTDSLISAAKKSLQTMREFSWKDEEGKASVKWLAPYPGRLGDKELQSWQDLVDKAFNFNQSVAQVLCRSDPPRITQTACKQNPYCCAEFGLRFSRVLSFPQFRGLM
+>sp|Q562R1|ACTBL_HUMAN Beta-actin-like protein 2 OS=Homo sapiens OX=9606 GN=ACTBL2 PE=1 SV=2
+MTDNELSALVVDNGSGMCKAGFGGDDAPRAVFPSMIGRPRHQGVMVGMGQKDCYVGDEAQSKRGVLTLKYPIEHGVVTNWDDMEKIWYHTFYNELRVAPDEHPILLTEAPLNPKINREKMTQIMFEAFNTPAMYVAIQAVLSLYASGRTTGIVMDSGDGVTHIVPIYEGYALPHAILRLDLAGRDLTDYLMKILTERGYNFTTTAEREIVRDVKEKLCYVALDFEQEMVRAAASSSPERSYELPDGQVITIGNERFRCPEAIFQPSFLGIESSGIHETTFNSIMKCDVDIRKDLYANTVLSGGSTMYPGIADRMQKEIITLAPSTMKIKIIAPPERKYSVWIGGSILASLSTFQQMWISKQEYDEAGPPIVHRKCF
+>DECOY_sp|Q562R1|ACTBL_HUMAN Beta-actin-like protein 2 OS=Homo sapiens OX=9606 GN=ACTBL2 PE=1 SV=2
+FCKRHVIPPGAEDYEQKSIWMQQFTSLSALISGGIWVSYKREPPAIIKIKMTSPALTIIEKQMRDAIGPYMTSGGSLVTNAYLDKRIDVDCKMISNFTTEHIGSSEIGLFSPQFIAEPCRFRENGITIVQGDPLEYSREPSSSAAARVMEQEFDLAVYCLKEKVDRVIEREATTTFNYGRETLIKMLYDTLDRGALDLRLIAHPLAYGEYIPVIHTVGDGSDMVIGTTRGSAYLSLVAQIAVYMAPTNFAEFMIQTMKERNIKPNLPAETLLIPHEDPAVRLENYFTHYWIKEMDDWNTVVGHEIPYKLTLVGRKSQAEDGVYCDKQGMGVMVGQHRPRGIMSPFVARPADDGGFGAKCMGSGNDVVLASLENDTM
+>sp|P61163|ACTZ_HUMAN Alpha-centractin OS=Homo sapiens OX=9606 GN=ACTR1A PE=1 SV=1
+MESYDVIANQPVVIDNGSGVIKAGFAGDQIPKYCFPNYVGRPKHVRVMAGALEGDIFIGPKAEEHRGLLSIRYPMEHGIVKDWNDMERIWQYVYSKDQLQTFSEEHPVLLTEAPLNPRKNRERAAEVFFETFNVPALFISMQAVLSLYATGRTTGVVLDSGDGVTHAVPIYEGFAMPHSIMRIDIAGRDVSRFLRLYLRKEGYDFHSSSEFEIVKAIKERACYLSINPQKDETLETEKAQYYLPDGSTIEIGPSRFRAPELLFRPDLIGEESEGIHEVLVFAIQKSDMDLRRTLFSNIVLSGGSTLFKGFGDRLLSEVKKLAPKDVKIRISAPQERLYSTWIGGSILASLDTFKKMWVSKKEYEEDGARSIHRKTF
+>DECOY_sp|P61163|ACTZ_HUMAN Alpha-centractin OS=Homo sapiens OX=9606 GN=ACTR1A PE=1 SV=1
+FTKRHISRAGDEEYEKKSVWMKKFTDLSALISGGIWTSYLREQPASIRIKVDKPALKKVESLLRDGFGKFLTSGGSLVINSFLTRRLDMDSKQIAFVLVEHIGESEEGILDPRFLLEPARFRSPGIEITSGDPLYYQAKETELTEDKQPNISLYCAREKIAKVIEFESSSHFDYGEKRLYLRLFRSVDRGAIDIRMISHPMAFGEYIPVAHTVGDGSDLVVGTTRGTAYLSLVAQMSIFLAPVNFTEFFVEAARERNKRPNLPAETLLVPHEESFTQLQDKSYVYQWIREMDNWDKVIGHEMPYRISLLGRHEEAKPGIFIDGELAGAMVRVHKPRGVYNPFCYKPIQDGAFGAKIVGSGNDIVVPQNAIVDYSEM
+>sp|Q9UKQ2|ADA28_HUMAN Disintegrin and metalloproteinase domain-containing protein 28 OS=Homo sapiens OX=9606 GN=ADAM28 PE=2 SV=3
+MLQGLLPVSLLLSVAVSAIKELPGVKKYEVVYPIRLHPLHKREAKEPEQQEQFETELKYKMTINGKIAVLYLKKNKNLLAPGYTETYYNSTGKEITTSPQIMDDCYYQGHILNEKVSDASISTCRGLRGYFSQGDQRYFIEPLSPIHRDGQEHALFKYNPDEKNYDSTCGMDGVLWAHDLQQNIALPATKLVKLKDRKVQEHEKYIEYYLVLDNGEFKRYNENQDEIRKRVFEMANYVNMLYKKLNTHVALVGMEIWTDKDKIKITPNASFTLENFSKWRGSVLSRRKRHDIAQLITATELAGTTVGLAFMSTMCSPYSVGVVQDHSDNLLRVAGTMAHEMGHNFGMFHDDYSCKCPSTICVMDKALSFYIPTDFSSCSRLSYDKFFEDKLSNCLFNAPLPTDIISTPICGNQLVEMGEDCDCGTSEECTNICCDAKTCKIKATFQCALGECCEKCQFKKAGMVCRPAKDECDLPEMCNGKSGNCPDDRFQVNGFPCHHGKGHCLMGTCPTLQEQCTELWGPGTEVADKSCYNRNEGGSKYGYCRRVDDTLIPCKANDTMCGKLFCQGGSDNLPWKGRIVTFLTCKTFDPEDTSQEIGMVANGTKCGDNKVCINAECVDIEKAYKSTNCSSKCKGHAVCDHELQCQCEEGWIPPDCDDSSVVFHFSIVVGVLFPMAVIFVVVAMVIRHQSSREKQKKDQRPLSTTGTRPHKQKRKPQMVKAVQPQEMSQMKPHVYDLPVEGNEPPASFHKDTNALPPTVFKDNPVSTPKDSNPKA
+>DECOY_sp|Q9UKQ2|ADA28_HUMAN Disintegrin and metalloproteinase domain-containing protein 28 OS=Homo sapiens OX=9606 GN=ADAM28 PE=2 SV=3
+AKPNSDKPTSVPNDKFVTPPLANTDKHFSAPPENGEVPLDYVHPKMQSMEQPQVAKVMQPKRKQKHPRTGTTSLPRQDKKQKERSSQHRIVMAVVVFIVAMPFLVGVVISFHFVVSSDDCDPPIWGEECQCQLEHDCVAHGKCKSSCNTSKYAKEIDVCEANICVKNDGCKTGNAVMGIEQSTDEPDFTKCTLFTVIRGKWPLNDSGGQCFLKGCMTDNAKCPILTDDVRRCYGYKSGGENRNYCSKDAVETGPGWLETCQEQLTPCTGMLCHGKGHHCPFGNVQFRDDPCNGSKGNCMEPLDCEDKAPRCVMGAKKFQCKECCEGLACQFTAKIKCTKADCCINTCEESTGCDCDEGMEVLQNGCIPTSIIDTPLPANFLCNSLKDEFFKDYSLRSCSSFDTPIYFSLAKDMVCITSPCKCSYDDHFMGFNHGMEHAMTGAVRLLNDSHDQVVGVSYPSCMTSMFALGVTTGALETATILQAIDHRKRRSLVSGRWKSFNELTFSANPTIKIKDKDTWIEMGVLAVHTNLKKYLMNVYNAMEFVRKRIEDQNENYRKFEGNDLVLYYEIYKEHEQVKRDKLKVLKTAPLAINQQLDHAWLVGDMGCTSDYNKEDPNYKFLAHEQGDRHIPSLPEIFYRQDGQSFYGRLGRCTSISADSVKENLIHGQYYCDDMIQPSTTIEKGTSNYYTETYGPALLNKNKKLYLVAIKGNITMKYKLETEFQEQQEPEKAERKHLPHLRIPYVVEYKKVGPLEKIASVAVSLLLSVPLLGQLM
+>sp|Q8TC27|ADA32_HUMAN Disintegrin and metalloproteinase domain-containing protein 32 OS=Homo sapiens OX=9606 GN=ADAM32 PE=1 SV=2
+MFRLWLLLAGLCGLLASRPGFQNSLLQIVIPEKIQTNTNDSSEIEYEQISYIIPIDEKLYTVHLKQRYFLADNFMIYLYNQGSMNTYSSDIQTQCYYQGNIEGYPDSMVTLSTCSGLRGILQFENVSYGIEPLESAVEFQHVLYKLKNEDNDIAIFIDRSLKEQPMDDNIFISEKSEPAVPDLFPLYLEMHIVVDKTLYDYWGSDSMIVTNKVIEIVGLANSMFTQFKVTIVLSSLELWSDENKISTVGEADELLQKFLEWKQSYLNLRPHDIAYLLIYMDYPRYLGAVFPGTMCITRYSAGVALYPKEITLEAFAVIVTQMLALSLGISYDDPKKCQCSESTCIMNPEVVQSNGVKTFSSCSLRSFQNFISNVGVKCLQNKPQMQKKSPKPVCGNGRLEGNEICDCGTEAQCGPASCCDFRTCVLKDGAKCYKGLCCKDCQILQSGVECRPKAHPECDIAENCNGTSPECGPDITLINGLSCKNNKFICYDGDCHDLDARCESVFGKGSRNAPFACYEEIQSQSDRFGNCGRDRNNKYVFCGWRNLICGRLVCTYPTRKPFHQENGDVIYAFVRDSVCITVDYKLPRTVPDPLAVKNGSQCDIGRVCVNRECVESRIIKASAHVCSQQCSGHGVCDSRNKCHCSPGYKPPNCQIRSKGFSIFPEEDMGSIMERASGKTENTWLLGFLIALPILIVTTAIVLARKQLKKWFAKEEEFPSSESKSEGSTQTYASQSSSEGSTQTYASQTRSESSSQADTSKSKSEDSAEAYTSRSKSQDSTQTQSSSN
+>DECOY_sp|Q8TC27|ADA32_HUMAN Disintegrin and metalloproteinase domain-containing protein 32 OS=Homo sapiens OX=9606 GN=ADAM32 PE=1 SV=2
+NSSSQTQTSDQSKSRSTYAEASDESKSKSTDAQSSSESRTQSAYTQTSGESSSQSAYTQTSGESKSESSPFEEEKAFWKKLQKRALVIATTVILIPLAILFGLLWTNETKGSAREMISGMDEEPFISFGKSRIQCNPPKYGPSCHCKNRSDCVGHGSCQQSCVHASAKIIRSEVCERNVCVRGIDCQSGNKVALPDPVTRPLKYDVTICVSDRVFAYIVDGNEQHFPKRTPYTCVLRGCILNRWGCFVYKNNRDRGCNGFRDSQSQIEEYCAFPANRSGKGFVSECRADLDHCDGDYCIFKNNKCSLGNILTIDPGCEPSTGNCNEAIDCEPHAKPRCEVGSQLIQCDKCCLGKYCKAGDKLVCTRFDCCSAPGCQAETGCDCIENGELRGNGCVPKPSKKQMQPKNQLCKVGVNSIFNQFSRLSCSSFTKVGNSQVVEPNMICTSESCQCKKPDDYSIGLSLALMQTVIVAFAELTIEKPYLAVGASYRTICMTGPFVAGLYRPYDMYILLYAIDHPRLNLYSQKWELFKQLLEDAEGVTSIKNEDSWLELSSLVITVKFQTFMSNALGVIEIVKNTVIMSDSGWYDYLTKDVVIHMELYLPFLDPVAPESKESIFINDDMPQEKLSRDIFIAIDNDENKLKYLVHQFEVASELPEIGYSVNEFQLIGRLGSCTSLTVMSDPYGEINGQYYCQTQIDSSYTNMSGQNYLYIMFNDALFYRQKLHVTYLKEDIPIIYSIQEYEIESSDNTNTQIKEPIVIQLLSNQFGPRSALLGCLGALLLWLRFM
+>sp|Q96M93|ADAD1_HUMAN Adenosine deaminase domain-containing protein 1 OS=Homo sapiens OX=9606 GN=ADAD1 PE=2 SV=1
+MASNNHWFQSSQVPSFAQMLKKNLPVQPATKTITTPTGWSSESYGLSKMASKVTQVTGNFPEPLLSKNLSSISNPVLPPKKIPKEFIMKYKRGEINPVSALHQFAQMQRVQLDLKETVTTGNVMGPYFAFCAVVDGIQYKTGLGQNKKESRSNAAKLALDELLQLDEPEPRILETSGPPPFPAEPVVLSELAYVSKVHYEGRHIQYAKISQIVKERFNQLISNRSEYLKYSSSLAAFIIERAGQHEVVAIGTGEYNYSQDIKPDGRVLHDTHAVVTARRSLLRYFYRQLLLFYSKNPAMMEKSIFCTEPTSNLLTLKQNINICLYMNQLPKGSAQIKSQLRLNPHSISAFEANEELCLHVAVEGKIYLTVYCPKDGVNRISSMSSSDKLTRWEVLGVQGALLSHFIQPVYISSILIGDGNCSDTRGLEIAIKQRVDDALTSKLPMFYLVNRPHISLVPSAYPLQMNLEYKFLSLNWAQGDVSLEIVDGLSGKITESSPFKSGMSMASRLCKAAMLSRFNLLAKEAKKELLEAGTYHAAKCMSASYQEAKCKLKSYLQQHGYGSWIVKSPCIEQFNM
+>DECOY_sp|Q96M93|ADAD1_HUMAN Adenosine deaminase domain-containing protein 1 OS=Homo sapiens OX=9606 GN=ADAD1 PE=2 SV=1
+MNFQEICPSKVIWSGYGHQQLYSKLKCKAEQYSASMCKAAHYTGAELLEKKAEKALLNFRSLMAAKCLRSAMSMGSKFPSSETIKGSLGDVIELSVDGQAWNLSLFKYELNMQLPYASPVLSIHPRNVLYFMPLKSTLADDVRQKIAIELGRTDSCNGDGILISSIYVPQIFHSLLAGQVGLVEWRTLKDSSSMSSIRNVGDKPCYVTLYIKGEVAVHLCLEENAEFASISHPNLRLQSKIQASGKPLQNMYLCININQKLTLLNSTPETCFISKEMMAPNKSYFLLLQRYFYRLLSRRATVVAHTDHLVRGDPKIDQSYNYEGTGIAVVEHQGAREIIFAALSSSYKLYESRNSILQNFREKVIQSIKAYQIHRGEYHVKSVYALESLVVPEAPFPPPGSTELIRPEPEDLQLLEDLALKAANSRSEKKNQGLGTKYQIGDVVACFAFYPGMVNGTTVTEKLDLQVRQMQAFQHLASVPNIEGRKYKMIFEKPIKKPPLVPNSISSLNKSLLPEPFNGTVQTVKSAMKSLGYSESSWGTPTTITKTAPQVPLNKKLMQAFSPVQSSQFWHNNSAM
+>sp|Q6DHV7|ADAL_HUMAN Adenosine deaminase-like protein OS=Homo sapiens OX=9606 GN=ADAL PE=2 SV=2
+MIEAEEQQPCKTDFYSELPKVELHAHLNGSISSHTMKKLIAQKPDLKIHDQMTVIDKGKKRTLEECFQMFQTIHQLTSSPEDILMVTKDVIKEFADDGVKYLELRSTPRRENATGMTKKTYVESILEGIKQSKQENLDIDVRYLIAVDRRGGPLVAKETVKLAEEFFLSTEGTVLGLDLSGDPTVGQAKDFLEPLLEAKKAGLKLALHLSEIPNQKKETQILLDLLPDRIGHGTFLNSGEGGSLDLVDFVRQHRIPLELCLTSNVKSQTVPSYDQHHFGFWYSIAHPSVICTDDKGVFATHLSQEYQLAAETFNLTQSQVWDLSYESINYIFASDSTRSELRKKWNHLKPRVLHI
+>DECOY_sp|Q6DHV7|ADAL_HUMAN Adenosine deaminase-like protein OS=Homo sapiens OX=9606 GN=ADAL PE=2 SV=2
+IHLVRPKLHNWKKRLESRTSDSAFIYNISEYSLDWVQSQTLNFTEAALQYEQSLHTAFVGKDDTCIVSPHAISYWFGFHHQDYSPVTQSKVNSTLCLELPIRHQRVFDVLDLSGGEGSNLFTGHGIRDPLLDLLIQTEKKQNPIESLHLALKLGAKKAELLPELFDKAQGVTPDGSLDLGLVTGETSLFFEEALKVTEKAVLPGGRRDVAILYRVDIDLNEQKSQKIGELISEVYTKKTMGTANERRPTSRLELYKVGDDAFEKIVDKTVMLIDEPSSTLQHITQFMQFCEELTRKKGKDIVTMQDHIKLDPKQAILKKMTHSSISGNLHAHLEVKPLESYFDTKCPQQEEAEIM
+>sp|Q99965|ADAM2_HUMAN Disintegrin and metalloproteinase domain-containing protein 2 OS=Homo sapiens OX=9606 GN=ADAM2 PE=2 SV=2
+MWRVLFLLSGLGGLRMDSNFDSLPVQITVPEKIRSIIKEGIESQASYKIVIEGKPYTVNLMQKNFLPHNFRVYSYSGTGIMKPLDQDFQNFCHYQGYIEGYPKSVVMVSTCTGLRGVLQFENVSYGIEPLESSVGFEHVIYQVKHKKADVSLYNEKDIESRDLSFKLQSVEPQQDFAKYIEMHVIVEKQLYNHMGSDTTVVAQKVFQLIGLTNAIFVSFNITIILSSLELWIDENKIATTGEANELLHTFLRWKTSYLVLRPHDVAFLLVYREKSNYVGATFQGKMCDANYAGGVVLHPRTISLESLAVILAQLLSLSMGITYDDINKCQCSGAVCIMNPEAIHFSGVKIFSNCSFEDFAHFISKQKSQCLHNQPRLDPFFKQQAVCGNAKLEAGEECDCGTEQDCALIGETCCDIATCRFKAGSNCAEGPCCENCLFMSKERMCRPSFEECDLPEYCNGSSASCPENHYVQTGHPCGLNQWICIDGVCMSGDKQCTDTFGKEVEFGPSECYSHLNSKTDVSGNCGISDSGYTQCEADNLQCGKLICKYVGKFLLQIPRATIIYANISGHLCIAVEFASDHADSQKMWIKDGTSCGSNKVCRNQRCVSSSYLGYDCTTDKCNDRGVCNNKKHCHCSASYLPPDCSVQSDLWPGGSIDSGNFPPVAIPARLPERRYIENIYHSKPMRWPFFLFIPFFIIFCVLIAIMVKVNFQRKKWRTEDYSSDEQPESESEPKG
+>DECOY_sp|Q99965|ADAM2_HUMAN Disintegrin and metalloproteinase domain-containing protein 2 OS=Homo sapiens OX=9606 GN=ADAM2 PE=2 SV=2
+GKPESESEPQEDSSYDETRWKKRQFNVKVMIAILVCFIIFFPIFLFFPWRMPKSHYINEIYRREPLRAPIAVPPFNGSDISGGPWLDSQVSCDPPLYSASCHCHKKNNCVGRDNCKDTTCDYGLYSSSVCRQNRCVKNSGCSTGDKIWMKQSDAHDSAFEVAICLHGSINAYIITARPIQLLFKGVYKCILKGCQLNDAECQTYGSDSIGCNGSVDTKSNLHSYCESPGFEVEKGFTDTCQKDGSMCVGDICIWQNLGCPHGTQVYHNEPCSASSGNCYEPLDCEEFSPRCMREKSMFLCNECCPGEACNSGAKFRCTAIDCCTEGILACDQETGCDCEEGAELKANGCVAQQKFFPDLRPQNHLCQSKQKSIFHAFDEFSCNSFIKVGSFHIAEPNMICVAGSCQCKNIDDYTIGMSLSLLQALIVALSELSITRPHLVVGGAYNADCMKGQFTAGVYNSKERYVLLFAVDHPRLVLYSTKWRLFTHLLENAEGTTAIKNEDIWLELSSLIITINFSVFIANTLGILQFVKQAVVTTDSGMHNYLQKEVIVHMEIYKAFDQQPEVSQLKFSLDRSEIDKENYLSVDAKKHKVQYIVHEFGVSSELPEIGYSVNEFQLVGRLGTCTSVMVVSKPYGEIYGQYHCFNQFDQDLPKMIGTGSYSYVRFNHPLFNKQMLNVTYPKGEIVIKYSAQSEIGEKIISRIKEPVTIQVPLSDFNSDMRLGGLGSLLFLVRWM
+>sp|Q9H2U9|ADAM7_HUMAN Disintegrin and metalloproteinase domain-containing protein 7 OS=Homo sapiens OX=9606 GN=ADAM7 PE=1 SV=3
+MLPGCIFLMILLIPQVKEKFILGVEGQQLVRPKKLPLIQKRDTGHTHDDDILKTYEEELLYEIKLNRKTLVLHLLRSREFLGSNYSETFYSMKGEAFTRHPQIMDHCFYQGSIVHEYDSAASISTCNGLRGFFRINDQRYLIEPVKYSDEGEHLVFKYNLRVPYGANYSCTELNFTRKTVPGDNESEEDSKIKGIHDEKYVELFIVADDTVYRRNGHPHNKLRNRIWGMVNFVNMIYKTLNIHVTLVGIEIWTHEDKIELYSNIETTLLRFSFWQEKILKTRKDFDHVVLLSGKWLYSHVQGISYPGGMCLPYYSTSIIKDLLPDTNIIANRMAHQLGHNLGMQHDEFPCTCPSGKCVMDSDGSIPALKFSKCSQNQYHQYLKDYKPTCMLNIPFPYNFHDFQFCGNKKLDEGEECDCGPAQECTNPCCDAHTCVLKPGFTCAEGECCESCQIKKAGSICRPAKDECDFPEMCTGHSPACPKDQFRVNGFPCKNSEGYCFMGKCPTREDQCSELFDDEAIESHDICYKMNTKGNKFGYCKNKENRFLPCEEKDVRCGKIYCTGGELSSLLGEDKTYHLKDPQKNATVKCKTIFLYHDSTDIGLVASGTKCGEGMVCNNGECLNMEKVYISTNCPSQCNENPVDGHGLQCHCEEGQAPVACEETLHVTNITILVVVLVLVIVGIGVLILLVRYRKCIKLKQVQSPPTETLGVENKGYFGDEQQIRTEPILPEIHFLNKPASKDSRGIADPNQSAK
+>DECOY_sp|Q9H2U9|ADAM7_HUMAN Disintegrin and metalloproteinase domain-containing protein 7 OS=Homo sapiens OX=9606 GN=ADAM7 PE=1 SV=3
+KASQNPDAIGRSDKSAPKNLFHIEPLIPETRIQQEDGFYGKNEVGLTETPPSQVQKLKICKRYRVLLILVGIGVIVLVLVVVLITINTVHLTEECAVPAQGEECHCQLGHGDVPNENCQSPCNTSIYVKEMNLCEGNNCVMGEGCKTGSAVLGIDTSDHYLFITKCKVTANKQPDKLHYTKDEGLLSSLEGGTCYIKGCRVDKEECPLFRNEKNKCYGFKNGKTNMKYCIDHSEIAEDDFLESCQDERTPCKGMFCYGESNKCPFGNVRFQDKPCAPSHGTCMEPFDCEDKAPRCISGAKKIQCSECCEGEACTFGPKLVCTHADCCPNTCEQAPGCDCEEGEDLKKNGCFQFDHFNYPFPINLMCTPKYDKLYQHYQNQSCKSFKLAPISGDSDMVCKGSPCTCPFEDHQMGLNHGLQHAMRNAIINTDPLLDKIISTSYYPLCMGGPYSIGQVHSYLWKGSLLVVHDFDKRTKLIKEQWFSFRLLTTEINSYLEIKDEHTWIEIGVLTVHINLTKYIMNVFNVMGWIRNRLKNHPHGNRRYVTDDAVIFLEVYKEDHIGKIKSDEESENDGPVTKRTFNLETCSYNAGYPVRLNYKFVLHEGEDSYKVPEILYRQDNIRFFGRLGNCTSISAASDYEHVISGQYFCHDMIQPHRTFAEGKMSYFTESYNSGLFERSRLLHLVLTKRNLKIEYLLEEEYTKLIDDDHTHGTDRKQILPLKKPRVLQQGEVGLIFKEKVQPILLIMLFICGPLM
+>sp|P78325|ADAM8_HUMAN Disintegrin and metalloproteinase domain-containing protein 8 OS=Homo sapiens OX=9606 GN=ADAM8 PE=1 SV=2
+MRGLGLWLLGAMMLPAIAPSRPWALMEQYEVVLPWRLPGPRVRRALPSHLGLHPERVSYVLGATGHNFTLHLRKNRDLLGSGYTETYTAANGSEVTEQPRGQDHCFYQGHVEGYPDSAASLSTCAGLRGFFQVGSDLHLIEPLDEGGEGGRHAVYQAEHLLQTAGTCGVSDDSLGSLLGPRTAAVFRPRPGDSLPSRETRYVELYVVVDNAEFQMLGSEAAVRHRVLEVVNHVDKLYQKLNFRVVLVGLEIWNSQDRFHVSPDPSVTLENLLTWQARQRTRRHLHDNVQLITGVDFTGTTVGFARVSAMCSHSSGAVNQDHSKNPVGVACTMAHEMGHNLGMDHDENVQGCRCQERFEAGRCIMAGSIGSSFPRMFSDCSQAYLESFLERPQSVCLANAPDLSHLVGGPVCGNLFVERGEQCDCGPPEDCRNRCCNSTTCQLAEGAQCAHGTCCQECKVKPAGELCRPKKDMCDLEEFCDGRHPECPEDAFQENGTPCSGGYCYNGACPTLAQQCQAFWGPGGQAAEESCFSYDILPGCKASRYRADMCGVLQCKGGQQPLGRAICIVDVCHALTTEDGTAYEPVPEGTRCGPEKVCWKGRCQDLHVYRSSNCSAQCHNHGVCNHKQECHCHAGWAPPHCAKLLTEVHAASGSLPVFVVVVLVLLAVVLVTLAGIIVYRKARSRILSRNVAPKTTMGRSNPLFHQAASRVPAKGGAPAPSRGPQELVPTTHPGQPARHPASSVALKRPPPAPPVTVSSPPFPVPVYTRQAPKQVIKPTFAPPVPPVKPGAGAANPGPAEGAVGPKVALKPPIQRKQGAGAPTAP
+>DECOY_sp|P78325|ADAM8_HUMAN Disintegrin and metalloproteinase domain-containing protein 8 OS=Homo sapiens OX=9606 GN=ADAM8 PE=1 SV=2
+PATPAGAGQKRQIPPKLAVKPGVAGEAPGPNAAGAGPKVPPVPPAFTPKIVQKPAQRTYVPVPFPPSSVTVPPAPPPRKLAVSSAPHRAPQGPHTTPVLEQPGRSPAPAGGKAPVRSAAQHFLPNSRGMTTKPAVNRSLIRSRAKRYVIIGALTVLVVALLVLVVVVFVPLSGSAAHVETLLKACHPPAWGAHCHCEQKHNCVGHNHCQASCNSSRYVHLDQCRGKWCVKEPGCRTGEPVPEYATGDETTLAHCVDVICIARGLPQQGGKCQLVGCMDARYRSAKCGPLIDYSFCSEEAAQGGPGWFAQCQQALTPCAGNYCYGGSCPTGNEQFADEPCEPHRGDCFEELDCMDKKPRCLEGAPKVKCEQCCTGHACQAGEALQCTTSNCCRNRCDEPPGCDCQEGREVFLNGCVPGGVLHSLDPANALCVSQPRELFSELYAQSCDSFMRPFSSGISGAMICRGAEFREQCRCGQVNEDHDMGLNHGMEHAMTCAVGVPNKSHDQNVAGSSHSCMASVRAFGVTTGTFDVGTILQVNDHLHRRTRQRAQWTLLNELTVSPDPSVHFRDQSNWIELGVLVVRFNLKQYLKDVHNVVELVRHRVAAESGLMQFEANDVVVYLEVYRTERSPLSDGPRPRFVAATRPGLLSGLSDDSVGCTGATQLLHEAQYVAHRGGEGGEDLPEILHLDSGVQFFGRLGACTSLSAASDPYGEVHGQYFCHDQGRPQETVESGNAATYTETYGSGLLDRNKRLHLTFNHGTAGLVYSVREPHLGLHSPLARRVRPGPLRWPLVVEYQEMLAWPRSPAIAPLMMAGLLWLGLGRM
+>sp|O75689|ADAP1_HUMAN Arf-GAP with dual PH domain-containing protein 1 OS=Homo sapiens OX=9606 GN=ADAP1 PE=1 SV=2
+MAKERRRAVLELLQRPGNARCADCGAPDPDWASYTLGVFICLSCSGIHRNIPQVSKVKSVRLDAWEEAQVEFMASHGNDAARARFESKVPSFYYRPTPSDCQLLREQWIRAKYERQEFIYPEKQEPYSAGYREGFLWKRGRDNGQFLSRKFVLTEREGALKYFNRNDAKEPKAVMKIEHLNATFQPAKIGHPHGLQVTYLKDNSTRNIFIYHEDGKEIVDWFNALRAARFHYLQVAFPGAGDADLVPKLSRNYLKEGYMEKTGPKQTEGFRKRWFTMDDRRLMYFKDPLDAFARGEVFIGSKESGYTVLHGFPPSTQGHHWPHGITIVTPDRKFLFACETESDQREWVAAFQKAVDRPMLPQEYAVEAHFKHKP
+>DECOY_sp|O75689|ADAP1_HUMAN Arf-GAP with dual PH domain-containing protein 1 OS=Homo sapiens OX=9606 GN=ADAP1 PE=1 SV=2
+PKHKFHAEVAYEQPLMPRDVAKQFAAVWERQDSETECAFLFKRDPTVITIGHPWHHGQTSPPFGHLVTYGSEKSGIFVEGRAFADLPDKFYMLRRDDMTFWRKRFGETQKPGTKEMYGEKLYNRSLKPVLDADGAGPFAVQLYHFRAARLANFWDVIEKGDEHYIFINRTSNDKLYTVQLGHPHGIKAPQFTANLHEIKMVAKPEKADNRNFYKLAGERETLVFKRSLFQGNDRGRKWLFGERYGASYPEQKEPYIFEQREYKARIWQERLLQCDSPTPRYYFSPVKSEFRARAADNGHSAMFEVQAEEWADLRVSKVKSVQPINRHIGSCSLCIFVGLTYSAWDPDPAGCDACRANGPRQLLELVARRREKAM
+>sp|Q9UEY8|ADDG_HUMAN Gamma-adducin OS=Homo sapiens OX=9606 GN=ADD3 PE=1 SV=1
+MSSDASQGVITTPPPPSMPHKERYFDRINENDPEYIRERNMSPDLRQDFNMMEQRKRVTQILQSPAFREDLECLIQEQMKKGHNPTGLLALQQIADYIMANSFSGFSSPPLSLGMVTPINDLPGADTSSYVKGEKLTRCKLASLYRLVDLFGWAHLANTYISVRISKEQDHIIIIPRGLSFSEATASNLVKVNIIGEVVDQGSTNLKIDHTGFSPHAAIYSTRPDVKCVIHIHTLATAAVSSMKCGILPISQESLLLGDVAYYDYQGSLEEQEERIQLQKVLGPSCKVLVLRNHGVVALGETLEEAFHYIFNVQLACEIQVQALAGAGGVDNLHVLDFQKYKAFTYTVAASGGGGVNMGSHQKWKVGEIEFEGLMRTLDNLGYRTGYAYRHPLIREKPRHKSDVEIPATVTAFSFEDDTVPLSPLKYMAQRQQREKTRWLNSPNTYMKVNVPEESRNGETSPRTKITWMKAEDSSKVSGGTPIKIEDPNQFVPLNTNPNEVLEKRNKIREQNRYDLKTAGPQSQLLAGIVVDKPPSTMQFEDDDHGPPAPPNPFSHLTEGELEEYKRTIERKQQGLEDAEQELLSDDASSVSQIQSQTQSPQNVPEKLEENHELFSKSFISMEVPVMVVNGKDDMHDVEDELAKRVSRLSTSTTIENIEITIKSPEKIEEVLSPEGSPSKSPSKKKKKFRTPSFLKKNKKKEKVEA
+>DECOY_sp|Q9UEY8|ADDG_HUMAN Gamma-adducin OS=Homo sapiens OX=9606 GN=ADD3 PE=1 SV=1
+AEVKEKKKNKKLFSPTRFKKKKKSPSKSPSGEPSLVEEIKEPSKITIEINEITTSTSLRSVRKALEDEVDHMDDKGNVVMVPVEMSIFSKSFLEHNEELKEPVNQPSQTQSQIQSVSSADDSLLEQEADELGQQKREITRKYEELEGETLHSFPNPPAPPGHDDDEFQMTSPPKDVVIGALLQSQPGATKLDYRNQERIKNRKELVENPNTNLPVFQNPDEIKIPTGGSVKSSDEAKMWTIKTRPSTEGNRSEEPVNVKMYTNPSNLWRTKERQQRQAMYKLPSLPVTDDEFSFATVTAPIEVDSKHRPKERILPHRYAYGTRYGLNDLTRMLGEFEIEGVKWKQHSGMNVGGGGSAAVTYTFAKYKQFDLVHLNDVGGAGALAQVQIECALQVNFIYHFAEELTEGLAVVGHNRLVLVKCSPGLVKQLQIREEQEELSGQYDYYAVDGLLLSEQSIPLIGCKMSSVAATALTHIHIVCKVDPRTSYIAAHPSFGTHDIKLNTSGQDVVEGIINVKVLNSATAESFSLGRPIIIIHDQEKSIRVSIYTNALHAWGFLDVLRYLSALKCRTLKEGKVYSSTDAGPLDNIPTVMGLSLPPSSFGSFSNAMIYDAIQQLALLGTPNHGKKMQEQILCELDERFAPSQLIQTVRKRQEMMNFDQRLDPSMNRERIYEPDNENIRDFYREKHPMSPPPPTTIVGQSADSSM
+>sp|P07327|ADH1A_HUMAN Alcohol dehydrogenase 1A OS=Homo sapiens OX=9606 GN=ADH1A PE=1 SV=2
+MSTAGKVIKCKAAVLWELKKPFSIEEVEVAPPKAHEVRIKMVAVGICGTDDHVVSGTMVTPLPVILGHEAAGIVESVGEGVTTVKPGDKVIPLAIPQCGKCRICKNPESNYCLKNDVSNPQGTLQDGTSRFTCRRKPIHHFLGISTFSQYTVVDENAVAKIDAASPLEKVCLIGCGFSTGYGSAVNVAKVTPGSTCAVFGLGGVGLSAIMGCKAAGAARIIAVDINKDKFAKAKELGATECINPQDYKKPIQEVLKEMTDGGVDFSFEVIGRLDTMMASLLCCHEACGTSVIVGVPPDSQNLSMNPMLLLTGRTWKGAILGGFKSKECVPKLVADFMAKKFSLDALITHVLPFEKINEGFDLLHSGKSIRTILMF
+>DECOY_sp|P07327|ADH1A_HUMAN Alcohol dehydrogenase 1A OS=Homo sapiens OX=9606 GN=ADH1A PE=1 SV=2
+FMLITRISKGSHLLDFGENIKEFPLVHTILADLSFKKAMFDAVLKPVCEKSKFGGLIAGKWTRGTLLLMPNMSLNQSDPPVGVIVSTGCAEHCCLLSAMMTDLRGIVEFSFDVGGDTMEKLVEQIPKKYDQPNICETAGLEKAKAFKDKNIDVAIIRAAGAAKCGMIASLGVGGLGFVACTSGPTVKAVNVASGYGTSFGCGILCVKELPSAADIKAVANEDVVTYQSFTSIGLFHHIPKRRCTFRSTGDQLTGQPNSVDNKLCYNSEPNKCIRCKGCQPIALPIVKDGPKVTTVGEGVSEVIGAAEHGLIVPLPTVMTGSVVHDDTGCIGVAVMKIRVEHAKPPAVEVEEISFPKKLEWLVAAKCKIVKGATSM
+>sp|Q0VDE8|ADIG_HUMAN Adipogenin OS=Homo sapiens OX=9606 GN=ADIG PE=3 SV=1
+MKYPLMPLVNDLTFSFLVFWFCLPVGLLLLLIIWLRFLLSQDSEENDSSVCLDWEPWSKGPAEFCWKGTLHGQEKERPCW
+>DECOY_sp|Q0VDE8|ADIG_HUMAN Adipogenin OS=Homo sapiens OX=9606 GN=ADIG PE=3 SV=1
+WCPREKEQGHLTGKWCFEAPGKSWPEWDLCVSSDNEESDQSLLFRLWIILLLLLGVPLCFWFVLFSFTLDNVLPMLPYKM
+>sp|P55263|ADK_HUMAN Adenosine kinase OS=Homo sapiens OX=9606 GN=ADK PE=1 SV=2
+MAAAEEEPKPKKLKVEAPQALRENILFGMGNPLLDISAVVDKDFLDKYSLKPNDQILAEDKHKELFDELVKKFKVEYHAGGSTQNSIKVAQWMIQQPHKAATFFGCIGIDKFGEILKRKAAEAHVDAHYYEQNEQPTGTCAACITGDNRSLIANLAAANCYKKEKHLDLEKNWMLVEKARVCYIAGFFLTVSPESVLKVAHHASENNRIFTLNLSAPFISQFYKESLMKVMPYVDILFGNETEAATFAREQGFETKDIKEIAKKTQALPKMNSKRQRIVIFTQGRDDTIMATESEVTAFAVLDQDQKEIIDTNGAGDAFVGGFLSQLVSDKPLTECIRAGHYAASIIIRRTGCTFPEKPDFH
+>DECOY_sp|P55263|ADK_HUMAN Adenosine kinase OS=Homo sapiens OX=9606 GN=ADK PE=1 SV=2
+HFDPKEPFTCGTRRIIISAAYHGARICETLPKDSVLQSLFGGVFADGAGNTDIIEKQDQDLVAFATVESETAMITDDRGQTFIVIRQRKSNMKPLAQTKKAIEKIDKTEFGQERAFTAAETENGFLIDVYPMVKMLSEKYFQSIFPASLNLTFIRNNESAHHAVKLVSEPSVTLFFGAIYCVRAKEVLMWNKELDLHKEKKYCNAAALNAILSRNDGTICAACTGTPQENQEYYHADVHAEAAKRKLIEGFKDIGICGFFTAAKHPQQIMWQAVKISNQTSGGAHYEVKFKKVLEDFLEKHKDEALIQDNPKLSYKDLFDKDVVASIDLLPNGMGFLINERLAQPAEVKLKKPKPEEEAAAM
+>sp|Q9H2F3|3BHS7_HUMAN 3 beta-hydroxysteroid dehydrogenase type 7 OS=Homo sapiens OX=9606 GN=HSD3B7 PE=1 SV=2
+MADSAQAQKLVYLVTGGCGFLGEHVVRMLLQREPRLGELRVFDQHLGPWLEELKTGPVRVTAIQGDVTQAHEVAAAVAGAHVVIHTAGLVDVFGRASPKTIHEVNVQGTRNVIEACVQTGTRFLVYTSSMEVVGPNTKGHPFYRGNEDTPYEAVHRHPYPCSKALAEWLVLEANGRKVRGGLPLVTCALRPTGIYGEGHQIMRDFYRQGLRLGGWLFRAIPASVEHGRVYVGNVAWMHVLAARELEQRATLMGGQVYFCYDGSPYRSYEDFNMEFLGPCGLRLVGARPLLPYWLLVFLAALNALLQWLLRPLVLYAPLLNPYTLAVANTTFTVSTDKAQRHFGYEPLFSWEDSRTRTILWVQAATGSAQ
+>DECOY_sp|Q9H2F3|3BHS7_HUMAN 3 beta-hydroxysteroid dehydrogenase type 7 OS=Homo sapiens OX=9606 GN=HSD3B7 PE=1 SV=2
+QASGTAAQVWLITRTRSDEWSFLPEYGFHRQAKDTSVTFTTNAVALTYPNLLPAYLVLPRLLWQLLANLAALFVLLWYPLLPRAGVLRLGCPGLFEMNFDEYSRYPSGDYCFYVQGGMLTARQELERAALVHMWAVNGVYVRGHEVSAPIARFLWGGLRLGQRYFDRMIQHGEGYIGTPRLACTVLPLGGRVKRGNAELVLWEALAKSCPYPHRHVAEYPTDENGRYFPHGKTNPGVVEMSSTYVLFRTGTQVCAEIVNRTGQVNVEHITKPSARGFVDVLGATHIVVHAGAVAAAVEHAQTVDGQIATVRVPGTKLEELWPGLHQDFVRLEGLRPERQLLMRVVHEGLFGCGGTVLYVLKQAQASDAM
+>sp|P78314|3BP2_HUMAN SH3 domain-binding protein 2 OS=Homo sapiens OX=9606 GN=SH3BP2 PE=1 SV=2
+MAAEEMHWPVPMKAIGAQNLLTMPGGVAKAGYLHKKGGTQLQLLKWPLRFVIIHKRCVYYFKSSTSASPQGAFSLSGYNRVMRAAEETTSNNVFPFKIIHISKKHRTWFFSASSEEERKSWMALLRREIGHFHEKKDLPLDTSDSSSDTDSFYGAVERPVDISLSPYPTDNEDYEHDDEDDSYLEPDSPEPGRLEDALMHPPAYPPPPVPTPRKPAFSDMPRAHSFTSKGPGPLLPPPPPKHGLPDVGLAAEDSKRDPLCPRRAEPCPRVPATPRRMSDPPLSTMPTAPGLRKPPCFRESASPSPEPWTPGHGACSTSSAAIMATATSRNCDKLKSFHLSPRGPPTSEPPPVPANKPKFLKIAEEDPPREAAMPGLFVPPVAPRPPALKLPVPEAMARPAVLPRPEKPQLPHLQRSPPDGQSFRSFSFEKPRQPSQADTGGDDSDEDYEKVPLPNSVFVNTTESCEVERLFKATSPRGEPQDGLYCIRNSSTKSGKVLVVWDETSNKVRNYRIFEKDSKFYLEGEVLFVSVGSMVEHYHTHVLPSHQSLLLRHPYGYTGPR
+>DECOY_sp|P78314|3BP2_HUMAN SH3 domain-binding protein 2 OS=Homo sapiens OX=9606 GN=SH3BP2 PE=1 SV=2
+RPGTYGYPHRLLLSQHSPLVHTHYHEVMSGVSVFLVEGELYFKSDKEFIRYNRVKNSTEDWVVLVKGSKTSSNRICYLGDQPEGRPSTAKFLREVECSETTNVFVSNPLPVKEYDEDSDDGGTDAQSPQRPKEFSFSRFSQGDPPSRQLHPLQPKEPRPLVAPRAMAEPVPLKLAPPRPAVPPVFLGPMAAERPPDEEAIKLFKPKNAPVPPPESTPPGRPSLHFSKLKDCNRSTATAMIAASSTSCAGHGPTWPEPSPSASERFCPPKRLGPATPMTSLPPDSMRRPTAPVRPCPEARRPCLPDRKSDEAALGVDPLGHKPPPPPLLPGPGKSTFSHARPMDSFAPKRPTPVPPPPYAPPHMLADELRGPEPSDPELYSDDEDDHEYDENDTPYPSLSIDVPREVAGYFSDTDSSSDSTDLPLDKKEHFHGIERRLLAMWSKREEESSASFFWTRHKKSIHIIKFPFVNNSTTEEAARMVRNYGSLSFAGQPSASTSSKFYYVCRKHIIVFRLPWKLLQLQTGGKKHLYGAKAVGGPMTLLNQAGIAKMPVPWHMEEAAM
+>sp|P29372|3MG_HUMAN DNA-3-methyladenine glycosylase OS=Homo sapiens OX=9606 GN=MPG PE=1 SV=3
+MVTPALQMKKPKQFCRRMGQKKQRPARAGQPHSSSDAAQAPAEQPHSSSDAAQAPCPRERCLGPPTTPGPYRSIYFSSPKGHLTRLGLEFFDQPAVPLARAFLGQVLVRRLPNGTELRGRIVETEAYLGPEDEAAHSRGGRQTPRNRGMFMKPGTLYVYIIYGMYFCMNISSQGDGACVLLRALEPLEGLETMRQLRSTLRKGTASRVLKDRELCSGPSKLCQALAINKSFDQRDLAQDEAVWLERGPLEPSEPAVVAAARVGVGHAGEWARKPLRFYVRGSPWVSVVDRVAEQDTQA
+>DECOY_sp|P29372|3MG_HUMAN DNA-3-methyladenine glycosylase OS=Homo sapiens OX=9606 GN=MPG PE=1 SV=3
+AQTDQEAVRDVVSVWPSGRVYFRLPKRAWEGAHGVGVRAAAVVAPESPELPGRELWVAEDQALDRQDFSKNIALAQCLKSPGSCLERDKLVRSATGKRLTSRLQRMTELGELPELARLLVCAGDGQSSINMCFYMGYIIYVYLTGPKMFMGRNRPTQRGGRSHAAEDEPGLYAETEVIRGRLETGNPLRRVLVQGLFARALPVAPQDFFELGLRTLHGKPSSFYISRYPGPTTPPGLCRERPCPAQAADSSSHPQEAPAQAADSSSHPQGARAPRQKKQGMRRCFQKPKKMQLAPTVM
+>sp|P11171|41_HUMAN Protein 4.1 OS=Homo sapiens OX=9606 GN=EPB41 PE=1 SV=4
+MTTEKSLVTEAENSQHQQKEEGEEAINSGQQEPQQEESCQTAAEGDNWCEQKLKASNGDTPTHEDLTKNKERTSESRGLSRLFSSFLKRPKSQVSEEEGKEVESDKEKGEGGQKEIEFGTSLDEEIILKAPIAAPEPELKTDPSLDLHSLSSAETQPAQEELREDPDFEIKEGEGLEECSKIEVKEESPQSKAETELKASQKPIRKHRNMHCKVSLLDDTVYECVVEKHAKGQDLLKRVCEHLNLLEEDYFGLAIWDNATSKTWLDSAKEIKKQVRGVPWNFTFNVKFYPPDPAQLTEDITRYYLCLQLRQDIVAGRLPCSFATLALLGSYTIQSELGDYDPELHGVDYVSDFKLAPNQTKELEEKVMELHKSYRSMTPAQADLEFLENAKKLSMYGVDLHKAKDLEGVDIILGVCSSGLLVYKDKLRINRFPWPKVLKISYKRSSFFIKIRPGEQEQYESTIGFKLPSYRAAKKLWKVCVEHHTFFRLTSTDTIPKSKFLALGSKFRYSGRTQAQTRQASALIDRPAPHFERTASKRASRSLDGAAAVDSADRSPRPTSAPAITQGQVAEGGVLDASAKKTVVPKAQKETVKAEVKKEDEPPEQAEPEPTEAWKVEKTHIEVTVPTSNGDQTQKLAEKTEDLIRMRKKKRERLDGENIYIRHSNLMLEDLDKSQEEIKKHHASISELKKNFMESVPEPRPSEWDKRLSTHSPFRTLNINGQIPTGEGPPLVKTQTVTISDNANAVKSEIPTKDVPIVHTETKTITYEAAQTDDNSGDLDPGVLLTAQTITSETPSSTTTTQITKTVKGGISETRIEKRIVITGDADIDHDQVLVQAIKEAKEQHPDMSVTKVVVHQETEIADE
+>DECOY_sp|P11171|41_HUMAN Protein 4.1 OS=Homo sapiens OX=9606 GN=EPB41 PE=1 SV=4
+EDAIETEQHVVVKTVSMDPHQEKAEKIAQVLVQDHDIDADGTIVIRKEIRTESIGGKVTKTIQTTTTSSPTESTITQATLLVGPDLDGSNDDTQAAEYTITKTETHVIPVDKTPIESKVANANDSITVTQTKVLPPGEGTPIQGNINLTRFPSHTSLRKDWESPRPEPVSEMFNKKLESISAHHKKIEEQSKDLDELMLNSHRIYINEGDLRERKKKRMRILDETKEALKQTQDGNSTPVTVEIHTKEVKWAETPEPEAQEPPEDEKKVEAKVTEKQAKPVVTKKASADLVGGEAVQGQTIAPASTPRPSRDASDVAAAGDLSRSARKSATREFHPAPRDILASAQRTQAQTRGSYRFKSGLALFKSKPITDTSTLRFFTHHEVCVKWLKKAARYSPLKFGITSEYQEQEGPRIKIFFSSRKYSIKLVKPWPFRNIRLKDKYVLLGSSCVGLIIDVGELDKAKHLDVGYMSLKKANELFELDAQAPTMSRYSKHLEMVKEELEKTQNPALKFDSVYDVGHLEPDYDGLESQITYSGLLALTAFSCPLRGAVIDQRLQLCLYYRTIDETLQAPDPPYFKVNFTFNWPVGRVQKKIEKASDLWTKSTANDWIALGFYDEELLNLHECVRKLLDQGKAHKEVVCEYVTDDLLSVKCHMNRHKRIPKQSAKLETEAKSQPSEEKVEIKSCEELGEGEKIEFDPDERLEEQAPQTEASSLSHLDLSPDTKLEPEPAAIPAKLIIEEDLSTGFEIEKQGGEGKEKDSEVEKGEEESVQSKPRKLFSSFLRSLGRSESTREKNKTLDEHTPTDGNSAKLKQECWNDGEAATQCSEEQQPEQQGSNIAEEGEEKQQHQSNEAETVLSKETTM
+>sp|P41595|5HT2B_HUMAN 5-hydroxytryptamine receptor 2B OS=Homo sapiens OX=9606 GN=HTR2B PE=1 SV=1
+MALSYRVSELQSTIPEHILQSTFVHVISSNWSGLQTESIPEEMKQIVEEQGNKLHWAALLILMVIIPTIGGNTLVILAVSLEKKLQYATNYFLMSLAVADLLVGLFVMPIALLTIMFEAMWPLPLVLCPAWLFLDVLFSTASIMHLCAISVDRYIAIKKPIQANQYNSRATAFIKITVVWLISIGIAIPVPIKGIETDVDNPNNITCVLTKERFGDFMLFGSLAAFFTPLAIMIVTYFLTIHALQKKAYLVKNKPPQRLTWLTVSTVFQRDETPCSSPEKVAMLDGSRKDKALPNSGDETLMRRTSTIGKKSVQTISNEQRASKVLGIVFFLFLLMWCPFFITNITLVLCDSCNQTTLQMLLEIFVWIGYVSSGVNPLVYTLFNKTFRDAFGRYITCNYRATKSVKTLRKRSSKIYFRNPMAENSKFFKKHGIRNGINPAMYQSPMRLRSSTIQSSSIILLDTLLLTENEGDKTEEQVSYV
+>DECOY_sp|P41595|5HT2B_HUMAN 5-hydroxytryptamine receptor 2B OS=Homo sapiens OX=9606 GN=HTR2B PE=1 SV=1
+VYSVQEETKDGENETLLLTDLLIISSSQITSSRLRMPSQYMAPNIGNRIGHKKFFKSNEAMPNRFYIKSSRKRLTKVSKTARYNCTIYRGFADRFTKNFLTYVLPNVGSSVYGIWVFIELLMQLTTQNCSDCLVLTINTIFFPCWMLLFLFFVIGLVKSARQENSITQVSKKGITSTRRMLTEDGSNPLAKDKRSGDLMAVKEPSSCPTEDRQFVTSVTLWTLRQPPKNKVLYAKKQLAHITLFYTVIMIALPTFFAALSGFLMFDGFREKTLVCTINNPNDVDTEIGKIPVPIAIGISILWVVTIKIFATARSNYQNAQIPKKIAIYRDVSIACLHMISATSFLVDLFLWAPCLVLPLPWMAEFMITLLAIPMVFLGVLLDAVALSMLFYNTAYQLKKELSVALIVLTNGGITPIIVMLILLAAWHLKNGQEEVIQKMEEPISETQLGSWNSSIVHVFTSQLIHEPITSQLESVRYSLAM
+>sp|P46098|5HT3A_HUMAN 5-hydroxytryptamine receptor 3A OS=Homo sapiens OX=9606 GN=HTR3A PE=1 SV=1
+MLLWVQQALLALLLPTLLAQGEARRSRNTTRPALLRLSDYLLTNYRKGVRPVRDWRKPTTVSIDVIVYAILNVDEKNQVLTTYIWYRQYWTDEFLQWNPEDFDNITKLSIPTDSIWVPDILINEFVDVGKSPNIPYVYIRHQGEVQNYKPLQVVTACSLDIYNFPFDVQNCSLTFTSWLHTIQDINISLWRLPEKVKSDRSVFMNQGEWELLGVLPYFREFSMESSNYYAEMKFYVVIRRRPLFYVVSLLLPSIFLMVMDIVGFYLPPNSGERVSFKITLLLGYSVFLIIVSDTLPATAIGTPLIGVYFVVCMALLVISLAETIFIVRLVHKQDLQQPVPAWLRHLVLERIAWLLCLREQSTSQRPPATSQATKTDDCSAMGNHCSHMGGPQDFEKSPRDRCSPPPPPREASLAVCGLLQELSSIRQFLEKRDEIREVARDWLRVGSVLDKLLFHIYLLAVLAYSITLVMLWSIWQYA
+>DECOY_sp|P46098|5HT3A_HUMAN 5-hydroxytryptamine receptor 3A OS=Homo sapiens OX=9606 GN=HTR3A PE=1 SV=1
+AYQWISWLMVLTISYALVALLYIHFLLKDLVSGVRLWDRAVERIEDRKELFQRISSLEQLLGCVALSAERPPPPPSCRDRPSKEFDQPGGMHSCHNGMASCDDTKTAQSTAPPRQSTSQERLCLLWAIRELVLHRLWAPVPQQLDQKHVLRVIFITEALSIVLLAMCVVFYVGILPTGIATAPLTDSVIILFVSYGLLLTIKFSVREGSNPPLYFGVIDMVMLFISPLLLSVVYFLPRRRIVVYFKMEAYYNSSEMSFERFYPLVGLLEWEGQNMFVSRDSKVKEPLRWLSINIDQITHLWSTFTLSCNQVDFPFNYIDLSCATVVQLPKYNQVEGQHRIYVYPINPSKGVDVFENILIDPVWISDTPISLKTINDFDEPNWQLFEDTWYQRYWIYTTLVQNKEDVNLIAYVIVDISVTTPKRWDRVPRVGKRYNTLLYDSLRLLAPRTTNRSRRAEGQALLTPLLLALLAQQVWLLM
+>sp|Q70Z44|5HT3D_HUMAN 5-hydroxytryptamine receptor 3D OS=Homo sapiens OX=9606 GN=HTR3D PE=1 SV=3
+MQKHSPGPPALALLSQSLLTTGNGDTLIINCPGFGQHRVDPAAFQAVFDRKAIGPVTNYSVATHVNISFTLSAIWNCYSRIHTFNCHHARPWHNQFVQWNPDECGGIKKSGMATENLWLSDVFIEESVDQTPAGLMASMSIVKATSNTISQCGWSASANWTPSISPSMDRARAWRRMSRSFQIHHRTSFRTRREWVLLGIQKRTIKVTVATNQYEQAIFHVAIRRRCRPSPYVVNFLVPSGILIAIDALSFYLPLESGNCAPFKMTVLLGYSVFLLMMNDLLPATSTSSHASLVAPLALMQTPLPAGVYFALCLSLMVGSLLETIFITHLLHVATTQPLPLPRWLHSLLLHCTGQGRCCPTAPQKGNKGPGLTPTHLPGVKEPEVSAGQMPGPGEAELTGGSEWTRAQREHEAQKQHSVELWVQFSHAMDALLFRLYLLFMASSIITVICLWNT
+>DECOY_sp|Q70Z44|5HT3D_HUMAN 5-hydroxytryptamine receptor 3D OS=Homo sapiens OX=9606 GN=HTR3D PE=1 SV=3
+TNWLCIVTIISSAMFLLYLRFLLADMAHSFQVWLEVSHQKQAEHERQARTWESGGTLEAEGPGPMQGASVEPEKVGPLHTPTLGPGKNGKQPATPCCRGQGTCHLLLSHLWRPLPLPQTTAVHLLHTIFITELLSGVMLSLCLAFYVGAPLPTQMLALPAVLSAHSSTSTAPLLDNMMLLFVSYGLLVTMKFPACNGSELPLYFSLADIAILIGSPVLFNVVYPSPRCRRRIAVHFIAQEYQNTAVTVKITRKQIGLLVWERRTRFSTRHHIQFSRSMRRWARARDMSPSISPTWNASASWGCQSITNSTAKVISMSAMLGAPTQDVSEEIFVDSLWLNETAMGSKKIGGCEDPNWQVFQNHWPRAHHCNFTHIRSYCNWIASLTFSINVHTAVSYNTVPGIAKRDFVAQFAAPDVRHQGFGPCNIILTDGNGTTLLSQSLLALAPPGPSHKQM
+>sp|Q8NAA4|A16L2_HUMAN Autophagy-related protein 16-2 OS=Homo sapiens OX=9606 GN=ATG16L2 PE=1 SV=2
+MAGPGVPGAPAARWKRHIVRQLRLRDRTQKALFLELVPAYNHLLEKAELLDKFSKKLQPEPNSVTPTTHQGPWEESELDSDQVPSLVALRVKWQEEEEGLRLVCGEMAYQVVEKGAALGTLESELQQRQSRLAALEARVAQLREARAQQAQQVEEWRAQNAVQRAAYEALRAHVGLREAALRRLQEEARDLLERLVQRKARAAAERNLRNERRERAKQARVSQELKKAAKRTVSISEGPDTLGDGMRERRETLALAPEPEPLEKEACEKWKRPFRSASATSLTLSHCVDVVKGLLDFKKRRGHSIGGAPEQRYQIIPVCVAARLPTRAQDVLDAHLSEVNAVRFGPNSSLLATGGADRLIHLWNVVGSRLEANQTLEGAGGSITSVDFDPSGYQVLAATYNQAAQLWKVGEAQSKETLSGHKDKVTAAKFKLTRHQAVTGSRDRTVKEWDLGRAYCSRTINVLSYCNDVVCGDHIIISGHNDQKIRFWDSRGPHCTQVIPVQGRVTSLSLSHDQLHLLSCSRDNTLKVIDLRVSNIRQVFRADGFKCGSDWTKAVFSPDRSYALAGSCDGALYIWDVDTGKLESRLQGPHCAAVNAVAWCYSGSHMVSVDQGRKVVLWQ
+>DECOY_sp|Q8NAA4|A16L2_HUMAN Autophagy-related protein 16-2 OS=Homo sapiens OX=9606 GN=ATG16L2 PE=1 SV=2
+QWLVVKRGQDVSVMHSGSYCWAVANVAACHPGQLRSELKGTDVDWIYLAGDCSGALAYSRDPSFVAKTWDSGCKFGDARFVQRINSVRLDIVKLTNDRSCSLLHLQDHSLSLSTVRGQVPIVQTCHPGRSDWFRIKQDNHGSIIIHDGCVVDNCYSLVNITRSCYARGLDWEKVTRDRSGTVAQHRTLKFKAATVKDKHGSLTEKSQAEGVKWLQAAQNYTAALVQYGSPDFDVSTISGGAGELTQNAELRSGVVNWLHILRDAGGTALLSSNPGFRVANVESLHADLVDQARTPLRAAVCVPIIQYRQEPAGGISHGRRKKFDLLGKVVDVCHSLTLSTASASRFPRKWKECAEKELPEPEPALALTERRERMGDGLTDPGESISVTRKAAKKLEQSVRAQKARERRENRLNREAAARAKRQVLRELLDRAEEQLRRLAAERLGVHARLAEYAARQVANQARWEEVQQAQQARAERLQAVRAELAALRSQRQQLESELTGLAAGKEVVQYAMEGCVLRLGEEEEQWKVRLAVLSPVQDSDLESEEWPGQHTTPTVSNPEPQLKKSFKDLLEAKELLHNYAPVLELFLAKQTRDRLRLQRVIHRKWRAAPAGPVGPGAM
+>sp|Q9NS82|AAA1_HUMAN Asc-type amino acid transporter 1 OS=Homo sapiens OX=9606 GN=SLC7A10 PE=2 SV=1
+MAGHTQQPSGRGNPRPAPSPSPVPGTVPGASERVALKKEIGLLSACTIIIGNIIGSGIFISPKGVLEHSGSVGLALFVWVLGGGVTALGSLCYAELGVAIPKSGGDYAYVTEIFGGLAGFLLLWSAVLIMYPTSLAVISMTFSNYVLQPVFPNCIPPTTASRVLSMACLMLLTWVNSSSVRWATRIQDMFTGGKLLALSLIIGVGLLQIFQGHFEELRPSNAFAFWMTPSVGHLALAFLQGSFAFSGWNFLNYVTEEMVDARKNLPRAIFISIPLVTFVYTFTNIAYFTAMSPQELLSSNAVAVTFGEKLLGYFSWVMPVSVALSTFGGINGYLFTYSRLCFSGAREGHLPSLLAMIHVRHCTPIPALLVCCGATAVIMLVGDTYTLINYVSFINYLCYGVTILGLLLLRWRRPALHRPIKVNLLIPVAYLVFWAFLLVFSFISEPMVCGVGVIIILTGVPIFFLGVFWRSKPKCVHRLTESMTHWGQELCFVVYPQDAPEEEENGPCPPSLLPATDKPSKPQ
+>DECOY_sp|Q9NS82|AAA1_HUMAN Asc-type amino acid transporter 1 OS=Homo sapiens OX=9606 GN=SLC7A10 PE=2 SV=1
+QPKSPKDTAPLLSPPCPGNEEEEPADQPYVVFCLEQGWHTMSETLRHVCKPKSRWFVGLFFIPVGTLIIIVGVGCVMPESIFSFVLLFAWFVLYAVPILLNVKIPRHLAPRRWRLLLLGLITVGYCLYNIFSVYNILTYTDGVLMIVATAGCCVLLAPIPTCHRVHIMALLSPLHGERAGSFCLRSYTFLYGNIGGFTSLAVSVPMVWSFYGLLKEGFTVAVANSSLLEQPSMATFYAINTFTYVFTVLPISIFIARPLNKRADVMEETVYNLFNWGSFAFSGQLFALALHGVSPTMWFAFANSPRLEEFHGQFIQLLGVGIILSLALLKGGTFMDQIRTAWRVSSSNVWTLLMLCAMSLVRSATTPPICNPFVPQLVYNSFTMSIVALSTPYMILVASWLLLFGALGGFIETVYAYDGGSKPIAVGLEAYCLSGLATVGGGLVWVFLALGVSGSHELVGKPSIFIGSGIINGIIITCASLLGIEKKLAVRESAGPVTGPVPSPSPAPRPNGRGSPQQTHGAM
+>sp|P41238|ABEC1_HUMAN C->U-editing enzyme APOBEC-1 OS=Homo sapiens OX=9606 GN=APOBEC1 PE=1 SV=3
+MTSEKGPSTGDPTLRRRIEPWEFDVFYDPRELRKEACLLYEIKWGMSRKIWRSSGKNTTNHVEVNFIKKFTSERDFHPSMSCSITWFLSWSPCWECSQAIREFLSRHPGVTLVIYVARLFWHMDQQNRQGLRDLVNSGVTIQIMRASEYYHCWRNFVNYPPGDEAHWPQYPPLWMMLYALELHCIILSLPPCLKISRRWQNHLTFFRLHLQNCHYQTIPPHILLATGLIHPSVAWR
+>DECOY_sp|P41238|ABEC1_HUMAN C->U-editing enzyme APOBEC-1 OS=Homo sapiens OX=9606 GN=APOBEC1 PE=1 SV=3
+RWAVSPHILGTALLIHPPITQYHCNQLHLRFFTLHNQWRRSIKLCPPLSLIICHLELAYLMMWLPPYQPWHAEDGPPYNVFNRWCHYYESARMIQITVGSNVLDRLGQRNQQDMHWFLRAVYIVLTVGPHRSLFERIAQSCEWCPSWSLFWTISCSMSPHFDRESTFKKIFNVEVHNTTNKGSSRWIKRSMGWKIEYLLCAEKRLERPDYFVDFEWPEIRRRLTPDGTSPGKESTM
+>sp|P08910|ABHD2_HUMAN Monoacylglycerol lipase ABHD2 OS=Homo sapiens OX=9606 GN=ABHD2 PE=1 SV=1
+MNAMLETPELPAVFDGVKLAAVAAVLYVIVRCLNLKSPTAPPDLYFQDSGLSRFLLKSCPLLTKEYIPPLIWGKSGHIQTALYGKMGRVRSPHPYGHRKFITMSDGATSTFDLFEPLAEHCVGDDITMVICPGIANHSEKQYIRTFVDYAQKNGYRCAVLNHLGALPNIELTSPRMFTYGCTWEFGAMVNYIKKTYPLTQLVVVGFSLGGNIVCKYLGETQANQEKVLCCVSVCQGYSALRAQETFMQWDQCRRFYNFLMADNMKKIILSHRQALFGDHVKKPQSLEDTDLSRLYTATSLMQIDDNVMRKFHGYNSLKEYYEEESCMRYLHRIYVPLMLVNAADDPLVHESLLTIPKSLSEKRENVMFVLPLHGGHLGFFEGSVLFPEPLTWMDKLVVEYANAICQWERNKLQCSDTEQVEADLE
+>DECOY_sp|P08910|ABHD2_HUMAN Monoacylglycerol lipase ABHD2 OS=Homo sapiens OX=9606 GN=ABHD2 PE=1 SV=1
+ELDAEVQETDSCQLKNREWQCIANAYEVVLKDMWTLPEPFLVSGEFFGLHGGHLPLVFMVNERKESLSKPITLLSEHVLPDDAANVLMLPVYIRHLYRMCSEEEYYEKLSNYGHFKRMVNDDIQMLSTATYLRSLDTDELSQPKKVHDGFLAQRHSLIIKKMNDAMLFNYFRRCQDWQMFTEQARLASYGQCVSVCCLVKEQNAQTEGLYKCVINGGLSFGVVVLQTLPYTKKIYNVMAGFEWTCGYTFMRPSTLEINPLAGLHNLVACRYGNKQAYDVFTRIYQKESHNAIGPCIVMTIDDGVCHEALPEFLDFTSTAGDSMTIFKRHGYPHPSRVRGMKGYLATQIHGSKGWILPPIYEKTLLPCSKLLFRSLGSDQFYLDPPATPSKLNLCRVIVYLVAAVAALKVGDFVAPLEPTELMANM
+>sp|Q6H8Q1|ABLM2_HUMAN Actin-binding LIM protein 2 OS=Homo sapiens OX=9606 GN=ABLIM2 PE=1 SV=2
+MSAVSQPQAAPSPLEKSPSTAILCNTCGNVCKGEVLRVQDKYFHIKCFVCKACGCDLAEGGFFVRQGEYICTLDYQRLYGTRCFSCDQFIEGEVVSALGKTYHPDCFVCAVCRLPFPPGDRVTFNGKECMCQKCSLPVSVGSSAHLSQGLRSCGGCGTEIKNGQALVALDKHWHLGCFKCKSCGKLLNAEYISKDGLPYCEADYHAKFGIRCDSCEKYITGRVLEAGEKHYHPSCALCVRCGQMFAEGEEMYLQGSSIWHPACRQAARTEDRNKETRTSSESIISVPASSTSGSPSRVIYAKLGGEILDYRDLAALPKSKAIYDIDRPDMISYSPYISHSAGDRQSYGEGDQDDRSYKQCRTSSPSSTGSVSLGRYTPTSRSPQHYSRPGSESGRSTPSLSVLSDSKPPPSTYQQAPRHFHVPDTGVKDNIYRKPPIYRQHAARRSDGEDGSLDQDNRKKSSWLMLKGDADTRTNSPDLDTQSLSHSSGTDRDPLQRMAGDSFHSRFPYSKSDPLPGHGKNGLDQRNANLAPCGADPDASWGMREYKIYPYDSLIVTNRIRVKLPKDVDRTRLERHLSPEEFQEVFGMSIEEFDRLALWKRNDLKKKALLF
+>DECOY_sp|Q6H8Q1|ABLM2_HUMAN Actin-binding LIM protein 2 OS=Homo sapiens OX=9606 GN=ABLIM2 PE=1 SV=2
+FLLAKKKLDNRKWLALRDFEEISMGFVEQFEEPSLHRELRTRDVDKPLKVRIRNTVILSDYPYIKYERMGWSADPDAGCPALNANRQDLGNKGHGPLPDSKSYPFRSHFSDGAMRQLPDRDTGSSHSLSQTDLDPSNTRTDADGKLMLWSSKKRNDQDLSGDEGDSRRAAHQRYIPPKRYINDKVGTDPVHFHRPAQQYTSPPPKSDSLVSLSPTSRGSESGPRSYHQPSRSTPTYRGLSVSGTSSPSSTRCQKYSRDDQDGEGYSQRDGASHSIYPSYSIMDPRDIDYIAKSKPLAALDRYDLIEGGLKAYIVRSPSGSTSSAPVSIISESSTRTEKNRDETRAAQRCAPHWISSGQLYMEEGEAFMQGCRVCLACSPHYHKEGAELVRGTIYKECSDCRIGFKAHYDAECYPLGDKSIYEANLLKGCSKCKFCGLHWHKDLAVLAQGNKIETGCGGCSRLGQSLHASSGVSVPLSCKQCMCEKGNFTVRDGPPFPLRCVACVFCDPHYTKGLASVVEGEIFQDCSFCRTGYLRQYDLTCIYEGQRVFFGGEALDCGCAKCVFCKIHFYKDQVRLVEGKCVNGCTNCLIATSPSKELPSPAAQPQSVASM
+>sp|Q12979|ABR_HUMAN Active breakpoint cluster region-related protein OS=Homo sapiens OX=9606 GN=ABR PE=2 SV=2
+MEPLSHRGLPRLSWIDTLYSNFSYGTDEYDGEGNEEQKGPPEGSETMPYIDESPTMSPQLSARSQGGGDGVSPTPPEGLAPGVEAGKGLEMRKLVLSGFLASEEIYINQLEALLLPMKPLKATATTSQPVLTIQQIETIFYKIQDIYEIHKEFYDNLCPKVQQWDSQVTMGHLFQKLASQLGVYKAFVDNYKVALETAEKCSQSNNQFQKISEELKVKGPKDSKDSHTSVTMEALLYKPIDRVTRSTLVLHDLLKHTPVDHPDYPLLQDALRISQNFLSSINEDIDPRRTAVTTPKGETRQLVKDGFLVEVSESSRKLRHVFLFTDVLLCAKLKKTSAGKHQQYDCKWYIPLADLVFPSPEESEASPQVHPFPDHELEDMKMKISALKSEIQKEKANKGQSRAIERLKKKMFENEFLLLLNSPTIPFRIHNRNGKSYLFLLSSDYERSEWREAIQKLQKKDLQAFVLSSVELQVLTGSCFKLRTVHNIPVTSNKDDDESPGLYGFLHVIVHSAKGFKQSANLYCTLEVDSFGYFVSKAKTRVFRDTAEPKWDEEFEIELEGSQSLRILCYEKCYDKTKVNKDNNEIVDKIMGKGQIQLDPQTVETKNWHTDVIEMNGIKVEFSMKFTSRDMSLKRTPSKKQTGVFGVKISVVTKRERSKVPYIVRQCVEEVEKRGIEEVGIYRISGVATDIQALKAVFDANNKDILLMLSDMDINAIAGTLKLYFRELPEPLLTDRLYPAFMEGIALSDPAAKENCMMHLLRSLPDPNLITFLFLLEHLKRVAEKEPINKMSLHNLATVFGPTLLRPSEVESKAHLTSAADIWSHDVMAQVQVLLYYLQHPPISFAELKRNTLYFSTDV
+>DECOY_sp|Q12979|ABR_HUMAN Active breakpoint cluster region-related protein OS=Homo sapiens OX=9606 GN=ABR PE=2 SV=2
+VDTSFYLTNRKLEAFSIPPHQLYYLLVQVQAMVDHSWIDAASTLHAKSEVESPRLLTPGFVTALNHLSMKNIPEKEAVRKLHELLFLFTILNPDPLSRLLHMMCNEKAAPDSLAIGEMFAPYLRDTLLPEPLERFYLKLTGAIANIDMDSLMLLIDKNNADFVAKLAQIDTAVGSIRYIGVEEIGRKEVEEVCQRVIYPVKSRERKTVVSIKVGFVGTQKKSPTRKLSMDRSTFKMSFEVKIGNMEIVDTHWNKTEVTQPDLQIQGKGMIKDVIENNDKNVKTKDYCKEYCLIRLSQSGELEIEFEEDWKPEATDRFVRTKAKSVFYGFSDVELTCYLNASQKFGKASHVIVHLFGYLGPSEDDDKNSTVPINHVTRLKFCSGTLVQLEVSSLVFAQLDKKQLKQIAERWESREYDSSLLFLYSKGNRNHIRFPITPSNLLLLFENEFMKKKLREIARSQGKNAKEKQIESKLASIKMKMDELEHDPFPHVQPSAESEEPSPFVLDALPIYWKCDYQQHKGASTKKLKACLLVDTFLFVHRLKRSSESVEVLFGDKVLQRTEGKPTTVATRRPDIDENISSLFNQSIRLADQLLPYDPHDVPTHKLLDHLVLTSRTVRDIPKYLLAEMTVSTHSDKSDKPGKVKLEESIKQFQNNSQSCKEATELAVKYNDVFAKYVGLQSALKQFLHGMTVQSDWQQVKPCLNDYFEKHIEYIDQIKYFITEIQQITLVPQSTTATAKLPKMPLLLAELQNIYIEESALFGSLVLKRMELGKGAEVGPALGEPPTPSVGDGGGQSRASLQPSMTPSEDIYPMTESGEPPGKQEENGEGDYEDTGYSFNSYLTDIWSLRPLGRHSLPEM
+>sp|P28330|ACADL_HUMAN Long-chain specific acyl-CoA dehydrogenase, mitochondrial OS=Homo sapiens OX=9606 GN=ACADL PE=1 SV=2
+MAARLLRGSLRVLGGHRAPRQLPAARCSHSGGEERLETPSAKKLTDIGIRRIFSPEHDIFRKSVRKFFQEEVIPHHSEWEKAGEVSREVWEKAGKQGLLGVNIAEHLGGIGGDLYSAAIVWEEQAYSNCSGPGFSIHSGIVMSYITNHGSEEQIKHFIPQMTAGKCIGAIAMTEPGAGSDLQGIKTNAKKDGSDWILNGSKVFISNGSLSDVVIVVAVTNHEAPSPAHGISLFLVENGMKGFIKGRKLHKMGLKAQDTAELFFEDIRLPASALLGEENKGFYYIMKELPQERLLIADVAISASEFMFEETRNYVKQRKAFGKTVAHLQTVQHKLAELKTHICVTRAFVDNCLQLHEAKRLDSATACMAKYWASELQNSVAYDCVQLHGGWGYMWEYPIAKAYVDARVQPIYGGTNEIMKELIAREIVFDK
+>DECOY_sp|P28330|ACADL_HUMAN Long-chain specific acyl-CoA dehydrogenase, mitochondrial OS=Homo sapiens OX=9606 GN=ACADL PE=1 SV=2
+KDFVIERAILEKMIENTGGYIPQVRADVYAKAIPYEWMYGWGGHLQVCDYAVSNQLESAWYKAMCATASDLRKAEHLQLCNDVFARTVCIHTKLEALKHQVTQLHAVTKGFAKRQKVYNRTEEFMFESASIAVDAILLREQPLEKMIYYFGKNEEGLLASAPLRIDEFFLEATDQAKLGMKHLKRGKIFGKMGNEVLFLSIGHAPSPAEHNTVAVVIVVDSLSGNSIFVKSGNLIWDSGDKKANTKIGQLDSGAGPETMAIAGICKGATMQPIFHKIQEESGHNTIYSMVIGSHISFGPGSCNSYAQEEWVIAASYLDGGIGGLHEAINVGLLGQKGAKEWVERSVEGAKEWESHHPIVEEQFFKRVSKRFIDHEPSFIRRIGIDTLKKASPTELREEGGSHSCRAAPLQRPARHGGLVRLSGRLLRAAM
+>sp|Q15057|ACAP2_HUMAN Arf-GAP with coiled-coil, ANK repeat and PH domain-containing protein 2 OS=Homo sapiens OX=9606 GN=ACAP2 PE=1 SV=3
+MKMTVDFEECLKDSPRFRAALEEVEGDVAELELKLDKLVKLCIAMIDTGKAFCVANKQFMNGIRDLAQYSSNDAVVETSLTKFSDSLQEMINFHTILFDQTQRSIKAQLQNFVKEDLRKFKDAKKQFEKVSEEKENALVKNAQVQRNKQHEVEEATNILTATRKCFRHIALDYVLQINVLQSKRRSEILKSMLSFMYAHLAFFHQGYDLFSELGPYMKDLGAQLDRLVVDAAKEKREMEQKHSTIQQKDFSSDDSKLEYNVDAANGIVMEGYLFKRASNAFKTWNRRWFSIQNNQLVYQKKFKDNPTVVVEDLRLCTVKHCEDIERRFCFEVVSPTKSCMLQADSEKLRQAWIKAVQTSIATAYREKGDESEKLDKKSSPSTGSLDSGNESKEKLLKGESALQRVQCIPGNASCCDCGLADPRWASINLGITLCIECSGIHRSLGVHFSKVRSLTLDTWEPELLKLMCELGNDVINRVYEANVEKMGIKKPQPGQRQEKEAYIRAKYVERKFVDKYSISLSPPEQQKKFVSKSSEEKRLSISKFGPGDQVRASAQSSVRSNDSGIQQSSDDGRESLPSTVSANSLYEPEGERQDSSMFLDSKHLNPGLQLYRASYEKNLPKMAEALAHGADVNWANSEENKATPLIQAVLGGSLVTCEFLLQNGANVNQRDVQGRGPLHHATVLGHTGQVCLFLKRGANQHATDEEGKDPLSIAVEAANADIVTLLRLARMNEEMRESEGLYGQPGDETYQDIFRDFSQMASNNPEKLNRFQQDSQKF
+>DECOY_sp|Q15057|ACAP2_HUMAN Arf-GAP with coiled-coil, ANK repeat and PH domain-containing protein 2 OS=Homo sapiens OX=9606 GN=ACAP2 PE=1 SV=3
+FKQSDQQFRNLKEPNNSAMQSFDRFIDQYTEDGPQGYLGESERMEENMRALRLLTVIDANAAEVAISLPDKGEEDTAHQNAGRKLFLCVQGTHGLVTAHHLPGRGQVDRQNVNAGNQLLFECTVLSGGLVAQILPTAKNEESNAWNVDAGHALAEAMKPLNKEYSARYLQLGPNLHKSDLFMSSDQREGEPEYLSNASVTSPLSERGDDSSQQIGSDNSRVSSQASARVQDGPGFKSISLRKEESSKSVFKKQQEPPSLSISYKDVFKREVYKARIYAEKEQRQGPQPKKIGMKEVNAEYVRNIVDNGLECMLKLLEPEWTDLTLSRVKSFHVGLSRHIGSCEICLTIGLNISAWRPDALGCDCCSANGPICQVRQLASEGKLLKEKSENGSDLSGTSPSSKKDLKESEDGKERYATAISTQVAKIWAQRLKESDAQLMCSKTPSVVEFCFRREIDECHKVTCLRLDEVVVTPNDKFKKQYVLQNNQISFWRRNWTKFANSARKFLYGEMVIGNAADVNYELKSDDSSFDKQQITSHKQEMERKEKAADVVLRDLQAGLDKMYPGLESFLDYGQHFFALHAYMFSLMSKLIESRRKSQLVNIQLVYDLAIHRFCKRTATLINTAEEVEHQKNRQVQANKVLANEKEESVKEFQKKADKFKRLDEKVFNQLQAKISRQTQDFLITHFNIMEQLSDSFKTLSTEVVADNSSYQALDRIGNMFQKNAVCFAKGTDIMAICLKVLKDLKLELEAVDGEVEELAARFRPSDKLCEEFDVTMKM
+>sp|Q8NC06|ACBD4_HUMAN Acyl-CoA-binding domain-containing protein 4 OS=Homo sapiens OX=9606 GN=ACBD4 PE=1 SV=2
+MGTEKESPEPDCQKQFQAAVSVIQNLPKNGSYRPSYEEMLRFYSYYKQATMGPCLVPRPGFWDPIGRYKWDAWNSLGKMSREEAMSAYITEMKLVAQKVIDTVPLGEVAEDMFGYFEPLYQVIPDMPRPPETFLRRVTGWKEQVVNGDVGAVSEPPCLPKEPAPPSPESHSPRDLDSEVFCDSLEQLEPELSSGQHLEESVIPGTAPCPPQRKRGCGAARRGPRSWTCGCWGQFEHYRRACRRCRRGCRAWRACPGPLSSLTLSVRLE
+>DECOY_sp|Q8NC06|ACBD4_HUMAN Acyl-CoA-binding domain-containing protein 4 OS=Homo sapiens OX=9606 GN=ACBD4 PE=1 SV=2
+ELRVSLTLSSLPGPCARWARCGRRCRRCARRYHEFQGWCGCTWSRPGRRAAGCGRKRQPPCPATGPIVSEELHQGSSLEPELQELSDCFVESDLDRPSHSEPSPPAPEKPLCPPESVAGVDGNVVQEKWGTVRRLFTEPPRPMDPIVQYLPEFYGFMDEAVEGLPVTDIVKQAVLKMETIYASMAEERSMKGLSNWADWKYRGIPDWFGPRPVLCPGMTAQKYYSYFRLMEEYSPRYSGNKPLNQIVSVAAQFQKQCDPEPSEKETGM
+>sp|Q9BR61|ACBD6_HUMAN Acyl-CoA-binding domain-containing protein 6 OS=Homo sapiens OX=9606 GN=ACBD6 PE=1 SV=1
+MASSFLPAGAITGDSGGELSSGDDSGEVEFPHSPEIEETSCLAELFEKAAAHLQGLIQVASREQLLYLYARYKQVKVGNCNTPKPSFFDFEGKQKWEAWKALGDSSPSQAMQEYIAVVKKLDPGWNPQIPEKKGKEANTGFGGPVISSLYHEETIREEDKNIFDYCRENNIDHITKAIKSKNVDVNVKDEEGRALLHWACDRGHKELVTVLLQHRADINCQDNEGQTALHYASACEFLDIVELLLQSGADPTLRDQDGCLPEEVTGCKTVSLVLQRHTTGKA
+>DECOY_sp|Q9BR61|ACBD6_HUMAN Acyl-CoA-binding domain-containing protein 6 OS=Homo sapiens OX=9606 GN=ACBD6 PE=1 SV=1
+AKGTTHRQLVLSVTKCGTVEEPLCGDQDRLTPDAGSQLLLEVIDLFECASAYHLATQGENDQCNIDARHQLLVTVLEKHGRDCAWHLLARGEEDKVNVDVNKSKIAKTIHDINNERCYDFINKDEERITEEHYLSSIVPGGFGTNAEKGKKEPIQPNWGPDLKKVVAIYEQMAQSPSSDGLAKWAEWKQKGEFDFFSPKPTNCNGVKVQKYRAYLYLLQERSAVQILGQLHAAAKEFLEALCSTEEIEPSHPFEVEGSDDGSSLEGGSDGTIAGAPLFSSAM
+>sp|Q8N6N7|ACBD7_HUMAN Acyl-CoA-binding domain-containing protein 7 OS=Homo sapiens OX=9606 GN=ACBD7 PE=1 SV=1
+MALQADFDRAAEDVRKLKARPDDGELKELYGLYKQAIVGDINIACPGMLDLKGKAKWEAWNLKKGLSTEDATSAYISKAKELIEKYGI
+>DECOY_sp|Q8N6N7|ACBD7_HUMAN Acyl-CoA-binding domain-containing protein 7 OS=Homo sapiens OX=9606 GN=ACBD7 PE=1 SV=1
+IGYKEILEKAKSIYASTADETSLGKKLNWAEWKAKGKLDLMGPCAINIDGVIAQKYLGYLEKLEGDDPRAKLKRVDEAARDFDAQLAM
+>sp|Q6JQN1|ACD10_HUMAN Acyl-CoA dehydrogenase family member 10 OS=Homo sapiens OX=9606 GN=ACAD10 PE=1 SV=1
+MCVRSCFQSPRLQWVWRTAFLKHTQRRHQGSHRWTHLGGSTYRAVIFDMGGVLIPSPGRVAAEWEVQNRIPSGTILKALMEGGENGPWMRFMRAEITAEGFLREFGRLCSEMLKTSVPVDSFFSLLTSERVAKQFPVMTEAITQIRAKGLQTAVLSNNFYLPNQKSFLPLDRKQFDVIVESCMEGICKPDPRIYKLCLEQLGLQPSESIFLDDLGTNLKEAARLGIHTIKVNDPETAVKELEALLGFTLRVGVPNTRPVKKTMEIPKDSLQKYLKDLLGIQTTGPLELLQFDHGQSNPTYYIRLANRDLVLRKKPPGTLLPSAHAIEREFRIMKALANAGVPVPNVLDLCEDSSVIGTPFYVMEYCPGLIYKDPSLPGLEPSHRRAIYTAMNTVLCKIHSVDLQAVGLEDYGKQGDYIPRQVRTWVKQYRASETSTIPAMERLIEWLPLHLPRQQRTTVVHGDFRLDNLVFHPEEPEVLAVLDWELSTLGDPLADVAYSCLAHYLPSSFPVLRGINDCDLTQLGIPAAEEYFRMYCLQMGLPPTENWNFYMAFSFFRVAAILQGVYKRSLTGQASSTYAEQTGKLTEFVSNLAWDFAVKEGFRVFKEMPFTNPLTRSYHTWARPQSQWCPTGSRSYSSVPEASPAHTSRGGLVISPESLSPPVRELYHRLKHFMEQRVYPAEPELQSHQASAARWSPSPLIEDLKEKAKAEGLWNLFLPLEADPEKKYGAGLTNVEYAHLCELMGTSLYAPEVCNCSAPDTGNMELLVRYGTEAQKARWLIPLLEGKARSCFAMTEPQVASSDATNIEASIREEDSFYVINGHKWWITGILDPRCQLCVFMGKTDPHAPRHRQQSVLLVPMDTPGIKIIRPLTVYGLEDAPGGHGEVRFEHVRVPKENMVLGPGRGFEIAQGRLGPGRIHHCMRLIGFSERALALMKARVKSRLAFGKPLVEQGTVLADIAQSRVEIEQARLLVLRAAHLMDLAGNKAAALDIAMIKMVAPSMASRVIDRAIQAFGAAGLSSDYPLAQFFTWARALRFADGPDEVHRATVAKLELKHRI
+>DECOY_sp|Q6JQN1|ACD10_HUMAN Acyl-CoA dehydrogenase family member 10 OS=Homo sapiens OX=9606 GN=ACAD10 PE=1 SV=1
+IRHKLELKAVTARHVEDPGDAFRLARAWTFFQALPYDSSLGAAGFAQIARDIVRSAMSPAVMKIMAIDLAAAKNGALDMLHAARLVLLRAQEIEVRSQAIDALVTGQEVLPKGFALRSKVRAKMLALARESFGILRMCHHIRGPGLRGQAIEFGRGPGLVMNEKPVRVHEFRVEGHGGPADELGYVTLPRIIKIGPTDMPVLLVSQQRHRPAHPDTKGMFVCLQCRPDLIGTIWWKHGNIVYFSDEERISAEINTADSSAVQPETMAFCSRAKGELLPILWRAKQAETGYRVLLEMNGTDPASCNCVEPAYLSTGMLECLHAYEVNTLGAGYKKEPDAELPLFLNWLGEAKAKEKLDEILPSPSWRAASAQHSQLEPEAPYVRQEMFHKLRHYLERVPPSLSEPSIVLGGRSTHAPSAEPVSSYSRSGTPCWQSQPRAWTHYSRTLPNTFPMEKFVRFGEKVAFDWALNSVFETLKGTQEAYTSSAQGTLSRKYVGQLIAAVRFFSFAMYFNWNETPPLGMQLCYMRFYEEAAPIGLQTLDCDNIGRLVPFSSPLYHALCSYAVDALPDGLTSLEWDLVALVEPEEPHFVLNDLRFDGHVVTTRQQRPLHLPLWEILREMAPITSTESARYQKVWTRVQRPIYDGQKGYDELGVAQLDVSHIKCLVTNMATYIARRHSPELGPLSPDKYILGPCYEMVYFPTGIVSSDECLDLVNPVPVGANALAKMIRFEREIAHASPLLTGPPKKRLVLDRNALRIYYTPNSQGHDFQLLELPGTTQIGLLDKLYKQLSDKPIEMTKKVPRTNPVGVRLTFGLLAELEKVATEPDNVKITHIGLRAAEKLNTGLDDLFISESPQLGLQELCLKYIRPDPKCIGEMCSEVIVDFQKRDLPLFSKQNPLYFNNSLVATQLGKARIQTIAETMVPFQKAVRESTLLSFFSDVPVSTKLMESCLRGFERLFGEATIEARMFRMWPGNEGGEMLAKLITGSPIRNQVEWEAAVRGPSPILVGGMDFIVARYTSGGLHTWRHSGQHRRQTHKLFATRWVWQLRPSQFCSRVCM
+>sp|Q5QJU3|ACER2_HUMAN Alkaline ceramidase 2 OS=Homo sapiens OX=9606 GN=ACER2 PE=1 SV=2
+MGAPHWWDQLQAGSSEVDWCEDNYTIVPAIAEFYNTISNVLFFILPPICMCLFRQYATCFNSGIYLIWTLLVVVGIGSVYFHATLSFLGQMLDELAVLWVLMCALAMWFPRRYLPKIFRNDRGRFKVVVSVLSAVTTCLAFVKPAINNISLMTLGVPCTALLIAELKRCDNMRVFKLGLFSGLWWTLALFCWISDRAFCELLSSFNFPYLHCMWHILICLAAYLGCVCFAYFDAASEIPEQGPVIKFWPNEKWAFIGVPYVSLLCANKKSSVKIT
+>DECOY_sp|Q5QJU3|ACER2_HUMAN Alkaline ceramidase 2 OS=Homo sapiens OX=9606 GN=ACER2 PE=1 SV=2
+TIKVSSKKNACLLSVYPVGIFAWKENPWFKIVPGQEPIESAADFYAFCVCGLYAALCILIHWMCHLYPFNFSSLLECFARDSIWCFLALTWWLGSFLGLKFVRMNDCRKLEAILLATCPVGLTMLSINNIAPKVFALCTTVASLVSVVVKFRGRDNRFIKPLYRRPFWMALACMLVWLVALEDLMQGLFSLTAHFYVSGIGVVVLLTWILYIGSNFCTAYQRFLCMCIPPLIFFLVNSITNYFEAIAPVITYNDECWDVESSGAQLQDWWHPAGM
+>sp|P22303|ACES_HUMAN Acetylcholinesterase OS=Homo sapiens OX=9606 GN=ACHE PE=1 SV=1
+MRPPQCLLHTPSLASPLLLLLLWLLGGGVGAEGREDAELLVTVRGGRLRGIRLKTPGGPVSAFLGIPFAEPPMGPRRFLPPEPKQPWSGVVDATTFQSVCYQYVDTLYPGFEGTEMWNPNRELSEDCLYLNVWTPYPRPTSPTPVLVWIYGGGFYSGASSLDVYDGRFLVQAERTVLVSMNYRVGAFGFLALPGSREAPGNVGLLDQRLALQWVQENVAAFGGDPTSVTLFGESAGAASVGMHLLSPPSRGLFHRAVLQSGAPNGPWATVGMGEARRRATQLAHLVGCPPGGTGGNDTELVACLRTRPAQVLVNHEWHVLPQESVFRFSFVPVVDGDFLSDTPEALINAGDFHGLQVLVGVVKDEGSYFLVYGAPGFSKDNESLISRAEFLAGVRVGVPQVSDLAAEAVVLHYTDWLHPEDPARLREALSDVVGDHNVVCPVAQLAGRLAAQGARVYAYVFEHRASTLSWPLWMGVPHGYEIEFIFGIPLDPSRNYTAEEKIFAQRLMRYWANFARTGDPNEPRDPKAPQWPPYTAGAQQYVSLDLRPLEVRRGLRAQACAFWNRFLPKLLSATDTLDEAERQWKAEFHRWSSYMVHWKNQFDHYSKQDRCSDL
+>DECOY_sp|P22303|ACES_HUMAN Acetylcholinesterase OS=Homo sapiens OX=9606 GN=ACHE PE=1 SV=1
+LDSCRDQKSYHDFQNKWHVMYSSWRHFEAKWQREAEDLTDTASLLKPLFRNWFACAQARLGRRVELPRLDLSVYQQAGATYPPWQPAKPDRPENPDGTRAFNAWYRMLRQAFIKEEATYNRSPDLPIGFIFEIEYGHPVGMWLPWSLTSARHEFVYAYVRAGQAALRGALQAVPCVVNHDGVVDSLAERLRAPDEPHLWDTYHLVVAEAALDSVQPVGVRVGALFEARSILSENDKSFGPAGYVLFYSGEDKVVGVLVQLGHFDGANILAEPTDSLFDGDVVPVFSFRFVSEQPLVHWEHNVLVQAPRTRLCAVLETDNGGTGGPPCGVLHALQTARRRAEGMGVTAWPGNPAGSQLVARHFLGRSPPSLLHMGVSAAGASEGFLTVSTPDGGFAAVNEQVWQLALRQDLLGVNGPAERSGPLALFGFAGVRYNMSVLVTREAQVLFRGDYVDLSSAGSYFGGGYIWVLVPTPSTPRPYPTWVNLYLCDESLERNPNWMETGEFGPYLTDVYQYCVSQFTTADVVGSWPQKPEPPLFRRPGMPPEAFPIGLFASVPGGPTKLRIGRLRGGRVTVLLEADERGEAGVGGGLLWLLLLLLPSALSPTHLLCQPPRM
+>sp|Q9GZZ6|ACH10_HUMAN Neuronal acetylcholine receptor subunit alpha-10 OS=Homo sapiens OX=9606 GN=CHRNA10 PE=1 SV=1
+MGLRSHHLSLGLLLLFLLPAECLGAEGRLALKLFRDLFANYTSALRPVADTDQTLNVTLEVTLSQIIDMDERNQVLTLYLWIRQEWTDAYLRWDPNAYGGLDAIRIPSSLVWRPDIVLYNKADAQPPGSASTNVVLRHDGAVRWDAPAITRSSCRVDVAAFPFDAQHCGLTFGSWTHGGHQLDVRPRGAAASLADFVENVEWRVLGMPARRRVLTYGCCSEPYPDVTFTLLLRRRAAAYVCNLLLPCVLISLLAPLAFHLPADSGEKVSLGVTVLLALTVFQLLLAESMPPAESVPLIGKYYMATMTMVTFSTALTILIMNLHYCGPSVRPVPAWARALLLGHLARGLCVRERGEPCGQSRPPELSPSPQSPEGGAGPPAGPCHEPRCLCRQEALLHHVATIANTFRSHRAAQRCHEDWKRLARVMDRFFLAIFFSMALVMSLLVLVQAL
+>DECOY_sp|Q9GZZ6|ACH10_HUMAN Neuronal acetylcholine receptor subunit alpha-10 OS=Homo sapiens OX=9606 GN=CHRNA10 PE=1 SV=1
+LAQVLVLLSMVLAMSFFIALFFRDMVRALRKWDEHCRQAARHSRFTNAITAVHHLLAEQRCLCRPEHCPGAPPGAGGEPSQPSPSLEPPRSQGCPEGRERVCLGRALHGLLLARAWAPVPRVSPGCYHLNMILITLATSFTVMTMTAMYYKGILPVSEAPPMSEALLLQFVTLALLVTVGLSVKEGSDAPLHFALPALLSILVCPLLLNCVYAAARRRLLLTFTVDPYPESCCGYTLVRRRAPMGLVRWEVNEVFDALSAAAGRPRVDLQHGGHTWSGFTLGCHQADFPFAAVDVRCSSRTIAPADWRVAGDHRLVVNTSASGPPQADAKNYLVIDPRWVLSSPIRIADLGGYANPDWRLYADTWEQRIWLYLTLVQNREDMDIIQSLTVELTVNLTQDTDAVPRLASTYNAFLDRFLKLALRGEAGLCEAPLLFLLLLGLSLHHSRLGM
+>sp|Q9UGM1|ACHA9_HUMAN Neuronal acetylcholine receptor subunit alpha-9 OS=Homo sapiens OX=9606 GN=CHRNA9 PE=1 SV=2
+MNWSHSCISFCWIYFAASRLRAAETADGKYAQKLFNDLFEDYSNALRPVEDTDKVLNVTLQITLSQIKDMDERNQILTAYLWIRQIWHDAYLTWDRDQYDGLDSIRIPSDLVWRPDIVLYNKADDESSEPVNTNVVLRYDGLITWDAPAITKSSCVVDVTYFPFDNQQCNLTFGSWTYNGNQVDIFNALDSGDLSDFIEDVEWEVHGMPAVKNVISYGCCSEPYPDVTFTLLLKRRSSFYIVNLLIPCVLISFLAPLSFYLPAASGEKVSLGVTILLAMTVFQLMVAEIMPASENVPLIGKYYIATMALITASTALTIMVMNIHFCGAEARPVPHWARVVILKYMSRVLFVYDVGESCLSPHHSRERDHLTKVYSKLPESNLKAARNKDLSRKKDMNKRLKNDLGCQGKNPQEAESYCAQYKVLTRNIEYIAKCLKDHKATNSKGSEWKKVAKVIDRFFMWIFFIMVFVMTILIIARAD
+>DECOY_sp|Q9UGM1|ACHA9_HUMAN Neuronal acetylcholine receptor subunit alpha-9 OS=Homo sapiens OX=9606 GN=CHRNA9 PE=1 SV=2
+DARAIILITMVFVMIFFIWMFFRDIVKAVKKWESGKSNTAKHDKLCKAIYEINRTLVKYQACYSEAEQPNKGQCGLDNKLRKNMDKKRSLDKNRAAKLNSEPLKSYVKTLHDRERSHHPSLCSEGVDYVFLVRSMYKLIVVRAWHPVPRAEAGCFHINMVMITLATSATILAMTAIYYKGILPVNESAPMIEAVMLQFVTMALLITVGLSVKEGSAAPLYFSLPALFSILVCPILLNVIYFSSRRKLLLTFTVDPYPESCCGYSIVNKVAPMGHVEWEVDEIFDSLDGSDLANFIDVQNGNYTWSGFTLNCQQNDFPFYTVDVVCSSKTIAPADWTILGDYRLVVNTNVPESSEDDAKNYLVIDPRWVLDSPIRISDLGDYQDRDWTLYADHWIQRIWLYATLIQNREDMDKIQSLTIQLTVNLVKDTDEVPRLANSYDEFLDNFLKQAYKGDATEAARLRSAAFYIWCFSICSHSWNM
+>sp|P17787|ACHB2_HUMAN Neuronal acetylcholine receptor subunit beta-2 OS=Homo sapiens OX=9606 GN=CHRNB2 PE=1 SV=1
+MARRCGPVALLLGFGLLRLCSGVWGTDTEERLVEHLLDPSRYNKLIRPATNGSELVTVQLMVSLAQLISVHEREQIMTTNVWLTQEWEDYRLTWKPEEFDNMKKVRLPSKHIWLPDVVLYNNADGMYEVSFYSNAVVSYDGSIFWLPPAIYKSACKIEVKHFPFDQQNCTMKFRSWTYDRTEIDLVLKSEVASLDDFTPSGEWDIVALPGRRNENPDDSTYVDITYDFIIRRKPLFYTINLIIPCVLITSLAILVFYLPSDCGEKMTLCISVLLALTVFLLLISKIVPPTSLDVPLVGKYLMFTMVLVTFSIVTSVCVLNVHHRSPTTHTMAPWVKVVFLEKLPALLFMQQPRHHCARQRLRLRRRQREREGAGALFFREAPGADSCTCFVNRASVQGLAGAFGAEPAPVAGPGRSGEPCGCGLREAVDGVRFIADHMRSEDDDQSVSEDWKYVAMVIDRLFLWIFVFVCVFGTIGMFLQPLFQNYTTTTFLHSDHSAPSSK
+>DECOY_sp|P17787|ACHB2_HUMAN Neuronal acetylcholine receptor subunit beta-2 OS=Homo sapiens OX=9606 GN=CHRNB2 PE=1 SV=1
+KSSPASHDSHLFTTTTYNQFLPQLFMGITGFVCVFVFIWLFLRDIVMAVYKWDESVSQDDDESRMHDAIFRVGDVAERLGCGCPEGSRGPGAVPAPEAGFAGALGQVSARNVFCTCSDAGPAERFFLAGAGERERQRRRLRLRQRACHHRPQQMFLLAPLKELFVVKVWPAMTHTTPSRHHVNLVCVSTVISFTVLVMTFMLYKGVLPVDLSTPPVIKSILLLFVTLALLVSICLTMKEGCDSPLYFVLIALSTILVCPIILNITYFLPKRRIIFDYTIDVYTSDDPNENRRGPLAVIDWEGSPTFDDLSAVESKLVLDIETRDYTWSRFKMTCNQQDFPFHKVEIKCASKYIAPPLWFISGDYSVVANSYFSVEYMGDANNYLVVDPLWIHKSPLRVKKMNDFEEPKWTLRYDEWEQTLWVNTTMIQEREHVSILQALSVMLQVTVLESGNTAPRILKNYRSPDLLHEVLREETDTGWVGSCLRLLGFGLLLAVPGCRRAM
+>sp|Q07001|ACHD_HUMAN Acetylcholine receptor subunit delta OS=Homo sapiens OX=9606 GN=CHRND PE=1 SV=1
+MEGPVLTLGLLAALAVCGSWGLNEEERLIRHLFQEKGYNKELRPVAHKEESVDVALALTLSNLISLKEVEETLTTNVWIEHGWTDNRLKWNAEEFGNISVLRLPPDMVWLPEIVLENNNDGSFQISYSCNVLVYHYGFVYWLPPAIFRSSCPISVTYFPFDWQNCSLKFSSLKYTAKEITLSLKQDAKENRTYPVEWIIIDPEGFTENGEWEIVHRPARVNVDPRAPLDSPSRQDITFYLIIRRKPLFYIINILVPCVLISFMVNLVFYLPADSGEKTSVAISVLLAQSVFLLLISKRLPATSMAIPLIGKFLLFGMVLVTMVVVICVIVLNIHFRTPSTHVLSEGVKKLFLETLPELLHMSRPAEDGPSPGALVRRSSSLGYISKAEEYFLLKSRSDLMFEKQSERHGLARRLTTARRPPASSEQAQQELFNELKPAVDGANFIVNHMRDQNNYNEEKDSWNRVARTVDRLCLFVVTPVMVVGTAWIFLQGVYNQPPPQPFPGDPYSYNVQDKRFI
+>DECOY_sp|Q07001|ACHD_HUMAN Acetylcholine receptor subunit delta OS=Homo sapiens OX=9606 GN=CHRND PE=1 SV=1
+IFRKDQVNYSYPDGPFPQPPPQNYVGQLFIWATGVVMVPTVVFLCLRDVTRAVRNWSDKEENYNNQDRMHNVIFNAGDVAPKLENFLEQQAQESSAPPRRATTLRRALGHRESQKEFMLDSRSKLLFYEEAKSIYGLSSSRRVLAGPSPGDEAPRSMHLLEPLTELFLKKVGESLVHTSPTRFHINLVIVCIVVVMTVLVMGFLLFKGILPIAMSTAPLRKSILLLFVSQALLVSIAVSTKEGSDAPLYFVLNVMFSILVCPVLINIIYFLPKRRIILYFTIDQRSPSDLPARPDVNVRAPRHVIEWEGNETFGEPDIIIWEVPYTRNEKADQKLSLTIEKATYKLSSFKLSCNQWDFPFYTVSIPCSSRFIAPPLWYVFGYHYVLVNCSYSIQFSGDNNNELVIEPLWVMDPPLRLVSINGFEEANWKLRNDTWGHEIWVNTTLTEEVEKLSILNSLTLALAVDVSEEKHAVPRLEKNYGKEQFLHRILREEENLGWSGCVALAALLGLTLVPGEM
+>sp|Q04844|ACHE_HUMAN Acetylcholine receptor subunit epsilon OS=Homo sapiens OX=9606 GN=CHRNE PE=1 SV=2
+MARAPLGVLLLLGLLGRGVGKNEELRLYHHLFNNYDPGSRPVREPEDTVTISLKVTLTNLISLNEKEETLTTSVWIGIDWQDYRLNYSKDDFGGIETLRVPSELVWLPEIVLENNIDGQFGVAYDANVLVYEGGSVTWLPPAIYRSVCAVEVTYFPFDWQNCSLIFRSQTYNAEEVEFTFAVDNDGKTINKIDIDTEAYTENGEWAIDFCPGVIRRHHGGATDGPGETDVIYSLIIRRKPLFYVINIIVPCVLISGLVLLAYFLPAQAGGQKCTVSINVLLAQTVFLFLIAQKIPETSLSVPLLGRFLIFVMVVATLIVMNCVIVLNVSQRTPTTHAMSPRLRHVLLELLPRLLGSPPPPEAPRAASPPRRASSVGLLLRAEELILKKPRSELVFEGQRHRQGTWTAAFCQSLGAAAPEVRCCVDAVNFVAESTRDQEATGEEVSDWVRMGNALDNICFWAALVLFSVGSSLIFLGAYFNRVPDLPYAPCIQP
+>DECOY_sp|Q04844|ACHE_HUMAN Acetylcholine receptor subunit epsilon OS=Homo sapiens OX=9606 GN=CHRNE PE=1 SV=2
+PQICPAYPLDPVRNFYAGLFILSSGVSFLVLAAWFCINDLANGMRVWDSVEEGTAEQDRTSEAVFNVADVCCRVEPAAAGLSQCFAATWTGQRHRQGEFVLESRPKKLILEEARLLLGVSSARRPPSAARPAEPPPPSGLLRPLLELLVHRLRPSMAHTTPTRQSVNLVIVCNMVILTAVVMVFILFRGLLPVSLSTEPIKQAILFLFVTQALLVNISVTCKQGGAQAPLFYALLVLGSILVCPVIINIVYFLPKRRIILSYIVDTEGPGDTAGGHHRRIVGPCFDIAWEGNETYAETDIDIKNITKGDNDVAFTFEVEEANYTQSRFILSCNQWDFPFYTVEVACVSRYIAPPLWTVSGGEYVLVNADYAVGFQGDINNELVIEPLWVLESPVRLTEIGGFDDKSYNLRYDQWDIGIWVSTTLTEEKENLSILNTLTVKLSITVTDEPERVPRSGPDYNNFLHHYLRLEENKGVGRGLLGLLLLVGLPARAM
+>sp|Q07912|ACK1_HUMAN Activated CDC42 kinase 1 OS=Homo sapiens OX=9606 GN=TNK2 PE=1 SV=3
+MQPEEGTGWLLELLSEVQLQQYFLRLRDDLNVTRLSHFEYVKNEDLEKIGMGRPGQRRLWEAVKRRKALCKRKSWMSKVFSGKRLEAEFPPHHSQSTFRKTSPAPGGPAGEGPLQSLTCLIGEKDLRLLEKLGDGSFGVVRRGEWDAPSGKTVSVAVKCLKPDVLSQPEAMDDFIREVNAMHSLDHRNLIRLYGVVLTPPMKMVTELAPLGSLLDRLRKHQGHFLLGTLSRYAVQVAEGMGYLESKRFIHRDLAARNLLLATRDLVKIGDFGLMRALPQNDDHYVMQEHRKVPFAWCAPESLKTRTFSHASDTWMFGVTLWEMFTYGQEPWIGLNGSQILHKIDKEGERLPRPEDCPQDIYNVMVQCWAHKPEDRPTFVALRDFLLEAQPTDMRALQDFEEPDKLHIQMNDVITVIEGRAENYWWRGQNTRTLCVGPFPRNVVTSVAGLSAQDISQPLQNSFIHTGHGDSDPRHCWGFPDRIDELYLGNPMDPPDLLSVELSTSRPPQHLGGVKKPTYDPVSEDQDPLSSDFKRLGLRKPGLPRGLWLAKPSARVPGTKASRGSGAEVTLIDFGEEPVVPALRPCAPSLAQLAMDACSLLDETPPQSPTRALPRPLHPTPVVDWDARPLPPPPAYDDVAQDEDDFEICSINSTLVGAGVPAGPSQGQTNYAFVPEQARPPPPLEDNLFLPPQGGGKPPSSAQTAEIFQALQQECMRQLQAPAGSPAPSPSPGGDDKPQVPPRVPIPPRPTRPHVQLSPAPPGEEETSQWPGPASPPRVPPREPLSPQGSRTPSPLVPPGSSPLPPRLSSSPGKTMPTTQSFASDPKYATPQVIQAPGPRAGPCILPIVRDGKKVSSTHYYLLPERPSYLERYQRFLREAQSPEEPTPLPVPLLLPPPSTPAPAAPTATVRPMPQAALDPKANFSTNNSNPGARPPPPRATARLPQRGCPGDGPEAGRPADKIQMAMVHGVTTEECQAALQCHGWSVQRAAQYLKVEQLFGLGLRPRGECHKVLEMFDWNLEQAGCHLLGSWGPAHHKR
+>DECOY_sp|Q07912|ACK1_HUMAN Activated CDC42 kinase 1 OS=Homo sapiens OX=9606 GN=TNK2 PE=1 SV=3
+RKHHAPGWSGLLHCGAQELNWDFMELVKHCEGRPRLGLGFLQEVKLYQAARQVSWGHCQLAAQCEETTVGHVMAMQIKDAPRGAEPGDGPCGRQPLRATARPPPPRAGPNSNNTSFNAKPDLAAQPMPRVTATPAAPAPTSPPPLLLPVPLPTPEEPSQAERLFRQYRELYSPREPLLYYHTSSVKKGDRVIPLICPGARPGPAQIVQPTAYKPDSAFSQTTPMTKGPSSSLRPPLPSSGPPVLPSPTRSGQPSLPERPPVRPPSAPGPWQSTEEEGPPAPSLQVHPRTPRPPIPVRPPVQPKDDGGPSPSPAPSGAPAQLQRMCEQQLAQFIEATQASSPPKGGGQPPLFLNDELPPPPRAQEPVFAYNTQGQSPGAPVGAGVLTSNISCIEFDDEDQAVDDYAPPPPLPRADWDVVPTPHLPRPLARTPSQPPTEDLLSCADMALQALSPACPRLAPVVPEEGFDILTVEAGSGRSAKTGPVRASPKALWLGRPLGPKRLGLRKFDSSLPDQDESVPDYTPKKVGGLHQPPRSTSLEVSLLDPPDMPNGLYLEDIRDPFGWCHRPDSDGHGTHIFSNQLPQSIDQASLGAVSTVVNRPFPGVCLTRTNQGRWWYNEARGEIVTIVDNMQIHLKDPEEFDQLARMDTPQAELLFDRLAVFTPRDEPKHAWCQVMVNYIDQPCDEPRPLREGEKDIKHLIQSGNLGIWPEQGYTFMEWLTVGFMWTDSAHSFTRTKLSEPACWAFPVKRHEQMVYHDDNQPLARMLGFDGIKVLDRTALLLNRAALDRHIFRKSELYGMGEAVQVAYRSLTGLLFHGQHKRLRDLLSGLPALETVMKMPPTLVVGYLRILNRHDLSHMANVERIFDDMAEPQSLVDPKLCKVAVSVTKGSPADWEGRRVVGFSGDGLKELLRLDKEGILCTLSQLPGEGAPGGPAPSTKRFTSQSHHPPFEAELRKGSFVKSMWSKRKCLAKRRKVAEWLRRQGPRGMGIKELDENKVYEFHSLRTVNLDDRLRLFYQQLQVESLLELLWGTGEEPQM
+>sp|Q8NF67|A2012_HUMAN Putative ankyrin repeat domain-containing protein 20A12 pseudogene OS=Homo sapiens OX=9606 GN=ANKRD20A12P PE=5 SV=3
+MKEMYENAEDKVNNSTGKWSCVEERICHLQHENPCIEQQLDDVHQKEDHKEIVTNIQRGFIESGKKDLMLEEKNKKLMNECDHLKESLFQYEREKAERVVVVRQLQQEAADSLKKLTMLESPLEGISHYHINLDETQVPKKKLFQVESQFDDLMVEKEAVSSKCVNLAKENQVFQQKLLSMKKVQQECEKLEEDKKMLEEEILNLKTHMENSMVELSKLQEYKSELDERAMQAVEKLEEIHLQEQAQYKKQLEQLNKDIIQLH
+>DECOY_sp|Q8NF67|A2012_HUMAN Putative ankyrin repeat domain-containing protein 20A12 pseudogene OS=Homo sapiens OX=9606 GN=ANKRD20A12P PE=5 SV=3
+HLQIIDKNLQELQKKYQAQEQLHIEELKEVAQMAREDLESKYEQLKSLEVMSNEMHTKLNLIEEELMKKDEELKECEQQVKKMSLLKQQFVQNEKALNVCKSSVAEKEVMLDDFQSEVQFLKKKPVQTEDLNIHYHSIGELPSELMTLKKLSDAAEQQLQRVVVVREAKEREYQFLSEKLHDCENMLKKNKEELMLDKKGSEIFGRQINTVIEKHDEKQHVDDLQQEICPNEHQLHCIREEVCSWKGTSNNVKDEANEYMEKM
+>sp|Q5SQ80|A20A2_HUMAN Ankyrin repeat domain-containing protein 20A2 OS=Homo sapiens OX=9606 GN=ANKRD20A2 PE=4 SV=1
+MKLFGFGSRRGQTAQGSIDHVYTGSGYRIRDSELQKIHRAAVKGDAAEVERCLARRSGDLDALDKQHRTALHLACASGHVQVVTLLVNRKCQIDVCDKENRTPLIQAVHCQEEACAVILLEHGANPNLKDIYGNTALHYAVYSESTSLAEKLLSHGAHIEALDKDNNTPLLFAIICKKEKMVEFLLKRKASSHAVDRLRRSALMLAVYYDSPGIVNILLKQNIDVFAQDMCGRDAEDYAISHHLTKIQQQILEHKKKILKKEKSDVGSSDESAVSIFHELRVDSLPASDDKDLNVATKQCVPEKVSEPLPGSSHEKGNRIVNGQGEGPPAKHPSLKPSTEVEDPAVKGAVQRKNVQTLRAEQALPVASEEEQERHERSEKKQPQVKEGNNTNKSEKIQLSENICDSTSSAAAGRLTQQRKIGKTYPQQFPKKLKEEHDRCTLKQENEEKTNVNMLYKKNREELERKEKQYKKEVEAKQLEPTVQSLEMKSKTARNTPNRDFHNHEEMKGLMDENCILKADIAILRQEICTMKNDNLEKENKYLKDIKIVKETNAALEKYIKLNEEMITETAFRYQQELNDLKAENTRLNAELLKEKESKKRLEADIESYQSRLAAAISKHSESVKTERNLKLALERTRDVSVQVEMSSAISKVKDENEFLTEQLSETQIKFNALKDKFRKTRDSLRKKSLALETVQNDLSQTQQQTQEMKEMYQNAEAKVNNSTGKWNCVEERICHLQRENAWLVQQLDDVHQKEDHKEIVTNIQRGFIESGKKDLVLEEKSKKLMNECDHLKESLFQYEREKTEGVVSIKEDKYFQTSRKKI
+>DECOY_sp|Q5SQ80|A20A2_HUMAN Ankyrin repeat domain-containing protein 20A2 OS=Homo sapiens OX=9606 GN=ANKRD20A2 PE=4 SV=1
+IKKRSTQFYKDEKISVVGETKEREYQFLSEKLHDCENMLKKSKEELVLDKKGSEIFGRQINTVIEKHDEKQHVDDLQQVLWANERQLHCIREEVCNWKGTSNNVKAEANQYMEKMEQTQQQTQSLDNQVTELALSKKRLSDRTKRFKDKLANFKIQTESLQETLFENEDKVKSIASSMEVQVSVDRTRELALKLNRETKVSESHKSIAAALRSQYSEIDAELRKKSEKEKLLEANLRTNEAKLDNLEQQYRFATETIMEENLKIYKELAANTEKVIKIDKLYKNEKELNDNKMTCIEQRLIAIDAKLICNEDMLGKMEEHNHFDRNPTNRATKSKMELSQVTPELQKAEVEKKYQKEKRELEERNKKYLMNVNTKEENEQKLTCRDHEEKLKKPFQQPYTKGIKRQQTLRGAAASSTSDCINESLQIKESKNTNNGEKVQPQKKESREHREQEEESAVPLAQEARLTQVNKRQVAGKVAPDEVETSPKLSPHKAPPGEGQGNVIRNGKEHSSGPLPESVKEPVCQKTAVNLDKDDSAPLSDVRLEHFISVASEDSSGVDSKEKKLIKKKHELIQQQIKTLHHSIAYDEADRGCMDQAFVDINQKLLINVIGPSDYYVALMLASRRLRDVAHSSAKRKLLFEVMKEKKCIIAFLLPTNNDKDLAEIHAGHSLLKEALSTSESYVAYHLATNGYIDKLNPNAGHELLIVACAEEQCHVAQILPTRNEKDCVDIQCKRNVLLTVVQVHGSACALHLATRHQKDLADLDGSRRALCREVEAADGKVAARHIKQLESDRIRYGSGTYVHDISGQATQGRRSGFGFLKM
+>sp|A0PJZ0|A20A5_HUMAN Putative ankyrin repeat domain-containing protein 20A5 OS=Homo sapiens OX=9606 GN=ANKRD20A5P PE=5 SV=1
+MKLFGFRSRRGQTVLGSIDHLYTGSGYRIRYSELQKIHKAAVKGDAAEMERCLARRSGDLDALDKQHRTALHLACASGHVKVVTLLVNRKCQIDIYDKENRTPLIQAVHCQEEACAVILLEHGANPNLKDIYGNTALHYAVYSESTSLAEKLLFHGENIEALDKV
+>DECOY_sp|A0PJZ0|A20A5_HUMAN Putative ankyrin repeat domain-containing protein 20A5 OS=Homo sapiens OX=9606 GN=ANKRD20A5P PE=5 SV=1
+VKDLAEINEGHFLLKEALSTSESYVAYHLATNGYIDKLNPNAGHELLIVACAEEQCHVAQILPTRNEKDYIDIQCKRNVLLTVVKVHGSACALHLATRHQKDLADLDGSRRALCREMEAADGKVAAKHIKQLESYRIRYGSGTYLHDISGLVTQGRRSRFGFLKM
+>sp|P08697|A2AP_HUMAN Alpha-2-antiplasmin OS=Homo sapiens OX=9606 GN=SERPINF2 PE=1 SV=3
+MALLWGLLVLSWSCLQGPCSVFSPVSAMEPLGRQLTSGPNQEQVSPLTLLKLGNQEPGGQTALKSPPGVCSRDPTPEQTHRLARAMMAFTADLFSLVAQTSTCPNLILSPLSVALALSHLALGAQNHTLQRLQQVLHAGSGPCLPHLLSRLCQDLGPGAFRLAARMYLQKGFPIKEDFLEQSEQLFGAKPVSLTGKQEDDLANINQWVKEATEGKIQEFLSGLPEDTVLLLLNAIHFQGFWRNKFDPSLTQRDSFHLDEQFTVPVEMMQARTYPLRWFLLEQPEIQVAHFPFKNNMSFVVLVPTHFEWNVSQVLANLSWDTLHPPLVWERPTKVRLPKLYLKHQMDLVATLSQLGLQELFQAPDLRGISEQSLVVSGVQHQSTLELSEVGVEAAAATSIAMSRMSLSSFSVNRPFLFFIFEDTTGLPLFVGSVRNPNPSAPRELKEQQDSPGNKDFLQSLKGFPRGDKLFGPDLKLVPPMEEDYPQFGSPK
+>DECOY_sp|P08697|A2AP_HUMAN Alpha-2-antiplasmin OS=Homo sapiens OX=9606 GN=SERPINF2 PE=1 SV=3
+KPSGFQPYDEEMPPVLKLDPGFLKDGRPFGKLSQLFDKNGPSDQQEKLERPASPNPNRVSGVFLPLGTTDEFIFFLFPRNVSFSSLSMRSMAISTAAAAEVGVESLELTSQHQVGSVVLSQESIGRLDPAQFLEQLGLQSLTAVLDMQHKLYLKPLRVKTPREWVLPPHLTDWSLNALVQSVNWEFHTPVLVVFSMNNKFPFHAVQIEPQELLFWRLPYTRAQMMEVPVTFQEDLHFSDRQTLSPDFKNRWFGQFHIANLLLLVTDEPLGSLFEQIKGETAEKVWQNINALDDEQKGTLSVPKAGFLQESQELFDEKIPFGKQLYMRAALRFAGPGLDQCLRSLLHPLCPGSGAHLVQQLRQLTHNQAGLALHSLALAVSLPSLILNPCTSTQAVLSFLDATFAMMARALRHTQEPTPDRSCVGPPSKLATQGGPEQNGLKLLTLPSVQEQNPGSTLQRGLPEMASVPSFVSCPGQLCSWSLVLLGWLLAM
+>sp|Q96AK3|ABC3D_HUMAN DNA dC->dU-editing enzyme APOBEC-3D OS=Homo sapiens OX=9606 GN=APOBEC3D PE=1 SV=1
+MNPQIRNPMERMYRDTFYDNFENEPILYGRSYTWLCYEVKIKRGRSNLLWDTGVFRGPVLPKRQSNHRQEVYFRFENHAEMCFLSWFCGNRLPANRRFQITWFVSWNPCLPCVVKVTKFLAEHPNVTLTISAARLYYYRDRDWRWVLLRLHKAGARVKIMDYEDFAYCWENFVCNEGQPFMPWYKFDDNYASLHRTLKEILRNPMEAMYPHIFYFHFKNLLKACGRNESWLCFTMEVTKHHSAVFRKRGVFRNQVDPETHCHAERCFLSWFCDDILSPNTNYEVTWYTSWSPCPECAGEVAEFLARHSNVNLTIFTARLCYFWDTDYQEGLCSLSQEGASVKIMGYKDFVSCWKNFVYSDDEPFKPWKGLQTNFRLLKRRLREILQ
+>DECOY_sp|Q96AK3|ABC3D_HUMAN DNA dC->dU-editing enzyme APOBEC-3D OS=Homo sapiens OX=9606 GN=APOBEC3D PE=1 SV=1
+QLIERLRRKLLRFNTQLGKWPKFPEDDSYVFNKWCSVFDKYGMIKVSAGEQSLSCLGEQYDTDWFYCLRATFITLNVNSHRALFEAVEGACEPCPSWSTYWTVEYNTNPSLIDDCFWSLFCREAHCHTEPDVQNRFVGRKRFVASHHKTVEMTFCLWSENRGCAKLLNKFHFYFIHPYMAEMPNRLIEKLTRHLSAYNDDFKYWPMFPQGENCVFNEWCYAFDEYDMIKVRAGAKHLRLLVWRWDRDRYYYLRAASITLTVNPHEALFKTVKVVCPLCPNWSVFWTIQFRRNAPLRNGCFWSLFCMEAHNEFRFYVEQRHNSQRKPLVPGRFVGTDWLLNSRGRKIKVEYCLWTYSRGYLIPENEFNDYFTDRYMREMPNRIQPNM
+>sp|Q8IUX4|ABC3F_HUMAN DNA dC->dU-editing enzyme APOBEC-3F OS=Homo sapiens OX=9606 GN=APOBEC3F PE=1 SV=3
+MKPHFRNTVERMYRDTFSYNFYNRPILSRRNTVWLCYEVKTKGPSRPRLDAKIFRGQVYSQPEHHAEMCFLSWFCGNQLPAYKCFQITWFVSWTPCPDCVAKLAEFLAEHPNVTLTISAARLYYYWERDYRRALCRLSQAGARVKIMDDEEFAYCWENFVYSEGQPFMPWYKFDDNYAFLHRTLKEILRNPMEAMYPHIFYFHFKNLRKAYGRNESWLCFTMEVVKHHSPVSWKRGVFRNQVDPETHCHAERCFLSWFCDDILSPNTNYEVTWYTSWSPCPECAGEVAEFLARHSNVNLTIFTARLYYFWDTDYQEGLRSLSQEGASVEIMGYKDFKYCWENFVYNDDEPFKPWKGLKYNFLFLDSKLQEILE
+>DECOY_sp|Q8IUX4|ABC3F_HUMAN DNA dC->dU-editing enzyme APOBEC-3F OS=Homo sapiens OX=9606 GN=APOBEC3F PE=1 SV=3
+ELIEQLKSDLFLFNYKLGKWPKFPEDDNYVFNEWCYKFDKYGMIEVSAGEQSLSRLGEQYDTDWFYYLRATFITLNVNSHRALFEAVEGACEPCPSWSTYWTVEYNTNPSLIDDCFWSLFCREAHCHTEPDVQNRFVGRKWSVPSHHKVVEMTFCLWSENRGYAKRLNKFHFYFIHPYMAEMPNRLIEKLTRHLFAYNDDFKYWPMFPQGESYVFNEWCYAFEEDDMIKVRAGAQSLRCLARRYDREWYYYLRAASITLTVNPHEALFEALKAVCDPCPTWSVFWTIQFCKYAPLQNGCFWSLFCMEAHHEPQSYVQGRFIKADLRPRSPGKTKVEYCLWVTNRRSLIPRNYFNYSFTDRYMREVTNRFHPKM
+>sp|Q9HC16|ABC3G_HUMAN DNA dC->dU-editing enzyme APOBEC-3G OS=Homo sapiens OX=9606 GN=APOBEC3G PE=1 SV=1
+MKPHFRNTVERMYRDTFSYNFYNRPILSRRNTVWLCYEVKTKGPSRPPLDAKIFRGQVYSELKYHPEMRFFHWFSKWRKLHRDQEYEVTWYISWSPCTKCTRDMATFLAEDPKVTLTIFVARLYYFWDPDYQEALRSLCQKRDGPRATMKIMNYDEFQHCWSKFVYSQRELFEPWNNLPKYYILLHIMLGEILRHSMDPPTFTFNFNNEPWVRGRHETYLCYEVERMHNDTWVLLNQRRGFLCNQAPHKHGFLEGRHAELCFLDVIPFWKLDLDQDYRVTCFTSWSPCFSCAQEMAKFISKNKHVSLCIFTARIYDDQGRCQEGLRTLAEAGAKISIMTYSEFKHCWDTFVDHQGCPFQPWDGLDEHSQDLSGRLRAILQNQEN
+>DECOY_sp|Q9HC16|ABC3G_HUMAN DNA dC->dU-editing enzyme APOBEC-3G OS=Homo sapiens OX=9606 GN=APOBEC3G PE=1 SV=1
+NEQNQLIARLRGSLDQSHEDLGDWPQFPCGQHDVFTDWCHKFESYTMISIKAGAEALTRLGEQCRGQDDYIRATFICLSVHKNKSIFKAMEQACSFCPSWSTFCTVRYDQDLDLKWFPIVDLFCLEAHRGELFGHKHPAQNCLFGRRQNLLVWTDNHMREVEYCLYTEHRGRVWPENNFNFTFTPPDMSHRLIEGLMIHLLIYYKPLNNWPEFLERQSYVFKSWCHQFEDYNMIKMTARPGDRKQCLSRLAEQYDPDWFYYLRAVFITLTVKPDEALFTAMDRTCKTCPSWSIYWTVEYEQDRHLKRWKSFWHFFRMEPHYKLESYVQGRFIKADLPPRSPGKTKVEYCLWVTNRRSLIPRNYFNYSFTDRYMREVTNRFHPKM
+>sp|O95477|ABCA1_HUMAN ATP-binding cassette sub-family A member 1 OS=Homo sapiens OX=9606 GN=ABCA1 PE=1 SV=3
+MACWPQLRLLLWKNLTFRRRQTCQLLLEVAWPLFIFLILISVRLSYPPYEQHECHFPNKAMPSAGTLPWVQGIICNANNPCFRYPTPGEAPGVVGNFNKSIVARLFSDARRLLLYSQKDTSMKDMRKVLRTLQQIKKSSSNLKLQDFLVDNETFSGFLYHNLSLPKSTVDKMLRADVILHKVFLQGYQLHLTSLCNGSKSEEMIQLGDQEVSELCGLPREKLAAAERVLRSNMDILKPILRTLNSTSPFPSKELAEATKTLLHSLGTLAQELFSMRSWSDMRQEVMFLTNVNSSSSSTQIYQAVSRIVCGHPEGGGLKIKSLNWYEDNNYKALFGGNGTEEDAETFYDNSTTPYCNDLMKNLESSPLSRIIWKALKPLLVGKILYTPDTPATRQVMAEVNKTFQELAVFHDLEGMWEELSPKIWTFMENSQEMDLVRMLLDSRDNDHFWEQQLDGLDWTAQDIVAFLAKHPEDVQSSNGSVYTWREAFNETNQAIRTISRFMECVNLNKLEPIATEVWLINKSMELLDERKFWAGIVFTGITPGSIELPHHVKYKIRMDIDNVERTNKIKDGYWDPGPRADPFEDMRYVWGGFAYLQDVVEQAIIRVLTGTEKKTGVYMQQMPYPCYVDDIFLRVMSRSMPLFMTLAWIYSVAVIIKGIVYEKEARLKETMRIMGLDNSILWFSWFISSLIPLLVSAGLLVVILKLGNLLPYSDPSVVFVFLSVFAVVTILQCFLISTLFSRANLAAACGGIIYFTLYLPYVLCVAWQDYVGFTLKIFASLLSPVAFGFGCEYFALFEEQGIGVQWDNLFESPVEEDGFNLTTSVSMMLFDTFLYGVMTWYIEAVFPGQYGIPRPWYFPCTKSYWFGEESDEKSHPGSNQKRISEICMEEEPTHLKLGVSIQNLVKVYRDGMKVAVDGLALNFYEGQITSFLGHNGAGKTTTMSILTGLFPPTSGTAYILGKDIRSEMSTIRQNLGVCPQHNVLFDMLTVEEHIWFYARLKGLSEKHVKAEMEQMALDVGLPSSKLKSKTSQLSGGMQRKLSVALAFVGGSKVVILDEPTAGVDPYSRRGIWELLLKYRQGRTIILSTHHMDEADVLGDRIAIISHGKLCCVGSSLFLKNQLGTGYYLTLVKKDVESSLSSCRNSSSTVSYLKKEDSVSQSSSDAGLGSDHESDTLTIDVSAISNLIRKHVSEARLVEDIGHELTYVLPYEAAKEGAFVELFHEIDDRLSDLGISSYGISETTLEEIFLKVAEESGVDAETSDGTLPARRNRRAFGDKQSCLRPFTEDDAADPNDSDIDPESRETDLLSGMDGKGSYQVKGWKLTQQQFVALLWKRLLIARRSRKGFFAQIVLPAVFVCIALVFSLIVPPFGKYPSLELQPWMYNEQYTFVSNDAPEDTGTLELLNALTKDPGFGTRCMEGNPIPDTPCQAGEEEWTTAPVPQTIMDLFQNGNWTMQNPSPACQCSSDKIKKMLPVCPPGAGGLPPPQRKQNTADILQDLTGRNISDYLVKTYVQIIAKSLKNKIWVNEFRYGGFSLGVSNTQALPPSQEVNDAIKQMKKHLKLAKDSSADRFLNSLGRFMTGLDTKNNVKVWFNNKGWHAISSFLNVINNAILRANLQKGENPSHYGITAFNHPLNLTKQQLSEVALMTTSVDVLVSICVIFAMSFVPASFVVFLIQERVSKAKHLQFISGVKPVIYWLSNFVWDMCNYVVPATLVIIIFICFQQKSYVSSTNLPVLALLLLLYGWSITPLMYPASFVFKIPSTAYVVLTSVNLFIGINGSVATFVLELFTDNKLNNINDILKSVFLIFPHFCLGRGLIDMVKNQAMADALERFGENRFVSPLSWDLVGRNLFAMAVEGVVFFLITVLIQYRFFIRPRPVNAKLSPLNDEDEDVRRERQRILDGGGQNDILEIKELTKIYRRKRKPAVDRICVGIPPGECFGLLGVNGAGKSSTFKMLTGDTTVTRGDAFLNKNSILSNIHEVHQNMGYCPQFDAITELLTGREHVEFFALLRGVPEKEVGKVGEWAIRKLGLVKYGEKYAGNYSGGNKRKLSTAMALIGGPPVVFLDEPTTGMDPKARRFLWNCALSVVKEGRSVVLTSHSMEECEALCTRMAIMVNGRFRCLGSVQHLKNRFGDGYTIVVRIAGSNPDLKPVQDFFGLAFPGSVLKEKHRNMLQYQLPSSLSSLARIFSILSQSKKRLHIEDYSVSQTTLDQVFVNFAKDQSDDDHLKDLSLHKNQTVVDVAVLTSFLQDEKVKESYV
+>DECOY_sp|O95477|ABCA1_HUMAN ATP-binding cassette sub-family A member 1 OS=Homo sapiens OX=9606 GN=ABCA1 PE=1 SV=3
+VYSEKVKEDQLFSTLVAVDVVTQNKHLSLDKLHDDDSQDKAFNVFVQDLTTQSVSYDEIHLRKKSQSLISFIRALSSLSSPLQYQLMNRHKEKLVSGPFALGFFDQVPKLDPNSGAIRVVITYGDGFRNKLHQVSGLCRFRGNVMIAMRTCLAECEEMSHSTLVVSRGEKVVSLACNWLFRRAKPDMGTTPEDLFVVPPGGILAMATSLKRKNGGSYNGAYKEGYKVLGLKRIAWEGVKGVEKEPVGRLLAFFEVHERGTLLETIADFQPCYGMNQHVEHINSLISNKNLFADGRTVTTDGTLMKFTSSKGAGNVGLLGFCEGPPIGVCIRDVAPKRKRRYIKTLEKIELIDNQGGGDLIRQRERRVDEDEDNLPSLKANVPRPRIFFRYQILVTILFFVVGEVAMAFLNRGVLDWSLPSVFRNEGFRELADAMAQNKVMDILGRGLCFHPFILFVSKLIDNINNLKNDTFLELVFTAVSGNIGIFLNVSTLVVYATSPIKFVFSAPYMLPTISWGYLLLLLALVPLNTSSVYSKQQFCIFIIIVLTAPVVYNCMDWVFNSLWYIVPKVGSIFQLHKAKSVREQILFVVFSAPVFSMAFIVCISVLVDVSTTMLAVESLQQKTLNLPHNFATIGYHSPNEGKQLNARLIANNIVNLFSSIAHWGKNNFWVKVNNKTDLGTMFRGLSNLFRDASSDKALKLHKKMQKIADNVEQSPPLAQTNSVGLSFGGYRFENVWIKNKLSKAIIQVYTKVLYDSINRGTLDQLIDATNQKRQPPPLGGAGPPCVPLMKKIKDSSCQCAPSPNQMTWNGNQFLDMITQPVPATTWEEEGAQCPTDPIPNGEMCRTGFGPDKTLANLLELTGTDEPADNSVFTYQENYMWPQLELSPYKGFPPVILSFVLAICVFVAPLVIQAFFGKRSRRAILLRKWLLAVFQQQTLKWGKVQYSGKGDMGSLLDTERSEPDIDSDNPDAADDETFPRLCSQKDGFARRNRRAPLTGDSTEADVGSEEAVKLFIEELTTESIGYSSIGLDSLRDDIEHFLEVFAGEKAAEYPLVYTLEHGIDEVLRAESVHKRILNSIASVDITLTDSEHDSGLGADSSSQSVSDEKKLYSVTSSSNRCSSLSSEVDKKVLTLYYGTGLQNKLFLSSGVCCLKGHSIIAIRDGLVDAEDMHHTSLIITRGQRYKLLLEWIGRRSYPDVGATPEDLIVVKSGGVFALAVSLKRQMGGSLQSTKSKLKSSPLGVDLAMQEMEAKVHKESLGKLRAYFWIHEEVTLMDFLVNHQPCVGLNQRITSMESRIDKGLIYATGSTPPFLGTLISMTTTKGAGNHGLFSTIQGEYFNLALGDVAVKMGDRYVKVLNQISVGLKLHTPEEEMCIESIRKQNSGPHSKEDSEEGFWYSKTCPFYWPRPIGYQGPFVAEIYWTMVGYLFTDFLMMSVSTTLNFGDEEVPSEFLNDWQVGIGQEEFLAFYECGFGFAVPSLLSAFIKLTFGVYDQWAVCLVYPLYLTFYIIGGCAAALNARSFLTSILFCQLITVVAFVSLFVFVVSPDSYPLLNGLKLIVVLLGASVLLPILSSIFWSFWLISNDLGMIRMTEKLRAEKEYVIGKIIVAVSYIWALTMFLPMSRSMVRLFIDDVYCPYPMQQMYVGTKKETGTLVRIIAQEVVDQLYAFGGWVYRMDEFPDARPGPDWYGDKIKNTREVNDIDMRIKYKVHHPLEISGPTIGTFVIGAWFKREDLLEMSKNILWVETAIPELKNLNVCEMFRSITRIAQNTENFAERWTYVSGNSSQVDEPHKALFAVIDQATWDLGDLQQEWFHDNDRSDLLMRVLDMEQSNEMFTWIKPSLEEWMGELDHFVALEQFTKNVEAMVQRTAPTDPTYLIKGVLLPKLAKWIIRSLPSSELNKMLDNCYPTTSNDYFTEADEETGNGGFLAKYNNDEYWNLSKIKLGGGEPHGCVIRSVAQYIQTSSSSSNVNTLFMVEQRMDSWSRMSFLEQALTGLSHLLTKTAEALEKSPFPSTSNLTRLIPKLIDMNSRLVREAAALKERPLGCLESVEQDGLQIMEESKSGNCLSTLHLQYGQLFVKHLIVDARLMKDVTSKPLSLNHYLFGSFTENDVLFDQLKLNSSSKKIQQLTRLVKRMDKMSTDKQSYLLLRRADSFLRAVISKNFNGVVGPAEGPTPYRFCPNNANCIIGQVWPLTGASPMAKNPFHCEHQEYPPYSLRVSILILFIFLPWAVELLLQCTQRRRFTLNKWLLLRLQPWCAM
+>sp|P78363|ABCA4_HUMAN Retinal-specific ATP-binding cassette transporter OS=Homo sapiens OX=9606 GN=ABCA4 PE=1 SV=3
+MGFVRQIQLLLWKNWTLRKRQKIRFVVELVWPLSLFLVLIWLRNANPLYSHHECHFPNKAMPSAGMLPWLQGIFCNVNNPCFQSPTPGESPGIVSNYNNSILARVYRDFQELLMNAPESQHLGRIWTELHILSQFMDTLRTHPERIAGRGIRIRDILKDEETLTLFLIKNIGLSDSVVYLLINSQVRPEQFAHGVPDLALKDIACSEALLERFIIFSQRRGAKTVRYALCSLSQGTLQWIEDTLYANVDFFKLFRVLPTLLDSRSQGINLRSWGGILSDMSPRIQEFIHRPSMQDLLWVTRPLMQNGGPETFTKLMGILSDLLCGYPEGGGSRVLSFNWYEDNNYKAFLGIDSTRKDPIYSYDRRTTSFCNALIQSLESNPLTKIAWRAAKPLLMGKILYTPDSPAARRILKNANSTFEELEHVRKLVKAWEEVGPQIWYFFDNSTQMNMIRDTLGNPTVKDFLNRQLGEEGITAEAILNFLYKGPRESQADDMANFDWRDIFNITDRTLRLVNQYLECLVLDKFESYNDETQLTQRALSLLEENMFWAGVVFPDMYPWTSSLPPHVKYKIRMDIDVVEKTNKIKDRYWDSGPRADPVEDFRYIWGGFAYLQDMVEQGITRSQVQAEAPVGIYLQQMPYPCFVDDSFMIILNRCFPIFMVLAWIYSVSMTVKSIVLEKELRLKETLKNQGVSNAVIWCTWFLDSFSIMSMSIFLLTIFIMHGRILHYSDPFILFLFLLAFSTATIMLCFLLSTFFSKASLAAACSGVIYFTLYLPHILCFAWQDRMTAELKKAVSLLSPVAFGFGTEYLVRFEEQGLGLQWSNIGNSPTEGDEFSFLLSMQMMLLDAAVYGLLAWYLDQVFPGDYGTPLPWYFLLQESYWLGGEGCSTREERALEKTEPLTEETEDPEHPEGIHDSFFEREHPGWVPGVCVKNLVKIFEPCGRPAVDRLNITFYENQITAFLGHNGAGKTTTLSILTGLLPPTSGTVLVGGRDIETSLDAVRQSLGMCPQHNILFHHLTVAEHMLFYAQLKGKSQEEAQLEMEAMLEDTGLHHKRNEEAQDLSGGMQRKLSVAIAFVGDAKVVILDEPTSGVDPYSRRSIWDLLLKYRSGRTIIMSTHHMDEADLLGDRIAIIAQGRLYCSGTPLFLKNCFGTGLYLTLVRKMKNIQSQRKGSEGTCSCSSKGFSTTCPAHVDDLTPEQVLDGDVNELMDVVLHHVPEAKLVECIGQELIFLLPNKNFKHRAYASLFRELEETLADLGLSSFGISDTPLEEIFLKVTEDSDSGPLFAGGAQQKRENVNPRHPCLGPREKAGQTPQDSNVCSPGAPAAHPEGQPPPEPECPGPQLNTGTQLVLQHVQALLVKRFQHTIRSHKDFLAQIVLPATFVFLALMLSIVIPPFGEYPALTLHPWIYGQQYTFFSMDEPGSEQFTVLADVLLNKPGFGNRCLKEGWLPEYPCGNSTPWKTPSVSPNITQLFQKQKWTQVNPSPSCRCSTREKLTMLPECPEGAGGLPPPQRTQRSTEILQDLTDRNISDFLVKTYPALIRSSLKSKFWVNEQRYGGISIGGKLPVVPITGEALVGFLSDLGRIMNVSGGPITREASKEIPDFLKHLETEDNIKVWFNNKGWHALVSFLNVAHNAILRASLPKDRSPEEYGITVISQPLNLTKEQLSEITVLTTSVDAVVAICVIFSMSFVPASFVLYLIQERVNKSKHLQFISGVSPTTYWVTNFLWDIMNYSVSAGLVVGIFIGFQKKAYTSPENLPALVALLLLYGWAVIPMMYPASFLFDVPSTAYVALSCANLFIGINSSAITFILELFENNRTLLRFNAVLRKLLIVFPHFCLGRGLIDLALSQAVTDVYARFGEEHSANPFHWDLIGKNLFAMVVEGVVYFLLTLLVQRHFFLSQWIAEPTKEPIVDEDDDVAEERQRIITGGNKTDILRLHELTKIYPGTSSPAVDRLCVGVRPGECFGLLGVNGAGKTTTFKMLTGDTTVTSGDATVAGKSILTNISEVHQNMGYCPQFDAIDELLTGREHLYLYARLRGVPAEEIEKVANWSIKSLGLTVYADCLAGTYSGGNKRKLSTAIALIGCPPLVLLDEPTTGMDPQARRMLWNVIVSIIREGRAVVLTSHSMEECEALCTRLAIMVKGAFRCMGTIQHLKSKFGDGYIVTMKIKSPKDDLLPDLNPVEQFFQGNFPGSVQRERHYNMLQFQVSSSSLARIFQLLLSHKDSLLIEEYSVTQTTLDQVFVNFAKQQTESHDLPLHPRAAGASRQAQD
+>DECOY_sp|P78363|ABCA4_HUMAN Retinal-specific ATP-binding cassette transporter OS=Homo sapiens OX=9606 GN=ABCA4 PE=1 SV=3
+DQAQRSAGAARPHLPLDHSETQQKAFNVFVQDLTTQTVSYEEILLSDKHSLLLQFIRALSSSSVQFQLMNYHRERQVSGPFNGQFFQEVPNLDPLLDDKPSKIKMTVIYGDGFKSKLHQITGMCRFAGKVMIALRTCLAECEEMSHSTLVVARGERIISVIVNWLMRRAQPDMGTTPEDLLVLPPCGILAIATSLKRKNGGSYTGALCDAYVTLGLSKISWNAVKEIEEAPVGRLRAYLYLHERGTLLEDIADFQPCYGMNQHVESINTLISKGAVTADGSTVTTDGTLMKFTTTKGAGNVGLLGFCEGPRVGVCLRDVAPSSTGPYIKTLEHLRLIDTKNGGTIIRQREEAVDDDEDVIPEKTPEAIWQSLFFHRQVLLTLLFYVVGEVVMAFLNKGILDWHFPNASHEEGFRAYVDTVAQSLALDILGRGLCFHPFVILLKRLVANFRLLTRNNEFLELIFTIASSNIGIFLNACSLAVYATSPVDFLFSAPYMMPIVAWGYLLLLAVLAPLNEPSTYAKKQFGIFIGVVLGASVSYNMIDWLFNTVWYTTPSVGSIFQLHKSKNVREQILYLVFSAPVFSMSFIVCIAVVADVSTTLVTIESLQEKTLNLPQSIVTIGYEEPSRDKPLSARLIANHAVNLFSVLAHWGKNNFWVKINDETELHKLFDPIEKSAERTIPGGSVNMIRGLDSLFGVLAEGTIPVVPLKGGISIGGYRQENVWFKSKLSSRILAPYTKVLFDSINRDTLDQLIETSRQTRQPPPLGGAGEPCEPLMTLKERTSCRCSPSPNVQTWKQKQFLQTINPSVSPTKWPTSNGCPYEPLWGEKLCRNGFGPKNLLVDALVTFQESGPEDMSFFTYQQGYIWPHLTLAPYEGFPPIVISLMLALFVFTAPLVIQALFDKHSRITHQFRKVLLAQVHQLVLQTGTNLQPGPCEPEPPPQGEPHAAPAGPSCVNSDQPTQGAKERPGLCPHRPNVNERKQQAGGAFLPGSDSDETVKLFIEELPTDSIGFSSLGLDALTEELERFLSAYARHKFNKNPLLFILEQGICEVLKAEPVHHLVVDMLENVDGDLVQEPTLDDVHAPCTTSFGKSSCSCTGESGKRQSQINKMKRVLTLYLGTGFCNKLFLPTGSCYLRGQAIIAIRDGLLDAEDMHHTSMIITRGSRYKLLLDWISRRSYPDVGSTPEDLIVVKADGVFAIAVSLKRQMGGSLDQAEENRKHHLGTDELMAEMELQAEEQSKGKLQAYFLMHEAVTLHHFLINHQPCMGLSQRVADLSTEIDRGGVLVTGSTPPLLGTLISLTTTKGAGNHGLFATIQNEYFTINLRDVAPRGCPEFIKVLNKVCVGPVWGPHEREFFSDHIGEPHEPDETEETLPETKELAREERTSCGEGGLWYSEQLLFYWPLPTGYDGPFVQDLYWALLGYVAADLLMMQMSLLFSFEDGETPSNGINSWQLGLGQEEFRVLYETGFGFAVPSLLSVAKKLEATMRDQWAFCLIHPLYLTFYIVGSCAAALSAKSFFTSLLFCLMITATSFALLFLFLIFPDSYHLIRGHMIFITLLFISMSMISFSDLFWTCWIVANSVGQNKLTEKLRLEKELVISKVTMSVSYIWALVMFIPFCRNLIIMFSDDVFCPYPMQQLYIGVPAEAQVQSRTIGQEVMDQLYAFGGWIYRFDEVPDARPGSDWYRDKIKNTKEVVDIDMRIKYKVHPPLSSTWPYMDPFVVGAWFMNEELLSLARQTLQTEDNYSEFKDLVLCELYQNVLRLTRDTINFIDRWDFNAMDDAQSERPGKYLFNLIAEATIGEEGLQRNLFDKVTPNGLTDRIMNMQTSNDFFYWIQPGVEEWAKVLKRVHELEEFTSNANKLIRRAAPSDPTYLIKGMLLPKAARWAIKTLPNSELSQILANCFSTTRRDYSYIPDKRTSDIGLFAKYNNDEYWNFSLVRSGGGEPYGCLLDSLIGMLKTFTEPGGNQMLPRTVWLLDQMSPRHIFEQIRPSMDSLIGGWSRLNIGQSRSDLLTPLVRFLKFFDVNAYLTDEIWQLTGQSLSCLAYRVTKAGRRQSFIIFRELLAESCAIDKLALDPVGHAFQEPRVQSNILLYVVSDSLGINKILFLTLTEEDKLIDRIRIGRGAIREPHTRLTDMFQSLIHLETWIRGLHQSEPANMLLEQFDRYVRALISNNYNSVIGPSEGPTPSQFCPNNVNCFIGQLWPLMGASPMAKNPFHCEHHSYLPNANRLWILVLFLSLPWVLEVVFRIKQRKRLTWNKWLLLQIQRVFGM
+>sp|Q8N139|ABCA6_HUMAN ATP-binding cassette sub-family A member 6 OS=Homo sapiens OX=9606 GN=ABCA6 PE=1 SV=2
+MNMKQKSVYQQTKALLCKNFLKKWRMKRESLLEWGLSILLGLCIALFSSSMRNVQFPGMAPQNLGRVDKFNSSSLMVVYTPISNLTQQIMNKTALAPLLKGTSVIGAPNKTHMDEILLENLPYAMGIIFNETFSYKLIFFQGYNSPLWKEDFSAHCWDGYGEFSCTLTKYWNRGFVALQTAINTAIIEITTNHPVMEELMSVTAITMKTLPFITKNLLHNEMFILFFLLHFSPLVYFISLNVTKERKKSKNLMKMMGLQDSAFWLSWGLIYAGFIFIISIFVTIIITFTQIIVMTGFMVIFILFFLYGLSLVALVFLMSVLLKKAVLTNLVVFLLTLFWGCLGFTVFYEQLPSSLEWILNICSPFAFTTGMIQIIKLDYNLNGVIFPDPSGDSYTMIATFSMLLLDGLIYLLLALYFDKILPYGDERHYSPLFFLNSSSCFQHQRTNAKVIEKEIDAEHPSDDYFEPVAPEFQGKEAIRIRNVKKEYKGKSGKVEALKGLLFDIYEGQITAILGHSGAGKSSLLNILNGLSVPTEGSVTIYNKNLSEMQDLEEIRKITGVCPQFNVQFDILTVKENLSLFAKIKGIHLKEVEQEVQRILLELDMQNIQDNLAKHLSEGQKRKLTFGITILGDPQILLLDEPTTGLDPFSRDQVWSLLRERRADHVILFSTQSMDEADILADRKVIMSNGRLKCAGSSMFLKRRWGLGYHLSLHRNEICNPEQITSFITHHIPDAKLKTENKEKLVYTLPLERTNTFPDLFSDLDKCSDQGVTGYDISMSTLNEVFMKLEGQSTIEQDFEQVEMIRDSESLNEMELAHSSFSEMQTAVSDMGLWRMQVFAMARLRFLKLKRQTKVLLTLLLVFGIAIFPLIVENIMYAMLNEKIDWEFKNELYFLSPGQLPQEPRTSLLIINNTESNIEDFIKSLKHQNILLEVDDFENRNGTDGLSYNGAIIVSGKQKDYRFSVVCNTKRLHCFPILMNIISNGLLQMFNHTQHIRIESSPFPLSHIGLWTGLPDGSFFLFLVLCSISPYITMGSISDYKKNAKSQLWISGLYTSAYWCGQALVDVSFFILILLLMYLIFYIENMQYLLITSQIVFALVIVTPGYAASLVFFIYMISFIFRKRRKNSGLWSFYFFFASTIMFSITLINHFDLSILITTMVLVPSYTLLGFKTFLEVRDQEHYREFPEANFELSATDFLVCFIPYFQTLLFVFVLRCMELKCGKKRMRKDPVFRISPQSRDAKPNPEEPIDEDEDIQTERIRTATALTTSILDEKPVIIASCLHKEYAGQKKSCFSKRKKKIAARNISFCVQEGEILGLLGPNGAGKSSSIRMISGITKPTAGEVELKGCSSVLGHLGYCPQENVLWPMLTLREHLEVYAAVKGLRKADARLAIARLVSAFKLHEQLNVPVQKLTAGITRKLCFVLSLLGNSPVLLLDEPSTGIDPTGQQQMWQAIQAVVKNTERGVLLTTHNLAEAEALCDRVAIMVSGRLRCIGSIQHLKNKLGKDYILELKVKETSQVTLVHTEILKLFPQAAGQERYSSLLTYKLPVADVYPLSQTFHKLEAVKHNFNLEEYSLSQCTLEKVFLELSKEQEVGNFDEEIDTTMRWKLLPHSDEP
+>DECOY_sp|Q8N139|ABCA6_HUMAN ATP-binding cassette sub-family A member 6 OS=Homo sapiens OX=9606 GN=ABCA6 PE=1 SV=2
+PEDSHPLLKWRMTTDIEEDFNGVEQEKSLELFVKELTCQSLSYEELNFNHKVAELKHFTQSLPYVDAVPLKYTLLSSYREQGAAQPFLKLIETHVLTVQSTEKVKLELIYDKGLKNKLHQISGICRLRGSVMIAVRDCLAEAEALNHTTLLVGRETNKVVAQIAQWMQQQGTPDIGTSPEDLLLVPSNGLLSLVFCLKRTIGATLKQVPVNLQEHLKFASVLRAIALRADAKRLGKVAAYVELHERLTLMPWLVNEQPCYGLHGLVSSCGKLEVEGATPKTIGSIMRISSSKGAGNPGLLGLIEGEQVCFSINRAAIKKKRKSFCSKKQGAYEKHLCSAIIVPKEDLISTTLATATRIRETQIDEDEDIPEEPNPKADRSQPSIRFVPDKRMRKKGCKLEMCRLVFVFLLTQFYPIFCVLFDTASLEFNAEPFERYHEQDRVELFTKFGLLTYSPVLVMTTILISLDFHNILTISFMITSAFFFYFSWLGSNKRRKRFIFSIMYIFFVLSAAYGPTVIVLAFVIQSTILLYQMNEIYFILYMLLLILIFFSVDVLAQGCWYASTYLGSIWLQSKANKKYDSISGMTIYPSISCLVLFLFFSGDPLGTWLGIHSLPFPSSEIRIHQTHNFMQLLGNSIINMLIPFCHLRKTNCVVSFRYDKQKGSVIIAGNYSLGDTGNRNEFDDVELLINQHKLSKIFDEINSETNNIILLSTRPEQPLQGPSLFYLENKFEWDIKENLMAYMINEVILPFIAIGFVLLLTLLVKTQRKLKLFRLRAMAFVQMRWLGMDSVATQMESFSSHALEMENLSESDRIMEVQEFDQEITSQGELKMFVENLTSMSIDYGTVGQDSCKDLDSFLDPFTNTRELPLTYVLKEKNETKLKADPIHHTIFSTIQEPNCIENRHLSLHYGLGWRRKLFMSSGACKLRGNSMIVKRDALIDAEDMSQTSFLIVHDARRERLLSWVQDRSFPDLGTTPEDLLLIQPDGLITIGFTLKRKQGESLHKALNDQINQMDLELLIRQVEQEVEKLHIGKIKAFLSLNEKVTLIDFQVNFQPCVGTIKRIEELDQMESLNKNYITVSGETPVSLGNLINLLSSKGAGSHGLIATIQGEYIDFLLGKLAEVKGSKGKYEKKVNRIRIAEKGQFEPAVPEFYDDSPHEADIEKEIVKANTRQHQFCSSSNLFFLPSYHREDGYPLIKDFYLALLLYILGDLLLMSFTAIMTYSDGSPDPFIVGNLNYDLKIIQIMGTTFAFPSCINLIWELSSPLQEYFVTFGLCGWFLTLLFVVLNTLVAKKLLVSMLFVLAVLSLGYLFFLIFIVMFGTMVIIQTFTIIITVFISIIFIFGAYILGWSLWFASDQLGMMKMLNKSKKREKTVNLSIFYVLPSFHLLFFLIFMENHLLNKTIFPLTKMTIATVSMLEEMVPHNTTIEIIATNIATQLAVFGRNWYKTLTCSFEGYGDWCHASFDEKWLPSNYGQFFILKYSFTENFIIGMAYPLNELLIEDMHTKNPAGIVSTGKLLPALATKNMIQQTLNSIPTYVVMLSSSNFKDVRGLNQPAMGPFQVNRMSSSFLAICLGLLISLGWELLSERKMRWKKLFNKCLLAKTQQYVSKQKMNM
+>sp|Q8IUA7|ABCA9_HUMAN ATP-binding cassette sub-family A member 9 OS=Homo sapiens OX=9606 GN=ABCA9 PE=1 SV=1
+MSKRRMSVGQQTWALLCKNCLKKWRMKRQTLLEWLFSFLLVLFLYLFFSNLHQVHDTPQMSSMDLGRVDSFNDTNYVIAFAPESKTTQEIMNKVASAPFLKGRTIMGWPDEKSMDELDLNYSIDAVRVIFTDTFSYHLKFSWGHRIPMMKEHRDHSAHCQAVNEKMKCEGSEFWEKGFVAFQAAINAAIIEIATNHSVMEQLMSVTGVHMKILPFVAQGGVATDFFIFFCIISFSTFIYYVSVNVTQERQYITSLMTMMGLRESAFWLSWGLMYAGFILIMATLMALIVKSAQIVVLTGFVMVFTLFLLYGLSLITLAFLMSVLIKKPFLTGLVVFLLIVFWGILGFPALYTRLPAFLEWTLCLLSPFAFTVGMAQLIHLDYDVNSNAHLDSSQNPYLIIATLFMLVFDTLLYLVLTLYFDKILPAEYGHRCSPLFFLKSCFWFQHGRANHVVLENETDSDPTPNDCFEPVSPEFCGKEAIRIKNLKKEYAGKCERVEALKGVVFDIYEGQITALLGHSGAGKTTLLNILSGLSVPTSGSVTVYNHTLSRMADIENISKFTGFCPQSNVQFGFLTVKENLRLFAKIKGILPHEVEKEVQRVVQELEMENIQDILAQNLSGGQNRKLTFGIAILGDPQVLLLDEPTAGLDPLSRHRIWNLLKEGKSDRVILFSTQFIDEADILADRKVFISNGKLKCAGSSLFLKKKWGIGYHLSLHLNERCDPESITSLVKQHISDAKLTAQSEEKLVYILPLERTNKFPELYRDLDRCSNQGIEDYGVSITTLNEVFLKLEGKSTIDESDIGIWGQLQTDGAKDIGSLVELEQVLSSFHETRKTISGVALWRQQVCAIAKVRFLKLKKERKSLWTILLLFGISFIPQLLEHLFYESYQKSYPWELSPNTYFLSPGQQPQDPLTHLLVINKTGSTIDNFLHSLRRQNIAIEVDAFGTRNGTDDPSYNGAIIVSGDEKDHRFSIACNTKRLNCFPVLLDVISNGLLGIFNSSEHIQTDRSTFFEEHMDYEYGYRSNTFFWIPMAASFTPYIAMSSIGDYKKKAHSQLRISGLYPSAYWFGQALVDVSLYFLILLLMQIMDYIFSPEEIIFIIQNLLIQILCSIGYVSSLVFLTYVISFIFRNGRKNSGIWSFFFLIVVIFSIVATDLNEYGFLGLFFGTMLIPPFTLIGSLFIFSEISPDSMDYLGASESEIVYLALLIPYLHFLIFLFILRCLEMNCRKKLMRKDPVFRISPRSNAIFPNPEEPEGEEEDIQMERMRTVNAMAVRDFDETPVIIASCLRKEYAGKKKNCFSKRKKKIATRNVSFCVKKGEVIGLLGHNGAGKSTTIKMITGDTKPTAGQVILKGSGGGEPLGFLGYCPQENALWPNLTVRQHLEVYAAVKGLRKGDAMIAITRLVDALKLQDQLKAPVKTLSEGIKRKLCFVLSILGNPSVVLLDEPSTGMDPEGQQQMWQVIRATFRNTERGALLTTHYMAEAEAVCDRVAIMVSGRLRCIGSIQHLKSKFGKDYLLEMKLKNLAQMEPLHAEILRLFPQAAQQERFSSLMVYKLPVEDVRPLSQAFFKLEIVKQSFDLEEYSLSQSTLEQVFLELSKEQELGDLEEDFDPSVKWKLLLQEEP
+>DECOY_sp|Q8IUA7|ABCA9_HUMAN ATP-binding cassette sub-family A member 9 OS=Homo sapiens OX=9606 GN=ABCA9 PE=1 SV=1
+PEEQLLLKWKVSPDFDEELDGLEQEKSLELFVQELTSQSLSYEELDFSQKVIELKFFAQSLPRVDEVPLKYVMLSSFREQQAAQPFLRLIEAHLPEMQALNKLKMELLYDKGFKSKLHQISGICRLRGSVMIAVRDCVAEAEAMYHTTLLAGRETNRFTARIVQWMQQQGEPDMGTSPEDLLVVSPNGLISLVFCLKRKIGESLTKVPAKLQDQLKLADVLRTIAIMADGKRLGKVAAYVELHQRVTLNPWLANEQPCYGLFGLPEGGGSGKLIVQGATPKTDGTIMKITTSKGAGNHGLLGIVEGKKVCFSVNRTAIKKKRKSFCNKKKGAYEKRLCSAIIVPTEDFDRVAMANVTRMREMQIDEEEGEPEEPNPFIANSRPSIRFVPDKRMLKKRCNMELCRLIFLFILFHLYPILLALYVIESESAGLYDMSDPSIESFIFLSGILTFPPILMTGFFLGLFGYENLDTAVISFIVVILFFFSWIGSNKRGNRFIFSIVYTLFVLSSVYGISCLIQILLNQIIFIIEEPSFIYDMIQMLLLILFYLSVDVLAQGFWYASPYLGSIRLQSHAKKKYDGISSMAIYPTFSAAMPIWFFTNSRYGYEYDMHEEFFTSRDTQIHESSNFIGLLGNSIVDLLVPFCNLRKTNCAISFRHDKEDGSVIIAGNYSPDDTGNRTGFADVEIAINQRRLSHLFNDITSGTKNIVLLHTLPDQPQQGPSLFYTNPSLEWPYSKQYSEYFLHELLQPIFSIGFLLLITWLSKREKKLKLFRVKAIACVQQRWLAVGSITKRTEHFSSLVQELEVLSGIDKAGDTQLQGWIGIDSEDITSKGELKLFVENLTTISVGYDEIGQNSCRDLDRYLEPFKNTRELPLIYVLKEESQATLKADSIHQKVLSTISEPDCRENLHLSLHYGIGWKKKLFLSSGACKLKGNSIFVKRDALIDAEDIFQTSFLIVRDSKGEKLLNWIRHRSLPDLGATPEDLLLVQPDGLIAIGFTLKRNQGGSLNQALIDQINEMELEQVVRQVEKEVEHPLIGKIKAFLRLNEKVTLFGFQVNSQPCFGTFKSINEIDAMRSLTHNYVTVSGSTPVSLGSLINLLTTKGAGSHGLLATIQGEYIDFVVGKLAEVRECKGAYEKKLNKIRIAEKGCFEPSVPEFCDNPTPDSDTENELVVHNARGHQFWFCSKLFFLPSCRHGYEAPLIKDFYLTLVLYLLTDFVLMFLTAIILYPNQSSDLHANSNVDYDLHILQAMGVTFAFPSLLCLTWELFAPLRTYLAPFGLIGWFVILLFVVLGTLFPKKILVSMLFALTILSLGYLLFLTFVMVFGTLVVIQASKVILAMLTAMILIFGAYMLGWSLWFASERLGMMTMLSTIYQREQTVNVSVYYIFTSFSIICFFIFFDTAVGGQAVFPLIKMHVGTVSMLQEMVSHNTAIEIIAANIAAQFAVFGKEWFESGECKMKENVAQCHASHDRHEKMMPIRHGWSFKLHYSFTDTFIVRVADISYNLDLEDMSKEDPWGMITRGKLFPASAVKNMIEQTTKSEPAFAIVYNTDNFSDVRGLDMSSMQPTDHVQHLNSFFLYLFLVLLFSFLWELLTQRKMRWKKLCNKCLLAWTQQGVSMRRKSM
+>sp|Q4W5N1|ABCAB_HUMAN Putative ATP-binding cassette sub-family A member 11 OS=Homo sapiens OX=9606 GN=ABCA11P PE=2 SV=1
+MKYGNEIMNKDPVFRISPRSRGTHTNPEEPEEDVQAERVQAANALTTPNLEEEPVITASCLHKEYYETKKVAFQQQRRKQPSEMFRFVLKSEVLGLLGHNGAGKSTSIKMITGCTVPTAGVVVLQGNRASVRQQRDNSLKFLGTALRRTHCVPNLQ
+>DECOY_sp|Q4W5N1|ABCAB_HUMAN Putative ATP-binding cassette sub-family A member 11 OS=Homo sapiens OX=9606 GN=ABCA11P PE=2 SV=1
+QLNPVCHTRRLATGLFKLSNDRQQRVSARNGQLVVVGATPVTCGTIMKISTSKGAGNHGLLGLVESKLVFRFMESPQKRRQQQFAVKKTEYYEKHLCSATIVPEEELNPTTLANAAQVREAQVDEEPEEPNTHTGRSRPSIRFVPDKNMIENGYKM
+>sp|Q86UK0|ABCAC_HUMAN ATP-binding cassette sub-family A member 12 OS=Homo sapiens OX=9606 GN=ABCA12 PE=1 SV=3
+MASLFHQLQILVWKNWLGVKRQPLWTLVLILWPVIIFIILAITRTKFPPTAKPTCYLAPRNLPSTGFFPFLQTLLCDTDSKCKDTPYGPQDLLRRKGIDDALFKDSEILRKSSNLDKDSSLSFQSTQVPERRHASLATVFPSPSSDLEIPGTYTFNGSQVLARILGLEKLLKQNSTSEDIRRELCDSYSGYIVDDAFSWTFLGRNVFNKFCLSNMTLLESSLQELNKQFSQLSSDPNNQKIVFQEIVRMLSFFSQVQEQKAVWQLLSSFPNVFQNDTSLSNLFDVLRKANSVLLVVQKVYPRFATNEGFRTLQKSVKHLLYTLDSPAQGDSDNITHVWNEDDGQTLSPSSLAAQLLILENFEDALLNISANSPYIPYLACVRNVTDSLARGSPENLRLLQSTIRFKKSFLRNGSYEDYFPPVPEVLKSKLSQLRNLTELLCESETFSLIEKSCQLSDMSFGSLCEESEFDLQLLEAAELGTEIAASLLYHDNVISKKVRDLLTGDPSKINLNMDQFLEQALQMNYLENITQLIPIIEAMLHVNNSADASEKPGQLLEMFKNVEELKEDLRRTTGMSNRTIDKLLAIPIPDNRAEIISQVFWLHSCDTNITTPKLEDAMKEFCNLSLSERSRQSYLIGLTLLHYLNIYNFTYKVFFPRKDQKPVEKMMELFIRLKEILNQMASGTHPLLDKMRSLKQMHLPRSVPLTQAMYRSNRMNTPQGSFSTISQALCSQGITTEYLTAMLPSSQRPKGNHTKDFLTYKLTKEQIASKYGIPINSTPFCFSLYKDIINMPAGPVIWAFLKPMLLGRILYAPYNPVTKAIMEKSNVTLRQLAELREKSQEWMDKSPLFMNSFHLLNQAIPMLQNTLRNPFVQVFVKFSVGLDAVELLKQIDELDILRLKLENNIDIIDQLNTLSSLTVNISSCVLYDRIQAAKTIDEMEREAKRLYKSNELFGSVIFKLPSNRSWHRGYDSGNVFLPPVIKYTIRMSLKTAQTTRSLRTKIWAPGPHNSPSHNQIYGRAFIYLQDSIERAIIELQTGRNSQEIAVQVQAIPYPCFMKDNFLTSVSYSLPIVLMVAWVVFIAAFVKKLVYEKDLRLHEYMKMMGVNSCSHFFAWLIESVGFLLVTIVILIIILKFGNILPKTNGFILFLYFSDYSFSVIAMSYLISVFFNNTNIAALIGSLIYIIAFFPFIVLVTVENELSYVLKVFMSLLSPTAFSYASQYIARYEEQGIGLQWENMYTSPVQDDTTSFGWLCCLILADSFIYFLIAWYVRNVFPGTYGMAAPWYFPILPSYWKERFGCAEVKPEKSNGLMFTNIMMQNTNPSASPEYMFSSNIEPEPKDLTVGVALHGVTKIYGSKVAVDNLNLNFYEGHITSLLGPNGAGKTTTISMLTGLFGASAGTIFVYGKDIKTDLHTVRKNMGVCMQHDVLFSYLTTKEHLLLYGSIKVPHWTKKQLHEEVKRTLKDTGLYSHRHKRVGTLSGGMKRKLSISIALIGGSRVVILDEPSTGVDPCSRRSIWDVISKNKTARTIILSTHHLDEAEVLSDRIAFLEQGGLRCCGSPFYLKEAFGDGYHLTLTKKKSPNLNANAVCDTMAVTAMIQSHLPEAYLKEDIGGELVYVLPPFSTKVSGAYLSLLRALDNGMGDLNIGCYGISDTTVEEVFLNLTKESQKNSAMSLEHLTQKKIGNSNANGISTPDDLSVSSSNFTDRDDKILTRGERLDGFGLLLKKIMAILIKRFHHTRRNWKGLIAQVILPIVFVTTAMGLGTLRNSSNSYPEIQISPSLYGTSEQTAFYANYHPSTEALVSAMWDFPGIDNMCLNTSDLQCLNKDSLEKWNTSGEPITNFGVCSCSENVQECPKFNYSPPHRRTYSSQVIYNLTGQRVENYLISTANEFVQKRYGGWSFGLPLTKDLRFDITGVPANRTLAKVWYDPEGYHSLPAYLNSLNNFLLRVNMSKYDAARHGIIMYSHPYPGVQDQEQATISSLIDILVALSILMGYSVTTASFVTYVVREHQTKAKQLQHISGIGVTCYWVTNFIYDMVFYLVPVAFSIGIIAIFKLPAFYSENNLGAVSLLLLLFGYATFSWMYLLAGLFHETGMAFITYVCVNLFFGINSIVSLSVVYFLSKEKPNDPTLELISETLKRIFLIFPQFCFGYGLIELSQQQSVLDFLKAYGVEYPNETFEMNKLGAMFVALVSQGTMFFSLRLLINESLIKKLRLFFRKFNSSHVRETIDEDEDVRAERLRVESGAAEFDLVQLYCLTKTYQLIHKKIIAVNNISIGIPAGECFGLLGVNGAGKTTIFKMLTGDIIPSSGNILIRNKTGSLGHVDSHSSLVGYCPQEDALDDLVTVEEHLYFYARVHGIPEKDIKETVHKLLRRLHLMPFKDRATSMCSYGTKRKLSTALALIGKPSILLLDEPSSGMDPKSKRHLWKIISEEVQNKCSVILTSHSMEECEALCTRLAIMVNGKFQCIGSLQHIKSRFGRGFTVKVHLKNNKVTMETLTKFMQLHFPKTYLKDQHLSMLEYHVPVTAGGVANIFDLLETNKTALNITNFLVSQTTLEEVFINFAKDQKSYETADTSSQGSTISVDSQDDQMES
+>DECOY_sp|Q86UK0|ABCAC_HUMAN ATP-binding cassette sub-family A member 12 OS=Homo sapiens OX=9606 GN=ABCA12 PE=1 SV=3
+SEMQDDQSDVSITSGQSSTDATEYSKQDKAFNIFVEELTTQSVLFNTINLATKNTELLDFINAVGGATVPVHYELMSLHQDKLYTKPFHLQMFKTLTEMTVKNNKLHVKVTFGRGFRSKIHQLSGICQFKGNVMIALRTCLAECEEMSHSTLIVSCKNQVEESIIKWLHRKSKPDMGSSPEDLLLISPKGILALATSLKRKTGYSCMSTARDKFPMLHLRRLLKHVTEKIDKEPIGHVRAYFYLHEEVTVLDDLADEQPCYGVLSSHSDVHGLSGTKNRILINGSSPIIDGTLMKFITTKGAGNVGLLGFCEGAPIGISINNVAIIKKHILQYTKTLCYLQVLDFEAAGSEVRLREARVDEDEDITERVHSSNFKRFFLRLKKILSENILLRLSFFMTGQSVLAVFMAGLKNMEFTENPYEVGYAKLFDLVSQQQSLEILGYGFCFQPFILFIRKLTESILELTPDNPKEKSLFYVVSLSVISNIGFFLNVCVYTIFAMGTEHFLGALLYMWSFTAYGFLLLLLSVAGLNNESYFAPLKFIAIIGISFAVPVLYFVMDYIFNTVWYCTVGIGSIHQLQKAKTQHERVVYTVFSATTVSYGMLISLAVLIDILSSITAQEQDQVGPYPHSYMIIGHRAADYKSMNVRLLFNNLSNLYAPLSHYGEPDYWVKALTRNAPVGTIDFRLDKTLPLGFSWGGYRKQVFENATSILYNEVRQGTLNYIVQSSYTRRHPPSYNFKPCEQVNESCSCVGFNTIPEGSTNWKELSDKNLCQLDSTNLCMNDIGPFDWMASVLAETSPHYNAYFATQESTGYLSPSIQIEPYSNSSNRLTGLGMATTVFVIPLIVQAILGKWNRRTHHFRKILIAMIKKLLLGFGDLREGRTLIKDDRDTFNSSSVSLDDPTSIGNANSNGIKKQTLHELSMASNKQSEKTLNLFVEEVTTDSIGYCGINLDGMGNDLARLLSLYAGSVKTSFPPLVYVLEGGIDEKLYAEPLHSQIMATVAMTDCVANANLNPSKKKTLTLHYGDGFAEKLYFPSGCCRLGGQELFAIRDSLVEAEDLHHTSLIITRATKNKSIVDWISRRSCPDVGTSPEDLIVVRSGGILAISISLKRKMGGSLTGVRKHRHSYLGTDKLTRKVEEHLQKKTWHPVKISGYLLLHEKTTLYSFLVDHQMCVGMNKRVTHLDTKIDKGYVFITGASAGFLGTLMSITTTKGAGNPGLLSTIHGEYFNLNLNDVAVKSGYIKTVGHLAVGVTLDKPEPEINSSFMYEPSASPNTNQMMINTFMLGNSKEPKVEACGFREKWYSPLIPFYWPAAMGYTGPFVNRVYWAILFYIFSDALILCCLWGFSTTDDQVPSTYMNEWQLGIGQEEYRAIYQSAYSFATPSLLSMFVKLVYSLENEVTVLVIFPFFAIIYILSGILAAINTNNFFVSILYSMAIVSFSYDSFYLFLIFGNTKPLINGFKLIIILIVITVLLFGVSEILWAFFHSCSNVGMMKMYEHLRLDKEYVLKKVFAAIFVVWAVMLVIPLSYSVSTLFNDKMFCPYPIAQVQVAIEQSNRGTQLEIIAREISDQLYIFARGYIQNHSPSNHPGPAWIKTRLSRTTQATKLSMRITYKIVPPLFVNGSDYGRHWSRNSPLKFIVSGFLENSKYLRKAEREMEDITKAAQIRDYLVCSSINVTLSSLTNLQDIIDINNELKLRLIDLEDIQKLLEVADLGVSFKVFVQVFPNRLTNQLMPIAQNLLHFSNMFLPSKDMWEQSKERLEALQRLTVNSKEMIAKTVPNYPAYLIRGLLMPKLFAWIVPGAPMNIIDKYLSFCFPTSNIPIGYKSAIQEKTLKYTLFDKTHNGKPRQSSPLMATLYETTIGQSCLAQSITSFSGQPTNMRNSRYMAQTLPVSRPLHMQKLSRMKDLLPHTGSAMQNLIEKLRIFLEMMKEVPKQDKRPFFVKYTFNYINLYHLLTLGILYSQRSRESLSLNCFEKMADELKPTTINTDCSHLWFVQSIIEARNDPIPIALLKDITRNSMGTTRRLDEKLEEVNKFMELLQGPKESADASNNVHLMAEIIPILQTINELYNMQLAQELFQDMNLNIKSPDGTLLDRVKKSIVNDHYLLSAAIETGLEAAELLQLDFESEECLSGFSMDSLQCSKEILSFTESECLLETLNRLQSLKSKLVEPVPPFYDEYSGNRLFSKKFRITSQLLRLNEPSGRALSDTVNRVCALYPIYPSNASINLLADEFNELILLQAALSSPSLTQGDDENWVHTINDSDGQAPSDLTYLLHKVSKQLTRFGENTAFRPYVKQVVLLVSNAKRLVDFLNSLSTDNQFVNPFSSLLQWVAKQEQVQSFFSLMRVIEQFVIKQNNPDSSLQSFQKNLEQLSSELLTMNSLCFKNFVNRGLFTWSFADDVIYGSYSDCLERRIDESTSNQKLLKELGLIRALVQSGNFTYTGPIELDSSPSPFVTALSAHRREPVQTSQFSLSSDKDLNSSKRLIESDKFLADDIGKRRLLDQPGYPTDKCKSDTDCLLTQLFPFFGTSPLNRPALYCTPKATPPFKTRTIALIIFIIVPWLILVLTWLPQRKVGLWNKWVLIQLQHFLSAM
+>sp|Q9NRK6|ABCBA_HUMAN ATP-binding cassette sub-family B member 10, mitochondrial OS=Homo sapiens OX=9606 GN=ABCB10 PE=1 SV=2
+MRGPPAWPLRLLEPPSPAEPGRLLPVACVWAAASRVPGSLSPFTGLRPARLWGAGPALLWGVGAARRWRSGCRGGGPGASRGVLGLARLLGLWARGPGSCRCGAFAGPGAPRLPRARFPGGPAAAAWAGDEAWRRGPAAPPGDKGRLRPAAAGLPEARKLLGLAYPERRRLAAAVGFLTMSSVISMSAPFFLGKIIDVIYTNPTVDYSDNLTRLCLGLSAVFLCGAAANAIRVYLMQTSGQRIVNRLRTSLFSSILRQEVAFFDKTRTGELINRLSSDTALLGRSVTENLSDGLRAGAQASVGISMMFFVSPNLATFVLSVVPPVSIIAVIYGRYLRKLTKVTQDSLAQATQLAEERIGNVRTVRAFGKEMTEIEKYASKVDHVMQLARKEAFARAGFFGATGLSGNLIVLSVLYKGGLLMGSAHMTVGELSSFLMYAFWVGISIGGLSSFYSELMKGLGAGGRLWELLEREPKLPFNEGVILNEKSFQGALEFKNVHFAYPARPEVPIFQDFSLSIPSGSVTALVGPSGSGKSTVLSLLLRLYDPASGTISLDGHDIRQLNPVWLRSKIGTVSQEPILFSCSIAENIAYGADDPSSVTAEEIQRVAEVANAVAFIRNFPQGFNTVVGEKGVLLSGGQKQRIAIARALLKNPKILLLDEATSALDAENEYLVQEALDRLMDGRTVLVIAHRLSTIKNANMVAVLDQGKITEYGKHEELLSKPNGIYRKLMNKQSFISA
+>DECOY_sp|Q9NRK6|ABCBA_HUMAN ATP-binding cassette sub-family B member 10, mitochondrial OS=Homo sapiens OX=9606 GN=ABCB10 PE=1 SV=2
+ASIFSQKNMLKRYIGNPKSLLEEHKGYETIKGQDLVAVMNANKITSLRHAIVLVTRGDMLRDLAEQVLYENEADLASTAEDLLLIKPNKLLARAIAIRQKQGGSLLVGKEGVVTNFGQPFNRIFAVANAVEAVRQIEEATVSSPDDAGYAINEAISCSFLIPEQSVTGIKSRLWVPNLQRIDHGDLSITGSAPDYLRLLLSLVTSKGSGSPGVLATVSGSPISLSFDQFIPVEPRAPYAFHVNKFELAGQFSKENLIVGENFPLKPERELLEWLRGGAGLGKMLESYFSSLGGISIGVWFAYMLFSSLEGVTMHASGMLLGGKYLVSLVILNGSLGTAGFFGARAFAEKRALQMVHDVKSAYKEIETMEKGFARVTRVNGIREEALQTAQALSDQTVKTLKRLYRGYIVAIISVPPVVSLVFTALNPSVFFMMSIGVSAQAGARLGDSLNETVSRGLLATDSSLRNILEGTRTKDFFAVEQRLISSFLSTRLRNVIRQGSTQMLYVRIANAAAGCLFVASLGLCLRTLNDSYDVTPNTYIVDIIKGLFFPASMSIVSSMTLFGVAAALRRREPYALGLLKRAEPLGAAAPRLRGKDGPPAAPGRRWAEDGAWAAAAPGGPFRARPLRPAGPGAFAGCRCSGPGRAWLGLLRALGLVGRSAGPGGGRCGSRWRRAAGVGWLLAPGAGWLRAPRLGTFPSLSGPVRSAAAWVCAVPLLRGPEAPSPPELLRLPWAPPGRM
+>sp|O60706|ABCC9_HUMAN ATP-binding cassette sub-family C member 9 OS=Homo sapiens OX=9606 GN=ABCC9 PE=1 SV=2
+MSLSFCGNNISSYNINDGVLQNSCFVDALNLVPHVFLLFITFPILFIGWGSQSSKVQIHHNTWLHFPGHNLRWILTFALLFVHVCEIAEGIVSDSRRESRHLHLFMPAVMGFVATTTSIVYYHNIETSNFPKLLLALFLYWVMAFITKTIKLVKYCQSGLDISNLRFCITGMMVILNGLLMAVEINVIRVRRYVFFMNPQKVKPPEDLQDLGVRFLQPFVNLLSKATYWWMNTLIISAHKKPIDLKAIGKLPIAMRAVTNYVCLKDAYEEQKKKVADHPNRTPSIWLAMYRAFGRPILLSSTFRYLADLLGFAGPLCISGIVQRVNETQNGTNNTTGISETLSSKEFLENAYVLAVLLFLALILQRTFLQASYYVTIETGINLRGALLAMIYNKILRLSTSNLSMGEMTLGQINNLVAIETNQLMWFLFLCPNLWAMPVQIIMGVILLYNLLGSSALVGAAVIVLLAPIQYFIATKLAEAQKSTLDYSTERLKKTNEILKGIKLLKLYAWEHIFCKSVEETRMKELSSLKTFALYTSLSIFMNAAIPIAAVLATFVTHAYASGNNLKPAEAFASLSLFHILVTPLFLLSTVVRFAVKAIISVQKLNEFLLSDEIGDDSWRTGESSLPFESCKKHTGVQPKTINRKQPGRYHLDSYEQSTRRLRPAETEDIAIKVTNGYFSWGSGLATLSNIDIRIPTGQLTMIVGQVGCGKSSLLLAILGEMQTLEGKVHWSNVNESEPSFEATRSRNRYSVAYAAQKPWLLNATVEENITFGSPFNKQRYKAVTDACSLQPDIDLLPFGDQTEIGERGINLSGGQRQRICVARALYQNTNIVFLDDPFSALDIHLSDHLMQEGILKFLQDDKRTLVLVTHKLQYLTHADWIIAMKDGSVLREGTLKDIQTKDVELYEHWKTLMNRQDQELEKDMEADQTTLERKTLRRAMYSREAKAQMEDEDEEEEEEEDEDDNMSTVMRLRTKMPWKTCWRYLTSGGFFLLILMIFSKLLKHSVIVAIDYWLATWTSEYSINNTGKADQTYYVAGFSILCGAGIFLCLVTSLTVEWMGLTAAKNLHHNLLNKIILGPIRFFDTTPLGLILNRFSADTNIIDQHIPPTLESLTRSTLLCLSAIGMISYATPVFLVALLPLGVAFYFIQKYFRVASKDLQELDDSTQLPLLCHFSETAEGLTTIRAFRHETRFKQRMLELTDTNNIAYLFLSAANRWLEVRTDYLGACIVLTASIASISGSSNSGLVGLGLLYALTITNYLNWVVRNLADLEVQMGAVKKVNSFLTMESENYEGTMDPSQVPEHWPQEGEIKIHDLCVRYENNLKPVLKHVKAYIKPGQKVGICGRTGSGKSSLSLAFFRMVDIFDGKIVIDGIDISKLPLHTLRSRLSIILQDPILFSGSIRFNLDPECKCTDDRLWEALEIAQLKNMVKSLPGGLDAVVTEGGENFSVGQRQLFCLARAFVRKSSILIMDEATASIDMATENILQKVVMTAFADRTVVTIAHRVSSIMDAGLVLVFSEGILVECDTVPNLLAHKNGLFSTLVMTNK
+>DECOY_sp|O60706|ABCC9_HUMAN ATP-binding cassette sub-family C member 9 OS=Homo sapiens OX=9606 GN=ABCC9 PE=1 SV=2
+KNTMVLTSFLGNKHALLNPVTDCEVLIGESFVLVLGADMISSVRHAITVVTRDAFATMVVKQLINETAMDISATAEDMILISSKRVFARALCFLQRQGVSFNEGGETVVADLGGPLSKVMNKLQAIELAEWLRDDTCKCEPDLNFRISGSFLIPDQLIISLRSRLTHLPLKSIDIGDIVIKGDFIDVMRFFALSLSSKGSGTRGCIGVKQGPKIYAKVHKLVPKLNNEYRVCLDHIKIEGEQPWHEPVQSPDMTGEYNESEMTLFSNVKKVAGMQVELDALNRVVWNLYNTITLAYLLGLGVLGSNSSGSISAISATLVICAGLYDTRVELWRNAASLFLYAINNTDTLELMRQKFRTEHRFARITTLGEATESFHCLLPLQTSDDLEQLDKSAVRFYKQIFYFAVGLPLLAVLFVPTAYSIMGIASLCLLTSRTLSELTPPIHQDIINTDASFRNLILGLPTTDFFRIPGLIIKNLLNHHLNKAATLGMWEVTLSTVLCLFIGAGCLISFGAVYYTQDAKGTNNISYESTWTALWYDIAVIVSHKLLKSFIMLILLFFGGSTLYRWCTKWPMKTRLRMVTSMNDDEDEEEEEEEDEDEMQAKAERSYMARRLTKRELTTQDAEMDKELEQDQRNMLTKWHEYLEVDKTQIDKLTGERLVSGDKMAIIWDAHTLYQLKHTVLVLTRKDDQLFKLIGEQMLHDSLHIDLASFPDDLFVINTNQYLARAVCIRQRQGGSLNIGREGIETQDGFPLLDIDPQLSCADTVAKYRQKNFPSGFTINEEVTANLLWPKQAAYAVSYRNRSRTAEFSPESENVNSWHVKGELTQMEGLIALLLSSKGCGVQGVIMTLQGTPIRIDINSLTALGSGWSFYGNTVKIAIDETEAPRLRRTSQEYSDLHYRGPQKRNITKPQVGTHKKCSEFPLSSEGTRWSDDGIEDSLLFENLKQVSIIAKVAFRVVTSLLFLPTVLIHFLSLSAFAEAPKLNNGSAYAHTVFTALVAAIPIAANMFISLSTYLAFTKLSSLEKMRTEEVSKCFIHEWAYLKLLKIGKLIENTKKLRETSYDLTSKQAEALKTAIFYQIPALLVIVAAGVLASSGLLNYLLIVGMIIQVPMAWLNPCLFLFWMLQNTEIAVLNNIQGLTMEGMSLNSTSLRLIKNYIMALLAGRLNIGTEITVYYSAQLFTRQLILALFLLVALVYANELFEKSSLTESIGTTNNTGNQTENVRQVIGSICLPGAFGLLDALYRFTSSLLIPRGFARYMALWISPTRNPHDAVKKKQEEYADKLCVYNTVARMAIPLKGIAKLDIPKKHASIILTNMWWYTAKSLLNVFPQLFRVGLDQLDEPPKVKQPNMFFVYRRVRIVNIEVAMLLGNLIVMMGTICFRLNSIDLGSQCYKVLKITKTIFAMVWYLFLALLLKPFNSTEINHYYVISTTTAVFGMVAPMFLHLHRSERRSDSVIGEAIECVHVFLLAFTLIWRLNHGPFHLWTNHHIQVKSSQSGWGIFLIPFTIFLLFVHPVLNLADVFCSNQLVGDNINYSSINNGCFSLSM
+>sp|Q9NSE7|ABCCD_HUMAN Putative ATP-binding cassette sub-family C member 13 OS=Homo sapiens OX=9606 GN=ABCC13 PE=2 SV=2
+MLSSTQNAGGSYQRVRGALDTQKCSPEKSASFFSKVTYSWFSRVITLGYKRPLEREDLFELKESDSFCTACPIFEKQWRKEVLRNQERQKVKVSCYKEAHIKKPSLLYALWNTFKSILIQVALFKVFADILSFTSPLIMKQIIIFCEHSSDFGWNGYGYAVALLVVVFLQTLILQQYQRFNMLTSAKVKTAVNGLIYKKALLLSNVSRQKFSTGEIINLMSATHGLDSKPQSPLVCPFSNPNGRISPLARAGSSSVSRGGSPCVCYTNKCFSCN
+>DECOY_sp|Q9NSE7|ABCCD_HUMAN Putative ATP-binding cassette sub-family C member 13 OS=Homo sapiens OX=9606 GN=ABCC13 PE=2 SV=2
+NCSFCKNTYCVCPSGGRSVSSSGARALPSIRGNPNSFPCVLPSQPKSDLGHTASMLNIIEGTSFKQRSVNSLLLAKKYILGNVATKVKASTLMNFRQYQQLILTQLFVVVLLAVAYGYGNWGFDSSHECFIIIQKMILPSTFSLIDAFVKFLAVQILISKFTNWLAYLLSPKKIHAEKYCSVKVKQREQNRLVEKRWQKEFIPCATCFSDSEKLEFLDERELPRKYGLTIVRSFWSYTVKSFFSASKEPSCKQTDLAGRVRQYSGGANQTSSLM
+>sp|O14678|ABCD4_HUMAN ATP-binding cassette sub-family D member 4 OS=Homo sapiens OX=9606 GN=ABCD4 PE=1 SV=1
+MAVAGPAPGAGARPRLDLQFLQRFLQILKVLFPSWSSQNALMFLTLLCLTLLEQFVIYQVGLIPSQYYGVLGNKDLEGFKTLTFLAVMLIVLNSTLKSFDQFTCNLLYVSWRKDLTEHLHRLYFRGRAYYTLNVLRDDIDNPDQRISQDVERFCRQLSSMASKLIISPFTLVYYTYQCFQSTGWLGPVSIFGYFILGTVVNKTLMGPIVMKLVHQEKLEGDFRFKHMQIRVNAEPAAFYRAGHVEHMRTDRRLQRLLQTQRELMSKELWLYIGINTFDYLGSILSYVVIAIPIFSGVYGDLSPAELSTLVSKNAFVCIYLISCFTQLIDLSTTLSDVAGYTHRIGQLRETLLDMSLKSQDCEILGESEWGLDTPPGWPAAEPADTAFLLERVSISAPSSDKPLIKDLSLKISEGQSLLITGNTGTGKTSLLRVLGGLWTSTRGSVQMLTDFGPHGVLFLPQKPFFTDGTLREQVIYPLKEVYPDSGSADDERILRFLELAGLSNLVARTEGLDQQVDWNWYDVLSPGEMQRLSFARLFYLQPKYAVLDEATSALTEEVESELYRIGQQLGMTFISVGHRQSLEKFHSLVLKLCGGGRWELMRIKVE
+>DECOY_sp|O14678|ABCD4_HUMAN ATP-binding cassette sub-family D member 4 OS=Homo sapiens OX=9606 GN=ABCD4 PE=1 SV=1
+EVKIRMLEWRGGGCLKLVLSHFKELSQRHGVSIFTMGLQQGIRYLESEVEETLASTAEDLVAYKPQLYFLRAFSLRQMEGPSLVDYWNWDVQQDLGETRAVLNSLGALELFRLIREDDASGSDPYVEKLPYIVQERLTGDTFFPKQPLFLVGHPGFDTLMQVSGRTSTWLGGLVRLLSTKGTGTNGTILLSQGESIKLSLDKILPKDSSPASISVRELLFATDAPEAAPWGPPTDLGWESEGLIECDQSKLSMDLLTERLQGIRHTYGAVDSLTTSLDILQTFCSILYICVFANKSVLTSLEAPSLDGYVGSFIPIAIVVYSLISGLYDFTNIGIYLWLEKSMLERQTQLLRQLRRDTRMHEVHGARYFAAPEANVRIQMHKFRFDGELKEQHVLKMVIPGMLTKNVVTGLIFYGFISVPGLWGTSQFCQYTYYVLTFPSIILKSAMSSLQRCFREVDQSIRQDPNDIDDRLVNLTYYARGRFYLRHLHETLDKRWSVYLLNCTFQDFSKLTSNLVILMVALFTLTKFGELDKNGLVGYYQSPILGVQYIVFQELLTLCLLTLFMLANQSSWSPFLVKLIQLFRQLFQLDLRPRAGAGPAPGAVAM
+>sp|Q8NE71|ABCF1_HUMAN ATP-binding cassette sub-family F member 1 OS=Homo sapiens OX=9606 GN=ABCF1 PE=1 SV=2
+MPKAPKQQPPEPEWIGDGESTSPSDKVVKKGKKDKKIKKTFFEELAVEDKQAGEEEKVLKEKEQQQQQQQQQQKKKRDTRKGRRKKDVDDDGEEKELMERLKKLSVPTSDEEDEVPAPKPRGGKKTKGGNVFAALIQDQSEEEEEEEKHPPKPAKPEKNRINKAVSEEQQPALKGKKGKEEKSKGKAKPQNKFAALDNEEEDKEEEIIKEKEPPKQGKEKAKKAEQGSEEEGEGEEEEEEGGESKADDPYAHLSKKEKKKLKKQMEYERQVASLKAANAAENDFSVSQAEMSSRQAMLENASDIKLEKFSISAHGKELFVNADLYIVAGRRYGLVGPNGKGKTTLLKHIANRALSIPPNIDVLLCEQEVVADETPAVQAVLRADTKRLKLLEEERRLQGQLEQGDDTAAERLEKVYEELRATGAAAAEAKARRILAGLGFDPEMQNRPTQKFSGGWRMRVSLARALFMEPTLLMLDEPTNHLDLNAVIWLNNYLQGWRKTLLIVSHDQGFLDDVCTDIIHLDAQRLHYYRGNYMTFKKMYQQKQKELLKQYEKQEKKLKELKAGGKSTKQAEKQTKEALTRKQQKCRRKNQDEESQEAPELLKRPKEYTVRFTFPDPPPLSPPVLGLHGVTFGYQGQKPLFKNLDFGIDMDSRICIVGPNGVGKSTLLLLLTGKLTPTHGEMRKNHRLKIGFFNQQYAEQLRMEETPTEYLQRGFNLPYQDARKCLGRFGLESHAHTIQICKLSGGQKARVVFAELACREPDVLILDEPTNNLDIESIDALGEAINEYKGAVIVVSHDARLITETNCQLWVVEEQSVSQIDGDFEDYKREVLEALGEVMVSRPRE
+>DECOY_sp|Q8NE71|ABCF1_HUMAN ATP-binding cassette sub-family F member 1 OS=Homo sapiens OX=9606 GN=ABCF1 PE=1 SV=2
+ERPRSVMVEGLAELVERKYDEFDGDIQSVSQEEVVWLQCNTETILRADHSVVIVAGKYENIAEGLADISEIDLNNTPEDLILVDPERCALEAFVVRAKQGGSLKCIQITHAHSELGFRGLCKRADQYPLNFGRQLYETPTEEMRLQEAYQQNFFGIKLRHNKRMEGHTPTLKGTLLLLLTSKGVGNPGVICIRSDMDIGFDLNKFLPKQGQYGFTVGHLGLVPPSLPPPDPFTFRVTYEKPRKLLEPAEQSEEDQNKRRCKQQKRTLAEKTQKEAQKTSKGGAKLEKLKKEQKEYQKLLEKQKQQYMKKFTMYNGRYYHLRQADLHIIDTCVDDLFGQDHSVILLTKRWGQLYNNLWIVANLDLHNTPEDLMLLTPEMFLARALSVRMRWGGSFKQTPRNQMEPDFGLGALIRRAKAEAAAAGTARLEEYVKELREAATDDGQELQGQLRREEELLKLRKTDARLVAQVAPTEDAVVEQECLLVDINPPISLARNAIHKLLTTKGKGNPGVLGYRRGAVIYLDANVFLEKGHASISFKELKIDSANELMAQRSSMEAQSVSFDNEAANAAKLSAVQREYEMQKKLKKKEKKSLHAYPDDAKSEGGEEEEEEGEGEEESGQEAKKAKEKGQKPPEKEKIIEEEKDEEENDLAAFKNQPKAKGKSKEEKGKKGKLAPQQEESVAKNIRNKEPKAPKPPHKEEEEEEESQDQILAAFVNGGKTKKGGRPKPAPVEDEEDSTPVSLKKLREMLEKEEGDDDVDKKRRGKRTDRKKKQQQQQQQQQQEKEKLVKEEEGAQKDEVALEEFFTKKIKKDKKGKKVVKDSPSTSEGDGIWEPEPPQQKPAKPM
+>sp|Q6UXT9|ABH15_HUMAN Protein ABHD15 OS=Homo sapiens OX=9606 GN=ABHD15 PE=1 SV=2
+MPPWGAALALILAVLALLGLLGPRLRGPWGRAVGERTLPGAQDRDDGEEADGGGPADQFSDGREPLPGGCSLVCKPSALAQCLLRALRRSEALEAGPRSWFSGPHLQTLCHFVLPVAPGPELAREYLQLADDGLVALDWVVGPCVRGRRITSAGGLPAVLLVIPNAWGRLTRNVLGLCLLALERGYYPVIFHRRGHHGCPLVSPRLQPFGDPSDLKEAVTYIRFRHPAAPLFAVSEGSGSALLLSYLGECGSSSYVTGAACISPVLRCREWFEAGLPWPYERGFLLHQKIALSRYATALEDTVDTSRLFRSRSLREFEEALFCHTKSFPISWDTYWDRNDPLRDVDEAAVPVLCICSADDPVCGPPDHTLTTELFHSNPYFFLLLSRHGGHCGFLRQEPLPAWSHEVILESFRALTEFFRTEERIKGLSRHRASFLGGRRRGGALQRREVSSSSNLEEIFNWKRSYTR
+>DECOY_sp|Q6UXT9|ABH15_HUMAN Protein ABHD15 OS=Homo sapiens OX=9606 GN=ABHD15 PE=1 SV=2
+RTYSRKWNFIEELNSSSSVERRQLAGGRRRGGLFSARHRSLGKIREETRFFETLARFSELIVEHSWAPLPEQRLFGCHGGHRSLLLFFYPNSHFLETTLTHDPPGCVPDDASCICLVPVAAEDVDRLPDNRDWYTDWSIPFSKTHCFLAEEFERLSRSRFLRSTDVTDELATAYRSLAIKQHLLFGREYPWPLGAEFWERCRLVPSICAAGTVYSSSGCEGLYSLLLASGSGESVAFLPAAPHRFRIYTVAEKLDSPDGFPQLRPSVLPCGHHGRRHFIVPYYGRELALLCLGLVNRTLRGWANPIVLLVAPLGGASTIRRGRVCPGVVWDLAVLGDDALQLYERALEPGPAVPLVFHCLTQLHPGSFWSRPGAELAESRRLARLLCQALASPKCVLSCGGPLPERGDSFQDAPGGGDAEEGDDRDQAGPLTREGVARGWPGRLRPGLLGLLALVALILALAAGWPPM
+>sp|Q96SE0|ABHD1_HUMAN Protein ABHD1 OS=Homo sapiens OX=9606 GN=ABHD1 PE=1 SV=2
+MLSSFLSPQNGTWADTFSLLLALAVALYLGYYWACVLQRPRLVAGPQFLAFLEPHCSITTETFYPTLWCFEGRLQSIFQVLLQSQPLVLYQSDILQTPDGGQLLLDWAKQPDSSQDPDPTTQPIVLLLPGITGSSQDTYVLHLVNQALRDGYQAVVFNNRGCRGEELRTHRAFCASNTEDLETVVNHIKHRYPQAPLLAVGISFGGILVLNHLAQARQAAGLVAALTLSACWDSFETTRSLETPLNSLLFNQPLTAGLCQLVERNRKVIEKVVDIDFVLQARTIRQFDERYTSVAFGYQDCVTYYKAASPRTKIDAIRIPVLYLSAADDPFSPVCALPIQAAQHSPYVALLITARGGHIGFLEGLLPWQHWYMSRLLHQYAKAIFQDPEGLPDLRALLPSEDRNS
+>DECOY_sp|Q96SE0|ABHD1_HUMAN Protein ABHD1 OS=Homo sapiens OX=9606 GN=ABHD1 PE=1 SV=2
+SNRDESPLLARLDPLGEPDQFIAKAYQHLLRSMYWHQWPLLGELFGIHGGRATILLAVYPSHQAAQIPLACVPSFPDDAASLYLVPIRIADIKTRPSAAKYYTVCDQYGFAVSTYREDFQRITRAQLVFDIDVVKEIVKRNREVLQCLGATLPQNFLLSNLPTELSRTTEFSDWCASLTLAAVLGAAQRAQALHNLVLIGGFSIGVALLPAQPYRHKIHNVVTELDETNSACFARHTRLEEGRCGRNNFVVAQYGDRLAQNVLHLVYTDQSSGTIGPLLLVIPQTTPDPDQSSDPQKAWDLLLQGGDPTQLIDSQYLVLPQSQLLVQFISQLRGEFCWLTPYFTETTISCHPELFALFQPGAVLRPRQLVCAWYYGLYLAVALALLLSFTDAWTGNQPSLFSSLM
+>sp|Q96IU4|ABHEB_HUMAN Protein ABHD14B OS=Homo sapiens OX=9606 GN=ABHD14B PE=1 SV=1
+MAASVEQREGTIQVQGQALFFREALPGSGQARFSVLLLHGIRFSSETWQNLGTLHRLAQAGYRAVAIDLPGLGHSKEAAAPAPIGELAPGSFLAAVVDALELGPPVVISPSLSGMYSLPFLTAPGSQLPGFVPVAPICTDKINAANYASVKTPALIVYGDQDPMGQTSFEHLKQLPNHRVLIMKGAGHPCYLDKPEEWHTGLLDFLQGLQ
+>DECOY_sp|Q96IU4|ABHEB_HUMAN Protein ABHD14B OS=Homo sapiens OX=9606 GN=ABHD14B PE=1 SV=1
+QLGQLFDLLGTHWEEPKDLYCPHGAGKMILVRHNPLQKLHEFSTQGMPDQDGYVILAPTKVSAYNAANIKDTCIPAVPVFGPLQSGPATLFPLSYMGSLSPSIVVPPGLELADVVAALFSGPALEGIPAPAAAEKSHGLGPLDIAVARYGAQALRHLTGLNQWTESSFRIGHLLLVSFRAQGSGPLAERFFLAQGQVQITGERQEVSAAM
+>sp|O95870|ABHGA_HUMAN Protein ABHD16A OS=Homo sapiens OX=9606 GN=ABHD16A PE=1 SV=3
+MAKLLSCVLGPRLYKIYRERDSERAPASVPETPTAVTAPHSSSWDTYYQPRALEKHADSILALASVFWSISYYSSPFAFFYLYRKGYLSLSKVVPFSHYAGTLLLLLAGVACLRGIGRWTNPQYRQFITILEATHRNQSSENKRQLANYNFDFRSWPVDFHWEEPSSRKESRGGPSRRGVALLRPEPLHRGTADTLLNRVKKLPCQITSYLVAHTLGRRMLYPGSVYLLQKALMPVLLQGQARLVEECNGRRAKLLACDGNEIDTMFVDRRGTAEPQGQKLVICCEGNAGFYEVGCVSTPLEAGYSVLGWNHPGFAGSTGVPFPQNEANAMDVVVQFAIHRLGFQPQDIIIYAWSIGGFTATWAAMSYPDVSAMILDASFDDLVPLALKVMPDSWRGLVTRTVRQHLNLNNAEQLCRYQGPVLLIRRTKDEIITTTVPEDIMSNRGNDLLLKLLQHRYPRVMAEEGLRVVRQWLEASSQLEEASIYSRWEVEEDWCLSVLRSYQAEHGPDFPWSVGEDMSADGRRQLALFLARKHLHNFEATHCTPLPAQNFQMPWHL
+>DECOY_sp|O95870|ABHGA_HUMAN Protein ABHD16A OS=Homo sapiens OX=9606 GN=ABHD16A PE=1 SV=3
+LHWPMQFNQAPLPTCHTAEFNHLHKRALFLALQRRGDASMDEGVSWPFDPGHEAQYSRLVSLCWDEEVEWRSYISAEELQSSAELWQRVVRLGEEAMVRPYRHQLLKLLLDNGRNSMIDEPVTTTIIEDKTRRILLVPGQYRCLQEANNLNLHQRVTRTVLGRWSDPMVKLALPVLDDFSADLIMASVDPYSMAAWTATFGGISWAYIIIDQPQFGLRHIAFQVVVDMANAENQPFPVGTSGAFGPHNWGLVSYGAELPTSVCGVEYFGANGECCIVLKQGQPEATGRRDVFMTDIENGDCALLKARRGNCEEVLRAQGQLLVPMLAKQLLYVSGPYLMRRGLTHAVLYSTIQCPLKKVRNLLTDATGRHLPEPRLLAVGRRSPGGRSEKRSSPEEWHFDVPWSRFDFNYNALQRKNESSQNRHTAELITIFQRYQPNTWRGIGRLCAVGALLLLLTGAYHSFPVVKSLSLYGKRYLYFFAFPSSYYSISWFVSALALISDAHKELARPQYYTDWSSSHPATVATPTEPVSAPARESDRERYIKYLRPGLVCSLLKAM
+>sp|Q8IZP0|ABI1_HUMAN Abl interactor 1 OS=Homo sapiens OX=9606 GN=ABI1 PE=1 SV=4
+MAELQMLLEEEIPSGKRALIESYQNLTRVADYCENNYIQATDKRKALEETKAYTTQSLASVAYQINALANNVLQLLDIQASQLRRMESSINHISQTVDIHKEKVARREIGILTTNKNTSRTHKIIAPANMERPVRYIRKPIDYTVLDDVGHGVKWLKAKHGNNQPARTGTLSRTNPPTQKPPSPPMSGRGTLGRNTPYKTLEPVKPPTVPNDYMTSPARLGSQHSPGRTASLNQRPRTHSGSSGGSGSRENSGSSSIGIPIAVPTPSPPTIGPENISVPPPSGAPPAPPLAPLLPVSTVIAAPGSAPGSQYGTMTRQISRHNSTTSSTSSGGYRRTPSVTAQFSAQPHVNGGPLYSQNSISIAPPPPPMPQLTPQIPLTGFVARVQENIADSPTPPPPPPPDDIPMFDDSPPPPPPPPVDYEDEEAAVVQYNDPYADGDPAWAPKNYIEKVVAIYDYTKDKDDELSFMEGAIIYVIKKNDDGWYEGVCNRVTGLFPGNYVESIMHYTD
+>DECOY_sp|Q8IZP0|ABI1_HUMAN Abl interactor 1 OS=Homo sapiens OX=9606 GN=ABI1 PE=1 SV=4
+DTYHMISEVYNGPFLGTVRNCVGEYWGDDNKKIVYIIAGEMFSLEDDKDKTYDYIAVVKEIYNKPAWAPDGDAYPDNYQVVAAEEDEYDVPPPPPPPPSDDFMPIDDPPPPPPPTPSDAINEQVRAVFGTLPIQPTLQPMPPPPPAISISNQSYLPGGNVHPQASFQATVSPTRRYGGSSTSSTTSNHRSIQRTMTGYQSGPASGPAAIVTSVPLLPALPPAPPAGSPPPVSINEPGITPPSPTPVAIPIGISSSGSNERSGSGGSSGSHTRPRQNLSATRGPSHQSGLRAPSTMYDNPVTPPKVPELTKYPTNRGLTGRGSMPPSPPKQTPPNTRSLTGTRAPQNNGHKAKLWKVGHGVDDLVTYDIPKRIYRVPREMNAPAIIKHTRSTNKNTTLIGIERRAVKEKHIDVTQSIHNISSEMRRLQSAQIDLLQLVNNALANIQYAVSALSQTTYAKTEELAKRKDTAQIYNNECYDAVRTLNQYSEILARKGSPIEEELLMQLEAM
+>sp|O00767|ACOD_HUMAN Acyl-CoA desaturase OS=Homo sapiens OX=9606 GN=SCD PE=1 SV=2
+MPAHLLQDDISSSYTTTTTITAPPSRVLQNGGDKLETMPLYLEDDIRPDIKDDIYDPTYKDKEGPSPKVEYVWRNIILMSLLHLGALYGITLIPTCKFYTWLWGVFYYFVSALGITAGAHRLWSHRSYKARLPLRLFLIIANTMAFQNDVYEWARDHRAHHKFSETHADPHNSRRGFFFSHVGWLLVRKHPAVKEKGSTLDLSDLEAEKLVMFQRRYYKPGLLMMCFILPTLVPWYFWGETFQNSVFVATFLRYAVVLNATWLVNSAAHLFGYRPYDKNISPRENILVSLGAVGEGFHNYHHSFPYDYSASEYRWHINFTTFFIDCMAALGLAYDRKKVSKAAILARIKRTGDGNYKSG
+>DECOY_sp|O00767|ACOD_HUMAN Acyl-CoA desaturase OS=Homo sapiens OX=9606 GN=SCD PE=1 SV=2
+GSKYNGDGTRKIRALIAAKSVKKRDYALGLAAMCDIFFTTFNIHWRYESASYDYPFSHHYNHFGEGVAGLSVLINERPSINKDYPRYGFLHAASNVLWTANLVVAYRLFTAVFVSNQFTEGWFYWPVLTPLIFCMMLLGPKYYRRQFMVLKEAELDSLDLTSGKEKVAPHKRVLLWGVHSFFFGRRSNHPDAHTESFKHHARHDRAWEYVDNQFAMTNAIILFLRLPLRAKYSRHSWLRHAGATIGLASVFYYFVGWLWTYFKCTPILTIGYLAGLHLLSMLIINRWVYEVKPSPGEKDKYTPDYIDDKIDPRIDDELYLPMTELKDGGNQLVRSPPATITTTTTYSSSIDDQLLHAPM
+>sp|Q8N9L9|ACOT4_HUMAN Acyl-coenzyme A thioesterase 4 OS=Homo sapiens OX=9606 GN=ACOT4 PE=1 SV=2
+MSATLILEPPGRCCWNEPVRIAVRGLAPEQRVTLRASLRDEKGALFRAHARYCADARGELDLERAPALGGSFAGLEPMGLLWALEPEKPFWRFLKRDVQIPFVVELEVLDGHDPEPGRLLCQAQHERHFLPPGVRRQSVRAGRVRATLFLPPGPGPFPGIIDIFGIGGGLLEYRASLLAGHGFATLALAYYNFEDLPNNMDNISLEYFEEAVCYMLQHPQVKGPGIGLLGISLGADICLSMASFLKNVSATVSINGSGISGNTAINYKHSSIPPLGYDLRRIKVAFSGLVDIVDIRNALVGGYKNPSMIPIEKAQGPILLIVGQDDHNWRSELYAQTVSERLQAHGKEKPQIICYPGTGHYIEPPYFPLCPASLHRLLNKHVIWGGEPRAHSKAQEDAWKQILAFFCKHLGGTQKTAVPKL
+>DECOY_sp|Q8N9L9|ACOT4_HUMAN Acyl-coenzyme A thioesterase 4 OS=Homo sapiens OX=9606 GN=ACOT4 PE=1 SV=2
+LKPVATKQTGGLHKCFFALIQKWADEQAKSHARPEGGWIVHKNLLRHLSAPCLPFYPPEIYHGTGPYCIIQPKEKGHAQLRESVTQAYLESRWNHDDQGVILLIPGQAKEIPIMSPNKYGGVLANRIDVIDVLGSFAVKIRRLDYGLPPISSHKYNIATNGSIGSGNISVTASVNKLFSAMSLCIDAGLSIGLLGIGPGKVQPHQLMYCVAEEFYELSINDMNNPLDEFNYYALALTAFGHGALLSARYELLGGGIGFIDIIGPFPGPGPPLFLTARVRGARVSQRRVGPPLFHREHQAQCLLRGPEPDHGDLVELEVVFPIQVDRKLFRWFPKEPELAWLLGMPELGAFSGGLAPARELDLEGRADACYRAHARFLAGKEDRLSARLTVRQEPALGRVAIRVPENWCCRGPPELILTASM
+>sp|Q9NUZ1|ACOXL_HUMAN Acyl-coenzyme A oxidase-like protein OS=Homo sapiens OX=9606 GN=ACOXL PE=2 SV=3
+MRALTVQRVKFAMDLPLLKRAGQDLAEKTKNFVSRSLVIGEVLSMADMATGVKCGIIYWLFGGAIRNLGSPEHVTKWFQPLQEQKYTGMFAMTERGHGSNARGIQTEATFDLSAQEFVIDTPCENAEKMYIGNAMYGNYAAVFAQLIIDGRSQGPHCFIVPVRDENGSLYPGVTAIDMMYKEGLHGVDNGILIFDKVRIPRENLLDKFGSVAPDGQYHSPIRNKSARFNAMLAALTPSRLAVAFQAMGAMKLGLTIAIRYSHSRRQFGPKTKEEVKIIEHQTQTLRLMPHLATALALTFVSRYAGALLDEDVFQGKELVNSRSLQALVAGLKAYSTWENIRCLQDCRECTGGMGYMMENRISGLKCDTDVFATFEGDDVVMLQVVGRELLAQYTKQYEEKPLFGLLQNWAESVGDKLRTSFLAFNMDTVDDLAFLLKAVKFRERVLQRGLVARIYYKVKTKKEDFFHAWNSCLHHVASLSLAHTHRVTLEQFSLAVKSCPDQEDQTLLMKFCLLYGTKLVFQERAWYLEHKYLTPMASTRIRNQERC
+>DECOY_sp|Q9NUZ1|ACOXL_HUMAN Acyl-coenzyme A oxidase-like protein OS=Homo sapiens OX=9606 GN=ACOXL PE=2 SV=3
+CREQNRIRTSAMPTLYKHELYWAREQFVLKTGYLLCFKMLLTQDEQDPCSKVALSFQELTVRHTHALSLSAVHHLCSNWAHFFDEKKTKVKYYIRAVLGRQLVRERFKVAKLLFALDDVTDMNFALFSTRLKDGVSEAWNQLLGFLPKEEYQKTYQALLERGVVQLMVVDDGEFTAFVDTDCKLGSIRNEMMYGMGGTCERCDQLCRINEWTSYAKLGAVLAQLSRSNVLEKGQFVDEDLLAGAYRSVFTLALATALHPMLRLTQTQHEIIKVEEKTKPGFQRRSHSYRIAITLGLKMAGMAQFAVALRSPTLAALMANFRASKNRIPSHYQGDPAVSGFKDLLNERPIRVKDFILIGNDVGHLGEKYMMDIATVGPYLSGNEDRVPVIFCHPGQSRGDIILQAFVAAYNGYMANGIYMKEANECPTDIVFEQASLDFTAETQIGRANSGHGRETMAFMGTYKQEQLPQFWKTVHEPSGLNRIAGGFLWYIIGCKVGTAMDAMSLVEGIVLSRSVFNKTKEALDQGARKLLPLDMAFKVRQVTLARM
+>sp|Q9NR19|ACSA_HUMAN Acetyl-coenzyme A synthetase, cytoplasmic OS=Homo sapiens OX=9606 GN=ACSS2 PE=1 SV=1
+MGLPEERVRSGSGSRGQEEAGAGGRARSWSPPPEVSRSAHVPSLQRYRELHRRSVEEPREFWGDIAKEFYWKTPCPGPFLRYNFDVTKGKIFIEWMKGATTNICYNVLDRNVHEKKLGDKVAFYWEGNEPGETTQITYHQLLVQVCQFSNVLRKQGIQKGDRVAIYMPMIPELVVAMLACARIGALHSIVFAGFSSESLCERILDSSCSLLITTDAFYRGEKLVNLKELADEALQKCQEKGFPVRCCIVVKHLGRAELGMGDSTSQSPPIKRSCPDVQISWNQGIDLWWHELMQEAGDECEPEWCDAEDPLFILYTSGSTGKPKGVVHTVGGYMLYVATTFKYVFDFHAEDVFWCTADIGWITGHSYVTYGPLANGATSVLFEGIPTYPDVNRLWSIVDKYKVTKFYTAPTAIRLLMKFGDEPVTKHSRASLQVLGTVGEPINPEAWLWYHRVVGAQRCPIVDTFWQTETGGHMLTPLPGATPMKPGSATFPFFGVAPAILNESGEELEGEAEGYLVFKQPWPGIMRTVYGNHERFETTYFKKFPGYYVTGDGCQRDQDGYYWITGRIDDMLNVSGHLLSTAEVESALVEHEAVAEAAVVGHPHPVKGECLYCFVTLCDGHTFSPKLTEELKKQIREKIGPIATPDYIQNAPGLPKTRSGKIMRRVLRKIAQNDHDLGDMSTVADPSVISHLFSHRCLTIQ
+>DECOY_sp|Q9NR19|ACSA_HUMAN Acetyl-coenzyme A synthetase, cytoplasmic OS=Homo sapiens OX=9606 GN=ACSS2 PE=1 SV=1
+QITLCRHSFLHSIVSPDAVTSMDGLDHDNQAIKRLVRRMIKGSRTKPLGPANQIYDPTAIPGIKERIQKKLEETLKPSFTHGDCLTVFCYLCEGKVPHPHGVVAAEAVAEHEVLASEVEATSLLHGSVNLMDDIRGTIWYYGDQDRQCGDGTVYYGPFKKFYTTEFREHNGYVTRMIGPWPQKFVLYGEAEGELEEGSENLIAPAVGFFPFTASGPKMPTAGPLPTLMHGGTETQWFTDVIPCRQAGVVRHYWLWAEPNIPEGVTGLVQLSARSHKTVPEDGFKMLLRIATPATYFKTVKYKDVISWLRNVDPYTPIGEFLVSTAGNALPGYTVYSHGTIWGIDATCWFVDEAHFDFVYKFTTAVYLMYGGVTHVVGKPKGTSGSTYLIFLPDEADCWEPECEDGAEQMLEHWWLDIGQNWSIQVDPCSRKIPPSQSTSDGMGLEARGLHKVVICCRVPFGKEQCKQLAEDALEKLNVLKEGRYFADTTILLSCSSDLIRECLSESSFGAFVISHLAGIRACALMAVVLEPIMPMYIAVRDGKQIGQKRLVNSFQCVQVLLQHYTIQTTEGPENGEWYFAVKDGLKKEHVNRDLVNYCINTTAGKMWEIFIKGKTVDFNYRLFPGPCPTKWYFEKAIDGWFERPEEVSRRHLERYRQLSPVHASRSVEPPPSWSRARGGAGAEEQGRSGSGSRVREEPLGM
+>sp|Q96CM8|ACSF2_HUMAN Acyl-CoA synthetase family member 2, mitochondrial OS=Homo sapiens OX=9606 GN=ACSF2 PE=1 SV=2
+MAVYVGMLRLGRLCAGSSGVLGARAALSRSWQEARLQGVRFLSSREVDRMVSTPIGGLSYVQGCTKKHLNSKTVGQCLETTAQRVPEREALVVLHEDVRLTFAQLKEEVDKAASGLLSIGLCKGDRLGMWGPNSYAWVLMQLATAQAGIILVSVNPAYQAMELEYVLKKVGCKALVFPKQFKTQQYYNVLKQICPEVENAQPGALKSQRLPDLTTVISVDAPLPGTLLLDEVVAAGSTRQHLDQLQYNQQFLSCHDPINIQFTSGTTGSPKGATLSHYNIVNNSNILGERLKLHEKTPEQLRMILPNPLYHCLGSVAGTMMCLMYGATLILASPIFNGKKALEAISRERGTFLYGTPTMFVDILNQPDFSSYDISTMCGGVIAGSPAPPELIRAIINKINMKDLVVAYGTTENSPVTFAHFPEDTVEQKAESVGRIMPHTEARIMNMEAGTLAKLNTPGELCIRGYCVMLGYWGEPQKTEEAVDQDKWYWTGDVATMNEQGFCKIVGRSKDMIIRGGENIYPAELEDFFHTHPKVQEVQVVGVKDDRMGEEICACIRLKDGEETTVEEIKAFCKGKISHFKIPKYIVFVTNYPLTISGKIQKFKLREQMERHLNL
+>DECOY_sp|Q96CM8|ACSF2_HUMAN Acyl-CoA synthetase family member 2, mitochondrial OS=Homo sapiens OX=9606 GN=ACSF2 PE=1 SV=2
+LNLHREMQERLKFKQIKGSITLPYNTVFVIYKPIKFHSIKGKCFAKIEEVTTEEGDKLRICACIEEGMRDDKVGVVQVEQVKPHTHFFDELEAPYINEGGRIIMDKSRGVIKCFGQENMTAVDGTWYWKDQDVAEETKQPEGWYGLMVCYGRICLEGPTNLKALTGAEMNMIRAETHPMIRGVSEAKQEVTDEPFHAFTVPSNETTGYAVVLDKMNIKNIIARILEPPAPSGAIVGGCMTSIDYSSFDPQNLIDVFMTPTGYLFTGRERSIAELAKKGNFIPSALILTAGYMLCMMTGAVSGLCHYLPNPLIMRLQEPTKEHLKLREGLINSNNVINYHSLTAGKPSGTTGSTFQINIPDHCSLFQQNYQLQDLHQRTSGAAVVEDLLLTGPLPADVSIVTTLDPLRQSKLAGPQANEVEPCIQKLVNYYQQTKFQKPFVLAKCGVKKLVYELEMAQYAPNVSVLIIGAQATALQMLVWAYSNPGWMGLRDGKCLGISLLGSAAKDVEEKLQAFTLRVDEHLVVLAEREPVRQATTELCQGVTKSNLHKKTCGQVYSLGGIPTSVMRDVERSSLFRVGQLRAEQWSRSLAARAGLVGSSGACLRGLRLMGVYVAM
+>sp|Q9BYD9|ACTT3_HUMAN Actin-related protein T3 OS=Homo sapiens OX=9606 GN=ACTRT3 PE=2 SV=1
+MNHCQLPVVIDNGSGMIKAGVAGCREPQFIYPNIIGRAKGQSRAAQGGLELCVGDQAQDWRSSLFISYPVERGLITSWEDMEIMWKHIYDYNLKLKPCDGPVLITEPALNPLANRQQITEMFFEHLGVPAFYMSIQAVLALFAAGFTTGLVLNSGAGVTQSVPIFEGYCLPHGVQQLDLAGLDLTNYLMVLMKNHGIMLLSASDRKIVEDIKESFCYVAMNYEEEMAKKPDCLEKVYQLPDGKVIQLHDQLFSCPEALFSPCHMNLEAPGIDKICFSSIMKCDTGLRNSFFSNIILAGGSTSFPGLDKRLVKDIAKVAPANTAVQVIAPPERKISVWMGGSILASLSAFQDMWITAAEFKEVGPNIVHQRCF
+>DECOY_sp|Q9BYD9|ACTT3_HUMAN Actin-related protein T3 OS=Homo sapiens OX=9606 GN=ACTRT3 PE=2 SV=1
+FCRQHVINPGVEKFEAATIWMDQFASLSALISGGMWVSIKREPPAIVQVATNAPAVKAIDKVLRKDLGPFSTSGGALIINSFFSNRLGTDCKMISSFCIKDIGPAELNMHCPSFLAEPCSFLQDHLQIVKGDPLQYVKELCDPKKAMEEEYNMAVYCFSEKIDEVIKRDSASLLMIGHNKMLVMLYNTLDLGALDLQQVGHPLCYGEFIPVSQTVGAGSNLVLGTTFGAAFLALVAQISMYFAPVGLHEFFMETIQQRNALPNLAPETILVPGDCPKLKLNYDYIHKWMIEMDEWSTILGREVPYSIFLSSRWDQAQDGVCLELGGQAARSQGKARGIINPYIFQPERCGAVGAKIMGSGNDIVVPLQCHNM
+>sp|P42025|ACTY_HUMAN Beta-centractin OS=Homo sapiens OX=9606 GN=ACTR1B PE=1 SV=1
+MESYDIIANQPVVIDNGSGVIKAGFAGDQIPKYCFPNYVGRPKHMRVMAGALEGDLFIGPKAEEHRGLLTIRYPMEHGVVRDWNDMERIWQYVYSKDQLQTFSEEHPVLLTEAPLNPSKNREKAAEVFFETFNVPALFISMQAVLSLYATGRTTGVVLDSGDGVTHAVPIYEGFAMPHSIMRVDIAGRDVSRYLRLLLRKEGVDFHTSAEFEVVRTIKERACYLSINPQKDEALETEKVQYTLPDGSTLDVGPARFRAPELLFQPDLVGDESEGLHEVVAFAIHKSDMDLRRTLFANIVLSGGSTLFKGFGDRLLSEVKKLAPKDIKIKISAPQERLYSTWIGGSILASLDTFKKMWVSKKEYEEDGSRAIHRKTF
+>DECOY_sp|P42025|ACTY_HUMAN Beta-centractin OS=Homo sapiens OX=9606 GN=ACTR1B PE=1 SV=1
+FTKRHIARSGDEEYEKKSVWMKKFTDLSALISGGIWTSYLREQPASIKIKIDKPALKKVESLLRDGFGKFLTSGGSLVINAFLTRRLDMDSKHIAFAVVEHLGESEDGVLDPQFLLEPARFRAPGVDLTSGDPLTYQVKETELAEDKQPNISLYCAREKITRVVEFEASTHFDVGEKRLLLRLYRSVDRGAIDVRMISHPMAFGEYIPVAHTVGDGSDLVVGTTRGTAYLSLVAQMSIFLAPVNFTEFFVEAAKERNKSPNLPAETLLVPHEESFTQLQDKSYVYQWIREMDNWDRVVGHEMPYRITLLGRHEEAKPGIFLDGELAGAMVRMHKPRGVYNPFCYKPIQDGAFGAKIVGSGNDIVVPQNAIIDYSEM
+>sp|P22570|ADRO_HUMAN NADPH:adrenodoxin oxidoreductase, mitochondrial OS=Homo sapiens OX=9606 GN=FDXR PE=1 SV=3
+MASRCWRWWGWSAWPRTRLPPAGSTPSFCHHFSTQEKTPQICVVGSGPAGFYTAQHLLKHPQAHVDIYEKQPVPFGLVRFGVAPDHPEVKNVINTFTQTAHSGRCAFWGNVEVGRDVTVPELREAYHAVVLSYGAEDHRALEIPGEELPGVCSARAFVGWYNGLPENQELEPDLSCDTAVILGQGNVALDVARILLTPPEHLERTDITKAALGVLRQSRVKTVWLVGRRGPLQVAFTIKELREMIQLPGARPILDPVDFLGLQDKIKEVPRPRKRLTELLLRTATEKPGPAEAARQASASRAWGLRFFRSPQQVLPSPDGRRAAGVRLAVTRLEGVDEATRAVPTGDMEDLPCGLVLSSIGYKSRPVDPSVPFDSKLGVIPNVEGRVMDVPGLYCSGWVKRGPTGVIATTMTDSFLTGQMLLQDLKAGLLPSGPRPGYAAIQALLSSRGVRPVSFSDWEKLDAEEVARGQGTGKPREKLVDPQEMLRLLGH
+>DECOY_sp|P22570|ADRO_HUMAN NADPH:adrenodoxin oxidoreductase, mitochondrial OS=Homo sapiens OX=9606 GN=FDXR PE=1 SV=3
+HGLLRLMEQPDVLKERPKGTGQGRAVEEADLKEWDSFSVPRVGRSSLLAQIAAYGPRPGSPLLGAKLDQLLMQGTLFSDTMTTAIVGTPGRKVWGSCYLGPVDMVRGEVNPIVGLKSDFPVSPDVPRSKYGISSLVLGCPLDEMDGTPVARTAEDVGELRTVALRVGAARRGDPSPLVQQPSRFFRLGWARSASAQRAAEAPGPKETATRLLLETLRKRPRPVEKIKDQLGLFDVPDLIPRAGPLQIMERLEKITFAVQLPGRRGVLWVTKVRSQRLVGLAAKTIDTRELHEPPTLLIRAVDLAVNGQGLIVATDCSLDPELEQNEPLGNYWGVFARASCVGPLEEGPIELARHDEAGYSLVVAHYAERLEPVTVDRGVEVNGWFACRGSHATQTFTNIVNKVEPHDPAVGFRVLGFPVPQKEYIDVHAQPHKLLHQATYFGAPGSGVVCIQPTKEQTSFHHCFSPTSGAPPLRTRPWASWGWWRWCRSAM
+>sp|Q9Y6U3|ADSV_HUMAN Adseverin OS=Homo sapiens OX=9606 GN=SCIN PE=1 SV=4
+MARELYHEEFARAGKQAGLQVWRIEKLELVPVPQSAHGDFYVGDAYLVLHTAKTSRGFTYHLHFWLGKECSQDESTAAAIFTVQMDDYLGGKPVQNRELQGYESNDFVSYFKGGLKYKAGGVASGLNHVLTNDLTAKRLLHVKGRRVVRATEVPLSWDSFNKGDCFIIDLGTEIYQWCGSSCNKYERLKANQVATGIRYNERKGRSELIVVEEGSEPSELIKVLGEKPELPDGGDDDDIIADISNRKMAKLYMVSDASGSMRVTVVAEENPFSMAMLLSEECFILDHGAAKQIFVWKGKDANPQERKAAMKTAEEFLQQMNYSKNTQIQVLPEGGETPIFKQFFKDWRDKDQSDGFGKVYVTEKVAQIKQIPFDASKLHSSPQMAAQHNMVDDGSGKVEIWRVENNGRIQVDQNSYGEFYGGDCYIILYTYPRGQIIYTWQGANATRDELTTSAFLTVQLDRSLGGQAVQIRVSQGKEPVHLLSLFKDKPLIIYKNGTSKKGGQAPAPPTRLFQVRRNLASITRIVEVDVDANSLNSNDVFVLKLPQNSGYIWVGKGASQEEEKGAEYVASVLKCKTLRIQEGEEPEEFWNSLGGKKDYQTSPLLETQAEDHPPRLYGCSNKTGRFVIEEIPGEFTQDDLAEDDVMLLDAWEQIFIWIGKDANEVEKKESLKSAKMYLETDPSGRDKRTPIVIIKQGHEPPTFTGWFLGWDSSKW
+>DECOY_sp|Q9Y6U3|ADSV_HUMAN Adseverin OS=Homo sapiens OX=9606 GN=SCIN PE=1 SV=4
+WKSSDWGLFWGTFTPPEHGQKIIVIPTRKDRGSPDTELYMKASKLSEKKEVENADKGIWIFIQEWADLLMVDDEALDDQTFEGPIEEIVFRGTKNSCGYLRPPHDEAQTELLPSTQYDKKGGLSNWFEEPEEGEQIRLTKCKLVSAVYEAGKEEEQSAGKGVWIYGSNQPLKLVFVDNSNLSNADVDVEVIRTISALNRRVQFLRTPPAPAQGGKKSTGNKYIILPKDKFLSLLHVPEKGQSVRIQVAQGGLSRDLQVTLFASTTLEDRTANAGQWTYIIQGRPYTYLIIYCDGGYFEGYSNQDVQIRGNNEVRWIEVKGSGDDVMNHQAAMQPSSHLKSADFPIQKIQAVKETVYVKGFGDSQDKDRWDKFFQKFIPTEGGEPLVQIQTNKSYNMQQLFEEATKMAAKREQPNADKGKWVFIQKAAGHDLIFCEESLLMAMSFPNEEAVVTVRMSGSADSVMYLKAMKRNSIDAIIDDDDGGDPLEPKEGLVKILESPESGEEVVILESRGKRENYRIGTAVQNAKLREYKNCSSGCWQYIETGLDIIFCDGKNFSDWSLPVETARVVRRGKVHLLRKATLDNTLVHNLGSAVGGAKYKLGGKFYSVFDNSEYGQLERNQVPKGGLYDDMQVTFIAAATSEDQSCEKGLWFHLHYTFGRSTKATHLVLYADGVYFDGHASQPVPVLELKEIRWVQLGAQKGARAFEEHYLERAM
+>sp|P12236|ADT3_HUMAN ADP/ATP translocase 3 OS=Homo sapiens OX=9606 GN=SLC25A6 PE=1 SV=4
+MTEQAISFAKDFLAGGIAAAISKTAVAPIERVKLLLQVQHASKQIAADKQYKGIVDCIVRIPKEQGVLSFWRGNLANVIRYFPTQALNFAFKDKYKQIFLGGVDKHTQFWRYFAGNLASGGAAGATSLCFVYPLDFARTRLAADVGKSGTEREFRGLGDCLVKITKSDGIRGLYQGFSVSVQGIIIYRAAYFGVYDTAKGMLPDPKNTHIVVSWMIAQTVTAVAGVVSYPFDTVRRRMMMQSGRKGADIMYTGTVDCWRKIFRDEGGKAFFKGAWSNVLRGMGGAFVLVLYDELKKVI
+>DECOY_sp|P12236|ADT3_HUMAN ADP/ATP translocase 3 OS=Homo sapiens OX=9606 GN=SLC25A6 PE=1 SV=4
+IVKKLEDYLVLVFAGGMGRLVNSWAGKFFAKGGEDRFIKRWCDVTGTYMIDAGKRGSQMMMRRRVTDFPYSVVGAVATVTQAIMWSVVIHTNKPDPLMGKATDYVGFYAARYIIIGQVSVSFGQYLGRIGDSKTIKVLCDGLGRFERETGSKGVDAALRTRAFDLPYVFCLSTAGAAGGSALNGAFYRWFQTHKDVGGLFIQKYKDKFAFNLAQTPFYRIVNALNGRWFSLVGQEKPIRVICDVIGKYQKDAAIQKSAHQVQLLLKVREIPAVATKSIAAAIGGALFDKAFSIAQETM
+>sp|P10109|ADX_HUMAN Adrenodoxin, mitochondrial OS=Homo sapiens OX=9606 GN=FDX1 PE=1 SV=1
+MAAAGGARLLRAASAVLGGPAGRWLHHAGSRAGSSGLLRNRGPGGSAEASRSLSVSARARSSSEDKITVHFINRDGETLTTKGKVGDSLLDVVVENNLDIDGFGACEGTLACSTCHLIFEDHIYEKLDAITDEENDMLDLAYGLTDRSRLGCQICLTKSMDNMTVRVPETVADARQSIDVGKTS
+>DECOY_sp|P10109|ADX_HUMAN Adrenodoxin, mitochondrial OS=Homo sapiens OX=9606 GN=FDX1 PE=1 SV=1
+STKGVDISQRADAVTEPVRVTMNDMSKTLCIQCGLRSRDTLGYALDLMDNEEDTIADLKEYIHDEFILHCTSCALTGECAGFGDIDLNNEVVVDLLSDGVKGKTTLTEGDRNIFHVTIKDESSSRARASVSLSRSAEASGGPGRNRLLGSSGARSGAHHLWRGAPGGLVASAARLLRAGGAAAM
+>sp|P06280|AGAL_HUMAN Alpha-galactosidase A OS=Homo sapiens OX=9606 GN=GLA PE=1 SV=1
+MQLRNPELHLGCALALRFLALVSWDIPGARALDNGLARTPTMGWLHWERFMCNLDCQEEPDSCISEKLFMEMAELMVSEGWKDAGYEYLCIDDCWMAPQRDSEGRLQADPQRFPHGIRQLANYVHSKGLKLGIYADVGNKTCAGFPGSFGYYDIDAQTFADWGVDLLKFDGCYCDSLENLADGYKHMSLALNRTGRSIVYSCEWPLYMWPFQKPNYTEIRQYCNHWRNFADIDDSWKSIKSILDWTSFNQERIVDVAGPGGWNDPDMLVIGNFGLSWNQQVTQMALWAIMAAPLFMSNDLRHISPQAKALLQDKDVIAINQDPLGKQGYQLRQGDNFEVWERPLSGLAWAVAMINRQEIGGPRSYTIAVASLGKGVACNPACFITQLLPVKRKLGFYEWTSRLRSHINPTGTVLLQLENTMQMSLKDLL
+>DECOY_sp|P06280|AGAL_HUMAN Alpha-galactosidase A OS=Homo sapiens OX=9606 GN=GLA PE=1 SV=1
+LLDKLSMQMTNELQLLVTGTPNIHSRLRSTWEYFGLKRKVPLLQTIFCAPNCAVGKGLSAVAITYSRPGGIEQRNIMAVAWALGSLPREWVEFNDGQRLQYGQKGLPDQNIAIVDKDQLLAKAQPSIHRLDNSMFLPAAMIAWLAMQTVQQNWSLGFNGIVLMDPDNWGGPGAVDVIREQNFSTWDLISKISKWSDDIDAFNRWHNCYQRIETYNPKQFPWMYLPWECSYVISRGTRNLALSMHKYGDALNELSDCYCGDFKLLDVGWDAFTQADIDYYGFSGPFGACTKNGVDAYIGLKLGKSHVYNALQRIGHPFRQPDAQLRGESDRQPAMWCDDICLYEYGADKWGESVMLEAMEMFLKESICSDPEEQCDLNCMFREWHLWGMTPTRALGNDLARAGPIDWSVLALFRLALACGLHLEPNRLQM
+>sp|Q96P64|AGAP4_HUMAN Arf-GAP with GTPase, ANK repeat and PH domain-containing protein 4 OS=Homo sapiens OX=9606 GN=AGAP4 PE=2 SV=2
+MGNILTCRVHPSVSLEFDQQQGSVCPSESEIYEAGAGDRMAGAPMAAAVQPAEVTVEVGEDLHMHHVRDREMPEALEFNPSANPEASTIFQRNSQTDVVEIRRSNCTNHVSTVRFSQQYSLCSTIFLDDSTAIQHYLTMTIISVTLEIPHHITQRDADRSLSIPDEQLHSFAVSTVHIMKKRNGGGSLNNYSSSIPSTPSTSQEDPQFSVPPTANTPTPVCKRSMRWSNLFTSEKGSDPDKERKAPENHADTIGSGRAIPIKQGMLLKRSGKWLKTWKKKYVTLCSNGVLTYYSSLGDYMKNIHKKEIDLQTSTIKVPGKWPSLATSACTPISTSKSNGLSKDMDTGLGDSICFSPSISSTTSPKLNPPPSPHANKKKHLKKKSTNNFMIVSATGQTWHFEATTYEERDAWVQAIQSQILASLQSCESSKSKSQLTSQSKAMALQSIQNMRGNAHCVDCETQNPKWASLNLGVLMCIECSGIHRSLGTRLSRVRSLELDDWPVELRKVMSSIGNDLANSIWEGSSQGQTKPSEKSTREEKERWIRSKYEEKLFLAPLPCTELSLGQQLLRATADEDLQTAILLLAHGSREEVNETCGEGDGCTALHLACRKGNVVLAQLLIWYGVDVMARDAHGNTALTYARQASSQECINVLLQYGCPDKCV
+>DECOY_sp|Q96P64|AGAP4_HUMAN Arf-GAP with GTPase, ANK repeat and PH domain-containing protein 4 OS=Homo sapiens OX=9606 GN=AGAP4 PE=2 SV=2
+VCKDPCGYQLLVNICEQSSAQRAYTLATNGHADRAMVDVGYWILLQALVVNGKRCALHLATCGDGEGCTENVEERSGHALLLIATQLDEDATARLLQQGLSLETCPLPALFLKEEYKSRIWREKEERTSKESPKTQGQSSGEWISNALDNGISSMVKRLEVPWDDLELSRVRSLRTGLSRHIGSCEICMLVGLNLSAWKPNQTECDVCHANGRMNQISQLAMAKSQSTLQSKSKSSECSQLSALIQSQIAQVWADREEYTTAEFHWTQGTASVIMFNNTSKKKLHKKKNAHPSPPPNLKPSTTSSISPSFCISDGLGTDMDKSLGNSKSTSIPTCASTALSPWKGPVKITSTQLDIEKKHINKMYDGLSSYYTLVGNSCLTVYKKKWTKLWKGSRKLLMGQKIPIARGSGITDAHNEPAKREKDPDSGKESTFLNSWRMSRKCVPTPTNATPPVSFQPDEQSTSPTSPISSSYNNLSGGGNRKKMIHVTSVAFSHLQEDPISLSRDADRQTIHHPIELTVSIITMTLYHQIATSDDLFITSCLSYQQSFRVTSVHNTCNSRRIEVVDTQSNRQFITSAEPNASPNFELAEPMERDRVHHMHLDEGVEVTVEAPQVAAAMPAGAMRDGAGAEYIESESPCVSGQQQDFELSVSPHVRCTLINGM
+>sp|Q5VW22|AGAP6_HUMAN Arf-GAP with GTPase, ANK repeat and PH domain-containing protein 6 OS=Homo sapiens OX=9606 GN=AGAP6 PE=2 SV=1
+MGNILTCRVHPSVSLEFDQQQGSVCPSESETYEAGARDRMAGAPMAAAVQPAEVTVEVGEDLHMHHVRDREMPEALEFNPSANPEASTIFQRNSQTDVVEIRRSNCTNHVSAVRFSQQYSLCSTIFLDDSTAIQHYLTMTIISVTLEIPHHITQRDADRTLSIPDEQLHSFAVSTVHIMKKRNGGGSLNNYSSSIPSTPSTSQEDPQFSVPPTANTPTPVCKRSMRWSNLFTSEKGSDPDKERKAPENHADTIGSGRAIPIKQGMLLKRSGKWLKTWKKKYVTLCSNGMLTYYSSLGDYMKNIHKKEIDLQTSTIKVPGKWPSLATSACTPISSSKSNGLSKDMDTGLGDSICFSPSISSTTSPKLNPPPSPHANKKKHLKKKSTNNFMIVSATGQTWHFEATTYEERDAWVQAIQSQILASLQSCESSKSKSQLTSQSEAMALQSIQNMRGNAHCVDCETQNPKWASLNLGVLMCIECSGIHRSLGPHLSRVRSLELDDWPVELRKVMSSIVNDLANSIWEGSSQGQTKPSEKSTREEKERWIRSKYEEKLFLAPLPCTELSLGQQLLRATADEDLQTAILLLAHGSCEEVNETCGEGDGCTALHLACRKGNVVLAQLLIWYGVDVMARDAHGNTALTYARQASSQECINVLLQYGCPDECV
+>DECOY_sp|Q5VW22|AGAP6_HUMAN Arf-GAP with GTPase, ANK repeat and PH domain-containing protein 6 OS=Homo sapiens OX=9606 GN=AGAP6 PE=2 SV=1
+VCEDPCGYQLLVNICEQSSAQRAYTLATNGHADRAMVDVGYWILLQALVVNGKRCALHLATCGDGEGCTENVEECSGHALLLIATQLDEDATARLLQQGLSLETCPLPALFLKEEYKSRIWREKEERTSKESPKTQGQSSGEWISNALDNVISSMVKRLEVPWDDLELSRVRSLHPGLSRHIGSCEICMLVGLNLSAWKPNQTECDVCHANGRMNQISQLAMAESQSTLQSKSKSSECSQLSALIQSQIAQVWADREEYTTAEFHWTQGTASVIMFNNTSKKKLHKKKNAHPSPPPNLKPSTTSSISPSFCISDGLGTDMDKSLGNSKSSSIPTCASTALSPWKGPVKITSTQLDIEKKHINKMYDGLSSYYTLMGNSCLTVYKKKWTKLWKGSRKLLMGQKIPIARGSGITDAHNEPAKREKDPDSGKESTFLNSWRMSRKCVPTPTNATPPVSFQPDEQSTSPTSPISSSYNNLSGGGNRKKMIHVTSVAFSHLQEDPISLTRDADRQTIHHPIELTVSIITMTLYHQIATSDDLFITSCLSYQQSFRVASVHNTCNSRRIEVVDTQSNRQFITSAEPNASPNFELAEPMERDRVHHMHLDEGVEVTVEAPQVAAAMPAGAMRDRAGAEYTESESPCVSGQQQDFELSVSPHVRCTLINGM
+>sp|Q9H568|ACTL8_HUMAN Actin-like protein 8 OS=Homo sapiens OX=9606 GN=ACTL8 PE=1 SV=1
+MAARTVIIDHGSGFLKAGTAGWNEPQMVFPNIVNYLPCKENPGPSYARRRVSLGIDICHPDTFSYPIERGRILNWEGVQYLWSFVLENHRREQEVPPVIITETPLREPADRKKMLEILFELLHVPSVLLADQLQMSLYASGLLTGVVVDSGYGLTRVQPFHQGRPLPASGKTLEFAGQDLSAYLLKSLFKEDCDRRCLFQLETVAVTQMNKCYVPQNLGEALDFRERQQSALDESNTYQLPDGSRVELTPMQRVAPEMFFSPQVFEQPGPSIPRAIVESVESCEISLRPLLVSHVMACGGNTLYPGFTKRLFRELMGDHVSSTKATVWEGSNRNFSVWLGASVVAHLSTYQSEWMSREEYGEHMRM
+>DECOY_sp|Q9H568|ACTL8_HUMAN Actin-like protein 8 OS=Homo sapiens OX=9606 GN=ACTL8 PE=1 SV=1
+MRMHEGYEERSMWESQYTSLHAVVSAGLWVSFNRNSGEWVTAKTSSVHDGMLERFLRKTFGPYLTNGGCAMVHSVLLPRLSIECSEVSEVIARPISPGPQEFVQPSFFMEPAVRQMPTLEVRSGDPLQYTNSEDLASQQRERFDLAEGLNQPVYCKNMQTVAVTELQFLCRRDCDEKFLSKLLYASLDQGAFELTKGSAPLPRGQHFPQVRTLGYGSDVVVGTLLGSAYLSMQLQDALLVSPVHLLEFLIELMKKRDAPERLPTETIIVPPVEQERRHNELVFSWLYQVGEWNLIRGREIPYSFTDPHCIDIGLSVRRRAYSPGPNEKCPLYNVINPFVMQPENWGATGAKLFGSGHDIIVTRAAM
+>sp|Q08043|ACTN3_HUMAN Alpha-actinin-3 OS=Homo sapiens OX=9606 GN=ACTN3 PE=1 SV=2
+MMMVMQPEGLGAGEGRFAGGGGGGEYMEQEEDWDRDLLLDPAWEKQQRKTFTAWCNSHLRKAGTQIENIEEDFRNGLKLMLLLEVISGERLPRPDKGKMRFHKIANVNKALDFIASKGVKLVSIGAEEIVDGNLKMTLGMIWTIILRFAIQDISVEETSAKEGLLLWCQRKTAPYRNVNVQNFHTSWKDGLALCALIHRHRPDLIDYAKLRKDDPIGNLNTAFEVAEKYLDIPKMLDAEDIVNTPKPDEKAIMTYVSCFYHAFAGAEQAETAANRICKVLAVNQENEKLMEEYEKLASELLEWIRRTVPWLENRVGEPSMSAMQRKLEDFRDYRRLHKPPRIQEKCQLEINFNTLQTKLRLSHRPAFMPSEGKLVSDIANAWRGLEQVEKGYEDWLLSEIRRLQRLQHLAEKFRQKASLHEAWTRGKEEMLSQRDYDSALLQEVRALLRRHEAFESDLAAHQDRVEHIAALAQELNELDYHEAASVNSRCQAICDQWDNLGTLTQKRRDALERMEKLLETIDRLQLEFARRAAPFNNWLDGAVEDLQDVWLVHSVEETQSLLTAHDQFKATLPEADRERGAIMGIQGEIQKICQTYGLRPCSTNPYITLSPQDINTKWDMVRKLVPSCDQTLQEELARQQVNERLRRQFAAQANAIGPWIQAKVEEVGRLAAGLAGSLEEQMAGLRQQEQNIINYKTNIDRLEGDHQLLQESLVFDNKHTVYSMEHIRVGWEQLLTSIARTINEVENQVLTRDAKGLSQEQLNEFRASFNHFDRKQNGMMEPDDFRACLISMGYDLGEVEFARIMTMVDPNAAGVVTFQAFIDFMTRETAETDTTEQVVASFKILAGDKNYITPEELRRELPAKQAEYCIRRMVPYKGSGAPAGALDYVAFSSALYGESDL
+>DECOY_sp|Q08043|ACTN3_HUMAN Alpha-actinin-3 OS=Homo sapiens OX=9606 GN=ACTN3 PE=1 SV=2
+LDSEGYLASSFAVYDLAGAPAGSGKYPVMRRICYEAQKAPLERRLEEPTIYNKDGALIKFSAVVQETTDTEATERTMFDIFAQFTVVGAANPDVMTMIRAFEVEGLDYGMSILCARFDDPEMMGNQKRDFHNFSARFENLQEQSLGKADRTLVQNEVENITRAISTLLQEWGVRIHEMSYVTHKNDFVLSEQLLQHDGELRDINTKYNIINQEQQRLGAMQEELSGALGAALRGVEEVKAQIWPGIANAQAAFQRRLRENVQQRALEEQLTQDCSPVLKRVMDWKTNIDQPSLTIYPNTSCPRLGYTQCIKQIEGQIGMIAGRERDAEPLTAKFQDHATLLSQTEEVSHVLWVDQLDEVAGDLWNNFPAARRAFELQLRDITELLKEMRELADRRKQTLTGLNDWQDCIAQCRSNVSAAEHYDLENLEQALAAIHEVRDQHAALDSEFAEHRRLLARVEQLLASDYDRQSLMEEKGRTWAEHLSAKQRFKEALHQLRQLRRIESLLWDEYGKEVQELGRWANAIDSVLKGESPMFAPRHSLRLKTQLTNFNIELQCKEQIRPPKHLRRYDRFDELKRQMASMSPEGVRNELWPVTRRIWELLESALKEYEEMLKENEQNVALVKCIRNAATEAQEAGAFAHYFCSVYTMIAKEDPKPTNVIDEADLMKPIDLYKEAVEFATNLNGIPDDKRLKAYDILDPRHRHILACLALGDKWSTHFNQVNVNRYPATKRQCWLLLGEKASTEEVSIDQIAFRLIITWIMGLTMKLNGDVIEEAGISVLKVGKSAIFDLAKNVNAIKHFRMKGKDPRPLREGSIVELLLMLKLGNRFDEEINEIQTGAKRLHSNCWATFTKRQQKEWAPDLLLDRDWDEEQEMYEGGGGGGAFRGEGAGLGEPQMVMMM
+>sp|Q8TDG2|ACTT1_HUMAN Actin-related protein T1 OS=Homo sapiens OX=9606 GN=ACTRT1 PE=2 SV=2
+MFNPHALDVPAVIFDNGSGLCKAGLSGEIGPRHVISSVLGHCKFNVPLARLNQKYFVGQEALYKYEALHLHYPIERGLVTGWDDMEKLWKHLFERELGVKPSQQPVLMTEPSLNPREIREKLAEMMFETFSVPGFYLSNHAVAALYASACVTGLVVDSGDGVTCTVPIFEGYSLPHAVTKLCMAGRDITEHLTRLLFASGFNFPCILNKAVVNNIKEKLCYIALEPEKELRKSRGEVLGAYRLPDGHVIHFGDELYQVPEVLFAPDQLGIHSPGLSKMVSSSIMKCDTDIQNKLYADIVLSGGTTLLPGLEERLMKEVEQLASKGTPIKITASPDRCFSAWIGASIMTSMSSFKQMWVTSADFKEYGTSVVQRRCF
+>DECOY_sp|Q8TDG2|ACTT1_HUMAN Actin-related protein T1 OS=Homo sapiens OX=9606 GN=ACTRT1 PE=2 SV=2
+FCRRQVVSTGYEKFDASTVWMQKFSSMSTMISAGIWASFCRDPSATIKIPTGKSALQEVEKMLREELGPLLTTGGSLVIDAYLKNQIDTDCKMISSSVMKSLGPSHIGLQDPAFLVEPVQYLEDGFHIVHGDPLRYAGLVEGRSKRLEKEPELAIYCLKEKINNVVAKNLICPFNFGSAFLLRTLHETIDRGAMCLKTVAHPLSYGEFIPVTCTVGDGSDVVLGTVCASAYLAAVAHNSLYFGPVSFTEFMMEALKERIERPNLSPETMLVPQQSPKVGLEREFLHKWLKEMDDWGTVLGREIPYHLHLAEYKYLAEQGVFYKQNLRALPVNFKCHGLVSSIVHRPGIEGSLGAKCLGSGNDFIVAPVDLAHPNFM
+>sp|P37023|ACVL1_HUMAN Serine/threonine-protein kinase receptor R3 OS=Homo sapiens OX=9606 GN=ACVRL1 PE=1 SV=2
+MTLGSPRKGLLMLLMALVTQGDPVKPSRGPLVTCTCESPHCKGPTCRGAWCTVVLVREEGRHPQEHRGCGNLHRELCRGRPTEFVNHYCCDSHLCNHNVSLVLEATQPPSEQPGTDGQLALILGPVLALLALVALGVLGLWHVRRRQEKQRGLHSELGESSLILKASEQGDSMLGDLLDSDCTTGSGSGLPFLVQRTVARQVALVECVGKGRYGEVWRGLWHGESVAVKIFSSRDEQSWFRETEIYNTVLLRHDNILGFIASDMTSRNSSTQLWLITHYHEHGSLYDFLQRQTLEPHLALRLAVSAACGLAHLHVEIFGTQGKPAIAHRDFKSRNVLVKSNLQCCIADLGLAVMHSQGSDYLDIGNNPRVGTKRYMAPEVLDEQIRTDCFESYKWTDIWAFGLVLWEIARRTIVNGIVEDYRPPFYDVVPNDPSFEDMKKVVCVDQQTPTIPNRLAADPVLSGLAQMMRECWYPNPSARLTALRIKKTLQKISNSPEKPKVIQ
+>DECOY_sp|P37023|ACVL1_HUMAN Serine/threonine-protein kinase receptor R3 OS=Homo sapiens OX=9606 GN=ACVRL1 PE=1 SV=2
+QIVKPKEPSNSIKQLTKKIRLATLRASPNPYWCERMMQALGSLVPDAALRNPITPTQQDVCVVKKMDEFSPDNPVVDYFPPRYDEVIGNVITRRAIEWLVLGFAWIDTWKYSEFCDTRIQEDLVEPAMYRKTGVRPNNGIDLYDSGQSHMVALGLDAICCQLNSKVLVNRSKFDRHAIAPKGQTGFIEVHLHALGCAASVALRLALHPELTQRQLFDYLSGHEHYHTILWLQTSSNRSTMDSAIFGLINDHRLLVTNYIETERFWSQEDRSSFIKVAVSEGHWLGRWVEGYRGKGVCEVLAVQRAVTRQVLFPLGSGSGTTCDSDLLDGLMSDGQESAKLILSSEGLESHLGRQKEQRRRVHWLGLVGLAVLALLALVPGLILALQGDTGPQESPPQTAELVLSVNHNCLHSDCCYHNVFETPRGRCLERHLNGCGRHEQPHRGEERVLVVTCWAGRCTPGKCHPSECTCTVLPGRSPKVPDGQTVLAMLLMLLGKRPSGLTM
+>sp|P45381|ACY2_HUMAN Aspartoacylase OS=Homo sapiens OX=9606 GN=ASPA PE=1 SV=1
+MTSCHIAEEHIQKVAIFGGTHGNELTGVFLVKHWLENGAEIQRTGLEVKPFITNPRAVKKCTRYIDCDLNRIFDLENLGKKMSEDLPYEVRRAQEINHLFGPKDSEDSYDIIFDLHNTTSNMGCTLILEDSRNNFLIQMFHYIKTSLAPLPCYVYLIEHPSLKYATTRSIAKYPVGIEVGPQPQGVLRADILDQMRKMIKHALDFIHHFNEGKEFPPCAIEVYKIIEKVDYPRDENGEIAAIIHPNLQDQDWKPLHPGDPMFLTLDGKTIPLGGDCTVYPVFVNEAAYYEKKEAFAKTTKLTLNAKSIRCCLH
+>DECOY_sp|P45381|ACY2_HUMAN Aspartoacylase OS=Homo sapiens OX=9606 GN=ASPA PE=1 SV=1
+HLCCRISKANLTLKTTKAFAEKKEYYAAENVFVPYVTCDGGLPITKGDLTLFMPDGPHLPKWDQDQLNPHIIAAIEGNEDRPYDVKEIIKYVEIACPPFEKGENFHHIFDLAHKIMKRMQDLIDARLVGQPQPGVEIGVPYKAISRTTAYKLSPHEILYVYCPLPALSTKIYHFMQILFNNRSDELILTCGMNSTTNHLDFIIDYSDESDKPGFLHNIEQARRVEYPLDESMKKGLNELDFIRNLDCDIYRTCKKVARPNTIFPKVELGTRQIEAGNELWHKVLFVGTLENGHTGGFIAVKQIHEEAIHCSTM
+>sp|P07311|ACYP1_HUMAN Acylphosphatase-1 OS=Homo sapiens OX=9606 GN=ACYP1 PE=1 SV=2
+MAEGNTLISVDYEIFGKVQGVFFRKHTQAEGKKLGLVGWVQNTDRGTVQGQLQGPISKVRHMQEWLETRGSPKSHIDKANFNNEKVILKLDYSDFQIVK
+>DECOY_sp|P07311|ACYP1_HUMAN Acylphosphatase-1 OS=Homo sapiens OX=9606 GN=ACYP1 PE=1 SV=2
+KVIQFDSYDLKLIVKENNFNAKDIHSKPSGRTELWEQMHRVKSIPGQLQGQVTGRDTNQVWGVLGLKKGEAQTHKRFFVGQVKGFIEYDVSILTNGEAM
+>sp|P14621|ACYP2_HUMAN Acylphosphatase-2 OS=Homo sapiens OX=9606 GN=ACYP2 PE=1 SV=2
+MSTAQSLKSVDYEVFGRVQGVCFRMYTEDEARKIGVVGWVKNTSKGTVTGQVQGPEDKVNSMKSWLSKVGSPSSRIDRTNFSNEKTISKLEYSNFSIRY
+>DECOY_sp|P14621|ACYP2_HUMAN Acylphosphatase-2 OS=Homo sapiens OX=9606 GN=ACYP2 PE=1 SV=2
+YRISFNSYELKSITKENSFNTRDIRSSPSGVKSLWSKMSNVKDEPGQVQGTVTGKSTNKVWGVVGIKRAEDETYMRFCVGQVRGFVEYDVSKLSQATSM
+>sp|O75078|ADA11_HUMAN Disintegrin and metalloproteinase domain-containing protein 11 OS=Homo sapiens OX=9606 GN=ADAM11 PE=2 SV=3
+MRLLRRWAFAALLLSLLPTPGLGTQGPAGALRWGGLPQLGGPGAPEVTEPSRLVRESSGGEVRKQQLDTRVRQEPPGGPPVHLAQVSFVIPAFNSNFTLDLELNHHLLSSQYVERHFSREGTTQHSTGAGDHCYYQGKLRGNPHSFAALSTCQGLHGVFSDGNLTYIVEPQEVAGPWGAPQGPLPHLIYRTPLLPDPLGCREPGCLFAVPAQSAPPNRPRLRRKRQVRRGHPTVHSETKYVELIVINDHQLFEQMRQSVVLTSNFAKSVVNLADVIYKEQLNTRIVLVAMETWADGDKIQVQDDLLETLARLMVYRREGLPEPSDATHLFSGRTFQSTSSGAAYVGGICSLSHGGGVNEYGNMGAMAVTLAQTLGQNLGMMWNKHRSSAGDCKCPDIWLGCIMEDTGFYLPRKFSRCSIDEYNQFLQEGGGSCLFNKPLKLLDPPECGNGFVEAGEECDCGSVQECSRAGGNCCKKCTLTHDAMCSDGLCCRRCKYEPRGVSCREAVNECDIAETCTGDSSQCPPNLHKLDGYYCDHEQGRCYGGRCKTRDRQCQVLWGHAAADRFCYEKLNVEGTERGSCGRKGSGWVQCSKQDVLCGFLLCVNISGAPRLGDLVGDISSVTFYHQGKELDCRGGHVQLADGSDLSYVEDGTACGPNMLCLDHRCLPASAFNFSTCPGSGERRICSHHGVCSNEGKCICQPDWTGKDCSIHNPLPTSPPTGETERYKGPSGTNIIIGSIAGAVLVAAIVLGGTGWGFKNIRRGRSGGA
+>DECOY_sp|O75078|ADA11_HUMAN Disintegrin and metalloproteinase domain-containing protein 11 OS=Homo sapiens OX=9606 GN=ADAM11 PE=2 SV=3
+AGGSRGRRINKFGWGTGGLVIAAVLVAGAISGIIINTGSPGKYRETEGTPPSTPLPNHISCDKGTWDPQCICKGENSCVGHHSCIRREGSGPCTSFNFASAPLCRHDLCLMNPGCATGDEVYSLDSGDALQVHGGRCDLEKGQHYFTVSSIDGVLDGLRPAGSINVCLLFGCLVDQKSCQVWGSGKRGCSGRETGEVNLKEYCFRDAAAHGWLVQCQRDRTKCRGGYCRGQEHDCYYGDLKHLNPPCQSSDGTCTEAIDCENVAERCSVGRPEYKCRRCCLGDSCMADHTLTCKKCCNGGARSCEQVSGCDCEEGAEVFGNGCEPPDLLKLPKNFLCSGGGEQLFQNYEDISCRSFKRPLYFGTDEMICGLWIDPCKCDGASSRHKNWMMGLNQGLTQALTVAMAGMNGYENVGGGHSLSCIGGVYAAGSSTSQFTRGSFLHTADSPEPLGERRYVMLRALTELLDDQVQIKDGDAWTEMAVLVIRTNLQEKYIVDALNVVSKAFNSTLVVSQRMQEFLQHDNIVILEVYKTESHVTPHGRRVQRKRRLRPRNPPASQAPVAFLCGPERCGLPDPLLPTRYILHPLPGQPAGWPGAVEQPEVIYTLNGDSFVGHLGQCTSLAAFSHPNGRLKGQYYCHDGAGTSHQTTGERSFHREVYQSSLLHHNLELDLTFNSNFAPIVFSVQALHVPPGGPPEQRVRTDLQQKRVEGGSSERVLRSPETVEPAGPGGLQPLGGWRLAGAPGQTGLGPTPLLSLLLAAFAWRRLLRM
+>sp|O43184|ADA12_HUMAN Disintegrin and metalloproteinase domain-containing protein 12 OS=Homo sapiens OX=9606 GN=ADAM12 PE=1 SV=3
+MAARPLPVSPARALLLALAGALLAPCEARGVSLWNQGRADEVVSASVGSGDLWIPVKSFDSKNHPEVLNIRLQRESKELIINLERNEGLIASSFTETHYLQDGTDVSLARNYTVILGHCYYHGHVRGYSDSAVSLSTCSGLRGLIVFENESYVLEPMKSATNRYKLFPAKKLKSVRGSCGSHHNTPNLAAKNVFPPPSQTWARRHKRETLKATKYVELVIVADNREFQRQGKDLEKVKQRLIEIANHVDKFYRPLNIRIVLVGVEVWNDMDKCSVSQDPFTSLHEFLDWRKMKLLPRKSHDNAQLVSGVYFQGTTIGMAPIMSMCTADQSGGIVMDHSDNPLGAAVTLAHELGHNFGMNHDTLDRGCSCQMAVEKGGCIMNASTGYPFPMVFSSCSRKDLETSLEKGMGVCLFNLPEVRESFGGQKCGNRFVEEGEECDCGEPEECMNRCCNATTCTLKPDAVCAHGLCCEDCQLKPAGTACRDSSNSCDLPEFCTGASPHCPANVYLHDGHSCQDVDGYCYNGICQTHEQQCVTLWGPGAKPAPGICFERVNSAGDPYGNCGKVSKSSFAKCEMRDAKCGKIQCQGGASRPVIGTNAVSIETNIPLQQGGRILCRGTHVYLGDDMPDPGLVLAGTKCADGKICLNRQCQNISVFGVHECAMQCHGRGVCNNRKNCHCEAHWAPPFCDKFGFGGSTDSGPIRQADNQGLTIGILVTILCLLAAGFVVYLKRKTLIRLLFTNKKTTIEKLRCVRPSRPPRGFQPCQAHLGHLGKGLMRKPPDSYPPKDNPRRLLQCQNVDISRPLNGLNVPQPQSTQRVLPPLHRAPRAPSVPARPLPAKPALRQAQGTCKPNPPQKPLPADPLARTTRLTHALARTPGQWETGLRLAPLRPAPQYPHQVPRSTHTAYIK
+>DECOY_sp|O43184|ADA12_HUMAN Disintegrin and metalloproteinase domain-containing protein 12 OS=Homo sapiens OX=9606 GN=ADAM12 PE=1 SV=3
+KIYATHTSRPVQHPYQPAPRLPALRLGTEWQGPTRALAHTLRTTRALPDAPLPKQPPNPKCTGQAQRLAPKAPLPRAPVSPARPARHLPPLVRQTSQPQPVNLGNLPRSIDVNQCQLLRRPNDKPPYSDPPKRMLGKGLHGLHAQCPQFGRPPRSPRVCRLKEITTKKNTFLLRILTKRKLYVVFGAALLCLITVLIGITLGQNDAQRIPGSDTSGGFGFKDCFPPAWHAECHCNKRNNCVGRGHCQMACEHVGFVSINQCQRNLCIKGDACKTGALVLGPDPMDDGLYVHTGRCLIRGGQQLPINTEISVANTGIVPRSAGGQCQIKGCKADRMECKAFSSKSVKGCNGYPDGASNVREFCIGPAPKAGPGWLTVCQQEHTQCIGNYCYGDVDQCSHGDHLYVNAPCHPSAGTCFEPLDCSNSSDRCATGAPKLQCDECCLGHACVADPKLTCTTANCCRNMCEEPEGCDCEEGEEVFRNGCKQGGFSERVEPLNFLCVGMGKELSTELDKRSCSSFVMPFPYGTSANMICGGKEVAMQCSCGRDLTDHNMGFNHGLEHALTVAAGLPNDSHDMVIGGSQDATCMSMIPAMGITTGQFYVGSVLQANDHSKRPLLKMKRWDLFEHLSTFPDQSVSCKDMDNWVEVGVLVIRINLPRYFKDVHNAIEILRQKVKELDKGQRQFERNDAVIVLEVYKTAKLTERKHRRAWTQSPPPFVNKAALNPTNHHSGCSGRVSKLKKAPFLKYRNTASKMPELVYSENEFVILGRLGSCTSLSVASDSYGRVHGHYYCHGLIVTYNRALSVDTGDQLYHTETFSSAILGENRELNIILEKSERQLRINLVEPHNKSDFSKVPIWLDGSGVSASVVEDARGQNWLSVGRAECPALLAGALALLLARAPSVPLPRAAM
+>sp|Q13444|ADA15_HUMAN Disintegrin and metalloproteinase domain-containing protein 15 OS=Homo sapiens OX=9606 GN=ADAM15 PE=1 SV=4
+MRLALLWALGLLGAGSPLPSWPLPNIGGTEEQQAESEKAPREPLEPQVLQDDLPISLKKVLQTSLPEPLRIKLELDGDSHILELLQNRELVPGRPTLVWYQPDGTRVVSEGHTLENCCYQGRVRGYAGSWVSICTCSGLRGLVVLTPERSYTLEQGPGDLQGPPIISRIQDLHLPGHTCALSWRESVHTQKPPEHPLGQRHIRRRRDVVTETKTVELVIVADHSEAQKYRDFQHLLNRTLEVALLLDTFFRPLNVRVALVGLEAWTQRDLVEISPNPAVTLENFLHWRRAHLLPRLPHDSAQLVTGTSFSGPTVGMAIQNSICSPDFSGGVNMDHSTSILGVASSIAHELGHSLGLDHDLPGNSCPCPGPAPAKTCIMEASTDFLPGLNFSNCSRRALEKALLDGMGSCLFERLPSLPPMAAFCGNMFVEPGEQCDCGFLDDCVDPCCDSLTCQLRPGAQCASDGPCCQNCQLRPSGWQCRPTRGDCDLPEFCPGDSSQCPPDVSLGDGEPCAGGQAVCMHGRCASYAQQCQSLWGPGAQPAAPLCLQTANTRGNAFGSCGRNPSGSYVSCTPRDAICGQLQCQTGRTQPLLGSIRDLLWETIDVNGTELNCSWVHLDLGSDVAQPLLTLPGTACGPGLVCIDHRCQRVDLLGAQECRSKCHGHGVCDSNRHCYCEEGWAPPDCTTQLKATSSLTTGLLLSLLVLLVLVMLGASYWYRARLHQRLCQLKGPTCQYRAAQSGPSERPGPPQRALLARGTKQASALSFPAPPSRPLPPDPVSKRLQAELADRPNPPTRPLPADPVVRSPKSQGPAKPPPPRKPLPADPQGRCPSGDLPGPGAGIPPLVVPSRPAPPPPTVSSLYL
+>DECOY_sp|Q13444|ADA15_HUMAN Disintegrin and metalloproteinase domain-containing protein 15 OS=Homo sapiens OX=9606 GN=ADAM15 PE=1 SV=4
+LYLSSVTPPPPAPRSPVVLPPIGAGPGPLDGSPCRGQPDAPLPKRPPPPKAPGQSKPSRVVPDAPLPRTPPNPRDALEAQLRKSVPDPPLPRSPPAPFSLASAQKTGRALLARQPPGPRESPGSQAARYQCTPGKLQCLRQHLRARYWYSAGLMVLVLLVLLSLLLGTTLSSTAKLQTTCDPPAWGEECYCHRNSDCVGHGHCKSRCEQAGLLDVRQCRHDICVLGPGCATGPLTLLPQAVDSGLDLHVWSCNLETGNVDITEWLLDRISGLLPQTRGTQCQLQGCIADRPTCSVYSGSPNRGCSGFANGRTNATQLCLPAAPQAGPGWLSQCQQAYSACRGHMCVAQGGACPEGDGLSVDPPCQSSDGPCFEPLDCDGRTPRCQWGSPRLQCNQCCPGDSACQAGPRLQCTLSDCCPDVCDDLFGCDCQEGPEVFMNGCFAAMPPLSPLREFLCSGMGDLLAKELARRSCNSFNLGPLFDTSAEMICTKAPAPGPCPCSNGPLDHDLGLSHGLEHAISSAVGLISTSHDMNVGGSFDPSCISNQIAMGVTPGSFSTGTVLQASDHPLRPLLHARRWHLFNELTVAPNPSIEVLDRQTWAELGVLAVRVNLPRFFTDLLLAVELTRNLLHQFDRYKQAESHDAVIVLEVTKTETVVDRRRRIHRQGLPHEPPKQTHVSERWSLACTHGPLHLDQIRSIIPPGQLDGPGQELTYSREPTLVVLGRLGSCTCISVWSGAYGRVRGQYCCNELTHGESVVRTGDPQYWVLTPRGPVLERNQLLELIHSDGDLELKIRLPEPLSTQLVKKLSIPLDDQLVQPELPERPAKESEAQQEETGGINPLPWSPLPSGAGLLGLAWLLALRM
+>sp|P78536|ADA17_HUMAN Disintegrin and metalloproteinase domain-containing protein 17 OS=Homo sapiens OX=9606 GN=ADAM17 PE=1 SV=1
+MRQSLLFLTSVVPFVLAPRPPDDPGFGPHQRLEKLDSLLSDYDILSLSNIQQHSVRKRDLQTSTHVETLLTFSALKRHFKLYLTSSTERFSQNFKVVVVDGKNESEYTVKWQDFFTGHVVGEPDSRVLAHIRDDDVIIRINTDGAEYNIEPLWRFVNDTKDKRMLVYKSEDIKNVSRLQSPKVCGYLKVDNEELLPKGLVDREPPEELVHRVKRRADPDPMKNTCKLLVVADHRFYRYMGRGEESTTTNYLIELIDRVDDIYRNTSWDNAGFKGYGIQIEQIRILKSPQEVKPGEKHYNMAKSYPNEEKDAWDVKMLLEQFSFDIAEEASKVCLAHLFTYQDFDMGTLGLAYVGSPRANSHGGVCPKAYYSPVGKKNIYLNSGLTSTKNYGKTILTKEADLVTTHELGHNFGAEHDPDGLAECAPNEDQGGKYVMYPIAVSGDHENNKMFSNCSKQSIYKTIESKAQECFQERSNKVCGNSRVDEGEECDPGIMYLNNDTCCNSDCTLKEGVQCSDRNSPCCKNCQFETAQKKCQEAINATCKGVSYCTGNSSECPPPGNAEDDTVCLDLGKCKDGKCIPFCEREQQLESCACNETDNSCKVCCRDLSGRCVPYVDAEQKNLFLRKGKPCTVGFCDMNGKCEKRVQDVIERFWDFIDQLSINTFGKFLADNIVGSVLVFSLIFWIPFSILVHCVDKKLDKQYESLSLFHPSNVEMLSSMDSASVRIIKPFPAPQTPGRLQPAPVIPSAPAAPKLDHQRMDTIQEDPSTDSHMDEDGFEKDPFPNSSTAAKSFEDLTDHPVTRSEKAASFKLQRQNRVDSKETEC
+>DECOY_sp|P78536|ADA17_HUMAN Disintegrin and metalloproteinase domain-containing protein 17 OS=Homo sapiens OX=9606 GN=ADAM17 PE=1 SV=1
+CETEKSDVRNQRQLKFSAAKESRTVPHDTLDEFSKAATSSNPFPDKEFGDEDMHSDTSPDEQITDMRQHDLKPAAPASPIVPAPQLRGPTQPAPFPKIIRVSASDMSSLMEVNSPHFLSLSEYQKDLKKDVCHVLISFPIWFILSFVLVSGVINDALFKGFTNISLQDIFDWFREIVDQVRKECKGNMDCFGVTCPKGKRLFLNKQEADVYPVCRGSLDRCCVKCSNDTENCACSELQQERECFPICKGDKCKGLDLCVTDDEANGPPPCESSNGTCYSVGKCTANIAEQCKKQATEFQCNKCCPSNRDSCQVGEKLTCDSNCCTDNNLYMIGPDCEEGEDVRSNGCVKNSREQFCEQAKSEITKYISQKSCNSFMKNNEHDGSVAIPYMVYKGGQDENPACEALGDPDHEAGFNHGLEHTTVLDAEKTLITKGYNKTSTLGSNLYINKKGVPSYYAKPCVGGHSNARPSGVYALGLTGMDFDQYTFLHALCVKSAEEAIDFSFQELLMKVDWADKEENPYSKAMNYHKEGPKVEQPSKLIRIQEIQIGYGKFGANDWSTNRYIDDVRDILEILYNTTTSEEGRGMYRYFRHDAVVLLKCTNKMPDPDARRKVRHVLEEPPERDVLGKPLLEENDVKLYGCVKPSQLRSVNKIDESKYVLMRKDKTDNVFRWLPEINYEAGDTNIRIIVDDDRIHALVRSDPEGVVHGTFFDQWKVTYESENKGDVVVVKFNQSFRETSSTLYLKFHRKLASFTLLTEVHTSTQLDRKRVSHQQINSLSLIDYDSLLSDLKELRQHPGFGPDDPPRPALVFPVVSTLFLLSQRM
+>sp|Q9UKJ8|ADA21_HUMAN Disintegrin and metalloproteinase domain-containing protein 21 OS=Homo sapiens OX=9606 GN=ADAM21 PE=2 SV=2
+MAVDGTLVYIRVTLLLLWLGVFLSISGYCQAGPSQHFTSPEVVIPLKVISRGRSAKAPGWLSYSLRFGGQKHVVHMRVKKLLVSRHLPVFTYTDDRALLEDQLFIPDDCYYHGYVEAAPESLVVFSACFGGFRGVLKISGLTYEIEPIRHSATFEHLVYKINSNETQFPAMRCGLTEKEVARQQLEFEEAENSALEPKSAGDWWTHAWFLELVVVVNHDFFIYSQSNISKVQEDVFLVVNIVDSMYKQLGTYIILIGIEIWNQGNVFPMTSIEQVLNDFSQWKQISLSQLQHDAAHMFIKNSLISILGLAYVAGICRPPIDCGVDNFQGDTWSLFANTVAHELGHTLGMQHDEEFCFCGERGCIMNTFRVPAEKFTNCSYADFMKTTLNQGSCLHNPPRLGEIFMLKRCGNGVVEREEQCDCGSVQQCEQDACCLLNCTLRPGAACAFGLCCKDCKFMPSGELCRQEVNECDLPEWCNGTSHQCPEDRYVQDGIPCSDSAYCYQKRCNNHDQHCREIFGKDAKSASQNCYKEINSQGNRFGHCGINGTTYLKCHISDVFCGRVQCENVRDIPLLQDHFTLQHTHINGVTCWGIDYHLRMNISDIGEVKDGTVCGPGKICIHKKCVSLSVLSHVCLPETCNMKGICNNKHHCHCGYGWSPPYCQHRGYGGSIDSGPASAKRGVFLPLIVIPSLSVLTFLFTVGLLMYLRQCSGPKETKAHSSG
+>DECOY_sp|Q9UKJ8|ADA21_HUMAN Disintegrin and metalloproteinase domain-containing protein 21 OS=Homo sapiens OX=9606 GN=ADAM21 PE=2 SV=2
+GSSHAKTEKPGSCQRLYMLLGVTFLFTLVSLSPIVILPLFVGRKASAPGSDISGGYGRHQCYPPSWGYGCHCHHKNNCIGKMNCTEPLCVHSLVSLSVCKKHICIKGPGCVTGDKVEGIDSINMRLHYDIGWCTVGNIHTHQLTFHDQLLPIDRVNECQVRGCFVDSIHCKLYTTGNIGCHGFRNGQSNIEKYCNQSASKADKGFIERCHQDHNNCRKQYCYASDSCPIGDQVYRDEPCQHSTGNCWEPLDCENVEQRCLEGSPMFKCDKCCLGFACAAGPRLTCNLLCCADQECQQVSGCDCQEEREVVGNGCRKLMFIEGLRPPNHLCSGQNLTTKMFDAYSCNTFKEAPVRFTNMICGREGCFCFEEDHQMGLTHGLEHAVTNAFLSWTDGQFNDVGCDIPPRCIGAVYALGLISILSNKIFMHAADHQLQSLSIQKWQSFDNLVQEISTMPFVNGQNWIEIGILIIYTGLQKYMSDVINVVLFVDEQVKSINSQSYIFFDHNVVVVLELFWAHTWWDGASKPELASNEAEEFELQQRAVEKETLGCRMAPFQTENSNIKYVLHEFTASHRIPEIEYTLGSIKLVGRFGGFCASFVVLSEPAAEVYGHYYCDDPIFLQDELLARDDTYTFVPLHRSVLLKKVRMHVVHKQGGFRLSYSLWGPAKASRGRSIVKLPIVVEPSTFHQSPGAQCYGSISLFVGLWLLLLTVRIYVLTGDVAM
+>sp|Q9UKF5|ADA29_HUMAN Disintegrin and metalloproteinase domain-containing protein 29 OS=Homo sapiens OX=9606 GN=ADAM29 PE=1 SV=3
+MKMLLLLHCLGVFLSCSGHIQDEHPQYHSPPDVVIPVRITGTTRGMTPPGWLSYILPFGGQKHIIHIKVKKLLFSKHLPVFTYTDQGAILEDQPFVQNNCYYHGYVEGDPESLVSLSTCFGGFQGILQINDFAYEIKPLAFSTTFEHLVYKMDSEEKQFSTMRSGFMQNEITCRMEFEEIDNSTQKQSSYVGWWIHFRIVEIVVVIDNYLYIRYERNDSKLLEDLYVIVNIVDSILDVIGVKVLLFGLEIWTNKNLIVVDDVRKSVHLYCKWKSENITPRMQHDTSHLFTTLGLRGLSGIGAFRGMCTPHRSCAIVTFMNKTLGTFSIAVAHHLGHNLGMNHDEDTCRCSQPRCIMHEGNPPITKFSNCSYGDFWEYTVERTKCLLETVHTKDIFNVKRCGNGVVEEGEECDCGPLKHCAKDPCCLSNCTLTDGSTCAFGLCCKDCKFLPSGKVCRKEVNECDLPEWCNGTSHKCPDDFYVEDGIPCKERGYCYEKSCHDRNEQCRRIFGAGANTASETCYKELNTLGDRVGHCGIKNATYIKCNISDVQCGRIQCENVTEIPNMSDHTTVHWARFNDIMCWSTDYHLGMKGPDIGEVKDGTECGIDHICIHRHCVHITILNSNCSPAFCNKRGICNNKHHCHCNYLWDPPNCLIKGYGGSVDSGPPPKRKKKKKFCYLCILLLIVLFILLCCLYRLCKKSKPIKKQQDVQTPSAKEEEKIQRRPHELPPQSQPWVMPSQSQPPVTPSQSHPQVMPSQSQPPVTPSQSQPRVMPSQSQPPVMPSQSHPQLTPSQSQPPVTPSQRQPQLMPSQSQPPVTPS
+>DECOY_sp|Q9UKF5|ADA29_HUMAN Disintegrin and metalloproteinase domain-containing protein 29 OS=Homo sapiens OX=9606 GN=ADAM29 PE=1 SV=3
+SPTVPPQSQSPMLQPQRQSPTVPPQSQSPTLQPHSQSPMVPPQSQSPMVRPQSQSPTVPPQSQSPMVQPHSQSPTVPPQSQSPMVWPQSQPPLEHPRRQIKEEEKASPTQVDQQKKIPKSKKCLRYLCCLLIFLVILLLICLYCFKKKKKRKPPPGSDVSGGYGKILCNPPDWLYNCHCHHKNNCIGRKNCFAPSCNSNLITIHVCHRHICIHDIGCETGDKVEGIDPGKMGLHYDTSWCMIDNFRAWHVTTHDSMNPIETVNECQIRGCQVDSINCKIYTANKIGCHGVRDGLTNLEKYCTESATNAGAGFIRRCQENRDHCSKEYCYGREKCPIGDEVYFDDPCKHSTGNCWEPLDCENVEKRCVKGSPLFKCDKCCLGFACTSGDTLTCNSLCCPDKACHKLPGCDCEEGEEVVGNGCRKVNFIDKTHVTELLCKTREVTYEWFDGYSCNSFKTIPPNGEHMICRPQSCRCTDEDHNMGLNHGLHHAVAISFTGLTKNMFTVIACSRHPTCMGRFAGIGSLGRLGLTTFLHSTDHQMRPTINESKWKCYLHVSKRVDDVVILNKNTWIELGFLLVKVGIVDLISDVINVIVYLDELLKSDNREYRIYLYNDIVVVIEVIRFHIWWGVYSSQKQTSNDIEEFEMRCTIENQMFGSRMTSFQKEESDMKYVLHEFTTSFALPKIEYAFDNIQLIGQFGGFCTSLSVLSEPDGEVYGHYYCNNQVFPQDELIAGQDTYTFVPLHKSFLLKKVKIHIIHKQGGFPLIYSLWGPPTMGRTTGTIRVPIVVDPPSHYQPHEDQIHGSCSLFVGLCHLLLLMKM
+>sp|Q13443|ADAM9_HUMAN Disintegrin and metalloproteinase domain-containing protein 9 OS=Homo sapiens OX=9606 GN=ADAM9 PE=1 SV=1
+MGSGARFPSGTLRVRWLLLLGLVGPVLGAARPGFQQTSHLSSYEIITPWRLTRERREAPRPYSKQVSYVIQAEGKEHIIHLERNKDLLPEDFVVYTYNKEGTLITDHPNIQNHCHYRGYVEGVHNSSIALSDCFGLRGLLHLENASYGIEPLQNSSHFEHIIYRMDDVYKEPLKCGVSNKDIEKETAKDEEEEPPSMTQLLRRRRAVLPQTRYVELFIVVDKERYDMMGRNQTAVREEMILLANYLDSMYIMLNIRIVLVGLEIWTNGNLINIVGGAGDVLGNFVQWREKFLITRRRHDSAQLVLKKGFGGTAGMAFVGTVCSRSHAGGINVFGQITVETFASIVAHELGHNLGMNHDDGRDCSCGAKSCIMNSGASGSRNFSSCSAEDFEKLTLNKGGNCLLNIPKPDEAYSAPSCGNKLVDAGEECDCGTPKECELDPCCEGSTCKLKSFAECAYGDCCKDCRFLPGGTLCRGKTSECDVPEYCNGSSQFCQPDVFIQNGYPCQNNKAYCYNGMCQYYDAQCQVIFGSKAKAAPKDCFIEVNSKGDRFGNCGFSGNEYKKCATGNALCGKLQCENVQEIPVFGIVPAIIQTPSRGTKCWGVDFQLGSDVPDPGMVNEGTKCGAGKICRNFQCVDASVLNYDCDVQKKCHGHGVCNSNKNCHCENGWAPPNCETKGYGGSVDSGPTYNEMNTALRDGLLVFFFLIVPLIVCAIFIFIKRDQLWRSYFRKKRSQTYESDGKNQANPSRQPGSVPRHVSPVTPPREVPIYANRFAVPTYAAKQPQQFPSRPPPPQPKVSSQGNLIPARPAPAPPLYSSLT
+>DECOY_sp|Q13443|ADAM9_HUMAN Disintegrin and metalloproteinase domain-containing protein 9 OS=Homo sapiens OX=9606 GN=ADAM9 PE=1 SV=1
+TLSSYLPPAPAPRAPILNGQSSVKPQPPPPRSPFQQPQKAAYTPVAFRNAYIPVERPPTVPSVHRPVSGPQRSPNAQNKGDSEYTQSRKKRFYSRWLQDRKIFIFIACVILPVILFFFVLLGDRLATNMENYTPGSDVSGGYGKTECNPPAWGNECHCNKNSNCVGHGHCKKQVDCDYNLVSADVCQFNRCIKGAGCKTGENVMGPDPVDSGLQFDVGWCKTGRSPTQIIAPVIGFVPIEQVNECQLKGCLANGTACKKYENGSFGCNGFRDGKSNVEIFCDKPAAKAKSGFIVQCQADYYQCMGNYCYAKNNQCPYGNQIFVDPQCFQSSGNCYEPVDCESTKGRCLTGGPLFRCDKCCDGYACEAFSKLKCTSGECCPDLECEKPTGCDCEEGADVLKNGCSPASYAEDPKPINLLCNGGKNLTLKEFDEASCSSFNRSGSAGSNMICSKAGCSCDRGDDHNMGLNHGLEHAVISAFTEVTIQGFVNIGGAHSRSCVTGVFAMGATGGFGKKLVLQASDHRRRTILFKERWQVFNGLVDGAGGVINILNGNTWIELGVLVIRINLMIYMSDLYNALLIMEERVATQNRGMMDYREKDVVIFLEVYRTQPLVARRRRLLQTMSPPEEEEDKATEKEIDKNSVGCKLPEKYVDDMRYIIHEFHSSNQLPEIGYSANELHLLGRLGFCDSLAISSNHVGEVYGRYHCHNQINPHDTILTGEKNYTYVVFDEPLLDKNRELHIIHEKGEAQIVYSVQKSYPRPAERRERTLRWPTIIEYSSLHSTQQFGPRAAGLVPGVLGLLLLWRVRLTGSPFRAGSGM
+>sp|O00116|ADAS_HUMAN Alkyldihydroxyacetonephosphate synthase, peroxisomal OS=Homo sapiens OX=9606 GN=AGPS PE=1 SV=1
+MAEAAAAAGGTGLGAGASYGSAADRDRDPDPDRAGRRLRVLSGHLLGRPREALSTNECKARRAASAATAAPTATPAAQESGTIPKKRQEVMKWNGWGYNDSKFIFNKKGQIELTGKRYPLSGMGLPTFKEWIQNTLGVNVEHKTTSKASLNPSDTPPSVVNEDFLHDLKETNISYSQEADDRVFRAHGHCLHEIFLLREGMFERIPDIVLWPTCHDDVVKIVNLACKYNLCIIPIGGGTSVSYGLMCPADETRTIISLDTSQMNRILWVDENNLTAHVEAGITGQELERQLKESGYCTGHEPDSLEFSTVGGWVSTRASGMKKNIYGNIEDLVVHIKMVTPRGIIEKSCQGPRMSTGPDIHHFIMGSEGTLGVITEATIKIRPVPEYQKYGSVAFPNFEQGVACLREIAKQRCAPASIRLMDNKQFQFGHALKPQVSSIFTSFLDGLKKFYITKFKGFDPNQLSVATLLFEGDREKVLQHEKQVYDIAAKFGGLAAGEDNGQRGYLLTYVIAYIRDLALEYYVLGESFETSAPWDRVVDLCRNVKERITRECKEKGVQFAPFSTCRVTQTYDAGACIYFYFAFNYRGISDPLTVFEQTEAAAREEILANGGSLSHHHGVGKLRKQWLKESISDVGFGMLKSVKEYVDPNNIFGNRNLL
+>DECOY_sp|O00116|ADAS_HUMAN Alkyldihydroxyacetonephosphate synthase, peroxisomal OS=Homo sapiens OX=9606 GN=AGPS PE=1 SV=1
+LLNRNGFINNPDVYEKVSKLMGFGVDSISEKLWQKRLKGVGHHHSLSGGNALIEERAAAETQEFVTLPDSIGRYNFAFYFYICAGADYTQTVRCTSFPAFQVGKEKCERTIREKVNRCLDVVRDWPASTEFSEGLVYYELALDRIYAIVYTLLYGRQGNDEGAALGGFKAAIDYVQKEHQLVKERDGEFLLTAVSLQNPDFGKFKTIYFKKLGDLFSTFISSVQPKLAHGFQFQKNDMLRISAPACRQKAIERLCAVGQEFNPFAVSGYKQYEPVPRIKITAETIVGLTGESGMIFHHIDPGTSMRPGQCSKEIIGRPTVMKIHVVLDEINGYINKKMGSARTSVWGGVTSFELSDPEHGTCYGSEKLQRELEQGTIGAEVHATLNNEDVWLIRNMQSTDLSIITRTEDAPCMLGYSVSTGGGIPIICLNYKCALNVIKVVDDHCTPWLVIDPIREFMGERLLFIEHLCHGHARFVRDDAEQSYSINTEKLDHLFDENVVSPPTDSPNLSAKSTTKHEVNVGLTNQIWEKFTPLGMGSLPYRKGTLEIQGKKNFIFKSDNYGWGNWKMVEQRKKPITGSEQAAPTATPAATAASAARRAKCENTSLAERPRGLLHGSLVRLRRGARDPDPDRDRDAASGYSAGAGLGTGGAAAAAEAM
+>sp|Q7Z6V5|ADAT2_HUMAN tRNA-specific adenosine deaminase 2 OS=Homo sapiens OX=9606 GN=ADAT2 PE=1 SV=1
+MEAKAAPKPAASGACSVSAEETEKWMEEAMHMAKEALENTEVPVGCLMVYNNEVVGKGRNEVNQTKNATRHAEMVAIDQVLDWCRQSGKSPSEVFEHTVLYVTVEPCIMCAAALRLMKIPLVVYGCQNERFGGCGSVLNIASADLPNTGRPFQCIPGYRAEEAVEMLKTFYKQENPNAPKSKVRKKECQKS
+>DECOY_sp|Q7Z6V5|ADAT2_HUMAN tRNA-specific adenosine deaminase 2 OS=Homo sapiens OX=9606 GN=ADAT2 PE=1 SV=1
+SKQCEKKRVKSKPANPNEQKYFTKLMEVAEEARYGPICQFPRGTNPLDASAINLVSGCGGFRENQCGYVVLPIKMLRLAAACMICPEVTVYLVTHEFVESPSKGSQRCWDLVQDIAVMEAHRTANKTQNVENRGKGVVENNYVMLCGVPVETNELAEKAMHMAEEMWKETEEASVSCAGSAAPKPAAKAEM
+>sp|P35611|ADDA_HUMAN Alpha-adducin OS=Homo sapiens OX=9606 GN=ADD1 PE=1 SV=2
+MNGDSRAAVVTSPPPTTAPHKERYFDRVDENNPEYLRERNMAPDLRQDFNMMEQKKRVSMILQSPAFCEELESMIQEQFKKGKNPTGLLALQQIADFMTTNVPNVYPAAPQGGMAALNMSLGMVTPVNDLRGSDSIAYDKGEKLLRCKLAAFYRLADLFGWSQLIYNHITTRVNSEQEHFLIVPFGLLYSEVTASSLVKINLQGDIVDRGSTNLGVNQAGFTLHSAIYAARPDVKCVVHIHTPAGAAVSAMKCGLLPISPEALSLGEVAYHDYHGILVDEEEKVLIQKNLGPKSKVLILRNHGLVSVGESVEEAFYYIHNLVVACEIQVRTLASAGGPDNLVLLNPEKYKAKSRSPGSPVGEGTGSPPKWQIGEQEFEALMRMLDNLGYRTGYPYRYPALREKSKKYSDVEVPASVTGYSFASDGDSGTCSPLRHSFQKQQREKTRWLNSGRGDEASEEGQNGSSPKSKTKWTKEDGHRTSTSAVPNLFVPLNTNPKEVQEMRNKIREQNLQDIKTAGPQSQVLCGVVMDRSLVQGELVTASKAIIEKEYQPHVIVSTTGPNPFTTLTDRELEEYRREVERKQKGSEENLDEAREQKEKSPPDQPAVPHPPPSTPIKLEEDLVPEPTTGDDSDAATFKPTLPDLSPDEPSEALGFPMLEKEEEAHRPPSPTEAPTEASPEPAPDPAPVAEEAAPSAVEEGAAADPGSDGSPGKSPSKKKKKFRTPSFLKKSKKKSDS
+>DECOY_sp|P35611|ADDA_HUMAN Alpha-adducin OS=Homo sapiens OX=9606 GN=ADD1 PE=1 SV=2
+SDSKKKSKKLFSPTRFKKKKKSPSKGPSGDSGPDAAAGEEVASPAAEEAVPAPDPAPEPSAETPAETPSPPRHAEEEKELMPFGLAESPEDPSLDPLTPKFTAADSDDGTTPEPVLDEELKIPTSPPPHPVAPQDPPSKEKQERAEDLNEESGKQKREVERRYEELERDTLTTFPNPGTTSVIVHPQYEKEIIAKSATVLEGQVLSRDMVVGCLVQSQPGATKIDQLNQERIKNRMEQVEKPNTNLPVFLNPVASTSTRHGDEKTWKTKSKPSSGNQGEESAEDGRGSNLWRTKERQQKQFSHRLPSCTGSDGDSAFSYGTVSAPVEVDSYKKSKERLAPYRYPYGTRYGLNDLMRMLAEFEQEGIQWKPPSGTGEGVPSGPSRSKAKYKEPNLLVLNDPGGASALTRVQIECAVVLNHIYYFAEEVSEGVSVLGHNRLILVKSKPGLNKQILVKEEEDVLIGHYDHYAVEGLSLAEPSIPLLGCKMASVAAGAPTHIHVVCKVDPRAAYIASHLTFGAQNVGLNTSGRDVIDGQLNIKVLSSATVESYLLGFPVILFHEQESNVRTTIHNYILQSWGFLDALRYFAALKCRLLKEGKDYAISDSGRLDNVPTVMGLSMNLAAMGGQPAAPYVNPVNTTMFDAIQQLALLGTPNKGKKFQEQIMSELEECFAPSQLIMSVRKKQEMMNFDQRLDPAMNRERLYEPNNEDVRDFYREKHPATTPPPSTVVAARSDGNM
+>sp|P35612|ADDB_HUMAN Beta-adducin OS=Homo sapiens OX=9606 GN=ADD2 PE=1 SV=3
+MSEETVPEAASPPPPQGQPYFDRFSEDDPEYMRLRNRAADLRQDFNLMEQKKRVTMILQSPSFREELEGLIQEQMKKGNNSSNIWALRQIADFMASTSHAVFPTSSMNVSMMTPINDLHTADSLNLAKGERLMRCKISSVYRLLDLYGWAQLSDTYVTLRVSKEQDHFLISPKGVSCSEVTASSLIKVNILGEVVEKGSSCFPVDTTGFCLHSAIYAARPDVRCIIHLHTPATAAVSAMKWGLLPVSHNALLVGDMAYYDFNGEMEQEADRINLQKCLGPTCKILVLRNHGVVALGDTVEEAFYKIFHLQAACEIQVSALSSAGGVENLILLEQEKHRPHEVGSVQWAGSTFGPMQKSRLGEHEFEALMRMLDNLGYRTGYTYRHPFVQEKTKHKSEVEIPATVTAFVFEEDGAPVPALRQHAQKQQKEKTRWLNTPNTYLRVNVADEVQRSMGSPRPKTTWMKADEVEKSSSGMPIRIENPNQFVPLYTDPQEVLEMRNKIREQNRQDVKSAGPQSQLLASVIAEKSRSPSTESQLMSKGDEDTKDDSEETVPNPFSQLTDQELEEYKKEVERKKLELDGEKETAPEEPGSPAKSAPASPVQSPAKEAETKSPLVSPSKSLEEGTKKTETSKAATTEPETTQPEGVVVNGREEEQTAEEILSKGLSQMTTSADTDVDTSKDKTESVTSGPMSPEGSPSKSPSKKKKKFRTPSFLKKSKKKEKVES
+>DECOY_sp|P35612|ADDB_HUMAN Beta-adducin OS=Homo sapiens OX=9606 GN=ADD2 PE=1 SV=3
+SEVKEKKKSKKLFSPTRFKKKKKSPSKSPSGEPSMPGSTVSETKDKSTDVDTDASTTMQSLGKSLIEEATQEEERGNVVVGEPQTTEPETTAAKSTETKKTGEELSKSPSVLPSKTEAEKAPSQVPSAPASKAPSGPEEPATEKEGDLELKKREVEKKYEELEQDTLQSFPNPVTEESDDKTDEDGKSMLQSETSPSRSKEAIVSALLQSQPGASKVDQRNQERIKNRMELVEQPDTYLPVFQNPNEIRIPMGSSSKEVEDAKMWTTKPRPSGMSRQVEDAVNVRLYTNPTNLWRTKEKQQKQAHQRLAPVPAGDEEFVFATVTAPIEVESKHKTKEQVFPHRYTYGTRYGLNDLMRMLAEFEHEGLRSKQMPGFTSGAWQVSGVEHPRHKEQELLILNEVGGASSLASVQIECAAQLHFIKYFAEEVTDGLAVVGHNRLVLIKCTPGLCKQLNIRDAEQEMEGNFDYYAMDGVLLANHSVPLLGWKMASVAATAPTHLHIICRVDPRAAYIASHLCFGTTDVPFCSSGKEVVEGLINVKILSSATVESCSVGKPSILFHDQEKSVRLTVYTDSLQAWGYLDLLRYVSSIKCRMLREGKALNLSDATHLDNIPTMMSVNMSSTPFVAHSTSAMFDAIQRLAWINSSNNGKKMQEQILGELEERFSPSQLIMTVRKKQEMLNFDQRLDAARNRLRMYEPDDESFRDFYPQGQPPPPSAAEPVTEESM
+>sp|Q5T7M4|ADIPL_HUMAN Adipolin OS=Homo sapiens OX=9606 GN=C1QTNF12 PE=1 SV=2
+MRRWAWAAVVVLLGPQLVLLGGVGARREAQRTQQPGQRADPPNATASASSREGLPEAPKPSQASGPEFSDAHMTWLNFVRRPDDGALRKRCGSRDKKPRDLFGPPGPPGAEVTAETLLHEFQELLKEATERRFSGLLDPLLPQGAGLRLVGEAFHCRLQGPRRVDKRTLVELHGFQAPAAQGAFLRGSGLSLASGRFTAPVSGIFQFSASLHVDHSELQGKARLRARDVVCVLICIESLCQRHTCLEAVSGLESNSRVFTLQVQGLLQLQAGQYASVFVDNGSGAVLTIQAGSSFSGLLLGT
+>DECOY_sp|Q5T7M4|ADIPL_HUMAN Adipolin OS=Homo sapiens OX=9606 GN=C1QTNF12 PE=1 SV=2
+TGLLLGSFSSGAQITLVAGSGNDVFVSAYQGAQLQLLGQVQLTFVRSNSELGSVAELCTHRQCLSEICILVCVVDRARLRAKGQLESHDVHLSASFQFIGSVPATFRGSALSLGSGRLFAGQAAPAQFGHLEVLTRKDVRRPGQLRCHFAEGVLRLGAGQPLLPDLLGSFRRETAEKLLEQFEHLLTEATVEAGPPGPPGFLDRPKKDRSGCRKRLAGDDPRRVFNLWTMHADSFEPGSAQSPKPAEPLGERSSASATANPPDARQGPQQTRQAERRAGVGGLLVLQPGLLVVVAAWAWRRM
+>sp|Q9Y2D8|ADIP_HUMAN Afadin- and alpha-actinin-binding protein OS=Homo sapiens OX=9606 GN=SSX2IP PE=1 SV=3
+MGDWMTVTDPGLSSESKTISQYTSETKMSPSSLYSQQVLCSSIPLSKNVHSFFSAFCTEDNIEQSISYLDQELTTFGFPSLYEESKGKETKRELNIVAVLNCMNELLVLQRKNLLAQENVETQNLKLGSDMDHLQSCYSKLKEQLETSRREMIGLQERDRQLQCKNRNLHQLLKNEKDEVQKLQNIIASRATQYNHDMKRKEREYNKLKERLHQLVMNKKDKKIAMDILNYVGRADGKRGSWRTGKTEARNEDEMYKILLNDYEYRQKQILMENAELKKVLQQMKKEMISLLSPQKKKPRERVDDSTGTVISDVEEDAGELSRESMWDLSCETVREQLTNSIRKQWRILKSHVEKLDNQVSKVHLEGFNDEDVISRQDHEQETEKLELEIQQCKEMIKTQQQLLQQQLATAYDDDTTSLLRDCYLLEEKERLKEEWSLFKEQKKNFERERRSFTEAAIRLGLERKAFEEERASWLKQQFLNMTTFDHQNSENVKLFSAFSGSSDWDNLIVHSRQPQKKPHSVSNGSPVCMSKLTKSLPASPSTSDFCQTRSCISEHSSINVLNITAEEIKPNQVGGECTNQKWSVASRPGSQEGCYSGCSLSYTNSHVEKDDLP
+>DECOY_sp|Q9Y2D8|ADIP_HUMAN Afadin- and alpha-actinin-binding protein OS=Homo sapiens OX=9606 GN=SSX2IP PE=1 SV=3
+PLDDKEVHSNTYSLSCGSYCGEQSGPRSAVSWKQNTCEGGVQNPKIEEATINLVNISSHESICSRTQCFDSTSPSAPLSKTLKSMCVPSGNSVSHPKKQPQRSHVILNDWDSSGSFASFLKVNESNQHDFTTMNLFQQKLWSAREEEFAKRELGLRIAAETFSRREREFNKKQEKFLSWEEKLREKEELLYCDRLLSTTDDDYATALQQQLLQQQTKIMEKCQQIELELKETEQEHDQRSIVDEDNFGELHVKSVQNDLKEVHSKLIRWQKRISNTLQERVTECSLDWMSERSLEGADEEVDSIVTGTSDDVRERPKKKQPSLLSIMEKKMQQLVKKLEANEMLIQKQRYEYDNLLIKYMEDENRAETKGTRWSGRKGDARGVYNLIDMAIKKDKKNMVLQHLREKLKNYEREKRKMDHNYQTARSAIINQLKQVEDKENKLLQHLNRNKCQLQRDREQLGIMERRSTELQEKLKSYCSQLHDMDSGLKLNQTEVNEQALLNKRQLVLLENMCNLVAVINLERKTEKGKSEEYLSPFGFTTLEQDLYSISQEINDETCFASFFSHVNKSLPISSCLVQQSYLSSPSMKTESTYQSITKSESSLGPDTVTMWDGM
+>sp|P35318|ADML_HUMAN ADM OS=Homo sapiens OX=9606 GN=ADM PE=1 SV=1
+MKLVSVALMYLGSLAFLGADTARLDVASEFRKKWNKWALSRGKRELRMSSSYPTGLADVKAGPAQTLIRPQDMKGASRSPEDSSPDAARIRVKRYRQSMNNFQGLRSFGCRFGTCTVQKLAHQIYQFTDKDKDNVAPRSKISPQGYGRRRRRSLPEAGPGRTLVSSKPQAHGAPAPPSGSAPHFL
+>DECOY_sp|P35318|ADML_HUMAN ADM OS=Homo sapiens OX=9606 GN=ADM PE=1 SV=1
+LFHPASGSPPAPAGHAQPKSSVLTRGPGAEPLSRRRRRGYGQPSIKSRPAVNDKDKDTFQYIQHALKQVTCTGFRCGFSRLGQFNNMSQRYRKVRIRAADPSSDEPSRSAGKMDQPRILTQAPGAKVDALGTPYSSSMRLERKGRSLAWKNWKKRFESAVDLRATDAGLFALSGLYMLAVSVLKM
+>sp|Q9NRN7|ADPPT_HUMAN L-aminoadipate-semialdehyde dehydrogenase-phosphopantetheinyl transferase OS=Homo sapiens OX=9606 GN=AASDHPPT PE=1 SV=2
+MVFPAKRFCLVPSMEGVRWAFSCGTWLPSRAEWLLAVRSIQPEEKERIGQFVFARDAKAAMAGRLMIRKLVAEKLNIPWNHIRLQRTAKGKPVLAKDSSNPYPNFNFNISHQGDYAVLAAEPELQVGIDIMKTSFPGRGSIPEFFHIMKRKFTNKEWETIRSFKDEWTQLDMFYRNWALKESFIKAIGVGLGFELQRLEFDLSPLNLDIGQVYKETRLFLDGEEEKEWAFEESKIDEHHFVAVALRKPDGSRHQDVPSQDDSKPTQRQFTILNFNDLMSSAVPMTPEDPSFWDCFCFTEEIPIRNGTKS
+>DECOY_sp|Q9NRN7|ADPPT_HUMAN L-aminoadipate-semialdehyde dehydrogenase-phosphopantetheinyl transferase OS=Homo sapiens OX=9606 GN=AASDHPPT PE=1 SV=2
+SKTGNRIPIEETFCFCDWFSPDEPTMPVASSMLDNFNLITFQRQTPKSDDQSPVDQHRSGDPKRLAVAVFHHEDIKSEEFAWEKEEEGDLFLRTEKYVQGIDLNLPSLDFELRQLEFGLGVGIAKIFSEKLAWNRYFMDLQTWEDKFSRITEWEKNTFKRKMIHFFEPISGRGPFSTKMIDIGVQLEPEAALVAYDGQHSINFNFNPYPNSSDKALVPKGKATRQLRIHNWPINLKEAVLKRIMLRGAMAAKADRAFVFQGIREKEEPQISRVALLWEARSPLWTGCSFAWRVGEMSPVLCFRKAPFVM
+>sp|P54922|ADPRH_HUMAN [Protein ADP-ribosylarginine] hydrolase OS=Homo sapiens OX=9606 GN=ADPRH PE=1 SV=1
+MEKYVAAMVLSAAGDALGYYNGKWEFLQDGEKIHRQLAQLGGLDALDVGRWRVSDDTVMHLATAEALVEAGKAPKLTQLYYLLAKHYQDCMEDMDGRAPGGASVHNAMQLKPGKPNGWRIPFNSHEGGCGAAMRAMCIGLRFPHHSQLDTLIQVSIESGRMTHHHPTGYLGALASALFTAYAVNSRPPLQWGKGLMELLPEAKKYIVQSGYFVEENLQHWSYFQTKWENYLKLRGILDGESAPTFPESFGVKERDQFYTSLSYSGWGGSSGHDAPMIAYDAVLAAGDSWKELAHRAFFHGGDSDSTAAIAGCWWGVMYGFKGVSPSNYEKLEYRNRLEETARALYSLGSKEDTVISL
+>DECOY_sp|P54922|ADPRH_HUMAN [Protein ADP-ribosylarginine] hydrolase OS=Homo sapiens OX=9606 GN=ADPRH PE=1 SV=1
+LSIVTDEKSGLSYLARATEELRNRYELKEYNSPSVGKFGYMVGWWCGAIAATSDSDGGHFFARHALEKWSDGAALVADYAIMPADHGSSGGWGSYSLSTYFQDREKVGFSEPFTPASEGDLIGRLKLYNEWKTQFYSWHQLNEEVFYGSQVIYKKAEPLLEMLGKGWQLPPRSNVAYATFLASALAGLYGTPHHHTMRGSEISVQILTDLQSHHPFRLGICMARMAAGCGGEHSNFPIRWGNPKGPKLQMANHVSAGGPARGDMDEMCDQYHKALLYYLQTLKPAKGAEVLAEATALHMVTDDSVRWRGVDLADLGGLQALQRHIKEGDQLFEWKGNYYGLADGAASLVMAAVYKEM
+>sp|Q9H0C2|ADT4_HUMAN ADP/ATP translocase 4 OS=Homo sapiens OX=9606 GN=SLC25A31 PE=2 SV=1
+MHREPAKKKAEKRLFDASSFGKDLLAGGVAAAVSKTAVAPIERVKLLLQVQASSKQISPEARYKGMVDCLVRIPREQGFFSFWRGNLANVIRYFPTQALNFAFKDKYKQLFMSGVNKEKQFWRWFLANLASGGAAGATSLCVVYPLDFARTRLGVDIGKGPEERQFKGLGDCIMKIAKSDGIAGLYQGFGVSVQGIIVYRASYFGAYDTVKGLLPKPKKTPFLVSFFIAQVVTTCSGILSYPFDTVRRRMMMQSGEAKRQYKGTLDCFVKIYQHEGISSFFRGAFSNVLRGTGGALVLVLYDKIKEFFHIDIGGR
+>DECOY_sp|Q9H0C2|ADT4_HUMAN ADP/ATP translocase 4 OS=Homo sapiens OX=9606 GN=SLC25A31 PE=2 SV=1
+RGGIDIHFFEKIKDYLVLVLAGGTGRLVNSFAGRFFSSIGEHQYIKVFCDLTGKYQRKAEGSQMMMRRRVTDFPYSLIGSCTTVVQAIFFSVLFPTKKPKPLLGKVTDYAGFYSARYVIIGQVSVGFGQYLGAIGDSKAIKMICDGLGKFQREEPGKGIDVGLRTRAFDLPYVVCLSTAGAAGGSALNALFWRWFQKEKNVGSMFLQKYKDKFAFNLAQTPFYRIVNALNGRWFSFFGQERPIRVLCDVMGKYRAEPSIQKSSAQVQLLLKVREIPAVATKSVAAAVGGALLDKGFSSADFLRKEAKKKAPERHM
+>sp|Q9UPQ3|AGAP1_HUMAN Arf-GAP with GTPase, ANK repeat and PH domain-containing protein 1 OS=Homo sapiens OX=9606 GN=AGAP1 PE=1 SV=4
+MNYQQQLANSAAIRAEIQRFESVHPNIYSIYELLERVEEPVLQNQIREHVIAIEDAFVNSQEWTLSRSVPELKVGIVGNLASGKSALVHRYLTGTYVQEESPEGGRFKKEIVVDGQSYLLLIRDEGGPPEAQFAMWVDAVIFVFSLEDEISFQTVYHYYSRMANYRNTSEIPLVLVGTQDAISSANPRVIDDARARKLSNDLKRCTYYETCATYGLNVERVFQDVAQKIVATRKKQQLSIGPCKSLPNSPSHSSVCSAQVSAVHISQTSNGGGSLSDYSSSVPSTPSTSQKELRIDVPPTANTPTPVRKQSKRRSNLFTSRKGSDPDKEKKGLESRADSIGSGRAIPIKQGMLLKRSGKSLNKEWKKKYVTLCDNGVLTYHPSLHDYMQNVHGKEIDLLRTTVKVPGKRPPRATSACAPISSPKTNGLSKDMSSLHISPNSGNVTSASGSQMASGISLVSFNSRPDGMHQRSYSVSSADQWSEATVIANSAISSDTGLGDSVCSSPSISSTTSPKLDPPPSPHANRKKHRRKKSTSNFKADGLSGTAEEQEENFEFIIVSLTGQTWHFEATTYEERDAWVQAIESQILASLQSCESSKNKSRLTSQSEAMALQSIRNMRGNSHCVDCETQNPNWASLNLGALMCIECSGIHRNLGTHLSRVRSLDLDDWPVELIKVMSSIGNELANSVWEESSQGRTKPSVDSTREEKERWIRAKYEQKLFLAPLPCTELSLGQHLLRATADEDLRTAILLLAHGSRDEVNETCGEGDGRTALHLACRKGNVVLAQLLIWYGVDVTARDAHGNTALAYARQASSQECIDVLLQYGCPDERFVLMATPNLSRRNNNRNNSSGRVPTII
+>DECOY_sp|Q9UPQ3|AGAP1_HUMAN Arf-GAP with GTPase, ANK repeat and PH domain-containing protein 1 OS=Homo sapiens OX=9606 GN=AGAP1 PE=1 SV=4
+IITPVRGSSNNRNNNRRSLNPTAMLVFREDPCGYQLLVDICEQSSAQRAYALATNGHADRATVDVGYWILLQALVVNGKRCALHLATRGDGEGCTENVEDRSGHALLLIATRLDEDATARLLHQGLSLETCPLPALFLKQEYKARIWREKEERTSDVSPKTRGQSSEEWVSNALENGISSMVKILEVPWDDLDLSRVRSLHTGLNRHIGSCEICMLAGLNLSAWNPNQTECDVCHSNGRMNRISQLAMAESQSTLRSKNKSSECSQLSALIQSEIAQVWADREEYTTAEFHWTQGTLSVIIFEFNEEQEEATGSLGDAKFNSTSKKRRHKKRNAHPSPPPDLKPSTTSSISPSSCVSDGLGTDSSIASNAIVTAESWQDASSVSYSRQHMGDPRSNFSVLSIGSAMQSGSASTVNGSNPSIHLSSMDKSLGNTKPSSIPACASTARPPRKGPVKVTTRLLDIEKGHVNQMYDHLSPHYTLVGNDCLTVYKKKWEKNLSKGSRKLLMGQKIPIARGSGISDARSELGKKEKDPDSGKRSTFLNSRRKSQKRVPTPTNATPPVDIRLEKQSTSPTSPVSSSYDSLSGGGNSTQSIHVASVQASCVSSHSPSNPLSKCPGISLQQKKRTAVIKQAVDQFVREVNLGYTACTEYYTCRKLDNSLKRARADDIVRPNASSIADQTGVLVLPIESTNRYNAMRSYYHYVTQFSIEDELSFVFIVADVWMAFQAEPPGGEDRILLLYSQGDVVIEKKFRGGEPSEEQVYTGTLYRHVLASKGSALNGVIGVKLEPVSRSLTWEQSNVFADEIAIVHERIQNQLVPEEVRELLEYISYINPHVSEFRQIEARIAASNALQQQYNM
+>sp|A6NIR3|AGAP5_HUMAN Arf-GAP with GTPase, ANK repeat and PH domain-containing protein 5 OS=Homo sapiens OX=9606 GN=AGAP5 PE=2 SV=2
+MGNILTCCVHPSVSLEFDQQQGSVCPSESEIYEAGAGDRMAGAPMAAAVQPAEVTVEVGEDLHMHHIRDQEMPEALEFSLSANPEASTIFQRNSQTDALEFNPSANPEASTIFQRNSQTDVVEIRRSNCTNHVSTERFSQQYSSCSTIFLDDSTASQHYLTMTIISVTLEIPHHITQRDADRSLSIPDEQLHSFAVSTVHITKNRNGGGSLNNYSSSIPSTPSTSQEDPQFSVPPTANTPTPVCKRSMRWSNLFTSEKGSHPDKERKAPENHADTIGSGRAIPIKQGMLLKRSGKWLKTWKKKYVTLCSNGVLTYYSSLGDYMKNIHKKEIDLRTSTIKVPGKWPSLATSACAPISSSKSNGLSKDMDTGLGDSICFSPSISSTTSPKLNPPPSPHANKKKHLKKKSTNNFMIVSATGQTWHFEATTYEERDAWVQAIQSQILASLQSCESSKSKSQLTSQSEAMALQSIQNMRGNAHCVDYETQNPKWASLNLGVLMCIECSGIHRSLGTRLSRVRSLELDDWPVELRKVMSSIGNDLANSIWEGSSQGQTKPSVKSTREEKERWIRSKYEEKLFLAPLPCTELSLGQHLLRATADEDLQTAILLLAHGSREEVNETCGEGDGCTALHLACRKGNVVLAQLLIWYGVDVMARDAHGNTALTYARQASSQECINVLLQYGCPDECV
+>DECOY_sp|A6NIR3|AGAP5_HUMAN Arf-GAP with GTPase, ANK repeat and PH domain-containing protein 5 OS=Homo sapiens OX=9606 GN=AGAP5 PE=2 SV=2
+VCEDPCGYQLLVNICEQSSAQRAYTLATNGHADRAMVDVGYWILLQALVVNGKRCALHLATCGDGEGCTENVEERSGHALLLIATQLDEDATARLLHQGLSLETCPLPALFLKEEYKSRIWREKEERTSKVSPKTQGQSSGEWISNALDNGISSMVKRLEVPWDDLELSRVRSLRTGLSRHIGSCEICMLVGLNLSAWKPNQTEYDVCHANGRMNQISQLAMAESQSTLQSKSKSSECSQLSALIQSQIAQVWADREEYTTAEFHWTQGTASVIMFNNTSKKKLHKKKNAHPSPPPNLKPSTTSSISPSFCISDGLGTDMDKSLGNSKSSSIPACASTALSPWKGPVKITSTRLDIEKKHINKMYDGLSSYYTLVGNSCLTVYKKKWTKLWKGSRKLLMGQKIPIARGSGITDAHNEPAKREKDPHSGKESTFLNSWRMSRKCVPTPTNATPPVSFQPDEQSTSPTSPISSSYNNLSGGGNRNKTIHVTSVAFSHLQEDPISLSRDADRQTIHHPIELTVSIITMTLYHQSATSDDLFITSCSSYQQSFRETSVHNTCNSRRIEVVDTQSNRQFITSAEPNASPNFELADTQSNRQFITSAEPNASLSFELAEPMEQDRIHHMHLDEGVEVTVEAPQVAAAMPAGAMRDGAGAEYIESESPCVSGQQQDFELSVSPHVCCTLINGM
+>sp|Q5VTM2|AGAP9_HUMAN Arf-GAP with GTPase, ANK repeat and PH domain-containing protein 9 OS=Homo sapiens OX=9606 GN=AGAP9 PE=3 SV=2
+MFEDVFSDSGNTGNFDRGKKRRLTIIECGCDINMMIDLAKVADLVLMLIDASFGFEMEMFEFLNICQAHGFPKILGVLTHLDSFKHNKQLKKTKKRLKHRFWTEVYQDKVGLTHELVQSLISTYSTIDAKMASSRVTLLSNSKPLGSEAIDNQGVSLEFDQQQGSVCPSESEIYEAGAEDRMAGAPMAAAVQPAEVTVEVGEDLHMHQVRDREMPEVVEIRRSNCTNHCDLGDTSSYHTKVSTVHIMKKRNGGGSLNNYSSSIPPTPSTSQEDPQFSVPPTANTPTPVCKRSMRWSNLFTSEKGSDPDKERKAPENHADTIGSGRAIPIKQGMLLKRSGKWLKTWKKKYVTLCSNGVLTYYSSLGDYMKNIHKKEIDLRTSTIKVPGKWPSLATSACAPISSSKSNGLSKDMDTGLGDSICFSPGISSTTSPKLNPPPSPHANKKKHLKKKSTNNFMIVSATGQTWHFEATTYEERDAWVQAIQSQILASLQSCKSSKSKSQLTSQSEAMALQSIQNMRGNAHCVDCETQNPKWASLNLGVLMCIECSGIHRSFGTRLSRVRSLELDDWPVELRKVMSSIGNELANSIWEGSSQGQTKPSIKSTREEKEWWIRSKYEEKLFLAPLPCTELSLGQQLLRATTDEDLQTAILLLAHGSREEVNETCGEGDGCTALHLACRKGNVVLEQLLTGWTSWPEMPTGTQR
+>DECOY_sp|Q5VTM2|AGAP9_HUMAN Arf-GAP with GTPase, ANK repeat and PH domain-containing protein 9 OS=Homo sapiens OX=9606 GN=AGAP9 PE=3 SV=2
+RQTGTPMEPWSTWGTLLQELVVNGKRCALHLATCGDGEGCTENVEERSGHALLLIATQLDEDTTARLLQQGLSLETCPLPALFLKEEYKSRIWWEKEERTSKISPKTQGQSSGEWISNALENGISSMVKRLEVPWDDLELSRVRSLRTGFSRHIGSCEICMLVGLNLSAWKPNQTECDVCHANGRMNQISQLAMAESQSTLQSKSKSSKCSQLSALIQSQIAQVWADREEYTTAEFHWTQGTASVIMFNNTSKKKLHKKKNAHPSPPPNLKPSTTSSIGPSFCISDGLGTDMDKSLGNSKSSSIPACASTALSPWKGPVKITSTRLDIEKKHINKMYDGLSSYYTLVGNSCLTVYKKKWTKLWKGSRKLLMGQKIPIARGSGITDAHNEPAKREKDPDSGKESTFLNSWRMSRKCVPTPTNATPPVSFQPDEQSTSPTPPISSSYNNLSGGGNRKKMIHVTSVKTHYSSTDGLDCHNTCNSRRIEVVEPMERDRVQHMHLDEGVEVTVEAPQVAAAMPAGAMRDEAGAEYIESESPCVSGQQQDFELSVGQNDIAESGLPKSNSLLTVRSSAMKADITSYTSILSQVLEHTLGVKDQYVETWFRHKLRKKTKKLQKNHKFSDLHTLVGLIKPFGHAQCINLFEFMEMEFGFSADILMLVLDAVKALDIMMNIDCGCEIITLRRKKGRDFNGTNGSDSFVDEFM
+>sp|P52594|AGFG1_HUMAN Arf-GAP domain and FG repeat-containing protein 1 OS=Homo sapiens OX=9606 GN=AGFG1 PE=1 SV=2
+MAASAKRKQEEKHLKMLRDMTGLPHNRKCFDCDQRGPTYVNMTVGSFVCTSCSGSLRGLNPPHRVKSISMTTFTQQEIEFLQKHGNEVCKQIWLGLFDDRSSAIPDFRDPQKVKEFLQEKYEKKRWYVPPEQAKVVASVHASISGSSASSTSSTPEVKPLKSLLGDSAPTLHLNKGTPSQSPVVGRSQGQQQEKKQFDLLSDLGSDIFAAPAPQSTATANFANFAHFNSHAAQNSANADFANFDAFGQSSGSSNFGGFPTASHSPFQPQTTGGSAASVNANFAHFDNFPKSSSADFGTFNTSQSHQTASAVSKVSTNKAGLQTADKYAALANLDNIFSAGQGGDQGSGFGTTGKAPVGSVVSVPSQSSASSDKYAALAELDSVFSSAATSSNAYTSTSNASSNVFGTVPVVASAQTQPASSSVPAPFGATPSTNPFVAAAGPSVASSTNPFQTNARGATAATFGTASMSMPTGFGTPAPYSLPTSFSGSFQQPAFPAQAAFPQQTAFSQQPNGAGFAAFGQTKPVVTPFGQVAAAGVSSNPFMTGAPTGQFPTGSSSTNPFL
+>DECOY_sp|P52594|AGFG1_HUMAN Arf-GAP domain and FG repeat-containing protein 1 OS=Homo sapiens OX=9606 GN=AGFG1 PE=1 SV=2
+LFPNTSSSGTPFQGTPAGTMFPNSSVGAAAVQGFPTVVPKTQGFAAFGAGNPQQSFATQQPFAAQAPFAPQQFSGSFSTPLSYPAPTGFGTPMSMSATGFTAATAGRANTQFPNTSSAVSPGAAAVFPNTSPTAGFPAPVSSSAPQTQASAVVPVTGFVNSSANSTSTYANSSTAASSFVSDLEALAAYKDSSASSQSPVSVVSGVPAKGTTGFGSGQDGGQGASFINDLNALAAYKDATQLGAKNTSVKSVASATQHSQSTNFTGFDASSSKPFNDFHAFNANVSAASGGTTQPQFPSHSATPFGGFNSSGSSQGFADFNAFDANASNQAAHSNFHAFNAFNATATSQPAPAAFIDSGLDSLLDFQKKEQQQGQSRGVVPSQSPTGKNLHLTPASDGLLSKLPKVEPTSSTSSASSGSISAHVSAVVKAQEPPVYWRKKEYKEQLFEKVKQPDRFDPIASSRDDFLGLWIQKCVENGHKQLFEIEQQTFTTMSISKVRHPPNLGRLSGSCSTCVFSGVTMNVYTPGRQDCDFCKRNHPLGTMDRLMKLHKEEQKRKASAAM
+>sp|O95394|AGM1_HUMAN Phosphoacetylglucosamine mutase OS=Homo sapiens OX=9606 GN=PGM3 PE=1 SV=1
+MDLGAITKYSALHAKPNGLILQYGTAGFRTKAEHLDHVMFRMGLLAVLRSKQTKSTIGVMVTASHNPEEDNGVKLVDPLGEMLAPSWEEHATCLANAEEQDMQRVLIDISEKEAVNLQQDAFVVIGRDTRPSSEKLSQSVIDGVTVLGGQFHDYGLLTTPQLHYMVYCRNTGGRYGKATIEGYYQKLSKAFVELTKQASCSGDEYRSLKVDCANGIGALKLREMEHYFSQGLSVQLFNDGSKGKLNHLCGADFVKSHQKPPQGMEIKSNERCCSFDGDADRIVYYYHDADGHFHLIDGDKIATLISSFLKELLVEIGESLNIGVVQTAYANGSSTRYLEEVMKVPVYCTKTGVKHLHHKAQEFDIGVYFEANGHGTALFSTAVEMKIKQSAEQLEDKKRKAAKMLENIIDLFNQAAGDAISDMLVIEAILALKGLTVQQWDALYTDLPNRQLKVQVADRRVISTTDAERQAVTPPGLQEAINDLVKKYKLSRAFVRPSGTEDVVRVYAEADSQESADHLAHEVSLAVFQLAGGIGERPQPGF
+>DECOY_sp|O95394|AGM1_HUMAN Phosphoacetylglucosamine mutase OS=Homo sapiens OX=9606 GN=PGM3 PE=1 SV=1
+FGPQPREGIGGALQFVALSVEHALHDASEQSDAEAYVRVVDETGSPRVFARSLKYKKVLDNIAEQLGPPTVAQREADTTSIVRRDAVQVKLQRNPLDTYLADWQQVTLGKLALIAEIVLMDSIADGAAQNFLDIINELMKAAKRKKDELQEASQKIKMEVATSFLATGHGNAEFYVGIDFEQAKHHLHKVGTKTCYVPVKMVEELYRTSSGNAYATQVVGINLSEGIEVLLEKLFSSILTAIKDGDILHFHGDADHYYYVIRDADGDFSCCRENSKIEMGQPPKQHSKVFDAGCLHNLKGKSGDNFLQVSLGQSFYHEMERLKLAGIGNACDVKLSRYEDGSCSAQKTLEVFAKSLKQYYGEITAKGYRGGTNRCYVMYHLQPTTLLGYDHFQGGLVTVGDIVSQSLKESSPRTDRGIVVFADQQLNVAEKESIDILVRQMDQEEANALCTAHEEWSPALMEGLPDVLKVGNDEEPNHSATVMVGITSKTQKSRLVALLGMRFMVHDLHEAKTRFGATGYQLILGNPKAHLASYKTIAGLDM
+>sp|Q86SQ6|AGRA1_HUMAN Adhesion G protein-coupled receptor A1 OS=Homo sapiens OX=9606 GN=ADGRA1 PE=2 SV=3
+MDLKTVLSLPRYPGEFLHPVVYACTAVMLLCLLASFVTYIVHQSAIRISRKGRHTLLNFCFHAALTFTVFAGGINRTKYPILCQAVGIVLHYSTLSTMLWIGVTARNIYKQVTKKAPLCLDTDQPPYPRQPLLRFYLVSGGVPFIICGVTAATNIRNYGTEDEDTAYCWMAWEPSLGAFYGPAAIITLVTCVYFLGTYVQLRRHPGRRYELRTQPEEQRRLATPEGGRGIRPGTPPAHDAPGASVLQNEHSFQAQLRAAAFTLFLFTATWAFGALAVSQGHFLDMVFSCLYGAFCVTLGLFVLIHHCAKREDVWQCWWACCPPRKDAHPALDANGAALGRAACLHSPGLGQPRGFAHPPGPCKMTNLQAAQGHASCLSPATPCCAKMHCEPLTADEAHVHLQEEGAFGHDPHLHGCLQGRTKPPYFSRHPAEEPEYAYHIPSSLDGSPRSSRTDSPPSSLDGPAGTHTLACCTQGDPFPMVTQPEGSDGSPALYSCPTQPGREAALGPGHLEMLRRTQSLPFGGPSQNGLPKGKLLEGLPFGTDGTGNIRTGPWKNETTV
+>DECOY_sp|Q86SQ6|AGRA1_HUMAN Adhesion G protein-coupled receptor A1 OS=Homo sapiens OX=9606 GN=ADGRA1 PE=2 SV=3
+VTTENKWPGTRINGTGDTGFPLGELLKGKPLGNQSPGGFPLSQTRRLMELHGPGLAAERGPQTPCSYLAPSGDSGEPQTVMPFPDGQTCCALTHTGAPGDLSSPPSDTRSSRPSGDLSSPIHYAYEPEEAPHRSFYPPKTRGQLCGHLHPDHGFAGEEQLHVHAEDATLPECHMKACCPTAPSLCSAHGQAAQLNTMKCPGPPHAFGRPQGLGPSHLCAARGLAAGNADLAPHADKRPPCCAWWCQWVDERKACHHILVFLGLTVCFAGYLCSFVMDLFHGQSVALAGFAWTATFLFLTFAAARLQAQFSHENQLVSAGPADHAPPTGPRIGRGGEPTALRRQEEPQTRLEYRRGPHRRLQVYTGLFYVCTVLTIIAAPGYFAGLSPEWAMWCYATDEDETGYNRINTAATVGCIIFPVGGSVLYFRLLPQRPYPPQDTDLCLPAKKTVQKYINRATVGIWLMTSLTSYHLVIGVAQCLIPYKTRNIGGAFVTFTLAAHFCFNLLTHRGKRSIRIASQHVIYTVFSALLCLLMVATCAYVVPHLFEGPYRPLSLVTKLDM
+>sp|Q96PE1|AGRA2_HUMAN Adhesion G protein-coupled receptor A2 OS=Homo sapiens OX=9606 GN=ADGRA2 PE=1 SV=2
+MGAGGRRMRGAPARLLLPLLPWLLLLLAPEARGAPGCPLSIRSCKCSGERPKGLSGGVPGPARRRVVCSGGDLPEPPEPGLLPNGTVTLLLSNNKITGLRNGSFLGLSLLEKLDLRNNIISTVQPGAFLGLGELKRLDLSNNRIGCLTSETFQGLPRLLRLNISGNIFSSLQPGVFDELPALKVVDLGTEFLTCDCHLRWLLPWAQNRSLQLSEHTLCAYPSALHAQALGSLQEAQLCCEGALELHTHHLIPSLRQVVFQGDRLPFQCSASYLGNDTRIRWYHNRAPVEGDEQAGILLAESLIHDCTFITSELTLSHIGVWASGEWECTVSMAQGNASKKVEIVVLETSASYCPAERVANNRGDFRWPRTLAGITAYQSCLQYPFTSVPLGGGAPGTRASRRCDRAGRWEPGDYSHCLYTNDITRVLYTFVLMPINASNALTLAHQLRVYTAEAASFSDMMDVVYVAQMIQKFLGYVDQIKELVEVMVDMASNLMLVDEHLLWLAQREDKACSRIVGALERIGGAALSPHAQHISVNARNVALEAYLIKPHSYVGLTCTAFQRREGGVPGTRPGSPGQNPPPEPEPPADQQLRFRCTTGRPNVSLSSFHIKNSVALASIQLPPSLFSSLPAALAPPVPPDCTLQLLVFRNGRLFHSHSNTSRPGAAGPGKRRGVATPVIFAGTSGCGVGNLTEPVAVSLRHWAEGAEPVAAWWSQEGPGEAGGWTSEGCQLRSSQPNVSALHCQHLGNVAVLMELSAFPREVGGAGAGLHPVVYPCTALLLLCLFATIITYILNHSSIRVSRKGWHMLLNLCFHIAMTSAVFAGGITLTNYQMVCQAVGITLHYSSLSTLLWMGVKARVLHKELTWRAPPPQEGDPALPTPSPMLRFYLIAGGIPLIICGITAAVNIHNYRDHSPYCWLVWRPSLGAFYIPVALILLITWIYFLCAGLRLRGPLAQNPKAGNSRASLEAGEELRGSTRLRGSGPLLSDSGSLLATGSARVGTPGPPEDGDSLYSPGVQLGALVTTHFLYLAMWACGALAVSQRWLPRVVCSCLYGVAASALGLFVFTHHCARRRDVRASWRACCPPASPAAPHAPPRALPAAAEDGSPVFGEGPPSLKSSPSGSSGHPLALGPCKLTNLQLAQSQVCEAGAAAGGEGEPEPAGTRGNLAHRHPNNVHHGRRAHKSRAKGHRAGEACGKNRLKALRGGAAGALELLSSESGSLHNSPTDSYLGSSRNSPGAGLQLEGEPMLTPSEGSDTSAAPLSEAGRAGQRRSASRDSLKGGGALEKESHRRSYPLNAASLNGAPKGGKYDDVTLMGAEVASGGCMKTGLWKSETTV
+>DECOY_sp|Q96PE1|AGRA2_HUMAN Adhesion G protein-coupled receptor A2 OS=Homo sapiens OX=9606 GN=ADGRA2 PE=1 SV=2
+VTTESKWLGTKMCGGSAVEAGMLTVDDYKGGKPAGNLSAANLPYSRRHSEKELAGGGKLSDRSASRRQGARGAESLPAASTDSGESPTLMPEGELQLGAGPSNRSSGLYSDTPSNHLSGSESSLLELAGAAGGRLAKLRNKGCAEGARHGKARSKHARRGHHVNNPHRHALNGRTGAPEPEGEGGAAAGAECVQSQALQLNTLKCPGLALPHGSSGSPSSKLSPPGEGFVPSGDEAAAPLARPPAHPAAPSAPPCCARWSARVDRRRACHHTFVFLGLASAAVGYLCSCVVRPLWRQSVALAGCAWMALYLFHTTVLAGLQVGPSYLSDGDEPPGPTGVRASGTALLSGSDSLLPGSGRLRTSGRLEEGAELSARSNGAKPNQALPGRLRLGACLFYIWTILLILAVPIYFAGLSPRWVLWCYPSHDRYNHINVAATIGCIILPIGGAILYFRLMPSPTPLAPDGEQPPPARWTLEKHLVRAKVGMWLLTSLSSYHLTIGVAQCVMQYNTLTIGGAFVASTMAIHFCLNLLMHWGKRSVRISSHNLIYTIITAFLCLLLLATCPYVVPHLGAGAGGVERPFASLEMLVAVNGLHQCHLASVNPQSSRLQCGESTWGGAEGPGEQSWWAAVPEAGEAWHRLSVAVPETLNGVGCGSTGAFIVPTAVGRRKGPGAAGPRSTNSHSHFLRGNRFVLLQLTCDPPVPPALAAPLSSFLSPPLQISALAVSNKIHFSSLSVNPRGTTCRFRLQQDAPPEPEPPPNQGPSGPRTGPVGGERRQFATCTLGVYSHPKILYAELAVNRANVSIHQAHPSLAAGGIRELAGVIRSCAKDERQALWLLHEDVLMLNSAMDVMVEVLEKIQDVYGLFKQIMQAVYVVDMMDSFSAAEATYVRLQHALTLANSANIPMLVFTYLVRTIDNTYLCHSYDGPEWRGARDCRRSARTGPAGGGLPVSTFPYQLCSQYATIGALTRPWRFDGRNNAVREAPCYSASTELVVIEVKKSANGQAMSVTCEWEGSAWVGIHSLTLESTIFTCDHILSEALLIGAQEDGEVPARNHYWRIRTDNGLYSASCQFPLRDGQFVVQRLSPILHHTHLELAGECCLQAEQLSGLAQAHLASPYACLTHESLQLSRNQAWPLLWRLHCDCTLFETGLDVVKLAPLEDFVGPQLSSFINGSINLRLLRPLGQFTESTLCGIRNNSLDLRKLEGLGLFAGPQVTSIINNRLDLKELLSLGLFSGNRLGTIKNNSLLLTVTGNPLLGPEPPEPLDGGSCVVRRRAPGPVGGSLGKPREGSCKCSRISLPCGPAGRAEPALLLLLWPLLPLLLRAPAGRMRRGGAGM
+>sp|O60242|AGRB3_HUMAN Adhesion G protein-coupled receptor B3 OS=Homo sapiens OX=9606 GN=ADGRB3 PE=1 SV=2
+MKAVRNLLIYIFSTYLLVMFGFNAAQDFWCSTLVKGVIYGSYSVSEMFPKNFTNCTWTLENPDPTKYSIYLKFSKKDLSCSNFSLLAYQFDHFSHEKIKDLLRKNHSIMQLCNSKNAFVFLQYDKNFIQIRRVFPTNFPGLQKKGEEDQKSFFEFLVLNKVSPSQFGCHVLCTWLESCLKSENGRTESCGIMYTKCTCPQHLGEWGIDDQSLILLNNVVLPLNEQTEGCLTQELQTTQVCNLTREAKRPPKEEFGMMGDHTIKSQRPRSVHEKRVPQEQADAAKFMAQTGESGVEEWSQWSTCSVTCGQGSQVRTRTCVSPYGTHCSGPLRESRVCNNTALCPVHGVWEEWSPWSLCSFTCGRGQRTRTRSCTPPQYGGRPCEGPETHHKPCNIALCPVDGQWQEWSSWSQCSVTCSNGTQQRSRQCTAAAHGGSECRGPWAESRECYNPECTANGQWNQWGHWSGCSKSCDGGWERRIRTCQGAVITGQQCEGTGEEVRRCNEQRCPAPYEICPEDYLMSMVWKRTPAGDLAFNQCPLNATGTTSRRCSLSLHGVAFWEQPSFARCISNEYRHLQHSIKEHLAKGQRMLAGDGMSQVTKTLLDLTQRKNFYAGDLLMSVEILRNVTDTFKRASYIPASDGVQNFFQIVSNLLDEENKEKWEDAQQIYPGSIELMQVIEDFIHIVGMGMMDFQNSYLMTGNVVASIQKLPAASVLTDINFPMKGRKGMVDWARNSEDRVVIPKSIFTPVSSKELDESSVFVLGAVLYKNLDLILPTLRNYTVINSKIIVVTIRPEPKTTDSFLEIELAHLANGTLNPYCVLWDDSKTNESLGTWSTQGCKTVLTDASHTKCLCDRLSTFAILAQQPREIIMESSGTPSVTLIVGSGLSCLALITLAVVYAALWRYIRSERSIILINFCLSIISSNILILVGQTQTHNKSICTTTTAFLHFFFLASFCWVLTEAWQSYMAVTGKIRTRLIRKRFLCLGWGLPALVVATSVGFTRTKGYGTDHYCWLSLEGGLLYAFVGPAAAVVLVNMVIGILVFNKLVSRDGILDKKLKHRAGQMSEPHSGLTLKCAKCGVVSTTALSATTASNAMASLWSSCVVLPLLALTWMSAVLAMTDKRSILFQILFAVFDSLQGFVIVMVHCILRREVQDAFRCRLRNCQDPINADSSSSFPNGHAQIMTDFEKDVDIACRSVLHKDIGPCRAATITGTLSRISLNDDEEEKGTNPEGLSYSTLPGNVISKVIIQQPTGLHMPMSMNELSNPCLKKENSELRRTVYLCTDDNLRGADMDIVHPQERMMESDYIVMPRSSVNNQPSMKEESKMNIGMETLPHERLLHYKVNPEFNMNPPVMDQFNMNLEQHLAPQEHMQNLPFEPRTAVKNFMASELDDNAGLSRSETGSTISMSSLERRKSRYSDLDFEKVMHTRKRHMELFQELNQKFQTLDRFRDIPNTSSMENPAPNKNPWDTFKNPSEYPHYTTINVLDTEAKDALELRPAEWEKCLNLPLDVQEGDFQTEV
+>DECOY_sp|O60242|AGRB3_HUMAN Adhesion G protein-coupled receptor B3 OS=Homo sapiens OX=9606 GN=ADGRB3 PE=1 SV=2
+VETQFDGEQVDLPLNLCKEWEAPRLELADKAETDLVNITTYHPYESPNKFTDWPNKNPAPNEMSSTNPIDRFRDLTQFKQNLEQFLEMHRKRTHMVKEFDLDSYRSKRRELSSMSITSGTESRSLGANDDLESAMFNKVATRPEFPLNQMHEQPALHQELNMNFQDMVPPNMNFEPNVKYHLLREHPLTEMGINMKSEEKMSPQNNVSSRPMVIYDSEMMREQPHVIDMDAGRLNDDTCLYVTRRLESNEKKLCPNSLENMSMPMHLGTPQQIIVKSIVNGPLTSYSLGEPNTGKEEEDDNLSIRSLTGTITAARCPGIDKHLVSRCAIDVDKEFDTMIQAHGNPFSSSSDANIPDQCNRLRCRFADQVERRLICHVMVIVFGQLSDFVAFLIQFLISRKDTMALVASMWTLALLPLVVCSSWLSAMANSATTASLATTSVVGCKACKLTLGSHPESMQGARHKLKKDLIGDRSVLKNFVLIGIVMNVLVVAAAPGVFAYLLGGELSLWCYHDTGYGKTRTFGVSTAVVLAPLGWGLCLFRKRILRTRIKGTVAMYSQWAETLVWCFSALFFFHLFATTTTCISKNHTQTQGVLILINSSIISLCFNILIISRESRIYRWLAAYVVALTILALCSLGSGVILTVSPTGSSEMIIERPQQALIAFTSLRDCLCKTHSADTLVTKCGQTSWTGLSENTKSDDWLVCYPNLTGNALHALEIELFSDTTKPEPRITVVIIKSNIVTYNRLTPLILDLNKYLVAGLVFVSSEDLEKSSVPTFISKPIVVRDESNRAWDVMGKRGKMPFNIDTLVSAAPLKQISAVVNGTMLYSNQFDMMGMGVIHIFDEIVQMLEISGPYIQQADEWKEKNEEDLLNSVIQFFNQVGDSAPIYSARKFTDTVNRLIEVSMLLDGAYFNKRQTLDLLTKTVQSMGDGALMRQGKALHEKISHQLHRYENSICRAFSPQEWFAVGHLSLSCRRSTTGTANLPCQNFALDGAPTRKWVMSMLYDEPCIEYPAPCRQENCRRVEEGTGECQQGTIVAGQCTRIRREWGGDCSKSCGSWHGWQNWQGNATCEPNYCERSEAWPGRCESGGHAAATCQRSRQQTGNSCTVSCQSWSSWEQWQGDVPCLAINCPKHHTEPGECPRGGYQPPTCSRTRTRQGRGCTFSCLSWPSWEEWVGHVPCLATNNCVRSERLPGSCHTGYPSVCTRTRVQSGQGCTVSCTSWQSWEEVGSEGTQAMFKAADAQEQPVRKEHVSRPRQSKITHDGMMGFEEKPPRKAERTLNCVQTTQLEQTLCGETQENLPLVVNNLLILSQDDIGWEGLHQPCTCKTYMIGCSETRGNESKLCSELWTCLVHCGFQSPSVKNLVLFEFFSKQDEEGKKQLGPFNTPFVRRIQIFNKDYQLFVFANKSNCLQMISHNKRLLDKIKEHSFHDFQYALLSFNSCSLDKKSFKLYISYKTPDPNELTWTCNTFNKPFMESVSYSGYIVGKVLTSCWFDQAANFGFMVLLYTSFIYILLNRVAKM
+>sp|P35869|AHR_HUMAN Aryl hydrocarbon receptor OS=Homo sapiens OX=9606 GN=AHR PE=1 SV=2
+MNSSSANITYASRKRRKPVQKTVKPIPAEGIKSNPSKRHRDRLNTELDRLASLLPFPQDVINKLDKLSVLRLSVSYLRAKSFFDVALKSSPTERNGGQDNCRAANFREGLNLQEGEFLLQALNGFVLVVTTDALVFYASSTIQDYLGFQQSDVIHQSVYELIHTEDRAEFQRQLHWALNPSQCTESGQGIEEATGLPQTVVCYNPDQIPPENSPLMERCFICRLRCLLDNSSGFLAMNFQGKLKYLHGQKKKGKDGSILPPQLALFAIATPLQPPSILEIRTKNFIFRTKHKLDFTPIGCDAKGRIVLGYTEAELCTRGSGYQFIHAADMLYCAESHIRMIKTGESGMIVFRLLTKNNRWTWVQSNARLLYKNGRPDYIIVTQRPLTDEEGTEHLRKRNTKLPFMFTTGEAVLYEATNPFPAIMDPLPLRTKNGTSGKDSATTSTLSKDSLNPSSLLAAMMQQDESIYLYPASSTSSTAPFENNFFNESMNECRNWQDNTAPMGNDTILKHEQIDQPQDVNSFAGGHPGLFQDSKNSDLYSIMKNLGIDFEDIRHMQNEKFFRNDFSGEVDFRDIDLTDEILTYVQDSLSKSPFIPSDYQQQQSLALNSSCMVQEHLHLEQQQQHHQKQVVVEPQQQLCQKMKHMQVNGMFENWNSNQFVPFNCPQQDPQQYNVFTDLHGISQEFPYKSEMDSMPYTQNFISCNQPVLPQHSKCTELDYPMGSFEPSPYPTTSSLEDFVTCLQLPENQKHGLNPQSAIITPQTCYAGAVSMYQCQPEPQHTHVGQMQYNPVLPGQQAFLNKFQNGVLNETYPAELNNINNTQTTTHLQPLHHPSEARPFPDLTSSGFL
+>DECOY_sp|P35869|AHR_HUMAN Aryl hydrocarbon receptor OS=Homo sapiens OX=9606 GN=AHR PE=1 SV=2
+LFGSSTLDPFPRAESPHHLPQLHTTTQTNNINNLEAPYTENLVGNQFKNLFAQQGPLVPNYQMQGVHTHQPEPQCQYMSVAGAYCTQPTIIASQPNLGHKQNEPLQLCTVFDELSSTTPYPSPEFSGMPYDLETCKSHQPLVPQNCSIFNQTYPMSDMESKYPFEQSIGHLDTFVNYQQPDQQPCNFPVFQNSNWNEFMGNVQMHKMKQCLQQQPEVVVQKQHHQQQQELHLHEQVMCSSNLALSQQQQYDSPIFPSKSLSDQVYTLIEDTLDIDRFDVEGSFDNRFFKENQMHRIDEFDIGLNKMISYLDSNKSDQFLGPHGGAFSNVDQPQDIQEHKLITDNGMPATNDQWNRCENMSENFFNNEFPATSSTSSAPYLYISEDQQMMAALLSSPNLSDKSLTSTTASDKGSTGNKTRLPLPDMIAPFPNTAEYLVAEGTTFMFPLKTNRKRLHETGEEDTLPRQTVIIYDPRGNKYLLRANSQVWTWRNNKTLLRFVIMGSEGTKIMRIHSEACYLMDAAHIFQYGSGRTCLEAETYGLVIRGKADCGIPTFDLKHKTRFIFNKTRIELISPPQLPTAIAFLALQPPLISGDKGKKKQGHLYKLKGQFNMALFGSSNDLLCRLRCIFCREMLPSNEPPIQDPNYCVVTQPLGTAEEIGQGSETCQSPNLAWHLQRQFEARDETHILEYVSQHIVDSQQFGLYDQITSSAYFVLADTTVVLVFGNLAQLLFEGEQLNLGERFNAARCNDQGGNRETPSSKLAVDFFSKARLYSVSLRLVSLKDLKNIVDQPFPLLSALRDLETNLRDRHRKSPNSKIGEAPIPKVTKQVPKRRKRSAYTINASSSNM
+>sp|Q96BJ3|AIDA_HUMAN Axin interactor, dorsalization-associated protein OS=Homo sapiens OX=9606 GN=AIDA PE=1 SV=1
+MSEVTRSLLQRWGASFRRGADFDSWGQLVEAIDEYQILARHLQKEAQAQHNNSEFTEEQKKTIGKIATCLELRSAALQSTQSQEEFKLEDLKKLEPILKNILTYNKEFPFDVQPVPLRRILAPGEEENLEFEEDEEEGGAGAGSPDSFPARVPGTLLPRLPSEPGMTLLTIRIEKIGLKDAGQCIDPYITVSVKDLNGIDLTPVQDTPVASRKEDTYVHFNVDIELQKHVEKLTKGAAIFFEFKHYKPKKRFTSTKCFAFMEMDEIKPGPIVIELYKKPTDFKRKKLQLLTKKPLYLHLHQTLHKE
+>DECOY_sp|Q96BJ3|AIDA_HUMAN Axin interactor, dorsalization-associated protein OS=Homo sapiens OX=9606 GN=AIDA PE=1 SV=1
+EKHLTQHLHLYLPKKTLLQLKKRKFDTPKKYLEIVIPGPKIEDMEMFAFCKTSTFRKKPKYHKFEFFIAAGKTLKEVHKQLEIDVNFHVYTDEKRSAVPTDQVPTLDIGNLDKVSVTIYPDICQGADKLGIKEIRITLLTMGPESPLRPLLTGPVRAPFSDPSGAGAGGEEEDEEFELNEEEGPALIRRLPVPQVDFPFEKNYTLINKLIPELKKLDELKFEEQSQTSQLAASRLELCTAIKGITKKQEETFESNNHQAQAEKQLHRALIQYEDIAEVLQGWSDFDAGRRFSAGWRQLLSRTVESM
+>sp|Q9BQI0|AIF1L_HUMAN Allograft inflammatory factor 1-like OS=Homo sapiens OX=9606 GN=AIF1L PE=1 SV=1
+MSGELSNRFQGGKAFGLLKARQERRLAEINREFLCDQKYSDEENLPEKLTAFKEKYMEFDLNNEGEIDLMSLKRMMEKLGVPKTHLEMKKMISEVTGGVSDTISYRDFVNMMLGKRSAVLKLVMMFEGKANESSPKPVGPPPERDIASLP
+>DECOY_sp|Q9BQI0|AIF1L_HUMAN Allograft inflammatory factor 1-like OS=Homo sapiens OX=9606 GN=AIF1L PE=1 SV=1
+PLSAIDREPPPGVPKPSSENAKGEFMMVLKLVASRKGLMMNVFDRYSITDSVGGTVESIMKKMELHTKPVGLKEMMRKLSMLDIEGENNLDFEMYKEKFATLKEPLNEEDSYKQDCLFERNIEALRREQRAKLLGFAKGGQFRNSLEGSM
+>sp|Q96NN9|AIFM3_HUMAN Apoptosis-inducing factor 3 OS=Homo sapiens OX=9606 GN=AIFM3 PE=1 SV=1
+MGGCFSKPKPVELKIEVVLPEKERGKEELSASGKGSPRAYQGNGTARHFHTEERLSTPHPYPSPQDCVEAAVCHVKDLENGQMREVELGWGKVLLVKDNGEFHALGHKCPHYGAPLVKGVLSRGRVRCPWHGACFNISTGDLEDFPGLDSLHKFQVKIEKEKVYVRASKQALQLQRRTKVMAKCISPSAGYSSSTNVLIVGAGAAGLVCAETLRQEGFSDRIVLCTLDRHLPYDRPKLSKSLDTQPEQLALRPKEFFRAYGIEVLTEAQVVTVDVRTKKVVFKDGFKLEYSKLLLAPGSSPKTLSCKGKEVENVFTIRTPEDANRVVRLARGRNVVVVGAGFLGMEVAAYLTEKAHSVSVVELEETPFRRFLGERVGRALMKMFENNRVKFYMQTEVSELRGQEGKLKEVVLKSSKVVRADVCVVGIGAVPATGFLRQSGIGLDSRGFIPVNKMMQTNVPGVFAAGDAVTFPLAWRNNRKVNIPHWQMAHAQGRVAAQNMLAQEAEMSTVPYLWTAMFGKSLRYAGYGEGFDDVIIQGDLEELKFVAFYTKGDEVIAVASMNYDPIVSKVAEVLASGRAIRKREVELFVLHSKTGDMSWLTGKGS
+>DECOY_sp|Q96NN9|AIFM3_HUMAN Apoptosis-inducing factor 3 OS=Homo sapiens OX=9606 GN=AIFM3 PE=1 SV=1
+SGKGTLWSMDGTKSHLVFLEVERKRIARGSALVEAVKSVIPDYNMSAVAIVEDGKTYFAVFKLEELDGQIIVDDFGEGYGAYRLSKGFMATWLYPVTSMEAEQALMNQAAVRGQAHAMQWHPINVKRNNRWALPFTVADGAAFVGPVNTQMMKNVPIFGRSDLGIGSQRLFGTAPVAGIGVVCVDARVVKSSKLVVEKLKGEQGRLESVETQMYFKVRNNEFMKMLARGVREGLFRRFPTEELEVVSVSHAKETLYAAVEMGLFGAGVVVVNRGRALRVVRNADEPTRITFVNEVEKGKCSLTKPSSGPALLLKSYELKFGDKFVVKKTRVDVTVVQAETLVEIGYARFFEKPRLALQEPQTDLSKSLKPRDYPLHRDLTCLVIRDSFGEQRLTEACVLGAAGAGVILVNTSSSYGASPSICKAMVKTRRQLQLAQKSARVYVKEKEIKVQFKHLSDLGPFDELDGTSINFCAGHWPCRVRGRSLVGKVLPAGYHPCKHGLAHFEGNDKVLLVKGWGLEVERMQGNELDKVHCVAAEVCDQPSPYPHPTSLREETHFHRATGNGQYARPSGKGSASLEEKGREKEPLVVEIKLEVPKPKSFCGGM
+>sp|Q5T2L2|AKCL1_HUMAN Putative aldo-keto reductase family 1 member C8 OS=Homo sapiens OX=9606 GN=AKR1C8P PE=5 SV=2
+MMTDLKQSHSVRLNDGPFMPVLGFGTYAPDHTPKSQAAEATKVAIDVGFRHIDSAYLYQNEEEVGQAIWEKIADGTVKREEIFYTIKLWATFFRAELVHPALERSLKKLGPDYVDLFIIHVPFAMKGSS
+>DECOY_sp|Q5T2L2|AKCL1_HUMAN Putative aldo-keto reductase family 1 member C8 OS=Homo sapiens OX=9606 GN=AKR1C8P PE=5 SV=2
+SSGKMAFPVHIIFLDVYDPGLKKLSRELAPHVLEARFFTAWLKITYFIEERKVTGDAIKEWIAQGVEEENQYLYASDIHRFGVDIAVKTAEAAQSKPTHDPAYTGFGLVPMFPGDNLRVSHSQKLDTMM
+>sp|Q495B1|AKD1A_HUMAN Ankyrin repeat and death domain-containing protein 1A OS=Homo sapiens OX=9606 GN=ANKDD1A PE=2 SV=2
+MQEELAWETDGLLPLERQLHEAARQNNVGRMQELIGRRVNTRARNHVGRVALHWAAGAGHEQAVRLLLEHEAAVDEEDAVGALTEARLCFGMNALLLSAWFGHLRILQILVNSGAKIHCESKDGLTLLHCAAQKGHVPVLAFIMEDLEDVALDHVDKLGRTAFHRAAEHGQLDALDFLVGSGCDHNVKDKEGNTALHLAAGRGHMAVLQRLVDIGLDLEEQNAEGLTALHSAAGGSHPDCVQLLLRAGSTVNALTQKNLSCLHYAALSGSEDVSRVLIHAGGCANVVDHQGASPLHLAVRHNFPALVRLLINSDSDVNAVDNRQQTPLHLAAEHAWQDIADMLLIAGVDLNLRDKQGKTALAVAVRSNHVSLVDMIIKADRFYRWEKDHPSDPSGKSLSFKQDHRQETQQLRSVLWRLASRYLQPREWKKLAYSWEFTEAHVDAIEQQWTGTRSYQEHGHRMLLIWLHGVATAGENPSKALFEGLVAIGRRDLAGWSTMARSQLTATSASRVQMILVPQPPE
+>DECOY_sp|Q495B1|AKD1A_HUMAN Ankyrin repeat and death domain-containing protein 1A OS=Homo sapiens OX=9606 GN=ANKDD1A PE=2 SV=2
+EPPQPVLIMQVRSASTATLQSRAMTSWGALDRRGIAVLGEFLAKSPNEGATAVGHLWILLMRHGHEQYSRTGTWQQEIADVHAETFEWSYALKKWERPQLYRSALRWLVSRLQQTEQRHDQKFSLSKGSPDSPHDKEWRYFRDAKIIMDVLSVHNSRVAVALATKGQKDRLNLDVGAILLMDAIDQWAHEAALHLPTQQRNDVANVDSDSNILLRVLAPFNHRVALHLPSAGQHDVVNACGGAHILVRSVDESGSLAAYHLCSLNKQTLANVTSGARLLLQVCDPHSGGAASHLATLGEANQEELDLGIDVLRQLVAMHGRGAALHLATNGEKDKVNHDCGSGVLFDLADLQGHEAARHFATRGLKDVHDLAVDELDEMIFALVPVHGKQAACHLLTLGDKSECHIKAGSNVLIQLIRLHGFWASLLLANMGFCLRAETLAGVADEEDVAAEHELLLRVAQEHGAGAAWHLAVRGVHNRARTNVRRGILEQMRGVNNQRAAEHLQRELPLLGDTEWALEEQM
+>sp|Q5T1N1|AKND1_HUMAN Protein AKNAD1 OS=Homo sapiens OX=9606 GN=AKNAD1 PE=2 SV=3
+MDEADFSEHTTYKQEDLPYDGDLSQIKIGNDYSFTSKKDGLEVLNQIIFIADDPQEKAMHSETCGNTAVTIPLGKITENAANKKDEKEKQCTAALHIPANEGDASKSSISDILLHHLSKEPFLRGQGIDCETLPEISNADSFEEEAIIKSIISCYNKNSWPKEQTPELTDQLNPKRDGENSNKPGSATTTEENTSDLEGPVAAGDSSHQENVNVLTKTKGPGDKQKSYQGQSPQKQQTEKANSGNTFKYGQGQVHYQLPDFSKIAPKVKIPKNKIINKPLAIAKQASFSSKSRDKPTLVQDSLETTPESNCVEKQHQEQKGKITEPSQQIQMEPIVHIHQELLTGIESEASLSKLSPTSQKGTSSSSSYIFQKISQGKQMCQKLKEQTDQLKTKVQEFSKRIKQDSPYHLQDKKLVLEKLQGHLELLEQNFLATKDKHLTLQQQVHKHESTIVGDFDPERKVEGEIFKLEMLLEDVKEKMDESKYTSAPSLPVSSPVTLDDLASTFSSLSNEIPKEHPGHPSGPRGSGGSEVTGTPQGGPQEAPNEELCELAPQTYLNGHYGDAAAQNKPDQVAMRLSSNSGEDPNGTPRRQDCAEMTAPSPSCAFCRRLLEWKQNVEKKGHGRINCGRFSIVLHEKAPHSDSTPNSDTGHSFCSDSGTEMQSNKCQDCGTKIPTSRRACRKEPTKEFHYRYNTPGQNYSNHSKRGAFVQPHSLDESKNSSPSFLKPKRICSQRVNSKSFKGEHEPTPGKKKLQAFMTYSSDPATPSPHFYSCRISGSKSLCDFDSTEEIKSEILNSALDHALRTATILKETTDQMIKTIAEDLAKAQRWRNRLKY
+>DECOY_sp|Q5T1N1|AKND1_HUMAN Protein AKNAD1 OS=Homo sapiens OX=9606 GN=AKNAD1 PE=2 SV=3
+YKLRNRWRQAKALDEAITKIMQDTTEKLITATRLAHDLASNLIESKIEETSDFDCLSKSGSIRCSYFHPSPTAPDSSYTMFAQLKKKGPTPEHEGKFSKSNVRQSCIRKPKLFSPSSNKSEDLSHPQVFAGRKSHNSYNQGPTNYRYHFEKTPEKRCARRSTPIKTGCDQCKNSQMETGSDSCFSHGTDSNPTSDSHPAKEHLVISFRGCNIRGHGKKEVNQKWELLRRCFACSPSPATMEACDQRRPTGNPDEGSNSSLRMAVQDPKNQAAADGYHGNLYTQPALECLEENPAEQPGGQPTGTVESGGSGRPGSPHGPHEKPIENSLSSFTSALDDLTVPSSVPLSPASTYKSEDMKEKVDELLMELKFIEGEVKREPDFDGVITSEHKHVQQQLTLHKDKTALFNQELLELHGQLKELVLKKDQLHYPSDQKIRKSFEQVKTKLQDTQEKLKQCMQKGQSIKQFIYSSSSSTGKQSTPSLKSLSAESEIGTLLEQHIHVIPEMQIQQSPETIKGKQEQHQKEVCNSEPTTELSDQVLTPKDRSKSSFSAQKAIALPKNIIKNKPIKVKPAIKSFDPLQYHVQGQGYKFTNGSNAKETQQKQPSQGQYSKQKDGPGKTKTLVNVNEQHSSDGAAVPGELDSTNEETTTASGPKNSNEGDRKPNLQDTLEPTQEKPWSNKNYCSIISKIIAEEEFSDANSIEPLTECDIGQGRLFPEKSLHHLLIDSISSKSADGENAPIHLAATCQKEKEDKKNAANETIKGLPITVATNGCTESHMAKEQPDDAIFIIQNLVELGDKKSTFSYDNGIKIQSLDGDYPLDEQKYTTHESFDAEDM
+>sp|P31749|AKT1_HUMAN RAC-alpha serine/threonine-protein kinase OS=Homo sapiens OX=9606 GN=AKT1 PE=1 SV=2
+MSDVAIVKEGWLHKRGEYIKTWRPRYFLLKNDGTFIGYKERPQDVDQREAPLNNFSVAQCQLMKTERPRPNTFIIRCLQWTTVIERTFHVETPEEREEWTTAIQTVADGLKKQEEEEMDFRSGSPSDNSGAEEMEVSLAKPKHRVTMNEFEYLKLLGKGTFGKVILVKEKATGRYYAMKILKKEVIVAKDEVAHTLTENRVLQNSRHPFLTALKYSFQTHDRLCFVMEYANGGELFFHLSRERVFSEDRARFYGAEIVSALDYLHSEKNVVYRDLKLENLMLDKDGHIKITDFGLCKEGIKDGATMKTFCGTPEYLAPEVLEDNDYGRAVDWWGLGVVMYEMMCGRLPFYNQDHEKLFELILMEEIRFPRTLGPEAKSLLSGLLKKDPKQRLGGGSEDAKEIMQHRFFAGIVWQHVYEKKLSPPFKPQVTSETDTRYFDEEFTAQMITITPPDQDDSMECVDSERRPHFPQFSYSASGTA
+>DECOY_sp|P31749|AKT1_HUMAN RAC-alpha serine/threonine-protein kinase OS=Homo sapiens OX=9606 GN=AKT1 PE=1 SV=2
+ATGSASYSFQPFHPRRESDVCEMSDDQDPPTITIMQATFEEDFYRTDTESTVQPKFPPSLKKEYVHQWVIGAFFRHQMIEKADESGGGLRQKPDKKLLGSLLSKAEPGLTRPFRIEEMLILEFLKEHDQNYFPLRGCMMEYMVVGLGWWDVARGYDNDELVEPALYEPTGCFTKMTAGDKIGEKCLGFDTIKIHGDKDLMLNELKLDRYVVNKESHLYDLASVIEAGYFRARDESFVRERSLHFFLEGGNAYEMVFCLRDHTQFSYKLATLFPHRSNQLVRNETLTHAVEDKAVIVEKKLIKMAYYRGTAKEKVLIVKGFTGKGLLKLYEFENMTVRHKPKALSVEMEEAGSNDSPSGSRFDMEEEEQKKLGDAVTQIATTWEEREEPTEVHFTREIVTTWQLCRIIFTNPRPRETKMLQCQAVSFNNLPAERQDVDQPREKYGIFTGDNKLLFYRPRWTKIYEGRKHLWGEKVIAVDSM
+>sp|Q96B36|AKTS1_HUMAN Proline-rich AKT1 substrate 1 OS=Homo sapiens OX=9606 GN=AKT1S1 PE=1 SV=1
+MASGRPEELWEAVVGAAERFRARTGTELVLLTAAPPPPPRPGPCAYAAHGRGALAEAARRCLHDIALAHRAATAARPPAPPPAPQPPSPTPSPPRPTLAREDNEEDEDEPTETETSGEQLGISDNGGLFVMDEDATLQDLPPFCESDPESTDDGSLSEETPAGPPTCSVPPASALPTQQYAKSLPVSVPVWGFKEKRTEARSSDEENGPPSSPDLDRIAASMRALVLREAEDTQVFGDLPRPRLNTSDFQKLKRKY
+>DECOY_sp|Q96B36|AKTS1_HUMAN Proline-rich AKT1 substrate 1 OS=Homo sapiens OX=9606 GN=AKT1S1 PE=1 SV=1
+YKRKLKQFDSTNLRPRPLDGFVQTDEAERLVLARMSAAIRDLDPSSPPGNEEDSSRAETRKEKFGWVPVSVPLSKAYQQTPLASAPPVSCTPPGAPTEESLSGDDTSEPDSECFPPLDQLTADEDMVFLGGNDSIGLQEGSTETETPEDEDEENDERALTPRPPSPTPSPPQPAPPPAPPRAATAARHALAIDHLCRRAAEALAGRGHAAYACPGPRPPPPPAATLLVLETGTRARFREAAGVVAEWLEEPRGSAM
+>sp|O94788|AL1A2_HUMAN Retinal dehydrogenase 2 OS=Homo sapiens OX=9606 GN=ALDH1A2 PE=1 SV=3
+MTSSKIEMPGEVKADPAALMASLHLLPSPTPNLEIKYTKIFINNEWQNSESGRVFPVYNPATGEQVCEVQEADKADIDKAVQAARLAFSLGSVWRRMDASERGRLLDKLADLVERDRAVLATMESLNGGKPFLQAFYVDLQGVIKTFRYYAGWADKIHGMTIPVDGDYFTFTRHEPIGVCGQIIPWNFPLLMFAWKIAPALCCGNTVVIKPAEQTPLSALYMGALIKEAGFPPGVINILPGYGPTAGAAIASHIGIDKIAFTGSTEVGKLIQEAAGRSNLKRVTLELGGKSPNIIFADADLDYAVEQAHQGVFFNQGQCCTAGSRIFVEESIYEEFVRRSVERAKRRVVGSPFDPTTEQGPQIDKKQYNKILELIQSGVAEGAKLECGGKGLGRKGFFIEPTVFSNVTDDMRIAKEEIFGPVQEILRFKTMDEVIERANNSDFGLVAAVFTNDINKALTVSSAMQAGTVWINCYNALNAQSPFGGFKMSGNGREMGEFGLREYSEVKTVTVKIPQKNS
+>DECOY_sp|O94788|AL1A2_HUMAN Retinal dehydrogenase 2 OS=Homo sapiens OX=9606 GN=ALDH1A2 PE=1 SV=3
+SNKQPIKVTVTKVESYERLGFEGMERGNGSMKFGGFPSQANLANYCNIWVTGAQMASSVTLAKNIDNTFVAAVLGFDSNNAREIVEDMTKFRLIEQVPGFIEEKAIRMDDTVNSFVTPEIFFGKRGLGKGGCELKAGEAVGSQILELIKNYQKKDIQPGQETTPDFPSGVVRRKAREVSRRVFEEYISEEVFIRSGATCCQGQNFFVGQHAQEVAYDLDADAFIINPSKGGLELTVRKLNSRGAAEQILKGVETSGTFAIKDIGIHSAIAAGATPGYGPLINIVGPPFGAEKILAGMYLASLPTQEAPKIVVTNGCCLAPAIKWAFMLLPFNWPIIQGCVGIPEHRTFTFYDGDVPITMGHIKDAWGAYYRFTKIVGQLDVYFAQLFPKGGNLSEMTALVARDREVLDALKDLLRGRESADMRRWVSGLSFALRAAQVAKDIDAKDAEQVECVQEGTAPNYVPFVRGSESNQWENNIFIKTYKIELNPTPSPLLHLSAMLAAPDAKVEGPMEIKSSTM
+>sp|Q3SY69|AL1L2_HUMAN Mitochondrial 10-formyltetrahydrofolate dehydrogenase OS=Homo sapiens OX=9606 GN=ALDH1L2 PE=1 SV=2
+MLRRGSQALRRFSTGRVYFKNKLKLALIGQSLFGQEVYSHLRKEGHRVVGVFTVPDKDGKADPLALAAEKDGTPVFKLPKWRVKGKTIKEVAEAYRSVGAELNVLPFCTQFIPMDIIDSPKHGSIIYHPSILPRHRGASAINWTLIMGDKKAGFSVFWADDGLDTGPILLQRSCDVEPNDTVDALYNRFLFPEGIKAMVEAVQLIADGKAPRIPQPEEGATYEGIQKKENAEISWDQSAEVLHNWIRGHDKVPGAWTEINGQMVTFYGSTLLNSSVPPGEPLEIKGAKKPGLVTKNGLVLFGNDGKALTVRNLQFEDGKMIPASQYFSTGETSVVELTAEEVKVAETIKVIWAGILSNVPIIEDSTDFFKSGASSMDVARLVEEIRQKCGGLQLQNEDVYMATKFEGFIQKVVRKLRGEDQEVELVVDYISKEVNEIMVKMPYQCFINGQFTDADDGKTYDTINPTDGSTICKVSYASLADVDKAVAAAKDAFENGEWGRMNARERGRLMYRLADLLEENQEELATIEALDSGAVYTLALKTHIGMSVQTFRYFAGWCDKIQGSTIPINQARPNRNLTFTKKEPLGVCAIIIPWNYPLMMLAWKSAACLAAGNTLVLKPAQVTPLTALKFAELSVKAGFPKGVINIIPGSGGIAGQRLSEHPDIRKLGFTGSTPIGKQIMKSCAVSNLKKVSLELGGKSPLIIFNDCELDKAVRMGMGAVFFNKGENCIAAGRLFVEESIHDEFVTRVVEEIKKMKIGDPLDRSTDHGPQNHKAHLEKLLQYCETGVKEGATLVYGGRQVQRPGFFMEPTVFTDVEDYMYLAKEESFGPIMVISKFQNGDIDGVLQRANSTEYGLASGVFTRDINKAMYVSEKLEAGTVFINTYNKTDVAAPFGGVKQSGFGKDLGEEALNEYLKTKTVTLEY
+>DECOY_sp|Q3SY69|AL1L2_HUMAN Mitochondrial 10-formyltetrahydrofolate dehydrogenase OS=Homo sapiens OX=9606 GN=ALDH1L2 PE=1 SV=2
+YELTVTKTKLYENLAEEGLDKGFGSQKVGGFPAAVDTKNYTNIFVTGAELKESVYMAKNIDRTFVGSALGYETSNARQLVGDIDGNQFKSIVMIPGFSEEKALYMYDEVDTFVTPEMFFGPRQVQRGGYVLTAGEKVGTECYQLLKELHAKHNQPGHDTSRDLPDGIKMKKIEEVVRTVFEDHISEEVFLRGAAICNEGKNFFVAGMGMRVAKDLECDNFIILPSKGGLELSVKKLNSVACSKMIQKGIPTSGTFGLKRIDPHESLRQGAIGGSGPIINIVGKPFGAKVSLEAFKLATLPTVQAPKLVLTNGAALCAASKWALMMLPYNWPIIIACVGLPEKKTFTLNRNPRAQNIPITSGQIKDCWGAFYRFTQVSMGIHTKLALTYVAGSDLAEITALEEQNEELLDALRYMLRGRERANMRGWEGNEFADKAAAVAKDVDALSAYSVKCITSGDTPNITDYTKGDDADTFQGNIFCQYPMKVMIENVEKSIYDVVLEVEQDEGRLKRVVKQIFGEFKTAMYVDENQLQLGGCKQRIEEVLRAVDMSSAGSKFFDTSDEIIPVNSLIGAWIVKITEAVKVEEATLEVVSTEGTSFYQSAPIMKGDEFQLNRVTLAKGDNGFLVLGNKTVLGPKKAGKIELPEGPPVSSNLLTSGYFTVMQGNIETWAGPVKDHGRIWNHLVEASQDWSIEANEKKQIGEYTAGEEPQPIRPAKGDAILQVAEVMAKIGEPFLFRNYLADVTDNPEVDCSRQLLIPGTDLGDDAWFVSFGAKKDGMILTWNIASAGRHRPLISPHYIISGHKPSDIIDMPIFQTCFPLVNLEAGVSRYAEAVEKITKGKVRWKPLKFVPTGDKEAALALPDAKGDKDPVTFVGVVRHGEKRLHSYVEQGFLSQGILALKLKNKFYVRGTSFRRLAQSGRRLM
+>sp|P30838|AL3A1_HUMAN Aldehyde dehydrogenase, dimeric NADP-preferring OS=Homo sapiens OX=9606 GN=ALDH3A1 PE=1 SV=3
+MSKISEAVKRARAAFSSGRTRPLQFRIQQLEALQRLIQEQEQELVGALAADLHKNEWNAYYEEVVYVLEEIEYMIQKLPEWAADEPVEKTPQTQQDELYIHSEPLGVVLVIGTWNYPFNLTIQPMVGAIAAGNSVVLKPSELSENMASLLATIIPQYLDKDLYPVINGGVPETTELLKERFDHILYTGSTGVGKIIMTAAAKHLTPVTLELGGKSPCYVDKNCDLDVACRRIAWGKFMNSGQTCVAPDYILCDPSIQNQIVEKLKKSLKEFYGEDAKKSRDYGRIISARHFQRVMGLIEGQKVAYGGTGDAATRYIAPTILTDVDPQSPVMQEEIFGPVLPIVCVRSLEEAIQFINQREKPLALYMFSSNDKVIKKMIAETSSGGVAANDVIVHITLHSLPFGGVGNSGMGSYHGKKSFETFSHRRSCLVRPLMNDEGLKVRYPPSPAKMTQH
+>DECOY_sp|P30838|AL3A1_HUMAN Aldehyde dehydrogenase, dimeric NADP-preferring OS=Homo sapiens OX=9606 GN=ALDH3A1 PE=1 SV=3
+HQTMKAPSPPYRVKLGEDNMLPRVLCSRRHSFTEFSKKGHYSGMGSNGVGGFPLSHLTIHVIVDNAAVGGSSTEAIMKKIVKDNSSFMYLALPKERQNIFQIAEELSRVCVIPLVPGFIEEQMVPSQPDVDTLITPAIYRTAADGTGGYAVKQGEILGMVRQFHRASIIRGYDRSKKADEGYFEKLSKKLKEVIQNQISPDCLIYDPAVCTQGSNMFKGWAIRRCAVDLDCNKDVYCPSKGGLELTVPTLHKAAATMIIKGVGTSGTYLIHDFREKLLETTEPVGGNIVPYLDKDLYQPIITALLSAMNESLESPKLVVSNGAAIAGVMPQITLNFPYNWTGIVLVVGLPESHIYLEDQQTQPTKEVPEDAAWEPLKQIMYEIEELVYVVEEYYANWENKHLDAALAGVLEQEQEQILRQLAELQQIRFQLPRTRGSSFAARARKVAESIKSM
+>sp|Q9H2A2|AL8A1_HUMAN Aldehyde dehydrogenase family 8 member A1 OS=Homo sapiens OX=9606 GN=ALDH8A1 PE=1 SV=1
+MAGTNALLMLENFIDGKFLPCSSYIDSYDPSTGEVYCRVPNSGKDEIEAAVKAAREAFPSWSSRSPQERSRVLNQVADLLEQSLEEFAQAESKDQGKTLALARTMDIPRSVQNFRFFASSSLHHTSECTQMDHLGCMHYTVRAPVGVAGLISPWNLPLYLLTWKIAPAMAAGNTVIAKPSELTSVTAWMLCKLLDKAGVPPGVVNIVFGTGPRVGEALVSHPEVPLISFTGSQPTAERITQLSAPHCKKLSLELGGKNPAIIFEDANLDECIPATVRSSFANQGEICLCTSRIFVQKSIYSEFLKRFVEATRKWKVGIPSDPLVSIGALISKAHLEKVRSYVKRALAEGAQIWCGEGVDKLSLPARNQAGYFMLPTVITDIKDESCCMTEEIFGPVTCVVPFDSEEEVIERANNVKYGLAATVWSSNVGRVHRVAKKLQSGLVWTNCWLIRELNLPFGGMKSSGIGREGAKDSYDFFTEIKTITVKH
+>DECOY_sp|Q9H2A2|AL8A1_HUMAN Aldehyde dehydrogenase family 8 member A1 OS=Homo sapiens OX=9606 GN=ALDH8A1 PE=1 SV=1
+HKVTITKIETFFDYSDKAGERGIGSSKMGGFPLNLERILWCNTWVLGSQLKKAVRHVRGVNSSWVTAALGYKVNNAREIVEEESDFPVVCTVPGFIEETMCCSEDKIDTIVTPLMFYGAQNRAPLSLKDVGEGCWIQAGEALARKVYSRVKELHAKSILAGISVLPDSPIGVKWKRTAEVFRKLFESYISKQVFIRSTCLCIEGQNAFSSRVTAPICEDLNADEFIIAPNKGGLELSLKKCHPASLQTIREATPQSGTFSILPVEPHSVLAEGVRPGTGFVINVVGPPVGAKDLLKCLMWATVSTLESPKAIVTNGAAMAPAIKWTLLYLPLNWPSILGAVGVPARVTYHMCGLHDMQTCESTHHLSSSAFFRFNQVSRPIDMTRALALTKGQDKSEAQAFEELSQELLDAVQNLVRSREQPSRSSWSPFAERAAKVAAEIEDKGSNPVRCYVEGTSPDYSDIYSSCPLFKGDIFNELMLLANTGAM
+>sp|Q8TD30|ALAT2_HUMAN Alanine aminotransferase 2 OS=Homo sapiens OX=9606 GN=GPT2 PE=1 SV=1
+MQRAAALVRRGCGPRTPSSWGRSQSSAAAEASAVLKVRPERSRRERILTLESMNPQVKAVEYAVRGPIVLKAGEIELELQRGIKKPFTEVIRANIGDAQAMGQQPITFLRQVMALCTYPNLLDSPSFPEDAKKRARRILQACGGNSLGSYSASQGVNCIREDVAAYITRRDGGVPADPDNIYLTTGASDGISTILKILVSGGGKSRTGVMIPIPQYPLYSAVISELDAIQVNYYLDEENCWALNVNELRRAVQEAKDHCDPKVLCIINPGNPTGQVQSRKCIEDVIHFAWEEKLFLLADEVYQDNVYSPDCRFHSFKKVLYEMGPEYSSNVELASFHSTSKGYMGECGYRGGYMEVINLHPEIKGQLVKLLSVRLCPPVSGQAAMDIVVNPPVAGEESFEQFSREKESVLGNLAKKAKLTEDLFNQVPGIHCNPLQGAMYAFPRIFIPAKAVEAAQAHQMAPDMFYCMKLLEETGICVVPGSGFGQREGTYHFRMTILPPVEKLKTVLQKVKDFHINFLEKYA
+>DECOY_sp|Q8TD30|ALAT2_HUMAN Alanine aminotransferase 2 OS=Homo sapiens OX=9606 GN=GPT2 PE=1 SV=1
+AYKELFNIHFDKVKQLVTKLKEVPPLITMRFHYTGERQGFGSGPVVCIGTEELLKMCYFMDPAMQHAQAAEVAKAPIFIRPFAYMAGQLPNCHIGPVQNFLDETLKAKKALNGLVSEKERSFQEFSEEGAVPPNVVIDMAAQGSVPPCLRVSLLKVLQGKIEPHLNIVEMYGGRYGCEGMYGKSTSHFSALEVNSSYEPGMEYLVKKFSHFRCDPSYVNDQYVEDALLFLKEEWAFHIVDEICKRSQVQGTPNGPNIICLVKPDCHDKAEQVARRLENVNLAWCNEEDLYYNVQIADLESIVASYLPYQPIPIMVGTRSKGGGSVLIKLITSIGDSAGTTLYINDPDAPVGGDRRTIYAAVDERICNVGQSASYSGLSNGGCAQLIRRARKKADEPFSPSDLLNPYTCLAMVQRLFTIPQQGMAQADGINARIVETFPKKIGRQLELEIEGAKLVIPGRVAYEVAKVQPNMSELTLIRERRSREPRVKLVASAEAAASSQSRGWSSPTRPGCGRRVLAAARQM
+>sp|P15121|ALDR_HUMAN Aldose reductase OS=Homo sapiens OX=9606 GN=AKR1B1 PE=1 SV=3
+MASRLLLNNGAKMPILGLGTWKSPPGQVTEAVKVAIDVGYRHIDCAHVYQNENEVGVAIQEKLREQVVKREELFIVSKLWCTYHEKGLVKGACQKTLSDLKLDYLDLYLIHWPTGFKPGKEFFPLDESGNVVPSDTNILDTWAAMEELVDEGLVKAIGISNFNHLQVEMILNKPGLKYKPAVNQIECHPYLTQEKLIQYCQSKGIVVTAYSPLGSPDRPWAKPEDPSLLEDPRIKAIAAKHNKTTAQVLIRFPMQRNLVVIPKSVTPERIAENFKVFDFELSSQDMTTLLSYNRNWRVCALLSCTSHKDYPFHEEF
+>DECOY_sp|P15121|ALDR_HUMAN Aldose reductase OS=Homo sapiens OX=9606 GN=AKR1B1 PE=1 SV=3
+FEEHFPYDKHSTCSLLACVRWNRNYSLLTTMDQSSLEFDFVKFNEAIREPTVSKPIVVLNRQMPFRILVQATTKNHKAAIAKIRPDELLSPDEPKAWPRDPSGLPSYATVVIGKSQCYQILKEQTLYPHCEIQNVAPKYKLGPKNLIMEVQLHNFNSIGIAKVLGEDVLEEMAAWTDLINTDSPVVNGSEDLPFFEKGPKFGTPWHILYLDLYDLKLDSLTKQCAGKVLGKEHYTCWLKSVIFLEERKVVQERLKEQIAVGVENENQYVHACDIHRYGVDIAVKVAETVQGPPSKWTGLGLIPMKAGNNLLLRSAM
+>sp|O95264|5HT3B_HUMAN 5-hydroxytryptamine receptor 3B OS=Homo sapiens OX=9606 GN=HTR3B PE=1 SV=1
+MLSSVMAPLWACILVAAGILATDTHHPQDSALYHLSKQLLQKYHKEVRPVYNWTKATTVYLDLFVHAILDVDAENQILKTSVWYQEVWNDEFLSWNSSMFDEIREISLPLSAIWAPDIIINEFVDIERYPDLPYVYVNSSGTIENYKPIQVVSACSLETYAFPFDVQNCSLTFKSILHTVEDVDLAFLRSPEDIQHDKKAFLNDSEWELLSVSSTYSILQSSAGGFAQIQFNVVMRRHPLVYVVSLLIPSIFLMLVDLGSFYLPPNCRARIVFKTSVLVGYTVFRVNMSNQVPRSVGSTPLIGHFFTICMAFLVLSLAKSIVLVKFLHDEQRGGQEQPFLCLRGDTDADRPRVEPRAQRAVVTESSLYGEHLAQPGTLKEVWSQLQSISNYLQTQDQTDQQEAEWLVLLSRFDRLLFQSYLFMLGIYTITLCSLWALWGGV
+>DECOY_sp|O95264|5HT3B_HUMAN 5-hydroxytryptamine receptor 3B OS=Homo sapiens OX=9606 GN=HTR3B PE=1 SV=1
+VGGWLAWLSCLTITYIGLMFLYSQFLLRDFRSLLVLWEAEQQDTQDQTQLYNSISQLQSWVEKLTGPQALHEGYLSSETVVARQARPEVRPRDADTDGRLCLFPQEQGGRQEDHLFKVLVISKALSLVLFAMCITFFHGILPTSGVSRPVQNSMNVRFVTYGVLVSTKFVIRARCNPPLYFSGLDVLMLFISPILLSVVYVLPHRRMVVNFQIQAFGGASSQLISYTSSVSLLEWESDNLFAKKDHQIDEPSRLFALDVDEVTHLISKFTLSCNQVDFPFAYTELSCASVVQIPKYNEITGSSNVYVYPLDPYREIDVFENIIIDPAWIASLPLSIERIEDFMSSNWSLFEDNWVEQYWVSTKLIQNEADVDLIAHVFLDLYVTTAKTWNYVPRVEKHYKQLLQKSLHYLASDQPHHTDTALIGAAVLICAWLPAMVSSLM
+>sp|Q96P26|5NT1B_HUMAN Cytosolic 5'-nucleotidase 1B OS=Homo sapiens OX=9606 GN=NT5C1B PE=2 SV=2
+MSQTSLKQKKNEPGMRSSKESLEAEKRKESDKTGVRLSNQMRRAVNPNHSLRCCPFQGHSSCRRCLCAAEGTALGPCHTIRIYIHMCLLWEQGQQITMMRGSQESSLRKTDSRGYLVRSQWSRISRSPSTKAPSIDEPRSRNTSAKLPSSSTSSRTPSTSPSLHDSSPPPLSGQPSLQPPASPQLPRSLDSRPPTPPEPDPGSRRSTKMQENPEAWAQGIVREIRQTRDSQPLEYSRTSPTEWKSSSQRRGIYPASTQLDRNSLSEQQQQQREDEDDYEAAYWASMRSFYEKNPSCSRPWPPKPKNAITIALSSCALFNMVDGRKIYEQEGLEKYMEYQLTNENVILTPGPAFRFVKALQYVNARLRDLYPDEQDLFDIVLMTNNHAQVGVRLINSVNHYGLLIDRFCLTGGKDPIGYLKAYLTNLYIAADSEKVQEAIQEGIASATMFDGAKDMAYCDTQLRVAFDGDAVLFSDESEHFTKEHGLDKFFQYDTLCESKPLAQGPLKGFLEDLGRLQKKFYAKNERLLCPIRTYLVTARSAASSGARVLKTLRRWGLEIDEALFLAGAPKSPILVKIRPHIFFDDHMFHIEGAQRLGSIAAYGFNKKFSS
+>DECOY_sp|Q96P26|5NT1B_HUMAN Cytosolic 5'-nucleotidase 1B OS=Homo sapiens OX=9606 GN=NT5C1B PE=2 SV=2
+SSFKKNFGYAAISGLRQAGEIHFMHDDFFIHPRIKVLIPSKPAGALFLAEDIELGWRRLTKLVRAGSSAASRATVLYTRIPCLLRENKAYFKKQLRGLDELFGKLPGQALPKSECLTDYQFFKDLGHEKTFHESEDSFLVADGDFAVRLQTDCYAMDKAGDFMTASAIGEQIAEQVKESDAAIYLNTLYAKLYGIPDKGGTLCFRDILLGYHNVSNILRVGVQAHNNTMLVIDFLDQEDPYLDRLRANVYQLAKVFRFAPGPTLIVNENTLQYEMYKELGEQEYIKRGDVMNFLACSSLAITIANKPKPPWPRSCSPNKEYFSRMSAWYAAEYDDEDERQQQQQESLSNRDLQTSAPYIGRRQSSSKWETPSTRSYELPQSDRTQRIERVIGQAWAEPNEQMKTSRRSGPDPEPPTPPRSDLSRPLQPSAPPQLSPQGSLPPPSSDHLSPSTSPTRSSTSSSPLKASTNRSRPEDISPAKTSPSRSIRSWQSRVLYGRSDTKRLSSEQSGRMMTIQQGQEWLLCMHIYIRITHCPGLATGEAACLCRRCSSHGQFPCCRLSHNPNVARRMQNSLRVGTKDSEKRKEAELSEKSSRMGPENKKQKLSTQSM
+>sp|P56378|68MP_HUMAN 6.8 kDa mitochondrial proteolipid OS=Homo sapiens OX=9606 GN=MP68 PE=1 SV=1
+MLQSIIKNIWIPMKPYYTKVYQEIWIGMGLMGFIVYKIRAADKRSKALKASAPAPGHH
+>DECOY_sp|P56378|68MP_HUMAN 6.8 kDa mitochondrial proteolipid OS=Homo sapiens OX=9606 GN=MP68 PE=1 SV=1
+HHGPAPASAKLAKSRKDAARIKYVIFGMLGMGIWIEQYVKTYYPKMPIWINKIISQLM
+>sp|P0DKL9|A14EL_HUMAN ARL14 effector protein-like OS=Homo sapiens OX=9606 GN=ARL14EPL PE=4 SV=1
+MNEQSEKNNSIQERHTDHSFPEKNCQIGQKQLQQIERQLKCLAFRNPGPQVADFNPETRQQKKKARMSKMNEYFSTKYKIMRKYDKSGRLICNDADLCDCLEKNCLGCFYPCPKCNSNKCGPECRCNRRWVYDAIVTESGEVISTLPFNVPD
+>DECOY_sp|P0DKL9|A14EL_HUMAN ARL14 effector protein-like OS=Homo sapiens OX=9606 GN=ARL14EPL PE=4 SV=1
+DPVNFPLTSIVEGSETVIADYVWRRNCRCEPGCKNSNCKPCPYFCGLCNKELCDCLDADNCILRGSKDYKRMIKYKTSFYENMKSMRAKKKQQRTEPNFDAVQPGPNRFALCKLQREIQQLQKQGIQCNKEPFSHDTHREQISNNKESQENM
+>sp|Q8IZ83|A16A1_HUMAN Aldehyde dehydrogenase family 16 member A1 OS=Homo sapiens OX=9606 GN=ALDH16A1 PE=1 SV=2
+MAATRAGPRAREIFTSLEYGPVPESHACALAWLDTQDRCLGHYVNGKWLKPEHRNSVPCQDPITGENLASCLQAQAEDVAAAVEAARMAFKGWSAHPGVVRAQHLTRLAEVIQKHQRLLWTLESLVTGRAVREVRDGDVQLAQQLLHYHAIQASTQEEALAGWEPMGVIGLILPPTFSFLEMMWRICPALAVGCTVVALVPPASPAPLLLAQLAGELGPFPGILNVLSGPASLVPILASQPGIRKVAFCGAPEEGRALRRSLAGECAELGLALGTESLLLLTDTADVDSAVEGVVDAAWSDRGPGGLRLLIQESVWDEAMRRLQERMGRLRSGRGLDGAVDMGARGAAACDLVQRFVREAQSQGAQVFQAGDVPSERPFYPPTLVSNLPPASPCAQVEVPWPVVVASPFRTAKEALLVANGTPRGGSASVWSERLGQALELGYGLQVGTVWINAHGLRDPSVPTGGCKESGCSWHGGPDGLYEYLRPSGTPARLSCLSKNLNYDTFGLAVPSTLPAGPEIGPSPAPPYGLFVGGRFQAPGARSSRPIRDSSGNLHGYVAEGGAKDIRGAVEAAHQAFPGWAGQSPGARAALLWALAAALERRKSTLASRLERQGAELKAAEAEVELSARRLRAWGARVQAQGHTLQVAGLRGPVLRLREPLGVLAVVCPDEWPLLAFVSLLAPALAYGNTVVMVPSAACPLLALEVCQDMATVFPAGLANVVTGDRDHLTRCLALHQDVQAMWYFGSAQGSQFVEWASAGNLKPVWASRGCPRAWDQEAEGAGPELGLRVARTKALWLPMGD
+>DECOY_sp|Q8IZ83|A16A1_HUMAN Aldehyde dehydrogenase family 16 member A1 OS=Homo sapiens OX=9606 GN=ALDH16A1 PE=1 SV=2
+DGMPLWLAKTRAVRLGLEPGAGEAEQDWARPCGRSAWVPKLNGASAWEVFQSGQASGFYWMAQVDQHLALCRTLHDRDGTVVNALGAPFVTAMDQCVELALLPCAASPVMVVTNGYALAPALLSVFALLPWEDPCVVALVGLPERLRLVPGRLGAVQLTHGQAQVRAGWARLRRASLEVEAEAAKLEAGQRELRSALTSKRRELAAALAWLLAARAGPSQGAWGPFAQHAAEVAGRIDKAGGEAVYGHLNGSSDRIPRSSRAGPAQFRGGVFLGYPPAPSPGIEPGAPLTSPVALGFTDYNLNKSLCSLRAPTGSPRLYEYLGDPGGHWSCGSEKCGGTPVSPDRLGHANIWVTGVQLGYGLELAQGLRESWVSASGGRPTGNAVLLAEKATRFPSAVVVPWPVEVQACPSAPPLNSVLTPPYFPRESPVDGAQFVQAGQSQAERVFRQVLDCAAAGRAGMDVAGDLGRGSRLRGMREQLRRMAEDWVSEQILLRLGGPGRDSWAADVVGEVASDVDATDTLLLLSETGLALGLEACEGALSRRLARGEEPAGCFAVKRIGPQSALIPVLSAPGSLVNLIGPFPGLEGALQALLLPAPSAPPVLAVVTCGVALAPCIRWMMELFSFTPPLILGIVGMPEWGALAEEQTSAQIAHYHLLQQALQVDGDRVERVARGTVLSELTWLLRQHKQIVEALRTLHQARVVGPHASWGKFAMRAAEVAAAVDEAQAQLCSALNEGTIPDQCPVSNRHEPKLWKGNVYHGLCRDQTDLWALACAHSEPVPGYELSTFIERARPGARTAAM
+>sp|Q9NQ94|A1CF_HUMAN APOBEC1 complementation factor OS=Homo sapiens OX=9606 GN=A1CF PE=1 SV=1
+MESNHKSGDGLSGTQKEAALRALVQRTGYSLVQENGQRKYGGPPPGWDAAPPERGCEIFIGKLPRDLFEDELIPLCEKIGKIYEMRMMMDFNGNNRGYAFVTFSNKVEAKNAIKQLNNYEIRNGRLLGVCASVDNCRLFVGGIPKTKKREEILSEMKKVTEGVVDVIVYPSAADKTKNRGFAFVEYESHRAAAMARRKLLPGRIQLWGHGIAVDWAEPEVEVDEDTMSSVKILYVRNLMLSTSEEMIEKEFNNIKPGAVERVKKIRDYAFVHFSNREDAVEAMKALNGKVLDGSPIEVTLAKPVDKDSYVRYTRGTGGRGTMLQGEYTYSLGQVYDPTTTYLGAPVFYAPQTYAAIPSLHFPATKGHLSNRAIIRAPSVREIYMNVPVGAAGVRGLGGRGYLAYTGLGRGYQVKGDKREDKLYDILPGMELTPMNPVTLKPQGIKLAPQILEEICQKNNWGQPVYQLHSAIGQDQRQLFLYKITIPALASQNPAIHPFTPPKLSAFVDEAKTYAAEYTLQTLGIPTDGGDGTMATAAAAATAFPGYAVPNATAPVSAAQLKQAVTLGQDLAAYTTYEVYPTFAVTARGDGYGTF
+>DECOY_sp|Q9NQ94|A1CF_HUMAN APOBEC1 complementation factor OS=Homo sapiens OX=9606 GN=A1CF PE=1 SV=1
+FTGYGDGRATVAFTPYVEYTTYAALDQGLTVAQKLQAASVPATANPVAYGPFATAAAAATAMTGDGGDTPIGLTQLTYEAAYTKAEDVFASLKPPTFPHIAPNQSALAPITIKYLFLQRQDQGIASHLQYVPQGWNNKQCIEELIQPALKIGQPKLTVPNMPTLEMGPLIDYLKDERKDGKVQYGRGLGTYALYGRGGLGRVGAAGVPVNMYIERVSPARIIARNSLHGKTAPFHLSPIAAYTQPAYFVPAGLYTTTPDYVQGLSYTYEGQLMTGRGGTGRTYRVYSDKDVPKALTVEIPSGDLVKGNLAKMAEVADERNSFHVFAYDRIKKVREVAGPKINNFEKEIMEESTSLMLNRVYLIKVSSMTDEDVEVEPEAWDVAIGHGWLQIRGPLLKRRAMAAARHSEYEVFAFGRNKTKDAASPYVIVDVVGETVKKMESLIEERKKTKPIGGVFLRCNDVSACVGLLRGNRIEYNNLQKIANKAEVKNSFTVFAYGRNNGNFDMMMRMEYIKGIKECLPILEDEFLDRPLKGIFIECGREPPAADWGPPPGGYKRQGNEQVLSYGTRQVLARLAAEKQTGSLGDGSKHNSEM
+>sp|Q5TYW2|A20A1_HUMAN Ankyrin repeat domain-containing protein 20A1 OS=Homo sapiens OX=9606 GN=ANKRD20A1 PE=2 SV=1
+MKLFGFGSRRGQTAQGSIDHVYTGSGYRIRDSELQKIHRAAVKGDAAEVERCLARRSGDLDALDKQHRTALHLACTSGHVQVVTLLVNRKCQIDVCDKENRTPLIQAVHCQEEACAVILLEHGANPNLKDIYGNTALHYAVYSESTSLAEKLLSHGAHIEALDKDNNTPLLFAIICKKEKMVEFLLKKKASSHAVDRLRRSALMLAVYYDSPGIVNILLKQNIDVFAQDMCGRDAEDYAISHHLTKIQQQILEHKKKILKKEKSDVGSSDESAVSIFHELRVDSLPASDDKDLNVATKQCVPEKVSEPLPGSSHEKGNRIVNGQGEGPPAKHPSLKPSTEVEDPAVKGAVQRKNVQTLRAEQALPVASEEEQERHERSEKKQPQVKEGNNTNKSEKIQLSENICDSTSSAAAGRLTQQRKIGKTYPQQFPKKLKEEHDRCTLKQENEEKTNVNMLYKKNREELERKEKQYKKEVEAKQLEPTVQSLEMKSKTARNTPNWDFHNHEEMKGLMDENCILKADIAILRQEICTMKNDNLEKENKYLKDIKIVKETNAALEKYIKLNEEMITETAFRYQQELNDLKAENTRLNAELLKEKESKKRLEADIESYQSRLAAAISKHSESVKTERNLKLALERTRDVSVQVEMSSAISKVKAENEFLTEQLSETQIKFNALKDKFRKTRDSLRKKSLALETVQNDLSQTQQQTQEMKEMYQNAEAKVNNSTGKWNCVEERICHLQRENAWLVQQLDDVHQKEDHKEIVTNIQRGFIESGKKDLVLEEKSKKLMNECDHLKESLFQYEREKTEGVVSIKEDKYFQTSRKTI
+>DECOY_sp|Q5TYW2|A20A1_HUMAN Ankyrin repeat domain-containing protein 20A1 OS=Homo sapiens OX=9606 GN=ANKRD20A1 PE=2 SV=1
+ITKRSTQFYKDEKISVVGETKEREYQFLSEKLHDCENMLKKSKEELVLDKKGSEIFGRQINTVIEKHDEKQHVDDLQQVLWANERQLHCIREEVCNWKGTSNNVKAEANQYMEKMEQTQQQTQSLDNQVTELALSKKRLSDRTKRFKDKLANFKIQTESLQETLFENEAKVKSIASSMEVQVSVDRTRELALKLNRETKVSESHKSIAAALRSQYSEIDAELRKKSEKEKLLEANLRTNEAKLDNLEQQYRFATETIMEENLKIYKELAANTEKVIKIDKLYKNEKELNDNKMTCIEQRLIAIDAKLICNEDMLGKMEEHNHFDWNPTNRATKSKMELSQVTPELQKAEVEKKYQKEKRELEERNKKYLMNVNTKEENEQKLTCRDHEEKLKKPFQQPYTKGIKRQQTLRGAAASSTSDCINESLQIKESKNTNNGEKVQPQKKESREHREQEEESAVPLAQEARLTQVNKRQVAGKVAPDEVETSPKLSPHKAPPGEGQGNVIRNGKEHSSGPLPESVKEPVCQKTAVNLDKDDSAPLSDVRLEHFISVASEDSSGVDSKEKKLIKKKHELIQQQIKTLHHSIAYDEADRGCMDQAFVDINQKLLINVIGPSDYYVALMLASRRLRDVAHSSAKKKLLFEVMKEKKCIIAFLLPTNNDKDLAEIHAGHSLLKEALSTSESYVAYHLATNGYIDKLNPNAGHELLIVACAEEQCHVAQILPTRNEKDCVDIQCKRNVLLTVVQVHGSTCALHLATRHQKDLADLDGSRRALCREVEAADGKVAARHIKQLESDRIRYGSGTYVHDISGQATQGRRSGFGFLKM
+>sp|P02750|A2GL_HUMAN Leucine-rich alpha-2-glycoprotein OS=Homo sapiens OX=9606 GN=LRG1 PE=1 SV=2
+MSSWSRQRPKSPGGIQPHVSRTLFLLLLLAASAWGVTLSPKDCQVFRSDHGSSISCQPPAEIPGYLPADTVHLAVEFFNLTHLPANLLQGASKLQELHLSSNGLESLSPEFLRPVPQLRVLDLTRNALTGLPPGLFQASATLDTLVLKENQLEVLEVSWLHGLKALGHLDLSGNRLRKLPPGLLANFTLLRTLDLGENQLETLPPDLLRGPLQLERLHLEGNKLQVLGKDLLLPQPDLRYLFLNGNKLARVAAGAFQGLRQLDMLDLSNNSLASVPEGLWASLGQPNWDMRDGFDISGNPWICDQNLSDLYRWLQAQKDKMFSQNDTRCAGPEAVKGQTLLAVAKSQ
+>DECOY_sp|P02750|A2GL_HUMAN Leucine-rich alpha-2-glycoprotein OS=Homo sapiens OX=9606 GN=LRG1 PE=1 SV=2
+QSKAVALLTQGKVAEPGACRTDNQSFMKDKQAQLWRYLDSLNQDCIWPNGSIDFGDRMDWNPQGLSAWLGEPVSALSNNSLDLMDLQRLGQFAGAAVRALKNGNLFLYRLDPQPLLLDKGLVQLKNGELHLRELQLPGRLLDPPLTELQNEGLDLTRLLTFNALLGPPLKRLRNGSLDLHGLAKLGHLWSVELVELQNEKLVLTDLTASAQFLGPPLGTLANRTLDLVRLQPVPRLFEPSLSELGNSSLHLEQLKSAGQLLNAPLHTLNFFEVALHVTDAPLYGPIEAPPQCSISSGHDSRFVQCDKPSLTVGWASAALLLLLFLTRSVHPQIGGPSKPRQRSWSSM
+>sp|A8K2U0|A2ML1_HUMAN Alpha-2-macroglobulin-like protein 1 OS=Homo sapiens OX=9606 GN=A2ML1 PE=1 SV=3
+MWAQLLLGMLALSPAIAEELPNYLVTLPARLNFPSVQKVCLDLSPGYSDVKFTVTLETKDKTQKLLEYSGLKKRHLHCISFLVPPPAGGTEEVATIRVSGVGNNISFEEKKKVLIQRQGNGTFVQTDKPLYTPGQQVYFRIVTMDSNFVPVNDKYSMVELQDPNSNRIAQWLEVVPEQGIVDLSFQLAPEAMLGTYTVAVAEGKTFGTFSVEEYVLPKFKVEVVEPKELSTVQESFLVKICCRYTYGKPMLGAVQVSVCQKANTYWYREVEREQLPDKCRNLSGQTDKTGCFSAPVDMATFDLIGYAYSHQINIVATVVEEGTGVEANATQNIYISPQMGSMTFEDTSNFYHPNFPFSGKIRVRGHDDSFLKNHLVFLVIYGTNGTFNQTLVTDNNGLAPFTLETSGWNGTDVSLEGKFQMEDLVYNPEQVPRYYQNAYLHLRPFYSTTRSFLGIHRLNGPLKCGQPQEVLVDYYIDPADASPDQEISFSYYLIGKGSLVMEGQKHLNSKKKGLKASFSLSLTFTSRLAPDPSLVIYAIFPSGGVVADKIQFSVEMCFDNQVSLGFSPSQQLPGAEVELQLQAAPGSLCALRAVDESVLLLRPDRELSNRSVYGMFPFWYGHYPYQVAEYDQCPVSGPWDFPQPLIDPMPQGHSSQRSIIWRPSFSEGTDLFSFFRDVGLKILSNAKIKKPVDCSHRSPEYSTAMGAGGGHPEAFESSTPLHQAEDSQVRQYFPETWLWDLFPIGNSGKEAVHVTVPDAITEWKAMSFCTSQSRGFGLSPTVGLTAFKPFFVDLTLPYSVVRGESFRLTATIFNYLKDCIRVQTDLAKSHEYQLESWADSQTSSCLCADDAKTHHWNITAVKLGHINFTISTKILDSNEPCGGQKGFVPQKGRSDTLIKPVLVKPEGVLVEKTHSSLLCPKGKVASESVSLELPVDIVPDSTKAYVTVLGDIMGTALQNLDGLVQMPSGCGEQNMVLFAPIIYVLQYLEKAGLLTEEIRSRAVGFLEIGYQKELMYKHSNGSYSAFGERDGNGNTWLTAFVTKCFGQAQKFIFIDPKNIQDALKWMAGNQLPSGCYANVGNLLHTAMKGGVDDEVSLTAYVTAALLEMGKDVDDPMVSQGLRCLKNSATSTTNLYTQALLAYIFSLAGEMDIRNILLKQLDQQAIISGESIYWSQKPTPSSNASPWSEPAAVDVELTAYALLAQLTKPSLTQKEIAKATSIVAWLAKQHNAYGGFSSTQDTVVALQALAKYATTAYMPSEEINLVVKSTENFQRTFNIQSVNRLVFQQDTLPNVPGMYTLEASGQGCVYVQTVLRYNILPPTNMKTFSLSVEIGKARCEQPTSPRSLTLTIHTSYVGSRSSSNMAIVEVKMLSGFSPMEGTNQLLLQQPLVKKVEFGTDTLNIYLDELIKNTQTYTFTISQSVLVTNLKPATIKVYDYYLPDEQATIQYSDPCE
+>DECOY_sp|A8K2U0|A2ML1_HUMAN Alpha-2-macroglobulin-like protein 1 OS=Homo sapiens OX=9606 GN=A2ML1 PE=1 SV=3
+ECPDSYQITAQEDPLYYDYVKITAPKLNTVLVSQSITFTYTQTNKILEDLYINLTDTGFEVKKVLPQQLLLQNTGEMPSFGSLMKVEVIAMNSSSRSGVYSTHITLTLSRPSTPQECRAKGIEVSLSFTKMNTPPLINYRLVTQVYVCGQGSAELTYMGPVNPLTDQQFVLRNVSQINFTRQFNETSKVVLNIEESPMYATTAYKALAQLAVVTDQTSSFGGYANHQKALWAVISTAKAIEKQTLSPKTLQALLAYATLEVDVAAPESWPSANSSPTPKQSWYISEGSIIAQQDLQKLLINRIDMEGALSFIYALLAQTYLNTTSTASNKLCRLGQSVMPDDVDKGMELLAATVYATLSVEDDVGGKMATHLLNGVNAYCGSPLQNGAMWKLADQINKPDIFIFKQAQGFCKTVFATLWTNGNGDREGFASYSGNSHKYMLEKQYGIELFGVARSRIEETLLGAKELYQLVYIIPAFLVMNQEGCGSPMQVLGDLNQLATGMIDGLVTVYAKTSDPVIDVPLELSVSESAVKGKPCLLSSHTKEVLVGEPKVLVPKILTDSRGKQPVFGKQGGCPENSDLIKTSITFNIHGLKVATINWHHTKADDACLCSSTQSDAWSELQYEHSKALDTQVRICDKLYNFITATLRFSEGRVVSYPLTLDVFFPKFATLGVTPSLGFGRSQSTCFSMAKWETIADPVTVHVAEKGSNGIPFLDWLWTEPFYQRVQSDEAQHLPTSSEFAEPHGGGAGMATSYEPSRHSCDVPKKIKANSLIKLGVDRFFSFLDTGESFSPRWIISRQSSHGQPMPDILPQPFDWPGSVPCQDYEAVQYPYHGYWFPFMGYVSRNSLERDPRLLLVSEDVARLACLSGPAAQLQLEVEAGPLQQSPSFGLSVQNDFCMEVSFQIKDAVVGGSPFIAYIVLSPDPALRSTFTLSLSFSAKLGKKKSNLHKQGEMVLSGKGILYYSFSIEQDPSADAPDIYYDVLVEQPQGCKLPGNLRHIGLFSRTTSYFPRLHLYANQYYRPVQEPNYVLDEMQFKGELSVDTGNWGSTELTFPALGNNDTVLTQNFTGNTGYIVLFVLHNKLFSDDHGRVRIKGSFPFNPHYFNSTDEFTMSGMQPSIYINQTANAEVGTGEEVVTAVINIQHSYAYGILDFTAMDVPASFCGTKDTQGSLNRCKDPLQEREVERYWYTNAKQCVSVQVAGLMPKGYTYRCCIKVLFSEQVTSLEKPEVVEVKFKPLVYEEVSFTGFTKGEAVAVTYTGLMAEPALQFSLDVIGQEPVVELWQAIRNSNPDQLEVMSYKDNVPVFNSDMTVIRFYVQQGPTYLPKDTQVFTGNGQRQILVKKKEEFSINNGVGSVRITAVEETGGAPPPVLFSICHLHRKKLGSYELLKQTKDKTELTVTFKVDSYGPSLDLCVKQVSPFNLRAPLTVLYNPLEEAIAPSLALMGLLLQAWM
+>sp|P30542|AA1R_HUMAN Adenosine receptor A1 OS=Homo sapiens OX=9606 GN=ADORA1 PE=1 SV=1
+MPPSISAFQAAYIGIEVLIALVSVPGNVLVIWAVKVNQALRDATFCFIVSLAVADVAVGALVIPLAILINIGPQTYFHTCLMVACPVLILTQSSILALLAIAVDRYLRVKIPLRYKMVVTPRRAAVAIAGCWILSFVVGLTPMFGWNNLSAVERAWAANGSMGEPVIKCEFEKVISMEYMVYFNFFVWVLPPLLLMVLIYLEVFYLIRKQLNKKVSASSGDPQKYYGKELKIAKSLALILFLFALSWLPLHILNCITLFCPSCHKPSILTYIAIFLTHGNSAMNPIVYAFRIQKFRVTFLKIWNDHFRCQPAPPIDEDLPEERPDD
+>DECOY_sp|P30542|AA1R_HUMAN Adenosine receptor A1 OS=Homo sapiens OX=9606 GN=ADORA1 PE=1 SV=1
+DDPREEPLDEDIPPAPQCRFHDNWIKLFTVRFKQIRFAYVIPNMASNGHTLFIAIYTLISPKHCSPCFLTICNLIHLPLWSLAFLFLILALSKAIKLEKGYYKQPDGSSASVKKNLQKRILYFVELYILVMLLLPPLVWVFFNFYVMYEMSIVKEFECKIVPEGMSGNAAWAREVASLNNWGFMPTLGVVFSLIWCGAIAVAARRPTVVMKYRLPIKVRLYRDVAIALLALISSQTLILVPCAVMLCTHFYTQPGINILIALPIVLAGVAVDAVALSVIFCFTADRLAQNVKVAWIVLVNGPVSVLAILVEIGIYAAQFASISPPM
+>sp|P29275|AA2BR_HUMAN Adenosine receptor A2b OS=Homo sapiens OX=9606 GN=ADORA2B PE=2 SV=1
+MLLETQDALYVALELVIAALSVAGNVLVCAAVGTANTLQTPTNYFLVSLAAADVAVGLFAIPFAITISLGFCTDFYGCLFLACFVLVLTQSSIFSLLAVAVDRYLAICVPLRYKSLVTGTRARGVIAVLWVLAFGIGLTPFLGWNSKDSATNNCTEPWDGTTNESCCLVKCLFENVVPMSYMVYFNFFGCVLPPLLIMLVIYIKIFLVACRQLQRTELMDHSRTTLQREIHAAKSLAMIVGIFALCWLPVHAVNCVTLFQPAQGKNKPKWAMNMAILLSHANSVVNPIVYAYRNRDFRYTFHKIISRYLLCQADVKSGNGQAGVQPALGVGL
+>DECOY_sp|P29275|AA2BR_HUMAN Adenosine receptor A2b OS=Homo sapiens OX=9606 GN=ADORA2B PE=2 SV=1
+LGVGLAPQVGAQGNGSKVDAQCLLYRSIIKHFTYRFDRNRYAYVIPNVVSNAHSLLIAMNMAWKPKNKGQAPQFLTVCNVAHVPLWCLAFIGVIMALSKAAHIERQLTTRSHDMLETRQLQRCAVLFIKIYIVLMILLPPLVCGFFNFYVMYSMPVVNEFLCKVLCCSENTTGDWPETCNNTASDKSNWGLFPTLGIGFALVWLVAIVGRARTGTVLSKYRLPVCIALYRDVAVALLSFISSQTLVLVFCALFLCGYFDTCFGLSITIAFPIAFLGVAVDAAALSVLFYNTPTQLTNATGVAACVLVNGAVSLAAIVLELAVYLADQTELLM
+>sp|P0DMS8|AA3R_HUMAN Adenosine receptor A3 OS=Homo sapiens OX=9606 GN=ADORA3 PE=1 SV=1
+MPNNSTALSLANVTYITMEIFIGLCAIVGNVLVICVVKLNPSLQTTTFYFIVSLALADIAVGVLVMPLAIVVSLGITIHFYSCLFMTCLLLIFTHASIMSLLAIAVDRYLRVKLTVRYKRVTTHRRIWLALGLCWLVSFLVGLTPMFGWNMKLTSEYHRNVTFLSCQFVSVMRMDYMVYFSFLTWIFIPLVVMCAIYLDIFYIIRNKLSLNLSNSKETGAFYGREFKTAKSLFLVLFLFALSWLPLSIINCIIYFNGEVPQLVLYMGILLSHANSMMNPIVYAYKIKKFKETYLLILKACVVCHPSDSLDTSIEKNSE
+>DECOY_sp|P0DMS8|AA3R_HUMAN Adenosine receptor A3 OS=Homo sapiens OX=9606 GN=ADORA3 PE=1 SV=1
+ESNKEISTDLSDSPHCVVCAKLILLYTEKFKKIKYAYVIPNMMSNAHSLLIGMYLVLQPVEGNFYIICNIISLPLWSLAFLFLVLFLSKATKFERGYFAGTEKSNSLNLSLKNRIIYFIDLYIACMVVLPIFIWTLFSFYVMYDMRMVSVFQCSLFTVNRHYESTLKMNWGFMPTLGVLFSVLWCLGLALWIRRHTTVRKYRVTLKVRLYRDVAIALLSMISAHTFILLLCTMFLCSYFHITIGLSVVIALPMVLVGVAIDALALSVIFYFTTTQLSPNLKVVCIVLVNGVIACLGIFIEMTIYTVNALSLATSNNPM
+>sp|Q15758|AAAT_HUMAN Neutral amino acid transporter B(0) OS=Homo sapiens OX=9606 GN=SLC1A5 PE=1 SV=2
+MVADPPRDSKGLAAAEPTANGGLALASIEDQGAAAGGYCGSRDQVRRCLRANLLVLLTVVAVVAGVALGLGVSGAGGALALGPERLSAFVFPGELLLRLLRMIILPLVVCSLIGGAASLDPGALGRLGAWALLFFLVTTLLASALGVGLALALQPGAASAAINASVGAAGSAENAPSKEVLDSFLDLARNIFPSNLVSAAFRSYSTTYEERNITGTRVKVPVGQEVEGMNILGLVVFAIVFGVALRKLGPEGELLIRFFNSFNEATMVLVSWIMWYAPVGIMFLVAGKIVEMEDVGLLFARLGKYILCCLLGHAIHGLLVLPLIYFLFTRKNPYRFLWGIVTPLATAFGTSSSSATLPLMMKCVEENNGVAKHISRFILPIGATVNMDGAALFQCVAAVFIAQLSQQSLDFVKIITILVTATASSVGAAGIPAGGVLTLAIILEAVNLPVDHISLILAVDWLVDRSCTVLNVEGDALGAGLLQNYVDRTESRSTEPELIQVKSELPLDPLPVPTEEGNPLLKHYRGPAGDATVASEKESVM
+>DECOY_sp|Q15758|AAAT_HUMAN Neutral amino acid transporter B(0) OS=Homo sapiens OX=9606 GN=SLC1A5 PE=1 SV=2
+MVSEKESAVTADGAPGRYHKLLPNGEETPVPLPDLPLESKVQILEPETSRSETRDVYNQLLGAGLADGEVNLVTCSRDVLWDVALILSIHDVPLNVAELIIALTLVGGAPIGAAGVSSATATVLITIIKVFDLSQQSLQAIFVAAVCQFLAAGDMNVTAGIPLIFRSIHKAVGNNEEVCKMMLPLTASSSSTGFATALPTVIGWLFRYPNKRTFLFYILPLVLLGHIAHGLLCCLIYKGLRAFLLGVDEMEVIKGAVLFMIGVPAYWMIWSVLVMTAENFSNFFRILLEGEPGLKRLAVGFVIAFVVLGLINMGEVEQGVPVKVRTGTINREEYTTSYSRFAASVLNSPFINRALDLFSDLVEKSPANEASGAAGVSANIAASAAGPQLALALGVGLASALLTTVLFFLLAWAGLRGLAGPDLSAAGGILSCVVLPLIIMRLLRLLLEGPFVFASLREPGLALAGGAGSVGLGLAVGAVVAVVTLLVLLNARLCRRVQDRSGCYGGAAAGQDEISALALGGNATPEAAALGKSDRPPDAVM
+>sp|Q8N5Z0|AADAT_HUMAN Kynurenine/alpha-aminoadipate aminotransferase, mitochondrial OS=Homo sapiens OX=9606 GN=AADAT PE=1 SV=2
+MNYARFITAASAARNPSPIRTMTDILSRGPKSMISLAGGLPNPNMFPFKTAVITVENGKTIQFGEEMMKRALQYSPSAGIPELLSWLKQLQIKLHNPPTIHYPPSQGQMDLCVTSGSQQGLCKVFEMIINPGDNVLLDEPAYSGTLQSLHPLGCNIINVASDESGIVPDSLRDILSRWKPEDAKNPQKNTPKFLYTVPNGNNPTGNSLTSERKKEIYELARKYDFLIIEDDPYYFLQFNKFRVPTFLSMDVDGRVIRADSFSKIISSGLRIGFLTGPKPLIERVILHIQVSTLHPSTFNQLMISQLLHEWGEEGFMAHVDRVIDFYSNQKDAILAAADKWLTGLAEWHVPAAGMFLWIKVKGINDVKELIEEKAVKMGVLMLPGNAFYVDSSAPSPYLRASFSSASPEQMDVAFQVLAQLIKESL
+>DECOY_sp|Q8N5Z0|AADAT_HUMAN Kynurenine/alpha-aminoadipate aminotransferase, mitochondrial OS=Homo sapiens OX=9606 GN=AADAT PE=1 SV=2
+LSEKILQALVQFAVDMQEPSASSFSARLYPSPASSDVYFANGPLMLVGMKVAKEEILEKVDNIGKVKIWLFMGAAPVHWEALGTLWKDAAALIADKQNSYFDIVRDVHAMFGEEGWEHLLQSIMLQNFTSPHLTSVQIHLIVREILPKPGTLFGIRLGSSIIKSFSDARIVRGDVDMSLFTPVRFKNFQLFYYPDDEIILFDYKRALEYIEKKRESTLSNGTPNNGNPVTYLFKPTNKQPNKADEPKWRSLIDRLSDPVIGSEDSAVNIINCGLPHLSQLTGSYAPEDLLVNDGPNIIMEFVKCLGQQSGSTVCLDMQGQSPPYHITPPNHLKIQLQKLWSLLEPIGASPSYQLARKMMEEGFQITKGNEVTIVATKFPFMNPNPLGGALSIMSKPGRSLIDTMTRIPSPNRAASAATIFRAYNM
+>sp|Q2M2I8|AAK1_HUMAN AP2-associated protein kinase 1 OS=Homo sapiens OX=9606 GN=AAK1 PE=1 SV=3
+MKKFFDSRREQGGSGLGSGSSGGGGSTSGLGSGYIGRVFGIGRQQVTVDEVLAEGGFAIVFLVRTSNGMKCALKRMFVNNEHDLQVCKREIQIMRDLSGHKNIVGYIDSSINNVSSGDVWEVLILMDFCRGGQVVNLMNQRLQTGFTENEVLQIFCDTCEAVARLHQCKTPIIHRDLKVENILLHDRGHYVLCDFGSATNKFQNPQTEGVNAVEDEIKKYTTLSYRAPEMVNLYSGKIITTKADIWALGCLLYKLCYFTLPFGESQVAICDGNFTIPDNSRYSQDMHCLIRYMLEPDPDKRPDIYQVSYFSFKLLKKECPIPNVQNSPIPAKLPEPVKASEAAAKKTQPKARLTDPIPTTETSIAPRQRPKAGQTQPNPGILPIQPALTPRKRATVQPPPQAAGSSNQPGLLASVPQPKPQAPPSQPLPQTQAKQPQAPPTPQQTPSTQAQGLPAQAQATPQHQQQLFLKQQQQQQQPPPAQQQPAGTFYQQQQAQTQQFQAVHPATQKPAIAQFPVVSQGGSQQQLMQNFYQQQQQQQQQQQQQQLATALHQQQLMTQQAALQQKPTMAAGQQPQPQPAAAPQPAPAQEPAIQAPVRQQPKVQTTPPPAVQGQKVGSLTPPSSPKTQRAGHRRILSDVTHSAVFGVPASKSTQLLQAAAAEASLNKSKSATTTPSGSPRTSQQNVYNPSEGSTWNPFDDDNFSKLTAEELLNKDFAKLGEGKHPEKLGGSAESLIPGFQSTQGDAFATTSFSAGTAEKRKGGQTVDSGLPLLSVSDPFIPLQVPDAPEKLIEGLKSPDTSLLLPDLLPMTDPFGSTSDAVIEKADVAVESLIPGLEPPVPQRLPSQTESVTSNRTDSLTGEDSLLDCSLLSNPTTDLLEEFAPTAISAPVHKAAEDSNLISGFDVPEGSDKVAEDEFDPIPVLITKNPQGGHSRNSSGSSESSLPNLARSLLLVDQLIDL
+>DECOY_sp|Q2M2I8|AAK1_HUMAN AP2-associated protein kinase 1 OS=Homo sapiens OX=9606 GN=AAK1 PE=1 SV=3
+LDILQDVLLLSRALNPLSSESSGSSNRSHGGQPNKTILVPIPDFEDEAVKDSGEPVDFGSILNSDEAAKHVPASIATPAFEELLDTTPNSLLSCDLLSDEGTLSDTRNSTVSETQSPLRQPVPPELGPILSEVAVDAKEIVADSTSGFPDTMPLLDPLLLSTDPSKLGEILKEPADPVQLPIFPDSVSLLPLGSDVTQGGKRKEATGASFSTTAFADGQTSQFGPILSEASGGLKEPHKGEGLKAFDKNLLEEATLKSFNDDDFPNWTSGESPNYVNQQSTRPSGSPTTTASKSKNLSAEAAAAQLLQTSKSAPVGFVASHTVDSLIRRHGARQTKPSSPPTLSGVKQGQVAPPPTTQVKPQQRVPAQIAPEQAPAPQPAAAPQPQPQQGAAMTPKQQLAAQQTMLQQQHLATALQQQQQQQQQQQQQQYFNQMLQQQSGGQSVVPFQAIAPKQTAPHVAQFQQTQAQQQQYFTGAPQQQAPPPQQQQQQQKLFLQQQHQPTAQAQAPLGQAQTSPTQQPTPPAQPQKAQTQPLPQSPPAQPKPQPVSALLGPQNSSGAAQPPPQVTARKRPTLAPQIPLIGPNPQTQGAKPRQRPAISTETTPIPDTLRAKPQTKKAAAESAKVPEPLKAPIPSNQVNPIPCEKKLLKFSFYSVQYIDPRKDPDPELMYRILCHMDQSYRSNDPITFNGDCIAVQSEGFPLTFYCLKYLLCGLAWIDAKTTIIKGSYLNVMEPARYSLTTYKKIEDEVANVGETQPNQFKNTASGFDCLVYHGRDHLLINEVKLDRHIIPTKCQHLRAVAECTDCFIQLVENETFGTQLRQNMLNVVQGGRCFDMLILVEWVDGSSVNNISSDIYGVINKHGSLDRMIQIERKCVQLDHENNVFMRKLACKMGNSTRVLFVIAFGGEALVEDVTVQQRGIGFVRGIYGSGLGSTSGGGGSSGSGLGSGGQERRSDFFKKM
+>sp|Q9H7C9|AAMDC_HUMAN Mth938 domain-containing protein OS=Homo sapiens OX=9606 GN=AAMDC PE=1 SV=1
+MTSPEIASLSWGQMKVKGSNTTYKDCKVWPGGSRTWDWRETGTEHSPGVQPADVKEVVEKGVQTLVIGRGMSEALKVPSSTVEYLKKHGIDVRVLQTEQAVKEYNALVAQGVRVGGVFHSTC
+>DECOY_sp|Q9H7C9|AAMDC_HUMAN Mth938 domain-containing protein OS=Homo sapiens OX=9606 GN=AAMDC PE=1 SV=1
+CTSHFVGGVRVGQAVLANYEKVAQETQLVRVDIGHKKLYEVTSSPVKLAESMGRGIVLTQVGKEVVEKVDAPQVGPSHETGTERWDWTRSGGPWVKCDKYTTNSGKVKMQGWSLSAIEPSTM
+>sp|Q13131|AAPK1_HUMAN 5'-AMP-activated protein kinase catalytic subunit alpha-1 OS=Homo sapiens OX=9606 GN=PRKAA1 PE=1 SV=4
+MRRLSSWRKMATAEKQKHDGRVKIGHYILGDTLGVGTFGKVKVGKHELTGHKVAVKILNRQKIRSLDVVGKIRREIQNLKLFRHPHIIKLYQVISTPSDIFMVMEYVSGGELFDYICKNGRLDEKESRRLFQQILSGVDYCHRHMVVHRDLKPENVLLDAHMNAKIADFGLSNMMSDGEFLRTSCGSPNYAAPEVISGRLYAGPEVDIWSSGVILYALLCGTLPFDDDHVPTLFKKICDGIFYTPQYLNPSVISLLKHMLQVDPMKRATIKDIREHEWFKQDLPKYLFPEDPSYSSTMIDDEALKEVCEKFECSEEEVLSCLYNRNHQDPLAVAYHLIIDNRRIMNEAKDFYLATSPPDSFLDDHHLTRPHPERVPFLVAETPRARHTLDELNPQKSKHQGVRKAKWHLGIRSQSRPNDIMAEVCRAIKQLDYEWKVVNPYYLRVRRKNPVTSTYSKMSLQLYQVDSRTYLLDFRSIDDEITEAKSGTATPQRSGSVSNYRSCQRSDSDAEAQGKSSEVSLTSSVTSLDSSPVDLTPRPGSHTIEFFEMCANLIKILAQ
+>DECOY_sp|Q13131|AAPK1_HUMAN 5'-AMP-activated protein kinase catalytic subunit alpha-1 OS=Homo sapiens OX=9606 GN=PRKAA1 PE=1 SV=4
+QALIKILNACMEFFEITHSGPRPTLDVPSSDLSTVSSTLSVESSKGQAEADSDSRQCSRYNSVSGSRQPTATGSKAETIEDDISRFDLLYTRSDVQYLQLSMKSYTSTVPNKRRVRLYYPNVVKWEYDLQKIARCVEAMIDNPRSQSRIGLHWKAKRVGQHKSKQPNLEDLTHRARPTEAVLFPVREPHPRTLHHDDLFSDPPSTALYFDKAENMIRRNDIILHYAVALPDQHNRNYLCSLVEEESCEFKECVEKLAEDDIMTSSYSPDEPFLYKPLDQKFWEHERIDKITARKMPDVQLMHKLLSIVSPNLYQPTYFIGDCIKKFLTPVHDDDFPLTGCLLAYLIVGSSWIDVEPGAYLRGSIVEPAAYNPSGCSTRLFEGDSMMNSLGFDAIKANMHADLLVNEPKLDRHVVMHRHCYDVGSLIQQFLRRSEKEDLRGNKCIYDFLEGGSVYEMVMFIDSPTSIVQYLKIIHPHRFLKLNQIERRIKGVVDLSRIKQRNLIKVAVKHGTLEHKGVKVKGFTGVGLTDGLIYHGIKVRGDHKQKEATAMKRWSSLRRM
+>sp|P86434|AAS1_HUMAN Putative uncharacterized protein ADORA2A-AS1 OS=Homo sapiens OX=9606 GN=ADORA2A-AS1 PE=5 SV=1
+MEQDWQPGEEVTPGPEPCSKGQAPLYPIVHVTELKHTDPNFPSNSNAVGTSSGWNRIGTGCSHTWDWRFSCTQQALLPLLGAWEWSIDTEAGGGRREQSQKPCSNGGPAAAGEGRVLPSPCFPWSTCQAAIHKVCRWQGCTRPALLAPSLATLKEHSYP
+>DECOY_sp|P86434|AAS1_HUMAN Putative uncharacterized protein ADORA2A-AS1 OS=Homo sapiens OX=9606 GN=ADORA2A-AS1 PE=5 SV=1
+PYSHEKLTALSPALLAPRTCGQWRCVKHIAAQCTSWPFCPSPLVRGEGAAAPGGNSCPKQSQERRGGGAETDISWEWAGLLPLLAQQTCSFRWDWTHSCGTGIRNWGSSTGVANSNSPFNPDTHKLETVHVIPYLPAQGKSCPEPGPTVEEGPQWDQEM
+>sp|Q9NRW3|ABC3C_HUMAN DNA dC->dU-editing enzyme APOBEC-3C OS=Homo sapiens OX=9606 GN=APOBEC3C PE=1 SV=2
+MNPQIRNPMKAMYPGTFYFQFKNLWEANDRNETWLCFTVEGIKRRSVVSWKTGVFRNQVDSETHCHAERCFLSWFCDDILSPNTKYQVTWYTSWSPCPDCAGEVAEFLARHSNVNLTIFTARLYYFQYPCYQEGLRSLSQEGVAVEIMDYEDFKYCWENFVYNDNEPFKPWKGLKTNFRLLKRRLRESLQ
+>DECOY_sp|Q9NRW3|ABC3C_HUMAN DNA dC->dU-editing enzyme APOBEC-3C OS=Homo sapiens OX=9606 GN=APOBEC3C PE=1 SV=2
+QLSERLRRKLLRFNTKLGKWPKFPENDNYVFNEWCYKFDEYDMIEVAVGEQSLSRLGEQYCPYQFYYLRATFITLNVNSHRALFEAVEGACDPCPSWSTYWTVQYKTNPSLIDDCFWSLFCREAHCHTESDVQNRFVGTKWSVVSRRKIGEVTFCLWTENRDNAEWLNKFQFYFTGPYMAKMPNRIQPNM
+>sp|Q6NTF7|ABC3H_HUMAN DNA dC->dU-editing enzyme APOBEC-3H OS=Homo sapiens OX=9606 GN=APOBEC3H PE=1 SV=3
+MALLTAETFRLQFNNKRRLRRPYYPRKALLCYQLTPQNGSTPTRGYFENKKKCHAEICFINEIKSMGLDETQCYQVTCYLTWSPCSSCAWELVDFIKAHDHLNLGIFASRLYYHWCKPQQKGLRLLCGSQVPVEVMGFPKFADCWENFVDHEKPLSFNPYKMLEELDKNSRAIKRRLERIKIPGVRAQGRYMDILCDAEV
+>DECOY_sp|Q6NTF7|ABC3H_HUMAN DNA dC->dU-editing enzyme APOBEC-3H OS=Homo sapiens OX=9606 GN=APOBEC3H PE=1 SV=3
+VEADCLIDMYRGQARVGPIKIRELRRKIARSNKDLEELMKYPNFSLPKEHDVFNEWCDAFKPFGMVEVPVQSGCLLRLGKQQPKCWHYYLRSAFIGLNLHDHAKIFDVLEWACSSCPSWTLYCTVQYCQTEDLGMSKIENIFCIEAHCKKKNEFYGRTPTSGNQPTLQYCLLAKRPYYPRRLRRKNNFQLRFTEATLLAM
+>sp|Q9BZC7|ABCA2_HUMAN ATP-binding cassette sub-family A member 2 OS=Homo sapiens OX=9606 GN=ABCA2 PE=1 SV=3
+MGFLHQLQLLLWKNVTLKRRSPWVLAFEIFIPLVLFFILLGLRQKKPTISVKEAFYTAAPLTSAGILPVMQSLCPDGQRDEFGFLQYANSTVTQLLERLDRVVEEGNLFDPARPSLGSELEALRQHLEALSAGPGTSGSHLDRSTVSSFSLDSVARNPQELWRFLTQNLSLPNSTAQALLAARVDPPEVYHLLFGPSSALDSQSGLHKGQEPWSRLGGNPLFRMEELLLAPALLEQLTCTPGSGELGRILTVPESQKGALQGYRDAVCSGQAAARARRFSGLSAELRNQLDVAKVSQQLGLDAPNGSDSSPQAPPPRRLQALLGDLLDAQKVLQDVDVLSALALLLPQGACTGRTPGPPASGAGGAANGTGAGAVMGPNATAEEGAPSAAALATPDTLQGQCSAFVQLWAGLQPILCGNNRTIEPEALRRGNMSSLGFTSKEQRNLGLLVHLMTSNPKILYAPAGSEVDRVILKANETFAFVGNVTHYAQVWLNISAEIRSFLEQGRLQQHLRWLQQYVAELRLHPEALNLSLDELPPALRQDNFSLPSGMALLQQLDTIDNAACGWIQFMSKVSVDIFKGFHDEESIVNYTLNQAYQDNVTVFASVIFQTRKDGSLPPHVHYKIRQNSSFTEKTNEIRRAYWRPGPNTGGRFYFLYGFVWIQDMMERAIIDTFVGHDVVEPGSYVQMFPYPCYTRDDFLFVIEHMMPLCMVISWVYSVAMTIQHIVAEKEHRLKEVMKTMGLNNAVHWVAWFITGFVQLSISVTALTAILKYGQVLMHSHVVIIWLFLAVYAVATIMFCFLVSVLYSKAKLASACGGIIYFLSYVPYMYVAIREEVAHDKITAFEKCIASLMSTTAFGLGSKYFALYEVAGVGIQWHTFSQSPVEGDDFNLLLAVTMLMVDAVVYGILTWYIEAVHPGMYGLPRPWYFPLQKSYWLGSGRTEAWEWSWPWARTPRLSVMEEDQACAMESRRFEETRGMEEEPTHLPLVVCVDKLTKVYKDDKKLALNKLSLNLYENQVVSFLGHNGAGKTTTMSILTGLFPPTSGSATIYGHDIRTEMDEIRKNLGMCPQHNVLFDRLTVEEHLWFYSRLKSMAQEEIRREMDKMIEDLELSNKRHSLVQTLSGGMKRKLSVAIAFVGGSRAIILDEPTAGVDPYARRAIWDLILKYKPGRTILLSTHHMDEADLLGDRIAIISHGKLKCCGSPLFLKGTYGDGYRLTLVKRPAEPGGPQEPGLASSPPGRAPLSSCSELQVSQFIRKHVASCLLVSDTSTELSYILPSEAAKKGAFERLFQHLERSLDALHLSSFGLMDTTLEEVFLKVSEEDQSLENSEADVKESRKDVLPGAEGPASGEGHAGNLARCSELTQSQASLQSASSVGSARGDEGAGYTDVYGDYRPLFDNPQDPDNVSLQEVEAEALSRVGQGSRKLDGGWLKVRQFHGLLVKRFHCARRNSKALFSQILLPAFFVCVAMTVALSVPEIGDLPPLVLSPSQYHNYTQPRGNFIPYANEERREYRLRLSPDASPQQLVSTFRLPSGVGATCVLKSPANGSLGPTLNLSSGESRLLAARFFDSMCLESFTQGLPLSNFVPPPPSPAPSDSPASPDEDLQAWNVSLPPTAGPEMWTSAPSLPRLVREPVRCTCSAQGTGFSCPSSVGGHPPQMRVVTGDILTDITGHNVSEYLLFTSDRFRLHRYGAITFGNVLKSIPASFGTRAPPMVRKIAVRRAAQVFYNNKGYHSMPTYLNSLNNAILRANLPKSKGNPAAYGITVTNHPMNKTSASLSLDYLLQGTDVVIAIFIIVAMSFVPASFVVFLVAEKSTKAKHLQFVSGCNPIIYWLANYVWDMLNYLVPATCCVIILFVFDLPAYTSPTNFPAVLSLFLLYGWSITPIMYPASFWFEVPSSAYVFLIVINLFIGITATVATFLLQLFEHDKDLKVVNSYLKSCFLIFPNYNLGHGLMEMAYNEYINEYYAKIGQFDKMKSPFEWDIVTRGLVAMAVEGVVGFLLTIMCQYNFLRRPQRMPVSTKPVEDDVDVASERQRVLRGDADNDMVKIENLTKVYKSRKIGRILAVDRLCLGVRPGECFGLLGVNGAGKTSTFKMLTGDESTTGGEAFVNGHSVLKELLQVQQSLGYCPQCDALFDELTAREHLQLYTRLRGISWKDEARVVKWALEKLELTKYADKPAGTYSGGNKRKLSTAIALIGYPAFIFLDEPTTGMDPKARRFLWNLILDLIKTGRSVVLTSHSMEECEALCTRLAIMVNGRLRCLGSIQHLKNRFGDGYMITVRTKSSQSVKDVVRFFNRNFPEAMLKERHHTKVQYQLKSEHISLAQVFSKMEQVSGVLGIEDYSVSQTTLDNVFVNFAKKQSDNLEQQETEPPSALQSPLGCLLSLLRPRSAPTELRALVADEPEDLDTEDEGLISFEEERAQLSFNTDTLC
+>DECOY_sp|Q9BZC7|ABCA2_HUMAN ATP-binding cassette sub-family A member 2 OS=Homo sapiens OX=9606 GN=ABCA2 PE=1 SV=3
+CLTDTNFSLQAREEEFSILGEDETDLDEPEDAVLARLETPASRPRLLSLLCGLPSQLASPPETEQQELNDSQKKAFNVFVNDLTTQSVSYDEIGLVGSVQEMKSFVQALSIHESKLQYQVKTHHREKLMAEPFNRNFFRVVDKVSQSSKTRVTIMYGDGFRNKLHQISGLCRLRGNVMIALRTCLAECEEMSHSTLVVSRGTKILDLILNWLFRRAKPDMGTTPEDLFIFAPYGILAIATSLKRKNGGSYTGAPKDAYKTLELKELAWKVVRAEDKWSIGRLRTYLQLHERATLEDFLADCQPCYGLSQQVQLLEKLVSHGNVFAEGGTTSEDGTLMKFTSTKGAGNVGLLGFCEGPRVGLCLRDVALIRGIKRSKYVKTLNEIKVMDNDADGRLVRQRESAVDVDDEVPKTSVPMRQPRRLFNYQCMITLLFGVVGEVAMAVLGRTVIDWEFPSKMKDFQGIKAYYENIYENYAMEMLGHGLNYNPFILFCSKLYSNVVKLDKDHEFLQLLFTAVTATIGIFLNIVILFVYASSPVEFWFSAPYMIPTISWGYLLFLSLVAPFNTPSTYAPLDFVFLIIVCCTAPVLYNLMDWVYNALWYIIPNCGSVFQLHKAKTSKEAVLFVVFSAPVFSMAVIIFIAIVVDTGQLLYDLSLSASTKNMPHNTVTIGYAAPNGKSKPLNARLIANNLSNLYTPMSHYGKNNYFVQAARRVAIKRVMPPARTGFSAPISKLVNGFTIAGYRHLRFRDSTFLLYESVNHGTIDTLIDGTVVRMQPPHGGVSSPCSFGTGQASCTCRVPERVLRPLSPASTWMEPGATPPLSVNWAQLDEDPSAPSDSPAPSPPPPVFNSLPLGQTFSELCMSDFFRAALLRSEGSSLNLTPGLSGNAPSKLVCTAGVGSPLRFTSVLQQPSADPSLRLRYERREENAYPIFNGRPQTYNHYQSPSLVLPPLDGIEPVSLAVTMAVCVFFAPLLIQSFLAKSNRRACHFRKVLLGHFQRVKLWGGDLKRSGQGVRSLAEAEVEQLSVNDPDQPNDFLPRYDGYVDTYGAGEDGRASGVSSASQLSAQSQTLESCRALNGAHGEGSAPGEAGPLVDKRSEKVDAESNELSQDEESVKLFVEELTTDMLGFSSLHLADLSRELHQFLREFAGKKAAESPLIYSLETSTDSVLLCSAVHKRIFQSVQLESCSSLPARGPPSSALGPEQPGGPEAPRKVLTLRYGDGYTGKLFLPSGCCKLKGHSIIAIRDGLLDAEDMHHTSLLITRGPKYKLILDWIARRAYPDVGATPEDLIIARSGGVFAIAVSLKRKMGGSLTQVLSHRKNSLELDEIMKDMERRIEEQAMSKLRSYFWLHEEVTLRDFLVNHQPCMGLNKRIEDMETRIDHGYITASGSTPPFLGTLISMTTTKGAGNHGLFSVVQNEYLNLSLKNLALKKDDKYVKTLKDVCVVLPLHTPEEEMGRTEEFRRSEMACAQDEEMVSLRPTRAWPWSWEWAETRGSGLWYSKQLPFYWPRPLGYMGPHVAEIYWTLIGYVVADVMLMTVALLLNFDDGEVPSQSFTHWQIGVGAVEYLAFYKSGLGFATTSMLSAICKEFATIKDHAVEERIAVYMYPVYSLFYIIGGCASALKAKSYLVSVLFCFMITAVAYVALFLWIIVVHSHMLVQGYKLIATLATVSISLQVFGTIFWAVWHVANNLGMTKMVEKLRHEKEAVIHQITMAVSYVWSIVMCLPMMHEIVFLFDDRTYCPYPFMQVYSGPEVVDHGVFTDIIAREMMDQIWVFGYLFYFRGGTNPGPRWYARRIENTKETFSSNQRIKYHVHPPLSGDKRTQFIVSAFVTVNDQYAQNLTYNVISEEDHFGKFIDVSVKSMFQIWGCAANDITDLQQLLAMGSPLSFNDQRLAPPLEDLSLNLAEPHLRLEAVYQQLWRLHQQLRGQELFSRIEASINLWVQAYHTVNGVFAFTENAKLIVRDVESGAPAYLIKPNSTMLHVLLGLNRQEKSTFGLSSMNGRRLAEPEITRNNGCLIPQLGAWLQVFASCQGQLTDPTALAAASPAGEEATANPGMVAGAGTGNAAGGAGSAPPGPTRGTCAGQPLLLALASLVDVDQLVKQADLLDGLLAQLRRPPPAQPSSDSGNPADLGLQQSVKAVDLQNRLEASLGSFRRARAAAQGSCVADRYGQLAGKQSEPVTLIRGLEGSGPTCTLQELLAPALLLEEMRFLPNGGLRSWPEQGKHLGSQSDLASSPGFLLHYVEPPDVRAALLAQATSNPLSLNQTLFRWLEQPNRAVSDLSFSSVTSRDLHSGSTGPGASLAELHQRLAELESGLSPRAPDFLNGEEVVRDLRELLQTVTSNAYQLFGFEDRQGDPCLSQMVPLIGASTLPAATYFAEKVSITPKKQRLGLLIFFLVLPIFIEFALVWPSRRKLTVNKWLLLQLQHLFGM
+>sp|O94911|ABCA8_HUMAN ATP-binding cassette sub-family A member 8 OS=Homo sapiens OX=9606 GN=ABCA8 PE=1 SV=3
+MRKRKISVCQQTWALLCKNFLKKWRMKRESLMEWLNSLLLLLCLYIYPHSHQVNDFSSLLTMDLGRVDTFNESRFSVVYTPVTNTTQQIMNKVASTPFLAGKEVLGLPDEESIKEFTANYPEEIVRVTFTNTYSYHLKFLLGHGMPAKKEHKDHTAHCYETNEDVYCEVSVFWKEGFVALQAAINAAIIEITTNHSVMEELMSVTGKNMKMHSFIGQSGVITDLYLFSCIISFSSFIYYASVNVTRERKRMKALMTMMGLRDSAFWLSWGLLYAGFIFIMALFLALVIRSTQFIILSGFMVVFSLFLLYGLSLVALAFLMSILVKKSFLTGLVVFLLTVFWGCLGFTSLYRHLPASLEWILSLLSPFAFMLGMAQLLHLDYDLNSNAFPHPSDGSNLIVATNFMLAFDTCLYLALAIYFEKILPNEYGHRRPPLFFLKSSFWSQTQKTDHVALEDEMDADPSFHDSFEQAPPEFQGKEAIRIRNVTKEYKGKPDKIEALKDLVFDIYEGQITAILGHSGAGKSTLLNILSGLSVPTKGSVTIYNNKLSEMADLENLSKLTGVCPQSNVQFDFLTVRENLRLFAKIKGILPQEVDKEIFLLDEPTAGLDPFSRHQVWNLLKERKTDRVILFSTQFMDEADILADRKVFLSQGKLKCAGSSLFLKKKWGIGYHLSLQLNEICVEENITSLVKQHIPDAKLSAKSEGKLIYTLPLERTNKFPELYKDLDSYPDLGIENYGVSMTTLNEVFLKLEGKSTINESDIAILGEVQAEKADDTERLVEMEQVLSSLNKMRKTIGGVALWRQQICAIARVRLLKLKHERKALLALLLILMAGFCPLLVEYTMVKIYQNSYTWELSPHLYFLAPGQQPHDPLTQLLIINKTGASIDDFIQSVEHQNIALEVDAFGTRNGTDDPSYNGAITVCCNEKNYSFSLACNAKRLNCFPVLMDIVSNGLLGMVKPSVHIRTERSTFLENGQDNPIGFLAYIMFWLVLTSSCPPYIAMSSIDDYKNRARSQLRISGLSPSAYWFGQALVDVSLYFLVFVFIYLMSYISNFEDMLLTIIHIIQIPCAVGYSFSLIFMTYVISFIFRKGRKNSGIWSFCFYVVTVFSVAGFAFSIFESDIPFIFTFLIPPATMIGCLFLSSHLLFSSLFSEERMDVQPFLVFLIPFLHFIIFLFTLRCLEWKFGKKSMRKDPFFRISPRSSDVCQNPEEPEGEDEDVQMERVRTANALNSTNFDEKPVIIASCLRKEYAGKRKGCFSKRKNKIATRNVSFCVRKGEVLGLLGHNGAGKSTSIKVITGDTKPTAGQVLLKGSGGGDALEFLGYCPQENALWPNLTVRQHLEVYAAVKGLRKGDAEVAITRLVDALKLQDQLKSPVKTLSEGIKRKLCFVLSILGNPSVVLLDEPSTGMDPEGQQQMWQAIRATFRNTERGALLTTHYMAEAEAVCDRVAIMVSGRLRCIGSIQHLKSKFGKDYLLEMKVKNLAQVEPLHAEILRLFPQAARQERYSSLMVYKLPVEDVQPLAQAFFKLEKVKQSFDLEEYSLSQSTLEQVFLELSKEQELGDFEEDFDPSVKWKLLPQEEP
+>DECOY_sp|O94911|ABCA8_HUMAN ATP-binding cassette sub-family A member 8 OS=Homo sapiens OX=9606 GN=ABCA8 PE=1 SV=3
+PEEQPLLKWKVSPDFDEEFDGLEQEKSLELFVQELTSQSLSYEELDFSQKVKELKFFAQALPQVDEVPLKYVMLSSYREQRAAQPFLRLIEAHLPEVQALNKVKMELLYDKGFKSKLHQISGICRLRGSVMIAVRDCVAEAEAMYHTTLLAGRETNRFTARIAQWMQQQGEPDMGTSPEDLLVVSPNGLISLVFCLKRKIGESLTKVPSKLQDQLKLADVLRTIAVEADGKRLGKVAAYVELHQRVTLNPWLANEQPCYGLFELADGGGSGKLLVQGATPKTDGTIVKISTSKGAGNHGLLGLVEGKRVCFSVNRTAIKNKRKSFCGKRKGAYEKRLCSAIIVPKEDFNTSNLANATRVREMQVDEDEGEPEEPNQCVDSSRPSIRFFPDKRMSKKGFKWELCRLTFLFIIFHLFPILFVLFPQVDMREESFLSSFLLHSSLFLCGIMTAPPILFTFIFPIDSEFISFAFGAVSFVTVVYFCFSWIGSNKRGKRFIFSIVYTMFILSFSYGVACPIQIIHIITLLMDEFNSIYSMLYIFVFVLFYLSVDVLAQGFWYASPSLGSIRLQSRARNKYDDISSMAIYPPCSSTLVLWFMIYALFGIPNDQGNELFTSRETRIHVSPKVMGLLGNSVIDMLVPFCNLRKANCALSFSYNKENCCVTIAGNYSPDDTGNRTGFADVELAINQHEVSQIFDDISAGTKNIILLQTLPDHPQQGPALFYLHPSLEWTYSNQYIKVMTYEVLLPCFGAMLILLLALLAKREHKLKLLRVRAIACIQQRWLAVGGITKRMKNLSSLVQEMEVLRETDDAKEAQVEGLIAIDSENITSKGELKLFVENLTTMSVGYNEIGLDPYSDLDKYLEPFKNTRELPLTYILKGESKASLKADPIHQKVLSTINEEVCIENLQLSLHYGIGWKKKLFLSSGACKLKGQSLFVKRDALIDAEDMFQTSFLIVRDTKREKLLNWVQHRSFPDLGATPEDLLFIEKDVEQPLIGKIKAFLRLNERVTLFDFQVNSQPCVGTLKSLNELDAMESLKNNYITVSGKTPVSLGSLINLLTSKGAGSHGLIATIQGEYIDFVLDKLAEIKDPKGKYEKTVNRIRIAEKGQFEPPAQEFSDHFSPDADMEDELAVHDTKQTQSWFSSKLFFLPPRRHGYENPLIKEFYIALALYLCTDFALMFNTAVILNSGDSPHPFANSNLDYDLHLLQAMGLMFAFPSLLSLIWELSAPLHRYLSTFGLCGWFVTLLFVVLGTLFSKKVLISMLFALAVLSLGYLLFLSFVVMFGSLIIFQTSRIVLALFLAMIFIFGAYLLGWSLWFASDRLGMMTMLAKMRKRERTVNVSAYYIFSSFSIICSFLYLDTIVGSQGIFSHMKMNKGTVSMLEEMVSHNTTIEIIAANIAAQLAVFGEKWFVSVECYVDENTEYCHATHDKHEKKAPMGHGLLFKLHYSYTNTFTVRVIEEPYNATFEKISEEDPLGLVEKGALFPTSAVKNMIQQTTNTVPTYVVSFRSENFTDVRGLDMTLLSSFDNVQHSHPYIYLCLLLLLSNLWEMLSERKMRWKKLFNKCLLAWTQQCVSIKRKRM
+>sp|Q86UQ4|ABCAD_HUMAN ATP-binding cassette sub-family A member 13 OS=Homo sapiens OX=9606 GN=ABCA13 PE=2 SV=3
+MGHAGCQFKALLWKNWLCRLRNPVLFLAEFFWPCILFVILTVLRFQEPPRYRDICYLQPRDLPSCGVIPFVQSLLCNTGSRCRNFSYEGSMEHHFRLSRFQTAADPKKVNNLAFLKEIQDLAEEIHGMMDKAKNLKRLWVERSNTPDSSYGSSFFTMDLNKTEEVILKLESLHQQPHIWDFLLLLPRLHTSHDHVEDGMDVAVNLLQTILNSLISLEDLDWLPLNQTFSQVSELVLNVTISTLTFLQQHGVAVTEPVYHLSMQNIVWDPQKVQYDLKSQFGFDDLHTEQILNSSAELKEIPTDTSLEKMVCSVLSSTSEDEAEKWGHVGGCHPKWSEAKNYLVHAVSWLRVYQQVFVQWQQGSLLQKTLTGMGHSLEALRNQFEEESKPWKVVEALHTALLLLNDSLSADGPKDNHTFPKILQHLWKLQSLLQNLPQWPALKRFLQLDGALRNAIAQNLHFVQEVLICLETSANDFKWFELNQLKLEKDVFFWELKQMLAKNAVCPNGRFSEKEVFLPPGNSSIWGGLQGLLCYCNSSETSVLNKLLGSVEDADRILQEVITWHKNMSVLIPEEYLDWQELEMQLSEASLSCTRLFLLLGADPSPENDVFSSDCKHQLVSTVIFHTLEKTQFFLEQAYYWKAFKKFIRKTCEVAQYVNMQESFQNRLLAFPEESPCFEENMDWKMISDNYFQFLNNLLKSPTASISRALNFTKHLLMMEKKLHTLEDEQMNFLLSFVEFFEKLLLPNLFDSSIVPSFHSLPSLTEDILNISSLWTNHLKSLKRDPSATDAQKLLEFGNEVIWKMQTLGSHWIRKEPKNLLRFIELILFEINPKLLELWAYGISKGKRAKLENFFTLLNFSVPENEILSTSFNFSQLFHSDWPKSPAMNIDFVRLSEAIITSLHEFGFLEQEQISEALNTVYAIRNASDLFSALSEPQKQEVDKILTHIHLNVFQDKDSALLLQIYSSFYRYIYELLNIQSRGSSLTFLTQISKHILDIIKQFNFQNISKAFAFLFKTAEVLGGISNVSYCQQLLSIFNFLELQAQSFMSTEGQELEVIHTTLTGLKQLLIIDEDFRISLFQYMSQFFNSSVEDLLDNKCLISDNKHISSVNYSTSEESSFVFPLAQIFSNLSANVSVFNKFMSIHCTVSWLQMWTEIWETISQLFKFDMNVFTSLHHGFTQLLDELEDDVKVSKSCQGILPTHNVARLILNLFKNVTQANDFHNWEDFLDLRDFLVALGNALVSVKKLNLEQVEKSLFTMEAALHQLKTFPFNESTSREFLNSLLEVFIEFSSTSEYIVRNLDSINDFLSNNLTNYGEKFENIITELREAIVFLRNVSHDRDLFSCADIFQNVTECILEDGFLYVNTSQRMLRILDTLNSTFSSENTISSLKGCIVWLDVINHLYLLSNSSFSQGHLQNILGNFRDIENKMNSILKIVTWVLNIKKPLCSSNGSHINCVNIYLKDVTDFLNIVLTTVFEKEKKPKFEILLALLNDSTKQVRMSINNLTTDFDFASQSNWRYFTELILRPIEMSDEIPNQFQNIWLHLITLGKEFQKLVKGIYFNILENNSSSKTENLLNIFATSPKEKDVNSVGNSIYHLASYLAFSLSHDLQNSPKIIISPEIMKATGLGIQLIRDVFNSLMPVVHHTSPQNAGYMQALKKVTSVMRTLKKADIDLLVDQLEQVSVNLMDFFKNISSVGTGNLVVNLLVGLMEKFADSSHSWNVNHLLQLSRLFPKDVVDAVIDVYYVLPHAVRLLQGVPGKNITEGLKDVYSFTLLHGITISNITKEDFAIVIKILLDTIELVSDKPDIISEALACFPVVWCWNHTNSGFRQNSKIDPCNVHGLMSSSFYGKVASILDHFHLSPQGEDSPCSNESSRMEITRKVVCIIHELVDWNSILLELSEVFHVNISLVKTVQKFWHKILPFVPPSINQTRDSISELCPSGSIKQVALQIIEKLKNVNFTKVTSGENILDKLSSLNKILNINEDTETSVQNIISSNLERTVQLISEDWSLEKSTHNLLSLFMMLQNANVTGSSLEALSSFIEKSETPYNFEELWPKFQQIMKDLTQDFRIRHLLSEMNKGIKSINSMALQKITLQFAHFLEILDSPSLKTLEIIEDFLLVTKNWLQEYANEDYSRMIETLFIPVTNESSTEDIALLAKAIATFWGSLKNISRAGNFDVAFLTHLLNQEQLTNFSVVQLLFENILINLINNLAGNSQEAAWNLNDTDLQIMNFINLILNHMQSETSRKTVLSLRSIVDFTEQFLKTFFSLFLKEDSENKISLLLKYFHKDVIAEMSFVPKDKILEILKLDQFLTLMIQDRLMNIFSSLKETIYHLMKSSFILDNGEFYFDTHQGLKFMQDLFNALLRETSMKNKTENNIDFFTVVSQLFFHVNKSEDLFKLNQDLGSALHLVRECSTEMARLLDTILHSPNKDFYALYPTLQEVILANLTDLLFFINNSFPLRNRATLEITKRLVGAISRASEESHVLKPLLEMSGTLVMLLNDSADLRDLATSMDSIVKLLKLVKKVSGKMSTVFKTHFISNTKDSVKFFDTLYSIMQQSVQNLVKEIATLKKIDHFTFEKINDLLVPFLDLAFEMIGVEPYISSNSDIFSMSPSILSYMNQSKDFSDILEEIAEFLTSVKMNLEDMRSLAVAFNNETQTFSMDSVNLREEILGCLVPINNITNQMDFLYPNPISTHSGPQDIKWEIIHEVIPFLDKILSQNSTEIGSFLKMVICLTLEALWKNLKKDNWNVSNVLMTFTQHPNNLLKTIETVLEASSGIKSDYEGDLNKSLYFDTPLSQNITHHQLEKAIHNVLSRIALWRKGLLFNNSEWITSTRTLFQPLFEIFIKATTGKNVTSEKEERTKKEMIDFPYSFKPFFCLEKYLGGLFVLTKYWQQIPLTDQSVVEICEVFQQTVKPSEAMEMLQKVKMMVVRVLTIVAENPSWTKDILCATLSCKQNGIRHLILSAIQGVTLAQDHFQEIEKIWSSPNQLNCESLSKNLSSTLESFKSSLENATGQDCTSQPRLETVQQHLYMLAKSLEETWSSGNPIMTFLSNFTVTEDVKIKDLMKNITKLTEELRSSIQISNETIHSILEANISHSKVLFSALTVALSGKCDQEILHLLLTFPKGEKSWIAAEELCSLPGSKVYSLIVLLSRNLDVRAFIYKTLMPSEANGLLNSLLDIVSSLSALLAKAQHVFEYLPEFLHTFKITALLETLDFQQVSQNVQARSSAFGSFQFVMKMVCKDQASFLSDSNMFINLPRVKELLEDDKEKFNIPEDSTPFCLKLYQEILQLPNGALVWTFLKPILHGKILYTPNTPEINKVIQKANYTFYIVDKLKTLSETLLEMSSLFQRSGSGQMFNQLQEALRNKFVRNFVENQLHIDVDKLTEKLQTYGGLLDEMFNHAGAGRFRFLGSILVNLSSCVALNRFQALQSVDILETKAHELLQQNSFLASIIFSNSLFDKNFRSESVKLPPHVSYTIRTNVLYSVRTDVVKNPSWKFHPQNLPADGFKYNYVFAPLQDMIERAIILVQTGQEALEPAAQTQAAPYPCHTSDLFLNNVGFFFPLIMMLTWMVSVASMVRKLVYEQEIQIEEYMRMMGVHPVIHFLAWFLENMAVLTISSATLAIVLKTSGIFAHSNTFIVFLFLLDFGMSVVMLSYLLSAFFSQANTAALCTSLVYMISFLPYIVLLVLHNQLSFVNQTFLCLLSTTAFGQGVFFITFLEGQETGIQWNNMYQALEQGGMTFGWVCWMILFDSSLYFLCGWYLSNLIPGTFGLRKPWYFPFTASYWKSVGFLVEKRQYFLSSSLFFFNENFDNKGSSLQNREGELEGSAPGVTLVSVTKEYEGHKAVVQDLSLTFYRDQITALLGTNGAGKTTIISMLTGLHPPTSGTIIINGKNLQTDLSRVRMELGVCPQQDILLDNLTVREHLLLFASIKAPQWTKKELHQQVNQTLQDVDLTQHQHKQTRALSGGLKRKLSLGIAFMGMSRTVVLDEPTSGVDPCSRHSLWDILLKYREGRTIIFTTHHLDEAEALSDRVAVLQHGRLRCCGPPFCLKEAYGQGLRLTLTRQPSVLEAHDLKDMACVTSLIKIYIPQAFLKDSSGSELTYTIPKDTDKACLKGLFQALDENLHQLHLTGYGISDTTLEEVFLMLLQDSNKKSHIALGTESELQNHRPTGHLSGYCGSLARPATVQGVQLLRAQVAAILARRLRRTLRAGKSTLADLLLPVLFVALAMGLFMVRPLATEYPPLRLTPGHYQRAETYFFSSGGDNLDLTRVLLRKFRDQDLPCADLNPRQKNSSCWRTDPFSHPEFQDSCGCLKCPNRSASAPYLTNHLGHTLLNLSGFNMEEYLLAPSEKPRLGGWSFGLKIPSEAGGANGNISKPPTLAKVWYNQKGFHSLPSYLNHLNNLILWQHLPPTVDWRQYGITLYSHPYGGALLNKDKILESIRQCGVALCIVLGFSILSASIGSSVVRDRVIGAKRLQHISGLGYRMYWFTNFLYDMLFYLVSVCLCVAVIVAFQLTAFTFRKNLAATALLLSLFGYATLPWMYLMSRIFSSSDVAFISYVSLNFIFGLCTMLITIMPRLLAIISKAKNLQNIYDVLKWVFTIFPQFCLGQGLVELCYNQIKYDLTHNFGIDSYVSPFEMNFLGWIFVQLASQGTVLLLLRVLLHWDLLRWPRGHSTLQGTVKSSKDTDVEKEEKRVFEGRTNGDILVLYNLSKHYRRFFQNIIAVQDISLGIPKGECFGLLGVNGAGKSTTFKMLNGEVSLTSGHAIIRTPMGDAVDLSSAGTAGVLIGYCPQQDALDELLTGWEHLYYYCSLRGIPRQCIPEVAGDLIRRLHLEAHADKPVATYSGGTKRKLSTALALVGKPDILLLDEPSSGMDPCSKRYLWQTIMKEVREGCAAVLTSHSMEECEALCTRLAIMVNGSFKCLGSPQHIKNRFGDGYTVKVWLCKEANQHCTVSDHLKLYFPGIQFKGQHLNLLEYHVPKRWGCLADLFKVIENNKTFLNIKHYSINQTTLEQVFINFASEQQQTLQSTLDPSTDSHHTHHLPI
+>DECOY_sp|Q86UQ4|ABCAD_HUMAN ATP-binding cassette sub-family A member 13 OS=Homo sapiens OX=9606 GN=ABCA13 PE=2 SV=3
+IPLHHTHHSDTSPDLTSQLTQQQESAFNIFVQELTTQNISYHKINLFTKNNEIVKFLDALCGWRKPVHYELLNLHQGKFQIGPFYLKLHDSVTCHQNAEKCLWVKVTYGDGFRNKIHQPSGLCKFSGNVMIALRTCLAECEEMSHSTLVAACGERVEKMITQWLYRKSCPDMGSSPEDLLLIDPKGVLALATSLKRKTGGSYTAVPKDAHAELHLRRILDGAVEPICQRPIGRLSCYYYLHEWGTLLEDLADQQPCYGILVGATGASSLDVADGMPTRIIAHGSTLSVEGNLMKFTTSKGAGNVGLLGFCEGKPIGLSIDQVAIINQFFRRYHKSLNYLVLIDGNTRGEFVRKEEKEVDTDKSSKVTGQLTSHGRPWRLLDWHLLVRLLLLVTGQSALQVFIWGLFNMEFPSVYSDIGFNHTLDYKIQNYCLEVLGQGLCFQPFITFVWKLVDYINQLNKAKSIIALLRPMITILMTCLGFIFNLSVYSIFAVDSSSFIRSMLYMWPLTAYGFLSLLLATAALNKRFTFATLQFAVIVAVCLCVSVLYFLMDYLFNTFWYMRYGLGSIHQLRKAGIVRDRVVSSGISASLISFGLVICLAVGCQRISELIKDKNLLAGGYPHSYLTIGYQRWDVTPPLHQWLILNNLHNLYSPLSHFGKQNYWVKALTPPKSINGNAGGAESPIKLGFSWGGLRPKESPALLYEEMNFGSLNLLTHGLHNTLYPASASRNPCKLCGCSDQFEPHSFPDTRWCSSNKQRPNLDACPLDQDRFKRLLVRTLDLNDGGSSFFYTEARQYHGPTLRLPPYETALPRVMFLGMALAVFLVPLLLDALTSKGARLTRRLRRALIAAVQARLLQVGQVTAPRALSGCYGSLHGTPRHNQLESETGLAIHSKKNSDQLLMLFVEELTTDSIGYGTLHLQHLNEDLAQFLGKLCAKDTDKPITYTLESGSSDKLFAQPIYIKILSTVCAMDKLDHAELVSPQRTLTLRLGQGYAEKLCFPPGCCRLRGHQLVAVRDSLAEAEDLHHTTFIITRGERYKLLIDWLSHRSCPDVGSTPEDLVVTRSMGMFAIGLSLKRKLGGSLARTQKHQHQTLDVDQLTQNVQQHLEKKTWQPAKISAFLLLHERVTLNDLLIDQQPCVGLEMRVRSLDTQLNKGNIIITGSTPPHLGTLMSIITTKGAGNTGLLATIQDRYFTLSLDQVVAKHGEYEKTVSVLTVGPASGELEGERNQLSSGKNDFNENFFFLSSSLFYQRKEVLFGVSKWYSATFPFYWPKRLGFTGPILNSLYWGCLFYLSSDFLIMWCVWGFTMGGQELAQYMNNWQIGTEQGELFTIFFVGQGFATTSLLCLFTQNVFSLQNHLVLLVIYPLFSIMYVLSTCLAATNAQSFFASLLYSLMVVSMGFDLLFLFVIFTNSHAFIGSTKLVIALTASSITLVAMNELFWALFHIVPHVGMMRMYEEIQIEQEYVLKRVMSAVSVMWTLMMILPFFFGVNNLFLDSTHCPYPAAQTQAAPELAEQGTQVLIIAREIMDQLPAFVYNYKFGDAPLNQPHFKWSPNKVVDTRVSYLVNTRITYSVHPPLKVSESRFNKDFLSNSFIISALFSNQQLLEHAKTELIDVSQLAQFRNLAVCSSLNVLISGLFRFRGAGAHNFMEDLLGGYTQLKETLKDVDIHLQNEVFNRVFKNRLAEQLQNFMQGSGSRQFLSSMELLTESLTKLKDVIYFTYNAKQIVKNIEPTNPTYLIKGHLIPKLFTWVLAGNPLQLIEQYLKLCFPTSDEPINFKEKDDELLEKVRPLNIFMNSDSLFSAQDKCVMKMVFQFSGFASSRAQVNQSVQQFDLTELLATIKFTHLFEPLYEFVHQAKALLASLSSVIDLLSNLLGNAESPMLTKYIFARVDLNRSLLVILSYVKSGPLSCLEEAAIWSKEGKPFTLLLHLIEQDCKGSLAVTLASFLVKSHSINAELISHITENSIQISSRLEETLKTINKMLDKIKVDETVTFNSLFTMIPNGSSWTEELSKALMYLHQQVTELRPQSTCDQGTANELSSKFSELTSSLNKSLSECNLQNPSSWIKEIEQFHDQALTVGQIASLILHRIGNQKCSLTACLIDKTWSPNEAVITLVRVVMMKVKQLMEMAESPKVTQQFVECIEVVSQDTLPIQQWYKTLVFLGGLYKELCFFPKFSYPFDIMEKKTREEKESTVNKGTTAKIFIEFLPQFLTRTSTIWESNNFLLGKRWLAIRSLVNHIAKELQHHTINQSLPTDFYLSKNLDGEYDSKIGSSAELVTEITKLLNNPHQTFTMLVNSVNWNDKKLNKWLAELTLCIVMKLFSGIETSNQSLIKDLFPIVEHIIEWKIDQPGSHTSIPNPYLFDMQNTINNIPVLCGLIEERLNVSDMSFTQTENNFAVALSRMDELNMKVSTLFEAIEELIDSFDKSQNMYSLISPSMSFIDSNSSIYPEVGIMEFALDLFPVLLDNIKEFTFHDIKKLTAIEKVLNQVSQQMISYLTDFFKVSDKTNSIFHTKFVTSMKGSVKKVLKLLKVISDMSTALDRLDASDNLLMVLTGSMELLPKLVHSEESARSIAGVLRKTIELTARNRLPFSNNIFFLLDTLNALIVEQLTPYLAYFDKNPSHLITDLLRAMETSCERVLHLASGLDQNLKFLDESKNVHFFLQSVVTFFDINNETKNKMSTERLLANFLDQMFKLGQHTDFYFEGNDLIFSSKMLHYITEKLSSFINMLRDQIMLTLFQDLKLIELIKDKPVFSMEAIVDKHFYKLLLSIKNESDEKLFLSFFTKLFQETFDVISRLSLVTKRSTESQMHNLILNIFNMIQLDTDNLNWAAEQSNGALNNILNILINEFLLQVVSFNTLQEQNLLHTLFAVDFNGARSINKLSGWFTAIAKALLAIDETSSENTVPIFLTEIMRSYDENAYEQLWNKTVLLFDEIIELTKLSPSDLIELFHAFQLTIKQLAMSNISKIGKNMESLLHRIRFDQTLDKMIQQFKPWLEEFNYPTESKEIFSSLAELSSGTVNANQLMMFLSLLNHTSKELSWDESILQVTRELNSSIINQVSTETDENINLIKNLSSLKDLINEGSTVKTFNVNKLKEIIQLAVQKISGSPCLESISDRTQNISPPVFPLIKHWFKQVTKVLSINVHFVESLELLISNWDVLEHIICVVKRTIEMRSSENSCPSDEGQPSLHFHDLISAVKGYFSSSMLGHVNCPDIKSNQRFGSNTHNWCWVVPFCALAESIIDPKDSVLEITDLLIKIVIAFDEKTINSITIGHLLTFSYVDKLGETINKGPVGQLLRVAHPLVYYVDIVADVVDKPFLRSLQLLHNVNWSHSSDAFKEMLGVLLNVVLNGTGVSSINKFFDMLNVSVQELQDVLLDIDAKKLTRMVSTVKKLAQMYGANQPSTHHVVPMLSNFVDRILQIGLGTAKMIEPSIIIKPSNQLDHSLSFALYSALHYISNGVSNVDKEKPSTAFINLLNETKSSSNNELINFYIGKVLKQFEKGLTILHLWINQFQNPIEDSMEIPRLILETFYRWNSQSAFDFDTTLNNISMRVQKTSDNLLALLIEFKPKKEKEFVTTLVINLFDTVDKLYINVCNIHSGNSSCLPKKINLVWTVIKLISNMKNEIDRFNGLINQLHGQSFSSNSLLYLHNIVDLWVICGKLSSITNESSFTSNLTDLIRLMRQSTNVYLFGDELICETVNQFIDACSFLDRDHSVNRLFVIAERLETIINEFKEGYNTLNNSLFDNISDLNRVIYESTSSFEIFVELLSNLFERSTSENFPFTKLQHLAAEMTFLSKEVQELNLKKVSVLANGLAVLFDRLDLFDEWNHFDNAQTVNKFLNLILRAVNHTPLIGQCSKSVKVDDELEDLLQTFGHHLSTFVNMDFKFLQSITEWIETWMQLWSVTCHISMFKNFVSVNASLNSFIQALPFVFSSEESTSYNVSSIHKNDSILCKNDLLDEVSSNFFQSMYQFLSIRFDEDIILLQKLGTLTTHIVELEQGETSMFSQAQLELFNFISLLQQCYSVNSIGGLVEATKFLFAFAKSINQFNFQKIIDLIHKSIQTLFTLSSGRSQINLLEYIYRYFSSYIQLLLASDKDQFVNLHIHTLIKDVEQKQPESLASFLDSANRIAYVTNLAESIQEQELFGFEHLSTIIAESLRVFDINMAPSKPWDSHFLQSFNFSTSLIENEPVSFNLLTFFNELKARKGKSIGYAWLELLKPNIEFLILEIFRLLNKPEKRIWHSGLTQMKWIVENGFELLKQADTASPDRKLSKLHNTWLSSINLIDETLSPLSHFSPVISSDFLNPLLLKEFFEVFSLLFNMQEDELTHLKKEMMLLHKTFNLARSISATPSKLLNNLFQFYNDSIMKWDMNEEFCPSEEPFALLRNQFSEQMNVYQAVECTKRIFKKFAKWYYAQELFFQTKELTHFIVTSVLQHKCDSSFVDNEPSPDAGLLLFLRTCSLSAESLQMELEQWDLYEEPILVSMNKHWTIVEQLIRDADEVSGLLKNLVSTESSNCYCLLGQLGGWISSNGPPLFVEKESFRGNPCVANKALMQKLEWFFVDKELKLQNLEFWKFDNASTELCILVEQVFHLNQAIANRLAGDLQLFRKLAPWQPLNQLLSQLKWLHQLIKPFTHNDKPGDASLSDNLLLLATHLAEVVKWPKSEEEFQNRLAELSHGMGTLTKQLLSGQQWQVFVQQYVRLWSVAHVLYNKAESWKPHCGGVHGWKEAEDESTSSLVSCVMKELSTDTPIEKLEASSNLIQETHLDDFGFQSKLDYQVKQPDWVINQMSLHYVPETVAVGHQQLFTLTSITVNLVLESVQSFTQNLPLWDLDELSILSNLITQLLNVAVDMGDEVHDHSTHLRPLLLLFDWIHPQQHLSELKLIVEETKNLDMTFFSSGYSSDPTNSREVWLRKLNKAKDMMGHIEEALDQIEKLFALNNVKKPDAATQFRSLRFHHEMSGEYSFNRCRSGTNCLLSQVFPIVGCSPLDRPQLYCIDRYRPPEQFRLVTLIVFLICPWFFEALFLVPNRLRCLWNKWLLAKFQCGAHGM
+>sp|Q2M3G0|ABCB5_HUMAN ATP-binding cassette sub-family B member 5 OS=Homo sapiens OX=9606 GN=ABCB5 PE=1 SV=4
+MENSERAEEMQENYQRNGTAEEQPKLRKEAVGSIEIFRFADGLDITLMILGILASLVNGACLPLMPLVLGEMSDNLISGCLVQTNTTNYQNCTQSQEKLNEDMTLLTLYYVGIGVAALIFGYIQISLWIITAARQTKRIRKQFFHSVLAQDIGWFDSCDIGELNTRMTDDIDKISDGIGDKIALLFQNMSTFSIGLAVGLVKGWKLTLVTLSTSPLIMASAAACSRMVISLTSKELSAYSKAGAVAEEVLSSIRTVIAFRAQEKELQRYTQNLKDAKDFGIKRTIASKVSLGAVYFFMNGTYGLAFWYGTSLILNGEPGYTIGTVLAVFFSVIHSSYCIGAAVPHFETFAIARGAAFHIFQVIDKKPSIDNFSTAGYKPESIEGTVEFKNVSFNYPSRPSIKILKGLNLRIKSGETVALVGLNGSGKSTVVQLLQRLYDPDDGFIMVDENDIRALNVRHYRDHIGVVSQEPVLFGTTISNNIKYGRDDVTDEEMERAAREANAYDFIMEFPNKFNTLVGEKGAQMSGGQKQRIAIARALVRNPKILILDEATSALDSESKSAVQAALEKASKGRTTIVVAHRLSTIRSADLIVTLKDGMLAEKGAHAELMAKRGLYYSLVMSQDIKKADEQMESMTYSTERKTNSLPLHSVKSIKSDFIDKAEESTQSKEISLPEVSLLKILKLNKPEWPFVVLGTLASVLNGTVHPVFSIIFAKIITMFGNNDKTTLKHDAEIYSMIFVILGVICFVSYFMQGLFYGRAGEILTMRLRHLAFKAMLYQDIAWFDEKENSTGGLTTILAIDIAQIQGATGSRIGVLTQNATNMGLSVIISFIYGWEMTFLILSIAPVLAVTGMIETAAMTGFANKDKQELKHAGKIATEALENIRTIVSLTREKAFEQMYEEMLQTQHRNTSKKAQIIGSCYAFSHAFIYFAYAAGFRFGAYLIQAGRMTPEGMFIVFTAIAYGAMAIGETLVLAPEYSKAKSGAAHLFALLEKKPNIDSRSQEGKKPDTCEGNLEFREVSFFYPCRPDVFILRGLSLSIERGKTVAFVGSSGCGKSTSVQLLQRLYDPVQGQVLFDGVDAKELNVQWLRSQIAIVPQEPVLFNCSIAENIAYGDNSRVVPLDEIKEAANAANIHSFIEGLPEKYNTQVGLKGAQLSGGQKQRLAIARALLQKPKILLLDEATSALDNDSEKVVQHALDKARTGRTCLVVTHRLSAIQNADLIVVLHNGKIKEQGTHQELLRNRDIYFKLVNAQSVQ
+>DECOY_sp|Q2M3G0|ABCB5_HUMAN ATP-binding cassette sub-family B member 5 OS=Homo sapiens OX=9606 GN=ABCB5 PE=1 SV=4
+QVSQANVLKFYIDRNRLLEQHTGQEKIKGNHLVVILDANQIASLRHTVVLCTRGTRAKDLAHQVVKESDNDLASTAEDLLLIKPKQLLARAIALRQKQGGSLQAGKLGVQTNYKEPLGEIFSHINAANAAEKIEDLPVVRSNDGYAINEAISCNFLVPEQPVIAIQSRLWQVNLEKADVGDFLVQGQVPDYLRQLLQVSTSKGCGSSGVFAVTKGREISLSLGRLIFVDPRCPYFFSVERFELNGECTDPKKGEQSRSDINPKKELLAFLHAAGSKAKSYEPALVLTEGIAMAGYAIATFVIFMGEPTMRGAQILYAGFRFGAAYAFYIFAHSFAYCSGIIQAKKSTNRHQTQLMEEYMQEFAKERTLSVITRINELAETAIKGAHKLEQKDKNAFGTMAATEIMGTVALVPAISLILFTMEWGYIFSIIVSLGMNTANQTLVGIRSGTAGQIQAIDIALITTLGGTSNEKEDFWAIDQYLMAKFALHRLRMTLIEGARGYFLGQMFYSVFCIVGLIVFIMSYIEADHKLTTKDNNGFMTIIKAFIISFVPHVTGNLVSALTGLVVFPWEPKNLKLIKLLSVEPLSIEKSQTSEEAKDIFDSKISKVSHLPLSNTKRETSYTMSEMQEDAKKIDQSMVLSYYLGRKAMLEAHAGKEALMGDKLTVILDASRITSLRHAVVITTRGKSAKELAAQVASKSESDLASTAEDLILIKPNRVLARAIAIRQKQGGSMQAGKEGVLTNFKNPFEMIFDYANAERAAREMEEDTVDDRGYKINNSITTGFLVPEQSVVGIHDRYHRVNLARIDNEDVMIFGDDPDYLRQLLQVVTSKGSGNLGVLAVTEGSKIRLNLGKLIKISPRSPYNFSVNKFEVTGEISEPKYGATSFNDISPKKDIVQFIHFAAGRAIAFTEFHPVAAGICYSSHIVSFFVALVTGITYGPEGNLILSTGYWFALGYTGNMFFYVAGLSVKSAITRKIGFDKADKLNQTYRQLEKEQARFAIVTRISSLVEEAVAGAKSYASLEKSTLSIVMRSCAAASAMILPSTSLTVLTLKWGKVLGVALGISFTSMNQFLLAIKDGIGDSIKDIDDTMRTNLEGIDCSDFWGIDQALVSHFFQKRIRKTQRAATIIWLSIQIYGFILAAVGIGVYYLTLLTMDENLKEQSQTCNQYNTTNTQVLCGSILNDSMEGLVLPMLPLCAGNVLSALIGLIMLTIDLGDAFRFIEISGVAEKRLKPQEEATGNRQYNEQMEEARESNEM
+>sp|Q9NP78|ABCB9_HUMAN ATP-binding cassette sub-family B member 9 OS=Homo sapiens OX=9606 GN=ABCB9 PE=1 SV=1
+MRLWKAVVVTLAFMSVDICVTTAIYVFSHLDRSLLEDIRHFNIFDSVLDLWAACLYRSCLLLGATIGVAKNSALGPRRLRASWLVITLVCLFVGIYAMVKLLLFSEVRRPIRDPWFWALFVWTYISLGASFLLWWLLSTVRPGTQALEPGAATEAEGFPGSGRPPPEQASGATLQKLLSYTKPDVAFLVAASFFLIVAALGETFLPYYTGRAIDGIVIQKSMDQFSTAVVIVCLLAIGSSFAAGIRGGIFTLIFARLNIRLRNCLFRSLVSQETSFFDENRTGDLISRLTSDTTMVSDLVSQNINVFLRNTVKVTGVVVFMFSLSWQLSLVTFMGFPIIMMVSNIYGKYYKRLSKEVQNALARASNTAEETISAMKTVRSFANEEEEAEVYLRKLQQVYKLNRKEAAAYMYYVWGSGLTLLVVQVSILYYGGHLVISGQMTSGNLIAFIIYEFVLGDCMESVGSVYSGLMQGVGAAEKVFEFIDRQPTMVHDGSLAPDHLEGRVDFENVTFTYRTRPHTQVLQNVSFSLSPGKVTALVGPSGSGKSSCVNILENFYPLEGGRVLLDGKPISAYDHKYLHRVISLVSQEPVLFARSITDNISYGLPTVPFEMVVEAAQKANAHGFIMELQDGYSTETGEKGAQLSGGQKQRVAMARALVRNPPVLILDEATSALDAESEYLIQQAIHGNLQKHTVLIIAHRLSTVEHAHLIVVLDKGRVVQQGTHQQLLAQGGLYAKLVQRQMLGLQPAADFTAGHNEPVANGSHKA
+>DECOY_sp|Q9NP78|ABCB9_HUMAN ATP-binding cassette sub-family B member 9 OS=Homo sapiens OX=9606 GN=ABCB9 PE=1 SV=1
+AKHSGNAVPENHGATFDAAPQLGLMQRQVLKAYLGGQALLQQHTGQQVVRGKDLVVILHAHEVTSLRHAIILVTHKQLNGHIAQQILYESEADLASTAEDLILVPPNRVLARAMAVRQKQGGSLQAGKEGTETSYGDQLEMIFGHANAKQAAEVVMEFPVTPLGYSINDTISRAFLVPEQSVLSIVRHLYKHDYASIPKGDLLVRGGELPYFNELINVCSSKGSGSPGVLATVKGPSLSFSVNQLVQTHPRTRYTFTVNEFDVRGELHDPALSGDHVMTPQRDIFEFVKEAAGVGQMLGSYVSGVSEMCDGLVFEYIIFAILNGSTMQGSIVLHGGYYLISVQVVLLTLGSGWVYYMYAAAEKRNLKYVQQLKRLYVEAEEEENAFSRVTKMASITEEATNSARALANQVEKSLRKYYKGYINSVMMIIPFGMFTVLSLQWSLSFMFVVVGTVKVTNRLFVNINQSVLDSVMTTDSTLRSILDGTRNEDFFSTEQSVLSRFLCNRLRINLRAFILTFIGGRIGAAFSSGIALLCVIVVATSFQDMSKQIVIGDIARGTYYPLFTEGLAAVILFFSAAVLFAVDPKTYSLLKQLTAGSAQEPPPRGSGPFGEAETAAGPELAQTGPRVTSLLWWLLFSAGLSIYTWVFLAWFWPDRIPRRVESFLLLKVMAYIGVFLCVLTIVLWSARLRRPGLASNKAVGITAGLLLCSRYLCAAWLDLVSDFINFHRIDELLSRDLHSFVYIATTVCIDVSMFALTVVVAKWLRM
+>sp|O95342|ABCBB_HUMAN Bile salt export pump OS=Homo sapiens OX=9606 GN=ABCB11 PE=1 SV=2
+MSDSVILRSIKKFGEENDGFESDKSYNNDKKSRLQDEKKGDGVRVGFFQLFRFSSSTDIWLMFVGSLCAFLHGIAQPGVLLIFGTMTDVFIDYDVELQELQIPGKACVNNTIVWTNSSLNQNMTNGTRCGLLNIESEMIKFASYYAGIAVAVLITGYIQICFWVIAAARQIQKMRKFYFRRIMRMEIGWFDCNSVGELNTRFSDDINKINDAIADQMALFIQRMTSTICGFLLGFFRGWKLTLVIISVSPLIGIGAATIGLSVSKFTDYELKAYAKAGVVADEVISSMRTVAAFGGEKREVERYEKNLVFAQRWGIRKGIVMGFFTGFVWCLIFLCYALAFWYGSTLVLDEGEYTPGTLVQIFLSVIVGALNLGNASPCLEAFATGRAAATSIFETIDRKPIIDCMSEDGYKLDRIKGEIEFHNVTFHYPSRPEVKILNDLNMVIKPGEMTALVGPSGAGKSTALQLIQRFYDPCEGMVTVDGHDIRSLNIQWLRDQIGIVEQEPVLFSTTIAENIRYGREDATMEDIVQAAKEANAYNFIMDLPQQFDTLVGEGGGQMSGGQKQRVAIARALIRNPKILLLDMATSALDNESEAMVQEVLSKIQHGHTIISVAHRLSTVRAADTIIGFEHGTAVERGTHEELLERKGVYFTLVTLQSQGNQALNEEDIKDATEDDMLARTFSRGSYQDSLRASIRQRSKSQLSYLVHEPPLAVVDHKSTYEEDRKDKDIPVQEEVEPAPVRRILKFSAPEWPYMLVGSVGAAVNGTVTPLYAFLFSQILGTFSIPDKEEQRSQINGVCLLFVAMGCVSLFTQFLQGYAFAKSGELLTKRLRKFGFRAMLGQDIAWFDDLRNSPGALTTRLATDASQVQGAAGSQIGMIVNSFTNVTVAMIIAFSFSWKLSLVILCFFPFLALSGATQTRMLTGFASRDKQALEMVGQITNEALSNIRTVAGIGKERRFIEALETELEKPFKTAIQKANIYGFCFAFAQCIMFIANSASYRYGGYLISNEGLHFSYVFRVISAVVLSATALGRAFSYTPSYAKAKISAARFFQLLDRQPPISVYNTAGEKWDNFQGKIDFVDCKFTYPSRPDSQVLNGLSVSISPGQTLAFVGSSGCGKSTSIQLLERFYDPDQGKVMIDGHDSKKVNVQFLRSNIGIVSQEPVLFACSIMDNIKYGDNTKEIPMERVIAAAKQAQLHDFVMSLPEKYETNVGSQGSQLSRGEKQRIAIARAIVRDPKILLLDEATSALDTESEKTVQVALDKAREGRTCIVIAHRLSTIQNADIIAVMAQGVVIEKGTHEELMAQKGAYYKLVTTGSPIS
+>DECOY_sp|O95342|ABCBB_HUMAN Bile salt export pump OS=Homo sapiens OX=9606 GN=ABCB11 PE=1 SV=2
+SIPSGTTVLKYYAGKQAMLEEHTGKEIVVGQAMVAIIDANQITSLRHAIVICTRGERAKDLAVQVTKESETDLASTAEDLLLIKPDRVIARAIAIRQKEGRSLQSGQSGVNTEYKEPLSMVFDHLQAQKAAAIVREMPIEKTNDGYKINDMISCAFLVPEQSVIGINSRLFQVNVKKSDHGDIMVKGQDPDYFRELLQISTSKGCGSSGVFALTQGPSISVSLGNLVQSDPRSPYTFKCDVFDIKGQFNDWKEGATNYVSIPPQRDLLQFFRAASIKAKAYSPTYSFARGLATASLVVASIVRFVYSFHLGENSILYGGYRYSASNAIFMICQAFAFCFGYINAKQIATKFPKELETELAEIFRREKGIGAVTRINSLAENTIQGVMELAQKDRSAFGTLMRTQTAGSLALFPFFCLIVLSLKWSFSFAIIMAVTVNTFSNVIMGIQSGAAGQVQSADTALRTTLAGPSNRLDDFWAIDQGLMARFGFKRLRKTLLEGSKAFAYGQLFQTFLSVCGMAVFLLCVGNIQSRQEEKDPISFTGLIQSFLFAYLPTVTGNVAAGVSGVLMYPWEPASFKLIRRVPAPEVEEQVPIDKDKRDEEYTSKHDVVALPPEHVLYSLQSKSRQRISARLSDQYSGRSFTRALMDDETADKIDEENLAQNGQSQLTVLTFYVGKRELLEEHTGREVATGHEFGIITDAARVTSLRHAVSIITHGHQIKSLVEQVMAESENDLASTAMDLLLIKPNRILARAIAVRQKQGGSMQGGGEGVLTDFQQPLDMIFNYANAEKAAQVIDEMTADERGYRINEAITTSFLVPEQEVIGIQDRLWQINLSRIDHGDVTVMGECPDYFRQILQLATSKGAGSPGVLATMEGPKIVMNLDNLIKVEPRSPYHFTVNHFEIEGKIRDLKYGDESMCDIIPKRDITEFISTAAARGTAFAELCPSANGLNLAGVIVSLFIQVLTGPTYEGEDLVLTSGYWFALAYCLFILCWVFGTFFGMVIGKRIGWRQAFVLNKEYREVERKEGGFAAVTRMSSIVEDAVVGAKAYAKLEYDTFKSVSLGITAAGIGILPSVSIIVLTLKWGRFFGLLFGCITSTMRQIFLAMQDAIADNIKNIDDSFRTNLEGVSNCDFWGIEMRMIRRFYFKRMKQIQRAAAIVWFCIQIYGTILVAVAIGAYYSAFKIMESEINLLGCRTGNTMNQNLSSNTWVITNNVCAKGPIQLEQLEVDYDIFVDTMTGFILLVGPQAIGHLFACLSGVFMLWIDTSSSFRFLQFFGVRVGDGKKEDQLRSKKDNNYSKDSEFGDNEEGFKKISRLIVSDSM
+>sp|Q09428|ABCC8_HUMAN ATP-binding cassette sub-family C member 8 OS=Homo sapiens OX=9606 GN=ABCC8 PE=1 SV=6
+MPLAFCGSENHSAAYRVDQGVLNNGCFVDALNVVPHVFLLFITFPILFIGWGSQSSKVHIHHSTWLHFPGHNLRWILTFMLLFVLVCEIAEGILSDGVTESHHLHLYMPAGMAFMAAVTSVVYYHNIETSNFPKLLIALLVYWTLAFITKTIKFVKFLDHAIGFSQLRFCLTGLLVILYGMLLLVEVNVIRVRRYIFFKTPREVKPPEDLQDLGVRFLQPFVNLLSKGTYWWMNAFIKTAHKKPIDLRAIGKLPIAMRALTNYQRLCEAFDAQVRKDIQGTQGARAIWQALSHAFGRRLVLSSTFRILADLLGFAGPLCIFGIVDHLGKENDVFQPKTQFLGVYFVSSQEFLANAYVLAVLLFLALLLQRTFLQASYYVAIETGINLRGAIQTKIYNKIMHLSTSNLSMGEMTAGQICNLVAIDTNQLMWFFFLCPNLWAMPVQIIVGVILLYYILGVSALIGAAVIILLAPVQYFVATKLSQAQRSTLEYSNERLKQTNEMLRGIKLLKLYAWENIFRTRVETTRRKEMTSLRAFAIYTSISIFMNTAIPIAAVLITFVGHVSFFKEADFSPSVAFASLSLFHILVTPLFLLSSVVRSTVKALVSVQKLSEFLSSAEIREEQCAPHEPTPQGPASKYQAVPLRVVNRKRPAREDCRGLTGPLQSLVPSADGDADNCCVQIMGGYFTWTPDGIPTLSNITIRIPRGQLTMIVGQVGCGKSSLLLAALGEMQKVSGAVFWSSLPDSEIGEDPSPERETATDLDIRKRGPVAYASQKPWLLNATVEENIIFESPFNKQRYKMVIEACSLQPDIDILPHGDQTQIGERGINLSGGQRQRISVARALYQHANVVFLDDPFSALDIHLSDHLMQAGILELLRDDKRTVVLVTHKLQYLPHADWIIAMKDGTIQREGTLKDFQRSECQLFEHWKTLMNRQDQELEKETVTERKATEPPQGLSRAMSSRDGLLQDEEEEEEEAAESEEDDNLSSMLHQRAEIPWRACAKYLSSAGILLLSLLVFSQLLKHMVLVAIDYWLAKWTDSALTLTPAARNCSLSQECTLDQTVYAMVFTVLCSLGIVLCLVTSVTVEWTGLKVAKRLHRSLLNRIILAPMRFFETTPLGSILNRFSSDCNTIDQHIPSTLECLSRSTLLCVSALAVISYVTPVFLVALLPLAIVCYFIQKYFRVASRDLQQLDDTTQLPLLSHFAETVEGLTTIRAFRYEARFQQKLLEYTDSNNIASLFLTAANRWLEVRMEYIGACVVLIAAVTSISNSLHRELSAGLVGLGLTYALMVSNYLNWMVRNLADMELQLGAVKRIHGLLKTEAESYEGLLAPSLIPKNWPDQGKIQIQNLSVRYDSSLKPVLKHVNALIAPGQKIGICGRTGSGKSSFSLAFFRMVDTFEGHIIIDGIDIAKLPLHTLRSRLSIILQDPVLFSGTIRFNLDPERKCSDSTLWEALEIAQLKLVVKALPGGLDAIITEGGENFSQGQRQLFCLARAFVRKTSIFIMDEATASIDMATENILQKVVMTAFADRTVVTIAHRVHTILSADLVIVLKRGAILEFDKPEKLLSRKDSVFASFVRADK
+>DECOY_sp|Q09428|ABCC8_HUMAN ATP-binding cassette sub-family C member 8 OS=Homo sapiens OX=9606 GN=ABCC8 PE=1 SV=6
+KDARVFSAFVSDKRSLLKEPKDFELIAGRKLVIVLDASLITHVRHAITVVTRDAFATMVVKQLINETAMDISATAEDMIFISTKRVFARALCFLQRQGQSFNEGGETIIADLGGPLAKVVLKLQAIELAEWLTSDSCKREPDLNFRITGSFLVPDQLIISLRSRLTHLPLKAIDIGDIIIHGEFTDVMRFFALSFSSKGSGTRGCIGIKQGPAILANVHKLVPKLSSDYRVSLNQIQIKGQDPWNKPILSPALLGEYSEAETKLLGHIRKVAGLQLEMDALNRVMWNLYNSVMLAYTLGLGVLGASLERHLSNSISTVAAILVVCAGIYEMRVELWRNAATLFLSAINNSDTYELLKQQFRAEYRFARITTLGEVTEAFHSLLPLQTTDDLQQLDRSAVRFYKQIFYCVIALPLLAVLFVPTVYSIVALASVCLLTSRSLCELTSPIHQDITNCDSSFRNLISGLPTTEFFRMPALIIRNLLSRHLRKAVKLGTWEVTVSTVLCLVIGLSCLVTFVMAYVTQDLTCEQSLSCNRAAPTLTLASDTWKALWYDIAVLVMHKLLQSFVLLSLLLIGASSLYKACARWPIEARQHLMSSLNDDEESEAAEEEEEEEDQLLGDRSSMARSLGQPPETAKRETVTEKELEQDQRNMLTKWHEFLQCESRQFDKLTGERQITGDKMAIIWDAHPLYQLKHTVLVVTRKDDRLLELIGAQMLHDSLHIDLASFPDDLFVVNAHQYLARAVSIRQRQGGSLNIGREGIQTQDGHPLIDIDPQLSCAEIVMKYRQKNFPSEFIINEEVTANLLWPKQSAYAVPGRKRIDLDTATEREPSPDEGIESDPLSSWFVAGSVKQMEGLAALLLSSKGCGVQGVIMTLQGRPIRITINSLTPIGDPTWTFYGGMIQVCCNDADGDASPVLSQLPGTLGRCDERAPRKRNVVRLPVAQYKSAPGQPTPEHPACQEERIEASSLFESLKQVSVLAKVTSRVVSSLLFLPTVLIHFLSLSAFAVSPSFDAEKFFSVHGVFTILVAAIPIATNMFISISTYIAFARLSTMEKRRTTEVRTRFINEWAYLKLLKIGRLMENTQKLRENSYELTSRQAQSLKTAVFYQVPALLIIVAAGILASVGLIYYLLIVGVIIQVPMAWLNPCLFFFWMLQNTDIAVLNCIQGATMEGMSLNSTSLHMIKNYIKTQIAGRLNIGTEIAVYYSAQLFTRQLLLALFLLVALVYANALFEQSSVFYVGLFQTKPQFVDNEKGLHDVIGFICLPGAFGLLDALIRFTSSLVLRRGFAHSLAQWIARAGQTGQIDKRVQADFAECLRQYNTLARMAIPLKGIARLDIPKKHATKIFANMWWYTGKSLLNVFPQLFRVGLDQLDEPPKVERPTKFFIYRRVRIVNVEVLLLMGYLIVLLGTLCFRLQSFGIAHDLFKVFKITKTIFALTWYVLLAILLKPFNSTEINHYYVVSTVAAMFAMGAPMYLHLHHSETVGDSLIGEAIECVLVFLLMFTLIWRLNHGPFHLWTSHHIHVKSSQSGWGIFLIPFTIFLLFVHPVVNLADVFCGNNLVGQDVRYAASHNESGCFALPM
+>sp|Q96J66|ABCCB_HUMAN ATP-binding cassette sub-family C member 11 OS=Homo sapiens OX=9606 GN=ABCC11 PE=1 SV=1
+MTRKRTYWVPNSSGGLVNRGIDIGDDMVSGLIYKTYTLQDGPWSQQERNPEAPGRAAVPPWGKYDAALRTMIPFRPKPRFPAPQPLDNAGLFSYLTVSWLTPLMIQSLRSRLDENTIPPLSVHDASDKNVQRLHRLWEEEVSRRGIEKASVLLVMLRFQRTRLIFDALLGICFCIASVLGPILIIPKILEYSEEQLGNVVHGVGLCFALFLSECVKSLSFSSSWIINQRTAIRFRAAVSSFAFEKLIQFKSVIHITSGEAISFFTGDVNYLFEGVCYGPLVLITCASLVICSISSYFIIGYTAFIAILCYLLVFPLAVFMTRMAVKAQHHTSEVSDQRIRVTSEVLTCIKLIKMYTWEKPFAKIIEDLRRKERKLLEKCGLVQSLTSITLFIIPTVATAVWVLIHTSLKLKLTASMAFSMLASLNLLRLSVFFVPIAVKGLTNSKSAVMRFKKFFLQESPVFYVQTLQDPSKALVFEEATLSWQQTCPGIVNGALELERNGHASEGMTRPRDALGPEEEGNSLGPELHKINLVVSKGMMLGVCGNTGSGKSSLLSAILEEMHLLEGSVGVQGSLAYVPQQAWIVSGNIRENILMGGAYDKARYLQVLHCCSLNRDLELLPFGDMTEIGERGLNLSGGQKQRISLARAVYSDRQIYLLDDPLSAVDAHVGKHIFEECIKKTLRGKTVVLVTHQLQYLEFCGQIILLENGKICENGTHSELMQKKGKYAQLIQKMHKEATSDMLQDTAKIAEKPKVESQALATSLEESLNGNAVPEHQLTQEEEMEEGSLSWRVYHHYIQAAGGYMVSCIIFFFVVLIVFLTIFSFWWLSYWLEQGSGTNSSRESNGTMADLGNIADNPQLSFYQLVYGLNALLLICVGVCSSGIFTKVTRKASTALHNKLFNKVFRCPMSFFDTIPIGRLLNCFAGDLEQLDQLLPIFSEQFLVLSLMVIAVLLIVSVLSPYILLMGAIIMVICFIYYMMFKKAIGVFKRLENYSRSPLFSHILNSLQGLSSIHVYGKTEDFISQFKRLTDAQNNYLLLFLSSTRWMALRLEIMTNLVTLAVALFVAFGISSTPYSFKVMAVNIVLQLASSFQATARIGLETEAQFTAVERILQYMKMCVSEAPLHMEGTSCPQGWPQHGEIIFQDYHMKYRDNTPTVLHGINLTIRGHEVVGIVGRTGSGKSSLGMALFRLVEPMAGRILIDGVDICSIGLEDLRSKLSVIPQDPVLLSGTIRFNLDPFDRHTDQQIWDALERTFLTKAISKFPKKLHTDVVENGGNFSVGERQLLCIARAVLRNSKIILIDEATASIDMETDTLIQRTIREAFQGCTVLVIAHRVTTVLNCDHILVMGNGKVVEFDRPEVLRKKPGSLFAALMATATSSLR
+>DECOY_sp|Q96J66|ABCCB_HUMAN ATP-binding cassette sub-family C member 11 OS=Homo sapiens OX=9606 GN=ABCC11 PE=1 SV=1
+RLSSTATAMLAAFLSGPKKRLVEPRDFEVVKGNGMVLIHDCNLVTTVRHAIVLVTCGQFAERITRQILTDTEMDISATAEDILIIKSNRLVARAICLLQREGVSFNGGNEVVDTHLKKPFKSIAKTLFTRELADWIQQDTHRDFPDLNFRITGSLLVPDQPIVSLKSRLDELGISCIDVGDILIRGAMPEVLRFLAMGLSSKGSGTRGVIGVVEHGRITLNIGHLVTPTNDRYKMHYDQFIIEGHQPWGQPCSTGEMHLPAESVCMKMYQLIREVATFQAETELGIRATAQFSSALQLVINVAMVKFSYPTSSIGFAVFLAVALTVLNTMIELRLAMWRTSSLFLLLYNNQADTLRKFQSIFDETKGYVHISSLGQLSNLIHSFLPSRSYNELRKFVGIAKKFMMYYIFCIVMIIAGMLLIYPSLVSVILLVAIVMLSLVLFQESFIPLLQDLQELDGAFCNLLRGIPITDFFSMPCRFVKNFLKNHLATSAKRTVKTFIGSSCVGVCILLLANLGYVLQYFSLQPNDAINGLDAMTGNSERSSNTGSGQELWYSLWWFSFITLFVILVVFFFIICSVMYGGAAQIYHHYVRWSLSGEEMEEEQTLQHEPVANGNLSEELSTALAQSEVKPKEAIKATDQLMDSTAEKHMKQILQAYKGKKQMLESHTGNECIKGNELLIIQGCFELYQLQHTVLVVTKGRLTKKICEEFIHKGVHADVASLPDDLLYIQRDSYVARALSIRQKQGGSLNLGREGIETMDGFPLLELDRNLSCCHLVQLYRAKDYAGGMLINERINGSVIWAQQPVYALSGQVGVSGELLHMEELIASLLSSKGSGTNGCVGLMMGKSVVLNIKHLEPGLSNGEEEPGLADRPRTMGESAHGNRELELAGNVIGPCTQQWSLTAEEFVLAKSPDQLTQVYFVPSEQLFFKKFRMVASKSNTLGKVAIPVFFVSLRLLNLSALMSFAMSATLKLKLSTHILVWVATAVTPIIFLTISTLSQVLGCKELLKREKRRLDEIIKAFPKEWTYMKILKICTLVESTVRIRQDSVESTHHQAKVAMRTMFVALPFVLLYCLIAIFATYGIIFYSSISCIVLSACTILVLPGYCVGEFLYNVDGTFFSIAEGSTIHIVSKFQILKEFAFSSVAARFRIATRQNIIWSSSFSLSKVCESLFLAFCLGVGHVVNGLQEESYELIKPIILIPGLVSAICFCIGLLADFILRTRQFRLMVLLVSAKEIGRRSVEEEWLRHLRQVNKDSADHVSLPPITNEDLRSRLSQIMLPTLWSVTLYSFLGANDLPQPAPFRPKPRFPIMTRLAADYKGWPPVAARGPAEPNREQQSWPGDQLTYTKYILGSVMDDGIDIGRNVLGGSSNPVWYTRKRTM
+>sp|Q9UBJ2|ABCD2_HUMAN ATP-binding cassette sub-family D member 2 OS=Homo sapiens OX=9606 GN=ABCD2 PE=1 SV=1
+MTHMLNAAADRVKWTRSSAAKRAACLVAAAYALKTLYPIIGKRLKQSGHGKKKAAAYPAAENTEILHCTETICEKPSPGVNADFFKQLLELRKILFPKLVTTETGWLCLHSVALISRTFLSIYVAGLDGKIVKSIVEKKPRTFIIKLIKWLMIAIPATFVNSAIRYLECKLALAFRTRLVDHAYETYFTNQTYYKVINMDGRLANPDQSLTEDIMMFSQSVAHLYSNLTKPILDVMLTSYTLIQTATSRGASPIGPTLLAGLVVYATAKVLKACSPKFGKLVAEEAHRKGYLRYVHSRIIANVEEIAFYRGHKVEMKQLQKSYKALADQMNLILSKRLWYIMIEQFLMKYVWSSSGLIMVAIPIITATGFADGEDGQKQVMVSERTEAFTTARNLLASGADAIERIMSSYKEVTELAGYTARVYNMFWVFDEVKRGIYKRTAVIQESESHSKNGAKVELPLSDTLAIKGKVIDVDHGIICENVPIITPAGEVVASRLNFKVEEGMHLLITGPNGCGKSSLFRILSGLWPVYEGVLYKPPPQHMFYIPQRPYMSLGSLRDQVIYPDSVDDMHDKGYTDQDLERILHNVHLYHIVQREGGWDAVMDWKDVLSGGEKQRMGMARMFYHKPKYALLDECTSAVSIDVEGKIFQAAKGAGISLLSITHRPSLWKYHTHLLQFDGEGGWRFEQLDTAIRLTLSEEKQKLESQLAGIPKMQQRLNELCKILGEDSVLKTIKNEDETS
+>DECOY_sp|Q9UBJ2|ABCD2_HUMAN ATP-binding cassette sub-family D member 2 OS=Homo sapiens OX=9606 GN=ABCD2 PE=1 SV=1
+STEDENKITKLVSDEGLIKCLENLRQQMKPIGALQSELKQKEESLTLRIATDLQEFRWGGEGDFQLLHTHYKWLSPRHTISLLSIGAGKAAQFIKGEVDISVASTCEDLLAYKPKHYFMRAMGMRQKEGGSLVDKWDMVADWGGERQVIHYLHVNHLIRELDQDTYGKDHMDDVSDPYIVQDRLSGLSMYPRQPIYFMHQPPPKYLVGEYVPWLGSLIRFLSSKGCGNPGTILLHMGEEVKFNLRSAVVEGAPTIIPVNECIIGHDVDIVKGKIALTDSLPLEVKAGNKSHSESEQIVATRKYIGRKVEDFVWFMNYVRATYGALETVEKYSSMIREIADAGSALLNRATTFAETRESVMVQKQGDEGDAFGTATIIPIAVMILGSSSWVYKMLFQEIMIYWLRKSLILNMQDALAKYSKQLQKMEVKHGRYFAIEEVNAIIRSHVYRLYGKRHAEEAVLKGFKPSCAKLVKATAYVVLGALLTPGIPSAGRSTATQILTYSTLMVDLIPKTLNSYLHAVSQSFMMIDETLSQDPNALRGDMNIVKYYTQNTFYTEYAHDVLRTRFALALKCELYRIASNVFTAPIAIMLWKILKIIFTRPKKEVISKVIKGDLGAVYISLFTRSILAVSHLCLWGTETTVLKPFLIKRLELLQKFFDANVGPSPKECITETCHLIETNEAAPYAAAKKKGHGSQKLRKGIIPYLTKLAYAAAVLCAARKAASSRTWKVRDAAANLMHTM
+>sp|P61221|ABCE1_HUMAN ATP-binding cassette sub-family E member 1 OS=Homo sapiens OX=9606 GN=ABCE1 PE=1 SV=1
+MADKLTRIAIVNHDKCKPKKCRQECKKSCPVVRMGKLCIEVTPQSKIAWISETLCIGCGICIKKCPFGALSIVNLPSNLEKETTHRYCANAFKLHRLPIPRPGEVLGLVGTNGIGKSTALKILAGKQKPNLGKYDDPPDWQEILTYFRGSELQNYFTKILEDDLKAIIKPQYVDQIPKAAKGTVGSILDRKDETKTQAIVCQQLDLTHLKERNVEDLSGGELQRFACAVVCIQKADIFMFDEPSSYLDVKQRLKAAITIRSLINPDRYIIVVEHDLSVLDYLSDFICCLYGVPSAYGVVTMPFSVREGINIFLDGYVPTENLRFRDASLVFKVAETANEEEVKKMCMYKYPGMKKKMGEFELAIVAGEFTDSEIMVMLGENGTGKTTFIRMLAGRLKPDEGGEVPVLNVSYKPQKISPKSTGSVRQLLHEKIRDAYTHPQFVTDVMKPLQIENIIDQEVQTLSGGELQRVALALCLGKPADVYLIDEPSAYLDSEQRLMAARVVKRFILHAKKTAFVVEHDFIMATYLADRVIVFDGVPSKNTVANSPQTLLAGMNKFLSQLEITFRRDPNNYRPRINKLNSIKDVEQKKSGNYFFLDD
+>DECOY_sp|P61221|ABCE1_HUMAN ATP-binding cassette sub-family E member 1 OS=Homo sapiens OX=9606 GN=ABCE1 PE=1 SV=1
+DDLFFYNGSKKQEVDKISNLKNIRPRYNNPDRRFTIELQSLFKNMGALLTQPSNAVTNKSPVGDFVIVRDALYTAMIFDHEVVFATKKAHLIFRKVVRAAMLRQESDLYASPEDILYVDAPKGLCLALAVRQLEGGSLTQVEQDIINEIQLPKMVDTVFQPHTYADRIKEHLLQRVSGTSKPSIKQPKYSVNLVPVEGGEDPKLRGALMRIFTTKGTGNEGLMVMIESDTFEGAVIALEFEGMKKKMGPYKYMCMKKVEEENATEAVKFVLSADRFRLNETPVYGDLFINIGERVSFPMTVVGYASPVGYLCCIFDSLYDLVSLDHEVVIIYRDPNILSRITIAAKLRQKVDLYSSPEDFMFIDAKQICVVACAFRQLEGGSLDEVNREKLHTLDLQQCVIAQTKTEDKRDLISGVTGKAAKPIQDVYQPKIIAKLDDELIKTFYNQLESGRFYTLIEQWDPPDDYKGLNPKQKGALIKLATSKGIGNTGVLGLVEGPRPIPLRHLKFANACYRHTTEKELNSPLNVISLAGFPCKKICIGCGICLTESIWAIKSQPTVEICLKGMRVVPCSKKCEQRCKKPKCKDHNVIAIRTLKDAM
+>sp|Q8TB40|ABHD4_HUMAN Protein ABHD4 OS=Homo sapiens OX=9606 GN=ABHD4 PE=1 SV=1
+MADDLEQQSQGWLSSWLPTWRPTSMSQLKNVEARILQCLQNKFLARYVSLPNQNKIWTVTVSPEQNDRTPLVMVHGFGGGVGLWILNMDSLSARRTLHTFDLLGFGRSSRPAFPRDPEGAEDEFVTSIETWRETMGIPSMILLGHSLGGFLATSYSIKYPDRVKHLILVDPWGFPLRPTNPSEIRAPPAWVKAVASVLGRSNPLAVLRVAGPWGPGLVQRFRPDFKRKFADFFEDDTISEYIYHCNAQNPSGETAFKAMMESFGWARRPMLERIHLIRKDVPITMIYGSDTWIDTSTGKKVKMQRPDSYVRDMEIKGASHHVYADQPHIFNAVVEEICDSVD
+>DECOY_sp|Q8TB40|ABHD4_HUMAN Protein ABHD4 OS=Homo sapiens OX=9606 GN=ABHD4 PE=1 SV=1
+DVSDCIEEVVANFIHPQDAYVHHSAGKIEMDRVYSDPRQMKVKKGTSTDIWTDSGYIMTIPVDKRILHIRELMPRRAWGFSEMMAKFATEGSPNQANCHYIYESITDDEFFDAFKRKFDPRFRQVLGPGWPGAVRLVALPNSRGLVSAVAKVWAPPARIESPNTPRLPFGWPDVLILHKVRDPYKISYSTALFGGLSHGLLIMSPIGMTERWTEISTVFEDEAGEPDRPFAPRSSRGFGLLDFTHLTRRASLSDMNLIWLGVGGGFGHVMVLPTRDNQEPSVTVTWIKNQNPLSVYRALFKNQLCQLIRAEVNKLQSMSTPRWTPLWSSLWGQSQQELDDAM
+>sp|Q8WTS1|ABHD5_HUMAN 1-acylglycerol-3-phosphate O-acyltransferase ABHD5 OS=Homo sapiens OX=9606 GN=ABHD5 PE=1 SV=1
+MAAEEEEVDSADTGERSGWLTGWLPTWCPTSISHLKEAEEKMLKCVPCTYKKEPVRISNGNKIWTLKFSHNISNKTPLVLLHGFGGGLGLWALNFGDLCTNRPVYAFDLLGFGRSSRPRFDSDAEEVENQFVESIEEWRCALGLDKMILLGHNLGGFLAAAYSLKYPSRVNHLILVEPWGFPERPDLADQDRPIPVWIRALGAALTPFNPLAGLRIAGPFGLSLVQRLRPDFKRKYSSMFEDDTVTEYIYHCNVQTPSGETAFKNMTIPYGWAKRPMLQRIGKMHPDIPVSVIFGARSCIDGNSGTSIQSLRPHSYVKTIAILGAGHYVYADQPEEFNQKVKEICDTVD
+>DECOY_sp|Q8WTS1|ABHD5_HUMAN 1-acylglycerol-3-phosphate O-acyltransferase ABHD5 OS=Homo sapiens OX=9606 GN=ABHD5 PE=1 SV=1
+DVTDCIEKVKQNFEEPQDAYVYHGAGLIAITKVYSHPRLSQISTGSNGDICSRAGFIVSVPIDPHMKGIRQLMPRKAWGYPITMNKFATEGSPTQVNCHYIYETVTDDEFMSSYKRKFDPRLRQVLSLGFPGAIRLGALPNFPTLAAGLARIWVPIPRDQDALDPREPFGWPEVLILHNVRSPYKLSYAAALFGGLNHGLLIMKDLGLACRWEEISEVFQNEVEEADSDFRPRSSRGFGLLDFAYVPRNTCLDGFNLAWLGLGGGFGHLLVLPTKNSINHSFKLTWIKNGNSIRVPEKKYTCPVCKLMKEEAEKLHSISTPCWTPLWGTLWGSREGTDASDVEEEEAAM
+>sp|Q9BV23|ABHD6_HUMAN Monoacylglycerol lipase ABHD6 OS=Homo sapiens OX=9606 GN=ABHD6 PE=1 SV=1
+MDLDVVNMFVIAGGTLAIPILAFVASFLLWPSALIRIYYWYWRRTLGMQVRYVHHEDYQFCYSFRGRPGHKPSILMLHGFSAHKDMWLSVVKFLPKNLHLVCVDMPGHEGTTRSSLDDLSIDGQVKRIHQFVECLKLNKKPFHLVGTSMGGQVAGVYAAYYPSDVSSLCLVCPAGLQYSTDNQFVQRLKELQGSAAVEKIPLIPSTPEEMSEMLQLCSYVRFKVPQQILQGLVDVRIPHNNFYRKLFLEIVSEKSRYSLHQNMDKIKVPTQIIWGKQDQVLDVSGADMLAKSIANCQVELLENCGHSVVMERPRKTAKLIIDFLASVHNTDNNKKLD
+>DECOY_sp|Q9BV23|ABHD6_HUMAN Monoacylglycerol lipase ABHD6 OS=Homo sapiens OX=9606 GN=ABHD6 PE=1 SV=1
+DLKKNNDTNHVSALFDIILKATKRPREMVVSHGCNELLEVQCNAISKALMDAGSVDLVQDQKGWIIQTPVKIKDMNQHLSYRSKESVIELFLKRYFNNHPIRVDVLGQLIQQPVKFRVYSCLQLMESMEEPTSPILPIKEVAASGQLEKLRQVFQNDTSYQLGAPCVLCLSSVDSPYYAAYVGAVQGGMSTGVLHFPKKNLKLCEVFQHIRKVQGDISLDDLSSRTTGEHGPMDVCVLHLNKPLFKVVSLWMDKHASFGHLMLISPKHGPRGRFSYCFQYDEHHVYRVQMGLTRRWYWYYIRILASPWLLFSAVFALIPIALTGGAIVFMNVVDLDM
+>sp|Q8NFV4|ABHDB_HUMAN Protein ABHD11 OS=Homo sapiens OX=9606 GN=ABHD11 PE=1 SV=1
+MRAGQQLASMLRWTRAWRLPREGLGPHGPSFARVPVAPSSSSGGRGGAEPRPLPLSYRLLDGEAALPAVVFLHGLFGSKTNFNSIAKILAQQTGRRVLTVDARNHGDSPHSPDMSYEIMSQDLQDLLPQLGLVPCVVVGHSMGGKTAMLLALQRPELVERLIAVDISPVESTGVSHFATYVAAMRAINIADELPRSRARKLADEQLSSVIQDMAVRQHLLTNLVEVDGRFVWRVNLDALTQHLDKILAFPQRQESYLGPTLFLLGGNSQFVHPSHHPEIMRLFPRAQMQTVPNAGHWIHADRPQDFIAAIRGFLV
+>DECOY_sp|Q8NFV4|ABHDB_HUMAN Protein ABHD11 OS=Homo sapiens OX=9606 GN=ABHD11 PE=1 SV=1
+VLFGRIAAIFDQPRDAHIWHGANPVTQMQARPFLRMIEPHHSPHVFQSNGGLLFLTPGLYSEQRQPFALIKDLHQTLADLNVRWVFRGDVEVLNTLLHQRVAMDQIVSSLQEDALKRARSRPLEDAINIARMAAVYTAFHSVGTSEVPSIDVAILREVLEPRQLALLMATKGGMSHGVVVCPVLGLQPLLDQLDQSMIEYSMDPSHPSDGHNRADVTLVRRGTQQALIKAISNFNTKSGFLGHLFVVAPLAAEGDLLRYSLPLPRPEAGGRGGSSSSPAVPVRAFSPGHPGLGERPLRWARTWRLMSALQQGARM
+>sp|Q9BUJ0|ABHEA_HUMAN Protein ABHD14A OS=Homo sapiens OX=9606 GN=ABHD14A PE=2 SV=2
+MVGALCGCWFRLGGARPLIPLGPTVVQTSMSRSQVALLGLSLLLMLLLYVGLPGPPEQTSCLWGDPNVTVLAGLTPGNSPIFYREVLPLNQAHRVEVVLLHGKAFNSHTWEQLGTLQLLSQRGYRAVALDLPGFGNSAPSKEASTEAGRAALLERALRDLEVQNAVLVSPSLSGHYALPFLMRGHHQLHGFVPIAPTSTQNYTQEQFWAVKTPTLILYGELDHILARESLRQLRHLPNHSVVKLRNAGHACYLHKPQDFHLVLLAFLDHLP
+>DECOY_sp|Q9BUJ0|ABHEA_HUMAN Protein ABHD14A OS=Homo sapiens OX=9606 GN=ABHD14A PE=2 SV=2
+PLHDLFALLVLHFDQPKHLYCAHGANRLKVVSHNPLHRLQRLSERALIHDLEGYLILTPTKVAWFQEQTYNQTSTPAIPVFGHLQHHGRMLFPLAYHGSLSPSVLVANQVELDRLARELLAARGAETSAEKSPASNGFGPLDLAVARYGRQSLLQLTGLQEWTHSNFAKGHLLVVEVRHAQNLPLVERYFIPSNGPTLGALVTVNPDGWLCSTQEPPGPLGVYLLLMLLLSLGLLAVQSRSMSTQVVTPGLPILPRAGGLRFWCGCLAGVM
+>sp|P42684|ABL2_HUMAN Tyrosine-protein kinase ABL2 OS=Homo sapiens OX=9606 GN=ABL2 PE=1 SV=1
+MGQQVGRVGEAPGLQQPQPRGIRGSSAARPSGRRRDPAGRTTETGFNIFTQHDHFASCVEDGFEGDKTGGSSPEALHRPYGCDVEPQALNEAIRWSSKENLLGATESDPNLFVALYDFVASGDNTLSITKGEKLRVLGYNQNGEWSEVRSKNGQGWVPSNYITPVNSLEKHSWYHGPVSRSAAEYLLSSLINGSFLVRESESSPGQLSISLRYEGRVYHYRINTTADGKVYVTAESRFSTLAELVHHHSTVADGLVTTLHYPAPKCNKPTVYGVSPIHDKWEMERTDITMKHKLGGGQYGEVYVGVWKKYSLTVAVKTLKEDTMEVEEFLKEAAVMKEIKHPNLVQLLGVCTLEPPFYIVTEYMPYGNLLDYLRECNREEVTAVVLLYMATQISSAMEYLEKKNFIHRDLAARNCLVGENHVVKVADFGLSRLMTGDTYTAHAGAKFPIKWTAPESLAYNTFSIKSDVWAFGVLLWEIATYGMSPYPGIDLSQVYDLLEKGYRMEQPEGCPPKVYELMRACWKWSPADRPSFAETHQAFETMFHDSSISEEVAEELGRAASSSSVVPYLPRLPILPSKTRTLKKQVENKENIEGAQDATENSASSLAPGFIRGAQASSGSPALPRKQRDKSPSSLLEDAKETCFTRDRKGGFFSSFMKKRNAPTPPKRSSSFREMENQPHKKYELTGNFSSVASLQHADGFSFTPAQQEANLVPPKCYGGSFAQRNLCNDDGGGGGGSGTAGGGWSGITGFFTPRLIKKTLGLRAGKPTASDDTSKPFPRSNSTSSMSSGLPEQDRMAMTLPRNCQRSKLQLERTVSTSSQPEENVDRANDMLPKKSEESAAPSRERPKAKLLPRGATALPLRTPSGDLAITEKDPPGVGVAGVAAAPKGKEKNGGARLGMAGVPEDGEQPGWPSPAKAAPVLPTTHNHKVPVLISPTLKHTPADVQLIGTDSQGNKFKLLSEHQVTSSGDKDRPRRVKPKCAPPPPPVMRLLQHPSICSDPTEEPTALTAGQSTSETQEGGKKAALGAVPISGKAGRPVMPPPQVPLPTSSISPAKMANGTAGTKVALRKTKQAAEKISADKISKEALLECADLLSSALTEPVPNSQLVDTGHQLLDYCSGYVDCIPQTRNKFAFREAVSKLELSLQELQVSSAAAGVPGTNPVLNNLLSCVQEISDVVQR
+>DECOY_sp|P42684|ABL2_HUMAN Tyrosine-protein kinase ABL2 OS=Homo sapiens OX=9606 GN=ABL2 PE=1 SV=1
+RQVVDSIEQVCSLLNNLVPNTGPVGAAASSVQLEQLSLELKSVAERFAFKNRTQPICDVYGSCYDLLQHGTDVLQSNPVPETLASSLLDACELLAEKSIKDASIKEAAQKTKRLAVKTGATGNAMKAPSISSTPLPVQPPPMVPRGAKGSIPVAGLAAKKGGEQTESTSQGATLATPEETPDSCISPHQLLRMVPPPPPACKPKVRRPRDKDGSSTVQHESLLKFKNGQSDTGILQVDAPTHKLTPSILVPVKHNHTTPLVPAAKAPSPWGPQEGDEPVGAMGLRAGGNKEKGKPAAAVGAVGVGPPDKETIALDGSPTRLPLATAGRPLLKAKPRERSPAASEESKKPLMDNARDVNEEPQSSTSVTRELQLKSRQCNRPLTMAMRDQEPLGSSMSSTSNSRPFPKSTDDSATPKGARLGLTKKILRPTFFGTIGSWGGGATGSGGGGGGDDNCLNRQAFSGGYCKPPVLNAEQQAPTFSFGDAHQLSAVSSFNGTLEYKKHPQNEMERFSSSRKPPTPANRKKMFSSFFGGKRDRTFCTEKADELLSSPSKDRQKRPLAPSGSSAQAGRIFGPALSSASNETADQAGEINEKNEVQKKLTRTKSPLIPLRPLYPVVSSSSAARGLEEAVEESISSDHFMTEFAQHTEAFSPRDAPSWKWCARMLEYVKPPCGEPQEMRYGKELLDYVQSLDIGPYPSMGYTAIEWLLVGFAWVDSKISFTNYALSEPATWKIPFKAGAHATYTDGTMLRSLGFDAVKVVHNEGVLCNRAALDRHIFNKKELYEMASSIQTAMYLLVVATVEERNCERLYDLLNGYPMYETVIYFPPELTCVGLLQVLNPHKIEKMVAAEKLFEEVEMTDEKLTKVAVTLSYKKWVGVYVEGYQGGGLKHKMTIDTREMEWKDHIPSVGYVTPKNCKPAPYHLTTVLGDAVTSHHHVLEALTSFRSEATVYVKGDATTNIRYHYVRGEYRLSISLQGPSSESERVLFSGNILSSLLYEAASRSVPGHYWSHKELSNVPTIYNSPVWGQGNKSRVESWEGNQNYGLVRLKEGKTISLTNDGSAVFDYLAVFLNPDSETAGLLNEKSSWRIAENLAQPEVDCGYPRHLAEPSSGGTKDGEFGDEVCSAFHDHQTFINFGTETTRGAPDRRRGSPRAASSGRIGRPQPQQLGPAEGVRGVQQGM
+>sp|Q9H845|ACAD9_HUMAN Acyl-CoA dehydrogenase family member 9, mitochondrial OS=Homo sapiens OX=9606 GN=ACAD9 PE=1 SV=1
+MSGCGLFLRTTAAARACRGLVVSTANRRLLRTSPPVRAFAKELFLGKIKKKEVFPFPEVSQDELNEINQFLGPVEKFFTEEVDSRKIDQEGKIPDETLEKLKSLGLFGLQVPEEYGGLGFSNTMYSRLGEIISMDGSITVTLAAHQAIGLKGIILAGTEEQKAKYLPKLASGEHIAAFCLTEPASGSDAASIRSRATLSEDKKHYILNGSKVWITNGGLANIFTVFAKTEVVDSDGSVKDKITAFIVERDFGGVTNGKPEDKLGIRGSNTCEVHFENTKIPVENILGEVGDGFKVAMNILNSGRFSMGSVVAGLLKRLIEMTAEYACTRKQFNKRLSEFGLIQEKFALMAQKAYVMESMTYLTAGMLDQPGFPDCSIEAAMVKVFSSEAAWQCVSEALQILGGLGYTRDYPYERILRDTRILLIFEGTNEILRMYIALTGLQHAGRILTTRIHELKQAKVSTVMDTVGRRLRDSLGRTVDLGLTGNHGVVHPSLADSANKFEENTYCFGRTVETLLLRFGKTIMEEQLVLKRVANILINLYGMTAVLSRASRSIRIGLRNHDHEVLLANTFCVEAYLQNLFSLSQLDKYAPENLDEQIKKVSQQILEKRAYICAHPLDRTC
+>DECOY_sp|Q9H845|ACAD9_HUMAN Acyl-CoA dehydrogenase family member 9, mitochondrial OS=Homo sapiens OX=9606 GN=ACAD9 PE=1 SV=1
+CTRDLPHACIYARKELIQQSVKKIQEDLNEPAYKDLQSLSFLNQLYAEVCFTNALLVEHDHNRLGIRISRSARSLVATMGYLNILINAVRKLVLQEEMITKGFRLLLTEVTRGFCYTNEEFKNASDALSPHVVGHNGTLGLDVTRGLSDRLRRGVTDMVTSVKAQKLEHIRTTLIRGAHQLGTLAIYMRLIENTGEFILLIRTDRLIREYPYDRTYGLGGLIQLAESVCQWAAESSFVKVMAAEISCDPFGPQDLMGATLYTMSEMVYAKQAMLAFKEQILGFESLRKNFQKRTCAYEATMEILRKLLGAVVSGMSFRGSNLINMAVKFGDGVEGLINEVPIKTNEFHVECTNSGRIGLKDEPKGNTVGGFDREVIFATIKDKVSGDSDVVETKAFVTFINALGGNTIWVKSGNLIYHKKDESLTARSRISAADSGSAPETLCFAAIHEGSALKPLYKAKQEETGALIIGKLGIAQHAALTVTISGDMSIIEGLRSYMTNSFGLGGYEEPVQLGFLGLSKLKELTEDPIKGEQDIKRSDVEETFFKEVPGLFQNIENLEDQSVEPFPFVEKKKIKGLFLEKAFARVPPSTRLLRRNATSVVLGRCARAAATTRLFLGCGSM
+>sp|P16219|ACADS_HUMAN Short-chain specific acyl-CoA dehydrogenase, mitochondrial OS=Homo sapiens OX=9606 GN=ACADS PE=1 SV=1
+MAAALLARASGPARRALCPRAWRQLHTIYQSVELPETHQMLLQTCRDFAEKELFPIAAQVDKEHLFPAAQVKKMGGLGLLAMDVPEELGGAGLDYLAYAIAMEEISRGCASTGVIMSVNNSLYLGPILKFGSKEQKQAWVTPFTSGDKIGCFALSEPGNGSDAGAASTTARAEGDSWVLNGTKAWITNAWEASAAVVFASTDRALQNKGISAFLVPMPTPGLTLGKKEDKLGIRGSSTANLIFEDCRIPKDSILGEPGMGFKIAMQTLDMGRIGIASQALGIAQTALDCAVNYAENRMAFGAPLTKLQVIQFKLADMALALESARLLTWRAAMLKDNKKPFIKEAAMAKLAASEAATAISHQAIQILGGMGYVTEMPAERHYRDARITEIYEGTSEIQRLVIAGHLLRSYRS
+>DECOY_sp|P16219|ACADS_HUMAN Short-chain specific acyl-CoA dehydrogenase, mitochondrial OS=Homo sapiens OX=9606 GN=ACADS PE=1 SV=1
+SRYSRLLHGAIVLRQIESTGEYIETIRADRYHREAPMETVYGMGGLIQIAQHSIATAAESAALKAMAAEKIFPKKNDKLMAARWTLLRASELALAMDALKFQIVQLKTLPAGFAMRNEAYNVACDLATQAIGLAQSAIGIRGMDLTQMAIKFGMGPEGLISDKPIRCDEFILNATSSGRIGLKDEKKGLTLGPTPMPVLFASIGKNQLARDTSAFVVAASAEWANTIWAKTGNLVWSDGEARATTSAAGADSGNGPESLAFCGIKDGSTFPTVWAQKQEKSGFKLIPGLYLSNNVSMIVGTSACGRSIEEMAIAYALYDLGAGGLEEPVDMALLGLGGMKKVQAAPFLHEKDVQAAIPFLEKEAFDRCTQLLMQHTEPLEVSQYITHLQRWARPCLARRAPGSARALLAAAM
+>sp|P49748|ACADV_HUMAN Very long-chain specific acyl-CoA dehydrogenase, mitochondrial OS=Homo sapiens OX=9606 GN=ACADVL PE=1 SV=1
+MQAARMAASLGRQLLRLGGGSSRLTALLGQPRPGPARRPYAGGAAQLALDKSDSHPSDALTRKKPAKAESKSFAVGMFKGQLTTDQVFPYPSVLNEEQTQFLKELVEPVSRFFEEVNDPAKNDALEMVEETTWQGLKELGAFGLQVPSELGGVGLCNTQYARLVEIVGMHDLGVGITLGAHQSIGFKGILLFGTKAQKEKYLPKLASGETVAAFCLTEPSSGSDAASIRTSAVPSPCGKYYTLNGSKLWISNGGLADIFTVFAKTPVTDPATGAVKEKITAFVVERGFGGITHGPPEKKMGIKASNTAEVFFDGVRVPSENVLGEVGSGFKVAMHILNNGRFGMAAALAGTMRGIIAKAVDHATNRTQFGEKIHNFGLIQEKLARMVMLQYVTESMAYMVSANMDQGATDFQIEAAISKIFGSEAAWKVTDECIQIMGGMGFMKEPGVERVLRDLRIFRIFEGTNDILRLFVALQGCMDKGKELSGLGSALKNPFGNAGLLLGEAGKQLRRRAGLGSGLSLSGLVHPELSRSGELAVRALEQFATVVEAKLIKHKKGIVNEQFLLQRLADGAIDLYAMVVVLSRASRSLSEGHPTAQHEKMLCDTWCIEAAARIREGMAALQSDPWQQELYRNFKSISKALVERGGVVTSNPLGF
+>DECOY_sp|P49748|ACADV_HUMAN Very long-chain specific acyl-CoA dehydrogenase, mitochondrial OS=Homo sapiens OX=9606 GN=ACADVL PE=1 SV=1
+FGLPNSTVVGGREVLAKSISKFNRYLEQQWPDSQLAAMGERIRAAAEICWTDCLMKEHQATPHGESLSRSARSLVVVMAYLDIAGDALRQLLFQENVIGKKHKILKAEVVTAFQELARVALEGSRSLEPHVLGSLSLGSGLGARRRLQKGAEGLLLGANGFPNKLASGLGSLEKGKDMCGQLAVFLRLIDNTGEFIRFIRLDRLVREVGPEKMFGMGGMIQICEDTVKWAAESGFIKSIAAEIQFDTAGQDMNASVMYAMSETVYQLMVMRALKEQILGFNHIKEGFQTRNTAHDVAKAIIGRMTGALAAAMGFRGNNLIHMAVKFGSGVEGLVNESPVRVGDFFVEATNSAKIGMKKEPPGHTIGGFGREVVFATIKEKVAGTAPDTVPTKAFVTFIDALGGNSIWLKSGNLTYYKGCPSPVASTRISAADSGSSPETLCFAAVTEGSALKPLYKEKQAKTGFLLIGKFGISQHAGLTIGVGLDHMGVIEVLRAYQTNCLGVGGLESPVQLGFAGLEKLGQWTTEEVMELADNKAPDNVEEFFRSVPEVLEKLFQTQEENLVSPYPFVQDTTLQGKFMGVAFSKSEAKAPKKRTLADSPHSDSKDLALQAAGGAYPRRAPGPRPQGLLATLRSSGGGLRLLQRGLSAAMRAAQM
+>sp|Q9H9R9|DBND1_HUMAN Dysbindin domain-containing protein 1 OS=Homo sapiens OX=9606 GN=DBNDD1 PE=1 SV=2
+MEPPEGAGTGEIVKEAEVPQAALGVPAQGTGDNGHTPVEEEVGGIPVPAPGLLQVTERRQPLSSVSSLEVHFDLLDLTELTDMSDQELAEVFADSDDENLNTESPAGLHPLPRAGYLRSPSWTRTRAEQSHEKQPLGDPERQATVLDTFLTVERPQED
+>DECOY_sp|Q9H9R9|DBND1_HUMAN Dysbindin domain-containing protein 1 OS=Homo sapiens OX=9606 GN=DBNDD1 PE=1 SV=2
+DEQPREVTLFTDLVTAQREPDGLPQKEHSQEARTRTWSPSRLYGARPLPHLGAPSETNLNEDDSDAFVEALEQDSMDTLETLDLLDFHVELSSVSSLPQRRETVQLLGPAPVPIGGVEEEVPTHGNDGTGQAPVGLAAQPVEAEKVIEGTGAGEPPEM
+>sp|Q9UJU6|DBNL_HUMAN Drebrin-like protein OS=Homo sapiens OX=9606 GN=DBNL PE=1 SV=1
+MAANLSRNGPALQEAYVRVVTEKSPTDWALFTYEGNSNDIRVAGTGEGGLEEMVEELNSGKVMYAFCRVKDPNSGLPKFVLINWTGEGVNDVRKGACASHVSTMASFLKGAHVTINARAEEDVEPECIMEKVAKASGANYSFHKESGRFQDVGPQAPVGSVYQKTNAVSEIKRVGKDSFWAKAEKEEENRRLEEKRRAEEAQRQLEQERRERELREAARREQRYQEQGGEASPQRTWEQQQEVVSRNRNEQESAVHPREIFKQKERAMSTTSISSPQPGKLRSPFLQKQLTQPETHFGREPAAAISRPRADLPAEEPAPSTPPCLVQAEEEAVYEEPPEQETFYEQPPLVQQQGAGSEHIDHHIQGQGLSGQGLCARALYDYQAADDTEISFDPENLITGIEVIDEGWWRGYGPDGHFGMFPANYVELIE
+>DECOY_sp|Q9UJU6|DBNL_HUMAN Drebrin-like protein OS=Homo sapiens OX=9606 GN=DBNL PE=1 SV=1
+EILEVYNAPFMGFHGDPGYGRWWGEDIVEIGTILNEPDFSIETDDAAQYDYLARACLGQGSLGQGQIHHDIHESGAGQQQVLPPQEYFTEQEPPEEYVAEEEAQVLCPPTSPAPEEAPLDARPRSIAAAPERGFHTEPQTLQKQLFPSRLKGPQPSSISTTSMAREKQKFIERPHVASEQENRNRSVVEQQQEWTRQPSAEGGQEQYRQERRAAERLERERREQELQRQAEEARRKEELRRNEEEKEAKAWFSDKGVRKIESVANTKQYVSGVPAQPGVDQFRGSEKHFSYNAGSAKAVKEMICEPEVDEEARANITVHAGKLFSAMTSVHSACAGKRVDNVGEGTWNILVFKPLGSNPDKVRCFAYMVKGSNLEEVMEELGGEGTGAVRIDNSNGEYTFLAWDTPSKETVVRVYAEQLAPGNRSLNAAM
+>sp|Q5VW00|DC122_HUMAN DDB1- and CUL4-associated factor 12-like protein 2 OS=Homo sapiens OX=9606 GN=DCAF12L2 PE=2 SV=1
+MAQQQTGSRKRKAPAVEAGAGSSSSQGLAAADGEGPLLPKKQKRPATRRRLVHYLKGREVGARGPAGLQGFEGELRGYAVQRLPELLTERQLDLGTLNKVFASQWLNARQVVCGTKCNTLFVVDVQSGHITRIPLMRDKEAGLAQAHQGCGIHAIELNPSKTLLATGGENPNSLAIYQLPTLDPLCLGDRHGHKDWIFAVAWLSDTVAVSGSRDGTVALWRMDPDMFNGSIAWHSEVGLPVYAHIRPRDVEAIPRASTNPSNRKVRALAFSGKNQELGAVSLDGYFHLWKARSTLSRLLSIRLPYCRENVCLTYCDELSLYAVGSQSHVSFLDPRQRQQNIRPLCSREGGTGVRSLSFYQHIITVGTGHGSLLFYDIRAQKFLEERASSSLDSMPGPAGRKLKLACGRGWLNQDDVWVNYFGGMGEFPNALYTHCYNWPEMKLFVAGGPLPSGLHGNYAGLWS
+>DECOY_sp|Q5VW00|DC122_HUMAN DDB1- and CUL4-associated factor 12-like protein 2 OS=Homo sapiens OX=9606 GN=DCAF12L2 PE=2 SV=1
+SWLGAYNGHLGSPLPGGAVFLKMEPWNYCHTYLANPFEGMGGFYNVWVDDQNLWGRGCALKLKRGAPGPMSDLSSSAREELFKQARIDYFLLSGHGTGVTIIHQYFSLSRVGTGGERSCLPRINQQRQRPDLFSVHSQSGVAYLSLEDCYTLCVNERCYPLRISLLRSLTSRAKWLHFYGDLSVAGLEQNKGSFALARVKRNSPNTSARPIAEVDRPRIHAYVPLGVESHWAISGNFMDPDMRWLAVTGDRSGSVAVTDSLWAVAFIWDKHGHRDGLCLPDLTPLQYIALSNPNEGGTALLTKSPNLEIAHIGCGQHAQALGAEKDRMLPIRTIHGSQVDVVFLTNCKTGCVVQRANLWQSAFVKNLTGLDLQRETLLEPLRQVAYGRLEGEFGQLGAPGRAGVERGKLYHVLRRRTAPRKQKKPLLPGEGDAAALGQSSSSGAGAEVAPAKRKRSGTQQQAM
+>sp|Q13409|DC1I2_HUMAN Cytoplasmic dynein 1 intermediate chain 2 OS=Homo sapiens OX=9606 GN=DYNC1I2 PE=1 SV=3
+MSDKSELKAELERKKQRLAQIREEKKRKEEERKKKETDQKKEAVAPVQEESDLEKKRREAEALLQSMGLTPESPIVFSEYWVPPPMSPSSKSVSTPSEAGSQDSGDGAVGSRTLHWDTDPSVLQLHSDSDLGRGPIKLGMAKITQVDFPPREIVTYTKETQTPVMAQPKEDEEEDDDVVAPKPPIEPEEEKTLKKDEENDSKAPPHELTEEEKQQILHSEEFLSFFDHSTRIVERALSEQINIFFDYSGRDLEDKEGEIQAGAKLSLNRQFFDERWSKHRVVSCLDWSSQYPELLVASYNNNEDAPHEPDGVALVWNMKYKKTTPEYVFHCQSAVMSATFAKFHPNLVVGGTYSGQIVLWDNRSNKRTPVQRTPLSAAAHTHPVYCVNVVGTQNAHNLISISTDGKICSWSLDMLSHPQDSMELVHKQSKAVAVTSMSFPVGDVNNFVVGSEEGSVYTACRHGSKAGISEMFEGHQGPITGIHCHAAVGAVDFSHLFVTSSFDWTVKLWTTKNNKPLYSFEDNADYVYDVMWSPTHPALFACVDGMGRLDLWNLNNDTEVPTASISVEGNPALNRVRWTHSGREIAVGDSEGQIVIYDVGEQIAVPRNDEWARFGRTLAEINANRADAEEEAATRIPA
+>DECOY_sp|Q13409|DC1I2_HUMAN Cytoplasmic dynein 1 intermediate chain 2 OS=Homo sapiens OX=9606 GN=DYNC1I2 PE=1 SV=3
+APIRTAAEEEADARNANIEALTRGFRAWEDNRPVAIQEGVDYIVIQGESDGVAIERGSHTWRVRNLAPNGEVSISATPVETDNNLNWLDLRGMGDVCAFLAPHTPSWMVDYVYDANDEFSYLPKNNKTTWLKVTWDFSSTVFLHSFDVAGVAAHCHIGTIPGQHGEFMESIGAKSGHRCATYVSGEESGVVFNNVDGVPFSMSTVAVAKSQKHVLEMSDQPHSLMDLSWSCIKGDTSISILNHANQTGVVNVCYVPHTHAAASLPTRQVPTRKNSRNDWLVIQGSYTGGVVLNPHFKAFTASMVASQCHFVYEPTTKKYKMNWVLAVGDPEHPADENNNYSAVLLEPYQSSWDLCSVVRHKSWREDFFQRNLSLKAGAQIEGEKDELDRGSYDFFINIQESLAREVIRTSHDFFSLFEESHLIQQKEEETLEHPPAKSDNEEDKKLTKEEEPEIPPKPAVVDDDEEEDEKPQAMVPTQTEKTYTVIERPPFDVQTIKAMGLKIPGRGLDSDSHLQLVSPDTDWHLTRSGVAGDGSDQSGAESPTSVSKSSPSMPPPVWYESFVIPSEPTLGMSQLLAEAERRKKELDSEEQVPAVAEKKQDTEKKKREEEKRKKEERIQALRQKKRELEAKLESKDSM
+>sp|O43237|DC1L2_HUMAN Cytoplasmic dynein 1 light intermediate chain 2 OS=Homo sapiens OX=9606 GN=DYNC1LI2 PE=1 SV=1
+MAPVGVEKKLLLGPNGPAVAAAGDLTSEEEEGQSLWSSILSEVSTRARSKLPSGKNILVFGEDGSGKTTLMTKLQGAEHGKKGRGLEYLYLSVHDEDRDDHTRCNVWILDGDLYHKGLLKFAVSAESLPETLVIFVADMSRPWTVMESLQKWASVLREHIDKMKIPPEKMRELERKFVKDFQDYMEPEEGCQGSPQRRGPLTSGSDEENVALPLGDNVLTHNLGIPVLVVCTKCDAVSVLEKEHDYRDEHLDFIQSHLRRFCLQYGAALIYTSVKEEKNLDLLYKYIVHKTYGFHFTTPALVVEKDAVFIPAGWDNEKKIAILHENFTTVKPEDAYEDFIVKPPVRKLVHDKELAAEDEQVFLMKQQSLLAKQPATPTRASESPARGPSGSPRTQGRGGPASVPSSSPGTSVKKPDPNIKNNAASEGVLASFFNSLLSKKTGSPGSPGAGGVQSTAKKSGQKTVLSNVQEELDRMTRKPDSMVTNSSTENEA
+>DECOY_sp|O43237|DC1L2_HUMAN Cytoplasmic dynein 1 light intermediate chain 2 OS=Homo sapiens OX=9606 GN=DYNC1LI2 PE=1 SV=1
+AENETSSNTVMSDPKRTMRDLEEQVNSLVTKQGSKKATSQVGGAGPSGPSGTKKSLLSNFFSALVGESAANNKINPDPKKVSTGPSSSPVSAPGGRGQTRPSGSPGRAPSESARTPTAPQKALLSQQKMLFVQEDEAALEKDHVLKRVPPKVIFDEYADEPKVTTFNEHLIAIKKENDWGAPIFVADKEVVLAPTTFHFGYTKHVIYKYLLDLNKEEKVSTYILAAGYQLCFRRLHSQIFDLHEDRYDHEKELVSVADCKTCVVLVPIGLNHTLVNDGLPLAVNEEDSGSTLPGRRQPSGQCGEEPEMYDQFDKVFKRELERMKEPPIKMKDIHERLVSAWKQLSEMVTWPRSMDAVFIVLTEPLSEASVAFKLLGKHYLDGDLIWVNCRTHDDRDEDHVSLYLYELGRGKKGHEAGQLKTMLTTKGSGDEGFVLINKGSPLKSRARTSVESLISSWLSQGEEEESTLDGAAAVAPGNPGLLLKKEVGVPAM
+>sp|Q3SXM0|DC4L1_HUMAN DDB1- and CUL4-associated factor 4-like protein 1 OS=Homo sapiens OX=9606 GN=DCAF4L1 PE=2 SV=1
+MEAERLRLLEEEAKLKKVARMGFNASSMLRKSQLGFLNVTSYSRLANELRVSCMERKKVQIRSLDPSSLASDRFNFILASTNSDQLFVVNQVEVEGSKYGIISLRTLKIPSFHVYVLRNLYVPNRKVKSLCWASLNQLDSHVLLCFEGITDAPSCAVLLPASRFLSVHTRVNQPGMLCSFQIPEAWSCAWSLNTRAYHCFSAGLSQQVLLTSVATGHQQSFDTSSDVLAQQFASTAPLLFNGCRSGEIFAIDLRCRNRGKGWRATRLFHDSAVTSVQILQEEQCLMASDMTGKIKLWDLRATKCVRQYEGHVNESAYLPLHVHEEEGIVVAVGQDCYTRIWSLHDAHLLRTIPSPYSASEDDIPSVAFASRLGGIRGAAPGLLMAVRQDLYCFPFS
+>DECOY_sp|Q3SXM0|DC4L1_HUMAN DDB1- and CUL4-associated factor 4-like protein 1 OS=Homo sapiens OX=9606 GN=DCAF4L1 PE=2 SV=1
+SFPFCYLDQRVAMLLGPAAGRIGGLRSAFAVSPIDDESASYPSPITRLLHADHLSWIRTYCDQGVAVVIGEEEHVHLPLYASENVHGEYQRVCKTARLDWLKIKGTMDSAMLCQEEQLIQVSTVASDHFLRTARWGKGRNRCRLDIAFIEGSRCGNFLLPATSAFQQALVDSSTDFSQQHGTAVSTLLVQQSLGASFCHYARTNLSWACSWAEPIQFSCLMGPQNVRTHVSLFRSAPLLVACSPADTIGEFCLLVHSDLQNLSAWCLSKVKRNPVYLNRLVYVHFSPIKLTRLSIIGYKSGEVEVQNVVFLQDSNTSALIFNFRDSALSSPDLSRIQVKKREMCSVRLENALRSYSTVNLFGLQSKRLMSSANFGMRAVKKLKAEEELLRLREAEM
+>sp|Q66K64|DCA15_HUMAN DDB1- and CUL4-associated factor 15 OS=Homo sapiens OX=9606 GN=DCAF15 PE=1 SV=1
+MAPSSKSERNSGAGSGGGGPGGAGGKRAAGRRREHVLKQLERVKISGQLSPRLFRKLPPRVCVSLKNIVDEDFLYAGHIFLGFSKCGRYVLSYTSSSGDDDFSFYIYHLYWWEFNVHSKLKLVRQVRLFQDEEIYSDLYLTVCEWPSDASKVIVFGFNTRSANGMLMNMMMMSDENHRDIYVSTVAVPPPGRCAACQDASRAHPGDPNAQCLRHGFMLHTKYQVVYPFPTFQPAFQLKKDQVVLLNTSYSLVACAVSVHSAGDRSFCQILYDHSTCPLAPASPPEPQSPELPPALPSFCPEAAPARSSGSPEPSPAIAKAKEFVADIFRRAKEAKGGVPEEARPALCPGPSGSRCRAHSEPLALCGETAPRDSPPASEAPASEPGYVNYTKLYYVLESGEGTEPEDELEDDKISLPFVVTDLRGRNLRPMRERTAVQGQYLTVEQLTLDFEYVINEVIRHDATWGHQFCSFSDYDIVILEVCPETNQVLINIGLLLLAFPSPTEEGQLRPKTYHTSLKVAWDLNTGIFETVSVGDLTEVKGQTSGSVWSSYRKSCVDMVMKWLVPESSGRYVNRMTNEALHKGCSLKVLADSERYTWIVL
+>DECOY_sp|Q66K64|DCA15_HUMAN DDB1- and CUL4-associated factor 15 OS=Homo sapiens OX=9606 GN=DCAF15 PE=1 SV=1
+LVIWTYRESDALVKLSCGKHLAENTMRNVYRGSSEPVLWKMVMDVCSKRYSSWVSGSTQGKVETLDGVSVTEFIGTNLDWAVKLSTHYTKPRLQGEETPSPFALLLLGINILVQNTEPCVELIVIDYDSFSCFQHGWTADHRIVENIVYEFDLTLQEVTLYQGQVATRERMPRLNRGRLDTVVFPLSIKDDELEDEPETGEGSELVYYLKTYNVYGPESAPAESAPPSDRPATEGCLALPESHARCRSGSPGPCLAPRAEEPVGGKAEKARRFIDAVFEKAKAIAPSPEPSGSSRAPAAEPCFSPLAPPLEPSQPEPPSAPALPCTSHDYLIQCFSRDGASHVSVACAVLSYSTNLLVVQDKKLQFAPQFTPFPYVVQYKTHLMFGHRLCQANPDGPHARSADQCAACRGPPPVAVTSVYIDRHNEDSMMMMNMLMGNASRTNFGFVIVKSADSPWECVTLYLDSYIEEDQFLRVQRVLKLKSHVNFEWWYLHYIYFSFDDDGSSSTYSLVYRGCKSFGLFIHGAYLFDEDVINKLSVCVRPPLKRFLRPSLQGSIKVRELQKLVHERRRGAARKGGAGGPGGGGSGAGSNRESKSSPAM
+>sp|P61962|DCAF7_HUMAN DDB1- and CUL4-associated factor 7 OS=Homo sapiens OX=9606 GN=DCAF7 PE=1 SV=1
+MSLHGKRKEIYKYEAPWTVYAMNWSVRPDKRFRLALGSFVEEYNNKVQLVGLDEESSEFICRNTFDHPYPTTKLMWIPDTKGVYPDLLATSGDYLRVWRVGETETRLECLLNNNKNSDFCAPLTSFDWNEVDPYLLGTSSIDTTCTIWGLETGQVLGRVNLVSGHVKTQLIAHDKEVYDIAFSRAGGGRDMFASVGADGSVRMFDLRHLEHSTIIYEDPQHHPLLRLCWNKQDPNYLATMAMDGMEVVILDVRVPCTPVARLNNHRACVNGIAWAPHSSCHICTAADDHQALIWDIQQMPRAIEDPILAYTAEGEINNVQWASTQPDWIAICYNNCLEILRV
+>DECOY_sp|P61962|DCAF7_HUMAN DDB1- and CUL4-associated factor 7 OS=Homo sapiens OX=9606 GN=DCAF7 PE=1 SV=1
+VRLIELCNNYCIAIWDPQTSAWQVNNIEGEATYALIPDEIARPMQQIDWILAQHDDAATCIHCSSHPAWAIGNVCARHNNLRAVPTCPVRVDLIVVEMGDMAMTALYNPDQKNWCLRLLPHHQPDEYIITSHELHRLDFMRVSGDAGVSAFMDRGGGARSFAIDYVEKDHAILQTKVHGSVLNVRGLVQGTELGWITCTTDISSTGLLYPDVENWDFSTLPACFDSNKNNNLLCELRTETEGVRWVRLYDGSTALLDPYVGKTDPIWMLKTTPYPHDFTNRCIFESSEEDLGVLQVKNNYEEVFSGLALRFRKDPRVSWNMAYVTWPAEYKYIEKRKGHLSM
+>sp|P59894|DCDC1_HUMAN Doublecortin domain-containing protein 1 OS=Homo sapiens OX=9606 GN=DCDC1 PE=2 SV=2
+MAKTGAEDHREALSQSSLSLLTEAMEVLQQSSPEGTLDGNTVNPIYKYILNDLPREFMSSQAKAVIKTTDDYLQSQFGPNRLVHSAAVSEGSGLQDCSTHQTASDHSHDEISDLDSYKSNSKNNSCSISASKRNRPVSAPVGQLRVAEFSSLKFQSARNWQKLSQRHKLQPRVIKVTAYKNGSRTVFARVTVPTITLLLEECTEKLNLNMAARRVFLADGKEALEPEDIPHEADVYVSTGEPFLNPFKKIKDHLLLIKKVTWTMNGLMLPTDIKRRKTKPVLSIRMKKLTERTSVRILFFKNGMGQDGHEITVGKETMKKVLDTCTIRMNLNLPARYFYDLYGRKIEDISKGKH
+>DECOY_sp|P59894|DCDC1_HUMAN Doublecortin domain-containing protein 1 OS=Homo sapiens OX=9606 GN=DCDC1 PE=2 SV=2
+HKGKSIDEIKRGYLDYFYRAPLNLNMRITCTDLVKKMTEKGVTIEHGDQGMGNKFFLIRVSTRETLKKMRISLVPKTKRRKIDTPLMLGNMTWTVKKILLLHDKIKKFPNLFPEGTSVYVDAEHPIDEPELAEKGDALFVRRAAMNLNLKETCEELLLTITPVTVRAFVTRSGNKYATVKIVRPQLKHRQSLKQWNRASQFKLSSFEAVRLQGVPASVPRNRKSASISCSNNKSNSKYSDLDSIEDHSHDSATQHTSCDQLGSGESVAASHVLRNPGFQSQLYDDTTKIVAKAQSSMFERPLDNLIYKYIPNVTNGDLTGEPSSQQLVEMAETLLSLSSQSLAERHDEAGTKAM
+>sp|O43602|DCX_HUMAN Neuronal migration protein doublecortin OS=Homo sapiens OX=9606 GN=DCX PE=1 SV=4
+MELDFGHFDERDKTSRNMRGSRMNGLPSPTHSAHCSFYRTRTLQALSNEKKAKKVRFYRNGDRYFKGIVYAVSSDRFRSFDALLADLTRSLSDNINLPQGVRYIYTIDGSRKIGSMDELEEGESYVCSSDNFFKKVEYTKNVNPNWSVNVKTSANMKAPQSLASSNSAQARENKDFVRPKLVTIIRSGVKPRKAVRVLLNKKTAHSFEQVLTDITEAIKLETGVVKKLYTLDGKQVTCLHDFFGDDDVFIACGPEKFRYAQDDFSLDENECRVMKGNPSATAGPKASPTPQKTSAKSPGPMRRSKSPADSGNDQDANGTSSSQLSTPKSKQSPISTPTSPGSLRKHKDLYLPLSLDDSDSLGDSM
+>DECOY_sp|O43602|DCX_HUMAN Neuronal migration protein doublecortin OS=Homo sapiens OX=9606 GN=DCX PE=1 SV=4
+MSDGLSDSDDLSLPLYLDKHKRLSGPSTPTSIPSQKSKPTSLQSSSTGNADQDNGSDAPSKSRRMPGPSKASTKQPTPSAKPGATASPNGKMVRCENEDLSFDDQAYRFKEPGCAIFVDDDGFFDHLCTVQKGDLTYLKKVVGTELKIAETIDTLVQEFSHATKKNLLVRVAKRPKVGSRIITVLKPRVFDKNERAQASNSSALSQPAKMNASTKVNVSWNPNVNKTYEVKKFFNDSSCVYSEGEELEDMSGIKRSGDITYIYRVGQPLNINDSLSRTLDALLADFSRFRDSSVAYVIGKFYRDGNRYFRVKKAKKENSLAQLTRTRYFSCHASHTPSPLGNMRSGRMNRSTKDREDFHGFDLEM
+>sp|O95865|DDAH2_HUMAN N(G),N(G)-dimethylarginine dimethylaminohydrolase 2 OS=Homo sapiens OX=9606 GN=DDAH2 PE=1 SV=1
+MGTPGEGLGRCSHALIRGVPESLASGEGAGAGLPALDLAKAQREHGVLGGKLRQRLGLQLLELPPEESLPLGPLLGDTAVIQGDTALITRPWSPARRPEVDGVRKALQDLGLRIVEIGDENATLDGTDVLFTGREFFVGLSKWTNHRGAEIVADTFRDFAVSTVPVSGPSHLRGLCGMGGPRTVVAGSSDAAQKAVRAMAVLTDHPYASLTLPDDAAADCLFLRPGLPGVPPFLLHRGGGDLPNSQEALQKLSDVTLVPVSCSELEKAGAGLSSLCLVLSTRPHS
+>DECOY_sp|O95865|DDAH2_HUMAN N(G),N(G)-dimethylarginine dimethylaminohydrolase 2 OS=Homo sapiens OX=9606 GN=DDAH2 PE=1 SV=1
+SHPRTSLVLCLSSLGAGAKELESCSVPVLTVDSLKQLAEQSNPLDGGGRHLLFPPVGPLGPRLFLCDAAADDPLTLSAYPHDTLVAMARVAKQAADSSGAVVTRPGGMGCLGRLHSPGSVPVTSVAFDRFTDAVIEAGRHNTWKSLGVFFERGTFLVDTGDLTANEDGIEVIRLGLDQLAKRVGDVEPRRAPSWPRTILATDGQIVATDGLLPGLPLSEEPPLELLQLGLRQRLKGGLVGHERQAKALDLAPLGAGAGEGSALSEPVGRILAHSCRGLGEGPTGM
+>sp|Q92466|DDB2_HUMAN DNA damage-binding protein 2 OS=Homo sapiens OX=9606 GN=DDB2 PE=1 SV=1
+MAPKKRPETQKTSEIVLRPRNKRSRSPLELEPEAKKLCAKGSGPSRRCDSDCLWVGLAGPQILPPCRSIVRTLHQHKLGRASWPSVQQGLQQSFLHTLDSYRILQKAAPFDRRATSLAWHPTHPSTVAVGSKGGDIMLWNFGIKDKPTFIKGIGAGGSITGLKFNPLNTNQFYASSMEGTTRLQDFKGNILRVFASSDTINIWFCSLDVSASSRMVVTGDNVGNVILLNMDGKELWNLRMHKKKVTHVALNPCCDWFLATASVDQTVKIWDLRQVRGKASFLYSLPHRHPVNAACFSPDGARLLTTDQKSEIRVYSASQWDCPLGLIPHPHRHFQHLTPIKAAWHPRYNLIVVGRYPDPNFKSCTPYELRTIDVFDGNSGKMMCQLYDPESSGISSLNEFNPMGDTLASAMGYHILIWSQEEARTRK
+>DECOY_sp|Q92466|DDB2_HUMAN DNA damage-binding protein 2 OS=Homo sapiens OX=9606 GN=DDB2 PE=1 SV=1
+KRTRAEEQSWILIHYGMASALTDGMPNFENLSSIGSSEPDYLQCMMKGSNGDFVDITRLEYPTCSKFNPDPYRGVVILNYRPHWAAKIPTLHQFHRHPHPILGLPCDWQSASYVRIESKQDTTLLRAGDPSFCAANVPHRHPLSYLFSAKGRVQRLDWIKVTQDVSATALFWDCCPNLAVHTVKKKHMRLNWLEKGDMNLLIVNGVNDGTVVMRSSASVDLSCFWINITDSSAFVRLINGKFDQLRTTGEMSSAYFQNTNLPNFKLGTISGGAGIGKIFTPKDKIGFNWLMIDGGKSGVAVTSPHTPHWALSTARRDFPAAKQLIRYSDLTHLFSQQLGQQVSPWSARGLKHQHLTRVISRCPPLIQPGALGVWLCDSDCRRSPGSGKACLKKAEPELELPSRSRKNRPRLVIESTKQTEPRKKPAM
+>sp|Q8NEL9|DDHD1_HUMAN Phospholipase DDHD1 OS=Homo sapiens OX=9606 GN=DDHD1 PE=1 SV=2
+MNYPGRGSPRSPEHNGRGGGGGAWELGSDARPAFGGGVCCFEHLPGGDPDDGDVPLALLRGEPGLHLAPGTDDHNHHLALDPCLSDENYDFSSAESGSSLRYYSEGESGGGGSSLSLHPPQQPPLVPTNSGGGGATGGSPGERKRTRLGGPAARHRYEVVTELGPEEVRWFYKEDKKTWKPFIGYDSLRIELAFRTLLQTTGARPQGGDRDGDHVCSPTGPASSSGEDDDEDRACGFCQSTTGHEPEMVELVNIEPVCVRGGLYEVDVTQGECYPVYWNQADKIPVMRGQWFIDGTWQPLEEEESNLIEQEHLNCFRGQQMQENFDIEVSKSIDGKDAVHSFKLSRNHVDWHSVDEVYLYSDATTSKIARTVTQKLGFSKASSSGTRLHRGYVEEATLEDKPSQTTHIVFVVHGIGQKMDQGRIIKNTAMMREAARKIEERHFSNHATHVEFLPVEWRSKLTLDGDTVDSITPDKVRGLRDMLNSSAMDIMYYTSPLYRDELVKGLQQELNRLYSLFCSRNPDFEEKGGKVSIVSHSLGCVITYDIMTGWNPVRLYEQLLQKEEELPDERWMSYEERHLLDELYITKRRLKEIEERLHGLKASSMTQTPALKFKVENFFCMGSPLAVFLALRGIRPGNTGSQDHILPREICNRLLNIFHPTDPVAYRLEPLILKHYSNISPVQIHWYNTSNPLPYEHMKPSFLNPAKEPTSVSENEGISTIPSPVTSPVLSRRHYGESITNIGKASILGAASIGKGLGGMLFSRFGRSSTTQSSETSKDSMEDEKKPVASPSATTVGTQTLPHSSSGFLDSAYFRLQESFFNLPQLLFPENVMQNKDNALVELDHRIDFELREGLVESRYWSAVTSHTAYWSSLDVALFLLTFMYKHEHDDDAKPNLDPI
+>DECOY_sp|Q8NEL9|DDHD1_HUMAN Phospholipase DDHD1 OS=Homo sapiens OX=9606 GN=DDHD1 PE=1 SV=2
+IPDLNPKADDDHEHKYMFTLLFLAVDLSSWYATHSTVASWYRSEVLGERLEFDIRHDLEVLANDKNQMVNEPFLLQPLNFFSEQLRFYASDLFGSSSHPLTQTGVTTASPSAVPKKEDEMSDKSTESSQTTSSRGFRSFLMGGLGKGISAAGLISAKGINTISEGYHRRSLVPSTVPSPITSIGENESVSTPEKAPNLFSPKMHEYPLPNSTNYWHIQVPSINSYHKLILPELRYAVPDTPHFINLLRNCIERPLIHDQSGTNGPRIGRLALFVALPSGMCFFNEVKFKLAPTQTMSSAKLGHLREEIEKLRRKTIYLEDLLHREEYSMWREDPLEEEKQLLQEYLRVPNWGTMIDYTIVCGLSHSVISVKGGKEEFDPNRSCFLSYLRNLEQQLGKVLEDRYLPSTYYMIDMASSNLMDRLGRVKDPTISDVTDGDLTLKSRWEVPLFEVHTAHNSFHREEIKRAAERMMATNKIIRGQDMKQGIGHVVFVIHTTQSPKDELTAEEVYGRHLRTGSSSAKSFGLKQTVTRAIKSTTADSYLYVEDVSHWDVHNRSLKFSHVADKGDISKSVEIDFNEQMQQGRFCNLHEQEILNSEEEELPQWTGDIFWQGRMVPIKDAQNWYVPYCEGQTVDVEYLGGRVCVPEINVLEVMEPEHGTTSQCFGCARDEDDDEGSSSAPGTPSCVHDGDRDGGQPRAGTTQLLTRFALEIRLSDYGIFPKWTKKDEKYFWRVEEPGLETVVEYRHRAAPGGLRTRKREGPSGGTAGGGGSNTPVLPPQQPPHLSLSSGGGGSEGESYYRLSSGSEASSFDYNEDSLCPDLALHHNHDDTGPALHLGPEGRLLALPVDGDDPDGGPLHEFCCVGGGFAPRADSGLEWAGGGGGRGNHEPSRPSGRGPYNM
+>sp|Q8WTU0|DDI1_HUMAN Protein DDI1 homolog 1 OS=Homo sapiens OX=9606 GN=DDI1 PE=1 SV=1
+MLITVYCVRRDLSEVTFSLQVSPDFELRNFKVLCEAESRVPVEEIQIIHMERLLIEDHCSLGSYGLKDGDIVVLLQKDNVGPRAPGRAPNQPRVDFSGIAVPGTSSSRPQHPGQQQQRTPAAQRSQGLASGEKVAGLQGLGSPALIRSMLLSNPHDLSLLKERNPPLAEALLSGSLETFSQVLMEQQREKALREQERLRLYTADPLDREAQAKIEEEIRQQNIEENMNIAIEEAPESFGQVTMLYINCKVNGHPLKAFVDSGAQMTIMSQACAERCNIMRLVDRRWAGVAKGVGTQRIIGRVHLAQIQIEGDFLQCSFSILEDQPMDMLLGLDMLRRHQCSIDLKKNVLVIGTTGTQTYFLPEGELPLCSRMVSGQDESSDKEITHSVMDSGRKEH
+>DECOY_sp|Q8WTU0|DDI1_HUMAN Protein DDI1 homolog 1 OS=Homo sapiens OX=9606 GN=DDI1 PE=1 SV=1
+HEKRGSDMVSHTIEKDSSEDQGSVMRSCLPLEGEPLFYTQTGTTGIVLVNKKLDISCQHRRLMDLGLLMDMPQDELISFSCQLFDGEIQIQALHVRGIIRQTGVGKAVGAWRRDVLRMINCREACAQSMITMQAGSDVFAKLPHGNVKCNIYLMTVQGFSEPAEEIAINMNEEINQQRIEEEIKAQAERDLPDATYLRLREQERLAKERQQEMLVQSFTELSGSLLAEALPPNREKLLSLDHPNSLLMSRILAPSGLGQLGAVKEGSALGQSRQAAPTRQQQQGPHQPRSSSTGPVAIGSFDVRPQNPARGPARPGVNDKQLLVVIDGDKLGYSGLSCHDEILLREMHIIQIEEVPVRSEAECLVKFNRLEFDPSVQLSFTVESLDRRVCYVTILM
+>sp|Q96D03|DDT4L_HUMAN DNA damage-inducible transcript 4-like protein OS=Homo sapiens OX=9606 GN=DDIT4L PE=1 SV=1
+MVATGSLSSKNPASISELLDCGYHPESLLSDFDYWDYVVPEPNLNEVIFEESTCQNLVKMLENCLSKSKQTKLGCSKVLVPEKLTQRIAQDVLRLSSTEPCGLRGCVMHVNLEIENVCKKLDRIVCDSSVVPTFELTLVFKQENCSWTSFRDFFFSRGRFSSGFRRTLILSSGFRLVKKKLYSLIGTTVIEGS
+>DECOY_sp|Q96D03|DDT4L_HUMAN DNA damage-inducible transcript 4-like protein OS=Homo sapiens OX=9606 GN=DDIT4L PE=1 SV=1
+SGEIVTTGILSYLKKKVLRFGSSLILTRRFGSSFRGRSFFFDRFSTWSCNEQKFVLTLEFTPVVSSDCVIRDLKKCVNEIELNVHMVCGRLGCPETSSLRLVDQAIRQTLKEPVLVKSCGLKTQKSKSLCNELMKVLNQCTSEEFIVENLNPEPVVYDWYDFDSLLSEPHYGCDLLESISAPNKSSLSGTAVM
+>sp|Q96FC9|DDX11_HUMAN ATP-dependent DNA helicase DDX11 OS=Homo sapiens OX=9606 GN=DDX11 PE=1 SV=1
+MANETQKVGAIHFPFPFTPYSIQEDFMAELYRVLEAGKIGIFESPTGTGKSLSLICGALSWLRDFEQKKREEEARLLETGTGPLHDEKDESLCLSSSCEGAAGTPRPAGEPAWVTQFVQKKEERDLVDRLKAEQARRKQREERLQQLQHRVQLKYAAKRLRQEEEERENLLRLSREMLETGPEAERLEQLESGEEELVLAEYESDEEKKVASRVDEDEDDLEEEHITKIYYCSRTHSQLAQFVHEVKKSPFGKDVRLVSLGSRQNLCVNEDVKSLGSVQLINDRCVDMQRSRHEKKKGAEEEKPKRRRQEKQAACPFYNHEQMGLLRDEALAEVKDMEQLLALGKEARACPYYGSRLAIPAAQLVVLPYQMLLHAATRQAAGIRLQDQVVIIDEAHNLIDTITGMHSVEVSGSQLCQAHSQLLQYVERYGKRLKAKNLMYLKQILYLLEKFVAVLGGNIKQNPNTQSLSQTGTELKTINDFLFQSQIDNINLFKVQRYCEKSMISRKLFGFTERYGAVFSSREQPKLAGFQQFLQSLQPRTTEALAAPADESQASTLRPASPLMHIQGFLAALTTANQDGRVILSRQGSLSQSTLKFLLLNPAVHFAQVVKECRAVVIAGGTMQPVSDFRQQLLACAGVEAERVVEFSCGHVIPPDNILPLVICSGISNQPLEFTFQKRELPQMMDEVGRILCNLCGVVPGGVVCFFPSYEYLRQVHAHWEKGGLLGRLAARKKIFQEPKSAHQVEQVLLAYSRCIQACGQERGQVTGALLLSVVGGKMSEGINFSDNLGRCVVMVGMPFPNIRSAELQEKMAYLDQTLSPRPGTPREGSGGEPVHEGRQPVHRQGHQAPEGFCQRSAPGPAICPAPCPGQAAGLDPSPCGGQSYLWPRHCCCAEVSPGEVGLFLMGNHTTAWRRALPLSCPLETVFVVGVVCGDPVTKVKPRRRVWSPECCQDPGTGVSSRRRKWGNPE
+>DECOY_sp|Q96FC9|DDX11_HUMAN ATP-dependent DNA helicase DDX11 OS=Homo sapiens OX=9606 GN=DDX11 PE=1 SV=1
+EPNGWKRRRSSVGTGPDQCCEPSWVRRRPKVKTVPDGCVVGVVFVTELPCSLPLARRWATTHNGMLFLGVEGPSVEACCCHRPWLYSQGGCPSPDLGAAQGPCPAPCIAPGPASRQCFGEPAQHGQRHVPQRGEHVPEGGSGERPTGPRPSLTQDLYAMKEQLEASRINPFPMGVMVVCRGLNDSFNIGESMKGGVVSLLLAGTVQGREQGCAQICRSYALLVQEVQHASKPEQFIKKRAALRGLLGGKEWHAHVQRLYEYSPFFCVVGGPVVGCLNCLIRGVEDMMQPLERKQFTFELPQNSIGSCIVLPLINDPPIVHGCSFEVVREAEVGACALLQQRFDSVPQMTGGAIVVARCEKVVQAFHVAPNLLLFKLTSQSLSGQRSLIVRGDQNATTLAALFGQIHMLPSAPRLTSAQSEDAPAALAETTRPQLSQLFQQFGALKPQERSSFVAGYRETFGFLKRSIMSKECYRQVKFLNINDIQSQFLFDNITKLETGTQSLSQTNPNQKINGGLVAVFKELLYLIQKLYMLNKAKLRKGYREVYQLLQSHAQCLQSGSVEVSHMGTITDILNHAEDIIVVQDQLRIGAAQRTAAHLLMQYPLVVLQAAPIALRSGYYPCARAEKGLALLQEMDKVEALAEDRLLGMQEHNYFPCAAQKEQRRRKPKEEEAGKKKEHRSRQMDVCRDNILQVSGLSKVDENVCLNQRSGLSVLRVDKGFPSKKVEHVFQALQSHTRSCYYIKTIHEEELDDEDEDVRSAVKKEEDSEYEALVLEEEGSELQELREAEPGTELMERSLRLLNEREEEEQRLRKAAYKLQVRHQLQQLREERQKRRAQEAKLRDVLDREEKKQVFQTVWAPEGAPRPTGAAGECSSSLCLSEDKEDHLPGTGTELLRAEEERKKQEFDRLWSLAGCILSLSKGTGTPSEFIGIKGAELVRYLEAMFDEQISYPTFPFPFHIAGVKQTENAM
+>sp|Q9NVP1|DDX18_HUMAN ATP-dependent RNA helicase DDX18 OS=Homo sapiens OX=9606 GN=DDX18 PE=1 SV=2
+MSHLPMKLLRKKIEKRNLKLRQRNLKFQGASNLTLSETQNGDVSEETMGSRKVKKSKQKPMNVGLSETQNGGMSQEAVGNIKVTKSPQKSTVLTNGEAAMQSSNSESKKKKKKKRKMVNDAEPDTKKAKTENKGKSEEESAETTKETENNVEKPDNDEDESEVPSLPLGLTGAFEDTSFASLCNLVNENTLKAIKEMGFTNMTEIQHKSIRPLLEGRDLLAAAKTGSGKTLAFLIPAVELIVKLRFMPRNGTGVLILSPTRELAMQTFGVLKELMTHHVHTYGLIMGGSNRSAEAQKLGNGINIIVATPGRLLDHMQNTPGFMYKNLQCLVIDEADRILDVGFEEELKQIIKLLPTRRQTMLFSATQTRKVEDLARISLKKEPLYVGVDDDKANATVDGLEQGYVVCPSEKRFLLLFTFLKKNRKKKLMVFFSSCMSVKYHYELLNYIDLPVLAIHGKQKQNKRTTTFFQFCNADSGTLLCTDVAARGLDIPEVDWIVQYDPPDDPKEYIHRVGRTARGLNGRGHALLILRPEELGFLRYLKQSKVPLSEFDFSWSKISDIQSQLEKLIEKNYFLHKSAQEAYKSYIRAYDSHSLKQIFNVNNLNLPQVALSFGFKVPPFVDLNVNSNEGKQKKRGGGGGFGYQKTKKVEKSKIFKHISKKSSDSRQFSH
+>DECOY_sp|Q9NVP1|DDX18_HUMAN ATP-dependent RNA helicase DDX18 OS=Homo sapiens OX=9606 GN=DDX18 PE=1 SV=2
+HSFQRSDSSKKSIHKFIKSKEVKKTKQYGFGGGGGRKKQKGENSNVNLDVFPPVKFGFSLAVQPLNLNNVNFIQKLSHSDYARIYSKYAEQASKHLFYNKEILKELQSQIDSIKSWSFDFESLPVKSQKLYRLFGLEEPRLILLAHGRGNLGRATRGVRHIYEKPDDPPDYQVIWDVEPIDLGRAAVDTCLLTGSDANCFQFFTTTRKNQKQKGHIALVPLDIYNLLEYHYKVSMCSSFFVMLKKKRNKKLFTFLLLFRKESPCVVYGQELGDVTANAKDDDVGVYLPEKKLSIRALDEVKRTQTASFLMTQRRTPLLKIIQKLEEEFGVDLIRDAEDIVLCQLNKYMFGPTNQMHDLLRGPTAVIINIGNGLKQAEASRNSGGMILGYTHVHHTMLEKLVGFTQMALERTPSLILVGTGNRPMFRLKVILEVAPILFALTKGSGTKAAALLDRGELLPRISKHQIETMNTFGMEKIAKLTNENVLNCLSAFSTDEFAGTLGLPLSPVESEDEDNDPKEVNNETEKTTEASEEESKGKNETKAKKTDPEADNVMKRKKKKKKKSESNSSQMAAEGNTLVTSKQPSKTVKINGVAEQSMGGNQTESLGVNMPKQKSKKVKRSGMTEESVDGNQTESLTLNSAGQFKLNRQRLKLNRKEIKKRLLKMPLHSM
+>sp|Q9BUQ8|DDX23_HUMAN Probable ATP-dependent RNA helicase DDX23 OS=Homo sapiens OX=9606 GN=DDX23 PE=1 SV=3
+MAGELADKKDRDASPSKEERKRSRTPDRERDRDRDRKSSPSKDRKRHRSRDRRRGGSRSRSRSRSKSAERERRHKERERDKERDRNKKDRDRDKDGHRRDKDRKRSSLSPGRGKDFKSRKDRDSKKDEEDEHGDKKPKAQPLSLEELLAKKKAEEEAEAKPKFLSKAEREAEALKRRQQEVEERQRMLEEERKKRKQFQDLGRKMLEDPQERERRERRERMERETNGNEDEEGRQKIREEKDKSKELHAIKERYLGGIKKRRRTRHLNDRKFVFEWDASEDTSIDYNPLYKERHQVQLLGRGFIAGIDLKQQKREQSRFYGDLMEKRRTLEEKEQEEARLRKLRKKEAKQRWDDRHWSQKKLDEMTDRDWRIFREDYSITTKGGKIPNPIRSWKDSSLPPHILEVIDKCGYKEPTPIQRQAIPIGLQNRDIIGVAETGSGKTAAFLIPLLVWITTLPKIDRIEESDQGPYAIILAPTRELAQQIEEETIKFGKPLGIRTVAVIGGISREDQGFRLRMGCEIVIATPGRLIDVLENRYLVLSRCTYVVLDEADRMIDMGFEPDVQKILEHMPVSNQKPDTDEAEDPEKMLANFESGKHKYRQTVMFTATMPPAVERLARSYLRRPAVVYIGSAGKPHERVEQKVFLMSESEKRKKLLAILEQGFDPPIIIFVNQKKGCDVLAKSLEKMGYNACTLHGGKGQEQREFALSNLKAGAKDILVATDVAGRGIDIQDVSMVVNYDMAKNIEDYIHRIGRTGRAGKSGVAITFLTKEDSAVFYELKQAILESPVSSCPPELANHPDAQHKPGTILTKKRREETIFA
+>DECOY_sp|Q9BUQ8|DDX23_HUMAN Probable ATP-dependent RNA helicase DDX23 OS=Homo sapiens OX=9606 GN=DDX23 PE=1 SV=3
+AFITEERRKKTLITGPKHQADPHNALEPPCSSVPSELIAQKLEYFVASDEKTLFTIAVGSKGARGTRGIRHIYDEINKAMDYNVVMSVDQIDIGRGAVDTAVLIDKAGAKLNSLAFERQEQGKGGHLTCANYGMKELSKALVDCGKKQNVFIIIPPDFGQELIALLKKRKESESMLFVKQEVREHPKGASGIYVVAPRRLYSRALREVAPPMTATFMVTQRYKHKGSEFNALMKEPDEAEDTDPKQNSVPMHELIKQVDPEFGMDIMRDAEDLVVYTCRSLVLYRNELVDILRGPTAIVIECGMRLRFGQDERSIGGIVAVTRIGLPKGFKITEEEIQQALERTPALIIAYPGQDSEEIRDIKPLTTIWVLLPILFAATKGSGTEAVGIIDRNQLGIPIAQRQIPTPEKYGCKDIVELIHPPLSSDKWSRIPNPIKGGKTTISYDERFIRWDRDTMEDLKKQSWHRDDWRQKAEKKRLKRLRAEEQEKEELTRRKEMLDGYFRSQERKQQKLDIGAIFGRGLLQVQHREKYLPNYDISTDESADWEFVFKRDNLHRTRRRKKIGGLYREKIAHLEKSKDKEERIKQRGEEDENGNTEREMRERRERREREQPDELMKRGLDQFQKRKKREEELMRQREEVEQQRRKLAEAEREAKSLFKPKAEAEEEAKKKALLEELSLPQAKPKKDGHEDEEDKKSDRDKRSKFDKGRGPSLSSRKRDKDRRHGDKDRDRDKKNRDREKDREREKHRREREASKSRSRSRSRSGGRRRDRSRHRKRDKSPSSKRDRDRDRERDPTRSRKREEKSPSADRDKKDALEGAM
+>sp|Q9UHL0|DDX25_HUMAN ATP-dependent RNA helicase DDX25 OS=Homo sapiens OX=9606 GN=DDX25 PE=1 SV=2
+MASLLWGGDAGAAESERLNSHFSNLSQPRKNLWGIKSTAVRNIDGSINNINEDDEEDVVDLAANSLLNKLIHQSLVESSHRVEVLQKDPSSPLYSVKTFEELRLKEELLKGIYAMGFNRPSKIQEMALPMMLAHPPQNLIAQSQSGTGKTAAFVLAMLSRVNALELFPQCLCLAPTYELALQTGRVVEQMGKFCVDVQVMYAIRGNRIPRGTDITKQIIIGTPGTVLDWCFKLKLIDLTKIRVFVLDEADVMIDTQGFSDHSIRIQRALPSECQMLLFSATFEDSVWHFAERIIPDPNVIKLRKEELTLNNIRQYYVLCEHRKDKYQALCNIYGSITIGQAIIFCQTRRNAKWLTVEMIQDGHQVSLLSGELTVEQRASIIQRFRDGKEKVLITTNVCARGIDVKQVTIVVNFDLPVKQGEEPDYETYLHRIGRTGRFGKKGLAFNMIEVDELPSLMKIQDHFNSSIKQLNAEDMDEIEKIDY
+>DECOY_sp|Q9UHL0|DDX25_HUMAN ATP-dependent RNA helicase DDX25 OS=Homo sapiens OX=9606 GN=DDX25 PE=1 SV=2
+YDIKEIEDMDEANLQKISSNFHDQIKMLSPLEDVEIMNFALGKKGFRGTRGIRHLYTEYDPEEGQKVPLDFNVVITVQKVDIGRACVNTTILVKEKGDRFRQIISARQEVTLEGSLLSVQHGDQIMEVTLWKANRRTQCFIIAQGITISGYINCLAQYKDKRHECLVYYQRINNLTLEEKRLKIVNPDPIIREAFHWVSDEFTASFLLMQCESPLARQIRISHDSFGQTDIMVDAEDLVFVRIKTLDILKLKFCWDLVTGPTGIIIQKTIDTGRPIRNGRIAYMVQVDVCFKGMQEVVRGTQLALEYTPALCLCQPFLELANVRSLMALVFAATKGTGSQSQAILNQPPHALMMPLAMEQIKSPRNFGMAYIGKLLEEKLRLEEFTKVSYLPSSPDKQLVEVRHSSEVLSQHILKNLLSNAALDVVDEEDDENINNISGDINRVATSKIGWLNKRPQSLNSFHSNLRESEAAGADGGWLLSAM
+>sp|Q9H8H2|DDX31_HUMAN Probable ATP-dependent RNA helicase DDX31 OS=Homo sapiens OX=9606 GN=DDX31 PE=1 SV=2
+MAPDLASQRHSESFPSVNSRPNVILPGREGRREGLPPGGGTRGSLVPTRPVPPSPAPLGTSPYSWSRSGPGRGGGAGSSRVPRGVPGPAVCAPGSLLHHASPTQTMAAADGSLFDNPRTFSRRPPAQASRQAKATKRKYQASSEAPPAKRRNETSFLPAKKTSVKETQRTFKGNAQKMFSPKKHSVSTSDRNQEERQCIKTSSLFKNNPDIPELHRPVVKQVQEKVFTSAAFHELGLHPHLISTINTVLKMSSMTSVQKQSIPVLLEGRDALVRSQTGSGKTLAYCIPVVQSLQAMESKIQRSDGPYALVLVPTRELALQSFDTVQKLLKPFTWIVPGVLMGGEKRKSEKARLRKGINILISTPGRLVDHIKSTKNIHFSRLRWLVFDEADRILDLGFEKDITVILNAVNAECQKRQNVLLSATLTEGVTRLADISLHDPVSISVLDKSHDQLNPKDKAVQEVCPPPAGDKLDSFAIPESLKQHVTVVPSKLRLVCLAAFILQKCKFEEDQKMVVFFSSCELVEFHYSLFLQTLLSSSGAPASGQLPSASMRLKFLRLHGGMEQEERTAVFQEFSHSRRGVLLCTDVAARGLDLPQVTWIVQYNAPSSPAEYIHRIGRTARIGCHGSSLLILAPSEAEYVNSLASHKINVSEIKMEDILCVLTRDDCFKGKRWGAQKSHAVGPQEIRERATVLQTVFEDYVHSSERRVSWAKKALQSFIQAYATYPRELKHIFHVRSLHLGHVAKSFGLRDAPRNLSALTRKKRKAHVKRPDLHKKTQSKHSLAEILRSEYSSGMEADIAKVKKQNAPGEPGGRPLQHSLQPTPCFGRGKTLKWRKTQKGVQRDSKTSQKV
+>DECOY_sp|Q9H8H2|DDX31_HUMAN Probable ATP-dependent RNA helicase DDX31 OS=Homo sapiens OX=9606 GN=DDX31 PE=1 SV=2
+VKQSTKSDRQVGKQTKRWKLTKGRGFCPTPQLSHQLPRGGPEGPANQKKVKAIDAEMGSSYESRLIEALSHKSQTKKHLDPRKVHAKRKKRTLASLNRPADRLGFSKAVHGLHLSRVHFIHKLERPYTAYAQIFSQLAKKAWSVRRESSHVYDEFVTQLVTARERIEQPGVAHSKQAGWRKGKFCDDRTLVCLIDEMKIESVNIKHSALSNVYEAESPALILLSSGHCGIRATRGIRHIYEAPSSPANYQVIWTVQPLDLGRAAVDTCLLVGRRSHSFEQFVATREEQEMGGHLRLFKLRMSASPLQGSAPAGSSSLLTQLFLSYHFEVLECSSFFVVMKQDEEFKCKQLIFAALCVLRLKSPVVTVHQKLSEPIAFSDLKDGAPPPCVEQVAKDKPNLQDHSKDLVSISVPDHLSIDALRTVGETLTASLLVNQRKQCEANVANLIVTIDKEFGLDLIRDAEDFVLWRLRSFHINKTSKIHDVLRGPTSILINIGKRLRAKESKRKEGGMLVGPVIWTFPKLLKQVTDFSQLALERTPVLVLAYPGDSRQIKSEMAQLSQVVPICYALTKGSGTQSRVLADRGELLVPISQKQVSTMSSMKLVTNITSILHPHLGLEHFAASTFVKEQVQKVVPRHLEPIDPNNKFLSSTKICQREEQNRDSTSVSHKKPSFMKQANGKFTRQTEKVSTKKAPLFSTENRRKAPPAESSAQYKRKTAKAQRSAQAPPRRSFTRPNDFLSGDAAAMTQTPSAHHLLSGPACVAPGPVGRPVRSSGAGGGRGPGSRSWSYPSTGLPAPSPPVPRTPVLSGRTGGGPPLGERRGERGPLIVNPRSNVSPFSESHRQSALDPAM
+>sp|O15523|DDX3Y_HUMAN ATP-dependent RNA helicase DDX3Y OS=Homo sapiens OX=9606 GN=DDX3Y PE=1 SV=2
+MSHVVVKNDPELDQQLANLDLNSEKQSGGASTASKGRYIPPHLRNREASKGFHDKDSSGWSCSKDKDAYSSFGSRDSRGKPGYFSERGSGSRGRFDDRGRSDYDGIGNRERPGFGRFERSGHSRWCDKSVEDDWSKPLPPSERLEQELFSGGNTGINFEKYDDIPVEATGSNCPPHIENFSDIDMGEIIMGNIELTRYTRPTPVQKHAIPIIKGKRDLMACAQTGSGKTAAFLLPILSQIYTDGPGEALKAVKENGRYGRRKQYPISLVLAPTRELAVQIYEEARKFSYRSRVRPCVVYGGADIGQQIRDLERGCHLLVATPGRLVDMMERGKIGLDFCKYLVLDEADRMLDMGFEPQIRRIVEQDTMPPKGVRHTMMFSATFPKEIQMLARDFLDEYIFLAVGRVGSTSENITQKVVWVEDLDKRSFLLDILGATGSDSLTLVFVETKKGADSLEDFLYHEGYACTSIHGDRSQRDREEALHQFRSGKSPILVATAVAARGLDISNVRHVINFDLPSDIEEYVHRIGRTGRVGNLGLATSFFNEKNMNITKDLLDLLVEAKQEVPSWLENMAYEHHYKGGSRGRSKSNRFSGGFGARDYRQSSGSSSSGFGASRGSSSRSGGGGYGNSRGFGGGGYGGFYNSDGYGGNYNSQGVDWWGN
+>DECOY_sp|O15523|DDX3Y_HUMAN ATP-dependent RNA helicase DDX3Y OS=Homo sapiens OX=9606 GN=DDX3Y PE=1 SV=2
+NGWWDVGQSNYNGGYGDSNYFGGYGGGGFGRSNGYGGGGSRSSSGRSAGFGSSSSGSSQRYDRAGFGGSFRNSKSRGRSGGKYHHEYAMNELWSPVEQKAEVLLDLLDKTINMNKENFFSTALGLNGVRGTRGIRHVYEEIDSPLDFNIVHRVNSIDLGRAAVATAVLIPSKGSRFQHLAEERDRQSRDGHISTCAYGEHYLFDELSDAGKKTEVFVLTLSDSGTAGLIDLLFSRKDLDEVWVVKQTINESTSGVRGVALFIYEDLFDRALMQIEKPFTASFMMTHRVGKPPMTDQEVIRRIQPEFGMDLMRDAEDLVLYKCFDLGIKGREMMDVLRGPTAVLLHCGRELDRIQQGIDAGGYVVCPRVRSRYSFKRAEEYIQVALERTPALVLSIPYQKRRGYRGNEKVAKLAEGPGDTYIQSLIPLLFAATKGSGTQACAMLDRKGKIIPIAHKQVPTPRTYRTLEINGMIIEGMDIDSFNEIHPPCNSGTAEVPIDDYKEFNIGTNGGSFLEQELRESPPLPKSWDDEVSKDCWRSHGSREFRGFGPRERNGIGDYDSRGRDDFRGRSGSGRESFYGPKGRSDRSGFSSYADKDKSCSWGSSDKDHFGKSAERNRLHPPIYRGKSATSAGGSQKESNLDLNALQQDLEPDNKVVVHSM
+>sp|Q9NXZ2|DDX43_HUMAN Probable ATP-dependent RNA helicase DDX43 OS=Homo sapiens OX=9606 GN=DDX43 PE=2 SV=2
+MSHHGGAPKASTWVVASRRSSTVSRAPERRPAEELNRTGPEGYSVGRGGRWRGTSRPPEAVAAGHEELPLCFALKSHFVGAVIGRGGSKIKNIQSTTNTTIQIIQEQPESLVKIFGSKAMQTKAKAVIDNFVKKLEENYNSECGIDTAFQPSVGKDGSTDNNVVAGDRPLIDWDQIREEGLKWQKTKWADLPPIKKNFYKESTATSAMSKVEADSWRKENFNITWDDLKDGEKRPIPNPTCTFDDAFQCYPEVMENIKKAGFQKPTPIQSQAWPIVLQGIDLIGVAQTGTGKTLCYLMPGFIHLVLQPSLKGQRNRPGMLVLTPTRELALQVEGECCKYSYKGLRSVCVYGGGNRDEQIEELKKGVDIIIATPGRLNDLQMSNFVNLKNITYLVLDEADKMLDMGFEPQIMKILLDVRPDRQTVMTSATWPHSVHRLAQSYLKEPMIVYVGTLDLVAVSSVKQNIIVTTEEEKWSHMQTFLQSMSSTDKVIVFVSRKAVADHLSSDLILGNISVESLHGDREQRDREKALENFKTGKVRILIATDLASRGLDVHDVTHVYNFDFPRNIEEYVHRIGRTGRAGRTGVSITTLTRNDWRVASELINILERANQSIPEELVSMAERFKAHQQKREMERKMERPQGRPKKFH
+>DECOY_sp|Q9NXZ2|DDX43_HUMAN Probable ATP-dependent RNA helicase DDX43 OS=Homo sapiens OX=9606 GN=DDX43 PE=2 SV=2
+HFKKPRGQPREMKREMERKQQHAKFREAMSVLEEPISQNARELINILESAVRWDNRTLTTISVGTRGARGTRGIRHVYEEINRPFDFNYVHTVDHVDLGRSALDTAILIRVKGTKFNELAKERDRQERDGHLSEVSINGLILDSSLHDAVAKRSVFVIVKDTSSMSQLFTQMHSWKEEETTVIINQKVSSVAVLDLTGVYVIMPEKLYSQALRHVSHPWTASTMVTQRDPRVDLLIKMIQPEFGMDLMKDAEDLVLYTINKLNVFNSMQLDNLRGPTAIIIDVGKKLEEIQEDRNGGGYVCVSRLGKYSYKCCEGEVQLALERTPTLVLMGPRNRQGKLSPQLVLHIFGPMLYCLTKGTGTQAVGILDIGQLVIPWAQSQIPTPKQFGAKKINEMVEPYCQFADDFTCTPNPIPRKEGDKLDDWTINFNEKRWSDAEVKSMASTATSEKYFNKKIPPLDAWKTKQWKLGEERIQDWDILPRDGAVVNNDTSGDKGVSPQFATDIGCESNYNEELKKVFNDIVAKAKTQMAKSGFIKVLSEPQEQIIQITTNTTSQINKIKSGGRGIVAGVFHSKLAFCLPLEEHGAAVAEPPRSTGRWRGGRGVSYGEPGTRNLEEAPRREPARSVTSSRRSAVVWTSAKPAGGHHSM
+>sp|Q7L014|DDX46_HUMAN Probable ATP-dependent RNA helicase DDX46 OS=Homo sapiens OX=9606 GN=DDX46 PE=1 SV=2
+MGRESRHYRKRSASRGRSGSRSRSRSPSDKRSKRGDDRRSRSRDRDRRRERSRSRDKRRSRSRDRKRLRRSRSRERDRSRERRRSRSRDRRRSRSRSRGRRSRSSSPGNKSKKTENRSRSKEKTDGGESSKEKKKDKDDKEDEKEKDAGNFDQNKLEEEMRKRKERVEKWREEQRKKAMENIGELKKEIEEMKQGKKWSLEDDDDDEDDPAEAEKEGNEMEGEELDPLDAYMEEVKEEVKKFNMRSVKGGGGNEKKSGPTVTKVVTVVTTKKAVVDSDKKKGELMENDQDAMEYSSEEEEVDLQTALTGYQTKQRKLLEPVDHGKIEYEPFRKNFYVEVPELAKMSQEEVNVFRLEMEGITVKGKGCPKPIKSWVQCGISMKILNSLKKHGYEKPTPIQTQAIPAIMSGRDLIGIAKTGSGKTIAFLLPMFRHIMDQRSLEEGEGPIAVIMTPTRELALQITKECKKFSKTLGLRVVCVYGGTGISEQIAELKRGAEIIVCTPGRMIDMLAANSGRVTNLRRVTYVVLDEADRMFDMGFEPQVMRIVDNVRPDRQTVMFSATFPRAMEALARRILSKPIEVQVGGRSVVCSDVEQQVIVIEEEKKFLKLLELLGHYQESGSVIIFVDKQEHADGLLKDLMRASYPCMSLHGGIDQYDRDSIINDFKNGTCKLLVATSVAARGLDVKHLILVVNYSCPNHYEDYVHRAGRTGRAGNKGYAYTFITEDQARYAGDIIKALELSGTAVPPDLEKLWSDFKDQQKAEGKIIKKSSGFSGKGFKFDETEQALANERKKLQKAALGLQDSDDEDAAVDIDEQIESMFNSKKRVKDMAAPGTSSVPAPTAGNAEKLEIAKRLALRINAQKNLGIESQDVMQQATNAILRGGTILAPTVSAKTIAEQLAEKINAKLNYVPLEKQEEERQDGGQNESFKRYEEELEINDFPQTARWKVTSKEALQRISEYSEAAITIRGTYFPPGKEPKEGERKIYLAIESANELAVQKAKAEITRLIKEELIRLQNSYQPTNKGRYKVL
+>DECOY_sp|Q7L014|DDX46_HUMAN Probable ATP-dependent RNA helicase DDX46 OS=Homo sapiens OX=9606 GN=DDX46 PE=1 SV=2
+LVKYRGKNTPQYSNQLRILEEKILRTIEAKAKQVALENASEIALYIKREGEKPEKGPPFYTGRITIAAESYESIRQLAEKSTVKWRATQPFDNIELEEEYRKFSENQGGDQREEEQKELPVYNLKANIKEALQEAITKASVTPALITGGRLIANTAQQMVDQSEIGLNKQANIRLALRKAIELKEANGATPAPVSSTGPAAMDKVRKKSNFMSEIQEDIDVAADEDDSDQLGLAAKQLKKRENALAQETEDFKFGKGSFGSSKKIIKGEAKQQDKFDSWLKELDPPVATGSLELAKIIDGAYRAQDETIFTYAYGKNGARGTRGARHVYDEYHNPCSYNVVLILHKVDLGRAAVSTAVLLKCTGNKFDNIISDRDYQDIGGHLSMCPYSARMLDKLLGDAHEQKDVFIIVSGSEQYHGLLELLKLFKKEEEIVIVQQEVDSCVVSRGGVQVEIPKSLIRRALAEMARPFTASFMVTQRDPRVNDVIRMVQPEFGMDFMRDAEDLVVYTVRRLNTVRGSNAALMDIMRGPTCVIIEAGRKLEAIQESIGTGGYVCVVRLGLTKSFKKCEKTIQLALERTPTMIVAIPGEGEELSRQDMIHRFMPLLFAITKGSGTKAIGILDRGSMIAPIAQTQIPTPKEYGHKKLSNLIKMSIGCQVWSKIPKPCGKGKVTIGEMELRFVNVEEQSMKALEPVEVYFNKRFPEYEIKGHDVPELLKRQKTQYGTLATQLDVEEEESSYEMADQDNEMLEGKKKDSDVVAKKTTVVTVVKTVTPGSKKENGGGGKVSRMNFKKVEEKVEEMYADLPDLEEGEMENGEKEAEAPDDEDDDDDELSWKKGQKMEEIEKKLEGINEMAKKRQEERWKEVREKRKRMEEELKNQDFNGADKEKEDEKDDKDKKKEKSSEGGDTKEKSRSRNETKKSKNGPSSSRSRRGRSRSRSRRRDRSRSRRRERSRDRERSRSRRLRKRDRSRSRRKDRSRSRERRRDRDRSRSRRDDGRKSRKDSPSRSRSRSGSRGRSASRKRYHRSERGM
+>sp|Q9Y6V7|DDX49_HUMAN Probable ATP-dependent RNA helicase DDX49 OS=Homo sapiens OX=9606 GN=DDX49 PE=1 SV=1
+MAGFAELGLSSWLVEQCRQLGLKQPTPVQLGCIPAILEGRDCLGCAKTGSGKTAAFVLPILQKLSEDPYGIFCLVLTPTRELAYQIAEQFRVLGKPLGLKDCIIVGGMDMVAQALELSRKPHVVIATPGRLADHLRSSNTFSIKKIRFLVMDEADRLLEQGCTDFTVDLEAILAAVPARRQTLLFSATLTDTLRELQGLATNQPFFWEAQAPVSTVEQLDQRYLLVPEKVKDAYLVHLIQRFQDEHEDWSIIIFTNTCKTCQILCMMLRKFSFPTVALHSMMKQKERFAALAKFKSSIYRILIATDVASRGLDIPTVQVVINHNTPGLPKIYIHRVGRTARAGRQGQAITLVTQYDIHLVHAIEEQIKKKLEEFSVEEAEVLQILTQVNVVRRECEIKLEAAHFDEKKEINKRKQLILEGKDPDLEAKRKAELAKIKQKNRRFKEKVEETLKRQKAGRAGHKGRPPRTPSGSHSGPVPSQGLV
+>DECOY_sp|Q9Y6V7|DDX49_HUMAN Probable ATP-dependent RNA helicase DDX49 OS=Homo sapiens OX=9606 GN=DDX49 PE=1 SV=1
+VLGQSPVPGSHSGSPTRPPRGKHGARGAKQRKLTEEVKEKFRRNKQKIKALEAKRKAELDPDKGELILQKRKNIEKKEDFHAAELKIECERRVVNVQTLIQLVEAEEVSFEELKKKIQEEIAHVLHIDYQTVLTIAQGQRGARATRGVRHIYIKPLGPTNHNIVVQVTPIDLGRSAVDTAILIRYISSKFKALAAFREKQKMMSHLAVTPFSFKRLMMCLIQCTKCTNTFIIISWDEHEDQFRQILHVLYADKVKEPVLLYRQDLQEVTSVPAQAEWFFPQNTALGQLERLTDTLTASFLLTQRRAPVAALIAELDVTFDTCGQELLRDAEDMVLFRIKKISFTNSSRLHDALRGPTAIVVHPKRSLELAQAVMDMGGVIICDKLGLPKGLVRFQEAIQYALERTPTLVLCFIGYPDESLKQLIPLVFAATKGSGTKACGLCDRGELIAPICGLQVPTPQKLGLQRCQEVLWSSLGLEAFGAM
+>sp|O75618|DEDD_HUMAN Death effector domain-containing protein OS=Homo sapiens OX=9606 GN=DEDD PE=1 SV=1
+MAGLKRRASQVWPEEHGEQEHGLYSLHRMFDIVGTHLTHRDVRVLSFLFVDVIDDHERGLIRNGRDFLLALERQGRCDESNFRQVLQLLRIITRHDLLPYVTLKRRRAVCPDLVDKYLEETSIRYVTPRALSDPEPRPPQPSKTVPPHYPVVCCPTSGPQMCSKRPARGRATLGSQRKRRKSVTPDPKEKQTCDIRLRVRAEYCQHETALQGNVFSNKQDPLERQFERFNQANTILKSRDLGSIICDIKFSELTYLDAFWRDYINGSLLEALKGVFITDSLKQAVGHEAIKLLVNVDEEDYELGRQKLLRNLMLQALP
+>DECOY_sp|O75618|DEDD_HUMAN Death effector domain-containing protein OS=Homo sapiens OX=9606 GN=DEDD PE=1 SV=1
+PLAQLMLNRLLKQRGLEYDEEDVNVLLKIAEHGVAQKLSDTIFVGKLAELLSGNIYDRWFADLYTLESFKIDCIISGLDRSKLITNAQNFREFQRELPDQKNSFVNGQLATEHQCYEARVRLRIDCTQKEKPDPTVSKRRKRQSGLTARGRAPRKSCMQPGSTPCCVVPYHPPVTKSPQPPRPEPDSLARPTVYRISTEELYKDVLDPCVARRRKLTVYPLLDHRTIIRLLQLVQRFNSEDCRGQRELALLFDRGNRILGREHDDIVDVFLFSLVRVDRHTLHTGVIDFMRHLSYLGHEQEGHEEPWVQSARRKLGAM
+>sp|Q6ZN54|DEFI8_HUMAN Differentially expressed in FDCP 8 homolog OS=Homo sapiens OX=9606 GN=DEF8 PE=1 SV=2
+MAILSLRAPGPWQAMQVWADRTLLTPHTGVTSQVLGVAAAVMTPLPGGHAAGRTREARWDAMEYDEKLARFRQAHLNPFNKQSGPRQHEQGPGEEVPDVTPEEALPELPPGEPEFRCPERVMDLGLSEDHFSRPVGLFLASDVQQLRQAIEECKQVILELPEQSEKQKDAVVRLIHLRLKLQELKDPNEDEPNIRVLLEHRFYKEKSKSVKQTCDKCNTIIWGLIQTWYTCTGCYYRCHSKCLNLISKPCVSSKVSHQAEYELNICPETGLDSQDYRCAECRAPISLRGVPSEARQCDYTGQYYCSHCHWNDLAVIPARVVHNWDFEPRKVSRCSMRYLALMVSRPVLRLREINPLLFSYVEELVEIRKLRQDILLMKPYFITCREAMEARLLLQLQDRQHFVENDEMYSVQDLLDVHAGRLGCSLTEIHTLFAKHIKLDCERCQAKGFVCELCREGDVLFPFDSHTSVCADCSAVFHRDCYYDNSTTCPKCARLSLRKQSLFQEPGPDVEA
+>DECOY_sp|Q6ZN54|DEFI8_HUMAN Differentially expressed in FDCP 8 homolog OS=Homo sapiens OX=9606 GN=DEF8 PE=1 SV=2
+AEVDPGPEQFLSQKRLSLRACKPCTTSNDYYCDRHFVASCDACVSTHSDFPFLVDGERCLECVFGKAQCRECDLKIHKAFLTHIETLSCGLRGAHVDLLDQVSYMEDNEVFHQRDQLQLLLRAEMAERCTIFYPKMLLIDQRLKRIEVLEEVYSFLLPNIERLRLVPRSVMLALYRMSCRSVKRPEFDWNHVVRAPIVALDNWHCHSCYYQGTYDCQRAESPVGRLSIPARCEACRYDQSDLGTEPCINLEYEAQHSVKSSVCPKSILNLCKSHCRYYCGTCTYWTQILGWIITNCKDCTQKVSKSKEKYFRHELLVRINPEDENPDKLEQLKLRLHILRVVADKQKESQEPLELIVQKCEEIAQRLQQVDSALFLGVPRSFHDESLGLDMVREPCRFEPEGPPLEPLAEEPTVDPVEEGPGQEHQRPGSQKNFPNLHAQRFRALKEDYEMADWRAERTRGAAHGGPLPTMVAAAVGLVQSTVGTHPTLLTRDAWVQMAQWPGPARLSLIAM
+>sp|Q9HBH1|DEFM_HUMAN Peptide deformylase, mitochondrial OS=Homo sapiens OX=9606 GN=PDF PE=1 SV=1
+MARLWGALSLWPLWAAVPWGGAAAVGVRACSSTAAPDGVEGPALRRSYWRHLRRLVLGPPEPPFSHVCQVGDPVLRGVAAPVERAQLGGPELQRLTQRLVQVMRRRRCVGLSAPQLGVPRQVLALELPEALCRECPPRQRALRQMEPFPLRVFVNPSLRVLDSRLVTFPEGCESVAGFLACVPRFQAVQISGLDPNGEQVVWQASGWAARIIQHEMDHLQGCLFIDKMDSRTFTNVYWMKVND
+>DECOY_sp|Q9HBH1|DEFM_HUMAN Peptide deformylase, mitochondrial OS=Homo sapiens OX=9606 GN=PDF PE=1 SV=1
+DNVKMWYVNTFTRSDMKDIFLCGQLHDMEHQIIRAAWGSAQWVVQEGNPDLGSIQVAQFRPVCALFGAVSECGEPFTVLRSDLVRLSPNVFVRLPFPEMQRLARQRPPCERCLAEPLELALVQRPVGLQPASLGVCRRRRMVQVLRQTLRQLEPGGLQAREVPAAVGRLVPDGVQCVHSFPPEPPGLVLRRLHRWYSRRLAPGEVGDPAATSSCARVGVAAAGGWPVAAWLPWLSLAGWLRAM
+>sp|O43583|DENR_HUMAN Density-regulated protein OS=Homo sapiens OX=9606 GN=DENR PE=1 SV=2
+MAADISESSGADCKGDPRNSAKLDADYPLRVLYCGVCSLPTEYCEYMPDVAKCRQWLEKNFPNEFAKLTVENSPKQEAGISEGQGTAGEEEEKKKQKRGGRGQIKQKKKTVPQKVTIAKIPRAKKKYVTRVCGLATFEIDLKEAQRFFAQKFSCGASVTGEDEIIIQGDFTDDIIDVIQEKWPEVDDDSIEDLGEVKK
+>DECOY_sp|O43583|DENR_HUMAN Density-regulated protein OS=Homo sapiens OX=9606 GN=DENR PE=1 SV=2
+KKVEGLDEISDDDVEPWKEQIVDIIDDTFDGQIIIEDEGTVSAGCSFKQAFFRQAEKLDIEFTALGCVRTVYKKKARPIKAITVKQPVTKKKQKIQGRGGRKQKKKEEEEGATGQGESIGAEQKPSNEVTLKAFENPFNKELWQRCKAVDPMYECYETPLSCVGCYLVRLPYDADLKASNRPDGKCDAGSSESIDAAM
+>sp|Q8WUY9|DEP1B_HUMAN DEP domain-containing protein 1B OS=Homo sapiens OX=9606 GN=DEPDC1B PE=1 SV=2
+MEHRIVGPGPYRATRLWNETVELFRAKMPLRKHRCRFKSYEHCFTAAEAVDWLHELLRCSQNFGPEVTRKQTVQLLKKFLKNHVIEDIKGKWGEEDFEDNRHLYRFPPSSPLKPYPKKPPNQKDVIKFPEWNDLPPGTSQENIPVRPVVMNSEMWYKRHSIAIGEVPACRLVHRRQLTEANVEEIWKSMTLSYLQKILGLDSLEEVLDVKLVNSKFIIHNVYSVSKQGVVILDDKSKELPHWVLSAMKCLANWPNCSDLKQPMYLGFEKDVFKTIADYYGHLKEPLLTFHLFDAFVSVLGLLQKEKVAVEAFQICCLLLPPENRRKLQLLMRMMARICLNKEMPPLCDGFGTRTLMVQTFSRCILCSKDEVDLDELLAARLVTFLMDNYQEILKVPLALQTSIEERVAHLRRVQIKYPGADMDITLSAPSFCRQISPEEFEYQRSYGSQEPLAALLEEVITDAKLSNKEKKKKLKQFQKSYPEVYQERFPTPESAALLFPEKPKPKPQLLMWALKKPFQPFQRTRSFRM
+>DECOY_sp|Q8WUY9|DEP1B_HUMAN DEP domain-containing protein 1B OS=Homo sapiens OX=9606 GN=DEPDC1B PE=1 SV=2
+MRFSRTRQFPQFPKKLAWMLLQPKPKPKEPFLLAASEPTPFREQYVEPYSKQFQKLKKKKEKNSLKADTIVEELLAALPEQSGYSRQYEFEEPSIQRCFSPASLTIDMDAGPYKIQVRRLHAVREEISTQLALPVKLIEQYNDMLFTVLRAALLEDLDVEDKSCLICRSFTQVMLTRTGFGDCLPPMEKNLCIRAMMRMLLQLKRRNEPPLLLCCIQFAEVAVKEKQLLGLVSVFADFLHFTLLPEKLHGYYDAITKFVDKEFGLYMPQKLDSCNPWNALCKMASLVWHPLEKSKDDLIVVGQKSVSYVNHIIFKSNVLKVDLVEELSDLGLIKQLYSLTMSKWIEEVNAETLQRRHVLRCAPVEGIAISHRKYWMESNMVVPRVPINEQSTGPPLDNWEPFKIVDKQNPPKKPYPKLPSSPPFRYLHRNDEFDEEGWKGKIDEIVHNKLFKKLLQVTQKRTVEPGFNQSCRLLEHLWDVAEAATFCHEYSKFRCRHKRLPMKARFLEVTENWLRTARYPGPGVIRHEM
+>sp|Q9GZP9|DERL2_HUMAN Derlin-2 OS=Homo sapiens OX=9606 GN=DERL2 PE=1 SV=1
+MAYQSLRLEYLQIPPVSRAYTTACVLTTAAVQLELITPFQLYFNPELIFKHFQIWRLITNFLFFGPVGFNFLFNMIFLYRYCRMLEEGSFRGRTADFVFMFLFGGFLMTLFGLFVSLVFLGQAFTIMLVYVWSRRNPYVRMNFFGLLNFQAPFLPWVLMGFSLLLGNSIIVDLLGIAVGHIYFFLEDVFPNQPGGIRILKTPSILKAIFDTPDEDPNYNPLPEERPGGFAWGEGQRLGG
+>DECOY_sp|Q9GZP9|DERL2_HUMAN Derlin-2 OS=Homo sapiens OX=9606 GN=DERL2 PE=1 SV=1
+GGLRQGEGWAFGGPREEPLPNYNPDEDPTDFIAKLISPTKLIRIGGPQNPFVDELFFYIHGVAIGLLDVIISNGLLLSFGMLVWPLFPAQFNLLGFFNMRVYPNRRSWVYVLMITFAQGLFVLSVFLGFLTMLFGGFLFMFVFDATRGRFSGEELMRCYRYLFIMNFLFNFGVPGFFLFNTILRWIQFHKFILEPNFYLQFPTILELQVAATTLVCATTYARSVPPIQLYELRLSQYAM
+>sp|P17661|DESM_HUMAN Desmin OS=Homo sapiens OX=9606 GN=DES PE=1 SV=3
+MSQAYSSSQRVSSYRRTFGGAPGFPLGSPLSSPVFPRAGFGSKGSSSSVTSRVYQVSRTSGGAGGLGSLRASRLGTTRTPSSYGAGELLDFSLADAVNQEFLTTRTNEKVELQELNDRFANYIEKVRFLEQQNAALAAEVNRLKGREPTRVAELYEEELRELRRQVEVLTNQRARVDVERDNLLDDLQRLKAKLQEEIQLKEEAENNLAAFRADVDAATLARIDLERRIESLNEEIAFLKKVHEEEIRELQAQLQEQQVQVEMDMSKPDLTAALRDIRAQYETIAAKNISEAEEWYKSKVSDLTQAANKNNDALRQAKQEMMEYRHQIQSYTCEIDALKGTNDSLMRQMRELEDRFASEASGYQDNIARLEEEIRHLKDEMARHLREYQDLLNVKMALDVEIATYRKLLEGEESRINLPIQTYSALNFRETSPEQRGSEVHTKKTVMIKTIETRDGEVVSEATQQQHEVL
+>DECOY_sp|P17661|DESM_HUMAN Desmin OS=Homo sapiens OX=9606 GN=DES PE=1 SV=3
+LVEHQQQTAESVVEGDRTEITKIMVTKKTHVESGRQEPSTERFNLASYTQIPLNIRSEEGELLKRYTAIEVDLAMKVNLLDQYERLHRAMEDKLHRIEEELRAINDQYGSAESAFRDELERMQRMLSDNTGKLADIECTYSQIQHRYEMMEQKAQRLADNNKNAAQTLDSVKSKYWEEAESINKAAITEYQARIDRLAATLDPKSMDMEVQVQQEQLQAQLERIEEEHVKKLFAIEENLSEIRRELDIRALTAADVDARFAALNNEAEEKLQIEEQLKAKLRQLDDLLNDREVDVRARQNTLVEVQRRLERLEEEYLEAVRTPERGKLRNVEAALAANQQELFRVKEIYNAFRDNLEQLEVKENTRTTLFEQNVADALSFDLLEGAGYSSPTRTTGLRSARLSGLGGAGGSTRSVQYVRSTVSSSSGKSGFGARPFVPSSLPSGLPFGPAGGFTRRYSSVRQSSSYAQSM
+>sp|Q9Y6T7|DGKB_HUMAN Diacylglycerol kinase beta OS=Homo sapiens OX=9606 GN=DGKB PE=2 SV=2
+MTNQEKWAHLSPSEFSQLQKYAEYSTKKLKDVLEEFHGNGVLAKYNPEGKQDILNQTIDFEGFKLFMKTFLEAELPDDFTAHLFMSFSNKFPHSSPMVKSKPALLSGGLRMNKGAITPPRTTSPANTCSPEVIHLKDIVCYLSLLERGRPEDKLEFMFRLYDTDGNGFLDSSELENIISQMMHVAEYLEWDVTELNPILHEMMEEIDYDHDGTVSLEEWIQGGMTTIPLLVLLGLENNVKDDGQHVWRLKHFNKPAYCNLCLNMLIGVGKQGLCCSFCKYTVHERCVARAPPSCIKTYVKSKRNTDVMHHYWVEGNCPTKCDKCHKTVKCYQGLTGLHCVWCQITLHNKCASHLKPECDCGPLKDHILPPTTICPVVLQTLPTSGVSVPEERQSTVKKEKSGSQQPNKVIDKNKMQRANSVTVDGQGLQVTPVPGTHPLLVFVNPKSGGKQGERIYRKFQYLLNPRQVYSLSGNGPMPGLNFFRDVPDFRVLACGGDGTVGWVLDCIEKANVGKHPPVAILPLGTGNDLARCLRWGGGYEGENLMKILKDIENSTEIMLDRWKFEVIPNDKDEKGDPVPYSIINNYFSIGVDASIAHRFHIMREKHPEKFNSRMKNKFWYFEFGTSETFSATCKKLHESVEIECDGVQIDLINISLEGIAILNIPSMHGGSNLWGESKKRRSHRRIEKKGSDKRTTVTDAKELKFASQDLSDQLLEVVGLEGAMEMGQIYTGLKSAGRRLAQCSCVVIRTSKSLPMQIDGEPWMQTPCTIKITHKNQAPMLMGPPPKTGLFCSLVKRTRNRSKE
+>DECOY_sp|Q9Y6T7|DGKB_HUMAN Diacylglycerol kinase beta OS=Homo sapiens OX=9606 GN=DGKB PE=2 SV=2
+EKSRNRTRKVLSCFLGTKPPPGMLMPAQNKHTIKITCPTQMWPEGDIQMPLSKSTRIVVCSCQALRRGASKLGTYIQGMEMAGELGVVELLQDSLDQSAFKLEKADTVTTRKDSGKKEIRRHSRRKKSEGWLNSGGHMSPINLIAIGELSINILDIQVGDCEIEVSEHLKKCTASFTESTGFEFYWFKNKMRSNFKEPHKERMIHFRHAISADVGISFYNNIISYPVPDGKEDKDNPIVEFKWRDLMIETSNEIDKLIKMLNEGEYGGGWRLCRALDNGTGLPLIAVPPHKGVNAKEICDLVWGVTGDGGCALVRFDPVDRFFNLGPMPGNGSLSYVQRPNLLYQFKRYIREGQKGGSKPNVFVLLPHTGPVPTVQLGQGDVTVSNARQMKNKDIVKNPQQSGSKEKKVTSQREEPVSVGSTPLTQLVVPCITTPPLIHDKLPGCDCEPKLHSACKNHLTIQCWVCHLGTLGQYCKVTKHCKDCKTPCNGEVWYHHMVDTNRKSKVYTKICSPPARAVCREHVTYKCFSCCLGQKGVGILMNLCLNCYAPKNFHKLRWVHQGDDKVNNELGLLVLLPITTMGGQIWEELSVTGDHDYDIEEMMEHLIPNLETVDWELYEAVHMMQSIINELESSDLFGNGDTDYLRFMFELKDEPRGRELLSLYCVIDKLHIVEPSCTNAPSTTRPPTIAGKNMRLGGSLLAPKSKVMPSSHPFKNSFSMFLHATFDDPLEAELFTKMFLKFGEFDITQNLIDQKGEPNYKALVGNGHFEELVDKLKKTSYEAYKQLQSFESPSLHAWKEQNTM
+>sp|P52429|DGKE_HUMAN Diacylglycerol kinase epsilon OS=Homo sapiens OX=9606 GN=DGKE PE=1 SV=1
+MEAERRPAPGSPSEGLFADGHLILWTLCSVLLPVFITFWCSLQRSRRQLHRRDIFRKSKHGWRDTDLFSQPTYCCVCAQHILQGAFCDCCGLRVDEGCLRKADKRFQCKEIMLKNDTKVLDAMPHHWIRGNVPLCSYCMVCKQQCGCQPKLCDYRCIWCQKTVHDECMKNSLKNEKCDFGEFKNLIIPPSYLTSINQMRKDKKTDYEVLASKLGKQWTPLIILANSRSGTNMGEGLLGEFRILLNPVQVFDVTKTPPIKALQLCTLLPYYSARVLVCGGDGTVGWVLDAVDDMKIKGQEKYIPQVAVLPLGTGNDLSNTLGWGTGYAGEIPVAQVLRNVMEADGIKLDRWKVQVTNKGYYNLRKPKEFTMNNYFSVGPDALMALNFHAHREKAPSLFSSRILNKAVYLFYGTKDCLVQECKDLNKKVELELDGERVALPSLEGIIVLNIGYWGGGCRLWEGMGDETYPLARHDDGLLEVVGVYGSFHCAQIQVKLANPFRIGQAHTVRLILKCSMMPMQVDGEPWAQGPCTVTITHKTHAMMLYFSGEQTDDDISSTSDQEDIKATE
+>DECOY_sp|P52429|DGKE_HUMAN Diacylglycerol kinase epsilon OS=Homo sapiens OX=9606 GN=DGKE PE=1 SV=1
+ETAKIDEQDSTSSIDDDTQEGSFYLMMAHTKHTITVTCPGQAWPEGDVQMPMMSCKLILRVTHAQGIRFPNALKVQIQACHFSGYVGVVELLGDDHRALPYTEDGMGEWLRCGGGWYGINLVIIGELSPLAVREGDLELEVKKNLDKCEQVLCDKTGYFLYVAKNLIRSSFLSPAKERHAHFNLAMLADPGVSFYNNMTFEKPKRLNYYGKNTVQVKWRDLKIGDAEMVNRLVQAVPIEGAYGTGWGLTNSLDNGTGLPLVAVQPIYKEQGKIKMDDVADLVWGVTGDGGCVLVRASYYPLLTCLQLAKIPPTKTVDFVQVPNLLIRFEGLLGEGMNTGSRSNALIILPTWQKGLKSALVEYDTKKDKRMQNISTLYSPPIILNKFEGFDCKENKLSNKMCEDHVTKQCWICRYDCLKPQCGCQQKCVMCYSCLPVNGRIWHHPMADLVKTDNKLMIEKCQFRKDAKRLCGEDVRLGCCDCFAGQLIHQACVCCYTPQSFLDTDRWGHKSKRFIDRRHLQRRSRQLSCWFTIFVPLLVSCLTWLILHGDAFLGESPSGPAPRREAEM
+>sp|Q9Y4D2|DGLA_HUMAN Sn1-specific diacylglycerol lipase alpha OS=Homo sapiens OX=9606 GN=DAGLA PE=1 SV=3
+MPGIVVFRRRWSVGSDDLVLPAIFLFLLHTTWFVILSVVLFGLVYNPHEACSLNLVDHGRGYLGILLSCMIAEMAIIWLSMRGGILYTEPRDSMQYVLYVRLAILVIEFIYAIVGIVWLTQYYTSCNDLTAKNVTLGMVVCNWVVILSVCITVLCVFDPTGRTFVKLRATKRRQRNLRTYNLRHRLEEGQATSWSRRLKVFLCCTRTKDSQSDAYSEIAYLFAEFFRDLDIVPSDIIAGLVLLRQRQRAKRNAVLDEANNDILAFLSGMPVTRNTKYLDLKNSQEMLRYKEVCYYMLFALAAYGWPMYLMRKPACGLCQLARSCSCCLCPARPRFAPGVTIEEDNCCGCNAIAIRRHFLDENMTAVDIVYTSCHDAVYETPFYVAVDHDKKKVVISIRGTLSPKDALTDLTGDAERLPVEGHHGTWLGHKGMVLSAEYIKKKLEQEMVLSQAFGRDLGRGTKHYGLIVVGHSLGAGTAAILSFLLRPQYPTLKCFAYSPPGGLLSEDAMEYSKEFVTAVVLGKDLVPRIGLSQLEGFRRQLLDVLQRSTKPKWRIIVGATKCIPKSELPEEVEVTTLASTRLWTHPSDLTIALSASTPLYPPGRIIHVVHNHPAEQCCCCEQEEPTYFAIWGDNKAFNEVIISPAMLHEHLPYVVMEGLNKVLENYNKGKTALLSAAKVMVSPTEVDLTPELIFQQQPLPTGPPMPTGLALELPTADHRNSSVRSKSQSEMSLEGFSEGRLLSPVVAAAARQDPVELLLLSTQERLAAELQARRAPLATMESLSDTESLYSFDSRRSSGFRSIRGSPSLHAVLERDEGHLFYIDPAIPEENPSLSSRTELLAADSLSKHSQDTQPLEAALGSGGVTPERPPSAAANDEEEEVGGGGGGPASRGELALHNGRLGDSPSPQVLEFAEFIDSLFNLDSKSSSFQDLYCMVVPESPTSDYAEGPKSPSQQEILLRAQFEPNLVPKPPRLFAGSADPSSGISLSPSFPLSSSGELMDLTPTGLSSQECLAADKIRTSTPTGHGASPAKQDELVISAR
+>DECOY_sp|Q9Y4D2|DGLA_HUMAN Sn1-specific diacylglycerol lipase alpha OS=Homo sapiens OX=9606 GN=DAGLA PE=1 SV=3
+RASIVLEDQKAPSAGHGTPTSTRIKDAALCEQSSLGTPTLDMLEGSSSLPFSPSLSIGSSPDASGAFLRPPKPVLNPEFQARLLIEQQSPSKPGEAYDSTPSEPVVMCYLDQFSSSKSDLNFLSDIFEAFELVQPSPSDGLRGNHLALEGRSAPGGGGGGVEEEEDNAAASPPREPTVGGSGLAAELPQTDQSHKSLSDAALLETRSSLSPNEEPIAPDIYFLHGEDRELVAHLSPSGRISRFGSSRRSDFSYLSETDSLSEMTALPARRAQLEAALREQTSLLLLEVPDQRAAAAVVPSLLRGESFGELSMESQSKSRVSSNRHDATPLELALGTPMPPGTPLPQQQFILEPTLDVETPSVMVKAASLLATKGKNYNELVKNLGEMVVYPLHEHLMAPSIIVENFAKNDGWIAFYTPEEQECCCCQEAPHNHVVHIIRGPPYLPTSASLAITLDSPHTWLRTSALTTVEVEEPLESKPICKTAGVIIRWKPKTSRQLVDLLQRRFGELQSLGIRPVLDKGLVVATVFEKSYEMADESLLGGPPSYAFCKLTPYQPRLLFSLIAATGAGLSHGVVILGYHKTGRGLDRGFAQSLVMEQELKKKIYEASLVMGKHGLWTGHHGEVPLREADGTLDTLADKPSLTGRISIVVKKKDHDVAVYFPTEYVADHCSTYVIDVATMNEDLFHRRIAIANCGCCNDEEITVGPAFRPRAPCLCCSCSRALQCLGCAPKRMLYMPWGYAALAFLMYYCVEKYRLMEQSNKLDLYKTNRTVPMGSLFALIDNNAEDLVANRKARQRQRLLVLGAIIDSPVIDLDRFFEAFLYAIESYADSQSDKTRTCCLFVKLRRSWSTAQGEELRHRLNYTRLNRQRRKTARLKVFTRGTPDFVCLVTICVSLIVVWNCVVMGLTVNKATLDNCSTYYQTLWVIGVIAYIFEIVLIALRVYLVYQMSDRPETYLIGGRMSLWIIAMEAIMCSLLIGLYGRGHDVLNLSCAEHPNYVLGFLVVSLIVFWTTHLLFLFIAPLVLDDSGVSWRRRFVVIGPM
+>sp|P14061|DHB1_HUMAN Estradiol 17-beta-dehydrogenase 1 OS=Homo sapiens OX=9606 GN=HSD17B1 PE=1 SV=3
+MARTVVLITGCSSGIGLHLAVRLASDPSQSFKVYATLRDLKTQGRLWEAARALACPPGSLETLQLDVRDSKSVAAARERVTEGRVDVLVCNAGLGLLGPLEALGEDAVASVLDVNVVGTVRMLQAFLPDMKRRGSGRVLVTGSVGGLMGLPFNDVYCASKFALEGLCESLAVLLLPFGVHLSLIECGPVHTAFMEKVLGSPEEVLDRTDIHTFHRFYQYLAHSKQVFREAAQNPEEVAEVFLTALRAPKPTLRYFTTERFLPLLRMRLDDPSGSNYVTAMHREVFGDVPAKAEAGAEAGGGAGPGAEDEAGRGAVGDPELGDPPAAPQ
+>DECOY_sp|P14061|DHB1_HUMAN Estradiol 17-beta-dehydrogenase 1 OS=Homo sapiens OX=9606 GN=HSD17B1 PE=1 SV=3
+QPAAPPDGLEPDGVAGRGAEDEAGPGAGGGAEAGAEAKAPVDGFVERHMATVYNSGSPDDLRMRLLPLFRETTFYRLTPKPARLATLFVEAVEEPNQAAERFVQKSHALYQYFRHFTHIDTRDLVEEPSGLVKEMFATHVPGCEILSLHVGFPLLLVALSECLGELAFKSACYVDNFPLGMLGGVSGTVLVRGSGRRKMDPLFAQLMRVTGVVNVDLVSAVADEGLAELPGLLGLGANCVLVDVRGETVRERAAAVSKSDRVDLQLTELSGPPCALARAAEWLRGQTKLDRLTAYVKFSQSPDSALRVALHLGIGSSCGTILVVTRAM
+>sp|P56937|DHB7_HUMAN 3-keto-steroid reductase OS=Homo sapiens OX=9606 GN=HSD17B7 PE=1 SV=1
+MRKVVLITGASSGIGLALCKRLLAEDDELHLCLACRNMSKAEAVCAALLASHPTAEVTIVQVDVSNLQSVFRASKELKQRFQRLDCIYLNAGIMPNPQLNIKALFFGLFSRKVIHMFSTAEGLLTQGDKITADGLQEVFETNVFGHFILIRELEPLLCHSDNPSQLIWTSSRSARKSNFSLEDFQHSKGKEPYSSSKYATDLLSVALNRNFNQQGLYSNVACPGTALTNLTYGILPPFIWTLLMPAILLLRFFANAFTLTPYNGTEALVWLFHQKPESLNPLIKYLSATTGFGRNYIMTQKMDLDEDTAEKFYQKLLELEKHIRVTIQKTDNQARLSGSCL
+>DECOY_sp|P56937|DHB7_HUMAN 3-keto-steroid reductase OS=Homo sapiens OX=9606 GN=HSD17B7 PE=1 SV=1
+LCSGSLRAQNDTKQITVRIHKELELLKQYFKEATDEDLDMKQTMIYNRGFGTTASLYKILPNLSEPKQHFLWVLAETGNYPTLTFANAFFRLLLIAPMLLTWIFPPLIGYTLNTLATGPCAVNSYLGQQNFNRNLAVSLLDTAYKSSSYPEKGKSHQFDELSFNSKRASRSSTWILQSPNDSHCLLPELERILIFHGFVNTEFVEQLGDATIKDGQTLLGEATSFMHIVKRSFLGFFLAKINLQPNPMIGANLYICDLRQFRQKLEKSARFVSQLNSVDVQVITVEATPHSALLAACVAEAKSMNRCALCLHLEDDEALLRKCLALGIGSSAGTILVVKRM
+>sp|Q14147|DHX34_HUMAN Probable ATP-dependent RNA helicase DHX34 OS=Homo sapiens OX=9606 GN=DHX34 PE=1 SV=2
+MPPPRTREGRDRRDHHRAPSEEEALEKWDWNCPETRRLLEDAFFREEDYIRQGSEECQKFWTFFERLQRFQNLKTSRKEEKDPGQPKHSIPALADLPRTYDPRYRINLSVLGPATRGSQGLGRHLPAERVAEFRRALLHYLDFGQKQAFGRLAKLQRERAALPIAQYGNRILQTLKEHQVVVVAGDTGCGKSTQVPQYLLAAGFSHVACTQPRRIACISLAKRVGFESLSQYGSQVGYQIRFESTRSAATKIVFLTVGLLLRQIQREPSLPQYEVLIVDEVHERHLHNDFLLGVLQRLLPTRPDLKVILMSATINISLFSSYFSNAPVVQVPGRLFPITVVYQPQEAEPTTSKSEKLDPRPFLRVLESIDHKYPPEERGDLLVFLSGMAEISAVLEAAQTYASHTQRWVVLPLHSALSVADQDKVFDVAPPGVRKCILSTNIAETSVTIDGIRFVVDSGKVKEMSYDPQAKLQRLQEFWISQASAEQRKGRAGRTGPGVCFRLYAESDYDAFAPYPVPEIRRVALDSLVLQMKSMSVGDPRTFPFIEPPPPASLETAILYLRDQGALDSSEALTPIGSLLAQLPVDVVIGKMLILGSMFSLVEPVLTIAAALSVQSPFTRSAQSSPECAAARRPLESDQGDPFTLFNVFNAWVQVKSERSRNSRKWCRRRGIEEHRLYEMANLRRQFKELLEDHGLLAGAQAAQVGDSYSRLQQRRERRALHQLKRQHEEGAGRRRKVLRLQEEQDGGSSDEDRAGPAPPGASDGVDIQDVKFKLRHDLAQLQAAASSAQDLSREQLALLKLVLGRGLYPQLAVPDAFNSSRKDSDQIFHTQAKQGAVLHPTCVFAGSPEVLHAQELEASNCDGSRDDKDKMSSKHQLLSFVSLLETNKPYLVNCVRIPALQSLLLFSRSLDTNGDCSRLVADGWLELQLADSESAIRLLAASLRLRARWESALDRQLAHQAQQQLEEEEEDTPVSPKEVATLSKELLQFTASKIPYSLRRLTGLEVQNMYVGPQTIPATPHLPGLFGSSTLSPHPTKGGYAVTDFLTYNCLTNDTDLYSDCLRTFWTCPHCGLHAPLTPLERIAHENTCPQAPQDGPPGAEEAALETLQKTSVLQRPYHCEACGKDFLFTPTEVLRHRKQHV
+>DECOY_sp|Q14147|DHX34_HUMAN Probable ATP-dependent RNA helicase DHX34 OS=Homo sapiens OX=9606 GN=DHX34 PE=1 SV=2
+VHQKRHRLVETPTFLFDKGCAECHYPRQLVSTKQLTELAAEEAGPPGDQPAQPCTNEHAIRELPTLPAHLGCHPCTWFTRLCDSYLDTDNTLCNYTLFDTVAYGGKTPHPSLTSSGFLGPLHPTAPITQPGVYMNQVELGTLRRLSYPIKSATFQLLEKSLTAVEKPSVPTDEEEEELQQQAQHALQRDLASEWRARLRLSAALLRIASESDALQLELWGDAVLRSCDGNTDLSRSFLLLSQLAPIRVCNVLYPKNTELLSVFSLLQHKSSMKDKDDRSGDCNSAELEQAHLVEPSGAFVCTPHLVAGQKAQTHFIQDSDKRSSNFADPVALQPYLGRGLVLKLLALQERSLDQASSAAAQLQALDHRLKFKVDQIDVGDSAGPPAPGARDEDSSGGDQEEQLRLVKRRRGAGEEHQRKLQHLARRERRQQLRSYSDGVQAAQAGALLGHDELLEKFQRRLNAMEYLRHEEIGRRRCWKRSNRSRESKVQVWANFVNFLTFPDGQDSELPRRAAACEPSSQASRTFPSQVSLAAAITLVPEVLSFMSGLILMKGIVVDVPLQALLSGIPTLAESSDLAGQDRLYLIATELSAPPPPEIFPFTRPDGVSMSKMQLVLSDLAVRRIEPVPYPAFADYDSEAYLRFCVGPGTRGARGKRQEASAQSIWFEQLRQLKAQPDYSMEKVKGSDVVFRIGDITVSTEAINTSLICKRVGPPAVDFVKDQDAVSLASHLPLVVWRQTHSAYTQAAELVASIEAMGSLFVLLDGREEPPYKHDISELVRLFPRPDLKESKSTTPEAEQPQYVVTIPFLRGPVQVVPANSFYSSFLSINITASMLIVKLDPRTPLLRQLVGLLFDNHLHREHVEDVILVEYQPLSPERQIQRLLLGVTLFVIKTAASRTSEFRIQYGVQSGYQSLSEFGVRKALSICAIRRPQTCAVHSFGAALLYQPVQTSKGCGTDGAVVVVQHEKLTQLIRNGYQAIPLAARERQLKALRGFAQKQGFDLYHLLARRFEAVREAPLHRGLGQSGRTAPGLVSLNIRYRPDYTRPLDALAPISHKPQGPDKEEKRSTKLNQFRQLREFFTWFKQCEESGQRIYDEERFFADELLRRTEPCNWDWKELAEEESPARHHDRRDRGERTRPPPM
+>sp|Q8IY37|DHX37_HUMAN Probable ATP-dependent RNA helicase DHX37 OS=Homo sapiens OX=9606 GN=DHX37 PE=1 SV=1
+MGKLRRRYNIKGRQQAGPGPSKGPPEPPPVQLELEDKDTLKGVDASNALVLPGKKKKKTKAPPLSKKEKKPLTKKEKKVLQKILEQKEKKSQRAEMLQKLSEVQASEAEMRLFYTTSKLGTGNRMYHTKEKADEVVAPGQEKISSLSGAHRKRRRWPSAEEEEEEEEESESELEEESELDEDPAAEPAEAGVGTTVAPLPPAPAPSSQPVPAGMTVPPPPAAAPPLPRALAKPAVFIPVNRSPEMQEERLKLPILSEEQVIMEAVAEHPIVIVCGETGSGKTTQVPQFLYEAGFSSEDSIIGVTEPRRVAAVAMSQRVAKEMNLSQRVVSYQIRYEGNVTEETRIKFMTDGVLLKEIQKDFLLLRYKVVIIDEAHERSVYTDILIGLLSRIVTLRAKRNLPLKLLIMSATLRVEDFTQNPRLFAKPPPVIKVESRQFPVTVHFNKRTPLEDYSGECFRKVCKIHRMLPAGGILVFLTGQAEVHALCRRLRKAFPPSRARPQEKDDDQKDSVEEMRKFKKSRARAKKARAEVLPQINLDHYSVLPAGEGDEDREAEVDEEEGALDSDLDLDLGDGGQDGGEQPDASLPLHVLPLYSLLAPEKQAQVFKPPPEGTRLCVVATNVAETSLTIPGIKYVVDCGKVKKRYYDRVTGVSSFRVTWVSQASADQRAGRAGRTEPGHCYRLYSSAVFGDFEQFPPPEITRRPVEDLILQMKALNVEKVINFPFPTPPSVEALLAAEELLIALGALQPPQKAERVKQLQENRLSCPITALGRTMATFPVAPRYAKMLALSRQHGCLPYAITIVASMTVRELFEELDRPAASDEELTRLKSKRARVAQMKRTWAGQGASLKLGDLMVLLGAVGACEYASCTPQFCEANGLRYKAMMEIRRLRGQLTTAVNAVCPEAELFVDPKMQPPTESQVTYLRQIVTAGLGDHLARRVQSEEMLEDKWRNAYKTPLLDDPVFIHPSSVLFKELPEFVVYQEIVETTKMYMKGVSSVEVQWIPALLPSYCQFDKPLEEPAPTYCPERGRVLCHRASVFYRVGWPLPAIEVDFPEGIDRYKHFARFLLEGQVFRKLASYRSCLLSSPGTMLKTWARLQPRTESLLRALVAEKADCHEALLAAWKKNPKYLLAEYCEWLPQAMHPDIEKAWPPTTVH
+>DECOY_sp|Q8IY37|DHX37_HUMAN Probable ATP-dependent RNA helicase DHX37 OS=Homo sapiens OX=9606 GN=DHX37 PE=1 SV=1
+HVTTPPWAKEIDPHMAQPLWECYEALLYKPNKKWAALLAEHCDAKEAVLARLLSETRPQLRAWTKLMTGPSSLLCSRYSALKRFVQGELLFRAFHKYRDIGEPFDVEIAPLPWGVRYFVSARHCLVRGREPCYTPAPEELPKDFQCYSPLLAPIWQVEVSSVGKMYMKTTEVIEQYVVFEPLEKFLVSSPHIFVPDDLLPTKYANRWKDELMEESQVRRALHDGLGATVIQRLYTVQSETPPQMKPDVFLEAEPCVANVATTLQGRLRRIEMMAKYRLGNAECFQPTCSAYECAGVAGLLVMLDGLKLSAGQGAWTRKMQAVRARKSKLRTLEEDSAAPRDLEEFLERVTMSAVITIAYPLCGHQRSLALMKAYRPAVPFTAMTRGLATIPCSLRNEQLQKVREAKQPPQLAGLAILLEEAALLAEVSPPTPFPFNIVKEVNLAKMQLILDEVPRRTIEPPPFQEFDGFVASSYLRYCHGPETRGARGARQDASAQSVWTVRFSSVGTVRDYYRKKVKGCDVVYKIGPITLSTEAVNTAVVCLRTGEPPPKFVQAQKEPALLSYLPLVHLPLSADPQEGGDQGGDGLDLDLDSDLAGEEEDVEAERDEDGEGAPLVSYHDLNIQPLVEARAKKARARSKKFKRMEEVSDKQDDDKEQPRARSPPFAKRLRRCLAHVEAQGTLFVLIGGAPLMRHIKCVKRFCEGSYDELPTRKNFHVTVPFQRSEVKIVPPPKAFLRPNQTFDEVRLTASMILLKLPLNRKARLTVIRSLLGILIDTYVSREHAEDIIVVKYRLLLFDKQIEKLLVGDTMFKIRTEETVNGEYRIQYSVVRQSLNMEKAVRQSMAVAAVRRPETVGIISDESSFGAEYLFQPVQTTKGSGTEGCVIVIPHEAVAEMIVQEESLIPLKLREEQMEPSRNVPIFVAPKALARPLPPAAAPPPPVTMGAPVPQSSPAPAPPLPAVTTGVGAEAPEAAPDEDLESEEELESESEEEEEEEEEASPWRRRKRHAGSLSSIKEQGPAVVEDAKEKTHYMRNGTGLKSTTYFLRMEAESAQVESLKQLMEARQSKKEKQELIKQLVKKEKKTLPKKEKKSLPPAKTKKKKKGPLVLANSADVGKLTDKDELELQVPPPEPPGKSPGPGAQQRGKINYRRRLKGM
+>sp|Q96C10|DHX58_HUMAN Probable ATP-dependent RNA helicase DHX58 OS=Homo sapiens OX=9606 GN=DHX58 PE=1 SV=1
+MELRSYQWEVIMPALEGKNIIIWLPTGAGKTRAAAYVAKRHLETVDGAKVVVLVNRVHLVTQHGEEFRRMLDGRWTVTTLSGDMGPRAGFGHLARCHDLLICTAELLQMALTSPEEEEHVELTVFSLIVVDECHHTHKDTVYNVIMSQYLELKLQRAQPLPQVLGLTASPGTGGASKLDGAINHVLQLCANLDTWCIMSPQNCCPQLQEHSQQPCKQYNLCHRRSQDPFGDLLKKLMDQIHDHLEMPELSRKFGTQMYEQQVVKLSEAAALAGLQEQRVYALHLRRYNDALLIHDTVRAVDALAALQDFYHREHVTKTQILCAERRLLALFDDRKNELAHLATHGPENPKLEMLEKILQRQFSSSNSPRGIIFTRTRQSAHSLLLWLQQQQGLQTVDIRAQLLIGAGNSSQSTHMTQRDQQEVIQKFQDGTLNLLVATSVAEEGLDIPHCNVVVRYGLLTNEISMVQARGRARADQSVYAFVATEGSRELKRELINEALETLMEQAVAAVQKMDQAEYQAKIRDLQQAALTKRAAQAAQRENQRQQFPVEHVQLLCINCMVAVGHGSDLRKVEGTHHVNVNPNFSNYYNVSRDPVVINKVFKDWKPGGVISCRNCGEVWGLQMIYKSVKLPVLKVRSMLLETPQGRIQAKKWSRVPFSVPDFDFLQHCAENLSDLSLD
+>DECOY_sp|Q96C10|DHX58_HUMAN Probable ATP-dependent RNA helicase DHX58 OS=Homo sapiens OX=9606 GN=DHX58 PE=1 SV=1
+DLSLDSLNEACHQLFDFDPVSFPVRSWKKAQIRGQPTELLMSRVKLVPLKVSKYIMQLGWVEGCNRCSIVGGPKWDKFVKNIVVPDRSVNYYNSFNPNVNVHHTGEVKRLDSGHGVAVMCNICLLQVHEVPFQQRQNERQAAQAARKTLAAQQLDRIKAQYEAQDMKQVAAVAQEMLTELAENILERKLERSGETAVFAYVSQDARARGRAQVMSIENTLLGYRVVVNCHPIDLGEEAVSTAVLLNLTGDQFKQIVEQQDRQTMHTSQSSNGAGILLQARIDVTQLGQQQQLWLLLSHASQRTRTFIIGRPSNSSSFQRQLIKELMELKPNEPGHTALHALENKRDDFLALLRREACLIQTKTVHERHYFDQLAALADVARVTDHILLADNYRRLHLAYVRQEQLGALAAAESLKVVQQEYMQTGFKRSLEPMELHDHIQDMLKKLLDGFPDQSRRHCLNYQKCPQQSHEQLQPCCNQPSMICWTDLNACLQLVHNIAGDLKSAGGTGPSATLGLVQPLPQARQLKLELYQSMIVNYVTDKHTHHCEDVVILSFVTLEVHEEEEPSTLAMQLLEATCILLDHCRALHGFGARPGMDGSLTTVTWRGDLMRRFEEGHQTVLHVRNVLVVVKAGDVTELHRKAVYAAARTKGAGTPLWIIINKGELAPMIVEWQYSRLEM
+>sp|Q9H7Y0|DIA1R_HUMAN Deleted in autism-related protein 1 OS=Homo sapiens OX=9606 GN=CXorf36 PE=2 SV=3
+MEPQLGPEAAALRPGWLALLLWVSALSCSFSLPASSLSSLVPQVRTSYNFGRTFLGLDKCNACIGTSICKKFFKEEIRSDNWLASHLGLPPDSLLSYPANYSDDSKIWRPVEIFRLVSKYQNEISDRRICASASAPKTCSIERVLRKTERFQKWLQAKRLTPDLVQGLASPLLRCPSQRLLDRVVRRYAEVADAGSIFMDHFTDRDKLRLLYTLAVNSHPILLQIFPGAEGWPLPKYLGSCGRFLVSTSTRPLQEFYDAPPDQAADLAYQLLGVLESLRSNDLNYFFYFTHIDAGMFGVFNNGHLFIRDASAVGVIDKQEGSQEANRAGENKDIFSCLVSGCQAQLPSCESISEKQSLVLVCQKLLPRLLQGRFPSPVQDDIDSILVQCGDSIRPDPEVLGAASQLKDILRPLRTCDSRFAYRYPDCKYNDKF
+>DECOY_sp|Q9H7Y0|DIA1R_HUMAN Deleted in autism-related protein 1 OS=Homo sapiens OX=9606 GN=CXorf36 PE=2 SV=3
+FKDNYKCDPYRYAFRSDCTRLPRLIDKLQSAAGLVEPDPRISDGCQVLISDIDDQVPSPFRGQLLRPLLKQCVLVLSQKESISECSPLQAQCGSVLCSFIDKNEGARNAEQSGEQKDIVGVASADRIFLHGNNFVGFMGADIHTFYFFYNLDNSRLSELVGLLQYALDAAQDPPADYFEQLPRTSTSVLFRGCSGLYKPLPWGEAGPFIQLLIPHSNVALTYLLRLKDRDTFHDMFISGADAVEAYRRVVRDLLRQSPCRLLPSALGQVLDPTLRKAQLWKQFRETKRLVREISCTKPASASACIRRDSIENQYKSVLRFIEVPRWIKSDDSYNAPYSLLSDPPLGLHSALWNDSRIEEKFFKKCISTGICANCKDLGLFTRGFNYSTRVQPVLSSLSSAPLSFSCSLASVWLLLALWGPRLAAAEPGLQPEM
+>sp|O60610|DIAP1_HUMAN Protein diaphanous homolog 1 OS=Homo sapiens OX=9606 GN=DIAPH1 PE=1 SV=2
+MEPPGGSLGPGRGTRDKKKGRSPDELPSAGGDGGKSKKFTLKRLMADELERFTSMRIKKEKEKPNSAHRNSSASYGDDPTAQSLQDVSDEQVLVLFEQMLLDMNLNEEKQQPLREKDIIIKREMVSQYLYTSKAGMSQKESSKSAMMYIQELRSGLRDMPLLSCLESLRVSLNNNPVSWVQTFGAEGLASLLDILKRLHDEKEETAGSYDSRNKHEIIRCLKAFMNNKFGIKTMLETEEGILLLVRAMDPAVPNMMIDAAKLLSALCILPQPEDMNERVLEAMTERAEMDEVERFQPLLDGLKSGTTIALKVGCLQLINALITPAEELDFRVHIRSELMRLGLHQVLQDLREIENEDMRVQLNVFDEQGEEDSYDLKGRLDDIRMEMDDFNEVFQILLNTVKDSKAEPHFLSILQHLLLVRNDYEARPQYYKLIEECISQIVLHKNGADPDFKCRHLQIEIEGLIDQMIDKTKVEKSEAKAAELEKKLDSELTARHELQVEMKKMESDFEQKLQDLQGEKDALHSEKQQIATEKQDLEAEVSQLTGEVAKLTKELEDAKKEMASLSAAAITVPPSVPSRAPVPPAPPLPGDSGTIIPPPPAPGDSTTPPPPPPPPPPPPPLPGGVCISSPPSLPGGTAISPPPPLSGDATIPPPPPLPEGVGIPSPSSLPGGTAIPPPPPLPGSARIPPPPPPLPGSAGIPPPPPPLPGEAGMPPPPPPLPGGPGIPPPPPFPGGPGIPPPPPGMGMPPPPPFGFGVPAAPVLPFGLTPKKLYKPEVQLRRPNWSKLVAEDLSQDCFWTKVKEDRFENNELFAKLTLTFSAQTKTSKAKKDQEGGEEKKSVQKKKVKELKVLDSKTAQNLSIFLGSFRMPYQEIKNVILEVNEAVLTESMIQNLIKQMPEPEQLKMLSELKDEYDDLAESEQFGVVMGTVPRLRPRLNAILFKLQFSEQVENIKPEIVSVTAACEELRKSESFSNLLEITLLVGNYMNAGSRNAGAFGFNISFLCKLRDTKSTDQKMTLLHFLAELCENDYPDVLKFPDELAHVEKASRVSAENLQKNLDQMKKQISDVERDVQNFPAATDEKDKFVEKMTSFVKDAQEQYNKLRMMHSNMETLYKELGEYFLFDPKKLSVEEFFMDLHNFRNMFLQAVKENQKRRETEEKMRRAKLAKEKAEKERLEKQQKREQLIDMNAEGDETGVMDSLLEALQSGAAFRRKRGPRQANRKAGCAVTSLLASELTKDDAMAAVPAKVSKNSETFPTILEEAKELVGRAS
+>DECOY_sp|O60610|DIAP1_HUMAN Protein diaphanous homolog 1 OS=Homo sapiens OX=9606 GN=DIAPH1 PE=1 SV=2
+SARGVLEKAEELITPFTESNKSVKAPVAAMADDKTLESALLSTVACGAKRNAQRPGRKRRFAAGSQLAELLSDMVGTEDGEANMDILQERKQQKELREKEAKEKALKARRMKEETERRKQNEKVAQLFMNRFNHLDMFFEEVSLKKPDFLFYEGLEKYLTEMNSHMMRLKNYQEQADKVFSTMKEVFKDKEDTAAPFNQVDREVDSIQKKMQDLNKQLNEASVRSAKEVHALEDPFKLVDPYDNECLEALFHLLTMKQDTSKTDRLKCLFSINFGFAGANRSGANMYNGVLLTIELLNSFSESKRLEECAATVSVIEPKINEVQESFQLKFLIANLRPRLRPVTGMVVGFQESEALDDYEDKLESLMKLQEPEPMQKILNQIMSETLVAENVELIVNKIEQYPMRFSGLFISLNQATKSDLVKLEKVKKKQVSKKEEGGEQDKKAKSTKTQASFTLTLKAFLENNEFRDEKVKTWFCDQSLDEAVLKSWNPRRLQVEPKYLKKPTLGFPLVPAAPVGFGFPPPPPMGMGPPPPPIGPGGPFPPPPPIGPGGPLPPPPPPMGAEGPLPPPPPPIGASGPLPPPPPPIRASGPLPPPPPIATGGPLSSPSPIGVGEPLPPPPPITADGSLPPPPSIATGGPLSPPSSICVGGPLPPPPPPPPPPPPPTTSDGPAPPPPIITGSDGPLPPAPPVPARSPVSPPVTIAAASLSAMEKKADELEKTLKAVEGTLQSVEAELDQKETAIQQKESHLADKEGQLDQLKQEFDSEMKKMEVQLEHRATLESDLKKELEAAKAESKEVKTKDIMQDILGEIEIQLHRCKFDPDAGNKHLVIQSICEEILKYYQPRAEYDNRVLLLHQLISLFHPEAKSDKVTNLLIQFVENFDDMEMRIDDLRGKLDYSDEEGQEDFVNLQVRMDENEIERLDQLVQHLGLRMLESRIHVRFDLEEAPTILANILQLCGVKLAITTGSKLGDLLPQFREVEDMEARETMAELVRENMDEPQPLICLASLLKAADIMMNPVAPDMARVLLLIGEETELMTKIGFKNNMFAKLCRIIEHKNRSDYSGATEEKEDHLRKLIDLLSALGEAGFTQVWSVPNNNLSVRLSELCSLLPMDRLGSRLEQIYMMASKSSEKQSMGAKSTYLYQSVMERKIIIDKERLPQQKEENLNMDLLMQEFLVLVQEDSVDQLSQATPDDGYSASSNRHASNPKEKEKKIRMSTFRELEDAMLRKLTFKKSKGGDGGASPLEDPSRGKKKDRTGRGPGLSGGPPEM
+>sp|Q9NSV4|DIAP3_HUMAN Protein diaphanous homolog 3 OS=Homo sapiens OX=9606 GN=DIAPH3 PE=1 SV=4
+MERHQPRLHHPAQGSAAGTPYPSSASLRGCRESKMPRRKGPQHPPPPSGPEEPGEKRPKFHLNIRTLTDDMLDKFASIRIPGSKKERPPLPNLKTAFASSDCSAAPLEMMENFPKPLSENELLELFEKMMEDMNLNEDKKAPLREKDFSIKKEMVMQYINTASKTGSLKRSRQISPQEFIHELKMGSADERLVTCLESLRVSLTSNPVSWVESFGHEGLGLLLDILEKLISGKIQEKVVKKNQHKVIQCLKALMNTQYGLERIMSEERSLSLLAKAVDPRHPNMMTDVVKLLSAVCIVGEESILEEVLEALTSAGEEKKIDRFFCIVEGLRHNSVQLQVACMQLINALVTSPDDLDFRLHIRNEFMRCGLKEILPNLKCIKNDGLDIQLKVFDEHKEEDLFELSHRLEDIRAELDEAYDVYNMVWSTVKETRAEGYFISILQHLLLIRNDYFIRQQYFKLIDECVSQIVLHRDGMDPDFTYRKRLDLDLTQFVDICIDQAKLEEFEEKASELYKKFEKEFTDHQETQAELQKKEAKINELQAELQAFKSQFGALPADCNIPLPPSKEGGTGHSALPPPPPLPSGGGVPPPPPPPPPPPLPGMRMPFSGPVPPPPPLGFLGGQNSPPLPILPFGLKPKKEFKPEISMRRLNWLKIRPHEMTENCFWIKVNENKYENVDLLCKLENTFCCQQKERREEEDIEEKKSIKKKIKELKFLDSKIAQNLSIFLSSFRVPYEEIRMMILEVDETRLAESMIQNLIKHLPDQEQLNSLSQFKSEYSNLCEPEQFVVVMSNVKRLRPRLSAILFKLQFEEQVNNIKPDIMAVSTACEEIKKSKSFSKLLELVLLMGNYMNAGSRNAQTFGFNLSSLCKLKDTKSADQKTTLLHFLVEICEEKYPDILNFVDDLEPLDKASKVSVETLEKNLRQMGRQLQQLEKELETFPPPEDLHDKFVTKMSRFVISAKEQYETLSKLHENMEKLYQSIIGYYAIDVKKVSVEDFLTDLNNFRTTFMQAIKENIKKREAEEKEKRVRIAKELAERERLERQQKKKRLLEMKTEGDETGVMDNLLEALQSGAAFRDRRKRTPMPKDVRQSLSPMSQRPVLKVCNHENQKVQLTEGSRSHYNINCNSTRTPVAKELNYNLDTHTSTGRIKAAEKKEACNVESNRKKETELLGSFSKNESVPEVEALLARLRAL
+>DECOY_sp|Q9NSV4|DIAP3_HUMAN Protein diaphanous homolog 3 OS=Homo sapiens OX=9606 GN=DIAPH3 PE=1 SV=4
+LARLRALLAEVEPVSENKSFSGLLETEKKRNSEVNCAEKKEAAKIRGTSTHTDLNYNLEKAVPTRTSNCNINYHSRSGETLQVKQNEHNCVKLVPRQSMPSLSQRVDKPMPTRKRRDRFAAGSQLAELLNDMVGTEDGETKMELLRKKKQQRELREREALEKAIRVRKEKEEAERKKINEKIAQMFTTRFNNLDTLFDEVSVKKVDIAYYGIISQYLKEMNEHLKSLTEYQEKASIVFRSMKTVFKDHLDEPPPFTELEKELQQLQRGMQRLNKELTEVSVKSAKDLPELDDVFNLIDPYKEECIEVLFHLLTTKQDASKTDKLKCLSSLNFGFTQANRSGANMYNGMLLVLELLKSFSKSKKIEECATSVAMIDPKINNVQEEFQLKFLIASLRPRLRKVNSMVVVFQEPECLNSYESKFQSLSNLQEQDPLHKILNQIMSEALRTEDVELIMMRIEEYPVRFSSLFISLNQAIKSDLFKLEKIKKKISKKEEIDEEERREKQQCCFTNELKCLLDVNEYKNENVKIWFCNETMEHPRIKLWNLRRMSIEPKFEKKPKLGFPLIPLPPSNQGGLFGLPPPPPVPGSFPMRMGPLPPPPPPPPPPPVGGGSPLPPPPPLASHGTGGEKSPPLPINCDAPLAGFQSKFAQLEAQLENIKAEKKQLEAQTEQHDTFEKEFKKYLESAKEEFEELKAQDICIDVFQTLDLDLRKRYTFDPDMGDRHLVIQSVCEDILKFYQQRIFYDNRILLLHQLISIFYGEARTEKVTSWVMNYVDYAEDLEARIDELRHSLEFLDEEKHEDFVKLQIDLGDNKICKLNPLIEKLGCRMFENRIHLRFDLDDPSTVLANILQMCAVQLQVSNHRLGEVICFFRDIKKEEGASTLAELVEELISEEGVICVASLLKVVDTMMNPHRPDVAKALLSLSREESMIRELGYQTNMLAKLCQIVKHQNKKVVKEQIKGSILKELIDLLLGLGEHGFSEVWSVPNSTLSVRLSELCTVLREDASGMKLEHIFEQPSIQRSRKLSGTKSATNIYQMVMEKKISFDKERLPAKKDENLNMDEMMKEFLELLENESLPKPFNEMMELPAASCDSSAFATKLNPLPPREKKSGPIRISAFKDLMDDTLTRINLHFKPRKEGPEEPGSPPPPHQPGKRRPMKSERCGRLSASSPYPTGAASGQAPHHLRPQHREM
+>sp|Q9UBX3|DIC_HUMAN Mitochondrial dicarboxylate carrier OS=Homo sapiens OX=9606 GN=SLC25A10 PE=1 SV=2
+MAAEARVSRWYFGGLASCGAACCTHPLDLLKVHLQTQQEVKLRMTGMALRVVRTDGILALYSGLSASLCRQMTYSLTRFAIYETVRDRVAKGSQGPLPFHEKVLLGSVSGLAGGFVGTPADLVNVRMQNDVKLPQGQRRNYAHALDGLYRVAREEGLRRLFSGATMASSRGALVTVGQLSCYDQAKQLVLSTGYLSDNIFTHFVASFIAGGCATFLCQPLDVLKTRLMNSKGEYQGVFHCAVETAKLGPLAFYKGLVPAGIRLIPHTVLTFVFLEQLRKNFGIKVPS
+>DECOY_sp|Q9UBX3|DIC_HUMAN Mitochondrial dicarboxylate carrier OS=Homo sapiens OX=9606 GN=SLC25A10 PE=1 SV=2
+SPVKIGFNKRLQELFVFTLVTHPILRIGAPVLGKYFALPGLKATEVACHFVGQYEGKSNMLRTKLVDLPQCLFTACGGAIFSAVFHTFINDSLYGTSLVLQKAQDYCSLQGVTVLAGRSSAMTAGSFLRRLGEERAVRYLGDLAHAYNRRQGQPLKVDNQMRVNVLDAPTGVFGGALGSVSGLLVKEHFPLPGQSGKAVRDRVTEYIAFRTLSYTMQRCLSASLGSYLALIGDTRVVRLAMGTMRLKVEQQTQLHVKLLDLPHTCCAAGCSALGGFYWRSVRAEAAM
+>sp|P59910|DJB13_HUMAN DnaJ homolog subfamily B member 13 OS=Homo sapiens OX=9606 GN=DNAJB13 PE=1 SV=1
+MGQDYYSVLGITRNSEDAQIKQAYRRLALKHHPLKSNEPSSAEIFRQIAEAYDVLSDPMKRGIYDKFGEEGLKGGIPLEFGSQTPWTTGYVFHGKPEKVFHEFFGGNNPFSEFFDAEGSEVDLNFGGLQGRGVKKQDPQVERDLYLSLEDLFFGCTKKIKISRRVLNEDGYSSTIKDKILTIDVKPGWRQGTRITFEKEGDQGPNIIPADIIFIVKEKLHPRFRRENDNLFFVNPIPLGKALTCCTVEVRTLDDRLLNIPINDIIHPKYFKKVPGEGMPLPEDPTKKGDLFIFFDIQFPTRLTPQKKQMLRQALLT
+>DECOY_sp|P59910|DJB13_HUMAN DnaJ homolog subfamily B member 13 OS=Homo sapiens OX=9606 GN=DNAJB13 PE=1 SV=1
+TLLAQRLMQKKQPTLRTPFQIDFFIFLDGKKTPDEPLPMGEGPVKKFYKPHIIDNIPINLLRDDLTRVEVTCCTLAKGLPIPNVFFLNDNERRFRPHLKEKVIFIIDAPIINPGQDGEKEFTIRTGQRWGPKVDITLIKDKITSSYGDENLVRRSIKIKKTCGFFLDELSLYLDREVQPDQKKVGRGQLGGFNLDVESGEADFFESFPNNGGFFEHFVKEPKGHFVYGTTWPTQSGFELPIGGKLGEEGFKDYIGRKMPDSLVDYAEAIQRFIEASSPENSKLPHHKLALRRYAQKIQADESNRTIGLVSYYDQGM
+>sp|Q8IXB1|DJC10_HUMAN DnaJ homolog subfamily C member 10 OS=Homo sapiens OX=9606 GN=DNAJC10 PE=1 SV=2
+MGVWLNKDDYIRDLKRIILCFLIVYMAILVGTDQDFYSLLGVSKTASSREIRQAFKKLALKLHPDKNPNNPNAHGDFLKINRAYEVLKDEDLRKKYDKYGEKGLEDNQGGQYESWNYYRYDFGIYDDDPEIITLERREFDAAVNSGELWFVNFYSPGCSHCHDLAPTWRDFAKEVDGLLRIGAVNCGDDRMLCRMKGVNSYPSLFIFRSGMAPVKYHGDRSKESLVSFAMQHVRSTVTELWTGNFVNSIQTAFAAGIGWLITFCSKGGDCLTSQTRLRLSGMLDGLVNVGWMDCATQDNLCKSLDITTSTTAYFPPGATLNNKEKNSILFLNSLDAKEIYLEVIHNLPDFELLSANTLEDRLAHHRWLLFFHFGKNENSNDPELKKLKTLLKNDHIQVGRFDCSSAPDICSNLYVFQPSLAVFKGQGTKEYEIHHGKKILYDILAFAKESVNSHVTTLGPQNFPANDKEPWLVDFFAPWCPPCRALLPELRRASNLLYGQLKFGTLDCTVHEGLCNMYNIQAYPTTVVFNQSNIHEYEGHHSAEQILEFIEDLMNPSVVSLTPTTFNELVTQRKHNEVWMVDFYSPWCHPCQVLMPEWKRMARTLTGLINVGSIDCQQYHSFCAQENVQRYPEIRFFPPKSNKAYHYHSYNGWNRDAYSLRIWGLGFLPQVSTDLTPQTFSEKVLQGKNHWVIDFYAPWCGPCQNFAPEFELLARMIKGKVKAGKVDCQAYAQTCQKAGIRAYPTVKFYFYERAKRNFQEEQINTRDAKAIAALISEKLETLRNQGKRNKDEL
+>DECOY_sp|Q8IXB1|DJC10_HUMAN DnaJ homolog subfamily C member 10 OS=Homo sapiens OX=9606 GN=DNAJC10 PE=1 SV=2
+LEDKNRKGQNRLTELKESILAAIAKADRTNIQEEQFNRKAREYFYFKVTPYARIGAKQCTQAYAQCDVKGAKVKGKIMRALLEFEPAFNQCPGCWPAYFDIVWHNKGQLVKESFTQPTLDTSVQPLFGLGWIRLSYADRNWGNYSHYHYAKNSKPPFFRIEPYRQVNEQACFSHYQQCDISGVNILGTLTRAMRKWEPMLVQCPHCWPSYFDVMWVENHKRQTVLENFTTPTLSVVSPNMLDEIFELIQEASHHGEYEHINSQNFVVTTPYAQINYMNCLGEHVTCDLTGFKLQGYLLNSARRLEPLLARCPPCWPAFFDVLWPEKDNAPFNQPGLTTVHSNVSEKAFALIDYLIKKGHHIEYEKTGQGKFVALSPQFVYLNSCIDPASSCDFRGVQIHDNKLLTKLKKLEPDNSNENKGFHFFLLWRHHALRDELTNASLLEFDPLNHIVELYIEKADLSNLFLISNKEKNNLTAGPPFYATTSTTIDLSKCLNDQTACDMWGVNVLGDLMGSLRLRTQSTLCDGGKSCFTILWGIGAAFATQISNVFNGTWLETVTSRVHQMAFSVLSEKSRDGHYKVPAMGSRFIFLSPYSNVGKMRCLMRDDGCNVAGIRLLGDVEKAFDRWTPALDHCHSCGPSYFNVFWLEGSNVAADFERRELTIIEPDDDYIGFDYRYYNWSEYQGGQNDELGKEGYKDYKKRLDEDKLVEYARNIKLFDGHANPNNPNKDPHLKLALKKFAQRIERSSATKSVGLLSYFDQDTGVLIAMYVILFCLIIRKLDRIYDDKNLWVGM
+>sp|Q9UBU2|DKK2_HUMAN Dickkopf-related protein 2 OS=Homo sapiens OX=9606 GN=DKK2 PE=2 SV=1
+MAALMRSKDSSCCLLLLAAVLMVESSQIGSSRAKLNSIKSSLGGETPGQAANRSAGMYQGLAFGGSKKGKNLGQAYPCSSDKECEVGRYCHSPHQGSSACMVCRRKKKRCHRDGMCCPSTRCNNGICIPVTESILTPHIPALDGTRHRDRNHGHYSNHDLGWQNLGRPHTKMSHIKGHEGDPCLRSSDCIEGFCCARHFWTKICKPVLHQGEVCTKQRKKGSHGLEIFQRCDCAKGLSCKVWKDATYSSKARLHVCQKI
+>DECOY_sp|Q9UBU2|DKK2_HUMAN Dickkopf-related protein 2 OS=Homo sapiens OX=9606 GN=DKK2 PE=2 SV=1
+IKQCVHLRAKSSYTADKWVKCSLGKACDCRQFIELGHSGKKRQKTCVEGQHLVPKCIKTWFHRACCFGEICDSSRLCPDGEHGKIHSMKTHPRGLNQWGLDHNSYHGHNRDRHRTGDLAPIHPTLISETVPICIGNNCRTSPCCMGDRHCRKKKRRCVMCASSGQHPSHCYRGVECEKDSSCPYAQGLNKGKKSGGFALGQYMGASRNAAQGPTEGGLSSKISNLKARSSGIQSSEVMLVAALLLLCCSSDKSRMLAAM
+>sp|Q8TDM6|DLG5_HUMAN Disks large homolog 5 OS=Homo sapiens OX=9606 GN=DLG5 PE=1 SV=4
+MEPQRRELLAQCQQSLAQAMTEVEAVLGLLEAAGALSPGERRQLDEEAGGAKAELLLKLLLAKERDHFQDLRAALEKTQPHLLPILYLNGVVGPPQPAEGAGSTYSVLSTMPSDSESSSSLSSVGTTGKAPSPPPLLTDQQVNEKVENLSIQLRLMTRERNELRKRLAFATHGTAFDKRPYHRLNPDYERLKIQCVRAMSDLQSLQNQHTNALKRCEEVAKETDFYHTLHSRLLSDQTRLKDDVDMLRRENGQLLRERNLLQQSWEDMKRLHEEDQKEIGDLRAQQQQVLKHNGSSEILNKLYDTAMDKLEVVKKDYDALRKRYSEKVAIHNADLSRLEQLGEENQRLLKQTEMLTQQRDTAIQLQHQCALSLRRFEAIHHELNKATAQNKDLQWEMELLQSELTELRTTQVKTAKESEKYREERDAVYSEYKLIMSERDQVISELDKLQTEVELAESKLKSSTSEKKAANEEMEALRQIKDTVTMDAGRANKEVEILRKQCKALCQELKEALQEADVAKCRRDWAFQERDKIVAERDSIRTLCDNLRRERDRAVSELAEALRSLDDTRKQKNDVSRELKELKEQMESQLEKEARFRQLMAHSSHDSAIDTDSMEWETEVVEFERETEDIDLKALGFDMAEGVNEPCFPGDCGIFVTKVDKGSIADGRLRVNDWLLRINDVDLINKDKKQAIKALLNGEGAINMVVRRRKSLGGKVVTPLHINLSGQKDSGISLENGVYAAAVLPGSPAAKEGSLAVGDRIVAINGIALDNKSLNECESLLRSCQDSLTLSLLKVFPQSSSWSGQNIFENIKDSDKMLSFRAHGPEVQAHNKRNLIQHNNSTQTDIFYTDRLEDRKEPGPPGGSSSFLHKPFPGGPLQVCPQACPSASERSLSSFRSDASGDRGFGLVDVRGRRPLLPFETEVGPCGVGEASLDKADSEGSNSGGTWPKAMLSSTAVPEKLSVYKKPKQRKSIFDPNTFKRPQTPPKIDYLLPGPGPAHSPQPSKRAGPLTPPKPPRRSDSIKFQHRLETSSESEATLVGSSPSTSPPSALPPDVDPGEPMHASPPRKARVRIASSYYPEGDGDSSHLPAKKSCDEDLTSQKVDELGQKRRRPKSAPSFRPKLAPVVIPAQFLEEQKCVPASGELSPELQEWAPYSPGHSSRHSNPPLYPSRPSVGTVPRSLTPSTTVSSILRNPIYTVRSHRVGPCSSPPAARDAGPQGLHPSVQHQGRLSLDLSHRTCSDYSEMRATHGSNSLPSSARLGSSSNLQFKAERIKIPSTPRYPRSVVGSERGSVSHSECSTPPQSPLNIDTLSSCSQSQTSASTLPRIAVNPASLGERRKDRPYVEEPRHVKVQKGSEPLGISIVSGEKGGIYVSKVTVGSIAHQAGLEYGDQLLEFNGINLRSATEQQARLIIGQQCDTITILAQYNPHVHQLSSHSRSSSHLDPAGTHSTLQGSGTTTPEHPSVIDPLMEQDEGPSTPPAKQSSSRIAGDANKKTLEPRVVFIKKSQLELGVHLCGGNLHGVFVAEVEDDSPAKGPDGLVPGDLILEYGSLDVRNKTVEEVYVEMLKPRDGVRLKVQYRPEEFTKAKGLPGDSFYIRALYDRLADVEQELSFKKDDILYVDDTLPQGTFGSWMAWQLDENAQKIQRGQIPSKYVMDQEFSRRLSMSEVKDDNSATKTLSAAARRSFFRRKHKHKRSGSKDGKDLLALDAFSSDSIPLFEDSVSLAYQRVQKVDCTALRPVLILGPLLDVVKEMLVNEAPGKFCRCPLEVMKASQQAIERGVKDCLFVDYKRRSGHFDVTTVASIKEITEKNRHCLLDIAPHAIERLHHMHIYPIVIFIHYKSAKHIKEQRDPIYLRDKVTQRHSKEQFEAAQKLEQEYSRYFTGVIQGGALSSICTQILAMVNQEQNKVLWIPACPL
+>DECOY_sp|Q8TDM6|DLG5_HUMAN Disks large homolog 5 OS=Homo sapiens OX=9606 GN=DLG5 PE=1 SV=4
+LPCAPIWLVKNQEQNVMALIQTCISSLAGGQIVGTFYRSYEQELKQAAEFQEKSHRQTVKDRLYIPDRQEKIHKASKYHIFIVIPYIHMHHLREIAHPAIDLLCHRNKETIEKISAVTTVDFHGSRRKYDVFLCDKVGREIAQQSAKMVELPCRCFKGPAENVLMEKVVDLLPGLILVPRLATCDVKQVRQYALSVSDEFLPISDSSFADLALLDKGDKSGSRKHKHKRRFFSRRAAASLTKTASNDDKVESMSLRRSFEQDMVYKSPIQGRQIKQANEDLQWAMWSGFTGQPLTDDVYLIDDKKFSLEQEVDALRDYLARIYFSDGPLGKAKTFEEPRYQVKLRVGDRPKLMEVYVEEVTKNRVDLSGYELILDGPVLGDPGKAPSDDEVEAVFVGHLNGGCLHVGLELQSKKIFVVRPELTKKNADGAIRSSSQKAPPTSPGEDQEMLPDIVSPHEPTTTGSGQLTSHTGAPDLHSSSRSHSSLQHVHPNYQALITITDCQQGIILRAQQETASRLNIGNFELLQDGYELGAQHAISGVTVKSVYIGGKEGSVISIGLPESGKQVKVHRPEEVYPRDKRREGLSAPNVAIRPLTSASTQSQSCSSLTDINLPSQPPTSCESHSVSGRESGVVSRPYRPTSPIKIREAKFQLNSSSGLRASSPLSNSGHTARMESYDSCTRHSLDLSLRGQHQVSPHLGQPGADRAAPPSSCPGVRHSRVTYIPNRLISSVTTSPTLSRPVTGVSPRSPYLPPNSHRSSHGPSYPAWEQLEPSLEGSAPVCKQEELFQAPIVVPALKPRFSPASKPRRRKQGLEDVKQSTLDEDCSKKAPLHSSDGDGEPYYSSAIRVRAKRPPSAHMPEGPDVDPPLASPPSTSPSSGVLTAESESSTELRHQFKISDSRRPPKPPTLPGARKSPQPSHAPGPGPLLYDIKPPTQPRKFTNPDFISKRQKPKKYVSLKEPVATSSLMAKPWTGGSNSGESDAKDLSAEGVGCPGVETEFPLLPRRGRVDVLGFGRDGSADSRFSSLSRESASPCAQPCVQLPGGPFPKHLFSSSGGPPGPEKRDELRDTYFIDTQTSNNHQILNRKNHAQVEPGHARFSLMKDSDKINEFINQGSWSSSQPFVKLLSLTLSDQCSRLLSECENLSKNDLAIGNIAVIRDGVALSGEKAAPSGPLVAAAYVGNELSIGSDKQGSLNIHLPTVVKGGLSKRRRVVMNIAGEGNLLAKIAQKKDKNILDVDNIRLLWDNVRLRGDAISGKDVKTVFIGCDGPFCPENVGEAMDFGLAKLDIDETEREFEVVETEWEMSDTDIASDHSSHAMLQRFRAEKELQSEMQEKLEKLERSVDNKQKRTDDLSRLAEALESVARDRERRLNDCLTRISDREAVIKDREQFAWDRRCKAVDAEQLAEKLEQCLAKCQKRLIEVEKNARGADMTVTDKIQRLAEMEENAAKKESTSSKLKSEALEVETQLKDLESIVQDRESMILKYESYVADREERYKESEKATKVQTTRLETLESQLLEMEWQLDKNQATAKNLEHHIAEFRRLSLACQHQLQIATDRQQTLMETQKLLRQNEEGLQELRSLDANHIAVKESYRKRLADYDKKVVELKDMATDYLKNLIESSGNHKLVQQQQARLDGIEKQDEEHLRKMDEWSQQLLNRERLLQGNERRLMDVDDKLRTQDSLLRSHLTHYFDTEKAVEECRKLANTHQNQLSQLDSMARVCQIKLREYDPNLRHYPRKDFATGHTAFALRKRLENRERTMLRLQISLNEVKENVQQDTLLPPPSPAKGTTGVSSLSSSSESDSPMTSLVSYTSGAGEAPQPPGVVGNLYLIPLLHPQTKELAARLDQFHDREKALLLKLLLEAKAGGAEEDLQRREGPSLAGAAELLGLVAEVETMAQALSQQCQALLERRQPEM
+>sp|O14490|DLGP1_HUMAN Disks large-associated protein 1 OS=Homo sapiens OX=9606 GN=DLGAP1 PE=1 SV=1
+MKGLSGSRSHHHGVTCDSACDSLSHHSDRKPYLLSPVEHHPADHPYYTQRNSFQAECVGPFSDPLASSTFPRRHYTSQQELKDECALVPRTLATKANRIPANLLDQFERQLPLSRDGYHTLQYKRTAVEHRSDSPGRIRHLVHSVQKLFTKSHSLEGPSKGSVNGGKASPDEAQAARYGKRSKSKERRAEPKARPSTSPGWWSSDDNLDGDMCIYHAPSGVMTMGRCPDRSASQYFLEAYNTISEQAVKASRSNNDVKCSTCANLPVSLDTPLLKKSAWSSTLTVSRAREVYQKASVNMDQAMVKSESCQQERSCQYLQVPQDEWTGYTPRGKDDEIPCRRMRSGSYIKAMGDEDSGDSDTSPKPSPKVAARRESYLKATQPSLTELTTLKISNEHSPKLQIRSHSYLRAVSEVSINRSLDSLDPAGLLTSPKFRSRNESYMRAMSTISQVSEMEVNGQFESVCESVFSELESQAVEALDLPMPGCFRMRSHSYVRAIEKGCSQDDECVSLRSSSPPRTTTTVRTIQSSTVSSCITTYKKTPPPVPPRTTTKPFISITAQSSTESAQDAYMDGQGQRGDIISQSGLSNSTESLDSMKALTAAIEAANAQIHGPASQHMGNNTATVTTTTTIATVTTEDRKKDHFKKNRCLSIGIQVDDAEEPDKTGENKAPSKFQSVGVQVEEEKCFRRFTRSNSVTTAVQADLDFHDNLENSLESIEDNSCPGPMARQFSRDASTSTVSIQGSGNHYHACAADDDFDTDFDPSILPPPDPWIDSITEDPLEAVQRSVCHRDGHWFLKLLQAERDRMEGWCQQMEREERENNLPEDILGKIRTAVGSAQLLMAQKFYQFRELCEENLNPNAHPRPTSQDLAGFWDMLQLSIENISMKFDELHQLKANNWKQMDPLDKKERRAPPPVPKKPAKGPAPLIRERSLESSQRQEARKRLMAAKRAASVRQNSATESAESIEIYIPEAQTRL
+>DECOY_sp|O14490|DLGP1_HUMAN Disks large-associated protein 1 OS=Homo sapiens OX=9606 GN=DLGAP1 PE=1 SV=1
+LRTQAEPIYIEISEASETASNQRVSAARKAAMLRKRAEQRQSSELSRERILPAPGKAPKKPVPPPARREKKDLPDMQKWNNAKLQHLEDFKMSINEISLQLMDWFGALDQSTPRPHANPNLNEECLERFQYFKQAMLLQASGVATRIKGLIDEPLNNEREEREMQQCWGEMRDREAQLLKLFWHGDRHCVSRQVAELPDETISDIWPDPPPLISPDFDTDFDDDAACAHYHNGSGQISVTSTSADRSFQRAMPGPCSNDEISELSNELNDHFDLDAQVATTVSNSRTFRRFCKEEEVQVGVSQFKSPAKNEGTKDPEEADDVQIGISLCRNKKFHDKKRDETTVTAITTTTTVTATNNGMHQSAPGHIQANAAEIAATLAKMSDLSETSNSLGSQSIIDGRQGQGDMYADQASETSSQATISIFPKTTTRPPVPPPTKKYTTICSSVTSSQITRVTTTTRPPSSSRLSVCEDDQSCGKEIARVYSHSRMRFCGPMPLDLAEVAQSELESFVSECVSEFQGNVEMESVQSITSMARMYSENRSRFKPSTLLGAPDLSDLSRNISVESVARLYSHSRIQLKPSHENSIKLTTLETLSPQTAKLYSERRAAVKPSPKPSTDSDGSDEDGMAKIYSGSRMRRCPIEDDKGRPTYGTWEDQPVQLYQCSREQQCSESKVMAQDMNVSAKQYVERARSVTLTSSWASKKLLPTDLSVPLNACTSCKVDNNSRSAKVAQESITNYAELFYQSASRDPCRGMTMVGSPAHYICMDGDLNDDSSWWGPSTSPRAKPEARREKSKSRKGYRAAQAEDPSAKGGNVSGKSPGELSHSKTFLKQVSHVLHRIRGPSDSRHEVATRKYQLTHYGDRSLPLQREFQDLLNAPIRNAKTALTRPVLACEDKLEQQSTYHRRPFTSSALPDSFPGVCEAQFSNRQTYYPHDAPHHEVPSLLYPKRDSHHSLSDCASDCTVGHHHSRSGSLGKM
+>sp|Q9P1A6|DLGP2_HUMAN Disks large-associated protein 2 OS=Homo sapiens OX=9606 GN=DLGAP2 PE=1 SV=4
+MGTAQVLPGILQKHCCILPDRNTESQCTLCGEPEEEEAGDLVQPGISFPGPAEEDLDPQYSWSPTQHFNEERYSPAPRSMKGLSGSRTQPPLCSGHTCGLAPPEDCEHLHHGPDARPPYLLSPADSCPGGRHRCSPRSSVHSECVMMPVVLGDHVSSSTFPRMHYSSHYDTRDDCAVAHAGAKINRIPANLLDQFEKQLPLHRDGFHTLQYQRTSAAAEQRSESPGRIRHLVHSVQKLFTKSHSLEGSSKSNANGTKADGRADDHHHAHHAKHSKRSKSKERKPEGKPRPGMSSWWSSDDNLDSDSTYRTPSVLNRHHLGPVAHCYPDALQSPFGDLSLKTSKSNNDVKCSACEGLALTPDAKYLKRSSWSTLTVSQAKEAYRKSSLNLDKPLLHQDAKPALRPCHYLQVPQDEWGGYPTGGKDEEIPCRRMRSGSYIKAMGDEESGESDSSPKTSPKSAILPEPLLKSIGQRPLGEHQTQTYLQAASDVPVGHSLDPAANYNSPKFRSRNQSYMRAVSTLSQASCVSQVSEAEINGQFESVCESVFSEVESQAMDALDLPGCFRTRSHSYLRAIQAGYSQDDECIPMMTPSDITSTIRSTAAVSYTNYKKTPPPVPPRTTSKPLISVTAQSSTESTQDAYQDSRAQRMSPWPQDSRGLYNSTDSLDSNKAMNLALETAAAQRHLPESQSSSVRTSDKAILVSKAEELLKSRCSSIGIQDSEFPEHQPYPRSDVETATDSDTESRGLREYHSVGVQVEDEKRHGRFKRSNSVTAAVQADLELEGFPGHITTEDKGLQFGSSFQRHSEPSTPTQYSAVRTVRTQGLFSYREDYRTQVDTSTLPPPDPWLEPAIDTVETGRMSPCRRDGSWFLKLLHAETKRMEGWCKEMEREAEENDLSEEILGKIRSAVGSAQLLMSQKFQQFYWLCQQNMDPSAMPRPTSQDLAGYWDMLQLSIEDVSMKFDELQRLRLNDWKMMESPERKEERKVPPPIPKKPPKGKFPITREKSLDLPDRQRQEARRRLMAAKRAASFRQNSASERADSIEIYIPEAQTRL
+>DECOY_sp|Q9P1A6|DLGP2_HUMAN Disks large-associated protein 2 OS=Homo sapiens OX=9606 GN=DLGAP2 PE=1 SV=4
+LRTQAEPIYIEISDARESASNQRFSAARKAAMLRRRAEQRQRDPLDLSKERTIPFKGKPPKKPIPPPVKREEKREPSEMMKWDNLRLRQLEDFKMSVDEISLQLMDWYGALDQSTPRPMASPDMNQQCLWYFQQFKQSMLLQASGVASRIKGLIEESLDNEEAEREMEKCWGEMRKTEAHLLKLFWSGDRRCPSMRGTEVTDIAPELWPDPPPLTSTDVQTRYDERYSFLGQTRVTRVASYQTPTSPESHRQFSSGFQLGKDETTIHGPFGELELDAQVAATVSNSRKFRGHRKEDEVQVGVSHYERLGRSETDSDTATEVDSRPYPQHEPFESDQIGISSCRSKLLEEAKSVLIAKDSTRVSSSQSEPLHRQAAATELALNMAKNSDLSDTSNYLGRSDQPWPSMRQARSDQYADQTSETSSQATVSILPKSTTRPPVPPPTKKYNTYSVAATSRITSTIDSPTMMPICEDDQSYGAQIARLYSHSRTRFCGPLDLADMAQSEVESFVSECVSEFQGNIEAESVQSVCSAQSLTSVARMYSQNRSRFKPSNYNAAPDLSHGVPVDSAAQLYTQTQHEGLPRQGISKLLPEPLIASKPSTKPSSDSEGSEEDGMAKIYSGSRMRRCPIEEDKGGTPYGGWEDQPVQLYHCPRLAPKADQHLLPKDLNLSSKRYAEKAQSVTLTSWSSRKLYKADPTLALGECASCKVDNNSKSTKLSLDGFPSQLADPYCHAVPGLHHRNLVSPTRYTSDSDLNDDSSWWSSMGPRPKGEPKREKSKSRKSHKAHHAHHHDDARGDAKTGNANSKSSGELSHSKTFLKQVSHVLHRIRGPSESRQEAAASTRQYQLTHFGDRHLPLQKEFQDLLNAPIRNIKAGAHAVACDDRTDYHSSYHMRPFTSSSVHDGLVVPMMVCESHVSSRPSCRHRGGPCSDAPSLLYPPRADPGHHLHECDEPPALGCTHGSCLPPQTRSGSLGKMSRPAPSYREENFHQTPSWSYQPDLDEEAPGPFSIGPQVLDGAEEEEPEGCLTCQSETNRDPLICCHKQLIGPLVQATGM
+>sp|O95886|DLGP3_HUMAN Disks large-associated protein 3 OS=Homo sapiens OX=9606 GN=DLGAP3 PE=1 SV=3
+MRGYHGDRGSHPRPARFADQQHMDVGPAARAPYLLGSREAFSTEPRFCAPRAGLGHISPEGPLSLSEGPSVGPEGGPAGAGVGGGSSTFPRMYPGQGPFDTCEDCVGHPQGKGAPRLPPTLLDQFEKQLPVQQDGFHTLPYQRGPAGAGPGPAPGTGTAPEPRSESPSRIRHLVHSVQKLFAKSHSLEAPGKRDYNGPKAEGRGGSGGDSYPGPGSGGPHTSHHHHHHHHHHHHQSRHGKRSKSKDRKGDGRHQAKSTGWWSSDDNLDSDSGFLAGGRPPGEPGGPFCLEGPDGSYRDLSFKGRSGGSEGRCLACTGMSMSLDGQSVKRSAWHTMMVSQGRDGYPGAGPGKGLLGPETKAKARTYHYLQVPQDDWGGYPTGGKDGEIPCRRMRSGSYIKAMGDEESGDSDGSPKTSPKAVARRFTTRRSSSVDQARINCCVPPRIHPRSSIPGYSRSLTTGQLSDELNQQLEAVCGSVFGELESQAVDALDLPGCFRMRSHSYLRAIQAGCSQDDDCLPLLATPAAVSGRPGSSFNFRKAPPPIPPGSQAPPRISITAQSSTDSAHESFTAAEGPARRCSSADGLDGPAMGARTLELAPVPPRASPKPPTLIIKTIPGREELRSLARQRKWRPSIGVQVETISDSDTENRSRREFHSIGVQVEEDKRRARFKRSNSVTAGVQADLELEGLAGLATVATEDKALQFGRSFQRHASEPQPGPRAPTYSVFRTVHTQGQWAYREGYPLPYEPPATDGSPGPAPAPTPGPGAGRRDSWIERGSRSLPDSGRASPCPRDGEWFIKMLRAEVEKLEHWCQQMEREAEDYELPEEILEKIRSAVGSTQLLLSQKVQQFFRLCQQSMDPTAFPVPTFQDLAGFWDLLQLSIEDVTLKFLELQQLKANSWKLLEPKEEKKVPPPIPKKPLRGRGVPVKERSLDSVDRQRQEARKRLLAAKRAASFRHSSATESADSIEIYIPEAQTRL
+>DECOY_sp|O95886|DLGP3_HUMAN Disks large-associated protein 3 OS=Homo sapiens OX=9606 GN=DLGAP3 PE=1 SV=3
+LRTQAEPIYIEISDASETASSHRFSAARKAALLRKRAEQRQRDVSDLSREKVPVGRGRLPKKPIPPPVKKEEKPELLKWSNAKLQQLELFKLTVDEISLQLLDWFGALDQFTPVPFATPDMSQQCLRFFQQVKQSLLLQTSGVASRIKELIEEPLEYDEAEREMQQCWHELKEVEARLMKIFWEGDRPCPSARGSDPLSRSGREIWSDRRGAGPGPTPAPAPGPSGDTAPPEYPLPYGERYAWQGQTHVTRFVSYTPARPGPQPESAHRQFSRGFQLAKDETAVTALGALGELELDAQVGATVSNSRKFRARRKDEEVQVGISHFERRSRNETDSDSITEVQVGISPRWKRQRALSRLEERGPITKIILTPPKPSARPPVPALELTRAGMAPGDLGDASSCRRAPGEAATFSEHASDTSSQATISIRPPAQSGPPIPPPAKRFNFSSGPRGSVAAPTALLPLCDDDQSCGAQIARLYSHSRMRFCGPLDLADVAQSELEGFVSGCVAELQQNLEDSLQGTTLSRSYGPISSRPHIRPPVCCNIRAQDVSSSRRTTFRRAVAKPSTKPSGDSDGSEEDGMAKIYSGSRMRRCPIEGDKGGTPYGGWDDQPVQLYHYTRAKAKTEPGLLGKGPGAGPYGDRGQSVMMTHWASRKVSQGDLSMSMGTCALCRGESGGSRGKFSLDRYSGDPGELCFPGGPEGPPRGGALFGSDSDLNDDSSWWGTSKAQHRGDGKRDKSKSRKGHRSQHHHHHHHHHHHHSTHPGGSGPGPYSDGGSGGRGEAKPGNYDRKGPAELSHSKAFLKQVSHVLHRIRSPSESRPEPATGTGPAPGPGAGAPGRQYPLTHFGDQQVPLQKEFQDLLTPPLRPAGKGQPHGVCDECTDFPGQGPYMRPFTSSGGGVGAGAPGGEPGVSPGESLSLPGEPSIHGLGARPACFRPETSFAERSGLLYPARAAPGVDMHQQDAFRAPRPHSGRDGHYGRM
+>sp|P56178|DLX5_HUMAN Homeobox protein DLX-5 OS=Homo sapiens OX=9606 GN=DLX5 PE=1 SV=2
+MTGVFDRRVPSIRSGDFQAPFQTSAAMHHPSQESPTLPESSATDSDYYSPTGGAPHGYCSPTSASYGKALNPYQYQYHGVNGSAGSYPAKAYADYSYASSYHQYGGAYNRVPSATNQPEKEVTEPEVRMVNGKPKKVRKPRTIYSSFQLAALQRRFQKTQYLALPERAELAASLGLTQTQVKIWFQNKRSKIKKIMKNGEMPPEHSPSSSDPMACNSPQSPAVWEPQGSSRSLSHHPHAHPPTSNQSPASSYLENSASWYTSAASSINSHLPPPGSLQHPLALASGTLY
+>DECOY_sp|P56178|DLX5_HUMAN Homeobox protein DLX-5 OS=Homo sapiens OX=9606 GN=DLX5 PE=1 SV=2
+YLTGSALALPHQLSGPPPLHSNISSAASTYWSASNELYSSAPSQNSTPPHAHPHHSLSRSSGQPEWVAPSQPSNCAMPDSSSPSHEPPMEGNKMIKKIKSRKNQFWIKVQTQTLGLSAALEAREPLALYQTKQFRRQLAALQFSSYITRPKRVKKPKGNVMRVEPETVEKEPQNTASPVRNYAGGYQHYSSAYSYDAYAKAPYSGASGNVGHYQYQYPNLAKGYSASTPSCYGHPAGGTPSYYDSDTASSEPLTPSEQSPHHMAASTQFPAQFDGSRISPVRRDFVGTM
+>sp|Q5VZB9|DMRTA_HUMAN Doublesex- and mab-3-related transcription factor A1 OS=Homo sapiens OX=9606 GN=DMRTA1 PE=2 SV=1
+MERSQCGSRDRGVSGRPHLAPGLVVAAPPPPSPALPVPSGMQVPPAFLRPPSLFLRAAAAAAAAAAATSGSGGCPPAPGLESGVGAVGCGYPRTPKCARCRNHGVVSALKGHKRFCRWRDCACAKCTLIAERQRVMAAQVALRRQQAQEESEARGLQRLLCSGLSWPPGGRASGGGGRAENPQSTGGPAAGAALGLGALRQASGSATPAFEVFQQDYPEEKQEQKESKCESCQNGQEELISKSHQLYLGSSSRSNGVIGKQSIGSSISEYSNKPDSILSPHPGEQSGGEESPRSLSSSDLESGNESEWVKDLTATKASLPTVSSRPRDPLDILTKIFPNYRRSRLEGILRFCKGDVVQAIEQVLNGKEHKPDNRNLANSEELENTAFQRASSFSLAGIGFGTLGNKSAFSPLQTTSASYGGDSSLYGVNPRVGISPLRLAYSSAGRGLSGFMSPYLTPGLVPTLPFRPALDYAFSGMIRDSSYLSSKDSITCGRLYFRPNQDNP
+>DECOY_sp|Q5VZB9|DMRTA_HUMAN Doublesex- and mab-3-related transcription factor A1 OS=Homo sapiens OX=9606 GN=DMRTA1 PE=2 SV=1
+PNDQNPRFYLRGCTISDKSSLYSSDRIMGSFAYDLAPRFPLTPVLGPTLYPSMFGSLGRGASSYALRLPSIGVRPNVGYLSSDGGYSASTTQLPSFASKNGLTGFGIGALSFSSARQFATNELEESNALNRNDPKHEKGNLVQEIAQVVDGKCFRLIGELRSRRYNPFIKTLIDLPDRPRSSVTPLSAKTATLDKVWESENGSELDSSSLSRPSEEGGSQEGPHPSLISDPKNSYESISSGISQKGIVGNSRSSSGLYLQHSKSILEEQGNQCSECKSEKQEQKEEPYDQQFVEFAPTASGSAQRLAGLGLAAGAAPGGTSQPNEARGGGGSARGGPPWSLGSCLLRQLGRAESEEQAQQRRLAVQAAMVRQREAILTCKACACDRWRCFRKHGKLASVVGHNRCRACKPTRPYGCGVAGVGSELGPAPPCGGSGSTAAAAAAAAAAARLFLSPPRLFAPPVQMGSPVPLAPSPPPPAAVVLGPALHPRGSVGRDRSGCQSREM
+>sp|Q5HYR2|DMRTC_HUMAN Doublesex- and mab-3-related transcription factor C1 OS=Homo sapiens OX=9606 GN=DMRTC1 PE=2 SV=1
+MAAPPKAPIRVRNLTIRAGALTGKENNMLQPETHIFTAPEEGSSQGALLLGQAPEPLSLPCTPVTLEQQLVSPSGDPHRAPALPSICSTLILQPCATLDPLLLQPQVPKVSDQALVSAHSEWQRKLEAAEALLTLRNSAQAPPDSISLHQPCNPPAPAGDKGFQPPSPSLRPRPASSISLPIGHLGCISLLS
+>DECOY_sp|Q5HYR2|DMRTC_HUMAN Doublesex- and mab-3-related transcription factor C1 OS=Homo sapiens OX=9606 GN=DMRTC1 PE=2 SV=1
+SLLSICGLHGIPLSISSAPRPRLSPSPPQFGKDGAPAPPNCPQHLSISDPPAQASNRLTLLAEAAELKRQWESHASVLAQDSVKPVQPQLLLPDLTACPQLILTSCISPLAPARHPDGSPSVLQQELTVPTCPLSLPEPAQGLLLAGQSSGEEPATFIHTEPQLMNNEKGTLAGARITLNRVRIPAKPPAAM
+>sp|Q8IXT2|DMRTD_HUMAN Doublesex- and mab-3-related transcription factor C2 OS=Homo sapiens OX=9606 GN=DMRTC2 PE=2 SV=2
+MEPSDMPAGYHCPLDSAPWDETRDPQSTELIPRRAISRSPTCARCRNHGVTAHLKGHKRLCLFQACECHKCVLILERRRVMAAQVALRRQQEAQLKKHLMRRGEASPKAPNHFRKGTTQPQVPSGKENIAPQPQTPHGAVLLAPTPPGKNSCGPLLLSHPPEASPLSWTPVPPGPWVPGHWLPPGFSMPPPVVCRLLYQEPAVSLPPFPGFDPGTSLQLPTHGPFTTCPGSHPVLTAPLSGEPQGPPSQPRTHSTLILQPCGTPDPLQLQPQASGASCLARTSGPSEWQLQQEAAEALVGLKDSSQAPRVTPSVPPNPAWISLLHPCGPPAPAGGRGFQPVGPCLRPSPAPSVALHIGRLGSISLLS
+>DECOY_sp|Q8IXT2|DMRTD_HUMAN Doublesex- and mab-3-related transcription factor C2 OS=Homo sapiens OX=9606 GN=DMRTC2 PE=2 SV=2
+SLLSISGLRGIHLAVSPAPSPRLCPGVPQFGRGGAPAPPGCPHLLSIWAPNPPVSPTVRPAQSSDKLGVLAEAAEQQLQWESPGSTRALCSAGSAQPQLQLPDPTGCPQLILTSHTRPQSPPGQPEGSLPATLVPHSGPCTTFPGHTPLQLSTGPDFGPFPPLSVAPEQYLLRCVVPPPMSFGPPLWHGPVWPGPPVPTWSLPSAEPPHSLLLPGCSNKGPPTPALLVAGHPTQPQPAINEKGSPVQPQTTGKRFHNPAKPSAEGRRMLHKKLQAEQQRRLAVQAAMVRRRELILVCKHCECAQFLCLRKHGKLHATVGHNRCRACTPSRSIARRPILETSQPDRTEDWPASDLPCHYGAPMDSPEM
+>sp|Q9Y222|DMTF1_HUMAN Cyclin-D-binding Myb-like transcription factor 1 OS=Homo sapiens OX=9606 GN=DMTF1 PE=1 SV=1
+MSTVEEDSDTVTVETVNSVTLTQDTEGNLILHCPQNEADEIDSEDSIEPPHKRLCLSSEDDQSIDDSTPCISVVALPLSENDQSFEVTMTATTEVADDEVTEGTVTQIQILQNEQLDEISPLGNEEVSAVSQAWFTTKEDKDSLTNKGHKWKQGMWSKEEIDILMNNIERYLKARGIKDATEIIFEMSKDERKDFYRTIAWGLNRPLFAVYRRVLRMYDDRNHVGKYTPEEIEKLKELRIKHGNDWATIGAALGRSASSVKDRCRLMKDTCNTGKWTEEEEKRLAEVVHELTSTEPGDIVTQGVSWAAVAERVGTRSEKQCRSKWLNYLNWKQSGGTEWTKEDEINLILRIAELDVADENDINWDLLAEGWSSVRSPQWLRSKWWTIKRQIANHKDVSFPVLIKGLKQLHENQKNNPTLLENKSGSGVPNSNTNSSVQHVQIRVARLEDNTAISSSPMAALQIPVQITHVSSADSPATVDSETITLNSGTLQTFEILPSFHLQPTGTPGTYLLQTSSSQGLPLTLTASPTVTLTAAAPASPEQIIVHALSPEHLLNTSDNVTVQCHTPRVIIQTVATEDITSSISQAELTVDSDIQSSDFPEPPDALEADTFPDEIHHPKMTVEPSFNDAHVSKFSDQNSTELMNSVMVRTEEEISDTDLKQEESPSDLASAYVTEGLESPTIEEQVDQTIDDETILIVPSPHGFIQASDVIDTESVLPLTTLTDPILQHHQEESNIIGSSLGSPVSEDSKDVEDLVNCH
+>DECOY_sp|Q9Y222|DMTF1_HUMAN Cyclin-D-binding Myb-like transcription factor 1 OS=Homo sapiens OX=9606 GN=DMTF1 PE=1 SV=1
+HCNVLDEVDKSDESVPSGLSSGIINSEEQHHQLIPDTLTTLPLVSETDIVDSAQIFGHPSPVILITEDDITQDVQEEITPSELGETVYASALDSPSEEQKLDTDSIEEETRVMVSNMLETSNQDSFKSVHADNFSPEVTMKPHHIEDPFTDAELADPPEPFDSSQIDSDVTLEAQSISSTIDETAVTQIIVRPTHCQVTVNDSTNLLHEPSLAHVIIQEPSAPAAATLTVTPSATLTLPLGQSSSTQLLYTGPTGTPQLHFSPLIEFTQLTGSNLTITESDVTAPSDASSVHTIQVPIQLAAMPSSSIATNDELRAVRIQVHQVSSNTNSNPVGSGSKNELLTPNNKQNEHLQKLGKILVPFSVDKHNAIQRKITWWKSRLWQPSRVSSWGEALLDWNIDNEDAVDLEAIRLILNIEDEKTWETGGSQKWNLYNLWKSRCQKESRTGVREAVAAWSVGQTVIDGPETSTLEHVVEALRKEEEETWKGTNCTDKMLRCRDKVSSASRGLAAGITAWDNGHKIRLEKLKEIEEPTYKGVHNRDDYMRLVRRYVAFLPRNLGWAITRYFDKREDKSMEFIIETADKIGRAKLYREINNMLIDIEEKSWMGQKWKHGKNTLSDKDEKTTFWAQSVASVEENGLPSIEDLQENQLIQIQTVTGETVEDDAVETTATMTVEFSQDNESLPLAVVSICPTSDDISQDDESSLCLRKHPPEISDESDIEDAENQPCHLILNGETDQTLTVSNVTEVTVTDSDEEVTSM
+>sp|Q9UNQ2|DIM1_HUMAN Probable dimethyladenosine transferase OS=Homo sapiens OX=9606 GN=DIMT1 PE=1 SV=1
+MPKVKSGAIGRRRGRQEQRRELKSAGGLMFNTGIGQHILKNPLIINSIIDKAALRPTDVVLEVGPGTGNMTVKLLEKAKKVVACELDPRLVAELHKRVQGTPVASKLQVLVGDVLKTDLPFFDTCVANLPYQISSPFVFKLLLHRPFFRCAILMFQREFALRLVAKPGDKLYCRLSINTQLLARVDHLMKVGKNNFRPPPKVESSVVRIEPKNPPPPINFQEWDGLVRITFVRKNKTLSAAFKSSAVQQLLEKNYRIHCSVHNIIIPEDFSIADKIQQILTSTGFSDKRARSMDIDDFIRLLHGFNAEGIHFS
+>DECOY_sp|Q9UNQ2|DIM1_HUMAN Probable dimethyladenosine transferase OS=Homo sapiens OX=9606 GN=DIMT1 PE=1 SV=1
+SFHIGEANFGHLLRIFDDIDMSRARKDSFGTSTLIQQIKDAISFDEPIIINHVSCHIRYNKELLQQVASSKFAASLTKNKRVFTIRVLGDWEQFNIPPPPNKPEIRVVSSEVKPPPRFNNKGVKMLHDVRALLQTNISLRCYLKDGPKAVLRLAFERQFMLIACRFFPRHLLLKFVFPSSIQYPLNAVCTDFFPLDTKLVDGVLVQLKSAVPTGQVRKHLEAVLRPDLECAVVKKAKELLKVTMNGTGPGVELVVDTPRLAAKDIISNIILPNKLIHQGIGTNFMLGGASKLERRQEQRGRRRGIAGSKVKPM
+>sp|Q96F81|DISP1_HUMAN Protein dispatched homolog 1 OS=Homo sapiens OX=9606 GN=DISP1 PE=1 SV=3
+MAMSNGNNDFVVLSNSSIATSAANPSPLTPCDGDHAAQQLTPKEATRTKVSPNGCLQLNGTVKSSFLPLDNQRMPQMLPQCCHPCPYHHPLTSHSSHQECHPEAGPAAPSALASCCMQPHSEYSASLCPNHSPVYQTTCCLQPSPSFCLHHPWPDHFQHQPVQQHIANIRPSRPFKLPKSYAALIADWPVVVLGMCTMFIVVCALVGVLVPELPDFSDPLLGFEPRGTAIGQRLVTWNNMVKNTGYKATLANYPFKYADEQAKSHRDDRWSDDHYEREKREVDWNFHKDSFFCDVPSDRYSRVVFTSSGGETLWNLPAIKSMCNVDNSRIRSHPQFGDLCQRTTAASCCPSWTLGNYIAILNNRSSCQKIVERDVSHTLKLLRTCAKHYQNGTLGPDCWDMAARRKDQLKCTNVPRKCTKYNAVYQILHYLVDKDFMTPKTADYATPALKYSMLFSPTEKGESMMNIYLDNFENWNSSDGVTTITGIEFGIKHSLFQDYLLMDTVYPAIAIVIVLLVMCVYTKSMFITLMTMFAIISSLIVSYFLYRVVFHFEFFPFMNLTALIILVGIGADDAFVLCDVWNYTKFDKPHAETSETVSITLQHAALSMFVTSFTTAAAFYANYVSNITAIRCFGVYAGTAILVNYVLMVTWLPAVVVLHERYLLNIFTCFKKPQQQIYDNKSCWTVACQKCHKVLFAISEASRIFFEKVLPCIVIKFRYLWLFWFLALTVGGAYIVCINPKMKLPSLELSEFQVFRSSHPFERYDAEYKKLFMFERVHHGEELHMPITVIWGVSPEDNGNPLNPKSKGKLTLDSSFNIASPASQAWILHFCQKLRNQTFFYQTDEQDFTSCFIETFKQWMENQDCDEPALYPCCSHWSFPYKQEIFELCIKRAIMELERSTGYHLDSKTPGPRFDINDTIRAVVLEFQSTYLFTLAYEKMHQFYKEVDSWISSELSSAPEGLSNGWFVSNLEFYDLQDSLSDGTLIAMGLSVAVAFSVMLLTTWNIIISLYAIISIAGTIFVTVGSLVLLGWELNVLESVTISVAVGLSVDFAVHYGVAYRLAPDPDREGKVIFSLSRVGSAMAMAALTTFVAGAMMMPSTVLAYTQLGTFMMLIMCISWAFATFFFQCMCRCLGPQGTCGQIPLPKKLQCSAFSHALSTSPSDKGQSKTHTINAYHLDPRGPKSELEHEFYELEPLASHSCTAPEKTTYEETHICSEFFNSQAKNLGMPVHAAYNSELSKSTESDAGSALLQPPLEQHTVCHFFSLNQRCSCPDAYKHLNYGPHSCQQMGDCLCHQCSPTTSSFVQIQNGVAPLKATHQAVEGFVHPITHIHHCPCLQGRVKPAGMQNSLPRNFFLHPVQHIQAQEKIGKTNVHSLQRSIEEHLPKMAEPSSFVCRSTGSLLKTCCDPENKQRELCKNRDVSNLESSGGTENKAGGKVELSLSQTDASVNSEHFNQNEPKVLFNHLMGEAGCRSCPNNSQSCGRIVRVKCNSVDCQMPNMEANVPAVLTHSELSGESLLIKTL
+>DECOY_sp|Q96F81|DISP1_HUMAN Protein dispatched homolog 1 OS=Homo sapiens OX=9606 GN=DISP1 PE=1 SV=3
+LTKILLSEGSLESHTLVAPVNAEMNPMQCDVSNCKVRVIRGCSQSNNPCSRCGAEGMLHNFLVKPENQNFHESNVSADTQSLSLEVKGGAKNETGGSSELNSVDRNKCLERQKNEPDCCTKLLSGTSRCVFSSPEAMKPLHEEISRQLSHVNTKGIKEQAQIHQVPHLFFNRPLSNQMGAPKVRGQLCPCHHIHTIPHVFGEVAQHTAKLPAVGNQIQVFSSTTPSCQHCLCDGMQQCSHPGYNLHKYADPCSCRQNLSFFHCVTHQELPPQLLASGADSETSKSLESNYAAHVPMGLNKAQSNFFESCIHTEEYTTKEPATCSHSALPELEYFEHELESKPGRPDLHYANITHTKSQGKDSPSTSLAHSFASCQLKKPLPIQGCTGQPGLCRCMCQFFFTAFAWSICMILMMFTGLQTYALVTSPMMMAGAVFTTLAAMAMASGVRSLSFIVKGERDPDPALRYAVGYHVAFDVSLGVAVSITVSELVNLEWGLLVLSGVTVFITGAISIIAYLSIIINWTTLLMVSFAVAVSLGMAILTGDSLSDQLDYFELNSVFWGNSLGEPASSLESSIWSDVEKYFQHMKEYALTFLYTSQFELVVARITDNIDFRPGPTKSDLHYGTSRELEMIARKICLEFIEQKYPFSWHSCCPYLAPEDCDQNEMWQKFTEIFCSTFDQEDTQYFFTQNRLKQCFHLIWAQSAPSAINFSSDLTLKGKSKPNLPNGNDEPSVGWIVTIPMHLEEGHHVREFMFLKKYEADYREFPHSSRFVQFESLELSPLKMKPNICVIYAGGVTLALFWFLWLYRFKIVICPLVKEFFIRSAESIAFLVKHCKQCAVTWCSKNDYIQQQPKKFCTFINLLYREHLVVVAPLWTVMLVYNVLIATGAYVGFCRIATINSVYNAYFAAATTFSTVFMSLAAHQLTISVTESTEAHPKDFKTYNWVDCLVFADDAGIGVLIILATLNMFPFFEFHFVVRYLFYSVILSSIIAFMTMLTIFMSKTYVCMVLLVIVIAIAPYVTDMLLYDQFLSHKIGFEIGTITTVGDSSNWNEFNDLYINMMSEGKETPSFLMSYKLAPTAYDATKPTMFDKDVLYHLIQYVANYKTCKRPVNTCKLQDKRRAAMDWCDPGLTGNQYHKACTRLLKLTHSVDREVIKQCSSRNNLIAIYNGLTWSPCCSAATTRQCLDGFQPHSRIRSNDVNCMSKIAPLNWLTEGGSSTFVVRSYRDSPVDCFFSDKHFNWDVERKEREYHDDSWRDDRHSKAQEDAYKFPYNALTAKYGTNKVMNNWTVLRQGIATGRPEFGLLPDSFDPLEPVLVGVLACVVIFMTCMGLVVVPWDAILAAYSKPLKFPRSPRINAIHQQVPQHQFHDPWPHHLCFSPSPQLCCTTQYVPSHNPCLSASYESHPQMCCSALASPAAPGAEPHCEQHSSHSTLPHHYPCPHCCQPLMQPMRQNDLPLFSSKVTGNLQLCGNPSVKTRTAEKPTLQQAAHDGDCPTLPSPNAASTAISSNSLVVFDNNGNSMAM
+>sp|Q5F1R6|DJC21_HUMAN DnaJ homolog subfamily C member 21 OS=Homo sapiens OX=9606 GN=DNAJC21 PE=1 SV=2
+MKCHYEALGVRRDASEEELKKAYRKLALKWHPDKNLDNAAEAAEQFKLIQAAYDVLSDPQERAWYDNHREALLKGGFDGEYQDDSLDLLRYFTVTCYSGYGDDEKGFYTVYRNVFEMIAKEELESVLEEEVDDFPTFGDSQSDYDTVVHPFYAYWQSFCTQKNFAWKEEYDTRQASNRWEKRAMEKENKKIRDKARKEKNELVRQLVAFIRKRDKRVQAHRKLVEEQNAEKARKAEEMRRQQKLKQAKLVEQYREQSWMTMANLEKELQEMEARYEKEFGDGSDENEMEEHELKDEEDGKDSDEAEDAELYDDLYCPACDKSFKTEKAMKNHEKSKKHREMVALLKQQLEEEEENFSRPQIDENPLDDNSEEEMEDAPKQKLSKKQKKKKQKPAQNYDDNFNVNGPGEGVKVDPEDTNLNQDSAKELEDSPQENVSVTEIIKPCDDPKSEAKSVPKPKGKKTKDMKKPVRVPAEPQTMSVLISCTTCHSEFPSRNKLFDHLKATGHARAPSSSSLNSATSSQSKKEKRKNR
+>DECOY_sp|Q5F1R6|DJC21_HUMAN DnaJ homolog subfamily C member 21 OS=Homo sapiens OX=9606 GN=DNAJC21 PE=1 SV=2
+RNKRKEKKSQSSTASNLSSSSPARAHGTAKLHDFLKNRSPFESHCTTCSILVSMTQPEAPVRVPKKMDKTKKGKPKPVSKAESKPDDCPKIIETVSVNEQPSDELEKASDQNLNTDEPDVKVGEGPGNVNFNDDYNQAPKQKKKKQKKSLKQKPADEMEEESNDDLPNEDIQPRSFNEEEEELQQKLLAVMERHKKSKEHNKMAKETKFSKDCAPCYLDDYLEADEAEDSDKGDEEDKLEHEEMENEDSGDGFEKEYRAEMEQLEKELNAMTMWSQERYQEVLKAQKLKQQRRMEEAKRAKEANQEEVLKRHAQVRKDRKRIFAVLQRVLENKEKRAKDRIKKNEKEMARKEWRNSAQRTDYEEKWAFNKQTCFSQWYAYFPHVVTDYDSQSDGFTPFDDVEEELVSELEEKAIMEFVNRYVTYFGKEDDGYGSYCTVTFYRLLDLSDDQYEGDFGGKLLAERHNDYWAREQPDSLVDYAAQILKFQEAAEAANDLNKDPHWKLALKRYAKKLEEESADRRVGLAEYHCKM
+>sp|O60832|DKC1_HUMAN H/ACA ribonucleoprotein complex subunit DKC1 OS=Homo sapiens OX=9606 GN=DKC1 PE=1 SV=3
+MADAEVIILPKKHKKKKERKSLPEEDVAEIQHAEEFLIKPESKVAKLDTSQWPLLLKNFDKLNVRTTHYTPLACGSNPLKREIGDYIRTGFINLDKPSNPSSHEVVAWIRRILRVEKTGHSGTLDPKVTGCLIVCIERATRLVKSQQSAGKEYVGIVRLHNAIEGGTQLSRALETLTGALFQRPPLIAAVKRQLRVRTIYESKMIEYDPERRLGIFWVSCEAGTYIRTLCVHLGLLLGVGGQMQELRRVRSGVMSEKDHMVTMHDVLDAQWLYDNHKDESYLRRVVYPLEKLLTSHKRLVMKDSAVNAICYGAKIMLPGVLRYEDGIEVNQEIVVITTKGEAICMAIALMTTAVISTCDHGIVAKIKRVIMERDTYPRKWGLGPKASQKKLMIKQGLLDKHGKPTDSTPATWKQEYVDYSESAKKEVVAEVVKAPQVVAEAAKTAKRKRESESESDETPPAAPQLIKKEKKKSKKDKKAKAGLESGAEPGDGDSDTTKKKKKKKKAKEVELVSE
+>DECOY_sp|O60832|DKC1_HUMAN H/ACA ribonucleoprotein complex subunit DKC1 OS=Homo sapiens OX=9606 GN=DKC1 PE=1 SV=3
+ESVLEVEKAKKKKKKKKTTDSDGDGPEAGSELGAKAKKDKKSKKKEKKILQPAAPPTEDSESESERKRKATKAAEAVVQPAKVVEAVVEKKASESYDVYEQKWTAPTSDTPKGHKDLLGQKIMLKKQSAKPGLGWKRPYTDREMIVRKIKAVIGHDCTSIVATTMLAIAMCIAEGKTTIVVIEQNVEIGDEYRLVGPLMIKAGYCIANVASDKMVLRKHSTLLKELPYVVRRLYSEDKHNDYLWQADLVDHMTVMHDKESMVGSRVRRLEQMQGGVGLLLGLHVCLTRIYTGAECSVWFIGLRREPDYEIMKSEYITRVRLQRKVAAILPPRQFLAGTLTELARSLQTGGEIANHLRVIGVYEKGASQQSKVLRTAREICVILCGTVKPDLTGSHGTKEVRLIRRIWAVVEHSSPNSPKDLNIFGTRIYDGIERKLPNSGCALPTYHTTRVNLKDFNKLLLPWQSTDLKAVKSEPKILFEEAHQIEAVDEEPLSKREKKKKHKKPLIIVEADAM
+>sp|Q9UBT3|DKK4_HUMAN Dickkopf-related protein 4 OS=Homo sapiens OX=9606 GN=DKK4 PE=1 SV=1
+MVAAVLLGLSWLCSPLGALVLDFNNIRSSADLHGARKGSQCLSDTDCNTRKFCLQPRDEKPFCATCRGLRRRCQRDAMCCPGTLCVNDVCTTMEDATPILERQLDEQDGTHAEGTTGHPVQENQPKRKPSIKKSQGRKGQEGESCLRTFDCGPGLCCARHFWTKICKPVLLEGQVCSRRGHKDTAQAPEIFQRCDCGPGLLCRSQLTSNRQHARLRVCQKIEKL
+>DECOY_sp|Q9UBT3|DKK4_HUMAN Dickkopf-related protein 4 OS=Homo sapiens OX=9606 GN=DKK4 PE=1 SV=1
+LKEIKQCVRLRAHQRNSTLQSRCLLGPGCDCRQFIEPAQATDKHGRRSCVQGELLVPKCIKTWFHRACCLGPGCDFTRLCSEGEQGKRGQSKKISPKRKPQNEQVPHGTTGEAHTGDQEDLQRELIPTADEMTTCVDNVCLTGPCCMADRQCRRRLGRCTACFPKEDRPQLCFKRTNCDTDSLCQSGKRAGHLDASSRINNFDLVLAGLPSCLWSLGLLVAAVM
+>sp|Q9UK85|DKKL1_HUMAN Dickkopf-like protein 1 OS=Homo sapiens OX=9606 GN=DKKL1 PE=1 SV=1
+MGEASPPAPARRHLLVLLLLLSTLVIPSAAAPIHDADAQESSLGLTGLQSLLQGFSRLFLKGNLLRGIDSLFSAPMDFRGLPGNYHKEENQEHQLGNNTLSSHLQIDKMTDNKTGEVLISENVVASIQPAEGSFEGDLKVPRMEEKEALVPIQKATDSFHTELHPRVAFWIIKLPRRRSHQDALEGGHWLSEKRHRLQAIRDGLRKGTHKDVLEEGTESSSHSRLSPRKTHLLYILRPSRQL
+>DECOY_sp|Q9UK85|DKKL1_HUMAN Dickkopf-like protein 1 OS=Homo sapiens OX=9606 GN=DKKL1 PE=1 SV=1
+LQRSPRLIYLLHTKRPSLRSHSSSETGEELVDKHTGKRLGDRIAQLRHRKESLWHGGELADQHSRRRPLKIIWFAVRPHLETHFSDTAKQIPVLAEKEEMRPVKLDGEFSGEAPQISAVVNESILVEGTKNDTMKDIQLHSSLTNNGLQHEQNEEKHYNGPLGRFDMPASFLSDIGRLLNGKLFLRSFGQLLSQLGTLGLSSEQADADHIPAAASPIVLTSLLLLLVLLHRRAPAPPSAEGM
+>sp|Q9Y238|DLEC1_HUMAN Deleted in lung and esophageal cancer protein 1 OS=Homo sapiens OX=9606 GN=DLEC1 PE=2 SV=2
+METRSSKTRRSLASRTNECQGTMWAPTSPPAGSSSPSQPTWKSSLYSSLAYSEAFHYSFAARPRRLTQLALAQRPEPQLLRLRPSSLRTQDISHLLTGVFRNLYSAEVIGDEVSASLIKARGSENERHEEFVDQLQQIRELYKQRLDEFEMLERHITQAQARAIAENERVMSQAGVQDLESLVRLPPVKSVSRWCIDSELLRKHHLISPEDYYTDTVPFHSAPKGISLPGCSKLTFSCEKRSVQKKELNKKLEDSCRKKLAEFEDELDHTVDSLTWNLTPKAKERTREPLKKASQPRNKNWMNHLRVPQRELDRLLLARMESRNHFLKNPRFFPPNTRYGGKSLVFPPKKPAPIGEFQSTEPEQSCADTPVFLAKPPIGFFTDYEIGPVYEMVIALQNTTTTSRYLRVLPPSTPYFALGLGMFPGKGGMVAPGMTCQYIVQFFPDCLGDFDDFILVETQSAHTLLIPLQARRPPPVLTLSPVLDCGYCLIGGVKMTRFICKNVGFSVGRFCIMPKTSWPPLSFKAIATVGFVEQPPFGILPSVFELAPGHAILVEVLFSPKSLGKAEQTFIIMCDNCQIKELVTIGIGQLIALDLIYISGEKSQPDPGELTDLTAQHFIRFEPENLRSTARKQLIIRNATHVELAFYWQIMKPNLQPLMPGETFSMDSIKCYPDKETAFSIMPRKGVLSPHTDHEFILSFSPHELRDFHSVLQMVLEEVPEPVSSEAESLGHSSYSVDDVIVLEIEVKGSVEPFQVLLEPYALIIPGENYIGINVKKAFKMWNNSKSPIRYLWGKISDCHIIEVEPGTGVIEPSEVGDFELNFTGGVPGPTSQDLLCEIEDSPSPVVLHIEAVFKGPALIINVSALQFGLLRLGQKATNSIQIRNVSQLPATWRMKESPVSLQERPEDVSPFDIEPSSGQLHSLGECRVDITLEALHCQHLETVLELEVENGAWSYLPVYAEVQKPHVYLQSSQVEVRNLYLGVPTKTTITLINGTLLPTQFHWGKLLGHQAEFCMVTVSPKHGLLGPSEECQLKLELTAHTQEELTHLALPCHVSGMKKPLVLGISGKPQGLQVAITISKESSDCSTEQWPGHPKELRLDFGSAVPLRTRVTRQLILTNRSPIRTRFSLKFEYFGSPQNSLSKKTSLPNMPPALLKTVRMQEHLAKREQLDFMESMLSHGKGAAFFPHFSQGMLGPYQQLCIDITGCANMWGEYWDNLICTVGDLLPEVIPVHMAAVGCPISSLRTTSYTIDQAQKEPAMRFGTQVSGGDTVTRTLRLNNSSPCDIRLDWETYVPEDKEDRLVELLVFYGPPFPLRDQAGNELVCPDTPEGGCLLWSPGPSSSSEFSHETDSSVEGSSSASNRVAQKLISVILQAHEGVPSGHLYCISPKQVVVPAGGSSTIYISFTPMVLSPEILHKVECTGYALGFMSLDSKVEREIPGKRHRLQDFAVGPLKLDLHSYVRPAQLSVELDYGGSMEFQCQASDLIPEQPCSGVLSELVTTHHLKLTNTTEIPHYFRLMVSRPFSVSQDGASQDHRAPGPGQKQECEEETASADKQLVLQAQENMLVNVSFSLSLELLSYQKLPADQTLPGVDIQQSASGEREMVFTQNLLLEYTNQTTQVVPLRAVVAVPELQLSTSWVDFGTCFVSQQRVREVYLMNLSGCRSYWTMLMGQQEPAKAAVAFRVSPNSGLLEARSANAPPTSIALQVFFTARSSELYESTMVVEGVLGEKSCTLRLRGQGSYDERYMLPHQP
+>DECOY_sp|Q9Y238|DLEC1_HUMAN Deleted in lung and esophageal cancer protein 1 OS=Homo sapiens OX=9606 GN=DLEC1 PE=2 SV=2
+PQHPLMYREDYSGQGRLRLTCSKEGLVGEVVMTSEYLESSRATFFVQLAISTPPANASRAELLGSNPSVRFAVAAKAPEQQGMLMTWYSRCGSLNMLYVERVRQQSVFCTGFDVWSTSLQLEPVAVVARLPVVQTTQNTYELLLNQTFVMEREGSASQQIDVGPLTQDAPLKQYSLLELSLSFSVNVLMNEQAQLVLQKDASATEEECEQKQGPGPARHDQSAGDQSVSFPRSVMLRFYHPIETTNTLKLHHTTVLESLVGSCPQEPILDSAQCQFEMSGGYDLEVSLQAPRVYSHLDLKLPGVAFDQLRHRKGPIEREVKSDLSMFGLAYGTCEVKHLIEPSLVMPTFSIYITSSGGAPVVVQKPSICYLHGSPVGEHAQLIVSILKQAVRNSASSSGEVSSDTEHSFESSSSPGPSWLLCGGEPTDPCVLENGAQDRLPFPPGYFVLLEVLRDEKDEPVYTEWDLRIDCPSSNNLRLTRTVTDGGSVQTGFRMAPEKQAQDITYSTTRLSSIPCGVAAMHVPIVEPLLDGVTCILNDWYEGWMNACGTIDICLQQYPGLMGQSFHPFFAAGKGHSLMSEMFDLQERKALHEQMRVTKLLAPPMNPLSTKKSLSNQPSGFYEFKLSFRTRIPSRNTLILQRTVRTRLPVASGFDLRLEKPHGPWQETSCDSSEKSITIAVQLGQPKGSIGLVLPKKMGSVHCPLALHTLEEQTHATLELKLQCEESPGLLGHKPSVTVMCFEAQHGLLKGWHFQTPLLTGNILTITTKTPVGLYLNRVEVQSSQLYVHPKQVEAYVPLYSWAGNEVELELVTELHQCHLAELTIDVRCEGLSHLQGSSPEIDFPSVDEPREQLSVPSEKMRWTAPLQSVNRIQISNTAKQGLRLLGFQLASVNIILAPGKFVAEIHLVVPSPSDEIECLLDQSTPGPVGGTFNLEFDGVESPEIVGTGPEVEIIHCDSIKGWLYRIPSKSNNWMKFAKKVNIGIYNEGPIILAYPELLVQFPEVSGKVEIELVIVDDVSYSSHGLSEAESSVPEPVEELVMQLVSHFDRLEHPSFSLIFEHDTHPSLVGKRPMISFATEKDPYCKISDMSFTEGPMLPQLNPKMIQWYFALEVHTANRIILQKRATSRLNEPEFRIFHQATLDTLEGPDPQSKEGSIYILDLAILQGIGITVLEKIQCNDCMIIFTQEAKGLSKPSFLVEVLIAHGPALEFVSPLIGFPPQEVFGVTAIAKFSLPPWSTKPMICFRGVSFGVNKCIFRTMKVGGILCYGCDLVPSLTLVPPPRRAQLPILLTHASQTEVLIFDDFDGLCDPFFQVIYQCTMGPAVMGGKGPFMGLGLAFYPTSPPLVRLYRSTTTTNQLAIVMEYVPGIEYDTFFGIPPKALFVPTDACSQEPETSQFEGIPAPKKPPFVLSKGGYRTNPPFFRPNKLFHNRSEMRALLLRDLERQPVRLHNMWNKNRPQSAKKLPERTREKAKPTLNWTLSDVTHDLEDEFEALKKRCSDELKKNLEKKQVSRKECSFTLKSCGPLSIGKPASHFPVTDTYYDEPSILHHKRLLESDICWRSVSKVPPLRVLSELDQVGAQSMVRENEAIARAQAQTIHRELMEFEDLRQKYLERIQQLQDVFEEHRENESGRAKILSASVEDGIVEASYLNRFVGTLLHSIDQTRLSSPRLRLLQPEPRQALALQTLRRPRAAFSYHFAESYALSSYLSSKWTPQSPSSSGAPPSTPAWMTGQCENTRSALSRRTKSSRTEM
+>sp|Q12959|DLG1_HUMAN Disks large homolog 1 OS=Homo sapiens OX=9606 GN=DLG1 PE=1 SV=2
+MPVRKQDTQRALHLLEEYRSKLSQTEDRQLRSSIERVINIFQSNLFQALIDIQEFYEVTLLDNPKCIDRSKPSEPIQPVNTWEISSLPSSTVTSETLPSSLSPSVEKYRYQDEDTPPQEHISPQITNEVIGPELVHVSEKNLSEIENVHGFVSHSHISPIKPTEAVLPSPPTVPVIPVLPVPAENTVILPTIPQANPPPVLVNTDSLETPTYVNGTDADYEYEEITLERGNSGLGFSIAGGTDNPHIGDDSSIFITKIITGGAAAQDGRLRVNDCILRVNEVDVRDVTHSKAVEALKEAGSIVRLYVKRRKPVSEKIMEIKLIKGPKGLGFSIAGGVGNQHIPGDNSIYVTKIIEGGAAHKDGKLQIGDKLLAVNNVCLEEVTHEEAVTALKNTSDFVYLKVAKPTSMYMNDGYAPPDITNSSSQPVDNHVSPSSFLGQTPASPARYSPVSKAVLGDDEITREPRKVVLHRGSTGLGFNIVGGEDGEGIFISFILAGGPADLSGELRKGDRIISVNSVDLRAASHEQAAAALKNAGQAVTIVAQYRPEEYSRFEAKIHDLREQMMNSSISSGSGSLRTSQKRSLYVRALFDYDKTKDSGLPSQGLNFKFGDILHVINASDDEWWQARQVTPDGESDEVGVIPSKRRVEKKERARLKTVKFNSKTRDKGEIPDDMGSKGLKHVTSNASDSESSYRGQEEYVLSYEPVNQQEVNYTRPVIILGPMKDRINDDLISEFPDKFGSCVPHTTRPKRDYEVDGRDYHFVTSREQMEKDIQEHKFIEAGQYNNHLYGTSVQSVREVAEKGKHCILDVSGNAIKRLQIAQLYPISIFIKPKSMENIMEMNKRLTEEQARKTFERAMKLEQEFTEHFTAIVQGDTLEDIYNQVKQIIEEQSGSYIWVPAKEKL
+>DECOY_sp|Q12959|DLG1_HUMAN Disks large homolog 1 OS=Homo sapiens OX=9606 GN=DLG1 PE=1 SV=2
+LKEKAPVWIYSGSQEEIIQKVQNYIDELTDGQVIATFHETFEQELKMAREFTKRAQEETLRKNMEMINEMSKPKIFISIPYLQAIQLRKIANGSVDLICHKGKEAVERVSQVSTGYLHNNYQGAEIFKHEQIDKEMQERSTVFHYDRGDVEYDRKPRTTHPVCSGFKDPFESILDDNIRDKMPGLIIVPRTYNVEQQNVPEYSLVYEEQGRYSSESDSANSTVHKLGKSGMDDPIEGKDRTKSNFKVTKLRAREKKEVRRKSPIVGVEDSEGDPTVQRAQWWEDDSANIVHLIDGFKFNLGQSPLGSDKTKDYDFLARVYLSRKQSTRLSGSGSSISSNMMQERLDHIKAEFRSYEEPRYQAVITVAQGANKLAAAAQEHSAARLDVSNVSIIRDGKRLEGSLDAPGGALIFSIFIGEGDEGGVINFGLGTSGRHLVVKRPERTIEDDGLVAKSVPSYRAPSAPTQGLFSSPSVHNDVPQSSSNTIDPPAYGDNMYMSTPKAVKLYVFDSTNKLATVAEEHTVEELCVNNVALLKDGIQLKGDKHAAGGEIIKTVYISNDGPIHQNGVGGAISFGLGKPGKILKIEMIKESVPKRRKVYLRVISGAEKLAEVAKSHTVDRVDVENVRLICDNVRLRGDQAAAGGTIIKTIFISSDDGIHPNDTGGAISFGLGSNGRELTIEEYEYDADTGNVYTPTELSDTNVLVPPPNAQPITPLIVTNEAPVPLVPIVPVTPPSPLVAETPKIPSIHSHSVFGHVNEIESLNKESVHVLEPGIVENTIQPSIHEQPPTDEDQYRYKEVSPSLSSPLTESTVTSSPLSSIEWTNVPQIPESPKSRDICKPNDLLTVEYFEQIDILAQFLNSQFINIVREISSRLQRDETQSLKSRYEELLHLARQTDQKRVPM
+>sp|Q92796|DLG3_HUMAN Disks large homolog 3 OS=Homo sapiens OX=9606 GN=DLG3 PE=1 SV=2
+MHKHQHCCKCPECYEVTRLAALRRLEPPGYGDWQVPDPYGPGGGNGASAGYGGYSSQTLPSQAGATPTPRTKAKLIPTGRDVGPVPPKPVPGKSTPKLNGSGPSWWPECTCTNRDWYEQVNGSDGMFKYEEIVLERGNSGLGFSIAGGIDNPHVPDDPGIFITKIIPGGAAAMDGRLGVNDCVLRVNEVDVSEVVHSRAVEALKEAGPVVRLVVRRRQPPPETIMEVNLLKGPKGLGFSIAGGIGNQHIPGDNSIYITKIIEGGAAQKDGRLQIGDRLLAVNNTNLQDVRHEEAVASLKNTSDMVYLKVAKPGSLHLNDMYAPPDYASTFTALADNHISHNSSLGYLGAVESKVSYPAPPQVPPTRYSPIPRHMLAEEDFTREPRKIILHKGSTGLGFNIVGGEDGEGIFVSFILAGGPADLSGELRRGDRILSVNGVNLRNATHEQAAAALKRAGQSVTIVAQYRPEEYSRFESKIHDLREQMMNSSMSSGSGSLRTSEKRSLYVRALFDYDRTRDSCLPSQGLSFSYGDILHVINASDDEWWQARLVTPHGESEQIGVIPSKKRVEKKERARLKTVKFHARTGMIESNRDFPGLSDDYYGAKNLKGQEDAILSYEPVTRQEIHYARPVIILGPMKDRVNDDLISEFPHKFGSCVPHTTRPRRDNEVDGQDYHFVVSREQMEKDIQDNKFIEAGQFNDNLYGTSIQSVRAVAERGKHCILDVSGNAIKRLQQAQLYPIAIFIKPKSIEALMEMNRRQTYEQANKIYDKAMKLEQEFGEYFTAIVQGDSLEEIYNKIKQIIEDQSGHYIWVPSPEKL
+>DECOY_sp|Q92796|DLG3_HUMAN Disks large homolog 3 OS=Homo sapiens OX=9606 GN=DLG3 PE=1 SV=2
+LKEPSPVWIYHGSQDEIIQKIKNYIEELSDGQVIATFYEGFEQELKMAKDYIKNAQEYTQRRNMEMLAEISKPKIFIAIPYLQAQQLRKIANGSVDLICHKGREAVARVSQISTGYLNDNFQGAEIFKNDQIDKEMQERSVVFHYDQGDVENDRRPRTTHPVCSGFKHPFESILDDNVRDKMPGLIIVPRAYHIEQRTVPEYSLIADEQGKLNKAGYYDDSLGPFDRNSEIMGTRAHFKVTKLRAREKKEVRKKSPIVGIQESEGHPTVLRAQWWEDDSANIVHLIDGYSFSLGQSPLCSDRTRDYDFLARVYLSRKESTRLSGSGSSMSSNMMQERLDHIKSEFRSYEEPRYQAVITVSQGARKLAAAAQEHTANRLNVGNVSLIRDGRRLEGSLDAPGGALIFSVFIGEGDEGGVINFGLGTSGKHLIIKRPERTFDEEALMHRPIPSYRTPPVQPPAPYSVKSEVAGLYGLSSNHSIHNDALATFTSAYDPPAYMDNLHLSGPKAVKLYVMDSTNKLSAVAEEHRVDQLNTNNVALLRDGIQLRGDKQAAGGEIIKTIYISNDGPIHQNGIGGAISFGLGKPGKLLNVEMITEPPPQRRRVVLRVVPGAEKLAEVARSHVVESVDVENVRLVCDNVGLRGDMAAAGGPIIKTIFIGPDDPVHPNDIGGAISFGLGSNGRELVIEEYKFMGDSGNVQEYWDRNTCTCEPWWSPGSGNLKPTSKGPVPKPPVPGVDRGTPILKAKTRPTPTAGAQSPLTQSSYGGYGASAGNGGGPGYPDPVQWDGYGPPELRRLAALRTVEYCEPCKCCHQHKHM
+>sp|P78352|DLG4_HUMAN Disks large homolog 4 OS=Homo sapiens OX=9606 GN=DLG4 PE=1 SV=3
+MDCLCIVTTKKYRYQDEDTPPLEHSPAHLPNQANSPPVIVNTDTLEAPGYELQVNGTEGEMEYEEITLERGNSGLGFSIAGGTDNPHIGDDPSIFITKIIPGGAAAQDGRLRVNDSILFVNEVDVREVTHSAAVEALKEAGSIVRLYVMRRKPPAEKVMEIKLIKGPKGLGFSIAGGVGNQHIPGDNSIYVTKIIEGGAAHKDGRLQIGDKILAVNSVGLEDVMHEDAVAALKNTYDVVYLKVAKPSNAYLSDSYAPPDITTSYSQHLDNEISHSSYLGTDYPTAMTPTSPRRYSPVAKDLLGEEDIPREPRRIVIHRGSTGLGFNIVGGEDGEGIFISFILAGGPADLSGELRKGDQILSVNGVDLRNASHEQAAIALKNAGQTVTIIAQYKPEEYSRFEAKIHDLREQLMNSSLGSGTASLRSNPKRGFYIRALFDYDKTKDCGFLSQALSFRFGDVLHVIDASDEEWWQARRVHSDSETDDIGFIPSKRRVERREWSRLKAKDWGSSSGSQGREDSVLSYETVTQMEVHYARPIIILGPTKDRANDDLLSEFPDKFGSCVPHTTRPKREYEIDGRDYHFVSSREKMEKDIQAHKFIEAGQYNSHLYGTSVQSVREVAEQGKHCILDVSANAVRRLQAAHLHPIAIFIRPRSLENVLEINKRITEEQARKAFDRATKLEQEFTECFSAIVEGDSFEEIYHKVKRVIEDLSGPYIWVPARERL
+>DECOY_sp|P78352|DLG4_HUMAN Disks large homolog 4 OS=Homo sapiens OX=9606 GN=DLG4 PE=1 SV=3
+LRERAPVWIYPGSLDEIVRKVKHYIEEFSDGEVIASFCETFEQELKTARDFAKRAQEETIRKNIELVNELSRPRIFIAIPHLHAAQLRRVANASVDLICHKGQEAVERVSQVSTGYLHSNYQGAEIFKHAQIDKEMKERSSVFHYDRGDIEYERKPRTTHPVCSGFKDPFESLLDDNARDKTPGLIIIPRAYHVEMQTVTEYSLVSDERGQSGSSSGWDKAKLRSWERREVRRKSPIFGIDDTESDSHVRRAQWWEEDSADIVHLVDGFRFSLAQSLFGCDKTKDYDFLARIYFGRKPNSRLSATGSGLSSNMLQERLDHIKAEFRSYEEPKYQAIITVTQGANKLAIAAQEHSANRLDVGNVSLIQDGKRLEGSLDAPGGALIFSIFIGEGDEGGVINFGLGTSGRHIVIRRPERPIDEEGLLDKAVPSYRRPSTPTMATPYDTGLYSSHSIENDLHQSYSTTIDPPAYSDSLYANSPKAVKLYVVDYTNKLAAVADEHMVDELGVSNVALIKDGIQLRGDKHAAGGEIIKTVYISNDGPIHQNGVGGAISFGLGKPGKILKIEMVKEAPPKRRMVYLRVISGAEKLAEVAASHTVERVDVENVFLISDNVRLRGDQAAAGGPIIKTIFISPDDGIHPNDTGGAISFGLGSNGRELTIEEYEMEGETGNVQLEYGPAELTDTNVIVPPSNAQNPLHAPSHELPPTDEDQYRYKKTTVICLCDM
+>sp|Q9Y2H0|DLGP4_HUMAN Disks large-associated protein 4 OS=Homo sapiens OX=9606 GN=DLGAP4 PE=1 SV=3
+MKGLGDSRPRHLSDSLDPPHEPLFAGTDRNPYLLSPTEAFAREARFPGQNTLPGDGLFPLNNQLPPPSSTFPRIHYNSHFEVPEESPFPSHAQATKINRLPANLLDQFEKQLPIHRDGFSTLQFPRGEAKARGESPGRIRHLVHSVQRLFFTKAPSLEGTAGKVGGNGSKKGGMEDGKGRRAKSKERAKAGEPKRRSRSNISGWWSSDDNLDGEAGAFRSSGPASGLMTLGRQAERSQPRYFMHAYNTISGHMLKTTKNNTTELTAPPPPPAPPATCPSLGVGTDTNYVKRGSWSTLTLSHAHEVCQKTSATLDKSLLKSKSCHQGLAYHYLQVPGGGGEWSTTLLSPRETDAAAEGPIPCRRMRSGSYIKAMGDEDSDESGGSPKPSPKTAARRQSYLRATQQSLGEQSNPRRSLDRLDSVDMLLPSKCPSWEEDYTPVSDSLNDSSCISQIFGQASLIPQLFGHEQQVREAELSDQYEAACESACSEAESTAAETLDLPLPSYFRSRSHSYLRAIQAGCSQEEDSVSLQSLSPPPSTGSLSNSRTLPSSSCLVAYKKTPPPVPPRTTSKPFISVTVQSSTESAQDTYLDSQDHKSEVTSQSGLSNSSDSLDSSTRPPSVTRGGVAPAPEAPEPPPKHAALKSEQGTLTSSESHPEAAPKRKLSSIGIQVDCIQPVPKEEPSPATKFQSIGVQVEDDWRSSVPSHSMSSRRDTDSDTQDANDSSCKSSERSLPDCTPHPNSISIDAGPRQAPKIAQIKRNLSYGDNSDPALEASSLPPPDPWLETSSSSPAEPAQPGACRRDGYWFLKLLQAETERLEGWCCQMDKETKENNLSEEVLGKVLSAVGSAQLLMSQKFQQFRGLCEQNLNPDANPRPTAQDLAGFWDLLQLSIEDISMKFDELYHLKANSWQLVETPEKRKEEKKPPPPVPKKPAKSKPAVSRDKASDASDKQRQEARKRLLAAKRAASVRQNSATESADSIEIYVPEAQTRL
+>DECOY_sp|Q9Y2H0|DLGP4_HUMAN Disks large-associated protein 4 OS=Homo sapiens OX=9606 GN=DLGAP4 PE=1 SV=3
+LRTQAEPVYIEISDASETASNQRVSAARKAALLRKRAEQRQKDSADSAKDRSVAPKSKAPKKPVPPPPKKEEKRKEPTEVLQWSNAKLHYLEDFKMSIDEISLQLLDWFGALDQATPRPNADPNLNQECLGRFQQFKQSMLLQASGVASLVKGLVEESLNNEKTEKDMQCCWGELRETEAQLLKLFWYGDRRCAGPQAPEAPSSSSTELWPDPPPLSSAELAPDSNDGYSLNRKIQAIKPAQRPGADISISNPHPTCDPLSRESSKCSSDNADQTDSDTDRRSSMSHSPVSSRWDDEVQVGISQFKTAPSPEEKPVPQICDVQIGISSLKRKPAAEPHSESSTLTGQESKLAAHKPPPEPAEPAPAVGGRTVSPPRTSSDLSDSSNSLGSQSTVESKHDQSDLYTDQASETSSQVTVSIFPKSTTRPPVPPPTKKYAVLCSSSPLTRSNSLSGTSPPPSLSQLSVSDEEQSCGAQIARLYSHSRSRFYSPLPLDLTEAATSEAESCASECAAEYQDSLEAERVQQEHGFLQPILSAQGFIQSICSSDNLSDSVPTYDEEWSPCKSPLLMDVSDLRDLSRRPNSQEGLSQQTARLYSQRRAATKPSPKPSGGSEDSDEDGMAKIYSGSRMRRCPIPGEAAADTERPSLLTTSWEGGGGPVQLYHYALGQHCSKSKLLSKDLTASTKQCVEHAHSLTLTSWSGRKVYNTDTGVGLSPCTAPPAPPPPPATLETTNNKTTKLMHGSITNYAHMFYRPQSREAQRGLTMLGSAPGSSRFAGAEGDLNDDSSWWGSINSRSRRKPEGAKAREKSKARRGKGDEMGGKKSGNGGVKGATGELSPAKTFFLRQVSHVLHRIRGPSEGRAKAEGRPFQLTSFGDRHIPLQKEFQDLLNAPLRNIKTAQAHSPFPSEEPVEFHSNYHIRPFTSSPPPLQNNLPFLGDGPLTNQGPFRAERAFAETPSLLYPNRDTGAFLPEHPPDLSDSLHRPRSDGLGKM
+>sp|Q15398|DLGP5_HUMAN Disks large-associated protein 5 OS=Homo sapiens OX=9606 GN=DLGAP5 PE=1 SV=2
+MSSSHFASRHRKDISTEMIRTKIAHRKSLSQKENRHKEYERNRHFGLKDVNIPTLEGRILVELDETSQGLVPEKTNVKPRAMKTILGDQRKQMLQKYKEEKQLQKLKEQREKAKRGIFKVGRYRPDMPCFLLSNQNAVKAEPKKAIPSSVRITRSKAKDQMEQTKIDNESDVRAIRPGPRQTSEKKVSDKEKKVVQPVMPTSLRMTRSATQAAKQVPRTVSSTTARKPVTRAANENEPEGKVPSKGRPAKNVETKPDKGISCKVDSEENTLNSQTNATSGMNPDGVLSKMENLPEINTAKIKGKNSFAPKDFMFQPLDGLKTYQVTPMTPRSANAFLTPSYTWTPLKTEVDESQATKEILAQKCKTYSTKTIQQDSNKLPCPLGPLTVWHEEHVLNKNEATTKNLNGLPIKEVPSLERNEGRIAQPHHGVPYFRNILQSETEKLTSHCFEWDRKLELDIPDDAKDLIRTAVGQTRLLMKERFKQFEGLVDDCEYKRGIKETTCTDLDGFWDMVSFQIEDVIHKFNNLIKLEESGWQVNNNMNHNMNKNVFRKKVVSGIASKPKQDDAGRIAARNRLAAIKNAMRERIRQEECAETAVSVIPKEVDKIVFDAGFFRVESPVKLFSGLSVSSEGPSQRLGTPKSVNKAVSQSRNEMGIPQQTTSPENAGPQNTKSEHVKKTLFLSIPESRSSIEDAQCPGLPDLIEENHVVNKTDLKVDCLSSERMSLPLLAGGVADDINTNKKEGISDVVEGMELNSSITSQDVLMSSPEKNTASQNSILEEGETKISQSELFDNKSLTTECHLLDSPGLNCSNPFTQLERRHQEHARHISFGGNLITFSPLQPGEF
+>DECOY_sp|Q15398|DLGP5_HUMAN Disks large-associated protein 5 OS=Homo sapiens OX=9606 GN=DLGAP5 PE=1 SV=2
+FEGPQLPSFTILNGGFSIHRAHEQHRRELQTFPNSCNLGPSDLLHCETTLSKNDFLESQSIKTEGEELISNQSATNKEPSSMLVDQSTISSNLEMGEVVDSIGEKKNTNIDDAVGGALLPLSMRESSLCDVKLDTKNVVHNEEILDPLGPCQADEISSRSEPISLFLTKKVHESKTNQPGANEPSTTQQPIGMENRSQSVAKNVSKPTGLRQSPGESSVSLGSFLKVPSEVRFFGADFVIKDVEKPIVSVATEACEEQRIRERMANKIAALRNRAAIRGADDQKPKSAIGSVVKKRFVNKNMNHNMNNNVQWGSEELKILNNFKHIVDEIQFSVMDWFGDLDTCTTEKIGRKYECDDVLGEFQKFREKMLLRTQGVATRILDKADDPIDLELKRDWEFCHSTLKETESQLINRFYPVGHHPQAIRGENRELSPVEKIPLGNLNKTTAENKNLVHEEHWVTLPGLPCPLKNSDQQITKTSYTKCKQALIEKTAQSEDVETKLPTWTYSPTLFANASRPTMPTVQYTKLGDLPQFMFDKPAFSNKGKIKATNIEPLNEMKSLVGDPNMGSTANTQSNLTNEESDVKCSIGKDPKTEVNKAPRGKSPVKGEPENENAARTVPKRATTSSVTRPVQKAAQTASRTMRLSTPMVPQVVKKEKDSVKKESTQRPGPRIARVDSENDIKTQEMQDKAKSRTIRVSSPIAKKPEAKVANQNSLLFCPMDPRYRGVKFIGRKAKERQEKLKQLQKEEKYKQLMQKRQDGLITKMARPKVNTKEPVLGQSTEDLEVLIRGELTPINVDKLGFHRNREYEKHRNEKQSLSKRHAIKTRIMETSIDKRHRSAFHSSSM
+>sp|Q9NYJ7|DLL3_HUMAN Delta-like protein 3 OS=Homo sapiens OX=9606 GN=DLL3 PE=1 SV=1
+MVSPRMSGLLSQTVILALIFLPQTRPAGVFELQIHSFGPGPGPGAPRSPCSARLPCRLFFRVCLKPGLSEEAAESPCALGAALSARGPVYTEQPGAPAPDLPLPDGLLQVPFRDAWPGTFSFIIETWREELGDQIGGPAWSLLARVAGRRRLAAGGPWARDIQRAGAWELRFSYRARCEPPAVGTACTRLCRPRSAPSRCGPGLRPCAPLEDECEAPLVCRAGCSPEHGFCEQPGECRCLEGWTGPLCTVPVSTSSCLSPRGPSSATTGCLVPGPGPCDGNPCANGGSCSETPRSFECTCPRGFYGLRCEVSGVTCADGPCFNGGLCVGGADPDSAYICHCPPGFQGSNCEKRVDRCSLQPCRNGGLCLDLGHALRCRCRAGFAGPRCEHDLDDCAGRACANGGTCVEGGGAHRCSCALGFGGRDCRERADPCAARPCAHGGRCYAHFSGLVCACAPGYMGARCEFPVHPDGASALPAAPPGLRPGDPQRYLLPPALGLLVAAGVAGAALLLVHVRRRGHSQDAGSRLLAGTPEPSVHALPDALNNLRTQEGSGDGPSSSVDWNRPEDVDPQGIYVISAPSIYAREVATPLFPPLHTGRAGQRQHLLFPYPSSILSVK
+>DECOY_sp|Q9NYJ7|DLL3_HUMAN Delta-like protein 3 OS=Homo sapiens OX=9606 GN=DLL3 PE=1 SV=1
+KVSLISSPYPFLLHQRQGARGTHLPPFLPTAVERAYISPASIVYIGQPDVDEPRNWDVSSSPGDGSGEQTRLNNLADPLAHVSPEPTGALLRSGADQSHGRRRVHVLLLAAGAVGAAVLLGLAPPLLYRQPDGPRLGPPAAPLASAGDPHVPFECRAGMYGPACACVLGSFHAYCRGGHACPRAACPDARERCDRGGFGLACSCRHAGGGEVCTGGNACARGACDDLDHECRPGAFGARCRCRLAHGLDLCLGGNRCPQLSCRDVRKECNSGQFGPPCHCIYASDPDAGGVCLGGNFCPGDACTVGSVECRLGYFGRPCTCEFSRPTESCSGGNACPNGDCPGPGPVLCGTTASSPGRPSLCSSTSVPVTCLPGTWGELCRCEGPQECFGHEPSCGARCVLPAECEDELPACPRLGPGCRSPASRPRCLRTCATGVAPPECRARYSFRLEWAGARQIDRAWPGGAALRRRGAVRALLSWAPGGIQDGLEERWTEIIFSFTGPWADRFPVQLLGDPLPLDPAPAGPQETYVPGRASLAAGLACPSEAAEESLGPKLCVRFFLRCPLRASCPSRPAGPGPGPGFSHIQLEFVGAPRTQPLFILALIVTQSLLGSMRPSVM
+>sp|Q9NP97|DLRB1_HUMAN Dynein light chain roadblock-type 1 OS=Homo sapiens OX=9606 GN=DYNLRB1 PE=1 SV=3
+MAEVEETLKRLQSQKGVQGIIVVNTEGIPIKSTMDNPTTTQYASLMHSFILKARSTVRDIDPQNDLTFLRIRSKKNEIMVAPDKDYFLIVIQNPTE
+>DECOY_sp|Q9NP97|DLRB1_HUMAN Dynein light chain roadblock-type 1 OS=Homo sapiens OX=9606 GN=DYNLRB1 PE=1 SV=3
+ETPNQIVILFYDKDPAVMIENKKSRIRLFTLDNQPDIDRVTSRAKLIFSHMLSAYQTTTPNDMTSKIPIGETNVVIIGQVGKQSQLRKLTEEVEAM
+>sp|Q96GE9|DMAC1_HUMAN Distal membrane-arm assembly complex protein 1 OS=Homo sapiens OX=9606 GN=DMAC1 PE=1 SV=1
+MGSRLSQPFESYITAPPGTAAAPAKPAPPATPGAPTSPAEHRLLKTCWSCRVLSGLGLMGAGGYVYWVARKPMKMGYPPSPWTITQMVIGLSENQGIATWGIVVMADPKGKAYRVV
+>DECOY_sp|Q96GE9|DMAC1_HUMAN Distal membrane-arm assembly complex protein 1 OS=Homo sapiens OX=9606 GN=DMAC1 PE=1 SV=1
+VVRYAKGKPDAMVVIGWTAIGQNESLGIVMQTITWPSPPYGMKMPKRAVWYVYGGAGMLGLGSLVRCSWCTKLLRHEAPSTPAGPTAPPAPKAPAAATGPPATIYSEFPQSLRSGM
+>sp|Q6ZS02|DMP46_HUMAN Putative GED domain-containing protein DNM1P46 OS=Homo sapiens OX=9606 GN=DNM1P46 PE=5 SV=1
+MLQLAGVSNSTCGGVRNVSVETRNVKPQGKDSKAEENGSHSFMHSMDPQLERQMETTQNLVDSYMAIVNKTVWDLMVGAKPKTTMHIMIYNVHAPPHGDQGVHLLGAAVQPALAWEREDTHGGVGRVGTAARRDAASQSCCPTCTRLGTRRHSWRSRQSRHSGATRLAWEEIDTPGGVGRAGTAARRDSRGNEKTLLEESAEQADQGVHLLGAAVQPALA
+>DECOY_sp|Q6ZS02|DMP46_HUMAN Putative GED domain-containing protein DNM1P46 OS=Homo sapiens OX=9606 GN=DNM1P46 PE=5 SV=1
+ALAPQVAAGLLHVGQDAQEASEELLTKENGRSDRRAATGARGVGGPTDIEEWALRTAGSHRSQRSRWSHRRTGLRTCTPCCSQSAADRRAATGVRGVGGHTDEREWALAPQVAAGLLHVGQDGHPPAHVNYIMIHMTTKPKAGVMLDWVTKNVIAMYSDVLNQTTEMQRELQPDMSHMFSHSGNEEAKSDKGQPKVNRTEVSVNRVGGCTSNSVGALQLM
+>sp|P51530|DNA2_HUMAN DNA replication ATP-dependent helicase/nuclease DNA2 OS=Homo sapiens OX=9606 GN=DNA2 PE=1 SV=3
+MEQLNELELLMEKSFWEEAELPAELFQKKVVASFPRTVLSTGMDNRYLVLAVNTVQNKEGNCEKRLVITASQSLENKELCILRNDWCSVPVEPGDIIHLEGDCTSDTWIIDKDFGYLILYPDMLISGTSIASSIRCMRRAVLSETFRSSDPATRQMLIGTVLHEVFQKAINNSFAPEKLQELAFQTIQEIRHLKEMYRLNLSQDEIKQEVEDYLPSFCKWAGDFMHKNTSTDFPQMQLSLPSDNSKDNSTCNIEVVKPMDIEESIWSPRFGLKGKIDVTVGVKIHRGYKTKYKIMPLELKTGKESNSIEHRSQVVLYTLLSQERRADPEAGLLLYLKTGQMYPVPANHLDKRELLKLRNQMAFSLFHRISKSATRQKTQLASLPQIIEEEKTCKYCSQIGNCALYSRAVEQQMDCSSVPIVMLPKIEEETQHLKQTHLEYFSLWCLMLTLESQSKDNKKNHQNIWLMPASEMEKSGSCIGNLIRMEHVKIVCDGQYLHNFQCKHGAIPVTNLMAGDRVIVSGEERSLFALSRGYVKEINMTTVTCLLDRNLSVLPESTLFRLDQEEKNCDIDTPLGNLSKLMENTFVSKKLRDLIIDFREPQFISYLSSVLPHDAKDTVACILKGLNKPQRQAMKKVLLSKDYTLIVGMPGTGKTTTICTLVRILYACGFSVLLTSYTHSAVDNILLKLAKFKIGFLRLGQIQKVHPAIQQFTEQEICRSKSIKSLALLEELYNSQLIVATTCMGINHPIFSRKIFDFCIVDEASQISQPICLGPLFFSRRFVLVGDHQQLPPLVLNREARALGMSESLFKRLEQNKSAVVQLTVQYRMNSKIMSLSNKLTYEGKLECGSDKVANAVINLRHFKDVKLELEFYADYSDNPWLMGVFEPNNPVCFLNTDKVPAPEQVEKGGVSNVTEAKLIVFLTSIFVKAGCSPSDIGIIAPYRQQLKIINDLLARSIGMVEVNTVDKYQGRDKSIVLVSFVRSNKDGTVGELLKDWRRLNVAITRAKHKLILLGCVPSLNCYPPLEKLLNHLNSEKLIIDLPSREHESLCHILGDFQRE
+>DECOY_sp|P51530|DNA2_HUMAN DNA replication ATP-dependent helicase/nuclease DNA2 OS=Homo sapiens OX=9606 GN=DNA2 PE=1 SV=3
+ERQFDGLIHCLSEHERSPLDIILKESNLHNLLKELPPYCNLSPVCGLLILKHKARTIAVNLRRWDKLLEGVTGDKNSRVFSVLVISKDRGQYKDVTNVEVMGISRALLDNIIKLQQRYPAIIGIDSPSCGAKVFISTLFVILKAETVNSVGGKEVQEPAPVKDTNLFCVPNNPEFVGMLWPNDSYDAYFELELKVDKFHRLNIVANAVKDSGCELKGEYTLKNSLSMIKSNMRYQVTLQVVASKNQELRKFLSESMGLARAERNLVLPPLQQHDGVLVFRRSFFLPGLCIPQSIQSAEDVICFDFIKRSFIPHNIGMCTTAVILQSNYLEELLALSKISKSRCIEQETFQQIAPHVKQIQGLRLFGIKFKALKLLINDVASHTYSTLLVSFGCAYLIRVLTCITTTKGTGPMGVILTYDKSLLVKKMAQRQPKNLGKLICAVTDKADHPLVSSLYSIFQPERFDIILDRLKKSVFTNEMLKSLNGLPTDIDCNKEEQDLRFLTSEPLVSLNRDLLCTVTTMNIEKVYGRSLAFLSREEGSVIVRDGAMLNTVPIAGHKCQFNHLYQGDCVIKVHEMRILNGICSGSKEMESAPMLWINQHNKKNDKSQSELTLMLCWLSFYELHTQKLHQTEEEIKPLMVIPVSSCDMQQEVARSYLACNGIQSCYKCTKEEEIIQPLSALQTKQRTASKSIRHFLSFAMQNRLKLLERKDLHNAPVPYMQGTKLYLLLGAEPDARREQSLLTYLVVQSRHEISNSEKGTKLELPMIKYKTKYGRHIKVGVTVDIKGKLGFRPSWISEEIDMPKVVEINCTSNDKSNDSPLSLQMQPFDTSTNKHMFDGAWKCFSPLYDEVEQKIEDQSLNLRYMEKLHRIEQITQFALEQLKEPAFSNNIAKQFVEHLVTGILMQRTAPDSSRFTESLVARRMCRISSAISTGSILMDPYLILYGFDKDIIWTDSTCDGELHIIDGPEVPVSCWDNRLICLEKNELSQSATIVLRKECNGEKNQVTNVALVLYRNDMGTSLVTRPFSAVVKKQFLEAPLEAEEWFSKEMLLELENLQEM
+>sp|Q8IYX4|DND1_HUMAN Dead end protein homolog 1 OS=Homo sapiens OX=9606 GN=DND1 PE=1 SV=1
+MQSKRDCELWCERVNPENKAALEAWVRETGIRLVQVNGQRKYGGPPPGWVGSPPPAGSEVFIGRLPQDVYEHQLIPLFQRVGRLYEFRLMMTFSGLNRGFAYARYSSRRGAQAAIATLHNHPLRPSCPLLVCRSTEKCELSVDGLPPNLTRSALLLALQPLGPGLQEARLLPSPGPAPGQIALLKFSSHRAAAMAKKALVEGQSHLCGEQVAVEWLKPDLKQRLRQQLVGPFLRSPQPEGSQLALARDKLGFQGARATLQLLCQRMKLGSPVFLTKCLGIGPAGWHRFWYQVVIPGHPVPFSGLIWVVLTLDGRDGHEVAKDAVSVRLLQALSESGANLLWSAGAEAGTMVKQ
+>DECOY_sp|Q8IYX4|DND1_HUMAN Dead end protein homolog 1 OS=Homo sapiens OX=9606 GN=DND1 PE=1 SV=1
+QKVMTGAEAGASWLLNAGSESLAQLLRVSVADKAVEHGDRGDLTLVVWILGSFPVPHGPIVVQYWFRHWGAPGIGLCKTLFVPSGLKMRQCLLQLTARAGQFGLKDRALALQSGEPQPSRLFPGVLQQRLRQKLDPKLWEVAVQEGCLHSQGEVLAKKAMAAARHSSFKLLAIQGPAPGPSPLLRAEQLGPGLPQLALLLASRTLNPPLGDVSLECKETSRCVLLPCSPRLPHNHLTAIAAQAGRRSSYRAYAFGRNLGSFTMMLRFEYLRGVRQFLPILQHEYVDQPLRGIFVESGAPPPSGVWGPPPGGYKRQGNVQVLRIGTERVWAELAAKNEPNVRECWLECDRKSQM
+>sp|O00429|DNM1L_HUMAN Dynamin-1-like protein OS=Homo sapiens OX=9606 GN=DNM1L PE=1 SV=2
+MEALIPVINKLQDVFNTVGADIIQLPQIVVVGTQSSGKSSVLESLVGRDLLPRGTGIVTRRPLILQLVHVSQEDKRKTTGEENGVEAEEWGKFLHTKNKLYTDFDEIRQEIENETERISGNNKGVSPEPIHLKIFSPNVVNLTLVDLPGMTKVPVGDQPKDIELQIRELILRFISNPNSIILAVTAANTDMATSEALKISREVDPDGRRTLAVITKLDLMDAGTDAMDVLMGRVIPVKLGIIGVVNRSQLDINNKKSVTDSIRDEYAFLQKKYPSLANRNGTKYLARTLNRLLMHHIRDCLPELKTRINVLAAQYQSLLNSYGEPVDDKSATLLQLITKFATEYCNTIEGTAKYIETSELCGGARICYIFHETFGRTLESVDPLGGLNTIDILTAIRNATGPRPALFVPEVSFELLVKRQIKRLEEPSLRCVELVHEEMQRIIQHCSNYSTQELLRFPKLHDAIVEVVTCLLRKRLPVTNEMVHNLVAIELAYINTKHPDFADACGLMNNNIEEQRRNRLARELPSAVSRDKSSKVPSALAPASQEPSPAASAEADGKLIQDSRRETKNVASGGGGVGDGVQEPTTGNWRGMLKTSKAEELLAEEKSKPIPIMPASPQKGHAVNLLDVPVPVARKLSAREQRDCEVIERLIKSYFLIVRKNIQDSVPKAVMHFLVNHVKDTLQSELVGQLYKSSLLDDLLTESEDMAQRRKEAADMLKALQGASQIIAEIRETHLW
+>DECOY_sp|O00429|DNM1L_HUMAN Dynamin-1-like protein OS=Homo sapiens OX=9606 GN=DNM1L PE=1 SV=2
+WLHTERIEAIIQSAGQLAKLMDAAEKRRQAMDESETLLDDLLSSKYLQGVLESQLTDKVHNVLFHMVAKPVSDQINKRVILFYSKILREIVECDRQERASLKRAVPVPVDLLNVAHGKQPSAPMIPIPKSKEEALLEEAKSTKLMGRWNGTTPEQVGDGVGGGGSAVNKTERRSDQILKGDAEASAAPSPEQSAPALASPVKSSKDRSVASPLERALRNRRQEEINNNMLGCADAFDPHKTNIYALEIAVLNHVMENTVPLRKRLLCTVVEVIADHLKPFRLLEQTSYNSCHQIIRQMEEHVLEVCRLSPEELRKIQRKVLLEFSVEPVFLAPRPGTANRIATLIDITNLGGLPDVSELTRGFTEHFIYCIRAGGCLESTEIYKATGEITNCYETAFKTILQLLTASKDDVPEGYSNLLSQYQAALVNIRTKLEPLCDRIHHMLLRNLTRALYKTGNRNALSPYKKQLFAYEDRISDTVSKKNNIDLQSRNVVGIIGLKVPIVRGMLVDMADTGADMLDLKTIVALTRRGDPDVERSIKLAESTAMDTNAATVALIISNPNSIFRLILERIQLEIDKPQDGVPVKTMGPLDVLTLNVVNPSFIKLHIPEPSVGKNNGSIRETENEIEQRIEDFDTYLKNKTHLFKGWEEAEVGNEEGTTKRKDEQSVHVLQLILPRRTVIGTGRPLLDRGVLSELVSSKGSSQTGVVVIQPLQIIDAGVTNFVDQLKNIVPILAEM
+>sp|P26358|DNMT1_HUMAN DNA (cytosine-5)-methyltransferase 1 OS=Homo sapiens OX=9606 GN=DNMT1 PE=1 SV=2
+MPARTAPARVPTLAVPAISLPDDVRRRLKDLERDSLTEKECVKEKLNLLHEFLQTEIKNQLCDLETKLRKEELSEEGYLAKVKSLLNKDLSLENGAHAYNREVNGRLENGNQARSEARRVGMADANSPPKPLSKPRTPRRSKSDGEAKPEPSPSPRITRKSTRQTTITSHFAKGPAKRKPQEESERAKSDESIKEEDKDQDEKRRRVTSRERVARPLPAEEPERAKSGTRTEKEEERDEKEEKRLRSQTKEPTPKQKLKEEPDREARAGVQADEDEDGDEKDEKKHRSQPKDLAAKRRPEEKEPEKVNPQISDEKDEDEKEEKRRKTTPKEPTEKKMARAKTVMNSKTHPPKCIQCGQYLDDPDLKYGQHPPDAVDEPQMLTNEKLSIFDANESGFESYEALPQHKLTCFSVYCKHGHLCPIDTGLIEKNIELFFSGSAKPIYDDDPSLEGGVNGKNLGPINEWWITGFDGGEKALIGFSTSFAEYILMDPSPEYAPIFGLMQEKIYISKIVVEFLQSNSDSTYEDLINKIETTVPPSGLNLNRFTEDSLLRHAQFVVEQVESYDEAGDSDEQPIFLTPCMRDLIKLAGVTLGQRRAQARRQTIRHSTREKDRGPTKATTTKLVYQIFDTFFAEQIEKDDREDKENAFKRRRCGVCEVCQQPECGKCKACKDMVKFGGSGRSKQACQERRCPNMAMKEADDDEEVDDNIPEMPSPKKMHQGKKKKQNKNRISWVGEAVKTDGKKSYYKKVCIDAETLEVGDCVSVIPDDSSKPLYLARVTALWEDSSNGQMFHAHWFCAGTDTVLGATSDPLELFLVDECEDMQLSYIHSKVKVIYKAPSENWAMEGGMDPESLLEGDDGKTYFYQLWYDQDYARFESPPKTQPTEDNKFKFCVSCARLAEMRQKEIPRVLEQLEDLDSRVLYYSATKNGILYRVGDGVYLPPEAFTFNIKLSSPVKRPRKEPVDEDLYPEHYRKYSDYIKGSNLDAPEPYRIGRIKEIFCPKKSNGRPNETDIKIRVNKFYRPENTHKSTPASYHADINLLYWSDEEAVVDFKAVQGRCTVEYGEDLPECVQVYSMGGPNRFYFLEAYNAKSKSFEDPPNHARSPGNKGKGKGKGKGKPKSQACEPSEPEIEIKLPKLRTLDVFSGCGGLSEGFHQAGISDTLWAIEMWDPAAQAFRLNNPGSTVFTEDCNILLKLVMAGETTNSRGQRLPQKGDVEMLCGGPPCQGFSGMNRFNSRTYSKFKNSLVVSFLSYCDYYRPRFFLLENVRNFVSFKRSMVLKLTLRCLVRMGYQCTFGVLQAGQYGVAQTRRRAIILAAAPGEKLPLFPEPLHVFAPRACQLSVVVDDKKFVSNITRLSSGPFRTITVRDTMSDLPEVRNGASALEISYNGEPQSWFQRQLRGAQYQPILRDHICKDMSALVAARMRHIPLAPGSDWRDLPNIEVRLSDGTMARKLRYTHHDRKNGRSSSGALRGVCSCVEAGKACDPAARQFNTLIPWCLPHTGNRHNHWAGLYGRLEWDGFFSTTVTNPEPMGKQGRVLHPEQHRVVSVRECARSQGFPDTYRLFGNILDKHRQVGNAVPPPLAKAIGLEIKLCMLAKARESASAKIKEEEAAKD
+>DECOY_sp|P26358|DNMT1_HUMAN DNA (cytosine-5)-methyltransferase 1 OS=Homo sapiens OX=9606 GN=DNMT1 PE=1 SV=2
+DKAAEEEKIKASASERAKALMCLKIELGIAKALPPPVANGVQRHKDLINGFLRYTDPFGQSRACERVSVVRHQEPHLVRGQKGMPEPNTVTTSFFGDWELRGYLGAWHNHRNGTHPLCWPILTNFQRAAPDCAKGAEVCSCVGRLAGSSSRGNKRDHHTYRLKRAMTGDSLRVEINPLDRWDSGPALPIHRMRAAVLASMDKCIHDRLIPQYQAGRLQRQFWSQPEGNYSIELASAGNRVEPLDSMTDRVTITRFPGSSLRTINSVFKKDDVVVSLQCARPAFVHLPEPFLPLKEGPAAALIIARRRTQAVGYQGAQLVGFTCQYGMRVLCRLTLKLVMSRKFSVFNRVNELLFFRPRYYDCYSLFSVVLSNKFKSYTRSNFRNMGSFGQCPPGGCLMEVDGKQPLRQGRSNTTEGAMVLKLLINCDETFVTSGPNNLRFAQAAPDWMEIAWLTDSIGAQHFGESLGGCGSFVDLTRLKPLKIEIEPESPECAQSKPKGKGKGKGKGKNGPSRAHNPPDEFSKSKANYAELFYFRNPGGMSYVQVCEPLDEGYEVTCRGQVAKFDVVAEEDSWYLLNIDAHYSAPTSKHTNEPRYFKNVRIKIDTENPRGNSKKPCFIEKIRGIRYPEPADLNSGKIYDSYKRYHEPYLDEDVPEKRPRKVPSSLKINFTFAEPPLYVGDGVRYLIGNKTASYYLVRSDLDELQELVRPIEKQRMEALRACSVCFKFKNDETPQTKPPSEFRAYDQDYWLQYFYTKGDDGELLSEPDMGGEMAWNESPAKYIVKVKSHIYSLQMDECEDVLFLELPDSTAGLVTDTGACFWHAHFMQGNSSDEWLATVRALYLPKSSDDPIVSVCDGVELTEADICVKKYYSKKGDTKVAEGVWSIRNKNQKKKKGQHMKKPSPMEPINDDVEEDDDAEKMAMNPCRREQCAQKSRGSGGFKVMDKCAKCKGCEPQQCVECVGCRRRKFANEKDERDDKEIQEAFFTDFIQYVLKTTTAKTPGRDKERTSHRITQRRAQARRQGLTVGALKILDRMCPTLFIPQEDSDGAEDYSEVQEVVFQAHRLLSDETFRNLNLGSPPVTTEIKNILDEYTSDSNSQLFEVVIKSIYIKEQMLGFIPAYEPSPDMLIYEAFSTSFGILAKEGGDFGTIWWENIPGLNKGNVGGELSPDDDYIPKASGSFFLEINKEILGTDIPCLHGHKCYVSFCTLKHQPLAEYSEFGSENADFISLKENTLMQPEDVADPPHQGYKLDPDDLYQGCQICKPPHTKSNMVTKARAMKKETPEKPTTKRRKEEKEDEDKEDSIQPNVKEPEKEEPRRKAALDKPQSRHKKEDKEDGDEDEDAQVGARAERDPEEKLKQKPTPEKTQSRLRKEEKEDREEEKETRTGSKAREPEEAPLPRAVRERSTVRRRKEDQDKDEEKISEDSKARESEEQPKRKAPGKAFHSTITTQRTSKRTIRPSPSPEPKAEGDSKSRRPTRPKSLPKPPSNADAMGVRRAESRAQNGNELRGNVERNYAHAGNELSLDKNLLSKVKALYGEESLEEKRLKTELDCLQNKIETQLFEHLLNLKEKVCEKETLSDRELDKLRRRVDDPLSIAPVALTPVRAPATRAPM
+>sp|P06340|DOA_HUMAN HLA class II histocompatibility antigen, DO alpha chain OS=Homo sapiens OX=9606 GN=HLA-DOA PE=1 SV=1
+MALRAGLVLGFHTLMTLLSPQEAGATKADHMGSYGPAFYQSYGASGQFTHEFDEEQLFSVDLKKSEAVWRLPEFGDFARFDPQGGLAGIAAIKAHLDILVERSNRSRAINVPPRVTVLPKSRVELGQPNILICIVDNIFPPVINITWLRNGQTVTEGVAQTSFYSQPDHLFRKFHYLPFVPSAEDVYDCQVEHWGLDAPLLRHWELQVPIPPPDAMETLVCALGLAIGLVGFLVGTVLIIMGTYVSSVPR
+>DECOY_sp|P06340|DOA_HUMAN HLA class II histocompatibility antigen, DO alpha chain OS=Homo sapiens OX=9606 GN=HLA-DOA PE=1 SV=1
+RPVSSVYTGMIILVTGVLFGVLGIALGLACVLTEMADPPPIPVQLEWHRLLPADLGWHEVQCDYVDEASPVFPLYHFKRFLHDPQSYFSTQAVGETVTQGNRLWTINIVPPFINDVICILINPQGLEVRSKPLVTVRPPVNIARSRNSREVLIDLHAKIAAIGALGGQPDFRAFDGFEPLRWVAESKKLDVSFLQEEDFEHTFQGSAGYSQYFAPGYSGMHDAKTAGAEQPSLLTMLTHFGLVLGARLAM
+>sp|Q5JSL3|DOC11_HUMAN Dedicator of cytokinesis protein 11 OS=Homo sapiens OX=9606 GN=DOCK11 PE=1 SV=2
+MAEVRKFTKRLSKPGTAAELRQSVSEAVRGSVVLEKAKVVEPLDYENVIAQRKTQIYSDPLRDLLMFPMEDISISVIGRQRRTVQSTVPEDAEKRAQSLFVKECIKTYSTDWHVVNYKYEDFSGDFRMLPCKSLRPEKIPNHVFEIDEDCEKDEDSSSLCSQKGGVIKQGWLHKANVNSTITVTMKVFKRRYFYLTQLPDGSYILNSYKDEKNSKESKGCIYLDACIDVVQCPKMRRHAFELKMLDKYSHYLAAETEQEMEEWLITLKKIIQINTDSLVQEKKETVETAQDDETSSQGKAENIMASLERSMHPELMKYGRETEQLNKLSRGDGRQNLFSFDSEVQRLDFSGIEPDIKPFEEKCNKRFLVNCHDLTFNILGQIGDNAKGPPTNVEPFFINLALFDVKNNCKISADFHVDLNPPSVREMLWGSSTQLASDGSPKGSSPESYIHGIAESQLRYIQQGIFSVTNPHPEIFLVARIEKVLQGNITHCAEPYIKNSDPVKTAQKVHRTAKQVCSRLGQYRMPFAWAARPIFKDTQGSLDLDGRFSPLYKQDSSKLSSEDILKLLSEYKKPEKTKLQIIPGQLNITVECVPVDLSNCITSSYVPLKPFEKNCQNITVEVEEFVPEMTKYCYPFTIYKNHLYVYPLQLKYDSQKTFAKARNIAVCVEFRDSDESDASALKCIYGKPAGSVFTTNAYAVVSHHNQNPEFYDEIKIELPIHLHQKHHLLFTFYHVSCEINTKGTTKKQDTVETPVGFAWVPLLKDGRIITFEQQLPVSANLPPGYLNLNDAESRRQCNVDIKWVDGAKPLLKIKSHLESTIYTQDLHVHKFFHHCQLIQSGSKEVPGELIKYLKCLHAMEIQVMIQFLPVILMQLFRVLTNMTHEDDVPINCTMVLLHIVSKCHEEGLDSYLRSFIKYSFRPEKPSAPQAQLIHETLATTMIAILKQSADFLSINKLLKYSWFFFEIIAKSMATYLLEENKIKLPRGQRFPETYHHVLHSLLLAIIPHVTIRYAEIPDESRNVNYSLASFLKRCLTLMDRGFIFNLINDYISGFSPKDPKVLAEYKFEFLQTICNHEHYIPLNLPMAFAKPKLQRVQDSNLEYSLSDEYCKHHFLVGLLLRETSIALQDNYEIRYTAISVIKNLLIKHAFDTRYQHKNQQAKIAQLYLPFVGLLLENIQRLAGRDTLYSCAAMPNSASRDEFPCGFTSPANRGSLSTDKDTAYGSFQNGHGIKREDSRGSLIPEGATGFPDQGNTGENTRQSSTRSSVSQYNRLDQYEIRSLLMCYLYIVKMISEDTLLTYWNKVSPQELINILILLEVCLFHFRYMGKRNIARVHDAWLSKHFGIDRKSQTMPALRNRSGVMQARLQHLSSLESSFTLNHSSTTTEADIFHQALLEGNTATEVSLTVLDTISFFTQCFKTQLLNNDGHNPLMKKVFDIHLAFLKNGQSEVSLKHVFASLRAFISKFPSAFFKGRVNMCAAFCYEVLKCCTSKISSTRNEASALLYLLMRNNFEYTKRKTFLRTHLQIIIAVSQLIADVALSGGSRFQESLFIINNFANSDRPMKATAFPAEVKDLTKRIRTVLMATAQMKEHEKDPEMLIDLQYSLAKSYASTPELRKTWLDSMAKIHVKNGDFSEAAMCYVHVAALVAEFLHRKKLFPNGCSAFKKITPNIDEEGAMKEDAGMMDVHYSEEVLLELLEQCVDGLWKAERYEIISEISKLIVPIYEKRREFEKLTQVYRTLHGAYTKILEVMHTKKRLLGTFFRVAFYGQSFFEEEDGKEYIYKEPKLTGLSEISLRLVKLYGEKFGTENVKIIQDSDKVNAKELDPKYAHIQVTYVKPYFDDKELTERKTEFERNHNISRFVFEAPYTLSGKKQGCIEEQCKRRTILTTSNSFPYVKKRIPINCEQQINLKPIDVATDEIKDKTAELQKLCSSTDVDMIQLQLKLQGCVSVQVNAGPLAYARAFLNDSQASKYPPKKVSELKDMFRKFIQACSIALELNERLIKEDQVEYHEGLKSNFRDMVKELSDIIHEQILQEDTMHSPWMSNTLHVFCAISGTSSDRGYGSPRYAEV
+>DECOY_sp|Q5JSL3|DOC11_HUMAN Dedicator of cytokinesis protein 11 OS=Homo sapiens OX=9606 GN=DOCK11 PE=1 SV=2
+VEAYRPSGYGRDSSTGSIACFVHLTNSMWPSHMTDEQLIQEHIIDSLEKVMDRFNSKLGEHYEVQDEKILRENLELAISCAQIFKRFMDKLESVKKPPYKSAQSDNLFARAYALPGANVQVSVCGQLKLQLQIMDVDTSSCLKQLEATKDKIEDTAVDIPKLNIQQECNIPIRKKVYPFSNSTTLITRRKCQEEICGQKKGSLTYPAEFVFRSINHNREFETKRETLEKDDFYPKVYTVQIHAYKPDLEKANVKDSDQIIKVNETGFKEGYLKVLRLSIESLGTLKPEKYIYEKGDEEEFFSQGYFAVRFFTGLLRKKTHMVELIKTYAGHLTRYVQTLKEFERRKEYIPVILKSIESIIEYREAKWLGDVCQELLELLVEESYHVDMMGADEKMAGEEDINPTIKKFASCGNPFLKKRHLFEAVLAAVHVYCMAAESFDGNKVHIKAMSDLWTKRLEPTSAYSKALSYQLDILMEPDKEHEKMQATAMLVTRIRKTLDKVEAPFATAKMPRDSNAFNNIIFLSEQFRSGGSLAVDAILQSVAIIIQLHTRLFTKRKTYEFNNRMLLYLLASAENRTSSIKSTCCKLVEYCFAACMNVRGKFFASPFKSIFARLSAFVHKLSVESQGNKLFALHIDFVKKMLPNHGDNNLLQTKFCQTFFSITDLVTLSVETATNGELLAQHFIDAETTTSSHNLTFSSELSSLHQLRAQMVGSRNRLAPMTQSKRDIGFHKSLWADHVRAINRKGMYRFHFLCVELLILINILEQPSVKNWYTLLTDESIMKVIYLYCMLLSRIEYQDLRNYQSVSSRTSSQRTNEGTNGQDPFGTAGEPILSGRSDERKIGHGNQFSGYATDKDTSLSGRNAPSTFGCPFEDRSASNPMAACSYLTDRGALRQINELLLGVFPLYLQAIKAQQNKHQYRTDFAHKILLNKIVSIATYRIEYNDQLAISTERLLLGVLFHHKCYEDSLSYELNSDQVRQLKPKAFAMPLNLPIYHEHNCITQLFEFKYEALVKPDKPSFGSIYDNILNFIFGRDMLTLCRKLFSALSYNVNRSEDPIEAYRITVHPIIALLLSHLVHHYTEPFRQGRPLKIKNEELLYTAMSKAIIEFFFWSYKLLKNISLFDASQKLIAIMTTALTEHILQAQPASPKEPRFSYKIFSRLYSDLGEEHCKSVIHLLVMTCNIPVDDEHTMNTLVRFLQMLIVPLFQIMVQIEMAHLCKLYKILEGPVEKSGSQILQCHHFFKHVHLDQTYITSELHSKIKLLPKAGDVWKIDVNCQRRSEADNLNLYGPPLNASVPLQQEFTIIRGDKLLPVWAFGVPTEVTDQKKTTGKTNIECSVHYFTFLLHHKQHLHIPLEIKIEDYFEPNQNHHSVVAYANTTFVSGAPKGYICKLASADSEDSDRFEVCVAINRAKAFTKQSDYKLQLPYVYLHNKYITFPYCYKTMEPVFEEVEVTINQCNKEFPKLPVYSSTICNSLDVPVCEVTINLQGPIIQLKTKEPKKYESLLKLIDESSLKSSDQKYLPSFRGDLDLSGQTDKFIPRAAWAFPMRYQGLRSCVQKATRHVKQATKVPDSNKIYPEACHTINGQLVKEIRAVLFIEPHPNTVSFIGQQIYRLQSEAIGHIYSEPSSGKPSGDSALQTSSGWLMERVSPPNLDVHFDASIKCNNKVDFLALNIFFPEVNTPPGKANDGIQGLINFTLDHCNVLFRKNCKEEFPKIDPEIGSFDLRQVESDFSFLNQRGDGRSLKNLQETERGYKMLEPHMSRELSAMINEAKGQSSTEDDQATEVTEKKEQVLSDTNIQIIKKLTILWEEMEQETEAALYHSYKDLMKLEFAHRRMKPCQVVDICADLYICGKSEKSNKEDKYSNLIYSGDPLQTLYFYRRKFVKMTVTITSNVNAKHLWGQKIVGGKQSCLSSSDEDKECDEDIEFVHNPIKEPRLSKCPLMRFDGSFDEYKYNVVHWDTSYTKICEKVFLSQARKEADEPVTSQVTRRQRGIVSISIDEMPFMLLDRLPDSYIQTKRQAIVNEYDLPEVVKAKELVVSGRVAESVSQRLEAATGPKSLRKTFKRVEAM
+>sp|Q8IZD9|DOCK3_HUMAN Dedicator of cytokinesis protein 3 OS=Homo sapiens OX=9606 GN=DOCK3 PE=1 SV=1
+MWTPTEEEKYGVVICSFRGSVPQGLVLEIGETVQILEKCEGWYRGVSTKKPNVKGIFPANYIHLKKAIVSNRGQYETVVPLEDSIVTEVTATLQEWASLWKQLYVKHKVDLFYKLRHVMNELIDLRRQLLSGHLTQDQVREVKRHITVRLDWGNEHLGLDLVPRKDFEVVDSDQISVSDLYKMHLSSRQSVQQSTSQVDTMRPRHGETCRMPVPHHFFLSLKSFTYNTIGEDTDVFFSLYDMREGKQISERFLVRLNKNGGPRNPEKIERMCALFTDLSSKDMKRDLYIVAHVIRIGRMLLNDSKKGPPHLHYRRPYGCAVLSILDVLQSLTEVKEEKDFVLKVYTCNNESEWSQIHENIIRKSSAKYSAPSASHGLIISLQLLRGDMEQIRRENPMIFNRGLAITRKLGFPDVIMPGDIRNDLYLTLEKGDFERGGKSVQKNIEVTMYVLYADGEILKDCISLGSGEPNRSSYHSFVLYHSNSPRWGEIIKLPIPIDRFRGSHLRFEFRHCSTKDKGEKKLFGFAFSTLMRDDGTTLSDDIHELYVYKCDENSTFNNHALYLGLPCCKEDYNGCPNIPSSLIFQRSTKESFFISTQLSSTKLTQNVDLLALLKWKAFPDRIMDVLGRLRHVSGEEIVKFLQDILDTLFVILDDNTEKYGLLVFQSLVFIINLLRDIKYFHFRPVMDTYIQKHFAGALAYKELIRCLKWYMDCSAELIRQDHIQEAMRALEYLFKFIVQSRILYSRATCGMEEEQFRSSIQELFQSIRFVLSLDSRNSETLLFTQAALLNSFPTIFDELLQMFTVQEVAEFVRGTLGSMPSTVHIGQSMDVVKLQSIARTVDSRLFSFSESRRILLPVVLHHIHLHLRQQKELLICSGILGSIFSIVKTSSLEADVMEEVEMMVESLLDVLLQTLLTIMSKSHAQEAVRGQRCPQCTAEITGEYVSCLLSLLRQMCDTHFQHLLDNFQSKDELKEFLLKIFCVFRNLMKMSVFPRDWMVMRLLTSNIIVTTVQYLSSALHKNFTETDFDFKVWNSYFSLAVLFINQPSLQLEIITSAKRKKILDKYGDMRVMMAYELFSMWQNLGEHKIHFIPGMIGPFLGVTLVPQPEVRNIMIPIFHDMMDWEQRKNGNFKQVEAELIDKLDSMVSEGKGDESYRELFSLLTQLFGPYPSLLEKVEQETWRETGISFVTSVTRLMERLLDYRDCMKGEETENKKIGCTVNLMNFYKSEINKEEMYIRYIHKLCDMHLQAENYTEAAFTLLLYCELLQWEDRPLREFLHYPSQTEWQRKEGLCRKIIHYFNKGKSWEFGIPLCRELACQYESLYDYQSLSWIRKMEASYYDNIMEQQRLEPEFFRVGFYGRKFPFFLRNKEYVCRGHDYERLEAFQQRMLSEFPQAVAMQHPNHPDDAILQCDAQYLQIYAVTPIPDYVDVLQMDRVPDRVKSFYRVNNVRKFRYDRPFHKGPKDKENEFKSLWIERTTLTLTHSLPGISRWFEVERRELVEVSPLENAIQVVENKNQELRSLISQYQHKQVHGNINLLSMCLNGVIDAAVNGGIARYQEAFFDKDYINKHPGDAEKITQLKELMQEQVHVLGVGLAVHEKFVHPEMRPLHKKLIDQFQMMRASLYHEFPGLDKLSPACSGTSTPRGNVLASHSPMSPESIKMTHRHSPMNLMGTGRHSSSSLSSHASSEAGNMVMLGDGSMGDAPEDLYHHMQLAYPNPRYQGSVTNVSVLSSSQASPSSSSLSSTHSAPSQMITSAPSSARGSPSLPDKYRHAREMMLLLPTYRDRPSSAMYPAAILENGQPPNFQRALFQQVVGACKPCSDPNLSVAEKGHYSLHFDAFHHPLGDTPPALPARTLRKSPLHPIPASPTSPQSGLDGSNSTLSGSASSGVSSLSESNFGHSSEAPPRTDTMDSMPSQAWNADEDLEPPYLPVHYSLSESAVLDSIKAQPCRSHSAPGCVIPQDPMDPPALPPKPYHPRLPALEHDEGVLLREETERPRGLHRKAPLPPGSAKEEQARMAWEHGRGEQ
+>DECOY_sp|Q8IZD9|DOCK3_HUMAN Dedicator of cytokinesis protein 3 OS=Homo sapiens OX=9606 GN=DOCK3 PE=1 SV=1
+QEGRGHEWAMRAQEEKASGPPLPAKRHLGRPRETEERLLVGEDHELAPLRPHYPKPPLAPPDMPDQPIVCGPASHSRCPQAKISDLVASESLSYHVPLYPPELDEDANWAQSPMSDMTDTRPPAESSHGFNSESLSSVGSSASGSLTSNSGDLGSQPSTPSAPIPHLPSKRLTRAPLAPPTDGLPHHFADFHLSYHGKEAVSLNPDSCPKCAGVVQQFLARQFNPPQGNELIAAPYMASSPRDRYTPLLLMMERAHRYKDPLSPSGRASSPASTIMQSPASHTSSLSSSSPSAQSSSLVSVNTVSGQYRPNPYALQMHHYLDEPADGMSGDGLMVMNGAESSAHSSLSSSSHRGTGMLNMPSHRHTMKISEPSMPSHSALVNGRPTSTGSCAPSLKDLGPFEHYLSARMMQFQDILKKHLPRMEPHVFKEHVALGVGLVHVQEQMLEKLQTIKEADGPHKNIYDKDFFAEQYRAIGGNVAADIVGNLCMSLLNINGHVQKHQYQSILSRLEQNKNEVVQIANELPSVEVLERREVEFWRSIGPLSHTLTLTTREIWLSKFENEKDKPGKHFPRDYRFKRVNNVRYFSKVRDPVRDMQLVDVYDPIPTVAYIQLYQADCQLIADDPHNPHQMAVAQPFESLMRQQFAELREYDHGRCVYEKNRLFFPFKRGYFGVRFFEPELRQQEMINDYYSAEMKRIWSLSQYDYLSEYQCALERCLPIGFEWSKGKNFYHIIKRCLGEKRQWETQSPYHLFERLPRDEWQLLECYLLLTFAAETYNEAQLHMDCLKHIYRIYMEEKNIESKYFNMLNVTCGIKKNETEEGKMCDRYDLLREMLRTVSTVFSIGTERWTEQEVKELLSPYPGFLQTLLSFLERYSEDGKGESVMSDLKDILEAEVQKFNGNKRQEWDMMDHFIPIMINRVEPQPVLTVGLFPGIMGPIFHIKHEGLNQWMSFLEYAMMVRMDGYKDLIKKRKASTIIELQLSPQNIFLVALSFYSNWVKFDFDTETFNKHLASSLYQVTTVIINSTLLRMVMWDRPFVSMKMLNRFVCFIKLLFEKLEDKSQFNDLLHQFHTDCMQRLLSLLCSVYEGTIEATCQPCRQGRVAEQAHSKSMITLLTQLLVDLLSEVMMEVEEMVDAELSSTKVISFISGLIGSCILLEKQQRLHLHIHHLVVPLLIRRSESFSFLRSDVTRAISQLKVVDMSQGIHVTSPMSGLTGRVFEAVEQVTFMQLLEDFITPFSNLLAAQTFLLTESNRSDLSLVFRISQFLEQISSRFQEEEMGCTARSYLIRSQVIFKFLYELARMAEQIHDQRILEASCDMYWKLCRILEKYALAGAFHKQIYTDMVPRFHFYKIDRLLNIIFVLSQFVLLGYKETNDDLIVFLTDLIDQLFKVIEEGSVHRLRGLVDMIRDPFAKWKLLALLDVNQTLKTSSLQTSIFFSEKTSRQFILSSPINPCGNYDEKCCPLGLYLAHNNFTSNEDCKYVYLEHIDDSLTTGDDRMLTSFAFGFLKKEGKDKTSCHRFEFRLHSGRFRDIPIPLKIIEGWRPSNSHYLVFSHYSSRNPEGSGLSICDKLIEGDAYLVYMTVEINKQVSKGGREFDGKELTLYLDNRIDGPMIVDPFGLKRTIALGRNFIMPNERRIQEMDGRLLQLSIILGHSASPASYKASSKRIINEHIQSWESENNCTYVKLVFDKEEKVETLSQLVDLISLVACGYPRRYHLHPPGKKSDNLLMRGIRIVHAVIYLDRKMDKSSLDTFLACMREIKEPNRPGGNKNLRVLFRESIQKGERMDYLSFFVDTDEGITNYTFSKLSLFFHHPVPMRCTEGHRPRMTDVQSTSQQVSQRSSLHMKYLDSVSIQDSDVVEFDKRPVLDLGLHENGWDLRVTIHRKVERVQDQTLHGSLLQRRLDILENMVHRLKYFLDVKHKVYLQKWLSAWEQLTATVETVISDELPVVTEYQGRNSVIAKKLHIYNAPFIGKVNPKKTSVGRYWGECKELIQVTEGIELVLGQPVSGRFSCIVVGYKEEETPTWM
+>sp|Q1HG44|DOXA2_HUMAN Dual oxidase maturation factor 2 OS=Homo sapiens OX=9606 GN=DUOXA2 PE=1 SV=2
+MTLWNGVLPFYPQPRHAAGFSVPLLIVILVFLALAASFLLILPGIRGHSRWFWLVRVLLSLFIGAEIVAVHFSAEWFVGTVNTNTSYKAFSAARVTARVRLLVGLEGINITLTGTPVHQLNETIDYNEQFTWRLKENYAAEYANALEKGLPDPVLYLAEKFTPSSPCGLYHQYHLAGHYASATLWVAFCFWLLSNVLLSTPAPLYGGLALLTTGAFALFGVFALASISSVPLCPLRLGSSALTTQYGAAFWVTLATGVLCLFLGGAVVSLQYVRPSALRTLLDQSAKDCSQERGGSPLILGDPLHKQAALPDLKCITTNL
+>DECOY_sp|Q1HG44|DOXA2_HUMAN Dual oxidase maturation factor 2 OS=Homo sapiens OX=9606 GN=DUOXA2 PE=1 SV=2
+LNTTICKLDPLAAQKHLPDGLILPSGGREQSCDKASQDLLTRLASPRVYQLSVVAGGLFLCLVGTALTVWFAAGYQTTLASSGLRLPCLPVSSISALAFVGFLAFAGTTLLALGGYLPAPTSLLVNSLLWFCFAVWLTASAYHGALHYQHYLGCPSSPTFKEALYLVPDPLGKELANAYEAAYNEKLRWTFQENYDITENLQHVPTGTLTINIGELGVLLRVRATVRAASFAKYSTNTNVTGVFWEASFHVAVIEAGIFLSLLVRVLWFWRSHGRIGPLILLFSAALALFVLIVILLPVSFGAAHRPQPYFPLVGNWLTM
+>sp|P04440|DPB1_HUMAN HLA class II histocompatibility antigen, DP beta 1 chain OS=Homo sapiens OX=9606 GN=HLA-DPB1 PE=1 SV=1
+MMVLQVSAAPRTVALTALLMVLLTSVVQGRATPENYLFQGRQECYAFNGTQRFLERYIYNREEFARFDSDVGEFRAVTELGRPAAEYWNSQKDILEEKRAVPDRMCRHNYELGGPMTLQRRVQPRVNVSPSKKGPLQHHNLLVCHVTDFYPGSIQVRWFLNGQEETAGVVSTNLIRNGDWTFQILVMLEMTPQQGDVYTCQVEHTSLDSPVTVEWKAQSDSARSKTLTGAGGFVLGLIICGVGIFMHRRSKKVQRGSA
+>DECOY_sp|P04440|DPB1_HUMAN HLA class II histocompatibility antigen, DP beta 1 chain OS=Homo sapiens OX=9606 GN=HLA-DPB1 PE=1 SV=1
+ASGRQVKKSRRHMFIGVGCIILGLVFGGAGTLTKSRASDSQAKWEVTVPSDLSTHEVQCTYVDGQQPTMELMVLIQFTWDGNRILNTSVVGATEEQGNLFWRVQISGPYFDTVHCVLLNHHQLPGKKSPSVNVRPQVRRQLTMPGGLEYNHRCMRDPVARKEELIDKQSNWYEAAPRGLETVARFEGVDSDFRAFEERNYIYRELFRQTGNFAYCEQRGQFLYNEPTARGQVVSTLLVMLLATLAVTRPAASVQLVMM
+>sp|O60762|DPM1_HUMAN Dolichol-phosphate mannosyltransferase subunit 1 OS=Homo sapiens OX=9606 GN=DPM1 PE=1 SV=1
+MASLEVSRSPRRSRRELEVRSPRQNKYSVLLPTYNERENLPLIVWLLVKSFSESGINYEIIIIDDGSPDGTRDVAEQLEKIYGSDRILLRPREKKLGLGTAYIHGMKHATGNYIIIMDADLSHHPKFIPEFIRKQKEGNFDIVSGTRYKGNGGVYGWDLKRKIISRGANFLTQILLRPGASDLTGSFRLYRKEVLEKLIEKCVSKGYVFQMEMIVRARQLNYTIGEVPISFVDRVYGESKLGGNEIVSFLKGLLTLFATT
+>DECOY_sp|O60762|DPM1_HUMAN Dolichol-phosphate mannosyltransferase subunit 1 OS=Homo sapiens OX=9606 GN=DPM1 PE=1 SV=1
+TTAFLTLLGKLFSVIENGGLKSEGYVRDVFSIPVEGITYNLQRARVIMEMQFVYGKSVCKEILKELVEKRYLRFSGTLDSAGPRLLIQTLFNAGRSIIKRKLDWGYVGGNGKYRTGSVIDFNGEKQKRIFEPIFKPHHSLDADMIIIYNGTAHKMGHIYATGLGLKKERPRLLIRDSGYIKELQEAVDRTGDPSGDDIIIIEYNIGSESFSKVLLWVILPLNERENYTPLLVSYKNQRPSRVELERRSRRPSRSVELSAM
+>sp|P49005|DPOD2_HUMAN DNA polymerase delta subunit 2 OS=Homo sapiens OX=9606 GN=POLD2 PE=1 SV=1
+MFSEQAAQRAHTLLSPPSANNATFARVPVATYTNSSQPFRLGERSFSRQYAHIYATRLIQMRPFLENRAQQHWGSGVGVKKLCELQPEEKCCVVGTLFKAMPLQPSILREVSEEHNLLPQPPRSKYIHPDDELVLEDELQRIKLKGTIDVSKLVTGTVLAVFGSVRDDGKFLVEDYCFADLAPQKPAPPLDTDRFVLLVSGLGLGGGGGESLLGTQLLVDVVTGQLGDEGEQCSAAHVSRVILAGNLLSHSTQSRDSINKAKYLTKKTQAASVEAVKMLDEILLQLSASVPVDVMPGEFDPTNYTLPQQPLHPCMFPLATAYSTLQLVTNPYQATIDGVRFLGTSGQNVSDIFRYSSMEDHLEILEWTLRVRHISPTAPDTLGCYPFYKTDPFIFPECPHVYFCGNTPSFGSKIIRGPEDQTVLLVTVPDFSATQTACLVNLRSLACQPISFSGFGAEDDDLGGLGLGP
+>DECOY_sp|P49005|DPOD2_HUMAN DNA polymerase delta subunit 2 OS=Homo sapiens OX=9606 GN=POLD2 PE=1 SV=1
+PGLGLGGLDDDEAGFGSFSIPQCALSRLNVLCATQTASFDPVTVLLVTQDEPGRIIKSGFSPTNGCFYVHPCEPFIFPDTKYFPYCGLTDPATPSIHRVRLTWELIELHDEMSSYRFIDSVNQGSTGLFRVGDITAQYPNTVLQLTSYATALPFMCPHLPQQPLTYNTPDFEGPMVDVPVSASLQLLIEDLMKVAEVSAAQTKKTLYKAKNISDRSQTSHSLLNGALIVRSVHAASCQEGEDGLQGTVVDVLLQTGLLSEGGGGGLGLGSVLLVFRDTDLPPAPKQPALDAFCYDEVLFKGDDRVSGFVALVTGTVLKSVDITGKLKIRQLEDELVLEDDPHIYKSRPPQPLLNHEESVERLISPQLPMAKFLTGVVCCKEEPQLECLKKVGVGSGWHQQARNELFPRMQILRTAYIHAYQRSFSREGLRFPQSSNTYTAVPVRAFTANNASPPSLLTHARQAAQESFM
+>sp|Q15054|DPOD3_HUMAN DNA polymerase delta subunit 3 OS=Homo sapiens OX=9606 GN=POLD3 PE=1 SV=2
+MADQLYLENIDEFVTDQNKIVTYKWLSYTLGVHVNQAKQMLYDYVERKRKENSGAQLHVTYLVSGSLIQNGHSCHKVAVVREDKLEAVKSKLAVTASIHVYSIQKAMLKDSGPLFNTDYDILKSNLQNCSKFSAIQCAAAVPRAPAESSSSSKKFEQSHLHMSSETQANNELTTNGHGPPASKQVSQQPKGIMGMFASKAAAKTQETNKETKTEAKEVTNASAAGNKAPGKGNMMSNFFGKAAMNKFKVNLDSEQAVKEEKIVEQPTVSVTEPKLATPAGLKKSSKKAEPVKVLQKEKKRGKRVALSDDETKETENMRKKRRRIKLPESDSSEDEVFPDSPGAYEAESPSPPPPPSPPLEPVPKTEPEPPSVKSSSGENKRKRKRVLKSKTYLDGEGCIVTEKVYESESCTDSEEELNMKTSSVHRPPAMTVKKEPREERKGPKKGTAALGKANRQVSITGFFQRK
+>DECOY_sp|Q15054|DPOD3_HUMAN DNA polymerase delta subunit 3 OS=Homo sapiens OX=9606 GN=POLD3 PE=1 SV=2
+KRQFFGTISVQRNAKGLAATGKKPGKREERPEKKVTMAPPRHVSSTKMNLEEESDTCSESEYVKETVICGEGDLYTKSKLVRKRKRKNEGSSSKVSPPEPETKPVPELPPSPPPPPSPSEAEYAGPSDPFVEDESSDSEPLKIRRRKKRMNETEKTEDDSLAVRKGRKKEKQLVKVPEAKKSSKKLGAPTALKPETVSVTPQEVIKEEKVAQESDLNVKFKNMAAKGFFNSMMNGKGPAKNGAASANTVEKAETKTEKNTEQTKAAAKSAFMGMIGKPQQSVQKSAPPGHGNTTLENNAQTESSMHLHSQEFKKSSSSSEAPARPVAAACQIASFKSCNQLNSKLIDYDTNFLPGSDKLMAKQISYVHISATVALKSKVAELKDERVVAVKHCSHGNQILSGSVLYTVHLQAGSNEKRKREVYDYLMQKAQNVHVGLTYSLWKYTVIKNQDTVFEDINELYLQDAM
+>sp|Q07864|DPOE1_HUMAN DNA polymerase epsilon catalytic subunit A OS=Homo sapiens OX=9606 GN=POLE PE=1 SV=5
+MSLRSGGRRRADPGADGEASRDDGATSSVSALKRLERSQWTDKMDLRFGFERLKEPGEKTGWLINMHPTEILDEDKRLGSAVDYYFIQDDGSRFKVALPYKPYFYIATRKGCEREVSSFLSKKFQGKIAKVETVPKEDLDLPNHLVGLKRNYIRLSFHTVEDLVKVRKEISPAVKKNREQDHASDAYTALLSSVLQRGGVITDEEETSKKIADQLDNIVDMREYDVPYHIRLSIDLKIHVAHWYNVRYRGNAFPVEITRRDDLVERPDPVVLAFDIETTKLPLKFPDAETDQIMMISYMIDGQGYLITNREIVSEDIEDFEFTPKPEYEGPFCVFNEPDEAHLIQRWFEHVQETKPTIMVTYNGDFFDWPFVEARAAVHGLSMQQEIGFQKDSQGEYKAPQCIHMDCLRWVKRDSYLPVGSHNLKAAAKAKLGYDPVELDPEDMCRMATEQPQTLATYSVSDAVATYYLYMKYVHPFIFALCTIIPMEPDEVLRKGSGTLCEALLMVQAFHANIIFPNKQEQEFNKLTDDGHVLDSETYVGGHVEALESGVFRSDIPCRFRMNPAAFDFLLQRVEKTLRHALEEEEKVPVEQVTNFEEVCDEIKSKLASLKDVPSRIECPLIYHLDVGAMYPNIILTNRLQPSAMVDEATCAACDFNKPGANCQRKMAWQWRGEFMPASRSEYHRIQHQLESEKFPPLFPEGPARAFHELSREEQAKYEKRRLADYCRKAYKKIHITKVEERLTTICQRENSFYVDTVRAFRDRRYEFKGLHKVWKKKLSAAVEVGDAAEVKRCKNMEVLYDSLQLAHKCILNSFYGYVMRKGARWYSMEMAGIVCFTGANIITQARELIEQIGRPLELDTDGIWCVLPNSFPENFVFKTTNVKKPKVTISYPGAMLNIMVKEGFTNDQYQELAEPSSLTYVTRSENSIFFEVDGPYLAMILPASKEEGKKLKKRYAVFNEDGSLAELKGFEVKRRGELQLIKIFQSSVFEAFLKGSTLEEVYGSVAKVADYWLDVLYSKAANMPDSELFELISENRSMSRKLEDYGEQKSTSISTAKRLAEFLGDQMVKDAGLSCRYIISRKPEGSPVTERAIPLAIFQAEPTVRKHFLRKWLKSSSLQDFDIRAILDWDYYIERLGSAIQKIITIPAALQQVKNPVPRVKHPDWLHKKLLEKNDVYKQKKISELFTLEGRRQVTMAEASEDSPRPSAPDMEDFGLVKLPHPAAPVTVKRKRVLWESQEESQDLTPTVPWQEILGQPPALGTSQEEWLVWLRFHKKKWQLQARQRLARRKRQRLESAEGVLRPGAIRDGPATGLGSFLRRTARSILDLPWQIVQISETSQAGLFRLWALVGSDLHCIRLSIPRVFYVNQRVAKAEEGASYRKVNRVLPRSNMVYNLYEYSVPEDMYQEHINEINAELSAPDIEGVYETQVPLLFRALVHLGCVCVVNKQLVRHLSGWEAETFALEHLEMRSLAQFSYLEPGSIRHIYLYHHAQAHKALFGIFIPSQRRASVFVLDTVRSNQMPSLGALYSAEHGLLLEKVGPELLPPPKHTFEVRAETDLKTICRAIQRFLLAYKEERRGPTLIAVQSSWELKRLASEIPVLEEFPLVPICVADKINYGVLDWQRHGARRMIRHYLNLDTCLSQAFEMSRYFHIPIGNLPEDISTFGSDLFFARHLQRHNHLLWLSPTARPDLGGKEADDNCLVMEFDDQATVEINSSGCYSTVCVELDLQNLAVNTILQSHHVNDMEGADSMGISFDVIQQASLEDMITGGQAASAPASYDETALCSNTFRILKSMVVGWVKEITQYHNIYADNQVMHFYRWLRSPSSLLHDPALHRTLHNMMKKLFLQLIAEFKRLGSSVIYANFNRIILCTKKRRVEDAIAYVEYITSSIHSKETFHSLTISFSRCWEFLLWMDPSNYGGIKGKVSSRIHCGLQDSQKAGGAEDEQENEDDEEERDGEEEEEAEESNVEDLLENNWNILQFLPQAASCQNYFLMIVSAYIVAVYHCMKDGLRRSAPGSTPVRRRGASQLSQEAEGAVGALPGMITFSQDYVANELTQSFFTITQKIQKKVTGSRNSTELSEMFPVLPGSHLLLNNPALEFIKYVCKVLSLDTNITNQVNKLNRDLLRLVDVGEFSEEAQFRDPCRSYVLPEVICRSCNFCRDLDLCKDSSFSEDGAVLPQWLCSNCQAPYDSSAIEMTLVEVLQKKLMAFTLQDLVCLKCRGVKETSMPVYCSCAGDFALTIHTQVFMEQIGIFRNIAQHYGMSYLLETLEWLLQKNPQLGH
+>DECOY_sp|Q07864|DPOE1_HUMAN DNA polymerase epsilon catalytic subunit A OS=Homo sapiens OX=9606 GN=POLE PE=1 SV=5
+HGLQPNKQLLWELTELLYSMGYHQAINRFIGIQEMFVQTHITLAFDGACSCYVPMSTEKVGRCKLCVLDQLTFAMLKKQLVEVLTMEIASSDYPAQCNSCLWQPLVAGDESFSSDKCLDLDRCFNCSRCIVEPLVYSRCPDRFQAEESFEGVDVLRLLDRNLKNVQNTINTDLSLVKCVYKIFELAPNNLLLHSGPLVPFMESLETSNRSGTVKKQIKQTITFFSQTLENAVYDQSFTIMGPLAGVAGEAEQSLQSAGRRRVPTSGPASRRLGDKMCHYVAVIYASVIMLFYNQCSAAQPLFQLINWNNELLDEVNSEEAEEEEEGDREEEDDENEQEDEAGGAKQSDQLGCHIRSSVKGKIGGYNSPDMWLLFEWCRSFSITLSHFTEKSHISSTIYEVYAIADEVRRKKTCLIIRNFNAYIVSSGLRKFEAILQLFLKKMMNHLTRHLAPDHLLSSPSRLWRYFHMVQNDAYINHYQTIEKVWGVVMSKLIRFTNSCLATEDYSAPASAAQGGTIMDELSAQQIVDFSIGMSDAGEMDNVHHSQLITNVALNQLDLEVCVTSYCGSSNIEVTAQDDFEMVLCNDDAEKGGLDPRATPSLWLLHNHRQLHRAFFLDSGFTSIDEPLNGIPIHFYRSMEFAQSLCTDLNLYHRIMRRAGHRQWDLVGYNIKDAVCIPVLPFEELVPIESALRKLEWSSQVAILTPGRREEKYALLFRQIARCITKLDTEARVEFTHKPPPLLEPGVKELLLGHEASYLAGLSPMQNSRVTDLVFVSARRQSPIFIGFLAKHAQAHHYLYIHRISGPELYSFQALSRMELHELAFTEAEWGSLHRVLQKNVVCVCGLHVLARFLLPVQTEYVGEIDPASLEANIENIHEQYMDEPVSYEYLNYVMNSRPLVRNVKRYSAGEEAKAVRQNVYFVRPISLRICHLDSGVLAWLRFLGAQSTESIQVIQWPLDLISRATRRLFSGLGTAPGDRIAGPRLVGEASELRQRKRRALRQRAQLQWKKKHFRLWVLWEEQSTGLAPPQGLIEQWPVTPTLDQSEEQSEWLVRKRKVTVPAAPHPLKVLGFDEMDPASPRPSDESAEAMTVQRRGELTFLESIKKQKYVDNKELLKKHLWDPHKVRPVPNKVQQLAAPITIIKQIASGLREIYYDWDLIARIDFDQLSSSKLWKRLFHKRVTPEAQFIALPIARETVPSGEPKRSIIYRCSLGADKVMQDGLFEALRKATSISTSKQEGYDELKRSMSRNESILEFLESDPMNAAKSYLVDLWYDAVKAVSGYVEELTSGKLFAEFVSSQFIKILQLEGRRKVEFGKLEALSGDENFVAYRKKLKKGEEKSAPLIMALYPGDVEFFISNESRTVYTLSSPEALEQYQDNTFGEKVMINLMAGPYSITVKPKKVNTTKFVFNEPFSNPLVCWIGDTDLELPRGIQEILERAQTIINAGTFCVIGAMEMSYWRAGKRMVYGYFSNLICKHALQLSDYLVEMNKCRKVEAADGVEVAASLKKKWVKHLGKFEYRRDRFARVTDVYFSNERQCITTLREEVKTIHIKKYAKRCYDALRRKEYKAQEERSLEHFARAPGEPFLPPFKESELQHQIRHYESRSAPMFEGRWQWAMKRQCNAGPKNFDCAACTAEDVMASPQLRNTLIINPYMAGVDLHYILPCEIRSPVDKLSALKSKIEDCVEEFNTVQEVPVKEEEELAHRLTKEVRQLLFDFAAPNMRFRCPIDSRFVGSELAEVHGGVYTESDLVHGDDTLKNFEQEQKNPFIINAHFAQVMLLAECLTGSGKRLVEDPEMPIITCLAFIFPHVYKMYLYYTAVADSVSYTALTQPQETAMRCMDEPDLEVPDYGLKAKAAAKLNHSGVPLYSDRKVWRLCDMHICQPAKYEGQSDKQFGIEQQMSLGHVAARAEVFPWDFFDGNYTVMITPKTEQVHEFWRQILHAEDPENFVCFPGEYEPKPTFEFDEIDESVIERNTILYGQGDIMYSIMMIQDTEADPFKLPLKTTEIDFALVVPDPREVLDDRRTIEVPFANGRYRVNYWHAVHIKLDISLRIHYPVDYERMDVINDLQDAIKKSTEEEDTIVGGRQLVSSLLATYADSAHDQERNKKVAPSIEKRVKVLDEVTHFSLRIYNRKLGVLHNPLDLDEKPVTEVKAIKGQFKKSLFSSVERECGKRTAIYFYPKYPLAVKFRSGDDQIFYYDVASGLRKDEDLIETPHMNILWGTKEGPEKLREFGFRLDMKDTWQSRELRKLASVSSTAGDDRSAEGDAGPDARRRGGSRLSM
+>sp|P56282|DPOE2_HUMAN DNA polymerase epsilon subunit 2 OS=Homo sapiens OX=9606 GN=POLE2 PE=1 SV=2
+MAPERLRSRALSAFKLRGLLLRGEAIKYLTEALQSISELELEDKLEKIINAVEKQPLSSNMIERSVVEAAVQECSQSVDETIEHVFNIIGAFDIPRFVYNSERKKFLPLLMTNHPAPNLFGTPRDKAEMFRERYTILHQRTHRHELFTPPVIGSHPDESGSKFQLKTIETLLGSTTKIGDAIVLGMITQLKEGKFFLEDPTGTVQLDLSKAQFHSGLYTEACFVLAEGWFEDQVFHVNAFGFPPTEPSSTTRAYYGNINFFGGPSNTSVKTSAKLKQLEEENKDAMFVFLSDVWLDQVEVLEKLRIMFAGYSPAPPTCFILCGNFSSAPYGKNQVQALKDSLKTLADIICEYPDIHQSSRFVFVPGPEDPGFGSILPRPPLAESITNEFRQRVPFSVFTTNPCRIQYCTQEITVFREDLVNKMCRNCVRFPSSNLAIPNHFVKTILSQGHLTPLPLYVCPVYWAYDYALRVYPVPDLLVIADKYDPFTTTNTECLCINPGSFPRSGFSFKVFYPSNKTVEDSKLQGF
+>DECOY_sp|P56282|DPOE2_HUMAN DNA polymerase epsilon subunit 2 OS=Homo sapiens OX=9606 GN=POLE2 PE=1 SV=2
+FGQLKSDEVTKNSPYFVKFSFGSRPFSGPNICLCETNTTTFPDYKDAIVLLDPVPYVRLAYDYAWYVPCVYLPLPTLHGQSLITKVFHNPIALNSSPFRVCNRCMKNVLDERFVTIEQTCYQIRCPNTTFVSFPVRQRFENTISEALPPRPLISGFGPDEPGPVFVFRSSQHIDPYECIIDALTKLSDKLAQVQNKGYPASSFNGCLIFCTPPAPSYGAFMIRLKELVEVQDLWVDSLFVFMADKNEEELQKLKASTKVSTNSPGGFFNINGYYARTTSSPETPPFGFANVHFVQDEFWGEALVFCAETYLGSHFQAKSLDLQVTGTPDELFFKGEKLQTIMGLVIADGIKTTSGLLTEITKLQFKSGSEDPHSGIVPPTFLEHRHTRQHLITYRERFMEAKDRPTGFLNPAPHNTMLLPLFKKRESNYVFRPIDFAGIINFVHEITEDVSQSCEQVAAEVVSREIMNSSLPQKEVANIIKELKDELELESISQLAETLYKIAEGRLLLGRLKFASLARSRLREPAM
+>sp|Q9NRF9|DPOE3_HUMAN DNA polymerase epsilon subunit 3 OS=Homo sapiens OX=9606 GN=POLE3 PE=1 SV=1
+MAERPEDLNLPNAVITRIIKEALPDGVNISKEARSAISRAASVFVLYATSCANNFAMKGKRKTLNASDVLSAMEEMEFQRFVTPLKEALEAYRREQKGKKEASEQKKKDKDKKTDSEEQDKSRDEDNDEDEERLEEEEQNEEEEVDN
+>DECOY_sp|Q9NRF9|DPOE3_HUMAN DNA polymerase epsilon subunit 3 OS=Homo sapiens OX=9606 GN=POLE3 PE=1 SV=1
+NDVEEEENQEEEELREEDEDNDEDRSKDQEESDTKKDKDKKKQESAEKKGKQERRYAELAEKLPTVFRQFEMEEMASLVDSANLTKRKGKMAFNNACSTAYLVFVSAARSIASRAEKSINVGDPLAEKIIRTIVANPLNLDEPREAM
+>sp|P54098|DPOG1_HUMAN DNA polymerase subunit gamma-1 OS=Homo sapiens OX=9606 GN=POLG PE=1 SV=1
+MSRLLWRKVAGATVGPGPVPAPGRWVSSSVPASDPSDGQRRRQQQQQQQQQQQQQPQQPQVLSSEGGQLRHNPLDIQMLSRGLHEQIFGQGGEMPGEAAVRRSVEHLQKHGLWGQPAVPLPDVELRLPPLYGDNLDQHFRLLAQKQSLPYLEAANLLLQAQLPPKPPAWAWAEGWTRYGPEGEAVPVAIPEERALVFDVEVCLAEGTCPTLAVAISPSAWYSWCSQRLVEERYSWTSQLSPADLIPLEVPTGASSPTQRDWQEQLVVGHNVSFDRAHIREQYLIQGSRMRFLDTMSMHMAISGLSSFQRSLWIAAKQGKHKVQPPTKQGQKSQRKARRGPAISSWDWLDISSVNSLAEVHRLYVGGPPLEKEPRELFVKGTMKDIRENFQDLMQYCAQDVWATHEVFQQQLPLFLERCPHPVTLAGMLEMGVSYLPVNQNWERYLAEAQGTYEELQREMKKSLMDLANDACQLLSGERYKEDPWLWDLEWDLQEFKQKKAKKVKKEPATASKLPIEGAGAPGDPMDQEDLGPCSEEEEFQQDVMARACLQKLKGTTELLPKRPQHLPGHPGWYRKLCPRLDDPAWTPGPSLLSLQMRVTPKLMALTWDGFPLHYSERHGWGYLVPGRRDNLAKLPTGTTLESAGVVCPYRAIESLYRKHCLEQGKQQLMPQEAGLAEEFLLTDNSAIWQTVEELDYLEVEAEAKMENLRAAVPGQPLALTARGGPKDTQPSYHHGNGPYNDVDIPGCWFFKLPHKDGNSCNVGSPFAKDFLPKMEDGTLQAGPGGASGPRALEINKMISFWRNAHKRISSQMVVWLPRSALPRAVIRHPDYDEEGLYGAILPQVVTAGTITRRAVEPTWLTASNARPDRVGSELKAMVQAPPGYTLVGADVDSQELWIAAVLGDAHFAGMHGCTAFGWMTLQGRKSRGTDLHSKTATTVGISREHAKIFNYGRIYGAGQPFAERLLMQFNHRLTQQEAAEKAQQMYAATKGLRWYRLSDEGEWLVRELNLPVDRTEGGWISLQDLRKVQRETARKSQWKKWEVVAERAWKGGTESEMFNKLESIATSDIPRTPVLGCCISRALEPSAVQEEFMTSRVNWVVQSSAVDYLHLMLVAMKWLFEEFAIDGRFCISIHDEVRYLVREEDRYRAALALQITNLLTRCMFAYKLGLNDLPQSVAFFSAVDIDRCLRKEVTMDCKTPSNPTGMERRYGIPQGEALDIYQIIELTKGSLEKRSQPGP
+>DECOY_sp|P54098|DPOG1_HUMAN DNA polymerase subunit gamma-1 OS=Homo sapiens OX=9606 GN=POLG PE=1 SV=1
+PGPQSRKELSGKTLEIIQYIDLAEGQPIGYRREMGTPNSPTKCDMTVEKRLCRDIDVASFFAVSQPLDNLGLKYAFMCRTLLNTIQLALAARYRDEERVLYRVEDHISICFRGDIAFEEFLWKMAVLMLHLYDVASSQVVWNVRSTMFEEQVASPELARSICCGLVPTRPIDSTAISELKNFMESETGGKWAREAVVEWKKWQSKRATERQVKRLDQLSIWGGETRDVPLNLERVLWEGEDSLRYWRLGKTAAYMQQAKEAAEQQTLRHNFQMLLREAFPQGAGYIRGYNFIKAHERSIGVTTATKSHLDTGRSKRGQLTMWGFATCGHMGAFHADGLVAAIWLEQSDVDAGVLTYGPPAQVMAKLESGVRDPRANSATLWTPEVARRTITGATVVQPLIAGYLGEEDYDPHRIVARPLASRPLWVVMQSSIRKHANRWFSIMKNIELARPGSAGGPGAQLTGDEMKPLFDKAFPSGVNCSNGDKHPLKFFWCGPIDVDNYPGNGHHYSPQTDKPGGRATLALPQGPVAARLNEMKAEAEVELYDLEEVTQWIASNDTLLFEEALGAEQPMLQQKGQELCHKRYLSEIARYPCVVGASELTTGTPLKALNDRRGPVLYGWGHRESYHLPFGDWTLAMLKPTVRMQLSLLSPGPTWAPDDLRPCLKRYWGPHGPLHQPRKPLLETTGKLKQLCARAMVDQQFEEEESCPGLDEQDMPDGPAGAGEIPLKSATAPEKKVKKAKKQKFEQLDWELDWLWPDEKYREGSLLQCADNALDMLSKKMERQLEEYTGQAEALYREWNQNVPLYSVGMELMGALTVPHPCRELFLPLQQQFVEHTAWVDQACYQMLDQFNERIDKMTGKVFLERPEKELPPGGVYLRHVEALSNVSSIDLWDWSSIAPGRRAKRQSKQGQKTPPQVKHKGQKAAIWLSRQFSSLGSIAMHMSMTDLFRMRSGQILYQERIHARDFSVNHGVVLQEQWDRQTPSSAGTPVELPILDAPSLQSTWSYREEVLRQSCWSYWASPSIAVALTPCTGEALCVEVDFVLAREEPIAVPVAEGEPGYRTWGEAWAWAPPKPPLQAQLLLNAAELYPLSQKQALLRFHQDLNDGYLPPLRLEVDPLPVAPQGWLGHKQLHEVSRRVAAEGPMEGGQGFIQEHLGRSLMQIDLPNHRLQGGESSLVQPQQPQQQQQQQQQQQQQRRRQGDSPDSAPVSSSVWRGPAPVPGPGVTAGAVKRWLLRSM
+>sp|Q9NP87|DPOLM_HUMAN DNA-directed DNA/RNA polymerase mu OS=Homo sapiens OX=9606 GN=POLM PE=1 SV=1
+MLPKRRRARVGSPSGDAASSTPPSTRFPGVAIYLVEPRMGRSRRAFLTGLARSKGFRVLDACSSEATHVVMEETSAEEAVSWQERRMAAAPPGCTPPALLDISWLTESLGAGQPVPVECRHRLEVAGPRKGPLSPAWMPAYACQRPTPLTHHNTGLSEALEILAEAAGFEGSEGRLLTFCRAASVLKALPSPVTTLSQLQGLPHFGEHSSRVVQELLEHGVCEEVERVRRSERYQTMKLFTQIFGVGVKTADRWYREGLRTLDDLREQPQKLTQQQKAGLQHHQDLSTPVLRSDVDALQQVVEEAVGQALPGATVTLTGGFRRGKLQGHDVDFLITHPKEGQEAGLLPRVMCRLQDQGLILYHQHQHSCCESPTRLAQQSHMDAFERSFCIFRLPQPPGAAVGGSTRPCPSWKAVRVDLVVAPVSQFPFALLGWTGSKLFQRELRRFSRKEKGLWLNSHGLFDPEQKTFFQAASEEDIFRHLGLEYLPPEQRNA
+>DECOY_sp|Q9NP87|DPOLM_HUMAN DNA-directed DNA/RNA polymerase mu OS=Homo sapiens OX=9606 GN=POLM PE=1 SV=1
+ANRQEPPLYELGLHRFIDEESAAQFFTKQEPDFLGHSNLWLGKEKRSFRRLERQFLKSGTWGLLAFPFQSVPAVVLDVRVAKWSPCPRTSGGVAAGPPQPLRFICFSREFADMHSQQALRTPSECCSHQHQHYLILGQDQLRCMVRPLLGAEQGEKPHTILFDVDHGQLKGRRFGGTLTVTAGPLAQGVAEEVVQQLADVDSRLVPTSLDQHHQLGAKQQQTLKQPQERLDDLTRLGERYWRDATKVGVGFIQTFLKMTQYRESRRVREVEECVGHELLEQVVRSSHEGFHPLGQLQSLTTVPSPLAKLVSAARCFTLLRGESGEFGAAEALIELAESLGTNHHTLPTPRQCAYAPMWAPSLPGKRPGAVELRHRCEVPVPQGAGLSETLWSIDLLAPPTCGPPAAAMRREQWSVAEEASTEEMVVHTAESSCADLVRFGKSRALGTLFARRSRGMRPEVLYIAVGPFRTSPPTSSAADGSPSGVRARRRKPLM
+>sp|Q7Z5Q5|DPOLN_HUMAN DNA polymerase nu OS=Homo sapiens OX=9606 GN=POLN PE=1 SV=2
+MENYEALVGFDLCNTPLSSVAQKIMSAMHSGDLVDSKTWGKSTETMEVINKSSVKYSVQLEDRKTQSPEKKDLKSLRSQTSRGSAKLSPQSFSVRLTDQLSADQKQKSISSLTLSSCLIPQYNQEASVLQKKGHKRKHFLMENINNENKGSINLKRKHITYNNLSEKTSKQMALEEDTDDAEGYLNSGNSGALKKHFCDIRHLDDWAKSQLIEMLKQAAALVITVMYTDGSTQLGADQTPVSSVRGIVVLVKRQAEGGHGCPDAPACGPVLEGFVSDDPCIYIQIEHSAIWDQEQEAHQQFARNVLFQTMKCKCPVICFNAKDFVRIVLQFFGNDGSWKHVADFIGLDPRIAAWLIDPSDATPSFEDLVEKYCEKSITVKVNSTYGNSSRNIVNQNVRENLKTLYRLTMDLCSKLKDYGLWQLFRTLELPLIPILAVMESHAIQVNKEEMEKTSALLGARLKELEQEAHFVAGERFLITSNNQLREILFGKLKLHLLSQRNSLPRTGLQKYPSTSEAVLNALRDLHPLPKIILEYRQVHKIKSTFVDGLLACMKKGSISSTWNQTGTVTGRLSAKHPNIQGISKHPIQITTPKNFKGKEDKILTISPRAMFVSSKGHTFLAADFSQIELRILTHLSGDPELLKLFQESERDDVFSTLTSQWKDVPVEQVTHADREQTKKVVYAVVYGAGKERLAACLGVPIQEAAQFLESFLQKYKKIKDFARAAIAQCHQTGCVVSIMGRRRPLPRIHAHDQQLRAQAERQAVNFVVQGSAADLCKLAMIHVFTAVAASHTLTARLVAQIHDELLFEVEDPQIPECAALVRRTMESLEQVQALELQLQVPLKVSLSAGRSWGHLVPLQEAWGPPPGPCRTESPSNSLAAPGSPASTQPPPLHFSPSFCL
+>DECOY_sp|Q7Z5Q5|DPOLN_HUMAN DNA polymerase nu OS=Homo sapiens OX=9606 GN=POLN PE=1 SV=2
+LCFSPSFHLPPPQTSAPSGPAALSNSPSETRCPGPPPGWAEQLPVLHGWSRGASLSVKLPVQLQLELAQVQELSEMTRRVLAACEPIQPDEVEFLLEDHIQAVLRATLTHSAAVATFVHIMALKCLDAASGQVVFNVAQREAQARLQQDHAHIRPLPRRRGMISVVCGTQHCQAIAARAFDKIKKYKQLFSELFQAAEQIPVGLCAALREKGAGYVVAYVVKKTQERDAHTVQEVPVDKWQSTLTSFVDDRESEQFLKLLEPDGSLHTLIRLEIQSFDAALFTHGKSSVFMARPSITLIKDEKGKFNKPTTIQIPHKSIGQINPHKASLRGTVTGTQNWTSSISGKKMCALLGDVFTSKIKHVQRYELIIKPLPHLDRLANLVAESTSPYKQLGTRPLSNRQSLLHLKLKGFLIERLQNNSTILFREGAVFHAEQELEKLRAGLLASTKEMEEKNVQIAHSEMVALIPILPLELTRFLQWLGYDKLKSCLDMTLRYLTKLNERVNQNVINRSSNGYTSNVKVTISKECYKEVLDEFSPTADSPDILWAAIRPDLGIFDAVHKWSGDNGFFQLVIRVFDKANFCIVPCKCKMTQFLVNRAFQQHAEQEQDWIASHEIQIYICPDDSVFGELVPGCAPADPCGHGGEAQRKVLVVIGRVSSVPTQDAGLQTSGDTYMVTIVLAAAQKLMEILQSKAWDDLHRIDCFHKKLAGSNGSNLYGEADDTDEELAMQKSTKESLNNYTIHKRKLNISGKNENNINEMLFHKRKHGKKQLVSAEQNYQPILCSSLTLSSISKQKQDASLQDTLRVSFSQPSLKASGRSTQSRLSKLDKKEPSQTKRDELQVSYKVSSKNIVEMTETSKGWTKSDVLDGSHMASMIKQAVSSLPTNCLDFGVLAEYNEM
+>sp|P27487|DPP4_HUMAN Dipeptidyl peptidase 4 OS=Homo sapiens OX=9606 GN=DPP4 PE=1 SV=2
+MKTPWKVLLGLLGAAALVTIITVPVVLLNKGTDDATADSRKTYTLTDYLKNTYRLKLYSLRWISDHEYLYKQENNILVFNAEYGNSSVFLENSTFDEFGHSINDYSISPDGQFILLEYNYVKQWRHSYTASYDIYDLNKRQLITEERIPNNTQWVTWSPVGHKLAYVWNNDIYVKIEPNLPSYRITWTGKEDIIYNGITDWVYEEEVFSAYSALWWSPNGTFLAYAQFNDTEVPLIEYSFYSDESLQYPKTVRVPYPKAGAVNPTVKFFVVNTDSLSSVTNATSIQITAPASMLIGDHYLCDVTWATQERISLQWLRRIQNYSVMDICDYDESSGRWNCLVARQHIEMSTTGWVGRFRPSEPHFTLDGNSFYKIISNEEGYRHICYFQIDKKDCTFITKGTWEVIGIEALTSDYLYYISNEYKGMPGGRNLYKIQLSDYTKVTCLSCELNPERCQYYSVSFSKEAKYYQLRCSGPGLPLYTLHSSVNDKGLRVLEDNSALDKMLQNVQMPSKKLDFIILNETKFWYQMILPPHFDKSKKYPLLLDVYAGPCSQKADTVFRLNWATYLASTENIIVASFDGRGSGYQGDKIMHAINRRLGTFEVEDQIEAARQFSKMGFVDNKRIAIWGWSYGGYVTSMVLGSGSGVFKCGIAVAPVSRWEYYDSVYTERYMGLPTPEDNLDHYRNSTVMSRAENFKQVEYLLIHGTADDNVHFQQSAQISKALVDVGVDFQAMWYTDEDHGIASSTAHQHIYTHMSHFIKQCFSLP
+>DECOY_sp|P27487|DPP4_HUMAN Dipeptidyl peptidase 4 OS=Homo sapiens OX=9606 GN=DPP4 PE=1 SV=2
+PLSFCQKIFHSMHTYIHQHATSSAIGHDEDTYWMAQFDVGVDVLAKSIQASQQFHVNDDATGHILLYEVQKFNEARSMVTSNRYHDLNDEPTPLGMYRETYVSDYYEWRSVPAVAIGCKFVGSGSGLVMSTVYGGYSWGWIAIRKNDVFGMKSFQRAAEIQDEVEFTGLRRNIAHMIKDGQYGSGRGDFSAVIINETSALYTAWNLRFVTDAKQSCPGAYVDLLLPYKKSKDFHPPLIMQYWFKTENLIIFDLKKSPMQVNQLMKDLASNDELVRLGKDNVSSHLTYLPLGPGSCRLQYYKAEKSFSVSYYQCREPNLECSLCTVKTYDSLQIKYLNRGGPMGKYENSIYYLYDSTLAEIGIVEWTGKTIFTCDKKDIQFYCIHRYGEENSIIKYFSNGDLTFHPESPRFRGVWGTTSMEIHQRAVLCNWRGSSEDYDCIDMVSYNQIRRLWQLSIREQTAWTVDCLYHDGILMSAPATIQISTANTVSSLSDTNVVFFKVTPNVAGAKPYPVRVTKPYQLSEDSYFSYEILPVETDNFQAYALFTGNPSWWLASYASFVEEEYVWDTIGNYIIDEKGTWTIRYSPLNPEIKVYIDNNWVYALKHGVPSWTVWQTNNPIREETILQRKNLDYIDYSATYSHRWQKVYNYELLIFQGDPSISYDNISHGFEDFTSNELFVSSNGYEANFVLINNEQKYLYEHDSIWRLSYLKLRYTNKLYDTLTYTKRSDATADDTGKNLLVVPVTIITVLAAAGLLGLLVKWPTKM
+>sp|A6NC42|DPPA5_HUMAN Developmental pluripotency-associated 5 protein OS=Homo sapiens OX=9606 GN=DPPA5 PE=2 SV=1
+MGTLPARRHIPPWVKVPEDLKDPEVFQVQTRLLKAIFGPDGSRIPYIEQVSKAMLELKALESSDLTEVVVYGSYLYKLRTKWMLQSMAEWHRQRQERGMLKLAEAMNALELGPWMK
+>DECOY_sp|A6NC42|DPPA5_HUMAN Developmental pluripotency-associated 5 protein OS=Homo sapiens OX=9606 GN=DPPA5 PE=2 SV=1
+KMWPGLELANMAEALKLMGREQRQRHWEAMSQLMWKTRLKYLYSGYVVVETLDSSELAKLELMAKSVQEIYPIRSGDPGFIAKLLRTQVQFVEPDKLDEPVKVWPPIHRRAPLTGM
+>sp|P0CG22|DR4L1_HUMAN Putative dehydrogenase/reductase SDR family member 4-like 1 OS=Homo sapiens OX=9606 GN=DHRS4L1 PE=5 SV=1
+MHKARLRGHCARAGKSVRLASSGMTRRDPLTNKVALVTASTDWIGFAVAQRLAQDGAHVVVSRRKQQNVDQAVATLQGEGLSMTGTVCHVGKMKDWERLVATAMKLHGVIDILSLSITNSKRGLFWFTLLQTAEEAWDRNLDINGKALALMIKAVVPEMEKRGGGSVGFLASVAAFRPLPGFSPYNVSKTALLGLNKTLAIELAPRNIRVNCLAPGLIKTSFSRMLWMDKEKEESMKETLRIRRLGEPEDSLGIVSFLCSEDASYLTGETVMVGGGTPSRL
+>DECOY_sp|P0CG22|DR4L1_HUMAN Putative dehydrogenase/reductase SDR family member 4-like 1 OS=Homo sapiens OX=9606 GN=DHRS4L1 PE=5 SV=1
+LRSPTGGGVMVTEGTLYSADESCLFSVIGLSDEPEGLRRIRLTEKMSEEKEKDMWLMRSFSTKILGPALCNVRINRPALEIALTKNLGLLATKSVNYPSFGPLPRFAAVSALFGVSGGGRKEMEPVVAKIMLALAKGNIDLNRDWAEEATQLLTFWFLGRKSNTISLSLIDIVGHLKMATAVLREWDKMKGVHCVTGTMSLGEGQLTAVAQDVNQQKRRSVVVHAGDQALRQAVAFGIWDTSATVLAVKNTLPDRRTMGSSALRVSKGARACHGRLRAKHM
+>sp|Q8NEX9|DR9C7_HUMAN Short-chain dehydrogenase/reductase family 9C member 7 OS=Homo sapiens OX=9606 GN=SDR9C7 PE=1 SV=1
+MAALTDLSFMYRWFKNCNLVGNLSEKYVFITGCDSGFGNLLAKQLVDRGMQVLAACFTEEGSQKLQRDTSYRLQTTLLDVTKSESIKAAAQWVRDKVGEQGLWALVNNAGVGLPSGPNEWLTKDDFVKVINVNLVGLIEVTLHMLPMVKRARGRVVNMSSSGGRVAVIGGGYCVSKFGVEAFSDSIRRELYYFGVKVCIIEPGNYRTAILGKENLESRMRKLWERLPQETRDSYGEDYFRIYTDKLKNIMQVAEPRVRDVINSMEHAIVSRSPRIRYNPGLDAKLLYIPLAKLPTPVTDFILSRYLPRPADSV
+>DECOY_sp|Q8NEX9|DR9C7_HUMAN Short-chain dehydrogenase/reductase family 9C member 7 OS=Homo sapiens OX=9606 GN=SDR9C7 PE=1 SV=1
+VSDAPRPLYRSLIFDTVPTPLKALPIYLLKADLGPNYRIRPSRSVIAHEMSNIVDRVRPEAVQMINKLKDTYIRFYDEGYSDRTEQPLREWLKRMRSELNEKGLIATRYNGPEIICVKVGFYYLERRISDSFAEVGFKSVCYGGGIVAVRGGSSSMNVVRGRARKVMPLMHLTVEILGVLNVNIVKVFDDKTLWENPGSPLGVGANNVLAWLGQEGVKDRVWQAAAKISESKTVDLLTTQLRYSTDRQLKQSGEETFCAALVQMGRDVLQKALLNGFGSDCGTIFVYKESLNGVLNCNKFWRYMFSLDTLAAM
+>sp|Q96DY2|DRC10_HUMAN Dynein regulatory complex protein 10 OS=Homo sapiens OX=9606 GN=IQCD PE=2 SV=2
+MALDILAMAPLYQAPAINRIGPKTDPSKRPADPLKPLVLSRTKLTTIEAKRIMSILDEAIYKVELVTLLSYVASNREDMEGMLGEDVMRAVREHEDLCQVLLENVRCLKEKERQLQEQKEAEEEGWLRDRLLSIELQKSSLSPLMQQIKDSTKNVLRLLLSNPQAARLLQMQTQGRSAEAQNFIDSLIELRGFLFEKLLTSPMEARDKAQFLQDISRQNSNNQQIIDTLEKELAERMKNRNAEVEKENFVIQELKNHLHQVLKFSENSLVRTKQEAEKQQKADFRASQARVAKIQQEILQLQSQFYNLVMENREAEQALRKKKYKVETEIENWIQKYDTEMGEKQEELEDLDAVHREEKISLEELRRRHKVLVGEFAQIREEREINSKKRMEAEQEMVRMVRAATLIQALWKGYLVRSLLRSKKKRGKGKAKDKEKGKQKGKEKGKGKK
+>DECOY_sp|Q96DY2|DRC10_HUMAN Dynein regulatory complex protein 10 OS=Homo sapiens OX=9606 GN=IQCD PE=2 SV=2
+KKGKGKEKGKQKGKEKDKAKGKGRKKKSRLLSRVLYGKWLAQILTAARVMRVMEQEAEMRKKSNIEREERIQAFEGVLVKHRRRLEELSIKEERHVADLDELEEQKEGMETDYKQIWNEIETEVKYKKKRLAQEAERNEMVLNYFQSQLQLIEQQIKAVRAQSARFDAKQQKEAEQKTRVLSNESFKLVQHLHNKLEQIVFNEKEVEANRNKMREALEKELTDIIQQNNSNQRSIDQLFQAKDRAEMPSTLLKEFLFGRLEILSDIFNQAEASRGQTQMQLLRAAQPNSLLLRLVNKTSDKIQQMLPSLSSKQLEISLLRDRLWGEEEAEKQEQLQREKEKLCRVNELLVQCLDEHERVARMVDEGLMGEMDERNSAVYSLLTVLEVKYIAEDLISMIRKAEITTLKTRSLVLPKLPDAPRKSPDTKPGIRNIAPAQYLPAMALIDLAM
+>sp|Q8IXS2|DRC2_HUMAN Dynein regulatory complex subunit 2 OS=Homo sapiens OX=9606 GN=CCDC65 PE=1 SV=2
+MPKKEKMAKTPLSDEKQLLLFQQKLLAEEEMAKKKERLLSQFLKDKLAKEEHNSALNLNKINTQWRTVLREVKTRELHKDIEILSQTFERVVDCKDNVIKSLAKDLSEAEEQYAHALRSHLHNVDQLLALQRHRLSLLEESYNMELEALTKEFETERKTIIDQHEKEIHYLQDIFMAMEQNYIDSEYESKLEFQSMWNDLKNMNLEEKHFLRLHLENRVEDLWRKFQDVLKNYTDATEDRKAAFETLQVKDEKSSKEIEVQMKKIQKLQDAITISKGKIMIHSRESEDENRYIRNDKELVLVQLRKLKAQRTQARAASQKNLVRLTLESNATLKALRKIVDKGEKILKLAEICRKFETEEEKVLPFYSSVLTPKEQEGIQKNNLEELTEELTKVMVDYIGMENFWKRYNKVKLEQLSLQHRRAQLLDINGKLREMLKQYLDGISVSDEVLSQLNPLFIVNYQSNLLQPLSIRIAHPGDKQHPTT
+>DECOY_sp|Q8IXS2|DRC2_HUMAN Dynein regulatory complex subunit 2 OS=Homo sapiens OX=9606 GN=CCDC65 PE=1 SV=2
+TTPHQKDGPHAIRISLPQLLNSQYNVIFLPNLQSLVEDSVSIGDLYQKLMERLKGNIDLLQARRHQLSLQELKVKNYRKWFNEMGIYDVMVKTLEETLEELNNKQIGEQEKPTLVSSYFPLVKEEETEFKRCIEALKLIKEGKDVIKRLAKLTANSELTLRVLNKQSAARAQTRQAKLKRLQVLVLEKDNRIYRNEDESERSHIMIKGKSITIADQLKQIKKMQVEIEKSSKEDKVQLTEFAAKRDETADTYNKLVDQFKRWLDEVRNELHLRLFHKEELNMNKLDNWMSQFELKSEYESDIYNQEMAMFIDQLYHIEKEHQDIITKRETEFEKTLAELEMNYSEELLSLRHRQLALLQDVNHLHSRLAHAYQEEAESLDKALSKIVNDKCDVVREFTQSLIEIDKHLERTKVERLVTRWQTNIKNLNLASNHEEKALKDKLFQSLLREKKKAMEEEALLKQQFLLLQKEDSLPTKAMKEKKPM
+>sp|Q5VUJ9|DRC8_HUMAN Dynein regulatory complex protein 8 OS=Homo sapiens OX=9606 GN=EFCAB2 PE=2 SV=1
+MLGPGQVRLRPRVWRDKAGGRVADGASGLPPARGSWRETGTGRALGASSPPRPAQGSSSPGIQSGPSSRPGSPRGAEQAGTPRPRLSLGISQATGSAARWRTRRTGKGLGYNSDEIRPRTLLIEHLMEGGRRDHHTMTVLWGTQEIIVAEFHKKIKEAFEVFDHESNNTVDVREIGTIIRSLGCCPTEGELHDLIAEVEEEEPTGYIRFEKFLPVMTEILLERKYRPIPEDVLLRAFEVLDSAKRGFLTKDELIKYMTEEDGVSLRRPG
+>DECOY_sp|Q5VUJ9|DRC8_HUMAN Dynein regulatory complex protein 8 OS=Homo sapiens OX=9606 GN=EFCAB2 PE=2 SV=1
+GPRRLSVGDEETMYKILEDKTLFGRKASDLVEFARLLVDEPIPRYKRELLIETMVPLFKEFRIYGTPEEEEVEAILDHLEGETPCCGLSRIITGIERVDVTNNSEHDFVEFAEKIKKHFEAVIIEQTGWLVTMTHHDRRGGEMLHEILLTRPRIEDSNYGLGKGTRRTRWRAASGTAQSIGLSLRPRPTGAQEAGRPSGPRSSPGSQIGPSSSGQAPRPPSSAGLARGTGTERWSGRAPPLGSAGDAVRGGAKDRWVRPRLRVQGPGLM
+>sp|Q6UWP2|DHR11_HUMAN Dehydrogenase/reductase SDR family member 11 OS=Homo sapiens OX=9606 GN=DHRS11 PE=1 SV=1
+MARPGMERWRDRLALVTGASGGIGAAVARALVQQGLKVVGCARTVGNIEELAAECKSAGYPGTLIPYRCDLSNEEDILSMFSAIRSQHSGVDICINNAGLARPDTLLSGSTSGWKDMFNVNVLALSICTREAYQSMKERNVDDGHIININSMSGHRVLPLSVTHFYSATKYAVTALTEGLRQELREAQTHIRATCISPGVVETQFAFKLHDKDPEKAAATYEQMKCLKPEDVAEAVIYVLSTPAHIQIGDIQMRPTEQVT
+>DECOY_sp|Q6UWP2|DHR11_HUMAN Dehydrogenase/reductase SDR family member 11 OS=Homo sapiens OX=9606 GN=DHRS11 PE=1 SV=1
+TVQETPRMQIDGIQIHAPTSLVYIVAEAVDEPKLCKMQEYTAAAKEPDKDHLKFAFQTEVVGPSICTARIHTQAERLEQRLGETLATVAYKTASYFHTVSLPLVRHGSMSNINIIHGDDVNREKMSQYAERTCISLALVNVNFMDKWGSTSGSLLTDPRALGANNICIDVGSHQSRIASFMSLIDEENSLDCRYPILTGPYGASKCEAALEEINGVTRACGVVKLGQQVLARAVAAGIGGSAGTVLALRDRWREMGPRAM
+>sp|Q8N5I4|DHRSX_HUMAN Dehydrogenase/reductase SDR family member on chromosome X OS=Homo sapiens OX=9606 GN=DHRSX PE=1 SV=2
+MSPLSAARAALRVYAVGAAVILAQLLRRCRGGFLEPVFPPRPDRVAIVTGGTDGIGYSTAKHLARLGMHVIIAGNNDSKAKQVVSKIKEETLNDKVEFLYCDLASMTSIRQFVQKFKMKKIPLHVLINNAGVMMVPQRKTRDGFEEHFGLNYLGHFLLTNLLLDTLKESGSPGHSARVVTVSSATHYVAELNMDDLQSSACYSPHAAYAQSKLALVLFTYHLQRLLAAEGSHVTANVVDPGVVNTDVYKHVFWATRLAKKLLGWLLFKTPDEGAWTSIYAAVTPELEGVGGHYLYNEKETKSLHVTYNQKLQQQLWSKSCEMTGVLDVTL
+>DECOY_sp|Q8N5I4|DHRSX_HUMAN Dehydrogenase/reductase SDR family member on chromosome X OS=Homo sapiens OX=9606 GN=DHRSX PE=1 SV=2
+LTVDLVGTMECSKSWLQQQLKQNYTVHLSKTEKENYLYHGGVGELEPTVAAYISTWAGEDPTKFLLWGLLKKALRTAWFVHKYVDTNVVGPDVVNATVHSGEAALLRQLHYTFLVLALKSQAYAAHPSYCASSQLDDMNLEAVYHTASSVTVVRASHGPSGSEKLTDLLLNTLLFHGLYNLGFHEEFGDRTKRQPVMMVGANNILVHLPIKKMKFKQVFQRISTMSALDCYLFEVKDNLTEEKIKSVVQKAKSDNNGAIIVHMGLRALHKATSYGIGDTGGTVIAVRDPRPPFVPELFGGRCRRLLQALIVAAGVAYVRLAARAASLPSM
+>sp|O43143|DHX15_HUMAN Pre-mRNA-splicing factor ATP-dependent RNA helicase DHX15 OS=Homo sapiens OX=9606 GN=DHX15 PE=1 SV=2
+MSKRHRLDLGEDYPSGKKRAGTDGKDRDRDRDREDRSKDRDRERDRGDREREREKEKEKELRASTNAMLISAGLPPLKASHSAHSTHSAHSTHSTHSAHSTHAGHAGHTSLPQCINPFTNLPHTPRYYDILKKRLQLPVWEYKDRFTDILVRHQSFVLVGETGSGKTTQIPQWCVEYMRSLPGPKRGVACTQPRRVAAMSVAQRVADEMDVMLGQEVGYSIRFEDCSSAKTILKYMTDGMLLREAMNDPLLERYGVIILDEAHERTLATDILMGVLKEVVRQRSDLKVIVMSATLDAGKFQIYFDNCPLLTIPGRTHPVEIFYTPEPERDYLEAAIRTVIQIHMCEEEEGDLLLFLTGQEEIDEACKRIKREVDDLGPEVGDIKIIPLYSTLPPQQQQRIFEPPPPKKQNGAIGRKVVVSTNIAETSLTIDGVVFVIDPGFAKQKVYNPRIRVESLLVTAISKASAQQRAGRAGRTRPGKCFRLYTEKAYKTEMQDNTYPEILRSNLGSVVLQLKKLGIDDLVHFDFMDPPAPETLMRALELLNYLAALNDDGDLTELGSMMAEFPLDPQLAKMVIASCDYNCSNEVLSITAMLSVPQCFVRPTEAKKAADEAKMRFAHIDGDHLTLLNVYHAFKQNHESVQWCYDNFINYRSLMSADNVRQQLSRIMDRFNLPRRSTDFTSRDYYINIRKALVTGYFMQVAHLERTGHYLTVKDNQVVQLHPSTVLDHKPEWVLYNEFVLTTKNYIRTCTDIKPEWLVKIAPQYYDMSNFPQCEAKRQLDRIIAKLQSKEYSQY
+>DECOY_sp|O43143|DHX15_HUMAN Pre-mRNA-splicing factor ATP-dependent RNA helicase DHX15 OS=Homo sapiens OX=9606 GN=DHX15 PE=1 SV=2
+YQSYEKSQLKAIIRDLQRKAECQPFNSMDYYQPAIKVLWEPKIDTCTRIYNKTTLVFENYLVWEPKHDLVTSPHLQVVQNDKVTLYHGTRELHAVQMFYGTVLAKRINIYYDRSTFDTSRRPLNFRDMIRSLQQRVNDASMLSRYNIFNDYCWQVSEHNQKFAHYVNLLTLHDGDIHAFRMKAEDAAKKAETPRVFCQPVSLMATISLVENSCNYDCSAIVMKALQPDLPFEAMMSGLETLDGDDNLAALYNLLELARMLTEPAPPDMFDFHVLDDIGLKKLQLVVSGLNSRLIEPYTNDQMETKYAKETYLRFCKGPRTRGARGARQQASAKSIATVLLSEVRIRPNYVKQKAFGPDIVFVVGDITLSTEAINTSVVVKRGIAGNQKKPPPPEFIRQQQQPPLTSYLPIIKIDGVEPGLDDVERKIRKCAEDIEEQGTLFLLLDGEEEECMHIQIVTRIAAELYDREPEPTYFIEVPHTRGPITLLPCNDFYIQFKGADLTASMVIVKLDSRQRVVEKLVGMLIDTALTREHAEDLIIVGYRELLPDNMAERLLMGDTMYKLITKASSCDEFRISYGVEQGLMVDMEDAVRQAVSMAAVRRPQTCAVGRKPGPLSRMYEVCWQPIQTTKGSGTEGVLVFSQHRVLIDTFRDKYEWVPLQLRKKLIDYYRPTHPLNTFPNICQPLSTHGAHGAHTSHASHTSHTSHASHTSHASHSAKLPPLGASILMANTSARLEKEKEKERERERDGRDRERDRDKSRDERDRDRDRDKGDTGARKKGSPYDEGLDLRHRKSM
+>sp|Q7Z478|DHX29_HUMAN ATP-dependent RNA helicase DHX29 OS=Homo sapiens OX=9606 GN=DHX29 PE=1 SV=2
+MGGKNKKHKAPAAAVVRAAVSASRAKSAEAGIAGEAQSKKPVSRPATAAAAAAGSREPRVKQGPKIYSFNSTNDSSGPANLDKSILKVVINNKLEQRIIGVINEHKKQNNDKGMISGRLTAKKLQDLYMALQAFSFKTKDIEDAMTNTLLYGGDLHSALDWLCLNLSDDALPEGFSQEFEEQQPKSRPKFQSPQIQATISPPLQPKTKTYEEDPKSKPKKEEKNMEVNMKEWILRYAEQQNEEEKNENSKSLEEEEKFDPNERYLHLAAKLLDAKEQAATFKLEKNKQGQKEAQEKIRKFQREMETLEDHPVFNPAMKISHQQNERKKPPVATEGESALNFNLFEKSAAATEEEKDKKKEPHDVRNFDYTARSWTGKSPKQFLIDWVRKNLPKSPNPSFEKVPVGRYWKCRVRVIKSEDDVLVVCPTILTEDGMQAQHLGATLALYRLVKGQSVHQLLPPTYRDVWLEWSDAEKKREELNKMETNKPRDLFIAKLLNKLKQQQQQQQQHSENKRENSEDPEESWENLVSDEDFSALSLESANVEDLEPVRNLFRKLQSTPKYQKLLKERQQLPVFKHRDSIVETLKRHRVVVVAGETGSGKSTQVPHFLLEDLLLNEWEASKCNIVCTQPRRISAVSLANRVCDELGCENGPGGRNSLCGYQIRMESRACESTRLLYCTTGVLLRKLQEDGLLSNVSHVIVDEVHERSVQSDFLLIILKEILQKRSDLHLILMSATVDSEKFSTYFTHCPILRISGRSYPVEVFHLEDIIEETGFVLEKDSEYCQKFLEEEEEVTINVTSKAGGIKKYQEYIPVQTGAHADLNPFYQKYSSRTQHAILYMNPHKINLDLILELLAYLDKSPQFRNIEGAVLIFLPGLAHIQQLYDLLSNDRRFYSERYKVIALHSILSTQDQAAAFTLPPPGVRKIVLATNIAETGITIPDVVFVIDTGRTKENKYHESSQMSSLVETFVSKASALQRQGRAGRVRDGFCFRMYTRERFEGFMDYSVPEILRVPLEELCLHIMKCNLGSPEDFLSKALDPPQLQVISNAMNLLRKIGACELNEPKLTPLGQHLAALPVNVKIGKMLIFGAIFGCLDPVATLAAVMTEKSPFTTPIGRKDEADLAKSALAMADSDHLTIYNAYLGWKKARQEGGYRSEITYCRRNFLNRTSLLTLEDVKQELIKLVKAAGFSSSTTSTSWEGNRASQTLSFQEIALLKAVLVAGLYDNVGKIIYTKSVDVTEKLACIVETAQGKAQVHPSSVNRDLQTHGWLLYQEKIRYARVYLRETTLITPFPVLLFGGDIEVQHRERLLSIDGWIYFQAPVKIAVIFKQLRVLIDSVLRKKLENPKMSLENDKILQIITELIKTENN
+>DECOY_sp|Q7Z478|DHX29_HUMAN ATP-dependent RNA helicase DHX29 OS=Homo sapiens OX=9606 GN=DHX29 PE=1 SV=2
+NNETKILETIIQLIKDNELSMKPNELKKRLVSDILVRLQKFIVAIKVPAQFYIWGDISLLRERHQVEIDGGFLLVPFPTILTTERLYVRAYRIKEQYLLWGHTQLDRNVSSPHVQAKGQATEVICALKETVDVSKTYIIKGVNDYLGAVLVAKLLAIEQFSLTQSARNGEWSTSTTSSSFGAAKVLKILEQKVDELTLLSTRNLFNRRCYTIESRYGGEQRAKKWGLYANYITLHDSDAMALASKALDAEDKRGIPTTFPSKETMVAALTAVPDLCGFIAGFILMKGIKVNVPLAALHQGLPTLKPENLECAGIKRLLNMANSIVQLQPPDLAKSLFDEPSGLNCKMIHLCLEELPVRLIEPVSYDMFGEFRERTYMRFCFGDRVRGARGQRQLASAKSVFTEVLSSMQSSEHYKNEKTRGTDIVFVVDPITIGTEAINTALVIKRVGPPPLTFAAAQDQTSLISHLAIVKYRESYFRRDNSLLDYLQQIHALGPLFILVAGEINRFQPSKDLYALLELILDLNIKHPNMYLIAHQTRSSYKQYFPNLDAHAGTQVPIYEQYKKIGGAKSTVNITVEEEEELFKQCYESDKELVFGTEEIIDELHFVEVPYSRGSIRLIPCHTFYTSFKESDVTASMLILHLDSRKQLIEKLIILLFDSQVSREHVEDVIVHSVNSLLGDEQLKRLLVGTTCYLLRTSECARSEMRIQYGCLSNRGGPGNECGLEDCVRNALSVASIRRPQTCVINCKSAEWENLLLDELLFHPVQTSKGSGTEGAVVVVRHRKLTEVISDRHKFVPLQQREKLLKQYKPTSQLKRFLNRVPELDEVNASELSLASFDEDSVLNEWSEEPDESNERKNESHQQQQQQQQKLKNLLKAIFLDRPKNTEMKNLEERKKEADSWELWVDRYTPPLLQHVSQGKVLRYLALTAGLHQAQMGDETLITPCVVLVDDESKIVRVRCKWYRGVPVKEFSPNPSKPLNKRVWDILFQKPSKGTWSRATYDFNRVDHPEKKKDKEEETAAASKEFLNFNLASEGETAVPPKKRENQQHSIKMAPNFVPHDELTEMERQFKRIKEQAEKQGQKNKELKFTAAQEKADLLKAALHLYRENPDFKEEEELSKSNENKEEENQQEAYRLIWEKMNVEMNKEEKKPKSKPDEEYTKTKPQLPPSITAQIQPSQFKPRSKPQQEEFEQSFGEPLADDSLNLCLWDLASHLDGGYLLTNTMADEIDKTKFSFAQLAMYLDQLKKATLRGSIMGKDNNQKKHENIVGIIRQELKNNIVVKLISKDLNAPGSSDNTSNFSYIKPGQKVRPERSGAAAAAATAPRSVPKKSQAEGAIGAEASKARSASVAARVVAAAPAKHKKNKGGM
+>sp|Q8IX18|DHX40_HUMAN Probable ATP-dependent RNA helicase DHX40 OS=Homo sapiens OX=9606 GN=DHX40 PE=1 SV=2
+MSRFPAVAGRAPRRQEEGERSRDLQEERLSAVCIADREEKGCTSQEGGTTPTFPIQKQRKKIIQAVRDNSFLIVTGNTGSGKTTQLPKYLYEAGFSQHGMIGVTQPRKVAAISVAQRVAEEMKCTLGSKVGYQVRFDDCSSKETAIKYMTDGCLLKHILGDPNLTKFSVIILDEAHERTLTTDILFGLLKKLFQEKSPNRKEHLKVVVMSATMELAKLSAFFGNCPIFDIPGRLYPVREKFCNLIGPRDRENTAYIQAIVKVTMDIHLNEMAGDILVFLTGQFEIEKSCELLFQMAESVDYDYDVQDTTLDGLLILPCYGSMTTDQQRRIFLPPPPGIRKCVISTNISATSLTIDGIRYVVDGGFVKQLNHNPRLGLDILEVVPISKSEALQRSGRAGRTSSGKCFRIYSKDFWNQCMPDHVIPEIKRTSLTSVVLTLKCLAIHDVIRFPYLDPPNERLILEALKQLYQCDAIDRSGHVTRLGLSMVEFPLPPHLTCAVIKAASLDCEDLLLPIAAMLSVENVFIRPVDPEYQKEAEQRHRELAAKAGGFNDFATLAVIFEQCKSSGAPASWCQKHWIHWRCLFSAFRVEAQLRELIRKLKQQSDFPKETFEGPKHEVLRRCLCAGYFKNVARRSVGRTFCTMDGRGSPVHIHPSSALHEQETKLEWIIFHEVLVTTKVYARIVCPIRYEWVRDLLPKLHEFNAHDLSSVARREVREDARRRWTNKENVKQLKDGISKDVLKKMQRRNDDKSISDARARFLERKQQRTQDHSDTRKETG
+>DECOY_sp|Q8IX18|DHX40_HUMAN Probable ATP-dependent RNA helicase DHX40 OS=Homo sapiens OX=9606 GN=DHX40 PE=1 SV=2
+GTEKRTDSHDQTRQQKRELFRARADSISKDDNRRQMKKLVDKSIGDKLQKVNEKNTWRRRADERVERRAVSSLDHANFEHLKPLLDRVWEYRIPCVIRAYVKTTVLVEHFIIWELKTEQEHLASSPHIHVPSGRGDMTCFTRGVSRRAVNKFYGACLCRRLVEHKPGEFTEKPFDSQQKLKRILERLQAEVRFASFLCRWHIWHKQCWSAPAGSSKCQEFIVALTAFDNFGGAKAALERHRQEAEKQYEPDVPRIFVNEVSLMAAIPLLLDECDLSAAKIVACTLHPPLPFEVMSLGLRTVHGSRDIADCQYLQKLAELILRENPPDLYPFRIVDHIALCKLTLVVSTLSTRKIEPIVHDPMCQNWFDKSYIRFCKGSSTRGARGSRQLAESKSIPVVELIDLGLRPNHNLQKVFGGDVVYRIGDITLSTASINTSIVCKRIGPPPPLFIRRQQDTTMSGYCPLILLGDLTTDQVDYDYDVSEAMQFLLECSKEIEFQGTLFVLIDGAMENLHIDMTVKVIAQIYATNERDRPGILNCFKERVPYLRGPIDFIPCNGFFASLKALEMTASMVVVKLHEKRNPSKEQFLKKLLGFLIDTTLTREHAEDLIIVSFKTLNPDGLIHKLLCGDTMYKIATEKSSCDDFRVQYGVKSGLTCKMEEAVRQAVSIAAVKRPQTVGIMGHQSFGAEYLYKPLQTTKGSGTNGTVILFSNDRVAQIIKKRQKQIPFTPTTGGEQSTCGKEERDAICVASLREEQLDRSREGEEQRRPARGAVAPFRSM
+>sp|Q6P158|DHX57_HUMAN Putative ATP-dependent RNA helicase DHX57 OS=Homo sapiens OX=9606 GN=DHX57 PE=1 SV=2
+MSSSVRRKGKPGKGGGKGSSRGGRGGRSHASKSHGSGGGGGGGGGGGGGNRKASSRIWDDGDDFCIFSESRRPSRPSNSNISKGESRPKWKPKAKVPLQTLHMTSENQEKVKALLRDLQEQDADAGSERGLSGEEEDDEPDCCNDERYWPAGQEPSLVPDLDPLEYAGLASVEPYVPEFTVSPFAVQKLSRYGFNTERCQAVLRMCDGDVGASLEHLLTQCFSETFGERMKISEAVNQISLDECMEQRQEEAFALKSICGEKFIERIQNRVWTIGLELEYLTSRFRKSKPKESTKNVQENSLEICKFYLKGNCKFGSKCRFKHEVPPNQIVGRIERSVDDSHLNAIEDASFLYELEIRFSKDHKYPYQAPLVAFYSTNENLPLACRLHISEFLYDKALTFAETSEPVVYSLITLLEEESEIVKLLTNTHHKYSDPPVNFLPVPSRTRINNPACHKTVIPNNSFVSNQIPEVEKASESEESDEDDGPAPVIVENESYVNLKKKISKRYDWQAKSVHAENGKICKQFRMKQASRQFQSILQERQSLPAWEERETILNLLRKHQVVVISGMTGCGKTTQIPQFILDDSLNGPPEKVANIICTQPRRISAISVAERVAKERAERVGLTVGYQIRLESVKSSATRLLYCTTGVLLRRLEGDTALQGVSHIIVDEVHERTEESDFLLLVLKDIVSQRPGLQVILMSATLNAELFSDYFNSCPVITIPGRTFPVDQFFLEDAIAVTRYVLQDGSPYMRSMKQISKEKLKARRNRTAFEEVEEDLRLSLHLQDQDSVKDAVPDQQLDFKQLLARYKGVSKSVIKTMSIMDFEKVNLELIEALLEWIVDGKHSYPPGAILVFLPGLAEIKMLYEQLQSNSLFNNRRSNRCVIHPLHSSLSSEEQQAVFVKPPAGVTKIIISTNIAETSITIDDVVYVIDSGKMKEKRYDASKGMESLEDTFVSQANALQRKGRAGRVASGVCFHLFTSHHYNHQLLKQQLPEIQRVPLEQLCLRIKILEMFSAHNLQSVFSRLIEPPHTDSLRASKIRLRDLGALTPDERLTPLGYHLASLPVDVRIGKLMLFGSIFRCLDPALTIAASLAFKSPFVSPWDKKEEANQKKLEFAFANSDYLALLQAYKGWQLSTKEGVRASYNYCRQNFLSGRVLQEMASLKRQFTELLSDIGFAREGLRAREIEKRAQGGDGVLDATGEEANSNAENPKLISAMLCAALYPNVVQVKSPEGKFQKTSTGAVRMQPKSAELKFVTKNDGYVHIHPSSVNYQVRHFDSPYLLYHEKIKTSRVFIRDCSMVSVYPLVLFGGGQVNVQLQRGEFVVSLDDGWIRFVAASHQVAELVKELRCELDQLLQDKIKNPSIDLCTCPRGSRIISTIVKLVTTQ
+>DECOY_sp|Q6P158|DHX57_HUMAN Putative ATP-dependent RNA helicase DHX57 OS=Homo sapiens OX=9606 GN=DHX57 PE=1 SV=2
+QTTVLKVITSIIRSGRPCTCLDISPNKIKDQLLQDLECRLEKVLEAVQHSAAVFRIWGDDLSVVFEGRQLQVNVQGGGFLVLPYVSVMSCDRIFVRSTKIKEHYLLYPSDFHRVQYNVSSPHIHVYGDNKTVFKLEASKPQMRVAGTSTKQFKGEPSKVQVVNPYLAACLMASILKPNEANSNAEEGTADLVGDGGQARKEIERARLGERAFGIDSLLETFQRKLSAMEQLVRGSLFNQRCYNYSARVGEKTSLQWGKYAQLLALYDSNAFAFELKKQNAEEKKDWPSVFPSKFALSAAITLAPDLCRFISGFLMLKGIRVDVPLSALHYGLPTLREDPTLAGLDRLRIKSARLSDTHPPEILRSFVSQLNHASFMELIKIRLCLQELPVRQIEPLQQKLLQHNYHHSTFLHFCVGSAVRGARGKRQLANAQSVFTDELSEMGKSADYRKEKMKGSDIVYVVDDITISTEAINTSIIIKTVGAPPKVFVAQQEESSLSSHLPHIVCRNSRRNNFLSNSQLQEYLMKIEALGPLFVLIAGPPYSHKGDVIWELLAEILELNVKEFDMISMTKIVSKSVGKYRALLQKFDLQQDPVADKVSDQDQLHLSLRLDEEVEEFATRNRRAKLKEKSIQKMSRMYPSGDQLVYRTVAIADELFFQDVPFTRGPITIVPCSNFYDSFLEANLTASMLIVQLGPRQSVIDKLVLLLFDSEETREHVEDVIIHSVGQLATDGELRRLLVGTTCYLLRTASSKVSELRIQYGVTLGVREAREKAVREAVSIASIRRPQTCIINAVKEPPGNLSDDLIFQPIQTTKGCGTMGSIVVVQHKRLLNLITEREEWAPLSQREQLISQFQRSAQKMRFQKCIKGNEAHVSKAQWDYRKSIKKKLNVYSENEVIVPAPGDDEDSEESESAKEVEPIQNSVFSNNPIVTKHCAPNNIRTRSPVPLFNVPPDSYKHHTNTLLKVIESEEELLTILSYVVPESTEAFTLAKDYLFESIHLRCALPLNENTSYFAVLPAQYPYKHDKSFRIELEYLFSADEIANLHSDDVSREIRGVIQNPPVEHKFRCKSGFKCNGKLYFKCIELSNEQVNKTSEKPKSKRFRSTLYELELGITWVRNQIREIFKEGCISKLAFAEEQRQEMCEDLSIQNVAESIKMREGFTESFCQTLLHELSAGVDGDCMRLVAQCRETNFGYRSLKQVAFPSVTFEPVYPEVSALGAYELPDLDPVLSPEQGAPWYREDNCCDPEDDEEEGSLGRESGADADQEQLDRLLAKVKEQNESTMHLTQLPVKAKPKWKPRSEGKSINSNSPRSPRRSESFICFDDGDDWIRSSAKRNGGGGGGGGGGGGGSGHSKSAHSRGGRGGRSSGKGGGKGPKGKRRVSSSM
+>sp|Q08211|DHX9_HUMAN ATP-dependent RNA helicase A OS=Homo sapiens OX=9606 GN=DHX9 PE=1 SV=4
+MGDVKNFLYAWCGKRKMTPSYEIRAVGNKNRQKFMCEVQVEGYNYTGMGNSTNKKDAQSNAARDFVNYLVRINEIKSEEVPAFGVASPPPLTDTPDTTANAEGDLPTTMGGPLPPHLALKAENNSEVGASGYGVPGPTWDRGANLKDYYSRKEEQEVQATLESEEVDLNAGLHGNWTLENAKARLNQYFQKEKIQGEYKYTQVGPDHNRSFIAEMTIYIKQLGRRIFAREHGSNKKLAAQSCALSLVRQLYHLGVVEAYSGLTKKKEGETVEPYKVNLSQDLEHQLQNIIQELNLEILPPPEDPSVPVALNIGKLAQFEPSQRQNQVGVVPWSPPQSNWNPWTSSNIDEGPLAFATPEQISMDLKNELMYQLEQDHDLQAILQERELLPVKKFESEILEAISQNSVVIIRGATGCGKTTQVPQFILDDFIQNDRAAECNIVVTQPRRISAVSVAERVAFERGEEPGKSCGYSVRFESILPRPHASIMFCTVGVLLRKLEAGIRGISHVIVDEIHERDINTDFLLVVLRDVVQAYPEVRIVLMSATIDTSMFCEYFFNCPIIEVYGRTYPVQEYFLEDCIQMTHFVPPPKDKKKKDKDDDGGEDDDANCNLICGDEYGPETRLSMSQLNEKETPFELIEALLKYIETLNVPGAVLVFLPGWNLIYTMQKHLEMNPHFGSHRYQILPLHSQIPREEQRKVFDPVPVGVTKVILSTNIAETSITINDVVYVIDSCKQKVKLFTAHNNMTNYATVWASKTNLEQRKGRAGRVRPGFCFHLCSRARFERLETHMTPEMFRTPLHEIALSIKLLRLGGIGQFLAKAIEPPPLDAVIEAEHTLRELDALDANDELTPLGRILAKLPIEPRFGKMMIMGCIFYVGDAICTIAAATCFPEPFINEGKRLGYIHRNFAGNRFSDHVALLSVFQAWDDARMGGEEAEIRFCEHKRLNMATLRMTWEAKVQLKEILINSGFPEDCLLTQVFTNTGPDNNLDVVISLLAFGVYPNVCYHKEKRKILTTEGRNALIHKSSVNCPFSSQDMKYPSPFFVFGEKIRTRAISAKGMTLVTPLQLLLFASKKVQSDGQIVLVDDWIKLQISHEAAACITGLRAAMEALVVEVTKQPAIISQLDPVNERMLNMIRQISRPSAAGINLMIGSTRYGDGPRPPKMARYDNGSGYRRGGSSYSGGGYGGGYSSGGYGSGGYGGSANSFRAGYGAGVGGGYRGVSRGGFRGNSGGDYRGPSGGYRGSGGFQRGGGRGAYGTGYFGQGRGGGGY
+>DECOY_sp|Q08211|DHX9_HUMAN ATP-dependent RNA helicase A OS=Homo sapiens OX=9606 GN=DHX9 PE=1 SV=4
+YGGGGRGQGFYGTGYAGRGGGRQFGGSGRYGGSPGRYDGGSNGRFGGRSVGRYGGGVGAGYGARFSNASGGYGGSGYGGSSYGGGYGGGSYSSGGRRYGSGNDYRAMKPPRPGDGYRTSGIMLNIGAASPRSIQRIMNLMRENVPDLQSIIAPQKTVEVVLAEMAARLGTICAAAEHSIQLKIWDDVLVIQGDSQVKKSAFLLLQLPTVLTMGKASIARTRIKEGFVFFPSPYKMDQSSFPCNVSSKHILANRGETTLIKRKEKHYCVNPYVGFALLSIVVDLNNDPGTNTFVQTLLCDEPFGSNILIEKLQVKAEWTMRLTAMNLRKHECFRIEAEEGGMRADDWAQFVSLLAVHDSFRNGAFNRHIYGLRKGENIFPEPFCTAAAITCIADGVYFICGMIMMKGFRPEIPLKALIRGLPTLEDNADLADLERLTHEAEIVADLPPPEIAKALFQGIGGLRLLKISLAIEHLPTRFMEPTMHTELREFRARSCLHFCFGPRVRGARGKRQELNTKSAWVTAYNTMNNHATFLKVKQKCSDIVYVVDNITISTEAINTSLIVKTVGVPVPDFVKRQEERPIQSHLPLIQYRHSGFHPNMELHKQMTYILNWGPLFVLVAGPVNLTEIYKLLAEILEFPTEKENLQSMSLRTEPGYEDGCILNCNADDDEGGDDDKDKKKKDKPPPVFHTMQICDELFYEQVPYTRGYVEIIPCNFFYECFMSTDITASMLVIRVEPYAQVVDRLVVLLFDTNIDREHIEDVIVHSIGRIGAELKRLLVGVTCFMISAHPRPLISEFRVSYGCSKGPEEGREFAVREAVSVASIRRPQTVVINCEAARDNQIFDDLIFQPVQTTKGCGTAGRIIVVSNQSIAELIESEFKKVPLLEREQLIAQLDHDQELQYMLENKLDMSIQEPTAFALPGEDINSSTWPNWNSQPPSWPVVGVQNQRQSPEFQALKGINLAVPVSPDEPPPLIELNLEQIINQLQHELDQSLNVKYPEVTEGEKKKTLGSYAEVVGLHYLQRVLSLACSQAALKKNSGHERAFIRRGLQKIYITMEAIFSRNHDPGVQTYKYEGQIKEKQFYQNLRAKANELTWNGHLGANLDVEESELTAQVEQEEKRSYYDKLNAGRDWTPGPVGYGSAGVESNNEAKLALHPPLPGGMTTPLDGEANATTDPTDTLPPPSAVGFAPVEESKIENIRVLYNVFDRAANSQADKKNTSNGMGTYNYGEVQVECMFKQRNKNGVARIEYSPTMKRKGCWAYLFNKVDGM
+>sp|Q96HU8|DIRA2_HUMAN GTP-binding protein Di-Ras2 OS=Homo sapiens OX=9606 GN=DIRAS2 PE=1 SV=1
+MPEQSNDYRVAVFGAGGVGKSSLVLRFVKGTFRESYIPTVEDTYRQVISCDKSICTLQITDTTGSHQFPAMQRLSISKGHAFILVYSITSRQSLEELKPIYEQICEIKGDVESIPIMLVGNKCDESPSREVQSSEAEALARTWKCAFMETSAKLNHNVKELFQELLNLEKRRTVSLQIDGKKSKQQKRKEKLKGKCVIM
+>DECOY_sp|Q96HU8|DIRA2_HUMAN GTP-binding protein Di-Ras2 OS=Homo sapiens OX=9606 GN=DIRAS2 PE=1 SV=1
+MIVCKGKLKEKRKQQKSKKGDIQLSVTRRKELNLLEQFLEKVNHNLKASTEMFACKWTRALAEAESSQVERSPSEDCKNGVLMIPISEVDGKIECIQEYIPKLEELSQRSTISYVLIFAHGKSISLRQMAPFQHSGTTDTIQLTCISKDCSIVQRYTDEVTPIYSERFTGKVFRLVLSSKGVGGAGFVAVRYDNSQEPM
+>sp|O95661|DIRA3_HUMAN GTP-binding protein Di-Ras3 OS=Homo sapiens OX=9606 GN=DIRAS3 PE=1 SV=1
+MGNASFGSKEQKLLKRLRLLPALLILRAFKPHRKIRDYRVVVVGTAGVGKSTLLHKWASGNFRHEYLPTIENTYCQLLGCSHGVLSLHITDSKSGDGNRALQRHVIARGHAFVLVYSVTKKETLEELKAFYELICKIKGNNLHKFPIVLVGNKSDDTHREVALNDGATCAMEWNCAFMEISAKTDVNVQELFHMLLNYKKKPTTGLQEPEKKSQMPNTTEKLLDKCIIM
+>DECOY_sp|O95661|DIRA3_HUMAN GTP-binding protein Di-Ras3 OS=Homo sapiens OX=9606 GN=DIRAS3 PE=1 SV=1
+MIICKDLLKETTNPMQSKKEPEQLGTTPKKKYNLLMHFLEQVNVDTKASIEMFACNWEMACTAGDNLAVERHTDDSKNGVLVIPFKHLNNGKIKCILEYFAKLEELTEKKTVSYVLVFAHGRAIVHRQLARNGDGSKSDTIHLSLVGHSCGLLQCYTNEITPLYEHRFNGSAWKHLLTSKGVGATGVVVVRYDRIKRHPKFARLILLAPLLRLRKLLKQEKSGFSANGM
+>sp|Q155Q3|DIXC1_HUMAN Dixin OS=Homo sapiens OX=9606 GN=DIXDC1 PE=1 SV=2
+MLACLTRGNLLDVLQEGFNEQQLQAYVAWVNAQLKKRPAVKPVQDLRQDLRDGVILAYLIEIVAGEKLSGVQLSPGNQQEMKNNVEKVLQFVASKKIRMHQTSAKDIVDGNLKSIMRLVLALAAHFKPGSSRTVNQGRDSRAPLQSHRPHCATAVAQGAAAALADVCHDMSRSGRDVFRYRQRNSSMDEEIENPYWSVRALVQQYEGQQRSPSESSCSSLTSPSPIHSAKSESIITQSEEKADFVIIPAEGIENRTEGTDSPLSRDWRPGSPGTYLETSWEEQLLEQQEYLEKEMEEAKKMISGLQALLLNGSLPEDEQERPLALCEPGVNPEEQLIIIQSRLDQSMEENQDLKKELLKCKQEARNLQGIKDALQQRLTQQDTSVLQLKQELLRANMDKDELHNQNVDLQRKLDERNRLLGEYKKELGQKDRLLQQHQAKLEEALRKLSDVSYHQVDLERELEHKDVLLAHCMKREADEATNYNSHNSQSNGFLLPTAGKGATSVSNRGTSDLQLVRDALRSLRNSFSGHDPQHHTIDSLEQGISSLMERLHVMETQKKQERKVRVKSPRTQVGSEYRESWPPNSKLPHSQSSPTVSSTCTKVLYFTDRSLTPFMVNIPKRLEEVTLKDFKAAIDREGNHRYHFKALDPEFGTVKEEIFHDDDAIPGWEGKIVAWVEEDHGEN
+>DECOY_sp|Q155Q3|DIXC1_HUMAN Dixin OS=Homo sapiens OX=9606 GN=DIXDC1 PE=1 SV=2
+NEGHDEEVWAVIKGEWGPIADDDHFIEEKVTGFEPDLAKFHYRHNGERDIAAKFDKLTVEELRKPINVMFPTLSRDTFYLVKTCTSSVTPSSQSHPLKSNPPWSERYESGVQTRPSKVRVKREQKKQTEMVHLREMLSSIGQELSDITHHQPDHGSFSNRLSRLADRVLQLDSTGRNSVSTAGKGATPLLFGNSQSNHSNYNTAEDAERKMCHALLVDKHELERELDVQHYSVDSLKRLAEELKAQHQQLLRDKQGLEKKYEGLLRNREDLKRQLDVNQNHLEDKDMNARLLEQKLQLVSTDQQTLRQQLADKIGQLNRAEQKCKLLEKKLDQNEEMSQDLRSQIIILQEEPNVGPECLALPREQEDEPLSGNLLLAQLGSIMKKAEEMEKELYEQQELLQEEWSTELYTGPSGPRWDRSLPSDTGETRNEIGEAPIIVFDAKEESQTIISESKASHIPSPSTLSSCSSESPSRQQGEYQQVLARVSWYPNEIEEDMSSNRQRYRFVDRGSRSMDHCVDALAAAAGQAVATACHPRHSQLPARSDRGQNVTRSSGPKFHAALALVLRMISKLNGDVIDKASTQHMRIKKSAVFQLVKEVNNKMEQQNGPSLQVGSLKEGAVIEILYALIVGDRLDQRLDQVPKVAPRKKLQANVWAVYAQLQQENFGEQLVDLLNGRTLCALM
+>sp|Q9NVH1|DJC11_HUMAN DnaJ homolog subfamily C member 11 OS=Homo sapiens OX=9606 GN=DNAJC11 PE=1 SV=2
+MATALSEEELDNEDYYSLLNVRREASSEELKAAYRRLCMLYHPDKHRDPELKSQAERLFNLVHQAYEVLSDPQTRAIYDIYGKRGLEMEGWEVVERRRTPAEIREEFERLQREREERRLQQRTNPKGTISVGVDATDLFDRYDEEYEDVSGSSFPQIEINKMHISQSIEAPLTATDTAILSGSLSTQNGNGGGSINFALRRVTSAKGWGELEFGAGDLQGPLFGLKLFRNLTPRCFVTTNCALQFSSRGIRPGLTTVLARNLDKNTVGYLQWRWGIQSAMNTSIVRDTKTSHFTVALQLGIPHSFALISYQHKFQDDDQTRVKGSLKAGFFGTVVEYGAERKISRHSVLGAAVSVGVPQGVSLKVKLNRASQTYFFPIHLTDQLLPSAMFYATVGPLVVYFAMHRLIIKPYLRAQKEKELEKQRESAATDVLQKKQEAESAVRLMQESVRRIIEAEESRMGLIIVNAWYGKFVNDKSRKSEKVKVIDVTVPLQCLVKDSKLILTEASKAGLPGFYDPCVGEEKNLKVLYQFRGVLHQVMVLDSEALRIPKQSHRIDTDG
+>DECOY_sp|Q9NVH1|DJC11_HUMAN DnaJ homolog subfamily C member 11 OS=Homo sapiens OX=9606 GN=DNAJC11 PE=1 SV=2
+GDTDIRHSQKPIRLAESDLVMVQHLVGRFQYLVKLNKEEGVCPDYFGPLGAKSAETLILKSDKVLCQLPVTVDIVKVKESKRSKDNVFKGYWANVIILGMRSEEAEIIRRVSEQMLRVASEAEQKKQLVDTAASERQKELEKEKQARLYPKIILRHMAFYVVLPGVTAYFMASPLLQDTLHIPFFYTQSARNLKVKLSVGQPVGVSVAAGLVSHRSIKREAGYEVVTGFFGAKLSGKVRTQDDDQFKHQYSILAFSHPIGLQLAVTFHSTKTDRVISTNMASQIGWRWQLYGVTNKDLNRALVTTLGPRIGRSSFQLACNTTVFCRPTLNRFLKLGFLPGQLDGAGFELEGWGKASTVRRLAFNISGGGNGNQTSLSGSLIATDTATLPAEISQSIHMKNIEIQPFSSGSVDEYEEDYRDFLDTADVGVSITGKPNTRQQLRREERERQLREFEERIEAPTRRREVVEWGEMELGRKGYIDYIARTQPDSLVEYAQHVLNFLREAQSKLEPDRHKDPHYLMCLRRYAAKLEESSAERRVNLLSYYDENDLEEESLATAM
+>sp|Q9UKB3|DJC12_HUMAN DnaJ homolog subfamily C member 12 OS=Homo sapiens OX=9606 GN=DNAJC12 PE=1 SV=1
+MDAILNYRSEDTEDYYTLLGCDELSSVEQILAEFKVRALECHPDKHPENPKAVETFQKLQKAKEILTNEESRARYDHWRRSQMSMPFQQWEALNDSVKTSMHWVVRGKKDLMLEESDKTHTTKMENEECNEQRERKKEELASTAEKTEQKEPKPLEKSVSPQNSDSSGFADVNGWHLRFRWSKDAPSELLRKFRNYEI
+>DECOY_sp|Q9UKB3|DJC12_HUMAN DnaJ homolog subfamily C member 12 OS=Homo sapiens OX=9606 GN=DNAJC12 PE=1 SV=1
+IEYNRFKRLLESPADKSWRFRLHWGNVDAFGSSDSNQPSVSKELPKPEKQETKEATSALEEKKRERQENCEENEMKTTHTKDSEELMLDKKGRVVWHMSTKVSDNLAEWQQFPMSMQSRRWHDYRARSEENTLIEKAKQLKQFTEVAKPNEPHKDPHCELARVKFEALIQEVSSLEDCGLLTYYDETDESRYNLIADM
+>sp|Q6Y2X3|DJC14_HUMAN DnaJ homolog subfamily C member 14 OS=Homo sapiens OX=9606 GN=DNAJC14 PE=2 SV=2
+MAQKHPGERGLYGAHHSGGASLRTLGPSVDPEIPSFSGLRDSAGTAPNGTRCLTEHSGPKHTQHPNPAHWLDPSHGPPGGPGPPRDAEDPDQSETSSEEESGVDQELSKENETGNQKDGNSFLSIPSACNCQGTPGIPEGPYSEGGNGSSSNFCHHCTSPALGEDELEEEYDDEESLKFPSDFSRVSSGKKPPSRRQRHRFPTKEDTREGGRRDPRSPGRHRLGRKRSQADKRKGLGLWGAEELCQLGQAGFWWLIELLVLVGEYVETCGHLIYACRQLKSSDLDLFRVWMGVWTGRLGGWAQVMFQFLSQGFYCGVGLFTRFLKLLGALLLLALALFLGFLQLGWRFLVGLGDRLGWRDKATWLFSWLDSPALQRCLTLLRDSRPWQRLVRIVQWGWLELPWVKQNINRQGNAPVASGRYCQPEEEVARLLTMAGVPEDELNPFHVLGVEATASDVELKKAYRQLAVMVHPDKNHHPRAEEAFKVLRAAWDIVSNAEKRKEYEMKRMAENELSRSVNEFLSKLQDDLKEAMNTMMCSRCQGKHRRFEMDREPKSARYCAECNRLHPAEEGDFWAESSMLGLKITYFALMDGKVYDITEWAGCQRVGISPDTHRVPYHISFGSRIPGTRGRQRATPDAPPADLQDFLSRIFQVPPGQMPNGNFFAAPQPAPGAAAASKPNSTVPKGEAKPKRRKKVRRPFQR
+>DECOY_sp|Q6Y2X3|DJC14_HUMAN DnaJ homolog subfamily C member 14 OS=Homo sapiens OX=9606 GN=DNAJC14 PE=2 SV=2
+RQFPRRVKKRRKPKAEGKPVTSNPKSAAAAGPAPQPAAFFNGNPMQGPPVQFIRSLFDQLDAPPADPTARQRGRTGPIRSGFSIHYPVRHTDPSIGVRQCGAWETIDYVKGDMLAFYTIKLGLMSSEAWFDGEEAPHLRNCEACYRASKPERDMEFRRHKGQCRSCMMTNMAEKLDDQLKSLFENVSRSLENEAMRKMEYEKRKEANSVIDWAARLVKFAEEARPHHNKDPHVMVALQRYAKKLEVDSATAEVGLVHFPNLEDEPVGAMTLLRAVEEEPQCYRGSAVPANGQRNINQKVWPLELWGWQVIRVLRQWPRSDRLLTLCRQLAPSDLWSFLWTAKDRWGLRDGLGVLFRWGLQLFGLFLALALLLLAGLLKLFRTFLGVGCYFGQSLFQFMVQAWGGLRGTWVGMWVRFLDLDSSKLQRCAYILHGCTEVYEGVLVLLEILWWFGAQGLQCLEEAGWLGLGKRKDAQSRKRGLRHRGPSRPDRRGGERTDEKTPFRHRQRRSPPKKGSSVRSFDSPFKLSEEDDYEEELEDEGLAPSTCHHCFNSSSGNGGESYPGEPIGPTGQCNCASPISLFSNGDKQNGTENEKSLEQDVGSEEESSTESQDPDEADRPPGPGGPPGHSPDLWHAPNPHQTHKPGSHETLCRTGNPATGASDRLGSFSPIEPDVSPGLTRLSAGGSHHAGYLGREGPHKQAM
+>sp|Q9Y5T4|DJC15_HUMAN DnaJ homolog subfamily C member 15 OS=Homo sapiens OX=9606 GN=DNAJC15 PE=1 SV=2
+MAARGVIAPVGESLRYAEYLQPSAKRPDADVDQQRLVRSLIAVGLGVAALAFAGRYAFRIWKPLEQVITETAKKISTPSFSSYYKGGFEQKMSRREAGLILGVSPSAGKAKIRTAHRRVMILNHPDKGGSPYVAAKINEAKDLLETTTKH
+>DECOY_sp|Q9Y5T4|DJC15_HUMAN DnaJ homolog subfamily C member 15 OS=Homo sapiens OX=9606 GN=DNAJC15 PE=1 SV=2
+HKTTTELLDKAENIKAAVYPSGGKDPHNLIMVRRHATRIKAKGASPSVGLILGAERRSMKQEFGGKYYSSFSPTSIKKATETIVQELPKWIRFAYRGAFALAAVGLGVAILSRVLRQQDVDADPRKASPQLYEAYRLSEGVPAIVGRAAM
+>sp|Q6P3W2|DJC24_HUMAN DnaJ homolog subfamily C member 24 OS=Homo sapiens OX=9606 GN=DNAJC24 PE=1 SV=1
+MAVEQMPKKDWYSILGADPSANISDLKQKYQKLILMYHPDKQSTDVPAGTVEECVQKFIEIDQAWKILGNEETKREYDLQRCEDDLRNVGPVDAQVYLEEMSWNEGDHSFYLSCRCGGKYSVSKDEAEEVSLISCDTCSLIIELLHYN
+>DECOY_sp|Q6P3W2|DJC24_HUMAN DnaJ homolog subfamily C member 24 OS=Homo sapiens OX=9606 GN=DNAJC24 PE=1 SV=1
+NYHLLEIILSCTDCSILSVEEAEDKSVSYKGGCRCSLYFSHDGENWSMEELYVQADVPGVNRLDDECRQLDYERKTEENGLIKWAQDIEIFKQVCEEVTGAPVDTSQKDPHYMLILKQYKQKLDSINASPDAGLISYWDKKPMQEVAM
+>sp|Q9H1X3|DJC25_HUMAN DnaJ homolog subfamily C member 25 OS=Homo sapiens OX=9606 GN=DNAJC25 PE=1 SV=1
+MGAPLLSPGWGAGAAGRRWWMLLAPLLPALLLVRPAGALVEGLYCGTRDCYEVLGVSRSAGKAEIARAYRQLARRYHPDRYRPQPGDEGPGRTPQSAEEAFLLVATAYETLKDEETRKDYDYMLDHPEEYYSHYYHYYSRRLAPKVDVRVVILVSVCAISVFQFFSWWNSYNKAISYLATVPKYRIQATEIAKQQGLLKKAKEKGKNKKSKEEIRDEEENIIKNIIKSKIDIKGGYQKPQICDLLLFQIILAPFHLCSYIVWYCRWIYNFNIKGKEYGEEERLYIIRKSMKMSKSQFDSLEDHQKETFLKRELWIKENYEVYKQEQEEELKKKLANDPRWKRYRRWMKNEGPGRLTFVDD
+>DECOY_sp|Q9H1X3|DJC25_HUMAN DnaJ homolog subfamily C member 25 OS=Homo sapiens OX=9606 GN=DNAJC25 PE=1 SV=1
+DDVFTLRGPGENKMWRRYRKWRPDNALKKKLEEEQEQKYVEYNEKIWLERKLFTEKQHDELSDFQSKSMKMSKRIIYLREEEGYEKGKINFNYIWRCYWVIYSCLHFPALIIQFLLLDCIQPKQYGGKIDIKSKIINKIINEEEDRIEEKSKKNKGKEKAKKLLGQQKAIETAQIRYKPVTALYSIAKNYSNWWSFFQFVSIACVSVLIVVRVDVKPALRRSYYHYYHSYYEEPHDLMYDYDKRTEEDKLTEYATAVLLFAEEASQPTRGPGEDGPQPRYRDPHYRRALQRYARAIEAKGASRSVGLVEYCDRTGCYLGEVLAGAPRVLLLAPLLPALLMWWRRGAAGAGWGPSLLPAGM
+>sp|Q9NZQ0|DJC27_HUMAN DnaJ homolog subfamily C member 27 OS=Homo sapiens OX=9606 GN=DNAJC27 PE=1 SV=1
+MEANMPKRKEPGRSLRIKVISMGNAEVGKSCIIKRYCEKRFVSKYLATIGIDYGVTKVHVRDREIKVNIFDMAGHPFFYEVRNEFYKDTQGVILVYDVGQKDSFDALDAWLAEMKQELGPHGNMENIIFVVCANKIDCTKHRCVDESEGRLWAESKGFLYFETSAQTGEGINEMFQTFYISIVDLCENGGKRPTTNSSASFTKEQADAIRRIRNSKDSWDMLGVKPGASRDEVNKAYRKLAVLLHPDKCVAPGSEDAFKAVVNARTALLKNIK
+>DECOY_sp|Q9NZQ0|DJC27_HUMAN DnaJ homolog subfamily C member 27 OS=Homo sapiens OX=9606 GN=DNAJC27 PE=1 SV=1
+KINKLLATRANVVAKFADESGPAVCKDPHLLVALKRYAKNVEDRSAGPKVGLMDWSDKSNRIRRIADAQEKTFSASSNTTPRKGGNECLDVISIYFTQFMENIGEGTQASTEFYLFGKSEAWLRGESEDVCRHKTCDIKNACVVFIINEMNGHPGLEQKMEALWADLADFSDKQGVDYVLIVGQTDKYFENRVEYFFPHGAMDFINVKIERDRVHVKTVGYDIGITALYKSVFRKECYRKIICSKGVEANGMSIVKIRLSRGPEKRKPMNAEM
+>sp|Q96LL9|DJC30_HUMAN DnaJ homolog subfamily C member 30 OS=Homo sapiens OX=9606 GN=DNAJC30 PE=1 SV=3
+MAAMRWRWWQRLLPWRLLQARGFPQNSAPSLGLGARTYSQGDCSYSRTALYDLLGVPSTATQAQIKAAYYRQCFLYHPDRNSGSAEAAERFTRISQAYVVLGSATLRRKYDRGLLSDEDLRGPGVRPSRTPAPDPGSPRTPPPTSRTHDGSRASPGANRTMFNFDAFYQAHYGEQLERERRLRARREALRKRQEYRSMKGLRWEDTRDTAAIFLIFSIFIIIGFYI
+>DECOY_sp|Q96LL9|DJC30_HUMAN DnaJ homolog subfamily C member 30 OS=Homo sapiens OX=9606 GN=DNAJC30 PE=1 SV=3
+IYFGIIIFISFILFIAATDRTDEWRLGKMSRYEQRKRLAERRARLRRERELQEGYHAQYFADFNFMTRNAGPSARSGDHTRSTPPPTRPSGPDPAPTRSPRVGPGRLDEDSLLGRDYKRRLTASGLVVYAQSIRTFREAAEASGSNRDPHYLFCQRYYAAKIQAQTATSPVGLLDYLATRSYSCDGQSYTRAGLGLSPASNQPFGRAQLLRWPLLRQWWRWRMAAM
+>sp|Q9UBP4|DKK3_HUMAN Dickkopf-related protein 3 OS=Homo sapiens OX=9606 GN=DKK3 PE=1 SV=2
+MQRLGATLLCLLLAAAVPTAPAPAPTATSAPVKPGPALSYPQEEATLNEMFREVEELMEDTQHKLRSAVEEMEAEEAAAKASSEVNLANLPPSYHNETNTDTKVGNNTIHVHREIHKITNNQTGQMVFSETVITSVGDEEGRRSHECIIDEDCGPSMYCQFASFQYTCQPCRGQRMLCTRDSECCGDQLCVWGHCTKMATRGSNGTICDNQRDCQPGLCCAFQRGLLFPVCTPLPVEGELCHDPASRLLDLITWELEPDGALDRCPCASGLLCQPHSHSLVYVCKPTFVGSRDQDGEILLPREVPDEYEVGSFMEEVRQELEDLERSLTEEMALREPAAAAAALLGGEEI
+>DECOY_sp|Q9UBP4|DKK3_HUMAN Dickkopf-related protein 3 OS=Homo sapiens OX=9606 GN=DKK3 PE=1 SV=2
+IEEGGLLAAAAAAPERLAMEETLSRELDELEQRVEEMFSGVEYEDPVERPLLIEGDQDRSGVFTPKCVYVLSHSHPQCLLGSACPCRDLAGDPELEWTILDLLRSAPDHCLEGEVPLPTCVPFLLGRQFACCLGPQCDRQNDCITGNSGRTAMKTCHGWVCLQDGCCESDRTCLMRQGRCPQCTYQFSAFQCYMSPGCDEDIICEHSRRGEEDGVSTIVTESFVMQGTQNNTIKHIERHVHITNNGVKTDTNTENHYSPPLNALNVESSAKAAAEEAEMEEVASRLKHQTDEMLEEVERFMENLTAEEQPYSLAPGPKVPASTATPAPAPATPVAAALLLCLLTAGLRQM
+>sp|Q6UY11|DLK2_HUMAN Protein delta homolog 2 OS=Homo sapiens OX=9606 GN=DLK2 PE=2 SV=1
+MPSGCRCLHLVCLLCILGAPGQPVRADDCSSHCDLAHGCCAPDGSCRCDPGWEGLHCERCVRMPGCQHGTCHQPWQCICHSGWAGKFCDKDEHICTTQSPCQNGGQCMYDGGGEYHCVCLPGFHGRDCERKAGPCEQAGSPCRNGGQCQDDQGFALNFTCRCLVGFVGARCEVNVDDCLMRPCANGATCLDGINRFSCLCPEGFAGRFCTINLDDCASRPCQRGARCRDRVHDFDCLCPSGYGGKTCELVLPVPDPPTTVDTPLGPTSAVVVPATGPAPHSAGAGLLRISVKEVVRRQEAGLGEPSLVALVVFGALTAALVLATVLLTLRAWRRGVCPPGPCCYPAPHYAPACQDQECQVSMLPAGLPLPRDLPPEPGKTTAL
+>DECOY_sp|Q6UY11|DLK2_HUMAN Protein delta homolog 2 OS=Homo sapiens OX=9606 GN=DLK2 PE=2 SV=1
+LATTKGPEPPLDRPLPLGAPLMSVQCEQDQCAPAYHPAPYCCPGPPCVGRRWARLTLLVTALVLAATLAGFVVLAVLSPEGLGAEQRRVVEKVSIRLLGAGASHPAPGTAPVVVASTPGLPTDVTTPPDPVPLVLECTKGGYGSPCLCDFDHVRDRCRAGRQCPRSACDDLNITCFRGAFGEPCLCSFRNIGDLCTAGNACPRMLCDDVNVECRAGVFGVLCRCTFNLAFGQDDQCQGGNRCPSGAQECPGAKRECDRGHFGPLCVCHYEGGGDYMCQGGNQCPSQTTCIHEDKDCFKGAWGSHCICQWPQHCTGHQCGPMRVCRECHLGEWGPDCRCSGDPACCGHALDCHSSCDDARVPQGPAGLICLLCVLHLCRCGSPM
+>sp|P56177|DLX1_HUMAN Homeobox protein DLX-1 OS=Homo sapiens OX=9606 GN=DLX1 PE=1 SV=3
+MTMTTMPESLNSPVSGKAVFMEFGPPNQQMSPSPMSHGHYSMHCLHSAGHSQPDGAYSSASSFSRPLGYPYVNSVSSHASSPYISSVQSYPGSASLAQSRLEDPGADSEKSTVVEGGEVRFNGKGKKIRKPRTIYSSLQLQALNRRFQQTQYLALPERAELAASLGLTQTQVKIWFQNKRSKFKKLMKQGGAALEGSALANGRALSAGSPPVPPGWNPNSSSGKGSGGNAGSYIPSYTSWYPSAHQEAMQQPQLM
+>DECOY_sp|P56177|DLX1_HUMAN Homeobox protein DLX-1 OS=Homo sapiens OX=9606 GN=DLX1 PE=1 SV=3
+MLQPQQMAEQHASPYWSTYSPIYSGANGGSGKGSSSNPNWGPPVPPSGASLARGNALASGELAAGGQKMLKKFKSRKNQFWIKVQTQTLGLSAALEAREPLALYQTQQFRRNLAQLQLSSYITRPKRIKKGKGNFRVEGGEVVTSKESDAGPDELRSQALSASGPYSQVSSIYPSSAHSSVSNVYPYGLPRSFSSASSYAGDPQSHGASHLCHMSYHGHSMPSPSMQQNPPGFEMFVAKGSVPSNLSEPMTTMTM
+>sp|Q9Y5R5|DMRT2_HUMAN Doublesex- and mab-3-related transcription factor 2 OS=Homo sapiens OX=9606 GN=DMRT2 PE=2 SV=2
+MADPQAGSAAGDWEIDVESLELEEDVCGAPRSTPPGPSPPPADGDCEDDEDDDGVDEDAEEEGDGEEAGASPGMPGQPEQRGGPQPRPPLAPQASPAGTGPRERCTPAGGGAEPRKLSRTPKCARCRNHGVVSCLKGHKRFCRWRDCQCANCLLVVERQRVMAAQVALRRQQATEDKKGLSGKQNNFERKAVYQRQVRAPSLLAKSILEGYRPIPAETYVGGTFPLPPPVSDRMRKRRAFADKELENIMLEREYKEREMLETSQAAALFLPNRMVPGPDYNSYKSAYSPSPVEPPSKDFCNFLPTCLDLTMQYSGSGNMELISSNVSVATTYRQYPLSSRFLVWPKCGPISDTLLYQQCLLNATTSVQALKPGASWDLKGARVQDGLSAEQDMMPSKLEGSLVLPHTPEIQTTRSDLQGHQAVPERSAFSPPRRNFSPIVDTDSLAAQGHVLTKISKENTRHPLPLRHNPFHSLFQQTLTDKSGPELKTPFVKEAFEETPKKHRECLVKDNQKYTFTIDRCAKDLFVAKQVGTKLSVNEPLSFSVESILKRPSSAITRVSQ
+>DECOY_sp|Q9Y5R5|DMRT2_HUMAN Doublesex- and mab-3-related transcription factor 2 OS=Homo sapiens OX=9606 GN=DMRT2 PE=2 SV=2
+QSVRTIASSPRKLISEVSFSLPENVSLKTGVQKAVFLDKACRDITFTYKQNDKVLCERHKKPTEEFAEKVFPTKLEPGSKDTLTQQFLSHFPNHRLPLPHRTNEKSIKTLVHGQAALSDTDVIPSFNRRPPSFASREPVAQHGQLDSRTTQIEPTHPLVLSGELKSPMMDQEASLGDQVRAGKLDWSAGPKLAQVSTTANLLCQQYLLTDSIPGCKPWVLFRSSLPYQRYTTAVSVNSSILEMNGSGSYQMTLDLCTPLFNCFDKSPPEVPSPSYASKYSNYDPGPVMRNPLFLAAAQSTELMEREKYERELMINELEKDAFARRKRMRDSVPPPLPFTGGVYTEAPIPRYGELISKALLSPARVQRQYVAKREFNNQKGSLGKKDETAQQRRLAVQAAMVRQREVVLLCNACQCDRWRCFRKHGKLCSVVGHNRCRACKPTRSLKRPEAGGGAPTCRERPGTGAPSAQPALPPRPQPGGRQEPQGPMGPSAGAEEGDGEEEADEDVGDDDEDDECDGDAPPPSPGPPTSRPAGCVDEELELSEVDIEWDGAASGAQPDAM
+>sp|Q96MA1|DMRTB_HUMAN Doublesex- and mab-3-related transcription factor B1 OS=Homo sapiens OX=9606 GN=DMRTB1 PE=2 SV=1
+MADKMVRTPKCSRCRNHGFLVPVKGHAGKCRWKQCLCEKCYLISERQKIMAAQKVLKTQAAEEEQEAALCAQGPKQASGAAAAAPAPVPVPAASLRPLSPGTPSGDADPGPEGRAAACFFEQPPRGRNPGPRALQPVLGGRSHVEPSERAAVAMPSLAGPPFGAEAAGSGYPGPLDLRRPMRTVPGPLFTDFVRPLNINPDRALGPEYPGGSSMHPYCPFPLGYLDAPPGVPLQQGFRHVSRSQYQGGGLVSEPGGDFQPSYYLPPPPPPLPPLPPLPPQPQFLPPGYLSALHFLPPPPPPPPPSSFSLTVLFDTDKENTDDQDAEVLSGEPSQPSSQEQSD
+>DECOY_sp|Q96MA1|DMRTB_HUMAN Doublesex- and mab-3-related transcription factor B1 OS=Homo sapiens OX=9606 GN=DMRTB1 PE=2 SV=1
+DSQEQSSPQSPEGSLVEADQDDTNEKDTDFLVTLSFSSPPPPPPPPPLFHLASLYGPPLFQPQPPLPPLPPLPPPPPPLYYSPQFDGGPESVLGGGQYQSRSVHRFGQQLPVGPPADLYGLPFPCYPHMSSGGPYEPGLARDPNINLPRVFDTFLPGPVTRMPRRLDLPGPYGSGAAEAGFPPGALSPMAVAARESPEVHSRGGLVPQLARPGPNRGRPPQEFFCAAARGEPGPDADGSPTGPSLPRLSAAPVPVPAPAAAAAGSAQKPGQACLAAEQEEEAAQTKLVKQAAMIKQRESILYCKECLCQKWRCKGAHGKVPVLFGHNRCRSCKPTRVMKDAM
+>sp|Q09019|DMWD_HUMAN Dystrophia myotonica WD repeat-containing protein OS=Homo sapiens OX=9606 GN=DMWD PE=1 SV=3
+MAAGGAEGGSGPGAAMGDCAEIKSQFRTREGFYKLLPGDGAARRSGPASAQTPVPPQPPQPPPGPASASGPGAAGPASSPPPAGPGPGPALPAVRLSLVRLGEPDSAGAGEPPATPAGLGSGGDRVCFNLGRELYFYPGCCRRGSQRSIDLNKPIDKRIYKGTQPTCHDFNQFTAATETISLLVGFSAGQVQYLDLIKKDTSKLFNEERLIDKTKVTYLKWLPESESLFLASHASGHLYLYNVSHPCASAPPQYSLLKQGEGFSVYAAKSKAPRNPLAKWAVGEGPLNEFAFSPDGRHLACVSQDGCLRVFHFDSMLLRGLMKSYFGGLLCVCWSPDGRYVVTGGEDDLVTVWSFTEGRVVARGHGHKSWVNAVAFDPYTTRAEEAATAAGADGERSGEEEEEEPEAAGTGSAGGAPLSPLPKAGSITYRFGSAGQDTQFCLWDLTEDVLYPHPPLARTRTLPGTPGTTPPAASSSRGGEPGPGPLPRSLSRSNSLPHPAGGGKAGGPGVAAEPGTPFSIGRFATLTLQERRDRGAEKEHKRYHSLGNISRGGSGGSGSGGEKPSGPVPRSRLDPAKVLGTALCPRIHEVPLLEPLVCKKIAQERLTVLLFLEDCIITACQEGLICTWARPGKAFTDEETEAQTGEGSWPRSPSKSVVEGISSQPGNSPSGTVV
+>DECOY_sp|Q09019|DMWD_HUMAN Dystrophia myotonica WD repeat-containing protein OS=Homo sapiens OX=9606 GN=DMWD PE=1 SV=3
+VVTGSPSNGPQSSIGEVVSKSPSRPWSGEGTQAETEEDTFAKGPRAWTCILGEQCATIICDELFLLVTLREQAIKKCVLPELLPVEHIRPCLATGLVKAPDLRSRPVPGSPKEGGSGSGGSGGRSINGLSHYRKHEKEAGRDRREQLTLTAFRGISFPTGPEAAVGPGGAKGGGAPHPLSNSRSLSRPLPGPGPEGGRSSSAAPPTTGPTGPLTRTRALPPHPYLVDETLDWLCFQTDQGASGFRYTISGAKPLPSLPAGGASGTGAAEPEEEEEEGSREGDAGAATAAEEARTTYPDFAVANVWSKHGHGRAVVRGETFSWVTVLDDEGGTVVYRGDPSWCVCLLGGFYSKMLGRLLMSDFHFVRLCGDQSVCALHRGDPSFAFENLPGEGVAWKALPNRPAKSKAAYVSFGEGQKLLSYQPPASACPHSVNYLYLHGSAHSALFLSESEPLWKLYTVKTKDILREENFLKSTDKKILDLYQVQGASFGVLLSITETAATFQNFDHCTPQTGKYIRKDIPKNLDISRQSGRRCCGPYFYLERGLNFCVRDGGSGLGAPTAPPEGAGASDPEGLRVLSLRVAPLAPGPGPGAPPPSSAPGAAGPGSASAPGPPPQPPQPPVPTQASAPGSRRAAGDGPLLKYFGERTRFQSKIEACDGMAAGPGSGGEAGGAAM
+>sp|Q8TDJ6|DMXL2_HUMAN DmX-like protein 2 OS=Homo sapiens OX=9606 GN=DMXL2 PE=1 SV=2
+MHLHQVLTGAVNPGDNCYSVGSVGDVPFTAYGSGCDIVILANDFECVQIIPGAKHGNIQVSCVECSNQQGRIAASYGNAVCIFEPLGINSHKRNCQLKCQWLKTGQFFLSSVTYNLAWDPQDNRLLTATDSIQLWAPPGDDILEEEEEIDNTVPPVLNDWKCVWQCKTSVSVHLMEWSPDGEYFATAGKDDCLLKVWYPMTGWKSSIIPQDHHEVKRRQSSTQFSFVYLAHPRAVTGFSWRKTSKYMPRGSVCNVLLTSCHDGVCRLWAETLLPEDCLLGEQICETTTSSIASSLSHAGRHKDRIQHALETIHHLKNLRKGQRRSSVLVTHAELMPDQTAMHEVQRHISHHANALCHFHIAASINPATDIPNVLVGTAFNVDDGNGGFVVHWLNNKEFHFTSSTEVFMHQLRKLSDKQVDHENDDADREDEEHSQEDRERGLHMKLDHDLSLDRESEAGTGSSEHEDGEREGSPRTYSRLSVPMPLPTVLLDRKIETLLTEWNKNPDMLFTIHPVDGTFLVWHVKYLDEYNPGIFRQVQVSFSSRIPVAFPSGDASSLSKNIMMYACINATKDSHHTLLHQEGMSVGSPHGSQPHSRSHSTHMNILAPTVMMISKHIDGSLNQWAVTFADKSAFTTVLTVSHKFRYCGHRFHLNDLACHSVLPLLLTSSHHNALLTPELDCQWDSDNKLSRLMDPVKHIKGSSKQPLRNAATRTFHDPNAIYSELILWRVDPIGPLSYTGGVSELARINSLHTSAFSNVAWLPTLIPSYCLGTYCNSASACFVASDGKNLRLYQAVVDARKLLDELSDPESSKLIGEVFNIVSQQSTARPGCIIELDAITNQCGSNTQLLHVFQEDFIIGYKPHKEDMEKKETEIFFQPSQGYRPPPFSEKFFLVVIEKDSNNNSILHMWHLHLKSVQACLAKASEGASSESLLSVPGQKNVDSSPETSPSVSPMPHSSSIANLQTASKLILSSRLVYSQPLDLPESVEVIRATPSAGHLSSSSIYPVCLAPYLVVTTCSDNKVRFWKCCMEANPECNKSDEKEIYHWKRWPLMNDEGEDNSSTVSIVGRPVAVSCSYTGRLAVAYKQPIHHNGFVSKEFSMHVCIFECESTGGSEWVLEQTIHLDDLVKVGSVLDSRVSVDSNLFVYSKSDALLSKDRYLIPNIKHLVHLDWVSKEDGSHILTVGVGANIFMYGRLSGIVTEQTNSKDGVAVITLPLGGSIKQGVKSRWVLLRSIDLVSSVDGTPSLPVSLSWVRDGILVVGMDCEMHVYAQWKHAVKFGDTEADSSNAEEAAMQDHSTFKSNMLARKSVVEGTAISDDVFCSPTVIQDGGLFEAAHVLSPTLPQYHPTQLLELMDLGKVRRAKAILSHLVKCIAGEVAIVRDPDAGEGTKRHLSRTISVSGSTAKETVTVGKDGTRDYTEIDSIPPLPLYALLAADQDTSYRISEESTKIPQSYEDQTVSQPEDQYSELFQIQDIPTDDIDLEPEKRENKSKVINLSQYGPAYFGQEHARVLSSHLMHSSLPGLTRLEQMFLVALADTVATTSTELDESRDKSCSGRDTLDECGLRYLLAMRLHTCLLTSLPPLYRVQLLHQGVSTCHFAWAFHSEAEEELINMIPAIQRGDPQWSELRAMGIGWWVRNINTLRRCIEKVAKASFQRNNDALDAALFYLSMKKKAVVWGLFRSQHDEKMTTFFSHNFNEDRWRKAALKNAFSLLGKQRFEQSAAFFLLAGSLKDAIEVCLEKMEDIQLAMVIARLYESEFETSSTYISILNQKILGCQKDGSGFSCKRLHPDPFLRSLAYWVMKDYTRALDTLLEQTPKEDDEHQVIIKSCNPVAFSFYNYLRTHPLLIRRNLASPEGTLATLGLKTEKNFVDKINLIERKLFFTTANAHFKVGCPVLALEVLSKIPKVTKTSALSAKKDQPDFISHRMDDVPSHSKALSDGNGSSGIEWSNVTSSQYDWSQPIVKVDEEPLNLDWGEDHDSALDEEEDDAVGLVMKSTDAREKDKQSDQKASDPNMLLTPQEEDDPEGDTEVDVIAEQLKFRACLKILMTELRTLATGYEVDGGKLRFQLYNWLEKEIAALHEICNHESVIKEYSSKTYSKVESDLLDQEEMVDKPDIGSYERHQIERRRLQAKREHAERRKSWLQKNQDLLRVFLSYCSLHGAQGGGLASVRMELKFLLQESQQETTVKQLQSPLPLPTTLPLLSASIASTKTVIANPVLYLNNHIHDILYTIVQMKTPPHPSIEDVKVHTLHSLAASLSASIYQALCDSHSYSQTEGNQFTGMAYQGLLLSDRRRLRTESIEEHATPNSSPAQWPGVSSLINLLSSAQDEDQPKLNILLCEAVVAVYLSLLIHALATNSSSELFRLAAHPLNNRMWAAVFGGGVKLVVKPRRQSENISAPPVLSEDIDKHRRRFNMRMLVPGRPVKDATPPPVPAERPSYKEKFIPPELSMWDYFVAKPFLPLSDSGVIYDSDESIHSDEEDDAFFSDTQIQEHQDPNSYSWALLHLTMVKLALHNVKNFFPIAGLEFSELPVTSPLGIAVIKNLENWEQILQEKMDQFEGPPPNYINTYPTDLSVGAGPAILRNKAMLEPENTPFKSRDSSAFPVKRLWHFLVKQEVLQETFIRYIFTKKRKQSEVEADLGYPGGKAKVIHKESDMIMAFSVNKANCNEIVLASTHDVQELDVTSLLACQSYIWIGEEYDRESKSSDDVDYRGSTTTLYQPSATSYSASQVHPPSSLPWLGTGQTSTGASVLMKRNLHNVKRMTSHPVHQYYLTGAQDGSVRMFEWTRPQQLVCFRQAGNARVTRLYFNSQGNKCGVADGEGFLSIWQVNQTASNPKPYMSWQCHSKATSDFAFITSSSLVATSGHSNDNRNVCLWDTLISPGNSLIHGFTCHDHGATVLQYAPKQQLLISGGRKGHVCIFDIRQRQLIHTFQAHDSAIKALALDPYEEYFTTGSAEGNIKVWRLTGHGLIHSFKSEHAKQSIFRNIGAGVMQIDIIQGNRLFSCGADGTLKTRVLPNAFNIPNRILDIL
+>DECOY_sp|Q8TDJ6|DMXL2_HUMAN DmX-like protein 2 OS=Homo sapiens OX=9606 GN=DMXL2 PE=1 SV=2
+LIDLIRNPINFANPLVRTKLTGDAGCSFLRNGQIIDIQMVGAGINRFISQKAHESKFSHILGHGTLRWVKINGEASGTTFYEEYPDLALAKIASDHAQFTHILQRQRIDFICVHGKRGGSILLQQKPAYQLVTAGHDHCTFGHILSNGPSILTDWLCVNRNDNSHGSTAVLSSSTIFAFDSTAKSHCQWSMYPKPNSATQNVQWISLFGEGDAVGCKNGQSNFYLRTVRANGAQRFCVLQQPRTWEFMRVSGDQAGTLYYQHVPHSTMRKVNHLNRKMLVSAGTSTQGTGLWPLSSPPHVQSASYSTASPQYLTTTSGRYDVDDSSKSERDYEEGIWIYSQCALLSTVDLEQVDHTSALVIENCNAKNVSFAMIMDSEKHIVKAKGGPYGLDAEVESQKRKKTFIYRIFTEQLVEQKVLFHWLRKVPFASSDRSKFPTNEPELMAKNRLIAPGAGVSLDTPYTNIYNPPPGEFQDMKEQLIQEWNELNKIVAIGLPSTVPLESFELGAIPFFNKVNHLALKVMTLHLLAWSYSNPDQHEQIQTDSFFADDEEDSHISEDSDYIVGSDSLPLFPKAVFYDWMSLEPPIFKEKYSPREAPVPPPTADKVPRGPVLMRMNFRRRHKDIDESLVPPASINESQRRPKVVLKVGGGFVAAWMRNNLPHAALRFLESSSNTALAHILLSLYVAVVAECLLINLKPQDEDQASSLLNILSSVGPWQAPSSNPTAHEEISETRLRRRDSLLLGQYAMGTFQNGETQSYSHSDCLAQYISASLSAALSHLTHVKVDEISPHPPTKMQVITYLIDHIHNNLYLVPNAIVTKTSAISASLLPLTTPLPLPSQLQKVTTEQQSEQLLFKLEMRVSALGGGQAGHLSCYSLFVRLLDQNKQLWSKRREAHERKAQLRRREIQHREYSGIDPKDVMEEQDLLDSEVKSYTKSSYEKIVSEHNCIEHLAAIEKELWNYLQFRLKGGDVEYGTALTRLETMLIKLCARFKLQEAIVDVETDGEPDDEEQPTLLMNPDSAKQDSQKDKERADTSKMVLGVADDEEEDLASDHDEGWDLNLPEEDVKVIPQSWDYQSSTVNSWEIGSSGNGDSLAKSHSPVDDMRHSIFDPQDKKASLASTKTVKPIKSLVELALVPCGVKFHANATTFFLKREILNIKDVFNKETKLGLTALTGEPSALNRRILLPHTRLYNYFSFAVPNCSKIIVQHEDDEKPTQELLTDLARTYDKMVWYALSRLFPDPHLRKCSFGSGDKQCGLIKQNLISIYTSSTEFESEYLRAIVMALQIDEMKELCVEIADKLSGALLFFAASQEFRQKGLLSFANKLAAKRWRDENFNHSFFTTMKEDHQSRFLGWVVAKKKMSLYFLAADLADNNRQFSAKAVKEICRRLTNINRVWWGIGMARLESWQPDGRQIAPIMNILEEEAESHFAWAFHCTSVGQHLLQVRYLPPLSTLLCTHLRMALLYRLGCEDLTDRGSCSKDRSEDLETSTTAVTDALAVLFMQELRTLGPLSSHMLHSSLVRAHEQGFYAPGYQSLNIVKSKNERKEPELDIDDTPIDQIQFLESYQDEPQSVTQDEYSQPIKTSEESIRYSTDQDAALLAYLPLPPISDIETYDRTGDKGVTVTEKATSGSVSITRSLHRKTGEGADPDRVIAVEGAICKVLHSLIAKARRVKGLDMLELLQTPHYQPLTPSLVHAAEFLGGDQIVTPSCFVDDSIATGEVVSKRALMNSKFTSHDQMAAEEANSSDAETDGFKVAHKWQAYVHMECDMGVVLIGDRVWSLSVPLSPTGDVSSVLDISRLLVWRSKVGQKISGGLPLTIVAVGDKSNTQETVIGSLRGYMFINAGVGVTLIHSGDEKSVWDLHVLHKINPILYRDKSLLADSKSYVFLNSDVSVRSDLVSGVKVLDDLHITQELVWESGGTSECEFICVHMSFEKSVFGNHHIPQKYAVALRGTYSCSVAVPRGVISVTSSNDEGEDNMLPWRKWHYIEKEDSKNCEPNAEMCCKWFRVKNDSCTTVVLYPALCVPYISSSSLHGASPTARIVEVSEPLDLPQSYVLRSSLILKSATQLNAISSSHPMPSVSPSTEPSSDVNKQGPVSLLSESSAGESAKALCAQVSKLHLHWMHLISNNNSDKEIVVLFFKESFPPPRYGQSPQFFIETEKKEMDEKHPKYGIIFDEQFVHLLQTNSGCQNTIADLEIICGPRATSQQSVINFVEGILKSSEPDSLEDLLKRADVVAQYLRLNKGDSAVFCASASNCYTGLCYSPILTPLWAVNSFASTHLSNIRALESVGGTYSLPGIPDVRWLILESYIANPDHFTRTAANRLPQKSSGKIHKVPDMLRSLKNDSDWQCDLEPTLLANHHSSTLLLPLVSHCALDNLHFRHGCYRFKHSVTLVTTFASKDAFTVAWQNLSGDIHKSIMMVTPALINMHTSHSRSHPQSGHPSGVSMGEQHLLTHHSDKTANICAYMMINKSLSSADGSPFAVPIRSSFSVQVQRFIGPNYEDLYKVHWVLFTGDVPHITFLMDPNKNWETLLTEIKRDLLVTPLPMPVSLRSYTRPSGEREGDEHESSGTGAESERDLSLDHDLKMHLGRERDEQSHEEDERDADDNEHDVQKDSLKRLQHMFVETSSTFHFEKNNLWHVVFGGNGDDVNFATGVLVNPIDTAPNISAAIHFHCLANAHHSIHRQVEHMATQDPMLEAHTVLVSSRRQGKRLNKLHHITELAHQIRDKHRGAHSLSSAISSTTTECIQEGLLCDEPLLTEAWLRCVGDHCSTLLVNCVSGRPMYKSTKRWSFGTVARPHALYVFSFQTSSQRRKVEHHDQPIISSKWGTMPYWVKLLCDDKGATAFYEGDPSWEMLHVSVSTKCQWVCKWDNLVPPVTNDIEEEEELIDDGPPAWLQISDTATLLRNDQPDWALNYTVSSLFFQGTKLWQCKLQCNRKHSNIGLPEFICVANGYSAAIRGQQNSCEVCSVQINGHKAGPIIQVCEFDNALIVIDCGSGYATFPVDGVSGVSYCNDGPNVAGTLVQHLHM
+>sp|Q9GZS0|DNAI2_HUMAN Dynein intermediate chain 2, axonemal OS=Homo sapiens OX=9606 GN=DNAI2 PE=1 SV=2
+MEIVYVYVKKRSEFGKQCNFSDRQAELNIDIMPNPELAEQFVERNPVDTGIQCSISMSEHEANSERFEMETRGVNHVEGGWPKDVNPLELEQTIRFRKKVEKDENYVNAIMQLGSIMEHCIKQNNAIDIYEEYFNDEEAMEVMEEDPSAKTINVFRDPQEIKRAATHLSWHPDGNRKLAVAYSCLDFQRAPVGMSSDSYIWDLENPNKPELALKPSSPLVTLEFNPKDSHVLLGGCYNGQIACWDTRKGSLVAELSTIESSHRDPVYGTIWLQSKTGTECFSASTDGQVMWWDIRKMSEPTEVVILDITKKEQLENALGAISLEFESTLPTKFMVGTEQGIVISCNRKAKTSAEKIVCTFPGHHGPIYALQRNPFYPKNFLTVGDWTARIWSEDSRESSIMWTKYHMAYLTDAAWSPVRPTVFFTTRMDGTLDIWDFMFEQCDPTLSLKVCDEALFCLRVQDNGCLIACGSQLGTTTLLEVSPGLSTLQRNEKNVASSMFERETRREKILEARHREMRLKEKGKAEGRDEEQTDEELAVDLEALVSKAEEEFFDIIFAELKKKEADAIKLTPVPQQPSPEEDQVVEEGEEAAGEEGDEEVEEDLA
+>DECOY_sp|Q9GZS0|DNAI2_HUMAN Dynein intermediate chain 2, axonemal OS=Homo sapiens OX=9606 GN=DNAI2 PE=1 SV=2
+ALDEEVEEDGEEGAAEEGEEVVQDEEPSPQQPVPTLKIADAEKKKLEAFIIDFFEEEAKSVLAELDVALEEDTQEEDRGEAKGKEKLRMERHRAELIKERRTEREFMSSAVNKENRQLTSLGPSVELLTTTGLQSGCAILCGNDQVRLCFLAEDCVKLSLTPDCQEFMFDWIDLTGDMRTTFFVTPRVPSWAADTLYAMHYKTWMISSERSDESWIRATWDGVTLFNKPYFPNRQLAYIPGHHGPFTCVIKEASTKAKRNCSIVIGQETGVMFKTPLTSEFELSIAGLANELQEKKTIDLIVVETPESMKRIDWWMVQGDTSASFCETGTKSQLWITGYVPDRHSSEITSLEAVLSGKRTDWCAIQGNYCGGLLVHSDKPNFELTVLPSSPKLALEPKNPNELDWIYSDSSMGVPARQFDLCSYAVALKRNGDPHWSLHTAARKIEQPDRFVNITKASPDEEMVEMAEEDNFYEEYIDIANNQKICHEMISGLQMIANVYNEDKEVKKRFRITQELELPNVDKPWGGEVHNVGRTEMEFRESNAEHESMSISCQIGTDVPNREVFQEALEPNPMIDINLEAQRDSFNCQKGFESRKKVYVYVIEM
+>sp|Q4LDG9|DNAL1_HUMAN Dynein light chain 1, axonemal OS=Homo sapiens OX=9606 GN=DNAL1 PE=1 SV=1
+MAKATTIKEALARWEEKTGQRPSEAKEIKLYAQIPPIEKMDASLSMLANCEKLSLSTNCIEKIANLNGLKNLRILSLGRNNIKNLNGLEAVGDTLEELWISYNFIEKLKGIHIMKKLKILYMSNNLVKDWAEFVKLAELPCLEDLVFVGNPLEEKHSAENNWIEEATKRVPKLKKLDGTPVIKGDEEEDN
+>DECOY_sp|Q4LDG9|DNAL1_HUMAN Dynein light chain 1, axonemal OS=Homo sapiens OX=9606 GN=DNAL1 PE=1 SV=1
+NDEEEDGKIVPTGDLKKLKPVRKTAEEIWNNEASHKEELPNGVFVLDELCPLEALKVFEAWDKVLNNSMYLIKLKKMIHIGKLKEIFNYSIWLEELTDGVAELGNLNKINNRGLSLIRLNKLGNLNAIKEICNTSLSLKECNALMSLSADMKEIPPIQAYLKIEKAESPRQGTKEEWRALAEKITTAKAM
+>sp|P24855|DNAS1_HUMAN Deoxyribonuclease-1 OS=Homo sapiens OX=9606 GN=DNASE1 PE=1 SV=1
+MRGMKLLGALLALAALLQGAVSLKIAAFNIQTFGETKMSNATLVSYIVQILSRYDIALVQEVRDSHLTAVGKLLDNLNQDAPDTYHYVVSEPLGRNSYKERYLFVYRPDQVSAVDSYYYDDGCEPCGNDTFNREPAIVRFFSRFTEVREFAIVPLHAAPGDAVAEIDALYDVYLDVQEKWGLEDVMLMGDFNAGCSYVRPSQWSSIRLWTSPTFQWLIPDSADTTATPTHCAYDRIVVAGMLLRGAVVPDSALPFNFQAAYGLSDQLAQAISDHYPVEVMLK
+>DECOY_sp|P24855|DNAS1_HUMAN Deoxyribonuclease-1 OS=Homo sapiens OX=9606 GN=DNASE1 PE=1 SV=1
+KLMVEVPYHDSIAQALQDSLGYAAQFNFPLASDPVVAGRLLMGAVVIRDYACHTPTATTDASDPILWQFTPSTWLRISSWQSPRVYSCGANFDGMLMVDELGWKEQVDLYVDYLADIEAVADGPAAHLPVIAFERVETFRSFFRVIAPERNFTDNGCPECGDDYYYSDVASVQDPRYVFLYREKYSNRGLPESVVYHYTDPADQNLNDLLKGVATLHSDRVEQVLAIDYRSLIQVIYSVLTANSMKTEGFTQINFAAIKLSVAGQLLAALALLAGLLKMGRM
+>sp|Q9UF47|DNJ5B_HUMAN DnaJ homolog subfamily C member 5B OS=Homo sapiens OX=9606 GN=DNAJC5B PE=1 SV=2
+MACNIPNQRQRTLSTTGEALYEILGLHKGASNEEIKKTYRKLALKHHPDKNPDDPAATEKFKEINNAHAILTDISKRSIYDKYGSLGLYVAEQFGDENVNTYFMLSSWWAKALFVIVGLLTGCYFCCCLCCCCNCCCGHCRPESSVPEEDFYVSPEDLEEQIKSDMEKDVDFPVFLQPTNANEKTQLIKEGSRSYCTDS
+>DECOY_sp|Q9UF47|DNJ5B_HUMAN DnaJ homolog subfamily C member 5B OS=Homo sapiens OX=9606 GN=DNAJC5B PE=1 SV=2
+SDTCYSRSGEKILQTKENANTPQLFVPFDVDKEMDSKIQEELDEPSVYFDEEPVSSEPRCHGCCCNCCCCLCCCFYCGTLLGVIVFLAKAWWSSLMFYTNVNEDGFQEAVYLGLSGYKDYISRKSIDTLIAHANNIEKFKETAAPDDPNKDPHHKLALKRYTKKIEENSAGKHLGLIEYLAEGTTSLTRQRQNPINCAM
+>sp|Q8N7S2|DNJ5G_HUMAN DnaJ homolog subfamily C member 5G OS=Homo sapiens OX=9606 GN=DNAJC5G PE=2 SV=1
+MSTVKEAAHRLSKSEMSLYAVLDLKKGASPEDFKKSYSHSALLPHPPFEYHLGRKLALRYHPDKNPGNAQAAEIFKEINAAHAILSDSKKRKIYDQHGSLGIYLYDHFGEEGVRYYFILNSCWFKTLVILCTLLTCCCFCCCCCFCCGALKPPPEQDSGRKYQQNVQSQPPRSGAKCDFRSEENSEDDF
+>DECOY_sp|Q8N7S2|DNJ5G_HUMAN DnaJ homolog subfamily C member 5G OS=Homo sapiens OX=9606 GN=DNAJC5G PE=2 SV=1
+FDDESNEESRFDCKAGSRPPQSQVNQQYKRGSDQEPPPKLAGCCFCCCCCFCCCTLLTCLIVLTKFWCSNLIFYYRVGEEGFHDYLYIGLSGHQDYIKRKKSDSLIAHAANIEKFIEAAQANGPNKDPHYRLALKRGLHYEFPPHPLLASHSYSKKFDEPSAGKKLDLVAYLSMESKSLRHAAEKVTSM
+>sp|Q8WW22|DNJA4_HUMAN DnaJ homolog subfamily A member 4 OS=Homo sapiens OX=9606 GN=DNAJA4 PE=1 SV=1
+MVKETQYYDILGVKPSASPEEIKKAYRKLALKYHPDKNPDEGEKFKLISQAYEVLSDPKKRDVYDQGGEQAIKEGGSGSPSFSSPMDIFDMFFGGGGRMARERRGKNVVHQLSVTLEDLYNGVTKKLALQKNVICEKCEGVGGKKGSVEKCPLCKGRGMQIHIQQIGPGMVQQIQTVCIECKGQGERINPKDRCESCSGAKVIREKKIIEVHVEKGMKDGQKILFHGEGDQEPELEPGDVIIVLDQKDHSVFQRRGHDLIMKMKIQLSEALCGFKKTIKTLDNRILVITSKAGEVIKHGDLRCVRDEGMPIYKAPLEKGILIIQFLVIFPEKHWLSLEKLPQLEALLPPRQKVRITDDMDQVELKEFCPNEQNWRQHREAYEEDEDGPQAGVQCQTA
+>DECOY_sp|Q8WW22|DNJA4_HUMAN DnaJ homolog subfamily A member 4 OS=Homo sapiens OX=9606 GN=DNAJA4 PE=1 SV=1
+ATQCQVGAQPGDEDEEYAERHQRWNQENPCFEKLEVQDMDDTIRVKQRPPLLAELQPLKELSLWHKEPFIVLFQIILIGKELPAKYIPMGEDRVCRLDGHKIVEGAKSTIVLIRNDLTKITKKFGCLAESLQIKMKMILDHGRRQFVSHDKQDLVIIVDGPELEPEQDGEGHFLIKQGDKMGKEVHVEIIKKERIVKAGSCSECRDKPNIREGQGKCEICVTQIQQVMGPGIQQIHIQMGRGKCLPCKEVSGKKGGVGECKECIVNKQLALKKTVGNYLDELTVSLQHVVNKGRRERAMRGGGGFFMDFIDMPSSFSPSGSGGEKIAQEGGQDYVDRKKPDSLVEYAQSILKFKEGEDPNKDPHYKLALKRYAKKIEEPSASPKVGLIDYYQTEKVM
+>sp|P25686|DNJB2_HUMAN DnaJ homolog subfamily B member 2 OS=Homo sapiens OX=9606 GN=DNAJB2 PE=1 SV=3
+MASYYEILDVPRSASADDIKKAYRRKALQWHPDKNPDNKEFAEKKFKEVAEAYEVLSDKHKREIYDRYGREGLTGTGTGPSRAEAGSGGPGFTFTFRSPEEVFREFFGSGDPFAELFDDLGPFSELQNRGSRHSGPFFTFSSSFPGHSDFSSSSFSFSPGAGAFRSVSTSTTFVQGRRITTRRIMENGQERVEVEEDGQLKSVTINGVPDDLALGLELSRREQQPSVTSRSGGTQVQQTPASCPLDSDLSEDEDLQLAMAYSLSEMEAAGKKPAGGREAQHRRQGRPKAQHQDPGLGGTQEGARGEATKRSPSPEEKASRCLIL
+>DECOY_sp|P25686|DNJB2_HUMAN DnaJ homolog subfamily B member 2 OS=Homo sapiens OX=9606 GN=DNAJB2 PE=1 SV=3
+LILCRSAKEEPSPSRKTAEGRAGEQTGGLGPDQHQAKPRGQRRHQAERGGAPKKGAAEMESLSYAMALQLDEDESLDSDLPCSAPTQQVQTGGSRSTVSPQQERRSLELGLALDDPVGNITVSKLQGDEEVEVREQGNEMIRRTTIRRGQVFTTSTSVSRFAGAGPSFSFSSSSFDSHGPFSSSFTFFPGSHRSGRNQLESFPGLDDFLEAFPDGSGFFERFVEEPSRFTFTFGPGGSGAEARSPGTGTGTLGERGYRDYIERKHKDSLVEYAEAVEKFKKEAFEKNDPNKDPHWQLAKRRYAKKIDDASASRPVDLIEYYSAM
+>sp|Q7Z6W7|DNJB7_HUMAN DnaJ homolog subfamily B member 7 OS=Homo sapiens OX=9606 GN=DNAJB7 PE=2 SV=2
+MVDYYEVLGLQRYASPEDIKKAYHKVALKWHPDKNPENKEEAERKFKEVAEAYEVLSNDEKRDIYDKYGTEGLNGGGSHFDDECEYGFTFHKPDDVFKEIFHERDPFSFHFFEDSLEDLLNRPGSSYGNRNRDAGYFFSTASEYPIFEKFSSYDTGYTSQGSLGHEGLTSFSSLAFDNSGMDNYISVTTSDKIVNGRNINTKKIIESDQEREAEDNGELTFFLVNSVANEEGFAKECSWRTQSFNNYSPNSHSSKHVSQYTFVDNDEGGISWVTSNRDPPIFSAGVKEGGKRKKKKRKEVQKKSTKRNC
+>DECOY_sp|Q7Z6W7|DNJB7_HUMAN DnaJ homolog subfamily B member 7 OS=Homo sapiens OX=9606 GN=DNAJB7 PE=2 SV=2
+CNRKTSKKQVEKRKKKKRKGGEKVGASFIPPDRNSTVWSIGGEDNDVFTYQSVHKSSHSNPSYNNFSQTRWSCEKAFGEENAVSNVLFFTLEGNDEAEREQDSEIIKKTNINRGNVIKDSTTVSIYNDMGSNDFALSSFSTLGEHGLSGQSTYGTDYSSFKEFIPYESATSFFYGADRNRNGYSSGPRNLLDELSDEFFHFSFPDREHFIEKFVDDPKHFTFGYECEDDFHSGGGNLGETGYKDYIDRKEDNSLVEYAEAVEKFKREAEEKNEPNKDPHWKLAVKHYAKKIDEPSAYRQLGLVEYYDVM
+>sp|Q99615|DNJC7_HUMAN DnaJ homolog subfamily C member 7 OS=Homo sapiens OX=9606 GN=DNAJC7 PE=1 SV=2
+MAAAAECDVVMAATEPELLDDQEAKREAETFKEQGNAYYAKKDYNEAYNYYTKAIDMCPKNASYYGNRAATLMMLGRFREALGDAQQSVRLDDSFVRGHLREGKCHLSLGNAMAACRSFQRALELDHKNAQAQQEFKNANAVMEYEKIAETDFEKRDFRKVVFCMDRALEFAPACHRFKILKAECLAMLGRYPEAQSVASDILRMDSTNADALYVRGLCLYYEDCIEKAVQFFVQALRMAPDHEKACIACRNAKALKAKKEDGNKAFKEGNYKLAYELYTEALGIDPNNIKTNAKLYCNRGTVNSKLRKLDDAIEDCTNAVKLDDTYIKAYLRRAQCYMDTEQYEEAVRDYEKVYQTEKTKEHKQLLKNAQLELKKSKRKDYYKILGVDKNASEDEIKKAYRKRALMHHPDRHSGASAEVQKEEEKKFKEVGEAFTILSDPKKKTRYDSGQDLDEEGMNMGDFDPNNIFKAFFGGPGGFSFEASGPGNFFFQFG
+>DECOY_sp|Q99615|DNJC7_HUMAN DnaJ homolog subfamily C member 7 OS=Homo sapiens OX=9606 GN=DNAJC7 PE=1 SV=2
+GFQFFFNGPGSAEFSFGGPGGFFAKFINNPDFDGMNMGEEDLDQGSDYRTKKKPDSLITFAEGVEKFKKEEEKQVEASAGSHRDPHHMLARKRYAKKIEDESANKDVGLIKYYDKRKSKKLELQANKLLQKHEKTKETQYVKEYDRVAEEYQETDMYCQARRLYAKIYTDDLKVANTCDEIADDLKRLKSNVTGRNCYLKANTKINNPDIGLAETYLEYALKYNGEKFAKNGDEKKAKLAKANRCAICAKEHDPAMRLAQVFFQVAKEICDEYYLCLGRVYLADANTSDMRLIDSAVSQAEPYRGLMALCEAKLIKFRHCAPAFELARDMCFVVKRFDRKEFDTEAIKEYEMVANANKFEQQAQANKHDLELARQFSRCAAMANGLSLHCKGERLHGRVFSDDLRVSQQADGLAERFRGLMMLTAARNGYYSANKPCMDIAKTYYNYAENYDKKAYYANGQEKFTEAERKAEQDDLLEPETAAMVVDCEAAAAM
+>sp|Q8WXX5|DNJC9_HUMAN DnaJ homolog subfamily C member 9 OS=Homo sapiens OX=9606 GN=DNAJC9 PE=1 SV=1
+MGLLDLCEEVFGTADLYRVLGVRREASDGEVRRGYHKVSLQVHPDRVGEGDKEDATRRFQILGKVYSVLSDREQRAVYDEQGTVDEDSPVLTQDRDWEAYWRLLFKKISLEDIQAFEKTYKGSEEELADIKQAYLDFKGDMDQIMESVLCVQYTEEPRIRNIIQQAIDAGEVPSYNAFVKESKQKMNARKRRAQEEAKEAEMSRKELGLDEGVDSLKAAIQSRQKDRQKEMDNFLAQMEAKYCKSSKGGGKKSALKKEKK
+>DECOY_sp|Q8WXX5|DNJC9_HUMAN DnaJ homolog subfamily C member 9 OS=Homo sapiens OX=9606 GN=DNAJC9 PE=1 SV=1
+KKEKKLASKKGGGKSSKCYKAEMQALFNDMEKQRDKQRSQIAAKLSDVGEDLGLEKRSMEAEKAEEQARRKRANMKQKSEKVFANYSPVEGADIAQQIINRIRPEETYQVCLVSEMIQDMDGKFDLYAQKIDALEEESGKYTKEFAQIDELSIKKFLLRWYAEWDRDQTLVPSDEDVTGQEDYVARQERDSLVSYVKGLIQFRRTADEKDGEGVRDPHVQLSVKHYGRRVEGDSAERRVGLVRYLDATGFVEECLDLLGM
+>sp|P49917|DNLI4_HUMAN DNA ligase 4 OS=Homo sapiens OX=9606 GN=LIG4 PE=1 SV=2
+MAASQTSQTVASHVPFADLCSTLERIQKSKGRAEKIRHFREFLDSWRKFHDALHKNHKDVTDSFYPAMRLILPQLERERMAYGIKETMLAKLYIELLNLPRDGKDALKLLNYRTPTGTHGDAGDFAMIAYFVLKPRCLQKGSLTIQQVNDLLDSIASNNSAKRKDLIKKSLLQLITQSSALEQKWLIRMIIKDLKLGVSQQTIFSVFHNDAAELHNVTTDLEKVCRQLHDPSVGLSDISITLFSAFKPMLAAIADIEHIEKDMKHQSFYIETKLDGERMQMHKDGDVYKYFSRNGYNYTDQFGASPTEGSLTPFIHNAFKADIQICILDGEMMAYNPNTQTFMQKGTKFDIKRMVEDSDLQTCYCVFDVLMVNNKKLGHETLRKRYEILSSIFTPIPGRIEIVQKTQAHTKNEVIDALNEAIDKREEGIMVKQPLSIYKPDKRGEGWLKIKPEYVSGLMDELDILIVGGYWGKGSRGGMMSHFLCAVAEKPPPGEKPSVFHTLSRVGSGCTMKELYDLGLKLAKYWKPFHRKAPPSSILCGTEKPEVYIEPCNSVIVQIKAAEIVPSDMYKTGCTLRFPRIEKIRDDKEWHECMTLDDLEQLRGKASGKLASKHLYIGGDDEPQEKKRKAAPKMKKVIGIIEHLKAPNLTNVNKISNIFEDVEFCVMSGTDSQPKPDLENRIAEFGGYIVQNPGPDTYCVIAGSENIRVKNIILSNKHDVVKPAWLLECFKTKSFVPWQPRFMIHMCPSTKEHFAREYDCYGDSYFIDTDLNQLKEVFSGIKNSNEQTPEEMASLIADLEYRYSWDCSPLSMFRRHTVYLDSYAVINDLSTKNEGTRLAIKALELRFHGAKVVSCLAEGVSHVIIGEDHSRVADFKAFRRTFKRKFKILKESWVTDSIDKCELQEENQYLI
+>DECOY_sp|P49917|DNLI4_HUMAN DNA ligase 4 OS=Homo sapiens OX=9606 GN=LIG4 PE=1 SV=2
+ILYQNEEQLECKDISDTVWSEKLIKFKRKFTRRFAKFDAVRSHDEGIIVHSVGEALCSVVKAGHFRLELAKIALRTGENKTSLDNIVAYSDLYVTHRRFMSLPSCDWSYRYELDAILSAMEEPTQENSNKIGSFVEKLQNLDTDIFYSDGYCDYERAFHEKTSPCMHIMFRPQWPVFSKTKFCELLWAPKVVDHKNSLIINKVRINESGAIVCYTDPGPNQVIYGGFEAIRNELDPKPQSDTGSMVCFEVDEFINSIKNVNTLNPAKLHEIIGIVKKMKPAAKRKKEQPEDDGGIYLHKSALKGSAKGRLQELDDLTMCEHWEKDDRIKEIRPFRLTCGTKYMDSPVIEAAKIQVIVSNCPEIYVEPKETGCLISSPPAKRHFPKWYKALKLGLDYLEKMTCGSGVRSLTHFVSPKEGPPPKEAVACLFHSMMGGRSGKGWYGGVILIDLEDMLGSVYEPKIKLWGEGRKDPKYISLPQKVMIGEERKDIAENLADIVENKTHAQTKQVIEIRGPIPTFISSLIEYRKRLTEHGLKKNNVMLVDFVCYCTQLDSDEVMRKIDFKTGKQMFTQTNPNYAMMEGDLICIQIDAKFANHIFPTLSGETPSAGFQDTYNYGNRSFYKYVDGDKHMQMREGDLKTEIYFSQHKMDKEIHEIDAIAALMPKFASFLTISIDSLGVSPDHLQRCVKELDTTVNHLEAADNHFVSFITQQSVGLKLDKIIMRILWKQELASSQTILQLLSKKILDKRKASNNSAISDLLDNVQQITLSGKQLCRPKLVFYAIMAFDGADGHTGTPTRYNLLKLADKGDRPLNLLEIYLKALMTEKIGYAMRERELQPLILRMAPYFSDTVDKHNKHLADHFKRWSDLFERFHRIKEARGKSKQIRELTSCLDAFPVHSAVTQSTQSAAM
+>sp|Q9UJW3|DNM3L_HUMAN DNA (cytosine-5)-methyltransferase 3-like OS=Homo sapiens OX=9606 GN=DNMT3L PE=1 SV=3
+MAAIPALDPEAEPSMDVILVGSSELSSSVSPGTGRDLIAYEVKANQRNIEDICICCGSLQVHTQHPLFEGGICAPCKDKFLDALFLYDDDGYQSYCSICCSGETLLICGNPDCTRCYCFECVDSLVGPGTSGKVHAMSNWVCYLCLPSSRSGLLQRRRKWRSQLKAFYDRESENPLEMFETVPVWRRQPVRVLSLFEDIKKELTSLGFLESGSDPGQLKHVVDVTDTVRKDVEEWGPFDLVYGATPPLGHTCDRPPSWYLFQFHRLLQYARPKPGSPRPFFWMFVDNLVLNKEDLDVASRFLEMEPVTIPDVHGGSLQNAVRVWSNIPAIRSRHWALVSEEELSLLAQNKQSSKLAAKWPTKLVKNCFLPLREYFKYFSTELTSSL
+>DECOY_sp|Q9UJW3|DNM3L_HUMAN DNA (cytosine-5)-methyltransferase 3-like OS=Homo sapiens OX=9606 GN=DNMT3L PE=1 SV=3
+LSSTLETSFYKFYERLPLFCNKVLKTPWKAALKSSQKNQALLSLEEESVLAWHRSRIAPINSWVRVANQLSGGHVDPITVPEMELFRSAVDLDEKNLVLNDVFMWFFPRPSGPKPRAYQLLRHFQFLYWSPPRDCTHGLPPTAGYVLDFPGWEEVDKRVTDTVDVVHKLQGPDSGSELFGLSTLEKKIDEFLSLVRVPQRRWVPVTEFMELPNESERDYFAKLQSRWKRRRQLLGSRSSPLCLYCVWNSMAHVKGSTGPGVLSDVCEFCYCRTCDPNGCILLTEGSCCISCYSQYGDDDYLFLADLFKDKCPACIGGEFLPHQTHVQLSGCCICIDEINRQNAKVEYAILDRGTGPSVSSSLESSGVLIVDMSPEAEPDLAPIAAM
+>sp|Q6XZF7|DNMBP_HUMAN Dynamin-binding protein OS=Homo sapiens OX=9606 GN=DNMBP PE=1 SV=1
+MEAGSVVRAIFDFCPSVSEELPLFVGDIIEVLAVVDEFWLLGKKEDVTGQFPSSFVEIVTIPSLKEGERLFVCICEFTSQELDNLPLHRGDLVILDGIPTAGWLQGRSCWGARGFFPSSCVRELCLSSQSRQWHSQSALFQIPEYSMGQARALMGLSAQLDEELDFREGDVITIIGVPEPGWFEGELEGRRGIFPEGFVELLGPLRTVDESVSSGNQDDCIVNGEVDTPVGEEEIGPDEDEEEPGTYGVALYRFQALEPNELDFEVGDKIRILATLEDGWLEGSLKGRTGIFPYRFVKLCPDTRVEETMALPQEGSLARIPETSLDCLENTLGVEEQRHETSDHEAEEPDCIISEAPTSPLGHLTSEYDTDRNSYQDEDTAGGPPRSPGVEWEMPLATDSPTSDPTEVVNGISSQPQVPFHPNLQKSQYYSTVGGSHPHSEQYPDLLPLEARTRDYASLPPKRMYSQLKTLQKPVLPLYRGSSVSASRVVKPRQSSPQLHNLASYTKKHHTSSVYSISERLEMKPGPQAQGLVMEAATHSQGDGSTDLDSKLTQQLIEFEKSLAGPGTEPDKILRHFSIMDFNSEKDIVRGSSKLITEQELPERRKALRPPPPRPCTPVSTSPHLLVDQNLKPAPPLVVRPSRPAPLPPSAQQRTNAVSPKLLSRHRPTCETLEKEGPGHMGRSLDQTSPCPLVLVRIEEMERDLDMYSRAQEELNLMLEEKQDESSRAETLEDLKFCESNIESLNMELQQLREMTLLSSQSSSLVAPSGSVSAENPEQRMLEKRAKVIEELLQTERDYIRDLEMCIERIMVPMQQAQVPNIDFEGLFGNMQMVIKVSKQLLAALEISDAVGPVFLGHRDELEGTYKIYCQNHDEAIALLEIYEKDEKIQKHLQDSLADLKSLYNEWGCTNYINLGSFLIKPVQRVMRYPLLLMELLNSTPESHPDKVPLTNAVLAVKEINVNINEYKRRKDLVLKYRKGDEDSLMEKISKLNIHSIIKKSNRVSSHLKHLTGFAPQIKDEVFEETEKNFRMQERLIKSFIRDLSLYLQHIRESACVKVVAAVSMWDVCMERGHRDLEQFERVHRYISDQLFTNFKERTERLVISPLNQLLSMFTGPHKLVQKRFDKLLDFYNCTERAEKLKDKKTLEELQSARNNYEALNAQLLDELPKFHQYAQGLFTNCVHGYAEAHCDFVHQALEQLKPLLSLLKVAGREGNLIAIFHEEHSRVLQQLQVFTFFPESLPATKKPFERKTIDRQSARKPLLGLPSYMLQSEELRASLLARYPPEKLFQAERNFNAAQDLDVSLLEGDLVGVIKKKDPMGSQNRWLIDNGVTKGFVYSSFLKPYNPRRSHSDASVGSHSSTESEHGSSSPRFPRQNSGSTLTFNPSSMAVSFTSGSCQKQPQDASPPPKECDQGTLSASLNPSNSESSPSRCPSDPDSTSQPRSGDSADVARDVKQPTATPRSYRNFRHPEIVGYSVPGRNGQSQDLVKGCARTAQAPEDRSTEPDGSEAEGNQVYFAVYTFKARNPNELSVSANQKLKILEFKDVTGNTEWWLAEVNGKKGYVPSNYIRKTEYT
+>DECOY_sp|Q6XZF7|DNMBP_HUMAN Dynamin-binding protein OS=Homo sapiens OX=9606 GN=DNMBP PE=1 SV=1
+TYETKRIYNSPVYGKKGNVEALWWETNGTVDKFELIKLKQNASVSLENPNRAKFTYVAFYVQNGEAESGDPETSRDEPAQATRACGKVLDQSQGNRGPVSYGVIEPHRFNRYSRPTATPQKVDRAVDASDGSRPQSTSDPDSPCRSPSSESNSPNLSASLTGQDCEKPPPSADQPQKQCSGSTFSVAMSSPNFTLTSGSNQRPFRPSSSGHESETSSHSGVSADSHSRRPNYPKLFSSYVFGKTVGNDILWRNQSGMPDKKKIVGVLDGELLSVDLDQAANFNREAQFLKEPPYRALLSARLEESQLMYSPLGLLPKRASQRDITKREFPKKTAPLSEPFFTFVQLQQLVRSHEEHFIAILNGERGAVKLLSLLPKLQELAQHVFDCHAEAYGHVCNTFLGQAYQHFKPLEDLLQANLAEYNNRASQLEELTKKDKLKEARETCNYFDLLKDFRKQVLKHPGTFMSLLQNLPSIVLRETREKFNTFLQDSIYRHVREFQELDRHGREMCVDWMSVAAVVKVCASERIHQLYLSLDRIFSKILREQMRFNKETEEFVEDKIQPAFGTLHKLHSSVRNSKKIISHINLKSIKEMLSDEDGKRYKLVLDKRRKYENINVNIEKVALVANTLPVKDPHSEPTSNLLEMLLLPYRMVRQVPKILFSGLNIYNTCGWENYLSKLDALSDQLHKQIKEDKEYIELLAIAEDHNQCYIKYTGELEDRHGLFVPGVADSIELAALLQKSVKIVMQMNGFLGEFDINPVQAQQMPVMIREICMELDRIYDRETQLLEEIVKARKELMRQEPNEASVSGSPAVLSSSQSSLLTMERLQQLEMNLSEINSECFKLDELTEARSSEDQKEELMLNLEEQARSYMDLDREMEEIRVLVLPCPSTQDLSRGMHGPGEKELTECTPRHRSLLKPSVANTRQQASPPLPAPRSPRVVLPPAPKLNQDVLLHPSTSVPTCPRPPPPRLAKRREPLEQETILKSSGRVIDKESNFDMISFHRLIKDPETGPGALSKEFEILQQTLKSDLDTSGDGQSHTAAEMVLGQAQPGPKMELRESISYVSSTHHKKTYSALNHLQPSSQRPKVVRSASVSSGRYLPLVPKQLTKLQSYMRKPPLSAYDRTRAELPLLDPYQESHPHSGGVTSYYQSKQLNPHFPVQPQSSIGNVVETPDSTPSDTALPMEWEVGPSRPPGGATDEDQYSNRDTDYESTLHGLPSTPAESIICDPEEAEHDSTEHRQEEVGLTNELCDLSTEPIRALSGEQPLAMTEEVRTDPCLKVFRYPFIGTRGKLSGELWGDELTALIRIKDGVEFDLENPELAQFRYLAVGYTGPEEEDEDPGIEEEGVPTDVEGNVICDDQNGSSVSEDVTRLPGLLEVFGEPFIGRRGELEGEFWGPEPVGIITIVDGERFDLEEDLQASLGMLARAQGMSYEPIQFLASQSHWQRSQSSLCLERVCSSPFFGRAGWCSRGQLWGATPIGDLIVLDGRHLPLNDLEQSTFECICVFLREGEKLSPITVIEVFSSPFQGTVDEKKGLLWFEDVVALVEIIDGVFLPLEESVSPCFDFIARVVSGAEM
+>sp|Q8NEU8|DP13B_HUMAN DCC-interacting protein 13-beta OS=Homo sapiens OX=9606 GN=APPL2 PE=1 SV=3
+MPAVDKLLLEEALQDSPQTRSLLSVFEEDAGTLTDYTNQLLQAMQRVYGAQNEMCLATQQLSKQLLAYEKQNFALGKGDEEVISTLHYFSKVVDELNLLHTELAKQLADTMVLPIIQFREKDLTEVSTLKDLFGLASNEHDLSMAKYSRLPKKKENEKVKTEVGKEVAAARRKQHLSSLQYYCALNALQYRKQMAMMEPMIGFAHGQINFFKKGAEMFSKRMDSFLSSVADMVQSIQVELEAEAEKMRVSQQELLSVDESVYTPDSDVAAPQINRNLIQKAGYLNLRNKTGLVTTTWERLYFFTQGGNLMCQPRGAVAGGLIQDLDNCSVMAVDCEDRRYCFQITTPNGKSGIILQAESRKENEEWICAINNISRQIYLTDNPEAVAIKLNQTALQAVTPITSFGKKQESSCPSQNLKNSEMENENDKIVPKATASLPEAEELIAPGTPIQFDIVLPATEFLDQNRGSRRTNPFGETEDESFPEAEDSLLQQMFIVRFLGSMAVKTDSTTEVIYEAMRQVLAARAIHNIFRMTESHLMVTSQSLRLIDPQTQVSRANFELTSVTQFAAHQENKRLVGFVIRVPESTGEESLSTYIFESNSEGEKICYAINLGKEIIEVQKDPEALAQLMLSIPLTNDGKYVLLNDQPDDDDGNPNEHRGAESEA
+>DECOY_sp|Q8NEU8|DP13B_HUMAN DCC-interacting protein 13-beta OS=Homo sapiens OX=9606 GN=APPL2 PE=1 SV=3
+AESEAGRHENPNGDDDDPQDNLLVYKGDNTLPISLMLQALAEPDKQVEIIEKGLNIAYCIKEGESNSEFIYTSLSEEGTSEPVRIVFGVLRKNEQHAAFQTVSTLEFNARSVQTQPDILRLSQSTVMLHSETMRFINHIARAALVQRMAEYIVETTSDTKVAMSGLFRVIFMQQLLSDEAEPFSEDETEGFPNTRRSGRNQDLFETAPLVIDFQIPTGPAILEEAEPLSATAKPVIKDNENEMESNKLNQSPCSSEQKKGFSTIPTVAQLATQNLKIAVAEPNDTLYIQRSINNIACIWEENEKRSEAQLIIGSKGNPTTIQFCYRRDECDVAMVSCNDLDQILGGAVAGRPQCMLNGGQTFFYLREWTTTVLGTKNRLNLYGAKQILNRNIQPAAVDSDPTYVSEDVSLLEQQSVRMKEAEAELEVQISQVMDAVSSLFSDMRKSFMEAGKKFFNIQGHAFGIMPEMMAMQKRYQLANLACYYQLSSLHQKRRAAAVEKGVETKVKENEKKKPLRSYKAMSLDHENSALGFLDKLTSVETLDKERFQIIPLVMTDALQKALETHLLNLEDVVKSFYHLTSIVEEDGKGLAFNQKEYALLQKSLQQTALCMENQAGYVRQMAQLLQNTYDTLTGADEEFVSLLSRTQPSDQLAEELLLKDVAPM
+>sp|P20036|DPA1_HUMAN HLA class II histocompatibility antigen, DP alpha 1 chain OS=Homo sapiens OX=9606 GN=HLA-DPA1 PE=1 SV=1
+MRPEDRMFHIRAVILRALSLAFLLSLRGAGAIKADHVSTYAAFVQTHRPTGEFMFEFDEDEMFYVDLDKKETVWHLEEFGQAFSFEAQGGLANIAILNNNLNTLIQRSNHTQATNDPPEVTVFPKEPVELGQPNTLICHIDKFFPPVLNVTWLCNGELVTEGVAESLFLPRTDYSFHKFHYLTFVPSAEDFYDCRVEHWGLDQPLLKHWEAQEPIQMPETTETVLCALGLVLGLVGIIVGTVLIIKSLRSGHDPRAQGTL
+>DECOY_sp|P20036|DPA1_HUMAN HLA class II histocompatibility antigen, DP alpha 1 chain OS=Homo sapiens OX=9606 GN=HLA-DPA1 PE=1 SV=1
+LTGQARPDHGSRLSKIILVTGVIIGVLGLVLGLACLVTETTEPMQIPEQAEWHKLLPQDLGWHEVRCDYFDEASPVFTLYHFKHFSYDTRPLFLSEAVGETVLEGNCLWTVNLVPPFFKDIHCILTNPQGLEVPEKPFVTVEPPDNTAQTHNSRQILTNLNNNLIAINALGGQAEFSFAQGFEELHWVTEKKDLDVYFMEDEDFEFMFEGTPRHTQVFAAYTSVHDAKIAGAGRLSLLFALSLARLIVARIHFMRDEPRM
+>sp|Q9H4A9|DPEP2_HUMAN Dipeptidase 2 OS=Homo sapiens OX=9606 GN=DPEP2 PE=1 SV=3
+MQPSGLEGPGTFGRWPLLSLLLLLLLLQPVTCAYTTPGPPRALTTLGAPRAHTMPGTYAPSTTLSSPSTQGLQEQARALMRDFPLVDGHNDLPLVLRQVYQKGLQDVNLRNFSYGQTSLDRLRDGLVGAQFWSAYVPCQTQDRDALRLTLEQIDLIRRMCASYSELELVTSAKALNDTQKLACLIGVEGGHSLDNSLSILRTFYMLGVRYLTLTHTCNTPWAESSAKGVHSFYNNISGLTDFGEKVVAEMNRLGMMVDLSHVSDAVARRALEVSQAPVIFSHSAARGVCNSARNVPDDILQLLKKNGGVVMVSLSMGVIQCNPSANVSTVADHFDHIKAVIGSKFIGIGGDYDGAGKFPQGLEDVSTYPVLIEELLSRGWSEEELQGVLRGNLLRVFRQVEKVQEENKWQSPLEDKFPDEQLSSSCHSDLSRLRQRQSLTSGQELTEIPIHWTAKLPAKWSVSESSPHMAPVLAVVATFPVLILWL
+>DECOY_sp|Q9H4A9|DPEP2_HUMAN Dipeptidase 2 OS=Homo sapiens OX=9606 GN=DPEP2 PE=1 SV=3
+LWLILVPFTAVVALVPAMHPSSESVSWKAPLKATWHIPIETLEQGSTLSQRQRLRSLDSHCSSSLQEDPFKDELPSQWKNEEQVKEVQRFVRLLNGRLVGQLEEESWGRSLLEEILVPYTSVDELGQPFKGAGDYDGGIGIFKSGIVAKIHDFHDAVTSVNASPNCQIVGMSLSVMVVGGNKKLLQLIDDPVNRASNCVGRAASHSFIVPAQSVELARRAVADSVHSLDVMMGLRNMEAVVKEGFDTLGSINNYFSHVGKASSEAWPTNCTHTLTLYRVGLMYFTRLISLSNDLSHGGEVGILCALKQTDNLAKASTVLELESYSACMRRILDIQELTLRLADRDQTQCPVYASWFQAGVLGDRLRDLSTQGYSFNRLNVDQLGKQYVQRLVLPLDNHGDVLPFDRMLARAQEQLGQTSPSSLTTSPAYTGPMTHARPAGLTTLARPPGPTTYACTVPQLLLLLLLLSLLPWRGFTGPGELGSPQM
+>sp|Q9BTV6|DPH7_HUMAN Diphthine methyltransferase OS=Homo sapiens OX=9606 GN=DPH7 PE=1 SV=2
+MMGCFALQTVDTELTADSVEWCPLQGCRHLLACGTYQLRRPEDRPAGPQNKGGMEVKEPQVRLGRLFLYSFNDNNSIHPLVEVQRKDTSAILDMKWCHIPVAGHALLGLADASGSIQLLRLVESEKSHVLEPLSSLALEEQCLALSLDWSTGKTGRAGDQPLKIISSDSTGQLHLLMVNETRPRLQKVASWQAHQFEAWIAAFNYWHPEIVYSGGDDGLLRGWDTRVPGKFLFTSKRHTMGVCSIQSSPHREHILATGSYDEHILLWDTRNMKQPLADTPVQGGVWRIKWHPFHHHLLLAACMHSGFKILNCQKAMEERQEATVLTSHTLPDSLVYGADWSWLLFRSLQRAPSWSFPSNLGTKTADLKGASELPTPCHECREDNDGEGHARPQSGMKPLTEGMRKNGTWLQATAATTRDCGVNPEEADSAFSLLATCSFYDHALHLWEWEGN
+>DECOY_sp|Q9BTV6|DPH7_HUMAN Diphthine methyltransferase OS=Homo sapiens OX=9606 GN=DPH7 PE=1 SV=2
+NGEWEWLHLAHDYFSCTALLSFASDAEEPNVGCDRTTAATAQLWTGNKRMGETLPKMGSQPRAHGEGDNDERCEHCPTPLESAGKLDATKTGLNSPFSWSPARQLSRFLLWSWDAGYVLSDPLTHSTLVTAEQREEMAKQCNLIKFGSHMCAALLLHHHFPHWKIRWVGGQVPTDALPQKMNRTDWLLIHEDYSGTALIHERHPSSQISCVGMTHRKSTFLFKGPVRTDWGRLLGDDGGSYVIEPHWYNFAAIWAEFQHAQWSAVKQLRPRTENVMLLHLQGTSDSSIIKLPQDGARGTKGTSWDLSLALCQEELALSSLPELVHSKESEVLRLLQISGSADALGLLAHGAVPIHCWKMDLIASTDKRQVEVLPHISNNDNFSYLFLRGLRVQPEKVEMGGKNQPGAPRDEPRRLQYTGCALLHRCGQLPCWEVSDATLETDVTQLAFCGMM
+>sp|Q9P2X0|DPM3_HUMAN Dolichol-phosphate mannosyltransferase subunit 3 OS=Homo sapiens OX=9606 GN=DPM3 PE=1 SV=2
+MTKLAQWLWGLAILGSTWVALTTGALGLELPLSCQEVLWPLPAYLLVSAGCYALGTVGYRVATFHDCEDAARELQSQIQEARADLARRGLRF
+>DECOY_sp|Q9P2X0|DPM3_HUMAN Dolichol-phosphate mannosyltransferase subunit 3 OS=Homo sapiens OX=9606 GN=DPM3 PE=1 SV=2
+FRLGRRALDARAEQIQSQLERAADECDHFTAVRYGVTGLAYCGASVLLYAPLPWLVEQCSLPLELGLAGTTLAVWTSGLIALGWLWQALKTM
+>sp|P28340|DPOD1_HUMAN DNA polymerase delta catalytic subunit OS=Homo sapiens OX=9606 GN=POLD1 PE=1 SV=2
+MDGKRRPGPGPGVPPKRARGGLWDDDDAPRPSQFEEDLALMEEMEAEHRLQEQEEEELQSVLEGVADGQVPPSAIDPRWLRPTPPALDPQTEPLIFQQLEIDHYVGPAQPVPGGPPPSRGSVPVLRAFGVTDEGFSVCCHIHGFAPYFYTPAPPGFGPEHMGDLQRELNLAISRDSRGGRELTGPAVLAVELCSRESMFGYHGHGPSPFLRITVALPRLVAPARRLLEQGIRVAGLGTPSFAPYEANVDFEIRFMVDTDIVGCNWLELPAGKYALRLKEKATQCQLEADVLWSDVVSHPPEGPWQRIAPLRVLSFDIECAGRKGIFPEPERDPVIQICSLGLRWGEPEPFLRLALTLRPCAPILGAKVQSYEKEEDLLQAWSTFIRIMDPDVITGYNIQNFDLPYLISRAQTLKVQTFPFLGRVAGLCSNIRDSSFQSKQTGRRDTKVVSMVGRVQMDMLQVLLREYKLRSYTLNAVSFHFLGEQKEDVQHSIITDLQNGNDQTRRRLAVYCLKDAYLPLRLLERLMVLVNAVEMARVTGVPLSYLLSRGQQVKVVSQLLRQAMHEGLLMPVVKSEGGEDYTGATVIEPLKGYYDVPIATLDFSSLYPSIMMAHNLCYTTLLRPGTAQKLGLTEDQFIRTPTGDEFVKTSVRKGLLPQILENLLSARKRAKAELAKETDPLRRQVLDGRQLALKVSANSVYGFTGAQVGKLPCLEISQSVTGFGRQMIEKTKQLVESKYTVENGYSTSAKVVYGDTDSVMCRFGVSSVAEAMALGREAADWVSGHFPSPIRLEFEKVYFPYLLISKKRYAGLLFSSRPDAHDRMDCKGLEAVRRDNCPLVANLVTASLRRLLIDRDPEGAVAHAQDVISDLLCNRIDISQLVITKELTRAASDYAGKQAHVELAERMRKRDPGSAPSLGDRVPYVIISAAKGVAAYMKSEDPLFVLEHSLPIDTQYYLEQQLAKPLLRIFEPILGEGRAEAVLLRGDHTRCKTVLTGKVGGLLAFAKRRNCCIGCRTVLSHQGAVCEFCQPRESELYQKEVSHLNALEERFSRLWTQCQRCQGSLHEDVICTSRDCPIFYMRKKVRKDLEDQEQLLRRFGPPGPEAW
+>DECOY_sp|P28340|DPOD1_HUMAN DNA polymerase delta catalytic subunit OS=Homo sapiens OX=9606 GN=POLD1 PE=1 SV=2
+WAEPGPPGFRRLLQEQDELDKRVKKRMYFIPCDRSTCIVDEHLSGQCRQCQTWLRSFREELANLHSVEKQYLESERPQCFECVAGQHSLVTRCGICCNRRKAFALLGGVKGTLVTKCRTHDGRLLVAEARGEGLIPEFIRLLPKALQQELYYQTDIPLSHELVFLPDESKMYAAVGKAASIIVYPVRDGLSPASGPDRKRMREALEVHAQKGAYDSAARTLEKTIVLQSIDIRNCLLDSIVDQAHAVAGEPDRDILLRRLSATVLNAVLPCNDRRVAELGKCDMRDHADPRSSFLLGAYRKKSILLYPFYVKEFELRIPSPFHGSVWDAAERGLAMAEAVSSVGFRCMVSDTDGYVVKASTSYGNEVTYKSEVLQKTKEIMQRGFGTVSQSIELCPLKGVQAGTFGYVSNASVKLALQRGDLVQRRLPDTEKALEAKARKRASLLNELIQPLLGKRVSTKVFEDGTPTRIFQDETLGLKQATGPRLLTTYCLNHAMMISPYLSSFDLTAIPVDYYGKLPEIVTAGTYDEGGESKVVPMLLGEHMAQRLLQSVVKVQQGRSLLYSLPVGTVRAMEVANVLVMLRELLRLPLYADKLCYVALRRRTQDNGNQLDTIISHQVDEKQEGLFHFSVANLTYSRLKYERLLVQLMDMQVRGVMSVVKTDRRGTQKSQFSSDRINSCLGAVRGLFPFTQVKLTQARSILYPLDFNQINYGTIVDPDMIRIFTSWAQLLDEEKEYSQVKAGLIPACPRLTLALRLFPEPEGWRLGLSCIQIVPDREPEPFIGKRGACEIDFSLVRLPAIRQWPGEPPHSVVDSWLVDAELQCQTAKEKLRLAYKGAPLELWNCGVIDTDVMFRIEFDVNAEYPAFSPTGLGAVRIGQELLRRAPAVLRPLAVTIRLFPSPGHGHYGFMSERSCLEVALVAPGTLERGGRSDRSIALNLERQLDGMHEPGFGPPAPTYFYPAFGHIHCCVSFGEDTVGFARLVPVSGRSPPPGGPVPQAPGVYHDIELQQFILPETQPDLAPPTPRLWRPDIASPPVQGDAVGELVSQLEEEEQEQLRHEAEMEEMLALDEEFQSPRPADDDDWLGGRARKPPVGPGPGPRRKGDM
+>sp|Q96M86|DNHD1_HUMAN Dynein heavy chain domain-containing protein 1 OS=Homo sapiens OX=9606 GN=DNHD1 PE=2 SV=2
+MVPEERRVGLSSDETSSDSLKSWHSICVLDSKEQPLACQQKQRQFVKPVTESEQPTVLELLLAELRTLFSAVLQDSSPAAWRYLHAVLGLLPPYRELLVGHLDLLPFLEQLYCWAPWVQTHLHLDLLGAIVQAFPPDSSLLDSASHADCCPQKRRLHHRPPCPACPFVQAQWSRQQVKEELATWLRPLTLPELQRCLGIVGAQVALEEAVWLDGLSLLPLALAADIPVRYESSDTDNAEVEPVGRKETRSQLDYEVPREKAFQKSSTGFSPETSFLDSQVMTALKMERYLKKIHFLYLNVAPSRYFRPYSLMVVPPDKVNPEHYIFSPFGILHVHPVEGSETMTLGTWHHHCVLWQQLQFIPFFKYCLLRKSFTCWKKNVRLQGLHRLQKFLENHLLLAVPHFGAGLLHISRLLQELHSVSWLPQELDRCYELLDLQTALAEEKHKALRLLHRCLNLCTSILRLVHEDTYHMQQCLQERVQNCDRIRTGQGSIYLQRVQHKQLEQKLKQAEAWWLQLGKFARLVDYMICQSLISVLEEQITSFVANILQAPRQKPFLSSQLVFDDHGQLSHVPCVENMIQTLTGGLQSVKTSALQVVQSADLKTSSDSLYSEEEDEEEDSKDEFLMPKFQGQPSDAVSIFCGPNVGLVWPWKSHPIAGILEVRGCRLRGQYFPHNYKQLEEDLDNNPKIQQALNIQQVLLEGVLCKVQEFCREHHWITGIYEFLQSWGPQKLEDMRGGPIKNYVTLVSRLNVWQARVSSMPIELLTKGGLLLLSCHDVQAEMESKLNSIRKDILAHVQNECWNLSQQLMTELTDFMHIFRTINSDIHAIAQCTQKLNEANEQYVELEERMEYVRALHELIRNHFSLFSAENEALDISVRRQFGESPIPPCPPPPQPHLLHCPLLAPQLLDMWEAFQFEKSQASEFLLSKRHAIMPKLQQLMAAALAELEGLLAKALSGPFMDPTQDQRSTEHQLVSLERQFQNTVSDLSELHHAYAIFTEDETPVPLPICGTRPIVQQQRIWHLYRVISENISEWKCMAFAKFSPAMAQEKTEGWLTEAARMSTTLELHSPVLQHCMRILGEFRSYLPLLTKLGSLHPQSLNCQCLLRALGLGSLQTIELLTLGQLLTYPLLEFADRINQVWQNENERIHAQETIRRLQRYWEARQLRLLNFILHVPYEPPASERSKRQVLRSPQWEVVDKDSGTFILSDYSNLQDSIQESLQVLSKILAIEKSGDLNKIALEWVAIMHGLGALLEVWLTFQQKWIFLNKVLHEMKIQFPNADLNSRFKVMDDQYRTLMRISVADPMVLSLVVPSAERSPYFQGQQLQQLLQAGSVELEGIIMSLESVLYGVCAHFPRLFFLSDSELVALLAARLESCEAQLWVRRCFPHVHAVSFRSCPTGEKNTDDWESSPNTQTQVEALAVLGAGGEEVKLQGPLPLHPDLPKWLASLEKCLRLALVHMLQGCVAARLARGPSLGEALKQLPKQNKLYLQLYVQHWIDLVQAFPWQCVLVAEEVVWRAEMEEALLEWGTLAMVSMHMRKLEVLVNFMRAQRASQGGQSLPSVRQTSLLSALLVMAVTHRDIAQLLEQHQVSDLTDFHWVRQLKYHLGSPHIIPKSPLQSLKTIASSEPSLSPAACWIDVLGRSFLYNYEYLGPRLGPLPSLLPERPALVLLLALEEVACGTVLGPNGVGKRAIVNSLAQALGRQLVMLPCSPQIEAQCLSNYLNGALQGGAWLLLEKVHQLPPGLLSALGQRLGELHHLYAPLYQEASRNTSTIDPTQPQLLGSSFFEKHHVSVRLGYGCLLVLRALSSAVPANLHLLLRPVALALPDLRQVAELTLLGAGMRDAFQMATRLSKFFSLERELVSGPLPCRLPLLKQILEDTIRTLNVTKEEPKCQKPRSLAAIEEAALLRSPLFSILNGLHLHNLRGLLCALFPSASQVLAEPMTYKLMKPLVVEELQQVGLDPSPDILGSLEQLSQALSRASGILLLGPAGSGKTTCWHSLFKIQNRLAAMEDTSTQGCQPVEITHLYPSGLSPQEFLGWLEGSCWHHGIFPKVLRAAGQCNNMGQKRQTEESIGIQHWIICDGASNGAWLDSITCLLSELPQLSLPSGQQIARPPGTFLLMEVADTTGISPTVVGCCALVWCGGEQTWQCILSALMASLPYEYRLQHRTVAELNHMAEVLVPATLRFLTCQGVSSLLQVHGQQAVCAGVAEVTSMARILHSLLDLHLRLKEEKAPGPEDLSYSDPVAQSFRSSKSSFLNRSQVDSDDVPDKCREHLLAVSSFLFALIWGFGAHLPSRFWPIFDTFIRDSISRLSNYPEPPPSALVFDLHVSPEDGTLVPFTGQYLSSHIKGTLGTFHPSIQTERLLYVVDLLLSGGQPVLLAGEAATGKSAFVEVLVEPHHPYIYSPIHPAFSSSHLRLLLSRGIQGQTQASPQPGHHQDSKPSLLFLLEDLHLATSDPEKSCQPVLETLRQAMDGTVYAHSTLELQTLQPTVNFLATVTVPGYCERPLCPRLFRLFTVLALESMTQATLLERHVPIIQAWLERFPSVERERALARGLVRASVEAWEAVCNCFMPSPLHPHYHFSLHSVSHLLSSLQLLPNRTGSRGFVDYPNHQEHLRRVSGLRGTCLTVMMATRNVVRLWLHEAQRTFCDRLDSPRERSYCAKLLLVVAQSVFCCGPGPQHLGKDHQESEEEEEEERVPEVESEGELAQWEDFSNSNSETEEEEEPYGLQVARVSNSRDPSLTPSIGPVSRGMKESISHKIRQEKGTRASNYRLQVRRSFKTWWQKKPQMDLISPLLLPVLLLHPQEKPSDLVFSQELILGPNSETPNLYLERQWEKLEEQLATSAAQLKLSPHLARCHSMAQHVARLVRVLARPRQHGLLLSGALGTGRHTAITLASSICQAHFFHLPSGSEEAILQCLRDASWHAGMLSQPVALLVPSGVDLTTLHRLLALATSGSFPGQYTEADLDRIGEHLPRENLGVKQNIKKEMVLQRFHQQVCSHLHLFFLIGDKQAHKQLPSTLFLRLLQLATASIDRYEPWDQAALAKVAQHHLEGAQSVPLDDGSWKYPDLQASIPSVAKAMALIHLSATHYHEHLCPALPLVTPKTFLDFLDTFLMLQQQTILKIKNKAQRVQNALENLRMLIKEHGTHANLIFDLEQQLKDSGKSLSMFQQQLEQSKLLYKQQLEECRHQENLIENLARQRDALQAQREAFLEQMSKAFLEPLSQLQVADFEEIRSYRAPPESVVRVTDAMCDLFHHETGWASAKQLLCTEDFYQELVFFPKEKITDSELIKLHLILKAPGMDDAALRAVSRPAASLAAWLWAVLHYGLAHCRGLPTDLLLQQVEATLTREQARLGYYQFQAQETLEHNLALAKMVEDAQASHNCVAKTLSQAQCGQYHKWPMKAALLTPMRAWTTQLQKLKGRCMTVFGDTLLCSAAIIYLGPFPPLRRQELLDEWLALCRGFQEALGPDDVAQALKRKQKSVSIPPKNPLLATHSPFSILSLLSSESEQYQWDGNLKPQAKSAHLAGLLLRSPTHYSSCRWPLLLDPSNEALIWLDPLPLEENRSFAPALTEGRGKGLMRNQKRESKTDMKEEDDESEESNEAEDQTKEQKAEERKNEQEKEQEENEEKEEEKTESQGSKPAYETQLPSLPYLSVLSGADPELGSQLQEAAACGLPVLLTNVELGLGCEELQWLLQREQLSPPQVQPGFCLYLSTTLSLCAMEKVLGCELLKGLNVLDLGLNMEILEEQMLHEILCREYPELETRWQDLKIRALDTCKAVEAAEERLLTMLLFQNPKRQKPAKFLRNIVRAQGKLCQLRAHCEELEGQKLQEMVLWAPYRPVVWHGMAMVKALSQLQNLLPLFCMSPENWLAVTKQALDSMKPREINHGEDLASHLLQLRAHLTRQLLGSTVTALGLTQVPLVGALGALALLQATGKASELERLALWPGLAASPSTVHSKPVSDVARPAWLGPKAWHECEMLELLPPFVGLCASLAGHSSAWQAYLSLSSTVLGPAPGPGPEPLSLLQKLILWRVLRPECLAGALADFTTSLLGRPLDENTYAPTMPFKHSQATQPMLILLPPPGHPSATLHPLTVIQKLAAKYQQGQKQLQVIALGSEAWDPVSVVVSTLSQAMYEGHWLVLDNCHLMPHWPKELLQLLLELLGRAKVVADLESEQLLDQPESRNVSTVHRDFRLWLIVPAESSASLPAVLTQHSMPVFWNQSLELGHVLIDSVELAQQVLYMQPPTQALPLLLLHGLLLHRQLYGTRLQAHRGRWSQVTLTQVLQTQDQLWASLSNPRAAMQELAASVFYGGPLGDTEDREALISLTQACLSPSSGSWVQPHTPQSLLATLMPLPELRELDAMAECKAQMHLLPSPPEPRLCGLSEGPQAWLLRRQSRALLSALQRSSPVWVPESRRGAQLAERRLRQRLVQVNRRLESLQDLLTHVIRQDESDAPWSVLGPNARRPLEGVLETEALELSQLVGTLQRDLDCLLQQLKGAPPCPSRRCAAVAHALWTGRLPLPWRPHAPAGPQPPWHWLRQLSRRGQLLVRYLGVGADASSDVPERVFHLSAFRHPRRLLLALRGEAALDQNVPSSNFPGSRGSVSSQLQYKRLEMNSNPLHFRVENGPNPTVPERGLLLIGLQVLHAEWDPIAGALQDSPSSQPSPLPPVSISTQAPGTSDLPAPADLTVYSCPVYMGGPLGTAKLQSRNIVMHLPLPTKLTPNTCVQRRVHVCSPPLS
+>DECOY_sp|Q96M86|DNHD1_HUMAN Dynein heavy chain domain-containing protein 1 OS=Homo sapiens OX=9606 GN=DNHD1 PE=2 SV=2
+SLPPSCVHVRRQVCTNPTLKTPLPLHMVINRSQLKATGLPGGMYVPCSYVTLDAPAPLDSTGPAQTSISVPPLPSPQSSPSDQLAGAIPDWEAHLVQLGILLLGREPVTPNPGNEVRFHLPNSNMELRKYQLQSSVSGRSGPFNSSPVNQDLAAEGRLALLLRRPHRFASLHFVREPVDSSADAGVGLYRVLLQGRRSLQRLWHWPPQPGAPAHPRWPLPLRGTWLAHAVAACRRSPCPPAGKLQQLLCDLDRQLTGVLQSLELAETELVGELPRRANPGLVSWPADSEDQRIVHTLLDQLSELRRNVQVLRQRLRREALQAGRRSEPVWVPSSRQLASLLARSQRRLLWAQPGESLGCLRPEPPSPLLHMQAKCEAMADLERLEPLPMLTALLSQPTHPQVWSGSSPSLCAQTLSILAERDETDGLPGGYFVSAALEQMAARPNSLSAWLQDQTQLVQTLTVQSWRGRHAQLRTGYLQRHLLLGHLLLLPLAQTPPQMYLVQQALEVSDILVHGLELSQNWFVPMSHQTLVAPLSASSEAPVILWLRFDRHVTSVNRSEPQDLLQESELDAVVKARGLLELLLQLLEKPWHPMLHCNDLVLWHGEYMAQSLTSVVVSVPDWAESGLAIVQLQKQGQQYKAALKQIVTLPHLTASPHGPPPLLILMPQTAQSHKFPMTPAYTNEDLPRGLLSTTFDALAGALCEPRLVRWLILKQLLSLPEPGPGPAPGLVTSSLSLYAQWASSHGALSACLGVFPPLLELMECEHWAKPGLWAPRAVDSVPKSHVTSPSAALGPWLALRELESAKGTAQLLALAGLAGVLPVQTLGLATVTSGLLQRTLHARLQLLHSALDEGHNIERPKMSDLAQKTVALWNEPSMCFLPLLNQLQSLAKVMAMGHWVVPRYPAWLVMEQLKQGELEECHARLQCLKGQARVINRLFKAPKQRKPNQFLLMTLLREEAAEVAKCTDLARIKLDQWRTELEPYERCLIEHLMQEELIEMNLGLDLVNLGKLLECGLVKEMACLSLTTSLYLCFGPQVQPPSLQERQLLWQLEECGLGLEVNTLLVPLGCAAAEQLQSGLEPDAGSLVSLYPLSPLQTEYAPKSGQSETKEEEKEENEEQEKEQENKREEAKQEKTQDEAENSEESEDDEEKMDTKSERKQNRMLGKGRGETLAPAFSRNEELPLPDLWILAENSPDLLLPWRCSSYHTPSRLLLGALHASKAQPKLNGDWQYQESESSLLSLISFPSHTALLPNKPPISVSKQKRKLAQAVDDPGLAEQFGRCLALWEDLLEQRRLPPFPGLYIIAASCLLTDGFVTMCRGKLKQLQTTWARMPTLLAAKMPWKHYQGCQAQSLTKAVCNHSAQADEVMKALALNHELTEQAQFQYYGLRAQERTLTAEVQQLLLDTPLGRCHALGYHLVAWLWAALSAAPRSVARLAADDMGPAKLILHLKILESDTIKEKPFFVLEQYFDETCLLQKASAWGTEHHFLDCMADTVRVVSEPPARYSRIEEFDAVQLQSLPELFAKSMQELFAERQAQLADRQRALNEILNEQHRCEELQQKYLLKSQELQQQFMSLSKGSDKLQQELDFILNAHTGHEKILMRLNELANQVRQAKNKIKLITQQQLMLFTDLFDLFTKPTVLPLAPCLHEHYHTASLHILAMAKAVSPISAQLDPYKWSGDDLPVSQAGELHHQAVKALAAQDWPEYRDISATALQLLRLFLTSPLQKHAQKDGILFFLHLHSCVQQHFRQLVMEKKINQKVGLNERPLHEGIRDLDAETYQGPFSGSTALALLRHLTTLDVGSPVLLAVPQSLMGAHWSADRLCQLIAEESGSPLHFFHAQCISSALTIATHRGTGLAGSLLLGHQRPRALVRVLRAVHQAMSHCRALHPSLKLQAASTALQEELKEWQRELYLNPTESNPGLILEQSFVLDSPKEQPHLLLVPLLLPSILDMQPKKQWWTKFSRRVQLRYNSARTGKEQRIKHSISEKMGRSVPGISPTLSPDRSNSVRAVQLGYPEEEEETESNSNSFDEWQALEGESEVEPVREEEEEEESEQHDKGLHQPGPGCCFVSQAVVLLLKACYSRERPSDLRDCFTRQAEHLWLRVVNRTAMMVTLCTGRLGSVRRLHEQHNPYDVFGRSGTRNPLLQLSSLLHSVSHLSFHYHPHLPSPMFCNCVAEWAEVSARVLGRALAREREVSPFRELWAQIIPVHRELLTAQTMSELALVTFLRFLRPCLPRECYGPVTVTALFNVTPQLTQLELTSHAYVTGDMAQRLTELVPQCSKEPDSTALHLDELLFLLSPKSDQHHGPQPSAQTQGQIGRSLLLRLHSSSFAPHIPSYIYPHHPEVLVEVFASKGTAAEGALLVPQGGSLLLDVVYLLRETQISPHFTGLTGKIHSSLYQGTFPVLTGDEPSVHLDFVLASPPPEPYNSLRSISDRIFTDFIPWFRSPLHAGFGWILAFLFSSVALLHERCKDPVDDSDVQSRNLFSSKSSRFSQAVPDSYSLDEPGPAKEEKLRLHLDLLSHLIRAMSTVEAVGACVAQQGHVQLLSSVGQCTLFRLTAPVLVEAMHNLEAVTRHQLRYEYPLSAMLASLICQWTQEGGCWVLACCGVVTPSIGTTDAVEMLLFTGPPRAIQQGSPLSLQPLESLLCTISDLWAGNSAGDCIIWHQIGISEETQRKQGMNNCQGAARLVKPFIGHHWCSGELWGLFEQPSLGSPYLHTIEVPQCGQTSTDEMAALRNQIKFLSHWCTTKGSGAPGLLLIGSARSLAQSLQELSGLIDPSPDLGVQQLEEVVLPKMLKYTMPEALVQSASPFLACLLGRLNHLHLGNLISFLPSRLLAAEEIAALSRPKQCKPEEKTVNLTRITDELIQKLLPLRCPLPGSVLERELSFFKSLRTAMQFADRMGAGLLTLEAVQRLDPLALAVPRLLLHLNAPVASSLARLVLLCGYGLRVSVHHKEFFSSGLLQPQTPDITSTNRSAEQYLPAYLHHLEGLRQGLASLLGPPLQHVKELLLWAGGQLAGNLYNSLCQAEIQPSCPLMVLQRGLAQALSNVIARKGVGNPGLVTGCAVEELALLLVLAPREPLLSPLPGLRPGLYEYNYLFSRGLVDIWCAAPSLSPESSAITKLSQLPSKPIIHPSGLHYKLQRVWHFDTLDSVQHQELLQAIDRHTVAMVLLASLLSTQRVSPLSQGGQSARQARMFNVLVELKRMHMSVMALTGWELLAEEMEARWVVEEAVLVCQWPFAQVLDIWHQVYLQLYLKNQKPLQKLAEGLSPGRALRAAVCGQLMHVLALRLCKELSALWKPLDPHLPLPGQLKVEEGGAGLVALAEVQTQTNPSSEWDDTNKEGTPCSRFSVAHVHPFCRRVWLQAECSELRAALLAVLESDSLFFLRPFHACVGYLVSELSMIIGELEVSGAQLLQQLQQGQFYPSREASPVVLSLVMPDAVSIRMLTRYQDDMVKFRSNLDANPFQIKMEHLVKNLFIWKQQFTLWVELLAGLGHMIAVWELAIKNLDGSKEIALIKSLVQLSEQISDQLNSYDSLIFTGSDKDVVEWQPSRLVQRKSRESAPPEYPVHLIFNLLRLQRAEWYRQLRRITEQAHIRENENQWVQNIRDAFELLPYTLLQGLTLLEITQLSGLGLARLLCQCNLSQPHLSGLKTLLPLYSRFEGLIRMCHQLVPSHLELTTSMRAAETLWGETKEQAMAPSFKAFAMCKWESINESIVRYLHWIRQQQVIPRTGCIPLPVPTEDETFIAYAHHLESLDSVTNQFQRELSVLQHETSRQDQTPDMFPGSLAKALLGELEALAAAMLQQLKPMIAHRKSLLFESAQSKEFQFAEWMDLLQPALLPCHLLHPQPPPPCPPIPSEGFQRRVSIDLAENEASFLSFHNRILEHLARVYEMREELEVYQENAENLKQTCQAIAHIDSNITRFIHMFDTLETMLQQSLNWCENQVHALIDKRISNLKSEMEAQVDHCSLLLLGGKTLLEIPMSSVRAQWVNLRSVLTVYNKIPGGRMDELKQPGWSQLFEYIGTIWHHERCFEQVKCLVGELLVQQINLAQQIKPNNDLDEELQKYNHPFYQGRLRCGRVELIGAIPHSKWPWVLGVNPGCFISVADSPQGQFKPMLFEDKSDEEEDEEESYLSDSSTKLDASQVVQLASTKVSQLGGTLTQIMNEVCPVHSLQGHDDFVLQSSLFPKQRPAQLINAVFSTIQEELVSILSQCIMYDVLRAFKGLQLWWAEAQKLKQELQKHQVRQLYISGQGTRIRDCNQVREQLCQQMHYTDEHVLRLISTCLNLCRHLLRLAKHKEEALATQLDLLEYCRDLEQPLWSVSHLEQLLRSIHLLGAGFHPVALLLHNELFKQLRHLGQLRVNKKWCTFSKRLLCYKFFPIFQLQQWLVCHHHWTGLTMTESGEVPHVHLIGFPSFIYHEPNVKDPPVVMLSYPRFYRSPAVNLYLFHIKKLYREMKLATMVQSDLFSTEPSFGTSSKQFAKERPVEYDLQSRTEKRGVPEVEANDTDSSEYRVPIDAALALPLLSLGDLWVAEELAVQAGVIGLCRQLEPLTLPRLWTALEEKVQQRSWQAQVFPCAPCPPRHHLRRKQPCCDAHSASDLLSSDPPFAQVIAGLLDLHLHTQVWPAWCYLQELFPLLDLHGVLLERYPPLLGLVAHLYRWAAPSSDQLVASFLTRLEALLLELVTPQESETVPKVFQRQKQQCALPQEKSDLVCISHWSKLSDSSTEDSSLGVRREEPVM
+>sp|O75953|DNJB5_HUMAN DnaJ homolog subfamily B member 5 OS=Homo sapiens OX=9606 GN=DNAJB5 PE=1 SV=1
+MGKDYYKILGIPSGANEDEIKKAYRKMALKYHPDKNKEPNAEEKFKEIAEAYDVLSDPKKRGLYDQYGEEGLKTGGGTSGGSSGSFHYTFHGDPHATFASFFGGSNPFDIFFASSRSTRPFSGFDPDDMDVDEDEDPFGAFGRFGFNGLSRGPRRAPEPLYPRRKVQDPPVVHELRVSLEEIYHGSTKRMKITRRRLNPDGRTVRTEDKILHIVIKRGWKEGTKITFPKEGDATPDNIPADIVFVLKDKPHAHFRRDGTNVLYSALISLKEALCGCTVNIPTIDGRVIPLPCNDVIKPGTVKRLRGEGLPFPKVPTQRGDLIVEFKVRFPDRLTPQTRQILKQHLPCS
+>DECOY_sp|O75953|DNJB5_HUMAN DnaJ homolog subfamily B member 5 OS=Homo sapiens OX=9606 GN=DNAJB5 PE=1 SV=1
+SCPLHQKLIQRTQPTLRDPFRVKFEVILDGRQTPVKPFPLGEGRLRKVTGPKIVDNCPLPIVRGDITPINVTCGCLAEKLSILASYLVNTGDRRFHAHPKDKLVFVIDAPINDPTADGEKPFTIKTGEKWGRKIVIHLIKDETRVTRGDPNLRRRTIKMRKTSGHYIEELSVRLEHVVPPDQVKRRPYLPEPARRPGRSLGNFGFRGFAGFPDEDEDVDMDDPDFGSFPRTSRSSAFFIDFPNSGGFFSAFTAHPDGHFTYHFSGSSGGSTGGGTKLGEEGYQDYLGRKKPDSLVDYAEAIEKFKEEANPEKNKDPHYKLAMKRYAKKIEDENAGSPIGLIKYYDKGM
+>sp|O75190|DNJB6_HUMAN DnaJ homolog subfamily B member 6 OS=Homo sapiens OX=9606 GN=DNAJB6 PE=1 SV=2
+MVDYYEVLGVQRHASPEDIKKAYRKLALKWHPDKNPENKEEAERKFKQVAEAYEVLSDAKKRDIYDKYGKEGLNGGGGGGSHFDSPFEFGFTFRNPDDVFREFFGGRDPFSFDFFEDPFEDFFGNRRGPRGSRSRGTGSFFSAFSGFPSFGSGFSSFDTGFTSFGSLGHGGLTSFSSTSFGGSGMGNFKSISTSTKMVNGRKITTKRIVENGQERVEVEEDGQLKSLTINGVADDDALAEERMRRGQNALPAQPAGLRPPKPPRPASLLRHAPHCLSEEEGEQDRPRAPGPWDPLASAAGLKEGGKRKKQKQREESKKKKSTKGNH
+>DECOY_sp|O75190|DNJB6_HUMAN DnaJ homolog subfamily B member 6 OS=Homo sapiens OX=9606 GN=DNAJB6 PE=1 SV=2
+HNGKTSKKKKSEERQKQKKRKGGEKLGAASALPDWPGPARPRDQEGEEESLCHPAHRLLSAPRPPKPPRLGAPQAPLANQGRRMREEALADDDAVGNITLSKLQGDEEVEVREQGNEVIRKTTIKRGNVMKTSTSISKFNGMGSGGFSTSSFSTLGGHGLSGFSTFGTDFSSFGSGFSPFGSFASFFSGTGRSRSGRPGRRNGFFDEFPDEFFDFSFPDRGGFFERFVDDPNRFTFGFEFPSDFHSGGGGGGNLGEKGYKDYIDRKKADSLVEYAEAVQKFKREAEEKNEPNKDPHWKLALKRYAKKIDEPSAHRQVGLVEYYDVM
+>sp|Q96KC8|DNJC1_HUMAN DnaJ homolog subfamily C member 1 OS=Homo sapiens OX=9606 GN=DNAJC1 PE=1 SV=1
+MTAPCSQPAQLPGRRQLGLVPFPPPPPRTPLLWLLLLLLAAVAPARGWESGDLELFDLVEEVQLNFYQFLGVQQDASSADIRKAYRKLSLTLHPDKNKDENAETQFRQLVAIYEVLKDDERRQRYDDILINGLPDWRQPVFYYRRVRKMSNAELALLLFIILTVGHYAVVWSIYLEKQLDELLSRKKREKKKKTGSKSVDVSKLGASEKNERLLMKPQWHDLLPCKLGIWFCLTLKALPHLIQDAGQFYAKYKETRLKEKEDALTRTELETLQKQKKVKKPKPEFPVYTPLETTYIQSYDHGTSIEEIEEQMDDWLENRNRTQKKQAPEWTEEDLSQLTRSMVKFPGGTPGRWEKIAHELGRSVTDVTTKAKQLKDSVTCSPGMVRLSELKSTVQNSRPIKTATTLPDDMITQREDAEGVAAEEEQEGDSGEQETGATDARPRRRKPARLLEATAKPEPEEKSRAKRQKDFDIAEQNESSDEESLRKERARSAEEPWTQNQQKLLELALQQYPRGSSDRWDKIARCVPSKSKEDCIARYKLLVELVQKKKQAKS
+>DECOY_sp|Q96KC8|DNJC1_HUMAN DnaJ homolog subfamily C member 1 OS=Homo sapiens OX=9606 GN=DNAJC1 PE=1 SV=1
+SKAQKKKQVLEVLLKYRAICDEKSKSPVCRAIKDWRDSSGRPYQQLALELLKQQNQTWPEEASRAREKRLSEEDSSENQEAIDFDKQRKARSKEEPEPKATAELLRAPKRRRPRADTAGTEQEGSDGEQEEEAAVGEADERQTIMDDPLTTATKIPRSNQVTSKLESLRVMGPSCTVSDKLQKAKTTVDTVSRGLEHAIKEWRGPTGGPFKVMSRTLQSLDEETWEPAQKKQTRNRNELWDDMQEEIEEISTGHDYSQIYTTELPTYVPFEPKPKKVKKQKQLTELETRTLADEKEKLRTEKYKAYFQGADQILHPLAKLTLCFWIGLKCPLLDHWQPKMLLRENKESAGLKSVDVSKSGTKKKKERKKRSLLEDLQKELYISWVVAYHGVTLIIFLLLALEANSMKRVRRYYFVPQRWDPLGNILIDDYRQRREDDKLVEYIAVLQRFQTEANEDKNKDPHLTLSLKRYAKRIDASSADQQVGLFQYFNLQVEEVLDFLELDGSEWGRAPAVAALLLLLLWLLPTRPPPPPFPVLGLQRRGPLQAPQSCPATM
+>sp|Q99543|DNJC2_HUMAN DnaJ homolog subfamily C member 2 OS=Homo sapiens OX=9606 GN=DNAJC2 PE=1 SV=4
+MLLLPSAADGRGTAITHALTSASTLCQVEPVGRWFEAFVKRRNRNASASFQELEDKKELSEESEDEELQLEEFPMLKTLDPKDWKNQDHYAVLGLGHVRYKATQRQIKAAHKAMVLKHHPDKRKAAGEPIKEGDNDYFTCITKAYEMLSDPVKRRAFNSVDPTFDNSVPSKSEAKDNFFEVFTPVFERNSRWSNKKNVPKLGDMNSSFEDVDIFYSFWYNFDSWREFSYLDEEEKEKAECRDERRWIEKQNRATRAQRKKEEMNRIRTLVDNAYSCDPRIKKFKEEEKAKKEAEKKAKAEAKRKEQEAKEKQRQAELEAARLAKEKEEEEVRQQALLAKKEKDIQKKAIKKERQKLRNSCKTWNHFSDNEAERVKMMEEVEKLCDRLELASLQCLNETLTSCTKEVGKAALEKQIEEINEQIRKEKEEAEARMRQASKNTEKSTGGGGNGSKNWSEDDLQLLIKAVNLFPAGTNSRWEVIANYMNIHSSSGVKRTAKDVIGKAKSLQKLDPHQKDDINKKAFDKFKKEHGVVPQADNATPSERFEGPYTDFTPWTTEEQKLLEQALKTYPVNTPERWEKIAEAVPGRTKKDCMKRYKELVEMVKAKKAAQEQVLNASRAKK
+>DECOY_sp|Q99543|DNJC2_HUMAN DnaJ homolog subfamily C member 2 OS=Homo sapiens OX=9606 GN=DNAJC2 PE=1 SV=4
+KKARSANLVQEQAAKKAKVMEVLEKYRKMCDKKTRGPVAEAIKEWREPTNVPYTKLAQELLKQEETTWPTFDTYPGEFRESPTANDAQPVVGHEKKFKDFAKKNIDDKQHPDLKQLSKAKGIVDKATRKVGSSSHINMYNAIVEWRSNTGAPFLNVAKILLQLDDESWNKSGNGGGGTSKETNKSAQRMRAEAEEKEKRIQENIEEIQKELAAKGVEKTCSTLTENLCQLSALELRDCLKEVEEMMKVREAENDSFHNWTKCSNRLKQREKKIAKKQIDKEKKALLAQQRVEEEEKEKALRAAELEAQRQKEKAEQEKRKAEAKAKKEAEKKAKEEEKFKKIRPDCSYANDVLTRIRNMEEKKRQARTARNQKEIWRREDRCEAKEKEEEDLYSFERWSDFNYWFSYFIDVDEFSSNMDGLKPVNKKNSWRSNREFVPTFVEFFNDKAESKSPVSNDFTPDVSNFARRKVPDSLMEYAKTICTFYDNDGEKIPEGAAKRKDPHHKLVMAKHAAKIQRQTAKYRVHGLGLVAYHDQNKWDKPDLTKLMPFEELQLEEDESEESLEKKDELEQFSASANRNRRKVFAEFWRGVPEVQCLTSASTLAHTIATGRGDAASPLLLM
+>sp|Q9NNZ3|DNJC4_HUMAN DnaJ homolog subfamily C member 4 OS=Homo sapiens OX=9606 GN=DNAJC4 PE=1 SV=1
+MPPLLPLRLCRLWPRNPPSRLLGAAAGQRSRPSTYYELLGVHPGASTEEVKRAFFSKSKELHPDRDPGNPSLHSRFVELSEAYRVLSREQSRRSYDDQLRSGSPPKSPRTTVHDKSAHQTHSSWTPPNAQYWSQFHSVRPQGPQLRQQQHKQNKQVLGYCLLLMLAGMGLHYIAFRKVKQMHLNFMDEKDRIITAFYNEARARARANRGILQQERQRLGQRQPPPSEPTQGPEIVPRGAGP
+>DECOY_sp|Q9NNZ3|DNJC4_HUMAN DnaJ homolog subfamily C member 4 OS=Homo sapiens OX=9606 GN=DNAJC4 PE=1 SV=1
+PGAGRPVIEPGQTPESPPPQRQGLRQREQQLIGRNARARARAENYFATIIRDKEDMFNLHMQKVKRFAIYHLGMGALMLLLCYGLVQKNQKHQQQRLQPGQPRVSHFQSWYQANPPTWSSHTQHASKDHVTTRPSKPPSGSRLQDDYSRRSQERSLVRYAESLEVFRSHLSPNGPDRDPHLEKSKSFFARKVEETSAGPHVGLLEYYTSPRSRQGAAAGLLRSPPNRPWLRCLRLPLLPPM
+>sp|P49916|DNLI3_HUMAN DNA ligase 3 OS=Homo sapiens OX=9606 GN=LIG3 PE=1 SV=2
+MSLAFKIFFPQTLRALSRKELCLFRKHHWRDVRQFSQWSETDLLHGHPLFLRRKPVLSFQGSHLRSRATYLVFLPGLHVGLCSGPCEMAEQRFCVDYAKRGTAGCKKCKEKIVKGVCRIGKVVPNPFSESGGDMKEWYHIKCMFEKLERARATTKKIEDLTELEGWEELEDNEKEQITQHIADLSSKAAGTPKKKAVVQAKLTTTGQVTSPVKGASFVTSTNPRKFSGFSAKPNNSGEAPSSPTPKRSLSSSKCDPRHKDCLLREFRKLCAMVADNPSYNTKTQIIQDFLRKGSAGDGFHGDVYLTVKLLLPGVIKTVYNLNDKQIVKLFSRIFNCNPDDMARDLEQGDVSETIRVFFEQSKSFPPAAKSLLTIQEVDEFLLRLSKLTKEDEQQQALQDIASRCTANDLKCIIRLIKHDLKMNSGAKHVLDALDPNAYEAFKASRNLQDVVERVLHNAQEVEKEPGQRRALSVQASLMTPVQPMLAEACKSVEYAMKKCPNGMFSEIKYDGERVQVHKNGDHFSYFSRSLKPVLPHKVAHFKDYIPQAFPGGHSMILDSEVLLIDNKTGKPLPFGTLGVHKKAAFQDANVCLFVFDCIYFNDVSLMDRPLCERRKFLHDNMVEIPNRIMFSEMKRVTKALDLADMITRVIQEGLEGLVLKDVKGTYEPGKRHWLKVKKDYLNEGAMADTADLVVLGAFYGQGSKGGMMSIFLMGCYDPGSQKWCTVTKCAGGHDDATLARLQNELDMVKISKDPSKIPSWLKVNKIYYPDFIVPDPKKAAVWEITGAEFSKSEAHTADGISIRFPRCTRIRDDKDWKSATNLPQLKELYQLSKEKADFTVVAGDEGSSTTGGSSEENKGPSGSAVSRKAPSKPSASTKKAEGKLSNSNSKDGNMQTAKPSAMKVGEKLATKSSPVKVGEKRKAADETLCQTKVLLDIFTGVRLYLPPSTPDFSRLRRYFVAFDGDLVQEFDMTSATHVLGSRDKNPAAQQVSPEWIWACIRKRRLVAPC
+>DECOY_sp|P49916|DNLI3_HUMAN DNA ligase 3 OS=Homo sapiens OX=9606 GN=LIG3 PE=1 SV=2
+CPAVLRRKRICAWIWEPSVQQAAPNKDRSGLVHTASTMDFEQVLDGDFAVFYRRLRSFDPTSPPLYLRVGTFIDLLVKTQCLTEDAAKRKEGVKVPSSKTALKEGVKMASPKATQMNGDKSNSNSLKGEAKKTSASPKSPAKRSVASGSPGKNEESSGGTTSSGEDGAVVTFDAKEKSLQYLEKLQPLNTASKWDKDDRIRTCRPFRISIGDATHAESKSFEAGTIEWVAAKKPDPVIFDPYYIKNVKLWSPIKSPDKSIKVMDLENQLRALTADDHGGACKTVTCWKQSGPDYCGMLFISMMGGKSGQGYFAGLVVLDATDAMAGENLYDKKVKLWHRKGPEYTGKVDKLVLGELGEQIVRTIMDALDLAKTVRKMESFMIRNPIEVMNDHLFKRRECLPRDMLSVDNFYICDFVFLCVNADQFAAKKHVGLTGFPLPKGTKNDILLVESDLIMSHGGPFAQPIYDKFHAVKHPLVPKLSRSFYSFHDGNKHVQVREGDYKIESFMGNPCKKMAYEVSKCAEALMPQVPTMLSAQVSLARRQGPEKEVEQANHLVREVVDQLNRSAKFAEYANPDLADLVHKAGSNMKLDHKILRIICKLDNATCRSAIDQLAQQQEDEKTLKSLRLLFEDVEQITLLSKAAPPFSKSQEFFVRITESVDGQELDRAMDDPNCNFIRSFLKVIQKDNLNYVTKIVGPLLLKVTLYVDGHFGDGASGKRLFDQIIQTKTNYSPNDAVMACLKRFERLLCDKHRPDCKSSSLSRKPTPSSPAEGSNNPKASFGSFKRPNTSTVFSAGKVPSTVQGTTTLKAQVVAKKKPTGAAKSSLDAIHQTIQEKENDELEEWGELETLDEIKKTTARARELKEFMCKIHYWEKMDGGSESFPNPVVKGIRCVGKVIKEKCKKCGATGRKAYDVCFRQEAMECPGSCLGVHLGPLFVLYTARSRLHSGQFSLVPKRRLFLPHGHLLDTESWQSFQRVDRWHHKRFLCLEKRSLARLTQPFFIKFALSM
+>sp|Q9UBC3|DNM3B_HUMAN DNA (cytosine-5)-methyltransferase 3B OS=Homo sapiens OX=9606 GN=DNMT3B PE=1 SV=1
+MKGDTRHLNGEEDAGGREDSILVNGACSDQSSDSPPILEAIRTPEIRGRRSSSRLSKREVSSLLSYTQDLTGDGDGEDGDGSDTPVMPKLFRETRTRSESPAVRTRNNNSVSSRERHRPSPRSTRGRQGRNHVDESPVEFPATRSLRRRATASAGTPWPSPPSSYLTIDLTDDTEDTHGTPQSSSTPYARLAQDSQQGGMESPQVEADSGDGDSSEYQDGKEFGIGDLVWGKIKGFSWWPAMVVSWKATSKRQAMSGMRWVQWFGDGKFSEVSADKLVALGLFSQHFNLATFNKLVSYRKAMYHALEKARVRAGKTFPSSPGDSLEDQLKPMLEWAHGGFKPTGIEGLKPNNTQPVVNKSKVRRAGSRKLESRKYENKTRRRTADDSATSDYCPAPKRLKTNCYNNGKDRGDEDQSREQMASDVANNKSSLEDGCLSCGRKNPVSFHPLFEGGLCQTCRDRFLELFYMYDDDGYQSYCTVCCEGRELLLCSNTSCCRCFCVECLEVLVGTGTAAEAKLQEPWSCYMCLPQRCHGVLRRRKDWNVRLQAFFTSDTGLEYEAPKLYPAIPAARRRPIRVLSLFDGIATGYLVLKELGIKVGKYVASEVCEESIAVGTVKHEGNIKYVNDVRNITKKNIEEWGPFDLVIGGSPCNDLSNVNPARKGLYEGTGRLFFEFYHLLNYSRPKEGDDRPFFWMFENVVAMKVGDKRDISRFLECNPVMIDAIKVSAAHRARYFWGNLPGMNRPVIASKNDKLELQDCLEYNRIAKLKKVQTITTKSNSIKQGKNQLFPVVMNGKEDVLWCTELERIFGFPVHYTDVSNMGRGARQKLLGRSWSVPVIRHLFAPLKDYFACE
+>DECOY_sp|Q9UBC3|DNM3B_HUMAN DNA (cytosine-5)-methyltransferase 3B OS=Homo sapiens OX=9606 GN=DNMT3B PE=1 SV=1
+ECAFYDKLPAFLHRIVPVSWSRGLLKQRAGRGMNSVDTYHVPFGFIRELETCWLVDEKGNMVVPFLQNKGQKISNSKTTITQVKKLKAIRNYELCDQLELKDNKSAIVPRNMGPLNGWFYRARHAASVKIADIMVPNCELFRSIDRKDGVKMAVVNEFMWFFPRDDGEKPRSYNLLHYFEFFLRGTGEYLGKRAPNVNSLDNCPSGGIVLDFPGWEEINKKTINRVDNVYKINGEHKVTGVAISEECVESAVYKGVKIGLEKLVLYGTAIGDFLSLVRIPRRRAAPIAPYLKPAEYELGTDSTFFAQLRVNWDKRRRLVGHCRQPLCMYCSWPEQLKAEAATGTGVLVELCEVCFCRCCSTNSCLLLERGECCVTCYSQYGDDDYMYFLELFRDRCTQCLGGEFLPHFSVPNKRGCSLCGDELSSKNNAVDSAMQERSQDEDGRDKGNNYCNTKLRKPAPCYDSTASDDATRRRTKNEYKRSELKRSGARRVKSKNVVPQTNNPKLGEIGTPKFGGHAWELMPKLQDELSDGPSSPFTKGARVRAKELAHYMAKRYSVLKNFTALNFHQSFLGLAVLKDASVESFKGDGFWQVWRMGSMAQRKSTAKWSVVMAPWWSFGKIKGWVLDGIGFEKGDQYESSDGDGSDAEVQPSEMGGQQSDQALRAYPTSSSQPTGHTDETDDTLDITLYSSPPSPWPTGASATARRRLSRTAPFEVPSEDVHNRGQRGRTSRPSPRHRERSSVSNNNRTRVAPSESRTRTERFLKPMVPTDSGDGDEGDGDGTLDQTYSLLSSVERKSLRSSSRRGRIEPTRIAELIPPSDSSQDSCAGNVLISDERGGADEEGNLHRTDGKM
+>sp|O00115|DNS2A_HUMAN Deoxyribonuclease-2-alpha OS=Homo sapiens OX=9606 GN=DNASE2 PE=1 SV=2
+MIPLLLAALLCVPAGALTCYGDSGQPVDWFVVYKLPALRGSGEAAQRGLQYKYLDESSGGWRDGRALINSPEGAVGRSLQPLYRSNTSQLAFLLYNDQPPQPSKAQDSSMRGHTKGVLLLDHDGGFWLVHSVPNFPPPASSAAYSWPHSACTYGQTLLCVSFPFAQFSKMGKQLTYTYPWVYNYQLEGIFAQEFPDLENVVKGHHVSQEPWNSSITLTSQAGAVFQSFAKFSKFGDDLYSGWLAAALGTNLQVQFWHKTVGILPSNCSDIWQVLNVNQIAFPGPAGPSFNSTEDHSKWCVSPKGPWTCVGDMNRNQGEEQRGGGTLCAQLPALWKAFQPLVKNYQPCNGMARKPSRAYKI
+>DECOY_sp|O00115|DNS2A_HUMAN Deoxyribonuclease-2-alpha OS=Homo sapiens OX=9606 GN=DNASE2 PE=1 SV=2
+IKYARSPKRAMGNCPQYNKVLPQFAKWLAPLQACLTGGGRQEEGQNRNMDGVCTWPGKPSVCWKSHDETSNFSPGAPGPFAIQNVNLVQWIDSCNSPLIGVTKHWFQVQLNTGLAAALWGSYLDDGFKSFKAFSQFVAGAQSTLTISSNWPEQSVHHGKVVNELDPFEQAFIGELQYNYVWPYTYTLQKGMKSFQAFPFSVCLLTQGYTCASHPWSYAASSAPPPFNPVSHVLWFGGDHDLLLVGKTHGRMSSDQAKSPQPPQDNYLLFALQSTNSRYLPQLSRGVAGEPSNILARGDRWGGSSEDLYKYQLGRQAAEGSGRLAPLKYVVFWDVPQGSDGYCTLAGAPVCLLAALLLPIM
+>sp|P13765|DOB_HUMAN HLA class II histocompatibility antigen, DO beta chain OS=Homo sapiens OX=9606 GN=HLA-DOB PE=1 SV=1
+MGSGWVPWVVALLVNLTRLDSSMTQGTDSPEDFVIQAKADCYFTNGTEKVQFVVRFIFNLEEYVRFDSDVGMFVALTKLGQPDAEQWNSRLDLLERSRQAVDGVCRHNYRLGAPFTVGRKVQPEVTVYPERTPLLHQHNLLHCSVTGFYPGDIKIKWFLNGQEERAGVMSTGPIRNGDWTFQTVVMLEMTPELGHVYTCLVDHSSLLSPVSVEWRAQSEYSWRKMLSGIAAFLLGLIFLLVGIVIQLRAQKGYVRTQMSGNEVSRAVLLPQSC
+>DECOY_sp|P13765|DOB_HUMAN HLA class II histocompatibility antigen, DO beta chain OS=Homo sapiens OX=9606 GN=HLA-DOB PE=1 SV=1
+CSQPLLVARSVENGSMQTRVYGKQARLQIVIGVLLFILGLLFAAIGSLMKRWSYESQARWEVSVPSLLSSHDVLCTYVHGLEPTMELMVVTQFTWDGNRIPGTSMVGAREEQGNLFWKIKIDGPYFGTVSCHLLNHQHLLPTREPYVTVEPQVKRGVTFPAGLRYNHRCVGDVAQRSRELLDLRSNWQEADPQGLKTLAVFMGVDSDFRVYEELNFIFRVVFQVKETGNTFYCDAKAQIVFDEPSDTGQTMSSDLRTLNVLLAVVWPVWGSGM
+>sp|Q14184|DOC2B_HUMAN Double C2-like domain-containing protein beta OS=Homo sapiens OX=9606 GN=DOC2B PE=1 SV=2
+MTLRRRGEKATISIQEHMAIDVCPGPIRPIKQISDYFPRFPRGLPPDAGPRAAAPPDAPARPAVAGAGRRSPSDGAREDDEDVDQLFGAYGSSPGPSPGPSPARPPAKPPEDEPDADGYESDDCTALGTLDFSLLYDQENNALHCTITKAKGLKPMDHNGLADPYVKLHLLPGASKANKLRTKTLRNTLNPTWNETLTYYGITDEDMIRKTLRISVCDEDKFRHNEFIGETRVPLKKLKPNHTKTFSICLEKQLPVDKTEDKSLEERGRILISLKYSSQKQGLLVGIVRCAHLAAMDANGYSDPYVKTYLRPDVDKKSKHKTAVKKKTLNPEFNEEFCYEIKHGDLAKKSLEVTVWDYDIGKSNDFIGGVVLGIHAKGERLKHWFDCLKNKDKRIERWHTLTSELPGAVLSD
+>DECOY_sp|Q14184|DOC2B_HUMAN Double C2-like domain-containing protein beta OS=Homo sapiens OX=9606 GN=DOC2B PE=1 SV=2
+DSLVAGPLESTLTHWREIRKDKNKLCDFWHKLREGKAHIGLVVGGIFDNSKGIDYDWVTVELSKKALDGHKIEYCFEENFEPNLTKKKVATKHKSKKDVDPRLYTKVYPDSYGNADMAALHACRVIGVLLGQKQSSYKLSILIRGREELSKDETKDVPLQKELCISFTKTHNPKLKKLPVRTEGIFENHRFKDEDCVSIRLTKRIMDEDTIGYYTLTENWTPNLTNRLTKTRLKNAKSAGPLLHLKVYPDALGNHDMPKLGKAKTITCHLANNEQDYLLSFDLTGLATCDDSEYGDADPEDEPPKAPPRAPSPGPSPGPSSGYAGFLQDVDEDDERAGDSPSRRGAGAVAPRAPADPPAAARPGADPPLGRPFRPFYDSIQKIPRIPGPCVDIAMHEQISITAKEGRRRLTM
+>sp|Q14185|DOCK1_HUMAN Dedicator of cytokinesis protein 1 OS=Homo sapiens OX=9606 GN=DOCK1 PE=1 SV=2
+MTRWVPTKREEKYGVAFYNYDARGADELSLQIGDTVHILETYEGWYRGYTLRKKSKKGIFPASYIHLKEAIVEGKGQHETVIPGDLPLIQEVTTTLREWSTIWRQLYVQDNREMFRSVRHMIYDLIEWRSQILSGTLPQDELKELKKKVTAKIDYGNRILDLDLVVRDEDGNILDPELTSTISLFRAHEIASKQVEERLQEEKSQKQNIDINRQAKFAATPSLALFVNLKNVVCKIGEDAEVLMSLYDPVESKFISENYLVRWSSSGLPKDIDRLHNLRAVFTDLGSKDLKREKISFVCQIVRVGRMELRDNNTRKLTSGLRRPFGVAVMDVTDIINGKVDDEDKQHFIPFQPVAGENDFLQTVINKVIAAKEVNHKGQGLWVTLKLLPGDIHQIRKEFPHLVDRTTAVARKTGFPEIIMPGDVRNDIYVTLVQGDFDKGSKTTAKNVEVTVSVYDEDGKRLEHVIFPGAGDEAISEYKSVIYYQVKQPRWFETVKVAIPIEDVNRSHLRFTFRHRSSQDSKDKSEKIFALAFVKLMRYDGTTLRDGEHDLIVYKAEAKKLEDAATYLSLPSTKAELEEKGHSATGKSMQSLGSCTISKDSFQISTLVCSTKLTQNVDLLGLLKWRSNTSLLQQNLRQLMKVDGGEVVKFLQDTLDALFNIMMENSESETFDTLVFDALVFIIGLIADRKFQHFNPVLETYIKKHFSATLAYTKLTKVLKNYVDGAEKPGVNEQLYKAMKALESIFKFIVRSRILFNQLYENKGEADFVESLLQLFRSINDMMSSMSDQTVRVKGAALKYLPTIVNDVKLVFDPKELSKMFTEFILNVPMGLLTIQKLYCLIEIVHSDLFTQHDCREILLPMMTDQLKYHLERQEDLEACCQLLSHILEVLYRKDVGPTQRHVQIIMEKLLRTVNRTVISMGRDSELIGNFVACMTAILRQMEDYHYAHLIKTFGKMRTDVVDFLMETFIMFKNLIGKNVYPFDWVIMNMVQNKVFLRAINQYADMLNKKFLDQANFELQLWNNYFHLAVAFLTQESLQLENFSSAKRAKILNKYGDMRRQIGFEIRDMWYNLGQHKIKFIPEMVGPILEMTLIPETELRKATIPIFFDMMQCEFHSTRSFQMFENEIITKLDHEVEGGRGDEQYKVLFDKILLEHCRKHKYLAKTGETFVKLVVRLMERLLDYRTIMHDENKENRMSCTVNVLNFYKEIEREEMYIRYLYKLCDLHKECDNYTEAAYTLLLHAKLLKWSEDVCVAHLTQRDGYQATTQGQLKEQLYQEIIHYFDKGKMWEEAIALGKELAEQYENEMFDYEQLSELLKKQAQFYENIVKVIRPKPDYFAVGYYGQGFPTFLRGKVFIYRGKEYERREDFEARLLTQFPNAEKMKTTSPPGDDIKNSPGQYIQCFTVKPKLDLPPKFHRPVSEQIVSFYRVNEVQRFEYSRPIRKGEKNPDNEFANMWIERTIYTTAYKLPGILRWFEVKSVFMVEISPLENAIETMQLTNDKINSMVQQHLDDPSLPINPLSMLLNGIVDPAVMGGFANYEKAFFTDRYLQEHPEAHEKIEKLKDLIAWQIPFLAEGIRIHGDKVTEALRPFHERMEACFKQLKEKVEKEYGVRIMPSSLDDRRGSRPRSMVRSFTMPSSSRPLSVASVSSLSSDSTPSRPGSDGFALEPLLPKKMHSRSQDKLDKDDLEKEKKDKKKEKRNSKHQEIFEKEFKPTDISLQQSEAVILSETISPLRPQRPKSQVMNVIGSERRFSVSPSSPSSQQTPPPVTPRAKLSFSMQSSLELNGMTGADVADVPPPLPLKGSVADYGNLMENQDLLGSPTPPPPPPHQRHLPPPLPSKTPPPPPPKTTRKQASVDSGIVQ
+>DECOY_sp|Q14185|DOCK1_HUMAN Dedicator of cytokinesis protein 1 OS=Homo sapiens OX=9606 GN=DOCK1 PE=1 SV=2
+QVIGSDVSAQKRTTKPPPPPPTKSPLPPPLHRQHPPPPPPTPSGLLDQNEMLNGYDAVSGKLPLPPPVDAVDAGTMGNLELSSQMSFSLKARPTVPPPTQQSSPSSPSVSFRRESGIVNMVQSKPRQPRLPSITESLIVAESQQLSIDTPKFEKEFIEQHKSNRKEKKKDKKEKELDDKDLKDQSRSHMKKPLLPELAFGDSGPRSPTSDSSLSSVSAVSLPRSSSPMTFSRVMSRPRSGRRDDLSSPMIRVGYEKEVKEKLQKFCAEMREHFPRLAETVKDGHIRIGEALFPIQWAILDKLKEIKEHAEPHEQLYRDTFFAKEYNAFGGMVAPDVIGNLLMSLPNIPLSPDDLHQQVMSNIKDNTLQMTEIANELPSIEVMFVSKVEFWRLIGPLKYATTYITREIWMNAFENDPNKEGKRIPRSYEFRQVENVRYFSVIQESVPRHFKPPLDLKPKVTFCQIYQGPSNKIDDGPPSTTKMKEANPFQTLLRAEFDERREYEKGRYIFVKGRLFTPFGQGYYGVAFYDPKPRIVKVINEYFQAQKKLLESLQEYDFMENEYQEALEKGLAIAEEWMKGKDFYHIIEQYLQEKLQGQTTAQYGDRQTLHAVCVDESWKLLKAHLLLTYAAETYNDCEKHLDCLKYLYRIYMEEREIEKYFNLVNVTCSMRNEKNEDHMITRYDLLREMLRVVLKVFTEGTKALYKHKRCHELLIKDFLVKYQEDGRGGEVEHDLKTIIENEFMQFSRTSHFECQMMDFFIPITAKRLETEPILTMELIPGVMEPIFKIKHQGLNYWMDRIEFGIQRRMDGYKNLIKARKASSFNELQLSEQTLFAVALHFYNNWLQLEFNAQDLFKKNLMDAYQNIARLFVKNQVMNMIVWDFPYVNKGILNKFMIFTEMLFDVVDTRMKGFTKILHAYHYDEMQRLIATMCAVFNGILESDRGMSIVTRNVTRLLKEMIIQVHRQTPGVDKRYLVELIHSLLQCCAELDEQRELHYKLQDTMMPLLIERCDHQTFLDSHVIEILCYLKQITLLGMPVNLIFETFMKSLEKPDFVLKVDNVITPLYKLAAGKVRVTQDSMSSMMDNISRFLQLLSEVFDAEGKNEYLQNFLIRSRVIFKFISELAKMAKYLQENVGPKEAGDVYNKLVKTLKTYALTASFHKKIYTELVPNFHQFKRDAILGIIFVLADFVLTDFTESESNEMMINFLADLTDQLFKVVEGGDVKMLQRLNQQLLSTNSRWKLLGLLDVNQTLKTSCVLTSIQFSDKSITCSGLSQMSKGTASHGKEELEAKTSPLSLYTAADELKKAEAKYVILDHEGDRLTTGDYRMLKVFALAFIKESKDKSDQSSRHRFTFRLHSRNVDEIPIAVKVTEFWRPQKVQYYIVSKYESIAEDGAGPFIVHELRKGDEDYVSVTVEVNKATTKSGKDFDGQVLTVYIDNRVDGPMIIEPFGTKRAVATTRDVLHPFEKRIQHIDGPLLKLTVWLGQGKHNVEKAAIVKNIVTQLFDNEGAVPQFPIFHQKDEDDVKGNIIDTVDMVAVGFPRRLGSTLKRTNNDRLEMRGVRVIQCVFSIKERKLDKSGLDTFVARLNHLRDIDKPLGSSSWRVLYNESIFKSEVPDYLSMLVEADEGIKCVVNKLNVFLALSPTAAFKAQRNIDINQKQSKEEQLREEVQKSAIEHARFLSITSTLEPDLINGDEDRVVLDLDLIRNGYDIKATVKKKLEKLEDQPLTGSLIQSRWEILDYIMHRVSRFMERNDQVYLQRWITSWERLTTTVEQILPLDGPIVTEHQGKGEVIAEKLHIYSAPFIGKKSKKRLTYGRYWGEYTELIHVTDGIQLSLEDAGRADYNYFAVGYKEERKTPVWRTM
+>sp|Q92608|DOCK2_HUMAN Dedicator of cytokinesis protein 2 OS=Homo sapiens OX=9606 GN=DOCK2 PE=1 SV=2
+MAPWRKADKERHGVAIYNFQGSGAPQLSLQIGDVVRIQETCGDWYRGYLIKHKMLQGIFPKSFIHIKEVTVEKRRNTENIIPAEIPLAQEVTTTLWEWGSIWKQLYVASKKERFLQVQSMMYDLMEWRSQLLSGTLPKDELKELKQKVTSKIDYGNKILELDLIVRDEDGNILDPDNTSVISLFHAHEEATDKITERIKEEMSKDQPDYAMYSRISSSPTHSLYVFVRNFVCRIGEDAELFMSLYDPNKQTVISENYLVRWGSRGFPKEIEMLNNLKVVFTDLGNKDLNRDKIYLICQIVRVGKMDLKDTGAKKCTQGLRRPFGVAVMDITDIIKGKAESDEEKQHFIPFHPVTAENDFLHSLLGKVIASKGDSGGQGLWVTMKMLVGDIIQIRKDYPHLVDRTTVVARKLGFPEIIMPGDVRNDIYITLLQGDFDKYNKTTQRNVEVIMCVCAEDGKTLPNAICVGAGDKPMNEYRSVVYYQVKQPRWMETVKVAVPIEDMQRIHLRFMFRHRSSLESKDKGEKNFAMSYVKLMKEDGTTLHDGFHDLVVLKGDSKKMEDASAYLTLPSYRHHVENKGATLSRSSSSVGGLSVSSRDVFSISTLVCSTKLTQNVGLLGLLKWRMKPQLLQENLEKLKIVDGEEVVKFLQDTLDALFNIMMEHSQSDEYDILVFDALIYIIGLIADRKFQHFNTVLEAYIQQHFSATLAYKKLMTVLKTYLDTSSRGEQCEPILRTLKALEYVFKFIVRSRTLFSQLYEGKEQMEFEESMRRLFESINNLMKSQYKTTILLQVAALKYIPSVLHDVEMVFDAKLLSQLLYEFYTCIPPVKLQKQKVQSMNEIVQSNLFKKQECRDILLPVITKELKELLEQKDDMQHQVLERKYCVELLNSILEVLSYQDAAFTYHHIQEIMVQLLRTVNRTVITMGRDHILISHFVACMTAILNQMGDQHYSFYIETFQTSSELVDFLMETFIMFKDLIGKNVYPGDWMAMSMVQNRVFLRAINKFAETMNQKFLEHTNFEFQLWNNYFHLAVAFITQDSLQLEQFSHAKYNKILNKYGDMRRLIGFSIRDMWYKLGQNKICFIPGMVGPILEMTLIPEAELRKATIPIFFDMMLCEYQRSGDFKKFENEIILKLDHEVEGGRGDEQYMQLLESILMECAAEHPTIAKSVENFVNLVKGLLEKLLDYRGVMTDESKDNRMSCTVNLLNFYKDNNREEMYIRYLYKLRDLHLDCDNYTEAAYTLLLHTWLLKWSDEQCASQVMQTGQQHPQTHRQLKETLYETIIGYFDKGKMWEEAISLCKELAEQYEMEIFDYELLSQNLIQQAKFYESIMKILRPKPDYFAVGYYGQGFPSFLRNKVFIYRGKEYERREDFQMQLMTQFPNAEKMNTTSAPGDDVKNAPGQYIQCFTVQPVLDEHPRFKNKPVPDQIINFYKSNYVQRFHYSRPVRRGTVDPENEFASMWIERTSFVTAYKLPGILRWFEVVHMSQTTISPLENAIETMSTANEKILMMINQYQSDETLPINPLSMLLNGIVDPAVMGGFAKYEKAFFTEEYVRDHPEDQDKLTHLKDLIAWQIPFLGAGIKIHEKRVSDNLRPFHDRMEECFKNLKMKVEKEYGVREMPDFDDRRVGRPRSMLRSYRQMSIISLASMNSDCSTPSKPTSESFDLELASPKTPRVEQEEPISPGSTLPEVKLRRSKKRTKRSSVVFADEKAAAESDLKRLSRKHEFMSDTNLSEHAAIPLKASVLSQMSFASQSMPTIPALALSVAGIPGLDEANTSPRLSQTFLQLSDGDKKTLTRKKVNQFFKTMLASKSAEEGKQIPDSLSTDL
+>DECOY_sp|Q92608|DOCK2_HUMAN Dedicator of cytokinesis protein 2 OS=Homo sapiens OX=9606 GN=DOCK2 PE=1 SV=2
+LDTSLSDPIQKGEEASKSALMTKFFQNVKKRTLTKKDGDSLQLFTQSLRPSTNAEDLGPIGAVSLALAPITPMSQSAFSMQSLVSAKLPIAAHESLNTDSMFEHKRSLRKLDSEAAAKEDAFVVSSRKTRKKSRRLKVEPLTSGPSIPEEQEVRPTKPSALELDFSESTPKSPTSCDSNMSALSIISMQRYSRLMSRPRGVRRDDFDPMERVGYEKEVKMKLNKFCEEMRDHFPRLNDSVRKEHIKIGAGLFPIQWAILDKLHTLKDQDEPHDRVYEETFFAKEYKAFGGMVAPDVIGNLLMSLPNIPLTEDSQYQNIMMLIKENATSMTEIANELPSITTQSMHVVEFWRLIGPLKYATVFSTREIWMSAFENEPDVTGRRVPRSYHFRQVYNSKYFNIIQDPVPKNKFRPHEDLVPQVTFCQIYQGPANKVDDGPASTTNMKEANPFQTMLQMQFDERREYEKGRYIFVKNRLFSPFGQGYYGVAFYDPKPRLIKMISEYFKAQQILNQSLLEYDFIEMEYQEALEKCLSIAEEWMKGKDFYGIITEYLTEKLQRHTQPHQQGTQMVQSACQEDSWKLLWTHLLLTYAAETYNDCDLHLDRLKYLYRIYMEERNNDKYFNLLNVTCSMRNDKSEDTMVGRYDLLKELLGKVLNVFNEVSKAITPHEAACEMLISELLQMYQEDGRGGEVEHDLKLIIENEFKKFDGSRQYECLMMDFFIPITAKRLEAEPILTMELIPGVMGPIFCIKNQGLKYWMDRISFGILRRMDGYKNLIKNYKAHSFQELQLSDQTIFAVALHFYNNWLQFEFNTHELFKQNMTEAFKNIARLFVRNQVMSMAMWDGPYVNKGILDKFMIFTEMLFDVLESSTQFTEIYFSYHQDGMQNLIATMCAVFHSILIHDRGMTIVTRNVTRLLQVMIEQIHHYTFAADQYSLVELISNLLEVCYKRELVQHQMDDKQELLEKLEKTIVPLLIDRCEQKKFLNSQVIENMSQVKQKQLKVPPICTYFEYLLQSLLKADFVMEVDHLVSPIYKLAAVQLLITTKYQSKMLNNISEFLRRMSEEFEMQEKGEYLQSFLTRSRVIFKFVYELAKLTRLIPECQEGRSSTDLYTKLVTMLKKYALTASFHQQIYAELVTNFHQFKRDAILGIIYILADFVLIDYEDSQSHEMMINFLADLTDQLFKVVEEGDVIKLKELNEQLLQPKMRWKLLGLLGVNQTLKTSCVLTSISFVDRSSVSLGGVSSSSRSLTAGKNEVHHRYSPLTLYASADEMKKSDGKLVVLDHFGDHLTTGDEKMLKVYSMAFNKEGKDKSELSSRHRFMFRLHIRQMDEIPVAVKVTEMWRPQKVQYYVVSRYENMPKDGAGVCIANPLTKGDEACVCMIVEVNRQTTKNYKDFDGQLLTIYIDNRVDGPMIIEPFGLKRAVVTTRDVLHPYDKRIQIIDGVLMKMTVWLGQGGSDGKSAIVKGLLSHLFDNEATVPHFPIFHQKEEDSEAKGKIIDTIDMVAVGFPRRLGQTCKKAGTDKLDMKGVRVIQCILYIKDRNLDKNGLDTFVVKLNNLMEIEKPFGRSGWRVLYNESIVTQKNPDYLSMFLEADEGIRCVFNRVFVYLSHTPSSSIRSYMAYDPQDKSMEEKIRETIKDTAEEHAHFLSIVSTNDPDLINGDEDRVILDLELIKNGYDIKSTVKQKLEKLEDKPLTGSLLQSRWEMLDYMMSQVQLFREKKSAVYLQKWISGWEWLTTTVEQALPIEAPIINETNRRKEVTVEKIHIFSKPFIGQLMKHKILYGRYWDGCTEQIRVVDGIQLSLQPAGSGQFNYIAVGHREKDAKRWPAM
+>sp|Q96N67|DOCK7_HUMAN Dedicator of cytokinesis protein 7 OS=Homo sapiens OX=9606 GN=DOCK7 PE=1 SV=4
+MAERRAFAQKISRTVAAEVRKQISGQYSGSPQLLKNLNIVGNISHHTTVPLTEAVDPVDLEDYLITHPLAVDSGPLRDLIEFPPDDIEVVYSPRDCRTLVSAVPEESEMDPHVRDCIRSYTEDWAIVIRKYHKLGTGFNPNTLDKQKERQKGLPKQVFESDEAPDGNSYQDDQDDLKRRSMSIDDTPRGSWACSIFDLKNSLPDALLPNLLDRTPNEEIDRQNDDQRKSNRHKELFALHPSPDEEEPIERLSVPDIPKEHFGQRLLVKCLSLKFEIEIEPIFASLALYDVKEKKKISENFYFDLNSEQMKGLLRPHVPPAAITTLARSAIFSITYPSQDVFLVIKLEKVLQQGDIGECAEPYMIFKEADATKNKEKLEKLKSQADQFCQRLGKYRMPFAWTAIHLMNIVSSAGSLERDSTEVEISTGERKGSWSERRNSSIVGRRSLERTTSGDDACNLTSFRPATLTVTNFFKQEGDRLSDEDLYKFLADMRRPSSVLRRLRPITAQLKIDISPAPENPHYCLTPELLQVKLYPDSRVRPTREILEFPARDVYVPNTTYRNLLYIYPQSLNFANRQGSARNITVKVQFMYGEDPSNAMPVIFGKSSCSEFSKEAYTAVVYHNRSPDFHEEIKVKLPATLTDHHHLLFTFYHVSCQQKQNTPLETPVGYTWIPMLQNGRLKTGQFCLPVSLEKPPQAYSVLSPEVPLPGMKWVDNHKGVFNVEVVAVSSIHTQDPYLDKFFALVNALDEHLFPVRIGDMRIMENNLENELKSSISALNSSQLEPVVRFLHLLLDKLILLVIRPPVIAGQIVNLGQASFEAMASIINRLHKNLEGNHDQHGRNSLLASYIHYVFRLPNTYPNSSSPGPGGLGGSVHYATMARSAVRPASLNLNRSRSLSNSNPDISGTPTSPDDEVRSIIGSKGLDRSNSWVNTGGPKAAPWGSNPSPSAESTQAMDRSCNRMSSHTETSSFLQTLTGRLPTKKLFHEELALQWVVCSGSVRESALQQAWFFFELMVKSMVHHLYFNDKLEAPRKSRFPERFMDDIAALVSTIASDIVSRFQKDTEMVERLNTSLAFFLNDLLSVMDRGFVFSLIKSCYKQVSSKLYSLPNPSVLVSLRLDFLRIICSHEHYVTLNLPCSLLTPPASPSPSVSSATSQSSGFSTNVQDQKIANMFELSVPFRQQHYLAGLVLTELAVILDPDAEGLFGLHKKVINMVHNLLSSHDSDPRYSDPQIKARVAMLYLPLIGIIMETVPQLYDFTETHNQRGRPICIATDDYESESGSMISQTVAMAIAGTSVPQLTRPGSFLLTSTSGRQHTTFSAESSRSLLICLLWVLKNADETVLQKWFTDLSVLQLNRLLDLLYLCVSCFEYKGKKVFERMNSLTFKKSKDMRAKLEEAILGSIGARQEMVRRSRGQLGTYTIASPPERSPSGSAFGSQENLRWRKDMTHWRQNTEKLDKSRAEIEHEALIDGNLATEANLIILDTLEIVVQTVSVTESKESILGGVLKVLLHSMACNQSAVYLQHCFATQRALVSKFPELLFEEETEQCADLCLRLLRHCSSSIGTIRSHASASLYLLMRQNFEIGNNFARVKMQVTMSLSSLVGTSQNFNEEFLRRSLKTILTYAEEDLELRETTFPDQVQDLVFNLHMILSDTVKMKEHQEDPEMLIDLMYRIAKGYQTSPDLRLTWLQNMAGKHSERSNHAEAAQCLVHSAALVAEYLSMLEDRKYLPVGCVTFQNISSNVLEESAVSDDVVSPDEEGICSGKYFTESGLVGLLEQAAASFSMAGMYEAVNEVYKVLIPIHEANRDAKKLSTIHGKLQEAFSKIVHQSTGWERMFGTYFRVGFYGTKFGDLDEQEFVYKEPAITKLAEISHRLEGFYGERFGEDVVEVIKDSNPVDKCKLDPNKAYIQITYVEPYFDTYEMKDRITYFDKNYNLRRFMYCTPFTLDGRAHGELHEQFKRKTILTTSHAFPYIKTRVNVTHKEEIILTPIEVAIEDMQKKTQELAFATHQDPADPKMLQMVLQGSVGTTVNQGPLEVAQVFLSEIPSDPKLFRHHNKLRLCFKDFTKRCEDALRKNKSLIGPDQKEYQRELERNYHRLKEALQPLINRKIPQLYKAVLPVTCHRDSFSRMSLRKMDL
+>DECOY_sp|Q96N67|DOCK7_HUMAN Dedicator of cytokinesis protein 7 OS=Homo sapiens OX=9606 GN=DOCK7 PE=1 SV=4
+LDMKRLSMRSFSDRHCTVPLVAKYLQPIKRNILPQLAEKLRHYNRELERQYEKQDPGILSKNKRLADECRKTFDKFCLRLKNHHRFLKPDSPIESLFVQAVELPGQNVTTGVSGQLVMQLMKPDAPDQHTAFALEQTKKQMDEIAVEIPTLIIEEKHTVNVRTKIYPFAHSTTLITKRKFQEHLEGHARGDLTFPTCYMFRRLNYNKDFYTIRDKMEYTDFYPEVYTIQIYAKNPDLKCKDVPNSDKIVEVVDEGFREGYFGELRHSIEALKTIAPEKYVFEQEDLDGFKTGYFGVRFYTGFMREWGTSQHVIKSFAEQLKGHITSLKKADRNAEHIPILVKYVENVAEYMGAMSFSAAAQELLGVLGSETFYKGSCIGEEDPSVVDDSVASEELVNSSINQFTVCGVPLYKRDELMSLYEAVLAASHVLCQAAEAHNSRESHKGAMNQLWTLRLDPSTQYGKAIRYMLDILMEPDEQHEKMKVTDSLIMHLNFVLDQVQDPFTTERLELDEEAYTLITKLSRRLFEENFNQSTGVLSSLSMTVQMKVRAFNNGIEFNQRMLLYLSASAHSRITGISSSCHRLLRLCLDACQETEEEFLLEPFKSVLARQTAFCHQLYVASQNCAMSHLLVKLVGGLISEKSETVSVTQVVIELTDLIILNAETALNGDILAEHEIEARSKDLKETNQRWHTMDKRWRLNEQSGFASGSPSREPPSAITYTGLQGRSRRVMEQRAGISGLIAEELKARMDKSKKFTLSNMREFVKKGKYEFCSVCLYLLDLLRNLQLVSLDTFWKQLVTEDANKLVWLLCILLSRSSEASFTTHQRGSTSTLLFSGPRTLQPVSTGAIAMAVTQSIMSGSESEYDDTAICIPRGRQNHTETFDYLQPVTEMIIGILPLYLMAVRAKIQPDSYRPDSDHSSLLNHVMNIVKKHLGFLGEADPDLIVALETLVLGALYHQQRFPVSLEFMNAIKQDQVNTSFGSSQSTASSVSPSPSAPPTLLSCPLNLTVYHEHSCIIRLFDLRLSVLVSPNPLSYLKSSVQKYCSKILSFVFGRDMVSLLDNLFFALSTNLREVMETDKQFRSVIDSAITSVLAAIDDMFREPFRSKRPAELKDNFYLHHVMSKVMLEFFFWAQQLASERVSGSCVVWQLALEEHFLKKTPLRGTLTQLFSSTETHSSMRNCSRDMAQTSEASPSPNSGWPAAKPGGTNVWSNSRDLGKSGIISRVEDDPSTPTGSIDPNSNSLSRSRNLNLSAPRVASRAMTAYHVSGGLGGPGPSSSNPYTNPLRFVYHIYSALLSNRGHQDHNGELNKHLRNIISAMAEFSAQGLNVIQGAIVPPRIVLLILKDLLLHLFRVVPELQSSNLASISSKLENELNNEMIRMDGIRVPFLHEDLANVLAFFKDLYPDQTHISSVAVVEVNFVGKHNDVWKMGPLPVEPSLVSYAQPPKELSVPLCFQGTKLRGNQLMPIWTYGVPTELPTNQKQQCSVHYFTFLLHHHDTLTAPLKVKIEEHFDPSRNHYVVATYAEKSFESCSSKGFIVPMANSPDEGYMFQVKVTINRASGQRNAFNLSQPYIYLLNRYTTNPVYVDRAPFELIERTPRVRSDPYLKVQLLEPTLCYHPNEPAPSIDIKLQATIPRLRRLVSSPRRMDALFKYLDEDSLRDGEQKFFNTVTLTAPRFSTLNCADDGSTTRELSRRGVISSNRRESWSGKREGTSIEVETSDRELSGASSVINMLHIATWAFPMRYKGLRQCFQDAQSKLKELKEKNKTADAEKFIMYPEACEGIDGQQLVKELKIVLFVDQSPYTISFIASRALTTIAAPPVHPRLLGKMQESNLDFYFNESIKKKEKVDYLALSAFIPEIEIEFKLSLCKVLLRQGFHEKPIDPVSLREIPEEEDPSPHLAFLEKHRNSKRQDDNQRDIEENPTRDLLNPLLADPLSNKLDFISCAWSGRPTDDISMSRRKLDDQDDQYSNGDPAEDSEFVQKPLGKQREKQKDLTNPNFGTGLKHYKRIVIAWDETYSRICDRVHPDMESEEPVASVLTRCDRPSYVVEIDDPPFEILDRLPGSDVALPHTILYDELDVPDVAETLPVTTHHSINGVINLNKLLQPSGSYQGSIQKRVEAAVTRSIKQAFARREAM
+>sp|Q9BZ29|DOCK9_HUMAN Dedicator of cytokinesis protein 9 OS=Homo sapiens OX=9606 GN=DOCK9 PE=1 SV=2
+MSQPPLLPASAETRKFTRALSKPGTAAELRQSVSEVVRGSVLLAKPKLIEPLDYENVIVQKKTQILNDCLREMLLFPYDDFQTAILRRQGRYICSTVPAKAEEEAQSLFVTECIKTYNSDWHLVNYKYEDYSGEFRQLPNKVVKLDKLPVHVYEVDEEVDKDEDAASLGSQKGGITKHGWLYKGNMNSAISVTMRSFKRRFFHLIQLGDGSYNLNFYKDEKISKEPKGSIFLDSCMGVVQNNKVRRFAFELKMQDKSSYLLAADSEVEMEEWITILNKILQLNFEAAMQEKRNGDSHEDDEQSKLEGSGSGLDSYLPELAKSAREAEIKLKSESRVKLFYLDPDAQKLDFSSAEPEVKSFEEKFGKRILVKCNDLSFNLQCCVAENEEGPTTNVEPFFVTLSLFDIKYNRKISADFHVDLNHFSVRQMLATTSPALMNGSGQSPSVLKGILHEAAMQYPKQGIFSVTCPHPDIFLVARIEKVLQGSITHCAEPYMKSSDSSKVAQKVLKNAKQACQRLGQYRMPFAWAARTLFKDASGNLDKNARFSAIYRQDSNKLSNDDMLKLLADFRKPEKMAKLPVILGNLDITIDNVSSDFPNYVNSSYIPTKQFETCSKTPITFEVEEFVPCIPKHTQPYTIYTNHLYVYPKYLKYDSQKSFAKARNIAICIEFKDSDEEDSQPLKCIYGRPGGPVFTRSAFAAVLHHHQNPEFYDEIKIELPTQLHEKHHLLLTFFHVSCDNSSKGSTKKRDVVETQVGYSWLPLLKDGRVVTSEQHIPVSANLPSGYLGYQELGMGRHYGPEIKWVDGGKPLLKISTHLVSTVYTQDQHLHNFFQYCQKTESGAQALGNELVKYLKSLHAMEGHVMIAFLPTILNQLFRVLTRATQEEVAVNVTRVIIHVVAQCHEEGLESHLRSYVKYAYKAEPYVASEYKTVHEELTKSMTTILKPSADFLTSNKLLKYSWFFFDVLIKSMAQHLIENSKVKLLRNQRFPASYHHAVETVVNMLMPHITQKFRDNPEASKNANHSLAVFIKRCFTFMDRGFVFKQINNYISCFAPGDPKTLFEYKFEFLRVVCNHEHYIPLNLPMPFGKGRIQRYQDLQLDYSLTDEFCRNHFLVGLLLREVGTALQEFREVRLIAISVLKNLLIKHSFDDRYASRSHQARIATLYLPLFGLLIENVQRINVRDVSPFPVNAGMTVKDESLALPAVNPLVTPQKGSTLDNSLHKDLLGAISGIASPYTTSTPNINSVRNADSRGSLISTDSGNSLPERNSEKSNSLDKHQQSSTLGNSVVRCDKLDQSEIKSLLMCFLYILKSMSDDALFTYWNKASTSELMDFFTISEVCLHQFQYMGKRYIARTGMMHARLQQLGSLDNSLTFNHSYGHSDADVLHQSLLEANIATEVCLTALDTLSLFTLAFKNQLLADHGHNPLMKKVFDVYLCFLQKHQSETALKNVFTALRSLIYKFPSTFYEGRADMCAALCYEILKCCNSKLSSIRTEASQLLYFLMRNNFDYTGKKSFVRTHLQVIISVSQLIADVVGIGGTRFQQSLSIINNCANSDRLIKHTSFSSDVKDLTKRIRTVLMATAQMKEHENDPEMLVDLQYSLAKSYASTPELRKTWLDSMARIHVKNGDLSEAAMCYVHVTALVAEYLTRKEAVQWEPPLLPHSHSACLRRSRGGVFRQGCTAFRVITPNIDEEASMMEDVGMQDVHFNEDVLMELLEQCADGLWKAERYELIADIYKLIIPIYEKRRDFERLAHLYDTLHRAYSKVTEVMHSGRRLLGTYFRVAFFGQAAQYQFTDSETDVEGFFEDEDGKEYIYKEPKLTPLSEISQRLLKLYSDKFGSENVKMIQDSGKVNPKDLDSKYAYIQVTHVIPFFDEKELQERKTEFERSHNIRRFMFEMPFTQTGKRQGGVEEQCKRRTILTAIHCFPYVKKRIPVMYQHHTDLNPIEVAIDEMSKKVAELRQLCSSAEVDMIKLQLKLQGSVSVQVNAGPLAYARAFLDDTNTKRYPDNKVKLLKEVFRQFVEACGQALAVNERLIKEDQLEYQEEMKANYREMAKELSEIMHEQLG
+>DECOY_sp|Q9BZ29|DOCK9_HUMAN Dedicator of cytokinesis protein 9 OS=Homo sapiens OX=9606 GN=DOCK9 PE=1 SV=2
+GLQEHMIESLEKAMERYNAKMEEQYELQDEKILRENVALAQGCAEVFQRFVEKLLKVKNDPYRKTNTDDLFARAYALPGANVQVSVSGQLKLQLKIMDVEASSCLQRLEAVKKSMEDIAVEIPNLDTHHQYMVPIRKKVYPFCHIATLITRRKCQEEVGGQRKGTQTFPMEFMFRRINHSREFETKREQLEKEDFFPIVHTVQIYAYKSDLDKPNVKGSDQIMKVNESGFKDSYLKLLRQSIESLPTLKPEKYIYEKGDEDEFFGEVDTESDTFQYQAAQGFFAVRFYTGLLRRGSHMVETVKSYARHLTDYLHALREFDRRKEYIPIILKYIDAILEYREAKWLGDACQELLEMLVDENFHVDQMGVDEMMSAEEDINPTIVRFATCGQRFVGGRSRRLCASHSHPLLPPEWQVAEKRTLYEAVLATVHVYCMAAESLDGNKVHIRAMSDLWTKRLEPTSAYSKALSYQLDVLMEPDNEHEKMQATAMLVTRIRKTLDKVDSSFSTHKILRDSNACNNIISLSQQFRTGGIGVVDAILQSVSIIVQLHTRVFSKKGTYDFNNRMLFYLLQSAETRISSLKSNCCKLIEYCLAACMDARGEYFTSPFKYILSRLATFVNKLATESQHKQLFCLYVDFVKKMLPNHGHDALLQNKFALTFLSLTDLATLCVETAINAELLSQHLVDADSHGYSHNFTLSNDLSGLQQLRAHMMGTRAIYRKGMYQFQHLCVESITFFDMLESTSAKNWYTFLADDSMSKLIYLFCMLLSKIESQDLKDCRVVSNGLTSSQQHKDLSNSKESNREPLSNGSDTSILSGRSDANRVSNINPTSTTYPSAIGSIAGLLDKHLSNDLTSGKQPTVLPNVAPLALSEDKVTMGANVPFPSVDRVNIRQVNEILLGFLPLYLTAIRAQHSRSAYRDDFSHKILLNKLVSIAILRVERFEQLATGVERLLLGVLFHNRCFEDTLSYDLQLDQYRQIRGKGFPMPLNLPIYHEHNCVVRLFEFKYEFLTKPDGPAFCSIYNNIQKFVFGRDMFTFCRKIFVALSHNANKSAEPNDRFKQTIHPMLMNVVTEVAHHYSAPFRQNRLLKVKSNEILHQAMSKILVDFFFWSYKLLKNSTLFDASPKLITTMSKTLEEHVTKYESAVYPEAKYAYKVYSRLHSELGEEHCQAVVHIIVRTVNVAVEEQTARTLVRFLQNLITPLFAIMVHGEMAHLSKLYKVLENGLAQAGSETKQCYQFFNHLHQDQTYVTSVLHTSIKLLPKGGDVWKIEPGYHRGMGLEQYGLYGSPLNASVPIHQESTVVRGDKLLPLWSYGVQTEVVDRKKTSGKSSNDCSVHFFTLLLHHKEHLQTPLEIKIEDYFEPNQHHHLVAAFASRTFVPGGPRGYICKLPQSDEEDSDKFEICIAINRAKAFSKQSDYKLYKPYVYLHNTYITYPQTHKPICPVFEEVEFTIPTKSCTEFQKTPIYSSNVYNPFDSSVNDITIDLNGLIVPLKAMKEPKRFDALLKLMDDNSLKNSDQRYIASFRANKDLNGSADKFLTRAAWAFPMRYQGLRQCAQKANKLVKQAVKSSDSSKMYPEACHTISGQLVKEIRAVLFIDPHPCTVSFIGQKPYQMAAEHLIGKLVSPSQGSGNMLAPSTTALMQRVSFHNLDVHFDASIKRNYKIDFLSLTVFFPEVNTTPGEENEAVCCQLNFSLDNCKVLIRKGFKEEFSKVEPEASSFDLKQADPDLYFLKVRSESKLKIEAERASKALEPLYSDLGSGSGELKSQEDDEHSDGNRKEQMAAEFNLQLIKNLITIWEEMEVESDAALLYSSKDQMKLEFAFRRVKNNQVVGMCSDLFISGKPEKSIKEDKYFNLNYSGDGLQILHFFRRKFSRMTVSIASNMNGKYLWGHKTIGGKQSGLSAADEDKDVEEDVEYVHVPLKDLKVVKNPLQRFEGSYDEYKYNVLHWDSNYTKICETVFLSQAEEEAKAPVTSCIYRGQRRLIATQFDDYPFLLMERLCDNLIQTKKQVIVNEYDLPEILKPKALLVSGRVVESVSQRLEAATGPKSLARTFKRTEASAPLLPPQSM
+>sp|Q5JWR5|DOP1_HUMAN Protein dopey-1 OS=Homo sapiens OX=9606 GN=DOPEY1 PE=2 SV=1
+MNTEELELLSDSKYRNYVAAIDKALKNFEYSSEWADLISALGKLNKVLQNNAKYQVVPKKLTIGKRLAQCLHPALPGGVHRKALETYEIIFKIIGPKRLAKDLFLYSSGLFPLLANAAMSVKPTLLSLYEIYYLPLGKTLKPGLQGLLTGILPGLEEGSEYYERTNMLLEKVAAAVDQSAFYSALWGSLLTSPAVRLPGITYVLAHLNRKLSMEDQLYIIGSDIELMVEAVSTSVQDSSVLVQRSTLDLILFCFPFHMSQATRPDMIRILSAALHVVLRRDMSLNRRLYAWLLGFDNNGAIIGPRSTRHSNPEEHATYYFTTFSKELLVQAMVGILQVNGFGEENTLMQDLKPFRILISLLDKPELGPVILEDVLIEVFRTLYSQCKAELDLQTEPPFSKDHAQLSSKLRENKKTAELIKTANLLFNSFEPYYMWDYVARWFEECCRRTLHVRLQIGPGDSNDSSELQLTNFCLLVDFLLDIVSLPTRSMRVLCQETYIEIQTEHLPQLLLRMISALTSHLQTLHLSELTDSLRLCSKILSKVQPPLLSASTGGVLQFPSGQNNSVKEWEDKKVSSVSHENPTEVFEDGENPPSSRSSESGFTEFIQYQADRTDDIDRELSEGQGAAAIPIGSTSSETETASTVGSEETIIQTPSVVTQGTATRSRKTAQKTAMQCCLEYVQQFLTRLINLYIIQNNSFSQSLATEHQGDLGREQGETSKWDRNSQGDVKEKNISKQKTSKEYLSAFLAACQLFLECSSFPVYIAEGNHTSELRSEKLETDCEHVQPPQWLQTLMNACSQASDFSVQSVAISLVMDLVGLTQSVAMVTGENINSVEPAQPLSPNQGRVAVVIRPPLTQGNLRYIAEKTEFFKHVALTLWDQLGDGTPQHHQKSVELFYQLHNLVPSSSICEDVISQQLTHKDKKIRMEAHAKFAVLWHLTRDLHINKSSSFVRSFDRSLFIMLDSLNSLDGSTSSVGQAWLNQVLQRHDIARVLEPLLLLLLHPKTQRVSVQRVQAERYWNKSPCYPGEESDKHFMQNFACSNVSQVQLITSKGNGEKPLTMDEIENFSLTVNPLSDRLSLLSTSSETIPMVVSDFDLPDQQIEILQSSDSGCSQSSAGDNLSYEVDPETVNAQEDSQMPKESSPDDDVQQVVFDLICKVVSGLEVESASVTSQLEIEAMPPKCSDIDPDEETIKIEDDSIQQSQNALLSNESSQFLSVSAEGGHECVANGISRNSSSPCISGTTHTLHDSSVASIETKSRQRSHSSIQFSFKEKLSEKVSEKETIVKESGKQPGAKPKVKLARKKDDDKKKSSNEKLKQTSVFFSDGLDLENWYSCGEGDISEIESDMGSPGSRKSPNFNIHPLYQHVLLYLQLYDSSRTLYAFSAIKAILKTNPIAFVNAISTTSVNNAYTPQLSLLQNLLARHRISVMGKDFYSHIPVDSNHNFRSSMYIEILISLCLYYMRSHYPTHVKVTAQDLIGNRNMQMMSIEILTLLFTELAKVIESSAKGFPSFISDMLSKCKVQKVILHCLLSSIFSAQKWHSEKMAGKNLVAVEEGFSEDSLINFSEDEFDNGSTLQSQLLKVLQRLIVLEHRVMTIPEENETGFDFVVSDLEHISPHQPMTSLQYLHAQPITCQGMFLCAVIRALHQHCACKMHPQWIGLITSTLPYMGKVLQRVVVSVTLQLCRNLDNLIQQYKYETGLSDSRPLWMASIIPPDMILTLLEGITAIIHYCLLDPTTQYHQLLVSVDQKHLFEARSGILSILHMIMSSVTLLWSILHQADSSEKMTIAASASLTTINLGATKNLRQQILELLGPISMNHGVHFMAAIAFVWNERRQNKTTTRTKVIPAASEEQLLLVELVRSISVMRAETVIQTVKEVLKQPPAIAKDKKHLSLEVCMLQFFYAYIQRIPVPNLVDSWASLLILLKDSIQLSLPAPGQFLILGVLNEFIMKNPSLENKKDQRDLQDVTHKIVDAIGAIAGSSLEQTTWLRRNLEVKPSPKIMVDGTNLESDVEDMLSPAMETANITPSVYSVHALTLLSEVLAHLLDMVFYSDEKERVIPLLVNIMHYVVPYLRNHSAHNAPSYRACVQLLSSLSGYQYTRRAWKKEAFDLFMDPSFFQMDASCVNHWRAIMDNLMTHDKTTFRDLMTRVAVAQSSSLNLFANRDVELEQRAMLLKRLAFAIFSSEIDQYQKYLPDIQERLVESLRLPQVPTLHSQVFLFFRVLLLRMSPQHLTSLWPTMITELVQVFLLMEQELTADEDISRTSGPSVAGLETTYTGGNGFSTSYNSQRWLNLYLSACKFLDLALALPSENLPQFQMYRWAFIPEASDDSGLEVRRQGIHQREFKPYVVRLAKLLRKRAKKNPEEDNSGRTLGWEPGHLLLTICTVRSMEQLLPFFNVLSQVFNSKVTSRCGGHSGSPILYSNAFPNKDMKLENHKPCSSKARQKIEEMVEKDFLEGMIKT
+>DECOY_sp|Q5JWR5|DOP1_HUMAN Protein dopey-1 OS=Homo sapiens OX=9606 GN=DOPEY1 PE=2 SV=1
+TKIMGELFDKEVMEEIKQRAKSSCPKHNELKMDKNPFANSYLIPSGSHGGCRSTVKSNFVQSLVNFFPLLQEMSRVTCITLLLHGPEWGLTRGSNDEEPNKKARKRLLKALRVVYPKFERQHIGQRRVELGSDDSAEPIFAWRYMQFQPLNESPLALALDLFKCASLYLNLWRQSNYSTSFGNGGTYTTELGAVSPGSTRSIDEDATLEQEMLLFVQVLETIMTPWLSTLHQPSMRLLLVRFFLFVQSHLTPVQPLRLSEVLREQIDPLYKQYQDIESSFIAFALRKLLMARQELEVDRNAFLNLSSSQAVAVRTMLDRFTTKDHTMLNDMIARWHNVCSADMQFFSPDMFLDFAEKKWARRTYQYGSLSSLLQVCARYSPANHASHNRLYPVVYHMINVLLPIVREKEDSYFVMDLLHALVESLLTLAHVSYVSPTINATEMAPSLMDEVDSELNTGDVMIKPSPKVELNRRLWTTQELSSGAIAGIADVIKHTVDQLDRQDKKNELSPNKMIFENLVGLILFQGPAPLSLQISDKLLILLSAWSDVLNPVPIRQIYAYFFQLMCVELSLHKKDKAIAPPQKLVEKVTQIVTEARMVSISRVLEVLLLQEESAAPIVKTRTTTKNQRRENWVFAIAAMFHVGHNMSIPGLLELIQQRLNKTAGLNITTLSASAAITMKESSDAQHLISWLLTVSSMIMHLISLIGSRAEFLHKQDVSVLLQHYQTTPDLLCYHIIATIGELLTLIMDPPIISAMWLPRSDSLGTEYKYQQILNDLNRCLQLTVSVVVRQLVKGMYPLTSTILGIWQPHMKCACHQHLARIVACLFMGQCTIPQAHLYQLSTMPQHPSIHELDSVVFDFGTENEEPITMVRHELVILRQLVKLLQSQLTSGNDFEDESFNILSDESFGEEVAVLNKGAMKESHWKQASFISSLLCHLIVKQVKCKSLMDSIFSPFGKASSEIVKALETFLLTLIEISMMQMNRNGILDQATVKVHTPYHSRMYYLCLSILIEIYMSSRFNHNSDVPIHSYFDKGMVSIRHRALLNQLLSLQPTYANNVSTTSIANVFAIPNTKLIAKIASFAYLTRSSDYLQLYLLVHQYLPHINFNPSKRSGPSGMDSEIESIDGEGCSYWNELDLGDSFFVSTQKLKENSSKKKDDDKKRALKVKPKAGPQKGSEKVITEKESVKESLKEKFSFQISSHSRQRSKTEISAVSSDHLTHTTGSICPSSSNRSIGNAVCEHGGEASVSLFQSSENSLLANQSQQISDDEIKITEEDPDIDSCKPPMAEIELQSTVSASEVELGSVVKCILDFVVQQVDDDPSSEKPMQSDEQANVTEPDVEYSLNDGASSQSCGSDSSQLIEIQQDPLDFDSVVMPITESSTSLLSLRDSLPNVTLSFNEIEDMTLPKEGNGKSTILQVQSVNSCAFNQMFHKDSEEGPYCPSKNWYREAQVRQVSVRQTKPHLLLLLLPELVRAIDHRQLVQNLWAQGVSSTSGDLSNLSDLMIFLSRDFSRVFSSSKNIHLDRTLHWLVAFKAHAEMRIKKDKHTLQQSIVDECISSSPVLNHLQYFLEVSKQHHQPTGDGLQDWLTLAVHKFFETKEAIYRLNGQTLPPRIVVAVRGQNPSLPQAPEVSNINEGTVMAVSQTLGVLDMVLSIAVSQVSFDSAQSCANMLTQLWQPPQVHECDTELKESRLESTHNGEAIYVPFSSCELFLQCAALFASLYEKSTKQKSINKEKVDGQSNRDWKSTEGQERGLDGQHETALSQSFSNNQIIYLNILRTLFQQVYELCCQMATKQATKRSRTATGQTVVSPTQIITEESGVTSATETESSTSGIPIAAAGQGESLERDIDDTRDAQYQIFETFGSESSRSSPPNEGDEFVETPNEHSVSSVKKDEWEKVSNNQGSPFQLVGGTSASLLPPQVKSLIKSCLRLSDTLESLHLTQLHSTLASIMRLLLQPLHETQIEIYTEQCLVRMSRTPLSVIDLLFDVLLCFNTLQLESSDNSDGPGIQLRVHLTRRCCEEFWRAVYDWMYYPEFSNFLLNATKILEATKKNERLKSSLQAHDKSFPPETQLDLEAKCQSYLTRFVEILVDELIVPGLEPKDLLSILIRFPKLDQMLTNEEGFGNVQLIGVMAQVLLEKSFTTFYYTAHEEPNSHRTSRPGIIAGNNDFGLLWAYLRRNLSMDRRLVVHLAASLIRIMDPRTAQSMHFPFCFLILDLTSRQVLVSSDQVSTSVAEVMLEIDSGIIYLQDEMSLKRNLHALVYTIGPLRVAPSTLLSGWLASYFASQDVAAAVKELLMNTREYYESGEELGPLIGTLLGQLGPKLTKGLPLYYIEYLSLLTPKVSMAANALLPFLGSSYLFLDKALRKPGIIKFIIEYTELAKRHVGGPLAPHLCQALRKGITLKKPVVQYKANNQLVKNLKGLASILDAWESSYEFNKLAKDIAAVYNRYKSDSLLELEETNM
+>sp|Q9Y3R5|DOP2_HUMAN Protein dopey-2 OS=Homo sapiens OX=9606 GN=DOPEY2 PE=1 SV=5
+MDPEEQELLNDYRYRSYSSVIEKALRNFESSSEWADLISSLGKLNKALQSNLRYSLLPRRLLISKRLAQCLHPALPSGVHLKALETYEIIFKIVGTKWLAKDLFLYSCGLFPLLAHAAVSVRPVLLTLYEKYFLPLQKLLLPSLQAFIVGLLPGLEEGSEISDRTDALLLRLSLVVGKEVFYTALWGSVLASPSIRLPASVFVVGHINRDAPGREQKYMLGTNHQLTVKSLRASLLDSNVLVQRNNLEIVLFFFPFYTCLDSNERAIPLLRSDIVRILSAATQTLLRRDMSLNRRLYAWLLGSDIKGNTVVPESEISNSYEDQSSYFFEKYSKDLLVEGLAEILHQKFIDADVEERHHAYLKPFRVLISLLDKPEIGPQVVGNLFLEVIRAFYSYCRDALGSDLKLSYTQSGNSLISAIKENRNASEIVKTVNLLITSLSTDFLWDYMTRCFEECFRPVKQRYSVRNSVSPPPTVSELCALLVFLLDVIPLELYSEVQTQYLPQVLGCLVQPLAEDMEALSLPELTHALKTCFKVLSKVQMPPSYLDTESTSGTSSPVKGENGKIILETKAVIPGDEDASFPPLKSEDSGIGLSASSPELSEHLRVPRVSLERDDVWKKGGSMQRTFLCIQELIANFASKNIFGVQLTASGEESKSEEPAGKRDRDGTQSLAANDSSRKNSWEPKPITVPQFKQMLSDLFTARGSPFKTKSSESPSSSPSSPARKNGGEWDVEKVVIDLGGSREERREAFAAACHLLLDCATFPVYLSEEETEQLCATLFQLPGAGDSSFPSWLKSLMTICCCVTDCYLQNVAISTLLEVINHSQSLALVIEDKMKRYKSSGHNPFFGKLQMVTVPPIAPGILKVIAEKTDFYQRVARVLWNQLNKETREHHVTCVELFYRLHCLAPTANICEDIICHALLDPDKGTRLEALFRFSVIWHLTREIQGSRVTSHNRSFDRSLFVVLDSLACTDGAIGAAAQGWLVRALSLGDVARILEPVLLLLLQPKTQRTSIHCLKQENSADDLHRWFNRKKTSFREACAVPEPQESGSEEHLPLSQFTTVDREAIWAEVEKEPEKYPLRGELSEEELPYYVELPDRTAHGAPDSSEHTESADTSSCHTDSENTSSFSSPSHDLQELSNEENCCAPIPMGGRAYPKRSALLAAFQSESFKAGAKLSLVRVDSDKTQASESFSSDEEADLELQALTTSRLLKQQRERQEAVEALFKHILLYLQPYDSRRVLYAFSVLEAVLKTNPKEFIEAVSRTSMDTSSTAHLNLISNLLARHQEALIGQSFYGKLQTQVPNVCPHSLLLELLTYLCLSFLRSYYPCYLKVSHRDILGNRDVQVKSVEVLIRIMMQLVSVAKSSEGKNVEFIHSLLQRCKVQEFVLLSLSASMYTSQKRYGLATAHHGRALPEDSLFEESLINLGQDQIWSEHPLQIELLKLLQVLIVLEHHLGRAHEEAENQPDLSREWQRALNFQQAISALQYVQPHPLTSQGLLVSAVVRGLQPAYGYGMHPAWVSLVTHSLPYFGKSLGWTVTPFVVQICKNLDDLVKQYESESVKLSVSTTSKRENISPDYPLTLLEGLTTISHFCLLEQANQNKKTMAAGDPANLRNARNAILEELPRTVNTMALLWNVLRKEETQKRPVDLLGATKGSSSVYFKTTKTIRQKILDFLNPLTAHLGVQLTAAVAAVWSRKKAQRHSKMKIIPTASASQLTLVDLVCALSTLQTDTLLHLVKEVVKRPPQVKGGDEKSPLVDIPVLQFCYAFLQRLPVPALQENFSSLLGVLKESVQLNLAPPGYFLLLSMLNDFVTRTPNLENKKDQKDLQEITQKILEAVGNIAGSSLEQTSWLSRNLEVKAQPQASLEESDAEEDLYDAAAASAMVSSSAPSVYSVQALSLLAEVLASLLDMVYRSDEKEKAVPLISRLLYYVFPYLRNHSAYNAPSFRAGAQLLSSLSGYAYTKRAWRKEVLELFLDPAFFQMDTSCVHWKSIIDHLLTHEKTMFKDLMNMQSSSLKLFSSFEQKAMLLKRQAFAVFSGELDQYHLYLPLIQERLTDNLRVGQTSIVAAQMFLFFRVLLLRISPQHLTSLWPIMVSELIQTFTQLEEDLKDEDESLRSTNKVNRTKVSVPDANGPSVGEIPQSELILYLSACKFLDTALSFPPDKMPLFQIYRWAFIPEVDTEGPAFLSDVEENHQECKPHTVRILELLKLKFGEISSSDEITMKSEFPLLRQHSVSSIRQLMPFFMTLNGAFKTQRQLPADSPGTPFLDFPVTDSPRILKQLEECIEYDFLEHPEC
+>DECOY_sp|Q9Y3R5|DOP2_HUMAN Protein dopey-2 OS=Homo sapiens OX=9606 GN=DOPEY2 PE=1 SV=5
+CEPHELFDYEICEELQKLIRPSDTVPFDLFPTGPSDAPLQRQTKFAGNLTMFFPMLQRISSVSHQRLLPFESKMTIEDSSSIEGFKLKLLELIRVTHPKCEQHNEEVDSLFAPGETDVEPIFAWRYIQFLPMKDPPFSLATDLFKCASLYLILESQPIEGVSPGNADPVSVKTRNVKNTSRLSEDEDKLDEELQTFTQILESVMIPWLSTLHQPSIRLLLVRFFLFMQAAVISTQGVRLNDTLREQILPLYLHYQDLEGSFVAFAQRKLLMAKQEFSSFLKLSSSQMNMLDKFMTKEHTLLHDIISKWHVCSTDMQFFAPDLFLELVEKRWARKTYAYGSLSSLLQAGARFSPANYASHNRLYPFVYYLLRSILPVAKEKEDSRYVMDLLSALVEALLSLAQVSYVSPASSSVMASAAAADYLDEEADSEELSAQPQAKVELNRSLWSTQELSSGAINGVAELIKQTIEQLDKQDKKNELNPTRTVFDNLMSLLLFYGPPALNLQVSEKLVGLLSSFNEQLAPVPLRQLFAYCFQLVPIDVLPSKEDGGKVQPPRKVVEKVLHLLTDTQLTSLACVLDVLTLQSASATPIIKMKSHRQAKKRSWVAAVAATLQVGLHATLPNLFDLIKQRITKTTKFYVSSSGKTAGLLDVPRKQTEEKRLVNWLLAMTNVTRPLEELIANRANRLNAPDGAAMTKKNQNAQELLCFHSITTLGELLTLPYDPSINERKSTTSVSLKVSESEYQKVLDDLNKCIQVVFPTVTWGLSKGFYPLSHTVLSVWAPHMGYGYAPQLGRVVASVLLGQSTLPHPQVYQLASIAQQFNLARQWERSLDPQNEAEEHARGLHHELVILVQLLKLLEIQLPHESWIQDQGLNILSEEFLSDEPLARGHHATALGYRKQSTYMSASLSLLVFEQVKCRQLLSHIFEVNKGESSKAVSVLQMMIRILVEVSKVQVDRNGLIDRHSVKLYCPYYSRLFSLCLYTLLELLLSHPCVNPVQTQLKGYFSQGILAEQHRALLNSILNLHATSSTDMSTRSVAEIFEKPNTKLVAELVSFAYLVRRSDYPQLYLLIHKFLAEVAEQRERQQKLLRSTTLAQLELDAEEDSSFSESAQTKDSDVRVLSLKAGAKFSESQFAALLASRKPYARGGMPIPACCNEENSLEQLDHSPSSFSSTNESDTHCSSTDASETHESSDPAGHATRDPLEVYYPLEEESLEGRLPYKEPEKEVEAWIAERDVTTFQSLPLHEESGSEQPEPVACAERFSTKKRNFWRHLDDASNEQKLCHISTRQTKPQLLLLLVPELIRAVDGLSLARVLWGQAAAGIAGDTCALSDLVVFLSRDFSRNHSTVRSGQIERTLHWIVSFRFLAELRTGKDPDLLAHCIIDECINATPALCHLRYFLEVCTVHHERTEKNLQNWLVRAVRQYFDTKEAIVKLIGPAIPPVTVMQLKGFFPNHGSSKYRKMKDEIVLALSQSHNIVELLTSIAVNQLYCDTVCCCITMLSKLWSPFSSDGAGPLQFLTACLQETEEESLYVPFTACDLLLHCAAAFAERREERSGGLDIVVKEVDWEGGNKRAPSSPSSSPSESSKTKFPSGRATFLDSLMQKFQPVTIPKPEWSNKRSSDNAALSQTGDRDRKGAPEESKSEEGSATLQVGFINKSAFNAILEQICLFTRQMSGGKKWVDDRELSVRPVRLHESLEPSSASLGIGSDESKLPPFSADEDGPIVAKTELIIKGNEGKVPSSTGSTSETDLYSPPMQVKSLVKFCTKLAHTLEPLSLAEMDEALPQVLCGLVQPLYQTQVESYLELPIVDLLFVLLACLESVTPPPSVSNRVSYRQKVPRFCEEFCRTMYDWLFDTSLSTILLNVTKVIESANRNEKIASILSNGSQTYSLKLDSGLADRCYSYFARIVELFLNGVVQPGIEPKDLLSILVRFPKLYAHHREEVDADIFKQHLIEALGEVLLDKSYKEFFYSSQDEYSNSIESEPVVTNGKIDSGLLWAYLRRNLSMDRRLLTQTAASLIRVIDSRLLPIARENSDLCTYFPFFFLVIELNNRQVLVNSDLLSARLSKVTLQHNTGLMYKQERGPADRNIHGVVFVSAPLRISPSALVSGWLATYFVEKGVVLSLRLLLADTRDSIESGEELGPLLGVIFAQLSPLLLKQLPLFYKEYLTLLVPRVSVAAHALLPFLGCSYLFLDKALWKTGVIKFIIEYTELAKLHVGSPLAPHLCQALRKSILLRRPLLSYRLNSQLAKNLKGLSSILDAWESSSEFNRLAKEIVSSYSRYRYDNLLEQEEPDM
+>sp|Q1HG43|DOXA1_HUMAN Dual oxidase maturation factor 1 OS=Homo sapiens OX=9606 GN=DUOXA1 PE=1 SV=1
+MATLGHTFPFYAGPKPTFPMDTTLASIIMIFLTALATFIVILPGIRGKTRLFWLLRVVTSLFIGAAILAVNFSSEWSVGQVSTNTSYKAFSSEWISADIGLQVGLGGVNITLTGTPVQQLNETINYNEEFTWRLGENYAEEYAKALEKGLPDPVLYLAEKFTPRSPCGLYRQYRLAGHYTSAMLWVAFLCWLLANVMLSMPVLVYGGYMLLATGIFQLLALLFFSMATSLTSPCPLHLGASVLHTHHGPAFWITLTTGLLCVLLGLAMAVAHRMQPHRLKAFFNQSVDEDPMLEWSPEEGGLLSPRYRSMADSPKSQDIPLSEASSTKAYCKEAHPKDPDCAL
+>DECOY_sp|Q1HG43|DOXA1_HUMAN Dual oxidase maturation factor 1 OS=Homo sapiens OX=9606 GN=DUOXA1 PE=1 SV=1
+LACDPDKPHAEKCYAKTSSAESLPIDQSKPSDAMSRYRPSLLGGEEPSWELMPDEDVSQNFFAKLRHPQMRHAVAMALGLLVCLLGTTLTIWFAPGHHTHLVSAGLHLPCPSTLSTAMSFFLLALLQFIGTALLMYGGYVLVPMSLMVNALLWCLFAVWLMASTYHGALRYQRYLGCPSRPTFKEALYLVPDPLGKELAKAYEEAYNEGLRWTFEENYNITENLQQVPTGTLTINVGGLGVQLGIDASIWESSFAKYSTNTSVQGVSWESSFNVALIAAGIFLSTVVRLLWFLRTKGRIGPLIVIFTALATLFIMIISALTTDMPFTPKPGAYFPFTHGLTAM
+>sp|Q86SG4|DPCA2_HUMAN Putative Dresden prostate carcinoma protein 2 OS=Homo sapiens OX=9606 GN=HMGN2P46 PE=5 SV=1
+MEPWAMRALDFADESGSVSCKDMHLLLWLQKRIEMHKAEQCEEEEAMTPRPTKARAPLPSAYVPPLSLPPCPRERLKGMLKEIKPRLSRNCREDPQGCLLNLLLQSHSRSPERPLQRRERRYLQRRREKLMLARRGITLQKMEMPKQTRHRKLKVLEMPSEVCAFLITVYFW
+>DECOY_sp|Q86SG4|DPCA2_HUMAN Putative Dresden prostate carcinoma protein 2 OS=Homo sapiens OX=9606 GN=HMGN2P46 PE=5 SV=1
+WFYVTILFACVESPMELVKLKRHRTQKPMEMKQLTIGRRALMLKERRRQLYRRERRQLPREPSRSHSQLLLNLLCGQPDERCNRSLRPKIEKLMGKLRERPCPPLSLPPVYASPLPARAKTPRPTMAEEEECQEAKHMEIRKQLWLLLHMDKCSVSGSEDAFDLARMAWPEM
+>sp|Q9BZG8|DPH1_HUMAN 2-(3-amino-3-carboxypropyl)histidine synthase subunit 1 OS=Homo sapiens OX=9606 GN=DPH1 PE=1 SV=2
+MRRQVMAALVVSGAAEQGGRDGPGRGRAPRGRVANQIPPEILKNPQLQAAIRVLPSNYNFEIPKTIWRIQQAQAKKVALQMPEGLLLFACTIVDILERFTEAEVMVMGDVTYGACCVDDFTARALGADFLVHYGHSCLIPMDTSAQDFRVLYVFVDIRIDTTHLLDSLRLTFPPATALALVSTIQFVSTLQAAAQELKAEYRVSVPQCKPLSPGEILGCTSPRLSKEVEAVVYLGDGRFHLESVMIANPNVPAYRYDPYSKVLSREHYDHQRMQAARQEAIATARSAKSWGLILGTLGRQGSPKILEHLESRLRALGLSFVRLLLSEIFPSKLSLLPEVDVWVQVACPRLSIDWGTAFPKPLLTPYEAAVALRDISWQQPYPMDFYAGSSLGPWTVNHGQDRRPHAPGRPARGKVQEGSARPPSAVACEDCSCRDEKVAPLAP
+>DECOY_sp|Q9BZG8|DPH1_HUMAN 2-(3-amino-3-carboxypropyl)histidine synthase subunit 1 OS=Homo sapiens OX=9606 GN=DPH1 PE=1 SV=2
+PALPAVKEDRCSCDECAVASPPRASGEQVKGRAPRGPAHPRRDQGHNVTWPGLSSGAYFDMPYPQQWSIDRLAVAAEYPTLLPKPFATGWDISLRPCAVQVWVDVEPLLSLKSPFIESLLLRVFSLGLARLRSELHELIKPSGQRGLTGLILGWSKASRATAIAEQRAAQMRQHDYHERSLVKSYPDYRYAPVNPNAIMVSELHFRGDGLYVVAEVEKSLRPSTCGLIEGPSLPKCQPVSVRYEAKLEQAAAQLTSVFQITSVLALATAPPFTLRLSDLLHTTDIRIDVFVYLVRFDQASTDMPILCSHGYHVLFDAGLARATFDDVCCAGYTVDGMVMVEAETFRELIDVITCAFLLLGEPMQLAVKKAQAQQIRWITKPIEFNYNSPLVRIAAQLQPNKLIEPPIQNAVRGRPARGRGPGDRGGQEAAGSVVLAAMVQRRM
+>sp|Q7L8W6|DPH6_HUMAN Diphthine--ammonia ligase OS=Homo sapiens OX=9606 GN=DPH6 PE=1 SV=3
+MRVAALISGGKDSCYNMMQCIAAGHQIVALANLRPAENQVGSDELDSYMYQTVGHHAIDLYAEAMALPLYRRTIRGRSLDTRQVYTKCEGDEVEDLYELLKLVKEKEEVEGISVGAILSDYQRIRVENVCKRLNLQPLAYLWQRNQEDLLREMISSNIQAMIIKVAALGLDPDKHLGKTLDQMEPYLIELSKKYGVHVCGEGGEYETFTLDCPLFKKKIIVDSSEVVIHSADAFAPVAYLRFLELHLEDKVSSVPDNYRTSNYIYNF
+>DECOY_sp|Q7L8W6|DPH6_HUMAN Diphthine--ammonia ligase OS=Homo sapiens OX=9606 GN=DPH6 PE=1 SV=3
+FNYIYNSTRYNDPVSSVKDELHLELFRLYAVPAFADASHIVVESSDVIIKKKFLPCDLTFTEYEGGEGCVHVGYKKSLEILYPEMQDLTKGLHKDPDLGLAAVKIIMAQINSSIMERLLDEQNRQWLYALPQLNLRKCVNEVRIRQYDSLIAGVSIGEVEEKEKVLKLLEYLDEVEDGECKTYVQRTDLSRGRITRRYLPLAMAEAYLDIAHHGVTQYMYSDLEDSGVQNEAPRLNALAVIQHGAAICQMMNYCSDKGGSILAAVRM
+>sp|Q9HCU8|DPOD4_HUMAN DNA polymerase delta subunit 4 OS=Homo sapiens OX=9606 GN=POLD4 PE=1 SV=1
+MGRKRLITDSYPVVKRREGPAGHSKGELAPELGEEPQPRDEEEAELELLRQFDLAWQYGPCTGITRLQRWCRAKQMGLEPPPEVWQVLKTHPGDPRFQCSLWHLYPL
+>DECOY_sp|Q9HCU8|DPOD4_HUMAN DNA polymerase delta subunit 4 OS=Homo sapiens OX=9606 GN=POLD4 PE=1 SV=1
+LPYLHWLSCQFRPDGPHTKLVQWVEPPPELGMQKARCWRQLRTIGTCPGYQWALDFQRLLELEAEEEDRPQPEEGLEPALEGKSHGAPGERRKVVPYSDTILRKRGM
+>sp|P06746|DPOLB_HUMAN DNA polymerase beta OS=Homo sapiens OX=9606 GN=POLB PE=1 SV=3
+MSKRKAPQETLNGGITDMLTELANFEKNVSQAIHKYNAYRKAASVIAKYPHKIKSGAEAKKLPGVGTKIAEKIDEFLATGKLRKLEKIRQDDTSSSINFLTRVSGIGPSAARKFVDEGIKTLEDLRKNEDKLNHHQRIGLKYFGDFEKRIPREEMLQMQDIVLNEVKKVDSEYIATVCGSFRRGAESSGDMDVLLTHPSFTSESTKQPKLLHQVVEQLQKVHFITDTLSKGETKFMGVCQLPSKNDEKEYPHRRIDIRLIPKDQYYCGVLYFTGSDIFNKNMRAHALEKGFTINEYTIRPLGVTGVAGEPLPVDSEKDIFDYIQWKYREPKDRSE
+>DECOY_sp|P06746|DPOLB_HUMAN DNA polymerase beta OS=Homo sapiens OX=9606 GN=POLB PE=1 SV=3
+ESRDKPERYKWQIYDFIDKESDVPLPEGAVGTVGLPRITYENITFGKELAHARMNKNFIDSGTFYLVGCYYQDKPILRIDIRRHPYEKEDNKSPLQCVGMFKTEGKSLTDTIFHVKQLQEVVQHLLKPQKTSESTFSPHTLLVDMDGSSEAGRRFSGCVTAIYESDVKKVENLVIDQMQLMEERPIRKEFDGFYKLGIRQHHNLKDENKRLDELTKIGEDVFKRAASPGIGSVRTLFNISSSTDDQRIKELKRLKGTALFEDIKEAIKTGVGPLKKAEAGSKIKHPYKAIVSAAKRYANYKHIAQSVNKEFNALETLMDTIGGNLTEQPAKRKSM
+>sp|O75417|DPOLQ_HUMAN DNA polymerase theta OS=Homo sapiens OX=9606 GN=POLQ PE=1 SV=2
+MNLLRRSGKRRRSESGSDSFSGSGGDSSASPQFLSGSVLSPPPGLGRCLKAAAAGECKPTVPDYERDKLLLANWGLPKAVLEKYHSFGVKKMFEWQAECLLLGQVLEGKNLVYSAPTSAGKTLVAELLILKRVLEMRKKALFILPFVSVAKEKKYYLQSLFQEVGIKVDGYMGSTSPSRHFSSLDIAVCTIERANGLINRLIEENKMDLLGMVVVDELHMLGDSHRGYLLELLLTKICYITRKSASCQADLASSLSNAVQIVGMSATLPNLELVASWLNAELYHTDFRPVPLLESVKVGNSIYDSSMKLVREFEPMLQVKGDEDHVVSLCYETICDNHSVLLFCPSKKWCEKLADIIAREFYNLHHQAEGLVKPSECPPVILEQKELLEVMDQLRRLPSGLDSVLQKTVPWGVAFHHAGLTFEERDIIEGAFRQGLIRVLAATSTLSSGVNLPARRVIIRTPIFGGRPLDILTYKQMVGRAGRKGVDTVGESILICKNSEKSKGIALLQGSLKPVRSCLQRREGEEVTGSMIRAILEIIVGGVASTSQDMHTYAACTFLAASMKEGKQGIQRNQESVQLGAIEACVMWLLENEFIQSTEASDGTEGKVYHPTHLGSATLSSSLSPADTLDIFADLQRAMKGFVLENDLHILYLVTPMFEDWTTIDWYRFFCLWEKLPTSMKRVAELVGVEEGFLARCVKGKVVARTERQHRQMAIHKRFFTSLVLLDLISEVPLREINQKYGCNRGQIQSLQQSAAVYAGMITVFSNRLGWHNMELLLSQFQKRLTFGIQRELCDLVRVSLLNAQRARVLYASGFHTVADLARANIVEVEVILKNAVPFKSARKAVDEEEEAVEERRNMRTIWVTGRKGLTEREAAALIVEEARMILQQDLVEMGVQWNPCALLHSSTCSLTHSESEVKEHTFISQTKSSYKKLTSKNKSNTIFSDSYIKHSPNIVQDLNKSREHTSSFNCNFQNGNQEHQTCSIFRARKRASLDINKEKPGASQNEGKTSDKKVVQTFSQKTKKAPLNFNSEKMSRSFRSWKRRKHLKRSRDSSPLKDSGACRIHLQGQTLSNPSLCEDPFTLDEKKTEFRNSGPFAKNVSLSGKEKDNKTSFPLQIKQNCSWNITLTNDNFVEHIVTGSQSKNVTCQATSVVSEKGRGVAVEAEKINEVLIQNGSKNQNVYMKHHDIHPINQYLRKQSHEQTSTITKQKNIIERQMPCEAVSSYINRDSNVTINCERIKLNTEENKPSHFQALGDDISRTVIPSEVLPSAGAFSKSEGQHENFLNISRLQEKTGTYTTNKTKNNHVSDLGLVLCDFEDSFYLDTQSEKIIQQMATENAKLGAKDTNLAAGIMQKSLVQQNSMNSFQKECHIPFPAEQHPLGATKIDHLDLKTVGTMKQSSDSHGVDILTPESPIFHSPILLEENGLFLKKNEVSVTDSQLNSFLQGYQTQETVKPVILLIPQKRTPTGVEGECLPVPETSLNMSDSLLFDSFSDDYLVKEQLPDMQMKEPLPSEVTSNHFSDSLCLQEDLIKKSNVNENQDTHQQLTCSNDESIIFSEMDSVQMVEALDNVDIFPVQEKNHTVVSPRALELSDPVLDEHHQGDQDGGDQDERAEKSKLTGTRQNHSFIWSGASFDLSPGLQRILDKVSSPLENEKLKSMTINFSSLNRKNTELNEEQEVISNLETKQVQGISFSSNNEVKSKIEMLENNANHDETSSLLPRKESNIVDDNGLIPPTPIPTSASKLTFPGILETPVNPWKTNNVLQPGESYLFGSPSDIKNHDLSPGSRNGFKDNSPISDTSFSLQLSQDGLQLTPASSSSESLSIIDVASDQNLFQTFIKEWRCKKRFSISLACEKIRSLTSSKTATIGSRFKQASSPQEIPIRDDGFPIKGCDDTLVVGLAVCWGGRDAYYFSLQKEQKHSEISASLVPPSLDPSLTLKDRMWYLQSCLRKESDKECSVVIYDFIQSYKILLLSCGISLEQSYEDPKVACWLLDPDSQEPTLHSIVTSFLPHELPLLEGMETSQGIQSLGLNAGSEHSGRYRASVESILIFNSMNQLNSLLQKENLQDVFRKVEMPSQYCLALLELNGIGFSTAECESQKHIMQAKLDAIETQAYQLAGHSFSFTSSDDIAEVLFLELKLPPNREMKNQGSKKTLGSTRRGIDNGRKLRLGRQFSTSKDVLNKLKALHPLPGLILEWRRITNAITKVVFPLQREKCLNPFLGMERIYPVSQSHTATGRITFTEPNIQNVPRDFEIKMPTLVGESPPSQAVGKGLLPMGRGKYKKGFSVNPRCQAQMEERAADRGMPFSISMRHAFVPFPGGSILAADYSQLELRILAHLSHDRRLIQVLNTGADVFRSIAAEWKMIEPESVGDDLRQQAKQICYGIIYGMGAKSLGEQMGIKENDAACYIDSFKSRYTGINQFMTETVKNCKRDGFVQTILGRRRYLPGIKDNNPYRKAHAERQAINTIVQGSAADIVKIATVNIQKQLETFHSTFKSHGHREGMLQSDQTGLSRKRKLQGMFCPIRGGFFILQLHDELLYEVAEEDVVQVAQIVKNEMESAVKLSVKLKVKVKIGASWGELKDFDV
+>DECOY_sp|O75417|DPOLQ_HUMAN DNA polymerase theta OS=Homo sapiens OX=9606 GN=POLQ PE=1 SV=2
+VDFDKLEGWSAGIKVKVKLKVSLKVASEMENKVIQAVQVVDEEAVEYLLEDHLQLIFFGGRIPCFMGQLKRKRSLGTQDSQLMGERHGHSKFTSHFTELQKQINVTAIKVIDAASGQVITNIAQREAHAKRYPNNDKIGPLYRRRGLITQVFGDRKCNKVTETMFQNIGTYRSKFSDIYCAADNEKIGMQEGLSKAGMGYIIGYCIQKAQQRLDDGVSEPEIMKWEAAISRFVDAGTNLVQILRRDHSLHALIRLELQSYDAALISGGPFPVFAHRMSISFPMGRDAAREEMQAQCRPNVSFGKKYKGRGMPLLGKGVAQSPPSEGVLTPMKIEFDRPVNQINPETFTIRGTATHSQSVPYIREMGLFPNLCKERQLPFVVKTIANTIRRWELILGPLPHLAKLKNLVDKSTSFQRGLRLKRGNDIGRRTSGLTKKSGQNKMERNPPLKLELFLVEAIDDSSTFSFSHGALQYAQTEIADLKAQMIHKQSECEATSFGIGNLELLALCYQSPMEVKRFVDQLNEKQLLSNLQNMSNFILISEVSARYRGSHESGANLGLSQIGQSTEMGELLPLEHPLFSTVISHLTPEQSDPDLLWCAVKPDEYSQELSIGCSLLLIKYSQIFDYIVVSCEKDSEKRLCSQLYWMRDKLTLSPDLSPPVLSASIESHKQEKQLSFYYADRGGWCVALGVVLTDDCGKIPFGDDRIPIEQPSSAQKFRSGITATKSSTLSRIKECALSISFRKKCRWEKIFTQFLNQDSAVDIISLSESSSSAPTLQLGDQSLQLSFSTDSIPSNDKFGNRSGPSLDHNKIDSPSGFLYSEGPQLVNNTKWPNVPTELIGPFTLKSASTPIPTPPILGNDDVINSEKRPLLSSTEDHNANNELMEIKSKVENNSSFSIGQVQKTELNSIVEQEENLETNKRNLSSFNITMSKLKENELPSSVKDLIRQLGPSLDFSAGSWIFSHNQRTGTLKSKEAREDQDGGDQDGQHHEDLVPDSLELARPSVVTHNKEQVPFIDVNDLAEVMQVSDMESFIISEDNSCTLQQHTDQNENVNSKKILDEQLCLSDSFHNSTVESPLPEKMQMDPLQEKVLYDDSFSDFLLSDSMNLSTEPVPLCEGEVGTPTRKQPILLIVPKVTEQTQYGQLFSNLQSDTVSVENKKLFLGNEELLIPSHFIPSEPTLIDVGHSDSSQKMTGVTKLDLHDIKTAGLPHQEAPFPIHCEKQFSNMSNQQVLSKQMIGAALNTDKAGLKANETAMQQIIKESQTDLYFSDEFDCLVLGLDSVHNNKTKNTTYTGTKEQLRSINLFNEHQGESKSFAGASPLVESPIVTRSIDDGLAQFHSPKNEETNLKIRECNITVNSDRNIYSSVAECPMQREIINKQKTITSTQEHSQKRLYQNIPHIDHHKMYVNQNKSGNQILVENIKEAEVAVGRGKESVVSTAQCTVNKSQSGTVIHEVFNDNTLTINWSCNQKIQLPFSTKNDKEKGSLSVNKAFPGSNRFETKKEDLTFPDECLSPNSLTQGQLHIRCAGSDKLPSSDRSRKLHKRRKWSRFSRSMKESNFNLPAKKTKQSFTQVVKKDSTKGENQSAGPKEKNIDLSARKRARFISCTQHEQNGNQFNCNFSSTHERSKNLDQVINPSHKIYSDSFITNSKNKSTLKKYSSKTQSIFTHEKVESESHTLSCTSSHLLACPNWQVGMEVLDQQLIMRAEEVILAAAERETLGKRGTVWITRMNRREEVAEEEEDVAKRASKFPVANKLIVEVEVINARALDAVTHFGSAYLVRARQANLLSVRVLDCLERQIGFTLRKQFQSLLLEMNHWGLRNSFVTIMGAYVAASQQLSQIQGRNCGYKQNIERLPVESILDLLVLSTFFRKHIAMQRHQRETRAVVKGKVCRALFGEEVGVLEAVRKMSTPLKEWLCFFRYWDITTWDEFMPTVLYLIHLDNELVFGKMARQLDAFIDLTDAPSLSSSLTASGLHTPHYVKGETGDSAETSQIFENELLWMVCAEIAGLQVSEQNRQIGQKGEKMSAALFTCAAYTHMDQSTSAVGGVIIELIARIMSGTVEEGERRQLCSRVPKLSGQLLAIGKSKESNKCILISEGVTDVGKRGARGVMQKYTLIDLPRGGFIPTRIIVRRAPLNVGSSLTSTAALVRILGQRFAGEIIDREEFTLGAHHFAVGWPVTKQLVSDLGSPLRRLQDMVELLEKQELIVPPCESPKVLGEAQHHLNYFERAIIDALKECWKKSPCFLLVSHNDCITEYCLSVVHDEDGKVQLMPEFERVLKMSSDYISNGVKVSELLPVPRFDTHYLEANLWSAVLELNPLTASMGVIQVANSLSSALDAQCSASKRTIYCIKTLLLELLYGRHSDGLMHLEDVVVMGLLDMKNEEILRNILGNAREITCVAIDLSSFHRSPSTSGMYGDVKIGVEQFLSQLYYKKEKAVSVFPLIFLAKKRMELVRKLILLEAVLTKGASTPASYVLNKGELVQGLLLCEAQWEFMKKVGFSHYKELVAKPLGWNALLLKDREYDPVTPKCEGAAAAKLCRGLGPPPSLVSGSLFQPSASSDGGSGSFSDSGSESRRRKGSRRLLNM
+>sp|Q8TB45|DPTOR_HUMAN DEP domain-containing mTOR-interacting protein OS=Homo sapiens OX=9606 GN=DEPTOR PE=1 SV=2
+MEEGGSTGSAGSDSSTSGSGGAQQRELERMAEVLVTGEQLRLRLHEEKVIKDRRHHLKTYPNCFVAKELIDWLIEHKEASDRETAIKLMQKLADRGIIHHVCDEHKEFKDVKLFYRFRKDDGTFPLDNEVKAFMRGQRLYEKLMSPENTLLQPREEEGVKYERTFMASEFLDWLVQEGEATTRKEAEQLCHRLMEHGIIQHVSNKHPFVDSNLLYQFRMNFRRRRRLMELLNEKSPSSQETHDSPFCLRKQSHDNRKSTSFMSVSPSKEIKIVSAVRRSSMSSCGSSGYFSSSPTLSSSPPVLCNPKSVLKRPVTSEELLTPGAPYARKTFTIVGDAVGWGFVVRGSKPCHIQAVDPSGPAAAAGMKVCQFVVSVNGLNVLHVDYRTVSNLILTGPRTIVMEVMEELEC
+>DECOY_sp|Q8TB45|DPTOR_HUMAN DEP domain-containing mTOR-interacting protein OS=Homo sapiens OX=9606 GN=DEPTOR PE=1 SV=2
+CELEEMVEMVITRPGTLILNSVTRYDVHLVNLGNVSVVFQCVKMGAAAAPGSPDVAQIHCPKSGRVVFGWGVADGVITFTKRAYPAGPTLLEESTVPRKLVSKPNCLVPPSSSLTPSSSFYGSSGCSSMSSRRVASVIKIEKSPSVSMFSTSKRNDHSQKRLCFPSDHTEQSSPSKENLLEMLRRRRRFNMRFQYLLNSDVFPHKNSVHQIIGHEMLRHCLQEAEKRTTAEGEQVLWDLFESAMFTREYKVGEEERPQLLTNEPSMLKEYLRQGRMFAKVENDLPFTGDDKRFRYFLKVDKFEKHEDCVHHIIGRDALKQMLKIATERDSAEKHEILWDILEKAVFCNPYTKLHHRRDKIVKEEHLRLRLQEGTVLVEAMRELERQQAGGSGSTSSDSGASGTSGGEEM
+>sp|Q12882|DPYD_HUMAN Dihydropyrimidine dehydrogenase [NADP(+)] OS=Homo sapiens OX=9606 GN=DPYD PE=1 SV=2
+MAPVLSKDSADIESILALNPRTQTHATLCSTSAKKLDKKHWKRNPDKNCFNCEKLENNFDDIKHTTLGERGALREAMRCLKCADAPCQKSCPTNLDIKSFITSIANKNYYGAAKMIFSDNPLGLTCGMVCPTSDLCVGGCNLYATEEGPINIGGLQQFATEVFKAMSIPQIRNPSLPPPEKMSEAYSAKIALFGAGPASISCASFLARLGYSDITIFEKQEYVGGLSTSEIPQFRLPYDVVNFEIELMKDLGVKIICGKSLSVNEMTLSTLKEKGYKAAFIGIGLPEPNKDAIFQGLTQDQGFYTSKDFLPLVAKGSKAGMCACHSPLPSIRGVVIVLGAGDTAFDCATSALRCGARRVFIVFRKGFVNIRAVPEEMELAKEEKCEFLPFLSPRKVIVKGGRIVAMQFVRTEQDETGKWNEDEDQMVHLKADVVISAFGSVLSDPKVKEALSPIKFNRWGLPEVDPETMQTSEAWVFAGGDVVGLANTTVESVNDGKQASWYIHKYVQSQYGASVSAKPELPLFYTPIDLVDISVEMAGLKFINPFGLASATPATSTSMIRRAFEAGWGFALTKTFSLDKDIVTNVSPRIIRGTTSGPMYGPGQSSFLNIELISEKTAAYWCQSVTELKADFPDNIVIASIMCSYNKNDWTELAKKSEDSGADALELNLSCPHGMGERGMGLACGQDPELVRNICRWVRQAVQIPFFAKLTPNVTDIVSIARAAKEGGANGVTATNTVSGLMGLKSDGTPWPAVGIAKRTTYGGVSGTAIRPIALRAVTSIARALPGFPILATGGIDSAESGLQFLHSGASVLQVCSAIQNQDFTVIEDYCTGLKALLYLKSIEELQDWDGQSPATVSHQKGKPVPRIAELMDKKLPSFGPYLEQRKKIIAENKIRLKEQNVAFSPLKRNCFIPKRPIPTIKDVIGKALQYLGTFGELSNVEQVVAMIDEEMCINCGKCYMTCNDSGYQAIQFDPETHLPTITDTCTGCTLCLSVCPIVDCIKMVSRTTPYEPKRGVPLSVNPVC
+>DECOY_sp|Q12882|DPYD_HUMAN Dihydropyrimidine dehydrogenase [NADP(+)] OS=Homo sapiens OX=9606 GN=DPYD PE=1 SV=2
+CVPNVSLPVGRKPEYPTTRSVMKICDVIPCVSLCLTCGTCTDTITPLHTEPDFQIAQYGSDNCTMYCKGCNICMEEDIMAVVQEVNSLEGFTGLYQLAKGIVDKITPIPRKPIFCNRKLPSFAVNQEKLRIKNEAIIKKRQELYPGFSPLKKDMLEAIRPVPKGKQHSVTAPSQGDWDQLEEISKLYLLAKLGTCYDEIVTFDQNQIASCVQLVSAGSHLFQLGSEASDIGGTALIPFGPLARAISTVARLAIPRIATGSVGGYTTRKAIGVAPWPTGDSKLGMLGSVTNTATVGNAGGEKAARAISVIDTVNPTLKAFFPIQVAQRVWRCINRVLEPDQGCALGMGREGMGHPCSLNLELADAGSDESKKALETWDNKNYSCMISAIVINDPFDAKLETVSQCWYAATKESILEINLFSSQGPGYMPGSTTGRIIRPSVNTVIDKDLSFTKTLAFGWGAEFARRIMSTSTAPTASALGFPNIFKLGAMEVSIDVLDIPTYFLPLEPKASVSAGYQSQVYKHIYWSAQKGDNVSEVTTNALGVVDGGAFVWAESTQMTEPDVEPLGWRNFKIPSLAEKVKPDSLVSGFASIVVDAKLHVMQDEDENWKGTEDQETRVFQMAVIRGGKVIVKRPSLFPLFECKEEKALEMEEPVARINVFGKRFVIFVRRAGCRLASTACDFATDGAGLVIVVGRISPLPSHCACMGAKSGKAVLPLFDKSTYFGQDQTLGQFIADKNPEPLGIGIFAAKYGKEKLTSLTMENVSLSKGCIIKVGLDKMLEIEFNVVDYPLRFQPIESTSLGGVYEQKEFITIDSYGLRALFSACSISAPGAGFLAIKASYAESMKEPPPLSPNRIQPISMAKFVETAFQQLGGINIPGEETAYLNCGGVCLDSTPCVMGCTLGLPNDSFIMKAAGYYNKNAISTIFSKIDLNTPCSKQCPADACKLCRMAERLAGREGLTTHKIDDFNNELKECNFCNKDPNRKWHKKDLKKASTSCLTAHTQTRPNLALISEIDASDKSLVPAM
+>sp|Q14195|DPYL3_HUMAN Dihydropyrimidinase-related protein 3 OS=Homo sapiens OX=9606 GN=DPYSL3 PE=1 SV=1
+MSYQGKKNIPRITSDRLLIKGGRIVNDDQSFYADIYMEDGLIKQIGDNLIVPGGVKTIEANGKMVIPGGIDVHTHFQMPYKGMTTVDDFFQGTKAALAGGTTMIIDHVVPEPESSLTEAYEKWREWADGKSCCDYALHVDITHWNDSVKQEVQNLIKDKGVNSFMVYMAYKDLYQVSNTELYEIFTCLGELGAIAQVHAENGDIIAQEQTRMLEMGITGPEGHVLSRPEELEAEAVFRAITIASQTNCPLYVTKVMSKSAADLISQARKKGNVVFGEPITASLGIDGTHYWSKNWAKAAAFVTSPPLSPDPTTPDYINSLLASGDLQLSGSAHCTFSTAQKAIGKDNFTAIPEGTNGVEERMSVIWDKAVATGKMDENQFVAVTSTNAAKIFNLYPRKGRISVGSDSDLVIWDPDAVKIVSAKNHQSAAEYNIFEGMELRGAPLVVICQGKIMLEDGNLHVTQGAGRFIPCSPFSDYVYKRIKARRKMADLHAVPRGMYDGPVFDLTTTPKGGTPAGSARGSPTRPNPPVRNLHQSGFSLSGTQVDEGVRSASKRIVAPPGGRSNITSLS
+>DECOY_sp|Q14195|DPYL3_HUMAN Dihydropyrimidinase-related protein 3 OS=Homo sapiens OX=9606 GN=DPYSL3 PE=1 SV=1
+SLSTINSRGGPPAVIRKSASRVGEDVQTGSLSFGSQHLNRVPPNPRTPSGRASGAPTGGKPTTTLDFVPGDYMGRPVAHLDAMKRRAKIRKYVYDSFPSCPIFRGAGQTVHLNGDELMIKGQCIVVLPAGRLEMGEFINYEAASQHNKASVIKVADPDWIVLDSDSGVSIRGKRPYLNFIKAANTSTVAVFQNEDMKGTAVAKDWIVSMREEVGNTGEPIATFNDKGIAKQATSFTCHASGSLQLDGSALLSNIYDPTTPDPSLPPSTVFAAAKAWNKSWYHTGDIGLSATIPEGFVVNGKKRAQSILDAASKSMVKTVYLPCNTQSAITIARFVAEAELEEPRSLVHGEPGTIGMELMRTQEQAIIDGNEAHVQAIAGLEGLCTFIEYLETNSVQYLDKYAMYVMFSNVGKDKILNQVEQKVSDNWHTIDVHLAYDCCSKGDAWERWKEYAETLSSEPEPVVHDIIMTTGGALAAKTGQFFDDVTTMGKYPMQFHTHVDIGGPIVMKGNAEITKVGGPVILNDGIQKILGDEMYIDAYFSQDDNVIRGGKILLRDSTIRPINKKGQYSM
+>sp|Q14117|DPYS_HUMAN Dihydropyrimidinase OS=Homo sapiens OX=9606 GN=DPYS PE=1 SV=1
+MAAPSRLLIRGGRVVNDDFSEVADVLVEDGVVRALGHDLLPPGGAPAGLRVLDAAGKLVLPGGIDTHTHMQFPFMGSRSIDDFHQGTKAALSGGTTMIIDFAIPQKGGSLIEAFETWRSWADPKVCCDYSLHVAVTWWSDQVKEEMKILVQDKGVNSFKMFMAYKDLYMVTDLELYEAFSRCKEIGAIAQVHAENGDLIAEGAKKMLALGITGPEGHELCRPEAVEAEATLRAITIASAVNCPLYIVHVMSKSAAKVIADARRDGKVVYGEPIAASLGTDGTHYWNKEWHHAAHHVMGPPLRPDPSTPDFLMNLLANDDLTTTGTDNCTFNTCQKALGKDDFTKIPNGVNGVEDRMSVIWEKGVHSGKMDENRFVAVTSTNAAKIFNLYPRKGRIAVGSDADIVIWDPKGTRTISAKTHHQAVNFNIFEGMVCHGVPLVTISRGKVVYEAGVFSVTAGDGKFIPRKPFAEYIYKRIKQRDRTCTPTPVERAPYKGEVATLKSRVTKEDATAGTRKQAHP
+>DECOY_sp|Q14117|DPYS_HUMAN Dihydropyrimidinase OS=Homo sapiens OX=9606 GN=DPYS PE=1 SV=1
+PHAQKRTGATADEKTVRSKLTAVEGKYPAREVPTPTCTRDRQKIRKYIYEAFPKRPIFKGDGATVSFVGAEYVVKGRSITVLPVGHCVMGEFINFNVAQHHTKASITRTGKPDWIVIDADSGVAIRGKRPYLNFIKAANTSTVAVFRNEDMKGSHVGKEWIVSMRDEVGNVGNPIKTFDDKGLAKQCTNFTCNDTGTTTLDDNALLNMLFDPTSPDPRLPPGMVHHAAHHWEKNWYHTGDTGLSAAIPEGYVVKGDRRADAIVKAASKSMVHVIYLPCNVASAITIARLTAEAEVAEPRCLEHGEPGTIGLALMKKAGEAILDGNEAHVQAIAGIEKCRSFAEYLELDTVMYLDKYAMFMKFSNVGKDQVLIKMEEKVQDSWWTVAVHLSYDCCVKPDAWSRWTEFAEILSGGKQPIAFDIIMTTGGSLAAKTGQHFDDISRSGMFPFQMHTHTDIGGPLVLKGAADLVRLGAPAGGPPLLDHGLARVVGDEVLVDAVESFDDNVVRGGRILLRSPAAM
+>sp|P01909|DQA1_HUMAN HLA class II histocompatibility antigen, DQ alpha 1 chain OS=Homo sapiens OX=9606 GN=HLA-DQA1 PE=1 SV=1
+MILNKALMLGALALTTVMSPCGGEDIVADHVASYGVNLYQSYGPSGQYTHEFDGDEQFYVDLGRKETVWCLPVLRQFRFDPQFALTNIAVLKHNLNSLIKRSNSTAATNEVPEVTVFSKSPVTLGQPNILICLVDNIFPPVVNITWLSNGHSVTEGVSETSFLSKSDHSFFKISYLTLLPSAEESYDCKVEHWGLDKPLLKHWEPEIPAPMSELTETVVCALGLSVGLVGIVVGTVFIIRGLRSVGASRHQGPL
+>DECOY_sp|P01909|DQA1_HUMAN HLA class II histocompatibility antigen, DQ alpha 1 chain OS=Homo sapiens OX=9606 GN=HLA-DQA1 PE=1 SV=1
+LPGQHRSAGVSRLGRIIFVTGVVIGVLGVSLGLACVVTETLESMPAPIEPEWHKLLPKDLGWHEVKCDYSEEASPLLTLYSIKFFSHDSKSLFSTESVGETVSHGNSLWTINVVPPFINDVLCILINPQGLTVPSKSFVTVEPVENTAATSNSRKILSNLNHKLVAINTLAFQPDFRFQRLVPLCWVTEKRGLDVYFQEDGDFEHTYQGSPGYSQYLNVGYSAVHDAVIDEGGCPSMVTTLALAGLMLAKNLIM
+>sp|P13762|DRB4_HUMAN HLA class II histocompatibility antigen, DR beta 4 chain OS=Homo sapiens OX=9606 GN=HLA-DRB4 PE=1 SV=2
+MVCLKLPGGSCMAALTVTLTVLSSPLALAGDTQPRFLEQAKCECHFLNGTERVWNLIRYIYNQEEYARYNSDLGEYQAVTELGRPDAEYWNSQKDLLERRRAEVDTYCRYNYGVVESFTVQRRVQPKVTVYPSKTQPLQHHNLLVCSVNGFYPGSIEVRWFRNGQEEKAGVVSTGLIQNGDWTFQTLVMLETVPRSGEVYTCQVEHPSMMSPLTVQWSARSESAQSKMLSGVGGFVLGLLFLGTGLFIYFRNQKGHSGLQPTGLLS
+>DECOY_sp|P13762|DRB4_HUMAN HLA class II histocompatibility antigen, DR beta 4 chain OS=Homo sapiens OX=9606 GN=HLA-DRB4 PE=1 SV=2
+SLLGTPQLGSHGKQNRFYIFLGTGLFLLGLVFGGVGSLMKSQASESRASWQVTLPSMMSPHEVQCTYVEGSRPVTELMVLTQFTWDGNQILGTSVVGAKEEQGNRFWRVEISGPYFGNVSCVLLNHHQLPQTKSPYVTVKPQVRRQVTFSEVVGYNYRCYTDVEARRRELLDKQSNWYEADPRGLETVAQYEGLDSNYRAYEEQNYIYRILNWVRETGNLFHCECKAQELFRPQTDGALALPSSLVTLTVTLAAMCSGGPLKLCVM
+>sp|Q5JU00|DRC5_HUMAN Dynein regulatory complex subunit 5 OS=Homo sapiens OX=9606 GN=TCTE1 PE=2 SV=1
+MQDTVTTSALLDPSHSSVSTQDNSSTGGHTSSTSPQLSKPSITPVPAKSRNPHPRANIRRMRRIIAEDPEWSLAIVPLLTELCIQHIIRNFQKNPILKQMLPEHQQKVLNHLSPDLPLAVTANLIDSENYWLRCCMHRWPVCHVAHHGGSWKRMFFERHLENLLKHFIPGTTDPAVILDLLPLCRNYVRRVHVDQFLPPVQLPAQLRPGDQSDSGSEGEMEEPTVDHYQLGDLVAGLSHLEELDLVYDVKDCGMNFEWNLFLFTYRDCLSLAAAIKACHTLKIFKLTRSKVDDDKARIIIRSLLDHPVLEELDLSQNLIGDRGARGAAKLLSHSRLRVLNLANNQVRAPGAQSLAHALAHNTNLISLNLRLNCIEDEGGQALAHALQTNKCLTTLHLGGNELSEPTATLLSQVLAINTTLTSINLSCNHIGLDGGKQLLEGMSDNKTLLEFDLRLSDVAQESEYLIGQALYANREAARQRALNPSHFMSTITANGPENSVG
+>DECOY_sp|Q5JU00|DRC5_HUMAN Dynein regulatory complex subunit 5 OS=Homo sapiens OX=9606 GN=TCTE1 PE=2 SV=1
+GVSNEPGNATITSMFHSPNLARQRAAERNAYLAQGILYESEQAVDSLRLDFELLTKNDSMGELLQKGGDLGIHNCSLNISTLTTNIALVQSLLTATPESLENGGLHLTTLCKNTQLAHALAQGGEDEICNLRLNLSILNTNHALAHALSQAGPARVQNNALNLVRLRSHSLLKAAGRAGRDGILNQSLDLEELVPHDLLSRIIIRAKDDDVKSRTLKFIKLTHCAKIAAALSLCDRYTFLFLNWEFNMGCDKVDYVLDLEELHSLGAVLDGLQYHDVTPEEMEGESGSDSQDGPRLQAPLQVPPLFQDVHVRRVYNRCLPLLDLIVAPDTTGPIFHKLLNELHREFFMRKWSGGHHAVHCVPWRHMCCRLWYNESDILNATVALPLDPSLHNLVKQQHEPLMQKLIPNKQFNRIIHQICLETLLPVIALSWEPDEAIIRRMRRINARPHPNRSKAPVPTISPKSLQPSTSSTHGGTSSNDQTSVSSHSPDLLASTTVTDQM
+>sp|P14416|DRD2_HUMAN D(2) dopamine receptor OS=Homo sapiens OX=9606 GN=DRD2 PE=1 SV=2
+MDPLNLSWYDDDLERQNWSRPFNGSDGKADRPHYNYYATLLTLLIAVIVFGNVLVCMAVSREKALQTTTNYLIVSLAVADLLVATLVMPWVVYLEVVGEWKFSRIHCDIFVTLDVMMCTASILNLCAISIDRYTAVAMPMLYNTRYSSKRRVTVMISIVWVLSFTISCPLLFGLNNADQNECIIANPAFVVYSSIVSFYVPFIVTLLVYIKIYIVLRRRRKRVNTKRSSRAFRAHLRAPLKGNCTHPEDMKLCTVIMKSNGSFPVNRRRVEAARRAQELEMEMLSSTSPPERTRYSPIPPSHHQLTLPDPSHHGLHSTPDSPAKPEKNGHAKDHPKIAKIFEIQTMPNGKTRTSLKTMSRRKLSQQKEKKATQMLAIVLGVFIICWLPFFITHILNIHCDCNIPPVLYSAFTWLGYVNSAVNPIIYTTFNIEFRKAFLKILHC
+>DECOY_sp|P14416|DRD2_HUMAN D(2) dopamine receptor OS=Homo sapiens OX=9606 GN=DRD2 PE=1 SV=2
+CHLIKLFAKRFEINFTTYIIPNVASNVYGLWTFASYLVPPINCDCHINLIHTIFFPLWCIIFVGLVIALMQTAKKEKQQSLKRRSMTKLSTRTKGNPMTQIEFIKAIKPHDKAHGNKEPKAPSDPTSHLGHHSPDPLTLQHHSPPIPSYRTREPPSTSSLMEMELEQARRAAEVRRRNVPFSGNSKMIVTCLKMDEPHTCNGKLPARLHARFARSSRKTNVRKRRRRLVIYIKIYVLLTVIFPVYFSVISSYVVFAPNAIICENQDANNLGFLLPCSITFSLVWVISIMVTVRRKSSYRTNYLMPMAVATYRDISIACLNLISATCMMVDLTVFIDCHIRSFKWEGVVELYVVWPMVLTAVLLDAVALSVILYNTTTQLAKERSVAMCVLVNGFVIVAILLTLLTAYYNYHPRDAKGDSGNFPRSWNQRELDDDYWSLNLPDM
+>sp|P21918|DRD5_HUMAN D(1B) dopamine receptor OS=Homo sapiens OX=9606 GN=DRD5 PE=1 SV=2
+MLPPGSNGTAYPGQFALYQQLAQGNAVGGSAGAPPLGPSQVVTACLLTLLIIWTLLGNVLVCAAIVRSRHLRANMTNVFIVSLAVSDLFVALLVMPWKAVAEVAGYWPFGAFCDVWVAFDIMCSTASILNLCVISVDRYWAISRPFRYKRKMTQRMALVMVGLAWTLSILISFIPVQLNWHRDQAASWGGLDLPNNLANWTPWEEDFWEPDVNAENCDSSLNRTYAISSSLISFYIPVAIMIVTYTRIYRIAQVQIRRISSLERAAEHAQSCRSSAACAPDTSLRASIKKETKVLKTLSVIMGVFVCCWLPFFILNCMVPFCSGHPEGPPAGFPCVSETTFDVFVWFGWANSSLNPVIYAFNADFQKVFAQLLGCSHFCSRTPVETVNISNELISYNQDIVFHKEIAAAYIHMMPNAVTPGNREVDNDEEEGPFDRMFQIYQTSPDGDPVAESVWELDCEGEISLDKITPFTPNGFH
+>DECOY_sp|P21918|DRD5_HUMAN D(1B) dopamine receptor OS=Homo sapiens OX=9606 GN=DRD5 PE=1 SV=2
+HFGNPTFPTIKDLSIEGECDLEWVSEAVPDGDPSTQYIQFMRDFPGEEEDNDVERNGPTVANPMMHIYAAAIEKHFVIDQNYSILENSINVTEVPTRSCFHSCGLLQAFVKQFDANFAYIVPNLSSNAWGFWVFVDFTTESVCPFGAPPGEPHGSCFPVMCNLIFFPLWCCVFVGMIVSLTKLVKTEKKISARLSTDPACAASSRCSQAHEAARELSSIRRIQVQAIRYIRTYTVIMIAVPIYFSILSSSIAYTRNLSSDCNEANVDPEWFDEEWPTWNALNNPLDLGGWSAAQDRHWNLQVPIFSILISLTWALGVMVLAMRQTMKRKYRFPRSIAWYRDVSIVCLNLISATSCMIDFAVWVDCFAGFPWYGAVEAVAKWPMVLLAVFLDSVALSVIFVNTMNARLHRSRVIAACVLVNGLLTWIILLTLLCATVVQSPGLPPAGASGGVANGQALQQYLAFQGPYATGNSGPPLM
+>sp|P55039|DRG2_HUMAN Developmentally-regulated GTP-binding protein 2 OS=Homo sapiens OX=9606 GN=DRG2 PE=1 SV=1
+MGILEKISEIEKEIARTQKNKATEYHLGLLKAKLAKYRAQLLEPSKSASSKGEGFDVMKSGDARVALIGFPSVGKSTFLSLMTSTASEAASYEFTTLTCIPGVIEYKGANIQLLDLPGIIEGAAQGKGRGRQVIAVARTADVIIMMLDATKGEVQRSLLEKELESVGIRLNKHKPNIYFKPKKGGGISFNSTVTLTQCSEKLVQLILHEYKIFNAEVLFREDCSPDEFIDVIVGNRVYMPCLYVYNKIDQISMEEVDRLARKPNSVVISCGMKLNLDYLLEMLWEYLALTCIYTKKRGQRPDFTDAIILRKGASVEHVCHRIHRSLASQFKYALVWGTSTKYSPQRVGLTHTMEHEDVIQIVKK
+>DECOY_sp|P55039|DRG2_HUMAN Developmentally-regulated GTP-binding protein 2 OS=Homo sapiens OX=9606 GN=DRG2 PE=1 SV=1
+KKVIQIVDEHEMTHTLGVRQPSYKTSTGWVLAYKFQSALSRHIRHCVHEVSAGKRLIIADTFDPRQGRKKTYICTLALYEWLMELLYDLNLKMGCSIVVSNPKRALRDVEEMSIQDIKNYVYLCPMYVRNGVIVDIFEDPSCDERFLVEANFIKYEHLILQVLKESCQTLTVTSNFSIGGGKKPKFYINPKHKNLRIGVSELEKELLSRQVEGKTADLMMIIVDATRAVAIVQRGRGKGQAAGEIIGPLDLLQINAGKYEIVGPICTLTTFEYSAAESATSTMLSLFTSKGVSPFGILAVRADGSKMVDFGEGKSSASKSPELLQARYKALKAKLLGLHYETAKNKQTRAIEKEIESIKELIGM
+>sp|A6NNA5|DRGX_HUMAN Dorsal root ganglia homeobox protein OS=Homo sapiens OX=9606 GN=DRGX PE=3 SV=1
+MFYFHCPPQLEGTATFGNHSSGDFDDGFLRRKQRRNRTTFTLQQLEALEAVFAQTHYPDVFTREELAMKINLTEARVQVWFQNRRAKWRKTERGASDQEPGAKEPMAEVTPPPVRNINSPPPGDQARSKKEALEAQQSLGRTVGPAGPFFPSCLPGTLLNTATYAQALSHVASLKGGPLCSCCVPDPMGLSFLPTYGCQSNRTASVATLRMKAREHSEAVLQSANLLPSTSSSPGPVAKPAPPDGSQEKTSPTKEQSEAEKSV
+>DECOY_sp|A6NNA5|DRGX_HUMAN Dorsal root ganglia homeobox protein OS=Homo sapiens OX=9606 GN=DRGX PE=3 SV=1
+VSKEAESQEKTPSTKEQSGDPPAPKAVPGPSSSTSPLLNASQLVAESHERAKMRLTAVSATRNSQCGYTPLFSLGMPDPVCCSCLPGGKLSAVHSLAQAYTATNLLTGPLCSPFFPGAPGVTRGLSQQAELAEKKSRAQDGPPPSNINRVPPPTVEAMPEKAGPEQDSAGRETKRWKARRNQFWVQVRAETLNIKMALEERTFVDPYHTQAFVAELAELQQLTFTTRNRRQKRRLFGDDFDGSSHNGFTATGELQPPCHFYFM
+>sp|C9JQL5|DSA2D_HUMAN Putative dispanin subfamily A member 2d OS=Homo sapiens OX=9606 PE=5 SV=1
+MNHTVQTFFSPVNSGQPPNYEMLKEEHKVAVLGVPHNPAPPTSTVIHIRSKTSVPHHVVWSLFNTLFMNPCCLGFIAFAYSVKSRDRKMVGNVTGAQAYASTTKCLNIWALILGILMTILLIIIPVLIFQAHR
+>DECOY_sp|C9JQL5|DSA2D_HUMAN Putative dispanin subfamily A member 2d OS=Homo sapiens OX=9606 PE=5 SV=1
+RHAQFILVPIIILLITMLIGLILAWINLCKTTSAYAQAGTVNGVMKRDRSKVSYAFAIFGLCCPNMFLTNFLSWVVHHPVSTKSRIHIVTSTPPAPNHPVGLVAVKHEEKLMEYNPPQGSNVPSFFTQVTHNM
+>sp|Q14574|DSC3_HUMAN Desmocollin-3 OS=Homo sapiens OX=9606 GN=DSC3 PE=1 SV=3
+MAAAGPRRSVRGAVCLHLLLTLVIFSRAGEACKKVILNVPSKLEADKIIGRVNLEECFRSADLIRSSDPDFRVLNDGSVYTARAVALSDKKRSFTIWLSDKRKQTQKEVTVLLEHQKKVSKTRHTRETVLRRAKRRWAPIPCSMQENSLGPFPLFLQQVESDAAQNYTVFYSISGRGVDKEPLNLFYIERDTGNLFCTRPVDREEYDVFDLIAYASTADGYSADLPLPLPIRVEDENDNHPVFTEAIYNFEVLESSRPGTTVGVVCATDRDEPDTMHTRLKYSILQQTPRSPGLFSVHPSTGVITTVSHYLDREVVDKYSLIMKVQDMDGQFFGLIGTSTCIITVTDSNDNAPTFRQNAYEAFVEENAFNVEILRIPIEDKDLINTANWRVNFTILKGNENGHFKISTDKETNEGVLSVVKPLNYEENRQVNLEIGVNNEAPFARDIPRVTALNRALVTVHVRDLDEGPECTPAAQYVRIKENLAVGSKINGYKAYDPENRNGNGLRYKKLHDPKGWITIDEISGSIITSKILDREVETPKNELYNITVLAIDKDDRSCTGTLAVNIEDVNDNPPEILQEYVVICKPKMGYTDILAVDPDEPVHGAPFYFSLPNTSPEISRLWSLTKVNDTAARLSYQKNAGFQEYTIPITVKDRAGQAATKLLRVNLCECTHPTQCRATSRSTGVILGKWAILAILLGIALLFSVLLTLVCGVFGATKGKRFPEDLAQQNLIISNTEAPGDDRVCSANGFMTQTTNNSSQGFCGTMGSGMKNGGQETIEMMKGGNQTLESCRGAGHHHTLDSCRGGHTEVDNCRYTYSEWHSFTQPRLGEKLHRCNQNEDRMPSQDYVLTYNYEGRGSPAGSVGCCSEKQEEDGLDFLNNLEPKFITLAEACTKR
+>DECOY_sp|Q14574|DSC3_HUMAN Desmocollin-3 OS=Homo sapiens OX=9606 GN=DSC3 PE=1 SV=3
+RKTCAEALTIFKPELNNLFDLGDEEQKESCCGVSGAPSGRGEYNYTLVYDQSPMRDENQNCRHLKEGLRPQTFSHWESYTYRCNDVETHGGRCSDLTHHHGAGRCSELTQNGGKMMEITEQGGNKMGSGMTGCFGQSSNNTTQTMFGNASCVRDDGPAETNSIILNQQALDEPFRKGKTAGFVGCVLTLLVSFLLAIGLLIALIAWKGLIVGTSRSTARCQTPHTCECLNVRLLKTAAQGARDKVTIPITYEQFGANKQYSLRAATDNVKTLSWLRSIEPSTNPLSFYFPAGHVPEDPDVALIDTYGMKPKCIVVYEQLIEPPNDNVDEINVALTGTCSRDDKDIALVTINYLENKPTEVERDLIKSTIISGSIEDITIWGKPDHLKKYRLGNGNRNEPDYAKYGNIKSGVALNEKIRVYQAAPTCEPGEDLDRVHVTVLARNLATVRPIDRAFPAENNVGIELNVQRNEEYNLPKVVSLVGENTEKDTSIKFHGNENGKLITFNVRWNATNILDKDEIPIRLIEVNFANEEVFAEYANQRFTPANDNSDTVTIICTSTGILGFFQGDMDQVKMILSYKDVVERDLYHSVTTIVGTSPHVSFLGPSRPTQQLISYKLRTHMTDPEDRDTACVVGVTTGPRSSELVEFNYIAETFVPHNDNEDEVRIPLPLPLDASYGDATSAYAILDFVDYEERDVPRTCFLNGTDREIYFLNLPEKDVGRGSISYFVTYNQAADSEVQQLFLPFPGLSNEQMSCPIPAWRRKARRLVTERTHRTKSVKKQHELLVTVEKQTQKRKDSLWITFSRKKDSLAVARATYVSGDNLVRFDPDSSRILDASRFCEELNVRGIIKDAELKSPVNLIVKKCAEGARSFIVLTLLLHLCVAGRVSRRPGAAAM
+>sp|Q8NBA8|DTWD2_HUMAN DTW domain-containing protein 2 OS=Homo sapiens OX=9606 GN=DTWD2 PE=1 SV=1
+MESQKEARTLQEPVARPSGASSSQTPNDKERREGGAVPAAAALGAEADDDSADGLWELPVEPAERRPECTRCSRPQKVCLCPFLPAHPLHISTHLYIIQHPAEENKVLRTVPLLAACLPQDKCKVKIGRRFSEERDPELSTVCRKSGTLILYPGAEAANLEEFILDSPVYPSTIIIIDGTWSQAKDIFYKNSLFRHPKQVQLKTSISSQYVIRMQPTNRCLSTLECAAVALSILEKNNYIQETLLRPLQALCSFQLQHGAQIRLSKEHLLKNGLYPKPMPKNKRKLRKMELLMNSVKI
+>DECOY_sp|Q8NBA8|DTWD2_HUMAN DTW domain-containing protein 2 OS=Homo sapiens OX=9606 GN=DTWD2 PE=1 SV=1
+IKVSNMLLEMKRLKRKNKPMPKPYLGNKLLHEKSLRIQAGHQLQFSCLAQLPRLLTEQIYNNKELISLAVAACELTSLCRNTPQMRIVYQSSISTKLQVQKPHRFLSNKYFIDKAQSWTGDIIIITSPYVPSDLIFEELNAAEAGPYLILTGSKRCVTSLEPDREESFRRGIKVKCKDQPLCAALLPVTRLVKNEEAPHQIIYLHTSIHLPHAPLFPCLCVKQPRSCRTCEPRREAPEVPLEWLGDASDDDAEAGLAAAAPVAGGERREKDNPTQSSSAGSPRAVPEQLTRAEKQSEM
+>sp|Q86UW9|DTX2_HUMAN Probable E3 ubiquitin-protein ligase DTX2 OS=Homo sapiens OX=9606 GN=DTX2 PE=1 SV=3
+MAMAPSPSLVQVYTSPAAVAVWEWQDGLGTWHPYSATVCSFIEQQFVQQKGQRFGLGSLAHSIPLGQADPSLAPYIIDLPSWTQFRQDTGTMRAVRRHLFPQHSAPGRGVVWEWLSDDGSWTAYEASVCDYLEQQVARGNQLVDLAPLGYNYTVNYTTHTQTNKTSSFCRSVRRQAGPPYPVTTIIAPPGHTGVACSCHQCLSGSRTGPVSGRYRHSMTNLPAYPVPQHPPHRTASVFGTHQAFAPYNKPSLSGARSAPRLNTTNAWGAAPPSLGSQPLYRSSLSHLGPQHLPPGSSTSGAVSASLPSGPSSSPGSVPATVPMQMPKPSRVQQALAGMTSVLMSAIGLPVCLSRAPQPTSPPASRLASKSHGSVKRLRKMSVKGATPKPEPEPEQVIKNYTEELKVPPDEDCIICMEKLSTASGYSDVTDSKAIGSLAVGHLTKCSHAFHLLCLLAMYCNGNKDGSLQCPSCKTIYGEKTGTQPQGKMEVLRFQMSLPGHEDCGTILIVYSIPHGIQGPEHPNPGKPFTARGFPRQCYLPDNAQGRKVLELLKVAWKRRLIFTVGTSSTTGETDTVVWNEIHHKTEMDRNITGHGYPDPNYLQNVLAELAAQGVTEDCLEQQ
+>DECOY_sp|Q86UW9|DTX2_HUMAN Probable E3 ubiquitin-protein ligase DTX2 OS=Homo sapiens OX=9606 GN=DTX2 PE=1 SV=3
+QQELCDETVGQAALEALVNQLYNPDPYGHGTINRDMETKHHIENWVVTDTEGTTSSTGVTFILRRKWAVKLLELVKRGQANDPLYCQRPFGRATFPKGPNPHEPGQIGHPISYVILITGCDEHGPLSMQFRLVEMKGQPQTGTKEGYITKCSPCQLSGDKNGNCYMALLCLLHFAHSCKTLHGVALSGIAKSDTVDSYGSATSLKEMCIICDEDPPVKLEETYNKIVQEPEPEPKPTAGKVSMKRLRKVSGHSKSALRSAPPSTPQPARSLCVPLGIASMLVSTMGALAQQVRSPKPMQMPVTAPVSGPSSSPGSPLSASVAGSTSSGPPLHQPGLHSLSSRYLPQSGLSPPAAGWANTTNLRPASRAGSLSPKNYPAFAQHTGFVSATRHPPHQPVPYAPLNTMSHRYRGSVPGTRSGSLCQHCSCAVGTHGPPAIITTVPYPPGAQRRVSRCFSSTKNTQTHTTYNVTYNYGLPALDVLQNGRAVQQELYDCVSAEYATWSGDDSLWEWVVGRGPASHQPFLHRRVARMTGTDQRFQTWSPLDIIYPALSPDAQGLPISHALSGLGFRQGKQQVFQQEIFSCVTASYPHWTGLGDQWEWVAVAAPSTYVQVLSPSPAMAM
+>sp|P0CJ87|DU4L4_HUMAN Double homeobox protein 4-like protein 4 OS=Homo sapiens OX=9606 GN=DUX4L4 PE=3 SV=1
+MALPTPSDSTLPAEARGRGRRRRLVWTPSQSEALRACFERNPYPGIATRERLAQAIGIPEPRVQIWFQNERSRQLRQHRRESRPWPGRRGPPEGRRKRTAVTGSQTALLLRAFEKDRFPGIAAREELARETGLPESRIQIWFQNRRARHPGQGGRAPAQAGGLCSAAPGGGHPAPSWVAFAHTGAWGTGLPAPHVPCAPGALPQGAFVSQAARAAPALQPSQAAPAEGVSQPAPARGDFAYAAPAPPDGALSHPQAPRWPPHPGKSREDRDPQRDGLPGPCAVAQPGPAQAGPQGQGVLAPPTSQGSPWWGWGRGPQVAGAAWEPQAGEAPPPQPAPPDASARQGQMQGIPAPSQALQEPAPWSALPCGLLLDELLASPEFLQQAQPLLETEAPGELEASEEAASLEAPLSEEEYRALLEEL
+>DECOY_sp|P0CJ87|DU4L4_HUMAN Double homeobox protein 4-like protein 4 OS=Homo sapiens OX=9606 GN=DUX4L4 PE=3 SV=1
+LEELLARYEEESLPAELSAAEESAELEGPAETELLPQAQQLFEPSALLEDLLLGCPLASWPAPEQLAQSPAPIGQMQGQRASADPPAPQPPPAEGAQPEWAAGAVQPGRGWGWWPSGQSTPPALVGQGQPGAQAPGPQAVACPGPLGDRQPDRDERSKGPHPPWRPAQPHSLAGDPPAPAAYAFDGRAPAPQSVGEAPAAQSPQLAPAARAAQSVFAGQPLAGPACPVHPAPLGTGWAGTHAFAVWSPAPHGGGPAASCLGGAQAPARGGQGPHRARRNQFWIQIRSEPLGTERALEERAAIGPFRDKEFARLLLATQSGTVATRKRRGEPPGRRGPWPRSERRHQRLQRSRENQFWIQVRPEPIGIAQALRERTAIGPYPNREFCARLAESQSPTWVLRRRRGRGRAEAPLTSDSPTPLAM
+>sp|Q5VZP5|DUS27_HUMAN Inactive dual specificity phosphatase 27 OS=Homo sapiens OX=9606 GN=DUSP27 PE=2 SV=1
+MATRKDTEEEQVVPSEEDEANVRAVQAHYLRSPSPSQYSMVSDAETESIFMEPIHLSSAIAAKQIINEELKPPGVRADAECPGMLESAEQLLVEDLYNRVREKMDDTSLYNTPCVLDLQRALVQDRQEAPWNEVDEVWPNVFIAEKSVAVNKGRLKRLGITHILNAAHGTGVYTGPEFYTGLEIQYLGVEVDDFPEVDISQHFRKASEFLDEALLTYRGKVLVSSEMGISRSAVLVVAYLMIFHNMAILEALMTVRKKRAIYPNEGFLKQLRELNEKLMEEREEDYGREGGSAEAEEGEGTGSMLGARVHALTVEEEDDSASHLSGSSLGKATQASKPLTLIDEEEEEKLYEQWKKGQGLLSDKVPQDGGGWRSASSGQGGEELEDEDVERIIQEWQSRNERYQAEGYRRWGREEEKEEESDAGSSVGRRRRTLSESSAWESVSSHDIWVLKQQLELNRPDHGRRRRADSMSSESTWDAWNERLLEIEKEASRRYHAKSKREEAADRSSEAGSRVREDDEDSVGSEASSFYNFCSRNKDKLTALERWKIKRIQFGFHKKDLGAGDSSGEPGAEEAVGEKNPSDVSLTAYQAWKLKHQKKVGSENKEEVVELSKGEDSALAKKRQRRLELLERSRQTLEESQSMASWEADSSTASGSIPLSAFWSADPSVSADGDTTSVLSTQSHRSHLSQAASNIAGCSTSNPTTPLPNLPVGPGDTISIASIQNWIANVVSETLAQKQNEMLLLSRSPSVASMKAVPAASCLGDDQVSMLSGHSSSSLGGCLLPQSQARPSSDMQSVLSCNTTLSSPAESCRSKVRGTSKPIFSLFADNVDLKELGRKEKEMQMELREKMSEYKMEKLASDNKRSSLFKKKKVKEDEDDGVGDGDEDTDSAIGSFRYSSRSNSQKPETDTCSSLAVCDHYASGSRVGKEMDSSINKWLSGLRTEEKPPFQSDWSGSSRGKYTRSSLLRETESKSSSYKFSKSQSEEQDTSSYHEANGNSVRSTSRFSSSSTREGREMHKFSRSTYNETSSSREESPEPYFFRRTPESSEREESPEPQRPNWARSRDWEDVEESSKSDFSEFGAKRKFTQSFMRSEEEGEKERTENREEGRFASGRRSQYRRSTDREEEEEMDDEAIIAAWRRRQEETRTKLQKRRED
+>DECOY_sp|Q5VZP5|DUS27_HUMAN Inactive dual specificity phosphatase 27 OS=Homo sapiens OX=9606 GN=DUSP27 PE=2 SV=1
+DERRKQLKTRTEEQRRRWAAIIAEDDMEEEEERDTSRRYQSRRGSAFRGEERNETREKEGEEESRMFSQTFKRKAGFESFDSKSSEEVDEWDRSRAWNPRQPEPSEERESSEPTRRFFYPEPSEERSSSTENYTSRSFKHMERGERTSSSSFRSTSRVSNGNAEHYSSTDQEESQSKSFKYSSSKSETERLLSSRTYKGRSSGSWDSQFPPKEETRLGSLWKNISSDMEKGVRSGSAYHDCVALSSCTDTEPKQSNSRSSYRFSGIASDTDEDGDGVGDDEDEKVKKKKFLSSRKNDSALKEMKYESMKERLEMQMEKEKRGLEKLDVNDAFLSFIPKSTGRVKSRCSEAPSSLTTNCSLVSQMDSSPRAQSQPLLCGGLSSSSHGSLMSVQDDGLCSAAPVAKMSAVSPSRSLLLMENQKQALTESVVNAIWNQISAISITDGPGVPLNPLPTTPNSTSCGAINSAAQSLHSRHSQTSLVSTTDGDASVSPDASWFASLPISGSATSSDAEWSAMSQSEELTQRSRELLELRRQRKKALASDEGKSLEVVEEKNESGVKKQHKLKWAQYATLSVDSPNKEGVAEEAGPEGSSDGAGLDKKHFGFQIRKIKWRELATLKDKNRSCFNYFSSAESGVSDEDDERVRSGAESSRDAAEERKSKAHYRRSAEKEIELLRENWADWTSESSMSDARRRRGHDPRNLELQQKLVWIDHSSVSEWASSESLTRRRRGVSSGADSEEEKEEERGWRRYGEAQYRENRSQWEQIIREVDEDELEEGGQGSSASRWGGGDQPVKDSLLGQGKKWQEYLKEEEEEDILTLPKSAQTAKGLSSGSLHSASDDEEEVTLAHVRAGLMSGTGEGEEAEASGGERGYDEEREEMLKENLERLQKLFGENPYIARKKRVTMLAELIAMNHFIMLYAVVLVASRSIGMESSVLVKGRYTLLAEDLFESAKRFHQSIDVEPFDDVEVGLYQIELGTYFEPGTYVGTGHAANLIHTIGLRKLRGKNVAVSKEAIFVNPWVEDVENWPAEQRDQVLARQLDLVCPTNYLSTDDMKERVRNYLDEVLLQEASELMGPCEADARVGPPKLEENIIQKAAIASSLHIPEMFISETEADSVMSYQSPSPSRLYHAQVARVNAEDEESPVVQEEETDKRTAM
+>sp|Q4G0W2|DUS28_HUMAN Dual specificity phosphatase 28 OS=Homo sapiens OX=9606 GN=DUSP28 PE=1 SV=1
+MGPAEAGRRGAASPVPPPLVRVAPSLFLGSARAAGAEEQLARAGVTLCVNVSRQQPGPRAPGVAELRVPVFDDPAEDLLAHLEPTCAAMEAAVRAGGACLVYCKNGRSRSAAVCTAYLMRHRGLSLAKAFQMVKSARPVAEPNPGFWSQLQKYEEALQAQSCLQGEPPALGLGPEA
+>DECOY_sp|Q4G0W2|DUS28_HUMAN Dual specificity phosphatase 28 OS=Homo sapiens OX=9606 GN=DUSP28 PE=1 SV=1
+AEPGLGLAPPEGQLCSQAQLAEEYKQLQSWFGPNPEAVPRASKVMQFAKALSLGRHRMLYATCVAASRSRGNKCYVLCAGGARVAAEMAACTPELHALLDEAPDDFVPVRLEAVGPARPGPQQRSVNVCLTVGARALQEEAGAARASGLFLSPAVRVLPPPVPSAAGRRGAEAPGM
+>sp|Q16828|DUS6_HUMAN Dual specificity protein phosphatase 6 OS=Homo sapiens OX=9606 GN=DUSP6 PE=1 SV=2
+MIDTLRPVPFASEMAISKTVAWLNEQLELGNERLLLMDCRPQELYESSHIESAINVAIPGIMLRRLQKGNLPVRALFTRGEDRDRFTRRCGTDTVVLYDESSSDWNENTGGESVLGLLLKKLKDEGCRAFYLEGGFSKFQAEFSLHCETNLDGSCSSSSPPLPVLGLGGLRISSDSSSDIESDLDRDPNSATDSDGSPLSNSQPSFPVEILPFLYLGCAKDSTNLDVLEEFGIKYILNVTPNLPNLFENAGEFKYKQIPISDHWSQNLSQFFPEAISFIDEARGKNCGVLVHCLAGISRSVTVTVAYLMQKLNLSMNDAYDIVKMKKSNISPNFNFMGQLLDFERTLGLSSPCDNRVPAQQLYFTTPSNQNVYQVDSLQST
+>DECOY_sp|Q16828|DUS6_HUMAN Dual specificity protein phosphatase 6 OS=Homo sapiens OX=9606 GN=DUSP6 PE=1 SV=2
+TSQLSDVQYVNQNSPTTFYLQQAPVRNDCPSSLGLTREFDLLQGMFNFNPSINSKKMKVIDYADNMSLNLKQMLYAVTVTVSRSIGALCHVLVGCNKGRAEDIFSIAEPFFQSLNQSWHDSIPIQKYKFEGANEFLNPLNPTVNLIYKIGFEELVDLNTSDKACGLYLFPLIEVPFSPQSNSLPSGDSDTASNPDRDLDSEIDSSSDSSIRLGGLGLVPLPPSSSSCSGDLNTECHLSFEAQFKSFGGELYFARCGEDKLKKLLLGLVSEGGTNENWDSSSEDYLVVTDTGCRRTFRDRDEGRTFLARVPLNGKQLRRLMIGPIAVNIASEIHSSEYLEQPRCDMLLLRENGLELQENLWAVTKSIAMESAFPVPRLTDIM
+>sp|Q13202|DUS8_HUMAN Dual specificity protein phosphatase 8 OS=Homo sapiens OX=9606 GN=DUSP8 PE=1 SV=2
+MAGDRLPRKVMDAKKLASLLRGGPGGPLVIDSRSFVEYNSWHVLSSVNICCSKLVKRRLQQGKVTIAELIQPAARSQVEATEPQDVVVYDQSTRDASVLAADSFLSILLSKLDGCFDSVAILTGGFATFSSCFPGLCEGKPAALLPMSLSQPCLPVPSVGLTRILPHLYLGSQKDVLNKDLMTQNGISYVLNASNSCPKPDFICESRFMRVPINDNYCEKLLPWLDKSIEFIDKAKLSSCQVIVHCLAGISRSATIAIAYIMKTMGMSSDDAYRFVKDRRPSISPNFNFLGQLLEYERSLKLLAALQGDPGTPSGTPEPPPSPAAGAPLPRLPPPTSESAATGNAAAREGGLSAGGEPPAPPTPPATSALQQGLRGLHLSSDRLQDTNRLKRSFSLDIKSAYAPSRRPDGPGPPDPGEAPKLCKLDSPSGAALGLSSPSPDSPDAAPEARPRPRRRPRPPAGSPARSPAHSLGLNFGDAARQTPRHGLSALSAPGLPGPGQPAGPGAWAPPLDSPGTPSPDGPWCFSPEGAQGAGGVLFAPFGRAGAPGPGGGSDLRRREAARAEPRDARTGWPEEPAPETQFKRRSCQMEFEEGMVEGRARGEELAALGKQASFSGSVEVIEVS
+>DECOY_sp|Q13202|DUS8_HUMAN Dual specificity protein phosphatase 8 OS=Homo sapiens OX=9606 GN=DUSP8 PE=1 SV=2
+SVEIVEVSGSFSAQKGLAALEEGRARGEVMGEEFEMQCSRRKFQTEPAPEEPWGTRADRPEARAAERRRLDSGGGPGPAGARGFPAFLVGGAGQAGEPSFCWPGDPSPTGPSDLPPAWAGPGAPQGPGPLGPASLASLGHRPTQRAADGFNLGLSHAPSRAPSGAPPRPRRRPRPRAEPAADPSDPSPSSLGLAAGSPSDLKCLKPAEGPDPPGPGDPRRSPAYASKIDLSFSRKLRNTDQLRDSSLHLGRLGQQLASTAPPTPPAPPEGGASLGGERAAANGTAASESTPPPLRPLPAGAAPSPPPEPTGSPTGPDGQLAALLKLSREYELLQGLFNFNPSISPRRDKVFRYADDSSMGMTKMIYAIAITASRSIGALCHVIVQCSSLKAKDIFEISKDLWPLLKECYNDNIPVRMFRSECIFDPKPCSNSANLVYSIGNQTMLDKNLVDKQSGLYLHPLIRTLGVSPVPLCPQSLSMPLLAAPKGECLGPFCSSFTAFGGTLIAVSDFCGDLKSLLISLFSDAALVSADRTSQDYVVVDQPETAEVQSRAAPQILEAITVKGQQLRRKVLKSCCINVSSLVHWSNYEVFSRSDIVLPGGPGGRLLSALKKADMVKRPLRDGAM
+>sp|Q8IXT1|DDIAS_HUMAN DNA damage-induced apoptosis suppressor protein OS=Homo sapiens OX=9606 GN=DDIAS PE=2 SV=2
+MNRRRKFLLASVLALQNSSFIYPSCQKCFSRIILVSKRSNCPKCGSTGESGNANYRYKLSLKVAESNKLFVITVFGSCLDTFFGLTATGLHRYIQDPNKIPETLDNDTTQNLLTKAVETCFVGQSFIFGVTNFENQPGQGSDASNFLQQCSDHKRKAKALVACQIVLPDPGIAGFTVIDYFHQLLQTFNFRKLQCDSQAPNNHLLALDHSNSDLSSIYTSDSTSDFFKSCSKDTFSKFWQPSLEFTCIVSQLTDNDDFSASEQSKAFGTLQQNRKSISIAEATGSSSCHDPIQDSWSLVSYMDKKSTAEKLGKELGLQAKELSAVHSSHHEIGVNDSNLFSLEMREPLESSNTKSFHSAVEIKNRSQHELPCFQHHGIDTPTSLQKRSACCPPSLLRLEETASSSQDGDPQIWDDLPFSESLNKFLAVLESEIAVTQADVSSRKHHVDNDIDKFHADHSRLSVTPQRTTGALHTPPIALRSSQVIVKANCSKDDFLFNCKGNLSPSVEKESQPDNKVEAVSVNHNGRDMSEYFLPNPYLSALSSSSKDLETIVTLKKTIRISPHRESDHSSLNNKYLNGCGEISVSEMNEKLTTLCYRKYNDVSDLCKLENKQYCRWSKNQDDSFTICRKLTYPLETLCNSPNRSTNTLKEMPWGHINNNVTQSYSIGYEGSYDASADLFDDIAKEMDIATEITKKSQDILLKWGTSLAESHPSESDFSLRSLSEDFIQPSQKLSLQSLSDSRHSRTCSPTPHFQSDSEYNFENSQDFVPCSQSTPISGFHQTRIHGINRAFKKPVFYSDLDGNYEKIRIFPENDKQQASPSCPKNIKTPSQKIRSPIVSGVSQPDVFNHYPFAECHETDSDEWVPPTTQKIFPSDMLGFQGIGLGKCLAAYHFPDQQELPRKKLKHIRQGTNKGLIKKKLKNMLAAVVTKKKTHKYNCKSSGWISKCPDIQVLAAPQLHPILGPDSCSEVKCCLPFSEKGPPSVCETRSAWSPELFS
+>DECOY_sp|Q8IXT1|DDIAS_HUMAN DNA damage-induced apoptosis suppressor protein OS=Homo sapiens OX=9606 GN=DDIAS PE=2 SV=2
+SFLEPSWASRTECVSPPGKESFPLCCKVESCSDPGLIPHLQPAALVQIDPCKSIWGSSKCNYKHTKKKTVVAALMNKLKKKILGKNTGQRIHKLKKRPLEQQDPFHYAALCKGLGIGQFGLMDSPFIKQTTPPVWEDSDTEHCEAFPYHNFVDPQSVGSVIPSRIKQSPTKINKPCSPSAQQKDNEPFIRIKEYNGDLDSYFVPKKFARNIGHIRTQHFGSIPTSQSCPVFDQSNEFNYESDSQFHPTPSCTRSHRSDSLSQLSLKQSPQIFDESLSRLSFDSESPHSEALSTGWKLLIDQSKKTIETAIDMEKAIDDFLDASADYSGEYGISYSQTVNNNIHGWPMEKLTNTSRNPSNCLTELPYTLKRCITFSDDQNKSWRCYQKNELKCLDSVDNYKRYCLTTLKENMESVSIEGCGNLYKNNLSSHDSERHPSIRITKKLTVITELDKSSSSLASLYPNPLFYESMDRGNHNVSVAEVKNDPQSEKEVSPSLNGKCNFLFDDKSCNAKVIVQSSRLAIPPTHLAGTTRQPTVSLRSHDAHFKDIDNDVHHKRSSVDAQTVAIESELVALFKNLSESFPLDDWIQPDGDQSSSATEELRLLSPPCCASRKQLSTPTDIGHHQFCPLEHQSRNKIEVASHFSKTNSSELPERMELSFLNSDNVGIEHHSSHVASLEKAQLGLEKGLKEATSKKDMYSVLSWSDQIPDHCSSSGTAEAISISKRNQQLTGFAKSQESASFDDNDTLQSVICTFELSPQWFKSFTDKSCSKFFDSTSDSTYISSLDSNSHDLALLHNNPAQSDCQLKRFNFTQLLQHFYDIVTFGAIGPDPLVIQCAVLAKAKRKHDSCQQLFNSADSGQGPQNEFNTVGFIFSQGVFCTEVAKTLLNQTTDNDLTEPIKNPDQIYRHLGTATLGFFTDLCSGFVTIVFLKNSEAVKLSLKYRYNANGSEGTSGCKPCNSRKSVLIIRSFCKQCSPYIFSSNQLALVSALLFKRRRNM
+>sp|P12838|DEF4_HUMAN Neutrophil defensin 4 OS=Homo sapiens OX=9606 GN=DEFA4 PE=1 SV=2
+MRIIALLAAILLVALQVRAGPLQARGDEAPGQEQRGPEDQDISISFAWDKSSALQVSGSTRGMVCSCRLVFCRRTELRVGNCLIGGVSFTYCCTRVD
+>DECOY_sp|P12838|DEF4_HUMAN Neutrophil defensin 4 OS=Homo sapiens OX=9606 GN=DEFA4 PE=1 SV=2
+DVRTCCYTFSVGGILCNGVRLETRRCFVLRCSCVMGRTSGSVQLASSKDWAFSISIDQDEPGRQEQGPAEDGRAQLPGARVQLAVLLIAALLAIIRM
+>sp|Q01524|DEF6_HUMAN Defensin-6 OS=Homo sapiens OX=9606 GN=DEFA6 PE=1 SV=1
+MRTLTILTAVLLVALQAKAEPLQAEDDPLQAKAYEADAQEQRGANDQDFAVSFAEDASSSLRALGSTRAFTCHCRRSCYSTEYSYGTCTVMGINHRFCCL
+>DECOY_sp|Q01524|DEF6_HUMAN Defensin-6 OS=Homo sapiens OX=9606 GN=DEFA6 PE=1 SV=1
+LCCFRHNIGMVTCTGYSYETSYCSRRCHCTFARTSGLARLSSSADEAFSVAFDQDNAGRQEQADAEYAKAQLPDDEAQLPEAKAQLAVLLVATLITLTRM
+>sp|Q14154|DELE_HUMAN Death ligand signal enhancer OS=Homo sapiens OX=9606 GN=KIAA0141 PE=1 SV=3
+MWRLPGLLGRALPRTLGPSLWRVTPKSTSPDGPQTTSSTLLVPVPNLDRSGPHGPGTSGGPRSHGWKDAFQWMSSRVSPNTLWDAISWGTLAVLALQLARQIHFQASLPAGPQRVEHCSWHSPLDRFFSSPLWHPCSSLRQHILPSPDGPAPRHTGLREPRLGQEEASAQPRNFSHNSLRGARPQDPSEEGPGDFGFLHASSSIESEAKPAQPQPTGEKEQDKSKTLSLEEAVTSIQQLFQLSVSIAFNFLGTENMKSGDHTAAFSYFQKAAARGYSKAQYNAGLCHEHGRGTPRDISKAVLYYQLAASQGHSLAQYRYARCLLRDPASSWNPERQRAVSLLKQAADSGLREAQAFLGVLFTKEPYLDEQRAVKYLWLAANNGDSQSRYHLGICYEKGLGVQRNLGEALRCYQQSAALGNEAAQERLRALFSMGAAAPGPSDLTVTGLKSFSSPSLCSLNTLLAGTSRLPHASSTGNLGLLCRSGHLGASLEASSRAIPPHPYPLERSVVRLGFG
+>DECOY_sp|Q14154|DELE_HUMAN Death ligand signal enhancer OS=Homo sapiens OX=9606 GN=KIAA0141 PE=1 SV=3
+GFGLRVVSRELPYPHPPIARSSAELSAGLHGSRCLLGLNGTSSAHPLRSTGALLTNLSCLSPSSFSKLGTVTLDSPGPAAAGMSFLARLREQAAENGLAASQQYCRLAEGLNRQVGLGKEYCIGLHYRSQSDGNNAALWLYKVARQEDLYPEKTFLVGLFAQAERLGSDAAQKLLSVARQREPNWSSAPDRLLCRAYRYQALSHGQSAALQYYLVAKSIDRPTGRGHEHCLGANYQAKSYGRAAAKQFYSFAATHDGSKMNETGLFNFAISVSLQFLQQISTVAEELSLTKSKDQEKEGTPQPQAPKAESEISSSAHLFGFDGPGEESPDQPRAGRLSNHSFNRPQASAEEQGLRPERLGTHRPAPGDPSPLIHQRLSSCPHWLPSSFFRDLPSHWSCHEVRQPGAPLSAQFHIQRALQLALVALTGWSIADWLTNPSVRSSMWQFADKWGHSRPGGSTGPGHPGSRDLNPVPVLLTSSTTQPGDPSTSKPTVRWLSPGLTRPLARGLLGPLRWM
+>sp|Q9BY27|DGC6L_HUMAN Protein DGCR6L OS=Homo sapiens OX=9606 GN=DGCR6L PE=1 SV=2
+MERYAAALEEVADGARQQERHYQLLSALQSLVKELPSSFQQRLSYTTLSDLALALLDGTVFEIVQGLLEIQHLTEKSLYNQRLRLQNEHRVLRQALRQKHQEAQQACRPHNLPVVQAAQQRELEAVEHRIREEQRAMDQKIILELDRKVADQQSTLEKAGVAGFYVTTNPQELMLQMNLLELIRKLQQRGCRAGNAALGLGGPWQSPAAQCDQKGSPVPP
+>DECOY_sp|Q9BY27|DGC6L_HUMAN Protein DGCR6L OS=Homo sapiens OX=9606 GN=DGCR6L PE=1 SV=2
+PPVPSGKQDCQAAPSQWPGGLGLAANGARCGRQQLKRILELLNMQLMLEQPNTTVYFGAVGAKELTSQQDAVKRDLELIIKQDMARQEERIRHEVAELERQQAAQVVPLNHPRCAQQAEQHKQRLAQRLVRHENQLRLRQNYLSKETLHQIELLGQVIEFVTGDLLALALDSLTTYSLRQQFSSPLEKVLSQLASLLQYHREQQRAGDAVEELAAAYREM
+>sp|Q7Z5P4|DHB13_HUMAN 17-beta-hydroxysteroid dehydrogenase 13 OS=Homo sapiens OX=9606 GN=HSD17B13 PE=1 SV=1
+MNIILEILLLLITIIYSYLESLVKFFIPQRRKSVAGEIVLITGAGHGIGRQTTYEFAKRQSILVLWDINKRGVEETAAECRKLGVTAHAYVVDCSNREEIYRSLNQVKKEVGDVTIVVNNAGTVYPADLLSTKDEEITKTFEVNILGHFWITKALLPSMMERNHGHIVTVASVCGHEGIPYLIPYCSSKFAAVGFHRGLTSELQALGKTGIKTSCLCPVFVNTGFTKNPSTRLWPVLETDEVVRSLIDGILTNKKMIFVPSYINIFLRLQKFLPERASAILNRMQNIQFEAVVGHKIKMK
+>DECOY_sp|Q7Z5P4|DHB13_HUMAN 17-beta-hydroxysteroid dehydrogenase 13 OS=Homo sapiens OX=9606 GN=HSD17B13 PE=1 SV=1
+KMKIKHGVVAEFQINQMRNLIASAREPLFKQLRLFINIYSPVFIMKKNTLIGDILSRVVEDTELVPWLRTSPNKTFGTNVFVPCLCSTKIGTKGLAQLESTLGRHFGVAAFKSSCYPILYPIGEHGCVSAVTVIHGHNREMMSPLLAKTIWFHGLINVEFTKTIEEDKTSLLDAPYVTGANNVVITVDGVEKKVQNLSRYIEERNSCDVVYAHATVGLKRCEAATEEVGRKNIDWLVLISQRKAFEYTTQRGIGHGAGTILVIEGAVSKRRQPIFFKVLSELYSYIITILLLLIELIINM
+>sp|P00367|DHE3_HUMAN Glutamate dehydrogenase 1, mitochondrial OS=Homo sapiens OX=9606 GN=GLUD1 PE=1 SV=2
+MYRYLGEALLLSRAGPAALGSASADSAALLGWARGQPAAAPQPGLALAARRHYSEAVADREDDPNFFKMVEGFFDRGASIVEDKLVEDLRTRESEEQKRNRVRGILRIIKPCNHVLSLSFPIRRDDGSWEVIEGYRAQHSQHRTPCKGGIRYSTDVSVDEVKALASLMTYKCAVVDVPFGGAKAGVKINPKNYTDNELEKITRRFTMELAKKGFIGPGIDVPAPDMSTGEREMSWIADTYASTIGHYDINAHACVTGKPISQGGIHGRISATGRGVFHGIENFINEASYMSILGMTPGFGDKTFVVQGFGNVGLHSMRYLHRFGAKCIAVGESDGSIWNPDGIDPKELEDFKLQHGSILGFPKAKPYEGSILEADCDILIPAASEKQLTKSNAPRVKAKIIAEGANGPTTPEADKIFLERNIMVIPDLYLNAGGVTVSYFEWLKNLNHVSYGRLTFKYERDSNYHLLMSVQESLERKFGKHGGTIPIVPTAEFQDRISGASEKDIVHSGLAYTMERSARQIMRTAMKYNLGLDLRTAAYVNAIEKVFKVYNEAGVTFT
+>DECOY_sp|P00367|DHE3_HUMAN Glutamate dehydrogenase 1, mitochondrial OS=Homo sapiens OX=9606 GN=GLUD1 PE=1 SV=2
+TFTVGAENYVKFVKEIANVYAATRLDLGLNYKMATRMIQRASREMTYALGSHVIDKESAGSIRDQFEATPVIPITGGHKGFKRELSEQVSMLLHYNSDREYKFTLRGYSVHNLNKLWEFYSVTVGGANLYLDPIVMINRELFIKDAEPTTPGNAGEAIIKAKVRPANSKTLQKESAAPILIDCDAELISGEYPKAKPFGLISGHQLKFDELEKPDIGDPNWISGDSEGVAICKAGFRHLYRMSHLGVNGFGQVVFTKDGFGPTMGLISMYSAENIFNEIGHFVGRGTASIRGHIGGQSIPKGTVCAHANIDYHGITSAYTDAIWSMEREGTSMDPAPVDIGPGIFGKKALEMTFRRTIKELENDTYNKPNIKVGAKAGGFPVDVVACKYTMLSALAKVEDVSVDTSYRIGGKCPTRHQSHQARYGEIVEWSGDDRRIPFSLSLVHNCPKIIRLIGRVRNRKQEESERTRLDEVLKDEVISAGRDFFGEVMKFFNPDDERDAVAESYHRRAALALGPQPAAAPQGRAWGLLAASDASASGLAAPGARSLLLAEGLYRYM
+>sp|Q6UX07|DHR13_HUMAN Dehydrogenase/reductase SDR family member 13 OS=Homo sapiens OX=9606 GN=DHRS13 PE=2 SV=1
+MEALLLGAGLLLGAYVLVYYNLVKAPPCGGMGNLRGRTAVVTGANSGIGKMTALELARRGARVVLACRSQERGEAAAFDLRQESGNNEVIFMALDLASLASVRAFATAFLSSEPRLDILIHNAGISSCGRTREAFNLLLRVNHIGPFLLTHLLLPCLKACAPSRVVVVASAAHCRGRLDFKRLDRPVVGWRQELRAYADTKLANVLFARELANQLEATGVTCYAAHPGPVNSELFLRHVPGWLRPLLRPLAWLVLRAPRGGAQTPLYCALQEGIEPLSGRYFANCHVEEVPPAARDDRAAHRLWEASKRLAGLGPGEDAEPDEDPQSEDSEAPSSLSTPHPEEPTVSQPYPSPQSSPDLSKMTHRIQAKVEPEIQLS
+>DECOY_sp|Q6UX07|DHR13_HUMAN Dehydrogenase/reductase SDR family member 13 OS=Homo sapiens OX=9606 GN=DHRS13 PE=2 SV=1
+SLQIEPEVKAQIRHTMKSLDPSSQPSPYPQSVTPEEPHPTSLSSPAESDESQPDEDPEADEGPGLGALRKSAEWLRHAARDDRAAPPVEEVHCNAFYRGSLPEIGEQLACYLPTQAGGRPARLVLWALPRLLPRLWGPVHRLFLESNVPGPHAAYCTVGTAELQNALERAFLVNALKTDAYARLEQRWGVVPRDLRKFDLRGRCHAASAVVVVRSPACAKLCPLLLHTLLFPGIHNVRLLLNFAERTRGCSSIGANHILIDLRPESSLFATAFARVSALSALDLAMFIVENNGSEQRLDFAAAEGREQSRCALVVRAGRRALELATMKGIGSNAGTVVATRGRLNGMGGCPPAKVLNYYVLVYAGLLLGAGLLLAEM
+>sp|Q9BPW9|DHRS9_HUMAN Dehydrogenase/reductase SDR family member 9 OS=Homo sapiens OX=9606 GN=DHRS9 PE=1 SV=1
+MLFWVLGLLILCGFLWTRKGKLKIEDITDKYIFITGCDSGFGNLAARTFDKKGFHVIAACLTESGSTALKAETSERLRTVLLDVTDPENVKRTAQWVKNQVGEKGLWGLINNAGVPGVLAPTDWLTLEDYREPIEVNLFGLISVTLNMLPLVKKAQGRVINVSSVGGRLAIVGGGYTPSKYAVEGFNDSLRRDMKAFGVHVSCIEPGLFKTNLADPVKVIEKKLAIWEQLSPDIKQQYGEGYIEKSLDKLKGNKSYVNMDLSPVVECMDHALTSLFPKTHYAAGKDAKIFWIPLSHMPAALQDFLLLKQKAELANPKAV
+>DECOY_sp|Q9BPW9|DHRS9_HUMAN Dehydrogenase/reductase SDR family member 9 OS=Homo sapiens OX=9606 GN=DHRS9 PE=1 SV=1
+VAKPNALEAKQKLLLFDQLAAPMHSLPIWFIKADKGAAYHTKPFLSTLAHDMCEVVPSLDMNVYSKNGKLKDLSKEIYGEGYQQKIDPSLQEWIALKKEIVKVPDALNTKFLGPEICSVHVGFAKMDRRLSDNFGEVAYKSPTYGGGVIALRGGVSSVNIVRGQAKKVLPLMNLTVSILGFLNVEIPERYDELTLWDTPALVGPVGANNILGWLGKEGVQNKVWQATRKVNEPDTVDLLVTRLRESTEAKLATSGSETLCAAIVHFGKKDFTRAALNGFGSDCGTIFIYKDTIDEIKLKGKRTWLFGCLILLGLVWFLM
+>sp|O60231|DHX16_HUMAN Pre-mRNA-splicing factor ATP-dependent RNA helicase DHX16 OS=Homo sapiens OX=9606 GN=DHX16 PE=1 SV=2
+MATPAGLERWVQDELHSVLGLSERHVAQFLIGTAQRCTSAEEFVQRLRDTDTLDLSGPARDFALRLWNKVPRKAVVEKPARAAEREARALLEKNRSYRLLEDSEESSEETVSRAGSSLQKKRKKRKHLRKKREEEEEEEASEKGKKKTGGSKQQTEKPESEDEWERTERERLQDLEERDAFAERVRQRDKDRTRNVLERSDKKAYEEAQKRLKMAEEDRKAMVPELRKKSRREYLAKREREKLEDLEAELADEEFLFGDVELSRHERQELKYKRRVRDLAREYRAAGEQEKLEATNRYHMPKETRGQPARAVDLVEEESGAPGEEQRRWEEARLGAASLKFGARDAASQEPKYQLVLEEEETIEFVRATQLQGDEEPSAPPTSTQAQQKESIQAVRRSLPVFPFREELLAAIANHQVLIIEGETGSGKTTQIPQYLFEEGYTNKGMKIACTQPRRVAAMSVAARVAREMGVKLGNEVGYSIRFEDCTSERTVLRYMTDGMLLREFLSEPDLASYSVVMVDEAHERTLHTDILFGLIKDVARFRPELKVLVASATMDTARFSTFFDDAPVFRIPGRRFPVDIFYTKAPEADYLEACVVSVLQIHVTQPPGDILVFLTGQEEIEAACEMLQDRCRRLGSKIRELLVLPIYANLPSDMQARIFQPTPPGARKVVVATNIAETSLTIEGIIYVLDPGFCKQKSYNPRTGMESLTVTPCSKASANQRAGRAGRVAAGKCFRLYTAWAYQHELEETTVPEIQRTSLGNVVLLLKSLGIHDLMHFDFLDPPPYETLLLALEQLYALGALNHLGELTTSGRKMAELPVDPMLSKMILASEKYSCSEEILTVAAMLSVNNSIFYRPKDKVVHADNARVNFFLPGGDHLVLLNVYTQWAESGYSSQWCYENFVQFRSMRRARDVREQLEGLLERVEVGLSSCQGDYIRVRKAITAGYFYHTARLTRSGYRTVKQQQTVFIHPNSSLFEQQPRWLLYHELVLTTKEFMRQVLEIESSWLLEVAPHYYKAKELEDPHAKKMPKKIGKTREELG
+>DECOY_sp|O60231|DHX16_HUMAN Pre-mRNA-splicing factor ATP-dependent RNA helicase DHX16 OS=Homo sapiens OX=9606 GN=DHX16 PE=1 SV=2
+GLEERTKGIKKPMKKAHPDELEKAKYYHPAVELLWSSEIELVQRMFEKTTLVLEHYLLWRPQQEFLSSNPHIFVTQQQKVTRYGSRTLRATHYFYGATIAKRVRIYDGQCSSLGVEVRELLGELQERVDRARRMSRFQVFNEYCWQSSYGSEAWQTYVNLLVLHDGGPLFFNVRANDAHVVKDKPRYFISNNVSLMAAVTLIEESCSYKESALIMKSLMPDVPLEAMKRGSTTLEGLHNLAGLAYLQELALLLTEYPPPDLFDFHMLDHIGLSKLLLVVNGLSTRQIEPVTTEELEHQYAWATYLRFCKGAAVRGARGARQNASAKSCPTVTLSEMGTRPNYSKQKCFGPDLVYIIGEITLSTEAINTAVVVKRAGPPTPQFIRAQMDSPLNAYIPLVLLERIKSGLRRCRDQLMECAAEIEEQGTLFVLIDGPPQTVHIQLVSVVCAELYDAEPAKTYFIDVPFRRGPIRFVPADDFFTSFRATDMTASAVLVKLEPRFRAVDKILGFLIDTHLTREHAEDVMVVSYSALDPESLFERLLMGDTMYRLVTRESTCDEFRISYGVENGLKVGMERAVRAAVSMAAVRRPQTCAIKMGKNTYGEEFLYQPIQTTKGSGTEGEIILVQHNAIAALLEERFPFVPLSRRVAQISEKQQAQTSTPPASPEEDGQLQTARVFEITEEEELVLQYKPEQSAADRAGFKLSAAGLRAEEWRRQEEGPAGSEEEVLDVARAPQGRTEKPMHYRNTAELKEQEGAARYERALDRVRRKYKLEQREHRSLEVDGFLFEEDALEAELDELKERERKALYERRSKKRLEPVMAKRDEEAMKLRKQAEEYAKKDSRELVNRTRDKDRQRVREAFADREELDQLRERETREWEDESEPKETQQKSGGTKKKGKESAEEEEEEERKKRLHKRKKRKKQLSSGARSVTEESSEESDELLRYSRNKELLARAEREAARAPKEVVAKRPVKNWLRLAFDRAPGSLDLTDTDRLRQVFEEASTCRQATGILFQAVHRESLGLVSHLEDQVWRELGAPTAM
+>sp|Q7L7V1|DHX32_HUMAN Putative pre-mRNA-splicing factor ATP-dependent RNA helicase DHX32 OS=Homo sapiens OX=9606 GN=DHX32 PE=1 SV=1
+MEEEGLECPNSSSEKRYFPESLDSSDGDEEEVLACEDLELNPFDGLPYSSRYYKLLKEREDLPIWKEKYSFMENLLQNQIVIVSGDAKCGKSAQVPQWCAEYCLSIHYQHGGVICTQVHKQTVVQLALRVADEMDVNIGHEVGYVIPFENCCTNETILRYCTDDMLQREMMSNPFLGSYGVIILDDIHERSIATDVLLGLLKDVLLARPELKLIINSSPHLISKLNSYYGNVPVIEVKNKHPVEVVYLSEAQKDSFESILRLIFEIHHSGEKGDIVVFLACEQDIEKVCETVYQGSNLNPDLGELVVVPLYPKEKCSLFKPLDETEKRCQVYQRRVVLTTSSGEFLIWSNSVRFVIDVGVERRKVYNPRIRANSLVMQPISQSQAEIRKQILGSSSSGKFFCLYTEEFASKDMTPLKPAEMQEANLTSMVLFMKRIDIAGLGHCDFMNRPAPESLMQALEDLDYLAALDNDGNLSEFGIIMSEFPLDPQLSKSILASCEFDCVDEVLTIAAMVTAPNCFSHVPHGAEEAALTCWKTFLHPEGDHFTLISIYKAYQDTTLNSSSEYCVEKWCRDYFLNCSALRMADVIRAELLEIIKRIELPYAEPAFGSKENTLNIKKALLSGYFMQIARDVDGSGNYLMLTHKQVAQLHPLSGYSITKKMPEWVLFHKFSISENNYIRITSEISPELFMQLVPQYYFSNLPPSESKDILQQVVDHLSPVSTMNKEQQMCETCPETEQRCTLQ
+>DECOY_sp|Q7L7V1|DHX32_HUMAN Putative pre-mRNA-splicing factor ATP-dependent RNA helicase DHX32 OS=Homo sapiens OX=9606 GN=DHX32 PE=1 SV=1
+QLTCRQETEPCTECMQQEKNMTSVPSLHDVVQQLIDKSESPPLNSFYYQPVLQMFLEPSIESTIRIYNNESISFKHFLVWEPMKKTISYGSLPHLQAVQKHTLMLYNGSGDVDRAIQMFYGSLLAKKINLTNEKSGFAPEAYPLEIRKIIELLEARIVDAMRLASCNLFYDRCWKEVCYESSSNLTTDQYAKYISILTFHDGEPHLFTKWCTLAAEEAGHPVHSFCNPATVMAAITLVEDVCDFECSALISKSLQPDLPFESMIIGFESLNGDNDLAALYDLDELAQMLSEPAPRNMFDCHGLGAIDIRKMFLVMSTLNAEQMEAPKLPTMDKSAFEETYLCFFKGSSSSGLIQKRIEAQSQSIPQMVLSNARIRPNYVKRREVGVDIVFRVSNSWILFEGSSTTLVVRRQYVQCRKETEDLPKFLSCKEKPYLPVVVLEGLDPNLNSGQYVTECVKEIDQECALFVVIDGKEGSHHIEFILRLISEFSDKQAESLYVVEVPHKNKVEIVPVNGYYSNLKSILHPSSNIILKLEPRALLVDKLLGLLVDTAISREHIDDLIIVGYSGLFPNSMMERQLMDDTCYRLITENTCCNEFPIVYGVEHGINVDMEDAVRLALQVVTQKHVQTCIVGGHQYHISLCYEACWQPVQASKGCKADGSVIVIQNQLLNEMFSYKEKWIPLDEREKLLKYYRSSYPLGDFPNLELDECALVEEEDGDSSDLSEPFYRKESSSNPCELGEEEM
+>sp|Q8TF09|DLRB2_HUMAN Dynein light chain roadblock-type 2 OS=Homo sapiens OX=9606 GN=DYNLRB2 PE=1 SV=1
+MAEVEETLKRIQSHKGVIGTMVVNAEGIPIRTTLDNSTTVQYAGLLHHLTMKAKSTVRDIDPQNDLTFLRIRSKKHEIMVAPDKEYLLIVIQNPCE
+>DECOY_sp|Q8TF09|DLRB2_HUMAN Dynein light chain roadblock-type 2 OS=Homo sapiens OX=9606 GN=DYNLRB2 PE=1 SV=1
+ECPNQIVILLYEKDPAVMIEHKKSRIRLFTLDNQPDIDRVTSKAKMTLHHLLGAYQVTTSNDLTTRIPIGEANVVMTGIVGKHSQIRKLTEEVEAM
+>sp|O60479|DLX3_HUMAN Homeobox protein DLX-3 OS=Homo sapiens OX=9606 GN=DLX3 PE=1 SV=1
+MSGSFDRKLSSILTDISSSLSCHAGSKDSPTLPESSVTDLGYYSAPQHDYYSGQPYGQTVNPYTYHHQFNLNGLAGTGAYSPKSEYTYGASYRQYGAYREQPLPAQDPVSVKEEPEAEVRMVNGKPKKVRKPRTIYSSYQLAALQRRFQKAQYLALPERAELAAQLGLTQTQVKIWFQNRRSKFKKLYKNGEVPLEHSPNNSDSMACNSPPSPALWDTSSHSTPAPARSQLPPPLPYSASPSYLDDPTNSWYHAQNLSGPHLQQQPPQPATLHHASPGPPPNPGAVY
+>DECOY_sp|O60479|DLX3_HUMAN Homeobox protein DLX-3 OS=Homo sapiens OX=9606 GN=DLX3 PE=1 SV=1
+YVAGPNPPPGPSAHHLTAPQPPQQQLHPGSLNQAHYWSNTPDDLYSPSASYPLPPPLQSRAPAPTSHSSTDWLAPSPPSNCAMSDSNNPSHELPVEGNKYLKKFKSRRNQFWIKVQTQTLGLQAALEAREPLALYQAKQFRRQLAALQYSSYITRPKRVKKPKGNVMRVEAEPEEKVSVPDQAPLPQERYAGYQRYSAGYTYESKPSYAGTGALGNLNFQHHYTYPNVTQGYPQGSYYDHQPASYYGLDTVSSEPLTPSDKSGAHCSLSSSIDTLISSLKRDFSGSM
+>sp|Q14565|DMC1_HUMAN Meiotic recombination protein DMC1/LIM15 homolog OS=Homo sapiens OX=9606 GN=DMC1 PE=1 SV=2
+MKEDQVVAEEPGFQDEEESLFQDIDLLQKHGINVADIKKLKSVGICTIKGIQMTTRRALCNVKGLSEAKVDKIKEAANKLIEPGFLTAFEYSEKRKMVFHITTGSQEFDKLLGGGIESMAITEAFGEFRTGKTQLSHTLCVTAQLPGAGGYPGGKIIFIDTENTFRPDRLRDIADRFNVDHDAVLDNVLYARAYTSEHQMELLDYVAAKFHEEAGIFKLLIIDSIMALFRVDFSGRGELAERQQKLAQMLSRLQKISEEYNVAVFVTNQMTADPGATMTFQADPKKPIGGHILAHASTTRISLRKGRGELRIAKIYDSPEMPENEATFAITAGGIGDAKE
+>DECOY_sp|Q14565|DMC1_HUMAN Meiotic recombination protein DMC1/LIM15 homolog OS=Homo sapiens OX=9606 GN=DMC1 PE=1 SV=2
+EKADGIGGATIAFTAENEPMEPSDYIKAIRLEGRGKRLSIRTTSAHALIHGGIPKKPDAQFTMTAGPDATMQNTVFVAVNYEESIKQLRSLMQALKQQREALEGRGSFDVRFLAMISDIILLKFIGAEEHFKAAVYDLLEMQHESTYARAYLVNDLVADHDVNFRDAIDRLRDPRFTNETDIFIIKGGPYGGAGPLQATVCLTHSLQTKGTRFEGFAETIAMSEIGGGLLKDFEQSGTTIHFVMKRKESYEFATLFGPEILKNAAEKIKDVKAESLGKVNCLARRTTMQIGKITCIGVSKLKKIDAVNIGHKQLLDIDQFLSEEEDQFGPEEAVVQDEKM
+>sp|P11532|DMD_HUMAN Dystrophin OS=Homo sapiens OX=9606 GN=DMD PE=1 SV=3
+MLWWEEVEDCYEREDVQKKTFTKWVNAQFSKFGKQHIENLFSDLQDGRRLLDLLEGLTGQKLPKEKGSTRVHALNNVNKALRVLQNNNVDLVNIGSTDIVDGNHKLTLGLIWNIILHWQVKNVMKNIMAGLQQTNSEKILLSWVRQSTRNYPQVNVINFTTSWSDGLALNALIHSHRPDLFDWNSVVCQQSATQRLEHAFNIARYQLGIEKLLDPEDVDTTYPDKKSILMYITSLFQVLPQQVSIEAIQEVEMLPRPPKVTKEEHFQLHHQMHYSQQITVSLAQGYERTSSPKPRFKSYAYTQAAYVTTSDPTRSPFPSQHLEAPEDKSFGSSLMESEVNLDRYQTALEEVLSWLLSAEDTLQAQGEISNDVEVVKDQFHTHEGYMMDLTAHQGRVGNILQLGSKLIGTGKLSEDEETEVQEQMNLLNSRWECLRVASMEKQSNLHRVLMDLQNQKLKELNDWLTKTEERTRKMEEEPLGPDLEDLKRQVQQHKVLQEDLEQEQVRVNSLTHMVVVVDESSGDHATAALEEQLKVLGDRWANICRWTEDRWVLLQDILLKWQRLTEEQCLFSAWLSEKEDAVNKIHTTGFKDQNEMLSSLQKLAVLKADLEKKKQSMGKLYSLKQDLLSTLKNKSVTQKTEAWLDNFARCWDNLVQKLEKSTAQISQAVTTTQPSLTQTTVMETVTTVTTREQILVKHAQEELPPPPPQKKRQITVDSEIRKRLDVDITELHSWITRSEAVLQSPEFAIFRKEGNFSDLKEKVNAIEREKAEKFRKLQDASRSAQALVEQMVNEGVNADSIKQASEQLNSRWIEFCQLLSERLNWLEYQNNIIAFYNQLQQLEQMTTTAENWLKIQPTTPSEPTAIKSQLKICKDEVNRLSDLQPQIERLKIQSIALKEKGQGPMFLDADFVAFTNHFKQVFSDVQAREKELQTIFDTLPPMRYQETMSAIRTWVQQSETKLSIPQLSVTDYEIMEQRLGELQALQSSLQEQQSGLYYLSTTVKEMSKKAPSEISRKYQSEFEEIEGRWKKLSSQLVEHCQKLEEQMNKLRKIQNHIQTLKKWMAEVDVFLKEEWPALGDSEILKKQLKQCRLLVSDIQTIQPSLNSVNEGGQKIKNEAEPEFASRLETELKELNTQWDHMCQQVYARKEALKGGLEKTVSLQKDLSEMHEWMTQAEEEYLERDFEYKTPDELQKAVEEMKRAKEEAQQKEAKVKLLTESVNSVIAQAPPVAQEALKKELETLTTNYQWLCTRLNGKCKTLEEVWACWHELLSYLEKANKWLNEVEFKLKTTENIPGGAEEISEVLDSLENLMRHSEDNPNQIRILAQTLTDGGVMDELINEELETFNSRWRELHEEAVRRQKLLEQSIQSAQETEKSLHLIQESLTFIDKQLAAYIADKVDAAQMPQEAQKIQSDLTSHEISLEEMKKHNQGKEAAQRVLSQIDVAQKKLQDVSMKFRLFQKPANFEQRLQESKMILDEVKMHLPALETKSVEQEVVQSQLNHCVNLYKSLSEVKSEVEMVIKTGRQIVQKKQTENPKELDERVTALKLHYNELGAKVTERKQQLEKCLKLSRKMRKEMNVLTEWLAATDMELTKRSAVEGMPSNLDSEVAWGKATQKEIEKQKVHLKSITEVGEALKTVLGKKETLVEDKLSLLNSNWIAVTSRAEEWLNLLLEYQKHMETFDQNVDHITKWIIQADTLLDESEKKKPQQKEDVLKRLKAELNDIRPKVDSTRDQAANLMANRGDHCRKLVEPQISELNHRFAAISHRIKTGKASIPLKELEQFNSDIQKLLEPLEAEIQQGVNLKEEDFNKDMNEDNEGTVKELLQRGDNLQQRITDERKREEIKIKQQLLQTKHNALKDLRSQRRKKALEISHQWYQYKRQADDLLKCLDDIEKKLASLPEPRDERKIKEIDRELQKKKEELNAVRRQAEGLSEDGAAMAVEPTQIQLSKRWREIESKFAQFRRLNFAQIHTVREETMMVMTEDMPLEISYVPSTYLTEITHVSQALLEVEQLLNAPDLCAKDFEDLFKQEESLKNIKDSLQQSSGRIDIIHSKKTAALQSATPVERVKLQEALSQLDFQWEKVNKMYKDRQGRFDRSVEKWRRFHYDIKIFNQWLTEAEQFLRKTQIPENWEHAKYKWYLKELQDGIGQRQTVVRTLNATGEEIIQQSSKTDASILQEKLGSLNLRWQEVCKQLSDRKKRLEEQKNILSEFQRDLNEFVLWLEEADNIASIPLEPGKEQQLKEKLEQVKLLVEELPLRQGILKQLNETGGPVLVSAPISPEEQDKLENKLKQTNLQWIKVSRALPEKQGEIEAQIKDLGQLEKKLEDLEEQLNHLLLWLSPIRNQLEIYNQPNQEGPFDVKETEIAVQAKQPDVEEILSKGQHLYKEKPATQPVKRKLEDLSSEWKAVNRLLQELRAKQPDLAPGLTTIGASPTQTVTLVTQPVVTKETAISKLEMPSSLMLEVPALADFNRAWTELTDWLSLLDQVIKSQRVMVGDLEDINEMIIKQKATMQDLEQRRPQLEELITAAQNLKNKTSNQEARTIITDRIERIQNQWDEVQEHLQNRRQQLNEMLKDSTQWLEAKEEAEQVLGQARAKLESWKEGPYTVDAIQKKITETKQLAKDLRQWQTNVDVANDLALKLLRDYSADDTRKVHMITENINASWRSIHKRVSEREAALEETHRLLQQFPLDLEKFLAWLTEAETTANVLQDATRKERLLEDSKGVKELMKQWQDLQGEIEAHTDVYHNLDENSQKILRSLEGSDDAVLLQRRLDNMNFKWSELRKKSLNIRSHLEASSDQWKRLHLSLQELLVWLQLKDDELSRQAPIGGDFPAVQKQNDVHRAFKRELKTKEPVIMSTLETVRIFLTEQPLEGLEKLYQEPRELPPEERAQNVTRLLRKQAEEVNTEWEKLNLHSADWQRKIDETLERLQELQEATDELDLKLRQAEVIKGSWQPVGDLLIDSLQDHLEKVKALRGEIAPLKENVSHVNDLARQLTTLGIQLSPYNLSTLEDLNTRWKLLQVAVEDRVRQLHEAHRDFGPASQHFLSTSVQGPWERAISPNKVPYYINHETQTTCWDHPKMTELYQSLADLNNVRFSAYRTAMKLRRLQKALCLDLLSLSAACDALDQHNLKQNDQPMDILQIINCLTTIYDRLEQEHNNLVNVPLCVDMCLNWLLNVYDTGRTGRIRVLSFKTGIISLCKAHLEDKYRYLFKQVASSTGFCDQRRLGLLLHDSIQIPRQLGEVASFGGSNIEPSVRSCFQFANNKPEIEAALFLDWMRLEPQSMVWLPVLHRVAAAETAKHQAKCNICKECPIIGFRYRSLKHFNYDICQSCFFSGRVAKGHKMHYPMVEYCTPTTSGEDVRDFAKVLKNKFRTKRYFAKHPRMGYLPVQTVLEGDNMETPVTLINFWPVDSAPASSPQLSHDDTHSRIEHYASRLAEMENSNGSYLNDSISPNESIDDEHLLIQHYCQSLNQDSPLSQPRSPAQILISLESEERGELERILADLEEENRNLQAEYDRLKQQHEHKGLSPLPSPPEMMPTSPQSPRDAELIAEAKLLRQHKGRLEARMQILEDHNKQLESQLHRLRQLLEQPQAEAKVNGTTVSSPSTSLQRSDSSQPMLLRVVGSQTSDSMGEEDLLSPPQDTSTGLEEVMEQLNNSFPSSRGRNTPGKPMREDTM
+>DECOY_sp|P11532|DMD_HUMAN Dystrophin OS=Homo sapiens OX=9606 GN=DMD PE=1 SV=3
+MTDERMPKGPTNRGRSSPFSNNLQEMVEELGTSTDQPPSLLDEEGMSDSTQSGVVRLLMPQSSDSRQLSTSPSSVTTGNVKAEAQPQELLQRLRHLQSELQKNHDELIQMRAELRGKHQRLLKAEAILEADRPSQPSTPMMEPPSPLPSLGKHEHQQKLRDYEAQLNRNEEELDALIRELEGREESELSILIQAPSRPQSLPSDQNLSQCYHQILLHEDDISENPSISDNLYSGNSNEMEALRSAYHEIRSHTDDHSLQPSSAPASDVPWFNILTVPTEMNDGELVTQVPLYGMRPHKAFYRKTRFKNKLVKAFDRVDEGSTTPTCYEVMPYHMKHGKAVRGSFFCSQCIDYNFHKLSRYRFGIIPCEKCINCKAQHKATEAAAVRHLVPLWVMSQPELRMWDLFLAAEIEPKNNAFQFCSRVSPEINSGGFSAVEGLQRPIQISDHLLLGLRRQDCFGTSSAVQKFLYRYKDELHAKCLSIIGTKFSLVRIRGTRGTDYVNLLWNLCMDVCLPVNVLNNHEQELRDYITTLCNIIQLIDMPQDNQKLNHQDLADCAASLSLLDLCLAKQLRRLKMATRYASFRVNNLDALSQYLETMKPHDWCTTQTEHNIYYPVKNPSIAREWPGQVSTSLFHQSAPGFDRHAEHLQRVRDEVAVQLLKWRTNLDELTSLNYPSLQIGLTTLQRALDNVHSVNEKLPAIEGRLAKVKELHDQLSDILLDGVPQWSGKIVEAQRLKLDLEDTAEQLEQLRELTEDIKRQWDASHLNLKEWETNVEEAQKRLLRTVNQAREEPPLERPEQYLKELGELPQETLFIRVTELTSMIVPEKTKLERKFARHVDNQKQVAPFDGGIPAQRSLEDDKLQLWVLLEQLSLHLRKWQDSSAELHSRINLSKKRLESWKFNMNDLRRQLLVADDSGELSRLIKQSNEDLNHYVDTHAEIEGQLDQWQKMLEKVGKSDELLREKRTADQLVNATTEAETLWALFKELDLPFQQLLRHTEELAAERESVRKHISRWSANINETIMHVKRTDDASYDRLLKLALDNAVDVNTQWQRLDKALQKTETIKKQIADVTYPGEKWSELKARAQGLVQEAEEKAELWQTSDKLMENLQQRRNQLHEQVEDWQNQIREIRDTIITRAEQNSTKNKLNQAATILEELQPRRQELDQMTAKQKIIMENIDELDGVMVRQSKIVQDLLSLWDTLETWARNFDALAPVELMLSSPMELKSIATEKTVVPQTVLTVTQTPSAGITTLGPALDPQKARLEQLLRNVAKWESSLDELKRKVPQTAPKEKYLHQGKSLIEEVDPQKAQVAIETEKVDFPGEQNPQNYIELQNRIPSLWLLLHNLQEELDELKKELQGLDKIQAEIEGQKEPLARSVKIWQLNTQKLKNELKDQEEPSIPASVLVPGGTENLQKLIGQRLPLEEVLLKVQELKEKLQQEKGPELPISAINDAEELWLVFENLDRQFESLINKQEELRKKRDSLQKCVEQWRLNLSGLKEQLISADTKSSQQIIEEGTANLTRVVTQRQGIGDQLEKLYWKYKAHEWNEPIQTKRLFQEAETLWQNFIKIDYHFRRWKEVSRDFRGQRDKYMKNVKEWQFDLQSLAEQLKVREVPTASQLAATKKSHIIDIRGSSQQLSDKINKLSEEQKFLDEFDKACLDPANLLQEVELLAQSVHTIETLYTSPVYSIELPMDETMVMMTEERVTHIQAFNLRRFQAFKSEIERWRKSLQIQTPEVAMAAGDESLGEAQRRVANLEEKKKQLERDIEKIKREDRPEPLSALKKEIDDLCKLLDDAQRKYQYWQHSIELAKKRRQSRLDKLANHKTQLLQQKIKIEERKREDTIRQQLNDGRQLLEKVTGENDENMDKNFDEEKLNVGQQIEAELPELLKQIDSNFQELEKLPISAKGTKIRHSIAAFRHNLESIQPEVLKRCHDGRNAMLNAAQDRTSDVKPRIDNLEAKLRKLVDEKQQPKKKESEDLLTDAQIIWKTIHDVNQDFTEMHKQYELLLNLWEEARSTVAIWNSNLLSLKDEVLTEKKGLVTKLAEGVETISKLHVKQKEIEKQTAKGWAVESDLNSPMGEVASRKTLEMDTAALWETLVNMEKRMKRSLKLCKELQQKRETVKAGLENYHLKLATVREDLEKPNETQKKQVIQRGTKIVMEVESKVESLSKYLNVCHNLQSQVVEQEVSKTELAPLHMKVEDLIMKSEQLRQEFNAPKQFLRFKMSVDQLKKQAVDIQSLVRQAAEKGQNHKKMEELSIEHSTLDSQIKQAEQPMQAADVKDAIYAALQKDIFTLSEQILHLSKETEQASQISQELLKQRRVAEEHLERWRSNFTELEENILEDMVGGDTLTQALIRIQNPNDESHRMLNELSDLVESIEEAGGPINETTKLKFEVENLWKNAKELYSLLEHWCAWVEELTKCKGNLRTCLWQYNTTLTELEKKLAEQAVPPAQAIVSNVSETLLKVKAEKQQAEEKARKMEEVAKQLEDPTKYEFDRELYEEEAQTMWEHMESLDKQLSVTKELGGKLAEKRAYVQQCMHDWQTNLEKLETELRSAFEPEAENKIKQGGENVSNLSPQITQIDSVLLRCQKLQKKLIESDGLAPWEEKLFVDVEAMWKKLTQIHNQIKRLKNMQEELKQCHEVLQSSLKKWRGEIEEFESQYKRSIESPAKKSMEKVTTSLYYLGSQQEQLSSQLAQLEGLRQEMIEYDTVSLQPISLKTESQQVWTRIASMTEQYRMPPLTDFITQLEKERAQVDSFVQKFHNTFAVFDADLFMPGQGKEKLAISQIKLREIQPQLDSLRNVEDKCIKLQSKIATPESPTTPQIKLWNEATTTMQELQQLQNYFAIINNQYELWNLRESLLQCFEIWRSNLQESAQKISDANVGENVMQEVLAQASRSADQLKRFKEAKEREIANVKEKLDSFNGEKRFIAFEPSQLVAESRTIWSHLETIDVDLRKRIESDVTIQRKKQPPPPPLEEQAHKVLIQERTTVTTVTEMVTTQTLSPQTTTVAQSIQATSKELKQVLNDWCRAFNDLWAETKQTVSKNKLTSLLDQKLSYLKGMSQKKKELDAKLVALKQLSSLMENQDKFGTTHIKNVADEKESLWASFLCQEETLRQWKLLIDQLLVWRDETWRCINAWRDGLVKLQEELAATAHDGSSEDVVVVMHTLSNVRVQEQELDEQLVKHQQVQRKLDELDPGLPEEEMKRTREETKTLWDNLEKLKQNQLDMLVRHLNSQKEMSAVRLCEWRSNLLNMQEQVETEEDESLKGTGILKSGLQLINGVRGQHATLDMMYGEHTHFQDKVVEVDNSIEGQAQLTDEASLLWSLVEELATQYRDLNVESEMLSSGFSKDEPAELHQSPFPSRTPDSTTVYAAQTYAYSKFRPKPSSTREYGQALSVTIQQSYHMQHHLQFHEEKTVKPPRPLMEVEQIAEISVQQPLVQFLSTIYMLISKKDPYTTDVDEPDLLKEIGLQYRAINFAHELRQTASQQCVVSNWDFLDPRHSHILANLALGDSWSTTFNIVNVQPYNRTSQRVWSLLIKESNTQQLGAMINKMVNKVQWHLIINWILGLTLKHNGDVIDTSGINVLDVNNNQLVRLAKNVNNLAHVRTSGKEKPLKQGTLGELLDLLRRGDQLDSFLNEIHQKGFKSFQANVWKTFTKKQVDEREYCDEVEEWWLM
+>sp|Q13316|DMP1_HUMAN Dentin matrix acidic phosphoprotein 1 OS=Homo sapiens OX=9606 GN=DMP1 PE=1 SV=2
+MKISILLMFLWGLSCALPVTRYQNNESEDSEEWKGHLAQAPTPPLESSESSEGSKVSSEEQANEDPSDSTQSEEGLGSDDHQYIYRLAGGFSRSTGKGGDDKDDDEDDSGDDTFGDDDSGPGPKDRQEGGNSRLGSDEDSDDTIQASEESAPQGQDSAQDTTSESRELDNEDRVDSKPEGGDSTQESESEEHWVGGGSDGESSHGDGSELDDEGMQSDDPESIRSERGNSRMNSAGMKSKESGENSEQANTQDSGGSQLLEHPSRKIFRKSRISEEDDRSELDDNNTMEEVKSDSTENSNSRDTGLSQPRRDSKGDSQEDSKENLSQEESQNVDGPSSESSQEANLSSQENSSESQEEVVSESRGDNPDPTTSYVEDQEDSDSSEEDSSHTLSHSKSESREEQADSESSESLNFSEESPESPEDENSSSQEGLQSHSSSAESQSEESHSEEDDSDSQDSSRSKEDSNSTESKSSSEEDGQLKNIEIESRKLTVDAYHNKPIGDQDDNDCQDGY
+>DECOY_sp|Q13316|DMP1_HUMAN Dentin matrix acidic phosphoprotein 1 OS=Homo sapiens OX=9606 GN=DMP1 PE=1 SV=2
+YGDQCDNDDQDGIPKNHYADVTLKRSEIEINKLQGDEESSSKSETSNSDEKSRSSDQSDSDDEESHSEESQSEASSSHSQLGEQSSSNEDEPSEPSEESFNLSESSESDAQEERSESKSHSLTHSSDEESSDSDEQDEVYSTTPDPNDGRSESVVEEQSESSNEQSSLNAEQSSESSPGDVNQSEEQSLNEKSDEQSDGKSDRRPQSLGTDRSNSNETSDSKVEEMTNNDDLESRDDEESIRSKRFIKRSPHELLQSGGSDQTNAQESNEGSEKSKMGASNMRSNGRESRISEPDDSQMGEDDLESGDGHSSEGDSGGGVWHEESESEQTSDGGEPKSDVRDENDLERSESTTDQASDQGQPASEESAQITDDSDEDSGLRSNGGEQRDKPGPGSDDDGFTDDGSDDEDDDKDDGGKGTSRSFGGALRYIYQHDDSGLGEESQTSDSPDENAQEESSVKSGESSESSELPPTPAQALHGKWEESDESENNQYRTVPLACSLGWLFMLLISIKM
+>sp|Q09013|DMPK_HUMAN Myotonin-protein kinase OS=Homo sapiens OX=9606 GN=DMPK PE=1 SV=3
+MSAEVRLRRLQQLVLDPGFLGLEPLLDLLLGVHQELGASELAQDKYVADFLQWAEPIVVRLKEVRLQRDDFEILKVIGRGAFSEVAVVKMKQTGQVYAMKIMNKWDMLKRGEVSCFREERDVLVNGDRRWITQLHFAFQDENYLYLVMEYYVGGDLLTLLSKFGERIPAEMARFYLAEIVMAIDSVHRLGYVHRDIKPDNILLDRCGHIRLADFGSCLKLRADGTVRSLVAVGTPDYLSPEILQAVGGGPGTGSYGPECDWWALGVFAYEMFYGQTPFYADSTAETYGKIVHYKEHLSLPLVDEGVPEEARDFIQRLLCPPETRLGRGGAGDFRTHPFFFGLDWDGLRDSVPPFTPDFEGATDTCNFDLVEDGLTAMVSGGGETLSDIREGAPLGVHLPFVGYSYSCMALRDSEVPGPTPMELEAEQLLEPHVQAPSLEPSVSPQDETAEVAVPAAVPAAEAEAEVTLRELQEALEEEVLTRQSLSREMEAIRTDNQNFASQLREAEARNRDLEAHVRQLQERMELLQAEGATAVTGVPSPRATDPPSHLDGPPAVAVGQCPLVGPGPMHRRHLLLPARVPRPGLSEALSLLLFAVVLSRAAALGCIGLVAHAGQLTAVWRRPGAARAP
+>DECOY_sp|Q09013|DMPK_HUMAN Myotonin-protein kinase OS=Homo sapiens OX=9606 GN=DMPK PE=1 SV=3
+PARAAGPRRWVATLQGAHAVLGICGLAAARSLVVAFLLLSLAESLGPRPVRAPLLLHRRHMPGPGVLPCQGVAVAPPGDLHSPPDTARPSPVGTVATAGEAQLLEMREQLQRVHAELDRNRAEAERLQSAFNQNDTRIAEMERSLSQRTLVEEELAEQLERLTVEAEAEAAPVAAPVAVEATEDQPSVSPELSPAQVHPELLQEAELEMPTPGPVESDRLAMCSYSYGVFPLHVGLPAGERIDSLTEGGGSVMATLGDEVLDFNCTDTAGEFDPTFPPVSDRLGDWDLGFFFPHTRFDGAGGRGLRTEPPCLLRQIFDRAEEPVGEDVLPLSLHEKYHVIKGYTEATSDAYFPTQGYFMEYAFVGLAWWDCEPGYSGTGPGGGVAQLIEPSLYDPTGVAVLSRVTGDARLKLCSGFDALRIHGCRDLLINDPKIDRHVYGLRHVSDIAMVIEALYFRAMEAPIREGFKSLLTLLDGGVYYEMVLYLYNEDQFAFHLQTIWRRDGNVLVDREERFCSVEGRKLMDWKNMIKMAYVQGTQKMKVVAVESFAGRGIVKLIEFDDRQLRVEKLRVVIPEAWQLFDAVYKDQALESAGLEQHVGLLLDLLPELGLFGPDLVLQQLRRLRVEASM
+>sp|Q9Y5R6|DMRT1_HUMAN Doublesex- and mab-3-related transcription factor 1 OS=Homo sapiens OX=9606 GN=DMRT1 PE=1 SV=2
+MPNDEAFSKPSTPSEAPHAPGVPPQGRAGGFGKASGALVGAASGSSAGGSSRGGGSGSGASDLGAGSKKSPRLPKCARCRNHGYASPLKGHKRFCMWRDCQCKKCNLIAERQRVMAAQVALRRQQAQEEELGISHPIPLPSAAELLVKRENNGSNPCLMTECSGTSQPPPASVPTTAASEGRMVIQDIPAVTSRGHVENTPDLVSDSTYYSSFYQPSLFPYYNNLYNCPQYSMALAADSASGEVGNPLGGSPVKNSLRGLPGPYVPGQTGNQWQMKNMENRHAMSSQYRMHSYYPPPSYLGQSVPQFFTFEDAPSYPEARASVFSPPSSQDSGLVSLSSSSPISNKSTKAVLECEPASEPSSFTVTPVIEEDE
+>DECOY_sp|Q9Y5R6|DMRT1_HUMAN Doublesex- and mab-3-related transcription factor 1 OS=Homo sapiens OX=9606 GN=DMRT1 PE=1 SV=2
+EDEEIVPTVTFSSPESAPECELVAKTSKNSIPSSSSLSVLGSDQSSPPSFVSARAEPYSPADEFTFFQPVSQGLYSPPPYYSHMRYQSSMAHRNEMNKMQWQNGTQGPVYPGPLGRLSNKVPSGGLPNGVEGSASDAALAMSYQPCNYLNNYYPFLSPQYFSSYYTSDSVLDPTNEVHGRSTVAPIDQIVMRGESAATTPVSAPPPQSTGSCETMLCPNSGNNERKVLLEAASPLPIPHSIGLEEEQAQQRRLAVQAAMVRQREAILNCKKCQCDRWMCFRKHGKLPSAYGHNRCRACKPLRPSKKSGAGLDSAGSGSGGGRSSGGASSGSAAGVLAGSAKGFGGARGQPPVGPAHPAESPTSPKSFAEDNPM
+>sp|Q9NQL9|DMRT3_HUMAN Doublesex- and mab-3-related transcription factor 3 OS=Homo sapiens OX=9606 GN=DMRT3 PE=1 SV=1
+MNGYGSPYLYMGGPVSQPPRAPLQRTPKCARCRNHGVLSWLKGHKRYCRFKDCTCEKCILIIERQRVMAAQVALRRQQANESLESLIPDSLRALPGPPPPGDAVAAPQPPPASQPSQPQPPRPAAELAAAAALRWTAEPQPGALQAQLAKPDLTEERLGDGKSADNTEVFSDKDTDQRSSPDVAKSKGCFTPESPEIVSVEEGGYAVQKNGGNPESRPDSPKCHAEQNHLLIEGPSGTVSLPFSLKANRPPLEVLKKIFPNQKPTVLELILKGCGGDLVSAVEVLLSSRSSVTGAERTSAEPESLALPSNGHIFEHTLSSYPISSSKWSVGSAFRVPDTLRFSADSSNVVPSPLAGPLQPPFPQPPRYPLMLRNTLARSQSSPFLPNDVTLWNTMTLQQQYQLRSQYVSPFPSNSTSVFRSSPVLPARATEDPRISIPDDGCPFVSKQSIYTEDDYDERSDSSDSRTLNTSS
+>DECOY_sp|Q9NQL9|DMRT3_HUMAN Doublesex- and mab-3-related transcription factor 3 OS=Homo sapiens OX=9606 GN=DMRT3 PE=1 SV=1
+SSTNLTRSDSSDSREDYDDETYISQKSVFPCGDDPISIRPDETARAPLVPSSRFVSTSNSPFPSVYQSRLQYQQQLTMTNWLTVDNPLFPSSQSRALTNRLMLPYRPPQPFPPQLPGALPSPVVNSSDASFRLTDPVRFASGVSWKSSSIPYSSLTHEFIHGNSPLALSEPEASTREAGTVSSRSSLLVEVASVLDGGCGKLILELVTPKQNPFIKKLVELPPRNAKLSFPLSVTGSPGEILLHNQEAHCKPSDPRSEPNGGNKQVAYGGEEVSVIEPSEPTFCGKSKAVDPSSRQDTDKDSFVETNDASKGDGLREETLDPKALQAQLAGPQPEATWRLAAAAALEAAPRPPQPQSPQSAPPPQPAAVADGPPPPGPLARLSDPILSELSENAQQRRLAVQAAMVRQREIILICKECTCDKFRCYRKHGKLWSLVGHNRCRACKPTRQLPARPPQSVPGGMYLYPSGYGNM
+>sp|Q96SC8|DMTA2_HUMAN Doublesex- and mab-3-related transcription factor A2 OS=Homo sapiens OX=9606 GN=DMRTA2 PE=2 SV=2
+MELRSELPSVPGAATAAAATATGPPVASVASVAAAAAAAASLPVSVAGGLLRGPPLLLRAAEKYPRTPKCARCRNHGVVSALKGHKRYCRWKDCLCAKCTLIAERQRVMAAQVALRRQQAQEENEARELQLLYGTAEGLALAAANGIIPPRPAYEVFGSVCAADGGGPGAGAPAGTGGGAAGAGGSEAKLQKFDLFPKTLLQAGRPGSPLPPPVKPLSPDGADSGPGTSSPEVRPGSGSENGDGESFSGSPLARASKEAGGSCPGSAGPGGGGEEDSPGSASPLGSESGSEADKEEGEAAPAPGLGGGSGPRQRTPLDILTRVFPGHRRGVLELVLQGCGGDVVQAIEQVLNHHRGGLAAGLGPAAPPDKAAVGAAAAADDAWPSRVDAAAAAAAAAGGPGLPAPLQAGPAAPPHHRPLLAGAMAPGALGSLSSRSAFSPLQPNASHFGADAGAYPLGAPLGLSPLRLAYSAAAAHSRGLAFMAPYSTAGLVPTLGFRPPMDYAFSDLMRDRSAAAAAAVHKEPTYGGGLYGPMVNGAPEKQ
+>DECOY_sp|Q96SC8|DMTA2_HUMAN Doublesex- and mab-3-related transcription factor A2 OS=Homo sapiens OX=9606 GN=DMRTA2 PE=2 SV=2
+QKEPAGNVMPGYLGGGYTPEKHVAAAAAASRDRMLDSFAYDMPPRFGLTPVLGATSYPAMFALGRSHAAAASYALRLPSLGLPAGLPYAGADAGFHSANPQLPSFASRSSLSGLAGPAMAGALLPRHHPPAAPGAQLPAPLGPGGAAAAAAAAADVRSPWADDAAAAAGVAAKDPPAAPGLGAALGGRHHNLVQEIAQVVDGGCGQLVLELVGRRHGPFVRTLIDLPTRQRPGSGGGLGPAPAAEGEEKDAESGSESGLPSASGPSDEEGGGGPGASGPCSGGAEKSARALPSGSFSEGDGNESGSGPRVEPSSTGPGSDAGDPSLPKVPPPLPSGPRGAQLLTKPFLDFKQLKAESGGAGAAGGGTGAPAGAGPGGGDAACVSGFVEYAPRPPIIGNAAALALGEATGYLLQLERAENEEQAQQRRLAVQAAMVRQREAILTCKACLCDKWRCYRKHGKLASVVGHNRCRACKPTRPYKEAARLLLPPGRLLGGAVSVPLSAAAAAAAAVSAVSAVPPGTATAAAATAAGPVSPLESRLEM
+>sp|P25685|DNJB1_HUMAN DnaJ homolog subfamily B member 1 OS=Homo sapiens OX=9606 GN=DNAJB1 PE=1 SV=4
+MGKDYYQTLGLARGASDEEIKRAYRRQALRYHPDKNKEPGAEEKFKEIAEAYDVLSDPRKREIFDRYGEEGLKGSGPSGGSGGGANGTSFSYTFHGDPHAMFAEFFGGRNPFDTFFGQRNGEEGMDIDDPFSGFPMGMGGFTNVNFGRSRSAQEPARKKQDPPVTHDLRVSLEEIYSGCTKKMKISHKRLNPDGKSIRNEDKILTIEVKKGWKEGTKITFPKEGDQTSNNIPADIVFVLKDKPHNIFKRDGSDVIYPARISLREALCGCTVNVPTLDGRTIPVVFKDVIRPGMRRKVPGEGLPLPKTPEKRGDLIIEFEVIFPERIPQTSRTVLEQVLPI
+>DECOY_sp|P25685|DNJB1_HUMAN DnaJ homolog subfamily B member 1 OS=Homo sapiens OX=9606 GN=DNAJB1 PE=1 SV=4
+IPLVQELVTRSTQPIREPFIVEFEIILDGRKEPTKPLPLGEGPVKRRMGPRIVDKFVVPITRGDLTPVNVTCGCLAERLSIRAPYIVDSGDRKFINHPKDKLVFVIDAPINNSTQDGEKPFTIKTGEKWGKKVEITLIKDENRISKGDPNLRKHSIKMKKTCGSYIEELSVRLDHTVPPDQKKRAPEQASRSRGFNVNTFGGMGMPFGSFPDDIDMGEEGNRQGFFTDFPNRGGFFEAFMAHPDGHFTYSFSTGNAGGGSGGSPGSGKLGEEGYRDFIERKRPDSLVDYAEAIEKFKEEAGPEKNKDPHYRLAQRRYARKIEEDSAGRALGLTQYYDKGM
+>sp|Q8WWF6|DNJB3_HUMAN DnaJ homolog subfamily B member 3 OS=Homo sapiens OX=9606 GN=DNAJB3 PE=1 SV=1
+MVDYYEVLDVPRQASSEAIKKAYRKLALKWHPDKNPENKEEAERRFKQVAEAYEVLSDAKKRDIYDRYGEAGAEGGCTGGRPFEDPFEYVFSFRDPADVFREFFGGQDPFSFDLLGNPLENILGGSEELLGKQKQSVCTPFLCLQ
+>DECOY_sp|Q8WWF6|DNJB3_HUMAN DnaJ homolog subfamily B member 3 OS=Homo sapiens OX=9606 GN=DNAJB3 PE=1 SV=1
+QLCLFPTCVSQKQKGLLEESGGLINELPNGLLDFSFPDQGGFFERFVDAPDRFSFVYEFPDEFPRGGTCGGEAGAEGYRDYIDRKKADSLVEYAEAVQKFRREAEEKNEPNKDPHWKLALKRYAKKIAESSAQRPVDLVEYYDVM
+>sp|Q9UDY4|DNJB4_HUMAN DnaJ homolog subfamily B member 4 OS=Homo sapiens OX=9606 GN=DNAJB4 PE=1 SV=1
+MGKDYYCILGIEKGASDEDIKKAYRKQALKFHPDKNKSPQAEEKFKEVAEAYEVLSDPKKREIYDQFGEEGLKGGAGGTDGQGGTFRYTFHGDPHATFAAFFGGSNPFEIFFGRRMGGGRDSEEMEIDGDPFSAFGFSMNGYPRDRNSVGPSRLKQDPPVIHELRVSLEEIYSGCTKRMKISRKRLNADGRSYRSEDKILTIEIKKGWKEGTKITFPREGDETPNSIPADIVFIIKDKDHPKFKRDGSNIIYTAKISLREALCGCSINVPTLDGRNIPMSVNDIVKPGMRRRIIGYGLPFPKNPDQRGDLLIEFEVSFPDTISSSSKEVLRKHLPAS
+>DECOY_sp|Q9UDY4|DNJB4_HUMAN DnaJ homolog subfamily B member 4 OS=Homo sapiens OX=9606 GN=DNAJB4 PE=1 SV=1
+SAPLHKRLVEKSSSSITDPFSVEFEILLDGRQDPNKPFPLGYGIIRRRMGPKVIDNVSMPINRGDLTPVNISCGCLAERLSIKATYIINSGDRKFKPHDKDKIIFVIDAPISNPTEDGERPFTIKTGEKWGKKIEITLIKDESRYSRGDANLRKRSIKMRKTCGSYIEELSVRLEHIVPPDQKLRSPGVSNRDRPYGNMSFGFASFPDGDIEMEESDRGGGMRRGFFIEFPNSGGFFAAFTAHPDGHFTYRFTGGQGDTGGAGGKLGEEGFQDYIERKKPDSLVEYAEAVEKFKEEAQPSKNKDPHFKLAQKRYAKKIDEDSAGKEIGLICYYDKGM
+>sp|P18858|DNLI1_HUMAN DNA ligase 1 OS=Homo sapiens OX=9606 GN=LIG1 PE=1 SV=1
+MQRSIMSFFHPKKEGKAKKPEKEASNSSRETEPPPKAALKEWNGVVSESDSPVKRPGRKAARVLGSEGEEEDEALSPAKGQKPALDCSQVSPPRPATSPENNASLSDTSPMDSSPSGIPKRRTARKQLPKRTIQEVLEEQSEDEDREAKRKKEEEEEETPKESLTEAEVATEKEGEDGDQPTTPPKPLKTSKAETPTESVSEPEVATKQELQEEEEQTKPPRRAPKTLSSFFTPRKPAVKKEVKEEEPGAPGKEGAAEGPLDPSGYNPAKNNYHPVEDACWKPGQKVPYLAVARTFEKIEEVSARLRMVETLSNLLRSVVALSPPDLLPVLYLSLNHLGPPQQGLELGVGDGVLLKAVAQATGRQLESVRAEAAEKGDVGLVAENSRSTQRLMLPPPPLTASGVFSKFRDIARLTGSASTAKKIDIIKGLFVACRHSEARFIARSLSGRLRLGLAEQSVLAALSQAVSLTPPGQEFPPAMVDAGKGKTAEARKTWLEEQGMILKQTFCEVPDLDRIIPVLLEHGLERLPEHCKLSPGIPLKPMLAHPTRGISEVLKRFEEAAFTCEYKYDGQRAQIHALEGGEVKIFSRNQEDNTGKYPDIISRIPKIKLPSVTSFILDTEAVAWDREKKQIQPFQVLTTRKRKEVDASEIQVQVCLYAFDLIYLNGESLVREPLSRRRQLLRENFVETEGEFVFATSLDTKDIEQIAEFLEQSVKDSCEGLMVKTLDVDATYEIAKRSHNWLKLKKDYLDGVGDTLDLVVIGAYLGRGKRAGRYGGFLLASYDEDSEELQAICKLGTGFSDEELEEHHQSLKALVLPSPRPYVRIDGAVIPDHWLDPSAVWEVKCADLSLSPIYPAARGLVDSDKGISLRFPRFIRVREDKQPEQATTSAQVACLYRKQSQIQNQQGEDSGSDPEDTY
+>DECOY_sp|P18858|DNLI1_HUMAN DNA ligase 1 OS=Homo sapiens OX=9606 GN=LIG1 PE=1 SV=1
+YTDEPDSGSDEGQQNQIQSQKRYLCAVQASTTAQEPQKDERVRIFRPFRLSIGKDSDVLGRAAPYIPSLSLDACKVEWVASPDLWHDPIVAGDIRVYPRPSPLVLAKLSQHHEELEEDSFGTGLKCIAQLEESDEDYSALLFGGYRGARKGRGLYAGIVVLDLTDGVGDLYDKKLKLWNHSRKAIEYTADVDLTKVMLGECSDKVSQELFEAIQEIDKTDLSTAFVFEGETEVFNERLLQRRRSLPERVLSEGNLYILDFAYLCVQVQIESADVEKRKRTTLVQFPQIQKKERDWAVAETDLIFSTVSPLKIKPIRSIIDPYKGTNDEQNRSFIKVEGGELAHIQARQGDYKYECTFAAEEFRKLVESIGRTPHALMPKLPIGPSLKCHEPLRELGHELLVPIIRDLDPVECFTQKLIMGQEELWTKRAEATKGKGADVMAPPFEQGPPTLSVAQSLAALVSQEALGLRLRGSLSRAIFRAESHRCAVFLGKIIDIKKATSASGTLRAIDRFKSFVGSATLPPPPLMLRQTSRSNEAVLGVDGKEAAEARVSELQRGTAQAVAKLLVGDGVGLELGQQPPGLHNLSLYLVPLLDPPSLAVVSRLLNSLTEVMRLRASVEEIKEFTRAVALYPVKQGPKWCADEVPHYNNKAPNYGSPDLPGEAAGEKGPAGPEEEKVEKKVAPKRPTFFSSLTKPARRPPKTQEEEEQLEQKTAVEPESVSETPTEAKSTKLPKPPTTPQDGDEGEKETAVEAETLSEKPTEEEEEEKKRKAERDEDESQEELVEQITRKPLQKRATRRKPIGSPSSDMPSTDSLSANNEPSTAPRPPSVQSCDLAPKQGKAPSLAEDEEEGESGLVRAAKRGPRKVPSDSESVVGNWEKLAAKPPPETERSSNSAEKEPKKAKGEKKPHFFSMISRQM
+>sp|Q5SXM8|DNLZ_HUMAN DNL-type zinc finger protein OS=Homo sapiens OX=9606 GN=DNLZ PE=1 SV=1
+MLRTALRGAPRLLSRVQPRAPCLRRLWGRGARPEVAGRRRAWAWGWRRSSSEQGPGPAAALGRVEAAHYQLVYTCKVCGTRSSKRISKLAYHQGVVIVTCPGCQNHHIIADNLGWFSDLNGKRNIEEILTARGEQVHRVAGEGALELVLEAAGAPTSTAAPEAGEDEGPPSPGKTEPS
+>DECOY_sp|Q5SXM8|DNLZ_HUMAN DNL-type zinc finger protein OS=Homo sapiens OX=9606 GN=DNLZ PE=1 SV=1
+SPETKGPSPPGEDEGAEPAATSTPAGAAELVLELAGEGAVRHVQEGRATLIEEINRKGNLDSFWGLNDAIIHHNQCGPCTVIVVGQHYALKSIRKSSRTGCVKCTYVLQYHAAEVRGLAAAPGPGQESSSRRWGWAWARRRGAVEPRAGRGWLRRLCPARPQVRSLLRPAGRLATRLM
+>sp|Q9ULA0|DNPEP_HUMAN Aspartyl aminopeptidase OS=Homo sapiens OX=9606 GN=DNPEP PE=1 SV=1
+MQVAMNGKARKEAVQTAAKELLKFVNRSPSPFHAVAECRNRLLQAGFSELKETEKWNIKPESKYFMTRNSSTIIAFAVGGQYVPGNGFSLIGAHTDSPCLRVKRRSRRSQVGFQQVGVETYGGGIWSTWFDRDLTLAGRVIVKCPTSGRLEQQLVHVERPILRIPHLAIHLQRNINENFGPNTEMHLVPILATAIQEELEKGTPEPGPLNAVDERHHSVLMSLLCAHLGLSPKDIVEMELCLADTQPAVLGGAYDEFIFAPRLDNLHSCFCALQALIDSCAGPGSLATEPHVRMVTLYDNEEVGSESAQGAQSLLTELVLRRISASCQHPTAFEEAIPKSFMISADMAHAVHPNYLDKHEENHRPLFHKGPVIKVNSKQRYASNAVSEALIREVANKVKVPLQDLMVRNDTPCGTTIGPILASRLGLRVLDLGSPQLAMHSIREMACTTGVLQTLTLFKGFFELFPSLSHNLLVD
+>DECOY_sp|Q9ULA0|DNPEP_HUMAN Aspartyl aminopeptidase OS=Homo sapiens OX=9606 GN=DNPEP PE=1 SV=1
+DVLLNHSLSPFLEFFGKFLTLTQLVGTTCAMERISHMALQPSGLDLVRLGLRSALIPGITTGCPTDNRVMLDQLPVKVKNAVERILAESVANSAYRQKSNVKIVPGKHFLPRHNEEHKDLYNPHVAHAMDASIMFSKPIAEEFATPHQCSASIRRLVLETLLSQAGQASESGVEENDYLTVMRVHPETALSGPGACSDILAQLACFCSHLNDLRPAFIFEDYAGGLVAPQTDALCLEMEVIDKPSLGLHACLLSMLVSHHREDVANLPGPEPTGKELEEQIATALIPVLHMETNPGFNENINRQLHIALHPIRLIPREVHVLQQELRGSTPCKVIVRGALTLDRDFWTSWIGGGYTEVGVQQFGVQSRRSRRKVRLCPSDTHAGILSFGNGPVYQGGVAFAIITSSNRTMFYKSEPKINWKETEKLESFGAQLLRNRCEAVAHFPSPSRNVFKLLEKAATQVAEKRAKGNMAVQM
+>sp|Q92874|DNSL2_HUMAN Deoxyribonuclease-1-like 2 OS=Homo sapiens OX=9606 GN=DNASE1L2 PE=1 SV=1
+MGGPRALLAALWALEAAGTAALRIGAFNIQSFGDSKVSDPACGSIIAKILAGYDLALVQEVRDPDLSAVSALMEQINSVSEHEYSFVSSQPLGRDQYKEMYLFVYRKDAVSVVDTYLYPDPEDVFSREPFVVKFSAPGTGERAPPLPSRRALTPPPLPAAAQNLVLIPLHAAPHQAVAEIDALYDVYLDVIDKWGTDDMLFLGDFNADCSYVRAQDWAAIRLRSSEVFKWLIPDSADTTVGNSDCAYDRIVACGARLRRSLKPQSATVHDFQEEFGLDQTQALAISDHFPVEVTLKFHR
+>DECOY_sp|Q92874|DNSL2_HUMAN Deoxyribonuclease-1-like 2 OS=Homo sapiens OX=9606 GN=DNASE1L2 PE=1 SV=1
+RHFKLTVEVPFHDSIALAQTQDLGFEEQFDHVTASQPKLSRRLRAGCAVIRDYACDSNGVTTDASDPILWKFVESSRLRIAAWDQARVYSCDANFDGLFLMDDTGWKDIVDLYVDYLADIEAVAQHPAAHLPILVLNQAAAPLPPPTLARRSPLPPAREGTGPASFKVVFPERSFVDEPDPYLYTDVVSVADKRYVFLYMEKYQDRGLPQSSVFSYEHESVSNIQEMLASVASLDPDRVEQVLALDYGALIKAIISGCAPDSVKSDGFSQINFAGIRLAATGAAELAWLAALLARPGGM
+>sp|Q13609|DNSL3_HUMAN Deoxyribonuclease gamma OS=Homo sapiens OX=9606 GN=DNASE1L3 PE=1 SV=1
+MSRELAPLLLLLLSIHSALAMRICSFNVRSFGESKQEDKNAMDVIVKVIKRCDIILVMEIKDSNNRICPILMEKLNRNSRRGITYNYVISSRLGRNTYKEQYAFLYKEKLVSVKRSYHYHDYQDGDADVFSREPFVVWFQSPHTAVKDFVIIPLHTTPETSVKEIDELVEVYTDVKHRWKAENFIFMGDFNAGCSYVPKKAWKNIRLRTDPRFVWLIGDQEDTTVKKSTNCAYDRIVLRGQEIVSSVVPKSNSVFDFQKAYKLTEEEALDVSDHFPVEFKLQSSRAFTNSKKSVTLRKKTKSKRS
+>DECOY_sp|Q13609|DNSL3_HUMAN Deoxyribonuclease gamma OS=Homo sapiens OX=9606 GN=DNASE1L3 PE=1 SV=1
+SRKSKTKKRLTVSKKSNTFARSSQLKFEVPFHDSVDLAEEETLKYAKQFDFVSNSKPVVSSVIEQGRLVIRDYACNTSKKVTTDEQDGILWVFRPDTRLRINKWAKKPVYSCGANFDGMFIFNEAKWRHKVDTYVEVLEDIEKVSTEPTTHLPIIVFDKVATHPSQFWVVFPERSFVDADGDQYDHYHYSRKVSVLKEKYLFAYQEKYTNRGLRSSIVYNYTIGRRSNRNLKEMLIPCIRNNSDKIEMVLIIDCRKIVKVIVDMANKDEQKSEGFSRVNFSCIRMALASHISLLLLLLPALERSM
+>sp|Q14183|DOC2A_HUMAN Double C2-like domain-containing protein alpha OS=Homo sapiens OX=9606 GN=DOC2A PE=1 SV=5
+MRGRRGDRMTINIQEHMAINVCPGPIRPIRQISDYFPRGPGPEGGGGGGGEAPAHLVPLALAPPAALLGATTPEDGAEVDSYDSDDATALGTLEFDLLYDRASCTLHCSILRAKGLKPMDFNGLADPYVKLHLLPGACKANKLKTKTQRNTLNPVWNEDLTYSGITDDDITHKVLRIAVCDEDKLSHNEFIGEIRVPLRRLKPSQKKHFNICLERQVPLASPSSMSAALRGISCYLKELEQAEQGQGLLEERGRILLSLSYSSRRRGLLVGILRCAHLAAMDVNGYSDPYVKTYLRPDVDKKSKHKTCVKKKTLNPEFNEEFFYEIELSTLATKTLEVTVWDYDIGKSNDFIGGVSLGPGARGEARKHWSDCLQQPDAALERWHTLTSELPPAAGALSSA
+>DECOY_sp|Q14183|DOC2A_HUMAN Double C2-like domain-containing protein alpha OS=Homo sapiens OX=9606 GN=DOC2A PE=1 SV=5
+ASSLAGAAPPLESTLTHWRELAADPQQLCDSWHKRAEGRAGPGLSVGGIFDNSKGIDYDWVTVELTKTALTSLEIEYFFEENFEPNLTKKKVCTKHKSKKDVDPRLYTKVYPDSYGNVDMAALHACRLIGVLLGRRRSSYSLSLLIRGREELLGQGQEAQELEKLYCSIGRLAASMSSPSALPVQRELCINFHKKQSPKLRRLPVRIEGIFENHSLKDEDCVAIRLVKHTIDDDTIGSYTLDENWVPNLTNRQTKTKLKNAKCAGPLLHLKVYPDALGNFDMPKLGKARLISCHLTCSARDYLLDFELTGLATADDSDYSDVEAGDEPTTAGLLAAPPALALPVLHAPAEGGGGGGGEPGPGRPFYDSIQRIPRIPGPCVNIAMHEQINITMRDGRRGRM
+>sp|Q96HP0|DOCK6_HUMAN Dedicator of cytokinesis protein 6 OS=Homo sapiens OX=9606 GN=DOCK6 PE=1 SV=3
+MAASERRAFAHKINRTVAAEVRKQVSRERSGSPHSSRRCSSSLGVPLTEVVEPLDFEDVLLSRPPDAEPGPLRDLVEFPADDLELLLQPRECRTTEPGIPKDEKLDAQVRAAVEMYIEDWVIVHRRYQYLSAAYSPVTTDTQRERQKGLPRQVFEQDASGDERSGPEDSNDSRRGSGSPEDTPRSSGASSIFDLRNLAADSLLPSLLERAAPEDVDRRNETLRRQHRPPALLTLYPAPDEDEAVERCSRPEPPREHFGQRILVKCLSLKFEIEIEPIFGILALYDVREKKKISENFYFDLNSDSMKGLLRAHGTHPAISTLARSAIFSVTYPSPDIFLVIKLEKVLQQGDISECCEPYMVLKEVDTAKNKEKLEKLRLAAEQFCTRLGRYRMPFAWTAVHLANIVSSAGQLDRDSDSEGERRPAWTDRRRRGPQDRASSGDDACSFSGFRPATLTVTNFFKQEAERLSDEDLFKFLADMRRPSSLLRRLRPVTAQLKIDISPAPENPHFCLSPELLHIKPYPDPRGRPTKEILEFPAREVYAPHTSYRNLLYVYPHSLNFSSRQGSVRNLAVRVQYMTGEDPSQALPVIFGKSSCSEFTREAFTPVVYHNKSPEFYEEFKLHLPACVTENHHLLFTFYHVSCQPRPGTALETPVGFTWIPLLQHGRLRTGPFCLPVSVDQPPPSYSVLTPDVALPGMRWVDGHKGVFSVELTAVSSVHPQDPYLDKFFTLVHVLEEGAFPFRLKDTVLSEGNVEQELRASLAALRLASPEPLVAFSHHVLDKLVRLVIRPPIISGQIVNLGRGAFEAMAHVVSLVHRSLEAAQDARGHCPQLAAYVHYAFRLPGTEPSLPDGAPPVTVQAATLARGSGRPASLYLARSKSISSSNPDLAVAPGSVDDEVSRILASKLLHEELALQWVVSSSAVREAILQHAWFFFQLMVKSMALHLLLGQRLDTPRKLRFPGRFLDDITALVGSVGLEVITRVHKDVELAEHLNASLAFFLSDLLSLVDRGFVFSLVRAHYKQVATRLQSSPNPAALLTLRMEFTRILCSHEHYVTLNLPCCPLSPPASPSPSVSSTTSQSSTFSSQAPDPKVTSMFELSGPFRQQHFLAGLLLTELALALEPEAEGAFLLHKKAISAVHSLLCGHDTDPRYAEATVKARVAELYLPLLSIARDTLPRLHDFAEGPGQRSRLASMLDSDTEGEGDIAGTINPSVAMAIAGGPLAPGSRASISQGPPTASRAGCALSAESSRTLLACVLWVLKNTEPALLQRWATDLTLPQLGRLLDLLYLCLAAFEYKGKKAFERINSLTFKKSLDMKARLEEAILGTIGARQEMVRRSRERSPFGNPENVRWRKSVTHWKQTSDRVDKTKDEMEHEALVEGNLATEASLVVLDTLEIIVQTVMLSEARESVLGAVLKVVLYSLGSAQSALFLQHGLATQRALVSKFPELLFEEDTELCADLCLRLLRHCGSRISTIRTHASASLYLLMRQNFEIGHNFARVKMQVTMSLSSLVGTTQNFSEEHLRRSLKTILTYAEEDMGLRDSTFAEQVQDLMFNLHMILTDTVKMKEHQEDPEMLIDLMYRIARGYQGSPDLRLTWLQNMAGKHAELGNHAEAAQCMVHAAALVAEYLALLEDHRHLPVGCVSFQNISSNVLEESAISDDILSPDEEGFCSGKHFTELGLVGLLEQAAGYFTMGGLYEAVNEVYKNLIPILEAHRDYKKLAAVHGKLQEAFTKIMHQSSGWERVFGTYFRVGFYGAHFGDLDEQEFVYKEPSITKLAEISHRLEEFYTERFGDDVVEIIKDSNPVDKSKLDSQKAYIQITYVEPYFDTYELKDRVTYFDRNYGLRTFLFCTPFTPDGRAHGELPEQHKRKTLLSTDHAFPYIKTRIRVCHREETVLTPVEVAIEDMQKKTRELAFATEQDPPDAKMLQMVLQGSVGPTVNQGPLEVAQVFLAEIPEDPKLFRHHNKLRLCFKDFCKKCEDALRKNKALIGPDQKEYHRELERNYCRLREALQPLLTQRLPQLMAPTPPGLRNSLNRASFRKADL
+>DECOY_sp|Q96HP0|DOCK6_HUMAN Dedicator of cytokinesis protein 6 OS=Homo sapiens OX=9606 GN=DOCK6 PE=1 SV=3
+LDAKRFSARNLSNRLGPPTPAMLQPLRQTLLPQLAERLRCYNRELERHYEKQDPGILAKNKRLADECKKCFDKFCLRLKNHHRFLKPDEPIEALFVQAVELPGQNVTPGVSGQLVMQLMKADPPDQETAFALERTKKQMDEIAVEVPTLVTEERHCVRIRTKIYPFAHDTSLLTKRKHQEPLEGHARGDPTFPTCFLFTRLGYNRDFYTVRDKLEYTDFYPEVYTIQIYAKQSDLKSKDVPNSDKIIEVVDDGFRETYFEELRHSIEALKTISPEKYVFEQEDLDGFHAGYFGVRFYTGFVREWGSSQHMIKTFAEQLKGHVAALKKYDRHAELIPILNKYVENVAEYLGGMTFYGAAQELLGVLGLETFHKGSCFGEEDPSLIDDSIASEELVNSSINQFSVCGVPLHRHDELLALYEAVLAAAHVMCQAAEAHNGLEAHKGAMNQLWTLRLDPSGQYGRAIRYMLDILMEPDEQHEKMKVTDTLIMHLNFMLDQVQEAFTSDRLGMDEEAYTLITKLSRRLHEESFNQTTGVLSSLSMTVQMKVRAFNHGIEFNQRMLLYLSASAHTRITSIRSGCHRLLRLCLDACLETDEEFLLEPFKSVLARQTALGHQLFLASQASGLSYLVVKLVAGLVSERAESLMVTQVIIELTDLVVLSAETALNGEVLAEHEMEDKTKDVRDSTQKWHTVSKRWRVNEPNGFPSRERSRRVMEQRAGITGLIAEELRAKMDLSKKFTLSNIREFAKKGKYEFAALCLYLLDLLRGLQPLTLDTAWRQLLAPETNKLVWLVCALLTRSSEASLACGARSATPPGQSISARSGPALPGGAIAMAVSPNITGAIDGEGETDSDLMSALRSRQGPGEAFDHLRPLTDRAISLLPLYLEAVRAKVTAEAYRPDTDHGCLLSHVASIAKKHLLFAGEAEPELALALETLLLGALFHQQRFPGSLEFMSTVKPDPAQSSFTSSQSTTSSVSPSPSAPPSLPCCPLNLTVYHEHSCLIRTFEMRLTLLAAPNPSSQLRTAVQKYHARVLSFVFGRDVLSLLDSLFFALSANLHEALEVDKHVRTIVELGVSGVLATIDDLFRGPFRLKRPTDLRQGLLLHLAMSKVMLQFFFWAHQLIAERVASSSVVWQLALEEHLLKSALIRSVEDDVSGPAVALDPNSSSISKSRALYLSAPRGSGRALTAAQVTVPPAGDPLSPETGPLRFAYHVYAALQPCHGRADQAAELSRHVLSVVHAMAEFAGRGLNVIQGSIIPPRIVLRVLKDLVHHSFAVLPEPSALRLAALSARLEQEVNGESLVTDKLRFPFAGEELVHVLTFFKDLYPDQPHVSSVATLEVSFVGKHGDVWRMGPLAVDPTLVSYSPPPQDVSVPLCFPGTRLRGHQLLPIWTFGVPTELATGPRPQCSVHYFTFLLHHNETVCAPLHLKFEEYFEPSKNHYVVPTFAERTFESCSSKGFIVPLAQSPDEGTMYQVRVALNRVSGQRSSFNLSHPYVYLLNRYSTHPAYVERAPFELIEKTPRGRPDPYPKIHLLEPSLCFHPNEPAPSIDIKLQATVPRLRRLLSSPRRMDALFKFLDEDSLREAEQKFFNTVTLTAPRFGSFSCADDGSSARDQPGRRRRDTWAPRREGESDSDRDLQGASSVINALHVATWAFPMRYRGLRTCFQEAALRLKELKEKNKATDVEKLVMYPECCESIDGQQLVKELKIVLFIDPSPYTVSFIASRALTSIAPHTGHARLLGKMSDSNLDFYFNESIKKKERVDYLALIGFIPEIEIEFKLSLCKVLIRQGFHERPPEPRSCREVAEDEDPAPYLTLLAPPRHQRRLTENRRDVDEPAARELLSPLLSDAALNRLDFISSAGSSRPTDEPSGSGRRSDNSDEPGSREDGSADQEFVQRPLGKQRERQTDTTVPSYAASLYQYRRHVIVWDEIYMEVAARVQADLKEDKPIGPETTRCERPQLLLELDDAPFEVLDRLPGPEADPPRSLLVDEFDLPEVVETLPVGLSSSCRRSSHPSGSRERSVQKRVEAAVTRNIKHAFARRESAAM
+>sp|Q9BU89|DOHH_HUMAN Deoxyhypusine hydroxylase OS=Homo sapiens OX=9606 GN=DOHH PE=1 SV=1
+MVTEQEVDAIGQTLVDPKQPLQARFRALFTLRGLGGPGAIAWISQAFDDDSALLKHELAYCLGQMQDARAIPMLVDVLQDTRQEPMVRHEAGEALGAIGDPEVLEILKQYSSDPVIEVAETCQLAVRRLEWLQQHGGEPAAGPYLSVDPAPPAEERDVGRLREALLDESRPLFERYRAMFALRNAGGEEAALALAEGLHCGSALFRHEVGYVLGQLQHEAAVPQLAAALARCTENPMVRHECAEALGAIARPACLAALQAHADDPERVVRESCEVALDMYEHETGRAFQYADGLEQLRGAPS
+>DECOY_sp|Q9BU89|DOHH_HUMAN Deoxyhypusine hydroxylase OS=Homo sapiens OX=9606 GN=DOHH PE=1 SV=1
+SPAGRLQELGDAYQFARGTEHEYMDLAVECSERVVREPDDAHAQLAALCAPRAIAGLAEACEHRVMPNETCRALAAALQPVAAEHQLQGLVYGVEHRFLASGCHLGEALALAAEEGGANRLAFMARYREFLPRSEDLLAERLRGVDREEAPPAPDVSLYPGAAPEGGHQQLWELRRVALQCTEAVEIVPDSSYQKLIELVEPDGIAGLAEGAEHRVMPEQRTDQLVDVLMPIARADQMQGLCYALEHKLLASDDDFAQSIWAIAGPGGLGRLTFLARFRAQLPQKPDVLTQGIADVEQETVM
+>sp|Q8TEW6|DOK4_HUMAN Docking protein 4 OS=Homo sapiens OX=9606 GN=DOK4 PE=1 SV=2
+MATNFSDIVKQGYVKMKSRKLGIYRRCWLVFRKSSSKGPQRLEKYPDEKSVCLRGCPKVTEISNVKCVTRLPKETKRQAVAIIFTDDSARTFTCDSELEAEEWYKTLSVECLGSRLNDISLGEPDLLAPGVQCEQTDRFNVFLLPCPNLDVYGECKLQITHENIYLWDIHNPRVKLVSWPLCSLRRYGRDATRFTFEAGRMCDAGEGLYTFQTQEGEQIYQRVHSATLAIAEQHKRVLLEMEKNVRLLNKGTEHYSYPCTPTTMLPRSAYWHHITGSQNIAEASSYAGEGYGAAQASSETDLLNRFILLKPKPSQGDSSEAKTPSQ
+>DECOY_sp|Q8TEW6|DOK4_HUMAN Docking protein 4 OS=Homo sapiens OX=9606 GN=DOK4 PE=1 SV=2
+QSPTKAESSDGQSPKPKLLIFRNLLDTESSAQAAGYGEGAYSSAEAINQSGTIHHWYASRPLMTTPTCPYSYHETGKNLLRVNKEMELLVRKHQEAIALTASHVRQYIQEGEQTQFTYLGEGADCMRGAEFTFRTADRGYRRLSCLPWSVLKVRPNHIDWLYINEHTIQLKCEGYVDLNPCPLLFVNFRDTQECQVGPALLDPEGLSIDNLRSGLCEVSLTKYWEEAELESDCTFTRASDDTFIIAVAQRKTEKPLRTVCKVNSIETVKPCGRLCVSKEDPYKELRQPGKSSSKRFVLWCRRYIGLKRSKMKVYGQKVIDSFNTAM
+>sp|Q6PKX4|DOK6_HUMAN Docking protein 6 OS=Homo sapiens OX=9606 GN=DOK6 PE=1 SV=1
+MASNFNDIVKQGYVKIRSRKLGIFRRCWLVFKKASSKGPRRLEKFPDEKAAYFRNFHKVTELHNIKNITRLPRETKKHAVAIIFHDETSKTFACESELEAEEWCKHLCMECLGTRLNDISLGEPDLLAAGVQREQNERFNVYLMPTPNLDIYGECTMQITHENIYLWDIHNAKVKLVMWPLSSLRRYGRDSTWFTFESGRMCDTGEGLFTFQTREGEMIYQKVHSATLAIAEQHERLMLEMEQKARLQTSLTEPMTLSKSISLPRSAYWHHITRQNSVGEIYSLQGHGFGSSKMSRAQTFPSYAPEQSEEAQQPLSRSSSYGFSYSSSLIQ
+>DECOY_sp|Q6PKX4|DOK6_HUMAN Docking protein 6 OS=Homo sapiens OX=9606 GN=DOK6 PE=1 SV=1
+QILSSSYSFGYSSSRSLPQQAEESQEPAYSPFTQARSMKSSGFGHGQLSYIEGVSNQRTIHHWYASRPLSISKSLTMPETLSTQLRAKQEMELMLREHQEAIALTASHVKQYIMEGERTQFTFLGEGTDCMRGSEFTFWTSDRGYRRLSSLPWMVLKVKANHIDWLYINEHTIQMTCEGYIDLNPTPMLYVNFRENQERQVGAALLDPEGLSIDNLRTGLCEMCLHKCWEEAELESECAFTKSTEDHFIIAVAHKKTERPLRTINKINHLETVKHFNRFYAAKEDPFKELRRPGKSSAKKFVLWCRRFIGLKRSRIKVYGQKVIDNFNSAM
+>sp|Q18PE1|DOK7_HUMAN Protein Dok-7 OS=Homo sapiens OX=9606 GN=DOK7 PE=1 SV=1
+MTEAALVEGQVKLRDGKKWKSRWLVLRKPSPVADCLLMLVYKDKSERIKGLRERSSLTLEDICGLEPGLPYEGLVHTLAIVCLSQAIMLGFDSHEAMCAWDARIRYALGEVHRFHVTVAPGTKLESGPATLHLCNDVLVLARDIPPAVTGQWKLSDLRRYGAVPSGFIFEGGTRCGYWAGVFFLSSAEGEQISFLFDCIVRGISPTKGPFGLRPVLPDPSPPGPSTVEERVAQEALETLQLEKRLSLLSHAGRPGSGGDDRSLSSSSSEASHLDVSASSRLTAWPEQSSSSASTSQEGPRPAAAQAAGEAMVGASRPPPKPLRPRQLQEVGRQSSSDSGIATGSHSSYSSSLSSYAGSSLDVWRATDELGSLLSLPAAGAPEPSLCTCLPGTVEYQVPTSLRAHYDTPRSLCLAPRDHSPPSQGSPGNSAARDSGGQTSAGCPSGWLGTRRRGLVMEAPQGSEATLPGPAPGEPWEAGGPHAGPPPAFFSACPVCGGLKVNPPP
+>DECOY_sp|Q18PE1|DOK7_HUMAN Protein Dok-7 OS=Homo sapiens OX=9606 GN=DOK7 PE=1 SV=1
+PPPNVKLGGCVPCASFFAPPPGAHPGGAEWPEGPAPGPLTAESGQPAEMVLGRRRTGLWGSPCGASTQGGSDRAASNGPSGQSPPSHDRPALCLSRPTDYHARLSTPVQYEVTGPLCTCLSPEPAGAAPLSLLSGLEDTARWVDLSSGAYSSLSSSYSSHSGTAIGSDSSSQRGVEQLQRPRLPKPPPRSAGVMAEGAAQAAAPRPGEQSTSASSSSQEPWATLRSSASVDLHSAESSSSSLSRDDGGSGPRGAHSLLSLRKELQLTELAEQAVREEVTSPGPPSPDPLVPRLGFPGKTPSIGRVICDFLFSIQEGEASSLFFVGAWYGCRTGGEFIFGSPVAGYRRLDSLKWQGTVAPPIDRALVLVDNCLHLTAPGSELKTGPAVTVHFRHVEGLAYRIRADWACMAEHSDFGLMIAQSLCVIALTHVLGEYPLGPELGCIDELTLSSRERLGKIRESKDKYVLMLLCDAVPSPKRLVLWRSKWKKGDRLKVQGEVLAAETM
+>sp|P30046|DOPD_HUMAN D-dopachrome decarboxylase OS=Homo sapiens OX=9606 GN=DDT PE=1 SV=3
+MPFLELDTNLPANRVPAGLEKRLCAAAASILGKPADRVNVTVRPGLAMALSGSTEPCAQLSISSIGVVGTAEDNRSHSAHFFEFLTKELALGQDRILIRFFPLESWQIGKIGTVMTFL
+>DECOY_sp|P30046|DOPD_HUMAN D-dopachrome decarboxylase OS=Homo sapiens OX=9606 GN=DDT PE=1 SV=3
+LFTMVTGIKGIQWSELPFFRILIRDQGLALEKTLFEFFHASHSRNDEATGVVGISSISLQACPETSGSLAMALGPRVTVNVRDAPKGLISAAAACLRKELGAPVRNAPLNTDLELFPM
+>sp|Q9BVM2|DPCD_HUMAN Protein DPCD OS=Homo sapiens OX=9606 GN=DPCD PE=1 SV=2
+MAVTGWLESLRTAQKTALLQDGRRKVHYLFPDGKEMAEEYDEKTSELLVRKWRVKSALGAMGQWQLEVGDPAPLGAGNLGPELIKESNANPIFMRKDTKMSFQWRIRNLPYPKDVYSVSVDQKERCIIVRTTNKKYYKKFSIPDLDRHQLPLDDALLSFAHANCTLIISYQKPKEVVVAESELQKELKKVKTAHSNDGDCKTQ
+>DECOY_sp|Q9BVM2|DPCD_HUMAN Protein DPCD OS=Homo sapiens OX=9606 GN=DPCD PE=1 SV=2
+QTKCDGDNSHATKVKKLEKQLESEAVVVEKPKQYSIILTCNAHAFSLLADDLPLQHRDLDPISFKKYYKKNTTRVIICREKQDVSVSYVDKPYPLNRIRWQFSMKTDKRMFIPNANSEKILEPGLNGAGLPAPDGVELQWQGMAGLASKVRWKRVLLESTKEDYEEAMEKGDPFLYHVKRRGDQLLATKQATRLSELWGTVAM
+>sp|Q3MIW9|DPCR1_HUMAN Diffuse panbronchiolitis critical region protein 1 OS=Homo sapiens OX=9606 GN=DPCR1 PE=2 SV=2
+MAQPVHSLCSAFGLQCCLLFLLASWGAGATTFQEYQKTGELSTSDHIFPLTPGLVYSIPFDHIVLHSGQRPPELPKSTEIHEQKRHCNTTRHSKPTDKPTGNSKTIDHKSSTDNHEAPPTSEENSSNQGKDPMIRNQRSVDPADSTTTHKESAGKKHITPAPKSKINCRKSTTGKSTVTRKSDKTGRPLEKSMSTLDKTSTSSHKTTTSFHNSGNSQTKQKSTSFPEKITAASKTTYKTTGTPEESEKTEDSRTTVASDKLLTKTTKNIQETISANELTQSLAEPTEHGGRTANENNTPSPAEPTENRERTANENKKTICTKGKNTPVPEKPTENLGNTTLTTETIKAPVKSTENPEKTAAVTKTIKPSVKVTGDKSLTTTSSHLNKTEVTHQVPTGSFTLITSRTKLSSITSEATGNESHPYLNKDGSQKGIHAGQMGENDSFPAWAIVIVVLVAVILLLVFLGLIFLVSYMMRTRRTLTQNTQYNDAEDEGGPNSYPVYLMEQQNLGMGQIPSPR
+>DECOY_sp|Q3MIW9|DPCR1_HUMAN Diffuse panbronchiolitis critical region protein 1 OS=Homo sapiens OX=9606 GN=DPCR1 PE=2 SV=2
+RPSPIQGMGLNQQEMLYVPYSNPGGEDEADNYQTNQTLTRRTRMMYSVLFILGLFVLLLIVAVLVVIVIAWAPFSDNEGMQGAHIGKQSGDKNLYPHSENGTAESTISSLKTRSTILTFSGTPVQHTVETKNLHSSTTTLSKDGTVKVSPKITKTVAATKEPNETSKVPAKITETTLTTNGLNETPKEPVPTNKGKTCITKKNENATRERNETPEAPSPTNNENATRGGHETPEALSQTLENASITEQINKTTKTLLKDSAVTTRSDETKESEEPTGTTKYTTKSAATIKEPFSTSKQKTQSNGSNHFSTTTKHSSTSTKDLTSMSKELPRGTKDSKRTVTSKGTTSKRCNIKSKPAPTIHKKGASEKHTTTSDAPDVSRQNRIMPDKGQNSSNEESTPPAEHNDTSSKHDITKSNGTPKDTPKSHRTTNCHRKQEHIETSKPLEPPRQGSHLVIHDFPISYVLGPTLPFIHDSTSLEGTKQYEQFTTAGAGWSALLFLLCCQLGFASCLSHVPQAM
+>sp|P16444|DPEP1_HUMAN Dipeptidase 1 OS=Homo sapiens OX=9606 GN=DPEP1 PE=1 SV=3
+MWSGWWLWPLVAVCTADFFRDEAERIMRDSPVIDGHNDLPWQLLDMFNNRLQDERANLTTLAGTHTNIPKLRAGFVGGQFWSVYTPCDTQNKDAVRRTLEQMDVVHRMCRMYPETFLYVTSSAGIRQAFREGKVASLIGVEGGHSIDSSLGVLRALYQLGMRYLTLTHSCNTPWADNWLVDTGDSEPQSQGLSPFGQRVVKELNRLGVLIDLAHVSVATMKATLQLSRAPVIFSHSSAYSVCASRRNVPDDVLRLVKQTDSLVMVNFYNNYISCTNKANLSQVADHLDHIKEVAGARAVGFGGDFDGVPRVPEGLEDVSKYPDLIAELLRRNWTEAEVKGALADNLLRVFEAVEQASNLTQAPEEEPIPLDQLGGSCRTHYGYSSGASSLHRHWGLLLASLAPLVLCLSLL
+>DECOY_sp|P16444|DPEP1_HUMAN Dipeptidase 1 OS=Homo sapiens OX=9606 GN=DPEP1 PE=1 SV=3
+LLSLCLVLPALSALLLGWHRHLSSAGSSYGYHTRCSGGLQDLPIPEEEPAQTLNSAQEVAEFVRLLNDALAGKVEAETWNRRLLEAILDPYKSVDELGEPVRPVGDFDGGFGVARAGAVEKIHDLHDAVQSLNAKNTCSIYNNYFNVMVLSDTQKVLRLVDDPVNRRSACVSYASSHSFIVPARSLQLTAKMTAVSVHALDILVGLRNLEKVVRQGFPSLGQSQPESDGTDVLWNDAWPTNCSHTLTLYRMGLQYLARLVGLSSDISHGGEVGILSAVKGERFAQRIGASSTVYLFTEPYMRCMRHVVDMQELTRRVADKNQTDCPTYVSWFQGGVFGARLKPINTHTGALTTLNAREDQLRNNFMDLLQWPLDNHGDIVPSDRMIREAEDRFFDATCVAVLPWLWWGSWM
+>sp|Q9H4B8|DPEP3_HUMAN Dipeptidase 3 OS=Homo sapiens OX=9606 GN=DPEP3 PE=2 SV=2
+MQPTGREGSRALSRRYLRRLLLLLLLLLLRQPVTRAETTPGAPRALSTLGSPSLFTTPGVPSALTTPGLTTPGTPKTLDLRGRAQALMRSFPLVDGHNDLPQVLRQRYKNVLQDVNLRNFSHGQTSLDRLRDGLVGAQFWSASVSCQSQDQTAVRLALEQIDLIHRMCASYSELELVTSAEGLNSSQKLACLIGVEGGHSLDSSLSVLRSFYVLGVRYLTLTFTCSTPWAESSTKFRHHMYTNVSGLTSFGEKVVEELNRLGMMIDLSYASDTLIRRVLEVSQAPVIFSHSAARAVCDNLLNVPDDILQLLKKNGGIVMVTLSMGVLQCNLLANVSTVADHFDHIRAVIGSEFIGIGGNYDGTGRFPQGLEDVSTYPVLIEELLSRSWSEEELQGVLRGNLLRVFRQVEKVREESRAQSPVEAEFPYGQLSTSCHSHLVPQNGHQATHLEVTKQPTNRVPWRSSNASPYLVPGLVAAATIPTFTQWLC
+>DECOY_sp|Q9H4B8|DPEP3_HUMAN Dipeptidase 3 OS=Homo sapiens OX=9606 GN=DPEP3 PE=2 SV=2
+CLWQTFTPITAAAVLGPVLYPSANSSRWPVRNTPQKTVELHTAQHGNQPVLHSHCSTSLQGYPFEAEVPSQARSEERVKEVQRFVRLLNGRLVGQLEEESWSRSLLEEILVPYTSVDELGQPFRGTGDYNGGIGIFESGIVARIHDFHDAVTSVNALLNCQLVGMSLTVMVIGGNKKLLQLIDDPVNLLNDCVARAASHSFIVPAQSVELVRRILTDSAYSLDIMMGLRNLEEVVKEGFSTLGSVNTYMHHRFKTSSEAWPTSCTFTLTLYRVGLVYFSRLVSLSSDLSHGGEVGILCALKQSSNLGEASTVLELESYSACMRHILDIQELALRVATQDQSQCSVSASWFQAGVLGDRLRDLSTQGHSFNRLNVDQLVNKYRQRLVQPLDNHGDVLPFSRMLAQARGRLDLTKPTGPTTLGPTTLASPVGPTTFLSPSGLTSLARPAGPTTEARTVPQRLLLLLLLLLLRRLYRRSLARSGERGTPQM
+>sp|Q92784|DPF3_HUMAN Zinc finger protein DPF3 OS=Homo sapiens OX=9606 GN=DPF3 PE=1 SV=3
+MATVIHNPLKALGDQFYKEAIEHCRSYNSRLCAERSVRLPFLDSQTGVAQNNCYIWMEKRHRGPGLAPGQLYTYPARCWRKKRRLHPPEDPKLRLLEIKPEVELPLKKDGFTSESTTLEALLRGEGVEKKVDAREEESIQEIQRVLENDENVEEGNEEEDLEEDIPKRKNRTRGRARGSAGGRRRHDAASQEDHDKPYVCDICGKRYKNRPGLSYHYAHTHLASEEGDEAQDQETRSPPNHRNENHRPQKGPDGTVIPNNYCDFCLGGSNMNKKSGRPEELVSCADCGRSGHPTCLQFTLNMTEAVKTYKWQCIECKSCILCGTSENDDQLLFCDDCDRGYHMYCLNPPVAEPPEGSWSCHLCWELLKEKASAFGCQA
+>DECOY_sp|Q92784|DPF3_HUMAN Zinc finger protein DPF3 OS=Homo sapiens OX=9606 GN=DPF3 PE=1 SV=3
+AQCGFASAKEKLLEWCLHCSWSGEPPEAVPPNLCYMHYGRDCDDCFLLQDDNESTGCLICSKCEICQWKYTKVAETMNLTFQLCTPHGSRGCDACSVLEEPRGSKKNMNSGGLCFDCYNNPIVTGDPGKQPRHNENRHNPPSRTEQDQAEDGEESALHTHAYHYSLGPRNKYRKGCIDCVYPKDHDEQSAADHRRRGGASGRARGRTRNKRKPIDEELDEEENGEEVNEDNELVRQIEQISEEERADVKKEVGEGRLLAELTTSESTFGDKKLPLEVEPKIELLRLKPDEPPHLRRKKRWCRAPYTYLQGPALGPGRHRKEMWIYCNNQAVGTQSDLFPLRVSREACLRSNYSRCHEIAEKYFQDGLAKLPNHIVTAM
+>sp|Q9BQC3|DPH2_HUMAN 2-(3-amino-3-carboxypropyl)histidine synthase subunit 2 OS=Homo sapiens OX=9606 GN=DPH2 PE=1 SV=1
+MESMFSSPAEAALQRETGVPGLLTPLPDLDGVYELERVAGFVRDLGCERVALQFPDQLLGDAVAVAARLEETTGSKMFILGDTAYGSCCVDVLGAEQAGAQALIHFGPACLSPPARPLPVAFVLRQRSVALELCVKAFEAQNPDPKAPVVLLSEPACAHALEALATLLRPRYLDLLVSSPAFPQPVGSLSPEPMPLERFGRRFPLAPGRRLEEYGAFYVGGSKASPDPDLDPDLSRLLLGWAPGQPFSSCCPDTGKTQDEGARAGRLRARRRYLVERARDARVVGLLAGTLGVAQHREALAHLRNLTQAAGKRSYVLALGRPTPAKLANFPEVDVFVLLACPLGALAPQLSGSFFQPILAPCELEAACNPAWPPPGLAPHLTHYADLLPGSPFHVALPPPESELWETPDVSLITGDLRPPPAWKSSNDHGSLALTPRPQLELAESSPAASFLSSRSWQGLEPRLGQTPVTEAVSGRRGIAIAYEDEGSG
+>DECOY_sp|Q9BQC3|DPH2_HUMAN 2-(3-amino-3-carboxypropyl)histidine synthase subunit 2 OS=Homo sapiens OX=9606 GN=DPH2 PE=1 SV=1
+GSGEDEYAIAIGRRGSVAETVPTQGLRPELGQWSRSSLFSAAPSSEALELQPRPTLALSGHDNSSKWAPPPRLDGTILSVDPTEWLESEPPPLAVHFPSGPLLDAYHTLHPALGPPPWAPNCAAELECPALIPQFFSGSLQPALAGLPCALLVFVDVEPFNALKAPTPRGLALVYSRKGAAQTLNRLHALAERHQAVGLTGALLGVVRADRAREVLYRRRARLRGARAGEDQTKGTDPCCSSFPQGPAWGLLLRSLDPDLDPDPSAKSGGVYFAGYEELRRGPALPFRRGFRELPMPEPSLSGVPQPFAPSSVLLDLYRPRLLTALAELAHACAPESLLVVPAKPDPNQAEFAKVCLELAVSRQRLVFAVPLPRAPPSLCAPGFHILAQAGAQEAGLVDVCCSGYATDGLIFMKSGTTEELRAAVAVADGLLQDPFQLAVRECGLDRVFGAVRELEYVGDLDPLPTLLGPVGTERQLAAEAPSSFMSEM
+>sp|Q9H2P9|DPH5_HUMAN Diphthine methyl ester synthase OS=Homo sapiens OX=9606 GN=DPH5 PE=1 SV=2
+MLYLIGLGLGDAKDITVKGLEVVRRCSRVYLEAYTSVLTVGKEALEEFYGRKLVVADREEVEQEADNILKDADISDVAFLVVGDPFGATTHSDLVLRATKLGIPYRVIHNASIMNAVGCCGLQLYKFGETVSIVFWTDTWRPESFFDKVKKNRQNGMHTLCLLDIKVKEQSLENLIKGRKIYEPPRYMSVNQAAQQLLEIVQNQRIRGEEPAVTEETLCVGLARVGADDQKIAAGTLRQMCTVDLGEPLHSLIITGGSIHPMEMEMLSLFSIPENSSESQSINGL
+>DECOY_sp|Q9H2P9|DPH5_HUMAN Diphthine methyl ester synthase OS=Homo sapiens OX=9606 GN=DPH5 PE=1 SV=2
+LGNISQSESSNEPISFLSLMEMEMPHISGGTIILSHLPEGLDVTCMQRLTGAAIKQDDAGVRALGVCLTEETVAPEEGRIRQNQVIELLQQAAQNVSMYRPPEYIKRGKILNELSQEKVKIDLLCLTHMGNQRNKKVKDFFSEPRWTDTWFVISVTEGFKYLQLGCCGVANMISANHIVRYPIGLKTARLVLDSHTTAGFPDGVVLFAVDSIDADKLINDAEQEVEERDAVVLKRGYFEELAEKGVTLVSTYAELYVRSCRRVVELGKVTIDKADGLGLGILYLM
+>sp|Q9NR33|DPOE4_HUMAN DNA polymerase epsilon subunit 4 OS=Homo sapiens OX=9606 GN=POLE4 PE=1 SV=2
+MAAAAAAGSGTPREEEGPAGEAAASQPQAPTSVPGARLSRLPLARVKALVKADPDVTLAGQEAIFILARAAELFVETIAKDAYCCAQQGKRKTLQRRDLDNAIEAVDEFAFLEGTLD
+>DECOY_sp|Q9NR33|DPOE4_HUMAN DNA polymerase epsilon subunit 4 OS=Homo sapiens OX=9606 GN=POLE4 PE=1 SV=2
+DLTGELFAFEDVAEIANDLDRRQLTKRKGQQACCYADKAITEVFLEAARALIFIAEQGALTVDPDAKVLAKVRALPLRSLRAGPVSTPAQPQSAAAEGAPGEEERPTGSGAAAAAAM
+>sp|P09884|DPOLA_HUMAN DNA polymerase alpha catalytic subunit OS=Homo sapiens OX=9606 GN=POLA1 PE=1 SV=2
+MAPVHGDDSLSDSGSFVSSRARREKKSKKGRQEALERLKKAKAGEKYKYEVEDFTGVYEEVDEEQYSKLVQARQDDDWIVDDDGIGYVEDGREIFDDDLEDDALDADEKGKDGKARNKDKRNVKKLAVTKPNNIKSMFIACAGKKTADKAVDLSKDGLLGDILQDLNTETPQITPPPVMILKKKRSIGASPNPFSVHTATAVPSGKIASPVSRKEPPLTPVPLKRAEFAGDDVQVESTEEEQESGAMEFEDGDFDEPMEVEEVDLEPMAAKAWDKESEPAEEVKQEADSGKGTVSYLGSFLPDVSCWDIDQEGDSSFSVQEVQVDSSHLPLVKGADEEQVFHFYWLDAYEDQYNQPGVVFLFGKVWIESAETHVSCCVMVKNIERTLYFLPREMKIDLNTGKETGTPISMKDVYEEFDEKIATKYKIMKFKSKPVEKNYAFEIPDVPEKSEYLEVKYSAEMPQLPQDLKGETFSHVFGTNTSSLELFLMNRKIKGPCWLEVKSPQLLNQPVSWCKVEAMALKPDLVNVIKDVSPPPLVVMAFSMKTMQNAKNHQNEIIAMAALVHHSFALDKAAPKPPFQSHFCVVSKPKDCIFPYAFKEVIEKKNVKVEVAATERTLLGFFLAKVHKIDPDIIVGHNIYGFELEVLLQRINVCKAPHWSKIGRLKRSNMPKLGGRSGFGERNATCGRMICDVEISAKELIRCKSYHLSELVQQILKTERVVIPMENIQNMYSESSQLLYLLEHTWKDAKFILQIMCELNVLPLALQITNIAGNIMSRTLMGGRSERNEFLLLHAFYENNYIVPDKQIFRKPQQKLGDEDEEIDGDTNKYKKGRKKAAYAGGLVLDPKVGFYDKFILLLDFNSLYPSIIQEFNICFTTVQRVASEAQKVTEDGEQEQIPELPDPSLEMGILPREIRKLVERRKQVKQLMKQQDLNPDLILQYDIRQKALKLTANSMYGCLGFSYSRFYAKPLAALVTYKGREILMHTKEMVQKMNLEVIYGDTDSIMINTNSTNLEEVFKLGNKVKSEVNKLYKLLEIDIDGVFKSLLLLKKKKYAALVVEPTSDGNYVTKQELKGLDIVRRDWCDLAKDTGNFVIGQILSDQSRDTIVENIQKRLIEIGENVLNGSVPVSQFEINKALTKDPQDYPDKKSLPHVHVALWINSQGGRKVKAGDTVSYVICQDGSNLTASQRAYAPEQLQKQDNLTIDTQYYLAQQIHPVVARICEPIDGIDAVLIATWLGLDPTQFRVHHYHKDEENDALLGGPAQLTDEEKYRDCERFKCPCPTCGTENIYDNVFDGSGTDMEPSLYRCSNIDCKASPLTFTVQLSNKLIMDIRRFIKKYYDGWLICEEPTCRNRTRHLPLQFSRTGPLCPACMKATLQPEYSDKSLYTQLCFYRYIFDAECALEKLTTDHEKDKLKKQFFTPKVLQDYRKLKNTAEQFLSRSGYSEVNLSKLFAGCAVKS
+>DECOY_sp|P09884|DPOLA_HUMAN DNA polymerase alpha catalytic subunit OS=Homo sapiens OX=9606 GN=POLA1 PE=1 SV=2
+SKVACGAFLKSLNVESYGSRSLFQEATNKLKRYDQLVKPTFFQKKLKDKEHDTTLKELACEADFIYRYFCLQTYLSKDSYEPQLTAKMCAPCLPGTRSFQLPLHRTRNRCTPEECILWGDYYKKIFRRIDMILKNSLQVTFTLPSAKCDINSCRYLSPEMDTGSGDFVNDYINETGCTPCPCKFRECDRYKEEDTLQAPGGLLADNEEDKHYHHVRFQTPDLGLWTAILVADIGDIPECIRAVVPHIQQALYYQTDITLNDQKQLQEPAYARQSATLNSGDQCIVYSVTDGAKVKRGGQSNIWLAVHVHPLSKKDPYDQPDKTLAKNIEFQSVPVSGNLVNEGIEILRKQINEVITDRSQDSLIQGIVFNGTDKALDCWDRRVIDLGKLEQKTVYNGDSTPEVVLAAYKKKKLLLLSKFVGDIDIELLKYLKNVESKVKNGLKFVEELNTSNTNIMISDTDGYIVELNMKQVMEKTHMLIERGKYTVLAALPKAYFRSYSFGLCGYMSNATLKLAKQRIDYQLILDPNLDQQKMLQKVQKRREVLKRIERPLIGMELSPDPLEPIQEQEGDETVKQAESAVRQVTTFCINFEQIISPYLSNFDLLLIFKDYFGVKPDLVLGGAYAAKKRGKKYKNTDGDIEEDEDGLKQQPKRFIQKDPVIYNNEYFAHLLLFENRESRGGMLTRSMINGAINTIQLALPLVNLECMIQLIFKADKWTHELLYLLQSSESYMNQINEMPIVVRETKLIQQVLESLHYSKCRILEKASIEVDCIMRGCTANREGFGSRGGLKPMNSRKLRGIKSWHPAKCVNIRQLLVELEFGYINHGVIIDPDIKHVKALFFGLLTRETAAVEVKVNKKEIVEKFAYPFICDKPKSVVCFHSQFPPKPAAKDLAFSHHVLAAMAIIENQHNKANQMTKMSFAMVVLPPPSVDKIVNVLDPKLAMAEVKCWSVPQNLLQPSKVELWCPGKIKRNMLFLELSSTNTGFVHSFTEGKLDQPLQPMEASYKVELYESKEPVDPIEFAYNKEVPKSKFKMIKYKTAIKEDFEEYVDKMSIPTGTEKGTNLDIKMERPLFYLTREINKVMVCCSVHTEASEIWVKGFLFVVGPQNYQDEYADLWYFHFVQEEDAGKVLPLHSSDVQVEQVSFSSDGEQDIDWCSVDPLFSGLYSVTGKGSDAEQKVEEAPESEKDWAKAAMPELDVEEVEMPEDFDGDEFEMAGSEQEEETSEVQVDDGAFEARKLPVPTLPPEKRSVPSAIKGSPVATATHVSFPNPSAGISRKKKLIMVPPPTIQPTETNLDQLIDGLLGDKSLDVAKDATKKGACAIFMSKINNPKTVALKKVNRKDKNRAKGDKGKEDADLADDELDDDFIERGDEVYGIGDDDVIWDDDQRAQVLKSYQEEDVEEYVGTFDEVEYKYKEGAKAKKLRELAEQRGKKSKKERRARSSVFSGSDSLSDDGHVPAM
+>sp|Q86TI2|DPP9_HUMAN Dipeptidyl peptidase 9 OS=Homo sapiens OX=9606 GN=DPP9 PE=1 SV=3
+MATTGTPTADRGDAAATDDPAARFQVQKHSWDGLRSIIHGSRKYSGLIVNKAPHDFQFVQKTDESGPHSHRLYYLGMPYGSRENSLLYSEIPKKVRKEALLLLSWKQMLDHFQATPHHGVYSREEELLRERKRLGVFGITSYDFHSESGLFLFQASNSLFHCRDGGKNGFMVSPMKPLEIKTQCSGPRMDPKICPADPAFFSFINNSDLWVANIETGEERRLTFCHQGLSNVLDDPKSAGVATFVIQEEFDRFTGYWWCPTASWEGSEGLKTLRILYEEVDESEVEVIHVPSPALEERKTDSYRYPRTGSKNPKIALKLAEFQTDSQGKIVSTQEKELVQPFSSLFPKVEYIARAGWTRDGKYAWAMFLDRPQQWLQLVLLPPALFIPSTENEEQRLASARAVPRNVQPYVVYEEVTNVWINVHDIFYPFPQSEGEDELCFLRANECKTGFCHLYKVTAVLKSQGYDWSEPFSPGEDEFKCPIKEEIALTSGEWEVLARHGSKIWVNEETKLVYFQGTKDTPLEHHLYVVSYEAAGEIVRLTTPGFSHSCSMSQNFDMFVSHYSSVSTPPCVHVYKLSGPDDDPLHKQPRFWASMMEAASCPPDYVPPEIFHFHTRSDVRLYGMIYKPHALQPGKKHPTVLFVYGGPQVQLVNNSFKGIKYLRLNTLASLGYAVVVIDGRGSCQRGLRFEGALKNQMGQVEIEDQVEGLQFVAEKYGFIDLSRVAIHGWSYGGFLSLMGLIHKPQVFKVAIAGAPVTVWMAYDTGYTERYMDVPENNQHGYEAGSVALHVEKLPNEPNRLLILHGFLDENVHFFHTNFLVSQLIRAGKPYQLQIYPNERHSIRCPESGEHYEVTLLHFLQEYL
+>DECOY_sp|Q86TI2|DPP9_HUMAN Dipeptidyl peptidase 9 OS=Homo sapiens OX=9606 GN=DPP9 PE=1 SV=3
+LYEQLFHLLTVEYHEGSEPCRISHRENPYIQLQYPKGARILQSVLFNTHFFHVNEDLFGHLILLRNPENPLKEVHLAVSGAEYGHQNNEPVDMYRETYGTDYAMWVTVPAGAIAVKFVQPKHILGMLSLFGGYSWGHIAVRSLDIFGYKEAVFQLGEVQDEIEVQGMQNKLAGEFRLGRQCSGRGDIVVVAYGLSALTNLRLYKIGKFSNNVLQVQPGGYVFLVTPHKKGPQLAHPKYIMGYLRVDSRTHFHFIEPPVYDPPCSAAEMMSAWFRPQKHLPDDDPGSLKYVHVCPPTSVSSYHSVFMDFNQSMSCSHSFGPTTLRVIEGAAEYSVVYLHHELPTDKTGQFYVLKTEENVWIKSGHRALVEWEGSTLAIEEKIPCKFEDEGPSFPESWDYGQSKLVATVKYLHCFGTKCENARLFCLEDEGESQPFPYFIDHVNIWVNTVEEYVVYPQVNRPVARASALRQEENETSPIFLAPPLLVLQLWQQPRDLFMAWAYKGDRTWGARAIYEVKPFLSSFPQVLEKEQTSVIKGQSDTQFEALKLAIKPNKSGTRPYRYSDTKREELAPSPVHIVEVESEDVEEYLIRLTKLGESGEWSATPCWWYGTFRDFEEQIVFTAVGASKPDDLVNSLGQHCFTLRREEGTEINAVWLDSNNIFSFFAPDAPCIKPDMRPGSCQTKIELPKMPSVMFGNKGGDRCHFLSNSAQFLFLGSESHFDYSTIGFVGLRKRERLLEEERSYVGHHPTAQFHDLMQKWSLLLLAEKRVKKPIESYLLSNERSGYPMGLYYLRHSHPGSEDTKQVFQFDHPAKNVILGSYKRSGHIISRLGDWSHKQVQFRAAPDDTAAADGRDATPTGTTAM
+>sp|Q14194|DPYL1_HUMAN Dihydropyrimidinase-related protein 1 OS=Homo sapiens OX=9606 GN=CRMP1 PE=1 SV=1
+MSYQGKKSIPHITSDRLLIKGGRIINDDQSLYADVYLEDGLIKQIGENLIVPGGVKTIEANGRMVIPGGIDVNTYLQKPSQGMTAADDFFQGTRAALVGGTTMIIDHVVPEPGSSLLTSFEKWHEAADTKSCCDYSLHVDITSWYDGVREELEVLVQDKGVNSFQVYMAYKDVYQMSDSQLYEAFTFLKGLGAVILVHAENGDLIAQEQKRILEMGITGPEGHALSRPEELEAEAVFRAITIAGRINCPVYITKVMSKSAADIIALARKKGPLVFGEPIAASLGTDGTHYWSKNWAKAAAFVTSPPLSPDPTTPDYLTSLLACGDLQVTGSGHCPYSTAQKAVGKDNFTLIPEGVNGIEERMTVVWDKAVATGKMDENQFVAVTSTNAAKIFNLYPRKGRIAVGSDADVVIWDPDKLKTITAKSHKSAVEYNIFEGMECHGSPLVVISQGKIVFEDGNINVNKGMGRFIPRKAFPEHLYQRVKIRNKVFGLQGVSRGMYDGPVYEVPATPKYATPAPSAKSSPSKHQPPPIRNLHQSNFSLSGAQIDDNNPRRTGHRIVAPPGGRSNITSLG
+>DECOY_sp|Q14194|DPYL1_HUMAN Dihydropyrimidinase-related protein 1 OS=Homo sapiens OX=9606 GN=CRMP1 PE=1 SV=1
+GLSTINSRGGPPAVIRHGTRRPNNDDIQAGSLSFNSQHLNRIPPPQHKSPSSKASPAPTAYKPTAPVEYVPGDYMGRSVGQLGFVKNRIKVRQYLHEPFAKRPIFRGMGKNVNINGDEFVIKGQSIVVLPSGHCEMGEFINYEVASKHSKATITKLKDPDWIVVDADSGVAIRGKRPYLNFIKAANTSTVAVFQNEDMKGTAVAKDWVVTMREEIGNVGEPILTFNDKGVAKQATSYPCHGSGTVQLDGCALLSTLYDPTTPDPSLPPSTVFAAAKAWNKSWYHTGDTGLSAAIPEGFVLPGKKRALAIIDAASKSMVKTIYVPCNIRGAITIARFVAEAELEEPRSLAHGEPGTIGMELIRKQEQAILDGNEAHVLIVAGLGKLFTFAEYLQSDSMQYVDKYAMYVQFSNVGKDQVLVELEERVGDYWSTIDVHLSYDCCSKTDAAEHWKEFSTLLSSGPEPVVHDIIMTTGGVLAARTGQFFDDAATMGQSPKQLYTNVDIGGPIVMRGNAEITKVGGPVILNEGIQKILGDELYVDAYLSQDDNIIRGGKILLRDSTIHPISKKGQYSM
+>sp|Q8TE96|DQX1_HUMAN ATP-dependent RNA helicase DQX1 OS=Homo sapiens OX=9606 GN=DQX1 PE=2 SV=2
+MTSQPLRLAEEYGPSPGESELAVNPFDGLPFSSRYYELLKQRQALPIWAARFTFLEQLESNPTGVVLVSGEPGSGKSTQIPQWCAEFALARGFQKGQVTVTQPYPLAARSLALRVADEMDLTLGHEVGYSIPQEDCTGPNTLLRFCWDRLLLQEVASTRGTGAWGVLVLDEAQERSVASDSLQGLLQDARLEKLPGDLRVVVVTDPALEPKLRAFWGNPPIVHIPREPGERPSPIYWDTIPPDRVEAACQAVLELCRKELPGDVLVFLPSEEEISLCCESLSREVESLLLQGLPPRVLPLHPDCGRAVQAVYEDMDARKVVVTHWLADFSFSLPSIQHVIDSGLELRSVYNPRIRAEFQVLRPISKCQAEARRLRARGFPPGSCLCLYPKSFLELEAPPLPQPRVCEENLSSLVLLLKRRQIAEPGECHFLDQPAPEALMQALEDLDYLAALDDDGDLSDLGVILSEFPLAPELAKALLASCEFDCVDEMLTLAAMLTAAPGFTRPPLSAEEAALRRALEHTDGDHSSLIQVYEAFIQSGADEAWCQARGLNWAALCQAHKLRGELLELMQRIELPLSLPAFGSEQNRRDLQKALVSGYFLKVARDTDGTGNYLLLTHKHVAQLSSYCCYRSRRAPARPPPWVLYHNFTISKDNCLSIVSEIQPQMLVELAPPYFLSNLPPSESRDLLNQLREGMADSTAGSKSSSAQEFRDPCVLQ
+>DECOY_sp|Q8TE96|DQX1_HUMAN ATP-dependent RNA helicase DQX1 OS=Homo sapiens OX=9606 GN=DQX1 PE=2 SV=2
+QLVCPDRFEQASSSKSGATSDAMGERLQNLLDRSESPPLNSLFYPPALEVLMQPQIESVISLCNDKSITFNHYLVWPPPRAPARRSRYCCYSSLQAVHKHTLLLYNGTGDTDRAVKLFYGSVLAKQLDRRNQESGFAPLSLPLEIRQMLELLEGRLKHAQCLAAWNLGRAQCWAEDAGSQIFAEYVQILSSHDGDTHELARRLAAEEASLPPRTFGPAATLMAALTLMEDVCDFECSALLAKALEPALPFESLIVGLDSLDGDDDLAALYDLDELAQMLAEPAPQDLFHCEGPEAIQRRKLLLVLSSLNEECVRPQPLPPAELELFSKPYLCLCSGPPFGRARLRRAEAQCKSIPRLVQFEARIRPNYVSRLELGSDIVHQISPLSFSFDALWHTVVVKRADMDEYVAQVARGCDPHLPLVRPPLGQLLLSEVERSLSECCLSIEEESPLFVLVDGPLEKRCLELVAQCAAEVRDPPITDWYIPSPREGPERPIHVIPPNGWFARLKPELAPDTVVVVRLDGPLKELRADQLLGQLSDSAVSREQAEDLVLVGWAGTGRTSAVEQLLLRDWCFRLLTNPGTCDEQPISYGVEHGLTLDMEDAVRLALSRAALPYPQTVTVQGKQFGRALAFEACWQPIQTSKGSGPEGSVLVVGTPNSELQELFTFRAAWIPLAQRQKLLEYYRSSFPLGDFPNVALESEGPSPGYEEALRLPQSTM
+>sp|Q8NBI3|DRAXI_HUMAN Draxin OS=Homo sapiens OX=9606 GN=DRAXIN PE=1 SV=2
+MAGPAIHTAPMLFLVLLLPLELSLAGALAPGTPARNLPENHIDLPGPALWTPQASHHRRRGPGKKEWGPGLPSQAQDGAVVTATRQASRLPEAEGLLPEQSPAGLLQDKDLLLGLALPYPEKENRPPGWERTRKRSREHKRRRDRLRLHQGRALVRGPSSLMKKAELSEAQVLDAAMEESSTSLAPTMFFLTTFEAAPATEESLILPVTSLRPQQAQPRSDGEVMPTLDMALFDWTDYEDLKPDGWPSAKKKEKHRGKLSSDGNETSPAEGEPCDHHQDCLPGTCCDLREHLCTPHNRGLNNKCFDDCMCVEGLRCYAKFHRNRRVTRRKGRCVEPETANGDQGSFINV
+>DECOY_sp|Q8NBI3|DRAXI_HUMAN Draxin OS=Homo sapiens OX=9606 GN=DRAXIN PE=1 SV=2
+VNIFSGQDGNATEPEVCRGKRRTVRRNRHFKAYCRLGEVCMCDDFCKNNLGRNHPTCLHERLDCCTGPLCDQHHDCPEGEAPSTENGDSSLKGRHKEKKKASPWGDPKLDEYDTWDFLAMDLTPMVEGDSRPQAQQPRLSTVPLILSEETAPAAEFTTLFFMTPALSTSSEEMAADLVQAESLEAKKMLSSPGRVLARGQHLRLRDRRRKHERSRKRTREWGPPRNEKEPYPLALGLLLDKDQLLGAPSQEPLLGEAEPLRSAQRTATVVAGDQAQSPLGPGWEKKGPGRRRHHSAQPTWLAPGPLDIHNEPLNRAPTGPALAGALSLELPLLLVLFLMPATHIAPGAM
+>sp|Q9NZJ0|DTL_HUMAN Denticleless protein homolog OS=Homo sapiens OX=9606 GN=DTL PE=1 SV=3
+MLFNSVLRQPQLGVLRNGWSSQYPLQSLLTGYQCSGNDEHTSYGETGVPVPPFGCTFSSAPNMEHVLAVANEEGFVRLYNTESQSFRKKCFKEWMAHWNAVFDLAWVPGELKLVTAAGDQTAKFWDVKAGELIGTCKGHQCSLKSVAFSKFEKAVFCTGGRDGNIMVWDTRCNKKDGFYRQVNQISGAHNTSDKQTPSKPKKKQNSKGLAPSVDFQQSVTVVLFQDENTLVSAGAVDGIIKVWDLRKNYTAYRQEPIASKSFLYPGSSTRKLGYSSLILDSTGSTLFANCTDDNIYMFNMTGLKTSPVAIFNGHQNSTFYVKSSLSPDDQFLVSGSSDEAAYIWKVSTPWQPPTVLLGHSQEVTSVCWCPSDFTKIATCSDDNTLKIWRLNRGLEEKPGGDKLSTVGWASQKKKESRPGLVTVTSSQSTPAKAPRAKCNPSNSSPSSAACAPSCAGDLPLPSNTPTFSIKTSPAKARSPINRRGSVSSVSPKPPSSFKMSIRNWVTRTPSSSPPITPPASETKIMSPRKALIPVSQKSSQAEACSESRNRVKRRLDSSCLESVKQKCVKSCNCVTELDGQVENLHLDLCCLAGNQEDLSKDSLGPTKSSKIEGAGTSISEPPSPISPYASESCGTLPLPLRPCGEGSEMVGKENSSPENKNWLLAMAAKRKAENPSPRSPSSQTPNSRRQSGKKLPSPVTITPSSMRKICTYFHRKSQEDFCGPEHSTEL
+>DECOY_sp|Q9NZJ0|DTL_HUMAN Denticleless protein homolog OS=Homo sapiens OX=9606 GN=DTL PE=1 SV=3
+LETSHEPGCFDEQSKRHFYTCIKRMSSPTITVPSPLKKGSQRRSNPTQSSPSRPSPNEAKRKAAMALLWNKNEPSSNEKGVMESGEGCPRLPLPLTGCSESAYPSIPSPPESISTGAGEIKSSKTPGLSDKSLDEQNGALCCLDLHLNEVQGDLETVCNCSKVCKQKVSELCSSDLRRKVRNRSESCAEAQSSKQSVPILAKRPSMIKTESAPPTIPPSSSPTRTVWNRISMKFSSPPKPSVSSVSGRRNIPSRAKAPSTKISFTPTNSPLPLDGACSPACAASSPSSNSPNCKARPAKAPTSQSSTVTVLGPRSEKKKQSAWGVTSLKDGGPKEELGRNLRWIKLTNDDSCTAIKTFDSPCWCVSTVEQSHGLLVTPPQWPTSVKWIYAAEDSSGSVLFQDDPSLSSKVYFTSNQHGNFIAVPSTKLGTMNFMYINDDTCNAFLTSGTSDLILSSYGLKRTSSGPYLFSKSAIPEQRYATYNKRLDWVKIIGDVAGASVLTNEDQFLVVTVSQQFDVSPALGKSNQKKKPKSPTQKDSTNHAGSIQNVQRYFGDKKNCRTDWVMINGDRGGTCFVAKEFKSFAVSKLSCQHGKCTGILEGAKVDWFKATQDGAATVLKLEGPVWALDFVANWHAMWEKFCKKRFSQSETNYLRVFGEENAVALVHEMNPASSFTCGFPPVPVGTEGYSTHEDNGSCQYGTLLSQLPYQSSWGNRLVGLQPQRLVSNFLM
+>sp|Q9Y4J8|DTNA_HUMAN Dystrobrevin alpha OS=Homo sapiens OX=9606 GN=DTNA PE=1 SV=2
+MIEDSGKRGNTMAERRQLFAEMRAQDLDRIRLSTYRTACKLRFVQKKCNLHLVDIWNVIEALRENALNNLDPNTELNVSRLEAVLSTIFYQLNKRMPTTHQIHVEQSISLLLNFLLAAFDPEGHGKISVFAVKMALATLCGGKIMDKLRYIFSMISDSSGVMVYGRYDQFLREVLKLPTAVFEGPSFGYTEQSARSCFSQQKKVTLNGFLDTLMSDPPPQCLVWLPLLHRLANVENVFHPVECSYCHSESMMGFRYRCQQCHNYQLCQDCFWRGHAGGSHSNQHQMKEYTSWKSPAKKLTNALSKSLSCASSREPLHPMFPDQPEKPLNLAHIVDTWPPRPVTSMNDTLFSHSVPSSGSPFITRSSPPKDSEVEQNKLLARAAPAFLKGKGIQYSLNVADRLADEHVLIGLYVNMLRNNPSCMLESSNRLDEEHRLIARYAARLAAESSSSQPPQQRSAPDISFTIDANKQQRQLIAELENKNREILQEIQRLRLEHEQASQPTPEKAQQNPTLLAELRLLRQRKDELEQRMSALQESRRELMVQLEGLMKLLKTQGAGSPRSSPSHTISRPIPMPIRSASACSTPTHTPQDSLTGVGGDVQEAFAQSSRRNLRNDLLVAADSITNTMSSLVKELNSEVGSETESNVDSEFARTQFEDLVPSPTSEKAFLAQIHARKPGYIHSGATTSTMRGDMVTEDADPYVQPEDENYENDSVRQLENELQMEEYLKQKLQDEAYQVSLQG
+>DECOY_sp|Q9Y4J8|DTNA_HUMAN Dystrobrevin alpha OS=Homo sapiens OX=9606 GN=DTNA PE=1 SV=2
+GQLSVQYAEDQLKQKLYEEMQLENELQRVSDNEYNEDEPQVYPDADETVMDGRMTSTTAGSHIYGPKRAHIQALFAKESTPSPVLDEFQTRAFESDVNSETESGVESNLEKVLSSMTNTISDAAVLLDNRLNRRSSQAFAEQVDGGVGTLSDQPTHTPTSCASASRIPMPIPRSITHSPSSRPSGAGQTKLLKMLGELQVMLERRSEQLASMRQELEDKRQRLLRLEALLTPNQQAKEPTPQSAQEHELRLRQIEQLIERNKNELEAILQRQQKNADITFSIDPASRQQPPQSSSSEAALRAAYRAILRHEEDLRNSSELMCSPNNRLMNVYLGILVHEDALRDAVNLSYQIGKGKLFAPAARALLKNQEVESDKPPSSRTIFPSGSSPVSHSFLTDNMSTVPRPPWTDVIHALNLPKEPQDPFMPHLPERSSACSLSKSLANTLKKAPSKWSTYEKMQHQNSHSGGAHGRWFCDQCLQYNHCQQCRYRFGMMSESHCYSCEVPHFVNEVNALRHLLPLWVLCQPPPDSMLTDLFGNLTVKKQQSFCSRASQETYGFSPGEFVATPLKLVERLFQDYRGYVMVGSSDSIMSFIYRLKDMIKGGCLTALAMKVAFVSIKGHGEPDFAALLFNLLLSISQEVHIQHTTPMRKNLQYFITSLVAELRSVNLETNPDLNNLANERLAEIVNWIDVLHLNCKKQVFRLKCATRYTSLRIRDLDQARMEAFLQRREAMTNGRKGSDEIM
+>sp|Q8N9I9|DTX3_HUMAN Probable E3 ubiquitin-protein ligase DTX3 OS=Homo sapiens OX=9606 GN=DTX3 PE=1 SV=2
+MSFVLSRMAACGGTCKNKVTVSKPVWDFLSKETPARLARLREEHRVSILIDGETSDIYVLQLSPQGPPPAPPNGLYLARKALKGLLKEAEKELKKAQRQGELMGCLALGGGGEHPEMHRAGPPPLRAAPLLPPGARGLPPPPPPLPPPLPPRLREEAEEQESTCPICLGEIQNAKTLEKCRHSFCEGCITRALQVKKACPMCGRFYGQLVGNQPQNGRMLVSKDATLLLPSYEKYGTIVIQYVFPPGVQGAEHPNPGVRYPGTTRVAYLPDCPEGNKVLTLFRKAFDQRLTFTIGTSMTTGRPNVITWNDIHHKTSCTGGPQLFGYPDPTYLTRVQEELRAKGITDD
+>DECOY_sp|Q8N9I9|DTX3_HUMAN Probable E3 ubiquitin-protein ligase DTX3 OS=Homo sapiens OX=9606 GN=DTX3 PE=1 SV=2
+DDTIGKARLEEQVRTLYTPDPYGFLQPGGTCSTKHHIDNWTIVNPRGTTMSTGITFTLRQDFAKRFLTLVKNGEPCDPLYAVRTTGPYRVGPNPHEAGQVGPPFVYQIVITGYKEYSPLLLTADKSVLMRGNQPQNGVLQGYFRGCMPCAKKVQLARTICGECFSHRCKELTKANQIEGLCIPCTSEQEEAEERLRPPLPPPLPPPPPPLGRAGPPLLPAARLPPPGARHMEPHEGGGGLALCGMLEGQRQAKKLEKEAEKLLGKLAKRALYLGNPPAPPPGQPSLQLVYIDSTEGDILISVRHEERLRALRAPTEKSLFDWVPKSVTVKNKCTGGCAAMRSLVFSM
+>sp|Q9Y6W6|DUS10_HUMAN Dual specificity protein phosphatase 10 OS=Homo sapiens OX=9606 GN=DUSP10 PE=1 SV=1
+MPPSPLDDRVVVALSRPVRPQDLNLCLDSSYLGSANPGSNSHPPVIATTVVSLKAANLTYMPSSSGSARSLNCGCSSASCCTVATYDKDNQAQTQAIAAGTTTTAIGTSTTCPANQMVNNNENTGSLSPSSGVGSPVSGTPKQLASIKIIYPNDLAKKMTKCSKSHLPSQGPVIIDCRPFMEYNKSHIQGAVHINCADKISRRRLQQGKITVLDLISCREGKDSFKRIFSKEIIVYDENTNEPSRVMPSQPLHIVLESLKREGKEPLVLKGGLSSFKQNHENLCDNSLQLQECREVGGGASAASSLLPQPIPTTPDIENAELTPILPFLFLGNEQDAQDLDTMQRLNIGYVINVTTHLPLYHYEKGLFNYKRLPATDSNKQNLRQYFEEAFEFIEEAHQCGKGLLIHCQAGVSRSATIVIAYLMKHTRMTMTDAYKFVKGKRPIISPNLNFMGQLLEFEEDLNNGVTPRILTPKLMGVETVV
+>DECOY_sp|Q9Y6W6|DUS10_HUMAN Dual specificity protein phosphatase 10 OS=Homo sapiens OX=9606 GN=DUSP10 PE=1 SV=1
+VVTEVGMLKPTLIRPTVGNNLDEEFELLQGMFNLNPSIIPRKGKVFKYADTMTMRTHKMLYAIVITASRSVGAQCHILLGKGCQHAEEIFEFAEEFYQRLNQKNSDTAPLRKYNFLGKEYHYLPLHTTVNIVYGINLRQMTDLDQADQENGLFLFPLIPTLEANEIDPTTPIPQPLLSSAASAGGGVERCEQLQLSNDCLNEHNQKFSSLGGKLVLPEKGERKLSELVIHLPQSPMVRSPENTNEDYVIIEKSFIRKFSDKGERCSILDLVTIKGQQLRRRSIKDACNIHVAGQIHSKNYEMFPRCDIIVPGQSPLHSKSCKTMKKALDNPYIIKISALQKPTGSVPSGVGSSPSLSGTNENNNVMQNAPCTTSTGIATTTTGAAIAQTQAQNDKDYTAVTCCSASSCGCNLSRASGSSSPMYTLNAAKLSVVTTAIVPPHSNSGPNASGLYSSDLCLNLDQPRVPRSLAVVVRDDLPSPPM
+>sp|O95147|DUS14_HUMAN Dual specificity protein phosphatase 14 OS=Homo sapiens OX=9606 GN=DUSP14 PE=1 SV=1
+MSSRGHSTLPRTLMAPRMISEGDIGGIAQITSSLFLGRGSVASNRHLLQARGITCIVNATIEIPNFNWPQFEYVKVPLADMPHAPIGLYFDTVADKIHSVSRKHGATLVHCAAGVSRSATLCIAYLMKFHNVCLLEAYNWVKARRPVIRPNVGFWRQLIDYERQLFGKSTVKMVQTPYGIVPDVYEKESRHLMPYWGI
+>DECOY_sp|O95147|DUS14_HUMAN Dual specificity protein phosphatase 14 OS=Homo sapiens OX=9606 GN=DUSP14 PE=1 SV=1
+IGWYPMLHRSEKEYVDPVIGYPTQVMKVTSKGFLQREYDILQRWFGVNPRIVPRRAKVWNYAELLCVNHFKMLYAICLTASRSVGAACHVLTAGHKRSVSHIKDAVTDFYLGIPAHPMDALPVKVYEFQPWNFNPIEITANVICTIGRAQLLHRNSAVSGRGLFLSSTIQAIGGIDGESIMRPAMLTRPLTSHGRSSM
+>sp|Q8NEJ0|DUS18_HUMAN Dual specificity protein phosphatase 18 OS=Homo sapiens OX=9606 GN=DUSP18 PE=1 SV=1
+MTAPSCAFPVQFRQPSVSGLSQITKSLYISNGVAANNKLMLSSNQITMVINVSVEVVNTLYEDIQYMQVPVADSPNSRLCDFFDPIADHIHSVEMKQGRTLLHCAAGVSRSAALCLAYLMKYHAMSLLDAHTWTKSCRPIIRPNSGFWEQLIHYEFQLFGKNTVHMVSSPVGMIPDIYEKEVRLMIPL
+>DECOY_sp|Q8NEJ0|DUS18_HUMAN Dual specificity protein phosphatase 18 OS=Homo sapiens OX=9606 GN=DUSP18 PE=1 SV=1
+LPIMLRVEKEYIDPIMGVPSSVMHVTNKGFLQFEYHILQEWFGSNPRIIPRCSKTWTHADLLSMAHYKMLYALCLAASRSVGAACHLLTRGQKMEVSHIHDAIPDFFDCLRSNPSDAVPVQMYQIDEYLTNVVEVSVNIVMTIQNSSLMLKNNAAVGNSIYLSKTIQSLGSVSPQRFQVPFACSPATM
+>sp|P28562|DUS1_HUMAN Dual specificity protein phosphatase 1 OS=Homo sapiens OX=9606 GN=DUSP1 PE=1 SV=3
+MVMEVGTLDAGGLRALLGERAAQCLLLDCRSFFAFNAGHIAGSVNVRFSTIVRRRAKGAMGLEHIVPNAELRGRLLAGAYHAVVLLDERSAALDGAKRDGTLALAAGALCREARAAQVFFLKGGYEAFSASCPELCSKQSTPMGLSLPLSTSVPDSAESGCSSCSTPLYDQGGPVEILPFLYLGSAYHASRKDMLDALGITALINVSANCPNHFEGHYQYKSIPVEDNHKADISSWFNEAIDFIDSIKNAGGRVFVHCQAGISRSATICLAYLMRTNRVKLDEAFEFVKQRRSIISPNFSFMGQLLQFESQVLAPHCSAEAGSPAMAVLDRGTSTTTVFNFPVSIPVHSTNSALSYLQSPITTSPSC
+>DECOY_sp|P28562|DUS1_HUMAN Dual specificity protein phosphatase 1 OS=Homo sapiens OX=9606 GN=DUSP1 PE=1 SV=3
+CSPSTTIPSQLYSLASNTSHVPISVPFNFVTTTSTGRDLVAMAPSGAEASCHPALVQSEFQLLQGMFSFNPSIISRRQKVFEFAEDLKVRNTRMLYALCITASRSIGAQCHVFVRGGANKISDIFDIAENFWSSIDAKHNDEVPISKYQYHGEFHNPCNASVNILATIGLADLMDKRSAHYASGLYLFPLIEVPGGQDYLPTSCSSCGSEASDPVSTSLPLSLGMPTSQKSCLEPCSASFAEYGGKLFFVQAARAERCLAGAALALTGDRKAGDLAASREDLLVVAHYAGALLRGRLEANPVIHELGMAGKARRRVITSFRVNVSGAIHGANFAFFSRCDLLLCQAAREGLLARLGGADLTGVEMVM
+>sp|Q05923|DUS2_HUMAN Dual specificity protein phosphatase 2 OS=Homo sapiens OX=9606 GN=DUSP2 PE=1 SV=1
+MGLEAARELECAALGTLLRDPREAERTLLLDCRPFLAFCRRHVRAARPVPWNALLRRRARGPPAAVLACLLPDRALRTRLVRGELARAVVLDEGSASVAELRPDSPAHVLLAALLHETRAGPTAVYFLRGGFDGFQGCCPDLCSEAPAPALPPTGDKTSRSDSRAPVYDQGGPVEILPYLFLGSCSHSSDLQGLQACGITAVLNVSASCPNHFEGLFRYKSIPVEDNQMVEISAWFQEAIGFIDWVKNSGGRVLVHCQAGISRSATICLAYLMQSRRVRLDEAFDFVKQRRGVISPNFSFMGQLLQFETQVLCH
+>DECOY_sp|Q05923|DUS2_HUMAN Dual specificity protein phosphatase 2 OS=Homo sapiens OX=9606 GN=DUSP2 PE=1 SV=1
+HCLVQTEFQLLQGMFSFNPSIVGRRQKVFDFAEDLRVRRSQMLYALCITASRSIGAQCHVLVRGGSNKVWDIFGIAEQFWASIEVMQNDEVPISKYRFLGEFHNPCSASVNLVATIGCAQLGQLDSSHSCSGLFLYPLIEVPGGQDYVPARSDSRSTKDGTPPLAPAPAESCLDPCCGQFGDFGGRLFYVATPGARTEHLLAALLVHAPSDPRLEAVSASGEDLVVARALEGRVLRTRLARDPLLCALVAAPPGRARRRLLANWPVPRAARVHRRCFALFPRCDLLLTREAERPDRLLTGLAACELERAAELGM
+>sp|Q13115|DUS4_HUMAN Dual specificity protein phosphatase 4 OS=Homo sapiens OX=9606 GN=DUSP4 PE=1 SV=1
+MVTMEELREMDCSVLKRLMNRDENGGGAGGSGSHGTLGLPSGGKCLLLDCRPFLAHSAGYILGSVNVRCNTIVRRRAKGSVSLEQILPAEEEVRARLRSGLYSAVIVYDERSPRAESLREDSTVSLVVQALRRNAERTDICLLKGGYERFSSEYPEFCSKTKALAAIPPPVPPSATEPLDLGCSSCGTPLHDQGGPVEILPFLYLGSAYHAARRDMLDALGITALLNVSSDCPNHFEGHYQYKCIPVEDNHKADISSWFMEAIEYIDAVKDCRGRVLVHCQAGISRSATICLAYLMMKKRVRLEEAFEFVKQRRSIISPNFSFMGQLLQFESQVLATSCAAEAASPSGPLRERGKTPATPTSQFVFSFPVSVGVHSAPSSLPYLHSPITTSPSC
+>DECOY_sp|Q13115|DUS4_HUMAN Dual specificity protein phosphatase 4 OS=Homo sapiens OX=9606 GN=DUSP4 PE=1 SV=1
+CSPSTTIPSHLYPLSSPASHVGVSVPFSFVFQSTPTAPTKGRERLPGSPSAAEAACSTALVQSEFQLLQGMFSFNPSIISRRQKVFEFAEELRVRKKMMLYALCITASRSIGAQCHVLVRGRCDKVADIYEIAEMFWSSIDAKHNDEVPICKYQYHGEFHNPCDSSVNLLATIGLADLMDRRAAHYASGLYLFPLIEVPGGQDHLPTGCSSCGLDLPETASPPVPPPIAALAKTKSCFEPYESSFREYGGKLLCIDTREANRRLAQVVLSVTSDERLSEARPSREDYVIVASYLGSRLRARVEEEAPLIQELSVSGKARRRVITNCRVNVSGLIYGASHALFPRCDLLLCKGGSPLGLTGHSGSGGAGGGNEDRNMLRKLVSCDMERLEEMTVM
+>sp|Q99956|DUS9_HUMAN Dual specificity protein phosphatase 9 OS=Homo sapiens OX=9606 GN=DUSP9 PE=1 SV=1
+MEGLGRSCLWLRRELSPPRPRLLLLDCRSRELYESARIGGALSVALPALLLRRLRRGSLSVRALLPGPPLQPPPPAPVLLYDQGGGRRRRGEAEAEAEEWEAESVLGTLLQKLREEGYLAYYLQGGFSRFQAECPHLCETSLAGRAGSSMAPVPGPVPVVGLGSLCLGSDCSDAESEADRDSMSCGLDSEGATPPPVGLRASFPVQILPNLYLGSARDSANLESLAKLGIRYILNVTPNLPNFFEKNGDFHYKQIPISDHWSQNLSRFFPEAIEFIDEALSQNCGVLVHCLAGVSRSVTVTVAYLMQKLHLSLNDAYDLVKRKKSNISPNFNFMGQLLDFERSLRLEERHSQEQGSGGQASAASNPPSFFTTPTSDGAFELAPT
+>DECOY_sp|Q99956|DUS9_HUMAN Dual specificity protein phosphatase 9 OS=Homo sapiens OX=9606 GN=DUSP9 PE=1 SV=1
+TPALEFAGDSTPTTFFSPPNSAASAQGGSGQEQSHREELRLSREFDLLQGMFNFNPSINSKKRKVLDYADNLSLHLKQMLYAVTVTVSRSVGALCHVLVGCNQSLAEDIFEIAEPFFRSLNQSWHDSIPIQKYHFDGNKEFFNPLNPTVNLIYRIGLKALSELNASDRASGLYLNPLIQVPFSARLGVPPPTAGESDLGCSMSDRDAESEADSCDSGLCLSGLGVVPVPGPVPAMSSGARGALSTECLHPCEAQFRSFGGQLYYALYGEERLKQLLTGLVSEAEWEEAEAEAEGRRRRGGGQDYLLVPAPPPPQLPPGPLLARVSLSGRRLRRLLLAPLAVSLAGGIRASEYLERSRCDLLLLRPRPPSLERRLWLCSRGLGEM
+>sp|Q6RFH8|DUX4C_HUMAN Double homeobox protein 4C OS=Homo sapiens OX=9606 GN=DUX4L9 PE=1 SV=1
+MALPTPSDSTLPAEARGRGRRRRLVWTPSQSEALRACFERNPYPGIATRERLAQAIGIPEPRVQIWFQNERSRQLRQHRRESRPWPGRRGPPEGRRKRTAVTGSQTALLLRAFEKDRFPGIAAREELARETGLPESRIQIWFQNRRARHPGQGGRAPAQAGGLCSAAPGGGHPAPSWVAFAHTGAWGTGLPAPHVPCAPGALPQGAFVSQAARAAPALQPSQAAPAEGISQPAPARGDFAYAAPAPPDGALSHPQAPRWPPHPGKSREDRDPQRDGLPGPCAVAQPGPAQAGPQGQGVLAPPTSQGSPWWGWGRGPQVAGAAWEPQAGAAPPPQPAPPDASAASTDASHPGASQPLQEPGRSSTVTSSLLYELL
+>DECOY_sp|Q6RFH8|DUX4C_HUMAN Double homeobox protein 4C OS=Homo sapiens OX=9606 GN=DUX4L9 PE=1 SV=1
+LLEYLLSSTVTSSRGPEQLPQSAGPHSADTSAASADPPAPQPPPAAGAQPEWAAGAVQPGRGWGWWPSGQSTPPALVGQGQPGAQAPGPQAVACPGPLGDRQPDRDERSKGPHPPWRPAQPHSLAGDPPAPAAYAFDGRAPAPQSIGEAPAAQSPQLAPAARAAQSVFAGQPLAGPACPVHPAPLGTGWAGTHAFAVWSPAPHGGGPAASCLGGAQAPARGGQGPHRARRNQFWIQIRSEPLGTERALEERAAIGPFRDKEFARLLLATQSGTVATRKRRGEPPGRRGPWPRSERRHQRLQRSRENQFWIQVRPEPIGIAQALRERTAIGPYPNREFCARLAESQSPTWVLRRRRGRGRAEAPLTSDSPTPLAM
+>sp|Q96PT3|DUX5_HUMAN Double homeobox protein 5 OS=Homo sapiens OX=9606 GN=DUX5 PE=2 SV=1
+MPAEVHGSPPASLCPCQSVKFRPGLPEMALLTALDDTLPEEAQGPGRRMILLSTPSQSDALRACFERNLYPGIATKEELAQGIDIPEPRVQIWFQNERSCQLRQHRRQSRPWPGRRDPQKGRRKRTAITGSQTALLLRAFEKDRFPGIAAREELARETGLPESRIQIWFQNRRARHRGQSGRAPTQASIRCNAAPIG
+>DECOY_sp|Q96PT3|DUX5_HUMAN Double homeobox protein 5 OS=Homo sapiens OX=9606 GN=DUX5 PE=2 SV=1
+GIPAANCRISAQTPARGSQGRHRARRNQFWIQIRSEPLGTERALEERAAIGPFRDKEFARLLLATQSGTIATRKRRGKQPDRRGPWPRSQRRHQRLQCSRENQFWIQVRPEPIDIGQALEEKTAIGPYLNREFCARLADSQSPTSLLIMRRGPGQAEEPLTDDLATLLAMEPLGPRFKVSQCPCLSAPPSGHVEAPM
+>sp|O14641|DVL2_HUMAN Segment polarity protein dishevelled homolog DVL-2 OS=Homo sapiens OX=9606 GN=DVL2 PE=1 SV=1
+MAGSSTGGGGVGETKVIYHLDEEETPYLVKIPVPAERITLGDFKSVLQRPAGAKYFFKSMDQDFGVVKEEISDDNARLPCFNGRVVSWLVSSDNPQPEMAPPVHEPRAELAPPAPPLPPLPPERTSGIGDSRPPSFHPNVSSSHENLEPETETESVVSLRRERPRRRDSSEHGAGGHRTGGPSRLERHLAGYESSSTLMTSELESTSLGDSDEEDTMSRFSSSTEQSSASRLLKRHRRRRKQRPPRLERTSSFSSVTDSTMSLNIITVTLNMEKYNFLGISIVGQSNERGDGGIYIGSIMKGGAVAADGRIEPGDMLLQVNDMNFENMSNDDAVRVLRDIVHKPGPIVLTVAKCWDPSPQAYFTLPRNEPIQPIDPAAWVSHSAALTGTFPAYPGSSSMSTITSGSSLPDGCEGRGLSVHTDMASVTKAMAAPESGLEVRDRMWLKITIPNAFLGSDVVDWLYHHVEGFPERREARKYASGLLKAGLIRHTVNKITFSEQCYYVFGDLSGGCESYLVNLSLNDNDGSSGASDQDTLAPLPGATPWPLLPTFSYQYPAPHPYSPQPPPYHELSSYTYGGGSASSQHSEGSRSSGSTRSDGGAGRTGRPEERAPESKSGSGSESEPSSRGGSLRRGGEASGTSDGGPPPSRGSTGGAPNLRAHPGLHPYGPPPGMALPYNPMMVVMMPPPPPPVPPAVQPPGAPPVRDLGSVPPELTASRQSFHMAMGNPSEFFVDVM
+>DECOY_sp|O14641|DVL2_HUMAN Segment polarity protein dishevelled homolog DVL-2 OS=Homo sapiens OX=9606 GN=DVL2 PE=1 SV=1
+MVDVFFESPNGMAMHFSQRSATLEPPVSGLDRVPPAGPPQVAPPVPPPPPPMMVVMMPNYPLAMGPPPGYPHLGPHARLNPAGGTSGRSPPPGGDSTGSAEGGRRLSGGRSSPESESGSGSKSEPAREEPRGTRGAGGDSRTSGSSRSGESHQSSASGGGYTYSSLEHYPPPQPSYPHPAPYQYSFTPLLPWPTAGPLPALTDQDSAGSSGDNDNLSLNVLYSECGGSLDGFVYYCQESFTIKNVTHRILGAKLLGSAYKRAERREPFGEVHHYLWDVVDSGLFANPITIKLWMRDRVELGSEPAAMAKTVSAMDTHVSLGRGECGDPLSSGSTITSMSSSGPYAPFTGTLAASHSVWAAPDIPQIPENRPLTFYAQPSPDWCKAVTLVIPGPKHVIDRLVRVADDNSMNEFNMDNVQLLMDGPEIRGDAAVAGGKMISGIYIGGDGRENSQGVISIGLFNYKEMNLTVTIINLSMTSDTVSSFSSTRELRPPRQKRRRRHRKLLRSASSQETSSSFRSMTDEEDSDGLSTSELESTMLTSSSEYGALHRELRSPGGTRHGGAGHESSDRRRPRERRLSVVSETETEPELNEHSSSVNPHFSPPRSDGIGSTREPPLPPLPPAPPALEARPEHVPPAMEPQPNDSSVLWSVVRGNFCPLRANDDSIEEKVVGFDQDMSKFFYKAGAPRQLVSKFDGLTIREAPVPIKVLYPTEEEDLHYIVKTEGVGGGGTSSGAM
+>sp|Q8WWB3|DYDC1_HUMAN DPY30 domain-containing protein 1 OS=Homo sapiens OX=9606 GN=DYDC1 PE=1 SV=1
+MESIYLQKHLGACLTQGLAEVARVRPVDPIEYLALWIYKYKENVTMEQLRQKEMAKLERERELALMEQEMMERLKAEELLLQQQQLALQLELEMQEKERQRIQELQRAQEQLGKEMRMNMENLVRNEDILHSEEATLDSGKTLAEISDRYGAPNLSRVEELDEPMFSDIALNIDQDL
+>DECOY_sp|Q8WWB3|DYDC1_HUMAN DPY30 domain-containing protein 1 OS=Homo sapiens OX=9606 GN=DYDC1 PE=1 SV=1
+LDQDINLAIDSFMPEDLEEVRSLNPAGYRDSIEALTKGSDLTAEESHLIDENRVLNEMNMRMEKGLQEQARQLEQIRQREKEQMELELQLALQQQQLLLEEAKLREMMEQEMLALERERELKAMEKQRLQEMTVNEKYKYIWLALYEIPDVPRVRAVEALGQTLCAGLHKQLYISEM
+>sp|Q9NZJ5|E2AK3_HUMAN Eukaryotic translation initiation factor 2-alpha kinase 3 OS=Homo sapiens OX=9606 GN=EIF2AK3 PE=1 SV=3
+MERAISPGLLVRALLLLLLLLGLAARTVAAGRARGLPAPTAEAAFGLGAAAAPTSATRVPAAGAVAAAEVTVEDAEALPAAAGEQEPRGPEPDDETELRPRGRSLVIISTLDGRIAALDPENHGKKQWDLDVGSGSLVSSSLSKPEVFGNKMIIPSLDGALFQWDQDRESMETVPFTVESLLESSYKFGDDVVLVGGKSLTTYGLSAYSGKVRYICSALGCRQWDSDEMEQEEDILLLQRTQKTVRAVGPRSGNEKWNFSVGHFELRYIPDMETRAGFIESTFKPNENTEESKIISDVEEQEAAIMDIVIKVSVADWKVMAFSKKGGHLEWEYQFCTPIASAWLLKDGKVIPISLFDDTSYTSNDDVLEDEEDIVEAARGATENSVYLGMYRGQLYLQSSVRISEKFPSSPKALESVTNENAIIPLPTIKWKPLIHSPSRTPVLVGSDEFDKCLSNDKFSHEEYSNGALSILQYPYDNGYYLPYYKRERNKRSTQITVRFLDNPHYNKNIRKKDPVLLLHWWKEIVATILFCIIATTFIVRRLFHPHPHRQRKESETQCQTENKYDSVSGEANDSSWNDIKNSGYISRYLTDFEPIQCLGRGGFGVVFEAKNKVDDCNYAIKRIRLPNRELAREKVMREVKALAKLEHPGIVRYFNAWLEAPPEKWQEKMDEIWLKDESTDWPLSSPSPMDAPSVKIRRMDPFATKEHIEIIAPSPQRSRSFSVGISCDQTSSSESQFSPLEFSGMDHEDISESVDAAYNLQDSCLTDCDVEDGTMDGNDEGHSFELCPSEASPYVRSRERTSSSIVFEDSGCDNASSKEEPKTNRLHIGNHCANKLTAFKPTSSKSSSEATLSISPPRPTTLSLDLTKNTTEKLQPSSPKVYLYIQMQLCRKENLKDWMNGRCTIEERERSVCLHIFLQIAEAVEFLHSKGLMHRDLKPSNIFFTMDDVVKVGDFGLVTAMDQDEEEQTVLTPMPAYARHTGQVGTKLYMSPEQIHGNSYSHKVDIFSLGLILFELLYPFSTQMERVRTLTDVRNLKFPPLFTQKYPCEYVMVQDMLSPSPMERPEAINIIENAVFEDLDFPGKTVLRQRSRSLSSSGTKHSRQSNNSHSPLPSN
+>DECOY_sp|Q9NZJ5|E2AK3_HUMAN Eukaryotic translation initiation factor 2-alpha kinase 3 OS=Homo sapiens OX=9606 GN=EIF2AK3 PE=1 SV=3
+NSPLPSHSNNSQRSHKTGSSSLSRSRQRLVTKGPFDLDEFVANEIINIAEPREMPSPSLMDQVMVYECPYKQTFLPPFKLNRVDTLTRVREMQTSFPYLLEFLILGLSFIDVKHSYSNGHIQEPSMYLKTGVQGTHRAYAPMPTLVTQEEEDQDMATVLGFDGVKVVDDMTFFINSPKLDRHMLGKSHLFEVAEAIQLFIHLCVSREREEITCRGNMWDKLNEKRCLQMQIYLYVKPSSPQLKETTNKTLDLSLTTPRPPSISLTAESSSKSSTPKFATLKNACHNGIHLRNTKPEEKSSANDCGSDEFVISSSTRERSRVYPSAESPCLEFSHGEDNGDMTGDEVDCDTLCSDQLNYAADVSESIDEHDMGSFELPSFQSESSSTQDCSIGVSFSRSRQPSPAIIEIHEKTAFPDMRRIKVSPADMPSPSSLPWDTSEDKLWIEDMKEQWKEPPAELWANFYRVIGPHELKALAKVERMVKERALERNPLRIRKIAYNCDDVKNKAEFVVGFGGRGLCQIPEFDTLYRSIYGSNKIDNWSSDNAEGSVSDYKNETQCQTESEKRQRHPHPHFLRRVIFTTAIICFLITAVIEKWWHLLLVPDKKRINKNYHPNDLFRVTIQTSRKNRERKYYPLYYGNDYPYQLISLAGNSYEEHSFKDNSLCKDFEDSGVLVPTRSPSHILPKWKITPLPIIANENTVSELAKPSSPFKESIRVSSQLYLQGRYMGLYVSNETAGRAAEVIDEEDELVDDNSTYSTDDFLSIPIVKGDKLLWASAIPTCFQYEWELHGGKKSFAMVKWDAVSVKIVIDMIAAEQEEVDSIIKSEETNENPKFTSEIFGARTEMDPIYRLEFHGVSFNWKENGSRPGVARVTKQTRQLLLIDEEQEMEDSDWQRCGLASCIYRVKGSYASLGYTTLSKGGVLVVDDGFKYSSELLSEVTFPVTEMSERDQDWQFLAGDLSPIIMKNGFVEPKSLSSSVLSGSGVDLDWQKKGHNEPDLAAIRGDLTSIIVLSRGRPRLETEDDPEPGRPEQEGAAAPLAEADEVTVEAAAVAGAAPVRTASTPAAAAGLGFAAEATPAPLGRARGAAVTRAALGLLLLLLLLARVLLGPSIAREM
+>sp|Q01094|E2F1_HUMAN Transcription factor E2F1 OS=Homo sapiens OX=9606 GN=E2F1 PE=1 SV=1
+MALAGAPAGGPCAPALEALLGAGALRLLDSSQIVIISAAQDASAPPAPTGPAAPAAGPCDPDLLLFATPQAPRPTPSAPRPALGRPPVKRRLDLETDHQYLAESSGPARGRGRHPGKGVKSPGEKSRYETSLNLTTKRFLELLSHSADGVVDLNWAAEVLKVQKRRIYDITNVLEGIQLIAKKSKNHIQWLGSHTTVGVGGRLEGLTQDLRQLQESEQQLDHLMNICTTQLRLLSEDTDSQRLAYVTCQDLRSIADPAEQMVMVIKAPPETQLQAVDSSENFQISLKSKQGPIDVFLCPEETVGGISPGKTPSQEVTSEEENRATDSATIVSPPPSSPPSSLTTDPSQSLLSLEQEPLLSRMGSLRAPVDEDRLSPLVAADSLLEHVREDFSGLLPEEFISLSPPHEALDYHFGLEEGEGIRDLFDCDFGDLTPLDF
+>DECOY_sp|Q01094|E2F1_HUMAN Transcription factor E2F1 OS=Homo sapiens OX=9606 GN=E2F1 PE=1 SV=1
+FDLPTLDGFDCDFLDRIGEGEELGFHYDLAEHPPSLSIFEEPLLGSFDERVHELLSDAAVLPSLRDEDVPARLSGMRSLLPEQELSLLSQSPDTTLSSPPSSPPPSVITASDTARNEEESTVEQSPTKGPSIGGVTEEPCLFVDIPGQKSKLSIQFNESSDVAQLQTEPPAKIVMVMQEAPDAISRLDQCTVYALRQSDTDESLLRLQTTCINMLHDLQQESEQLQRLDQTLGELRGGVGVTTHSGLWQIHNKSKKAILQIGELVNTIDYIRRKQVKLVEAAWNLDVVGDASHSLLELFRKTTLNLSTEYRSKEGPSKVGKGPHRGRGRAPGSSEALYQHDTELDLRRKVPPRGLAPRPASPTPRPAQPTAFLLLDPDCPGAAPAAPGTPAPPASADQAASIIVIQSSDLLRLAGAGLLAELAPACPGGAPAGALAM
+>sp|Q9H4G0|E41L1_HUMAN Band 4.1-like protein 1 OS=Homo sapiens OX=9606 GN=EPB41L1 PE=1 SV=2
+MTTETGPDSEVKKAQEEAPQQPEAAAAVTTPVTPAGHGHPEANSNEKHPSQQDTRPAEQSLDMEEKDYSEADGLSERTTPSKAQKSPQKIAKKYKSAICRVTLLDASEYECEVEKHGRGQVLFDLVCEHLNLLEKDYFGLTFCDADSQKNWLDPSKEIKKQIRSSPWNFAFTVKFYPPDPAQLTEDITRYYLCLQLRADIITGRLPCSFVTHALLGSYAVQAELGDYDAEEHVGNYVSELRFAPNQTRELEERIMELHKTYRGMTPGEAEIHFLENAKKLSMYGVDLHHAKDSEGIDIMLGVCANGLLIYRDRLRINRFAWPKILKISYKRSNFYIKIRPGEYEQFESTIGFKLPNHRSAKRLWKVCIEHHTFFRLVSPEPPPKGFLVMGSKFRYSGRTQAQTRQASALIDRPAPFFERSSSKRYTMSRSLDGAEFSRPASVSENHDAGPDGDKRDEDGESGGQRSEAEEGEVRTPTKIKELKPEQETTPRHKQEFLDKPEDVLLKHQASINELKRTLKEPNSKLIHRDRDWERERRLPSSPASPSPKGTPEKANERAGLREGSEEKVKPPRPRAPESDTGDEDQDQERDTVFLKDNHLAIERKCSSITVSSTSSLEAEVDFTVIGDYHGSAFEDFSRSLPELDRDKSDSDTEGLLFSRDLNKGAPSQDDESGGIEDSPDRGACSTPDMPQFEPVKTETMTVSSLAIRKKIEPEAVLQTRVSAMDNTQQVDGSASVGREFIATTPSITTETISTTMENSLKSGKGAAAMIPGPQTVATEIRSLSPIIGKDVLTSTYGATAETLSTSTTTHVTKTVKGGFSETRIEKRIIITGDEDVDQDQALALAIKEAKLQHPDMLVTKAVVYRETDPSPEERDKKPQES
+>DECOY_sp|Q9H4G0|E41L1_HUMAN Band 4.1-like protein 1 OS=Homo sapiens OX=9606 GN=EPB41L1 PE=1 SV=2
+SEQPKKDREEPSPDTERYVVAKTVLMDPHQLKAEKIALALAQDQDVDEDGTIIIRKEIRTESFGGKVTKTVHTTTSTSLTEATAGYTSTLVDKGIIPSLSRIETAVTQPGPIMAAAGKGSKLSNEMTTSITETTISPTTAIFERGVSASGDVQQTNDMASVRTQLVAEPEIKKRIALSSVTMTETKVPEFQPMDPTSCAGRDPSDEIGGSEDDQSPAGKNLDRSFLLGETDSDSKDRDLEPLSRSFDEFASGHYDGIVTFDVEAELSSTSSVTISSCKREIALHNDKLFVTDREQDQDEDGTDSEPARPRPPKVKEESGERLGARENAKEPTGKPSPSAPSSPLRREREWDRDRHILKSNPEKLTRKLENISAQHKLLVDEPKDLFEQKHRPTTEQEPKLEKIKTPTRVEGEEAESRQGGSEGDEDRKDGDPGADHNESVSAPRSFEAGDLSRSMTYRKSSSREFFPAPRDILASAQRTQAQTRGSYRFKSGMVLFGKPPPEPSVLRFFTHHEICVKWLRKASRHNPLKFGITSEFQEYEGPRIKIYFNSRKYSIKLIKPWAFRNIRLRDRYILLGNACVGLMIDIGESDKAHHLDVGYMSLKKANELFHIEAEGPTMGRYTKHLEMIREELERTQNPAFRLESVYNGVHEEADYDGLEAQVAYSGLLAHTVFSCPLRGTIIDARLQLCLYYRTIDETLQAPDPPYFKVTFAFNWPSSRIQKKIEKSPDLWNKQSDADCFTLGFYDKELLNLHECVLDFLVQGRGHKEVECEYESADLLTVRCIASKYKKAIKQPSKQAKSPTTRESLGDAESYDKEEMDLSQEAPRTDQQSPHKENSNAEPHGHGAPTVPTTVAAAAEPQQPAEEQAKKVESDPGTETTM
+>sp|O43491|E41L2_HUMAN Band 4.1-like protein 2 OS=Homo sapiens OX=9606 GN=EPB41L2 PE=1 SV=1
+MTTEVGSVSEVKKDSSQLGTDATKEKPKEVAENQQNQSSDPEEEKGSQPPPAAESQSSLRRQKREKETSESRGISRFIPPWLKKQKSYTLVVAKDGGDKKEPTQAVVEEQVLDKEEPLPEEQRQAKGDAEEMAQKKQEIKVEVKEEKPSVSKEEKPSVSKVEMQPTELVSKEREEKVKETQEDKLEGGAAKRETKEVQTNELKAEKASQKVTKKTKTVQCKVTLLDGTEYSCDLEKHAKGQVLFDKVCEHLNLLEKDYFGLLFQESPEQKNWLDPAKEIKRQLRNLPWLFTFNVKFYPPDPSQLTEDITRYFLCLQLRQDIASGRLPCSFVTHALLGSYTLQAELGDYDPEEHGSIDLSEFQFAPTQTKELEEKVAELHKTHRGLSPAQADSQFLENAKRLSMYGVDLHHAKDSEGVDIKLGVCANGLLIYKDRLRINRFAWPKILKISYKRSNFYIKVRPAELEQFESTIGFKLPNHRAAKRLWKVCVEHHTFYRLVSPEQPPKAKFLTLGSKFRYSGRTQAQTRQASTLIDRPAPHFERTSSKRVSRSLDGAPIGVMDQSLMKDFPGAAGEISAYGPGLVSIAVVQDGDGRREVRSPTKAPHLQLIEGKKNSLRVEGDNIYVRHSNLMLEELDKAQEDILKHQASISELKRNFMESTPEPRPNEWEKRRITPLSLQTQGSSHETLNIVEEKKRAEVGKDERVITEEMNGKEISPGSGPGEIRKVEPVTQKDSTSLSSESSSSSSESEEEDVGEYRPHHRVTEGTIREEQEYEEEVEEEPRPAAKVVEREEAVPEASPVTQAGASVITVETVIQENVGAQKIPGEKSVHEGALKQDMGEEAEEEPQKVNGEVSHVDIDVLPQIICCSEPPVVKTEMVTISDASQRTEISTKEVPIVQTETKTITYESPQIDGGAGGDSGTLLTAQTITSESVSTTTTTHITKTVKGGISETRIEKRIVITGDGDIDHDQALAQAIREAREQHPDMSVTRVVVHKETELAEEGED
+>DECOY_sp|O43491|E41L2_HUMAN Band 4.1-like protein 2 OS=Homo sapiens OX=9606 GN=EPB41L2 PE=1 SV=1
+DEGEEALETEKHVVVRTVSMDPHQERAERIAQALAQDHDIDGDGTIVIRKEIRTESIGGKVTKTIHTTTTTSVSESTITQATLLTGSDGGAGGDIQPSEYTITKTETQVIPVEKTSIETRQSADSITVMETKVVPPESCCIIQPLVDIDVHSVEGNVKQPEEEAEEGMDQKLAGEHVSKEGPIKQAGVNEQIVTEVTIVSAGAQTVPSAEPVAEEREVVKAAPRPEEEVEEEYEQEERITGETVRHHPRYEGVDEEESESSSSSSESSLSTSDKQTVPEVKRIEGPGSGPSIEKGNMEETIVREDKGVEARKKEEVINLTEHSSGQTQLSLPTIRRKEWENPRPEPTSEMFNRKLESISAQHKLIDEQAKDLEELMLNSHRVYINDGEVRLSNKKGEILQLHPAKTPSRVERRGDGDQVVAISVLGPGYASIEGAAGPFDKMLSQDMVGIPAGDLSRSVRKSSTREFHPAPRDILTSAQRTQAQTRGSYRFKSGLTLFKAKPPQEPSVLRYFTHHEVCVKWLRKAARHNPLKFGITSEFQELEAPRVKIYFNSRKYSIKLIKPWAFRNIRLRDKYILLGNACVGLKIDVGESDKAHHLDVGYMSLRKANELFQSDAQAPSLGRHTKHLEAVKEELEKTQTPAFQFESLDISGHEEPDYDGLEAQLTYSGLLAHTVFSCPLRGSAIDQRLQLCLFYRTIDETLQSPDPPYFKVNFTFLWPLNRLQRKIEKAPDLWNKQEPSEQFLLGFYDKELLNLHECVKDFLVQGKAHKELDCSYETGDLLTVKCQVTKTKKTVKQSAKEAKLENTQVEKTERKAAGGELKDEQTEKVKEEREKSVLETPQMEVKSVSPKEEKSVSPKEEKVEVKIEQKKQAMEEADGKAQRQEEPLPEEKDLVQEEVVAQTPEKKDGGDKAVVLTYSKQKKLWPPIFRSIGRSESTEKERKQRRLSSQSEAAPPPQSGKEEEPDSSQNQQNEAVEKPKEKTADTGLQSSDKKVESVSGVETTM
+>sp|P43005|EAA3_HUMAN Excitatory amino acid transporter 3 OS=Homo sapiens OX=9606 GN=SLC1A1 PE=1 SV=2
+MGKPARKGCEWKRFLKNNWVLLSTVAAVVLGITTGVLVREHSNLSTLEKFYFAFPGEILMRMLKLIILPLIISSMITGVAALDSNVSGKIGLRAVVYYFCTTLIAVILGIVLVVSIKPGVTQKVGEIARTGSTPEVSTVDAMLDLIRNMFPENLVQACFQQYKTKREEVKPPSDPEMNMTEESFTAVMTTAISKNKTKEYKIVGMYSDGINVLGLIVFCLVFGLVIGKMGEKGQILVDFFNALSDATMKIVQIIMCYMPLGILFLIAGKIIEVEDWEIFRKLGLYMATVLTGLAIHSIVILPLIYFIVVRKNPFRFAMGMAQALLTALMISSSSATLPVTFRCAEENNQVDKRITRFVLPVGATINMDGTALYEAVAAVFIAQLNDLDLGIGQIITISITATSASIGAAGVPQAGLVTMVIVLSAVGLPAEDVTLIIAVDWLLDRFRTMVNVLGDAFGTGIVEKLSKKELEQMDVSSEVNIVNPFALESTILDNEDSDTKKSYVNGGFAVDKSDTISFTQTSQF
+>DECOY_sp|P43005|EAA3_HUMAN Excitatory amino acid transporter 3 OS=Homo sapiens OX=9606 GN=SLC1A1 PE=1 SV=2
+FQSTQTFSITDSKDVAFGGNVYSKKTDSDENDLITSELAFPNVINVESSVDMQELEKKSLKEVIGTGFADGLVNVMTRFRDLLWDVAIILTVDEAPLGVASLVIVMTVLGAQPVGAAGISASTATISITIIQGIGLDLDNLQAIFVAAVAEYLATGDMNITAGVPLVFRTIRKDVQNNEEACRFTVPLTASSSSIMLATLLAQAMGMAFRFPNKRVVIFYILPLIVISHIALGTLVTAMYLGLKRFIEWDEVEIIKGAILFLIGLPMYCMIIQVIKMTADSLANFFDVLIQGKEGMKGIVLGFVLCFVILGLVNIGDSYMGVIKYEKTKNKSIATTMVATFSEETMNMEPDSPPKVEERKTKYQQFCAQVLNEPFMNRILDLMADVTSVEPTSGTRAIEGVKQTVGPKISVVLVIGLIVAILTTCFYYVVARLGIKGSVNSDLAAVGTIMSSIILPLIILKLMRMLIEGPFAFYFKELTSLNSHERVLVGTTIGLVVAAVTSLLVWNNKLFRKWECGKRAPKGM
+>sp|P48664|EAA4_HUMAN Excitatory amino acid transporter 4 OS=Homo sapiens OX=9606 GN=SLC1A6 PE=2 SV=1
+MSSHGNSLFLRESGQRLGRVGWLQRLQESLQQRALRTRLRLQTMTLEHVLRFLRRNAFILLTVSAVVIGVSLAFALRPYQLTYRQIKYFSFPGELLMRMLQMLVLPLIVSSLVTGMASLDNKATGRMGMRAAVYYMVTTIIAVFIGILMVTIIHPGKGSKEGLHREGRIETIPTADAFMDLIRNMFPPNLVEACFKQFKTQYSTRVVTRTMVRTENGSEPGASMPPPFSVENGTSFLENVTRALGTLQEMLSFEETVPVPGSANGINALGLVVFSVAFGLVIGGMKHKGRVLRDFFDSLNEAIMRLVGIIIWYAPVGILFLIAGKILEMEDMAVLGGQLGMYTLTVIVGLFLHAGIVLPLIYFLVTHRNPFPFIGGMLQALITAMGTSSSSATLPITFRCLEEGLGVDRRITRFVLPVGATVNMDGTALYEALAAIFIAQVNNYELNLGQITTISITATAASVGAAGIPQAGLVTMVIVLTSVGLPTEDITLIIAVDWFLDRLRTMTNVLGDSIGAAVIEHLSQRELELQEAELTLPSLGKPYKSLMAQEKGASRGRGGNESAM
+>DECOY_sp|P48664|EAA4_HUMAN Excitatory amino acid transporter 4 OS=Homo sapiens OX=9606 GN=SLC1A6 PE=2 SV=1
+MASENGGRGRSAGKEQAMLSKYPKGLSPLTLEAEQLELERQSLHEIVAAGISDGLVNTMTRLRDLFWDVAIILTIDETPLGVSTLVIVMTVLGAQPIGAAGVSAATATISITTIQGLNLEYNNVQAIFIAALAEYLATGDMNVTAGVPLVFRTIRRDVGLGEELCRFTIPLTASSSSTGMATILAQLMGGIFPFPNRHTVLFYILPLVIGAHLFLGVIVTLTYMGLQGGLVAMDEMELIKGAILFLIGVPAYWIIIGVLRMIAENLSDFFDRLVRGKHKMGGIVLGFAVSFVVLGLANIGNASGPVPVTEEFSLMEQLTGLARTVNELFSTGNEVSFPPPMSAGPESGNETRVMTRTVVRTSYQTKFQKFCAEVLNPPFMNRILDMFADATPITEIRGERHLGEKSGKGPHIITVMLIGIFVAIITTVMYYVAARMGMRGTAKNDLSAMGTVLSSVILPLVLMQLMRMLLEGPFSFYKIQRYTLQYPRLAFALSVGIVVASVTLLIFANRRLFRLVHELTMTQLRLRTRLARQQLSEQLRQLWGVRGLRQGSERLFLSNGHSSM
+>sp|Q96JC9|EAF1_HUMAN ELL-associated factor 1 OS=Homo sapiens OX=9606 GN=EAF1 PE=1 SV=1
+MNGTANPLLDREEHCLRLGESFEKRPRASFHTIRYDFKPASIDTSCEGELQVGKGDEVTITLPHIPGSTPPMTVFKGNKRPYQKDCVLIINHDTGEYVLEKLSSSIQVKKTRAEGSSKIQARMEQQPTRPPQTSQPPPPPPPMPFRAPTKPPVGPKTSPLKDNPSPEPQLDDIKRELRAEVDIIEQMSSSSGSSSSDSESSSGSDDDSSSSGGEDNGPASPPQPSHQQPYNSRPAVANGTSRPQGSNQLMNTLRNDLQLSESGSDSDD
+>DECOY_sp|Q96JC9|EAF1_HUMAN ELL-associated factor 1 OS=Homo sapiens OX=9606 GN=EAF1 PE=1 SV=1
+DDSDSGSESLQLDNRLTNMLQNSGQPRSTGNAVAPRSNYPQQHSPQPPSAPGNDEGGSSSSDDDSGSSSESDSSSSGSSSSMQEIIDVEARLERKIDDLQPEPSPNDKLPSTKPGVPPKTPARFPMPPPPPPPQSTQPPRTPQQEMRAQIKSSGEARTKKVQISSSLKELVYEGTDHNIILVCDKQYPRKNGKFVTMPPTSGPIHPLTITVEDGKGVQLEGECSTDISAPKFDYRITHFSARPRKEFSEGLRLCHEERDLLPNATGNM
+>sp|P42892|ECE1_HUMAN Endothelin-converting enzyme 1 OS=Homo sapiens OX=9606 GN=ECE1 PE=1 SV=2
+MRGVWPPPVSALLSALGMSTYKRATLDEEDLVDSLSEGDAYPNGLQVNFHSPRSGQRCWAARTQVEKRLVVLVVLLAAGLVACLAALGIQYQTRSPSVCLSEACVSVTSSILSSMDPTVDPCHDFFSYACGGWIKANPVPDGHSRWGTFSNLWEHNQAIIKHLLENSTASVSEAERKAQVYYRACMNETRIEELRAKPLMELIERLGGWNITGPWAKDNFQDTLQVVTAHYRTSPFFSVYVSADSKNSNSNVIQVDQSGLGLPSRDYYLNKTENEKVLTGYLNYMVQLGKLLGGGDEEAIRPQMQQILDFETALANITIPQEKRRDEELIYHKVTAAELQTLAPAINWLPFLNTIFYPVEINESEPIVVYDKEYLEQISTLINTTDRCLLNNYMIWNLVRKTSSFLDQRFQDADEKFMEVMYGTKKTCLPRWKFCVSDTENNLGFALGPMFVKATFAEDSKSIATEIILEIKKAFEESLSTLKWMDEETRKSAKEKADAIYNMIGYPNFIMDPKELDKVFNDYTAVPDLYFENAMRFFNFSWRVTADQLRKAPNRDQWSMTPPMVNAYYSPTKNEIVFPAGILQAPFYTRSSPKALNFGGIGVVVGHELTHAFDDQGREYDKDGNLRPWWKNSSVEAFKRQTECMVEQYSNYSVNGEPVNGRHTLGENIADNGGLKAAYRAYQNWVKKNGAEHSLPTLGLTNNQLFFLGFAQVWCSVRTPESSHEGLITDPHSPSRFRVIGSLSNSKEFSEHFRCPPGSPMNPPHKCEVW
+>DECOY_sp|P42892|ECE1_HUMAN Endothelin-converting enzyme 1 OS=Homo sapiens OX=9606 GN=ECE1 PE=1 SV=2
+WVECKHPPNMPSGPPCRFHESFEKSNSLSGIVRFRSPSHPDTILGEHSSEPTRVSCWVQAFGLFFLQNNTLGLTPLSHEAGNKKVWNQYARYAAKLGGNDAINEGLTHRGNVPEGNVSYNSYQEVMCETQRKFAEVSSNKWWPRLNGDKDYERGQDDFAHTLEHGVVVGIGGFNLAKPSSRTYFPAQLIGAPFVIENKTPSYYANVMPPTMSWQDRNPAKRLQDATVRWSFNFFRMANEFYLDPVATYDNFVKDLEKPDMIFNPYGIMNYIADAKEKASKRTEEDMWKLTSLSEEFAKKIELIIETAISKSDEAFTAKVFMPGLAFGLNNETDSVCFKWRPLCTKKTGYMVEMFKEDADQFRQDLFSSTKRVLNWIMYNNLLCRDTTNILTSIQELYEKDYVVIPESENIEVPYFITNLFPLWNIAPALTQLEAATVKHYILEEDRRKEQPITINALATEFDLIQQMQPRIAEEDGGGLLKGLQVMYNLYGTLVKENETKNLYYDRSPLGLGSQDVQIVNSNSNKSDASVYVSFFPSTRYHATVVQLTDQFNDKAWPGTINWGGLREILEMLPKARLEEIRTENMCARYYVQAKREAESVSATSNELLHKIIAQNHEWLNSFTGWRSHGDPVPNAKIWGGCAYSFFDHCPDVTPDMSSLISSTVSVCAESLCVSPSRTQYQIGLAALCAVLGAALLVVLVVLRKEVQTRAAWCRQGSRPSHFNVQLGNPYADGESLSDVLDEEDLTARKYTSMGLASLLASVPPPWVGRM
+>sp|O94769|ECM2_HUMAN Extracellular matrix protein 2 OS=Homo sapiens OX=9606 GN=ECM2 PE=2 SV=1
+MKIAVLFCFFLLIIFQTDFGKNEEIPRKQRRKIYHRRLRKSSTSHKHRSNRQLGIQQTTVFTPVARLPIVNFDYSMEEKFESFSSFPGVESSYNVLPGKKGHCLVKGITMYNKAVWSPEPCTTCLCSDGRVLCDETMCHPQRCPQTVIPEGECCPVCSATVSYSLLSGIALNDRNEFSGDSSEQREPTNLLHKQLPPPQVGMDRIVRKEALQSEEDEEVKEEDTEQKRETPESRNQGQLYSEGDSRGGDRKQRPGEERRLAHQQQRQGREEEEDEEEEGEEGEEDEEDEEDPVRGDMFRMPSRSPLPAPPRGTLRLPSGCSLSYRTISCINAMLTQIPPLTAPQITSLELTGNSIASIPDEAFNGLPNLERLDLSKNNITSSGIGPKAFKLLKKLMRLNMDGNNLIQIPSQLPSTLEELKVNENNLQAIDEESLSDLNQLVTLELEGNNLSEANVNPLAFKPLKSLAYLRLGKNKFRIIPQGLPGSIEELYLENNQIEEITEICFNHTRKINVIVLRYNKIEENRIAPLAWINQENLESIDLSYNKLYHVPSYLPKSLLHLVLLGNQIERIPGYVFGHMEPGLEYLYLSFNKLADDGMDRVSFYGAYHSLRELFLDHNDLKSIPPGIQEMKALHFLRLNNNKIRNILPEEICNAEEDDDSNLEHLHLENNYIKIREIPSYTFSCIRSYSSIVLKPQNIK
+>DECOY_sp|O94769|ECM2_HUMAN Extracellular matrix protein 2 OS=Homo sapiens OX=9606 GN=ECM2 PE=2 SV=1
+KINQPKLVISSYSRICSFTYSPIERIKIYNNELHLHELNSDDDEEANCIEEPLINRIKNNNLRLFHLAKMEQIGPPISKLDNHDLFLERLSHYAGYFSVRDMGDDALKNFSLYLYELGPEMHGFVYGPIREIQNGLLVLHLLSKPLYSPVHYLKNYSLDISELNEQNIWALPAIRNEEIKNYRLVIVNIKRTHNFCIETIEEIQNNELYLEEISGPLGQPIIRFKNKGLRLYALSKLPKFALPNVNAESLNNGELELTVLQNLDSLSEEDIAQLNNENVKLEELTSPLQSPIQILNNGDMNLRMLKKLLKFAKPGIGSSTINNKSLDLRELNPLGNFAEDPISAISNGTLELSTIQPATLPPIQTLMANICSITRYSLSCGSPLRLTGRPPAPLPSRSPMRFMDGRVPDEEDEEDEEGEEGEEEEDEEEERGQRQQQHALRREEGPRQKRDGGRSDGESYLQGQNRSEPTERKQETDEEKVEEDEESQLAEKRVIRDMGVQPPPLQKHLLNTPERQESSDGSFENRDNLAIGSLLSYSVTASCVPCCEGEPIVTQPCRQPHCMTEDCLVRGDSCLCTTCPEPSWVAKNYMTIGKVLCHGKKGPLVNYSSEVGPFSSFSEFKEEMSYDFNVIPLRAVPTFVTTQQIGLQRNSRHKHSTSSKRLRRHYIKRRQKRPIEENKGFDTQFIILLFFCFLVAIKM
+>sp|Q6IED9|DG2L7_HUMAN Putative diacylglycerol O-acyltransferase 2-like protein DGAT2L7P OS=Homo sapiens OX=9606 GN=DGAT2L7P PE=5 SV=2
+MLAVLYLLVKTAKLGTSWNYLFDFHPHRVLVVGAFANFCTEPTGCSCLFPKLPPHLLMLPCWFHLLFFQDYIMSGASALPPGLVSFVKAPLPQWWPGGCPGVGGPLQALEAKPGQLSLPIRNQKRLVKSALELGENELFQQFPNPQSSWVQRTQEALRPLLSVALQLFLGRRGLPLPFRAPIRTVVGSAIPVQQSPPPSPAQVDTLQARYVGRLTQLFEEHQARYGVPADRHLVLTEARPTAWPRLSAG
+>DECOY_sp|Q6IED9|DG2L7_HUMAN Putative diacylglycerol O-acyltransferase 2-like protein DGAT2L7P OS=Homo sapiens OX=9606 GN=DGAT2L7P PE=5 SV=2
+GASLRPWATPRAETLVLHRDAPVGYRAQHEEFLQTLRGVYRAQLTDVQAPSPPPSQQVPIASGVVTRIPARFPLPLGRRGLFLQLAVSLLPRLAEQTRQVWSSQPNPFQQFLENEGLELASKVLRKQNRIPLSLQGPKAELAQLPGGVGPCGGPWWQPLPAKVFSVLGPPLASAGSMIYDQFFLLHFWCPLMLLHPPLKPFLCSCGTPETCFNAFAGVVLVRHPHFDFLYNWSTGLKATKVLLYLVALM
+>sp|Q16854|DGUOK_HUMAN Deoxyguanosine kinase, mitochondrial OS=Homo sapiens OX=9606 GN=DGUOK PE=1 SV=2
+MAAGRLFLSRLRAPFSSMAKSPLEGVSSSRGLHAGRGPRRLSIEGNIAVGKSTFVKLLTKTYPEWHVATEPVATWQNIQAAGTQKACTAQSLGNLLDMMYREPARWSYTFQTFSFLSRLKVQLEPFPEKLLQARKPVQIFERSVYSDRYIFAKNLFENGSLSDIEWHIYQDWHSFLLWEFASRITLHGFIYLQASPQVCLKRLYQRAREEEKGIELAYLEQLHGQHEAWLIHKTTKLHFEALMNIPVLVLDVNDDFSEEVTKQEDLMREVNTFVKNL
+>DECOY_sp|Q16854|DGUOK_HUMAN Deoxyguanosine kinase, mitochondrial OS=Homo sapiens OX=9606 GN=DGUOK PE=1 SV=2
+LNKVFTNVERMLDEQKTVEESFDDNVDLVLVPINMLAEFHLKTTKHILWAEHQGHLQELYALEIGKEEERARQYLRKLCVQPSAQLYIFGHLTIRSAFEWLLFSHWDQYIHWEIDSLSGNEFLNKAFIYRDSYVSREFIQVPKRAQLLKEPFPELQVKLRSLFSFTQFTYSWRAPERYMMDLLNGLSQATCAKQTGAAQINQWTAVPETAVHWEPYTKTLLKVFTSKGVAINGEISLRRPGRGAHLGRSSSVGELPSKAMSSFPARLRSLFLRGAAM
+>sp|Q92506|DHB8_HUMAN Estradiol 17-beta-dehydrogenase 8 OS=Homo sapiens OX=9606 GN=HSD17B8 PE=1 SV=2
+MASQLQNRLRSALALVTGAGSGIGRAVSVRLAGEGATVAACDLDRAAAQETVRLLGGPGSKEGPPRGNHAAFQADVSEARAARCLLEQVQACFSRPPSVVVSCAGITQDEFLLHMSEDDWDKVIAVNLKGTFLVTQAAAQALVSNGCRGSIINISSIVGKVGNVGQTNYAASKAGVIGLTQTAARELGRHGIRCNSVLPGFIATPMTQKVPQKVVDKITEMIPMGHLGDPEDVADVVAFLASEDSGYITGTSVEVTGGLFM
+>DECOY_sp|Q92506|DHB8_HUMAN Estradiol 17-beta-dehydrogenase 8 OS=Homo sapiens OX=9606 GN=HSD17B8 PE=1 SV=2
+MFLGGTVEVSTGTIYGSDESALFAVVDAVDEPDGLHGMPIMETIKDVVKQPVKQTMPTAIFGPLVSNCRIGHRGLERAATQTLGIVGAKSAAYNTQGVNGVKGVISSINIISGRCGNSVLAQAAAQTVLFTGKLNVAIVKDWDDESMHLLFEDQTIGACSVVVSPPRSFCAQVQELLCRAARAESVDAQFAAHNGRPPGEKSGPGGLLRVTEQAAARDLDCAAVTAGEGALRVSVARGIGSGAGTVLALASRLRNQLQSAM
+>sp|Q9UQ10|DHDH_HUMAN Trans-1,2-dihydrobenzene-1,2-diol dehydrogenase OS=Homo sapiens OX=9606 GN=DHDH PE=1 SV=1
+MALRWGIVSVGLISSDFTAVLQTLPRSEHQVVAVAARDLSRAKEFAQKHDIPKAYGSYEELAKDPSVEVAYIGTQHPQHKAAVMLCLAAGKAVLCEKPTGVNAAEVREMVAEARSRALFLMEAIWTRFFPASEALRSVLAQGTLGDLRVARAEFGKNLIHVPRAVDRAQAGGALLDIGIYCVQFTSMVFGGQKPEKISVVGRRHETGVDDTVTVLLQYPGEVHGSFTCSITVQLSNTASVSGTKGMVQLLNPCWCPTELVVKGEHKEFPLPPVPKDCNFDNGAGMSYEAKHVWECLRKGMKESPVIPLSESELLADILEEVRKAIGVTFPQDKR
+>DECOY_sp|Q9UQ10|DHDH_HUMAN Trans-1,2-dihydrobenzene-1,2-diol dehydrogenase OS=Homo sapiens OX=9606 GN=DHDH PE=1 SV=1
+RKDQPFTVGIAKRVEELIDALLESESLPIVPSEKMGKRLCEWVHKAEYSMGAGNDFNCDKPVPPLPFEKHEGKVVLETPCWCPNLLQVMGKTGSVSATNSLQVTISCTFSGHVEGPYQLLVTVTDDVGTEHRRGVVSIKEPKQGGFVMSTFQVCYIGIDLLAGGAQARDVARPVHILNKGFEARAVRLDGLTGQALVSRLAESAPFFRTWIAEMLFLARSRAEAVMERVEAANVGTPKECLVAKGAALCLMVAAKHQPHQTGIYAVEVSPDKALEEYSGYAKPIDHKQAFEKARSLDRAAVAVVQHESRPLTQLVATFDSSILGVSVIGWRLAM
+>sp|P49448|DHE4_HUMAN Glutamate dehydrogenase 2, mitochondrial OS=Homo sapiens OX=9606 GN=GLUD2 PE=1 SV=2
+MYRYLAKALLPSRAGPAALGSAANHSAALLGRGRGQPAAASQPGLALAARRHYSELVADREDDPNFFKMVEGFFDRGASIVEDKLVKDLRTQESEEQKRNRVRGILRIIKPCNHVLSLSFPIRRDDGSWEVIEGYRAQHSQHRTPCKGGIRYSTDVSVDEVKALASLMTYKCAVVDVPFGGAKAGVKINPKNYTENELEKITRRFTMELAKKGFIGPGVDVPAPDMNTGEREMSWIADTYASTIGHYDINAHACVTGKPISQGGIHGRISATGRGVFHGIENFINEASYMSILGMTPGFRDKTFVVQGFGNVGLHSMRYLHRFGAKCIAVGESDGSIWNPDGIDPKELEDFKLQHGSILGFPKAKPYEGSILEVDCDILIPAATEKQLTKSNAPRVKAKIIAEGANGPTTPEADKIFLERNILVIPDLYLNAGGVTVSYFEWLKNLNHVSYGRLTFKYERDSNYHLLLSVQESLERKFGKHGGTIPIVPTAEFQDSISGASEKDIVHSALAYTMERSARQIMHTAMKYNLGLDLRTAAYVNAIEKVFKVYSEAGVTFT
+>DECOY_sp|P49448|DHE4_HUMAN Glutamate dehydrogenase 2, mitochondrial OS=Homo sapiens OX=9606 GN=GLUD2 PE=1 SV=2
+TFTVGAESYVKFVKEIANVYAATRLDLGLNYKMATHMIQRASREMTYALASHVIDKESAGSISDQFEATPVIPITGGHKGFKRELSEQVSLLLHYNSDREYKFTLRGYSVHNLNKLWEFYSVTVGGANLYLDPIVLINRELFIKDAEPTTPGNAGEAIIKAKVRPANSKTLQKETAAPILIDCDVELISGEYPKAKPFGLISGHQLKFDELEKPDIGDPNWISGDSEGVAICKAGFRHLYRMSHLGVNGFGQVVFTKDRFGPTMGLISMYSAENIFNEIGHFVGRGTASIRGHIGGQSIPKGTVCAHANIDYHGITSAYTDAIWSMEREGTNMDPAPVDVGPGIFGKKALEMTFRRTIKELENETYNKPNIKVGAKAGGFPVDVVACKYTMLSALAKVEDVSVDTSYRIGGKCPTRHQSHQARYGEIVEWSGDDRRIPFSLSLVHNCPKIIRLIGRVRNRKQEESEQTRLDKVLKDEVISAGRDFFGEVMKFFNPDDERDAVLESYHRRAALALGPQSAAAPQGRGRGLLAASHNAASGLAAPGARSPLLAKALYRYM
+>sp|P28845|DHI1_HUMAN Corticosteroid 11-beta-dehydrogenase isozyme 1 OS=Homo sapiens OX=9606 GN=HSD11B1 PE=1 SV=3
+MAFMKKYLLPILGLFMAYYYYSANEEFRPEMLQGKKVIVTGASKGIGREMAYHLAKMGAHVVVTARSKETLQKVVSHCLELGAASAHYIAGTMEDMTFAEQFVAQAGKLMGGLDMLILNHITNTSLNLFHDDIHHVRKSMEVNFLSYVVLTVAALPMLKQSNGSIVVVSSLAGKVAYPMVAAYSASKFALDGFFSSIRKEYSVSRVNVSITLCVLGLIDTETAMKAVSGIVHMQAAPKEECALEIIKGGALRQEEVYYDSSLWTTLLIRNPCRKILEFLYSTSYNMDRFINK
+>DECOY_sp|P28845|DHI1_HUMAN Corticosteroid 11-beta-dehydrogenase isozyme 1 OS=Homo sapiens OX=9606 GN=HSD11B1 PE=1 SV=3
+KNIFRDMNYSTSYLFELIKRCPNRILLTTWLSSDYYVEEQRLAGGKIIELACEEKPAAQMHVIGSVAKMATETDILGLVCLTISVNVRSVSYEKRISSFFGDLAFKSASYAAVMPYAVKGALSSVVVISGNSQKLMPLAAVTLVVYSLFNVEMSKRVHHIDDHFLNLSTNTIHNLILMDLGGMLKGAQAVFQEAFTMDEMTGAIYHASAAGLELCHSVVKQLTEKSRATVVVHAGMKALHYAMERGIGKSAGTVIVKKGQLMEPRFEENASYYYYAMFLGLIPLLYKKMFAM
+>sp|A0PJE2|DHR12_HUMAN Dehydrogenase/reductase SDR family member 12 OS=Homo sapiens OX=9606 GN=DHRS12 PE=2 SV=2
+MSLYRSVVWFAKGLREYTKSGYESACKDFVPHDLEVQIPGRVFLVTGGNSGIGKATALEIAKRGGTVHLVCRDQAPAEDARGEIIRESGNQNIFLHIVDLSDPKQIWKFVENFKQEHKLHVLINNAGCMVNKRELTEDGLEKNFAANTLGVYILTTGLIPVLEKEHDPRVITVSSGGMLVQKLNTNDLQSERTPFDGTMVYAQNKRQQVVLTERWAQGHPAIHFSSMHPGWADTPGVRQAMPGFHARFGDRLRSEAQGADTMLWLALSSAAAAQPSGRFFQDRKPVSTHLPLATASSSPAEEEKLIEILEQLAQTFK
+>DECOY_sp|A0PJE2|DHR12_HUMAN Dehydrogenase/reductase SDR family member 12 OS=Homo sapiens OX=9606 GN=DHRS12 PE=2 SV=2
+KFTQALQELIEILKEEEAPSSSATALPLHTSVPKRDQFFRGSPQAAAASSLALWLMTDAGQAESRLRDGFRAHFGPMAQRVGPTDAWGPHMSSFHIAPHGQAWRETLVVQQRKNQAYVMTGDFPTRESQLDNTNLKQVLMGGSSVTIVRPDHEKELVPILGTTLIYVGLTNAAFNKELGDETLERKNVMCGANNILVHLKHEQKFNEVFKWIQKPDSLDVIHLFINQNGSERIIEGRADEAPAQDRCVLHVTGGRKAIELATAKGIGSNGGTVLFVRGPIQVELDHPVFDKCASEYGSKTYERLGKAFWVVSRYLSM
+>sp|Q13268|DHRS2_HUMAN Dehydrogenase/reductase SDR family member 2, mitochondrial OS=Homo sapiens OX=9606 GN=DHRS2 PE=1 SV=4
+MLSAVARGYQGWFHPCARLSVRMSSTGIDRKGVLANRVAVVTGSTSGIGFAIARRLARDGAHVVISSRKQQNVDRAMAKLQGEGLSVAGIVCHVGKAEDREQLVAKALEHCGGVDFLVCSAGVNPLVGSTLGTSEQIWDKILSVNVKSPALLLSQLLPYMENRRGAVILVSSIAAYNPVVALGVYNVSKTALLGLTRTLALELAPKDIRVNCVVPGIIKTDFSKVFHGNESLWKNFKEHHQLQRIGESEDCAGIVSFLCSPDASYVNGENIAVAGYSTRL
+>DECOY_sp|Q13268|DHRS2_HUMAN Dehydrogenase/reductase SDR family member 2, mitochondrial OS=Homo sapiens OX=9606 GN=DHRS2 PE=1 SV=4
+LRTSYGAVAINEGNVYSADPSCLFSVIGACDESEGIRQLQHHEKFNKWLSENGHFVKSFDTKIIGPVVCNVRIDKPALELALTRTLGLLATKSVNYVGLAVVPNYAAISSVLIVAGRRNEMYPLLQSLLLAPSKVNVSLIKDWIQESTGLTSGVLPNVGASCVLFDVGGCHELAKAVLQERDEAKGVHCVIGAVSLGEGQLKAMARDVNQQKRSSIVVHAGDRALRRAIAFGIGSTSGTVVAVRNALVGKRDIGTSSMRVSLRACPHFWGQYGRAVASLM
+>sp|O14521|DHSD_HUMAN Succinate dehydrogenase [ubiquinone] cytochrome b small subunit, mitochondrial OS=Homo sapiens OX=9606 GN=SDHD PE=1 SV=1
+MAVLWRLSAVCGALGGRALLLRTPVVRPAHISAFLQDRPIPEWCGVQHIHLSPSHHSGSKAASLHWTSERVVSVLLLGLLPAAYLNPCSAMDYSLAAALTLHGHWGLGQVVTDYVHGDALQKAAKAGLLALSALTFAGLCYFNYHDVGICKAVAMLWKL
+>DECOY_sp|O14521|DHSD_HUMAN Succinate dehydrogenase [ubiquinone] cytochrome b small subunit, mitochondrial OS=Homo sapiens OX=9606 GN=SDHD PE=1 SV=1
+LKWLMAVAKCIGVDHYNFYCLGAFTLASLALLGAKAAKQLADGHVYDTVVQGLGWHGHLTLAAALSYDMASCPNLYAAPLLGLLLVSVVRESTWHLSAAKSGSHHSPSLHIHQVGCWEPIPRDQLFASIHAPRVVPTRLLLARGGLAGCVASLRWLVAM
+>sp|Q96HY7|DHTK1_HUMAN Probable 2-oxoglutarate dehydrogenase E1 component DHKTD1, mitochondrial OS=Homo sapiens OX=9606 GN=DHTKD1 PE=1 SV=2
+MASATAAAARRGLGRALPLFWRGYQTERGVYGYRPRKPESREPQGALERPPVDHGLARLVTVYCEHGHKAAKINPLFTGQALLENVPEIQALVQTLQGPFHTAGLLNMGKEEASLEEVLVYLNQIYCGQISIETSQLQSQDEKDWFAKRFEELQKETFTTEERKHLSKLMLESQEFDHFLATKFSTVKRYGGEGAESMMGFFHELLKMSAYSGITDVIIGMPHRGRLNLLTGLLQFPPELMFRKMRGLSEFPENFSATGDVLSHLTSSVDLYFGAHHPLHVTMLPNPSHLEAVNPVAVGKTRGRQQSRQDGDYSPDNSAQPGDRVICLQVHGDASFCGQGIVPETFTLSNLPHFRIGGSVHLIVNNQLGYTTPAERGRSSLYCSDIGKLVGCAIIHVNGDSPEEVVRATRLAFEYQRQFRKDVIIDLLCYRQWGHNELDEPFYTNPIMYKIIRARKSIPDTYAEHLIAGGLMTQEEVSEIKSSYYAKLNDHLNNMAHYRPPALNLQAHWQGLAQPEAQITTWSTGVPLDLLRFVGMKSVEVPRELQMHSHLLKTHVQSRMEKMMDGIKLDWATAEALALGSLLAQGFNVRLSGQDVGRGTFSQRHAIVVCQETDDTYIPLNHMDPNQKGFLEVSNSPLSEEAVLGFEYGMSIESPKLLPLWEAQFGDFFNGAQIIFDTFISGGEAKWLLQSGIVILLPHGYDGAGPDHSSCRIERFLQMCDSAEEGVDGDTVNMFVVHPTTPAQYFHLLRRQMVRNFRKPLIVASPKMLLRLPAAVSTLQEMAPGTTFNPVIGDSSVDPKKVKTLVFCSGKHFYSLVKQRESLGAKKHDFAIIRVEELCPFPLDSLQQEMSKYKHVKDHIWSQEEPQNMGPWSFVSPRFEKQLACKLRLVGRPPLPVPAVGIGTVHLHQHEDILAKTFA
+>DECOY_sp|Q96HY7|DHTK1_HUMAN Probable 2-oxoglutarate dehydrogenase E1 component DHKTD1, mitochondrial OS=Homo sapiens OX=9606 GN=DHTKD1 PE=1 SV=2
+AFTKALIDEHQHLHVTGIGVAPVPLPPRGVLRLKCALQKEFRPSVFSWPGMNQPEEQSWIHDKVHKYKSMEQQLSDLPFPCLEEVRIIAFDHKKAGLSERQKVLSYFHKGSCFVLTKVKKPDVSSDGIVPNFTTGPAMEQLTSVAAPLRLLMKPSAVILPKRFNRVMQRRLLHFYQAPTTPHVVFMNVTDGDVGEEASDCMQLFREIRCSSHDPGAGDYGHPLLIVIGSQLLWKAEGGSIFTDFIIQAGNFFDGFQAEWLPLLKPSEISMGYEFGLVAEESLPSNSVELFGKQNPDMHNLPIYTDDTEQCVVIAHRQSFTGRGVDQGSLRVNFGQALLSGLALAEATAWDLKIGDMMKEMRSQVHTKLLHSHMQLERPVEVSKMGVFRLLDLPVGTSWTTIQAEPQALGQWHAQLNLAPPRYHAMNNLHDNLKAYYSSKIESVEEQTMLGGAILHEAYTDPISKRARIIKYMIPNTYFPEDLENHGWQRYCLLDIIVDKRFQRQYEFALRTARVVEEPSDGNVHIIACGVLKGIDSCYLSSRGREAPTTYGLQNNVILHVSGGIRFHPLNSLTFTEPVIGQGCFSADGHVQLCIVRDGPQASNDPSYDGDQRSQQRGRTKGVAVPNVAELHSPNPLMTVHLPHHAGFYLDVSSTLHSLVDGTASFNEPFESLGRMKRFMLEPPFQLLGTLLNLRGRHPMGIIVDTIGSYASMKLLEHFFGMMSEAGEGGYRKVTSFKTALFHDFEQSELMLKSLHKREETTFTEKQLEEFRKAFWDKEDQSQLQSTEISIQGCYIQNLYVLVEELSAEEKGMNLLGATHFPGQLTQVLAQIEPVNELLAQGTFLPNIKAAKHGHECYVTVLRALGHDVPPRELAGQPERSEPKRPRYGYVGRETQYGRWFLPLARGLGRRAAAATASAM
+>sp|Q9H5Z1|DHX35_HUMAN Probable ATP-dependent RNA helicase DHX35 OS=Homo sapiens OX=9606 GN=DHX35 PE=1 SV=2
+MAAPVGPVKFWRPGTEGPGVSISEERQSLAENSGTTVVYNPYAALSIEQQRQKLPVFKLRNHILYLIENYQTVVIVGETGCGKSTQIPQYLAEAGWTAEGRVVGVTQPRRVAAVTVAGRVAEERGAVLGHEVGYCIRFDDCTDQLATRIKFLTDGMLVREMMVDPLLTKYSVIMLDEAHERTLYTDIAIGLLKKIQKKRGDLRLIVASATLDADKFRDFFNQNETSDPARDTCVILTVEGRTFPVDIFYLQSPVPDYIKSTVETVVKIHQTEGDGDVLAFLTGQEEVETVVSMLIEQARALARTGMKRHLRVLPMYAGLPSFEQMKVFERVSRSVRKVIVATNVAETSITISGIVYVIDCGFVKLRAYNPRTAIECLVVVPVSQASANQRAGRGGRSRSGKCYRLYTEEAFDKLPQSTVPEMQRSNLAPVILQLKALGIDNVLRFHFMSPPPAQSMVQALELLYALGGLDKDCRLTEPLGMRIAEFPLNPMFAKMLLESGNFGCSQEILSIAAMMQIQNIFVVPPNQKSHAIRVHRKFAVEEGDHLTMLNIYEAFIKHNKDSKWCQEHFLNYKGLVRAATVREQLKKLLVKFQVPRKSSEGDPDLVLRCIVSGFFANAARFHSTGAYRTIRDDHELHIHPASVLYAEKPPRWVIYNEVIQTSKYYMRDVTAIESAWLLELAPHFYQQGTHLSLKAKRAKVQDP
+>DECOY_sp|Q9H5Z1|DHX35_HUMAN Probable ATP-dependent RNA helicase DHX35 OS=Homo sapiens OX=9606 GN=DHX35 PE=1 SV=2
+PDQVKARKAKLSLHTGQQYFHPALELLWASEIATVDRMYYKSTQIVENYIVWRPPKEAYLVSAPHIHLEHDDRITRYAGTSHFRAANAFFGSVICRLVLDPDGESSKRPVQFKVLLKKLQERVTAARVLGKYNLFHEQCWKSDKNHKIFAEYINLMTLHDGEEVAFKRHVRIAHSKQNPPVVFINQIQMMAAISLIEQSCGFNGSELLMKAFMPNLPFEAIRMGLPETLRCDKDLGGLAYLLELAQVMSQAPPPSMFHFRLVNDIGLAKLQLIVPALNSRQMEPVTSQPLKDFAEETYLRYCKGSRSRGGRGARQNASAQSVPVVVLCEIATRPNYARLKVFGCDIVYVIGSITISTEAVNTAVIVKRVSRSVREFVKMQEFSPLGAYMPLVRLHRKMGTRALARAQEILMSVVTEVEEQGTLFALVDGDGETQHIKVVTEVTSKIYDPVPSQLYFIDVPFTRGEVTLIVCTDRAPDSTENQNFFDRFKDADLTASAVILRLDGRKKQIKKLLGIAIDTYLTREHAEDLMIVSYKTLLPDVMMERVLMGDTLFKIRTALQDTCDDFRICYGVEHGLVAGREEAVRGAVTVAAVRRPQTVGVVRGEATWGAEALYQPIQTSKGCGTEGVIVVTQYNEILYLIHNRLKFVPLKQRQQEISLAAYPNYVVTTGSNEALSQREESISVGPGETGPRWFKVPGVPAAM
+>sp|Q9H2U1|DHX36_HUMAN ATP-dependent RNA helicase DHX36 OS=Homo sapiens OX=9606 GN=DHX36 PE=1 SV=2
+MSYDYHQNWGRDGGPRSSGGGYGGGPAGGHGGNRGSGGGGGGGGGGRGGRGRHPGHLKGREIGMWYAKKQGQKNKEAERQERAVVHMDERREEQIVQLLNSVQAKNDKESEAQISWFAPEDHGYGTEVSTKNTPCSENKLDIQEKKLINQEKKMFRIRNRSYIDRDSEYLLQENEPDGTLDQKLLEDLQKKKNDLRYIEMQHFREKLPSYGMQKELVNLIDNHQVTVISGETGCGKTTQVTQFILDNYIERGKGSACRIVCTQPRRISAISVAERVAAERAESCGSGNSTGYQIRLQSRLPRKQGSILYCTTGIILQWLQSDPYLSSVSHIVLDEIHERNLQSDVLMTVVKDLLNFRSDLKVILMSATLNAEKFSEYFGNCPMIHIPGFTFPVVEYLLEDVIEKIRYVPEQKEHRSQFKRGFMQGHVNRQEKEEKEAIYKERWPDYVRELRRRYSASTVDVIEMMEDDKVDLNLIVALIRYIVLEEEDGAILVFLPGWDNISTLHDLLMSQVMFKSDKFLIIPLHSLMPTVNQTQVFKRTPPGVRKIVIATNIAETSITIDDVVYVIDGGKIKETHFDTQNNISTMSAEWVSKANAKQRKGRAGRVQPGHCYHLYNGLRASLLDDYQLPEILRTPLEELCLQIKILRLGGIAYFLSRLMDPPSNEAVLLSIRHLMELNALDKQEELTPLGVHLARLPVEPHIGKMILFGALFCCLDPVLTIAASLSFKDPFVIPLGKEKIADARRKELAKDTRSDHLTVVNAFEGWEEARRRGFRYEKDYCWEYFLSSNTLQMLHNMKGQFAEHLLGAGFVSSRNPKDPESNINSDNEKIIKAVICAGLYPKVAKIRLNLGKKRKMVKVYTKTDGLVAVHPKSVNVEQTDFHYNWLIYHLKMRTSSIYLYDCTEVSPYCLLFFGGDISIQKDNDQETIAVDEWIVFQSPARIAHLVKELRKELDILLQEKIESPHPVDWNDTKSRDCAVLSAIIDLIKTQEKATPRNFPPRFQDGYYS
+>DECOY_sp|Q9H2U1|DHX36_HUMAN ATP-dependent RNA helicase DHX36 OS=Homo sapiens OX=9606 GN=DHX36 PE=1 SV=2
+SYYGDQFRPPFNRPTAKEQTKILDIIASLVACDRSKTDNWDVPHPSEIKEQLLIDLEKRLEKVLHAIRAPSQFVIWEDVAITEQDNDKQISIDGGFFLLCYPSVETCDYLYISSTRMKLHYILWNYHFDTQEVNVSKPHVAVLGDTKTYVKVMKRKKGLNLRIKAVKPYLGACIVAKIIKENDSNINSEPDKPNRSSVFGAGLLHEAFQGKMNHLMQLTNSSLFYEWCYDKEYRFGRRRAEEWGEFANVVTLHDSRTDKALEKRRADAIKEKGLPIVFPDKFSLSAAITLVPDLCCFLAGFLIMKGIHPEVPLRALHVGLPTLEEQKDLANLEMLHRISLLVAENSPPDMLRSLFYAIGGLRLIKIQLCLEELPTRLIEPLQYDDLLSARLGNYLHYCHGPQVRGARGKRQKANAKSVWEASMTSINNQTDFHTEKIKGGDIVYVVDDITISTEAINTAIVIKRVGPPTRKFVQTQNVTPMLSHLPIILFKDSKFMVQSMLLDHLTSINDWGPLFVLIAGDEEELVIYRILAVILNLDVKDDEMMEIVDVTSASYRRRLERVYDPWREKYIAEKEEKEQRNVHGQMFGRKFQSRHEKQEPVYRIKEIVDELLYEVVPFTFGPIHIMPCNGFYESFKEANLTASMLIVKLDSRFNLLDKVVTMLVDSQLNREHIEDLVIHSVSSLYPDSQLWQLIIGTTCYLISGQKRPLRSQLRIQYGTSNGSGCSEAREAAVREAVSIASIRRPQTCVIRCASGKGREIYNDLIFQTVQTTKGCGTEGSIVTVQHNDILNVLEKQMGYSPLKERFHQMEIYRLDNKKKQLDELLKQDLTGDPENEQLLYESDRDIYSRNRIRFMKKEQNILKKEQIDLKNESCPTNKTSVETGYGHDEPAFWSIQAESEKDNKAQVSNLLQVIQEERREDMHVVAREQREAEKNKQGQKKAYWMGIERGKLHGPHRGRGGRGGGGGGGGGGSGRNGGHGGAPGGGYGGGSSRPGGDRGWNQHYDYSM
+>sp|P56179|DLX6_HUMAN Homeobox protein DLX-6 OS=Homo sapiens OX=9606 GN=DLX6 PE=2 SV=2
+MSHSQHSPYLQSYHNSSAAAQTRGDDTDQQKTTVIENGEIRFNGKGKKIRKPRTIYSSLQLQALNHRFQQTQYLALPERAELAASLGLTQTQVKIWFQNKRSKFKKLLKQGSNPHESDPLQGSAALSPRSPALPPVWDVSASAKGVSMPPNSYMPGYSHWYSSPHQDTMQRPQMM
+>DECOY_sp|P56179|DLX6_HUMAN Homeobox protein DLX-6 OS=Homo sapiens OX=9606 GN=DLX6 PE=2 SV=2
+MMQPRQMTDQHPSSYWHSYGPMYSNPPMSVGKASASVDWVPPLAPSRPSLAASGQLPDSEHPNSGQKLLKKFKSRKNQFWIKVQTQTLGLSAALEAREPLALYQTQQFRHNLAQLQLSSYITRPKRIKKGKGNFRIEGNEIVTTKQQDTDDGRTQAAASSNHYSQLYPSHQSHSM
+>sp|Q9UGM3|DMBT1_HUMAN Deleted in malignant brain tumors 1 protein OS=Homo sapiens OX=9606 GN=DMBT1 PE=1 SV=2
+MGISTVILEMCLLWGQVLSTGGWIPRTTDYASLIPSEVPLDPTVAEGSPFPSESTLESTVAEGSPISLESTLESTVAEGSLIPSESTLESTVAEGSDSGLALRLVNGDGRCQGRVEILYRGSWGTVCDDSWDTNDANVVCRQLGCGWAMSAPGNAWFGQGSGPIALDDVRCSGHESYLWSCPHNGWLSHNCGHGEDAGVICSAAQPQSTLRPESWPVRISPPVPTEGSESSLALRLVNGGDRCRGRVEVLYRGSWGTVCDDYWDTNDANVVCRQLGCGWAMSAPGNAQFGQGSGPIVLDDVRCSGHESYLWSCPHNGWLTHNCGHSEDAGVICSAPQSRPTPSPDTWPTSHASTAGPESSLALRLVNGGDRCQGRVEVLYRGSWGTVCDDSWDTSDANVVCRQLGCGWATSAPGNARFGQGSGPIVLDDVRCSGYESYLWSCPHNGWLSHNCQHSEDAGVICSAAHSWSTPSPDTLPTITLPASTVGSESSLALRLVNGGDRCQGRVEVLYRGSWGTVCDDSWDTNDANVVCRQLGCGWAMLAPGNARFGQGSGPIVLDDVRCSGNESYLWSCPHNGWLSHNCGHSEDAGVICSGPESSLALRLVNGGDRCQGRVEVLYRGSWGTVCDDSWDTNDANVVCRQLGCGWATSAPGNARFGQGSGPIVLDDVRCSGHESYLWSCPNNGWLSHNCGHHEDAGVICSAAQSRSTPRPDTLSTITLPPSTVGSESSLTLRLVNGSDRCQGRVEVLYRGSWGTVCDDSWDTNDANVVCRQLGCGWATSAPGNARFGQGSGPIVLDDVRCSGHESYLWSCPHNGWLSHNCGHHEDAGVICSVSQSRPTPSPDTWPTSHASTAGPESSLALRLVNGGDRCQGRVEVLYRGSWGTVCDDSWDTSDANVVCRQLGCGWATSAPGNARFGQGSGPIVLDDVRCSGYESYLWSCPHNGWLSHNCQHSEDAGVICSAAHSWSTPSPDTLPTITLPASTVGSESSLALRLVNGGDRCQGRVEVLYQGSWGTVCDDSWDTNDANVVCRQLGCGWAMSAPGNARFGQGSGPIVLDDVRCSGHESYLWSCPHNGWLSHNCGHSEDAGVICSASQSRPTPSPDTWPTSHASTAGSESSLALRLVNGGDRCQGRVEVLYRGSWGTVCDDYWDTNDANVVCRQLGCGWAMSAPGNARFGQGSGPIVLDDVRCSGHESYLWSCPHNGWLSHNCGHHEDAGVICSASQSQPTPSPDTWPTSHASTAGSESSLALRLVNGGDRCQGRVEVLYRGSWGTVCDDYWDTNDANVVCRQLGCGWATSAPGNARFGQGSGPIVLDDVRCSGHESYLWSCPHNGWLSHNCGHHEDAGVICSASQSQPTPSPDTWPTSHASTAGSESSLALRLVNGGDRCQGRVEVLYRGSWGTVCDDYWDTNDANVVCRQLGCGWATSAPGNARFGQGSGPIVLDDVRCSGHESYLWSCPHNGWLSHNCGHHEDAGVICSASQSQPTPSPDTWPTSRASTAGSESTLALRLVNGGDRCRGRVEVLYQGSWGTVCDDYWDTNDANVVCRQLGCGWAMSAPGNAQFGQGSGPIVLDDVRCSGHESYLWSCPHNGWLSHNCGHHEDAGVICSAAQSQSTPRPDTWLTTNLPALTVGSESSLALRLVNGGDRCRGRVEVLYRGSWGTVCDDSWDTNDANVVCRQLGCGWAMSAPGNARFGQGSGPIVLDDVRCSGNESYLWSCPHKGWLTHNCGHHEDAGVICSATQINSTTTDWWHPTTTTTARPSSNCGGFLFYASGTFSSPSYPAYYPNNAKCVWEIEVNSGYRINLGFSNLKLEAHHNCSFDYVEIFDGSLNSSLLLGKICNDTRQIFTSSYNRMTIHFRSDISFQNTGFLAWYNSFPSDATLRLVNLNSSYGLCAGRVEIYHGGTWGTVCDDSWTIQEAEVVCRQLGCGRAVSALGNAYFGSGSGPITLDDVECSGTESTLWQCRNRGWFSHNCNHREDAGVICSGNHLSTPAPFLNITRPNTDYSCGGFLSQPSGDFSSPFYPGNYPNNAKCVWDIEVQNNYRVTVIFRDVQLEGGCNYDYIEVFDGPYRSSPLIARVCDGARGSFTSSSNFMSIRFISDHSITRRGFRAEYYSSPSNDSTNLLCLPNHMQASVSRSYLQSLGFSASDLVISTWNGYYECRPQITPNLVIFTIPYSGCGTFKQADNDTIDYSNFLTAAVSGGIIKRRTDLRIHVSCRMLQNTWVDTMYIANDTIHVANNTIQVEEVQYGNFDVNISFYTSSSFLYPVTSRPYYVDLNQDLYVQAEILHSDAVLTLFVDTCVASPYSNDFTSLTYDLIRSGCVRDDTYGPYSSPSLRIARFRFRAFHFLNRFPSVYLRCKMVVCRAYDPSSRCYRGCVLRSKRDVGSYQEKVDVVLGPIQLQTPPRREEEPR
+>DECOY_sp|Q9UGM3|DMBT1_HUMAN Deleted in malignant brain tumors 1 protein OS=Homo sapiens OX=9606 GN=DMBT1 PE=1 SV=2
+RPEEERRPPTQLQIPGLVVDVKEQYSGVDRKSRLVCGRYCRSSPDYARCVVMKCRLYVSPFRNLFHFARFRFRAIRLSPSSYPGYTDDRVCGSRILDYTLSTFDNSYPSAVCTDVFLTLVADSHLIEAQVYLDQNLDVYYPRSTVPYLFSSSTYFSINVDFNGYQVEEVQITNNAVHITDNAIYMTDVWTNQLMRCSVHIRLDTRRKIIGGSVAATLFNSYDITDNDAQKFTGCGSYPITFIVLNPTIQPRCEYYGNWTSIVLDSASFGLSQLYSRSVSAQMHNPLCLLNTSDNSPSSYYEARFGRRTISHDSIFRISMFNSSSTFSGRAGDCVRAILPSSRYPGDFVEIYDYNCGGELQVDRFIVTVRYNNQVEIDWVCKANNPYNGPYFPSSFDGSPQSLFGGCSYDTNPRTINLFPAPTSLHNGSCIVGADERHNCNHSFWGRNRCQWLTSETGSCEVDDLTIPGSGSGFYANGLASVARGCGLQRCVVEAEQITWSDDCVTGWTGGHYIEVRGACLGYSSNLNVLRLTADSPFSNYWALFGTNQFSIDSRFHITMRNYSSTFIQRTDNCIKGLLLSSNLSGDFIEVYDFSCNHHAELKLNSFGLNIRYGSNVEIEWVCKANNPYYAPYSPSSFTGSAYFLFGGCNSSPRATTTTTPHWWDTTTSNIQTASCIVGADEHHGCNHTLWGKHPCSWLYSENGSCRVDDLVIPGSGQGFRANGPASMAWGCGLQRCVVNADNTDWSDDCVTGWSGRYLVEVRGRCRDGGNVLRLALSSESGVTLAPLNTTLWTDPRPTSQSQAASCIVGADEHHGCNHSLWGNHPCSWLYSEHGSCRVDDLVIPGSGQGFQANGPASMAWGCGLQRCVVNADNTDWYDDCVTGWSGQYLVEVRGRCRDGGNVLRLALTSESGATSARSTPWTDPSPTPQSQSASCIVGADEHHGCNHSLWGNHPCSWLYSEHGSCRVDDLVIPGSGQGFRANGPASTAWGCGLQRCVVNADNTDWYDDCVTGWSGRYLVEVRGQCRDGGNVLRLALSSESGATSAHSTPWTDPSPTPQSQSASCIVGADEHHGCNHSLWGNHPCSWLYSEHGSCRVDDLVIPGSGQGFRANGPASTAWGCGLQRCVVNADNTDWYDDCVTGWSGRYLVEVRGQCRDGGNVLRLALSSESGATSAHSTPWTDPSPTPQSQSASCIVGADEHHGCNHSLWGNHPCSWLYSEHGSCRVDDLVIPGSGQGFRANGPASMAWGCGLQRCVVNADNTDWYDDCVTGWSGRYLVEVRGQCRDGGNVLRLALSSESGATSAHSTPWTDPSPTPRSQSASCIVGADESHGCNHSLWGNHPCSWLYSEHGSCRVDDLVIPGSGQGFRANGPASMAWGCGLQRCVVNADNTDWSDDCVTGWSGQYLVEVRGQCRDGGNVLRLALSSESGVTSAPLTITPLTDPSPTSWSHAASCIVGADESHQCNHSLWGNHPCSWLYSEYGSCRVDDLVIPGSGQGFRANGPASTAWGCGLQRCVVNADSTDWSDDCVTGWSGRYLVEVRGQCRDGGNVLRLALSSEPGATSAHSTPWTDPSPTPRSQSVSCIVGADEHHGCNHSLWGNHPCSWLYSEHGSCRVDDLVIPGSGQGFRANGPASTAWGCGLQRCVVNADNTDWSDDCVTGWSGRYLVEVRGQCRDSGNVLRLTLSSESGVTSPPLTITSLTDPRPTSRSQAASCIVGADEHHGCNHSLWGNNPCSWLYSEHGSCRVDDLVIPGSGQGFRANGPASTAWGCGLQRCVVNADNTDWSDDCVTGWSGRYLVEVRGQCRDGGNVLRLALSSEPGSCIVGADESHGCNHSLWGNHPCSWLYSENGSCRVDDLVIPGSGQGFRANGPALMAWGCGLQRCVVNADNTDWSDDCVTGWSGRYLVEVRGQCRDGGNVLRLALSSESGVTSAPLTITPLTDPSPTSWSHAASCIVGADESHQCNHSLWGNHPCSWLYSEYGSCRVDDLVIPGSGQGFRANGPASTAWGCGLQRCVVNADSTDWSDDCVTGWSGRYLVEVRGQCRDGGNVLRLALSSEPGATSAHSTPWTDPSPTPRSQPASCIVGADESHGCNHTLWGNHPCSWLYSEHGSCRVDDLVIPGSGQGFQANGPASMAWGCGLQRCVVNADNTDWYDDCVTGWSGRYLVEVRGRCRDGGNVLRLALSSESGETPVPPSIRVPWSEPRLTSQPQAASCIVGADEGHGCNHSLWGNHPCSWLYSEHGSCRVDDLAIPGSGQGFWANGPASMAWGCGLQRCVVNADNTDWSDDCVTGWSGRYLIEVRGQCRGDGNVLRLALGSDSGEAVTSELTSESPILSGEAVTSELTSELSIPSGEAVTSELTSESPFPSGEAVTPDLPVESPILSAYDTTRPIWGGTSLVQGWLLCMELIVTSIGM
+>sp|P28068|DMB_HUMAN HLA class II histocompatibility antigen, DM beta chain OS=Homo sapiens OX=9606 GN=HLA-DMB PE=1 SV=1
+MITFLPLLLGLSLGCTGAGGFVAHVESTCLLDDAGTPKDFTYCISFNKDLLTCWDPEENKMAPCEFGVLNSLANVLSQHLNQKDTLMQRLRNGLQNCATHTQPFWGSLTNRTRPPSVQVAKTTPFNTREPVMLACYVWGFYPAEVTITWRKNGKLVMPHSSAHKTAQPNGDWTYQTLSHLALTPSYGDTYTCVVEHIGAPEPILRDWTPGLSPMQTLKVSVSAVTLGLGLIIFSLGVISWRRAGHSSYTPLPGSNYSEGWHIS
+>DECOY_sp|P28068|DMB_HUMAN HLA class II histocompatibility antigen, DM beta chain OS=Homo sapiens OX=9606 GN=HLA-DMB PE=1 SV=1
+SIHWGESYNSGPLPTYSSHGARRWSIVGLSFIILGLGLTVASVSVKLTQMPSLGPTWDRLIPEPAGIHEVVCTYTDGYSPTLALHSLTQYTWDGNPQATKHASSHPMVLKGNKRWTITVEAPYFGWVYCALMVPERTNFPTTKAVQVSPPRTRNTLSGWFPQTHTACNQLGNRLRQMLTDKQNLHQSLVNALSNLVGFECPAMKNEEPDWCTLLDKNFSICYTFDKPTGADDLLCTSEVHAVFGGAGTCGLSLGLLLPLFTIM
+>sp|Q8NFT8|DNER_HUMAN Delta and Notch-like epidermal growth factor-related receptor OS=Homo sapiens OX=9606 GN=DNER PE=1 SV=1
+MQPRRAQAPGAQLLPALALLLLLLGAGPRGSSLANPVPAAPLSAPGPCAAQPCRNGGVCTSRPEPDPQHPAPAGEPGYSCTCPAGISGANCQLVADPCASNPCHHGNCSSSSSSSSDGYLCICNEGYEGPNCEQALPSLPATGWTESMAPRQLQPVPATQEPDKILPRSQATVTLPTWQPKTGQKVVEMKWDQVEVIPDIACGNASSNSSAGGRLVSFEVPQNTSVKIRQDATASLILLWKVTATGFQQCSLIDGRSVTPLQASGGLVLLEEMLALGNNHFIGFVNDSVTKSIVALRLTLVVKVSTCVPGESHANDLECSGKGKCTTKPSEATFSCTCEEQYVGTFCEEYDACQRKPCQNNASCIDANEKQDGSNFTCVCLPGYTGELCQSKIDYCILDPCRNGATCISSLSGFTCQCPEGYFGSACEEKVDPCASSPCQNNGTCYVDGVHFTCNCSPGFTGPTCAQLIDFCALSPCAHGTCRSVGTSYKCLCDPGYHGLYCEEEYNECLSAPCLNAATCRDLVNGYECVCLAEYKGTHCELYKDPCANVSCLNGATCDSDGLNGTCICAPGFTGEECDIDINECDSNPCHHGGSCLDQPNGYNCHCPHGWVGANCEIHLQWKSGHMAESLTNMPRHSLYIIIGALCVAFILMLIILIVGICRISRIEYQGSSRPAYEEFYNCRSIDSEFSNAIASIRHARFGKKSRPAMYDVSPIAYEDYSPDDKPLVTLIKTKDL
+>DECOY_sp|Q8NFT8|DNER_HUMAN Delta and Notch-like epidermal growth factor-related receptor OS=Homo sapiens OX=9606 GN=DNER PE=1 SV=1
+LDKTKILTVLPKDDPSYDEYAIPSVDYMAPRSKKGFRAHRISAIANSFESDISRCNYFEEYAPRSSGQYEIRSIRCIGVILIILMLIFAVCLAGIIIYLSHRPMNTLSEAMHGSKWQLHIECNAGVWGHPCHCNYGNPQDLCSGGHHCPNSDCENIDIDCEEGTFGPACICTGNLGDSDCTAGNLCSVNACPDKYLECHTGKYEALCVCEYGNVLDRCTAANLCPASLCENYEEECYLGHYGPDCLCKYSTGVSRCTGHACPSLACFDILQACTPGTFGPSCNCTFHVGDVYCTGNNQCPSSACPDVKEECASGFYGEPCQCTFGSLSSICTAGNRCPDLICYDIKSQCLEGTYGPLCVCTFNSGDQKENADICSANNQCPKRQCADYEECFTGVYQEECTCSFTAESPKTTCKGKGSCELDNAHSEGPVCTSVKVVLTLRLAVISKTVSDNVFGIFHNNGLALMEELLVLGGSAQLPTVSRGDILSCQQFGTATVKWLLILSATADQRIKVSTNQPVEFSVLRGGASSNSSANGCAIDPIVEVQDWKMEVVKQGTKPQWTPLTVTAQSRPLIKDPEQTAPVPQLQRPAMSETWGTAPLSPLAQECNPGEYGENCICLYGDSSSSSSSSCNGHHCPNSACPDAVLQCNAGSIGAPCTCSYGPEGAPAPHQPDPEPRSTCVGGNRCPQAACPGPASLPAAPVPNALSSGRPGAGLLLLLLALAPLLQAGPAQARRPQM
+>sp|P31689|DNJA1_HUMAN DnaJ homolog subfamily A member 1 OS=Homo sapiens OX=9606 GN=DNAJA1 PE=1 SV=2
+MVKETTYYDVLGVKPNATQEELKKAYRKLALKYHPDKNPNEGEKFKQISQAYEVLSDAKKRELYDKGGEQAIKEGGAGGGFGSPMDIFDMFFGGGGRMQRERRGKNVVHQLSVTLEDLYNGATRKLALQKNVICDKCEGRGGKKGAVECCPNCRGTGMQIRIHQIGPGMVQQIQSVCMECQGHGERISPKDRCKSCNGRKIVREKKILEVHIDKGMKDGQKITFHGEGDQEPGLEPGDIIIVLDQKDHAVFTRRGEDLFMCMDIQLVEALCGFQKPISTLDNRTIVITSHPGQIVKHGDIKCVLNEGMPIYRRPYEKGRLIIEFKVNFPENGFLSPDKLSLLEKLLPERKEVEETDEMDQVELVDFDPNQERRRHYNGEAYEDDEHHPRGGVQCQTS
+>DECOY_sp|P31689|DNJA1_HUMAN DnaJ homolog subfamily A member 1 OS=Homo sapiens OX=9606 GN=DNAJA1 PE=1 SV=2
+STQCQVGGRPHHEDDEYAEGNYHRRREQNPDFDVLEVQDMEDTEEVEKREPLLKELLSLKDPSLFGNEPFNVKFEIILRGKEYPRRYIPMGENLVCKIDGHKVIQGPHSTIVITRNDLTSIPKQFGCLAEVLQIDMCMFLDEGRRTFVAHDKQDLVIIIDGPELGPEQDGEGHFTIKQGDKMGKDIHVELIKKERVIKRGNCSKCRDKPSIREGHGQCEMCVSQIQQVMGPGIQHIRIQMGTGRCNPCCEVAGKKGGRGECKDCIVNKQLALKRTAGNYLDELTVSLQHVVNKGRRERQMRGGGGFFMDFIDMPSGFGGGAGGEKIAQEGGKDYLERKKADSLVEYAQSIQKFKEGENPNKDPHYKLALKRYAKKLEEQTANPKVGLVDYYTTEKVM
+>sp|O60884|DNJA2_HUMAN DnaJ homolog subfamily A member 2 OS=Homo sapiens OX=9606 GN=DNAJA2 PE=1 SV=1
+MANVADTKLYDILGVPPGASENELKKAYRKLAKEYHPDKNPNAGDKFKEISFAYEVLSNPEKRELYDRYGEQGLREGSGGGGGMDDIFSHIFGGGLFGFMGNQSRSRNGRRRGEDMMHPLKVSLEDLYNGKTTKLQLSKNVLCSACSGQGGKSGAVQKCSACRGRGVRIMIRQLAPGMVQQMQSVCSDCNGEGEVINEKDRCKKCEGKKVIKEVKILEVHVDKGMKHGQRITFTGEADQAPGVEPGDIVLLLQEKEHEVFQRDGNDLHMTYKIGLVEALCGFQFTFKHLDGRQIVVKYPPGKVIEPGCVRVVRGEGMPQYRNPFEKGDLYIKFDVQFPENNWINPDKLSELEDLLPSRPEVPNIIGETEEVELQEFDSTRGSGGGQRREAYNDSSDEESSSHHGPGVQCAHQ
+>DECOY_sp|O60884|DNJA2_HUMAN DnaJ homolog subfamily A member 2 OS=Homo sapiens OX=9606 GN=DNAJA2 PE=1 SV=1
+QHACQVGPGHHSSSEEDSSDNYAERRQGGGSGRTSDFEQLEVEETEGIINPVEPRSPLLDELESLKDPNIWNNEPFQVDFKIYLDGKEFPNRYQPMGEGRVVRVCGPEIVKGPPYKVVIQRGDLHKFTFQFGCLAEVLGIKYTMHLDNGDRQFVEHEKEQLLLVIDGPEVGPAQDAEGTFTIRQGHKMGKDVHVELIKVEKIVKKGECKKCRDKENIVEGEGNCDSCVSQMQQVMGPALQRIMIRVGRGRCASCKQVAGSKGGQGSCASCLVNKSLQLKTTKGNYLDELSVKLPHMMDEGRRRGNRSRSQNGMFGFLGGGFIHSFIDDMGGGGGSGERLGQEGYRDYLERKEPNSLVEYAFSIEKFKDGANPNKDPHYEKALKRYAKKLENESAGPPVGLIDYLKTDAVNAM
+>sp|Q96EY1|DNJA3_HUMAN DnaJ homolog subfamily A member 3, mitochondrial OS=Homo sapiens OX=9606 GN=DNAJA3 PE=1 SV=2
+MAARCSTRWLLVVVGTPRLPAISGRGARPPREGVVGAWLSRKLSVPAFASSLTSCGPRALLTLRPGVSLTGTKHNPFICTASFHTSAPLAKEDYYQILGVPRNASQKEIKKAYYQLAKKYHPDTNKDDPKAKEKFSQLAEAYEVLSDEVKRKQYDAYGSAGFDPGASGSQHSYWKGGPTVDPEELFRKIFGEFSSSSFGDFQTVFDQPQEYFMELTFNQAAKGVNKEFTVNIMDTCERCNGKGNEPGTKVQHCHYCGGSGMETINTGPFVMRSTCRRCGGRGSIIISPCVVCRGAGQAKQKKRVMIPVPAGVEDGQTVRMPVGKREIFITFRVQKSPVFRRDGADIHSDLFISIAQALLGGTARAQGLYETINVTIPPGTQTDQKIRMGGKGIPRINSYGYGDHYIHIKIRVPKRLTSRQQSLILSYAEDETDVEGTVNGVTLTSSGGSTMDSSAGSKARREAGEDEEGFLSKLKKMFTS
+>DECOY_sp|Q96EY1|DNJA3_HUMAN DnaJ homolog subfamily A member 3, mitochondrial OS=Homo sapiens OX=9606 GN=DNAJA3 PE=1 SV=2
+STFMKKLKSLFGEEDEGAERRAKSGASSDMTSGGSSTLTVGNVTGEVDTEDEAYSLILSQQRSTLRKPVRIKIHIYHDGYGYSNIRPIGKGGMRIKQDTQTGPPITVNITEYLGQARATGGLLAQAISIFLDSHIDAGDRRFVPSKQVRFTIFIERKGVPMRVTQGDEVGAPVPIMVRKKQKAQGAGRCVVCPSIIISGRGGCRRCTSRMVFPGTNITEMGSGGCYHCHQVKTGPENGKGNCRECTDMINVTFEKNVGKAAQNFTLEMFYEQPQDFVTQFDGFSSSSFEGFIKRFLEEPDVTPGGKWYSHQSGSAGPDFGASGYADYQKRKVEDSLVEYAEALQSFKEKAKPDDKNTDPHYKKALQYYAKKIEKQSANRPVGLIQYYDEKALPASTHFSATCIFPNHKTGTLSVGPRLTLLARPGCSTLSSAFAPVSLKRSLWAGVVGERPPRAGRGSIAPLRPTGVVVLLWRTSCRAAM
+>sp|Q13217|DNJC3_HUMAN DnaJ homolog subfamily C member 3 OS=Homo sapiens OX=9606 GN=DNAJC3 PE=1 SV=1
+MVAPGSVTSRLGSVFPFLLVLVDLQYEGAECGVNADVEKHLELGKKLLAAGQLADALSQFHAAVDGDPDNYIAYYRRATVFLAMGKSKAALPDLTKVIQLKMDFTAARLQRGHLLLKQGKLDEAEDDFKKVLKSNPSENEEKEAQSQLIKSDEMQRLRSQALNAFGSGDYTAAIAFLDKILEVCVWDAELRELRAECFIKEGEPRKAISDLKAASKLKNDNTEAFYKISTLYYQLGDHELSLSEVRECLKLDQDHKRCFAHYKQVKKLNKLIESAEELIRDGRYTDATSKYESVMKTEPSIAEYTVRSKERICHCFSKDEKPVEAIRVCSEVLQMEPDNVNALKDRAEAYLIEEMYDEAIQDYETAQEHNENDQQIREGLEKAQRLLKQSQKRDYYKILGVKRNAKKQEIIKAYRKLALQWHPDNFQNEEEKKKAEKKFIDIAAAKEVLSDPEMRKKFDDGEDPLDAESQQGGGGNPFHRSWNSWQGFNPFSSGGPFRFKFHFN
+>DECOY_sp|Q13217|DNJC3_HUMAN DnaJ homolog subfamily C member 3 OS=Homo sapiens OX=9606 GN=DNAJC3 PE=1 SV=1
+NFHFKFRFPGGSSFPNFGQWSNWSRHFPNGGGGQQSEADLPDEGDDFKKRMEPDSLVEKAAAIDIFKKEAKKKEEENQFNDPHWQLALKRYAKIIEQKKANRKVGLIKYYDRKQSQKLLRQAKELGERIQQDNENHEQATEYDQIAEDYMEEILYAEARDKLANVNDPEMQLVESCVRIAEVPKEDKSFCHCIREKSRVTYEAISPETKMVSEYKSTADTYRGDRILEEASEILKNLKKVQKYHAFCRKHDQDLKLCERVESLSLEHDGLQYYLTSIKYFAETNDNKLKSAAKLDSIAKRPEGEKIFCEARLERLEADWVCVELIKDLFAIAATYDGSGFANLAQSRLRQMEDSKILQSQAEKEENESPNSKLVKKFDDEAEDLKGQKLLLHGRQLRAATFDMKLQIVKTLDPLAAKSKGMALFVTARRYYAIYNDPDGDVAAHFQSLADALQGAALLKKGLELHKEVDANVGCEAGEYQLDVLVLLFPFVSGLRSTVSGPAVM
+>sp|P49184|DNSL1_HUMAN Deoxyribonuclease-1-like 1 OS=Homo sapiens OX=9606 GN=DNASE1L1 PE=1 SV=1
+MHYPTALLFLILANGAQAFRICAFNAQRLTLAKVAREQVMDTLVRILARCDIMVLQEVVDSSGSAIPLLLRELNRFDGSGPYSTLSSPQLGRSTYMETYVYFYRSHKTQVLSSYVYNDEDDVFAREPFVAQFSLPSNVLPSLVLVPLHTTPKAVEKELNALYDVFLEVSQHWQSKDVILLGDFNADCASLTKKRLDKLELRTEPGFHWVIADGEDTTVRASTHCTYDRVVLHGERCRSLLHTAAAFDFPTSFQLTEEEALNISDHYPVEVELKLSQAHSVQPLSLTVLLLLSLLSPQLCPAA
+>DECOY_sp|P49184|DNSL1_HUMAN Deoxyribonuclease-1-like 1 OS=Homo sapiens OX=9606 GN=DNASE1L1 PE=1 SV=1
+AAPCLQPSLLSLLLLVTLSLPQVSHAQSLKLEVEVPYHDSINLAEEETLQFSTPFDFAAATHLLSRCREGHLVVRDYTCHTSARVTTDEGDAIVWHFGPETRLELKDLRKKTLSACDANFDGLLIVDKSQWHQSVELFVDYLANLEKEVAKPTTHLPVLVLSPLVNSPLSFQAVFPERAFVDDEDNYVYSSLVQTKHSRYFYVYTEMYTSRGLQPSSLTSYPGSGDFRNLERLLLPIASGSSDVVEQLVMIDCRALIRVLTDMVQERAVKALTLRQANFACIRFAQAGNALILFLLATPYHM
+>sp|Q6W0C5|DPPA3_HUMAN Developmental pluripotency-associated protein 3 OS=Homo sapiens OX=9606 GN=DPPA3 PE=1 SV=1
+MDPSQFNPTYIPGSPQMLTEENSRDDSGASQISSETLIKNLSNLTINASSESVSPLSEALLRRESVGAAVLREIEDEWLYSRRGVRTLLSVQREKMARLRYMLLGGVRTHERRPTNKEPKGVKKESRPFKCPCSFCVSNGWDPSENARIGNQDTKPLQP
+>DECOY_sp|Q6W0C5|DPPA3_HUMAN Developmental pluripotency-associated protein 3 OS=Homo sapiens OX=9606 GN=DPPA3 PE=1 SV=1
+PQLPKTDQNGIRANESPDWGNSVCFSCPCKFPRSEKKVGKPEKNTPRREHTRVGGLLMYRLRAMKERQVSLLTRVGRRSYLWEDEIERLVAAGVSERRLLAESLPSVSESSANITLNSLNKILTESSIQSAGSDDRSNEETLMQPSGPIYTPNFQSPDM
+>sp|A6NFQ7|DPRX_HUMAN Divergent paired-related homeobox OS=Homo sapiens OX=9606 GN=DPRX PE=3 SV=1
+MPGSEDLRKGKDQMHSHRKRTMFTKKQLEDLNILFNENPYPNPSLQKEMASKIDIHPTVLQVWFKNHRAKLKKAKCKHIHQKQETPQPPIPEGGVSTSVGLRNADTLPRLPNAAHPIGLVYTGHRVPSFQLILYPNLKVPANDFIGHRIVHFGCCRDPNIYCLYPILESQVCAPSFHSGSPACSSNQSRER
+>DECOY_sp|A6NFQ7|DPRX_HUMAN Divergent paired-related homeobox OS=Homo sapiens OX=9606 GN=DPRX PE=3 SV=1
+RERSQNSSCAPSGSHFSPACVQSELIPYLCYINPDRCCGFHVIRHGIFDNAPVKLNPYLILQFSPVRHGTYVLGIPHAANPLRPLTDANRLGVSTSVGGEPIPPQPTEQKQHIHKCKAKKLKARHNKFWVQLVTPHIDIKSAMEKQLSPNPYPNENFLINLDELQKKTFMTRKRHSHMQDKGKRLDESGPM
+>sp|Q5T2R2|DPS1_HUMAN Decaprenyl-diphosphate synthase subunit 1 OS=Homo sapiens OX=9606 GN=PDSS1 PE=1 SV=1
+MASRWWRWRRGCSWKPAARSPGPGSPGRAGPLGPSAAAEVRAQVHRRKGLDLSQIPYINLVKHLTSACPNVCRISRFHHTTPDSKTHSGEKYTDPFKLGWRDLKGLYEDIRKELLISTSELKEMSEYYFDGKGKAFRPIIVALMARACNIHHNNSRHVQASQRAIALIAEMIHTASLVHDDVIDDASSRRGKHTVNKIWGEKKAVLAGDLILSAASIALARIGNTTVISILTQVIEDLVRGEFLQLGSKENENERFAHYLEKTFKKTASLIANSCKAVSVLGCPDPVVHEIAYQYGKNVGIAFQLIDDVLDFTSCSDQMGKPTSADLKLGLATGPVLFACQQFPEMNAMIMRRFSLPGDVDRARQYVLQSDGVQQTTYLAQQYCHEAIREISKLRPSPERDALIQLSEIVLTRDK
+>DECOY_sp|Q5T2R2|DPS1_HUMAN Decaprenyl-diphosphate synthase subunit 1 OS=Homo sapiens OX=9606 GN=PDSS1 PE=1 SV=1
+KDRTLVIESLQILADREPSPRLKSIERIAEHCYQQALYTTQQVGDSQLVYQRARDVDGPLSFRRMIMANMEPFQQCAFLVPGTALGLKLDASTPKGMQDSCSTFDLVDDILQFAIGVNKGYQYAIEHVVPDPCGLVSVAKCSNAILSATKKFTKELYHAFRENENEKSGLQLFEGRVLDEIVQTLISIVTTNGIRALAISAASLILDGALVAKKEGWIKNVTHKGRRSSADDIVDDHVLSATHIMEAILAIARQSAQVHRSNNHHINCARAMLAVIIPRFAKGKGDFYYESMEKLESTSILLEKRIDEYLGKLDRWGLKFPDTYKEGSHTKSDPTTHHFRSIRCVNPCASTLHKVLNIYPIQSLDLGKRRHVQARVEAAASPGLPGARGPSGPGPSRAAPKWSCGRRWRWWRSAM
+>sp|Q9C005|DPY30_HUMAN Protein dpy-30 homolog OS=Homo sapiens OX=9606 GN=DPY30 PE=1 SV=1
+MEPEQMLEGQTQVAENPHSEYGLTDNVERIVENEKINAEKSSKQKVDLQSLPTRAYLDQTVVPILLQGLAVLAKERPPNPIEFLASYLLKNKAQFEDRN
+>DECOY_sp|Q9C005|DPY30_HUMAN Protein dpy-30 homolog OS=Homo sapiens OX=9606 GN=DPY30 PE=1 SV=1
+NRDEFQAKNKLLYSALFEIPNPPREKALVALGQLLIPVVTQDLYARTPLSQLDVKQKSSKEANIKENEVIREVNDTLGYESHPNEAVQTQGELMQEPEM
+>sp|O14531|DPYL4_HUMAN Dihydropyrimidinase-related protein 4 OS=Homo sapiens OX=9606 GN=DPYSL4 PE=1 SV=2
+MSFQGKKSIPRITSDRLLIRGGRIVNDDQSFYADVHVEDGLIKQIGENLIVPGGIKTIDAHGLMVLPGGVDVHTRLQMPVLGMTPADDFCQGTKAALAGGTTMILDHVFPDTGVSLLAAYEQWRERADSAACCDYSLHVDITRWHESIKEELEALVKEKGVNSFLVFMAYKDRCQCSDSQMYEIFSIIRDLGALAQVHAENGDIVEEEQKRLLELGITGPEGHVLSHPEEVEAEAVYRAVTIAKQANCPLYVTKVMSKGAADAIAQAKRRGVVVFGEPITASLGTDGSHYWSKNWAKAAAFVTSPPVNPDPTTADHLTCLLSSGDLQVTGSAHCTFTTAQKAVGKDNFALIPEGTNGIEERMSMVWEKCVASGKMDENEFVAVTSTNAAKIFNFYPRKGRVAVGSDADLVIWNPKATKIISAKTHNLNVEYNIFEGVECRGAPAVVISQGRVALEDGKMFVTPGAGRFVPRKTFPDFVYKRIKARNRLAEIHGVPRGLYDGPVHEVMVPAKPGSGAPARASCPGKISVPPVRNLHQSGFSLSGSQADDHIARRTAQKIMAPPGGRSNITSLS
+>DECOY_sp|O14531|DPYL4_HUMAN Dihydropyrimidinase-related protein 4 OS=Homo sapiens OX=9606 GN=DPYSL4 PE=1 SV=2
+SLSTINSRGGPPAMIKQATRRAIHDDAQSGSLSFGSQHLNRVPPVSIKGPCSARAPAGSGPKAPVMVEHVPGDYLGRPVGHIEALRNRAKIRKYVFDPFTKRPVFRGAGPTVFMKGDELAVRGQSIVVAPAGRCEVGEFINYEVNLNHTKASIIKTAKPNWIVLDADSGVAVRGKRPYFNFIKAANTSTVAVFENEDMKGSAVCKEWVMSMREEIGNTGEPILAFNDKGVAKQATTFTCHASGTVQLDGSSLLCTLHDATTPDPNVPPSTVFAAAKAWNKSWYHSGDTGLSATIPEGFVVVGRRKAQAIADAAGKSMVKTVYLPCNAQKAITVARYVAEAEVEEPHSLVHGEPGTIGLELLRKQEEEVIDGNEAHVQALAGLDRIISFIEYMQSDSCQCRDKYAMFVLFSNVGKEKVLAELEEKISEHWRTIDVHLSYDCCAASDARERWQEYAALLSVGTDPFVHDLIMTTGGALAAKTGQCFDDAPTMGLVPMQLRTHVDVGGPLVMLGHADITKIGGPVILNEGIQKILGDEVHVDAYFSQDDNVIRGGRILLRDSTIRPISKKGQFSM
+>sp|Q9BPU6|DPYL5_HUMAN Dihydropyrimidinase-related protein 5 OS=Homo sapiens OX=9606 GN=DPYSL5 PE=1 SV=1
+MLANSASVRILIKGGKVVNDDCTHEADVYIENGIIQQVGRELMIPGGAKVIDATGKLVIPGGIDTSTHFHQTFMNATCVDDFYHGTKAALVGGTTMIIGHVLPDKETSLVDAYEKCRGLADPKVCCDYALHVGITWWAPKVKAEMETLVREKGVNSFQMFMTYKDLYMLRDSELYQVLHACKDIGAIARVHAENGELVAEGAKEALDLGITGPEGIEISRPEELEAEATHRVITIANRTHCPIYLVNVSSISAGDVIAAAKMQGKVVLAETTTAHATLTGLHYYHQDWSHAAAYVTVPPLRLDTNTSTYLMSLLANDTLNIVASDHRPFTTKQKAMGKEDFTKIPHGVSGVQDRMSVIWERGVVGGKMDENRFVAVTSSNAAKLLNLYPRKGRIIPGADADVVVWDPEATKTISASTQVQGGDFNLYENMRCHGVPLVTISRGRVVYENGVFMCAEGTGKFCPLRSFPDTVYKKLVQREKTLKVRGVDRTPYLGDVAVVVHPGKKEMGTPLADTPTRPVTRHGGMRDLHESSFSLSGSQIDDHVPKRASARILAPPGGRSSGIW
+>DECOY_sp|Q9BPU6|DPYL5_HUMAN Dihydropyrimidinase-related protein 5 OS=Homo sapiens OX=9606 GN=DPYSL5 PE=1 SV=1
+WIGSSRGGPPALIRASARKPVHDDIQSGSLSFSSEHLDRMGGHRTVPRTPTDALPTGMEKKGPHVVVAVDGLYPTRDVGRVKLTKERQVLKKYVTDPFSRLPCFKGTGEACMFVGNEYVVRGRSITVLPVGHCRMNEYLNFDGGQVQTSASITKTAEPDWVVVDADAGPIIRGKRPYLNLLKAANSSTVAVFRNEDMKGGVVGREWIVSMRDQVGSVGHPIKTFDEKGMAKQKTTFPRHDSAVINLTDNALLSMLYTSTNTDLRLPPVTVYAAAHSWDQHYYHLGTLTAHATTTEALVVKGQMKAAAIVDGASISSVNVLYIPCHTRNAITIVRHTAEAELEEPRSIEIGEPGTIGLDLAEKAGEAVLEGNEAHVRAIAGIDKCAHLVQYLESDRLMYLDKYTMFMQFSNVGKERVLTEMEAKVKPAWWTIGVHLAYDCCVKPDALGRCKEYADVLSTEKDPLVHGIIMTTGGVLAAKTGHYFDDVCTANMFTQHFHTSTDIGGPIVLKGTADIVKAGGPIMLERGVQQIIGNEIYVDAEHTCDDNVVKGGKILIRVSASNALM
+>sp|P01906|DQA2_HUMAN HLA class II histocompatibility antigen, DQ alpha 2 chain OS=Homo sapiens OX=9606 GN=HLA-DQA2 PE=1 SV=2
+MILNKALLLGALALTAVMSPCGGEDIVADHVASYGVNFYQSHGPSGQYTHEFDGDEEFYVDLETKETVWQLPMFSKFISFDPQSALRNMAVGKHTLEFMMRQSNSTAATNEVPEVTVFSKFPVTLGQPNTLICLVDNIFPPVVNITWLSNGHSVTEGVSETSFLSKSDHSFFKISYLTFLPSADEIYDCKVEHWGLDEPLLKHWEPEIPAPMSELTETLVCALGLSVGLMGIVVGTVFIIQGLRSVGASRHQGLL
+>DECOY_sp|P01906|DQA2_HUMAN HLA class II histocompatibility antigen, DQ alpha 2 chain OS=Homo sapiens OX=9606 GN=HLA-DQA2 PE=1 SV=2
+LLGQHRSAGVSRLGQIIFVTGVVIGMLGVSLGLACVLTETLESMPAPIEPEWHKLLPEDLGWHEVKCDYIEDASPLFTLYSIKFFSHDSKSLFSTESVGETVSHGNSLWTINVVPPFINDVLCILTNPQGLTVPFKSFVTVEPVENTAATSNSQRMMFELTHKGVAMNRLASQPDFSIFKSFMPLQWVTEKTELDVYFEEDGDFEHTYQGSPGHSQYFNVGYSAVHDAVIDEGGCPSMVATLALAGLLLAKNLIM
+>sp|P01920|DQB1_HUMAN HLA class II histocompatibility antigen, DQ beta 1 chain OS=Homo sapiens OX=9606 GN=HLA-DQB1 PE=1 SV=2
+MSWKKALRIPGGLRAATVTLMLAMLSTPVAEGRDSPEDFVYQFKAMCYFTNGTERVRYVTRYIYNREEYARFDSDVEVYRAVTPLGPPDAEYWNSQKEVLERTRAELDTVCRHNYQLELRTTLQRRVEPTVTISPSRTEALNHHNLLVCSVTDFYPAQIKVRWFRNDQEETTGVVSTPLIRNGDWTFQILVMLEMTPQHGDVYTCHVEHPSLQNPITVEWRAQSESAQSKMLSGIGGFVLGLIFLGLGLIIHHRSQKGLLH
+>DECOY_sp|P01920|DQB1_HUMAN HLA class II histocompatibility antigen, DQ beta 1 chain OS=Homo sapiens OX=9606 GN=HLA-DQB1 PE=1 SV=2
+HLLGKQSRHHIILGLGLFILGLVFGGIGSLMKSQASESQARWEVTIPNQLSPHEVHCTYVDGHQPTMELMVLIQFTWDGNRILPTSVVGTTEEQDNRFWRVKIQAPYFDTVSCVLLNHHNLAETRSPSITVTPEVRRQLTTRLELQYNHRCVTDLEARTRELVEKQSNWYEADPPGLPTVARYVEVDSDFRAYEERNYIYRTVYRVRETGNTFYCMAKFQYVFDEPSDRGEAVPTSLMALMLTVTAARLGGPIRLAKKWSM
+>sp|Q8NEE6|DRC6_HUMAN Dynein regulatory complex subunit 6 OS=Homo sapiens OX=9606 GN=FBXL13 PE=2 SV=3
+MTPELMIKACSFYTGHLVKTHFCTWRDIARTNENVVLAEKMNRAVTCYNFRLQKSVFHHWHSYMEDQKEKLKNILLRIQQIIYCHKLTIILTKWRNTARHKSKKKEDELILKHELQLKKWKNRLILKRAAAEESNFPERSSSEVFLVDETLKCDISLLPERAILQIFFYLSLKDVIICGQVNHAWMLMTQLNSLWNAIDFSSVKNVIPDKYIVSTLQRWRLNVLRLNFRGCLLRPKTFRSVSHCRNLQELNVSDCPTFTDESMRHISEGCPGVLCLNLSNTTITNRTMRLLPRHFHNLQNLSLAYCRRFTDKGLQYLNLGNGCHKLIYLDLSGCTQISVQGFRYIANSCTGIMHLTINDMPTLTDNCVKALVEKCSRITSLVFTGAPHISDCTFRALSACKLRKIRFEGNKRVTDASFKFIDKNYPNLSHIYMADCKGITDSSLRSLSPLKQLTVLNLANCVRIGDMGLKQFLDGPASMRIRELNLSNCVRLSDASVMKLSERCPNLNYLSLRNCEHLTAQGIGYIVNIFSLVSIDLSGTDISNEGLNVLSRHKKLKELSVSECYRITDDGIQAFCKSSLILEHLDVSYCSQLSDMIIKALAIYCINLTSLSIAGCPKITDSAMEMLSAKCHYLHILDISGCVLLTDQILEDLQIGCKQLRILKMQYCTNISKKAAQRMSSKVQQQEYNTNDPPRWFGYDREGNPVTELDNITSSKGALELTVKKSTYSSEDQAA
+>DECOY_sp|Q8NEE6|DRC6_HUMAN Dynein regulatory complex subunit 6 OS=Homo sapiens OX=9606 GN=FBXL13 PE=2 SV=3
+AAQDESSYTSKKVTLELAGKSSTINDLETVPNGERDYGFWRPPDNTNYEQQQVKSSMRQAAKKSINTCYQMKLIRLQKCGIQLDELIQDTLLVCGSIDLIHLYHCKASLMEMASDTIKPCGAISLSTLNICYIALAKIIMDSLQSCYSVDLHELILSSKCFAQIGDDTIRYCESVSLEKLKKHRSLVNLGENSIDTGSLDISVLSFINVIYGIGQATLHECNRLSLYNLNPCRESLKMVSADSLRVCNSLNLERIRMSAPGDLFQKLGMDGIRVCNALNLVTLQKLPSLSRLSSDTIGKCDAMYIHSLNPYNKDIFKFSADTVRKNGEFRIKRLKCASLARFTCDSIHPAGTFVLSTIRSCKEVLAKVCNDTLTPMDNITLHMIGTCSNAIYRFGQVSIQTCGSLDLYILKHCGNGLNLYQLGKDTFRRCYALSLNQLNHFHRPLLRMTRNTITTNSLNLCLVGPCGESIHRMSEDTFTPCDSVNLEQLNRCHSVSRFTKPRLLCGRFNLRLVNLRWRQLTSVIYKDPIVNKVSSFDIANWLSNLQTMLMWAHNVQGCIIVDKLSLYFFIQLIAREPLLSIDCKLTEDVLFVESSSREPFNSEEAAARKLILRNKWKKLQLEHKLILEDEKKKSKHRATNRWKTLIITLKHCYIIQQIRLLINKLKEKQDEMYSHWHHFVSKQLRFNYCTVARNMKEALVVNENTRAIDRWTCFHTKVLHGTYFSCAKIMLEPTM
+>sp|Q9Y295|DRG1_HUMAN Developmentally-regulated GTP-binding protein 1 OS=Homo sapiens OX=9606 GN=DRG1 PE=1 SV=1
+MSSTLAKIAEIEAEMARTQKNKATAHHLGLLKARLAKLRRELITPKGGGGGGPGEGFDVAKTGDARIGFVGFPSVGKSTLLSNLAGVYSEVAAYEFTTLTTVPGVIRYKGAKIQLLDLPGIIEGAKDGKGRGRQVIAVARTCNLILIVLDVLKPLGHKKIIENELEGFGIRLNSKPPNIGFKKKDKGGINLTATCPQSELDAETVKSILAEYKIHNADVTLRSDATADDLIDVVEGNRVYIPCIYVLNKIDQISIEELDIIYKVPHCVPISAHHRWNFDDLLEKIWDYLKLVRIYTKPKGQLPDYTSPVVLPYSRTTVEDFCMKIHKNLIKEFKYALVWGLSVKHNPQKVGKDHTLEDEDVIQIVKK
+>DECOY_sp|Q9Y295|DRG1_HUMAN Developmentally-regulated GTP-binding protein 1 OS=Homo sapiens OX=9606 GN=DRG1 PE=1 SV=1
+KKVIQIVDEDELTHDKGVKQPNHKVSLGWVLAYKFEKILNKHIKMCFDEVTTRSYPLVVPSTYDPLQGKPKTYIRVLKLYDWIKELLDDFNWRHHASIPVCHPVKYIIDLEEISIQDIKNLVYICPIYVRNGEVVDILDDATADSRLTVDANHIKYEALISKVTEADLESQPCTATLNIGGKDKKKFGINPPKSNLRIGFGELENEIIKKHGLPKLVDLVILILNCTRAVAIVQRGRGKGDKAGEIIGPLDLLQIKAGKYRIVGPVTTLTTFEYAAVESYVGALNSLLTSKGVSPFGVFGIRADGTKAVDFGEGPGGGGGGKPTILERRLKALRAKLLGLHHATAKNKQTRAMEAEIEAIKALTSSM
+>sp|Q13474|DRP2_HUMAN Dystrophin-related protein 2 OS=Homo sapiens OX=9606 GN=DRP2 PE=2 SV=2
+MQPMVMQGCPYTLPRCHDWQAADQFHHSSSLRSTCPHPQVRAAVTSPAPPQDGAGVPCLSLKLLNGSVGASGPLEPPAMNLCWNEIKKKSHNLRARLEAFSDHSGKLQLPLQEIIDWLSQKDEELSAQLPLQGDVALVQQEKETHAAFMEEVKSRGPYIYSVLESAQAFLSQHPFEELEEPHSESKDTSPKQRIQNLSRFVWKQATVASELWEKLTARCVDQHRHIERTLEQLLEIQGAMEELSTTLSQAEGVRATWEPIGDLFIDSLPEHIQAIKLFKEEFSPMKDGVKLVNDLAHQLAISDVHLSMENSQALEQINVRWKQLQASVSERLKQLQDAHRDFGPGSQHFLSSSVQVPWERAISPNKVPYYINHQAQTTCWDHPKMTELYQTLADLNNIKFSAYRTAMKLRRVQKALRLDLVTLTTALEIFNEHDLQASEHVMDVVEVIHCLTALYERLEEERGILVNVPLCVDMSLNWLLNVFDSGRSGKMRALSFKTGIACLCGTEVKEKLQYLFSQVANSGSQCDQRHLGVLLHEAIQVPRQLGEVAAFGGSNVEPSVRSCFRFSTGKPVIEASQFLEWVNLEPQSMVWLAVLHRVTIAEQVKHQTKCSICRQCPIKGFRYRSLKQFNVDICQTCFLTGRASKGNKLHYPIMEYYTPTTSSENMRDFATTLKNKFRSKHYFSKHPQRGYLPVQSVLEADYSETPASSPMWPHADTHSRIEHFASRLAEMESQNCSFFNDSLSPDDSIDEDQYLLRHSSPITDREPAFGQQAPCSVATESKGELQKILAHLEDENRILQGELRRLKWQHEEAAEAPSLADGSTEAATDHRNEELLAEARILRQHKSRLETRMQILEDHNKQLESQLQRLRELLLQPPTESDGSGSAGSSLASSPQQSEGSHPREKGQTTPDTEAADDVGSKSQDVSLCLEDIMEKLRHAFPSVRSSDVTANTLLAS
+>DECOY_sp|Q13474|DRP2_HUMAN Dystrophin-related protein 2 OS=Homo sapiens OX=9606 GN=DRP2 PE=2 SV=2
+SALLTNATVDSSRVSPFAHRLKEMIDELCLSVDQSKSGVDDAAETDPTTQGKERPHSGESQQPSSALSSGASGSGDSETPPQLLLERLRQLQSELQKNHDELIQMRTELRSKHQRLIRAEALLEENRHDTAAETSGDALSPAEAAEEHQWKLRRLEGQLIRNEDELHALIKQLEGKSETAVSCPAQQGFAPERDTIPSSHRLLYQDEDISDDPSLSDNFFSCNQSEMEALRSAFHEIRSHTDAHPWMPSSAPTESYDAELVSQVPLYGRQPHKSFYHKSRFKNKLTTAFDRMNESSTTPTYYEMIPYHLKNGKSARGTLFCTQCIDVNFQKLSRYRFGKIPCQRCISCKTQHKVQEAITVRHLVALWVMSQPELNVWELFQSAEIVPKGTSFRFCSRVSPEVNSGGFAAVEGLQRPVQIAEHLLVGLHRQDCQSGSNAVQSFLYQLKEKVETGCLCAIGTKFSLARMKGSRGSDFVNLLWNLSMDVCLPVNVLIGREEELREYLATLCHIVEVVDMVHESAQLDHENFIELATTLTVLDLRLAKQVRRLKMATRYASFKINNLDALTQYLETMKPHDWCTTQAQHNIYYPVKNPSIAREWPVQVSSSLFHQSGPGFDRHADQLQKLRESVSAQLQKWRVNIQELAQSNEMSLHVDSIALQHALDNVLKVGDKMPSFEEKFLKIAQIHEPLSDIFLDGIPEWTARVGEAQSLTTSLEEMAGQIELLQELTREIHRHQDVCRATLKEWLESAVTAQKWVFRSLNQIRQKPSTDKSESHPEELEEFPHQSLFAQASELVSYIYPGRSKVEEMFAAHTEKEQQVLAVDGQLPLQASLEEDKQSLWDIIEQLPLQLKGSHDSFAELRARLNHSKKKIENWCLNMAPPELPGSAGVSGNLLKLSLCPVGAGDQPPAPSTVAARVQPHPCTSRLSSSHHFQDAAQWDHCRPLTYPCGQMVMPQM
+>sp|Q9UII6|DS13B_HUMAN Dual specificity protein phosphatase 13 isoform B OS=Homo sapiens OX=9606 GN=DUSP13 PE=1 SV=3
+MDSLQKQDLRRPKIHGAVQASPYQPPTLASLQRLLWVRQAATLNHIDEVWPSLFLGDAYAARDKSKLIQLGITHVVNAAAGKFQVDTGAKFYRGMSLEYYGIEADDNPFFDLSVYFLPVARYIRAALSVPQGRVLVHCAMGVSRSATLVLAFLMICENMTLVEAIQTVQAHRNICPNSGFLRQLQVLDNRLGRETGRF
+>DECOY_sp|Q9UII6|DS13B_HUMAN Dual specificity protein phosphatase 13 isoform B OS=Homo sapiens OX=9606 GN=DUSP13 PE=1 SV=3
+FRGTERGLRNDLVQLQRLFGSNPCINRHAQVTQIAEVLTMNECIMLFALVLTASRSVGMACHVLVRGQPVSLAARIYRAVPLFYVSLDFFPNDDAEIGYYELSMGRYFKAGTDVQFKGAAANVVHTIGLQILKSKDRAAYADGLFLSPWVEDIHNLTAAQRVWLLRQLSALTPPQYPSAQVAGHIKPRRLDQKQLSDM
+>sp|P59022|DSC10_HUMAN Down syndrome critical region protein 10 OS=Homo sapiens OX=9606 GN=DSCR10 PE=1 SV=1
+MQIVQGFPADAPLCALMWTCSFLLPGLQTETPYPCTSLCLSSSQSAHPPLPVRVFSAESGYGIPFCAEPCSRVTVCHLQAVPVCMPV
+>DECOY_sp|P59022|DSC10_HUMAN Down syndrome critical region protein 10 OS=Homo sapiens OX=9606 GN=DSCR10 PE=1 SV=1
+VPMCVPVAQLHCVTVRSCPEACFPIGYGSEASFVRVPLPPHASQSSSLCLSTCPYPTETQLGPLLFSCTWMLACLPADAPFGQVIQM
+>sp|Q08554|DSC1_HUMAN Desmocollin-1 OS=Homo sapiens OX=9606 GN=DSC1 PE=1 SV=2
+MALASAAPGSIFCKQLLFSLLVLTLLCDACQKVYLRVPSHLQAETLVGKVNLEECLKSASLIRSSDPAFRILEDGSIYTTHDLILSSERKSFSIFLSDGQRREQQEIKVVLSARENKSPKKRHTKDTALKRSKRRWAPIPASLMENSLGPFPQHVQQIQSDAAQNYTIFYSISGPGVDKEPFNLFYIEKDTGDIFCTRSIDREKYEQFALYGYATTADGYAPEYPLPLIIKIEDDNDNAPYFEHRVTIFTVPENCRSGTSVGKVTATDLDEPDTLHTRLKYKILQQIPDHPKHFSIHPDTGVITTTTPFLDREKCDTYQLIMEVRDMGGQPFGLFNTGTITISLEDENDNPPSFTETSYVTEVEENRIDVEILRMKVQDQDLPNTPHSKAVYKILQGNENGNFIISTDPNTNEGVLCVVKPLNYEVNRQVILQVGVINEAQFSKAASSQTPTMCTTTVTVKIIDSDEGPECHPPVKVIQSQDGFPAGQELLGYKALDPEISSGEGLRYQKLGDEDNWFEINQHTGDLRTLKVLDRESKFVKNNQYNISVVAVDAVGRSCTGTLVVHLDDYNDHAPQIDKEVTICQNNEDFAVLKPVDPDGPENGPPFQFFLDNSASKNWNIEEKDGKTAILRQRQNLDYNYYSVPIQIKDRHGLVATHMLTVRVCDCSTPSECRMKDKSTRDVRPNVILGRWAILAMVLGSVLLLCILFTCFCVTAKRTVKKCFPEDIAQQNLIVSNTEGPGEEVTEANIRLPMQTSNICDTSMSVGTVGGQGIKTQQSFEMVKGGYTLDSNKGGGHQTLESVKGVGQGDTGRYAYTDWQSFTQPRLGEKVYLCGQDEEHKHCEDYVCSYNYEGKGSLAGSVGCCSDRQEEEGLEFLDHLEPKFRTLAKTCIKK
+>DECOY_sp|Q08554|DSC1_HUMAN Desmocollin-1 OS=Homo sapiens OX=9606 GN=DSC1 PE=1 SV=2
+KKICTKALTRFKPELHDLFELGEEEQRDSCCGVSGALSGKGEYNYSCVYDECHKHEEDQGCLYVKEGLRPQTFSQWDTYAYRGTDGQGVGKVSELTQHGGGKNSDLTYGGKVMEFSQQTKIGQGGVTGVSMSTDCINSTQMPLRINAETVEEGPGETNSVILNQQAIDEPFCKKVTRKATVCFCTFLICLLLVSGLVMALIAWRGLIVNPRVDRTSKDKMRCESPTSCDCVRVTLMHTAVLGHRDKIQIPVSYYNYDLNQRQRLIATKGDKEEINWNKSASNDLFFQFPPGNEPGDPDVPKLVAFDENNQCITVEKDIQPAHDNYDDLHVVLTGTCSRGVADVAVVSINYQNNKVFKSERDLVKLTRLDGTHQNIEFWNDEDGLKQYRLGEGSSIEPDLAKYGLLEQGAPFGDQSQIVKVPPHCEPGEDSDIIKVTVTTTCMTPTQSSAAKSFQAENIVGVQLIVQRNVEYNLPKVVCLVGENTNPDTSIIFNGNENGQLIKYVAKSHPTNPLDQDQVKMRLIEVDIRNEEVETVYSTETFSPPNDNEDELSITITGTNFLGFPQGGMDRVEMILQYTDCKERDLFPTTTTIVGTDPHISFHKPHDPIQQLIKYKLRTHLTDPEDLDTATVKGVSTGSRCNEPVTFITVRHEFYPANDNDDEIKIILPLPYEPAYGDATTAYGYLAFQEYKERDISRTCFIDGTDKEIYFLNFPEKDVGPGSISYFITYNQAADSQIQQVHQPFPGLSNEMLSAPIPAWRRKSRKLATDKTHRKKPSKNERASLVVKIEQQERRQGDSLFISFSKRESSLILDHTTYISGDELIRFAPDSSRILSASKLCEELNVKGVLTEAQLHSPVRLYVKQCADCLLTLVLLSFLLQKCFISGPAASALAM
+>sp|Q02487|DSC2_HUMAN Desmocollin-2 OS=Homo sapiens OX=9606 GN=DSC2 PE=1 SV=1
+MEAARPSGSWNGALCRLLLLTLAILIFASDACKNVTLHVPSKLDAEKLVGRVNLKECFTAANLIHSSDPDFQILEDGSVYTTNTILLSSEKRSFTILLSNTENQEKKKIFVFLEHQTKVLKKRHTKEKVLRRAKRRWAPIPCSMLENSLGPFPLFLQQVQSDTAQNYTIYYSIRGPGVDQEPRNLFYVERDTGNLYCTRPVDREQYESFEIIAFATTPDGYTPELPLPLIIKIEDENDNYPIFTEETYTFTIFENCRVGTTVGQVCATDKDEPDTMHTRLKYSIIGQVPPSPTLFSMHPTTGVITTTSSQLDRELIDKYQLKIKVQDMDGQYFGLQTTSTCIINIDDVNDHLPTFTRTSYVTSVEENTVDVEILRVTVEDKDLVNTANWRANYTILKGNENGNFKIVTDAKTNEGVLCVVKPLNYEEKQQMILQIGVVNEAPFSREASPRSAMSTATVTVNVEDQDEGPECNPPIQTVRMKENAEVGTTSNGYKAYDPETRSSSGIRYKKLTDPTGWVTIDENTGSIKVFRSLDREAETIKNGIYNITVLASDQGGRTCTGTLGIILQDVNDNSPFIPKKTVIICKPTMSSAEIVAVDPDEPIHGPPFDFSLESSTSEVQRMWRLKAINDTAARLSYQNDPPFGSYVVPITVRDRLGMSSVTSLDVTLCDCITENDCTHRVDPRIGGGGVQLGKWAILAILLGIALLFCILFTLVCGASGTSKQPKVIPDDLAQQNLIVSNTEAPGDDKVYSANGFTTQTVGASAQGVCGTVGSGIKNGGQETIEMVKGGHQTSESCRGAGHHHTLDSCRGGHTEVDNCRYTYSEWHSFTQPRLGEKVYLCNQDENHKHAQDYVLTYNYEGRGSVAGSVGCCSERQEEDGLEFLDNLEPKFRTLAEACMKR
+>DECOY_sp|Q02487|DSC2_HUMAN Desmocollin-2 OS=Homo sapiens OX=9606 GN=DSC2 PE=1 SV=1
+RKMCAEALTRFKPELNDLFELGDEEQRESCCGVSGAVSGRGEYNYTLVYDQAHKHNEDQNCLYVKEGLRPQTFSHWESYTYRCNDVETHGGRCSDLTHHHGAGRCSESTQHGGKVMEITEQGGNKIGSGVTGCVGQASAGVTQTTFGNASYVKDDGPAETNSVILNQQALDDPIVKPQKSTGSAGCVLTFLICFLLAIGLLIALIAWKGLQVGGGGIRPDVRHTCDNETICDCLTVDLSTVSSMGLRDRVTIPVVYSGFPPDNQYSLRAATDNIAKLRWMRQVESTSSELSFDFPPGHIPEDPDVAVIEASSMTPKCIIVTKKPIFPSNDNVDQLIIGLTGTCTRGGQDSALVTINYIGNKITEAERDLSRFVKISGTNEDITVWGTPDTLKKYRIGSSSRTEPDYAKYGNSTTGVEANEKMRVTQIPPNCEPGEDQDEVNVTVTATSMASRPSAERSFPAENVVGIQLIMQQKEEYNLPKVVCLVGENTKADTVIKFNGNENGKLITYNARWNATNVLDKDEVTVRLIEVDVTNEEVSTVYSTRTFTPLHDNVDDINIICTSTTQLGFYQGDMDQVKIKLQYKDILERDLQSSTTTIVGTTPHMSFLTPSPPVQGIISYKLRTHMTDPEDKDTACVQGVTTGVRCNEFITFTYTEETFIPYNDNEDEIKIILPLPLEPTYGDPTTAFAIIEFSEYQERDVPRTCYLNGTDREVYFLNRPEQDVGPGRISYYITYNQATDSQVQQLFLPFPGLSNELMSCPIPAWRRKARRLVKEKTHRKKLVKTQHELFVFIKKKEQNETNSLLITFSRKESSLLITNTTYVSGDELIQFDPDSSHILNAATFCEKLNVRGVLKEADLKSPVHLTVNKCADSAFILIALTLLLLRCLAGNWSGSPRAAEM
+>sp|O60469|DSCAM_HUMAN Down syndrome cell adhesion molecule OS=Homo sapiens OX=9606 GN=DSCAM PE=1 SV=2
+MWILALSLFQSFANVFSEDLHSSLYFVNASLQEVVFASTTGTLVPCPAAGIPPVTLRWYLATGEEIYDVPGIRHVHPNGTLQIFPFPPSSFSTLIHDNTYYCTAENPSGKIRSQDVHIKAVLREPYTVRVEDQKTMRGNVAVFKCIIPSSVEAYITVVSWEKDTVSLVSGSRFLITSTGALYIKDVQNEDGLYNYRCITRHRYTGETRQSNSARLFVSDPANSAPSILDGFDHRKAMAGQRVELPCKALGHPEPDYRWLKDNMPLELSGRFQKTVTGLLIENIRPSDSGSYVCEVSNRYGTAKVIGRLYVKQPLKATISPRKVKSSVGSQVSLSCSVTGTEDQELSWYRNGEILNPGKNVRITGINHENLIMDHMVKSDGGAYQCFVRKDKLSAQDYVQVVLEDGTPKIISAFSEKVVSPAEPVSLMCNVKGTPLPTITWTLDDDPILKGGSHRISQMITSEGNVVSYLNISSSQVRDGGVYRCTANNSAGVVLYQARINVRGPASIRPMKNITAIAGRDTYIHCRVIGYPYYSIKWYKNSNLLPFNHRQVAFENNGTLKLSDVQKEVDEGEYTCNVLVQPQLSTSQSVHVTVKVPPFIQPFEFPRFSIGQRVFIPCVVVSGDLPITITWQKDGRPIPGSLGVTIDNIDFTSSLRISNLSLMHNGNYTCIARNEAAAVEHQSQLIVRVPPKFVVQPRDQDGIYGKAVILNCSAEGYPVPTIVWKFSKGAGVPQFQPIALNGRIQVLSNGSLLIKHVVEEDSGYYLCKVSNDVGADVSKSMYLTVKIPAMITSYPNTTLATQGQKKEMSCTAHGEKPIIVRWEKEDRIINPEMARYLVSTKEVGEEVISTLQILPTVREDSGFFSCHAINSYGEDRGIIQLTVQEPPDPPEIEIKDVKARTITLRWTMGFDGNSPITGYDIECKNKSDSWDSAQRTKDVSPQLNSATIIDIHPSSTYSIRMYAKNRIGKSEPSNELTITADEAAPDGPPQEVHLEPISSQSIRVTWKAPKKHLQNGIIRGYQIGYREYSTGGNFQFNIISVDTSGDSEVYTLDNLNKFTQYGLVVQACNRAGTGPSSQEIITTTLEDVPSYPPENVQAIATSPESISISWSTLSKEALNGILQGFRVIYWANLMDGELGEIKNITTTQPSLELDGLEKYTNYSIQVLAFTRAGDGVRSEQIFTRTKEDVPGPPAGVKAAAASASMVFVSWLPPLKLNGIIRKYTVFCSHPYPTVISEFEASPDSFSYRIPNLSRNRQYSVWVVAVTSAGRGNSSEIITVEPLAKAPARILTFSGTVTTPWMKDIVLPCKAVGDPSPAVKWMKDSNGTPSLVTIDGRRSIFSNGSFIIRTVKAEDSGYYSCIANNNWGSDEIILNLQVQVPPDQPRLTVSKTTSSSITLSWLPGDNGGSSIRGYILQYSEDNSEQWGSFPISPSERSYRLENLKCGTWYKFTLTAQNGVGPGRISEIIEAKTLGKEPQFSKEQELFASINTTRVRLNLIGWNDGGCPITSFTLEYRPFGTTVWTTAQRTSLSKSYILYDLQEATWYELQMRVCNSAGCAEKQANFATLNYDGSTIPPLIKSVVQNEEGLTTNEGLKMLVTISCILVGVLLLFVLLLVVRRRRREQRLKRLRDAKSLAEMLMSKNTRTSDTLSKQQQTLRMHIDIPRAQLLIEERDTMETIDDRSTVLLTDADFGEAAKQKSLTVTHTVHYQSVSQATGPLVDVSDARPGTNPTTRRNAKAGPTARNRYASQWTLNRPHPTISAHTLTTDWRLPTPRAAGSVDKESDSYSVSPSQDTDRARSSMVSTESASSTYEELARAYEHAKMEEQLRHAKFTITECFISDTSSEQLTAGTNEYTDSLTSSTPSESGICRFTASPPKPQDGGRVMNMAVPKAHRPGDLIHLPPYLRMDFLLNRGGPGTSRDLSLGQACLEPQKSRTLKRPTVLEPIPMEAASSASSTREGQSWQPGAVATLPQREGAELGQAAKMSSSQESLLDSRGHLKGNNPYAKSYTLV
+>DECOY_sp|O60469|DSCAM_HUMAN Down syndrome cell adhesion molecule OS=Homo sapiens OX=9606 GN=DSCAM PE=1 SV=2
+VLTYSKAYPNNGKLHGRSDLLSEQSSSMKAAQGLEAGERQPLTAVAGPQWSQGERTSSASSAAEMPIPELVTPRKLTRSKQPELCAQGLSLDRSTGPGGRNLLFDMRLYPPLHILDGPRHAKPVAMNMVRGGDQPKPPSATFRCIGSESPTSSTLSDTYENTGATLQESSTDSIFCETITFKAHRLQEEMKAHEYARALEEYTSSASETSVMSSRARDTDQSPSVSYSDSEKDVSGAARPTPLRWDTTLTHASITPHPRNLTWQSAYRNRATPGAKANRRTTPNTGPRADSVDVLPGTAQSVSQYHVTHTVTLSKQKAAEGFDADTLLVTSRDDITEMTDREEILLQARPIDIHMRLTQQQKSLTDSTRTNKSMLMEALSKADRLRKLRQERRRRRVVLLLVFLLLVGVLICSITVLMKLGENTTLGEENQVVSKILPPITSGDYNLTAFNAQKEACGASNCVRMQLEYWTAEQLDYLIYSKSLSTRQATTWVTTGFPRYELTFSTIPCGGDNWGILNLRVRTTNISAFLEQEKSFQPEKGLTKAEIIESIRGPGVGNQATLTFKYWTGCKLNELRYSRESPSIPFSGWQESNDESYQLIYGRISSGGNDGPLWSLTISSSTTKSVTLRPQDPPVQVQLNLIIEDSGWNNNAICSYYGSDEAKVTRIIFSGNSFISRRGDITVLSPTGNSDKMWKVAPSPDGVAKCPLVIDKMWPTTVTGSFTLIRAPAKALPEVTIIESSNGRGASTVAVVWVSYQRNRSLNPIRYSFSDPSAEFESIVTPYPHSCFVTYKRIIGNLKLPPLWSVFVMSASAAAAKVGAPPGPVDEKTRTFIQESRVGDGARTFALVQISYNTYKELGDLELSPQTTTINKIEGLEGDMLNAWYIVRFGQLIGNLAEKSLTSWSISISEPSTAIAQVNEPPYSPVDELTTTIIEQSSPGTGARNCAQVVLGYQTFKNLNDLTYVESDGSTDVSIINFQFNGGTSYERYGIQYGRIIGNQLHKKPAKWTVRISQSSIPELHVEQPPGDPAAEDATITLENSPESKGIRNKAYMRISYTSSPHIDIITASNLQPSVDKTRQASDWSDSKNKCEIDYGTIPSNGDFGMTWRLTITRAKVDKIEIEPPDPPEQVTLQIIGRDEGYSNIAHCSFFGSDERVTPLIQLTSIVEEGVEKTSVLYRAMEPNIIRDEKEWRVIIPKEGHATCSMEKKQGQTALTTNPYSTIMAPIKVTLYMSKSVDAGVDNSVKCLYYGSDEEVVHKILLSGNSLVQIRGNLAIPQFQPVGAGKSFKWVITPVPYGEASCNLIVAKGYIGDQDRPQVVFKPPVRVILQSQHEVAAAENRAICTYNGNHMLSLNSIRLSSTFDINDITVGLSGPIPRGDKQWTITIPLDGSVVVCPIFVRQGISFRPFEFPQIFPPVKVTVHVSQSTSLQPQVLVNCTYEGEDVEKQVDSLKLTGNNEFAVQRHNFPLLNSNKYWKISYYPYGIVRCHIYTDRGAIATINKMPRISAPGRVNIRAQYLVVGASNNATCRYVGGDRVQSSSINLYSVVNGESTIMQSIRHSGGKLIPDDDLTWTITPLPTGKVNCMLSVPEAPSVVKESFASIIKPTGDELVVQVYDQASLKDKRVFCQYAGGDSKVMHDMILNEHNIGTIRVNKGPNLIEGNRYWSLEQDETGTVSCSLSVQSGVSSKVKRPSITAKLPQKVYLRGIVKATGYRNSVECVYSGSDSPRINEILLGTVTKQFRGSLELPMNDKLWRYDPEPHGLAKCPLEVRQGAMAKRHDFGDLISPASNAPDSVFLRASNSQRTEGTYRHRTICRYNYLGDENQVDKIYLAGTSTILFRSGSVLSVTDKEWSVVTIYAEVSSPIICKFVAVNGRMTKQDEVRVTYPERLVAKIHVDQSRIKGSPNEATCYYTNDHILTSFSSPPFPFIQLTGNPHVHRIGPVDYIEEGTALYWRLTVPPIGAAPCPVLTGTTSAFVVEQLSANVFYLSSHLDESFVNAFSQFLSLALIWM
+>sp|Q8TD84|DSCL1_HUMAN Down syndrome cell adhesion molecule-like protein 1 OS=Homo sapiens OX=9606 GN=DSCAML1 PE=1 SV=2
+MWLVTFLLLLDSLHKARPEDVGTSLYFVNDSLQQVTFSSSVGVVVPCPAAGSPSAALRWYLATGDDIYDVPHIRHVHANGTLQLYPFSPSAFNSFIHDNDYFCTAENAAGKIRSPNIRVKAVFREPYTVRVEDQRSMRGNVAVFKCLIPSSVQEYVSVVSWEKDTVSIIPEHRFFITYHGGLYISDVQKEDALSTYRCITKHKYSGETRQSNGARLSVTDPAESIPTILDGFHSQEVWAGHTVELPCTASGYPIPAIRWLKDGRPLPADSRWTKRITGLTISDLRTEDSGTYICEVTNTFGSAEATGILMVIDPLHVTLTPKKLKTGIGSTVILSCALTGSPEFTIRWYRNTELVLPDEAISIRGLSNETLLITSAQKSHSGAYQCFATRKAQTAQDFAIIALEDGTPRIVSSFSEKVVNPGEQFSLMCAAKGAPPPTVTWALDDEPIVRDGSHRTNQYTMSDGTTISHMNVTGPQIRDGGVYRCTARNLVGSAEYQARINVRGPPSIRAMRNITAVAGRDTLINCRVIGYPYYSIKWYKDALLLPDNHRQVVFENGTLKLTDVQKGMDEGEYLCSVLIQPQLSISQSVHVAVKVPPLIQPFEFPPASIGQLLYIPCVVSSGDMPIRITWRKDGQVIISGSGVTIESKEFMSSLQISSVSLKHNGNYTCIASNAAATVSRERQLIVRVPPRFVVQPNNQDGIYGKAGVLNCSVDGYPPPKVMWKHAKGSGNPQQYHPVPLTGRIQILPNSSLLIRHVLEEDIGYYLCQASNGVGTDISKSMFLTVKIPAMITSHPNTTIAIKGHAKELNCTARGERPIIIRWEKGDTVIDPDRVMRYAIATKDNGDEVVSTLKLKPADRGDSVFFSCHAINSYGEDRGLIQLTVQEPPDPPELEIREVKARSMNLRWTQRFDGNSIITGFDIEYKNKSDSWDFKQSTRNISPTINQANIVDLHPASVYSIRMYSFNKIGRSEPSKELTISTEEAAPDGPPMDVTLQPVTSQSIQVTWKAPKKELQNGVIRGYQIGYRENSPGSNGQYSIVEMKATGDSEVYTLDNLKKFAQYGVVVQAFNRAGTGPSSSEINATTLEDVPSQPPENVRALSITSDVAVISWSEPPRSTLNGVLKGYRVIFWSLYVDGEWGEMQNITTTRERVELRGMEKFTNYSVQVLAYTQAGDGVRSSVLYIQTKEDVPGPPAGIKAVPSSASSVVVSWLPPTKPNGVIRKYTIFCSSPGSGQPAPSEYETSPEQLFYRIAHLNRGQQYLLWVAAVTSAGRGNSSEKVTIEPAGKAPAKIISFGGTVTTPWMKDVRLPCNSVGDPAPAVKWTKDSEDSAIPVSMDGHRLIHTNGTLLLRAVKAEDSGYYTCTATNTGGFDTIIVNLLVQVPPDQPRLTVSKTSASSITLTWIPGDNGGSSIRGFVLQYSVDNSEEWKDVFISSSERSFKLDSLKCGTWYKVKLAAKNSVGSGRISEIIEAKTHGREPSFSKDQHLFTHINSTHARLNLQGWNNGGCPITAIVLEYRPKGTWAWQGLRANSSGEVFLTELREATWYELRMRACNSAGCGNETAQFATLDYDGSTIPPIKSAQGEGDDVKKLFTIGCPVILATLGVALLFIVRKKRKEKRLKRLRDAKSLAEMLISKNNRSFDTPVKGPPQGPRLHIDIPRVQLLIEDKEGIKQLGDDKATIPVTDAEFSQAVNPQSFCTGVSLHHPTLIQSTGPLIDMSDIRPGTNPVSRKNVKSAHSTRNRYSSQWTLTKCQASTPARTLTSDWRTVGSQHGVTVTESDSYSASLSQDTDKGRNSMVSTESASSTYEELARAYEHAKLEEQLQHAKFEITECFISDSSSDQMTTGTNENADSMTSMSTPSEPGICRFTASPPKPQDADRGKNVAVPIPHRANKSDYCNLPLYAKSEAFFRKADGREPCPVVPPREASIRNLARTYHTQARHLTLDPASKSLGLPHPGAPAAASTATLPQRTLAMPAPPAGTAPPAPGPTPAEPPTAPSAAPPAPSTEPPRAGGPHTKMGGSRDSLLEMSTSGVGRSQKQGAGAYSKSYTLV
+>DECOY_sp|Q8TD84|DSCL1_HUMAN Down syndrome cell adhesion molecule-like protein 1 OS=Homo sapiens OX=9606 GN=DSCAML1 PE=1 SV=2
+VLTYSKSYAGAGQKQSRGVGSTSMELLSDRSGGMKTHPGGARPPETSPAPPAASPATPPEAPTPGPAPPATGAPPAPMALTRQPLTATSAAAPAGPHPLGLSKSAPDLTLHRAQTHYTRALNRISAERPPVVPCPERGDAKRFFAESKAYLPLNCYDSKNARHPIPVAVNKGRDADQPKPPSATFRCIGPESPTSMSTMSDANENTGTTMQDSSSDSIFCETIEFKAHQLQEELKAHEYARALEEYTSSASETSVMSNRGKDTDQSLSASYSDSETVTVGHQSGVTRWDSTLTRAPTSAQCKTLTWQSSYRNRTSHASKVNKRSVPNTGPRIDSMDILPGTSQILTPHHLSVGTCFSQPNVAQSFEADTVPITAKDDGLQKIGEKDEILLQVRPIDIHLRPGQPPGKVPTDFSRNNKSILMEALSKADRLRKLRKEKRKKRVIFLLAVGLTALIVPCGITFLKKVDDGEGQASKIPPITSGDYDLTAFQATENGCGASNCARMRLEYWTAERLETLFVEGSSNARLGQWAWTGKPRYELVIATIPCGGNNWGQLNLRAHTSNIHTFLHQDKSFSPERGHTKAEIIESIRGSGVSNKAALKVKYWTGCKLSDLKFSRESSSIFVDKWEESNDVSYQLVFGRISSGGNDGPIWTLTISSASTKSVTLRPQDPPVQVLLNVIITDFGGTNTATCTYYGSDEAKVARLLLTGNTHILRHGDMSVPIASDESDKTWKVAPAPDGVSNCPLRVDKMWPTTVTGGFSIIKAPAKGAPEITVKESSNGRGASTVAAVWLLYQQGRNLHAIRYFLQEPSTEYESPAPQGSGPSSCFITYKRIVGNPKTPPLWSVVVSSASSPVAKIGAPPGPVDEKTQIYLVSSRVGDGAQTYALVQVSYNTFKEMGRLEVRERTTTINQMEGWEGDVYLSWFIVRYGKLVGNLTSRPPESWSIVAVDSTISLARVNEPPQSPVDELTTANIESSSPGTGARNFAQVVVGYQAFKKLNDLTYVESDGTAKMEVISYQGNSGPSNERYGIQYGRIVGNQLEKKPAKWTVQISQSTVPQLTVDMPPGDPAAEETSITLEKSPESRGIKNFSYMRISYVSAPHLDVINAQNITPSINRTSQKFDWSDSKNKYEIDFGTIISNGDFRQTWRLNMSRAKVERIELEPPDPPEQVTLQILGRDEGYSNIAHCSFFVSDGRDAPKLKLTSVVEDGNDKTAIAYRMVRDPDIVTDGKEWRIIIPREGRATCNLEKAHGKIAITTNPHSTIMAPIKVTLFMSKSIDTGVGNSAQCLYYGIDEELVHRILLSSNPLIQIRGTLPVPHYQQPNGSGKAHKWMVKPPPYGDVSCNLVGAKGYIGDQNNPQVVFRPPVRVILQRERSVTAAANSAICTYNGNHKLSVSSIQLSSMFEKSEITVGSGSIIVQGDKRWTIRIPMDGSSVVCPIYLLQGISAPPFEFPQILPPVKVAVHVSQSISLQPQILVSCLYEGEDMGKQVDTLKLTGNEFVVQRHNDPLLLADKYWKISYYPYGIVRCNILTDRGAVATINRMARISPPGRVNIRAQYEASGVLNRATCRYVGGDRIQPGTVNMHSITTGDSMTYQNTRHSGDRVIPEDDLAWTVTPPPAGKAACMLSFQEGPNVVKESFSSVIRPTGDELAIIAFDQATQAKRTAFCQYAGSHSKQASTILLTENSLGRISIAEDPLVLETNRYWRITFEPSGTLACSLIVTSGIGTKLKKPTLTVHLPDIVMLIGTAEASGFTNTVECIYTGSDETRLDSITLGTIRKTWRSDAPLPRGDKLWRIAPIPYGSATCPLEVTHGAWVEQSHFGDLITPISEAPDTVSLRAGNSQRTEGSYKHKTICRYTSLADEKQVDSIYLGGHYTIFFRHEPIISVTDKEWSVVSVYEQVSSPILCKFVAVNGRMSRQDEVRVTYPERFVAKVRINPSRIKGAANEATCFYDNDHIFSNFASPSFPYLQLTGNAHVHRIHPVDYIDDGTALYWRLAASPSGAAPCPVVVGVSSSFTVQQLSDNVFYLSTGVDEPRAKHLSDLLLLFTVLWM
+>sp|P57055|DSCR6_HUMAN Protein ripply3 OS=Homo sapiens OX=9606 GN=RIPPLY3 PE=1 SV=1
+MEPEAAAGARKARGRGCHCPGDAPWRPPPPRGPESPAPWRPWIQTPGDAELTRTGRPLEPRADQHTFGSKGAFGFQHPVRVYLPMSKRQEYLRSSGEQVLASFPVQATIDFYDDESTESASEAEEPEEGPPPLHLLPQEVGGRQENGPGGKGRDQGINQGQRSSGGGDHWGEGPLPQGVSSRGGKCSSSK
+>DECOY_sp|P57055|DSCR6_HUMAN Protein ripply3 OS=Homo sapiens OX=9606 GN=RIPPLY3 PE=1 SV=1
+KSSSCKGGRSSVGQPLPGEGWHDGGGSSRQGQNIGQDRGKGGPGNEQRGGVEQPLLHLPPPGEEPEEAESASETSEDDYFDITAQVPFSALVQEGSSRLYEQRKSMPLYVRVPHQFGFAGKSGFTHQDARPELPRGTRTLEADGPTQIWPRWPAPSEPGRPPPPRWPADGPCHCGRGRAKRAGAAAEPEM
+>sp|Q96DT5|DYH11_HUMAN Dynein heavy chain 11, axonemal OS=Homo sapiens OX=9606 GN=DNAH11 PE=1 SV=4
+MAAQVAAREARDFREAPTLRLTSGAGLEAVGAVELEEEEENEEEAAARRARSFAQDARVRFLGGRLAMMLGFTEEKWSQYLESEDNRQVLGEFLESTSPACLVFSFAASGRLAASQEIPRDANHKLVFISKKITESIGVNDFSQVVLFGELPALSLGHVSAFLDEILVPVLSNKNNHKSWSCFTSQDMEYHIEVMKKKMYIFRGKMSRRTLLPIPTVAGKMDLDQNCSENKPPSNERIILHAIESVVIEWSHQIQEIIERDSVQRLLNGLHLSPQAELDFWMMRRENLSCIYDQLQAPVVLKMVKILTTKQSSYFPTLKDIFLAVENALLEAQDVELYLRPLRRHIQCLQETEFPQTRILIAPLFHTICLIWSHSKFYNTPARVIVLLQEFCNLFINQATAYLSPEDLLRGEIEESLEKVQVAVNILKTFKNSFFNYRKKLASYFMGRKLRPWDFQSHLVFCRFDKFLDRLIKIEDIFATTLEFEKLERLEFGGTKGAILNGQVHEMSEELMELCKLFKQSTYDPSDCTNMEFESDYVAFKSKTLEFDRRLGTIICEAFFNCNGLEAAFKLLTIFGNFLEKPVVMEIFSLHYSTLVHMFNTELDVCKQLYNEHMKQIECGHVVLNKNMPFTSGNMKWAQQVLQRLQMFWSNFASLRYLFLGNPDHALVYQKYVEMTTLLDQFESRIYNEWKSNVDEICEFNLNQPLVKFSAINGLLCVNFDPKLVAVLREVKYLLMLKKQDIPDSALAIFKKRNTILKYIGNLDLLVQGYNKLKQTLLEVEYPLIEDELRAIDEQLTAATTWLTWQDDCWGYIERVRAATSELEHRVERTQKNVKVIQQTMRGWARCVLPPRREHRREAAFTLEDKGDLFTKKYKLIQGDGCKIHNLVEENRKLFKANPSLDTWKIYVEFIDDIVVEGFFQAIMHDLDFFLKNTEKQLKPAPFFQAQMILLPPEIVFKPSLDREAGDGFYDLVEEMLCNSFRMSAQMNRIATHLEIKNYQNDMDNMLGLAEVRQEIMNRVVNVINKVLDFRNTLETHTYLWVDDRAEFMKHFLLYGHAVSSDEMDAHANEEIPEQPPTLEQFKEQIDIYEALYVQMSKFEDFRVFDSWFKVDMKPFKVSLLTIIKKWSWMFQEHLLRFVIDSLNELQEFIKETDSGLQRELNEGDHDGLVDIMVHLLAVRSRQRATDELFEPLKETITLLESYGQKMPEQVYIQLEELPERWETTKKIAATVRHEVSPLHNAEVTLIRKKCILFDAKQAEFRERFRHYAPLGFNAENPYTALDKANEELEALEEEMLQMQESTRLFEVALPEYKQMKQCRKEIKLLKGLWDVIIYVRRSIDNWTKTQWRQIHVEQMDVELRRFAKEIWSLNKEVRVWDAYTGLEGTVKDMTASLRAITELQSPALRDRHWHQLMKAIGVKFLINEATTLADLLALRLHRVEDDVRRIVDKAVKELGTEKVITEISQTWATMKFSYEVHYRTGIPLLKSDEQLFETLEHNQVQLQTLLQSKYVEYFIEQVLSWQNKLNIADLVIFTWMEVQRTWSHLESIFVCSEDIRIQLVKDARRFDGVDAEFKELMFKTAKVENVLEATCRPNLYEKLKDLQSRLSLCEKALAEYLETKRIAFPRFYFVSSADLLDILSKGAQPKQVTCHLAKLFDSIADLQFEDNQDVSAHRAVGMYSKEKEYVPFQAECECVGHVETWLLQLEQTMQETVRHSITEAIVAYEEKPRELWIFDFPAQVALTSSQIWWTTDVGIAFSRLEEGYETALKDFHKKQISQLNTLITLLLGELPPGDRQKIMTICTIDVHARDVVAKLISQKVVSPQAFTWLSQLRHRWEDTQKHCFVNICDAQFQYFYEYLGNSPRLVITPLTDRCYITLTQSLHLTMSGAPAGPAGTGKTETTKDLGRALGMMVYVFNCSEQMDYKSIGNIYKGLVQTGAWGCFDEFNRISVEVLSVVAVQVKMIHDAIRNRKKRFVFLGEAITLKPSVGIFITMNPGYAGRTELPENLKALFRPCAMVAPDIELICEILLVAEGFVDARALARKFITLYTLCKELLSKQDHYDWGLRAIKSVLVVAGSLKRGDKNRPEDQVLMRALRDFNMPKIVTDDIPVFLGLVGDLFPALDVPRRRKLHFEQMVRQSTLELRLQPEESFILKVVQLEELLAVRHSVFVVGNAGTGKSKILRTLNRTYVNMKQKPVWNDLNPKAVTTDELFGFIHHATREWKDGKIVYSYFIGLFSSILREQANLKHDGPKWIVLDGDIDPMWIESLNTVMDDNKVLTLASNERIALTPFMRLLFEIHHLRSATPATVSRAGILYVNPQDLGWNPYVASWIDRRRHQSEKANLTILFDKYVPACLDKLRTSFKTITSIPESSLVQTLCVLLECLLTPENVPSDSPKEVYEVYFVFACIWAFGGTLLQDQISDYQADFSRWWQKEMKAVKFPSQGTIFDYYVDHKTKKLLPWADKIAQFTMDPDVPLQTVLVHTTETARLRYFMELLLEKGKPLMLVGNAGVGKTVFVGDTLASLSEDYIVSRVPFNYYTTSTALQKILEKPLEKKAGHNYGPGGNKKLIYFIDDMNMPEVDLYGTVQPHTLIRQHIDYGHWYDRQKVMLKEIHNCQYVACMNPMVGSFTINPRLQRHFTVFAFNFPSLDALNTIYGQIFSFHFQQQAFAPSILRSGPTLIQATIAFHQTMMCNFLPTAIKFHYIFNLRDLSNVFQGILFASPECLKGPLDLIHLWLHESARVYGDKLIDKKDCDLFQRRMLETAYKYFEGIDSHMLLQQPLIYCHFADRGKDPHYMPVKDWEVLKTILTETLDNYNELNAAMHLVLFEDAMQHVCRISRILRTPQGCALLVGVGGSGKQSLSRLAAYLRGLEVFQITLTEGYGIQELRVDLANLYIRTGAKNMPTVFLLTDAQVLDESFLVLINDLLASGEIPDLFSDEDVDKIISGIHNEVHALGMVDSRENCWKFFMARVRLQLKIILCFSPVGRTLRVRARKFPAIVNCTAIDWFHAWPQEALVSVSRRFIEETKGIEPVHKDSISLFMAHVHTTVNEMSTRYYQNERRHNYTTPKSFLEQISLFKNLLKKKQNEVSEKKERLVNGIQKLKTTASQVGDLKARLASQEAELQLRNHDAEALITKIGLQTEKVSREKTIADAEERKVTAIQTEVFQKQRECEADLLKAEPALVAATAALNTLNRVNLSELKAFPNPPIAVTNVTAAVMVLLAPRGRVPKDRSWKAAKVFMGKVDDFLQALINYDKEHIPENCLKVVNEHYLKDPEFNPNLIRTKSFAAAGLCAWVINIIKFYEVYCDVEPKRQALAQANLELAAATEKLEAIRKKLVDLDRNLSRLTASFEKATAEKVRCQEEVNQTNKTIKLANRLVKELEAKKIRWGQSIKSFEAQEKTLCGDVLLTAAFVSYVGPFTRQYRQELVHCKWVPFLQQKVSIPLTEGLDLISMLTDDATIAAWNNEGLPSDRMSTENAAILTHCERWPLVIDPQQQGIKWIKNKYGMDLKVTHLGQKGFLNAIETALAFGDVILIENLEETIDPVLDPLLGRNTIKKGKYIRIGDKECEFNKNFRLILHTKLANPHYKPELQAQTTLLNFTVTEDGLEAQLLAEVVSIERPDLEKLKLVLTKHQNDFKIELKYLEDDLLLRLSAAEGSFLDDTKLVERLEATKTTVAEIEHKVIEAKENERKINEARECYRPVAARASLLYFVINDLQKINPLYQFSLKAFNVLFHRAIEQADKVEDMQGRISILMESITHAVFLYTSQALFEKDKLTFLSQMAFQILLRKKEIDPLELDFLLRFTVEHTHLSPVDFLTSQSWSAIKAIAVMEEFRGIDRDVEGSAKQWRKWVESECPEKEKLPQEWKKKSLIQKLILLRAMRPDRMTYALRNFVEEKLGAKYVERTRLDLVKAFEESSPATPIFFILSPGVDALKDLEILGKRLGFTIDSGKFHNVSLGQGQETVAEVALEKASKGGHWVILQNVHLVAKWLGTLEKLLERFSQGSHRDYRVFMSAESAPTPDEHIIPQGLLENSIKITNEPPTGMLANLHAALYNFDQDTLEICSKEQEFKSILFSLCYFHACVAGRLRFGPQGWSRSYPFNPGDLTICASVLYNYLEANSKVPWEDLRYLFGEIMYGGHITDDWDRKLCRVYLEEFMNPSLTEDELMLAPGFAAPPYLDYAGYHQYIEEMLPPESPALYGLHPNAEIEFLTVTSNTLFRTLLEMQPRNALSGDELGQSTEEKVKNVLDDILEKLPEEFNMAEIMQKNSNRSPYVLVCFQECERMNILIREIRISLEQLDLSLKGELALSPAVEAQQFALSYDTVPDTWSKLAYPSTYGLAQWFNDLLLRCRELDTWTQDLTLPAVVWLSGFFNPQSFLTAIMQTMARKNEWPLDKTRLTADVTKKTKEDYGHPPREGAYLHGLFMEGARWDTQAGTIVEARLKELACPMPVIFAKATPVDRQETKQTYECPVYRTKLRGPSYIWTFRLKSEEKTAKWVLAGVALLLEA
+>DECOY_sp|Q96DT5|DYH11_HUMAN Dynein heavy chain 11, axonemal OS=Homo sapiens OX=9606 GN=DNAH11 PE=1 SV=4
+AELLLAVGALVWKATKEESKLRFTWIYSPGRLKTRYVPCEYTQKTEQRDVPTAKAFIVPMPCALEKLRAEVITGAQTDWRAGEMFLGHLYAGERPPHGYDEKTKKTVDATLRTKDLPWENKRAMTQMIATLFSQPNFFGSLWVVAPLTLDQTWTDLERCRLLLDNFWQALGYTSPYALKSWTDPVTDYSLAFQQAEVAPSLALEGKLSLDLQELSIRIERILINMRECEQFCVLVYPSRNSNKQMIEAMNFEEPLKELIDDLVNKVKEETSQGLEDGSLANRPQMELLTRFLTNSTVTLFEIEANPHLGYLAPSEPPLMEEIYQHYGAYDLYPPAAFGPALMLEDETLSPNMFEELYVRCLKRDWDDTIHGGYMIEGFLYRLDEWPVKSNAELYNYLVSACITLDGPNFPYSRSWGQPGFRLRGAVCAHFYCLSFLISKFEQEKSCIELTDQDFNYLAAHLNALMGTPPENTIKISNELLGQPIIHEDPTPASEASMFVRYDRHSGQSFRELLKELTGLWKAVLHVNQLIVWHGGKSAKELAVEAVTEQGQGLSVNHFKGSDITFGLRKGLIELDKLADVGPSLIFFIPTAPSSEEFAKVLDLRTREVYKAGLKEEVFNRLAYTMRDPRMARLLILKQILSKKKWEQPLKEKEPCESEVWKRWQKASGEVDRDIGRFEEMVAIAKIASWSQSTLFDVPSLHTHEVTFRLLFDLELPDIEKKRLLIQFAMQSLFTLKDKEFLAQSTYLFVAHTISEMLISIRGQMDEVKDAQEIARHFLVNFAKLSFQYLPNIKQLDNIVFYLLSARAAVPRYCERAENIKRENEKAEIVKHEIEAVTTKTAELREVLKTDDLFSGEAASLRLLLDDELYKLEIKFDNQHKTLVLKLKELDPREISVVEALLQAELGDETVTFNLLTTQAQLEPKYHPNALKTHLILRFNKNFECEKDGIRIYKGKKITNRGLLPDLVPDITEELNEILIVDGFALATEIANLFGKQGLHTVKLDMGYKNKIWKIGQQQPDIVLPWRECHTLIAANETSMRDSPLGENNWAAITADDTLMSILDLGETLPISVKQQLFPVWKCHVLEQRYQRTFPGVYSVFAATLLVDGCLTKEQAEFSKISQGWRIKKAELEKVLRNALKITKNTQNVEEQCRVKEATAKEFSATLRSLNRDLDVLKKRIAELKETAAALELNAQALAQRKPEVDCYVEYFKIINIVWACLGAAAFSKTRILNPNFEPDKLYHENVVKLCNEPIHEKDYNILAQLFDDVKGMFVKAAKWSRDKPVRGRPALLVMVAATVNTVAIPPNPFAKLESLNVRNLTNLAATAAVLAPEAKLLDAECERQKQFVETQIATVKREEADAITKERSVKETQLGIKTILAEADHNRLQLEAEQSALRAKLDGVQSATTKLKQIGNVLREKKESVENQKKKLLNKFLSIQELFSKPTTYNHRRENQYYRTSMENVTTHVHAMFLSISDKHVPEIGKTEEIFRRSVSVLAEQPWAHFWDIATCNVIAPFKRARVRLTRGVPSFCLIIKLQLRVRAMFFKWCNERSDVMGLAHVENHIGSIIKDVDEDSFLDPIEGSALLDNILVLFSEDLVQADTLLFVTPMNKAGTRIYLNALDVRLEQIGYGETLTIQFVELGRLYAALRSLSQKGSGGVGVLLACGQPTRLIRSIRCVHQMADEFLVLHMAANLENYNDLTETLITKLVEWDKVPMYHPDKGRDAFHCYILPQQLLMHSDIGEFYKYATELMRRQFLDCDKKDILKDGYVRASEHLWLHILDLPGKLCEPSAFLIGQFVNSLDRLNFIYHFKIATPLFNCMMTQHFAITAQILTPGSRLISPAFAQQQFHFSFIQGYITNLADLSPFNFAFVTFHRQLRPNITFSGVMPNMCAVYQCNHIEKLMVKQRDYWHGYDIHQRILTHPQVTGYLDVEPMNMDDIFYILKKNGGPGYNHGAKKELPKELIKQLATSTTYYNFPVRSVIYDESLSALTDGVFVTKGVGANGVLMLPKGKELLLEMFYRLRATETTHVLVTQLPVDPDMTFQAIKDAWPLLKKTKHDVYYDFITGQSPFKVAKMEKQWWRSFDAQYDSIQDQLLTGGFAWICAFVFYVEYVEKPSDSPVNEPTLLCELLVCLTQVLSSEPISTITKFSTRLKDLCAPVYKDFLITLNAKESQHRRRDIWSAVYPNWGLDQPNVYLIGARSVTAPTASRLHHIEFLLRMFPTLAIRENSALTLVKNDDMVTNLSEIWMPDIDGDLVIWKPGDHKLNAQERLISSFLGIFYSYVIKGDKWERTAHHIFGFLEDTTVAKPNLDNWVPKQKMNVYTRNLTRLIKSKGTGANGVVFVSHRVALLEELQVVKLIFSEEPQLRLELTSQRVMQEFHLKRRRPVDLAPFLDGVLGLFVPIDDTVIKPMNFDRLARMLVQDEPRNKDGRKLSGAVVLVSKIARLGWDYHDQKSLLEKCLTYLTIFKRALARADVFGEAVLLIECILEIDPAVMACPRFLAKLNEPLETRGAYGPNMTIFIGVSPKLTIAEGLFVFRKKRNRIADHIMKVQVAVVSLVEVSIRNFEDFCGWAGTQVLGKYINGISKYDMQESCNFVYVMMGLARGLDKTTETKGTGAPGAPAGSMTLHLSQTLTIYCRDTLPTIVLRPSNGLYEYFYQFQADCINVFCHKQTDEWRHRLQSLWTFAQPSVVKQSILKAVVDRAHVDITCITMIKQRDGPPLEGLLLTILTNLQSIQKKHFDKLATEYGEELRSFAIGVDTTWWIQSSTLAVQAPFDFIWLERPKEEYAVIAETISHRVTEQMTQELQLLWTEVHGVCECEAQFPVYEKEKSYMGVARHASVDQNDEFQLDAISDFLKALHCTVQKPQAGKSLIDLLDASSVFYFRPFAIRKTELYEALAKECLSLRSQLDKLKEYLNPRCTAELVNEVKATKFMLEKFEADVGDFRRADKVLQIRIDESCVFISELHSWTRQVEMWTFIVLDAINLKNQWSLVQEIFYEVYKSQLLTQLQVQNHELTEFLQEDSKLLPIGTRYHVEYSFKMTAWTQSIETIVKETGLEKVAKDVIRRVDDEVRHLRLALLDALTTAENILFKVGIAKMLQHWHRDRLAPSQLETIARLSATMDKVTGELGTYADWVRVEKNLSWIEKAFRRLEVDMQEVHIQRWQTKTWNDISRRVYIIVDWLGKLLKIEKRCQKMQKYEPLAVEFLRTSEQMQLMEEELAELEENAKDLATYPNEANFGLPAYHRFRERFEAQKADFLICKKRILTVEANHLPSVEHRVTAAIKKTTEWREPLEELQIYVQEPMKQGYSELLTITEKLPEFLEDTARQRSRVALLHVMIDVLGDHDGENLERQLGSDTEKIFEQLENLSDIVFRLLHEQFMWSWKKIITLLSVKFPKMDVKFWSDFVRFDEFKSMQVYLAEYIDIQEKFQELTPPQEPIEENAHADMEDSSVAHGYLLFHKMFEARDDVWLYTHTELTNRFDLVKNIVNVVRNMIEQRVEALGLMNDMDNQYNKIELHTAIRNMQASMRFSNCLMEEVLDYFGDGAERDLSPKFVIEPPLLIMQAQFFPAPKLQKETNKLFFDLDHMIAQFFGEVVIDDIFEVYIKWTDLSPNAKFLKRNEEVLNHIKCGDGQILKYKKTFLDGKDELTFAAERRHERRPPLVCRAWGRMTQQIVKVNKQTREVRHELESTAARVREIYGWCDDQWTLWTTAATLQEDIARLEDEILPYEVELLTQKLKNYGQVLLDLNGIYKLITNRKKFIALASDPIDQKKLMLLYKVERLVAVLKPDFNVCLLGNIASFKVLPQNLNFECIEDVNSKWENYIRSEFQDLLTTMEVYKQYVLAHDPNGLFLYRLSAFNSWFMQLRQLVQQAWKMNGSTFPMNKNLVVHGCEIQKMHENYLQKCVDLETNFMHVLTSYHLSFIEMVVPKELFNGFITLLKFAAELGNCNFFAECIITGLRRDFELTKSKFAVYDSEFEMNTCDSPDYTSQKFLKCLEMLEESMEHVQGNLIAGKTGGFELRELKEFELTTAFIDEIKILRDLFKDFRCFVLHSQFDWPRLKRGMFYSALKKRYNFFSNKFTKLINVAVQVKELSEEIEGRLLDEPSLYATAQNIFLNCFEQLLVIVRAPTNYFKSHSWILCITHFLPAILIRTQPFETEQLCQIHRRLPRLYLEVDQAELLANEVALFIDKLTPFYSSQKTTLIKVMKLVVPAQLQDYICSLNERRMMWFDLEAQPSLHLGNLLRQVSDREIIEQIQHSWEIVVSEIAHLIIRENSPPKNESCNQDLDMKGAVTPIPLLTRRSMKGRFIYMKKKMVEIHYEMDQSTFCSWSKHNNKNSLVPVLIEDLFASVHGLSLAPLEGFLVVQSFDNVGISETIKKSIFVLKHNADRPIEQSAALRGSAAFSFVLCAPSTSELFEGLVQRNDESELYQSWKEETFGLMMALRGGLFRVRADQAFSRARRAAAEEENEEEEELEVAGVAELGAGSTLRLTPAERFDRAERAAVQAAM
+>sp|Q9UFH2|DYH17_HUMAN Dynein heavy chain 17, axonemal OS=Homo sapiens OX=9606 GN=DNAH17 PE=1 SV=2
+MTMAPDVRLEYLEEVASIVLKFKPDKWSKLIGAEENVALFTEFFEKPDVQVLVLTLNAAGMIIPCLGFPQSLKSKGVYFIKTKSENINKDNYRARLLYGDISPTPVDQLIAVVEEVLSSLLNQSENMAGWPQVVSEDIVKQVHRLKNEMFVMSGKIKGKTLLPIPEHLGSLDGTLESMERIPSSLDNLLLHAIETTIIDWSHQIRDVLSKDSAQALLDGLHPLPQVEFEFWDARLLNLKCIHEQLNRPKVNKIVEILEKAKSCYWPALQNVYTNVTEGLKEANDIVLYLKPLRILLEEMEQADFTMLPTFIAKVLDTICFIWATSEYYNTPARIIVILQEFCNQIIEMTRTFLSPEEVLKGLQGEIEEVLSGISLAVNVLKELYQTYDFCCVNMKLFFKDKEPVPWEFPSSLAFSRINSFFQRIQTIEELYKTAIEFLKLEKIELGGVRGNLLGSLVTRIYDEVFELVKVFADCKYDPLDPGDSNFDRDYADFEIKIQDLDRRLATIFCQGFDDCSCIKSSAKLLYMCGGLMERPLILAEVAPRYSVMLELFDAELDNAKILYDAQMAASEEGNIPLIHKNMPPVAGQLKWSLELQERLEVSMKHLKHVEHPVMSGAEAKLTYQKYDEMMELLRCHREKIYQQWVAGVDQDCHFNLGQPLILRDAASNLIHVNFSKALVAVLREVKYLNFQQQKEIPDSAESLFSENETFRKFVGNLELIVGWYNEIKTIVKAVEFLLIKSELEAIDVKLLSAETTLFWNGEGVFQYIQEVREILHNLQNRMQKAKQNIEGISQAMKDWSANPLFERKDNKKEALLDLDGRIANLNKRYAAVRDAGVKIQAMVAENAELFRADTLSLPWKDYVIYIDDMVLDEFDQFIRKSLSFLMDNMVIDESIAPLFEIRMELDEDGLTFNPTLEVGSDRGFLALIEGLVNDIYNVARLIPRLAKDRMNYKMDLEDNTDLIEMREEVSSLVINAMKEAEEYQDSFERYSYLWTDNLQEFMKNFLIYGCAVTAEDLDTWTDDTIPKTPPTLAQFQEQIDSYEKLYEEVSKCENTKVFHGWLQCDCRPFKQALLSTIRRWGFMFKRHLSNHVTNSLADLEAFMKVARMGLTKPLKEGDYDGLVEVMGHLMKVKERQAATDNMFEPLKQTIELLKTYGEEMPEEIHLKLQELPEHWANTKKLAIQVKLTVAPLQANEVSILRRKCQQFELKQHEFRERFRREAPFSFSDPNPYKSLNKVFLLKGIMEALSKSGGLFEVPVPDYKQLKACHREVRLLKELWDMVVVVNTSIEDWKTTKWKDINVEQMDIDCKKFAKDMRSLDKEMKTWDAFVGLDNTVKNVITSLRAVSELQNPAIRERHWQQLMQATQVKFKMSEETTLADLLQLNLHSYEDEVRNIVDKAVKESGMEKVLKALDSTWSMMEFQHEPHPRTGTMMLKSSEVLVETLEDNQVQLQNLMMSKYLAHFLKEVTSWQQKLSTADSVISIWFEVQRTWSHLESIFIGSEDIRTQLPGDSQRFDDINQEFKALMEDAVKTPNVVEATSKPGLYNKLEALKKSLAICEKALAEYLETKRLAFPRFYFVSSADLLDILSNGNDPVEVSRHLSKLFDSLCKLKFRLDASDKPLKVGLGMYSKEDEYMVFDQECDLSGQVEVWLNRVLDRMCSTLRHEIPEAVVTYEEKPREQWILDYPAQIWWTTEVGLAFARLEEGYENAIKDYNKKQISQLNVLITLLIGNLNAGDRMKIMTICTIDVHARDVVAKMIVESSQAFTWQAQLRHRWDEEKRHCFANICDAQIQYSYEYLGNTPRLVITPLTDRCYITLTQSLHLIMGGAPAGPAGTGKTETTKDLGRALGTMVYVFNCSEQMDYKSCGNIYKGLAQTGAWGCFDEFNRISVEVLSVIAVQVKCVQDAIRAKKKAFNFLGEIIGLIPTVGIFITMNPGYAGRAELPENLKALFRPCAMVVPDFELICEIMLMAEGFLEARLLARKFITLYTLCKELLSKQDHYDWGLRAIKSVLVVAGSLKRGDPSRAEDQVLMRALRDFNIPKIVTDDLPVFMGLIGDLFPALDVPRKRDLNFEKIIKQSIVELKLQAEDSFVLKVVQLEELLQVRHSVFIVGNAGSGKSQVLKSLNKTYQNLKRKPVAVDLDPKAVTCDELFGIINPVTREWKDGLFSTIMRDLANITHDGPKWIILDGDIDPMWIESLNTVMDDNKVLTLASNERIPLNRTMRLVFEISHLRTATPATVSRAGILYINPADLGWNPVVSSWIERRKVQSEKANLMILFDKYLPTCLDKLRFGFKKITPVPEITVIQTILYLLECLLTEKTVPPDSPRELYELYFVFTCFWAFGGAMFQDQLVDYRVEFSKWWINEFKTIKFPSQGTIFDYYIDPDTKKFLPWTDKVPSFELDPDVPLQASLVHTTETIRIRYFMDLLMEKSWPVMLVGNAGTGKSVLMGDKLESLNTDNYLVQAVPFNFYTTSAMLQGVLEKPLEKKSGRNYGPPGTKKLVYFIDDMNMPEVDKYGTVAPHTLIRQHMDHRHWYDRHKLTLKDIHNCQYVACMNPTSGSFTIDSRLQRHFCVFAVSFPGQEALTTIYNTILTQHLAFRSVSMAIQRISSQLVAAALALHQKITATFLPTAIKFHYVFNLRDLSNIFQGLLFSTAEVLKTPLDLVRLWLHETERVYGDKMVDEKDQETLHRVTMASTKKFFDDLGDELLFAKPNIFCHFAQGIGDPKYVPVTDMAPLNKLLVDVLDSYNEVNAVMNLVLFEDAVAHICRINRILESPRGNALLVGVGGSGKQSLSRLAAYISGLDVFQITLKKGYGIPDLKIDLAAQYIKAAVKNVPSVFLMTDSQVAEEQFLVLINDLLASGEIPGLFMEDEVENIISSMRPQVKSLGMNDTRETCWKFFIEKVRRQLKVILCFSPVGSVLRVRARKFPAVVNCTAIDWFHEWPEDALVSVSARFLEETEGIPWEVKASISFFMSYVHTTVNEMSRVYLATERRYNYTTPKTFLEQIKLYQNLLAKKRTELVAKIERLENGLMKLQSTASQVDDLKAKLAIQEAELKQKNESADQLIQVVGIEAEKVSKEKAIADQEEVKVEVINKNVTEKQKACETDLAKAEPALLAAQEALDTLNKNNLTELKSFGSPPDAVVNVTAAVMILTAPGGKIPKDKSWKAAKIMMGKVDTFLDSLKKFDKEHIPEACLKAFKPYQGNPTFDPEFIRSKSTAAAGLCSWCINIVRFYEVYCDVAPKRQALEEANAELAEAQEKLSRIKNKIAELNANLSNLTSAFEKATAEKIKCQQEADATNRVILLANRLVGGLASENIRWAESVENFRSQGVTLCGDVLLISAFVSYVGYFTKKYRNELMEKFWIPYIHNLKVPIPITNGLDPLSLLTDDADVATWNNQGLPSDRMSTENATILGNTERWPLIVDAQLQGIKWIKNKYRSELKAIRLGQKSYLDVIEQAISEGDTLLIENIGETVDPVLDPLLGRNTIKKGKYIKIGDKEVEYHPKFRLILHTKYFNPHYKPEMQAQCTLINFLVTRDGLEDQLLAAVVAKERPDLEQLKANLTKSQNEFKIVLKELEDSLLARLSAASGNFLGDTALVENLETTKHTASEIEEKVVEAKITEVKINEARENYRPAAERASLLYFILNDLNKINPVYQFSLKAFNVVFEKAIQRTTPANEVKQRVINLTDEITYSVYMYTARGLFERDKLIFLAQVTFQVLSMKKELNPVELDFLLRFPFKAGVVSPVDFLQHQGWGGIKALSEMDEFKNLDSDIEGSAKRWKKLVESEAPEKEIFPKEWKNKTALQKLCMVRCLRPDRMTYAIKNFVEEKMGSKFVEGRSVEFSKSYEESSPSTSIFFILSPGVDPLKDVEALGKKLGFTIDNGKLHNVSLGQGQEVVAENALDVAAEKGHWVILQVRGGQHCRNIHLVARWLGTLDKKLERYSTGSHEDYRVFISAEPAPSPETHIIPQGILENAIKITNEPPTGMHANLHKALDLFTQDTLEMCTKEMEFKCMLFALCYFHAVVAERRKFGAQGWNRSYPFNNGDLTISINVLYNYLEANPKVPWDDLRYLFGEIMYGGHITDDWDRRLCRTYLAEYIRTEMLEGDVLLAPGFQIPPNLDYKGYHEYIDENLPPESPYLYGLHPNAEIGFLTVTSEKLFRTVLEMQPKETDSGAGTGVSREEKAGSLKLLPSERKGEDLELRRGGCPGTGFQVKAVLDDILEKIPETFNMAEIMAKAAEKTPYVVVAFQECERMNILTNEMRRSLKELNLGLKGELTITTDVEDLSTALFYDTVPDTWVARAYPSMMGLAAWYADLLLRIRELEAWTTDFALPTTVWLAGFFNPQSFLTAIMQSMARKNEWPLDKMCLSVEVTKKNREDMTAPPREGSYVYGLFMEGARWDTQTGVIAEARLKELTPAMPVIFIKAIPVDRMETKNIYECPVYKTRIRGPTYVWTFNLKTKEKAAKWILAAVALLLQV
+>DECOY_sp|Q9UFH2|DYH17_HUMAN Dynein heavy chain 17, axonemal OS=Homo sapiens OX=9606 GN=DNAH17 PE=1 SV=2
+VQLLLAVAALIWKAAKEKTKLNFTWVYTPGRIRTKYVPCEYINKTEMRDVPIAKIFIVPMAPTLEKLRAEAIVGTQTDWRAGEMFLGYVYSGERPPATMDERNKKTVEVSLCMKDLPWENKRAMSQMIATLFSQPNFFGALWVTTPLAFDTTWAELERIRLLLDAYWAALGMMSPYARAVWTDPVTDYFLATSLDEVDTTITLEGKLGLNLEKLSRRMENTLINMRECEQFAVVVYPTKEAAKAMIEAMNFTEPIKELIDDLVAKVQFGTGPCGGRRLELDEGKRESPLLKLSGAKEERSVGTGAGSDTEKPQMELVTRFLKESTVTLFGIEANPHLGYLYPSEPPLNEDIYEHYGKYDLNPPIQFGPALLVDGELMETRIYEALYTRCLRRDWDDTIHGGYMIEGFLYRLDDWPVKPNAELYNYLVNISITLDGNNFPYSRNWGQAGFKRREAVVAHFYCLAFLMCKFEMEKTCMELTDQTFLDLAKHLNAHMGTPPENTIKIANELIGQPIIHTEPSPAPEASIFVRYDEHSGTSYRELKKDLTGLWRAVLHINRCHQGGRVQLIVWHGKEAAVDLANEAVVEQGQGLSVNHLKGNDITFGLKKGLAEVDKLPDVGPSLIFFISTSPSSEEYSKSFEVSRGEVFKSGMKEEVFNKIAYTMRDPRLCRVMCLKQLATKNKWEKPFIEKEPAESEVLKKWRKASGEIDSDLNKFEDMESLAKIGGWGQHQLFDVPSVVGAKFPFRLLFDLEVPNLEKKMSLVQFTVQALFILKDREFLGRATYMYVSYTIEDTLNIVRQKVENAPTTRQIAKEFVVNFAKLSFQYVPNIKNLDNLIFYLLSAREAAPRYNERAENIKVETIKAEVVKEEIESATHKTTELNEVLATDGLFNGSAASLRALLSDELEKLVIKFENQSKTLNAKLQELDPREKAVVAALLQDELGDRTVLFNILTCQAQMEPKYHPNFYKTHLILRFKPHYEVEKDGIKIYKGKKITNRGLLPDLVPDVTEGINEILLTDGESIAQEIVDLYSKQGLRIAKLESRYKNKIWKIGQLQADVILPWRETNGLITANETSMRDSPLGQNNWTAVDADDTLLSLPDLGNTIPIPVKLNHIYPIWFKEMLENRYKKTFYGVYSVFASILLVDGCLTVGQSRFNEVSEAWRINESALGGVLRNALLIVRNTADAEQQCKIKEATAKEFASTLNSLNANLEAIKNKIRSLKEQAEALEANAEELAQRKPAVDCYVEYFRVINICWSCLGAAATSKSRIFEPDFTPNGQYPKFAKLCAEPIHEKDFKKLSDLFTDVKGMMIKAAKWSKDKPIKGGPATLIMVAATVNVVADPPSGFSKLETLNNKNLTDLAEQAALLAPEAKALDTECAKQKETVNKNIVEVKVEEQDAIAKEKSVKEAEIGVVQILQDASENKQKLEAEQIALKAKLDDVQSATSQLKMLGNELREIKAVLETRKKALLNQYLKIQELFTKPTTYNYRRETALYVRSMENVTTHVYSMFFSISAKVEWPIGETEELFRASVSVLADEPWEHFWDIATCNVVAPFKRARVRLVSGVPSFCLIVKLQRRVKEIFFKWCTERTDNMGLSKVQPRMSSIINEVEDEMFLGPIEGSALLDNILVLFQEEAVQSDTMLFVSPVNKVAAKIYQAALDIKLDPIGYGKKLTIQFVDLGSIYAALRSLSQKGSGGVGVLLANGRPSELIRNIRCIHAVADEFLVLNMVANVENYSDLVDVLLKNLPAMDTVPVYKPDGIGQAFHCFINPKAFLLEDGLDDFFKKTSAMTVRHLTEQDKEDVMKDGYVRETEHLWLRVLDLPTKLVEATSFLLGQFINSLDRLNFVYHFKIATPLFTATIKQHLALAAAVLQSSIRQIAMSVSRFALHQTLITNYITTLAEQGPFSVAFVCFHRQLRSDITFSGSTPNMCAVYQCNHIDKLTLKHRDYWHRHDMHQRILTHPAVTGYKDVEPMNMDDIFYVLKKTGPPGYNRGSKKELPKELVGQLMASTTYFNFPVAQVLYNDTNLSELKDGMLVSKGTGANGVLMVPWSKEMLLDMFYRIRITETTHVLSAQLPVDPDLEFSPVKDTWPLFKKTDPDIYYDFITGQSPFKITKFENIWWKSFEVRYDVLQDQFMAGGFAWFCTFVFYLEYLERPSDPPVTKETLLCELLYLITQIVTIEPVPTIKKFGFRLKDLCTPLYKDFLIMLNAKESQVKRREIWSSVVPNWGLDAPNIYLIGARSVTAPTATRLHSIEFVLRMTRNLPIRENSALTLVKNDDMVTNLSEIWMPDIDGDLIIWKPGDHTINALDRMITSFLGDKWERTVPNIIGFLEDCTVAKPDLDVAVPKRKLNQYTKNLSKLVQSKGSGANGVIFVSHRVQLLEELQVVKLVFSDEAQLKLEVISQKIIKEFNLDRKRPVDLAPFLDGILGMFVPLDDTVIKPINFDRLARMLVQDEARSPDGRKLSGAVVLVSKIARLGWDYHDQKSLLEKCLTYLTIFKRALLRAELFGEAMLMIECILEFDPVVMACPRFLAKLNEPLEARGAYGPNMTIFIGVTPILGIIEGLFNFAKKKARIADQVCKVQVAIVSLVEVSIRNFEDFCGWAGTQALGKYINGCSKYDMQESCNFVYVMTGLARGLDKTTETKGTGAPGAPAGGMILHLSQTLTIYCRDTLPTIVLRPTNGLYEYSYQIQADCINAFCHRKEEDWRHRLQAQWTFAQSSEVIMKAVVDRAHVDITCITMIKMRDGANLNGILLTILVNLQSIQKKNYDKIANEYGEELRAFALGVETTWWIQAPYDLIWQERPKEEYTVVAEPIEHRLTSCMRDLVRNLWVEVQGSLDCEQDFVMYEDEKSYMGLGVKLPKDSADLRFKLKCLSDFLKSLHRSVEVPDNGNSLIDLLDASSVFYFRPFALRKTELYEALAKECIALSKKLAELKNYLGPKSTAEVVNPTKVADEMLAKFEQNIDDFRQSDGPLQTRIDESGIFISELHSWTRQVEFWISIVSDATSLKQQWSTVEKLFHALYKSMMLNQLQVQNDELTEVLVESSKLMMTGTRPHPEHQFEMMSWTSDLAKLVKEMGSEKVAKDVINRVEDEYSHLNLQLLDALTTEESMKFKVQTAQMLQQWHRERIAPNQLESVARLSTIVNKVTNDLGVFADWTKMEKDLSRMDKAFKKCDIDMQEVNIDKWKTTKWDEISTNVVVVMDWLEKLLRVERHCAKLQKYDPVPVEFLGGSKSLAEMIGKLLFVKNLSKYPNPDSFSFPAERRFRERFEHQKLEFQQCKRRLISVENAQLPAVTLKVQIALKKTNAWHEPLEQLKLHIEEPMEEGYTKLLEITQKLPEFMNDTAAQREKVKMLHGMVEVLGDYDGEKLPKTLGMRAVKMFAELDALSNTVHNSLHRKFMFGWRRITSLLAQKFPRCDCQLWGHFVKTNECKSVEEYLKEYSDIQEQFQALTPPTKPITDDTWTDLDEATVACGYILFNKMFEQLNDTWLYSYREFSDQYEEAEKMANIVLSSVEERMEILDTNDELDMKYNMRDKALRPILRAVNYIDNVLGEILALFGRDSGVELTPNFTLGDEDLEMRIEFLPAISEDIVMNDMLFSLSKRIFQDFEDLVMDDIYIVYDKWPLSLTDARFLEANEAVMAQIKVGADRVAAYRKNLNAIRGDLDLLAEKKNDKREFLPNASWDKMAQSIGEINQKAKQMRNQLNHLIERVEQIYQFVGEGNWFLTTEASLLKVDIAELESKILLFEVAKVITKIENYWGVILELNGVFKRFTENESFLSEASDPIEKQQQFNLYKVERLVAVLAKSFNVHILNSAADRLILPQGLNFHCDQDVGAVWQQYIKERHCRLLEMMEDYKQYTLKAEAGSMVPHEVHKLHKMSVELREQLELSWKLQGAVPPMNKHILPINGEESAAMQADYLIKANDLEADFLELMVSYRPAVEALILPREMLGGCMYLLKASSKICSCDDFGQCFITALRRDLDQIKIEFDAYDRDFNSDGPDLPDYKCDAFVKVLEFVEDYIRTVLSGLLNGRVGGLEIKELKLFEIATKYLEEITQIRQFFSNIRSFALSSPFEWPVPEKDKFFLKMNVCCFDYTQYLEKLVNVALSIGSLVEEIEGQLGKLVEEPSLFTRTMEIIQNCFEQLIVIIRAPTNYYESTAWIFCITDLVKAIFTPLMTFDAQEMEELLIRLPKLYLVIDNAEKLGETVNTYVNQLAPWYCSKAKELIEVIKNVKPRNLQEHICKLNLLRADWFEFEVQPLPHLGDLLAQASDKSLVDRIQHSWDIITTEIAHLLLNDLSSPIREMSELTGDLSGLHEPIPLLTKGKIKGSMVFMENKLRHVQKVIDESVVQPWGAMNESQNLLSSLVEEVVAILQDVPTPSIDGYLLRARYNDKNINESKTKIFYVGKSKLSQPFGLCPIIMGAANLTLVLVQVDPKEFFETFLAVNEEAGILKSWKDPKFKLVISAVEELYELRVDPAMTM
+>sp|Q9P2D7|DYH1_HUMAN Dynein heavy chain 1, axonemal OS=Homo sapiens OX=9606 GN=DNAH1 PE=1 SV=4
+MEQPNSKGYSLGRTPQGPECSSAPAVQVGTHRGLEYNPGKILPGSDYGLGNPPALDPKLPHLPLPPAPPTLSDLGQPRKSPLTGTDKKYPLMKQRGFYSDILSPGTLDQLGEVCRGPRMSQNLLRQADLDKFTPRVGSFEVPEDFQERMEQQCIGSTTRLLAQTDFPLQAYEPKMQVPFQVLPGQHPRKIEIERRKQQYLSLDIEQLLFSQGIDSNKLMPRHLDHQHPQTIEQGHDPIFPIYLPLKVFDNEDFDCRTPREWINMGLEPGSLDRKPVPGKALLPTDDFLGHEDPKSQKLKYKWCEVGVLDYDEEKKLYLVHKTDEKGLVRDEMGRPILNAGVTTEGRPPLQVCQYWVPRIQLLFCAEDPCMFAQRVVQANALRKNTEALLLYNLYVDCMPSDGQHVISEQSLSKIKQWALSTPRMRKGPSVLEHLSSLAREVSLDYERSMNKINFDHVVSSKPETFSYVTLPKKEEEQVPERGLVSVPKYHFWEQKEDFTFVSLLTRPEVITALSKVRAECNKVTAMSLFHSSLSKYSHLEEFEQIQSQTFSQVQMFLKDSWISSLKVAMRSSLRDMSKGWYNLYETNWEVYLMSKLRKLMELVKYMLQDTLRFLVQDSLASFSQFISDTCCSVLNCTDDMVWGDDLINSPYRPRKNPLFIMDLVLDSSGVHYSTPLEQFEASLLNLFDKGILATHAVPQLEKLVMEDIFISGDPLLESVGLHEPLVEELRATIASAVSKAMIPLQAYAKEYRKYLELNNNDIASFLKTYQTQGLLAQEVREVVLTHLREKEILDSSLPSSIIIGPFYINTDNVKQSLSKKRKALATSVLDILAKNLHKEVDSICEEFRSISRKIYEKPNSIEELAELREWMKGIPERLVGLEERIVKVMDDYQVMDEFLYNLSSDDFNDKWIASNWPSKILGQIELVQQQHVEDEEKFRKIQIMDQNNFQEKLEGLQLVVAGFSIHVEISRAHEIANEVRRVKKQLKDCQQLAMLYNNRERIFSLPITNYDKLSRMVKEFQPYLDLWTTASDWLRWSESWMNDPLSAIDAEQLEKNVVEAFKTMHKCVKQFKDMPACQEVALDIRARIEEFKPYIPLIQGLRNPGMRIRHWETLSNQININVRPKANLTFARCLEMNLQDHIESISKVAEVAGKEYAIEQALDKMEKEWSTILFNVLPYKATDTYILKSPDEASQLLDDHIVMTQNMSFSPYKKPFEQRINSWENKLKLTQEVLEEWLNCQRSWLYLEPIFSSEDINQQLPVESKRYQTMERIWKKIMKNAYENREVINVCSDLRMLDSLRDCNKILDLVQKGLSEYLETKRSAFPRFYFLSDDELLEILSQTKDPTAVQPHLRKCFENIARLLFQEDLEITHMYSAEGEEVQLCFSIYPSSNVEDWLREVERSMKASVHDIIEKAIRAYPTMPRTQWVLNWPGQVTIAGCQTYWTMEVAEALEAGNLRSQLFPQLCQQLSDLVALVRGKLSRMQRAVLSALIVIEVHAKDVVSKLIQENVVSVNDFQWISQLRYYWTNNDLYIRAVNAEFIYGYEYLGNSGRLVITPLTDRCYLTLTGALHLKFGGAPAGPAGTGKTETTKDLGKALAIQTVVFNCSDQLDFMAMGKFFKGLASAGAWACFDEFNRIDIEVLSVVAQQITTIQKAQQQRVERFMFEGVEIPLVPSCAVFITMNPGYAGRTELPDNLKALFRPVAMMVPDYAMITEISLYSFGFNEASVLAKKITTTFKLSSEQLSSQDHYDFGMRAVKTVISAAGNLKRENPSMNEELICLRAIRDVNVPKFLQEDLKLFSGIVSDLFPTIKEEDTDYGILDEAIREACRNSNLKDVEGFLTKCIQLYETTVVRHGLMLVGPTGSGKSTCYRVLAAAMTSLKGQPSISGGMYEAVNYYVLNPKSITMGQLYGEFDLLTHEWTDGIFSSFIRAGAITSDTNKKWYMFDGPVDAIWIENMNTVLDDNKKLCLSSGEIIKLTEAMTMMFEVQDLAVASPATVSRCGMVYLEPSILGLMPFIECWLRKLPPLLKPYEEHFKALFVSFLEESISFVRSSVKEVIASTNCNLTMSLLKLLDCFFKPFLPREGLKKIPSEKLSRIVELIEPWFIFSLIWSVGATGDSSGRTSFSHWLRLKMENEQLTLLFPEEGLVFDYRLEDAGISGTNDSEDEEEEYKQVAWVKWMDSSAPFTMVPDTNYCNIIVPTMDTVQMSHLLDMLLTNKKPVLCIGPTGTGKTLTISDKLLKNLALDYISHFLTFSARTSANQTQDFIDSKLDKRRKGVFGPPLGRNFIFFIDDLNMPALETYGAQPPIELLRQWMDHGGWYDRKIIGAFKNLVDINFVCAMGPPGGGRNTVTPRLMRHFNYLSFAEMDEVSKKRIFSTILGNWLDGLLGEKSYRERVPGAPHIAHFTEPLVEATIMVYATITSQLLPTPAKSHYTFNLRDLSKVFQGMLMADPAKVEDQVQLLRLWYHENCRVFRDRLVNEEDRSWFDQLLKRCMEQWEVTFNKVCPFQPILYGDFMSPGSDVKSYELITSESKMMQVIEEYIEDYNQINTAKLKLVLFMDAMSHICRISRTLRQALGNALLLGVGGSGRSSLTRLASHMAEYECFQIELSKNYGMSEWRDDVKKVLLKAGLQNLPITFLFSDTQIKNESFLEDINNVLNSGDIPNLYTADEQDQIVSTMRPYIQEQGLQPTKANLMAAYTGRVRSNIHMVLCMSPIGEVFRARLRQFPSLVNCCTIDWFNEWPAEALKSVATVFLNEIPELESSQEEIQGLIQVCVYIHQSVSKKCIEYLAELTRHNYVTPKSYLELLHIFSILIGQKKLELKTAKNRMKSGLDKLLRTSEDVAKMQEDLESMHPLLEEAAKDTMLTMEQIKVDTAIAEETRNSVQTEEIKANEKAKKAQAIADDAQKDLDEALPALDAALASLRNLNKNDVTEVRAMQRPPPGVKLVIEAVCIMKGIKPKKVPGEKPGTKVDDYWEPGKGLLQDPGHFLESLFKFDKDNIGDVVIKAIQPYIDNEEFQPATIAKVSKACTSICQWVRAMHKYHFVAKAVEPKRQALLEAQDDLGVTQRILDEAKQRLREVEDGIATMQAKYRECITKKEELELKCEQCEQRLGRAGKVRTLLLQGLQAGPAQTGARKDQGAGGSWGGCPHPLPGNPGATVGRASPRPLAQPPRAHPTGLPLQLINGLSDEKVRWQETVENLQYMLNNISGDVLVAAGFVAYLGPFTGQYRTVLYDSWVKQLRSHNVPHTSEPTLIGTLGNPVKIRSWQIAGLPNDTLSVENGVINQFSQRWTHFIDPQSQANKWIKNMEKDNGLDVFKLSDRDFLRSMENAIRFGKPCLLENVGEELDPALEPVLLKQTYKQQGNTVLKLGDTVIPYHEDFRMYITTKLPNPHYTPEISTKLTLINFTLSPSGLEDQLLGQVVAEERPDLEEAKNQLIISNAKMRQELKDIEDQILYRLSSSEGNPVDDMELIKVLEASKMKAAEIQAKVRIAEQTEKDIDLTRMEYIPVAIRTQILFFCVSDLANVDPMYQYSLEWFLNIFLSGIANSERADNLKKRISNINRYLTYSLYSNVCRSLFEKHKLMFAFLLCVRIMMNEGKINQSEWRYLLSGGSISIMTENPAPDWLSDRAWRDILALSNLPTFSSFSSDFVKHLSEFRVIFDSLEPHREPLPGIWDQYLDQFQKLLVLRCLRGDKVTNAMQDFVATNLEPRFIEPQTANLSVVFKDSNSTTPLIFVLSPGTDPAADLYKFAEEMKFSKKLSAISLGQGQGPRAEAMMRSSIERGKWVFFQNCHLAPSWMPALERLIEHINPDKVHRDFRLWLTSLPSNKFPVSILQNGSKMTIEPPRGVRANLLKSYSSLGEDFLNSCHKVMEFKSLLLSLCLFHGNALERHKFGPLGFNIPYEFTDGDLRICISQLKMFLDEYDDIPYKVLKYTAGEINYGGRVTDDWDRRCIMNILEDFYNPDVLSPEHSYSASGIYHQIPPTYDLHGYLSYIKSLPLNDMPEIFGLHDNANITFAQNETFALLGTIIQLQPKSSSAGSQGREEIVEDVTQNILLKVPEPINLQWVMAKYPVLYEESMNTVLVQEVIRYNRLLQVITQTLQDLLKALKGLVVMSSQLELMAASLYNNTVPELWSAKAYPSLKPLSSWVMDLLQRLDFLQAWIQDGIPAVFWISGFFFPQAFLTGTLQNFARKFVISIDTISFDFKVMFEAPSELTQRPQVGCYIHGLFLEGARWDPEAFQLAESQPKELYTEMAVIWLLPTPNRKAQDQDFYLCPIYKTLTRAGTLSTTGHSTNYVIAVEIPTHQPQRHWIKRGVALICALDY
+>DECOY_sp|Q9P2D7|DYH1_HUMAN Dynein heavy chain 1, axonemal OS=Homo sapiens OX=9606 GN=DNAH1 PE=1 SV=4
+YDLACILAVGRKIWHRQPQHTPIEVAIVYNTSHGTTSLTGARTLTKYIPCLYFDQDQAKRNPTPLLWIVAMETYLEKPQSEALQFAEPDWRAGELFLGHIYCGVQPRQTLESPAEFMVKFDFSITDISIVFKRAFNQLTGTLFAQPFFFGSIWFVAPIGDQIWAQLFDLRQLLDMVWSSLPKLSPYAKASWLEPVTNNYLSAAMLELQSSMVVLGKLAKLLDQLTQTIVQLLRNYRIVEQVLVTNMSEEYLVPYKAMVWQLNIPEPVKLLINQTVDEVIEERGQSGASSSKPQLQIITGLLAFTENQAFTINANDHLGFIEPMDNLPLSKIYSLYGHLDYTPPIQHYIGSASYSHEPSLVDPNYFDELINMICRRDWDDTVRGGYNIEGATYKLVKYPIDDYEDLFMKLQSICIRLDGDTFEYPINFGLPGFKHRELANGHFLCLSLLLSKFEMVKHCSNLFDEGLSSYSKLLNARVGRPPEITMKSGNQLISVPFKNSPLSTLWLRFDRHVKDPNIHEILRELAPMWSPALHCNQFFVWKGREISSRMMAEARPGQGQGLSIASLKKSFKMEEAFKYLDAAPDTGPSLVFILPTTSNSDKFVVSLNATQPEIFRPELNTAVFDQMANTVKDGRLCRLVLLKQFQDLYQDWIGPLPERHPELSDFIVRFESLHKVFDSSFSSFTPLNSLALIDRWARDSLWDPAPNETMISISGGSLLYRWESQNIKGENMMIRVCLLFAFMLKHKEFLSRCVNSYLSYTLYRNINSIRKKLNDARESNAIGSLFINLFWELSYQYMPDVNALDSVCFFLIQTRIAVPIYEMRTLDIDKETQEAIRVKAQIEAAKMKSAELVKILEMDDVPNGESSSLRYLIQDEIDKLEQRMKANSIILQNKAEELDPREEAVVQGLLQDELGSPSLTFNILTLKTSIEPTYHPNPLKTTIYMRFDEHYPIVTDGLKLVTNGQQKYTQKLLVPELAPDLEEGVNELLCPKGFRIANEMSRLFDRDSLKFVDLGNDKEMNKIWKNAQSQPDIFHTWRQSFQNIVGNEVSLTDNPLGAIQWSRIKVPNGLTGILTPESTHPVNHSRLQKVWSDYLVTRYQGTFPGLYAVFGAAVLVDGSINNLMYQLNEVTEQWRVKEDSLGNILQLPLGTPHARPPQALPRPSARGVTAGPNGPLPHPCGGWSGGAGQDKRAGTQAPGAQLGQLLLTRVKGARGLRQECQECKLELEEKKTICERYKAQMTAIGDEVERLRQKAEDLIRQTVGLDDQAELLAQRKPEVAKAVFHYKHMARVWQCISTCAKSVKAITAPQFEENDIYPQIAKIVVDGINDKDFKFLSELFHGPDQLLGKGPEWYDDVKTGPKEGPVKKPKIGKMICVAEIVLKVGPPPRQMARVETVDNKNLNRLSALAADLAPLAEDLDKQADDAIAQAKKAKENAKIEETQVSNRTEEAIATDVKIQEMTLMTDKAAEELLPHMSELDEQMKAVDESTRLLKDLGSKMRNKATKLELKKQGILISFIHLLELYSKPTVYNHRTLEALYEICKKSVSQHIYVCVQILGQIEEQSSELEPIENLFVTAVSKLAEAPWENFWDITCCNVLSPFQRLRARFVEGIPSMCLVMHINSRVRGTYAAMLNAKTPQLGQEQIYPRMTSVIQDQEDATYLNPIDGSNLVNNIDELFSENKIQTDSFLFTIPLNQLGAKLLVKKVDDRWESMGYNKSLEIQFCEYEAMHSALRTLSSRGSGGVGLLLANGLAQRLTRSIRCIHSMADMFLVLKLKATNIQNYDEIYEEIVQMMKSESTILEYSKVDSGPSMFDGYLIPQFPCVKNFTVEWQEMCRKLLQDFWSRDEENVLRDRFVRCNEHYWLRLLQVQDEVKAPDAMLMGQFVKSLDRLNFTYHSKAPTPLLQSTITAYVMITAEVLPETFHAIHPAGPVRERYSKEGLLGDLWNGLITSFIRKKSVEDMEAFSLYNFHRMLRPTVTNRGGGPPGMACVFNIDVLNKFAGIIKRDYWGGHDMWQRLLEIPPQAGYTELAPMNLDDIFFIFNRGLPPGFVGKRRKDLKSDIFDQTQNASTRASFTLFHSIYDLALNKLLKDSITLTKGTGTPGICLVPKKNTLLMDLLHSMQVTDMTPVIINCYNTDPVMTFPASSDMWKVWAVQKYEEEEDESDNTGSIGADELRYDFVLGEEPFLLTLQENEMKLRLWHSFSTRGSSDGTAGVSWILSFIFWPEILEVIRSLKESPIKKLGERPLFPKFFCDLLKLLSMTLNCNTSAIVEKVSSRVFSISEELFSVFLAKFHEEYPKLLPPLKRLWCEIFPMLGLISPELYVMGCRSVTAPSAVALDQVEFMMTMAETLKIIEGSSLCLKKNDDLVTNMNEIWIADVPGDFMYWKKNTDSTIAGARIFSSFIGDTWEHTLLDFEGYLQGMTISKPNLVYYNVAEYMGGSISPQGKLSTMAAALVRYCTSKGSGTPGVLMLGHRVVTTEYLQICKTLFGEVDKLNSNRCAERIAEDLIGYDTDEEKITPFLDSVIGSFLKLDEQLFKPVNVDRIARLCILEENMSPNERKLNGAASIVTKVARMGFDYHDQSSLQESSLKFTTTIKKALVSAENFGFSYLSIETIMAYDPVMMAVPRFLAKLNDPLETRGAYGPNMTIFVACSPVLPIEVGEFMFREVRQQQAKQITTIQQAVVSLVEIDIRNFEDFCAWAGASALGKFFKGMAMFDLQDSCNFVVTQIALAKGLDKTTETKGTGAPGAPAGGFKLHLAGTLTLYCRDTLPTIVLRGSNGLYEYGYIFEANVARIYLDNNTWYYRLQSIWQFDNVSVVNEQILKSVVDKAHVEIVILASLVARQMRSLKGRVLAVLDSLQQCLQPFLQSRLNGAELAEAVEMTWYTQCGAITVQGPWNLVWQTRPMTPYARIAKEIIDHVSAKMSREVERLWDEVNSSPYISFCLQVEEGEASYMHTIELDEQFLLRAINEFCKRLHPQVATPDKTQSLIELLEDDSLFYFRPFASRKTELYESLGKQVLDLIKNCDRLSDLMRLDSCVNIVERNEYANKMIKKWIREMTQYRKSEVPLQQNIDESSFIPELYLWSRQCNLWEELVEQTLKLKNEWSNIRQEFPKKYPSFSMNQTMVIHDDLLQSAEDPSKLIYTDTAKYPLVNFLITSWEKEMKDLAQEIAYEKGAVEAVKSISEIHDQLNMELCRAFTLNAKPRVNINIQNSLTEWHRIRMGPNRLGQILPIYPKFEEIRARIDLAVEQCAPMDKFQKVCKHMTKFAEVVNKELQEADIASLPDNMWSESWRLWDSATTWLDLYPQFEKVMRSLKDYNTIPLSFIRERNNYLMALQQCDKLQKKVRRVENAIEHARSIEVHISFGAVVLQLGELKEQFNNQDMIQIKRFKEEDEVHQQQVLEIQGLIKSPWNSAIWKDNFDDSSLNYLFEDMVQYDDMVKVIREELGVLREPIGKMWERLEALEEISNPKEYIKRSISRFEECISDVEKHLNKALIDLVSTALAKRKKSLSQKVNDTNIYFPGIIISSPLSSDLIEKERLHTLVVERVEQALLGQTQYTKLFSAIDNNNLELYKRYEKAYAQLPIMAKSVASAITARLEEVLPEHLGVSELLPDGSIFIDEMVLKELQPVAHTALIGKDFLNLLSAEFQELPTSYHVGSSDLVLDMIFLPNKRPRYPSNILDDGWVMDDTCNLVSCCTDSIFQSFSALSDQVLFRLTDQLMYKVLEMLKRLKSMLYVEWNTEYLNYWGKSMDRLSSRMAVKLSSIWSDKLFMQVQSFTQSQIQEFEELHSYKSLSSHFLSMATVKNCEARVKSLATIVEPRTLLSVFTFDEKQEWFHYKPVSVLGREPVQEEEKKPLTVYSFTEPKSSVVHDFNIKNMSREYDLSVERALSSLHELVSPGKRMRPTSLAWQKIKSLSQESIVHQGDSPMCDVYLNYLLLAETNKRLANAQVVRQAFMCPDEACFLLQIRPVWYQCVQLPPRGETTVGANLIPRGMEDRVLGKEDTKHVLYLKKEEDYDLVGVECWKYKLKQSKPDEHGLFDDTPLLAKGPVPKRDLSGPELGMNIWERPTRCDFDENDFVKLPLYIPFIPDHGQEITQPHQHDLHRPMLKNSDIGQSFLLQEIDLSLYQQKRREIEIKRPHQGPLVQFPVQMKPEYAQLPFDTQALLRTTSGICQQEMREQFDEPVEFSGVRPTFKDLDAQRLLNQSMRPGRCVEGLQDLTGPSLIDSYFGRQKMLPYKKDTGTLPSKRPQGLDSLTPPAPPLPLHPLKPDLAPPNGLGYDSGPLIKGPNYELGRHTGVQVAPASSCEPGQPTRGLSYGKSNPQEM
+>sp|Q8TD57|DYH3_HUMAN Dynein heavy chain 3, axonemal OS=Homo sapiens OX=9606 GN=DNAH3 PE=2 SV=1
+MGATGRLELTLAAPPHPGPAFQRSKARETQGEEEGSEMQIAKSDSIHHMSHSQGQPELPPLPASANEEPSGLYQTVMSHSFYPPLMQRTSWTLAAPFKEQHHHRGPSDSIANNYSLMAQDLKLKDLLKVYQPATISVPRDRTGQGLPSSGNRSSSEPMRKKTKFSSRNKEDSTRIKLAFKTSIFSPMKKEVKTSLTFPGSRPMSPEQQLDVMLQQEMEMESKEKKPSESDLERYYYYLTNGIRKDMIAPEEGEVMVRISKLISNTLLTSPFLEPLMVVLVQEKENDYYCSLMKSIVDYILMDPMERKRLFIESIPRLFPQRVIRAPVPWHSVYRSAKKWNEEHLHTVNPMMLRLKELWFAEFRDLRFVRTAEILAGKLPLQPQEFWDVIQKHCLEAHQTLLNKWIPTCAQLFTSRKEHWIHFAPKSNYDSSRNIEEYFASVASFMSLQLRELVIKSLEDLVSLFMIHKDGNDFKEPYQEMKFFIPQLIMIKLEVSEPIIVFNPSFDGCWELIRDSFLEIIKNSNGIPKLKYIPLKFSFTAAAADRQCVKAAEPGEPSMHAAATAMAELKGYNLLLGTVNAEEKLVSDFLIQTFKVFQKNQVGPCKYLNVYKKYVDLLDNTAEQNIAAFLKENHDIDDFVTKINAIKKRRNEIASMNITVPLAMFCLDATALNHDLCERAQNLKDHLIQFQVDVNRDTNTSICNQYSHIADKVSEVPANTKELVSLIEFLKKSSAVTVFKLRRQLRDASERLEFLMDYADLPYQIEDIFDNSRNLLLHKRDQAEMDLIKRCSEFELRLEGYHRELESFRKREVMTTEEMKHNVEKLNELSKNLNRAFAEFELINKEEELLEKEKSTYPLLQAMLKNKVPYEQLWSTAYEFSIKSEEWMNGPLFLLNAEQIAEEIGNMWRTTYKLIKTLSDVPAPRRLAENVKIKIDKFKQYIPILSISCNPGMKDRHWQQISEIVGYEIKPTETTCLSNMLEFGFGKFVEKLEPIGAAASKEYSLEKNLDRMKLDWVNVTFSFVKYRDTDTNILCAIDDIQMLLDDHVIKTQTMCGSPFIKPIEAECRKWEEKLIRIQDNLDAWLKCQATWLYLEPIFSSEDIIAQMPEEGRKFGIVDSYWKSLMSQAVKDNRILVAADQPRMAEKLQEANFLLEDIQKGLNDYLEKKRLFFPRFFFLSNDELLEILSETKDPLRVQPHLKKCFEGIAKLEFTDNLEIVGMISSEKETVPFIQKIYPANAKGMVEKWLQQVEQMMLASMREVIGLGIEAYVKVPRNHWVLQWPGQVVICVSSIFWTQEVSQALAENTLLDFLKKSNDQIAQIVQLVRGKLSSGARLTLGALTVIDVHARDVVAKLSEDRVSDLNDFQWISQLRYYWVAKDVQVQIITTEALYGYEYLGNSPRLVITPLTDRCYRTLMGALKLNLGGAPEGPAGTGKTETTKDLAKALAKQCVVFNCSDGLDYKAMGKFFKGLAQAGAWACFDEFNRIEVEVLSVVAQQILSIQQAIIRKLKTFIFEGTELSLNPTCAVFITMNPGYAGRAELPDNLKALFRTVAMMVPDYALIGEISLYSMGFLDSRSLAQKIVATYRLCSEQLSSQHHYDYGMRAVKSVLTAAGNLKLKYPEENESVLLLRALLDVNLAKFLAQDVPLFQGIISDLFPGVVLPKPDYEVFLKVLNDNIKKMKLQPVPWFIGKIIQIYEMMLVRHGYMIVGDPMGGKTSAYKVLAAALGDLHAANQMEEFAVEYKIINPKAITMGQLYGCFDQVSHEWMDGVLANAFREQASSLSDDRKWIIFDGPVDAIWIENMNTVLDDNKKLCLMSGEIIQMNSKMSLIFEPADLEQASPATVSRCGMIYMEPHQLGWKPLKDSYMDTLPSSLTKEHKELVNDMFMWLVQPCLEFGRLHCKFVVQTSPIHLAFSMMRLYSSLLDEIRAVEEEEMELGEGLSSQQIFLWLQGLFLFSLVWTVAGTINADSRKKFDVFFRNLIMGMDDNHPRPKSVKLTKNNIFPERGSIYDFYFIKQASGHWETWTQYITKEEEKVPAGAKVSELIIPTMETARQSFFLKTYLDHEIPMLFVGPTGTGKSAITNNFLLHLPKNTYLPNCINFSARTSANQTQDIIMSKLDRRRKGLFGPPIGKKAVVFVDDLNMPAKEVYGAQPPIELLRQWIDHGYWFDKKDTTRLDIVDMLLVTAMGPPGGGRNDITGRFTRHLNIISINAFEDDILTKIFSSIVDWHFGKGFDVMFLRYGKMLVQATKTIYRDAVENFLPTPSKSHYVFNLRDFSRVIQGVLLCPHTHLQDVEKCIRLWIHEVYRVFYDRLIDKEDRQVFFNMVKETTSNCFKQTIEKVLIHLSPTGKIVDDNIRSLFFGDYFKPESDQKIYDEITDLKQLTVVMEHYLEEFNNISKAPMSLVMFRFAIEHISRICRVLKQDKGHLLLVGIGGSGRQSAAKLSTFMNAYELYQIEITKNYAGNDWREDLKKIILQVGVATKSTVFLFADNQIKDESFVEDINMLLNTGDVPNIFPADEKADIVEKMQTAARTQGEKVEVTPLSMYNFFIERVINKISFSLAMSPIGDAFRNRLRMFPSLINCCTIDWFQSWPTDALELVANKFLEDVELDDNIRVEVVSMCKYFQESVKKLSLDYYNKLRRHNYVTPTSYLELILTFKTLLNSKRQEVAMMRNRYLTGLQKLDFAASQVAVMQRELTALQPQLILTSEETAKMMVKIEAETREADGKKLLVQADEKEANVAAAIAQGIKNECEGDLAEAMPALEAALAALDTLNPADISLVKSMQNPPGPVKLVMESICIMKGMKPERKPDPSGSGKMIEDYWGVSKKILGDLKFLESLKTYDKDNIPPLTMKRIRERFINHPEFQPAVIKNVSSACEGLCKWVRAMEVYDRVAKVVAPKRERLREAEGKLAAQMQKLNQKRAELKLVVDRLQALNDDFEEMNTKKKDLEENIEICSQKLVRAEKLISGLGGEKDRWTEAARQLGIRYTNLTGDVLLSSGTVAYLGAFTVDYRVQCQNQWLAECKDKVIPGFSDFSLSHTLGDPIKIRAWQIAGLPVDSFSIDNGIIVSNSRRWALMIDPHGQANKWIKNMEKANKLAVIKFSDSNYMRMLENALQLGTPVLIENIGEELDASIEPILLKATFKQQGVEYMRLGENIIEYSRDFKLYITTRLRNPHYLPEVAVKVCLLNFMITPLGLQDQLLGIVAAKEKPELEEKKNQLIVESAKNKKHLKEIEDKILEVLSMSKGNILEDETAIKVLSSSKVLSEEISEKQKVASMTETQIDETRMGYKPVAVHSATIFFCISDLANIEPMYQYSLTWFINLYMHSLTHSTKSEELNLRIKYIIDHFTLSIYNNVCRSLFEKDKLLFSLLLTIGIMKQKKEITEEVWYFLLTGGIALDNPYPNPAPQWLSEKAWAEIVRASALPKLHGLMEHLEQNLGEWKLIYDSAWPHEEQLPGSWKFSQGLEKMVILRCLRPDKMVPAVREFIAEHMGKLYIEAPTFDLQGSYNDSSCCAPLIFVLSPSADPMAGLLKFADDLGMGGTRTQTISLGQGQGPIAAKMINNAIKDGTWVVLQNCHLAASWMPTLEKICEEVIVPESTNARFRLWLTSYPSEKFPVSILQNGIKMTNEPPKGLRANLLRSYLNDPISDPVFFQSCAKAVMWQKMLFGLCFFHAVVQERRNFGPLGWNIPYEFNESDLRISMWQIQMFLNDYKEVPFDALTYLTGECNYGGRVTDDKDRRLLLSLLSMFYCKEIEEDYYSLAPGDTYYIPPHGSYQSYIDYLRNLPITAHPEVFGLHENADITKDNQETNQLFEGVLLTLPRQSGGSGKSPQEVVEELAQDILSKLPRDFDLEEVMKLYPVVYEESMNTVLRQELIRFNRLTKVVRRSLINLGRAIKGQVLMSSELEEVFNSMLVGKVPAMWAAKSYPSLKPLGGYVADLLARLTFFQEWIDKGPPVVFWISGFYFTQSFLTGVSQNYARKYTIPIDHIGFEFEVTPQETVMENNPEDGAYIKGLFLEGARWDRKTMQIGESLPKILYDPLPIIWLKPGESAMFLHQDIYVCPVYKTSARRGTLSTTGHSTNYVLSIELPTDMPQKHWINRGVASLCQLDN
+>DECOY_sp|Q8TD57|DYH3_HUMAN Dynein heavy chain 3, axonemal OS=Homo sapiens OX=9606 GN=DNAH3 PE=2 SV=1
+NDLQCLSAVGRNIWHKQPMDTPLEISLVYNTSHGTTSLTGRRASTKYVPCVYIDQHLFMASEGPKLWIIPLPDYLIKPLSEGIQMTKRDWRAGELFLGKIYAGDEPNNEMVTEQPTVEFEFGIHDIPITYKRAYNQSVGTLFSQTFYFGSIWFVVPPGKDIWEQFFTLRALLDAVYGGLPKLSPYSKAAWMAPVKGVLMSNFVEELESSMLVQGKIARGLNILSRRVVKTLRNFRILEQRLVTNMSEEYVVPYLKMVEELDFDRPLKSLIDQALEEVVEQPSKGSGGSQRPLTLLVGEFLQNTEQNDKTIDANEHLGFVEPHATIPLNRLYDIYSQYSGHPPIYYTDGPALSYYDEEIEKCYFMSLLSLLLRRDKDDTVRGGYNCEGTLYTLADFPVEKYDNLFMQIQWMSIRLDSENFEYPINWGLPGFNRREQVVAHFFCLGFLMKQWMVAKACSQFFVPDSIPDNLYSRLLNARLGKPPENTMKIGNQLISVPFKESPYSTLWLRFRANTSEPVIVEECIKELTPMWSAALHCNQLVVWTGDKIANNIMKAAIPGQGQGLSITQTRTGGMGLDDAFKLLGAMPDASPSLVFILPACCSSDNYSGQLDFTPAEIYLKGMHEAIFERVAPVMKDPRLCRLIVMKELGQSFKWSGPLQEEHPWASDYILKWEGLNQELHEMLGHLKPLASARVIEAWAKESLWQPAPNPYPNDLAIGGTLLFYWVEETIEKKQKMIGITLLLSFLLKDKEFLSRCVNNYISLTFHDIIYKIRLNLEESKTSHTLSHMYLNIFWTLSYQYMPEINALDSICFFITASHVAVPKYGMRTEDIQTETMSAVKQKESIEESLVKSSSLVKIATEDELINGKSMSLVELIKDEIEKLHKKNKASEVILQNKKEELEPKEKAAVIGLLQDQLGLPTIMFNLLCVKVAVEPLYHPNRLRTTIYLKFDRSYEIINEGLRMYEVGQQKFTAKLLIPEISADLEEGINEILVPTGLQLANELMRMYNSDSFKIVALKNAKEMNKIWKNAQGHPDIMLAWRRSNSVIIGNDISFSDVPLGAIQWARIKIPDGLTHSLSFDSFGPIVKDKCEALWQNQCQVRYDVTFAGLYAVTGSSLLVDGTLNTYRIGLQRAAETWRDKEGGLGSILKEARVLKQSCIEINEELDKKKTNMEEFDDNLAQLRDVVLKLEARKQNLKQMQAALKGEAERLRERKPAVVKAVRDYVEMARVWKCLGECASSVNKIVAPQFEPHNIFRERIRKMTLPPINDKDYTKLSELFKLDGLIKKSVGWYDEIMKGSGSPDPKREPKMGKMICISEMVLKVPGPPNQMSKVLSIDAPNLTDLAALAAELAPMAEALDGECENKIGQAIAAAVNAEKEDAQVLLKKGDAERTEAEIKVMMKATEESTLILQPQLATLERQMVAVQSAAFDLKQLGTLYRNRMMAVEQRKSNLLTKFTLILELYSTPTVYNHRRLKNYYDLSLKKVSEQFYKCMSVVEVRINDDLEVDELFKNAVLELADTPWSQFWDITCCNILSPFMRLRNRFADGIPSMALSFSIKNIVREIFFNYMSLPTVEVKEGQTRAATQMKEVIDAKEDAPFINPVDGTNLLMNIDEVFSEDKIQNDAFLFVTSKTAVGVQLIIKKLDERWDNGAYNKTIEIQYLEYANMFTSLKAASQRGSGGIGVLLLHGKDQKLVRCIRSIHEIAFRFMVLSMPAKSINNFEELYHEMVVTLQKLDTIEDYIKQDSEPKFYDGFFLSRINDDVIKGTPSLHILVKEITQKFCNSTTEKVMNFFVQRDEKDILRDYFVRYVEHIWLRICKEVDQLHTHPCLLVGQIVRSFDRLNFVYHSKSPTPLFNEVADRYITKTAQVLMKGYRLFMVDFGKGFHWDVISSFIKTLIDDEFANISIINLHRTFRGTIDNRGGGPPGMATVLLMDVIDLRTTDKKDFWYGHDIWQRLLEIPPQAGYVEKAPMNLDDVFVVAKKGIPPGFLGKRRRDLKSMIIDQTQNASTRASFNICNPLYTNKPLHLLFNNTIASKGTGTPGVFLMPIEHDLYTKLFFSQRATEMTPIILESVKAGAPVKEEEKTIYQTWTEWHGSAQKIFYFDYISGREPFINNKTLKVSKPRPHNDDMGMILNRFFVDFKKRSDANITGAVTWVLSFLFLGQLWLFIQQSSLGEGLEMEEEEVARIEDLLSSYLRMMSFALHIPSTQVVFKCHLRGFELCPQVLWMFMDNVLEKHEKTLSSPLTDMYSDKLPKWGLQHPEMYIMGCRSVTAPSAQELDAPEFILSMKSNMQIIEGSMLCLKKNDDLVTNMNEIWIADVPGDFIIWKRDDSLSSAQERFANALVGDMWEHSVQDFCGYLQGMTIAKPNIIKYEVAFEEMQNAAHLDGLAAALVKYASTKGGMPDGVIMYGHRVLMMEYIQIIKGIFWPVPQLKMKKINDNLVKLFVEYDPKPLVVGPFLDSIIGQFLPVDQALFKALNVDLLARLLLVSENEEPYKLKLNGAATLVSKVARMGYDYHHQSSLQESCLRYTAVIKQALSRSDLFGMSYLSIEGILAYDPVMMAVTRFLAKLNDPLEARGAYGPNMTIFVACTPNLSLETGEFIFTKLKRIIAQQISLIQQAVVSLVEVEIRNFEDFCAWAGAQALGKFFKGMAKYDLGDSCNFVVCQKALAKALDKTTETKGTGAPGEPAGGLNLKLAGMLTRYCRDTLPTIVLRPSNGLYEYGYLAETTIIQVQVDKAVWYYRLQSIWQFDNLDSVRDESLKAVVDRAHVDIVTLAGLTLRAGSSLKGRVLQVIQAIQDNSKKLFDLLTNEALAQSVEQTWFISSVCIVVQGPWQLVWHNRPVKVYAEIGLGIVERMSALMMQEVQQLWKEVMGKANAPYIKQIFPVTEKESSIMGVIELNDTFELKAIGEFCKKLHPQVRLPDKTESLIELLEDNSLFFFRPFFLRKKELYDNLGKQIDELLFNAEQLKEAMRPQDAAVLIRNDKVAQSMLSKWYSDVIGFKRGEEPMQAIIDESSFIPELYLWTAQCKLWADLNDQIRILKEEWKRCEAEIPKIFPSGCMTQTKIVHDDLLMQIDDIACLINTDTDRYKVFSFTVNVWDLKMRDLNKELSYEKSAAAGIPELKEVFKGFGFELMNSLCTTETPKIEYGVIESIQQWHRDKMGPNCSISLIPIYQKFKDIKIKVNEALRRPAPVDSLTKILKYTTRWMNGIEEAIQEANLLFLPGNMWEESKISFEYATSWLQEYPVKNKLMAQLLPYTSKEKELLEEEKNILEFEAFARNLNKSLENLKEVNHKMEETTMVERKRFSELERHYGELRLEFESCRKILDMEAQDRKHLLLNRSNDFIDEIQYPLDAYDMLFELRESADRLQRRLKFVTVASSKKLFEILSVLEKTNAPVESVKDAIHSYQNCISTNTDRNVDVQFQILHDKLNQARECLDHNLATADLCFMALPVTINMSAIENRRKKIANIKTVFDDIDHNEKLFAAINQEATNDLLDVYKKYVNLYKCPGVQNKQFVKFTQILFDSVLKEEANVTGLLLNYGKLEAMATAAAHMSPEGPEAAKVCQRDAAAATFSFKLPIYKLKPIGNSNKIIELFSDRILEWCGDFSPNFVIIPESVELKIMILQPIFFKMEQYPEKFDNGDKHIMFLSVLDELSKIVLERLQLSMFSAVSAFYEEINRSSDYNSKPAFHIWHEKRSTFLQACTPIWKNLLTQHAELCHKQIVDWFEQPQLPLKGALIEATRVFRLDRFEAFWLEKLRLMMPNVTHLHEENWKKASRYVSHWPVPARIVRQPFLRPISEIFLRKREMPDMLIYDVISKMLSCYYDNEKEQVLVVMLPELFPSTLLTNSILKSIRVMVEGEEPAIMDKRIGNTLYYYYRELDSESPKKEKSEMEMEQQLMVDLQQEPSMPRSGPFTLSTKVEKKMPSFISTKFALKIRTSDEKNRSSFKTKKRMPESSSRNGSSPLGQGTRDRPVSITAPQYVKLLDKLKLDQAMLSYNNAISDSPGRHHHQEKFPAALTWSTRQMLPPYFSHSMVTQYLGSPEENASAPLPPLEPQGQSHSMHHISDSKAIQMESGEEEGQTERAKSRQFAPGPHPPAALTLELRGTAGM
+>sp|Q96JB1|DYH8_HUMAN Dynein heavy chain 8, axonemal OS=Homo sapiens OX=9606 GN=DNAH8 PE=1 SV=2
+MMKLYIDNAAPDKLKGLCIFFVRCRNDVAINVKTIQEEALFTVLDASKGLLNGIRDMLANIFLPAVLATNNWGALNQSKQGESEKHIFTETINRYLSFLDGARISIEGTVKLKTIDNVNFSKLHTFEEVTAAASNSETVHQLEEVLMVWYKQIEQVLIESEQMRKEAGDSGPLTELEHWKRMSAKFNYIIEQIKGPSCKAVINVLNVAHSKLLKNWRDLDARITDTANESKDNVRYLYTLEKVCQPLYNHDLVSMAHGIQNLINAIRMIHGVSRYYNTSERMTSLFIKVTNQMVTACKAYITDGGLNHVWDQETPVVLKKIQDCIFLFKEYQASFHKTRKLISESSGEKSFEVSEMYIFGKFEAFCKRLEKITEMITVVQTYSTLSNSTIEGIDIMAIKFRNIYQGVKKKQYDILDPRRTEFDTDFLDFMTKINGLEVQIQAFMNSSFGKILSSQQALQLLQRFQKLNIPCLGLEINHTIERILQYYVAELDATKKLYHSQKDDPPLARNMPPIAGKILWVRQLYRRISEPINYFFKNSDILSSPDGKAVIRQYNKISYVLVEFEVVYHTAWIREISQLHYALQATLFVRHPETGKLLVNFDPKILEVVRETKCMIKMKLDVPEQAKRLLKLESKLKADKLYLQGLLQYYDELCQEVPSVFVNLMTPKMKKVESVLRQGLTVLTWSSLTLESFFQEVELVLDMFNQLLKKISDLCEMHIDTVLKEIAKTVLISLPESGATKVEDMLTLNETYTKEWADILNHKSKHVEEAVRELISIFEQIYEVKYTGKVGKQSEQRKHVVFGSETGEGENNDYEANIVNEFDTHDKEDEFKKECKEVFAFFSHQLLDSLQKATRLSLDTMKRRIFVASLYGRKQSEDIISFIKSEVHLAIPNVVMIPSLDDIQQAINRMIQLTLEVSRGVAHWGQQQIRPIKSVIPSPTTTDVTHQNTGKLLKKEERSFEEAIPARKLKNFYPGVAEHKDISKLVLLLSSSVNSLRKAAHEALQDFQKYKTLWTEDRDVKVKEFLANNPSLTEIRSEILHYATFEQEIDELKPIIVVGALELHTEPMKLALSIEAKAWKMLLCRYLNEEYKKKMSYMIAFINEYLKKLSRPIRDLDDVRFAMEALSCIRDNEIQMDMTLGPIEEAYAILNRFEVEVTKEESEAVDTLRYSFNKLQSKAVSVQEDLVQVQPKFKSNLLESVEVFREDVINFAEAYELEGPMVPNIPPQEASNRLQIFQASFDDLWRKFVTYSSGEQLFGLPVTDYEVLHKTRKELNLLQKLYGLYDTVMSSISGYYEILWGDVDIEKINAELLEFQNRCRKLPKGLKDWQAFLDLKKRIDDFSESCPLLEMMTNKAMKQRHWDRISELTGTPFDVESDSFCLRNIMEAPLLKHKDDIEDICISAIKEKDIEAKLTQVIENWTNQNLSFAAFKGKGELLLKGTESGEIITLMEDSLMVLGSLLSNRYNAPFKKNIQNWVYKLSTSSDIIEEWLVVQNLWVYLEAVFVGGDIAKQLPQEAKRFQNIDKSWIKIMQRAHENPNVINCCVGDETMGQLLPHLHEQLEVCQKSLTGYLEKKRLLFPRFFFVSDPVLLEILGQASDSHTIQPHLPAVSDNINEVTFHAKDYDRIMAVISREGEKIVLDNSVMAKGPVEIWLLDLLKMQMSSLHNIIRSAFYQISDSGFQLLPFLSHFPAQVGLLGIQMLWTHDSEEALRNAKDDRKIMQVTNQKFLDILNTLISQTTHDLSKFDRVKFETLITIHVHQRDIFDDLVKMHIKSPTDFEWLKQSRFYFKEDLDQTVVSITDVDFIYQNEFLGCTDRLVITPLTDRCYITLAQALGMNMGGAPAGPAGTGKTETTKDMGRCLGKYVVVFNCSDQMDFRGLGRIFKGLAQSGSWGCFDEFNRIELPVLSVAAQQIYIVLTARKERKKQFIFSDGDCVDLNPEFGIFLTMNPGYAGRQELPENLKIQFRTVAMMVPDRQIIMRVKLASCGFLENVILAQKFYVLYKLCEEQLTKQVHYDFGLRNILSVLRTLGSQKRARPEDSELSIVMRGLRDMNLSKLVDEDEPLFLSLINDLFPGLQLDSNTYAELQNAVAHQVQIEGLINHPPWNLKLVQLYETSLVRHGLMTLGPSGSGKTTVITILMKAQTECGRPHREMRMNPKAITAPQMFGRLDTATNDWTDGIFSTLWRKTLKAKKGENIFLILDGPVDAIWIENLNSVLDDNKTLTLANGDRIPMAPSCKLLFEVHNIENASPATVSRMGMVYISSSALSWRPILQAWLKKRTAQEAAVFLTLYEKVFEDTYTYMKLNLNPKMQLLECNYIVQSLNLLEGLIPSKEEGGVSCVEHLHKLFVFGLMWSLGALLELESREKLEAFLRQHESKLDLPEIPKGSNQTMYEFYVTDYGDWEHWNKKLQPYYYPTDSIPEYSSILVPNVDNIRTNFLIDTIAKQHKAVLLTGEQGTAKTVMVKAYLKKYDPEVQLSKSLNFSSATEPMMFQRTIESYVDKRIGSTYGPPGGRKMTVFIDDINMPVINEWGDQITNEIVRQMMEMEGMYSLDKPGDFTTIVDVQLIAAMIHPGGGRNDIPQRLKRQFTVFNCTLPSNASIDKIFGIIGCGYFDPCRSFKPQICEMIVNLVSVGRVLWQWTKVKMLPTPSKFHYIFNLRDLSRIWQGMLTIKAEECASIPTLLSLFKHECSRVIADRFITPEDEQWFNAHLTRAVEENIGSDAASCILPEPYFVDFLREMPEPTGDEPEDSVFEVPKIYELMPSFDFLAEKLQFYQRQFNEIIRGTSLDLVFFKDAMTHLIKISRIIRTSCGNALLVGVGGSGKQSLSRLASFIAGYQIFQITLTRSYNVTNLTDDLKALYKVAGADGKGITFIFTDSEIKDEAFLEYLNNLLSSGEISNLFARDEMDEITQGLISVMKRELPRHPPTFDNLYEYFISRSRKNLHVVLCFSPVGEKFRARSLKFPGLISGCTMDWFSRWPREALIAVASYFLSDYNIVCSSEIKRQVVETMGLFHDMVSESCESYFQRYRRRAHVTPKSYLSFINGYKNIYAEKVKFINEQAERMNIGLDKLMEASESVAKLSQDLAVKEKELAVASIKADEVLAEVTVSAQASAKIKNEVQEVKDKAQKIVDEIDSEKVKAESKLEAAKPALEEAEAALNTIKPNDIATVRKLAKPPHLIMRIMDCVLLLFQKKIDPVTMDPEKSCCKPSWGESLKLMSATGFLWSLQQFPKDTINEETVELLQPYFNMDDYTFESAKKVCGNVAGLLSWTLAMAIFYGINREVLPLKANLAKQEGRLAVANAELGKAQALLDEKQAELDKVQAKFDAAMNEKMDLLNDADTCRKKMQAASTLIDGLSGEKIRWTQQSKEFKAQINRLVGDILLCTGFLSYLGPFNQIFRNYLLKDQWEMELRARKIPFTENLNLISMLVDPPTIGEWGLQGLPGDDLSIQNGIIVTKATRYPLLIDPQTQGKTWIKSKEKENDLQVTSLNHKYFRTHLEDSLSLGRPLLIEDIHEELDPALDNVLEKNFIKSGTTFKVKVGDKECDIMDTFKLYITTKLPNPAFTPEINAKTSVIDFTVTMKGLENQLLRRVILTEKQELEAERVKLLEDVTFNKRKMKELEDNLLYKLSATKGSLVDDESLIGVLRTTKQTAAEVSEKLHVAAETEIKINAAQEEFRPAATRGSILYFLITEMSMVNIMYQTSLAQFLKLFDQSMARSEKSPLPQKRITNIIEYLTYEVFTYSVRGLYENHKFLFVLLMTLKIDLQRGTVKHREFQALIKGGAALDLKACPPKPYRWILDMTWLNLVELSKLPQFAEIMNQISRNEKGWKSWFDKDAPEEEIIPDGYNDSLDTCHKLLLIRSWCPDRTVFQARKYIADSLEEKYTEPVILNLEKTWEESDTRTPLICFLSMGSDPTNQIDALAKKLKLECRTISMGQGQEVHARKLIQMSMQQGGWVLLQNCHLGLEFMEELLETLITTEASDDSFRVWITTEPHDRFPITLLQTSLKFTNEPPQGVRAGLKRTFAGINQDLLDISNLPMWKPMLYTVAFLHSTVQERRKFGPLGWNIPYEFNSADFSASVQFIQNHLDECDIKKGVSWNTVRYMIGEVQYGGRVTDDFDKRLLNCFARVWFSEKMFEPSFCFYTGYKIPLCKTLDQYFEYIQSLPSLDNPEVFGLHPNADITYQSNTASAVLETITNIQPKESGGGVGETREAIVYRLSEDMLSKLPPDYIPHEVKSRLIKMGHLNSMNIFLRQEIDRMQRVISILRSSLSDLKLAIEGTIIMSENLRDALDNMYDARIPQLWKRVSWDSSTLGFWFTELLERNAQFSTWIFEGRPNVFWMTGFFNPQGFLTAMRQEVTRAHKGWALDTVTIHNEVLRQTKEEITSPPGEGVYIYGLYMDGAAWDRRNGKLMESTPKVLFTQLPVLHIFAINSTAPKDPKLYVCPIYKKPRRTDLTFITVVYLRTVLSPDHWILRGVALLCDIK
+>DECOY_sp|Q96JB1|DYH8_HUMAN Dynein heavy chain 8, axonemal OS=Homo sapiens OX=9606 GN=DNAH8 PE=1 SV=2
+KIDCLLAVGRLIWHDPSLVTRLYVVTIFTLDTRRPKKYIPCVYLKPDKPATSNIAFIHLVPLQTFLVKPTSEMLKGNRRDWAAGDMYLGYIYVGEGPPSTIEEKTQRLVENHITVTDLAWGKHARTVEQRMATLFGQPNFFGTMWFVNPRGEFIWTSFQANRELLETFWFGLTSSDWSVRKWLQPIRADYMNDLADRLNESMIITGEIALKLDSLSSRLISIVRQMRDIEQRLFINMSNLHGMKILRSKVEHPIYDPPLKSLMDESLRYVIAERTEGVGGGSEKPQINTITELVASATNSQYTIDANPHLGFVEPNDLSPLSQIYEFYQDLTKCLPIKYGTYFCFSPEFMKESFWVRAFCNLLRKDFDDTVRGGYQVEGIMYRVTNWSVGKKIDCEDLHNQIFQVSASFDASNFEYPINWGLPGFKRREQVTSHLFAVTYLMPKWMPLNSIDLLDQNIGAFTRKLGARVGQPPENTFKLSTQLLTIPFRDHPETTIWVRFSDDSAETTILTELLEEMFELGLHCNQLLVWGGQQMSMQILKRAHVEQGQGMSITRCELKLKKALADIQNTPDSGMSLFCILPTRTDSEEWTKELNLIVPETYKEELSDAIYKRAQFVTRDPCWSRILLLKHCTDLSDNYGDPIIEEEPADKDFWSKWGKENRSIQNMIEAFQPLKSLEVLNLWTMDLIWRYPKPPCAKLDLAAGGKILAQFERHKVTGRQLDIKLTMLLVFLFKHNEYLGRVSYTFVEYTLYEIINTIRKQPLPSKESRAMSQDFLKLFQALSTQYMINVMSMETILFYLISGRTAAPRFEEQAANIKIETEAAVHLKESVEAATQKTTRLVGILSEDDVLSGKTASLKYLLNDELEKMKRKNFTVDELLKVREAELEQKETLIVRRLLQNELGKMTVTFDIVSTKANIEPTFAPNPLKTTIYLKFTDMIDCEKDGVKVKFTTGSKIFNKELVNDLAPDLEEHIDEILLPRGLSLSDELHTRFYKHNLSTVQLDNEKEKSKIWTKGQTQPDILLPYRTAKTVIIGNQISLDDGPLGQLGWEGITPPDVLMSILNLNETFPIKRARLEMEWQDKLLYNRFIQNFPGLYSLFGTCLLIDGVLRNIQAKFEKSQQTWRIKEGSLGDILTSAAQMKKRCTDADNLLDMKENMAADFKAQVKDLEAQKEDLLAQAKGLEANAVALRGEQKALNAKLPLVERNIGYFIAMALTWSLLGAVNGCVKKASEFTYDDMNFYPQLLEVTEENITDKPFQQLSWLFGTASMLKLSEGWSPKCCSKEPDMTVPDIKKQFLLLVCDMIRMILHPPKALKRVTAIDNPKITNLAAEAEELAPKAAELKSEAKVKESDIEDVIKQAKDKVEQVENKIKASAQASVTVEALVEDAKISAVALEKEKVALDQSLKAVSESAEMLKDLGINMREAQENIFKVKEAYINKYGNIFSLYSKPTVHARRRYRQFYSECSESVMDHFLGMTEVVQRKIESSCVINYDSLFYSAVAILAERPWRSFWDMTCGSILGPFKLSRARFKEGVPSFCLVVHLNKRSRSIFYEYLNDFTPPHRPLERKMVSILGQTIEDMEDRAFLNSIEGSSLLNNLYELFAEDKIESDTFIFTIGKGDAGAVKYLAKLDDTLNTVNYSRTLTIQFIQYGAIFSALRSLSQKGSGGVGVLLANGCSTRIIRSIKILHTMADKFFVLDLSTGRIIENFQRQYFQLKEALFDFSPMLEYIKPVEFVSDEPEDGTPEPMERLFDVFYPEPLICSAADSGINEEVARTLHANFWQEDEPTIFRDAIVRSCEHKFLSLLTPISACEEAKITLMGQWIRSLDRLNFIYHFKSPTPLMKVKTWQWLVRGVSVLNVIMECIQPKFSRCPDFYGCGIIGFIKDISANSPLTCNFVTFQRKLRQPIDNRGGGPHIMAAILQVDVITTFDGPKDLSYMGEMEMMQRVIENTIQDGWENIVPMNIDDIFVTMKRGGPPGYTSGIRKDVYSEITRQFMMPETASSFNLSKSLQVEPDYKKLYAKVMVTKATGQEGTLLVAKHQKAITDILFNTRINDVNPVLISSYEPISDTPYYYPQLKKNWHEWDGYDTVYFEYMTQNSGKPIEPLDLKSEHQRLFAELKERSELELLAGLSWMLGFVFLKHLHEVCSVGGEEKSPILGELLNLSQVIYNCELLQMKPNLNLKMYTYTDEFVKEYLTLFVAAEQATRKKLWAQLIPRWSLASSSIYVMGMRSVTAPSANEINHVEFLLKCSPAMPIRDGNALTLTKNDDLVSNLNEIWIADVPGDLILFINEGKKAKLTKRWLTSFIGDTWDNTATDLRGFMQPATIAKPNMRMERHPRGCETQAKMLITIVTTKGSGSPGLTMLGHRVLSTEYLQVLKLNWPPHNILGEIQVQHAVANQLEAYTNSDLQLGPFLDNILSLFLPEDEDVLKSLNMDRLGRMVISLESDEPRARKQSGLTRLVSLINRLGFDYHVQKTLQEECLKYLVYFKQALIVNELFGCSALKVRMIIQRDPVMMAVTRFQIKLNEPLEQRGAYGPNMTLFIGFEPNLDVCDGDSFIFQKKREKRATLVIYIQQAAVSLVPLEIRNFEDFCGWSGSQALGKFIRGLGRFDMQDSCNFVVVYKGLCRGMDKTTETKGTGAPGAPAGGMNMGLAQALTIYCRDTLPTIVLRDTCGLFENQYIFDVDTISVVTQDLDEKFYFRSQKLWEFDTPSKIHMKVLDDFIDRQHVHITILTEFKVRDFKSLDHTTQSILTNLIDLFKQNTVQMIKRDDKANRLAEESDHTWLMQIGLLGVQAPFHSLFPLLQFGSDSIQYFASRIINHLSSMQMKLLDLLWIEVPGKAMVSNDLVIKEGERSIVAMIRDYDKAHFTVENINDSVAPLHPQITHSDSAQGLIELLVPDSVFFFRPFLLRKKELYGTLSKQCVELQEHLHPLLQGMTEDGVCCNIVNPNEHARQMIKIWSKDINQFRKAEQPLQKAIDGGVFVAELYVWLNQVVLWEEIIDSSTSLKYVWNQINKKFPANYRNSLLSGLVMLSDEMLTIIEGSETGKLLLEGKGKFAAFSLNQNTWNEIVQTLKAEIDKEKIASICIDEIDDKHKLLPAEMINRLCFSDSEVDFPTGTLESIRDWHRQKMAKNTMMELLPCSESFDDIRKKLDLFAQWDKLGKPLKRCRNQFELLEANIKEIDVDGWLIEYYGSISSMVTDYLGYLKQLLNLEKRTKHLVEYDTVPLGFLQEGSSYTVFKRWLDDFSAQFIQLRNSAEQPPINPVMPGELEYAEAFNIVDERFVEVSELLNSKFKPQVQVLDEQVSVAKSQLKNFSYRLTDVAESEEKTVEVEFRNLIAYAEEIPGLTMDMQIENDRICSLAEMAFRVDDLDRIPRSLKKLYENIFAIMYSMKKKYEENLYRCLLMKWAKAEISLALKMPETHLELAGVVIIPKLEDIEQEFTAYHLIESRIETLSPNNALFEKVKVDRDETWLTKYKQFDQLAEHAAKRLSNVSSSLLLVLKSIDKHEAVGPYFNKLKRAPIAEEFSREEKKLLKGTNQHTVDTTTPSPIVSKIPRIQQQGWHAVGRSVELTLQIMRNIAQQIDDLSPIMVVNPIALHVESKIFSIIDESQKRGYLSAVFIRRKMTDLSLRTAKQLSDLLQHSFFAFVEKCEKKFEDEKDHTDFENVINAEYDNNEGEGTESGFVVHKRQESQKGVKGTYKVEYIQEFISILERVAEEVHKSKHNLIDAWEKTYTENLTLMDEVKTAGSEPLSILVTKAIEKLVTDIHMECLDSIKKLLQNFMDLVLEVEQFFSELTLSSWTLVTLGQRLVSEVKKMKPTMLNVFVSPVEQCLEDYYQLLGQLYLKDAKLKSELKLLRKAQEPVDLKMKIMCKTERVVELIKPDFNVLLKGTEPHRVFLTAQLAYHLQSIERIWATHYVVEFEVLVYSIKNYQRIVAKGDPSSLIDSNKFFYNIPESIRRYLQRVWLIKGAIPPMNRALPPDDKQSHYLKKTADLEAVYYQLIREITHNIELGLCPINLKQFRQLLQLAQQSSLIKGFSSNMFAQIQVELGNIKTMFDLFDTDFETRRPDLIDYQKKKVGQYINRFKIAMIDIGEITSNSLTSYTQVVTIMETIKELRKCFAEFKGFIYMESVEFSKEGSSESILKRTKHFSAQYEKFLFICDQIKKLVVPTEQDWVHNLGGDTIYAKCATVMQNTVKIFLSTMRESTNYYRSVGHIMRIANILNQIGHAMSVLDHNYLPQCVKELTYLYRVNDKSENATDTIRADLDRWNKLLKSHAVNLVNIVAKCSPGKIQEIIYNFKASMRKWHELETLPGSDGAEKRMQESEILVQEIQKYWVMLVEELQHVTESNSAAATVEEFTHLKSFNVNDITKLKVTGEISIRAGDLFSLYRNITETFIHKESEGQKSQNLAGWNNTALVAPLFINALMDRIGNLLGKSADLVTFLAEEQITKVNIAVDNRCRVFFICLGKLKDPAANDIYLKMM
+>sp|P63167|DYL1_HUMAN Dynein light chain 1, cytoplasmic OS=Homo sapiens OX=9606 GN=DYNLL1 PE=1 SV=1
+MCDRKAVIKNADMSEEMQQDSVECATQALEKYNIEKDIAAHIKKEFDKKYNPTWHCIVGRNFGSYVTHETKHFIYFYLGQVAILLFKSG
+>DECOY_sp|P63167|DYL1_HUMAN Dynein light chain 1, cytoplasmic OS=Homo sapiens OX=9606 GN=DYNLL1 PE=1 SV=1
+GSKFLLIAVQGLYFYIFHKTEHTVYSGFNRGVICHWTPNYKKDFEKKIHAAIDKEINYKELAQTACEVSDQQMEESMDANKIVAKRDCM
+>sp|Q9UQ16|DYN3_HUMAN Dynamin-3 OS=Homo sapiens OX=9606 GN=DNM3 PE=1 SV=4
+MGNREMEELIPLVNRLQDAFSALGQSCLLELPQIAVVGGQSAGKSSVLENFVGRDFLPRGSGIVTRRPLVLQLVTSKAEYAEFLHCKGKKFTDFDEVRLEIEAETDRVTGMNKGISSIPINLRVYSPHVLNLTLIDLPGITKVPVGDQPPDIEYQIREMIMQFITRENCLILAVTPANTDLANSDALKLAKEVDPQGLRTIGVITKLDLMDEGTDARDVLENKLLPLRRGYVGVVNRSQKDIDGKKDIKAAMLAERKFFLSHPAYRHIADRMGTPHLQKVLNQQLTNHIRDTLPNFRNKLQGQLLSIEHEVEAYKNFKPEDPTRKTKALLQMVQQFAVDFEKRIEGSGDQVDTLELSGGAKINRIFHERFPFEIVKMEFNEKELRREISYAIKNIHGIRTGLFTPDMAFEAIVKKQIVKLKGPSLKSVDLVIQELINTVKKCTKKLANFPRLCEETERIVANHIREREGKTKDQVLLLIDIQVSYINTNHEDFIGFANAQQRSSQVHKKTTVGNQGTNLPPSRQIVIRKGWLTISNIGIMKGGSKGYWFVLTAESLSWYKDDEEKEKKYMLPLDNLKVRDVEKSFMSSKHIFALFNTEQRNVYKDYRFLELACDSQEDVDSWKASLLRAGVYPDKSVAENDENGQAENFSMDPQLERQVETIRNLVDSYMSIINKCIRDLIPKTIMHLMINNVKDFINSELLAQLYSSEDQNTLMEESAEQAQRRDEMLRMYQALKEALGIIGDISTATVSTPAPPPVDDSWIQHSRRSPPPSPTTQRRPTLSAPLARPTSGRGPAPAIPSPGPHSGAPPVPFRPGPLPPFPSSSDSFGAPPQVPSRPTRAPPSVPSRRPPPSPTRPTIIRPLESSLLD
+>DECOY_sp|Q9UQ16|DYN3_HUMAN Dynamin-3 OS=Homo sapiens OX=9606 GN=DNM3 PE=1 SV=4
+DLLSSELPRIITPRTPSPPPRRSPVSPPARTPRSPVQPPAGFSDSSSPFPPLPGPRFPVPPAGSHPGPSPIAPAPGRGSTPRALPASLTPRRQTTPSPPPSRRSHQIWSDDVPPPAPTSVTATSIDGIIGLAEKLAQYMRLMEDRRQAQEASEEMLTNQDESSYLQALLESNIFDKVNNIMLHMITKPILDRICKNIISMYSDVLNRITEVQRELQPDMSFNEAQGNEDNEAVSKDPYVGARLLSAKWSDVDEQSDCALELFRYDKYVNRQETNFLAFIHKSSMFSKEVDRVKLNDLPLMYKKEKEEDDKYWSLSEATLVFWYGKSGGKMIGINSITLWGKRIVIQRSPPLNTGQNGVTTKKHVQSSRQQANAFGIFDEHNTNIYSVQIDILLLVQDKTKGERERIHNAVIRETEECLRPFNALKKTCKKVTNILEQIVLDVSKLSPGKLKVIQKKVIAEFAMDPTFLGTRIGHINKIAYSIERRLEKENFEMKVIEFPFREHFIRNIKAGGSLELTDVQDGSGEIRKEFDVAFQQVMQLLAKTKRTPDEPKFNKYAEVEHEISLLQGQLKNRFNPLTDRIHNTLQQNLVKQLHPTGMRDAIHRYAPHSLFFKREALMAAKIDKKGDIDKQSRNVVGVYGRRLPLLKNELVDRADTGEDMLDLKTIVGITRLGQPDVEKALKLADSNALDTNAPTVALILCNERTIFQMIMERIQYEIDPPQDGVPVKTIGPLDILTLNLVHPSYVRLNIPISSIGKNMGTVRDTEAEIELRVEDFDTFKKGKCHLFEAYEAKSTVLQLVLPRRTVIGSGRPLFDRGVFNELVSSKGASQGGVVAIQPLELLCSQGLASFADQLRNVLPILEEMERNGM
+>sp|Q92630|DYRK2_HUMAN Dual specificity tyrosine-phosphorylation-regulated kinase 2 OS=Homo sapiens OX=9606 GN=DYRK2 PE=1 SV=3
+MLTRKPSAAAPAAYPTGRGGDSAVRQLQASPGLGAGATRSGVGTGPPSPIALPPLRASNAAAAAHTIGGSKHTMNDHLHVGSHAHGQIQVQQLFEDNSNKRTVLTTQPNGLTTVGKTGLPVVPERQLDSIHRRQGSSTSLKSMEGMGKVKATPMTPEQAMKQYMQKLTAFEHHEIFSYPEIYFLGLNAKKRQGMTGGPNNGGYDDDQGSYVQVPHDHVAYRYEVLKVIGKGSFGQVVKAYDHKVHQHVALKMVRNEKRFHRQAAEEIRILEHLRKQDKDNTMNVIHMLENFTFRNHICMTFELLSMNLYELIKKNKFQGFSLPLVRKFAHSILQCLDALHKNRIIHCDLKPENILLKQQGRSGIKVIDFGSSCYEHQRVYTYIQSRFYRAPEVILGARYGMPIDMWSLGCILAELLTGYPLLPGEDEGDQLACMIELLGMPSQKLLDASKRAKNFVSSKGYPRYCTVTTLSDGSVVLNGGRSRRGKLRGPPESREWGNALKGCDDPLFLDFLKQCLEWDPAVRMTPGQALRHPWLRRRLPKPPTGEKTSVKRITESTGAITSISKLPPPSSSASKLRTNLAQMTDANGNIQQRTVLPKLVS
+>DECOY_sp|Q92630|DYRK2_HUMAN Dual specificity tyrosine-phosphorylation-regulated kinase 2 OS=Homo sapiens OX=9606 GN=DYRK2 PE=1 SV=3
+SVLKPLVTRQQINGNADTMQALNTRLKSASSSPPPLKSISTIAGTSETIRKVSTKEGTPPKPLRRRLWPHRLAQGPTMRVAPDWELCQKLFDLFLPDDCGKLANGWERSEPPGRLKGRRSRGGNLVVSGDSLTTVTCYRPYGKSSVFNKARKSADLLKQSPMGLLEIMCALQDGEDEGPLLPYGTLLEALICGLSWMDIPMGYRAGLIVEPARYFRSQIYTYVRQHEYCSSGFDIVKIGSRGQQKLLINEPKLDCHIIRNKHLADLCQLISHAFKRVLPLSFGQFKNKKILEYLNMSLLEFTMCIHNRFTFNELMHIVNMTNDKDQKRLHELIRIEEAAQRHFRKENRVMKLAVHQHVKHDYAKVVQGFSGKGIVKLVEYRYAVHDHPVQVYSGQDDDYGGNNPGGTMGQRKKANLGLFYIEPYSFIEHHEFATLKQMYQKMAQEPTMPTAKVKGMGEMSKLSTSSGQRRHISDLQREPVVPLGTKGVTTLGNPQTTLVTRKNSNDEFLQQVQIQGHAHSGVHLHDNMTHKSGGITHAAAAANSARLPPLAIPSPPGTGVGSRTAGAGLGPSAQLQRVASDGGRGTPYAAPAAASPKRTLM
+>sp|O60496|DOK2_HUMAN Docking protein 2 OS=Homo sapiens OX=9606 GN=DOK2 PE=1 SV=2
+MGDGAVKQGFLYLQQQQTFGKKWRRFGASLYGGSDCALARLELQEGPEKPRRCEAARKVIRLSDCLRVAEAGGEASSPRDTSAFFLETKERLYLLAAPAAERGDWVQAICLLAFPGQRKELSGPEGKQSRPCMEENELYSSAVTVGPHKEFAVTMRPTEASERCHLRGSYTLRAGESALELWGGPEPGTQLYDWPYRFLRRFGRDKVTFSFEAGRRCVSGEGNFEFETRQGNEIFLALEEAISAQKNAAPATPQPQPATIPASLPRPDSPYSRPHDSLPPPSPTTPVPAPRPRGQEGEYAVPFDAVARSLGKNFRGILAVPPQLLADPLYDSIEETLPPRPDHIYDEPEGVAALSLYDSPQEPRGEAWRRQATADRDPAGLQHVQPAGQDFSASGWQPGTEYDNVVLKKGPK
+>DECOY_sp|O60496|DOK2_HUMAN Docking protein 2 OS=Homo sapiens OX=9606 GN=DOK2 PE=1 SV=2
+KPGKKLVVNDYETGPQWGSASFDQGAPQVHQLGAPDRDATAQRRWAEGRPEQPSDYLSLAAVGEPEDYIHDPRPPLTEEISDYLPDALLQPPVALIGRFNKGLSRAVADFPVAYEGEQGRPRPAPVPTTPSPPPLSDHPRSYPSDPRPLSAPITAPQPQPTAPAANKQASIAEELALFIENGQRTEFEFNGEGSVCRRGAEFSFTVKDRGFRRLFRYPWDYLQTGPEPGGWLELASEGARLTYSGRLHCRESAETPRMTVAFEKHPGVTVASSYLENEEMCPRSQKGEPGSLEKRQGPFALLCIAQVWDGREAAPAALLYLREKTELFFASTDRPSSAEGGAEAVRLCDSLRIVKRAAECRRPKEPGEQLELRALACDSGGYLSAGFRRWKKGFTQQQQLYLFGQKVAGDGM
+>sp|Q7L591|DOK3_HUMAN Docking protein 3 OS=Homo sapiens OX=9606 GN=DOK3 PE=1 SV=2
+MTRGARLRSDARAQLNQLSLDGGTGSGQKGKCEEFPSSLSSVSPGLEAAALLLAVTMDPLETPIKDGILYQQHVKFGKKCWRKVWALLYAGGPSGVARLESWEVRDGGLGAAGDRSAGPGRRGERRVIRLADCVSVLPADGESCPRDTGAFLLTTTERSHLLAAQHRQAWMGPICQLAFPGTGEASSGSTDAQSPKRGLVPMEENSIYSSWQEVGEFPVVVQRTEAATRCQLKGPALLVLGPDAIQLREAKGTQALYSWPYHFLRKFGSDKGVFSFEAGRRCHSGEGLFAFSTPCAPDLCRAVAGAIARQRERLPELTRPQPCPLPRATSLPSLDTPGELREMPPGPEPPTSRKMHLAEPGPQSLPLLLGPEPNDLASGLYASVCKRASGPPGNEHLYENLCVLEASPTLHGGEPEPHEGPGSRSPTTSPIYHNGQDLSWPGPANDSTLEAQYRRLLELDQVEGTGRPDPQAGFKAKLVTLLSRERRKGPAPCDRP
+>DECOY_sp|Q7L591|DOK3_HUMAN Docking protein 3 OS=Homo sapiens OX=9606 GN=DOK3 PE=1 SV=2
+PRDCPAPGKRRERSLLTVLKAKFGAQPDPRGTGEVQDLELLRRYQAELTSDNAPGPWSLDQGNHYIPSTTPSRSGPGEHPEPEGGHLTPSAELVCLNEYLHENGPPGSARKCVSAYLGSALDNPEPGLLLPLSQPGPEALHMKRSTPPEPGPPMERLEGPTDLSPLSTARPLPCPQPRTLEPLRERQRAIAGAVARCLDPACPTSFAFLGEGSHCRRGAEFSFVGKDSGFKRLFHYPWSYLAQTGKAERLQIADPGLVLLAPGKLQCRTAAETRQVVVPFEGVEQWSSYISNEEMPVLGRKPSQADTSGSSAEGTGPFALQCIPGMWAQRHQAALLHSRETTTLLFAGTDRPCSEGDAPLVSVCDALRIVRREGRRGPGASRDGAAGLGGDRVEWSELRAVGSPGGAYLLAWVKRWCKKGFKVHQQYLIGDKIPTELPDMTVALLLAAAELGPSVSSLSSPFEECKGKQGSGTGGDLSLQNLQARADSRLRAGRTM
+>sp|Q9UPQ8|DOLK_HUMAN Dolichol kinase OS=Homo sapiens OX=9606 GN=DOLK PE=1 SV=1
+MTRECPSPAPGPGAPLSGSVLAEAAVVFAVVLSIHATVWDRYSWCAVALAVQAFYVQYKWDRLLQQGSAVFQFRMSANSGLLPASMVMPLLGLVMKERCQTAGNPFFERFGIVVAATGMAVALFSSVLALGITRPVPTNTCVILGLAGGVIIYIMKHSLSVGEVIEVLEVLLIFVYLNMILLYLLPRCFTPGEALLVLGGISFVLNQLIKRSLTLVESQGDPVDFFLLVVVVGMVLMGIFFSTLFVFMDSGTWASSIFFHLMTCVLSLGVVLPWLHRLIRRNPLLWLLQFLFQTDTRIYLLAYWSLLATLACLVVLYQNAKRSSSESKKHQAPTIARKYFHLIVVATYIPGIIFDRPLLYVAATVCLAVFIFLEYVRYFRIKPLGHTLRSFLSLFLDERDSGPLILTHIYLLLGMSLPIWLIPRPCTQKGSLGGARALVPYAGVLAVGVGDTVASIFGSTMGEIRWPGTKKTFEGTMTSIFAQIISVALILIFDSGVDLNYSYAWILGSISTVSLLEAYTTQIDNLLLPLYLLILLMA
+>DECOY_sp|Q9UPQ8|DOLK_HUMAN Dolichol kinase OS=Homo sapiens OX=9606 GN=DOLK PE=1 SV=1
+AMLLILLYLPLLLNDIQTTYAELLSVTSISGLIWAYSYNLDVGSDFILILAVSIIQAFISTMTGEFTKKTGPWRIEGMTSGFISAVTDGVGVALVGAYPVLARAGGLSGKQTCPRPILWIPLSMGLLLYIHTLILPGSDREDLFLSLFSRLTHGLPKIRFYRVYELFIFVALCVTAAVYLLPRDFIIGPIYTAVVILHFYKRAITPAQHKKSESSSRKANQYLVVLCALTALLSWYALLYIRTDTQFLFQLLWLLPNRRILRHLWPLVVGLSLVCTMLHFFISSAWTGSDMFVFLTSFFIGMLVMGVVVVLLFFDVPDGQSEVLTLSRKILQNLVFSIGGLVLLAEGPTFCRPLLYLLIMNLYVFILLVELVEIVEGVSLSHKMIYIIVGGALGLIVCTNTPVPRTIGLALVSSFLAVAMGTAAVVIGFREFFPNGATQCREKMVLGLLPMVMSAPLLGSNASMRFQFVASGQQLLRDWKYQVYFAQVALAVACWSYRDWVTAHISLVVAFVVAAEALVSGSLPAGPGPAPSPCERTM
+>sp|P09172|DOPO_HUMAN Dopamine beta-hydroxylase OS=Homo sapiens OX=9606 GN=DBH PE=1 SV=3
+MPALSRWASLPGPSMREAAFMYSTAVAIFLVILVAALQGSAPRESPLPYHIPLDPEGSLELSWNVSYTQEAIHFQLLVRRLKAGVLFGMSDRGELENADLVVLWTDGDTAYFADAWSDQKGQIHLDPQQDYQLLQVQRTPEGLTLLFKRPFGTCDPKDYLIEDGTVHLVYGILEEPFRSLEAINGSGLQMGLQRVQLLKPNIPEPELPSDACTMEVQAPNIQIPSQETTYWCYIKELPKGFSRHHIIKYEPIVTKGNEALVHHMEVFQCAPEMDSVPHFSGPCDSKMKPDRLNYCRHVLAAWALGAKAFYYPEEAGLAFGGPGSSRYLRLEVHYHNPLVIEGRNDSSGIRLYYTAKLRRFNAGIMELGLVYTPVMAIPPRETAFILTGYCTDKCTQLALPPSGIHIFASQLHTHLTGRKVVTVLVRDGREWEIVNQDNHYSPHFQEIRMLKKVVSVHPGDVLITSCTYNTEDRELATVGGFGILEEMCVNYVHYYPQTQLELCKSAVDAGFLQKYFHLINRFNNEDVCTCPQASVSQQFTSVPWNSFNRDVLKALYSFAPISMHCNKSSAVRFQGEWNLQPLPKVISTLEEPTPQCPTSQGRSPAGPTVVSIGGGKG
+>DECOY_sp|P09172|DOPO_HUMAN Dopamine beta-hydroxylase OS=Homo sapiens OX=9606 GN=DBH PE=1 SV=3
+GKGGGISVVTPGAPSRGQSTPCQPTPEELTSIVKPLPQLNWEGQFRVASSKNCHMSIPAFSYLAKLVDRNFSNWPVSTFQQSVSAQPCTCVDENNFRNILHFYKQLFGADVASKCLELQTQPYYHVYNVCMEELIGFGGVTALERDETNYTCSTILVDGPHVSVVKKLMRIEQFHPSYHNDQNVIEWERGDRVLVTVVKRGTLHTHLQSAFIHIGSPPLALQTCKDTCYGTLIFATERPPIAMVPTYVLGLEMIGANFRRLKATYYLRIGSSDNRGEIVLPNHYHVELRLYRSSGPGGFALGAEEPYYFAKAGLAWAALVHRCYNLRDPKMKSDCPGSFHPVSDMEPACQFVEMHHVLAENGKTVIPEYKIIHHRSFGKPLEKIYCWYTTEQSPIQINPAQVEMTCADSPLEPEPINPKLLQVRQLGMQLGSGNIAELSRFPEELIGYVLHVTGDEILYDKPDCTGFPRKFLLTLGEPTRQVQLLQYDQQPDLHIQGKQDSWADAFYATDGDTWLVVLDANELEGRDSMGFLVGAKLRRVLLQFHIAEQTYSVNWSLELSGEPDLPIHYPLPSERPASGQLAAVLIVLFIAVATSYMFAAERMSPGPLSAWRSLAPM
+>sp|Q8TEK3|DOT1L_HUMAN Histone-lysine N-methyltransferase, H3 lysine-79 specific OS=Homo sapiens OX=9606 GN=DOT1L PE=1 SV=2
+MGEKLELRLKSPVGAEPAVYPWPLPVYDKHHDAAHEIIETIRWVCEEIPDLKLAMENYVLIDYDTKSFESMQRLCDKYNRAIDSIHQLWKGTTQPMKLNTRPSTGLLRHILQQVYNHSVTDPEKLNNYEPFSPEVYGETSFDLVAQMIDEIKMTDDDLFVDLGSGVGQVVLQVAAATNCKHHYGVEKADIPAKYAETMDREFRKWMKWYGKKHAEYTLERGDFLSEEWRERIANTSVIFVNNFAFGPEVDHQLKERFANMKEGGRIVSSKPFAPLNFRINSRNLSDIGTIMRVVELSPLKGSVSWTGKPVSYYLHTIDRTILENYFSSLKNPKLREEQEAARRRQQRESKSNAATPTKGPEGKVAGPADAPMDSGAEEEKAGAATVKKPSPSKARKKKLNKKGRKMAGRKRGRPKKMNTANPERKPKKNQTALDALHAQTVSQTAASSPQDAYRSPHSPFYQLPPSVQRHSPNPLLVAPTPPALQKLLESFKIQYLQFLAYTKTPQYKASLQELLGQEKEKNAQLLGAAQQLLSHCQAQKEEIRRLFQQKLDELGVKALTYNDLIQAQKEISAHNQQLREQSEQLEQDNRALRGQSLQLLKARCEELQLDWATLSLEKLLKEKQALKSQISEKQRHCLELQISIVELEKSQRQQELLQLKSCVPPDDALSLHLRGKGALGRELEPDASRLHLELDCTKFSLPHLSSMSPELSMNGQAAGYELCGVLSRPSSKQNTPQYLASPLDQEVVPCTPSHVGRPRLEKLSGLAAPDYTRLSPAKIVLRRHLSQDHTVPGRPAASELHSRAEHTKENGLPYQSPSVPGSMKLSPQDPRPLSPGALQLAGEKSSEKGLRERAYGSSGELITSLPISIPLSTVQPNKLPVSIPLASVVLPSRAERARSTPSPVLQPRDPSSTLEKQIGANAHGAGSRSLALAPAGFSYAGSVAISGALAGSPASLTPGAEPATLDESSSSGSLFATVGSRSSTPQHPLLLAQPRNSLPASPAHQLSSSPRLGGAAQGPLPEASKGDLPSDSGFSDPESEAKRRIVFTITTGAGSAKQSPSSKHSPLTASARGDCVPSHGQDSRRRGRRKRASAGTPSLSAGVSPKRRALPSVAGLFTQPSGSPLNLNSMVSNINQPLEITAISSPETSLKSSPVPYQDHDQPPVLKKERPLSQTNGAHYSPLTSDEEPGSEDEPSSARIERKIATISLESKSPPKTLENGGGLAGRKPAPAGEPVNSSKWKSTFSPISDIGLAKSADSPLQASSALSQNSLFTFRPALEEPSADAKLAAHPRKGFPGSLSGADGLSPGTNPANGCTFGGGLAADLSLHSFSDGASLPHKGPEAAGLSSPLSFPSQRGKEGSDANPFLSKRQLDGLAGLKGEGSRGKEAGEGGLPLCGPTDKTPLLSGKAAKARDREVDLKNGHNLFISAAAVPPGSLLSGPGLAPAASSAGGAASSAQTHRSFLGPFPPGPQFALGPMSLQANLGSVAGSSVLQSLFSSVPAAAGLVHVSSAATRLTNSHAMGSFSGVAGGTVGGVVFNHAVPSASAHPFGARVGRGAACGSATLGPSPLQAAASASASSFQAPASVETRPPPPPPPPPPPLPPPAHLGRSPAGPPVLHAPPPPNAALPPPPTLLASNPEPALLQSLASLPPNQAFLPPTSAASLPPANASLSIKLTSLPHKGARPSFTVHHQPLPRLALAQAAPGIPQASATGPSAVWVSLGMPPPYAAHLSGVKPR
+>DECOY_sp|Q8TEK3|DOT1L_HUMAN Histone-lysine N-methyltransferase, H3 lysine-79 specific OS=Homo sapiens OX=9606 GN=DOT1L PE=1 SV=2
+RPKVGSLHAAYPPPMGLSVWVASPGTASAQPIGPAAQALALRPLPQHHVTFSPRAGKHPLSTLKISLSANAPPLSAASTPPLFAQNPPLSALSQLLAPEPNSALLTPPPPLAANPPPPAHLVPPGAPSRGLHAPPPLPPPPPPPPPPPRTEVSAPAQFSSASASAAAQLPSPGLTASGCAAGRGVRAGFPHASASPVAHNFVVGGVTGGAVGSFSGMAHSNTLRTAASSVHVLGAAAPVSSFLSQLVSSGAVSGLNAQLSMPGLAFQPGPPFPGLFSRHTQASSAAGGASSAAPALGPGSLLSGPPVAAASIFLNHGNKLDVERDRAKAAKGSLLPTKDTPGCLPLGGEGAEKGRSGEGKLGALGDLQRKSLFPNADSGEKGRQSPFSLPSSLGAAEPGKHPLSAGDSFSHLSLDAALGGGFTCGNAPNTGPSLGDAGSLSGPFGKRPHAALKADASPEELAPRFTFLSNQSLASSAQLPSDASKALGIDSIPSFTSKWKSSNVPEGAPAPKRGALGGGNELTKPPSKSELSITAIKREIRASSPEDESGPEEDSTLPSYHAGNTQSLPREKKLVPPQDHDQYPVPSSKLSTEPSSIATIELPQNINSVMSNLNLPSGSPQTFLGAVSPLARRKPSVGASLSPTGASARKRRGRRRSDQGHSPVCDGRASATLPSHKSSPSQKASGAGTTITFVIRRKAESEPDSFGSDSPLDGKSAEPLPGQAAGGLRPSSSLQHAPSAPLSNRPQALLLPHQPTSSRSGVTAFLSGSSSSEDLTAPEAGPTLSAPSGALAGSIAVSGAYSFGAPALALSRSGAGHANAGIQKELTSSPDRPQLVPSPTSRAREARSPLVVSALPISVPLKNPQVTSLPISIPLSTILEGSSGYARERLGKESSKEGALQLAGPSLPRPDQPSLKMSGPVSPSQYPLGNEKTHEARSHLESAAPRGPVTHDQSLHRRLVIKAPSLRTYDPAALGSLKELRPRGVHSPTCPVVEQDLPSALYQPTNQKSSPRSLVGCLEYGAAQGNMSLEPSMSSLHPLSFKTCDLELHLRSADPELERGLAGKGRLHLSLADDPPVCSKLQLLEQQRQSKELEVISIQLELCHRQKESIQSKLAQKEKLLKELSLTAWDLQLEECRAKLLQLSQGRLARNDQELQESQERLQQNHASIEKQAQILDNYTLAKVGLEDLKQQFLRRIEEKQAQCHSLLQQAAGLLQANKEKEQGLLEQLSAKYQPTKTYALFQLYQIKFSELLKQLAPPTPAVLLPNPSHRQVSPPLQYFPSHPSRYADQPSSAATQSVTQAHLADLATQNKKPKREPNATNMKKPRGRKRGAMKRGKKNLKKKRAKSPSPKKVTAAGAKEEEAGSDMPADAPGAVKGEPGKTPTAANSKSERQQRRRAAEQEERLKPNKLSSFYNELITRDITHLYYSVPKGTWSVSGKLPSLEVVRMITGIDSLNRSNIRFNLPAFPKSSVIRGGEKMNAFREKLQHDVEPGFAFNNVFIVSTNAIRERWEESLFDGRELTYEAHKKGYWKMWKRFERDMTEAYKAPIDAKEVGYHHKCNTAAAVQLVVQGVGSGLDVFLDDDTMKIEDIMQAVLDFSTEGYVEPSFPEYNNLKEPDTVSHNYVQQLIHRLLGTSPRTNLKMPQTTGKWLQHISDIARNYKDCLRQMSEFSKTDYDILVYNEMALKLDPIEECVWRITEIIEHAADHHKDYVPLPWPYVAPEAGVPSKLRLELKEGM
+>sp|Q9UKG1|DP13A_HUMAN DCC-interacting protein 13-alpha OS=Homo sapiens OX=9606 GN=APPL1 PE=1 SV=1
+MPGIDKLPIEETLEDSPQTRSLLGVFEEDATAISNYMNQLYQAMHRIYDAQNELSAATHLTSKLLKEYEKQRFPLGGDDEVMSSTLQQFSKVIDELSSCHAVLSTQLADAMMFPITQFKERDLKEILTLKEVFQIASNDHDAAINRYSRLSKKRENDKVKYEVTEDVYTSRKKQHQTMMHYFCALNTLQYKKKIALLEPLLGYMQAQISFFKMGSENLNEQLEEFLANIGTSVQNVRREMDSDIETMQQTIEDLEVASDPLYVPDPDPTKFPVNRNLTRKAGYLNARNKTGLVSSTWDRQFYFTQGGNLMSQARGDVAGGLAMDIDNCSVMAVDCEDRRYCFQITSFDGKKSSILQAESKKDHEEWICTINNISKQIYLSENPEETAARVNQSALEAVTPSPSFQQRHESLRPAAGQSRPPTARTSSSGSLGSESTNLAALSLDSLVAPDTPIQFDIISPVCEDQPGQAKAFGQGGRRTNPFGESGGSTKSETEDSILHQLFIVRFLGSMEVKSDDHPDVVYETMRQILAARAIHNIFRMTESHLLVTCDCLKLIDPQTQVTRLTFPLPCVVLYATHQENKRLFGFVLRTSSGRSESNLSSVCYIFESNNEGEKICDSVGLAKQIALHAELDRRASEKQKEIERVKEKQQKELNKQKQIEKDLEEQSRLIAASSRPNQASSEGQFVVLSSSQSEESDLGEGGKKRESEA
+>DECOY_sp|Q9UKG1|DP13A_HUMAN DCC-interacting protein 13-alpha OS=Homo sapiens OX=9606 GN=APPL1 PE=1 SV=1
+AESERKKGGEGLDSEESQSSSLVVFQGESSAQNPRSSAAILRSQEELDKEIQKQKNLEKQQKEKVREIEKQKESARRDLEAHLAIQKALGVSDCIKEGENNSEFIYCVSSLNSESRGSSTRLVFGFLRKNEQHTAYLVVCPLPFTLRTVQTQPDILKLCDCTVLLHSETMRFINHIARAALIQRMTEYVVDPHDDSKVEMSGLFRVIFLQHLISDETESKTSGGSEGFPNTRRGGQGFAKAQGPQDECVPSIIDFQIPTDPAVLSDLSLAALNTSESGLSGSSSTRATPPRSQGAAPRLSEHRQQFSPSPTVAELASQNVRAATEEPNESLYIQKSINNITCIWEEHDKKSEAQLISSKKGDFSTIQFCYRRDECDVAMVSCNDIDMALGGAVDGRAQSMLNGGQTFYFQRDWTSSVLGTKNRANLYGAKRTLNRNVPFKTPDPDPVYLPDSAVELDEITQQMTEIDSDMERRVNQVSTGINALFEELQENLNESGMKFFSIQAQMYGLLPELLAIKKKYQLTNLACFYHMMTQHQKKRSTYVDETVEYKVKDNERKKSLRSYRNIAADHDNSAIQFVEKLTLIEKLDREKFQTIPFMMADALQTSLVAHCSSLEDIVKSFQQLTSSMVEDDGGLPFRQKEYEKLLKSTLHTAASLENQADYIRHMAQYLQNMYNSIATADEEFVGLLSRTQPSDELTEEIPLKDIGPM
+>sp|Q92782|DPF1_HUMAN Zinc finger protein neuro-d4 OS=Homo sapiens OX=9606 GN=DPF1 PE=1 SV=2
+MGGLSARPTAGRTDPAGTCWGQDPGSKMATVIPGPLSLGEDFYREAIEHCRSYNARLCAERSLRLPFLDSQTGVAQNNCYIWMEKTHRGPGLAPGQIYTYPARCWRKKRRLNILEDPRLRPCEYKIDCEAPLKKEGGLPEGPVLEALLCAETGEKKIELKEEETIMDCQKQQLLEFPHDLEVEDLEDDIPRRKNRAKGKAYGIGGLRKRQDTASLEDRDKPYVCDKFYKELAWVPEAQRKHTAKKAPDGTVIPNGYCDFCLGGSKKTGCPEDLISCADCGRSGHPSCLQFTVNMTAAVRTYRWQCIECKSCSLCGTSENDGASWAGLTPQDQLLFCDDCDRGYHMYCLSPPMAEPPEGSWSCHLCLRHLKEKASAYITLT
+>DECOY_sp|Q92782|DPF1_HUMAN Zinc finger protein neuro-d4 OS=Homo sapiens OX=9606 GN=DPF1 PE=1 SV=2
+TLTIYASAKEKLHRLCLHCSWSGEPPEAMPPSLCYMHYGRDCDDCFLLQDQPTLGAWSAGDNESTGCLSCSKCEICQWRYTRVAATMNVTFQLCSPHGSRGCDACSILDEPCGTKKSGGLCFDCYGNPIVTGDPAKKATHKRQAEPVWALEKYFKDCVYPKDRDELSATDQRKRLGGIGYAKGKARNKRRPIDDELDEVELDHPFELLQQKQCDMITEEEKLEIKKEGTEACLLAELVPGEPLGGEKKLPAECDIKYECPRLRPDELINLRRKKRWCRAPYTYIQGPALGPGRHTKEMWIYCNNQAVGTQSDLFPLRLSREACLRANYSRCHEIAERYFDEGLSLPGPIVTAMKSGPDQGWCTGAPDTRGATPRASLGGM
+>sp|Q9UHN1|DPOG2_HUMAN DNA polymerase subunit gamma-2, mitochondrial OS=Homo sapiens OX=9606 GN=POLG2 PE=1 SV=1
+MRSRVAVRACHKVCRCLLSGFGGRVDAGQPELLTERSSPKGGHVKSHAELEGNGEHPEAPGSGEGSEALLEICQRRHFLSGSKQQLSRDSLLSGCHPGFGPLGVELRKNLAAEWWTSVVVFREQVFPVDALHHKPGPLLPGDSAFRLVSAETLREILQDKELSKEQLVAFLENVLKTSGKLRENLLHGALEHYVNCLDLVNKRLPYGLAQIGVCFHPVFDTKQIRNGVKSIGEKTEASLVWFTPPRTSNQWLDFWLRHRLQWWRKFAMSPSNFSSSDCQDEEGRKGNKLYYNFPWGKELIETLWNLGDHELLHMYPGNVSKLHGRDGRKNVVPCVLSVNGDLDRGMLAYLYDSFQLTENSFTRKKNLHRKVLKLHPCLAPIKVALDVGRGPTLELRQVCQGLFNELLENGISVWPGYLETMQSSLEQLYSKYDEMSILFTVLVTETTLENGLIHLRSRDTTMKEMMHISKLKDFLIKYISSAKNV
+>DECOY_sp|Q9UHN1|DPOG2_HUMAN DNA polymerase subunit gamma-2, mitochondrial OS=Homo sapiens OX=9606 GN=POLG2 PE=1 SV=1
+VNKASSIYKILFDKLKSIHMMEKMTTDRSRLHILGNELTTETVLVTFLISMEDYKSYLQELSSQMTELYGPWVSIGNELLENFLGQCVQRLELTPGRGVDLAVKIPALCPHLKLVKRHLNKKRTFSNETLQFSDYLYALMGRDLDGNVSLVCPVVNKRGDRGHLKSVNGPYMHLLEHDGLNWLTEILEKGWPFNYYLKNGKRGEEDQCDSSSFNSPSMAFKRWWQLRHRLWFDLWQNSTRPPTFWVLSAETKEGISKVGNRIQKTDFVPHFCVGIQALGYPLRKNVLDLCNVYHELAGHLLNERLKGSTKLVNELFAVLQEKSLEKDQLIERLTEASVLRFASDGPLLPGPKHHLADVPFVQERFVVVSTWWEAALNKRLEVGLPGFGPHCGSLLSDRSLQQKSGSLFHRRQCIELLAESGEGSGPAEPHEGNGELEAHSKVHGGKPSSRETLLEPQGADVRGGFGSLLCRCVKHCARVAVRSRM
+>sp|Q9UGP5|DPOLL_HUMAN DNA polymerase lambda OS=Homo sapiens OX=9606 GN=POLL PE=1 SV=1
+MDPRGILKAFPKRQKIHADASSKVLAKIPRREEGEEAEEWLSSLRAHVVRTGIGRARAELFEKQIVQHGGQLCPAQGPGVTHIVVDEGMDYERALRLLRLPQLPPGAQLVKSAWLSLCLQERRLVDVAGFSIFIPSRYLDHPQPSKAEQDASIPPGTHEALLQTALSPPPPPTRPVSPPQKAKEAPNTQAQPISDDEASDGEETQVSAADLEALISGHYPTSLEGDCEPSPAPAVLDKWVCAQPSSQKATNHNLHITEKLEVLAKAYSVQGDKWRALGYAKAINALKSFHKPVTSYQEACSIPGIGKRMAEKIIEILESGHLRKLDHISESVPVLELFSNIWGAGTKTAQMWYQQGFRSLEDIRSQASLTTQQAIGLKHYSDFLERMPREEATEIEQTVQKAAQAFNSGLLCVACGSYRRGKATCGDVDVLITHPDGRSHRGIFSRLLDSLRQEGFLTDDLVSQEENGQQQKYLGVCRLPGPGRRHRRLDIIVVPYSEFACALLYFTGSAHFNRSMRALAKTKGMSLSEHALSTAVVRNTHGCKVGPGRVLPTPTEKDVFRLLGLPYREPAERDW
+>DECOY_sp|Q9UGP5|DPOLL_HUMAN DNA polymerase lambda OS=Homo sapiens OX=9606 GN=POLL PE=1 SV=1
+WDREAPERYPLGLLRFVDKETPTPLVRGPGVKCGHTNRVVATSLAHESLSMGKTKALARMSRNFHASGTFYLLACAFESYPVVIIDLRRHRRGPGPLRCVGLYKQQQGNEEQSVLDDTLFGEQRLSDLLRSFIGRHSRGDPHTILVDVDGCTAKGRRYSGCAVCLLGSNFAQAAKQVTQEIETAEERPMRELFDSYHKLGIAQQTTLSAQSRIDELSRFGQQYWMQATKTGAGWINSFLELVPVSESIHDLKRLHGSELIEIIKEAMRKGIGPISCAEQYSTVPKHFSKLANIAKAYGLARWKDGQVSYAKALVELKETIHLNHNTAKQSSPQACVWKDLVAPAPSPECDGELSTPYHGSILAELDAASVQTEEGDSAEDDSIPQAQTNPAEKAKQPPSVPRTPPPPPSLATQLLAEHTGPPISADQEAKSPQPHDLYRSPIFISFGAVDVLRREQLCLSLWASKVLQAGPPLQPLRLLRLAREYDMGEDVVIHTVGPGQAPCLQGGHQVIQKEFLEARARGIGTRVVHARLSSLWEEAEEGEERRPIKALVKSSADAHIKQRKPFAKLIGRPDM
+>sp|Q9NY33|DPP3_HUMAN Dipeptidyl peptidase 3 OS=Homo sapiens OX=9606 GN=DPP3 PE=1 SV=2
+MADTQYILPNDIGVSSLDCREAFRLLSPTERLYAYHLSRAAWYGGLAVLLQTSPEAPYIYALLSRLFRAQDPDQLRQHALAEGLTEEEYQAFLVYAAGVYSNMGNYKSFGDTKFVPNLPKEKLERVILGSEAAQQHPEEVRGLWQTCGELMFSLEPRLRHLGLGKEGITTYFSGNCTMEDAKLAQDFLDSQNLSAYNTRLFKEVDGEGKPYYEVRLASVLGSEPSLDSEVTSKLKSYEFRGSPFQVTRGDYAPILQKVVEQLEKAKAYAANSHQGQMLAQYIESFTQGSIEAHKRGSRFWIQDKGPIVESYIGFIESYRDPFGSRGEFEGFVAVVNKAMSAKFERLVASAEQLLKELPWPPTFEKDKFLTPDFTSLDVLTFAGSGIPAGINIPNYDDLRQTEGFKNVSLGNVLAVAYATQREKLTFLEEDDKDLYILWKGPSFDVQVGLHELLGHGSGKLFVQDEKGAFNFDQETVINPETGEQIQSWYRSGETWDSKFSTIASSYEECRAESVGLYLCLHPQVLEIFGFEGADAEDVIYVNWLNMVRAGLLALEFYTPEAFNWRQAHMQARFVILRVLLEAGEGLVTITPTTGSDGRPDARVRLDRSKIRSVGKPALERFLRRLQVLKSTGDVAGGRALYEGYATVTDAPPECFLTLRDTVLLRKESRKLIVQPNTRLEGSDVQLLEYEASAAGLIRSFSERFPEDGPELEEILTQLATADARFWKGPSEAPSGQA
+>DECOY_sp|Q9NY33|DPP3_HUMAN Dipeptidyl peptidase 3 OS=Homo sapiens OX=9606 GN=DPP3 PE=1 SV=2
+AQGSPAESPGKWFRADATALQTLIEELEPGDEPFRESFSRILGAASAEYELLQVDSGELRTNPQVILKRSEKRLLVTDRLTLFCEPPADTVTAYGEYLARGGAVDGTSKLVQLRRLFRELAPKGVSRIKSRDLRVRADPRGDSGTTPTITVLGEGAELLVRLIVFRAQMHAQRWNFAEPTYFELALLGARVMNLWNVYIVDEADAGEFGFIELVQPHLCLYLGVSEARCEEYSSAITSFKSDWTEGSRYWSQIQEGTEPNIVTEQDFNFAGKEDQVFLKGSGHGLLEHLGVQVDFSPGKWLIYLDKDDEELFTLKERQTAYAVALVNGLSVNKFGETQRLDDYNPINIGAPIGSGAFTLVDLSTFDPTLFKDKEFTPPWPLEKLLQEASAVLREFKASMAKNVVAVFGEFEGRSGFPDRYSEIFGIYSEVIPGKDQIWFRSGRKHAEISGQTFSEIYQALMQGQHSNAAYAKAKELQEVVKQLIPAYDGRTVQFPSGRFEYSKLKSTVESDLSPESGLVSALRVEYYPKGEGDVEKFLRTNYASLNQSDLFDQALKADEMTCNGSFYTTIGEKGLGLHRLRPELSFMLEGCTQWLGRVEEPHQQAAESGLIVRELKEKPLNPVFKTDGFSKYNGMNSYVGAAYVLFAQYEEETLGEALAHQRLQDPDQARFLRSLLAYIYPAEPSTQLLVALGGYWAARSLHYAYLRETPSLLRFAERCDLSSVGIDNPLIYQTDAM
+>sp|Q6B8I1|DS13A_HUMAN Dual specificity protein phosphatase 13 isoform A OS=Homo sapiens OX=9606 GN=DUSP13 PE=1 SV=1
+MAETSLPELGGEDKATPCPSILELEELLRAGKSSCSRVDEVWPNLFIGDAATANNRFELWKLGITHVLNAAHKGLYCQGGPDFYGSSVSYLGVPAHDLPDFDISAYFSSAADFIHRALNTPGAKVLVHCVVGVSRSATLVLAYLMLHQRLSLRQAVITVRQHRWVFPNRGFLHQLCRLDQQLRGAGQS
+>DECOY_sp|Q6B8I1|DS13A_HUMAN Dual specificity protein phosphatase 13 isoform A OS=Homo sapiens OX=9606 GN=DUSP13 PE=1 SV=1
+SQGAGRLQQDLRCLQHLFGRNPFVWRHQRVTIVAQRLSLRQHLMLYALVLTASRSVGVVCHVLVKAGPTNLARHIFDAASSFYASIDFDPLDHAPVGLYSVSSGYFDPGGQCYLGKHAANLVHTIGLKWLEFRNNATAADGIFLNPWVEDVRSCSSKGARLLEELELISPCPTAKDEGGLEPLSTEAM
+>sp|Q8IZU8|DSEL_HUMAN Dermatan-sulfate epimerase-like protein OS=Homo sapiens OX=9606 GN=DSEL PE=2 SV=2
+MALMFTGHLLFLALLMFAFSTFEESVSNYSEWAVFTDDIDQFKTQKVQDFRPNQKLKKSMLHPSLYFDAGEIQAMRQKSRASHLHLFRAIRSAVTVMLSNPTYYLPPPKHADFAAKWNEIYGNNLPPLALYCLLCPEDKVAFEFVLEYMDRMVGYKDWLVENAPGDEVPIGHSLTGFATAFDFLYNLLDNHRRQKYLEKIWVITEEMYEYSKVRSWGKQLLHNHQATNMIALLTGALVTGVDKGSKANIWKQAVVDVMEKTMFLLNHIVDGSLDEGVAYGSYTAKSVTQYVFLAQRHFNINNLDNNWLKMHFWFYYATLLPGFQRTVGIADSNYNWFYGPESQLVFLDKFILKNGAGNWLAQQIRKHRPKDGPMVPSTAQRWSTLHTEYIWYDPQLTPQPPADYGTAKIHTFPNWGVVTYGAGLPNTQTNTFVSFKSGKLGGRAVYDIVHFQPYSWIDGWRSFNPGHEHPDQNSFTFAPNGQVFVSEALYGPKLSHLNNVLVFAPSPSSQCNKPWEGQLGECAQWLKWTGEEVGDAAGEIITASQHGEMVFVSGEAVSAYSSAMRLKSVYRALLLLNSQTLLVVDHIERQEDSPINSVSAFFHNLDIDFKYIPYKFMNRYNGAMMDVWDAHYKMFWFDHHGNSPMASIQEAEQAAEFKKRWTQFVNVTFQMEPTITRIAYVFYGPYINVSSCRFIDSSNPGLQISLNVNNTEHVVSIVTDYHNLKTRFNYLGFGGFASVADQGQITRFGLGTQAIVKPVRHDRIIFPFGFKFNIAVGLILCISLVILTFQWRFYLSFRKLMRWILILVIALWFIELLDVWSTCSQPICAKWTRTEAEGSKKSLSSEGHHMDLPDVVITSLPGSGAEILKQLFFNSSDFLYIRVPTAYIDIPETELEIDSFVDACEWKVSDIRSGHFRLLRGWLQSLVQDTKLHLQNIHLHEPNRGKLAQYFAMNKDKKRKFKRRESLPEQRSQMKGAFDRDAEYIRALRRHLVYYPSARPVLSLSSGSWTLKLHFFQEVLGASMRALYIVRDPRAWIYSMLYNSKPSLYSLKNVPEHLAKLFKIEGGKGKCNLNSGYAFEYEPLRKELSKSKSNAVSLLSHLWLANTAAALRINTDLLPTSYQLVKFEDIVHFPQKTTERIFAFLGIPLSPASLNQILFATSTNLFYLPYEGEISPTNTNVWKQNLPRDEIKLIENICWTLMDRLGYPKFMD
+>DECOY_sp|Q8IZU8|DSEL_HUMAN Dermatan-sulfate epimerase-like protein OS=Homo sapiens OX=9606 GN=DSEL PE=2 SV=2
+DMFKPYGLRDMLTWCINEILKIEDRPLNQKWVNTNTPSIEGEYPLYFLNTSTAFLIQNLSAPSLPIGLFAFIRETTKQPFHVIDEFKVLQYSTPLLDTNIRLAAATNALWLHSLLSVANSKSKSLEKRLPEYEFAYGSNLNCKGKGGEIKFLKALHEPVNKLSYLSPKSNYLMSYIWARPDRVIYLARMSAGLVEQFFHLKLTWSGSSLSLVPRASPYYVLHRRLARIYEADRDFAGKMQSRQEPLSERRKFKRKKDKNMAFYQALKGRNPEHLHINQLHLKTDQVLSQLWGRLLRFHGSRIDSVKWECADVFSDIELETEPIDIYATPVRIYLFDSSNFFLQKLIEAGSGPLSTIVVDPLDMHHGESSLSKKSGEAETRTWKACIPQSCTSWVDLLEIFWLAIVLILIWRMLKRFSLYFRWQFTLIVLSICLILGVAINFKFGFPFIIRDHRVPKVIAQTGLGFRTIQGQDAVSAFGGFGLYNFRTKLNHYDTVISVVHETNNVNLSIQLGPNSSDIFRCSSVNIYPGYFVYAIRTITPEMQFTVNVFQTWRKKFEAAQEAEQISAMPSNGHHDFWFMKYHADWVDMMAGNYRNMFKYPIYKFDIDLNHFFASVSNIPSDEQREIHDVVLLTQSNLLLLARYVSKLRMASSYASVAEGSVFVMEGHQSATIIEGAADGVEEGTWKLWQACEGLQGEWPKNCQSSPSPAFVLVNNLHSLKPGYLAESVFVQGNPAFTFSNQDPHEHGPNFSRWGDIWSYPQFHVIDYVARGGLKGSKFSVFTNTQTNPLGAGYTVVGWNPFTHIKATGYDAPPQPTLQPDYWIYETHLTSWRQATSPVMPGDKPRHKRIQQALWNGAGNKLIFKDLFVLQSEPGYFWNYNSDAIGVTRQFGPLLTAYYFWFHMKLWNNDLNNINFHRQALFVYQTVSKATYSGYAVGEDLSGDVIHNLLFMTKEMVDVVAQKWINAKSGKDVGTVLAGTLLAIMNTAQHNHLLQKGWSRVKSYEYMEETIVWIKELYKQRRHNDLLNYLFDFATAFGTLSHGIPVEDGPANEVLWDKYGVMRDMYELVFEFAVKDEPCLLCYLALPPLNNGYIENWKAAFDAHKPPPLYYTPNSLMVTVASRIARFLHLHSARSKQRMAQIEGADFYLSPHLMSKKLKQNPRFDQVKQTKFQDIDDTFVAWESYNSVSEEFTSFAFMLLALFLLHGTFMLAM
+>sp|Q14126|DSG2_HUMAN Desmoglein-2 OS=Homo sapiens OX=9606 GN=DSG2 PE=1 SV=2
+MARSPGRAYALLLLLICFNVGSGLHLQVLSTRNENKLLPKHPHLVRQKRAWITAPVALREGEDLSKKNPIAKIHSDLAEERGLKITYKYTGKGITEPPFGIFVFNKDTGELNVTSILDREETPFFLLTGYALDARGNNVEKPLELRIKVLDINDNEPVFTQDVFVGSVEELSAAHTLVMKINATDADEPNTLNSKISYRIVSLEPAYPPVFYLNKDTGEIYTTSVTLDREEHSSYTLTVEARDGNGEVTDKPVKQAQVQIRILDVNDNIPVVENKVLEGMVEENQVNVEVTRIKVFDADEIGSDNWLANFTFASGNEGGYFHIETDAQTNEGIVTLIKEVDYEEMKNLDFSVIVANKAAFHKSIRSKYKPTPIPIKVKVKNVKEGIHFKSSVISIYVSESMDRSSKGQIIGNFQAFDEDTGLPAHARYVKLEDRDNWISVDSVTSEIKLAKLPDFESRYVQNGTYTVKIVAISEDYPRKTITGTVLINVEDINDNCPTLIEPVQTICHDAEYVNVTAEDLDGHPNSGPFSFSVIDKPPGMAEKWKIARQESTSVLLQQSEKKLGRSEIQFLISDNQGFSCPEKQVLTLTVCECLHGSGCREAQHDSYVGLGPAAIALMILAFLLLLLVPLLLLMCHCGKGAKGFTPIPGTIEMLHPWNNEGAPPEDKVVPSFLPVDQGGSLVGRNGVGGMAKEATMKGSSSASIVKGQHEMSEMDGRWEEHRSLLSGRATQFTGATGAIMTTETTKTARATGASRDMAGAQAAAVALNEEFLRNYFTDKAASYTEEDENHTAKDCLLVYSQEETESLNASIGCCSFIEGELDDRFLDDLGLKFKTLAEVCLGQKIDINKEIEQRQKPATETSMNTASHSLCEQTMVNSENTYSSGSSFPVPKSLQEANAEKVTQEIVTERSVSSRQAQKVATPLPDPMASRNVIATETSYVTGSTMPPTTVILGPSQPQSLIVTERVYAPASTLVDQPYANEGTVVVTERVIQPHGGGSNPLEGTQHLQDVPYVMVRERESFLAPSSGVQPTLAMPNIAVGQNVTVTERVLAPASTLQSSYQIPTENSMTARNTTVSGAGVPGPLPDFGLEESGHSNSTITTSSTRVTKHSTVQHSYS
+>DECOY_sp|Q14126|DSG2_HUMAN Desmoglein-2 OS=Homo sapiens OX=9606 GN=DSG2 PE=1 SV=2
+SYSHQVTSHKTVRTSSTTITSNSHGSEELGFDPLPGPVGAGSVTTNRATMSNETPIQYSSQLTSAPALVRETVTVNQGVAINPMALTPQVGSSPALFSERERVMVYPVDQLHQTGELPNSGGGHPQIVRETVVVTGENAYPQDVLTSAPAYVRETVILSQPQSPGLIVTTPPMTSGTVYSTETAIVNRSAMPDPLPTAVKQAQRSSVSRETVIEQTVKEANAEQLSKPVPFSSGSSYTNESNVMTQECLSHSATNMSTETAPKQRQEIEKNIDIKQGLCVEALTKFKLGLDDLFRDDLEGEIFSCCGISANLSETEEQSYVLLCDKATHNEDEETYSAAKDTFYNRLFEENLAVAAAQAGAMDRSAGTARATKTTETTMIAGTAGTFQTARGSLLSRHEEWRGDMESMEHQGKVISASSSGKMTAEKAMGGVGNRGVLSGGQDVPLFSPVVKDEPPAGENNWPHLMEITGPIPTFGKAGKGCHCMLLLLPVLLLLLFALIMLAIAAPGLGVYSDHQAERCGSGHLCECVTLTLVQKEPCSFGQNDSILFQIESRGLKKESQQLLVSTSEQRAIKWKEAMGPPKDIVSFSFPGSNPHGDLDEATVNVYEADHCITQVPEILTPCNDNIDEVNILVTGTITKRPYDESIAVIKVTYTGNQVYRSEFDPLKALKIESTVSDVSIWNDRDELKVYRAHAPLGTDEDFAQFNGIIQGKSSRDMSESVYISIVSSKFHIGEKVNKVKVKIPIPTPKYKSRISKHFAAKNAVIVSFDLNKMEEYDVEKILTVIGENTQADTEIHFYGGENGSAFTFNALWNDSGIEDADFVKIRTVEVNVQNEEVMGELVKNEVVPINDNVDLIRIQVQAQKVPKDTVEGNGDRAEVTLTYSSHEERDLTVSTTYIEGTDKNLYFVPPYAPELSVIRYSIKSNLTNPEDADTANIKMVLTHAASLEEVSGVFVDQTFVPENDNIDLVKIRLELPKEVNNGRADLAYGTLLFFPTEERDLISTVNLEGTDKNFVFIGFPPETIGKGTYKYTIKLGREEALDSHIKAIPNKKSLDEGERLAVPATIWARKQRVLHPHKPLLKNENRTSLVQLHLGSGVNFCILLLLLAYARGPSRAM
+>sp|Q86SJ6|DSG4_HUMAN Desmoglein-4 OS=Homo sapiens OX=9606 GN=DSG4 PE=1 SV=1
+MDWLFFRNICLLIILMVVMEVNSEFIVEVKEFDIENGTTKWQTVRRQKREWIKFAAACREGEDNSKRNPIAKIRSDCESNQKITYRISGVGIDRPPYGVFTINPRTGEINITSVVDREITPLFLIYCRALNSRGEDLERPLELRVKVMDINDNAPVFSQSVYTASIEENSDANTLVVKLCATDADEENHLNSKIAYKIVSQEPSGAPMFILNRYTGEVCTMSSFLDREQHSMYNLVVRGSDRDGAADGLSSECDCRIKVLDVNDNFPTLEKTSYSASIEENCLSSELIRLQAIDLDEEGTDNWLAQYLILSGNDGNWFDIQTDPQTNEGILKVVKMLDYEQAPNIQLSIGVKNQADFHYSVASQFQMHPTPVRIQVVDVREGPAFHPSTMAFSVREGIKGSSLLNYVLGTYTAIDLDTGNPATDVRYIIGHDAGSWLKIDSRTGEIQFSREFDKKSKYIINGIYTAEILAIDDGSGKTATGTICIEVPDINDYCPNIFPERRTICIDSPSVLISVNEHSYGSPFTFCVVDEPPGIADMWDVRSTNATSAILTAKQVLSPGFYEIPILVKDSYNRACELAQMVQLYACDCDDNHMCLDSGAAGIYTEDITGDTYGPVTEDQAGVSNVGLGPAGIGMMVLGILLLILAPLLLLLCCCKQRQPEGLGTRFAPVPEGGEGVMQSWRIEGAHPEDRDVSNICAPMTASNTQDRMDSSEIYTNTYAAGGTVEGGVSGVELNTGMGTAVGLMAAGAAGASGAARKRSSTMGTLRDYADADINMAFLDSYFSEKAYAYADEDEGRPANDCLLIYDHEGVGSPVGSIGCCSWIVDDLDESCMETLDPKFRTLAEICLNTEIEPFPSHQACIPISTDLPLLGPNYFVNESSGLTPSEVEFQEEMAASEPVVHGDIIVTETYGNADPCVQPTTIIFDPQLAPNVVVTEAVMAPVYDIQGNICVPAELADYNNVIYAERVLASPGVPDMSNSSTTEGCMGPVMSGNILVGPEIQVMQMMSPDLPIGQTVGSTSPMTSRHRVTRYSNIHYTQQ
+>DECOY_sp|Q86SJ6|DSG4_HUMAN Desmoglein-4 OS=Homo sapiens OX=9606 GN=DSG4 PE=1 SV=1
+QQTYHINSYRTVRHRSTMPSTSGVTQGIPLDPSMMQMVQIEPGVLINGSMVPGMCGETTSSNSMDPVGPSALVREAYIVNNYDALEAPVCINGQIDYVPAMVAETVVVNPALQPDFIITTPQVCPDANGYTETVIIDGHVVPESAAMEEQFEVESPTLGSSENVFYNPGLLPLDTSIPICAQHSPFPEIETNLCIEALTRFKPDLTEMCSEDLDDVIWSCCGISGVPSGVGEHDYILLCDNAPRGEDEDAYAYAKESFYSDLFAMNIDADAYDRLTGMTSSRKRAAGSAGAAGAAMLGVATGMGTNLEVGSVGGEVTGGAAYTNTYIESSDMRDQTNSATMPACINSVDRDEPHAGEIRWSQMVGEGGEPVPAFRTGLGEPQRQKCCCLLLLLPALILLLIGLVMMGIGAPGLGVNSVGAQDETVPGYTDGTIDETYIGAAGSDLCMHNDDCDCAYLQVMQALECARNYSDKVLIPIEYFGPSLVQKATLIASTANTSRVDWMDAIGPPEDVVCFTFPSGYSHENVSILVSPSDICITRREPFINPCYDNIDPVEICITGTATKGSGDDIALIEATYIGNIIYKSKKDFERSFQIEGTRSDIKLWSGADHGIIYRVDTAPNGTDLDIATYTGLVYNLLSSGKIGERVSFAMTSPHFAPGERVDVVQIRVPTPHMQFQSAVSYHFDAQNKVGISLQINPAQEYDLMKVVKLIGENTQPDTQIDFWNGDNGSLILYQALWNDTGEEDLDIAQLRILESSLCNEEISASYSTKELTPFNDNVDLVKIRCDCESSLGDAAGDRDSGRVVLNYMSHQERDLFSSMTCVEGTYRNLIFMPAGSPEQSVIKYAIKSNLHNEEDADTACLKVVLTNADSNEEISATYVSQSFVPANDNIDMVKVRLELPRELDEGRSNLARCYILFLPTIERDVVSTINIEGTRPNITFVGYPPRDIGVGSIRYTIKQNSECDSRIKAIPNRKSNDEGERCAAAFKIWERKQRRVTQWKTTGNEIDFEKVEVIFESNVEMVVMLIILLCINRFFLWDM
+>sp|Q8TEA8|DTD1_HUMAN D-aminoacyl-tRNA deacylase 1 OS=Homo sapiens OX=9606 GN=DTD1 PE=1 SV=2
+MKAVVQRVTRASVTVGGEQISAIGRGICVLLGISLEDTQKELEHMVRKILNLRVFEDESGKHWSKSVMDKQYEILCVSQFTLQCVLKGNKPDFHLAMPTEQAEGFYNSFLEQLRKTYRPELIKDGKFGAYMQVHIQNDGPVTIELESPAPGTATSDPKQLSKLEKQQQRKEKTRAKGPSESSKERNTPRKEDRSASSGAEGDVSSEREP
+>DECOY_sp|Q8TEA8|DTD1_HUMAN D-aminoacyl-tRNA deacylase 1 OS=Homo sapiens OX=9606 GN=DTD1 PE=1 SV=2
+PERESSVDGEAGSSASRDEKRPTNREKSSESPGKARTKEKRQQQKELKSLQKPDSTATGPAPSELEITVPGDNQIHVQMYAGFKGDKILEPRYTKRLQELFSNYFGEAQETPMALHFDPKNGKLVCQLTFQSVCLIEYQKDMVSKSWHKGSEDEFVRLNLIKRVMHELEKQTDELSIGLLVCIGRGIASIQEGGVTVSARTVRQVVAKM
+>sp|Q8N5C7|DTWD1_HUMAN DTW domain-containing protein 1 OS=Homo sapiens OX=9606 GN=DTWD1 PE=1 SV=1
+MSLNPPIFLKRSEENSSKFVETKQSQTTSIASEDPLQNLCLASQEVLQKAQQSGRSKCLKCGGSRMFYCYTCYVPVENVPIEQIPLVKLPLKIDIIKHPNETDGKSTAIHAKLLAPEFVNIYTYPCIPEYEEKDHEVALIFPGPQSISIKDISFHLQKRIQNNVRGKNDDPDKPSFKRKRTEEQEFCDLNDSKCKGTTLKKIIFIDSTWNQTNKIFTDERLQGLLQVELKTRKTCFWRHQKGKPDTFLSTIEAIYYFLVDYHTDILKEKYRGQYDNLLFFYSFMYQLIKNAKCSGDKETGKLTH
+>DECOY_sp|Q8N5C7|DTWD1_HUMAN DTW domain-containing protein 1 OS=Homo sapiens OX=9606 GN=DTWD1 PE=1 SV=1
+HTLKGTEKDGSCKANKILQYMFSYFFLLNDYQGRYKEKLIDTHYDVLFYYIAEITSLFTDPKGKQHRWFCTKRTKLEVQLLGQLREDTFIKNTQNWTSDIFIIKKLTTGKCKSDNLDCFEQEETRKRKFSPKDPDDNKGRVNNQIRKQLHFSIDKISISQPGPFILAVEHDKEEYEPICPYTYINVFEPALLKAHIATSKGDTENPHKIIDIKLPLKVLPIQEIPVNEVPVYCTYCYFMRSGGCKLCKSRGSQQAKQLVEQSALCLNQLPDESAISTTQSQKTEVFKSSNEESRKLFIPPNLSM
+>sp|Q86Y01|DTX1_HUMAN E3 ubiquitin-protein ligase DTX1 OS=Homo sapiens OX=9606 GN=DTX1 PE=1 SV=1
+MSRPGHGGLMPVNGLGFPPQNVARVVVWEWLNEHSRWRPYTATVCHHIENVLKEDARGSVVLGQVDAQLVPYIIDLQSMHQFRQDTGTMRPVRRNFYDPSSAPGKGIVWEWENDGGAWTAYDMDICITIQNAYEKQHPWLDLSSLGFCYLIYFNSMSQMNRQTRRRRRLRRRLDLAYPLTVGSIPKSQSWPVGASSGQPCSCQQCLLVNSTRAASNAILASQRRKAPPAPPLPPPPPPGGPPGALAVRPSATFTGAALWAAPAAGPAEPAPPPGAPPRSPGAPGGARTPGQNNLNRPGPQRTTSVSARASIPPGVPALPVKNLNGTGPVHPALAGMTGILLCAAGLPVCLTRAPKPILHPPPVSKSDVKPVPGVPGVCRKTKKKHLKKSKNPEDVVRRYMQKVKNPPDEDCTICMERLVTASGYEGVLRHKGVRPELVGRLGRCGHMYHLLCLVAMYSNGNKDGSLQCPTCKAIYGEKTGTQPPGKMEFHLIPHSLPGFPDTQTIRIVYDIPTGIQGPEHPNPGKKFTARGFPRHCYLPNNEKGRKVLRLLITAWERRLIFTIGTSNTTGESDTVVWNEIHHKTEFGSNLTGHGYPDASYLDNVLAELTAQGVSEAAAKA
+>DECOY_sp|Q86Y01|DTX1_HUMAN E3 ubiquitin-protein ligase DTX1 OS=Homo sapiens OX=9606 GN=DTX1 PE=1 SV=1
+AKAAAESVGQATLEALVNDLYSADPYGHGTLNSGFETKHHIENWVVTDSEGTTNSTGITFILRREWATILLRLVKRGKENNPLYCHRPFGRATFKKGPNPHEPGQIGTPIDYVIRITQTDPFGPLSHPILHFEMKGPPQTGTKEGYIAKCTPCQLSGDKNGNSYMAVLCLLHYMHGCRGLRGVLEPRVGKHRLVGEYGSATVLREMCITCDEDPPNKVKQMYRRVVDEPNKSKKLHKKKTKRCVGPVGPVPKVDSKSVPPPHLIPKPARTLCVPLGAACLLIGTMGALAPHVPGTGNLNKVPLAPVGPPISARASVSTTRQPGPRNLNNQGPTRAGGPAGPSRPPAGPPPAPEAPGAAPAAWLAAGTFTASPRVALAGPPGGPPPPPPLPPAPPAKRRQSALIANSAARTSNVLLCQQCSCPQGSSAGVPWSQSKPISGVTLPYALDLRRRLRRRRRTQRNMQSMSNFYILYCFGLSSLDLWPHQKEYANQITICIDMDYATWAGGDNEWEWVIGKGPASSPDYFNRRVPRMTGTDQRFQHMSQLDIIYPVLQADVQGLVVSGRADEKLVNEIHHCVTATYPRWRSHENLWEWVVVRAVNQPPFGLGNVPMLGGHGPRSM
+>sp|Q9H596|DUS21_HUMAN Dual specificity protein phosphatase 21 OS=Homo sapiens OX=9606 GN=DUSP21 PE=1 SV=1
+MTASASSFSSSQGVQQPSIYSFSQITRSLFLSNGVAANDKLLLSSNRITAIVNASVEVVNVFFEGIQYIKVPVTDARDSRLYDFFDPIADLIHTIDMRQGRTLLHCMAGVSRSASLCLAYLMKYHSMSLLDAHTWTKSRRPIIRPNNGFWEQLINYEFKLFNNNTVRMINSPVGNIPDIYEKDLRMMISM
+>DECOY_sp|Q9H596|DUS21_HUMAN Dual specificity protein phosphatase 21 OS=Homo sapiens OX=9606 GN=DUSP21 PE=1 SV=1
+MSIMMRLDKEYIDPINGVPSNIMRVTNNNFLKFEYNILQEWFGNNPRIIPRRSKTWTHADLLSMSHYKMLYALCLSASRSVGAMCHLLTRGQRMDITHILDAIPDFFDYLRSDRADTVPVKIYQIGEFFVNVVEVSANVIATIRNSSLLLKDNAAVGNSLFLSRTIQSFSYISPQQVGQSSSFSSASATM
+>sp|Q9NRW4|DUS22_HUMAN Dual specificity protein phosphatase 22 OS=Homo sapiens OX=9606 GN=DUSP22 PE=1 SV=1
+MGNGMNKILPGLYIGNFKDARDAEQLSKNKVTHILSVHDSARPMLEGVKYLCIPAADSPSQNLTRHFKESIKFIHECRLRGESCLVHCLAGVSRSVTLVIAYIMTVTDFGWEDALHTVRAGRSCANPNVGFQRQLQEFEKHEVHQYRQWLKEEYGESPLQDAEEAKNILAAPGILKFWAFLRRL
+>DECOY_sp|Q9NRW4|DUS22_HUMAN Dual specificity protein phosphatase 22 OS=Homo sapiens OX=9606 GN=DUSP22 PE=1 SV=1
+LRRLFAWFKLIGPAALINKAEEADQLPSEGYEEKLWQRYQHVEHKEFEQLQRQFGVNPNACSRGARVTHLADEWGFDTVTMIYAIVLTVSRSVGALCHVLCSEGRLRCEHIFKISEKFHRTLNQSPSDAAPICLYKVGELMPRASDHVSLIHTVKNKSLQEADRADKFNGIYLGPLIKNMGNGM
+>sp|O95620|DUS4L_HUMAN tRNA-dihydrouridine(20a/20b) synthase [NAD(P)+]-like OS=Homo sapiens OX=9606 GN=DUS4L PE=2 SV=2
+MKSDCMQTTICQERKKDPIEMFHSGQLVKVCAPMVRYSKLAFRTLVRKYSCDLCYTPMIVAADFVKSIKARDSEFTTNQGDCPLIVQFAANDARLLSDAARIVCPYANGIDINCGCPQRWAMAEGYGACLINKPELVQDMVKQVRNQVETPGFSVSIKIRIHDDLKRTVDLCQKAEATGVSWITVHGRTAEERHQPVHYDSIKIIKENMSIPVIANGDIRSLKEAENVWRITGTDGVMVARGLLANPAMFAGYEETPLKCIWDWVDIALELGTPYMCFHQHLMYMMEKITSRQEKRVFNALSSTSAIIDYLTDHYGI
+>DECOY_sp|O95620|DUS4L_HUMAN tRNA-dihydrouridine(20a/20b) synthase [NAD(P)+]-like OS=Homo sapiens OX=9606 GN=DUS4L PE=2 SV=2
+IGYHDTLYDIIASTSSLANFVRKEQRSTIKEMMYMLHQHFCMYPTGLELAIDVWDWICKLPTEEYGAFMAPNALLGRAVMVGDTGTIRWVNEAEKLSRIDGNAIVPISMNEKIIKISDYHVPQHREEATRGHVTIWSVGTAEAKQCLDVTRKLDDHIRIKISVSFGPTEVQNRVQKVMDQVLEPKNILCAGYGEAMAWRQPCGCNIDIGNAYPCVIRAADSLLRADNAAFQVILPCDGQNTTFESDRAKISKVFDAAVIMPTYCLDCSYKRVLTRFALKSYRVMPACVKVLQGSHFMEIPDKKREQCITTQMCDSKM
+>sp|Q6ZN92|DUTL_HUMAN Putative inactive deoxyuridine 5'-triphosphate nucleotidohydrolase-like protein FLJ16323 OS=Homo sapiens OX=9606 PE=5 SV=1
+MPVIPALGEAKGEVLPPGDTTTIIPLNWMLKSPPGHFGLLLLLSQQAKNGVMVLAGVTDPEYQDEISLLLHNEGHLKEVKMEGARLGLPGRAESLEHQVQSHLNMIAQSQRTFQKKDAGKAIILSKLTQEQKTKHCMFSLH
+>DECOY_sp|Q6ZN92|DUTL_HUMAN Putative inactive deoxyuridine 5'-triphosphate nucleotidohydrolase-like protein FLJ16323 OS=Homo sapiens OX=9606 PE=5 SV=1
+HLSFMCHKTKQEQTLKSLIIAKGADKKQFTRQSQAIMNLHSQVQHELSEARGPLGLRAGEMKVEKLHGENHLLLSIEDQYEPDTVGALVMVGNKAQQSLLLLLGFHGPPSKLMWNLPIITTTDGPPLVEGKAEGLAPIVPM
+>sp|Q96PT4|DUX3_HUMAN Putative double homeobox protein 3 OS=Homo sapiens OX=9606 GN=DUX3 PE=2 SV=1
+MPAEVHGSPPASLCPCPSVKFRPGLPAMALLTALDDTLPEEAQGPGRRMILLSTPSQSDALRACFERNLYPGIATKEQLAQGIDIPEPRVQIWFQNERSCQLRQHRRQSRPWPGRRDPQKGRRKRTAITGSQTALLLRAFEKDRFPGIPAREELARETGLPESRIQLWFQNRRARHWGQSGRAPTQASIRCNAAPIG
+>DECOY_sp|Q96PT4|DUX3_HUMAN Putative double homeobox protein 3 OS=Homo sapiens OX=9606 GN=DUX3 PE=2 SV=1
+GIPAANCRISAQTPARGSQGWHRARRNQFWLQIRSEPLGTERALEERAPIGPFRDKEFARLLLATQSGTIATRKRRGKQPDRRGPWPRSQRRHQRLQCSRENQFWIQVRPEPIDIGQALQEKTAIGPYLNREFCARLADSQSPTSLLIMRRGPGQAEEPLTDDLATLLAMAPLGPRFKVSPCPCLSAPPSGHVEAPM
+>sp|Q9BQI3|E2AK1_HUMAN Eukaryotic translation initiation factor 2-alpha kinase 1 OS=Homo sapiens OX=9606 GN=EIF2AK1 PE=1 SV=2
+MQGGNSGVRKREEEGDGAGAVAAPPAIDFPAEGPDPEYDESDVPAEIQVLKEPLQQPTFPFAVANQLLLVSLLEHLSHVHEPNPLRSRQVFKLLCQTFIKMGLLSSFTCSDEFSSLRLHHNRAITHLMRSAKERVRQDPCEDISRIQKIRSREVALEAQTSRYLNEFEELAILGKGGYGRVYKVRNKLDGQYYAIKKILIKGATKTVCMKVLREVKVLAGLQHPNIVGYHTAWIEHVHVIQPRADRAAIELPSLEVLSDQEEDREQCGVKNDESSSSSIIFAEPTPEKEKRFGESDTENQNNKSVKYTTNLVIRESGELESTLELQENGLAGLSASSIVEQQLPLRRNSHLEESFTSTEESSEENVNFLGQTEAQYHLMLHIQMQLCELSLWDWIVERNKRGREYVDESACPYVMANVATKIFQELVEGVFYIHNMGIVHRDLKPRNIFLHGPDQQVKIGDFGLACTDILQKNTDWTNRNGKRTPTHTSRVGTCLYASPEQLEGSEYDAKSDMYSLGVVLLELFQPFGTEMERAEVLTGLRTGQLPESLRKRCPVQAKYIQHLTRRNSSQRPSAIQLLQSELFQNSGNVNLTLQMKIIEQEKEIAELKKQLNLLSQDKGVRDDGKDGGVG
+>DECOY_sp|Q9BQI3|E2AK1_HUMAN Eukaryotic translation initiation factor 2-alpha kinase 1 OS=Homo sapiens OX=9606 GN=EIF2AK1 PE=1 SV=2
+GVGGDKGDDRVGKDQSLLNLQKKLEAIEKEQEIIKMQLTLNVNGSNQFLESQLLQIASPRQSSNRRTLHQIYKAQVPCRKRLSEPLQGTRLGTLVEAREMETGFPQFLELLVVGLSYMDSKADYESGELQEPSAYLCTGVRSTHTPTRKGNRNTWDTNKQLIDTCALGFDGIKVQQDPGHLFINRPKLDRHVIGMNHIYFVGEVLEQFIKTAVNAMVYPCASEDVYERGRKNREVIWDWLSLECLQMQIHLMLHYQAETQGLFNVNEESSEETSTFSEELHSNRRLPLQQEVISSASLGALGNEQLELTSELEGSERIVLNTTYKVSKNNQNETDSEGFRKEKEPTPEAFIISSSSSEDNKVGCQERDEEQDSLVELSPLEIAARDARPQIVHVHEIWATHYGVINPHQLGALVKVERLVKMCVTKTAGKILIKKIAYYQGDLKNRVKYVRGYGGKGLIALEEFENLYRSTQAELAVERSRIKQIRSIDECPDQRVREKASRMLHTIARNHHLRLSSFEDSCTFSSLLGMKIFTQCLLKFVQRSRLPNPEHVHSLHELLSVLLLQNAVAFPFTPQQLPEKLVQIEAPVDSEDYEPDPGEAPFDIAPPAAVAGAGDGEEERKRVGSNGGQM
+>sp|Q9P2K8|E2AK4_HUMAN eIF-2-alpha kinase GCN2 OS=Homo sapiens OX=9606 GN=EIF2AK4 PE=1 SV=3
+MAGGRGAPGRGRDEPPESYPQRQDHELQALEAIYGADFQDLRPDACGPVKEPPEINLVLYPQGLTGEEVYVKVDLRVKCPPTYPDVVPEIELKNAKGLSNESVNLLKSRLEELAKKHCGEVMIFELAYHVQSFLSEHNKPPPKSFHEEMLERRAQEEQQRLLEAKRKEEQEQREILHEIQRRKEEIKEEKKRKEMAKQERLEIASLSNQDHTSKKDPGGHRTAAILHGGSPDFVGNGKHRANSSGRSRRERQYSVCNSEDSPGSCEILYFNMGSPDQLMVHKGKCIGSDEQLGKLVYNALETATGGFVLLYEWVLQWQKKMGPFLTSQEKEKIDKCKKQIQGTETEFNSLVKLSHPNVVRYLAMNLKEQDDSIVVDILVEHISGVSLAAHLSHSGPIPVHQLRRYTAQLLSGLDYLHSNSVVHKVLSASNVLVDAEGTVKITDYSISKRLADICKEDVFEQTRVRFSDNALPYKTGKKGDVWRLGLLLLSLSQGQECGEYPVTIPSDLPADFQDFLKKCVCLDDKERWSPQQLLKHSFINPQPKMPLVEQSPEDSEGQDYVETVIPSNRLPSAAFFSETQRQFSRYFIEFEELQLLGKGAFGAVIKVQNKLDGCCYAVKRIPINPASRQFRRIKGEVTLLSRLHHENIVRYYNAWIERHERPAGPGTPPPDSGPLAKDDRAARGQPASDTDGLDSVEAAAPPPILSSSVEWSTSGERSASARFPATGPGSSDDEDDDEDEHGGVFSQSFLPASDSESDIIFDNEDENSKSQNQDEDCNEKNGCHESEPSVTTEAVHYLYIQMEYCEKSTLRDTIDQGLYRDTVRLWRLFREILDGLAYIHEKGMIHRDLKPVNIFLDSDDHVKIGDFGLATDHLAFSADSKQDDQTGDLIKSDPSGHLTGMVGTALYVSPEVQGSTKSAYNQKVDLFSLGIIFFEMSYHPMVTASERIFVLNQLRDPTSPKFPEDFDDGEHAKQKSVISWLLNHDPAKRPTATELLKSELLPPPQMEESELHEVLHHTLTNVDGKAYRTMMAQIFSQRISPAIDYTYDSDILKGNFSIRTAKMQQHVCETIIRIFKRHGAVQLCTPLLLPRNRQIYEHNEAALFMDHSGMLVMLPFDLRIPFARYVARNNILNLKRYCIERVFRPRKLDRFHPKELLECAFDIVTSTTNSFLPTAEIIYTIYEIIQEFPALQERNYSIYLNHTMLLKAILLHCGIPEDKLSQVYIILYDAVTEKLTRREVEAKFCNLSLSSNSLCRLYKFIEQKGDLQDLMPTINSLIKQKTGIAQLVKYGLKDLEEVVGLLKKLGIKLQVLINLGLVYKVQQHNGIIFQFVAFIKRRQRAVPEILAAGGRYDLLIPQFRGPQALGPVPTAIGVSIAIDKISAAVLNMEESVTISSCDLLVVSVGQMSMSRAINLTQKLWTAGITAEIMYDWSQSQEELQEYCRHHEITYVALVSDKEGSHVKVKSFEKERQTEKRVLETELVDHVLQKLRTKVTDERNGREASDNLAVQNLKGSFSNASGLFEIHGATVVPIVSVLAPEKLSASTRRRYETQVQTRLQTSLANLHQKSSEIEILAVDLPKETILQFLSLEWDADEQAFNTTVKQLLSRLPKQRYLKLVCDEIYNIKVEKKVSVLFLYSYRDDYYRILF
+>DECOY_sp|Q9P2K8|E2AK4_HUMAN eIF-2-alpha kinase GCN2 OS=Homo sapiens OX=9606 GN=EIF2AK4 PE=1 SV=3
+FLIRYYDDRYSYLFLVSVKKEVKINYIEDCVLKLYRQKPLRSLLQKVTTNFAQEDADWELSLFQLITEKPLDVALIEIESSKQHLNALSTQLRTQVQTEYRRRTSASLKEPALVSVIPVVTAGHIEFLGSANSFSGKLNQVALNDSAERGNREDTVKTRLKQLVHDVLETELVRKETQREKEFSKVKVHSGEKDSVLAVYTIEHHRCYEQLEEQSQSWDYMIEATIGATWLKQTLNIARSMSMQGVSVVLLDCSSITVSEEMNLVAASIKDIAISVGIATPVPGLAQPGRFQPILLDYRGGAALIEPVARQRRKIFAVFQFIIGNHQQVKYVLGLNILVQLKIGLKKLLGVVEELDKLGYKVLQAIGTKQKILSNITPMLDQLDGKQEIFKYLRCLSNSSLSLNCFKAEVERRTLKETVADYLIIYVQSLKDEPIGCHLLIAKLLMTHNLYISYNREQLAPFEQIIEYITYIIEATPLFSNTTSTVIDFACELLEKPHFRDLKRPRFVREICYRKLNLINNRAVYRAFPIRLDFPLMVLMGSHDMFLAAENHEYIQRNRPLLLPTCLQVAGHRKFIRIITECVHQQMKATRISFNGKLIDSDYTYDIAPSIRQSFIQAMMTRYAKGDVNTLTHHLVEHLESEEMQPPPLLESKLLETATPRKAPDHNLLWSIVSKQKAHEGDDFDEPFKPSTPDRLQNLVFIRESATVMPHYSMEFFIIGLSFLDVKQNYASKTSGQVEPSVYLATGVMGTLHGSPDSKILDGTQDDQKSDASFALHDTALGFDGIKVHDDSDLFINVPKLDRHIMGKEHIYALGDLIERFLRWLRVTDRYLGQDITDRLTSKECYEMQIYLYHVAETTVSPESEHCGNKENCDEDQNQSKSNEDENDFIIDSESDSAPLFSQSFVGGHEDEDDDEDDSSGPGTAPFRASASREGSTSWEVSSSLIPPPAAAEVSDLGDTDSAPQGRAARDDKALPGSDPPPTGPGAPREHREIWANYYRVINEHHLRSLLTVEGKIRRFQRSAPNIPIRKVAYCCGDLKNQVKIVAGFAGKGLLQLEEFEIFYRSFQRQTESFFAASPLRNSPIVTEVYDQGESDEPSQEVLPMKPQPNIFSHKLLQQPSWREKDDLCVCKKLFDQFDAPLDSPITVPYEGCEQGQSLSLLLLGLRWVDGKKGTKYPLANDSFRVRTQEFVDEKCIDALRKSISYDTIKVTGEADVLVNSASLVKHVVSNSHLYDLGSLLQATYRRLQHVPIPGSHSLHAALSVGSIHEVLIDVVISDDQEKLNMALYRVVNPHSLKVLSNFETETGQIQKKCKDIKEKEQSTLFPGMKKQWQLVWEYLLVFGGTATELANYVLKGLQEDSGICKGKHVMLQDPSGMNFYLIECSGPSDESNCVSYQRERRSRGSSNARHKGNGVFDPSGGHLIAATRHGGPDKKSTHDQNSLSAIELREQKAMEKRKKEEKIEEKRRQIEHLIERQEQEEKRKAELLRQQEEQARRELMEEHFSKPPPKNHESLFSQVHYALEFIMVEGCHKKALEELRSKLLNVSENSLGKANKLEIEPVVDPYTPPCKVRLDVKVYVEEGTLGQPYLVLNIEPPEKVPGCADPRLDQFDAGYIAELAQLEHDQRQPYSEPPEDRGRGPAGRGGAM
+>sp|Q86Y22|CONA1_HUMAN Collagen alpha-1(XXIII) chain OS=Homo sapiens OX=9606 GN=COL23A1 PE=1 SV=1
+MGPGERAGGGGDAGKGNAAGGGGGGRSATTAGSRAVSALCLLLSVGSAAACLLLGVQAAALQGRVAALEEERELLRRAGPPGALDAWAEPHLERLLREKLDGLAKIRTAREAPSECVCPPGPPGRRGKPGRRGDPGPPGQSGRDGYPGPLGLDGKPGLPGPKGEKGAPGDFGPRGDQGQDGAAGPPGPPGPPGARGPPGDTGKDGPRGAQGPAGPKGEPGQDGEMGPKGPPGPKGEPGVPGKKGDDGTPSQPGPPGPKGEPGSMGPRGENGVDGAPGPKGEPGHRGTDGAAGPRGAPGLKGEQGDTVVIDYDGRILDALKGPPGPQGPPGPPGIPGAKGELGLPGAPGIDGEKGPKGQKGDPGEPGPAGLKGEAGEMGLSGLPGADGLKGEKGESASDSLQESLAQLIVEPGPPGPPGPPGPMGLQGIQGPKGLDGAKGEKGASGERGPSGLPGPVGPPGLIGLPGTKGEKGRPGEPGLDGFPGPRGEKGDRSERGEKGERGVPGRKGVKGQKGEPGPPGLDQPCPVGPDGLPVPGCWHK
+>DECOY_sp|Q86Y22|CONA1_HUMAN Collagen alpha-1(XXIII) chain OS=Homo sapiens OX=9606 GN=COL23A1 PE=1 SV=1
+KHWCGPVPLGDPGVPCPQDLGPPGPEGKQGKVGKRGPVGREGKEGRESRDGKEGRPGPFGDLGPEGPRGKEGKTGPLGILGPPGVPGPLGSPGREGSAGKEGKAGDLGKPGQIGQLGMPGPPGPPGPPGPEVILQALSEQLSDSASEGKEGKLGDAGPLGSLGMEGAEGKLGAPGPEGPDGKQGKPGKEGDIGPAGPLGLEGKAGPIGPPGPPGQPGPPGKLADLIRGDYDIVVTDGQEGKLGPAGRPGAAGDTGRHGPEGKPGPAGDVGNEGRPGMSGPEGKPGPPGPQSPTGDDGKKGPVGPEGKPGPPGKPGMEGDQGPEGKPGAPGQAGRPGDKGTDGPPGRAGPPGPPGPPGAAGDQGQDGRPGFDGPAGKEGKPGPLGPKGDLGLPGPYGDRGSQGPPGPDGRRGPKGRRGPPGPPCVCESPAERATRIKALGDLKERLLRELHPEAWADLAGPPGARRLLEREEELAAVRGQLAAAQVGLLLCAAASGVSLLLCLASVARSGATTASRGGGGGGAANGKGADGGGGAREGPGM
+>sp|Q17RW2|COOA1_HUMAN Collagen alpha-1(XXIV) chain OS=Homo sapiens OX=9606 GN=COL24A1 PE=1 SV=2
+MHLRAHRTRRGKVSPTAKTKSLLHFIVLCVAGVVVHAQEQGIDILHQLGLGGKDVRHSSPATAVPSASTPLPQGVHLTESGVIFKNDAYIETPFVKILPVNLGQPFTILTGLQSHRVNNAFLFSIRNKNRLQLGVQLLPKKLVVHIRGKQPAVFNYSVHDEQWHSFAITIRNQSVSMFVECGKKYFSTETIPEVQTFDSNSVFTLGSMNNNSIHFEGIVCQLDIIPSAEASADYCRYVKQQCRQADKYQPETSIPCTTLIPTKIPEHSPPPKLFAEKVLSEDTFTEGKSIPNIIKNDSETVYKRQEHQISRSQLSSLQSGNVSAVDLTNHGIQAKEMITEEDTQTNFSLSVTTHRISEAKMNTKEKFSSLLNMSDNITQHDDRVTGLSLFKKMPSILPQIKQDTITNLKKAITANLHTNELMEMQPILNTSLHRVTNEPSVDNHLDLRKEGEFYPDATYPIENSYETELYDYYYYEDLNTMLEMEYLRGPKGDTGPPGPPGPAGIPGPSGKRGPRGIPGPHGNPGLPGLPGPKGPKGDPGFSPGQPVPGEKGDQGLSGLMGPPGMQGDKGLKGHPGLPGLPGEQGIPGFAGNIGSPGYPGRQGLAGPEGNPGPKGAQGFIGSPGEAGQLGPEGERGIPGIRGKKGFKGRQGFPGDFGDRGPAGLDGSPGLVGGTGPPGFPGLRGSVGPVGPIGPAGIPGPMGLSGNKGLPGIKGDKGEQGTAGELGEPGYPGDKGAVGLPGPPGMRGKSGPSGQTGDPGLQGPSGPPGPEGFPGDIGIPGQNGPEGPKGLLGNRGPPGPPGLKGTQGEEGPIGAFGELGPRGKPGQKGYAGEPGPEGLKGEVGDQGNIGKIGETGPVGLPGEVGMTGSIGEKGERGSPGPLGPQGEKGVMGYPGPPGVPGPIGPLGLPGHVGARGPPGSQGPKGQRGSRGPDGLLGEQGIQGAKGEKGDQGKRGPHGLIGKTGNPGERGFQGKPGLQGLPGSTGDRGLPGEPGLRGLQGDVGPPGEMGMEGPPGTEGESGLQGEPGAKGDVGTAGSVGGTGEPGLRGEPGAPGEEGLQGKDGLKGVPGGRGLPGEDGEKGEMGLPGIIGPLGRSGQTGLPGPEGIVGIPGQRGRPGKKGDKGQIGPTGEVGSRGPPGKIGKSGPKGARGTRGAVGHLGLMGPDGEPGIPGYRGHQGQPGPSGLPGPKGEKGYPGEDSTVLGPPGPRGEPGPVGDQGERGEPGAEGYKGHVGVPGLRGATGQQGPPGEPGDQGEQGLKGERGSEGNKGKKGAPGPSGKPGIPGLQGLLGPKGIQGYHGADGISGNPGKIGPPGKQGLPGIRGGPGRTGLAGAPGPPGVKGSSGLPGSPGIQGPKGEQGLPGQPGIQGKRGHRGAQGDQGPCGDPGLKGQPGEYGVQGLTGFQGFPGPKGPEGDAGIVGISGPKGPIGHRGNTGPLGREGIIGPTGRTGPRGEKGFRGETGPQGPRGQPGPPGPPGAPGPRKQMDINAAIQALIESNTALQMESYQNTEVTLIDHSEEIFKTLNYLSNLLHSIKNPLGTRDNPARICKDLLNCEQKVSDGKYWIDPNLGCPSDAIEVFCNFSAGGQTCLPPVSVTKLEFGVGKVQMNFLHLLSSEATHIITIHCLNTPRWTSTQTSGPGLPIGFKGWNGQIFKVNTLLEPKVLSDDCKIQDGSWHKATFLFHTQEPNQLPVIEVQKLPHLKTERKYYIDSSSVCFL
+>DECOY_sp|Q17RW2|COOA1_HUMAN Collagen alpha-1(XXIV) chain OS=Homo sapiens OX=9606 GN=COL24A1 PE=1 SV=2
+LFCVSSSDIYYKRETKLHPLKQVEIVPLQNPEQTHFLFTAKHWSGDQIKCDDSLVKPELLTNVKFIQGNWGKFGIPLGPGSTQTSTWRPTNLCHITIIHTAESSLLHLFNMQVKGVGFELKTVSVPPLCTQGGASFNCFVEIADSPCGLNPDIWYKGDSVKQECNLLDKCIRAPNDRTGLPNKISHLLNSLYNLTKFIEESHDILTVETNQYSEMQLATNSEILAQIAANIDMQKRPGPAGPPGPPGPQGRPGQPGTEGRFGKEGRPGTRGTPGIIGERGLPGTNGRHGIPGKPGSIGVIGADGEPGKPGPFGQFGTLGQVGYEGPQGKLGPDGCPGQDGQAGRHGRKGQIGPQGPLGQEGKPGQIGPSGPLGSSGKVGPPGPAGALGTRGPGGRIGPLGQKGPPGIKGPNGSIGDAGHYGQIGKPGLLGQLGPIGPKGSPGPAGKKGKNGESGREGKLGQEGQDGPEGPPGQQGTAGRLGPVGVHGKYGEAGPEGREGQDGVPGPEGRPGPPGLVTSDEGPYGKEGKPGPLGSPGPQGQHGRYGPIGPEGDPGMLGLHGVAGRTGRAGKPGSKGIKGPPGRSGVEGTPGIQGKDGKKGPRGRQGPIGVIGEPGPLGTQGSRGLPGIIGPLGMEGKEGDEGPLGRGGPVGKLGDKGQLGEEGPAGPEGRLGPEGTGGVSGATGVDGKAGPEGQLGSEGETGPPGEMGMEGPPGVDGQLGRLGPEGPLGRDGTSGPLGQLGPKGQFGREGPNGTKGILGHPGRKGQDGKEGKAGQIGQEGLLGDPGRSGRQGKPGQSGPPGRAGVHGPLGLPGIPGPVGPPGPYGMVGKEGQPGLPGPSGREGKEGISGTMGVEGPLGVPGTEGIKGINGQDGVEGKLGEPGPEGAYGKQGPKGRPGLEGFAGIPGEEGQTGKLGPPGPPGRNGLLGKPGEPGNQGPIGIDGPFGEPGPPGSPGQLGPDGTQGSPGSKGRMGPPGPLGVAGKDGPYGPEGLEGATGQEGKDGKIGPLGKNGSLGMPGPIGAPGIPGVPGVSGRLGPFGPPGTGGVLGPSGDLGAPGRDGFDGPFGQRGKFGKKGRIGPIGREGEPGLQGAEGPSGIFGQAGKPGPNGEPGALGQRGPYGPSGINGAFGPIGQEGPLGPLGPHGKLGKDGQMGPPGMLGSLGQDGKEGPVPQGPSFGPDGKPGKPGPLGPLGPNGHPGPIGRPGRKGSPGPIGAPGPPGPPGTDGKPGRLYEMELMTNLDEYYYYDYLETEYSNEIPYTADPYFEGEKRLDLHNDVSPENTVRHLSTNLIPQMEMLENTHLNATIAKKLNTITDQKIQPLISPMKKFLSLGTVRDDHQTINDSMNLLSSFKEKTNMKAESIRHTTVSLSFNTQTDEETIMEKAQIGHNTLDVASVNGSQLSSLQSRSIQHEQRKYVTESDNKIINPISKGETFTDESLVKEAFLKPPPSHEPIKTPILTTCPISTEPQYKDAQRCQQKVYRCYDASAEASPIIDLQCVIGEFHISNNNMSGLTFVSNSDFTQVEPITETSFYKKGCEVFMSVSQNRITIAFSHWQEDHVSYNFVAPQKGRIHVVLKKPLLQVGLQLRNKNRISFLFANNVRHSQLGTLITFPQGLNVPLIKVFPTEIYADNKFIVGSETLHVGQPLPTSASPVATAPSSHRVDKGGLGLQHLIDIGQEQAHVVVGAVCLVIFHLLSKTKATPSVKGRRTRHARLHM
+>sp|Q8NHY2|COP1_HUMAN E3 ubiquitin-protein ligase COP1 OS=Homo sapiens OX=9606 GN=COP1 PE=1 SV=1
+MSGSRQAGSGSAGTSPGSSAASSVTSASSSLSSSPSPPSVAVSAAALVSGGVAQAAGSGGLGGPVRPVLVAPAVSGSGGGAVSTGLSRHSCAARPSAGVGGSSSSLGSGSRKRPLLAPLCNGLINSYEDKSNDFVCPICFDMIEEAYMTKCGHSFCYKCIHQSLEDNNRCPKCNYVVDNIDHLYPNFLVNELILKQKQRFEEKRFKLDHSVSSTNGHRWQIFQDWLGTDQDNLDLANVNLMLELLVQKKKQLEAESHAAQLQILMEFLKVARRNKREQLEQIQKELSVLEEDIKRVEEMSGLYSPVSEDSTVPQFEAPSPSHSSIIDSTEYSQPPGFSGSSQTKKQPWYNSTLASRRKRLTAHFEDLEQCYFSTRMSRISDDSRTASQLDEFQECLSKFTRYNSVRPLATLSYASDLYNGSSIVSSIEFDRDCDYFAIAGVTKKIKVYEYDTVIQDAVDIHYPENEMTCNSKISCISWSSYHKNLLASSDYEGTVILWDGFTGQRSKVYQEHEKRCWSVDFNLMDPKLLASGSDDAKVKLWSTNLDNSVASIEAKANVCCVKFSPSSRYHLAFGCADHCVHYYDLRNTKQPIMVFKGHRKAVSYAKFVSGEEIVSASTDSQLKLWNVGKPYCLRSFKGHINEKNFVGLASNGDYIACGSENNSLYLYYKGLSKTLLTFKFDTVKSVLDKDRKEDDTNEFVSAVCWRALPDGESNVLIAANSQGTIKVLELV
+>DECOY_sp|Q8NHY2|COP1_HUMAN E3 ubiquitin-protein ligase COP1 OS=Homo sapiens OX=9606 GN=COP1 PE=1 SV=1
+VLELVKITGQSNAAILVNSEGDPLARWCVASVFENTDDEKRDKDLVSKVTDFKFTLLTKSLGKYYLYLSNNESGCAIYDGNSALGVFNKENIHGKFSRLCYPKGVNWLKLQSDTSASVIEEGSVFKAYSVAKRHGKFVMIPQKTNRLDYYHVCHDACGFALHYRSSPSFKVCCVNAKAEISAVSNDLNTSWLKVKADDSGSALLKPDMLNFDVSWCRKEHEQYVKSRQGTFGDWLIVTGEYDSSALLNKHYSSWSICSIKSNCTMENEPYHIDVADQIVTDYEYVKIKKTVGAIAFYDCDRDFEISSVISSGNYLDSAYSLTALPRVSNYRTFKSLCEQFEDLQSATRSDDSIRSMRTSFYCQELDEFHATLRKRRSALTSNYWPQKKTQSSGSFGPPQSYETSDIISSHSPSPAEFQPVTSDESVPSYLGSMEEVRKIDEELVSLEKQIQELQERKNRRAVKLFEMLIQLQAAHSEAELQKKKQVLLELMLNVNALDLNDQDTGLWDQFIQWRHGNTSSVSHDLKFRKEEFRQKQKLILENVLFNPYLHDINDVVYNCKPCRNNDELSQHICKYCFSHGCKTMYAEEIMDFCIPCVFDNSKDEYSNILGNCLPALLPRKRSGSGLSSSSGGVGASPRAACSHRSLGTSVAGGGSGSVAPAVLVPRVPGGLGGSGAAQAVGGSVLAAASVAVSPPSPSSSLSSSASTVSSAASSGPSTGASGSGAQRSGSM
+>sp|P48444|COPD_HUMAN Coatomer subunit delta OS=Homo sapiens OX=9606 GN=ARCN1 PE=1 SV=1
+MVLLAAAVCTKAGKAIVSRQFVEMTRTRIEGLLAAFPKLMNTGKQHTFVETESVRYVYQPMEKLYMVLITTKNSNILEDLETLRLFSRVIPEYCRALEENEISEHCFDLIFAFDEIVALGYRENVNLAQIRTFTEMDSHEEKVFRAVRETQEREAKAEMRRKAKELQQARRDAERQGKKAPGFGGFGSSAVSGGSTAAMITETIIETDKPKVAPAPARPSGPSKALKLGAKGKEVDNFVDKLKSEGETIMSSSMGKRTSEATKMHAPPINMESVHMKIEEKITLTCGRDGGLQNMELHGMIMLRISDDKYGRIRLHVENEDKKGVQLQTHPNVDKKLFTAESLIGLKNPEKSFPVNSDVGVLKWRLQTTEESFIPLTINCWPSESGNGCDVNIEYELQEDNLELNDVVITIPLPSGVGAPVIGEIDGEYRHDSRRNTLEWCLPVIDAKNKSGSLEFSIAGQPNDFFPVQVSFVSKKNYCNIQVTKVTQVDGNSPVRFSTETTFLVDKYEIL
+>DECOY_sp|P48444|COPD_HUMAN Coatomer subunit delta OS=Homo sapiens OX=9606 GN=ARCN1 PE=1 SV=1
+LIEYKDVLFTTETSFRVPSNGDVQTVKTVQINCYNKKSVFSVQVPFFDNPQGAISFELSGSKNKADIVPLCWELTNRRSDHRYEGDIEGIVPAGVGSPLPITIVVDNLELNDEQLEYEINVDCGNGSESPWCNITLPIFSEETTQLRWKLVGVDSNVPFSKEPNKLGILSEATFLKKDVNPHTQLQVGKKDENEVHLRIRGYKDDSIRLMIMGHLEMNQLGGDRGCTLTIKEEIKMHVSEMNIPPAHMKTAESTRKGMSSSMITEGESKLKDVFNDVEKGKAGLKLAKSPGSPRAPAPAVKPKDTEIITETIMAATSGGSVASSGFGGFGPAKKGQREADRRAQQLEKAKRRMEAKAEREQTERVARFVKEEHSDMETFTRIQALNVNERYGLAVIEDFAFILDFCHESIENEELARCYEPIVRSFLRLTELDELINSNKTTILVMYLKEMPQYVYRVSETEVFTHQKGTNMLKPFAALLGEIRTRTMEVFQRSVIAKGAKTCVAAALLVM
+>sp|Q9P299|COPZ2_HUMAN Coatomer subunit zeta-2 OS=Homo sapiens OX=9606 GN=COPZ2 PE=2 SV=1
+MQRPEAWPRPHPGEGAAAAQAGGPAPPARAGEPSGLRLQEPSLYTIKAVFILDNDGRRLLAKYYDDTFPSMKEQMVFEKNVFNKTSRTESEIAFFGGMTIVYKNSIDLFLYVVGSSYENELMLMSVLTCLFESLNHMLRKNVEKRWLLENMDGAFLVLDEIVDGGVILESDPQQVIQKVNFRADDGGLTEQSVAQVLQSAKEQIKWSLLK
+>DECOY_sp|Q9P299|COPZ2_HUMAN Coatomer subunit zeta-2 OS=Homo sapiens OX=9606 GN=COPZ2 PE=2 SV=1
+KLLSWKIQEKASQLVQAVSQETLGGDDARFNVKQIVQQPDSELIVGGDVIEDLVLFAGDMNELLWRKEVNKRLMHNLSEFLCTLVSMLMLENEYSSGVVYLFLDISNKYVITMGGFFAIESETRSTKNFVNKEFVMQEKMSPFTDDYYKALLRRGDNDLIFVAKITYLSPEQLRLGSPEGARAPPAPGGAQAAAAGEGPHPRPWAEPRQM
+>sp|Q9Y3A0|COQ4_HUMAN Ubiquinone biosynthesis protein COQ4 homolog, mitochondrial OS=Homo sapiens OX=9606 GN=COQ4 PE=1 SV=3
+MATLLRPVLRRLCGLPGLQRPAAEMPLRARSDGAGPLYSHHLPTSPLQKGLLAAGSAAMALYNPYRHDMVAVLGETTGHRTLKVLRDQMRRDPEGAQILQERPRISTSTLDLGKLQSLPEGSLGREYLRFLDVNRVSPDTRAPTRFVDDEELAYVIQRYREVHDMLHTLLGMPTNILGEIVVKWFEAVQTGLPMCILGAFFGPIRLGAQSLQVLVSELIPWAVQNGRRAPCVLNLYYERRWEQSLRALREELGITAPPMHVQGLA
+>DECOY_sp|Q9Y3A0|COQ4_HUMAN Ubiquinone biosynthesis protein COQ4 homolog, mitochondrial OS=Homo sapiens OX=9606 GN=COQ4 PE=1 SV=3
+ALGQVHMPPATIGLEERLARLSQEWRREYYLNLVCPARRGNQVAWPILESVLVQLSQAGLRIPGFFAGLICMPLGTQVAEFWKVVIEGLINTPMGLLTHLMDHVERYRQIVYALEEDDVFRTPARTDPSVRNVDLFRLYERGLSGEPLSQLKGLDLTSTSIRPREQLIQAGEPDRRMQDRLVKLTRHGTTEGLVAVMDHRYPNYLAMAASGAALLGKQLPSTPLHHSYLPGAGDSRARLPMEAAPRQLGPLGCLRRLVPRLLTAM
+>sp|P31146|COR1A_HUMAN Coronin-1A OS=Homo sapiens OX=9606 GN=CORO1A PE=1 SV=4
+MSRQVVRSSKFRHVFGQPAKADQCYEDVRVSQTTWDSGFCAVNPKFVALICEASGGGAFLVLPLGKTGRVDKNAPTVCGHTAPVLDIAWCPHNDNVIASGSEDCTVMVWEIPDGGLMLPLREPVVTLEGHTKRVGIVAWHTTAQNVLLSAGCDNVIMVWDVGTGAAMLTLGPEVHPDTIYSVDWSRDGGLICTSCRDKRVRIIEPRKGTVVAEKDRPHEGTRPVRAVFVSEGKILTTGFSRMSERQVALWDTKHLEEPLSLQELDTSSGVLLPFFDPDTNIVYLCGKGDSSIRYFEITSEAPFLHYLSMFSSKESQRGMGYMPKRGLEVNKCEIARFYKLHERRCEPIAMTVPRKSDLFQEDLYPPTAGPDPALTAEEWLGGRDAGPLLISLKDGYVPPKSRELRVNRGLDTGRRRAAPEASGTPSSDAVSRLEEEMRKLQATVQELQKRLDRLEETVQAK
+>DECOY_sp|P31146|COR1A_HUMAN Coronin-1A OS=Homo sapiens OX=9606 GN=CORO1A PE=1 SV=4
+KAQVTEELRDLRKQLEQVTAQLKRMEEELRSVADSSPTGSAEPAARRRGTDLGRNVRLERSKPPVYGDKLSILLPGADRGGLWEEATLAPDPGATPPYLDEQFLDSKRPVTMAIPECRREHLKYFRAIECKNVELGRKPMYGMGRQSEKSSFMSLYHLFPAESTIEFYRISSDGKGCLYVINTDPDFFPLLVGSSTDLEQLSLPEELHKTDWLAVQRESMRSFGTTLIKGESVFVARVPRTGEHPRDKEAVVTGKRPEIIRVRKDRCSTCILGGDRSWDVSYITDPHVEPGLTLMAAGTGVDWVMIVNDCGASLLVNQATTHWAVIGVRKTHGELTVVPERLPLMLGGDPIEWVMVTCDESGSAIVNDNHPCWAIDLVPATHGCVTPANKDVRGTKGLPLVLFAGGGSAECILAVFKPNVACFGSDWTTQSVRVDEYCQDAKAPQGFVHRFKSSRVVQRSM
+>sp|Q92828|COR2A_HUMAN Coronin-2A OS=Homo sapiens OX=9606 GN=CORO2A PE=1 SV=2
+MSWHPQYRSSKFRHVFGKPASKENCYDSVPITRSVHDNHFCAVNPHFIAVVTECAGGGAFLVIPLHQTGKLDPHYPKVCGHRGNVLDVKWNPFDDFEIASCSEDATIKIWSIPKQLLTRNLTAYRKELVGHARRVGLVEWHPTAANILFSAGYDYKVMIWNLDTKESVITSPMSTISCHQDVILSMSFNTNGSLLATTCKDRKIRVIDPRAGTVLQEASYKGHRASKVLFLGNLKKLMSTGTSRWNNRQVALWDQDNLSVPLMEEDLDGSSGVLFPFYDADTSMLYVVGKGDGNIRYYEVSADKPHLSYLTEYRSYNPQKGIGVMPKRGLDVSSCEIFRFYKLITTKSLIEPISMIVPRRSESYQEDIYPPTAGAQPSLTAQEWLSGMNRDPILVSLRPGSELLRPHPLPAERPIFNSMAPASPRLLNQTEKLAAEDGWRSSSLLEEKMPRWAAEHRLEEKKTWLTNGFDVFECPPPKTENELLQMFYRQQEEIRRLRELLTQREVQAKQLELEIKNLRMGSEQL
+>DECOY_sp|Q92828|COR2A_HUMAN Coronin-2A OS=Homo sapiens OX=9606 GN=CORO2A PE=1 SV=2
+LQESGMRLNKIELELQKAQVERQTLLERLRRIEEQQRYFMQLLENETKPPPCEFVDFGNTLWTKKEELRHEAAWRPMKEELLSSSRWGDEAALKETQNLLRPSAPAMSNFIPREAPLPHPRLLESGPRLSVLIPDRNMGSLWEQATLSPQAGATPPYIDEQYSESRRPVIMSIPEILSKTTILKYFRFIECSSVDLGRKPMVGIGKQPNYSRYETLYSLHPKDASVEYYRINGDGKGVVYLMSTDADYFPFLVGSSGDLDEEMLPVSLNDQDWLAVQRNNWRSTGTSMLKKLNGLFLVKSARHGKYSAEQLVTGARPDIVRIKRDKCTTALLSGNTNFSMSLIVDQHCSITSMPSTIVSEKTDLNWIMVKYDYGASFLINAATPHWEVLGVRRAHGVLEKRYATLNRTLLQKPISWIKITADESCSAIEFDDFPNWKVDLVNGRHGCVKPYHPDLKGTQHLPIVLFAGGGACETVVAIFHPNVACFHNDHVSRTIPVSDYCNEKSAPKGFVHRFKSSRYQPHWSM
+>sp|P57737|CORO7_HUMAN Coronin-7 OS=Homo sapiens OX=9606 GN=CORO7 PE=1 SV=2
+MNRFRVSKFRHTEARPPRRESWISDIRAGTAPSCRNHIKSSCSLIAFNSDRPGVLGIVPLQGQGEDKRRVAHLGCHSDLVTDLDFSPFDDFLLATGSADRTVKLWRLPGPGQALPSAPGVVLGPEDLPVEVLQFHPTSDGILVSAAGTTVKVWDAAKQQPLTELAAHGDLVQSAVWSRDGALVGTACKDKQLRIFDPRTKPRASQSTQAHENSRDSRLAWMGTWEHLVSTGFNQMREREVKLWDTRFFSSALASLTLDTSLGCLVPLLDPDSGLLVLAGKGERQLYCYEVVPQQPALSPVTQCVLESVLRGAALVPRQALAVMSCEVLRVLQLSDTAIVPIGYHVPRKAVEFHEDLFPDTAGCVPATDPHSWWAGDNQQVQKVSLNPACRPHPSFTSCLVPPAEPLPDTAQPAVMETPVGDADASEGFSSPPSSLTSPSTPSSLGPSLSSTSGIGTSPSLRSLQSLLGPSSKFRHAQGTVLHRDSHITNLKGLNLTTPGESDGFCANKLRVAVPLLSSGGQVAVLELRKPGRLPDTALPTLQNGAAVTDLAWDPFDPHRLAVAGEDARIRLWRVPAEGLEEVLTTPETVLTGHTEKICSLRFHPLAANVLASSSYDLTVRIWDLQAGADRLKLQGHQDQIFSLAWSPDGQQLATVCKDGRVRVYRPRSGPEPLQEGPGPKGGRGARIVWVCDGRCLLVSGFDSQSERQLLLYEAEALAGGPLAVLGLDVAPSTLLPSYDPDTGLVLLTGKGDTRVFLYELLPESPFFLECNSFTSPDPHKGLVLLPKTECDVREVELMRCLRLRQSSLEPVAFRLPRVRKEFFQDDVFPDTAVIWEPVLSAEAWLQGANGQPWLLSLQPPDMSPVSQAPREAPARRAPSSAQYLEEKSDQQKKEELLNAMVAKLGNREDPLPQDSFEGVDEDEWD
+>DECOY_sp|P57737|CORO7_HUMAN Coronin-7 OS=Homo sapiens OX=9606 GN=CORO7 PE=1 SV=2
+DWEDEDVGEFSDQPLPDERNGLKAVMANLLEEKKQQDSKEELYQASSPARRAPAERPAQSVPSMDPPQLSLLWPQGNAGQLWAEASLVPEWIVATDPFVDDQFFEKRVRPLRFAVPELSSQRLRLCRMLEVERVDCETKPLLVLGKHPDPSTFSNCELFFPSEPLLEYLFVRTDGKGTLLVLGTDPDYSPLLTSPAVDLGLVALPGGALAEAEYLLLQRESQSDFGSVLLCRGDCVWVIRAGRGGKPGPGEQLPEPGSRPRYVRVRGDKCVTALQQGDPSWALSFIQDQHGQLKLRDAGAQLDWIRVTLDYSSSALVNAALPHFRLSCIKETHGTLVTEPTTLVEELGEAPVRWLRIRADEGAVALRHPDFPDWALDTVAAGNQLTPLATDPLRGPKRLELVAVQGGSSLLPVAVRLKNACFGDSEGPTTLNLGKLNTIHSDRHLVTGQAHRFKSSPGLLSQLSRLSPSTGIGSTSSLSPGLSSPTSPSTLSSPPSSFGESADADGVPTEMVAPQATDPLPEAPPVLCSTFSPHPRCAPNLSVKQVQQNDGAWWSHPDTAPVCGATDPFLDEHFEVAKRPVHYGIPVIATDSLQLVRLVECSMVALAQRPVLAAGRLVSELVCQTVPSLAPQQPVVEYCYLQREGKGALVLLGSDPDLLPVLCGLSTDLTLSALASSFFRTDWLKVERERMQNFGTSVLHEWTGMWALRSDRSNEHAQTSQSARPKTRPDFIRLQKDKCATGVLAGDRSWVASQVLDGHAALETLPQQKAADWVKVTTGAASVLIGDSTPHFQLVEVPLDEPGLVVGPASPLAQGPGPLRWLKVTRDASGTALLFDDFPSFDLDTVLDSHCGLHAVRRKDEGQGQLPVIGLVGPRDSNFAILSCSSKIHNRCSPATGARIDSIWSERRPPRAETHRFKSVRFRNM
+>sp|O00230|CORT_HUMAN Cortistatin OS=Homo sapiens OX=9606 GN=CORT PE=2 SV=1
+MPLSPGLLLLLLSGATATAALPLEGGPTGRDSEHMQEAAGIRKSSLLTFLAWWFEWTSQASAGPLIGEEAREVARRQEGAPPQQSARRDRMPCRNFFWKTFSSCK
+>DECOY_sp|O00230|CORT_HUMAN Cortistatin OS=Homo sapiens OX=9606 GN=CORT PE=2 SV=1
+KCSSFTKWFFNRCPMRDRRASQQPPAGEQRRAVERAEEGILPGASAQSTWEFWWALFTLLSSKRIGAAEQMHESDRGTPGGELPLAATATAGSLLLLLLGPSLPM
+>sp|Q2UY09|COSA1_HUMAN Collagen alpha-1(XXVIII) chain OS=Homo sapiens OX=9606 GN=COL28A1 PE=2 SV=2
+MWNRYFVFYLLLLSAFTSQTVSGQRKKGPKSNLLARKSDVQGSICFIDIVFIVDSSESSKIALFDKQKDFVDSLSDKIFQLTPGRSLEYDIKLAALQFSSSVQIDPPFSSWKDLQTFKQKVKSMNLIGQGTFSYYAISNATRLLKREGRKDGVKVVLLMTDGIDHPKNPDVQSISEDARISGISFITIALSTVVNEAKLRLISGDSSSEPTLLLSDPTLVDKIQDRLDILFEKKCERKICECEKGDPGDPGPPGTHGNPGIKGERGPKGNPGNAQKGEAGERGPGGIPGYKGDKGERGECGKPGIKGDKGSPGPYGPKGPRGIQGITGPPGDPGPKGFQGNKGEPGPPGPYGSPGAPGIGQQGIKGERGQEGRPGAPGPIGVGEPGQPGPRGPEGVPGERGLPGEGFPGPKGEKGSEGPTGPQGLQGLSIKGEKGDIGPVGPQGPMGIPGIGSQGEQGIQGPIGPPGPQGPAGQGLPGSKGEVGQMGPTGPRGPVGIGVQGPKGEPGSIGLPGQPGVPGEDGAAGKKGEAGLPGARGPEGPPGKGQPGPKGDEGKKGSKGNQGQRGLPGPEGPKGEPGIMGPFGMPGTSIPGPPGPKGDRGGPGIPGFKGEPGLSIRGPKGVQGPRGPVGAPGLKGDGYPGVPGPRGLPGPPGPMGLRGVGDTGAKGEPGVRGPPGPSGPRGVGTQGPKGDTGQKGLPGPPGPPGYGSQGIKGEQGPQGFPGPKGTMGHGLPGQKGEHGERGDVGKKGDKGEIGEPGSPGKQGLQGPKGDLGLTKEEIIKLITEICGCGPKCKETPLELVFVIDSSESVGPENFQIIKNFVKTMADRVALDLATARIGIINYSHKVEKVANLKQFSSKDDFKLAVDNMQYLGEGTYTATALQAANDMFEDARPGVKKVALVITDGQTDSRDKEKLTEVVKNASDTNVEIFVIGVVKKNDPNFEIFHKEMNLIATDPEHVYQFDDFFTLQDTLKQKLFQKICEDFDSYLVQIFGSSSPQPGFGMSGEELSESTPEPQKEISESLSVTRDQDEDDKAPEPTWADDLPATTSSEATTTPRPLLSTPVDGAEDPRCLEALKPGNCGEYVVRWYYDKQVNSCARFWFSGCNGSGNRFNSEKECQETCIQG
+>DECOY_sp|Q2UY09|COSA1_HUMAN Collagen alpha-1(XXVIII) chain OS=Homo sapiens OX=9606 GN=COL28A1 PE=2 SV=2
+GQICTEQCEKESNFRNGSGNCGSFWFRACSNVQKDYYWRVVYEGCNGPKLAELCRPDEAGDVPTSLLPRPTTTAESSTTAPLDDAWTPEPAKDDEDQDRTVSLSESIEKQPEPTSESLEEGSMGFGPQPSSSGFIQVLYSDFDECIKQFLKQKLTDQLTFFDDFQYVHEPDTAILNMEKHFIEFNPDNKKVVGIVFIEVNTDSANKVVETLKEKDRSDTQGDTIVLAVKKVGPRADEFMDNAAQLATATYTGEGLYQMNDVALKFDDKSSFQKLNAVKEVKHSYNIIGIRATALDLAVRDAMTKVFNKIIQFNEPGVSESSDIVFVLELPTEKCKPGCGCIETILKIIEEKTLGLDGKPGQLGQKGPSGPEGIEGKDGKKGVDGREGHEGKQGPLGHGMTGKPGPFGQPGQEGKIGQSGYGPPGPPGPLGKQGTDGKPGQTGVGRPGSPGPPGRVGPEGKAGTDGVGRLGMPGPPGPLGRPGPVGPYGDGKLGPAGVPGRPGQVGKPGRISLGPEGKFGPIGPGGRDGKPGPPGPISTGPMGFPGMIGPEGKPGEPGPLGRQGQNGKSGKKGEDGKPGPQGKGPPGEPGRAGPLGAEGKKGAAGDEGPVGPQGPLGISGPEGKPGQVGIGVPGRPGTPGMQGVEGKSGPLGQGAPGQPGPPGIPGQIGQEGQSGIGPIGMPGQPGVPGIDGKEGKISLGQLGQPGTPGESGKEGKPGPFGEGPLGREGPVGEPGRPGPQGPEGVGIPGPAGPRGEQGREGKIGQQGIGPAGPSGYPGPPGPEGKNGQFGKPGPDGPPGTIGQIGRPGKPGYPGPSGKDGKIGPKGCEGREGKDGKYGPIGGPGREGAEGKQANGPNGKPGREGKIGPNGHTGPPGPDGPDGKECECIKRECKKEFLIDLRDQIKDVLTPDSLLLTPESSSDGSILRLKAENVVTSLAITIFSIGSIRADESISQVDPNKPHDIGDTMLLVVKVGDKRGERKLLRTANSIAYYSFTGQGILNMSKVKQKFTQLDKWSSFPPDIQVSSSFQLAALKIDYELSRGPTLQFIKDSLSDVFDKQKDFLAIKSSESSDVIFVIDIFCISGQVDSKRALLNSKPGKKRQGSVTQSTFASLLLLYFVFYRNWM
+>sp|Q9P0S2|COX16_HUMAN Cytochrome c oxidase assembly protein COX16 homolog, mitochondrial OS=Homo sapiens OX=9606 GN=COX16 PE=1 SV=1
+MFAPAVMRAFRKNKTLGYGVPMLLLIVGGSFGLREFSQIRYDAVKSKMDPELEKKLKENKISLESEYEKIKDSKFDDWKNIRGPRPWEDPDLLQGRNPESLKTKTT
+>DECOY_sp|Q9P0S2|COX16_HUMAN Cytochrome c oxidase assembly protein COX16 homolog, mitochondrial OS=Homo sapiens OX=9606 GN=COX16 PE=1 SV=1
+TTKTKLSEPNRGQLLDPDEWPRPGRINKWDDFKSDKIKEYESELSIKNEKLKKELEPDMKSKVADYRIQSFERLGFSGGVILLLMPVGYGLTKNKRFARMVAPAFM
+>sp|Q14061|COX17_HUMAN Cytochrome c oxidase copper chaperone OS=Homo sapiens OX=9606 GN=COX17 PE=1 SV=2
+MPGLVDSNPAPPESQEKKPLKPCCACPETKKARDACIIEKGEEHCGHLIEAHKECMRALGFKI
+>DECOY_sp|Q14061|COX17_HUMAN Cytochrome c oxidase copper chaperone OS=Homo sapiens OX=9606 GN=COX17 PE=1 SV=2
+IKFGLARMCEKHAEILHGCHEEGKEIICADRAKKTEPCACCPKLPKKEQSEPPAPNSDVLGPM
+>sp|Q96GX8|CP074_HUMAN Uncharacterized protein C16orf74 OS=Homo sapiens OX=9606 GN=C16orf74 PE=1 SV=2
+MGLKMSCLKGFQMCVSSSSSSHDEAPVLNDKHLDVPDIIITPPTPTGMMLPRDLGSTVWLDETGSCPDDGEIDPEA
+>DECOY_sp|Q96GX8|CP074_HUMAN Uncharacterized protein C16orf74 OS=Homo sapiens OX=9606 GN=C16orf74 PE=1 SV=2
+AEPDIEGDDPCSGTEDLWVTSGLDRPLMMGTPTPPTIIIDPVDLHKDNLVPAEDHSSSSSSVCMQFGKLCSMKLGM
+>sp|Q6ZW13|CP086_HUMAN Uncharacterized protein C16orf86 OS=Homo sapiens OX=9606 GN=C16orf86 PE=2 SV=2
+MASAGAERRPGVQEATVVGQGQLTEEPGSAQTSECPVAGDQFLVPAHEARGTQSEDQRPAGAASESELQEEGPKLGEERPKPHAGALEERGPRPVVSIVRPRHGPKRKPVKSLSLPGLRAHLKAEAELPPKLPLQEEEPEDSQSEPSPSAKQHKKAKKRKSLGAPVLHAVASMVSAPLETLRLERKAQRLRPLYQYVNYCNPELNQAGKGDGEAEVEAEAELAPVPEEGGVEQLQALLPLAGELGPGLALPCPSPLVTPTHALAPLGEEAGEEPGGLPSLGVSDHKAEVDKSTQVDIDKMLSVCTAPLVPPLSPQYK
+>DECOY_sp|Q6ZW13|CP086_HUMAN Uncharacterized protein C16orf86 OS=Homo sapiens OX=9606 GN=C16orf86 PE=2 SV=2
+KYQPSLPPVLPATCVSLMKDIDVQTSKDVEAKHDSVGLSPLGGPEEGAEEGLPALAHTPTVLPSPCPLALGPGLEGALPLLAQLQEVGGEEPVPALEAEAEVEAEGDGKGAQNLEPNCYNVYQYLPRLRQAKRELRLTELPASVMSAVAHLVPAGLSKRKKAKKHQKASPSPESQSDEPEEEQLPLKPPLEAEAKLHARLGPLSLSKVPKRKPGHRPRVISVVPRPGREELAGAHPKPREEGLKPGEEQLESESAAGAPRQDESQTGRAEHAPVLFQDGAVPCESTQASGPEETLQGQGVVTAEQVGPRREAGASAM
+>sp|Q6PH81|CP087_HUMAN UPF0547 protein C16orf87 OS=Homo sapiens OX=9606 GN=C16orf87 PE=1 SV=1
+MSATRAKKVKMATKSCPECDQQVPVACKSCPCGYIFISRKLLNAKHSEKSPPSTENKHEAKRRRTERVRREKINSTVNKDLENRKRSRSNSHSDHIRRGRGRPKSASAKKHEEEREKQEKEIDIYANLSDEKAFVFSVALAEINRKIINQRLIL
+>DECOY_sp|Q6PH81|CP087_HUMAN UPF0547 protein C16orf87 OS=Homo sapiens OX=9606 GN=C16orf87 PE=1 SV=1
+LILRQNIIKRNIEALAVSFVFAKEDSLNAYIDIEKEQKEREEEHKKASASKPRGRGRRIHDSHSNSRSRKRNELDKNVTSNIKERRVRETRRRKAEHKNETSPPSKESHKANLLKRSIFIYGCPCSKCAVPVQQDCEPCSKTAMKVKKARTASM
+>sp|Q6UX73|CP089_HUMAN UPF0764 protein C16orf89 OS=Homo sapiens OX=9606 GN=C16orf89 PE=1 SV=2
+MASLGLLLLLLLTALPPLWSSSLPGLDTAESKATIADLILSALERATVFLEQRLPEINLDGMVGVRVLEEQLKSVREKWAQEPLLQPLSLRVGMLGEKLEAAIQRSLHYLKLSDPKYLREFQLTLQPGFWKLPHAWIHTDASLVYPTFGPQDSFSEERSDVCLVQLLGTGTDSSEPCGLSDLCRSLMTKPGCSGYCLSHQLLFFLWARMRGCTQGPLQQSQDYINLFCANMMDLNRRAEAIGYAYPTRDIFMENIMFCGMGGFSDFYKLRWLEAILSWQKQQEGCFGEPDAEDEELSKAIQYQQHFSRRVKRREKQFPDSRSVAQAGVQWRNLGSLQPLPPGFKQFSCLILPSSWDYRSVPPYLANFYIFLVETGFHHVAHAGLELLISRDPPTSGSQSVGL
+>DECOY_sp|Q6UX73|CP089_HUMAN UPF0764 protein C16orf89 OS=Homo sapiens OX=9606 GN=C16orf89 PE=1 SV=2
+LGVSQSGSTPPDRSILLELGAHAVHHFGTEVLFIYFNALYPPVSRYDWSSPLILCSFQKFGPPLPQLSGLNRWQVGAQAVSRSDPFQKERRKVRRSFHQQYQIAKSLEEDEADPEGFCGEQQKQWSLIAELWRLKYFDSFGGMGCFMINEMFIDRTPYAYGIAEARRNLDMMNACFLNIYDQSQQLPGQTCGRMRAWLFFLLQHSLCYGSCGPKTMLSRCLDSLGCPESSDTGTGLLQVLCVDSREESFSDQPGFTPYVLSADTHIWAHPLKWFGPQLTLQFERLYKPDSLKLYHLSRQIAAELKEGLMGVRLSLPQLLPEQAWKERVSKLQEELVRVGVMGDLNIEPLRQELFVTARELASLILDAITAKSEATDLGPLSSSWLPPLATLLLLLLLGLSAM
+>sp|Q96LL3|CP092_HUMAN Uncharacterized protein C16orf92 OS=Homo sapiens OX=9606 GN=C16orf92 PE=2 SV=1
+MGAGVGVAGCTRGHRNWVPSQLPPREIKAGVSLAVVTEFAWVLAPRPKRATASALGTESPRFLDRPDFFDYPDSDQARLLAVAQFIGEKPIVFINSGSSPGLFHHILVGLLVVAFFFLLFQFCTHINFQKGA
+>DECOY_sp|Q96LL3|CP092_HUMAN Uncharacterized protein C16orf92 OS=Homo sapiens OX=9606 GN=C16orf92 PE=2 SV=1
+AGKQFNIHTCFQFLLFFFAVVLLGVLIHHFLGPSSGSNIFVIPKEGIFQAVALLRAQDSDPYDFFDPRDLFRPSETGLASATARKPRPALVWAFETVVALSVGAKIERPPLQSPVWNRHGRTCGAVGVGAGM
+>sp|P05108|CP11A_HUMAN Cholesterol side-chain cleavage enzyme, mitochondrial OS=Homo sapiens OX=9606 GN=CYP11A1 PE=1 SV=2
+MLAKGLPPRSVLVKGCQTFLSAPREGLGRLRVPTGEGAGISTRSPRPFNEIPSPGDNGWLNLYHFWRETGTHKVHLHHVQNFQKYGPIYREKLGNVESVYVIDPEDVALLFKSEGPNPERFLIPPWVAYHQYYQRPIGVLLKKSAAWKKDRVALNQEVMAPEATKNFLPLLDAVSRDFVSVLHRRIKKAGSGNYSGDISDDLFRFAFESITNVIFGERQGMLEEVVNPEAQRFIDAIYQMFHTSVPMLNLPPDLFRLFRTKTWKDHVAAWDVIFSKADIYTQNFYWELRQKGSVHHDYRGILYRLLGDSKMSFEDIKANVTEMLAGGVDTTSMTLQWHLYEMARNLKVQDMLRAEVLAARHQAQGDMATMLQLVPLLKASIKETLRLHPISVTLQRYLVNDLVLRDYMIPAKTLVQVAIYALGREPTFFFDPENFDPTRWLSKDKNITYFRNLGFGWGVRQCLGRRIAELEMTIFLINMLENFRVEIQHLSDVGTTFNLILMPEKPISFTFWPFNQEATQQ
+>DECOY_sp|P05108|CP11A_HUMAN Cholesterol side-chain cleavage enzyme, mitochondrial OS=Homo sapiens OX=9606 GN=CYP11A1 PE=1 SV=2
+QQTAEQNFPWFTFSIPKEPMLILNFTTGVDSLHQIEVRFNELMNILFITMELEAIRRGLCQRVGWGFGLNRFYTINKDKSLWRTPDFNEPDFFFTPERGLAYIAVQVLTKAPIMYDRLVLDNVLYRQLTVSIPHLRLTEKISAKLLPVLQLMTAMDGQAQHRAALVEARLMDQVKLNRAMEYLHWQLTMSTTDVGGALMETVNAKIDEFSMKSDGLLRYLIGRYDHHVSGKQRLEWYFNQTYIDAKSFIVDWAAVHDKWTKTRFLRFLDPPLNLMPVSTHFMQYIADIFRQAEPNVVEELMGQREGFIVNTISEFAFRFLDDSIDGSYNGSGAKKIRRHLVSVFDRSVADLLPLFNKTAEPAMVEQNLAVRDKKWAASKKLLVGIPRQYYQHYAVWPPILFREPNPGESKFLLAVDEPDIVYVSEVNGLKERYIPGYKQFNQVHHLHVKHTGTERWFHYLNLWGNDGPSPIENFPRPSRTSIGAGEGTPVRLRGLGERPASLFTQCGKVLVSRPPLGKALM
+>sp|P20853|CP2A7_HUMAN Cytochrome P450 2A7 OS=Homo sapiens OX=9606 GN=CYP2A7 PE=2 SV=2
+MLASGLLLVALLACLTVMVLMSVWQQRKSRGKLPPGPTPLPFIGNYLQLNTEHICDSIMKFSECYGPVFTIHLGPRRVVVLCGHDAVREALVDQAEEFSGRGEQATFDWVFKGYGVAFSNGERAKQLLRFAIATLRDFGVGKRGIEERIQEESGFLIEAIRSTHGANIDPTFFLSRTVSNVISSIVFGDRFDYEDKEFLSLLSMMLGIFQFTSTSTGQLYEMFSSVMKHLPGPQQQAFKLLQGLEDFIAKKVEHNQRTLDPNSPQDFIDSFLIHMQEEEKNPNTEFYLKNLMMSTLNLFIAGTETVSTTLRYGFLLLMKHPEVEAKVHEEIDRVIGKNRQPKFEDRTKMPYMEAVIHEIQRFGDVIPMSLARRVKKDTKFRDFFLPKGTEVFPMLGSVLRDPSFFSNPQDFNPQHFLDDKGQFKKSDAFVPFSIGKRNCFGEGLARMELFLFFTTVMQNFRLKSSQSPKDIDVSPKHVVFATIPRNYTMSFLPR
+>DECOY_sp|P20853|CP2A7_HUMAN Cytochrome P450 2A7 OS=Homo sapiens OX=9606 GN=CYP2A7 PE=2 SV=2
+RPLFSMTYNRPITAFVVHKPSVDIDKPSQSSKLRFNQMVTTFFLFLEMRALGEGFCNRKGISFPVFADSKKFQGKDDLFHQPNFDQPNSFFSPDRLVSGLMPFVETGKPLFFDRFKTDKKVRRALSMPIVDGFRQIEHIVAEMYPMKTRDEFKPQRNKGIVRDIEEHVKAEVEPHKMLLLFGYRLTTSVTETGAIFLNLTSMMLNKLYFETNPNKEEEQMHILFSDIFDQPSNPDLTRQNHEVKKAIFDELGQLLKFAQQQPGPLHKMVSSFMEYLQGTSTSTFQFIGLMMSLLSLFEKDEYDFRDGFVISSIVNSVTRSLFFTPDINAGHTSRIAEILFGSEEQIREEIGRKGVGFDRLTAIAFRLLQKAREGNSFAVGYGKFVWDFTAQEGRGSFEEAQDVLAERVADHGCLVVVRRPGLHITFVPGYCESFKMISDCIHETNLQLYNGIFPLPTPGPPLKGRSKRQQWVSMLVMVTLCALLAVLLLGSALM
+>sp|A0A087X1C5|CP2D7_HUMAN Putative cytochrome P450 2D7 OS=Homo sapiens OX=9606 GN=CYP2D7 PE=5 SV=1
+MGLEALVPLAMIVAIFLLLVDLMHRHQRWAARYPPGPLPLPGLGNLLHVDFQNTPYCFDQLRRRFGDVFSLQLAWTPVVVLNGLAAVREAMVTRGEDTADRPPAPIYQVLGFGPRSQGVILSRYGPAWREQRRFSVSTLRNLGLGKKSLEQWVTEEAACLCAAFADQAGRPFRPNGLLDKAVSNVIASLTCGRRFEYDDPRFLRLLDLAQEGLKEESGFLREVLNAVPVLPHIPALAGKVLRFQKAFLTQLDELLTEHRMTWDPAQPPRDLTEAFLAKKEKAKGSPESSFNDENLRIVVGNLFLAGMVTTSTTLAWGLLLMILHLDVQRGRRVSPGCPIVGTHVCPVRVQQEIDDVIGQVRRPEMGDQAHMPCTTAVIHEVQHFGDIVPLGVTHMTSRDIEVQGFRIPKGTTLITNLSSVLKDEAVWKKPFRFHPEHFLDAQGHFVKPEAFLPFSAGRRACLGEPLARMELFLFFTSLLQHFSFSVAAGQPRPSHSRVVSFLVTPSPYELCAVPR
+>DECOY_sp|A0A087X1C5|CP2D7_HUMAN Putative cytochrome P450 2D7 OS=Homo sapiens OX=9606 GN=CYP2D7 PE=5 SV=1
+RPVACLEYPSPTVLFSVVRSHSPRPQGAAVSFSFHQLLSTFFLFLEMRALPEGLCARRGASFPLFAEPKVFHGQADLFHEPHFRFPKKWVAEDKLVSSLNTILTTGKPIRFGQVEIDRSTMHTVGLPVIDGFHQVEHIVATTCPMHAQDGMEPRRVQGIVDDIEQQVRVPCVHTGVIPCGPSVRRGRQVDLHLIMLLLGWALTTSTTVMGALFLNGVVIRLNEDNFSSEPSGKAKEKKALFAETLDRPPQAPDWTMRHETLLEDLQTLFAKQFRLVKGALAPIHPLVPVANLVERLFGSEEKLGEQALDLLRLFRPDDYEFRRGCTLSAIVNSVAKDLLGNPRFPRGAQDAFAACLCAAEETVWQELSKKGLGLNRLTSVSFRRQERWAPGYRSLIVGQSRPGFGLVQYIPAPPRDATDEGRTVMAERVAALGNLVVVPTWALQLSFVDGFRRRLQDFCYPTNQFDVHLLNGLGPLPLPGPPYRAAWRQHRHMLDVLLLFIAVIMALPVLAELGM
+>sp|Q9HB55|CP343_HUMAN Cytochrome P450 3A43 OS=Homo sapiens OX=9606 GN=CYP3A43 PE=1 SV=1
+MDLIPNFAMETWVLVATSLVLLYIYGTHSHKLFKKLGIPGPTPLPFLGTILFYLRGLWNFDRECNEKYGEMWGLYEGQQPMLVIMDPDMIKTVLVKECYSVFTNQMPLGPMGFLKSALSFAEDEEWKRIRTLLSPAFTSVKFKEMVPIISQCGDMLVRSLRQEAENSKSINLKDFFGAYTMDVITGTLFGVNLDSLNNPQDPFLKNMKKLLKLDFLDPFLLLISLFPFLTPVFEALNIGLFPKDVTHFLKNSIERMKESRLKDKQKHRVDFFQQMIDSQNSKETKSHKALSDLELVAQSIIIIFAAYDTTSTTLPFIMYELATHPDVQQKLQEEIDAVLPNKAPVTYDALVQMEYLDMVVNETLRLFPVVSRVTRVCKKDIEINGVFIPKGLAVMVPIYALHHDPKYWTEPEKFCPERFSKKNKDSIDLYRYIPFGAGPRNCIGMRFALTNIKLAVIRALQNFSFKPCKETQIPLKLDNLPILQPEKPIVLKVHLRDGITSGP
+>DECOY_sp|Q9HB55|CP343_HUMAN Cytochrome P450 3A43 OS=Homo sapiens OX=9606 GN=CYP3A43 PE=1 SV=1
+PGSTIGDRLHVKLVIPKEPQLIPLNDLKLPIQTEKCPKFSFNQLARIVALKINTLAFRMGICNRPGAGFPIYRYLDISDKNKKSFREPCFKEPETWYKPDHHLAYIPVMVALGKPIFVGNIEIDKKCVRTVRSVVPFLRLTENVVMDLYEMQVLADYTVPAKNPLVADIEEQLKQQVDPHTALEYMIFPLTTSTTDYAAFIIIISQAVLELDSLAKHSKTEKSNQSDIMQQFFDVRHKQKDKLRSEKMREISNKLFHTVDKPFLGINLAEFVPTLFPFLSILLLFPDLFDLKLLKKMNKLFPDQPNNLSDLNVGFLTGTIVDMTYAGFFDKLNISKSNEAEQRLSRVLMDGCQSIIPVMEKFKVSTFAPSLLTRIRKWEEDEAFSLASKLFGMPGLPMQNTFVSYCEKVLVTKIMDPDMIVLMPQQGEYLGWMEGYKENCERDFNWLGRLYFLITGLFPLPTPGPIGLKKFLKHSHTGYIYLLVLSTAVLVWTEMAFNPILDM
+>sp|Q9UNU6|CP8B1_HUMAN 7-alpha-hydroxycholest-4-en-3-one 12-alpha-hydroxylase OS=Homo sapiens OX=9606 GN=CYP8B1 PE=1 SV=2
+MVLWGPVLGALLVVIAGYLCLPGMLRQRRPWEPPLDKGTVPWLGHAMAFRKNMFEFLKRMRTKHGDVFTVQLGGQYFTFVMDPLSFGSILKDTQRKLDFGQYAKKLVLKVFGYRSVQGDHEMIHSASTKHLRGDGLKDLNETMLDSLSFVMLTSKGWSLDASCWHEDSLFRFCYYILFTAGYLSLFGYTKDKEQDLLQAGELFMEFRKFDLLFPRFVYSLLWPREWLEVGRLQRLFHKMLSVSHSQEKEGISNWLGNMLQFLREQGVPSAMQDKFNFMMLWASQGNTGPTSFWALLYLLKHPEAIRAVREEATQVLGEARLETKQSFAFKLGALQHTPVLDSVVEETLRLRAAPTLLRLVHEDYTLKMSSGQEYLFRHGDILALFPYLSVHMDPDIHPEPTVFKYDRFLNPNGSRKVDFFKTGKKIHHYTMPWGSGVSICPGRFFALSEVKLFILLMVTHFDLELVDPDTPLPHVDPQRWGFGTMQPSHDVRFRYRLHPTE
+>DECOY_sp|Q9UNU6|CP8B1_HUMAN 7-alpha-hydroxycholest-4-en-3-one 12-alpha-hydroxylase OS=Homo sapiens OX=9606 GN=CYP8B1 PE=1 SV=2
+ETPHLRYRFRVDHSPQMTGFGWRQPDVHPLPTDPDVLELDFHTVMLLIFLKVESLAFFRGPCISVGSGWPMTYHHIKKGTKFFDVKRSGNPNLFRDYKFVTPEPHIDPDMHVSLYPFLALIDGHRFLYEQGSSMKLTYDEHVLRLLTPAARLRLTEEVVSDLVPTHQLAGLKFAFSQKTELRAEGLVQTAEERVARIAEPHKLLYLLAWFSTPGTNGQSAWLMMFNFKDQMASPVGQERLFQLMNGLWNSIGEKEQSHSVSLMKHFLRQLRGVELWERPWLLSYVFRPFLLDFKRFEMFLEGAQLLDQEKDKTYGFLSLYGATFLIYYCFRFLSDEHWCSADLSWGKSTLMVFSLSDLMTENLDKLGDGRLHKTSASHIMEHDGQVSRYGFVKLVLKKAYQGFDLKRQTDKLISGFSLPDMVFTFYQGGLQVTFVDGHKTRMRKLFEFMNKRFAMAHGLWPVTGKDLPPEWPRRQRLMGPLCLYGAIVVLLAGLVPGWLVM
+>sp|Q6FI81|CPIN1_HUMAN Anamorsin OS=Homo sapiens OX=9606 GN=CIAPIN1 PE=1 SV=2
+MADFGISAGQFVAVVWDKSSPVEALKGLVDKLQALTGNEGRVSVENIKQLLQSAHKESSFDIILSGLVPGSTTLHSAEILAEIARILRPGGCLFLKEPVETAVDNNSKVKTASKLCSALTLSGLVEVKELQREPLTPEEVQSVREHLGHESDNLLFVQITGKKPNFEVGSSRQLKLSITKKSSPSVKPAVDPAAAKLWTLSANDMEDDSMDLIDSDELLDPEDLKKPDPASLRAASCGEGKKRKACKNCTCGLAEELEKEKSREQMSSQPKSACGNCYLGDAFRCASCPYLGMPAFKPGEKVLLSDSNLHDA
+>DECOY_sp|Q6FI81|CPIN1_HUMAN Anamorsin OS=Homo sapiens OX=9606 GN=CIAPIN1 PE=1 SV=2
+ADHLNSDSLLVKEGPKFAPMGLYPCSACRFADGLYCNGCASKPQSSMQERSKEKELEEALGCTCNKCAKRKKGEGCSAARLSAPDPKKLDEPDLLEDSDILDMSDDEMDNASLTWLKAAAPDVAPKVSPSSKKTISLKLQRSSGVEFNPKKGTIQVFLLNDSEHGLHERVSQVEEPTLPERQLEKVEVLGSLTLASCLKSATKVKSNNDVATEVPEKLFLCGGPRLIRAIEALIEASHLTTSGPVLGSLIIDFSSEKHASQLLQKINEVSVRGENGTLAQLKDVLGKLAEVPSSKDWVVAVFQGASIGFDAM
+>sp|O14810|CPLX1_HUMAN Complexin-1 OS=Homo sapiens OX=9606 GN=CPLX1 PE=1 SV=1
+MEFVMKQALGGATKDMGKMLGGDEEKDPDAAKKEEERQEALRQAEEERKAKYAKMEAEREAVRQGIRDKYGIKKKEEREAEAQAAMEANSEGSLTRPKKAIPPGCGDEVEEEDESILDTVIKYLPGPLQDMLKK
+>DECOY_sp|O14810|CPLX1_HUMAN Complexin-1 OS=Homo sapiens OX=9606 GN=CPLX1 PE=1 SV=1
+KKLMDQLPGPLYKIVTDLISEDEEEVEDGCGPPIAKKPRTLSGESNAEMAAQAEAEREEKKKIGYKDRIGQRVAEREAEMKAYKAKREEEAQRLAEQREEEKKAADPDKEEDGGLMKGMDKTAGGLAQKMVFEM
+>sp|Q6PUV4|CPLX2_HUMAN Complexin-2 OS=Homo sapiens OX=9606 GN=CPLX2 PE=2 SV=2
+MDFVMKQALGGATKDMGKMLGGEEEKDPDAQKKEEERQEALRQQEEERKAKHARMEAEREKVRQQIRDKYGLKKKEEKEAEEKAALEQPCEGSLTRPKKAIPAGCGDEEEEEEESILDTVLKYLPGPLQDMFKK
+>DECOY_sp|Q6PUV4|CPLX2_HUMAN Complexin-2 OS=Homo sapiens OX=9606 GN=CPLX2 PE=2 SV=2
+KKFMDQLPGPLYKLVTDLISEEEEEEEDGCGAPIAKKPRTLSGECPQELAAKEEAEKEEKKKLGYKDRIQQRVKEREAEMRAHKAKREEEQQRLAEQREEEKKQADPDKEEEGGLMKGMDKTAGGLAQKMVFDM
+>sp|P04632|CPNS1_HUMAN Calpain small subunit 1 OS=Homo sapiens OX=9606 GN=CAPNS1 PE=1 SV=1
+MFLVNSFLKGGGGGGGGGGGLGGGLGNVLGGLISGAGGGGGGGGGGGGGGGGGGGGTAMRILGGVISAISEAAAQYNPEPPPPRTHYSNIEANESEEVRQFRRLFAQLAGDDMEVSATELMNILNKVVTRHPDLKTDGFGIDTCRSMVAVMDSDTTGKLGFEEFKYLWNNIKRWQAIYKQFDTDRSGTICSSELPGAFEAAGFHLNEHLYNMIIRRYSDESGNMDFDNFISCLVRLDAMFRAFKSLDKDGTGQIQVNIQEWLQLTMYS
+>DECOY_sp|P04632|CPNS1_HUMAN Calpain small subunit 1 OS=Homo sapiens OX=9606 GN=CAPNS1 PE=1 SV=1
+SYMTLQLWEQINVQIQGTGDKDLSKFARFMADLRVLCSIFNDFDMNGSEDSYRRIIMNYLHENLHFGAAEFAGPLESSCITGSRDTDFQKYIAQWRKINNWLYKFEEFGLKGTTDSDMVAVMSRCTDIGFGDTKLDPHRTVVKNLINMLETASVEMDDGALQAFLRRFQRVEESENAEINSYHTRPPPPEPNYQAAAESIASIVGGLIRMATGGGGGGGGGGGGGGGGGGGGAGSILGGLVNGLGGGLGGGGGGGGGGGKLFSNVLFM
+>sp|Q96L46|CPNS2_HUMAN Calpain small subunit 2 OS=Homo sapiens OX=9606 GN=CAPNS2 PE=2 SV=2
+MFLAKALLEGADRGLGEALGGLFGGGGQRREGGGRNIGGIVGGIVNFISEAAAAQYTPEPPPTQQHFTSVEASESEEVRRFRQQFTQLAGPDMEVGATDLMNILNKVLSKHKDLKTDGFSLDTCRSIVSVMDSDTTGKLGFEEFKYLWNNIKKWQCVYKQYDRDHSGSLGSSQLRGALQAAGFQLNEQLYQMIVRRYANEDGDMDFNNFISCLVRLDAMFRAFKSLDRDRDGLIQVSIKEWLQLTMYS
+>DECOY_sp|Q96L46|CPNS2_HUMAN Calpain small subunit 2 OS=Homo sapiens OX=9606 GN=CAPNS2 PE=2 SV=2
+SYMTLQLWEKISVQILGDRDRDLSKFARFMADLRVLCSIFNNFDMDGDENAYRRVIMQYLQENLQFGAAQLAGRLQSSGLSGSHDRDYQKYVCQWKKINNWLYKFEEFGLKGTTDSDMVSVISRCTDLSFGDTKLDKHKSLVKNLINMLDTAGVEMDPGALQTFQQRFRRVEESESAEVSTFHQQTPPPEPTYQAAAAESIFNVIGGVIGGINRGGGERRQGGGGFLGGLAEGLGRDAGELLAKALFM
+>sp|Q9BRF8|CPPED_HUMAN Serine/threonine-protein phosphatase CPPED1 OS=Homo sapiens OX=9606 GN=CPPED1 PE=1 SV=3
+MSAAEAGGVFHRARGRTLAAFPAEKESEWKGPFYFILGADPQFGLIKAWSTGDCDNGGDEWEQEIRLTEQAVQAINKLNPKPKFFVLCGDLIHAMPGKPWRTEQTEDLKRVLRAVDRAIPLVLVSGNHDIGNTPTAETVEEFCRTWGDDYFSFWVGGVLFLVLNSQFYENPSKCPSLKQAQDQWLDEQLSIARQRHCQHAIVFQHIPLFLESIDEDDDYYFNLSKSTRKKLADKFIHAGVKVVFSGHYHRNAGGTYQNLDMVVSSAIGCQLGRDPHGLRVVVVTAEKIVHRYYSLDELSEKGIEDDLMDLIKKK
+>DECOY_sp|Q9BRF8|CPPED_HUMAN Serine/threonine-protein phosphatase CPPED1 OS=Homo sapiens OX=9606 GN=CPPED1 PE=1 SV=3
+KKKILDMLDDEIGKESLEDLSYYRHVIKEATVVVVRLGHPDRGLQCGIASSVVMDLNQYTGGANRHYHGSFVVKVGAHIFKDALKKRTSKSLNFYYDDDEDISELFLPIHQFVIAHQCHRQRAISLQEDLWQDQAQKLSPCKSPNEYFQSNLVLFLVGGVWFSFYDDGWTRCFEEVTEATPTNGIDHNGSVLVLPIARDVARLVRKLDETQETRWPKGPMAHILDGCLVFFKPKPNLKNIAQVAQETLRIEQEWEDGGNDCDGTSWAKILGFQPDAGLIFYFPGKWESEKEAPFAALTRGRARHFVGGAEAASM
+>sp|Q16630|CPSF6_HUMAN Cleavage and polyadenylation specificity factor subunit 6 OS=Homo sapiens OX=9606 GN=CPSF6 PE=1 SV=2
+MADGVDHIDIYADVGEEFNQEAEYGGHDQIDLYDDVISPSANNGDAPEDRDYMDTLPPTVGDDVGKGAAPNVVYTYTGKRIALYIGNLTWWTTDEDLTEAVHSLGVNDILEIKFFENRANGQSKGFALVGVGSEASSKKLMDLLPKRELHGQNPVVTPCNKQFLSQFEMQSRKTTQSGQMSGEGKAGPPGGSSRAAFPQGGRGRGRFPGAVPGGDRFPGPAGPGGPPPPFPAGQTPPRPPLGPPGPPGPPGPPPPGQVLPPPLAGPPNRGDRPPPPVLFPGQPFGQPPLGPLPPGPPPPVPGYGPPPGPPPPQQGPPPPPGPFPPRPPGPLGPPLTLAPPPHLPGPPPGAPPPAPHVNPAFFPPPTNSGMPTSDSRGPPPTDPYGRPPPYDRGDYGPPGREMDTARTPLSEAEFEEIMNRNRAISSSAISRAVSDASAGDYGSAIETLVTAISLIKQSKVSADDRCKVLISSLQDCLHGIESKSYGSGSRRERSRERDHSRSREKSRRHKSRSRDRHDDYYRERSRERERHRDRDRDRDRERDREREYRHR
+>DECOY_sp|Q16630|CPSF6_HUMAN Cleavage and polyadenylation specificity factor subunit 6 OS=Homo sapiens OX=9606 GN=CPSF6 PE=1 SV=2
+RHRYERERDRERDRDRDRDRHRERERSRERYYDDHRDRSRSKHRRSKERSRSHDRERSRERRSGSGYSKSEIGHLCDQLSSILVKCRDDASVKSQKILSIATVLTEIASGYDGASADSVARSIASSSIARNRNMIEEFEAESLPTRATDMERGPPGYDGRDYPPPRGYPDTPPPGRSDSTPMGSNTPPPFFAPNVHPAPPPAGPPPGPLHPPPALTLPPGLPGPPRPPFPGPPPPPGQQPPPPGPPPGYGPVPPPPGPPLPGLPPQGFPQGPFLVPPPPRDGRNPPGALPPPLVQGPPPPGPPGPPGPPGLPPRPPTQGAPFPPPPGGPGAPGPFRDGGPVAGPFRGRGRGGQPFAARSSGGPPGAKGEGSMQGSQTTKRSQMEFQSLFQKNCPTVVPNQGHLERKPLLDMLKKSSAESGVGVLAFGKSQGNARNEFFKIELIDNVGLSHVAETLDEDTTWWTLNGIYLAIRKGTYTYVVNPAAGKGVDDGVTPPLTDMYDRDEPADGNNASPSIVDDYLDIQDHGGYEAEQNFEEGVDAYIDIHDVGDAM
+>sp|Q8WW18|CQ050_HUMAN Uncharacterized protein C17orf50 OS=Homo sapiens OX=9606 GN=C17orf50 PE=2 SV=2
+MDKHGVKTPLWKKETEELRAEDAEQEEGKEGSEDEDEDNQRPLEDSATEGEEPPRVAEEGEGRERRSVSYCPLRQESSTQQVALLRRADSGFWGWLGPLALLGGLTAPTDRKRSLPEEPCVLEIRRRPPRRGGCACCELLFCKKCRSLHSHPAYVAHCVLDHPDLGKAGAAGNS
+>DECOY_sp|Q8WW18|CQ050_HUMAN Uncharacterized protein C17orf50 OS=Homo sapiens OX=9606 GN=C17orf50 PE=2 SV=2
+SNGAAGAKGLDPHDLVCHAVYAPHSHLSRCKKCFLLECCACGGRRPPRRRIELVCPEEPLSRKRDTPATLGGLLALPGLWGWFGSDARRLLAVQQTSSEQRLPCYSVSRRERGEGEEAVRPPEEGETASDELPRQNDEDEDESGEKGEEQEADEARLEETEKKWLPTKVGHKDM
+>sp|Q8N8I6|CQ055_HUMAN Putative uncharacterized protein encoded by LINC00482 OS=Homo sapiens OX=9606 GN=LINC00482 PE=5 SV=1
+MPRSLKRAQLRSLLPRPPAVSHTQPWYRAAHPTTSPTAASRDVHPASGAVPGPWLVEGTAVREGPQLQDAVPQRPTRPSKALWPAQMSAAPAIRLGQMVPGDTRGLWGPQGTLLTWTYRGGQGGRWTRRAEGPREGTFAEQRPHFQSSGAQQESRLAMGPPPLGLGDAAGDGRGQTGQEKGRAEGRQARKSACKCPRKGPNPGPWTRAAAWWGRLEGAKASAKGEQVRDPGGHLWEQGHVSPCARFNQGHSCGSPKVSHTTWVS
+>DECOY_sp|Q8N8I6|CQ055_HUMAN Putative uncharacterized protein encoded by LINC00482 OS=Homo sapiens OX=9606 GN=LINC00482 PE=5 SV=1
+SVWTTHSVKPSGCSHGQNFRACPSVHGQEWLHGGPDRVQEGKASAKAGELRGWWAAARTWPGPNPGKRPCKCASKRAQRGEARGKEQGTQGRGDGAADGLGLPPPGMALRSEQQAGSSQFHPRQEAFTGERPGEARRTWRGGQGGRYTWTLLTGQPGWLGRTDGPVMQGLRIAPAASMQAPWLAKSPRTPRQPVADQLQPGERVATGEVLWPGPVAGSAPHVDRSAATPSTTPHAARYWPQTHSVAPPRPLLSRLQARKLSRPM
+>sp|Q0P5P2|CQ067_HUMAN Uncharacterized protein C17orf67 OS=Homo sapiens OX=9606 GN=C17orf67 PE=1 SV=2
+MASFKLADSVWEDSLSKRQRNQGRMKTLPVLVLSLTLLTVFSETSPILTEKQAKQLLRSRRQDRPSKPGFPDEPMREYMHHLLALEHRAEEQFLEHWLNPHCKPHCDRNRIHPV
+>DECOY_sp|Q0P5P2|CQ067_HUMAN Uncharacterized protein C17orf67 OS=Homo sapiens OX=9606 GN=C17orf67 PE=1 SV=2
+VPHIRNRDCHPKCHPNLWHELFQEEARHELALLHHMYERMPEDPFGPKSPRDQRRSRLLQKAQKETLIPSTESFVTLLTLSLVLVPLTKMRGQNRQRKSLSDEWVSDALKFSAM
+>sp|A2RUQ5|CQ102_HUMAN Uncharacterized protein C17orf102 OS=Homo sapiens OX=9606 GN=C17orf102 PE=2 SV=1
+MFDFSFPTPASAGTRMGPASCGGRSLHLPQLRFSRVDATAVTDVPFQRMHAPHRAPEVFCSRSSRGAGRGHPTPTPRVRWALAGNQPRCCAQLLSGRGGSGAQLRAGWVRGAAVGNLFILLLGKEDGEEEGTVLSYSSMVHISNITGIVGTTVSRTKPALVLMELTF
+>DECOY_sp|A2RUQ5|CQ102_HUMAN Uncharacterized protein C17orf102 OS=Homo sapiens OX=9606 GN=C17orf102 PE=2 SV=1
+FTLEMLVLAPKTRSVTTGVIGTINSIHVMSSYSLVTGEEEGDEKGLLLIFLNGVAAGRVWGARLQAGSGGRGSLLQACCRPQNGALAWRVRPTPTPHGRGAGRSSRSCFVEPARHPAHMRQFPVDTVATADVRSFRLQPLHLSRGGCSAPGMRTGASAPTPFSFDFM
+>sp|A0A1B0GUU1|CQ113_HUMAN Transmembrane protein C17orf113 OS=Homo sapiens OX=9606 GN=C17orf113 PE=3 SV=1
+MVPPGKKPAGEASNSNKKCKRYFNEHWKEEFTWLDFDYERKLMFCLECRQALVRNKHGKAENAFTVGTDNFQRHALLRHVTSGAHRQALAVNQGQPPFEGQAEGGGACPGLATTPASRGVKVELDPAKVAVLTTVYCMAKEDVPNDRCSALLELQRFNLCQALLGTEHGDYYSPRRVRDMQVAIASVLHTEACQRLKASPYVGLVLDETRDWPESHSLALFATSVSPCDGQPATTFLGSVELQEGEATAGQLLDILQAFGVSAPKLAWLSSSLPSERLGSVGPQLRATCPLLAELHCLPGRTDPEPPAYLGQYESILDALFRLHGGPSSHLVPELRAALDLAAIDLAGPRPVPWASLLPVVEAVAEAWPGLVPTLEAAALASPVAGSLALALRQFTFVAFTHLLLDALPSVQKLSLVLQAEEPDLALLQPLVMAAAASLQAQRGSGGARLQGFLQELASMDPDASSGRCTYRGVELLGYSEAAVRGLEWLRGSFLDSMRKGLQDSYPGPSLDAVAAFAAIFDPRRYPQAPEELGTHGEGALRVLLRGFAPAVVRQRALGDFALFKRVVFGLGRLGPRALCTQLACAHSELHELFPDFAALAALALALPAGAGLLDKVGRSRELRWWGQSGAGEGRGGHMVKIAVDGPPLHEFDFGLAVEFLESGWGEGFLGSQLT
+>DECOY_sp|A0A1B0GUU1|CQ113_HUMAN Transmembrane protein C17orf113 OS=Homo sapiens OX=9606 GN=C17orf113 PE=3 SV=1
+TLQSGLFGEGWGSELFEVALGFDFEHLPPGDVAIKVMHGGRGEGAGSQGWWRLERSRGVKDLLGAGAPLALALAALAAFDPFLEHLESHACALQTCLARPGLRGLGFVVRKFLAFDGLARQRVVAPAFGRLLVRLAGEGHTGLEEPAQPYRRPDFIAAFAAVADLSPGPYSDQLGKRMSDLFSGRLWELGRVAAESYGLLEVGRYTCRGSSADPDMSALEQLFGQLRAGGSGRQAQLSAAAAMVLPQLLALDPEEAQLVLSLKQVSPLADLLLHTFAVFTFQRLALALSGAVPSALAAAELTPVLGPWAEAVAEVVPLLSAWPVPRPGALDIAALDLAARLEPVLHSSPGGHLRFLADLISEYQGLYAPPEPDTRGPLCHLEALLPCTARLQPGVSGLRESPLSSSLWALKPASVGFAQLIDLLQGATAEGEQLEVSGLFTTAPQGDCPSVSTAFLALSHSEPWDRTEDLVLGVYPSAKLRQCAETHLVSAIAVQMDRVRRPSYYDGHETGLLAQCLNFRQLELLASCRDNPVDEKAMCYVTTLVAVKAPDLEVKVGRSAPTTALGPCAGGGEAQGEFPPQGQNVALAQRHAGSTVHRLLAHRQFNDTGVTFANEAKGHKNRVLAQRCELCFMLKREYDFDLWTFEEKWHENFYRKCKKNSNSAEGAPKKGPPVM
+>sp|Q96KH6|CR012_HUMAN Uncharacterized protein C18orf12 OS=Homo sapiens OX=9606 GN=C18orf12 PE=2 SV=1
+MERIVHCEGIVSWDNLYREYNTMASTFGPKDILVLPLATDSFFVIGKVTSSLWASVSSFLNNKKIPHGAWLLSPCLHFLQALLVCAQVYLPLPVRSLLCICTCPPFVCSLSDTGLPLFPPTASLNPAMCHNGVELSFWMMWRDLTLMPFPSHQANLASSSTHGISQNAESGREIEHQG
+>DECOY_sp|Q96KH6|CR012_HUMAN Uncharacterized protein C18orf12 OS=Homo sapiens OX=9606 GN=C18orf12 PE=2 SV=1
+GQHEIERGSEANQSIGHTSSSALNAQHSPFPMLTLDRWMMWFSLEVGNHCMAPNLSATPPFLPLGTDSLSCVFPPCTCICLLSRVPLPLYVQACVLLAQLFHLCPSLLWAGHPIKKNNLFSSVSAWLSSTVKGIVFFSDTALPLVLIDKPGFTSAMTNYERYLNDWSVIGECHVIREM
+>sp|J3KSC0|CR064_HUMAN Putative uncharacterized protein encoded by LINC01387 OS=Homo sapiens OX=9606 GN=LINC01387 PE=5 SV=1
+MVPAPPFLGVLENPVPQWDLSILGSIRIRVSHTEVQGSGSSRSPEALRKESLEVEWTLVLLAIPPRIQPSQQDGGPPKCCDLLRAALLGRHCPLCVPAGEVFSQKRDNEQDRSEFIGQTLKLLVKRNVSLELSCR
+>DECOY_sp|J3KSC0|CR064_HUMAN Putative uncharacterized protein encoded by LINC01387 OS=Homo sapiens OX=9606 GN=LINC01387 PE=5 SV=1
+RCSLELSVNRKVLLKLTQGIFESRDQENDRKQSFVEGAPVCLPCHRGLLAARLLDCCKPPGGDQQSPQIRPPIALLVLTWEVELSEKRLAEPSRSSGSGQVETHSVRIRISGLISLDWQPVPNELVGLFPPAPVM
+>sp|Q8TEY5|CR3L4_HUMAN Cyclic AMP-responsive element-binding protein 3-like protein 4 OS=Homo sapiens OX=9606 GN=CREB3L4 PE=1 SV=1
+MDLGIPDLLDAWLEPPEDIFSTGSVLELGLHCPPPEVPVTRLQEQGLQGWKSGGDRGCGLQESEPEDFLKLFIDPNEVYCSEASPGSDSGISEDPCHPDSPPAPRATSSPMLYEVVYEAGALERMQGETGPNVGLISIQLDQWSPAFMVPDSCMVSELPFDAHAHILPRAGTVAPVPCTTLLPCQTLFLTDEEKRLLGQEGVSLPSHLPLTKAEERVLKKVRRKIRNKQSAQDSRRRKKEYIDGLESRVAACSAQNQELQKKVQELERHNISLVAQLRQLQTLIAQTSNKAAQTSTCVLILLFSLALIILPSFSPFQSRPEAGSEDYQPHGVTSRNILTHKDVTENLETQVVESRLREPPGAKDANGSTRTLLEKMGGKPRPSGRIRSVLHADEM
+>DECOY_sp|Q8TEY5|CR3L4_HUMAN Cyclic AMP-responsive element-binding protein 3-like protein 4 OS=Homo sapiens OX=9606 GN=CREB3L4 PE=1 SV=1
+MEDAHLVSRIRGSPRPKGGMKELLTRTSGNADKAGPPERLRSEVVQTELNETVDKHTLINRSTVGHPQYDESGAEPRSQFPSFSPLIILALSFLLILVCTSTQAAKNSTQAILTQLQRLQAVLSINHRELEQVKKQLEQNQASCAAVRSELGDIYEKKRRRSDQASQKNRIKRRVKKLVREEAKTLPLHSPLSVGEQGLLRKEEDTLFLTQCPLLTTCPVPAVTGARPLIHAHADFPLESVMCSDPVMFAPSWQDLQISILGVNPGTEGQMRELAGAEYVVEYLMPSSTARPAPPSDPHCPDESIGSDSGPSAESCYVENPDIFLKLFDEPESEQLGCGRDGGSKWGQLGQEQLRTVPVEPPPCHLGLELVSGTSFIDEPPELWADLLDPIGLDM
+>sp|A6NIL9|CRAS1_HUMAN Putative uncharacterized protein CRYM-AS1 OS=Homo sapiens OX=9606 GN=CRYM-AS1 PE=5 SV=1
+MDFSESEKFMVLLWKNFILKRRRCIALVVEMVLTFLFSAALLATRSVITINKNGPFDFAAQPVDEVPFYITASLISPSPLELAYVPSRSTVVQGIIERVKMDLNPQMKG
+>DECOY_sp|A6NIL9|CRAS1_HUMAN Putative uncharacterized protein CRYM-AS1 OS=Homo sapiens OX=9606 GN=CRYM-AS1 PE=5 SV=1
+GKMQPNLDMKVREIIGQVVTSRSPVYALELPSPSILSATIYFPVEDVPQAAFDFPGNKNITIVSRTALLAASFLFTLVMEVVLAICRRRKLIFNKWLLVMFKESESFDM
+>sp|Q96SW2|CRBN_HUMAN Protein cereblon OS=Homo sapiens OX=9606 GN=CRBN PE=1 SV=1
+MAGEGDQQDAAHNMGNHLPLLPAESEEEDEMEVEDQDSKEAKKPNIINFDTSLPTSHTYLGADMEEFHGRTLHDDDSCQVIPVLPQVMMILIPGQTLPLQLFHPQEVSMVRNLIQKDRTFAVLAYSNVQEREAQFGTTAEIYAYREEQDFGIEIVKVKAIGRQRFKVLELRTQSDGIQQAKVQILPECVLPSTMSAVQLESLNKCQIFPSKPVSREDQCSYKWWQKYQKRKFHCANLTSWPRWLYSLYDAETLMDRIKKQLREWDENLKDDSLPSNPIDFSYRVAACLPIDDVLRIQLLKIGSAIQRLRCELDIMNKCTSLCCKQCQETEITTKNEIFSLSLCGPMAAYVNPHGYVHETLTVYKACNLNLIGRPSTEHSWFPGYAWTVAQCKICASHIGWKFTATKKDMSPQKFWGLTRSALLPTIPDTEDEISPDKVILCL
+>DECOY_sp|Q96SW2|CRBN_HUMAN Protein cereblon OS=Homo sapiens OX=9606 GN=CRBN PE=1 SV=1
+LCLIVKDPSIEDETDPITPLLASRTLGWFKQPSMDKKTATFKWGIHSACIKCQAVTWAYGPFWSHETSPRGILNLNCAKYVTLTEHVYGHPNVYAAMPGCLSLSFIENKTTIETEQCQKCCLSTCKNMIDLECRLRQIASGIKLLQIRLVDDIPLCAAVRYSFDIPNSPLSDDKLNEDWERLQKKIRDMLTEADYLSYLWRPWSTLNACHFKRKQYKQWWKYSCQDERSVPKSPFIQCKNLSELQVASMTSPLVCEPLIQVKAQQIGDSQTRLELVKFRQRGIAKVKVIEIGFDQEERYAYIEATTGFQAEREQVNSYALVAFTRDKQILNRVMSVEQPHFLQLPLTQGPILIMMVQPLVPIVQCSDDDHLTRGHFEEMDAGLYTHSTPLSTDFNIINPKKAEKSDQDEVEMEDEEESEAPLLPLHNGMNHAADQQDGEGAM
+>sp|P22914|CRBS_HUMAN Beta-crystallin S OS=Homo sapiens OX=9606 GN=CRYGS PE=1 SV=4
+MSKTGTKITFYEDKNFQGRRYDCDCDCADFHTYLSRCNSIKVEGGTWAVYERPNFAGYMYILPQGEYPEYQRWMGLNDRLSSCRAVHLPSGGQYKIQIFEKGDFSGQMYETTEDCPSIMEQFHMREIHSCKVLEGVWIFYELPNYRGRQYLLDKKEYRKPIDWGAASPAVQSFRRIVE
+>DECOY_sp|P22914|CRBS_HUMAN Beta-crystallin S OS=Homo sapiens OX=9606 GN=CRYGS PE=1 SV=4
+EVIRRFSQVAPSAAGWDIPKRYEKKDLLYQRGRYNPLEYFIWVGELVKCSHIERMHFQEMISPCDETTEYMQGSFDGKEFIQIKYQGGSPLHVARCSSLRDNLGMWRQYEPYEGQPLIYMYGAFNPREYVAWTGGEVKISNCRSLYTHFDACDCDCDYRRGQFNKDEYFTIKTGTKSM
+>sp|P23508|CRCM_HUMAN Colorectal mutant cancer protein OS=Homo sapiens OX=9606 GN=MCC PE=1 SV=2
+MNSGVAMKYGNDSSAELSELHSAALASLKGDIVELNKRLQQTERERDLLEKKLAKAQCEQSHLMREHEDVQERTTLRYEERITELHSVIAELNKKIDRLQGTTIREEDEYSELRSELSQSQHEVNEDSRSMDQDQTSVSIPENQSTMVTADMDNCSDLNSELQRVLTGLENVVCGRKKSSCSLSVAEVDKHIEQLTTASEHCDLAIKTVEEIEGVLGRDLYPNLAEERSRWEKELAGLREENESLTAMLCSKEEELNRTKATMNAIREERDRLRRRVRELQTRLQSVQATGPSSPGRLTSTNRPINPSTGELSTSSSSNDIPIAKIAERVKLSKTRSESSSSDRPVLGSEISSIGVSSSVAEHLAHSLQDCSNIQEIFQTLYSHGSAISESKIREFEVETERLNSRIEHLKSQNDLLTITLEECKSNAERMSMLVGKYESNATALRLALQYSEQCIEAYELLLALAESEQSLILGQFRAAGVGSSPGDQSGDENITQMLKRAHDCRKTAENAAKALLMKLDGSCGGAFAVAGCSVQPWESLSSNSHTSTTSSTASSCDTEFTKEDEQRLKDYIQQLKNDRAAVKLTMLELESIHIDPLSYDVKPRGDSQRLDLENAVLMQELMAMKEEMAELKAQLYLLEKEKKALELKLSTREAQEQAYLVHIEHLKSEVEEQKEQRMRSLSSTSSGSKDKPGKECADAASPALSLAELRTTCSENELAAEFTNAIRREKKLKARVQELVSALERLTKSSEIRHQQSAEFVNDLKRANSNLVAAYEKAKKKHQNKLKKLESQMMAMVERHETQVRMLKQRIALLEEENSRPHTNETSL
+>DECOY_sp|P23508|CRCM_HUMAN Colorectal mutant cancer protein OS=Homo sapiens OX=9606 GN=MCC PE=1 SV=2
+LSTENTHPRSNEEELLAIRQKLMRVQTEHREVMAMMQSELKKLKNQHKKKAKEYAAVLNSNARKLDNVFEASQQHRIESSKTLRELASVLEQVRAKLKKERRIANTFEAALENESCTTRLEALSLAPSAADACEKGPKDKSGSSTSSLSRMRQEKQEEVESKLHEIHVLYAQEQAERTSLKLELAKKEKELLYLQAKLEAMEEKMAMLEQMLVANELDLRQSDGRPKVDYSLPDIHISELELMTLKVAARDNKLQQIYDKLRQEDEKTFETDCSSATSSTTSTHSNSSLSEWPQVSCGAVAFAGGCSGDLKMLLAKAANEATKRCDHARKLMQTINEDGSQDGPSSGVGAARFQGLILSQESEALALLLEYAEICQESYQLALRLATANSEYKGVLMSMREANSKCEELTITLLDNQSKLHEIRSNLRETEVEFERIKSESIASGHSYLTQFIEQINSCDQLSHALHEAVSSSVGISSIESGLVPRDSSSSESRTKSLKVREAIKAIPIDNSSSSTSLEGTSPNIPRNTSTLRGPSSPGTAQVSQLRTQLERVRRRLRDREERIANMTAKTRNLEEEKSCLMATLSENEERLGALEKEWRSREEALNPYLDRGLVGEIEEVTKIALDCHESATTLQEIHKDVEAVSLSCSSKKRGCVVNELGTLVRQLESNLDSCNDMDATVMTSQNEPISVSTQDQDMSRSDENVEHQSQSLESRLESYEDEERITTGQLRDIKKNLEAIVSHLETIREEYRLTTREQVDEHERMLHSQECQAKALKKELLDRERETQQLRKNLEVIDGKLSALAASHLESLEASSDNGYKMAVGSNM
+>sp|Q9H0B8|CRLD2_HUMAN Cysteine-rich secretory protein LCCL domain-containing 2 OS=Homo sapiens OX=9606 GN=CRISPLD2 PE=2 SV=1
+MSCVLGGVIPLGLLFLVCGSQGYLLPNVTLLEELLSKYQHNESHSRVRRAIPREDKEEILMLHNKLRGQVQPQASNMEYMTWDDELEKSAAAWASQCIWEHGPTSLLVSIGQNLGAHWGRYRSPGFHVQSWYDEVKDYTYPYPSECNPWCPERCSGPMCTHYTQIVWATTNKIGCAVNTCRKMTVWGEVWENAVYFVCNYSPKGNWIGEAPYKNGRPCSECPPSYGGSCRNNLCYREETYTPKPETDEMNEVETAPIPEENHVWLQPRVMRPTKPKKTSAVNYMTQVVRCDTKMKDRCKGSTCNRYQCPAGCLNHKAKIFGTLFYESSSSICRAAIHYGILDDKGGLVDITRNGKVPFFVKSERHGVQSLSKYKPSSSFMVSKVKVQDLDCYTTVAQLCPFEKPATHCPRIHCPAHCKDEPSYWAPVFGTNIYADTSSICKTAVHAGVISNESGGDVDVMPVDKKKTYVGSLRNGVQSESLGTPRDGKAFRIFAVRQ
+>DECOY_sp|Q9H0B8|CRLD2_HUMAN Cysteine-rich secretory protein LCCL domain-containing 2 OS=Homo sapiens OX=9606 GN=CRISPLD2 PE=2 SV=1
+QRVAFIRFAKGDRPTGLSESQVGNRLSGVYTKKKDVPMVDVDGGSENSIVGAHVATKCISSTDAYINTGFVPAWYSPEDKCHAPCHIRPCHTAPKEFPCLQAVTTYCDLDQVKVKSVMFSSSPKYKSLSQVGHRESKVFFPVKGNRTIDVLGGKDDLIGYHIAARCISSSSEYFLTGFIKAKHNLCGAPCQYRNCTSGKCRDKMKTDCRVVQTMYNVASTKKPKTPRMVRPQLWVHNEEPIPATEVENMEDTEPKPTYTEERYCLNNRCSGGYSPPCESCPRGNKYPAEGIWNGKPSYNCVFYVANEWVEGWVTMKRCTNVACGIKNTTAWVIQTYHTCMPGSCREPCWPNCESPYPYTYDKVEDYWSQVHFGPSRYRGWHAGLNQGISVLLSTPGHEWICQSAWAAASKELEDDWTMYEMNSAQPQVQGRLKNHLMLIEEKDERPIARRVRSHSENHQYKSLLEELLTVNPLLYGQSGCVLFLLGLPIVGGLVCSM
+>sp|Q8N1N5|CRPAK_HUMAN Cysteine-rich PAK1 inhibitor OS=Homo sapiens OX=9606 GN=CRIPAK PE=1 SV=1
+MHEPSLCANVECPPAHTCPCGVPACSCAHVECPPAHTCRCGVPACSHMPMWSARLLTRAHVECPPAHTRVHVECPPAHVPMWSAHLLTCADVECHLLTHVPMWSARLLTCPCGVPACSHVPMRSARLLTRAHAECPPAHTCPCGVPACSHVPMRSARLLTRADVECPPAHTCPCGVPACSHVPTWSARLITRAHVECSPAHTCRCGVPACSHVPMWSVRLLTRADAECPPAHTCRCGVPACSHVPMWSARLLTCRCGVPACSHVPMWSARLLTCRCGVPACSHVPMWSARLLTRAHVECPPAHTCRRGVPACSRAHMECPPAHTCHCGVPACSHTCRCGVPACSHVPMWSARLLTRAHVECPPAHTRAHVECPPAHTCPCGVPACSHTCPCGVPACSHKALAWWFCRFPVLPAESDAVTVHSTHGGFLIRFYVKDPFYISLHLEIT
+>DECOY_sp|Q8N1N5|CRPAK_HUMAN Cysteine-rich PAK1 inhibitor OS=Homo sapiens OX=9606 GN=CRIPAK PE=1 SV=1
+TIELHLSIYFPDKVYFRILFGGHTSHVTVADSEAPLVPFRCFWWALAKHSCAPVGCPCTHSCAPVGCPCTHAPPCEVHARTHAPPCEVHARTLLRASWMPVHSCAPVGCRCTHSCAPVGCHCTHAPPCEMHARSCAPVGRRCTHAPPCEVHARTLLRASWMPVHSCAPVGCRCTLLRASWMPVHSCAPVGCRCTLLRASWMPVHSCAPVGCRCTHAPPCEADARTLLRVSWMPVHSCAPVGCRCTHAPSCEVHARTILRASWTPVHSCAPVGCPCTHAPPCEVDARTLLRASRMPVHSCAPVGCPCTHAPPCEAHARTLLRASRMPVHSCAPVGCPCTLLRASWMPVHTLLHCEVDACTLLHASWMPVHAPPCEVHVRTHAPPCEVHARTLLRASWMPMHSCAPVGCRCTHAPPCEVHACSCAPVGCPCTHAPPCEVNACLSPEHM
+>sp|Q53ET0|CRTC2_HUMAN CREB-regulated transcription coactivator 2 OS=Homo sapiens OX=9606 GN=CRTC2 PE=1 SV=2
+MATSGANGPGSATASASNPRKFSEKIALQKQRQAEETAAFEEVMMDIGSTRLQAQKLRLAYTRSSHYGGSLPNVNQIGSGLAEFQSPLHSPLDSSRSTRHHGLVERVQRDPRRMVSPLRRYTRHIDSSPYSPAYLSPPPESSWRRTMAWGNFPAEKGQLFRLPSALNRTSSDSALHTSVMNPSPQDTYPGPTPPSILPSRRGGILDGEMDPKVPAIEENLLDDKHLLKPWDAKKLSSSSSRPRSCEVPGINIFPSPDQPANVPVLPPAMNTGGSLPDLTNLHFPPPLPTPLDPEETAYPSLSGGNSTSNLTHTMTHLGISRGMGLGPGYDAPGLHSPLSHPSLQSSLSNPNLQASLSSPQPQLQGSHSHPSLPASSLARHVLPTTSLGHPSLSAPALSSSSSSSSTSSPVLGAPSYPASTPGASPHHRRVPLSPLSLLAGPADARRSQQQLPKQFSPTMSPTLSSITQGVPLDTSKLSTDQRLPPYPYSSPSLVLPTQPHTPKSLQQPGLPSQSCSVQSSGGQPPGRQSHYGTPYPPGPSGHGQQSYHRPMSDFNLGNLEQFSMESPSASLVLDPPGFSEGPGFLGGEGPMGGPQDPHTFNHQNLTHCSRHGSGPNIILTGDSSPGFSKEIAAALAGVPGFEVSAAGLELGLGLEDELRMEPLGLEGLNMLSDPCALLPDPAVEESFRSDRLQ
+>DECOY_sp|Q53ET0|CRTC2_HUMAN CREB-regulated transcription coactivator 2 OS=Homo sapiens OX=9606 GN=CRTC2 PE=1 SV=2
+QLRDSRFSEEVAPDPLLACPDSLMNLGELGLPEMRLEDELGLGLELGAASVEFGPVGALAAAIEKSFGPSSDGTLIINPGSGHRSCHTLNQHNFTHPDQPGGMPGEGGLFGPGESFGPPDLVLSASPSEMSFQELNGLNFDSMPRHYSQQGHGSPGPPYPTGYHSQRGPPQGGSSQVSCSQSPLGPQQLSKPTHPQTPLVLSPSSYPYPPLRQDTSLKSTDLPVGQTISSLTPSMTPSFQKPLQQQSRRADAPGALLSLPSLPVRRHHPSAGPTSAPYSPAGLVPSSTSSSSSSSSLAPASLSPHGLSTTPLVHRALSSAPLSPHSHSGQLQPQPSSLSAQLNPNSLSSQLSPHSLPSHLGPADYGPGLGMGRSIGLHTMTHTLNSTSNGGSLSPYATEEPDLPTPLPPPFHLNTLDPLSGGTNMAPPLVPVNAPQDPSPFINIGPVECSRPRSSSSSLKKADWPKLLHKDDLLNEEIAPVKPDMEGDLIGGRRSPLISPPTPGPYTDQPSPNMVSTHLASDSSTRNLASPLRFLQGKEAPFNGWAMTRRWSSEPPPSLYAPSYPSSDIHRTYRRLPSVMRRPDRQVREVLGHHRTSRSSDLPSHLPSQFEALGSGIQNVNPLSGGYHSSRTYALRLKQAQLRTSGIDMMVEEFAATEEAQRQKQLAIKESFKRPNSASATASGPGNAGSTAM
+>sp|Q9BUF7|CRUM3_HUMAN Protein crumbs homolog 3 OS=Homo sapiens OX=9606 GN=CRB3 PE=1 SV=3
+MANPGLGLLLALGLPFLLARWGRAWGQIQTTSANENSTVLPSSTSSSSDGNLRPEAITAIIVVFSLLAALLLAVGLALLVRKLREKRQTEGTYRPSSEEQVGARVPPTPNLKLPPEERLI
+>DECOY_sp|Q9BUF7|CRUM3_HUMAN Protein crumbs homolog 3 OS=Homo sapiens OX=9606 GN=CRB3 PE=1 SV=3
+ILREEPPLKLNPTPPVRAGVQEESSPRYTGETQRKERLKRVLLALGVALLLAALLSFVVIIATIAEPRLNGDSSSSTSSPLVTSNENASTTQIQGWARGWRALLFPLGLALLLGLGPNAM
+>sp|Q9UFG5|CS025_HUMAN UPF0449 protein C19orf25 OS=Homo sapiens OX=9606 GN=C19orf25 PE=1 SV=2
+MGSKAKKRVLLPTRPAPPTVEQILEDVRGAPAEDPVFTILAPEDPPVPFRMMEDAEAPGEQLYQQSRAYVAANQRLQQAGNVLRQRCELLQRAGEDLEREVAQMKQAALPAAEAASSG
+>DECOY_sp|Q9UFG5|CS025_HUMAN UPF0449 protein C19orf25 OS=Homo sapiens OX=9606 GN=C19orf25 PE=1 SV=2
+GSSAAEAAPLAAQKMQAVERELDEGARQLLECRQRLVNGAQQLRQNAAVYARSQQYLQEGPAEADEMMRFPVPPDEPALITFVPDEAPAGRVDELIQEVTPPAPRTPLLVRKKAKSGM
+>sp|Q8N9M1|CS047_HUMAN Uncharacterized protein C19orf47 OS=Homo sapiens OX=9606 GN=C19orf47 PE=1 SV=1
+MVMAALSLVAACWGRAAADESVQLPAAPGSSVRARETMVSVTMATSEWIQFFKEAGIPPGPAVNYAVMFVDNRIQKSMLLDLNKEIMNELGVTVVGDIIAILKHAKVVHRQDMCKAATESVPCSPSPLAGEIRRGTSAASRMITNSLNHDSPPSTPPRRPDTSTSKISVTVSNKMAAKSAKATAALARREEESLAVPAKRRRVTAEMEGKYVINMPKGTTPRTRKILEQQQAAKGLHRTSVFDRLGAETKADTTTGSKPTGVFSRLGATPETDEDLAWDSDNDSSSSVLQYAGVLKKLGRGPAKASPQPALTVKAKATSSATTAAAPTLRRLALSSRSGLERKPESLSKVSIIKRLGAAALVPEAQDSQVTSTKSKSSAEVKVTIKRTLVGPRGSSSSEGLGAQMDHAGTVSVFKRLGRRTF
+>DECOY_sp|Q8N9M1|CS047_HUMAN Uncharacterized protein C19orf47 OS=Homo sapiens OX=9606 GN=C19orf47 PE=1 SV=1
+FTRRGLRKFVSVTGAHDMQAGLGESSSSGRPGVLTRKITVKVEASSKSKTSTVQSDQAEPVLAAAGLRKIISVKSLSEPKRELGSRSSLALRRLTPAAATTASSTAKAKVTLAPQPSAKAPGRGLKKLVGAYQLVSSSSDNDSDWALDEDTEPTAGLRSFVGTPKSGTTTDAKTEAGLRDFVSTRHLGKAAQQQELIKRTRPTTGKPMNIVYKGEMEATVRRRKAPVALSEEERRALAATAKASKAAMKNSVTVSIKSTSTDPRRPPTSPPSDHNLSNTIMRSAASTGRRIEGALPSPSCPVSETAAKCMDQRHVVKAHKLIAIIDGVVTVGLENMIEKNLDLLMSKQIRNDVFMVAYNVAPGPPIGAEKFFQIWESTAMTVSVMTERARVSSGPAAPLQVSEDAAARGWCAAVLSLAAMVM
+>sp|K7EIQ3|CS082_HUMAN Uncharacterized protein ZNF561-AS1 OS=Homo sapiens OX=9606 GN=ZNF561-AS1 PE=4 SV=1
+MGRIPGGCSSKAFIGRAQWLTPVIPALWEAKGLTLLSRLECSDVIMDHCSPQLTGLRMKGFLAQTPPLEFPVHQYQPGDHVLIKSWKRESLNQLRRTSSGTLDE
+>DECOY_sp|K7EIQ3|CS082_HUMAN Uncharacterized protein ZNF561-AS1 OS=Homo sapiens OX=9606 GN=ZNF561-AS1 PE=4 SV=1
+EDLTGSSTRRLQNLSERKWSKILVHDGPQYQHVPFELPPTQALFGKMRLGTLQPSCHDMIVDSCELRSLLTLGKAEWLAPIVPTLWQARGIFAKSSCGGPIRGM
+>sp|A0A1B0GTH6|CS2IP_HUMAN Casein kinase II subunit alpha'-interacting protein OS=Homo sapiens OX=9606 GN=CSNKA2IP PE=3 SV=1
+MVPLAYYGQHFVPLDYFYQLSSANTLTHQHTGEKLNQFNNQPMAKVQSHSNHFAVPPLGSNKKVQRCSVLPSPKSQDKISQSFCDRFLNSPLFHAKHQNTPSIGLHWRSSLWPAQRALNSHLLHSKAQTTSSSDLNMTSSLELNQAALSLQLPFCKPQTTSSSLDVCWRSLSLKSHQRVSSSSLFRLQNQEIPSINIIWTSSSLGPKRKALSSTLLQSKPQKTSSLDYLWTSSLQRNQRSLSSPSLNTKLQTSDLFWTSPSFKPNQIALTSPLLDSRLQKTPILNSNPTIGGLPVSHSKARQSASSYFVHPSENLPLFQLNSQSMFMLDCNFQTTNSPVCHSKFQNTTSPNGKHRVTHLPSPHPKTNISGQLLSSSKHCTRNTAASTLGFRLQSKSSFQFSPKTESNKEIPWTLKYSQPCIVKGGTVPDDVVNKIVNSISNTRIQRDLCRQILFRRMRGRPNPHPGPRLSSNYVVCLACASCLKSPCNHLRGKKNPHCATLSVIPTPEANSEGKIEVKLVLILSLPETFSSCLPFPMKENQPNEVPEDNLEGVEKIQQFFPTSERDIQGLNMKQIWWAVAPENKVIGQQPQAIDWLFYVKKNNSQPQSLLPSTSSSTSSSSTTSSSSSVASASSDSSSSSSSSSSFSISSSSSPSKEFMTLTLSRPVFRKVLSYHRLPAGVSWLEFIYSKDYQLHPRKPNRSQSSSLKTKPVRNNNTVKWRKGANTLFKFFRTK
+>DECOY_sp|A0A1B0GTH6|CS2IP_HUMAN Casein kinase II subunit alpha'-interacting protein OS=Homo sapiens OX=9606 GN=CSNKA2IP PE=3 SV=1
+KTRFFKFLTNAGKRWKVTNNNRVPKTKLSSSQSRNPKRPHLQYDKSYIFELWSVGAPLRHYSLVKRFVPRSLTLTMFEKSPSSSSSISFSSSSSSSSSSDSSASAVSSSSSTTSSSSTSSSTSPLLSQPQSNNKKVYFLWDIAQPQQGIVKNEPAVAWWIQKMNLGQIDRESTPFFQQIKEVGELNDEPVENPQNEKMPFPLCSSFTEPLSLILVLKVEIKGESNAEPTPIVSLTACHPNKKGRLHNCPSKLCSACALCVVYNSSLRPGPHPNPRGRMRRFLIQRCLDRQIRTNSISNVIKNVVDDPVTGGKVICPQSYKLTWPIEKNSETKPSFQFSSKSQLRFGLTSAATNRTCHKSSSLLQGSINTKPHPSPLHTVRHKGNPSTTNQFKSHCVPSNTTQFNCDLMFMSQSNLQFLPLNESPHVFYSSASQRAKSHSVPLGGITPNSNLIPTKQLRSDLLPSTLAIQNPKFSPSTWFLDSTQLKTNLSPSSLSRQNRQLSSTWLYDLSSTKQPKSQLLTSSLAKRKPGLSSSTWIINISPIEQNQLRFLSSSSVRQHSKLSLSRWCVDLSSSTTQPKCFPLQLSLAAQNLELSSTMNLDSSSTTQAKSHLLHSNLARQAPWLSSRWHLGISPTNQHKAHFLPSNLFRDCFSQSIKDQSKPSPLVSCRQVKKNSGLPPVAFHNSHSQVKAMPQNNFQNLKEGTHQHTLTNASSLQYFYDLPVFHQGYYALPVM
+>sp|Q6PB30|CSAG1_HUMAN Putative chondrosarcoma-associated gene 1 protein OS=Homo sapiens OX=9606 GN=CSAG1 PE=2 SV=3
+MSATTACWPAFTVLGEARGDQVDWSRLYRDTGLVKMSRKPRASSPFSNNHPSTPKRFPRQPRREKGPVKEVPGTKGSP
+>DECOY_sp|Q6PB30|CSAG1_HUMAN Putative chondrosarcoma-associated gene 1 protein OS=Homo sapiens OX=9606 GN=CSAG1 PE=2 SV=3
+PSGKTGPVEKVPGKERRPQRPFRKPTSPHNNSFPSSARPKRSMKVLGTDRYLRSWDVQDGRAEGLVTFAPWCATTASM
+>sp|Q9NZJ6|COQ3_HUMAN Ubiquinone biosynthesis O-methyltransferase, mitochondrial OS=Homo sapiens OX=9606 GN=COQ3 PE=1 SV=3
+MWSGRKLGSSGGWFLRVLGPGGCNTKAARPLISSAVYVKNQLSGTLQIKPGVFNEYRTIWFKSYRTIFSCLNRIKSFRYPWARLYSTSQTTVDSGEVKTFLALAHKWWDEQGVYAPLHSMNDLRVPFIRDNLLKTIPNHQPGKPLLGMKILDVGCGGGLLTEPLGRLGASVIGIDPVDENIKTAQCHKSFDPVLDKRIEYRVCSLEEIVEETAETFDAVVASEVVEHVIDLETFLQCCCQVLKPGGSLFITTINKTQLSYALGIVFSEQIASIVPKGTHTWEKFVSPETLESILESNGLSVQTVVGMLYNPFSGYWHWSENTSLNYAAYAVKSRVQEHPASAEFVLKGETEELQANACTNPAVHEKLKK
+>DECOY_sp|Q9NZJ6|COQ3_HUMAN Ubiquinone biosynthesis O-methyltransferase, mitochondrial OS=Homo sapiens OX=9606 GN=COQ3 PE=1 SV=3
+KKLKEHVAPNTCANAQLEETEGKLVFEASAPHEQVRSKVAYAAYNLSTNESWHWYGSFPNYLMGVVTQVSLGNSELISELTEPSVFKEWTHTGKPVISAIQESFVIGLAYSLQTKNITTIFLSGGPKLVQCCCQLFTELDIVHEVVESAVVADFTEATEEVIEELSCVRYEIRKDLVPDFSKHCQATKINEDVPDIGIVSAGLRGLPETLLGGGCGVDLIKMGLLPKGPQHNPITKLLNDRIFPVRLDNMSHLPAYVGQEDWWKHALALFTKVEGSDVTTQSTSYLRAWPYRFSKIRNLCSFITRYSKFWITRYENFVGPKIQLTGSLQNKVYVASSILPRAAKTNCGGPGLVRLFWGGSSGLKRGSWM
+>sp|Q5HYK3|COQ5_HUMAN 2-methoxy-6-polyprenyl-1,4-benzoquinol methylase, mitochondrial OS=Homo sapiens OX=9606 GN=COQ5 PE=1 SV=2
+MAAPGSCALWSYCGRGWSRAMRGCQLLGLRSSWPGDLLSARLLSQEKRAAETHFGFETVSEEEKGGKVYQVFESVAKKYDVMNDMMSLGIHRVWKDLLLWKMHPLPGTQLLDVAGGTGDIAFRFLNYVQSQHQRKQKRQLRAQQNLSWEEIAKEYQNEEDSLGGSRVVVCDINKEMLKVGKQKALAQGYRAGLAWVLGDAEELPFDDDKFDIYTIAFGIRNVTHIDQALQEAHRVLKPGGRFLCLEFSQVNNPLISRLYDLYSFQVIPVLGEVIAGDWKSYQYLVESIRRFPSQEEFKDMIEDAGFHKVTYESLTSGIVAIHSGFKL
+>DECOY_sp|Q5HYK3|COQ5_HUMAN 2-methoxy-6-polyprenyl-1,4-benzoquinol methylase, mitochondrial OS=Homo sapiens OX=9606 GN=COQ5 PE=1 SV=2
+LKFGSHIAVIGSTLSEYTVKHFGADEIMDKFEEQSPFRRISEVLYQYSKWDGAIVEGLVPIVQFSYLDYLRSILPNNVQSFELCLFRGGPKLVRHAEQLAQDIHTVNRIGFAITYIDFKDDDFPLEEADGLVWALGARYGQALAKQKGVKLMEKNIDCVVVRSGGLSDEENQYEKAIEEWSLNQQARLQRKQKRQHQSQVYNLFRFAIDGTGGAVDLLQTGPLPHMKWLLLDKWVRHIGLSMMDNMVDYKKAVSEFVQYVKGGKEEESVTEFGFHTEAARKEQSLLRASLLDGPWSSRLGLLQCGRMARSWGRGCYSWLACSGPAAM
+>sp|Q9Y2Z9|COQ6_HUMAN Ubiquinone biosynthesis monooxygenase COQ6, mitochondrial OS=Homo sapiens OX=9606 GN=COQ6 PE=1 SV=2
+MAARLVSRCGAVRAAPHSGPLVSWRRWSGASTDTVYDVVVSGGGLVGAAMACALGYDIHFHDKKILLLEAGPKKVLEKLSETYSNRVSSISPGSATLLSSFGAWDHICNMRYRAFRRMQVWDACSEALIMFDKDNLDDMGYIVENDVIMHALTKQLEAVSDRVTVLYRSKAIRYTWPCPFPMADSSPWVHITLGDGSTFQTKLLIGADGHNSGVRQAVGIQNVSWNYDQSAVVATLHLSEATENNVAWQRFLPSGPIALLPLSDTLSSLVWSTSHEHAAELVSMDEEKFVDAVNSAFWSDADHTDFIDTAGAMLQYAVSLLKPTKVSARQLPPSVARVDAKSRVLFPLGLGHAAEYVRPRVALIGDAAHRVHPLAGQGVNMGFGDISSLAHHLSTAAFNGKDLGSVSHLTGYETERQRHNTALLAATDLLKRLYSTSASPLVLLRTWGLQATNAVSPLKEQIMAFASK
+>DECOY_sp|Q9Y2Z9|COQ6_HUMAN Ubiquinone biosynthesis monooxygenase COQ6, mitochondrial OS=Homo sapiens OX=9606 GN=COQ6 PE=1 SV=2
+KSAFAMIQEKLPSVANTAQLGWTRLLVLPSASTSYLRKLLDTAALLATNHRQRETEYGTLHSVSGLDKGNFAATSLHHALSSIDGFGMNVGQGALPHVRHAADGILAVRPRVYEAAHGLGLPFLVRSKADVRAVSPPLQRASVKTPKLLSVAYQLMAGATDIFDTHDADSWFASNVADVFKEEDMSVLEAAHEHSTSWVLSSLTDSLPLLAIPGSPLFRQWAVNNETAESLHLTAVVASQDYNWSVNQIGVAQRVGSNHGDAGILLKTQFTSGDGLTIHVWPSSDAMPFPCPWTYRIAKSRYLVTVRDSVAELQKTLAHMIVDNEVIYGMDDLNDKDFMILAESCADWVQMRRFARYRMNCIHDWAGFSSLLTASGPSISSVRNSYTESLKELVKKPGAELLLIKKDHFHIDYGLACAMAAGVLGGGSVVVDYVTDTSAGSWRRWSVLPGSHPAARVAGCRSVLRAAM
+>sp|Q96D53|COQ8B_HUMAN Atypical kinase COQ8B, mitochondrial OS=Homo sapiens OX=9606 GN=COQ8B PE=1 SV=2
+MWLKVGGLLRGTGGQLGQTVGWPCGALGPGPHRWGPCGGSWAQKFYQDGPGRGLGEEDIRRAREARPRKTPRPQLSDRSRERKVPASRISRLANFGGLAVGLGLGVLAEMAKKSMPGGRLQSEGGSGLDSSPFLSEANAERIVQTLCTVRGAALKVGQMLSIQDNSFISPQLQHIFERVRQSADFMPRWQMLRVLEEELGRDWQAKVASLEEVPFAAASIGQVHQGLLRDGTEVAVKIQYPGIAQSIQSDVQNLLAVLKMSAALPAGLFAEQSLQALQQELAWECDYRREAACAQNFRQLLANDPFFRVPAVVKELCTTRVLGMELAGGVPLDQCQGLSQDLRNQICFQLLTLCLRELFEFRFMQTDPNWANFLYDASSHQVTLLDFGASREFGTEFTDHYIEVVKAAADGDRDCVLQKSRDLKFLTGFETKAFSDAHVEAVMILGEPFATQGPYDFGSGETARRIQDLIPVLLRHRLCPPPEETYALHRKLAGAFLACAHLRAHIACRDLFQDTYHRYWASRQPDAATAGSLPTKGDSWVDPS
+>DECOY_sp|Q96D53|COQ8B_HUMAN Atypical kinase COQ8B, mitochondrial OS=Homo sapiens OX=9606 GN=COQ8B PE=1 SV=2
+SPDVWSDGKTPLSGATAADPQRSAWYRHYTDQFLDRCAIHARLHACALFAGALKRHLAYTEEPPPCLRHRLLVPILDQIRRATEGSGFDYPGQTAFPEGLIMVAEVHADSFAKTEFGTLFKLDRSKQLVCDRDGDAAAKVVEIYHDTFETGFERSAGFDLLTVQHSSADYLFNAWNPDTQMFRFEFLERLCLTLLQFCIQNRLDQSLGQCQDLPVGGALEMGLVRTTCLEKVVAPVRFFPDNALLQRFNQACAAERRYDCEWALEQQLAQLSQEAFLGAPLAASMKLVALLNQVDSQISQAIGPYQIKVAVETGDRLLGQHVQGISAAAFPVEELSAVKAQWDRGLEEELVRLMQWRPMFDASQRVREFIHQLQPSIFSNDQISLMQGVKLAAGRVTCLTQVIREANAESLFPSSDLGSGGESQLRGGPMSKKAMEALVGLGLGVALGGFNALRSIRSAPVKRERSRDSLQPRPTKRPRAERARRIDEEGLGRGPGDQYFKQAWSGGCPGWRHPGPGLAGCPWGVTQGLQGGTGRLLGGVKLWM
+>sp|Q96A83|COQA1_HUMAN Collagen alpha-1(XXVI) chain OS=Homo sapiens OX=9606 GN=COL26A1 PE=1 SV=1
+MKLALLLPWACCCLCGSALATGFLYPFSAAALQQHGYPEPGAGSPGSGYASRRHWCHHTVTRTVSCQVQNGSETVVQRVYQSCRWPGPCANLVSYRTLIRPTYRVSYRTVTVLEWRCCPGFTGSNCDEECMNCTRLSDMSERLTTLEAKVLLLEAAERPSSPDNDLPAPESTPPTWNEDFLPDAIPLAHPVPRQRRPTGPAGPPGQTGPPGPAGPPGSKGDRGQTGEKGPAGPPGLLGPPGPRGLPGEMGRPGPPGPPGPAGNPGPSPNSPQGALYSLQPPTDKDNGDSRLASAIVDTVLAGVPGPRGPPGPPGPPGPRGPPGPPGTPGSQGLAGERGTVGPSGEPGVKGEEGEKAATAEGEGVQQLREALKILAERVLILEHMIGIHDPLASPEGGSGQDAALRANLKMKRGGAQPDGVLAALLGPDPGQKSVDQASSRK
+>DECOY_sp|Q96A83|COQA1_HUMAN Collagen alpha-1(XXVI) chain OS=Homo sapiens OX=9606 GN=COL26A1 PE=1 SV=1
+KRSSAQDVSKQGPDPGLLAALVGDPQAGGRKMKLNARLAADQGSGGEPSALPDHIGIMHELILVREALIKLAERLQQVGEGEATAAKEGEEGKVGPEGSPGVTGREGALGQSGPTGPPGPPGRPGPPGPPGPPGRPGPVGALVTDVIASALRSDGNDKDTPPQLSYLAGQPSNPSPGPNGAPGPPGPPGPRGMEGPLGRPGPPGLLGPPGAPGKEGTQGRDGKSGPPGAPGPPGTQGPPGAPGTPRRQRPVPHALPIADPLFDENWTPPTSEPAPLDNDPSSPREAAELLLVKAELTTLRESMDSLRTCNMCEEDCNSGTFGPCCRWELVTVTRYSVRYTPRILTRYSVLNACPGPWRCSQYVRQVVTESGNQVQCSVTRTVTHHCWHRRSAYGSGPSGAGPEPYGHQQLAAASFPYLFGTALASGCLCCCAWPLLLALKM
+>sp|Q12887|COX10_HUMAN Protoheme IX farnesyltransferase, mitochondrial OS=Homo sapiens OX=9606 GN=COX10 PE=1 SV=3
+MAASPHTLSSRLLTGCVGGSVWYLERRTIQDSPHKFLHLLRNVNKQWITFQHFSFLKRMYVTQLNRSHNQQVRPKPEPVASPFLEKTSSGQAKAEIYEMRPLSPPSLSLSRKPNEKELIELEPDSVIEDSIDVGKETKEEKRWKEMKLQVYDLPGILARLSKIKLTALVVSTTAAGFALAPGPFDWPCFLLTSVGTGLASCAANSINQFFEVPFDSNMNRTKNRPLVRGQISPLLAVSFATCCAVPGVAILTLGVNPLTGALGLFNIFLYTCCYTPLKRISIANTWVGAVVGAIPPVMGWTAATGSLDAGAFLLGGILYSWQFPHFNALSWGLREDYSRGGYCMMSVTHPGLCRRVALRHCLALLVLSAAAPVLDITTWTFPIMALPINAYISYLGFRFYVDADRRSSRRLFFCSLWHLPLLLLLMLTCKRPSGGGDAGPPPS
+>DECOY_sp|Q12887|COX10_HUMAN Protoheme IX farnesyltransferase, mitochondrial OS=Homo sapiens OX=9606 GN=COX10 PE=1 SV=3
+SPPPGADGGGSPRKCTLMLLLLLPLHWLSCFFLRRSSRRDADVYFRFGLYSIYANIPLAMIPFTWTTIDLVPAAASLVLLALCHRLAVRRCLGPHTVSMMCYGGRSYDERLGWSLANFHPFQWSYLIGGLLFAGADLSGTAATWGMVPPIAGVVAGVWTNAISIRKLPTYCCTYLFINFLGLAGTLPNVGLTLIAVGPVACCTAFSVALLPSIQGRVLPRNKTRNMNSDFPVEFFQNISNAACSALGTGVSTLLFCPWDFPGPALAFGAATTSVVLATLKIKSLRALIGPLDYVQLKMEKWRKEEKTEKGVDISDEIVSDPELEILEKENPKRSLSLSPPSLPRMEYIEAKAQGSSTKELFPSAVPEPKPRVQQNHSRNLQTVYMRKLFSFHQFTIWQKNVNRLLHLFKHPSDQITRRELYWVSGGVCGTLLRSSLTHPSAAM
+>sp|P13073|COX41_HUMAN Cytochrome c oxidase subunit 4 isoform 1, mitochondrial OS=Homo sapiens OX=9606 GN=COX4I1 PE=1 SV=1
+MLATRVFSLVGKRAISTSVCVRAHESVVKSEDFSLPAYMDRRDHPLPEVAHVKHLSASQKALKEKEKASWSSLSMDEKVELYRIKFKESFAEMNRGSNEWKTVVGGAMFFIGFTALVIMWQKHYVYGPLPQSFDKEWVAKQTKRMLDMKVNPIQGLASKWDYEKNEWKK
+>DECOY_sp|P13073|COX41_HUMAN Cytochrome c oxidase subunit 4 isoform 1, mitochondrial OS=Homo sapiens OX=9606 GN=COX4I1 PE=1 SV=1
+KKWENKEYDWKSALGQIPNVKMDLMRKTQKAVWEKDFSQPLPGYVYHKQWMIVLATFGIFFMAGGVVTKWENSGRNMEAFSEKFKIRYLEVKEDMSLSSWSAKEKEKLAKQSASLHKVHAVEPLPHDRRDMYAPLSFDESKVVSEHARVCVSTSIARKGVLSFVRTALM
+>sp|Q96KJ9|COX42_HUMAN Cytochrome c oxidase subunit 4 isoform 2, mitochondrial OS=Homo sapiens OX=9606 GN=COX4I2 PE=1 SV=2
+MLPRAAWSLVLRKGGGGRRGMHSSEGTTRGGGKMSPYTNCYAQRYYPMPEEPFCTELNAEEQALKEKEKGSWTQLTHAEKVALYRLQFNETFAEMNRRSNEWKTVMGCVFFFIGFAALVIWWQRVYVFPPKPITLTDERKAQQLQRMLDMKVNPVQGLASRWDYEKKQWKK
+>DECOY_sp|Q96KJ9|COX42_HUMAN Cytochrome c oxidase subunit 4 isoform 2, mitochondrial OS=Homo sapiens OX=9606 GN=COX4I2 PE=1 SV=2
+KKWQKKEYDWRSALGQVPNVKMDLMRQLQQAKREDTLTIPKPPFVYVRQWWIVLAAFGIFFFVCGMVTKWENSRRNMEAFTENFQLRYLAVKEAHTLQTWSGKEKEKLAQEEANLETCFPEEPMPYYRQAYCNTYPSMKGGGRTTGESSHMGRRGGGGKRLVLSWAARPLM
+>sp|O14548|COX7R_HUMAN Cytochrome c oxidase subunit 7A-related protein, mitochondrial OS=Homo sapiens OX=9606 GN=COX7A2L PE=1 SV=2
+MYYKFSGFTQKLAGAWASEAYSPQGLKPVVSTEAPPIIFATPTKLTSDSTVYDYAGKNKVPELQKFFQKADGVPVYLKRGLPDQMLYRTTMALTVGGTIYCLIALYMASQPKNK
+>DECOY_sp|O14548|COX7R_HUMAN Cytochrome c oxidase subunit 7A-related protein, mitochondrial OS=Homo sapiens OX=9606 GN=COX7A2L PE=1 SV=2
+KNKPQSAMYLAILCYITGGVTLAMTTRYLMQDPLGRKLYVPVGDAKQFFKQLEPVKNKGAYDYVTSDSTLKTPTAFIIPPAETSVVPKLGQPSYAESAWAGALKQTFGSFKYYM
+>sp|Q9NRP2|COXM2_HUMAN COX assembly mitochondrial protein 2 homolog OS=Homo sapiens OX=9606 GN=CMC2 PE=1 SV=1
+MHPDLSPHLHTEECNVLINLLKECHKNHNILKFFGYCNDVDRELRKCLKNEYVENRTKSREHGIAMRKKLFNPPEESEK
+>DECOY_sp|Q9NRP2|COXM2_HUMAN COX assembly mitochondrial protein 2 homolog OS=Homo sapiens OX=9606 GN=CMC2 PE=1 SV=1
+KESEEPPNFLKKRMAIGHERSKTRNEVYENKLCKRLERDVDNCYGFFKLINHNKHCEKLLNILVNCEETHLHPSLDPHM
+>sp|Q7Z3J2|CP062_HUMAN UPF0505 protein C16orf62 OS=Homo sapiens OX=9606 GN=C16orf62 PE=1 SV=2
+MAVFPWHSRNRNYKAEFASCRLEAVPLEFGDYHPLKPITVTESKTKKVNRKGSTSSTSSSSSSSVVDPLSSVLDGTDPLSMFAATADPAALAAAMDSSRRKRDRDDNSVVGSDFEPWTNKRGEILARYTTTEKLSINLFMGSEKGKAGTATLAMSEKVRTRLEELDDFEEGSQKELLNLTQQDYVNRIEELNQSLKDAWASDQKVKALKIVIQCSKLLSDTSVIQFYPSKFVLITDILDTFGKLVYERIFSMCVDSRSVLPDHFSPENANDTAKETCLNWFFKIASIRELIPRFYVEASILKCNKFLSKTGISECLPRLTCMIRGIGDPLVSVYARAYLCRVGMEVAPHLKETLNKNFFDFLLTFKQIHGDTVQNQLVVQGVELPSYLPLYPPAMDWIFQCISYHAPEALLTEMMERCKKLGNNALLLNSVMSAFRAEFIATRSMDFIGMIKECDESGFPKHLLFRSLGLNLALADPPESDRLQILNEAWKVITKLKNPQDYINCAEVWVEYTCKHFTKREVNTVLADVIKHMTPDRAFEDSYPQLQLIIKKVIAHFHDFSVLFSVEKFLPFLDMFQKESVRVEVCKCIMDAFIKHQQEPTKDPVILNALLHVCKTMHDSVNALTLEDEKRMLSYLINGFIKMVSFGRDFEQQLSFYVESRSMFCNLEPVLVQLIHSVNRLAMETRKVMKGNHSRKTAAFVRACVAYCFITIPSLAGIFTRLNLYLHSGQVALANQCLSQADAFFKAAISLVPEVPKMINIDGKMRPSESFLLEFLCNFFSTLLIVPDHPEHGVLFLVRELLNVIQDYTWEDNSDEKIRIYTCVLHLLSAMSQETYLYHIDKVDSNDSLYGGDSKFLAENNKLCETVMAQILEHLKTLAKDEALKRQSSLGLSFFNSILAHGDLRNNKLNQLSVNLWHLAQRHGCADTRTMVKTLEYIKKQSKQPDMTHLTELALRLPLQTRT
+>DECOY_sp|Q7Z3J2|CP062_HUMAN UPF0505 protein C16orf62 OS=Homo sapiens OX=9606 GN=C16orf62 PE=1 SV=2
+TRTQLPLRLALETLHTMDPQKSQKKIYELTKVMTRTDACGHRQALHWLNVSLQNLKNNRLDGHALISNFFSLGLSSQRKLAEDKALTKLHELIQAMVTECLKNNEALFKSDGGYLSDNSDVKDIHYLYTEQSMASLLHLVCTYIRIKEDSNDEWTYDQIVNLLERVLFLVGHEPHDPVILLTSFFNCLFELLFSESPRMKGDINIMKPVEPVLSIAAKFFADAQSLCQNALAVQGSHLYLNLRTFIGALSPITIFCYAVCARVFAATKRSHNGKMVKRTEMALRNVSHILQVLVPELNCFMSRSEVYFSLQQEFDRGFSVMKIFGNILYSLMRKEDELTLANVSDHMTKCVHLLANLIVPDKTPEQQHKIFADMICKCVEVRVSEKQFMDLFPLFKEVSFLVSFDHFHAIVKKIILQLQPYSDEFARDPTMHKIVDALVTNVERKTFHKCTYEVWVEACNIYDQPNKLKTIVKWAENLIQLRDSEPPDALALNLGLSRFLLHKPFGSEDCEKIMGIFDMSRTAIFEARFASMVSNLLLANNGLKKCREMMETLLAEPAHYSICQFIWDMAPPYLPLYSPLEVGQVVLQNQVTDGHIQKFTLLFDFFNKNLTEKLHPAVEMGVRCLYARAYVSVLPDGIGRIMCTLRPLCESIGTKSLFKNCKLISAEVYFRPILERISAIKFFWNLCTEKATDNANEPSFHDPLVSRSDVCMSFIREYVLKGFTDLIDTILVFKSPYFQIVSTDSLLKSCQIVIKLAKVKQDSAWADKLSQNLEEIRNVYDQQTLNLLEKQSGEEFDDLEELRTRVKESMALTATGAKGKESGMFLNISLKETTTYRALIEGRKNTWPEFDSGVVSNDDRDRKRRSSDMAAALAAPDATAAFMSLPDTGDLVSSLPDVVSSSSSSSTSSTSGKRNVKKTKSETVTIPKLPHYDGFELPVAELRCSAFEAKYNRNRSHWPFVAM
+>sp|Q8WTQ4|CP078_HUMAN Uncharacterized protein C16orf78 OS=Homo sapiens OX=9606 GN=C16orf78 PE=2 SV=1
+MSEQQMDLKDLMPTKRKYMWKTAEDRRMSDLTCVLEWLERRQGKKKQAPEKQKPKVVTVLKRNKKKEEKKGKGLMTARGGNRRDTETSQQALGKRFRKDAASYRSLYGVEQKGKHLSMVPGSYIKDGPKKSDTDIKDAVDPESTQRPNPFRRQSIVLDPMLQEGTFNSQRATFIRDWSNKMPDMAYERKLKSLMEKSTEPKMETMRMLKPEEVLSCRYLRLSKENIRTLLKLCKDAGMNVDIHPHMVEEDIDAKKVFTGIPSMAL
+>DECOY_sp|Q8WTQ4|CP078_HUMAN Uncharacterized protein C16orf78 OS=Homo sapiens OX=9606 GN=C16orf78 PE=2 SV=1
+LAMSPIGTFVKKADIDEEVMHPHIDVNMGADKCLKLLTRINEKSLRLYRCSLVEEPKLMRMTEMKPETSKEMLSKLKREYAMDPMKNSWDRIFTARQSNFTGEQLMPDLVISQRRFPNPRQTSEPDVADKIDTDSKKPGDKIYSGPVMSLHKGKQEVGYLSRYSAADKRFRKGLAQQSTETDRRNGGRATMLGKGKKEEKKKNRKLVTVVKPKQKEPAQKKKGQRRELWELVCTLDSMRRDEATKWMYKRKTPMLDKLDMQQESM
+>sp|Q9UPN4|CP131_HUMAN Centrosomal protein of 131 kDa OS=Homo sapiens OX=9606 GN=CEP131 PE=1 SV=3
+MKGTRAIGSVPERSPAGVDLSLTGLPPPVSRRPGSAATTKPIVRSVSVVTGSEQKRKVLEATGPGGSQAINNLRRSNSTTQVSQPRSGSPRPTEPTDFLMLFEGSPSGKKRPASLSTAPSEKGATWNVLDDQPRGFTLPSNARSSSALDSPAGPRRKECTVALAPNFTANNRSNKGAVGNCVTTMVHNRYTPSERAPPLKSSNQTAPSLNNIIKAATCEGSESSGFGKLPKNVSSATHSARNNTGGSTGLPRRKEVTEEEAERFIHQVNQATVTIQRWYRHQVQRRGAGAARLEHLLQAKREEQRQRSGEGTLLDLHQQKEAARRKAREEKARQARRAAIQELQQKRALRAQKASTAERGPPENPRETRVPGMRQPAQELSPTPGGTAHQALKANNTGGGLPAAGPGDRCLPTSDSSPEPQQPPEDRTQDVLAQDAAGDNLEMMAPSRGSAKSRGPLEELLHTLQLLEKEPDVLPRPRTHHRGRYAWASEVTTEDDASSLTADNLEKFGKLSAFPEPPEDGTLLSEAKLQSIMSFLDEMEKSGQDQLDSQQEGWVPEAGPGPLELGSEVSTSVMRLKLEVEEKKQAMLLLQRALAQQRDLTARRVKETEKALSRQLQRQREHYEATIQRHLAFIDQLIEDKKVLSEKCEAVVAELKQEDQRCTERVAQAQAQHELEIKKLKELMSATEKARREKWISEKTKKIKEVTVRGLEPEIQKLIARHKQEVRRLKSLHEAELLQSDERASQRCLRQAEELREQLEREKEALGQQERERARQRFQQHLEQEQWALQQQRQRLYSEVAEERERLGQQAARQRAELEELRQQLEESSSALTRALRAEFEKGREEQERRHQMELNTLKQQLELERQAWEAGRTRKEEAWLLNREQELREEIRKGRDKEIELVIHRLEADMALAKEESEKAAESRIKRLRDKYEAELSELEQSERKLQERCSELKGQLGEAEGENLRLQGLVRQKERALEDAQAVNEQLSSERSNLAQVIRQEFEDRLAASEEETRQAKAELATLQARQQLELEEVHRRVKTALARKEEAVSSLRTQHEAAVKRADHLEELLEQHRRPTPSTK
+>DECOY_sp|Q9UPN4|CP131_HUMAN Centrosomal protein of 131 kDa OS=Homo sapiens OX=9606 GN=CEP131 PE=1 SV=3
+KTSPTPRRHQELLEELHDARKVAAEHQTRLSSVAEEKRALATKVRRHVEELELQQRAQLTALEAKAQRTEEESAALRDEFEQRIVQALNSRESSLQENVAQADELAREKQRVLGQLRLNEGEAEGLQGKLESCREQLKRESQELESLEAEYKDRLRKIRSEAAKESEEKALAMDAELRHIVLEIEKDRGKRIEERLEQERNLLWAEEKRTRGAEWAQRELELQQKLTNLEMQHRREQEERGKEFEARLARTLASSSEELQQRLEELEARQRAAQQGLREREEAVESYLRQRQQQLAWQEQELHQQFRQRAREREQQGLAEKERELQERLEEAQRLCRQSAREDSQLLEAEHLSKLRRVEQKHRAILKQIEPELGRVTVEKIKKTKESIWKERRAKETASMLEKLKKIELEHQAQAQAVRETCRQDEQKLEAVVAECKESLVKKDEILQDIFALHRQITAEYHERQRQLQRSLAKETEKVRRATLDRQQALARQLLLMAQKKEEVELKLRMVSTSVESGLELPGPGAEPVWGEQQSDLQDQGSKEMEDLFSMISQLKAESLLTGDEPPEPFASLKGFKELNDATLSSADDETTVESAWAYRGRHHTRPRPLVDPEKELLQLTHLLEELPGRSKASGRSPAMMELNDGAADQALVDQTRDEPPQQPEPSSDSTPLCRDGPGAAPLGGGTNNAKLAQHATGGPTPSLEQAPQRMGPVRTERPNEPPGREATSAKQARLARKQQLEQIAARRAQRAKEERAKRRAAEKQQHLDLLTGEGSRQRQEERKAQLLHELRAAGAGRRQVQHRYWRQITVTAQNVQHIFREAEEETVEKRRPLGTSGGTNNRASHTASSVNKPLKGFGSSESGECTAAKIINNLSPATQNSSKLPPARESPTYRNHVMTTVCNGVAGKNSRNNATFNPALAVTCEKRRPGAPSDLASSSRANSPLTFGRPQDDLVNWTAGKESPATSLSAPRKKGSPSGEFLMLFDTPETPRPSGSRPQSVQTTSNSRRLNNIAQSGGPGTAELVKRKQESGTVVSVSRVIPKTTAASGPRRSVPPPLGTLSLDVGAPSREPVSGIARTGKM
+>sp|P24903|CP2F1_HUMAN Cytochrome P450 2F1 OS=Homo sapiens OX=9606 GN=CYP2F1 PE=1 SV=2
+MDSISTAILLLLLALVCLLLTLSSRDKGKLPPGPRPLSILGNLLLLCSQDMLTSLTKLSKEYGSMYTVHLGPRRVVVLSGYQAVKEALVDQGEEFSGRGDYPAFFNFTKGNGIAFSSGDRWKVLRQFSIQILRNFGMGKRSIEERILEEGSFLLAELRKTEGEPFDPTFVLSRSVSNIICSVLFGSRFDYDDERLLTIIRLINDNFQIMSSPWGELYDIFPSLLDWVPGPHQRIFQNFKCLRDLIAHSVHDHQASLDPRSPRDFIQCFLTKMAEEKEDPLSHFHMDTLLMTTHNLLFGGTKTVSTTLHHAFLALMKYPKVQARVQEEIDLVVGRARLPALKDRAAMPYTDAVIHEVQRFADIIPMNLPHRVTRDTAFRGFLIPKGTDVITLLNTVHYDPSQFLTPQEFNPEHFLDANQSFKKSPAFMPFSAGRRLCLGESLARMELFLYLTAILQSFSLQPLGAPEDIDLTPLSSGLGNLPRPFQLCLRPR
+>DECOY_sp|P24903|CP2F1_HUMAN Cytochrome P450 2F1 OS=Homo sapiens OX=9606 GN=CYP2F1 PE=1 SV=2
+RPRLCLQFPRPLNGLGSSLPTLDIDEPAGLPQLSFSQLIATLYLFLEMRALSEGLCLRRGASFPMFAPSKKFSQNADLFHEPNFEQPTLFQSPDYHVTNLLTIVDTGKPILFGRFATDRTVRHPLNMPIIDAFRQVEHIVADTYPMAARDKLAPLRARGVVLDIEEQVRAQVKPYKMLALFAHHLTTSVTKTGGFLLNHTTMLLTDMHFHSLPDEKEEAMKTLFCQIFDRPSRPDLSAQHDHVSHAILDRLCKFNQFIRQHPGPVWDLLSPFIDYLEGWPSSMIQFNDNILRIITLLREDDYDFRSGFLVSCIINSVSRSLVFTPDFPEGETKRLEALLFSGEELIREEISRKGMGFNRLIQISFQRLVKWRDGSSFAIGNGKTFNFFAPYDGRGSFEEGQDVLAEKVAQYGSLVVVRRPGLHVTYMSGYEKSLKTLSTLMDQSCLLLLNGLISLPRPGPPLKGKDRSSLTLLLCVLALLLLLIATSISDM
+>sp|P24462|CP3A7_HUMAN Cytochrome P450 3A7 OS=Homo sapiens OX=9606 GN=CYP3A7 PE=1 SV=2
+MDLIPNLAVETWLLLAVSLILLYLYGTRTHGLFKKLGIPGPTPLPFLGNALSFRKGYWTFDMECYKKYRKVWGIYDCQQPMLAITDPDMIKTVLVKECYSVFTNRRPFGPVGFMKNAISIAEDEEWKRIRSLLSPTFTSGKLKEMVPIIAQYGDVLVRNLRREAETGKPVTLKHVFGAYSMDVITSTSFGVSIDSLNNPQDPFVENTKKLLRFNPLDPFVLSIKVFPFLTPILEALNITVFPRKVISFLTKSVKQIKEGRLKETQKHRVDFLQLMIDSQNSKDSETHKALSDLELMAQSIIFIFAGYETTSSVLSFIIYELATHPDVQQKVQKEIDTVLPNKAPPTYDTVLQLEYLDMVVNETLRLFPVAMRLERVCKKDVEINGMFIPKGVVVMIPSYVLHHDPKYWREPEKFLPERFSKKNKDNIDPYIYTPFGSGPRNCIGMRFALVNMKLALVRVLQNFSFKPCKETQIPLKLRFGGLLLTEKPIVLKAESRDETVSGA
+>DECOY_sp|P24462|CP3A7_HUMAN Cytochrome P450 3A7 OS=Homo sapiens OX=9606 GN=CYP3A7 PE=1 SV=2
+AGSVTEDRSEAKLVIPKETLLLGGFRLKLPIQTEKCPKFSFNQLVRVLALKMNVLAFRMGICNRPGSGFPTYIYPDINDKNKKSFREPLFKEPERWYKPDHHLVYSPIMVVVGKPIFMGNIEVDKKCVRELRMAVPFLRLTENVVMDLYELQLVTDYTPPAKNPLVTDIEKQVKQQVDPHTALEYIIFSLVSSTTEYGAFIFIISQAMLELDSLAKHTESDKSNQSDIMLQLFDVRHKQTEKLRGEKIQKVSKTLFSIVKRPFVTINLAELIPTLFPFVKISLVFPDLPNFRLLKKTNEVFPDQPNNLSDISVGFSTSTIVDMSYAGFVHKLTVPKGTEAERRLNRVLVDGYQAIIPVMEKLKGSTFTPSLLSRIRKWEEDEAISIANKMFGVPGFPRRNTFVSYCEKVLVTKIMDPDTIALMPQQCDYIGWVKRYKKYCEMDFTWYGKRFSLANGLFPLPTPGPIGLKKFLGHTRTGYLYLLILSVALLLWTEVALNPILDM
+>sp|Q9HBI6|CP4FB_HUMAN Phylloquinone omega-hydroxylase CYP4F11 OS=Homo sapiens OX=9606 GN=CYP4F11 PE=1 SV=3
+MPQLSLSWLGLGPVAASPWLLLLLVGGSWLLARVLAWTYTFYDNCRRLQCFPQPPKQNWFWGHQGLVTPTEEGMKTLTQLVTTYPQGFKLWLGPTFPLLILCHPDIIRPITSASAAVAPKDMIFYGFLKPWLGDGLLLSGGDKWSRHRRMLTPAFHFNILKPYMKIFNKSVNIMHDKWQRLASEGSARLDMFEHISLMTLDSLQKCVFSFESNCQEKPSEYIAAILELSAFVEKRNQQILLHTDFLYYLTPDGQRFRRACHLVHDFTDAVIQERRCTLPTQGIDDFLKNKAKSKTLDFIDVLLLSKDEDGKELSDEDIRAEADTFMFEGHDTTASGLSWVLYHLAKHPEYQEQCRQEVQELLKDREPIEIEWDDLAQLPFLTMCIKESLRLHPPVPVISRCCTQDFVLPDGRVIPKGIVCLINIIGIHYNPTVWPDPEVYDPFRFDQENIKERSPLAFIPFSAGPRNCIGQAFAMAEMKVVLALTLLHFRILPTHTEPRRKPELILRAEGGLWLRVEPLGANSQ
+>DECOY_sp|Q9HBI6|CP4FB_HUMAN Phylloquinone omega-hydroxylase CYP4F11 OS=Homo sapiens OX=9606 GN=CYP4F11 PE=1 SV=3
+QSNAGLPEVRLWLGGEARLILEPKRRPETHTPLIRFHLLTLALVVKMEAMAFAQGICNRPGASFPIFALPSREKINEQDFRFPDYVEPDPWVTPNYHIGIINILCVIGKPIVRGDPLVFDQTCCRSIVPVPPHLRLSEKICMTLFPLQALDDWEIEIPERDKLLEQVEQRCQEQYEPHKALHYLVWSLGSATTDHGEFMFTDAEARIDEDSLEKGDEDKSLLLVDIFDLTKSKAKNKLFDDIGQTPLTCRREQIVADTFDHVLHCARRFRQGDPTLYYLFDTHLLIQQNRKEVFASLELIAAIYESPKEQCNSEFSFVCKQLSDLTMLSIHEFMDLRASGESALRQWKDHMINVSKNFIKMYPKLINFHFAPTLMRRHRSWKDGGSLLLGDGLWPKLFGYFIMDKPAVAASASTIPRIIDPHCLILLPFTPGLWLKFGQPYTTVLQTLTKMGEETPTVLGQHGWFWNQKPPQPFCQLRRCNDYFTYTWALVRALLWSGGVLLLLLWPSAAVPGLGLWSLSLQPM
+>sp|Q17RY0|CPEB4_HUMAN Cytoplasmic polyadenylation element-binding protein 4 OS=Homo sapiens OX=9606 GN=CPEB4 PE=1 SV=1
+MGDYGFGVLVQSNTGNKSAFPVRFHPHLQPPHHHQNATPSPAAFINNNTAANGSSAGSAWLFPAPATHNIQDEILGSEKAKSQQQEQQDPLEKQQLSPSPGQEAGILPETEKAKSEENQGDNSSENGNGKEKIRIESPVLTGFDYQEATGLGTSTQPLTSSASSLTGFSNWSAAIAPSSSTIINEDASFFHQGGVPAASANNGALLFQNFPHHVSPGFGGSFSPQIGPLSQHHPHHPHFQHHHSQHQQQRRSPASPHPPPFTHRNAAFNQLPHLANNLNKPPSPWSSYQSPSPTPSSSWSPGGGGYGGWGGSQGRDHRRGLNGGITPLNSISPLKKNFASNHIQLQKYARPSSAFAPKSWMEDSLNRADNIFPFPDRPRTFDMHSLESSLIDIMRAENDTIKGRLNYSYPGSDSSLLINARTYGRRRGQSSLFPMEDGFLDDGRGDQPLHSGLGSPHCFSHQNGERVERYSRKVFVGGLPPDIDEDEITASFRRFGPLIVDWPHKAESKSYFPPKGYAFLLFQDESSVQALIDACIEEDGKLYLCVSSPTIKDKPVQIRPWNLSDSDFVMDGSQPLDPRKTIFVGGVPRPLRAVELAMIMDRLYGGVCYAGIDTDPELKYPKGAGRVAFSNQQSYIAAISARFVQLQHGEIDKRVEVKPYVLDDQLCDECQGARCGGKFAPFFCANVTCLQYYCEYCWAAIHSRAGREFHKPLVKEGGDRPRHISFRWN
+>DECOY_sp|Q17RY0|CPEB4_HUMAN Cytoplasmic polyadenylation element-binding protein 4 OS=Homo sapiens OX=9606 GN=CPEB4 PE=1 SV=1
+NWRFSIHRPRDGGEKVLPKHFERGARSHIAAWCYECYYQLCTVNACFFPAFKGGCRAGQCEDCLQDDLVYPKVEVRKDIEGHQLQVFRASIAAIYSQQNSFAVRGAGKPYKLEPDTDIGAYCVGGYLRDMIMALEVARLPRPVGGVFITKRPDLPQSGDMVFDSDSLNWPRIQVPKDKITPSSVCLYLKGDEEICADILAQVSSEDQFLLFAYGKPPFYSKSEAKHPWDVILPGFRRFSATIEDEDIDPPLGGVFVKRSYREVREGNQHSFCHPSGLGSHLPQDGRGDDLFGDEMPFLSSQGRRRGYTRANILLSSDSGPYSYNLRGKITDNEARMIDILSSELSHMDFTRPRDPFPFINDARNLSDEMWSKPAFASSPRAYKQLQIHNSAFNKKLPSISNLPTIGGNLGRRHDRGQSGGWGGYGGGGPSWSSSPTPSPSQYSSWPSPPKNLNNALHPLQNFAANRHTFPPPHPSAPSRRQQQHQSHHHQFHPHHPHHQSLPGIQPSFSGGFGPSVHHPFNQFLLAGNNASAAPVGGQHFFSADENIITSSSPAIAASWNSFGTLSSASSTLPQTSTGLGTAEQYDFGTLVPSEIRIKEKGNGNESSNDGQNEESKAKETEPLIGAEQGPSPSLQQKELPDQQEQQQSKAKESGLIEDQINHTAPAPFLWASGASSGNAATNNNIFAAPSPTANQHHHPPQLHPHFRVPFASKNGTNSQVLVGFGYDGM
+>sp|P50416|CPT1A_HUMAN Carnitine O-palmitoyltransferase 1, liver isoform OS=Homo sapiens OX=9606 GN=CPT1A PE=1 SV=2
+MAEAHQAVAFQFTVTPDGIDLRLSHEALRQIYLSGLHSWKKKFIRFKNGIITGVYPASPSSWLIVVVGVMTTMYAKIDPSLGIIAKINRTLETANCMSSQTKNVVSGVLFGTGLWVALIVTMRYSLKVLLSYHGWMFTEHGKMSRATKIWMGMVKIFSGRKPMLYSFQTSLPRLPVPAVKDTVNRYLQSVRPLMKEEDFKRMTALAQDFAVGLGPRLQWYLKLKSWWATNYVSDWWEEYIYLRGRGPLMVNSNYYAMDLLYILPTHIQAARAGNAIHAILLYRRKLDREEIKPIRLLGSTIPLCSAQWERMFNTSRIPGEETDTIQHMRDSKHIVVYHRGRYFKVWLYHDGRLLKPREMEQQMQRILDNTSEPQPGEARLAALTAGDRVPWARCRQAYFGRGKNKQSLDAVEKAAFFVTLDETEEGYRSEDPDTSMDSYAKSLLHGRCYDRWFDKSFTFVVFKNGKMGLNAEHSWADAPIVAHLWEYVMSIDSLQLGYAEDGHCKGDINPNIPYPTRLQWDIPGECQEVIETSLNTANLLANDVDFHSFPFVAFGKGIIKKCRTSPDAFVQLALQLAHYKDMGKFCLTYEASMTRLFREGRTETVRSCTTESCDFVRAMVDPAQTVEQRLKLFKLASEKHQHMYRLAMTGSGIDRHLFCLYVVSKYLAVESPFLKEVLSEPWRLSTSQTPQQQVELFDLENNPEYVSSGGGFGPVADDGYGVSYILVGENLINFHISSKFSCPETDSHRFGRHLKEAMTDIITLFGLSSNSKK
+>DECOY_sp|P50416|CPT1A_HUMAN Carnitine O-palmitoyltransferase 1, liver isoform OS=Homo sapiens OX=9606 GN=CPT1A PE=1 SV=2
+KKSNSSLGFLTIIDTMAEKLHRGFRHSDTEPCSFKSSIHFNILNEGVLIYSVGYGDDAVPGFGGGSSVYEPNNELDFLEVQQQPTQSTSLRWPESLVEKLFPSEVALYKSVVYLCFLHRDIGSGTMALRYMHQHKESALKFLKLRQEVTQAPDVMARVFDCSETTCSRVTETRGERFLRTMSAEYTLCFKGMDKYHALQLALQVFADPSTRCKKIIGKGFAVFPFSHFDVDNALLNATNLSTEIVEQCEGPIDWQLRTPYPINPNIDGKCHGDEAYGLQLSDISMVYEWLHAVIPADAWSHEANLGMKGNKFVVFTFSKDFWRDYCRGHLLSKAYSDMSTDPDESRYGEETEDLTVFFAAKEVADLSQKNKGRGFYAQRCRAWPVRDGATLAALRAEGPQPESTNDLIRQMQQEMERPKLLRGDHYLWVKFYRGRHYVVIHKSDRMHQITDTEEGPIRSTNFMREWQASCLPITSGLLRIPKIEERDLKRRYLLIAHIANGARAAQIHTPLIYLLDMAYYNSNVMLPGRGRLYIYEEWWDSVYNTAWWSKLKLYWQLRPGLGVAFDQALATMRKFDEEKMLPRVSQLYRNVTDKVAPVPLRPLSTQFSYLMPKRGSFIKVMGMWIKTARSMKGHETFMWGHYSLLVKLSYRMTVILAVWLGTGFLVGSVVNKTQSSMCNATELTRNIKAIIGLSPDIKAYMTTMVGVVVILWSSPSAPYVGTIIGNKFRIFKKKWSHLGSLYIQRLAEHSLRLDIGDPTVTFQFAVAQHAEAM
+>sp|Q53H64|CQ073_HUMAN Putative uncharacterized protein encoded by LINC00483 OS=Homo sapiens OX=9606 GN=LINC00483 PE=5 SV=1
+MGRRSPFKPRNKVFGFSYPWCRSYQPFPRKRAWPPSRVWLGACCASLASPPKGTIPSGEYYRPAPSSSGDSLRRESGALLQYLPSLASPCANHATRCSLLFPIYKIKMTLLYLTGLARTHCCYLADRCAEAVESAFYLVGSLCINARGAAHLTD
+>DECOY_sp|Q53H64|CQ073_HUMAN Putative uncharacterized protein encoded by LINC00483 OS=Homo sapiens OX=9606 GN=LINC00483 PE=5 SV=1
+DTLHAAGRANICLSGVLYFASEVAEACRDALYCCHTRALGTLYLLTMKIKYIPFLLSCRTAHNACPSALSPLYQLLAGSERRLSDGSSSPAPRYYEGSPITGKPPSALSACCAGLWVRSPPWARKRPFPQYSRCWPYSFGFVKNRPKFPSRRGM
+>sp|Q0VDD5|CQ091_HUMAN Putative uncharacterized protein encoded by MIR22HG OS=Homo sapiens OX=9606 GN=MIR22HG PE=5 SV=1
+MGWEGPNSRVDDTFWASWRAFAQIGPARSGFRLETLAGLRSRRLKQPKAFCLRDVAP
+>DECOY_sp|Q0VDD5|CQ091_HUMAN Putative uncharacterized protein encoded by MIR22HG OS=Homo sapiens OX=9606 GN=MIR22HG PE=5 SV=1
+PAVDRLCFAKPQKLRRSRLGALTELRFGSRAPGIQAFARWSAWFTDDVRSNPGEWGM
+>sp|A8MV24|CQ098_HUMAN Uncharacterized protein C17orf98 OS=Homo sapiens OX=9606 GN=C17orf98 PE=4 SV=1
+MAYLSECRLRLEKGFILDGVAVSTAARAYGRSRPKLWSAIPPYNAQQDYHARSYFQSHVVPPLLRKTDQDHGGTGRDGWIVDYIHIFGQGQRYLNRRNWAGTGHSLQQVTGHDHYNADLKPIDGFNGRFGYRRNTPALRQSTSVFGEVTHFPLF
+>DECOY_sp|A8MV24|CQ098_HUMAN Uncharacterized protein C17orf98 OS=Homo sapiens OX=9606 GN=C17orf98 PE=4 SV=1
+FLPFHTVEGFVSTSQRLAPTNRRYGFRGNFGDIPKLDANYHDHGTVQQLSHGTGAWNRRNLYRQGQGFIHIYDVIWGDRGTGGHDQDTKRLLPPVVHSQFYSRAHYDQQANYPPIASWLKPRSRGYARAATSVAVGDLIFGKELRLRCESLYAM
+>sp|Q70SY1|CR3L2_HUMAN Cyclic AMP-responsive element-binding protein 3-like protein 2 OS=Homo sapiens OX=9606 GN=CREB3L2 PE=1 SV=3
+MEVLESGEQGVLQWDRKLSELSEPGDGEALMYHTHFSELLDEFSQNVLGQLLNDPFLSEKSVSMEVEPSPTSPAPLIQAEHSYSLCEEPRAQSPFTHITTSDSFNDDEVESEKWYLSTDFPSTSIKTEPVTDEPPPGLVPSVTLTITAISTPLEKEEPPLEMNTGVDSSCQTIIPKIKLEPHEVDQFLNFSPKEAPVDHLHLPPTPPSSHGSDSEGSLSPNPRLHPFSLPQTHSPSRAAPRAPSALSSSPLLTAPHKLQGSGPLVLTEEEKRTLIAEGYPIPTKLPLSKSEEKALKKIRRKIKNKISAQESRRKKKEYMDSLEKKVESCSTENLELRKKVEVLENTNRTLLQQLQKLQTLVMGKVSRTCKLAGTQTGTCLMVVVLCFAVAFGSFFQGYGPYPSATKMALPSQHSLQEPYTASVVRSRNLLIYEEHSPPEESSSPGSAGELGGWDRGSSLLRVSGLESRPDVDLPHFIISNETSLEKSVLLELQQHLVSAKLEGNETLKVVELDRRVNTTF
+>DECOY_sp|Q70SY1|CR3L2_HUMAN Cyclic AMP-responsive element-binding protein 3-like protein 2 OS=Homo sapiens OX=9606 GN=CREB3L2 PE=1 SV=3
+FTTNVRRDLEVVKLTENGELKASVLHQQLELLVSKELSTENSIIFHPLDVDPRSELGSVRLLSSGRDWGGLEGASGPSSSEEPPSHEEYILLNRSRVVSATYPEQLSHQSPLAMKTASPYPGYGQFFSGFAVAFCLVVVMLCTGTQTGALKCTRSVKGMVLTQLKQLQQLLTRNTNELVEVKKRLELNETSCSEVKKELSDMYEKKKRRSEQASIKNKIKRRIKKLAKEESKSLPLKTPIPYGEAILTRKEEETLVLPGSGQLKHPATLLPSSSLASPARPAARSPSHTQPLSFPHLRPNPSLSGESDSGHSSPPTPPLHLHDVPAEKPSFNLFQDVEHPELKIKPIITQCSSDVGTNMELPPEEKELPTSIATITLTVSPVLGPPPEDTVPETKISTSPFDTSLYWKESEVEDDNFSDSTTIHTFPSQARPEECLSYSHEAQILPAPSTPSPEVEMSVSKESLFPDNLLQGLVNQSFEDLLESFHTHYMLAEGDGPESLESLKRDWQLVGQEGSELVEM
+>sp|Q68CJ9|CR3L3_HUMAN Cyclic AMP-responsive element-binding protein 3-like protein 3 OS=Homo sapiens OX=9606 GN=CREB3L3 PE=1 SV=2
+MNTDLAAGKMASAACSMDPIDSFELLDLLFDRQDGILRHVELGEGWGHVKDQQVLPNPDSDDFLSSILGSGDSLPSSPLWSPEGSDSGISEDLPSDPQDTPPRSGPATSPAGCHPAQPGKGPCLSYHPGNSCSTTTPGPVIQVPEASVTIDLEMWSPGGRICAEKPADPVDLSPRCNLTVKDLLLSGSSGDLQQHHLGASYLLRPGAGHCQELVLTEDEKKLLAKEGITLPTQLPLTKYEERVLKKIRRKIRNKQSAQESRKKKKEYIDGLETRMSACTAQNQELQRKVLHLEKQNLSLLEQLKKLQAIVVQSTSKSAQTGTCVAVLLLSFALIILPSISPFGPNKTESPGDFAPVRVFSRTLHNDAASRVAADAVPGSEAPGPRPEADTTREESPGSPGADWGFQDTANLTNSTEELDNATLVLRNATEGLGQVALLDWVAPGPSTGSGRAGLEAAGDEL
+>DECOY_sp|Q68CJ9|CR3L3_HUMAN Cyclic AMP-responsive element-binding protein 3-like protein 3 OS=Homo sapiens OX=9606 GN=CREB3L3 PE=1 SV=2
+LEDGAAELGARGSGTSPGPAVWDLLAVQGLGETANRLVLTANDLEETSNTLNATDQFGWDAGPSGPSEERTTDAEPRPGPAESGPVADAAVRSAADNHLTRSFVRVPAFDGPSETKNPGFPSISPLIILAFSLLLVAVCTGTQASKSTSQVVIAQLKKLQELLSLNQKELHLVKRQLEQNQATCASMRTELGDIYEKKKKRSEQASQKNRIKRRIKKLVREEYKTLPLQTPLTIGEKALLKKEDETLVLEQCHGAGPRLLYSAGLHHQQLDGSSGSLLLDKVTLNCRPSLDVPDAPKEACIRGGPSWMELDITVSAEPVQIVPGPTTTSCSNGPHYSLCPGKGPQAPHCGAPSTAPGSRPPTDQPDSPLDESIGSDSGEPSWLPSSPLSDGSGLISSLFDDSDPNPLVQQDKVHGWGEGLEVHRLIGDQRDFLLDLLEFSDIPDMSCAASAMKGAALDTNM
+>sp|Q9NQ79|CRAC1_HUMAN Cartilage acidic protein 1 OS=Homo sapiens OX=9606 GN=CRTAC1 PE=1 SV=2
+MAPSADPGMSRMLPFLLLLWFLPITEGSQRAEPMFTAVTNSVLPPDYDSNPTQLNYGVAVTDVDHDGDFEIVVAGYNGPNLVLKYDRAQKRLVNIAVDERSSPYYALRDRQGNAIGVTACDIDGDGREEIYFLNTNNAFSGVATYTDKLFKFRNNRWEDILSDEVNVARGVASLFAGRSVACVDRKGSGRYSIYIANYAYGNVGPDALIEMDPEASDLSRGILALRDVAAEAGVSKYTGGRGVSVGPILSSSASDIFCDNENGPNFLFHNRGDGTFVDAAASAGVDDPHQHGRGVALADFNRDGKVDIVYGNWNGPHRLYLQMSTHGKVRFRDIASPKFSMPSPVRTVITADFDNDQELEIFFNNIAYRSSSANRLFRVIRREHGDPLIEELNPGDALEPEGRGTGGVVTDFDGDGMLDLILSHGESMAQPLSVFRGNQGFNNNWLRVVPRTRFGAFARGAKVVLYTKKSGAHLRIIDGGSGYLCEMEPVAHFGLGKDEASSVEVTWPDGKMVSRNVASGEMNSVLEILYPRDEDTLQDPAPLECGQGFSQQENGHCMDTNECIQFPFVCPRDKPVCVNTYGSYRCRTNKKCSRGYEPNEDGTACVGTLGQSPGPRPTTPTAAAATAAAAAAAGAATAAPVLVDGDLNLGSVVKESCEPSC
+>DECOY_sp|Q9NQ79|CRAC1_HUMAN Cartilage acidic protein 1 OS=Homo sapiens OX=9606 GN=CRTAC1 PE=1 SV=2
+CSPECSEKVVSGLNLDGDVLVPAATAAGAAAAAAATAAAATPTTPRPGPSQGLTGVCATGDENPEYGRSCKKNTRCRYSGYTNVCVPKDRPCVFPFQICENTDMCHGNEQQSFGQGCELPAPDQLTDEDRPYLIELVSNMEGSAVNRSVMKGDPWTVEVSSAEDKGLGFHAVPEMECLYGSGGDIIRLHAGSKKTYLVVKAGRAFAGFRTRPVVRLWNNNFGQNGRFVSLPQAMSEGHSLILDLMGDGDFDTVVGGTGRGEPELADGPNLEEILPDGHERRIVRFLRNASSSRYAINNFFIELEQDNDFDATIVTRVPSPMSFKPSAIDRFRVKGHTSMQLYLRHPGNWNGYVIDVKGDRNFDALAVGRGHQHPDDVGASAAADVFTGDGRNHFLFNPGNENDCFIDSASSSLIPGVSVGRGGTYKSVGAEAAVDRLALIGRSLDSAEPDMEILADPGVNGYAYNAIYISYRGSGKRDVCAVSRGAFLSAVGRAVNVEDSLIDEWRNNRFKFLKDTYTAVGSFANNTNLFYIEERGDGDIDCATVGIANGQRDRLAYYPSSREDVAINVLRKQARDYKLVLNPGNYGAVVIEFDGDHDVDTVAVGYNLQTPNSDYDPPLVSNTVATFMPEARQSGETIPLFWLLLLFPLMRSMGPDASPAM
+>sp|O60519|CRBL2_HUMAN cAMP-responsive element-binding protein-like 2 OS=Homo sapiens OX=9606 GN=CREBL2 PE=1 SV=1
+MDDSKVVGGKVKKPGKRGRKPAKIDLKAKLERSRQSARECRARKKLRYQYLEELVSSRERAICALREELEMYKQWCMAMDQGKIPSEIKALLTGEEQNKSQQNSSRHTKAGKTDANSNSW
+>DECOY_sp|O60519|CRBL2_HUMAN cAMP-responsive element-binding protein-like 2 OS=Homo sapiens OX=9606 GN=CREBL2 PE=1 SV=1
+WSNSNADTKGAKTHRSSNQQSKNQEEGTLLAKIESPIKGQDMAMCWQKYMELEERLACIARERSSVLEELYQYRLKKRARCERASQRSRELKAKLDIKAPKRGRKGPKKVKGGVVKSDDM
+>sp|Q9BU40|CRDL1_HUMAN Chordin-like protein 1 OS=Homo sapiens OX=9606 GN=CHRDL1 PE=1 SV=1
+MGGMKYIFSLLFFLLLEGGKTEQVKHSETYCMFQDKKYRVGERWHPYLEPYGLVYCVNCICSENGNVLCSRVRCPNVHCLSPVHIPHLCCPRCPDSLPPVNNKVTSKSCEYNGTTYQHGELFVAEGLFQNRQPNQCTQCSCSEGNVYCGLKTCPKLTCAFPVSVPDSCCRVCRGDGELSWEHSDGDIFRQPANREARHSYHRSHYDPPPSRQAGGLSRFPGARSHRGALMDSQQASGTIVQIVINNKHKHGQVCVSNGKTYSHGESWHPNLRAFGIVECVLCTCNVTKQECKKIHCPNRYPCKYPQKIDGKCCKVCPGKKAKELPGQSFDNKGYFCGEETMPVYESVFMEDGETTRKIALETERPPQVEVHVWTIRKGILQHFHIEKISKRMFEELPHFKLVTRTTLSQWKIFTEGEAQISQMCSSRVCRTELEDLVKVLYLERSEKGHC
+>DECOY_sp|Q9BU40|CRDL1_HUMAN Chordin-like protein 1 OS=Homo sapiens OX=9606 GN=CHRDL1 PE=1 SV=1
+CHGKESRELYLVKVLDELETRCVRSSCMQSIQAEGETFIKWQSLTTRTVLKFHPLEEFMRKSIKEIHFHQLIGKRITWVHVEVQPPRETELAIKRTTEGDEMFVSEYVPMTEEGCFYGKNDFSQGPLEKAKKGPCVKCCKGDIKQPYKCPYRNPCHIKKCEQKTVNCTCLVCEVIGFARLNPHWSEGHSYTKGNSVCVQGHKHKNNIVIQVITGSAQQSDMLAGRHSRAGPFRSLGGAQRSPPPDYHSRHYSHRAERNAPQRFIDGDSHEWSLEGDGRCVRCCSDPVSVPFACTLKPCTKLGCYVNGESCSCQTCQNPQRNQFLGEAVFLEGHQYTTGNYECSKSTVKNNVPPLSDPCRPCCLHPIHVPSLCHVNPCRVRSCLVNGNESCICNVCYVLGYPELYPHWREGVRYKKDQFMCYTESHKVQETKGGELLLFFLLSFIYKMGGM
+>sp|Q02930|CREB5_HUMAN Cyclic AMP-responsive element-binding protein 5 OS=Homo sapiens OX=9606 GN=CREB5 PE=1 SV=3
+MIYEESKMNLEQERPFVCSAPGCSQRFPTEDHLMIHRHKHEMTLKFPSIKTDNMLSDQTPTPTRFLKNCEEVGLFSELDCSLEHEFRKAQEEESSKRNISMHNAVGGAMTGPGTHQLSSARLPNHDTNVVIQQAMPSPQSSSVITQAPSTNRQIGPVPGSLSSLLHLHNRQRQPMPASMPGTLPNPTMPGSSAVLMPMERQMSVNSSIMGMQGPNLSNPCASPQVQPMHSEAKMRLKAALTHHPAAMSNGNMNTMGHMMEMMGSRQDQTPHHHMHSHPHQHQTLPPHHPYPHQHQHPAHHPHPQPHHQQNHPHHHSHSHLHAHPAHHQTSPHPPLHTGNQAQVSPATQQMQPTQTIQPPQPTGGRRRRVVDEDPDERRRKFLERNRAAATRCRQKRKVWVMSLEKKAEELTQTNMQLQNEVSMLKNEVAQLKQLLLTHKDCPITAMQKESQGYLSPESSPPASPVPACSQQQVIQHNTITTSSSVSEVVGSSTLSQLTTHRTDLNPIL
+>DECOY_sp|Q02930|CREB5_HUMAN Cyclic AMP-responsive element-binding protein 5 OS=Homo sapiens OX=9606 GN=CREB5 PE=1 SV=3
+LIPNLDTRHTTLQSLTSSGVVESVSSSTTITNHQIVQQQSCAPVPSAPPSSEPSLYGQSEKQMATIPCDKHTLLLQKLQAVENKLMSVENQLQMNTQTLEEAKKELSMVWVKRKQRCRTAAARNRELFKRRREDPDEDVVRRRRGGTPQPPQITQTPQMQQTAPSVQAQNGTHLPPHPSTQHHAPHAHLHSHSHHHPHNQQHHPQPHPHHAPHQHQHPYPHHPPLTQHQHPHSHMHHHPTQDQRSGMMEMMHGMTNMNGNSMAAPHHTLAAKLRMKAESHMPQVQPSACPNSLNPGQMGMISSNVSMQREMPMLVASSGPMTPNPLTGPMSAPMPQRQRNHLHLLSSLSGPVPGIQRNTSPAQTIVSSSQPSPMAQQIVVNTDHNPLRASSLQHTGPGTMAGGVANHMSINRKSSEEEQAKRFEHELSCDLESFLGVEECNKLFRTPTPTQDSLMNDTKISPFKLTMEHKHRHIMLHDETPFRQSCGPASCVFPREQELNMKSEEYIM
+>sp|O75629|CREG1_HUMAN Protein CREG1 OS=Homo sapiens OX=9606 GN=CREG1 PE=1 SV=1
+MAGLSRGSARALLAALLASTLLALLVSPARGRGGRDHGDWDEASRLPPLPPREDAARVARFVTHVSDWGALATISTLEAVRGRPFADVLSLSDGPPGAGSGVPYFYLSPLQLSVSNLQENPYATLTMTLAQTNFCKKHGFDPQSPLCVHIMLSGTVTKVNETEMDIAKHSLFIRHPEMKTWPSSHNWFFAKLNITNIWVLDYFGGPKIVTPEEYYNVTVQ
+>DECOY_sp|O75629|CREG1_HUMAN Protein CREG1 OS=Homo sapiens OX=9606 GN=CREG1 PE=1 SV=1
+QVTVNYYEEPTVIKPGGFYDLVWINTINLKAFFWNHSSPWTKMEPHRIFLSHKAIDMETENVKTVTGSLMIHVCLPSQPDFGHKKCFNTQALTMTLTAYPNEQLNSVSLQLPSLYFYPVGSGAGPPGDSLSLVDAFPRGRVAELTSITALAGWDSVHTVFRAVRAADERPPLPPLRSAEDWDGHDRGGRGRAPSVLLALLTSALLAALLARASGRSLGAM
+>sp|Q6UXH1|CREL2_HUMAN Cysteine-rich with EGF-like domain protein 2 OS=Homo sapiens OX=9606 GN=CRELD2 PE=1 SV=1
+MRLPRRAALGLLPLLLLLPPAPEAAKKPTPCHRCRGLVDKFNQGMVDTAKKNFGGGNTAWEEKTLSKYESSEIRLLEILEGLCESSDFECNQMLEAQEEHLEAWWLQLKSEYPDLFEWFCVKTLKVCCSPGTYGPDCLACQGGSQRPCSGNGHCSGDGSRQGDGSCRCHMGYQGPLCTDCMDGYFSSLRNETHSICTACDESCKTCSGLTNRDCGECEVGWVLDEGACVDVDECAAEPPPCSAAQFCKNANGSYTCEECDSSCVGCTGEGPGNCKECISGYAREHGQCADVDECSLAEKTCVRKNENCYNTPGSYVCVCPDGFEETEDACVPPAEAEATEGESPTQLPSREDL
+>DECOY_sp|Q6UXH1|CREL2_HUMAN Cysteine-rich with EGF-like domain protein 2 OS=Homo sapiens OX=9606 GN=CRELD2 PE=1 SV=1
+LDERSPLQTPSEGETAEAEAPPVCADETEEFGDPCVCVYSGPTNYCNENKRVCTKEALSCEDVDACQGHERAYGSICEKCNGPGEGTCGVCSSDCEECTYSGNANKCFQAASCPPPEAACEDVDVCAGEDLVWGVECEGCDRNTLGSCTKCSEDCATCISHTENRLSSFYGDMCDTCLPGQYGMHCRCSGDGQRSGDGSCHGNGSCPRQSGGQCALCDPGYTGPSCCVKLTKVCFWEFLDPYESKLQLWWAELHEEQAELMQNCEFDSSECLGELIELLRIESSEYKSLTKEEWATNGGGFNKKATDVMGQNFKDVLGRCRHCPTPKKAAEPAPPLLLLLPLLGLAARRPLRM
+>sp|O75177|CREST_HUMAN Calcium-responsive transactivator OS=Homo sapiens OX=9606 GN=SS18L1 PE=1 SV=2
+MSVAFASARPRGKGEVTQQTIQKMLDENHHLIQCILEYQSKGKTAECTQYQQILHRNLVYLATIADSNQNMQSLLPAPPTQNMNLGPGALTQSGSSQGLHSQGSLSDAISTGLPPSSLLQGQIGNGPSHVSMQQTAPNTLPTTSMSISGPGYSHAGPASQGVPMQGQGTIGNYVSRTNINMQSNPVSMMQQQAATSHYSSAQGGSQHYQGQSSIAMMGQGSQGSSMMGQRPMAPYRPSQQGSSQQYLGQEEYYGEQYSHSQGAAEPMGQQYYPDGHGDYAYQQSSYTEQSYDRSFEESTQHYYEGGNSQYSQQQAGYQQGAAQQQTYSQQQYPSQQSYPGQQQGYGSAQGAPSQYPGYQQGQGQQYGSYRAPQTAPSAQQQRPYGYEQGQYGNYQQ
+>DECOY_sp|O75177|CREST_HUMAN Calcium-responsive transactivator OS=Homo sapiens OX=9606 GN=SS18L1 PE=1 SV=2
+QQYNGYQGQEYGYPRQQQASPATQPARYSGYQQGQGQQYGPYQSPAGQASGYGQQQGPYSQQSPYQQQSYTQQQAAGQQYGAQQQSYQSNGGEYYHQTSEEFSRDYSQETYSSQQYAYDGHGDPYYQQGMPEAAGQSHSYQEGYYEEQGLYQQSSGQQSPRYPAMPRQGMMSSGQSGQGMMAISSQGQYHQSGGQASSYHSTAAQQQMMSVPNSQMNINTRSVYNGITGQGQMPVGQSAPGAHSYGPGSISMSTTPLTNPATQQMSVHSPGNGIQGQLLSSPPLGTSIADSLSGQSHLGQSSGSQTLAGPGLNMNQTPPAPLLSQMNQNSDAITALYVLNRHLIQQYQTCEATKGKSQYELICQILHHNEDLMKQITQQTVEGKGRPRASAFAVSM
+>sp|P07316|CRGB_HUMAN Gamma-crystallin B OS=Homo sapiens OX=9606 GN=CRYGB PE=1 SV=3
+MGKITFYEDRAFQGRSYECTTDCPNLQPYFSRCNSIRVESGCWMIYERPNYQGHQYFLRRGEYPDYQQWMGLSDSIRSCCLIPPHSGAYRMKIYDRDELRGQMSELTDDCISVQDRFHLTEIHSLNVLEGSWILYEMPNYRGRQYLLRPGEYRRFLDWGAPNAKVGSLRRVMDLY
+>DECOY_sp|P07316|CRGB_HUMAN Gamma-crystallin B OS=Homo sapiens OX=9606 GN=CRYGB PE=1 SV=3
+YLDMVRRLSGVKANPAGWDLFRRYEGPRLLYQRGRYNPMEYLIWSGELVNLSHIETLHFRDQVSICDDTLESMQGRLEDRDYIKMRYAGSHPPILCCSRISDSLGMWQQYDPYEGRRLFYQHGQYNPREYIMWCGSEVRISNCRSFYPQLNPCDTTCEYSRGQFARDEYFTIKGM
+>sp|Q8WXF5|CRGN_HUMAN Gamma-crystallin N OS=Homo sapiens OX=9606 GN=CRYGN PE=2 SV=1
+MAQRSGKITLYEGKHFTGQKLEVFGDCDNFQDRGFMNRVNSIHVESGAWVCFNHPDFRGQQFILEHGDYPDFFRWNSHSDHMGSCRPVGMHGEHFRLEIFEGCNFTGQCLEFLEDSPFLQSRGWVKNCVNTIKVYGDGAAWSPRSFGAEDFQLSSSLQSDQGPEEATTKPATTQPPFLTANL
+>DECOY_sp|Q8WXF5|CRGN_HUMAN Gamma-crystallin N OS=Homo sapiens OX=9606 GN=CRYGN PE=2 SV=1
+LNATLFPPQTTAPKTTAEEPGQDSQLSSSLQFDEAGFSRPSWAAGDGYVKITNVCNKVWGRSQLFPSDELFELCQGTFNCGEFIELRFHEGHMGVPRCSGMHDSHSNWRFFDPYDGHELIFQQGRFDPHNFCVWAGSEVHISNVRNMFGRDQFNDCDGFVELKQGTFHKGEYLTIKGSRQAM
+>sp|Q9NZV1|CRIM1_HUMAN Cysteine-rich motor neuron 1 protein OS=Homo sapiens OX=9606 GN=CRIM1 PE=1 SV=1
+MYLVAGDRGLAGCGHLLVSLLGLLLLLARSGTRALVCLPCDESKCEEPRNCPGSIVQGVCGCCYTCASQRNESCGGTFGIYGTCDRGLRCVIRPPLNGDSLTEYEAGVCEDENWTDDQLLGFKPCNENLIAGCNIINGKCECNTIRTCSNPFEFPSQDMCLSALKRIEEEKPDCSKARCEVQFSPRCPEDSVLIEGYAPPGECCPLPSRCVCNPAGCLRKVCQPGNLNILVSKASGKPGECCDLYECKPVFGVDCRTVECPPVQQTACPPDSYETQVRLTADGCCTLPTRCECLSGLCGFPVCEVGSTPRIVSRGDGTPGKCCDVFECVNDTKPACVFNNVEYYDGDMFRMDNCRFCRCQGGVAICFTAQCGEINCERYYVPEGECCPVCEDPVYPFNNPAGCYANGLILAHGDRWREDDCTFCQCVNGERHCVATVCGQTCTNPVKVPGECCPVCEEPTIITVDPPACGELSNCTLTGKDCINGFKRDHNGCRTCQCINTEELCSERKQGCTLNCPFGFLTDAQNCEICECRPRPKKCRPIICDKYCPLGLLKNKHGCDICRCKKCPELSCSKICPLGFQQDSHGCLICKCREASASAGPPILSGTCLTVDGHHHKNEESWHDGCRECYCLNGREMCALITCPVPACGNPTIHPGQCCPSCADDFVVQKPELSTPSICHAPGGEYFVEGETWNIDSCTQCTCHSGRVLCETEVCPPLLCQNPSRTQDSCCPQCTDQPFRPSLSRNNSVPNYCKNDEGDIFLAAESWKPDVCTSCICIDSVISCFSESCPSVSCERPVLRKGQCCPYCIEDTIPKKVVCHFSGKAYADEERWDLDSCTHCYCLQGQTLCSTVSCPPLPCVEPINVEGSCCPMCPEMYVPEPTNIPIEKTNHRGEVDLEVPLWPTPSENDIVHLPRDMGHLQVDYRDNRLHPSEDSSLDSIASVVVPIIICLSIIIAFLFINQKKQWIPLLCWYRTPTKPSSLNNQLVSVDCKKGTRVQVDSSQRMLRIAEPDARFSGFYSMQKQNHLQADNFYQTV
+>DECOY_sp|Q9NZV1|CRIM1_HUMAN Cysteine-rich motor neuron 1 protein OS=Homo sapiens OX=9606 GN=CRIM1 PE=1 SV=1
+VTQYFNDAQLHNQKQMSYFGSFRADPEAIRLMRQSSDVQVRTGKKCDVSVLQNNLSSPKTPTRYWCLLPIWQKKQNIFLFAIIISLCIIIPVVVSAISDLSSDESPHLRNDRYDVQLHGMDRPLHVIDNESPTPWLPVELDVEGRHNTKEIPINTPEPVYMEPCMPCCSGEVNIPEVCPLPPCSVTSCLTQGQLCYCHTCSDLDWREEDAYAKGSFHCVVKKPITDEICYPCCQGKRLVPRECSVSPCSESFCSIVSDICICSTCVDPKWSEAALFIDGEDNKCYNPVSNNRSLSPRFPQDTCQPCCSDQTRSPNQCLLPPCVETECLVRGSHCTCQTCSDINWTEGEVFYEGGPAHCISPTSLEPKQVVFDDACSPCCQGPHITPNGCAPVPCTILACMERGNLCYCERCGDHWSEENKHHHGDVTLCTGSLIPPGASASAERCKCILCGHSDQQFGLPCIKSCSLEPCKKCRCIDCGHKNKLLGLPCYKDCIIPRCKKPRPRCECIECNQADTLFGFPCNLTCGQKRESCLEETNICQCTRCGNHDRKFGNICDKGTLTCNSLEGCAPPDVTIITPEECVPCCEGPVKVPNTCTQGCVTAVCHREGNVCQCFTCDDERWRDGHALILGNAYCGAPNNFPYVPDECVPCCEGEPVYYRECNIEGCQATFCIAVGGQCRCFRCNDMRFMDGDYYEVNNFVCAPKTDNVCEFVDCCKGPTGDGRSVIRPTSGVECVPFGCLGSLCECRTPLTCCGDATLRVQTEYSDPPCATQQVPPCEVTRCDVGFVPKCEYLDCCEGPKGSAKSVLINLNGPQCVKRLCGAPNCVCRSPLPCCEGPPAYGEILVSDEPCRPSFQVECRAKSCDPKEEEIRKLASLCMDQSPFEFPNSCTRITNCECKGNIINCGAILNENCPKFGLLQDDTWNEDECVGAEYETLSDGNLPPRIVCRLGRDCTGYIGFTGGCSENRQSACTYCCGCVGQVISGPCNRPEECKSEDCPLCVLARTGSRALLLLLGLLSVLLHGCGALGRDGAVLYM
+>sp|P50238|CRIP1_HUMAN Cysteine-rich protein 1 OS=Homo sapiens OX=9606 GN=CRIP1 PE=1 SV=3
+MPKCPKCNKEVYFAERVTSLGKDWHRPCLKCEKCGKTLTSGGHAEHEGKPYCNHPCYAAMFGPKGFGRGGAESHTFK
+>DECOY_sp|P50238|CRIP1_HUMAN Cysteine-rich protein 1 OS=Homo sapiens OX=9606 GN=CRIP1 PE=1 SV=3
+KFTHSEAGGRGFGKPGFMAAYCPHNCYPKGEHEAHGGSTLTKGCKECKLCPRHWDKGLSTVREAFYVEKNCKPCKPM
+>sp|Q9P021|CRIPT_HUMAN Cysteine-rich PDZ-binding protein OS=Homo sapiens OX=9606 GN=CRIPT PE=1 SV=1
+MVCEKCEKKLGTVITPDTWKDGARNTTESGGRKLNENKALTSKKARFDPYGKNKFSTCRICKSSVHQPGSHYCQGCAYKKGICAMCGKKVLDTKNYKQTSV
+>DECOY_sp|Q9P021|CRIPT_HUMAN Cysteine-rich PDZ-binding protein OS=Homo sapiens OX=9606 GN=CRIPT PE=1 SV=1
+VSTQKYNKTDLVKKGCMACIGKKYACGQCYHSGPQHVSSKCIRCTSFKNKGYPDFRAKKSTLAKNENLKRGGSETTNRAGDKWTDPTIVTGLKKECKECVM
+>sp|P16562|CRIS2_HUMAN Cysteine-rich secretory protein 2 OS=Homo sapiens OX=9606 GN=CRISP2 PE=1 SV=1
+MALLPVLFLVTVLLPSLPAEGKDPAFTALLTTQLQVQREIVNKHNELRKAVSPPASNMLKMEWSREVTTNAQRWANKCTLQHSDPEDRKTSTRCGENLYMSSDPTSWSSAIQSWYDEILDFVYGVGPKSPNAVVGHYTQLVWYSTYQVGCGIAYCPNQDSLKYYYVCQYCPAGNNMNRKNTPYQQGTPCAGCPDDCDKGLCTNSCQYQDLLSNCDSLKNTAGCEHELLKEKCKATCLCENKIY
+>DECOY_sp|P16562|CRIS2_HUMAN Cysteine-rich secretory protein 2 OS=Homo sapiens OX=9606 GN=CRISP2 PE=1 SV=1
+YIKNECLCTAKCKEKLLEHECGATNKLSDCNSLLDQYQCSNTCLGKDCDDPCGACPTGQQYPTNKRNMNNGAPCYQCVYYYKLSDQNPCYAIGCGVQYTSYWVLQTYHGVVANPSKPGVGYVFDLIEDYWSQIASSWSTPDSSMYLNEGCRTSTKRDEPDSHQLTCKNAWRQANTTVERSWEMKLMNSAPPSVAKRLENHKNVIERQVQLQTTLLATFAPDKGEAPLSPLLVTVLFLVPLLAM
+>sp|Q9H336|CRLD1_HUMAN Cysteine-rich secretory protein LCCL domain-containing 1 OS=Homo sapiens OX=9606 GN=CRISPLD1 PE=2 SV=1
+MKCTAREWLRVTTVLFMARAIPAMVVPNATLLEKLLEKYMDEDGEWWIAKQRGKRAITDNDMQSILDLHNKLRSQVYPTASNMEYMTWDVELERSAESWAESCLWEHGPASLLPSIGQNLGAHWGRYRPPTFHVQSWYDEVKDFSYPYEHECNPYCPFRCSGPVCTHYTQVVWATSNRIGCAINLCHNMNIWGQIWPKAVYLVCNYSPKGNWWGHAPYKHGRPCSACPPSFGGGCRENLCYKEGSDRYYPPREEETNEIERQQSQVHDTHVRTRSDDSSRNEVISAQQMSQIVSCEVRLRDQCKGTTCNRYECPAGCLDSKAKVIGSVHYEMQSSICRAAIHYGIIDNDGGWVDITRQGRKHYFIKSNRNGIQTIGKYQSANSFTVSKVTVQAVTCETTVEQLCPFHKPASHCPRVYCPRNCMQANPHYARVIGTRVYSDLSSICRAAVHAGVVRNHGGYVDVMPVDKRKTYIASFQNGIFSESLQNPPGGKAFRVFAVV
+>DECOY_sp|Q9H336|CRLD1_HUMAN Cysteine-rich secretory protein LCCL domain-containing 1 OS=Homo sapiens OX=9606 GN=CRISPLD1 PE=2 SV=1
+VVAFVRFAKGGPPNQLSESFIGNQFSAIYTKRKDVPMVDVYGGHNRVVGAHVAARCISSLDSYVRTGIVRAYHPNAQMCNRPCYVRPCHSAPKHFPCLQEVTTECTVAQVTVKSVTFSNASQYKGITQIGNRNSKIFYHKRGQRTIDVWGGDNDIIGYHIAARCISSQMEYHVSGIVKAKSDLCGAPCEYRNCTTGKCQDRLRVECSVIQSMQQASIVENRSSDDSRTRVHTDHVQSQQREIENTEEERPPYYRDSGEKYCLNERCGGGFSPPCASCPRGHKYPAHGWWNGKPSYNCVLYVAKPWIQGWINMNHCLNIACGIRNSTAWVVQTYHTCVPGSCRFPCYPNCEHEYPYSFDKVEDYWSQVHFTPPRYRGWHAGLNQGISPLLSAPGHEWLCSEAWSEASRELEVDWTMYEMNSATPYVQSRLKNHLDLISQMDNDTIARKGRQKAIWWEGDEDMYKELLKELLTANPVVMAPIARAMFLVTTVRLWERATCKM
+>sp|Q9UJA2|CRLS1_HUMAN Cardiolipin synthase (CMP-forming) OS=Homo sapiens OX=9606 GN=CRLS1 PE=1 SV=1
+MLALRVARGSWGALRGAAWAPGTRPSKRRACWALLPPVPCCLGCLAERWRLRPAALGLRLPGIGQRNHCSGAGKAAPRPAAGAGAAAEAPGGQWGPASTPSLYENPWTIPNMLSMTRIGLAPVLGYLIIEEDFNIALGVFALAGLTDLLDGFIARNWANQRSALGSALDPLADKILISILYVSLTYADLIPVPLTYMIISRDVMLIAAVFYVRYRTLPTPRTLAKYFNPCYATARLKPTFISKVNTAVQLILVAASLAAPVFNYADSIYLQILWCFTAFTTAASAYSYYHYGRKTVQVIKD
+>DECOY_sp|Q9UJA2|CRLS1_HUMAN Cardiolipin synthase (CMP-forming) OS=Homo sapiens OX=9606 GN=CRLS1 PE=1 SV=1
+DKIVQVTKRGYHYYSYASAATTFATFCWLIQLYISDAYNFVPAALSAAVLILQVATNVKSIFTPKLRATAYCPNFYKALTRPTPLTRYRVYFVAAILMVDRSIIMYTLPVPILDAYTLSVYLISILIKDALPDLASGLASRQNAWNRAIFGDLLDTLGALAFVGLAINFDEEIILYGLVPALGIRTMSLMNPITWPNEYLSPTSAPGWQGGPAEAAAGAGAAPRPAAKGAGSCHNRQGIGPLRLGLAAPRLRWREALCGLCCPVPPLLAWCARRKSPRTGPAWAAGRLAGWSGRAVRLALM
+>sp|Q9BZJ0|CRNL1_HUMAN Crooked neck-like protein 1 OS=Homo sapiens OX=9606 GN=CRNKL1 PE=1 SV=4
+MTATVENLTFQKDTLGNAVDKNTSRLELRSYSLAGRHGSTEPLVLAWSSQFRRLTWGCALDALHRSPCVAASQHGVTHLIRSSRTPHSTRCRKEDAQPGHHGNGAASVTAQARGQRSVLQVPLPVPRSCLFSESFVVSVSSQSRFLASVPGTGVQRSTAADMAASTAAGKQRIPKVAKVKNKAPAEVQITAEQLLREAKERELELLPPPPQQKITDEEELNDYKLRKRKTFEDNIRKNRTVISNWIKYAQWEESLKEIQRARSIYERALDVDYRNITLWLKYAEMEMKNRQVNHARNIWDRAITTLPRVNQFWYKYTYMEEMLGNVAGARQVFERWMEWQPEEQAWHSYINFELRYKEVDRARTIYERFVLVHPDVKNWIKYARFEEKHAYFAHARKVYERAVEFFGDEHMDEHLYVAFAKFEENQKEFERVRVIYKYALDRISKQDAQELFKNYTIFEKKFGDRRGIEDIIVSKRRFQYEEEVKANPHNYDAWFDYLRLVESDAEAEAVREVYERAIANVPPIQEKRHWKRYIYLWINYALYEELEAKDPERTRQVYQASLELIPHKKFTFAKMWILYAQFEIRQKNLSLARRALGTSIGKCPKNKLFKVYIELELQLREFDRCRKLYEKFLEFGPENCTSWIKFAELETILGDIDRARAIYELAISQPRLDMPEVLWKSYIDFEIEQEETERTRNLYRRLLQRTQHVKVWISFAQFELSSGKEGSLTKCRQIYEEANKTMRNCEEKEERLMLLESWRSFEEEFGTASDKERVDKLMPEKVKKRRKVQTDDGSDAGWEEYFDYIFPEDAANQPNLKLLAMAKLWKKQQQEKEDAEHHPDEDVDESES
+>DECOY_sp|Q9BZJ0|CRNL1_HUMAN Crooked neck-like protein 1 OS=Homo sapiens OX=9606 GN=CRNKL1 PE=1 SV=4
+SESEDVDEDPHHEADEKEQQQKKWLKAMALLKLNPQNAADEPFIYDFYEEWGADSGDDTQVKRRKKVKEPMLKDVREKDSATGFEEEFSRWSELLMLREEKEECNRMTKNAEEYIQRCKTLSGEKGSSLEFQAFSIWVKVHQTRQLLRRYLNRTRETEEQEIEFDIYSKWLVEPMDLRPQSIALEYIARARDIDGLITELEAFKIWSTCNEPGFELFKEYLKRCRDFERLQLELEIYVKFLKNKPCKGISTGLARRALSLNKQRIEFQAYLIWMKAFTFKKHPILELSAQYVQRTREPDKAELEEYLAYNIWLYIYRKWHRKEQIPPVNAIAREYVERVAEAEADSEVLRLYDFWADYNHPNAKVEEEYQFRRKSVIIDEIGRRDGFKKEFITYNKFLEQADQKSIRDLAYKYIVRVREFEKQNEEFKAFAVYLHEDMHEDGFFEVAREYVKRAHAFYAHKEEFRAYKIWNKVDPHVLVFREYITRARDVEKYRLEFNIYSHWAQEEPQWEMWREFVQRAGAVNGLMEEMYTYKYWFQNVRPLTTIARDWINRAHNVQRNKMEMEAYKLWLTINRYDVDLAREYISRARQIEKLSEEWQAYKIWNSIVTRNKRINDEFTKRKRLKYDNLEEEDTIKQQPPPPLLELEREKAERLLQEATIQVEAPAKNKVKAVKPIRQKGAATSAAMDAATSRQVGTGPVSALFRSQSSVSVVFSESFLCSRPVPLPVQLVSRQGRAQATVSAAGNGHHGPQADEKRCRTSHPTRSSRILHTVGHQSAAVCPSRHLADLACGWTLRRFQSSWALVLPETSGHRGALSYSRLELRSTNKDVANGLTDKQFTLNEVTATM
+>sp|Q86T23|CROL1_HUMAN Putative ciliary rootlet coiled-coil protein-like 1 protein OS=Homo sapiens OX=9606 GN=CROCCP2 PE=5 SV=1
+MLQAKKTEVAEALTKAEAGRMELELSVTKLRAEEASLQDSLSKLSALNESLAQDKLDLNCLVTQLEEEKAMLQGRQRQAEQEATVAPAEQEWLEELWLEQEVARQGLEGSL
+>DECOY_sp|Q86T23|CROL1_HUMAN Putative ciliary rootlet coiled-coil protein-like 1 protein OS=Homo sapiens OX=9606 GN=CROCCP2 PE=5 SV=1
+LSGELGQRAVEQELWLEELWEQEAPAVTAEQEAQRQRGQLMAKEEELQTVLCNLDLKDQALSENLASLKSLSDQLSAEEARLKTVSLELEMRGAEAKTLAEAVETKKAQLM
+>sp|P02741|CRP_HUMAN C-reactive protein OS=Homo sapiens OX=9606 GN=CRP PE=1 SV=1
+MEKLLCFLVLTSLSHAFGQTDMSRKAFVFPKESDTSYVSLKAPLTKPLKAFTVCLHFYTELSSTRGYSIFSYATKRQDNEILIFWSKDIGYSFTVGGSEILFEVPEVTVAPVHICTSWESASGIVEFWVDGKPRVRKSLKKGYTVGAEASIILGQEQDSFGGNFEGSQSLVGDIGNVNMWDFVLSPDEINTIYLGGPFSPNVLNWRALKYEVQGEVFTKPQLWP
+>DECOY_sp|P02741|CRP_HUMAN C-reactive protein OS=Homo sapiens OX=9606 GN=CRP PE=1 SV=1
+PWLQPKTFVEGQVEYKLARWNLVNPSFPGGLYITNIEDPSLVFDWMNVNGIDGVLSQSGEFNGGFSDQEQGLIISAEAGVTYGKKLSKRVRPKGDVWFEVIGSASEWSTCIHVPAVTVEPVEFLIESGGVTFSYGIDKSWFILIENDQRKTAYSFISYGRTSSLETYFHLCVTFAKLPKTLPAKLSVYSTDSEKPFVFAKRSMDTQGFAHSLSTLVLFCLLKEM
+>sp|P05496|AT5G1_HUMAN ATP synthase F(0) complex subunit C1, mitochondrial OS=Homo sapiens OX=9606 GN=ATP5MC1 PE=1 SV=2
+MQTAGALFISPALIRCCTRGLIRPVSASFLNSPVNSSKQPSYSNFPLQVARREFQTSVVSRDIDTAAKFIGAGAATVGVAGSGAGIGTVFGSLIIGYARNPSLKQQLFSYAILGFALSEAMGLFCLMVAFLILFAM
+>DECOY_sp|P05496|AT5G1_HUMAN ATP synthase F(0) complex subunit C1, mitochondrial OS=Homo sapiens OX=9606 GN=ATP5MC1 PE=1 SV=2
+MAFLILFAVMLCFLGMAESLAFGLIAYSFLQQKLSPNRAYGIILSGFVTGIGAGSGAVGVTAAGAGIFKAATDIDRSVVSTQFERRAVQLPFNSYSPQKSSNVPSNLFSASVPRILGRTCCRILAPSIFLAGATQM
+>sp|Q7Z4Y8|AT5L2_HUMAN ATP synthase subunit g 2, mitochondrial OS=Homo sapiens OX=9606 GN=ATP5L2 PE=5 SV=1
+MAPFVRNLVEKTPALVNAAVTYLKPRLAAFWYYTTVELVPPTPAEIPRAIQSLKKIVSSAQTGSFKQLTVKEALLNGLVATEVSTWFYVREITGKRGIIG
+>DECOY_sp|Q7Z4Y8|AT5L2_HUMAN ATP synthase subunit g 2, mitochondrial OS=Homo sapiens OX=9606 GN=ATP5L2 PE=5 SV=1
+GIIGRKGTIERVYFWTSVETAVLGNLLAEKVTLQKFSGTQASSVIKKLSQIARPIEAPTPPVLEVTTYYWFAALRPKLYTVAANVLAPTKEVLNRVFPAM
+>sp|Q5T9A4|ATD3B_HUMAN ATPase family AAA domain-containing protein 3B OS=Homo sapiens OX=9606 GN=ATAD3B PE=1 SV=1
+MSWLFGVNKGPKGEGAGPPPPLPPAQPGAEGGGDRGLGDRPAPKDKWSNFDPTGLERAAKAARELEHSRYAKEALNLAQMQEQTLQLEQQSKLKEYEAAVEQLKSEQIRAQAEERRKTLSEETRQHQARAQYQDKLARQRYEDQLKQQQLLNEENLRKQEESVQKQEAMRRATVEREMELRHKNEMLRVETEARARAKAERENADIIREQIRLKASEHRQTVLESIRTAGTLFGEGFRAFVTDRDKVTATVAGLTLLAVGVYSAKNATAVTGRFIEARLGKPSLVRETSRITVLEALRHPIQVSRRLLSRPQDVLEGVVLSPSLEARVRDIAIATRNTKKNRGLYRHILLYGPPGTGKTLFAKKLALHSGMDYAIMTGGDVAPMGREGVTAMHKLFDWANTSRRGLLLFMDEADAFLRKRATEEISKDLRATLNAFLYHMGQHSNKFMLVLASNLPEQFDCAINSRIDVMVHFDLPQQEERERLVRLHFDNCVLKPATEGKRRLKLAQFDYGRKCSEVARLTEGMSGREIAQLAVSWQATAYASKDGVLTEAMMDACVQDAVQQYRQKMRWLKAEGPGRGVEHPLSGVQGETLTSWSLATDPSYPCLAGPCTFRICSWMGTGLCPGPLSPRMSCGGGRPFCPPGHPLL
+>DECOY_sp|Q5T9A4|ATD3B_HUMAN ATPase family AAA domain-containing protein 3B OS=Homo sapiens OX=9606 GN=ATAD3B PE=1 SV=1
+LLPHGPPCFPRGGGCSMRPSLPGPCLGTGMWSCIRFTCPGALCPYSPDTALSWSTLTEGQVGSLPHEVGRGPGEAKLWRMKQRYQQVADQVCADMMAETLVGDKSAYATAQWSVALQAIERGSMGETLRAVESCKRGYDFQALKLRRKGETAPKLVCNDFHLRVLREREEQQPLDFHVMVDIRSNIACDFQEPLNSALVLMFKNSHQGMHYLFANLTARLDKSIEETARKRLFADAEDMFLLLGRRSTNAWDFLKHMATVGERGMPAVDGGTMIAYDMGSHLALKKAFLTKGTGPPGYLLIHRYLGRNKKTNRTAIAIDRVRAELSPSLVVGELVDQPRSLLRRSVQIPHRLAELVTIRSTERVLSPKGLRAEIFRGTVATANKASYVGVALLTLGAVTATVKDRDTVFARFGEGFLTGATRISELVTQRHESAKLRIQERIIDANEREAKARARAETEVRLMENKHRLEMEREVTARRMAEQKQVSEEQKRLNEENLLQQQKLQDEYRQRALKDQYQARAQHQRTEESLTKRREEAQARIQESKLQEVAAEYEKLKSQQELQLTQEQMQALNLAEKAYRSHELERAAKAARELGTPDFNSWKDKPAPRDGLGRDGGGEAGPQAPPLPPPPGAGEGKPGKNVGFLWSM
+>sp|Q99941|ATF6B_HUMAN Cyclic AMP-dependent transcription factor ATF-6 beta OS=Homo sapiens OX=9606 GN=ATF6B PE=1 SV=2
+MAELMLLSEIADPTRFFTDNLLSPEDWGLQNSTLYSGLDEVAEEQTQLFRCPEQDVPFDGSSLDVGMDVSPSEPPWELLPIFPDLQVKSEPSSPCSSSSLSSESSRLSTEPSSEALGVGEVLHVKTESLAPPLCLLGDDPTSSFETVQINVIPTSDDSSDVQTKIEPVSPCSSVNSEASLLSADSSSQAFIGEEVLEVKTESLSPSGCLLWDVPAPSLGAVQISMGPSLDGSSGKALPTRKPPLQPKPVVLTTVPMPSRAVPPSTTVLLQSLVQPPPVSPVVLIQGAIRVQPEGPAPSLPRPERKSIVPAPMPGNSCPPEVDAKLLKRQQRMIKNRESACQSRRKKKEYLQGLEARLQAVLADNQQLRRENAALRRRLEALLAENSELKLGSGNRKVVCIMVFLLFIAFNFGPVSISEPPSAPISPRMNKGEPQPRRHLLGFSEQEPVQGVEPLQGSSQGPKEPQPSPTDQPSFSNLTAFPGGAKELLLRDLDQLFLSSDCRHFNRTESLRLADELSGWVQRHQRGRRKIPQRAQERQKSQPRKKSPPVKAVPIQPPGPPERDSVGQLQLYRHPDRSQPAFLDAIDRREDTFYVVSFRRDHLLLPAISHNKTSRPKMSLVMPAMAPNETLSGRGAPGDYEEMMQIECEVMDTRVIHIKTSTVPPSLRKQPSPTPGNATGGPLPVSAASQAHQASHQPLYLNHP
+>DECOY_sp|Q99941|ATF6B_HUMAN Cyclic AMP-dependent transcription factor ATF-6 beta OS=Homo sapiens OX=9606 GN=ATF6B PE=1 SV=2
+PHNLYLPQHSAQHAQSAASVPLPGGTANGPTPSPQKRLSPPVTSTKIHIVRTDMVECEIQMMEEYDGPAGRGSLTENPAMAPMVLSMKPRSTKNHSIAPLLLHDRRFSVVYFTDERRDIADLFAPQSRDPHRYLQLQGVSDREPPGPPQIPVAKVPPSKKRPQSKQREQARQPIKRRGRQHRQVWGSLEDALRLSETRNFHRCDSSLFLQDLDRLLLEKAGGPFATLNSFSPQDTPSPQPEKPGQSSGQLPEVGQVPEQESFGLLHRRPQPEGKNMRPSIPASPPESISVPGFNFAIFLLFVMICVVKRNGSGLKLESNEALLAELRRRLAANERRLQQNDALVAQLRAELGQLYEKKKRRSQCASERNKIMRQQRKLLKADVEPPCSNGPMPAPVISKREPRPLSPAPGEPQVRIAGQILVVPSVPPPQVLSQLLVTTSPPVARSPMPVTTLVVPKPQLPPKRTPLAKGSSGDLSPGMSIQVAGLSPAPVDWLLCGSPSLSETKVELVEEGIFAQSSSDASLLSAESNVSSCPSVPEIKTQVDSSDDSTPIVNIQVTEFSSTPDDGLLCLPPALSETKVHLVEGVGLAESSPETSLRSSESSLSSSSCPSSPESKVQLDPFIPLLEWPPESPSVDMGVDLSSGDFPVDQEPCRFLQTQEEAVEDLGSYLTSNQLGWDEPSLLNDTFFRTPDAIESLLMLEAM
+>sp|O94817|ATG12_HUMAN Ubiquitin-like protein ATG12 OS=Homo sapiens OX=9606 GN=ATG12 PE=1 SV=1
+MAEEPQSVLQLPTSIAAGGEGLTDVSPETTTPEPPSSAAVSPGTEEPAGDTKKKIDILLKAVGDTPIMKTKKWAVERTRTIQGLIDFIKKFLKLVASEQLFIYVNQSFAPSPDQEVGTLYECFGSDGKLVLHYCKSQAWG
+>DECOY_sp|O94817|ATG12_HUMAN Ubiquitin-like protein ATG12 OS=Homo sapiens OX=9606 GN=ATG12 PE=1 SV=1
+GWAQSKCYHLVLKGDSGFCEYLTGVEQDPSPAFSQNVYIFLQESAVLKLFKKIFDILGQITRTREVAWKKTKMIPTDGVAKLLIDIKKKTDGAPEETGPSVAASSPPEPTTTEPSVDTLGEGGAAISTPLQLVSQPEEAM
+>sp|Q96DT6|ATG4C_HUMAN Cysteine protease ATG4C OS=Homo sapiens OX=9606 GN=ATG4C PE=1 SV=1
+MEATGTDEVDKLKTKFISAWNNMKYSWVLKTKTYFSRNSPVLLLGKCYHFKYEDEDKTLPAESGCTIEDHVIAGNVEEFRKDFISRIWLTYREEFPQIEGSALTTDCGWGCTLRTGQMLLAQGLILHFLGRAWTWPDALNIENSDSESWTSHTVKKFTASFEASLSGEREFKTPTISLKETIGKYSDDHEMRNEVYHRKIISWFGDSPLALFGLHQLIEYGKKSGKKAGDWYGPAVVAHILRKAVEEARHPDLQGITIYVAQDCTVYNSDVIDKQSASMTSDNADDKAVIILVPVRLGGERTNTDYLEFVKGILSLEYCVGIIGGKPKQSYYFAGFQDDSLIYMDPHYCQSFVDVSIKDFPLETFHCPSPKKMSFRKMDPSCTIGFYCRNVQDFKRASEEITKMLKFSSKEKYPLFTFVNGHSRDYDFTSTTTNEEDLFSEDEKKQLKRFSTEEFVLL
+>DECOY_sp|Q96DT6|ATG4C_HUMAN Cysteine protease ATG4C OS=Homo sapiens OX=9606 GN=ATG4C PE=1 SV=1
+LLVFEETSFRKLQKKEDESFLDEENTTTSTFDYDRSHGNVFTFLPYKEKSSFKLMKTIEESARKFDQVNRCYFGITCSPDMKRFSMKKPSPCHFTELPFDKISVDVFSQCYHPDMYILSDDQFGAFYYSQKPKGGIIGVCYELSLIGKVFELYDTNTREGGLRVPVLIIVAKDDANDSTMSASQKDIVDSNYVTCDQAVYITIGQLDPHRAEEVAKRLIHAVVAPGYWDGAKKGSKKGYEILQHLGFLALPSDGFWSIIKRHYVENRMEHDDSYKGITEKLSITPTKFEREGSLSAEFSATFKKVTHSTWSESDSNEINLADPWTWARGLFHLILGQALLMQGTRLTCGWGCDTTLASGEIQPFEERYTLWIRSIFDKRFEEVNGAIVHDEITCGSEAPLTKDEDEYKFHYCKGLLLVPSNRSFYTKTKLVWSYKMNNWASIFKTKLKDVEDTGTAEM
+>sp|O75964|ATP5L_HUMAN ATP synthase subunit g, mitochondrial OS=Homo sapiens OX=9606 GN=ATP5L PE=1 SV=3
+MAQFVRNLVEKTPALVNAAVTYSKPRLATFWYYAKVELVPPTPAEIPRAIQSLKKIVNSAQTGSFKQLTVKEAVLNGLVATEVLMWFYVGEIIGKRGIIGYDV
+>DECOY_sp|O75964|ATP5L_HUMAN ATP synthase subunit g, mitochondrial OS=Homo sapiens OX=9606 GN=ATP5L PE=1 SV=3
+VDYGIIGRKGIIEGVYFWMLVETAVLGNLVAEKVTLQKFSGTQASNVIKKLSQIARPIEAPTPPVLEVKAYYWFTALRPKSYTVAANVLAPTKEVLNRVFQAM
+>sp|Q6ZP68|ATPUN_HUMAN Putative protein ATP11AUN OS=Homo sapiens OX=9606 GN=ATP11AUN PE=2 SV=1
+MNSPEARLCVAQCRDSYPGCQPLKDTRAWASSLKMDPAGLEGGPRDESRDEPPIRAQAASWDQPQGCLTYKGRRSASGTQKQLQLPDTLSSLLCWRGAIMVYIKVTVQTDDSNKLLSLLYR
+>DECOY_sp|Q6ZP68|ATPUN_HUMAN Putative protein ATP11AUN OS=Homo sapiens OX=9606 GN=ATP11AUN PE=2 SV=1
+RYLLSLLKNSDDTQVTVKIYVMIAGRWCLLSSLTDPLQLQKQTGSASRRGKYTLCGQPQDWSAAQARIPPEDRSEDRPGGELGAPDMKLSSAWARTDKLPQCGPYSDRCQAVCLRAEPSNM
+>sp|P0C7T5|ATX1L_HUMAN Ataxin-1-like OS=Homo sapiens OX=9606 GN=ATXN1L PE=1 SV=1
+MKPVHERSQECLPPKKRDLPVTSEDMGRTTSCSTNHTPSSDASEWSRGVVVAGQSQAGARVSLGGDGAEAITGLTVDQYGMLYKVAVPPATFSPTGLPSVVNMSPLPPTFNVASSLIQHPGIHYPPLHYAQLPSTSLQFIGSPYSLPYAVPPNFLPSPLLSPSANLATSHLPHFVPYASLLAEGATPPPQAPSPAHSFNKAPSATSPSGQLPHHSSTQPLDLAPGRMPIYYQMSRLPAGYTLHETPPAGASPVLTPQESQSALEAAAANGGQRPRERNLVRRESEALDSPNSKGEGQGLVPVVECVVDGQLFSGSQTPRVEVAAPAHRGTPDTDLEVQRVVGALASQDYRVVAAQRKEEPSPLNLSHHTPDHQGEGRGSARNPAELAEKSQARGFYPQSHQEPVKHRPLPKAMVVANGNLVPTGTDSGLLPVGSEILVASSLDVQARATFPDKEPTPPPITSSHLPSHFMKGAIIQLATGELKRVEDLQTQDFVRSAEVSGGLKIDSSTVVDIQESQWPGFVMLHFVVGEQQSKVSIEVPPEHPFFVYGQGWSSCSPGRTTQLFSLPCHRLQVGDVCISISLQSLNSNSVSQASCAPPSQLGPPRERPERTVLGSRELCDSEGKSQPAGEGSRVVEPSQPESGAQACWPAPSFQRYSMQGEEARAALLRPSFIPQEVKLSIEGRSNAGK
+>DECOY_sp|P0C7T5|ATX1L_HUMAN Ataxin-1-like OS=Homo sapiens OX=9606 GN=ATXN1L PE=1 SV=1
+KGANSRGEISLKVEQPIFSPRLLAARAEEGQMSYRQFSPAPWCAQAGSEPQSPEVVRSGEGAPQSKGESDCLERSGLVTREPRERPPGLQSPPACSAQSVSNSNLSQLSISICVDGVQLRHCPLSFLQTTRGPSCSSWGQGYVFFPHEPPVEISVKSQQEGVVFHLMVFGPWQSEQIDVVTSSDIKLGGSVEASRVFDQTQLDEVRKLEGTALQIIAGKMFHSPLHSSTIPPPTPEKDPFTARAQVDLSSAVLIESGVPLLGSDTGTPVLNGNAVVMAKPLPRHKVPEQHSQPYFGRAQSKEALEAPNRASGRGEGQHDPTHHSLNLPSPEEKRQAAVVRYDQSALAGVVRQVELDTDPTGRHAPAAVEVRPTQSGSFLQGDVVCEVVPVLGQGEGKSNPSDLAESERRVLNRERPRQGGNAAAAELASQSEQPTLVPSAGAPPTEHLTYGAPLRSMQYYIPMRGPALDLPQTSSHHPLQGSPSTASPAKNFSHAPSPAQPPPTAGEALLSAYPVFHPLHSTALNASPSLLPSPLFNPPVAYPLSYPSGIFQLSTSPLQAYHLPPYHIGPHQILSSAVNFTPPLPSMNVVSPLGTPSFTAPPVAVKYLMGYQDVTLGTIAEAGDGGLSVRAGAQSQGAVVVGRSWESADSSPTHNTSCSTTRGMDESTVPLDRKKPPLCEQSREHVPKM
+>sp|P54252|ATX3_HUMAN Ataxin-3 OS=Homo sapiens OX=9606 GN=ATXN3 PE=1 SV=5
+MESIFHEKQEGSLCAQHCLNNLLQGEYFSPVELSSIAHQLDEEERMRMAEGGVTSEDYRTFLQQPSGNMDDSGFFSIQVISNALKVWGLELILFNSPEYQRLRIDPINERSFICNYKEHWFTVRKLGKQWFNLNSLLTGPELISDTYLALFLAQLQQEGYSIFVVKGDLPDCEADQLLQMIRVQQMHRPKLIGEELAQLKEQRVHKTDLERVLEANDGSGMLDEDEEDLQRALALSRQEIDMEDEEADLRRAIQLSMQGSSRNISQDMTQTSGTNLTSEELRKRREAYFEKQQQKQQQQQQQQQQGDLSGQSSHPCERPATSSGALGSDLGDAMSEEDMLQAAVTMSLETVRNDLKTEGKK
+>DECOY_sp|P54252|ATX3_HUMAN Ataxin-3 OS=Homo sapiens OX=9606 GN=ATXN3 PE=1 SV=5
+KKGETKLDNRVTELSMTVAAQLMDEESMADGLDSGLAGSSTAPRECPHSSQGSLDGQQQQQQQQQQKQQQKEFYAERRKRLEESTLNTGSTQTMDQSINRSSGQMSLQIARRLDAEEDEMDIEQRSLALARQLDEEDEDLMGSGDNAELVRELDTKHVRQEKLQALEEGILKPRHMQQVRIMQLLQDAECDPLDGKVVFISYGEQQLQALFLALYTDSILEPGTLLSNLNFWQKGLKRVTFWHEKYNCIFSRENIPDIRLRQYEPSNFLILELGWVKLANSIVQISFFGSDDMNGSPQQLFTRYDESTVGGEAMRMREEEDLQHAISSLEVPSFYEGQLLNNLCHQACLSGEQKEHFISEM
+>sp|O15265|ATX7_HUMAN Ataxin-7 OS=Homo sapiens OX=9606 GN=ATXN7 PE=1 SV=1
+MSERAADDVRGEPRRAAAAAGGAAAAAARQQQQQQQQQQPPPPQPQRQQHPPPPPRRTRPEDGGPGAASTSAAAMATVGERRPLPSPEVMLGQSWNLWVEASKLPGKDGTELDESFKEFGKNREVMGLCREDMPIFGFCPAHDDFYLVVCNDCNQVVKPQAFQSHYERRHSSSSKPPLAVPPTSVFSFFPSLSKSKGGSASGSNRSSSGGVLSASSSSSKLLKSPKEKLQLRGNTRPMHPIQQSRVPHGRIMTPSVKVEKIHPKMDGTLLKSAVGPTCPATVSSLVKPGLNCPSIPKPTLPSPGQILNGKGLPAPPTLEKKPEDNSNNRKFLNKRLSEREFDPDIHCGVIDLDTKKPCTRSLTCKTHSLTQRRAVQGRRKRFDVLLAEHKNKTREKELIRHPDSQQPPQPLRDPHPAPPRTSQEPHQNPHGVIPSESKPFVASKPKPHTPSLPRPPGCPAQQGGSAPIDPPPVHESPHPPLPATEPASRLSSEEGEGDDKEESVEKLDCHYSGHHPQPASFCTFGSRQIGRGYYVFDSRWNRLRCALNLMVEKHLNAQLWKKIPPVPSTTSPISTRIPHRTNSVPTSQCGVSYLAAATVSTSPVLLSSTCISPNSKSVPAHGTTLNAQPAASGAMDPVCSMQSRQVSSSSSSPSTPSGLSSVPSSPMSRKPQKLKSSKSLRPKESSGNSTNCQNASSSTSGGSGKKRKNSSPLLVHSSSSSSSSSSSSHSMESFRKNCVAHSGPPYPSTVTSSHSIGLNCVTNKANAVNVRHDQSGRGPPTGSPAESIKRMSVMVNSSDSTLSLGPFIHQSNELPVNSHGSFSHSHTPLDKLIGKKRKCSPSSSSINNSSSKPTKVAKVPAVNNVHMKHTGTIPGAQGLMNSSLLHQPKARP
+>DECOY_sp|O15265|ATX7_HUMAN Ataxin-7 OS=Homo sapiens OX=9606 GN=ATXN7 PE=1 SV=1
+PRAKPQHLLSSNMLGQAGPITGTHKMHVNNVAPVKAVKTPKSSSNNISSSSPSCKRKKGILKDLPTHSHSFSGHSNVPLENSQHIFPGLSLTSDSSNVMVSMRKISEAPSGTPPGRGSQDHRVNVANAKNTVCNLGISHSSTVTSPYPPGSHAVCNKRFSEMSHSSSSSSSSSSSSHVLLPSSNKRKKGSGGSTSSSANQCNTSNGSSEKPRLSKSSKLKQPKRSMPSSPVSSLGSPTSPSSSSSSVQRSQMSCVPDMAGSAAPQANLTTGHAPVSKSNPSICTSSLLVPSTSVTAAALYSVGCQSTPVSNTRHPIRTSIPSTTSPVPPIKKWLQANLHKEVMLNLACRLRNWRSDFVYYGRGIQRSGFTCFSAPQPHHGSYHCDLKEVSEEKDDGEGEESSLRSAPETAPLPPHPSEHVPPPDIPASGGQQAPCGPPRPLSPTHPKPKSAVFPKSESPIVGHPNQHPEQSTRPPAPHPDRLPQPPQQSDPHRILEKERTKNKHEALLVDFRKRRGQVARRQTLSHTKCTLSRTCPKKTDLDIVGCHIDPDFERESLRKNLFKRNNSNDEPKKELTPPAPLGKGNLIQGPSPLTPKPISPCNLGPKVLSSVTAPCTPGVASKLLTGDMKPHIKEVKVSPTMIRGHPVRSQQIPHMPRTNGRLQLKEKPSKLLKSSSSSASLVGGSSSRNSGSASGGKSKSLSPFFSFVSTPPVALPPKSSSSHRREYHSQFAQPKVVQNCDNCVVLYFDDHAPCFGFIPMDERCLGMVERNKGFEKFSEDLETGDKGPLKSAEVWLNWSQGLMVEPSPLPRREGVTAMAAASTSAAGPGGDEPRTRRPPPPPHQQRQPQPPPPQQQQQQQQQQRAAAAAAGGAAAAARRPEGRVDDAARESM
+>sp|O14965|AURKA_HUMAN Aurora kinase A OS=Homo sapiens OX=9606 GN=AURKA PE=1 SV=2
+MDRSKENCISGPVKATAPVGGPKRVLVTQQFPCQNPLPVNSGQAQRVLCPSNSSQRVPLQAQKLVSSHKPVQNQKQKQLQATSVPHPVSRPLNNTQKSKQPLPSAPENNPEEELASKQKNEESKKRQWALEDFEIGRPLGKGKFGNVYLAREKQSKFILALKVLFKAQLEKAGVEHQLRREVEIQSHLRHPNILRLYGYFHDATRVYLILEYAPLGTVYRELQKLSKFDEQRTATYITELANALSYCHSKRVIHRDIKPENLLLGSAGELKIADFGWSVHAPSSRRTTLCGTLDYLPPEMIEGRMHDEKVDLWSLGVLCYEFLVGKPPFEANTYQETYKRISRVEFTFPDFVTEGARDLISRLLKHNPSQRPMLREVLEHPWITANSSKPSNCQNKESASKQS
+>DECOY_sp|O14965|AURKA_HUMAN Aurora kinase A OS=Homo sapiens OX=9606 GN=AURKA PE=1 SV=2
+SQKSASEKNQCNSPKSSNATIWPHELVERLMPRQSPNHKLLRSILDRAGETVFDPFTFEVRSIRKYTEQYTNAEFPPKGVLFEYCLVGLSWLDVKEDHMRGEIMEPPLYDLTGCLTTRRSSPAHVSWGFDAIKLEGASGLLLNEPKIDRHIVRKSHCYSLANALETIYTATRQEDFKSLKQLERYVTGLPAYELILYVRTADHFYGYLRLINPHRLHSQIEVERRLQHEVGAKELQAKFLVKLALIFKSQKERALYVNGFKGKGLPRGIEFDELAWQRKKSEENKQKSALEEEPNNEPASPLPQKSKQTNNLPRSVPHPVSTAQLQKQKQNQVPKHSSVLKQAQLPVRQSSNSPCLVRQAQGSNVPLPNQCPFQQTVLVRKPGGVPATAKVPGSICNEKSRDM
+>sp|Q9UQB9|AURKC_HUMAN Aurora kinase C OS=Homo sapiens OX=9606 GN=AURKC PE=1 SV=1
+MSSPRAVVQLGKAQPAGEELATANQTAQQPSSPAMRRLTVDDFEIGRPLGKGKFGNVYLARLKESHFIVALKVLFKSQIEKEGLEHQLRREIEIQAHLQHPNILRLYNYFHDARRVYLILEYAPRGELYKELQKSEKLDEQRTATIIEELADALTYCHDKKVIHRDIKPENLLLGFRGEVKIADFGWSVHTPSLRRKTMCGTLDYLPPEMIEGRTYDEKVDLWCIGVLCYELLVGYPPFESASHSETYRRILKVDVRFPLSMPLGARDLISRLLRYQPLERLPLAQILKHPWVQAHSRRVLPPCAQMAS
+>DECOY_sp|Q9UQB9|AURKC_HUMAN Aurora kinase C OS=Homo sapiens OX=9606 GN=AURKC PE=1 SV=1
+SAMQACPPLVRRSHAQVWPHKLIQALPLRELPQYRLLRSILDRAGLPMSLPFRVDVKLIRRYTESHSASEFPPYGVLLEYCLVGICWLDVKEDYTRGEIMEPPLYDLTGCMTKRRLSPTHVSWGFDAIKVEGRFGLLLNEPKIDRHIVKKDHCYTLADALEEIITATRQEDLKESKQLEKYLEGRPAYELILYVRRADHFYNYLRLINPHQLHAQIEIERRLQHELGEKEIQSKFLVKLAVIFHSEKLRALYVNGFKGKGLPRGIEFDDVTLRRMAPSSPQQATQNATALEEGAPQAKGLQVVARPSSM
+>sp|Q5T1B0|AXDN1_HUMAN Axonemal dynein light chain domain-containing protein 1 OS=Homo sapiens OX=9606 GN=AXDND1 PE=2 SV=1
+MSLPKTPSTPLNSTSTSESKKLKVSVAKEGTRGLPELKEKKNMVDRSKLLPTSLQNEFIPKEVLLSLTYAANAGPCPENLLPPKKIKTPKGTLPRLVDHVWHHPVRRNKFKYLIDHPVSLTGAGRDISFLYDVTYAKGQTREKAVCPPHLARSLQSHDGVIVPHKPKTLTDTLIPEEFHIVSSTGVSGLECYDDKYTTLLTDSENRLLLFPSMKPNKRVEVAQLNDVMDTMLERAGVENQEYTGPTKMHKLLHILKKEQTIYNMIFHELIRQVSVDCADRGELLSKVRERYVQMLDQIARQMIDFYKDLVTQRVMDQRILEELYNFKHVIEELTRELCLVRAHDVKLTKETEKAHKDLAQALLNAEKNAKIVEEYHDLYTLQRERMENDMKKLVAERDIWSSATYELALKVIERNRVILARRLYLNEKGWNKYTKHFIILLSNKDTEDLALLQKLTQKWRNLVNKLKQEVEQMEESTSETLKIVKDGLIKWQEFFNEKDILSPNKGNIFNSVLLDFKQWQKILNEKKEEFTGDVLLSKYDTLKIIKHLQENWADIGLGIFNRHKSLEGEMPSERQYMEEIIKNIQKLYKEYEIRINGDNGYSKILPSLISSLDFCSFKLENLEFPDTPLEEWQEIDEKINEMKSHLDILLNLTGIVPQHIDVDSVSVLQAYIFNMIQQWLLKIGNEINNGNIELQHHMDELHISMIQWMVNLLILMIPNFTDQDCLLKLEEESAEKHDIGVARLELDAIELTRKLYQYSSYLSSCCKGMVTAMALSKSTNSHKNATEDLYEVDKLKKECYEWINTCSCLLSNIKGRKITLLTYEEIERLLEEEAVKEFIEPEIDESFKEDEEESKEDRKLQEENKERAEEQPSTSTEKEKLIRFIGEDENVHSKPLFETDVLSSWRESAKQGTLAQKYLEAMAVIEHMQEKLLEVENRARQAEEKFEDAYEKLHHTLIKNKDLEELVMTSRKESKEEKENQDEREVKEEEEQQEEEEVRSAENSSKSPKKGH
+>DECOY_sp|Q5T1B0|AXDN1_HUMAN Axonemal dynein light chain domain-containing protein 1 OS=Homo sapiens OX=9606 GN=AXDND1 PE=2 SV=1
+HGKKPSKSSNEASRVEEEEQQEEEEKVEREDQNEKEEKSEKRSTMVLEELDKNKILTHHLKEYADEFKEEAQRARNEVELLKEQMHEIVAMAELYKQALTGQKASERWSSLVDTEFLPKSHVNEDEGIFRILKEKETSTSPQEEAREKNEEQLKRDEKSEEEDEKFSEDIEPEIFEKVAEEELLREIEEYTLLTIKRGKINSLLCSCTNIWEYCEKKLKDVEYLDETANKHSNTSKSLAMATVMGKCCSSLYSSYQYLKRTLEIADLELRAVGIDHKEASEEELKLLCDQDTFNPIMLILLNVMWQIMSIHLEDMHHQLEINGNNIENGIKLLWQQIMNFIYAQLVSVSDVDIHQPVIGTLNLLIDLHSKMENIKEDIEQWEELPTDPFELNELKFSCFDLSSILSPLIKSYGNDGNIRIEYEKYLKQINKIIEEMYQRESPMEGELSKHRNFIGLGIDAWNEQLHKIIKLTDYKSLLVDGTFEEKKENLIKQWQKFDLLVSNFINGKNPSLIDKENFFEQWKILGDKVIKLTESTSEEMQEVEQKLKNVLNRWKQTLKQLLALDETDKNSLLIIFHKTYKNWGKENLYLRRALIVRNREIVKLALEYTASSWIDREAVLKKMDNEMRERQLTYLDHYEEVIKANKEANLLAQALDKHAKETEKTLKVDHARVLCLERTLEEIVHKFNYLEELIRQDMVRQTVLDKYFDIMQRAIQDLMQVYRERVKSLLEGRDACDVSVQRILEHFIMNYITQEKKLIHLLKHMKTPGTYEQNEVGARELMTDMVDNLQAVEVRKNPKMSPFLLLRNESDTLLTTYKDDYCELGSVGTSSVIHFEEPILTDTLTKPKHPVIVGDHSQLSRALHPPCVAKERTQGKAYTVDYLFSIDRGAGTLSVPHDILYKFKNRRVPHHWVHDVLRPLTGKPTKIKKPPLLNEPCPGANAAYTLSLLVEKPIFENQLSTPLLKSRDVMNKKEKLEPLGRTGEKAVSVKLKKSESTSTSNLPTSPTKPLSM
+>sp|Q9BXK5|B2L13_HUMAN Bcl-2-like protein 13 OS=Homo sapiens OX=9606 GN=BCL2L13 PE=1 SV=1
+MASSSTVPLGFHYETKYVVLSYLGLLSQEKLQEQHLSSPQGVQLDIASQSLDQEILLKVKTEIEEELKSLDKEISEAFTSTGFDRHTSPVFSPANPESSMEDCLAHLGEKVSQELKEPLHKALQMLLSQPVTYQAFRECTLETTVHASGWNKILVPLVLLRQMLLELTRRGQEPLSALLQFGVTYLEDYSAEYIIQQGGWGTVFSLESEEEEYPGITAEDSNDIYILPSDNSGQVSPPESPTVTTSWQSESLPVSLSASQSWHTESLPVSLGPESWQQIAMDPEEVKSLDSNGAGEKSENNSSNSDIVHVEKEEVPEGMEEAAVASVVLPARELQEALPEAPAPLLPHITATSLLGTREPDTEVITVEKSSPATSLFVELDEEEVKAATTEPTEVEEVVPALEPTETLLSEKEINAREESLVEELSPASEKKPVPPSEGKSRLSPAGEMKPMPLSEGKSILLFGGAAAVAILAVAIGVALALRKK
+>DECOY_sp|Q9BXK5|B2L13_HUMAN Bcl-2-like protein 13 OS=Homo sapiens OX=9606 GN=BCL2L13 PE=1 SV=1
+KKRLALAVGIAVALIAVAAAGGFLLISKGESLPMPKMEGAPSLRSKGESPPVPKKESAPSLEEVLSEERANIEKESLLTETPELAPVVEEVETPETTAAKVEEEDLEVFLSTAPSSKEVTIVETDPERTGLLSTATIHPLLPAPAEPLAEQLERAPLVVSAVAAEEMGEPVEEKEVHVIDSNSSNNESKEGAGNSDLSKVEEPDMAIQQWSEPGLSVPLSETHWSQSASLSVPLSESQWSTTVTPSEPPSVQGSNDSPLIYIDNSDEATIGPYEEEESELSFVTGWGGQQIIYEASYDELYTVGFQLLASLPEQGRRTLELLMQRLLVLPVLIKNWGSAHVTTELTCERFAQYTVPQSLLMQLAKHLPEKLEQSVKEGLHALCDEMSSEPNAPSFVPSTHRDFGTSTFAESIEKDLSKLEEEIETKVKLLIEQDLSQSAIDLQVGQPSSLHQEQLKEQSLLGLYSLVVYKTEYHFGLPVTSSSAM
+>sp|Q9BPU9|B9D2_HUMAN B9 domain-containing protein 2 OS=Homo sapiens OX=9606 GN=B9D2 PE=1 SV=2
+MAEVHVIGQIIGASGFSESSLFCKWGIHTGAAWKLLSGVREGQTQVDTPQIGDMAYWSHPIDLHFATKGLQGWPRLHFQVWSQDSFGRCQLAGYGFCHVPSSPGTHQLACPTWRPLGSWREQLARAFVGGGPQLLHGDTIYSGADRYRLHTAAGGTVHLEIGLLLRNFDRYGVEC
+>DECOY_sp|Q9BPU9|B9D2_HUMAN B9 domain-containing protein 2 OS=Homo sapiens OX=9606 GN=B9D2 PE=1 SV=2
+CEVGYRDFNRLLLGIELHVTGGAATHLRYRDAGSYITDGHLLQPGGGVFARALQERWSGLPRWTPCALQHTGPSSPVHCFGYGALQCRGFSDQSWVQFHLRPWGQLGKTAFHLDIPHSWYAMDGIQPTDVQTQGERVGSLLKWAAGTHIGWKCFLSSESFGSAGIIQGIVHVEAM
+>sp|Q14032|BAAT_HUMAN Bile acid-CoA:amino acid N-acyltransferase OS=Homo sapiens OX=9606 GN=BAAT PE=1 SV=1
+MIQLTATPVSALVDEPVHIRATGLIPFQMVSFQASLEDENGDMFYSQAHYRANEFGEVDLNHASSLGGDYMGVHPMGLFWSLKPEKLLTRLLKRDVMNRPFQVQVKLYDLELIVNNKVASAPKASLTLERWYVAPGVTRIKVREGRLRGALFLPPGEGLFPGVIDLFGGLGGLLEFRASLLASRGFASLALAYHNYEDLPRKPEVTDLEYFEEAANFLLRHPKVFGSGVGVVSVCQGVQIGLSMAIYLKQVTATVLINGTNFPFGIPQVYHGQIHQPLPHSAQLISTNALGLLELYRTFETTQVGASQYLFPIEEAQGQFLFIVGEGDKTINSKAHAEQAIGQLKRHGKNNWTLLSYPGAGHLIEPPYSPLCCASTTHDLRLHWGGEVIPHAAAQEHAWKEIQRFLRKHLIPDVTSQL
+>DECOY_sp|Q14032|BAAT_HUMAN Bile acid-CoA:amino acid N-acyltransferase OS=Homo sapiens OX=9606 GN=BAAT PE=1 SV=1
+LQSTVDPILHKRLFRQIEKWAHEQAAAHPIVEGGWHLRLDHTTSACCLPSYPPEILHGAGPYSLLTWNNKGHRKLQGIAQEAHAKSNITKDGEGVIFLFQGQAEEIPFLYQSAGVQTTEFTRYLELLGLANTSILQASHPLPQHIQGHYVQPIGFPFNTGNILVTATVQKLYIAMSLGIQVGQCVSVVGVGSGFVKPHRLLFNAAEEFYELDTVEPKRPLDEYNHYALALSAFGRSALLSARFELLGGLGGFLDIVGPFLGEGPPLFLAGRLRGERVKIRTVGPAVYWRELTLSAKPASAVKNNVILELDYLKVQVQFPRNMVDRKLLRTLLKEPKLSWFLGMPHVGMYDGGLSSAHNLDVEGFENARYHAQSYFMDGNEDELSAQFSVMQFPILGTARIHVPEDVLASVPTATLQIM
+>sp|Q9NXR7|BABA2_HUMAN BRISC and BRCA1-A complex member 2 OS=Homo sapiens OX=9606 GN=BABAM2 PE=1 SV=2
+MSPEVALNRISPMLSPFISSVVRNGKVGLDATNCLRITDLKSGCTSLTPGPNCDRFKLHIPYAGETLKWDIIFNAQYPELPPDFIFGEDAEFLPDPSALQNLASWNPSNPECLLLVVKELVQQYHQFQCSRLRESSRLMFEYQTLLEEPQYGENMEIYAGKKNNWTGEFSARFLLKLPVDFSNIPTYLLKDVNEDPGEDVALLSVSFEDTEATQVYPKLYLSPRIEHALGGSSALHIPAFPGGGCLIDYVPQVCHLLTNKVQYVIQGYHKRREYIAAFLSHFGTGVVEYDAEGFTKLTLLLMWKDFCFLVHIDLPLFFPRDQPTLTFQSVYHFTNSGQLYSQAQKNYPYSPRWDGNEMAKRAKAYFKTFVPQFQEAAFANGKL
+>DECOY_sp|Q9NXR7|BABA2_HUMAN BRISC and BRCA1-A complex member 2 OS=Homo sapiens OX=9606 GN=BABAM2 PE=1 SV=2
+LKGNAFAAEQFQPVFTKFYAKARKAMENGDWRPSYPYNKQAQSYLQGSNTFHYVSQFTLTPQDRPFFLPLDIHVLFCFDKWMLLLTLKTFGEADYEVVGTGFHSLFAAIYERRKHYGQIVYQVKNTLLHCVQPVYDILCGGGPFAPIHLASSGGLAHEIRPSLYLKPYVQTAETDEFSVSLLAVDEGPDENVDKLLYTPINSFDVPLKLLFRASFEGTWNNKKGAYIEMNEGYQPEELLTQYEFMLRSSERLRSCQFQHYQQVLEKVVLLLCEPNSPNWSALNQLASPDPLFEADEGFIFDPPLEPYQANFIIDWKLTEGAYPIHLKFRDCNPGPTLSTCGSKLDTIRLCNTADLGVKGNRVVSSIFPSLMPSIRNLAVEPSM
+>sp|Q9H3F6|BACD3_HUMAN BTB/POZ domain-containing adapter for CUL3-mediated RhoA degradation protein 3 OS=Homo sapiens OX=9606 GN=KCTD10 PE=1 SV=1
+MEEMSGESVVSSAVPAAATRTTSFKGTSPSSKYVKLNVGGALYYTTMQTLTKQDTMLKAMFSGRMEVLTDSEGWILIDRCGKHFGTILNYLRDGAVPLPESRREIEELLAEAKYYLVQGLVEECQAALQNKDTYEPFCKVPVITSSKEEQKLIATSNKPAVKLLYNRSNNKYSYTSNSDDNMLKNIELFDKLSLRFNGRVLFIKDVIGDEICCWSFYGQGRKIAEVCCTSIVYATEKKQTKVEFPEARIYEETLNILLYEAQDGRGPDNALLEATGGAAGRSHHLDEDEERERIERVRRIHIKRPDDRAHLHQ
+>DECOY_sp|Q9H3F6|BACD3_HUMAN BTB/POZ domain-containing adapter for CUL3-mediated RhoA degradation protein 3 OS=Homo sapiens OX=9606 GN=KCTD10 PE=1 SV=1
+QHLHARDDPRKIHIRRVREIREREEDEDLHHSRGAAGGTAELLANDPGRGDQAEYLLINLTEEYIRAEPFEVKTQKKETAYVISTCCVEAIKRGQGYFSWCCIEDGIVDKIFLVRGNFRLSLKDFLEINKLMNDDSNSTYSYKNNSRNYLLKVAPKNSTAILKQEEKSSTIVPVKCFPEYTDKNQLAAQCEEVLGQVLYYKAEALLEEIERRSEPLPVAGDRLYNLITGFHKGCRDILIWGESDTLVEMRGSFMAKLMTDQKTLTQMTTYYLAGGVNLKVYKSSPSTGKFSTTRTAAAPVASSVVSEGSMEEM
+>sp|Q6ZUV0|BACHL_HUMAN Putative cytosolic acyl coenzyme A thioester hydrolase-like OS=Homo sapiens OX=9606 GN=ACOT7L PE=5 SV=1
+MIKEAGAIISTRHCNPQNGDRCVAALARVECTHFLWPMCIGEVAHVSAEITYTSKHSVEVQVNMMSENILTGAKKLTNKATLWYAPLSLTNVDKVLEEPPVVYFRQEQEEEGQKRYKTQKLERMETNWRNGDIVQPVLNPEPNTVSYSQSSLIHLVGPSDCTLHSFVHEGVTMKVMDEVAGILAARHCKTNLVTASMEAINFDNKIRKGCIKTISGRMTFTSNKSVEIEVLVDADCVVDSSQKRYRAASVFT
+>DECOY_sp|Q6ZUV0|BACHL_HUMAN Putative cytosolic acyl coenzyme A thioester hydrolase-like OS=Homo sapiens OX=9606 GN=ACOT7L PE=5 SV=1
+TFVSAARYRKQSSDVVCDADVLVEIEVSKNSTFTMRGSITKICGKRIKNDFNIAEMSATVLNTKCHRAALIGAVEDMVKMTVGEHVFSHLTCDSPGVLHILSSQSYSVTNPEPNLVPQVIDGNRWNTEMRELKQTKYRKQGEEEQEQRFYVVPPEELVKDVNTLSLPAYWLTAKNTLKKAGTLINESMMNVQVEVSHKSTYTIEASVHAVEGICMPWLFHTCEVRALAAVCRDGNQPNCHRTSIIAGAEKIM
+>sp|P82987|ATL3_HUMAN ADAMTS-like protein 3 OS=Homo sapiens OX=9606 GN=ADAMTSL3 PE=1 SV=4
+MASWTSPWWVLIGMVFMHSPLPQTTAEKSPGAYFLPEFALSPQGSFLEDTTGEQFLTYRYDDQTSRNTRSDEDKDGNWDAWGDWSDCSRTCGGGASYSLRRCLTGRNCEGQNIRYKTCSNHDCPPDAEDFRAQQCSAYNDVQYQGHYYEWLPRYNDPAAPCALKCHAQGQNLVVELAPKVLDGTRCNTDSLDMCISGICQAVGCDRQLGSNAKEDNCGVCAGDGSTCRLVRGQSKSHVSPEKREENVIAVPLGSRSVRITVKGPAHLFIESKTLQGSKGEHSFNSPGVFLVENTTVEFQRGSERQTFKIPGPLMADFIFKTRYTAAKDSVVQFFFYQPISHQWRQTDFFPCTVTCGGGYQLNSAECVDIRLKRVVPDHYCHYYPENVKPKPKLKECSMDPCPSSDGFKEIMPYDHFQPLPRWEHNPWTACSVSCGGGIQRRSFVCVEESMHGEILQVEEWKCMYAPKPKVMQTCNLFDCPKWIAMEWSQCTVTCGRGLRYRVVLCINHRGEHVGGCNPQLKLHIKEECVIPIPCYKPKEKSPVEAKLPWLKQAQELEETRIATEEPTFIPEPWSACSTTCGPGVQVREVKCRVLLTFTQTETELPEEECEGPKLPTERPCLLEACDESPASRELDIPLPEDSETTYDWEYAGFTPCTATCVGGHQEAIAVCLHIQTQQTVNDSLCDMVHRPPAMSQACNTEPCPPRWHVGSWGPCSATCGVGIQTRDVYCLHPGETPAPPEECRDEKPHALQACNQFDCPPGWHIEEWQQCSRTCGGGTQNRRVTCRQLLTDGSFLNLSDELCQGPKASSHKSCARTDCPPHLAVGDWSKCSVSCGVGIQRRKQVCQRLAAKGRRIPLSEMMCRDLPGLPLVRSCQMPECSKIKSEMKTKLGEQGPQILSVQRVYIQTREEKRINLTIGSRAYLLPNTSVIIKCPVRRFQKSLIQWEKDGRCLQNSKRLGITKSGSLKIHGLAAPDIGVYRCIAGSAQETVVLKLIGTDNRLIARPALREPMREYPGMDHSEANSLGVTWHKMRQMWNNKNDLYLDDDHISNQPFLRALLGHCSNSAGSTNSWELKNKQFEAAVKQGAYSMDTAQFDELIRNMSQLMETGEVSDDLASQLIYQLVAELAKAQPTHMQWRGIQEETPPAAQLRGETGSVSQSSHAKNSGKLTFKPKGPVLMRQSQPPSISFNKTINSRIGNTVYITKRTEVINILCDLITPSEATYTWTKDGTLLQPSVKIILDGTGKIQIQNPTRKEQGIYECSVANHLGSDVESSSVLYAEAPVILSVERNITKPEHNHLSVVVGGIVEAALGANVTIRCPVKGVPQPNITWLKRGGSLSGNVSLLFNGSLLLQNVSLENEGTYVCIATNALGKAVATSVLHLLERRWPESRIVFLQGHKKYILQATNTRTNSNDPTGEPPPQEPFWEPGNWSHCSATCGHLGARIQRPQCVMANGQEVSEALCDHLQKPLAGFEPCNIRDCPARWFTSVWSQCSVSCGEGYHSRQVTCKRTKANGTVQVVSPRACAPKDRPLGRKPCFGHPCVQWEPGNRCPGRCMGRAVRMQQRHTACQHNSSDSNCDDRKRPTLRRNCTSGACDVCWHTGPWKPCTAACGRGFQSRKVDCIHTRSCKPVAKRHCVQKKKPISWRHCLGPSCDRDCTDTTHYCMFVKHLNLCSLDRYKQRCCQSCQEG
+>DECOY_sp|P82987|ATL3_HUMAN ADAMTS-like protein 3 OS=Homo sapiens OX=9606 GN=ADAMTSL3 PE=1 SV=4
+GEQCSQCCRQKYRDLSCLNLHKVFMCYHTTDTCDRDCSPGLCHRWSIPKKKQVCHRKAVPKCSRTHICDVKRSQFGRGCAATCPKWPGTHWCVDCAGSTCNRRLTPRKRDDCNSDSSNHQCATHRQQMRVARGMCRGPCRNGPEWQVCPHGFCPKRGLPRDKPACARPSVVQVTGNAKTRKCTVQRSHYGEGCSVSCQSWVSTFWRAPCDRINCPEFGALPKQLHDCLAESVEQGNAMVCQPRQIRAGLHGCTASCHSWNGPEWFPEQPPPEGTPDNSNTRTNTAQLIYKKHGQLFVIRSEPWRRELLHLVSTAVAKGLANTAICVYTGENELSVNQLLLSGNFLLSVNGSLSGGRKLWTINPQPVGKVPCRITVNAGLAAEVIGGVVVSLHNHEPKTINREVSLIVPAEAYLVSSSEVDSGLHNAVSCEYIGQEKRTPNQIQIKGTGDLIIKVSPQLLTGDKTWTYTAESPTILDCLINIVETRKTIYVTNGIRSNITKNFSISPPQSQRMLVPGKPKFTLKGSNKAHSSQSVSGTEGRLQAAPPTEEQIGRWQMHTPQAKALEAVLQYILQSALDDSVEGTEMLQSMNRILEDFQATDMSYAGQKVAAEFQKNKLEWSNTSGASNSCHGLLARLFPQNSIHDDDLYLDNKNNWMQRMKHWTVGLSNAESHDMGPYERMPERLAPRAILRNDTGILKLVVTEQASGAICRYVGIDPAALGHIKLSGSKTIGLRKSNQLCRGDKEWQILSKQFRRVPCKIIVSTNPLLYARSGITLNIRKEERTQIYVRQVSLIQPGQEGLKTKMESKIKSCEPMQCSRVLPLGPLDRCMMESLPIRRGKAALRQCVQKRRQIGVGCSVSCKSWDGVALHPPCDTRACSKHSSAKPGQCLEDSLNLFSGDTLLQRCTVRRNQTGGGCTRSCQQWEEIHWGPPCDFQNCAQLAHPKEDRCEEPPAPTEGPHLCYVDRTQIGVGCTASCPGWSGVHWRPPCPETNCAQSMAPPRHVMDCLSDNVTQQTQIHLCVAIAEQHGGVCTATCPTFGAYEWDYTTESDEPLPIDLERSAPSEDCAELLCPRETPLKPGECEEEPLETETQTFTLLVRCKVERVQVGPGCTTSCASWPEPIFTPEETAIRTEELEQAQKLWPLKAEVPSKEKPKYCPIPIVCEEKIHLKLQPNCGGVHEGRHNICLVVRYRLGRGCTVTCQSWEMAIWKPCDFLNCTQMVKPKPAYMCKWEEVQLIEGHMSEEVCVFSRRQIGGGCSVSCATWPNHEWRPLPQFHDYPMIEKFGDSSPCPDMSCEKLKPKPKVNEPYYHCYHDPVVRKLRIDVCEASNLQYGGGCTVTCPFFDTQRWQHSIPQYFFFQVVSDKAATYRTKFIFDAMLPGPIKFTQRESGRQFEVTTNEVLFVGPSNFSHEGKSGQLTKSEIFLHAPGKVTIRVSRSGLPVAIVNEERKEPSVHSKSQGRVLRCTSGDGACVGCNDEKANSGLQRDCGVAQCIGSICMDLSDTNCRTGDLVKPALEVVLNQGQAHCKLACPAAPDNYRPLWEYYHGQYQVDNYASCQQARFDEADPPCDHNSCTKYRINQGECNRGTLCRRLSYSAGGGCTRSCDSWDGWADWNGDKDEDSRTNRSTQDDYRYTLFQEGTTDELFSGQPSLAFEPLFYAGPSKEATTQPLPSHMFVMGILVWWPSTWSAM
+>sp|Q8NHH9|ATLA2_HUMAN Atlastin-2 OS=Homo sapiens OX=9606 GN=ATL2 PE=1 SV=2
+MAEGDEAARGQQPHQGLWRRRRTSDPSAAVNHVSSTTSLGENYEDDDLVNSDEVMKKPCPVQIVLAHEDDHNFELDEEALEQILLQEHIRDLNIVVVSVAGAFRKGKSFLLDFMLRYMYNKDSQSWIGGNNEPLTGFTWRGGCERETTGIQVWNEVFVIDRPNGTKVAVLLMDTQGAFDSQSTIKDCATVFALSTMTSSVQVYNLSQNIQEDDLQHLQLFTEYGRLAMEEIYQKPFQTLMFLIRDWSYPYEHSYGLEGGKQFLEKRLQVKQNQHEELQNVRKHIHNCFSNLGCFLLPHPGLKVATNPSFDGRLKDIDEDFKRELRNLVPLLLAPENLVEKEISGSKVTCRDLVEYFKAYIKIYQGEELPHPKSMLQATAEANNLAAVAGARDTYCKSMEQVCGGDKPYIAPSDLERKHLDLKEVAIKQFRSVKKMGGDEFCRRYQDQLEAEIEETYANFIKHNDGKNIFYAARTPATLFAVMFAMYIISGLTGFIGLNSIAVLCNLVMGLALIFLCTWAYVKYSGEFREIGTVIDQIAETLWEQVLKPLGDNLMEENIRQSVTNSIKAGLTDQVSHHARLKTD
+>DECOY_sp|Q8NHH9|ATLA2_HUMAN Atlastin-2 OS=Homo sapiens OX=9606 GN=ATL2 PE=1 SV=2
+DTKLRAHHSVQDTLGAKISNTVSQRINEEMLNDGLPKLVQEWLTEAIQDIVTGIERFEGSYKVYAWTCLFILALGMVLNCLVAISNLGIFGTLGSIIYMAFMVAFLTAPTRAAYFINKGDNHKIFNAYTEEIEAELQDQYRRCFEDGGMKKVSRFQKIAVEKLDLHKRELDSPAIYPKDGGCVQEMSKCYTDRAGAVAALNNAEATAQLMSKPHPLEEGQYIKIYAKFYEVLDRCTVKSGSIEKEVLNEPALLLPVLNRLERKFDEDIDKLRGDFSPNTAVKLGPHPLLFCGLNSFCNHIHKRVNQLEEHQNQKVQLRKELFQKGGELGYSHEYPYSWDRILFMLTQFPKQYIEEMALRGYETFLQLHQLDDEQINQSLNYVQVSSTMTSLAFVTACDKITSQSDFAGQTDMLLVAVKTGNPRDIVFVENWVQIGTTERECGGRWTFGTLPENNGGIWSQSDKNYMYRLMFDLLFSKGKRFAGAVSVVVINLDRIHEQLLIQELAEEDLEFNHDDEHALVIQVPCPKKMVEDSNVLDDDEYNEGLSTTSSVHNVAASPDSTRRRRWLGQHPQQGRAAEDGEAM
+>sp|Q99766|ATP5S_HUMAN ATP synthase subunit s, mitochondrial OS=Homo sapiens OX=9606 GN=ATP5S PE=1 SV=3
+MCCAVSEQRLTCADQMMPFGKISQQLCGVKKLPWSCDSRYFWGWLNAVFNKVDYDRIRDVGPDRAASEWLLRCGAMVRYHGQERWQKDYNHLPTGPLDKYKIQAIDATDSCIMSIGFDHMEGLEHVEKIRLCKCHYIEDDCLLRLSQLENLQKTILEMEIISCGNITDKGIIALRHLRNLKYLLLSDLPGVREKENLVQAFKTALPSLELKLQLK
+>DECOY_sp|Q99766|ATP5S_HUMAN ATP synthase subunit s, mitochondrial OS=Homo sapiens OX=9606 GN=ATP5S PE=1 SV=3
+KLQLKLELSPLATKFAQVLNEKERVGPLDSLLLYKLNRLHRLAIIGKDTINGCSIIEMELITKQLNELQSLRLLCDDEIYHCKCLRIKEVHELGEMHDFGISMICSDTADIAQIKYKDLPGTPLHNYDKQWREQGHYRVMAGCRLLWESAARDPGVDRIRDYDVKNFVANLWGWFYRSDCSWPLKKVGCLQQSIKGFPMMQDACTLRQESVACCM
+>sp|P00846|ATP6_HUMAN ATP synthase subunit a OS=Homo sapiens OX=9606 GN=MT-ATP6 PE=1 SV=1
+MNENLFASFIAPTILGLPAAVLIILFPPLLIPTSKYLINNRLITTQQWLIKLTSKQMMTMHNTKGRTWSLMLVSLIIFIATTNLLGLLPHSFTPTTQLSMNLAMAIPLWAGTVIMGFRSKIKNALAHFLPQGTPTPLIPMLVIIETISLLIQPMALAVRLTANITAGHLLMHLIGSATLAMSTINLPSTLIIFTILILLTILEIAVALIQAYVFTLLVSLYLHDNT
+>DECOY_sp|P00846|ATP6_HUMAN ATP synthase subunit a OS=Homo sapiens OX=9606 GN=MT-ATP6 PE=1 SV=1
+TNDHLYLSVLLTFVYAQILAVAIELITLLILITFIILTSPLNITSMALTASGILHMLLHGATINATLRVALAMPQILLSITEIIVLMPILPTPTGQPLFHALANKIKSRFGMIVTGAWLPIAMALNMSLQTTPTFSHPLLGLLNTTAIFIILSVLMLSWTRGKTNHMTMMQKSTLKILWQQTTILRNNILYKSTPILLPPFLIILVAAPLGLITPAIFSAFLNENM
+>sp|O43861|ATP9B_HUMAN Probable phospholipid-transporting ATPase IIB OS=Homo sapiens OX=9606 GN=ATP9B PE=2 SV=4
+MADQIPLYPVRSAAAAAANRKRAAYYSAAGPRPGADRHSRYQLEDESAHLDEMPLMMSEEGFENEESDYHTLPRARIMQRKRGLEWFVCDGWKFLCTSCCGWLINICRRKKELKARTVWLGCPEKCEEKHPRNSIKNQKYNVFTFIPGVLYEQFKFFLNLYFLVISCSQFVPALKIGYLYTYWAPLGFVLAVTMTREAIDEFRRFQRDKEVNSQLYSKLTVRGKVQVKSSDIQVGDLIIVEKNQRIPSDMVFLRTSEKAGSCFIRTDQLDGETDWKLKVAVSCTQQLPALGDLFSISAYVYAQKPQMDIHSFEGTFTREDSDPPIHESLSIENTLWASTIVASGTVIGVVIYTGKETRSVMNTSNPKNKVGLLDLELNRLTKALFLALVALSIVMVTLQGFVGPWYRNLFRFLLLFSYIIPISLRVNLDMGKAVYGWMMMKDENIPGTVVRTSTIPEELGRLVYLLTDKTGTLTQNEMIFKRLHLGTVSYGADTMDEIQSHVRDSYSQMQSQAGGNNTGSTPLRKAQSSAPKVRKSVSSRIHEAVKAIVLCHNVTPVYESRAGVTEETEFAEADQDFSDENRTYQASSPDEVALVQWTESVGLTLVSRDLTSMQLKTPSGQVLSFCILQLFPFTSESKRMGVIVRDESTAEITFYMKGADVAMSPIVQYNDWLEEECGNMAREGLRTLVVAKKALTEEQYQDFESRYTQAKLSMHDRSLKVAAVVESLEREMELLCLTGVEDQLQADVRPTLEMLRNAGIKIWMLTGDKLETATCIAKSSHLVSRTQDIHIFRQVTSRGEAHLELNAFRRKHDCALVISGDSLEVCLKYYEHEFVELACQCPAVVCCRCSPTQKARIVTLLQQHTGRRTCAIGDGGNDVSMIQAADCGIGIEGKEGKQASLAADFSITQFRHIGRLLMVHGRNSYKRSAALGQFVMHRGLIISTMQAVFSSVFYFASVPLYQGFLMVGYATIYTMFPVFSLVLDQDVKPEMAMLYPELYKDLTKGRSLSFKTFLIWVLISIYQGGILMYGALVLFESEFVHVVAISFTALILTELLMVALTVRTWHWLMVVAEFLSLGCYVSSLAFLNEYFGIGRVSFGAFLDVAFITTVTFLWKVSAITVVSCLPLYVLKYLRRKLSPPSYCKLAS
+>DECOY_sp|O43861|ATP9B_HUMAN Probable phospholipid-transporting ATPase IIB OS=Homo sapiens OX=9606 GN=ATP9B PE=2 SV=4
+SALKCYSPPSLKRRLYKLVYLPLCSVVTIASVKWLFTVTTIFAVDLFAGFSVRGIGFYENLFALSSVYCGLSLFEAVVMLWHWTRVTLAVMLLETLILATFSIAVVHVFESEFLVLAGYMLIGGQYISILVWILFTKFSLSRGKTLDKYLEPYLMAMEPKVDQDLVLSFVPFMTYITAYGVMLFGQYLPVSAFYFVSSFVAQMTSIILGRHMVFQGLAASRKYSNRGHVMLLRGIHRFQTISFDAALSAQKGEKGEIGIGCDAAQIMSVDNGGDGIACTRRGTHQQLLTVIRAKQTPSCRCCVVAPCQCALEVFEHEYYKLCVELSDGSIVLACDHKRRFANLELHAEGRSTVQRFIHIDQTRSVLHSSKAICTATELKDGTLMWIKIGANRLMELTPRVDAQLQDEVGTLCLLEMERELSEVVAAVKLSRDHMSLKAQTYRSEFDQYQEETLAKKAVVLTRLGERAMNGCEEELWDNYQVIPSMAVDAGKMYFTIEATSEDRVIVGMRKSESTFPFLQLICFSLVQGSPTKLQMSTLDRSVLTLGVSETWQVLAVEDPSSAQYTRNEDSFDQDAEAFETEETVGARSEYVPTVNHCLVIAKVAEHIRSSVSKRVKPASSQAKRLPTSGTNNGGAQSQMQSYSDRVHSQIEDMTDAGYSVTGLHLRKFIMENQTLTGTKDTLLYVLRGLEEPITSTRVVTGPINEDKMMMWGYVAKGMDLNVRLSIPIIYSFLLLFRFLNRYWPGVFGQLTVMVISLAVLALFLAKTLRNLELDLLGVKNKPNSTNMVSRTEKGTYIVVGIVTGSAVITSAWLTNEISLSEHIPPDSDERTFTGEFSHIDMQPKQAYVYASISFLDGLAPLQQTCSVAVKLKWDTEGDLQDTRIFCSGAKESTRLFVMDSPIRQNKEVIILDGVQIDSSKVQVKGRVTLKSYLQSNVEKDRQFRRFEDIAERTMTVALVFGLPAWYTYLYGIKLAPVFQSCSIVLFYLNLFFKFQEYLVGPIFTFVNYKQNKISNRPHKEECKEPCGLWVTRAKLEKKRRCINILWGCCSTCLFKWGDCVFWELGRKRQMIRARPLTHYDSEENEFGEESMMLPMEDLHASEDELQYRSHRDAGPRPGAASYYAARKRNAAAAAASRVPYLPIQDAM
+>sp|Q6RW13|ATRAP_HUMAN Type-1 angiotensin II receptor-associated protein OS=Homo sapiens OX=9606 GN=AGTRAP PE=1 SV=1
+MELPAVNLKVILLGHWLLTTWGCIVFSGSYAWANFTILALGVWAVAQRDSIDAISMFLGGLLATIFLDIVHISIFYPRVSLTDTGRFGVGMAILSLLLKPLSCCFVYHMYRERGGELLVHTGFLGSSQDRSAYQTIDSAEAPADPFAVPEGRSQDARGY
+>DECOY_sp|Q6RW13|ATRAP_HUMAN Type-1 angiotensin II receptor-associated protein OS=Homo sapiens OX=9606 GN=AGTRAP PE=1 SV=1
+YGRADQSRGEPVAFPDAPAEASDITQYASRDQSSGLFGTHVLLEGGRERYMHYVFCCSLPKLLLSLIAMGVGFRGTDTLSVRPYFISIHVIDLFITALLGGLFMSIADISDRQAVAWVGLALITFNAWAYSGSFVICGWTTLLWHGLLIVKLNVAPLEM
+>sp|Q8WXE1|ATRIP_HUMAN ATR-interacting protein OS=Homo sapiens OX=9606 GN=ATRIP PE=1 SV=1
+MAGTSAPGSKRRSEPPAPRPGPPPGTGHPPSKRARGFSAAAAPDPDDPFGAHGDFTADDLEELDTLASQALSQCPAAARDVSSDHKVHRLLDGMSKNPSGKNRETVPIKDNFELEVLQAQYKELKEKMKVMEEEVLIKNGEIKILRDSLHQTESVLEEQRRSHFLLEQEKTQALSDKEKEFSKKLQSLQSELQFKDAEMNELRTKLQTSERANKLAAPSVSHVSPRKNPSVVIKPEACSPQFGKTSFPTKESFSANMSLPHPCQTESGYKPLVGREDSKPHSLRGDSIKQEEAQKSFVDSWRQRSNTQGSILINLLLKQPLIPGSSLSLCHLLSSSSESPAGTPLQPPGFGSTLAGMSGLRTTGSYDGSFSLSALREAQNLAFTGLNLVARNECSRDGDPAEGGRRAFPLCQLPGAVHFLPLVQFFIGLHCQALQDLAAAKRSGAPGDSPTHSSCVSSGVETNPEDSVCILEGFSVTALSILQHLVCHSGAVVSLLLSGVGADSAAGEGNRSLVHRLSDGDMTSALRGVADDQGQHPLLKMLLHLLAFSSAATGHLQASVLTQCLKVLVKLAENTSCDFLPRFQCVFQVLPKCLSPETPLPSVLLAVELLSLLADHDQLAPQLCSHSEGCLLLLLYMYITSRPDRVALETQWLQLEQEVVWLLAKLGVQSPLPPVTGSNCQCNVEVVRALTVMLHRQWLTVRRAGGPPRTDQQRRTVRCLRDTVLLLHGLSQKDKLFMMHCVEVLHQFDQVMPGVSMLIRGLPDVTDCEEAALDDLCAAETDVEDPEVECG
+>DECOY_sp|Q8WXE1|ATRIP_HUMAN ATR-interacting protein OS=Homo sapiens OX=9606 GN=ATRIP PE=1 SV=1
+GCEVEPDEVDTEAACLDDLAAEECDTVDPLGRILMSVGPMVQDFQHLVEVCHMMFLKDKQSLGHLLLVTDRLCRVTRRQQDTRPPGGARRVTLWQRHLMVTLARVVEVNCQCNSGTVPPLPSQVGLKALLWVVEQELQLWQTELAVRDPRSTIYMYLLLLLCGESHSCLQPALQDHDALLSLLEVALLVSPLPTEPSLCKPLVQFVCQFRPLFDCSTNEALKVLVKLCQTLVSAQLHGTAASSFALLHLLMKLLPHQGQDDAVGRLASTMDGDSLRHVLSRNGEGAASDAGVGSLLLSVVAGSHCVLHQLISLATVSFGELICVSDEPNTEVGSSVCSSHTPSDGPAGSRKAAALDQLAQCHLGIFFQVLPLFHVAGPLQCLPFARRGGEAPDGDRSCENRAVLNLGTFALNQAERLASLSFSGDYSGTTRLGSMGALTSGFGPPQLPTGAPSESSSSLLHCLSLSSGPILPQKLLLNILISGQTNSRQRWSDVFSKQAEEQKISDGRLSHPKSDERGVLPKYGSETQCPHPLSMNASFSEKTPFSTKGFQPSCAEPKIVVSPNKRPSVHSVSPAALKNARESTQLKTRLENMEADKFQLESQLSQLKKSFEKEKDSLAQTKEQELLFHSRRQEELVSETQHLSDRLIKIEGNKILVEEEMVKMKEKLEKYQAQLVELEFNDKIPVTERNKGSPNKSMGDLLRHVKHDSSVDRAAAPCQSLAQSALTDLEELDDATFDGHAGFPDDPDPAAAASFGRARKSPPHGTGPPPGPRPAPPESRRKSGPASTGAM
+>sp|Q8WXS8|ATS14_HUMAN A disintegrin and metalloproteinase with thrombospondin motifs 14 OS=Homo sapiens OX=9606 GN=ADAMTS14 PE=2 SV=2
+MAPLRALLSYLLPLHCALCAAAGSRTPELHLSGKLSDYGVTVPCSTDFRGRFLSHVVSGPAAASAGSMVVDTPPTLPRHSSHLRVARSPLHPGGTLWPGRVGRHSLYFNVTVFGKELHLRLRPNRRLVVPGSSVEWQEDFRELFRQPLRQECVYTGGVTGMPGAAVAISNCDGLAGLIRTDSTDFFIEPLERGQQEKEASGRTHVVYRREAVQQEWAEPDGDLHNEAFGLGDLPNLLGLVGDQLGDTERKRRHAKPGSYSIEVLLVVDDSVVRFHGKEHVQNYVLTLMNIVDEIYHDESLGVHINIALVRLIMVGYRQSLSLIERGNPSRSLEQVCRWAHSQQRQDPSHAEHHDHVVFLTRQDFGPSGYAPVTGMCHPLRSCALNHEDGFSSAFVIAHETGHVLGMEHDGQGNGCADETSLGSVMAPLVQAAFHRFHWSRCSKLELSRYLPSYDCLLDDPFDPAWPQPPELPGINYSMDEQCRFDFGSGYQTCLAFRTFEPCKQLWCSHPDNPYFCKTKKGPPLDGTECAPGKWCFKGHCIWKSPEQTYGQDGGWSSWTKFGSCSRSCGGGVRSRSRSCNNPSPAYGGRLCLGPMFEYQVCNSEECPGTYEDFRAQQCAKRNSYYVHQNAKHSWVPYEPDDDAQKCELICQSADTGDVVFMNQVVHDGTRCSYRDPYSVCARGECVPVGCDKEVGSMKADDKCGVCGGDNSHCRTVKGTLGKASKQAGALKLVQIPAGARHIQIEALEKSPHRIVVKNQVTGSFILNPKGKEATSRTFTAMGLEWEDAVEDAKESLKTSGPLPEAIAILALPPTEGGPRSSLAYKYVIHEDLLPLIGSNNVLLEEMDTYEWALKSWAPCSKACGGGIQFTKYGCRRRRDHHMVQRHLCDHKKRPKPIRRRCNQHPCSQPVWVTEEWGACSRSCGKLGVQTRGIQCLLPLSNGTHKVMPAKACAGDRPEARRPCLRVPCPAQWRLGAWSQCSATCGEGIQQRQVVCRTNANSLGHCEGDRPDTVQVCSLPACGGNHQNSTVRADVWELGTPEGQWVPQSEPLHPINKISSTEPCTGDRSVFCQMEVLDRYCSIPGYHRLCCVSCIKKASGPNPGPDPGPTSLPPFSTPGSPLPGPQDPADAAEPPGKPTGSEDHQHGRATQLPGALDTSSPGTQHPFAPETPIPGASWSISPTTPGGLPWGWTQTPTPVPEDKGQPGEDLRHPGTSLPAASPVT
+>DECOY_sp|Q8WXS8|ATS14_HUMAN A disintegrin and metalloproteinase with thrombospondin motifs 14 OS=Homo sapiens OX=9606 GN=ADAMTS14 PE=2 SV=2
+TVPSAAPLSTGPHRLDEGPQGKDEPVPTPTQTWGWPLGGPTTPSISWSAGPIPTEPAFPHQTGPSSTDLAGPLQTARGHQHDESGTPKGPPEAADAPDQPGPLPSGPTSFPPLSTPGPDPGPNPGSAKKICSVCCLRHYGPISCYRDLVEMQCFVSRDGTCPETSSIKNIPHLPESQPVWQGEPTGLEWVDARVTSNQHNGGCAPLSCVQVTDPRDGECHGLSNANTRCVVQRQQIGEGCTASCQSWAGLRWQAPCPVRLCPRRAEPRDGACAKAPMVKHTGNSLPLLCQIGRTQVGLKGCSRSCAGWEETVWVPQSCPHQNCRRRIPKPRKKHDCLHRQVMHHDRRRRCGYKTFQIGGGCAKSCPAWSKLAWEYTDMEELLVNNSGILPLLDEHIVYKYALSSRPGGETPPLALIAIAEPLPGSTKLSEKADEVADEWELGMATFTRSTAEKGKPNLIFSGTVQNKVVIRHPSKELAEIQIHRAGAPIQVLKLAGAQKSAKGLTGKVTRCHSNDGGCVGCKDDAKMSGVEKDCGVPVCEGRACVSYPDRYSCRTGDHVVQNMFVVDGTDASQCILECKQADDDPEYPVWSHKANQHVYYSNRKACQQARFDEYTGPCEESNCVQYEFMPGLCLRGGYAPSPNNCSRSRSRVGGGCSRSCSGFKTWSSWGGDQGYTQEPSKWICHGKFCWKGPACETGDLPPGKKTKCFYPNDPHSCWLQKCPEFTRFALCTQYGSGFDFRCQEDMSYNIGPLEPPQPWAPDFPDDLLCDYSPLYRSLELKSCRSWHFRHFAAQVLPAMVSGLSTEDACGNGQGDHEMGLVHGTEHAIVFASSFGDEHNLACSRLPHCMGTVPAYGSPGFDQRTLFVVHDHHEAHSPDQRQQSHAWRCVQELSRSPNGREILSLSQRYGVMILRVLAINIHVGLSEDHYIEDVINMLTLVYNQVHEKGHFRVVSDDVVLLVEISYSGPKAHRRKRETDGLQDGVLGLLNPLDGLGFAENHLDGDPEAWEQQVAERRYVVHTRGSAEKEQQGRELPEIFFDTSDTRILGALGDCNSIAVAAGPMGTVGGTYVCEQRLPQRFLERFDEQWEVSSGPVVLRRNPRLRLHLEKGFVTVNFYLSHRGVRGPWLTGGPHLPSRAVRLHSSHRPLTPPTDVVMSGASAAAPGSVVHSLFRGRFDTSCPVTVGYDSLKGSLHLEPTRSGAAACLACHLPLLYSLLARLPAM
+>sp|Q8TE57|ATS16_HUMAN A disintegrin and metalloproteinase with thrombospondin motifs 16 OS=Homo sapiens OX=9606 GN=ADAMTS16 PE=2 SV=3
+MKPRARGWRGLAALWMLLAQVAEQAPACAMGPAAAAPGSPSVPRPPPPAERPGWMEKGEYDLVSAYEVDHRGDYVSHEIMHHQRRRRAVPVSEVESLHLRLKGSRHDFHMDLRTSSSLVAPGFIVQTLGKTGTKSVQTLPPEDFCFYQGSLRSHRNSSVALSTCQGLSGMIRTEEADYFLRPLPSHLSWKLGRAAQGSSPSHVLYKRSTEPHAPGASEVLVTSRTWELAHQPLHSSDLRLGLPQKQHFCGRRKKYMPQPPKEDLFILPDEYKSCLRHKRSLLRSHRNEELNVETLVVVDKKMMQNHGHENITTYVLTILNMVSALFKDGTIGGNINIAIVGLILLEDEQPGLVISHHADHTLSSFCQWQSGLMGKDGTRHDHAILLTGLDICSWKNEPCDTLGFAPISGMCSKYRSCTINEDTGLGLAFTIAHESGHNFGMIHDGEGNMCKKSEGNIMSPTLAGRNGVFSWSPCSRQYLHKFLSTAQAICLADQPKPVKEYKYPEKLPGELYDANTQCKWQFGEKAKLCMLDFKKDICKALWCHRIGRKCETKFMPAAEGTICGHDMWCRGGQCVKYGDEGPKPTHGHWSDWSSWSPCSRTCGGGVSHRSRLCTNPKPSHGGKFCEGSTRTLKLCNSQKCPRDSVDFRAAQCAEHNSRRFRGRHYKWKPYTQVEDQDLCKLYCIAEGFDFFFSLSNKVKDGTPCSEDSRNVCIDGICERVGCDNVLGSDAVEDVCGVCNGNNSACTIHRGLYTKHHHTNQYYHMVTIPSGARSIRIYEMNVSTSYISVRNALRRYYLNGHWTVDWPGRYKFSGTTFDYRRSYNEPENLIATGPTNETLIVELLFQGRNPGVAWEYSMPRLGTEKQPPAQPSYTWAIVRSECSVSCGGGQMTVREGCYRDLKFQVNMSFCNPKTRPVTGLVPCKVSACPPSWSVGNWSACSRTCGGGAQSRPVQCTRRVHYDSEPVPASLCPQPAPSSRQACNSQSCPPAWSAGPWAECSHTCGKGWRKRAVACKSTNPSARAQLLPDAVCTSEPKPRMHEACLLQRCHKPKKLQWLVSAWSQCSVTCERGTQKRFLKCAEKYVSGKYRELASKKCSHLPKPSLELERACAPLPCPRHPPFAAAGPSRGSWFASPWSQCTASCGGGVQTRSVQCLAGGRPASGCLLHQKPSASLACNTHFCPIAEKKDAFCKDYFHWCYLVPQHGMCSHKFYGKQCCKTCSKSNL
+>DECOY_sp|Q8TE57|ATS16_HUMAN A disintegrin and metalloproteinase with thrombospondin motifs 16 OS=Homo sapiens OX=9606 GN=ADAMTS16 PE=2 SV=3
+LNSKSCTKCCQKGYFKHSCMGHQPVLYCWHFYDKCFADKKEAIPCFHTNCALSASPKQHLLCGSAPRGGALCQVSRTQVGGGCSATCQSWPSAFWSGRSPGAAAFPPHRPCPLPACARELELSPKPLHSCKKSALERYKGSVYKEACKLFRKQTGRECTVSCQSWASVLWQLKKPKHCRQLLCAEHMRPKPESTCVADPLLQARASPNTSKCAVARKRWGKGCTHSCEAWPGASWAPPCSQSNCAQRSSPAPQPCLSAPVPESDYHVRRTCQVPRSQAGGGCTRSCASWNGVSWSPPCASVKCPVLGTVPRTKPNCFSMNVQFKLDRYCGERVTMQGGGCSVSCESRVIAWTYSPQAPPQKETGLRPMSYEWAVGPNRGQFLLEVILTENTPGTAILNEPENYSRRYDFTTGSFKYRGPWDVTWHGNLYYRRLANRVSIYSTSVNMEYIRISRAGSPITVMHYYQNTHHHKTYLGRHITCASNNGNCVGCVDEVADSGLVNDCGVRECIGDICVNRSDESCPTGDKVKNSLSFFFDFGEAICYLKCLDQDEVQTYPKWKYHRGRFRRSNHEACQAARFDVSDRPCKQSNCLKLTRTSGECFKGGHSPKPNTCLRSRHSVGGGCTRSCPSWSSWDSWHGHTPKPGEDGYKVCQGGRCWMDHGCITGEAAPMFKTECKRGIRHCWLAKCIDKKFDLMCLKAKEGFQWKCQTNADYLEGPLKEPYKYEKVPKPQDALCIAQATSLFKHLYQRSCPSWSFVGNRGALTPSMINGESKKCMNGEGDHIMGFNHGSEHAITFALGLGTDENITCSRYKSCMGSIPAFGLTDCPENKWSCIDLGTLLIAHDHRTGDKGMLGSQWQCFSSLTHDAHHSIVLGPQEDELLILGVIAININGGITGDKFLASVMNLITLVYTTINEHGHNQMMKKDVVVLTEVNLEENRHSRLLSRKHRLCSKYEDPLIFLDEKPPQPMYKKRRGCFHQKQPLGLRLDSSHLPQHALEWTRSTVLVESAGPAHPETSRKYLVHSPSSGQAARGLKWSLHSPLPRLFYDAEETRIMGSLGQCTSLAVSSNRHSRLSGQYFCFDEPPLTQVSKTGTKGLTQVIFGPAVLSSSTRLDMHFDHRSGKLRLHLSEVESVPVARRRRQHHMIEHSVYDGRHDVEYASVLDYEGKEMWGPREAPPPPRPVSPSGPAAAAPGMACAPAQEAVQALLMWLAALGRWGRARPKM
+>sp|Q8TE56|ATS17_HUMAN A disintegrin and metalloproteinase with thrombospondin motifs 17 OS=Homo sapiens OX=9606 GN=ADAMTS17 PE=2 SV=2
+MCDGALLPPLVLPVLLLLVWGLDPGTAVGDAAADVEVVLPWRVRPDDVHLPPLPAAPGPRRRRRPRTPPAAPRARPGERALLLHLPAFGRDLYLQLRRDLRFLSRGFEVEEAGAARRRGRPAELCFYSGRVLGHPGSLVSLSACGAAGGLVGLIQLGQEQVLIQPLNNSQGPFSGREHLIRRKWSLTPSPSAEAQRPEQLCKVLTEKKKPTWGRPSRDWRERRNAIRLTSEHTVETLVVADADMVQYHGAEAAQRFILTVMNMVYNMFQHQSLGIKINIQVTKLVLLRQRPAKLSIGHHGERSLESFCHWQNEEYGGARYLGNNQVPGGKDDPPLVDAAVFVTRTDFCVHKDEPCDTVGIAYLGGVCSAKRKCVLAEDNGLNLAFTIAHELGHNLGMNHDDDHSSCAGRSHIMSGEWVKGRNPSDLSWSSCSRDDLENFLKSKVSTCLLVTDPRSQHTVRLPHKLPGMHYSANEQCQILFGMNATFCRNMEHLMCAGLWCLVEGDTSCKTKLDPPLDGTECGADKWCRAGECVSKTPIPEHVDGDWSPWGAWSMCSRTCGTGARFRQRKCDNPPPGPGGTHCPGASVEHAVCENLPCPKGLPSFRDQQCQAHDRLSPKKKGLLTAVVVDDKPCELYCSPLGKESPLLVADRVLDGTPCGPYETDLCVHGKCQKIGCDGIIGSAAKEDRCGVCSGDGKTCHLVKGDFSHARGTALKDSGKGSINSDWKIELPGEFQIAGTTVRYVRRGLWEKISAKGPTKLPLHLMVLLFHDQDYGIHYEYTVPVNRTAENQSEPEKPQDSLFIWTHSGWEGCSVQCGGGERRTIVSCTRIVNKTTTLVNDSDCPQASRPEPQVRRCNLHPCQSRWVAGPWSPCSATCEKGFQHREVTCVYQLQNGTHVATRPLYCPGPRPAAVQSCEGQDCLSIWEASEWSQCSASCGKGVWKRTVACTNSQGKCDASTRPRAEEACEDYSGCYEWKTGDWSTCSSTCGKGLQSRVVQCMHKVTGRHGSECPALSKPAPYRQCYQEVCNDRINANTITSPRLAALTYKCTRDQWTVYCRVIREKNLCQDMRWYQRCCQTCRDFYANKMRQPPPNS
+>DECOY_sp|Q8TE56|ATS17_HUMAN A disintegrin and metalloproteinase with thrombospondin motifs 17 OS=Homo sapiens OX=9606 GN=ADAMTS17 PE=2 SV=2
+SNPPPQRMKNAYFDRCTQCCRQYWRMDQCLNKERIVRCYVTWQDRTCKYTLAALRPSTITNANIRDNCVEQYCQRYPAPKSLAPCESGHRGTVKHMCQVVRSQLGKGCTSSCTSWDGTKWEYCGSYDECAEEARPRTSADCKGQSNTCAVTRKWVGKGCSASCQSWESAEWISLCDQGECSQVAAPRPGPCYLPRTAVHTGNQLQYVCTVERHQFGKECTASCPSWPGAVWRSQCPHLNCRRVQPEPRSAQPCDSDNVLTTTKNVIRTCSVITRREGGGCQVSCGEWGSHTWIFLSDQPKEPESQNEATRNVPVTYEYHIGYDQDHFLLVMLHLPLKTPGKASIKEWLGRRVYRVTTGAIQFEGPLEIKWDSNISGKGSDKLATGRAHSFDGKVLHCTKGDGSCVGCRDEKAASGIIGDCGIKQCKGHVCLDTEYPGCPTGDLVRDAVLLPSEKGLPSCYLECPKDDVVVATLLGKKKPSLRDHAQCQQDRFSPLGKPCPLNECVAHEVSAGPCHTGGPGPPPNDCKRQRFRAGTGCTRSCMSWAGWPSWDGDVHEPIPTKSVCEGARCWKDAGCETGDLPPDLKTKCSTDGEVLCWLGACMLHEMNRCFTANMGFLIQCQENASYHMGPLKHPLRVTHQSRPDTVLLCTSVKSKLFNELDDRSCSSWSLDSPNRGKVWEGSMIHSRGACSSHDDDHNMGLNHGLEHAITFALNLGNDEALVCKRKASCVGGLYAIGVTDCPEDKHVCFDTRTVFVAADVLPPDDKGGPVQNNGLYRAGGYEENQWHCFSELSREGHHGISLKAPRQRLLVLKTVQINIKIGLSQHQFMNYVMNMVTLIFRQAAEAGHYQVMDADAVVLTEVTHESTLRIANRRERWDRSPRGWTPKKKETLVKCLQEPRQAEASPSPTLSWKRRILHERGSFPGQSNNLPQILVQEQGLQILGVLGGAAGCASLSVLSGPHGLVRGSYFCLEAPRGRRRAAGAEEVEFGRSLFRLDRRLQLYLDRGFAPLHLLLAREGPRARPAAPPTRPRRRRRPGPAAPLPPLHVDDPRVRWPLVVEVDAAADGVATGPDLGWVLLLLVPLVLPPLLAGDCM
+>sp|Q8TE59|ATS19_HUMAN A disintegrin and metalloproteinase with thrombospondin motifs 19 OS=Homo sapiens OX=9606 GN=ADAMTS19 PE=2 SV=2
+MRLTHICCCCLLYQLGFLSNGIVSELQFAPDREEWEVVFPALWRREPVDPAGGSGGSADPGWVRGVGGGGSARAQAAGSSREVRSVAPVPLEEPVEGRSESRLRPPPPSEGEEDEELESQELPRGSSGAAALSPGAPASWQPPPPPQPPPSPPPAQHAEPDGDEVLLRIPAFSRDLYLLLRRDGRFLAPRFAVEQRPNPGPGPTGAASAPQPPAPPDAGCFYTGAVLRHPGSLASFSTCGGGLMGFIQLNEDFIFIEPLNDTMAITGHPHRVYRQKRSMEEKVTEKSALHSHYCGIISDKGRPRSRKIAESGRGKRYSYKLPQEYNIETVVVADPAMVSYHGADAARRFILTILNMVFNLFQHKSLSVQVNLRVIKLILLHETPPELYIGHHGEKMLESFCKWQHEEFGKKNDIHLEMSTNWGEDMTSVDAAILITRKDFCVHKDEPCDTVGIAYLSGMCSEKRKCIIAEDNGLNLAFTIAHEMGHNMGINHDNDHPSCADGLHIMSGEWIKGQNLGDVSWSRCSKEDLERFLRSKASNCLLQTNPQSVNSVMVPSKLPGMTYTADEQCQILFGPLASFCQEMQHVICTGLWCKVEGEKECRTKLDPPMDGTDCDLGKWCKAGECTSRTSAPEHLAGEWSLWSPCSRTCSAGISSRERKCPGLDSEARDCNGPRKQYRICENPPCPAGLPGFRDWQCQAYSVRTSSPKHILQWQAVLDEEKPCALFCSPVGKEQPILLSEKVMDGTSCGYQGLDICANGRCQKVGCDGLLGSLAREDHCGVCNGNGKSCKIIKGDFNHTRGAGYVEVLVIPAGARRIKVVEEKPAHSYLALRDAGKQSINSDWKIEHSGAFNLAGTTVHYVRRGLWEKISAKGPTTAPLHLLVLLFQDQNYGLHYEYTIPSDPLPENQSSKAPEPLFMWTHTSWEDCDATCGGGERKTTVSCTKIMSKNISIVDNEKCKYLTKPEPQIRKCNEQPCQTRWMMTEWTPCSRTCGKGMQSRQVACTQQLSNGTLIRARERDCIGPKPASAQRCEGQDCMTVWEAGVWSECSVKCGKGIRHRTVRCTNPRKKCVLSTRPREAEDCEDYSKCYVWRMGDWSKCSITCGKGMQSRVIQCMHKITGRHGNECFSSEKPAAYRPCHLQPCNEKINVNTITSPRLAALTFKCLGDQWPVYCRVIREKNLCQDMRWYQRCCETCRDFYAQKLQQKS
+>DECOY_sp|Q8TE59|ATS19_HUMAN A disintegrin and metalloproteinase with thrombospondin motifs 19 OS=Homo sapiens OX=9606 GN=ADAMTS19 PE=2 SV=2
+SKQQLKQAYFDRCTECCRQYWRMDQCLNKERIVRCYVPWQDGLCKFTLAALRPSTITNVNIKENCPQLHCPRYAAPKESSFCENGHRGTIKHMCQIVRSQMGKGCTISCKSWDGMRWVYCKSYDECDEAERPRTSLVCKKRPNTCRVTRHRIGKGCKVSCESWVGAEWVTMCDQGECRQASAPKPGICDRERARILTGNSLQQTCAVQRSQMGKGCTRSCPTWETMMWRTQCPQENCKRIQPEPKTLYKCKENDVISINKSMIKTCSVTTKREGGGCTADCDEWSTHTWMFLPEPAKSSQNEPLPDSPITYEYHLGYNQDQFLLVLLHLPATTPGKASIKEWLGRRVYHVTTGALNFAGSHEIKWDSNISQKGADRLALYSHAPKEEVVKIRRAGAPIVLVEVYGAGRTHNFDGKIIKCSKGNGNCVGCHDERALSGLLGDCGVKQCRGNACIDLGQYGCSTGDMVKESLLIPQEKGVPSCFLACPKEEDLVAQWQLIHKPSSTRVSYAQCQWDRFGPLGAPCPPNECIRYQKRPGNCDRAESDLGPCKRERSSIGASCTRSCPSWLSWEGALHEPASTRSTCEGAKCWKGLDCDTGDMPPDLKTRCEKEGEVKCWLGTCIVHQMEQCFSALPGFLIQCQEDATYTMGPLKSPVMVSNVSQPNTQLLCNSAKSRLFRELDEKSCRSWSVDGLNQGKIWEGSMIHLGDACSPHDNDHNIGMNHGMEHAITFALNLGNDEAIICKRKESCMGSLYAIGVTDCPEDKHVCFDKRTILIAADVSTMDEGWNTSMELHIDNKKGFEEHQWKCFSELMKEGHHGIYLEPPTEHLLILKIVRLNVQVSLSKHQFLNFVMNLITLIFRRAADAGHYSVMAPDAVVVTEINYEQPLKYSYRKGRGSEAIKRSRPRGKDSIIGCYHSHLASKETVKEEMSRKQRYVRHPHGTIAMTDNLPEIFIFDENLQIFGMLGGGCTSFSALSGPHRLVAGTYFCGADPPAPPQPASAAGTPGPGPNPRQEVAFRPALFRGDRRLLLYLDRSFAPIRLLVEDGDPEAHQAPPPSPPPQPPPPPQWSAPAGPSLAAAGSSGRPLEQSELEEDEEGESPPPPRLRSESRGEVPEELPVPAVSRVERSSGAAQARASGGGGVGRVWGPDASGGSGGAPDVPERRWLAPFVVEWEERDPAFQLESVIGNSLFGLQYLLCCCCIHTLRM
+>sp|O15072|ATS3_HUMAN A disintegrin and metalloproteinase with thrombospondin motifs 3 OS=Homo sapiens OX=9606 GN=ADAMTS3 PE=2 SV=4
+MVLLSLWLIAAALVEVRTSADGQAGNEEMVQIDLPIKRYREYELVTPVSTNLEGRYLSHTLSASHKKRSARDVSSNPEQLFFNITAFGKDFHLRLKPNTQLVAPGAVVEWHETSLVPGNITDPINNHQPGSATYRIRRTEPLQTNCAYVGDIVDIPGTSVAISNCDGLAGMIKSDNEEYFIEPLERGKQMEEEKGRIHVVYKRSAVEQAPIDMSKDFHYRESDLEGLDDLGTVYGNIHQQLNETMRRRRHAGENDYNIEVLLGVDDSVVRFHGKEHVQNYLLTLMNIVNEIYHDESLGVHINVVLVRMIMLGYAKSISLIERGNPSRSLENVCRWASQQQRSDLNHSEHHDHAIFLTRQDFGPAGMQGYAPVTGMCHPVRSCTLNHEDGFSSAFVVAHETGHVLGMEHDGQGNRCGDETAMGSVMAPLVQAAFHRYHWSRCSGQELKRYIHSYDCLLDDPFDHDWPKLPELPGINYSMDEQCRFDFGVGYKMCTAFRTFDPCKQLWCSHPDNPYFCKTKKGPPLDGTECAAGKWCYKGHCMWKNANQQKQDGNWGSWTKFGSCSRTCGTGVRFRTRQCNNPMPINGGQDCPGVNFEYQLCNTEECQKHFEDFRAQQCQQRNSHFEYQNTKHHWLPYEHPDPKKRCHLYCQSKETGDVAYMKQLVHDGTHCSYKDPYSICVRGECVKVGCDKEIGSNKVEDKCGVCGGDNSHCRTVKGTFTRTPRKLGYLKMFDIPPGARHVLIQEDEASPHILAIKNQATGHYILNGKGEEAKSRTFIDLGVEWDYNIEDDIESLHTDGPLHDPVIVLIIPQENDTRSSLTYKYIIHEDSVPTINSNNVIQEELDTFEWALKSWSQCSKPCGGGFQYTKYGCRRKSDNKMVHRSFCEANKKPKPIRRMCNIQECTHPLWVAEEWEHCTKTCGSSGYQLRTVRCLQPLLDGTNRSVHSKYCMGDRPESRRPCNRVPCPAQWKTGPWSECSVTCGEGTEVRQVLCRAGDHCDGEKPESVRACQLPPCNDEPCLGDKSIFCQMEVLARYCSIPGYNKLCCESCSKRSSTLPPPYLLEAAETHDDVISNPSDLPRSLVMPTSLVPYHSETPAKKMSLSSISSVGGPNAYAAFRPNSKPDGANLRQRSAQQAGSKTVRLVTVPSSPPTKRVHLSSASQMAAASFFAASDSIGASSQARTSKKDGKIIDNRRPTRSSTLER
+>DECOY_sp|O15072|ATS3_HUMAN A disintegrin and metalloproteinase with thrombospondin motifs 3 OS=Homo sapiens OX=9606 GN=ADAMTS3 PE=2 SV=4
+RELTSSRTPRRNDIIKGDKKSTRAQSSAGISDSAAFFSAAAMQSASSLHVRKTPPSSPVTVLRVTKSGAQQASRQRLNAGDPKSNPRFAAYANPGGVSSISSLSMKKAPTESHYPVLSTPMVLSRPLDSPNSIVDDHTEAAELLYPPPLTSSRKSCSECCLKNYGPISCYRALVEMQCFISKDGLCPEDNCPPLQCARVSEPKEGDCHDGARCLVQRVETGEGCTVSCESWPGTKWQAPCPVRNCPRRSEPRDGMCYKSHVSRNTGDLLPQLCRVTRLQYGSSGCTKTCHEWEEAVWLPHTCEQINCMRRIPKPKKNAECFSRHVMKNDSKRRCGYKTYQFGGGCPKSCQSWSKLAWEFTDLEEQIVNNSNITPVSDEHIIYKYTLSSRTDNEQPIILVIVPDHLPGDTHLSEIDDEINYDWEVGLDIFTRSKAEEGKGNLIYHGTAQNKIALIHPSAEDEQILVHRAGPPIDFMKLYGLKRPTRTFTGKVTRCHSNDGGCVGCKDEVKNSGIEKDCGVKVCEGRVCISYPDKYSCHTGDHVLQKMYAVDGTEKSQCYLHCRKKPDPHEYPLWHHKTNQYEFHSNRQQCQQARFDEFHKQCEETNCLQYEFNVGPCDQGGNIPMPNNCQRTRFRVGTGCTRSCSGFKTWSGWNGDQKQQNANKWMCHGKYCWKGAACETGDLPPGKKTKCFYPNDPHSCWLQKCPDFTRFATCMKYGVGFDFRCQEDMSYNIGPLEPLKPWDHDFPDDLLCDYSHIYRKLEQGSCRSWHYRHFAAQVLPAMVSGMATEDGCRNGQGDHEMGLVHGTEHAVVFASSFGDEHNLTCSRVPHCMGTVPAYGQMGAPGFDQRTLFIAHDHHESHNLDSRQQQSAWRCVNELSRSPNGREILSISKAYGLMIMRVLVVNIHVGLSEDHYIENVINMLTLLYNQVHEKGHFRVVSDDVGLLVEINYDNEGAHRRRRMTENLQQHINGYVTGLDDLGELDSERYHFDKSMDIPAQEVASRKYVVHIRGKEEEMQKGRELPEIFYEENDSKIMGALGDCNSIAVSTGPIDVIDGVYACNTQLPETRRIRYTASGPQHNNIPDTINGPVLSTEHWEVVAGPAVLQTNPKLRLHFDKGFATINFFLQEPNSSVDRASRKKHSASLTHSLYRGELNTSVPTVLEYERYRKIPLDIQVMEENGAQGDASTRVEVLAAAILWLSLLVM
+>sp|O75173|ATS4_HUMAN A disintegrin and metalloproteinase with thrombospondin motifs 4 OS=Homo sapiens OX=9606 GN=ADAMTS4 PE=1 SV=3
+MSQTGSHPGRGLAGRWLWGAQPCLLLPIVPLSWLVWLLLLLLASLLPSARLASPLPREEEIVFPEKLNGSVLPGSGAPARLLCRLQAFGETLLLELEQDSGVQVEGLTVQYLGQAPELLGGAEPGTYLTGTINGDPESVASLHWDGGALLGVLQYRGAELHLQPLEGGTPNSAGGPGAHILRRKSPASGQGPMCNVKAPLGSPSPRPRRAKRFASLSRFVETLVVADDKMAAFHGAGLKRYLLTVMAAAAKAFKHPSIRNPVSLVVTRLVILGSGEEGPQVGPSAAQTLRSFCAWQRGLNTPEDSDPDHFDTAILFTRQDLCGVSTCDTLGMADVGTVCDPARSCAIVEDDGLQSAFTAAHELGHVFNMLHDNSKPCISLNGPLSTSRHVMAPVMAHVDPEEPWSPCSARFITDFLDNGYGHCLLDKPEAPLHLPVTFPGKDYDADRQCQLTFGPDSRHCPQLPPPCAALWCSGHLNGHAMCQTKHSPWADGTPCGPAQACMGGRCLHMDQLQDFNIPQAGGWGPWGPWGDCSRTCGGGVQFSSRDCTRPVPRNGGKYCEGRRTRFRSCNTEDCPTGSALTFREEQCAAYNHRTDLFKSFPGPMDWVPRYTGVAPQDQCKLTCQAQALGYYYVLEPRVVDGTPCSPDSSSVCVQGRCIHAGCDRIIGSKKKFDKCMVCGGDGSGCSKQSGSFRKFRYGYNNVVTIPAGATHILVRQQGNPGHRSIYLALKLPDGSYALNGEYTLMPSPTDVVLPGAVSLRYSGATAASETLSGHGPLAQPLTLQVLVAGNPQDTRLRYSFFVPRPTPSTPRPTPQDWLHRRAQILEILRRRPWAGRK
+>DECOY_sp|O75173|ATS4_HUMAN A disintegrin and metalloproteinase with thrombospondin motifs 4 OS=Homo sapiens OX=9606 GN=ADAMTS4 PE=1 SV=3
+KRGAWPRRRLIELIQARRHLWDQPTPRPTSPTPRPVFFSYRLRTDQPNGAVLVQLTLPQALPGHGSLTESAATAGSYRLSVAGPLVVDTPSPMLTYEGNLAYSGDPLKLALYISRHGPNGQQRVLIHTAGAPITVVNNYGYRFKRFSGSQKSCGSGDGGCVMCKDFKKKSGIIRDCGAHICRGQVCVSSSDPSCPTGDVVRPELVYYYGLAQAQCTLKCQDQPAVGTYRPVWDMPGPFSKFLDTRHNYAACQEERFTLASGTPCDETNCSRFRTRRGECYKGGNRPVPRTCDRSSFQVGGGCTRSCDGWPGWPGWGGAQPINFDQLQDMHLCRGGMCAQAPGCPTGDAWPSHKTQCMAHGNLHGSCWLAACPPPLQPCHRSDPGFTLQCQRDADYDKGPFTVPLHLPAEPKDLLCHGYGNDLFDTIFRASCPSWPEEPDVHAMVPAMVHRSTSLPGNLSICPKSNDHLMNFVHGLEHAATFASQLGDDEVIACSRAPDCVTGVDAMGLTDCTSVGCLDQRTFLIATDFHDPDSDEPTNLGRQWACFSRLTQAASPGVQPGEEGSGLIVLRTVVLSVPNRISPHKFAKAAAAMVTLLYRKLGAGHFAAMKDDAVVLTEVFRSLSAFRKARRPRPSPSGLPAKVNCMPGQGSAPSKRRLIHAGPGGASNPTGGELPQLHLEAGRYQLVGLLAGGDWHLSAVSEPDGNITGTLYTGPEAGGLLEPAQGLYQVTLGEVQVGSDQELELLLTEGFAQLRCLLRAPAGSGPLVSGNLKEPFVIEEERPLPSALRASPLLSALLLLLLWVLWSLPVIPLLLCPQAGWLWRGALGRGPHSGTQSM
+>sp|Q9P2N4|ATS9_HUMAN A disintegrin and metalloproteinase with thrombospondin motifs 9 OS=Homo sapiens OX=9606 GN=ADAMTS9 PE=1 SV=4
+MQFVSWATLLTLLVRDLAEMGSPDAAAAVRKDRLHPRQVKLLETLSEYEIVSPIRVNALGEPFPTNVHFKRTRRSINSATDPWPAFASSSSSSTSSQAHYRLSAFGQQFLFNLTANAGFIAPLFTVTLLGTPGVNQTKFYSEEEAELKHCFYKGYVNTNSEHTAVISLCSGMLGTFRSHDGDYFIEPLQSMDEQEDEEEQNKPHIIYRRSAPQREPSTGRHACDTSEHKNRHSKDKKKTRARKWGERINLAGDVAALNSGLATEAFSAYGNKTDNTREKRTHRRTKRFLSYPRFVEVLVVADNRMVSYHGENLQHYILTLMSIVASIYKDPSIGNLINIVIVNLIVIHNEQDGPSISFNAQTTLKNFCQWQHSKNSPGGIHHDTAVLLTRQDICRAHDKCDTLGLAELGTICDPYRSCSISEDSGLSTAFTIAHELGHVFNMPHDDNNKCKEEGVKSPQHVMAPTLNFYTNPWMWSKCSRKYITEFLDTGYGECLLNEPESRPYPLPVQLPGILYNVNKQCELIFGPGSQVCPYMMQCRRLWCNNVNGVHKGCRTQHTPWADGTECEPGKHCKYGFCVPKEMDVPVTDGSWGSWSPFGTCSRTCGGGIKTAIRECNRPEPKNGGKYCVGRRMKFKSCNTEPCLKQKRDFRDEQCAHFDGKHFNINGLLPNVRWVPKYSGILMKDRCKLFCRVAGNTAYYQLRDRVIDGTPCGQDTNDICVQGLCRQAGCDHVLNSKARRDKCGVCGGDNSSCKTVAGTFNTVHYGYNTVVRIPAGATNIDVRQHSFSGETDDDNYLALSSSKGEFLLNGNFVVTMAKREIRIGNAVVEYSGSETAVERINSTDRIEQELLLQVLSVGKLYNPDVRYSFNIPIEDKPQQFYWNSHGPWQACSKPCQGERKRKLVCTRESDQLTVSDQRCDRLPQPGHITEPCGTDCDLRWHVASRSECSAQCGLGYRTLDIYCAKYSRLDGKTEKVDDGFCSSHPKPSNREKCSGECNTGGWRYSAWTECSKSCDGGTQRRRAICVNTRNDVLDDSKCTHQEKVTIQRCSEFPCPQWKSGDWSECLVTCGKGHKHRQVWCQFGEDRLNDRMCDPETKPTSMQTCQQPECASWQAGPWGQCSVTCGQGYQLRAVKCIIGTYMSVVDDNDCNAATRPTDTQDCELPSCHPPPAAPETRRSTYSAPRTQWRFGSWTPCSATCGKGTRMRYVSCRDENGSVADESACATLPRPVAKEECSVTPCGQWKALDWSSCSVTCGQGRATRQVMCVNYSDHVIDRSECDQDYIPETDQDCSMSPCPQRTPDSGLAQHPFQNEDYRPRSASPSRTHVLGGNQWRTGPWGACSSTCAGGSQRRVVVCQDENGYTANDCVERIKPDEQRACESGPCPQWAYGNWGECTKLCGGGIRTRLVVCQRSNGERFPDLSCEILDKPPDREQCNTHACPHDAAWSTGPWSSCSVSCGRGHKQRNVYCMAKDGSHLESDYCKHLAKPHGHRKCRGGRCPKWKAGAWSQCSVSCGRGVQQRHVGCQIGTHKIARETECNPYTRPESERDCQGPRCPLYTWRAEEWQECTKTCGEGSRYRKVVCVDDNKNEVHGARCDVSKRPVDRESCSLQPCEYVWITGEWSECSVTCGKGYKQRLVSCSEIYTGKENYEYSYQTTINCPGTQPPSVHPCYLRDCPVSATWRVGNWGSCSVSCGVGVMQRSVQCLTNEDQPSHLCHTDLKPEERKTCRNVYNCELPQNCKEVKRLKGASEDGEYFLMIRGKLLKIFCAGMHSDHPKEYVTLVHGDSENFSEVYGHRLHNPTECPYNGSRRDDCQCRKDYTAAGFSSFQKIRIDLTSMQIITTDLQFARTSEGHPVPFATAGDCYSAAKCPQGRFSINLYGTGLSLTESARWISQGNYAVSDIKKSPDGTRVVGKCGGYCGKCTPSSGTGLEVRVL
+>DECOY_sp|Q9P2N4|ATS9_HUMAN A disintegrin and metalloproteinase with thrombospondin motifs 9 OS=Homo sapiens OX=9606 GN=ADAMTS9 PE=1 SV=4
+LVRVELGTGSSPTCKGCYGGCKGVVRTGDPSKKIDSVAYNGQSIWRASETLSLGTGYLNISFRGQPCKAASYCDGATAFPVPHGESTRAFQLDTTIIQMSTLDIRIKQFSSFGAATYDKRCQCDDRRSGNYPCETPNHLRHGYVESFNESDGHVLTVYEKPHDSHMGACFIKLLKGRIMLFYEGDESAGKLRKVEKCNQPLECNYVNRCTKREEPKLDTHCLHSPQDENTLCQVSRQMVGVGCSVSCSGWNGVRWTASVPCDRLYCPHVSPPQTGPCNITTQYSYEYNEKGTYIESCSVLRQKYGKGCTVSCESWEGTIWVYECPQLSCSERDVPRKSVDCRAGHVENKNDDVCVVKRYRSGEGCTKTCEQWEEARWTYLPCRPGQCDRESEPRTYPNCETERAIKHTGIQCGVHRQQVGRGCSVSCQSWAGAKWKPCRGGRCKRHGHPKALHKCYDSELHSGDKAMCYVNRQKHGRGCSVSCSSWPGTSWAADHPCAHTNCQERDPPKDLIECSLDPFREGNSRQCVVLRTRIGGGCLKTCEGWNGYAWQPCPGSECARQEDPKIREVCDNATYGNEDQCVVVRRQSGGACTSSCAGWPGTRWQNGGLVHTRSPSASRPRYDENQFPHQALGSDPTRQPCPSMSCDQDTEPIYDQDCESRDIVHDSYNVCMVQRTARGQGCTVSCSSWDLAKWQGCPTVSCEEKAVPRPLTACASEDAVSGNEDRCSVYRMRTGKGCTASCPTWSGFRWQTRPASYTSRRTEPAAPPPHCSPLECDQTDTPRTAANCDNDDVVSMYTGIICKVARLQYGQGCTVSCQGWPGAQWSACEPQQCTQMSTPKTEPDCMRDNLRDEGFQCWVQRHKHGKGCTVLCESWDGSKWQPCPFESCRQITVKEQHTCKSDDLVDNRTNVCIARRRQTGGDCSKSCETWASYRWGGTNCEGSCKERNSPKPHSSCFGDDVKETKGDLRSYKACYIDLTRYGLGCQASCESRSAVHWRLDCDTGCPETIHGPQPLRDCRQDSVTLQDSERTCVLKRKREGQCPKSCAQWPGHSNWYFQQPKDEIPINFSYRVDPNYLKGVSLVQLLLEQEIRDTSNIREVATESGSYEVVANGIRIERKAMTVVFNGNLLFEGKSSSLALYNDDDTEGSFSHQRVDINTAGAPIRVVTNYGYHVTNFTGAVTKCSSNDGGCVGCKDRRAKSNLVHDCGAQRCLGQVCIDNTDQGCPTGDIVRDRLQYYATNGAVRCFLKCRDKMLIGSYKPVWRVNPLLGNINFHKGDFHACQEDRFDRKQKLCPETNCSKFKMRRGVCYKGGNKPEPRNCERIATKIGGGCTRSCTGFPSWSGWSGDTVPVDMEKPVCFGYKCHKGPECETGDAWPTHQTRCGKHVGNVNNCWLRRCQMMYPCVQSGPGFILECQKNVNYLIGPLQVPLPYPRSEPENLLCEGYGTDLFETIYKRSCKSWMWPNTYFNLTPAMVHQPSKVGEEKCKNNDDHPMNFVHGLEHAITFATSLGSDESISCSRYPDCITGLEALGLTDCKDHARCIDQRTLLVATDHHIGGPSNKSHQWQCFNKLTTQANFSISPGDQENHIVILNVIVINILNGISPDKYISAVISMLTLIYHQLNEGHYSVMRNDAVVLVEVFRPYSLFRKTRRHTRKERTNDTKNGYASFAETALGSNLAAVDGALNIREGWKRARTKKKDKSHRNKHESTDCAHRGTSPERQPASRRYIIHPKNQEEEDEQEDMSQLPEIFYDGDHSRFTGLMGSCLSIVATHESNTNVYGKYFCHKLEAEEESYFKTQNVGPTGLLTVTFLPAIFGANATLNFLFQQGFASLRYHAQSSTSSSSSSAFAPWPDTASNISRRTRKFHVNTPFPEGLANVRIPSVIEYESLTELLKVQRPHLRDKRVAAAADPSGMEALDRVLLTLLTAWSVFQM
+>sp|Q9UBB4|ATX10_HUMAN Ataxin-10 OS=Homo sapiens OX=9606 GN=ATXN10 PE=1 SV=1
+MAAPRPPPARLSGVMVPAPIQDLEALRALTALFKEQRNRETAPRTIFQRVLDILKKSSHAVELACRDPSQVENLASSLQLITECFRCLRNACIECSVNQNSIRNLDTIGVAVDLILLFRELRVEQESLLTAFRCGLQFLGNIASRNEDSQSIVWVHAFPELFLSCLNHPDKKIVAYSSMILFTSLNHERMKELEENLNIAIDVIDAYQKHPESEWPFLIITDLFLKSPELVQAMFPKLNNQERVTLLDLMIAKITSDEPLTKDDIPVFLRHAELIASTFVDQCKTVLKLASEEPPDDEEALATIRLLDVLCEMTVNTELLGYLQVFPGLLERVIDLLRVIHVAGKETTNIFSNCGCVRAEGDISNVANGFKSHLIRLIGNLCYKNKDNQDKVNELDGIPLILDNCNISDSNPFLTQWVIYAIRNLTEDNSQNQDLIAKMEEQGLADASLLKKVGFEVEKKGEKLILKSTRDTPKP
+>DECOY_sp|Q9UBB4|ATX10_HUMAN Ataxin-10 OS=Homo sapiens OX=9606 GN=ATXN10 PE=1 SV=1
+PKPTDRTSKLILKEGKKEVEFGVKKLLSADALGQEEMKAILDQNQSNDETLNRIAYIVWQTLFPNSDSINCNDLILPIGDLENVKDQNDKNKYCLNGILRILHSKFGNAVNSIDGEARVCGCNSFINTTEKGAVHIVRLLDIVRELLGPFVQLYGLLETNVTMECLVDLLRITALAEEDDPPEESALKLVTKCQDVFTSAILEAHRLFVPIDDKTLPEDSTIKAIMLDLLTVREQNNLKPFMAQVLEPSKLFLDTIILFPWESEPHKQYADIVDIAINLNEELEKMREHNLSTFLIMSSYAVIKKDPHNLCSLFLEPFAHVWVISQSDENRSAINGLFQLGCRFATLLSEQEVRLERFLLILDVAVGITDLNRISNQNVSCEICANRLCRFCETILQLSSALNEVQSPDRCALEVAHSSKKLIDLVRQFITRPATERNRQEKFLATLARLAELDQIPAPVMVGSLRAPPPRPAAM
+>sp|P54253|ATX1_HUMAN Ataxin-1 OS=Homo sapiens OX=9606 GN=ATXN1 PE=1 SV=2
+MKSNQERSNECLPPKKREIPATSRSSEEKAPTLPSDNHRVEGTAWLPGNPGGRGHGGGRHGPAGTSVELGLQQGIGLHKALSTGLDYSPPSAPRSVPVATTLPAAYATPQPGTPVSPVQYAHLPHTFQFIGSSQYSGTYASFIPSQLIPPTANPVTSAVASAAGATTPSQRSQLEAYSTLLANMGSLSQTPGHKAEQQQQQQQQQQQQHQHQQQQQQQQQQQQQQHLSRAPGLITPGSPPPAQQNQYVHISSSPQNTGRTASPPAIPVHLHPHQTMIPHTLTLGPPSQVVMQYADSGSHFVPREATKKAESSRLQQAIQAKEVLNGEMEKSRRYGAPSSADLGLGKAGGKSVPHPYESRHVVVHPSPSDYSSRDPSGVRASVMVLPNSNTPAADLEVQQATHREASPSTLNDKSGLHLGKPGHRSYALSPHTVIQTTHSASEPLPVGLPATAFYAGTQPPVIGYLSGQQQAITYAGSLPQHLVIPGTQPLLIPVGSTDMEASGAAPAIVTSSPQFAAVPHTFVTTALPKSENFNPEALVTQAAYPAMVQAQIHLPVVQSVASPAAAPPTLPPYFMKGSIIQLANGELKKVEDLKTEDFIQSAEISNDLKIDSSTVERIEDSHSPGVAVIQFAVGEHRAQVSVEVLVEYPFFVFGQGWSSCCPERTSQLFDLPCSKLSVGDVCISLTLKNLKNGSVKKGQPVDPASVLLKHSKADGLAGSRHRYAEQENGINQGSAQMLSENGELKFPEKMGLPAAPFLTKIEPSKPAATRKRRWSAPESRKLEKSEDEPPLTLPKPSLIPQEVKICIEGRSNVGK
+>DECOY_sp|P54253|ATX1_HUMAN Ataxin-1 OS=Homo sapiens OX=9606 GN=ATXN1 PE=1 SV=2
+KGVNSRGEICIKVEQPILSPKPLTLPPEDESKELKRSEPASWRRKRTAAPKSPEIKTLFPAAPLGMKEPFKLEGNESLMQASGQNIGNEQEAYRHRSGALGDAKSHKLLVSAPDVPQGKKVSGNKLNKLTLSICVDGVSLKSCPLDFLQSTREPCCSSWGQGFVFFPYEVLVEVSVQARHEGVAFQIVAVGPSHSDEIREVTSSDIKLDNSIEASQIFDETKLDEVKKLEGNALQIISGKMFYPPLTPPAAAPSAVSQVVPLHIQAQVMAPYAAQTVLAEPNFNESKPLATTVFTHPVAAFQPSSTVIAPAAGSAEMDTSGVPILLPQTGPIVLHQPLSGAYTIAQQQGSLYGIVPPQTGAYFATAPLGVPLPESASHTTQIVTHPSLAYSRHGPKGLHLGSKDNLTSPSAERHTAQQVELDAAPTNSNPLVMVSARVGSPDRSSYDSPSPHVVVHRSEYPHPVSKGGAKGLGLDASSPAGYRRSKEMEGNLVEKAQIAQQLRSSEAKKTAERPVFHSGSDAYQMVVQSPPGLTLTHPIMTQHPHLHVPIAPPSATRGTNQPSSSIHVYQNQQAPPPSGPTILGPARSLHQQQQQQQQQQQQQQHQHQQQQQQQQQQQQEAKHGPTQSLSGMNALLTSYAELQSRQSPTTAGAASAVASTVPNATPPILQSPIFSAYTGSYQSSGIFQFTHPLHAYQVPSVPTGPQPTAYAAPLTTAVPVSRPASPPSYDLGTSLAKHLGIGQQLGLEVSTGAPGHRGGGHGRGGPNGPLWATGEVRHNDSPLTPAKEESSRSTAPIERKKPPLCENSREQNSKM
+>sp|Q96GD4|AURKB_HUMAN Aurora kinase B OS=Homo sapiens OX=9606 GN=AURKB PE=1 SV=3
+MAQKENSYPWPYGRQTAPSGLSTLPQRVLRKEPVTPSALVLMSRSNVQPTAAPGQKVMENSSGTPDILTRHFTIDDFEIGRPLGKGKFGNVYLAREKKSHFIVALKVLFKSQIEKEGVEHQLRREIEIQAHLHHPNILRLYNYFYDRRRIYLILEYAPRGELYKELQKSCTFDEQRTATIMEELADALMYCHGKKVIHRDIKPENLLLGLKGELKIADFGWSVHAPSLRRKTMCGTLDYLPPEMIEGRMHNEKVDLWCIGVLCYELLVGNPPFESASHNETYRRIVKVDLKFPASVPMGAQDLISKLLRHNPSERLPLAQVSAHPWVRANSRRVLPPSALQSVA
+>DECOY_sp|Q96GD4|AURKB_HUMAN Aurora kinase B OS=Homo sapiens OX=9606 GN=AURKB PE=1 SV=3
+AVSQLASPPLVRRSNARVWPHASVQALPLRESPNHRLLKSILDQAGMPVSAPFKLDVKVIRRYTENHSASEFPPNGVLLEYCLVGICWLDVKENHMRGEIMEPPLYDLTGCMTKRRLSPAHVSWGFDAIKLEGKLGLLLNEPKIDRHIVKKGHCYMLADALEEMITATRQEDFTCSKQLEKYLEGRPAYELILYIRRRDYFYNYLRLINPHHLHAQIEIERRLQHEVGEKEIQSKFLVKLAVIFHSKKERALYVNGFKGKGLPRGIEFDDITFHRTLIDPTGSSNEMVKQGPAATPQVNSRSMLVLASPTVPEKRLVRQPLTSLGSPATQRGYPWPYSNEKQAM
+>sp|Q8WXX7|AUTS2_HUMAN Autism susceptibility gene 2 protein OS=Homo sapiens OX=9606 GN=AUTS2 PE=1 SV=1
+MDGPTRGHGLRKKRRSRSQRDRERRSRGGLGAGAAGGGGAGRTRALSLASSSGSDKEDNGKPPSSAPSRPRPPRRKRRESTSAEEDIIDGFAMTSFVTFEALEKDVALKPQERVEKRQTPLTKKKREALTNGLSFHSKKSRLSHPHHYSSDRENDRNLCQHLGKRKKMPKALRQLKPGQNSCRDSDSESASGESKGFHRSSSRERLSDSSAPSSLGTGYFCDSDSDQEEKASDASSEKLFNTVIVNKDPELGVGTLPEHDSQDAGPIVPKISGLERSQEKSQDCCKEPIFEPVVLKDPCPQVAQPIPQPQTEPQLRAPSPDPDLVQRTEAPPQPPPLSTQPPQGPPEAQLQPAPQPQVQRPPRPQSPTQLLHQNLPPVQAHPSAQSLSQPLSAYNSSSLSLNSLSSSRSSTPAKTQPAPPHISHHPSASPFPLSLPNHSPLHSFTPTLQPPAHSHHPNMFAPPTALPPPPPLTSGSLQVAGHPAGSTYSEQDILRQELNTRFLASQSADRGASLGPPPYLRTEFHQHQHQHQHTHQHTHQHTFTPFPHAIPPTAIMPTPAPPMFDKYPTKVDPFYRHSLFHSYPPAVSGIPPMIPPTGPFGSLQGAFQPKTSNPIDVAARPGTVPHTLLQKDPRLTDPFRPMLRKPGKWCAMHVHIAWQIYHHQQKVKKQMQSDPHKLDFGLKPEFLSRPPGPSLFGAIHHPHDLARPSTLFSAAGAAHPTGTPFGPPPHHSNFLNPAAHLEPFNRPSTFTGLAAVGGNAFGGLGNPSVTPNSMFGHKDGPSVQNFSNPHEPWNRLHRTPPSFPTPPPWLKPGELERSASAAAHDRDRDVDKRDSSVSKDDKERESVEKRHSSHPSPAPVLPVNALGHTRSSTEQIRAHLNTEAREKDKPKERERDHSESRKDLAADEHKAKEGHLPEKDGHGHEGRAAGEEAKQLARVPSPYVRTPVVESARPNSTSSREAEPRKGEPAYENPKKSSEVKVKEERKEDHDLPPEAPQTHRASEPPPPNSSSSVHPGPLASMPMTVGVTGIHPMNSISSLDRTRMMTPFMGISPLPGGERFPYPSFHWDPIRDPLRDPYRELDIHRRDPLGRDFLLRNDPLHRLSTPRLYEADRSFRDREPHDYSHHHHHHHHPLSVDPRREHERGGHLDERERLHMLREDYEHTRLHSVHPASLDGHLPHPSLITPGLPSMHYPRISPTAGNQNGLLNKTPPTAALSAPPPLISTLGGRPVSPRRTTPLSAEIRERPPSHTLKDIEAR
+>DECOY_sp|Q8WXX7|AUTS2_HUMAN Autism susceptibility gene 2 protein OS=Homo sapiens OX=9606 GN=AUTS2 PE=1 SV=1
+RAEIDKLTHSPPRERIEASLPTTRRPSVPRGGLTSILPPPASLAATPPTKNLLGNQNGATPSIRPYHMSPLGPTILSPHPLHGDLSAPHVSHLRTHEYDERLMHLREREDLHGGREHERRPDVSLPHHHHHHHHSYDHPERDRFSRDAEYLRPTSLRHLPDNRLLFDRGLPDRRHIDLERYPDRLPDRIPDWHFSPYPFREGGPLPSIGMFPTMMRTRDLSSISNMPHIGTVGVTMPMSALPGPHVSSSSNPPPPESARHTQPAEPPLDHDEKREEKVKVESSKKPNEYAPEGKRPEAERSSTSNPRASEVVPTRVYPSPVRALQKAEEGAARGEHGHGDKEPLHGEKAKHEDAALDKRSESHDREREKPKDKERAETNLHARIQETSSRTHGLANVPLVPAPSPHSSHRKEVSEREKDDKSVSSDRKDVDRDRDHAAASASRELEGPKLWPPPTPFSPPTRHLRNWPEHPNSFNQVSPGDKHGFMSNPTVSPNGLGGFANGGVAALGTFTSPRNFPELHAAPNLFNSHHPPPGFPTGTPHAAGAASFLTSPRALDHPHHIAGFLSPGPPRSLFEPKLGFDLKHPDSQMQKKVKQQHHYIQWAIHVHMACWKGPKRLMPRFPDTLRPDKQLLTHPVTGPRAAVDIPNSTKPQFAGQLSGFPGTPPIMPPIGSVAPPYSHFLSHRYFPDVKTPYKDFMPPAPTPMIATPPIAHPFPTFTHQHTHQHTHQHQHQHQHFETRLYPPPGLSAGRDASQSALFRTNLEQRLIDQESYTSGAPHGAVQLSGSTLPPPPPLATPPAFMNPHHSHAPPQLTPTFSHLPSHNPLSLPFPSASPHHSIHPPAPQTKAPTSSRSSSLSNLSLSSSNYASLPQSLSQASPHAQVPPLNQHLLQTPSQPRPPRQVQPQPAPQLQAEPPGQPPQTSLPPPQPPAETRQVLDPDPSPARLQPETQPQPIPQAVQPCPDKLVVPEFIPEKCCDQSKEQSRELGSIKPVIPGADQSDHEPLTGVGLEPDKNVIVTNFLKESSADSAKEEQDSDSDCFYGTGLSSPASSDSLRERSSSRHFGKSEGSASESDSDRCSNQGPKLQRLAKPMKKRKGLHQCLNRDNERDSSYHHPHSLRSKKSHFSLGNTLAERKKKTLPTQRKEVREQPKLAVDKELAEFTVFSTMAFGDIIDEEASTSERRKRRPPRPRSPASSPPKGNDEKDSGSSSALSLARTRGAGGGGAAGAGLGGRSRRERDRQSRSRRKKRLGHGRTPGDM
+>sp|Q3ZCQ2|AX2R_HUMAN Annexin-2 receptor OS=Homo sapiens OX=9606 GN=ANXA2R PE=1 SV=2
+MEQHFLGCVKRAWDSAEVAPEPQPPPIVSSEDRGPWPLPLYPVLGEYSLDSCDLGLLSSPCWRLPGVYWQNGLSPGVQSTLEPSTAKPTEFSWPGTQKQQEAPVEEVGQAEEPDRLRLQQLPWSSPLHPWDRQQDTEVCDSGCLLERRHPPALQPWRHLPGFSDCLEWILRVGFAAFSVLWACCSRICGAKQP
+>DECOY_sp|Q3ZCQ2|AX2R_HUMAN Annexin-2 receptor OS=Homo sapiens OX=9606 GN=ANXA2R PE=1 SV=2
+PQKAGCIRSCCAWLVSFAAFGVRLIWELCDSFGPLHRWPQLAPPHRRELLCGSDCVETDQQRDWPHLPSSWPLQQLRLRDPEEAQGVEEVPAEQQKQTGPWSFETPKATSPELTSQVGPSLGNQWYVGPLRWCPSSLLGLDCSDLSYEGLVPYLPLPWPGRDESSVIPPPQPEPAVEASDWARKVCGLFHQEM
+>sp|Q9Y2T1|AXIN2_HUMAN Axin-2 OS=Homo sapiens OX=9606 GN=AXIN2 PE=1 SV=1
+MSSAMLVTCLPDPSSSFREDAPRPPVPGEEGETPPCQPGVGKGQVTKPMSVSSNTRRNEDGLGEPEGRASPDSPLTRWTKSLHSLLGDQDGAYLFRTFLEREKCVDTLDFWFACNGFRQMNLKDTKTLRVAKAIYKRYIENNSIVSKQLKPATKTYIRDGIKKQQIDSIMFDQAQTEIQSVMEENAYQMFLTSDIYLEYVRSGGENTAYMSNGGLGSLKVVCGYLPTLNEEEEWTCADFKCKLSPTVVGLSSKTLRATASVRSTETVDSGYRSFKRSDPVNPYHIGSGYVFAPATSANDSEISSDALTDDSMSMTDSSVDGIPPYRVGSKKQLQREMHRSVKANGQVSLPHFPRTHRLPKEMTPVEPATFAAELISRLEKLKLELESRHSLEERLQQIREDEEREGSELTLNSREGAPTQHPLSLLPSGSYEEDPQTILDDHLSRVLKTPGCQSPGVGRYSPRSRSPDHHHHHHSQYHSLLPPGGKLPPAAASPGACPLLGGKGFVTKQTTKHVHHHYIHHHAVPKTKEEIEAEATQRVHCFCPGGSEYYCYSKCKSHSKAPETMPSEQFGGSRGSTLPKRNGKGTEPGLALPAREGGAPGGAGALQLPREEGDRSQDVWQWMLESERQSKPKPHSAQSTKKAYPLESARSSPGERASRHHLWGGNSGHPRTTPRAHLFTQDPAMPPLTPPNTLAQLEEACRRLAEVSKPPKQRCCVASQQRDRNHSATVQTGATPFSNPSLAPEDHKEPKKLAGVHALQASELVVTYFFCGEEIPYRRMLKAQSLTLGHFKEQLSKKGNYRYYFKKASDEFACGAVFEEIWEDETVLPMYEGRILGKVERID
+>DECOY_sp|Q9Y2T1|AXIN2_HUMAN Axin-2 OS=Homo sapiens OX=9606 GN=AXIN2 PE=1 SV=1
+DIREVKGLIRGEYMPLVTEDEWIEEFVAGCAFEDSAKKFYYRYNGKKSLQEKFHGLTLSQAKLMRRYPIEEGCFFYTVVLESAQLAHVGALKKPEKHDEPALSPNSFPTAGTQVTASHNRDRQQSAVCCRQKPPKSVEALRRCAEELQALTNPPTLPPMAPDQTFLHARPTTRPHGSNGGWLHHRSAREGPSSRASELPYAKKTSQASHPKPKSQRESELMWQWVDQSRDGEERPLQLAGAGGPAGGERAPLALGPETGKGNRKPLTSGRSGGFQESPMTEPAKSHSKCKSYCYYESGGPCFCHVRQTAEAEIEEKTKPVAHHHIYHHHVHKTTQKTVFGKGGLLPCAGPSAAAPPLKGGPPLLSHYQSHHHHHHDPSRSRPSYRGVGPSQCGPTKLVRSLHDDLITQPDEEYSGSPLLSLPHQTPAGERSNLTLESGEREEDERIQQLREELSHRSELELKLKELRSILEAAFTAPEVPTMEKPLRHTRPFHPLSVQGNAKVSRHMERQLQKKSGVRYPPIGDVSSDTMSMSDDTLADSSIESDNASTAPAFVYGSGIHYPNVPDSRKFSRYGSDVTETSRVSATARLTKSSLGVVTPSLKCKFDACTWEEEENLTPLYGCVVKLSGLGGNSMYATNEGGSRVYELYIDSTLFMQYANEEMVSQIETQAQDFMISDIQQKKIGDRIYTKTAPKLQKSVISNNEIYRKYIAKAVRLTKTDKLNMQRFGNCAFWFDLTDVCKERELFTRFLYAGDQDGLLSHLSKTWRTLPSDPSARGEPEGLGDENRRTNSSVSMPKTVQGKGVGPQCPPTEGEEGPVPPRPADERFSSSPDPLCTVLMASSM
+>sp|Q00973|B4GN1_HUMAN Beta-1,4 N-acetylgalactosaminyltransferase 1 OS=Homo sapiens OX=9606 GN=B4GALNT1 PE=1 SV=2
+MWLGRRALCALVLLLACASLGLLYASTRDAPGLRLPLAPWAPPQSPRRPELPDLAPEPRYAHIPVRIKEQVVGLLAWNNCSCESSGGGLPLPFQKQVRAIDLTKAFDPAELRAASATREQEFQAFLSRSQSPADQLLIAPANSPLQYPLQGVEVQPLRSILVPGLSLQAASGQEVYQVNLTASLGTWDVAGEVTGVTLTGEGQADLTLVSPGLDQLNRQLQLVTYSSRSYQTNTADTVRFSTEGHEAAFTIRIRHPPNPRLYPPGSLPQGAQYNISALVTIATKTFLRYDRLRALITSIRRFYPTVTVVIADDSDKPERVSGPYVEHYLMPFGKGWFAGRNLAVSQVTTKYVLWVDDDFVFTARTRLERLVDVLERTPLDLVGGAVREISGFATTYRQLLSVEPGAPGLGNCLRQRRGFHHELVGFPGCVVTDGVVNFFLARTDKVREVGFDPRLSRVAHLEFFLDGLGSLRVGSCSDVVVDHASKLKLPWTSRDAGAETYARYRYPGSLDESQMAKHRLLFFKHRLQCMTSQ
+>DECOY_sp|Q00973|B4GN1_HUMAN Beta-1,4 N-acetylgalactosaminyltransferase 1 OS=Homo sapiens OX=9606 GN=B4GALNT1 PE=1 SV=2
+QSTMCQLRHKFFLLRHKAMQSEDLSGPYRYRAYTEAGADRSTWPLKLKSAHDVVVDSCSGVRLSGLGDLFFELHAVRSLRPDFGVERVKDTRALFFNVVGDTVVCGPFGVLEHHFGRRQRLCNGLGPAGPEVSLLQRYTTAFGSIERVAGGVLDLPTRELVDVLRELRTRATFVFDDDVWLVYKTTVQSVALNRGAFWGKGFPMLYHEVYPGSVREPKDSDDAIVVTVTPYFRRISTILARLRDYRLFTKTAITVLASINYQAGQPLSGPPYLRPNPPHRIRITFAAEHGETSFRVTDATNTQYSRSSYTVLQLQRNLQDLGPSVLTLDAQGEGTLTVGTVEGAVDWTGLSATLNVQYVEQGSAAQLSLGPVLISRLPQVEVGQLPYQLPSNAPAILLQDAPSQSRSLFAQFEQERTASAARLEAPDFAKTLDIARVQKQFPLPLGGGSSECSCNNWALLGVVQEKIRVPIHAYRPEPALDPLEPRRPSQPPAWPALPLRLGPADRTSAYLLGLSACALLLVLACLARRGLWM
+>sp|Q76KP1|B4GN4_HUMAN N-acetyl-beta-glucosaminyl-glycoprotein 4-beta-N-acetylgalactosaminyltransferase 1 OS=Homo sapiens OX=9606 GN=B4GALNT4 PE=1 SV=1
+MPRLPVKKIRKQMKLLLLLLLLSCAAWLTYVHLGLVRQGRALRQRLGYGRDGEKLTSETDGRGVHAAPSTQRAEDSSESREEEQAPEGRDLDMLFPGGAGRLPLNFTHQTPPWREEYKGQVNLHVFEDWCGGAVGHLRRNLHFPLFPHTRTTVKKLAVSPKWKNYGLRIFGFIHPARDGDVQFSVASDDNSEFWLSLDESPAAAQLVAFVGKTGSEWTAPGEFTKFSSQVSKPRRLMASRRYYFELLHKQDDRGSDHVEVGWRAFLPGLKFEVISSAHISLYTDESALKMDHVAHVPQSPASHVGGRPPQEETSADMLRPDPRDTFFLTPRMESSSLENVLEPCAYAPTYVVKDFPIARYQGLQFVYLSFVYPNDYTRLTHMETDNKCFYRESPLYLERFGFYKYMKMDKEEGDEDEEDEVQRRAFLFLNPDDFLDDEDEGELLDSLEPTEAAPPRSGPQSPAPAAPAQPGATLAPPTPPRPRDGGTPRHSRALSWAARAARPLPLFLGRAPPPRPAVEQPPPKVYVTRVRPGQRASPRAPAPRAPWPPFPGVFLHPRPLPRVQLRAPPRPPRPHGRRTGGPQATQPRPPARAQATQGGREGQARTLGPAAPTVDSNLSSEARPVTSFLSLSQVSGPQLPGEGEEEEEGEDDGAPGDEAASEDSEEAAGPALGRWREDAIDWQRTFSVGAVDFELLRSDWNDLRCNVSGNLQLPEAEAVDVTAQYMERLNARHGGRFALLRIVNVEKRRDSARGSRFLLELELQERGGGRLRLSEYVFLRLPGARVGDADGESPEPAPAASVRPDGRPELCRPLRLAWRQDVMVHFIVPVKNQARWVAQFLADMAALHARTGDSRFSVVLVDFESEDMDVERALRAARLPRYQYLRRTGNFERSAGLQAGVDAVEDASSIVFLCDLHIHFPPNILDGIRKHCVEGRLAFAPVVMRLSCGSSPRDPHGYWEVNGFGLFGIYKSDFDRVGGMNTEEFRDQWGGEDWELLDRVLQAGLEVERLRLRNFYHHYHSKRGMWSVRSRKGSRTGAS
+>DECOY_sp|Q76KP1|B4GN4_HUMAN N-acetyl-beta-glucosaminyl-glycoprotein 4-beta-N-acetylgalactosaminyltransferase 1 OS=Homo sapiens OX=9606 GN=B4GALNT4 PE=1 SV=1
+SAGTRSGKRSRVSWMGRKSHYHHYFNRLRLREVELGAQLVRDLLEWDEGGWQDRFEETNMGGVRDFDSKYIGFLGFGNVEWYGHPDRPSSGCSLRMVVPAFALRGEVCHKRIGDLINPPFHIHLDCLFVISSADEVADVGAQLGASREFNGTRRLYQYRPLRAARLAREVDMDESEFDVLVVSFRSDGTRAHLAAMDALFQAVWRAQNKVPVIFHVMVDQRWALRLPRCLEPRGDPRVSAAPAPEPSEGDADGVRAGPLRLFVYESLRLRGGGREQLELELLFRSGRASDRRKEVNVIRLLAFRGGHRANLREMYQATVDVAEAEPLQLNGSVNCRLDNWDSRLLEFDVAGVSFTRQWDIADERWRGLAPGAAEESDESAAEDGPAGDDEGEEEEEGEGPLQPGSVQSLSLFSTVPRAESSLNSDVTPAAPGLTRAQGERGGQTAQARAPPRPQTAQPGGTRRGHPRPPRPPARLQVRPLPRPHLFVGPFPPWPARPAPARPSARQGPRVRTVYVKPPPQEVAPRPPPARGLFLPLPRAARAAWSLARSHRPTGGDRPRPPTPPALTAGPQAPAAPAPSQPGSRPPAAETPELSDLLEGEDEDDLFDDPNLFLFARRQVEDEEDEDGEEKDMKMYKYFGFRELYLPSERYFCKNDTEMHTLRTYDNPYVFSLYVFQLGQYRAIPFDKVVYTPAYACPELVNELSSSEMRPTLFFTDRPDPRLMDASTEEQPPRGGVHSAPSQPVHAVHDMKLASEDTYLSIHASSIVEFKLGPLFARWGVEVHDSGRDDQKHLLEFYYRRSAMLRRPKSVQSSFKTFEGPATWESGTKGVFAVLQAAAPSEDLSLWFESNDDSAVSFQVDGDRAPHIFGFIRLGYNKWKPSVALKKVTTRTHPFLPFHLNRRLHGVAGGCWDEFVHLNVQGKYEERWPPTQHTFNLPLRGAGGPFLMDLDRGEPAQEEERSESSDEARQTSPAAHVGRGDTESTLKEGDRGYGLRQRLARGQRVLGLHVYTLWAACSLLLLLLLLKMQKRIKKVPLRPM
+>sp|P15291|B4GT1_HUMAN Beta-1,4-galactosyltransferase 1 OS=Homo sapiens OX=9606 GN=B4GALT1 PE=1 SV=5
+MRLREPLLSGSAAMPGASLQRACRLLVAVCALHLGVTLVYYLAGRDLSRLPQLVGVSTPLQGGSNSAAAIGQSSGELRTGGARPPPPLGASSQPRPGGDSSPVVDSGPGPASNLTSVPVPHTTALSLPACPEESPLLVGPMLIEFNMPVDLELVAKQNPNVKMGGRYAPRDCVSPHKVAIIIPFRNRQEHLKYWLYYLHPVLQRQQLDYGIYVINQAGDTIFNRAKLLNVGFQEALKDYDYTCFVFSDVDLIPMNDHNAYRCFSQPRHISVAMDKFGFSLPYVQYFGGVSALSKQQFLTINGFPNNYWGWGGEDDDIFNRLVFRGMSISRPNAVVGRCRMIRHSRDKKNEPNPQRFDRIAHTKETMLSDGLNSLTYQVLDVQRYPLYTQITVDIGTPS
+>DECOY_sp|P15291|B4GT1_HUMAN Beta-1,4-galactosyltransferase 1 OS=Homo sapiens OX=9606 GN=B4GALT1 PE=1 SV=5
+SPTGIDVTIQTYLPYRQVDLVQYTLSNLGDSLMTEKTHAIRDFRQPNPENKKDRSHRIMRCRGVVANPRSISMGRFVLRNFIDDDEGGWGWYNNPFGNITLFQQKSLASVGGFYQVYPLSFGFKDMAVSIHRPQSFCRYANHDNMPILDVDSFVFCTYDYDKLAEQFGVNLLKARNFITDGAQNIVYIGYDLQQRQLVPHLYYLWYKLHEQRNRFPIIIAVKHPSVCDRPAYRGGMKVNPNQKAVLELDVPMNFEILMPGVLLPSEEPCAPLSLATTHPVPVSTLNSAPGPGSDVVPSSDGGPRPQSSAGLPPPPRAGGTRLEGSSQGIAAASNSGGQLPTSVGVLQPLRSLDRGALYYVLTVGLHLACVAVLLRCARQLSAGPMAASGSLLPERLRM
+>sp|O60512|B4GT3_HUMAN Beta-1,4-galactosyltransferase 3 OS=Homo sapiens OX=9606 GN=B4GALT3 PE=1 SV=2
+MLRRLLERPCTLALLVGSQLAVMMYLSLGGFRSLSALFGRDQGPTFDYSHPRDVYSNLSHLPGAPGGPPAPQGLPYCPERSPLLVGPVSVSFSPVPSLAEIVERNPRVEPGGRYRPAGCEPRSRTAIIVPHRAREHHLRLLLYHLHPFLQRQQLAYGIYVIHQAGNGTFNRAKLLNVGVREALRDEEWDCLFLHDVDLLPENDHNLYVCDPRGPRHVAVAMNKFGYSLPYPQYFGGVSALTPDQYLKMNGFPNEYWGWGGEDDDIATRVRLAGMKISRPPTSVGHYKMVKHRGDKGNEENPHRFDLLVRTQNSWTQDGMNSLTYQLLARELGPLYTNITADIGTDPRGPRAPSGPRYPPGSSQAFRQEMLQRRPPARPGPLSTANHTALRGSH
+>DECOY_sp|O60512|B4GT3_HUMAN Beta-1,4-galactosyltransferase 3 OS=Homo sapiens OX=9606 GN=B4GALT3 PE=1 SV=2
+HSGRLATHNATSLPGPRAPPRRQLMEQRFAQSSGPPYRPGSPARPGRPDTGIDATINTYLPGLERALLQYTLSNMGDQTWSNQTRVLLDFRHPNEENGKDGRHKVMKYHGVSTPPRSIKMGALRVRTAIDDDEGGWGWYENPFGNMKLYQDPTLASVGGFYQPYPLSYGFKNMAVAVHRPGRPDCVYLNHDNEPLLDVDHLFLCDWEEDRLAERVGVNLLKARNFTGNGAQHIVYIGYALQQRQLFPHLHYLLLRLHHERARHPVIIATRSRPECGAPRYRGGPEVRPNREVIEALSPVPSFSVSVPGVLLPSREPCYPLGQPAPPGGPAGPLHSLNSYVDRPHSYDFTPGQDRGFLASLSRFGGLSLYMMVALQSGVLLALTCPRELLRRLM
+>sp|Q99933|BAG1_HUMAN BAG family molecular chaperone regulator 1 OS=Homo sapiens OX=9606 GN=BAG1 PE=1 SV=4
+MAQRGGARRPRGDRERLGSRLRALRPGREPRQSEPPAQRGPPPSGRPPARSTASGHDRPTRGAAAGARRPRMKKKTRRRSTRSEELTRSEELTLSEEATWSEEATQSEEATQGEEMNRSQEVTRDEESTRSEEVTREEMAAAGLTVTVTHSNEKHDLHVTSQQGSSEPVVQDLAQVVEEVIGVPQSFQKLIFKGKSLKEMETPLSALGIQDGCRVMLIGKKNSPQEEVELKKLKHLEKSVEKIADQLEELNKELTGIQQGFLPKDLQAEALCKLDRRVKATIEQFMKILEEIDTLILPENFKDSRLKRKGLVKKVQAFLAECDTVEQNICQETERLQSTNFALAE
+>DECOY_sp|Q99933|BAG1_HUMAN BAG family molecular chaperone regulator 1 OS=Homo sapiens OX=9606 GN=BAG1 PE=1 SV=4
+EALAFNTSQLRETEQCINQEVTDCEALFAQVKKVLGKRKLRSDKFNEPLILTDIEELIKMFQEITAKVRRDLKCLAEAQLDKPLFGQQIGTLEKNLEELQDAIKEVSKELHKLKKLEVEEQPSNKKGILMVRCGDQIGLASLPTEMEKLSKGKFILKQFSQPVGIVEEVVQALDQVVPESSGQQSTVHLDHKENSHTVTVTLGAAAMEERTVEESRTSEEDRTVEQSRNMEEGQTAEESQTAEESWTAEESLTLEESRTLEESRTSRRRTKKKMRPRRAGAAAGRTPRDHGSATSRAPPRGSPPPGRQAPPESQRPERGPRLARLRSGLRERDGRPRRAGGRQAM
+>sp|O95429|BAG4_HUMAN BAG family molecular chaperone regulator 4 OS=Homo sapiens OX=9606 GN=BAG4 PE=1 SV=1
+MSALRRSGYGPSDGPSYGRYYGPGGGDVPVHPPPPLYPLRPEPPQPPISWRVRGGGPAETTWLGEGGGGDGYYPSGGAWPEPGRAGGSHQEQPPYPSYNSNYWNSTARSRAPYPSTYPVRPELQGQSLNSYTNGAYGPTYPPGPGANTASYSGAYYAPGYTQTSYSTEVPSTYRSSGNSPTPVSRWIYPQQDCQTEAPPLRGQVPGYPPSQNPGMTLPHYPYGDGNRSVPQSGPTVRPQEDAWASPGAYGMGGRYPWPSSAPSAPPGNLYMTESTSPWPSSGSPQSPPSPPVQQPKDSSYPYSQSDQSMNRHNFPCSVHQYESSGTVNNDDSDLLDSQVQYSAEPQLYGNATSDHPNNQDQSSSLPEECVPSDESTPPSIKKIIHVLEKVQYLEQEVEEFVGKKTDKAYWLLEEMLTKELLELDSVETGGQDSVRQARKEAVCKIQAILEKLEKKGL
+>DECOY_sp|O95429|BAG4_HUMAN BAG family molecular chaperone regulator 4 OS=Homo sapiens OX=9606 GN=BAG4 PE=1 SV=1
+LGKKELKELIAQIKCVAEKRAQRVSDQGGTEVSDLELLEKTLMEELLWYAKDTKKGVFEEVEQELYQVKELVHIIKKISPPTSEDSPVCEEPLSSSQDQNNPHDSTANGYLQPEASYQVQSDLLDSDDNNVTGSSEYQHVSCPFNHRNMSQDSQSYPYSSDKPQQVPPSPPSQPSGSSPWPSTSETMYLNGPPASPASSPWPYRGGMGYAGPSAWADEQPRVTPGSQPVSRNGDGYPYHPLTMGPNQSPPYGPVQGRLPPAETQCDQQPYIWRSVPTPSNGSSRYTSPVETSYSTQTYGPAYYAGSYSATNAGPGPPYTPGYAGNTYSNLSQGQLEPRVPYTSPYPARSRATSNWYNSNYSPYPPQEQHSGGARGPEPWAGGSPYYGDGGGGEGLWTTEAPGGGRVRWSIPPQPPEPRLPYLPPPPHVPVDGGGPGYYRGYSPGDSPGYGSRRLASM
+>sp|Q9UL15|BAG5_HUMAN BAG family molecular chaperone regulator 5 OS=Homo sapiens OX=9606 GN=BAG5 PE=1 SV=1
+MDMGNQHPSISRLQEIQKEVKSVEQQVIGFSGLSDDKNYKKLERILTKQLFEIDSVDTEGKGDIQQARKRAAQETERLLKELEQNANHPHRIEIQNIFEEAQSLVREKIVPFYNGGNCVTDEFEEGIQDIILRLTHVKTGGKISLRKARYHTLTKICAVQEIIEDCMKKQPSLPLSEDAHPSVAKINFVMCEVNKARGVLIALLMGVNNNETCRHLSCVLSGLIADLDALDVCGRTEIRNYRREVVEDINKLLKYLDLEEEADTTKAFDLRQNHSILKIEKVLKRMREIKNELLQAQNPSELYLSSKTELQGLIGQLDEVSLEKNPCIREARRRAVIEVQTLITYIDLKEALEKRKLFACEEHPSHKAVWNVLGNLSEIQGEVLSFDGNRTDKNYIRLEELLTKQLLALDAVDPQGEEKCKAARKQAVRLAQNILSYLDLKSDEWEY
+>DECOY_sp|Q9UL15|BAG5_HUMAN BAG family molecular chaperone regulator 5 OS=Homo sapiens OX=9606 GN=BAG5 PE=1 SV=1
+YEWEDSKLDLYSLINQALRVAQKRAAKCKEEGQPDVADLALLQKTLLEELRIYNKDTRNGDFSLVEGQIESLNGLVNWVAKHSPHEECAFLKRKELAEKLDIYTILTQVEIVARRRAERICPNKELSVEDLQGILGQLETKSSLYLESPNQAQLLENKIERMRKLVKEIKLISHNQRLDFAKTTDAEEELDLYKLLKNIDEVVERRYNRIETRGCVDLADLDAILGSLVCSLHRCTENNNVGMLLAILVGRAKNVECMVFNIKAVSPHADESLPLSPQKKMCDEIIEQVACIKTLTHYRAKRLSIKGGTKVHTLRLIIDQIGEEFEDTVCNGGNYFPVIKERVLSQAEEFINQIEIRHPHNANQELEKLLRETEQAARKRAQQIDGKGETDVSDIEFLQKTLIRELKKYNKDDSLGSFGIVQQEVSKVEKQIEQLRSISPHQNGMDM
+>sp|Q86Y28|BAGE4_HUMAN B melanoma antigen 4 OS=Homo sapiens OX=9606 GN=BAGE4 PE=2 SV=1
+MAAGAVFLALSAQLLQARLMKEESPVVSWWLEPEDGTAL
+>DECOY_sp|Q86Y28|BAGE4_HUMAN B melanoma antigen 4 OS=Homo sapiens OX=9606 GN=BAGE4 PE=2 SV=1
+LATGDEPELWWSVVPSEEKMLRAQLLQASLALFVAGAAM
+>sp|Q8IXM2|BAP18_HUMAN Chromatin complexes subunit BAP18 OS=Homo sapiens OX=9606 GN=BAP18 PE=1 SV=1
+MTSASTKVGEIFSAAGAAFTKLGELTMQLHPVADSSPAGAKWTETEIEMLRAAVKRFGDDLNHISCVIKERTVAQIKATVKRKVYEDSGIPLPAESPKKGPKKVASGVLSPPPAAPPPSSSSVPEAGGPPIKKQKADVTLSALNDSDANSDVVDIEGLGETPPAKKLNFDQA
+>DECOY_sp|Q8IXM2|BAP18_HUMAN Chromatin complexes subunit BAP18 OS=Homo sapiens OX=9606 GN=BAP18 PE=1 SV=1
+AQDFNLKKAPPTEGLGEIDVVDSNADSDNLASLTVDAKQKKIPPGGAEPVSSSSPPPAAPPPSLVGSAVKKPGKKPSEAPLPIGSDEYVKRKVTAKIQAVTREKIVCSIHNLDDGFRKVAARLMEIETETWKAGAPSSDAVPHLQMTLEGLKTFAAGAASFIEGVKTSASTM
+>sp|Q9BZE3|BARH1_HUMAN BarH-like 1 homeobox protein OS=Homo sapiens OX=9606 GN=BARHL1 PE=2 SV=1
+MEGSNGFGIDSILSHRAGSPALPKGDPLLGDCRSPLELSPRSESSSDCSSPASPGRDCLETGTPRPGGASGPGLDSHLQPGQLSAPAQSRTVTSSFLIRDILADCKPLAACAPYSSSGQPAAPEPGGRLAAKAAEDFRDKLDKSGSNASSDSEYKVKEEGDREISSSRDSPPVRLKKPRKARTAFTDHQLAQLERSFERQKYLSVQDRMELAASLNLTDTQVKTWYQNRRTKWKRQTAVGLELLAEAGNYSALQRMFPSPYFYPQSLVSNLDPGAALYLYRGPSAPPPALQRPLVPRILIHGLQGASEPPPPLPPLAGVLPRAAQPR
+>DECOY_sp|Q9BZE3|BARH1_HUMAN BarH-like 1 homeobox protein OS=Homo sapiens OX=9606 GN=BARHL1 PE=2 SV=1
+RPQAARPLVGALPPLPPPPESAGQLGHILIRPVLPRQLAPPPASPGRYLYLAAGPDLNSVLSQPYFYPSPFMRQLASYNGAEALLELGVATQRKWKTRRNQYWTKVQTDTLNLSAALEMRDQVSLYKQREFSRELQALQHDTFATRAKRPKKLRVPPSDRSSSIERDGEEKVKYESDSSANSGSKDLKDRFDEAAKAALRGGPEPAAPQGSSSYPACAALPKCDALIDRILFSSTVTRSQAPASLQGPQLHSDLGPGSAGGPRPTGTELCDRGPSAPSSCDSSSESRPSLELPSRCDGLLPDGKPLAPSGARHSLISDIGFGNSGEM
+>sp|Q9UMQ3|BARX2_HUMAN Homeobox protein BarH-like 2 OS=Homo sapiens OX=9606 GN=BARX2 PE=1 SV=3
+MHCHAELRLSSPGQLKAARRRYKTFMIDEILSKETCDYFEKLSLYSVCPSLVVRPKPLHSCTGSPSLRAYPLLSVITRQPTVISHLVPATPGIAQALSCHQVTEAVSAEAPGGEALASSESETEQPTPRQKKPRRSRTIFTELQLMGLEKKFQKQKYLSTPDRLDLAQSLGLTQLQVKTWYQNRRMKWKKMVLKGGQEAPTKPKGRPKKNSIPTSEEIEAEEKMNSQAQGQEQLEPSQGQEELCEAQEPKARDVPLEMAEPPDPPQELPIPSSEPPPLS
+>DECOY_sp|Q9UMQ3|BARX2_HUMAN Homeobox protein BarH-like 2 OS=Homo sapiens OX=9606 GN=BARX2 PE=1 SV=3
+SLPPPESSPIPLEQPPDPPEAMELPVDRAKPEQAECLEEQGQSPELQEQGQAQSNMKEEAEIEESTPISNKKPRGKPKTPAEQGGKLVMKKWKMRRNQYWTKVQLQTLGLSQALDLRDPTSLYKQKQFKKELGMLQLETFITRSRRPKKQRPTPQETESESSALAEGGPAEASVAETVQHCSLAQAIGPTAPVLHSIVTPQRTIVSLLPYARLSPSGTCSHLPKPRVVLSPCVSYLSLKEFYDCTEKSLIEDIMFTKYRRRAAKLQGPSSLRLEAHCHM
+>sp|P82251|BAT1_HUMAN b(0,+)-type amino acid transporter 1 OS=Homo sapiens OX=9606 GN=SLC7A9 PE=1 SV=1
+MGDTGLRKRREDEKSIQSQEPKTTSLQKELGLISGISIIVGTIIGSGIFVSPKSVLSNTEAVGPCLIIWAACGVLATLGALCFAELGTMITKSGGEYPYLMEAYGPIPAYLFSWASLIVIKPTSFAIICLSFSEYVCAPFYVGCKPPQIVVKCLAAAAILFISTVNSLSVRLGSYVQNIFTAAKLVIVAIIIISGLVLLAQGNTKNFDNSFEGAQLSVGAISLAFYNGLWAYDGWNQLNYITEELRNPYRNLPLAIIIGIPLVTACYILMNVSYFTVMTATELLQSQAVAVTFGDRVLYPASWIVPLFVAFSTIGAANGTCFTAGRLIYVAGREGHMLKVLSYISVRRLTPAPAIIFYGIIATIYIIPGDINSLVNYFSFAAWLFYGLTILGLIVMRFTRKELERPIKVPVVIPVLMTLISVFLVLAPIISKPTWEYLYCVLFILSGLLFYFLFVHYKFGWAQKISKPITMHLQMLMEVVPPEEDPE
+>DECOY_sp|P82251|BAT1_HUMAN b(0,+)-type amino acid transporter 1 OS=Homo sapiens OX=9606 GN=SLC7A9 PE=1 SV=1
+EPDEEPPVVEMLMQLHMTIPKSIKQAWGFKYHVFLFYFLLGSLIFLVCYLYEWTPKSIIPALVLFVSILTMLVPIVVPVKIPRELEKRTFRMVILGLITLGYFLWAAFSFYNVLSNIDGPIIYITAIIGYFIIAPAPTLRRVSIYSLVKLMHGERGAVYILRGATFCTGNAAGITSFAVFLPVIWSAPYLVRDGFTVAVAQSQLLETATMVTFYSVNMLIYCATVLPIGIIIALPLNRYPNRLEETIYNLQNWGDYAWLGNYFALSIAGVSLQAGEFSNDFNKTNGQALLVLGSIIIIAVIVLKAATFINQVYSGLRVSLSNVTSIFLIAAAALCKVVIQPPKCGVYFPACVYESFSLCIIAFSTPKIVILSAWSFLYAPIPGYAEMLYPYEGGSKTIMTGLEAFCLAGLTALVGCAAWIILCPGVAETNSLVSKPSVFIGSGIITGVIISIGSILGLEKQLSTTKPEQSQISKEDERRKRLGTDGM
+>sp|Q9UIG0|BAZ1B_HUMAN Tyrosine-protein kinase BAZ1B OS=Homo sapiens OX=9606 GN=BAZ1B PE=1 SV=2
+MAPLLGRKPFPLVKPLPGEEPLFTIPHTQEAFRTREEYEARLERYSERIWTCKSTGSSQLTHKEAWEEEQEVAELLKEEFPAWYEKLVLEMVHHNTASLEKLVDTAWLEIMTKYAVGEECDFEVGKEKMLKVKIVKIHPLEKVDEEATEKKSDGACDSPSSDKENSSQIAQDHQKKETVVKEDEGRRESINDRARRSPRKLPTSLKKGERKWAPPKFLPHKYDVKLQNEDKIISNVPADSLIRTERPPNKEIVRYFIRHNALRAGTGENAPWVVEDELVKKYSLPSKFSDFLLDPYKYMTLNPSTKRKNTGSPDRKPSKKSKTDNSSLSSPLNPKLWCHVHLKKSLSGSPLKVKNSKNSKSPEEHLEEMMKMMSPNKLHTNFHIPKKGPPAKKPGKHSDKPLKAKGRSKGILNGQKSTGNSKSPKKGLKTPKTKMKQMTLLDMAKGTQKMTRAPRNSGGTPRTSSKPHKHLPPAALHLIAYYKENKDREDKRSALSCVISKTARLLSSEDRARLPEELRSLVQKRYELLEHKKRWASMSEEQRKEYLKKKREELKKKLKEKAKERREKEMLERLEKQKRYEDQELTGKNLPAFRLVDTPEGLPNTLFGDVAMVVEFLSCYSGLLLPDAQYPITAVSLMEALSADKGGFLYLNRVLVILLQTLLQDEIAEDYGELGMKLSEIPLTLHSVSELVRLCLRRSDVQEESEGSDTDDNKDSAAFEDNEVQDEFLEKLETSEFFELTSEEKLQILTALCHRILMTYSVQDHMETRQQMSAELWKERLAVLKEENDKKRAEKQKRKEMEAKNKENGKVENGLGKTDRKKEIVKFEPQVDTEAEDMISAVKSRRLLAIQAKKEREIQEREMKVKLERQAEEERIRKHKAAAEKAFQEGIAKAKLVMRRTPIGTDRNHNRYWLFSDEVPGLFIEKGWVHDSIDYRFNHHCKDHTVSGDEDYCPRSKKANLGKNASMNTQHGTATEVAVETTTPKQGQNLWFLCDSQKELDELLNCLHPQGIRESQLKERLEKRYQDIIHSIHLARKPNLGLKSCDGNQELLNFLRSDLIEVATRLQKGGLGYVEETSEFEARVISLEKLKDFGECVIALQASVIKKFLQGFMAPKQKRRKLQSEDSAKTEEVDEEKKMVEEAKVASALEKWKTAIREAQTFSRMHVLLGMLDACIKWDMSAENARCKVCRKKGEDDKLILCDECNKAFHLFCLRPALYEVPDGEWQCPACQPATARRNSRGRNYTEESASEDSEDDESDEEEEEEEEEEEEEDYEVAGLRLRPRKTIRGKHSVIPPAARSGRRPGKKPHSTRRSQPKAPPVDDAEVDELVLQTKRSSRRQSLELQKCEEILHKIVKYRFSWPFREPVTRDEAEDYYDVITHPMDFQTVQNKCSCGSYRSVQEFLTDMKQVFTNAEVYNCRGSHVLSCMVKTEQCLVALLHKHLPGHPYVRRKRKKFPDRLAEDEGDSEPEAVGQSRGRRQKK
+>DECOY_sp|Q9UIG0|BAZ1B_HUMAN Tyrosine-protein kinase BAZ1B OS=Homo sapiens OX=9606 GN=BAZ1B PE=1 SV=2
+KKQRRGRSQGVAEPESDGEDEALRDPFKKRKRRVYPHGPLHKHLLAVLCQETKVMCSLVHSGRCNYVEANTFVQKMDTLFEQVSRYSGCSCKNQVTQFDMPHTIVDYYDEAEDRTVPERFPWSFRYKVIKHLIEECKQLELSQRRSSRKTQLVLEDVEADDVPPAKPQSRRTSHPKKGPRRGSRAAPPIVSHKGRITKRPRLRLGAVEYDEEEEEEEEEEEEEDSEDDESDESASEETYNRGRSNRRATAPQCAPCQWEGDPVEYLAPRLCFLHFAKNCEDCLILKDDEGKKRCVKCRANEASMDWKICADLMGLLVHMRSFTQAERIATKWKELASAVKAEEVMKKEEDVEETKASDESQLKRRKQKPAMFGQLFKKIVSAQLAIVCEGFDKLKELSIVRAEFESTEEVYGLGGKQLRTAVEILDSRLFNLLEQNGDCSKLGLNPKRALHISHIIDQYRKELREKLQSERIGQPHLCNLLEDLEKQSDCLFWLNQGQKPTTTEVAVETATGHQTNMSANKGLNAKKSRPCYDEDGSVTHDKCHHNFRYDISDHVWGKEIFLGPVEDSFLWYRNHNRDTGIPTRRMVLKAKAIGEQFAKEAAAKHKRIREEEAQRELKVKMEREQIEREKKAQIALLRRSKVASIMDEAETDVQPEFKVIEKKRDTKGLGNEVKGNEKNKAEMEKRKQKEARKKDNEEKLVALREKWLEASMQQRTEMHDQVSYTMLIRHCLATLIQLKEESTLEFFESTELKELFEDQVENDEFAASDKNDDTDSGESEEQVDSRRLCLRVLESVSHLTLPIESLKMGLEGYDEAIEDQLLTQLLIVLVRNLYLFGGKDASLAEMLSVATIPYQADPLLLGSYCSLFEVVMAVDGFLTNPLGEPTDVLRFAPLNKGTLEQDEYRKQKELRELMEKERREKAKEKLKKKLEERKKKLYEKRQEESMSAWRKKHELLEYRKQVLSRLEEPLRARDESSLLRATKSIVCSLASRKDERDKNEKYYAILHLAAPPLHKHPKSSTRPTGGSNRPARTMKQTGKAMDLLTMQKMKTKPTKLGKKPSKSNGTSKQGNLIGKSRGKAKLPKDSHKGPKKAPPGKKPIHFNTHLKNPSMMKMMEELHEEPSKSNKSNKVKLPSGSLSKKLHVHCWLKPNLPSSLSSNDTKSKKSPKRDPSGTNKRKTSPNLTMYKYPDLLFDSFKSPLSYKKVLEDEVVWPANEGTGARLANHRIFYRVIEKNPPRETRILSDAPVNSIIKDENQLKVDYKHPLFKPPAWKREGKKLSTPLKRPSRRARDNISERRGEDEKVVTEKKQHDQAIQSSNEKDSSPSDCAGDSKKETAEEDVKELPHIKVIKVKLMKEKGVEFDCEEGVAYKTMIELWATDVLKELSATNHHVMELVLKEYWAPFEEKLLEAVEQEEEWAEKHTLQSSGTSKCTWIRESYRELRAEYEERTRFAEQTHPITFLPEEGPLPKVLPFPKRGLLPAM
+>sp|Q96PG8|BBC3B_HUMAN Bcl-2-binding component 3 OS=Homo sapiens OX=9606 GN=BBC3 PE=2 SV=2
+MKFGMGSAQACPCQVPRAASTTWVPCQICGPRERHGPRTPGGQLPGARRGPGPRRPAPLPARPPGALGSVLRPLRARPGCRPRRPHPAARCLPLRPHRPTRRHRRPGGFPLAWGSPQPAPRPAPGRSSALALAGGAAPGVARAQRPGGSGGRSHPGGPGSPRGGGTVGPGDRGPAAADGGRPQRTVRAAETRGAAAAPPLTLEGPVQSHHGTPALTQGPQSPRDGAQLGACTRPVDVRDSGGRPLPPPDTLASAGDFLCTM
+>DECOY_sp|Q96PG8|BBC3B_HUMAN Bcl-2-binding component 3 OS=Homo sapiens OX=9606 GN=BBC3 PE=2 SV=2
+MTCLFDGASALTDPPPLPRGGSDRVDVPRTCAGLQAGDRPSQPGQTLAPTGHHSQVPGELTLPPAAAAGRTEAARVTRQPRGGDAAAPGRDGPGVTGGGRPSGPGGPHSRGGSGGPRQARAVGPAAGGALALASSRGPAPRPAPQPSGWALPFGGPRRHRRTPRHPRLPLCRAAPHPRRPRCGPRARLPRLVSGLAGPPRAPLPAPRRPGPGRRAGPLQGGPTRPGHRERPGCIQCPVWTTSAARPVQCPCAQASGMGFKM
+>sp|P20749|BCL3_HUMAN B-cell lymphoma 3 protein OS=Homo sapiens OX=9606 GN=BCL3 PE=1 SV=2
+MPRCPAGAMDEGPVDLRTRPKAAGLPGAALPLRKRPLRAPSPEPAAPRGAAGLVVPLDPLRGGCDLPAVPGPPHGLARPEALYYPGALLPLYPTRAMGSPFPLVNLPTPLYPMMCPMEHPLSADIAMATRADEDGDTPLHIAVVQGNLPAVHRLVNLFQQGGRELDIYNNLRQTPLHLAVITTLPSVVRLLVTAGASPMALDRHGQTAAHLACEHRSPTCLRALLDSAAPGTLDLEARNYDGLTALHVAVNTECQETVQLLLERGADIDAVDIKSGRSPLIHAVENNSLSMVQLLLQHGANVNAQMYSGSSALHSASGRGLLPLVRTLVRSGADSSLKNCHNDTPLMVARSRRVIDILRGKATRPASTSQPDPSPDRSANTSPESSSRLSSNGLLSASPSSSPSQSPPRDPPGFPMAPPNFFLPSPSPPAFLPFAGVLRGPGRPVPPSPAPGGS
+>DECOY_sp|P20749|BCL3_HUMAN B-cell lymphoma 3 protein OS=Homo sapiens OX=9606 GN=BCL3 PE=1 SV=2
+SGGPAPSPPVPRGPGRLVGAFPLFAPPSPSPLFFNPPAMPFGPPDRPPSQSPSSSPSASLLGNSSLRSSSEPSTNASRDPSPDPQSTSAPRTAKGRLIDIVRRSRAVMLPTDNHCNKLSSDAGSRVLTRVLPLLGRGSASHLASSGSYMQANVNAGHQLLLQVMSLSNNEVAHILPSRGSKIDVADIDAGRELLLQVTEQCETNVAVHLATLGDYNRAELDLTGPAASDLLARLCTPSRHECALHAATQGHRDLAMPSAGATVLLRVVSPLTTIVALHLPTQRLNNYIDLERGGQQFLNVLRHVAPLNGQVVAIHLPTDGDEDARTAMAIDASLPHEMPCMMPYLPTPLNVLPFPSGMARTPYLPLLAGPYYLAEPRALGHPPGPVAPLDCGGRLPDLPVVLGAAGRPAAPEPSPARLPRKRLPLAAGPLGAAKPRTRLDVPGEDMAGAPCRPM
+>sp|Q8N5I2|ARRD1_HUMAN Arrestin domain-containing protein 1 OS=Homo sapiens OX=9606 GN=ARRDC1 PE=1 SV=1
+MGRVQLFEISLSHGRVVYSPGEPLAGTVRVRLGAPLPFRAIRVTCIGSCGVSNKANDTAWVVEEGYFNSSLSLADKGSLPAGEHSFPFQFLLPATAPTSFEGPFGKIVHQVRAAIHTPRFSKDHKCSLVFYILSPLNLNSIPDIEQPNVASATKKFSYKLVKTGSVVLTASTDLRGYVVGQALQLHADVENQSGKDTSPVVASLLQKVSYKAKRWIHDVRTIAEVEGAGVKAWRRAQWHEQILVPALPQSALPGCSLIHIDYYLQVSLKAPEATVTLPVFIGNIAVNHAPVSPRPGLGLPPGAPPLVVPSAPPQEEAEAEAAAGGPHFLDPVFLSTKSHSQRQPLLATLSSVPGAPEPCPQDGSPASHPLHPPLCISTGATVPYFAEGSGGPVPTTSTLILPPEYSSWGYPYEAPPSYEQSCGGVEPSLTPES
+>DECOY_sp|Q8N5I2|ARRD1_HUMAN Arrestin domain-containing protein 1 OS=Homo sapiens OX=9606 GN=ARRDC1 PE=1 SV=1
+SEPTLSPEVGGCSQEYSPPAEYPYGWSSYEPPLILTSTTPVPGGSGEAFYPVTAGTSICLPPHLPHSAPSGDQPCPEPAGPVSSLTALLPQRQSHSKTSLFVPDLFHPGGAAAEAEAEEQPPASPVVLPPAGPPLGLGPRPSVPAHNVAINGIFVPLTVTAEPAKLSVQLYYDIHILSCGPLASQPLAPVLIQEHWQARRWAKVGAGEVEAITRVDHIWRKAKYSVKQLLSAVVPSTDKGSQNEVDAHLQLAQGVVYGRLDTSATLVVSGTKVLKYSFKKTASAVNPQEIDPISNLNLPSLIYFVLSCKHDKSFRPTHIAARVQHVIKGFPGEFSTPATAPLLFQFPFSHEGAPLSGKDALSLSSNFYGEEVVWATDNAKNSVGCSGICTVRIARFPLPAGLRVRVTGALPEGPSYVVRGHSLSIEFLQVRGM
+>sp|Q8NCT1|ARRD4_HUMAN Arrestin domain-containing protein 4 OS=Homo sapiens OX=9606 GN=ARRDC4 PE=1 SV=3
+MGGEAGCAAAVGAEGRVKSLGLVFEDERKGCYSSGETVAGHVLLEASEPVALRALRLEAQGRATAAWGPSTCPRASASTAALAVFSEVEYLNVRLSLREPPAGEGIILLQPGKHEFPFRFQLPSEPLVTSFTGKYGSIQYCVRAVLERPKVPDQSVKRELQVVSHVDVNTPALLTPVLKTQEKMVGCWFFTSGPVSLSAKIERKGYCNGEAIPIYAEIENCSSRLIVPKAAIFQTQTYLASGKTKTIRHMVANVRGNHIASGSTDTWNGKTLKIPPVTPSILDCCIIRVDYSLAVYIHIPGAKKLMLELPLVIGTIPYNGFGSRNSSIASQFSMDMSWLTLTLPEQPEAPPNYADVVSEEEFSRHIPPYPQPPNCEGEVCCPVFACIQEFRFQPPPLYSEVDPHPSDVEESQPVSFIL
+>DECOY_sp|Q8NCT1|ARRD4_HUMAN Arrestin domain-containing protein 4 OS=Homo sapiens OX=9606 GN=ARRDC4 PE=1 SV=3
+LIFSVPQSEEVDSPHPDVESYLPPPQFRFEQICAFVPCCVEGECNPPQPYPPIHRSFEEESVVDAYNPPAEPQEPLTLTLWSMDMSFQSAISSNRSGFGNYPITGIVLPLELMLKKAGPIHIYVALSYDVRIICCDLISPTVPPIKLTKGNWTDTSGSAIHNGRVNAVMHRITKTKGSALYTQTQFIAAKPVILRSSCNEIEAYIPIAEGNCYGKREIKASLSVPGSTFFWCGVMKEQTKLVPTLLAPTNVDVHSVVQLERKVSQDPVKPRELVARVCYQISGYKGTFSTVLPESPLQFRFPFEHKGPQLLIIGEGAPPERLSLRVNLYEVESFVALAATSASARPCTSPGWAATARGQAELRLARLAVPESAELLVHGAVTEGSSYCGKREDEFVLGLSKVRGEAGVAAACGAEGGM
+>sp|P10523|ARRS_HUMAN S-arrestin OS=Homo sapiens OX=9606 GN=SAG PE=1 SV=3
+MAASGKTSKSEPNHVIFKKISRDKSVTIYLGNRDYIDHVSQVQPVDGVVLVDPDLVKGKKVYVTLTCAFRYGQEDIDVIGLTFRRDLYFSRVQVYPPVGAASTPTKLQESLLKKLGSNTYPFLLTFPDYLPCSVMLQPAPQDSGKSCGVDFEVKAFATDSTDAEEDKIPKKSSVRLLIRKVQHAPLEMGPQPRAEAAWQFFMSDKPLHLAVSLNKEIYFHGEPIPVTVTVTNNTEKTVKKIKAFVEQVANVVLYSSDYYVKPVAMEEAQEKVPPNSTLTKTLTLLPLLANNRERRGIALDGKIKHEDTNLASSTIIKEGIDRTVLGILVSYQIKVKLTVSGFLGELTSSEVATEVPFRLMHPQPEDPAKESYQDANLVFEEFARHNLKDAGEAEEGKRDKNDVDE
+>DECOY_sp|P10523|ARRS_HUMAN S-arrestin OS=Homo sapiens OX=9606 GN=SAG PE=1 SV=3
+EDVDNKDRKGEEAEGADKLNHRAFEEFVLNADQYSEKAPDEPQPHMLRFPVETAVESSTLEGLFGSVTLKVKIQYSVLIGLVTRDIGEKIITSSALNTDEHKIKGDLAIGRRERNNALLPLLTLTKTLTSNPPVKEQAEEMAVPKVYYDSSYLVVNAVQEVFAKIKKVTKETNNTVTVTVPIPEGHFYIEKNLSVALHLPKDSMFFQWAAEARPQPGMELPAHQVKRILLRVSSKKPIKDEEADTSDTAFAKVEFDVGCSKGSDQPAPQLMVSCPLYDPFTLLFPYTNSGLKKLLSEQLKTPTSAAGVPPYVQVRSFYLDRRFTLGIVDIDEQGYRFACTLTVYVKKGKVLDPDVLVVGDVPQVQSVHDIYDRNGLYITVSKDRSIKKFIVHNPESKSTKGSAAM
+>sp|Q8TDY4|ASAP3_HUMAN Arf-GAP with SH3 domain, ANK repeat and PH domain-containing protein 3 OS=Homo sapiens OX=9606 GN=ASAP3 PE=1 SV=1
+MPEQFSVAEFLAVTAEDLSSPAGAAAFAAKMPRYRGAALAREEILEGDQAILQRIKKAVRAIHSSGLGHVENEEQYREAVESLGNSHLSQNSHELSTGFLNLAVFTREVAALFKNLIQNLNNIVSFPLDSLMKGQLRDGRQDSKKQLEKAWKDYEAKMAKLEKERDRARVTGGIPGEVAQDMQRERRIFQLHMCEYLLKAGESQMKQGPDFLQSLIKFFHAQHNFFQDGWKAAQSLFPFIEKLAASVHALHQAQEDELQKLTQLRDSLRGTLQLESREEHLSRKNSGCGYSIHQHQGNKQFGTEKVGFLYKKSDGIRRVWQKRKCGVKYGCLTISHSTINRPPVKLTLLTCQVRPNPEEKKCFDLVTHNRTYHFQAEDEHECEAWVSVLQNSKDEALSSAFLGEPSAGPGSWGSAGHDGEPHDLTKLLIAEVKSRPGNSQCCDCGAADPTWLSTNLGVLTCIQCSGVHRELGVRFSRMQSLTLDLLGPSELLLALNMGNTSFNEVMEAQLPSHGGPKPSAESDMGTRRDYIMAKYVEHRFARRCTPEPQRLWTAICNRDLLSVLEAFANGQDFGQPLPGPDAQAPEELVLHLAVKVANQASLPLVDFIIQNGGHLDAKAADGNTALHYAALYNQPDCLKLLLKGRALVGTVNEAGETALDIARKKHHKECEELLEQAQAGTFAFPLHVDYSWVISTEPGSDSEEDEEEKRCLLKLPAQAHWASGRLDISNKTYETVASLGAATPQGESEDCPPPLPVKNSSRTLVQGCARHASGDRSEVSSLSSEAPETPESLGSPASSSSLMSPLEPGDPSQAPPNSEEGLREPPGTSRPSLTSGTTPSEMYLPVRFSSESTRSYRRGARSPEDGPSARQPLPRRNVPVGITEGDGSRTGSLPASSVQLLQD
+>DECOY_sp|Q8TDY4|ASAP3_HUMAN Arf-GAP with SH3 domain, ANK repeat and PH domain-containing protein 3 OS=Homo sapiens OX=9606 GN=ASAP3 PE=1 SV=1
+DQLLQVSSAPLSGTRSGDGETIGVPVNRRPLPQRASPGDEPSRAGRRYSRTSESSFRVPLYMESPTTGSTLSPRSTGPPERLGEESNPPAQSPDGPELPSMLSSSSAPSGLSEPTEPAESSLSSVESRDGSAHRACGQVLTRSSNKVPLPPPCDESEGQPTAAGLSAVTEYTKNSIDLRGSAWHAQAPLKLLCRKEEEDEESDSGPETSIVWSYDVHLPFAFTGAQAQELLEECEKHHKKRAIDLATEGAENVTGVLARGKLLLKLCDPQNYLAAYHLATNGDAAKADLHGGNQIIFDVLPLSAQNAVKVALHLVLEEPAQADPGPLPQGFDQGNAFAELVSLLDRNCIATWLRQPEPTCRRAFRHEVYKAMIYDRRTGMDSEASPKPGGHSPLQAEMVENFSTNGMNLALLLESPGLLDLTLSQMRSFRVGLERHVGSCQICTLVGLNTSLWTPDAAGCDCCQSNGPRSKVEAILLKTLDHPEGDHGASGWSGPGASPEGLFASSLAEDKSNQLVSVWAECEHEDEAQFHYTRNHTVLDFCKKEEPNPRVQCTLLTLKVPPRNITSHSITLCGYKVGCKRKQWVRRIGDSKKYLFGVKETGFQKNGQHQHISYGCGSNKRSLHEERSELQLTGRLSDRLQTLKQLEDEQAQHLAHVSAALKEIFPFLSQAAKWGDQFFNHQAHFFKILSQLFDPGQKMQSEGAKLLYECMHLQFIRRERQMDQAVEGPIGGTVRARDREKELKAMKAEYDKWAKELQKKSDQRGDRLQGKMLSDLPFSVINNLNQILNKFLAAVERTFVALNLFGTSLEHSNQSLHSNGLSEVAERYQEENEVHGLGSSHIARVAKKIRQLIAQDGELIEERALAAGRYRPMKAAFAAAGAPSSLDEATVALFEAVSFQEPM
+>sp|Q8WXK4|ASB12_HUMAN Ankyrin repeat and SOCS box protein 12 OS=Homo sapiens OX=9606 GN=ASB12 PE=1 SV=2
+MNLMDITKIFSLLQPDKEEEDTDTEEKQALNQAVYDNDSYTLDQLLRQERYKRFINSRSGWGVPGTPLRLAASYGHLSCLQVLLAHGADVDSLDVKAQTPLFTAVSHGHLDCVRVLLEAGASPGGSIYNNCSPVLTAARDGAVAILQELLDHGAEANVKAKLPVWASNIASCSGPLYLAAVYGHLDCFRLLLLHGADPDYNCTDQGLLARVPRPRTLLEICLHHNCEPEYIQLLIDFGANIYLPSLSLDLTSQDDKGIALLLQARATPRSLLSQVRLVVRRALCQAGQPQAINQLDIPPMLISYLKHQL
+>DECOY_sp|Q8WXK4|ASB12_HUMAN Ankyrin repeat and SOCS box protein 12 OS=Homo sapiens OX=9606 GN=ASB12 PE=1 SV=2
+LQHKLYSILMPPIDLQNIAQPQGAQCLARRVVLRVQSLLSRPTARAQLLLAIGKDDQSTLDLSLSPLYINAGFDILLQIYEPECNHHLCIELLTRPRPVRALLGQDTCNYDPDAGHLLLLRFCDLHGYVAALYLPGSCSAINSAWVPLKAKVNAEAGHDLLEQLIAVAGDRAATLVPSCNNYISGGPSAGAELLVRVCDLHGHSVATFLPTQAKVDLSDVDAGHALLVQLCSLHGYSAALRLPTGPVGWGSRSNIFRKYREQRLLQDLTYSDNDYVAQNLAQKEETDTDEEEKDPQLLSFIKTIDMLNM
+>sp|A6NK59|ASB14_HUMAN Ankyrin repeat and SOCS box protein 14 OS=Homo sapiens OX=9606 GN=ASB14 PE=2 SV=2
+MDNYTSDEDIDEDFDTQLIIQQSLQDIYKPGTAQHAPKDESLHSFLSADYKKIVETIEKVGKEDALSHLTKYHSAFGEADEIGWIPLHKAAVQLNRKILEITLSASDPSLWEQTTHNGETPLFLAVSSCLLENATFLLLNGCNPNAKNFEGNSPLLAAVLRDCYDMAALLINYGADVNLRCANERTALHEAAKLGREDMVKLMLVSGAHPDPQSTYGFTPLALAAQSGHTEIMEMLLRKGKIFCLASDSSSILLEAASGGNPDAVALLLEYGADANIPKNSGHLPIHVAADRGHLLALKILIPVTDLAAIKQSGISPVHCAAAGAHPQCLELLIQAGFDVNFMLDQRINKHYDDHRKSALYFAVSNSDLSSVKLLLSAGALPNQDPVNCLQIALRMGNYELISLLLRHGANVNYFCRVNPLHFPSALQYTLKDEVMLRMLLNYGYDTERCFDCPHGDKVHPSYTVEGWTSTVIKDTKFCEVITLSWLQHLSGKVVRVMLDYVDQVRICSKLKAVLQKQGIWSEIHFILTNPRSLKHLCRLKIRKCMGRLHLRCPVFMSFLPLPNRLKAYVLYKEYDLYGQGIFTGTW
+>DECOY_sp|A6NK59|ASB14_HUMAN Ankyrin repeat and SOCS box protein 14 OS=Homo sapiens OX=9606 GN=ASB14 PE=2 SV=2
+WTGTFIGQGYLDYEKYLVYAKLRNPLPLFSMFVPCRLHLRGMCKRIKLRCLHKLSRPNTLIFHIESWIGQKQLVAKLKSCIRVQDVYDLMVRVVKGSLHQLWSLTIVECFKTDKIVTSTWGEVTYSPHVKDGHPCDFCRETDYGYNLLMRLMVEDKLTYQLASPFHLPNVRCFYNVNAGHRLLLSILEYNGMRLAIQLCNVPDQNPLAGASLLLKVSSLDSNSVAFYLASKRHDDYHKNIRQDLMFNVDFGAQILLELCQPHAGAAACHVPSIGSQKIAALDTVPILIKLALLHGRDAAVHIPLHGSNKPINADAGYELLLAVADPNGGSAAELLISSSDSALCFIKGKRLLMEMIETHGSQAALALPTFGYTSQPDPHAGSVLMLKVMDERGLKAAEHLATRENACRLNVDAGYNILLAAMDYCDRLVAALLPSNGEFNKANPNCGNLLLFTANELLCSSVALFLPTEGNHTTQEWLSPDSASLTIELIKRNLQVAAKHLPIWGIEDAEGFASHYKTLHSLADEKGVKEITEVIKKYDASLFSHLSEDKPAHQATGPKYIDQLSQQIILQTDFDEDIDEDSTYNDM
+>sp|Q9Y576|ASB1_HUMAN Ankyrin repeat and SOCS box protein 1 OS=Homo sapiens OX=9606 GN=ASB1 PE=1 SV=1
+MAEGGSPDGRAGPGSAGRNLKEWLREQFCDHPLEHCEDTRLHDAAYVGDLQTLRSLLQEESYRSRINEKSVWCCGWLPCTPLRIAATAGHGSCVDFLIRKGAEVDLVDVKGQTALYVAVVNGHLESTQILLEAGADPNGSRHHRSTPVYHASRVGRADILKALIRYGADVDVNHHLTPDVQPRFSRRLTSLVVCPLYISAAYHNLQCFRLLLLAGANPDFNCNGPVNTQGFYRGSPGCVMDAVLRHGCEAAFVSLLVEFGANLNLVKWESLGPESRGRRKVDPEALQVFKEARSVPRTLLCLCRVAVRRALGKHRLHLIPSLPLPDPIKKFLLHE
+>DECOY_sp|Q9Y576|ASB1_HUMAN Ankyrin repeat and SOCS box protein 1 OS=Homo sapiens OX=9606 GN=ASB1 PE=1 SV=1
+EHLLFKKIPDPLPLSPILHLRHKGLARRVAVRCLCLLTRPVSRAEKFVQLAEPDVKRRGRSEPGLSEWKVLNLNAGFEVLLSVFAAECGHRLVADMVCGPSGRYFGQTNVPGNCNFDPNAGALLLLRFCQLNHYAASIYLPCVVLSTLRRSFRPQVDPTLHHNVDVDAGYRILAKLIDARGVRSAHYVPTSRHHRSGNPDAGAELLIQTSELHGNVVAVYLATQGKVDVLDVEAGKRILFDVCSGHGATAAIRLPTCPLWGCCWVSKENIRSRYSEEQLLSRLTQLDGVYAADHLRTDECHELPHDCFQERLWEKLNRGASGPGARGDPSGGEAM
+>sp|Q9Y574|ASB4_HUMAN Ankyrin repeat and SOCS box protein 4 OS=Homo sapiens OX=9606 GN=ASB4 PE=2 SV=1
+MDGTTAPVTKSGAAKLVKRNFLEALKSNDFGKLKAILIQRQIDVDTVFEVEDENMVLASYKQGYWLPSYKLKSSWATGLHLSVLFGHVECLLVLLDHNATINCRPNGKTPLHVACEMANVDCVKILCDRGAKLNCYSLSGHTALHFCTTPSSILCAKQLVWRGANVNMKTNNQDEETPLHTAAHFGLSELVAFYVEHGAIVDSVNAHMETPLAIAAYWALRFKEQEYSTEHHLVCRMLLDYKAEVNARDDDFKSPLHKAAWNCDHVLMHMMLEAGAEANLMDINGCAAIQYVLKVTSVRPAAQPEICYQLLLNHGAARIYPPQFHKVIQACHSCPKAIEVVVNAYEHIRWNTKWRRAIPDDDLEKYWDFYHSLFTVCCNSPRTLMHLSRCAIRRTLHNRCHRAIPLLSLPLSLKKYLLLEPEGIIY
+>DECOY_sp|Q9Y574|ASB4_HUMAN Ankyrin repeat and SOCS box protein 4 OS=Homo sapiens OX=9606 GN=ASB4 PE=2 SV=1
+YIIGEPELLLYKKLSLPLSLLPIARHCRNHLTRRIACRSLHMLTRPSNCCVTFLSHYFDWYKELDDDPIARRWKTNWRIHEYANVVVEIAKPCSHCAQIVKHFQPPYIRAAGHNLLLQYCIEPQAAPRVSTVKLVYQIAACGNIDMLNAEAGAELMMHMLVHDCNWAAKHLPSKFDDDRANVEAKYDLLMRCVLHHETSYEQEKFRLAWYAAIALPTEMHANVSDVIAGHEVYFAVLESLGFHAATHLPTEEDQNNTKMNVNAGRWVLQKACLISSPTTCFHLATHGSLSYCNLKAGRDCLIKVCDVNAMECAVHLPTKGNPRCNITANHDLLVLLCEVHGFLVSLHLGTAWSSKLKYSPLWYGQKYSALVMNEDEVEFVTDVDIQRQILIAKLKGFDNSKLAELFNRKVLKAAGSKTVPATTGDM
+>sp|Q9NWX5|ASB6_HUMAN Ankyrin repeat and SOCS box protein 6 OS=Homo sapiens OX=9606 GN=ASB6 PE=1 SV=1
+MPFLHGFRRIIFEYQPLVDAILGSLGIQDPERQESLDRPSYVASEESRILVLTELLERKAHSPFYQEGVSNALLKMAELGLTRAADVLLRHGANLNFEDPVTYYTALHIAVLRNQPDMVELLVHHGADVNRRDRIHESSPLDLASEEPERLPCLQRLLDLGADVNAADKHGKTALLHALASSDGVQIHNTENIRLLLEGGADVKATTKDGDTVFTCIIFLLGETVGGDKEEAQMINRFCFQVTRLLLAHGADPSECPAHESLTHICLKSFKLHFPLLRFLLESGAAYNCSLHGASCWSGFHIIFERLCSHPGCTEDESHADLLRKAETVLDLMVTNSQKLQLPENFDIHPVGSLAEKIQALHFSLRQLESYPPPLKHLCRVAIRLYLQPWPVDVKVKALPLPDRLKWYLLSEHSGSVEDDI
+>DECOY_sp|Q9NWX5|ASB6_HUMAN Ankyrin repeat and SOCS box protein 6 OS=Homo sapiens OX=9606 GN=ASB6 PE=1 SV=1
+IDDEVSGSHESLLYWKLRDPLPLAKVKVDVPWPQLYLRIAVRCLHKLPPPYSELQRLSFHLAQIKEALSGVPHIDFNEPLQLKQSNTVMLDLVTEAKRLLDAHSEDETCGPHSCLREFIIHFGSWCSAGHLSCNYAAGSELLFRLLPFHLKFSKLCIHTLSEHAPCESPDAGHALLLRTVQFCFRNIMQAEEKDGGVTEGLLFIICTFVTDGDKTTAKVDAGGELLLRINETNHIQVGDSSALAHLLATKGHKDAANVDAGLDLLRQLCPLREPEESALDLPSSEHIRDRRNVDAGHHVLLEVMDPQNRLVAIHLATYYTVPDEFNLNAGHRLLVDAARTLGLEAMKLLANSVGEQYFPSHAKRELLETLVLIRSEESAVYSPRDLSEQREPDQIGLSGLIADVLPQYEFIIRRFGHLFPM
+>sp|Q9H765|ASB8_HUMAN Ankyrin repeat and SOCS box protein 8 OS=Homo sapiens OX=9606 GN=ASB8 PE=2 SV=1
+MSSSMWYIMQSIQSKYSLSERLIRTIAAIRSFPHDNVEDLIRGGADVNCTHGTLKPLHCACMVSDADCVELLLEKGAEVNALDGYNRTALHYAAEKDEACVEVLLEYGANPNALDGNRDTPLHWAAFKNNAECVRALLESGASVNALDYNNDTPLSWAAMKGNLESVSILLDYGAEVRVINLIGQTPISRLVALLVRGLGTEKEDSCFELLHRAVGHFELRKNGTMPREVARDPQLCEKLTVLCSAPGTLKTLARYAVRRSLGLQYLPDAVKGLPLPASLKEYLLLLE
+>DECOY_sp|Q9H765|ASB8_HUMAN Ankyrin repeat and SOCS box protein 8 OS=Homo sapiens OX=9606 GN=ASB8 PE=2 SV=1
+ELLLLYEKLSAPLPLGKVADPLYQLGLSRRVAYRALTKLTGPASCLVTLKECLQPDRAVERPMTGNKRLEFHGVARHLLEFCSDEKETGLGRVLLAVLRSIPTQGILNIVRVEAGYDLLISVSELNGKMAAWSLPTDNNYDLANVSAGSELLARVCEANNKFAAWHLPTDRNGDLANPNAGYELLVEVCAEDKEAAYHLATRNYGDLANVEAGKELLLEVCDADSVMCACHLPKLTGHTCNVDAGGRILDEVNDHPFSRIAAITRILRESLSYKSQISQMIYWMSSSM
+>sp|Q9H1I8|ASCC2_HUMAN Activating signal cointegrator 1 complex subunit 2 OS=Homo sapiens OX=9606 GN=ASCC2 PE=1 SV=3
+MPALPLDQLQITHKDPKTGKLRTSPALHPEQKADRYFVLYKPPPKDNIPALVEEYLERATFVANDLDWLLALPHDKFWCQVIFDETLQKCLDSYLRYVPRKFDEGVASAPEVVDMQKRLHRSVFLTFLRMSTHKESKDHFISPSAFGEILYNNFLFDIPKILDLCVLFGKGNSPLLQKMIGNIFTQQPSYYSDLDETLPTILQVFSNILQHCGLQGDGANTTPQKLEERGRLTPSDMPLLELKDIVLYLCDTCTTLWAFLDIFPLACQTFQKHDFCYRLASFYEAAIPEMESAIKKRRLEDSKLLGDLWQRLSHSRKKLMEIFHIILNQICLLPILESSCDNIQGFIEEFLQIFSSLLQEKRFLRDYDALFPVAEDISLLQQASSVLDETRTAYILQAVESAWEGVDRRKATDAKDPSVIEEPNGEPNGVTVTAEAVSQASSHPENSEEEECMGAAAAVGPAMCGVELDSLISQVKDLLPDLGEGFILACLEYYHYDPEQVINNILEERLAPTLSQLDRNLDREMKPDPTPLLTSRHNVFQNDEFDVFSRDSVDLSRVHKGKSTRKEENTRSLLNDKRAVAAQRQRYEQYSVVVEEVPLQPGESLPYHSVYYEDEYDDTYDGNQVGANDADSDDELISRRPFTIPQVLRTKVPREGQEEDDDDEEDDADEEAPKPDHFVQDPAVLREKAEARRMAFLAKKGYRHDSSTAVAGSPRGHGQSRETTQERRKKEANKATRANHNRRTMADRKRSKGMIPS
+>DECOY_sp|Q9H1I8|ASCC2_HUMAN Activating signal cointegrator 1 complex subunit 2 OS=Homo sapiens OX=9606 GN=ASCC2 PE=1 SV=3
+SPIMGKSRKRDAMTRRNHNARTAKNAEKKRREQTTERSQGHGRPSGAVATSSDHRYGKKALFAMRRAEAKERLVAPDQVFHDPKPAEEDADDEEDDDDEEQGERPVKTRLVQPITFPRRSILEDDSDADNAGVQNGDYTDDYEDEYYVSHYPLSEGPQLPVEEVVVSYQEYRQRQAAVARKDNLLSRTNEEKRTSKGKHVRSLDVSDRSFVDFEDNQFVNHRSTLLPTPDPKMERDLNRDLQSLTPALREELINNIVQEPDYHYYELCALIFGEGLDPLLDKVQSILSDLEVGCMAPGVAAAAGMCEEEESNEPHSSAQSVAEATVTVGNPEGNPEEIVSPDKADTAKRRDVGEWASEVAQLIYATRTEDLVSSAQQLLSIDEAVPFLADYDRLFRKEQLLSSFIQLFEEIFGQINDCSSELIPLLCIQNLIIHFIEMLKKRSHSLRQWLDGLLKSDELRRKKIASEMEPIAAEYFSALRYCFDHKQFTQCALPFIDLFAWLTTCTDCLYLVIDKLELLPMDSPTLRGREELKQPTTNAGDGQLGCHQLINSFVQLITPLTEDLDSYYSPQQTFINGIMKQLLPSNGKGFLVCLDLIKPIDFLFNNYLIEGFASPSIFHDKSEKHTSMRLFTLFVSRHLRKQMDVVEPASAVGEDFKRPVYRLYSDLCKQLTEDFIVQCWFKDHPLALLWDLDNAVFTARELYEEVLAPINDKPPPKYLVFYRDAKQEPHLAPSTRLKGTKPDKHTIQLQDLPLAPM
+>sp|Q7L266|ASGL1_HUMAN Isoaspartyl peptidase/L-asparaginase OS=Homo sapiens OX=9606 GN=ASRGL1 PE=1 SV=2
+MNPIVVVHGGGAGPISKDRKERVHQGMVRAATVGYGILREGGSAVDAVEGAVVALEDDPEFNAGCGSVLNTNGEVEMDASIMDGKDLSAGAVSAVQCIANPIKLARLVMEKTPHCFLTDQGAAQFAAAMGVPEIPGEKLVTERNKKRLEKEKHEKGAQKTDCQKNLGTVGAVALDCKGNVAYATSTGGIVNKMVGRVGDSPCLGAGGYADNDIGAVSTTGHGESILKVNLARLTLFHIEQGKTVEEAADLSLGYMKSRVKGLGGLIVVSKTGDWVAKWTSTSMPWAAAKDGKLHFGIDPDDTTITDLP
+>DECOY_sp|Q7L266|ASGL1_HUMAN Isoaspartyl peptidase/L-asparaginase OS=Homo sapiens OX=9606 GN=ASRGL1 PE=1 SV=2
+PLDTITTDDPDIGFHLKGDKAAAWPMSTSTWKAVWDGTKSVVILGGLGKVRSKMYGLSLDAAEEVTKGQEIHFLTLRALNVKLISEGHGTTSVAGIDNDAYGGAGLCPSDGVRGVMKNVIGGTSTAYAVNGKCDLAVAGVTGLNKQCDTKQAGKEHKEKELRKKNRETVLKEGPIEPVGMAAAFQAAGQDTLFCHPTKEMVLRALKIPNAICQVASVAGASLDKGDMISADMEVEGNTNLVSGCGANFEPDDELAVVAGEVADVASGGERLIGYGVTAARVMGQHVREKRDKSIPGAGGGHVVVIPNM
+>sp|Q92484|ASM3A_HUMAN Acid sphingomyelinase-like phosphodiesterase 3a OS=Homo sapiens OX=9606 GN=SMPDL3A PE=1 SV=2
+MALVRALVCCLLTAWHCRSGLGLPVAPAGGRNPPPAIGQFWHVTDLHLDPTYHITDDHTKVCASSKGANASNPGPFGDVLCDSPYQLILSAFDFIKNSGQEASFMIWTGDSPPHVPVPELSTDTVINVITNMTTTIQSLFPNLQVFPALGNHDYWPQDQLPVVTSKVYNAVANLWKPWLDEEAISTLRKGGFYSQKVTTNPNLRIISLNTNLYYGPNIMTLNKTDPANQFEWLESTLNNSQQNKEKVYIIAHVPVGYLPSSQNITAMREYYNEKLIDIFQKYSDVIAGQFYGHTHRDSIMVLSDKKGSPVNSLFVAPAVTPVKSVLEKQTNNPGIRLFQYDPRDYKLLDMLQYYLNLTEANLKGESIWKLEYILTQTYDIEDLQPESLYGLAKQFTILDSKQFIKYYNYFFVSYDSSVTCDKTCKAFQICAIMNLDNISYADCLKQLYIKHNY
+>DECOY_sp|Q92484|ASM3A_HUMAN Acid sphingomyelinase-like phosphodiesterase 3a OS=Homo sapiens OX=9606 GN=SMPDL3A PE=1 SV=2
+YNHKIYLQKLCDAYSINDLNMIACIQFAKCTKDCTVSSDYSVFFYNYYKIFQKSDLITFQKALGYLSEPQLDEIDYTQTLIYELKWISEGKLNAETLNLYYQLMDLLKYDRPDYQFLRIGPNNTQKELVSKVPTVAPAVFLSNVPSGKKDSLVMISDRHTHGYFQGAIVDSYKQFIDILKENYYERMATINQSSPLYGVPVHAIIYVKEKNQQSNNLTSELWEFQNAPDTKNLTMINPGYYLNTNLSIIRLNPNTTVKQSYFGGKRLTSIAEEDLWPKWLNAVANYVKSTVVPLQDQPWYDHNGLAPFVQLNPFLSQITTTMNTIVNIVTDTSLEPVPVHPPSDGTWIMFSAEQGSNKIFDFASLILQYPSDCLVDGFPGPNSANAGKSSACVKTHDDTIHYTPDLHLDTVHWFQGIAPPPNRGGAPAVPLGLGSRCHWATLLCCVLARVLAM
+>sp|Q8IXJ9|ASXL1_HUMAN Putative Polycomb group protein ASXL1 OS=Homo sapiens OX=9606 GN=ASXL1 PE=1 SV=4
+MKDKQKKKKERTWAEAARLVLENYSDAPMTPKQILQVIEAEGLKEMRSGTSPLACLNAMLHSNSRGGEGLFYKLPGRISLFTLKKDALQWSRHPATVEGEEPEDTADVESCGSNEASTVSGENDVSLDETSSNASCSTESQSRPLSNPRDSYRASSQANKQKKKTGVMLPRVVLTPLKVNGAHVESASGFSGCHADGESGSPSSSSSGSLALGSAAIRGQAEVTQDPAPLLRGFRKPATGQMKRNRGEEIDFETPGSILVNTNLRALINSRTFHALPSHFQQQLLFLLPEVDRQVGTDGLLRLSSSALNNEFFTHAAQSWRERLADGEFTHEMQVRIRQEMEKEKKVEQWKEKFFEDYYGQKLGLTKEESLQQNVGQEEAEIKSGLCVPGESVRIQRGPATRQRDGHFKKRSRPDLRTRARRNLYKKQESEQAGVAKDAKSVASDVPLYKDGEAKTDPAGLSSPHLPGTSSAAPDLEGPEFPVESVASRIQAEPDNLARASASPDRIPSLPQETVDQEPKDQKRKSFEQAASASFPEKKPRLEDRQSFRNTIESVHTEKPQPTKEEPKVPPIRIQLSRIKPPWVVKGQPTYQICPRIIPTTESSCRGWTGARTLADIKARALQVRGARGHHCHREAATTAIGGGGGPGGGGGGATDEGGGRGSSSGDGGEACGHPEPRGGPSTPGKCTSDLQRTQLLPPYPLNGEHTQAGTAMSRARREDLPSLRKEESCLLQRATVGLTDGLGDASQLPVAPTGDQPCQALPLLSSQTSVAERLVEQPQLHPDVRTECESGTTSWESDDEEQGPTVPADNGPIPSLVGDDTLEKGTGQALDSHPTMKDPVNVTPSSTPESSPTDCLQNRAFDDELGLGGSCPPMRESDTRQENLKTKALVSNSSLHWIPIPSNDEVVKQPKPESREHIPSVEPQVGEEWEKAAPTPPALPGDLTAEEGLDPLDSLTSLWTVPSRGGSDSNGSYCQQVDIEKLKINGDSEALSPHGESTDTASDFEGHLTEDSSEADTREAAVTKGSSVDKDEKPNWNQSAPLSKVNGDMRLVTRTDGMVAPQSWVSRVCAVRQKIPDSLLLASTEYQPRAVCLSMPGSSVEATNPLVMQLLQGSLPLEKVLPPAHDDSMSESPQVPLTKDQSHGSLRMGSLHGLGKNSGMVDGSSPSSLRALKEPLLPDSCETGTGLARIEATQAPGAPQKNCKAVPSFDSLHPVTNPITSSRKLEEMDSKEQFSSFSCEDQKEVRAMSQDSNSNAAPGKSPGDLTTSRTPRFSSPNVISFGPEQTGRALGDQSNVTGQGKKLFGSGNVAATLQRPRPADPMPLPAEIPPVFPSGKLGPSTNSMSGGVQTPREDWAPKPHAFVGSVKNEKTFVGGPLKANAENRKATGHSPLELVGHLEGMPFVMDLPFWKLPREPGKGLSEPLEPSSLPSQLSIKQAFYGKLSKLQLSSTSFNYSSSSPTFPKGLAGSVVQLSHKANFGASHSASLSLQMFTDSSTVESISLQCACSLKAMIMCQGCGAFCHDDCIGPSKLCVLCLVVR
+>DECOY_sp|Q8IXJ9|ASXL1_HUMAN Putative Polycomb group protein ASXL1 OS=Homo sapiens OX=9606 GN=ASXL1 PE=1 SV=4
+RVVLCLVCLKSPGICDDHCFAGCGQCMIMAKLSCACQLSISEVTSSDTFMQLSLSASHSAGFNAKHSLQVVSGALGKPFTPSSSSYNFSTSSLQLKSLKGYFAQKISLQSPLSSPELPESLGKGPERPLKWFPLDMVFPMGELHGVLELPSHGTAKRNEANAKLPGGVFTKENKVSGVFAHPKPAWDERPTQVGGSMSNTSPGLKGSPFVPPIEAPLPMPDAPRPRQLTAAVNGSGFLKKGQGTVNSQDGLARGTQEPGFSIVNPSSFRPTRSTTLDGPSKGPAANSNSDQSMARVEKQDECSFSSFQEKSDMEELKRSSTIPNTVPHLSDFSPVAKCNKQPAGPAQTAEIRALGTGTECSDPLLPEKLARLSSPSSGDVMGSNKGLGHLSGMRLSGHSQDKTLPVQPSESMSDDHAPPLVKELPLSGQLLQMVLPNTAEVSSGPMSLCVARPQYETSALLLSDPIKQRVACVRSVWSQPAVMGDTRTVLRMDGNVKSLPASQNWNPKEDKDVSSGKTVAAERTDAESSDETLHGEFDSATDTSEGHPSLAESDGNIKLKEIDVQQCYSGNSDSGGRSPVTWLSTLSDLPDLGEEATLDGPLAPPTPAAKEWEEGVQPEVSPIHERSEPKPQKVVEDNSPIPIWHLSSNSVLAKTKLNEQRTDSERMPPCSGGLGLEDDFARNQLCDTPSSEPTSSPTVNVPDKMTPHSDLAQGTGKELTDDGVLSPIPGNDAPVTPGQEEDDSEWSTTGSECETRVDPHLQPQEVLREAVSTQSSLLPLAQCPQDGTPAVPLQSADGLGDTLGVTARQLLCSEEKRLSPLDERRARSMATGAQTHEGNLPYPPLLQTRQLDSTCKGPTSPGGRPEPHGCAEGGDGSSSGRGGGEDTAGGGGGGPGGGGGIATTAAERHCHHGRAGRVQLARAKIDALTRAGTWGRCSSETTPIIRPCIQYTPQGKVVWPPKIRSLQIRIPPVKPEEKTPQPKETHVSEITNRFSQRDELRPKKEPFSASAAQEFSKRKQDKPEQDVTEQPLSPIRDPSASARALNDPEAQIRSAVSEVPFEPGELDPAASSTGPLHPSSLGAPDTKAEGDKYLPVDSAVSKADKAVGAQESEQKKYLNRRARTRLDPRSRKKFHGDRQRTAPGRQIRVSEGPVCLGSKIEAEEQGVNQQLSEEKTLGLKQGYYDEFFKEKWQEVKKEKEMEQRIRVQMEHTFEGDALRERWSQAAHTFFENNLASSSLRLLGDTGVQRDVEPLLFLLQQQFHSPLAHFTRSNILARLNTNVLISGPTEFDIEEGRNRKMQGTAPKRFGRLLPAPDQTVEAQGRIAASGLALSGSSSSSPSGSEGDAHCGSFGSASEVHAGNVKLPTLVVRPLMVGTKKKQKNAQSSARYSDRPNSLPRSQSETSCSANSSTEDLSVDNEGSVTSAENSGCSEVDATDEPEEGEVTAPHRSWQLADKKLTFLSIRGPLKYFLGEGGRSNSHLMANLCALPSTGSRMEKLGEAEIVQLIQKPTMPADSYNELVLRAAEAWTREKKKKQKDKM
+>sp|P24539|AT5F1_HUMAN ATP synthase F(0) complex subunit B1, mitochondrial OS=Homo sapiens OX=9606 GN=ATP5F1 PE=1 SV=2
+MLSRVVLSAAATAAPSLKNAAFLGPGVLQATRTFHTGQPHLVPVPPLPEYGGKVRYGLIPEEFFQFLYPKTGVTGPYVLGTGLILYALSKEIYVISAETFTALSVLGVMVYGIKKYGPFVADFADKLNEQKLAQLEEAKQASIQHIQNAIDTEKSQQALVQKRHYLFDVQRNNIAMALEVTYRERLYRVYKEVKNRLDYHISVQNMMRRKEQEHMINWVEKHVVQSISTQQEKETIAKCIADLKLLAKKAQAQPVM
+>DECOY_sp|P24539|AT5F1_HUMAN ATP synthase F(0) complex subunit B1, mitochondrial OS=Homo sapiens OX=9606 GN=ATP5F1 PE=1 SV=2
+MVPQAQAKKALLKLDAICKAITEKEQQTSISQVVHKEVWNIMHEQEKRRMMNQVSIHYDLRNKVEKYVRYLRERYTVELAMAINNRQVDFLYHRKQVLAQQSKETDIANQIHQISAQKAEELQALKQENLKDAFDAVFPGYKKIGYVMVGLVSLATFTEASIVYIEKSLAYLILGTGLVYPGTVGTKPYLFQFFEEPILGYRVKGGYEPLPPVPVLHPQGTHFTRTAQLVGPGLFAANKLSPAATAAASLVVRSLM
+>sp|O43520|AT8B1_HUMAN Phospholipid-transporting ATPase IC OS=Homo sapiens OX=9606 GN=ATP8B1 PE=1 SV=3
+MSTERDSETTFDEDSQPNDEVVPYSDDETEDELDDQGSAVEPEQNRVNREAEENREPFRKECTWQVKANDRKYHEQPHFMNTKFLCIKESKYANNAIKTYKYNAFTFIPMNLFEQFKRAANLYFLALLILQAVPQISTLAWYTTLVPLLVVLGVTAIKDLVDDVARHKMDKEINNRTCEVIKDGRFKVAKWKEIQVGDVIRLKKNDFVPADILLLSSSEPNSLCYVETAELDGETNLKFKMSLEITDQYLQREDTLATFDGFIECEEPNNRLDKFTGTLFWRNTSFPLDADKILLRGCVIRNTDFCHGLVIFAGADTKIMKNSGKTRFKRTKIDYLMNYMVYTIFVVLILLSAGLAIGHAYWEAQVGNSSWYLYDGEDDTPSYRGFLIFWGYIIVLNTMVPISLYVSVEVIRLGQSHFINWDLQMYYAEKDTPAKARTTTLNEQLGQIHYIFSDKTGTLTQNIMTFKKCCINGQIYGDHRDASQHNHNKIEQVDFSWNTYADGKLAFYDHYLIEQIQSGKEPEVRQFFFLLAVCHTVMVDRTDGQLNYQAASPDEGALVNAARNFGFAFLARTQNTITISELGTERTYNVLAILDFNSDRKRMSIIVRTPEGNIKLYCKGADTVIYERLHRMNPTKQETQDALDIFANETLRTLCLCYKEIEEKEFTEWNKKFMAASVASTNRDEALDKVYEEIEKDLILLGATAIEDKLQDGVPETISKLAKADIKIWVLTGDKKETAENIGFACELLTEDTTICYGEDINSLLHARMENQRNRGGVYAKFAPPVQESFFPPGGNRALIITGSWLNEILLEKKTKRNKILKLKFPRTEEERRMRTQSKRRLEAKKEQRQKNFVDLACECSAVICCRVTPKQKAMVVDLVKRYKKAITLAIGDGANDVNMIKTAHIGVGISGQEGMQAVMSSDYSFAQFRYLQRLLLVHGRWSYIRMCKFLRYFFYKNFAFTLVHFWYSFFNGYSAQTAYEDWFITLYNVLYTSLPVLLMGLLDQDVSDKLSLRFPGLYIVGQRDLLFNYKRFFVSLLHGVLTSMILFFIPLGAYLQTVGQDGEAPSDYQSFAVTIASALVITVNFQIGLDTSYWTFVNAFSIFGSIALYFGIMFDFHSAGIHVLFPSAFQFTGTASNALRQPYIWLTIILAVAVCLLPVVAIRFLSMTIWPSESDKIQKHRKRLKAEEQWQRRQQVFRRGVSTRRSAYAFSHQRGYADLISSGRSIRKKRSPLDAIVADGTAEYRRTGDS
+>DECOY_sp|O43520|AT8B1_HUMAN Phospholipid-transporting ATPase IC OS=Homo sapiens OX=9606 GN=ATP8B1 PE=1 SV=3
+SDGTRRYEATGDAVIADLPSRKKRISRGSSILDAYGRQHSFAYASRRTSVGRRFVQQRRQWQEEAKLRKRHKQIKDSESPWITMSLFRIAVVPLLCVAVALIITLWIYPQRLANSATGTFQFASPFLVHIGASHFDFMIGFYLAISGFISFANVFTWYSTDLGIQFNVTIVLASAITVAFSQYDSPAEGDQGVTQLYAGLPIFFLIMSTLVGHLLSVFFRKYNFLLDRQGVIYLGPFRLSLKDSVDQDLLGMLLVPLSTYLVNYLTIFWDEYATQASYGNFFSYWFHVLTFAFNKYFFYRLFKCMRIYSWRGHVLLLRQLYRFQAFSYDSSMVAQMGEQGSIGVGIHATKIMNVDNAGDGIALTIAKKYRKVLDVVMAKQKPTVRCCIVASCECALDVFNKQRQEKKAELRRKSQTRMRREEETRPFKLKLIKNRKTKKELLIENLWSGTIILARNGGPPFFSEQVPPAFKAYVGGRNRQNEMRAHLLSNIDEGYCITTDETLLECAFGINEATEKKDGTLVWIKIDAKALKSITEPVGDQLKDEIATAGLLILDKEIEEYVKDLAEDRNTSAVSAAMFKKNWETFEKEEIEKYCLCLTRLTENAFIDLADQTEQKTPNMRHLREYIVTDAGKCYLKINGEPTRVIISMRKRDSNFDLIALVNYTRETGLESITITNQTRALFAFGFNRAANVLAGEDPSAAQYNLQGDTRDVMVTHCVALLFFFQRVEPEKGSQIQEILYHDYFALKGDAYTNWSFDVQEIKNHNHQSADRHDGYIQGNICCKKFTMINQTLTGTKDSFIYHIQGLQENLTTTRAKAPTDKEAYYMQLDWNIFHSQGLRIVEVSVYLSIPVMTNLVIIYGWFILFGRYSPTDDEGDYLYWSSNGVQAEWYAHGIALGASLLILVVFITYVMYNMLYDIKTRKFRTKGSNKMIKTDAGAFIVLGHCFDTNRIVCGRLLIKDADLPFSTNRWFLTGTFKDLRNNPEECEIFGDFTALTDERQLYQDTIELSMKFKLNTEGDLEATEVYCLSNPESSSLLLIDAPVFDNKKLRIVDGVQIEKWKAVKFRGDKIVECTRNNIEKDMKHRAVDDVLDKIATVGLVVLLPVLTTYWALTSIQPVAQLILLALFYLNAARKFQEFLNMPIFTFANYKYTKIANNAYKSEKICLFKTNMFHPQEHYKRDNAKVQWTCEKRFPERNEEAERNVRNQEPEVASGQDDLEDETEDDSYPVVEDNPQSDEDFTTESDRETSM
+>sp|P0DMR3|AT8OS_HUMAN Putative protein ATXN8OS OS=Homo sapiens OX=9606 GN=ATXN8OS PE=5 SV=1
+MPCPGAPCCSLVATGSRVPFSGLKEEEEEDGEDDEEEEEEGFFQKVLTPLLSWLLSRRLWLGPQCSKLPLPSCCRQPPPAGPPVEGDGWLKSFQRSRRMCFTSKSFRPEPDMLYAQKAKGWQLTQDSGGWEVQDQCTRIWSKENLLALNTHSRRQKGKRENKVCVSTWQKSRGDRTYSSMATTPSMTKILEGCMYRKLKC
+>DECOY_sp|P0DMR3|AT8OS_HUMAN Putative protein ATXN8OS OS=Homo sapiens OX=9606 GN=ATXN8OS PE=5 SV=1
+CKLKRYMCGELIKTMSPTTAMSSYTRDGRSKQWTSVCVKNERKGKQRRSHTNLALLNEKSWIRTCQDQVEWGGSDQTLQWGKAKQAYLMDPEPRFSKSTFCMRRSRQFSKLWGDGEVPPGAPPPQRCCSPLPLKSCQPGLWLRRSLLWSLLPTLVKQFFGEEEEEEDDEGDEEEEEKLGSFPVRSGTAVLSCCPAGPCPM
+>sp|Q8NBU5|ATAD1_HUMAN ATPase family AAA domain-containing protein 1 OS=Homo sapiens OX=9606 GN=ATAD1 PE=1 SV=1
+MVHAEAFSRPLSRNEVVGLIFRLTIFGAVTYFTIKWMVDAIDPTRKQKVEAQKQAEKLMKQIGVKNVKLSEYEMSIAAHLVDPLNMHVTWSDIAGLDDVITDLKDTVILPIKKKHLFENSRLLQPPKGVLLYGPPGCGKTLIAKATAKEAGCRFINLQPSTLTDKWYGESQKLAAAVFSLAIKLQPSIIFIDEIDSFLRNRSSSDHEATAMMKAQFMSLWDGLDTDHSCQVIVMGATNRPQDLDSAIMRRMPTRFHINQPALKQREAILKLILKNENVDRHVDLLEVAQETDGFSGSDLKEMCRDAALLCVREYVNSTSEESHDEDEIRPVQQQDLHRAIEKMKKSKDAAFQNVLTHVCLD
+>DECOY_sp|Q8NBU5|ATAD1_HUMAN ATPase family AAA domain-containing protein 1 OS=Homo sapiens OX=9606 GN=ATAD1 PE=1 SV=1
+DLCVHTLVNQFAADKSKKMKEIARHLDQQQVPRIEDEDHSEESTSNVYERVCLLAADRCMEKLDSGSFGDTEQAVELLDVHRDVNENKLILKLIAERQKLAPQNIHFRTPMRRMIASDLDQPRNTAGMVIVQCSHDTDLGDWLSMFQAKMMATAEHDSSSRNRLFSDIEDIFIISPQLKIALSFVAAALKQSEGYWKDTLTSPQLNIFRCGAEKATAKAILTKGCGPPGYLLVGKPPQLLRSNEFLHKKKIPLIVTDKLDTIVDDLGAIDSWTVHMNLPDVLHAAISMEYESLKVNKVGIQKMLKEAQKQAEVKQKRTPDIADVMWKITFYTVAGFITLRFILGVVENRSLPRSFAEAHVM
+>sp|O95352|ATG7_HUMAN Ubiquitin-like modifier-activating enzyme ATG7 OS=Homo sapiens OX=9606 GN=ATG7 PE=1 SV=1
+MAAATGDPGLSKLQFAPFSSALDVGFWHELTQKKLNEYRLDEAPKDIKGYYYNGDSAGLPARLTLEFSAFDMSAPTPARCCPAIGTLYNTNTLESFKTADKKLLLEQAANEIWESIKSGTALENPVLLNKFLLLTFADLKKYHFYYWFCYPALCLPESLPLIQGPVGLDQRFSLKQIEALECAYDNLCQTEGVTALPYFLIKYDENMVLVSLLKHYSDFFQGQRTKITIGVYDPCNLAQYPGWPLRNFLVLAAHRWSSSFQSVEVVCFRDRTMQGARDVAHSIIFEVKLPEMAFSPDCPKAVGWEKNQKGGMGPRMVNLSECMDPKRLAESSVDLNLKLMCWRLVPTLDLDKVVSVKCLLLGAGTLGCNVARTLMGWGVRHITFVDNAKISYSNPVRQPLYEFEDCLGGGKPKALAAADRLQKIFPGVNARGFNMSIPMPGHPVNFSSVTLEQARRDVEQLEQLIESHDVVFLLMDTRESRWLPAVIAASKRKLVINAALGFDTFVVMRHGLKKPKQQGAGDLCPNHPVASADLLGSSLFANIPGYKLGCYFCNDVVAPGDSTRDRTLDQQCTVSRPGLAVIAGALAVELMVSVLQHPEGGYAIASSSDDRMNEPPTSLGLVPHQIRGFLSRFDNVLPVSLAFDKCTACSSKVLDQYEREGFNFLAKVFNSSHSFLEDLTGLTLLHQETQAAEIWDMSDDETI
+>DECOY_sp|O95352|ATG7_HUMAN Ubiquitin-like modifier-activating enzyme ATG7 OS=Homo sapiens OX=9606 GN=ATG7 PE=1 SV=1
+ITEDDSMDWIEAAQTEQHLLTLGTLDELFSHSSNFVKALFNFGEREYQDLVKSSCATCKDFALSVPLVNDFRSLFGRIQHPVLGLSTPPENMRDDSSSAIAYGGEPHQLVSVMLEVALAGAIVALGPRSVTCQQDLTRDRTSDGPAVVDNCFYCGLKYGPINAFLSSGLLDASAVPHNPCLDGAGQQKPKKLGHRMVVFTDFGLAANIVLKRKSAAIVAPLWRSERTDMLLFVVDHSEILQELQEVDRRAQELTVSSFNVPHGPMPISMNFGRANVGPFIKQLRDAAALAKPKGGGLCDEFEYLPQRVPNSYSIKANDVFTIHRVGWGMLTRAVNCGLTGAGLLLCKVSVVKDLDLTPVLRWCMLKLNLDVSSEALRKPDMCESLNVMRPGMGGKQNKEWGVAKPCDPSFAMEPLKVEFIISHAVDRAGQMTRDRFCVVEVSQFSSSWRHAALVLFNRLPWGPYQALNCPDYVGITIKTRQGQFFDSYHKLLSVLVMNEDYKILFYPLATVGETQCLNDYACELAEIQKLSFRQDLGVPGQILPLSEPLCLAPYCFWYYFHYKKLDAFTLLLFKNLLVPNELATGSKISEWIENAAQELLLKKDATKFSELTNTNYLTGIAPCCRAPTPASMDFASFELTLRAPLGASDGNYYYGKIDKPAEDLRYENLKKQTLEHWFGVDLASSFPAFQLKSLGPDGTAAAM
+>sp|Q96SQ7|ATOH8_HUMAN Protein atonal homolog 8 OS=Homo sapiens OX=9606 GN=ATOH8 PE=2 SV=2
+MKHIPVLEDGPWKTVCVKELNGLKKLKRKGKEPARRANGYKTFRLDLEAPEPRAVATNGLRDRTHRLQPVPVPVPVPVPVAPAVPPRGGTDTAGERGGSRAPEVSDARKRCFALGAVGPGLPTPPPPPPPAPQSQAPGGPEAQPFREPGLRPRILLCAPPARPAPSAPPAPPAPPESTVRPAPPTRPGESSYSSISHVIYNNHQDSSASPRKRPGEATAASSEIKALQQTRRLLANARERTRVHTISAAFEALRKQVPCYSYGQKLSKLAILRIACNYILSLARLADLDYSADHSNLSFSECVQRCTRTLQAEGRAKKRKE
+>DECOY_sp|Q96SQ7|ATOH8_HUMAN Protein atonal homolog 8 OS=Homo sapiens OX=9606 GN=ATOH8 PE=2 SV=2
+EKRKKARGEAQLTRTCRQVCESFSLNSHDASYDLDALRALSLIYNCAIRLIALKSLKQGYSYCPVQKRLAEFAASITHVRTRERANALLRRTQQLAKIESSAATAEGPRKRPSASSDQHNNYIVHSISSYSSEGPRTPPAPRVTSEPPAPPAPPASPAPRAPPACLLIRPRLGPERFPQAEPGGPAQSQPAPPPPPPPTPLGPGVAGLAFCRKRADSVEPARSGGREGATDTGGRPPVAPAVPVPVPVPVPVPQLRHTRDRLGNTAVARPEPAELDLRFTKYGNARRAPEKGKRKLKKLGNLEKVCVTKWPGDELVPIHKM
+>sp|O75947|ATP5H_HUMAN ATP synthase subunit d, mitochondrial OS=Homo sapiens OX=9606 GN=ATP5H PE=1 SV=3
+MAGRKLALKTIDWVAFAEIIPQNQKAIASSLKSWNETLTSRLAALPENPPAIDWAYYKANVAKAGLVDDFEKKFNALKVPVPEDKYTAQVDAEEKEDVKSCAEWVSLSKARIVEYEKEMEKMKNLIPFDQMTIEDLNEAFPETKLDKKKYPYWPHQPIENL
+>DECOY_sp|O75947|ATP5H_HUMAN ATP synthase subunit d, mitochondrial OS=Homo sapiens OX=9606 GN=ATP5H PE=1 SV=3
+LNEIPQHPWYPYKKKDLKTEPFAENLDEITMQDFPILNKMKEMEKEYEVIRAKSLSVWEACSKVDEKEEADVQATYKDEPVPVKLANFKKEFDDVLGAKAVNAKYYAWDIAPPNEPLAALRSTLTENWSKLSSAIAKQNQPIIEAFAVWDITKLALKRGAM
+>sp|P18859|ATP5J_HUMAN ATP synthase-coupling factor 6, mitochondrial OS=Homo sapiens OX=9606 GN=ATP5J PE=1 SV=1
+MILQRLFRFSSVIRSAVSVHLRRNIGVTAVAFNKELDPIQKLFVDKIREYKSKRQTSGGPVDASSEYQQELERELFKLKQMFGNADMNTFPTFKFEDPKFEVIEKPQA
+>DECOY_sp|P18859|ATP5J_HUMAN ATP synthase-coupling factor 6, mitochondrial OS=Homo sapiens OX=9606 GN=ATP5J PE=1 SV=1
+AQPKEIVEFKPDEFKFTPFTNMDANGFMQKLKFLERELEQQYESSADVPGGSTQRKSKYERIKDVFLKQIPDLEKNFAVATVGINRRLHVSVASRIVSSFRFLRQLIM
+>sp|Q5VV63|ATRN1_HUMAN Attractin-like protein 1 OS=Homo sapiens OX=9606 GN=ATRNL1 PE=2 SV=2
+METGGRARTGTPQPAAPGVWRARPAGGGGGGASSWLLDGNSWLLCYGFLYLALYAQVSQSKPCERTGSCFSGRCVNSTCLCDPGWVGDQCQHCQGRFKLTEPSGYLTDGPINYKYKTKCTWLIEGYPNAVLRLRFNHFATECSWDHMYVYDGDSIYAPLIAVLSGLIVPEIRGNETVPEVVTTSGYALLHFFSDAAYNLTGFNIFYSINSCPNNCSGHGKCTTSVSVPSQVYCECDKYWKGEACDIPYCKANCGSPDHGYCDLTGEKLCVCNDSWQGPDCSLNVPSTESYWILPNVKPFSPSVGRASHKAVLHGKFMWVIGGYTFNYSSFQMVLNYNLESSIWNVGTPSRGPLQRYGHSLALYQENIFMYGGRIETNDGNVTDELWVFNIHSQSWSTKTPTVLGHGQQYAVEGHSAHIMELDSRDVVMIIIFGYSAIYGYTSSIQEYHISSNTWLVPETKGAIVQGGYGHTSVYDEITKSIYVHGGYKALPGNKYGLVDDLYKYEVNTKTWTILKESGFARYLHSAVLINGAMLIFGGNTHNDTSLSNGAKCFSADFLAYDIACDEWKILPKPNLHRDVNRFGHSAVVINGSMYIFGGFSSVLLNDILVYKPPNCKAFRDEELCKNAGPGIKCVWNKNHCESWESGNTNNILRAKCPPKTAASDDRCYRYADCASCTANTNGCQWCDDKKCISANSNCSMSVKNYTKCHVRNEQICNKLTSCKSCSLNLNCQWDQRQQECQALPAHLCGEGWSHIGDACLRVNSSRENYDNAKLYCYNLSGNLASLTTSKEVEFVLDEIQKYTQQKVSPWVGLRKINISYWGWEDMSPFTNTTLQWLPGEPNDSGFCAYLERAAVAGLKANPCTSMANGLVCEKPVVSPNQNARPCKKPCSLRTSCSNCTSNGMECMWCSSTKRCVDSNAYIISFPYGQCLEWQTATCSPQNCSGLRTCGQCLEQPGCGWCNDPSNTGRGHCIEGSSRGPMKLIGMHHSEMVLDTNLCPKEKNYEWSFIQCPACQCNGHSTCINNNVCEQCKNLTTGKQCQDCMPGYYGDPTNGGQCTACTCSGHANICHLHTGKCFCTTKGIKGDQCQLCDSENRYVGNPLRGTCYYSLLIDYQFTFSLLQEDDRHHTAINFIANPEQSNKNLDISINASNNFNLNITWSVGSTAGTISGEETSIVSKNNIKEYRDSFSYEKFNFRSNPNITFYVYVSNFSWPIKIQIAFSQHNTIMDLVQFFVTFFSCFLSLLLVAAVVWKIKQTCWASRRREQLLRERQQMASRPFASVDVALEVGAEQTEFLRGPLEGAPKPIAIEPCAGNRAAVLTVFLCLPRGSSGAPPPGQSGLAIASALIDISQQKASDSKDKTSGVRNRKHLSTRQGTCV
+>DECOY_sp|Q5VV63|ATRN1_HUMAN Attractin-like protein 1 OS=Homo sapiens OX=9606 GN=ATRNL1 PE=2 SV=2
+VCTGQRTSLHKRNRVGSTKDKSDSAKQQSIDILASAIALGSQGPPPAGSSGRPLCLFVTLVAARNGACPEIAIPKPAGELPGRLFETQEAGVELAVDVSAFPRSAMQQRERLLQERRRSAWCTQKIKWVVAAVLLLSLFCSFFTVFFQVLDMITNHQSFAIQIKIPWSFNSVYVYFTINPNSRFNFKEYSFSDRYEKINNKSVISTEEGSITGATSGVSWTINLNFNNSANISIDLNKNSQEPNAIFNIATHHRDDEQLLSFTFQYDILLSYYCTGRLPNGVYRNESDCLQCQDGKIGKTTCFCKGTHLHCINAHGSCTCATCQGGNTPDGYYGPMCDQCQKGTTLNKCQECVNNNICTSHGNCQCAPCQIFSWEYNKEKPCLNTDLVMESHHMGILKMPGRSSGEICHGRGTNSPDNCWGCGPQELCQGCTRLGSCNQPSCTATQWELCQGYPFSIIYANSDVCRKTSSCWMCEMGNSTCNSCSTRLSCPKKCPRANQNPSVVPKECVLGNAMSTCPNAKLGAVAARELYACFGSDNPEGPLWQLTTNTFPSMDEWGWYSINIKRLGVWPSVKQQTYKQIEDLVFEVEKSTTLSALNGSLNYCYLKANDYNERSSNVRLCADGIHSWGEGCLHAPLAQCEQQRQDWQCNLNLSCSKCSTLKNCIQENRVHCKTYNKVSMSCNSNASICKKDDCWQCGNTNATCSACDAYRYCRDDSAATKPPCKARLINNTNGSEWSECHNKNWVCKIGPGANKCLEEDRFAKCNPPKYVLIDNLLVSSFGGFIYMSGNIVVASHGFRNVDRHLNPKPLIKWEDCAIDYALFDASFCKAGNSLSTDNHTNGGFILMAGNILVASHLYRAFGSEKLITWTKTNVEYKYLDDVLGYKNGPLAKYGGHVYISKTIEDYVSTHGYGGQVIAGKTEPVLWTNSSIHYEQISSTYGYIASYGFIIIMVVDRSDLEMIHASHGEVAYQQGHGLVTPTKTSWSQSHINFVWLEDTVNGDNTEIRGGYMFINEQYLALSHGYRQLPGRSPTGVNWISSELNYNLVMQFSSYNFTYGGIVWMFKGHLVAKHSARGVSPSFPKVNPLIWYSETSPVNLSCDPGQWSDNCVCLKEGTLDCYGHDPSGCNAKCYPIDCAEGKWYKDCECYVQSPVSVSTTCKGHGSCNNPCSNISYFINFGTLNYAADSFFHLLAYGSTTVVEPVTENGRIEPVILGSLVAILPAYISDGDYVYMHDWSCETAFHNFRLRLVANPYGEILWTCKTKYKYNIPGDTLYGSPETLKFRGQCHQCQDGVWGPDCLCTSNVCRGSFCSGTRECPKSQSVQAYLALYLFGYCLLWSNGDLLWSSAGGGGGGAPRARWVGPAAPQPTGTRARGGTEM
+>sp|O75061|AUXI_HUMAN Putative tyrosine-protein phosphatase auxilin OS=Homo sapiens OX=9606 GN=DNAJC6 PE=1 SV=3
+MKDSENKGASSPDMEPSYGGGLFDMVKGGAGRLFSNLKDNLKDTLKDTSSRVIQSVTSYTKGDLDFTYVTSRIIVMSFPLDNVDIGFRNQVDDIRSFLDSRHLDHYTVYNLSPKSYRTAKFHSRVSECSWPIRQAPSLHNLFAVCRNMYNWLLQNPKNVCVVHCLDGRAASSILVGAMFIFCNLYSTPGPAIRLLYAKRPGIGLSPSHRRYLGYMCDLLADKPYRPHFKPLTIKSITVSPIPFFNKQRNGCRPYCDVLIGETKIYSTCTDFERMKEYRVQDGKIFIPLNITVQGDVVVSMYHLRSTIGSRLQAKVTNTQIFQLQFHTGFIPLDTTVLKFTKPELDACDVPEKYPQLFQVTLDVELQPHDKVIDLTPPWEHYCTKDVNPSILFSSHQEHQDTLALGGQAPIDIPPDNPRHYGQSGFFASLCWQDQKSEKSFCEEDHAALVNQESEQSDDELLTLSSPHGNANGDKPHGVKKPSKKQQEPAAPPPPEDVDLLGLEGSAMSNSFSPPAAPPTNSELLSDLFGGGGAAGPTQAGQSGVEDVFHPSGPASTQSTPRRSATSTSASPTLRVGEGATFDPFGAPSKPSGQDLLGSFLNTSSASSDPFLQPTRSPSPTVHASSTPAVNIQPDVSGGWDWHAKPGGFGMGSKSAATSPTGSSHGTPTHQSKPQTLDPFADLGTLGSSSFASKPTTPTGLGGGFPPLSSPQKASPQPMGGGWQQGGAYNWQQPQPKPQPSMPHSSPQNRPNYNVSFSAMPGGQNERGKGSSNLEGKQKAADFEDLLSGQGFNAHKDKKGPRTIAEMRKEEMAKEMDPEKLKILEWIEGKERNIRALLSTMHTVLWAGETKWKPVGMADLVTPEQVKKVYRKAVLVVHPDKATGQPYEQYAKMIFMELNDAWSEFENQGQKPLY
+>DECOY_sp|O75061|AUXI_HUMAN Putative tyrosine-protein phosphatase auxilin OS=Homo sapiens OX=9606 GN=DNAJC6 PE=1 SV=3
+YLPKQGQNEFESWADNLEMFIMKAYQEYPQGTAKDPHVVLVAKRYVKKVQEPTVLDAMGVPKWKTEGAWLVTHMTSLLARINREKGEIWELIKLKEPDMEKAMEEKRMEAITRPGKKDKHANFGQGSLLDEFDAAKQKGELNSSGKGRENQGGPMASFSVNYNPRNQPSSHPMSPQPKPQPQQWNYAGGQQWGGGMPQPSAKQPSSLPPFGGGLGTPTTPKSAFSSSGLTGLDAFPDLTQPKSQHTPTGHSSGTPSTAASKSGMGFGGPKAHWDWGGSVDPQINVAPTSSAHVTPSPSRTPQLFPDSSASSTNLFSGLLDQGSPKSPAGFPDFTAGEGVRLTPSASTSTASRRPTSQTSAPGSPHFVDEVGSQGAQTPGAAGGGGFLDSLLESNTPPAAPPSFSNSMASGELGLLDVDEPPPPAAPEQQKKSPKKVGHPKDGNANGHPSSLTLLEDDSQESEQNVLAAHDEECFSKESKQDQWCLSAFFGSQGYHRPNDPPIDIPAQGGLALTDQHEQHSSFLISPNVDKTCYHEWPPTLDIVKDHPQLEVDLTVQFLQPYKEPVDCADLEPKTFKLVTTDLPIFGTHFQLQFIQTNTVKAQLRSGITSRLHYMSVVVDGQVTINLPIFIKGDQVRYEKMREFDTCTSYIKTEGILVDCYPRCGNRQKNFFPIPSVTISKITLPKFHPRYPKDALLDCMYGLYRRHSPSLGIGPRKAYLLRIAPGPTSYLNCFIFMAGVLISSAARGDLCHVVCVNKPNQLLWNYMNRCVAFLNHLSPAQRIPWSCESVRSHFKATRYSKPSLNYVTYHDLHRSDLFSRIDDVQNRFGIDVNDLPFSMVIIRSTVYTFDLDGKTYSTVSQIVRSSTDKLTDKLNDKLNSFLRGAGGKVMDFLGGGYSPEMDPSSAGKNESDKM
+>sp|Q5T686|AVPI1_HUMAN Arginine vasopressin-induced protein 1 OS=Homo sapiens OX=9606 GN=AVPI1 PE=1 SV=3
+MGTPASVVSEPPPWQAPIEARGRKQASANIFQDAELLQIQALFQRSGDQLAEERAQIIWECAGDHRVAEALKRLRRKRPPRQKPLGHSLHHCSRLRILEPHSALANPQSATETASSEQYLHSRKKSARIRRNWRKSGPTSYLHQIRH
+>DECOY_sp|Q5T686|AVPI1_HUMAN Arginine vasopressin-induced protein 1 OS=Homo sapiens OX=9606 GN=AVPI1 PE=1 SV=3
+HRIQHLYSTPGSKRWNRRIRASKKRSHLYQESSATETASQPNALASHPELIRLRSCHHLSHGLPKQRPPRKRRLRKLAEAVRHDGACEWIIQAREEALQDGSRQFLAQIQLLEADQFINASAQKRGRAEIPAQWPPPESVVSAPTGM
+>sp|O15144|ARPC2_HUMAN Actin-related protein 2/3 complex subunit 2 OS=Homo sapiens OX=9606 GN=ARPC2 PE=1 SV=1
+MILLEVNNRIIEETLALKFENAAAGNKPEAVEVTFADFDGVLYHISNPNGDKTKVMVSISLKFYKELQAHGADELLKRVYGSFLVNPESGYNVSLLYDLENLPASKDSIVHQAGMLKRNCFASVFEKYFQFQEEGKEGENRAVIHYRDDETMYVESKKDRVTVVFSTVFKDDDDVVIGKVFMQEFKEGRRASHTAPQVLFSHREPPLELKDTDAAVGDNIGYITFVLFPRHTNASARDNTINLIHTFRDYLHYHIKCSKAYIHTRMRAKTSDFLKVLNRARPDAEKKEMKTITGKTFSSR
+>DECOY_sp|O15144|ARPC2_HUMAN Actin-related protein 2/3 complex subunit 2 OS=Homo sapiens OX=9606 GN=ARPC2 PE=1 SV=1
+RSSFTKGTITKMEKKEADPRARNLVKLFDSTKARMRTHIYAKSCKIHYHLYDRFTHILNITNDRASANTHRPFLVFTIYGINDGVAADTDKLELPPERHSFLVQPATHSARRGEKFEQMFVKGIVVDDDDKFVTSFVVTVRDKKSEVYMTEDDRYHIVARNEGEKGEEQFQFYKEFVSAFCNRKLMGAQHVISDKSAPLNELDYLLSVNYGSEPNVLFSGYVRKLLEDAGHAQLEKYFKLSISVMVKTKDGNPNSIHYLVGDFDAFTVEVAEPKNGAAANEFKLALTEEIIRNNVELLIM
+>sp|Q13510|ASAH1_HUMAN Acid ceramidase OS=Homo sapiens OX=9606 GN=ASAH1 PE=1 SV=5
+MPGRSCVALVLLAAAVSCAVAQHAPPWTEDCRKSTYPPSGPTYRGAVPWYTINLDLPPYKRWHELMLDKAPVLKVIVNSLKNMINTFVPSGKIMQVVDEKLPGLLGNFPGPFEEEMKGIAAVTDIPLGEIISFNIFYELFTICTSIVAEDKKGHLIHGRNMDFGVFLGWNINNDTWVITEQLKPLTVNLDFQRNNKTVFKASSFAGYVGMLTGFKPGLFSLTLNERFSINGGYLGILEWILGKKDVMWIGFLTRTVLENSTSYEEAKNLLTKTKILAPAYFILGGNQSGEGCVITRDRKESLDVYELDAKQGRWYVVQTNYDRWKHPFFLDDRRTPAKMCLNRTSQENISFETMYDVLSTKPVLNKLTVYTTLIDVTKGQFETYLRDCPDPCIGW
+>DECOY_sp|Q13510|ASAH1_HUMAN Acid ceramidase OS=Homo sapiens OX=9606 GN=ASAH1 PE=1 SV=5
+WGICPDPCDRLYTEFQGKTVDILTTYVTLKNLVPKTSLVDYMTEFSINEQSTRNLCMKAPTRRDDLFFPHKWRDYNTQVVYWRGQKADLEYVDLSEKRDRTIVCGEGSQNGGLIFYAPALIKTKTLLNKAEEYSTSNELVTRTLFGIWMVDKKGLIWELIGLYGGNISFRENLTLSFLGPKFGTLMGVYGAFSSAKFVTKNNRQFDLNVTLPKLQETIVWTDNNINWGLFVGFDMNRGHILHGKKDEAVISTCITFLEYFINFSIIEGLPIDTVAAIGKMEEEFPGPFNGLLGPLKEDVVQMIKGSPVFTNIMNKLSNVIVKLVPAKDLMLEHWRKYPPLDLNITYWPVAGRYTPGSPPYTSKRCDETWPPAHQAVACSVAAALLVLAVCSRGPM
+>sp|Q495Z4|ASAS1_HUMAN Putative uncharacterized protein ASB16-AS1 OS=Homo sapiens OX=9606 GN=ASB16-AS1 PE=5 SV=2
+MSGPPSAPQGALAAPRSPAVRRKGLQAPSWGSPGRPAAHSPWACGPPHWGPQRGPRNAAAARPGPRSRWHKRCAAACGACARPPGHQLQPPGAGAPQPGVACSYLGPRPQRTPCSAQSRPGWCAGPRRRHAPGTEPHVAPGRAPPPRAGASPGSRLLPGSPSLLLPAATWRTWGQESKVLRKILKAWDPFSLL
+>DECOY_sp|Q495Z4|ASAS1_HUMAN Putative uncharacterized protein ASB16-AS1 OS=Homo sapiens OX=9606 GN=ASB16-AS1 PE=5 SV=2
+LLSFPDWAKLIKRLVKSEQGWTRWTAAPLLLSPSGPLLRSGPSAGARPPPARGPAVHPETGPAHRRRPGACWGPRSQASCPTRQPRPGLYSCAVGPQPAGAGPPQLQHGPPRACAGCAAACRKHWRSRPGPRAAAANRPGRQPGWHPPGCAWPSHAAPRGPSGWSPAQLGKRRVAPSRPAALAGQPASPPGSM
+>sp|Q8WXH4|ASB11_HUMAN Ankyrin repeat and SOCS box protein 11 OS=Homo sapiens OX=9606 GN=ASB11 PE=1 SV=1
+MEDGPVFYGFKNIFITMFATFFFFKLLIKVFLALLTHFYIVKGNRKEAARIAEEIYGGISDCWADRSPLHEAAAQGRLLALKTLIAQGVNVNLVTINRVSSLHEACLGGHVACAKALLENGAHVNGVTVHGATPLFNACCSGSAACVNVLLEFGAKAQLEVHLASPIHEAVKRGHRECMEILLANNVNIDHEVPQLGTPLYVACTYQRVDCVKKLLELGASVDHGQWLDTPLHAAARQSNVEVIHLLTDYGANLKRRNAQGKSALDLAAPKSSVEQALLLREGPPALSQLCRLCVRKCLGRACHQAIHKLHLPEPLERFLLYQ
+>DECOY_sp|Q8WXH4|ASB11_HUMAN Ankyrin repeat and SOCS box protein 11 OS=Homo sapiens OX=9606 GN=ASB11 PE=1 SV=1
+QYLLFRELPEPLHLKHIAQHCARGLCKRVCLRCLQSLAPPGERLLLAQEVSSKPAALDLASKGQANRRKLNAGYDTLLHIVEVNSQRAAAHLPTDLWQGHDVSAGLELLKKVCDVRQYTCAVYLPTGLQPVEHDINVNNALLIEMCERHGRKVAEHIPSALHVELQAKAGFELLVNVCAASGSCCANFLPTAGHVTVGNVHAGNELLAKACAVHGGLCAEHLSSVRNITVLNVNVGQAILTKLALLRGQAAAEHLPSRDAWCDSIGGYIEEAIRAAEKRNGKVIYFHTLLALFVKILLKFFFFTAFMTIFINKFGYFVPGDEM
+>sp|Q96NS5|ASB16_HUMAN Ankyrin repeat and SOCS box protein 16 OS=Homo sapiens OX=9606 GN=ASB16 PE=1 SV=2
+MARETFPFTSSMLRSLRLQQEWLEWEDRRRAAAQQCRSRRCPSSPRARLTRPHRSCRDPAVHQALFSGNLQQVQALFQDEEAANMIVETVSNQLAWSAEQGFWVLTPKTKQTAPLAIATARGYTDCARHLIRQGAELDARVGGRAALHEACARAQFDCVRLLLTFGAKANVLTEEGTTPLHLCTIPESLQCAKLLLEAGATVNLAAGESQETPLHVAAARGLEQHVALYLEHGADVGLRTSQGETALNTACAGAEGPGSCRRHQAAARRLLEAGADARAAGRKRHTPLHNACANGCGGLAELLLRYGARAEVPNGAGHTPMDCALQAVQDSPNWEPEVLFAALLDYGAQPVRPEMLKHCANFPRALEVLLNAYPCVPSCETWVEAVLPELWKEHEAFYSSALCMVNQPRQLQHLARLAVRARLGSRCRQGATRLPLPPLLRDYLLLRVEGCIQ
+>DECOY_sp|Q96NS5|ASB16_HUMAN Ankyrin repeat and SOCS box protein 16 OS=Homo sapiens OX=9606 GN=ASB16 PE=1 SV=2
+QICGEVRLLLYDRLLPPLPLRTAGQRCRSGLRARVALRALHQLQRPQNVMCLASSYFAEHEKWLEPLVAEVWTECSPVCPYANLLVELARPFNACHKLMEPRVPQAGYDLLAAFLVEPEWNPSDQVAQLACDMPTHGAGNPVEARAGYRLLLEALGGCGNACANHLPTHRKRGAARADAGAELLRRAAAQHRRCSGPGEAGACATNLATEGQSTRLGVDAGHELYLAVHQELGRAAAVHLPTEQSEGAALNVTAGAELLLKACQLSEPITCLHLPTTGEETLVNAKAGFTLLLRVCDFQARACAEHLAARGGVRADLEAGQRILHRACDTYGRATAIALPATQKTKPTLVWFGQEASWALQNSVTEVIMNAAEEDQFLAQVQQLNGSFLAQHVAPDRCSRHPRTLRARPSSPCRRSRCQQAAARRRDEWELWEQQLRLSRLMSSTFPFTERAM
+>sp|Q8WWX0|ASB5_HUMAN Ankyrin repeat and SOCS box protein 5 OS=Homo sapiens OX=9606 GN=ASB5 PE=2 SV=1
+MSVLEENRPFAQQLSNVYFTILSLFCFKLFVKISLAILSHFYIVKGNRKEAARIAAEFYGVTQGQGSWADRSPLHEAASQGRLLALRTLLSQGYNVNAVTLDHVTPLHEACLGDHVACARTLLEAGANVNAITIDGVTPLFNACSQGSPSCAELLLEYGAKAQLESCLPSPTHEAASKGHHECLDILISWGIDVDQEIPHLGTPLYVACMSQQFHCIWKLLYAGADVQKGKYWDTPLHAAAQQSSTEIVNLLLEFGADINAKNTELLRPIDVATSSSMVERILLQHEATPSSLYQLCRLCIRSYIGKPRLHLIPQLQLPTLLKNFLQYR
+>DECOY_sp|Q8WWX0|ASB5_HUMAN Ankyrin repeat and SOCS box protein 5 OS=Homo sapiens OX=9606 GN=ASB5 PE=2 SV=1
+RYQLFNKLLTPLQLQPILHLRPKGIYSRICLRCLQYLSSPTAEHQLLIREVMSSSTAVDIPRLLETNKANIDAGFELLLNVIETSSQQAAAHLPTDWYKGKQVDAGAYLLKWICHFQQSMCAVYLPTGLHPIEQDVDIGWSILIDLCEHHGKSAAEHTPSPLCSELQAKAGYELLLEACSPSGQSCANFLPTVGDITIANVNAGAELLTRACAVHDGLCAEHLPTVHDLTVANVNYGQSLLTRLALLRGQSAAEHLPSRDAWSGQGQTVGYFEAAIRAAEKRNGKVIYFHSLIALSIKVFLKFCFLSLITFYVNSLQQAFPRNEELVSM
+>sp|Q96DX5|ASB9_HUMAN Ankyrin repeat and SOCS box protein 9 OS=Homo sapiens OX=9606 GN=ASB9 PE=1 SV=1
+MDGKQGGMDGSKPAGPRDFPGIRLLSNPLMGDAVSDWSPMHEAAIHGHQLSLRNLISQGWAVNIITADHVSPLHEACLGGHLSCVKILLKHGAQVNGVTADWHTPLFNACVSGSWDCVNLLLQHGASVQPESDLASPIHEAARRGHVECVNSLIAYGGNIDHKISHLGTPLYLACENQQRACVKKLLESGADVNQGKGQDSPLHAVARTASEELACLLMDFGADTQAKNAEGKRPVELVPPESPLAQLFLEREGPPSLMQLCRLRIRKCFGIQQHHKITKLVLPEDLKQFLLHL
+>DECOY_sp|Q96DX5|ASB9_HUMAN Ankyrin repeat and SOCS box protein 9 OS=Homo sapiens OX=9606 GN=ASB9 PE=1 SV=1
+LHLLFQKLDEPLVLKTIKHHQQIGFCKRIRLRCLQMLSPPGERELFLQALPSEPPVLEVPRKGEANKAQTDAGFDMLLCALEESATRAVAHLPSDQGKGQNVDAGSELLKKVCARQQNECALYLPTGLHSIKHDINGGYAILSNVCEVHGRRAAEHIPSALDSEPQVSAGHQLLLNVCDWSGSVCANFLPTHWDATVGNVQAGHKLLIKVCSLHGGLCAEHLPSVHDATIINVAWGQSILNRLSLQHGHIAAEHMPSWDSVADGMLPNSLLRIGPFDRPGAPKSGDMGGQKGDM
+>sp|Q9NQ33|ASCL3_HUMAN Achaete-scute homolog 3 OS=Homo sapiens OX=9606 GN=ASCL3 PE=2 SV=2
+MDNRGNSSLPDKLPIFPDSARLPLTRSFYLEPMVTFHVHPEAPVSSPYSEELPRLPFPSDSLILGNYSEPCPFSFPMPYPNYRGCEYSYGPAFTRKRNERERQRVKCVNEGYAQLRHHLPEEYLEKRLSKVETLRAAIKYINYLQSLLYPDKAETKNNPGKVSSMIATTSHHADPMFRIV
+>DECOY_sp|Q9NQ33|ASCL3_HUMAN Achaete-scute homolog 3 OS=Homo sapiens OX=9606 GN=ASCL3 PE=2 SV=2
+VIRFMPDAHHSTTAIMSSVKGPNNKTEAKDPYLLSQLYNIYKIAARLTEVKSLRKELYEEPLHHRLQAYGENVCKVRQRERENRKRTFAPGYSYECGRYNPYPMPFSFPCPESYNGLILSDSPFPLRPLEESYPSSVPAEPHVHFTVMPELYFSRTLPLRASDPFIPLKDPLSSNGRNDM
+>sp|Q9UBL3|ASH2L_HUMAN Set1/Ash2 histone methyltransferase complex subunit ASH2 OS=Homo sapiens OX=9606 GN=ASH2L PE=1 SV=1
+MAAAGAGPGQEAGAGPGPGAVANATGAEEGEMKPVAAGAAAPPGEGISAAPTVEPSSGEAEGGEANLVDVSGGLETESSNGKDTLEGAGDTSEVMDTQAGSVDEENGRQLGEVELQCGICTKWFTADTFGIDTSSCLPFMTNYSFHCNVCHHSGNTYFLRKQANLKEMCLSALANLTWQSRTQDEHPKTMFSKDKDIIPFIDKYWECMTTRQRPGKMTWPNNIVKTMSKERDVFLVKEHPDPGSKDPEEDYPKFGLLDQDLSNIGPAYDNQKQSSAVSTSGNLNGGIAAGSSGKGRGAKRKQQDGGTTGTTKKARSDPLFSAQRLPPHGYPLEHPFNKDGYRYILAEPDPHAPDPEKLELDCWAGKPIPGDLYRACLYERVLLALHDRAPQLKISDDRLTVVGEKGYSMVRASHGVRKGAWYFEITVDEMPPDTAARLGWSQPLGNLQAPLGYDKFSYSWRSKKGTKFHQSIGKHYSSGYGQGDVLGFYINLPEDTETAKSLPDTYKDKALIKFKSYLYFEEKDFVDKAEKSLKQTPHSEIIFYKNGVNQGVAYKDIFEGVYFPAISLYKSCTVSINFGPCFKYPPKDLTYRPMSDMGWGAVVEHTLADVLYHVETEVDGRRSPPWEP
+>DECOY_sp|Q9UBL3|ASH2L_HUMAN Set1/Ash2 histone methyltransferase complex subunit ASH2 OS=Homo sapiens OX=9606 GN=ASH2L PE=1 SV=1
+PEWPPSRRGDVETEVHYLVDALTHEVVAGWGMDSMPRYTLDKPPYKFCPGFNISVTCSKYLSIAPFYVGEFIDKYAVGQNVGNKYFIIESHPTQKLSKEAKDVFDKEEFYLYSKFKILAKDKYTDPLSKATETDEPLNIYFGLVDGQGYGSSYHKGISQHFKTGKKSRWSYSFKDYGLPAQLNGLPQSWGLRAATDPPMEDVTIEFYWAGKRVGHSARVMSYGKEGVVTLRDDSIKLQPARDHLALLVREYLCARYLDGPIPKGAWCDLELKEPDPAHPDPEALIYRYGDKNFPHELPYGHPPLRQASFLPDSRAKKTTGTTGGDQQKRKAGRGKGSSGAAIGGNLNGSTSVASSQKQNDYAPGINSLDQDLLGFKPYDEEPDKSGPDPHEKVLFVDREKSMTKVINNPWTMKGPRQRTTMCEWYKDIFPIIDKDKSFMTKPHEDQTRSQWTLNALASLCMEKLNAQKRLFYTNGSHHCVNCHFSYNTMFPLCSSTDIGFTDATFWKTCIGCQLEVEGLQRGNEEDVSGAQTDMVESTDGAGELTDKGNSSETELGGSVDVLNAEGGEAEGSSPEVTPAASIGEGPPAAAGAAVPKMEGEEAGTANAVAGPGPGAGAEQGPGAGAAAM
+>sp|Q9NY37|ASIC5_HUMAN Acid-sensing ion channel 5 OS=Homo sapiens OX=9606 GN=ASIC5 PE=1 SV=1
+MEQTEKSKVYAENGLLEKIKLCLSKKPLPSPTERKKFDHDFAISTSFHGIHNIVQNRSKIRRVLWLVVVLGSVSLVTWQIYIRLLNYFTWPTTTSIEVQYVEKMEFPAVTFCNLNRFQTDAVAKFGVIFFLWHIVSKVLHLQEITANSTGSREATDFAASHQNFSIVEFIRNKGFYLNNSTLLDCEFFGKPCSPKDFAHVFTEYGNCFTFNHGETLQAKRKVSVSGRGLSLLFNVNQEAFTDNPALGFVDAGIIFVIHSPKKVPQFDGLGLLSPVGMHARVTIRQVKTVHQEYPWGECNPNIKLQNFSSYSTSGCLKECKAQHIKKQCGCVPFLLPGYGIECDLQKYFSCVSPVLDHIEFKDLCTVGTHNSSCPVSCEEIEYPATISYSSFPSQKALKYLSKKLNQSRKYIRENLVKIEINYSDLNYKITQQQKAVSVSELLADLGGQLGLFCGASLITIIEIIEYLFTNFYWICIFFLLKISEMTQWTPPPQNHLGNKNRIEEC
+>DECOY_sp|Q9NY37|ASIC5_HUMAN Acid-sensing ion channel 5 OS=Homo sapiens OX=9606 GN=ASIC5 PE=1 SV=1
+CEEIRNKNGLHNQPPPTWQTMESIKLLFFICIWYFNTFLYEIIEIITILSAGCFLGLQGGLDALLESVSVAKQQQTIKYNLDSYNIEIKVLNERIYKRSQNLKKSLYKLAKQSPFSSYSITAPYEIEECSVPCSSNHTGVTCLDKFEIHDLVPSVCSFYKQLDCEIGYGPLLFPVCGCQKKIHQAKCEKLCGSTSYSSFNQLKINPNCEGWPYEQHVTKVQRITVRAHMGVPSLLGLGDFQPVKKPSHIVFIIGADVFGLAPNDTFAEQNVNFLLSLGRGSVSVKRKAQLTEGHNFTFCNGYETFVHAFDKPSCPKGFFECDLLTSNNLYFGKNRIFEVISFNQHSAAFDTAERSGTSNATIEQLHLVKSVIHWLFFIVGFKAVADTQFRNLNCFTVAPFEMKEVYQVEISTTTPWTFYNLLRIYIQWTVLSVSGLVVVLWLVRRIKSRNQVINHIGHFSTSIAFDHDFKKRETPSPLPKKSLCLKIKELLGNEAYVKSKETQEM
+>sp|Q12797|ASPH_HUMAN Aspartyl/asparaginyl beta-hydroxylase OS=Homo sapiens OX=9606 GN=ASPH PE=1 SV=3
+MAQRKNAKSSGNSSSSGSGSGSTSAGSSSPGARRETKHGGHKNGRKGGLSGTSFFTWFMVIALLGVWTSVAVVWFDLVDYEEVLGKLGIYDADGDGDFDVDDAKVLLGLKERSTSEPAVPPEEAEPHTEPEEQVPVEAEPQNIEDEAKEQIQSLLHEMVHAEHVEGEDLQQEDGPTGEPQQEDDEFLMATDVDDRFETLEPEVSHEETEHSYHVEETVSQDCNQDMEEMMSEQENPDSSEPVVEDERLHHDTDDVTYQVYEEQAVYEPLENEGIEITEVTAPPEDNPVEDSQVIVEEVSIFPVEEQQEVPPETNRKTDDPEQKAKVKKKKPKLLNKFDKTIKAELDAAEKLRKRGKIEEAVNAFKELVRKYPQSPRARYGKAQCEDDLAEKRRSNEVLRGAIETYQEVASLPDVPADLLKLSLKRRSDRQQFLGHMRGSLLTLQRLVQLFPNDTSLKNDLGVGYLLIGDNDNAKKVYEEVLSVTPNDGFAKVHYGFILKAQNKIAESIPYLKEGIESGDPGTDDGRFYFHLGDAMQRVGNKEAYKWYELGHKRGHFASVWQRSLYNVNGLKAQPWWTPKETGYTELVKSLERNWKLIRDEGLAVMDKAKGLFLPEDENLREKGDWSQFTLWQQGRRNENACKGAPKTCTLLEKFPETTGCRRGQIKYSIMHPGTHVWPHTGPTNCRLRMHLGLVIPKEGCKIRCANETKTWEEGKVLIFDDSFEHEVWQDASSFRLIFIVDVWHPELTPQQRRSLPAI
+>DECOY_sp|Q12797|ASPH_HUMAN Aspartyl/asparaginyl beta-hydroxylase OS=Homo sapiens OX=9606 GN=ASPH PE=1 SV=3
+IAPLSRRQQPTLEPHWVDVIFILRFSSADQWVEHEFSDDFILVKGEEWTKTENACRIKCGEKPIVLGLHMRLRCNTPGTHPWVHTGPHMISYKIQGRRCGTTEPFKELLTCTKPAGKCANENRRGQQWLTFQSWDGKERLNEDEPLFLGKAKDMVALGEDRILKWNRELSKVLETYGTEKPTWWPQAKLGNVNYLSRQWVSAFHGRKHGLEYWKYAEKNGVRQMADGLHFYFRGDDTGPDGSEIGEKLYPISEAIKNQAKLIFGYHVKAFGDNPTVSLVEEYVKKANDNDGILLYGVGLDNKLSTDNPFLQVLRQLTLLSGRMHGLFQQRDSRRKLSLKLLDAPVDPLSAVEQYTEIAGRLVENSRRKEALDDECQAKGYRARPSQPYKRVLEKFANVAEEIKGRKRLKEAADLEAKITKDFKNLLKPKKKKVKAKQEPDDTKRNTEPPVEQQEEVPFISVEEVIVQSDEVPNDEPPATVETIEIGENELPEYVAQEEYVQYTVDDTDHHLREDEVVPESSDPNEQESMMEEMDQNCDQSVTEEVHYSHETEEHSVEPELTEFRDDVDTAMLFEDDEQQPEGTPGDEQQLDEGEVHEAHVMEHLLSQIQEKAEDEINQPEAEVPVQEEPETHPEAEEPPVAPESTSREKLGLLVKADDVDFDGDGDADYIGLKGLVEEYDVLDFWVVAVSTWVGLLAIVMFWTFFSTGSLGGKRGNKHGGHKTERRAGPSSSGASTSGSGSGSSSSNGSSKANKRQAM
+>sp|Q8IZT6|ASPM_HUMAN Abnormal spindle-like microcephaly-associated protein OS=Homo sapiens OX=9606 GN=ASPM PE=1 SV=2
+MANRRVGRGCWEVSPTERRPPAGLRGPAAEEEASSPPVLSLSHFCRSPFLCFGDVLLGASRTLSLALDNPNEEVAEVKISHFPAADLGFSVSQRCFVLQPKEKIVISVNWTPLKEGRVREIMTFLVNDVLKHQAILLGNAEEQKKKKRSLWDTIKKKKISASTSHNRRVSNIQNVNKTFSVSQKVDRVRSPLQACENLAMNEGGPPTENNSLILEENKIPISPISPAFNECHGATCLPLSVRRSTTYSSLHASENRELLNVHSANVSKVSFNEKAVTETSFNSVNVNGQRGENSKLSLTPNCSSTLNITQSQIHFLSPDSFVNNSHGANNELELVTCLSSDMFMKDNSQPVHLESTIAHEIYQKILSPDSFIKDNYGLNQDLESESVNPILSPNQFLKDNMAYMCTSQQTCKVPLSNENSQVPQSPEDWRKSEVSPRIPECQGSKSPKAIFEELVEMKSNYYSFIKQNNPKFSAVQDISSHSHNKQPKRRPILSATVTKRKATCTRENQTEINKPKAKRCLNSAVGEHEKVINNQKEKEDFHSYLPIIDPILSKSKSYKNEVTPSSTTASVARKRKSDGSMEDANVRVAITEHTEVREIKRIHFSPSEPKTSAVKKTKNVTTPISKRISNREKLNLKKKTDLSIFRTPISKTNKRTKPIIAVAQSSLTFIKPLKTDIPRHPMPFAAKNMFYDERWKEKQEQGFTWWLNFILTPDDFTVKTNISEVNAATLLLGIENQHKISVPRAPTKEEMSLRAYTARCRLNRLRRAACRLFTSEKMVKAIKKLEIEIEARRLIVRKDRHLWKDVGERQKVLNWLLSYNPLWLRIGLETTYGELISLEDNSDVTGLAMFILNRLLWNPDIAAEYRHPTVPHLYRDGHEEALSKFTLKKLLLLVCFLDYAKISRLIDHDPCLFCKDAEFKASKEILLAFSRDFLSGEGDLSRHLGLLGLPVNHVQTPFDEFDFAVTNLAVDLQCGVRLVRTMELLTQNWDLSKKLRIPAISRLQKMHNVDIVLQVLKSRGIELSDEHGNTILSKDIVDRHREKTLRLLWKIAFAFQVDISLNLDQLKEEIAFLKHTKSIKKTISLLSCHSDDLINKKKGKRDSGSFEQYSENIKLLMDWVNAVCAFYNKKVENFTVSFSDGRVLCYLIHHYHPCYVPFDAICQRTTQTVECTQTGSVVLNSSSESDDSSLDMSLKAFDHENTSELYKELLENEKKNFHLVRSAVRDLGGIPAMINHSDMSNTIPDEKVVITYLSFLCARLLDLRKEIRAARLIQTTWRKYKLKTDLKRHQEREKAARIIQLAVINFLAKQRLRKRVNAALVIQKYWRRVLAQRKLLMLKKEKLEKVQNKAASLIQGYWRRYSTRQRFLKLKYYSIILQSRIRMIIAVTSYKRYLWATVTIQRHWRAYLRRKQDQQRYEMLKSSTLIIQSMFRKWKQRKMQSQVKATVILQRAFREWHLRKQAKEENSAIIIQSWYRMHKELRKYIYIRSCVVIIQKRFRCFQAQKLYKRRKESILTIQKYYKAYLKGKIERTNYLQKRAAAIQLQAAFRRLKAHNLCRQIRAACVIQSYWRMRQDRVRFLNLKKTIIKFQAHVRKHQQRQKYKKMKKAAVIIQTHFRAYIFAMKVLASYQKTRSAVIVLQSAYRGMQARKMYIHILTSVIKIQSYYRAYVSKKEFLSLKNATIKLQSTVKMKQTRKQYLHLRAAALFIQQCYRSKKIAAQKREEYMQMRESCIKLQAFVRGYLVRKQMRLQRKAVISLQSYFRMRKARQYYLKMYKAIIVIQNYYHAYKAQVNQRKNFLQVKKAATCLQAAYRGYKVRQLIKQQSIAALKIQSAFRGYNKRVKYQSVLQSIIKIQRWYRAYKTLHDTRTHFLKTKAAVISLQSAYRGWKVRKQIRREHQAALKIQSAFRMAKAQKQFRLFKTAALVIQQNFRAWTAGRKQCMEYIELRHAVLVLQSMWKGKTLRRQLQRQHKCAIIIQSYYRMHVQQKKWKIMKKAALLIQKYYRAYSIGREQNHLYLKTKAAVVTLQSAYRGMKVRKRIKDCNKAAVTIQSKYRAYKTKKKYATYRASAIIIQRWYRGIKITNHQHKEYLNLKKTAIKIQSVYRGIRVRRHIQHMHRAATFIKAMFKMHQSRISYHTMRKAAIVIQVRCRAYYQGKMQREKYLTILKAVKVLQASFRGVRVRRTLRKMQTAATLIQSNYRRYRQQTYFNKLKKITKTVQQRYWAMKERNIQFQRYNKLRHSVIYIQAIFRGKKARRHLKMMHIAATLIQRRFRTLMMRRRFLSLKKTAILIQRKYRAHLCTKHHLQFLQVQNAVIKIQSSYRRWMIRKRMREMHRAATFIQSTFRMHRLHMRYQALKQASVVIQQQYQANRAAKLQRQHYLRQRHSAVILQAAFRGMKTRRHLKSMHSSATLIQSRFRSLLVRRRFISLKKATIFVQRKYRATICAKHKLYQFLHLRKAAITIQSSYRRLMVKKKLQEMQRAAVLIQATFRMYRTYITFQTWKHASILIQQHYRTYRAAKLQRENYIRQWHSAVVIQAAYKGMKARQLLREKHKASIVIQSTYRMYRQYCFYQKLQWATKIIQEKYRANKKKQKVFQHNELKKETCVQAGFQDMNIKKQIQEQHQAAIIIQKHCKAFKIRKHYLHLRATVVSIQRRYRKLTAVRTQAVICIQSYYRGFKVRKDIQNMHRAATLIQSFYRMHRAKVDYETKKTAIVVIQNYYRLYVRVKTERKNFLAVQKSVRTIQAAFRGMKVRQKLKNVSEEKMAAIVNQSALCCYRSKTQYEAVQSEGVMIQEWYKASGLACSQEAEYHSQSRAAVTIQKAFCRMVTRKLETQKCAALRIQFFLQMAVYRRRFVQQKRAAITLQHYFRTWQTRKQFLLYRKAAVVLQNHYRAFLSAKHQRQVYLQIRSSVIIIQARSKGFIQKRKFQEIKNSTIKIQAMWRRYRAKKYLCKVKAACKIQAWYRCWRAHKEYLAILKAVKIIQGCFYTKLERTRFLNVRASAIIIQRKWRAILPAKIAHEHFLMIKRHRAACLIQAHYRGYKGRQVFLRQKSAALIIQKYIRAREAGKHERIKYIEFKKSTVILQALVRGWLVRKRFLEQRAKIRLLHFTAAAYYHLNAVRIQRAYKLYLAVKNANKQVNSVICIQRWFRARLQEKRFIQKYHSIKKIEHEGQECLSQRNRAASVIQKAVRHFLLRKKQEKFTSGIIKIQALWRGYSWRKKNDCTKIKAIRLSLQVVNREIREENKLYKRTALALHYLLTYKHLSAILEALKHLEVVTRLSPLCCENMAQSGAISKIFVLIRSCNRSIPCMEVIRYAVQVLLNVSKYEKTTSAVYDVENCIDILLELLQIYREKPGNKVADKGGSIFTKTCCLLAILLKTTNRASDVRSRSKVVDRIYSLYKLTAHKHKMNTERILYKQKKNSSISIPFIPETPVRTRIVSRLKPDWVLRRDNMEEITNPLQAIQMVMDTLGIPY
+>DECOY_sp|Q8IZT6|ASPM_HUMAN Abnormal spindle-like microcephaly-associated protein OS=Homo sapiens OX=9606 GN=ASPM PE=1 SV=2
+YPIGLTDMVMQIAQLPNTIEEMNDRRLVWDPKLRSVIRTRVPTEPIFPISISSNKKQKYLIRETNMKHKHATLKYLSYIRDVVKSRSRVDSARNTTKLLIALLCCTKTFISGGKDAVKNGPKERYIQLLELLIDICNEVDYVASTTKEYKSVNLLVQVAYRIVEMCPISRNCSRILVFIKSIAGSQAMNECCLPSLRTVVELHKLAELIASLHKYTLLYHLALATRKYLKNEERIERNVVQLSLRIAKIKTCDNKKRWSYGRWLAQIKIIGSTFKEQKKRLLFHRVAKQIVSAARNRQSLCEQGEHEIKKISHYKQIFRKEQLRARFWRQICIVSNVQKNANKVALYLKYARQIRVANLHYYAAATFHLLRIKARQELFRKRVLWGRVLAQLIVTSKKFEIYKIREHKGAERARIYKQIILAASKQRLFVQRGKYGRYHAQILCAARHRKIMLFHEHAIKAPLIARWKRQIIIASARVNLFRTRELKTYFCGQIIKVAKLIALYEKHARWCRYWAQIKCAAKVKCLYKKARYRRWMAQIKITSNKIEQFKRKQIFGKSRAQIIIVSSRIQLYVQRQHKASLFARYHNQLVVAAKRYLLFQKRTQWTRFYHQLTIAARKQQVFRRRYVAMQLFFQIRLAACKQTELKRTVMRCFAKQITVAARSQSHYEAEQSCALGSAKYWEQIMVGESQVAEYQTKSRYCCLASQNVIAAMKEESVNKLKQRVKMGRFAAQITRVSKQVALFNKRETKVRVYLRYYNQIVVIATKKTEYDVKARHMRYFSQILTAARHMNQIDKRVKFGRYYSQICIVAQTRVATLKRYRRQISVVTARLHLYHKRIKFAKCHKQIIIAAQHQEQIQKKINMDQFGAQVCTEKKLENHQFVKQKKKNARYKEQIIKTAWQLKQYFCYQRYMRYTSQIVISAKHKERLLQRAKMGKYAAQIVVASHWQRIYNERQLKAARYTRYHQQILISAHKWTQFTIYTRYMRFTAQILVAARQMEQLKKKVMLRRYSSQITIAAKRLHLFQYLKHKACITARYKRQVFITAKKLSIFRRRVLLSRFRSQILTASSHMSKLHRRTKMGRFAAQLIVASHRQRLYHQRQLKAARNAQYQQQIVVSAQKLAQYRMHLRHMRFTSQIFTAARHMERMRKRIMWRRYSSQIKIVANQVQLFQLHHKTCLHARYKRQILIATKKLSLFRRRMMLTRFRRQILTAAIHMMKLHRRAKKGRFIAQIYIVSHRLKNYRQFQINREKMAWYRQQVTKTIKKLKNFYTQQRYRRYNSQILTAATQMKRLTRRVRVGRFSAQLVKVAKLITLYKERQMKGQYYARCRVQIVIAAKRMTHYSIRSQHMKFMAKIFTAARHMHQIHRRVRIGRYVSQIKIATKKLNLYEKHQHNTIKIGRYWRQIIIASARYTAYKKKTKYARYKSQITVAAKNCDKIRKRVKMGRYASQLTVVAAKTKLYLHNQERGISYARYYKQILLAAKKMIKWKKQQVHMRYYSQIIIACKHQRQLQRRLTKGKWMSQLVLVAHRLEIYEMCQKRGATWARFNQQIVLAATKFLRFQKQAKAMRFASQIKLAAQHERRIQKRVKWGRYASQLSIVAAKTKLFHTRTDHLTKYARYWRQIKIISQLVSQYKVRKNYGRFASQIKLAAISQQKILQRVKYGRYAAQLCTAAKKVQLFNKRQNVQAKYAHYYNQIVIIAKYMKLYYQRAKRMRFYSQLSIVAKRQLRMQKRVLYGRVFAQLKICSERMQMYEERKQAAIKKSRYCQQIFLAAARLHLYQKRTQKMKVTSQLKITANKLSLFEKKSVYARYYSQIKIVSTLIHIYMKRAQMGRYASQLVIVASRTKQYSALVKMAFIYARFHTQIIVAAKKMKKYKQRQQHKRVHAQFKIITKKLNLFRVRDQRMRWYSQIVCAARIQRCLNHAKLRRFAAQLQIAAARKQLYNTREIKGKLYAKYYKQITLISEKRRKYLKQAQFCRFRKQIIVVCSRIYIYKRLEKHMRYWSQIIIASNEEKAQKRLHWERFARQLIVTAKVQSQMKRQKWKRFMSQIILTSSKLMEYRQQDQKRRLYARWHRQITVTAWLYRKYSTVAIIMRIRSQLIISYYKLKLFRQRTSYRRWYGQILSAAKNQVKELKEKKLMLLKRQALVRRWYKQIVLAANVRKRLRQKALFNIVALQIIRAAKEREQHRKLDTKLKYKRWTTQILRAARIEKRLDLLRACLFSLYTIVVKEDPITNSMDSHNIMAPIGGLDRVASRVLHFNKKENELLEKYLESTNEHDFAKLSMDLSSDDSESSSNLVVSGTQTCEVTQTTRQCIADFPVYCPHYHHILYCLVRGDSFSVTFNEVKKNYFACVANVWDMLLKINESYQEFSGSDRKGKKKNILDDSHCSLLSITKKISKTHKLFAIEEKLQDLNLSIDVQFAFAIKWLLRLTKERHRDVIDKSLITNGHEDSLEIGRSKLVQLVIDVNHMKQLRSIAPIRLKKSLDWNQTLLEMTRVLRVGCQLDVALNTVAFDFEDFPTQVHNVPLGLLGLHRSLDGEGSLFDRSFALLIEKSAKFEADKCFLCPDHDILRSIKAYDLFCVLLLLKKLTFKSLAEEHGDRYLHPVTPHRYEAAIDPNWLLRNLIFMALGTVDSNDELSILEGYTTELGIRLWLPNYSLLWNLVKQREGVDKWLHRDKRVILRRAEIEIELKKIAKVMKESTFLRCAARRLRNLRCRATYARLSMEEKTPARPVSIKHQNEIGLLLTAANVESINTKVTFDDPTLIFNLWWTFGQEQKEKWREDYFMNKAAFPMPHRPIDTKLPKIFTLSSQAVAIIPKTRKNTKSIPTRFISLDTKKKLNLKERNSIRKSIPTTVNKTKKVASTKPESPSFHIRKIERVETHETIAVRVNADEMSGDSKRKRAVSATTSSPTVENKYSKSKSLIPDIIPLYSHFDEKEKQNNIVKEHEGVASNLCRKAKPKNIETQNERTCTAKRKTVTASLIPRRKPQKNHSHSSIDQVASFKPNNQKIFSYYNSKMEVLEEFIAKPSKSGQCEPIRPSVESKRWDEPSQPVQSNENSLPVKCTQQSTCMYAMNDKLFQNPSLIPNVSESELDQNLGYNDKIFSDPSLIKQYIEHAITSELHVPQSNDKMFMDSSLCTVLELENNAGHSNNVFSDPSLFHIQSQTINLTSSCNPTLSLKSNEGRQGNVNVSNFSTETVAKENFSVKSVNASHVNLLERNESAHLSSYTTSRRVSLPLCTAGHCENFAPSIPSIPIKNEELILSNNETPPGGENMALNECAQLPSRVRDVKQSVSFTKNVNQINSVRRNHSTSASIKKKKITDWLSRKKKKQEEANGLLIAQHKLVDNVLFTMIERVRGEKLPTWNVSIVIKEKPQLVFCRQSVSFGLDAAPFHSIKVEAVEENPNDLALSLTRSAGLLVDGFCLFPSRCFHSLSLVPPSSAEEEAAPGRLGAPPRRETPSVEWCGRGVRRNAM
+>sp|Q9BXN1|ASPN_HUMAN Asporin OS=Homo sapiens OX=9606 GN=ASPN PE=1 SV=2
+MKEYVLLLFLALCSAKPFFSPSHIALKNMMLKDMEDTDDDDDDDDDDDDDDEDNSLFPTREPRSHFFPFDLFPMCPFGCQCYSRVVHCSDLGLTSVPTNIPFDTRMLDLQNNKIKEIKENDFKGLTSLYGLILNNNKLTKIHPKAFLTTKKLRRLYLSHNQLSEIPLNLPKSLAELRIHENKVKKIQKDTFKGMNALHVLEMSANPLDNNGIEPGAFEGVTVFHIRIAEAKLTSVPKGLPPTLLELHLDYNKISTVELEDFKRYKELQRLGLGNNKITDIENGSLANIPRVREIHLENNKLKKIPSGLPELKYLQIIFLHSNSIARVGVNDFCPTVPKMKKSLYSAISLFNNPVKYWEMQPATFRCVLSRMSVQLGNFGM
+>DECOY_sp|Q9BXN1|ASPN_HUMAN Asporin OS=Homo sapiens OX=9606 GN=ASPN PE=1 SV=2
+MGFNGLQVSMRSLVCRFTAPQMEWYKVPNNFLSIASYLSKKMKPVTPCFDNVGVRAISNSHLFIIQLYKLEPLGSPIKKLKNNELHIERVRPINALSGNEIDTIKNNGLGLRQLEKYRKFDELEVTSIKNYDLHLELLTPPLGKPVSTLKAEAIRIHFVTVGEFAGPEIGNNDLPNASMELVHLANMGKFTDKQIKKVKNEHIRLEALSKPLNLPIESLQNHSLYLRRLKKTTLFAKPHIKTLKNNNLILGYLSTLGKFDNEKIEKIKNNQLDLMRTDFPINTPVSTLGLDSCHVVRSYCQCGFPCMPFLDFPFFHSRPERTPFLSNDEDDDDDDDDDDDDDDTDEMDKLMMNKLAIHSPSFFPKASCLALFLLLVYEKM
+>sp|O60312|AT10A_HUMAN Probable phospholipid-transporting ATPase VA OS=Homo sapiens OX=9606 GN=ATP10A PE=2 SV=2
+MEREPAGTEEPGPPGRRRRREGRTRTVRSNLLPPPGAEDPAAGAAKGERRRRRGCAQHLADNRLKTTKYTLLSFLPKNLFEQFHRPANVYFVFIALLNFVPAVNAFQPGLALAPVLFILAITAFRDLWEDYSRHRSDHKINHLGCLVFSREEKKYVNRFWKEIHVGDFVRLRCNEIFPADILLLSSSDPDGLCHIETANLDGETNLKRRQVVRGFSELVSEFNPLTFTSVIECEKPNNDLSRFRGCIIHDNGKKAGLYKENLLLRGCTLRNTDAVVGIVIYAGHETKALLNNSGPRYKRSKLERQMNCDVLWCVLLLVCMSLFSAVGHGLWIWRYQEKKSLFYVPKSDGSSLSPVTAAVYSFLTMIIVLQVLIPISLYVSIEIVKACQVYFINQDMQLYDEETDSQLQCRALNITEDLGQIQYIFSDKTGTLTENKMVFRRCTVSGVEYSHDANAQRLARYQEADSEEEEVVPRGGSVSQRGSIGSHQSVRVVHRTQSTKSHRRTGSRAEAKRASMLSKHTAFSSPMEKDITPDPKLLEKVSECDKSLAVARHQEHLLAHLSPELSDVFDFFIALTICNTVVVTSPDQPRTKVRVRFELKSPVKTIEDFLRRFTPSCLTSGCSSIGSLAANKSSHKLGSSFPSTPSSDGMLLRLEERLGQPTSAIASNGYSSQADNWASELAQEQESERELRYEAESPDEAALVYAARAYNCVLVERLHDQVSVELPHLGRLTFELLHTLGFDSVRKRMSVVIRHPLTDEINVYTKGADSVVMDLLQPCSSVDARGRHQKKIRSKTQNYLNVYAAEGLRTLCIAKRVLSKEEYACWLQSHLEAESSLENSEELLFQSAIRLETNLHLLGATGIEDRLQDGVPETISKLRQAGLQIWVLTGDKQETAVNIAYACKLLDHDEEVITLNATSQEACAALLDQCLCYVQSRGLQRAPEKTKGKVSMRFSSLCPPSTSTASGRRPSLVIDGRSLAYALEKNLEDKFLFLAKQCRSVLCCRSTPLQKSMVVKLVRSKLKAMTLAIGDGANDVSMIQVADVGVGISGQEGMQAVMASDFAVPKFRYLERLLILHGHWCYSRLANMVLYFFYKNTMFVGLLFWFQFFCGFSASTMIDQWYLIFFNLLFSSLPPLVTGVLDRDVPANVLLTNPQLYKSGQNMEEYRPRTFWFNMADAAFQSLVCFSIPYLAYYDSNVDLFTWGTPIVTIALLTFLLHLGIETKTWTWLNWITCGFSVLLFFTVALIYNASCATCYPPSNPYWTMQALLGDPVFYLTCLMTPVAALLPRLFFRSLQGRVFPTQLQLARQLTRKSPRRCSAPKETFAQGRLPKDSGTEHSSGRTVKTSVPLSQPSWHTQQPVCSLEASGEPSTVDMSMPVREHTLLEGLSAPAPMSSAPGEAVLRSPGGCPEESKVRAASTGRVTPLSSLFSLPTFSLLNWISSWSLVSRLGSVLQFSRTEQLADGQAGRGLPVQPHSGRSGLQGPDHRLLIGASSRRSQ
+>DECOY_sp|O60312|AT10A_HUMAN Probable phospholipid-transporting ATPase VA OS=Homo sapiens OX=9606 GN=ATP10A PE=2 SV=2
+QSRRSSAGILLRHDPGQLGSRGSHPQVPLGRGAQGDALQETRSFQLVSGLRSVLSWSSIWNLLSFTPLSFLSSLPTVRGTSAARVKSEEPCGGPSRLVAEGPASSMPAPASLGELLTHERVPMSMDVTSPEGSAELSCVPQQTHWSPQSLPVSTKVTRGSSHETGSDKPLRGQAFTEKPASCRRPSKRTLQRALQLQTPFVRGQLSRFFLRPLLAAVPTMLCTLYFVPDGLLAQMTWYPNSPPYCTACSANYILAVTFFLLVSFGCTIWNLWTWTKTEIGLHLLFTLLAITVIPTGWTFLDVNSDYYALYPISFCVLSQFAADAMNFWFTRPRYEEMNQGSKYLQPNTLLVNAPVDRDLVGTVLPPLSSFLLNFFILYWQDIMTSASFGCFFQFWFLLGVFMTNKYFFYLVMNALRSYCWHGHLILLRELYRFKPVAFDSAMVAQMGEQGSIGVGVDAVQIMSVDNAGDGIALTMAKLKSRVLKVVMSKQLPTSRCCLVSRCQKALFLFKDELNKELAYALSRGDIVLSPRRGSATSTSPPCLSSFRMSVKGKTKEPARQLGRSQVYCLCQDLLAACAEQSTANLTIVEEDHDLLKCAYAINVATEQKDGTLVWIQLGAQRLKSITEPVGDQLRDEIGTAGLLHLNTELRIASQFLLEESNELSSEAELHSQLWCAYEEKSLVRKAICLTRLGEAAYVNLYNQTKSRIKKQHRGRADVSSCPQLLDMVVSDAGKTYVNIEDTLPHRIVVSMRKRVSDFGLTHLLEFTLRGLHPLEVSVQDHLREVLVCNYARAAYVLAAEDPSEAEYRLERESEQEQALESAWNDAQSSYGNSAIASTPQGLREELRLLMGDSSPTSPFSSGLKHSSKNAALSGISSCGSTLCSPTFRRLFDEITKVPSKLEFRVRVKTRPQDPSTVVVTNCITLAIFFDFVDSLEPSLHALLHEQHRAVALSKDCESVKELLKPDPTIDKEMPSSFATHKSLMSARKAEARSGTRRHSKTSQTRHVVRVSQHSGISGRQSVSGGRPVVEEEESDAEQYRALRQANADHSYEVGSVTCRRFVMKNETLTGTKDSFIYQIQGLDETINLARCQLQSDTEEDYLQMDQNIFYVQCAKVIEISVYLSIPILVQLVIIMTLFSYVAATVPSLSSGDSKPVYFLSKKEQYRWIWLGHGVASFLSMCVLLLVCWLVDCNMQRELKSRKYRPGSNNLLAKTEHGAYIVIGVVADTNRLTCGRLLLNEKYLGAKKGNDHIICGRFRSLDNNPKECEIVSTFTLPNFESVLESFGRVVQRRKLNTEGDLNATEIHCLGDPDSSSLLLIDAPFIENCRLRVFDGVHIEKWFRNVYKKEERSFVLCGLHNIKHDSRHRSYDEWLDRFATIALIFLVPALALGPQFANVAPVFNLLAIFVFYVNAPRHFQEFLNKPLFSLLTYKTTKLRNDALHQACGRRRRREGKAAGAAPDEAGPPPLLNSRVTRTRGERRRRRGPPGPEETGAPEREM
+>sp|Q9Y2G3|AT11B_HUMAN Probable phospholipid-transporting ATPase IF OS=Homo sapiens OX=9606 GN=ATP11B PE=1 SV=2
+MWRWIRQQLGFDPPHQSDTRTIYVANRFPQNGLYTPQKFIDNRIISSKYTVWNFVPKNLFEQFRRVANFYFLIIFLVQLMIDTPTSPVTSGLPLFFVITVTAIKQGYEDWLRHNSDNEVNGAPVYVVRSGGLVKTRSKNIRVGDIVRIAKDEIFPADLVLLSSDRLDGSCHVTTASLDGETNLKTHVAVPETALLQTVANLDTLVAVIECQQPEADLYRFMGRMIITQQMEEIVRPLGPESLLLRGARLKNTKEIFGVAVYTGMETKMALNYKSKSQKRSAVEKSMNTFLIIYLVILISEAVISTILKYTWQAEEKWDEPWYNQKTEHQRNSSKILRFISDFLAFLVLYNFIIPISLYVTVEMQKFLGSFFIGWDLDLYHEESDQKAQVNTSDLNEELGQVEYVFTDKTGTLTENEMQFRECSINGMKYQEINGRLVPEGPTPDSSEGNLSYLSSLSHLNNLSHLTTSSSFRTSPENETELIKEHDLFFKAVSLCHTVQISNVQTDCTGDGPWQSNLAPSQLEYYASSPDEKALVEAAARIGIVFIGNSEETMEVKTLGKLERYKLLHILEFDSDRRRMSVIVQAPSGEKLLFAKGAESSILPKCIGGEIEKTRIHVDEFALKGLRTLCIAYRKFTSKEYEEIDKRIFEARTALQQREEKLAAVFQFIEKDLILLGATAVEDRLQDKVRETIEALRMAGIKVWVLTGDKHETAVSVSLSCGHFHRTMNILELINQKSDSECAEQLRQLARRITEDHVIQHGLVVDGTSLSLALREHEKLFMEVCRNCSAVLCCRMAPLQKAKVIRLIKISPEKPITLAVGDGANDVSMIQEAHVGIGIMGKEGRQAARNSDYAIARFKFLSKLLFVHGHFYYIRIATLVQYFFYKNVCFITPQFLYQFYCLFSQQTLYDSVYLTLYNICFTSLPILIYSLLEQHVDPHVLQNKPTLYRDISKNRLLSIKTFLYWTILGFSHAFIFFFGSYLLIGKDTSLLGNGQMFGNWTFGTLVFTVMVITVTVKMALETHFWTWINHLVTWGSIIFYFVFSLFYGGILWPFLGSQNMYFVFIQLLSSGSAWFAIILMVVTCLFLDIIKKVFDRHLHPTSTEKAQLTETNAGIKCLDSMCCFPEGEAACASVGRMLERVIGRCSPTHISRSWSASDPFYTNDRSILTLSTMDSSTC
+>DECOY_sp|Q9Y2G3|AT11B_HUMAN Probable phospholipid-transporting ATPase IF OS=Homo sapiens OX=9606 GN=ATP11B PE=1 SV=2
+CTSSDMTSLTLISRDNTYFPDSASWSRSIHTPSCRGIVRELMRGVSACAAEGEPFCCMSDLCKIGANTETLQAKETSTPHLHRDFVKKIIDLFLCTVVMLIIAFWASGSSLLQIFVFYMNQSGLFPWLIGGYFLSFVFYFIISGWTVLHNIWTWFHTELAMKVTVTIVMVTFVLTGFTWNGFMQGNGLLSTDKGILLYSGFFFIFAHSFGLITWYLFTKISLLRNKSIDRYLTPKNQLVHPDVHQELLSYILIPLSTFCINYLTLYVSDYLTQQSFLCYFQYLFQPTIFCVNKYFFYQVLTAIRIYYFHGHVFLLKSLFKFRAIAYDSNRAAQRGEKGMIGIGVHAEQIMSVDNAGDGVALTIPKEPSIKILRIVKAKQLPAMRCCLVASCNRCVEMFLKEHERLALSLSTGDVVLGHQIVHDETIRRALQRLQEACESDSKQNILELINMTRHFHGCSLSVSVATEHKDGTLVWVKIGAMRLAEITERVKDQLRDEVATAGLLILDKEIFQFVAALKEERQQLATRAEFIRKDIEEYEKSTFKRYAICLTRLGKLAFEDVHIRTKEIEGGICKPLISSEAGKAFLLKEGSPAQVIVSMRRRDSDFELIHLLKYRELKGLTKVEMTEESNGIFVIGIRAAAEVLAKEDPSSAYYELQSPALNSQWPGDGTCDTQVNSIQVTHCLSVAKFFLDHEKILETENEPSTRFSSSTTLHSLNNLHSLSSLYSLNGESSDPTPGEPVLRGNIEQYKMGNISCERFQMENETLTGTKDTFVYEVQGLEENLDSTNVQAKQDSEEHYLDLDWGIFFSGLFKQMEVTVYLSIPIIFNYLVLFALFDSIFRLIKSSNRQHETKQNYWPEDWKEEAQWTYKLITSIVAESILIVLYIILFTNMSKEVASRKQSKSKYNLAMKTEMGTYVAVGFIEKTNKLRAGRLLLSEPGLPRVIEEMQQTIIMRGMFRYLDAEPQQCEIVAVLTDLNAVTQLLATEPVAVHTKLNTEGDLSATTVHCSGDLRDSSLLVLDAPFIEDKAIRVIDGVRINKSRTKVLGGSRVVYVPAGNVENDSNHRLWDEYGQKIATVTIVFFLPLGSTVPSTPTDIMLQVLFIILFYFNAVRRFQEFLNKPVFNWVTYKSSIIRNDIFKQPTYLGNQPFRNAVYITRTDSQHPPDFGLQQRIWRWM
+>sp|Q9NQ11|AT132_HUMAN Cation-transporting ATPase 13A2 OS=Homo sapiens OX=9606 GN=ATP13A2 PE=1 SV=2
+MSADSSPLVGSTPTGYGTLTIGTSIDPLSSSVSSVRLSGYCGSPWRVIGYHVVVWMMAGIPLLLFRWKPLWGVRLRLRPCNLAHAETLVIEIRDKEDSSWQLFTVQVQTEAIGEGSLEPSPQSQAEDGRSQAAVGAVPEGAWKDTAQLHKSEEAVSVGQKRVLRYYLFQGQRYIWIETQQAFYQVSLLDHGRSCDDVHRSRHGLSLQDQMVRKAIYGPNVISIPVKSYPQLLVDEALNPYYGFQAFSIALWLADHYYWYALCIFLISSISICLSLYKTRKQSQTLRDMVKLSMRVCVCRPGGEEEWVDSSELVPGDCLVLPQEGGLMPCDAALVAGECMVNESSLTGESIPVLKTALPEGLGPYCAETHRRHTLFCGTLILQARAYVGPHVLAVVTRTGFCTAKGGLVSSILHPRPINFKFYKHSMKFVAALSVLALLGTIYSIFILYRNRVPLNEIVIRALDLVTVVVPPALPAAMTVCTLYAQSRLRRQGIFCIHPLRINLGGKLQLVCFDKTGTLTEDGLDVMGVVPLKGQAFLPLVPEPRRLPVGPLLRALATCHALSRLQDTPVGDPMDLKMVESTGWVLEEEPAADSAFGTQVLAVMRPPLWEPQLQAMEEPPVPVSVLHRFPFSSALQRMSVVVAWPGATQPEAYVKGSPELVAGLCNPETVPTDFAQMLQSYTAAGYRVVALASKPLPTVPSLEAAQQLTRDTVEGDLSLLGLLVMRNLLKPQTTPVIQALRRTRIRAVMVTGDNLQTAVTVARGCGMVAPQEHLIIVHATHPERGQPASLEFLPMESPTAVNGVKDPDQAASYTVEPDPRSRHLALSGPTFGIIVKHFPKLLPKVLVQGTVFARMAPEQKTELVCELQKLQYCVGMCGDGANDCGALKAADVGISLSQAEASVVSPFTSSMASIECVPMVIREGRCSLDTSFSVFKYMALYSLTQFISVLILYTINTNLGDLQFLAIDLVITTTVAVLMSRTGPALVLGRVRPPGALLSVPVLSSLLLQMVLVTGVQLGGYFLTLAQPWFVPLNRTVAAPDNLPNYENTVVFSLSSFQYLILAAAVSKGAPFRRPLYTNVPFLVALALLSSVLVGLVLVPGLLQGPLALRNITDTGFKLLLLGLVTLNFVGAFMLESVLDQCLPACLRRLRPKRASKKRFKQLERELAEQPWPPLPAGPLR
+>DECOY_sp|Q9NQ11|AT132_HUMAN Cation-transporting ATPase 13A2 OS=Homo sapiens OX=9606 GN=ATP13A2 PE=1 SV=2
+RLPGAPLPPWPQEALERELQKFRKKSARKPRLRRLCAPLCQDLVSELMFAGVFNLTVLGLLLLKFGTDTINRLALPGQLLGPVLVLGVLVSSLLALAVLFPVNTYLPRRFPAGKSVAAALILYQFSSLSFVVTNEYNPLNDPAAVTRNLPVFWPQALTLFYGGLQVGTVLVMQLLLSSLVPVSLLAGPPRVRGLVLAPGTRSMLVAVTTTIVLDIALFQLDGLNTNITYLILVSIFQTLSYLAMYKFVSFSTDLSCRGERIVMPVCEISAMSSTFPSVVSAEAQSLSIGVDAAKLAGCDNAGDGCMGVCYQLKQLECVLETKQEPAMRAFVTGQVLVKPLLKPFHKVIIGFTPGSLALHRSRPDPEVTYSAAQDPDKVGNVATPSEMPLFELSAPQGREPHTAHVIILHEQPAVMGCGRAVTVATQLNDGTVMVARIRTRRLAQIVPTTQPKLLNRMVLLGLLSLDGEVTDRTLQQAAELSPVTPLPKSALAVVRYGAATYSQLMQAFDTPVTEPNCLGAVLEPSGKVYAEPQTAGPWAVVVSMRQLASSFPFRHLVSVPVPPEEMAQLQPEWLPPRMVALVQTGFASDAAPEEELVWGTSEVMKLDMPDGVPTDQLRSLAHCTALARLLPGVPLRRPEPVLPLFAQGKLPVVGMVDLGDETLTGTKDFCVLQLKGGLNIRLPHICFIGQRRLRSQAYLTCVTMAAPLAPPVVVTVLDLARIVIENLPVRNRYLIFISYITGLLALVSLAAVFKMSHKYFKFNIPRPHLISSVLGGKATCFGTRTVVALVHPGVYARAQLILTGCFLTHRRHTEACYPGLGEPLATKLVPISEGTLSSENVMCEGAVLAADCPMLGGEQPLVLCDGPVLESSDVWEEEGGPRCVCVRMSLKVMDRLTQSQKRTKYLSLCISISSILFICLAYWYYHDALWLAISFAQFGYYPNLAEDVLLQPYSKVPISIVNPGYIAKRVMQDQLSLGHRSRHVDDCSRGHDLLSVQYFAQQTEIWIYRQGQFLYYRLVRKQGVSVAEESKHLQATDKWAGEPVAGVAAQSRGDEAQSQPSPELSGEGIAETQVQVTFLQWSSDEKDRIEIVLTEAHALNCPRLRLRVGWLPKWRFLLLPIGAMMWVVVHYGIVRWPSGCYGSLRVSSVSSSLPDISTGITLTGYGTPTSGVLPSSDASM
+>sp|Q4VNC0|AT135_HUMAN Probable cation-transporting ATPase 13A5 OS=Homo sapiens OX=9606 GN=ATP13A5 PE=2 SV=1
+MEENSKKDHRALLNQGEEDELEVFGYRDHNVRKAFCLVASVLTCGGLLLVFYWRPQWRVWANCIPCPLQEADTVLLRTTDEFQRYMRKKVFCLYLSTLKFPVSKKWEESLVADRHSVINQALIKPELKLRCMEVQKIRYVWNDLEKRFQKVGLLEDSNSCSDIHQTFGLGLTSEEQEVRRLVCGPNAIEVEIQPIWKLLVKQVLNPFYVFQAFTLTLWLSQGYIEYSVAIIILTVISIVLSVYDLRQQSVKLHNLVEDHNKVQVTIIVKDKGLEELESRLLVPGDILILPGKFSLPCDAVLIDGSCVVNEGMLTGESIPVTKTPLPQMENTMPWKCHSLEDYRKHVLFCGTEVIQVKPSGQGPVRAVVLQTGYNTAKGDLVRSILYPRPLNFKLYSDAFKFIVFLACLGVMGFFYALGVYMYHGVPPKDTVTMALILLTVTVPPVLPAALTIGNVYAQKRLKKKKIFCISPQRINMCGQINLVCFDKTGTLTEDGLDLWGTVPTADNCFQEAHSFASGQAVPWSPLCAAMASCHSLILLNGTIQGDPLDLKMFEGTAWKMEDCIVDSCKFGTSVSNIIKPGPKASKSPVEAIITLCQFPFSSSLQRMSVIAQLAGENHFHVYMKGAPEMVARFCRSETVPKNFPQELRSYTVQGFRVIALAHKTLKMGNLSEVEHLAREKVESELTFLGLLIMENRLKKETKLVLKELSEARIRTVMITGDNLQTAITVAKNSEMIPPGSQVIIVEADEPEEFVPASVTWQLVENQETGPGKKEIYMHTGNSSTPRGEGGSCYHFAMSGKSYQVIFQHFNSLLPKILVNGTVFARMSPGQKSSLIEEFQKLNYYVGMCGDGANDCGALKAAHAGISLSEQEASVASPFTSKTTNIQCVPHLIREGRAALVSSFGVFKYLTMYGIIQFISALLLYWQLQLFGNYQYLMQDVAITLMVCLTMSSTHAYPKLAPYRPAGQLLSPPLLLSIFLNSCFSCIVQISAFLYVKQQPWYCEVYQYSECFLANQSNFSTNVSLERNWTGNATLIPGSILSFETTTLWPITTINYITVAFIFSKGKPFRKPIYTNYIFSFLLLAALGLTIFILFSDFQVIYRGMELIPTITSWRVLILVVALTQFCVAFFVEDSILQNHELWLLIKREFGFYSKSQYRTWQKKLAEDSTWPPINRTDYSGDGKNGFYINGGYESHEQIPKRKLKLGGQPTEQHFWARL
+>DECOY_sp|Q4VNC0|AT135_HUMAN Probable cation-transporting ATPase 13A5 OS=Homo sapiens OX=9606 GN=ATP13A5 PE=2 SV=1
+LRAWFHQETPQGGLKLKRKPIQEHSEYGGNIYFGNKGDGSYDTRNIPPWTSDEALKKQWTRYQSKSYFGFERKILLWLEHNQLISDEVFFAVCFQTLAVVLILVRWSTITPILEMGRYIVQFDSFLIFITLGLAALLLFSFIYNTYIPKRFPKGKSFIFAVTIYNITTIPWLTTTEFSLISGPILTANGTWNRELSVNTSFNSQNALFCESYQYVECYWPQQKVYLFASIQVICSFCSNLFISLLLPPSLLQGAPRYPALKPYAHTSSMTLCVMLTIAVDQMLYQYNGFLQLQWYLLLASIFQIIGYMTLYKFVGFSSVLAARGERILHPVCQINTTKSTFPSAVSAEQESLSIGAHAAKLAGCDNAGDGCMGVYYNLKQFEEILSSKQGPSMRAFVTGNVLIKPLLSNFHQFIVQYSKGSMAFHYCSGGEGRPTSSNGTHMYIEKKGPGTEQNEVLQWTVSAPVFEEPEDAEVIIVQSGPPIMESNKAVTIATQLNDGTIMVTRIRAESLEKLVLKTEKKLRNEMILLGLFTLESEVKERALHEVESLNGMKLTKHALAIVRFGQVTYSRLEQPFNKPVTESRCFRAVMEPAGKMYVHFHNEGALQAIVSMRQLSSSFPFQCLTIIAEVPSKSAKPGPKIINSVSTGFKCSDVICDEMKWATGEFMKLDLPDGQITGNLLILSHCSAMAACLPSWPVAQGSAFSHAEQFCNDATPVTGWLDLGDETLTGTKDFCVLNIQGCMNIRQPSICFIKKKKLRKQAYVNGITLAAPLVPPVTVTLLILAMTVTDKPPVGHYMYVGLAYFFGMVGLCALFVIFKFADSYLKFNLPRPYLISRVLDGKATNYGTQLVVARVPGQGSPKVQIVETGCFLVHKRYDELSHCKWPMTNEMQPLPTKTVPISEGTLMGENVVCSGDILVADCPLSFKGPLILIDGPVLLRSELEELGKDKVIITVQVKNHDEVLNHLKVSQQRLDYVSLVISIVTLIIIAVSYEIYGQSLWLTLTFAQFVYFPNLVQKVLLKWIPQIEVEIANPGCVLRRVEQEESTLGLGFTQHIDSCSNSDELLGVKQFRKELDNWVYRIKQVEMCRLKLEPKILAQNIVSHRDAVLSEEWKKSVPFKLTSLYLCFVKKRMYRQFEDTTRLLVTDAEQLPCPICNAWVRWQPRWYFVLLLGGCTLVSAVLCFAKRVNHDRYGFVELEDEEGQNLLARHDKKSNEEM
+>sp|P13637|AT1A3_HUMAN Sodium/potassium-transporting ATPase subunit alpha-3 OS=Homo sapiens OX=9606 GN=ATP1A3 PE=1 SV=3
+MGDKKDDKDSPKKNKGKERRDLDDLKKEVAMTEHKMSVEEVCRKYNTDCVQGLTHSKAQEILARDGPNALTPPPTTPEWVKFCRQLFGGFSILLWIGAILCFLAYGIQAGTEDDPSGDNLYLGIVLAAVVIITGCFSYYQEAKSSKIMESFKNMVPQQALVIREGEKMQVNAEEVVVGDLVEIKGGDRVPADLRIISAHGCKVDNSSLTGESEPQTRSPDCTHDNPLETRNITFFSTNCVEGTARGVVVATGDRTVMGRIATLASGLEVGKTPIAIEIEHFIQLITGVAVFLGVSFFILSLILGYTWLEAVIFLIGIIVANVPEGLLATVTVCLTLTAKRMARKNCLVKNLEAVETLGSTSTICSDKTGTLTQNRMTVAHMWFDNQIHEADTTEDQSGTSFDKSSHTWVALSHIAGLCNRAVFKGGQDNIPVLKRDVAGDASESALLKCIELSSGSVKLMRERNKKVAEIPFNSTNKYQLSIHETEDPNDNRYLLVMKGAPERILDRCSTILLQGKEQPLDEEMKEAFQNAYLELGGLGERVLGFCHYYLPEEQFPKGFAFDCDDVNFTTDNLCFVGLMSMIDPPRAAVPDAVGKCRSAGIKVIMVTGDHPITAKAIAKGVGIISEGNETVEDIAARLNIPVSQVNPRDAKACVIHGTDLKDFTSEQIDEILQNHTEIVFARTSPQQKLIIVEGCQRQGAIVAVTGDGVNDSPALKKADIGVAMGIAGSDVSKQAADMILLDDNFASIVTGVEEGRLIFDNLKKSIAYTLTSNIPEITPFLLFIMANIPLPLGTITILCIDLGTDMVPAISLAYEAAESDIMKRQPRNPRTDKLVNERLISMAYGQIGMIQALGGFFSYFVILAENGFLPGNLVGIRLNWDDRTVNDLEDSYGQQWTYEQRKVVEFTCHTAFFVSIVVVQWADLIICKTRRNSVFQQGMKNKILIFGLFEETALAAFLSYCPGMDVALRMYPLKPSWWFCAFPYSFLIFVYDEIRKLILRRNPGGWVEKETYY
+>DECOY_sp|P13637|AT1A3_HUMAN Sodium/potassium-transporting ATPase subunit alpha-3 OS=Homo sapiens OX=9606 GN=ATP1A3 PE=1 SV=3
+YYTEKEVWGGPNRRLILKRIEDYVFILFSYPFACFWWSPKLPYMRLAVDMGPCYSLFAALATEEFLGFILIKNKMGQQFVSNRRTKCIILDAWQVVVISVFFATHCTFEVVKRQEYTWQQGYSDELDNVTRDDWNLRIGVLNGPLFGNEALIVFYSFFGGLAQIMGIQGYAMSILRENVLKDTRPNRPQRKMIDSEAAEYALSIAPVMDTGLDICLITITGLPLPINAMIFLLFPTIEPINSTLTYAISKKLNDFILRGEEVGTVISAFNDDLLIMDAAQKSVDSGAIGMAVGIDAKKLAPSDNVGDGTVAVIAGQRQCGEVIILKQQPSTRAFVIETHNQLIEDIQESTFDKLDTGHIVCAKADRPNVQSVPINLRAAIDEVTENGESIIGVGKAIAKATIPHDGTVMIVKIGASRCKGVADPVAARPPDIMSMLGVFCLNDTTFNVDDCDFAFGKPFQEEPLYYHCFGLVREGLGGLELYANQFAEKMEEDLPQEKGQLLITSCRDLIREPAGKMVLLYRNDNPDETEHISLQYKNTSNFPIEAVKKNRERMLKVSGSSLEICKLLASESADGAVDRKLVPINDQGGKFVARNCLGAIHSLAVWTHSSKDFSTGSQDETTDAEHIQNDFWMHAVTMRNQTLTGTKDSCITSTSGLTEVAELNKVLCNKRAMRKATLTLCVTVTALLGEPVNAVIIGILFIVAELWTYGLILSLIFFSVGLFVAVGTILQIFHEIEIAIPTKGVELGSALTAIRGMVTRDGTAVVVGRATGEVCNTSFFTINRTELPNDHTCDPSRTQPESEGTLSSNDVKCGHASIIRLDAPVRDGGKIEVLDGVVVEEANVQMKEGERIVLAQQPVMNKFSEMIKSSKAEQYYSFCGTIIVVAALVIGLYLNDGSPDDETGAQIGYALFCLIAGIWLLISFGGFLQRCFKVWEPTTPPPTLANPGDRALIEQAKSHTLGQVCDTNYKRCVEEVSMKHETMAVEKKLDDLDRREKGKNKKPSDKDDKKDGM
+>sp|Q8TBG4|AT2L1_HUMAN Ethanolamine-phosphate phospho-lyase OS=Homo sapiens OX=9606 GN=ETNPPL PE=1 SV=1
+MCELYSKRDTLGLRKKHIGPSCKVFFASDPIKIVRAQRQYMFDENGEQYLDCINNVAHVGHCHPGVVKAALKQMELLNTNSRFLHDNIVEYAKRLSATLPEKLSVCYFTNSGSEANDLALRLARQFRGHQDVITLDHAYHGHLSSLIEISPYKFQKGKDVKKEFVHVAPTPDTYRGKYREDHADSASAYADEVKKIIEDAHNSGRKIAAFIAESMQSCGGQIIPPAGYFQKVAEYVHGAGGVFIADEVQVGFGRVGKHFWSFQMYGEDFVPDIVTMGKPMGNGHPVACVVTTKEIAEAFSSSGMEYFNTYGGNPVSCAVGLAVLDIIENEDLQGNAKRVGNYLTELLKKQKAKHTLIGDIRGIGLFIGIDLVKDHLKRTPATAEAQHIIYKMKEKRVLLSADGPHRNVLKIKPPMCFTEEDAKFMVDQLDRILTVLEEAMGTKTESVTSENTPCKTKMLKEAHIELLRDSTTDSKENPSRKRNGMCTDTHSLLSKRLKT
+>DECOY_sp|Q8TBG4|AT2L1_HUMAN Ethanolamine-phosphate phospho-lyase OS=Homo sapiens OX=9606 GN=ETNPPL PE=1 SV=1
+TKLRKSLLSHTDTCMGNRKRSPNEKSDTTSDRLLEIHAEKLMKTKCPTNESTVSETKTGMAEELVTLIRDLQDVMFKADEETFCMPPKIKLVNRHPGDASLLVRKEKMKYIIHQAEATAPTRKLHDKVLDIGIFLGIGRIDGILTHKAKQKKLLETLYNGVRKANGQLDENEIIDLVALGVACSVPNGGYTNFYEMGSSSFAEAIEKTTVVCAVPHGNGMPKGMTVIDPVFDEGYMQFSWFHKGVRGFGVQVEDAIFVGGAGHVYEAVKQFYGAPPIIQGGCSQMSEAIFAAIKRGSNHADEIIKKVEDAYASASDAHDERYKGRYTDPTPAVHVFEKKVDKGKQFKYPSIEILSSLHGHYAHDLTIVDQHGRFQRALRLALDNAESGSNTFYCVSLKEPLTASLRKAYEVINDHLFRSNTNLLEMQKLAAKVVGPHCHGVHAVNNICDLYQEGNEDFMYQRQARVIKIPDSAFFVKCSPGIHKKRLGLTDRKSYLECM
+>sp|P48201|AT5G3_HUMAN ATP synthase F(0) complex subunit C3, mitochondrial OS=Homo sapiens OX=9606 GN=ATP5MC3 PE=2 SV=1
+MFACAKLACTPSLIRAGSRVAYRPISASVLSRPEASRTGEGSTVFNGAQNGVSQLIQREFQTSAISRDIDTAAKFIGAGAATVGVAGSGAGIGTVFGSLIIGYARNPSLKQQLFSYAILGFALSEAMGLFCLMVAFLILFAM
+>DECOY_sp|P48201|AT5G3_HUMAN ATP synthase F(0) complex subunit C3, mitochondrial OS=Homo sapiens OX=9606 GN=ATP5MC3 PE=2 SV=1
+MAFLILFAVMLCFLGMAESLAFGLIAYSFLQQKLSPNRAYGIILSGFVTGIGAGSGAVGVTAAGAGIFKAATDIDRSIASTQFERQILQSVGNQAGNFVTSGEGTRSAEPRSLVSASIPRYAVRSGARILSPTCALKACAFM
+>sp|Q5TC04|ATAS1_HUMAN Putative uncharacterized protein ATP1A1-AS1 OS=Homo sapiens OX=9606 GN=ATP1A1-AS1 PE=5 SV=1
+MAHFKDDLQTNVEIIPGESAPRKESPRPPAPPSSAAGVGGCSNHSPSVQESPLSPPALAQLGSAQQPSMRTELSFSEKKDTMIIWQITITVWCQR
+>DECOY_sp|Q5TC04|ATAS1_HUMAN Putative uncharacterized protein ATP1A1-AS1 OS=Homo sapiens OX=9606 GN=ATP1A1-AS1 PE=5 SV=1
+RQCWVTITIQWIIMTDKKESFSLETRMSPQQASGLQALAPPSLPSEQVSPSHNSCGGVGAASSPPAPPRPSEKRPASEGPIIEVNTQLDDKFHAM
+>sp|Q5SQI0|ATAT_HUMAN Alpha-tubulin N-acetyltransferase 1 OS=Homo sapiens OX=9606 GN=ATAT1 PE=1 SV=1
+MEFPFDVDALFPERITVLDQHLRPPARRPGTTTPARVDLQQQIMTIIDELGKASAKAQNLSAPITSASRMQSNRHVVYILKDSSARPAGKGAIIGFIKVGYKKLFVLDDREAHNEVEPLCILDFYIHESVQRHGHGRELFQYMLQKERVEPHQLAIDRPSQKLLKFLNKHYNLETTVPQVNNFVIFEGFFAHQHRPPAPSLRATRHSRAAAVDPTPAAPARKLPPKRAEGDIKPYSSSDREFLKVAVEPPWPLNRAPRRATPPAHPPPRSSSLGNSPERGPLRPFVPEQELLRSLRLCPPHPTARLLLAADPGGSPAQRRRTRGTPPGLVAQSCCYSRHGGVNSSSPNTGNQDSKQGEQETKNRSASEEQALSQDGSGEKPMHTAPPQAPAPPAQSWTVGGDILNARFIRNLQERRSTRPW
+>DECOY_sp|Q5SQI0|ATAT_HUMAN Alpha-tubulin N-acetyltransferase 1 OS=Homo sapiens OX=9606 GN=ATAT1 PE=1 SV=1
+WPRTSRREQLNRIFRANLIDGGVTWSQAPPAPAQPPATHMPKEGSGDQSLAQEESASRNKTEQEGQKSDQNGTNPSSSNVGGHRSYCCSQAVLGPPTGRTRRRQAPSGGPDAALLLRATPHPPCLRLSRLLEQEPVFPRLPGREPSNGLSSSRPPPHAPPTARRPARNLPWPPEVAVKLFERDSSSYPKIDGEARKPPLKRAPAAPTPDVAAARSHRTARLSPAPPRHQHAFFGEFIVFNNVQPVTTELNYHKNLFKLLKQSPRDIALQHPEVREKQLMYQFLERGHGHRQVSEHIYFDLICLPEVENHAERDDLVFLKKYGVKIFGIIAGKGAPRASSDKLIYVVHRNSQMRSASTIPASLNQAKASAKGLEDIITMIQQQLDVRAPTTTGPRRAPPRLHQDLVTIREPFLADVDFPFEM
+>sp|Q86WG3|ATCAY_HUMAN Caytaxin OS=Homo sapiens OX=9606 GN=ATCAY PE=1 SV=2
+MGTTEATLRMENVDVKEEWQDEDLPRPLPEETGVELLGSPVEDTSSPPNTLNFNGAHRKRKTLVAPEINISLDQSEGSLLSDDFLDTPDDLDINVDDIETPDETDSLEFLGNGNELEWEDDTPVATAKNMPGDSADLFGDGTTEDGSAANGRLWRTVIIGEQEHRIDLHMIRPYMKVVTHGGYYGEGLNAIIVFAACFLPDSSLPDYHYIMENLFLYVISSLELLVAEDYMIVYLNGATPRRRMPGIGWLKKCYQMIDRRLRKNLKSLIIVHPSWFIRTVLAISRPFISVKFINKIQYVHSLEDLEQLIPMEHVQIPDCVLQYEEERLKARRESARPQPEFVLPRSEEKPEVAPVENRSALVSEDQETSMS
+>DECOY_sp|Q86WG3|ATCAY_HUMAN Caytaxin OS=Homo sapiens OX=9606 GN=ATCAY PE=1 SV=2
+SMSTEQDESVLASRNEVPAVEPKEESRPLVFEPQPRASERRAKLREEEYQLVCDPIQVHEMPILQELDELSHVYQIKNIFKVSIFPRSIALVTRIFWSPHVIILSKLNKRLRRDIMQYCKKLWGIGPMRRRPTAGNLYVIMYDEAVLLELSSIVYLFLNEMIYHYDPLSSDPLFCAAFVIIANLGEGYYGGHTVVKMYPRIMHLDIRHEQEGIIVTRWLRGNAASGDETTGDGFLDASDGPMNKATAVPTDDEWELENGNGLFELSDTEDPTEIDDVNIDLDDPTDLFDDSLLSGESQDLSINIEPAVLTKRKRHAGNFNLTNPPSSTDEVPSGLLEVGTEEPLPRPLDEDQWEEKVDVNEMRLTAETTGM
+>sp|Q9ULI0|ATD2B_HUMAN ATPase family AAA domain-containing protein 2B OS=Homo sapiens OX=9606 GN=ATAD2B PE=1 SV=3
+MVNTRKSSLRLLGSKSPGPGPGPGAGAEPGATGGSSHFISSRTRSSKTRAASCPAAKAGGSGGAGVTLDEARKVEVDGSLSDSHVSPPAKRTLKQPDSVCKDKSKSRSTGQREEWNLSTGQARLTSQPGATLPNGHSGLSLRSHPLRGEKKGDGDLSCINGDMEVRKSCRSRKNRFESVNQSLLFDQLVNSTAEAVLQEMDNINIRQNRRSGEVERLRMWTDTEFENMDMYSRVKRRRKSLRRNSYGIQNHHEVSTEGEEEESQEEDGDIEVEEAEGEENDRPYNLRQRKTVDRYQAPPIVPAHQKKRENTLFDIHRSPARRSHIRRKKHAIHSSDTTSSDEERFERRKSKSMARARNRCLPMNFRAEDLASGILRERVKVGASLADVDPMNIDKSVRFDSIGGLSHHIHALKEMVVFPLLYPEIFEKFKIQPPRGCLFYGPPGTGKTLVARALANECSQGDKKVAFFMRKGADCLSKWVGESERQLRLLFDQAYLMRPSIIFFDEIDGLAPVRSSRQDQIHSSIVSTLLALMDGLDNRGEIVVIGATNRLDSIDPALRRPGRFDREFLFNLPDQKARKHILQIHTRDWNPKLSDAFLGELAEKCVGYCGADIKALCTEAALIALRRRYPQIYASSHKLQLDVSSIVLSAQDFYHAMQNIVPASQRAVMSSGHALSPIIRPLLERSFNNILAVLQKVFPHAEISQSDKKEDIETLILEDSEDENALSIFETNCHSGSPKKQSSSAAIHKPYLHFTMSPYHQPTSYRPRLLLSGERGSGQTSHLAPALLHTLERFSVHRLDLPALYSVSAKTPEESCAQIFREARRTVPSIVYMPHIGDWWEAVSETVRATFLTLLQDIPSFSPIFLLSTSETMYSELPEEVKCIFRIQYEEVLYIQRPIEEDRRKFFQELILNQASMAPPRRKHAALCAMEVLPLALPSPPRQLSESEKSRMEDQEENTLRELRLFLRDVTKRLATDKRFNIFSKPVDIEEVSDYLEVIKEPMDLSTVITKIDKHNYLTAKDFLKDIDLICSNALEYNPDKDPGDKIIRHRACTLKDTAHAIIAAELDPEFNKLCEEIKEARIKRGLSVTSEQINPHSTGARKTETRVEEAFRHKQRNPMDVWHNSANKCAFRVRRKSRRRSQWGKGIIKKRKVNNLKKDEEDTKFADYENHTEDRKLLENGEFEVSTDCHEENGEETGDLSMTNDESSCDIMDLDQGQRLNNGAGTKENFASTEEESSNESLLVNSSSSLNPEQTSRKETFLKGNCLNGEASTDSFEGIPVLECQNGKLEVVSFCDSGDKCSSEQKILLEDQSKEKPETSTENHGDDLEKLEALECSNNEKLEPGSDVEVKDAELDKEGASKVKKYRKLILEQAKTTSLELVPEEPSEPVPPLIVDRERLKKLLDLLVDKSNNLAVDQLERLYSLLSQCIYRHRKDYDKSQLVEEMERTVHMFETFL
+>DECOY_sp|Q9ULI0|ATD2B_HUMAN ATPase family AAA domain-containing protein 2B OS=Homo sapiens OX=9606 GN=ATAD2B PE=1 SV=3
+LFTEFMHVTREMEEVLQSKDYDKRHRYICQSLLSYLRELQDVALNNSKDVLLDLLKKLRERDVILPPVPESPEEPVLELSTTKAQELILKRYKKVKSAGEKDLEADKVEVDSGPELKENNSCELAELKELDDGHNETSTEPKEKSQDELLIKQESSCKDGSDCFSVVELKGNQCELVPIGEFSDTSAEGNLCNGKLFTEKRSTQEPNLSSSSNVLLSENSSEEETSAFNEKTGAGNNLRQGQDLDMIDCSSEDNTMSLDGTEEGNEEHCDTSVEFEGNELLKRDETHNEYDAFKTDEEDKKLNNVKRKKIIGKGWQSRRRSKRRVRFACKNASNHWVDMPNRQKHRFAEEVRTETKRAGTSHPNIQESTVSLGRKIRAEKIEECLKNFEPDLEAAIIAHATDKLTCARHRIIKDGPDKDPNYELANSCILDIDKLFDKATLYNHKDIKTIVTSLDMPEKIVELYDSVEEIDVPKSFINFRKDTALRKTVDRLFLRLERLTNEEQDEMRSKESESLQRPPSPLALPLVEMACLAAHKRRPPAMSAQNLILEQFFKRRDEEIPRQIYLVEEYQIRFICKVEEPLESYMTESTSLLFIPSFSPIDQLLTLFTARVTESVAEWWDGIHPMYVISPVTRRAERFIQACSEEPTKASVSYLAPLDLRHVSFRELTHLLAPALHSTQGSGREGSLLLRPRYSTPQHYPSMTFHLYPKHIAASSSQKKPSGSHCNTEFISLANEDESDELILTEIDEKKDSQSIEAHPFVKQLVALINNFSRELLPRIIPSLAHGSSMVARQSAPVINQMAHYFDQASLVISSVDLQLKHSSAYIQPYRRRLAILAAETCLAKIDAGCYGVCKEALEGLFADSLKPNWDRTHIQLIHKRAKQDPLNFLFERDFRGPRRLAPDISDLRNTAGIVVIEGRNDLGDMLALLTSVISSHIQDQRSSRVPALGDIEDFFIISPRMLYAQDFLLRLQRESEGVWKSLCDAGKRMFFAVKKDGQSCENALARAVLTKGTGPPGYFLCGRPPQIKFKEFIEPYLLPFVVMEKLAHIHHSLGGISDFRVSKDINMPDVDALSAGVKVRERLIGSALDEARFNMPLCRNRARAMSKSKRREFREEDSSTTDSSHIAHKKRRIHSRRAPSRHIDFLTNERKKQHAPVIPPAQYRDVTKRQRLNYPRDNEEGEAEEVEIDGDEEQSEEEEGETSVEHHNQIGYSNRRLSKRRRKVRSYMDMNEFETDTWMRLREVEGSRRNQRININDMEQLVAEATSNVLQDFLLSQNVSEFRNKRSRCSKRVEMDGNICSLDGDGKKEGRLPHSRLSLGSHGNPLTAGPQSTLRAQGTSLNWEERQGTSRSKSKDKCVSDPQKLTRKAPPSVHSDSLSGDVEVKRAEDLTVGAGGSGGAKAAPCSAARTKSSRTRSSIFHSSGGTAGPEAGAGPGPGPGPSKSGLLRLSSKRTNVM
+>sp|O95260|ATE1_HUMAN Arginyl-tRNA--protein transferase 1 OS=Homo sapiens OX=9606 GN=ATE1 PE=1 SV=2
+MAFWAGGSPSVVDYFPSEDFYRCGYCKNESGSRSNGMWAHSMTVQDYQDLIDRGWRRSGKYVYKPVMNQTCCPQYTIRCRPLQFQPSKSHKKVLKKMLKFLAKGEVPKGSCEDEPMDSTMDDAVAGDFALINKLDIQCDLKTLSDDIKESLESEGKNSKKEEPQELLQSQDFVGEKLGSGEPSHSVKVHTVPKPGKGADLSKPPCRKAKEIRKERKRLKLMQQNPAGELEGFQAQGHPPSLFPPKAKSNQPKSLEDLIFESLPENASHKLEVRVVRSSPPSSQFKATLLESYQVYKRYQMVIHKNPPDTPTESQFTRFLCSSPLEAETPPNGPDCGYGSFHQQYWLDGKIIAVGVIDILPNCVSSVYLYYDPDYSFLSLGVYSALREIAFTRQLHEKTSQLSYYYMGFYIHSCPKMKYKGQYRPSDLLCPETYVWVPIEQCLPSLENSKYCRFNQDPEAVDEDRSTEPDRLQVFHKRAIMPYGVYKKQQKDPSEEAAVLQYASLVGQKCSERMLLFRN
+>DECOY_sp|O95260|ATE1_HUMAN Arginyl-tRNA--protein transferase 1 OS=Homo sapiens OX=9606 GN=ATE1 PE=1 SV=2
+NRFLLMRESCKQGVLSAYQLVAAEESPDKQQKKYVGYPMIARKHFVQLRDPETSRDEDVAEPDQNFRCYKSNELSPLCQEIPVWVYTEPCLLDSPRYQGKYKMKPCSHIYFGMYYYSLQSTKEHLQRTFAIERLASYVGLSLFSYDPDYYLYVSSVCNPLIDIVGVAIIKGDLWYQQHFSGYGCDPGNPPTEAELPSSCLFRTFQSETPTDPPNKHIVMQYRKYVQYSELLTAKFQSSPPSSRVVRVELKHSANEPLSEFILDELSKPQNSKAKPPFLSPPHGQAQFGELEGAPNQQMLKLRKREKRIEKAKRCPPKSLDAGKGPKPVTHVKVSHSPEGSGLKEGVFDQSQLLEQPEEKKSNKGESELSEKIDDSLTKLDCQIDLKNILAFDGAVADDMTSDMPEDECSGKPVEGKALFKLMKKLVKKHSKSPQFQLPRCRITYQPCCTQNMVPKYVYKGSRRWGRDILDQYDQVTMSHAWMGNSRSGSENKCYGCRYFDESPFYDVVSPSGGAWFAM
+>sp|Q9H0Y0|ATG10_HUMAN Ubiquitin-like-conjugating enzyme ATG10 OS=Homo sapiens OX=9606 GN=ATG10 PE=1 SV=1
+MEEDEFIGEKTFQRYCAEFIKHSQQIGDSWEWRPSKDCSDGYMCKIHFQIKNGSVMSHLGASTHGQTCLPMEEAFELPLDDCEVIETAAASEVIKYEYHVLYSCSYQVPVLYFRASFLDGRPLTLKDIWEGVHECYKMRLLQGPWDTITQQEHPILGQPFFVLHPCKTNEFMTPVLKNSQKINKNVNYITSWLSIVGPVVGLNLPLSYAKATSQDERNVP
+>DECOY_sp|Q9H0Y0|ATG10_HUMAN Ubiquitin-like-conjugating enzyme ATG10 OS=Homo sapiens OX=9606 GN=ATG10 PE=1 SV=1
+PVNREDQSTAKAYSLPLNLGVVPGVISLWSTIYNVNKNIKQSNKLVPTMFENTKCPHLVFFPQGLIPHEQQTITDWPGQLLRMKYCEHVGEWIDKLTLPRGDLFSARFYLVPVQYSCSYLVHYEYKIVESAAATEIVECDDLPLEFAEEMPLCTQGHTSAGLHSMVSGNKIQFHIKCMYGDSCDKSPRWEWSDGIQQSHKIFEACYRQFTKEGIFEDEEM
+>sp|O75143|ATG13_HUMAN Autophagy-related protein 13 OS=Homo sapiens OX=9606 GN=ATG13 PE=1 SV=1
+METDLNSQDRKDLDKFIKFFALKTVQVIVQARLGEKICTRSSSSPTGSDWFNLAIKDIPEVTHEAKKALAGQLPAVGRSMCVEISLKTSEGDSMELEIWCLEMNEKCDKEIKVSYTVYNRLSLLLKSLLAITRVTPAYRLSRKQGHEYVILYRIYFGEVQLSGLGEGFQTVRVGTVGTPVGTITLSCAYRINLAFMSTRQFERTPPIMGIIIDHFVDRPYPSSSPMHPCNYRTAGEDTGVIYPSVEDSQEVCTTSFSTSPPSQLSSSRLSYQPAALGVGSADLAYPVVFAAGLNATHPHQLMVPGKEGGVPLAPNQPVHGTQADQERLATCTPSDRTHCAATPSSSEDTETVSNSSEGRASPHDVLETIFVRKVGAFVNKPINQVTLTSLDIPFAMFAPKNLELEDTDPMVNPPDSPETESPLQGSLHSDGSSGGSSGNTHDDFVMIDFKPAFSKDDILPMDLGTFYREFQNPPQLSSLSIDIGAQSMAEDLDSLPEKLAVHEKNVREFDAFVETLQ
+>DECOY_sp|O75143|ATG13_HUMAN Autophagy-related protein 13 OS=Homo sapiens OX=9606 GN=ATG13 PE=1 SV=1
+QLTEVFADFERVNKEHVALKEPLSDLDEAMSQAGIDISLSSLQPPNQFERYFTGLDMPLIDDKSFAPKFDIMVFDDHTNGSSGGSSGDSHLSGQLPSETEPSDPPNVMPDTDELELNKPAFMAFPIDLSTLTVQNIPKNVFAGVKRVFITELVDHPSARGESSNSVTETDESSSPTAACHTRDSPTCTALREQDAQTGHVPQNPALPVGGEKGPVMLQHPHTANLGAAFVVPYALDASGVGLAAPQYSLRSSSLQSPPSTSFSTTCVEQSDEVSPYIVGTDEGATRYNCPHMPSSSPYPRDVFHDIIIGMIPPTREFQRTSMFALNIRYACSLTITGVPTGVTGVRVTQFGEGLGSLQVEGFYIRYLIVYEHGQKRSLRYAPTVRTIALLSKLLLSLRNYVTYSVKIEKDCKENMELCWIELEMSDGESTKLSIEVCMSRGVAPLQGALAKKAEHTVEPIDKIALNFWDSGTPSSSSRTCIKEGLRAQVIVQVTKLAFFKIFKDLDKRDQSNLDTEM
+>sp|Q7Z3C6|ATG9A_HUMAN Autophagy-related protein 9A OS=Homo sapiens OX=9606 GN=ATG9A PE=1 SV=3
+MAQFDTEYQRLEASYSDSPPGEEDLLVHVAEGSKSPWHHIENLDLFFSRVYNLHQKNGFTCMLIGEIFELMQFLFVVAFTTFLVSCVDYDILFANKMVNHSLHPTEPVKVTLPDAFLPAQVCSARIQENGSLITILVIAGVFWIHRLIKFIYNICCYWEIHSFYLHALRIPMSALPYCTWQEVQARIVQTQKEHQICIHKRELTELDIYHRILRFQNYMVALVNKSLLPLRFRLPGLGEAVFFTRGLKYNFELILFWGPGSLFLNEWSLKAEYKRGGQRLELAQRLSNRILWIGIANFLLCPLILIWQILYAFFSYAEVLKREPGALGARCWSLYGRCYLRHFNELEHELQSRLNRGYKPASKYMNCFLSPLLTLLAKNGAFFAGSILAVLIALTIYDEDVLAVEHVLTTVTLLGVTVTVCRSFIPDQHMVFCPEQLLRVILAHIHYMPDHWQGNAHRSQTRDEFAQLFQYKAVFILEELLSPIVTPLILIFCLRPRALEIIDFFRNFTVEVVGVGDTCSFAQMDVRQHGHPQWLSAGQTEASVYQQAEDGKTELSLMHFAITNPGWQPPRESTAFLGFLKEQVQRDGAAASLAQGGLLPENALFTSIQSLQSESEPLSLIANVVAGSSCRGPPLPRDLQGSRHRAEVASALRSFSPLQPGQAPTGRAHSTMTGSGVDARTASSGSSVWEGQLQSLVLSEYASTEMSLHALYMHQLHKQQAQAEPERHVWHRRESDESGESAPDEGGEGARAPQSIPRSASYPCAAPRPGAPETTALHGGFQRRYGGITDPGTVPRVPSHFSRLPLGGWAEDGQSASRHPEPVPEEGSEDELPPQVHKV
+>DECOY_sp|Q7Z3C6|ATG9A_HUMAN Autophagy-related protein 9A OS=Homo sapiens OX=9606 GN=ATG9A PE=1 SV=3
+VKHVQPPLEDESGEEPVPEPHRSASQGDEAWGGLPLRSFHSPVRPVTGPDTIGGYRRQFGGHLATTEPAGPRPAACPYSASRPISQPARAGEGGEDPASEGSEDSERRHWVHREPEAQAQQKHLQHMYLAHLSMETSAYESLVLSQLQGEWVSSGSSATRADVGSGTMTSHARGTPAQGPQLPSFSRLASAVEARHRSGQLDRPLPPGRCSSGAVVNAILSLPESESQLSQISTFLANEPLLGGQALSAAAGDRQVQEKLFGLFATSERPPQWGPNTIAFHMLSLETKGDEAQQYVSAETQGASLWQPHGHQRVDMQAFSCTDGVGVVEVTFNRFFDIIELARPRLCFILILPTVIPSLLEELIFVAKYQFLQAFEDRTQSRHANGQWHDPMYHIHALIVRLLQEPCFVMHQDPIFSRCVTVTVGLLTVTTLVHEVALVDEDYITLAILVALISGAFFAGNKALLTLLPSLFCNMYKSAPKYGRNLRSQLEHELENFHRLYCRGYLSWCRAGLAGPERKLVEAYSFFAYLIQWILILPCLLFNAIGIWLIRNSLRQALELRQGGRKYEAKLSWENLFLSGPGWFLILEFNYKLGRTFFVAEGLGPLRFRLPLLSKNVLAVMYNQFRLIRHYIDLETLERKHICIQHEKQTQVIRAQVEQWTCYPLASMPIRLAHLYFSHIEWYCCINYIFKILRHIWFVGAIVLITILSGNEQIRASCVQAPLFADPLTVKVPETPHLSHNVMKNAFLIDYDVCSVLFTTFAVVFLFQMLEFIEGILMCTFGNKQHLNYVRSFFLDLNEIHHWPSKSGEAVHVLLDEEGPPSDSYSAELRQYETDFQAM
+>sp|Q9UII2|ATIF1_HUMAN ATPase inhibitor, mitochondrial OS=Homo sapiens OX=9606 GN=ATPIF1 PE=1 SV=1
+MAVTALAARTWLGVWGVRTMQARGFGSDQSENVDRGAGSIREAGGAFGKREQAEEERYFRAQSREQLAALKKHHEEEIVHHKKEIERLQKEIERHKQKIKMLKHDD
+>DECOY_sp|Q9UII2|ATIF1_HUMAN ATPase inhibitor, mitochondrial OS=Homo sapiens OX=9606 GN=ATPIF1 PE=1 SV=1
+DDHKLMKIKQKHREIEKQLREIEKKHHVIEEEHHKKLAALQERSQARFYREEEAQERKGFAGGAERISGAGRDVNESQDSGFGRAQMTRVGWVGLWTRAALATVAM
+>sp|Q8N6G6|ATL1_HUMAN ADAMTS-like protein 1 OS=Homo sapiens OX=9606 GN=ADAMTSL1 PE=1 SV=4
+MECCRRATPGTLLLFLAFLLLSSRTARSEEDRDGLWDAWGPWSECSRTCGGGASYSLRRCLSSKSCEGRNIRYRTCSNVDCPPEAGDFRAQQCSAHNDVKHHGQFYEWLPVSNDPDNPCSLKCQAKGTTLVVELAPKVLDGTRCYTESLDMCISGLCQIVGCDHQLGSTVKEDNCGVCNGDGSTCRLVRGQYKSQLSATKSDDTVVAIPYGSRHIRLVLKGPDHLYLETKTLQGTKGENSLSSTGTFLVDNSSVDFQKFPDKEILRMAGPLTADFIVKIRNSGSADSTVQFIFYQPIIHRWRETDFFPCSATCGGGYQLTSAECYDLRSNRVVADQYCHYYPENIKPKPKLQECNLDPCPASDGYKQIMPYDLYHPLPRWEATPWTACSSSCGGGIQSRAVSCVEEDIQGHVTSVEEWKCMYTPKMPIAQPCNIFDCPKWLAQEWSPCTVTCGQGLRYRVVLCIDHRGMHTGGCSPKTKPHIKEECIVPTPCYKPKEKLPVEAKLPWFKQAQELEEGAAVSEEPSFIPEAWSACTVTCGVGTQVRIVRCQVLLSFSQSVADLPIDECEGPKPASQRACYAGPCSGEIPEFNPDETDGLFGGLQDFDELYDWEYEGFTKCSESCGGGVQEAVVSCLNKQTREPAEENLCVTSRRPPQLLKSCNLDPCPARWEIGKWSPCSLTCGVGLQTRDVFCSHLLSREMNETVILADELCRQPKPSTVQACNRFNCPPAWYPAQWQPCSRTCGGGVQKREVLCKQRMADGSFLELPETFCSASKPACQQACKKDDCPSEWLLSDWTECSTSCGEGTQTRSAICRKMLKTGLSTVVNSTLCPPLPFSSSIRPCMLATCARPGRPSTKHSPHIAAARKVYIQTRRQRKLHFVVGGFAYLLPKTAVVLRCPARRVRKPLITWEKDGQHLISSTHVTVAPFGYLKIHRLKPSDAGVYTCSAGPAREHFVIKLIGGNRKLVARPLSPRSEEEVLAGRKGGPKEALQTHKHQNGIFSNGSKAEKRGLAANPGSRYDDLVSRLLEQGGWPGELLASWEAQDSAERNTTSEEDPGAEQVLLHLPFTMVTEQRRLDDILGNLSQQPEELRDLYSKHLVAQLAQEIFRSHLEHQDTLLKPSERRTSPVTLSPHKHVSGFSSSLRTSSTGDAGGGSRRPHRKPTILRKISAAQQLSASEVVTHLGQTVALASGTLSVLLHCEAIGHPRPTISWARNGEEVQFSDRILLQPDDSLQILAPVEADVGFYTCNATNALGYDSVSIAVTLAGKPLVKTSRMTVINTEKPAVTVDIGSTIKTVQGVNVTINCQVAGVPEAEVTWFRNKSKLGSPHHLHEGSLLLTNVSSSDQGLYSCRAANLHGELTESTQLLILDPPQVPTQLEDIRALLAATGPNLPSVLTSPLGTQLVLDPGNSALLGCPIKGHPVPNITWFHGGQPIVTATGLTHHILAAGQILQVANLSGGSQGEFSCLAQNEAGVLMQKASLVIQDYWWSVDRLATCSASCGNRGVQQPRLRCLLNSTEVNPAHCAGKVRPAVQPIACNRRDCPSRWMVTSWSACTRSCGGGVQTRRVTCQKLKASGISTPVSNDMCTQVAKRPVDTQACNQQLCVEWAFSSWGQCNGPCIGPHLAVQHRQVFCQTRDGITLPSEQCSALPRPVSTQNCWSEACSVHWRVSLWTLCTATCGNYGFQSRRVECVHARTNKAVPEHLCSWGPRPANWQRCNITPCENMECRDTTRYCEKVKQLKLCQLSQFKSRCCGTCGKA
+>DECOY_sp|Q8N6G6|ATL1_HUMAN ADAMTS-like protein 1 OS=Homo sapiens OX=9606 GN=ADAMTSL1 PE=1 SV=4
+AKGCTGCCRSKFQSLQCLKLQKVKECYRTTDRCEMNECPTINCRQWNAPRPGWSCLHEPVAKNTRAHVCEVRRSQFGYNGCTATCLTWLSVRWHVSCAESWCNQTSVPRPLASCQESPLTIGDRTQCFVQRHQVALHPGICPGNCQGWSSFAWEVCLQQNCAQTDVPRKAVQTCMDNSVPTSIGSAKLKQCTVRRTQVGGGCSRTCASWSTVMWRSPCDRRNCAIPQVAPRVKGACHAPNVETSNLLCRLRPQQVGRNGCSASCTALRDVSWWYDQIVLSAKQMLVGAENQALCSFEGQSGGSLNAVQLIQGAALIHHTLGTATVIPQGGHFWTINPVPHGKIPCGLLASNGPDLVLQTGLPSTLVSPLNPGTAALLARIDELQTPVQPPDLILLQTSETLEGHLNAARCSYLGQDSSSVNTLLLSGEHLHHPSGLKSKNRFWTVEAEPVGAVQCNITVNVGQVTKITSGIDVTVAPKETNIVTMRSTKVLPKGALTVAISVSDYGLANTANCTYFGVDAEVPALIQLSDDPQLLIRDSFQVEEGNRAWSITPRPHGIAECHLLVSLTGSALAVTQGLHTVVESASLQQAASIKRLITPKRHPRRSGGGADGTSSTRLSSSFGSVHKHPSLTVPSTRRESPKLLTDQHELHSRFIEQALQAVLHKSYLDRLEEPQQSLNGLIDDLRRQETVMTFPLHLLVQEAGPDEESTTNREASDQAEWSALLEGPWGGQELLRSVLDDYRSGPNAALGRKEAKSGNSFIGNQHKHTQLAEKPGGKRGALVEEESRPSLPRAVLKRNGGILKIVFHERAPGASCTYVGADSPKLRHIKLYGFPAVTVHTSSILHQGDKEWTILPKRVRRAPCRLVVATKPLLYAFGGVVFHLKRQRRTQIYVKRAAAIHPSHKTSPRGPRACTALMCPRISSSFPLPPCLTSNVVTSLGTKLMKRCIASRTQTGEGCSTSCETWDSLLWESPCDDKKCAQQCAPKSASCFTEPLELFSGDAMRQKCLVERKQVGGGCTRSCPQWQAPYWAPPCNFRNCAQVTSPKPQRCLEDALIVTENMERSLLHSCFVDRTQLGVGCTLSCPSWKGIEWRAPCPDLNCSKLLQPPRRSTVCLNEEAPERTQKNLCSVVAEQVGGGCSESCKTFGEYEWDYLEDFDQLGGFLGDTEDPNFEPIEGSCPGAYCARQSAPKPGECEDIPLDAVSQSFSLLVQCRVIRVQTGVGCTVTCASWAEPIFSPEESVAAGEELEQAQKFWPLKAEVPLKEKPKYCPTPVICEEKIHPKTKPSCGGTHMGRHDICLVVRYRLGQGCTVTCPSWEQALWKPCDFINCPQAIPMKPTYMCKWEEVSTVHGQIDEEVCSVARSQIGGGCSSSCATWPTAEWRPLPHYLDYPMIQKYGDSAPCPDLNCEQLKPKPKINEPYYHCYQDAVVRNSRLDYCEASTLQYGGGCTASCPFFDTERWRHIIPQYFIFQVTSDASGSNRIKVIFDATLPGAMRLIEKDPFKQFDVSSNDVLFTGTSSLSNEGKTGQLTKTELYLHDPGKLVLRIHRSGYPIAVVTDDSKTASLQSKYQGRVLRCTSGDGNCVGCNDEKVTSGLQHDCGVIQCLGSICMDLSETYCRTGDLVKPALEVVLTTGKAQCKLSCPNDPDNSVPLWEYFQGHHKVDNHASCQQARFDGAEPPCDVNSCTRYRINRGECSKSSLCRRLSYSAGGGCTRSCESWPGWADWLGDRDEESRATRSSLLLFALFLLLTGPTARRCCEM
+>sp|Q8WXF7|ATLA1_HUMAN Atlastin-1 OS=Homo sapiens OX=9606 GN=ATL1 PE=1 SV=1
+MAKNRRDRNSWGGFSEKTYEWSSEEEEPVKKAGPVQVLIVKDDHSFELDETALNRILLSEAVRDKEVVAVSVAGAFRKGKSFLMDFMLRYMYNQESVDWVGDYNEPLTGFSWRGGSERETTGIQIWSEIFLINKPDGKKVAVLLMDTQGTFDSQSTLRDSATVFALSTMISSIQVYNLSQNVQEDDLQHLQLFTEYGRLAMEETFLKPFQSLIFLVRDWSFPYEFSYGADGGAKFLEKRLKVSGNQHEELQNVRKHIHSCFTNISCFLLPHPGLKVATNPNFDGKLKEIDDEFIKNLKILIPWLLSPESLDIKEINGNKITCRGLVEYFKAYIKIYQGEELPHPKSMLQATAEANNLAAVATAKDTYNKKMEEICGGDKPFLAPNDLQTKHLQLKEESVKLFRGVKKMGGEEFSRRYLQQLESEIDELYIQYIKHNDSKNIFHAARTPATLFVVIFITYVIAGVTGFIGLDIIASLCNMIMGLTLITLCTWAYIRYSGEYRELGAVIDQVAAALWDQGSTNEALYKLYSAAATHRHLYHQAFPTPKSESTEQSEKKKM
+>DECOY_sp|Q8WXF7|ATLA1_HUMAN Atlastin-1 OS=Homo sapiens OX=9606 GN=ATL1 PE=1 SV=1
+MKKKESQETSESKPTPFAQHYLHRHTAAASYLKYLAENTSGQDWLAAAVQDIVAGLERYEGSYRIYAWTCLTILTLGMIMNCLSAIIDLGIFGTVGAIVYTIFIVVFLTAPTRAAHFINKSDNHKIYQIYLEDIESELQQLYRRSFEEGGMKKVGRFLKVSEEKLQLHKTQLDNPALFPKDGGCIEEMKKNYTDKATAVAALNNAEATAQLMSKPHPLEEGQYIKIYAKFYEVLGRCTIKNGNIEKIDLSEPSLLWPILIKLNKIFEDDIEKLKGDFNPNTAVKLGPHPLLFCSINTFCSHIHKRVNQLEEHQNGSVKLRKELFKAGGDAGYSFEYPFSWDRVLFILSQFPKLFTEEMALRGYETFLQLHQLDDEQVNQSLNYVQISSIMTSLAFVTASDRLTSQSDFTGQTDMLLVAVKKGDPKNILFIESWIQIGTTERESGGRWSFGTLPENYDGVWDVSEQNYMYRLMFDMLFSKGKRFAGAVSVAVVEKDRVAESLLIRNLATEDLEFSHDDKVILVQVPGAKKVPEEEESSWEYTKESFGGWSNRDRRNKAM
+>sp|P54710|ATNG_HUMAN Sodium/potassium-transporting ATPase subunit gamma OS=Homo sapiens OX=9606 GN=FXYD2 PE=1 SV=3
+MTGLSMDGGGSPKGDVDPFYYDYETVRNGGLIFAGLAFIVGLLILLSRRFRCGGNKKRRQINEDEP
+>DECOY_sp|P54710|ATNG_HUMAN Sodium/potassium-transporting ATPase subunit gamma OS=Homo sapiens OX=9606 GN=FXYD2 PE=1 SV=3
+PEDENIQRRKKNGGCRFRRSLLILLGVIFALGAFILGGNRVTEYDYYFPDVDGKPSGGGDMSLGTM
+>sp|O00244|ATOX1_HUMAN Copper transport protein ATOX1 OS=Homo sapiens OX=9606 GN=ATOX1 PE=1 SV=1
+MPKHEFSVDMTCGGCAEAVSRVLNKLGGVKYDIDLPNKKVCIESEHSMDTLLATLKKTGKTVSYLGLE
+>DECOY_sp|O00244|ATOX1_HUMAN Copper transport protein ATOX1 OS=Homo sapiens OX=9606 GN=ATOX1 PE=1 SV=1
+ELGLYSVTKGTKKLTALLTDMSHESEICVKKNPLDIDYKVGGLKNLVRSVAEACGGCTMDVSFEHKPM
+>sp|Q99929|ASCL2_HUMAN Achaete-scute homolog 2 OS=Homo sapiens OX=9606 GN=ASCL2 PE=1 SV=2
+MDGGTLPRSAPPAPPVPVGCAARRRPASPELLRCSRRRRPATAETGGGAAAVARRNERERNRVKLVNLGFQALRQHVPHGGASKKLSKVETLRSAVEYIRALQRLLAEHDAVRNALAGGLRPQAVRPSAPRGPPGTTPVAASPSRASSSPGRGGSSEPGSPRSAYSSDDSGCEGALSPAERELLDFSSWLGGY
+>DECOY_sp|Q99929|ASCL2_HUMAN Achaete-scute homolog 2 OS=Homo sapiens OX=9606 GN=ASCL2 PE=1 SV=2
+YGGLWSSFDLLEREAPSLAGECGSDDSSYASRPSGPESSGGRGPSSSARSPSAAVPTTGPPGRPASPRVAQPRLGGALANRVADHEALLRQLARIYEVASRLTEVKSLKKSAGGHPVHQRLAQFGLNVLKVRNRERENRRAVAAAGGGTEATAPRRRRSCRLLEPSAPRRRAACGVPVPPAPPASRPLTGGDM
+>sp|Q7RTU5|ASCL5_HUMAN Achaete-scute homolog 5 OS=Homo sapiens OX=9606 GN=ASCL5 PE=3 SV=2
+MPMGAAERGAGPQSSAAPWAGSEKAAKRGPSKSWYPRAAASDVTCPTGGDGADPKPGPFGGGLALGPAPRGTMNNNFCRALVDRRPLGPPSCMQLGVMPPPRQAPLPPAEPLGNVPFLLYPGPAEPPYYDAYAGVFPYVPFPGAFGVYEYPFEPAFIQKRNERERQRVKCVNEGYARLRGHLPGALAEKRLSKVETLRAAIRYIKYLQELLSSAPDGSTPPASRGLPGTGPCPAPPATPRPDRPGDGEARAPSSLVPESSESSCFSPSPFLESEESWH
+>DECOY_sp|Q7RTU5|ASCL5_HUMAN Achaete-scute homolog 5 OS=Homo sapiens OX=9606 GN=ASCL5 PE=3 SV=2
+HWSEESELFPSPSFCSSESSEPVLSSPARAEGDGPRDPRPTAPPAPCPGTGPLGRSAPPTSGDPASSLLEQLYKIYRIAARLTEVKSLRKEALAGPLHGRLRAYGENVCKVRQRERENRKQIFAPEFPYEYVGFAGPFPVYPFVGAYADYYPPEAPGPYLLFPVNGLPEAPPLPAQRPPPMVGLQMCSPPGLPRRDVLARCFNNNMTGRPAPGLALGGGFPGPKPDAGDGGTPCTVDSAAARPYWSKSPGRKAAKESGAWPAASSQPGAGREAAGMPM
+>sp|P07306|ASGR1_HUMAN Asialoglycoprotein receptor 1 OS=Homo sapiens OX=9606 GN=ASGR1 PE=1 SV=2
+MTKEYQDLQHLDNEESDHHQLRKGPPPPQPLLQRLCSGPRLLLLSLGLSLLLLVVVCVIGSQNSQLQEELRGLRETFSNFTASTEAQVKGLSTQGGNVGRKMKSLESQLEKQQKDLSEDHSSLLLHVKQFVSDLRSLSCQMAALQGNGSERTCCPVNWVEHERSCYWFSRSGKAWADADNYCRLEDAHLVVVTSWEEQKFVQHHIGPVNTWMGLHDQNGPWKWVDGTDYETGFKNWRPEQPDDWYGHGLGGGEDCAHFTDDGRWNDDVCQRPYRWVCETELDKASQEPPLL
+>DECOY_sp|P07306|ASGR1_HUMAN Asialoglycoprotein receptor 1 OS=Homo sapiens OX=9606 GN=ASGR1 PE=1 SV=2
+LLPPEQSAKDLETECVWRYPRQCVDDNWRGDDTFHACDEGGGLGHGYWDDPQEPRWNKFGTEYDTGDVWKWPGNQDHLGMWTNVPGIHHQVFKQEEWSTVVVLHADELRCYNDADAWAKGSRSFWYCSREHEVWNVPCCTRESGNGQLAAMQCSLSRLDSVFQKVHLLLSSHDESLDKQQKELQSELSKMKRGVNGGQTSLGKVQAETSATFNSFTERLGRLEEQLQSNQSGIVCVVVLLLLSLGLSLLLLRPGSCLRQLLPQPPPPGKRLQHHDSEENDLHQLDQYEKTM
+>sp|Q9BVC5|ASHWN_HUMAN Ashwin OS=Homo sapiens OX=9606 GN=C2orf49 PE=1 SV=1
+MAGDVGGRSCTDSELLLHPELLSQEFLLLTLEQKNIAVETDVRVNKDSLTDLYVQHAIPLPQRDLPKNRWGKMMEKKREQHEIKNETKRSSTVDGLRKRPLIVFDGSSTSTSIKVKKTENGDNDRLKPPPQASFTSNAFRKLSNSSSSVSPLILSSNLPVNNKTEHNNNDAKQNHDLTHRKSPSGPVKSPPLSPVGTTPVKLKRAAPKEEAEAMNNLKPPQAKRKIQHVTWP
+>DECOY_sp|Q9BVC5|ASHWN_HUMAN Ashwin OS=Homo sapiens OX=9606 GN=C2orf49 PE=1 SV=1
+PWTVHQIKRKAQPPKLNNMAEAEEKPAARKLKVPTTGVPSLPPSKVPGSPSKRHTLDHNQKADNNNHETKNNVPLNSSLILPSVSSSSNSLKRFANSTFSAQPPPKLRDNDGNETKKVKISTSTSSGDFVILPRKRLGDVTSSRKTENKIEHQERKKEMMKGWRNKPLDRQPLPIAHQVYLDTLSDKNVRVDTEVAINKQELTLLLFEQSLLEPHLLLESDTCSRGGVDGAM
+>sp|P78348|ASIC1_HUMAN Acid-sensing ion channel 1 OS=Homo sapiens OX=9606 GN=ASIC1 PE=1 SV=3
+MELKAEEEEVGGVQPVSIQAFASSSTLHGLAHIFSYERLSLKRALWALCFLGSLAVLLCVCTERVQYYFHYHHVTKLDEVAASQLTFPAVTLCNLNEFRFSQVSKNDLYHAGELLALLNNRYEIPDTQMADEKQLEILQDKANFRSFKPKPFNMREFYDRAGHDIRDMLLSCHFRGEVCSAEDFKVVFTRYGKCYTFNSGRDGRPRLKTMKGGTGNGLEIMLDIQQDEYLPVWGETDETSFEAGIKVQIHSQDEPPFIDQLGFGVAPGFQTFVACQEQRLIYLPPPWGTCKAVTMDSDLDFFDSYSITACRIDCETRYLVENCNCRMVHMPGDAPYCTPEQYKECADPALDFLVEKDQEYCVCEMPCNLTRYGKELSMVKIPSKASAKYLAKKFNKSEQYIGENILVLDIFFEVLNYETIEQKKAYEIAGLLGDIGGQMGLFIGASILTVLELFDYAYEVIKHKLCRRGKCQKEAKRSSADKGVALSLDDVKRHNPCESLRGHPAGMTYAANILPHHPARGTFEDFTC
+>DECOY_sp|P78348|ASIC1_HUMAN Acid-sensing ion channel 1 OS=Homo sapiens OX=9606 GN=ASIC1 PE=1 SV=3
+CTFDEFTGRAPHHPLINAAYTMGAPHGRLSECPNHRKVDDLSLAVGKDASSRKAEKQCKGRRCLKHKIVEYAYDFLELVTLISAGIFLGMQGGIDGLLGAIEYAKKQEITEYNLVEFFIDLVLINEGIYQESKNFKKALYKASAKSPIKVMSLEKGYRTLNCPMECVCYEQDKEVLFDLAPDACEKYQEPTCYPADGPMHVMRCNCNEVLYRTECDIRCATISYSDFFDLDSDMTVAKCTGWPPPLYILRQEQCAVFTQFGPAVGFGLQDIFPPEDQSHIQVKIGAEFSTEDTEGWVPLYEDQQIDLMIELGNGTGGKMTKLRPRGDRGSNFTYCKGYRTFVVKFDEASCVEGRFHCSLLMDRIDHGARDYFERMNFPKPKFSRFNAKDQLIELQKEDAMQTDPIEYRNNLLALLEGAHYLDNKSVQSFRFENLNCLTVAPFTLQSAAVEDLKTVHHYHFYYQVRETCVCLLVALSGLFCLAWLARKLSLREYSFIHALGHLTSSSAFAQISVPQVGGVEEEEAKLEM
+>sp|Q16515|ASIC2_HUMAN Acid-sensing ion channel 2 OS=Homo sapiens OX=9606 GN=ASIC2 PE=1 SV=1
+MDLKESPSEGSLQPSSIQIFANTSTLHGIRHIFVYGPLTIRRVLWAVAFVGSLGLLLVESSERVSYYFSYQHVTKVDEVVAQSLVFPAVTLCNLNGFRFSRLTTNDLYHAGELLALLDVNLQIPDPHLADPSVLEALRQKANFKHYKPKQFSMLEFLHRVGHDLKDMMLYCKFKGQECGHQDFTTVFTKYGKCYMFNSGEDGKPLLTTVKGGTGNGLEIMLDIQQDEYLPIWGETEETTFEAGVKVQIHSQSEPPFIQELGFGVAPGFQTFVATQEQRLTYLPPPWGECRSSEMGLDFFPVYSITACRIDCETRYIVENCNCRMVHMPGDAPFCTPEQHKECAEPALGLLAEKDSNYCLCRTPCNLTRYNKELSMVKIPSKTSAKYLEKKFNKSEKYISENILVLDIFFEALNYETIEQKKAYEVAALLGDIGGQMGLFIGASILTILELFDYIYELIKEKLLDLLGKEEDEGSHDENVSTCDTMPNHSETISHTVNVPLQTTLGTLEEIAC
+>DECOY_sp|Q16515|ASIC2_HUMAN Acid-sensing ion channel 2 OS=Homo sapiens OX=9606 GN=ASIC2 PE=1 SV=1
+CAIEELTGLTTQLPVNVTHSITESHNPMTDCTSVNEDHSGEDEEKGLLDLLKEKILEYIYDFLELITLISAGIFLGMQGGIDGLLAAVEYAKKQEITEYNLAEFFIDLVLINESIYKESKNFKKELYKASTKSPIKVMSLEKNYRTLNCPTRCLCYNSDKEALLGLAPEACEKHQEPTCFPADGPMHVMRCNCNEVIYRTECDIRCATISYVPFFDLGMESSRCEGWPPPLYTLRQEQTAVFTQFGPAVGFGLEQIFPPESQSHIQVKVGAEFTTEETEGWIPLYEDQQIDLMIELGNGTGGKVTTLLPKGDEGSNFMYCKGYKTFVTTFDQHGCEQGKFKCYLMMDKLDHGVRHLFELMSFQKPKYHKFNAKQRLAELVSPDALHPDPIQLNVDLLALLEGAHYLDNTTLRSFRFGNLNCLTVAPFVLSQAVVEDVKTVHQYSFYYSVRESSEVLLLGLSGVFAVAWLVRRITLPGYVFIHRIGHLTSTNAFIQISSPQLSGESPSEKLDM
+>sp|Q96FT7|ASIC4_HUMAN Acid-sensing ion channel 4 OS=Homo sapiens OX=9606 GN=ASIC4 PE=1 SV=2
+MLSGAAGAARRGGAALAPSLTRSLAGTHAGADSCAGADKGSHKETIEERDKRQQRQQRQRQHQGCGAAGSGSDSPTSGPHPVPVLFPLALSLEEQPLPPLPLGRAPGLLAREGQGREALASPSSRGQMPIEIVCKIKFAEEDAKPKEKEAGDEQSLLGAVAPGAAPRDLATFASTSTLHGLGRACGPGPHGLRRTLWALALLTSLAAFLYQAAGLARGYLTRPHLVAMDPAAPAPVAGFPAVTLCNINRFRHSALSDADIFHLANLTGLPPKDRDGHRAAGLRYPEPDMVDILNRTGHQLADMLKSCNFSGHHCSASNFSVVYTRYGKCYTFNADPRSSLPSRAGGMGSGLEIMLDIQQEEYLPIWRETNETSFEAGIRVQIHSQEEPPYIHQLGFGVSPGFQTFVSCQEQRLTYLPQPWGNCRAESELREPELQGYSAYSVSACRLRCEKEAVLQRCHCRMVHMPDSLGGGPEGPCFCPTPCNLTRYGKEISMVRIPNRGSARYLARKYNRNETYIRENFLVLDVFFEALTSEAMEQRAAYGLSALLGDLGGQMGLFIGASILTLLEILDYIYEVSWDRLKRVWRRPKTPLRTSTGGISTLGLQELKEQSPCPSRGRVEGGGVSSLLPNHHHPHGPPGGLFEDFAC
+>DECOY_sp|Q96FT7|ASIC4_HUMAN Acid-sensing ion channel 4 OS=Homo sapiens OX=9606 GN=ASIC4 PE=1 SV=2
+CAFDEFLGGPPGHPHHHNPLLSSVGGGEVRGRSPCPSQEKLEQLGLTSIGGTSTRLPTKPRRWVRKLRDWSVEYIYDLIELLTLISAGIFLGMQGGLDGLLASLGYAARQEMAESTLAEFFVDLVLFNERIYTENRNYKRALYRASGRNPIRVMSIEKGYRTLNCPTPCFCPGEPGGGLSDPMHVMRCHCRQLVAEKECRLRCASVSYASYGQLEPERLESEARCNGWPQPLYTLRQEQCSVFTQFGPSVGFGLQHIYPPEEQSHIQVRIGAEFSTENTERWIPLYEEQQIDLMIELGSGMGGARSPLSSRPDANFTYCKGYRTYVVSFNSASCHHGSFNCSKLMDALQHGTRNLIDVMDPEPYRLGAARHGDRDKPPLGTLNALHFIDADSLASHRFRNINCLTVAPFGAVPAPAAPDMAVLHPRTLYGRALGAAQYLFAALSTLLALAWLTRRLGHPGPGCARGLGHLTSTSAFTALDRPAAGPAVAGLLSQEDGAEKEKPKADEEAFKIKCVIEIPMQGRSSPSALAERGQGERALLGPARGLPLPPLPQEELSLALPFLVPVPHPGSTPSDSGSGAAGCGQHQRQRQQRQQRKDREEITEKHSGKDAGACSDAGAHTGALSRTLSPALAAGGRRAAGAAGSLM
+>sp|P42127|ASIP_HUMAN Agouti-signaling protein OS=Homo sapiens OX=9606 GN=ASIP PE=1 SV=1
+MDVTRLLLATLLVFLCFFTANSHLPPEEKLRDDRSLRSNSSVNLLDVPSVSIVALNKKSKQIGRKAAEKKRSSKKEASMKKVVRPRTPLSAPCVATRNSCKPPAPACCDPCASCQCRFFRSACSCRVLSLNC
+>DECOY_sp|P42127|ASIP_HUMAN Agouti-signaling protein OS=Homo sapiens OX=9606 GN=ASIP PE=1 SV=1
+CNLSLVRCSCASRFFRCQCSACPDCCAPAPPKCSNRTAVCPASLPTRPRVVKKMSAEKKSSRKKEAAKRGIQKSKKNLAVISVSPVDLLNVSSNSRLSRDDRLKEEPPLHSNATFFCLFVLLTALLLRTVDM
+>sp|P46597|ASMT_HUMAN Acetylserotonin O-methyltransferase OS=Homo sapiens OX=9606 GN=ASMT PE=1 SV=1
+MGSSEDQAYRLLNDYANGFMVSQVLFAACELGVFDLLAEAPGPLDVAAVAAGVRASAHGTELLLDICVSLKLLKVETRGGKAFYRNTELSSDYLTTVSPTSQCSMLKYMGRTSYRCWGHLADAVREGRNQYLETFGVPAEELFTAIYRSEGERLQFMQALQEVWSVNGRSVLTAFDLSVFPLMCDLGGGAGALAKECMSLYPGCKITVFDIPEVVWTAKQHFSFQEEEQIDFQEGDFFKDPLPEADLYILARVLHDWADGKCSHLLERIYHTCKPGGGILVIESLLDEDRRGPLLTQLYSLNMLVQTEGQERTPTHYHMLLSSAGFRDFQFKKTGAIYDAILARK
+>DECOY_sp|P46597|ASMT_HUMAN Acetylserotonin O-methyltransferase OS=Homo sapiens OX=9606 GN=ASMT PE=1 SV=1
+KRALIADYIAGTKKFQFDRFGASSLLMHYHTPTREQGETQVLMNLSYLQTLLPGRRDEDLLSEIVLIGGGPKCTHYIRELLHSCKGDAWDHLVRALIYLDAEPLPDKFFDGEQFDIQEEEQFSFHQKATWVVEPIDFVTIKCGPYLSMCEKALAGAGGGLDCMLPFVSLDFATLVSRGNVSWVEQLAQMFQLREGESRYIATFLEEAPVGFTELYQNRGERVADALHGWCRYSTRGMYKLMSCQSTPSVTTLYDSSLETNRYFAKGGRTEVKLLKLSVCIDLLLETGHASARVGAAVAAVDLPGPAEALLDFVGLECAAFLVQSVMFGNAYDNLLRYAQDESSGM
+>sp|P17405|ASM_HUMAN Sphingomyelin phosphodiesterase OS=Homo sapiens OX=9606 GN=SMPD1 PE=1 SV=4
+MPRYGASLRQSCPRSGREQGQDGTAGAPGLLWMGLVLALALALALALSDSRVLWAPAEAHPLSPQGHPARLHRIVPRLRDVFGWGNLTCPICKGLFTAINLGLKKEPNVARVGSVAIKLCNLLKIAPPAVCQSIVHLFEDDMVEVWRRSVLSPSEACGLLLGSTCGHWDIFSSWNISLPTVPKPPPKPPSPPAPGAPVSRILFLTDLHWDHDYLEGTDPDCADPLCCRRGSGLPPASRPGAGYWGEYSKCDLPLRTLESLLSGLGPAGPFDMVYWTGDIPAHDVWHQTRQDQLRALTTVTALVRKFLGPVPVYPAVGNHESTPVNSFPPPFIEGNHSSRWLYEAMAKAWEPWLPAEALRTLRIGGFYALSPYPGLRLISLNMNFCSRENFWLLINSTDPAGQLQWLVGELQAAEDRGDKVHIIGHIPPGHCLKSWSWNYYRIVARYENTLAAQFFGHTHVDEFEVFYDEETLSRPLAVAFLAPSATTYIGLNPGYRVYQIDGNYSGSSHVVLDHETYILNLTQANIPGAIPHWQLLYRARETYGLPNTLPTAWHNLVYRMRGDMQLFQTFWFLYHKGHPPSEPCGTPCRLATLCAQLSARADSPALCRHLMPDGSLPEAQSLWPRPLFC
+>DECOY_sp|P17405|ASM_HUMAN Sphingomyelin phosphodiesterase OS=Homo sapiens OX=9606 GN=SMPD1 PE=1 SV=4
+CFLPRPWLSQAEPLSGDPMLHRCLAPSDARASLQACLTALRCPTGCPESPPHGKHYLFWFTQFLQMDGRMRYVLNHWATPLTNPLGYTERARYLLQWHPIAGPINAQTLNLIYTEHDLVVHSSGSYNGDIQYVRYGPNLGIYTTASPALFAVALPRSLTEEDYFVEFEDVHTHGFFQAALTNEYRAVIRYYNWSWSKLCHGPPIHGIIHVKDGRDEAAQLEGVLWQLQGAPDTSNILLWFNERSCFNMNLSILRLGPYPSLAYFGGIRLTRLAEAPLWPEWAKAMAEYLWRSSHNGEIFPPPFSNVPTSEHNGVAPYVPVPGLFKRVLATVTTLARLQDQRTQHWVDHAPIDGTWYVMDFPGAPGLGSLLSELTRLPLDCKSYEGWYGAGPRSAPPLGSGRRCCLPDACDPDTGELYDHDWHLDTLFLIRSVPAGPAPPSPPKPPPKPVTPLSINWSSFIDWHGCTSGLLLGCAESPSLVSRRWVEVMDDEFLHVISQCVAPPAIKLLNCLKIAVSGVRAVNPEKKLGLNIATFLGKCIPCTLNGWGFVDRLRPVIRHLRAPHGQPSLPHAEAPAWLVRSDSLALALALALALVLGMWLLGPAGATGDQGQERGSRPCSQRLSAGYRPM
+>sp|Q13625|ASPP2_HUMAN Apoptosis-stimulating of p53 protein 2 OS=Homo sapiens OX=9606 GN=TP53BP2 PE=1 SV=2
+MMPMFLTVYLSNNEQHFTEVPVTPETICRDVVDLCKEPGESDCHLAEVWCGSERPVADNERMFDVLQRFGSQRNEVRFFLRHERPPGRDIVSGPRSQDPSLKRNGVKVPGEYRRKENGVNSPRMDLTLAELQEMASRQQQQIEAQQQLLATKEQRLKFLKQQDQRQQQQVAEQEKLKRLKEIAENQEAKLKKVRALKGHVEQKRLSNGKLVEEIEQMNNLFQQKQRELVLAVSKVEELTRQLEMLKNGRIDSHHDNQSAVAELDRLYKELQLRNKLNQEQNAKLQQQRECLNKRNSEVAVMDKRVNELRDRLWKKKAALQQKENLPVSSDGNLPQQAASAPSRVAAVGPYIQSSTMPRMPSRPELLVKPALPDGSLVIQASEGPMKIQTLPNMRSGAASQTKGSKIHPVGPDWSPSNADLFPSQGSASVPQSTGNALDQVDDGEVPLREKEKKVRPFSMFDAVDQSNAPPSFGTLRKNQSSEDILRDAQVANKNVAKVPPPVPTKPKQINLPYFGQTNQPPSDIKPDGSSQQLSTVVPSMGTKPKPAGQQPRVLLSPSIPSVGQDQTLSPGSKQESPPAAAVRPFTPQPSKDTLLPPFRKPQTVAASSIYSMYTQQQAPGKNFQQAVQSALTKTHTRGPHFSSVYGKPVIAAAQNQQQHPENIYSNSQGKPGSPEPETEPVSSVQENHENERIPRPLSPTKLLPFLSNPYRNQSDADLEALRKKLSNAPRPLKKRSSITEPEGPNGPNIQKLLYQRTTIAAMETISVPSYPSKSASVTASSESPVEIQNPYLHVEPEKEVVSLVPESLSPEDVGNASTENSDMPAPSPGLDYEPEGVPDNSPNLQNNPEEPNPEAPHVLDVYLEEYPPYPPPPYPSGEPEGPGEDSVSMRPPEITGQVSLPPGKRTNLRKTGSERIAHGMRVKFNPLALLLDSSLEGEFDLVQRIIYEVDDPSLPNDEGITALHNAVCAGHTEIVKFLVQFGVNVNAADSDGWTPLHCAASCNNVQVCKFLVESGAAVFAMTYSDMQTAADKCEEMEEGYTQCSQFLYGVQEKMGIMNKGVIYALWDYEPQNDDELPMKEGDCMTIIHREDEDEIEWWWARLNDKEGYVPRNLLGLYPRIKPRQRSLA
+>DECOY_sp|Q13625|ASPP2_HUMAN Apoptosis-stimulating of p53 protein 2 OS=Homo sapiens OX=9606 GN=TP53BP2 PE=1 SV=2
+ALSRQRPKIRPYLGLLNRPVYGEKDNLRAWWWEIEDEDERHIITMCDGEKMPLEDDNQPEYDWLAYIVGKNMIGMKEQVGYLFQSCQTYGEEMEECKDAATQMDSYTMAFVAAGSEVLFKCVQVNNCSAACHLPTWGDSDAANVNVGFQVLFKVIETHGACVANHLATIGEDNPLSPDDVEYIIRQVLDFEGELSSDLLLALPNFKVRMGHAIRESGTKRLNTRKGPPLSVQGTIEPPRMSVSDEGPGEPEGSPYPPPPYPPYEELYVDLVHPAEPNPEEPNNQLNPSNDPVGEPEYDLGPSPAPMDSNETSANGVDEPSLSEPVLSVVEKEPEVHLYPNQIEVPSESSATVSASKSPYSPVSITEMAAITTRQYLLKQINPGNPGEPETISSRKKLPRPANSLKKRLAELDADSQNRYPNSLFPLLKTPSLPRPIRENEHNEQVSSVPETEPEPSGPKGQSNSYINEPHQQQNQAAAIVPKGYVSSFHPGRTHTKTLASQVAQQFNKGPAQQQTYMSYISSAAVTQPKRFPPLLTDKSPQPTFPRVAAAPPSEQKSGPSLTQDQGVSPISPSLLVRPQQGAPKPKTGMSPVVTSLQQSSGDPKIDSPPQNTQGFYPLNIQKPKTPVPPPVKAVNKNAVQADRLIDESSQNKRLTGFSPPANSQDVADFMSFPRVKKEKERLPVEGDDVQDLANGTSQPVSASGQSPFLDANSPSWDPGVPHIKSGKTQSAAGSRMNPLTQIKMPGESAQIVLSGDPLAPKVLLEPRSPMRPMTSSQIYPGVAAVRSPASAAQQPLNGDSSVPLNEKQQLAAKKKWLRDRLENVRKDMVAVESNRKNLCERQQQLKANQEQNLKNRLQLEKYLRDLEAVASQNDHHSDIRGNKLMELQRTLEEVKSVALVLERQKQQFLNNMQEIEEVLKGNSLRKQEVHGKLARVKKLKAEQNEAIEKLRKLKEQEAVQQQQRQDQQKLFKLRQEKTALLQQQAEIQQQQRSAMEQLEALTLDMRPSNVGNEKRRYEGPVKVGNRKLSPDQSRPGSVIDRGPPREHRLFFRVENRQSGFRQLVDFMRENDAVPRESGCWVEALHCDSEGPEKCLDVVDRCITEPTVPVETFHQENNSLYVTLFMPMM
+>sp|Q5VTU8|AT5EL_HUMAN ATP synthase subunit epsilon-like protein, mitochondrial OS=Homo sapiens OX=9606 GN=ATP5EP2 PE=3 SV=1
+MVAYWRQAGLSYIRYSQICAKVVRDALKTEFKANAKKTSGNSVKIVKVKKE
+>DECOY_sp|Q5VTU8|AT5EL_HUMAN ATP synthase subunit epsilon-like protein, mitochondrial OS=Homo sapiens OX=9606 GN=ATP5EP2 PE=3 SV=1
+EKKVKVIKVSNGSTKKANAKFETKLADRVVKACIQSYRIYSLGAQRWYAVM
+>sp|Q9ULK2|AT7L1_HUMAN Ataxin-7-like protein 1 OS=Homo sapiens OX=9606 GN=ATXN7L1 PE=1 SV=3
+MTSERSRIPCLSAAAAEGTGKKQQEGRAMATLDRKVPSPEAFLGKPWSSWIDAAKLHCSDNVDLEEAGKEGGKSREVMRLNKEDMHLFGHYPAHDDFYLVVCSACNQVVKPQVFQSHCERRHGSMCRPSPSPVSPASNPRTSLVQVKTKACLSGHHSASSTSKPFKTPKDNLLTSSSKQHTVFPAKGSRDKPCVPVPVVSLEKIPNLVKADGANVKMNSTTTTAVSASSTSSSAVSTPPLIKPVLMSKSVPPSPEKILNGKGILPTTIDKKHQNGTKNSNKPYRRLSEREFDPNKHCGVLDPETKKPCTRSLTCKTHSLSHRRAVPGRKKQFDLLLAEHKAKSREKEVKDKEHLLTSTREILPSQSGPAQDSLLGSSGSSGPEPKVASPAKSRPPNSVLPRPSSANSISSSTSSNHSGHTPEPPLPPVGGDLASRLSSDEGEMDGADESEKLDCQFSTHHPRPLAFCSFGSRLMGRGYYVFDRRWDRFRFALNSMVEKHLNSQMWKKIPPAADSPLPSPAAHITTPVPASVLQPFSNPSAVYLPSAPISSRLTSSYIMTSAMLSNAAFVTSPDPSALMSHTTAFPHVAATLSIMDSTFKAPSAVSPIPAVIPSPSHKPSKTKTSKSSKVKDLSTRSDESPSNKKRKPQSSTSSSSSSSSSSLQTSLSSPLSGPHKKNCVLNASSALNSYQAAPPYNSLSVHNSNNGVSPLSAKLEPSGRTSLPGGPADIVRQVGAVGGSSDSCPLSVPSLALHAGDLSLASHNAVSSLPLSFDKSEGKKRKNSSSSSKACKITKMPGMNSVHKKNPPSLLAPVPDPVNSTSSRQVGKNSSLALSQSSPSSISSPGHSRQNTNRTGRIRTLP
+>DECOY_sp|Q9ULK2|AT7L1_HUMAN Ataxin-7-like protein 1 OS=Homo sapiens OX=9606 GN=ATXN7L1 PE=1 SV=3
+PLTRIRGTRNTNQRSHGPSSISSPSSQSLALSSNKGVQRSSTSNVPDPVPALLSPPNKKHVSNMGPMKTIKCAKSSSSSNKRKKGESKDFSLPLSSVANHSALSLDGAHLALSPVSLPCSDSSGGVAGVQRVIDAPGGPLSTRGSPELKASLPSVGNNSNHVSLSNYPPAAQYSNLASSANLVCNKKHPGSLPSSLSTQLSSSSSSSSSSTSSQPKRKKNSPSEDSRTSLDKVKSSKSTKTKSPKHSPSPIVAPIPSVASPAKFTSDMISLTAAVHPFATTHSMLASPDPSTVFAANSLMASTMIYSSTLRSSIPASPLYVASPNSFPQLVSAPVPTTIHAAPSPLPSDAAPPIKKWMQSNLHKEVMSNLAFRFRDWRRDFVYYGRGMLRSGFSCFALPRPHHTSFQCDLKESEDAGDMEGEDSSLRSALDGGVPPLPPEPTHGSHNSSTSSSISNASSPRPLVSNPPRSKAPSAVKPEPGSSGSSGLLSDQAPGSQSPLIERTSTLLHEKDKVEKERSKAKHEALLLDFQKKRGPVARRHSLSHTKCTLSRTCPKKTEPDLVGCHKNPDFERESLRRYPKNSNKTGNQHKKDITTPLIGKGNLIKEPSPPVSKSMLVPKILPPTSVASSSTSSASVATTTTSNMKVNAGDAKVLNPIKELSVVPVPVCPKDRSGKAPFVTHQKSSSTLLNDKPTKFPKSTSSASHHGSLCAKTKVQVLSTRPNSAPSVPSPSPRCMSGHRRECHSQFVQPKVVQNCASCVVLYFDDHAPYHGFLHMDEKNLRMVERSKGGEKGAEELDVNDSCHLKAADIWSSWPKGLFAEPSPVKRDLTAMARGEQQKKGTGEAAAASLCPIRSRESTM
+>sp|Q9Y2Q0|AT8A1_HUMAN Phospholipid-transporting ATPase IA OS=Homo sapiens OX=9606 GN=ATP8A1 PE=1 SV=1
+MPTMRRTVSEIRSRAEGYEKTDDVSEKTSLADQEEVRTIFINQPQLTKFCNNHVSTAKYNIITFLPRFLYSQFRRAANSFFLFIALLQQIPDVSPTGRYTTLVPLLFILAVAAIKEIIEDIKRHKADNAVNKKQTQVLRNGAWEIVHWEKVAVGEIVKVTNGEHLPADLISLSSSEPQAMCYIETSNLDGETNLKIRQGLPATSDIKDVDSLMRISGRIECESPNRHLYDFVGNIRLDGHGTVPLGADQILLRGAQLRNTQWVHGIVVYTGHDTKLMQNSTSPPLKLSNVERITNVQILILFCILIAMSLVCSVGSAIWNRRHSGKDWYLNLNYGGASNFGLNFLTFIILFNNLIPISLLVTLEVVKFTQAYFINWDLDMHYEPTDTAAMARTSNLNEELGQVKYIFSDKTGTLTCNVMQFKKCTIAGVAYGHVPEPEDYGCSPDEWQNSQFGDEKTFSDSSLLENLQNNHPTAPIICEFLTMMAVCHTAVPEREGDKIIYQAASPDEGALVRAAKQLNFVFTGRTPDSVIIDSLGQEERYELLNVLEFTSARKRMSVIVRTPSGKLRLYCKGADTVIYDRLAETSKYKEITLKHLEQFATEGLRTLCFAVAEISESDFQEWRAVYQRASTSVQNRLLKLEESYELIEKNLQLLGATAIEDKLQDQVPETIETLMKADIKIWILTGDKQETAINIGHSCKLLKKNMGMIVINEGSLDGTRETLSRHCTTLGDALRKENDFALIIDGKTLKYALTFGVRQYFLDLALSCKAVICCRVSPLQKSEVVEMVKKQVKVVTLAIGDGANDVSMIQTAHVGVGISGNEGLQAANSSDYSIAQFKYLKNLLMIHGAWNYNRVSKCILYCFYKNIVLYIIEIWFAFVNGFSGQILFERWCIGLYNVMFTAMPPLTLGIFERSCRKENMLKYPELYKTSQNALDFNTKVFWVHCLNGLFHSVILFWFPLKALQYGTAFGNGKTSDYLLLGNFVYTFVVITVCLKAGLETSYWTWFSHIAIWGSIALWVVFFGIYSSLWPAIPMAPDMSGEAAMLFSSGVFWMGLLFIPVASLLLDVVYKVIKRTAFKTLVDEVQELEAKSQDPGAVVLGKSLTERAQLLKNVFKKNHVNLYRSESLQQNLLHGYAFSQDENGIVSQSEVIRAYDTTKQRPDEW
+>DECOY_sp|Q9Y2Q0|AT8A1_HUMAN Phospholipid-transporting ATPase IA OS=Homo sapiens OX=9606 GN=ATP8A1 PE=1 SV=1
+WEDPRQKTTDYARIVESQSVIGNEDQSFAYGHLLNQQLSESRYLNVHNKKFVNKLLQARETLSKGLVVAGPDQSKAELEQVEDVLTKFATRKIVKYVVDLLLSAVPIFLLGMWFVGSSFLMAAEGSMDPAMPIAPWLSSYIGFFVVWLAISGWIAIHSFWTWYSTELGAKLCVTIVVFTYVFNGLLLYDSTKGNGFATGYQLAKLPFWFLIVSHFLGNLCHVWFVKTNFDLANQSTKYLEPYKLMNEKRCSREFIGLTLPPMATFMVNYLGICWREFLIQGSFGNVFAFWIEIIYLVINKYFCYLICKSVRNYNWAGHIMLLNKLYKFQAISYDSSNAAQLGENGSIGVGVHATQIMSVDNAGDGIALTVVKVQKKVMEVVESKQLPSVRCCIVAKCSLALDLFYQRVGFTLAYKLTKGDIILAFDNEKRLADGLTTCHRSLTERTGDLSGENIVIMGMNKKLLKCSHGINIATEQKDGTLIWIKIDAKMLTEITEPVQDQLKDEIATAGLLQLNKEILEYSEELKLLRNQVSTSARQYVARWEQFDSESIEAVAFCLTRLGETAFQELHKLTIEKYKSTEALRDYIVTDAGKCYLRLKGSPTRVIVSMRKRASTFELVNLLEYREEQGLSDIIVSDPTRGTFVFNLQKAARVLAGEDPSAAQYIIKDGEREPVATHCVAMMTLFECIIPATPHNNQLNELLSSDSFTKEDGFQSNQWEDPSCGYDEPEPVHGYAVGAITCKKFQMVNCTLTGTKDSFIYKVQGLEENLNSTRAMAATDTPEYHMDLDWNIFYAQTFKVVELTVLLSIPILNNFLIIFTLFNLGFNSAGGYNLNLYWDKGSHRRNWIASGVSCVLSMAILICFLILIQVNTIREVNSLKLPPSTSNQMLKTDHGTYVVIGHVWQTNRLQAGRLLIQDAGLPVTGHGDLRINGVFDYLHRNPSECEIRGSIRMLSDVDKIDSTAPLGQRIKLNTEGDLNSTEIYCMAQPESSSLSILDAPLHEGNTVKVIEGVAVKEWHVIEWAGNRLVQTQKKNVANDAKHRKIDEIIEKIAAVALIFLLPVLTTYRGTPSVDPIQQLLAIFLFFSNAARRFQSYLFRPLFTIINYKATSVHNNCFKTLQPQNIFITRVEEQDALSTKESVDDTKEYGEARSRIESVTRRMTPM
+>sp|Q9NTI2|AT8A2_HUMAN Phospholipid-transporting ATPase IB OS=Homo sapiens OX=9606 GN=ATP8A2 PE=1 SV=2
+MSRATSVGDQLEAPARTIYLNQPHLNKFRDNQISTAKYSVLTFLPRFLYEQIRRAANAFFLFIALLQQIPDVSPTGRYTTLVPLIIILTIAGIKEIVEDFKRHKADNAVNKKKTIVLRNGMWHTIMWKEVAVGDIVKVVNGQYLPADVVLLSSSEPQAMCYVETANLDGETNLKIRQGLSHTADMQTREVLMKLSGTIECEGPNRHLYDFTGNLNLDGKSLVALGPDQILLRGTQLRNTQWVFGIVVYTGHDTKLMQNSTKAPLKRSNVEKVTNVQILVLFGILLVMALVSSAGALYWNRSHGEKNWYIKKMDTTSDNFGYNLLTFIILYNNLIPISLLVTLEVVKYTQALFINWDTDMYYIGNDTPAMARTSNLNEELGQVKYLFSDKTGTLTCNIMNFKKCSIAGVTYGHFPELAREPSSDDFCRMPPPCSDSCDFDDPRLLKNIEDRHPTAPCIQEFLTLLAVCHTVVPEKDGDNIIYQASSPDEAALVKGAKKLGFVFTARTPFSVIIEAMGQEQTFGILNVLEFSSDRKRMSVIVRTPSGRLRLYCKGADNVIFERLSKDSKYMEETLCHLEYFATEGLRTLCVAYADLSENEYEEWLKVYQEASTILKDRAQRLEECYEIIEKNLLLLGATAIEDRLQAGVPETIATLLKAEIKIWVLTGDKQETAINIGYSCRLVSQNMALILLKEDSLDATRAAITQHCTDLGNLLGKENDVALIIDGHTLKYALSFEVRRSFLDLALSCKAVICCRVSPLQKSEIVDVVKKRVKAITLAIGDGANDVGMIQTAHVGVGISGNEGMQATNNSDYAIAQFSYLEKLLLVHGAWSYNRVTKCILYCFYKNVVLYIIELWFAFVNGFSGQILFERWCIGLYNVIFTALPPFTLGIFERSCTQESMLRFPQLYKITQNGEGFNTKVFWGHCINALVHSLILFWFPMKALEHDTVLTSGHATDYLFVGNIVYTYVVVTVCLKAGLETTAWTKFSHLAVWGSMLTWLVFFGIYSTIWPTIPIAPDMRGQATMVLSSAHFWLGLFLVPTACLIEDVAWRAAKHTCKKTLLEEVQELETKSRVLGKAVLRDSNGKRLNERDRLIKRLGRKTPPTLFRGSSLQQGVPHGYAFSQEEHGAVSQEEVIRAYDTTKKKSRKK
+>DECOY_sp|Q9NTI2|AT8A2_HUMAN Phospholipid-transporting ATPase IB OS=Homo sapiens OX=9606 GN=ATP8A2 PE=1 SV=2
+KKRSKKKTTDYARIVEEQSVAGHEEQSFAYGHPVGQQLSSGRFLTPPTKRGLRKILRDRENLRKGNSDRLVAKGLVRSKTELEQVEELLTKKCTHKAARWAVDEILCATPVLFLGLWFHASSLVMTAQGRMDPAIPITPWITSYIGFFVLWTLMSGWVALHSFKTWATTELGAKLCVTVVVYTYVINGVFLYDTAHGSTLVTDHELAKMPFWFLILSHVLANICHGWFVKTNFGEGNQTIKYLQPFRLMSEQTCSREFIGLTFPPLATFIVNYLGICWREFLIQGSFGNVFAFWLEIIYLVVNKYFCYLICKTVRNYSWAGHVLLLKELYSFQAIAYDSNNTAQMGENGSIGVGVHATQIMGVDNAGDGIALTIAKVRKKVVDVIESKQLPSVRCCIVAKCSLALDLFSRRVEFSLAYKLTHGDIILAVDNEKGLLNGLDTCHQTIAARTADLSDEKLLILAMNQSVLRCSYGINIATEQKDGTLVWIKIEAKLLTAITEPVGAQLRDEIATAGLLLLNKEIIEYCEELRQARDKLITSAEQYVKLWEEYENESLDAYAVCLTRLGETAFYELHCLTEEMYKSDKSLREFIVNDAGKCYLRLRGSPTRVIVSMRKRDSSFELVNLIGFTQEQGMAEIIVSFPTRATFVFGLKKAGKVLAAEDPSSAQYIINDGDKEPVVTHCVALLTLFEQICPATPHRDEINKLLRPDDFDCSDSCPPPMRCFDDSSPERALEPFHGYTVGAISCKKFNMINCTLTGTKDSFLYKVQGLEENLNSTRAMAPTDNGIYYMDTDWNIFLAQTYKVVELTVLLSIPILNNYLIIFTLLNYGFNDSTTDMKKIYWNKEGHSRNWYLAGASSVLAMVLLIGFLVLIQVNTVKEVNSRKLPAKTSNQMLKTDHGTYVVIGFVWQTNRLQTGRLLIQDPGLAVLSKGDLNLNGTFDYLHRNPGECEITGSLKMLVERTQMDATHSLGQRIKLNTEGDLNATEVYCMAQPESSSLLVVDAPLYQGNVVKVIDGVAVEKWMITHWMGNRLVITKKKNVANDAKHRKFDEVIEKIGAITLIIILPVLTTYRGTPSVDPIQQLLAIFLFFANAARRIQEYLFRPLFTLVSYKATSIQNDRFKNLHPQNLYITRAPAELQDGVSTARSM
+>sp|P98198|AT8B2_HUMAN Phospholipid-transporting ATPase ID OS=Homo sapiens OX=9606 GN=ATP8B2 PE=1 SV=2
+MTVPKEMPEKWARAQAPPSWSRKKPSWGTEEERRARANDREYNEKFQYASNCIKTSKYNILTFLPVNLFEQFQEVANTYFLFLLILQLIPQISSLSWFTTIVPLVLVLTITAVKDATDDYFRHKSDNQVNNRQSQVLINGILQQEQWMNVCVGDIIKLENNQFVAADLLLLSSSEPHGLCYIETAELDGETNMKVRQAIPVTSELGDISKLAKFDGEVICEPPNNKLDKFSGTLYWKENKFPLSNQNMLLRGCVLRNTEWCFGLVIFAGPDTKLMQNSGRTKFKRTSIDRLMNTLVLWIFGFLVCMGVILAIGNAIWEHEVGMRFQVYLPWDEAVDSAFFSGFLSFWSYIIILNTVVPISLYVSVEVIRLGHSYFINWDKKMFCMKKRTPAEARTTTLNEELGQVEYIFSDKTGTLTQNIMVFNKCSINGHSYGDVFDVLGHKAELGERPEPVDFSFNPLADKKFLFWDPSLLEAVKIGDPHTHEFFRLLSLCHTVMSEEKNEGELYYKAQSPDEGALVTAARNFGFVFRSRTPKTITVHEMGTAITYQLLAILDFNNIRKRMSVIVRNPEGKIRLYCKGADTILLDRLHHSTQELLNTTMDHLNEYAGEGLRTLVLAYKDLDEEYYEEWAERRLQASLAQDSREDRLASIYEEVENNMMLLGATAIEDKLQQGVPETIALLTLANIKIWVLTGDKQETAVNIGYSCKMLTDDMTEVFIVTGHTVLEVREELRKAREKMMDSSRSVGNGFTYQDKLSSSKLTSVLEAVAGEYALVINGHSLAHALEADMELEFLETACACKAVICCRVTPLQKAQVVELVKKYKKAVTLAIGDGANDVSMIKTAHIGVGISGQEGIQAVLASDYSFSQFKFLQRLLLVHGRWSYLRMCKFLCYFFYKNFAFTMVHFWFGFFCGFSAQTVYDQYFITLYNIVYTSLPVLAMGVFDQDVPEQRSMEYPKLYEPGQLNLLFNKREFFICIAQGIYTSVLMFFIPYGVFADATRDDGTQLADYQSFAVTVATSLVIVVSVQIGLDTGYWTAINHFFIWGSLAVYFAILFAMHSNGLFDMFPNQFRFVGNAQNTLAQPTVWLTIVLTTVVCIMPVVAFRFLRLNLKPDLSDTVRYTQLVRKKQKAQHRCMRRVGRTGSRRSGYAFSHQEGFGELIMSGKNMRLSSLALSSFTTRSSSSWIESLRRKKSDSASSPSGGADKPLKG
+>DECOY_sp|P98198|AT8B2_HUMAN Phospholipid-transporting ATPase ID OS=Homo sapiens OX=9606 GN=ATP8B2 PE=1 SV=2
+GKLPKDAGGSPSSASDSKKRRLSEIWSSSSRTTFSSLALSSLRMNKGSMILEGFGEQHSFAYGSRRSGTRGVRRMCRHQAKQKKRVLQTYRVTDSLDPKLNLRLFRFAVVPMICVVTTLVITLWVTPQALTNQANGVFRFQNPFMDFLGNSHMAFLIAFYVALSGWIFFHNIATWYGTDLGIQVSVVIVLSTAVTVAFSQYDALQTGDDRTADAFVGYPIFFMLVSTYIGQAICIFFERKNFLLNLQGPEYLKPYEMSRQEPVDQDFVGMALVPLSTYVINYLTIFYQDYVTQASFGCFFGFWFHVMTFAFNKYFFYCLFKCMRLYSWRGHVLLLRQLFKFQSFSYDSALVAQIGEQGSIGVGIHATKIMSVDNAGDGIALTVAKKYKKVLEVVQAKQLPTVRCCIVAKCACATELFELEMDAELAHALSHGNIVLAYEGAVAELVSTLKSSSLKDQYTFGNGVSRSSDMMKERAKRLEERVELVTHGTVIFVETMDDTLMKCSYGINVATEQKDGTLVWIKINALTLLAITEPVGQQLKDEIATAGLLMMNNEVEEYISALRDERSDQALSAQLRREAWEEYYEEDLDKYALVLTRLGEGAYENLHDMTTNLLEQTSHHLRDLLITDAGKCYLRIKGEPNRVIVSMRKRINNFDLIALLQYTIATGMEHVTITKPTRSRFVFGFNRAATVLAGEDPSQAKYYLEGENKEESMVTHCLSLLRFFEHTHPDGIKVAELLSPDWFLFKKDALPNFSFDVPEPREGLEAKHGLVDFVDGYSHGNISCKNFVMINQTLTGTKDSFIYEVQGLEENLTTTRAEAPTRKKMCFMKKDWNIFYSHGLRIVEVSVYLSIPVVTNLIIIYSWFSLFGSFFASDVAEDWPLYVQFRMGVEHEWIANGIALIVGMCVLFGFIWLVLTNMLRDISTRKFKTRGSNQMLKTDPGAFIVLGFCWETNRLVCGRLLMNQNSLPFKNEKWYLTGSFKDLKNNPPECIVEGDFKALKSIDGLESTVPIAQRVKMNTEGDLEATEIYCLGHPESSSLLLLDAAVFQNNELKIIDGVCVNMWQEQQLIGNILVQSQRNNVQNDSKHRFYDDTADKVATITLVLVLPVITTFWSLSSIQPILQLILLFLFYTNAVEQFQEFLNVPLFTLINYKSTKICNSAYQFKENYERDNARARREEETGWSPKKRSWSPPAQARAWKEPMEKPVTM
+>sp|Q96QE3|ATAD5_HUMAN ATPase family AAA domain-containing protein 5 OS=Homo sapiens OX=9606 GN=ATAD5 PE=1 SV=4
+MVGVLAMAAAAAPPPVKDCEIEPCKKRKKDDDTSTCKTITKYLSPLGKTRDRVFAPPKPSNILDYFRKTSPTNEKTQLGKECKIKSPESVPVDSNKDCTTPLEMFSNVEFKKKRKRVNLSHQLNNIKTENEAPIEISSDDSKEDYSLNNDFVESSTSVLRYKKQVEVLAENIQDTKSQPNTMTSLQNSKKVNPKQGTTKNDFKKLRKRKCRDVVDLSESLPLAEELNLLKKDGKDTKQMENTTSHANSRDNVTEAAQLNDSIITVSYEEFLKSHKENKVEEIPDSTMSICVPSETVDEIVKSGYISESENSEISQQVRFKTVTVLAQVHPIPPKKTGKIPRIFLKQKQFEMENSLSDPENEQTVQKRKSNVVIQEEELELAVLEAGSSEAVKPKCTLEERQQFMKAFRQPASDALKNGVKKSSDKQKDLNEKCLYEVGRDDNSKKIMENSGIQMVSKNGNLQLHTDKGSFLKEKNKKLKKKNKKTLDTGAIPGKNREGNTQKKETTFFLKEKQYQNRMSLRQRKTEFFKSSTLFNNESLVYEDIANDDLLKVSSLCNNNKLSRKTSIPVKDIKLTQSKAESEASLLNVSTPKSTRRSGRISSTPTTETIRGIDSDDVQDNSQLKASTQKAANLSEKHSLYTAELITVPFDSESPIRMKFTRISTPKKSKKKSNKRSEKSEATDGGFTSQIRKASNTSKNISKAKQLIEKAKALHISRSKVTEEIAIPLRRSSRHQTLPERKKLSETEDSVIIIDSSPTALKHPEKNQKKLQCLNDVLGKKLNTSTKNVPGKMKVAPLFLVRKAQKAADPVPSFDESSQDTSEKSQDCDVQCKAKRDFLMSGLPDLLKRQIAKKAAALDVYNAVSTSFQRVVHVQQKDDGCCLWHLKPPSCPLLTKFKELNTKVIDLSKCGIALGEFSTLNSKLKSGNSAAVFMRTRKEFTEEVRNLLLEEIRWSNPEFSLKKYFPLLLKKQIEHQVLSSECHSKQELEADVSHKETKRKLVEAENSKSKRKKPNEYSKNLEKTNRKSEELSKRNNSSGIKLDSSKDSGTEDMLWTEKYQPQTASELIGNELAIKKLHSWLKDWKRRAELEERQNLKGKRDEKHEDFSGGIDFKGSSDDEEESRLCNTVLITGPTGVGKTAAVYACAQELGFKIFEVNASSQRSGRQILSQLKEATQSHQVDKQGVNSQKPCFFNSYYIGKSPKKISSPKKVVTSPRKVPPPSPKSSGPKRALPPKTLANYFKVSPKPKNNEEIGMLLENNKGIKNSFEQKQITQTKSTNATNSNVKDVGAEEPSRKNATSLILFEEVDVIFDEDAGFLNAIKTFMATTKRPVILTTSDPTFSLMFDGCFEEIKFSTPSLLNVASYLQMICLTENFRTDVKDFVTLLTANTCDIRKSILYLQFWIRSGGGVLEERPLTLYRGNSRNVQLVCSEHGLDNKIYPKNTKKKRVDLPKCDSGCAETLFGLKNIFSPSEDLFSFLKHKITMKEEWHKFIQLLTEFQMRNVDFLYSNLEFILPLPVDTIPETKNFCGPSVTVDASAATKSMNCLARKHSEREQPLKKSQKKKQKKTLVILDDSDLFDTDLDFPDQSISLSSVSSSSNAEESKTGDEESKARDKGNNPETKKSIPCPPKTTAGKKCSALVSHCLNSLSEFMDNMSFLDALLTDVREQNKYGRNDFSWTNGKVTSGLCDEFSLESNDGWTSQSSGELKAAAEALSFTKCSSAISKALETLNSCKKLGRDPTNDLTFYVSQKRNNVYFSQSAANLDNAWKRISVIKSVFSSRSLLYVGNRQASIIEYLPTLRNICKTEKLKEQGKSKRRFLHYFEGIHLDIPKETVNTLAADFP
+>DECOY_sp|Q96QE3|ATAD5_HUMAN ATPase family AAA domain-containing protein 5 OS=Homo sapiens OX=9606 GN=ATAD5 PE=1 SV=4
+PFDAALTNVTEKPIDLHIGEFYHLFRRKSKGQEKLKETKCINRLTPLYEIISAQRNGVYLLSRSSFVSKIVSIRKWANDLNAASQSFYVNNRKQSVYFTLDNTPDRGLKKCSNLTELAKSIASSCKTFSLAEAAAKLEGSSQSTWGDNSELSFEDCLGSTVKGNTWSFDNRGYKNQERVDTLLADLFSMNDMFESLSNLCHSVLASCKKGATTKPPCPISKKTEPNNGKDRAKSEEDGTKSEEANSSSSVSSLSISQDPFDLDTDFLDSDDLIVLTKKQKKKQSKKLPQERESHKRALCNMSKTAASADVTVSPGCFNKTEPITDVPLPLIFELNSYLFDVNRMQFETLLQIFKHWEEKMTIKHKLFSFLDESPSFINKLGFLTEACGSDCKPLDVRKKKTNKPYIKNDLGHESCVLQVNRSNGRYLTLPREELVGGGSRIWFQLYLISKRIDCTNATLLTVFDKVDTRFNETLCIMQLYSAVNLLSPTSFKIEEFCGDFMLSFTPDSTTLIVPRKTTAMFTKIANLFGADEDFIVDVEEFLILSTANKRSPEEAGVDKVNSNTANTSKTQTIQKQEFSNKIGKNNELLMGIEENNKPKPSVKFYNALTKPPLARKPGSSKPSPPPVKRPSTVVKKPSSIKKPSKGIYYSNFFCPKQSNVGQKDVQHSQTAEKLQSLIQRGSRQSSANVEFIKFGLEQACAYVAATKGVGTPGTILVTNCLRSEEEDDSSGKFDIGGSFDEHKEDRKGKLNQREELEARRKWDKLWSHLKKIALENGILESATQPQYKETWLMDETGSDKSSDLKIGSSNNRKSLEESKRNTKELNKSYENPKKRKSKSNEAEVLKRKTEKHSVDAELEQKSHCESSLVQHEIQKKLLLPFYKKLSFEPNSWRIEELLLNRVEETFEKRTRMFVAASNGSKLKSNLTSFEGLAIGCKSLDIVKTNLEKFKTLLPCSPPKLHWLCCGDDKQQVHVVRQFSTSVANYVDLAAAKKAIQRKLLDPLGSMLFDRKAKCQVDCDQSKESTDQSSEDFSPVPDAAKQAKRVLFLPAVKMKGPVNKTSTNLKKGLVDNLCQLKKQNKEPHKLATPSSDIIIVSDETESLKKREPLTQHRSSRRLPIAIEETVKSRSIHLAKAKEILQKAKSINKSTNSAKRIQSTFGGDTAESKESRKNSKKKSKKPTSIRTFKMRIPSESDFPVTILEATYLSHKESLNAAKQTSAKLQSNDQVDDSDIGRITETTPTSSIRGSRRTSKPTSVNLLSAESEAKSQTLKIDKVPISTKRSLKNNNCLSSVKLLDDNAIDEYVLSENNFLTSSKFFETKRQRLSMRNQYQKEKLFFTTEKKQTNGERNKGPIAGTDLTKKNKKKLKKNKEKLFSGKDTHLQLNGNKSVMQIGSNEMIKKSNDDRGVEYLCKENLDKQKDSSKKVGNKLADSAPQRFAKMFQQREELTCKPKVAESSGAELVALELEEEQIVVNSKRKQVTQENEPDSLSNEMEFQKQKLFIRPIKGTKKPPIPHVQALVTVTKFRVQQSIESNESESIYGSKVIEDVTESPVCISMTSDPIEEVKNEKHSKLFEEYSVTIISDNLQAAETVNDRSNAHSTTNEMQKTDKGDKKLLNLEEALPLSESLDVVDRCKRKRLKKFDNKTTGQKPNVKKSNQLSTMTNPQSKTDQINEALVEVQKKYRLVSTSSEVFDNNLSYDEKSDDSSIEIPAENETKINNLQHSLNVRKRKKKFEVNSFMELPTTCDKNSDVPVSEPSKIKCEKGLQTKENTPSTKRFYDLINSPKPPAFVRDRTKGLPSLYKTITKCTSTDDDKKRKKCPEIECDKVPPPAAAAAMALVGVM
+>sp|Q5T2N8|ATD3C_HUMAN ATPase family AAA domain-containing protein 3C OS=Homo sapiens OX=9606 GN=ATAD3C PE=2 SV=2
+MSKDALNLAQMQEQTLQLEQQSKLKQLVNEDLRKQEESVQKHHQTFLESIRAAGTLFGEGFRAFVTDRDKVTATVAGLTLLAVGVYSAKNATAVTGRYIEARLGKPSLVRETSRITVLEALRHPIQQVSRRLLSRPQDVLEGVVLSPSLEARVRDIAIMTRNIKKNRGLYRHILLYGPPGTGKTLFAKKLALHSGMDYAIMTGGDVAPMGREGVTAMHKLFDWANTSRRGLLLFVDEADAFLRKRATEKISEDLRATLNAFLYRTGQHSNKFMLILASCHPEQFDWAINACIDVMVHFDLPGQEERARLVRMYLNEYVLKPATEGKRRLKLAQFDYGRKCLEIARLTEGMSCRKIAQLAVSWQATAYASKDGVLTEAMMDACVQDFVQQHQQMMRWLKGERPGPEDEQPSS
+>DECOY_sp|Q5T2N8|ATD3C_HUMAN ATPase family AAA domain-containing protein 3C OS=Homo sapiens OX=9606 GN=ATAD3C PE=2 SV=2
+SSPQEDEPGPREGKLWRMMQQHQQVFDQVCADMMAETLVGDKSAYATAQWSVALQAIKRCSMGETLRAIELCKRGYDFQALKLRRKGETAPKLVYENLYMRVLRAREEQGPLDFHVMVDICANIAWDFQEPHCSALILMFKNSHQGTRYLFANLTARLDESIKETARKRLFADAEDVFLLLGRRSTNAWDFLKHMATVGERGMPAVDGGTMIAYDMGSHLALKKAFLTKGTGPPGYLLIHRYLGRNKKINRTMIAIDRVRAELSPSLVVGELVDQPRSLLRRSVQQIPHRLAELVTIRSTERVLSPKGLRAEIYRGTVATANKASYVGVALLTLGAVTATVKDRDTVFARFGEGFLTGAARISELFTQHHKQVSEEQKRLDENVLQKLKSQQELQLTQEQMQALNLADKSM
+>sp|P18848|ATF4_HUMAN Cyclic AMP-dependent transcription factor ATF-4 OS=Homo sapiens OX=9606 GN=ATF4 PE=1 SV=3
+MTEMSFLSSEVLVGDLMSPFDQSGLGAEESLGLLDDYLEVAKHFKPHGFSSDKAKAGSSEWLAVDGLVSPSNNSKEDAFSGTDWMLEKMDLKEFDLDALLGIDDLETMPDDLLTTLDDTCDLFAPLVQETNKQPPQTVNPIGHLPESLTKPDQVAPFTFLQPLPLSPGVLSSTPDHSFSLELGSEVDITEGDRKPDYTAYVAMIPQCIKEEDTPSDNDSGICMSPESYLGSPQHSPSTRGSPNRSLPSPGVLCGSARPKPYDPPGEKMVAAKVKGEKLDKKLKKMEQNKTAATRYRQKKRAEQEALTGECKELEKKNEALKERADSLAKEIQYLKDLIEEVRKARGKKRVP
+>DECOY_sp|P18848|ATF4_HUMAN Cyclic AMP-dependent transcription factor ATF-4 OS=Homo sapiens OX=9606 GN=ATF4 PE=1 SV=3
+PVRKKGRAKRVEEILDKLYQIEKALSDAREKLAENKKELEKCEGTLAEQEARKKQRYRTAATKNQEMKKLKKDLKEGKVKAAVMKEGPPDYPKPRASGCLVGPSPLSRNPSGRTSPSHQPSGLYSEPSMCIGSDNDSPTDEEKICQPIMAVYATYDPKRDGETIDVESGLELSFSHDPTSSLVGPSLPLPQLFTFPAVQDPKTLSEPLHGIPNVTQPPQKNTEQVLPAFLDCTDDLTTLLDDPMTELDDIGLLADLDFEKLDMKELMWDTGSFADEKSNNSPSVLGDVALWESSGAKAKDSSFGHPKFHKAVELYDDLLGLSEEAGLGSQDFPSMLDGVLVESSLFSMETM
+>sp|P17544|ATF7_HUMAN Cyclic AMP-dependent transcription factor ATF-7 OS=Homo sapiens OX=9606 GN=ATF7 PE=1 SV=2
+MGDDRPFVCNAPGCGQRFTNEDHLAVHKHKHEMTLKFGPARTDSVIIADQTPTPTRFLKNCEEVGLFNELASSFEHEFKKAADEDEKKARSRTVAKKLVAAAGPLDMSLPSTPDIKIKEEEPVEVDSSPPDSPASSPCSPPLKEKEVTPKPVLISTPTPTIVRPGSLPLHLGYDPLHPTLPSPTSVITQAPPSNRQMGSPTGSLPLVMHLANGQTMPVLPGPPVQMPSVISLARPVSMVPNIPGIPGPPVNSSGSISPSGHPIPSEAKMRLKATLTHQVSSINGGCGMVVGTASTMVTARPEQSQILIQHPDAPSPAQPQVSPAQPTPSTGGRRRRTVDEDPDERRQRFLERNRAAASRCRQKRKLWVSSLEKKAEELTSQNIQLSNEVTLLRNEVAQLKQLLLAHKDCPVTALQKKTQGYLESPKESSEPTGSPAPVIQHSSATAPSNGLSVRSAAEAVATSVLTQMASQRTELSMPIQSHVIMTPQSQSAGR
+>DECOY_sp|P17544|ATF7_HUMAN Cyclic AMP-dependent transcription factor ATF-7 OS=Homo sapiens OX=9606 GN=ATF7 PE=1 SV=2
+RGASQSQPTMIVHSQIPMSLETRQSAMQTLVSTAVAEAASRVSLGNSPATASSHQIVPAPSGTPESSEKPSELYGQTKKQLATVPCDKHALLLQKLQAVENRLLTVENSLQINQSTLEEAKKELSSVWLKRKQRCRSAAARNRELFRQRREDPDEDVTRRRRGGTSPTPQAPSVQPQAPSPADPHQILIQSQEPRATVMTSATGVVMGCGGNISSVQHTLTAKLRMKAESPIPHGSPSISGSSNVPPGPIGPINPVMSVPRALSIVSPMQVPPGPLVPMTQGNALHMVLPLSGTPSGMQRNSPPAQTIVSTPSPLTPHLPDYGLHLPLSGPRVITPTPTSILVPKPTVEKEKLPPSCPSSAPSDPPSSDVEVPEEEKIKIDPTSPLSMDLPGAAAVLKKAVTRSRAKKEDEDAAKKFEHEFSSALENFLGVEECNKLFRTPTPTQDAIIVSDTRAPGFKLTMEHKHKHVALHDENTFRQGCGPANCVFPRDDGM
+>sp|Q2TAZ0|ATG2A_HUMAN Autophagy-related protein 2 homolog A OS=Homo sapiens OX=9606 GN=ATG2A PE=1 SV=3
+MSRWLWPWSNCVKERVCRYLLHHYLGHFFQEHLSLDQLSLDLYKGSVALRDIHLEIWSVNEVLESMESPLELVEGFVGSIEVAVPWAALLTDHCTVRVSGLQLTLQPRRGPAPGAADSQSWASCMTTSLQLAQECLRDGLPEPSEPPQPLEGLEMFAQTIETVLRRIKVTFLDTVVRVEHSPGDGERGVAVEVRVQRLEYCDEAVRDPSQAPPVDVHQPPAFLHKLLQLAGVRLHYEELPAQEEPPEPPLQIGSCSGYMELMVKLKQNEAFPGPKLEVAGQLGSLHLLLTPRQLQQLQELLSAVSLTDHEGLADKLNKSRPLGAEDLWLIEQDLNQQLQAGAVAEPLSPDPLTNPLLNLDNTDLFFSMAGLTSSVASALSELSLSDVDLASSVRSDMASRRLSAQAHPAGKMAPNPLLDTMRPDSLLKMTLGGVTLTLLQTSAPSSGPPDLATHFFTEFDATKDGPFGSRDFHHLRPRFQRACPCSHVRLTGTAVQLSWELRTGSRGRRTTSMEVHFGQLEVLECLWPRGTSEPEYTEILTFPGTLGSQASARPCAHLRHTQILRRVPKSRPRRSVACHCHSELALDLANFQADVELGALDRLAALLRLATVPAEPPAGLLTEPLPAMEQQTVFRLSAPRATLRLRFPIADLRPEPDPWAGQAVRAEQLRLELSEPQFRSELSSGPGPPVPTHLELTCSDLHGIYEDGGKPPVPCLRVSKALDPKSTGRKYFLPQVVVTVNPQSSSTQWEVAPEKGEELELSVESPCELREPEPSPFSSKRTMYETEEMVIPGDPEEMRTFQSRTLALSRCSLEVILPSVHIFLPSKEVYESIYNRINNDLLMWEPADLLPTPDPAAQPSGFPGPSGFWHDSFKMCKSAFKLANCFDLTPDSDSDDEDAHFFSVGASGGPQAAAPEAPSLHLQSTFSTLVTVLKGRITALCETKDEGGKRLEAVHGELVLDMEHGTLFSVSQYCGQPGLGYFCLEAEKATLYHRAAVDDYPLPSHLDLPSFAPPAQLAPTIYPSEEGVTERGASGRKGQGRGPHMLSTAVRIHLDPHKNVKEFLVTLRLHKATLRHYMALPEQSWHSQLLEFLDVLDDPVLGYLPPTVITILHTHLFSCSVDYRPLYLPVRVLITAETFTLSSNIIMDTSTFLLRFILDDSALYLSDKCEVETLDLRRDYVCVLDVDLLELVIKTWKGSTEGKLSQPLFELRCSNNVVHVHSCADSCALLVNLLQYVMSTGDLHPPPRPPSPTEIAGQKLSESPASLPSCPPVETALINQRDLADALLDTERSLRELAQPSGGHLPQASPISVYLFPGERSGAPPPSPPVGGPAGSLGSCSEEKEDEREEEGDGDTLDSDEFCILDAPGLGIPPRDGEPVVTQLHPGPIVVRDGYFSRPIGSTDLLRAPAHFPVPSTRVVLREVSLVWHLYGGRDFGPHPGHRARTGLSGPRSSPSRCSGPNRPQNSWRTQGGSGRQHHVLMEIQLSKVSFQHEVYPAEPATGPAAPSQELEERPLSRQVFIVQELEVRDRLASSQINKFLYLHTSERMPRRAHSNMLTIKALHVAPTTNLGGPECCLRVSLMPLRLNVDQDALFFLKDFFTSLVAGINPVVPGETSAEARPETRAQPSSPLEGQAEGVETTGSQEAPGGGHSPSPPDQQPIYFREFRFTSEVPIWLDYHGKHVTMDQVGTFAGLLIGLAQLNCSELKLKRLCCRHGLLGVDKVLGYALNEWLQDIRKNQLPGLLGGVGPMHSVVQLFQGFRDLLWLPIEQYRKDGRLMRGLQRGAASFGSSTASAALELSNRLVQAIQATAETVYDILSPAAPVSRSLQDKRSARRLRRGQQPADLREGVAKAYDTVREGILDTAQTICDVASRGHEQKGLTGAVGGVIRQLPPTVVKPLILATEATSSLLGGMRNQIVPDAHKDHALKWRSDSAQD
+>DECOY_sp|Q2TAZ0|ATG2A_HUMAN Autophagy-related protein 2 homolog A OS=Homo sapiens OX=9606 GN=ATG2A PE=1 SV=3
+DQASDSRWKLAHDKHADPVIQNRMGGLLSSTAETALILPKVVTPPLQRIVGGVAGTLGKQEHGRSAVDCITQATDLIGERVTDYAKAVGERLDAPQQGRRLRRASRKDQLSRSVPAAPSLIDYVTEATAQIAQVLRNSLELAASATSSGFSAAGRQLGRMLRGDKRYQEIPLWLLDRFGQFLQVVSHMPGVGGLLGPLQNKRIDQLWENLAYGLVKDVGLLGHRCCLRKLKLESCNLQALGILLGAFTGVQDMTVHKGHYDLWIPVESTFRFERFYIPQQDPPSPSHGGGPAEQSGTTEVGEAQGELPSSPQARTEPRAEASTEGPVVPNIGAVLSTFFDKLFFLADQDVNLRLPMLSVRLCCEPGGLNTTPAVHLAKITLMNSHARRPMRESTHLYLFKNIQSSALRDRVELEQVIFVQRSLPREELEQSPAAPGTAPEAPYVEHQFSVKSLQIEMLVHHQRGSGGQTRWSNQPRNPGSCRSPSSRPGSLGTRARHGPHPGFDRGGYLHWVLSVERLVVRTSPVPFHAPARLLDTSGIPRSFYGDRVVIPGPHLQTVVPEGDRPPIGLGPADLICFEDSDLTDGDGEEEREDEKEESCSGLSGAPGGVPPSPPPAGSREGPFLYVSIPSAQPLHGGSPQALERLSRETDLLADALDRQNILATEVPPCSPLSAPSESLKQGAIETPSPPRPPPHLDGTSMVYQLLNVLLACSDACSHVHVVNNSCRLEFLPQSLKGETSGKWTKIVLELLDVDLVCVYDRRLDLTEVECKDSLYLASDDLIFRLLFTSTDMIINSSLTFTEATILVRVPLYLPRYDVSCSFLHTHLITIVTPPLYGLVPDDLVDLFELLQSHWSQEPLAMYHRLTAKHLRLTVLFEKVNKHPDLHIRVATSLMHPGRGQGKRGSAGRETVGEESPYITPALQAPPAFSPLDLHSPLPYDDVAARHYLTAKEAELCFYGLGPQGCYQSVSFLTGHEMDLVLEGHVAELRKGGEDKTECLATIRGKLVTVLTSFTSQLHLSPAEPAAAQPGGSAGVSFFHADEDDSDSDPTLDFCNALKFASKCMKFSDHWFGSPGPFGSPQAAPDPTPLLDAPEWMLLDNNIRNYISEYVEKSPLFIHVSPLIVELSCRSLALTRSQFTRMEEPDGPIVMEETEYMTRKSSFPSPEPERLECPSEVSLELEEGKEPAVEWQTSSSQPNVTVVVQPLFYKRGTSKPDLAKSVRLCPVPPKGGDEYIGHLDSCTLELHTPVPPGPGSSLESRFQPESLELRLQEARVAQGAWPDPEPRLDAIPFRLRLTARPASLRFVTQQEMAPLPETLLGAPPEAPVTALRLLAALRDLAGLEVDAQFNALDLALESHCHCAVSRRPRSKPVRRLIQTHRLHACPRASAQSGLTGPFTLIETYEPESTGRPWLCELVELQGFHVEMSTTRRGRSGTRLEWSLQVATGTLRVHSCPCARQFRPRLHHFDRSGFPGDKTADFETFFHTALDPPGSSPASTQLLTLTVGGLTMKLLSDPRMTDLLPNPAMKGAPHAQASLRRSAMDSRVSSALDVDSLSLESLASAVSSTLGAMSFFLDTNDLNLLPNTLPDPSLPEAVAGAQLQQNLDQEILWLDEAGLPRSKNLKDALGEHDTLSVASLLEQLQQLQRPTLLLHLSGLQGAVELKPGPFAENQKLKVMLEMYGSCSGIQLPPEPPEEQAPLEEYHLRVGALQLLKHLFAPPQHVDVPPAQSPDRVAEDCYELRQVRVEVAVGREGDGPSHEVRVVTDLFTVKIRRLVTEITQAFMELGELPQPPESPEPLGDRLCEQALQLSTTMCSAWSQSDAAGPAPGRRPQLTLQLGSVRVTCHDTLLAAWPVAVEISGVFGEVLELPSEMSELVENVSWIELHIDRLAVSGKYLDLSLQDLSLHEQFFHGLYHHLLYRCVREKVCNSWPWLWRSM
+>sp|Q6UY14|ATL4_HUMAN ADAMTS-like protein 4 OS=Homo sapiens OX=9606 GN=ADAMTSL4 PE=1 SV=2
+MENWTGRPWLYLLLLLSLPQLCLDQEVLSGHSLQTPTEEGQGPEGVWGPWVQWASCSQPCGVGVQRRSRTCQLPTVQLHPSLPLPPRPPRHPEALLPRGQGPRPQTSPETLPLYRTQSRGRGGPLRGPASHLGREETQEIRAARRSRLRDPIKPGMFGYGRVPFALPLHRNRRHPRSPPRSELSLISSRGEEAIPSPTPRAEPFSANGSPQTELPPTELSVHTPSPQAEPLSPETAQTEVAPRTRPAPLRHHPRAQASGTEPPSPTHSLGEGGFFRASPQPRRPSSQGWASPQVAGRRPDPFPSVPRGRGQQGQGPWGTGGTPHGPRLEPDPQHPGAWLPLLSNGPHASSLWSLFAPSSPIPRCSGESEQLRACSQAPCPPEQPDPRALQCAAFNSQEFMGQLYQWEPFTEVQGSQRCELNCRPRGFRFYVRHTEKVQDGTLCQPGAPDICVAGRCLSPGCDGILGSGRRPDGCGVCGGDDSTCRLVSGNLTDRGGPLGYQKILWIPAGALRLQIAQLRPSSNYLALRGPGGRSIINGNWAVDPPGSYRAGGTVFRYNRPPREEGKGESLSAEGPTTQPVDVYMIFQEENPGVFYQYVISSPPPILENPTPEPPVPQLQPEILRVEPPLAPAPRPARTPGTLQRQVRIPQMPAPPHPRTPLGSPAAYWKRVGHSACSASCGKGVWRPIFLCISRESGEELDERSCAAGARPPASPEPCHGTPCPPYWEAGEWTSCSRSCGPGTQHRQLQCRQEFGGGGSSVPPERCGHLPRPNITQSCQLRLCGHWEVGSPWSQCSVRCGRGQRSRQVRCVGNNGDEVSEQECASGPPQPPSREACDMGPCTTAWFHSDWSSKCSAECGTGIQRRSVVCLGSGAALGPGQGEAGAGTGQSCPTGSRPPDMRACSLGPCERTWRWYTGPWGECSSECGSGTQRRDIICVSKLGTEFNVTSPSNCSHLPRPPALQPCQGQACQDRWFSTPWSPCSRSCQGGTQTREVQCLSTNQTLSTRCPPQLRPSRKRPCNSQPCSQRPDDQCKDSSPHCPLVVQARLCVYPYYTATCCRSCAHVLERSPQDPS
+>DECOY_sp|Q6UY14|ATL4_HUMAN ADAMTS-like protein 4 OS=Homo sapiens OX=9606 GN=ADAMTSL4 PE=1 SV=2
+SPDQPSRELVHACSRCCTATYYPYVCLRAQVVLPCHPSSDKCQDDPRQSCPQSNCPRKRSPRLQPPCRTSLTQNTSLCQVERTQTGGQCSRSCPSWPTSFWRDQCAQGQCPQLAPPRPLHSCNSPSTVNFETGLKSVCIIDRRQTGSGCESSCEGWPGTYWRWTRECPGLSCARMDPPRSGTPCSQGTGAGAEGQGPGLAAGSGLCVVSRRQIGTGCEASCKSSWDSHFWATTCPGMDCAERSPPQPPGSACEQESVEDGNNGVCRVQRSRQGRGCRVSCQSWPSGVEWHGCLRLQCSQTINPRPLHGCREPPVSSGGGGFEQRCQLQRHQTGPGCSRSCSTWEGAEWYPPCPTGHCPEPSAPPRAGAACSREDLEEGSERSICLFIPRWVGKGCSASCASHGVRKWYAAPSGLPTRPHPPAPMQPIRVQRQLTGPTRAPRPAPALPPEVRLIEPQLQPVPPEPTPNELIPPPSSIVYQYFVGPNEEQFIMYVDVPQTTPGEASLSEGKGEERPPRNYRFVTGGARYSGPPDVAWNGNIISRGGPGRLALYNSSPRLQAIQLRLAGAPIWLIKQYGLPGGRDTLNGSVLRCTSDDGGCVGCGDPRRGSGLIGDCGPSLCRGAVCIDPAGPQCLTGDQVKETHRVYFRFGRPRCNLECRQSGQVETFPEWQYLQGMFEQSNFAACQLARPDPQEPPCPAQSCARLQESEGSCRPIPSSPAFLSWLSSAHPGNSLLPLWAGPHQPDPELRPGHPTGGTGWPGQGQQGRGRPVSPFPDPRRGAVQPSAWGQSSPRRPQPSARFFGGEGLSHTPSPPETGSAQARPHHRLPAPRTRPAVETQATEPSLPEAQPSPTHVSLETPPLETQPSGNASFPEARPTPSPIAEEGRSSILSLESRPPSRPHRRNRHLPLAFPVRGYGFMGPKIPDRLRSRRAARIEQTEERGLHSAPGRLPGGRGRSQTRYLPLTEPSTQPRPGQGRPLLAEPHRPPRPPLPLSPHLQVTPLQCTRSRRQVGVGCPQSCSAWQVWPGWVGEPGQGEETPTQLSHGSLVEQDLCLQPLSLLLLLYLWPRGTWNEM
+>sp|P54259|ATN1_HUMAN Atrophin-1 OS=Homo sapiens OX=9606 GN=ATN1 PE=1 SV=3
+MKTRQNKDSMSMRSGRKKEAPGPREELRSRGRASPGGVSTSSSDGKAEKSRQTAKKARVEEASTPKVNKQGRSEEISESESEETNAPKKTKTEQELPRPQSPSDLDSLDGRSLNDDGSSDPRDIDQDNRSTSPSIYSPGSVENDSDSSSGLSQGPARPYHPPPLFPPSPQPPDSTPRQPEASFEPHPSVTPTGYHAPMEPPTSRMFQAPPGAPPPHPQLYPGGTGGVLSGPPMGPKGGGAASSVGGPNGGKQHPPPTTPISVSSSGASGAPPTKPPTTPVGGGNLPSAPPPANFPHVTPNLPPPPALRPLNNASASPPGLGAQPLPGHLPSPHAMGQGMGGLPPGPEKGPTLAPSPHSLPPASSSAPAPPMRFPYSSSSSSSAAASSSSSSSSSSASPFPASQALPSYPHSFPPPTSLSVSNQPPKYTQPSLPSQAVWSQGPPPPPPYGRLLANSNAHPGPFPPSTGAQSTAHPPVSTHHHHHQQQQQQQQQQQQQQQQQQQHHGNSGPPPPGAFPHPLEGGSSHHAHPYAMSPSLGSLRPYPPGPAHLPPPHSQVSYSQAGPNGPPVSSSSNSSSSTSQGSYPCSHPSPSQGPQGAPYPFPPVPTVTTSSATLSTVIATVASSPAGYKTASPPGPPPYGKRAPSPGAYKTATPPGYKPGSPPSFRTGTPPGYRGTSPPAGPGTFKPGSPTVGPGPLPPAGPSGLPSLPPPPAAPASGPPLSATQIKQEPAEEYETPESPVPPARSPSPPPKVVDVPSHASQSARFNKHLDRGFNSCARSDLYFVPLEGSKLAKKRADLVEKVRREAEQRAREEKEREREREREKEREREKERELERSVKLAQEGRAPVECPSLGPVPHRPPFEPGSAVATVPPYLGPDTPALRTLSEYARPHVMSPGNRNHPFYVPLGAVDPGLLGYNVPALYSSDPAAREREREARERDLRDRLKPGFEVKPSELEPLHGVPGPGLDPFPRHGGLALQPGPPGLHPFPFHPSLGPLERERLALAAGPALRPDMSYAERLAAERQHAERVAALGNDPLARLQMLNVTPHHHQHSHIHSHLHLHQQDAIHAASASVHPLIDPLASGSHLTRIPYPAGTLPNPLLPHPLHENEVLRHQLFAAPYRDLPASLSAPMSAAHQLQAMHAQSAELQRLALEQQQWLHAHHPLHSVPLPAQEDYYSHLKKESDKPL
+>DECOY_sp|P54259|ATN1_HUMAN Atrophin-1 OS=Homo sapiens OX=9606 GN=ATN1 PE=1 SV=3
+LPKDSEKKLHSYYDEQAPLPVSHLPHHAHLWQQQELALRQLEASQAHMAQLQHAASMPASLSAPLDRYPAAFLQHRLVENEHLPHPLLPNPLTGAPYPIRTLHSGSALPDILPHVSASAAHIADQQHLHLHSHIHSHQHHHPTVNLMQLRALPDNGLAAVREAHQREAALREAYSMDPRLAPGAALALRERELPGLSPHFPFPHLGPPGPQLALGGHRPFPDLGPGPVGHLPELESPKVEFGPKLRDRLDRERAERERERAAPDSSYLAPVNYGLLGPDVAGLPVYFPHNRNGPSMVHPRAYESLTRLAPTDPGLYPPVTAVASGPEFPPRHPVPGLSPCEVPARGEQALKVSRELEREKEREREKEREREREREKEERARQEAERRVKEVLDARKKALKSGELPVFYLDSRACSNFGRDLHKNFRASQSAHSPVDVVKPPPSPSRAPPVPSEPTEYEEAPEQKIQTASLPPGSAPAAPPPPLSPLGSPGAPPLPGPGVTPSGPKFTGPGAPPSTGRYGPPTGTRFSPPSGPKYGPPTATKYAGPSPARKGYPPPGPPSATKYGAPSSAVTAIVTSLTASSTTVTPVPPFPYPAGQPGQSPSPHSCPYSGQSTSSSSNSSSSVPPGNPGAQSYSVQSHPPPLHAPGPPYPRLSGLSPSMAYPHAHHSSGGELPHPFAGPPPPGSNGHHQQQQQQQQQQQQQQQQQQQHHHHHTSVPPHATSQAGTSPPFPGPHANSNALLRGYPPPPPPGQSWVAQSPLSPQTYKPPQNSVSLSTPPPFSHPYSPLAQSAPFPSASSSSSSSSSSAAASSSSSSSYPFRMPPAPASSSAPPLSHPSPALTPGKEPGPPLGGMGQGMAHPSPLHGPLPQAGLGPPSASANNLPRLAPPPPLNPTVHPFNAPPPASPLNGGGVPTTPPKTPPAGSAGSSSVSIPTTPPPHQKGGNPGGVSSAAGGGKPGMPPGSLVGGTGGPYLQPHPPPAGPPAQFMRSTPPEMPAHYGTPTVSPHPEFSAEPQRPTSDPPQPSPPFLPPPHYPRAPGQSLGSSSDSDNEVSGPSYISPSTSRNDQDIDRPDSSGDDNLSRGDLSDLDSPSQPRPLEQETKTKKPANTEESESESIEESRGQKNVKPTSAEEVRAKKATQRSKEAKGDSSSTSVGGPSARGRSRLEERPGPAEKKRGSRMSMSDKNQRTKM
+>sp|Q8N100|ATOH7_HUMAN Protein atonal homolog 7 OS=Homo sapiens OX=9606 GN=ATOH7 PE=1 SV=1
+MKSCKPSGPPAGARVAPPCAGGTECAGTCAGAGRLESAARRRLAANARERRRMQGLNTAFDRLRRVVPQWGQDKKLSKYETLQMALSYIMALTRILAEAERFGSERDWVGLHCEHFGRDHYLPFPGAKLPGESELYSQRLFGFQPEPFQMAT
+>DECOY_sp|Q8N100|ATOH7_HUMAN Protein atonal homolog 7 OS=Homo sapiens OX=9606 GN=ATOH7 PE=1 SV=1
+TAMQFPEPQFGFLRQSYLESEGPLKAGPFPLYHDRGFHECHLGVWDRESGFREAEALIRTLAMIYSLAMQLTEYKSLKKDQGWQPVVRRLRDFATNLGQMRRRERANAALRRRAASELRGAGACTGACETGGACPPAVRAGAPPGSPKCSKM
+>sp|P51164|ATP4B_HUMAN Potassium-transporting ATPase subunit beta OS=Homo sapiens OX=9606 GN=ATP4B PE=1 SV=1
+MAALQEKKTCGQRMEEFQRYCWNPDTGQMLGRTLSRWVWISLYYVAFYVVMTGLFALCLYVLMQTVDPYTPDYQDQLRSPGVTLRPDVYGEKGLEIVYNVSDNRTWADLTQTLHAFLAGYSPAAQEDSINCTSEQYFFQESFRAPNHTKFSCKFTADMLQNCSGLADPNFGFEEGKPCFIIKMNRIVKFLPSNGSAPRVDCAFLDQPRELGQPLQVKYYPPNGTFSLHYFPYYGKKAQPHYSNPLVAAKLLNIPRNAEVAIVCKVMAEHVTFNNPHDPYEGKVEFKLKIEK
+>DECOY_sp|P51164|ATP4B_HUMAN Potassium-transporting ATPase subunit beta OS=Homo sapiens OX=9606 GN=ATP4B PE=1 SV=1
+KEIKLKFEVKGEYPDHPNNFTVHEAMVKCVIAVEANRPINLLKAAVLPNSYHPQAKKGYYPFYHLSFTGNPPYYKVQLPQGLERPQDLFACDVRPASGNSPLFKVIRNMKIIFCPKGEEFGFNPDALGSCNQLMDATFKCSFKTHNPARFSEQFFYQESTCNISDEQAAPSYGALFAHLTQTLDAWTRNDSVNYVIELGKEGYVDPRLTVGPSRLQDQYDPTYPDVTQMLVYLCLAFLGTMVVYFAVYYLSIWVWRSLTRGLMQGTDPNWCYRQFEEMRQGCTKKEQLAAM
+>sp|P56385|ATP5I_HUMAN ATP synthase subunit e, mitochondrial OS=Homo sapiens OX=9606 GN=ATP5I PE=1 SV=2
+MVPPVQVSPLIKLGRYSALFLGVAYGATRYNYLKPRAEEERRIAAEEKKKQDELKRIARELAEDDSILK
+>DECOY_sp|P56385|ATP5I_HUMAN ATP synthase subunit e, mitochondrial OS=Homo sapiens OX=9606 GN=ATP5I PE=1 SV=2
+KLISDDEALERAIRKLEDQKKKEEAAIRREEEARPKLYNYRTAGYAVGLFLASYRGLKILPSVQVPPVM
+>sp|P03928|ATP8_HUMAN ATP synthase protein 8 OS=Homo sapiens OX=9606 GN=MT-ATP8 PE=1 SV=1
+MPQLNTTVWPTMITPMLLTLFLITQLKMLNTNYHLPPSPKPMKMKNYNKPWEPKWTKICSLHSLPPQS
+>DECOY_sp|P03928|ATP8_HUMAN ATP synthase protein 8 OS=Homo sapiens OX=9606 GN=MT-ATP8 PE=1 SV=1
+SQPPLSHLSCIKTWKPEWPKNYNKMKMPKPSPPLHYNTNLMKLQTILFLTLLMPTIMTPWVTTNLQPM
+>sp|P06576|ATPB_HUMAN ATP synthase subunit beta, mitochondrial OS=Homo sapiens OX=9606 GN=ATP5F1B PE=1 SV=3
+MLGFVGRVAAAPASGALRRLTPSASLPPAQLLLRAAPTAVHPVRDYAAQTSPSPKAGAATGRIVAVIGAVVDVQFDEGLPPILNALEVQGRETRLVLEVAQHLGESTVRTIAMDGTEGLVRGQKVLDSGAPIKIPVGPETLGRIMNVIGEPIDERGPIKTKQFAPIHAEAPEFMEMSVEQEILVTGIKVVDLLAPYAKGGKIGLFGGAGVGKTVLIMELINNVAKAHGGYSVFAGVGERTREGNDLYHEMIESGVINLKDATSKVALVYGQMNEPPGARARVALTGLTVAEYFRDQEGQDVLLFIDNIFRFTQAGSEVSALLGRIPSAVGYQPTLATDMGTMQERITTTKKGSITSVQAIYVPADDLTDPAPATTFAHLDATTVLSRAIAELGIYPAVDPLDSTSRIMDPNIVGSEHYDVARGVQKILQDYKSLQDIIAILGMDELSEEDKLTVSRARKIQRFLSQPFQVAEVFTGHMGKLVPLKETIKGFQQILAGEYDHLPEQAFYMVGPIEEAVAKADKLAEEHSS
+>DECOY_sp|P06576|ATPB_HUMAN ATP synthase subunit beta, mitochondrial OS=Homo sapiens OX=9606 GN=ATP5F1B PE=1 SV=3
+SSHEEALKDAKAVAEEIPGVMYFAQEPLHDYEGALIQQFGKITEKLPVLKGMHGTFVEAVQFPQSLFRQIKRARSVTLKDEESLEDMGLIAIIDQLSKYDQLIKQVGRAVDYHESGVINPDMIRSTSDLPDVAPYIGLEAIARSLVTTADLHAFTTAPAPDTLDDAPVYIAQVSTISGKKTTTIREQMTGMDTALTPQYGVASPIRGLLASVESGAQTFRFINDIFLLVDQGEQDRFYEAVTLGTLAVRARAGPPENMQGYVLAVKSTADKLNIVGSEIMEHYLDNGERTREGVGAFVSYGGHAKAVNNILEMILVTKGVGAGGFLGIKGGKAYPALLDVVKIGTVLIEQEVSMEMFEPAEAHIPAFQKTKIPGREDIPEGIVNMIRGLTEPGVPIKIPAGSDLVKQGRVLGETGDMAITRVTSEGLHQAVELVLRTERGQVELANLIPPLGEDFQVDVVAGIVAVIRGTAAGAKPSPSTQAAYDRVPHVATPAARLLLQAPPLSASPTLRRLAGSAPAAAVRGVFGLM
+>sp|P48047|ATPO_HUMAN ATP synthase subunit O, mitochondrial OS=Homo sapiens OX=9606 GN=ATP5O PE=1 SV=1
+MAAPAVSGLSRQVRCFSTSVVRPFAKLVRPPVQVYGIEGRYATALYSAASKQNKLEQVEKELLRVAQILKEPKVAASVLNPYVKRSIKVKSLNDITAKERFSPLTTNLINLLAENGRLSNTQGVVSAFSTMMSVHRGEVPCTVTSASPLEEATLSELKTVLKSFLSQGQVLKLEAKTDPSILGGMIVRIGEKYVDMSVKTKIQKLGRAMREIV
+>DECOY_sp|P48047|ATPO_HUMAN ATP synthase subunit O, mitochondrial OS=Homo sapiens OX=9606 GN=ATP5O PE=1 SV=1
+VIERMARGLKQIKTKVSMDVYKEGIRVIMGGLISPDTKAELKLVQGQSLFSKLVTKLESLTAEELPSASTVTCPVEGRHVSMMTSFASVVGQTNSLRGNEALLNILNTTLPSFREKATIDNLSKVKISRKVYPNLVSAAVKPEKLIQAVRLLEKEVQELKNQKSAASYLATAYRGEIGYVQVPPRVLKAFPRVVSTSFCRVQRSLGSVAPAAM
+>sp|O75882|ATRN_HUMAN Attractin OS=Homo sapiens OX=9606 GN=ATRN PE=1 SV=2
+MVAAAAATEARLRRRTAATAALAGRSGGPHWDWDVTRAGRPGLGAGLRLPRLLSPPLRPRLLLLLLLLSPPLLLLLLPCEAEAAAAAAAVSGSAAAEAKECDRPCVNGGRCNPGTGQCVCPAGWVGEQCQHCGGRFRLTGSSGFVTDGPGNYKYKTKCTWLIEGQPNRIMRLRFNHFATECSWDHLYVYDGDSIYAPLVAAFSGLIVPERDGNETVPEVVATSGYALLHFFSDAAYNLTGFNITYSFDMCPNNCSGRGECKISNSSDTVECECSENWKGEACDIPHCTDNCGFPHRGICNSSDVRGCSCFSDWQGPGCSVPVPANQSFWTREEYSNLKLPRASHKAVVNGNIMWVVGGYMFNHSDYNMVLAYDLASREWLPLNRSVNNVVVRYGHSLALYKDKIYMYGGKIDSTGNVTNELRVFHIHNESWVLLTPKAKEQYAVVGHSAHIVTLKNGRVVMLVIFGHCPLYGYISNVQEYDLDKNTWSILHTQGALVQGGYGHSSVYDHRTRALYVHGGYKAFSANKYRLADDLYRYDVDTQMWTILKDSRFFRYLHTAVIVSGTMLVFGGNTHNDTSMSHGAKCFSSDFMAYDIACDRWSVLPRPDLHHDVNRFGHSAVLHNSTMYVFGGFNSLLLSDILVFTSEQCDAHRSEAACLAAGPGIRCVWNTGSSQCISWALATDEQEEKLKSECFSKRTLDHDRCDQHTDCYSCTANTNDCHWCNDHCVPRNHSCSEGQISIFRYENCPKDNPMYYCNKKTSCRSCALDQNCQWEPRNQECIALPENICGIGWHLVGNSCLKITTAKENYDNAKLFCRNHNALLASLTTQKKVEFVLKQLRIMQSSQSMSKLTLTPWVGLRKINVSYWCWEDMSPFTNSLLQWMPSEPSDAGFCGILSEPSTRGLKAATCINPLNGSVCERPANHSAKQCRTPCALRTACGDCTSGSSECMWCSNMKQCVDSNAYVASFPFGQCMEWYTMSTCPPENCSGYCTCSHCLEQPGCGWCTDPSNTGKGKCIEGSYKGPVKMPSQAPTGNFYPQPLLNSSMCLEDSRYNWSFIHCPACQCNGHSKCINQSICEKCENLTTGKHCETCISGFYGDPTNGGKCQPCKCNGHASLCNTNTGKCFCTTKGVKGDECQLCEVENRYQGNPLRGTCYYTLLIDYQFTFSLSQEDDRYYTAINFVATPDEQNRDLDMFINASKNFNLNITWAASFSAGTQAGEEMPVVSKTNIKEYKDSFSNEKFDFRNHPNITFFVYVSNFTWPIKIQIAFSQHSNFMDLVQFFVTFFSCFLSLLLVAAVVWKIKQSCWASRRREQLLREMQQMASRPFASVNVALETDEEPPDLIGGSIKTVPKPIALEPCFGNKAAVLSVFVRLPRGLGGIPPPGQSGLAVASALVDISQQMPIVYKEKSGAVRNRKQQPPAQPGTCI
+>DECOY_sp|O75882|ATRN_HUMAN Attractin OS=Homo sapiens OX=9606 GN=ATRN PE=1 SV=2
+ICTGPQAPPQQKRNRVAGSKEKYVIPMQQSIDVLASAVALGSQGPPPIGGLGRPLRVFVSLVAAKNGFCPELAIPKPVTKISGGILDPPEEDTELAVNVSAFPRSAMQQMERLLQERRRSAWCSQKIKWVVAAVLLLSLFCSFFTVFFQVLDMFNSHQSFAIQIKIPWTFNSVYVFFTINPHNRFDFKENSFSDKYEKINTKSVVPMEEGAQTGASFSAAWTINLNFNKSANIFMDLDRNQEDPTAVFNIATYYRDDEQSLSFTFQYDILLTYYCTGRLPNGQYRNEVECLQCEDGKVGKTTCFCKGTNTNCLSAHGNCKCPQCKGGNTPDGYFGSICTECHKGTTLNECKECISQNICKSHGNCQCAPCHIFSWNYRSDELCMSSNLLPQPYFNGTPAQSPMKVPGKYSGEICKGKGTNSPDTCWGCGPQELCHSCTCYGSCNEPPCTSMTYWEMCQGFPFSAVYANSDVCQKMNSCWMCESSGSTCDGCATRLACPTRCQKASHNAPRECVSGNLPNICTAAKLGRTSPESLIGCFGADSPESPMWQLLSNTFPSMDEWCWYSVNIKRLGVWPTLTLKSMSQSSQMIRLQKLVFEVKKQTTLSALLANHNRCFLKANDYNEKATTIKLCSNGVLHWGIGCINEPLAICEQNRPEWQCNQDLACSRCSTKKNCYYMPNDKPCNEYRFISIQGESCSHNRPVCHDNCWHCDNTNATCSYCDTHQDCRDHDLTRKSFCESKLKEEQEDTALAWSICQSSGTNWVCRIGPGAALCAAESRHADCQESTFVLIDSLLLSNFGGFVYMTSNHLVASHGFRNVDHHLDPRPLVSWRDCAIDYAMFDSSFCKAGHSMSTDNHTNGGFVLMTGSVIVATHLYRFFRSDKLITWMQTDVDYRYLDDALRYKNASFAKYGGHVYLARTRHDYVSSHGYGGQVLAGQTHLISWTNKDLDYEQVNSIYGYLPCHGFIVLMVVRGNKLTVIHASHGVVAYQEKAKPTLLVWSENHIHFVRLENTVNGTSDIKGGYMYIKDKYLALSHGYRVVVNNVSRNLPLWERSALDYALVMNYDSHNFMYGGVVWMINGNVVAKHSARPLKLNSYEERTWFSQNAPVPVSCGPGQWDSFCSCGRVDSSNCIGRHPFGCNDTCHPIDCAEGKWNESCECEVTDSSNSIKCEGRGSCNNPCMDFSYTINFGTLNYAADSFFHLLAYGSTAVVEPVTENGDREPVILGSFAAVLPAYISDGDYVYLHDWSCETAFHNFRLRMIRNPQGEILWTCKTKYKYNGPGDTVFGSSGTLRFRGGCHQCQEGVWGAPCVCQGTGPNCRGGNVCPRDCEKAEAAASGSVAAAAAAAEAECPLLLLLLPPSLLLLLLLLRPRLPPSLLRPLRLGAGLGPRGARTVDWDWHPGGSRGALAATAATRRRLRAETAAAAAVM
+>sp|Q9H324|ATS10_HUMAN A disintegrin and metalloproteinase with thrombospondin motifs 10 OS=Homo sapiens OX=9606 GN=ADAMTS10 PE=1 SV=2
+MAPACQILRWALALGLGLMFEVTHAFRSQDEFLSSLESYEIAFPTRVDHNGALLAFSPPPPRRQRRGTGATAESRLFYKVASPSTHFLLNLTRSSRLLAGHVSVEYWTREGLAWQRAARPHCLYAGHLQGQASTSHVAISTCGGLHGLIVADEEEYLIEPLHGGPKGSRSPEESGPHVVYKRSSLRHPHLDTACGVRDEKPWKGRPWWLRTLKPPPARPLGNETERGQPGLKRSVSRERYVETLVVADKMMVAYHGRRDVEQYVLAIMNIVAKLFQDSSLGSTVNILVTRLILLTEDQPTLEITHHAGKSLDSFCKWQKSIVNHSGHGNAIPENGVANHDTAVLITRYDICIYKNKPCGTLGLAPVGGMCERERSCSVNEDIGLATAFTIAHEIGHTFGMNHDGVGNSCGARGQDPAKLMAAHITMKTNPFVWSSCSRDYITSFLDSGLGLCLNNRPPRQDFVYPTVAPGQAYDADEQCRFQHGVKSRQCKYGEVCSELWCLSKSNRCITNSIPAAEGTLCQTHTIDKGWCYKRVCVPFGSRPEGVDGAWGPWTPWGDCSRTCGGGVSSSSRHCDSPRPTIGGKYCLGERRRHRSCNTDDCPPGSQDFREVQCSEFDSIPFRGKFYKWKTYRGGGVKACSLTCLAEGFNFYTERAAAVVDGTPCRPDTVDICVSGECKHVGCDRVLGSDLREDKCRVCGGDGSACETIEGVFSPASPGAGYEDVVWIPKGSVHIFIQDLNLSLSHLALKGDQESLLLEGLPGTPQPHRLPLAGTTFQLRQGPDQVQSLEALGPINASLIVMVLARTELPALRYRFNAPIARDSLPPYSWHYAPWTKCSAQCAGGSQVQAVECRNQLDSSAVAPHYCSAHSKLPKRQRACNTEPCPPDWVVGNWSLCSRSCDAGVRSRSVVCQRRVSAAEEKALDDSACPQPRPPVLEACHGPTCPPEWAALDWSECTPSCGPGLRHRVVLCKSADHRATLPPAHCSPAAKPPATMRCNLRRCPPARWVAGEWGECSAQCGVGQRQRSVRCTSHTGQASHECTEALRPPTTQQCEAKCDSPTPGDGPEECKDVNKVAYCPLVLKFQFCSRAYFRQMCCKTCHGH
+>DECOY_sp|Q9H324|ATS10_HUMAN A disintegrin and metalloproteinase with thrombospondin motifs 10 OS=Homo sapiens OX=9606 GN=ADAMTS10 PE=1 SV=2
+HGHCTKCCMQRFYARSCFQFKLVLPCYAVKNVDKCEEPGDGPTPSDCKAECQQTTPPRLAETCEHSAQGTHSTCRVSRQRQGVGCQASCEGWEGAVWRAPPCRRLNCRMTAPPKAAPSCHAPPLTARHDASKCLVVRHRLGPGCSPTCESWDLAAWEPPCTPGHCAELVPPRPQPCASDDLAKEEAASVRRQCVVSRSRVGADCSRSCLSWNGVVWDPPCPETNCARQRKPLKSHASCYHPAVASSDLQNRCEVAQVQSGGACQASCKTWPAYHWSYPPLSDRAIPANFRYRLAPLETRALVMVILSANIPGLAELSQVQDPGQRLQFTTGALPLRHPQPTGPLGELLLSEQDGKLALHSLSLNLDQIFIHVSGKPIWVVDEYGAGPSAPSFVGEITECASGDGGCVRCKDERLDSGLVRDCGVHKCEGSVCIDVTDPRCPTGDVVAAARETYFNFGEALCTLSCAKVGGGRYTKWKYFKGRFPISDFESCQVERFDQSGPPCDDTNCSRHRRREGLCYKGGITPRPSDCHRSSSSVGGGCTRSCDGWPTWPGWAGDVGEPRSGFPVCVRKYCWGKDITHTQCLTGEAAPISNTICRNSKSLCWLESCVEGYKCQRSKVGHQFRCQEDADYAQGPAVTPYVFDQRPPRNNLCLGLGSDLFSTIYDRSCSSWVFPNTKMTIHAAMLKAPDQGRAGCSNGVGDHNMGFTHGIEHAITFATALGIDENVSCSRERECMGGVPALGLTGCPKNKYICIDYRTILVATDHNAVGNEPIANGHGSHNVISKQWKCFSDLSKGAHHTIELTPQDETLLILRTVLINVTSGLSSDQFLKAVINMIALVYQEVDRRGHYAVMMKDAVVLTEVYRERSVSRKLGPQGRETENGLPRAPPPKLTRLWWPRGKWPKEDRVGCATDLHPHRLSSRKYVVHPGSEEPSRSGKPGGHLPEILYEEEDAVILGHLGGCTSIAVHSTSAQGQLHGAYLCHPRAARQWALGERTWYEVSVHGALLRSSRTLNLLFHTSPSAVKYFLRSEATAGTGRRQRRPPPPSFALLAGNHDVRTPFAIEYSELSSLFEDQSRFAHTVEFMLGLGLALAWRLIQCAPAM
+>sp|Q76LX8|ATS13_HUMAN A disintegrin and metalloproteinase with thrombospondin motifs 13 OS=Homo sapiens OX=9606 GN=ADAMTS13 PE=1 SV=1
+MHQRHPRARCPPLCVAGILACGFLLGCWGPSHFQQSCLQALEPQAVSSYLSPGAPLKGRPPSPGFQRQRQRQRRAAGGILHLELLVAVGPDVFQAHQEDTERYVLTNLNIGAELLRDPSLGAQFRVHLVKMVILTEPEGAPNITANLTSSLLSVCGWSQTINPEDDTDPGHADLVLYITRFDLELPDGNRQVRGVTQLGGACSPTWSCLITEDTGFDLGVTIAHEIGHSFGLEHDGAPGSGCGPSGHVMASDGAAPRAGLAWSPCSRRQLLSLLSAGRARCVWDPPRPQPGSAGHPPDAQPGLYYSANEQCRVAFGPKAVACTFAREHLDMCQALSCHTDPLDQSSCSRLLVPLLDGTECGVEKWCSKGRCRSLVELTPIAAVHGRWSSWGPRSPCSRSCGGGVVTRRRQCNNPRPAFGGRACVGADLQAEMCNTQACEKTQLEFMSQQCARTDGQPLRSSPGGASFYHWGAAVPHSQGDALCRHMCRAIGESFIMKRGDSFLDGTRCMPSGPREDGTLSLCVSGSCRTFGCDGRMDSQQVWDRCQVCGGDNSTCSPRKGSFTAGRAREYVTFLTVTPNLTSVYIANHRPLFTHLAVRIGGRYVVAGKMSISPNTTYPSLLEDGRVEYRVALTEDRLPRLEEIRIWGPLQEDADIQVYRRYGEEYGNLTRPDITFTYFQPKPRQAWVWAAVRGPCSVSCGAGLRWVNYSCLDQARKELVETVQCQGSQQPPAWPEACVLEPCPPYWAVGDFGPCSASCGGGLRERPVRCVEAQGSLLKTLPPARCRAGAQQPAVALETCNPQPCPARWEVSEPSSCTSAGGAGLALENETCVPGADGLEAPVTEGPGSVDEKLPAPEPCVGMSCPPGWGHLDATSAGEKAPSPWGSIRTGAQAAHVWTPAAGSCSVSCGRGLMELRFLCMDSALRVPVQEELCGLASKPGSRREVCQAVPCPARWQYKLAACSVSCGRGVVRRILYCARAHGEDDGEEILLDTQCQGLPRPEPQEACSLEPCPPRWKVMSLGPCSASCGLGTARRSVACVQLDQGQDVEVDEAACAALVRPEASVPCLIADCTYRWHVGTWMECSVSCGDGIQRRRDTCLGPQAQAPVPADFCQHLPKPVTVRGCWAGPCVGQGTPSLVPHEEAAAPGRTTATPAGASLEWSQARGLLFSPAPQPRRLLPGPQENSVQSSACGRQHLEPTGTIDMRGPGQADCAVAIGRPLGEVVTLRVLESSLNCSAGDMLLLWGRLTWRKMCRKLLDMTFSSKTNTLVVRQRCGRPGGGVLLRYGSQLAPETFYRECDMQLFGPWGEIVSPSLSPATSNAGGCRLFINVAPHARIAIHALATNMGAGTEGANASYILIRDTHSLRTTAFHGQQVLYWESESSQAEMEFSEGFLKAQASLRGQYWTLQSWVPEMQDPQSWKGKEGT
+>DECOY_sp|Q76LX8|ATS13_HUMAN A disintegrin and metalloproteinase with thrombospondin motifs 13 OS=Homo sapiens OX=9606 GN=ADAMTS13 PE=1 SV=1
+TGEKGKWSQPDQMEPVWSQLTWYQGRLSAQAKLFGESFEMEAQSSESEWYLVQQGHFATTRLSHTDRILIYSANAGETGAGMNTALAHIAIRAHPAVNIFLRCGGANSTAPSLSPSVIEGWPGFLQMDCERYFTEPALQSGYRLLVGGGPRGCRQRVVLTNTKSSFTMDLLKRCMKRWTLRGWLLLMDGASCNLSSELVRLTVVEGLPRGIAVACDAQGPGRMDITGTPELHQRGCASSQVSNEQPGPLLRRPQPAPSFLLGRAQSWELSAGAPTATTRGPAAAEEHPVLSPTGQGVCPGAWCGRVTVPKPLHQCFDAPVPAQAQPGLCTDRRRQIGDGCSVSCEMWTGVHWRYTCDAILCPVSAEPRVLAACAAEDVEVDQGQDLQVCAVSRRATGLGCSASCPGLSMVKWRPPCPELSCAEQPEPRPLGQCQTDLLIEEGDDEGHARACYLIRRVVGRGCSVSCAALKYQWRAPCPVAQCVERRSGPKSALGCLEEQVPVRLASDMCLFRLEMLGRGCSVSCSGAAPTWVHAAQAGTRISGWPSPAKEGASTADLHGWGPPCSMGVCPEPAPLKEDVSGPGETVPAELGDAGPVCTENELALGAGGASTCSSPESVEWRAPCPQPNCTELAVAPQQAGARCRAPPLTKLLSGQAEVCRVPRERLGGGCSASCPGFDGVAWYPPCPELVCAEPWAPPQQSGQCQVTEVLEKRAQDLCSYNVWRLGAGCSVSCPGRVAAWVWAQRPKPQFYTFTIDPRTLNGYEEGYRRYVQIDADEQLPGWIRIEELRPLRDETLAVRYEVRGDELLSPYTTNPSISMKGAVVYRGGIRVALHTFLPRHNAIYVSTLNPTVTLFTVYERARGATFSGKRPSCTSNDGGCVQCRDWVQQSDMRGDCGFTRCSGSVCLSLTGDERPGSPMCRTGDLFSDGRKMIFSEGIARCMHRCLADGQSHPVAAGWHYFSAGGPSSRLPQGDTRACQQSMFELQTKECAQTNCMEAQLDAGVCARGGFAPRPNNCQRRRTVVGGGCSRSCPSRPGWSSWRGHVAAIPTLEVLSRCRGKSCWKEVGCETGDLLPVLLRSCSSQDLPDTHCSLAQCMDLHERAFTCAVAKPGFAVRCQENASYYLGPQADPPHGASGPQPRPPDWVCRARGASLLSLLQRRSCPSWALGARPAAGDSAMVHGSPGCGSGPAGDHELGFSHGIEHAITVGLDFGTDETILCSWTPSCAGGLQTVGRVQRNGDPLELDFRTIYLVLDAHGPDTDDEPNITQSWGCVSLLSSTLNATINPAGEPETLIVMKVLHVRFQAGLSPDRLLEAGINLNTLVYRETDEQHAQFVDPGVAVLLELHLIGGAARRQRQRQRQFGPSPPRGKLPAGPSLYSSVAQPELAQLCSQQFHSPGWCGLLFGCALIGAVCLPPCRARPHRQHM
+>sp|Q9UNA0|ATS5_HUMAN A disintegrin and metalloproteinase with thrombospondin motifs 5 OS=Homo sapiens OX=9606 GN=ADAMTS5 PE=1 SV=2
+MLLGWASLLLCAFRLPLAAVGPAATPAQDKAGQPPTAAAAAQPRRRQGEEVQERAEPPGHPHPLAQRRRSKGLVQNIDQLYSGGGKVGYLVYAGGRRFLLDLERDGSVGIAGFVPAGGGTSAPWRHRSHCFYRGTVDGSPRSLAVFDLCGGLDGFFAVKHARYTLKPLLRGPWAEEEKGRVYGDGSARILHVYTREGFSFEALPPRASCETPASTPEAHEHAPAHSNPSGRAALASQLLDQSALSPAGGSGPQTWWRRRRRSISRARQVELLLVADASMARLYGRGLQHYLLTLASIANRLYSHASIENHIRLAVVKVVVLGDKDKSLEVSKNAATTLKNFCKWQHQHNQLGDDHEEHYDAAILFTREDLCGHHSCDTLGMADVGTICSPERSCAVIEDDGLHAAFTVAHEIGHLLGLSHDDSKFCEETFGSTEDKRLMSSILTSIDASKPWSKCTSATITEFLDDGHGNCLLDLPRKQILGPEELPGQTYDATQQCNLTFGPEYSVCPGMDVCARLWCAVVRQGQMVCLTKKLPAVEGTPCGKGRICLQGKCVDKTKKKYYSTSSHGNWGSWGSWGQCSRSCGGGVQFAYRHCNNPAPRNNGRYCTGKRAIYRSCSLMPCPPNGKSFRHEQCEAKNGYQSDAKGVKTFVEWVPKYAGVLPADVCKLTCRAKGTGYYVVFSPKVTDGTECRLYSNSVCVRGKCVRTGCDGIIGSKLQYDKCGVCGGDNSSCTKIVGTFNKKSKGYTDVVRIPEGATHIKVRQFKAKDQTRFTAYLALKKKNGEYLINGKYMISTSETIIDINGTVMNYSGWSHRDDFLHGMGYSATKEILIVQILATDPTKPLDVRYSFFVPKKSTPKVNSVTSHGSNKVGSHTSQPQWVTGPWLACSRTCDTGWHTRTVQCQDGNRKLAKGCPLSQRPSAFKQCLLKKC
+>DECOY_sp|Q9UNA0|ATS5_HUMAN A disintegrin and metalloproteinase with thrombospondin motifs 5 OS=Homo sapiens OX=9606 GN=ADAMTS5 PE=1 SV=2
+CKKLLCQKFASPRQSLPCGKALKRNGDQCQVTRTHWGTDCTRSCALWPGTVWQPQSTHSGVKNSGHSTVSNVKPTSKKPVFFSYRVDLPKTPDTALIQVILIEKTASYGMGHLFDDRHSWGSYNMVTGNIDIITESTSIMYKGNILYEGNKKKLALYATFRTQDKAKFQRVKIHTAGEPIRVVDTYGKSKKNFTGVIKTCSSNDGGCVGCKDYQLKSGIIGDCGTRVCKGRVCVSNSYLRCETGDTVKPSFVVYYGTGKARCTLKCVDAPLVGAYKPVWEVFTKVGKADSQYGNKAECQEHRFSKGNPPCPMLSCSRYIARKGTCYRGNNRPAPNNCHRYAFQVGGGCSRSCQGWSGWSGWNGHSSTSYYKKKTKDVCKGQLCIRGKGCPTGEVAPLKKTLCVMQGQRVVACWLRACVDMGPCVSYEPGFTLNCQQTADYTQGPLEEPGLIQKRPLDLLCNGHGDDLFETITASTCKSWPKSADISTLISSMLRKDETSGFTEECFKSDDHSLGLLHGIEHAVTFAAHLGDDEIVACSREPSCITGVDAMGLTDCSHHGCLDERTFLIAADYHEEHDDGLQNHQHQWKCFNKLTTAANKSVELSKDKDGLVVVKVVALRIHNEISAHSYLRNAISALTLLYHQLGRGYLRAMSADAVLLLEVQRARSISRRRRRWWTQPGSGGAPSLASQDLLQSALAARGSPNSHAPAHEHAEPTSAPTECSARPPLAEFSFGERTYVHLIRASGDGYVRGKEEEAWPGRLLPKLTYRAHKVAFFGDLGGCLDFVALSRPSGDVTGRYFCHSRHRWPASTGGGAPVFGAIGVSGDRELDLLFRRGGAYVLYGVKGGGSYLQDINQVLGKSRRRQALPHPHGPPEAREQVEEGQRRRPQAAAAATPPQGAKDQAPTAAPGVAALPLRFACLLLSAWGLLM
+>sp|Q9UKP5|ATS6_HUMAN A disintegrin and metalloproteinase with thrombospondin motifs 6 OS=Homo sapiens OX=9606 GN=ADAMTS6 PE=2 SV=2
+MEILWKTLTWILSLIMASSEFHSDHRLSYSSQEEFLTYLEHYQLTIPIRVDQNGAFLSFTVKNDKHSRRRRSMDPIDPQQAVSKLFFKLSAYGKHFHLNLTLNTDFVSKHFTVEYWGKDGPQWKHDFLDNCHYTGYLQDQRSTTKVALSNCVGLHGVIATEDEEYFIEPLKNTTEDSKHFSYENGHPHVIYKKSALQQRHLYDHSHCGVSDFTRSGKPWWLNDTSTVSYSLPINNTHIHHRQKRSVSIERFVETLVVADKMMVGYHGRKDIEHYILSVMNIVAKLYRDSSLGNVVNIIVARLIVLTEDQPNLEINHHADKSLDSFCKWQKSILSHQSDGNTIPENGIAHHDNAVLITRYDICTYKNKPCGTLGLASVAGMCEPERSCSINEDIGLGSAFTIAHEIGHNFGMNHDGIGNSCGTKGHEAAKLMAAHITANTNPFSWSACSRDYITSFLDSGRGTCLDNEPPKRDFLYPAVAPGQVYDADEQCRFQYGATSRQCKYGEVCRELWCLSKSNRCVTNSIPAAEGTLCQTGNIEKGWCYQGDCVPFGTWPQSIDGGWGPWSLWGECSRTCGGGVSSSLRHCDSPAPSGGGKYCLGERKRYRSCNTDPCPLGSRDFREKQCADFDNMPFRGKYYNWKPYTGGGVKPCALNCLAEGYNFYTERAPAVIDGTQCNADSLDICINGECKHVGCDNILGSDAREDRCRVCGGDGSTCDAIEGFFNDSLPRGGYMEVVQIPRGSVHIEVREVAMSKNYIALKSEGDDYYINGAWTIDWPRKFDVAGTAFHYKRPTDEPESLEALGPTSENLIVMVLLQEQNLGIRYKFNVPITRTGSGDNEVGFTWNHQPWSECSATCAGGVQRQEVVCKRLDDNSIVQNNYCDPDSKPPENQRACNTEPCPPEWFIGDWLECSKTCDGGMRTRAVLCIRKIGPSEEETLDYSGCLTHRPVEKEPCNNQSCPPQWVALDWSECTPKCGPGFKHRIVLCKSSDLSKTFPAAQCPEESKPPVRIRCSLGRCPPPRWVTGDWGQCSAQCGLGQQMRTVQCLSYTGQASSDCLETVRPPSMQQCESKCDSTPISNTEECKDVNKVAYCPLVLKFKFCSRAYFRQMCCKTCQGH
+>DECOY_sp|Q9UKP5|ATS6_HUMAN A disintegrin and metalloproteinase with thrombospondin motifs 6 OS=Homo sapiens OX=9606 GN=ADAMTS6 PE=2 SV=2
+HGQCTKCCMQRFYARSCFKFKLVLPCYAVKNVDKCEETNSIPTSDCKSECQQMSPPRVTELCDSSAQGTYSLCQVTRMQQGLGCQASCQGWDGTVWRPPPCRGLSCRIRVPPKSEEPCQAAPFTKSLDSSKCLVIRHKFGPGCKPTCESWDLAVWQPPCSQNNCPEKEVPRHTLCGSYDLTEEESPGIKRICLVARTRMGGDCTKSCELWDGIFWEPPCPETNCARQNEPPKSDPDCYNNQVISNDDLRKCVVEQRQVGGACTASCESWPQHNWTFGVENDGSGTRTIPVNFKYRIGLNQEQLLVMVILNESTPGLAELSEPEDTPRKYHFATGAVDFKRPWDITWAGNIYYDDGESKLAIYNKSMAVERVEIHVSGRPIQVVEMYGGRPLSDNFFGEIADCTSGDGGCVRCRDERADSGLINDCGVHKCEGNICIDLSDANCQTGDIVAPARETYFNYGEALCNLACPKVGGGTYPKWNYYKGRFPMNDFDACQKERFDRSGLPCPDTNCSRYRKREGLCYKGGGSPAPSDCHRLSSSVGGGCTRSCEGWLSWPGWGGDISQPWTGFPVCDGQYCWGKEINGTQCLTGEAAPISNTVCRNSKSLCWLERCVEGYKCQRSTAGYQFRCQEDADYVQGPAVAPYLFDRKPPENDLCTGRGSDLFSTIYDRSCASWSFPNTNATIHAAMLKAAEHGKTGCSNGIGDHNMGFNHGIEHAITFASGLGIDENISCSREPECMGAVSALGLTGCPKNKYTCIDYRTILVANDHHAIGNEPITNGDSQHSLISKQWKCFSDLSKDAHHNIELNPQDETLVILRAVIINVVNGLSSDRYLKAVINMVSLIYHEIDKRGHYGVMMKDAVVLTEVFREISVSRKQRHHIHTNNIPLSYSVTSTDNLWWPKGSRTFDSVGCHSHDYLHRQQLASKKYIVHPHGNEYSFHKSDETTNKLPEIFYEEDETAIVGHLGVCNSLAVKTTSRQDQLYGTYHCNDLFDHKWQPGDKGWYEVTFHKSVFDTNLTLNLHFHKGYASLKFFLKSVAQQPDIPDMSRRRRSHKDNKVTFSLFAGNQDVRIPITLQYHELYTLFEEQSSYSLRHDSHFESSAMILSLIWTLTKWLIEM
+>sp|Q92624|APBP2_HUMAN Amyloid protein-binding protein 2 OS=Homo sapiens OX=9606 GN=APPBP2 PE=1 SV=2
+MAAVELEWIPETLYNTAISAVVDNYIRSRRDIRSLPENIQFDVYYKLYQQGRLCQLGSEFCELEVFAKVLRALDKRHLLHHCFQALMDHGVKVASVLAYSFSRRCSYIAESDAAVKEKAIQVGFVLGGFLSDAGWYSDAEKVFLSCLQLCTLHDEMLHWFRAVECCVRLLHVRNGNCKYHLGEETFKLAQTYMDKLSKHGQQANKAALYGELCALLFAKSHYDEAYKWCIEAMKEITAGLPVKVVVDVLRQASKACVVKREFKKAEQLIKHAVYLARDHFGSKHPKYSDTLLDYGFYLLNVDNICQSVAIYQAALDIRQSVFGGKNIHVATAHEDLAYSSYVHQYSSGKFDNALFHAERAIGIITHILPEDHLLLASSKRVKALILEEIAIDCHNKETEQRLLQEAHDLHLSSLQLAKKAFGEFNVQTAKHYGNLGRLYQSMRKFKEAEEMHIKAIQIKEQLLGQEDYEVALSVGHLASLYNYDMNQYENAEKLYLRSIAIGKKLFGEGYSGLEYDYRGLIKLYNSIGNYEKVFEYHNVLSNWNRLRDRQYSVTDALEDVSTSPQSTEEVVQSFLISQNVEGPSC
+>DECOY_sp|Q92624|APBP2_HUMAN Amyloid protein-binding protein 2 OS=Homo sapiens OX=9606 GN=APPBP2 PE=1 SV=2
+CSPGEVNQSILFSQVVEETSQPSTSVDELADTVSYQRDRLRNWNSLVNHYEFVKEYNGISNYLKILGRYDYELGSYGEGFLKKGIAISRLYLKEANEYQNMDYNYLSALHGVSLAVEYDEQGLLQEKIQIAKIHMEEAEKFKRMSQYLRGLNGYHKATQVNFEGFAKKALQLSSLHLDHAEQLLRQETEKNHCDIAIEELILAKVRKSSALLLHDEPLIHTIIGIAREAHFLANDFKGSSYQHVYSSYALDEHATAVHINKGGFVSQRIDLAAQYIAVSQCINDVNLLYFGYDLLTDSYKPHKSGFHDRALYVAHKILQEAKKFERKVVCAKSAQRLVDVVVKVPLGATIEKMAEICWKYAEDYHSKAFLLACLEGYLAAKNAQQGHKSLKDMYTQALKFTEEGLHYKCNGNRVHLLRVCCEVARFWHLMEDHLTCLQLCSLFVKEADSYWGADSLFGGLVFGVQIAKEKVAADSEAIYSCRRSFSYALVSAVKVGHDMLAQFCHHLLHRKDLARLVKAFVELECFESGLQCLRGQQYLKYYVDFQINEPLSRIDRRSRIYNDVVASIATNYLTEPIWELEVAAM
+>sp|Q8WW43|APH1B_HUMAN Gamma-secretase subunit APH-1B OS=Homo sapiens OX=9606 GN=APH1B PE=1 SV=3
+MTAAVFFGCAFIAFGPALALYVFTIATEPLRIIFLIAGAFFWLVSLLISSLVWFMARVIIDNKDGPTQKYLLIFGAFVSVYIQEMFRFAYYKLLKKASEGLKSINPGETAPSMRLLAYVSGLGFGIMSGVFSFVNTLSDSLGPGTVGIHGDSPQFFLYSAFMTLVIILLHVFWGIVFFDGCEKKKWGILLIVLLTHLLVSAQTFISSYYGINLASAFIILVLMGTWAFLAAGGSCRSLKLCLLCQDKNFLLYNQRSR
+>DECOY_sp|Q8WW43|APH1B_HUMAN Gamma-secretase subunit APH-1B OS=Homo sapiens OX=9606 GN=APH1B PE=1 SV=3
+RSRQNYLLFNKDQCLLCLKLSRCSGGAALFAWTGMLVLIIFASALNIGYYSSIFTQASVLLHTLLVILLIGWKKKECGDFFVIGWFVHLLIIVLTMFASYLFFQPSDGHIGVTGPGLSDSLTNVFSFVGSMIGFGLGSVYALLRMSPATEGPNISKLGESAKKLLKYYAFRFMEQIYVSVFAGFILLYKQTPGDKNDIIVRAMFWVLSSILLSVLWFFAGAILFIIRLPETAITFVYLALAPGFAIFACGFFVAATM
+>sp|P02652|APOA2_HUMAN Apolipoprotein A-II OS=Homo sapiens OX=9606 GN=APOA2 PE=1 SV=1
+MKLLAATVLLLTICSLEGALVRRQAKEPCVESLVSQYFQTVTDYGKDLMEKVKSPELQAEAKSYFEKSKEQLTPLIKKAGTELVNFLSYFVELGTQPATQ
+>DECOY_sp|P02652|APOA2_HUMAN Apolipoprotein A-II OS=Homo sapiens OX=9606 GN=APOA2 PE=1 SV=1
+QTAPQTGLEVFYSLFNVLETGAKKILPTLQEKSKEFYSKAEAQLEPSKVKEMLDKGYDTVTQFYQSVLSEVCPEKAQRRVLAGELSCITLLLVTAALLKM
+>sp|P06727|APOA4_HUMAN Apolipoprotein A-IV OS=Homo sapiens OX=9606 GN=APOA4 PE=1 SV=3
+MFLKAVVLTLALVAVAGARAEVSADQVATVMWDYFSQLSNNAKEAVEHLQKSELTQQLNALFQDKLGEVNTYAGDLQKKLVPFATELHERLAKDSEKLKEEIGKELEELRARLLPHANEVSQKIGDNLRELQQRLEPYADQLRTQVNTQAEQLRRQLTPYAQRMERVLRENADSLQASLRPHADELKAKIDQNVEELKGRLTPYADEFKVKIDQTVEELRRSLAPYAQDTQEKLNHQLEGLTFQMKKNAEELKARISASAEELRQRLAPLAEDVRGNLRGNTEGLQKSLAELGGHLDQQVEEFRRRVEPYGENFNKALVQQMEQLRQKLGPHAGDVEGHLSFLEKDLRDKVNSFFSTFKEKESQDKTLSLPELEQQQEQQQEQQQEQVQMLAPLES
+>DECOY_sp|P06727|APOA4_HUMAN Apolipoprotein A-IV OS=Homo sapiens OX=9606 GN=APOA4 PE=1 SV=3
+SELPALMQVQEQQQEQQQEQQQELEPLSLTKDQSEKEKFTSFFSNVKDRLDKELFSLHGEVDGAHPGLKQRLQEMQQVLAKNFNEGYPEVRRRFEEVQQDLHGGLEALSKQLGETNGRLNGRVDEALPALRQRLEEASASIRAKLEEANKKMQFTLGELQHNLKEQTDQAYPALSRRLEEVTQDIKVKFEDAYPTLRGKLEEVNQDIKAKLEDAHPRLSAQLSDANERLVREMRQAYPTLQRRLQEAQTNVQTRLQDAYPELRQQLERLNDGIKQSVENAHPLLRARLEELEKGIEEKLKESDKALREHLETAFPVLKKQLDGAYTNVEGLKDQFLANLQQTLESKQLHEVAEKANNSLQSFYDWMVTAVQDASVEARAGAVAVLALTLVVAKLFM
+>sp|Q8IWT0|ARCH_HUMAN Protein archease OS=Homo sapiens OX=9606 GN=ZBTB8OS PE=1 SV=2
+MAQEEEDVRDYNLTEEQKAIKAKYPPVNRKYEYLDHTADVQLHAWGDTLEEAFEQCAMAMFGYMTDTGTVEPLQTVEVETQGDDLQSLLFHFLDEWLYKFSADEFFIPREVKVLSIDQRNFKLRSIGWGEEFSLSKHPQGTEVKAITYSAMQVYNEENPEVFVIIDI
+>DECOY_sp|Q8IWT0|ARCH_HUMAN Protein archease OS=Homo sapiens OX=9606 GN=ZBTB8OS PE=1 SV=2
+IDIIVFVEPNEENYVQMASYTIAKVETGQPHKSLSFEEGWGISRLKFNRQDISLVKVERPIFFEDASFKYLWEDLFHFLLSQLDDGQTEVEVTQLPEVTGTDTMYGFMAMACQEFAEELTDGWAHLQVDATHDLYEYKRNVPPYKAKIAKQEETLNYDRVDEEEQAM
+>sp|P84077|ARF1_HUMAN ADP-ribosylation factor 1 OS=Homo sapiens OX=9606 GN=ARF1 PE=1 SV=2
+MGNIFANLFKGLFGKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRERVNEAREELMRMLAEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRHRNWYIQATCATSGDGLYEGLDWLSNQLRNQK
+>DECOY_sp|P84077|ARF1_HUMAN ADP-ribosylation factor 1 OS=Homo sapiens OX=9606 GN=ARF1 PE=1 SV=2
+KQNRLQNSLWDLGEYLGDGSTACTAQIYWNRHRLSHLGLKDTIEAANMANPLDQKNAFVLLVADRLEDEALMRMLEERAENVRERDNSDVVFILGQTNQFYHRWLPRIKDQGGVDWVTFSINKYEVTEVNFGITPITTVIEGLKLKYLITTKGAADLGVMLIRMEKKGFLGKFLNAFINGM
+>sp|P84085|ARF5_HUMAN ADP-ribosylation factor 5 OS=Homo sapiens OX=9606 GN=ARF5 PE=1 SV=2
+MGLTVSALFSRIFGKKQMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNICFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRERVQESADELQKMLQEDELRDAVLLVFANKQDMPNAMPVSELTDKLGLQHLRSRTWYVQATCATQGTGLYDGLDWLSHELSKR
+>DECOY_sp|P84085|ARF5_HUMAN ADP-ribosylation factor 5 OS=Homo sapiens OX=9606 GN=ARF5 PE=1 SV=2
+RKSLEHSLWDLGDYLGTGQTACTAQVYWTRSRLHQLGLKDTLESVPMANPMDQKNAFVLLVADRLEDEQLMKQLEDASEQVRERDNSDVVFILGQTNQFYHRWLPRIKDQGGVDWVTFCINKYEVTEVNFGITPITTVIEGLKLKYLITTKGAADLGVMLIRMQKKGFIRSFLASVTLGM
+>sp|P62330|ARF6_HUMAN ADP-ribosylation factor 6 OS=Homo sapiens OX=9606 GN=ARF6 PE=1 SV=2
+MGKVLSKIFGNKEMRILMLGLDAAGKTTILYKLKLGQSVTTIPTVGFNVETVTYKNVKFNVWDVGGQDKIRPLWRHYYTGTQGLIFVVDCADRDRIDEARQELHRIINDREMRDAIILIFANKQDLPDAMKPHEIQEKLGLTRIRDRNWYVQPSCATSGDGLYEGLTWLTSNYKS
+>DECOY_sp|P62330|ARF6_HUMAN ADP-ribosylation factor 6 OS=Homo sapiens OX=9606 GN=ARF6 PE=1 SV=2
+SKYNSTLWTLGEYLGDGSTACSPQVYWNRDRIRTLGLKEQIEHPKMADPLDQKNAFILIIADRMERDNIIRHLEQRAEDIRDRDACDVVFILGQTGTYYHRWLPRIKDQGGVDWVNFKVNKYTVTEVNFGVTPITTVSQGLKLKYLITTKGAADLGLMLIRMEKNGFIKSLVKGM
+>sp|Q8N6T3|ARFG1_HUMAN ADP-ribosylation factor GTPase-activating protein 1 OS=Homo sapiens OX=9606 GN=ARFGAP1 PE=1 SV=2
+MASPRTRKVLKEVRVQDENNVCFECGAFNPQWVSVTYGIWICLECSGRHRGLGVHLSFVRSVTMDKWKDIELEKMKAGGNAKFREFLESQEDYDPCWSLQEKYNSRAAALFRDKVVALAEGREWSLESSPAQNWTPPQPRTLPSMVHRVSGQPQSVTASSDKAFEDWLNDDLGSYQGAQGNRYVGFGNTPPPQKKEDDFLNNAMSSLYSGWSSFTTGASRFASAAKEGATKFGSQASQKASELGHSLNENVLKPAQEKVKEGKIFDDVSSGVSQLASKVQGVGSKGWRDVTTFFSGKAEGPLDSPSEGHSYQNSGLDHFQNSNIDQSFWETFGSAEPTKTRKSPSSDSWTCADTSTERRSSDSWEVWGSASTNRNSNSDGGEGGEGTKKAVPPAVPTDDGWDNQNW
+>DECOY_sp|Q8N6T3|ARFG1_HUMAN ADP-ribosylation factor GTPase-activating protein 1 OS=Homo sapiens OX=9606 GN=ARFGAP1 PE=1 SV=2
+WNQNDWGDDTPVAPPVAKKTGEGGEGGDSNSNRNTSASGWVEWSDSSRRETSTDACTWSDSSPSKRTKTPEASGFTEWFSQDINSNQFHDLGSNQYSHGESPSDLPGEAKGSFFTTVDRWGKSGVGQVKSALQSVGSSVDDFIKGEKVKEQAPKLVNENLSHGLESAKQSAQSGFKTAGEKAASAFRSAGTTFSSWGSYLSSMANNLFDDEKKQPPPTNGFGVYRNGQAGQYSGLDDNLWDEFAKDSSATVSQPQGSVRHVMSPLTRPQPPTWNQAPSSELSWERGEALAVVKDRFLAAARSNYKEQLSWCPDYDEQSELFERFKANGGAKMKELEIDKWKDMTVSRVFSLHVGLGRHRGSCELCIWIGYTVSVWQPNFAGCEFCVNNEDQVRVEKLVKRTRPSAM
+>sp|A8MVX0|ARG33_HUMAN Rho guanine nucleotide exchange factor 33 OS=Homo sapiens OX=9606 GN=ARHGEF33 PE=2 SV=2
+MEKTKTKQGENEHMPVNNPSTQIYQLQALASELKTGFTEAMQELSRIQHGEYALEEKVKSCRCSMEEKVTEMKNSLNYFKEELSNAMSMIQAITSKQEEMQQKIEQLQQEKRRESRKVKAKKTQKEEHSSQAGPAQAQGSPFRSINIPEPVLPSEDFTNLLPSQAYEKAQESRSVHVGDSNVKGMMGPGVNPTTPEAEENLKSCLSADIQSKGHLPSGMWRQPKDGKEWGEEYVTKDHPDKLKEAGQGRHSSLENVLCETSLAAKRQTVALELLESERKYVINISLILKIKATFQGSDGKRNSKERSLFPGSLRYLVQQHLDLLHALQERVLKWPRQGVLGDLFLKLTNDENNFLDYYVAYLRDLPECISLVHVVVLKEGDEEIKSDIYTLFFHIVQRIPEYLIHLQNVLKFTEQEHPDYYLLLVCVQRLRVFISHYTLLFQCNEDLLIQKRKKLKKSSMAKLYKGLASQCANAGQDASPTAGPEAVRDTGIHSEELLQPYPSAPSSGPAITHLMPPVKKSQQQQSLMESMQPGKPSDWELEGRKHERPESLLAPTQFCAAEQDVKALAGPLQAIPEMDFESSPAEPLGNVERSLRAPAELLPDARGFVPAAYEEFEYGGEIFALPAPYDEEPFQAPALFENCSPASSESSLDICFLRPVSFAMEAERPEHPLQPLPKSATSPAGSSSAYKLEAAAQAHGKAKPLSRSLKEFPRAPPADGVAPRLYSTRSSSGGRAPIKAERAAQAHGPAAAAVAARGASRTFFPQQRSQSEKQTYLEVRREMHLEDTTRFCPKEERESEQTSFSDQNPRQDQKGGFRSSFRKLFKKKNGNATGEDFCGPWGWW
+>DECOY_sp|A8MVX0|ARG33_HUMAN Rho guanine nucleotide exchange factor 33 OS=Homo sapiens OX=9606 GN=ARHGEF33 PE=2 SV=2
+WWGWPGCFDEGTANGNKKKFLKRFSSRFGGKQDQRPNQDSFSTQESEREEKPCFRTTDELHMERRVELYTQKESQSRQQPFFTRSAGRAAVAAAAPGHAQAAREAKIPARGGSSSRTSYLRPAVGDAPPARPFEKLSRSLPKAKGHAQAAAELKYASSSGAPSTASKPLPQLPHEPREAEMAFSVPRLFCIDLSSESSAPSCNEFLAPAQFPEEDYPAPLAFIEGGYEFEEYAAPVFGRADPLLEAPARLSREVNGLPEAPSSEFDMEPIAQLPGALAKVDQEAACFQTPALLSEPREHKRGELEWDSPKGPQMSEMLSQQQQSKKVPPMLHTIAPGSSPASPYPQLLEESHIGTDRVAEPGATPSADQGANACQSALGKYLKAMSSKKLKKRKQILLDENCQFLLTYHSIFVRLRQVCVLLLYYDPHEQETFKLVNQLHILYEPIRQVIHFFLTYIDSKIEEDGEKLVVVHVLSICEPLDRLYAVYYDLFNNEDNTLKLFLDGLVGQRPWKLVREQLAHLLDLHQQVLYRLSGPFLSREKSNRKGDSGQFTAKIKLILSINIVYKRESELLELAVTQRKAALSTECLVNELSSHRGQGAEKLKDPHDKTVYEEGWEKGDKPQRWMGSPLHGKSQIDASLCSKLNEEAEPTTPNVGPGMMGKVNSDGVHVSRSEQAKEYAQSPLLNTFDESPLVPEPINISRFPSGQAQAPGAQSSHEEKQTKKAKVKRSERRKEQQLQEIKQQMEEQKSTIAQIMSMANSLEEKFYNLSNKMETVKEEMSCRCSKVKEELAYEGHQIRSLEQMAETFGTKLESALAQLQYIQTSPNNVPMHENEGQKTKTKEM
+>sp|Q8N4T4|ARG39_HUMAN Rho guanine nucleotide exchange factor 39 OS=Homo sapiens OX=9606 GN=ARHGEF39 PE=1 SV=1
+MELSCPGSRCPVQEQRARWERKRACTARELLETERRYQEQLGLVATYFLGILKAKGTLRPPERQALFGSWELIYGASQELLPYLEGGCWGQGLEGFCRHLELYNQFAANSERSQTTLQEQLKKNKGFRRFVRLQEGRPEFGGLQLQDLLPLPLQRLQQYENLVVALAENTGPNSPDHQQLTRAARLISETAQRVHTIGQKQKNDQHLRRVQALLSGRQAKGLTSGRWFLRQGWLLVVPPHGEPRPRMFFLFTDVLLMAKPRPPLHLLRSGTFACKALYPMAQCHLSRVFGHSGGPCGGLLSLSFPHEKLLLMSTDQEELSRWYHSLTWAISSQKN
+>DECOY_sp|Q8N4T4|ARG39_HUMAN Rho guanine nucleotide exchange factor 39 OS=Homo sapiens OX=9606 GN=ARHGEF39 PE=1 SV=1
+NKQSSIAWTLSHYWRSLEEQDTSMLLLKEHPFSLSLLGGCPGGSHGFVRSLHCQAMPYLAKCAFTGSRLLHLPPRPKAMLLVDTFLFFMRPRPEGHPPVVLLWGQRLFWRGSTLGKAQRGSLLAQVRRLHQDNKQKQGITHVRQATESILRAARTLQQHDPSNPGTNEALAVVLNEYQQLRQLPLPLLDQLQLGGFEPRGEQLRVFRRFGKNKKLQEQLTTQSRESNAAFQNYLELHRCFGELGQGWCGGELYPLLEQSAGYILEWSGFLAQREPPRLTGKAKLIGLFYTAVLGLQEQYRRETELLERATCARKREWRARQEQVPCRSGPCSLEM
+>sp|Q9NXL2|ARH38_HUMAN Rho guanine nucleotide exchange factor 38 OS=Homo sapiens OX=9606 GN=ARHGEF38 PE=2 SV=2
+MEPKEATGKENMVTKKKNLAFLRSRLYMLERRKTDTVVESSVSGDHSGTLRRSQSDRTEYNQKLQEKMTPQGECSVAETLTPEEEHHMKRMMAKREKIIKELIQTEKDYLNDLELCVREVVQPLRNKKTDRLDVDSLFSNIESVHQISAKLLSLLEEATTDVEPAMQVIGEVFLQIKGPLEDIYKIYCYHHDEAHSILESYEKEEELKEHLSHCIQSLKKIYMQEGKPNLLDMGSLMIKPIQRVMKYPLLLCELRNSTPPSHPDYRALDDAFAAVKDINVNINELKRRKDLVLKYKKNDEDESLKDKLSKLNIHSISKKSKRVTNHLKILTRGESQVKDNTFNREEKLFRALEKTVRLCVKNISLCLQHIQDAMPLALQSVMDLQEISYNKDDEMDYSETLSNALNSCHDFASHLQRLILTPLSALLSLFPGPHKLIQKRYDKLLDCNSYLQRSTGEESDLAKKEYEALNAQLVEELQAFNQAARKILLNCLCSFITLLRDLMLVAQQAYSTLVPMPLLVSSISEIQNQVLEEIQNLNCVKENSATFIERKLSFEKKKPVQILPEMPHQTDIHRSKLLSTYSAEELYQAKRKCNATQEYDINLLEGDLVAVIEQKDPLGSTSRWLVDTGNVKGYVYSSFLKPYNPAKMQKVDAENRFCDDDFENISLFVSSRPASDSVTGTSESSIGDSSSSLSGTCGKFETNGTDVDSFQEVDEQIFYAVHAFQARSDHELSLQEYQRVHILRFCDLSGNKEWWLAEAQGQKGYVPANYLGKMTYA
+>DECOY_sp|Q9NXL2|ARH38_HUMAN Rho guanine nucleotide exchange factor 38 OS=Homo sapiens OX=9606 GN=ARHGEF38 PE=2 SV=2
+AYTMKGLYNAPVYGKQGQAEALWWEKNGSLDCFRLIHVRQYEQLSLEHDSRAQFAHVAYFIQEDVEQFSDVDTGNTEFKGCTGSLSSSSDGISSESTGTVSDSAPRSSVFLSINEFDDDCFRNEADVKQMKAPNYPKLFSSYVYGKVNGTDVLWRSTSGLPDKQEIVAVLDGELLNIDYEQTANCKRKAQYLEEASYTSLLKSRHIDTQHPMEPLIQVPKKKEFSLKREIFTASNEKVCNLNQIEELVQNQIESISSVLLPMPVLTSYAQQAVLMLDRLLTIFSCLCNLLIKRAAQNFAQLEEVLQANLAEYEKKALDSEEGTSRQLYSNCDLLKDYRKQILKHPGPFLSLLASLPTLILRQLHSAFDHCSNLANSLTESYDMEDDKNYSIEQLDMVSQLALPMADQIHQLCLSINKVCLRVTKELARFLKEERNFTNDKVQSEGRTLIKLHNTVRKSKKSISHINLKSLKDKLSEDEDNKKYKLVLDKRRKLENINVNIDKVAAFADDLARYDPHSPPTSNRLECLLLPYKMVRQIPKIMLSGMDLLNPKGEQMYIKKLSQICHSLHEKLEEEKEYSELISHAEDHHYCYIKYIDELPGKIQLFVEGIVQMAPEVDTTAEELLSLLKASIQHVSEINSFLSDVDLRDTKKNRLPQVVERVCLELDNLYDKETQILEKIIKERKAMMRKMHHEEEPTLTEAVSCEGQPTMKEQLKQNYETRDSQSRRLTGSHDGSVSSEVVTDTKRRELMYLRSRLFALNKKKTVMNEKGTAEKPEM
+>sp|Q8TER5|ARH40_HUMAN Rho guanine nucleotide exchange factor 40 OS=Homo sapiens OX=9606 GN=ARHGEF40 PE=1 SV=3
+MEPEPVEDCVQSTLAALYPPFEATAPTLLGQVFQVVERTYREDALRYTLDFLVPAKHLLAKVQQEACAQYSGFLFFHEGWPLCLHEQVVVQLAALPWQLLRPGDFYLQVVPSAAQAPRLALKCLAPGGGRVQEVPVPNEACAYLFTPEWLQGINKDRPTGRLSTCLLSAPSGIQRLPWAELICPRFVHKEGLMVGHQPSTLPPELPSGPPGLPSPPLPEEALGTRSPGDGHNAPVEGPEGEYVELLEVTLPVRGSPTDAEGSPGLSRVRTVPTRKGAGGKGRHRRHRAWMHQKGLGPRGQDGARPPGEGSSTGASPESPPGAEAVPEAAVLEVSEPPAEAVGEASGSCPLRPGELRGGGGGGQGAEGPPGTPRRTGKGNRRKKRAAGRGALSRGGDSAPLSPGDKEDASHQEALGNLPSPSEHKLPECHLVKEEYEGSGKPESEPKELKTAGEKEPQLSEACGPTEEGAGERELEGPGLLCMAGHTGPEGPLSDTPTPPLETVQEGKGDNIPEEALAVSVSDHPDVAWDLMASGFLILTGGVDQSGRALLTITPPCPPEEPPPSRDTLNTTLHYLHSLLRPDLQTLGLSVLLDLRQAPPLPPALIPALSQLQDSGDPPLVQRLLILIHDDLPTELCGFQGAEVLSENDLKRVAKPEELQWELGGHRDPSPSHWVEIHQEVVRLCRLCQGVLGSVRQAIEELEGAAEPEEEEAVGMPKPLQKVLADPRLTALQRDGGAILMRLRSTPSSKLEGQGPATLYQEVDEAIHQLVRLSNLHVQQQEQRQCLRRLQQVLQWLSGPGEEQLASFAMPGDTLSALQETELRFRAFSAEVQERLAQAREALALEENATSQKVLDIFEQRLEQVESGLHRALRLQRFFQQAHEWVDEGFARLAGAGPGREAVLAALALRRAPEPSAGTFQEMRALALDLGSPAALREWGRCQARCQELERRIQQHVGEEASPRGYRRRRADGASSGGAQWGPRSPSPSLSSLLLPSSPGPRPAPSHCSLAPCGEDYEEEGPELAPEAEGRPPRAVLIRGLEVTSTEVVDRTCSPREHVLLGRARGPDGPWGVGTPRMERKRSISAQQRLVSELIACEQDYVATLSEPVPPPGPELTPELRGTWAAALSARERLRSFHRTHFLRELQGCATHPLRIGACFLRHGDQFSLYAQYVKHRHKLENGLAALSPLSKGSMEAGPYLPRALQQPLEQLTRYGRLLEELLREAGPELSSECRALGAAVQLLREQEARGRDLLAVEAVRGCEIDLKEQGQLLHRDPFTVICGRKKCLRHVFLFEHLLLFSKLKGPEGGSEMFVYKQAFKTADMGLTENIGDSGLCFELWFRRRRAREAYTLQATSPEIKLKWTSSIAQLLWRQAAHNKELRVQQMVSMGIGNKPFLDIKALGERTLSALLTGRAARTRASVAVSSFEHAGPSLPGLSPGACSLPARVEEEAWDLDVKQISLAPETLDSSGDVSPGPRNSPSLQPPHPGSSTPTLASRGILGLSRQSHARALSDPTTPL
+>DECOY_sp|Q8TER5|ARH40_HUMAN Rho guanine nucleotide exchange factor 40 OS=Homo sapiens OX=9606 GN=ARHGEF40 PE=1 SV=3
+LPTTPDSLARAHSQRSLGLIGRSALTPTSSGPHPPQLSPSNRPGPSVDGSSDLTEPALSIQKVDLDWAEEEVRAPLSCAGPSLGPLSPGAHEFSSVAVSARTRAARGTLLASLTREGLAKIDLFPKNGIGMSVMQQVRLEKNHAAQRWLLQAISSTWKLKIEPSTAQLTYAERARRRRFWLEFCLGSDGINETLGMDATKFAQKYVFMESGGEPGKLKSFLLLHEFLFVHRLCKKRGCIVTFPDRHLLQGQEKLDIECGRVAEVALLDRGRAEQERLLQVAAGLARCESSLEPGAERLLEELLRGYRTLQELPQQLARPLYPGAEMSGKSLPSLAALGNELKHRHKVYQAYLSFQDGHRLFCAGIRLPHTACGQLERLFHTRHFSRLRERASLAAAWTGRLEPTLEPGPPPVPESLTAVYDQECAILESVLRQQASISRKREMRPTGVGWPGDPGRARGLLVHERPSCTRDVVETSTVELGRILVARPPRGEAEPALEPGEEEYDEGCPALSCHSPAPRPGPSSPLLLSSLSPSPSRPGWQAGGSSAGDARRRRYGRPSAEEGVHQQIRRELEQCRAQCRGWERLAAPSGLDLALARMEQFTGASPEPARRLALAALVAERGPGAGALRAFGEDVWEHAQQFFRQLRLARHLGSEVQELRQEFIDLVKQSTANEELALAERAQALREQVEASFARFRLETEQLASLTDGPMAFSALQEEGPGSLWQLVQQLRRLCQRQEQQQVHLNSLRVLQHIAEDVEQYLTAPGQGELKSSPTSRLRMLIAGGDRQLATLRPDALVKQLPKPMGVAEEEEPEAAGELEEIAQRVSGLVGQCLRCLRVVEQHIEVWHSPSPDRHGGLEWQLEEPKAVRKLDNESLVEAGQFGCLETPLDDHILILLRQVLPPDGSDQLQSLAPILAPPLPPAQRLDLLVSLGLTQLDPRLLSHLYHLTTNLTDRSPPPEEPPCPPTITLLARGSQDVGGTLILFGSAMLDWAVDPHDSVSVALAEEPINDGKGEQVTELPPTPTDSLPGEPGTHGAMCLLGPGELEREGAGEETPGCAESLQPEKEGATKLEKPESEPKGSGEYEEKVLHCEPLKHESPSPLNGLAEQHSADEKDGPSLPASDGGRSLAGRGAARKKRRNGKGTRRPTGPPGEAGQGGGGGGRLEGPRLPCSGSAEGVAEAPPESVELVAAEPVAEAGPPSEPSAGTSSGEGPPRAGDQGRPGLGKQHMWARHRRHRGKGGAGKRTPVTRVRSLGPSGEADTPSGRVPLTVELLEVYEGEPGEVPANHGDGPSRTGLAEEPLPPSPLGPPGSPLEPPLTSPQHGVMLGEKHVFRPCILEAWPLRQIGSPASLLCTSLRGTPRDKNIGQLWEPTFLYACAENPVPVEQVRGGGPALCKLALRPAQAASPVVQLYFDGPRLLQWPLAALQVVVQEHLCLPWGEHFFLFGSYQACAEQQVKALLHKAPVLFDLTYRLADERYTREVVQFVQGLLTPATAEFPPYLAALTSQVCDEVPEPEM
+>sp|Q92974|ARHG2_HUMAN Rho guanine nucleotide exchange factor 2 OS=Homo sapiens OX=9606 GN=ARHGEF2 PE=1 SV=4
+MSRIESLTRARIDRSRELASKTREKEKMKEAKDARYTNGHLFTTISVSGMTMCYACNKSITAKEALICPTCNVTIHNRCKDTLANCTKVKQKQQKAALLKNNTALQSVSLRSKTTIRERPSSAIYPSDSFRQSLLGSRRGRSSLSLAKSVSTTNIAGHFNDESPLGLRRILSQSTDSLNMRNRTLSVESLIDEAEVIYSELMSDFEMDEKDFAADSWSLAVDSSFLQQHKKEVMKQQDVIYELIQTELHHVRTLKIMTRLFRTGMLEELHLEPGVVQGLFPCVDELSDIHTRFLSQLLERRRQALCPGSTRNFVIHRLGDLLISQFSGPSAEQMCKTYSEFCSRHSKALKLYKELYARDKRFQQFIRKVTRPAVLKRHGVQECILLVTQRITKYPLLISRILQHSHGIEEERQDLTTALGLVKELLSNVDEGIYQLEKGARLQEIYNRMDPRAQTPVPGKGPFGREELLRRKLIHDGCLLWKTATGRFKDVLVLLMTDVLVFLQEKDQKYIFPTLDKPSVVSLQNLIVRDIANQEKGMFLISAAPPEMYEVHTASRDDRSTWIRVIQQSVRTCPSREDFPLIETEDEAYLRRIKMELQQKDRALVELLREKVGLFAEMTHFQAEEDGGSGMALPTLPRGLFRSESLESPRGERLLQDAIREVEGLKDLLVGPGVELLLTPREPALPLEPDSGGNTSPGVTANGEARTFNGSIELCRADSDSSQRDRNGNQLRSPQEEALQRLVNLYGLLHGLQAAVAQQDTLMEARFPEGPERREKLCRANSRDGEAGRAGAAPVAPEKQATELALLQRQHALLQEELRRCRRLGEERATEAGSLEARLRESEQARALLEREAEEARRQLAALGQTEPLPAEAPWARRPVDPRRRSLPAGDALYLSFNPPQPSRGTDRLDLPVTTRSVHRNFEDRERQELGSPEERLQDSSDPDTGSEEEGSSRLSPPHSPRDFTRMQDIPEETESRDGEAVASES
+>DECOY_sp|Q92974|ARHG2_HUMAN Rho guanine nucleotide exchange factor 2 OS=Homo sapiens OX=9606 GN=ARHGEF2 PE=1 SV=4
+SESAVAEGDRSETEEPIDQMRTFDRPSHPPSLRSSGEEESGTDPDSSDQLREEPSGLEQRERDEFNRHVSRTTVPLDLRDTGRSPQPPNFSLYLADGAPLSRRRPDVPRRAWPAEAPLPETQGLAALQRRAEEAERELLARAQESERLRAELSGAETAREEGLRRCRRLEEQLLAHQRQLLALETAQKEPAVPAAGARGAEGDRSNARCLKERREPGEPFRAEMLTDQQAVAAQLGHLLGYLNVLRQLAEEQPSRLQNGNRDRQSSDSDARCLEISGNFTRAEGNATVGPSTNGGSDPELPLAPERPTLLLEVGPGVLLDKLGEVERIADQLLREGRPSELSESRFLGRPLTPLAMGSGGDEEAQFHTMEAFLGVKERLLEVLARDKQQLEMKIRRLYAEDETEILPFDERSPCTRVSQQIVRIWTSRDDRSATHVEYMEPPAASILFMGKEQNAIDRVILNQLSVVSPKDLTPFIYKQDKEQLFVLVDTMLLVLVDKFRGTATKWLLCGDHILKRRLLEERGFPGKGPVPTQARPDMRNYIEQLRAGKELQYIGEDVNSLLEKVLGLATTLDQREEEIGHSHQLIRSILLPYKTIRQTVLLICEQVGHRKLVAPRTVKRIFQQFRKDRAYLEKYLKLAKSHRSCFESYTKCMQEASPGSFQSILLDGLRHIVFNRTSGPCLAQRRRELLQSLFRTHIDSLEDVCPFLGQVVGPELHLEELMGTRFLRTMIKLTRVHHLETQILEYIVDQQKMVEKKHQQLFSSDVALSWSDAAFDKEDMEFDSMLESYIVEAEDILSEVSLTRNRMNLSDTSQSLIRRLGLPSEDNFHGAINTTSVSKALSLSSRGRRSGLLSQRFSDSPYIASSPRERITTKSRLSVSQLATNNKLLAAKQQKQKVKTCNALTDKCRNHITVNCTPCILAEKATISKNCAYCMTMGSVSITTFLHGNTYRADKAEKMKEKERTKSALERSRDIRARTLSEIRSM
+>sp|O43307|ARHG9_HUMAN Rho guanine nucleotide exchange factor 9 OS=Homo sapiens OX=9606 GN=ARHGEF9 PE=1 SV=3
+MTLLITGDSIVSAEAVWDHVTMANRELAFKAGDVIKVLDASNKDWWWGQIDDEEGWFPASFVRLWVNQEDEVEEGPSDVQNGHLDPNSDCLCLGRPLQNRDQMRANVINEIMSTERHYIKHLKDICEGYLKQCRKRRDMFSDEQLKVIFGNIEDIYRFQMGFVRDLEKQYNNDDPHLSEIGPCFLEHQDGFWIYSEYCNNHLDACMELSKLMKDSRYQHFFEACRLLQQMIDIAIDGFLLTPVQKICKYPLQLAELLKYTAQDHSDYRYVAAALAVMRNVTQQINERKRRLENIDKIAQWQASVLDWEGEDILDRSSELIYTGEMAWIYQPYGRNQQRVFFLFDHQMVLCKKDLIRRDILYYKGRIDMDKYEVVDIEDGRDDDFNVSMKNAFKLHNKETEEIHLFFAKKLEEKIRWLRAFREERKMVQEDEKIGFEISENQKRQAAMTVRKVPKQKGVNSARSVPPSYPPPQDPLNHGQYLVPDGIAQSQVFEFTEPKRSQSPFWQNFSRLTPFKK
+>DECOY_sp|O43307|ARHG9_HUMAN Rho guanine nucleotide exchange factor 9 OS=Homo sapiens OX=9606 GN=ARHGEF9 PE=1 SV=3
+KKFPTLRSFNQWFPSQSRKPETFEFVQSQAIGDPVLYQGHNLPDQPPPYSPPVSRASNVGKQKPVKRVTMAAQRKQNESIEFGIKEDEQVMKREERFARLWRIKEELKKAFFLHIEETEKNHLKFANKMSVNFDDDRGDEIDVVEYKDMDIRGKYYLIDRRILDKKCLVMQHDFLFFVRQQNRGYPQYIWAMEGTYILESSRDLIDEGEWDLVSAQWQAIKDINELRRKRENIQQTVNRMVALAAAVYRYDSHDQATYKLLEALQLPYKCIKQVPTLLFGDIAIDIMQQLLRCAEFFHQYRSDKMLKSLEMCADLHNNCYESYIWFGDQHELFCPGIESLHPDDNNYQKELDRVFGMQFRYIDEINGFIVKLQEDSFMDRRKRCQKLYGECIDKLHKIYHRETSMIENIVNARMQDRNQLPRGLCLCDSNPDLHGNQVDSPGEEVEDEQNVWLRVFSAPFWGEEDDIQGWWWDKNSADLVKIVDGAKFALERNAMTVHDWVAEASVISDGTILLTM
+>sp|Q96DR7|ARHGQ_HUMAN Rho guanine nucleotide exchange factor 26 OS=Homo sapiens OX=9606 GN=ARHGEF26 PE=1 SV=4
+MDGESEVDFSSNSITPLWRRRSIPQPHQVLGRSKPRPQSYQSPNGLLITDFPVEDGGTLLAAQIPAQVPTASDSRTVHRSPLLLGAQRRAVANGGTASPEYRAASPRLRRPKSPKLPKAVPGGSPKSPANGAVTLPAPPPPPVLRPPRTPNAPAPCTPEEDLTGLTASPVPSPTANGLAANNDSPGSGSQSGRKAKDPERGLFPGPQKSSSEQKLPLQRLPSQENELLENPSVVLSTNSPAALKVGKQQIIPKSLASEIKISKSNNQNVEPHKRLLKVRSMVEGLGGPLGHAGEESEVDNDVDSPGSLRRGLRSTSYRRAVVSGFDFDSPTSSKKKNRMSQPVLKVVMEDKEKFSSLGRIKKKMLKGQGTFDGEENAVLYQNYKEKALDIDSDEESEPKEQKSDEKIVIHHKPLRSTWSQLSAVKRKGLSQTVSQEERKRQEAIFEVISSEHSYLLSLEILIRMFKNSKELSDTMTKTERHHLFSNITDVCEASKKFFIELEARHQNNIFIDDISDIVEKHTASTFDPYVKYCTNEVYQQRTLQKLLATNPSFKEVLSRIESHEDCRNLPMISFLILPMQRVTRLPLLMDTICQKTPKDSPKYEVCKRALKEVSKLVRLCNEGARKMERTEMMYTINSQLEFKIKPFPLVSSSRWLVKRGELTAYVEDTVLFSRRTSKQQVYFFLFNDVLIITKKKSEESYNVNDYSLRDQLLVESCDNEELNSSPGKNSSTMLYSRQSSASHLFTLTVLSNHANEKVEMLLGAETQSERARWITALGHSSGKPPADRTSLTQVEIVRSFTAKQPDELSLQVADVVLIYQRVSDGWYEGERLRDGERGWFPMECAKEITCQATIDKNVERMGRLLGLETNV
+>DECOY_sp|Q96DR7|ARHGQ_HUMAN Rho guanine nucleotide exchange factor 26 OS=Homo sapiens OX=9606 GN=ARHGEF26 PE=1 SV=4
+VNTELGLLRGMREVNKDITAQCTIEKACEMPFWGREGDRLREGEYWGDSVRQYILVVDAVQLSLEDPQKATFSRVIEVQTLSTRDAPPKGSSHGLATIWRARESQTEAGLLMEVKENAHNSLVTLTFLHSASSQRSYLMTSSNKGPSSNLEENDCSEVLLQDRLSYDNVNYSEESKKKTIILVDNFLFFYVQQKSTRRSFLVTDEVYATLEGRKVLWRSSSVLPFPKIKFELQSNITYMMETREMKRAGENCLRVLKSVEKLARKCVEYKPSDKPTKQCITDMLLPLRTVRQMPLILFSIMPLNRCDEHSEIRSLVEKFSPNTALLKQLTRQQYVENTCYKVYPDFTSATHKEVIDSIDDIFINNQHRAELEIFFKKSAECVDTINSFLHHRETKTMTDSLEKSNKFMRILIELSLLYSHESSIVEFIAEQRKREEQSVTQSLGKRKVASLQSWTSRLPKHHIVIKEDSKQEKPESEEDSDIDLAKEKYNQYLVANEEGDFTGQGKLMKKKIRGLSSFKEKDEMVVKLVPQSMRNKKKSSTPSDFDFGSVVARRYSTSRLGRRLSGPSDVDNDVESEEGAHGLPGGLGEVMSRVKLLRKHPEVNQNNSKSIKIESALSKPIIQQKGVKLAAPSNTSLVVSPNELLENEQSPLRQLPLKQESSSKQPGPFLGREPDKAKRGSQSGSGPSDNNAALGNATPSPVPSATLGTLDEEPTCPAPANPTRPPRLVPPPPPAPLTVAGNAPSKPSGGPVAKPLKPSKPRRLRPSAARYEPSATGGNAVARRQAGLLLPSRHVTRSDSATPVQAPIQAALLTGGDEVPFDTILLGNPSQYSQPRPKSRGLVQHPQPISRRRWLPTISNSSFDVESEGDM
+>sp|Q8NHP1|ARK74_HUMAN Aflatoxin B1 aldehyde reductase member 4 OS=Homo sapiens OX=9606 GN=AKR7L PE=1 SV=6
+MSRQLSRARPATVLGAMEMGRRMDAPTSAAVTRAFLERGHTEIDTAFLYSDGQSETILGGLGLRMGSSDCRVKIATKANPWIGNSLKPDSVRSQLETSLKRLQCPUVDLFYLHAPDHSAPVEETLRACHQLHQEGKFVELGLSNYAAWEVAEICTLCKSNGWILPTVYQGMYSATTRQVETELFPCLRHFGLRFYAYNPLAGGLLTGKYKYEDKDGKQPVGRFFGTQWAEIYRNHFWKEHHFEGIALVEKALQAAYGASAPSMTSAALRWMYHHSQLQGAHGDAVILGMSSLEQLEQNLAAAEEGPLEPAVVDAFNQAWHLFAHECPNYFI
+>DECOY_sp|Q8NHP1|ARK74_HUMAN Aflatoxin B1 aldehyde reductase member 4 OS=Homo sapiens OX=9606 GN=AKR7L PE=1 SV=6
+IFYNPCEHAFLHWAQNFADVVAPELPGEEAAALNQELQELSSMGLIVADGHAGQLQSHHYMWRLAASTMSPASAGYAAQLAKEVLAIGEFHHEKWFHNRYIEAWQTGFFRGVPQKGDKDEYKYKGTLLGGALPNYAYFRLGFHRLCPFLETEVQRTTASYMGQYVTPLIWGNSKCLTCIEAVEWAAYNSLGLEVFKGEQHLQHCARLTEEVPASHDPAHLYFLDVUPCQLRKLSTELQSRVSDPKLSNGIWPNAKTAIKVRCDSSGMRLGLGGLITESQGDSYLFATDIETHGRELFARTVAASTPADMRRGMEMAGLVTAPRARSLQRSM
+>sp|Q969Q4|ARL11_HUMAN ADP-ribosylation factor-like protein 11 OS=Homo sapiens OX=9606 GN=ARL11 PE=1 SV=1
+MGSVNSRGHKAEAQVVMMGLDSAGKTTLLYKLKGHQLVETLPTVGFNVEPLKAPGHVSLTLWDVGGQAPLRASWKDYLEGTDILVYVLDSTDEARLPESAAELTEVLNDPNMAGVPFLVLANKQEAPDALPLLKIRNRLSLERFQDHCWELRGCSALTGEGLPEALQSLWSLLKSRSCMCLQARAHGAERGDSKRS
+>DECOY_sp|Q969Q4|ARL11_HUMAN ADP-ribosylation factor-like protein 11 OS=Homo sapiens OX=9606 GN=ARL11 PE=1 SV=1
+SRKSDGREAGHARAQLCMCSRSKLLSWLSQLAEPLGEGTLASCGRLEWCHDQFRELSLRNRIKLLPLADPAEQKNALVLFPVGAMNPDNLVETLEAASEPLRAEDTSDLVYVLIDTGELYDKWSARLPAQGGVDWLTLSVHGPAKLPEVNFGVTPLTEVLQHGKLKYLLTTKGASDLGMMVVQAEAKHGRSNVSGM
+>sp|Q8N4G2|ARL14_HUMAN ADP-ribosylation factor-like protein 14 OS=Homo sapiens OX=9606 GN=ARL14 PE=1 SV=2
+MGSLGSKNPQTKQAQVLLLGLDSAGKSTLLYKLKLAKDITTIPTIGFNVEMIELERNLSLTVWDVGGQEKMRTVWGCYCENTDGLVYVVDSTDKQRLEESQRQFEHILKNEHIKNVPVVLLANKQDMPGALTAEDITRMFKVKKLCSDRNWYVQPCCALTGEGLAQGFRKLTGFVKSHMKSRGDTLAFFKQN
+>DECOY_sp|Q8N4G2|ARL14_HUMAN ADP-ribosylation factor-like protein 14 OS=Homo sapiens OX=9606 GN=ARL14 PE=1 SV=2
+NQKFFALTDGRSKMHSKVFGTLKRFGQALGEGTLACCPQVYWNRDSCLKKVKFMRTIDEATLAGPMDQKNALLVVPVNKIHENKLIHEFQRQSEELRQKDTSDVVYVLGDTNECYCGWVTRMKEQGGVDWVTLSLNRELEIMEVNFGITPITTIDKALKLKYLLTSKGASDLGLLLVQAQKTQPNKSGLSGM
+>sp|P40616|ARL1_HUMAN ADP-ribosylation factor-like protein 1 OS=Homo sapiens OX=9606 GN=ARL1 PE=1 SV=1
+MGGFFSSIFSSLFGTREMRILILGLDGAGKTTILYRLQVGEVVTTIPTIGFNVETVTYKNLKFQVWDLGGQTSIRPYWRCYYSNTDAVIYVVDSCDRDRIGISKSELVAMLEEEELRKAILVVFANKQDMEQAMTSSEMANSLGLPALKDRKWQIFKTSATKGTGLDEAMEWLVETLKSRQ
+>DECOY_sp|P40616|ARL1_HUMAN ADP-ribosylation factor-like protein 1 OS=Homo sapiens OX=9606 GN=ARL1 PE=1 SV=1
+QRSKLTEVLWEMAEDLGTGKTASTKFIQWKRDKLAPLGLSNAMESSTMAQEMDQKNAFVVLIAKRLEEEELMAVLESKSIGIRDRDCSDVVYIVADTNSYYCRWYPRISTQGGLDWVQFKLNKYTVTEVNFGITPITTVVEGVQLRYLITTKGAGDLGLILIRMERTGFLSSFISSFFGGM
+>sp|P40617|ARL4A_HUMAN ADP-ribosylation factor-like protein 4A OS=Homo sapiens OX=9606 GN=ARL4A PE=1 SV=2
+MGNGLSDQTSILSNLPSFQSFHIVILGLDCAGKTTVLYRLQFNEFVNTVPTKGFNTEKIKVTLGNSKTVTFHFWDVGGQEKLRPLWKSYTRCTDGIVFVVDSVDVERMEEAKTELHKITRISENQGVPVLIVANKQDLRNSLSLSEIEKLLAMGELSSSTPWHLQPTCAIIGDGLKEGLEKLHDMIIKRRKMLRQQKKKR
+>DECOY_sp|P40617|ARL4A_HUMAN ADP-ribosylation factor-like protein 4A OS=Homo sapiens OX=9606 GN=ARL4A PE=1 SV=2
+RKKKQQRLMKRRKIIMDHLKELGEKLGDGIIACTPQLHWPTSSSLEGMALLKEIESLSLSNRLDQKNAVILVPVGQNESIRTIKHLETKAEEMREVDVSDVVFVIGDTCRTYSKWLPRLKEQGGVDWFHFTVTKSNGLTVKIKETNFGKTPVTNVFENFQLRYLVTTKGACDLGLIVIHFSQFSPLNSLISTQDSLGNGM
+>sp|P56559|ARL4C_HUMAN ADP-ribosylation factor-like protein 4C OS=Homo sapiens OX=9606 GN=ARL4C PE=1 SV=1
+MGNISSNISAFQSLHIVMLGLDSAGKTTVLYRLKFNEFVNTVPTIGFNTEKIKLSNGTAKGISCHFWDVGGQEKLRPLWKSYSRCTDGIIYVVDSVDVDRLEEAKTELHKVTKFAENQGTPLLVIANKQDLPKSLPVAEIEKQLALHELIPATTYHVQPACAIIGEGLTEGMDKLYEMILKRRKSLKQKKKR
+>DECOY_sp|P56559|ARL4C_HUMAN ADP-ribosylation factor-like protein 4C OS=Homo sapiens OX=9606 GN=ARL4C PE=1 SV=1
+RKKKQKLSKRRKLIMEYLKDMGETLGEGIIACAPQVHYTTAPILEHLALQKEIEAVPLSKPLDQKNAIVLLPTGQNEAFKTVKHLETKAEELRDVDVSDVVYIIGDTCRSYSKWLPRLKEQGGVDWFHCSIGKATGNSLKIKETNFGITPVTNVFENFKLRYLVTTKGASDLGLMVIHLSQFASINSSINGM
+>sp|P49703|ARL4D_HUMAN ADP-ribosylation factor-like protein 4D OS=Homo sapiens OX=9606 GN=ARL4D PE=1 SV=2
+MGNHLTEMAPTASSFLPHFQALHVVVIGLDSAGKTSLLYRLKFKEFVQSVPTKGFNTEKIRVPLGGSRGITFQVWDVGGQEKLRPLWRSYTRRTDGLVFVVDAAEAERLEEAKVELHRISRASDNQGVPVLVLANKQDQPGALSAAEVEKRLAVRELAAATLTHVQGCSAVDGLGLQQGLERLYEMILKRKKAARGGKKRR
+>DECOY_sp|P49703|ARL4D_HUMAN ADP-ribosylation factor-like protein 4D OS=Homo sapiens OX=9606 GN=ARL4D PE=1 SV=2
+RRKKGGRAAKKRKLIMEYLRELGQQLGLGDVASCGQVHTLTAAALERVALRKEVEAASLAGPQDQKNALVLVPVGQNDSARSIRHLEVKAEELREAEAADVVFVLGDTRRTYSRWLPRLKEQGGVDWVQFTIGRSGGLPVRIKETNFGKTPVSQVFEKFKLRYLLSTKGASDLGIVVVHLAQFHPLFSSATPAMETLHNGM
+>sp|Q9Y689|ARL5A_HUMAN ADP-ribosylation factor-like protein 5A OS=Homo sapiens OX=9606 GN=ARL5A PE=1 SV=1
+MGILFTRIWRLFNHQEHKVIIVGLDNAGKTTILYQFSMNEVVHTSPTIGSNVEEIVINNTRFLMWDIGGQESLRSSWNTYYTNTEFVIVVVDSTDRERISVTREELYKMLAHEDLRKAGLLIFANKQDVKECMTVAEISQFLKLTSIKDHQWHIQACCALTGEGLCQGLEWMMSRLKIR
+>DECOY_sp|Q9Y689|ARL5A_HUMAN ADP-ribosylation factor-like protein 5A OS=Homo sapiens OX=9606 GN=ARL5A PE=1 SV=1
+RIKLRSMMWELGQCLGEGTLACCAQIHWQHDKISTLKLFQSIEAVTMCEKVDQKNAFILLGAKRLDEHALMKYLEERTVSIRERDTSDVVVIVFETNTYYTNWSSRLSEQGGIDWMLFRTNNIVIEEVNSGITPSTHVVENMSFQYLITTKGANDLGVIIVKHEQHNFLRWIRTFLIGM
+>sp|Q96KC2|ARL5B_HUMAN ADP-ribosylation factor-like protein 5B OS=Homo sapiens OX=9606 GN=ARL5B PE=1 SV=1
+MGLIFAKLWSLFCNQEHKVIIVGLDNAGKTTILYQFLMNEVVHTSPTIGSNVEEIVVKNTHFLMWDIGGQESLRSSWNTYYSNTEFIILVVDSIDRERLAITKEELYRMLAHEDLRKAAVLIFANKQDMKGCMTAAEISKYLTLSSIKDHPWHIQSCCALTGEGLCQGLEWMTSRIGVR
+>DECOY_sp|Q96KC2|ARL5B_HUMAN ADP-ribosylation factor-like protein 5B OS=Homo sapiens OX=9606 GN=ARL5B PE=1 SV=1
+RVGIRSTMWELGQCLGEGTLACCSQIHWPHDKISSLTLYKSIEAATMCGKMDQKNAFILVAAKRLDEHALMRYLEEKTIALRERDISDVVLIIFETNSYYTNWSSRLSEQGGIDWMLFHTNKVVIEEVNSGITPSTHVVENMLFQYLITTKGANDLGVIIVKHEQNCFLSWLKAFILGM
+>sp|A6NH57|ARL5C_HUMAN Putative ADP-ribosylation factor-like protein 5C OS=Homo sapiens OX=9606 GN=ARL5C PE=3 SV=4
+MGQLIAKLMSIFGNQEHTVIIVGLDNEGKTTILYRFLTNEVVHMCPTIGSNVEEIILPKTHFFMWDIVRPEALSFIWNTYYSNTEFIILVIDSTDRDRLLTTREELYKMLAHEALQDASVLIFANKQDVKDSMRMVEISHFLTLSTIKDHSWHIQGCCALTREGLPARLQWMESQAAAN
+>DECOY_sp|A6NH57|ARL5C_HUMAN Putative ADP-ribosylation factor-like protein 5C OS=Homo sapiens OX=9606 GN=ARL5C PE=3 SV=4
+NAAAQSEMWQLRAPLGERTLACCGQIHWSHDKITSLTLFHSIEVMRMSDKVDQKNAFILVSADQLAEHALMKYLEERTTLLRDRDTSDIVLIIFETNSYYTNWIFSLAEPRVIDWMFFHTKPLIIEEVNSGITPCMHVVENTLFRYLITTKGENDLGVIIVTHEQNGFISMLKAILQGM
+>sp|Q9H0F7|ARL6_HUMAN ADP-ribosylation factor-like protein 6 OS=Homo sapiens OX=9606 GN=ARL6 PE=1 SV=1
+MGLLDRLSVLLGLKKKEVHVLCLGLDNSGKTTIINKLKPSNAQSQNILPTIGFSIEKFKSSSLSFTVFDMSGQGRYRNLWEHYYKEGQAIIFVIDSSDRLRMVVAKEELDTLLNHPDIKHRRIPILFFANKMDLRDAVTSVKVSQLLCLENIKDKPWHICASDAIKGEGLQEGVDWLQDQIQTVKT
+>DECOY_sp|Q9H0F7|ARL6_HUMAN ADP-ribosylation factor-like protein 6 OS=Homo sapiens OX=9606 GN=ARL6 PE=1 SV=1
+TKVTQIQDQLWDVGEQLGEGKIADSACIHWPKDKINELCLLQSVKVSTVADRLDMKNAFFLIPIRRHKIDPHNLLTDLEEKAVVMRLRDSSDIVFIIAQGEKYYHEWLNRYRGQGSMDFVTFSLSSSKFKEISFGITPLINQSQANSPKLKNIITTKGSNDLGLCLVHVEKKKLGLLVSLRDLLGM
+>sp|Q5T9G4|ARM12_HUMAN Armadillo repeat-containing protein 12 OS=Homo sapiens OX=9606 GN=ARMC12 PE=2 SV=1
+MGKSIPQYLGQLDIRKSVVSLATGAGAIYLLYKAIKAGIKCKPPLCSNSPICIARLAVERERHGRDSGELRRLLNSLECKQDEYAKSMILHSITRCVYLLEAEASACTTDDIVLLGYMLDDKDNSVKTQALNTLKAFSGIRKFRLKIQEHSIKVLELISTIWDTELHIAGLRLLNNLPLPDYVHPQLRRVMPALMEILQSDYILAQVQAVRLLSYLAQKNDLLYDILNCQVHSNFLNLFQPTQSGSLLYEVLVFAERLSEGRNAPHYHVVKWHYNEQSLHESLFGEESRLADRLLALVIHPEEDVQIQACKVIVSLQYPQDLRARPSSCQPSRSYFKNTE
+>DECOY_sp|Q5T9G4|ARM12_HUMAN Armadillo repeat-containing protein 12 OS=Homo sapiens OX=9606 GN=ARMC12 PE=2 SV=1
+ETNKFYSRSPQCSSPRARLDQPYQLSVIVKCAQIQVDEEPHIVLALLRDALRSEEGFLSEHLSQENYHWKVVHYHPANRGESLREAFVLVEYLLSGSQTPQFLNLFNSHVQCNLIDYLLDNKQALYSLLRVAQVQALIYDSQLIEMLAPMVRRLQPHVYDPLPLNNLLRLGAIHLETDWITSILELVKISHEQIKLRFKRIGSFAKLTNLAQTKVSNDKDDLMYGLLVIDDTTCASAEAELLYVCRTISHLIMSKAYEDQKCELSNLLRRLEGSDRGHREREVALRAICIPSNSCLPPKCKIGAKIAKYLLYIAGAGTALSVVSKRIDLQGLYQPISKGM
+>sp|Q6NXE6|ARMC6_HUMAN Armadillo repeat-containing protein 6 OS=Homo sapiens OX=9606 GN=ARMC6 PE=1 SV=2
+MSERCCSRYSSGASIGCTPTSTQAKMVSKRIAQETFDAAVRENIEEFAMGPEEAVKEAVEQFESQGVDLSNIVKTAPKVSADGSQEPTHDILQMLSDLQESVASSRPQEVSAYLTRFCDQCKQDKACRFLAAQKGAYPIIFTAWKLATAGDQGLLLQSLNALSVLTDGQPDLLDAQGLQLLVATLTQNADEADLTCSGIRCVRHACLKHEQNRQDLVKAGVLPLLTGAITHHGHHTDVVREACWALRVMTFDDDIRVPFGHAHNHAKMIVQENKGLKVLIEATKAFLDNPGILSELCGTLSRLAIRNEFCQEVVDLGGLSILVSLLADCNDHQMRDQSGVQELVKQVLSTLRAIAGNDDVKDAIVRAGGTESIVAAMTQHLTSPQVCEQSCAALCFLALRKPDNSRIIVEGGGAVAALQAMKAHPQKAGVQKQACMLIRNLVAHGQAFSKPILDLGAEALIMQARSAHRDCEDVAKAALRDLGCHVELRELWTGQRGNLAP
+>DECOY_sp|Q6NXE6|ARMC6_HUMAN Armadillo repeat-containing protein 6 OS=Homo sapiens OX=9606 GN=ARMC6 PE=1 SV=2
+PALNGRQGTWLERLEVHCGLDRLAAKAVDECDRHASRAQMILAEAGLDLIPKSFAQGHAVLNRILMCAQKQVGAKQPHAKMAQLAAVAGGGEVIIRSNDPKRLALFCLAACSQECVQPSTLHQTMAAVISETGGARVIADKVDDNGAIARLTSLVQKVLEQVGSQDRMQHDNCDALLSVLISLGGLDVVEQCFENRIALRSLTGCLESLIGPNDLFAKTAEILVKLGKNEQVIMKAHNHAHGFPVRIDDDFTMVRLAWCAERVVDTHHGHHTIAGTLLPLVGAKVLDQRNQEHKLCAHRVCRIGSCTLDAEDANQTLTAVLLQLGQADLLDPQGDTLVSLANLSQLLLGQDGATALKWATFIIPYAGKQAALFRCAKDQKCQDCFRTLYASVEQPRSSAVSEQLDSLMQLIDHTPEQSGDASVKPATKVINSLDVGQSEFQEVAEKVAEEPGMAFEEINERVAADFTEQAIRKSVMKAQTSTPTCGISAGSSYRSCCRESM
+>sp|H3BNL8|ARMD2_HUMAN Armadillo-like helical domain-containing protein 2 OS=Homo sapiens OX=9606 GN=ARMH2 PE=4 SV=1
+MANSRFSCTQIWVKMYGYFAGLCRRLQKFWRVTVKGFFVKKKEKKIPSAETYFHEEKIVVLGQVLMNESLPIEKRAQAAQKIGLLAFTGGPPAGNFAAEYMEEVAHLLQDEELAPKIKILLLQSVACWCYLNPVSQKRAKSLQFIPILISFFEGRFESTIKSETNSYLLLKFWTCYVLSVMTCNNLSCVKELKDHSALKYHLQMLAAENWSGWTENFAEVLYFLIGFHRN
+>DECOY_sp|H3BNL8|ARMD2_HUMAN Armadillo-like helical domain-containing protein 2 OS=Homo sapiens OX=9606 GN=ARMH2 PE=4 SV=1
+NRHFGILFYLVEAFNETWGSWNEAALMQLHYKLASHDKLEKVCSLNNCTMVSLVYCTWFKLLLYSNTESKITSEFRGEFFSILIPIFQLSKARKQSVPNLYCWCAVSQLLLIKIKPALEEDQLLHAVEEMYEAAFNGAPPGGTFALLGIKQAAQARKEIPLSENMLVQGLVVIKEEHFYTEASPIKKEKKKVFFGKVTVRWFKQLRRCLGAFYGYMKVWIQTCSFRSNAM
+>sp|Q5T2E6|ARMD3_HUMAN Armadillo-like helical domain-containing protein 3 OS=Homo sapiens OX=9606 GN=ARMH3 PE=1 SV=1
+MAQVEKRGGLLRKSSASKKPLKEKVVLMYDEIFMTEDPSKCSPRFWEELFLMKVNLEYLEGKLESLDGEELMKIKDNINCLFQHCIQALGEEHPIRVVNALQTLCALIRGVHQKNKSTSGFDIINMLMGFDKAELCMKNLMESLDSLLCAEGSESLKSLCLKLLLCLVTVTDNISQNTILEYVMINSIFEAILQILSHPPSRREHGYDAVVLLALLVNYRKYESVNPYIVKLSIVDDEATLNGMGLVIAQALSEYNRQYKDKEEEHQSGFFSALTNMVGSMFIADAHEKISVQTNEAILLALYEAVHLNRNFITVLAQSHPEMGLVTTPVSPAPTTPVTPLGTTPPSSDVISSVELPLDADVQTSNLLITFLKYSSIVMQDTKDEHRLHSGKLCLIILTCIAEDQYANAFLHDDNMNFRVNLHRMPMRHRKKAADKNLPCRPLVCAVLDLMVEFIVTHMMKEFPMDLYIRCIQVVHKLLCYQKKCRVRLHYTWRELWSALINLLKFLMSNETVLLAKHNIFTLALMIVNLFNMFITYGDTFLPTPSSYDELYYEIIRMHQSFDNLYSMVLRLSTNAGQWKEAASKVTHALVNIRAIINHFNPKIESYAAVNHISQLSEEQVLEVVRANYDTLTLKLQDGLDQYERYSEQHKEAAFFKELVRSISTNVRRNLAFHTLSQEVLLKEFSTIS
+>DECOY_sp|Q5T2E6|ARMD3_HUMAN Armadillo-like helical domain-containing protein 3 OS=Homo sapiens OX=9606 GN=ARMH3 PE=1 SV=1
+SITSFEKLLVEQSLTHFALNRRVNTSISRVLEKFFAAEKHQESYREYQDLGDQLKLTLTDYNARVVELVQEESLQSIHNVAAYSEIKPNFHNIIARINVLAHTVKSAAEKWQGANTSLRLVMSYLNDFSQHMRIIEYYLEDYSSPTPLFTDGYTIFMNFLNVIMLALTFINHKALLVTENSMLFKLLNILASWLERWTYHLRVRCKKQYCLLKHVVQICRIYLDMPFEKMMHTVIFEVMLDLVACVLPRCPLNKDAAKKRHRMPMRHLNVRFNMNDDHLFANAYQDEAICTLIILCLKGSHLRHEDKTDQMVISSYKLFTILLNSTQVDADLPLEVSSIVDSSPPTTGLPTVPTTPAPSVPTTVLGMEPHSQALVTIFNRNLHVAEYLALLIAENTQVSIKEHADAIFMSGVMNTLASFFGSQHEEEKDKYQRNYESLAQAIVLGMGNLTAEDDVISLKVIYPNVSEYKRYNVLLALLVVADYGHERRSPPHSLIQLIAEFISNIMVYELITNQSINDTVTVLCLLLKLCLSKLSESGEACLLSDLSEMLNKMCLEAKDFGMLMNIIDFGSTSKNKQHVGRILACLTQLANVVRIPHEEGLAQICHQFLCNINDKIKMLEEGDLSELKGELYELNVKMLFLEEWFRPSCKSPDETMFIEDYMLVVKEKLPKKSASSKRLLGGRKEVQAM
+>sp|P56211|ARP19_HUMAN cAMP-regulated phosphoprotein 19 OS=Homo sapiens OX=9606 GN=ARPP19 PE=1 SV=2
+MSAEVPEAASAEEQKEMEDKVTSPEKAEEAKLKARYPHLGQKPGGSDFLRKRLQKGQKYFDSGDYNMAKAKMKNKQLPTAAPDKTEVTGDHIPTPQDLPQRKPSLVASKLAG
+>DECOY_sp|P56211|ARP19_HUMAN cAMP-regulated phosphoprotein 19 OS=Homo sapiens OX=9606 GN=ARPP19 PE=1 SV=2
+GALKSAVLSPKRQPLDQPTPIHDGTVETKDPAATPLQKNKMKAKAMNYDGSDFYKQGKQLRKRLFDSGGPKQGLHPYRAKLKAEEAKEPSTVKDEMEKQEEASAAEPVEASM
+>sp|Q9UBL0|ARP21_HUMAN cAMP-regulated phosphoprotein 21 OS=Homo sapiens OX=9606 GN=ARPP21 PE=1 SV=2
+MSEQGDLNQAIAEEGGTEQETATPENGIVKSESLDEEEKLELQRRLEAQNQERRKSKSGAGKGKLTRSLAVCEESSARPGGESLQDQESIHLQLSSFSSLQEEDKSRKDDSEREKEKDKNKDKTSEKPKIRMLSKDCSQEYTDSTGIDLHEFLINTLKNNSRDRMILLKMEQEIIDFIADNNNHYKKFPQMSSYQRMLVHRVAAYFGLDHNVDQTGKSVIINKTSSTRIPEQRFCEHLKDEKGEESQKRFILKRDNSSIDKEDNQQNRMHPFRDDRRSKSIEEREEEYQRVRERIFAHDSVCSQESLFVENSRLLEDSNICNETYKKRQLFRGNRDGSGRTSGSRQSSSENELKWSDHQRAWSSTDSDSSNRNLKPAMTKTASFGGITVLTRGDSTSSTRSTGKLSKAGSESSSSAGSSGSLSRTHPPLQSTPLVSGVAAGSPGCVPYPENGIGGQVAPSSTSYILLPLEAATGIPPGSILLNPHTGQPFVNPDGTPAIYNPPTSQQPLRSAMVGQSQQQPPQQQPSPQPQQQVQPPQPQMAGPLVTQRDDVATQFGQMTLSRQSSGETPEPPSGPVYPSSLMPQPAQQPSYVIASTGQQLPTGGFSGSGPPISQQVLQPPPSPQGFVQQPPPAQMPVYYYPSGQYPTSTTQQYRPMAPVQYNAQRSQQMPQAAQQAGYQPVLSGQQGFQGLIGVQQPPQSQNVINNQQGTPVQSVMVSYPTMSSYQVPMTQGSQGLPQQSYQQPIMLPNQAGQGSLPATGMPVYCNVTPPTPQNNLRLIGPHCPSSTVPVMSASCRTNCASMSNAGWQVKF
+>DECOY_sp|Q9UBL0|ARP21_HUMAN cAMP-regulated phosphoprotein 21 OS=Homo sapiens OX=9606 GN=ARPP21 PE=1 SV=2
+FKVQWGANSMSACNTRCSASMVPVTSSPCHPGILRLNNQPTPPTVNCYVPMGTAPLSGQGAQNPLMIPQQYSQQPLGQSGQTMPVQYSSMTPYSVMVSQVPTGQQNNIVNQSQPPQQVGILGQFGQQGSLVPQYGAQQAAQPMQQSRQANYQVPAMPRYQQTTSTPYQGSPYYYVPMQAPPPQQVFGQPSPPPQLVQQSIPPGSGSFGGTPLQQGTSAIVYSPQQAPQPMLSSPYVPGSPPEPTEGSSQRSLTMQGFQTAVDDRQTVLPGAMQPQPPQVQQQPQPSPQQQPPQQQSQGVMASRLPQQSTPPNYIAPTGDPNVFPQGTHPNLLISGPPIGTAAELPLLIYSTSSPAVQGGIGNEPYPVCGPSGAAVGSVLPTSQLPPHTRSLSGSSGASSSSESGAKSLKGTSRTSSTSDGRTLVTIGGFSATKTMAPKLNRNSSDSDTSSWARQHDSWKLENESSSQRSGSTRGSGDRNGRFLQRKKYTENCINSDELLRSNEVFLSEQSCVSDHAFIRERVRQYEEEREEISKSRRDDRFPHMRNQQNDEKDISSNDRKLIFRKQSEEGKEDKLHECFRQEPIRTSSTKNIIVSKGTQDVNHDLGFYAAVRHVLMRQYSSMQPFKKYHNNNDAIFDIIEQEMKLLIMRDRSNNKLTNILFEHLDIGTSDTYEQSCDKSLMRIKPKESTKDKNKDKEKERESDDKRSKDEEQLSSFSSLQLHISEQDQLSEGGPRASSEECVALSRTLKGKGAGSKSKRREQNQAELRRQLELKEEEDLSESKVIGNEPTATEQETGGEEAIAQNLDGQESM
+>sp|Q9GZN1|ARP6_HUMAN Actin-related protein 6 OS=Homo sapiens OX=9606 GN=ACTR6 PE=1 SV=1
+MTTLVLDNGAYNAKIGYSHENVSVIPNCQFRSKTARLKTFTANQIDEIKDPSGLFYILPFQKGYLVNWDVQRQVWDYLFGKEMYQVDFLDTNIIITEPYFNFTSIQESMNEILFEEYQFQAVLRVNAGALSAHRYFRDNPSELCCIIVDSGYSFTHIVPYCRSKKKKEAIIRINVGGKLLTNHLKEIISYRQLHVMDETHVINQVKEDVCYVSQDFYRDMDIAKLKGEENTVMIDYVLPDFSTIKKGFCKPREEMVLSGKYKSGEQILRLANERFAVPEILFNPSDIGIQEMGIPEAIVYSIQNLPEEMQPHFFKNIVLTGGNSLFPGFRDRVYSEVRCLTPTDYDVSVVLPENPITYAWEGGKLISENDDFEDMVVTREDYEENGHSVCEEKFDI
+>DECOY_sp|Q9GZN1|ARP6_HUMAN Actin-related protein 6 OS=Homo sapiens OX=9606 GN=ACTR6 PE=1 SV=1
+IDFKEECVSHGNEEYDERTVVMDEFDDNESILKGGEWAYTIPNEPLVVSVDYDTPTLCRVESYVRDRFGPFLSNGGTLVINKFFHPQMEEPLNQISYVIAEPIGMEQIGIDSPNFLIEPVAFRENALRLIQEGSKYKGSLVMEERPKCFGKKITSFDPLVYDIMVTNEEGKLKAIDMDRYFDQSVYCVDEKVQNIVHTEDMVHLQRYSIIEKLHNTLLKGGVNIRIIAEKKKKSRCYPVIHTFSYGSDVIICCLESPNDRFYRHASLAGANVRLVAQFQYEEFLIENMSEQISTFNFYPETIIINTDLFDVQYMEKGFLYDWVQRQVDWNVLYGKQFPLIYFLGSPDKIEDIQNATFTKLRATKSRFQCNPIVSVNEHSYGIKANYAGNDLVLTTM
+>sp|P32121|ARRB2_HUMAN Beta-arrestin-2 OS=Homo sapiens OX=9606 GN=ARRB2 PE=1 SV=2
+MGEKPGTRVFKKSSPNCKLTVYLGKRDFVDHLDKVDPVDGVVLVDPDYLKDRKVFVTLTCAFRYGREDLDVLGLSFRKDLFIATYQAFPPVPNPPRPPTRLQDRLLRKLGQHAHPFFFTIPQNLPCSVTLQPGPEDTGKACGVDFEIRAFCAKSLEEKSHKRNSVRLVIRKVQFAPEKPGPQPSAETTRHFLMSDRSLHLEASLDKELYYHGEPLNVNVHVTNNSTKTVKKIKVSVRQYADICLFSTAQYKCPVAQLEQDDQVSPSSTFCKVYTITPLLSDNREKRGLALDGKLKHEDTNLASSTIVKEGANKEVLGILVSYRVKVKLVVSRGGDVSVELPFVLMHPKPHDHIPLPRPQSAAPETDVPVDTNLIEFDTNYATDDDIVFEDFARLRLKGMKDDDYDDQLC
+>DECOY_sp|P32121|ARRB2_HUMAN Beta-arrestin-2 OS=Homo sapiens OX=9606 GN=ARRB2 PE=1 SV=2
+CLQDDYDDDKMGKLRLRAFDEFVIDDDTAYNTDFEILNTDVPVDTEPAASQPRPLPIHDHPKPHMLVFPLEVSVDGGRSVVLKVKVRYSVLIGLVEKNAGEKVITSSALNTDEHKLKGDLALGRKERNDSLLPTITYVKCFTSSPSVQDDQELQAVPCKYQATSFLCIDAYQRVSVKIKKVTKTSNNTVHVNVNLPEGHYYLEKDLSAELHLSRDSMLFHRTTEASPQPGPKEPAFQVKRIVLRVSNRKHSKEELSKACFARIEFDVGCAKGTDEPGPQLTVSCPLNQPITFFFPHAHQGLKRLLRDQLRTPPRPPNPVPPFAQYTAIFLDKRFSLGLVDLDERGYRFACTLTVFVKRDKLYDPDVLVVGDVPDVKDLHDVFDRKGLYVTLKCNPSSKKFVRTGPKEGM
+>sp|Q5FYA8|ARSH_HUMAN Arylsulfatase H OS=Homo sapiens OX=9606 GN=ARSH PE=2 SV=1
+MTRNARPNIVLLMADDLGVGDLCCYGNNSVSTPNIDRLASEGVRLTQHLAAASMCTPSRAAFLTGRYPIRSGMVSAYNLNRAFTWLGGSGGLPTNETTFAKLLQHRGYRTGLIGKWHLGLSCASRNDHCYHPLNHGFHYFYGVPFGLLSDCQASKTPELHRWLRIKLWISTVALALVPFLLLIPKFARWFSVPWKVIFVFALLAFLFFTSWYSSYGFTRRWNCILMRNHEIIQQPMKEEKVASLMLKEALAFIERYKREPFLLFFSFLHVHTPLISKKKFVGRSKYGRYGDNVEEMDWMVGKILDALDQERLANHTLVYFTSDNGGHLEPLDGAVQLGGWNGIYKGGKGMGGWEGGIRVPGIFRWPSVLEAGRVINEPTSLMDIYPTLSYIGGGILSQDRVIDGQNLMPLLEGRASHSDHEFLFHYCGVYLHTVRWHQKDCATVWKAHYVTPKFYPEGTGACYGSGICSCSGDVTYHDPPLLFDISRDPSEALPLNPDNEPLFDSVIKKMEAAIREHRRTLTPVPQQFSVFNTIWKPWLQPCCGTFPFCGCDKEDDILPMAP
+>DECOY_sp|Q5FYA8|ARSH_HUMAN Arylsulfatase H OS=Homo sapiens OX=9606 GN=ARSH PE=2 SV=1
+PAMPLIDDEKDCGCFPFTGCCPQLWPKWITNFVSFQQPVPTLTRRHERIAAEMKKIVSDFLPENDPNLPLAESPDRSIDFLLPPDHYTVDGSCSCIGSGYCAGTGEPYFKPTVYHAKWVTACDKQHWRVTHLYVGCYHFLFEHDSHSARGELLPMLNQGDIVRDQSLIGGGIYSLTPYIDMLSTPENIVRGAELVSPWRFIGPVRIGGEWGGMGKGGKYIGNWGGLQVAGDLPELHGGNDSTFYVLTHNALREQDLADLIKGVMWDMEEVNDGYRGYKSRGVFKKKSILPTHVHLFSFFLLFPERKYREIFALAEKLMLSAVKEEKMPQQIIEHNRMLICNWRRTFGYSSYWSTFFLFALLAFVFIVKWPVSFWRAFKPILLLFPVLALAVTSIWLKIRLWRHLEPTKSAQCDSLLGFPVGYFYHFGHNLPHYCHDNRSACSLGLHWKGILGTRYGRHQLLKAFTTENTPLGGSGGLWTFARNLNYASVMGSRIPYRGTLFAARSPTCMSAAALHQTLRVGESALRDINPTSVSNNGYCCLDGVGLDDAMLLVINPRANRTM
+>sp|Q6UWY0|ARSK_HUMAN Arylsulfatase K OS=Homo sapiens OX=9606 GN=ARSK PE=1 SV=1
+MLLLWVSVVAALALAVLAPGAGEQRRRAAKAPNVVLVVSDSFDGRLTFHPGSQVVKLPFINFMKTRGTSFLNAYTNSPICCPSRAAMWSGLFTHLTESWNNFKGLDPNYTTWMDVMERHGYRTQKFGKLDYTSGHHSISNRVEAWTRDVAFLLRQEGRPMVNLIRNRTKVRVMERDWQNTDKAVNWLRKEAINYTEPFVIYLGLNLPHPYPSPSSGENFGSSTFHTSLYWLEKVSHDAIKIPKWSPLSEMHPVDYYSSYTKNCTGRFTKKEIKNIRAFYYAMCAETDAMLGEIILALHQLDLLQKTIVIYSSDHGELAMEHRQFYKMSMYEASAHVPLLMMGPGIKAGLQVSNVVSLVDIYPTMLDIAGIPLPQNLSGYSLLPLSSETFKNEHKVKNLHPPWILSEFHGCNVNASTYMLRTNHWKYIAYSDGASILPQLFDLSSDPDELTNVAVKFPEITYSLDQKLHSIINYPKVSASVHQYNKEQFIKWKQSIGQNYSNVIANLRWHQDWQKEPRKYENAIDQWLKTHMNPRAV
+>DECOY_sp|Q6UWY0|ARSK_HUMAN Arylsulfatase K OS=Homo sapiens OX=9606 GN=ARSK PE=1 SV=1
+VARPNMHTKLWQDIANEYKRPEKQWDQHWRLNAIVNSYNQGISQKWKIFQEKNYQHVSASVKPYNIISHLKQDLSYTIEPFKVAVNTLEDPDSSLDFLQPLISAGDSYAIYKWHNTRLMYTSANVNCGHFESLIWPPHLNKVKHENKFTESSLPLLSYGSLNQPLPIGAIDLMTPYIDVLSVVNSVQLGAKIGPGMMLLPVHASAEYMSMKYFQRHEMALEGHDSSYIVITKQLLDLQHLALIIEGLMADTEACMAYYFARINKIEKKTFRGTCNKTYSSYYDVPHMESLPSWKPIKIADHSVKELWYLSTHFTSSGFNEGSSPSPYPHPLNLGLYIVFPETYNIAEKRLWNVAKDTNQWDREMVRVKTRNRILNVMPRGEQRLLFAVDRTWAEVRNSISHHGSTYDLKGFKQTRYGHREMVDMWTTYNPDLGKFNNWSETLHTFLGSWMAARSPCCIPSNTYANLFSTGRTKMFNIFPLKVVQSGPHFTLRGDFSDSVVLVVNPAKAARRRQEGAGPALVALALAAVVSVWLLLM
+>sp|Q5T4W7|ARTN_HUMAN Artemin OS=Homo sapiens OX=9606 GN=ARTN PE=1 SV=1
+MELGLGGLSTLSHCPWPRQQPALWPTLAALALLSSVAEASLGSAPRSPAPREGPPPVLASPAGHLPGGRTARWCSGRARRPPPQPSRPAPPPPAPPSALPRGGRAARAGGPGSRARAAGARGCRLRSQLVPVRALGLGHRSDELVRFRFCSGSCRRARSPHDLSLASLLGAGALRPPPGSRPVSQPCCRPTRYEAVSFMDVNSTWRTVDRLSATACGCLG
+>DECOY_sp|Q5T4W7|ARTN_HUMAN Artemin OS=Homo sapiens OX=9606 GN=ARTN PE=1 SV=1
+GLCGCATASLRDVTRWTSNVDMFSVAEYRTPRCCPQSVPRSGPPPRLAGAGLLSALSLDHPSRARRCSGSCFRFRVLEDSRHGLGLARVPVLQSRLRCGRAGAARARSGPGGARAARGGRPLASPPAPPPPAPRSPQPPPRRARGSCWRATRGGPLHGAPSALVPPPGERPAPSRPASGLSAEAVSSLLALAALTPWLAPQQRPWPCHSLTSLGGLGLEM
+>sp|O00192|ARVC_HUMAN Armadillo repeat protein deleted in velo-cardio-facial syndrome OS=Homo sapiens OX=9606 GN=ARVCF PE=1 SV=1
+MEDCNVHSAASILASVKEQEARFERLTRALEQERRHVALQLERAQQPGMVSGGMGSGQPLPMAWQQLVLQEQSPGSQASLATMPEAPDVLEETVTVEEDPGTPTSHVSIVTSEDGTTRRTETKVTKTVKTVTTRTVRQVPVGPDGLPLLDGGPPLGPFADGALDRHFLLRGGGPVATLSRAYLSSGGGFPEGPEPRDSPSYGSLSRGLGMRPPRAGPLGPGPGDGCFTLPGHREAFPVGPEPGPPGGRSLPERFQAEPYGLEDDTRSLAADDEGGPELEPDYGTATRRRPECGRGLHTRAYEDTADDGGELADERPAFPMVTAPLAQPERGSMGSLDRLVRRSPSVDSARKEPRWRDPELPEVLAMLRHPVDPVKANAAAYLQHLCFENEGVKRRVRQLRGLPLLVALLDHPRAEVRRRACGALRNLSYGRDTDNKAAIRDCGGVPALVRLLRAARDNEVRELVTGTLWNLSSYEPLKMVIIDHGLQTLTHEVIVPHSGWEREPNEDSKPRDAEWTTVFKNTSGCLRNVSSDGAEARRRLRECEGLVDALLHALQSAVGRKDTDNKSVENCVCIMRNLSYHVHKEVPGADRYQEAEPGPLGSAVGSQRRRRDDASCFGGKKAKEEWFHQGKKDGEMDRNFDTLDLPKRTEAAKGFELLYQPEVVRLYLSLLTESRNFNTLEAAAGALQNLSAGNWMWATYIRATVRKERGLPVLVELLQSETDKVVRAVAIALRNLSLDRRNKDLIGSYAMAELVRNVRNAQAPPRPGACLEEDTVVAVLNTIHEIVSDSLDNARSLLQARGVPALVALVASSQSVREAKAASHVLQTVWSYKELRGTLQKDGWTKARFQSAAATAKGPKGALSPGGFDDSTLPLVDKSLEGEKTGSRDVIPMDALGPDGYSTVDRRERRPRGASSAGEASEKEPLKLDPSRKAPPPGPSRPAVRLVDAVGDAKPQPVDSWV
+>DECOY_sp|O00192|ARVC_HUMAN Armadillo repeat protein deleted in velo-cardio-facial syndrome OS=Homo sapiens OX=9606 GN=ARVCF PE=1 SV=1
+VWSDVPQPKADGVADVLRVAPRSPGPPPAKRSPDLKLPEKESAEGASSAGRPRRERRDVTSYGDPGLADMPIVDRSGTKEGELSKDVLPLTSDDFGGPSLAGKPGKATAAASQFRAKTWGDKQLTGRLEKYSWVTQLVHSAAKAERVSQSSAVLAVLAPVGRAQLLSRANDLSDSVIEHITNLVAVVTDEELCAGPRPPAQANRVNRVLEAMAYSGILDKNRRDLSLNRLAIAVARVVKDTESQLLEVLVPLGREKRVTARIYTAWMWNGASLNQLAGAAAELTNFNRSETLLSLYLRVVEPQYLLEFGKAAETRKPLDLTDFNRDMEGDKKGQHFWEEKAKKGGFCSADDRRRRQSGVASGLPGPEAEQYRDAGPVEKHVHYSLNRMICVCNEVSKNDTDKRGVASQLAHLLADVLGECERLRRRAEAGDSSVNRLCGSTNKFVTTWEADRPKSDENPEREWGSHPVIVEHTLTQLGHDIIVMKLPEYSSLNWLTGTVLERVENDRAARLLRVLAPVGGCDRIAAKNDTDRGYSLNRLAGCARRRVEARPHDLLAVLLPLGRLQRVRRKVGENEFCLHQLYAAANAKVPDVPHRLMALVEPLEPDRWRPEKRASDVSPSRRVLRDLSGMSGREPQALPATVMPFAPREDALEGGDDATDEYARTHLGRGCEPRRRTATGYDPELEPGGEDDAALSRTDDELGYPEAQFREPLSRGGPPGPEPGVPFAERHGPLTFCGDGPGPGLPGARPPRMGLGRSLSGYSPSDRPEPGEPFGGGSSLYARSLTAVPGGGRLLFHRDLAGDAFPGLPPGGDLLPLGDPGVPVQRVTRTTVTKVTKTVKTETRRTTGDESTVISVHSTPTGPDEEVTVTEELVDPAEPMTALSAQSGPSQEQLVLQQWAMPLPQGSGMGGSVMGPQQARELQLAVHRREQELARTLREFRAEQEKVSALISAASHVNCDEM
+>sp|P0C7U1|ASA2B_HUMAN Putative inactive neutral ceramidase B OS=Homo sapiens OX=9606 GN=ASAH2B PE=2 SV=1
+MRQHRQFMDRTHYLLTFSSSETLLRLLLRIVDRAPKGRTFGDVLQPAKPEYRVGEVAEVIFVGANPKNSVQNQTHQTFLTVEKYEATSTSWQIVCNDASWETRFYWHKGLLGLSNATVEWHIPDTAQPGIYRIRYFGHNRKQDILKPAVILSFEGTSPAFEVVTI
+>DECOY_sp|P0C7U1|ASA2B_HUMAN Putative inactive neutral ceramidase B OS=Homo sapiens OX=9606 GN=ASAH2B PE=2 SV=1
+ITVVEFAPSTGEFSLIVAPKLIDQKRNHGFYRIRYIGPQATDPIHWEVTANSLGLLGKHWYFRTEWSADNCVIQWSTSTAEYKEVTLFTQHTQNQVSNKPNAGVFIVEAVEGVRYEPKAPQLVDGFTRGKPARDVIRLLLRLLTESSSFTLLYHTRDMFQRHQRM
+>sp|Q9ULH1|ASAP1_HUMAN Arf-GAP with SH3 domain, ANK repeat and PH domain-containing protein 1 OS=Homo sapiens OX=9606 GN=ASAP1 PE=1 SV=4
+MRSSASRLSSFSSRDSLWNRMPDQISVSEFIAETTEDYNSPTTSSFTTRLHNCRNTVTLLEEALDQDRTALQKVKKSVKAIYNSGQDHVQNEENYAQVLDKFGSNFLSRDNPDLGTAFVKFSTLTKELSTLLKNLLQGLSHNVIFTLDSLLKGDLKGVKGDLKKPFDKAWKDYETKFTKIEKEKREHAKQHGMIRTEITGAEIAEEMEKERRLFQLQMCEYLIKVNEIKTKKGVDLLQNLIKYYHAQCNFFQDGLKTADKLKQYIEKLAADLYNIKQTQDEEKKQLTALRDLIKSSLQLDQKEDSQSRQGGYSMHQLQGNKEYGSEKKGYLLKKSDGIRKVWQRRKCSVKNGILTISHATSNRQPAKLNLLTCQVKPNAEDKKSFDLISHNRTYHFQAEDEQDYVAWISVLTNSKEEALTMAFRGEQSAGENSLEDLTKAIIEDVQRLPGNDICCDCGSSEPTWLSTNLGILTCIECSGIHREMGVHISRIQSLELDKLGTSELLLAKNVGNNSFNDIMEANLPSPSPKPTPSSDMTVRKEYITAKYVDHRFSRKTCSTSSAKLNELLEAIKSRDLLALIQVYAEGVELMEPLLEPGQELGETALHLAVRTADQTSLHLVDFLVQNCGNLDKQTALGNTVLHYCSMYSKPECLKLLLRSKPTVDIVNQAGETALDIAKRLKATQCEDLLSQAKSGKFNPHVHVEYEWNLRQEEIDESDDDLDDKPSPIKKERSPRPQSFCHSSSISPQDKLALPGFSTPRDKQRLSYGAFTNQIFVSTSTDSPTSPTTEAPPLPPRNAGKGPTGPPSTLPLSTQTSSGSSTLSKKRPPPPPPGHKRTLSDPPSPLPHGPPNKGAVPWGNDGGPSSSSKTTNKFEGLSQQSSTSSAKTALGPRVLPKLPQKVALRKTDHLSLDKATIPPEIFQKSSQLAELPQKPPPGDLPPKPTELAPKPQIGDLPPKPGELPPKPQLGDLPPKPQLSDLPPKPQMKDLPPKPQLGDLLAKSQTGDVSPKAQQPSEVTLKSHPLDLSPNVQSRDAIQKQASEDSNDLTPTLPETPVPLPRKINTGKNKVRRVKTIYDCQADNDDELTFIEGEVIIVTGEEDQEWWIGHIEGQPERKGVFPVSFVHILSD
+>DECOY_sp|Q9ULH1|ASAP1_HUMAN Arf-GAP with SH3 domain, ANK repeat and PH domain-containing protein 1 OS=Homo sapiens OX=9606 GN=ASAP1 PE=1 SV=4
+DSLIHVFSVPFVGKREPQGEIHGIWWEQDEEGTVIIVEGEIFTLEDDNDAQCDYITKVRRVKNKGTNIKRPLPVPTEPLTPTLDNSDESAQKQIADRSQVNPSLDLPHSKLTVESPQQAKPSVDGTQSKALLDGLQPKPPLDKMQPKPPLDSLQPKPPLDGLQPKPPLEGPKPPLDGIQPKPALETPKPPLDGPPPKQPLEALQSSKQFIEPPITAKDLSLHDTKRLAVKQPLKPLVRPGLATKASSTSSQQSLGEFKNTTKSSSSPGGDNGWPVAGKNPPGHPLPSPPDSLTRKHGPPPPPPRKKSLTSSGSSTQTSLPLTSPPGTPGKGANRPPLPPAETTPSTPSDTSTSVFIQNTFAGYSLRQKDRPTSFGPLALKDQPSISSSHCFSQPRPSREKKIPSPKDDLDDDSEDIEEQRLNWEYEVHVHPNFKGSKAQSLLDECQTAKLRKAIDLATEGAQNVIDVTPKSRLLLKLCEPKSYMSCYHLVTNGLATQKDLNGCNQVLFDVLHLSTQDATRVALHLATEGLEQGPELLPEMLEVGEAYVQILALLDRSKIAELLENLKASSTSCTKRSFRHDVYKATIYEKRVTMDSSPTPKPSPSPLNAEMIDNFSNNGVNKALLLESTGLKDLELSQIRSIHVGMERHIGSCEICTLIGLNTSLWTPESSGCDCCIDNGPLRQVDEIIAKTLDELSNEGASQEGRFAMTLAEEKSNTLVSIWAVYDQEDEAQFHYTRNHSILDFSKKDEANPKVQCTLLNLKAPQRNSTAHSITLIGNKVSCKRRQWVKRIGDSKKLLYGKKESGYEKNGQLQHMSYGGQRSQSDEKQDLQLSSKILDRLATLQKKEEDQTQKINYLDAALKEIYQKLKDATKLGDQFFNCQAHYYKILNQLLDVGKKTKIENVKILYECMQLQFLRREKEMEEAIEAGTIETRIMGHQKAHERKEKEIKTFKTEYDKWAKDFPKKLDGKVGKLDGKLLSDLTFIVNHSLGQLLNKLLTSLEKTLTSFKVFATGLDPNDRSLFNSGFKDLVQAYNEENQVHDQGSNYIAKVSKKVKQLATRDQDLAEELLTVTNRCNHLRTTFSSTTPSNYDETTEAIFESVSIQDPMRNWLSDRSSFSSLRSASSRM
+>sp|Q8WXI3|ASB10_HUMAN Ankyrin repeat and SOCS box protein 10 OS=Homo sapiens OX=9606 GN=ASB10 PE=1 SV=2
+MLMSWSPEECKGQGEPLDDRHPLCARLVEKPSRGSEEHLKSGPGPIVTRTASGPALAFWQAVLAGDVGCVSRILADSSTGLAPDSVFDTSDPERWRDFRFNIRALRLWSLTYEEELTTPLHVAASRGHTEVLRLLLRRRARPDSAPGGRTALHEACAAGHTACVHVLLVAGADPNIADQDGKRPLHLCRGPGTLECAELLLRFGARVDGRSEEEEETPLHVAARLGHVELADLLLRRGACPDARNAEGWTPLLAACDVRCQSITDAEATTARCLQLCSLLLSAGADADAADQDKQRPLHLACRRGHAAVVELLLSCGVSANTMDYGGHTPLHCALQGPAAALAQSPEHVVRALLNHGAVRVWPGALPKVLERWSTCPRTIEVLMNTYSVVQLPEEAVGLVTPETLQKHQRFYSSLFALVRQPRSLQHLSRCALRSHLEGSLPQALPRLPLPPRLLRYLQLDFEGVLY
+>DECOY_sp|Q8WXI3|ASB10_HUMAN Ankyrin repeat and SOCS box protein 10 OS=Homo sapiens OX=9606 GN=ASB10 PE=1 SV=2
+YLVGEFDLQLYRLLRPPLPLRPLAQPLSGELHSRLACRSLHQLSRPQRVLAFLSSYFRQHKQLTEPTVLGVAEEPLQVVSYTNMLVEITRPCTSWRELVKPLAGPWVRVAGHNLLARVVHEPSQALAAAPGQLACHLPTHGGYDMTNASVGCSLLLEVVAAHGRRCALHLPRQKDQDAADADAGASLLLSCLQLCRATTAEADTISQCRVDCAALLPTWGEANRADPCAGRRLLLDALEVHGLRAAVHLPTEEEEESRGDVRAGFRLLLEACELTGPGRCLHLPRKGDQDAINPDAGAVLLVHVCATHGAACAEHLATRGGPASDPRARRRLLLRLVETHGRSAAVHLPTTLEEEYTLSWLRLARINFRFDRWREPDSTDFVSDPALGTSSDALIRSVCGVDGALVAQWFALAPGSATRTVIPGPGSKLHEESGRSPKEVLRACLPHRDDLPEGQGKCEEPSWSMLM
+>sp|Q8WXK3|ASB13_HUMAN Ankyrin repeat and SOCS box protein 13 OS=Homo sapiens OX=9606 GN=ASB13 PE=1 SV=2
+MEPRAADGCFLGDVGFWVERTPVHEAAQRGESLQLQQLIESGACVNQVTVDSITPLHAASLQGQARCVQLLLAAGAQVDARNIDGSTPLCDACASGSIECVKLLLSYGAKVNPPLYTASPLHEACMSGSSECVRLLIDVGANLEAHDCHFGTPLHVACAREHLDCVKVLLNAGANVNAAKLHETALHHAAKVKNVDLIEMLIEFGGNIYARDNRGKKPSDYTWSSSAPAKCFEYYEKTPLTLSQLCRVNLRKATGVRGLEKIAKLNIPPRLIDYLSYN
+>DECOY_sp|Q8WXK3|ASB13_HUMAN Ankyrin repeat and SOCS box protein 13 OS=Homo sapiens OX=9606 GN=ASB13 PE=1 SV=2
+NYSLYDILRPPINLKAIKELGRVGTAKRLNVRCLQSLTLPTKEYYEFCKAPASSSWTYDSPKKGRNDRAYINGGFEILMEILDVNKVKAAHHLATEHLKAANVNAGANLLVKVCDLHERACAVHLPTGFHCDHAELNAGVDILLRVCESSGSMCAEHLPSATYLPPNVKAGYSLLLKVCEISGSACADCLPTSGDINRADVQAGAALLLQVCRAQGQLSAAHLPTISDVTVQNVCAGSEILQQLQLSEGRQAAEHVPTREVWFGVDGLFCGDAARPEM
+>sp|Q8WXJ9|ASB17_HUMAN Ankyrin repeat and SOCS box protein 17 OS=Homo sapiens OX=9606 GN=ASB17 PE=2 SV=2
+MSKSTKLCGKTSCPRSNIFCNLLDKIVKRPSLQFLGQWGYHCYEPRIYRSLAKILRYVDLDGFDALLTDYIAFVEKSGYRFEVSFNLDFTEICVNTILYWVFARKGNPDFVELLLKKTKDYVQDRSCNLALIWRTFTPVYCPSPLSGITPLFYVAQTRQSNIFKILLQYGILEREKNPINIVLTIVLYPSRVRVMVDRELADIHEDAKTCLVLCSRVLSVISVKEIKTQLSLGRHPIISNWFDYIPSTRYKDPCELLHLCRLTIRNQLLTNNMLPDGIFSLLIPARLQNYLNLEI
+>DECOY_sp|Q8WXJ9|ASB17_HUMAN Ankyrin repeat and SOCS box protein 17 OS=Homo sapiens OX=9606 GN=ASB17 PE=2 SV=2
+IELNLYNQLRAPILLSFIGDPLMNNTLLQNRITLRCLHLLECPDKYRTSPIYDFWNSIIPHRGLSLQTKIEKVSIVSLVRSCLVLCTKADEHIDALERDVMVRVRSPYLVITLVINIPNKERELIGYQLLIKFINSQRTQAVYFLPTIGSLPSPCYVPTFTRWILALNCSRDQVYDKTKKLLLEVFDPNGKRAFVWYLITNVCIETFDLNFSVEFRYGSKEVFAIYDTLLADFGDLDVYRLIKALSRYIRPEYCHYGWQGLFQLSPRKVIKDLLNCFINSRPCSTKGCLKTSKSM
+>sp|Q9Y575|ASB3_HUMAN Ankyrin repeat and SOCS box protein 3 OS=Homo sapiens OX=9606 GN=ASB3 PE=1 SV=1
+MDFTEAYADTCSTVGLAAREGNVKVLRKLLKKGRSVDVADNRGWMPIHEAAYHNSVECLQMLINADSSENYIKMKTFEGFCALHLAASQGHWKIVQILLEAGADPNATTLEETTPLFLAVENGQIDVLRLLLQHGANVNGSHSMCGWNSLHQASFQENAEIIKLLLRKGANKECQDDFGITPLFVAAQYGKLESLSILISSGANVNCQALDKATPLFIAAQEGHTKCVELLLSSGADPDLYCNEDSWQLPIHAAAQMGHTKILDLLIPLTNRACDTGLNKVSPVYSAVFGGHEDCLEILLRNGYSPDAQACLVFGFSSPVCMAFQKDCEFFGIVNILLKYGAQINELHLAYCLKYEKFSIFRYFLRKGCSLGPWNHIYEFVNHAIKAQAKYKEWLPHLLVAGFDPLILLCNSWIDSVSIDTLIFTLEFTNWKTLAPAVERMLSARASNAWILQQHIATVPSLTHLCRLEIRSSLKSERLRSDSYISQLPLPRSLHNYLLYEDVLRMYEVPELAAIQDG
+>DECOY_sp|Q9Y575|ASB3_HUMAN Ankyrin repeat and SOCS box protein 3 OS=Homo sapiens OX=9606 GN=ASB3 PE=1 SV=1
+GDQIAALEPVEYMRLVDEYLLYNHLSRPLPLQSIYSDSRLRESKLSSRIELRCLHTLSPVTAIHQQLIWANSARASLMREVAPALTKWNTFELTFILTDISVSDIWSNCLLILPDFGAVLLHPLWEKYKAQAKIAHNVFEYIHNWPGLSCGKRLFYRFISFKEYKLCYALHLENIQAGYKLLINVIGFFECDKQFAMCVPSSFGFVLCAQADPSYGNRLLIELCDEHGGFVASYVPSVKNLGTDCARNTLPILLDLIKTHGMQAAAHIPLQWSDENCYLDPDAGSSLLLEVCKTHGEQAAIFLPTAKDLAQCNVNAGSSILISLSELKGYQAAVFLPTIGFDDQCEKNAGKRLLLKIIEANEQFSAQHLSNWGCMSHSGNVNAGHQLLLRLVDIQGNEVALFLPTTEELTTANPDAGAELLIQVIKWHGQSAALHLACFGEFTKMKIYNESSDANILMQLCEVSNHYAAEHIPMWGRNDAVDVSRGKKLLKRLVKVNGERAALGVTSCTDAYAETFDM
+>sp|Q8N9N2|ASCC1_HUMAN Activating signal cointegrator 1 complex subunit 1 OS=Homo sapiens OX=9606 GN=ASCC1 PE=1 SV=1
+MEVLRPQLIRIDGRNYRKNPVQEQTYQHEEDEEDFYQGSMECADEPCDAYEVEQTPQGFRSTLRAPSLLYNLIHLNTSNDCGFQKITLDCQNIYTWKSRHIVGKRGDTRKKIEMETKTSISIPKPGQDGEIVITGQHRNGVISARTRIDVLLDTFRRKQPFTHFLAFFLNEVEVQEGFLRFQEEVLAKCSMDHGVDSSIFQNPKKLHLTIGMLVLLSEEEIQQTCEMLQQCKEEFINDISGGKPLEVEMAGIEYMNDDPGMVDVLYAKVHMKDGSNRLQELVDRVLERFQASGLIVKEWNSVKLHATVMNTLFRKDPNAEGRYNLYTAEGKYIFKERESFDGRNILKSFALLPRLEYNDAISAHCNLCLPGSSDSPASASQVAGITGVSDAYSQSLPGKS
+>DECOY_sp|Q8N9N2|ASCC1_HUMAN Activating signal cointegrator 1 complex subunit 1 OS=Homo sapiens OX=9606 GN=ASCC1 PE=1 SV=1
+SKGPLSQSYADSVGTIGAVQSASAPSDSSGPLCLNCHASIADNYELRPLLAFSKLINRGDFSEREKFIYKGEATYLNYRGEANPDKRFLTNMVTAHLKVSNWEKVILGSAQFRELVRDVLEQLRNSGDKMHVKAYLVDVMGPDDNMYEIGAMEVELPKGGSIDNIFEEKCQQLMECTQQIEEESLLVLMGITLHLKKPNQFISSDVGHDMSCKALVEEQFRLFGEQVEVENLFFALFHTFPQKRRFTDLLVDIRTRASIVGNRHQGTIVIEGDQGPKPISISTKTEMEIKKRTDGRKGVIHRSKWTYINQCDLTIKQFGCDNSTNLHILNYLLSPARLTSRFGQPTQEVEYADCPEDACEMSGQYFDEEDEEHQYTQEQVPNKRYNRGDIRILQPRLVEM
+>sp|Q8N3C0|ASCC3_HUMAN Activating signal cointegrator 1 complex subunit 3 OS=Homo sapiens OX=9606 GN=ASCC3 PE=1 SV=3
+MALPRLTGALRSFSNVTKQDNYNEEVADLKIKRSKLHEQVLDLGLTWKKIIKFLNEKLEKSKMQSINEDLKDILHAAKQIVGTDNGREAIESGAAFLFMTFHLKDSVGHKETKAIKQMFGPFPSSSATAACNATNRIISHFSQDDLTALVQMTEKEHGDRVFFGKNLAFSFDMHDLDHFDELPINGETQKTISLDYKKFLNEHLQEACTPELKPVEKTNGSFLWCEVEKYLNSTLKEMTEVPRVEDLCCTLYDMLASIKSGDELQDELFELLGPEGLELIEKLLQNRITIVDRFLNSSNDHRFQALQDNCKKILGENAKPNYGCQVTIQSEQEKQLMKQYRREEKRIARREKKAGEDLEVSEGLMCFDPKELRIQREQALLNARSVPILSRQRDADVEKIHYPHVYDSQAEAMKTSAFIAGAKMILPEGIQRENNKLYEEVRIPYSEPMPLSFEEKPVYIQDLDEIGQLAFKGMKRLNRIQSIVFETAYNTNENMLICAPTGAGKTNIAMLTVLHEIRQHFQQGVIKKNEFKIVYVAPMKALAAEMTDYFSRRLEPLGIIVKELTGDMQLSKSEILRTQMLVTTPEKWDVVTRKSVGDVALSQIVRLLILDEVHLLHEDRGPVLESIVARTLRQVESTQSMIRILGLSATLPNYLDVATFLHVNPYIGLFFFDGRFRPVPLGQTFLGIKCANKMQQLNNMDEVCYENVLKQVKAGHQVMVFVHARNATVRTAMSLIERAKNCGHIPFFFPTQGHDYVLAEKQVQRSRNKQVRELFPDGFSIHHAGMLRQDRNLVENLFSNGHIKVLVCTATLAWGVNLPAHAVIIKGTQIYAAKRGSFVDLGILDVMQIFGRAGRPQFDKFGEGIIITTHDKLSHYLTLLTQRNPIESQFLESLADNLNAEIALGTVTNVEEAVKWISYTYLYVRMRANPLAYGISHKAYQIDPTLRKHREQLVIEVGRKLDKAQMIRFEERTGYFSSTDLGRTASHYYIKYNTIETFNELFDAHKTEGDIFAIVSKAEEFDQIKVREEEIEELDTLLSNFCELSTPGGVENSYGKINILLQTYISRGEMDSFSLISDSAYVAQNAARIVRALFEIALRKRWPTMTYRLLNLSKVIDKRLWGWASPLRQFSILPPHILTRLEEKKLTVDKLKDMRKDEIGHILHHVNIGLKVKQCVHQIPSVMMEASIQPITRTVLRVTLSIYADFTWNDQVHGTVGEPWWIWVEDPTNDHIYHSEYFLALKKQVISKEAQLLVFTIPIFEPLPSQYYIRAVSDRWLGAEAVCIINFQHLILPERHPPHTELLDLQPLPITALGCKAYEALYNFSHFNPVQTQIFHTLYHTDCNVLLGAPTGSGKTVAAELAIFRVFNKYPTSKAVYIAPLKALVRERMDDWKVRIEEKLGKKVIELTGDVTPDMKSIAKADLIVTTPEKWDGVSRSWQNRNYVQQVTILIIDEIHLLGEERGPVLEVIVSRTNFISSHTEKPVRIVGLSTALANARDLADWLNIKQMGLFNFRPSVRPVPLEVHIQGFPGQHYCPRMASMNKPAFQAIRSHSPAKPVLIFVSSRRQTRLTALELIAFLATEEDPKQWLNMDEREMENIIATVRDSNLKLTLAFGIGMHHAGLHERDRKTVEELFVNCKVQVLIATSTLAWGVNFPAHLVIIKGTEYYDGKTRRYVDFPITDVLQMMGRAGRPQFDDQGKAVILVHDIKKDFYKKFLYEPFPVESSLLGVLSDHLNAEIAGGTITSKQDALDYITWTYFFRRLIMNPSYYNLGDVSHDSVNKFLSHLIEKSLIELELSYCIEIGEDNRSIEPLTYGRIASYYYLKHQTVKMFKDRLKPECSTEELLSILSDAEEYTDLPVRHNEDHMNSELAKCLPIESNPHSFDSPHTKAHLLLQAHLSRAMLPCPDYDTDTKTVLDQALRVCQAMLDVAANQGWLVTVLNITNLIQMVIQGRWLKDSSLLTLPNIENHHLHLFKKWKPIMKGPHARGRTSIESLPELIHACGGKDHVFSSMVESELHAAKTKQAWNFLSHLPVINVGISVKGSWDDLVEGHNELSVSTLTADKRDDNKWIKLHADQEYVLQVSLQRVHFGFHKGKPESCAVTPRFPKSKDEGWFLILGEVDKRELIALKRVGYIRNHHVASLSFYTPEIPGRYIYTLYFMSDCYLGLDQQYDIYLNVTQASLSAQVNTKVSDSLTDLALK
+>DECOY_sp|Q8N3C0|ASCC3_HUMAN Activating signal cointegrator 1 complex subunit 3 OS=Homo sapiens OX=9606 GN=ASCC3 PE=1 SV=3
+KLALDTLSDSVKTNVQASLSAQTVNLYIDYQQDLGLYCDSMFYLTYIYRGPIEPTYFSLSAVHHNRIYGVRKLAILERKDVEGLILFWGEDKSKPFRPTVACSEPKGKHFGFHVRQLSVQLVYEQDAHLKIWKNDDRKDATLTSVSLENHGEVLDDWSGKVSIGVNIVPLHSLFNWAQKTKAAHLESEVMSSFVHDKGGCAHILEPLSEISTRGRAHPGKMIPKWKKFLHLHHNEINPLTLLSSDKLWRGQIVMQILNTINLVTVLWGQNAAVDLMAQCVRLAQDLVTKTDTDYDPCPLMARSLHAQLLLHAKTHPSDFSHPNSEIPLCKALESNMHDENHRVPLDTYEEADSLISLLEETSCEPKLRDKFMKVTQHKLYYYSAIRGYTLPEISRNDEGIEICYSLELEILSKEILHSLFKNVSDHSVDGLNYYSPNMILRRFFYTWTIYDLADQKSTITGGAIEANLHDSLVGLLSSEVPFPEYLFKKYFDKKIDHVLIVAKGQDDFQPRGARGMMQLVDTIPFDVYRRTKGDYYETGKIIVLHAPFNVGWALTSTAILVQVKCNVFLEEVTKRDREHLGAHHMGIGFALTLKLNSDRVTAIINEMEREDMNLWQKPDEETALFAILELATLRTQRRSSVFILVPKAPSHSRIAQFAPKNMSAMRPCYHQGPFGQIHVELPVPRVSPRFNFLGMQKINLWDALDRANALATSLGVIRVPKETHSSIFNTRSVIVELVPGREEGLLHIEDIILITVQQVYNRNQWSRSVGDWKEPTTVILDAKAISKMDPTVDGTLEIVKKGLKEEIRVKWDDMRERVLAKLPAIYVAKSTPYKNFVRFIALEAAVTKGSGTPAGLLVNCDTHYLTHFIQTQVPNFHSFNYLAEYAKCGLATIPLPQLDLLETHPPHREPLILHQFNIICVAEAGLWRDSVARIYYQSPLPEFIPITFVLLQAEKSIVQKKLALFYESHYIHDNTPDEVWIWWPEGVTGHVQDNWTFDAYISLTVRLVTRTIPQISAEMMVSPIQHVCQKVKLGINVHHLIHGIEDKRMDKLKDVTLKKEELRTLIHPPLISFQRLPSAWGWLRKDIVKSLNLLRYTMTPWRKRLAIEFLARVIRAANQAVYASDSILSFSDMEGRSIYTQLLINIKGYSNEVGGPTSLECFNSLLTDLEEIEEERVKIQDFEEAKSVIAFIDGETKHADFLENFTEITNYKIYYHSATRGLDTSSFYGTREEFRIMQAKDLKRGVEIVLQERHKRLTPDIQYAKHSIGYALPNARMRVYLYTYSIWKVAEEVNTVTGLAIEANLNDALSELFQSEIPNRQTLLTLYHSLKDHTTIIIGEGFKDFQPRGARGFIQMVDLIGLDVFSGRKAAYIQTGKIIVAHAPLNVGWALTATCVLVKIHGNSFLNEVLNRDQRLMGAHHISFGDPFLERVQKNRSRQVQKEALVYDHGQTPFFFPIHGCNKAREILSMATRVTANRAHVFVMVQHGAKVQKLVNEYCVEDMNNLQQMKNACKIGLFTQGLPVPRFRGDFFFLGIYPNVHLFTAVDLYNPLTASLGLIRIMSQTSEVQRLTRAVISELVPGRDEHLLHVEDLILLRVIQSLAVDGVSKRTVVDWKEPTTVLMQTRLIESKSLQMDGTLEKVIIGLPELRRSFYDTMEAALAKMPAVYVIKFENKKIVGQQFHQRIEHLVTLMAINTKGAGTPACILMNENTNYATEFVISQIRNLRKMGKFALQGIEDLDQIYVPKEEFSLPMPESYPIRVEEYLKNNERQIGEPLIMKAGAIFASTKMAEAQSDYVHPYHIKEVDADRQRSLIPVSRANLLAQERQIRLEKPDFCMLGESVELDEGAKKERRAIRKEERRYQKMLQKEQESQITVQCGYNPKANEGLIKKCNDQLAQFRHDNSSNLFRDVITIRNQLLKEILELGEPGLLEFLEDQLEDGSKISALMDYLTCCLDEVRPVETMEKLTSNLYKEVECWLFSGNTKEVPKLEPTCAEQLHENLFKKYDLSITKQTEGNIPLEDFHDLDHMDFSFALNKGFFVRDGHEKETMQVLATLDDQSFHSIIRNTANCAATASSSPFPGFMQKIAKTEKHGVSDKLHFTMFLFAAGSEIAERGNDTGVIQKAAHLIDKLDENISQMKSKELKENLFKIIKKWTLGLDLVQEHLKSRKIKLDAVEENYNDQKTVNSFSRLAGTLRPLAM
+>sp|P50553|ASCL1_HUMAN Achaete-scute homolog 1 OS=Homo sapiens OX=9606 GN=ASCL1 PE=1 SV=2
+MESSAKMESGGAGQQPQPQPQQPFLPPAACFFATAAAAAAAAAAAAAQSAQQQQQQQQQQQQAPQLRPAADGQPSGGGHKSAPKQVKRQRSSSPELMRCKRRLNFSGFGYSLPQQQPAAVARRNERERNRVKLVNLGFATLREHVPNGAANKKMSKVETLRSAVEYIRALQQLLDEHDAVSAAFQAGVLSPTISPNYSNDLNSMAGSPVSSYSSDEGSYDPLSPEEQELLDFTNWF
+>DECOY_sp|P50553|ASCL1_HUMAN Achaete-scute homolog 1 OS=Homo sapiens OX=9606 GN=ASCL1 PE=1 SV=2
+FWNTFDLLEQEEPSLPDYSGEDSSYSSVPSGAMSNLDNSYNPSITPSLVGAQFAASVADHEDLLQQLARIYEVASRLTEVKSMKKNAAGNPVHERLTAFGLNVLKVRNRERENRRAVAAPQQQPLSYGFGSFNLRRKCRMLEPSSSRQRKVQKPASKHGGGSPQGDAAPRLQPAQQQQQQQQQQQQASQAAAAAAAAAAAAATAFFCAAPPLFPQQPQPQPQQGAGGSEMKASSEM
+>sp|Q6XD76|ASCL4_HUMAN Achaete-scute homolog 4 OS=Homo sapiens OX=9606 GN=ASCL4 PE=1 SV=1
+METRKPAERLALPYSLRTAPLGVPGTLPGLPRRDPLRVALRLDAACWEWARSGCARGWQYLPVPLDSAFEPAFLRKRNERERQRVRCVNEGYARLRDHLPRELADKRLSKVETLRAAIDYIKHLQELLERQAWGLEGAAGAVPQRRAECNSDGESKASSAPSPSSEPEEGGS
+>DECOY_sp|Q6XD76|ASCL4_HUMAN Achaete-scute homolog 4 OS=Homo sapiens OX=9606 GN=ASCL4 PE=1 SV=1
+SGGEEPESSPSPASSAKSEGDSNCEARRQPVAGAAGELGWAQRELLEQLHKIYDIAARLTEVKSLRKDALERPLHDRLRAYGENVCRVRQRERENRKRLFAPEFASDLPVPLYQWGRACGSRAWEWCAADLRLAVRLPDRRPLGPLTGPVGLPATRLSYPLALREAPKRTEM
+>sp|Q9ULZ3|ASC_HUMAN Apoptosis-associated speck-like protein containing a CARD OS=Homo sapiens OX=9606 GN=PYCARD PE=1 SV=2
+MGRARDAILDALENLTAEELKKFKLKLLSVPLREGYGRIPRGALLSMDALDLTDKLVSFYLETYGAELTANVLRDMGLQEMAGQLQAATHQGSGAAPAGIQAPPQSAAKPGLHFIDQHRAALIARVTNVEWLLDALYGKVLTDEQYQAVRAEPTNPSKMRKLFSFTPAWNWTCKDLLLQALRESQSYLVEDLERS
+>DECOY_sp|Q9ULZ3|ASC_HUMAN Apoptosis-associated speck-like protein containing a CARD OS=Homo sapiens OX=9606 GN=PYCARD PE=1 SV=2
+SRELDEVLYSQSERLAQLLLDKCTWNWAPTFSFLKRMKSPNTPEARVAQYQEDTLVKGYLADLLWEVNTVRAILAARHQDIFHLGPKAASQPPAQIGAPAAGSGQHTAAQLQGAMEQLGMDRLVNATLEAGYTELYFSVLKDTLDLADMSLLAGRPIRGYGERLPVSLLKLKFKKLEEATLNELADLIADRARGM
+>sp|Q92485|ASM3B_HUMAN Acid sphingomyelinase-like phosphodiesterase 3b OS=Homo sapiens OX=9606 GN=SMPDL3B PE=1 SV=2
+MRLLAWLIFLANWGGARAEPGKFWHIADLHLDPDYKVSKDPFQVCPSAGSQPVPDAGPWGDYLCDSPWALINSSIYAMKEIEPEPDFILWTGDDTPHVPDEKLGEAAVLEIVERLTKLIREVFPDTKVYAALGNHDFHPKNQFPAGSNNIYNQIAELWKPWLSNESIALFKKGAFYCEKLPGPSGAGRIVVLNTNLYYTSNALTADMADPGQQFQWLEDVLTDASKAGDMVYIVGHVPPGFFEKTQNKAWFREGFNEKYLKVVRKHHRVIAGQFFGHHHTDSFRMLYDDAGVPISAMFITPGVTPWKTTLPGVVNGANNPAIRVFEYDRATLSLKDMVTYFMNLSQANAQGTPRWELEYQLTEAYGVPDASAHSMHTVLDRIAGDQSTLQRYYVYNSVSYSAGVCDEACSMQHVCAMRQVDIDAYTTCLYASGTTPVPQLPLLLMALLGLCTLVL
+>DECOY_sp|Q92485|ASM3B_HUMAN Acid sphingomyelinase-like phosphodiesterase 3b OS=Homo sapiens OX=9606 GN=SMPDL3B PE=1 SV=2
+LVLTCLGLLAMLLLPLQPVPTTGSAYLCTTYADIDVQRMACVHQMSCAEDCVGASYSVSNYVYYRQLTSQDGAIRDLVTHMSHASADPVGYAETLQYELEWRPTGQANAQSLNMFYTVMDKLSLTARDYEFVRIAPNNAGNVVGPLTTKWPTVGPTIFMASIPVGADDYLMRFSDTHHHGFFQGAIVRHHKRVVKLYKENFGERFWAKNQTKEFFGPPVHGVIYVMDGAKSADTLVDELWQFQQGPDAMDATLANSTYYLNTNLVVIRGAGSPGPLKECYFAGKKFLAISENSLWPKWLEAIQNYINNSGAPFQNKPHFDHNGLAAYVKTDPFVERILKTLREVIELVAAEGLKEDPVHPTDDGTWLIFDPEPEIEKMAYISSNILAWPSDCLYDGWPGADPVPQSGASPCVQFPDKSVKYDPDLHLDAIHWFKGPEARAGGWNALFILWALLRM
+>sp|O95671|ASML_HUMAN N-acetylserotonin O-methyltransferase-like protein OS=Homo sapiens OX=9606 GN=ASMTL PE=1 SV=3
+MVLCPVIGKLLHKRVVLASASPRRQEILSNAGLRFEVVPSKFKEKLDKASFATPYGYAMETAKQKALEVANRLYQKDLRAPDVVIGADTIVTVGGLILEKPVDKQDAYRMLSRLSGREHSVFTGVAIVHCSSKDHQLDTRVSEFYEETKVKFSELSEELLWEYVHSGEPMDKAGGYGIQALGGMLVESVHGDFLNVVGFPLNHFCKQLVKLYYPPRPEDLRRSVKHDSIPAADTFEDLSDVEGGGSEPTQRDAGSRDEKAEAGEAGQATAEAECHRTRETLPPFPTRLLELIEGFMLSKGLLTACKLKVFDLLKDEAPQKAADIASKVDASACGMERLLDICAAMGLLEKTEQGYSNTETANVYLASDGEYSLHGFIMHNNDLTWNLFTYLEFAIREGTNQHHRALGKKAEDLFQDAYYQSPETRLRFMRAMHGMTKLTACQVATAFNLSRFSSACDVGGCTGALARELAREYPRMQVTVFDLPDIIELAAHFQPPGPQAVQIHFAAGDFFRDPLPSAELYVLCRILHDWPDDKVHKLLSRVAESCKPGAGLLLVETLLDEEKRVAQRALMQSLNMLVQTEGKERSLGEYQCLLELHGFHQVQVVHLGGVLDAILATKVAP
+>DECOY_sp|O95671|ASML_HUMAN N-acetylserotonin O-methyltransferase-like protein OS=Homo sapiens OX=9606 GN=ASMTL PE=1 SV=3
+PAVKTALIADLVGGLHVVQVQHFGHLELLCQYEGLSREKGETQVLMNLSQMLARQAVRKEEDLLTEVLLLGAGPKCSEAVRSLLKHVKDDPWDHLIRCLVYLEASPLPDRFFDGAAFHIQVAQPGPPQFHAALEIIDPLDFVTVQMRPYERALERALAGTCGGVDCASSFRSLNFATAVQCATLKTMGHMARMFRLRTEPSQYYADQFLDEAKKGLARHHQNTGERIAFELYTFLNWTLDNNHMIFGHLSYEGDSALYVNATETNSYGQETKELLGMAACIDLLREMGCASADVKSAIDAAKQPAEDKLLDFVKLKCATLLGKSLMFGEILELLRTPFPPLTERTRHCEAEATAQGAEGAEAKEDRSGADRQTPESGGGEVDSLDEFTDAAPISDHKVSRRLDEPRPPYYLKVLQKCFHNLPFGVVNLFDGHVSEVLMGGLAQIGYGGAKDMPEGSHVYEWLLEESLESFKVKTEEYFESVRTDLQHDKSSCHVIAVGTFVSHERGSLRSLMRYADQKDVPKELILGGVTVITDAGIVVDPARLDKQYLRNAVELAKQKATEMAYGYPTAFSAKDLKEKFKSPVVEFRLGANSLIEQRRPSASALVVRKHLLKGIVPCLVM
+>sp|O43681|ASNA_HUMAN ATPase ASNA1 OS=Homo sapiens OX=9606 GN=ASNA1 PE=1 SV=2
+MAAGVAGWGVEAEEFEDAPDVEPLEPTLSNIIEQRSLKWIFVGGKGGVGKTTCSCSLAVQLSKGRESVLIISTDPAHNISDAFDQKFSKVPTKVKGYDNLFAMEIDPSLGVAELPDEFFEEDNMLSMGKKMMQEAMSAFPGIDEAMSYAEVMRLVKGMNFSVVVFDTAPTGHTLRLLNFPTIVERGLGRLMQIKNQISPFISQMCNMLGLGDMNADQLASKLEETLPVIRSVSEQFKDPEQTTFICVCIAEFLSLYETERLIQELAKCKIDTHNIIVNQLVFPDPEKPCKMCEARHKIQAKYLDQMEDLYEDFHIVKLPLLPHEVRGADKVNTFSALLLEPYKPPSAQ
+>DECOY_sp|O43681|ASNA_HUMAN ATPase ASNA1 OS=Homo sapiens OX=9606 GN=ASNA1 PE=1 SV=2
+QASPPKYPELLLASFTNVKDAGRVEHPLLPLKVIHFDEYLDEMQDLYKAQIKHRAECMKCPKEPDPFVLQNVIINHTDIKCKALEQILRETEYLSLFEAICVCIFTTQEPDKFQESVSRIVPLTEELKSALQDANMDGLGLMNCMQSIFPSIQNKIQMLRGLGREVITPFNLLRLTHGTPATDFVVVSFNMGKVLRMVEAYSMAEDIGPFASMAEQMMKKGMSLMNDEEFFEDPLEAVGLSPDIEMAFLNDYGKVKTPVKSFKQDFADSINHAPDTSIILVSERGKSLQVALSCSCTTKGVGGKGGVFIWKLSRQEIINSLTPELPEVDPADEFEEAEVGWGAVGAAM
+>sp|P20933|ASPG_HUMAN N(4)-(beta-N-acetylglucosaminyl)-L-asparaginase OS=Homo sapiens OX=9606 GN=AGA PE=1 SV=2
+MARKSNLPVLLVPFLLCQALVRCSSPLPLVVNTWPFKNATEAAWRALASGGSALDAVESGCAMCEREQCDGSVGFGGSPDELGETTLDAMIMDGTTMDVGAVGDLRRIKNAIGVARKVLEHTTHTLLVGESATTFAQSMGFINEDLSTTASQALHSDWLARNCQPNYWRNVIPDPSKYCGPYKPPGILKQDIPIHKETEDDRGHDTIGMVVIHKTGHIAAGTSTNGIKFKIHGRVGDSPIPGAGAYADDTAGAAAATGNGDILMRFLPSYQAVEYMRRGEDPTIACQKVISRIQKHFPEFFGAVICANVTGSYGAACNKLSTFTQFSFMVYNSEKNQPTEEKVDCI
+>DECOY_sp|P20933|ASPG_HUMAN N(4)-(beta-N-acetylglucosaminyl)-L-asparaginase OS=Homo sapiens OX=9606 GN=AGA PE=1 SV=2
+ICDVKEETPQNKESNYVMFSFQTFTSLKNCAAGYSGTVNACIVAGFFEPFHKQIRSIVKQCAITPDEGRRMYEVAQYSPLFRMLIDGNGTAAAAGATDDAYAGAGPIPSDGVRGHIKFKIGNTSTGAAIHGTKHIVVMGITDHGRDDETEKHIPIDQKLIGPPKYPGCYKSPDPIVNRWYNPQCNRALWDSHLAQSATTSLDENIFGMSQAFTTASEGVLLTHTTHELVKRAVGIANKIRRLDGVAGVDMTTGDMIMADLTTEGLEDPSGGFGVSGDCQERECMACGSEVADLASGGSALARWAAETANKFPWTNVVLPLPSSCRVLAQCLLFPVLLVPLNSKRAM
+>sp|Q6ICH7|ASPH2_HUMAN Aspartate beta-hydroxylase domain-containing protein 2 OS=Homo sapiens OX=9606 GN=ASPHD2 PE=2 SV=1
+MVWAPLGPPRTDCLTLLHTPSKDSPKMSLEWLVAWSWSLDGLRDCIATGIQSVRDCDTTAVITVACLLVLFVWYCYHVGREQPRPYVSVNSLMQAADANGLQNGYVYCQSPECVRCTHNEGLNQKLYHNLQEYAKRYSWSGMGRIHKGIREQGRYLNSRPSIQKPEVFFLPDLPTTPYFSRDAQKHDVEVLERNFQTILCEFETLYKAFSNCSLPQGWKMNSTPSGEWFTFYLVNQGVCVPRNCRKCPRTYRLLGSLRTCIGNNVFGNACISVLSPGTVITEHYGPTNIRIRCHLGLKTPNGCELVVGGEPQCWAEGRCLLFDDSFLHAAFHEGSAEDGPRVVFMVDLWHPNVAAAERQALDFIFAPGR
+>DECOY_sp|Q6ICH7|ASPH2_HUMAN Aspartate beta-hydroxylase domain-containing protein 2 OS=Homo sapiens OX=9606 GN=ASPHD2 PE=2 SV=1
+RGPAFIFDLAQREAAAVNPHWLDVMFVVRPGDEASGEHFAAHLFSDDFLLCRGEAWCQPEGGVVLECGNPTKLGLHCRIRINTPGYHETIVTGPSLVSICANGFVNNGICTRLSGLLRYTRPCKRCNRPVCVGQNVLYFTFWEGSPTSNMKWGQPLSCNSFAKYLTEFECLITQFNRELVEVDHKQADRSFYPTTPLDPLFFVEPKQISPRSNLYRGQERIGKHIRGMGSWSYRKAYEQLNHYLKQNLGENHTCRVCEPSQCYVYGNQLGNADAAQMLSNVSVYPRPQERGVHYCYWVFLVLLCAVTIVATTDCDRVSQIGTAICDRLGDLSWSWAVLWELSMKPSDKSPTHLLTLCDTRPPGLPAWVM
+>sp|P26436|ASPX_HUMAN Acrosomal protein SP-10 OS=Homo sapiens OX=9606 GN=ACRV1 PE=1 SV=2
+MNRFLLLMSLYLLGSARGTSSQPNELSGSIDHQTSVQQLPGEFFSLENPSDAEALYETSSGLNTLSEHGSSEHGSSKHTVAEHTSGEHAESEHASGEPAATEHAEGEHTVGEQPSGEQPSGEHLSGEQPLSELESGEQPSDEQPSGEHGSGEQPSGEQASGEQPSGEHASGEQASGAPISSTSTGTILNCYTCAYMNDQGKCLRGEGTCITQNSQQCMLKKIFEGGKLQFMVQGCENMCPSMNLFSHGTRMQIICCRNQSFCNKI
+>DECOY_sp|P26436|ASPX_HUMAN Acrosomal protein SP-10 OS=Homo sapiens OX=9606 GN=ACRV1 PE=1 SV=2
+IKNCFSQNRCCIIQMRTGHSFLNMSPCMNECGQVMFQLKGGEFIKKLMCQQSNQTICTGEGRLCKGQDNMYACTYCNLITGTSTSSIPAGSAQEGSAHEGSPQEGSAQEGSPQEGSGHEGSPQEDSPQEGSELESLPQEGSLHEGSPQEGSPQEGVTHEGEAHETAAPEGSAHESEAHEGSTHEAVTHKSSGHESSGHESLTNLGSSTEYLAEADSPNELSFFEGPLQQVSTQHDISGSLENPQSSTGRASGLLYLSMLLLFRNM
+>sp|P00966|ASSY_HUMAN Argininosuccinate synthase OS=Homo sapiens OX=9606 GN=ASS1 PE=1 SV=2
+MSSKGSVVLAYSGGLDTSCILVWLKEQGYDVIAYLANIGQKEDFEEARKKALKLGAKKVFIEDVSREFVEEFIWPAIQSSALYEDRYLLGTSLARPCIARKQVEIAQREGAKYVSHGATGKGNDQVRFELSCYSLAPQIKVIAPWRMPEFYNRFKGRNDLMEYAKQHGIPIPVTPKNPWSMDENLMHISYEAGILENPKNQAPPGLYTKTQDPAKAPNTPDILEIEFKKGVPVKVTNVKDGTTHQTSLELFMYLNEVAGKHGVGRIDIVENRFIGMKSRGIYETPAGTILYHAHLDIEAFTMDREVRKIKQGLGLKFAELVYTGFWHSPECEFVRHCIAKSQERVEGKVQVSVLKGQVYILGRESPLSLYNEELVSMNVQGDYEPTDATGFININSLRLKEYHRLQSKVTAK
+>DECOY_sp|P00966|ASSY_HUMAN Argininosuccinate synthase OS=Homo sapiens OX=9606 GN=ASS1 PE=1 SV=2
+KATVKSQLRHYEKLRLSNINIFGTADTPEYDGQVNMSVLEENYLSLPSERGLIYVQGKLVSVQVKGEVREQSKAICHRVFECEPSHWFGTYVLEAFKLGLGQKIKRVERDMTFAEIDLHAHYLITGAPTEYIGRSKMGIFRNEVIDIRGVGHKGAVENLYMFLELSTQHTTGDKVNTVKVPVGKKFEIELIDPTNPAKAPDQTKTYLGPPAQNKPNELIGAEYSIHMLNEDMSWPNKPTVPIPIGHQKAYEMLDNRGKFRNYFEPMRWPAIVKIQPALSYCSLEFRVQDNGKGTAGHSVYKAGERQAIEVQKRAICPRALSTGLLYRDEYLASSQIAPWIFEEVFERSVDEIFVKKAGLKLAKKRAEEFDEKQGINALYAIVDYGQEKLWVLICSTDLGGSYALVVSGKSSM
+>sp|L0R819|ASURF_HUMAN ASNSD1 upstream open reading frame protein OS=Homo sapiens OX=9606 GN=ASDURF PE=1 SV=1
+MPSRGTRPEDSSVLIPTDNSTPHKEDLSSKIKEQKIVVDELSNLKKNRKVYRQQQNSNIFFLADRTEMLSESKNILDELKKEYQEIENLDKTKIKK
+>DECOY_sp|L0R819|ASURF_HUMAN ASNSD1 upstream open reading frame protein OS=Homo sapiens OX=9606 GN=ASDURF PE=1 SV=1
+KKIKTKDLNEIEQYEKKLEDLINKSESLMETRDALFFINSNQQQRYVKRNKKLNSLEDVVIKQEKIKSSLDEKHPTSNDTPILVSSDEPRTGRSPM
+>sp|Q76L83|ASXL2_HUMAN Putative Polycomb group protein ASXL2 OS=Homo sapiens OX=9606 GN=ASXL2 PE=1 SV=1
+MREKGRRKKGRTWAEAAKTVLEKYPNTPMSHKEILQVIQREGLKEIRSGTSPLACLNAMLHTNSRGEEGIFYKVPGRMGVYTLKKDVPDGVKELSEGSEESSDGQSDSQSSENSSSSSDGGSNKEGKKSRWKRKVSSSSPQSGCPSPTIPAGKVISPSQKHSKKALKQALKQQQQKKQQQQCRPSISISSNQHLSLKTVKAASDSVPAKPATWEGKQSDGQTGSPQNSNSSFSSSVKVENTLLGLGKKSFQRSERLHTRQMKRTKCADIDVETPDSILVNTNLRALINKHTFSVLPGDCQQRLLLLLPEVDRQVGPDGLMKLNGSALNNEFFTSAAQGWKERLSEGEFTPEMQVRIRQEIEKEKKVEPWKEQFFESYYGQSSGLSLEDSKKLTASPSDPKVKKTPAEQPKSMPVSEASLIRIVPVVSQSECKEEALQMSSPGRKEECESQGEVQPNFSTSSEPLLSSALNTHELSSILPIKCPKDEDLLEQKPVTSAEQESEKNHLTTASNYNKSESQESLVTSPSKPKSPGVEKPIVKPTAGAGPQETNMKEPLATLVDQSPESLKRKSSLTQEEAPVSWEKRPRVTENRQHQQPFQVSPQPFLNRGDRIQVRKVPPLKIPVSRISPMPFHPSQVSPRARFPVSITSPNRTGARTLADIKAKAQLVKAQRAAAAAAAAAAAAASVGGTIPGPGPGGGQGPGEGGEGQTARGGSPGSDRVSETGKGPTLELAGTGSRGGTRELLPCGPETQPQSETKTTPSQAQPHSVSGAQLQQTPPVPPTPAVSGACTSVPSPAHIEKLDNEKLNPTRATATVASVSHPQGPSSCRQEKAPSPTGPALISGASPVHCAADGTVELKAGPSKNIPNPSASSKTDASVPVAVTPSPLTSLLTTATLEKLPVPQVSATTAPAGSAPPSSTLPAASSLKTPGTSLNMNGPTLRPTSSIPANNPLVTQLLQGKDVPMEQILPKPLTKVEMKTVPLTAKEERGMGALIATNTTENSTREEVNERQSHPATQQQLGKTLQSKQLPQVPRPLQLFSAKELRDSSIDTHQYHEGLSKATQDQILQTLIQRVRRQNLLSVVPPSQFNFAHSGFQLEDISTSQRFMLGFAGRRTSKPAMAGHYLLNISTYGRGSESFRRTHSVNPEDRFCLSSPTEALKMGYTDCKNATGESSSSKEDDTDEESTGDEQESVTVKEEPQVSQSAGKGDTSSGPHSRETLSTSDCLASKNVKAEIPLNEQTTLSKENYLFTRGQTFDEKTLARDLIQAAQKQMAHAVRGKAIRSSPELFSSTVLPLPADSPTHQPLLLPPLQTPKLYGSPTQIGPSYRGMINVSTSSDMDHNSAVPGSQVSSNVGDVMSFSVTVTTIPASQAMNPSSHGQTIPVQAFSEENSIEGTPSKCYCRLKAMIMCKGCGAFCHDDCIGPSKLCVSCLVVR
+>DECOY_sp|Q76L83|ASXL2_HUMAN Putative Polycomb group protein ASXL2 OS=Homo sapiens OX=9606 GN=ASXL2 PE=1 SV=1
+RVVLCSVCLKSPGICDDHCFAGCGKCMIMAKLRCYCKSPTGEISNEESFAQVPITQGHSSPNMAQSAPITTVTVSFSMVDGVNSSVQSGPVASNHDMDSSTSVNIMGRYSPGIQTPSGYLKPTQLPPLLLPQHTPSDAPLPLVTSSFLEPSSRIAKGRVAHAMQKQAAQILDRALTKEDFTQGRTFLYNEKSLTTQENLPIEAKVNKSALCDSTSLTERSHPGSSTDGKGASQSVQPEEKVTVSEQEDGTSEEDTDDEKSSSSEGTANKCDTYGMKLAETPSSLCFRDEPNVSHTRRFSESGRGYTSINLLYHGAMAPKSTRRGAFGLMFRQSTSIDELQFGSHAFNFQSPPVVSLLNQRRVRQILTQLIQDQTAKSLGEHYQHTDISSDRLEKASFLQLPRPVQPLQKSQLTKGLQQQTAPHSQRENVEERTSNETTNTAILAGMGREEKATLPVTKMEVKTLPKPLIQEMPVDKGQLLQTVLPNNAPISSTPRLTPGNMNLSTGPTKLSSAAPLTSSPPASGAPATTASVQPVPLKELTATTLLSTLPSPTVAVPVSADTKSSASPNPINKSPGAKLEVTGDAACHVPSAGSILAPGTPSPAKEQRCSSPGQPHSVSAVTATARTPNLKENDLKEIHAPSPVSTCAGSVAPTPPVPPTQQLQAGSVSHPQAQSPTTKTESQPQTEPGCPLLERTGGRSGTGALELTPGKGTESVRDSGPSGGRATQGEGGEGPGQGGGPGPGPITGGVSAAAAAAAAAAAAARQAKVLQAKAKIDALTRAGTRNPSTISVPFRARPSVQSPHFPMPSIRSVPIKLPPVKRVQIRDGRNLFPQPSVQFPQQHQRNETVRPRKEWSVPAEEQTLSSKRKLSEPSQDVLTALPEKMNTEQPGAGATPKVIPKEVGPSKPKSPSTVLSEQSESKNYNSATTLHNKESEQEASTVPKQELLDEDKPCKIPLISSLEHTNLASSLLPESSTSFNPQVEGQSECEEKRGPSSMQLAEEKCESQSVVPVIRILSAESVPMSKPQEAPTKKVKPDSPSATLKKSDELSLGSSQGYYSEFFQEKWPEVKKEKEIEQRIRVQMEPTFEGESLREKWGQAASTFFENNLASGNLKMLGDPGVQRDVEPLLLLLRQQCDGPLVSFTHKNILARLNTNVLISDPTEVDIDACKTRKMQRTHLRESRQFSKKGLGLLTNEVKVSSSFSSNSNQPSGTQGDSQKGEWTAPKAPVSDSAAKVTKLSLHQNSSISISPRCQQQQKKQQQQKLAQKLAKKSHKQSPSIVKGAPITPSPCGSQPSSSSVKRKWRSKKGEKNSGGDSSSSSNESSQSDSQGDSSEESGESLEKVGDPVDKKLTYVGMRGPVKYFIGEEGRSNTHLMANLCALPSTGSRIEKLGERQIVQLIEKHSMPTNPYKELVTKAAEAWTRGKKRRGKERM
+>sp|P98196|AT11A_HUMAN Probable phospholipid-transporting ATPase IH OS=Homo sapiens OX=9606 GN=ATP11A PE=1 SV=3
+MDCSLVRTLVHRYCAGEENWVDSRTIYVGHREPPPGAEAYIPQRYPDNRIVSSKYTFWNFIPKNLFEQFRRVANFYFLIIFLVQLIIDTPTSPVTSGLPLFFVITVTAIKQGYEDWLRHKADNAMNQCPVHFIQHGKLVRKQSRKLRVGDIVMVKEDETFPCDLIFLSSNRGDGTCHVTTASLDGESSHKTHYAVQDTKGFHTEEDIGGLHATIECEQPQPDLYKFVGRINVYSDLNDPVVRPLGSENLLLRGATLKNTEKIFGVAIYTGMETKMALNYQSKSQKRSAVEKSMNAFLIVYLCILISKALINTVLKYMWQSEPFRDEPWYNQKTESERQRNLFLKAFTDFLAFMVLFNYIIPVSMYVTVEMQKFLGSYFITWDEDMFDEETGEGPLVNTSDLNEELGQVEYIFTDKTGTLTENNMEFKECCIEGHVYVPHVICNGQVLPESSGIDMIDSSPSVNGREREELFFRALCLCHTVQVKDDDSVDGPRKSPDGGKSCVYISSSPDEVALVEGVQRLGFTYLRLKDNYMEILNRENHIERFELLEILSFDSVRRRMSVIVKSATGEIYLFCKGADSSIFPRVIEGKVDQIRARVERNAVEGLRTLCVAYKRLIQEEYEGICKLLQAAKVALQDREKKLAEAYEQIEKDLTLLGATAVEDRLQEKAADTIEALQKAGIKVWVLTGDKMETAAATCYACKLFRRNTQLLELTTKRIEEQSLHDVLFELSKTVLRHSGSLTRDNLSGLSADMQDYGLIIDGAALSLIMKPREDGSSGNYRELFLEICRSCSAVLCCRMAPLQKAQIVKLIKFSKEHPITLAIGDGANDVSMILEAHVGIGVIGKEGRQAARNSDYAIPKFKHLKKMLLVHGHFYYIRISELVQYFFYKNVCFIFPQFLYQFFCGFSQQTLYDTAYLTLYNISFTSLPILLYSLMEQHVGIDVLKRDPTLYRDVAKNALLRWRVFIYWTLLGLFDALVFFFGAYFVFENTTVTSNGQIFGNWTFGTLVFTVMVFTVTLKLALDTHYWTWINHFVIWGSLLFYVVFSLLWGGVIWPFLNYQRMYYVFIQMLSSGPAWLAIVLLVTISLLPDVLKKVLCRQLWPTATERVQTKSQCLSVEQSTIFMLSQTSSSLSF
+>DECOY_sp|P98196|AT11A_HUMAN Probable phospholipid-transporting ATPase IH OS=Homo sapiens OX=9606 GN=ATP11A PE=1 SV=3
+FSLSSSTQSLMFITSQEVSLCQSKTQVRETATPWLQRCLVKKLVDPLLSITVLLVIALWAPGSSLMQIFVYYMRQYNLFPWIVGGWLLSFVVYFLLSGWIVFHNIWTWYHTDLALKLTVTFVMVTFVLTGFTWNGFIQGNSTVTTNEFVFYAGFFFVLADFLGLLTWYIFVRWRLLANKAVDRYLTPDRKLVDIGVHQEMLSYLLIPLSTFSINYLTLYATDYLTQQSFGCFFQYLFQPFIFCVNKYFFYQVLESIRIYYFHGHVLLMKKLHKFKPIAYDSNRAAQRGEKGIVGIGVHAELIMSVDNAGDGIALTIPHEKSFKILKVIQAKQLPAMRCCLVASCSRCIELFLERYNGSSGDERPKMILSLAAGDIILGYDQMDASLGSLNDRTLSGSHRLVTKSLEFLVDHLSQEEIRKTTLELLQTNRRFLKCAYCTAAATEMKDGTLVWVKIGAKQLAEITDAAKEQLRDEVATAGLLTLDKEIQEYAEALKKERDQLAVKAAQLLKCIGEYEEQILRKYAVCLTRLGEVANREVRARIQDVKGEIVRPFISSDAGKCFLYIEGTASKVIVSMRRRVSDFSLIELLEFREIHNERNLIEMYNDKLRLYTFGLRQVGEVLAVEDPSSSIYVCSKGGDPSKRPGDVSDDDKVQVTHCLCLARFFLEERERGNVSPSSDIMDIGSSEPLVQGNCIVHPVYVHGEICCEKFEMNNETLTGTKDTFIYEVQGLEENLDSTNVLPGEGTEEDFMDEDWTIFYSGLFKQMEVTVYMSVPIIYNFLVMFALFDTFAKLFLNRQRESETKQNYWPEDRFPESQWMYKLVTNILAKSILICLYVILFANMSKEVASRKQSKSQYNLAMKTEMGTYIAVGFIKETNKLTAGRLLLNESGLPRVVPDNLDSYVNIRGVFKYLDPQPQECEITAHLGGIDEETHFGKTDQVAYHTKHSSEGDLSATTVHCTGDGRNSSLFILDCPFTEDEKVMVIDGVRLKRSQKRVLKGHQIFHVPCQNMANDAKHRLWDEYGQKIATVTIVFFLPLGSTVPSTPTDIILQVLFIILFYFNAVRRFQEFLNKPIFNWFTYKSSVIRNDPYRQPIYAEAGPPPERHGVYITRSDVWNEEGACYRHVLTRVLSCDM
+>sp|Q8NB49|AT11C_HUMAN Phospholipid-transporting ATPase IG OS=Homo sapiens OX=9606 GN=ATP11C PE=1 SV=3
+MQMVPSLPPASECAGEEKRVGTRTVFVGNHPVSETEAYIAQRFCDNRIVSSKYTLWNFLPKNLFEQFRRIANFYFLIIFLVQVTVDTPTSPVTSGLPLFFVITVTAIKQGYEDCLRHRADNEVNKSTVYIIENAKRVRKESEKIKVGDVVEVQADETFPCDLILLSSCTTDGTCYVTTASLDGESNCKTHYAVRDTIALCTAESIDTLRAAIECEQPQPDLYKFVGRINIYSNSLEAVARSLGPENLLLKGATLKNTEKIYGVAVYTGMETKMALNYQGKSQKRSAVEKSINAFLIVYLFILLTKAAVCTTLKYVWQSTPYNDEPWYNQKTQKERETLKVLKMFTDFLSFMVLFNFIIPVSMYVTVEMQKFLGSFFISWDKDFYDEEINEGALVNTSDLNEELGQVDYVFTDKTGTLTENSMEFIECCIDGHKYKGVTQEVDGLSQTDGTLTYFDKVDKNREELFLRALCLCHTVEIKTNDAVDGATESAELTYISSSPDEIALVKGAKRYGFTFLGNRNGYMRVENQRKEIEEYELLHTLNFDAVRRRMSVIVKTQEGDILLFCKGADSAVFPRVQNHEIELTKVHVERNAMDGYRTLCVAFKEIAPDDYERINRQLIEAKMALQDREEKMEKVFDDIETNMNLIGATAVEDKLQDQAAETIEALHAAGLKVWVLTGDKMETAKSTCYACRLFQTNTELLELTTKTIEESERKEDRLHELLIEYRKKLLHEFPKSTRSFKKAWTEHQEYGLIIDGSTLSLILNSSQDSSSNNYKSIFLQICMKCTAVLCCRMAPLQKAQIVRMVKNLKGSPITLSIGDGANDVSMILESHVGIGIKGKEGRQAARNSDYSVPKFKHLKKLLLAHGHLYYVRIAHLVQYFFYKNLCFILPQFLYQFFCGFSQQPLYDAAYLTMYNICFTSLPILAYSLLEQHINIDTLTSDPRLYMKISGNAMLQLGPFLYWTFLAAFEGTVFFFGTYFLFQTASLEENGKVYGNWTFGTIVFTVLVFTVTLKLALDTRFWTWINHFVIWGSLAFYVFFSFFWGGIIWPFLKQQRMYFVFAQMLSSVSTWLAIILLIFISLFPEILLIVLKNVRRRSARRNLSCRRASDSLSARPSVRPLLLRTFSDESNVL
+>DECOY_sp|Q8NB49|AT11C_HUMAN Phospholipid-transporting ATPase IG OS=Homo sapiens OX=9606 GN=ATP11C PE=1 SV=3
+LVNSEDSFTRLLLPRVSPRASLSDSARRCSLNRRASRRRVNKLVILLIEPFLSIFILLIIALWTSVSSLMQAFVFYMRQQKLFPWIIGGWFFSFFVYFALSGWIVFHNIWTWFRTDLALKLTVTFVLVTFVITGFTWNGYVKGNEELSATQFLFYTGFFFVTGEFAALFTWYLFPGLQLMANGSIKMYLRPDSTLTDINIHQELLSYALIPLSTFCINYMTLYAADYLPQQSFGCFFQYLFQPLIFCLNKYFFYQVLHAIRVYYLHGHALLLKKLHKFKPVSYDSNRAAQRGEKGKIGIGVHSELIMSVDNAGDGISLTIPSGKLNKVMRVIQAKQLPAMRCCLVATCKMCIQLFISKYNNSSSDQSSNLILSLTSGDIILGYEQHETWAKKFSRTSKPFEHLLKKRYEILLEHLRDEKRESEEITKTTLELLETNTQFLRCAYCTSKATEMKDGTLVWVKLGAAHLAEITEAAQDQLKDEVATAGILNMNTEIDDFVKEMKEERDQLAMKAEILQRNIREYDDPAIEKFAVCLTRYGDMANREVHVKTLEIEHNQVRPFVASDAGKCFLLIDGEQTKVIVSMRRRVADFNLTHLLEYEEIEKRQNEVRMYGNRNGLFTFGYRKAGKVLAIEDPSSSIYTLEASETAGDVADNTKIEVTHCLCLARLFLEERNKDVKDFYTLTGDTQSLGDVEQTVGKYKHGDICCEIFEMSNETLTGTKDTFVYDVQGLEENLDSTNVLAGENIEEDYFDKDWSIFFSGLFKQMEVTVYMSVPIIFNFLVMFSLFDTFMKLVKLTEREKQTKQNYWPEDNYPTSQWVYKLTTCVAAKTLLIFLYVILFANISKEVASRKQSKGQYNLAMKTEMGTYVAVGYIKETNKLTAGKLLLNEPGLSRAVAELSNSYINIRGVFKYLDPQPQECEIAARLTDISEATCLAITDRVAYHTKCNSEGDLSATTVYCTGDTTCSSLLILDCPFTEDAQVEVVDGVKIKESEKRVRKANEIIYVTSKNVENDARHRLCDEYGQKIATVTIVFFLPLGSTVPSTPTDVTVQVLFIILFYFNAIRRFQEFLNKPLFNWLTYKSSVIRNDCFRQAIYAETESVPHNGVFVTRTGVRKEEGACESAPPLSPVMQM
+>sp|P54707|AT12A_HUMAN Potassium-transporting ATPase alpha chain 2 OS=Homo sapiens OX=9606 GN=ATP12A PE=1 SV=3
+MHQKTPEIYSVELSGTKDIVKTDKGDGKEKYRGLKNNCLELKKKNHKEEFQKELHLDDHKLSNRELEEKYGTDIIMGLSSTRAAELLARDGPNSLTPPKQTPEIVKFLKQMVGGFSILLWVGAFLCWIAYGIQYSSDKSASLNNVYLGCVLGLVVILTGIFAYYQEAKSTNIMSSFNKMIPQQALVIRDSEKKTIPSEQLVVGDIVEVKGGDQIPADIRVLSSQGCRVDNSSLTGESEPQPRSSEFTHENPLETKNICFYSTTCLEGTVTGMVINTGDRTIIGHIASLASGVGNEKTPIAIEIEHFVHIVAGVAVSIGILFFIIAVSLKYQVLDSIIFLIGIIVANVPEGLLATVTVTLSLTAKRMAKKNCLVKNLEAVETLGSTSIICSDKTGTLTQNRMTVAHLWFDNQIFVADTSEDHSNQVFDQSSRTWASLSKIITLCNRAEFKPGQENVPIMKKAVIGDASETALLKFSEVILGDVMEIRKRNRKVAEIPFNSTNKFQLSIHEMDDPHGKRFLMVMKGAPERILEKCSTIMINGEEHPLDKSTAKTFHTAYMELGGLGERVLGFCHLYLPADEFPETYSFDIDAMNFPTSNLCFVGLLSMIDPPRSTVPDAVTKCRSAGIKVIMVTGDHPITAKAIAKSVGIISANSETVEDIAHRLNIAVEQVNKRDAKAAVVTGMELKDMSSEQLDEILANYQEIVFARTSPQQKLIIVEGCQRQDAVVAVTGDGVNDSPALKKADIGIAMGIAGSDAAKNAADMVLLDDNFASIVTGVEEGRLIFDNLKKTIAYSLTKNIAELCPFLIYIIVGLPLPIGTITILFIDLGTDIIPSIALAYEKAESDIMNRKPRHKNKDRLVNQPLAVYSYLHIGLMQALGAFLVYFTVYAQEGFLPRTLINLRVEWEKDYVNDLKDSYGQEWTRYQREYLEWTGYTAFFVGILVQQIADLIIRKTRRNSIFQQGLFRNKVIWVGITSQIIIGLILSYGLGSVTALSFTMLRAQYWFVAVPHAILIWVYDEVRKLFIRLYPGSWWDKNMYY
+>DECOY_sp|P54707|AT12A_HUMAN Potassium-transporting ATPase alpha chain 2 OS=Homo sapiens OX=9606 GN=ATP12A PE=1 SV=3
+YYMNKDWWSGPYLRIFLKRVEDYVWILIAHPVAVFWYQARLMTFSLATVSGLGYSLILGIIIQSTIGVWIVKNRFLGQQFISNRRTKRIILDAIQQVLIGVFFATYGTWELYERQYRTWEQGYSDKLDNVYDKEWEVRLNILTRPLFGEQAYVTFYVLFAGLAQMLGIHLYSYVALPQNVLRDKNKHRPKRNMIDSEAKEYALAISPIIDTGLDIFLITITGIPLPLGVIIYILFPCLEAINKTLSYAITKKLNDFILRGEEVGTVISAFNDDLLVMDAANKAADSGAIGMAIGIDAKKLAPSDNVGDGTVAVVADQRQCGEVIILKQQPSTRAFVIEQYNALIEDLQESSMDKLEMGTVVAAKADRKNVQEVAINLRHAIDEVTESNASIIGVSKAIAKATIPHDGTVMIVKIGASRCKTVADPVTSRPPDIMSLLGVFCLNSTPFNMADIDFSYTEPFEDAPLYLHCFGLVREGLGGLEMYATHFTKATSKDLPHEEGNIMITSCKELIREPAGKMVMLFRKGHPDDMEHISLQFKNTSNFPIEAVKRNRKRIEMVDGLIVESFKLLATESADGIVAKKMIPVNEQGPKFEARNCLTIIKSLSAWTRSSQDFVQNSHDESTDAVFIQNDFWLHAVTMRNQTLTGTKDSCIISTSGLTEVAELNKVLCNKKAMRKATLSLTVTVTALLGEPVNAVIIGILFIISDLVQYKLSVAIIFFLIGISVAVGAVIHVFHEIEIAIPTKENGVGSALSAIHGIITRDGTNIVMGTVTGELCTTSYFCINKTELPNEHTFESSRPQPESEGTLSSNDVRCGQSSLVRIDAPIQDGGKVEVIDGVVLQESPITKKESDRIVLAQQPIMKNFSSMINTSKAEQYYAFIGTLIVVLGLVCGLYVNNLSASKDSSYQIGYAIWCLFAGVWLLISFGGVMQKLFKVIEPTQKPPTLSNPGDRALLEAARTSSLGMIIDTGYKEELERNSLKHDDLHLEKQFEEKHNKKKLELCNNKLGRYKEKGDGKDTKVIDKTGSLEVSYIEPTKQHM
+>sp|Q4VNC1|AT134_HUMAN Probable cation-transporting ATPase 13A4 OS=Homo sapiens OX=9606 GN=ATP13A4 PE=2 SV=3
+MGHFEKGQHALLNEGEENEMEIFGYRTQGCRKSLCLAGSIFSFGILPLVFYWRPAWHVWAHCVPCSLQEADTVLLRTTDEFQIYSWKKVIWIYLSALNSAFGLTPDHPLMTDEEYIINRAIRKPDLKVRCIKVQKIRYVWNYLEGQFQKIGSLEDWLSSAKIHQKFGSGLTREEQEIRRLICGPNTIDVEVTPIWKLLIKEVLNPFYIFQLFSVCLWFSEDYKEYAFAIIIMSIISISLTVYDLREQSVKLHHLVESHNSITVSVCGRKAGVQELESRVLVPGDLLILTGNKVLMPCDAVLIEGSCVVDEGMLTGESIPVTKTPLPKMDSSVPWKTQSEADYKRHVLFCGTEVIQAKAACSGTVRAVVLQTGFNTAKGDLVRSILYPKPVNFQLYRDAIRFLLCLVGTATIGMIYTLCVYVLSGEPPEEVVRKALDVITIAVPPALPAALTTGIIYAQRRLKKRGIFCISPQRINVCGQLNLVCFDKTGTLTRDGLDLWGVVSCDRNGFQEVHSFASGQALPWGPLCAAMASCHSLILLDGTIQGDPLDLKMFEATTWEMAFSGDDFHIKGVPAHAMVVKPCRTASQVPVEGIAILHQFPFSSALQRMTVIVQEMGGDRLAFMKGAPERVASFCQPETVPTSFVSELQIYTTQGFRVIALAYKKLENDHHATTLTRETVESDLIFLGLLILENRLKEETKPVLEELISARIRTVMITGDNLQTAITVARKSGMVSESQKVILIEANETTGSSSASISWTLVEEKKHIMYGNQDNYINIRDEVSDKGREGSYHFALTGKSFHVISQHFSSLLPKILINGTIFARMSPGQKSSLVEEFQKLDYFVGMCGDGANDCGALKMAHVGISLSEQEASVASPFTSKTPNIECVPHLIKEGRAALVTSFCMFKYMALYSMIQYVGVLLLYWETNSLSNYQFLFQDLAITTLIGVTMNLNGAYPKLVPFRPAGRLISPPLLLSVIFNILLSLAMHIAGFILVQRQPWYSVEIHSACTVQNESISELTMSPTAPEKMESNSTFTSFENTTVWFLGTINCITVALVFSKGKPFRQPTYTNYIFVLVLIIQLGVCLFILFADIPELYRRLDLLCTPVLWRASIVIMLSLNFIVSLVAEEAVIENRALWMMIKRCFGYQSKSQYRIWQRDLANDPSWPPLNQTSHSDMPECGRGVSYSNPVFESNEEQL
+>DECOY_sp|Q4VNC1|AT134_HUMAN Probable cation-transporting ATPase 13A4 OS=Homo sapiens OX=9606 GN=ATP13A4 PE=2 SV=3
+LQEENSEFVPNSYSVGRGCEPMDSHSTQNLPPWSPDNALDRQWIRYQSKSQYGFCRKIMMWLARNEIVAEEAVLSVIFNLSLMIVISARWLVPTCLLDLRRYLEPIDAFLIFLCVGLQIILVLVFIYNTYTPQRFPKGKSFVLAVTICNITGLFWVTTNEFSTFTSNSEMKEPATPSMTLESISENQVTCASHIEVSYWPQRQVLIFGAIHMALSLLINFIVSLLLPPSILRGAPRFPVLKPYAGNLNMTVGILTTIALDQFLFQYNSLSNTEWYLLLVGVYQIMSYLAMYKFMCFSTVLAARGEKILHPVCEINPTKSTFPSAVSAEQESLSIGVHAMKLAGCDNAGDGCMGVFYDLKQFEEVLSSKQGPSMRAFITGNILIKPLLSSFHQSIVHFSKGTLAFHYSGERGKDSVEDRINIYNDQNGYMIHKKEEVLTWSISASSSGTTENAEILIVKQSESVMGSKRAVTIATQLNDGTIMVTRIRASILEELVPKTEEKLRNELILLGLFILDSEVTERTLTTAHHDNELKKYALAIVRFGQTTYIQLESVFSTPVTEPQCFSAVREPAGKMFALRDGGMEQVIVTMRQLASSFPFQHLIAIGEVPVQSATRCPKVVMAHAPVGKIHFDDGSFAMEWTTAEFMKLDLPDGQITGDLLILSHCSAMAACLPGWPLAQGSAFSHVEQFGNRDCSVVGWLDLGDRTLTGTKDFCVLNLQGCVNIRQPSICFIGRKKLRRQAYIIGTTLAAPLAPPVAITIVDLAKRVVEEPPEGSLVYVCLTYIMGITATGVLCLLFRIADRYLQFNVPKPYLISRVLDGKATNFGTQLVVARVTGSCAAKAQIVETGCFLVHRKYDAESQTKWPVSSDMKPLPTKTVPISEGTLMGEDVVCSGEILVADCPMLVKNGTLILLDGPVLVRSELEQVGAKRGCVSVTISNHSEVLHHLKVSQERLDYVTLSISIISMIIIAFAYEKYDESFWLCVSFLQFIYFPNLVEKILLKWIPTVEVDITNPGCILRRIEQEERTLGSGFKQHIKASSLWDELSGIKQFQGELYNWVYRIKQVKICRVKLDPKRIARNIIYEEDTMLPHDPTLGFASNLASLYIWIVKKWSYIQFEDTTRLLVTDAEQLSCPVCHAWVHWAPRWYFVLPLIGFSFISGALCLSKRCGQTRYGFIEMENEEGENLLAHQGKEFHGM
+>sp|P05023|AT1A1_HUMAN Sodium/potassium-transporting ATPase subunit alpha-1 OS=Homo sapiens OX=9606 GN=ATP1A1 PE=1 SV=1
+MGKGVGRDKYEPAAVSEQGDKKGKKGKKDRDMDELKKEVSMDDHKLSLDELHRKYGTDLSRGLTSARAAEILARDGPNALTPPPTTPEWIKFCRQLFGGFSMLLWIGAILCFLAYSIQAATEEEPQNDNLYLGVVLSAVVIITGCFSYYQEAKSSKIMESFKNMVPQQALVIRNGEKMSINAEEVVVGDLVEVKGGDRIPADLRIISANGCKVDNSSLTGESEPQTRSPDFTNENPLETRNIAFFSTNCVEGTARGIVVYTGDRTVMGRIATLASGLEGGQTPIAAEIEHFIHIITGVAVFLGVSFFILSLILEYTWLEAVIFLIGIIVANVPEGLLATVTVCLTLTAKRMARKNCLVKNLEAVETLGSTSTICSDKTGTLTQNRMTVAHMWFDNQIHEADTTENQSGVSFDKTSATWLALSRIAGLCNRAVFQANQENLPILKRAVAGDASESALLKCIELCCGSVKEMRERYAKIVEIPFNSTNKYQLSIHKNPNTSEPQHLLVMKGAPERILDRCSSILLHGKEQPLDEELKDAFQNAYLELGGLGERVLGFCHLFLPDEQFPEGFQFDTDDVNFPIDNLCFVGLISMIDPPRAAVPDAVGKCRSAGIKVIMVTGDHPITAKAIAKGVGIISEGNETVEDIAARLNIPVSQVNPRDAKACVVHGSDLKDMTSEQLDDILKYHTEIVFARTSPQQKLIIVEGCQRQGAIVAVTGDGVNDSPALKKADIGVAMGIAGSDVSKQAADMILLDDNFASIVTGVEEGRLIFDNLKKSIAYTLTSNIPEITPFLIFIIANIPLPLGTVTILCIDLGTDMVPAISLAYEQAESDIMKRQPRNPKTDKLVNERLISMAYGQIGMIQALGGFFTYFVILAENGFLPIHLLGLRVDWDDRWINDVEDSYGQQWTYEQRKIVEFTCHTAFFVSIVVVQWADLVICKTRRNSVFQQGMKNKILIFGLFEETALAAFLSYCPGMGVALRMYPLKPTWWFCAFPYSLLIFVYDEVRKLIIRRRPGGWVEKETYY
+>DECOY_sp|P05023|AT1A1_HUMAN Sodium/potassium-transporting ATPase subunit alpha-1 OS=Homo sapiens OX=9606 GN=ATP1A1 PE=1 SV=1
+YYTEKEVWGGPRRRIILKRVEDYVFILLSYPFACFWWTPKLPYMRLAVGMGPCYSLFAALATEEFLGFILIKNKMGQQFVSNRRTKCIVLDAWQVVVISVFFATHCTFEVIKRQEYTWQQGYSDEVDNIWRDDWDVRLGLLHIPLFGNEALIVFYTFFGGLAQIMGIQGYAMSILRENVLKDTKPNRPQRKMIDSEAQEYALSIAPVMDTGLDICLITVTGLPLPINAIIFILFPTIEPINSTLTYAISKKLNDFILRGEEVGTVISAFNDDLLIMDAAQKSVDSGAIGMAVGIDAKKLAPSDNVGDGTVAVIAGQRQCGEVIILKQQPSTRAFVIETHYKLIDDLQESTMDKLDSGHVVCAKADRPNVQSVPINLRAAIDEVTENGESIIGVGKAIAKATIPHDGTVMIVKIGASRCKGVADPVAARPPDIMSILGVFCLNDIPFNVDDTDFQFGEPFQEDPLFLHCFGLVREGLGGLELYANQFADKLEEDLPQEKGHLLISSCRDLIREPAGKMVLLHQPESTNPNKHISLQYKNTSNFPIEVIKAYRERMEKVSGCCLEICKLLASESADGAVARKLIPLNEQNAQFVARNCLGAIRSLALWTASTKDFSVGSQNETTDAEHIQNDFWMHAVTMRNQTLTGTKDSCITSTSGLTEVAELNKVLCNKRAMRKATLTLCVTVTALLGEPVNAVIIGILFIVAELWTYELILSLIFFSVGLFVAVGTIIHIFHEIEAAIPTQGGELGSALTAIRGMVTRDGTYVVIGRATGEVCNTSFFAINRTELPNENTFDPSRTQPESEGTLSSNDVKCGNASIIRLDAPIRDGGKVEVLDGVVVEEANISMKEGNRIVLAQQPVMNKFSEMIKSSKAEQYYSFCGTIIVVASLVVGLYLNDNQPEEETAAQISYALFCLIAGIWLLMSFGGFLQRCFKIWEPTTPPPTLANPGDRALIEAARASTLGRSLDTGYKRHLEDLSLKHDDMSVEKKLEDMDRDKKGKKGKKDGQESVAAPEYKDRGVGKGM
+>sp|P14415|AT1B2_HUMAN Sodium/potassium-transporting ATPase subunit beta-2 OS=Homo sapiens OX=9606 GN=ATP1B2 PE=1 SV=3
+MVIQKEKKSCGQVVEEWKEFVWNPRTHQFMGRTGTSWAFILLFYLVFYGFLTAMFTLTMWVMLQTVSDHTPKYQDRLATPGLMIRPKTENLDVIVNVSDTESWDQHVQKLNKFLEPYNDSIQAQKNDVCRPGRYYEQPDNGVLNYPKRACQFNRTQLGNCSGIGDSTHYGYSTGQPCVFIKMNRVINFYAGANQSMNVTCAGKRDEDAENLGNFVMFPANGNIDLMYFPYYGKKFHVNYTQPLVAVKFLNVTPNVEVNVECRINAANIATDDERDKFAGRVAFKLRINKT
+>DECOY_sp|P14415|AT1B2_HUMAN Sodium/potassium-transporting ATPase subunit beta-2 OS=Homo sapiens OX=9606 GN=ATP1B2 PE=1 SV=3
+TKNIRLKFAVRGAFKDREDDTAINAANIRCEVNVEVNPTVNLFKVAVLPQTYNVHFKKGYYPFYMLDINGNAPFMVFNGLNEADEDRKGACTVNMSQNAGAYFNIVRNMKIFVCPQGTSYGYHTSDGIGSCNGLQTRNFQCARKPYNLVGNDPQEYYRGPRCVDNKQAQISDNYPELFKNLKQVHQDWSETDSVNVIVDLNETKPRIMLGPTALRDQYKPTHDSVTQLMVWMTLTFMATLFGYFVLYFLLIFAWSTGTRGMFQHTRPNWVFEKWEEVVQGCSKKEKQIVM
+>sp|Q93084|AT2A3_HUMAN Sarcoplasmic/endoplasmic reticulum calcium ATPase 3 OS=Homo sapiens OX=9606 GN=ATP2A3 PE=1 SV=2
+MEAAHLLPAADVLRHFSVTAEGGLSPAQVTGARERYGPNELPSEEGKSLWELVLEQFEDLLVRILLLAALVSFVLAWFEEGEETTTAFVEPLVIMLILVANAIVGVWQERNAESAIEALKEYEPEMGKVIRSDRKGVQRIRARDIVPGDIVEVAVGDKVPADLRLIEIKSTTLRVDQSILTGESVSVTKHTEAIPDPRAVNQDKKNMLFSGTNITSGKAVGVAVATGLHTELGKIRSQMAAVEPERTPLQRKLDEFGRQLSHAISVICVAVWVINIGHFADPAHGGSWLRGAVYYFKIAVALAVAAIPEGLPAVITTCLALGTRRMARKNAIVRSLPSVETLGCTSVICSDKTGTLTTNQMSVCRMFVVAEADAGSCLLHEFTISGTTYTPEGEVRQGDQPVRCGQFDGLVELATICALCNDSALDYNEAKGVYEKVGEATETALTCLVEKMNVFDTDLQALSRVERAGACNTVIKQLMRKEFTLEFSRDRKSMSVYCTPTRPHPTGQGSKMFVKGAPESVIERCSSVRVGSRTAPLTPTSREQILAKIRDWGSGSDTLRCLALATRDAPPRKEDMELDDCSKFVQYETDLTFVGCVGMLDPPRPEVAACITRCYQAGIRVVMITGDNKGTAVAICRRLGIFGDTEDVAGKAYTGREFDDLSPEQQRQACRTARCFARVEPAHKSRIVENLQSFNEITAMTGDGVNDAPALKKAEIGIAMGSGTAVAKSAAEMVLSDDNFASIVAAVEEGRAIYSNMKQFIRYLISSNVGEVVCIFLTAILGLPEALIPVQLLWVNLVTDGLPATALGFNPPDLDIMEKLPRSPREALISGWLFFRYLAIGVYVGLATVAAATWWFVYDAEGPHINFYQLRNFLKCSEDNPLFAGIDCEVFESRFPTTMALSVLVTIEMCNALNSVSENQSLLRMPPWMNPWLLVAVAMSMALHFLILLVPPLPLIFQVTPLSGRQWVVVLQISLPVILLDEALKYLSRNHMHACLYPGLLRTVSQAWSRQPLTTSWTPDHTGRNEPEVSAGNRVESPVCTSD
+>DECOY_sp|Q93084|AT2A3_HUMAN Sarcoplasmic/endoplasmic reticulum calcium ATPase 3 OS=Homo sapiens OX=9606 GN=ATP2A3 PE=1 SV=2
+DSTCVPSEVRNGASVEPENRGTHDPTWSTTLPQRSWAQSVTRLLGPYLCAHMHNRSLYKLAEDLLIVPLSIQLVVVWQRGSLPTVQFILPLPPVLLILFHLAMSMAVAVLLWPNMWPPMRLLSQNESVSNLANCMEITVLVSLAMTTPFRSEFVECDIGAFLPNDESCKLFNRLQYFNIHPGEADYVFWWTAAAVTALGVYVGIALYRFFLWGSILAERPSRPLKEMIDLDPPNFGLATAPLGDTVLNVWLLQVPILAEPLGLIATLFICVVEGVNSSILYRIFQKMNSYIARGEEVAAVISAFNDDSLVMEAASKAVATGSGMAIGIEAKKLAPADNVGDGTMATIENFSQLNEVIRSKHAPEVRAFCRATRCAQRQQEPSLDDFERGTYAKGAVDETDGFIGLRRCIAVATGKNDGTIMVVRIGAQYCRTICAAVEPRPPDLMGVCGVFTLDTEYQVFKSCDDLEMDEKRPPADRTALALCRLTDSGSGWDRIKALIQERSTPTLPATRSGVRVSSCREIVSEPAGKVFMKSGQGTPHPRTPTCYVSMSKRDRSFELTFEKRMLQKIVTNCAGAREVRSLAQLDTDFVNMKEVLCTLATETAEGVKEYVGKAENYDLASDNCLACITALEVLGDFQGCRVPQDGQRVEGEPTYTTGSITFEHLLCSGADAEAVVFMRCVSMQNTTLTGTKDSCIVSTCGLTEVSPLSRVIANKRAMRRTGLALCTTIVAPLGEPIAAVALAVAIKFYYVAGRLWSGGHAPDAFHGINIVWVAVCIVSIAHSLQRGFEDLKRQLPTREPEVAAMQSRIKGLETHLGTAVAVGVAKGSTINTGSFLMNKKDQNVARPDPIAETHKTVSVSEGTLISQDVRLTTSKIEILRLDAPVKDGVAVEVIDGPVIDRARIRQVGKRDSRIVKGMEPEYEKLAEIASEANREQWVGVIANAVLILMIVLPEVFATTTEEGEEFWALVFSVLAALLLIRVLLDEFQELVLEWLSKGEESPLENPGYRERAGTVQAPSLGGEATVSFHRLVDAAPLLHAAEM
+>sp|O75185|AT2C2_HUMAN Calcium-transporting ATPase type 2C member 2 OS=Homo sapiens OX=9606 GN=ATP2C2 PE=1 SV=2
+MVEGRVSEFLKKLGFSGGGRQYQALEKDEEEALIDEQSELKAIEKEKKVTALPPKEACKCQKEDLARAFCVDLHTGLSEFSVTQRRLAHGWNEFVADNSEPVWKKYLDQFKNPLILLLLGSALVSVLTKEYEDAVSIATAVLVVVTVAFIQEYRSEKSLEELTKMVPPECNCLREGKLQHLLARELVPGDVVSLSIGDRIPADIRLTEVTDLLVDESSFTGEAEPCSKTDSPLTGGGDLTTLSNIVFMGTLVQYGRGQGVVIGTGESSQFGEVFKMMQAEETPKTPLQKSMDRLGKQLTLFSFGIIGLIMLIGWSQGKQLLSMFTIGVSLAVAAIPEGLPIVVMVTLVLGVLRMAKKRVIVKKLPIVETLGCCSVLCSDKTGTLTANEMTVTQLVTSDGLRAEVSGVGYDGQGTVCLLPSKEVIKEFSNVSVGKLVEAGCVANNAVIRKNAVMGQPTEGALMALAMKMDLSDIKNSYIRKKEIPFSSEQKWMAVKCSLKTEDQEDIYFMKGALEEVIRYCTMYNNGGIPLPLTPQQRSFCLQEEKRMGSLGLRVLALASGPELGRLTFLGLVGIIDPPRVGVKEAVQVLSESGVSVKMITGDALETALAIGRNIGLCNGKLQAMSGEEVDSVEKGELADRVGKVSVFFRTSPKHKLKIIKALQESGAIVAMTGDGVNDAVALKSADIGIAMGQTGTDVSKEAANMILVDDDFSAIMNAVEEGKGIFYNIKNFVRFQLSTSISALSLITLSTVFNLPSPLNAMQILWINIIMDGPPAQSLGVEPVDKDAFRQPPRSVRDTILSRALILKILMSAAIIISGTLFIFWKEMPEDRASTPRTTTMTFTCFVFFDLFNALTCRSQTKLIFEIGFLRNHMFLYSVLGSILGQLAVIYIPPLQRVFQTENLGALDLLFLTGLASSVFILSELLKLCEKYCCSPKRVQMHPEDV
+>DECOY_sp|O75185|AT2C2_HUMAN Calcium-transporting ATPase type 2C member 2 OS=Homo sapiens OX=9606 GN=ATP2C2 PE=1 SV=2
+VDEPHMQVRKPSCCYKECLKLLESLIFVSSALGTLFLLDLAGLNETQFVRQLPPIYIVALQGLISGLVSYLFMHNRLFGIEFILKTQSRCTLANFLDFFVFCTFTMTTTRPTSARDEPMEKWFIFLTGSIIIAASMLIKLILARSLITDRVSRPPQRFADKDVPEVGLSQAPPGDMIINIWLIQMANLPSPLNFVTSLTILSLASISTSLQFRVFNKINYFIGKGEEVANMIASFDDDVLIMNAAEKSVDTGTQGMAIGIDASKLAVADNVGDGTMAVIAGSEQLAKIIKLKHKPSTRFFVSVKGVRDALEGKEVSDVEEGSMAQLKGNCLGINRGIALATELADGTIMKVSVGSESLVQVAEKVGVRPPDIIGVLGLFTLRGLEPGSALALVRLGLSGMRKEEQLCFSRQQPTLPLPIGGNNYMTCYRIVEELAGKMFYIDEQDETKLSCKVAMWKQESSFPIEKKRIYSNKIDSLDMKMALAMLAGETPQGMVANKRIVANNAVCGAEVLKGVSVNSFEKIVEKSPLLCVTGQGDYGVGSVEARLGDSTVLQTVTMENATLTGTKDSCLVSCCGLTEVIPLKKVIVRKKAMRLVGLVLTVMVVIPLGEPIAAVALSVGITFMSLLQKGQSWGILMILGIIGFSFLTLQKGLRDMSKQLPTKPTEEAQMMKFVEGFQSSEGTGIVVGQGRGYQVLTGMFVINSLTTLDGGGTLPSDTKSCPEAEGTFSSEDVLLDTVETLRIDAPIRDGISLSVVDGPVLERALLHQLKGERLCNCEPPVMKTLEELSKESRYEQIFAVTVVVLVATAISVADEYEKTLVSVLASGLLLLILPNKFQDLYKKWVPESNDAVFENWGHALRRQTVSFESLGTHLDVCFARALDEKQCKCAEKPPLATVKKEKEIAKLESQEDILAEEEDKELAQYQRGGGSFGLKKLFESVRGEVM
+>sp|Q8IUZ5|AT2L2_HUMAN 5-phosphohydroxy-L-lysine phospho-lyase OS=Homo sapiens OX=9606 GN=PHYKPL PE=1 SV=1
+MAADQRPKADTLALRQRLISSSCRLFFPEDPVKIVRAQGQYMYDEQGAEYIDCISNVAHVGHCHPLVVQAAHEQNQVLNTNSRYLHDNIVDYAQRLSETLPEQLCVFYFLNSGSEANDLALRLARHYTGHQDVVVLDHAYHGHLSSLIDISPYKFRNLDGQKEWVHVAPLPDTYRGPYREDHPNPAMAYANEVKRVVSSAQEKGRKIAAFFAESLPSVGGQIIPPAGYFSQVAEHIRKAGGVFVADEIQVGFGRVGKHFWAFQLQGKDFVPDIVTMGKSIGNGHPVACVAATQPVARAFEATGVEYFNTFGGSPVSCAVGLAVLNVLEKEQLQDHATSVGSFLMQLLGQQKIKHPIVGDVRGVGLFIGVDLIKDEATRTPATEEAAYLVSRLKENYVLLSTDGPGRNILKFKPPMCFSLDNARQVVAKLDAILTDMEEKVRSCETLRLQP
+>DECOY_sp|Q8IUZ5|AT2L2_HUMAN 5-phosphohydroxy-L-lysine phospho-lyase OS=Homo sapiens OX=9606 GN=PHYKPL PE=1 SV=1
+PQLRLTECSRVKEEMDTLIADLKAVVQRANDLSFCMPPKFKLINRGPGDTSLLVYNEKLRSVLYAAEETAPTRTAEDKILDVGIFLGVGRVDGVIPHKIKQQGLLQMLFSGVSTAHDQLQEKELVNLVALGVACSVPSGGFTNFYEVGTAEFARAVPQTAAVCAVPHGNGISKGMTVIDPVFDKGQLQFAWFHKGVRGFGVQIEDAVFVGGAKRIHEAVQSFYGAPPIIQGGVSPLSEAFFAAIKRGKEQASSVVRKVENAYAMAPNPHDERYPGRYTDPLPAVHVWEKQGDLNRFKYPSIDILSSLHGHYAHDLVVVDQHGTYHRALRLALDNAESGSNLFYFVCLQEPLTESLRQAYDVINDHLYRSNTNLVQNQEHAAQVVLPHCHGVHAVNSICDIYEAGQEDYMYQGQARVIKVPDEPFFLRCSSSILRQRLALTDAKPRQDAAM
+>sp|Q5T6C5|AT7L2_HUMAN Ataxin-7-like protein 2 OS=Homo sapiens OX=9606 GN=ATXN7L2 PE=1 SV=1
+MAVRERAAAAMAALERRVPSLDDFAGQSWSSWVERADLPAADGAELEESSKNTKKLDAMTLIKEDMSIFGHCPAHDDFYLVVCNHCSQVVKPQAFQKHCERRHGPLSKLYGRAPPPPPAPASSQKCHVVNGQGPACRAPGSTKTSSREKGQGSRSRGHQPPEKTQKDNLCQPGGLTKDSPGKPPMAPPSKEPPGRENIEIIPSEGSSHWAEGSPPEKEPSGTRLPPKTHRKMARKECDLNRQCGVINPETKKICTRLLTCKIHSVHQRREVQGRAKDFDVLVAELKANSRKGESPKEKSPGRKEQVLERPSQELPSSVQVVAAVAAPSSTFSVRAKQTYPYCALPRSRASSESELDDEGPCGGDGDPGLFPFPMPRGGTQASSEESEEEGTSDDLHPPPDCHYATRPPRPQAFCTFGSRLVSPGCYVFSRRLDRFCSALSSMLERHLSTHMWKKIPPAAEPPAHLVNSPLSAPLSPSSTGTCPRLPGPTLRPACPASMPPTKDNLVPSYPAGSPSVAAACSQAECMGGSQAITSPLPANTPSPSFSKLPPSKASKSSKGKDGVEVEAPSRKRKLSPGPTTLKRTCILEPTGKGKPSGCRGLSAKTKTALSMGLNGTMGPRVKRAGPLDCRGSPHQLPTPVKASQLENRGAAGHPAKALPTNCLSEEEVAKKRKNLATYCRPVKAKHCQAGAPADVACSVRRKKPGPALAFEEKCSTLKSKAH
+>DECOY_sp|Q5T6C5|AT7L2_HUMAN Ataxin-7-like protein 2 OS=Homo sapiens OX=9606 GN=ATXN7L2 PE=1 SV=1
+HAKSKLTSCKEEFALAPGPKKRRVSCAVDAPAGAQCHKAKVPRCYTALNKRKKAVEEESLCNTPLAKAPHGAAGRNELQSAKVPTPLQHPSGRCDLPGARKVRPGMTGNLGMSLATKTKASLGRCGSPKGKGTPELICTRKLTTPGPSLKRKRSPAEVEVGDKGKSSKSAKSPPLKSFSPSPTNAPLPSTIAQSGGMCEAQSCAAAVSPSGAPYSPVLNDKTPPMSAPCAPRLTPGPLRPCTGTSSPSLPASLPSNVLHAPPEAAPPIKKWMHTSLHRELMSSLASCFRDLRRSFVYCGPSVLRSGFTCFAQPRPPRTAYHCDPPPHLDDSTGEEESEESSAQTGGRPMPFPFLGPDGDGGCPGEDDLESESSARSRPLACYPYTQKARVSFTSSPAAVAAVVQVSSPLEQSPRELVQEKRGPSKEKPSEGKRSNAKLEAVLVDFDKARGQVERRQHVSHIKCTLLRTCIKKTEPNIVGCQRNLDCEKRAMKRHTKPPLRTGSPEKEPPSGEAWHSSGESPIIEINERGPPEKSPPAMPPKGPSDKTLGGPQCLNDKQTKEPPQHGRSRSGQGKERSSTKTSGPARCAPGQGNVVHCKQSSAPAPPPPPARGYLKSLPGHRRECHKQFAQPKVVQSCHNCVVLYFDDHAPCHGFISMDEKILTMADLKKTNKSSEELEAGDAAPLDAREVWSSWSQGAFDDLSPVRRELAAMAAAARERVAM
+>sp|O60423|AT8B3_HUMAN Phospholipid-transporting ATPase IK OS=Homo sapiens OX=9606 GN=ATP8B3 PE=2 SV=4
+MGTGPAQTPRSTRAGPEPSPAPPGPGDTGDSDVTQEGSGPAGIRGGETVIRAGMGDSPGRGAPERRHKAQPGRARKYEWRPEGPTSMGSLGQREDLQDEDRNSAFTWKVQANNRAYNGQFKEKVILCWQRKKYKTNVIRTAKYNFYSFLPLNLYEQFHRVSNLFFLIIIILQSIPDISTLPWFSLSTPMVCLLFIRATRDLVDDMGRHKSDRAINNRPCQILMGKSFKQKKWQDLCVGDVVCLRKDNIVPADMLLLASTEPSSLCYVETVDIDGETNLKFRQALMVTHKELATIKKMASFQGTVTCEAPNSRMHHFVGCLEWNDKKYSLDIGNLLLRGCRIRNTDTCYGLVIYAGFDTKIMKNCGKIHLKRTKLDLLMNKLVVVIFISVVLVCLVLAFGFGFSVKEFKDHHYYLSGVHGSSVAAESFFVFWSFLILLSVTIPMSMFILSEFIYLGNSVFIDWDVQMYYKPQDVPAKARSTSLNDHLGQVEYIFSDKTGTLTQNILTFNKCCISGRVYGPDSEATTRPKENPYLWNKFADGKLLFHNAALLHLVRTNGDEAVREFWRLLAICHTVMVRESPRERPDQLLYQAASPDEGALVTAARNFGYVFLSRTQDTVTIMELGEERVYQVLAIMDFNSTRKRMSVLVRKPEGAICLYTKGADTVIFERLHRRGAMEFATEEALAAFAQETLRTLCLAYREVAEDIYEDWQQRHQEASLLLQNRAQALQQLLGATAIEDRLQDGVPETIKCLKKSNIKIWVLTGDKQETAVNIGFACELLSENMLILEEKEISRILETYWENSNNLLTRESLSQVKLALVINGDFLDKLLVSLRKEPRALAQNVNMDEAWQELGQSRRDFLYARRLSLLCRRFGLPLAAPPAQDSRARRSSEVLQERAFVDLASKCQAVICCRVTPKQKALIVALVKKYHQVVTLAIGDGANDINMIKTADVGVGLAGQEGMQAVQNSDFVLGQFCFLQRLLLVHGRWSYVRICKFLRYFFYKSMASMMVQVWFACYNGFTGQPLYEGWFLALFNLLYSTLPVLYIGLFEQDVSAEQSLEKPELYVVGQKDELFNYWVFVQAIAHGVTTSLVNFFMTLWISRDTAGPASFSDHQSFAVVVALSCLLSITMEVILIIKYWTALCVATILLSLGFYAIMTTTTQSFWLFRVSPTTFPFLYADLSVMSSPSILLVVLLSVSINTFPVLALRVIFPALKELRAKEEKVEEGPSEEIFTMEPLPHVHRESRARRSSYAFSHREGYANLITQGTILRRGPGVSSDIASESLDPSDEEAASSPKESQ
+>DECOY_sp|O60423|AT8B3_HUMAN Phospholipid-transporting ATPase IK OS=Homo sapiens OX=9606 GN=ATP8B3 PE=2 SV=4
+QSEKPSSAAEEDSPDLSESAIDSSVGPGRRLITGQTILNAYGERHSFAYSSRRARSERHVHPLPEMTFIEESPGEEVKEEKARLEKLAPFIVRLALVPFTNISVSLLVVLLISPSSMVSLDAYLFPFTTPSVRFLWFSQTTTTMIAYFGLSLLITAVCLATWYKIILIVEMTISLLCSLAVVVAFSQHDSFSAPGATDRSIWLTMFFNVLSTTVGHAIAQVFVWYNFLEDKQGVVYLEPKELSQEASVDQEFLGIYLVPLTSYLLNFLALFWGEYLPQGTFGNYCAFWVQVMMSAMSKYFFYRLFKCIRVYSWRGHVLLLRQLFCFQGLVFDSNQVAQMGEQGALGVGVDATKIMNIDNAGDGIALTVVQHYKKVLAVILAKQKPTVRCCIVAQCKSALDVFAREQLVESSRRARSDQAPPAALPLGFRRCLLSLRRAYLFDRRSQGLEQWAEDMNVNQALARPEKRLSVLLKDLFDGNIVLALKVQSLSERTLLNNSNEWYTELIRSIEKEELILMNESLLECAFGINVATEQKDGTLVWIKINSKKLCKITEPVGDQLRDEIATAGLLQQLAQARNQLLLSAEQHRQQWDEYIDEAVERYALCLTRLTEQAFAALAEETAFEMAGRRHLREFIVTDAGKTYLCIAGEPKRVLVSMRKRTSNFDMIALVQYVREEGLEMITVTDQTRSLFVYGFNRAATVLAGEDPSAAQYLLQDPRERPSERVMVTHCIALLRWFERVAEDGNTRVLHLLAANHFLLKGDAFKNWLYPNEKPRTTAESDPGYVRGSICCKNFTLINQTLTGTKDSFIYEVQGLHDNLSTSRAKAPVDQPKYYMQVDWDIFVSNGLYIFESLIFMSMPITVSLLILFSWFVFFSEAAVSSGHVGSLYYHHDKFEKVSFGFGFALVLCVLVVSIFIVVVLKNMLLDLKTRKLHIKGCNKMIKTDFGAYIVLGYCTDTNRIRCGRLLLNGIDLSYKKDNWELCGVFHHMRSNPAECTVTGQFSAMKKITALEKHTVMLAQRFKLNTEGDIDVTEVYCLSSPETSALLLMDAPVINDKRLCVVDGVCLDQWKKQKFSKGMLIQCPRNNIARDSKHRGMDDVLDRTARIFLLCVMPTSLSFWPLTSIDPISQLIIIILFFLNSVRHFQEYLNLPLFSYFNYKATRIVNTKYKKRQWCLIVKEKFQGNYARNNAQVKWTFASNRDEDQLDERQGLSGMSTPGEPRWEYKRARGPQAKHRREPAGRGPSDGMGARIVTEGGRIGAPGSGEQTVDSDGTDGPGPPAPSPEPGARTSRPTQAPGTGM
+>sp|Q8TF62|AT8B4_HUMAN Probable phospholipid-transporting ATPase IM OS=Homo sapiens OX=9606 GN=ATP8B4 PE=1 SV=3
+MFCSEKKLREVERIVKANDREYNEKFQYADNRIHTSKYNILTFLPINLFEQFQRVANAYFLCLLILQLIPEISSLTWFTTIVPLVLVITMTAVKDATDDYFRHKSDNQVNNRQSEVLINSKLQNEKWMNVKVGDIIKLENNQFVAADLLLLSSSEPHGLCYVETAELDGETNLKVRHALSVTSELGADISRLAGFDGIVVCEVPNNKLDKFMGILSWKDSKHSLNNEKIILRGCILRNTSWCFGMVIFAGPDTKLMQNSGKTKFKRTSIDRLMNTLVLWIFGFLICLGIILAIGNSIWESQTGDQFRTFLFWNEGEKSSVFSGFLTFWSYIIILNTVVPISLYVSVEVIRLGHSYFINWDRKMYYSRKAIPAVARTTTLNEELGQIEYIFSDKTGTLTQNIMTFKRCSINGRIYGEVHDDLDQKTEITQEKEPVDFSVKSQADREFQFFDHHLMESIKMGDPKVHEFLRLLALCHTVMSEENSAGELIYQVQSPDEGALVTAARNFGFIFKSRTPETITIEELGTLVTYQLLAFLDFNNTRKRMSVIVRNPEGQIKLYSKGADTILFEKLHPSNEVLLSLTSDHLSEFAGEGLRTLAIAYRDLDDKYFKEWHKMLEDANAATEERDERIAGLYEEIERDLMLLGATAVEDKLQEGVIETVTSLSLANIKIWVLTGDKQETAINIGYACNMLTDDMNDVFVIAGNNAVEVREELRKAKQNLFGQNRNFSNGHVVCEKKQQLELDSIVEETITGDYALIINGHSLAHALESDVKNDLLELACMCKTVICCRVTPLQKAQVVELVKKYRNAVTLAIGDGANDVSMIKSAHIGVGISGQEGLQAVLASDYSFAQFRYLQRLLLVHGRWSYFRMCKFLCYFFYKNFAFTLVHFWFGFFCGFSAQTVYDQWFITLFNIVYTSLPVLAMGIFDQDVSDQNSVDCPQLYKPGQLNLLFNKRKFFICVLHGIYTSLVLFFIPYGAFYNVAGEDGQHIADYQSFAVTMATSLVIVVSVQIALDTSYWTFINHVFIWGSIAIYFSILFTMHSNGIFGIFPNQFPFVGNARHSLTQKCIWLVILLTTVASVMPVVAFRFLKVDLYPTLSDQIRRWQKAQKKARPPSSRRPRTRRSSSRRSGYAFAHQEGYGELITSGKNMRAKNPPPTSGLEKTHYNSTSWIENLCKKTTDTVSSFSQDKTVKL
+>DECOY_sp|Q8TF62|AT8B4_HUMAN Probable phospholipid-transporting ATPase IM OS=Homo sapiens OX=9606 GN=ATP8B4 PE=1 SV=3
+LKVTKDQSFSSVTDTTKKCLNEIWSTSNYHTKELGSTPPPNKARMNKGSTILEGYGEQHAFAYGSRRSSSRRTRPRRSSPPRAKKQAKQWRRIQDSLTPYLDVKLFRFAVVPMVSAVTTLLIVLWICKQTLSHRANGVFPFQNPFIGFIGNSHMTFLISFYIAISGWIFVHNIFTWYSTDLAIQVSVVIVLSTAMTVAFSQYDAIHQGDEGAVNYFAGYPIFFLVLSTYIGHLVCIFFKRKNFLLNLQGPKYLQPCDVSNQDSVDQDFIGMALVPLSTYVINFLTIFWQDYVTQASFGCFFGFWFHVLTFAFNKYFFYCLFKCMRFYSWRGHVLLLRQLYRFQAFSYDSALVAQLGEQGSIGVGIHASKIMSVDNAGDGIALTVANRYKKVLEVVQAKQLPTVRCCIVTKCMCALELLDNKVDSELAHALSHGNIILAYDGTITEEVISDLELQQKKECVVHGNSFNRNQGFLNQKAKRLEERVEVANNGAIVFVDNMDDTLMNCAYGINIATEQKDGTLVWIKINALSLSTVTEIVGEQLKDEVATAGLLMLDREIEEYLGAIREDREETAANADELMKHWEKFYKDDLDRYAIALTRLGEGAFESLHDSTLSLLVENSPHLKEFLITDAGKSYLKIQGEPNRVIVSMRKRTNNFDLFALLQYTVLTGLEEITITEPTRSKFIFGFNRAATVLAGEDPSQVQYILEGASNEESMVTHCLALLRLFEHVKPDGMKISEMLHHDFFQFERDAQSKVSFDVPEKEQTIETKQDLDDHVEGYIRGNISCRKFTMINQTLTGTKDSFIYEIQGLEENLTTTRAVAPIAKRSYYMKRDWNIFYSHGLRIVEVSVYLSIPVVTNLIIIYSWFTLFGSFVSSKEGENWFLFTRFQDGTQSEWISNGIALIIGLCILFGFIWLVLTNMLRDISTRKFKTKGSNQMLKTDPGAFIVMGFCWSTNRLICGRLIIKENNLSHKSDKWSLIGMFKDLKNNPVECVVIGDFGALRSIDAGLESTVSLAHRVKLNTEGDLEATEVYCLGHPESSSLLLLDAAVFQNNELKIIDGVKVNMWKENQLKSNILVESQRNNVQNDSKHRFYDDTADKVATMTIVLVLPVITTFWTLSSIEPILQLILLCLFYANAVRQFQEFLNIPLFTLINYKSTHIRNDAYQFKENYERDNAKVIREVERLKKESCFM
+>sp|P18846|ATF1_HUMAN Cyclic AMP-dependent transcription factor ATF-1 OS=Homo sapiens OX=9606 GN=ATF1 PE=1 SV=2
+MEDSHKSTTSETAPQPGSAVQGAHISHIAQQVSSLSESEESQDSSDSIGSSQKAHGILARRPSYRKILKDLSSEDTRGRKGDGENSGVSAAVTSMSVPTPIYQTSSGQYIAIAPNGALQLASPGTDGVQGLQTLTMTNSGSTQQGTTILQYAQTSDGQQILVPSNQVVVQTASGDMQTYQIRTTPSATSLPQTVVMTSPVTLTSQTTKTDDPQLKREIRLMKNREAARECRRKKKEYVKCLENRVAVLENQNKTLIEELKTLKDLYSNKSV
+>DECOY_sp|P18846|ATF1_HUMAN Cyclic AMP-dependent transcription factor ATF-1 OS=Homo sapiens OX=9606 GN=ATF1 PE=1 SV=2
+VSKNSYLDKLTKLEEILTKNQNELVAVRNELCKVYEKKKRRCERAAERNKMLRIERKLQPDDTKTTQSTLTVPSTMVVTQPLSTASPTTRIQYTQMDGSATQVVVQNSPVLIQQGDSTQAYQLITTGQQTSGSNTMTLTQLGQVGDTGPSALQLAGNPAIAIYQGSSTQYIPTPVSMSTVAASVGSNEGDGKRGRTDESSLDKLIKRYSPRRALIGHAKQSSGISDSSDQSEESESLSSVQQAIHSIHAGQVASGPQPATESTTSKHSDEM
+>sp|P15336|ATF2_HUMAN Cyclic AMP-dependent transcription factor ATF-2 OS=Homo sapiens OX=9606 GN=ATF2 PE=1 SV=4
+MKFKLHVNSARQYKDLWNMSDDKPFLCTAPGCGQRFTNEDHLAVHKHKHEMTLKFGPARNDSVIVADQTPTPTRFLKNCEEVGLFNELASPFENEFKKASEDDIKKMPLDLSPLATPIIRSKIEEPSVVETTHQDSPLPHPESTTSDEKEVPLAQTAQPTSAIVRPASLQVPNVLLTSSDSSVIIQQAVPSPTSSTVITQAPSSNRPIVPVPGPFPLLLHLPNGQTMPVAIPASITSSNVHVPAAVPLVRPVTMVPSVPGIPGPSSPQPVQSEAKMRLKAALTQQHPPVTNGDTVKGHGSGLVRTQSEESRPQSLQQPATSTTETPASPAHTTPQTQSTSGRRRRAANEDPDEKRRKFLERNRAAASRCRQKRKVWVQSLEKKAEDLSSLNGQLQSEVTLLRNEVAQLKQLLLAHKDCPVTAMQKKSGYHTADKDDSSEDISVPSSPHTEAIQHSSVSTSNGVSSTSKAEAVATSVLTQMADQSTEPALSQIVMAPSSQSQPSGS
+>DECOY_sp|P15336|ATF2_HUMAN Cyclic AMP-dependent transcription factor ATF-2 OS=Homo sapiens OX=9606 GN=ATF2 PE=1 SV=4
+SGSPQSQSSPAMVIQSLAPETSQDAMQTLVSTAVAEAKSTSSVGNSTSVSSHQIAETHPSSPVSIDESSDDKDATHYGSKKQMATVPCDKHALLLQKLQAVENRLLTVESQLQGNLSSLDEAKKELSQVWVKRKQRCRSAAARNRELFKRRKEDPDENAARRRRGSTSQTQPTTHAPSAPTETTSTAPQQLSQPRSEESQTRVLGSGHGKVTDGNTVPPHQQTLAAKLRMKAESQVPQPSSPGPIGPVSPVMTVPRVLPVAAPVHVNSSTISAPIAVPMTQGNPLHLLLPFPGPVPVIPRNSSPAQTIVTSSTPSPVAQQIIVSSDSSTLLVNPVQLSAPRVIASTPQATQALPVEKEDSTTSEPHPLPSDQHTTEVVSPEEIKSRIIPTALPSLDLPMKKIDDESAKKFENEFPSALENFLGVEECNKLFRTPTPTQDAVIVSDNRAPGFKLTMEHKHKHVALHDENTFRQGCGPATCLFPKDDSMNWLDKYQRASNVHLKFKM
+>sp|Q9NT62|ATG3_HUMAN Ubiquitin-like-conjugating enzyme ATG3 OS=Homo sapiens OX=9606 GN=ATG3 PE=1 SV=1
+MQNVINTVKGKALEVAEYLTPVLKESKFKETGVITPEEFVAAGDHLVHHCPTWQWATGEELKVKAYLPTGKQFLVTKNVPCYKRCKQMEYSDELEAIIEEDDGDGGWVDTYHNTGITGITEAVKEITLENKDNIRLQDCSALCEEEEDEDEGEAADMEEYEESGLLETDEATLDTRKIVEACKAKTDAGGEDAILQTRTYDLYITYDKYYQTPRLWLFGYDEQRQPLTVEHMYEDISQDHVKKTVTIENHPHLPPPPMCSVHPCRHAEVMKKIIETVAEGGGELGVHMYLLIFLKFVQAVIPTIEYDYTRHFTM
+>DECOY_sp|Q9NT62|ATG3_HUMAN Ubiquitin-like-conjugating enzyme ATG3 OS=Homo sapiens OX=9606 GN=ATG3 PE=1 SV=1
+MTFHRTYDYEITPIVAQVFKLFILLYMHVGLEGGGEAVTEIIKKMVEAHRCPHVSCMPPPPLHPHNEITVTKKVHDQSIDEYMHEVTLPQRQEDYGFLWLRPTQYYKDYTIYLDYTRTQLIADEGGADTKAKCAEVIKRTDLTAEDTELLGSEEYEEMDAAEGEDEDEEEECLASCDQLRINDKNELTIEKVAETIGTIGTNHYTDVWGGDGDDEEIIAELEDSYEMQKCRKYCPVNKTVLFQKGTPLYAKVKLEEGTAWQWTPCHHVLHDGAAVFEEPTIVGTEKFKSEKLVPTLYEAVELAKGKVTNIVNQM
+>sp|Q9Y4P1|ATG4B_HUMAN Cysteine protease ATG4B OS=Homo sapiens OX=9606 GN=ATG4B PE=1 SV=2
+MDAATLTYDTLRFAEFEDFPETSEPVWILGRKYSIFTEKDEILSDVASRLWFTYRKNFPAIGGTGPTSDTGWGCMLRCGQMIFAQALVCRHLGRDWRWTQRKRQPDSYFSVLNAFIDRKDSYYSIHQIAQMGVGEGKSIGQWYGPNTVAQVLKKLAVFDTWSSLAVHIAMDNTVVMEEIRRLCRTSVPCAGATAFPADSDRHCNGFPAGAEVTNRPSPWRPLVLLIPLRLGLTDINEAYVETLKHCFMMPQSLGVIGGKPNSAHYFIGYVGEELIYLDPHTTQPAVEPTDGCFIPDESFHCQHPPCRMSIAELDPSIAVGFFCKTEDDFNDWCQQVKKLSLLGGALPMFELVELQPSHLACPDVLNLSLDSSDVERLERFFDSEDEDFEILSL
+>DECOY_sp|Q9Y4P1|ATG4B_HUMAN Cysteine protease ATG4B OS=Homo sapiens OX=9606 GN=ATG4B PE=1 SV=2
+LSLIEFDEDESDFFRELREVDSSDLSLNLVDPCALHSPQLEVLEFMPLAGGLLSLKKVQQCWDNFDDETKCFFGVAISPDLEAISMRCPPHQCHFSEDPIFCGDTPEVAPQTTHPDLYILEEGVYGIFYHASNPKGGIVGLSQPMMFCHKLTEVYAENIDTLGLRLPILLVLPRWPSPRNTVEAGAPFGNCHRDSDAPFATAGACPVSTRCLRRIEEMVVTNDMAIHVALSSWTDFVALKKLVQAVTNPGYWQGISKGEGVGMQAIQHISYYSDKRDIFANLVSFYSDPQRKRQTWRWDRGLHRCVLAQAFIMQGCRLMCGWGTDSTPGTGGIAPFNKRYTFWLRSAVDSLIEDKETFISYKRGLIWVPESTEPFDEFEAFRLTDYTLTAADM
+>sp|Q9H1Y0|ATG5_HUMAN Autophagy protein 5 OS=Homo sapiens OX=9606 GN=ATG5 PE=1 SV=2
+MTDDKDVLRDVWFGRIPTCFTLYQDEITEREAEPYYLLLPRVSYLTLVTDKVKKHFQKVMRQEDISEIWFEYEGTPLKWHYPIGLLFDLLASSSALPWNITVHFKSFPEKDLLHCPSKDAIEAHFMSCMKEADALKHKSQVINEMQKKDHKQLWMGLQNDRFDQFWAINRKLMEYPAEENGFRYIPFRIYQTTTERPFIQKLFRPVAADGQLHTLGDLLKEVCPSAIDPEDGEKKNQVMIHGIEPMLETPLQWLSEHLSYPDNFLHISIIPQPTD
+>DECOY_sp|Q9H1Y0|ATG5_HUMAN Autophagy protein 5 OS=Homo sapiens OX=9606 GN=ATG5 PE=1 SV=2
+DTPQPIISIHLFNDPYSLHESLWQLPTELMPEIGHIMVQNKKEGDEPDIASPCVEKLLDGLTHLQGDAAVPRFLKQIFPRETTTQYIRFPIYRFGNEEAPYEMLKRNIAWFQDFRDNQLGMWLQKHDKKQMENIVQSKHKLADAEKMCSMFHAEIADKSPCHLLDKEPFSKFHVTINWPLASSSALLDFLLGIPYHWKLPTGEYEFWIESIDEQRMVKQFHKKVKDTVLTLYSVRPLLLYYPEAERETIEDQYLTFCTPIRGFWVDRLVDKDDTM
+>sp|Q674R7|ATG9B_HUMAN Autophagy-related protein 9B OS=Homo sapiens OX=9606 GN=ATG9B PE=2 SV=1
+MVSRMGWGGRRRRLGRWGDLGPGSVPLLPMPLPPPPPPSCRGPGGGRISIFSLSPAPHTRSSPSSFSPPTAGPPCSVLQGTGASQSCHSALPIPATPPTQAQPAMTPASASPSWGSHSTPPLAPATPTPSQQCPQDSPGLRVGPLIPEQDYERLEDCDPEGSQDSPIHGEEQQPLLHVPEGLRGSWHHIQNLDSFFTKIYSYHQRNGFACILLEDVFQLGQFIFIVTFTTFLLRCVDYNVLFANQPSNHTRPGPFHSKVTLSDAILPSAQCAERIRSSPLLVLLLVLAAGFWLVQLLRSVCNLFSYWDIQVFYREALHIPPEELSSVPWAEVQSRLLALQRSGGLCVQPRPLTELDIHHRILRYTNYQVALANKGLLPARCPLPWGGSAAFLSRGLALNVDLLLFRGPFSLFRGGWELPHAYKRSDQRGALAARWGRTVLLLAALNLALSPLVLAWQVLHVFYSHVELLRREPGALGARGWSRLARLQLRHFNELPHELRARLARAYRPAAAFLRTAAPPAPLRTLLARQLVFFAGALFAALLVLTVYDEDVLAVEHVLTAMTALGVTATVARSFIPEEQCQGRAPQLLLQTALAHMHYLPEEPGPGGRDRAYRQMAQLLQYRAVSLLEELLSPLLTPLFLLFWFRPRALEIIDFFHHFTVDVAGVGDICSFALMDVKRHGHPQWLSAGQTEASLSQRAEDGKTELSLMRFSLAHPLWRPPGHSSKFLGHLWGRVQQDAAAWGATSARGPSTPGVLSNCTSPLPEAFLANLFVHPLLPPRDLSPTAPCPAAATASLLASISRIAQDPSSVSPGGTGGQKLAQLPELASAEMSLHVIYLHQLHQQQQQQEPWGEAAASILSRPCSSPSQPPSPDEEKPSWSSDGSSPASSPRQQWGTQKARNLFPGGFQVTTDTQKEPDRASCTD
+>DECOY_sp|Q674R7|ATG9B_HUMAN Autophagy-related protein 9B OS=Homo sapiens OX=9606 GN=ATG9B PE=2 SV=1
+DTCSARDPEKQTDTTVQFGGPFLNRAKQTGWQQRPSSAPSSGDSSWSPKEEDPSPPQSPSSCPRSLISAAAEGWPEQQQQQQHLQHLYIVHLSMEASALEPLQALKQGGTGGPSVSSPDQAIRSISALLSATAAAPCPATPSLDRPPLLPHVFLNALFAEPLPSTCNSLVGPTSPGRASTAGWAAADQQVRGWLHGLFKSSHGPPRWLPHALSFRMLSLETKGDEARQSLSAETQGASLWQPHGHRKVDMLAFSCIDGVGAVDVTFHHFFDIIELARPRFWFLLFLPTLLPSLLEELLSVARYQLLQAMQRYARDRGGPGPEEPLYHMHALATQLLLQPARGQCQEEPIFSRAVTATVGLATMATLVHEVALVDEDYVTLVLLAAFLAGAFFVLQRALLTRLPAPPAATRLFAAAPRYARALRARLEHPLENFHRLQLRALRSWGRAGLAGPERRLLEVHSYFVHLVQWALVLPSLALNLAALLLVTRGWRAALAGRQDSRKYAHPLEWGGRFLSFPGRFLLLDVNLALGRSLFAASGGWPLPCRAPLLGKNALAVQYNTYRLIRHHIDLETLPRPQVCLGGSRQLALLRSQVEAWPVSSLEEPPIHLAERYFVQIDWYSFLNCVSRLLQVLWFGAALVLLLVLLPSSRIREACQASPLIADSLTVKSHFPGPRTHNSPQNAFLVNYDVCRLLFTTFTVIFIFQGLQFVDELLICAFGNRQHYSYIKTFFSDLNQIHHWSGRLGEPVHLLPQQEEGHIPSDQSGEPDCDELREYDQEPILPGVRLGPSDQPCQQSPTPTAPALPPTSHSGWSPSASAPTMAPQAQTPPTAPIPLASHCSQSAGTGQLVSCPPGATPPSFSSPSSRTHPAPSLSFISIRGGGPGRCSPPPPPPLPMPLLPVSGPGLDGWRGLRRRRGGWGMRSVM
+>sp|Q13315|ATM_HUMAN Serine-protein kinase ATM OS=Homo sapiens OX=9606 GN=ATM PE=1 SV=4
+MSLVLNDLLICCRQLEHDRATERKKEVEKFKRLIRDPETIKHLDRHSDSKQGKYLNWDAVFRFLQKYIQKETECLRIAKPNVSASTQASRQKKMQEISSLVKYFIKCANRRAPRLKCQELLNYIMDTVKDSSNGAIYGADCSNILLKDILSVRKYWCEISQQQWLELFSVYFRLYLKPSQDVHRVLVARIIHAVTKGCCSQTDGLNSKFLDFFSKAIQCARQEKSSSGLNHILAALTIFLKTLAVNFRIRVCELGDEILPTLLYIWTQHRLNDSLKEVIIELFQLQIYIHHPKGAKTQEKGAYESTKWRSILYNLYDLLVNEISHIGSRGKYSSGFRNIAVKENLIELMADICHQVFNEDTRSLEISQSYTTTQRESSDYSVPCKRKKIELGWEVIKDHLQKSQNDFDLVPWLQIATQLISKYPASLPNCELSPLLMILSQLLPQQRHGERTPYVLRCLTEVALCQDKRSNLESSQKSDLLKLWNKIWCITFRGISSEQIQAENFGLLGAIIQGSLVEVDREFWKLFTGSACRPSCPAVCCLTLALTTSIVPGTVKMGIEQNMCEVNRSFSLKESIMKWLLFYQLEGDLENSTEVPPILHSNFPHLVLEKILVSLTMKNCKAAMNFFQSVPECEHHQKDKEELSFSEVEELFLQTTFDKMDFLTIVRECGIEKHQSSIGFSVHQNLKESLDRCLLGLSEQLLNNYSSEITNSETLVRCSRLLVGVLGCYCYMGVIAEEEAYKSELFQKAKSLMQCAGESITLFKNKTNEEFRIGSLRNMMQLCTRCLSNCTKKSPNKIASGFFLRLLTSKLMNDIADICKSLASFIKKPFDRGEVESMEDDTNGNLMEVEDQSSMNLFNDYPDSSVSDANEPGESQSTIGAINPLAEEYLSKQDLLFLDMLKFLCLCVTTAQTNTVSFRAADIRRKLLMLIDSSTLEPTKSLHLHMYLMLLKELPGEEYPLPMEDVLELLKPLSNVCSLYRRDQDVCKTILNHVLHVVKNLGQSNMDSENTRDAQGQFLTVIGAFWHLTKERKYIFSVRMALVNCLKTLLEADPYSKWAILNVMGKDFPVNEVFTQFLADNHHQVRMLAAESINRLFQDTKGDSSRLLKALPLKLQQTAFENAYLKAQEGMREMSHSAENPETLDEIYNRKSVLLTLIAVVLSCSPICEKQALFALCKSVKENGLEPHLVKKVLEKVSETFGYRRLEDFMASHLDYLVLEWLNLQDTEYNLSSFPFILLNYTNIEDFYRSCYKVLIPHLVIRSHFDEVKSIANQIQEDWKSLLTDCFPKILVNILPYFAYEGTRDSGMAQQRETATKVYDMLKSENLLGKQIDHLFISNLPEIVVELLMTLHEPANSSASQSTDLCDFSGDLDPAPNPPHFPSHVIKATFAYISNCHKTKLKSILEILSKSPDSYQKILLAICEQAAETNNVYKKHRILKIYHLFVSLLLKDIKSGLGGAWAFVLRDVIYTLIHYINQRPSCIMDVSLRSFSLCCDLLSQVCQTAVTYCKDALENHLHVIVGTLIPLVYEQVEVQKQVLDLLKYLVIDNKDNENLYITIKLLDPFPDHVVFKDLRITQQKIKYSRGPFSLLEEINHFLSVSVYDALPLTRLEGLKDLRRQLELHKDQMVDIMRASQDNPQDGIMVKLVVNLLQLSKMAINHTGEKEVLEAVGSCLGEVGPIDFSTIAIQHSKDASYTKALKLFEDKELQWTFIMLTYLNNTLVEDCVKVRSAAVTCLKNILATKTGHSFWEIYKMTTDPMLAYLQPFRTSRKKFLEVPRFDKENPFEGLDDINLWIPLSENHDIWIKTLTCAFLDSGGTKCEILQLLKPMCEVKTDFCQTVLPYLIHDILLQDTNESWRNLLSTHVQGFFTSCLRHFSQTSRSTTPANLDSESEHFFRCCLDKKSQRTMLAVVDYMRRQKRPSSGTIFNDAFWLDLNYLEVAKVAQSCAAHFTALLYAEIYADKKSMDDQEKRSLAFEEGSQSTTISSLSEKSKEETGISLQDLLLEIYRSIGEPDSLYGCGGGKMLQPITRLRTYEHEAMWGKALVTYDLETAIPSSTRQAGIIQALQNLGLCHILSVYLKGLDYENKDWCPELEELHYQAAWRNMQWDHCTSVSKEVEGTSYHESLYNALQSLRDREFSTFYESLKYARVKEVEEMCKRSLESVYSLYPTLSRLQAIGELESIGELFSRSVTHRQLSEVYIKWQKHSQLLKDSDFSFQEPIMALRTVILEILMEKEMDNSQRECIKDILTKHLVELSILARTFKNTQLPERAIFQIKQYNSVSCGVSEWQLEEAQVFWAKKEQSLALSILKQMIKKLDASCAANNPSLKLTYTECLRVCGNWLAETCLENPAVIMQTYLEKAVEVAGNYDGESSDELRNGKMKAFLSLARFSDTQYQRIENYMKSSEFENKQALLKRAKEEVGLLREHKIQTNRYTVKVQRELELDELALRALKEDRKRFLCKAVENYINCLLSGEEHDMWVFRLCSLWLENSGVSEVNGMMKRDGMKIPTYKFLPLMYQLAARMGTKMMGGLGFHEVLNNLISRISMDHPHHTLFIILALANANRDEFLTKPEVARRSRITKNVPKQSSQLDEDRTEAANRIICTIRSRRPQMVRSVEALCDAYIILANLDATQWKTQRKGINIPADQPITKLKNLEDVVVPTMEIKVDHTGEYGNLVTIQSFKAEFRLAGGVNLPKIIDCVGSDGKERRQLVKGRDDLRQDAVMQQVFQMCNTLLQRNTETRKRKLTICTYKVVPLSQRSGVLEWCTGTVPIGEFLVNNEDGAHKRYRPNDFSAFQCQKKMMEVQKKSFEEKYEVFMDVCQNFQPVFRYFCMEKFLDPAIWFEKRLAYTRSVATSSIVGYILGLGDRHVQNILINEQSAELVHIDLGVAFEQGKILPTPETVPFRLTRDIVDGMGITGVEGVFRRCCEKTMEVMRNSQETLLTIVEVLLYDPLFDWTMNPLKALYLQQRPEDETELHPTLNADDQECKRNLSDIDQSFNKVAERVLMRLQEKLKGVEEGTVLSVGGQVNLLIQQAIDPKNLSRLFPGWKAWV
+>DECOY_sp|Q13315|ATM_HUMAN Serine-protein kinase ATM OS=Homo sapiens OX=9606 GN=ATM PE=1 SV=4
+VWAKWGPFLRSLNKPDIAQQILLNVQGGVSLVTGEEVGKLKEQLRMLVREAVKNFSQDIDSLNRKCEQDDANLTPHLETEDEPRQQLYLAKLPNMTWDFLPDYLLVEVITLLTEQSNRMVEMTKECCRRFVGEVGTIGMGDVIDRTLRFPVTEPTPLIKGQEFAVGLDIHVLEASQENILINQVHRDGLGLIYGVISSTAVSRTYALRKEFWIAPDLFKEMCFYRFVPQFNQCVDMFVEYKEEFSKKQVEMMKKQCQFASFDNPRYRKHAGDENNVLFEGIPVTGTCWELVGSRQSLPVVKYTCITLKRKRTETNRQLLTNCMQFVQQMVADQRLDDRGKVLQRREKGDSGVCDIIKPLNVGGALRFEAKFSQITVLNGYEGTHDVKIEMTPVVVDELNKLKTIPQDAPINIGKRQTKWQTADLNALIIYADCLAEVSRVMQPRRSRITCIIRNAAETRDEDLQSSQKPVNKTIRSRRAVEPKTLFEDRNANALALIIFLTHHPHDMSIRSILNNLVEHFGLGGMMKTGMRAALQYMLPLFKYTPIKMGDRKMMGNVESVGSNELWLSCLRFVWMDHEEGSLLCNIYNEVAKCLFRKRDEKLARLALEDLELERQVKVTYRNTQIKHERLLGVEEKARKLLAQKNEFESSKMYNEIRQYQTDSFRALSLFAKMKGNRLEDSSEGDYNGAVEVAKELYTQMIVAPNELCTEALWNGCVRLCETYTLKLSPNNAACSADLKKIMQKLISLALSQEKKAWFVQAEELQWESVGCSVSNYQKIQFIAREPLQTNKFTRALISLEVLHKTLIDKICERQSNDMEKEMLIELIVTRLAMIPEQFSFDSDKLLQSHKQWKIYVESLQRHTVSRSFLEGISELEGIAQLRSLTPYLSYVSELSRKCMEEVEKVRAYKLSEYFTSFERDRLSQLANYLSEHYSTGEVEKSVSTCHDWQMNRWAAQYHLEELEPCWDKNEYDLGKLYVSLIHCLGLNQLAQIIGAQRTSSPIATELDYTVLAKGWMAEHEYTRLRTIPQLMKGGGCGYLSDPEGISRYIELLLDQLSIGTEEKSKESLSSITTSQSGEEFALSRKEQDDMSKKDAYIEAYLLATFHAACSQAVKAVELYNLDLWFADNFITGSSPRKQRRMYDVVALMTRQSKKDLCCRFFHESESDLNAPTTSRSTQSFHRLCSTFFGQVHTSLLNRWSENTDQLLIDHILYPLVTQCFDTKVECMPKLLQLIECKTGGSDLFACTLTKIWIDHNESLPIWLNIDDLGEFPNEKDFRPVELFKKRSTRFPQLYALMPDTTMKYIEWFSHGTKTALINKLCTVAASRVKVCDEVLTNNLYTLMIFTWQLEKDEFLKLAKTYSADKSHQIAITSFDIPGVEGLCSGVAELVEKEGTHNIAMKSLQLLNVVLKVMIGDQPNDQSARMIDVMQDKHLELQRRLDKLGELRTLPLADYVSVSLFHNIEELLSFPGRSYKIKQQTIRLDKFVVHDPFPDLLKITIYLNENDKNDIVLYKLLDLVQKQVEVQEYVLPILTGVIVHLHNELADKCYTVATQCVQSLLDCCLSFSRLSVDMICSPRQNIYHILTYIVDRLVFAWAGGLGSKIDKLLLSVFLHYIKLIRHKKYVNNTEAAQECIALLIKQYSDPSKSLIELISKLKTKHCNSIYAFTAKIVHSPFHPPNPAPDLDGSFDCLDTSQSASSNAPEHLTMLLEVVIEPLNSIFLHDIQKGLLNESKLMDYVKTATERQQAMGSDRTGEYAFYPLINVLIKPFCDTLLSKWDEQIQNAISKVEDFHSRIVLHPILVKYCSRYFDEINTYNLLIFPFSSLNYETDQLNLWELVLYDLHSAMFDELRRYGFTESVKELVKKVLHPELGNEKVSKCLAFLAQKECIPSCSLVVAILTLLVSKRNYIEDLTEPNEASHSMERMGEQAKLYANEFATQQLKLPLAKLLRSSDGKTDQFLRNISEAALMRVQHHNDALFQTFVENVPFDKGMVNLIAWKSYPDAELLTKLCNVLAMRVSFIYKREKTLHWFAGIVTLFQGQADRTNESDMNSQGLNKVVHLVHNLITKCVDQDRRYLSCVNSLPKLLELVDEMPLPYEEGPLEKLLMLYMHLHLSKTPELTSSDILMLLKRRIDAARFSVTNTQATTVCLCLFKLMDLFLLDQKSLYEEALPNIAGITSQSEGPENADSVSSDPYDNFLNMSSQDEVEMLNGNTDDEMSEVEGRDFPKKIFSALSKCIDAIDNMLKSTLLRLFFGSAIKNPSKKTCNSLCRTCLQMMNRLSGIRFEENTKNKFLTISEGACQMLSKAKQFLESKYAEEEAIVGMYCYCGLVGVLLRSCRVLTESNTIESSYNNLLQESLGLLCRDLSEKLNQHVSFGISSQHKEIGCERVITLFDMKDFTTQLFLEEVESFSLEEKDKQHHECEPVSQFFNMAAKCNKMTLSVLIKELVLHPFNSHLIPPVETSNELDGELQYFLLWKMISEKLSFSRNVECMNQEIGMKVTGPVISTTLALTLCCVAPCSPRCASGTFLKWFERDVEVLSGQIIAGLLGFNEAQIQESSIGRFTICWIKNWLKLLDSKQSSELNSRKDQCLAVETLCRLVYPTREGHRQQPLLQSLIMLLPSLECNPLSAPYKSILQTAIQLWPVLDFDNQSKQLHDKIVEWGLEIKKRKCPVSYDSSERQTTTYSQSIELSRTDENFVQHCIDAMLEILNEKVAINRFGSSYKGRSGIHSIENVLLDYLNYLISRWKTSEYAGKEQTKAGKPHHIYIQLQFLEIIVEKLSDNLRHQTWIYLLTPLIEDGLECVRIRFNVALTKLFITLAALIHNLGSSSKEQRACQIAKSFFDLFKSNLGDTQSCCGKTVAHIIRAVLVRHVDQSPKLYLRFYVSFLELWQQQSIECWYKRVSLIDKLLINSCDAGYIAGNSSDKVTDMIYNLLEQCKLRPARRNACKIFYKVLSSIEQMKKQRSAQTSASVNPKAIRLCETEKQIYKQLFRFVADWNLYKGQKSDSHRDLHKITEPDRILRKFKEVEKKRETARDHELQRCCILLDNLVLSM
+>sp|Q04656|ATP7A_HUMAN Copper-transporting ATPase 1 OS=Homo sapiens OX=9606 GN=ATP7A PE=1 SV=3
+MDPSMGVNSVTISVEGMTCNSCVWTIEQQIGKVNGVHHIKVSLEEKNATIIYDPKLQTPKTLQEAIDDMGFDAVIHNPDPLPVLTDTLFLTVTASLTLPWDHIQSTLLKTKGVTDIKIYPQKRTVAVTIIPSIVNANQIKELVPELSLDTGTLEKKSGACEDHSMAQAGEVVLKMKVEGMTCHSCTSTIEGKIGKLQGVQRIKVSLDNQEATIVYQPHLISVEEMKKQIEAMGFPAFVKKQPKYLKLGAIDVERLKNTPVKSSEGSQQRSPSYTNDSTATFIIDGMHCKSCVSNIESTLSALQYVSSIVVSLENRSAIVKYNASSVTPESLRKAIEAVSPGLYRVSITSEVESTSNSPSSSSLQKIPLNVVSQPLTQETVINIDGMTCNSCVQSIEGVISKKPGVKSIRVSLANSNGTVEYDPLLTSPETLRGAIEDMGFDATLSDTNEPLVVIAQPSSEMPLLTSTNEFYTKGMTPVQDKEEGKNSSKCYIQVTGMTCASCVANIERNLRREEGIYSILVALMAGKAEVRYNPAVIQPPMIAEFIRELGFGATVIENADEGDGVLELVVRGMTCASCVHKIESSLTKHRGILYCSVALATNKAHIKYDPEIIGPRDIIHTIESLGFEASLVKKDRSASHLDHKREIRQWRRSFLVSLFFCIPVMGLMIYMMVMDHHFATLHHNQNMSKEEMINLHSSMFLERQILPGLSVMNLLSFLLCVPVQFFGGWYFYIQAYKALKHKTANMDVLIVLATTIAFAYSLIILLVAMYERAKVNPITFFDTPPMLFVFIALGRWLEHIAKGKTSEALAKLISLQATEATIVTLDSDNILLSEEQVDVELVQRGDIIKVVPGGKFPVDGRVIEGHSMVDESLITGEAMPVAKKPGSTVIAGSINQNGSLLICATHVGADTTLSQIVKLVEEAQTSKAPIQQFADKLSGYFVPFIVFVSIATLLVWIVIGFLNFEIVETYFPGYNRSISRTETIIRFAFQASITVLCIACPCSLGLATPTAVMVGTGVGAQNGILIKGGEPLEMAHKVKVVVFDKTGTITHGTPVVNQVKVLTESNRISHHKILAIVGTAESNSEHPLGTAITKYCKQELDTETLGTCIDFQVVPGCGISCKVTNIEGLLHKNNWNIEDNNIKNASLVQIDASNEQSSTSSSMIIDAQISNALNAQQYKVLIGNREWMIRNGLVINNDVNDFMTEHERKGRTAVLVAVDDELCGLIAIADTVKPEAELAIHILKSMGLEVVLMTGDNSKTARSIASQVGITKVFAEVLPSHKVAKVKQLQEEGKRVAMVGDGINDSPALAMANVGIAIGTGTDVAIEAADVVLIRNDLLDVVASIDLSRETVKRIRINFVFALIYNLVGIPIAAGVFMPIGLVLQPWMGSAAMAASSVSVVLSSLFLKLYRKPTYESYELPARSQIGQKSPSEISVHVGIDDTSRNSPKLGLLDRIVNYSRASINSLLSDKRSLNSVVTSEPDKHSLLVGDFREDDDTAL
+>DECOY_sp|Q04656|ATP7A_HUMAN Copper-transporting ATPase 1 OS=Homo sapiens OX=9606 GN=ATP7A PE=1 SV=3
+LATDDDERFDGVLLSHKDPESTVVSNLSRKDSLLSNISARSYNVIRDLLGLKPSNRSTDDIGVHVSIESPSKQGIQSRAPLEYSEYTPKRYLKLFLSSLVVSVSSAAMAASGMWPQLVLGIPMFVGAAIPIGVLNYILAFVFNIRIRKVTERSLDISAVVDLLDNRILVVDAAEIAVDTGTGIAIGVNAMALAPSDNIGDGVMAVRKGEEQLQKVKAVKHSPLVEAFVKTIGVQSAISRATKSNDGTMLVVELGMSKLIHIALEAEPKVTDAIAILGCLEDDVAVLVATRGKREHETMFDNVDNNIVLGNRIMWERNGILVKYQQANLANSIQADIIMSSSTSSQENSADIQVLSANKINNDEINWNNKHLLGEINTVKCSIGCGPVVQFDICTGLTETDLEQKCYKTIATGLPHESNSEATGVIALIKHHSIRNSETLVKVQNVVPTGHTITGTKDFVVVKVKHAMELPEGGKILIGNQAGVGTGVMVATPTALGLSCPCAICLVTISAQFAFRIITETRSISRNYGPFYTEVIEFNLFGIVIWVLLTAISVFVIFPVFYGSLKDAFQQIPAKSTQAEEVLKVIQSLTTDAGVHTACILLSGNQNISGAIVTSGPKKAVPMAEGTILSEDVMSHGEIVRGDVPFKGGPVVKIIDGRQVLEVDVQEESLLINDSDLTVITAETAQLSILKALAESTKGKAIHELWRGLAIFVFLMPPTDFFTIPNVKAREYMAVLLIILSYAFAITTALVILVDMNATKHKLAKYAQIYFYWGGFFQVPVCLLFSLLNMVSLGPLIQRELFMSSHLNIMEEKSMNQNHHLTAFHHDMVMMYIMLGMVPICFFLSVLFSRRWQRIERKHDLHSASRDKKVLSAEFGLSEITHIIDRPGIIEPDYKIHAKNTALAVSCYLIGRHKTLSSEIKHVCSACTMGRVVLELVGDGEDANEIVTAGFGLERIFEAIMPPQIVAPNYRVEAKGAMLAVLISYIGEERRLNREINAVCSACTMGTVQIYCKSSNKGEEKDQVPTMGKTYFENTSTLLPMESSPQAIVVLPENTDSLTADFGMDEIAGRLTEPSTLLPDYEVTGNSNALSVRISKVGPKKSIVGEISQVCSNCTMGDINIVTEQTLPQSVVNLPIKQLSSSSPSNSTSEVESTISVRYLGPSVAEIAKRLSEPTVSSANYKVIASRNELSVVISSVYQLASLTSEINSVCSKCHMGDIIFTATSDNTYSPSRQQSGESSKVPTNKLREVDIAGLKLYKPQKKVFAPFGMAEIQKKMEEVSILHPQYVITAEQNDLSVKIRQVGQLKGIKGEITSTCSHCTMGEVKMKLVVEGAQAMSHDECAGSKKELTGTDLSLEPVLEKIQNANVISPIITVAVTRKQPYIKIDTVGKTKLLTSQIHDWPLTLSATVTLFLTDTLVPLPDPNHIVADFGMDDIAEQLTKPTQLKPDYIITANKEELSVKIHHVGNVKGIQQEITWVCSNCTMGEVSITVSNVGMSPDM
+>sp|P35670|ATP7B_HUMAN Copper-transporting ATPase 2 OS=Homo sapiens OX=9606 GN=ATP7B PE=1 SV=4
+MPEQERQITAREGASRKILSKLSLPTRAWEPAMKKSFAFDNVGYEGGLDGLGPSSQVATSTVRILGMTCQSCVKSIEDRISNLKGIISMKVSLEQGSATVKYVPSVVCLQQVCHQIGDMGFEASIAEGKAASWPSRSLPAQEAVVKLRVEGMTCQSCVSSIEGKVRKLQGVVRVKVSLSNQEAVITYQPYLIQPEDLRDHVNDMGFEAAIKSKVAPLSLGPIDIERLQSTNPKRPLSSANQNFNNSETLGHQGSHVVTLQLRIDGMHCKSCVLNIEENIGQLLGVQSIQVSLENKTAQVKYDPSCTSPVALQRAIEALPPGNFKVSLPDGAEGSGTDHRSSSSHSPGSPPRNQVQGTCSTTLIAIAGMTCASCVHSIEGMISQLEGVQQISVSLAEGTATVLYNPSVISPEELRAAIEDMGFEASVVSESCSTNPLGNHSAGNSMVQTTDGTPTSVQEVAPHTGRLPANHAPDILAKSPQSTRAVAPQKCFLQIKGMTCASCVSNIERNLQKEAGVLSVLVALMAGKAEIKYDPEVIQPLEIAQFIQDLGFEAAVMEDYAGSDGNIELTITGMTCASCVHNIESKLTRTNGITYASVALATSKALVKFDPEIIGPRDIIKIIEEIGFHASLAQRNPNAHHLDHKMEIKQWKKSFLCSLVFGIPVMALMIYMLIPSNEPHQSMVLDHNIIPGLSILNLIFFILCTFVQLLGGWYFYVQAYKSLRHRSANMDVLIVLATSIAYVYSLVILVVAVAEKAERSPVTFFDTPPMLFVFIALGRWLEHLAKSKTSEALAKLMSLQATEATVVTLGEDNLIIREEQVPMELVQRGDIVKVVPGGKFPVDGKVLEGNTMADESLITGEAMPVTKKPGSTVIAGSINAHGSVLIKATHVGNDTTLAQIVKLVEEAQMSKAPIQQLADRFSGYFVPFIIIMSTLTLVVWIVIGFIDFGVVQRYFPNPNKHISQTEVIIRFAFQTSITVLCIACPCSLGLATPTAVMVGTGVAAQNGILIKGGKPLEMAHKIKTVMFDKTGTITHGVPRVMRVLLLGDVATLPLRKVLAVVGTAEASSEHPLGVAVTKYCKEELGTETLGYCTDFQAVPGCGIGCKVSNVEGILAHSERPLSAPASHLNEAGSLPAEKDAVPQTFSVLIGNREWLRRNGLTISSDVSDAMTDHEMKGQTAILVAIDGVLCGMIAIADAVKQEAALAVHTLQSMGVDVVLITGDNRKTARAIATQVGINKVFAEVLPSHKVAKVQELQNKGKKVAMVGDGVNDSPALAQADMGVAIGTGTDVAIEAADVVLIRNDLLDVVASIHLSKRTVRRIRINLVLALIYNLVGIPIAAGVFMPIGIVLQPWMGSAAMAASSVSVVLSSLQLKCYKKPDLERYEAQAHGHMKPLTASQVSVHIGMDDRWRDSPRATPWDQVSYVSQVSLSSLTSDKPSRHSAAADDDGDKWSLLLNGRDEEQYI
+>DECOY_sp|P35670|ATP7B_HUMAN Copper-transporting ATPase 2 OS=Homo sapiens OX=9606 GN=ATP7B PE=1 SV=4
+IYQEEDRGNLLLSWKDGDDDAAASHRSPKDSTLSSLSVQSVYSVQDWPTARPSDRWRDDMGIHVSVQSATLPKMHGHAQAEYRELDPKKYCKLQLSSLVVSVSSAAMAASGMWPQLVIGIPMFVGAAIPIGVLNYILALVLNIRIRRVTRKSLHISAVVDLLDNRILVVDAAEIAVDTGTGIAVGMDAQALAPSDNVGDGVMAVKKGKNQLEQVKAVKHSPLVEAFVKNIGVQTAIARATKRNDGTILVVDVGMSQLTHVALAAEQKVADAIAIMGCLVGDIAVLIATQGKMEHDTMADSVDSSITLGNRRLWERNGILVSFTQPVADKEAPLSGAENLHSAPASLPRESHALIGEVNSVKCGIGCGPVAQFDTCYGLTETGLEEKCYKTVAVGLPHESSAEATGVVALVKRLPLTAVDGLLLVRMVRPVGHTITGTKDFMVTKIKHAMELPKGGKILIGNQAAVGTGVMVATPTALGLSCPCAICLVTISTQFAFRIIVETQSIHKNPNPFYRQVVGFDIFGIVIWVVLTLTSMIIIFPVFYGSFRDALQQIPAKSMQAEEVLKVIQALTTDNGVHTAKILVSGHANISGAIVTSGPKKTVPMAEGTILSEDAMTNGELVKGDVPFKGGPVVKVIDGRQVLEMPVQEERIILNDEGLTVVTAETAQLSMLKALAESTKSKALHELWRGLAIFVFLMPPTDFFTVPSREAKEAVAVVLIVLSYVYAISTALVILVDMNASRHRLSKYAQVYFYWGGLLQVFTCLIFFILNLISLGPIINHDLVMSQHPENSPILMYIMLAMVPIGFVLSCLFSKKWQKIEMKHDLHHANPNRQALSAHFGIEEIIKIIDRPGIIEPDFKVLAKSTALAVSAYTIGNTRTLKSEINHVCSACTMGTITLEINGDSGAYDEMVAAEFGLDQIFQAIELPQIVEPDYKIEAKGAMLAVLVSLVGAEKQLNREINSVCSACTMGKIQLFCKQPAVARTSQPSKALIDPAHNAPLRGTHPAVEQVSTPTGDTTQVMSNGASHNGLPNTSCSESVVSAEFGMDEIAARLEEPSIVSPNYLVTATGEALSVSIQQVGELQSIMGEISHVCSACTMGAIAILTTSCTGQVQNRPPSGPSHSSSSRHDTGSGEAGDPLSVKFNGPPLAEIARQLAVPSTCSPDYKVQATKNELSVQISQVGLLQGINEEINLVCSKCHMGDIRLQLTVVHSGQHGLTESNNFNQNASSLPRKPNTSQLREIDIPGLSLPAVKSKIAAEFGMDNVHDRLDEPQILYPQYTIVAEQNSLSVKVRVVGQLKRVKGEISSVCSQCTMGEVRLKVVAEQAPLSRSPWSAAKGEAISAEFGMDGIQHCVQQLCVVSPVYKVTASGQELSVKMSIIGKLNSIRDEISKVCSQCTMGLIRVTSTAVQSSPGLGDLGGEYGVNDFAFSKKMAPEWARTPLSLKSLIKRSAGERATIQREQEPM
+>sp|P25705|ATPA_HUMAN ATP synthase subunit alpha, mitochondrial OS=Homo sapiens OX=9606 GN=ATP5F1A PE=1 SV=1
+MLSVRVAAAVVRALPRRAGLVSRNALGSSFIAARNFHASNTHLQKTGTAEMSSILEERILGADTSVDLEETGRVLSIGDGIARVHGLRNVQAEEMVEFSSGLKGMSLNLEPDNVGVVVFGNDKLIKEGDIVKRTGAIVDVPVGEELLGRVVDALGNAIDGKGPIGSKTRRRVGLKAPGIIPRISVREPMQTGIKAVDSLVPIGRGQRELIIGDRQTGKTSIAIDTIINQKRFNDGSDEKKKLYCIYVAIGQKRSTVAQLVKRLTDADAMKYTIVVSATASDAAPLQYLAPYSGCSMGEYFRDNGKHALIIYDDLSKQAVAYRQMSLLLRRPPGREAYPGDVFYLHSRLLERAAKMNDAFGGGSLTALPVIETQAGDVSAYIPTNVISITDGQIFLETELFYKGIRPAINVGLSVSRVGSAAQTRAMKQVAGTMKLELAQYREVAAFAQFGSDLDAATQQLLSRGVRLTELLKQGQYSPMAIEEQVAVIYAGVRGYLDKLEPSKITKFENAFLSHVVSQHQALLGTIRADGKISEQSDAKLKEIVTNFLAGFEA
+>DECOY_sp|P25705|ATPA_HUMAN ATP synthase subunit alpha, mitochondrial OS=Homo sapiens OX=9606 GN=ATP5F1A PE=1 SV=1
+AEFGALFNTVIEKLKADSQESIKGDARITGLLAQHQSVVHSLFANEFKTIKSPELKDLYGRVGAYIVAVQEEIAMPSYQGQKLLETLRVGRSLLQQTAADLDSGFQAFAAVERYQALELKMTGAVQKMARTQAASGVRSVSLGVNIAPRIGKYFLETELFIQGDTISIVNTPIYASVDGAQTEIVPLATLSGGGFADNMKAARELLRSHLYFVDGPYAERGPPRRLLLSMQRYAVAQKSLDDYIILAHKGNDRFYEGMSCGSYPALYQLPAADSATASVVITYKMADADTLRKVLQAVTSRKQGIAVYICYLKKKEDSGDNFRKQNIITDIAISTKGTQRDGIILERQGRGIPVLSDVAKIGTQMPERVSIRPIIGPAKLGVRRRTKSGIPGKGDIANGLADVVRGLLEEGVPVDVIAGTRKVIDGEKILKDNGFVVVGVNDPELNLSMGKLGSSFEVMEEAQVNRLGHVRAIGDGISLVRGTEELDVSTDAGLIREELISSMEATGTKQLHTNSAHFNRAAIFSSGLANRSVLGARRPLARVVAAAVRVSLM
+>sp|Q92481|AP2B_HUMAN Transcription factor AP-2-beta OS=Homo sapiens OX=9606 GN=TFAP2B PE=1 SV=2
+MHSPPRDQAAIMLWKLVENVKYEDIYEDRHDGVPSHSSRLSQLGSVSQGPYSSAPPLSHTPSSDFQPPYFPPPYQPLPYHQSQDPYSHVNDPYSLNPLHQPQQHPWGQRQRQEVGSEAGSLLPQPRAALPQLSGLDPRRDYHSVRRPDVLLHSAHHGLDAGMGDSLSLHGLGHPGMEDVQSVEDANNSGMNLLDQSVIKKVPVPPKSVTSLMMNKDGFLGGMSVNTGEVFCSVPGRLSLLSSTSKYKVTVGEVQRRLSPPECLNASLLGGVLRRAKSKNGGRSLRERLEKIGLNLPAGRRKAANVTLLTSLVEGEAVHLARDFGYICETEFPAKAVSEYLNRQHTDPSDLHSRKNMLLATKQLCKEFTDLLAQDRTPIGNSRPSPILEPGIQSCLTHFSLITHGFGAPAICAALTALQNYLTEALKGMDKMFLNNTTTNRHTSGEGPGSKTGDKEEKHRK
+>DECOY_sp|Q92481|AP2B_HUMAN Transcription factor AP-2-beta OS=Homo sapiens OX=9606 GN=TFAP2B PE=1 SV=2
+KRHKEEKDGTKSGPGEGSTHRNTTTNNLFMKDMGKLAETLYNQLATLAACIAPAGFGHTILSFHTLCSQIGPELIPSPRSNGIPTRDQALLDTFEKCLQKTALLMNKRSHLDSPDTHQRNLYESVAKAPFETECIYGFDRALHVAEGEVLSTLLTVNAAKRRGAPLNLGIKELRERLSRGGNKSKARRLVGGLLSANLCEPPSLRRQVEGVTVKYKSTSSLLSLRGPVSCFVEGTNVSMGGLFGDKNMMLSTVSKPPVPVKKIVSQDLLNMGSNNADEVSQVDEMGPHGLGHLSLSDGMGADLGHHASHLLVDPRRVSHYDRRPDLGSLQPLAARPQPLLSGAESGVEQRQRQGWPHQQPQHLPNLSYPDNVHSYPDQSQHYPLPQYPPPFYPPQFDSSPTHSLPPASSYPGQSVSGLQSLRSSHSPVGDHRDEYIDEYKVNEVLKWLMIAAQDRPPSHM
+>sp|Q9H0R1|AP5M1_HUMAN AP-5 complex subunit mu-1 OS=Homo sapiens OX=9606 GN=AP5M1 PE=1 SV=2
+MAQRAVWLISHEPGTPLCGTVRFSRRYPTVEKRARVFNGASYVPVPEDGPFLKALLFELRLLDDDKDFVESRDSCSRINKTSIYGLLIGGEELWPVVAFLKNDMIYACVPLVEQTLSPRPPLISVSGVSQGFEFLFGIQDFLYSGQKNDSELNTKLSQLPDLLLQACPFGTLLDANLQNSLDNTNFASVTQPQKQPAWKTGTYKGKPQVSISITEKVKSMQYDKQGIADTWQVVGTVTCKCDLEGIMPNVTISLSLPTNGSPLQDILVHPCVTSLDSAILTSSSIDAMDDSAFSGPYKFPFTPPLESFNLCFYTSQVPVPPILGFYQMKEEEVQLRITINLKLHESVKNNFEFCEAHIPFYNRGPITHLEYKTSFGQLEVFREKSLLIWIIGQKFPKSMEISLSGTVTFGAKSHEKQPFDPICTGETAYLKLHFRILDYTLTGCYADQHSVQVFASGKPKISAHRKLISSDYYIWNSKAPAPVTYGSLLL
+>DECOY_sp|Q9H0R1|AP5M1_HUMAN AP-5 complex subunit mu-1 OS=Homo sapiens OX=9606 GN=AP5M1 PE=1 SV=2
+LLLSGYTVPAPAKSNWIYYDSSILKRHASIKPKGSAFVQVSHQDAYCGTLTYDLIRFHLKLYATEGTCIPDFPQKEHSKAGFTVTGSLSIEMSKPFKQGIIWILLSKERFVELQGFSTKYELHTIPGRNYFPIHAECFEFNNKVSEHLKLNITIRLQVEEEKMQYFGLIPPVPVQSTYFCLNFSELPPTFPFKYPGSFASDDMADISSSTLIASDLSTVCPHVLIDQLPSGNTPLSLSITVNPMIGELDCKCTVTGVVQWTDAIGQKDYQMSKVKETISISVQPKGKYTGTKWAPQKQPQTVSAFNTNDLSNQLNADLLTGFPCAQLLLDPLQSLKTNLESDNKQGSYLFDQIGFLFEFGQSVGSVSILPPRPSLTQEVLPVCAYIMDNKLFAVVPWLEEGGILLGYISTKNIRSCSDRSEVFDKDDDLLRLEFLLAKLFPGDEPVPVYSAGNFVRARKEVTPYRRSFRVTGCLPTGPEHSILWVARQAM
+>sp|Q9NUS5|AP5S1_HUMAN AP-5 complex subunit sigma-1 OS=Homo sapiens OX=9606 GN=AP5S1 PE=1 SV=1
+MVHAFLIHTLRAPNTEDTGLCRVLYSCVFGAEKSPDDPRPHGAERDRLLRKEQILAVARQVESMCRLQQQASGRPPMDLQPQSSDEQVPLHEAPRGAFRLAAENPFQEPRTVVWLGVLSLGFALVLDAHENLLLAEGTLRLLTRLLLDHLRLLAPSTSLLLRADRIEGILTRFLPHGQLLFLNDQFVQGLEKEFSAAWPR
+>DECOY_sp|Q9NUS5|AP5S1_HUMAN AP-5 complex subunit sigma-1 OS=Homo sapiens OX=9606 GN=AP5S1 PE=1 SV=1
+RPWAASFEKELGQVFQDNLFLLQGHPLFRTLIGEIRDARLLLSTSPALLRLHDLLLRTLLRLTGEALLLNEHADLVLAFGLSLVGLWVVTRPEQFPNEAALRFAGRPAEHLPVQEDSSQPQLDMPPRGSAQQQLRCMSEVQRAVALIQEKRLLRDREAGHPRPDDPSKEAGFVCSYLVRCLGTDETNPARLTHILFAHVM
+>sp|Q99767|APBA2_HUMAN Amyloid-beta A4 precursor protein-binding family A member 2 OS=Homo sapiens OX=9606 GN=APBA2 PE=1 SV=3
+MAHRKLESVGSGMLDHRVRPGPVPHSQEPESEDMELPLEGYVPEGLELAALRPESPAPEEQECHNHSPDGDSSSDYVNNTSEEEDYDEGLPEEEEGITYYIRYCPEDDSYLEGMDCNGEEYLAHSAHPVDTDECQEAVEEWTDSAGPHPHGHEAEGSQDYPDGQLPIPEDEPSVLEAHDQEEDGHYCASKEGYQDYYPEEANGNTGASPYRLRRGDGDLEDQEEDIDQIVAEIKMSLSMTSITSASEASPEHGPEPGPEDSVEACPPIKASCSPSRHEARPKSLNLLPEAKHPGDPQRGFKPKTRTPEERLKWPHEQVCNGLEQPRKQQRSDLNGPVDNNNIPETKKVASFPSFVAVPGPCEPEDLIDGIIFAANYLGSTQLLSERNPSKNIRMMQAQEAVSRVKRMQKAAKIKKKANSEGDAQTLTEVDLFISTQRIKVLNADTQETMMDHALRTISYIADIGNIVVLMARRRMPRSASQDCIETTPGAQEGKKQYKMICHVFESEDAQLIAQSIGQAFSVAYQEFLRANGINPEDLSQKEYSDIINTQEMYNDDLIHFSNSENCKELQLEKHKGEILGVVVVESGWGSILPTVILANMMNGGPAARSGKLSIGDQIMSINGTSLVGLPLATCQGIIKGLKNQTQVKLNIVSCPPVTTVLIKRPDLKYQLGFSVQNGIICSLMRGGIAERGGVRVGHRIIEINGQSVVATAHEKIVQALSNSVGEIHMKTMPAAMFRLLTGQETPLYI
+>DECOY_sp|Q99767|APBA2_HUMAN Amyloid-beta A4 precursor protein-binding family A member 2 OS=Homo sapiens OX=9606 GN=APBA2 PE=1 SV=3
+IYLPTEQGTLLRFMAAPMTKMHIEGVSNSLAQVIKEHATAVVSQGNIEIIRHGVRVGGREAIGGRMLSCIIGNQVSFGLQYKLDPRKILVTTVPPCSVINLKVQTQNKLGKIIGQCTALPLGVLSTGNISMIQDGISLKGSRAAPGGNMMNALIVTPLISGWGSEVVVVGLIEGKHKELQLEKCNESNSFHILDDNYMEQTNIIDSYEKQSLDEPNIGNARLFEQYAVSFAQGISQAILQADESEFVHCIMKYQKKGEQAGPTTEICDQSASRPMRRRAMLVVINGIDAIYSITRLAHDMMTEQTDANLVKIRQTSIFLDVETLTQADGESNAKKKIKAAKQMRKVRSVAEQAQMMRINKSPNRESLLQTSGLYNAAFIIGDILDEPECPGPVAVFSPFSAVKKTEPINNNDVPGNLDSRQQKRPQELGNCVQEHPWKLREEPTRTKPKFGRQPDGPHKAEPLLNLSKPRAEHRSPSCSAKIPPCAEVSDEPGPEPGHEPSAESASTISTMSLSMKIEAVIQDIDEEQDELDGDGRRLRYPSAGTNGNAEEPYYDQYGEKSACYHGDEEQDHAELVSPEDEPIPLQGDPYDQSGEAEHGHPHPGASDTWEEVAEQCEDTDVPHASHALYEEGNCDMGELYSDDEPCYRIYYTIGEEEEPLGEDYDEEESTNNVYDSSSDGDPSHNHCEQEEPAPSEPRLAALELGEPVYGELPLEMDESEPEQSHPVPGPRVRHDLMGSGVSELKRHAM
+>sp|Q96DE5|APC16_HUMAN Anaphase-promoting complex subunit 16 OS=Homo sapiens OX=9606 GN=ANAPC16 PE=1 SV=1
+MAASSSSSSAGGVSGSSVTGSGFSVSDLAPPRKALFTYPKGAGEMLEDGSERFLCESVFSYQVASTLKQVKHDQQVARMEKLAGLVEELEADEWRFKPIEQLLGFTPSSG
+>DECOY_sp|Q96DE5|APC16_HUMAN Anaphase-promoting complex subunit 16 OS=Homo sapiens OX=9606 GN=ANAPC16 PE=1 SV=1
+GSSPTFGLLQEIPKFRWEDAELEEVLGALKEMRAVQQDHKVQKLTSAVQYSFVSECLFRESGDELMEGAGKPYTFLAKRPPALDSVSFGSGTVSSGSVGGASSSSSSAAM
+>sp|Q9UJX5|APC4_HUMAN Anaphase-promoting complex subunit 4 OS=Homo sapiens OX=9606 GN=ANAPC4 PE=1 SV=2
+MLRFPTCFPSFRVVGEKQLPQEIIFLVWSPKRDLIALANTAGEVLLHRLASFHRVWSFPPNENTGKEVTCLAWRPDGKLLAFALADTKKIVLCDVEKPESLHSFSVEAPVSCMHWMEVTVESSVLTSFYNAEDESNLLLPKLPTLPKNYSNTSKIFSEENSDEIIKLLGDVRLNILVLGGSSGFIELYAYGMFKIARVTGIAGTCLALCLSSDLKSLSVVTEVSTNGASEVSYFQLETNLLYSFLPEVTRMARKFTHISALLQYINLSLTCMCEAWEEILMQMDSRLTKFVQEKNTTTSVQDEFMHLLLWGKASAELQTLLMNQLTVKGLKKLGQSIESSYSSIQKLVISHLQSGSESLLYHLSELKGMASWKQKYEPLGLDAAGIEEAITAVGSFILKANELLQVIDSSMKNFKAFFRWLYVAMLRMTEDHVLPELNKMTQKDITFVAEFLTEHFNEAPDLYNRKGKYFNVERVGQYLKDEDDDLVSPPNTEGNQWYDFLQNSSHLKESPLLFPYYPRKSLHFVKRRMENIIDQCLQKPADVIGKSMNQAICIPLYRDTRSEDSTRRLFKFPFLWNNKTSNLHYLLFTILEDSLYKMCILRRHTDISQSVSNGLIAIKFGSFTYATTEKVRRSIYSCLDAQFYDDETVTVVLKDTVGREGRDRLLVQLPLSLVYNSEDSAEYQFTGTYSTRLDEQCSAIPTRTMHFEKHWRLLESMKAQYVAGNGFRKVSCVLSSNLRHVRVFEMDIDDEWELDESSDEEEEASNKPVKIKEEVLSESEAENQQAGAAALAPEIVIKVEKLDPELDS
+>DECOY_sp|Q9UJX5|APC4_HUMAN Anaphase-promoting complex subunit 4 OS=Homo sapiens OX=9606 GN=ANAPC4 PE=1 SV=2
+SDLEPDLKEVKIVIEPALAAAGAQQNEAESESLVEEKIKVPKNSAEEEEDSSEDLEWEDDIDMEFVRVHRLNSSLVCSVKRFGNGAVYQAKMSELLRWHKEFHMTRTPIASCQEDLRTSYTGTFQYEASDESNYVLSLPLQVLLRDRGERGVTDKLVVTVTEDDYFQADLCSYISRRVKETTAYTFSGFKIAILGNSVSQSIDTHRRLICMKYLSDELITFLLYHLNSTKNNWLFPFKFLRRTSDESRTDRYLPICIAQNMSKGIVDAPKQLCQDIINEMRRKVFHLSKRPYYPFLLPSEKLHSSNQLFDYWQNGETNPPSVLDDDEDKLYQGVREVNFYKGKRNYLDPAENFHETLFEAVFTIDKQTMKNLEPLVHDETMRLMAVYLWRFFAKFNKMSSDIVQLLENAKLIFSGVATIAEEIGAADLGLPEYKQKWSAMGKLESLHYLLSESGSQLHSIVLKQISSYSSEISQGLKKLGKVTLQNMLLTQLEASAKGWLLLHMFEDQVSTTTNKEQVFKTLRSDMQMLIEEWAECMCTLSLNIYQLLASIHTFKRAMRTVEPLFSYLLNTELQFYSVESAGNTSVETVVSLSKLDSSLCLALCTGAIGTVRAIKFMGYAYLEIFGSSGGLVLINLRVDGLLKIIEDSNEESFIKSTNSYNKPLTPLKPLLLNSEDEANYFSTLVSSEVTVEMWHMCSVPAEVSFSHLSEPKEVDCLVIKKTDALAFALLKGDPRWALCTVEKGTNENPPFSWVRHFSALRHLLVEGATNALAILDRKPSWVLFIIEQPLQKEGVVRFSPFCTPFRLM
+>sp|O95996|APCL_HUMAN Adenomatous polyposis coli protein 2 OS=Homo sapiens OX=9606 GN=APC2 PE=1 SV=1
+MASSVAPYEQLVRQVEALKAENSHLRQELRDNSSHLSKLETETSGMKEVLKHLQGKLEQEARVLVSSGQTEVLEQLKALQMDITSLYNLKFQPPTLGPEPAARTPEGSPVHGSGPSKDSFGELSRATIRLLEELDRERCFLLNEIEKEEKEKLWYYSQLQGLSKRLDELPHVETQFSMQMDLIRQQLEFEAQHIRSLMEERFGTSDEMVQRAQIRASRLEQIDKELLEAQDRVQQTEPQALLAVKSVPVDEDPETEVPTHPEDGTPQPGNSKVEVVFWLLSMLATRDQEDTARTLLAMSSSPESCVAMRRSGCLPLLLQILHGTEAAAGGRAGAPGAPGAKDARMRANAALHNIVFSQPDQGLARKEMRVLHVLEQIRAYCETCWDWLQARDGGPEGGGAGSAPIPIEPQICQATCAVMKLSFDEEYRRAMNELGGLQAVAELLQVDYEMHKMTRDPLNLALRRYAGMTLTNLTFGDVANKATLCARRGCMEAIVAQLASDSEELHQVVSSILRNLSWRADINSKKVLREAGSVTALVQCVLRATKESTLKSVLSALWNLSAHSTENKAAICQVDGALGFLVSTLTYKCQSNSLAIIESGGGILRNVSSLVATREDYRQVLRDHNCLQTLLQHLTSHSLTIVSNACGTLWNLSARSARDQELLWDLGAVGMLRNLVHSKHKMIAMGSAAALRNLLAHRPAKHQAAATAVSPGSCVPSLYVRKQRALEAELDARHLAQALEHLEKQGPPAAEAATKKPLPPLRHLDGLAQDYASDSGCFDDDDAPSSLAAAAATGEPASPAALSLFLGSPFLQGQALARTPPTRRGGKEAEKDTSGEAAVAAKAKAKLALAVARIDQLVEDISALHTSSDDSFSLSSGDPGQEAPREGRAQSCSPCRGPEGGRREAGSRAHPLLRLKAAHASLSNDSLNSGSASDGYCPREHMLPCPLAALASRREDPRCGQPRPSRLDLDLPGCQAEPPAREATSADARVRTIKLSPTYQHVPLLEGASRAGAEPLAGPGISPGARKQAWLPADHLSKVPEKLAAAPLSVASKALQKLAAQEGPLSLSRCSSLSSLSSAGRPGPSEGGDLDDSDSSLEGLEEAGPSEAELDSTWRAPGATSLPVAIPAPRRNRGRGLGVEDATPSSSSENYVQETPLVLSRCSSVSSLGSFESPSIASSIPSEPCSGQGSGTISPSELPDSPGQTMPPSRSKTPPLAPAPQGPPEATQFSLQWESYVKRFLDIADCRERCRLPSELDAGSVRFTVEKPDENFSCASSLSALALHEHYVQQDVELRLLPSACPERGGGAGGAGLHFAGHRRREEGPAPTGSRPRGAADQELELLRECLGAAVPARLRKVASALVPGRRALPVPVYMLVPAPAPAQEDDSCTDSAEGTPVNFSSAASLSDETLQGPPRDQPGGPAGRQRPTGRPTSARQAMGHRHKAGGAGRSAEQSRGAGKNRAGLELPLGRPPSAPADKDGSKPGRTRGDGALQSLCLTTPTEEAVYCFYGNDSDEEPPAAAPTPTHRRTSAIPRAFTRERPQGRKEAPAPSKAAPAAPPPARTQPSLIADETPPCYSLSSSASSLSEPEPSEPPAVHPRGREPAVTKDPGPGGGRDSSPSPRAAEELLQRCISSALPRRRPPVSGLRRRKPRATRLDERPAEGSRERGEEAAGSDRASDLDSVEWRAIQEGANSIVTWLHQAAAATREASSESDSILSFVSGLSVGSTLQPPKHRKGRQAEGEMGSARRPEKRGAASVKTSGSPRSPAGPEKPRGTQKTTPGVPAVLRGRTVIYVPSPAPRAQPKGTPGPRATPRKVAPPCLAQPAAPAKVPSPGQQRSRSLHRPAKTSELATLSQPPRSATPPARLAKTPSSSSSQTSPASQPLPRKRPPVTQAAGALPGPGASPVPKTPARTLLAKQHKTQRSPVRIPFMQRPARRGPPPLARAVPEPGPRGRAGTEAGPGARGGRLGLVRVASALSSGSESSDRSGFRRQLTFIKESPGLRRRRSELSSAESAASAPQGASPRRGRPALPAVFLCSSRCEELRAAPRQGPAPARQRPPAARPSPGERPARRTTSESPSRLPVRAPAARPETVKRYASLPHISVARRPDGAVPAAPASADAARRSSDGEPRPLPRVAAPGTTWRRIRDEDVPHILRSTLPATALPLRGSTPEDAPAGPPPRKTSDAVVQTEEVAAPKTNSSTSPSLETREPPGAPAGGQLSLLGSDVDGPSLAKAPISAPFVHEGLGVAVGGFPASRHGSPSRSARVPPFNYVPSPMVVAATTDSAAEKAPATASATLLE
+>DECOY_sp|O95996|APCL_HUMAN Adenomatous polyposis coli protein 2 OS=Homo sapiens OX=9606 GN=APC2 PE=1 SV=1
+ELLTASATAPAKEAASDTTAAVVMPSPVYNFPPVRASRSPSGHRSAPFGGVAVGLGEHVFPASIPAKALSPGDVDSGLLSLQGGAPAGPPERTELSPSTSSNTKPAAVEETQVVADSTKRPPPGAPADEPTSGRLPLATAPLTSRLIHPVDEDRIRRWTTGPAAVRPLPRPEGDSSRRAADASAPAAPVAGDPRRAVSIHPLSAYRKVTEPRAAPARVPLRSPSESTTRRAPREGPSPRAAPPRQRAPAPGQRPAARLEECRSSCLFVAPLAPRGRRPSAGQPASAASEASSLESRRRRLGPSEKIFTLQRRFGSRDSSESGSSLASAVRVLGLRGGRAGPGAETGARGRPGPEPVARALPPPGRRAPRQMFPIRVPSRQTKHQKALLTRAPTKPVPSAGPGPLAGAAQTVPPRKRPLPQSAPSTQSSSSSPTKALRAPPTASRPPQSLTALESTKAPRHLSRSRQQGPSPVKAPAAPQALCPPAVKRPTARPGPTGKPQARPAPSPVYIVTRGRLVAPVGPTTKQTGRPKEPGAPSRPSGSTKVSAAGRKEPRRASGMEGEAQRGKRHKPPQLTSGVSLGSVFSLISDSESSAERTAAAAQHLWTVISNAGEQIARWEVSDLDSARDSGAAEEGRERSGEAPREDLRTARPKRRRLGSVPPRRRPLASSICRQLLEEAARPSPSSDRGGGPGPDKTVAPERGRPHVAPPESPEPESLSSASSSLSYCPPTEDAILSPQTRAPPPAAPAAKSPAPAEKRGQPRERTFARPIASTRRHTPTPAAAPPEEDSDNGYFCYVAEETPTTLCLSQLAGDGRTRGPKSGDKDAPASPPRGLPLELGARNKGAGRSQEASRGAGGAKHRHGMAQRASTPRGTPRQRGAPGGPQDRPPGQLTEDSLSAASSFNVPTGEASDTCSDDEQAPAPAPVLMYVPVPLARRGPVLASAVKRLRAPVAAGLCERLLELEQDAAGRPRSGTPAPGEERRRHGAFHLGAGGAGGGREPCASPLLRLEVDQQVYHEHLALASLSSACSFNEDPKEVTFRVSGADLESPLRCRERCDAIDLFRKVYSEWQLSFQTAEPPGQPAPALPPTKSRSPPMTQGPSDPLESPSITGSGQGSCPESPISSAISPSEFSGLSSVSSCRSLVLPTEQVYNESSSSPTADEVGLGRGRNRRPAPIAVPLSTAGPARWTSDLEAESPGAEELGELSSDSDDLDGGESPGPRGASSLSSLSSCRSLSLPGEQAALKQLAKSAVSLPAAALKEPVKSLHDAPLWAQKRAGPSIGPGALPEAGARSAGELLPVHQYTPSLKITRVRADASTAERAPPEAQCGPLDLDLRSPRPQGCRPDERRSALAALPCPLMHERPCYGDSASGSNLSDNSLSAHAAKLRLLPHARSGAERRGGEPGRCPSCSQARGERPAEQGPDGSSLSFSDDSSTHLASIDEVLQDIRAVALALKAKAKAAVAAEGSTDKEAEKGGRRTPPTRALAQGQLFPSGLFLSLAAPSAPEGTAAAAALSSPADDDDFCGSDSAYDQALGDLHRLPPLPKKTAAEAAPPGQKELHELAQALHRADLEAELARQKRVYLSPVCSGPSVATAAAQHKAPRHALLNRLAAASGMAIMKHKSHVLNRLMGVAGLDWLLEQDRASRASLNWLTGCANSVITLSHSTLHQLLTQLCNHDRLVQRYDERTAVLSSVNRLIGGGSEIIALSNSQCKYTLTSVLFGLAGDVQCIAAKNETSHASLNWLASLVSKLTSEKTARLVCQVLATVSGAERLVKKSNIDARWSLNRLISSVVQHLEESDSALQAVIAEMCGRRACLTAKNAVDGFTLNTLTMGAYRRLALNLPDRTMKHMEYDVQLLEAVAQLGGLENMARRYEEDFSLKMVACTAQCIQPEIPIPASGAGGGEPGGDRAQLWDWCTECYARIQELVHLVRMEKRALGQDPQSFVINHLAANARMRADKAGPAGPAGARGGAAAETGHLIQLLLPLCGSRRMAVCSEPSSSMALLTRATDEQDRTALMSLLWFVVEVKSNGPQPTGDEPHTPVETEPDEDVPVSKVALLAQPETQQVRDQAELLEKDIQELRSARIQARQVMEDSTGFREEMLSRIHQAEFELQQRILDMQMSFQTEVHPLEDLRKSLGQLQSYYWLKEKEEKEIENLLFCRERDLEELLRITARSLEGFSDKSPGSGHVPSGEPTRAAPEPGLTPPQFKLNYLSTIDMQLAKLQELVETQGSSVLVRAEQELKGQLHKLVEKMGSTETELKSLHSSNDRLEQRLHSNEAKLAEVQRVLQEYPAVSSAM
+>sp|Q9ULZ1|APEL_HUMAN Apelin OS=Homo sapiens OX=9606 GN=APLN PE=2 SV=1
+MNLRLCVQALLLLWLSLTAVCGGSLMPLPDGNGLEDGNVRHLVQPRGSRNGPGPWQGGRRKFRRQRPRLSHKGPMPF
+>DECOY_sp|Q9ULZ1|APEL_HUMAN Apelin OS=Homo sapiens OX=9606 GN=APLN PE=2 SV=1
+FPMPGKHSLRPRQRRFKRRGGQWPGPGNRSGRPQVLHRVNGDELGNGDPLPMLSGGCVATLSLWLLLLAQVCLRLNM
+>sp|A6NM10|AQ12B_HUMAN Aquaporin-12B OS=Homo sapiens OX=9606 GN=AQP12B PE=2 SV=1
+MAGLNVSLSFFFATFTLCEAARRASKALLPVGAYEVFAREAMRTLVELGPWAGDFGPDLLLTLLFLLFLAHGVTLDGASANPTVSLQEFLMAEESLPGTLLKLAAQGLGMQAACTLTRLCWAWELSDLHLLQSLMAQSCSSALRTSVPHGALVEAACAFCFHLTLLHLRHSPPAYSGPAVALLVTVTAYTAGPFTSAFFNPALAASVTFACSGHTLLEYVQVYWLGPLTGMVLAVLLHQGRLPHLFQRNLFYGQKNKYRAPRGKPAPASGDTQTPAKGSSVREPGRSGVEGPHSS
+>DECOY_sp|A6NM10|AQ12B_HUMAN Aquaporin-12B OS=Homo sapiens OX=9606 GN=AQP12B PE=2 SV=1
+SSHPGEVGSRGPERVSSGKAPTQTDGSAPAPKGRPARYKNKQGYFLNRQFLHPLRGQHLLVALVMGTLPGLWYVQVYELLTHGSCAFTVSAALAPNFFASTFPGATYATVTVLLAVAPGSYAPPSHRLHLLTLHFCFACAAEVLAGHPVSTRLASSCSQAMLSQLLHLDSLEWAWCLRTLTCAAQMGLGQAALKLLTGPLSEEAMLFEQLSVTPNASAGDLTVGHALFLLFLLTLLLDPGFDGAWPGLEVLTRMAERAFVEYAGVPLLAKSARRAAECLTFTAFFFSLSVNLGAM
+>sp|P55064|AQP5_HUMAN Aquaporin-5 OS=Homo sapiens OX=9606 GN=AQP5 PE=1 SV=1
+MKKEVCSVAFLKAVFAEFLATLIFVFFGLGSALKWPSALPTILQIALAFGLAIGTLAQALGPVSGGHINPAITLALLVGNQISLLRAFFYVAAQLVGAIAGAGILYGVAPLNARGNLAVNALNNNTTQGQAMVVELILTFQLALCIFASTDSRRTSPVGSPALSIGLSVTLGHLVGIYFTGCSMNPARSFGPAVVMNRFSPAHWVFWVGPIVGAVLAAILYFYLLFPNSLSLSERVAIIKGTYEPDEDWEEQREERKKTMELTTR
+>DECOY_sp|P55064|AQP5_HUMAN Aquaporin-5 OS=Homo sapiens OX=9606 GN=AQP5 PE=1 SV=1
+RTTLEMTKKREERQEEWDEDPEYTGKIIAVRESLSLSNPFLLYFYLIAALVAGVIPGVWFVWHAPSFRNMVVAPGFSRAPNMSCGTFYIGVLHGLTVSLGISLAPSGVPSTRRSDTSAFICLALQFTLILEVVMAQGQTTNNNLANVALNGRANLPAVGYLIGAGAIAGVLQAAVYFFARLLSIQNGVLLALTIAPNIHGGSVPGLAQALTGIALGFALAIQLITPLASPWKLASGLGFFVFILTALFEAFVAKLFAVSCVEKKM
+>sp|A6NL99|AQP73_HUMAN Putative aquaporin-7-like protein 3 OS=Homo sapiens OX=9606 GN=AQP7P3 PE=5 SV=2
+MVQASGHRRSTRGSKMVSWSVIAKIQEICERKMAREFLAEFMSTYVMMVFGLGSVAHMLLNKTFGSYLGVNLGFGFGVTMGVHMAGRTSGAHMNAAVSLTNCALGRVPWRKFPVYVLGQFLGSFLAAATIYSLFYTAILHFSGGELMVTGPVATVGIFATYLPDHMTLWRGFLNEEWLTGMLQLCLFAIVDQENNPALPGTHALVIGILVVIIRVYHGMNTGYAINPSRDLPPRIFTFIAGWGKLVFSDGENLWWVPVVAPLLGASLGGIIYLVFIGSTIPREPLKLEDSVAYEDHGITVLPKMGSHEPMISPLTLISVSPANRSSVHPAPPLHESMALEHF
+>DECOY_sp|A6NL99|AQP73_HUMAN Putative aquaporin-7-like protein 3 OS=Homo sapiens OX=9606 GN=AQP7P3 PE=5 SV=2
+FHELAMSEHLPPAPHVSSRNAPSVSILTLPSIMPEHSGMKPLVTIGHDEYAVSDELKLPERPITSGIFVLYIIGGLSAGLLPAVVPVWWLNEGDSFVLKGWGAIFTFIRPPLDRSPNIAYGTNMGHYVRIIVVLIGIVLAHTGPLAPNNEQDVIAFLCLQLMGTLWEENLFGRWLTMHDPLYTAFIGVTAVPGTVMLEGGSFHLIATYFLSYITAAALFSGLFQGLVYVPFKRWPVRGLACNTLSVAANMHAGSTRGAMHVGMTVGFGFGLNVGLYSGFTKNLLMHAVSGLGFVMMVYTSMFEALFERAMKRECIEQIKAIVSWSVMKSGRTSRRHGSAQVM
+>sp|O14520|AQP7_HUMAN Aquaporin-7 OS=Homo sapiens OX=9606 GN=AQP7 PE=1 SV=1
+MVQASGHRRSTRGSKMVSWSVIAKIQEILQRKMVREFLAEFMSTYVMMVFGLGSVAHMVLNKKYGSYLGVNLGFGFGVTMGVHVAGRISGAHMNAAVTFANCALGRVPWRKFPVYVLGQFLGSFLAAATIYSLFYTAILHFSGGQLMVTGPVATAGIFATYLPDHMTLWRGFLNEAWLTGMLQLCLFAITDQENNPALPGTEALVIGILVVIIGVSLGMNTGYAINPSRDLPPRIFTFIAGWGKQVFSNGENWWWVPVVAPLLGAYLGGIIYLVFIGSTIPREPLKLEDSVAYEDHGITVLPKMGSHEPTISPLTPVSVSPANRSSVHPAPPLHESMALEHF
+>DECOY_sp|O14520|AQP7_HUMAN Aquaporin-7 OS=Homo sapiens OX=9606 GN=AQP7 PE=1 SV=1
+FHELAMSEHLPPAPHVSSRNAPSVSVPTLPSITPEHSGMKPLVTIGHDEYAVSDELKLPERPITSGIFVLYIIGGLYAGLLPAVVPVWWWNEGNSFVQKGWGAIFTFIRPPLDRSPNIAYGTNMGLSVGIIVVLIGIVLAETGPLAPNNEQDTIAFLCLQLMGTLWAENLFGRWLTMHDPLYTAFIGATAVPGTVMLQGGSFHLIATYFLSYITAAALFSGLFQGLVYVPFKRWPVRGLACNAFTVAANMHAGSIRGAVHVGMTVGFGFGLNVGLYSGYKKNLVMHAVSGLGFVMMVYTSMFEALFERVMKRQLIEQIKAIVSWSVMKSGRTSRRHGSAQVM
+>sp|O94778|AQP8_HUMAN Aquaporin-8 OS=Homo sapiens OX=9606 GN=AQP8 PE=2 SV=2
+MSGEIAMCEPEFGNDKAREPSVGGRWRVSWYERFVQPCLVELLGSALFIFIGCLSVIENGTDTGLLQPALAHGLALGLVIATLGNISGGHFNPAVSLAAMLIGGLNLVMLLPYWVSQLLGGMLGAALAKAVSPEERFWNASGAAFVTVQEQGQVAGALVAEIILTTLLALAVCMGAINEKTKGPLAPFSIGFAVTVDILAGGPVSGGCMNPARAFGPAVVANHWNFHWIYWLGPLLAGLLVGLLIRCFIGDGKTRLILKAR
+>DECOY_sp|O94778|AQP8_HUMAN Aquaporin-8 OS=Homo sapiens OX=9606 GN=AQP8 PE=2 SV=2
+RAKLILRTKGDGIFCRILLGVLLGALLPGLWYIWHFNWHNAVVAPGFARAPNMCGGSVPGGALIDVTVAFGISFPALPGKTKENIAGMCVALALLTTLIIEAVLAGAVQGQEQVTVFAAGSANWFREEPSVAKALAAGLMGGLLQSVWYPLLMVLNLGGILMAALSVAPNFHGGSINGLTAIVLGLALGHALAPQLLGTDTGNEIVSLCGIFIFLASGLLEVLCPQVFREYWSVRWRGGVSPERAKDNGFEPECMAIEGSM
+>sp|Q3SXY8|AR13B_HUMAN ADP-ribosylation factor-like protein 13B OS=Homo sapiens OX=9606 GN=ARL13B PE=1 SV=1
+MFSLMASCCGWFKRWREPVRKVTLLMVGLDNAGKTATAKGIQGEYPEDVAPTVGFSKINLRQGKFEVTIFDLGGGIRIRGIWKNYYAESYGVIFVVDSSDEERMEETKEAMSEMLRHPRISGKPILVLANKQDKEGALGEADVIECLSLEKLVNEHKCLCQIEPCSAISGYGKKIDKSIKKGLYWLLHVIARDFDALNERIQKETTEQRALEEQEKQERAERVRKLREERKQNEQEQAELDGTSGLAELDPEPTNPFQPIASVIIENEGKLEREKKNQKMEKDSDGCHLKHKMEHEQIETQGQVNHNGQKNNEFGLVENYKEALTQQLKNEDETDRPSLESANGKKKTKKLRMKRNHRVEPLNIDDCAPESPTPPPPPPPVGWGTPKVTRLPKLEPLGETHHNDFYRKPLPPLAVPQRPNSDAHDVIS
+>DECOY_sp|Q3SXY8|AR13B_HUMAN ADP-ribosylation factor-like protein 13B OS=Homo sapiens OX=9606 GN=ARL13B PE=1 SV=1
+SIVDHADSNPRQPVALPPLPKRYFDNHHTEGLPELKPLRTVKPTGWGVPPPPPPPTPSEPACDDINLPEVRHNRKMRLKKTKKKGNASELSPRDTEDENKLQQTLAEKYNEVLGFENNKQGNHNVQGQTEIQEHEMKHKLHCGDSDKEMKQNKKERELKGENEIIVSAIPQFPNTPEPDLEALGSTGDLEAQEQENQKREERLKRVREAREQKEQEELARQETTEKQIRENLADFDRAIVHLLWYLGKKISKDIKKGYGSIASCPEIQCLCKHENVLKELSLCEIVDAEGLAGEKDQKNALVLIPKGSIRPHRLMESMAEKTEEMREEDSSDVVFIVGYSEAYYNKWIGRIRIGGGLDFITVEFKGQRLNIKSFGVTPAVDEPYEGQIGKATATKGANDLGVMLLTVKRVPERWRKFWGCCSAMLSFM
+>sp|Q66PJ3|AR6P4_HUMAN ADP-ribosylation factor-like protein 6-interacting protein 4 OS=Homo sapiens OX=9606 GN=ARL6IP4 PE=1 SV=2
+MPRCTYQLEQNPGFLPDGPGVHARAHCQDLSGPYGHEFATSESLGGRVGKTRAPQSGARSRMERAGPAGEEGGAREGRLLPRAPGAWVLRACAERAALEVGAASADTGVRGCGARGPAPLLASAGGGRARDGTWGVRTKGSGAALPSRPASRAAPRPEASSPPLPLEKARGGLSGPQGGRARGAMAHVGSRKRSRSRSRSRGRGSEKRKKKSRKDTSRNCSASTSQGRKASTAPGAEASPSPCITERSKQKARRRTRSSSSSSSSSSSSSSSSSSSSSSSSSDGRKKRGKYKDKRRKKKKKRKKLKKKGKEKAEAQQVEALPGPSLDQWHRSAGEEEDGPVLTDEQKSRIQAMKPMTKEEWDARQSIIRKVVDPETGRTRLIKGDGEVLEEIVTKERHREINKQATRGDCLAFQMRAGLLP
+>DECOY_sp|Q66PJ3|AR6P4_HUMAN ADP-ribosylation factor-like protein 6-interacting protein 4 OS=Homo sapiens OX=9606 GN=ARL6IP4 PE=1 SV=2
+PLLGARMQFALCDGRTAQKNIERHREKTVIEELVEGDGKILRTRGTEPDVVKRIISQRADWEEKTMPKMAQIRSKQEDTLVPGDEEEGASRHWQDLSPGPLAEVQQAEAKEKGKKKLKKRKKKKKRRKDKYKGRKKRGDSSSSSSSSSSSSSSSSSSSSSSSSSRTRRRAKQKSRETICPSPSAEAGPATSAKRGQSTSASCNRSTDKRSKKKRKESGRGRSRSRSRSRKRSGVHAMAGRARGGQPGSLGGRAKELPLPPSSAEPRPAARSAPRSPLAAGSGKTRVGWTGDRARGGGASALLPAPGRAGCGRVGTDASAAGVELAAREACARLVWAGPARPLLRGERAGGEEGAPGAREMRSRAGSQPARTKGVRGGLSESTAFEHGYPGSLDQCHARAHVGPGDPLFGPNQELQYTCRPM
+>sp|P35626|ARBK2_HUMAN Beta-adrenergic receptor kinase 2 OS=Homo sapiens OX=9606 GN=GRK3 PE=1 SV=2
+MADLEAVLADVSYLMAMEKSKATPAARASKRIVLPEPSIRSVMQKYLAERNEITFDKIFNQKIGFLLFKDFCLNEINEAVPQVKFYEEIKEYEKLDNEEDRLCRSRQIYDAYIMKELLSCSHPFSKQAVEHVQSHLSKKQVTSTLFQPYIEEICESLRGDIFQKFMESDKFTRFCQWKNVELNIHLTMNEFSVHRIIGRGGFGEVYGCRKADTGKMYAMKCLDKKRIKMKQGETLALNERIMLSLVSTGDCPFIVCMTYAFHTPDKLCFILDLMNGGDLHYHLSQHGVFSEKEMRFYATEIILGLEHMHNRFVVYRDLKPANILLDEHGHARISDLGLACDFSKKKPHASVGTHGYMAPEVLQKGTAYDSSADWFSLGCMLFKLLRGHSPFRQHKTKDKHEIDRMTLTVNVELPDTFSPELKSLLEGLLQRDVSKRLGCHGGGSQEVKEHSFFKGVDWQHVYLQKYPPPLIPPRGEVNAADAFDIGSFDEEDTKGIKLLDCDQELYKNFPLVISERWQQEVTETVYEAVNADTDKIEARKRAKNKQLGHEEDYALGKDCIMHGYMLKLGNPFLTQWQRRYFYLFPNRLEWRGEGESRQNLLTMEQILSVEETQIKDKKCILFRIKGGKQFVLQCESDPEFVQWKKELNETFKEAQRLLRRAPKFLNKPRSGTVELPKPSLCHRNSNGL
+>DECOY_sp|P35626|ARBK2_HUMAN Beta-adrenergic receptor kinase 2 OS=Homo sapiens OX=9606 GN=GRK3 PE=1 SV=2
+LGNSNRHCLSPKPLEVTGSRPKNLFKPARRLLRQAEKFTENLEKKWQVFEPDSECQLVFQKGGKIRFLICKKDKIQTEEVSLIQEMTLLNQRSEGEGRWELRNPFLYFYRRQWQTLFPNGLKLMYGHMICDKGLAYDEEHGLQKNKARKRAEIKDTDANVAEYVTETVEQQWRESIVLPFNKYLEQDCDLLKIGKTDEEDFSGIDFADAANVEGRPPILPPPYKQLYVHQWDVGKFFSHEKVEQSGGGHCGLRKSVDRQLLGELLSKLEPSFTDPLEVNVTLTMRDIEHKDKTKHQRFPSHGRLLKFLMCGLSFWDASSDYATGKQLVEPAMYGHTGVSAHPKKKSFDCALGLDSIRAHGHEDLLINAPKLDRYVVFRNHMHELGLIIETAYFRMEKESFVGHQSLHYHLDGGNMLDLIFCLKDPTHFAYTMCVIFPCDGTSVLSLMIRENLALTEGQKMKIRKKDLCKMAYMKGTDAKRCGYVEGFGGRGIIRHVSFENMTLHINLEVNKWQCFRTFKDSEMFKQFIDGRLSECIEEIYPQFLTSTVQKKSLHSQVHEVAQKSFPHSCSLLEKMIYADYIQRSRCLRDEENDLKEYEKIEEYFKVQPVAENIENLCFDKFLLFGIKQNFIKDFTIENREALYKQMVSRISPEPLVIRKSARAAPTAKSKEMAMLYSVDALVAELDAM
+>sp|Q92747|ARC1A_HUMAN Actin-related protein 2/3 complex subunit 1A OS=Homo sapiens OX=9606 GN=ARPC1A PE=2 SV=2
+MSLHQFLLEPITCHAWNRDRTQIALSPNNHEVHIYKKNGSQWVKAHELKEHNGHITGIDWAPKSDRIVTCGADRNAYVWSQKDGVWKPTLVILRINRAATFVKWSPLENKFAVGSGARLISVCYFESENDWWVSKHIKKPIRSTVLSLDWHPNNVLLAAGSCDFKCRVFSAYIKEVDEKPASTPWGSKMPFGQLMSEFGGSGTGGWVHGVSFSASGSRLAWVSHDSTVSVADASKSVQVSTLKTEFLPLLSVSFVSENSVVAAGHDCCPMLFNYDDRGCLTFVSKLDIPKQSIQRNMSAMERFRNMDKRATTEDRNTALETLHQNSITQVSIYEVDKQDCRKFCTTGIDGAMTIWDFKTLESSIQGLRIM
+>DECOY_sp|Q92747|ARC1A_HUMAN Actin-related protein 2/3 complex subunit 1A OS=Homo sapiens OX=9606 GN=ARPC1A PE=2 SV=2
+MIRLGQISSELTKFDWITMAGDIGTTCFKRCDQKDVEYISVQTISNQHLTELATNRDETTARKDMNRFREMASMNRQISQKPIDLKSVFTLCGRDDYNFLMPCCDHGAAVVSNESVFSVSLLPLFETKLTSVQVSKSADAVSVTSDHSVWALRSGSASFSVGHVWGGTGSGGFESMLQGFPMKSGWPTSAPKEDVEKIYASFVRCKFDCSGAALLVNNPHWDLSLVTSRIPKKIHKSVWWDNESEFYCVSILRAGSGVAFKNELPSWKVFTAARNIRLIVLTPKWVGDKQSWVYANRDAGCTVIRDSKPAWDIGTIHGNHEKLEHAKVWQSGNKKYIHVEHNNPSLAIQTRDRNWAHCTIPELLFQHLSM
+>sp|Q7LC44|ARC_HUMAN Activity-regulated cytoskeleton-associated protein OS=Homo sapiens OX=9606 GN=ARC PE=1 SV=1
+MELDHRTSGGLHAYPGPRGGQVAKPNVILQIGKCRAEMLEHVRRTHRHLLAEVSKQVERELKGLHRSVGKLESNLDGYVPTSDSQRWKKSIKACLCRCQETIANLERWVKREMHVWREVFYRLERWADRLESTGGKYPVGSESARHTVSVGVGGPESYCHEADGYDYTVSPYAITPPPAAGELPGQEPAEAQQYQPWVPGEDGQPSPGVDTQIFEDPREFLSHLEEYLRQVGGSEEYWLSQIQNHMNGPAKKWWEFKQGSVKNWVEFKKEFLQYSEGTLSREAIQRELDLPQKQGEPLDQFLWRKRDLYQTLYVDADEEEIIQYVVGTLQPKLKRFLRHPLPKTLEQLIQRGMEVQDDLEQAAEPAGPHLPVEDEAETLTPAPNSESVASDRTQPE
+>DECOY_sp|Q7LC44|ARC_HUMAN Activity-regulated cytoskeleton-associated protein OS=Homo sapiens OX=9606 GN=ARC PE=1 SV=1
+EPQTRDSAVSESNPAPTLTEAEDEVPLHPGAPEAAQELDDQVEMGRQILQELTKPLPHRLFRKLKPQLTGVVYQIIEEEDADVYLTQYLDRKRWLFQDLPEGQKQPLDLERQIAERSLTGESYQLFEKKFEVWNKVSGQKFEWWKKAPGNMHNQIQSLWYEESGGVQRLYEELHSLFERPDEFIQTDVGPSPQGDEGPVWPQYQQAEAPEQGPLEGAAPPPTIAYPSVTYDYGDAEHCYSEPGGVGVSVTHRASESGVPYKGGTSELRDAWRELRYFVERWVHMERKVWRELNAITEQCRCLCAKISKKWRQSDSTPVYGDLNSELKGVSRHLGKLEREVQKSVEALLHRHTRRVHELMEARCKGIQLIVNPKAVQGGRPGPYAHLGGSTRHDLEM
+>sp|P18085|ARF4_HUMAN ADP-ribosylation factor 4 OS=Homo sapiens OX=9606 GN=ARF4 PE=1 SV=3
+MGLTISSLFSRLFGKKQMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNICFTVWDVGGQDRIRPLWKHYFQNTQGLIFVVDSNDRERIQEVADELQKMLLVDELRDAVLLLFANKQDLPNAMAISEMTDKLGLQSLRNRTWYVQATCATQGTGLYEGLDWLSNELSKR
+>DECOY_sp|P18085|ARF4_HUMAN ADP-ribosylation factor 4 OS=Homo sapiens OX=9606 GN=ARF4 PE=1 SV=3
+RKSLENSLWDLGEYLGTGQTACTAQVYWTRNRLSQLGLKDTMESIAMANPLDQKNAFLLLVADRLEDVLLMKQLEDAVEQIRERDNSDVVFILGQTNQFYHKWLPRIRDQGGVDWVTFCINKYEVTEVNFGITPITTVIEGLKLKYLITTKGAADLGVMLIRMQKKGFLRSFLSSITLGM
+>sp|P53365|ARFP2_HUMAN Arfaptin-2 OS=Homo sapiens OX=9606 GN=ARFIP2 PE=1 SV=1
+MTDGILGKAATMEIPIHGNGEARQLPEDDGLEQDLQQVMVSGPNLNETSIVSGGYGGSGDGLIPTGSGRHPSHSTTPSGPGDEVARGIAGEKFDIVKKWGINTYKCTKQLLSERFGRGSRTVDLELELQIELLRETKRKYESVLQLGRALTAHLYSLLQTQHALGDAFADLSQKSPELQEEFGYNAETQKLLCKNGETLLGAVNFFVSSINTLVTKTMEDTLMTVKQYEAARLEYDAYRTDLEELSLGPRDAGTRGRLESAQATFQAHRDKYEKLRGDVAIKLKFLEENKIKVMHKQLLLFHNAVSAYFAGNQKQLEQTLQQFNIKLRPPGAEKPSWLEEQ
+>DECOY_sp|P53365|ARFP2_HUMAN Arfaptin-2 OS=Homo sapiens OX=9606 GN=ARFIP2 PE=1 SV=1
+QEELWSPKEAGPPRLKINFQQLTQELQKQNGAFYASVANHFLLLQKHMVKIKNEELFKLKIAVDGRLKEYKDRHAQFTAQASELRGRTGADRPGLSLEELDTRYADYELRAAEYQKVTMLTDEMTKTVLTNISSVFFNVAGLLTEGNKCLLKQTEANYGFEEQLEPSKQSLDAFADGLAHQTQLLSYLHATLARGLQLVSEYKRKTERLLEIQLELELDVTRSGRGFRESLLQKTCKYTNIGWKKVIDFKEGAIGRAVEDGPGSPTTSHSPHRGSGTPILGDGSGGYGGSVISTENLNPGSVMVQQLDQELGDDEPLQRAEGNGHIPIEMTAAKGLIGDTM
+>sp|A5YM69|ARG35_HUMAN Rho guanine nucleotide exchange factor 35 OS=Homo sapiens OX=9606 GN=ARHGEF35 PE=1 SV=1
+MEAEEAQHGASPPISAIEEFSIIPEAPMRSSQVSALGLEAQEDEDPSYKWREEHRLSATQQSELRDVCDYAIETMPSFPKEGSADVEPNQESLVAEACDTPEHWEAVPQSLAGRQARTLAPPELWACPIQSEHLDMAPFSSDLGSEEEEVEFWPGLTSLTLGSGQAEEEEETSSDNSGQTRYYSPCEEHPAETNQNEGAESGTIRQGEELPSEELQESQGLLHPQEVQVLEEQGQQEAGFRGEGTLREDVCADGLLGEEQMIEQVNDEKGEQKQKQEQVQDVMLGRQGERMGLTGEPEGLNDGEWEQEDMERKAQGQGGPEQGEERKRELQVPEENRADSQDEKSQTFLGKSEEVTGKQEDHGIKEKGVPVSGQEAKEPESWDGGRLGAVGRARSREEENEHHGPSMPALIAPEDSPHCDLFPGASYLVTQIPGTQTESRAEELSPAALSPLLEPIRCSHQPISLLGSFLTEESPDKEKLLSVL
+>DECOY_sp|A5YM69|ARG35_HUMAN Rho guanine nucleotide exchange factor 35 OS=Homo sapiens OX=9606 GN=ARHGEF35 PE=1 SV=1
+LVSLLKEKDPSEETLFSGLLSIPQHSCRIPELLPSLAAPSLEEARSETQTGPIQTVLYSAGPFLDCHPSDEPAILAPMSPGHHENEEERSRARGVAGLRGGDWSEPEKAEQGSVPVGKEKIGHDEQKGTVEESKGLFTQSKEDQSDARNEEPVQLERKREEGQEPGGQGQAKREMDEQEWEGDNLGEPEGTLGMREGQRGLMVDQVQEQKQKQEGKEDNVQEIMQEEGLLGDACVDERLTGEGRFGAEQQGQEELVQVEQPHLLGQSEQLEESPLEEGQRITGSEAGENQNTEAPHEECPSYYRTQGSNDSSTEEEEEAQGSGLTLSTLGPWFEVEEEESGLDSSFPAMDLHESQIPCAWLEPPALTRAQRGALSQPVAEWHEPTDCAEAVLSEQNPEVDASGEKPFSPMTEIAYDCVDRLESQQTASLRHEERWKYSPDEDEQAELGLASVQSSRMPAEPIISFEEIASIPPSAGHQAEEAEM
+>sp|Q9HCE6|ARGAL_HUMAN Rho guanine nucleotide exchange factor 10-like protein OS=Homo sapiens OX=9606 GN=ARHGEF10L PE=1 SV=3
+MASSNPPPQPAIGDQLVPGVPGPSSEAEDDPGEAFEFDDSDDEEDTSAALGVPSLAPERDTDPPLIHLDSIPVTDPDPAAAPPGTGVPAWVSNGDAADAAFSGARHSSWKRKSSRRIDRFTFPALEEDVIYDDVPCESPDAHQPGAERNLLYEDAHRAGAPRQAEDLGWSSSEFESYSEDSGEEAKPEVEVEPAKHRVSFQPKLSPDLTRLKERYARTKRDILALRVGGRDMQELKHKYDCKMTQLMKAAKSGTKDGLEKTRMAVMRKVSFLHRKDVLGDSEEEDMGLLEVSVSDIKPPAPELGPMPEGLSPQQVVRRHILGSIVQSEGSYVESLKRILQDYRNPLMEMEPKALSARKCQVVFFRVKEILHCHSMFQIALSSRVAEWDSTEKIGDLFVASFSKSMVLDVYSDYVNNFTSAMSIIKKACLTKPAFLEFLKRRQVCSPDRVTLYGLMVKPIQRFPQFILLLQDMLKNTPRGHPDRLSLQLALTELETLAEKLNEQKRLADQVAEIQQLTKSVSDRSSLNKLLTSGQRQLLLCETLTETVYGDRGQLIKSKERRVFLLNDMLVCANINFKPANHRGQLEISSLVPLGPKYVVKWNTALPQVQVVEVGQDGGTYDKDNVLIQHSGAKKASASGQAQNKVYLGPPRLFQELQDLQKDLAVVEQITLLISTLHGTYQNLNMTVAQDWCLALQRLMRVKEEEIHSANKCRLRLLLPGKPDKSGRPISFMVVFITPNPLSKISWVNRLHLAKIGLREENQPGWLCPDEDKKSKAPFWCPILACCIPAFSSRALSLQLGALVHSPVNCPLLGFSAVSTSLPQGYLWVGGGQEGAGGQVEIFSLNRPSPRTVKSFPLAAPVLCMEYIPELEEEAESRDESPTVADPSATVHPTICLGLQDGSILLYSSVDTGTQCLVSCRSPGLQPVLCLRHSPFHLLAGLQDGTLAAYPRTSGGVLWDLESPPVCLTVGPGPVRTLLSLEDAVWASCGPWVTVLEATTLQPQQSFEAHQDEAVSVTHMVKAGSGVWMAFSSGTSIRLFHTETLEHLQEINIATRTTFLLPGQKHLCVTSLLICQGLLWVGTDQGVIVLLPVPRLEGIPKITGKGMVSLNGHCGPVAFLAVATSILAPDILRSDQEEAEGPRAEEDKPDGQAHEPMPDSHVGRELTRKKGILLQYRLRSTAHLPGPLLSMREPAPADGAALEHSEEDGSIYEMADDPDIWVRSRPCARDAHRKEICSVAIISGGQGYRNFGSALGSSGRQAPCGETDSTLLIWQVPLML
+>DECOY_sp|Q9HCE6|ARGAL_HUMAN Rho guanine nucleotide exchange factor 10-like protein OS=Homo sapiens OX=9606 GN=ARHGEF10L PE=1 SV=3
+LMLPVQWILLTSDTEGCPAQRGSSGLASGFNRYGQGGSIIAVSCIEKRHADRACPRSRVWIDPDDAMEYISGDEESHELAAGDAPAPERMSLLPGPLHATSRLRYQLLIGKKRTLERGVHSDPMPEHAQGDPKDEEARPGEAEEQDSRLIDPALISTAVALFAVPGCHGNLSVMGKGTIKPIGELRPVPLLVIVGQDTGVWLLGQCILLSTVCLHKQGPLLFTTRTAINIEQLHELTETHFLRISTGSSFAMWVGSGAKVMHTVSVAEDQHAEFSQQPQLTTAELVTVWPGCSAWVADELSLLTRVPGPGVTLCVPPSELDWLVGGSTRPYAALTGDQLGALLHFPSHRLCLVPQLGPSRCSVLCQTGTDVSSYLLISGDQLGLCITPHVTASPDAVTPSEDRSEAEEELEPIYEMCLVPAALPFSKVTRPSPRNLSFIEVQGGAGEQGGGVWLYGQPLSTSVASFGLLPCNVPSHVLAGLQLSLARSSFAPICCALIPCWFPAKSKKDEDPCLWGPQNEERLGIKALHLRNVWSIKSLPNPTIFVVMFSIPRGSKDPKGPLLLRLRCKNASHIEEEKVRMLRQLALCWDQAVTMNLNQYTGHLTSILLTIQEVVALDKQLDQLEQFLRPPGLYVKNQAQGSASAKKAGSHQILVNDKDYTGGDQGVEVVQVQPLATNWKVVYKPGLPVLSSIELQGRHNAPKFNINACVLMDNLLFVRREKSKILQGRDGYVTETLTECLLLQRQGSTLLKNLSSRDSVSKTLQQIEAVQDALRKQENLKEALTELETLALQLSLRDPHGRPTNKLMDQLLLIFQPFRQIPKVMLGYLTVRDPSCVQRRKLFELFAPKTLCAKKIISMASTFNNVYDSYVDLVMSKSFSAVFLDGIKETSDWEAVRSSLAIQFMSHCHLIEKVRFFVVQCKRASLAKPEMEMLPNRYDQLIRKLSEVYSGESQVISGLIHRRVVQQPSLGEPMPGLEPAPPKIDSVSVELLGMDEEESDGLVDKRHLFSVKRMVAMRTKELGDKTGSKAAKMLQTMKCDYKHKLEQMDRGGVRLALIDRKTRAYREKLRTLDPSLKPQFSVRHKAPEVEVEPKAEEGSDESYSEFESSSWGLDEAQRPAGARHADEYLLNREAGPQHADPSECPVDDYIVDEELAPFTFRDIRRSSKRKWSSHRAGSFAADAADGNSVWAPVGTGPPAAAPDPDTVPISDLHILPPDTDREPALSPVGLAASTDEEDDSDDFEFAEGPDDEAESSPGPVGPVLQDGIAPQPPPNSSAM
+>sp|A6NJG6|ARGFX_HUMAN Arginine-fifty homeobox OS=Homo sapiens OX=9606 GN=ARGFX PE=2 SV=1
+MRNRMAPENPQPDPFINRNYSNMKVIPPQDPASPSFTLLSKLECSGTVSAYCSLNLPGSTDPPTSASRVAATTAIRRRHKERTSFTHQQYEELEALFSQTMFPDRNLQEKLALRLDLPESTVKVWFRNRRFKLKKQQQQQSAKQRNQILPSKKNVPTSPRTSPSPYAFSPVISDFYSSLPSQPLDPSNWAWNSTFTESSTSDFQMQDTQWERLVASVPALYSDAYDIFQIIELYNLPDENEISSSSFHCLYQYLSPTKYQVGGQGSSLSIFAGPAVGLSPAQTWPNMTSQAFEAYSLTDSLEFQKTSNMVDLGFL
+>DECOY_sp|A6NJG6|ARGFX_HUMAN Arginine-fifty homeobox OS=Homo sapiens OX=9606 GN=ARGFX PE=2 SV=1
+LFGLDVMNSTKQFELSDTLSYAEFAQSTMNPWTQAPSLGVAPGAFISLSSGQGGVQYKTPSLYQYLCHFSSSSIENEDPLNYLEIIQFIDYADSYLAPVSAVLREWQTDQMQFDSTSSETFTSNWAWNSPDLPQSPLSSYFDSIVPSFAYPSPSTRPSTPVNKKSPLIQNRQKASQQQQQKKLKFRRNRFWVKVTSEPLDLRLALKEQLNRDPFMTQSFLAELEEYQQHTFSTREKHRRRIATTAAVRSASTPPDTSGPLNLSCYASVTGSCELKSLLTFSPSAPDQPPIVKMNSYNRNIFPDPQPNEPAMRNRM
+>sp|P78540|ARGI2_HUMAN Arginase-2, mitochondrial OS=Homo sapiens OX=9606 GN=ARG2 PE=1 SV=1
+MSLRGSLSRLLQTRVHSILKKSVHSVAVIGAPFSQGQKRKGVEHGPAAIREAGLMKRLSSLGCHLKDFGDLSFTPVPKDDLYNNLIVNPRSVGLANQELAEVVSRAVSDGYSCVTLGGDHSLAIGTISGHARHCPDLCVVWVDAHADINTPLTTSSGNLHGQPVSFLLRELQDKVPQLPGFSWIKPCISSASIVYIGLRDVDPPEHFILKNYDIQYFSMRDIDRLGIQKVMERTFDLLIGKRQRPIHLSFDIDAFDPTLAPATGTPVVGGLTYREGMYIAEEIHNTGLLSALDLVEVNPQLATSEEEAKTTANLAVDVIASSFGQTREGGHIVYDQLPTPSSPDESENQARVRI
+>DECOY_sp|P78540|ARGI2_HUMAN Arginase-2, mitochondrial OS=Homo sapiens OX=9606 GN=ARG2 PE=1 SV=1
+IRVRAQNESEDPSSPTPLQDYVIHGGERTQGFSSAIVDVALNATTKAEEESTALQPNVEVLDLASLLGTNHIEEAIYMGERYTLGGVVPTGTAPALTPDFADIDFSLHIPRQRKGILLDFTREMVKQIGLRDIDRMSFYQIDYNKLIFHEPPDVDRLGIYVISASSICPKIWSFGPLQPVKDQLERLLFSVPQGHLNGSSTTLPTNIDAHADVWVVCLDPCHRAHGSITGIALSHDGGLTVCSYGDSVARSVVEALEQNALGVSRPNVILNNYLDDKPVPTFSLDGFDKLHCGLSSLRKMLGAERIAAPGHEVGKRKQGQSFPAGIVAVSHVSKKLISHVRTQLLRSLSGRLSM
+>sp|Q9NR81|ARHG3_HUMAN Rho guanine nucleotide exchange factor 3 OS=Homo sapiens OX=9606 GN=ARHGEF3 PE=1 SV=1
+MVAKDYPFYLTVKRANCSLELPPASGPAKDAEEPSNKRVKPLSRVTSLANLIPPVKATPLKRFSQTLQRSISFRSESRPDILAPRPWSRNAAPSSTKRRDSKLWSETFDVCVNQMLTSKEIKRQEAIFELSQGEEDLIEDLKLAKKAYHDPMLKLSIMTEQELNQIFGTLDSLIPLHEELLSQLRDVRKPDGSTEHVGPILVGWLPCLSSYDSYCSNQVAAKALLDHKKQDHRVQDFLQRCLESPFSRKLDLWNFLDIPRSRLVKYPLLLREILRHTPNDNPDQQHLEEAINIIQGIVAEINTKTGESECRYYKERLLYLEEGQKDSLIDSSRVLCCHGELKNNRGVKLHVFLFQEVLVITRAVTHNEQLCYQLYRQPIPVKDLLLEDLQDGEVRLGGSLRGAFSNNERIKNFFRVSFKNGSQSQTHSLQANDTFNKQQWLNCIRQAKETVLCAAGQAGVLDSEGSFLNPTTGSRELQGETKLEQMDQSDSESDCSMDTSEVSLDCERMEQTDSSCGNSRHGESNV
+>DECOY_sp|Q9NR81|ARHG3_HUMAN Rho guanine nucleotide exchange factor 3 OS=Homo sapiens OX=9606 GN=ARHGEF3 PE=1 SV=1
+VNSEGHRSNGCSSDTQEMRECDLSVESTDMSCDSESDSQDMQELKTEGQLERSGTTPNLFSGESDLVGAQGAACLVTEKAQRICNLWQQKNFTDNAQLSHTQSQSGNKFSVRFFNKIRENNSFAGRLSGGLRVEGDQLDELLLDKVPIPQRYLQYCLQENHTVARTIVLVEQFLFVHLKVGRNNKLEGHCCLVRSSDILSDKQGEELYLLREKYYRCESEGTKTNIEAVIGQIINIAEELHQQDPNDNPTHRLIERLLLPYKVLRSRPIDLFNWLDLKRSFPSELCRQLFDQVRHDQKKHDLLAKAAVQNSCYSDYSSLCPLWGVLIPGVHETSGDPKRVDRLQSLLEEHLPILSDLTGFIQNLEQETMISLKLMPDHYAKKALKLDEILDEEGQSLEFIAEQRKIEKSTLMQNVCVDFTESWLKSDRRKTSSPAANRSWPRPALIDPRSESRFSISRQLTQSFRKLPTAKVPPILNALSTVRSLPKVRKNSPEEADKAPGSAPPLELSCNARKVTLYFPYDKAVM
+>sp|Q7Z628|ARHG8_HUMAN Neuroepithelial cell-transforming gene 1 protein OS=Homo sapiens OX=9606 GN=NET1 PE=1 SV=1
+MEPELAAQKQPRPRRRSRRASGLSTEGATGPSADTSGSELDGRCSLRRGSSFTFLTPGPNWDFTLKRKRREKDDDVVSLSSLDLKEPSNKRVRPLARVTSLANLISPVRNGAVRRFGQTIQSFTLRGDHRSPASAQKFSSRSTVPTPAKRRSSALWSEMLDITMKESLTTREIRRQEAIYEMSRGEQDLIEDLKLARKAYHDPMLKLSIMSEEELTHIFGDLDSYIPLHEDLLTRIGEATKPDGTVEQIGHILVSWLPRLNAYRGYCSNQLAAKALLDQKKQDPRVQDFLQRCLESPFSRKLDLWSFLDIPRSRLVKYPLLLKEILKHTPKEHPDVQLLEDAILIIQGVLSDINLKKGESECQYYIDKLEYLDEKQRDPRIEASKVLLCHGELRSKSGHKLYIFLFQDILVLTRPVTRNERHSYQVYRQPIPVQELVLEDLQDGDVRMGGSFRGAFSNSEKAKNIFRIRFHDPSPAQSHTLQANDVFHKQQWFNCIRAAIAPFQSAGSPPELQGLPELHEECEGNHPSARKLTAQRRASTVSSVTQVEVDENAYRCGSGMQMAEDSKSLKTHQTQPGIRRARDKALSGGKRKETLV
+>DECOY_sp|Q7Z628|ARHG8_HUMAN Neuroepithelial cell-transforming gene 1 protein OS=Homo sapiens OX=9606 GN=NET1 PE=1 SV=1
+VLTEKRKGGSLAKDRARRIGPQTQHTKLSKSDEAMQMGSGCRYANEDVEVQTVSSVTSARRQATLKRASPHNGECEEHLEPLGQLEPPSGASQFPAIAARICNFWQQKHFVDNAQLTHSQAPSPDHFRIRFINKAKESNSFAGRFSGGMRVDGDQLDELVLEQVPIPQRYVQYSHRENRTVPRTLVLIDQFLFIYLKHGSKSRLEGHCLLVKSAEIRPDRQKEDLYELKDIYYQCESEGKKLNIDSLVGQIILIADELLQVDPHEKPTHKLIEKLLLPYKVLRSRPIDLFSWLDLKRSFPSELCRQLFDQVRPDQKKQDLLAKAALQNSCYGRYANLRPLWSVLIHGIQEVTGDPKTAEGIRTLLDEHLPIYSDLDGFIHTLEEESMISLKLMPDHYAKRALKLDEILDQEGRSMEYIAEQRRIERTTLSEKMTIDLMESWLASSRRKAPTPVTSRSSFKQASAPSRHDGRLTFSQITQGFRRVAGNRVPSILNALSTVRALPRVRKNSPEKLDLSSLSVVDDDKERRKRKLTFDWNPGPTLFTFSSGRRLSCRGDLESGSTDASPGTAGETSLGSARRSRRRPRPQKQAALEPEM
+>sp|O15085|ARHGB_HUMAN Rho guanine nucleotide exchange factor 11 OS=Homo sapiens OX=9606 GN=ARHGEF11 PE=1 SV=1
+MSVRLPQSIDRLSSLSSLGDSAPERKSPSHHRQPSDASETTGLVQRCVIIQKDQHGFGFTVSGDRIVLVQSVRPGGAAMKAGVKEGDRIIKVNGTMVTNSSHLEVVKLIKSGAYVALTLLGSSPSSMGISGLQQDPSPAGAPRITSVIPSPPPPPPLPPPQRITGPKPLQDPEVQKHATQILRNMLRQEEKELQDILPLYGDTSQRPSEGRLSLDSQEGDSGLDSGTERFPSLSESLMNRNSVLSDPGLDSPRTSPVIMARVAQHHRRQGSDAAVPSTGDQGVDQSPKPLIIGPEEDYDPGYFNNESDIIFQDLEKLKSRPAHLGVFLRYIFSQADPSPLLFYLCAEVYQQASPKDSRSLGKDIWNIFLEKNAPLRVKIPEMLQAEIDSRLRNSEDARGVLCEAQEAAMPEIQEQIHDYRTKRTLGLGSLYGENDLLDLDGDPLRERQVAEKQLAALGDILSKYEEDRSAPMDFALNTYMSHAGIRLREARPSNTAEKAQSAPDKDKWLPFFPKTKKSSNSKKEKDALEDKKRNPILKYIGKPKSSSQSTFHIPLSPVEVKPGNVRNIIQHFENNQQYDAPEPGTQRLSTGSFPEDLLESDSSRSEIRLGRSESLKGREEMKRSRKAENVPRSRSDVDMDAAAEATRLHQSASSSTSSLSTRSLENPTPPFTPKMGRRSIESPSLGFCTDTLLPHLLEDDLGQLSDLEPEPDAQNWQHTVGKDVVAGLTQREIDRQEVINELFVTEASHLRTLRVLDLIFYQRMKKENLMPREELARLFPNLPELIEIHNSWCEAMKKLREEGPIIKEISDLMLARFDGPAREELQQVAAQFCSYQSIALELIKTKQRKESRFQLFMQEAESHPQCRRLQLRDLIISEMQRLTKYPLLLESIIKHTEGGTSEHEKLCRARDQCREILKYVNEAVKQTENRHRLEGYQKRLDATALERASNPLAAEFKSLDLTTRKMIHEGPLTWRISKDKTLDLHVLLLEDLLVLLQKQDEKLLLKCHSKTAVGSSDSKQTFSPVLKLNAVLIRSVATDKRAFFIICTSKLGPPQIYELVALTSSDKNTWMELLEEAVRNATRHPGAAPMPVHPPPPGPREPAQQGPTPSRVELDDSDVFHGEPEPEELPGGTGSQQRVQGKHQVLLEDPEQEGSAEEEELGVLPCPSTSLDGENRGIRTRNPIHLAFPGPLFMEGLADSALEDVENLRHLILWSLLPGHTMETQAAQEPEDDLTPTPSVISVTSHPWDPGSPGQAPPGGEGDNTQLAGLEGERPEQEDMGLCSLEHLPPRTRNSGIWESPELDRNLAEDASSTEAAGGYKVVRKAEVAGSKVVPALPESGQSEPGPPEVEGGTKATGNCFYVSMPSGPPDSSTDHSEAPMSPPQPDSLPAGQTEPQPQLQGGNDDPRRPSRSPPSLALRDVGMIFHTIEQLTLKLNRLKDMELAHRELLKSLGGESSGGTTPVGSFHTEAARWTDGSLSPPAKEPLASDSRNSHELGPCPEDGSDAPLEDSTADAAASPGP
+>DECOY_sp|O15085|ARHGB_HUMAN Rho guanine nucleotide exchange factor 11 OS=Homo sapiens OX=9606 GN=ARHGEF11 PE=1 SV=1
+PGPSAAADATSDELPADSGDEPCPGLEHSNRSDSALPEKAPPSLSGDTWRAAETHFSGVPTTGGSSEGGLSKLLERHALEMDKLRNLKLTLQEITHFIMGVDRLALSPPSRSPRRPDDNGGQLQPQPETQGAPLSDPQPPSMPAESHDTSSDPPGSPMSVYFCNGTAKTGGEVEPPGPESQGSEPLAPVVKSGAVEAKRVVKYGGAAETSSADEALNRDLEPSEWIGSNRTRPPLHELSCLGMDEQEPREGELGALQTNDGEGGPPAQGPSGPDWPHSTVSIVSPTPTLDDEPEQAAQTEMTHGPLLSWLILHRLNEVDELASDALGEMFLPGPFALHIPNRTRIGRNEGDLSTSPCPLVGLEEEEASGEQEPDELLVQHKGQVRQQSGTGGPLEEPEPEGHFVDSDDLEVRSPTPGQQAPERPGPPPPHVPMPAAGPHRTANRVAEELLEMWTNKDSSTLAVLEYIQPPGLKSTCIIFFARKDTAVSRILVANLKLVPSFTQKSDSSGVATKSHCKLLLKEDQKQLLVLLDELLLVHLDLTKDKSIRWTLPGEHIMKRTTLDLSKFEAALPNSARELATADLRKQYGELRHRNETQKVAENVYKLIERCQDRARCLKEHESTGGETHKIISELLLPYKTLRQMESIILDRLQLRRCQPHSEAEQMFLQFRSEKRQKTKILELAISQYSCFQAAVQQLEERAPGDFRALMLDSIEKIIPGEERLKKMAECWSNHIEILEPLNPFLRALEERPMLNEKKMRQYFILDLVRLTRLHSAETVFLENIVEQRDIERQTLGAVVDKGVTHQWNQADPEPELDSLQGLDDELLHPLLTDTCFGLSPSEISRRGMKPTFPPTPNELSRTSLSSTSSSASQHLRTAEAAADMDVDSRSRPVNEAKRSRKMEERGKLSESRGLRIESRSSDSELLDEPFSGTSLRQTGPEPADYQQNNEFHQIINRVNGPKVEVPSLPIHFTSQSSSKPKGIYKLIPNRKKDELADKEKKSNSSKKTKPFFPLWKDKDPASQAKEATNSPRAERLRIGAHSMYTNLAFDMPASRDEEYKSLIDGLAALQKEAVQRERLPDGDLDLLDNEGYLSGLGLTRKTRYDHIQEQIEPMAAEQAECLVGRADESNRLRSDIEAQLMEPIKVRLPANKELFINWIDKGLSRSDKPSAQQYVEACLYFLLPSPDAQSFIYRLFVGLHAPRSKLKELDQFIIDSENNFYGPDYDEEPGIILPKPSQDVGQDGTSPVAADSGQRRHHQAVRAMIVPSTRPSDLGPDSLVSNRNMLSESLSPFRETGSDLGSDGEQSDLSLRGESPRQSTDGYLPLIDQLEKEEQRLMNRLIQTAHKQVEPDQLPKPGTIRQPPPLPPPPPPSPIVSTIRPAGAPSPDQQLGSIGMSSPSSGLLTLAVYAGSKILKVVELHSSNTVMTGNVKIIRDGEKVGAKMAAGGPRVSQVLVIRDGSVTFGFGHQDKQIIVCRQVLGTTESADSPQRHHSPSKREPASDGLSSLSSLRDISQPLRVSM
+>sp|O94989|ARHGF_HUMAN Rho guanine nucleotide exchange factor 15 OS=Homo sapiens OX=9606 GN=ARHGEF15 PE=1 SV=4
+MSAQSLPAATPPTQKPPRIIRPRPPSRSRAAQSPGPPHNGSSPQELPRNSNDAPTPMCTPIFWEPPAASLKPPALLPPSASRASLDSQTSPDSPSSTPTPSPVSRRSASPEPAPRSPVPPPKPSGSPCTPLLPMAGVLAQNGSASAPGTVRRLAGRFEGGAEGRAQDADAPEPGLQARADVNGEREAPLTGSGSQENGAPDAGLACPPCCPCVCHTTRPGLELRWVPVGGYEEVPRVPRRASPLRTSRSRPHPPSIGHPAVVLTSYRSTAERKLLPLLKPPKPTRVRQDATIFGDPPQPDLDLLSEDGIQTGDSPDEAPQNTPPATVEGREEEGLEVLKEQNWELPLQDEPLYQTYRAAVLSEELWGVGEDGSPSPANAGDAPTFPRPPGPRNTLWQELPAVQASGLLDTLSPQERRMQESLFEVVTSEASYLRSLRLLTDTFVLSQALRDTLTPRDHHTLFSNVQRVQGVSERFLATLLSRVRSSPHISDLCDVVHAHAVGPFSVYVDYVRNQQYQEETYSRLMDTNVRFSAELRRLQSLPKCERLPLPSFLLLPFQRITRLRMLLQNILRQTEEGSSRQENAQKALGAVSKIIERCSAEVGRMKQTEELIRLTQRLRFHKVKALPLVSWSRRLEFQGELTELGCRRGGVLFASRPRFTPLCLLLFSDLLLITQPKSGQRLQVLDYAHRSLVQAQQVPDPSGPPTFRLSLLSNHQGRPTHRLLQASSLSDMQRWLGAFPTPGPLPCSPDTIYEDCDCSQELCSESSAPAKTEGRSLESRAAPKHLHKTPEGWLKGLPGAFPAQLVCEVTGEHERRRHLRQNQRLLEAVGSSSGTPNAPPP
+>DECOY_sp|O94989|ARHGF_HUMAN Rho guanine nucleotide exchange factor 15 OS=Homo sapiens OX=9606 GN=ARHGEF15 PE=1 SV=4
+PPPANPTGSSSGVAELLRQNQRLHRRREHEGTVECVLQAPFAGPLGKLWGEPTKHLHKPAARSELSRGETKAPASSESCLEQSCDCDEYITDPSCPLPGPTPFAGLWRQMDSLSSAQLLRHTPRGQHNSLLSLRFTPPGSPDPVQQAQVLSRHAYDLVQLRQGSKPQTILLLDSFLLLCLPTFRPRSAFLVGGRRCGLETLEGQFELRRSWSVLPLAKVKHFRLRQTLRILEETQKMRGVEASCREIIKSVAGLAKQANEQRSSGEETQRLINQLLMRLRTIRQFPLLLFSPLPLRECKPLSQLRRLEASFRVNTDMLRSYTEEQYQQNRVYDVYVSFPGVAHAHVVDCLDSIHPSSRVRSLLTALFRESVGQVRQVNSFLTHHDRPTLTDRLAQSLVFTDTLLRLSRLYSAESTVVEFLSEQMRREQPSLTDLLGSAQVAPLEQWLTNRPGPPRPFTPADGANAPSPSGDEGVGWLEESLVAARYTQYLPEDQLPLEWNQEKLVELGEEERGEVTAPPTNQPAEDPSDGTQIGDESLLDLDPQPPDGFITADQRVRTPKPPKLLPLLKREATSRYSTLVVAPHGISPPHPRSRSTRLPSARRPVRPVEEYGGVPVWRLELGPRTTHCVCPCCPPCALGADPAGNEQSGSGTLPAEREGNVDARAQLGPEPADADQARGEAGGEFRGALRRVTGPASASGNQALVGAMPLLPTCPSGSPKPPPVPSRPAPEPSASRRSVPSPTPTSSPSDPSTQSDLSARSASPPLLAPPKLSAAPPEWFIPTCMPTPADNSNRPLEQPSSGNHPPGPSQAARSRSPPRPRIIRPPKQTPPTAAPLSQASM
+>sp|Q96PE2|ARHGH_HUMAN Rho guanine nucleotide exchange factor 17 OS=Homo sapiens OX=9606 GN=ARHGEF17 PE=1 SV=1
+MADGAPRPQLYRSVSFKLLERWSGGPGLREEDTDTPGLRRRASCRPTTAARGQPSRRVSKLASGPLAAPAQPRPLRSLSPSVRQLSRRFDAPRLDDGSAGTRDGGVLPAAAEEAAEGPARGAWPSVTEMRKLFGGPGSRRPSADSESPGTPSPDGAAWEPPARESRQPPTPPPRTCFPLAGLRSARPLTGPETEGRLRRPQQQQERAQRPADGLHSWHIFSQPQAGARASCSSSSIAASYPVSRSRAASSSEEEEEGPPQLPGAQSPAYHGGHSSGSDDDRDGEGGHRWGGRPGLRPGSSLLDQDCRPDSDGLNLSSMNSAGVSGSPEPPTSPRAPREEGLREWGSGSPPCVPGPQEGLRPMSDSVGGAFRVAKVSFPSYLASPAGSRGSSRYSSTETLKDDDLWSSRGSGGWGVYRSPSFGAGEGLLRSQARTRAKGPGGTSRALRDGGFEPEKSRQRKSLSNPDIASETLTLLSFLRSDLSELRVRKPGGSSGDRGSNPLDGRDSPSAGGPVGQLEPIPIPAPASPGTRPTLKDLTATLRRAKSFTCSEKPMARRLPRTSALKSSSSELLLTGPGAEEDPLPLIVQDQYVQEARQVFEKIQRMGAQQDDGSDAPPGSPDWAGDVTRGQRSQEELSGPESSLTDEGIGADPEPPVAAFCGLGTTGMWRPLSSSSAQTNHHGPGTEDSLGGWALVSPETPPTPGALRRRRKVPPSGSGGSELSNGEAGEAYRSLSDPIPQRHRAATSEEPTGFSVDSNLLGSLSPKTGLPATSAMDEGLTSGHSDWSVGSEESKGYQEVIQSIVQGPGTLGRVVDDRIAGKAPKKKSLSDPSRRGELAGPGFEGPGGEPIREVEPMLPPSSSEPILVEQRAEPEEPGATRSRAQSERALPEALPPPATAHRNFHLDPKLADILSPRLIRRGSKKRPARSSHQELRRDEGSQDQTGSLSRARPSSRHVRHASVPATFMPIVVPEPPTSVGPPVAVPEPIGFPTRAHPTLQAPSLEDVTKQYMLNLHSGEVPAPVPVDMPCLPLAAPPSAEAKPPEAARPADEPTPASKCCSKPQVDMRKHVAMTLLDTEQSYVESLRTLMQGYMQPLKQPENSVLCDPSLVDEIFDQIPELLEHHEQFLEQVRHCMQTWHAQQKVGALLVQSFSKDVLVNIYSAYIDNFLNAKDAVRVAKEARPAFLKFLEQSMRENKEKQALSDLMIKPVQRIPRYELLVKDLLKHTPEDHPDHPLLLEAQRNIKQVAERINKGVRSAEEAERHARVLQEIEAHIEGMEDLQAPLRRFLRQEMVIEVKAIGGKKDRSLFLFTDLIVCTTLKRKSGSLRRSSMSLYTAASVIDTASKYKMLWKLPLEDADIIKGASQATNRENIQKAISRLDEDLTTLGQMSKLSESLGFPHQSLDDALRDLSAAMHRDLSEKQALCYALSFPPTKLELCATRPEGTDSYIFEFPHPDARLGFEQAFDEAKRKLASSKSCLDPEFLKAIPIMKTRSGMQFSCAAPTLNSCPEPSPEVWVCNSDGYVGQVCLLSLRAEPDVEACIAVCSARILCIGAVPGLQPRCHREPPPSLRSPPETAPEPAGPELDVEAAADEEAATLAEPGPQPCLHISIAGSGLEMTPGLGEGDPRPELVPFDSDSDDESSPSPSGTLQSQASRSTISSSFGNEETPSSKEATAETTSSEEEQEPGFLPLSGSFGPGGPCGTSPMDGRALRRSSHGSFTRGSLEDLLSVDPEAYQSSVWLGTEDGCVHVYQSSDSIRDRRNSMKLQHAASVTCILYLNNQVFVSLANGELVVYQREAGHFWDPQNFKSVTLGTQGSPITKMVSVGGRLWCGCQNRVLVLSPDTLQLEHMFYVGQDSSRCVACMVDSSLGVWVTLKGSAHVCLYHPDTFEQLAEVDVTPPVHRMLAGSDAIIRQHKAACLRITALLVCEELLWVGTSAGVVLTMPTSPGTVSCPRAPLSPTGLGQGHTGHVRFLAAVQLPDGFNLLCPTPPPPPDTGPEKLPSLEHRDSPWHRGPAPARPKMLVISGGDGYEDFRLSSGGGSSSETVGRDDSTNHLLLWRV
+>DECOY_sp|Q96PE2|ARHGH_HUMAN Rho guanine nucleotide exchange factor 17 OS=Homo sapiens OX=9606 GN=ARHGEF17 PE=1 SV=1
+VRWLLLHNTSDDRGVTESSSGGGSSLRFDEYGDGGSIVLMKPRAPAPGRHWPSDRHELSPLKEPGTDPPPPPTPCLLNFGDPLQVAALFRVHGTHGQGLGTPSLPARPCSVTGPSTPMTLVVGASTGVWLLEECVLLATIRLCAAKHQRIIADSGALMRHVPPTVDVEALQEFTDPHYLCVHASGKLTVWVGLSSDVMCAVCRSSDQGVYFMHELQLTDPSLVLVRNQCGCWLRGGVSVMKTIPSGQTGLTVSKFNQPDWFHGAERQYVVLEGNALSVFVQNNLYLICTVSAAHQLKMSNRRDRISDSSQYVHVCGDETGLWVSSQYAEPDVSLLDELSGRTFSGHSSRRLARGDMPSTGCPGGPGFSGSLPLFGPEQEEESSTTEATAEKSSPTEENGFSSSITSRSAQSQLTGSPSPSSEDDSDSDFPVLEPRPDGEGLGPTMELGSGAISIHLCPQPGPEALTAAEEDAAAEVDLEPGAPEPATEPPSRLSPPPERHCRPQLGPVAGICLIRASCVAICAEVDPEARLSLLCVQGVYGDSNCVWVEPSPEPCSNLTPAACSFQMGSRTKMIPIAKLFEPDLCSKSSALKRKAEDFAQEFGLRADPHPFEFIYSDTGEPRTACLELKTPPFSLAYCLAQKESLDRHMAASLDRLADDLSQHPFGLSESLKSMQGLTTLDEDLRSIAKQINERNTAQSAGKIIDADELPLKWLMKYKSATDIVSAATYLSMSSRRLSGSKRKLTTCVILDTFLFLSRDKKGGIAKVEIVMEQRLFRRLPAQLDEMGEIHAEIEQLVRAHREAEEASRVGKNIREAVQKINRQAELLLPHDPHDEPTHKLLDKVLLEYRPIRQVPKIMLDSLAQKEKNERMSQELFKLFAPRAEKAVRVADKANLFNDIYASYINVLVDKSFSQVLLAGVKQQAHWTQMCHRVQELFQEHHELLEPIQDFIEDVLSPDCLVSNEPQKLPQMYGQMLTRLSEVYSQETDLLTMAVHKRMDVQPKSCCKSAPTPEDAPRAAEPPKAEASPPAALPLCPMDVPVPAPVEGSHLNLMYQKTVDELSPAQLTPHARTPFGIPEPVAVPPGVSTPPEPVVIPMFTAPVSAHRVHRSSPRARSLSGTQDQSGEDRRLEQHSSRAPRKKSGRRILRPSLIDALKPDLHFNRHATAPPPLAEPLARESQARSRTAGPEEPEARQEVLIPESSSPPLMPEVERIPEGGPGEFGPGALEGRRSPDSLSKKKPAKGAIRDDVVRGLTGPGQVISQIVEQYGKSEESGVSWDSHGSTLGEDMASTAPLGTKPSLSGLLNSDVSFGTPEESTAARHRQPIPDSLSRYAEGAEGNSLESGGSGSPPVKRRRRLAGPTPPTEPSVLAWGGLSDETGPGHHNTQASSSSLPRWMGTTGLGCFAAVPPEPDAGIGEDTLSSEPGSLEEQSRQGRTVDGAWDPSGPPADSGDDQQAGMRQIKEFVQRAEQVYQDQVILPLPDEEAGPGTLLLESSSSKLASTRPLRRAMPKESCTFSKARRLTATLDKLTPRTGPSAPAPIPIPELQGVPGGASPSDRGDLPNSGRDGSSGGPKRVRLESLDSRLFSLLTLTESAIDPNSLSKRQRSKEPEFGGDRLARSTGGPGKARTRAQSRLLGEGAGFSPSRYVGWGGSGRSSWLDDDKLTETSSYRSSGRSGAPSALYSPFSVKAVRFAGGVSDSMPRLGEQPGPVCPPSGSGWERLGEERPARPSTPPEPSGSVGASNMSSLNLGDSDPRCDQDLLSSGPRLGPRGGWRHGGEGDRDDDSGSSHGGHYAPSQAGPLQPPGEEEEESSSAARSRSVPYSAAISSSSCSARAGAQPQSFIHWSHLGDAPRQAREQQQQPRRLRGETEPGTLPRASRLGALPFCTRPPPTPPQRSERAPPEWAAGDPSPTGPSESDASPRRSGPGGFLKRMETVSPWAGRAPGEAAEEAAAPLVGGDRTGASGDDLRPADFRRSLQRVSPSLSRLPRPQAPAALPGSALKSVRRSPQGRAATTPRCSARRRLGPTDTDEERLGPGGSWRELLKFSVSRYLQPRPAGDAM
+>sp|Q6ZSZ5|ARHGI_HUMAN Rho guanine nucleotide exchange factor 18 OS=Homo sapiens OX=9606 GN=ARHGEF18 PE=1 SV=3
+MVTVGTNILPSRPAASANTAREDAALFSRRIPPRHKNGAAQPGAAPGPGAPGANMGNAHSKSGDRHSALPGRPELSFYGSFPRKWSENVFLDNELLTSKILSVLRPQSERGFRAGDLRYPTHFLSTNSVLASVTASLKEHPRGTLLSDGSPALSRNVGMTVSQKGGPQPTPSPAGPGTQLGPITGEMDEADSAFLKFKQTADDSLSLTSPNTESIFVEDPYTASLRSEIESDGHEFEAESWSLAVDAAYAKKQKREVVKRQDVLYELMQTEVHHVRTLKIMLKVYSRALQEELQFSSKAIGRLFPCADDLLETHSHFLARLKERRQESLEEGSDRNYVIQKIGDLLVQQFSGENGERMKEKYGVFCSGHNEAVSHYKLLLQQNKKFQNLIKKIGNFSIVRRLGVQECILLVTQRITKYPVLVERIIQNTEAGTEDYEDLTQALNLIKDIISQVDAKVSECEKGQRLREIAGKMDLKSSSKLKNGLTFRKEDMLQRQLHLEGMLCWKTTSGRLKDILAILLTDVLLLLQEKDQKYVFASVDSKPPVISLQKLIVREVANEEKAMFLISASLQGPEMYEIYTSSKEDRNAWMAHIQRAVESCPDEEEGPFSLPEEERKVVEARATRLRDFQERLSMKDQLIAQSLLEKQQIYLEMAEMGGLEDLPQPRGLFRGGDPSETLQGELILKSAMSEIEGIQSLICRQLGSANGQAEDGGSSTGPPRRAETFAGYDCTNSPTKNGSFKKKVSSTDPRPRDWRGPPNSPDLKLSDSDIPGSSEESPQVVEAPGTESDPRLPTVLESELVQRIQTLSQLLLNLQAVIAHQDSYVETQRAAIQEREKQFRLQSTRGNLLLEQERQRNFEKQREERAALEKLQSQLRHEQQRWERERQWQHQELERAGARLQEREGEARQLRERLEQERAELERQRQAYQHDLERLREAQRAVERERERLELLRRLKKQNTAPGALPPDTLAEAQPPSHPPSFNGEGLEGPRVSMLPSGVGPEYAERPEVARRDSAPTENRLAKSDVPIQLLSATNQFQRQAAVQQQIPTKLAASTKGGKDKGGKSRGSQRWESSASFDLKQQLLLNKLMGKDESTSRNRRSLSPILPGRHSPAPPPDPGFPAPSPPPADSPSEGFSLKAGGTALLPGPPAPSPLPATPLSAKEDASKEDVIFF
+>DECOY_sp|Q6ZSZ5|ARHGI_HUMAN Rho guanine nucleotide exchange factor 18 OS=Homo sapiens OX=9606 GN=ARHGEF18 PE=1 SV=3
+FFIVDEKSADEKASLPTAPLPSPAPPGPLLATGGAKLSFGESPSDAPPPSPAPFGPDPPPAPSHRGPLIPSLSRRNRSTSEDKGMLKNLLLQQKLDFSASSEWRQSGRSKGGKDKGGKTSAALKTPIQQQVAAQRQFQNTASLLQIPVDSKALRNETPASDRRAVEPREAYEPGVGSPLMSVRPGELGEGNFSPPHSPPQAEALTDPPLAGPATNQKKLRRLLELREREREVARQAERLRELDHQYAQRQRELEAREQELRERLQRAEGEREQLRAGARELEQHQWQREREWRQQEHRLQSQLKELAAREERQKEFNRQREQELLLNGRTSQLRFQKEREQIAARQTEVYSDQHAIVAQLNLLLQSLTQIRQVLESELVTPLRPDSETGPAEVVQPSEESSGPIDSDSLKLDPSNPPGRWDRPRPDTSSVKKKFSGNKTPSNTCDYGAFTEARRPPGTSSGGDEAQGNASGLQRCILSQIGEIESMASKLILEGQLTESPDGGRFLGRPQPLDELGGMEAMELYIQQKELLSQAILQDKMSLREQFDRLRTARAEVVKREEEPLSFPGEEEDPCSEVARQIHAMWANRDEKSSTYIEYMEPGQLSASILFMAKEENAVERVILKQLSIVPPKSDVSAFVYKQDKEQLLLLVDTLLIALIDKLRGSTTKWCLMGELHLQRQLMDEKRFTLGNKLKSSSKLDMKGAIERLRQGKECESVKADVQSIIDKILNLAQTLDEYDETGAETNQIIREVLVPYKTIRQTVLLICEQVGLRRVISFNGIKKILNQFKKNQQLLLKYHSVAENHGSCFVGYKEKMREGNEGSFQQVLLDGIKQIVYNRDSGEELSEQRREKLRALFHSHTELLDDACPFLRGIAKSSFQLEEQLARSYVKLMIKLTRVHHVETQMLEYLVDQRKVVERKQKKAYAADVALSWSEAEFEHGDSEIESRLSATYPDEVFISETNPSTLSLSDDATQKFKLFASDAEDMEGTIPGLQTGPGAPSPTPQPGGKQSVTMGVNRSLAPSGDSLLTGRPHEKLSATVSALVSNTSLFHTPYRLDGARFGRESQPRLVSLIKSTLLENDLFVNESWKRPFSGYFSLEPRGPLASHRDGSKSHANGMNAGPAGPGPAAGPQAAGNKHRPPIRRSFLAADERATNASAAPRSPLINTGVTVM
+>sp|O14497|ARI1A_HUMAN AT-rich interactive domain-containing protein 1A OS=Homo sapiens OX=9606 GN=ARID1A PE=1 SV=3
+MAAQVAPAAASSLGNPPPPPPSELKKAEQQQREEAGGEAAAAAAAERGEMKAAAGQESEGPAVGPPQPLGKELQDGAESNGGGGGGGAGSGGGPGAEPDLKNSNGNAGPRPALNNNLTEPPGGGGGGSSDGVGAPPHSAAAALPPPAYGFGQPYGRSPSAVAAAAAAVFHQQHGGQQSPGLAALQSGGGGGLEPYAGPQQNSHDHGFPNHQYNSYYPNRSAYPPPAPAYALSSPRGGTPGSGAAAAAGSKPPPSSSASASSSSSSFAQQRFGAMGGGGPSAAGGGTPQPTATPTLNQLLTSPSSARGYQGYPGGDYSGGPQDGGAGKGPADMASQCWGAAAAAAAAAAASGGAQQRSHHAPMSPGSSGGGGQPLARTPQPSSPMDQMGKMRPQPYGGTNPYSQQQGPPSGPQQGHGYPGQPYGSQTPQRYPMTMQGRAQSAMGGLSYTQQIPPYGQQGPSGYGQQGQTPYYNQQSPHPQQQQPPYSQQPPSQTPHAQPSYQQQPQSQPPQLQSSQPPYSQQPSQPPHQQSPAPYPSQQSTTQQHPQSQPPYSQPQAQSPYQQQQPQQPAPSTLSQQAAYPQPQSQQSQQTAYSQQRFPPPQELSQDSFGSQASSAPSMTSSKGGQEDMNLSLQSRPSSLPDLSGSIDDLPMGTEGALSPGVSTSGISSSQGEQSNPAQSPFSPHTSPHLPGIRGPSPSPVGSPASVAQSRSGPLSPAAVPGNQMPPRPPSGQSDSIMHPSMNQSSIAQDRGYMQRNPQMPQYSSPQPGSALSPRQPSGGQIHTGMGSYQQNSMGSYGPQGGQYGPQGGYPRQPNYNALPNANYPSAGMAGGINPMGAGGQMHGQPGIPPYGTLPPGRMSHASMGNRPYGPNMANMPPQVGSGMCPPPGGMNRKTQETAVAMHVAANSIQNRPPGYPNMNQGGMMGTGPPYGQGINSMAGMINPQGPPYSMGGTMANNSAGMAASPEMMGLGDVKLTPATKMNNKADGTPKTESKSKKSSSSTTTNEKITKLYELGGEPERKMWVDRYLAFTEEKAMGMTNLPAVGRKPLDLYRLYVSVKEIGGLTQVNKNKKWRELATNLNVGTSSSAASSLKKQYIQCLYAFECKIERGEDPPPDIFAAADSKKSQPKIQPPSPAGSGSMQGPQTPQSTSSSMAEGGDLKPPTPASTPHSQIPPLPGMSRSNSVGIQDAFNDGSDSTFQKRNSMTPNPGYQPSMNTSDMMGRMSYEPNKDPYGSMRKAPGSDPFMSSGQGPNGGMGDPYSRAAGPGLGNVAMGPRQHYPYGGPYDRVRTEPGIGPEGNMSTGAPQPNLMPSNPDSGMYSPSRYPPQQQQQQQQRHDSYGNQFSTQGTPSGSPFPSQQTTMYQQQQQNYKRPMDGTYGPPAKRHEGEMYSVPYSTGQGQPQQQQLPPAQPQPASQQQAAQPSPQQDVYNQYGNAYPATATAATERRPAGGPQNQFPFQFGRDRVSAPPGTNAQQNMPPQMMGGPIQASAEVAQQGTMWQGRNDMTYNYANRQSTGSAPQGPAYHGVNRTDEMLHTDQRANHEGSWPSHGTRQPPYGPSAPVPPMTRPPPSNYQPPPSMQNHIPQVSSPAPLPRPMENRTSPSKSPFLHSGMKMQKAGPPVPASHIAPAPVQPPMIRRDITFPPGSVEATQPVLKQRRRLTMKDIGTPEAWRVMMSLKSGLLAESTWALDTINILLYDDNSIMTFNLSQLPGLLELLVEYFRRCLIEIFGILKEYEVGDPGQRTLLDPGRFSKVSSPAPMEGGEEEEELLGPKLEEEEEEEVVENDEEIAFSGKDKPASENSEEKLISKFDKLPVKIVQKNDPFVVDCSDKLGRVQEFDSGLLHWRIGGGDTTEHIQTHFESKTELLPSRPHAPCPPAPRKHVTTAEGTPGTTDQEGPPPDGPPEKRITATMDDMLSTRSSTLTEDGAKSSEAIKESSKFPFGISPAQSHRNIKILEDEPHSKDETPLCTLLDWQDSLAKRCVCVSNTIRSLSFVPGNDFEMSKHPGLLLILGKLILLHHKHPERKQAPLTYEKEEEQDQGVSCNKVEWWWDCLEMLRENTLVTLANISGQLDLSPYPESICLPVLDGLLHWAVCPSAEAQDPFSTLGPNAVLSPQRLVLETLSKLSIQDNNVDLILATPPFSRLEKLYSTMVRFLSDRKNPVCREMAVVLLANLAQGDSLAARAIAVQKGSIGNLLGFLEDSLAATQFQQSQASLLHMQNPPFEPTSVDMMRRAARALLALAKVDENHSEFTLYESRLLDISVSPLMNSLVSQVICDVLFLIGQS
+>DECOY_sp|O14497|ARI1A_HUMAN AT-rich interactive domain-containing protein 1A OS=Homo sapiens OX=9606 GN=ARID1A PE=1 SV=3
+SQGILFLVDCIVQSVLSNMLPSVSIDLLRSEYLTFESHNEDVKALALLARAARRMMDVSTPEFPPNQMHLLSAQSQQFQTAALSDELFGLLNGISGKQVAIARAALSDGQALNALLVVAMERCVPNKRDSLFRVMTSYLKELRSFPPTALILDVNNDQISLKSLTELVLRQPSLVANPGLTSFPDQAEASPCVAWHLLGDLVPLCISEPYPSLDLQGSINALTVLTNERLMELCDWWWEVKNCSVGQDQEEEKEYTLPAQKREPHKHHLLILKGLILLLGPHKSMEFDNGPVFSLSRITNSVCVCRKALSDQWDLLTCLPTEDKSHPEDELIKINRHSQAPSIGFPFKSSEKIAESSKAGDETLTSSRTSLMDDMTATIRKEPPGDPPPGEQDTTGPTGEATTVHKRPAPPCPAHPRSPLLETKSEFHTQIHETTDGGGIRWHLLGSDFEQVRGLKDSCDVVFPDNKQVIKVPLKDFKSILKEESNESAPKDKGSFAIEEDNEVVEEEEEEELKPGLLEEEEEGGEMPAPSSVKSFRGPDLLTRQGPDGVEYEKLIGFIEILCRRFYEVLLELLGPLQSLNFTMISNDDYLLINITDLAWTSEALLGSKLSMMVRWAEPTGIDKMTLRRRQKLVPQTAEVSGPPFTIDRRIMPPQVPAPAIHSAPVPPGAKQMKMGSHLFPSKSPSTRNEMPRPLPAPSSVQPIHNQMSPPPQYNSPPPRTMPPVPASPGYPPQRTGHSPWSGEHNARQDTHLMEDTRNVGHYAPGQPASGTSQRNAYNYTMDNRGQWMTGQQAVEASAQIPGGMMQPPMNQQANTGPPASVRDRGFQFPFQNQPGGAPRRETAATATAPYANGYQNYVDQQPSPQAAQQQSAPQPQAPPLQQQQPQGQGTSYPVSYMEGEHRKAPPGYTGDMPRKYNQQQQQYMTTQQSPFPSGSPTGQTSFQNGYSDHRQQQQQQQQPPYRSPSYMGSDPNSPMLNPQPAGTSMNGEPGIGPETRVRDYPGGYPYHQRPGMAVNGLGPGAARSYPDGMGGNPGQGSSMFPDSGPAKRMSGYPDKNPEYSMRGMMDSTNMSPQYGPNPTMSNRKQFTSDSGDNFADQIGVSNSRSMGPLPPIQSHPTSAPTPPKLDGGEAMSSSTSQPTQPGQMSGSGAPSPPQIKPQSKKSDAAAFIDPPPDEGREIKCEFAYLCQIYQKKLSSAASSSTGVNLNTALERWKKNKNVQTLGGIEKVSVYLRYLDLPKRGVAPLNTMGMAKEETFALYRDVWMKREPEGGLEYLKTIKENTTTSSSSKKSKSETKPTGDAKNNMKTAPTLKVDGLGMMEPSAAMGASNNAMTGGMSYPPGQPNIMGAMSNIGQGYPPGTGMMGGQNMNPYGPPRNQISNAAVHMAVATEQTKRNMGGPPPCMGSGVQPPMNAMNPGYPRNGMSAHSMRGPPLTGYPPIGPQGHMQGGAGMPNIGGAMGASPYNANPLANYNPQRPYGGQPGYQGGQPGYSGMSNQQYSGMGTHIQGGSPQRPSLASGPQPSSYQPMQPNRQMYGRDQAISSQNMSPHMISDSQGSPPRPPMQNGPVAAPSLPGSRSQAVSAPSGVPSPSPGRIGPLHPSTHPSFPSQAPNSQEGQSSSIGSTSVGPSLAGETGMPLDDISGSLDPLSSPRSQLSLNMDEQGGKSSTMSPASSAQSGFSDQSLEQPPPFRQQSYATQQSQQSQPQPYAAQQSLTSPAPQQPQQQQYPSQAQPQSYPPQSQPHQQTTSQQSPYPAPSQQHPPQSPQQSYPPQSSQLQPPQSQPQQQYSPQAHPTQSPPQQSYPPQQQQPHPSQQNYYPTQGQQGYGSPGQQGYPPIQQTYSLGGMASQARGQMTMPYRQPTQSGYPQGPYGHGQQPGSPPGQQQSYPNTGGYPQPRMKGMQDMPSSPQPTRALPQGGGGSSGPSMPAHHSRQQAGGSAAAAAAAAAAAGWCQSAMDAPGKGAGGDQPGGSYDGGPYGQYGRASSPSTLLQNLTPTATPQPTGGGAASPGGGGMAGFRQQAFSSSSSSASASSSPPPKSGAAAAAGSGPTGGRPSSLAYAPAPPPYASRNPYYSNYQHNPFGHDHSNQQPGAYPELGGGGGSQLAALGPSQQGGHQQHFVAAAAAAVASPSRGYPQGFGYAPPPLAAAASHPPAGVGDSSGGGGGGPPETLNNNLAPRPGANGNSNKLDPEAGPGGGSGAGGGGGGGNSEAGDQLEKGLPQPPGVAPGESEQGAAAKMEGREAAAAAAAEGGAEERQQQEAKKLESPPPPPPNGLSSAAAPAVQAAM
+>sp|Q8N7S6|ARI2O_HUMAN Uncharacterized protein ARIH2OS OS=Homo sapiens OX=9606 GN=ARIH2OS PE=2 SV=1
+MLGQRAGDGERPGLPGDGEGGVPARPGRRAERPPQRPAKVNKAVTCAAHLPGAAASRPLSPNKPDRVRPGQRDRIGAKRQRRRRADAGQARAASSRRVVPTAPEVLGAVASLPDRGRPTVARVATGSRLEGLFSAASLKLSALTQSLTRVRQAPTASGATIRLPASPVEMFLTSAFLTGFSFHCLYSGIGHGEDILASVEQITIVSRPLSGQRGAGPGNSAYTPRRSQGGPRAATTPGFRFPCRGLVRRAVLRLTVTVQDCILTALLAVSFHSIGVVIMTSSYLLGPVVK
+>DECOY_sp|Q8N7S6|ARI2O_HUMAN Uncharacterized protein ARIH2OS OS=Homo sapiens OX=9606 GN=ARIH2OS PE=2 SV=1
+KVVPGLLYSSTMIVVGISHFSVALLATLICDQVTVTLRLVARRVLGRCPFRFGPTTAARPGGQSRRPTYASNGPGAGRQGSLPRSVITIQEVSALIDEGHGIGSYLCHFSFGTLFASTLFMEVPSAPLRITAGSATPAQRVRTLSQTLASLKLSAASFLGELRSGTAVRAVTPRGRDPLSAVAGLVEPATPVVRRSSAARAQGADARRRRQRKAGIRDRQGPRVRDPKNPSLPRSAAAGPLHAACTVAKNVKAPRQPPREARRGPRAPVGGEGDGPLGPREGDGARQGLM
+>sp|Q99856|ARI3A_HUMAN AT-rich interactive domain-containing protein 3A OS=Homo sapiens OX=9606 GN=ARID3A PE=1 SV=2
+MKLQAVMETLLQRQQRARQELEARQQLPPDPPAAPPGRARAAPDEDREPESARMQRAQMAALAAMRAAAAGLGHPASPGGSEDGPPGSEEEDAAREGTPGSPGRGREGPGEEHFEDMASDEDMKPKWEEEEMEEDLGEDEEEEEEDYEDEEEEEDEEGLGPPGPASLGTTALFPRKAQPPQAFRGDGVPRVLGGQERPGPGPAHPGGAAHVAPQLQPPDHGDWTYEEQFKQLYELDGDPKRKEFLDDLFSFMQKRGTPVNRIPIMAKQVLDLFMLYVLVTEKGGLVEVINKKLWREITKGLNLPTSITSAAFTLRTQYMKYLYPYECEKRGLSNPNELQAAIDSNRREGRRQSFGGSLFAYSPGGAHGMLSSPKLPVSSLGLAASTNGSSITPAPKIKKEEDSAIPITVPGRLPVSLAGHPVVAAQAAAVQAAAAQAAVAAQAAALEQLREKLESAEPPEKKMALVADEQQRLMQRALQQNFLAMAAQLPMSIRINSQASESRQDSAVNLTGTNGSNSISMSVEINGIMYTGVLFAQPPAPTPTSAPNKGGGGGGGSSSNAGGRGGNTGTSGGQAGPAGLSTPSTSTSNNSLP
+>DECOY_sp|Q99856|ARI3A_HUMAN AT-rich interactive domain-containing protein 3A OS=Homo sapiens OX=9606 GN=ARID3A PE=1 SV=2
+PLSNNSTSTSPTSLGAPGAQGGSTGTNGGRGGANSSSGGGGGGGKNPASTPTPAPPQAFLVGTYMIGNIEVSMSISNSGNTGTLNVASDQRSESAQSNIRISMPLQAAMALFNQQLARQMLRQQEDAVLAMKKEPPEASELKERLQELAAAQAAVAAQAAAAQVAAAQAAVVPHGALSVPLRGPVTIPIASDEEKKIKPAPTISSGNTSAALGLSSVPLKPSSLMGHAGGPSYAFLSGGFSQRRGERRNSDIAAQLENPNSLGRKECEYPYLYKMYQTRLTFAASTISTPLNLGKTIERWLKKNIVEVLGGKETVLVYLMFLDLVQKAMIPIRNVPTGRKQMFSFLDDLFEKRKPDGDLEYLQKFQEEYTWDGHDPPQLQPAVHAAGGPHAPGPGPREQGGLVRPVGDGRFAQPPQAKRPFLATTGLSAPGPPGLGEEDEEEEEDEYDEEEEEEDEGLDEEMEEEEWKPKMDEDSAMDEFHEEGPGERGRGPSGPTGERAADEEESGPPGDESGGPSAPHGLGAAAARMAALAAMQARQMRASEPERDEDPAARARGPPAAPPDPPLQQRAELEQRARQQRQLLTEMVAQLKM
+>sp|A6NKF2|ARI3C_HUMAN AT-rich interactive domain-containing protein 3C OS=Homo sapiens OX=9606 GN=ARID3C PE=3 SV=1
+MEALQKQQAARLAQGVGPLAPACPLLPPQPPLPDHRTLQAPEGALGNVGAEEEEDAEEDEEKREEAGAEEEAAEESRPGAQGPSSPSSQPPGLHPHEWTYEEQFKQLYELDADPKRKEFLDDLFSFMQKRGTPVNRVPIMAKQVLDLYALFRLVTAKGGLVEVINRKVWREVTRGLSLPTTITSAAFTLRTQYMKYLYPYECETRALSSPGELQAAIDSNRREGRRQAYTATPLFGLAGPPPRGAQDPALGPGPAPPATQSSPGPAQGSTSGLPAHACAQLSPSPIKKEESGIPNPCLALPVGLALGPTREKLAPEEPPEKRAVLMGPMDPPRPCMPPSFLPRGKVPLREERLDGPLNLAGSGISSINMALEINGVVYTGVLFARRQPVPASQGPTNPAPPPSTGPPSSILP
+>DECOY_sp|A6NKF2|ARI3C_HUMAN AT-rich interactive domain-containing protein 3C OS=Homo sapiens OX=9606 GN=ARID3C PE=3 SV=1
+PLISSPPGTSPPPAPNTPGQSAPVPQRRAFLVGTYVVGNIELAMNISSIGSGALNLPGDLREERLPVKGRPLFSPPMCPRPPDMPGMLVARKEPPEEPALKERTPGLALGVPLALCPNPIGSEEKKIPSPSLQACAHAPLGSTSGQAPGPSSQTAPPAPGPGLAPDQAGRPPPGALGFLPTATYAQRRGERRNSDIAAQLEGPSSLARTECEYPYLYKMYQTRLTFAASTITTPLSLGRTVERWVKRNIVEVLGGKATVLRFLAYLDLVQKAMIPVRNVPTGRKQMFSFLDDLFEKRKPDADLEYLQKFQEEYTWEHPHLGPPQSSPSSPGQAGPRSEEAAEEEAGAEERKEEDEEADEEEEAGVNGLAGEPAQLTRHDPLPPQPPLLPCAPALPGVGQALRAAQQKQLAEM
+>sp|P29374|ARI4A_HUMAN AT-rich interactive domain-containing protein 4A OS=Homo sapiens OX=9606 GN=ARID4A PE=1 SV=3
+MKAADEPAYLTVGTDVSAKYRGAFCEAKIKTVKRLVKVKVLLKQDNTTQLVQDDQVKGPLRVGAIVETRTSDGSFQEAIISKLTDASWYTVVFDDGDERTLRRTSLCLKGERHFAESETLDQLPLTNPEHFGTPVIAKKTNRGRRSSLPVTEDEKEEESSEEEDEDKRRLNDELLGKVVSVVSATERTEWYPALVISPSCNDDITVKKDQCLVRSFIDSKFYSIARKDIKEVDILNLPESELSTKPGLQKASIFLKTRVVPDNWKMDISEILESSSSDDEDGPAEENDEEKEKEAKKTEEEVPEEELDPEERDNFLQQLYKFMEDRGTPINKPPVLGYKDLNLFKLFRLVYHQGGCDNIDSGAVWKQIYMDLGIPILNSAASYNVKTAYRKYLYGFEEYCRSANIQFRTVHHHEPKVKEEKKDLEESMEEALKLDQEMPLTEVKSEPEENIDSNSESEREEIELKSPRGRRRIARDVNSIKKEIEEEKTEDKLKDNDTENKDVDDDYETAEKKENELLLGRKNTPKQKEKKIKKQEDSDKDSDEEEEKSQEREETESKCDSEGEEDEEDMEPCLTGTKVKVKYGRGKTQKIYEASIKSTEIDDGEVLYLVHYYGWNVRYDEWVKADRIIWPLDKGGPKKKQKKKAKNKEDSEKDEKRDEERQKSKRGRPPLKSTLSSNMPYGLSKTANSEGKSDSCSSDSETEDALEKNLINEELSLKDELEKNENLNDDKLDEENPKISAHILKENDRTQMQPLETLKLEVGENEQIVQIFGNKMEKTEEVKKEAEKSPKGKGRRSKTKDLSLEIIKISSFGQNEAGSEPHIEAHSLELSSLDNKNFSSATEDEIDQCVKEKKLKRKILGQSSPEKKIRIENGMEMTNTVSQERTSDCIGSEGMKNLNFEQHFERENEGMPSLIAESNQCIQQLTSERFDSPAEETVNIPLKEDEDAMPLIGPETLVCHEVDLDDLDEKDKTSIEDVAVESSESNSLVSIPPALPPVVQHNFSVASPLTLSQDESRSVKSESDITIEVDSIAEESQEGLCERESANGFETNVASGTCSIIVQERESREKGQKRPSDGNSGLMAKKQKRTPKRTSAAAKNEKNGTGQSSDSEDLPVLDNSSKCTPVKHLNVSKPQKLARSPARISPHIKDGEKDKHREKHPNSSPRTYKWSFQLNELDNMNSTERISFLQEKLQEIRKYYMSLKSEVATIDRRRKRLKKKDREVSHAGASMSSASSDTGMSPSSSSPPQNVLAVECR
+>DECOY_sp|P29374|ARI4A_HUMAN AT-rich interactive domain-containing protein 4A OS=Homo sapiens OX=9606 GN=ARID4A PE=1 SV=3
+RCEVALVNQPPSSSSPSMGTDSSASSMSAGAHSVERDKKKLRKRRRDITAVESKLSMYYKRIEQLKEQLFSIRETSNMNDLENLQFSWKYTRPSSNPHKERHKDKEGDKIHPSIRAPSRALKQPKSVNLHKVPTCKSSNDLVPLDESDSSQGTGNKENKAAASTRKPTRKQKKAMLGSNGDSPRKQGKERSEREQVIISCTGSAVNTEFGNASERECLGEQSEEAISDVEITIDSESKVSRSEDQSLTLPSAVSFNHQVVPPLAPPISVLSNSESSEVAVDEISTKDKEDLDDLDVEHCVLTEPGILPMADEDEKLPINVTEEAPSDFRESTLQQICQNSEAILSPMGENEREFHQEFNLNKMGESGICDSTREQSVTNTMEMGNEIRIKKEPSSQGLIKRKLKKEKVCQDIEDETASSFNKNDLSSLELSHAEIHPESGAENQGFSSIKIIELSLDKTKSRRGKGKPSKEAEKKVEETKEMKNGFIQVIQENEGVELKLTELPQMQTRDNEKLIHASIKPNEEDLKDDNLNENKELEDKLSLEENILNKELADETESDSSCSDSKGESNATKSLGYPMNSSLTSKLPPRGRKSKQREEDRKEDKESDEKNKAKKKQKKKPGGKDLPWIIRDAKVWEDYRVNWGYYHVLYLVEGDDIETSKISAEYIKQTKGRGYKVKVKTGTLCPEMDEEDEEGESDCKSETEEREQSKEEEEDSDKDSDEQKKIKKEKQKPTNKRGLLLENEKKEATEYDDDVDKNETDNDKLKDETKEEEIEKKISNVDRAIRRRGRPSKLEIEERESESNSDINEEPESKVETLPMEQDLKLAEEMSEELDKKEEKVKPEHHHVTRFQINASRCYEEFGYLYKRYATKVNYSAASNLIPIGLDMYIQKWVAGSDINDCGGQHYVLRFLKFLNLDKYGLVPPKNIPTGRDEMFKYLQQLFNDREEPDLEEEPVEEETKKAEKEKEEDNEEAPGDEDDSSSSELIESIDMKWNDPVVRTKLFISAKQLGPKTSLESEPLNLIDVEKIDKRAISYFKSDIFSRVLCQDKKVTIDDNCSPSIVLAPYWETRETASVVSVVKGLLEDNLRRKDEDEEESSEEEKEDETVPLSSRRGRNTKKAIVPTGFHEPNTLPLQDLTESEAFHREGKLCLSTRRLTREDGDDFVVTYWSADTLKSIIAEQFSGDSTRTEVIAGVRLPGKVQDDQVLQTTNDQKLLVKVKVLRKVTKIKAECFAGRYKASVDTGVTLYAPEDAAKM
+>sp|Q86TY3|ARMD4_HUMAN Armadillo-like helical domain-containing protein 4 OS=Homo sapiens OX=9606 GN=ARMH4 PE=1 SV=1
+MRGPIVLHICLAFCSLLLFSVATQCLAFPKIERRREIAHVHAEKGQSDKMNTDDLENSSVTSKQTPQLVVSEDPMMMSAVPSATSLNKAFSINKETQPGQAGLMQTERPGVSTPTESGVPSAEEVFGSSQPERISPESGLAKAMLTIAITATPSLTVDEKEELLTSTNFQPIVEEITETTKGFLKYMDNQSFATESQEGVGLGHSPSSYVNTKEMLTTNPKTEKFEADTDHRTTSFPGAESTAGSEPGSLTPDKEKPSQMTADNTQAAATKQPLETSEYTLSVEPETDSLLGAPEVTVSVSTAVPAASALSDEWDDTKLESVSRIRTPKLGDNEETQVRTEMSQTAQVSHEGMEGGQPWTEAAQVALGLPEGETHTGTALLIAHGNERSPAFTDQSSFTPTSLMEDMKVSIVNLLQSTGDFTESTKENDALFFLETTVSVSVYESEADQLLGNTMKDIITQEMTTAVQEPDATLSMVTQEQVATLELIRDSGKTEEEKEDPSPVSDVPGVTQLSRRWEPLATTISTTVVPLSFEVTPTVEEQMDTVTGPNEEFTPVLGSPVTPPGIMVGEPSISPALPALEASSERRTVVPSITRVNTAASYGLDQLESEEGQEDEDEEDEEDEDEEEEDEEEDEEDKDADSLDEGLDGDTELPGFTLPGITSQEPGLEEGNMDLLEGATYQVPDALEWEQQNQGLVRSWMEKLKDKAGYMSGMLVPVGVGIAGALFILGALYSIKVMNRRRRNGFKRHKRKQREFNSMQDRVMLLADSSEDEF
+>DECOY_sp|Q86TY3|ARMD4_HUMAN Armadillo-like helical domain-containing protein 4 OS=Homo sapiens OX=9606 GN=ARMH4 PE=1 SV=1
+FEDESSDALLMVRDQMSNFERQKRKHRKFGNRRRRNMVKISYLAGLIFLAGAIGVGVPVLMGSMYGAKDKLKEMWSRVLGQNQQEWELADPVQYTAGELLDMNGEELGPEQSTIGPLTFGPLETDGDLGEDLSDADKDEEDEEEDEEEEDEDEEDEEDEDEQGEESELQDLGYSAATNVRTISPVVTRRESSAELAPLAPSISPEGVMIGPPTVPSGLVPTFEENPGTVTDMQEEVTPTVEFSLPVVTTSITTALPEWRRSLQTVGPVDSVPSPDEKEEETKGSDRILELTAVQEQTVMSLTADPEQVATTMEQTIIDKMTNGLLQDAESEYVSVSVTTELFFLADNEKTSETFDGTSQLLNVISVKMDEMLSTPTFSSQDTFAPSRENGHAILLATGTHTEGEPLGLAVQAAETWPQGGEMGEHSVQATQSMETRVQTEENDGLKPTRIRSVSELKTDDWEDSLASAAPVATSVSVTVEPAGLLSDTEPEVSLTYESTELPQKTAAAQTNDATMQSPKEKDPTLSGPESGATSEAGPFSTTRHDTDAEFKETKPNTTLMEKTNVYSSPSHGLGVGEQSETAFSQNDMYKLFGKTTETIEEVIPQFNTSTLLEEKEDVTLSPTATIAITLMAKALGSEPSIREPQSSGFVEEASPVGSETPTSVGPRETQMLGAQGPQTEKNISFAKNLSTASPVASMMMPDESVVLQPTQKSTVSSNELDDTNMKDSQGKEAHVHAIERRREIKPFALCQTAVSFLLLSCFALCIHLVIPGRM
+>sp|Q9H993|ARMT1_HUMAN Protein-glutamate O-methyltransferase OS=Homo sapiens OX=9606 GN=ARMT1 PE=1 SV=1
+MAVVPASLSGQDVGSFAYLTIKDRIPQILTKVIDTLHRHKSEFFEKHGEEGVEAEKKAISLLSKLRNELQTDKPFIPLVEKFVDTDIWNQYLEYQQSLLNESDGKSRWFYSPWLLVECYMYRRIHEAIIQSPPIDYFDVFKESKEQNFYGSQESIIALCTHLQQLIRTIEDLDENQLKDEFFKLLQISLWGNKCDLSLSGGESSSQNTNVLNSLEDLKPFILLNDMEHLWSLLSNCKKTREKASATRVYIVLDNSGFELVTDLILADFLLSSELATEVHFYGKTIPWFVSDTTIHDFNWLIEQVKHSNHKWMSKCGADWEEYIKMGKWVYHNHIFWTLPHEYCAMPQVAPDLYAELQKAHLILFKGDLNYRKLTGDRKWEFSVPFHQALNGFHPAPLCTIRTLKAEIQVGLQPGQGEQLLASEPSWWTTGKYGIFQYDGPL
+>DECOY_sp|Q9H993|ARMT1_HUMAN Protein-glutamate O-methyltransferase OS=Homo sapiens OX=9606 GN=ARMT1 PE=1 SV=1
+LPGDYQFIGYKGTTWWSPESALLQEGQGPQLGVQIEAKLTRITCLPAPHFGNLAQHFPVSFEWKRDGTLKRYNLDGKFLILHAKQLEAYLDPAVQPMACYEHPLTWFIHNHYVWKGMKIYEEWDAGCKSMWKHNSHKVQEILWNFDHITTDSVFWPITKGYFHVETALESSLLFDALILDTVLEFGSNDLVIYVRTASAKERTKKCNSLLSWLHEMDNLLIFPKLDELSNLVNTNQSSSEGGSLSLDCKNGWLSIQLLKFFEDKLQNEDLDEITRILQQLHTCLAIISEQSGYFNQEKSEKFVDFYDIPPSQIIAEHIRRYMYCEVLLWPSYFWRSKGDSENLLSQQYELYQNWIDTDVFKEVLPIFPKDTQLENRLKSLLSIAKKEAEVGEEGHKEFFESKHRHLTDIVKTLIQPIRDKITLYAFSGVDQGSLSAPVVAM
+>sp|Q9UH62|ARMX3_HUMAN Armadillo repeat-containing X-linked protein 3 OS=Homo sapiens OX=9606 GN=ARMCX3 PE=1 SV=1
+MGYARKVGWVTAGLVIGAGACYCIYRLTRGRKQNKEKMAEGGSGDVDDAGDCSGARYNDWSDDDDDSNESKSIVWYPPWARIGTEAGTRARARARARATRARRAVQKRASPNSDDTVLSPQELQKVLCLVEMSEKPYILEAALIALGNNAAYAFNRDIIRDLGGLPIVAKILNTRDPIVKEKALIVLNNLSVNAENQRRLKVYMNQVCDDTITSRLNSSVQLAGLRLLTNMTVTNEYQHMLANSISDFFRLFSAGNEETKLQVLKLLLNLAENPAMTRELLRAQVPSSLGSLFNKKENKEVILKLLVIFENINDNFKWEENEPTQNQFGEGSLFFFLKEFQVCADKVLGIESHHDFLVKVKVGKFMAKLAEHMFPKSQE
+>DECOY_sp|Q9UH62|ARMX3_HUMAN Armadillo repeat-containing X-linked protein 3 OS=Homo sapiens OX=9606 GN=ARMCX3 PE=1 SV=1
+EQSKPFMHEALKAMFKGVKVKVLFDHHSEIGLVKDACVQFEKLFFFLSGEGFQNQTPENEEWKFNDNINEFIVLLKLIVEKNEKKNFLSGLSSPVQARLLERTMAPNEALNLLLKLVQLKTEENGASFLRFFDSISNALMHQYENTVTMNTLLRLGALQVSSNLRSTITDDCVQNMYVKLRRQNEANVSLNNLVILAKEKVIPDRTNLIKAVIPLGGLDRIIDRNFAYAANNGLAILAAELIYPKESMEVLCLVKQLEQPSLVTDDSNPSARKQVARRARTARARARARARTGAETGIRAWPPYWVISKSENSDDDDDSWDNYRAGSCDGADDVDGSGGEAMKEKNQKRGRTLRYICYCAGAGIVLGATVWGVKRAYGM
+>sp|Q9NZ32|ARP10_HUMAN Actin-related protein 10 OS=Homo sapiens OX=9606 GN=ACTR10 PE=1 SV=1
+MPLYEGLGSGGEKTAVVIDLGEAFTKCGFAGETGPRCIIPSVIKRAGMPKPVRVVQYNINTEELYSYLKEFIHILYFRHLLVNPRDRRVVIIESVLCPSHFRETLTRVLFKYFEVPSVLLAPSHLMALLTLGINSAMVLDCGYRESLVLPIYEGIPVLNCWGALPLGGKALHKELETQLLEQCTVDTSVAKEQSLPSVMGSVPEGVLEDIKARTCFVSDLKRGLKIQAAKFNIDGNNERPSPPPNVDYPLDGEKILHILGSIRDSVVEILFEQDNEEQSVATLILDSLIQCPIDTRKQLAENLVVIGGTSMLPGFLHRLLAEIRYLVEKPKYKKALGTKTFRIHTPPAKANCVAWLGGAIFGALQDILGSRSVSKEYYNQTGRIPDWCSLNNPPLEMMFDVGKTQPPLMKRAFSTEK
+>DECOY_sp|Q9NZ32|ARP10_HUMAN Actin-related protein 10 OS=Homo sapiens OX=9606 GN=ACTR10 PE=1 SV=1
+KETSFARKMLPPQTKGVDFMMELPPNNLSCWDPIRGTQNYYEKSVSRSGLIDQLAGFIAGGLWAVCNAKAPPTHIRFTKTGLAKKYKPKEVLYRIEALLRHLFGPLMSTGGIVVLNEALQKRTDIPCQILSDLILTAVSQEENDQEFLIEVVSDRISGLIHLIKEGDLPYDVNPPPSPRENNGDINFKAAQIKLGRKLDSVFCTRAKIDELVGEPVSGMVSPLSQEKAVSTDVTCQELLQTELEKHLAKGGLPLAGWCNLVPIGEYIPLVLSERYGCDLVMASNIGLTLLAMLHSPALLVSPVEFYKFLVRTLTERFHSPCLVSEIIVVRRDRPNVLLHRFYLIHIFEKLYSYLEETNINYQVVRVPKPMGARKIVSPIICRPGTEGAFGCKTFAEGLDIVVATKEGGSGLGEYLPM
+>sp|Q9C0K3|ARP3C_HUMAN Actin-related protein 3C OS=Homo sapiens OX=9606 GN=ACTR3C PE=2 SV=1
+MFESFNVPGLYIAVQAVLALAASWTSRQVGERTLTGIVIDSGDGVTHVIPVAEGYVIGSCIKHIPIAGRDITYFIQQLLREREVGIPPEQSLETAKAIKEKYCYICPDIVKEFAKYDVDPQKWIKQYTGINAINQKKFVIDVGYERFLGPEIFFHPEFANPDSMESISDVVDEVIQNCPIDVRRPLYKMEQIPLSYPQGHGFHPLSPPFH
+>DECOY_sp|Q9C0K3|ARP3C_HUMAN Actin-related protein 3C OS=Homo sapiens OX=9606 GN=ACTR3C PE=2 SV=1
+HFPPSLPHFGHGQPYSLPIQEMKYLPRRVDIPCNQIVEDVVDSISEMSDPNAFEPHFFIEPGLFREYGVDIVFKKQNIANIGTYQKIWKQPDVDYKAFEKVIDPCIYCYKEKIAKATELSQEPPIGVERERLLQQIFYTIDRGAIPIHKICSGIVYGEAVPIVHTVGDGSDIVIGTLTREGVQRSTWSAALALVAQVAIYLGPVNFSEFM
+>sp|P61158|ARP3_HUMAN Actin-related protein 3 OS=Homo sapiens OX=9606 GN=ACTR3 PE=1 SV=3
+MAGRLPACVVDCGTGYTKLGYAGNTEPQFIIPSCIAIKESAKVGDQAQRRVMKGVDDLDFFIGDEAIEKPTYATKWPIRHGIVEDWDLMERFMEQVIFKYLRAEPEDHYFLLTEPPLNTPENREYTAEIMFESFNVPGLYIAVQAVLALAASWTSRQVGERTLTGTVIDSGDGVTHVIPVAEGYVIGSCIKHIPIAGRDITYFIQQLLRDREVGIPPEQSLETAKAVKERYSYVCPDLVKEFNKYDTDGSKWIKQYTGINAISKKEFSIDVGYERFLGPEIFFHPEFANPDFTQPISEVVDEVIQNCPIDVRRPLYKNIVLSGGSTMFRDFGRRLQRDLKRTVDARLKLSEELSGGRLKPKPIDVQVITHHMQRYAVWFGGSMLASTPEFYQVCHTKKDYEEIGPSICRHNPVFGVMS
+>DECOY_sp|P61158|ARP3_HUMAN Actin-related protein 3 OS=Homo sapiens OX=9606 GN=ACTR3 PE=1 SV=3
+SMVGFVPNHRCISPGIEEYDKKTHCVQYFEPTSALMSGGFWVAYRQMHHTIVQVDIPKPKLRGGSLEESLKLRADVTRKLDRQLRRGFDRFMTSGGSLVINKYLPRRVDIPCNQIVEDVVESIPQTFDPNAFEPHFFIEPGLFREYGVDISFEKKSIANIGTYQKIWKSGDTDYKNFEKVLDPCVYSYREKVAKATELSQEPPIGVERDRLLQQIFYTIDRGAIPIHKICSGIVYGEAVPIVHTVGDGSDIVTGTLTREGVQRSTWSAALALVAQVAIYLGPVNFSEFMIEATYERNEPTNLPPETLLFYHDEPEARLYKFIVQEMFREMLDWDEVIGHRIPWKTAYTPKEIAEDGIFFDLDDVGKMVRRQAQDGVKASEKIAICSPIIFQPETNGAYGLKTYGTGCDVVCAPLRGAM
+>sp|Q92888|ARHG1_HUMAN Rho guanine nucleotide exchange factor 1 OS=Homo sapiens OX=9606 GN=ARHGEF1 PE=1 SV=2
+MEDFARGAASPGPSRPGLVPVSIIGAEDEDFENELETNSEEQNSQFQSLEQVKRRPAHLMALLQHVALQFEPGPLLCCLHADMLGSLGPKEAKKAFLDFYHSFLEKTAVLRVPVPPNVAFELDRTRADLISEDVQRRFVQEVVQSQQVAVGRQLEDFRSKRLMGMTPWEQELAQLEAWVGRDRASYEARERHVAERLLMHLEEMQHTISTDEEKSAAVVNAIGLYMRHLGVRTKSGDKKSGRNFFRKKVMGNRRSDEPAKTKKGLSSILDAARWNRGEPQVPDFRHLKAEVDAEKPGATDRKGGVGMPSRDRNIGAPGQDTPGVSLHPLSLDSPDREPGADAPLELGDSSPQGPMSLESLAPPESTDEGAETESPEPGDEGEPGRSGLELEPEEPPGWRELVPPDTLHSLPKSQVKRQEVISELLVTEAAHVRMLRVLHDLFFQPMAECLFFPLEELQNIFPSLDELIEVHSLFLDRLMKRRQESGYLIEEIGDVLLARFDGAEGSWFQKISSRFCSRQSFALEQLKAKQRKDPRFCAFVQEAESRPRCRRLQLKDMIPTEMQRLTKYPLLLQSIGQNTEEPTEREKVELAAECCREILHHVNQAVRDMEDLLRLKDYQRRLDLSHLRQSSDPMLSEFKNLDITKKKLVHEGPLTWRVTKDKAVEVHVLLLDDLLLLLQRQDERLLLKSHSRTLTPTPDGKTMLRPVLRLTSAMTREVATDHKAFYVLFTWDQEAQIYELVAQTVSERKNWCALITETAGSLKVPAPASRPKPRPSPSSTREPLLSSSENGNGGRETSPADARTERILSDLLPFCRPGPEGQLAATALRKVLSLKQLLFPAEEDNGAGPPRDGDGVPGGGPLSPARTQEIQENLLSLEETMKQLEELEEEFCRLRPLLSQLGGNSVPQPGCT
+>DECOY_sp|Q92888|ARHG1_HUMAN Rho guanine nucleotide exchange factor 1 OS=Homo sapiens OX=9606 GN=ARHGEF1 PE=1 SV=2
+TCGPQPVSNGGLQSLLPRLRCFEEELEELQKMTEELSLLNEQIEQTRAPSLPGGGPVGDGDRPPGAGNDEEAPFLLQKLSLVKRLATAALQGEPGPRCFPLLDSLIRETRADAPSTERGGNGNESSSLLPERTSSPSPRPKPRSAPAPVKLSGATETILACWNKRESVTQAVLEYIQAEQDWTFLVYFAKHDTAVERTMASTLRLVPRLMTKGDPTPTLTRSHSKLLLREDQRQLLLLLDDLLLVHVEVAKDKTVRWTLPGEHVLKKKTIDLNKFESLMPDSSQRLHSLDLRRQYDKLRLLDEMDRVAQNVHHLIERCCEAALEVKERETPEETNQGISQLLLPYKTLRQMETPIMDKLQLRRCRPRSEAEQVFACFRPDKRQKAKLQELAFSQRSCFRSSIKQFWSGEAGDFRALLVDGIEEILYGSEQRRKMLRDLFLSHVEILEDLSPFINQLEELPFFLCEAMPQFFLDHLVRLMRVHAAETVLLESIVEQRKVQSKPLSHLTDPPVLERWGPPEEPELELGSRGPEGEDGPEPSETEAGEDTSEPPALSELSMPGQPSSDGLELPADAGPERDPSDLSLPHLSVGPTDQGPAGINRDRSPMGVGGKRDTAGPKEADVEAKLHRFDPVQPEGRNWRAADLISSLGKKTKAPEDSRRNGMVKKRFFNRGSKKDGSKTRVGLHRMYLGIANVVAASKEEDTSITHQMEELHMLLREAVHRERAEYSARDRGVWAELQALEQEWPTMGMLRKSRFDELQRGVAVQQSQVVEQVFRRQVDESILDARTRDLEFAVNPPVPVRLVATKELFSHYFDLFAKKAEKPGLSGLMDAHLCCLLPGPEFQLAVHQLLAMLHAPRRKVQELSQFQSNQEESNTELENEFDEDEAGIISVPVLGPRSPGPSAAGRAFDEM
+>sp|Q9NR80|ARHG4_HUMAN Rho guanine nucleotide exchange factor 4 OS=Homo sapiens OX=9606 GN=ARHGEF4 PE=1 SV=3
+MPWEEPAGEKPSCSHSQKAFHMEPAQKPCFTTDMVTWALLCISAETVRGEAPSQPRGIPHRSPVSVDDLWLEKTQRKKLQKQAHVERRLHIGAVHKDGVKCWRKTIITSPESLNLPRRSHPLSQSAPTGLNHMGWPEHTPGTAMPDGALDTAVCADEVGSEEDLYDDLHSSSHHYSHPGGGGEQLAINELISDGSVVCAEALWDHVTMDDQELGFKAGDVIEVMDATNREWWWGRVADGEGWFPASFVRLRVNQDEPADDDAPLAGNSGAEDGGAEAQSSKDQMRTNVINEILSTERDYIKHLRDICEGYVRQCRKRADMFSEEQLRTIFGNIEDIYRCQKAFVKALEQRFNRERPHLSELGACFLEHQADFQIYSEYCNNHPNACVELSRLTKLSKYVYFFEACRLLQKMIDISLDGFLLTPVQKICKYPLQLAELLKYTHPQHRDFKDVEAALHAMKNVAQLINERKRRLENIDKIAQWQSSIEDWEGEDLLVRSSELIYSGELTRVTQPQAKSQQRMFFLFDHQLIYCKKDLLRRDVLYYKGRLDMDGLEVVDLEDGKDRDLHVSIKNAFRLHRGATGDSHLLCTRKPEQKQRWLKAFAREREQVQLDQETGFSITELQRKQAMLNASKQQVTGKPKAVGRPCYLTRQKHPALPSNRPQQQVLVLAEPRRKPSTFWHSISRLAPFRK
+>DECOY_sp|Q9NR80|ARHG4_HUMAN Rho guanine nucleotide exchange factor 4 OS=Homo sapiens OX=9606 GN=ARHGEF4 PE=1 SV=3
+KRFPALRSISHWFTSPKRRPEALVLVQQQPRNSPLAPHKQRTLYCPRGVAKPKGTVQQKSANLMAQKRQLETISFGTEQDLQVQERERAFAKLWRQKQEPKRTCLLHSDGTAGRHLRFANKISVHLDRDKGDELDVVELGDMDLRGKYYLVDRRLLDKKCYILQHDFLFFMRQQSKAQPQTVRTLEGSYILESSRVLLDEGEWDEISSQWQAIKDINELRRKRENILQAVNKMAHLAAEVDKFDRHQPHTYKLLEALQLPYKCIKQVPTLLFGDLSIDIMKQLLRCAEFFYVYKSLKTLRSLEVCANPHNNCYESYIQFDAQHELFCAGLESLHPRERNFRQELAKVFAKQCRYIDEINGFITRLQEESFMDARKRCQRVYGECIDRLHKIYDRETSLIENIVNTRMQDKSSQAEAGGDEAGSNGALPADDDAPEDQNVRLRVFSAPFWGEGDAVRGWWWERNTADMVEIVDGAKFGLEQDDMTVHDWLAEACVVSGDSILENIALQEGGGGPHSYHHSSSHLDDYLDEESGVEDACVATDLAGDPMATGPTHEPWGMHNLGTPASQSLPHSRRPLNLSEPSTIITKRWCKVGDKHVAGIHLRREVHAQKQLKKRQTKELWLDDVSVPSRHPIGRPQSPAEGRVTEASICLLAWTVMDTTFCPKQAPEMHFAKQSHSCSPKEGAPEEWPM
+>sp|Q15052|ARHG6_HUMAN Rho guanine nucleotide exchange factor 6 OS=Homo sapiens OX=9606 GN=ARHGEF6 PE=1 SV=2
+MNPEEQIVTWLISLGVLESPKKTICDPEEFLKSSLKNGVVLCKLINRLMPGSVEKFCLDPQTEADCINNINDFLKGCATLQVEIFDPDDLYSGVNFSKVLSTLLAVNKATEDQLSERPCGRSSSLSAANTSQTNPQGAVSSTVSGLQRQSKTVEMTENGSHQLIVKARFNFKQTNEDELSVCKGDIIYVTRVEEGGWWEGTLNGRTGWFPSNYVREIKSSERPLSPKAVKGFETAPLTKNYYTVVLQNILDTEKEYAKELQSLLVTYLRPLQSNNNLSTVEVTSLLGNFEEVCTFQQTLCQALEECSKFPENQHKVGGCLLSLMPHFKSMYLAYCANHPSAVNVLTQHSDELEQFMENQGASSPGILILTTNLSKPFMRLEKYVTLLQELERHMEDTHPDHQDILKAIVAFKTLMGQCQDLRKRKQLELQILSEPIQAWEGEDIKNLGNVIFMSQVMVQYGACEEKEERYLMLFSNVLIMLSASPRMSGFIYQGKIPIAGTVVTRLDEIEGNDCTFEITGNTVERIVVHCNNNQDFQEWLEQLNRLIRGPASCSSLSKTSSSSCSAHSSFSSTGQPRGPLEPPQIIKPWSLSCLRPAPPLRPSAALGYKERMSYILKESSKSPKTMKKFLHKRKTERKPSEEEYVIRKSTAALEEDAQILKVIEAYCTSANFQQGHGSSTRKDSIPQVLLPEEEKLIIEETRSNGQTIMEEKSLVDTVYALKDEVRELKQENKRMKQCLEEELKSRRDLEKLVRRLLKQTDECIRGESSSKTSILP
+>DECOY_sp|Q15052|ARHG6_HUMAN Rho guanine nucleotide exchange factor 6 OS=Homo sapiens OX=9606 GN=ARHGEF6 PE=1 SV=2
+PLISTKSSSEGRICEDTQKLLRRVLKELDRRSKLEEELCQKMRKNEQKLERVEDKLAYVTDVLSKEEMITQGNSRTEEIILKEEEPLLVQPISDKRTSSGHGQQFNASTCYAEIVKLIQADEELAATSKRIVYEEESPKRETKRKHLFKKMTKPSKSSEKLIYSMREKYGLAASPRLPPAPRLCSLSWPKIIQPPELPGRPQGTSSFSSHASCSSSSTKSLSSCSAPGRILRNLQELWEQFDQNNNCHVVIREVTNGTIEFTCDNGEIEDLRTVVTGAIPIKGQYIFGSMRPSASLMILVNSFLMLYREEKEECAGYQVMVQSMFIVNGLNKIDEGEWAQIPESLIQLELQKRKRLDQCQGMLTKFAVIAKLIDQHDPHTDEMHRELEQLLTVYKELRMFPKSLNTTLILIGPSSAGQNEMFQELEDSHQTLVNVASPHNACYALYMSKFHPMLSLLCGGVKHQNEPFKSCEELAQCLTQQFTCVEEFNGLLSTVEVTSLNNNSQLPRLYTVLLSQLEKAYEKETDLINQLVVTYYNKTLPATEFGKVAKPSLPRESSKIERVYNSPFWGTRGNLTGEWWGGEEVRTVYIIDGKCVSLEDENTQKFNFRAKVILQHSGNETMEVTKSQRQLGSVTSSVAGQPNTQSTNAASLSSSRGCPRESLQDETAKNVALLTSLVKSFNVGSYLDDPDFIEVQLTACGKLFDNINNICDAETQPDLCFKEVSGPMLRNILKCLVVGNKLSSKLFEEPDCITKKPSELVGLSILWTVIQEEPNM
+>sp|Q86VW2|ARHGP_HUMAN Rho guanine nucleotide exchange factor 25 OS=Homo sapiens OX=9606 GN=ARHGEF25 PE=1 SV=2
+MRGGHKGGRCACPRVIRKVLAKCGCCFARGGRESYSIAGSEGSISASAASGLAAPSGPSSGLSSGPCSPGPPGPVSGLRRWLDHSKHCLSVETEADSGQAGPYENWMLEPALATGEELPELTLLTTLLEGPGDKTQPPEEETLSQAPESEEEQKKKALERSMYVLSELVETEKMYVDDLGQIVEGYMATMAAQGVPESLRGRDRIVFGNIQQIYEWHRDYFLQELQRCLKDPDWLAQLFIKHERRLHMYVVYCQNKPKSEHVVSEFGDSYFEELRQQLGHRLQLNDLLIKPVQRIMKYQLLLKDFLKYYNRAGMDTADLEQAVEVMCFVPKRCNDMMTLGRLRGFEGKLTAQGKLLGQDTFWVTEPEAGGLLSSRGRERRVFLFEQIIIFSEALGGGVRGGTQPGYVYKNSIKVSCLGLEGNLQGDPCRFALTSRGPEGGIQRYVLQAADPAISQAWIKHVAQILESQRDFLNALQSPIEYQRRESQTNSLGRPRGPGVGSPGRIQLGDQAQGSTHTPINGSLPSLLLSPKGEVARALLPLDKQALGDIPQAPHDSPPVSPTPKTPPCQARLAKLDEDEL
+>DECOY_sp|Q86VW2|ARHGP_HUMAN Rho guanine nucleotide exchange factor 25 OS=Homo sapiens OX=9606 GN=ARHGEF25 PE=1 SV=2
+LEDEDLKALRAQCPPTKPTPSVPPSDHPAQPIDGLAQKDLPLLARAVEGKPSLLLSPLSGNIPTHTSGQAQDGLQIRGPSGVGPGRPRGLSNTQSERRQYEIPSQLANLFDRQSELIQAVHKIWAQSIAPDAAQLVYRQIGGEPGRSTLAFRCPDGQLNGELGLCSVKISNKYVYGPQTGGRVGGGLAESFIIIQEFLFVRRERGRSSLLGGAEPETVWFTDQGLLKGQATLKGEFGRLRGLTMMDNCRKPVFCMVEVAQELDATDMGARNYYKLFDKLLLQYKMIRQVPKILLDNLQLRHGLQQRLEEFYSDGFESVVHESKPKNQCYVVYMHLRREHKIFLQALWDPDKLCRQLEQLFYDRHWEYIQQINGFVIRDRGRLSEPVGQAAMTAMYGEVIQGLDDVYMKETEVLESLVYMSRELAKKKQEEESEPAQSLTEEEPPQTKDGPGELLTTLLTLEPLEEGTALAPELMWNEYPGAQGSDAETEVSLCHKSHDLWRRLGSVPGPPGPSCPGSSLGSSPGSPAALGSAASASISGESGAISYSERGGRAFCCGCKALVKRIVRPCACRGGKHGGRM
+>sp|Q9NX46|ARHL2_HUMAN Poly(ADP-ribose) glycohydrolase ARH3 OS=Homo sapiens OX=9606 GN=ADPRHL2 PE=1 SV=1
+MAAAAMAAAAGGGAGAARSLSRFRGCLAGALLGDCVGSFYEAHDTVDLTSVLRHVQSLEPDPGTPGSERTEALYYTDDTAMARALVQSLLAKEAFDEVDMAHRFAQEYKKDPDRGYGAGVVTVFKKLLNPKCRDVFEPARAQFNGKGSYGNGGAMRVAGISLAYSSVQDVQKFARLSAQLTHASSLGYNGAILQALAVHLALQGESSSEHFLKQLLGHMEDLEGDAQSVLDARELGMEERPYSSRLKKIGELLDQASVTREEVVSELGNGIAAFESVPTAIYCFLRCMEPDPEIPSAFNSLQRTLIYSISLGGDTDTIATMAGAIAGAYYGMDQVPESWQQSCEGYEETDILAQSLHRVFQKS
+>DECOY_sp|Q9NX46|ARHL2_HUMAN Poly(ADP-ribose) glycohydrolase ARH3 OS=Homo sapiens OX=9606 GN=ADPRHL2 PE=1 SV=1
+SKQFVRHLSQALIDTEEYGECSQQWSEPVQDMGYYAGAIAGAMTAITDTDGGLSISYILTRQLSNFASPIEPDPEMCRLFCYIATPVSEFAAIGNGLESVVEERTVSAQDLLEGIKKLRSSYPREEMGLERADLVSQADGELDEMHGLLQKLFHESSSEGQLALHVALAQLIAGNYGLSSAHTLQASLRAFKQVDQVSSYALSIGAVRMAGGNGYSGKGNFQARAPEFVDRCKPNLLKKFVTVVGAGYGRDPDKKYEQAFRHAMDVEDFAEKALLSQVLARAMATDDTYYLAETRESGPTGPDPELSQVHRLVSTLDVTDHAEYFSGVCDGLLAGALCGRFRSLSRAAGAGGGAAAAMAAAAM
+>sp|Q5SW96|ARH_HUMAN Low density lipoprotein receptor adapter protein 1 OS=Homo sapiens OX=9606 GN=LDLRAP1 PE=1 SV=3
+MDALKSAGRALIRSPSLAKQSWGGGGRHRKLPENWTDTRETLLEGMLFSLKYLGMTLVEQPKGEELSAAAIKRIVATAKASGKKLQKVTLKVSPRGIILTDNLTNQLIENVSIYRISYCTADKMHDKVFAYIAQSQHNQSLECHAFLCTKRKMAQAVTLTVAQAFKVAFEFWQVSKEEKEKRDKASQEGGDVLGARQDCTPSLKSLVATGNLLDLEETAKAPLSTVSANTTNMDEVPRPQALSGSSVVWELDDGLDEAFSRLAQSRTNPQVLDTGLTAQDMHYAQCLSPVDWDKPDSSGTEQDDLFSF
+>DECOY_sp|Q5SW96|ARH_HUMAN Low density lipoprotein receptor adapter protein 1 OS=Homo sapiens OX=9606 GN=LDLRAP1 PE=1 SV=3
+FSFLDDQETGSSDPKDWDVPSLCQAYHMDQATLGTDLVQPNTRSQALRSFAEDLGDDLEWVVSSGSLAQPRPVEDMNTTNASVTSLPAKATEELDLLNGTAVLSKLSPTCDQRAGLVDGGEQSAKDRKEKEEKSVQWFEFAVKFAQAVTLTVAQAMKRKTCLFAHCELSQNHQSQAIYAFVKDHMKDATCYSIRYISVNEILQNTLNDTLIIGRPSVKLTVKQLKKGSAKATAVIRKIAAASLEEGKPQEVLTMGLYKLSFLMGELLTERTDTWNEPLKRHRGGGGWSQKALSPSRILARGASKLADM
+>sp|O95376|ARI2_HUMAN E3 ubiquitin-protein ligase ARIH2 OS=Homo sapiens OX=9606 GN=ARIH2 PE=1 SV=1
+MSVDMNSQGSDSNEEDYDPNCEEEEEEEEDDPGDIEDYYVGVASDVEQQGADAFDPEEYQFTCLTYKESEGALNEHMTSLASVLKVSHSVAKLILVNFHWQVSEILDRYKSNSAQLLVEARVQPNPSKHVPTSHPPHHCAVCMQFVRKENLLSLACQHQFCRSCWEQHCSVLVKDGVGVGVSCMAQDCPLRTPEDFVFPLLPNEELREKYRRYLFRDYVESHYQLQLCPGADCPMVIRVQEPRARRVQCNRCNEVFCFKCRQMYHAPTDCATIRKWLTKCADDSETANYISAHTKDCPKCNICIEKNGGCNHMQCSKCKHDFCWMCLGDWKTHGSEYYECSRYKENPDIVNQSQQAQAREALKKYLFYFERWENHNKSLQLEAQTYQRIHEKIQERVMNNLGTWIDWQYLQNAAKLLAKCRYTLQYTYPYAYYMESGPRKKLFEYQQAQLEAEIENLSWKVERADSYDRGDLENQMHIAEQRRRTLLKDFHDT
+>DECOY_sp|O95376|ARI2_HUMAN E3 ubiquitin-protein ligase ARIH2 OS=Homo sapiens OX=9606 GN=ARIH2 PE=1 SV=1
+TDHFDKLLTRRRQEAIHMQNELDGRDYSDAREVKWSLNEIEAELQAQQYEFLKKRPGSEMYYAYPYTYQLTYRCKALLKAANQLYQWDIWTGLNNMVREQIKEHIRQYTQAELQLSKNHNEWREFYFLYKKLAERAQAQQSQNVIDPNEKYRSCEYYESGHTKWDGLCMWCFDHKCKSCQMHNCGGNKEICINCKPCDKTHASIYNATESDDACKTLWKRITACDTPAHYMQRCKFCFVENCRNCQVRRARPEQVRIVMPCDAGPCLQLQYHSEVYDRFLYRRYKERLEENPLLPFVFDEPTRLPCDQAMCSVGVGVGDKVLVSCHQEWCSRCFQHQCALSLLNEKRVFQMCVACHHPPHSTPVHKSPNPQVRAEVLLQASNSKYRDLIESVQWHFNVLILKAVSHSVKLVSALSTMHENLAGESEKYTLCTFQYEEPDFADAGQQEVDSAVGVYYDEIDGPDDEEEEEEEECNPDYDEENSDSGQSNMDVSM
+>sp|Q9Y4B4|ARIP4_HUMAN Helicase ARIP4 OS=Homo sapiens OX=9606 GN=RAD54L2 PE=1 SV=4
+MSDESASGSDPDLDPDVELEDAEEEEEEEEVAVEECDRDDEEDLLDDPSLEGMCGTEHAQLGEDGQQPPRCTSTTSSQSEPSEQLRRHQGKNLASEDPKKKRAQKPSHMRRNIRKLLREDQLEPVTKAAQQEELERRKRLEQQRKDYAAPIPTVPLEFLPEEIALRASDGPQLPPRVLAQEVICLDSSSGSEDEKSSRDEVIELSSGEEDTLHIVDSSESVSEDDEEEEKGGTHVNDVLNQRDALGRVLVNLNHPPEEENVFLAPQLARAVKPHQIGGIRFLYDNLVESLERFKTSSGFGCILAHSMGLGKTLQVISFIDVLFRHTPAKTVLAIVPVNTLQNWLAEFNMWLPPPEALPADNKPEEVQPRFFKVHILNDEHKTMASRAKVMADWVSEGGVLLMGYEMYRLLTLKKSFATGRPKKTKKRSHPVIIDLDEEDRQQEFRREFEKALCRPGPDVVICDEGHRIKNCQASTSQALKNIRSRRRVVLTGYPLQNNLIEYWCMVDFVRPDFLGTRQEFSNMFERPILNGQCIDSTPQDVRLMRYRSHVLHSLLEGFVQRRGHTVLKIHLPAKEENVILVRLSKIQRDLYTQFMDRFRDCGSSGWLGLNPLKAFCVCCKIWNHPDVLYEALQKESLANEQDLDVEELGSAGTSARCPPQGTKGKGEDSTLASSMGEATNSKFLQGVGFNPFQERGNNIVTYEWAKDLLTNYQTGVLENSPKMVLLFHLIEESVKLGDKILVFSQSLSTLALIEEFLGKREVPCPPGTEGQGAQKWVRNISYFRLDGSTPAFERERLINQFNDPSNLTTWLFLLSTRAGCLGVNLIGANRVVVFDASWNPCHDAQAVCRVYRYGQKKPCYIYRLVADYTLEKKIYDRQISKQGMSDRVVDDLNPMLNFTRKEVENLLHFVEKEPAPQVSLNVKGIKESVLQLACLKYPHLITKEPFEHESLLLNRKDHKLTKAEKKAAKKSYEEDKRTSVPYTRPSYAQYYPASDQSLTSIPAFSQRNWQPTLKGDEKPVASVRPVQSTPIPMMPRHVPLGGSVSSASSTNPSMNFPINYLQRAGVLVQKVVTTTDIVIPGLNSSTDVQARINAGESIHIIRGTKGTYIRTSDGRIFAVRATGKPKVPEDGRMAASGSQGPSCESTSNGRHSASSPKAPDPEGLARPVSPDSPEIISELQQYADVAAARESRQSSPSTNAALPGPPAQLMDSSAVPGTALGTEPRLGGHCLNSSLLVTGQPCGDRHPVLDLRGHKRKLATPPAAQESSRRRSRKGHLPAPVQPYEHGYPVSGGFAMPPVSLNHNLTTPFTSQAGENSLFMGSTPSYYQLSNLLADARLVFPVTTDPLVPAGPVSSSSTATSVTASNPSFMLNPSVPGILPSYSLPFSQPLLSEPRMFAPFPSPVLPSNLSRGMSIYPGYMSPHAGYPAGGLLRSQVPPFDSHEVAEVGFSSNDDEDKDDDVIEVTGK
+>DECOY_sp|Q9Y4B4|ARIP4_HUMAN Helicase ARIP4 OS=Homo sapiens OX=9606 GN=RAD54L2 PE=1 SV=4
+KGTVEIVDDDKDEDDNSSFGVEAVEHSDFPPVQSRLLGGAPYGAHPSMYGPYISMGRSLNSPLVPSPFPAFMRPESLLPQSFPLSYSPLIGPVSPNLMFSPNSATVSTATSSSSVPGAPVLPDTTVPFVLRADALLNSLQYYSPTSGMFLSNEGAQSTFPTTLNHNLSVPPMAFGGSVPYGHEYPQVPAPLHGKRSRRRSSEQAAPPTALKRKHGRLDLVPHRDGCPQGTVLLSSNLCHGGLRPETGLATGPVASSDMLQAPPGPLAANTSPSSQRSERAAAVDAYQQLESIIEPSDPSVPRALGEPDPAKPSSASHRGNSTSECSPGQSGSAAMRGDEPVKPKGTARVAFIRGDSTRIYTGKTGRIIHISEGANIRAQVDTSSNLGPIVIDTTTVVKQVLVGARQLYNIPFNMSPNTSSASSVSGGLPVHRPMMPIPTSQVPRVSAVPKEDGKLTPQWNRQSFAPISTLSQDSAPYYQAYSPRTYPVSTRKDEEYSKKAAKKEAKTLKHDKRNLLLSEHEFPEKTILHPYKLCALQLVSEKIGKVNLSVQPAPEKEVFHLLNEVEKRTFNLMPNLDDVVRDSMGQKSIQRDYIKKELTYDAVLRYIYCPKKQGYRYVRCVAQADHCPNWSADFVVVRNAGILNVGLCGARTSLLFLWTTLNSPDNFQNILREREFAPTSGDLRFYSINRVWKQAGQGETGPPCPVERKGLFEEILALTSLSQSFVLIKDGLKVSEEILHFLLVMKPSNELVGTQYNTLLDKAWEYTVINNGREQFPNFGVGQLFKSNTAEGMSSALTSDEGKGKTGQPPCRASTGASGLEEVDLDQENALSEKQLAEYLVDPHNWIKCCVCFAKLPNLGLWGSSGCDRFRDMFQTYLDRQIKSLRVLIVNEEKAPLHIKLVTHGRRQVFGELLSHLVHSRYRMLRVDQPTSDICQGNLIPREFMNSFEQRTGLFDPRVFDVMCWYEILNNQLPYGTLVVRRRSRINKLAQSTSAQCNKIRHGEDCIVVDPGPRCLAKEFERRFEQQRDEEDLDIIVPHSRKKTKKPRGTAFSKKLTLLRYMEYGMLLVGGESVWDAMVKARSAMTKHEDNLIHVKFFRPQVEEPKNDAPLAEPPPLWMNFEALWNQLTNVPVIALVTKAPTHRFLVDIFSIVQLTKGLGMSHALICGFGSSTKFRELSEVLNDYLFRIGGIQHPKVARALQPALFVNEEEPPHNLNVLVRGLADRQNLVDNVHTGGKEEEEDDESVSESSDVIHLTDEEGSSLEIVEDRSSKEDESGSSSDLCIVEQALVRPPLQPGDSARLAIEEPLFELPVTPIPAAYDKRQQELRKRRELEEQQAAKTVPELQDERLLKRINRRMHSPKQARKKKPDESALNKGQHRRLQESPESQSSTTSTCRPPQQGDEGLQAHETGCMGELSPDDLLDEEDDRDCEEVAVEEEEEEEEADELEVDPDLDPDSGSASEDSM
+>sp|Q8N2F6|ARM10_HUMAN Armadillo repeat-containing protein 10 OS=Homo sapiens OX=9606 GN=ARMC10 PE=1 SV=1
+MGGPRGAGWVAAGLLLGAGACYCIYRLTRGRRRGDRELGIRSSKSAGALEEGTSEGQLCGRSARPQTGGTWESQWSKTSQPEDLTDGSYDDVLNAEQLQKLLYLLESTEDPVIIERALITLGNNAAFSVNQAIIRELGGIPIVANKINHSNQSIKEKALNALNNLSVNVENQIKIKIYISQVCEDVFSGPLNSAVQLAGLTLLTNMTVTNDHQHMLHSYITDLFQVLLTGNGNTKVQVLKLLLNLSENPAMTEGLLRAQVDSSFLSLYDSHVAKEILLRVLTLFQNIKNCLKIEGHLAVQPTFTEGSLFFLLHGEECAQKIRALVDHHDAEVKEKVVTIIPKI
+>DECOY_sp|Q8N2F6|ARM10_HUMAN Armadillo repeat-containing protein 10 OS=Homo sapiens OX=9606 GN=ARMC10 PE=1 SV=1
+IKPIITVVKEKVEADHHDVLARIKQACEEGHLLFFLSGETFTPQVALHGEIKLCNKINQFLTLVRLLIEKAVHSDYLSLFSSDVQARLLGETMAPNESLNLLLKLVQVKTNGNGTLLVQFLDTIYSHLMHQHDNTVTMNTLLTLGALQVASNLPGSFVDECVQSIYIKIKIQNEVNVSLNNLANLAKEKISQNSHNIKNAVIPIGGLERIIAQNVSFAANNGLTILAREIIVPDETSELLYLLKQLQEANLVDDYSGDTLDEPQSTKSWQSEWTGGTQPRASRGCLQGESTGEELAGASKSSRIGLERDGRRRGRTLRYICYCAGAGLLLGAAVWGAGRPGGM
+>sp|Q5W041|ARMC3_HUMAN Armadillo repeat-containing protein 3 OS=Homo sapiens OX=9606 GN=ARMC3 PE=2 SV=2
+MGKKIKKEVEPPPKDVFDPLMIESKKAATVVLMLNSPEEEILAKACEAIYKFALKGEENKTTLLELGAVEPLTKLLTHEDKIVRRNATMIFGILASNNDVKKLLRELDVMNSVIAQLAPEEEVVIHEFASLCLANMSAEYTSKVQIFEHGGLEPLIRLLSSPDPDVKKNSMECIYNLVQDFQCRAKLQELNAIPPILDLLKSEYPVIQLLALKTLGVIANDKESRTMLRDNQGLDHLIKILETKELNDLHIEALAVIANCLEDMDTMVQIQQTGGLKKLLSFAENSTIPDIQKNAAKAITKAAYDPENRKLFHEQEVEKCLVALLGSENDGTKIAASQAISAMCENSGSKDFFNNQGIPQLIQLLKSDNEEVREAAALALANLTTCNPANANAAAEADGIDPLINLLSSKRDGAIANAATVLTNMAMQEPLRLNIQNHDIMHAIISPLRSANTVVQSKAALAVTATACDVEARTELRNSGGLEPLVELLRSKNDEVRKHASWAVMVCAGDELTANELCRLGALDILEEVNVSGTRKNKFSEAAYNKLLNNNLSLKYSQTGYLSSSNIINDGFYDYGRINPGTKLLPLKELCLQEPSDLRAVLLINSKSYVSPPSSMEDKSDVGYGRSISSSSSLRRSSKEKNKKNSYHFSAGFGSPIEDKSEPASGRNTVLSKSATKEKGWRKSKGKKEEEKVKEEEEVMVVPKFVGEGSSDKEWCPPSDPDFSMYVYEVTKSILPITNIKEQIEDLAKYVAEKMGGKIPKEKLPDFSWELHISELKFQLKSNVIPIGHVKKGIFYHRALLFKALADRIGIGCSLVRGEYGRAWNEVMLQNDSRKGVIGGLPAPEMYVIDLMFHPGGLMKLRSREADLYRFI
+>DECOY_sp|Q5W041|ARMC3_HUMAN Armadillo repeat-containing protein 3 OS=Homo sapiens OX=9606 GN=ARMC3 PE=2 SV=2
+IFRYLDAERSRLKMLGGPHFMLDIVYMEPAPLGGIVGKRSDNQLMVENWARGYEGRVLSCGIGIRDALAKFLLARHYFIGKKVHGIPIVNSKLQFKLESIHLEWSFDPLKEKPIKGGMKEAVYKALDEIQEKINTIPLISKTVEYVYMSFDPDSPPCWEKDSSGEGVFKPVVMVEEEEKVKEEEKKGKSKRWGKEKTASKSLVTNRGSAPESKDEIPSGFGASFHYSNKKNKEKSSRRLSSSSSISRGYGVDSKDEMSSPPSVYSKSNILLVARLDSPEQLCLEKLPLLKTGPNIRGYDYFGDNIINSSSLYGTQSYKLSLNNNLLKNYAAESFKNKRTGSVNVEELIDLAGLRCLENATLEDGACVMVAWSAHKRVEDNKSRLLEVLPELGGSNRLETRAEVDCATATVALAAKSQVVTNASRLPSIIAHMIDHNQINLRLPEQMAMNTLVTAANAIAGDRKSSLLNILPDIGDAEAAANANAPNCTTLNALALAAAERVEENDSKLLQILQPIGQNNFFDKSGSNECMASIAQSAAIKTGDNESGLLAVLCKEVEQEHFLKRNEPDYAAKTIAKAANKQIDPITSNEAFSLLKKLGGTQQIQVMTDMDELCNAIVALAEIHLDNLEKTELIKILHDLGQNDRLMTRSEKDNAIVGLTKLALLQIVPYESKLLDLIPPIANLEQLKARCQFDQVLNYICEMSNKKVDPDPSSLLRILPELGGHEFIQVKSTYEASMNALCLSAFEHIVVEEEPALQAIVSNMVDLERLLKKVDNNSALIGFIMTANRRVIKDEHTLLKTLPEVAGLELLTTKNEEGKLAFKYIAECAKALIEEEPSNLMLVVTAAKKSEIMLPDFVDKPPPEVEKKIKKGM
+>sp|Q96C12|ARMC5_HUMAN Armadillo repeat-containing protein 5 OS=Homo sapiens OX=9606 GN=ARMC5 PE=1 SV=2
+MAAAKPTLTDSLSFCLAQLAAAAGEALGGEKDPATNETPLSRALLALRTRHIKAAGGIERFRARGGLRPLLALLRRAAAAGSAPSQAGPGSAPSSAASGASSPAPASGPAPSAVSSSSPTPPVRLRKTLDLALSILADCCTEGACRTEVRRLGGILPLVTILQCMKTDSIQNRTARALGNLAMEPESCGDIHCAGAVPLLVESLTACQDSQCLQSVVRALRNLADSPQHRLALAQQGAVRPLAELLATAPDAALTLALVRALLELSRGCSRACAEQLSLGGGLGPLVSLASHPKRAVREGTILILANLCAQGLIRPALGNAGGVEVLVDELRQRRDPNGASPTSQQPLVRAVCLLCREAINRARLRDAGGLDLLMGLLRDPRASAWHPRIVAALVGFLYDTGALGRLQALGLVPLLAGQLCGEAGEEEEEGREAASWDFPEERTPERAQGGSFRSLRSWLISEGYATGPDDISPDWSPEQCPPEPMEPASPAPTPTSLRAPRTQRTPGRSPAAAIEEPWGREGPALLLLSRFSQAPDPSGALVTGPALYGLLTYVTGAPGPPSPRALRILSRLTCNPACLEAFVRSYGAALLRAWLVLGVAPDDWPAPRARPTLHSRHRELGERLLQNLTVQAESPFGVGALTHLLLSGSPEDRVACALTLPFICRKPSLWRRLLLEQGGLRLLLAALTRPAPHPLFLFFAADSLSCLQDLVSPTVSPAVPQAVPMDLDSPSPCLYEPLLGPAPVPAPDLHFLLDSGLQLPAQRAASATASPFFRALLSGSFAEAQMDLVPLRGLSPGAAWPVLHHLHGCRGCGAALGPVPPPGQPLLGSEAEEALEAAGRFLLPGLEEELEEAVGRIHLGPQGGPESVGEVFRLGRPRLAAHCARWTLGSEQCPRKRGLALVGLVEAAGEEAGPLTEALLAVVMGIELGARVPA
+>DECOY_sp|Q96C12|ARMC5_HUMAN Armadillo repeat-containing protein 5 OS=Homo sapiens OX=9606 GN=ARMC5 PE=1 SV=2
+APVRAGLEIGMVVALLAETLPGAEEGAAEVLGVLALGRKRPCQESGLTWRACHAALRPRGLRFVEGVSEPGGQPGLHIRGVAEELEEELGPLLFRGAAELAEEAESGLLPQGPPPVPGLAAGCGRCGHLHHLVPWAAGPSLGRLPVLDMQAEAFSGSLLARFFPSATASAARQAPLQLGSDLLFHLDPAPVPAPGLLPEYLCPSPSDLDMPVAQPVAPSVTPSVLDQLCSLSDAAFFLFLPHPAPRTLAALLLRLGGQELLLRRWLSPKRCIFPLTLACAVRDEPSGSLLLHTLAGVGFPSEAQVTLNQLLREGLERHRSHLTPRARPAPWDDPAVGLVLWARLLAAGYSRVFAELCAPNCTLRSLIRLARPSPPGPAGTVYTLLGYLAPGTVLAGSPDPAQSFRSLLLLAPGERGWPEEIAAAPSRGPTRQTRPARLSTPTPAPSAPEMPEPPCQEPSWDPSIDDPGTAYGESILWSRLSRFSGGQAREPTREEPFDWSAAERGEEEEEGAEGCLQGALLPVLGLAQLRGLAGTDYLFGVLAAVIRPHWASARPDRLLGMLLDLGGADRLRARNIAERCLLCVARVLPQQSTPSAGNPDRRQRLEDVLVEVGGANGLAPRILGQACLNALILITGERVARKPHSALSVLPGLGGGLSLQEACARSCGRSLELLARVLALTLAADPATALLEALPRVAGQQALALRHQPSDALNRLARVVSQLCQSDQCATLSEVLLPVAGACHIDGCSEPEMALNGLARATRNQISDTKMCQLITVLPLIGGLRRVETRCAGETCCDALISLALDLTKRLRVPPTPSSSSVASPAPGSAPAPSSAGSAASSPASGPGAQSPASGAAAARRLLALLPRLGGRARFREIGGAAKIHRTRLALLARSLPTENTAPDKEGGLAEGAAAALQALCFSLSDTLTPKAAAM
+>sp|Q7Z3E5|ARMC9_HUMAN LisH domain-containing protein ARMC9 OS=Homo sapiens OX=9606 GN=ARMC9 PE=1 SV=3
+MGDILAHESELLGLVKEYLDFAEFEDTLKTFSKECKIKGKPLCKTVGGSFRDSKSLTIQKDLVAAFDNGDQKVFFDLWEEHISSSIRDGDSFAQKLEFYLHIHFAIYLLKYSVGRPDKEELDEKISYFKTYLETKGAALSQTTEFLPFYALPFVPNPMVHPSFKELFQDSWTPELKLKLIKFLALISKASNTPKLLTIYKENGQSNKEILQQLHQQLVEAERRSVTYLKRYNKIQADYHNLIGVTAELVDSLEATVSGKMITPEYLQSVCVRLFSNQMRQSLAHSVDFTRPGTASTMLRASLAPVKLKDVPLLPSLDYEKLKKDLILGSDRLKAFLLQALRWRLTTSHPGEQRETVLQAYISNDLLDCYSHNQRSVLQLLHSTSDVVRQYMARLINAFASLAEGRLYLAQNTKVLQMLEGRLKEEDKDIITRENVLGALQKFSLRRPLQTAMIQDGLIFWLVDVLKDPDCLSDYTLEYSVALLMNLCLRSTGKNMCAKVAGLVLKVLSDLLGHENHEIQPYVNGALYSILSVPSIREEARAMGMEDILRCFIKEGNAEMIRQIEFIIKQLNSEELPDGVLESDDDEDEDDEEDHDIMEADLDKDELIQPQLGELSGEKLLTTEYLGIMTNTGKTRRKGLANVQWSGDEPLQRPVTPGGHRNGYPVVEDQHTPPQTAQHARNGHPQALPAAHEAVYREGKPSTPESCVSSSSAIIAKPGEWLPRGRQEEPRPAPTGTPRQPREAPQDPGNGVTTRECASAFTCKPRAPCTPEMLDWNPPKAKASVLAPLFSSCGPQQASRPGSTASSTRGLPSSQSHRK
+>DECOY_sp|Q7Z3E5|ARMC9_HUMAN LisH domain-containing protein ARMC9 OS=Homo sapiens OX=9606 GN=ARMC9 PE=1 SV=3
+KRHSQSSPLGRTSSATSGPRSAQQPGCSSFLPALVSAKAKPPNWDLMEPTCPARPKCTFASACERTTVGNGPDQPAERPQRPTGTPAPRPEEQRGRPLWEGPKAIIASSSSVCSEPTSPKGERYVAEHAAPLAQPHGNRAHQATQPPTHQDEVVPYGNRHGGPTVPRQLPEDGSWQVNALGKRRTKGTNTMIGLYETTLLKEGSLEGLQPQILEDKDLDAEMIDHDEEDDEDEDDDSELVGDPLEESNLQKIIFEIQRIMEANGEKIFCRLIDEMGMARAEERISPVSLISYLAGNVYPQIEHNEHGLLDSLVKLVLGAVKACMNKGTSRLCLNMLLAVSYELTYDSLCDPDKLVDVLWFILGDQIMATQLPRRLSFKQLAGLVNERTIIDKDEEKLRGELMQLVKTNQALYLRGEALSAFANILRAMYQRVVDSTSHLLQLVSRQNHSYCDLLDNSIYAQLVTERQEGPHSTTLRWRLAQLLFAKLRDSGLILDKKLKEYDLSPLLPVDKLKVPALSARLMTSATGPRTFDVSHALSQRMQNSFLRVCVSQLYEPTIMKGSVTAELSDVLEATVGILNHYDAQIKNYRKLYTVSRREAEVLQQHLQQLIEKNSQGNEKYITLLKPTNSAKSILALFKILKLKLEPTWSDQFLEKFSPHVMPNPVFPLAYFPLFETTQSLAAGKTELYTKFYSIKEDLEEKDPRGVSYKLLYIAFHIHLYFELKQAFSDGDRISSSIHEEWLDFFVKQDGNDFAAVLDKQITLSKSDRFSGGVTKCLPKGKIKCEKSFTKLTDEFEAFDLYEKVLGLLESEHALIDGM
+>sp|Q9P291|ARMX1_HUMAN Armadillo repeat-containing X-linked protein 1 OS=Homo sapiens OX=9606 GN=ARMCX1 PE=1 SV=1
+MGRTREAGCVAAGVVIGAGACYCVYRLAWGRDENEKIWDEDEESTDTSEIGVETVKGAKTNAGAGSGAKLQGDSEVKPEVSLGLEDCPGVKEKAHSGSHSGGGLEAKAKALFNTLKEQASAKAGKGARVGTISGNRTLAPSLPCPGGRGGGCHPTRSGSRAGGRASGKSKGKARSKSTRAPATTWPVRRGKFNFPYKIDDILSAPDLQKVLNILERTNDPFIQEVALVTLGNNAAYSFNQNAIRELGGVPIIAKLIKTKDPIIREKTYNALNNLSVNAENQGKIKTYISQVCDDTMVCRLDSAVQMAGLRLLTNMTVTNHYQHLLSYSFPDFFALLFLGNHFTKIQIMKLIINFTENPAMTRELVSCKVPSELISLFNKEWDREILLNILTLFENINDNIKNEGLASSRKEFSRSSLFFLFKESGVCVKKIKALANHNDLVVKVKVLKVLTKL
+>DECOY_sp|Q9P291|ARMX1_HUMAN Armadillo repeat-containing X-linked protein 1 OS=Homo sapiens OX=9606 GN=ARMCX1 PE=1 SV=1
+LKTLVKLVKVKVVLDNHNALAKIKKVCVGSEKFLFFLSSRSFEKRSSALGENKINDNINEFLTLINLLIERDWEKNFLSILESPVKCSVLERTMAPNETFNIILKMIQIKTFHNGLFLLAFFDPFSYSLLHQYHNTVTMNTLLRLGAMQVASDLRCVMTDDCVQSIYTKIKGQNEANVSLNNLANYTKERIIPDKTKILKAIIPVGGLERIANQNFSYAANNGLTVLAVEQIFPDNTRELINLVKQLDPASLIDDIKYPFNFKGRRVPWTTAPARTSKSRAKGKSKGSARGGARSGSRTPHCGGGRGGPCPLSPALTRNGSITGVRAGKGAKASAQEKLTNFLAKAKAELGGGSHSGSHAKEKVGPCDELGLSVEPKVESDGQLKAGSGAGANTKAGKVTEVGIESTDTSEEDEDWIKENEDRGWALRYVCYCAGAGIVVGAAVCGAERTRGM
+>sp|Q5H9R4|ARMX4_HUMAN Armadillo repeat-containing X-linked protein 4 OS=Homo sapiens OX=9606 GN=ARMCX4 PE=2 SV=2
+MSAAGLKITGSKETKRRLLLISIDWSRDLMNLCIYFRVYCQEKQEERRELPRIITGPPPEAAVVAFEWLKTSTLTGLHPQLPLSLPQPECALPYLVRAFSRGDYMGRIQEVGWVTAGLVIWAGTCYYIYKFTKGRAQSVRTLARNGSTVKMETVVGVQSQTLAINEAEIKTKPQVEIGAETGARSGPRAEVETKATAIAIHRANSQAKAMVGAEPETQSESKVVAGTLVMTEAVTLTEVKAKAREVAMKEAVTQTDAEAGKIVKKEAVTQTKAKAWALVAKTEAKREAMTQTKAETHILAEKETEINRVMVTQSETLAVPREVAKMGATNKTGIVDETKTRALEETVLIPRAFPSKNASC
+>DECOY_sp|Q5H9R4|ARMX4_HUMAN Armadillo repeat-containing X-linked protein 4 OS=Homo sapiens OX=9606 GN=ARMCX4 PE=2 SV=2
+CSANKSPFARPILVTEELARTKTEDVIGTKNTAGMKAVERPVALTESQTVMVRNIETEKEALIHTEAKTQTMAERKAETKAVLAWAKAKTQTVAEKKVIKGAEADTQTVAEKMAVERAKAKVETLTVAETMVLTGAVVKSESQTEPEAGVMAKAQSNARHIAIATAKTEVEARPGSRAGTEAGIEVQPKTKIEAENIALTQSQVGVVTEMKVTSGNRALTRVSQARGKTFKYIYYCTGAWIVLGATVWGVEQIRGMYDGRSFARVLYPLACEPQPLSLPLQPHLGTLTSTKLWEFAVVAAEPPPGTIIRPLERREEQKEQCYVRFYICLNMLDRSWDISILLLRRKTEKSGTIKLGAASM
+>sp|P61160|ARP2_HUMAN Actin-related protein 2 OS=Homo sapiens OX=9606 GN=ACTR2 PE=1 SV=1
+MDSQGRKVVVCDNGTGFVKCGYAGSNFPEHIFPALVGRPIIRSTTKVGNIEIKDLMVGDEASELRSMLEVNYPMENGIVRNWDDMKHLWDYTFGPEKLNIDTRNCKILLTEPPMNPTKNREKIVEVMFETYQFSGVYVAIQAVLTLYAQGLLTGVVVDSGDGVTHICPVYEGFSLPHLTRRLDIAGRDITRYLIKLLLLRGYAFNHSADFETVRMIKEKLCYVGYNIEQEQKLALETTVLVESYTLPDGRIIKVGGERFEAPEALFQPHLINVEGVGVAELLFNTIQAADIDTRSEFYKHIVLSGGSTMYPGLPSRLERELKQLYLERVLKGDVEKLSKFKIRIEDPPRRKHMVFLGGAVLADIMKDKDNFWMTRQEYQEKGVRVLEKLGVTVR
+>DECOY_sp|P61160|ARP2_HUMAN Actin-related protein 2 OS=Homo sapiens OX=9606 GN=ACTR2 PE=1 SV=1
+RVTVGLKELVRVGKEQYEQRTMWFNDKDKMIDALVAGGLFVMHKRRPPDEIRIKFKSLKEVDGKLVRELYLQKLERELRSPLGPYMTSGGSLVIHKYFESRTDIDAAQITNFLLEAVGVGEVNILHPQFLAEPAEFREGGVKIIRGDPLTYSEVLVTTELALKQEQEINYGVYCLKEKIMRVTEFDASHNFAYGRLLLLKILYRTIDRGAIDLRRTLHPLSFGEYVPCIHTVGDGSDVVVGTLLGQAYLTLVAQIAVYVGSFQYTEFMVEVIKERNKTPNMPPETLLIKCNRTDINLKEPGFTYDWLHKMDDWNRVIGNEMPYNVELMSRLESAEDGVMLDKIEINGVKTTSRIIPRGVLAPFIHEPFNSGAYGCKVFGTGNDCVVVKRGQSDM
+>sp|Q9H981|ARP8_HUMAN Actin-related protein 8 OS=Homo sapiens OX=9606 GN=ACTR8 PE=1 SV=2
+MTQAEKGDTENGKEKGGEKEKEQRGVKRPIVPALVPESLQEQIQSNFIIVIHPGSTTLRIGRATDTLPASIPHVIARRHKQQGQPLYKDSWLLREGLNKPESNEQRQNGLKMVDQAIWSKKMSNGTRRIPVSPEQARSYNKQMRPAILDHCSGNKWTNTSHHPEYLVGEEALYVNPLDCYNIHWPIRRGQLNIHPGPGGSLTAVLADIEVIWSHAIQKYLEIPLKDLKYYRCILLIPDIYNKQHVKELVNMILMKMGFSGIVVHQESVCATYGSGLSSTCIVDVGDQKTSVCCVEDGVSHRNTRLCLAYGGSDVSRCFYWLMQRAGFPYRECQLTNKMDCLLLQHLKETFCHLDQDISGLQDHEFQIRHPDSPALLYQFRLGDEKLQAPMALFYPATFGIVGQKMTTLQHRSQGDPEDPHDEHYLLATQSKQEQSAKATADRKSASKPIGFEGDLRGQSSDLPERLHSQEVDLGSAQGDGLMAGNDSEEALTALMSRKTAISLFEGKALGLDKAILHSIDCCSSDDTKKKMYSSILVVGGGLMFHKAQEFLQHRILNKMPPSFRRIIENVDVITRPKDMDPRLIAWKGGAVLACLDTTQELWIYQREWQRFGVRMLRERAAFVW
+>DECOY_sp|Q9H981|ARP8_HUMAN Actin-related protein 8 OS=Homo sapiens OX=9606 GN=ACTR8 PE=1 SV=2
+WVFAARERLMRVGFRQWERQYIWLEQTTDLCALVAGGKWAILRPDMDKPRTIVDVNEIIRRFSPPMKNLIRHQLFEQAKHFMLGGGVVLISSYMKKKTDDSSCCDISHLIAKDLGLAKGEFLSIATKRSMLATLAEESDNGAMLGDGQASGLDVEQSHLREPLDSSQGRLDGEFGIPKSASKRDATAKASQEQKSQTALLYHEDHPDEPDGQSRHQLTTMKQGVIGFTAPYFLAMPAQLKEDGLRFQYLLAPSDPHRIQFEHDQLGSIDQDLHCFTEKLHQLLLCDMKNTLQCERYPFGARQMLWYFCRSVDSGGYALCLRTNRHSVGDEVCCVSTKQDGVDVICTSSLGSGYTACVSEQHVVIGSFGMKMLIMNVLEKVHQKNYIDPILLICRYYKLDKLPIELYKQIAHSWIVEIDALVATLSGGPGPHINLQGRRIPWHINYCDLPNVYLAEEGVLYEPHHSTNTWKNGSCHDLIAPRMQKNYSRAQEPSVPIRRTGNSMKKSWIAQDVMKLGNQRQENSEPKNLGERLLWSDKYLPQGQQKHRRAIVHPISAPLTDTARGIRLTTSGPHIVIIFNSQIQEQLSEPVLAPVIPRKVGRQEKEKEGGKEKGNETDGKEAQTM
+>sp|O15145|ARPC3_HUMAN Actin-related protein 2/3 complex subunit 3 OS=Homo sapiens OX=9606 GN=ARPC3 PE=1 SV=3
+MPAYHSSLMDPDTKLIGNMALLPIRSQFKGPAPRETKDTDIVDEAIYYFKANVFFKNYEIKNEADRTLIYITLYISECLKKLQKCNSKSQGEKEMYTLGITNFPIPGEPGFPLNAIYAKPANKQEDEVMRAYLQQLRQETGLRLCEKVFDPQNDKPSKWWTCFVKRQFMNKSLSGPGQ
+>DECOY_sp|O15145|ARPC3_HUMAN Actin-related protein 2/3 complex subunit 3 OS=Homo sapiens OX=9606 GN=ARPC3 PE=1 SV=3
+QGPGSLSKNMFQRKVFCTWWKSPKDNQPDFVKECLRLGTEQRLQQLYARMVEDEQKNAPKAYIANLPFGPEGPIPFNTIGLTYMEKEGQSKSNCKQLKKLCESIYLTIYILTRDAENKIEYNKFFVNAKFYYIAEDVIDTDKTERPAPGKFQSRIPLLAMNGILKTDPDMLSSHYAPM
+>sp|O15511|ARPC5_HUMAN Actin-related protein 2/3 complex subunit 5 OS=Homo sapiens OX=9606 GN=ARPC5 PE=1 SV=3
+MSKNTVSSARFRKVDVDEYDENKFVDEEDGGDGQAGPDEGEVDSCLRQGNMTAALQAALKNPPINTKSQAVKDRAGSIVLKVLISFKANDIEKAVQSLDKNGVDLLMKYIYKGFESPSDNSSAMLLQWHEKALAAGGVGSIVRVLTARKTV
+>DECOY_sp|O15511|ARPC5_HUMAN Actin-related protein 2/3 complex subunit 5 OS=Homo sapiens OX=9606 GN=ARPC5 PE=1 SV=3
+VTKRATLVRVISGVGGAALAKEHWQLLMASSNDSPSEFGKYIYKMLLDVGNKDLSQVAKEIDNAKFSILVKLVISGARDKVAQSKTNIPPNKLAAQLAATMNGQRLCSDVEGEDPGAQGDGGDEEDVFKNEDYEDVDVKRFRASSVTNKSM
+>sp|Q8TBH0|ARRD2_HUMAN Arrestin domain-containing protein 2 OS=Homo sapiens OX=9606 GN=ARRDC2 PE=1 SV=2
+MLFDKVKAFSVQLDGATAGVEPVFSGGQAVAGRVLLELSSAARVGALRLRARGRAHVHWTESRSAGSSTAYTQSYSERVEVVSHRATLLAPDTGETTTLPPGRHEFLFSFQLPPTLVTSFEGKHGSVRYCIKATLHRPWVPARRARKVFTVIEPVDINTPALLAPQAGAREKVARSWYCNRGLVSLSAKIDRKGYTPGEVIPVFAEIDNGSTRPVLPRAAVVQTQTFMARGARKQKRAVVASLAGEPVGPGQRALWQGRALRIPPVGPSILHCRVLHVDYALKVCVDIPGTSKLLLELPLVIGTIPLHPFGSRSSSVGSHASFLLDWRLGALPERPEAPPEYSEVVADTEEAALGQSPFPLPQDPDMSLEGPFFAYIQEFRYRPPPLYSEEDPNPLLGDMRPRCMTC
+>DECOY_sp|Q8TBH0|ARRD2_HUMAN Arrestin domain-containing protein 2 OS=Homo sapiens OX=9606 GN=ARRDC2 PE=1 SV=2
+CTMCRPRMDGLLPNPDEESYLPPPRYRFEQIYAFFPGELSMDPDQPLPFPSQGLAAEETDAVVESYEPPAEPREPLAGLRWDLLFSAHSGVSSSRSGFPHLPITGIVLPLELLLKSTGPIDVCVKLAYDVHLVRCHLISPGVPPIRLARGQWLARQGPGVPEGALSAVVARKQKRAGRAMFTQTQVVAARPLVPRTSGNDIEAFVPIVEGPTYGKRDIKASLSVLGRNCYWSRAVKERAGAQPALLAPTNIDVPEIVTFVKRARRAPVWPRHLTAKICYRVSGHKGEFSTVLTPPLQFSFLFEHRGPPLTTTEGTDPALLTARHSVVEVRESYSQTYATSSGASRSETWHVHARGRARLRLAGVRAASSLELLVRGAVAQGGSFVPEVGATAGDLQVSFAKVKDFLM
+>sp|P15289|ARSA_HUMAN Arylsulfatase A OS=Homo sapiens OX=9606 GN=ARSA PE=1 SV=3
+MGAPRSLLLALAAGLAVARPPNIVLIFADDLGYGDLGCYGHPSSTTPNLDQLAAGGLRFTDFYVPVSLCTPSRAALLTGRLPVRMGMYPGVLVPSSRGGLPLEEVTVAEVLAARGYLTGMAGKWHLGVGPEGAFLPPHQGFHRFLGIPYSHDQGPCQNLTCFPPATPCDGGCDQGLVPIPLLANLSVEAQPPWLPGLEARYMAFAHDLMADAQRQDRPFFLYYASHHTHYPQFSGQSFAERSGRGPFGDSLMELDAAVGTLMTAIGDLGLLEETLVIFTADNGPETMRMSRGGCSGLLRCGKGTTYEGGVREPALAFWPGHIAPGVTHELASSLDLLPTLAALAGAPLPNVTLDGFDLSPLLLGTGKSPRQSLFFYPSYPDEVRGVFAVRTGKYKAHFFTQGSAHSDTTADPACHASSSLTAHEPPLLYDLSKDPGENYNLLGGVAGATPEVLQALKQLQLLKAQLDAAVTFGPSQVARGEDPALQICCHPGCTPRPACCHCPDPHA
+>DECOY_sp|P15289|ARSA_HUMAN Arylsulfatase A OS=Homo sapiens OX=9606 GN=ARSA PE=1 SV=3
+AHPDPCHCCAPRPTCGPHCCIQLAPDEGRAVQSPGFTVAADLQAKLLQLQKLAQLVEPTAGAVGGLLNYNEGPDKSLDYLLPPEHATLSSSAHCAPDATTDSHASGQTFFHAKYKGTRVAFVGRVEDPYSPYFFLSQRPSKGTGLLLPSLDFGDLTVNPLPAGALAALTPLLDLSSALEHTVGPAIHGPWFALAPERVGGEYTTGKGCRLLGSCGGRSMRMTEPGNDATFIVLTEELLGLDGIATMLTGVAADLEMLSDGFPGRGSREAFSQGSFQPYHTHHSAYYLFFPRDQRQADAMLDHAFAMYRAELGPLWPPQAEVSLNALLPIPVLGQDCGGDCPTAPPFCTLNQCPGQDHSYPIGLFRHFGQHPPLFAGEPGVGLHWKGAMGTLYGRAALVEAVTVEELPLGGRSSPVLVGPYMGMRVPLRGTLLAARSPTCLSVPVYFDTFRLGGAALQDLNPTTSSPHGYCGLDGYGLDDAFILVINPPRAVALGAALALLLSRPAGM
+>sp|Q9H2C2|ARV1_HUMAN Protein ARV1 OS=Homo sapiens OX=9606 GN=ARV1 PE=1 SV=1
+MGNGGRSGLQQGKGNVDGVAATPTAASASCQYRCIECNQEAKELYRDYNHGVLKITICKSCQKPVDKYIEYDPVIILINAILCKAQAYRHILFNTQINIHGKLCIFCLLCEAYLRWWQLQDSNQNTAPDDLIRYAKEWDFYRMFAIAALEQTAYFIGIFTFLWVERPMTAKKKPNFILLLKALLLSSYGKLLLIPAVIWEHDYTSVCLKLIKVFVLTSNFQAIRVTLNINRKLSFLAVLSGLLLESIMVYFFQSMEWDVGSDYAIFKSQDF
+>DECOY_sp|Q9H2C2|ARV1_HUMAN Protein ARV1 OS=Homo sapiens OX=9606 GN=ARV1 PE=1 SV=1
+FDQSKFIAYDSGVDWEMSQFFYVMISELLLGSLVALFSLKRNINLTVRIAQFNSTLVFVKILKLCVSTYDHEWIVAPILLLKGYSSLLLAKLLLIFNPKKKATMPREVWLFTFIGIFYATQELAAIAFMRYFDWEKAYRILDDPATNQNSDQLQWWRLYAECLLCFICLKGHINIQTNFLIHRYAQAKCLIANILIIVPDYEIYKDVPKQCSKCITIKLVGHNYDRYLEKAEQNCEICRYQCSASAATPTAAVGDVNGKGQQLGSRGGNGM
+>sp|Q96QS3|ARX_HUMAN Homeobox protein ARX OS=Homo sapiens OX=9606 GN=ARX PE=1 SV=1
+MSNQYQEEGCSERPECKSKSPTLLSSYCIDSILGRRSPCKMRLLGAAQSLPAPLTSRADPEKAVQGSPKSSSAPFEAELHLPPKLRRLYGPGGGRLLQGAAAAAAAAAAAAAAAATATAGPRGEAPPPPPPTARPGERPDGAGAAAAAAAAAAAAWDTLKISQAPQVSISRSKSYRENGAPFVPPPPALDELGGPGGVTHPEERLGVAGGPGSAPAAGGGTGTEDDEEELLEDEEDEDEEEELLEDDEEELLEDDARALLKEPRRCPVAATGAVAAAAAAAVATEGGELSPKEELLLHPEDAEGKDGEDSVCLSAGSDSEEGLLKRKQRRYRTTFTSYQLEELERAFQKTHYPDVFTREELAMRLDLTEARVQVWFQNRRAKWRKREKAGAQTHPPGLPFPGPLSATHPLSPYLDASPFPPHHPALDSAWTAAAAAAAAAFPSLPPPPGSASLPPSGAPLGLSTFLGAAVFRHPAFISPAFGRLFSTMAPLTSASTAAALLRQPTPAVEGAVASGALADPATAAADRRASSIAALRLKAKEHAAQLTQLNILPGTSTGKEVC
+>DECOY_sp|Q96QS3|ARX_HUMAN Homeobox protein ARX OS=Homo sapiens OX=9606 GN=ARX PE=1 SV=1
+CVEKGTSTGPLINLQTLQAAHEKAKLRLAAISSARRDAAATAPDALAGSAVAGEVAPTPQRLLAAATSASTLPAMTSFLRGFAPSIFAPHRFVAAGLFTSLGLPAGSPPLSASGPPPPLSPFAAAAAAAAATWASDLAPHHPPFPSADLYPSLPHTASLPGPFPLGPPHTQAGAKERKRWKARRNQFWVQVRAETLDLRMALEERTFVDPYHTKQFARELEELQYSTFTTRYRRQKRKLLGEESDSGASLCVSDEGDKGEADEPHLLLEEKPSLEGGETAVAAAAAAAVAGTAAVPCRRPEKLLARADDELLEEEDDELLEEEEDEDEEDELLEEEDDETGTGGGAAPASGPGGAVGLREEPHTVGGPGGLEDLAPPPPVFPAGNERYSKSRSISVQPAQSIKLTDWAAAAAAAAAAAAGAGDPREGPRATPPPPPPAEGRPGATATAAAAAAAAAAAAAAAAGQLLRGGGPGYLRRLKPPLHLEAEFPASSSKPSGQVAKEPDARSTLPAPLSQAAGLLRMKCPSRRGLISDICYSSLLTPSKSKCEPRESCGEEQYQNSM
+>sp|Q9HBK9|AS3MT_HUMAN Arsenite methyltransferase OS=Homo sapiens OX=9606 GN=AS3MT PE=1 SV=3
+MAALRDAEIQKDVQTYYGQVLKRSADLQTNGCVTTARPVPKHIREALQNVHEEVALRYYGCGLVIPEHLENCWILDLGSGSGRDCYVLSQLVGEKGHVTGIDMTKGQVEVAEKYLDYHMEKYGFQASNVTFIHGYIEKLGEAGIKNESHDIVVSNCVINLVPDKQQVLQEAYRVLKHGGELYFSDVYTSLELPEEIRTHKVLWGECLGGALYWKELAVLAQKIGFCPPRLVTANLITIQNKELERVIGDCRFVSATFRLFKHSKTGPTKRCQVIYNGGITGHEKELMFDANFTFKEGEIVEVDEETAAILKNSRFAQDFLIRPIGEKLPTSGGCSALELKDIITDPFKLAEESDSMKSRCVPDAAGGCCGTKKSC
+>DECOY_sp|Q9HBK9|AS3MT_HUMAN Arsenite methyltransferase OS=Homo sapiens OX=9606 GN=AS3MT PE=1 SV=3
+CSKKTGCCGGAADPVCRSKMSDSEEALKFPDTIIDKLELASCGGSTPLKEGIPRILFDQAFRSNKLIAATEEDVEVIEGEKFTFNADFMLEKEHGTIGGNYIVQCRKTPGTKSHKFLRFTASVFRCDGIVRELEKNQITILNATVLRPPCFGIKQALVALEKWYLAGGLCEGWLVKHTRIEEPLELSTYVDSFYLEGGHKLVRYAEQLVQQKDPVLNIVCNSVVIDHSENKIGAEGLKEIYGHIFTVNSAQFGYKEMHYDLYKEAVEVQGKTMDIGTVHGKEGVLQSLVYCDRGSGSGLDLIWCNELHEPIVLGCGYYRLAVEEHVNQLAERIHKPVPRATTVCGNTQLDASRKLVQGYYTQVDKQIEADRLAAM
+>sp|Q8WXK1|ASB15_HUMAN Ankyrin repeat and SOCS box protein 15 OS=Homo sapiens OX=9606 GN=ASB15 PE=1 SV=3
+MDTNDDPDEDHLTSYDIQLSIQESIEASKTALCPERFVPLSAQNRKLVEAIKQGHIPELQEYVKYKYAMDEADEKGWFPLHEAVVQPIQQILEIVLDASYKTLWEFKTCDGETPLTLAVKAGLVENVRTLLEKGVWPNTKNDKGETPLLIAVKKGSYDMVSTLIKHNTSLDQPCVKRWSAMHEAAKQGRKDIVALLLKHGGNVHLRDGFGVTPLGVAAEYGHCDVLEHLIHKGGDVLALADDGASVLFEAAGGGNPDCISLLLEYGGSGNVPNRAGHLPIHRAAYEGHYLALKYLIPVTSKNAIRKSGLTPIHSAADGQNAQCLELLIENGFDVNTLLADHISQSYDDERKTALYFGVSNNDVHCTEVLLAAGADPNLDPLNCLLVAVRANNYEIVRLLLSHGANVNCYFMHVNDTRFPSVIQYALNDEVMLRLLLNNGYQVEMCFDCMHGDIFGNSFVWSEIQEEVLPGWTSCVIKDNPFCEFITVPWMKHLVGRVTRVLIDYMDYVPLCAKLKSALEVQREWPEIRQILENPCSLKHLCRLKIRRLMGLQKLCQPASVEKLPLPPAIQRYILFKEYDLYGQELKLT
+>DECOY_sp|Q8WXK1|ASB15_HUMAN Ankyrin repeat and SOCS box protein 15 OS=Homo sapiens OX=9606 GN=ASB15 PE=1 SV=3
+TLKLEQGYLDYEKFLIYRQIAPPLPLKEVSAPQCLKQLGMLRRIKLRCLHKLSCPNELIQRIEPWERQVELASKLKACLPVYDMYDILVRTVRGVLHKMWPVTIFECFPNDKIVCSTWGPLVEEQIESWVFSNGFIDGHMCDFCMEVQYGNNLLLRLMVEDNLAYQIVSPFRTDNVHMFYCNVNAGHSLLLRVIEYNNARVAVLLCNLPDLNPDAGAALLVETCHVDNNSVGFYLATKREDDYSQSIHDALLTNVDFGNEILLELCQANQGDAASHIPTLGSKRIANKSTVPILYKLALYHGEYAARHIPLHGARNPVNGSGGYELLLSICDPNGGGAAEFLVSAGDDALALVDGGKHILHELVDCHGYEAAVGLPTVGFGDRLHVNGGHKLLLAVIDKRGQKAAEHMASWRKVCPQDLSTNHKILTSVMDYSGKKVAILLPTEGKDNKTNPWVGKELLTRVNEVLGAKVALTLPTEGDCTKFEWLTKYSADLVIELIQQIPQVVAEHLPFWGKEDAEDMAYKYKVYEQLEPIHGQKIAEVLKRNQASLPVFREPCLATKSAEISEQISLQIDYSTLHDEDPDDNTDM
+>sp|Q5U4P2|ASPH1_HUMAN Aspartate beta-hydroxylase domain-containing protein 1 OS=Homo sapiens OX=9606 GN=ASPHD1 PE=1 SV=3
+MKEGRGSFSVERGPRKERETAQSGMWKGNSPAGSQGAAMEGTGGELGGQGNWGPEDAPGLLARASLIMLPWPLPLASSALTLLFGALTSLFLWYCYRLGSQDMQALGAGSRAGGVRGGPVGCSEAGGPSPGGPGDPGEGPRTEGLVSRRLRAYARRYSWAGMGRVRRAAQGGPGPGRGPGVLGIQRPGLLFLPDLPSAPFVPRDAQRHDVELLESSFPAILRDFGAVSWDFSGTTPPPRGWSPPLAPGCYQLLLYQAGRCQPSNCRRCPGAYRALRGLRSFMSANTFGNAGFSVLLPGARLEGRCGPTNARVRCHLGLKIPPGCELVVGGEPQCWAEGHCLLVDDSFLHTVAHNGSPEDGPRVVFIVDLWHPNVAGAERQALDFVFAPDP
+>DECOY_sp|Q5U4P2|ASPH1_HUMAN Aspartate beta-hydroxylase domain-containing protein 1 OS=Homo sapiens OX=9606 GN=ASPHD1 PE=1 SV=3
+PDPAFVFDLAQREAGAVNPHWLDVIFVVRPGDEPSGNHAVTHLFSDDVLLCHGEAWCQPEGGVVLECGPPIKLGLHCRVRANTPGCRGELRAGPLLVSFGANGFTNASMFSRLGRLARYAGPCRRCNSPQCRGAQYLLLQYCGPALPPSWGRPPPTTGSFDWSVAGFDRLIAPFSSELLEVDHRQADRPVFPASPLDPLFLLGPRQIGLVGPGRGPGPGGQAARRVRGMGAWSYRRAYARLRRSVLGETRPGEGPDGPGGPSPGGAESCGVPGGRVGGARSGAGLAQMDQSGLRYCYWLFLSTLAGFLLTLASSALPLPWPLMILSARALLGPADEPGWNGQGGLEGGTGEMAAGQSGAPSNGKWMGSQATEREKRPGREVSFSGRGEKM
+>sp|Q9H7F0|AT133_HUMAN Probable cation-transporting ATPase 13A3 OS=Homo sapiens OX=9606 GN=ATP13A3 PE=1 SV=4
+MDREERKTINQGQEDEMEIYGYNLSRWKLAIVSLGVICSGGFLLLLLYWMPEWRVKATCVRAAIKDCEVVLLRTTDEFKMWFCAKIRVLSLETYPVSSPKSMSNKLSNGHAVCLIENPTEENRHRISKYSQTESQQIRYFTHHSVKYFWNDTIHNFDFLKGLDEGVSCTSIYEKHSAGLTKGMHAYRKLLYGVNEIAVKVPSVFKLLIKEVLNPFYIFQLFSVILWSTDEYYYYALAIVVMSIVSIVSSLYSIRKQYVMLHDMVATHSTVRVSVCRVNEEIEEIFSTDLVPGDVMVIPLNGTIMPCDAVLINGTCIVNESMLTGESVPVTKTNLPNPSVDVKGIGDELYNPETHKRHTLFCGTTVIQTRFYTGELVKAIVVRTGFSTSKGQLVRSILYPKPTDFKLYRDAYLFLLCLVAVAGIGFIYTIINSILNEVQVGVIIIESLDIITITVPPALPAAMTAGIVYAQRRLKKIGIFCISPQRINICGQLNLVCFDKTGTLTEDGLDLWGIQRVENARFLSPEENVCNEMLVKSQFVACMATCHSLTKIEGVLSGDPLDLKMFEAIGWILEEATEEETALHNRIMPTVVRPPKQLLPESTPAGNQEMELFELPATYEIGIVRQFPFSSALQRMSVVARVLGDRKMDAYMKGAPEAIAGLCKPETVPVDFQNVLEDFTKQGFRVIALAHRKLESKLTWHKVQNISRDAIENNMDFMGLIIMQNKLKQETPAVLEDLHKANIRTVMVTGDSMLTAVSVARDCGMILPQDKVIIAEALPPKDGKVAKINWHYADSLTQCSHPSAIDPEAIPVKLVHDSLEDLQMTRYHFAMNGKSFSVILEHFQDLVPKLMLHGTVFARMAPDQKTQLIEALQNVDYFVGMCGDGANDCGALKRAHGGISLSELEASVASPFTSKTPSISCVPNLIREGRAALITSFCVFKFMALYSIIQYFSVTLLYSILSNLGDFQFLFIDLAIILVVVFTMSLNPAWKELVAQRPPSGLISGALLFSVLSQIIICIGFQSLGFFWVKQQPWYEVWHPKSDACNTTGSGFWNSSHVDNETELDEHNIQNYENTTVFFISSFQYLIVAIAFSKGKPFRQPCYKNYFFVFSVIFLYIFILFIMLYPVASVDQVLQIVCVPYQWRVTMLIIVLVNAFVSITVEESVDRWGKCCLPWALGCRKKTPKAKYMYLAQELLVDPEWPPKPQTTTEAKALVKENGSCQIITIT
+>DECOY_sp|Q9H7F0|AT133_HUMAN Probable cation-transporting ATPase 13A3 OS=Homo sapiens OX=9606 GN=ATP13A3 PE=1 SV=4
+TITIIQCSGNEKVLAKAETTTQPKPPWEPDVLLEQALYMYKAKPTKKRCGLAWPLCCKGWRDVSEEVTISVFANVLVIILMTVRWQYPVCVIQLVQDVSAVPYLMIFLIFIYLFIVSFVFFYNKYCPQRFPKGKSFAIAVILYQFSSIFFVTTNEYNQINHEDLETENDVHSSNWFGSGTTNCADSKPHWVEYWPQQKVWFFGLSQFGICIIIQSLVSFLLAGSILGSPPRQAVLEKWAPNLSMTFVVVLIIALDIFLFQFDGLNSLISYLLTVSFYQIISYLAMFKFVCFSTILAARGERILNPVCSISPTKSTFPSAVSAELESLSIGGHARKLAGCDNAGDGCMGVFYDVNQLAEILQTKQDPAMRAFVTGHLMLKPVLDQFHELIVSFSKGNMAFHYRTMQLDELSDHVLKVPIAEPDIASPHSCQTLSDAYHWNIKAVKGDKPPLAEAIIVKDQPLIMGCDRAVSVATLMSDGTVMVTRINAKHLDELVAPTEQKLKNQMIILGMFDMNNEIADRSINQVKHWTLKSELKRHALAIVRFGQKTFDELVNQFDVPVTEPKCLGAIAEPAGKMYADMKRDGLVRAVVSMRQLASSFPFQRVIGIEYTAPLEFLEMEQNGAPTSEPLLQKPPRVVTPMIRNHLATEEETAEELIWGIAEFMKLDLPDGSLVGEIKTLSHCTAMCAVFQSKVLMENCVNEEPSLFRANEVRQIGWLDLGDETLTGTKDFCVLNLQGCINIRQPSICFIGIKKLRRQAYVIGATMAAPLAPPVTITIIDLSEIIIVGVQVENLISNIITYIFGIGAVAVLCLLFLYADRYLKFDTPKPYLISRVLQGKSTSFGTRVVIAKVLEGTYFRTQIVTTGCFLTHRKHTEPNYLEDGIGKVDVSPNPLNTKTVPVSEGTLMSENVICTGNILVADCPMITGNLPIVMVDGPVLDTSFIEEIEENVRCVSVRVTSHTAVMDHLMVYQKRISYLSSVISVISMVVIALAYYYYEDTSWLIVSFLQFIYFPNLVEKILLKFVSPVKVAIENVGYLLKRYAHMGKTLGASHKEYISTCSVGEDLGKLFDFNHITDNWFYKVSHHTFYRIQQSETQSYKSIRHRNEETPNEILCVAHGNSLKNSMSKPSSVPYTELSLVRIKACFWMKFEDTTRLLVVECDKIAARVCTAKVRWEPMWYLLLLLFGGSCIVGLSVIALKWRSLNYGYIEMEDEQGQNITKREERDM
+>sp|P54709|AT1B3_HUMAN Sodium/potassium-transporting ATPase subunit beta-3 OS=Homo sapiens OX=9606 GN=ATP1B3 PE=1 SV=1
+MTKNEKKSLNQSLAEWKLFIYNPTTGEFLGRTAKSWGLILLFYLVFYGFLAALFSFTMWVMLQTLNDEVPKYRDQIPSPGLMVFPKPVTALEYTFSRSDPTSYAGYIEDLKKFLKPYTLEEQKNLTVCPDGALFEQKGPVYVACQFPISLLQACSGMNDPDFGYSQGNPCILVKMNRIIGLKPEGVPRIDCVSKNEDIPNVAVYPHNGMIDLKYFPYYGKKLHVGYLQPLVAVQVSFAPNNTGKEVTVECKIDGSANLKSQDDRDKFLGRVMFKITARA
+>DECOY_sp|P54709|AT1B3_HUMAN Sodium/potassium-transporting ATPase subunit beta-3 OS=Homo sapiens OX=9606 GN=ATP1B3 PE=1 SV=1
+ARATIKFMVRGLFKDRDDQSKLNASGDIKCEVTVEKGTNNPAFSVQVAVLPQLYGVHLKKGYYPFYKLDIMGNHPYVAVNPIDENKSVCDIRPVGEPKLGIIRNMKVLICPNGQSYGFDPDNMGSCAQLLSIPFQCAVYVPGKQEFLAGDPCVTLNKQEELTYPKLFKKLDEIYGAYSTPDSRSFTYELATVPKPFVMLGPSPIQDRYKPVEDNLTQLMVWMTFSFLAALFGYFVLYFLLILGWSKATRGLFEGTTPNYIFLKWEALSQNLSKKENKTM
+>sp|Q9UN42|AT1B4_HUMAN Protein ATP1B4 OS=Homo sapiens OX=9606 GN=ATP1B4 PE=1 SV=1
+MRRQLRSRRAPSFPYSYRYRLDDPDEANQNYLADEEEEAEEEARVTVVPKSEEEEEEEEKEEEEEEEKEEEEGQGQPTGNAWWQKLQIMSEYLWDPERRMFLARTGQSWSLILLIYFFFYASLAAVITLCMYTLFLTISPYIPTFTERVKPPGVMIRPFAHSLNFNFNVSEPDTWQHYVISLNGFLQGYNDSLQEEMNVDCPPGQYFIQDGNEDEDKKACQFKRSFLKNCSGLEDPTFGYSTGQPCILLKMNRIVGFRPELGDPVKVSCKVQRGDENDIRSISYYPESASFDLRYYPYYGKLTHVNYTSPLVAMHFTDVVKNQAVPVQCQLKGKGVINDVINDRFVGRVIFTLNIET
+>DECOY_sp|Q9UN42|AT1B4_HUMAN Protein ATP1B4 OS=Homo sapiens OX=9606 GN=ATP1B4 PE=1 SV=1
+TEINLTFIVRGVFRDNIVDNIVGKGKLQCQVPVAQNKVVDTFHMAVLPSTYNVHTLKGYYPYYRLDFSASEPYYSISRIDNEDGRQVKCSVKVPDGLEPRFGVIRNMKLLICPQGTSYGFTPDELGSCNKLFSRKFQCAKKDEDENGDQIFYQGPPCDVNMEEQLSDNYGQLFGNLSIVYHQWTDPESVNFNFNLSHAFPRIMVGPPKVRETFTPIYPSITLFLTYMCLTIVAALSAYFFFYILLILSWSQGTRALFMRREPDWLYESMIQLKQWWANGTPQGQGEEEEKEEEEEEEKEEEEEEEESKPVVTVRAEEEAEEEEDALYNQNAEDPDDLRYRYSYPFSPARRSRLQRRM
+>sp|Q06055|AT5G2_HUMAN ATP synthase F(0) complex subunit C2, mitochondrial OS=Homo sapiens OX=9606 GN=ATP5MC2 PE=2 SV=1
+MFACSKFVSTPSLVKSTSQLLSRPLSAVVLKRPEILTDESLSSLAVSCPLTSLVSSRSFQTSAISRDIDTAAKFIGAGAATVGVAGSGAGIGTVFGSLIIGYARNPSLKQQLFSYAILGFALSEAMGLFCLMVAFLILFAM
+>DECOY_sp|Q06055|AT5G2_HUMAN ATP synthase F(0) complex subunit C2, mitochondrial OS=Homo sapiens OX=9606 GN=ATP5MC2 PE=2 SV=1
+MAFLILFAVMLCFLGMAESLAFGLIAYSFLQQKLSPNRAYGIILSGFVTGIGAGSGAVGVTAAGAGIFKAATDIDRSIASTQFSRSSVLSTLPCSVALSSLSEDTLIEPRKLVVASLPRSLLQSTSKVLSPTSVFKSCAFM
+>sp|Q8WYN0|ATG4A_HUMAN Cysteine protease ATG4A OS=Homo sapiens OX=9606 GN=ATG4A PE=1 SV=1
+MESVLSKYEDQITIFTDYLEEYPDTDELVWILGKQHLLKTEKSKLLSDISARLWFTYRRKFSPIGGTGPSSDAGWGCMLRCGQMMLAQALICRHLGRDWSWEKQKEQPKEYQRILQCFLDRKDCCYSIHQMAQMGVGEGKSIGEWFGPNTVAQVLKKLALFDEWNSLAVYVSMDNTVVIEDIKKMCRVLPLSADTAGDRPPDSLTASNQSKGTSAYCSAWKPLLLIVPLRLGINQINPVYVDAFKECFKMPQSLGALGGKPNNAYYFIGFLGDELIFLDPHTTQTFVDTEENGTVNDQTFHCLQSPQRMNILNLDPSVALGFFCKEEKDFDNWCSLVQKEILKENLRMFELVQKHPSHWPPFVPPAKPEVTTTGAEFIDSTEQLEEFDLEEDFEILSV
+>DECOY_sp|Q8WYN0|ATG4A_HUMAN Cysteine protease ATG4A OS=Homo sapiens OX=9606 GN=ATG4A PE=1 SV=1
+VSLIEFDEELDFEELQETSDIFEAGTTTVEPKAPPVFPPWHSPHKQVLEFMRLNEKLIEKQVLSCWNDFDKEEKCFFGLAVSPDLNLINMRQPSQLCHFTQDNVTGNEETDVFTQTTHPDLFILEDGLFGIFYYANNPKGGLAGLSQPMKFCEKFADVYVPNIQNIGLRLPVILLLPKWASCYASTGKSQNSATLSDPPRDGATDASLPLVRCMKKIDEIVVTNDMSVYVALSNWEDFLALKKLVQAVTNPGFWEGISKGEGVGMQAMQHISYCCDKRDLFCQLIRQYEKPQEKQKEWSWDRGLHRCILAQALMMQGCRLMCGWGADSSPGTGGIPSFKRRYTFWLRASIDSLLKSKETKLLHQKGLIWVLEDTDPYEELYDTFITIQDEYKSLVSEM
+>sp|Q9BSB4|ATGA1_HUMAN Autophagy-related protein 101 OS=Homo sapiens OX=9606 GN=ATG101 PE=1 SV=1
+MNCRSEVLEVSVEGRQVEEAMLAVLHTVLLHRSTGKFHYKKEGTYSIGTVGTQDVDCDFIDFTYVRVSSEELDRALRKVVGEFKDALRNSGGDGLGQMSLEFYQKKKSRWPFSDECIPWEVWTVKVHVVALATEQERQICREKVGEKLCEKIINIVEVMNRHEYLPKMPTQSEVDNVFDTGLRDVQPYLYKISFQITDALGTSVTTTMRRLIKDTLAL
+>DECOY_sp|Q9BSB4|ATGA1_HUMAN Autophagy-related protein 101 OS=Homo sapiens OX=9606 GN=ATG101 PE=1 SV=1
+LALTDKILRRMTTTVSTGLADTIQFSIKYLYPQVDRLGTDFVNDVESQTPMKPLYEHRNMVEVINIIKECLKEGVKERCIQREQETALAVVHVKVTWVEWPICEDSFPWRSKKKQYFELSMQGLGDGGSNRLADKFEGVVKRLARDLEESSVRVYTFDIFDCDVDQTGVTGISYTGEKKYHFKGTSRHLLVTHLVALMAEEVQRGEVSVELVESRCNM
+>sp|P20648|ATP4A_HUMAN Potassium-transporting ATPase alpha chain 1 OS=Homo sapiens OX=9606 GN=ATP4A PE=2 SV=5
+MGKAENYELYSVELGPGPGGDMAAKMSKKKKAGGGGGKRKEKLENMKKEMEINDHQLSVAELEQKYQTSATKGLSASLAAELLLRDGPNALRPPRGTPEYVKFARQLAGGLQCLMWVAAAICLIAFAIQASEGDLTTDDNLYLAIALIAVVVVTGCFGYYQEFKSTNIIASFKNLVPQQATVIRDGDKFQINADQLVVGDLVEMKGGDRVPADIRILAAQGCKVDNSSLTGESEPQTRSPECTHESPLETRNIAFFSTMCLEGTVQGLVVNTGDRTIIGRIASLASGVENEKTPIAIEIEHFVDIIAGLAILFGATFFIVAMCIGYTFLRAMVFFMAIVVAYVPEGLLATVTVCLSLTAKRLASKNCVVKNLEAVETLGSTSVICSDKTGTLTQNRMTVSHLWFDNHIHTADTTEDQSGQTFDQSSETWRALCRVLTLCNRAAFKSGQDAVPVPKRIVIGDASETALLKFSELTLGNAMGYRDRFPKVCEIPFNSTNKFQLSIHTLEDPRDPRHLLVMKGAPERVLERCSSILIKGQELPLDEQWREAFQTAYLSLGGLGERVLGFCQLYLNEKDYPPGYAFDVEAMNFPSSGLCFAGLVSMIDPPRATVPDAVLKCRTAGIRVIMVTGDHPITAKAIAASVGIISEGSETVEDIAARLRVPVDQVNRKDARACVINGMQLKDMDPSELVEALRTHPEMVFARTSPQQKLVIVESCQRLGAIVAVTGDGVNDSPALKKADIGVAMGIAGSDAAKNAADMILLDDNFASIVTGVEQGRLIFDNLKKSIAYTLTKNIPELTPYLIYITVSVPLPLGCITILFIELCTDIFPSVSLAYEKAESDIMHLRPRNPKRDRLVNEPLAAYSYFQIGAIQSFAGFTDYFTAMAQEGWFPLLCVGLRAQWEDHHLQDLQDSYGQEWTFGQRLYQQYTCYTVFFISIEVCQIADVLIRKTRRLSAFQQGFFRNKILVIAIVFQVCIGCFLCYCPGMPNIFNFMPIRFQWWLVPLPYGILIFVYDEIRKLGVRCCPGSWWDQELYY
+>DECOY_sp|P20648|ATP4A_HUMAN Potassium-transporting ATPase alpha chain 1 OS=Homo sapiens OX=9606 GN=ATP4A PE=2 SV=5
+YYLEQDWWSGPCCRVGLKRIEDYVFILIGYPLPVLWWQFRIPMFNFINPMGPCYCLFCGICVQFVIAIVLIKNRFFGQQFASLRRTKRILVDAIQCVEISIFFVTYCTYQQYLRQGFTWEQGYSDQLDQLHHDEWQARLGVCLLPFWGEQAMATFYDTFGAFSQIAGIQFYSYAALPENVLRDRKPNRPRLHMIDSEAKEYALSVSPFIDTCLEIFLITICGLPLPVSVTIYILYPTLEPINKTLTYAISKKLNDFILRGQEVGTVISAFNDDLLIMDAANKAADSGAIGMAVGIDAKKLAPSDNVGDGTVAVIAGLRQCSEVIVLKQQPSTRAFVMEPHTRLAEVLESPDMDKLQMGNIVCARADKRNVQDVPVRLRAAIDEVTESGESIIGVSAAIAKATIPHDGTVMIVRIGATRCKLVADPVTARPPDIMSVLGAFCLGSSPFNMAEVDFAYGPPYDKENLYLQCFGLVREGLGGLSLYATQFAERWQEDLPLEQGKILISSCRELVREPAGKMVLLHRPDRPDELTHISLQFKNTSNFPIECVKPFRDRYGMANGLTLESFKLLATESADGIVIRKPVPVADQGSKFAARNCLTLVRCLARWTESSQDFTQGSQDETTDATHIHNDFWLHSVTMRNQTLTGTKDSCIVSTSGLTEVAELNKVVCNKSALRKATLSLCVTVTALLGEPVYAVVIAMFFVMARLFTYGICMAVIFFTAGFLIALGAIIDVFHEIEIAIPTKENEVGSALSAIRGIITRDGTNVVLGQVTGELCMTSFFAINRTELPSEHTCEPSRTQPESEGTLSSNDVKCGQAALIRIDAPVRDGGKMEVLDGVVLQDANIQFKDGDRIVTAQQPVLNKFSAIINTSKFEQYYGFCGTVVVVAILAIALYLNDDTTLDGESAQIAFAILCIAAAVWMLCQLGGALQRAFKVYEPTGRPPRLANPGDRLLLEAALSASLGKTASTQYKQELEAVSLQHDNIEMEKKMNELKEKRKGGGGGAKKKKSMKAAMDGGPGPGLEVSYLEYNEAKGM
+>sp|P56381|ATP5E_HUMAN ATP synthase subunit epsilon, mitochondrial OS=Homo sapiens OX=9606 GN=ATP5F1E PE=1 SV=2
+MVAYWRQAGLSYIRYSQICAKAVRDALKTEFKANAEKTSGSNVKIVKVKKE
+>DECOY_sp|P56381|ATP5E_HUMAN ATP synthase subunit epsilon, mitochondrial OS=Homo sapiens OX=9606 GN=ATP5F1E PE=1 SV=2
+EKKVKVIKVNSGSTKEANAKFETKLADRVAKACIQSYRIYSLGAQRWYAVM
+>sp|Q9BS18|APC13_HUMAN Anaphase-promoting complex subunit 13 OS=Homo sapiens OX=9606 GN=ANAPC13 PE=1 SV=1
+MDSEVQRDGRILDLIDDAWREDKLPYEDVAIPLNELPEPEQDNGGTTESVKEQEMKWTDLALQYLHENVPPIGN
+>DECOY_sp|Q9BS18|APC13_HUMAN Anaphase-promoting complex subunit 13 OS=Homo sapiens OX=9606 GN=ANAPC13 PE=1 SV=1
+NGIPPVNEHLYQLALDTWKMEQEKVSETTGGNDQEPEPLENLPIAVDEYPLKDERWADDILDLIRGDRQVESDM
+>sp|Q8NCL9|APCDL_HUMAN Protein APCDD1-like OS=Homo sapiens OX=9606 GN=APCDD1L PE=2 SV=1
+MPAAMLPYACVLVLLGAHTAPAAGEAGGSCLRWEPHCQQPLPDRVPSTAILPPRLNGPWISTGCEVRPGPEFLTRAYTFYPSRLFRAHQFYYEDPFCGEPAHSLLVKGKVRLRRASWVTRGATEADYHLHKVGIVFHSRRALVDVTGRLNQTRAGRDCARRLPPARAWLPGALYELRSARAQGDCLEALGLTMHELSLVRVQRRLQPQPRASPRLVEELYLGDIHTDPAERRHYRPTGYQRPLQSALHHVQPCPACGLIARSDVHHPPVLPPPLALPLHLGGWWVSSGCEVRPAVLFLTRLFTFHGHSRSWEGYYHHFSDPACRQPTFTVYAAGRYTRGTPSTRVRGGTELVFEVTRAHVTPMDQVTTAMLNFSEPSSCGGAGAWSMGTERDVTATNGCLPLGIRLPHVEYELFKMEQDPLGQSLLFIGQRPTDGSSPDTPEKRPTSYQAPLVLCHGEAPDFSRPPQHRPSLQKHPSTGGLHIAPFPLLPLVLGLAFLHWL
+>DECOY_sp|Q8NCL9|APCDL_HUMAN Protein APCDD1-like OS=Homo sapiens OX=9606 GN=APCDD1L PE=2 SV=1
+LWHLFALGLVLPLLPFPAIHLGGTSPHKQLSPRHQPPRSFDPAEGHCLVLPAQYSTPRKEPTDPSSGDTPRQGIFLLSQGLPDQEMKFLEYEVHPLRIGLPLCGNTATVDRETGMSWAGAGGCSSPESFNLMATTVQDMPTVHARTVEFVLETGGRVRTSPTGRTYRGAAYVTFTPQRCAPDSFHHYYGEWSRSHGHFTFLRTLFLVAPRVECGSSVWWGGLHLPLALPPPLVPPHHVDSRAILGCAPCPQVHHLASQLPRQYGTPRYHRREAPDTHIDGLYLEEVLRPSARPQPQLRRQVRVLSLEHMTLGLAELCDGQARASRLEYLAGPLWARAPPLRRACDRGARTQNLRGTVDVLARRSHFVIGVKHLHYDAETAGRTVWSARRLRVKGKVLLSHAPEGCFPDEYYFQHARFLRSPYFTYARTLFEPGPRVECGTSIWPGNLRPPLIATSPVRDPLPQQCHPEWRLCSGGAEGAAPATHAGLLVLVCAYPLMAAPM
+>sp|P25054|APC_HUMAN Adenomatous polyposis coli protein OS=Homo sapiens OX=9606 GN=APC PE=1 SV=2
+MAAASYDQLLKQVEALKMENSNLRQELEDNSNHLTKLETEASNMKEVLKQLQGSIEDEAMASSGQIDLLERLKELNLDSSNFPGVKLRSKMSLRSYGSREGSVSSRSGECSPVPMGSFPRRGFVNGSRESTGYLEELEKERSLLLADLDKEEKEKDWYYAQLQNLTKRIDSLPLTENFSLQTDMTRRQLEYEARQIRVAMEEQLGTCQDMEKRAQRRIARIQQIEKDILRIRQLLQSQATEAERSSQNKHETGSHDAERQNEGQGVGEINMATSGNGQGSTTRMDHETASVLSSSSTHSAPRRLTSHLGTKVEMVYSLLSMLGTHDKDDMSRTLLAMSSSQDSCISMRQSGCLPLLIQLLHGNDKDSVLLGNSRGSKEARARASAALHNIIHSQPDDKRGRREIRVLHLLEQIRAYCETCWEWQEAHEPGMDQDKNPMPAPVEHQICPAVCVLMKLSFDEEHRHAMNELGGLQAIAELLQVDCEMYGLTNDHYSITLRRYAGMALTNLTFGDVANKATLCSMKGCMRALVAQLKSESEDLQQVIASVLRNLSWRADVNSKKTLREVGSVKALMECALEVKKESTLKSVLSALWNLSAHCTENKADICAVDGALAFLVGTLTYRSQTNTLAIIESGGGILRNVSSLIATNEDHRQILRENNCLQTLLQHLKSHSLTIVSNACGTLWNLSARNPKDQEALWDMGAVSMLKNLIHSKHKMIAMGSAAALRNLMANRPAKYKDANIMSPGSSLPSLHVRKQKALEAELDAQHLSETFDNIDNLSPKASHRSKQRHKQSLYGDYVFDTNRHDDNRSDNFNTGNMTVLSPYLNTTVLPSSSSSRGSLDSSRSEKDRSLERERGIGLGNYHPATENPGTSSKRGLQISTTAAQIAKVMEEVSAIHTSQEDRSSGSTTELHCVTDERNALRRSSAAHTHSNTYNFTKSENSNRTCSMPYAKLEYKRSSNDSLNSVSSSDGYGKRGQMKPSIESYSEDDESKFCSYGQYPADLAHKIHSANHMDDNDGELDTPINYSLKYSDEQLNSGRQSPSQNERWARPKHIIEDEIKQSEQRQSRNQSTTYPVYTESTDDKHLKFQPHFGQQECVSPYRSRGANGSETNRVGSNHGINQNVSQSLCQEDDYEDDKPTNYSERYSEEEQHEEEERPTNYSIKYNEEKRHVDQPIDYSLKYATDIPSSQKQSFSFSKSSSGQSSKTEHMSSSSENTSTPSSNAKRQNQLHPSSAQSRSGQPQKAATCKVSSINQETIQTYCVEDTPICFSRCSSLSSLSSAEDEIGCNQTTQEADSANTLQIAEIKEKIGTRSAEDPVSEVPAVSQHPRTKSSRLQGSSLSSESARHKAVEFSSGAKSPSKSGAQTPKSPPEHYVQETPLMFSRCTSVSSLDSFESRSIASSVQSEPCSGMVSGIISPSDLPDSPGQTMPPSRSKTPPPPPQTAQTKREVPKNKAPTAEKRESGPKQAAVNAAVQRVQVLPDADTLLHFATESTPDGFSCSSSLSALSLDEPFIQKDVELRIMPPVQENDNGNETESEQPKESNENQEKEAEKTIDSEKDLLDDSDDDDIEILEECIISAMPTKSSRKAKKPAQTASKLPPPVARKPSQLPVYKLLPSQNRLQPQKHVSFTPGDDMPRVYCVEGTPINFSTATSLSDLTIESPPNELAAGEGVRGGAQSGEFEKRDTIPTEGRSTDEAQGGKTSSVTIPELDDNKAEEGDILAECINSAMPKGKSHKPFRVKKIMDQVQQASASSSAPNKNQLDGKKKKPTSPVKPIPQNTEYRTRVRKNADSKNNLNAERVFSDNKDSKKQNLKNNSKVFNDKLPNNEDRVRGSFAFDSPHHYTPIEGTPYCFSRNDSLSSLDFDDDDVDLSREKAELRKAKENKESEAKVTSHTELTSNQQSANKTQAIAKQPINRGQPKPILQKQSTFPQSSKDIPDRGAATDEKLQNFAIENTPVCFSHNSSLSSLSDIDQENNNKENEPIKETEPPDSQGEPSKPQASGYAPKSFHVEDTPVCFSRNSSLSSLSIDSEDDLLQECISSAMPKKKKPSRLKGDNEKHSPRNMGGILGEDLTLDLKDIQRPDSEHGLSPDSENFDWKAIQEGANSIVSSLHQAAAAACLSRQASSDSDSILSLKSGISLGSPFHLTPDQEEKPFTSNKGPRILKPGEKSTLETKKIESESKGIKGGKKVYKSLITGKVRSNSEISGQMKQPLQANMPSISRGRTMIHIPGVRNSSSSTSPVSKKGPPLKTPASKSPSEGQTATTSPRGAKPSVKSELSPVARQTSQIGGSSKAPSRSGSRDSTPSRPAQQPLSRPIQSPGRNSISPGRNGISPPNKLSQLPRTSSPSTASTKSSGSGKMSYTSPGRQMSQQNLTKQTGLSKNASSIPRSESASKGLNQMNNGNGANKKVELSRMSSTKSSGSESDRSERPVLVRQSTFIKEAPSPTLRRKLEESASFESLSPSSRPASPTRSQAQTPVLSPSLPDMSLSTHSSVQAGGWRKLPPNLSPTIEYNDGRPAKRHDIARSHSESPSRLPINRSGTWKREHSKHSSSLPRVSTWRRTGSSSSILSASSESSEKAKSEDEKHVNSISGTKQSKENQVSAKGTWRKIKENEFSPTNSTSQTVSSGATNGAESKTLIYQMAPAVSKTEDVWVRIEDCPINNPRSGRSPTGNTPPVIDSVSEKANPNIKDSKDNQAKQNVGNGSVPMRTVGLENRLNSFIQVDAPDQKGTEIKPGQNNPVPVSETNESSIVERTPFSSSSSSKHSSPSGTVAARVTPFNYNPSPRKSSADSTSARPSQIPTPVNNNTKKRDSKTDSTESSGTQSPKRHSGSYLVTSV
+>DECOY_sp|P25054|APC_HUMAN Adenomatous polyposis coli protein OS=Homo sapiens OX=9606 GN=APC PE=1 SV=2
+VSTVLYSGSHRKPSQTGSSETSDTKSDRKKTNNNVPTPIQSPRASTSDASSKRPSPNYNFPTVRAAVTGSPSSHKSSSSSSFPTREVISSENTESVPVPNNQGPKIETGKQDPADVQIFSNLRNELGVTRMPVSGNGVNQKAQNDKSDKINPNAKESVSDIVPPTNGTPSRGSRPNNIPCDEIRVWVDETKSVAPAMQYILTKSEAGNTAGSSVTQSTSNTPSFENEKIKRWTGKASVQNEKSQKTGSISNVHKEDESKAKESSESSASLISSSSGTRRWTSVRPLSSSHKSHERKWTGSRNIPLRSPSESHSRAIDHRKAPRGDNYEITPSLNPPLKRWGGAQVSSHTSLSMDPLSPSLVPTQAQSRTPSAPRSSPSLSEFSASEELKRRLTPSPAEKIFTSQRVLVPRESRDSESGSSKTSSMRSLEVKKNAGNGNNMQNLGKSASESRPISSANKSLGTQKTLNQQSMQRGPSTYSMKGSGSSKTSATSPSSTRPLQSLKNPPSIGNRGPSISNRGPSQIPRSLPQQAPRSPTSDRSGSRSPAKSSGGIQSTQRAVPSLESKVSPKAGRPSTTATQGESPSKSAPTKLPPGKKSVPSTSSSSNRVGPIHIMTRGRSISPMNAQLPQKMQGSIESNSRVKGTILSKYVKKGGKIGKSESEIKKTELTSKEGPKLIRPGKNSTFPKEEQDPTLHFPSGLSIGSKLSLISDSDSSAQRSLCAAAAAQHLSSVISNAGEQIAKWDFNESDPSLGHESDPRQIDKLDLTLDEGLIGGMNRPSHKENDGKLRSPKKKKPMASSICEQLLDDESDISLSSLSSNRSFCVPTDEVHFSKPAYGSAQPKSPEGQSDPPETEKIPENEKNNNEQDIDSLSSLSSNHSFCVPTNEIAFNQLKEDTAAGRDPIDKSSQPFTSQKQLIPKPQGRNIPQKAIAQTKNASQQNSTLETHSTVKAESEKNEKAKRLEAKERSLDVDDDDFDLSSLSDNRSFCYPTGEIPTYHHPSDFAFSGRVRDENNPLKDNFVKSNNKLNQKKSDKNDSFVREANLNNKSDANKRVRTRYETNQPIPKVPSTPKKKKGDLQNKNPASSSASAQQVQDMIKKVRFPKHSKGKPMASNICEALIDGEEAKNDDLEPITVSSTKGGQAEDTSRGETPITDRKEFEGSQAGGRVGEGAALENPPSEITLDSLSTATSFNIPTGEVCYVRPMDDGPTFSVHKQPQLRNQSPLLKYVPLQSPKRAVPPPLKSATQAPKKAKRSSKTPMASIICEELIEIDDDDSDDLLDKESDITKEAEKEQNENSEKPQESETENGNDNEQVPPMIRLEVDKQIFPEDLSLASLSSSCSFGDPTSETAFHLLTDADPLVQVRQVAANVAAQKPGSERKEATPAKNKPVERKTQATQPPPPPTKSRSPPMTQGPSDPLDSPSIIGSVMGSCPESQVSSAISRSEFSDLSSVSTCRSFMLPTEQVYHEPPSKPTQAGSKSPSKAGSSFEVAKHRASESSLSSGQLRSSKTRPHQSVAPVESVPDEASRTGIKEKIEAIQLTNASDAEQTTQNCGIEDEASSLSSLSSCRSFCIPTDEVCYTQITEQNISSVKCTAAKQPQGSRSQASSPHLQNQRKANSSPTSTNESSSSMHETKSSQGSSSKSFSFSQKQSSPIDTAYKLSYDIPQDVHRKEENYKISYNTPREEEEHQEEESYRESYNTPKDDEYDDEQCLSQSVNQNIGHNSGVRNTESGNAGRSRYPSVCEQQGFHPQFKLHKDDTSETYVPYTTSQNRSQRQESQKIEDEIIHKPRAWRENQSPSQRGSNLQEDSYKLSYNIPTDLEGDNDDMHNASHIKHALDAPYQGYSCFKSEDDESYSEISPKMQGRKGYGDSSSVSNLSDNSSRKYELKAYPMSCTRNSNESKTFNYTNSHTHAASSRRLANREDTVCHLETTSGSSRDEQSTHIASVEEMVKAIQAATTSIQLGRKSSTGPNETAPHYNGLGIGRERELSRDKESRSSDLSGRSSSSSPLVTTNLYPSLVTMNGTNFNDSRNDDHRNTDFVYDGYLSQKHRQKSRHSAKPSLNDINDFTESLHQADLEAELAKQKRVHLSPLSSGPSMINADKYKAPRNAMLNRLAAASGMAIMKHKSHILNKLMSVAGMDWLAEQDKPNRASLNWLTGCANSVITLSHSKLHQLLTQLCNNERLIQRHDENTAILSSVNRLIGGGSEIIALTNTQSRYTLTGVLFALAGDVACIDAKNETCHASLNWLASLVSKLTSEKKVELACEMLAKVSGVERLTKKSNVDARWSLNRLVSAIVQQLDESESKLQAVLARMCGKMSCLTAKNAVDGFTLNTLAMGAYRRLTISYHDNTLGYMECDVQLLEAIAQLGGLENMAHRHEEDFSLKMLVCVAPCIQHEVPAPMPNKDQDMGPEHAEQWEWCTECYARIQELLHLVRIERRGRKDDPQSHIINHLAASARARAEKSGRSNGLLVSDKDNGHLLQILLPLCGSQRMSICSDQSSSMALLTRSMDDKDHTGLMSLLSYVMEVKTGLHSTLRRPASHTSSSSLVSATEHDMRTTSGQGNGSTAMNIEGVGQGENQREADHSGTEHKNQSSREAETAQSQLLQRIRLIDKEIQQIRAIRRQARKEMDQCTGLQEEMAVRIQRAEYELQRRTMDTQLSFNETLPLSDIRKTLNQLQAYYWDKEKEEKDLDALLLSREKELEELYGTSERSGNVFGRRPFSGMPVPSCEGSRSSVSGERSGYSRLSMKSRLKVGPFNSSDLNLEKLRELLDIQGSSAMAEDEISGQLQKLVEKMNSAETELKTLHNSNDELEQRLNSNEMKLAEVQKLLQDYSAAAM
+>sp|P27695|APEX1_HUMAN DNA-(apurinic or apyrimidinic site) lyase OS=Homo sapiens OX=9606 GN=APEX1 PE=1 SV=2
+MPKRGKKGAVAEDGDELRTEPEAKKSKTAAKKNDKEAAGEGPALYEDPPDQKTSPSGKPATLKICSWNVDGLRAWIKKKGLDWVKEEAPDILCLQETKCSENKLPAELQELPGLSHQYWSAPSDKEGYSGVGLLSRQCPLKVSYGIGDEEHDQEGRVIVAEFDSFVLVTAYVPNAGRGLVRLEYRQRWDEAFRKFLKGLASRKPLVLCGDLNVAHEEIDLRNPKGNKKNAGFTPQERQGFGELLQAVPLADSFRHLYPNTPYAYTFWTYMMNARSKNVGWRLDYFLLSHSLLPALCDSKIRSKALGSDHCPITLYLAL
+>DECOY_sp|P27695|APEX1_HUMAN DNA-(apurinic or apyrimidinic site) lyase OS=Homo sapiens OX=9606 GN=APEX1 PE=1 SV=2
+LALYLTIPCHDSGLAKSRIKSDCLAPLLSHSLLFYDLRWGVNKSRANMMYTWFTYAYPTNPYLHRFSDALPVAQLLEGFGQREQPTFGANKKNGKPNRLDIEEHAVNLDGCLVLPKRSALGKLFKRFAEDWRQRYELRVLGRGANPVYATVLVFSDFEAVIVRGEQDHEEDGIGYSVKLPCQRSLLGVGSYGEKDSPASWYQHSLGPLEQLEAPLKNESCKTEQLCLIDPAEEKVWDLGKKKIWARLGDVNWSCIKLTAPKGSPSTKQDPPDEYLAPGEGAAEKDNKKAATKSKKAEPETRLEDGDEAVAGKKGRKPM
+>sp|Q6Q788|APOA5_HUMAN Apolipoprotein A-V OS=Homo sapiens OX=9606 GN=APOA5 PE=1 SV=1
+MASMAAVLTWALALLSAFSATQARKGFWDYFSQTSGDKGRVEQIHQQKMAREPATLKDSLEQDLNNMNKFLEKLRPLSGSEAPRLPQDPVGMRRQLQEELEEVKARLQPYMAEAHELVGWNLEGLRQQLKPYTMDLMEQVALRVQELQEQLRVVGEDTKAQLLGGVDEAWALLQGLQSRVVHHTGRFKELFHPYAESLVSGIGRHVQELHRSVAPHAPASPARLSRCVQVLSRKLTLKAKALHARIQQNLDQLREELSRAFAGTGTEEGAGPDPQMLSEEVRQRLQAFRQDTYLQIAAFTRAIDQETEEVQQQLAPPPPGHSAFAPEFQQTDSGKVLSKLQARLDDLWEDITHSLHDQGHSHLGDP
+>DECOY_sp|Q6Q788|APOA5_HUMAN Apolipoprotein A-V OS=Homo sapiens OX=9606 GN=APOA5 PE=1 SV=1
+PDGLHSHGQDHLSHTIDEWLDDLRAQLKSLVKGSDTQQFEPAFASHGPPPPALQQQVEETEQDIARTFAAIQLYTDQRFAQLRQRVEESLMQPDPGAGEETGTGAFARSLEERLQDLNQQIRAHLAKAKLTLKRSLVQVCRSLRAPSAPAHPAVSRHLEQVHRGIGSVLSEAYPHFLEKFRGTHHVVRSQLGQLLAWAEDVGGLLQAKTDEGVVRLQEQLEQVRLAVQEMLDMTYPKLQQRLGELNWGVLEHAEAMYPQLRAKVEELEEQLQRRMGVPDQPLRPAESGSLPRLKELFKNMNNLDQELSDKLTAPERAMKQQHIQEVRGKDGSTQSFYDWFGKRAQTASFASLLALAWTLVAAMSAM
+>sp|P08519|APOA_HUMAN Apolipoprotein(a) OS=Homo sapiens OX=9606 GN=LPA PE=1 SV=1
+MEHKEVVLLLLLFLKSAAPEQSHVVQDCYHGDGQSYRGTYSTTVTGRTCQAWSSMTPHQHNRTTENYPNAGLIMNYCRNPDAVAAPYCYTRDPGVRWEYCNLTQCSDAEGTAVAPPTVTPVPSLEAPSEQAPTEQRPGVQECYHGNGQSYRGTYSTTVTGRTCQAWSSMTPHSHSRTPEYYPNAGLIMNYCRNPDAVAAPYCYTRDPGVRWEYCNLTQCSDAEGTAVAPPTVTPVPSLEAPSEQAPTEQRPGVQECYHGNGQSYRGTYSTTVTGRTCQAWSSMTPHSHSRTPEYYPNAGLIMNYCRNPDAVAAPYCYTRDPGVRWEYCNLTQCSDAEGTAVAPPTVTPVPSLEAPSEQAPTEQRPGVQECYHGNGQSYRGTYSTTVTGRTCQAWSSMTPHSHSRTPEYYPNAGLIMNYCRNPDAVAAPYCYTRDPGVRWEYCNLTQCSDAEGTAVAPPTVTPVPSLEAPSEQAPTEQRPGVQECYHGNGQSYRGTYSTTVTGRTCQAWSSMTPHSHSRTPEYYPNAGLIMNYCRNPDAVAAPYCYTRDPGVRWEYCNLTQCSDAEGTAVAPPTVTPVPSLEAPSEQAPTEQRPGVQECYHGNGQSYRGTYSTTVTGRTCQAWSSMTPHSHSRTPEYYPNAGLIMNYCRNPDAVAAPYCYTRDPGVRWEYCNLTQCSDAEGTAVAPPTVTPVPSLEAPSEQAPTEQRPGVQECYHGNGQSYRGTYSTTVTGRTCQAWSSMTPHSHSRTPEYYPNAGLIMNYCRNPDAVAAPYCYTRDPGVRWEYCNLTQCSDAEGTAVAPPTVTPVPSLEAPSEQAPTEQRPGVQECYHGNGQSYRGTYSTTVTGRTCQAWSSMTPHSHSRTPEYYPNAGLIMNYCRNPDAVAAPYCYTRDPGVRWEYCNLTQCSDAEGTAVAPPTVTPVPSLEAPSEQAPTEQRPGVQECYHGNGQSYRGTYSTTVTGRTCQAWSSMTPHSHSRTPEYYPNAGLIMNYCRNPDAVAAPYCYTRDPGVRWEYCNLTQCSDAEGTAVAPPTVTPVPSLEAPSEQAPTEQRPGVQECYHGNGQSYRGTYSTTVTGRTCQAWSSMTPHSHSRTPEYYPNAGLIMNYCRNPDAVAAPYCYTRDPGVRWEYCNLTQCSDAEGTAVAPPTVTPVPSLEAPSEQAPTEQRPGVQECYHGNGQSYRGTYSTTVTGRTCQAWSSMTPHSHSRTPEYYPNAGLIMNYCRNPDAVAAPYCYTRDPGVRWEYCNLTQCSDAEGTAVAPPTVTPVPSLEAPSEQAPTEQRPGVQECYHGNGQSYRGTYSTTVTGRTCQAWSSMTPHSHSRTPEYYPNAGLIMNYCRNPDAVAAPYCYTRDPGVRWEYCNLTQCSDAEGTAVAPPTVTPVPSLEAPSEQAPTEQRPGVQECYHGNGQSYRGTYSTTVTGRTCQAWSSMTPHSHSRTPEYYPNAGLIMNYCRNPDAVAAPYCYTRDPGVRWEYCNLTQCSDAEGTAVAPPTVTPVPSLEAPSEQAPTEQRPGVQECYHGNGQSYRGTYSTTVTGRTCQAWSSMTPHSHSRTPEYYPNAGLIMNYCRNPDAVAAPYCYTRDPGVRWEYCNLTQCSDAEGTAVAPPTVTPVPSLEAPSEQAPTEQRPGVQECYHGNGQSYRGTYSTTVTGRTCQAWSSMTPHSHSRTPEYYPNAGLIMNYCRNPDAVAAPYCYTRDPGVRWEYCNLTQCSDAEGTAVAPPTVTPVPSLEAPSEQAPTEQRPGVQECYHGNGQSYRGTYSTTVTGRTCQAWSSMTPHSHSRTPEYYPNAGLIMNYCRNPDAVAAPYCYTRDPGVRWEYCNLTQCSDAEGTAVAPPTVTPVPSLEAPSEQAPTEQRPGVQECYHGNGQSYRGTYSTTVTGRTCQAWSSMTPHSHSRTPEYYPNAGLIMNYCRNPDAVAAPYCYTRDPGVRWEYCNLTQCSDAEGTAVAPPTVTPVPSLEAPSEQAPTEQRPGVQECYHGNGQSYRGTYSTTVTGRTCQAWSSMTPHSHSRTPEYYPNAGLIMNYCRNPDAVAAPYCYTRDPGVRWEYCNLTQCSDAEGTAVAPPTVTPVPSLEAPSEQAPTEQRPGVQECYHGNGQSYRGTYSTTVTGRTCQAWSSMTPHSHSRTPEYYPNAGLIMNYCRNPDAVAAPYCYTRDPGVRWEYCNLTQCSDAEGTAVAPPTVTPVPSLEAPSEQAPTEQRPGVQECYHGNGQSYRGTYSTTVTGRTCQAWSSMTPHSHSRTPEYYPNAGLIMNYCRNPDAVAAPYCYTRDPGVRWEYCNLTQCSDAEGTAVAPPTVTPVPSLEAPSEQAPTEQRPGVQECYHGNGQSYRGTYSTTVTGRTCQAWSSMTPHSHSRTPEYYPNAGLIMNYCRNPDAVAAPYCYTRDPGVRWEYCNLTQCSDAEGTAVAPPTVTPVPSLEAPSEQAPTEQRPGVQECYHGNGQSYRGTYSTTVTGRTCQAWSSMTPHSHSRTPEYYPNAGLIMNYCRNPDAVAAPYCYTRDPGVRWEYCNLTQCSDAEGTAVAPPTVTPVPSLEAPSEQAPTEQRPGVQECYHGNGQSYRGTYSTTVTGRTCQAWSSMTPHSHSRTPEYYPNAGLIMNYCRNPDAVAAPYCYTRDPGVRWEYCNLTQCSDAEGTAVAPPTVTPVPSLEAPSEQAPTEQRPGVQECYHGNGQSYRGTYSTTVTGRTCQAWSSMTPHSHSRTPEYYPNAGLIMNYCRNPDAVAAPYCYTRDPGVRWEYCNLTQCSDAEGTAVAPPTVTPVPSLEAPSEQAPTEQRPGVQECYHGNGQSYRGTYSTTVTGRTCQAWSSMTPHSHSRTPEYYPNAGLIMNYCRNPDAVAAPYCYTRDPGVRWEYCNLTQCSDAEGTAVAPPTVTPVPSLEAPSEQAPTEQRPGVQECYHGNGQSYRGTYSTTVTGRTCQAWSSMTPHSHSRTPEYYPNAGLIMNYCRNPDAVAAPYCYTRDPGVRWEYCNLTQCSDAEGTAVAPPTVTPVPSLEAPSEQAPTEQRPGVQECYHGNGQSYRGTYSTTVTGRTCQAWSSMTPHSHSRTPEYYPNAGLIMNYCRNPDAVAAPYCYTRDPGVRWEYCNLTQCSDAEGTAVAPPTVTPVPSLEAPSEQAPTEQRPGVQECYHGNGQSYRGTYSTTVTGRTCQAWSSMTPHSHSRTPEYYPNAGLIMNYCRNPDAVAAPYCYTRDPGVRWEYCNLTQCSDAEGTAVAPPTVTPVPSLEAPSEQAPTEQRPGVQECYHGNGQSYRGTYSTTVTGRTCQAWSSMTPHSHSRTPEYYPNAGLIMNYCRNPDAVAAPYCYTRDPGVRWEYCNLTQCSDAEGTAVAPPTVTPVPSLEAPSEQAPTEQRPGVQECYHGNGQSYRGTYSTTVTGRTCQAWSSMTPHSHSRTPEYYPNAGLIMNYCRNPDPVAAPYCYTRDPSVRWEYCNLTQCSDAEGTAVAPPTITPIPSLEAPSEQAPTEQRPGVQECYHGNGQSYQGTYFITVTGRTCQAWSSMTPHSHSRTPAYYPNAGLIKNYCRNPDPVAAPWCYTTDPSVRWEYCNLTRCSDAEWTAFVPPNVILAPSLEAFFEQALTEETPGVQDCYYHYGQSYRGTYSTTVTGRTCQAWSSMTPHQHSRTPENYPNAGLTRNYCRNPDAEIRPWCYTMDPSVRWEYCNLTQCLVTESSVLATLTVVPDPSTEASSEEAPTEQSPGVQDCYHGDGQSYRGSFSTTVTGRTCQSWSSMTPHWHQRTTEYYPNGGLTRNYCRNPDAEISPWCYTMDPNVRWEYCNLTQCPVTESSVLATSTAVSEQAPTEQSPTVQDCYHGDGQSYRGSFSTTVTGRTCQSWSSMTPHWHQRTTEYYPNGGLTRNYCRNPDAEIRPWCYTMDPSVRWEYCNLTQCPVMESTLLTTPTVVPVPSTELPSEEAPTENSTGVQDCYRGDGQSYRGTLSTTITGRTCQSWSSMTPHWHRRIPLYYPNAGLTRNYCRNPDAEIRPWCYTMDPSVRWEYCNLTRCPVTESSVLTTPTVAPVPSTEAPSEQAPPEKSPVVQDCYHGDGRSYRGISSTTVTGRTCQSWSSMIPHWHQRTPENYPNAGLTENYCRNPDSGKQPWCYTTDPCVRWEYCNLTQCSETESGVLETPTVVPVPSMEAHSEAAPTEQTPVVRQCYHGNGQSYRGTFSTTVTGRTCQSWSSMTPHRHQRTPENYPNDGLTMNYCRNPDADTGPWCFTMDPSIRWEYCNLTRCSDTEGTVVAPPTVIQVPSLGPPSEQDCMFGNGKGYRGKKATTVTGTPCQEWAAQEPHRHSTFIPGTNKWAGLEKNYCRNPDGDINGPWCYTMNPRKLFDYCDIPLCASSSFDCGKPQVEPKKCPGSIVGGCVAHPHSWPWQVSLRTRFGKHFCGGTLISPEWVLTAAHCLKKSSRPSSYKVILGAHQEVNLESHVQEIEVSRLFLEPTQADIALLKLSRPAVITDKVMPACLPSPDYMVTARTECYITGWGETQGTFGTGLLKEAQLLVIENEVCNHYKYICAEHLARGTDSCQGDSGGPLVCFEKDKYILQGVTSWGLGCARPNKPGVYARVSRFVTWIEGMMRNN
+>DECOY_sp|P08519|APOA_HUMAN Apolipoprotein(a) OS=Homo sapiens OX=9606 GN=LPA PE=1 SV=1
+NNRMMGEIWTVFRSVRAYVGPKNPRACGLGWSTVGQLIYKDKEFCVLPGGSDGQCSDTGRALHEACIYKYHNCVENEIVLLQAEKLLGTGFTGQTEGWGTIYCETRATVMYDPSPLCAPMVKDTIVAPRSLKLLAIDAQTPELFLRSVEIEQVHSELNVEQHAGLIVKYSSPRSSKKLCHAATLVWEPSILTGGCFHKGFRTRLSVQWPWSHPHAVCGGVISGPCKKPEVQPKGCDFSSSACLPIDCYDFLKRPNMTYCWPGNIDGDPNRCYNKELGAWKNTGPIFTSHRHPEQAAWEQCPTGTVTTAKKGRYGKGNGFMCDQESPPGLSPVQIVTPPAVVTGETDSCRTLNCYEWRISPDMTFCWPGTDADPNRCYNMTLGDNPYNEPTRQHRHPTMSSWSQCTRGTVTTSFTGRYSQGNGHYCQRVVPTQETPAAESHAEMSPVPVVTPTELVGSETESCQTLNCYEWRVCPDTTYCWPQKGSDPNRCYNETLGANPYNEPTRQHWHPIMSSWSQCTRGTVTTSSIGRYSRGDGHYCDQVVPSKEPPAQESPAETSPVPAVTPTTLVSSETVPCRTLNCYEWRVSPDMTYCWPRIEADPNRCYNRTLGANPYYLPIRRHWHPTMSSWSQCTRGTITTSLTGRYSQGDGRYCDQVGTSNETPAEESPLETSPVPVVTPTTLLTSEMVPCQTLNCYEWRVSPDMTYCWPRIEADPNRCYNRTLGGNPYYETTRQHWHPTMSSWSQCTRGTVTTSFSGRYSQGDGHYCDQVTPSQETPAQESVATSTALVSSETVPCQTLNCYEWRVNPDMTYCWPSIEADPNRCYNRTLGGNPYYETTRQHWHPTMSSWSQCTRGTVTTSFSGRYSQGDGHYCDQVGPSQETPAEESSAETSPDPVVTLTALVSSETVLCQTLNCYEWRVSPDMTYCWPRIEADPNRCYNRTLGANPYNEPTRSHQHPTMSSWAQCTRGTVTTSYTGRYSQGYHYYCDQVGPTEETLAQEFFAELSPALIVNPPVFATWEADSCRTLNCYEWRVSPDTTYCWPAAVPDPNRCYNKILGANPYYAPTRSHSHPTMSSWAQCTRGTVTIFYTGQYSQGNGHYCEQVGPRQETPAQESPAELSPIPTITPPAVATGEADSCQTLNCYEWRVSPDRTYCYPAAVPDPNRCYNMILGANPYYEPTRSHSHPTMSSWAQCTRGTVTTSYTGRYSQGNGHYCEQVGPRQETPAQESPAELSPVPTVTPPAVATGEADSCQTLNCYEWRVGPDRTYCYPAAVADPNRCYNMILGANPYYEPTRSHSHPTMSSWAQCTRGTVTTSYTGRYSQGNGHYCEQVGPRQETPAQESPAELSPVPTVTPPAVATGEADSCQTLNCYEWRVGPDRTYCYPAAVADPNRCYNMILGANPYYEPTRSHSHPTMSSWAQCTRGTVTTSYTGRYSQGNGHYCEQVGPRQETPAQESPAELSPVPTVTPPAVATGEADSCQTLNCYEWRVGPDRTYCYPAAVADPNRCYNMILGANPYYEPTRSHSHPTMSSWAQCTRGTVTTSYTGRYSQGNGHYCEQVGPRQETPAQESPAELSPVPTVTPPAVATGEADSCQTLNCYEWRVGPDRTYCYPAAVADPNRCYNMILGANPYYEPTRSHSHPTMSSWAQCTRGTVTTSYTGRYSQGNGHYCEQVGPRQETPAQESPAELSPVPTVTPPAVATGEADSCQTLNCYEWRVGPDRTYCYPAAVADPNRCYNMILGANPYYEPTRSHSHPTMSSWAQCTRGTVTTSYTGRYSQGNGHYCEQVGPRQETPAQESPAELSPVPTVTPPAVATGEADSCQTLNCYEWRVGPDRTYCYPAAVADPNRCYNMILGANPYYEPTRSHSHPTMSSWAQCTRGTVTTSYTGRYSQGNGHYCEQVGPRQETPAQESPAELSPVPTVTPPAVATGEADSCQTLNCYEWRVGPDRTYCYPAAVADPNRCYNMILGANPYYEPTRSHSHPTMSSWAQCTRGTVTTSYTGRYSQGNGHYCEQVGPRQETPAQESPAELSPVPTVTPPAVATGEADSCQTLNCYEWRVGPDRTYCYPAAVADPNRCYNMILGANPYYEPTRSHSHPTMSSWAQCTRGTVTTSYTGRYSQGNGHYCEQVGPRQETPAQESPAELSPVPTVTPPAVATGEADSCQTLNCYEWRVGPDRTYCYPAAVADPNRCYNMILGANPYYEPTRSHSHPTMSSWAQCTRGTVTTSYTGRYSQGNGHYCEQVGPRQETPAQESPAELSPVPTVTPPAVATGEADSCQTLNCYEWRVGPDRTYCYPAAVADPNRCYNMILGANPYYEPTRSHSHPTMSSWAQCTRGTVTTSYTGRYSQGNGHYCEQVGPRQETPAQESPAELSPVPTVTPPAVATGEADSCQTLNCYEWRVGPDRTYCYPAAVADPNRCYNMILGANPYYEPTRSHSHPTMSSWAQCTRGTVTTSYTGRYSQGNGHYCEQVGPRQETPAQESPAELSPVPTVTPPAVATGEADSCQTLNCYEWRVGPDRTYCYPAAVADPNRCYNMILGANPYYEPTRSHSHPTMSSWAQCTRGTVTTSYTGRYSQGNGHYCEQVGPRQETPAQESPAELSPVPTVTPPAVATGEADSCQTLNCYEWRVGPDRTYCYPAAVADPNRCYNMILGANPYYEPTRSHSHPTMSSWAQCTRGTVTTSYTGRYSQGNGHYCEQVGPRQETPAQESPAELSPVPTVTPPAVATGEADSCQTLNCYEWRVGPDRTYCYPAAVADPNRCYNMILGANPYYEPTRSHSHPTMSSWAQCTRGTVTTSYTGRYSQGNGHYCEQVGPRQETPAQESPAELSPVPTVTPPAVATGEADSCQTLNCYEWRVGPDRTYCYPAAVADPNRCYNMILGANPYYEPTRSHSHPTMSSWAQCTRGTVTTSYTGRYSQGNGHYCEQVGPRQETPAQESPAELSPVPTVTPPAVATGEADSCQTLNCYEWRVGPDRTYCYPAAVADPNRCYNMILGANPYYEPTRSHSHPTMSSWAQCTRGTVTTSYTGRYSQGNGHYCEQVGPRQETPAQESPAELSPVPTVTPPAVATGEADSCQTLNCYEWRVGPDRTYCYPAAVADPNRCYNMILGANPYYEPTRSHSHPTMSSWAQCTRGTVTTSYTGRYSQGNGHYCEQVGPRQETPAQESPAELSPVPTVTPPAVATGEADSCQTLNCYEWRVGPDRTYCYPAAVADPNRCYNMILGANPYYEPTRSHSHPTMSSWAQCTRGTVTTSYTGRYSQGNGHYCEQVGPRQETPAQESPAELSPVPTVTPPAVATGEADSCQTLNCYEWRVGPDRTYCYPAAVADPNRCYNMILGANPYYEPTRSHSHPTMSSWAQCTRGTVTTSYTGRYSQGNGHYCEQVGPRQETPAQESPAELSPVPTVTPPAVATGEADSCQTLNCYEWRVGPDRTYCYPAAVADPNRCYNMILGANPYYEPTRSHSHPTMSSWAQCTRGTVTTSYTGRYSQGNGHYCEQVGPRQETPAQESPAELSPVPTVTPPAVATGEADSCQTLNCYEWRVGPDRTYCYPAAVADPNRCYNMILGANPYYEPTRSHSHPTMSSWAQCTRGTVTTSYTGRYSQGNGHYCEQVGPRQETPAQESPAELSPVPTVTPPAVATGEADSCQTLNCYEWRVGPDRTYCYPAAVADPNRCYNMILGANPYYEPTRSHSHPTMSSWAQCTRGTVTTSYTGRYSQGNGHYCEQVGPRQETPAQESPAELSPVPTVTPPAVATGEADSCQTLNCYEWRVGPDRTYCYPAAVADPNRCYNMILGANPYYEPTRSHSHPTMSSWAQCTRGTVTTSYTGRYSQGNGHYCEQVGPRQETPAQESPAELSPVPTVTPPAVATGEADSCQTLNCYEWRVGPDRTYCYPAAVADPNRCYNMILGANPYYEPTRSHSHPTMSSWAQCTRGTVTTSYTGRYSQGNGHYCEQVGPRQETPAQESPAELSPVPTVTPPAVATGEADSCQTLNCYEWRVGPDRTYCYPAAVADPNRCYNMILGANPYYEPTRSHSHPTMSSWAQCTRGTVTTSYTGRYSQGNGHYCEQVGPRQETPAQESPAELSPVPTVTPPAVATGEADSCQTLNCYEWRVGPDRTYCYPAAVADPNRCYNMILGANPYYEPTRSHSHPTMSSWAQCTRGTVTTSYTGRYSQGNGHYCEQVGPRQETPAQESPAELSPVPTVTPPAVATGEADSCQTLNCYEWRVGPDRTYCYPAAVADPNRCYNMILGANPYYEPTRSHSHPTMSSWAQCTRGTVTTSYTGRYSQGNGHYCEQVGPRQETPAQESPAELSPVPTVTPPAVATGEADSCQTLNCYEWRVGPDRTYCYPAAVADPNRCYNMILGANPYYEPTRSHSHPTMSSWAQCTRGTVTTSYTGRYSQGNGHYCEQVGPRQETPAQESPAELSPVPTVTPPAVATGEADSCQTLNCYEWRVGPDRTYCYPAAVADPNRCYNMILGANPYNETTRNHQHPTMSSWAQCTRGTVTTSYTGRYSQGDGHYCDQVVHSQEPAASKLFLLLLLVVEKHEM
+>sp|Q0VD83|APOBR_HUMAN Apolipoprotein B receptor OS=Homo sapiens OX=9606 GN=APOBR PE=1 SV=2
+MDFLRLYLPGLHQALRGALDSLGTFVSYLLGDAVPTVEREAQAAEELGVVAVGKTGKIVEEEAQEDLEGLRGSQNEGAGRLRGPGDDRRHEVGSSAVEQTWGWGDGSSHGSQAERQDSGAGETAKAARCQEPSAHLEARKKSKAGSGACQDRSGQAQERQESHEQEVNREERLRSWEQEEEEEEVRAREPGMARGAESEWTWHGETEGKAGAVGPKAAGDNREMEQGVREADAGETEEPGAEGAGKGEEVVVVEKACESTRAWGTWGPGAEPEDWGILGREEARTTPGREEARAILDGEEARTISGGEEAETASGGEEAETASGGEEAGTASGGEEAGIASGGEAGTASGGEEAGTASGGDEAWTTSGKEEADLLGVRQTEYGAVPGERLLEATGKVWVLEEEGDEEREAEVSPFPKQPQVLGTERTEEAAESQTAGREAVGGQEAGESFEGQVDLRGKEAEMRQDLGIRADRARMEELVQAEEAQEERGSSRDPVAELPSDGEAEGTADLEATPEARPEEELTGEESEAAQTSCGLLGVEWGGLTHSVTKGQGPELMGGAQTPTKQPEEREAGEVELMGVLALSKEEQERSLEAGPRHAGSVKPEASEAFPGAWENRTRKDMERGNTQEDAADGEQREEEETAGGQTLAAEAEGDRESELSEVPEAGGEGLTTQDAGCGTEEGEASVSENQELDGSTGADAGPCPSLGEAYARETEDEEAEADRTSRRGWRLQAVAVGLPDREDAQTGSVAAGIMGGDVVPHISAAGAGEALEGVLGQGWDSKEKEEAAAGEHAGGQEFGLEGSAEEEVTGRGSQVEAFESREGGPWGGRVEAEESAGAEDSCGLDPAGSQTARAEGMGAMVEAGGLLEKWTLLEEEAVGWQEREQREDSEGRCGDYHPEGEAPRLLDAEGLMVTGGRRAEAKETEPESLEHVRGQEEQPTHQAPAEAAPESVGEAETAEAMGSARGGAANSWSEAPLPGSLLDVSVPRSRVHLSRSSSQRRSRPSFRRTPAWEQQEEPPAPNPPEEELSAPEQRPLQLEEPLEPSPLRHDGTPVPARRRPLGHGFGLAHPGMMQELQARLGRPKPQ
+>DECOY_sp|Q0VD83|APOBR_HUMAN Apolipoprotein B receptor OS=Homo sapiens OX=9606 GN=APOBR PE=1 SV=2
+QPKPRGLRAQLEQMMGPHALGFGHGLPRRRAPVPTGDHRLPSPELPEELQLPRQEPASLEEEPPNPAPPEEQQEWAPTRRFSPRSRRQSSSRSLHVRSRPVSVDLLSGPLPAESWSNAAGGRASGMAEATEAEGVSEPAAEAPAQHTPQEEQGRVHELSEPETEKAEARRGGTVMLGEADLLRPAEGEPHYDGCRGESDERQEREQWGVAEEELLTWKELLGGAEVMAGMGEARATQSGAPDLGCSDEAGASEEAEVRGGWPGGERSEFAEVQSGRGTVEEEASGELGFEQGGAHEGAAAEEKEKSDWGQGLVGELAEGAGAASIHPVVDGGMIGAAVSGTQADERDPLGVAVAQLRWGRRSTRDAEAEEDETERAYAEGLSPCPGADAGTSGDLEQNESVSAEGEETGCGADQTTLGEGGAEPVESLESERDGEAEAALTQGGATEEEERQEGDAADEQTNGREMDKRTRNEWAGPFAESAEPKVSGAHRPGAELSREQEEKSLALVGMLEVEGAEREEPQKTPTQAGGMLEPGQGKTVSHTLGGWEVGLLGCSTQAAESEEGTLEEEPRAEPTAELDATGEAEGDSPLEAVPDRSSGREEQAEEAQVLEEMRARDARIGLDQRMEAEKGRLDVQGEFSEGAEQGGVAERGATQSEAAEETRETGLVQPQKPFPSVEAEREEDGEEELVWVKGTAELLREGPVAGYETQRVGLLDAEEKGSTTWAEDGGSATGAEEGGSATGAEGGSAIGAEEGGSATGAEEGGSATEAEEGGSATEAEEGGSITRAEEGDLIARAEERGPTTRAEERGLIGWDEPEAGPGWTGWARTSECAKEVVVVEEGKGAGEAGPEETEGADAERVGQEMERNDGAAKPGVAGAKGETEGHWTWESEAGRAMGPERARVEEEEEEQEWSRLREERNVEQEHSEQREQAQGSRDQCAGSGAKSKKRAELHASPEQCRAAKATEGAGSDQREAQSGHSSGDGWGWTQEVASSGVEHRRDDGPGRLRGAGENQSGRLGELDEQAEEEVIKGTKGVAVVGLEEAAQAEREVTPVADGLLYSVFTGLSDLAGRLAQHLGPLYLRLFDM
+>sp|P04114|APOB_HUMAN Apolipoprotein B-100 OS=Homo sapiens OX=9606 GN=APOB PE=1 SV=2
+MDPPRPALLALLALPALLLLLLAGARAEEEMLENVSLVCPKDATRFKHLRKYTYNYEAESSSGVPGTADSRSATRINCKVELEVPQLCSFILKTSQCTLKEVYGFNPEGKALLKKTKNSEEFAAAMSRYELKLAIPEGKQVFLYPEKDEPTYILNIKRGIISALLVPPETEEAKQVLFLDTVYGNCSTHFTVKTRKGNVATEISTERDLGQCDRFKPIRTGISPLALIKGMTRPLSTLISSSQSCQYTLDAKRKHVAEAICKEQHLFLPFSYKNKYGMVAQVTQTLKLEDTPKINSRFFGEGTKKMGLAFESTKSTSPPKQAEAVLKTLQELKKLTISEQNIQRANLFNKLVTELRGLSDEAVTSLLPQLIEVSSPITLQALVQCGQPQCSTHILQWLKRVHANPLLIDVVTYLVALIPEPSAQQLREIFNMARDQRSRATLYALSHAVNNYHKTNPTGTQELLDIANYLMEQIQDDCTGDEDYTYLILRVIGNMGQTMEQLTPELKSSILKCVQSTKPSLMIQKAAIQALRKMEPKDKDQEVLLQTFLDDASPGDKRLAAYLMLMRSPSQADINKIVQILPWEQNEQVKNFVASHIANILNSEELDIQDLKKLVKEALKESQLPTVMDFRKFSRNYQLYKSVSLPSLDPASAKIEGNLIFDPNNYLPKESMLKTTLTAFGFASADLIEIGLEGKGFEPTLEALFGKQGFFPDSVNKALYWVNGQVPDGVSKVLVDHFGYTKDDKHEQDMVNGIMLSVEKLIKDLKSKEVPEARAYLRILGEELGFASLHDLQLLGKLLLMGARTLQGIPQMIGEVIRKGSKNDFFLHYIFMENAFELPTGAGLQLQISSSGVIAPGAKAGVKLEVANMQAELVAKPSVSVEFVTNMGIIIPDFARSGVQMNTNFFHESGLEAHVALKAGKLKFIIPSPKRPVKLLSGGNTLHLVSTTKTEVIPPLIENRQSWSVCKQVFPGLNYCTSGAYSNASSTDSASYYPLTGDTRLELELRPTGEIEQYSVSATYELQREDRALVDTLKFVTQAEGAKQTEATMTFKYNRQSMTLSSEVQIPDFDVDLGTILRVNDESTEGKTSYRLTLDIQNKKITEVALMGHLSCDTKEERKIKGVISIPRLQAEARSEILAHWSPAKLLLQMDSSATAYGSTVSKRVAWHYDEEKIEFEWNTGTNVDTKKMTSNFPVDLSDYPKSLHMYANRLLDHRVPQTDMTFRHVGSKLIVAMSSWLQKASGSLPYTQTLQDHLNSLKEFNLQNMGLPDFHIPENLFLKSDGRVKYTLNKNSLKIEIPLPFGGKSSRDLKMLETVRTPALHFKSVGFHLPSREFQVPTFTIPKLYQLQVPLLGVLDLSTNVYSNLYNWSASYSGGNTSTDHFSLRARYHMKADSVVDLLSYNVQGSGETTYDHKNTFTLSYDGSLRHKFLDSNIKFSHVEKLGNNPVSKGLLIFDASSSWGPQMSASVHLDSKKKQHLFVKEVKIDGQFRVSSFYAKGTYGLSCQRDPNTGRLNGESNLRFNSSYLQGTNQITGRYEDGTLSLTSTSDLQSGIIKNTASLKYENYELTLKSDTNGKYKNFATSNKMDMTFSKQNALLRSEYQADYESLRFFSLLSGSLNSHGLELNADILGTDKINSGAHKATLRIGQDGISTSATTNLKCSLLVLENELNAELGLSGASMKLTTNGRFREHNAKFSLDGKAALTELSLGSAYQAMILGVDSKNIFNFKVSQEGLKLSNDMMGSYAEMKFDHTNSLNIAGLSLDFSSKLDNIYSSDKFYKQTVNLQLQPYSLVTTLNSDLKYNALDLTNNGKLRLEPLKLHVAGNLKGAYQNNEIKHIYAISSAALSASYKADTVAKVQGVEFSHRLNTDIAGLASAIDMSTNYNSDSLHFSNVFRSVMAPFTMTIDAHTNGNGKLALWGEHTGQLYSKFLLKAEPLAFTFSHDYKGSTSHHLVSRKSISAALEHKVSALLTPAEQTGTWKLKTQFNNNEYSQDLDAYNTKDKIGVELTGRTLADLTLLDSPIKVPLLLSEPINIIDALEMRDAVEKPQEFTIVAFVKYDKNQDVHSINLPFFETLQEYFERNRQTIIVVLENVQRNLKHINIDQFVRKYRAALGKLPQQANDYLNSFNWERQVSHAKEKLTALTKKYRITENDIQIALDDAKINFNEKLSQLQTYMIQFDQYIKDSYDLHDLKIAIANIIDEIIEKLKSLDEHYHIRVNLVKTIHDLHLFIENIDFNKSGSSTASWIQNVDTKYQIRIQIQEKLQQLKRHIQNIDIQHLAGKLKQHIEAIDVRVLLDQLGTTISFERINDILEHVKHFVINLIGDFEVAEKINAFRAKVHELIERYEVDQQIQVLMDKLVELAHQYKLKETIQKLSNVLQQVKIKDYFEKLVGFIDDAVKKLNELSFKTFIEDVNKFLDMLIKKLKSFDYHQFVDETNDKIREVTQRLNGEIQALELPQKAEALKLFLEETKATVAVYLESLQDTKITLIINWLQEALSSASLAHMKAKFRETLEDTRDRMYQMDIQQELQRYLSLVGQVYSTLVTYISDWWTLAAKNLTDFAEQYSIQDWAKRMKALVEQGFTVPEIKTILGTMPAFEVSLQALQKATFQTPDFIVPLTDLRIPSVQINFKDLKNIKIPSRFSTPEFTILNTFHIPSFTIDFVEMKVKIIRTIDQMLNSELQWPVPDIYLRDLKVEDIPLARITLPDFRLPEIAIPEFIIPTLNLNDFQVPDLHIPEFQLPHISHTIEVPTFGKLYSILKIQSPLFTLDANADIGNGTTSANEAGIAASITAKGESKLEVLNFDFQANAQLSNPKINPLALKESVKFSSKYLRTEHGSEMLFFGNAIEGKSNTVASLHTEKNTLELSNGVIVKINNQLTLDSNTKYFHKLNIPKLDFSSQADLRNEIKTLLKAGHIAWTSSGKGSWKWACPRFSDEGTHESQISFTIEGPLTSFGLSNKINSKHLRVNQNLVYESGSLNFSKLEIQSQVDSQHVGHSVLTAKGMALFGEGKAEFTGRHDAHLNGKVIGTLKNSLFFSAQPFEITASTNNEGNLKVRFPLRLTGKIDFLNNYALFLSPSAQQASWQVSARFNQYKYNQNFSAGNNENIMEAHVGINGEANLDFLNIPLTIPEMRLPYTIITTPPLKDFSLWEKTGLKEFLKTTKQSFDLSVKAQYKKNKHRHSITNPLAVLCEFISQSIKSFDRHFEKNRNNALDFVTKSYNETKIKFDKYKAEKSHDELPRTFQIPGYTVPVVNVEVSPFTIEMSAFGYVFPKAVSMPSFSILGSDVRVPSYTLILPSLELPVLHVPRNLKLSLPDFKELCTISHIFIPAMGNITYDFSFKSSVITLNTNAELFNQSDIVAHLLSSSSSVIDALQYKLEGTTRLTRKRGLKLATALSLSNKFVEGSHNSTVSLTTKNMEVSVATTTKAQIPILRMNFKQELNGNTKSKPTVSSSMEFKYDFNSSMLYSTAKGAVDHKLSLESLTSYFSIESSTKGDVKGSVLSREYSGTIASEANTYLNSKSTRSSVKLQGTSKIDDIWNLEVKENFAGEATLQRIYSLWEHSTKNHLQLEGLFFTNGEHTSKATLELSPWQMSALVQVHASQPSSFHDFPDLGQEVALNANTKNQKIRWKNEVRIHSGSFQSQVELSNDQEKAHLDIAGSLEGHLRFLKNIILPVYDKSLWDFLKLDVTTSIGRRQHLRVSTAFVYTKNPNGYSFSIPVKVLADKFIIPGLKLNDLNSVLVMPTFHVPFTDLQVPSCKLDFREIQIYKKLRTSSFALNLPTLPEVKFPEVDVLTKYSQPEDSLIPFFEITVPESQLTVSQFTLPKSVSDGIAALDLNAVANKIADFELPTIIVPEQTIEIPSIKFSVPAGIVIPSFQALTARFEVDSPVYNATWSASLKNKADYVETVLDSTCSSTVQFLEYELNVLGTHKIEDGTLASKTKGTFAHRDFSAEYEEDGKYEGLQEWEGKAHLNIKSPAFTDLHLRYQKDKKGISTSAASPAVGTVGMDMDEDDDFSKWNFYYSPQSSPDKKLTIFKTELRVRESDEETQIKVNWEEEAASGLLTSLKDNVPKATGVLYDYVNKYHWEHTGLTLREVSSKLRRNLQNNAEWVYQGAIRQIDDIDVRFQKAASGTTGTYQEWKDKAQNLYQELLTQEGQASFQGLKDNVFDGLVRVTQEFHMKVKHLIDSLIDFLNFPRFQFPGKPGIYTREELCTMFIREVGTVLSQVYSKVHNGSEILFSYFQDLVITLPFELRKHKLIDVISMYRELLKDLSKEAQEVFKAIQSLKTTEVLRNLQDLLQFIFQLIEDNIKQLKEMKFTYLINYIQDEINTIFSDYIPYVFKLLKENLCLNLHKFNEFIQNELQEASQELQQIHQYIMALREEYFDPSIVGWTVKYYELEEKIVSLIKNLLVALKDFHSEYIVSASNFTSQLSSQVEQFLHRNIQEYLSILTDPDGKGKEKIAELSATAQEIIKSQAIATKKIISDYHQQFRYKLQDFSDQLSDYYEKFIAESKRLIDLSIQNYHTFLIYITELLKKLQSTTVMNPYMKLAPGELTIIL
+>DECOY_sp|P04114|APOB_HUMAN Apolipoprotein B-100 OS=Homo sapiens OX=9606 GN=APOB PE=1 SV=2
+LIITLEGPALKMYPNMVTTSQLKKLLETIYILFTHYNQISLDILRKSEAIFKEYYDSLQDSFDQLKYRFQQHYDSIIKKTAIAQSKIIEQATASLEAIKEKGKGDPDTLISLYEQINRHLFQEVQSSLQSTFNSASVIYESHFDKLAVLLNKILSVIKEELEYYKVTWGVISPDFYEERLAMIYQHIQQLEQSAEQLENQIFENFKHLNLCLNEKLLKFVYPIYDSFITNIEDQIYNILYTFKMEKLQKINDEILQFIFQLLDQLNRLVETTKLSQIAKFVEQAEKSLDKLLERYMSIVDILKHKRLEFPLTIVLDQFYSFLIESGNHVKSYVQSLVTGVERIFMTCLEERTYIGPKGPFQFRPFNLFDILSDILHKVKMHFEQTVRVLGDFVNDKLGQFSAQGEQTLLEQYLNQAKDKWEQYTGTTGSAAKQFRVDIDDIQRIAGQYVWEANNQLNRRLKSSVERLTLGTHEWHYKNVYDYLVGTAKPVNDKLSTLLGSAAEEEWNVKIQTEEDSERVRLETKFITLKKDPSSQPSYYFNWKSFDDDEDMDMGVTGVAPSAASTSIGKKDKQYRLHLDTFAPSKINLHAKGEWEQLGEYKGDEEYEASFDRHAFTGKTKSALTGDEIKHTGLVNLEYELFQVTSSCTSDLVTEVYDAKNKLSASWTANYVPSDVEFRATLAQFSPIVIGAPVSFKISPIEITQEPVIITPLEFDAIKNAVANLDLAAIGDSVSKPLTFQSVTLQSEPVTIEFFPILSDEPQSYKTLVDVEPFKVEPLTPLNLAFSSTRLKKYIQIERFDLKCSPVQLDTFPVHFTPMVLVSNLDNLKLGPIIFKDALVKVPISFSYGNPNKTYVFATSVRLHQRRGISTTVDLKLFDWLSKDYVPLIINKLFRLHGELSGAIDLHAKEQDNSLEVQSQFSGSHIRVENKWRIKQNKTNANLAVEQGLDPFDHFSSPQSAHVQVLASMQWPSLELTAKSTHEGNTFFLGELQLHNKTSHEWLSYIRQLTAEGAFNEKVELNWIDDIKSTGQLKVSSRTSKSNLYTNAESAITGSYERSLVSGKVDGKTSSEISFYSTLSELSLKHDVAGKATSYLMSSNFDYKFEMSSSVTPKSKTNGNLEQKFNMRLIPIQAKTTTAVSVEMNKTTLSVTSNHSGEVFKNSLSLATALKLGRKRTLRTTGELKYQLADIVSSSSSLLHAVIDSQNFLEANTNLTIVSSKFSFDYTINGMAPIFIHSITCLEKFDPLSLKLNRPVHLVPLELSPLILTYSPVRVDSGLISFSPMSVAKPFVYGFASMEITFPSVEVNVVPVTYGPIQFTRPLEDHSKEAKYKDFKIKTENYSKTVFDLANNRNKEFHRDFSKISQSIFECLVALPNTISHRHKNKKYQAKVSLDFSQKTTKLFEKLGTKEWLSFDKLPPTTIITYPLRMEPITLPINLFDLNAEGNIGVHAEMINENNGASFNQNYKYQNFRASVQWSAQQASPSLFLAYNNLFDIKGTLRLPFRVKLNGENNTSATIEFPQASFFLSNKLTGIVKGNLHADHRGTFEAKGEGFLAMGKATLVSHGVHQSDVQSQIELKSFNLSGSEYVLNQNVRLHKSNIKNSLGFSTLPGEITFSIQSEHTGEDSFRPCAWKWSGKGSSTWAIHGAKLLTKIENRLDAQSSFDLKPINLKHFYKTNSDLTLQNNIKVIVGNSLELTNKETHLSAVTNSKGEIANGFFLMESGHETRLYKSSFKVSEKLALPNIKPNSLQANAQFDFNLVELKSEGKATISAAIGAENASTTGNGIDANADLTFLPSQIKLISYLKGFTPVEITHSIHPLQFEPIHLDPVQFDNLNLTPIIFEPIAIEPLRFDPLTIRALPIDEVKLDRLYIDPVPWQLESNLMQDITRIIKVKMEVFDITFSPIHFTNLITFEPTSFRSPIKINKLDKFNIQVSPIRLDTLPVIFDPTQFTAKQLAQLSVEFAPMTGLITKIEPVTFGQEVLAKMRKAWDQISYQEAFDTLNKAALTWWDSIYTVLTSYVQGVLSLYRQLEQQIDMQYMRDRTDELTERFKAKMHALSASSLAEQLWNIILTIKTDQLSELYVAVTAKTEELFLKLAEAKQPLELAQIEGNLRQTVERIKDNTEDVFQHYDFSKLKKILMDLFKNVDEIFTKFSLENLKKVADDIFGVLKEFYDKIKVQQLVNSLKQITEKLKYQHALEVLKDMLVQIQQDVEYREILEHVKARFANIKEAVEFDGILNIVFHKVHELIDNIREFSITTGLQDLLVRVDIAEIHQKLKGALHQIDINQIHRKLQQLKEQIQIRIQYKTDVNQIWSATSSGSKNFDINEIFLHLDHITKVLNVRIHYHEDLSKLKEIIEDIINAIAIKLDHLDYSDKIYQDFQIMYTQLQSLKENFNIKADDLAIQIDNETIRYKKTLATLKEKAHSVQREWNFSNLYDNAQQPLKGLAARYKRVFQDINIHKLNRQVNELVVIITQRNREFYEQLTEFFPLNISHVDQNKDYKVFAVITFEQPKEVADRMELADIINIPESLLLPVKIPSDLLTLDALTRGTLEVGIKDKTNYADLDQSYENNNFQTKLKWTGTQEAPTLLASVKHELAASISKRSVLHHSTSGKYDHSFTFALPEAKLLFKSYLQGTHEGWLALKGNGNTHADITMTFPAMVSRFVNSFHLSDSNYNTSMDIASALGAIDTNLRHSFEVGQVKAVTDAKYSASLAASSIAYIHKIENNQYAGKLNGAVHLKLPELRLKGNNTLDLANYKLDSNLTTVLSYPQLQLNVTQKYFKDSSYINDLKSSFDLSLGAINLSNTHDFKMEAYSGMMDNSLKLGEQSVKFNFINKSDVGLIMAQYASGLSLETLAAKGDLSFKANHERFRGNTTLKMSAGSLGLEANLENELVLLSCKLNTTASTSIGDQGIRLTAKHAGSNIKDTGLIDANLELGHSNLSGSLLSFFRLSEYDAQYESRLLANQKSFTMDMKNSTAFNKYKGNTDSKLTLEYNEYKLSATNKIIGSQLDSTSTLSLTGDEYRGTIQNTGQLYSSNFRLNSEGNLRGTNPDRQCSLGYTGKAYFSSVRFQGDIKVEKVFLHQKKKSDLHVSASMQPGWSSSADFILLGKSVPNNGLKEVHSFKINSDLFKHRLSGDYSLTFTNKHDYTTEGSGQVNYSLLDVVSDAKMHYRARLSFHDTSTNGGSYSASWNYLNSYVNTSLDLVGLLPVQLQYLKPITFTPVQFERSPLHFGVSKFHLAPTRVTELMKLDRSSKGGFPLPIEIKLSNKNLTYKVRGDSKLFLNEPIHFDPLGMNQLNFEKLSNLHDQLTQTYPLSGSAKQLWSSMAVILKSGVHRFTMDTQPVRHDLLRNAYMHLSKPYDSLDVPFNSTMKKTDVNTGTNWEFEIKEEDYHWAVRKSVTSGYATASSDMQLLLKAPSWHALIESRAEAQLRPISIVGKIKREEKTDCSLHGMLAVETIKKNQIDLTLRYSTKGETSEDNVRLITGLDVDFDPIQVESSLTMSQRNYKFTMTAETQKAGEAQTVFKLTDVLARDERQLEYTASVSYQEIEGTPRLELELRTDGTLPYYSASDTSSANSYAGSTCYNLGPFVQKCVSWSQRNEILPPIVETKTTSVLHLTNGGSLLKVPRKPSPIIFKLKGAKLAVHAELGSEHFFNTNMQVGSRAFDPIIIGMNTVFEVSVSPKAVLEAQMNAVELKVGAKAGPAIVGSSSIQLQLGAGTPLEFANEMFIYHLFFDNKSGKRIVEGIMQPIGQLTRAGMLLLKGLLQLDHLSAFGLEEGLIRLYARAEPVEKSKLDKILKEVSLMIGNVMDQEHKDDKTYGFHDVLVKSVGDPVQGNVWYLAKNVSDPFFGQKGFLAELTPEFGKGELGIEILDASAFGFATLTTKLMSEKPLYNNPDFILNGEIKASAPDLSPLSVSKYLQYNRSFKRFDMVTPLQSEKLAEKVLKKLDQIDLEESNLINAIHSAVFNKVQENQEWPLIQVIKNIDAQSPSRMLMLYAALRKDGPSADDLFTQLLVEQDKDKPEMKRLAQIAAKQIMLSPKTSQVCKLISSKLEPTLQEMTQGMNGIVRLILYTYDEDGTCDDQIQEMLYNAIDLLEQTGTPNTKHYNNVAHSLAYLTARSRQDRAMNFIERLQQASPEPILAVLYTVVDILLPNAHVRKLWQLIHTSCQPQGCQVLAQLTIPSSVEILQPLLSTVAEDSLGRLETVLKNFLNARQINQESITLKKLEQLTKLVAEAQKPPSTSKTSEFALGMKKTGEGFFRSNIKPTDELKLTQTVQAVMGYKNKYSFPLFLHQEKCIAEAVHKRKADLTYQCSQSSSILTSLPRTMGKILALPSIGTRIPKFRDCQGLDRETSIETAVNGKRTKVTFHTSCNGYVTDLFLVQKAEETEPPVLLASIIGRKINLIYTPEDKEPYLFVQKGEPIALKLEYRSMAAAFEESNKTKKLLAKGEPNFGYVEKLTCQSTKLIFSCLQPVELEVKCNIRTASRSDATGPVGSSSEAEYNYTYKRLHKFRTADKPCVLSVNELMEEEARAGALLLLLLAPLALLALLAPRPPDM
+>sp|Q9BQE5|APOL2_HUMAN Apolipoprotein L2 OS=Homo sapiens OX=9606 GN=APOL2 PE=1 SV=1
+MNPESSIFIEDYLKYFQDQVSRENLLQLLTDDEAWNGFVAAAELPRDEADELRKALNKLASHMVMKDKNRHDKDQQHRQWFLKEFPRLKRELEDHIRKLRALAEEVEQVHRGTTIANVVSNSVGTTSGILTLLGLGLAPFTEGISFVLLDTGMGLGAAAAVAGITCSVVELVNKLRARAQARNLDQSGTNVAKVMKEFVGGNTPNVLTLVDNWYQVTQGIGRNIRAIRRARANPQLGAYAPPPHIIGRISAEGGEQVERVVEGPAQAMSRGTMIVGAATGGILLLLDVVSLAYESKHLLEGAKSESAEELKKRAQELEGKLNFLTKIHEMLQPGQDQ
+>DECOY_sp|Q9BQE5|APOL2_HUMAN Apolipoprotein L2 OS=Homo sapiens OX=9606 GN=APOL2 PE=1 SV=1
+QDQGPQLMEHIKTLFNLKGELEQARKKLEEASESKAGELLHKSEYALSVVDLLLLIGGTAAGVIMTGRSMAQAPGEVVREVQEGGEASIRGIIHPPPAYAGLQPNARARRIARINRGIGQTVQYWNDVLTLVNPTNGGVFEKMVKAVNTGSQDLNRAQARARLKNVLEVVSCTIGAVAAAAGLGMGTDLLVFSIGETFPALGLGLLTLIGSTTGVSNSVVNAITTGRHVQEVEEALARLKRIHDELERKLRPFEKLFWQRHQQDKDHRNKDKMVMHSALKNLAKRLEDAEDRPLEAAAVFGNWAEDDTLLQLLNERSVQDQFYKLYDEIFISSEPNM
+>sp|F7VJQ1|APRIO_HUMAN Alternative prion protein OS=Homo sapiens OX=9606 GN=PRNP PE=1 SV=1
+MEHWGQPIPGAGQPWRQPLPTSGRWWLGAASWWWLGAASWWWLGAAPWWWLGTASWWWLGSRRWHPQSVEQAE
+>DECOY_sp|F7VJQ1|APRIO_HUMAN Alternative prion protein OS=Homo sapiens OX=9606 GN=PRNP PE=1 SV=1
+EAQEVSQPHWRRSGLWWWSATGLWWWPAAGLWWWSAAGLWWWSAAGLWWRGSTPLPQRWPQGAGPIPQGWHEM
+>sp|P55087|AQP4_HUMAN Aquaporin-4 OS=Homo sapiens OX=9606 GN=AQP4 PE=1 SV=2
+MSDRPTARRWGKCGPLCTRENIMVAFKGVWTQAFWKAVTAEFLAMLIFVLLSLGSTINWGGTEKPLPVDMVLISLCFGLSIATMVQCFGHISGGHINPAVTVAMVCTRKISIAKSVFYIAAQCLGAIIGAGILYLVTPPSVVGGLGVTMVHGNLTAGHGLLVELIITFQLVFTIFASCDSKRTDVTGSIALAIGFSVAIGHLFAINYTGASMNPARSFGPAVIMGNWENHWIYWVGPIIGAVLAGGLYEYVFCPDVEFKRRFKEAFSKAAQQTKGSYMEVEDNRSQVETDDLILKPGVVHVIDVDRGEEKKGKDQSGEVLSSV
+>DECOY_sp|P55087|AQP4_HUMAN Aquaporin-4 OS=Homo sapiens OX=9606 GN=AQP4 PE=1 SV=2
+VSSLVEGSQDKGKKEEGRDVDIVHVVGPKLILDDTEVQSRNDEVEMYSGKTQQAAKSFAEKFRRKFEVDPCFVYEYLGGALVAGIIPGVWYIWHNEWNGMIVAPGFSRAPNMSAGTYNIAFLHGIAVSFGIALAISGTVDTRKSDCSAFITFVLQFTIILEVLLGHGATLNGHVMTVGLGGVVSPPTVLYLIGAGIIAGLCQAAIYFVSKAISIKRTCVMAVTVAPNIHGGSIHGFCQVMTAISLGFCLSILVMDVPLPKETGGWNITSGLSLLVFILMALFEATVAKWFAQTWVGKFAVMINERTCLPGCKGWRRATPRDSM
+>sp|Q13520|AQP6_HUMAN Aquaporin-6 OS=Homo sapiens OX=9606 GN=AQP6 PE=2 SV=2
+MDAVEPGGRGWASMLACRLWKAISRALFAEFLATGLYVFFGVGSVMRWPTALPSVLQIAITFNLVTAMAVQVTWKASGAHANPAVTLAFLVGSHISLPRAVAYVAAQLVGATVGAALLYGVMPGDIRETLGINVVRNSVSTGQAVAVELLLTLQLVLCVFASTDSRQTSGSPATMIGISVALGHLIGIHFTGCSMNPARSFGPAIIIGKFTVHWVFWVGPLMGALLASLIYNFVLFPDTKTLAQRLAILTGTVEVGTGAGAGAEPLKKESQPGSGAVEMESV
+>DECOY_sp|Q13520|AQP6_HUMAN Aquaporin-6 OS=Homo sapiens OX=9606 GN=AQP6 PE=2 SV=2
+VSEMEVAGSGPQSEKKLPEAGAGAGTGVEVTGTLIALRQALTKTDPFLVFNYILSALLAGMLPGVWFVWHVTFKGIIIAPGFSRAPNMSCGTFHIGILHGLAVSIGIMTAPSGSTQRSDTSAFVCLVLQLTLLLEVAVAQGTSVSNRVVNIGLTERIDGPMVGYLLAAGVTAGVLQAAVYAVARPLSIHSGVLFALTVAPNAHAGSAKWTVQVAMATVLNFTIAIQLVSPLATPWRMVSGVGFFVYLGTALFEAFLARSIAKWLRCALMSAWGRGGPEVADM
+>sp|Q6NSI1|AR26L_HUMAN Putative ankyrin repeat domain-containing protein 26-like protein OS=Homo sapiens OX=9606 GN=ANKRD26P1 PE=5 SV=2
+MRRSSGFGGQKGQGPSCSFTGCWCCRGDDVAESDDSPFAQCGYNIQEKHLGKLHRAASRGEVSKVECILSSGSADLDERDKKKRTALHLACANGHPEVVALLVDRGCQLDVFDNKNRTALLKAVQCQEEECATILLEHGADPDLPDVYGNTTLHYAIYNEDIPMTKKLLLHHANIESANKDELTPFLLAVHEQKQQMEDFLRKQKENLTAVKLESIHQVMSEYKENETPRNPQNSNPEGTSNKMACLGEGAAGAKVDEIPGNPVKRLFNKPSIDDSRPMSANEDFDFDTEEKATEPANGKRQNGMGIIESAPQEHTNNENI
+>DECOY_sp|Q6NSI1|AR26L_HUMAN Putative ankyrin repeat domain-containing protein 26-like protein OS=Homo sapiens OX=9606 GN=ANKRD26P1 PE=5 SV=2
+INENNTHEQPASEIIGMGNQRKGNAPETAKEETDFDFDENASMPRSDDISPKNFLRKVPNGPIEDVKAGAAGEGLCAMKNSTGEPNSNQPNRPTENEKYESMVQHISELKVATLNEKQKRLFDEMQQKQEHVALLFPTLEDKNASEINAHHLLLKKTMPIDENYIAYHLTTNGYVDPLDPDAGHELLITACEEEQCQVAKLLATRNKNDFVDLQCGRDVLLAVVEPHGNACALHLATRKKKDREDLDASGSSLICEVKSVEGRSAARHLKGLHKEQINYGCQAFPSDDSEAVDDGRCCWCGTFSCSPGQGKQGGFGSSRRM
+>sp|Q8WWN8|ARAP3_HUMAN Arf-GAP with Rho-GAP domain, ANK repeat and PH domain-containing protein 3 OS=Homo sapiens OX=9606 GN=ARAP3 PE=1 SV=1
+MAAPQDLDIAVWLATVHLEQYADTFRRHGLATAGAARGLGHEELKQLGISATGHRKRILRLLQTGTEEGSLDPKSDSAMEPSPSPAPQAQPPKPVPKPRTVFGGLSGPATTQRPGLSPALGGPGVSRSPEPSPRPPPLPTSSSEQSSALNTVEMMPNSIYFGLDSRGRAQAAQDKAPDSSQISAPTPALRPTTGTVHIMDPGCLYYGVQPVGTPGAPDRRESRGVCQGRAEHRLSRQDLEAREDAGYASLELPGDSTLLSPTLETEETSDDLISPYASFSFTADRLTPLLSGWLDKLSPQGNYVFQRRFVQFNGRSLMYFGSDKDPFPKGVIPLTAIEMTRSSKDNKFQVITGQRVFVFRTESEAQRDMWCSTLQSCLKEQRLLGHPRPPQPPRPLRTGMLELRGHKAKVFAALSPGELALYKSEQAFSLGIGICFIELQGCSVRETKSRSFDLLTPHRCFSFTAESGGARQSWAAALQEAVTETLSDYEVAEKIWSNRANRQCADCGSSRPDWAAVNLGVVICKQCAGQHRALGSGISKVQSLKLDTSVWSNEIVQLFIVLGNDRANRFWAGTLPPGEGLHPDATPGPRGEFISRKYRLGLFRKPHPQYPDHSQLLQALCAAVARPNLLKNMTQLLCVEAFEGEEPWFPPAPDGSCPGLLPSDPSPGVYNEVVVRATYSGFLYCSPVSNKAGPSPPRRGRDAPPRLWCVLGAALEMFASENSPEPLSLIQPQDIVCLGVSPPPTDPGDRFPFSFELILAGGRIQHFGTDGADSLEAWTSAVGKWFSPLSCHQLLGPGLLRLGRLWLRSPSHTAPAPGLWLSGFGLLRGDHLFLCSAPGPGPPAPEDMVHLRRLQEISVVSAADTPDKKEHLVLVETGRTLYLQGEGRLDFTAWNAAIGGAAGGGGTGLQEQQMSRGDIPIIVDACISFVTQHGLRLEGVYRKGGARARSLRLLAEFRRDARSVKLRPGEHFVEDVTDTLKRFFRELDDPVTSARLLPRWREAAELPQKNQRLEKYKDVIGCLPRVNRRTLATLIGHLYRVQKCAALNQMCTRNLALLFAPSVFQTDGRGEHEVRVLQELIDGYISVFDIDSDQVAQIDLEVSLITTWKDVQLSQAGDLIMEVYIEQQLPDNCVTLKVSPTLTAEELTNQVLEMRGTAAGMDLWVTFEIREHGELERPLHPKEKVLEQALQWCQLPEPCSASLLLKKVPLAQAGCLFTGIRRESPRVGLLRCREEPPRLLGSRFQERFFLLRGRCLLLLKEKKSSKPEREWPLEGAKVYLGIRKKLKPPTPWGFTLILEKMHLYLSCTDEDEMWDWTTSILKAQHDDQQPVVLRRHSSSDLARQKFGTMPLLPIRGDDSGATLLSANQTLRRLHNRRTLSMFFPMKSSQGSVEEQEELEEPVYEEPVYEEVGAFPELIQDTSTSFSTTREWTVKPENPLTSQKSLDQPFLSKSSTLGQEERPPEPPPGPPSKSSPQARGSLEEQLLQELSSLILRKGETTAGLGSPSQPSSPQSPSPTGLPTQTPGFPTQPPCTSSPPSSQPLT
+>DECOY_sp|Q8WWN8|ARAP3_HUMAN Arf-GAP with Rho-GAP domain, ANK repeat and PH domain-containing protein 3 OS=Homo sapiens OX=9606 GN=ARAP3 PE=1 SV=1
+TLPQSSPPSSTCPPQTPFGPTQTPLGTPSPSQPSSPQSPSGLGATTEGKRLILSSLEQLLQEELSGRAQPSSKSPPGPPPEPPREEQGLTSSKSLFPQDLSKQSTLPNEPKVTWERTTSFSTSTDQILEPFAGVEEYVPEEYVPEELEEQEEVSGQSSKMPFFMSLTRRNHLRRLTQNASLLTAGSDDGRIPLLPMTGFKQRALDSSSHRRLVVPQQDDHQAKLISTTWDWMEDEDTCSLYLHMKELILTFGWPTPPKLKKRIGLYVKAGELPWEREPKSSKKEKLLLLCRGRLLFFREQFRSGLLRPPEERCRLLGVRPSERRIGTFLCGAQALPVKKLLLSASCPEPLQCWQLAQELVKEKPHLPRELEGHERIEFTVWLDMGAATGRMELVQNTLEEATLTPSVKLTVCNDPLQQEIYVEMILDGAQSLQVDKWTTILSVELDIQAVQDSDIDFVSIYGDILEQLVRVEHEGRGDTQFVSPAFLLALNRTCMQNLAACKQVRYLHGILTALTRRNVRPLCGIVDKYKELRQNKQPLEAAERWRPLLRASTVPDDLERFFRKLTDTVDEVFHEGPRLKVSRADRRFEALLRLSRARAGGKRYVGELRLGHQTVFSICADVIIPIDGRSMQQEQLGTGGGGAAGGIAANWATFDLRGEGQLYLTRGTEVLVLHEKKDPTDAASVVSIEQLRRLHVMDEPAPPGPGPASCLFLHDGRLLGFGSLWLGPAPATHSPSRLWLRGLRLLGPGLLQHCSLPSFWKGVASTWAELSDAGDTGFHQIRGGALILEFSFPFRDGPDTPPPSVGLCVIDQPQILSLPEPSNESAFMELAAGLVCWLRPPADRGRRPPSPGAKNSVPSCYLFGSYTARVVVENYVGPSPDSPLLGPCSGDPAPPFWPEEGEFAEVCLLQTMNKLLNPRAVAACLAQLLQSHDPYQPHPKRFLGLRYKRSIFEGRPGPTADPHLGEGPPLTGAWFRNARDNGLVIFLQVIENSWVSTDLKLSQVKSIGSGLARHQGACQKCIVVGLNVAAWDPRSSGCDACQRNARNSWIKEAVEYDSLTETVAEQLAAAWSQRAGGSEATFSFCRHPTLLDFSRSKTERVSCGQLEIFCIGIGLSFAQESKYLALEGPSLAAFVKAKHGRLELMGTRLPRPPQPPRPHGLLRQEKLCSQLTSCWMDRQAESETRFVFVRQGTIVQFKNDKSSRTMEIATLPIVGKPFPDKDSGFYMLSRGNFQVFRRQFVYNGQPSLKDLWGSLLPTLRDATFSFSAYPSILDDSTEETELTPSLLTSDGPLELSAYGADERAELDQRSLRHEARGQCVGRSERRDPAGPTGVPQVGYYLCGPDMIHVTGTTPRLAPTPASIQSSDPAKDQAAQARGRSDLGFYISNPMMEVTNLASSQESSSTPLPPPRPSPEPSRSVGPGGLAPSLGPRQTTAPGSLGGFVTRPKPVPKPPQAQPAPSPSPEMASDSKPDLSGEETGTQLLRLIRKRHGTASIGLQKLEEHGLGRAAGATALGHRRFTDAYQELHVTALWVAIDLDQPAAM
+>sp|P15514|AREG_HUMAN Amphiregulin OS=Homo sapiens OX=9606 GN=AREG PE=1 SV=2
+MRAPLLPPAPVVLSLLILGSGHYAAGLDLNDTYSGKREPFSGDHSADGFEVTSRSEMSSGSEISPVSEMPSSSEPSSGADYDYSEEYDNEPQIPGYIVDDSVRVEQVVKPPQNKTESENTSDKPKRKKKGGKNGKNRRNRKKKNPCNAEFQNFCIHGECKYIEHLEAVTCKCQQEYFGERCGEKSMKTHSMIDSSLSKIALAAIAAFMSAVILTAVAVITVQLRRQYVRKYEGEAEERKKLRQENGNVHAIA
+>DECOY_sp|P15514|AREG_HUMAN Amphiregulin OS=Homo sapiens OX=9606 GN=AREG PE=1 SV=2
+AIAHVNGNEQRLKKREEAEGEYKRVYQRRLQVTIVAVATLIVASMFAAIAALAIKSLSSDIMSHTKMSKEGCREGFYEQQCKCTVAELHEIYKCEGHICFNQFEANCPNKKKRNRRNKGNKGGKKKRKPKDSTNESETKNQPPKVVQEVRVSDDVIYGPIQPENDYEESYDYDAGSSPESSSPMESVPSIESGSSMESRSTVEFGDASHDGSFPERKGSYTDNLDLGAAYHGSGLILLSLVVPAPPLLPARM
+>sp|Q13795|ARFRP_HUMAN ADP-ribosylation factor-related protein 1 OS=Homo sapiens OX=9606 GN=ARFRP1 PE=1 SV=1
+MYTLLSGLYKYMFQKDEYCILILGLDNAGKTTFLEQSKTRFNKNYKGMSLSKITTTVGLNIGTVDVGKARLMFWDLGGQEELQSLWDKYYAECHGVIYVIDSTDEERLAESKQAFEKVVTSEALCGVPVLVLANKQDVETCLSIPDIKTAFSDCTSKIGRRDCLTQACSALTGKGVREGIEWMVKCVVRNVHRPPRQRDIT
+>DECOY_sp|Q13795|ARFRP_HUMAN ADP-ribosylation factor-related protein 1 OS=Homo sapiens OX=9606 GN=ARFRP1 PE=1 SV=1
+TIDRQRPPRHVNRVVCKVMWEIGERVGKGTLASCAQTLCDRRGIKSTCDSFATKIDPISLCTEVDQKNALVLVPVGCLAESTVVKEFAQKSEALREEDTSDIVYIVGHCEAYYKDWLSQLEEQGGLDWFMLRAKGVDVTGINLGVTTTIKSLSMGKYNKNFRTKSQELFTTKGANDLGLILICYEDKQFMYKYLGSLLTYM
+>sp|Q8NFD5|ARI1B_HUMAN AT-rich interactive domain-containing protein 1B OS=Homo sapiens OX=9606 GN=ARID1B PE=1 SV=2
+MAHNAGAAAAAGTHSAKSGGSEAALKEGGSAAALSSSSSSSAAAAAASSSSSSGPGSAMETGLLPNHKLKTVGEAPAAPPHQQHHHHHHAHHHHHHAHHLHHHHALQQQLNQFQQQQQQQQQQQQQQQQQQHPISNNNSLGGAGGGAPQPGPDMEQPQHGGAKDSAAGGQADPPGPPLLSKPGDEDDAPPKMGEPAGGRYEHPGLGALGTQQPPVAVPGGGGGPAAVPEFNNYYGSAAPASGGPGGRAGPCFDQHGGQQSPGMGMMHSASAAAAGAPGSMDPLQNSHEGYPNSQCNHYPGYSRPGAGGGGGGGGGGGGGSGGGGGGGGAGAGGAGAGAVAAAAAAAAAAAGGGGGGGYGGSSAGYGVLSSPRQQGGGMMMGPGGGGAASLSKAAAGSAAGGFQRFAGQNQHPSGATPTLNQLLTSPSPMMRSYGGSYPEYSSPSAPPPPPSQPQSQAAAAGAAAGGQQAAAGMGLGKDMGAQYAAASPAWAAAQQRSHPAMSPGTPGPTMGRSQGSPMDPMVMKRPQLYGMGSNPHSQPQQSSPYPGGSYGPPGPQRYPIGIQGRTPGAMAGMQYPQQQMPPQYGQQGVSGYCQQGQQPYYSQQPQPPHLPPQAQYLPSQSQQRYQPQQDMSQEGYGTRSQPPLAPGKPNHEDLNLIQQERPSSLPDLSGSIDDLPTGTEATLSSAVSASGSTSSQGDQSNPAQSPFSPHASPHLSSIPGGPSPSPVGSPVGSNQSRSGPISPASIPGSQMPPQPPGSQSESSSHPALSQSPMPQERGFMAGTQRNPQMAQYGPQQTGPSMSPHPSPGGQMHAGISSFQQSNSSGTYGPQMSQYGPQGNYSRPPAYSGVPSASYSGPGPGMGISANNQMHGQGPSQPCGAVPLGRMPSAGMQNRPFPGNMSSMTPSSPGMSQQGGPGMGPPMPTVNRKAQEAAAAVMQAAANSAQSRQGSFPGMNQSGLMASSSPYSQPMNNSSSLMNTQAPPYSMAPAMVNSSAASVGLADMMSPGESKLPLPLKADGKEEGTPQPESKSKKSSSSTTTGEKITKVYELGNEPERKLWVDRYLTFMEERGSPVSSLPAVGKKPLDLFRLYVCVKEIGGLAQVNKNKKWRELATNLNVGTSSSAASSLKKQYIQYLFAFECKIERGEEPPPEVFSTGDTKKQPKLQPPSPANSGSLQGPQTPQSTGSNSMAEVPGDLKPPTPASTPHGQMTPMQGGRSSTISVHDPFSDVSDSSFPKRNSMTPNAPYQQGMSMPDVMGRMPYEPNKDPFGGMRKVPGSSEPFMTQGQMPNSSMQDMYNQSPSGAMSNLGMGQRQQFPYGASYDRRHEPYGQQYPGQGPPSGQPPYGGHQPGLYPQQPNYKRHMDGMYGPPAKRHEGDMYNMQYSSQQQEMYNQYGGSYSGPDRRPIQGQYPYPYSRERMQGPGQIQTHGIPPQMMGGPLQSSSSEGPQQNMWAARNDMPYPYQNRQGPGGPTQAPPYPGMNRTDDMMVPDQRINHESQWPSHVSQRQPYMSSSASMQPITRPPQPSYQTPPSLPNHISRAPSPASFQRSLENRMSPSKSPFLPSMKMQKVMPTVPTSQVTGPPPQPPPIRREITFPPGSVEASQPVLKQRRKITSKDIVTPEAWRVMMSLKSGLLAESTWALDTINILLYDDSTVATFNLSQLSGFLELLVEYFRKCLIDIFGILMEYEVGDPSQKALDHNAARKDDSQSLADDSGKEEEDAECIDDDEEDEEDEEEDSEKTESDEKSSIALTAPDAAADPKEKPKQASKFDKLPIKIVKKNNLFVVDRSDKLGRVQEFNSGLLHWQLGGGDTTEHIQTHFESKMEIPPRRRPPPPLSSAGRKKEQEGKGDSEEQQEKSIIATIDDVLSARPGALPEDANPGPQTESSKFPFGIQQAKSHRNIKLLEDEPRSRDETPLCTIAHWQDSLAKRCICVSNIVRSLSFVPGNDAEMSKHPGLVLILGKLILLHHEHPERKRAPQTYEKEEDEDKGVACSKDEWWWDCLEVLRDNTLVTLANISGQLDLSAYTESICLPILDGLLHWMVCPSAEAQDPFPTVGPNSVLSPQRLVLETLCKLSIQDNNVDLILATPPFSRQEKFYATLVRYVGDRKNPVCREMSMALLSNLAQGDALAARAIAVQKGSIGNLISFLEDGVTMAQYQQSQHNLMHMQPPPLEPPSVDMMCRAAKALLAMARVDENRSEFLLHEGRLLDISISAVLNSLVASVICDVLFQIGQL
+>DECOY_sp|Q8NFD5|ARI1B_HUMAN AT-rich interactive domain-containing protein 1B OS=Homo sapiens OX=9606 GN=ARID1B PE=1 SV=2
+LQGIQFLVDCIVSAVLSNLVASISIDLLRGEHLLFESRNEDVRAMALLAKAARCMMDVSPPELPPPQMHMLNHQSQQYQAMTVGDELFSILNGISGKQVAIARAALADGQALNSLLAMSMERCVPNKRDGVYRVLTAYFKEQRSFPPTALILDVNNDQISLKCLTELVLRQPSLVSNPGVTPFPDQAEASPCVMWHLLGDLIPLCISETYASLDLQGSINALTVLTNDRLVELCDWWWEDKSCAVGKDEDEEKEYTQPARKREPHEHHLLILKGLILVLGPHKSMEADNGPVFSLSRVINSVCICRKALSDQWHAITCLPTEDRSRPEDELLKINRHSKAQQIGFPFKSSETQPGPNADEPLAGPRASLVDDITAIISKEQQEESDGKGEQEKKRGASSLPPPPRRRPPIEMKSEFHTQIHETTDGGGLQWHLLGSNFEQVRGLKDSRDVVFLNNKKVIKIPLKDFKSAQKPKEKPDAAADPATLAISSKEDSETKESDEEEDEEDEEDDDICEADEEEKGSDDALSQSDDKRAANHDLAKQSPDGVEYEMLIGFIDILCKRFYEVLLELFGSLQSLNFTAVTSDDYLLINITDLAWTSEALLGSKLSMMVRWAEPTVIDKSTIKRRQKLVPQSAEVSGPPFTIERRIPPPQPPPGTVQSTPVTPMVKQMKMSPLFPSKSPSMRNELSRQFSAPSPARSIHNPLSPPTQYSPQPPRTIPQMSASSSMYPQRQSVHSPWQSEHNIRQDPVMMDDTRNMGPYPPAQTPGGPGQRNQYPYPMDNRAAWMNQQPGESSSSQLPGGMMQPPIGHTQIQGPGQMRERSYPYPYQGQIPRRDPGSYSGGYQNYMEQQQSSYQMNYMDGEHRKAPPGYMGDMHRKYNPQQPYLGPQHGGYPPQGSPPGQGPYQQGYPEHRRDYSAGYPFQQRQGMGLNSMAGSPSQNYMDQMSSNPMQGQTMFPESSGPVKRMGGFPDKNPEYPMRGMVDPMSMGQQYPANPTMSNRKPFSSDSVDSFPDHVSITSSRGGQMPTMQGHPTSAPTPPKLDGPVEAMSNSGTSQPTQPGQLSGSNAPSPPQLKPQKKTDGTSFVEPPPEEGREIKCEFAFLYQIYQKKLSSAASSSTGVNLNTALERWKKNKNVQALGGIEKVCVYLRFLDLPKKGVAPLSSVPSGREEMFTLYRDVWLKREPENGLEYVKTIKEGTTTSSSSKKSKSEPQPTGEEKGDAKLPLPLKSEGPSMMDALGVSAASSNVMAPAMSYPPAQTNMLSSSNNMPQSYPSSSAMLGSQNMGPFSGQRSQASNAAAQMVAAAAEQAKRNVTPMPPGMGPGGQQSMGPSSPTMSSMNGPFPRNQMGASPMRGLPVAGCPQSPGQGHMQNNASIGMGPGPGSYSASPVGSYAPPRSYNGQPGYQSMQPGYTGSSNSQQFSSIGAHMQGGPSPHPSMSPGTQQPGYQAMQPNRQTGAMFGREQPMPSQSLAPHSSSESQSGPPQPPMQSGPISAPSIPGSRSQNSGVPSGVPSPSPGGPISSLHPSAHPSFPSQAPNSQDGQSSTSGSASVASSLTAETGTPLDDISGSLDPLSSPREQQILNLDEHNPKGPALPPQSRTGYGEQSMDQQPQYRQQSQSPLYQAQPPLHPPQPQQSYYPQQGQQCYGSVGQQGYQPPMQQQPYQMGAMAGPTRGQIGIPYRQPGPPGYSGGPYPSSQQPQSHPNSGMGYLQPRKMVMPDMPSGQSRGMTPGPTGPSMAPHSRQQAAAWAPSAAAYQAGMDKGLGMGAAAQQGGAAAGAAAAQSQPQSPPPPPASPSSYEPYSGGYSRMMPSPSTLLQNLTPTAGSPHQNQGAFRQFGGAASGAAAKSLSAAGGGGPGMMMGGGQQRPSSLVGYGASSGGYGGGGGGGAAAAAAAAAAAVAGAGAGGAGAGGGGGGGGSGGGGGGGGGGGGGAGPRSYGPYHNCQSNPYGEHSNQLPDMSGPAGAAAASASHMMGMGPSQQGGHQDFCPGARGGPGGSAPAASGYYNNFEPVAAPGGGGGPVAVPPQQTGLAGLGPHEYRGGAPEGMKPPADDEDGPKSLLPPGPPDAQGGAASDKAGGHQPQEMDPGPQPAGGGAGGLSNNNSIPHQQQQQQQQQQQQQQQQQQFQNLQQQLAHHHHLHHAHHHHHHAHHHHHHQQHPPAAPAEGVTKLKHNPLLGTEMASGPGSSSSSSAAAAAASSSSSSSLAAASGGEKLAAESGGSKASHTGAAAAAGANHAM
+>sp|Q9Y4X5|ARI1_HUMAN E3 ubiquitin-protein ligase ARIH1 OS=Homo sapiens OX=9606 GN=ARIH1 PE=1 SV=2
+MDSDEGYNYEFDEDEECSEEDSGAEEEEDEDDDEPDDDTLDLGEVELVEPGLGVGGERDGLLCGETGGGGGSALGPGGGGGGGGGGGGGGPGHEQEEDYRYEVLTAEQILQHMVECIREVNEVIQNPATITRILLSHFNWDKEKLMERYFDGNLEKLFAECHVINPSKKSRTRQMNTRSSAQDMPCQICYLNYPNSYFTGLECGHKFCMQCWSEYLTTKIMEEGMGQTISCPAHGCDILVDDNTVMRLITDSKVKLKYQHLITNSFVECNRLLKWCPAPDCHHVVKVQYPDAKPVRCKCGRQFCFNCGENWHDPVKCKWLKKWIKKCDDDSETSNWIAANTKECPKCHVTIEKDGGCNHMVCRNQNCKAEFCWVCLGPWEPHGSAWYNCNRYNEDDAKAARDAQERSRAALQRYLFYCNRYMNHMQSLRFEHKLYAQVKQKMEEMQQHNMSWIEVQFLKKAVDVLCQCRATLMYTYVFAFYLKKNNQSIIFENNQADLENATEVLSGYLERDISQDSLQDIKQKVQDKYRYCESRRRVLLQHVHEGYEKDLWEYIED
+>DECOY_sp|Q9Y4X5|ARI1_HUMAN E3 ubiquitin-protein ligase ARIH1 OS=Homo sapiens OX=9606 GN=ARIH1 PE=1 SV=2
+DEIYEWLDKEYGEHVHQLLVRRRSECYRYKDQVKQKIDQLSDQSIDRELYGSLVETANELDAQNNEFIISQNNKKLYFAFVYTYMLTARCQCLVDVAKKLFQVEIWSMNHQQMEEMKQKVQAYLKHEFRLSQMHNMYRNCYFLYRQLAARSREQADRAAKADDENYRNCNYWASGHPEWPGLCVWCFEAKCNQNRCVMHNCGGDKEITVHCKPCEKTNAAIWNSTESDDDCKKIWKKLWKCKVPDHWNEGCNFCFQRGCKCRVPKADPYQVKVVHHCDPAPCWKLLRNCEVFSNTILHQYKLKVKSDTILRMVTNDDVLIDCGHAPCSITQGMGEEMIKTTLYESWCQMCFKHGCELGTFYSNPYNLYCIQCPMDQASSRTNMQRTRSKKSPNIVHCEAFLKELNGDFYREMLKEKDWNFHSLLIRTITAPNQIVENVERICEVMHQLIQEATLVEYRYDEEQEHGPGGGGGGGGGGGGGGPGLASGGGGGTEGCLLGDREGGVGLGPEVLEVEGLDLTDDDPEDDDEDEEEEAGSDEESCEEDEDFEYNYGEDSDM
+>sp|Q8IVW6|ARI3B_HUMAN AT-rich interactive domain-containing protein 3B OS=Homo sapiens OX=9606 GN=ARID3B PE=1 SV=2
+MEPLQQQQQQQQQQQKQPHLAPLQMDAREKQGQQMREAQFLYAQKLVTQPTLLSATAGRPSGSTPLGPLARVPPTAAVAQVFERGNMNSEPEEEDGGLEDEDGDDEVAEVAEKETQAASKYFHVQKVARQDPRVAPMSNLLPAPGLPPHGQQAKEDHTKDASKASPSVSTAGQPNWNLDEQLKQNGGLAWSDDADGGRGREISRDFAKLYELDGDPERKEFLDDLFVFMQKRGTPINRIPIMAKQILDLYMLYKLVTEKGGLVEIINKKIWREITKGLNLPTSITSAAFTLRTQYMKYLYAYECEKKALSSPAELQAAIDGNRREGRRPSYSSSLFGYSPAAATAAAAAGAPALLSPPKIRFPILGLGSSSGTNTSSPRISPATTLRKGDGAPVTTVPVPNRLAVPVTLASQQAGTRTAALEQLRERLESGEPAEKKASRLSEEEQRLVQQAFQRNFFSMARQLPMKIRINGRAEDRAEASAAALNLTTSSIGSINMSVDIDGTTYAGVLFAQKPVVHLITGSAPQSLGSSASSSSSSHCSPSPTSSRGTPSAEPSTSWSL
+>DECOY_sp|Q8IVW6|ARI3B_HUMAN AT-rich interactive domain-containing protein 3B OS=Homo sapiens OX=9606 GN=ARID3B PE=1 SV=2
+LSWSTSPEASPTGRSSTPSPSCHSSSSSSASSGLSQPASGTILHVVPKQAFLVGAYTTGDIDVSMNISGISSTTLNLAAASAEARDEARGNIRIKMPLQRAMSFFNRQFAQQVLRQEEESLRSAKKEAPEGSELRERLQELAATRTGAQQSALTVPVALRNPVPVTTVPAGDGKRLTTAPSIRPSSTNTGSSSGLGLIPFRIKPPSLLAPAGAAAAATAAAPSYGFLSSSYSPRRGERRNGDIAAQLEAPSSLAKKECEYAYLYKMYQTRLTFAASTISTPLNLGKTIERWIKKNIIEVLGGKETVLKYLMYLDLIQKAMIPIRNIPTGRKQMFVFLDDLFEKREPDGDLEYLKAFDRSIERGRGGDADDSWALGGNQKLQEDLNWNPQGATSVSPSAKSADKTHDEKAQQGHPPLGPAPLLNSMPAVRPDQRAVKQVHFYKSAAQTEKEAVEAVEDDGDEDELGGDEEEPESNMNGREFVQAVAATPPVRALPGLPTSGSPRGATASLLTPQTVLKQAYLFQAERMQQGQKERADMQLPALHPQKQQQQQQQQQQQLPEM
+>sp|Q03989|ARI5A_HUMAN AT-rich interactive domain-containing protein 5A OS=Homo sapiens OX=9606 GN=ARID5A PE=1 SV=2
+MAAPVKGNRKQSTEGDALDPPASPKPAGKQNGIQNPISLEDSPEAGGEREEEQEREEEQAFLVSLYKFMKERHTPIERVPHLGFKQINLWKIYKAVEKLGAYELVTGRRLWKNVYDELGGSPGSTSAATCTRRHYERLVLPYVRHLKGEDDKPLPTSKPRKQYKMAKENRGDDGATERPKKAKEERRMDQMMPGKTKADAADPAPLPSQEPPRNSTEQQGLASGSSVSFVGASGCPEAYKRLLSSFYCKGTHGIMSPLAKKKLLAQVSKVEALQCQEEGCRHGAEPQASPAVHLPESPQSPKGLTENSRHRLTPQEGLQAPGGSLREEAQAGPCPAAPIFKGCFYTHPTEVLKPVSQHPRDFFSRLKDGVLLGPPGKEGLSVKEPQLVWGGDANRPSAFHKGGSRKGILYPKPKACWVSPMAKVPAESPTLPPTFPSSPGLGSKRSLEEEGAAHSGKRLRAVSPFLKEADAKKCGAKPAGSGLVSCLLGPALGPVPPEAYRGTMLHCPLNFTGTPGPLKGQAALPFSPLVIPAFPAHFLATAGPSPMAAGLMHFPPTSFDSALRHRLCPASSAWHAPPVTTYAAPHFFHLNTKL
+>DECOY_sp|Q03989|ARI5A_HUMAN AT-rich interactive domain-containing protein 5A OS=Homo sapiens OX=9606 GN=ARID5A PE=1 SV=2
+LKTNLHFFHPAAYTTVPPAHWASSAPCLRHRLASDFSTPPFHMLGAAMPSPGATALFHAPFAPIVLPSFPLAAQGKLPGPTGTFNLPCHLMTGRYAEPPVPGLAPGLLCSVLGSGAPKAGCKKADAEKLFPSVARLRKGSHAAGEEELSRKSGLGPSSPFTPPLTPSEAPVKAMPSVWCAKPKPYLIGKRSGGKHFASPRNADGGWVLQPEKVSLGEKGPPGLLVGDKLRSFFDRPHQSVPKLVETPHTYFCGKFIPAAPCPGAQAEERLSGGPAQLGEQPTLRHRSNETLGKPSQPSEPLHVAPSAQPEAGHRCGEEQCQLAEVKSVQALLKKKALPSMIGHTGKCYFSSLLRKYAEPCGSAGVFSVSSGSALGQQETSNRPPEQSPLPAPDAADAKTKGPMMQDMRREEKAKKPRETAGDDGRNEKAMKYQKRPKSTPLPKDDEGKLHRVYPLVLREYHRRTCTAASTSGPSGGLEDYVNKWLRRGTVLEYAGLKEVAKYIKWLNIQKFGLHPVREIPTHREKMFKYLSVLFAQEEEREQEEEREGGAEPSDELSIPNQIGNQKGAPKPSAPPDLADGETSQKRNGKVPAAM
+>sp|Q9NXU5|ARL15_HUMAN ADP-ribosylation factor-like protein 15 OS=Homo sapiens OX=9606 GN=ARL15 PE=1 SV=1
+MSDLRITEAFLYMDYLCFRALCCKGPPPARPEYDLVCIGLTGSGKTSLLSKLCSESPDNVVSTTGFSIKAVPFQNAILNVKELGGADNIRKYWSRYYQGSQGVIFVLDSASSEDDLEAARNELHSALQHPQLCTLPFLILANHQDKPAARSVQEIKKYFELEPLARGKRWILQPCSLDDMDALKDSFSQLINLLEEKDHEAVRM
+>DECOY_sp|Q9NXU5|ARL15_HUMAN ADP-ribosylation factor-like protein 15 OS=Homo sapiens OX=9606 GN=ARL15 PE=1 SV=1
+MRVAEHDKEELLNILQSFSDKLADMDDLSCPQLIWRKGRALPELEFYKKIEQVSRAAPKDQHNALILFPLTCLQPHQLASHLENRAAELDDESSASDLVFIVGQSGQYYRSWYKRINDAGGLEKVNLIANQFPVAKISFGTTSVVNDPSESCLKSLLSTKGSGTLGICVLDYEPRAPPPGKCCLARFCLYDMYLFAETIRLDSM
+>sp|P36404|ARL2_HUMAN ADP-ribosylation factor-like protein 2 OS=Homo sapiens OX=9606 GN=ARL2 PE=1 SV=4
+MGLLTILKKMKQKERELRLLMLGLDNAGKTTILKKFNGEDIDTISPTLGFNIKTLEHRGFKLNIWDVGGQKSLRSYWRNYFESTDGLIWVVDSADRQRMQDCQRELQSLLVEERLAGATLLIFANKQDLPGALSSNAIREVLELDSIRSHHWCIQGCSAVTGENLLPGIDWLLDDISSRIFTAD
+>DECOY_sp|P36404|ARL2_HUMAN ADP-ribosylation factor-like protein 2 OS=Homo sapiens OX=9606 GN=ARL2 PE=1 SV=4
+DATFIRSSIDDLLWDIGPLLNEGTVASCGQICWHHSRISDLELVERIANSSLAGPLDQKNAFILLTAGALREEVLLSQLERQCDQMRQRDASDVVWILGDTSEFYNRWYSRLSKQGGVDWINLKFGRHELTKINFGLTPSITDIDEGNFKKLITTKGANDLGLMLLRLEREKQKMKKLITLLGM
+>sp|P36405|ARL3_HUMAN ADP-ribosylation factor-like protein 3 OS=Homo sapiens OX=9606 GN=ARL3 PE=1 SV=2
+MGLLSILRKLKSAPDQEVRILLLGLDNAGKTTLLKQLASEDISHITPTQGFNIKSVQSQGFKLNVWDIGGQRKIRPYWKNYFENTDILIYVIDSADRKRFEETGQELAELLEEEKLSCVPVLIFANKQDLLTAAPASEIAEGLNLHTIRDRVWQIQSCSALTGEGVQDGMNWVCKNVNAKKK
+>DECOY_sp|P36405|ARL3_HUMAN ADP-ribosylation factor-like protein 3 OS=Homo sapiens OX=9606 GN=ARL3 PE=1 SV=2
+KKKANVNKCVWNMGDQVGEGTLASCSQIQWVRDRITHLNLGEAIESAPAATLLDQKNAFILVPVCSLKEEELLEALEQGTEEFRKRDASDIVYILIDTNEFYNKWYPRIKRQGGIDWVNLKFGQSQVSKINFGQTPTIHSIDESALQKLLTTKGANDLGLLLIRVEQDPASKLKRLISLLGM
+>sp|Q9HBZ2|ARNT2_HUMAN Aryl hydrocarbon receptor nuclear translocator 2 OS=Homo sapiens OX=9606 GN=ARNT2 PE=1 SV=2
+MATPAAVNPPEMASDIPGSVTLPVAPMAATGQVRMAGAMPARGGKRRSGMDFDDEDGEGPSKFSRENHSEIERRRRNKMTQYITELSDMVPTCSALARKPDKLTILRMAVSHMKSMRGTGNKSTDGAYKPSFLTEQELKHLILEAADGFLFVVAAETGRVIYVSDSVTPVLNQPQSEWFGSTLYEQVHPDDVEKLREQLCTSENSMTGRILDLKTGTVKKEGQQSSMRMCMGSRRSFICRMRCGNAPLDHLPLNRITTMRKRFRNGLGPVKEGEAQYAVVHCTGYIKAWPPAGMTIPEEDADVGQGSKYCLVAIGRLQVTSSPVCMDMNGMSVPTEFLSRHNSDGIITFVDPRCISVIGYQPQDLLGKDILEFCHPEDQSHLRESFQQVVKLKGQVLSVMYRFRTKNREWMLIRTSSFTFQNPYSDEIEYIICTNTNVKQLQQQQAELEVHQRDGLSSYDLSQVPVPNLPAGVHEAGKSVEKADAIFSQERDPRFAEMFAGISASEKKMMSSASAAGTQQIYSQGSPFPSGHSGKAFSSSVVHVPGVNDIQSSSSTGQNMSQISRQLNQSQVAWTGSRPPFPGQQIPSQSSKTQSSPFGIGTSHTYPADPSSYSPLSSPATSSPSGNAYSSLANRTPGFAESGQSSGQFQGRPSEVWSQWQSQHHGQQSGEQHSHQQPGQTEVFQDMLPMPGDPTQGTGNYNIEDFADLGMFPPFSE
+>DECOY_sp|Q9HBZ2|ARNT2_HUMAN Aryl hydrocarbon receptor nuclear translocator 2 OS=Homo sapiens OX=9606 GN=ARNT2 PE=1 SV=2
+ESFPPFMGLDAFDEINYNGTGQTPDGPMPLMDQFVETQGPQQHSHQEGSQQGHHQSQWQSWVESPRGQFQGSSQGSEAFGPTRNALSSYANGSPSSTAPSSLPSYSSPDAPYTHSTGIGFPSSQTKSSQSPIQQGPFPPRSGTWAVQSQNLQRSIQSMNQGTSSSSQIDNVGPVHVVSSSFAKGSHGSPFPSGQSYIQQTGAASASSMMKKESASIGAFMEAFRPDREQSFIADAKEVSKGAEHVGAPLNPVPVQSLDYSSLGDRQHVELEAQQQQLQKVNTNTCIIYEIEDSYPNQFTFSSTRILMWERNKTRFRYMVSLVQGKLKVVQQFSERLHSQDEPHCFELIDKGLLDQPQYGIVSICRPDVFTIIGDSNHRSLFETPVSMGNMDMCVPSSTVQLRGIAVLCYKSGQGVDADEEPITMGAPPWAKIYGTCHVVAYQAEGEKVPGLGNRFRKRMTTIRNLPLHDLPANGCRMRCIFSRRSGMCMRMSSQQGEKKVTGTKLDLIRGTMSNESTCLQERLKEVDDPHVQEYLTSGFWESQPQNLVPTVSDSVYIVRGTEAAVVFLFGDAAELILHKLEQETLFSPKYAGDTSKNGTGRMSKMHSVAMRLITLKDPKRALASCTPVMDSLETIYQTMKNRRRREIESHNERSFKSPGEGDEDDFDMGSRRKGGRAPMAGAMRVQGTAAMPAVPLTVSGPIDSAMEPPNVAAPTAM
+>sp|P27540|ARNT_HUMAN Aryl hydrocarbon receptor nuclear translocator OS=Homo sapiens OX=9606 GN=ARNT PE=1 SV=1
+MAATTANPEMTSDVPSLGPAIASGNSGPGIQGGGAIVQRAIKRRPGLDFDDDGEGNSKFLRCDDDQMSNDKERFARSDDEQSSADKERLARENHSEIERRRRNKMTAYITELSDMVPTCSALARKPDKLTILRMAVSHMKSLRGTGNTSTDGSYKPSFLTDQELKHLILEAADGFLFIVSCETGRVVYVSDSVTPVLNQPQSEWFGSTLYDQVHPDDVDKLREQLSTSENALTGRILDLKTGTVKKEGQQSSMRMCMGSRRSFICRMRCGSSSVDPVSVNRLSFVRNRCRNGLGSVKDGEPHFVVVHCTGYIKAWPPAGVSLPDDDPEAGQGSKFCLVAIGRLQVTSSPNCTDMSNVCQPTEFISRHNIEGIFTFVDHRCVATVGYQPQELLGKNIVEFCHPEDQQLLRDSFQQVVKLKGQVLSVMFRFRSKNQEWLWMRTSSFTFQNPYSDEIEYIICTNTNVKNSSQEPRPTLSNTIQRPQLGPTANLPLEMGSGQLAPRQQQQQTELDMVPGRDGLASYNHSQVVQPVTTTGPEHSKPLEKSDGLFAQDRDPRFSEIYHNINADQSKGISSSTVPATQQLFSQGNTFPPTPRPAENFRNSGLAPPVTIVQPSASAGQMLAQISRHSNPTQGATPTWTPTTRSGFSAQQVATQATAKTRTSQFGVGSFQTPSSFSSMSLPGAPTASPGAAAYPSLTNRGSNFAPETGQTAGQFQTRTAEGVGVWPQWQGQQPHHRSSSSEQHVQQPPAQQPGQPEVFQEMLSMLGDQSNSYNNEEFPDLTMFPPFSE
+>DECOY_sp|P27540|ARNT_HUMAN Aryl hydrocarbon receptor nuclear translocator OS=Homo sapiens OX=9606 GN=ARNT PE=1 SV=1
+ESFPPFMTLDPFEENNYSNSQDGLMSLMEQFVEPQGPQQAPPQQVHQESSSSRHHPQQGQWQPWVGVGEATRTQFQGATQGTEPAFNSGRNTLSPYAAAGPSATPAGPLSMSSFSSPTQFSGVGFQSTRTKATAQTAVQQASFGSRTTPTWTPTAGQTPNSHRSIQALMQGASASPQVITVPPALGSNRFNEAPRPTPPFTNGQSFLQQTAPVTSSSIGKSQDANINHYIESFRPDRDQAFLGDSKELPKSHEPGTTTVPQVVQSHNYSALGDRGPVMDLETQQQQQRPALQGSGMELPLNATPGLQPRQITNSLTPRPEQSSNKVNTNTCIIYEIEDSYPNQFTFSSTRMWLWEQNKSRFRFMVSLVQGKLKVVQQFSDRLLQQDEPHCFEVINKGLLEQPQYGVTAVCRHDVFTFIGEINHRSIFETPQCVNSMDTCNPSSTVQLRGIAVLCFKSGQGAEPDDDPLSVGAPPWAKIYGTCHVVVFHPEGDKVSGLGNRCRNRVFSLRNVSVPDVSSSGCRMRCIFSRRSGMCMRMSSQQGEKKVTGTKLDLIRGTLANESTSLQERLKDVDDPHVQDYLTSGFWESQPQNLVPTVSDSVYVVRGTECSVIFLFGDAAELILHKLEQDTLFSPKYSGDTSTNGTGRLSKMHSVAMRLITLKDPKRALASCTPVMDSLETIYATMKNRRRREIESHNERALREKDASSQEDDSRAFREKDNSMQDDDCRLFKSNGEGDDDFDLGPRRKIARQVIAGGGQIGPGSNGSAIAPGLSPVDSTMEPNATTAAM
+>sp|A6NEK1|ARRD5_HUMAN Arrestin domain-containing protein 5 OS=Homo sapiens OX=9606 GN=ARRDC5 PE=3 SV=2
+MGDREECLSTPQPPMSVVKSIELVLPEDRIYLAGSSIKGQVILTLNSTLVDPIVKVELVGRGYVEWSEEAGASCDYSRNVICNNKADYVHKTKTFPVEDNWLSAGSHTFDFHFNLPPRLPSTFTSKFGHVFYFVQASCMGREHILAKKRMYLLVQGTSTFHKETPFQNPLFVEAEEKVSYNCCRQGTVCLQIQMERNTFTPGEKVVFTTEINNQTSKCIKTVVFALYAHIQYEGFTPSAERRSRLDSSELLRQEANTPVTRFNTTKVVSTFNLPLLLSVSSSTQDGEIMHTRYELVTTVHLPWSLTSLKAKVPIIITSASVDSAICQLSEDGVLPVNPDHQN
+>DECOY_sp|A6NEK1|ARRD5_HUMAN Arrestin domain-containing protein 5 OS=Homo sapiens OX=9606 GN=ARRDC5 PE=3 SV=2
+NQHDPNVPLVGDESLQCIASDVSASTIIIPVKAKLSTLSWPLHVTTVLEYRTHMIEGDQTSSSVSLLLPLNFTSVVKTTNFRTVPTNAEQRLLESSDLRSRREASPTFGEYQIHAYLAFVVTKICKSTQNNIETTFVVKEGPTFTNREMQIQLCVTGQRCCNYSVKEEAEVFLPNQFPTEKHFTSTGQVLLYMRKKALIHERGMCSAQVFYFVHGFKSTFTSPLRPPLNFHFDFTHSGASLWNDEVPFTKTKHVYDAKNNCIVNRSYDCSAGAEESWEVYGRGVLEVKVIPDVLTSNLTLIVQGKISSGALYIRDEPLVLEISKVVSMPPQPTSLCEERDGM
+>sp|P15848|ARSB_HUMAN Arylsulfatase B OS=Homo sapiens OX=9606 GN=ARSB PE=1 SV=1
+MGPRGAASLPRGPGPRRLLLPVVLPLLLLLLLAPPGSGAGASRPPHLVFLLADDLGWNDVGFHGSRIRTPHLDALAAGGVLLDNYYTQPLCTPSRSQLLTGRYQIRTGLQHQIIWPCQPSCVPLDEKLLPQLLKEAGYTTHMVGKWHLGMYRKECLPTRRGFDTYFGYLLGSEDYYSHERCTLIDALNVTRCALDFRDGEEVATGYKNMYSTNIFTKRAIALITNHPPEKPLFLYLALQSVHEPLQVPEEYLKPYDFIQDKNRHHYAGMVSLMDEAVGNVTAALKSSGLWNNTVFIFSTDNGGQTLAGGNNWPLRGRKWSLWEGGVRGVGFVASPLLKQKGVKNRELIHISDWLPTLVKLARGHTNGTKPLDGFDVWKTISEGSPSPRIELLHNIDPNFVDSSPCPRNSMAPAKDDSSLPEYSAFNTSVHAAIRHGNWKLLTGYPGCGYWFPPPSQYNVSEIPSSDPPTKTLWLFDIDRDPEERHDLSREYPHIVTKLLSRLQFYHKHSVPVYFPAQDPRCDPKATGVWGPWM
+>DECOY_sp|P15848|ARSB_HUMAN Arylsulfatase B OS=Homo sapiens OX=9606 GN=ARSB PE=1 SV=1
+MWPGWVGTAKPDCRPDQAPFYVPVSHKHYFQLRSLLKTVIHPYERSLDHREEPDRDIDFLWLTKTPPDSSPIESVNYQSPPPFWYGCGPYGTLLKWNGHRIAAHVSTNFASYEPLSSDDKAPAMSNRPCPSSDVFNPDINHLLEIRPSPSGESITKWVDFGDLPKTGNTHGRALKVLTPLWDSIHILERNKVGKQKLLPSAVFGVGRVGGEWLSWKRGRLPWNNGGALTQGGNDTSFIFVTNNWLGSSKLAATVNGVAEDMLSVMGAYHHRNKDQIFDYPKLYEEPVQLPEHVSQLALYLFLPKEPPHNTILAIARKTFINTSYMNKYGTAVEEGDRFDLACRTVNLADILTCREHSYYDESGLLYGFYTDFGRRTPLCEKRYMGLHWKGVMHTTYGAEKLLQPLLKEDLPVCSPQCPWIIQHQLGTRIQYRGTLLQSRSPTCLPQTYYNDLLVGGAALADLHPTRIRSGHFGVDNWGLDDALLFVLHPPRSAGAGSGPPALLLLLLLPLVVPLLLRRPGPGRPLSAAGRPGM
+>sp|P18440|ARY1_HUMAN Arylamine N-acetyltransferase 1 OS=Homo sapiens OX=9606 GN=NAT1 PE=1 SV=2
+MDIEAYLERIGYKKSRNKLDLETLTDILQHQIRAVPFENLNIHCGDAMDLGLEAIFDQVVRRNRGGWCLQVNHLLYWALTTIGFETTMLGGYVYSTPAKKYSTGMIHLLLQVTIDGRNYIVDAGFGRSYQMWQPLELISGKDQPQVPCVFRLTEENGFWYLDQIRREQYIPNEEFLHSDLLEDSKYRKIYSFTLKPRTIEDFESMNTYLQTSPSSVFTSKSFCSLQTPDGVHCLVGFTLTHRRFNYKDNTDLIEFKTLSEEEIEKVLKNIFNISLQRKLVPKHGDRFFTI
+>DECOY_sp|P18440|ARY1_HUMAN Arylamine N-acetyltransferase 1 OS=Homo sapiens OX=9606 GN=NAT1 PE=1 SV=2
+ITFFRDGHKPVLKRQLSINFINKLVKEIEEESLTKFEILDTNDKYNFRRHTLTFGVLCHVGDPTQLSCFSKSTFVSSPSTQLYTNMSEFDEITRPKLTFSYIKRYKSDELLDSHLFEENPIYQERRIQDLYWFGNEETLRFVCPVQPQDKGSILELPQWMQYSRGFGADVIYNRGDITVQLLLHIMGTSYKKAPTSYVYGGLMTTEFGITTLAWYLLHNVQLCWGGRNRRVVQDFIAELGLDMADGCHINLNEFPVARIQHQLIDTLTELDLKNRSKKYGIRELYAEIDM
+>sp|P11245|ARY2_HUMAN Arylamine N-acetyltransferase 2 OS=Homo sapiens OX=9606 GN=NAT2 PE=1 SV=1
+MDIEAYFERIGYKNSRNKLDLETLTDILEHQIRAVPFENLNMHCGQAMELGLEAIFDHIVRRNRGGWCLQVNQLLYWALTTIGFQTTMLGGYFYIPPVNKYSTGMVHLLLQVTIDGRNYIVDAGSGSSSQMWQPLELISGKDQPQVPCIFCLTEERGIWYLDQIRREQYITNKEFLNSHLLPKKKHQKIYLFTLEPRTIEDFESMNTYLQTSPTSSFITTSFCSLQTPEGVYCLVGFILTYRKFNYKDNTDLVEFKTLTEEEVEEVLKNIFKISLGRNLVPKPGDGSLTI
+>DECOY_sp|P11245|ARY2_HUMAN Arylamine N-acetyltransferase 2 OS=Homo sapiens OX=9606 GN=NAT2 PE=1 SV=1
+ITLSGDGPKPVLNRGLSIKFINKLVEEVEEETLTKFEVLDTNDKYNFKRYTLIFGVLCYVGEPTQLSCFSTTIFSSTPSTQLYTNMSEFDEITRPELTFLYIKQHKKKPLLHSNLFEKNTIYQERRIQDLYWIGREETLCFICPVQPQDKGSILELPQWMQSSSGSGADVIYNRGDITVQLLLHVMGTSYKNVPPIYFYGGLMTTQFGITTLAWYLLQNVQLCWGGRNRRVIHDFIAELGLEMAQGCHMNLNEFPVARIQHELIDTLTELDLKNRSNKYGIREFYAEIDM
+>sp|Q9Y294|ASF1A_HUMAN Histone chaperone ASF1A OS=Homo sapiens OX=9606 GN=ASF1A PE=1 SV=1
+MAKVQVNNVVVLDNPSPFYNPFQFEITFECIEDLSEDLEWKIIYVGSAESEEYDQVLDSVLVGPVPAGRHMFVFQADAPNPGLIPDADAVGVTVVLITCTYRGQEFIRVGYYVNNEYTETELRENPPVKPDFSKLQRNILASNPRVTRFHINWEDNTEKLEDAESSNPNLQSLLSTDALPSASKGWSTSENSLNVMLESHMDCM
+>DECOY_sp|Q9Y294|ASF1A_HUMAN Histone chaperone ASF1A OS=Homo sapiens OX=9606 GN=ASF1A PE=1 SV=1
+MCDMHSELMVNLSNESTSWGKSASPLADTSLLSQLNPNSSEADELKETNDEWNIHFRTVRPNSALINRQLKSFDPKVPPNERLETETYENNVYYGVRIFEQGRYTCTILVVTVGVADADPILGPNPADAQFVFMHRGAPVPGVLVSDLVQDYEESEASGVYIIKWELDESLDEICEFTIEFQFPNYFPSPNDLVVVNNVQVKAM
+>sp|Q9UHC3|ASIC3_HUMAN Acid-sensing ion channel 3 OS=Homo sapiens OX=9606 GN=ASIC3 PE=1 SV=2
+MKPTSGPEEARRPASDIRVFASNCSMHGLGHVFGPGSLSLRRGMWAAAVVLSVATFLYQVAERVRYYREFHHQTALDERESHRLIFPAVTLCNINPLRRSRLTPNDLHWAGSALLGLDPAEHAAFLRALGRPPAPPGFMPSPTFDMAQLYARAGHSLDDMLLDCRFRGQPCGPENFTTIFTRMGKCYTFNSGADGAELLTTTRGGMGNGLDIMLDVQQEEYLPVWRDNEETPFEVGIRVQIHSQEEPPIIDQLGLGVSPGYQTFVSCQQQQLSFLPPPWGDCSSASLNPNYEPEPSDPLGSPSPSPSPPYTLMGCRLACETRYVARKCGCRMVYMPGDVPVCSPQQYKNCAHPAIDAMLRKDSCACPNPCASTRYAKELSMVRIPSRAAARFLARKLNRSEAYIAENVLALDIFFEALNYETVEQKKAYEMSELLGDIGGQMGLFIGASLLTILEILDYLCEVFRDKVLGYFWNRQHSQRHSSTNLLQEGLGSHRTQVPHLSLGPRPPTPPCAVTKTLSASHRTCYLVTQL
+>DECOY_sp|Q9UHC3|ASIC3_HUMAN Acid-sensing ion channel 3 OS=Homo sapiens OX=9606 GN=ASIC3 PE=1 SV=2
+LQTVLYCTRHSASLTKTVACPPTPPRPGLSLHPVQTRHSGLGEQLLNTSSHRQSHQRNWFYGLVKDRFVECLYDLIELITLLSAGIFLGMQGGIDGLLESMEYAKKQEVTEYNLAEFFIDLALVNEAIYAESRNLKRALFRAAARSPIRVMSLEKAYRTSACPNPCACSDKRLMADIAPHACNKYQQPSCVPVDGPMYVMRCGCKRAVYRTECALRCGMLTYPPSPSPSPSGLPDSPEPEYNPNLSASSCDGWPPPLFSLQQQQCSVFTQYGPSVGLGLQDIIPPEEQSHIQVRIGVEFPTEENDRWVPLYEEQQVDLMIDLGNGMGGRTTTLLEAGDAGSNFTYCKGMRTFITTFNEPGCPQGRFRCDLLMDDLSHGARAYLQAMDFTPSPMFGPPAPPRGLARLFAAHEAPDLGLLASGAWHLDNPTLRSRRLPNINCLTVAPFILRHSEREDLATQHHFERYYRVREAVQYLFTAVSLVVAAAWMGRRLSLSGPGFVHGLGHMSCNSAFVRIDSAPRRAEEPGSTPKM
+>sp|Q9NWL6|ASND1_HUMAN Asparagine synthetase domain-containing protein 1 OS=Homo sapiens OX=9606 GN=ASNSD1 PE=2 SV=2
+MCGICCSVNFSAEHFSQDLKEDLLYNLKQRGPNSSKQLLKSDVNYQCLFSAHVLHLRGVLTTQPVEDERGNVFLWNGEIFSGIKVEAEENDTQILFNYLSSCKNESEILSLFSEVQGPWSFIYYQASSHYLWFGRDFFGRRSLLWHFSNLGKSFCLSSVGTQTSGLANQWQEVPASGLFRIDLKSTVISGCIILQLYPWKYISRENIIEENVNSLSQISADLPAFVSVVANEAKLYLEKPVVPLNMMLPQAALETHCSNISNVPPTREILQVFLTDVHMKEVIQQFIDVLSVAVKKRVLCLPRDENLTANEVLKTCDRKANVAILFSGGIDSMVIATLADRHIPLDEPIDLLNVAFIAEEKTMPTTFNREGNKQKNKCEIPSEEFSKDVAAAAADSPNKHVSVPDRITGRAGLKELQAVSPSRIWNFVEINVSMEELQKLRRTRICHLIRPLDTVLDDSIGCAVWFASRGIGWLVAQEGVKSYQSNAKVVLTGIGADEQLAGYSRHRVRFQSHGLEGLNKEIMMELGRISSRNLGRDDRVIGDHGKEARFPFLDENVVSFLNSLPIWEKANLTLPRGIGEKLLLRLAAVELGLTASALLPKRAMQFGSRIAKMEKINEKASDKCGRLQIMSLENLSIEKETKL
+>DECOY_sp|Q9NWL6|ASND1_HUMAN Asparagine synthetase domain-containing protein 1 OS=Homo sapiens OX=9606 GN=ASNSD1 PE=2 SV=2
+LKTEKEISLNELSMIQLRGCKDSAKENIKEMKAIRSGFQMARKPLLASATLGLEVAALRLLLKEGIGRPLTLNAKEWIPLSNLFSVVNEDLFPFRAEKGHDGIVRDDRGLNRSSIRGLEMMIEKNLGELGHSQFRVRHRSYGALQEDAGIGTLVVKANSQYSKVGEQAVLWGIGRSAFWVACGISDDLVTDLPRILHCIRTRRLKQLEEMSVNIEVFNWIRSPSVAQLEKLGARGTIRDPVSVHKNPSDAAAAAVDKSFEESPIECKNKQKNGERNFTTPMTKEEAIFAVNLLDIPEDLPIHRDALTAIVMSDIGGSFLIAVNAKRDCTKLVENATLNEDRPLCLVRKKVAVSLVDIFQQIVEKMHVDTLFVQLIERTPPVNSINSCHTELAAQPLMMNLPVVPKELYLKAENAVVSVFAPLDASIQSLSNVNEEIINERSIYKWPYLQLIICGSIVTSKLDIRFLGSAPVEQWQNALGSTQTGVSSLCFSKGLNSFHWLLSRRGFFDRGFWLYHSSAQYYIFSWPGQVESFLSLIESENKCSSLYNFLIQTDNEEAEVKIGSFIEGNWLFVNGREDEVPQTTLVGRLHLVHASFLCQYNVDSKLLQKSSNPGRQKLNYLLDEKLDQSFHEASFNVSCCIGCM
+>sp|Q9BPX5|ARP5L_HUMAN Actin-related protein 2/3 complex subunit 5-like protein OS=Homo sapiens OX=9606 GN=ARPC5L PE=1 SV=1
+MARNTLSSRFRRVDIDEFDENKFVDEQEEAAAAAAEPGPDPSEVDGLLRQGDMLRAFHAALRNSPVNTKNQAVKERAQGVVLKVLTNFKSSEIEQAVQSLDRNGVDLLMKYIYKGFEKPTENSSAVLLQWHEKALAVGGLGSIIRVLTARKTV
+>DECOY_sp|Q9BPX5|ARP5L_HUMAN Actin-related protein 2/3 complex subunit 5-like protein OS=Homo sapiens OX=9606 GN=ARPC5L PE=1 SV=1
+VTKRATLVRIISGLGGVALAKEHWQLLVASSNETPKEFGKYIYKMLLDVGNRDLSQVAQEIESSKFNTLVKLVVGQAREKVAQNKTNVPSNRLAAHFARLMDGQRLLGDVESPDPGPEAAAAAAEEQEDVFKNEDFEDIDVRRFRSSLTNRAM
+>sp|Q9H9F9|ARP5_HUMAN Actin-related protein 5 OS=Homo sapiens OX=9606 GN=ACTR5 PE=1 SV=2
+MAANVFPFRDARAAPDPVLEAGPVAHGPLPVPLVLDNGSFQVRAGWACPGQDPGPEPRLQFRAVCARGRGGARGASGPQVGNALGSLEPLRWMLRSPFDRNVPVNLELQELLLDYSFQHLGVSSQGCVDHPIVLTEAVCNPLYSRQMMSELLFECYGIPKVAYGIDSLFSFYHNKPKNSMCSGLIISSGYQCTHVLPILEGRLDAKNCKRINLGGSQAAGYLQRLLQLKYPGHLAAITLSRMEEILHEHSYIAEDYVEELHKWRCPDYYENNVHKMQLPFSSKLLGSTLTSEEKQERRQQQLRRLQELNARRREEKLQLDQERLDRLLYVQELLEDGQMDQFHKALIELNMDSPEELQSYIQKLSIAVEQAKQKILQAEVNLEVDVVDSKPETPDLEQLEPSLEDVESMNDFDPLFSEETPGVEKPVTTVQPVFNLAAYHQLFVGTERIRAPEIIFQPSLIGEEQAGIAETLQYILDRYPKDIQEMLVQNVFLTGGNTMYPGMKARMEKELLEMRPFRSSFQVQLASNPVLDAWYGARDWALNHLDDNEVWITRKEYEEKGGEYLKEHCASNIYVPIRLPKQASRSSDAQASSKGSAAGGGGAGEQA
+>DECOY_sp|Q9H9F9|ARP5_HUMAN Actin-related protein 5 OS=Homo sapiens OX=9606 GN=ACTR5 PE=1 SV=2
+AQEGAGGGGAASGKSSAQADSSRSAQKPLRIPVYINSACHEKLYEGGKEEYEKRTIWVENDDLHNLAWDRAGYWADLVPNSALQVQFSSRFPRMELLEKEMRAKMGPYMTNGGTLFVNQVLMEQIDKPYRDLIYQLTEAIGAQEEGILSPQFIIEPARIRETGVFLQHYAALNFVPQVTTVPKEVGPTEESFLPDFDNMSEVDELSPELQELDPTEPKSDVVDVELNVEAQLIKQKAQEVAISLKQIYSQLEEPSDMNLEILAKHFQDMQGDELLEQVYLLRDLREQDLQLKEERRRANLEQLRRLQQQRREQKEESTLTSGLLKSSFPLQMKHVNNEYYDPCRWKHLEEVYDEAIYSHEHLIEEMRSLTIAALHGPYKLQLLRQLYGAAQSGGLNIRKCNKADLRGELIPLVHTCQYGSSIILGSCMSNKPKNHYFSFLSDIGYAVKPIGYCEFLLESMMQRSYLPNCVAETLVIPHDVCGQSSVGLHQFSYDLLLEQLELNVPVNRDFPSRLMWRLPELSGLANGVQPGSAGRAGGRGRACVARFQLRPEPGPDQGPCAWGARVQFSGNDLVLPVPLPGHAVPGAELVPDPAARADRFPFVNAAM
+>sp|P59998|ARPC4_HUMAN Actin-related protein 2/3 complex subunit 4 OS=Homo sapiens OX=9606 GN=ARPC4 PE=1 SV=3
+MTATLRPYLSAVRATLQAALCLENFSSQVVERHNKPEVEVRSSKELLLQPVTISRNEKEKVLIEGSINSVRVSIAVKQADEIEKILCHKFMRFMMMRAENFFILRRKPVEGYDISFLITNFHTEQMYKHKLVDFVIHFMEEIDKEISEMKLSVNARARIVAEEFLKNF
+>DECOY_sp|P59998|ARPC4_HUMAN Actin-related protein 2/3 complex subunit 4 OS=Homo sapiens OX=9606 GN=ARPC4 PE=1 SV=3
+FNKLFEEAVIRARANVSLKMESIEKDIEEMFHIVFDVLKHKYMQETHFNTILFSIDYGEVPKRRLIFFNEARMMMFRMFKHCLIKEIEDAQKVAISVRVSNISGEILVKEKENRSITVPQLLLEKSSRVEVEPKNHREVVQSSFNELCLAAQLTARVASLYPRLTATM
+>sp|Q7Z6K5|ARPIN_HUMAN Arpin OS=Homo sapiens OX=9606 GN=ARPIN PE=1 SV=1
+MSRIYHDGALRNKAVQSVRLPGAWDPAAHQGGNGVLLEGELIDVSRHSILDTHGRKERYYVLYIRPSHIHRRKFDAKGNEIEPNFSATRKVNTGFLMSSYKVEAKGDTDRLTPEALKGLVNKPELLALTESLTPDHTVAFWMPESEMEVMELELGAGVRLKTRGDGPFLDSLAKLEAGTVTKCNFTGDGKTGASWTDNIMAQKCSKGAAAEIREQGDGAEDEEWDD
+>DECOY_sp|Q7Z6K5|ARPIN_HUMAN Arpin OS=Homo sapiens OX=9606 GN=ARPIN PE=1 SV=1
+DDWEEDEAGDGQERIEAAAGKSCKQAMINDTWSAGTKGDGTFNCKTVTGAELKALSDLFPGDGRTKLRVGAGLELEMVEMESEPMWFAVTHDPTLSETLALLEPKNVLGKLAEPTLRDTDGKAEVKYSSMLFGTNVKRTASFNPEIENGKADFKRRHIHSPRIYLVYYREKRGHTDLISHRSVDILEGELLVGNGGQHAAPDWAGPLRVSQVAKNRLAGDHYIRSM
+>sp|P49407|ARRB1_HUMAN Beta-arrestin-1 OS=Homo sapiens OX=9606 GN=ARRB1 PE=1 SV=2
+MGDKGTRVFKKASPNGKLTVYLGKRDFVDHIDLVDPVDGVVLVDPEYLKERRVYVTLTCAFRYGREDLDVLGLTFRKDLFVANVQSFPPAPEDKKPLTRLQERLIKKLGEHAYPFTFEIPPNLPCSVTLQPGPEDTGKACGVDYEVKAFCAENLEEKIHKRNSVRLVIRKVQYAPERPGPQPTAETTRQFLMSDKPLHLEASLDKEIYYHGEPISVNVHVTNNTNKTVKKIKISVRQYADICLFNTAQYKCPVAMEEADDTVAPSSTFCKVYTLTPFLANNREKRGLALDGKLKHEDTNLASSTLLREGANREILGIIVSYKVKVKLVVSRGGLLGDLASSDVAVELPFTLMHPKPKEEPPHREVPENETPVDTNLIELDTNDDDIVFEDFARQRLKGMKDDKEEEEDGTGSPQLNNR
+>DECOY_sp|P49407|ARRB1_HUMAN Beta-arrestin-1 OS=Homo sapiens OX=9606 GN=ARRB1 PE=1 SV=2
+RNNLQPSGTGDEEEEKDDKMGKLRQRAFDEFVIDDDNTDLEILNTDVPTENEPVERHPPEEKPKPHMLTFPLEVAVDSSALDGLLGGRSVVLKVKVKYSVIIGLIERNAGERLLTSSALNTDEHKLKGDLALGRKERNNALFPTLTYVKCFTSSPAVTDDAEEMAVPCKYQATNFLCIDAYQRVSIKIKKVTKNTNNTVHVNVSIPEGHYYIEKDLSAELHLPKDSMLFQRTTEATPQPGPREPAYQVKRIVLRVSNRKHIKEELNEACFAKVEYDVGCAKGTDEPGPQLTVSCPLNPPIEFTFPYAHEGLKKILREQLRTLPKKDEPAPPFSQVNAVFLDKRFTLGLVDLDERGYRFACTLTVYVRREKLYEPDVLVVGDVPDVLDIHDVFDRKGLYVTLKGNPSAKKFVRTGKDGM
+>sp|P36575|ARRC_HUMAN Arrestin-C OS=Homo sapiens OX=9606 GN=ARR3 PE=1 SV=2
+MSKVFKKTSSNGKLSIYLGKRDFVDHVDTVEPIDGVVLVDPEYLKCRKLFVMLTCAFRYGRDDLEVIGLTFRKDLYVQTLQVVPAESSSPQGPLTVLQERLLHKLGDNAYPFTLQMVTNLPCSVTLQPGPEDAGKPCGIDFEVKSFCAENPEETVSKRDYVRLVVRKVQFAPPEAGPGPSAQTIRRFLLSAQPLQLQAWMDREVHYHGEPISVNVSINNCTNKVIKKIKISVDQITDVVLYSLDKYTKTVFIQEFTETVAANSSFSQSFAVTPILAASCQKRGLALDGKLKHEDTNLASSTIIRPGMDKELLGILVSYKVRVNLMVSCGGILGDLTASDVGVELPLVLIHPKPSHEAASSEDIVIEEFTRKGEEESQKAVEAEGDEGS
+>DECOY_sp|P36575|ARRC_HUMAN Arrestin-C OS=Homo sapiens OX=9606 GN=ARR3 PE=1 SV=2
+SGEDGEAEVAKQSEEEGKRTFEEIVIDESSAAEHSPKPHILVLPLEVGVDSATLDGLIGGCSVMLNVRVKYSVLIGLLEKDMGPRIITSSALNTDEHKLKGDLALGRKQCSAALIPTVAFSQSFSSNAAVTETFEQIFVTKTYKDLSYLVVDTIQDVSIKIKKIVKNTCNNISVNVSIPEGHYHVERDMWAQLQLPQASLLFRRITQASPGPGAEPPAFQVKRVVLRVYDRKSVTEEPNEACFSKVEFDIGCPKGADEPGPQLTVSCPLNTVMQLTFPYANDGLKHLLREQLVTLPGQPSSSEAPVVQLTQVYLDKRFTLGIVELDDRGYRFACTLMVFLKRCKLYEPDVLVVGDIPEVTDVHDVFDRKGLYISLKGNSSTKKFVKSM
+>sp|P51689|ARSD_HUMAN Arylsulfatase D OS=Homo sapiens OX=9606 GN=ARSD PE=1 SV=2
+MRSAARRGRAAPAARDSLPVLLFLCLLLKTCEPKTANAFKPNILLIMADDLGTGDLGCYGNNTLRTPNIDQLAEEGVRLTQHLAAAPLCTPSRAAFLTGRHSFRSGMDASNGYRALQWNAGSGGLPENETTFARILQQHGYATGLIGKWHQGVNCASRGDHCHHPLNHGFDYFYGMPFTLTNDCDPGRPPEVDAALRAQLWGYTQFLALGILTLAAGQTCGFFSVSARAVTGMAGVGCLFFISWYSSFGFVRRWNCILMRNHDVTEQPMVLEKTASLMLKEAVSYIERHKHGPFLLFLSLLHVHIPLVTTSAFLGKSQHGLYGDNVEEMDWLIGKVLNAIEDNGLKNSTFTYFTSDHGGHLEARDGHSQLGGWNGIYKGGKGMGGWEGGIRVPGIFHWPGVLPAGRVIGEPTSLMDVFPTVVQLVGGEVPQDRVIDGHSLVPLLQGAEARSAHEFLFHYCGQHLHAARWHQKDSGSVWKVHYTTPQFHPEGAGACYGRGVCPCSGEGVTHHRPPLLFDLSRDPSEARPLTPDSEPLYHAVIARVGAAVSEHRQTLSPVPQQFSMSNILWKPWLQPCCGHFPFCSCHEDGDGTP
+>DECOY_sp|P51689|ARSD_HUMAN Arylsulfatase D OS=Homo sapiens OX=9606 GN=ARSD PE=1 SV=2
+PTGDGDEHCSCFPFHGCCPQLWPKWLINSMSFQQPVPSLTQRHESVAAGVRAIVAHYLPESDPTLPRAESPDRSLDFLLPPRHHTVGEGSCPCVGRGYCAGAGEPHFQPTTYHVKWVSGSDKQHWRAAHLHQGCYHFLFEHASRAEAGQLLPVLSHGDIVRDQPVEGGVLQVVTPFVDMLSTPEGIVRGAPLVGPWHFIGPVRIGGEWGGMGKGGKYIGNWGGLQSHGDRAELHGGHDSTFYTFTSNKLGNDEIANLVKGILWDMEEVNDGYLGHQSKGLFASTTVLPIHVHLLSLFLLFPGHKHREIYSVAEKLMLSATKELVMPQETVDHNRMLICNWRRVFGFSSYWSIFFLCGVGAMGTVARASVSFFGCTQGAALTLIGLALFQTYGWLQARLAADVEPPRGPDCDNTLTFPMGYFYDFGHNLPHHCHDGRSACNVGQHWKGILGTAYGHQQLIRAFTTENEPLGGSGANWQLARYGNSADMGSRFSHRGTLFAARSPTCLPAAALHQTLRVGEEALQDINPTRLTNNGYCGLDGTGLDDAMILLINPKFANATKPECTKLLLCLFLLVPLSDRAAPAARGRRAASRM
+>sp|Q96EG1|ARSG_HUMAN Arylsulfatase G OS=Homo sapiens OX=9606 GN=ARSG PE=1 SV=1
+MGWLFLKVLLAGVSFSGFLYPLVDFCISGKTRGQKPNFVIILADDMGWGDLGANWAETKDTANLDKMASEGMRFVDFHAAASTCSPSRASLLTGRLGLRNGVTRNFAVTSVGGLPLNETTLAEVLQQAGYVTGIIGKWHLGHHGSYHPNFRGFDYYFGIPYSHDMGCTDTPGYNHPPCPACPQGDGPSRNLQRDCYTDVALPLYENLNIVEQPVNLSSLAQKYAEKATQFIQRASTSGRPFLLYVALAHMHVPLPVTQLPAAPRGRSLYGAGLWEMDSLVGQIKDKVDHTVKENTFLWFTGDNGPWAQKCELAGSVGPFTGFWQTRQGGSPAKQTTWEGGHRVPALAYWPGRVPVNVTSTALLSVLDIFPTVVALAQASLPQGRRFDGVDVSEVLFGRSQPGHRVLFHPNSGAAGEFGALQTVRLERYKAFYITGGARACDGSTGPELQHKFPLIFNLEDDTAEAVPLERGGAEYQAVLPEVRKVLADVLQDIANDNISSADYTQDPSVTPCCNPYQIACRCQAA
+>DECOY_sp|Q96EG1|ARSG_HUMAN Arylsulfatase G OS=Homo sapiens OX=9606 GN=ARSG PE=1 SV=1
+AAQCRCAIQYPNCCPTVSPDQTYDASSINDNAIDQLVDALVKRVEPLVAQYEAGGRELPVAEATDDELNFILPFKHQLEPGTSGDCARAGGTIYFAKYRELRVTQLAGFEGAAGSNPHFLVRHGPQSRGFLVESVDVGDFRRGQPLSAQALAVVTPFIDLVSLLATSTVNVPVRGPWYALAPVRHGGEWTTQKAPSGGQRTQWFGTFPGVSGALECKQAWPGNDGTFWLFTNEKVTHDVKDKIQGVLSDMEWLGAGYLSRGRPAAPLQTVPLPVHMHALAVYLLFPRGSTSARQIFQTAKEAYKQALSSLNVPQEVINLNEYLPLAVDTYCDRQLNRSPGDGQPCAPCPPHNYGPTDTCGMDHSYPIGFYYDFGRFNPHYSGHHGLHWKGIIGTVYGAQQLVEALTTENLPLGGVSTVAFNRTVGNRLGLRGTLLSARSPSCTSAAAHFDVFRMGESAMKDLNATDKTEAWNAGLDGWGMDDALIIVFNPKQGRTKGSICFDVLPYLFGSFSVGALLVKLFLWGM
+>sp|Q5FYB1|ARSI_HUMAN Arylsulfatase I OS=Homo sapiens OX=9606 GN=ARSI PE=1 SV=1
+MHTLTGFSLVSLLSFGYLSWDWAKPSFVADGPGEAGEQPSAAPPQPPHIIFILTDDQGYHDVGYHGSDIETPTLDRLAAKGVKLENYYIQPICTPSRSQLLTGRYQIHTGLQHSIIRPQQPNCLPLDQVTLPQKLQEAGYSTHMVGKWHLGFYRKECLPTRRGFDTFLGSLTGNVDYYTYDNCDGPGVCGFDLHEGENVAWGLSGQYSTMLYAQRASHILASHSPQRPLFLYVAFQAVHTPLQSPREYLYRYRTMGNVARRKYAAMVTCMDEAVRNITWALKRYGFYNNSVIIFSSDNGGQTFSGGSNWPLRGRKGTYWEGGVRGLGFVHSPLLKRKQRTSRALMHITDWYPTLVGLAGGTTSAADGLDGYDVWPAISEGRASPRTEILHNIDPLYNHAQHGSLEGGFGIWNTAVQAAIRVGEWKLLTGDPGYGDWIPPQTLATFPGSWWNLERMASVRQAVWLFNISADPYEREDLAGQRPDVVRTLLARLAEYNRTAIPVRYPAENPRAHPDFNGGAWGPWASDEEEEEEEGRARSFSRGRRKKKCKICKLRSFFRKLNTRLMSQRI
+>DECOY_sp|Q5FYB1|ARSI_HUMAN Arylsulfatase I OS=Homo sapiens OX=9606 GN=ARSI PE=1 SV=1
+IRQSMLRTNLKRFFSRLKCIKCKKKRRGRSFSRARGEEEEEEEDSAWPGWAGGNFDPHARPNEAPYRVPIATRNYEALRALLTRVVDPRQGALDEREYPDASINFLWVAQRVSAMRELNWWSGPFTALTQPPIWDGYGPDGTLLKWEGVRIAAQVATNWIGFGGELSGHQAHNYLPDINHLIETRPSARGESIAPWVDYGDLGDAASTTGGALGVLTPYWDTIHMLARSTRQKRKLLPSHVFGLGRVGGEWYTGKRGRLPWNSGGSFTQGGNDSSFIIVSNNYFGYRKLAWTINRVAEDMCTVMAAYKRRAVNGMTRYRYLYERPSQLPTHVAQFAVYLFLPRQPSHSALIHSARQAYLMTSYQGSLGWAVNEGEHLDFGCVGPGDCNDYTYYDVNGTLSGLFTDFGRRTPLCEKRYFGLHWKGVMHTSYGAEQLKQPLTVQDLPLCNPQQPRIISHQLGTHIQYRGTLLQSRSPTCIPQIYYNELKVGKAALRDLTPTEIDSGHYGVDHYGQDDTLIFIIHPPQPPAASPQEGAEGPGDAVFSPKAWDWSLYGFSLLSVLSFGTLTHM
+>sp|Q96Q27|ASB2_HUMAN Ankyrin repeat and SOCS box protein 2 OS=Homo sapiens OX=9606 GN=ASB2 PE=1 SV=1
+MTRFSYAEYFSLFHSCSAPSRSTAPPESSPARAPMGLFQGVMQKYSSSLFKTSQLAPADPLIKAIKDGDEEALKTMIKEGKNLAEPNKEGWLPLHEAAYYGQVGCLKVLQRAYPGTIDQRTLQEETAVYLATCRGHLDCLLSLLQAGAEPDISNKSRETPLYKACERKNAEAVKILVQHNADTNHRCNRGWTALHESVSRNDLEVMQILVSGGAKVESKNAYGITPLFVAAQSGQLEALRFLAKYGADINTQASDNASALYEACKNEHEEVVEFLLSQGADANKTNKDGLLPLHIASKKGNYRIVQMLLPVTSRTRIRRSGVSPLHLAAERNHDEVLEALLSARFDVNTPLAPERARLYEDRRSSALYFAVVNNNVYATELLLQHGADPNRDVISPLLVAIRHGCLRTMQLLLDHGANIDAYIATHPTAFPATIMFAMKCLSLLKFLMDLGCDGEPCFSCLYGNGPHPPAPQPSSRFNDAPAADKEPSVVQFCEFVSAPEVSRWAGPIIDVLLDYVGNVQLCSRLKEHIDSFEDWAVIKEKAEPPRPLAHLCRLRVRKAIGKYRIKLLDTLPLPGRLIRYLKYENTQ
+>DECOY_sp|Q96Q27|ASB2_HUMAN Ankyrin repeat and SOCS box protein 2 OS=Homo sapiens OX=9606 GN=ASB2 PE=1 SV=1
+QTNEYKLYRILRGPLPLTDLLKIRYKGIAKRVRLRCLHALPRPPEAKEKIVAWDEFSDIHEKLRSCLQVNGVYDLLVDIIPGAWRSVEPASVFECFQVVSPEKDAAPADNFRSSPQPAPPHPGNGYLCSFCPEGDCGLDMLFKLLSLCKMAFMITAPFATPHTAIYADINAGHDLLLQMTRLCGHRIAVLLPSIVDRNPDAGHQLLLETAYVNNNVVAFYLASSRRDEYLRAREPALPTNVDFRASLLAELVEDHNREAALHLPSVGSRRIRTRSTVPLLMQVIRYNGKKSAIHLPLLGDKNTKNADAGQSLLFEVVEEHENKCAEYLASANDSAQTNIDAGYKALFRLAELQGSQAAVFLPTIGYANKSEVKAGGSVLIQMVELDNRSVSEHLATWGRNCRHNTDANHQVLIKVAEANKRECAKYLPTERSKNSIDPEAGAQLLSLLCDLHGRCTALYVATEEQLTRQDITGPYARQLVKLCGVQGYYAAEHLPLWGEKNPEALNKGEKIMTKLAEEDGDKIAKILPDAPALQSTKFLSSSYKQMVGQFLGMPARAPSSEPPATSRSPASCSHFLSFYEAYSFRTM
+>sp|Q9H672|ASB7_HUMAN Ankyrin repeat and SOCS box protein 7 OS=Homo sapiens OX=9606 GN=ASB7 PE=1 SV=2
+MLHHHCRRNPELQEELQIQAAVAAGDVHTVRKMLEQGYSPNGRDANGWTLLHFSAARGKERCVRVFLEHGADPTVKDLIGGFTALHYAAMHGRARIARLMLESEYRSDIINAKSNDGWTPLHVAAHYGRDSFVRLLLEFKAEVDPLSDKGTTPLQLAIIRERSSCVKILLDHNANIDIQNGFLLRYAVIKSNHSYCRMFLQRGADTNLGRLEDGQTPLHLSALRDDVLCARMLYNYGADTNTRNYEGQTPLAVSISISGSSRPCLDFLQEVTRQPRNLQDLCRIKIRQCIGLQNLKLLDELPIAKVMKDYLKHKFDDI
+>DECOY_sp|Q9H672|ASB7_HUMAN Ankyrin repeat and SOCS box protein 7 OS=Homo sapiens OX=9606 GN=ASB7 PE=1 SV=2
+IDDFKHKLYDKMVKAIPLEDLLKLNQLGICQRIKIRCLDQLNRPQRTVEQLFDLCPRSSGSISISVALPTQGEYNRTNTDAGYNYLMRACLVDDRLASLHLPTQGDELRGLNTDAGRQLFMRCYSHNSKIVAYRLLFGNQIDINANHDLLIKVCSSRERIIALQLPTTGKDSLPDVEAKFELLLRVFSDRGYHAAVHLPTWGDNSKANIIDSRYESELMLRAIRARGHMAAYHLATFGGILDKVTPDAGHELFVRVCREKGRAASFHLLTWGNADRGNPSYGQELMKRVTHVDGAAVAAQIQLEEQLEPNRRCHHHLM
+>sp|Q9NVP2|ASF1B_HUMAN Histone chaperone ASF1B OS=Homo sapiens OX=9606 GN=ASF1B PE=1 SV=1
+MAKVSVLNVAVLENPSPFHSPFRFEISFECSEALADDLEWKIIYVGSAESEEFDQILDSVLVGPVPAGRHMFVFQADAPNPSLIPETDAVGVTVVLITCTYHGQEFIRVGYYVNNEYLNPELRENPPMKPDFSQLQRNILASNPRVTRFHINWDNNMDRLEAIETQDPSLGCGLPLNCTPIKGLGLPGCIPGLLPENSMDCI
+>DECOY_sp|Q9NVP2|ASF1B_HUMAN Histone chaperone ASF1B OS=Homo sapiens OX=9606 GN=ASF1B PE=1 SV=1
+ICDMSNEPLLGPICGPLGLGKIPTCNLPLGCGLSPDQTEIAELRDMNNDWNIHFRTVRPNSALINRQLQSFDPKMPPNERLEPNLYENNVYYGVRIFEQGHYTCTILVVTVGVADTEPILSPNPADAQFVFMHRGAPVPGVLVSDLIQDFEESEASGVYIIKWELDDALAESCEFSIEFRFPSHFPSPNELVAVNLVSVKAM
+>sp|P07307|ASGR2_HUMAN Asialoglycoprotein receptor 2 OS=Homo sapiens OX=9606 GN=ASGR2 PE=1 SV=2
+MAKDFQDIQQLSSEENDHPFHQGEGPGTRRLNPRRGNPFLKGPPPAQPLAQRLCSMVCFSLLALSFNILLLVVICVTGSQSEGHGGAQLQAELRSLKEAFSNFSSSTLTEVQAISTHGGSVGDKITSLGAKLEKQQQDLKADHDALLFHLKHFPVDLRFVACQMELLHSNGSQRTCCPVNWVEHQGSCYWFSHSGKAWAEAEKYCQLENAHLVVINSWEEQKFIVQHTNPFNTWIGLTDSDGSWKWVDGTDYRHNYKNWAVTQPDNWHGHELGGSEDCVEVQPDGRWNDDFCLQVYRWVCEKRRNATGEVA
+>DECOY_sp|P07307|ASGR2_HUMAN Asialoglycoprotein receptor 2 OS=Homo sapiens OX=9606 GN=ASGR2 PE=1 SV=2
+AVEGTANRRKECVWRYVQLCFDDNWRGDPQVEVCDESGGLEHGHWNDPQTVAWNKYNHRYDTGDVWKWSGDSDTLGIWTNFPNTHQVIFKQEEWSNIVVLHANELQCYKEAEAWAKGSHSFWYCSGQHEVWNVPCCTRQSGNSHLLEMQCAVFRLDVPFHKLHFLLADHDAKLDQQQKELKAGLSTIKDGVSGGHTSIAQVETLTSSSFNSFAEKLSRLEAQLQAGGHGESQSGTVCIVVLLLINFSLALLSFCVMSCLRQALPQAPPPGKLFPNGRRPNLRRTGPGEGQHFPHDNEESSLQQIDQFDKAM
+>sp|Q9NR48|ASH1L_HUMAN Histone-lysine N-methyltransferase ASH1L OS=Homo sapiens OX=9606 GN=ASH1L PE=1 SV=2
+MDPRNTAMLGLGSDSEGFSRKSPSAISTGTLVSKREVELEKNTKEEEDLRKRNRERNIEAGKDDGLTDAQQQFSVKETNFSEGNLKLKIGLQAKRTKKPPKNLENYVCRPAIKTTIKHPRKALKSGKMTDEKNEHCPSKRDPSKLYKKADDVAAIECQSEEVIRLHSQGENNPLSKKLSPVHSEMADYINATPSTLLGSRDPDLKDRALLNGGTSVTEKLAQLIATCPPSKSSKTKPKKLGTGTTAGLVSKDLIRKAGVGSVAGIIHKDLIKKPTISTAVGLVTKDPGKKPVFNAAVGLVNKDSVKKLGTGTTAVFINKNLGKKPGTITTVGLLSKDSGKKLGIGIVPGLVHKESGKKLGLGTVVGLVNKDLGKKLGSTVGLVAKDCAKKIVASSAMGLVNKDIGKKLMSCPLAGLISKDAINLKAEALLPTQEPLKASCSTNINNQESQELSESLKDSATSKTFEKNVVRQNKESILEKFSVRKEIINLEKEMFNEGTCIQQDSFSSSEKGSYETSKHEKQPPVYCTSPDFKMGGASDVSTAKSPFSAVGESNLPSPSPTVSVNPLTRSPPETSSQLAPNPLLLSSTTELIEEISESVGKNQFTSESTHLNVGHRSVGHSISIECKGIDKEVNDSKTTHIDIPRISSSLGKKPSLTSESSIHTITPSVVNFTSLFSNKPFLKLGAVSASDKHCQVAESLSTSLQSKPLKKRKGRKPRWTKVVARSTCRSPKGLELERSELFKNVSCSSLSNSNSEPAKFMKNIGPPSFVDHDFLKRRLPKLSKSTAPSLALLADSEKPSHKSFATHKLSSSMCVSSDLLSDIYKPKRGRPKSKEMPQLEGPPKRTLKIPASKVFSLQSKEEQEPPILQPEIEIPSFKQGLSVSPFPKKRGRPKRQMRSPVKMKPPVLSVAPFVATESPSKLESESDNHRSSSDFFESEDQLQDPDDLDDSHRPSVCSMSDLEMEPDKKITKRNNGQLMKTIIRKINKMKTLKRKKLLNQILSSSVESSNKGKVQSKLHNTVSSLAATFGSKLGQQINVSKKGTIYIGKRRGRKPKTVLNGILSGSPTSLAVLEQTAQQAAGSALGQILPPLLPSSASSSEILPSPICSQSSGTSGGQSPVSSDAGFVEPSSVPYLHLHSRQGSMIQTLAMKKASKGRRRLSPPTLLPNSPSHLSELTSLKEATPSPISESHSDETIPSDSGIGTDNNSTSDRAEKFCGQKKRRHSFEHVSLIPPETSTVLSSLKEKHKHKCKRRNHDYLSYDKMKRQKRKRKKKYPQLRNRQDPDFIAELEELISRLSEIRITHRSHHFIPRDLLPTIFRINFNSFYTHPSFPLDPLHYIRKPDLKKKRGRPPKMREAMAEMPFMHSLSFPLSSTGFYPSYGMPYSPSPLTAAPIGLGYYGRYPPTLYPPPPSPSFTTPLPPPSYMHAGHLLLNPAKYHKKKHKLLRQEAFLTTSRTPLLSMSTYPSVPPEMAYGWMVEHKHRHRHKHREHRSSEQPQVSMDTGSSRSVLESLKRYRFGKDAVGERYKHKEKHRCHMSCPHLSPSKSLINREEQWVHREPSESSPLALGLQTPLQIDCSESSPSLSLGGFTPNSEPASSDEHTNLFTSAIGSCRVSNPNSSGRKKLTDSPGLFSAQDTSLNRLHRKESLPSNERAVQTLAGSQPTSDKPSQRPSESTNCSPTRKRSSSESTSSTVNGVPSRSPRLVASGDDSVDSLLQRMVQNEDQEPMEKSIDAVIATASAPPSSSPGRSHSKDRTLGKPDSLLVPAVTSDSCNNSISLLSEKLTSSCSPHHIKRSVVEAMQRQARKMCNYDKILATKKNLDHVNKILKAKKLQRQARTGNNFVKRRPGRPRKCPLQAVVSMQAFQAAQFVNPELNRDEEGAALHLSPDTVTDVIEAVVQSVNLNPEHKKGLKRKGWLLEEQTRKKQKPLPEEEEQENNKSFNEAPVEIPSPSETPAKPSEPESTLQPVLSLIPREKKPPRPPKKKYQKAGLYSDVYKTTDPKSRLIQLKKEKLEYTPGEHEYGLFPAPIHVVFFVSGKYLRQKRIDFQLPYDILWQWKHNQLYKKPDVPLYKKIRSNVYVDVKPLSGYEATTCNCKKPDDDTRKGCVDDCLNRMIFAECSPNTCPCGEQCCNQRIQRHEWVQCLERFRAEEKGWGIRTKEPLKAGQFIIEYLGEVVSEQEFRNRMIEQYHNHSDHYCLNLDSGMVIDSYRMGNEARFINHSCDPNCEMQKWSVNGVYRIGLYALKDMPAGTELTYDYNFHSFNVEKQQLCKCGFEKCRGIIGGKSQRVNGLTSSKNSQPMATHKKSGRSKEKRKSKHKLKKRRGHLSEEPSENINTPTRLTPQLQMKPMSNRERNFVLKHHVFLVRNWEKIRQKQEEVKHTSDNIHSASLYTRWNGICRDDGNIKSDVFMTQFSALQTARSVRTRRLAAAEENIEVARAARLAQIFKEICDGIISYKDSSRQALAAPLLNLPPKKKNADYYEKISDPLDLITIEKQILTGYYKTVEAFDADMLKVFRNAEKYYGRKSPVGRDVCRLRKAYYNARHEASAQIDEIVGETASEADSSETSVSEKENGHEKDDDVIRCICGLYKDEGLMIQCDKCMVWQHCDCMGVNSDVEHYLCEQCDPRPVDREVPMIPRPHYAQPGCVYFICLLRDDLLLRQGDCVYLMRDSRRTPDGHPVRQSYRLLSHINRDKLDIFRIEKLWKNEKEERFAFGHHYFRPHETHHSPSRRFYHNELFRVPLYEIIPLEAVVGTCCVLDLYTYCKGRPKGVKEQDVYICDYRLDKSAHLFYKIHRNRYPVCTKPYAFDHFPKKLTPKKDFSPHYVPDNYKRNGGRSSWKSERSKPPLKDLGQEDDALPLIEEVLASQEQAANEIPSLEEPEREGATANVSEGEKKTEESSQEPQSTCTPEERRHNQRERLNQILLNLLEKIPGKNAIDVTYLLEEGSGRKLRRRTLFIPENSFRK
+>DECOY_sp|Q9NR48|ASH1L_HUMAN Histone-lysine N-methyltransferase ASH1L OS=Homo sapiens OX=9606 GN=ASH1L PE=1 SV=2
+KRFSNEPIFLTRRRLKRGSGEELLYTVDIANKGPIKELLNLLIQNLRERQNHRREEPTCTSQPEQSSEETKKEGESVNATAGEREPEELSPIENAAQEQSALVEEILPLADDEQGLDKLPPKSRESKWSSRGGNRKYNDPVYHPSFDKKPTLKKPFHDFAYPKTCVPYRNRHIKYFLHASKDLRYDCIYVDQEKVGKPRGKCYTYLDLVCCTGVVAELPIIEYLPVRFLENHYFRRSPSHHTEHPRFYHHGFAFREEKENKWLKEIRFIDLKDRNIHSLLRYSQRVPHGDPTRRSDRMLYVCDGQRLLLDDRLLCIFYVCGPQAYHPRPIMPVERDVPRPDCQECLYHEVDSNVGMCDCHQWVMCKDCQIMLGEDKYLGCICRIVDDDKEHGNEKESVSTESSDAESATEGVIEDIQASAEHRANYYAKRLRCVDRGVPSKRGYYKEANRFVKLMDADFAEVTKYYGTLIQKEITILDLPDSIKEYYDANKKKPPLNLLPAALAQRSSDKYSIIGDCIEKFIQALRAARAVEINEEAAALRRTRVSRATQLASFQTMFVDSKINGDDRCIGNWRTYLSASHINDSTHKVEEQKQRIKEWNRVLFVHHKLVFNRERNSMPKMQLQPTLRTPTNINESPEESLHGRRKKLKHKSKRKEKSRGSKKHTAMPQSNKSSTLGNVRQSKGGIIGRCKEFGCKCLQQKEVNFSHFNYDYTLETGAPMDKLAYLGIRYVGNVSWKQMECNPDCSHNIFRAENGMRYSDIVMGSDLNLCYHDSHNHYQEIMRNRFEQESVVEGLYEIIFQGAKLPEKTRIGWGKEEARFRELCQVWEHRQIRQNCCQEGCPCTNPSCEAFIMRNLCDDVCGKRTDDDPKKCNCTTAEYGSLPKVDVYVNSRIKKYLPVDPKKYLQNHKWQWLIDYPLQFDIRKQRLYKGSVFFVVHIPAPFLGYEHEGPTYELKEKKLQILRSKPDTTKYVDSYLGAKQYKKKPPRPPKKERPILSLVPQLTSEPESPKAPTESPSPIEVPAENFSKNNEQEEEEPLPKQKKRTQEELLWGKRKLGKKHEPNLNVSQVVAEIVDTVTDPSLHLAAGEEDRNLEPNVFQAAQFAQMSVVAQLPCKRPRGPRRKVFNNGTRAQRQLKKAKLIKNVHDLNKKTALIKDYNCMKRAQRQMAEVVSRKIHHPSCSSTLKESLLSISNNCSDSTVAPVLLSDPKGLTRDKSHSRGPSSSPPASATAIVADISKEMPEQDENQVMRQLLSDVSDDGSAVLRPSRSPVGNVTSSTSESSSRKRTPSCNTSESPRQSPKDSTPQSGALTQVARENSPLSEKRHLRNLSTDQASFLGPSDTLKKRGSSNPNSVRCSGIASTFLNTHEDSSAPESNPTFGGLSLSPSSESCDIQLPTQLGLALPSSESPERHVWQEERNILSKSPSLHPCSMHCRHKEKHKYREGVADKGFRYRKLSELVSRSSGTDMSVQPQESSRHERHKHRHRHKHEVMWGYAMEPPVSPYTSMSLLPTRSTTLFAEQRLLKHKKKHYKAPNLLLHGAHMYSPPPLPTTFSPSPPPPYLTPPYRGYYGLGIPAATLPSPSYPMGYSPYFGTSSLPFSLSHMFPMEAMAERMKPPRGRKKKLDPKRIYHLPDLPFSPHTYFSNFNIRFITPLLDRPIFHHSRHTIRIESLRSILEELEAIFDPDQRNRLQPYKKKRKRKQRKMKDYSLYDHNRRKCKHKHKEKLSSLVTSTEPPILSVHEFSHRRKKQGCFKEARDSTSNNDTGIGSDSPITEDSHSESIPSPTAEKLSTLESLHSPSNPLLTPPSLRRRGKSAKKMALTQIMSGQRSHLHLYPVSSPEVFGADSSVPSQGGSTGSSQSCIPSPLIESSSASSPLLPPLIQGLASGAAQQATQELVALSTPSGSLIGNLVTKPKRGRRKGIYITGKKSVNIQQGLKSGFTAALSSVTNHLKSQVKGKNSSEVSSSLIQNLLKKRKLTKMKNIKRIITKMLQGNNRKTIKKDPEMELDSMSCVSPRHSDDLDDPDQLQDESEFFDSSSRHNDSESELKSPSETAVFPAVSLVPPKMKVPSRMQRKPRGRKKPFPSVSLGQKFSPIEIEPQLIPPEQEEKSQLSFVKSAPIKLTRKPPGELQPMEKSKPRGRKPKYIDSLLDSSVCMSSSLKHTAFSKHSPKESDALLALSPATSKSLKPLRRKLFDHDVFSPPGINKMFKAPESNSNSLSSCSVNKFLESRELELGKPSRCTSRAVVKTWRPKRGKRKKLPKSQLSTSLSEAVQCHKDSASVAGLKLFPKNSFLSTFNVVSPTITHISSESTLSPKKGLSSSIRPIDIHTTKSDNVEKDIGKCEISISHGVSRHGVNLHTSESTFQNKGVSESIEEILETTSSLLLPNPALQSSTEPPSRTLPNVSVTPSPSPLNSEGVASFPSKATSVDSAGGMKFDPSTCYVPPQKEHKSTEYSGKESSSFSDQQICTGENFMEKELNIIEKRVSFKELISEKNQRVVNKEFTKSTASDKLSESLEQSEQNNINTSCSAKLPEQTPLLAEAKLNIADKSILGALPCSMLKKGIDKNVLGMASSAVIKKACDKAVLGVTSGLKKGLDKNVLGVVTGLGLKKGSEKHVLGPVIGIGLKKGSDKSLLGVTTITGPKKGLNKNIFVATTGTGLKKVSDKNVLGVAANFVPKKGPDKTVLGVATSITPKKILDKHIIGAVSGVGAKRILDKSVLGATTGTGLKKPKTKSSKSPPCTAILQALKETVSTGGNLLARDKLDPDRSGLLTSPTANIYDAMESHVPSLKKSLPNNEGQSHLRIVEESQCEIAAVDDAKKYLKSPDRKSPCHENKEDTMKGSKLAKRPHKITTKIAPRCVYNELNKPPKKTRKAQLGIKLKLNGESFNTEKVSFQQQADTLGDDKGAEINRERNRKRLDEEEKTNKELEVERKSVLTGTSIASPSKRSFGESDSGLGLMATNRPDM
+>sp|P08243|ASNS_HUMAN Asparagine synthetase [glutamine-hydrolyzing] OS=Homo sapiens OX=9606 GN=ASNS PE=1 SV=4
+MCGIWALFGSDDCLSVQCLSAMKIAHRGPDAFRFENVNGYTNCCFGFHRLAVVDPLFGMQPIRVKKYPYLWLCYNGEIYNHKKMQQHFEFEYQTKVDGEIILHLYDKGGIEQTICMLDGVFAFVLLDTANKKVFLGRDTYGVRPLFKAMTEDGFLAVCSEAKGLVTLKHSATPFLKVEPFLPGHYEVLDLKPNGKVASVEMVKYHHCRDVPLHALYDNVEKLFPGFEIETVKNNLRILFNNAVKKRLMTDRRIGCLLSGGLDSSLVAATLLKQLKEAQVQYPLQTFAIGMEDSPDLLAARKVADHIGSEHYEVLFNSEEGIQALDEVIFSLETYDITTVRASVGMYLISKYIRKNTDSVVIFSGEGSDELTQGYIYFHKAPSPEKAEEESERLLRELYLFDVLRADRTTAAHGLELRVPFLDHRFSSYYLSLPPEMRIPKNGIEKHLLRETFEDSNLIPKEILWRPKEAFSDGITSVKNSWFKILQEYVEHQVDDAMMANAAQKFPFNTPKTKEGYYYRQVFERHYPGRADWLSHYWMPKWINATDPSARTLTHYKSAVKA
+>DECOY_sp|P08243|ASNS_HUMAN Asparagine synthetase [glutamine-hydrolyzing] OS=Homo sapiens OX=9606 GN=ASNS PE=1 SV=4
+AKVASKYHTLTRASPDTANIWKPMWYHSLWDARGPYHREFVQRYYYGEKTKPTNFPFKQAANAMMADDVQHEVYEQLIKFWSNKVSTIGDSFAEKPRWLIEKPILNSDEFTERLLHKEIGNKPIRMEPPLSLYYSSFRHDLFPVRLELGHAATTRDARLVDFLYLERLLRESEEEAKEPSPAKHFYIYGQTLEDSGEGSFIVVSDTNKRIYKSILYMGVSARVTTIDYTELSFIVEDLAQIGEESNFLVEYHESGIHDAVKRAALLDPSDEMGIAFTQLPYQVQAEKLQKLLTAAVLSSDLGGSLLCGIRRDTMLRKKVANNFLIRLNNKVTEIEFGPFLKEVNDYLAHLPVDRCHHYKVMEVSAVKGNPKLDLVEYHGPLFPEVKLFPTASHKLTVLGKAESCVALFGDETMAKFLPRVGYTDRGLFVKKNATDLLVFAFVGDLMCITQEIGGKDYLHLIIEGDVKTQYEFEFHQQMKKHNYIEGNYCLWLYPYKKVRIPQMGFLPDVVALRHFGFCCNTYGNVNEFRFADPGRHAIKMASLCQVSLCDDSGFLAWIGCM
+>sp|Q9BZE9|ASPC1_HUMAN Tether containing UBX domain for GLUT4 OS=Homo sapiens OX=9606 GN=ASPSCR1 PE=1 SV=1
+MAAPAGGGGSAVSVLAPNGRRHTVKVTPSTVLLQVLEDTCRRQDFNPCEYDLKFQRSVLDLSLQWRFANLPNNAKLEMVPASRSREGPENMVRIALQLDDGSRLQDSFCSGQTLWELLSHFPQIRECLQHPGGATPVCVYTRDEVTGEAALRGTTLQSLGLTGGSATIRFVMKCYDPVGKTPGSLGSSASAGQAAASAPLPLESGELSRGDLSRPEDADTSGPCCEHTQEKQSTRAPAAAPFVPFSGGGQRLGGPPGPTRPLTSSSAKLPKSLSSPGGPSKPKKSKSGQDPQQEQEQERERDPQQEQERERPVDREPVDREPVVCHPDLEERLQAWPAELPDEFFELTVDDVRRRLAQLKSERKRLEEAPLVTKAFREAQIKEKLERYPKVALRVLFPDRYVLQGFFRPSETVGDLRDFVRSHLGNPELSFYLFITPPKTVLDDHTQTLFQANLFPAALVHLGAEEPAGVYLEPGLLEHAISPSAADVLVARYMSRAAGSPSPLPAPDPAPKSEPAAEEGALVPPEPIPGTAQPVKRSLGKVPKWLKLPASKR
+>DECOY_sp|Q9BZE9|ASPC1_HUMAN Tether containing UBX domain for GLUT4 OS=Homo sapiens OX=9606 GN=ASPSCR1 PE=1 SV=1
+RKSAPLKLWKPVKGLSRKVPQATGPIPEPPVLAGEEAAPESKPAPDPAPLPSPSGAARSMYRAVLVDAASPSIAHELLGPELYVGAPEEAGLHVLAAPFLNAQFLTQTHDDLVTKPPTIFLYFSLEPNGLHSRVFDRLDGVTESPRFFGQLVYRDPFLVRLAVKPYRELKEKIQAERFAKTVLPAEELRKRESKLQALRRRVDDVTLEFFEDPLEAPWAQLREELDPHCVVPERDVPERDVPREREQEQQPDREREQEQEQQPDQGSKSKKPKSPGGPSSLSKPLKASSSTLPRTPGPPGGLRQGGGSFPVFPAAAPARTSQKEQTHECCPGSTDADEPRSLDGRSLEGSELPLPASAAAQGASASSGLSGPTKGVPDYCKMVFRITASGGTLGLSQLTTGRLAAEGTVEDRTYVCVPTAGGPHQLCERIQPFHSLLEWLTQGSCFSDQLRSGDDLQLAIRVMNEPGERSRSAPVMELKANNPLNAFRWQLSLDLVSRQFKLDYECPNFDQRRCTDELVQLLVTSPTVKVTHRRGNPALVSVASGGGGAPAAM
+>sp|A6ND91|ASPD_HUMAN Putative L-aspartate dehydrogenase OS=Homo sapiens OX=9606 GN=ASPDH PE=1 SV=2
+MADRGPWRVGVVGYGRLGQSLVSRLLAQGPELGLELVFVWNRDPGRMAGSVPPSLQLQNLAALGERRPDLVVEVAHPKIIHESGAQILRHANLLVGSPSALSDQTTERQLLEASQHWDHAVFVARGALWGAEDIRRLDAAGGLRSLRVTMATHPDGFRLEGPLAAAHSPGPCTVLYEGPVRGLCPFAPRNSNTMAAAALAAPSLGFDGVIGVLVADTSLTDMHVVDVELSGPRGPTGRSFAVHTRRENPAEPGAVTGSATVTAFWQSLLACCQLPSRPGIHLC
+>DECOY_sp|A6ND91|ASPD_HUMAN Putative L-aspartate dehydrogenase OS=Homo sapiens OX=9606 GN=ASPDH PE=1 SV=2
+CLHIGPRSPLQCCALLSQWFATVTASGTVAGPEAPNERRTHVAFSRGTPGRPGSLEVDVVHMDTLSTDAVLVGIVGDFGLSPAALAAAAMTNSNRPAFPCLGRVPGEYLVTCPGPSHAAALPGELRFGDPHTAMTVRLSRLGGAADLRRIDEAGWLAGRAVFVAHDWHQSAELLQRETTQDSLASPSGVLLNAHRLIQAGSEHIIKPHAVEVVLDPRREGLAALNQLQLSPPVSGAMRGPDRNWVFVLELGLEPGQALLRSVLSQGLRGYGVVGVRWPGRDAM
+>sp|Q96KQ4|ASPP1_HUMAN Apoptosis-stimulating of p53 protein 1 OS=Homo sapiens OX=9606 GN=PPP1R13B PE=1 SV=3
+MMPMILTVFLSNNEQILTEVPITPETTCRDVVEFCKEPGEGSCHLAEVWRGNERPIPFDHMMYEHLQKWGPRREEVKFFLRHEDSPTENSEQGGRQTQEQRTQRNVINVPGEKRTENGVGNPRVELTLSELQDMAARQQQQIENQQQMLVAKEQRLHFLKQQERRQQQSISENEKLQKLKERVEAQENKLKKIRAMRGQVDYSKIMNGNLSAEIERFSAMFQEKKQEVQTAILRVDQLSQQLEDLKKGKLNGFQSYNGKLTGPAAVELKRLYQELQIRNQLNQEQNSKLQQQKELLNKRNMEVAMMDKRISELRERLYGKKIQLNRVNGTSSPQSPLSTSGRVAAVGPYIQVPSAGSFPVLGDPIKPQSLSIASNAAHGRSKSANDGNWPTLKQNSSSSVKPVQVAGADWKDPSVEGSVKQGTVSSQPVPFSALGPTEKPGIEIGKVPPPIPGVGKQLPPSYGTYPSPTPLGPGSTSSLERRKEGSLPRPSAGLPSRQRPTLLPATGSTPQPGSSQQIQQRISVPPSPTYPPAGPPAFPAGDSKPELPLTVAIRPFLADKGSRPQSPRKGPQTVNSSSIYSMYLQQATPPKNYQPAAHSALNKSVKAVYGKPVLPSGSTSPSPLPFLHGSLSTGTPQPQPPSESTEKEPEQDGPAAPADGSTVESLPRPLSPTKLTPIVHSPLRYQSDADLEALRRKLANAPRPLKKRSSITEPEGPGGPNIQKLLYQRFNTLAGGMEGTPFYQPSPSQDFMGTLADVDNGNTNANGNLEELPPAQPTAPLPAEPAPSSDANDNELPSPEPEELICPQTTHQTAEPAEDNNNNVATVPTTEQIPSPVAEAPSPGEEQVPPAPLPPASHPPATSTNKRTNLKKPNSERTGHGLRVRFNPLALLLDASLEGEFDLVQRIIYEVEDPSKPNDEGITPLHNAVCAGHHHIVKFLLDFGVNVNAADSDGWTPLHCAASCNSVHLCKQLVESGAAIFASTISDIETAADKCEEMEEGYIQCSQFLYGVQEKLGVMNKGVAYALWDYEAQNSDELSFHEGDALTILRRKDESETEWWWARLGDREGYVPKNLLGLYPRIKPRQRTLA
+>DECOY_sp|Q96KQ4|ASPP1_HUMAN Apoptosis-stimulating of p53 protein 1 OS=Homo sapiens OX=9606 GN=PPP1R13B PE=1 SV=3
+ALTRQRPKIRPYLGLLNKPVYGERDGLRAWWWETESEDKRRLITLADGEHFSLEDSNQAEYDWLAYAVGKNMVGLKEQVGYLFQSCQIYGEEMEECKDAATEIDSITSAFIAAGSEVLQKCLHVSNCSAACHLPTWGDSDAANVNVGFDLLFKVIHHHGACVANHLPTIGEDNPKSPDEVEYIIRQVLDFEGELSADLLLALPNFRVRLGHGTRESNPKKLNTRKNTSTAPPHSAPPLPAPPVQEEGPSPAEAVPSPIQETTPVTAVNNNNDEAPEATQHTTQPCILEEPEPSPLENDNADSSPAPEAPLPATPQAPPLEELNGNANTNGNDVDALTGMFDQSPSPQYFPTGEMGGALTNFRQYLLKQINPGGPGEPETISSRKKLPRPANALKRRLAELDADSQYRLPSHVIPTLKTPSLPRPLSEVTSGDAPAAPGDQEPEKETSESPPQPQPTGTSLSGHLFPLPSPSTSGSPLVPKGYVAKVSKNLASHAAPQYNKPPTAQQLYMSYISSSNVTQPGKRPSQPRSGKDALFPRIAVTLPLEPKSDGAPFAPPGAPPYTPSPPVSIRQQIQQSSGPQPTSGTAPLLTPRQRSPLGASPRPLSGEKRRELSSTSGPGLPTPSPYTGYSPPLQKGVGPIPPPVKGIEIGPKETPGLASFPVPQSSVTGQKVSGEVSPDKWDAGAVQVPKVSSSSNQKLTPWNGDNASKSRGHAANSAISLSQPKIPDGLVPFSGASPVQIYPGVAAVRGSTSLPSQPSSTGNVRNLQIKKGYLRERLESIRKDMMAVEMNRKNLLEKQQQLKSNQEQNLQNRIQLEQYLRKLEVAAPGTLKGNYSQFGNLKGKKLDELQQSLQDVRLIATQVEQKKEQFMASFREIEASLNGNMIKSYDVQGRMARIKKLKNEQAEVREKLKQLKENESISQQQRREQQKLFHLRQEKAVLMQQQNEIQQQQRAAMDQLESLTLEVRPNGVGNETRKEGPVNIVNRQTRQEQTQRGGQESNETPSDEHRLFFKVEERRPGWKQLHEYMMHDFPIPRENGRWVEALHCSGEGPEKCFEVVDRCTTEPTIPVETLIQENNSLFVTLIMPMM
+>sp|Q2TB18|ASTE1_HUMAN Protein asteroid homolog 1 OS=Homo sapiens OX=9606 GN=ASTE1 PE=1 SV=1
+MGIRGLMSFVEDHSNEFFTDLKLRDTKIVIDGYALFHRLCFSSNLDLRYGGDYDSFADVVQKFFESLFACNICPYVVLDGGCDISDKKLTTLKDRAREKIQMAHSLSVGGSGYVCPLLIREVFIQVLIKLRVCFVQCFSEADRDIMTLANHWNCPVLSSDSDFCIFDLKTGFCPLNSFQWRNMNTIKGTQNYIPAKCFSLDAFCHHFSNMNKALLPLFAVLCGNDHVNLPIMETFLSKARLPLGATSSKGRRHHRILGLLNWLSHFANPTEALDNVLKYLPKKDRENVKELLCCSMEEYQQSQVKLQDFFQCGTYVCPDALNLGLPEWVLVALAKGQLSPFISDALVLRRTILPTQVENMQQPNAHRISQPIRQIIYGLLLNASPHLDKTSWNALPPQPLAFSEVERINKNIRTSIIDAVELAKDHSDLSRLTELSLRRRQMLLLETLKVKQTILEPIPTSLKLPIAVSCYWLQHTETKAKLHHLQSLLLTMLVGPLIAIINSPGKEELQEDGAKMLYAEFQRVKAQTRLGTRLDLDTAHIFCQWQSCLQMGMYLNQLLSTPLPEPDLTRLYSGSLVHGLCQQLLASTSVESLLSICPEAKQLYEYLFNATRSYAPAEIFLPKGRSNSKKKRQKKQNTSCSKNRGRTTAHTKCWYEGNNRFGLLMVENLEEHSEASNIE
+>DECOY_sp|Q2TB18|ASTE1_HUMAN Protein asteroid homolog 1 OS=Homo sapiens OX=9606 GN=ASTE1 PE=1 SV=1
+EINSAESHEELNEVMLLGFRNNGEYWCKTHATTRGRNKSCSTNQKKQRKKKSNSRGKPLFIEAPAYSRTANFLYEYLQKAEPCISLLSEVSTSALLQQCLGHVLSGSYLRTLDPEPLPTSLLQNLYMGMQLCSQWQCFIHATDLDLRTGLRTQAKVRQFEAYLMKAGDEQLEEKGPSNIIAILPGVLMTLLLSQLHHLKAKTETHQLWYCSVAIPLKLSTPIPELITQKVKLTELLLMQRRRLSLETLRSLDSHDKALEVADIISTRINKNIREVESFALPQPPLANWSTKDLHPSANLLLGYIIQRIPQSIRHANPQQMNEVQTPLITRRLVLADSIFPSLQGKALAVLVWEPLGLNLADPCVYTGCQFFDQLKVQSQQYEEMSCCLLEKVNERDKKPLYKLVNDLAETPNAFHSLWNLLGLIRHHRRGKSSTAGLPLRAKSLFTEMIPLNVHDNGCLVAFLPLLAKNMNSFHHCFADLSFCKAPIYNQTGKITNMNRWQFSNLPCFGTKLDFICFDSDSSLVPCNWHNALTMIDRDAESFCQVFCVRLKILVQIFVERILLPCVYGSGGVSLSHAMQIKERARDKLTTLKKDSIDCGGDLVVYPCINCAFLSEFFKQVVDAFSDYDGGYRLDLNSSFCLRHFLAYGDIVIKTDRLKLDTFFENSHDEVFSMLGRIGM
+>sp|Q9Y284|ASTER_HUMAN Protein Asterix OS=Homo sapiens OX=9606 GN=WDR83OS PE=1 SV=1
+MSTNNMSDPRRPNKVLRYKPPPSECNPALDDPTPDYMNLLGMIFSMCGLMLKLKWCAWVAVYCSFISFANSRSSEDTKQMMSSFMLSISAVVMSYLQNPQPMTPPW
+>DECOY_sp|Q9Y284|ASTER_HUMAN Protein Asterix OS=Homo sapiens OX=9606 GN=WDR83OS PE=1 SV=1
+WPPTMPQPNQLYSMVVASISLMFSSMMQKTDESSRSNAFSIFSCYVAVWACWKLKLMLGCMSFIMGLLNMYDPTPDDLAPNCESPPPKYRLVKNPRRPDSMNNTSM
+>sp|Q6HA08|ASTL_HUMAN Astacin-like metalloendopeptidase OS=Homo sapiens OX=9606 GN=ASTL PE=1 SV=4
+MEGVGGLWPWVLGLLSLPGVILGAPLASSCAGACGTSFPDGLTPEGTQASGDKDIPAINQGLILEETPESSFLIEGDIIRPSPFRLLSATSNKWPMGGSGVVEVPFLLSSKYDEPSRQVILEALAEFERSTCIRFVTYQDQRDFISIIPMYGCFSSVGRSGGMQVVSLAPTCLQKGRGIVLHELMHVLGFWHEHTRADRDRYIRVNWNEILPGFEINFIKSQSSNMLTPYDYSSVMHYGRLAFSRRGLPTITPLWAPSVHIGQRWNLSASDITRVLKLYGCSPSGPRPRGRGSHAHSTGRSPAPASLSLQRLLEALSAESRSPDPSGSSAGGQPVPAGPGESPHGWESPALKKLSAEASARQPQTLASSPRSRPGAGAPGVAQEQSWLAGVSTKPTVPSSEAGIQPVPVQGSPALPGGCVPRNHFKGMSED
+>DECOY_sp|Q6HA08|ASTL_HUMAN Astacin-like metalloendopeptidase OS=Homo sapiens OX=9606 GN=ASTL PE=1 SV=4
+DESMGKFHNRPVCGGPLAPSGQVPVPQIGAESSPVTPKTSVGALWSQEQAVGPAGAGPRSRPSSALTQPQRASAEASLKKLAPSEWGHPSEGPGAPVPQGGASSGSPDPSRSEASLAELLRQLSLSAPAPSRGTSHAHSGRGRPRPGSPSCGYLKLVRTIDSASLNWRQGIHVSPAWLPTITPLGRRSFALRGYHMVSSYDYPTLMNSSQSKIFNIEFGPLIENWNVRIYRDRDARTHEHWFGLVHMLEHLVIGRGKQLCTPALSVVQMGGSRGVSSFCGYMPIISIFDRQDQYTVFRICTSREFEALAELIVQRSPEDYKSSLLFPVEVVGSGGMPWKNSTASLLRFPSPRIIDGEILFSSEPTEELILGQNIAPIDKDGSAQTGEPTLGDPFSTGCAGACSSALPAGLIVGPLSLLGLVWPWLGGVGEM
+>sp|O14525|ASTN1_HUMAN Astrotactin-1 OS=Homo sapiens OX=9606 GN=ASTN1 PE=2 SV=3
+MALAGLCALLACCWGPAAVLATAAGDVDPSKELECKLKSITVSALPFLRENDLSIMHSPSASEPKLLFSVRNDFPGEMVVVDDLENTELPYFVLEISGNTEDIPLVRWRQQWLENGTLLFHIHHQDGAPSLPGQDPTEEPQHESAEEELRILHISVMGGMIALLLSILCLVMILYTRRRWCKRRRVPQPQKSASAEAANEIHYIPSVLIGGHGRESLRNARVQGHNSSGTLSIRETPILDGYEYDITDLRHHLQRECMNGGEDFASQVTRTLDSLQGCNEKSGMDLTPGSDNAKLSLMNKYKDNIIATSPVDSNHQQATLLSHTSSSQRKRINNKARAGSAFLNPEGDSGTEAENDPQLTFYTDPSRSRRRSRVGSPRSPVNKTTLTLISITSCVIGLVCSSHVNCPLVVKITLHVPEHLIADGSRFILLEGSQLDASDWLNPAQVVLFSQQNSSGPWAMDLCARRLLDPCEHQCDPETGRREHRAAGECLCYEGYMKDPVHKHLCIRNEWGTNQGPWPYTIFQRGFDLVLGEQPSDKIFRFTYTLGEGMWLPLSKSFVIPPAELAINPSAKCKTDMTVMEDAVEVREELMTSSSFDSLEVLLDSFGPVRDCSKDNGGCSKNFRCISDRKLDSTGCVCPSGLSPMKDSSGCYDRHIGVDCSDGFNGGCEQLCLQQMAPFPDDPTLYNILMFCGCIEDYKLGVDGRSCQLITETCPEGSDCGESRELPMNQTLFGEMFFGYNNHSKEVAAGQVLKGTFRQNNFARGLDQQLPDGLVVATVPLENQCLEEISEPTPDPDFLTGMVNFSEVSGYPVLQHWKVRSVMYHIKLNQVAISQALSNALHSLDGATSRADFVALLDQFGNHYIQEAIYGFEESCSIWYPNKQVQRRLWLEYEDISKGNSPSDESEERERDPKVLTFPEYITSLSDSGTKHMAAGVRMECHSKGRCPSSCPLCHVTSSPDTPAEPVLLEVTKAAPIYELVTNNQTQRLLQEATMSSLWCSGTGDVIEDWCRCDSTAFGADGLPTCAPLPQPVLRLSTVHEPSSTLVVLEWEHSEPPIGVQIVDYLLRQEKVTDRMDHSKVETETVLSFVDDIISGAKSPCAMPSQVPDKQLTTISLIIRCLEPDTIYMFTLWGVDNTGRRSRPSDVIVKTPCPVVDDVKAQEIADKIYNLFNGYTSGKEQQTAYNTLLDLGSPTLHRVLYHYNQHYESFGEFTWRCEDELGPRKAGLILSQLGDLSSWCNGLLQEPKISLRRSSLKYLGCRYSEIKPYGLDWAELSRDLRKTCEEQTLSIPYNDYGDSKEI
+>DECOY_sp|O14525|ASTN1_HUMAN Astrotactin-1 OS=Homo sapiens OX=9606 GN=ASTN1 PE=2 SV=3
+IEKSDGYDNYPISLTQEECTKRLDRSLEAWDLGYPKIESYRCGLYKLSSRRLSIKPEQLLGNCWSSLDGLQSLILGAKRPGLEDECRWTFEGFSEYHQNYHYLVRHLTPSGLDLLTNYATQQEKGSTYGNFLNYIKDAIEQAKVDDVVPCPTKVIVDSPRSRRGTNDVGWLTFMYITDPELCRIILSITTLQKDPVQSPMACPSKAGSIIDDVFSLVTETEVKSHDMRDTVKEQRLLYDVIQVGIPPESHEWELVVLTSSPEHVTSLRLVPQPLPACTPLGDAGFATSDCRCWDEIVDGTGSCWLSSMTAEQLLRQTQNNTVLEYIPAAKTVELLVPEAPTDPSSTVHCLPCSSPCRGKSHCEMRVGAAMHKTGSDSLSTIYEPFTLVKPDREREESEDSPSNGKSIDEYELWLRRQVQKNPYWISCSEEFGYIAEQIYHNGFQDLLAVFDARSTAGDLSHLANSLAQSIAVQNLKIHYMVSRVKWHQLVPYGSVESFNVMGTLFDPDPTPESIEELCQNELPVTAVVLGDPLQQDLGRAFNNQRFTGKLVQGAAVEKSHNNYGFFMEGFLTQNMPLERSEGCDSGEPCTETILQCSRGDVGLKYDEICGCFMLINYLTPDDPFPAMQQLCLQECGGNFGDSCDVGIHRDYCGSSDKMPSLGSPCVCGTSDLKRDSICRFNKSCGGNDKSCDRVPGFSDLLVELSDFSSSTMLEERVEVADEMVTMDTKCKASPNIALEAPPIVFSKSLPLWMGEGLTYTFRFIKDSPQEGLVLDFGRQFITYPWPGQNTGWENRICLHKHVPDKMYGEYCLCEGAARHERRGTEPDCQHECPDLLRRACLDMAWPGSSNQQSFLVVQAPNLWDSADLQSGELLIFRSGDAILHEPVHLTIKVVLPCNVHSSCVLGIVCSTISILTLTTKNVPSRPSGVRSRRRSRSPDTYFTLQPDNEAETGSDGEPNLFASGARAKNNIRKRQSSSTHSLLTAQQHNSDVPSTAIINDKYKNMLSLKANDSGPTLDMGSKENCGQLSDLTRTVQSAFDEGGNMCERQLHHRLDTIDYEYGDLIPTERISLTGSSNHGQVRANRLSERGHGGILVSPIYHIENAAEASASKQPQPVRRRKCWRRRTYLIMVLCLISLLLAIMGGMVSIHLIRLEEEASEHQPEETPDQGPLSPAGDQHHIHFLLTGNELWQQRWRVLPIDETNGSIELVFYPLETNELDDVVVMEGPFDNRVSFLLKPESASPSHMISLDNERLFPLASVTISKLKCELEKSPDVDGAATALVAAPGWCCALLACLGALAM
+>sp|P05026|AT1B1_HUMAN Sodium/potassium-transporting ATPase subunit beta-1 OS=Homo sapiens OX=9606 GN=ATP1B1 PE=1 SV=1
+MARGKAKEEGSWKKFIWNSEKKEFLGRTGGSWFKILLFYVIFYGCLAGIFIGTIQVMLLTISEFKPTYQDRVAPPGLTQIPQIQKTEISFRPNDPKSYEAYVLNIVRFLEKYKDSAQRDDMIFEDCGDVPSEPKERGDFNHERGERKVCRFKLEWLGNCSGLNDETYGYKEGKPCIIIKLNRVLGFKPKPPKNESLETYPVMKYNPNVLPVQCTGKRDEDKDKVGNVEYFGLGNSPGFPLQYYPYYGKLLQPKYLQPLLAVQFTNLTMDTEIRIECKAYGENIGYSEKDRFQGRFDVKIEVKS
+>DECOY_sp|P05026|AT1B1_HUMAN Sodium/potassium-transporting ATPase subunit beta-1 OS=Homo sapiens OX=9606 GN=ATP1B1 PE=1 SV=1
+SKVEIKVDFRGQFRDKESYGINEGYAKCEIRIETDMTLNTFQVALLPQLYKPQLLKGYYPYYQLPFGPSNGLGFYEVNGVKDKDEDRKGTCQVPLVNPNYKMVPYTELSENKPPKPKFGLVRNLKIIICPKGEKYGYTEDNLGSCNGLWELKFRCVKREGREHNFDGREKPESPVDGCDEFIMDDRQASDKYKELFRVINLVYAEYSKPDNPRFSIETKQIQPIQTLGPPAVRDQYTPKFESITLLMVQITGIFIGALCGYFIVYFLLIKFWSGGTRGLFEKKESNWIFKKWSGEEKAKGRAM
+>sp|P20020|AT2B1_HUMAN Plasma membrane calcium-transporting ATPase 1 OS=Homo sapiens OX=9606 GN=ATP2B1 PE=1 SV=3
+MGDMANNSVAYSGVKNSLKEANHDGDFGITLAELRALMELRSTDALRKIQESYGDVYGICTKLKTSPNEGLSGNPADLERREAVFGKNFIPPKKPKTFLQLVWEALQDVTLIILEIAAIVSLGLSFYQPPEGDNALCGEVSVGEEEGEGETGWIEGAAILLSVVCVVLVTAFNDWSKEKQFRGLQSRIEQEQKFTVIRGGQVIQIPVADITVGDIAQVKYGDLLPADGILIQGNDLKIDESSLTGESDHVKKSLDKDPLLLSGTHVMEGSGRMVVTAVGVNSQTGIIFTLLGAGGEEEEKKDEKKKEKKNKKQDGAIENRNKAKAQDGAAMEMQPLKSEEGGDGDEKDKKKANLPKKEKSVLQGKLTKLAVQIGKAGLLMSAITVIILVLYFVIDTFWVQKRPWLAECTPIYIQYFVKFFIIGVTVLVVAVPEGLPLAVTISLAYSVKKMMKDNNLVRHLDACETMGNATAICSDKTGTLTMNRMTVVQAYINEKHYKKVPEPEAIPPNILSYLVTGISVNCAYTSKILPPEKEGGLPRHVGNKTECALLGLLLDLKRDYQDVRNEIPEEALYKVYTFNSVRKSMSTVLKNSDGSYRIFSKGASEIILKKCFKILSANGEAKVFRPRDRDDIVKTVIEPMASEGLRTICLAFRDFPAGEPEPEWDNENDIVTGLTCIAVVGIEDPVRPEVPDAIKKCQRAGITVRMVTGDNINTARAIATKCGILHPGEDFLCLEGKDFNRRIRNEKGEIEQERIDKIWPKLRVLARSSPTDKHTLVKGIIDSTVSDQRQVVAVTGDGTNDGPALKKADVGFAMGIAGTDVAKEASDIILTDDNFTSIVKAVMWGRNVYDSISKFLQFQLTVNVVAVIVAFTGACITQDSPLKAVQMLWVNLIMDTLASLALATEPPTESLLLRKPYGRNKPLISRTMMKNILGHAFYQLVVVFTLLFAGEKFFDIDSGRNAPLHAPPSEHYTIVFNTFVLMQLFNEINARKIHGERNVFEGIFNNAIFCTIVLGTFVVQIIIVQFGGKPFSCSELSIEQWLWSIFLGMGTLLWGQLISTIPTSRLKFLKEAGHGTQKEEIPEEELAEDVEEIDHAERELRRGQILWFRGLNRIQTQMDVVNAFQSGSSIQGALRRQPSIASQHHDVTNISTPTHIRVVNAFRSSLYEGLEKPESRSSIHNFMTHPEFRIEDSEPHIPLIDDTDAEDDAPTKRNSSPPPSPNKNNNAVDSGIHLTIEMNKSATSSSPGSPLHSLETSL
+>DECOY_sp|P20020|AT2B1_HUMAN Plasma membrane calcium-transporting ATPase 1 OS=Homo sapiens OX=9606 GN=ATP2B1 PE=1 SV=3
+LSTELSHLPSGPSSSTASKNMEITLHIGSDVANNNKNPSPPPSSNRKTPADDEADTDDILPIHPESDEIRFEPHTMFNHISSRSEPKELGEYLSSRFANVVRIHTPTSINTVDHHQSAISPQRRLAGQISSGSQFANVVDMQTQIRNLGRFWLIQGRRLEREAHDIEEVDEALEEEPIEEKQTGHGAEKLFKLRSTPITSILQGWLLTGMGLFISWLWQEISLESCSFPKGGFQVIIIQVVFTGLVITCFIANNFIGEFVNREGHIKRANIENFLQMLVFTNFVITYHESPPAHLPANRGSDIDFFKEGAFLLTFVVVLQYFAHGLINKMMTRSILPKNRGYPKRLLLSETPPETALALSALTDMILNVWLMQVAKLPSDQTICAGTFAVIVAVVNVTLQFQLFKSISDYVNRGWMVAKVISTFNDDTLIIDSAEKAVDTGAIGMAFGVDAKKLAPGDNTGDGTVAVVQRQDSVTSDIIGKVLTHKDTPSSRALVRLKPWIKDIREQEIEGKENRIRRNFDKGELCLFDEGPHLIGCKTAIARATNINDGTVMRVTIGARQCKKIADPVEPRVPDEIGVVAICTLGTVIDNENDWEPEPEGAPFDRFALCITRLGESAMPEIVTKVIDDRDRPRFVKAEGNASLIKFCKKLIIESAGKSFIRYSGDSNKLVTSMSKRVSNFTYVKYLAEEPIENRVDQYDRKLDLLLGLLACETKNGVHRPLGGEKEPPLIKSTYACNVSIGTVLYSLINPPIAEPEPVKKYHKENIYAQVVTMRNMTLTGTKDSCIATANGMTECADLHRVLNNDKMMKKVSYALSITVALPLGEPVAVVLVTVGIIFFKVFYQIYIPTCEALWPRKQVWFTDIVFYLVLIIVTIASMLLGAKGIQVALKTLKGQLVSKEKKPLNAKKKDKEDGDGGEESKLPQMEMAAGDQAKAKNRNEIAGDQKKNKKEKKKEDKKEEEEGGAGLLTFIIGTQSNVGVATVVMRGSGEMVHTGSLLLPDKDLSKKVHDSEGTLSSEDIKLDNGQILIGDAPLLDGYKVQAIDGVTIDAVPIQIVQGGRIVTFKQEQEIRSQLGRFQKEKSWDNFATVLVVCVVSLLIAAGEIWGTEGEGEEEGVSVEGCLANDGEPPQYFSLGLSVIAAIELIILTVDQLAEWVLQLFTKPKKPPIFNKGFVAERRELDAPNGSLGENPSTKLKTCIGYVDGYSEQIKRLADTSRLEMLARLEALTIGFDGDHNAEKLSNKVGSYAVSNNAMDGM
+>sp|Q01814|AT2B2_HUMAN Plasma membrane calcium-transporting ATPase 2 OS=Homo sapiens OX=9606 GN=ATP2B2 PE=1 SV=2
+MGDMTNSDFYSKNQRNESSHGGEFGCTMEELRSLMELRGTEAVVKIKETYGDTEAICRRLKTSPVEGLPGTAPDLEKRKQIFGQNFIPPKKPKTFLQLVWEALQDVTLIILEIAAIISLGLSFYHPPGEGNEGCATAQGGAEDEGEAEAGWIEGAAILLSVICVVLVTAFNDWSKEKQFRGLQSRIEQEQKFTVVRAGQVVQIPVAEIVVGDIAQVKYGDLLPADGLFIQGNDLKIDESSLTGESDQVRKSVDKDPMLLSGTHVMEGSGRMLVTAVGVNSQTGIIFTLLGAGGEEEEKKDKKGVKKGDGLQLPAADGAAASNAADSANASLVNGKMQDGNVDASQSKAKQQDGAAAMEMQPLKSAEGGDADDRKKASMHKKEKSVLQGKLTKLAVQIGKAGLVMSAITVIILVLYFTVDTFVVNKKPWLPECTPVYVQYFVKFFIIGVTVLVVAVPEGLPLAVTISLAYSVKKMMKDNNLVRHLDACETMGNATAICSDKTGTLTTNRMTVVQAYVGDVHYKEIPDPSSINTKTMELLINAIAINSAYTTKILPPEKEGALPRQVGNKTECGLLGFVLDLKQDYEPVRSQMPEEKLYKVYTFNSVRKSMSTVIKLPDESFRMYSKGASEIVLKKCCKILNGAGEPRVFRPRDRDEMVKKVIEPMACDGLRTICVAYRDFPSSPEPDWDNENDILNELTCICVVGIEDPVRPEVPEAIRKCQRAGITVRMVTGDNINTARAIAIKCGIIHPGEDFLCLEGKEFNRRIRNEKGEIEQERIDKIWPKLRVLARSSPTDKHTLVKGIIDSTHTEQRQVVAVTGDGTNDGPALKKADVGFAMGIAGTDVAKEASDIILTDDNFSSIVKAVMWGRNVYDSISKFLQFQLTVNVVAVIVAFTGACITQDSPLKAVQMLWVNLIMDTFASLALATEPPTETLLLRKPYGRNKPLISRTMMKNILGHAVYQLALIFTLLFVGEKMFQIDSGRNAPLHSPPSEHYTIIFNTFVMMQLFNEINARKIHGERNVFDGIFRNPIFCTIVLGTFAIQIVIVQFGGKPFSCSPLQLDQWMWCIFIGLGELVWGQVIATIPTSRLKFLKEAGRLTQKEEIPEEELNEDVEEIDHAERELRRGQILWFRGLNRIQTQIRVVKAFRSSLYEGLEKPESRTSIHNFMAHPEFRIEDSQPHIPLIDDTDLEEDAALKQNSSPPSSLNKNNSAIDSGINLTTDTSKSATSSSPGSPIHSLETSL
+>DECOY_sp|Q01814|AT2B2_HUMAN Plasma membrane calcium-transporting ATPase 2 OS=Homo sapiens OX=9606 GN=ATP2B2 PE=1 SV=2
+LSTELSHIPSGPSSSTASKSTDTTLNIGSDIASNNKNLSSPPSSNQKLAADEELDTDDILPIHPQSDEIRFEPHAMFNHISTRSEPKELGEYLSSRFAKVVRIQTQIRNLGRFWLIQGRRLEREAHDIEEVDENLEEEPIEEKQTLRGAEKLFKLRSTPITAIVQGWVLEGLGIFICWMWQDLQLPSCSFPKGGFQVIVIQIAFTGLVITCFIPNRFIGDFVNREGHIKRANIENFLQMMVFTNFIITYHESPPSHLPANRGSDIQFMKEGVFLLTFILALQYVAHGLINKMMTRSILPKNRGYPKRLLLTETPPETALALSAFTDMILNVWLMQVAKLPSDQTICAGTFAVIVAVVNVTLQFQLFKSISDYVNRGWMVAKVISSFNDDTLIIDSAEKAVDTGAIGMAFGVDAKKLAPGDNTGDGTVAVVQRQETHTSDIIGKVLTHKDTPSSRALVRLKPWIKDIREQEIEGKENRIRRNFEKGELCLFDEGPHIIGCKIAIARATNINDGTVMRVTIGARQCKRIAEPVEPRVPDEIGVVCICTLENLIDNENDWDPEPSSPFDRYAVCITRLGDCAMPEIVKKVMEDRDRPRFVRPEGAGNLIKCCKKLVIESAGKSYMRFSEDPLKIVTSMSKRVSNFTYVKYLKEEPMQSRVPEYDQKLDLVFGLLGCETKNGVQRPLAGEKEPPLIKTTYASNIAIANILLEMTKTNISSPDPIEKYHVDGVYAQVVTMRNTTLTGTKDSCIATANGMTECADLHRVLNNDKMMKKVSYALSITVALPLGEPVAVVLVTVGIIFFKVFYQVYVPTCEPLWPKKNVVFTDVTFYLVLIIVTIASMVLGAKGIQVALKTLKGQLVSKEKKHMSAKKRDDADGGEASKLPQMEMAAAGDQQKAKSQSADVNGDQMKGNVLSANASDAANSAAAGDAAPLQLGDGKKVGKKDKKEEEEGGAGLLTFIIGTQSNVGVATVLMRGSGEMVHTGSLLMPDKDVSKRVQDSEGTLSSEDIKLDNGQIFLGDAPLLDGYKVQAIDGVVIEAVPIQVVQGARVVTFKQEQEIRSQLGRFQKEKSWDNFATVLVVCIVSLLIAAGEIWGAEAEGEDEAGGQATACGENGEGPPHYFSLGLSIIAAIELIILTVDQLAEWVLQLFTKPKKPPIFNQGFIQKRKELDPATGPLGEVPSTKLRRCIAETDGYTEKIKVVAETGRLEMLSRLEEMTCGFEGGHSSENRQNKSYFDSNTMDGM
+>sp|Q16720|AT2B3_HUMAN Plasma membrane calcium-transporting ATPase 3 OS=Homo sapiens OX=9606 GN=ATP2B3 PE=1 SV=3
+MGDMANSSIEFHPKPQQQRDVPQAGGFGCTLAELRTLMELRGAEALQKIEEAYGDVSGLCRRLKTSPTEGLADNTNDLEKRRQIYGQNFIPPKQPKTFLQLVWEALQDVTLIILEVAAIVSLGLSFYAPPGEESEACGNVSGGAEDEGEAEAGWIEGAAILLSVICVVLVTAFNDWSKEKQFRGLQSRIEQEQKFTVIRNGQLLQVPVAALVVGDIAQVKYGDLLPADGVLIQANDLKIDESSLTGESDHVRKSADKDPMLLSGTHVMEGSGRMVVTAVGVNSQTGIIFTLLGAGGEEEEKKDKKGKQQDGAMESSQTKAKKQDGAVAMEMQPLKSAEGGEMEEREKKKANAPKKEKSVLQGKLTKLAVQIGKAGLVMSAITVIILVLYFVIETFVVEGRTWLAECTPVYVQYFVKFFIIGVTVLVVAVPEGLPLAVTISLAYSVKKMMKDNNLVRHLDACETMGNATAICSDKTGTLTTNRMTVVQSYLGDTHYKEIPAPSALTPKILDLLVHAISINSAYTTKILPPEKEGALPRQVGNKTECALLGFVLDLKRDFQPVREQIPEDKLYKVYTFNSVRKSMSTVIRMPDGGFRLFSKGASEILLKKCTNILNSNGELRGFRPRDRDDMVRKIIEPMACDGLRTICIAYRDFSAGQEPDWDNENEVVGDLTCIAVVGIEDPVRPEVPEAIRKCQRAGITVRMVTGDNINTARAIAAKCGIIQPGEDFLCLEGKEFNRRIRNEKGEIEQERLDKVWPKLRVLARSSPTDKHTLVKGIIDSTTGEQRQVVAVTGDGTNDGPALKKADVGFAMGIAGTDVAKEASDIILTDDNFTSIVKAVMWGRNVYDSISKFLQFQLTVNVVAVIVAFTGACITQDSPLKAVQMLWVNLIMDTFASLALATEPPTESLLLRKPYGRDKPLISRTMMKNILGHAVYQLAIIFTLLFVGELFFDIDSGRNAPLHSPPSEHYTIIFNTFVMMQLFNEINARKIHGERNVFDGIFSNPIFCTIVLGTFGIQIVIVQFGGKPFSCSPLSTEQWLWCLFVGVGELVWGQVIATIPTSQLKCLKEAGHGPGKDEMTDEELAEGEEEIDHAERELRRGQILWFRGLNRIQTQIRVVKAFRSSLYEGLEKPESKTSIHNFMATPEFLINDYTHNIPLIDDTDVDENEERLRAPPPPSPNQNNNAIDSGIYLTTHVTKSATSSVFSSSPGSPLHSVETSL
+>DECOY_sp|Q16720|AT2B3_HUMAN Plasma membrane calcium-transporting ATPase 3 OS=Homo sapiens OX=9606 GN=ATP2B3 PE=1 SV=3
+LSTEVSHLPSGPSSSFVSSTASKTVHTTLYIGSDIANNNQNPSPPPPARLREENEDVDTDDILPINHTYDNILFEPTAMFNHISTKSEPKELGEYLSSRFAKVVRIQTQIRNLGRFWLIQGRRLEREAHDIEEEGEALEEDTMEDKGPGHGAEKLCKLQSTPITAIVQGWVLEGVGVFLCWLWQETSLPSCSFPKGGFQVIVIQIGFTGLVITCFIPNSFIGDFVNREGHIKRANIENFLQMMVFTNFIITYHESPPSHLPANRGSDIDFFLEGVFLLTFIIALQYVAHGLINKMMTRSILPKDRGYPKRLLLSETPPETALALSAFTDMILNVWLMQVAKLPSDQTICAGTFAVIVAVVNVTLQFQLFKSISDYVNRGWMVAKVISTFNDDTLIIDSAEKAVDTGAIGMAFGVDAKKLAPGDNTGDGTVAVVQRQEGTTSDIIGKVLTHKDTPSSRALVRLKPWVKDLREQEIEGKENRIRRNFEKGELCLFDEGPQIIGCKAAIARATNINDGTVMRVTIGARQCKRIAEPVEPRVPDEIGVVAICTLDGVVENENDWDPEQGASFDRYAICITRLGDCAMPEIIKRVMDDRDRPRFGRLEGNSNLINTCKKLLIESAGKSFLRFGGDPMRIVTSMSKRVSNFTYVKYLKDEPIQERVPQFDRKLDLVFGLLACETKNGVQRPLAGEKEPPLIKTTYASNISIAHVLLDLIKPTLASPAPIEKYHTDGLYSQVVTMRNTTLTGTKDSCIATANGMTECADLHRVLNNDKMMKKVSYALSITVALPLGEPVAVVLVTVGIIFFKVFYQVYVPTCEALWTRGEVVFTEIVFYLVLIIVTIASMVLGAKGIQVALKTLKGQLVSKEKKPANAKKKEREEMEGGEASKLPQMEMAVAGDQKKAKTQSSEMAGDQQKGKKDKKEEEEGGAGLLTFIIGTQSNVGVATVVMRGSGEMVHTGSLLMPDKDASKRVHDSEGTLSSEDIKLDNAQILVGDAPLLDGYKVQAIDGVVLAAVPVQLLQGNRIVTFKQEQEIRSQLGRFQKEKSWDNFATVLVVCIVSLLIAAGEIWGAEAEGEDEAGGSVNGCAESEEGPPAYFSLGLSVIAAVELIILTVDQLAEWVLQLFTKPQKPPIFNQGYIQRRKELDNTNDALGETPSTKLRRCLGSVDGYAEEIKQLAEAGRLEMLTRLEALTCGFGGAQPVDRQQQPKPHFEISSNAMDGM
+>sp|P98194|AT2C1_HUMAN Calcium-transporting ATPase type 2C member 1 OS=Homo sapiens OX=9606 GN=ATP2C1 PE=1 SV=3
+MKVARFQKIPNGENETMIPVLTSKKASELPVSEVASILQADLQNGLNKCEVSHRRAFHGWNEFDISEDEPLWKKYISQFKNPLIMLLLASAVISVLMHQFDDAVSITVAILIVVTVAFVQEYRSEKSLEELSKLVPPECHCVREGKLEHTLARDLVPGDTVCLSVGDRVPADLRLFEAVDLSIDESSLTGETTPCSKVTAPQPAATNGDLASRSNIAFMGTLVRCGKAKGVVIGTGENSEFGEVFKMMQAEEAPKTPLQKSMDLLGKQLSFYSFGIIGIIMLVGWLLGKDILEMFTISVSLAVAAIPEGLPIVVTVTLALGVMRMVKKRAIVKKLPIVETLGCCNVICSDKTGTLTKNEMTVTHIFTSDGLHAEVTGVGYNQFGEVIVDGDVVHGFYNPAVSRIVEAGCVCNDAVIRNNTLMGKPTEGALIALAMKMGLDGLQQDYIRKAEYPFSSEQKWMAVKCVHRTQQDRPEICFMKGAYEQVIKYCTTYQSKGQTLTLTQQQRDVYQQEKARMGSAGLRVLALASGPELGQLTFLGLVGIIDPPRTGVKEAVTTLIASGVSIKMITGDSQETAVAIASRLGLYSKTSQSVSGEEIDAMDVQQLSQIVPKVAVFYRASPRHKMKIIKSLQKNGSVVAMTGDGVNDAVALKAADIGVAMGQTGTDVCKEAADMILVDDDFQTIMSAIEEGKGIYNNIKNFVRFQLSTSIAALTLISLATLMNFPNPLNAMQILWINIIMDGPPAQSLGVEPVDKDVIRKPPRNWKDSILTKNLILKILVSSIIIVCGTLFVFWRELRDNVITPRDTTMTFTCFVFFDMFNALSSRSQTKSVFEIGLCSNRMFCYAVLGSIMGQLLVIYFPPLQKVFQTESLSILDLLFLLGLTSSVCIVAEIIKKVERSREKIQKHVSSTSSSFLEV
+>DECOY_sp|P98194|AT2C1_HUMAN Calcium-transporting ATPase type 2C member 1 OS=Homo sapiens OX=9606 GN=ATP2C1 PE=1 SV=3
+VELFSSSTSSVHKQIKERSREVKKIIEAVICVSSTLGLLFLLDLISLSETQFVKQLPPFYIVLLQGMISGLVAYCFMRNSCLGIEFVSKTQSRSSLANFMDFFVFCTFTMTTDRPTIVNDRLERWFVFLTGCVIIISSVLIKLILNKTLISDKWNRPPKRIVDKDVPEVGLSQAPPGDMIINIWLIQMANLPNPFNMLTALSILTLAAISTSLQFRVFNKINNYIGKGEEIASMITQFDDDVLIMDAAEKCVDTGTQGMAVGIDAAKLAVADNVGDGTMAVVSGNKQLSKIIKMKHRPSARYFVAVKPVIQSLQQVDMADIEEGSVSQSTKSYLGLRSAIAVATEQSDGTIMKISVGSAILTTVAEKVGTRPPDIIGVLGLFTLQGLEPGSALALVRLGASGMRAKEQQYVDRQQQTLTLTQGKSQYTTCYKIVQEYAGKMFCIEPRDQQTRHVCKVAMWKQESSFPYEAKRIYDQQLGDLGMKMALAILAGETPKGMLTNNRIVADNCVCGAEVIRSVAPNYFGHVVDGDVIVEGFQNYGVGTVEAHLGDSTFIHTVTMENKTLTGTKDSCIVNCCGLTEVIPLKKVIARKKVMRMVGLALTVTVVIPLGEPIAAVALSVSITFMELIDKGLLWGVLMIIGIIGFSYFSLQKGLLDMSKQLPTKPAEEAQMMKFVEGFESNEGTGIVVGKAKGCRVLTGMFAINSRSALDGNTAAPQPATVKSCPTTEGTLSSEDISLDVAEFLRLDAPVRDGVSLCVTDGPVLDRALTHELKGERVCHCEPPVLKSLEELSKESRYEQVFAVTVVILIAVTISVADDFQHMLVSIVASALLLMILPNKFQSIYKKWLPEDESIDFENWGHFARRHSVECKNLGNQLDAQLISAVESVPLESAKKSTLVPIMTENEGNPIKQFRAVKM
+>sp|Q14CW9|AT7L3_HUMAN Ataxin-7-like protein 3 OS=Homo sapiens OX=9606 GN=ATXN7L3 PE=1 SV=1
+MKMEEMSLSGLDNSKLEAIAQEIYADLVEDSCLGFCFEVHRAVKCGYFFLDDTDPDSMKDFEIVDQPGLDIFGQVFNQWKSKECVCPNCSRSIAASRFAPHLEKCLGMGRNSSRIANRRIANSNNMNKSESDQEDNDDINDNDWSYGSEKKAKKRKSDKNPNSPRRSKSLKHKNGELSNSDPFKYNNSTGISYETLGPEELRSLLTTQCGVISEHTKKMCTRSLRCPQHTDEQRRTVRIYFLGPSAVLPEVESSLDNDSFDMTDSQALISRLQWDGSSDLSPSDSGSSKTSENQGWGLGTNSSESRKTKKKKSHLSLVGTASGLGSNKKKKPKPPAPPTPSIYDDIN
+>DECOY_sp|Q14CW9|AT7L3_HUMAN Ataxin-7-like protein 3 OS=Homo sapiens OX=9606 GN=ATXN7L3 PE=1 SV=1
+NIDDYISPTPPAPPKPKKKKNSGLGSATGVLSLHSKKKKTKRSESSNTGLGWGQNESTKSSGSDSPSLDSSGDWQLRSILAQSDTMDFSDNDLSSEVEPLVASPGLFYIRVTRRQEDTHQPCRLSRTCMKKTHESIVGCQTTLLSRLEEPGLTEYSIGTSNNYKFPDSNSLEGNKHKLSKSRRPSNPNKDSKRKKAKKESGYSWDNDNIDDNDEQDSESKNMNNSNAIRRNAIRSSNRGMGLCKELHPAFRSAAISRSCNPCVCEKSKWQNFVQGFIDLGPQDVIEFDKMSDPDTDDLFFYGCKVARHVEFCFGLCSDEVLDAYIEQAIAELKSNDLGSLSMEEMKM
+>sp|Q6PL18|ATAD2_HUMAN ATPase family AAA domain-containing protein 2 OS=Homo sapiens OX=9606 GN=ATAD2 PE=1 SV=1
+MVVLRSSLELHNHSAASATGSLDLSSDFLSLEHIGRRRLRSAGAAQKKPAATTAKAGDGSSVKEVETYHRTRALRSLRKDAQNSSDSSFEKNVEITEQLANGRHFTRQLARQQADKKKEEHREDKVIPVTRSLRARNIVQSTEHLHEDNGDVEVRRSCRIRSRYSGVNQSMLFDKLITNTAEAVLQKMDDMKKMRRQRMRELEDLGVFNETEESNLNMYTRGKQKDIQRTDEETTDNQEGSVESSEEGEDQEHEDDGEDEDDEDDDDDDDDDDDDDDEDDEDEEDGEEENQKRYYLRQRKATVYYQAPLEKPRHQRKPNIFYSGPASPARPRYRLSSAGPRSPYCKRMNRRRHAIHSSDSTSSSSSEDEQHFERRRKRSRNRAINRCLPLNFRKDELKGIYKDRMKIGASLADVDPMQLDSSVRFDSVGGLSNHIAALKEMVVFPLLYPEVFEKFKIQPPRGCLFYGPPGTGKTLVARALANECSQGDKRVAFFMRKGADCLSKWVGESERQLRLLFDQAYQMRPSIIFFDEIDGLAPVRSSRQDQIHSSIVSTLLALMDGLDSRGEIVVIGATNRLDSIDPALRRPGRFDREFLFSLPDKEARKEILKIHTRDWNPKPLDTFLEELAENCVGYCGADIKSICAEAALCALRRRYPQIYTTSEKLQLDLSSINISAKDFEVAMQKMIPASQRAVTSPGQALSTVVKPLLQNTVDKILEALQRVFPHAEFRTNKTLDSDISCPLLESDLAYSDDDVPSVYENGLSQKSSHKAKDNFNFLHLNRNACYQPMSFRPRILIVGEPGFGQGSHLAPAVIHALEKFTVYTLDIPVLFGVSTTSPEETCAQVIREAKRTAPSIVYVPHIHVWWEIVGPTLKATFTTLLQNIPSFAPVLLLATSDKPHSALPEEVQELFIRDYGEIFNVQLPDKEERTKFFEDLILKQAAKPPISKKKAVLQALEVLPVAPPPEPRSLTAEEVKRLEEQEEDTFRELRIFLRNVTHRLAIDKRFRVFTKPVDPDEVPDYVTVIKQPMDLSSVISKIDLHKYLTVKDYLRDIDLICSNALEYNPDRDPGDRLIRHRACALRDTAYAIIKEELDEDFEQLCEEIQESRKKRGCSSSKYAPSYYHVMPKQNSTLVGDKRSDPEQNEKLKTPSTPVACSTPAQLKRKIRKKSNWYLGTIKKRRKISQAKDDSQNAIDHKIESDTEETQDTSVDHNETGNTGESSVEENEKQQNASESKLELRNNSNTCNIENELEDSRKTTACTELRDKIACNGDASSSQIIHISDENEGKEMCVLRMTRARRSQVEQQQLITVEKALAILSQPTPSLVVDHERLKNLLKTVVKKSQNYNIFQLENLYAVISQCIYRHRKDHDKTSLIQKMEQEVENFSCSR
+>DECOY_sp|Q6PL18|ATAD2_HUMAN ATPase family AAA domain-containing protein 2 OS=Homo sapiens OX=9606 GN=ATAD2 PE=1 SV=1
+RSCSFNEVEQEMKQILSTKDHDKRHRYICQSIVAYLNELQFINYNQSKKVVTKLLNKLREHDVVLSPTPQSLIALAKEVTILQQQEVQSRRARTMRLVCMEKGENEDSIHIIQSSSADGNCAIKDRLETCATTKRSDELENEINCTNSNNRLELKSESANQQKENEEVSSEGTNGTENHDVSTDQTEETDSEIKHDIANQSDDKAQSIKRRKKITGLYWNSKKRIKRKLQAPTSCAVPTSPTKLKENQEPDSRKDGVLTSNQKPMVHYYSPAYKSSSCGRKKRSEQIEECLQEFDEDLEEKIIAYATDRLACARHRILRDGPDRDPNYELANSCILDIDRLYDKVTLYKHLDIKSIVSSLDMPQKIVTVYDPVEDPDVPKTFVRFRKDIALRHTVNRLFIRLERFTDEEQEELRKVEEATLSRPEPPPAVPLVELAQLVAKKKSIPPKAAQKLILDEFFKTREEKDPLQVNFIEGYDRIFLEQVEEPLASHPKDSTALLLVPAFSPINQLLTTFTAKLTPGVIEWWVHIHPVYVISPATRKAERIVQACTEEPSTTSVGFLVPIDLTYVTFKELAHIVAPALHSGQGFGPEGVILIRPRFSMPQYCANRNLHLFNFNDKAKHSSKQSLGNEYVSPVDDDSYALDSELLPCSIDSDLTKNTRFEAHPFVRQLAELIKDVTNQLLPKVVTSLAQGPSTVARQSAPIMKQMAVEFDKASINISSLDLQLKESTTYIQPYRRRLACLAAEACISKIDAGCYGVCNEALEELFTDLPKPNWDRTHIKLIEKRAEKDPLSFLFERDFRGPRRLAPDISDLRNTAGIVVIEGRSDLGDMLALLTSVISSHIQDQRSSRVPALGDIEDFFIISPRMQYAQDFLLRLQRESEGVWKSLCDAGKRMFFAVRKDGQSCENALARAVLTKGTGPPGYFLCGRPPQIKFKEFVEPYLLPFVVMEKLAAIHNSLGGVSDFRVSSDLQMPDVDALSAGIKMRDKYIGKLEDKRFNLPLCRNIARNRSRKRRREFHQEDESSSSSTSDSSHIAHRRRNMRKCYPSRPGASSLRYRPRAPSAPGSYFINPKRQHRPKELPAQYYVTAKRQRLYYRKQNEEEGDEEDEDDEDDDDDDDDDDDDDDEDDEDEGDDEHEQDEGEESSEVSGEQNDTTEEDTRQIDKQKGRTYMNLNSEETENFVGLDELERMRQRRMKKMDDMKQLVAEATNTILKDFLMSQNVGSYRSRIRCSRRVEVDGNDEHLHETSQVINRARLSRTVPIVKDERHEEKKKDAQQRALQRTFHRGNALQETIEVNKEFSSDSSNQADKRLSRLARTRHYTEVEKVSSGDGAKATTAAPKKQAAGASRLRRRGIHELSLFDSSLDLSGTASAASHNHLELSSRLVVM
+>sp|P18850|ATF6A_HUMAN Cyclic AMP-dependent transcription factor ATF-6 alpha OS=Homo sapiens OX=9606 GN=ATF6 PE=1 SV=3
+MGEPAGVAGTMESPFSPGLFHRLDEDWDSALFAELGYFTDTDELQLEAANETYENNFDNLDFDLDLMPWESDIWDINNQICTVKDIKAEPQPLSPASSSYSVSSPRSVDSYSSTQHVPEELDLSSSSQMSPLSLYGENSNSLSSAEPLKEDKPVTGPRNKTENGLTPKKKIQVNSKPSIQPKPLLLPAAPKTQTNSSVPAKTIIIQTVPTLMPLAKQQPIISLQPAPTKGQTVLLSQPTVVQLQAPGVLPSAQPVLAVAGGVTQLPNHVVNVVPAPSANSPVNGKLSVTKPVLQSTMRNVGSDIAVLRRQQRMIKNRESACQSRKKKKEYMLGLEARLKAALSENEQLKKENGTLKRQLDEVVSENQRLKVPSPKRRVVCVMIVLAFIILNYGPMSMLEQDSRRMNPSVSPANQRRHLLGFSAKEAQDTSDGIIQKNSYRYDHSVSNDKALMVLTEEPLLYIPPPPCQPLINTTESLRLNHELRGWVHRHEVERTKSRRMTNNQQKTRILQGALEQGSNSQLMAVQYTETTSSISRNSGSELQVYYASPRSYQDFFEAIRRRGDTFYVVSFRRDHLLLPATTHNKTTRPKMSIVLPAININENVINGQDYEVMMQIDCQVMDTRILHIKSSSVPPYLRDQQRNQTNTFFGSPPAATEATHVVSTIPESLQ
+>DECOY_sp|P18850|ATF6A_HUMAN Cyclic AMP-dependent transcription factor ATF-6 alpha OS=Homo sapiens OX=9606 GN=ATF6 PE=1 SV=3
+QLSEPITSVVHTAETAAPPSGFFTNTQNRQQDRLYPPVSSSKIHLIRTDMVQCDIQMMVEYDQGNIVNENINIAPLVISMKPRTTKNHTTAPLLLHDRRFSVVYFTDGRRRIAEFFDQYSRPSAYYVQLESGSNRSISSTTETYQVAMLQSNSGQELAGQLIRTKQQNNTMRRSKTREVEHRHVWGRLEHNLRLSETTNILPQCPPPPIYLLPEETLVMLAKDNSVSHDYRYSNKQIIGDSTDQAEKASFGLLHRRQNAPSVSPNMRRSDQELMSMPGYNLIIFALVIMVCVVRRKPSPVKLRQNESVVEDLQRKLTGNEKKLQENESLAAKLRAELGLMYEKKKKRSQCASERNKIMRQQRRLVAIDSGVNRMTSQLVPKTVSLKGNVPSNASPAPVVNVVHNPLQTVGGAVALVPQASPLVGPAQLQVVTPQSLLVTQGKTPAPQLSIIPQQKALPMLTPVTQIIITKAPVSSNTQTKPAAPLLLPKPQISPKSNVQIKKKPTLGNETKNRPGTVPKDEKLPEASSLSNSNEGYLSLPSMQSSSSLDLEEPVHQTSSYSDVSRPSSVSYSSSAPSLPQPEAKIDKVTCIQNNIDWIDSEWPMLDLDFDLNDFNNEYTENAAELQLEDTDTFYGLEAFLASDWDEDLRHFLGPSFPSEMTGAVGAPEGM
+>sp|Q96BY7|ATG2B_HUMAN Autophagy-related protein 2 homolog B OS=Homo sapiens OX=9606 GN=ATG2B PE=1 SV=5
+MPWPFSESIKKRACRYLLQRYLGHFLQEKLSLEQLSLDLYQGTGSLAQVPLDKWCLNEILESADAPLEVTEGFIQSISLSVPWGSLLQDNCALEVRGLEMVFRPRPRPATGSEPMYWSSFMTSSMQLAKECLSQKLTDEQGEGSQPFEGLEKFAETIETVLRRVKVTFIDTVLRIEHVPENSKTGTALEIRIERTVYCDETADESSGINVHQPTAFAHKLLQLSGVSLFWDEFSASAKSSPVCSTAPVETEPKLSPSWNPKIIYEPHPQLTRNLPEIAPSDPVQIGRLIGRLELSLTLKQNEVLPGAKLDVDGQIDSIHLLLSPRQVHLLLDMLAAIAGPENSSKIGLANKDRKNRPMQQEDEYRIQMELNRYYLRKDSLSVGVSSEQSFYETETARTPSSREEEVFFSMADMDMSHSLSSLPPLGDPPNMDLELSLTSTYTNTPAGSPLSATVLQPTWGEFLDHHKEQPVRGSTFPSNLVHPTPLQKTSLPSRSVSVDESRPELIFRLAVGTFSISVLHIDPLSPPETSQNLNPLTPMAVAFFTCIEKIDPARFSTEDFKSFRAVFAEACSHDHLRFIGTGIKVSYEQRQRSASRYFSTDMSIGQMEFLECLFPTDFHSVPPHYTELLTFHSKEETGSHSPVCLQLHYKHSENRGPQGNQARLSSVPHKAELQIKLNPVCCELDISIVDRLNSLLQPQKLATVEMMASHMYTSYNKHISLHKAFTEVFLDDSHSPANCRISVQVATPALNLSVRFPIPDLRSDQERGPWFKKSLQKEILYLAFTDLEFKTEFIGGSTPEQIKLELTFRELIGSFQEEKGDPSIKFFHVSSGVDGDTTSSDDFDWPRIVLKINPPAMHSILERIAAEEEEENDGHYQEEEEGGAHSLKDVCDLRRPAPSPFSSRRVMFENEQMVMPGDPVEMTEFQDKAISNSHYVLELTLPNIYVTLPNKSFYEKLYNRIFNDLLLWEPTAPSPVETFENISYGIGLSVASQLINTFNKDSFSAFKSAVHYDEESGSEEETLQYFSTVDPNYRSRRKKKLDSQNKNSQSFLSVLLNINHGLIAVFTDVKQDNGDLLENKHGEFWLEFNSGSLFCVTKYEGFDDKHYICLHSSSFSLYHKGIVNGVILPTETRLPSSTRPHWLEPTIYSSEEDGLSKTSSDGVGGDSLNMLSVAVKILSDKSESNTKEFLIAVGLKGATLQHRMLPSGLSWHEQILYFLNIADEPVLGYNPPTSFTTFHVHLWSCALDYRPLYLPIRSLLTVETFSVSSSVALDKSSSTLRIILDEAALHLSDKCNTVTINLSRDYVRVMDMGLLELTITAVKSDSDGEQTEPRFELHCSSDVVHIRTCSDSCAALMNLIQYIASYGDLQTPNKADMKPGAFQRRSKVDSSGRSSSRGPVLPEADQQMLRDLMSDAMEEIDMQQGTSSVKPQANGVLDEKSQIQEPCCSDLFLFPDESGNVSQESGPTYASFSHHFISDAMTGVPTENDDFCILFAPKAAMQEKEEEPVIKIMVDDAIVIRDNYFSLPVNKTDTSKAPLHFPIPVIRYVVKEVSLVWHLYGGKDFGIVPPTSPAKSYISPHSSPSHTPTRHGRNTVCGGKGRNHDFLMEIQLSKVKFQHEVYPPCKPDCDSSLSEHPVSRQVFIVQDLEIRDRLATSQMNKFLYLYCSKEMPRKAHSNMLTVKALHVCPESGRSPQECCLRVSLMPLRLNIDQDALFFLKDFFTSLSAEVELQMTPDPEVKKSPGADVTCSLPRHLSTSKEPNLVISFSGPKQPSQNDSANSVEVVNGMEEKNFSAEEASFRDQPVFFREFRFTSEVPIRLDYHGKHVSMDQGTLAGILIGLAQLNCSELKLKRLSYRHGLLGVDKLFSYAITEWLNDIKKNQLPGILGGVGPMHSLVQLVQGLKDLVWLPIEQYRKDGRIVRGFQRGAASFGTSTAMAALELTNRMVQTIQAAAETAYDMVSPGTLSIEPKKTKRFPHHRLAHQPVDLREGVAKAYSVVKEGITDTAQTIYETAAREHESRGVTGAVGEVLRQIPPAVVKPLIVATEATSNVLGGMRNQIRPDVRQDESQKWRHGDD
+>DECOY_sp|Q96BY7|ATG2B_HUMAN Autophagy-related protein 2 homolog B OS=Homo sapiens OX=9606 GN=ATG2B PE=1 SV=5
+DDGHRWKQSEDQRVDPRIQNRMGGLVNSTAETAVILPKVVAPPIQRLVEGVAGTVGRSEHERAATEYITQATDTIGEKVVSYAKAVGERLDVPQHALRHHPFRKTKKPEISLTGPSVMDYATEAAAQITQVMRNTLELAAMATSTGFSAAGRQFGRVIRGDKRYQEIPLWVLDKLGQVLQVLSHMPGVGGLIGPLQNKKIDNLWETIAYSFLKDVGLLGHRYSLRKLKLESCNLQALGILIGALTGQDMSVHKGHYDLRIPVESTFRFERFFVPQDRFSAEEASFNKEEMGNVVEVSNASDNQSPQKPGSFSIVLNPEKSTSLHRPLSCTVDAGPSKKVEPDPTMQLEVEASLSTFFDKLFFLADQDINLRLPMLSVRLCCEQPSRGSEPCVHLAKVTLMNSHAKRPMEKSCYLYLFKNMQSTALRDRIELDQVIFVQRSVPHESLSSDCDPKCPPYVEHQFKVKSLQIEMLFDHNRGKGGCVTNRGHRTPTHSPSSHPSIYSKAPSTPPVIGFDKGGYLHWVLSVEKVVYRIVPIPFHLPAKSTDTKNVPLSFYNDRIVIADDVMIKIVPEEEKEQMAAKPAFLICFDDNETPVGTMADSIFHHSFSAYTPGSEQSVNGSEDPFLFLDSCCPEQIQSKEDLVGNAQPKVSSTGQQMDIEEMADSMLDRLMQQDAEPLVPGRSSSRGSSDVKSRRQFAGPKMDAKNPTQLDGYSAIYQILNMLAACSDSCTRIHVVDSSCHLEFRPETQEGDSDSKVATITLELLGMDMVRVYDRSLNITVTNCKDSLHLAAEDLIIRLTSSSKDLAVSSSVSFTEVTLLSRIPLYLPRYDLACSWLHVHFTTFSTPPNYGLVPEDAINLFYLIQEHWSLGSPLMRHQLTAGKLGVAILFEKTNSESKDSLIKVAVSLMNLSDGGVGDSSTKSLGDEESSYITPELWHPRTSSPLRTETPLIVGNVIGKHYLSFSSSHLCIYHKDDFGEYKTVCFLSGSNFELWFEGHKNELLDGNDQKVDTFVAILGHNINLLVSLFSQSNKNQSDLKKKRRSRYNPDVTSFYQLTEEESGSEEDYHVASKFASFSDKNFTNILQSAVSLGIGYSINEFTEVPSPATPEWLLLDNFIRNYLKEYFSKNPLTVYINPLTLELVYHSNSIAKDQFETMEVPDGPMVMQENEFMVRRSSFPSPAPRRLDCVDKLSHAGGEEEEQYHGDNEEEEEAAIRELISHMAPPNIKLVIRPWDFDDSSTTDGDVGSSVHFFKISPDGKEEQFSGILERFTLELKIQEPTSGGIFETKFELDTFALYLIEKQLSKKFWPGREQDSRLDPIPFRVSLNLAPTAVQVSIRCNAPSHSDDLFVETFAKHLSIHKNYSTYMHSAMMEVTALKQPQLLSNLRDVISIDLECCVPNLKIQLEAKHPVSSLRAQNGQPGRNESHKYHLQLCVPSHSGTEEKSHFTLLETYHPPVSHFDTPFLCELFEMQGISMDTSFYRSASRQRQEYSVKIGTGIFRLHDHSCAEAFVARFSKFDETSFRAPDIKEICTFFAVAMPTLPNLNQSTEPPSLPDIHLVSISFTGVALRFILEPRSEDVSVSRSPLSTKQLPTPHVLNSPFTSGRVPQEKHHDLFEGWTPQLVTASLPSGAPTNTYTSTLSLELDMNPPDGLPPLSSLSHSMDMDAMSFFVEEERSSPTRATETEYFSQESSVGVSLSDKRLYYRNLEMQIRYEDEQQMPRNKRDKNALGIKSSNEPGAIAALMDLLLHVQRPSLLLHISDIQGDVDLKAGPLVENQKLTLSLELRGILRGIQVPDSPAIEPLNRTLQPHPEYIIKPNWSPSLKPETEVPATSCVPSSKASASFEDWFLSVGSLQLLKHAFATPQHVNIGSSEDATEDCYVTREIRIELATGTKSNEPVHEIRLVTDIFTVKVRRLVTEITEAFKELGEFPQSGEGQEDTLKQSLCEKALQMSSTMFSSWYMPESGTAPRPRPRFVMELGRVELACNDQLLSGWPVSLSISQIFGETVELPADASELIENLCWKDLPVQALSGTGQYLDLSLQELSLKEQLFHGLYRQLLYRCARKKISESFPWPM
+>sp|Q86TL0|ATG4D_HUMAN Cysteine protease ATG4D OS=Homo sapiens OX=9606 GN=ATG4D PE=1 SV=1
+MNSVSPAAAQYRSSSPEDARRRPEARRPRGPRGPDPNGLGPSGASGPALGSPGAGPSEPDEVDKFKAKFLTAWNNVKYGWVVKSRTSFSKISSIHLCGRRYRFEGEGDIQRFQRDFVSRLWLTYRRDFPPLPGGCLTSDCGWGCMLRSGQMMLAQGLLLHFLPRDWTWAEGMGLGPPELSGSASPSRYHGPARWMPPRWAQGAPELEQERRHRQIVSWFADHPRAPFGLHRLVELGQSSGKKAGDWYGPSLVAHILRKAVESCSDVTRLVVYVSQDCTVYKADVARLVARPDPTAEWKSVVILVPVRLGGETLNPVYVPCVKELLRCELCLGIMGGKPRHSLYFIGYQDDFLLYLDPHYCQPTVDVSQADFPLESFHCTSPRKMAFAKMDPSCTVGFYAGDRKEFETLCSELTRVLSSSSATERYPMFTLAEGHAQDHSLDDLCSQLAQPTLRLPRTGRLLRAKRPSSEDFVFL
+>DECOY_sp|Q86TL0|ATG4D_HUMAN Cysteine protease ATG4D OS=Homo sapiens OX=9606 GN=ATG4D PE=1 SV=1
+LFVFDESSPRKARLLRGTRPLRLTPQALQSCLDDLSHDQAHGEALTFMPYRETASSSSLVRTLESCLTEFEKRDGAYFGVTCSPDMKAFAMKRPSTCHFSELPFDAQSVDVTPQCYHPDLYLLFDDQYGIFYLSHRPKGGMIGLCLECRLLEKVCPVYVPNLTEGGLRVPVLIVVSKWEATPDPRAVLRAVDAKYVTCDQSVYVVLRTVDSCSEVAKRLIHAVLSPGYWDGAKKGSSQGLEVLRHLGFPARPHDAFWSVIQRHRREQELEPAGQAWRPPMWRAPGHYRSPSASGSLEPPGLGMGEAWTWDRPLFHLLLGQALMMQGSRLMCGWGCDSTLCGGPLPPFDRRYTLWLRSVFDRQFRQIDGEGEFRYRRGCLHISSIKSFSTRSKVVWGYKVNNWATLFKAKFKDVEDPESPGAGPSGLAPGSAGSPGLGNPDPGRPGRPRRAEPRRRADEPSSSRYQAAAPSVSNM
+>sp|Q86TH1|ATL2_HUMAN ADAMTS-like protein 2 OS=Homo sapiens OX=9606 GN=ADAMTSL2 PE=1 SV=1
+MDGRWQCSCWAWFLLVLAVVAGDTVSTGSTDNSPTSNSLEGGTDATAFWWGEWTKWTACSRSCGGGVTSQERHCLQQRRKSVPGPGNRTCTGTSKRYQLCRVQECPPDGRSFREEQCVSFNSHVYNGRTHQWKPLYPDDYVHISSKPCDLHCTTVDGQRQLMVPARDGTSCKLTDLRGVCVSGKCEPIGCDGVLFSTHTLDKCGICQGDGSSCTHVTGNYRKGNAHLGYSLVTHIPAGARDIQIVERKKSADVLALADEAGYYFFNGNYKVDSPKNFNIAGTVVKYRRPMDVYETGIEYIVAQGPTNQGLNVMVWNQNGKSPSITFEYTLLQPPHESRPQPIYYGFSESAESQGLDGAGLMGFVPHNGSLYGQASSERLGLDNRLFGHPGLDMELGPSQGQETNEVCEQAGGGACEGPPRGKGFRDRNVTGTPLTGDKDDEEVDTHFASQEFFSANAISDQLLGAGSDLKDFTLNETVNSIFAQGAPRSSLAESFFVDYEENEGAGPYLLNGSYLELSSDRVANSSSEAPFPNVSTSLLTSAGNRTHKARTRPKARKQGVSPADMYRWKLSSHEPCSATCTTGVMSAYAMCVRYDGVEVDDSYCDALTRPEPVHEFCAGRECQPRWETSSWSECSRTCGEGYQFRVVRCWKMLSPGFDSSVYSDLCEAAEAVRPEERKTCRNPACGPQWEMSEWSECTAKCGERSVVTRDIRCSEDEKLCDPNTRPVGEKNCTGPPCDRQWTVSDWGPCSGSCGQGRTIRHVYCKTSDGRVVPESQCQMETKPLAIHPCGDKNCPAHWLAQDWERCNTTCGRGVKKRLVLCMELANGKPQTRSGPECGLAKKPPEESTCFERPCFKWYTSPWSECTKTCGVGVRMRDVKCYQGTDIVRGCDPLVKPVGRQACDLQPCPTEPPDDSCQDQPGTNCALAIKVNLCGHWYYSKACCRSCRPPHS
+>DECOY_sp|Q86TH1|ATL2_HUMAN ADAMTS-like protein 2 OS=Homo sapiens OX=9606 GN=ADAMTSL2 PE=1 SV=1
+SHPPRCSRCCAKSYYWHGCLNVKIALACNTGPQDQCSDDPPETPCPQLDCAQRGVPKVLPDCGRVIDTGQYCKVDRMRVGVGCTKTCESWPSTYWKFCPREFCTSEEPPKKALGCEPGSRTQPKGNALEMCLVLRKKVGRGCTTNCREWDQALWHAPCNKDGCPHIALPKTEMQCQSEPVVRGDSTKCYVHRITRGQGCSGSCPGWDSVTWQRDCPPGTCNKEGVPRTNPDCLKEDESCRIDRTVVSREGCKATCESWESMEWQPGCAPNRCTKREEPRVAEAAECLDSYVSSDFGPSLMKWCRVVRFQYGEGCTRSCESWSSTEWRPQCERGACFEHVPEPRTLADCYSDDVEVGDYRVCMAYASMVGTTCTASCPEHSSLKWRYMDAPSVGQKRAKPRTRAKHTRNGASTLLSTSVNPFPAESSSNAVRDSSLELYSGNLLYPGAGENEEYDVFFSEALSSRPAGQAFISNVTENLTFDKLDSGAGLLQDSIANASFFEQSAFHTDVEEDDKDGTLPTGTVNRDRFGKGRPPGECAGGGAQECVENTEQGQSPGLEMDLGPHGFLRNDLGLRESSAQGYLSGNHPVFGMLGAGDLGQSEASESFGYYIPQPRSEHPPQLLTYEFTISPSKGNQNWVMVNLGQNTPGQAVIYEIGTEYVDMPRRYKVVTGAINFNKPSDVKYNGNFFYYGAEDALALVDASKKREVIQIDRAGAPIHTVLSYGLHANGKRYNGTVHTCSSGDGQCIGCKDLTHTSFLVGDCGIPECKGSVCVGRLDTLKCSTGDRAPVMLQRQGDVTTCHLDCPKSSIHVYDDPYLPKWQHTRGNYVHSNFSVCQEERFSRGDPPCEQVRCLQYRKSTGTCTRNGPGPVSKRRQQLCHREQSTVGGGCSRSCATWKTWEGWWFATADTGGELSNSTPSNDTSGTSVTDGAVVALVLLFWAWCSCQWRGDM
+>sp|Q6ZMM2|ATL5_HUMAN ADAMTS-like protein 5 OS=Homo sapiens OX=9606 GN=ADAMTSL5 PE=1 SV=3
+MGKLRPGRVEWLASGHTERPHLFQNLLLFLWALLNCGLGVSAQGPGEWTPWVSWTRCSSSCGRGVSVRSRRCLRLPGEEPCWGDSHEYRLCQLPDCPPGAVPFRDLQCALYNGRPVLGTQKTYQWVPFHGAPNQCDLNCLAEGHAFYHSFGRVLDGTACSPGAQGVCVAGRCLSAGCDGLLGSGALEDRCGRCGGANDSCLFVQRVFRDAGAFAGYWNVTLIPEGARHIRVEHRSRNHLALMGGDGRYVLNGHWVVSPPGTYEAAGTHVVYTRDTGPQETLQAAGPTSHDLLLQVLLQEPNPGIEFEFWLPRERYSPFQARVQALGWPLRQPQPRGVEPQPPAAPAVTPAQTPTLAPDPCPPCPDTRGRAHRLLHYCGSDFVFQARVLGHHHQAQETRYEVRIQLVYKNRSPLRAREYVWAPGHCPCPMLAPHRDYLMAVQRLVSPDGTQDQLLLPHAGYARPWSPAEDSRIRLTARRCPG
+>DECOY_sp|Q6ZMM2|ATL5_HUMAN ADAMTS-like protein 5 OS=Homo sapiens OX=9606 GN=ADAMTSL5 PE=1 SV=3
+GPCRRATLRIRSDEAPSWPRAYGAHPLLLQDQTGDPSVLRQVAMLYDRHPALMPCPCHGPAWVYERARLPSRNKYVLQIRVEYRTEQAQHHHGLVRAQFVFDSGCYHLLRHARGRTDPCPPCPDPALTPTQAPTVAPAAPPQPEVGRPQPQRLPWGLAQVRAQFPSYRERPLWFEFEIGPNPEQLLVQLLLDHSTPGAAQLTEQPGTDRTYVVHTGAAEYTGPPSVVWHGNLVYRGDGGMLALHNRSRHEVRIHRAGEPILTVNWYGAFAGADRFVRQVFLCSDNAGGCRGCRDELAGSGLLGDCGASLCRGAVCVGQAGPSCATGDLVRGFSHYFAHGEALCNLDCQNPAGHFPVWQYTKQTGLVPRGNYLACQLDRFPVAGPPCDPLQCLRYEHSDGWCPEEGPLRLCRRSRVSVGRGCSSSCRTWSVWPTWEGPGQASVGLGCNLLAWLFLLLNQFLHPRETHGSALWEVRGPRLKGM
+>sp|Q6DD88|ATLA3_HUMAN Atlastin-3 OS=Homo sapiens OX=9606 GN=ATL3 PE=1 SV=1
+MLSPQRVAAAASRGADDAMESSKPGPVQVVLVQKDQHSFELDEKALASILLQDHIRDLDVVVVSVAGAFRKGKSFILDFMLRYLYSQKESGHSNWLGDPEEPLTGFSWRGGSDPETTGIQIWSEVFTVEKPGGKKVAVVLMDTQGAFDSQSTVKDCATIFALSTMTSSVQIYNLSQNIQEDDLQQLQLFTEYGRLAMDEIFQKPFQTLMFLVRDWSFPYEYSYGLQGGMAFLDKRLQVKEHQHEEIQNVRNHIHSCFSDVTCFLLPHPGLQVATSPDFDGKLKDIAGEFKEQLQALIPYVLNPSKLMEKEINGSKVTCRGLLEYFKAYIKIYQGEDLPHPKSMLQATAEANNLAAAASAKDIYYNNMEEVCGGEKPYLSPDILEEKHCEFKQLALDHFKKTKKMGGKDFSFRYQQELEEEIKELYENFCKHNGSKNVFSTFRTPAVLFTGIVALYIASGLTGFIGLEVVAQLFNCMVGLLLIALLTWGYIRYSGQYRELGGAIDFGAAYVLEQASSHIGNSTQATVRDAVVGRPSMDKKAQ
+>DECOY_sp|Q6DD88|ATLA3_HUMAN Atlastin-3 OS=Homo sapiens OX=9606 GN=ATL3 PE=1 SV=1
+QAKKDMSPRGVVADRVTAQTSNGIHSSAQELVYAAGFDIAGGLERYQGSYRIYGWTLLAILLLGVMCNFLQAVVELGIFGTLGSAIYLAVIGTFLVAPTRFTSFVNKSGNHKCFNEYLEKIEEELEQQYRFSFDKGGMKKTKKFHDLALQKFECHKEELIDPSLYPKEGGCVEEMNNYYIDKASAAAALNNAEATAQLMSKPHPLDEGQYIKIYAKFYELLGRCTVKSGNIEKEMLKSPNLVYPILAQLQEKFEGAIDKLKGDFDPSTAVQLGPHPLLFCTVDSFCSHIHNRVNQIEEHQHEKVQLRKDLFAMGGQLGYSYEYPFSWDRVLFMLTQFPKQFIEDMALRGYETFLQLQQLDDEQINQSLNYIQVSSTMTSLAFITACDKVTSQSDFAGQTDMLVVAVKKGGPKEVTFVESWIQIGTTEPDSGGRWSFGTLPEEPDGLWNSHGSEKQSYLYRLMFDLIFSKGKRFAGAVSVVVVDLDRIHDQLLISALAKEDLEFSHQDKQVLVVQVPGPKSSEMADDAGRSAAAAVRQPSLM
+>sp|O43313|ATMIN_HUMAN ATM interactor OS=Homo sapiens OX=9606 GN=ATMIN PE=1 SV=2
+MAASEAAAAAGSAALAAGARAVPAATTGAAAAASGPWVPPGPRLRGSRPRPAGATQQPAVPAPPAGELIQPSVSELSRAVRTNILCTVRGCGKILPNSPALNMHLVKSHRLQDGIVNPTIRKDLKTGPKFYCCPIEGCPRGPERPFSQFSLVKQHFMKMHAEKKHKCSKCSNSYGTEWDLKRHAEDCGKTFRCTCGCPYASRTALQSHIYRTGHEIPAEHRDPPSKKRKMENCAQNQKLSNKTIESLNNQPIPRPDTQELEASEIKLEPSFEDSCGSNTDKQTLTTPPRYPQKLLLPKPKVALVKLPVMQFSVMPVFVPTADSSAQPVVLGVDQGSATGAVHLMPLSVGTLILGLDSEACSLKESLPLFKIANPIAGEPISTGVQVNFGKSPSNPLQELGNTCQKNSISSINVQTDLSYASQNFIPSAQWATADSSVSSCSQTDLSFDSQVSLPISVHTQTFLPSSKVTSSIAAQTDAFMDTCFQSGGVSRETQTSGIESPTDDHVQMDQAGMCGDIFESVHSSYNVATGNIISNSLVAETVTHSLLPQNEPKTLNQDIEKSAPIINFSAQNSMLPSQNMTDNQTQTIDLLSDLENILSSNLPAQTLDHRSLLSDTNPGPDTQLPSGPAQNPGIDFDIEEFFSASNIQTQTEESELSTMTTEPVLESLDIETQTDFLLADTSAQSYGCRGNSNFLGLEMFDTQTQTDLNFFLDSSPHLPLGSILKHSSFSVSTDSSDTETQTEGVSTAKNIPALESKVQLNSTETQTMSSGFETLGSLFFTSNETQTAMDDFLLADLAWNTMESQFSSVETQTSAEPHTVSNF
+>DECOY_sp|O43313|ATMIN_HUMAN ATM interactor OS=Homo sapiens OX=9606 GN=ATMIN PE=1 SV=2
+FNSVTHPEASTQTEVSSFQSEMTNWALDALLFDDMATQTENSTFFLSGLTEFGSSMTQTETSNLQVKSELAPINKATSVGETQTETDSSDTSVSFSSHKLISGLPLHPSSDLFFNLDTQTQTDFMELGLFNSNGRCGYSQASTDALLFDTQTEIDLSELVPETTMTSLESEETQTQINSASFFEEIDFDIGPNQAPGSPLQTDPGPNTDSLLSRHDLTQAPLNSSLINELDSLLDITQTQNDTMNQSPLMSNQASFNIIPASKEIDQNLTKPENQPLLSHTVTEAVLSNSIINGTAVNYSSHVSEFIDGCMGAQDMQVHDDTPSEIGSTQTERSVGGSQFCTDMFADTQAAISSTVKSSPLFTQTHVSIPLSVQSDFSLDTQSCSSVSSDATAWQASPIFNQSAYSLDTQVNISSISNKQCTNGLEQLPNSPSKGFNVQVGTSIPEGAIPNAIKFLPLSEKLSCAESDLGLILTGVSLPMLHVAGTASGQDVGLVVPQASSDATPVFVPMVSFQMVPLKVLAVKPKPLLLKQPYRPPTTLTQKDTNSGCSDEFSPELKIESAELEQTDPRPIPQNNLSEITKNSLKQNQACNEMKRKKSPPDRHEAPIEHGTRYIHSQLATRSAYPCGCTCRFTKGCDEAHRKLDWETGYSNSCKSCKHKKEAHMKMFHQKVLSFQSFPREPGRPCGEIPCCYFKPGTKLDKRITPNVIGDQLRHSKVLHMNLAPSNPLIKGCGRVTCLINTRVARSLESVSPQILEGAPPAPVAPQQTAGAPRPRSGRLRPGPPVWPGSAAAAAGTTAAPVARAGAALAASGAAAAAESAAM
+>sp|Q92858|ATOH1_HUMAN Protein atonal homolog 1 OS=Homo sapiens OX=9606 GN=ATOH1 PE=2 SV=1
+MSRLLHAEEWAEVKELGDHHRQPQPHHLPQPPPPPQPPATLQAREHPVYPPELSLLDSTDPRAWLAPTLQGICTARAAQYLLHSPELGASEAAAPRDEVDGRGELVRRSSGGASSSKSPGPVKVREQLCKLKGGVVVDELGCSRQRAPSSKQVNGVQKQRRLAANARERRRMHGLNHAFDQLRNVIPSFNNDKKLSKYETLQMAQIYINALSELLQTPSGGEQPPPPPASCKSDHHHLRTAASYEGGAGNATAAGAQQASGGSQRPTPPGSCRTRFSAPASAGGYSVQLDALHFSTFEDSALTAMMAQKNLSPSLPGSILQPVQEENSKTSPRSHRSDGEFSPHSHYSDSDEAS
+>DECOY_sp|Q92858|ATOH1_HUMAN Protein atonal homolog 1 OS=Homo sapiens OX=9606 GN=ATOH1 PE=2 SV=1
+SAEDSDSYHSHPSFEGDSRHSRPSTKSNEEQVPQLISGPLSPSLNKQAMMATLASDEFTSFHLADLQVSYGGASAPASFRTRCSGPPTPRQSGGSAQQAGAATANGAGGEYSAATRLHHHDSKCSAPPPPPQEGGSPTQLLESLANIYIQAMQLTEYKSLKKDNNFSPIVNRLQDFAHNLGHMRRRERANAALRRQKQVGNVQKSSPARQRSCGLEDVVVGGKLKCLQERVKVPGPSKSSSAGGSSRRVLEGRGDVEDRPAAAESAGLEPSHLLYQAARATCIGQLTPALWARPDTSDLLSLEPPYVPHERAQLTAPPQPPPPPQPLHHPQPQRHHDGLEKVEAWEEAHLLRSM
+>sp|O75110|ATP9A_HUMAN Probable phospholipid-transporting ATPase IIA OS=Homo sapiens OX=9606 GN=ATP9A PE=1 SV=3
+MTDNIPLQPVRQKKRMDSRPRAGCCEWLRCCGGGEARPRTVWLGHPEKRDQRYPRNVINNQKYNFFTFLPGVLFNQFKYFFNLYFLLLACSQFVPEMRLGALYTYWVPLGFVLAVTVIREAVEEIRCYVRDKEVNSQVYSRLTARGTVKVKSSNIQVGDLIIVEKNQRVPADMIFLRTSEKNGSCFLRTDQLDGETDWKLRLPVACTQRLPTAADLLQIRSYVYAEEPNIDIHNFVGTFTREDSDPPISESLSIENTLWAGTVVASGTVVGVVLYTGRELRSVMNTSNPRSKIGLFDLEVNCLTKILFGALVVVSLVMVALQHFAGRWYLQIIRFLLLFSNIIPISLRVNLDMGKIVYSWVIRRDSKIPGTVVRSSTIPEQLGRISYLLTDKTGTLTQNEMIFKRLHLGTVAYGLDSMDEVQSHIFSIYTQQSQDPPAQKGPTLTTKVRRTMSSRVHEAVKAIALCHNVTPVYESNGVTDQAEAEKQYEDSCRVYQASSPDEVALVQWTESVGLTLVGRDQSSMQLRTPGDQILNFTILQIFPFTYESKRMGIIVRDESTGEITFYMKGADVVMAGIVQYNDWLEEECGNMAREGLRVLVVAKKSLAEEQYQDFEARYVQAKLSVHDRSLKVATVIESLEMEMELLCLTGVEDQLQADVRPTLETLRNAGIKVWMLTGDKLETATCTAKNAHLVTRNQDIHVFRLVTNRGEAHLELNAFRRKHDCALVISGDSLEVCLKYYEYEFMELACQCPAVVCCRCAPTQKAQIVRLLQERTGKLTCAVGDGGNDVSMIQESDCGVGVEGKEGKQASLAADFSITQFKHLGRLLMVHGRNSYKRSAALSQFVIHRSLCISTMQAVFSSVFYFASVPLYQGFLIIGYSTIYTMFPVFSLVLDKDVKSEVAMLYPELYKDLLKGRPLSYKTFLIWVLISIYQGSTIMYGALLLFESEFVHIVAISFTSLILTELLMVALTIQTWHWLMTVAELLSLACYIASLVFLHEFIDVYFIATLSFLWKVSVITLVSCLPLYVLKYLRRRFSPPSYSKLTS
+>DECOY_sp|O75110|ATP9A_HUMAN Probable phospholipid-transporting ATPase IIA OS=Homo sapiens OX=9606 GN=ATP9A PE=1 SV=3
+STLKSYSPPSFRRRLYKLVYLPLCSVLTIVSVKWLFSLTAIFYVDIFEHLFVLSAIYCALSLLEAVTMLWHWTQITLAVMLLETLILSTFSIAVIHVFESEFLLLAGYMITSGQYISILVWILFTKYSLPRGKLLDKYLEPYLMAVESKVDKDLVLSFVPFMTYITSYGIILFGQYLPVSAFYFVSSFVAQMTSICLSRHIVFQSLAASRKYSNRGHVMLLRGLHKFQTISFDAALSAQKGEKGEVGVGCDSEQIMSVDNGGDGVACTLKGTREQLLRVIQAKQTPACRCCVVAPCQCALEMFEYEYYKLCVELSDGSIVLACDHKRRFANLELHAEGRNTVLRFVHIDQNRTVLHANKATCTATELKDGTLMWVKIGANRLTELTPRVDAQLQDEVGTLCLLEMEMELSEIVTAVKLSRDHVSLKAQVYRAEFDQYQEEALSKKAVVLVRLGERAMNGCEEELWDNYQVIGAMVVDAGKMYFTIEGTSEDRVIIGMRKSEYTFPFIQLITFNLIQDGPTRLQMSSQDRGVLTLGVSETWQVLAVEDPSSAQYVRCSDEYQKEAEAQDTVGNSEYVPTVNHCLAIAKVAEHVRSSMTRRVKTTLTPGKQAPPDQSQQTYISFIHSQVEDMSDLGYAVTGLHLRKFIMENQTLTGTKDTLLYSIRGLQEPITSSRVVTGPIKSDRRIVWSYVIKGMDLNVRLSIPIINSFLLLFRIIQLYWRGAFHQLAVMVLSVVVLAGFLIKTLCNVELDFLGIKSRPNSTNMVSRLERGTYLVVGVVTGSAVVTGAWLTNEISLSESIPPDSDERTFTGVFNHIDINPEEAYVYSRIQLLDAATPLRQTCAVPLRLKWDTEGDLQDTRLFCSGNKESTRLFIMDAPVRQNKEVIILDGVQINSSKVKVTGRATLRSYVQSNVEKDRVYCRIEEVAERIVTVALVFGLPVWYTYLAGLRMEPVFQSCALLLFYLNFFYKFQNFLVGPLFTFFNYKQNNIVNRPYRQDRKEPHGLWVTRPRAEGGGCCRLWECCGARPRSDMRKKQRVPQLPINDTM
+>sp|Q8NBQ7|AQP11_HUMAN Aquaporin-11 OS=Homo sapiens OX=9606 GN=AQP11 PE=2 SV=1
+MSPLLGLRSELQDTCTSLGLMLSVVLLMGLARVVARQQLHRPVAHAFVLEFLATFQLCCCTHELQLLSEQHPAHPTWTLTLVYFFSLVHGLTLVGTSSNPCGVMMQMMLGGMSPETGAVRLLAQLVSALCSRYCTSALWSLGLTQYHVSERSFACKNPIRVDLLKAVITEAVCSFLFHSALLHFQEVRTKLRIHLLAALITFLVYAGGSLTGAVFNPALALSLHFMCFDEAFPQFFIVYWLAPSLGILLMILMFSFFLPWLHNNHTINKKE
+>DECOY_sp|Q8NBQ7|AQP11_HUMAN Aquaporin-11 OS=Homo sapiens OX=9606 GN=AQP11 PE=2 SV=1
+EKKNITHNNHLWPLFFSFMLIMLLIGLSPALWYVIFFQPFAEDFCMFHLSLALAPNFVAGTLSGGAYVLFTILAALLHIRLKTRVEQFHLLASHFLFSCVAETIVAKLLDVRIPNKCAFSRESVHYQTLGLSWLASTCYRSCLASVLQALLRVAGTEPSMGGLMMQMMVGCPNSSTGVLTLGHVLSFFYVLTLTWTPHAPHQESLLQLEHTCCCLQFTALFELVFAHAVPRHLQQRAVVRALGMLLVVSLMLGLSTCTDQLESRLGLLPSM
+>sp|P29972|AQP1_HUMAN Aquaporin-1 OS=Homo sapiens OX=9606 GN=AQP1 PE=1 SV=3
+MASEFKKKLFWRAVVAEFLATTLFVFISIGSALGFKYPVGNNQTAVQDNVKVSLAFGLSIATLAQSVGHISGAHLNPAVTLGLLLSCQISIFRALMYIIAQCVGAIVATAILSGITSSLTGNSLGRNDLADGVNSGQGLGIEIIGTLQLVLCVLATTDRRRRDLGGSAPLAIGLSVALGHLLAIDYTGCGINPARSFGSAVITHNFSNHWIFWVGPFIGGALAVLIYDFILAPRSSDLTDRVKVWTSGQVEEYDLDADDINSRVEMKPK
+>DECOY_sp|P29972|AQP1_HUMAN Aquaporin-1 OS=Homo sapiens OX=9606 GN=AQP1 PE=1 SV=3
+KPKMEVRSNIDDADLDYEEVQGSTWVKVRDTLDSSRPALIFDYILVALAGGIFPGVWFIWHNSFNHTIVASGFSRAPNIGCGTYDIALLHGLAVSLGIALPASGGLDRRRRDTTALVCLVLQLTGIIEIGLGQGSNVGDALDNRGLSNGTLSSTIGSLIATAVIAGVCQAIIYMLARFISIQCSLLLGLTVAPNLHAGSIHGVSQALTAISLGFALSVKVNDQVATQNNGVPYKFGLASGISIFVFLTTALFEAVVARWFLKKKFESAM
+>sp|P41181|AQP2_HUMAN Aquaporin-2 OS=Homo sapiens OX=9606 GN=AQP2 PE=1 SV=1
+MWELRSIAFSRAVFAEFLATLLFVFFGLGSALNWPQALPSVLQIAMAFGLGIGTLVQALGHISGAHINPAVTVACLVGCHVSVLRAAFYVAAQLLGAVAGAALLHEITPADIRGDLAVNALSNSTTAGQAVTVELFLTLQLVLCIFASTDERRGENPGTPALSIGFSVALGHLLGIHYTGCSMNPARSLAPAVVTGKFDDHWVFWIGPLVGAILGSLLYNYVLFPPAKSLSERLAVLKGLEPDTDWEEREVRRRQSVELHSPQSLPRGTKA
+>DECOY_sp|P41181|AQP2_HUMAN Aquaporin-2 OS=Homo sapiens OX=9606 GN=AQP2 PE=1 SV=1
+AKTGRPLSQPSHLEVSQRRRVEREEWDTDPELGKLVALRESLSKAPPFLVYNYLLSGLIAGVLPGIWFVWHDDFKGTVVAPALSRAPNMSCGTYHIGLLHGLAVSFGISLAPTGPNEGRREDTSAFICLVLQLTLFLEVTVAQGATTSNSLANVALDGRIDAPTIEHLLAAGAVAGLLQAAVYFAARLVSVHCGVLCAVTVAPNIHAGSIHGLAQVLTGIGLGFAMAIQLVSPLAQPWNLASGLGFFVFLLTALFEAFVARSFAISRLEWM
+>sp|Q92482|AQP3_HUMAN Aquaporin-3 OS=Homo sapiens OX=9606 GN=AQP3 PE=2 SV=2
+MGRQKELVSRCGEMLHIRYRLLRQALAECLGTLILVMFGCGSVAQVVLSRGTHGGFLTINLAFGFAVTLGILIAGQVSGAHLNPAVTFAMCFLAREPWIKLPIYTLAQTLGAFLGAGIVFGLYYDAIWHFADNQLFVSGPNGTAGIFATYPSGHLDMINGFFDQFIGTASLIVCVLAIVDPYNNPVPRGLEAFTVGLVVLVIGTSMGFNSGYAVNPARDFGPRLFTALAGWGSAVFTTGQHWWWVPIVSPLLGSIAGVFVYQLMIGCHLEQPPPSNEEENVKLAHVKHKEQI
+>DECOY_sp|Q92482|AQP3_HUMAN Aquaporin-3 OS=Homo sapiens OX=9606 GN=AQP3 PE=2 SV=2
+IQEKHKVHALKVNEEENSPPPQELHCGIMLQYVFVGAISGLLPSVIPVWWWHQGTTFVASGWGALATFLRPGFDRAPNVAYGSNFGMSTGIVLVVLGVTFAELGRPVPNNYPDVIALVCVILSATGIFQDFFGNIMDLHGSPYTAFIGATGNPGSVFLQNDAFHWIADYYLGFVIGAGLFAGLTQALTYIPLKIWPERALFCMAFTVAPNLHAGSVQGAILIGLTVAFGFALNITLFGGHTGRSLVVQAVSGCGFMVLILTGLCEALAQRLLRYRIHLMEGCRSVLEKQRGM
+>sp|O60306|AQR_HUMAN RNA helicase aquarius OS=Homo sapiens OX=9606 GN=AQR PE=1 SV=4
+MAAPAQPKKIVAPTVSQINAEFVTQLACKYWAPHIKKKSPFDIKVIEDIYEKEIVKSRFAIRKIMLLEFSQYLENYLWMNYSPEVSSKAYLMSICCMVNEKFRENVPAWEIFKKKPDHFPFFFKHILKAALAETDGEFSLHEQTVLLLFLDHCFNSLEVDLIRSQVQQLISLPMWMGLQLARLELELKKTPKLRKFWNLIKKNDEKMDPEAREQAYQERRFLSQLIQKFISVLKSVPLSEPVTMDKVHYCERFIELMIDLEALLPTRRWFNTILDDSHLLVHCYLSNLVRREEDGHLFSQLLDMLKFYTGFEINDQTGNALTENEMTTIHYDRITSLQRAAFAHFPELYDFALSNVAEVDTRESLVKFFGPLSSNTLHQVASYLCLLPTLPKNEDTTFDKEFLLELLVSRHERRISQIQQLNQMPLYPTEKIIWDENIVPTEYYSGEGCLALPKLNLQFLTLHDYLLRNFNLFRLESTYEIRQDIEDSVSRMKPWQSEYGGVVFGGWARMAQPIVAFTVVEVAKPNIGENWPTRVRADVTINLNVRDHIKDEWEGLRKHDVCFLITVRPTKPYGTKFDRRRPFIEQVGLVYVRGCEIQGMLDDKGRVIEDGPEPRPNLRGESRTFRVFLDPNQYQQDMTNTIQNGAEDVYETFNIIMRRKPKENNFKAVLETIRNLMNTDCVVPDWLHDIILGYGDPSSAHYSKMPNQIATLDFNDTFLSIEHLKASFPGHNVKVTVEDPALQIPPFRITFPVRSGKGKKRKDADVEDEDTEEAKTLIVEPHVIPNRGPYPYNQPKRNTIQFTHTQIEAIRAGMQPGLTMVVGPPGTGKTDVAVQIISNIYHNFPEQRTLIVTHSNQALNQLFEKIMALDIDERHLLRLGHGEEELETEKDFSRYGRVNYVLARRIELLEEVKRLQKSLGVPGDASYTCETAGYFFLYQVMSRWEEYISKVKNKGSTLPDVTEVSTFFPFHEYFANAPQPIFKGRSYEEDMEIAEGCFRHIKKIFTQLEEFRASELLRSGLDRSKYLLVKEAKIIAMTCTHAALKRHDLVKLGFKYDNILMEEAAQILEIETFIPLLLQNPQDGFSRLKRWIMIGDHHQLPPVIKNMAFQKYSNMEQSLFTRFVRVGVPTVDLDAQGRARASLCNLYNWRYKNLGNLPHVQLLPEFSTANAGLLYDFQLINVEDFQGVGESEPNPYFYQNLGEAEYVVALFMYMCLLGYPADKISILTTYNGQKHLIRDIINRRCGNNPLIGRPNKVTTVDRFQGQQNDYILLSLVRTRAVGHLRDVRRLVVAMSRARLGLYIFARVSLFQNCFELTPAFSQLTARPLHLHIIPTEPFPTTRKNGERPSHEVQIIKNMPQMANFVYNMYMHLIQTTHHYHQTLLQLPPAMVEEGEEVQNQETELETEEEAMTVQADIIPSPTDTSCRQETPAFQTDTTPSETGATSTPEAIPALSETTPTVVGAVSAPAEANTPQDATSAPEETK
+>DECOY_sp|O60306|AQR_HUMAN RNA helicase aquarius OS=Homo sapiens OX=9606 GN=AQR PE=1 SV=4
+KTEEPASTADQPTNAEAPASVAGVVTPTTESLAPIAEPTSTAGTESPTTDTQFAPTEQRCSTDTPSPIIDAQVTMAEEETELETEQNQVEEGEEVMAPPLQLLTQHYHHTTQILHMYMNYVFNAMQPMNKIIQVEHSPREGNKRTTPFPETPIIHLHLPRATLQSFAPTLEFCNQFLSVRAFIYLGLRARSMAVVLRRVDRLHGVARTRVLSLLIYDNQQGQFRDVTTVKNPRGILPNNGCRRNIIDRILHKQGNYTTLISIKDAPYGLLCMYMFLAVVYEAEGLNQYFYPNPESEGVGQFDEVNILQFDYLLGANATSFEPLLQVHPLNGLNKYRWNYLNCLSARARGQADLDVTPVGVRVFRTFLSQEMNSYKQFAMNKIVPPLQHHDGIMIWRKLRSFGDQPNQLLLPIFTEIELIQAAEEMLINDYKFGLKVLDHRKLAAHTCTMAIIKAEKVLLYKSRDLGSRLLESARFEELQTFIKKIHRFCGEAIEMDEEYSRGKFIPQPANAFYEHFPFFTSVETVDPLTSGKNKVKSIYEEWRSMVQYLFFYGATECTYSADGPVGLSKQLRKVEELLEIRRALVYNVRGYRSFDKETELEEEGHGLRLLHREDIDLAMIKEFLQNLAQNSHTVILTRQEPFNHYINSIIQVAVDTKGTGPPGVVMTLGPQMGARIAEIQTHTFQITNRKPQNYPYPGRNPIVHPEVILTKAEETDEDEVDADKRKKGKGSRVPFTIRFPPIQLAPDEVTVKVNHGPFSAKLHEISLFTDNFDLTAIQNPMKSYHASSPDGYGLIIDHLWDPVVCDTNMLNRITELVAKFNNEKPKRRMIINFTEYVDEAGNQITNTMDQQYQNPDLFVRFTRSEGRLNPRPEPGDEIVRGKDDLMGQIECGRVYVLGVQEIFPRRRDFKTGYPKTPRVTILFCVDHKRLGEWEDKIHDRVNLNITVDARVRTPWNEGINPKAVEVVTFAVIPQAMRAWGGFVVGGYESQWPKMRSVSDEIDQRIEYTSELRFLNFNRLLYDHLTLFQLNLKPLALCGEGSYYETPVINEDWIIKETPYLPMQNLQQIQSIRREHRSVLLELLFEKDFTTDENKPLTPLLCLYSAVQHLTNSSLPGFFKVLSERTDVEAVNSLAFDYLEPFHAFAARQLSTIRDYHITTMENETLANGTQDNIEFGTYFKLMDLLQSFLHGDEERRVLNSLYCHVLLHSDDLITNFWRRTPLLAELDIMLEIFRECYHVKDMTVPESLPVSKLVSIFKQILQSLFRREQYAQERAEPDMKEDNKKILNWFKRLKPTKKLELELRALQLGMWMPLSILQQVQSRILDVELSNFCHDLFLLLVTQEHLSFEGDTEALAAKLIHKFFFPFHDPKKKFIEWAPVNERFKENVMCCISMLYAKSSVEPSYNMWLYNELYQSFELLMIKRIAFRSKVIEKEYIDEIVKIDFPSKKKIHPAWYKCALQTVFEANIQSVTPAVIKKPQAPAAM
+>sp|Q5H913|AR13A_HUMAN ADP-ribosylation factor-like protein 13A OS=Homo sapiens OX=9606 GN=ARL13A PE=2 SV=2
+MFRLLSSCCSCLRTTEETRRNVTIPIIGLNNSGKTVLVEAFQKLLPSKTDHCMKSELTTLLLDEYELSIYDLNGDLKGREAWPNYYAQAHGLVFVLDSSDIRRMQEVKIILTHLLSDKRVAGKPILILANKQDKKKALMPCDIIDYLLLKKLVKENKCPCRVEPCSAIRNLERRNHQPIVEGLRWLLAVIDTCQLPPTSSISISKNNTGSGERCSSHSFSTRTGMSKEKRQHLEQCSIEAKPLKSILQKEGTRLWSKKNMSVTFALDEPMKEGECSRRMRAQNTTKLCYN
+>DECOY_sp|Q5H913|AR13A_HUMAN ADP-ribosylation factor-like protein 13A OS=Homo sapiens OX=9606 GN=ARL13A PE=2 SV=2
+NYCLKTTNQARMRRSCEGEKMPEDLAFTVSMNKKSWLRTGEKQLISKLPKAEISCQELHQRKEKSMGTRTSFSHSSCREGSGTNNKSISISSTPPLQCTDIVALLWRLGEVIPQHNRRELNRIASCPEVRCPCKNEKVLKKLLLYDIIDCPMLAKKKDQKNALILIPKGAVRKDSLLHTLIIKVEQMRRIDSSDLVFVLGHAQAYYNPWAERGKLDGNLDYISLEYEDLLLTTLESKMCHDTKSPLLKQFAEVLVTKGSNNLGIIPITVNRRTEETTRLCSCCSSLLRFM
+>sp|Q9Y2Y0|AR2BP_HUMAN ADP-ribosylation factor-like protein 2-binding protein OS=Homo sapiens OX=9606 GN=ARL2BP PE=1 SV=1
+MDALEGESFALSFSSASDAEFDAVVGYLEDIIMDDEFQLLQRNFMDKYYLEFEDTEENKLIYTPIFNEYISLVEKYIEEQLLQRIPEFNMAAFTTTLQHHKDEVAGDIFDMLLTFTDFLAFKEMFLDYRAEKEGRGLDLSSGLVVTSLCKSSSLPASQNNLRH
+>DECOY_sp|Q9Y2Y0|AR2BP_HUMAN ADP-ribosylation factor-like protein 2-binding protein OS=Homo sapiens OX=9606 GN=ARL2BP PE=1 SV=1
+HRLNNQSAPLSSSKCLSTVVLGSSLDLGRGEKEARYDLFMEKFALFDTFTLLMDFIDGAVEDKHHQLTTTFAAMNFEPIRQLLQEEIYKEVLSIYENFIPTYILKNEETDEFELYYKDMFNRQLLQFEDDMIIDELYGVVADFEADSASSFSLAFSEGELADM
+>sp|Q8N6S5|AR6P6_HUMAN ADP-ribosylation factor-like protein 6-interacting protein 6 OS=Homo sapiens OX=9606 GN=ARL6IP6 PE=1 SV=1
+MSFAESGWRSALRRRGPGTPGPVARPSYSSFTQGDSWGEGEVDEEEGCDQVARDLRAEFSAGAWSEPRKRSVLPPDGNGSPVLPDKRNGIFPAAAGSRAQPRRWPVQVLSILCSLLFAILLAFLLAIAYLIVKELHAENLKNEDDVDTGLLGFWTLLIISLTAGFSCCSFSWTVTYFDSFEPGMFPPTPLSPARFKKLTGHSFHMGYSMAILNGIVAALTVAWCLM
+>DECOY_sp|Q8N6S5|AR6P6_HUMAN ADP-ribosylation factor-like protein 6-interacting protein 6 OS=Homo sapiens OX=9606 GN=ARL6IP6 PE=1 SV=1
+MLCWAVTLAAVIGNLIAMSYGMHFSHGTLKKFRAPSLPTPPFMGPEFSDFYTVTWSFSCCSFGATLSIILLTWFGLLGTDVDDENKLNEAHLEKVILYAIALLFALLIAFLLSCLISLVQVPWRRPQARSGAAAPFIGNRKDPLVPSGNGDPPLVSRKRPESWAGASFEARLDRAVQDCGEEEDVEGEGWSDGQTFSSYSPRAVPGPTGPGRRRLASRWGSEAFSM
+>sp|P25098|ARBK1_HUMAN Beta-adrenergic receptor kinase 1 OS=Homo sapiens OX=9606 GN=GRK2 PE=1 SV=2
+MADLEAVLADVSYLMAMEKSKATPAARASKKILLPEPSIRSVMQKYLEDRGEVTFEKIFSQKLGYLLFRDFCLNHLEEARPLVEFYEEIKKYEKLETEEERVARSREIFDSYIMKELLACSHPFSKSATEHVQGHLGKKQVPPDLFQPYIEEICQNLRGDVFQKFIESDKFTRFCQWKNVELNIHLTMNDFSVHRIIGRGGFGEVYGCRKADTGKMYAMKCLDKKRIKMKQGETLALNERIMLSLVSTGDCPFIVCMSYAFHTPDKLSFILDLMNGGDLHYHLSQHGVFSEADMRFYAAEIILGLEHMHNRFVVYRDLKPANILLDEHGHVRISDLGLACDFSKKKPHASVGTHGYMAPEVLQKGVAYDSSADWFSLGCMLFKLLRGHSPFRQHKTKDKHEIDRMTLTMAVELPDSFSPELRSLLEGLLQRDVNRRLGCLGRGAQEVKESPFFRSLDWQMVFLQKYPPPLIPPRGEVNAADAFDIGSFDEEDTKGIKLLDSDQELYRNFPLTISERWQQEVAETVFDTINAETDRLEARKKAKNKQLGHEEDYALGKDCIMHGYMSKMGNPFLTQWQRRYFYLFPNRLEWRGEGEAPQSLLTMEEIQSVEETQIKERKCLLLKIRGGKQFILQCDSDPELVQWKKELRDAYREAQQLVQRVPKMKNKPRSPVVELSKVPLVQRGSANGL
+>DECOY_sp|P25098|ARBK1_HUMAN Beta-adrenergic receptor kinase 1 OS=Homo sapiens OX=9606 GN=GRK2 PE=1 SV=2
+LGNASGRQVLPVKSLEVVPSRPKNKMKPVRQVLQQAERYADRLEKKWQVLEPDSDCQLIFQKGGRIKLLLCKREKIQTEEVSQIEEMTLLSQPAEGEGRWELRNPFLYFYRRQWQTLFPNGMKSMYGHMICDKGLAYDEEHGLQKNKAKKRAELRDTEANITDFVTEAVEQQWRESITLPFNRYLEQDSDLLKIGKTDEEDFSGIDFADAANVEGRPPILPPPYKQLFVMQWDLSRFFPSEKVEQAGRGLCGLRRNVDRQLLGELLSRLEPSFSDPLEVAMTLTMRDIEHKDKTKHQRFPSHGRLLKFLMCGLSFWDASSDYAVGKQLVEPAMYGHTGVSAHPKKKSFDCALGLDSIRVHGHEDLLINAPKLDRYVVFRNHMHELGLIIEAAYFRMDAESFVGHQSLHYHLDGGNMLDLIFSLKDPTHFAYSMCVIFPCDGTSVLSLMIRENLALTEGQKMKIRKKDLCKMAYMKGTDAKRCGYVEGFGGRGIIRHVSFDNMTLHINLEVNKWQCFRTFKDSEIFKQFVDGRLNQCIEEIYPQFLDPPVQKKGLHGQVHETASKSFPHSCALLEKMIYSDFIERSRAVREEETELKEYKKIEEYFEVLPRAEELHNLCFDRFLLYGLKQSFIKEFTVEGRDELYKQMVSRISPEPLLIKKSARAAPTAKSKEMAMLYSVDALVAELDAM
+>sp|Q9NP61|ARFG3_HUMAN ADP-ribosylation factor GTPase-activating protein 3 OS=Homo sapiens OX=9606 GN=ARFGAP3 PE=1 SV=1
+MGDPSKQDILTIFKRLRSVPTNKVCFDCGAKNPSWASITYGVFLCIDCSGSHRSLGVHLSFIRSTELDSNWSWFQLRCMQVGGNASASSFFHQHGCSTNDTNAKYNSRAAQLYREKIKSLASQATRKHGTDLWLDSCVVPPLSPPPKEEDFFASHVSPEVSDTAWASAIAEPSSLTSRPVETTLENNEGGQEQGPSVEGLNVPTKATLEVSSIIKKKPNQAKKGLGAKKGSLGAQKLANTCFNEIEKQAQAADKMKEQEDLAKVVSKEESIVSSLRLAYKDLEIQMKKDEKMNISGKKNVDSDRLGMGFGNCRSVISHSVTSDMQTIEQESPIMAKPRKKYNDDSDDSYFTSSSSYFDEPVELRSSSFSSWDDSSDSYWKKETSKDTETVLKTTGYSDRPTARRKPDYEPVENTDEAQKKFGNVKAISSDMYFGRQSQADYETRARLERLSASSSISSADLFEEPRKQPAGNYSLSSVLPNAPDMAQFKQGVRSVAGKLSVFANGVVTSIQDRYGS
+>DECOY_sp|Q9NP61|ARFG3_HUMAN ADP-ribosylation factor GTPase-activating protein 3 OS=Homo sapiens OX=9606 GN=ARFGAP3 PE=1 SV=1
+SGYRDQISTVVGNAFVSLKGAVSRVGQKFQAMDPANPLVSSLSYNGAPQKRPEEFLDASSISSSASLRELRARTEYDAQSQRGFYMDSSIAKVNGFKKQAEDTNEVPEYDPKRRATPRDSYGTTKLVTETDKSTEKKWYSDSSDDWSSFSSSRLEVPEDFYSSSSTFYSDDSDDNYKKRPKAMIPSEQEITQMDSTVSHSIVSRCNGFGMGLRDSDVNKKGSINMKEDKKMQIELDKYALRLSSVISEEKSVVKALDEQEKMKDAAQAQKEIENFCTNALKQAGLSGKKAGLGKKAQNPKKKIISSVELTAKTPVNLGEVSPGQEQGGENNELTTEVPRSTLSSPEAIASAWATDSVEPSVHSAFFDEEKPPPSLPPVVCSDLWLDTGHKRTAQSALSKIKERYLQAARSNYKANTDNTSCGHQHFFSSASANGGVQMCRLQFWSWNSDLETSRIFSLHVGLSRHSGSCDICLFVGYTISAWSPNKAGCDFCVKNTPVSRLRKFITLIDQKSPDGM
+>sp|P53367|ARFP1_HUMAN Arfaptin-1 OS=Homo sapiens OX=9606 GN=ARFIP1 PE=1 SV=2
+MAQESPKNSAAEIPVTSNGEVDDSREHSFNRDLKHSLPSGLGLSETQITSHGFDNTKEGVIEAGAFQGSPAPPLPSVMSPSRVAASRLAQQGSDLIVPAGGQRTQTKSGPVILADEIKNPAMEKLELVRKWSLNTYKCTRQIISEKLGRGSRTVDLELEAQIDILRDNKKKYENILKLAQTLSTQLFQMVHTQRQLGDAFADLSLKSLELHEEFGYNADTQKLLAKNGETLLGAINFFIASVNTLVNKTIEDTLMTVKQYESARIEYDAYRTDLEELNLGPRDANTLPKIEQSQHLFQAHKEKYDKMRNDVSVKLKFLEENKVKVLHNQLVLFHNAIAAYFAGNQKQLEQTLKQFHIKLKTPGVDAPSWLEEQ
+>DECOY_sp|P53367|ARFP1_HUMAN Arfaptin-1 OS=Homo sapiens OX=9606 GN=ARFIP1 PE=1 SV=2
+QEELWSPADVGPTKLKIHFQKLTQELQKQNGAFYAAIANHFLVLQNHLVKVKNEELFKLKVSVDNRMKDYKEKHAQFLHQSQEIKPLTNADRPGLNLEELDTRYADYEIRASEYQKVTMLTDEITKNVLTNVSAIFFNIAGLLTEGNKALLKQTDANYGFEEHLELSKLSLDAFADGLQRQTHVMQFLQTSLTQALKLINEYKKKNDRLIDIQAELELDVTRSGRGLKESIIQRTCKYTNLSWKRVLELKEMAPNKIEDALIVPGSKTQTRQGGAPVILDSGQQALRSAAVRSPSMVSPLPPAPSGQFAGAEIVGEKTNDFGHSTIQTESLGLGSPLSHKLDRNFSHERSDDVEGNSTVPIEAASNKPSEQAM
+>sp|Q8N726|ARF_HUMAN Tumor suppressor ARF OS=Homo sapiens OX=9606 GN=CDKN2A PE=1 SV=2
+MVRRFLVTLRIRRACGPPRVRVFVVHIPRLTGEWAAPGAPAAVALVLMLLRSQRLGQQPLPRRPGHDDGQRPSGGAAAAPRRGAQLRRPRHSHPTRARRCPGGLPGHAGGAAPGRGAAGRARCLGPSARGPG
+>DECOY_sp|Q8N726|ARF_HUMAN Tumor suppressor ARF OS=Homo sapiens OX=9606 GN=CDKN2A PE=1 SV=2
+GPGRASPGLCRARGAAGRGPAAGGAHGPLGGPCRRARTPHSHRPRRLQAGRRPAAAAGGSPRQGDDHGPRRPLPQQGLRQSRLLMLVLAVAAPAGPAAWEGTLRPIHVVFVRVRPPGCARRIRLTVLFRRVM
+>sp|Q0P5N6|ARL16_HUMAN ADP-ribosylation factor-like protein 16 OS=Homo sapiens OX=9606 GN=ARL16 PE=1 SV=1
+MRVAGGRALSRGAELRVPGGAKHGMCLLLGATGVGKTLLVKRLQEVSSRDGKGDLGEPPPTRPTVGTNLTDIVAQRKITIRELGGCMGPIWSSYYGNCRSLLFVMDASDPTQLSASCVQLLGLLSAEQLAEASVLILFNKIDLPCYMSTEEMKSLIRLPDIIACAKQNITTAEISAREGTGLAGVLAWLQATHRAND
+>DECOY_sp|Q0P5N6|ARL16_HUMAN ADP-ribosylation factor-like protein 16 OS=Homo sapiens OX=9606 GN=ARL16 PE=1 SV=1
+DNARHTAQLWALVGALGTGERASIEATTINQKACAIIDPLRILSKMEETSMYCPLDIKNFLILVSAEALQEASLLGLLQVCSASLQTPDSADMVFLLSRCNGYYSSWIPGMCGGLERITIKRQAVIDTLNTGVTPRTPPPEGLDGKGDRSSVEQLRKVLLTKGVGTAGLLLCMGHKAGGPVRLEAGRSLARGGAVRM
+>sp|Q8IVW1|ARL17_HUMAN ADP-ribosylation factor-like protein 17 OS=Homo sapiens OX=9606 GN=ARL17A PE=2 SV=2
+MGNIFEKLFKSLLGKKKMRILILSLDTAGKTTILYKLKLGETVPAVPTVGFCVETVEYKNNTFAVWDVGSHFKIRPLWQHFFQNTKGARSPGSTHQGSLASGVLPIKCSHVEFGMWKGGRSHPFLPHSSRCAGSGGQLDSILPHQSPAWGPWGCKDLSSGFPSFLTSSILWKSAVVK
+>DECOY_sp|Q8IVW1|ARL17_HUMAN ADP-ribosylation factor-like protein 17 OS=Homo sapiens OX=9606 GN=ARL17A PE=2 SV=2
+KVVASKWLISSTLFSPFGSSLDKCGWPGWAPSQHPLISDLQGGSGACRSSHPLFPHSRGGKWMGFEVHSCKIPLVGSALSGQHTSGPSRAGKTNQFFHQWLPRIKFHSGVDWVAFTNNKYEVTEVCFGVTPVAPVTEGLKLKYLITTKGATDLSLILIRMKKKGLLSKFLKEFINGM
+>sp|Q96BM9|ARL8A_HUMAN ADP-ribosylation factor-like protein 8A OS=Homo sapiens OX=9606 GN=ARL8A PE=1 SV=1
+MIALFNKLLDWFKALFWKEEMELTLVGLQYSGKTTFVNVIASGQFNEDMIPTVGFNMRKITKGNVTIKLWDIGGQPRFRSMWERYCRGVSAIVYMVDAADQEKIEASKNELHNLLDKPQLQGIPVLVLGNKRDLPGALDEKELIEKMNLSAIQDREICCYSISCKEKDNIDITLQWLIQHSKSRRS
+>DECOY_sp|Q96BM9|ARL8A_HUMAN ADP-ribosylation factor-like protein 8A OS=Homo sapiens OX=9606 GN=ARL8A PE=1 SV=1
+SRRSKSHQILWQLTIDINDKEKCSISYCCIERDQIASLNMKEILEKEDLAGPLDRKNGLVLVPIGQLQPKDLLNHLENKSAEIKEQDAADVMYVIASVGRCYREWMSRFRPQGGIDWLKITVNGKTIKRMNFGVTPIMDENFQGSAIVNVFTTKGSYQLGVLTLEMEEKWFLAKFWDLLKNFLAIM
+>sp|P04424|ARLY_HUMAN Argininosuccinate lyase OS=Homo sapiens OX=9606 GN=ASL PE=1 SV=4
+MASESGKLWGGRFVGAVDPIMEKFNASIAYDRHLWEVDVQGSKAYSRGLEKAGLLTKAEMDQILHGLDKVAEEWAQGTFKLNSNDEDIHTANERRLKELIGATAGKLHTGRSRNDQVVTDLRLWMRQTCSTLSGLLWELIRTMVDRAEAERDVLFPGYTHLQRAQPIRWSHWILSHAVALTRDSERLLEVRKRINVLPLGSGAIAGNPLGVDRELLRAELNFGAITLNSMDATSERDFVAEFLFWASLCMTHLSRMAEDLILYCTKEFSFVQLSDAYSTGSSLMPQKKNPDSLELIRSKAGRVFGRCAGLLMTLKGLPSTYNKDLQEDKEAVFEVSDTMSAVLQVATGVISTLQIHQENMGQALSPDMLATDLAYYLVRKGMPFRQAHEASGKAVFMAETKGVALNQLSLQELQTISPLFSGDVICVWDYGHSVEQYGALGGTARSSVDWQIRQVRALLQAQQA
+>DECOY_sp|P04424|ARLY_HUMAN Argininosuccinate lyase OS=Homo sapiens OX=9606 GN=ASL PE=1 SV=4
+AQQAQLLARVQRIQWDVSSRATGGLAGYQEVSHGYDWVCIVDGSFLPSITQLEQLSLQNLAVGKTEAMFVAKGSAEHAQRFPMGKRVLYYALDTALMDPSLAQGMNEQHIQLTSIVGTAVQLVASMTDSVEFVAEKDEQLDKNYTSPLGKLTMLLGACRGFVRGAKSRILELSDPNKKQPMLSSGTSYADSLQVFSFEKTCYLILDEAMRSLHTMCLSAWFLFEAVFDRESTADMSNLTIAGFNLEARLLERDVGLPNGAIAGSGLPLVNIRKRVELLRESDRTLAVAHSLIWHSWRIPQARQLHTYGPFLVDREAEARDVMTRILEWLLGSLTSCTQRMWLRLDTVVQDNRSRGTHLKGATAGILEKLRRENATHIDEDNSNLKFTGQAWEEAVKDLGHLIQDMEAKTLLGAKELGRSYAKSGQVDVEWLHRDYAISANFKEMIPDVAGVFRGGWLKGSESAM
+>sp|Q8IUR7|ARMC8_HUMAN Armadillo repeat-containing protein 8 OS=Homo sapiens OX=9606 GN=ARMC8 PE=1 SV=2
+MACLLETPIRMSVLSEVTASSRHYVDRLFDPDPQKVLQGVIDMKNAVIGNNKQKANLIVLGAVPRLLYLLQQETSSTELKTECAVVLGSLAMGTENNVKSLLDCHIIPALLQGLLSPDLKFIEACLRCLRTIFTSPVTPEELLYTDATVIPHLMALLSRSRYTQEYICQIFSHCCKGPDHQTILFNHGAVQNIAHLLTSLSYKVRMQALKCFSVLAFENPQVSMTLVNVLVDGELLPQIFVKMLQRDKPIEMQLTSAKCLTYMCRAGAIRTDDNCIVLKTLPCLVRMCSKERLLEERVEGAETLAYLIEPDVELQRIASITDHLIAMLADYFKYPSSVSAITDIKRLDHDLKHAHELRQAAFKLYASLGANDEDIRKKIIETENMMDRIVTGLSESSVKVRLAAVRCLHSLSRSVQQLRTSFQDHAVWKPLMKVLQNAPDEILVVASSMLCNLLLEFSPSKEPILESGAVELLCGLTQSENPALRVNGIWALMNMAFQAEQKIKADILRSLSTEQLFRLLSDSDLNVLMKTLGLLRNLLSTRPHIDKIMSTHGKQIMQAVTLILEGEHNIEVKEQTLCILANIADGTTAKDLIMTNDDILQKIKYYMGHSHVKLQLAAMFCISNLIWNEEEGSQERQDKLRDMGIVDILHKLSQSPDSNLCDKAKMALQQYLA
+>DECOY_sp|Q8IUR7|ARMC8_HUMAN Armadillo repeat-containing protein 8 OS=Homo sapiens OX=9606 GN=ARMC8 PE=1 SV=2
+ALYQQLAMKAKDCLNSDPSQSLKHLIDVIGMDRLKDQREQSGEEENWILNSICFMAALQLKVHSHGMYYKIKQLIDDNTMILDKATTGDAINALICLTQEKVEINHEGELILTVAQMIQKGHTSMIKDIHPRTSLLNRLLGLTKMLVNLDSDSLLRFLQETSLSRLIDAKIKQEAQFAMNMLAWIGNVRLAPNESQTLGCLLEVAGSELIPEKSPSFELLLNCLMSSAVVLIEDPANQLVKMLPKWVAHDQFSTRLQQVSRSLSHLCRVAALRVKVSSESLGTVIRDMMNETEIIKKRIDEDNAGLSAYLKFAAQRLEHAHKLDHDLRKIDTIASVSSPYKFYDALMAILHDTISAIRQLEVDPEILYALTEAGEVREELLREKSCMRVLCPLTKLVICNDDTRIAGARCMYTLCKASTLQMEIPKDRQLMKVFIQPLLEGDVLVNVLTMSVQPNEFALVSFCKLAQMRVKYSLSTLLHAINQVAGHNFLITQHDPGKCCHSFIQCIYEQTYRSRSLLAMLHPIVTADTYLLEEPTVPSTFITRLCRLCAEIFKLDPSLLGQLLAPIIHCDLLSKVNNETGMALSGLVVACETKLETSSTEQQLLYLLRPVAGLVILNAKQKNNGIVANKMDIVGQLVKQPDPDFLRDVYHRSSATVESLVSMRIPTELLCAM
+>sp|P0C7Q2|ARMS2_HUMAN Age-related maculopathy susceptibility protein 2 OS=Homo sapiens OX=9606 GN=ARMS2 PE=2 SV=1
+MLRLYPGPMVTEAEGKGGPEMASLSSSVVPVSFISTLRESVLDPGVGGEGASDKQRSKLSLSHSMIPAAKIHTELCLPAFFSPAGTQRRFQQPQHHLTLSIIHTAAR
+>DECOY_sp|P0C7Q2|ARMS2_HUMAN Age-related maculopathy susceptibility protein 2 OS=Homo sapiens OX=9606 GN=ARMS2 PE=2 SV=1
+RAATHIISLTLHHQPQQFRRQTGAPSFFAPLCLETHIKAAPIMSHSLSLKSRQKDSAGEGGVGPDLVSERLTSIFSVPVVSSSLSAMEPGGKGEAETVMPGPYLRLM
+>sp|Q86WX3|AROS_HUMAN Active regulator of SIRT1 OS=Homo sapiens OX=9606 GN=RPS19BP1 PE=1 SV=1
+MSAALLRRGLELLAASEAPRDPPGQAKPRGAPVKRPRKTKAIQAQKLRNSAKGKVPKSALDEYRKRECRDHLRVNLKFLTRTRSTVAESVSQQILRQNRGRKACDRPVAKTKKKKAEGTVFTEEDFQKFQQEYFGS
+>DECOY_sp|Q86WX3|AROS_HUMAN Active regulator of SIRT1 OS=Homo sapiens OX=9606 GN=RPS19BP1 PE=1 SV=1
+SGFYEQQFKQFDEETFVTGEAKKKKTKAVPRDCAKRGRNQRLIQQSVSEAVTSRTRTLFKLNVRLHDRCERKRYEDLASKPVKGKASNRLKQAQIAKTKRPRKVPAGRPKAQGPPDRPAESAALLELGRRLLAASM
+>sp|Q9P1U1|ARP3B_HUMAN Actin-related protein 3B OS=Homo sapiens OX=9606 GN=ACTR3B PE=2 SV=1
+MAGSLPPCVVDCGTGYTKLGYAGNTEPQFIIPSCIAIRESAKVVDQAQRRVLRGVDDLDFFIGDEAIDKPTYATKWPIRHGIIEDWDLMERFMEQVVFKYLRAEPEDHYFLMTEPPLNTPENREYLAEIMFESFNVPGLYIAVQAVLALAASWTSRQVGERTLTGIVIDSGDGVTHVIPVAEGYVIGSCIKHIPIAGRDITYFIQQLLREREVGIPPEQSLETAKAIKEKYCYICPDIVKEFAKYDVDPRKWIKQYTGINAINQKKFVIDVGYERFLGPEIFFHPEFANPDFMESISDVVDEVIQNCPIDVRRPLYKNVVLSGGSTMFRDFGRRLQRDLKRVVDARLRLSEELSGGRIKPKPVEVQVVTHHMQRYAVWFGGSMLASTPEFFQVCHTKKDYEEYGPSICRHNPVFGVMS
+>DECOY_sp|Q9P1U1|ARP3B_HUMAN Actin-related protein 3B OS=Homo sapiens OX=9606 GN=ACTR3B PE=2 SV=1
+SMVGFVPNHRCISPGYEEYDKKTHCVQFFEPTSALMSGGFWVAYRQMHHTVVQVEVPKPKIRGGSLEESLRLRADVVRKLDRQLRRGFDRFMTSGGSLVVNKYLPRRVDIPCNQIVEDVVDSISEMFDPNAFEPHFFIEPGLFREYGVDIVFKKQNIANIGTYQKIWKRPDVDYKAFEKVIDPCIYCYKEKIAKATELSQEPPIGVERERLLQQIFYTIDRGAIPIHKICSGIVYGEAVPIVHTVGDGSDIVIGTLTREGVQRSTWSAALALVAQVAIYLGPVNFSEFMIEALYERNEPTNLPPETMLFYHDEPEARLYKFVVQEMFREMLDWDEIIGHRIPWKTAYTPKDIAEDGIFFDLDDVGRLVRRQAQDVVKASERIAICSPIIFQPETNGAYGLKTYGTGCDVVCPPLSGAM
+>sp|Q96B67|ARRD3_HUMAN Arrestin domain-containing protein 3 OS=Homo sapiens OX=9606 GN=ARRDC3 PE=1 SV=1
+MVLGKVKSLTISFDCLNDSNVPVYSSGDTVSGRVNLEVTGEIRVKSLKIHARGHAKVRWTESRNAGSNTAYTQNYTEEVEYFNHKDILIGHERDDDNSEEGFHTIHSGRHEYAFSFELPQTPLATSFEGRHGSVRYWVKAELHRPWLLPVKLKKEFTVFEHIDINTPSLLSPQAGTKEKTLCCWFCTSGPISLSAKIERKGYTPGESIQIFAEIENCSSRMVVPKAAIYQTQAFYAKGKMKEVKQLVANLRGESLSSGKTETWNGKLLKIPPVSPSILDCSIIRVEYSLMVYVDIPGAMDLFLNLPLVIGTIPLHPFGSRTSSVSSQCSMNMNWLSLSLPERPEAPPSYAEVVTEEQRRNNLAPVSACDDFERALQGPLFAYIQEFRFLPPPLYSEIDPNPDQSADDRPSCPSR
+>DECOY_sp|Q96B67|ARRD3_HUMAN Arrestin domain-containing protein 3 OS=Homo sapiens OX=9606 GN=ARRDC3 PE=1 SV=1
+RSPCSPRDDASQDPNPDIESYLPPPLFRFEQIYAFLPGQLAREFDDCASVPALNNRRQEETVVEAYSPPAEPREPLSLSLWNMNMSCQSSVSSTRSGFPHLPITGIVLPLNLFLDMAGPIDVYVMLSYEVRIISCDLISPSVPPIKLLKGNWTETKGSSLSEGRLNAVLQKVEKMKGKAYFAQTQYIAAKPVVMRSSCNEIEAFIQISEGPTYGKREIKASLSIPGSTCFWCCLTKEKTGAQPSLLSPTNIDIHEFVTFEKKLKVPLLWPRHLEAKVWYRVSGHRGEFSTALPTQPLEFSFAYEHRGSHITHFGEESNDDDREHGILIDKHNFYEVEETYNQTYATNSGANRSETWRVKAHGRAHIKLSKVRIEGTVELNVRGSVTDGSSYVPVNSDNLCDFSITLSKVKGLVM
+>sp|P51690|ARSE_HUMAN Arylsulfatase E OS=Homo sapiens OX=9606 GN=ARSE PE=1 SV=2
+MLHLHHSCLCFRSWLPAMLAVLLSLAPSASSDISASRPNILLLMADDLGIGDIGCYGNNTMRTPNIDRLAEDGVKLTQHISAASLCTPSRAAFLTGRYPVRSGMVSSIGYRVLQWTGASGGLPTNETTFAKILKEKGYATGLIGKWHLGLNCESASDHCHHPLHHGFDHFYGMPFSLMGDCARWELSEKRVNLEQKLNFLFQVLALVALTLVAGKLTHLIPVSWMPVIWSALSAVLLLASSYFVGALIVHADCFLMRNHTITEQPMCFQRTTPLILQEVASFLKRNKHGPFLLFVSFLHVHIPLITMENFLGKSLHGLYGDNVEEMDWMVGRILDTLDVEGLSNSTLIYFTSDHGGSLENQLGNTQYGGWNGIYKGGKGMGGWEGGIRVPGIFRWPGVLPAGRVIGEPTSLMDVFPTVVRLAGGEVPQDRVIDGQDLLPLLLGTAQHSDHEFLMHYCERFLHAARWHQRDRGTMWKVHFVTPVFQPEGAGACYGRKVCPCFGEKVVHHDPPLLFDLSRDPSETHILTPASEPVFYQVMERVQQAVWEHQRTLSPVPLQLDRLGNIWRPWLQPCCGPFPLCWCLREDDPQ
+>DECOY_sp|P51690|ARSE_HUMAN Arylsulfatase E OS=Homo sapiens OX=9606 GN=ARSE PE=1 SV=2
+QPDDERLCWCLPFPGCCPQLWPRWINGLRDLQLPVPSLTRQHEWVAQQVREMVQYFVPESAPTLIHTESPDRSLDFLLPPDHHVVKEGFCPCVKRGYCAGAGEPQFVPTVFHVKWMTGRDRQHWRAAHLFRECYHMLFEHDSHQATGLLLPLLDQGDIVRDQPVEGGALRVVTPFVDMLSTPEGIVRGAPLVGPWRFIGPVRIGGEWGGMGKGGKYIGNWGGYQTNGLQNELSGGHDSTFYILTSNSLGEVDLTDLIRGVMWDMEEVNDGYLGHLSKGLFNEMTILPIHVHLFSVFLLFPGHKNRKLFSAVEQLILPTTRQFCMPQETITHNRMLFCDAHVILAGVFYSSALLLVASLASWIVPMWSVPILHTLKGAVLTLAVLALVQFLFNLKQELNVRKESLEWRACDGMLSFPMGYFHDFGHHLPHHCHDSASECNLGLHWKGILGTAYGKEKLIKAFTTENTPLGGSAGTWQLVRYGISSVMGSRVPYRGTLFAARSPTCLSAASIHQTLKVGDEALRDINPTRMTNNGYCGIDGIGLDDAMLLLINPRSASIDSSASPALSLLVALMAPLWSRFCLCSHHLHLM
+>sp|P54793|ARSF_HUMAN Arylsulfatase F OS=Homo sapiens OX=9606 GN=ARSF PE=1 SV=4
+MRPRRPLVFMSLVCALLNTCQAHRVHDDKPNIVLIMVDDLGIGDLGCYGNDTMRTPHIDRLAREGVRLTQHISAASLCSPSRSAFLTGRYPIRSGMVSSGNRRVIQNLAVPAGLPLNETTLAALLKKQGYSTGLIGKWHQGLNCDSRSDQCHHPYNYGFDYYYGMPFTLVDSCWPDPSRNTELAFESQLWLCVQLVAIAILTLTFGKLSGWVSVPWLLIFSMILFIFLLGYAWFSSHTSPLYWDCLLMRGHEITEQPMKAERAGSIMVKEAISFLERHSKETFLLFFSFLHVHTPLPTTDDFTGTSKHGLYGDNVEEMDSMVGKILDAIDDFGLRNNTLVYFTSDHGGHLEARRGHAQLGGWNGIYKGGKGMGGWEGGIRVPGIVRWPGKVPAGRLIKEPTSLMDILPTVASVSGGSLPQDRVIDGRDLMPLLQGNVRHSEHEFLFHYCGSYLHAVRWIPKDDSGSVWKAHYVTPVFQPPASGGCYVTSLCRCFGEQVTYHNPPLLFDLSRDPSESTPLTPATEPLHDFVIKKVANALKEHQETIVPVTYQLSELNQGRTWLKPCCGVFPFCLCDKEEEVSQPRGPNEKR
+>DECOY_sp|P54793|ARSF_HUMAN Arylsulfatase F OS=Homo sapiens OX=9606 GN=ARSF PE=1 SV=4
+RKENPGRPQSVEEEKDCLCFPFVGCCPKLWTRGQNLESLQYTVPVITEQHEKLANAVKKIVFDHLPETAPTLPTSESPDRSLDFLLPPNHYTVQEGFCRCLSTVYCGGSAPPQFVPTVYHAKWVSGSDDKPIWRVAHLYSGCYHFLFEHESHRVNGQLLPMLDRGDIVRDQPLSGGSVSAVTPLIDMLSTPEKILRGAPVKGPWRVIGPVRIGGEWGGMGKGGKYIGNWGGLQAHGRRAELHGGHDSTFYVLTNNRLGFDDIADLIKGVMSDMEEVNDGYLGHKSTGTFDDTTPLPTHVHLFSFFLLFTEKSHRELFSIAEKVMISGAREAKMPQETIEHGRMLLCDWYLPSTHSSFWAYGLLFIFLIMSFILLWPVSVWGSLKGFTLTLIAIAVLQVCLWLQSEFALETNRSPDPWCSDVLTFPMGYYYDFGYNYPHHCQDSRSDCNLGQHWKGILGTSYGQKKLLAALTTENLPLGAPVALNQIVRRNGSSVMGSRIPYRGTLFASRSPSCLSAASIHQTLRVGERALRDIHPTRMTDNGYCGLDGIGLDDVMILVINPKDDHVRHAQCTNLLACVLSMFVLPRRPRM
+>sp|Q5FYB0|ARSJ_HUMAN Arylsulfatase J OS=Homo sapiens OX=9606 GN=ARSJ PE=2 SV=1
+MAPRGCAGHPPPPSPQACVCPGKMLAMGALAGFWILCLLTYGYLSWGQALEEEEEGALLAQAGEKLEPSTTSTSQPHLIFILADDQGFRDVGYHGSEIKTPTLDKLAAEGVKLENYYVQPICTPSRSQFITGKYQIHTGLQHSIIRPTQPNCLPLDNATLPQKLKEVGYSTHMVGKWHLGFYRKECMPTRRGFDTFFGSLLGSGDYYTHYKCDSPGMCGYDLYENDNAAWDYDNGIYSTQMYTQRVQQILASHNPTKPIFLYIAYQAVHSPLQAPGRYFEHYRSIININRRRYAAMLSCLDEAINNVTLALKTYGFYNNSIIIYSSDNGGQPTAGGSNWPLRGSKGTYWEGGIRAVGFVHSPLLKNKGTVCKELVHITDWYPTLISLAEGQIDEDIQLDGYDIWETISEGLRSPRVDILHNIDPIYTKAKNGSWAAGYGIWNTAIQSAIRVQHWKLLTGNPGYSDWVPPQSFSNLGPNRWHNERITLSTGKSVWLFNITADPYERVDLSNRYPGIVKKLLRRLSQFNKTAVPVRYPPKDPRSNPRLNGGVWGPWYKEETKKKKPSKNQAEKKQKKSKKKKKKQQKAVSGSTCHSGVTCG
+>DECOY_sp|Q5FYB0|ARSJ_HUMAN Arylsulfatase J OS=Homo sapiens OX=9606 GN=ARSJ PE=2 SV=1
+GCTVGSHCTSGSVAKQQKKKKKKSKKQKKEAQNKSPKKKKTEEKYWPGWVGGNLRPNSRPDKPPYRVPVATKNFQSLRRLLKKVIGPYRNSLDVREYPDATINFLWVSKGTSLTIRENHWRNPGLNSFSQPPVWDSYGPNGTLLKWHQVRIASQIATNWIGYGAAWSGNKAKTYIPDINHLIDVRPSRLGESITEWIDYGDLQIDEDIQGEALSILTPYWDTIHVLEKCVTGKNKLLPSHVFGVARIGGEWYTGKSGRLPWNSGGATPQGGNDSSYIIISNNYFGYTKLALTVNNIAEDLCSLMAAYRRRNINIISRYHEFYRGPAQLPSHVAQYAIYLFIPKTPNHSALIQQVRQTYMQTSYIGNDYDWAANDNEYLDYGCMGPSDCKYHTYYDGSGLLSGFFTDFGRRTPMCEKRYFGLHWKGVMHTSYGVEKLKQPLTANDLPLCNPQTPRIISHQLGTHIQYKGTIFQSRSPTCIPQVYYNELKVGEAALKDLTPTKIESGHYGVDRFGQDDALIFILHPQSTSTTSPELKEGAQALLAGEEEEELAQGWSLYGYTLLCLIWFGALAGMALMKGPCVCAQPSPPPPHGACGRPAM
+>sp|Q9NR71|ASAH2_HUMAN Neutral ceramidase OS=Homo sapiens OX=9606 GN=ASAH2 PE=1 SV=2
+MAKRTFSNLETFLIFLLVMMSAITVALLSLLFITSGTIENHKDLGGHFFSTTQSPPATQGSTAAQRSTATQHSTATQSSTATQTSPVPLTPESPLFQNFSGYHIGVGRADCTGQVADINLMGYGKSGQNAQGILTRLYSRAFIMAEPDGSNRTVFVSIDIGMVSQRLRLEVLNRLQSKYGSLYRRDNVILSGTHTHSGPAGYFQYTVFVIASEGFSNQTFQHMVTGILKSIDIAHTNMKPGKIFINKGNVDGVQINRSPYSYLQNPQSERARYSSNTDKEMIVLKMVDLNGDDLGLISWFAIHPVSMNNSNHLVNSDNVGYASYLLEQEKNKGYLPGQGPFVAAFASSNLGDVSPNILGPRCINTGESCDNANSTCPIGGPSMCIAKGPGQDMFDSTQIIGRAMYQRAKELYASASQEVTGPLASAHQWVDMTDVTVWLNSTHASKTCKPALGYSFAAGTIDGVGGLNFTQGKTEGDPFWDTIRDQILGKPSEEIKECHKPKPILLHTGELSKPHPWHPDIVDVQIITLGSLAITAIPGEFTTMSGRRLREAVQAEFASHGMQNMTVVISGLCNVYTHYITTYEEYQAQRYEAASTIYGPHTLSAYIQLFRNLAKAIATDTVANLSRGPEPPFFKQLIVPLIPSIVDRAPKGRTFGDVLQPAKPEYRVGEVAEVIFVGANPKNSVQNQTHQTFLTVEKYEATSTSWQIVCNDASWETRFYWHKGLLGLSNATVEWHIPDTAQPGIYRIRYFGHNRKQDILKPAVILSFEGTSPAFEVVTI
+>DECOY_sp|Q9NR71|ASAH2_HUMAN Neutral ceramidase OS=Homo sapiens OX=9606 GN=ASAH2 PE=1 SV=2
+ITVVEFAPSTGEFSLIVAPKLIDQKRNHGFYRIRYIGPQATDPIHWEVTANSLGLLGKHWYFRTEWSADNCVIQWSTSTAEYKEVTLFTQHTQNQVSNKPNAGVFIVEAVEGVRYEPKAPQLVDGFTRGKPARDVISPILPVILQKFFPPEPGRSLNAVTDTAIAKALNRFLQIYASLTHPGYITSAAEYRQAQYEEYTTIYHTYVNCLGSIVVTMNQMGHSAFEAQVAERLRRGSMTTFEGPIATIALSGLTIIQVDVIDPHWPHPKSLEGTHLLIPKPKHCEKIEESPKGLIQDRITDWFPDGETKGQTFNLGGVGDITGAAFSYGLAPKCTKSAHTSNLWVTVDTMDVWQHASALPGTVEQSASAYLEKARQYMARGIIQTSDFMDQGPGKAICMSPGGIPCTSNANDCSEGTNICRPGLINPSVDGLNSSAFAAVFPGQGPLYGKNKEQELLYSAYGVNDSNVLHNSNNMSVPHIAFWSILGLDDGNLDVMKLVIMEKDTNSSYRARESQPNQLYSYPSRNIQVGDVNGKNIFIKGPKMNTHAIDISKLIGTVMHQFTQNSFGESAIVFVTYQFYGAPGSHTHTGSLIVNDRRYLSGYKSQLRNLVELRLRQSVMGIDISVFVTRNSGDPEAMIFARSYLRTLIGQANQGSKGYGMLNIDAVQGTCDARGVGIHYGSFNQFLPSEPTLPVPSTQTATSSQTATSHQTATSRQAATSGQTAPPSQTTSFFHGGLDKHNEITGSTIFLLSLLAVTIASMMVLLFILFTELNSFTRKAM
+>sp|O43150|ASAP2_HUMAN Arf-GAP with SH3 domain, ANK repeat and PH domain-containing protein 2 OS=Homo sapiens OX=9606 GN=ASAP2 PE=1 SV=3
+MPDQISVSEFVAETHEDYKAPTASSFTTRTAQCRNTVAAIEEALDVDRMVLYKMKKSVKAINSSGLAHVENEEQYTQALEKFGGNCVCRDDPDLGSAFLKFSVFTKELTALFKNLIQNMNNIISFPLDSLLKGDLKGVKGDLKKPFDKAWKDYETKITKIEKEKKEHAKLHGMIRTEISGAEIAEEMEKERRFFQLQMCEYLLKVNEIKIKKGVDLLQNLIKYFHAQCNFFQDGLKAVESLKPSIETLSTDLHTIKQAQDEERRQLIQLRDILKSALQVEQKEDSQIRQSTAYSLHQPQGNKEHGTERNGSLYKKSDGIRKVWQKRKCSVKNGFLTISHGTANRPPAKLNLLTCQVKTNPEEKKCFDLISHDRTYHFQAEDEQECQIWMSVLQNSKEEALNNAFKGDDNTGENNIVQELTKEIISEVQRMTGNDVCCDCGAPDPTWLSTNLGILTCIECSGIHRELGVHYSRMQSLTLDVLGTSELLLAKNIGNAGFNEIMECCLPAEDSVKPNPGSDMNARKDYITAKYIERRYARKKHADNAAKLHSLCEAVKTRDIFGLLQAYADGVDLTEKIPLANGHEPDETALHLAVRSVDRTSLHIVDFLVQNSGNLDKQTGKGSTALHYCCLTDNAECLKLLLRGKASIEIANESGETPLDIAKRLKHEHCEELLTQALSGRFNSHVHVEYEWRLLHEDLDESDDDMDEKLQPSPNRREDRPISFYQLGSNQLQSNAVSLARDAANLAKEKQRAFMPSILQNETYGALLSGSPPPAQPAAPSTTSAPPLPPRNVGKVQTASSANTLWKTNSVSVDGGSRQRSSSDPPAVHPPLPPLRVTSTNPLTPTPPPPVAKTPSVMEALSQPSKPAPPGISQIRPPPLPPQPPSRLPQKKPAPGADKSTPLTNKGQPRGPVDLSATEALGPLSNAMVLQPPAPMPRKSQATKLKPKRVKALYNCVADNPDELTFSEGDVIIVDGEEDQEWWIGHIDGDPGRKGAFPVSFVHFIAD
+>DECOY_sp|O43150|ASAP2_HUMAN Arf-GAP with SH3 domain, ANK repeat and PH domain-containing protein 2 OS=Homo sapiens OX=9606 GN=ASAP2 PE=1 SV=3
+DAIFHVFSVPFAGKRGPDGDIHGIWWEQDEEGDVIIVDGESFTLEDPNDAVCNYLAKVRKPKLKTAQSKRPMPAPPQLVMANSLPGLAETASLDVPGRPQGKNTLPTSKDAGPAPKKQPLRSPPQPPLPPPRIQSIGPPAPKSPQSLAEMVSPTKAVPPPPTPTLPNTSTVRLPPLPPHVAPPDSSSRQRSGGDVSVSNTKWLTNASSATQVKGVNRPPLPPASTTSPAAPQAPPPSGSLLAGYTENQLISPMFARQKEKALNAADRALSVANSQLQNSGLQYFSIPRDERRNPSPQLKEDMDDDSEDLDEHLLRWEYEVHVHSNFRGSLAQTLLEECHEHKLRKAIDLPTEGSENAIEISAKGRLLLKLCEANDTLCCYHLATSGKGTQKDLNGSNQVLFDVIHLSTRDVSRVALHLATEDPEHGNALPIKETLDVGDAYAQLLGFIDRTKVAECLSHLKAANDAHKKRAYRREIYKATIYDKRANMDSGPNPKVSDEAPLCCEMIENFGANGINKALLLESTGLVDLTLSQMRSYHVGLERHIGSCEICTLIGLNTSLWTPDPAGCDCCVDNGTMRQVESIIEKTLEQVINNEGTNDDGKFANNLAEEKSNQLVSMWIQCEQEDEAQFHYTRDHSILDFCKKEEPNTKVQCTLLNLKAPPRNATGHSITLFGNKVSCKRKQWVKRIGDSKKYLSGNRETGHEKNGQPQHLSYATSQRIQSDEKQEVQLASKLIDRLQILQRREEDQAQKITHLDTSLTEISPKLSEVAKLGDQFFNCQAHFYKILNQLLDVGKKIKIENVKLLYECMQLQFFRREKEMEEAIEAGSIETRIMGHLKAHEKKEKEIKTIKTEYDKWAKDFPKKLDGKVGKLDGKLLSDLPFSIINNMNQILNKFLATLEKTFVSFKLFASGLDPDDRCVCNGGFKELAQTYQEENEVHALGSSNIAKVSKKMKYLVMRDVDLAEEIAAVTNRCQATRTTFSSATPAKYDEHTEAVFESVSIQDPM
+>sp|Q6ZVZ8|ASB18_HUMAN Ankyrin repeat and SOCS box protein 18 OS=Homo sapiens OX=9606 GN=ASB18 PE=2 SV=2
+MSNSDYLPDYPLNSDLVKRLKSALDAKDEERVRDLICTEITPVDAVIELANDDWMKDPSAQLPTGMLLGDLDHLKPLMDQFFQDANVVFEINKDEMEWQVKSPATFGLSGLWTLEYKRELTTPLCIAAAHGHTACVRHLLGRGADPDASPGGRGALHEACLGGHTACVRLLLQHRADPDLLSAEGLAPLHLCRTAASLGCAQALLEHGASVQRVGGTGRDTPLHVAAQRGLDEHARLYLGRGAHVDARNGRGETALSAACGAARRPDEHGRCLRLCALLLRRGAEADARDEDERSPLHKACGHASHSLARLLLRHGADAGALDYGGASPLGRVLQTASCALQASPQRTVQALLNHGSPTVWPDAFPKVLKTCASVPAVIEVLFNSYPQLCLSESWKEVIPEEVFQMHKPFYQSLFALALTPRCLQHLCRCALRRLFGKRCFDLIPLLPLPKPLQNYLLLEPQGVLH
+>DECOY_sp|Q6ZVZ8|ASB18_HUMAN Ankyrin repeat and SOCS box protein 18 OS=Homo sapiens OX=9606 GN=ASB18 PE=2 SV=2
+HLVGQPELLLYNQLPKPLPLLPILDFCRKGFLRRLACRCLHQLCRPTLALAFLSQYFPKHMQFVEEPIVEKWSESLCLQPYSNFLVEIVAPVSACTKLVKPFADPWVTPSGHNLLAQVTRQPSAQLACSATQLVRGLPSAGGYDLAGADAGHRLLLRALSHSAHGCAKHLPSREDEDRADAEAGRRLLLACLRLCRGHEDPRRAAGCAASLATEGRGNRADVHAGRGLYLRAHEDLGRQAAVHLPTDRGTGGVRQVSAGHELLAQACGLSAATRCLHLPALGEASLLDPDARHQLLLRVCATHGGLCAEHLAGRGGPSADPDAGRGLLHRVCATHGHAAAICLPTTLERKYELTWLGSLGFTAPSKVQWEMEDKNIEFVVNADQFFQDMLPKLHDLDGLLMGTPLQASPDKMWDDNALEIVADVPTIETCILDRVREEDKADLASKLRKVLDSNLPYDPLYDSNSM
+>sp|O75129|ASTN2_HUMAN Astrotactin-2 OS=Homo sapiens OX=9606 GN=ASTN2 PE=1 SV=2
+MAAAGARLSPGPGSGLRGRPRLCFHPGPPPLLPLLLLFLLLLPPPPLLAGATAAASREPDSPCRLKTVTVSTLPALRESDIGWSGARAGAGAGTGAGAAAAAASPGSPGSAGTAAESRLLLFVRNELPGRIAVQDDLDNTELPFFTLEMSGTAADISLVHWRQQWLENGTLYFHVSMSSSGQLAQATAPTLQEPSEIVEEQMHILHISVMGGLIALLLLLLVFTVALYAQRRWQKRRRIPQKSASTEATHEIHYIPSVLLGPQARESFRSSRLQTHNSVIGVPIRETPILDDYDCEEDEEPPRRANHVSREDEFGSQVTHTLDSLGHPGEEKVDFEKKAAAEATQETVESLMQKFKESFRANTPIEIGQLQPPLRSTSAGKRKRRSKSRGGISFGRAKGTSGSEADDETQLTFYTEQYRSRRRSKGLLKSPVNKTALTLIAVSSCILAMVCGSQMSCPLTVKVTLHVPEHFIADGSSFVVSEGSYLDISDWLNPAKLSLYYQINATSPWVRDLCGQRTTDACEQLCDPETGECSCHEGYAPDPVHRHLCVRSDWGQSEGPWPYTTLERGYDLVTGEQAPEKILRSTFSLGQGLWLPVSKSFVVPPVELSINPLASCKTDVLVTEDPADVREEAMLSTYFETINDLLSSFGPVRDCSRNNGGCTRNFKCVSDRQVDSSGCVCPEELKPMKDGSGCYDHSKGIDCSDGFNGGCEQLCLQQTLPLPYDATSSTIFMFCGCVEEYKLAPDGKSCLMLSDVCEGPKCLKPDSKFNDTLFGEMLHGYNNRTQHVNQGQVFQMTFRENNFIKDFPQLADGLLVIPLPVEEQCRGVLSEPLPDLQLLTGDIRYDEAMGYPMVQQWRVRSNLYRVKLSTITLAAGFTNVLKILTKESSREELLSFIQHYGSHYIAEALYGSELTCIIHFPSKKVQQQLWLQYQKETTELGSKKELKSMPFITYLSGLLTAQMLSDDQLISGVEIRCEEKGRCPSTCHLCRRPGKEQLSPTPVLLEINRVVPLYTLIQDNGTKEAFKSALMSSYWCSGKGDVIDDWCRCDLSAFDANGLPNCSPLLQPVLRLSPTVEPSSTVVSLEWVDVQPAIGTKVSDYILQHKKVDEYTDTDLYTGEFLSFADDLLSGLGTSCVAAGRSHGEVPEVSIYSVIFKCLEPDGLYKFTLYAVDTRGRHSELSTVTLRTACPLVDDNKAEEIADKIYNLYNGYTSGKEQQMAYNTLMEVSASMLFRVQHHYNSHYEKFGDFVWRSEDELGPRKAHLILRRLERVSSHCSSLLRSAYIQSRVETVPYLFCRSEEVRPAGMVWYSILKDTKITCEEKMVSMARNTYGESKGR
+>DECOY_sp|O75129|ASTN2_HUMAN Astrotactin-2 OS=Homo sapiens OX=9606 GN=ASTN2 PE=1 SV=2
+RGKSEGYTNRAMSVMKEECTIKTDKLISYWVMGAPRVEESRCFLYPVTEVRSQIYASRLLSSCHSSVRELRRLILHAKRPGLEDESRWVFDGFKEYHSNYHHQVRFLMSASVEMLTNYAMQQEKGSTYGNYLNYIKDAIEEAKNDDVLPCATRLTVTSLESHRGRTDVAYLTFKYLGDPELCKFIVSYISVEPVEGHSRGAAVCSTGLGSLLDDAFSLFEGTYLDTDTYEDVKKHQLIYDSVKTGIAPQVDVWELSVVTSSPEVTPSLRLVPQLLPSCNPLGNADFASLDCRCWDDIVDGKGSCWYSSMLASKFAEKTGNDQILTYLPVVRNIELLVPTPSLQEKGPRRCLHCTSPCRGKEECRIEVGSILQDDSLMQATLLGSLYTIFPMSKLEKKSGLETTEKQYQLWLQQQVKKSPFHIICTLESGYLAEAIYHSGYHQIFSLLEERSSEKTLIKLVNTFGAALTITSLKVRYLNSRVRWQQVMPYGMAEDYRIDGTLLQLDPLPESLVGRCQEEVPLPIVLLGDALQPFDKIFNNERFTMQFVQGQNVHQTRNNYGHLMEGFLTDNFKSDPKLCKPGECVDSLMLCSKGDPALKYEEVCGCFMFITSSTADYPLPLTQQLCLQECGGNFGDSCDIGKSHDYCGSGDKMPKLEEPCVCGSSDVQRDSVCKFNRTCGGNNRSCDRVPGFSSLLDNITEFYTSLMAEERVDAPDETVLVDTKCSALPNISLEVPPVVFSKSVPLWLGQGLSFTSRLIKEPAQEGTVLDYGRELTTYPWPGESQGWDSRVCLHRHVPDPAYGEHCSCEGTEPDCLQECADTTRQGCLDRVWPSTANIQYYLSLKAPNLWDSIDLYSGESVVFSSGDAIFHEPVHLTVKVTLPCSMQSGCVMALICSSVAILTLATKNVPSKLLGKSRRRSRYQETYFTLQTEDDAESGSTGKARGFSIGGRSKSRRKRKGASTSRLPPQLQGIEIPTNARFSEKFKQMLSEVTEQTAEAAAKKEFDVKEEGPHGLSDLTHTVQSGFEDERSVHNARRPPEEDEECDYDDLIPTERIPVGIVSNHTQLRSSRFSERAQPGLLVSPIYHIEHTAETSASKQPIRRRKQWRRQAYLAVTFVLLLLLLAILGGMVSIHLIHMQEEVIESPEQLTPATAQALQGSSSMSVHFYLTGNELWQQRWHVLSIDAATGSMELTFFPLETNDLDDQVAIRGPLENRVFLLLRSEAATGASGPSGPSAAAAAAGAGTGAGAGARAGSWGIDSERLAPLTSVTVTKLRCPSDPERSAAATAGALLPPPPLLLLFLLLLPLLPPPGPHFCLRPRGRLGSGPGPSLRAGAAAM
+>sp|Q9C0F0|ASXL3_HUMAN Putative Polycomb group protein ASXL3 OS=Homo sapiens OX=9606 GN=ASXL3 PE=2 SV=3
+MKDKRKKKDRTWAEAARLALEKHPNSPMTAKQILEVIQKEGLKETSGTSPLACLNAMLHTNTRIGDGTFFKIPGKSGLYALKKEESSCPADGTLDLVCESELDGTDMAEANAHGEENGVCSKQVTDEASSTRDSSLTNTAVQSKLVSSFQQHTKKALKQALRQQQKRRNGVSMMVNKTVPRVVLTPLKVSDEQSDSPSGSESKNGEADSSDKEMKHGQKSPTGKQTSQHLKRLKKSGLGHLKWTKAEDIDIETPGSILVNTNLRALINKHTFASLPQHFQQYLLLLLPEVDRQMGSDGILRLSTSALNNEFFAYAAQGWKQRLAEGEFTPEMQLRIRQEIEKEKKTEPWKEKFFERFYGEKLGMSREESVKLTTGPNNAGAQSSSSCGTSGLPVSAQTALAEQQPKSMKSPASPEPGFCATLCPMVEIPPKDIMAELESEDILIPEESVIQEEIAEEVETSICECQDENHKTIPEFSEEAESLTNSHEEPQIAPPEDNLESCVMMNDVLETLPHIEVKIEGKSESPQEEMTVVIDQLEVCDSLIPSTSSMTHVSDTEHKESETAVETSTPKIKTGSSSLEGQFPNEGIAIDMELQSDPEEQLSENACISETSFSSESPEGACTSLPSPGGETQSTSEESCTPASLETTFCSEVSSTENTDKYNQRNSTDENFHASLMSEISPISTSPEISEASLMSNLPLTSEASPVSNLPLTSETSPMSDLPLTSETSSVSSMLLTSETTFVSSLPLPSETSPISNSSINERMAHQQRKSPSVSEEPLSPQKDESSATAKPLGENLTSQQKNLSNTPEPIIMSSSSIAPEAFPSEDLHNKTLSQQTCKSHVDTEKPYPASIPELASTEMIKVKNHSVLQRTEKKVLPSPLELSVFSEGTDNKGNELPSAKLQDKQYISSVDKAPFSEGSRNKTHKQGSTQSRLETSHTSKSSEPSKSPDGIRNESRDSEISKRKTAEQHSFGICKEKRARIEDDQSTRNISSSSPPEKEQPPREEPRVPPLKIQLSKIGPPFIIKSQPVSKPESRASTSTSVSGGRNTGARTLADIKARAQQARAQREAAAAAAVAAAASIVSGAMGSPGEGGKTRTLAHIKEQTKAKLFAKHQARAHLFQTSKETRLPPPLSSKEGPPNLEVSSTPETKMEGSTGVIIVNPNCRSPSNKSAHLRETTTVLQQSLNPSKLPETATDLSVHSSDENIPVSHLSEKIVSSTSSENSSVPMLFNKNSVPVSVCSTAISGAIKEHPFVSSVDKSSVLMSVDSANTTISACNISMLKTIQGTDTPCIAIIPKCIESTPISATTEGSSISSSMDDKQLLISSSSASNLVSTQYTSVPTPSIGNNLPNLSTSSVLIPPMGINNRFPSEKIAIPGSEEQATVSMGTTVRAALSCSDSVAVTDSLVAHPTVAMFTGNMLTINSYDSPPKLSAESLDKNSGPRNRADNSGKPQQPPGGFAPAAINRSIPCKVIVDHSTTLTSSLSLTVSVESSEASLDLQGRPVRTEASVQPVACPQVSVISRPEPVANEGIDHSSTFIAASAAKQDSKTLPATCTSLRELPLVPDKLNEPTAPSHNFAEQARGPAPFKSEADTTCSNQYNPSNRICWNDDGMRSTGQPLVTHSGSSKQKEYLEQSCPKAIKTEHANYLNVSELHPRNLVTNVALPVKSELHEADKGFRMDTEDFPGPELPPPAAEGASSVQQTQNMKASTSSPMEEAISLATDALKRVPGAGSSGCRLSSVEANNPLVTQLLQGNLPLEKVLPQPRLGAKLEINRLPLPLQTTSVGKTAPERNVEIPPSSPNPDGKGYLAGTLAPLQMRKRENHPKKRVARTVGEHTQVKCEPGKLLVEPDVKGVPCVISSGISQLGHSQPFKQEWLNKHSMQNRIVHSPEVKQQKRLLPSCSFQQNLFHVDKNGGFHTDAGTSHRQQFYQMPVAARGPIPTAALLQASSKTPVGCNAFAFNRHLEQKGLGEVSLSSAPHQLRLANMLSPNMPMKEGDEVGGTAHTMPNKALVHPPPPPPPPPPPPLALPPPPPPPPPLPPPLPNAEVPSDQKQPPVTMETTKRLSWPQSTGICSNIKSEPLSFEEGLSSSCELGMKQVSYDQNEMKEQLKAFALKSADFSSYLLSEPQKPFTQLAAQKMQVQQQQQLCGNYPTIHFGSTSFKRAASAIEKSIGILGSGSNPATGLSGQNAQMPVQNFADSSNADELELKCSCRLKAMIVCKGCGAFCHDDCIGPSKLCVACLVVR
+>DECOY_sp|Q9C0F0|ASXL3_HUMAN Putative Polycomb group protein ASXL3 OS=Homo sapiens OX=9606 GN=ASXL3 PE=2 SV=3
+RVVLCAVCLKSPGICDDHCFAGCGKCVIMAKLRCSCKLELEDANSSDAFNQVPMQANQGSLGTAPNSGSGLIGISKEIASAARKFSTSGFHITPYNGCLQQQQQVQMKQAALQTFPKQPESLLYSSFDASKLAFAKLQEKMENQDYSVQKMGLECSSSLGEEFSLPESKINSCIGTSQPWSLRKTTEMTVPPQKQDSPVEANPLPPPLPPPPPPPPPLALPPPPPPPPPPPPHVLAKNPMTHATGGVEDGEKMPMNPSLMNALRLQHPASSLSVEGLGKQELHRNFAFANCGVPTKSSAQLLAATPIPGRAAVPMQYFQQRHSTGADTHFGGNKDVHFLNQQFSCSPLLRKQQKVEPSHVIRNQMSHKNLWEQKFPQSHGLQSIGSSIVCPVGKVDPEVLLKGPECKVQTHEGVTRAVRKKPHNERKRMQLPALTGALYGKGDPNPSSPPIEVNREPATKGVSTTQLPLPLRNIELKAGLRPQPLVKELPLNGQLLQTVLPNNAEVSSLRCGSSGAGPVRKLADTALSIAEEMPSSTSAKMNQTQQVSSAGEAAPPPLEPGPFDETDMRFGKDAEHLESKVPLAVNTVLNRPHLESVNLYNAHETKIAKPCSQELYEKQKSSGSHTVLPQGTSRMGDDNWCIRNSPNYQNSCTTDAESKFPAPGRAQEAFNHSPATPENLKDPVLPLERLSTCTAPLTKSDQKAASAAIFTSSHDIGENAVPEPRSIVSVQPCAVPQVSAETRVPRGQLDLSAESSEVSVTLSLSSTLTTSHDVIVKCPISRNIAAPAFGGPPQQPKGSNDARNRPGSNKDLSEASLKPPSDYSNITLMNGTFMAVTPHAVLSDTVAVSDSCSLAARVTTGMSVTAQEESGPIAIKESPFRNNIGMPPILVSSTSLNPLNNGISPTPVSTYQTSVLNSASSSSILLQKDDMSSSISSGETTASIPTSEICKPIIAICPTDTGQITKLMSINCASITTNASDVSMLVSSKDVSSVFPHEKIAGSIATSCVSVPVSNKNFLMPVSSNESSTSSVIKESLHSVPINEDSSHVSLDTATEPLKSPNLSQQLVTTTERLHASKNSPSRCNPNVIIVGTSGEMKTEPTSSVELNPPGEKSSLPPPLRTEKSTQFLHARAQHKAFLKAKTQEKIHALTRTKGGEGPSGMAGSVISAAAAVAAAAAAERQARAQQARAKIDALTRAGTNRGGSVSTSTSARSEPKSVPQSKIIFPPGIKSLQIKLPPVRPEERPPQEKEPPSSSSINRTSQDDEIRARKEKCIGFSHQEATKRKSIESDRSENRIGDPSKSPESSKSTHSTELRSQTSGQKHTKNRSGESFPAKDVSSIYQKDQLKASPLENGKNDTGESFVSLELPSPLVKKETRQLVSHNKVKIMETSALEPISAPYPKETDVHSKCTQQSLTKNHLDESPFAEPAISSSSMIIPEPTNSLNKQQSTLNEGLPKATASSEDKQPSLPEESVSPSKRQQHAMRENISSNSIPSTESPLPLSSVFTTESTLLMSSVSSTESTLPLDSMPSTESTLPLNSVPSAESTLPLNSMLSAESIEPSTSIPSIESMLSAHFNEDTSNRQNYKDTNETSSVESCFTTELSAPTCSEESTSQTEGGPSPLSTCAGEPSESSFSTESICANESLQEEPDSQLEMDIAIGENPFQGELSSSGTKIKPTSTEVATESEKHETDSVHTMSSTSPILSDCVELQDIVVTMEEQPSESKGEIKVEIHPLTELVDNMMVCSELNDEPPAIQPEEHSNTLSEAEESFEPITKHNEDQCECISTEVEEAIEEQIVSEEPILIDESELEAMIDKPPIEVMPCLTACFGPEPSAPSKMSKPQQEALATQASVPLGSTGCSSSSQAGANNPGTTLKVSEERSMGLKEGYFREFFKEKWPETKKEKEIEQRIRLQMEPTFEGEALRQKWGQAAYAFFENNLASTSLRLIGDSGMQRDVEPLLLLLYQQFHQPLSAFTHKNILARLNTNVLISGPTEIDIDEAKTWKLHGLGSKKLRKLHQSTQKGTPSKQGHKMEKDSSDAEGNKSESGSPSDSQEDSVKLPTLVVRPVTKNVMMSVGNRRKQQQRLAQKLAKKTHQQFSSVLKSQVATNTLSSDRTSSAEDTVQKSCVGNEEGHANAEAMDTGDLESECVLDLTGDAPCSSEEKKLAYLGSKGPIKFFTGDGIRTNTHLMANLCALPSTGSTEKLGEKQIVELIQKATMPSNPHKELALRAAEAWTRDKKKRKDKM
+>sp|Q8WWH4|ASZ1_HUMAN Ankyrin repeat, SAM and basic leucine zipper domain-containing protein 1 OS=Homo sapiens OX=9606 GN=ASZ1 PE=1 SV=1
+MAASALRGLPVAGGGESSESEDDGWEIGYLDRTSQKLKRLLPIEEKKEKFKKAMTIGDVSLVQELLDSGISVDSNFQYGWTPLMYAASVANAELVRVLLDRGANASFEKDKQSILITACSAHGSEEQILKCVELLLSRNADPNVACRRLMTPIMYAARDGHTQVVALLVAHGAEVNTQDENGYTALTWAARQGHKNIVLKLLELGANKMLQTKDGKMPSEIAKRNKHHEIFNLLSFTLNPLEGKLQQLTKEDTICKILTTDSDREKDHIFSSYTAFGDLEVFLHGLGLEHMTDLLKERDITLRHLLTMREDEFTKNGITSKDQQKILAALKELQVEEIQFGELSEETKLEISGDEFLNFLLKLNKQCGHLITAVQNVITELPVNSQKITLEWASPQNFTSVCEELVNNVEDLSEKVCKLKDLIQKLQNERENDPTHIQLREEVSTWNSRILKRTAITICGFGFLLFICKLTFQRK
+>DECOY_sp|Q8WWH4|ASZ1_HUMAN Ankyrin repeat, SAM and basic leucine zipper domain-containing protein 1 OS=Homo sapiens OX=9606 GN=ASZ1 PE=1 SV=1
+KRQFTLKCIFLLFGFGCITIATRKLIRSNWTSVEERLQIHTPDNERENQLKQILDKLKCVKESLDEVNNVLEECVSTFNQPSAWELTIKQSNVPLETIVNQVATILHGCQKNLKLLFNLFEDGSIELKTEESLEGFQIEEVQLEKLAALIKQQDKSTIGNKTFEDERMTLLHRLTIDREKLLDTMHELGLGHLFVELDGFATYSSFIHDKERDSDTTLIKCITDEKTLQQLKGELPNLTFSLLNFIEHHKNRKAIESPMKGDKTQLMKNAGLELLKLVINKHGQRAAWTLATYGNEDQTNVEAGHAVLLAVVQTHGDRAAYMIPTMLRRCAVNPDANRSLLLEVCKLIQEESGHASCATILISQKDKEFSANAGRDLLVRVLEANAVSAAYMLPTWGYQFNSDVSIGSDLLEQVLSVDGITMAKKFKEKKEEIPLLRKLKQSTRDLYGIEWGDDESESSEGGGAVPLGRLASAAM
+>sp|O94823|AT10B_HUMAN Probable phospholipid-transporting ATPase VB OS=Homo sapiens OX=9606 GN=ATP10B PE=2 SV=2
+MALSVDSSWHRWQWRVRDGFPHCPSETTPLLSPEKGRQSYNLTQQRVVFPNNSIFHQDWEEVSRRYPGNRTCTTKYTLFTFLPRNLFEQFHRWANLYFLFLVILNWMPSMEVFHREITMLPLAIVLFVIMIKDGMEDFKRHRFDKAINCSNIRIYERKEQTYVQKCWKDVRVGDFIQMKCNEIVPADILLLFSSDPNGICHLETASLDGETNLKQRCVVKGFSQQEVQFEPELFHNTIVCEKPNNHLNKFKGYMEHPDQTRTGFGCESLLLRGCTIRNTEMAVGIVIYAGHETKAMLNNSGPRYKRSKIERRMNIDIFFCIGILILMCLIGAVGHSIWNGTFEEHPPFDVPDANGSFLPSALGGFYMFLTMIILLQVLIPISLYVSIELVKLGQVFFLSNDLDLYDEETDLSIQCRALNIAEDLGQIQYIFSDKTGTLTENKMVFRRCTIMGSEYSHQENAKRLETPKELDSDGEEWTQYQCLSFSARWAQDPATMRSQKGAQPLRRSQSARVPIQGHYRQRSMGHRESSQPPVAFSSSIEKDVTPDKNLLTKVRDAALWLETLSDSRPAKASLSTTSSIADFFLALTICNSVMVSTTTEPRQRVTIKPSSKALGTSLEKIQQLFQKLKLLSLSQSFSSTAPSDTDLGESLGANVATTDSDERDDASVCSGGDSTDDGGYRSSMWDQGDILESGSGTSLEEALEAPATDLARPEFCYEAESPDEAALVHAAHAYSFTLVSRTPEQVTVRLPQGTCLTFSLLCTLGFDSVRKRMSVVVRHPLTGEIVVYTKGADSVIMDLLEDPACVPDINMEKKLRKIRARTQKHLDLYARDGLRTLCIAKKVVSEEDFRRWASFRREAEASLDNRDELLMETAQHLENQLTLLGATGIEDRLQEGVPDTIATLREAGIQLWVLTGDKQETAVNIAHSCRLLNQTDTVYTINTENQETCESILNCALEELKQFRELQKPDRKLFGFRLPSKTPSITSEAVVPEAGLVIDGKTLNAIFQGKLEKKFLELTQYCRSVLCCRSTPLQKSMIVKLVRDKLRVMTLSIGDGANDVSMIQAADIGIGISGQEGMQAVMSSDFAITRFKHLKKLLLVHGHWCYSRLARMVVYYLYKNVCYVNLLFWYQFFCGFSSSTMIDYWQMIFFNLFFTSLPPLVFGVLDKDISAETLLALPELYKSGQNSECYNLSTFWISMVDAFYQSLICFFIPYLAYKGSDIDVFTFGTPINTISLTTILLHQAMEMKTWTIFHGVVLLGSFLMYFLVSLLYNATCVICNSPTNPYWVMEGQLSNPTFYLVCFLTPVVALLPRYFFLSLQGTCGKSLISKAQKIDKLPPDKRNLEIQSWRSRQRPAPVPEVARPTHHPVSSITGQDFSASTPKSSNPPKRKHVEESVLHEQRCGTECMRDDSCSGDSSAQLSSGEHLLGPNRIMAYSRGQTDMCRCSKRSSHRRSQSSLTI
+>DECOY_sp|O94823|AT10B_HUMAN Probable phospholipid-transporting ATPase VB OS=Homo sapiens OX=9606 GN=ATP10B PE=2 SV=2
+ITLSSQSRRHSSRKSCRCMDTQGRSYAMIRNPGLLHEGSSLQASSDGSCSDDRMCETGCRQEHLVSEEVHKRKPPNSSKPTSASFDQGTISSVPHHTPRAVEPVPAPRQRSRWSQIELNRKDPPLKDIKQAKSILSKGCTGQLSLFFYRPLLAVVPTLFCVLYFTPNSLQGEMVWYPNTPSNCIVCTANYLLSVLFYMLFSGLLVVGHFITWTKMEMAQHLLITTLSITNIPTGFTFVDIDSGKYALYPIFFCILSQYFADVMSIWFTSLNYCESNQGSKYLEPLALLTEASIDKDLVGFVLPPLSTFFLNFFIMQWYDIMTSSSFGCFFQYWFLLNVYCVNKYLYYVVMRALRSYCWHGHVLLLKKLHKFRTIAFDSSMVAQMGEQGSIGIGIDAAQIMSVDNAGDGISLTMVRLKDRVLKVIMSKQLPTSRCCLVSRCYQTLELFKKELKGQFIANLTKGDIVLGAEPVVAESTISPTKSPLRFGFLKRDPKQLERFQKLEELACNLISECTEQNETNITYVTDTQNLLRCSHAINVATEQKDGTLVWLQIGAERLTAITDPVGEQLRDEIGTAGLLTLQNELHQATEMLLEDRNDLSAEAERRFSAWRRFDEESVVKKAICLTRLGDRAYLDLHKQTRARIKRLKKEMNIDPVCAPDELLDMIVSDAGKTYVVIEGTLPHRVVVSMRKRVSDFGLTCLLSFTLCTGQPLRVTVQEPTRSVLTFSYAHAAHVLAAEDPSEAEYCFEPRALDTAPAELAEELSTGSGSELIDGQDWMSSRYGGDDTSDGGSCVSADDREDSDTTAVNAGLSEGLDTDSPATSSFSQSLSLLKLKQFLQQIKELSTGLAKSSPKITVRQRPETTTSVMVSNCITLALFFDAISSTTSLSAKAPRSDSLTELWLAADRVKTLLNKDPTVDKEISSSFAVPPQSSERHGMSRQRYHGQIPVRASQSRRLPQAGKQSRMTAPDQAWRASFSLCQYQTWEEGDSDLEKPTELRKANEQHSYESGMITCRRFVMKNETLTGTKDSFIYQIQGLDEAINLARCQISLDTEEDYLDLDNSLFFVQGLKVLEISVYLSIPILVQLLIIMTLFMYFGGLASPLFSGNADPVDFPPHEEFTGNWISHGVAGILCMLILIGICFFIDINMRREIKSRKYRPGSNNLMAKTEHGAYIVIGVAMETNRITCGRLLLSECGFGTRTQDPHEMYGKFKNLHNNPKECVITNHFLEPEFQVEQQSFGKVVCRQKLNTEGDLSATELHCIGNPDSSFLLLIDAPVIENCKMQIFDGVRVDKWCKQVYTQEKREYIRINSCNIAKDFRHRKFDEMGDKIMIVFLVIALPLMTIERHFVEMSPMWNLIVLFLFYLNAWRHFQEFLNRPLFTFLTYKTTCTRNGPYRRSVEEWDQHFISNNPFVVRQQTLNYSQRGKEPSLLPTTESPCHPFGDRVRWQWRHWSSDVSLAM
+>sp|Q9P241|AT10D_HUMAN Probable phospholipid-transporting ATPase VD OS=Homo sapiens OX=9606 GN=ATP10D PE=2 SV=3
+MTEALQWARYHWRRLIRGATRDDDSGPYNYSSLLACGRKSSQTPKLSGRHRIVVPHIQPFKDEYEKFSGAYVNNRIRTTKYTLLNFVPRNLFEQFHRAANLYFLFLVVLNWVPLVEAFQKEITMLPLVVVLTIIAIKDGLEDYRKYKIDKQINNLITKVYSRKEKKYIDRCWKDVTVGDFIRLSCNEVIPADMVLLFSTDPDGICHIETSGLDGESNLKQRQVVRGYAEQDSEVDPEKFSSRIECESPNNDLSRFRGFLEHSNKERVGLSKENLLLRGCTIRNTEAVVGIVVYAGHETKAMLNNSGPRYKRSKLERRANTDVLWCVMLLVIMCLTGAVGHGIWLSRYEKMHFFNVPEPDGHIISPLLAGFYMFWTMIILLQVLIPISLYVSIEIVKLGQIYFIQSDVDFYNEKMDSIVQCRALNIAEDLGQIQYLFSDKTGTLTENKMVFRRCSVAGFDYCHEENARRLESYQEAVSEDEDFIDTVSGSLSNMAKPRAPSCRTVHNGPLGNKPSNHLAGSSFTLGSGEGASEVPHSRQAAFSSPIETDVVPDTRLLDKFSQITPRLFMPLDETIQNPPMETLYIIDFFIALAICNTVVVSAPNQPRQKIRHPSLGGLPIKSLEEIKSLFQRWSVRRSSSPSLNSGKEPSSGVPNAFVSRLPLFSRMKPASPVEEEVSQVCESPQCSSSSACCTETEKQHGDAGLLNGKAESLPGQPLACNLCYEAESPDEAALVYAARAYQCTLRSRTPEQVMVDFAALGPLTFQLLHILPFDSVRKRMSVVVRHPLSNQVVVYTKGADSVIMELLSVASPDGASLEKQQMIVREKTQKHLDDYAKQGLRTLCIAKKVMSDTEYAEWLRNHFLAETSIDNREELLLESAMRLENKLTLLGATGIEDRLQEGVPESIEALHKAGIKIWMLTGDKQETAVNIAYACKLLEPDDKLFILNTQSKDACGMLMSTILKELQKKTQALPEQVSLSEDLLQPPVPRDSGLRAGLIITGKTLEFALQESLQKQFLELTSWCQAVVCCRATPLQKSEVVKLVRSHLQVMTLAIGDGANDVSMIQVADIGIGVSGQEGMQAVMASDFAVSQFKHLSKLLLVHGHWCYTRLSNMILYFFYKNVAYVNLLFWYQFFCGFSGTSMTDYWVLIFFNLLFTSAPPVIYGVLEKDVSAETLMQLPELYRSGQKSEAYLPHTFWITLLDAFYQSLVCFFVPYFTYQGSDTDIFAFGNPLNTAALFIVLLHLVIESKSLTWIHLLVIIGSILSYFLFAIVFGAMCVTCNPPSNPYWIMQEHMLDPVFYLVCILTTSIALLPRFVYRVLQGSLFPSPILRAKHFDRLTPEERTKALKKWRGAGKMNQVTSKYANQSAGKSGRRPMPGPSAVFAMKSASSCAIEQGNLSLCETALDQGYSETKAFEMAGPSKGKES
+>DECOY_sp|Q9P241|AT10D_HUMAN Probable phospholipid-transporting ATPase VD OS=Homo sapiens OX=9606 GN=ATP10D PE=2 SV=3
+SEKGKSPGAMEFAKTESYGQDLATECLSLNGQEIACSSASKMAFVASPGPMPRRGSKGASQNAYKSTVQNMKGAGRWKKLAKTREEPTLRDFHKARLIPSPFLSGQLVRYVFRPLLAISTTLICVLYFVPDLMHEQMIWYPNSPPNCTVCMAGFVIAFLFYSLISGIIVLLHIWTLSKSEIVLHLLVIFLAATNLPNGFAFIDTDSGQYTFYPVFFCVLSQYFADLLTIWFTHPLYAESKQGSRYLEPLQMLTEASVDKELVGYIVPPASTFLLNFFILVWYDTMSTGSFGCFFQYWFLLNVYAVNKYFFYLIMNSLRTYCWHGHVLLLKSLHKFQSVAFDSAMVAQMGEQGSVGIGIDAVQIMSVDNAGDGIALTMVQLHSRVLKVVESKQLPTARCCVVAQCWSTLELFQKQLSEQLAFELTKGTIILGARLGSDRPVPPQLLDESLSVQEPLAQTKKQLEKLITSMLMGCADKSQTNLIFLKDDPELLKCAYAINVATEQKDGTLMWIKIGAKHLAEISEPVGEQLRDEIGTAGLLTLKNELRMASELLLEERNDISTEALFHNRLWEAYETDSMVKKAICLTRLGQKAYDDLHKQTKERVIMQQKELSAGDPSAVSLLEMIVSDAGKTYVVVQNSLPHRVVVSMRKRVSDFPLIHLLQFTLPGLAAFDVMVQEPTRSRLTCQYARAAYVLAAEDPSEAEYCLNCALPQGPLSEAKGNLLGADGHQKETETCCASSSSCQPSECVQSVEEEVPSAPKMRSFLPLRSVFANPVGSSPEKGSNLSPSSSRRVSWRQFLSKIEELSKIPLGGLSPHRIKQRPQNPASVVVTNCIALAIFFDIIYLTEMPPNQITEDLPMFLRPTIQSFKDLLRTDPVVDTEIPSSFAAQRSHPVESAGEGSGLTFSSGALHNSPKNGLPGNHVTRCSPARPKAMNSLSGSVTDIFDEDESVAEQYSELRRANEEHCYDFGAVSCRRFVMKNETLTGTKDSFLYQIQGLDEAINLARCQVISDMKENYFDVDSQIFYIQGLKVIEISVYLSIPILVQLLIIMTWFMYFGALLPSIIHGDPEPVNFFHMKEYRSLWIGHGVAGTLCMIVLLMVCWLVDTNARRELKSRKYRPGSNNLMAKTEHGAYVVIGVVAETNRITCGRLLLNEKSLGVREKNSHELFGRFRSLDNNPSECEIRSSFKEPDVESDQEAYGRVVQRQKLNSEGDLGSTEIHCIGDPDTSFLLVMDAPIVENCSLRIFDGVTVDKWCRDIYKKEKRSYVKTILNNIQKDIKYKRYDELGDKIAIITLVVVLPLMTIEKQFAEVLPVWNLVVLFLFYLNAARHFQEFLNRPVFNLLTYKTTRIRNNVYAGSFKEYEDKFPQIHPVVIRHRGSLKPTQSSKRGCALLSSYNYPGSDDDRTAGRILRRWHYRAWQLAETM
+>sp|Q9HD20|AT131_HUMAN Manganese-transporting ATPase 13A1 OS=Homo sapiens OX=9606 GN=ATP13A1 PE=1 SV=2
+MAAAAAVGNAVPCGARPCGVRPDGQPKPGPQPRALLAAGPALIANGDELVAAVWPYRRLALLRRLTVLPFAGLLYPAWLGAAAAGCWGWGSSWVQIPEAALLVLATICLAHALTVLSGHWSVHAHCALTCTPEYDPSKATFVKVVPTPNNGSTELVALHRNEGEDGLEVLSFEFQKIKYSYDALEKKQFLPVAFPVGNAFSYYQSNRGFQEDSEIRAAEKKFGSNKAEMVVPDFSELFKERATAPFFVFQVFCVGLWCLDEYWYYSVFTLSMLVAFEASLVQQQMRNMSEIRKMGNKPHMIQVYRSRKWRPIASDEIVPGDIVSIGRSPQENLVPCDVLLLRGRCIVDEAMLTGESVPQMKEPIEDLSPDRVLDLQADSRLHVIFGGTKVVQHIPPQKATTGLKPVDSGCVAYVLRTGFNTSQGKLLRTILFGVKRVTANNLETFIFILFLLVFAIAAAAYVWIEGTKDPSRNRYKLFLECTLILTSVVPPELPIELSLAVNTSLIALAKLYMYCTEPFRIPFAGKVEVCCFDKTGTLTSDSLVVRGVAGLRDGKEVTPVSSIPVETHRALASCHSLMQLDDGTLVGDPLEKAMLTAVDWTLTKDEKVFPRSIKTQGLKIHQRFHFASALKRMSVLASYEKLGSTDLCYIAAVKGAPETLHSMFSQCPPDYHHIHTEISREGARVLALGYKELGHLTHQQAREVKREALECSLKFVGFIVVSCPLKADSKAVIREIQNASHRVVMITGDNPLTACHVAQELHFIEKAHTLILQPPSEKGRQCEWRSIDGSIVLPLARGSPKALALEYALCLTGDGLAHLQATDPQQLLRLIPHVQVFARVAPKQKEFVITSLKELGYVTLMCGDGTNDVGALKHADVGVALLANAPERVVERRRRPRDSPTLSNSGIRATSRTAKQRSGLPPSEEQPTSQRDRLSQVLRDLEDESTPIVKLGDASIAAPFTSKLSSIQCICHVIKQGRCTLVTTLQMFKILALNALILAYSQSVLYLEGVKFSDFQATLQGLLLAGCFLFISRSKPLKTLSRERPLPNIFNLYTILTVMLQFFVHFLSLVYLYREAQARSPEKQEQFVDLYKEFEPSLVNSTVYIMAMAMQMATFAINYKGPPFMESLPENKPLVWSLAVSLLAIIGLLLGSSPDFNSQFGLVDIPVEFKLVIAQVLLLDFCLALLADRVLQFFLGTPKLKVPS
+>DECOY_sp|Q9HD20|AT131_HUMAN Manganese-transporting ATPase 13A1 OS=Homo sapiens OX=9606 GN=ATP13A1 PE=1 SV=2
+SPVKLKPTGLFFQLVRDALLALCFDLLLVQAIVLKFEVPIDVLGFQSNFDPSSGLLLGIIALLSVALSWVLPKNEPLSEMFPPGKYNIAFTAMQMAMAMIYVTSNVLSPEFEKYLDVFQEQKEPSRAQAERYLYVLSLFHVFFQLMVTLITYLNFINPLPRERSLTKLPKSRSIFLFCGALLLGQLTAQFDSFKVGELYLVSQSYALILANLALIKFMQLTTVLTCRGQKIVHCICQISSLKSTFPAAISADGLKVIPTSEDELDRLVQSLRDRQSTPQEESPPLGSRQKATRSTARIGSNSLTPSDRPRRRREVVREPANALLAVGVDAHKLAGVDNTGDGCMLTVYGLEKLSTIVFEKQKPAVRAFVQVHPILRLLQQPDTAQLHALGDGTLCLAYELALAKPSGRALPLVISGDISRWECQRGKESPPQLILTHAKEIFHLEQAVHCATLPNDGTIMVVRHSANQIERIVAKSDAKLPCSVVIFGVFKLSCELAERKVERAQQHTLHGLEKYGLALVRAGERSIETHIHHYDPPCQSFMSHLTEPAGKVAAIYCLDTSGLKEYSALVSMRKLASAFHFRQHIKLGQTKISRPFVKEDKTLTWDVATLMAKELPDGVLTGDDLQMLSHCSALARHTEVPISSVPTVEKGDRLGAVGRVVLSDSTLTGTKDFCCVEVKGAFPIRFPETCYMYLKALAILSTNVALSLEIPLEPPVVSTLILTCELFLKYRNRSPDKTGEIWVYAAAAIAFVLLFLIFIFTELNNATVRKVGFLITRLLKGQSTNFGTRLVYAVCGSDVPKLGTTAKQPPIHQVVKTGGFIVHLRSDAQLDLVRDPSLDEIPEKMQPVSEGTLMAEDVICRGRLLLVDCPVLNEQPSRGISVIDGPVIEDSAIPRWKRSRYVQIMHPKNGMKRIESMNRMQQQVLSAEFAVLMSLTFVSYYWYEDLCWLGVCFVQFVFFPATAREKFLESFDPVVMEAKNSGFKKEAARIESDEQFGRNSQYYSFANGVPFAVPLFQKKELADYSYKIKQFEFSLVELGDEGENRHLAVLETSGNNPTPVVKVFTAKSPDYEPTCTLACHAHVSWHGSLVTLAHALCITALVLLAAEPIQVWSSGWGWCGAAAAGLWAPYLLGAFPLVTLRRLLALRRYPWVAAVLEDGNAILAPGAALLARPQPGPKPQGDPRVGCPRAGCPVANGVAAAAAM
+>sp|P50993|AT1A2_HUMAN Sodium/potassium-transporting ATPase subunit alpha-2 OS=Homo sapiens OX=9606 GN=ATP1A2 PE=1 SV=1
+MGRGAGREYSPAATTAENGGGKKKQKEKELDELKKEVAMDDHKLSLDELGRKYQVDLSKGLTNQRAQDVLARDGPNALTPPPTTPEWVKFCRQLFGGFSILLWIGAILCFLAYGIQAAMEDEPSNDNLYLGVVLAAVVIVTGCFSYYQEAKSSKIMDSFKNMVPQQALVIREGEKMQINAEEVVVGDLVEVKGGDRVPADLRIISSHGCKVDNSSLTGESEPQTRSPEFTHENPLETRNICFFSTNCVEGTARGIVIATGDRTVMGRIATLASGLEVGRTPIAMEIEHFIQLITGVAVFLGVSFFVLSLILGYSWLEAVIFLIGIIVANVPEGLLATVTVCLTLTAKRMARKNCLVKNLEAVETLGSTSTICSDKTGTLTQNRMTVAHMWFDNQIHEADTTEDQSGATFDKRSPTWTALSRIAGLCNRAVFKAGQENISVSKRDTAGDASESALLKCIELSCGSVRKMRDRNPKVAEIPFNSTNKYQLSIHEREDSPQSHVLVMKGAPERILDRCSTILVQGKEIPLDKEMQDAFQNAYMELGGLGERVLGFCQLNLPSGKFPRGFKFDTDELNFPTEKLCFVGLMSMIDPPRAAVPDAVGKCRSAGIKVIMVTGDHPITAKAIAKGVGIISEGNETVEDIAARLNIPMSQVNPREAKACVVHGSDLKDMTSEQLDEILKNHTEIVFARTSPQQKLIIVEGCQRQGAIVAVTGDGVNDSPALKKADIGIAMGISGSDVSKQAADMILLDDNFASIVTGVEEGRLIFDNLKKSIAYTLTSNIPEITPFLLFIIANIPLPLGTVTILCIDLGTDMVPAISLAYEAAESDIMKRQPRNSQTDKLVNERLISMAYGQIGMIQALGGFFTYFVILAENGFLPSRLLGIRLDWDDRTMNDLEDSYGQEWTYEQRKVVEFTCHTAFFASIVVVQWADLIICKTRRNSVFQQGMKNKILIFGLLEETALAAFLSYCPGMGVALRMYPLKVTWWFCAFPYSLLIFIYDEVRKLILRRYPGGWVEKETYY
+>DECOY_sp|P50993|AT1A2_HUMAN Sodium/potassium-transporting ATPase subunit alpha-2 OS=Homo sapiens OX=9606 GN=ATP1A2 PE=1 SV=1
+YYTEKEVWGGPYRRLILKRVEDYIFILLSYPFACFWWTVKLPYMRLAVGMGPCYSLFAALATEELLGFILIKNKMGQQFVSNRRTKCIILDAWQVVVISAFFATHCTFEVVKRQEYTWEQGYSDELDNMTRDDWDLRIGLLRSPLFGNEALIVFYTFFGGLAQIMGIQGYAMSILRENVLKDTQSNRPQRKMIDSEAAEYALSIAPVMDTGLDICLITVTGLPLPINAIIFLLFPTIEPINSTLTYAISKKLNDFILRGEEVGTVISAFNDDLLIMDAAQKSVDSGSIGMAIGIDAKKLAPSDNVGDGTVAVIAGQRQCGEVIILKQQPSTRAFVIETHNKLIEDLQESTMDKLDSGHVVCAKAERPNVQSMPINLRAAIDEVTENGESIIGVGKAIAKATIPHDGTVMIVKIGASRCKGVADPVAARPPDIMSMLGVFCLKETPFNLEDTDFKFGRPFKGSPLNLQCFGLVREGLGGLEMYANQFADQMEKDLPIEKGQVLITSCRDLIREPAGKMVLVHSQPSDEREHISLQYKNTSNFPIEAVKPNRDRMKRVSGCSLEICKLLASESADGATDRKSVSINEQGAKFVARNCLGAIRSLATWTPSRKDFTAGSQDETTDAEHIQNDFWMHAVTMRNQTLTGTKDSCITSTSGLTEVAELNKVLCNKRAMRKATLTLCVTVTALLGEPVNAVIIGILFIVAELWSYGLILSLVFFSVGLFVAVGTILQIFHEIEMAIPTRGVELGSALTAIRGMVTRDGTAIVIGRATGEVCNTSFFCINRTELPNEHTFEPSRTQPESEGTLSSNDVKCGHSSIIRLDAPVRDGGKVEVLDGVVVEEANIQMKEGERIVLAQQPVMNKFSDMIKSSKAEQYYSFCGTVIVVAALVVGLYLNDNSPEDEMAAQIGYALFCLIAGIWLLISFGGFLQRCFKVWEPTTPPPTLANPGDRALVDQARQNTLGKSLDVQYKRGLEDLSLKHDDMAVEKKLEDLEKEKQKKKGGGNEATTAAPSYERGAGRGM
+>sp|Q13733|AT1A4_HUMAN Sodium/potassium-transporting ATPase subunit alpha-4 OS=Homo sapiens OX=9606 GN=ATP1A4 PE=1 SV=3
+MGLWGKKGTVAPHDQSPRRRPKKGLIKKKMVKREKQKRNMEELKKEVVMDDHKLTLEELSTKYSVDLTKGHSHQRAKEILTRGGPNTVTPPPTTPEWVKFCKQLFGGFSLLLWTGAILCFVAYSIQIYFNEEPTKDNLYLSIVLSVVVIVTGCFSYYQEAKSSKIMESFKNMVPQQALVIRGGEKMQINVQEVVLGDLVEIKGGDRVPADLRLISAQGCKVDNSSLTGESEPQSRSPDFTHENPLETRNICFFSTNCVEGTARGIVIATGDSTVMGRIASLTSGLAVGQTPIAAEIEHFIHLITVVAVFLGVTFFALSLLLGYGWLEAIIFLIGIIVANVPEGLLATVTVCLTLTAKRMARKNCLVKNLEAVETLGSTSTICSDKTGTLTQNRMTVAHMWFDMTVYEADTTEEQTGKTFTKSSDTWFMLARIAGLCNRADFKANQEILPIAKRATTGDASESALLKFIEQSYSSVAEMREKNPKVAEIPFNSTNKYQMSIHLREDSSQTHVLMMKGAPERILEFCSTFLLNGQEYSMNDEMKEAFQNAYLELGGLGERVLGFCFLNLPSSFSKGFPFNTDEINFPMDNLCFVGLISMIDPPRAAVPDAVSKCRSAGIKVIMVTGDHPITAKAIAKGVGIISEGTETAEEVAARLKIPISKVDASAAKAIVVHGAELKDIQSKQLDQILQNHPEIVFARTSPQQKLIIVEGCQRLGAVVAVTGDGVNDSPALKKADIGIAMGISGSDVSKQAADMILLDDNFASIVTGVEEGRLIFDNLKKSIMYTLTSNIPEITPFLMFIILGIPLPLGTITILCIDLGTDMVPAISLAYESAESDIMKRLPRNPKTDNLVNHRLIGMAYGQIGMIQALAGFFTYFVILAENGFRPVDLLGIRLHWEDKYLNDLEDSYGQQWTYEQRKVVEFTCQTAFFVTIVVVQWADLIISKTRRNSLFQQGMRNKVLIFGILEETLLAAFLSYTPGMDVALRMYPLKITWWLCAIPYSILIFVYDEIRKLLIRQHPDGWVERETYY
+>DECOY_sp|Q13733|AT1A4_HUMAN Sodium/potassium-transporting ATPase subunit alpha-4 OS=Homo sapiens OX=9606 GN=ATP1A4 PE=1 SV=3
+YYTEREVWGDPHQRILLKRIEDYVFILISYPIACLWWTIKLPYMRLAVDMGPTYSLFAALLTEELIGFILVKNRMGQQFLSNRRTKSIILDAWQVVVITVFFATQCTFEVVKRQEYTWQQGYSDELDNLYKDEWHLRIGLLDVPRFGNEALIVFYTFFGALAQIMGIQGYAMGILRHNVLNDTKPNRPLRKMIDSEASEYALSIAPVMDTGLDICLITITGLPLPIGLIIFMLFPTIEPINSTLTYMISKKLNDFILRGEEVGTVISAFNDDLLIMDAAQKSVDSGSIGMAIGIDAKKLAPSDNVGDGTVAVVAGLRQCGEVIILKQQPSTRAFVIEPHNQLIQDLQKSQIDKLEAGHVVIAKAASADVKSIPIKLRAAVEEATETGESIIGVGKAIAKATIPHDGTVMIVKIGASRCKSVADPVAARPPDIMSILGVFCLNDMPFNIEDTNFPFGKSFSSPLNLFCFGLVREGLGGLELYANQFAEKMEDNMSYEQGNLLFTSCFELIREPAGKMMLVHTQSSDERLHISMQYKNTSNFPIEAVKPNKERMEAVSSYSQEIFKLLASESADGTTARKAIPLIEQNAKFDARNCLGAIRALMFWTDSSKTFTKGTQEETTDAEYVTMDFWMHAVTMRNQTLTGTKDSCITSTSGLTEVAELNKVLCNKRAMRKATLTLCVTVTALLGEPVNAVIIGILFIIAELWGYGLLLSLAFFTVGLFVAVVTILHIFHEIEAAIPTQGVALGSTLSAIRGMVTSDGTAIVIGRATGEVCNTSFFCINRTELPNEHTFDPSRSQPESEGTLSSNDVKCGQASILRLDAPVRDGGKIEVLDGLVVEQVNIQMKEGGRIVLAQQPVMNKFSEMIKSSKAEQYYSFCGTVIVVVSLVISLYLNDKTPEENFYIQISYAVFCLIAGTWLLLSFGGFLQKCFKVWEPTTPPPTVTNPGGRTLIEKARQHSHGKTLDVSYKTSLEELTLKHDDMVVEKKLEEMNRKQKERKVMKKKILGKKPRRRPSQDHPAVTGKKGWLGM
+>sp|O14983|AT2A1_HUMAN Sarcoplasmic/endoplasmic reticulum calcium ATPase 1 OS=Homo sapiens OX=9606 GN=ATP2A1 PE=1 SV=1
+MEAAHAKTTEECLAYFGVSETTGLTPDQVKRNLEKYGLNELPAEEGKTLWELVIEQFEDLLVRILLLAACISFVLAWFEEGEETITAFVEPFVILLILIANAIVGVWQERNAENAIEALKEYEPEMGKVYRADRKSVQRIKARDIVPGDIVEVAVGDKVPADIRILAIKSTTLRVDQSILTGESVSVIKHTEPVPDPRAVNQDKKNMLFSGTNIAAGKALGIVATTGVGTEIGKIRDQMAATEQDKTPLQQKLDEFGEQLSKVISLICVAVWLINIGHFNDPVHGGSWFRGAIYYFKIAVALAVAAIPEGLPAVITTCLALGTRRMAKKNAIVRSLPSVETLGCTSVICSDKTGTLTTNQMSVCKMFIIDKVDGDICLLNEFSITGSTYAPEGEVLKNDKPVRPGQYDGLVELATICALCNDSSLDFNEAKGVYEKVGEATETALTTLVEKMNVFNTDVRSLSKVERANACNSVIRQLMKKEFTLEFSRDRKSMSVYCSPAKSSRAAVGNKMFVKGAPEGVIDRCNYVRVGTTRVPLTGPVKEKIMAVIKEWGTGRDTLRCLALATRDTPPKREEMVLDDSARFLEYETDLTFVGVVGMLDPPRKEVTGSIQLCRDAGIRVIMITGDNKGTAIAICRRIGIFGENEEVADRAYTGREFDDLPLAEQREACRRACCFARVEPSHKSKIVEYLQSYDEITAMTGDGVNDAPALKKAEIGIAMGSGTAVAKTASEMVLADDNFSTIVAAVEEGRAIYNNMKQFIRYLISSNVGEVVCIFLTAALGLPEALIPVQLLWVNLVTDGLPATALGFNPPDLDIMDRPPRSPKEPLISGWLFFRYMAIGGYVGAATVGAAAWWFLYAEDGPHVNYSQLTHFMQCTEDNTHFEGIDCEVFEAPEPMTMALSVLVTIEMCNALNSLSENQSLLRMPPWVNIWLLGSICLSMSLHFLILYVDPLPMIFKLRALDLTQWLMVLKISLPVIGLDEILKFVARNYLEDPEDERRK
+>DECOY_sp|O14983|AT2A1_HUMAN Sarcoplasmic/endoplasmic reticulum calcium ATPase 1 OS=Homo sapiens OX=9606 GN=ATP2A1 PE=1 SV=1
+KRREDEPDELYNRAVFKLIEDLGIVPLSIKLVMLWQTLDLARLKFIMPLPDVYLILFHLSMSLCISGLLWINVWPPMRLLSQNESLSNLANCMEITVLVSLAMTMPEPAEFVECDIGEFHTNDETCQMFHTLQSYNVHPGDEAYLFWWAAAGVTAAGVYGGIAMYRFFLWGSILPEKPSRPPRDMIDLDPPNFGLATAPLGDTVLNVWLLQVPILAEPLGLAATLFICVVEGVNSSILYRIFQKMNNYIARGEEVAAVITSFNDDALVMESATKAVATGSGMAIGIEAKKLAPADNVGDGTMATIEDYSQLYEVIKSKHSPEVRAFCCARRCAERQEALPLDDFERGTYARDAVEENEGFIGIRRCIAIATGKNDGTIMIVRIGADRCLQISGTVEKRPPDLMGVVGVFTLDTEYELFRASDDLVMEERKPPTDRTALALCRLTDRGTGWEKIVAMIKEKVPGTLPVRTTGVRVYNCRDIVGEPAGKVFMKNGVAARSSKAPSCYVSMSKRDRSFELTFEKKMLQRIVSNCANAREVKSLSRVDTNFVNMKEVLTTLATETAEGVKEYVGKAENFDLSSDNCLACITALEVLGDYQGPRVPKDNKLVEGEPAYTSGTISFENLLCIDGDVKDIIFMKCVSMQNTTLTGTKDSCIVSTCGLTEVSPLSRVIANKKAMRRTGLALCTTIVAPLGEPIAAVALAVAIKFYYIAGRFWSGGHVPDNFHGINILWVAVCILSIVKSLQEGFEDLKQQLPTKDQETAAMQDRIKGIETGVGTTAVIGLAKGAAINTGSFLMNKKDQNVARPDPVPETHKIVSVSEGTLISQDVRLTTSKIALIRIDAPVKDGVAVEVIDGPVIDRAKIRQVSKRDARYVKGMEPEYEKLAEIANEANREQWVGVIANAILILLIVFPEVFATITEEGEEFWALVFSICAALLLIRVLLDEFQEIVLEWLTKGEEAPLENLGYKELNRKVQDPTLGTTESVGFYALCEETTKAHAAEM
+>sp|P16615|AT2A2_HUMAN Sarcoplasmic/endoplasmic reticulum calcium ATPase 2 OS=Homo sapiens OX=9606 GN=ATP2A2 PE=1 SV=1
+MENAHTKTVEEVLGHFGVNESTGLSLEQVKKLKERWGSNELPAEEGKTLLELVIEQFEDLLVRILLLAACISFVLAWFEEGEETITAFVEPFVILLILVANAIVGVWQERNAENAIEALKEYEPEMGKVYRQDRKSVQRIKAKDIVPGDIVEIAVGDKVPADIRLTSIKSTTLRVDQSILTGESVSVIKHTDPVPDPRAVNQDKKNMLFSGTNIAAGKAMGVVVATGVNTEIGKIRDEMVATEQERTPLQQKLDEFGEQLSKVISLICIAVWIINIGHFNDPVHGGSWIRGAIYYFKIAVALAVAAIPEGLPAVITTCLALGTRRMAKKNAIVRSLPSVETLGCTSVICSDKTGTLTTNQMSVCRMFILDRVEGDTCSLNEFTITGSTYAPIGEVHKDDKPVNCHQYDGLVELATICALCNDSALDYNEAKGVYEKVGEATETALTCLVEKMNVFDTELKGLSKIERANACNSVIKQLMKKEFTLEFSRDRKSMSVYCTPNKPSRTSMSKMFVKGAPEGVIDRCTHIRVGSTKVPMTSGVKQKIMSVIREWGSGSDTLRCLALATHDNPLRREEMHLEDSANFIKYETNLTFVGCVGMLDPPRIEVASSVKLCRQAGIRVIMITGDNKGTAVAICRRIGIFGQDEDVTSKAFTGREFDELNPSAQRDACLNARCFARVEPSHKSKIVEFLQSFDEITAMTGDGVNDAPALKKAEIGIAMGSGTAVAKTASEMVLADDNFSTIVAAVEEGRAIYNNMKQFIRYLISSNVGEVVCIFLTAALGFPEALIPVQLLWVNLVTDGLPATALGFNPPDLDIMNKPPRNPKEPLISGWLFFRYLAIGCYVGAATVGAAAWWFIAADGGPRVSFYQLSHFLQCKEDNPDFEGVDCAIFESPYPMTMALSVLVTIEMCNALNSLSENQSLLRMPPWENIWLVGSICLSMSLHFLILYVEPLPLIFQITPLNVTQWLMVLKISLPVILMDETLKFVARNYLEPGKECVQPATKSCSFSACTDGISWPFVLLIMPLVIWVYSTDTNFSDMFWS
+>DECOY_sp|P16615|AT2A2_HUMAN Sarcoplasmic/endoplasmic reticulum calcium ATPase 2 OS=Homo sapiens OX=9606 GN=ATP2A2 PE=1 SV=1
+SWFMDSFNTDTSYVWIVLPMILLVFPWSIGDTCASFSCSKTAPQVCEKGPELYNRAVFKLTEDMLIVPLSIKLVMLWQTVNLPTIQFILPLPEVYLILFHLSMSLCISGVLWINEWPPMRLLSQNESLSNLANCMEITVLVSLAMTMPYPSEFIACDVGEFDPNDEKCQLFHSLQYFSVRPGGDAAIFWWAAAGVTAAGVYCGIALYRFFLWGSILPEKPNRPPKNMIDLDPPNFGLATAPLGDTVLNVWLLQVPILAEPFGLAATLFICVVEGVNSSILYRIFQKMNNYIARGEEVAAVITSFNDDALVMESATKAVATGSGMAIGIEAKKLAPADNVGDGTMATIEDFSQLFEVIKSKHSPEVRAFCRANLCADRQASPNLEDFERGTFAKSTVDEDQGFIGIRRCIAVATGKNDGTIMIVRIGAQRCLKVSSAVEIRPPDLMGVCGVFTLNTEYKIFNASDELHMEERRLPNDHTALALCRLTDSGSGWERIVSMIKQKVGSTMPVKTSGVRIHTCRDIVGEPAGKVFMKSMSTRSPKNPTCYVSMSKRDRSFELTFEKKMLQKIVSNCANAREIKSLGKLETDFVNMKEVLCTLATETAEGVKEYVGKAENYDLASDNCLACITALEVLGDYQHCNVPKDDKHVEGIPAYTSGTITFENLSCTDGEVRDLIFMRCVSMQNTTLTGTKDSCIVSTCGLTEVSPLSRVIANKKAMRRTGLALCTTIVAPLGEPIAAVALAVAIKFYYIAGRIWSGGHVPDNFHGINIIWVAICILSIVKSLQEGFEDLKQQLPTREQETAVMEDRIKGIETNVGTAVVVGMAKGAAINTGSFLMNKKDQNVARPDPVPDTHKIVSVSEGTLISQDVRLTTSKISTLRIDAPVKDGVAIEVIDGPVIDKAKIRQVSKRDQRYVKGMEPEYEKLAEIANEANREQWVGVIANAVLILLIVFPEVFATITEEGEEFWALVFSICAALLLIRVLLDEFQEIVLELLTKGEEAPLENSGWREKLKKVQELSLGTSENVGFHGLVEEVTKTHANEM
+>sp|P23634|AT2B4_HUMAN Plasma membrane calcium-transporting ATPase 4 OS=Homo sapiens OX=9606 GN=ATP2B4 PE=1 SV=2
+MTNPSDRVLPANSMAESREGDFGCTVMELRKLMELRSRDALTQINVHYGGVQNLCSRLKTSPVEGLSGNPADLEKRRQVFGHNVIPPKKPKTFLELVWEALQDVTLIILEIAAIISLVLSFYRPAGEENELCGQVATTPEDENEAQAGWIEGAAILFSVIIVVLVTAFNDWSKEKQFRGLQCRIEQEQKFSIIRNGQLIQLPVAEIVVGDIAQVKYGDLLPADGILIQGNDLKIDESSLTGESDHVKKSLDKDPMLLSGTHVMEGSGRMVVTAVGVNSQTGIILTLLGVNEDDEGEKKKKGKKQGVPENRNKAKTQDGVALEIQPLNSQEGIDNEEKDKKAVKVPKKEKSVLQGKLTRLAVQIGKAGLLMSALTVFILILYFVIDNFVINRRPWLPECTPIYIQYFVKFFIIGITVLVVAVPEGLPLAVTISLAYSVKKMMKDNNLVRHLDACETMGNATAICSDKTGTLTMNRMTVVQAYIGGIHYRQIPSPDVFLPKVLDLIVNGISINSAYTSKILPPEKEGGLPRQVGNKTECALLGFVTDLKQDYQAVRNEVPEEKLYKVYTFNSVRKSMSTVIRNPNGGFRMYSKGASEIILRKCNRILDRKGEAVPFKNKDRDDMVRTVIEPMACDGLRTICIAYRDFDDTEPSWDNENEILTELTCIAVVGIEDPVRPEVPDAIAKCKQAGITVRMVTGDNINTARAIATKCGILTPGDDFLCLEGKEFNRLIRNEKGEVEQEKLDKIWPKLRVLARSSPTDKHTLVKGIIDSTVGEHRQVVAVTGDGTNDGPALKKADVGFAMGIAGTDVAKEASDIILTDDNFTSIVKAVMWGRNVYDSISKFLQFQLTVNVVAVIVAFTGACITQDSPLKAVQMLWVNLIMDTFASLALATEPPTESLLKRRPYGRNKPLISRTMMKNILGHAFYQLIVIFILVFAGEKFFDIDSGRKAPLHSPPSQHYTIVFNTFVLMQLFNEINSRKIHGEKNVFSGIYRNIIFCSVVLGTFICQIFIVEFGGKPFSCTSLSLSQWLWCLFIGIGELLWGQFISAIPTRSLKFLKEAGHGTTKEEITKDAEGLDEIDHAEMELRRGQILWFRGLNRIQTQIDVINTFQTGASFKGVLRRQNMGQHLDVKLVPSSSYIKVVKAFHSSLHESIQKPYNQKSIHSFMTHPEFAIEEELPRTPLLDEEEEENPDKASKFGTRVLLLDGEVTPYANTNNNAVDCNQVQLPQSDSSLQSLETSV
+>DECOY_sp|P23634|AT2B4_HUMAN Plasma membrane calcium-transporting ATPase 4 OS=Homo sapiens OX=9606 GN=ATP2B4 PE=1 SV=2
+VSTELSQLSSDSQPLQVQNCDVANNNTNAYPTVEGDLLLVRTGFKSAKDPNEEEEEDLLPTRPLEEEIAFEPHTMFSHISKQNYPKQISEHLSSHFAKVVKIYSSSPVLKVDLHQGMNQRRLVGKFSAGTQFTNIVDIQTQIRNLGRFWLIQGRRLEMEAHDIEDLGEADKTIEEKTTGHGAEKLFKLSRTPIASIFQGWLLEGIGIFLCWLWQSLSLSTCSFPKGGFEVIFIQCIFTGLVVSCFIINRYIGSFVNKEGHIKRSNIENFLQMLVFTNFVITYHQSPPSHLPAKRGSDIDFFKEGAFVLIFIVILQYFAHGLINKMMTRSILPKNRGYPRRKLLSETPPETALALSAFTDMILNVWLMQVAKLPSDQTICAGTFAVIVAVVNVTLQFQLFKSISDYVNRGWMVAKVISTFNDDTLIIDSAEKAVDTGAIGMAFGVDAKKLAPGDNTGDGTVAVVQRHEGVTSDIIGKVLTHKDTPSSRALVRLKPWIKDLKEQEVEGKENRILRNFEKGELCLFDDGPTLIGCKTAIARATNINDGTVMRVTIGAQKCKAIADPVEPRVPDEIGVVAICTLETLIENENDWSPETDDFDRYAICITRLGDCAMPEIVTRVMDDRDKNKFPVAEGKRDLIRNCKRLIIESAGKSYMRFGGNPNRIVTSMSKRVSNFTYVKYLKEEPVENRVAQYDQKLDTVFGLLACETKNGVQRPLGGEKEPPLIKSTYASNISIGNVILDLVKPLFVDPSPIQRYHIGGIYAQVVTMRNMTLTGTKDSCIATANGMTECADLHRVLNNDKMMKKVSYALSITVALPLGEPVAVVLVTIGIIFFKVFYQIYIPTCEPLWPRRNIVFNDIVFYLILIFVTLASMLLGAKGIQVALRTLKGQLVSKEKKPVKVAKKDKEENDIGEQSNLPQIELAVGDQTKAKNRNEPVGQKKGKKKKEGEDDENVGLLTLIIGTQSNVGVATVVMRGSGEMVHTGSLLMPDKDLSKKVHDSEGTLSSEDIKLDNGQILIGDAPLLDGYKVQAIDGVVIEAVPLQILQGNRIISFKQEQEIRCQLGRFQKEKSWDNFATVLVVIIVSFLIAAGEIWGAQAENEDEPTTAVQGCLENEEGAPRYFSLVLSIIAAIELIILTVDQLAEWVLELFTKPKKPPIVNHGFVQRRKELDAPNGSLGEVPSTKLRSCLNQVGGYHVNIQTLADRSRLEMLKRLEMVTCGFDGERSEAMSNAPLVRDSPNTM
+>sp|Q9NVI7|ATD3A_HUMAN ATPase family AAA domain-containing protein 3A OS=Homo sapiens OX=9606 GN=ATAD3A PE=1 SV=2
+MSWLFGINKGPKGEGAGPPPPLPPAQPGAEGGGDRGLGDRPAPKDKWSNFDPTGLERAAKAARELEHSRYAKDALNLAQMQEQTLQLEQQSKLKMRLEALSLLHTLVWAWSLCRAGAVQTQERLSGSASPEQVPAGECCALQEYEAAVEQLKSEQIRAQAEERRKTLSEETRQHQARAQYQDKLARQRYEDQLKQQQLLNEENLRKQEESVQKQEAMRRATVEREMELRHKNEMLRVEAEARARAKAERENADIIREQIRLKAAEHRQTVLESIRTAGTLFGEGFRAFVTDWDKVTATVAGLTLLAVGVYSAKNATLVAGRFIEARLGKPSLVRETSRITVLEALRHPIQVSRRLLSRPQDALEGVVLSPSLEARVRDIAIATRNTKKNRSLYRNILMYGPPGTGKTLFAKKLALHSGMDYAIMTGGDVAPMGREGVTAMHKLFDWANTSRRGLLLFVDEADAFLRKRATEKISEDLRATLNAFLYRTGQHSNKFMLVLASNQPEQFDWAINDRINEMVHFDLPGQEERERLVRMYFDKYVLKPATEGKQRLKLAQFDYGRKCSEVARLTEGMSGREIAQLAVSWQATAYASEDGVLTEAMMDTRVQDAVQQHQQKMCWLKAEGPGRGDEPSPS
+>DECOY_sp|Q9NVI7|ATD3A_HUMAN ATPase family AAA domain-containing protein 3A OS=Homo sapiens OX=9606 GN=ATAD3A PE=1 SV=2
+SPSPEDGRGPGEAKLWCMKQQHQQVADQVRTDMMAETLVGDESAYATAQWSVALQAIERGSMGETLRAVESCKRGYDFQALKLRQKGETAPKLVYKDFYMRVLREREEQGPLDFHVMENIRDNIAWDFQEPQNSALVLMFKNSHQGTRYLFANLTARLDESIKETARKRLFADAEDVFLLLGRRSTNAWDFLKHMATVGERGMPAVDGGTMIAYDMGSHLALKKAFLTKGTGPPGYMLINRYLSRNKKTNRTAIAIDRVRAELSPSLVVGELADQPRSLLRRSVQIPHRLAELVTIRSTERVLSPKGLRAEIFRGAVLTANKASYVGVALLTLGAVTATVKDWDTVFARFGEGFLTGATRISELVTQRHEAAKLRIQERIIDANEREAKARARAEAEVRLMENKHRLEMEREVTARRMAEQKQVSEEQKRLNEENLLQQQKLQDEYRQRALKDQYQARAQHQRTEESLTKRREEAQARIQESKLQEVAAEYEQLACCEGAPVQEPSASGSLREQTQVAGARCLSWAWVLTHLLSLAELRMKLKSQQELQLTQEQMQALNLADKAYRSHELERAAKAARELGTPDFNSWKDKPAPRDGLGRDGGGEAGPQAPPLPPPPGAGEGKPGKNIGFLWSM
+>sp|P18847|ATF3_HUMAN Cyclic AMP-dependent transcription factor ATF-3 OS=Homo sapiens OX=9606 GN=ATF3 PE=1 SV=2
+MMLQHPGQVSASEVSASAIVPCLSPPGSLVFEDFANLTPFVKEELRFAIQNKHLCHRMSSALESVTVSDRPLGVSITKAEVAPEEDERKKRRRERNKIAAAKCRNKKKEKTECLQKESEKLESVNAELKAQIEELKNEKQHLIYMLNLHRPTCIVRAQNGRTPEDERNLFIQQIKEGTLQS
+>DECOY_sp|P18847|ATF3_HUMAN Cyclic AMP-dependent transcription factor ATF-3 OS=Homo sapiens OX=9606 GN=ATF3 PE=1 SV=2
+SQLTGEKIQQIFLNREDEPTRGNQARVICTPRHLNLMYILHQKENKLEEIQAKLEANVSELKESEKQLCETKEKKKNRCKAAAIKNRERRRKKREDEEPAVEAKTISVGLPRDSVTVSELASSMRHCLHKNQIAFRLEEKVFPTLNAFDEFVLSGPPSLCPVIASASVESASVQGPHQLMM
+>sp|Q9Y2D1|ATF5_HUMAN Cyclic AMP-dependent transcription factor ATF-5 OS=Homo sapiens OX=9606 GN=ATF5 PE=1 SV=4
+MSLLATLGLELDRALLPASGLGWLVDYGKLPPAPAPLAPYEVLGGALEGGLPVGGEPLAGDGFSDWMTERVDFTALLPLEPPLPPGTLPQPSPTPPDLEAMASLLKKELEQMEDFFLDAPPLPPPSPPPLPPPPLPPAPSLPLSLPSFDLPQPPVLDTLDLLAIYCRNEAGQEEVGMPPLPPPQQPPPPSPPQPSRLAPYPHPATTRGDRKQKKRDQNKSAALRYRQRKRAEGEALEGECQGLEARNRELKERAESVEREIQYVKDLLIEVYKARSQRTRSC
+>DECOY_sp|Q9Y2D1|ATF5_HUMAN Cyclic AMP-dependent transcription factor ATF-5 OS=Homo sapiens OX=9606 GN=ATF5 PE=1 SV=4
+CSRTRQSRAKYVEILLDKVYQIEREVSEAREKLERNRAELGQCEGELAEGEARKRQRYRLAASKNQDRKKQKRDGRTTAPHPYPALRSPQPPSPPPPQQPPPLPPMGVEEQGAENRCYIALLDLTDLVPPQPLDFSPLSLPLSPAPPLPPPPLPPPSPPPLPPADLFFDEMQELEKKLLSAMAELDPPTPSPQPLTGPPLPPELPLLATFDVRETMWDSFGDGALPEGGVPLGGELAGGLVEYPALPAPAPPLKGYDVLWGLGSAPLLARDLELGLTALLSM
+>NM_015726|36900_2_333_580
+MVAPTAPAQKVEAQTQRAQVKIRTLTAWRTLVITPLMMKIESMTAQRKRKRRKKRRKKSSLGAVYSASGLTVTRTHQMMSGP
+>DECOY_NM_015726|36900_2_333_580
+PGSMMQHTRTVTLGSASYVAGLSSKKRRKKRRKRKRQATMSEIKMMLPTIVLTRWATLTRIKVQARQTQAEVKQAPATPAVM
+>NM_015726|36905_2_732_817
+MGLRAILVVSIPCTLTSAAPGWPVAAMT
+>DECOY_NM_015726|36905_2_732_817
+TMAAVPWGPAASTLTCPISVVLIARLGM
+>NM_017880|36920_2_1949_2031
+MATTGMGMWRSTKTSGPPWTSPWNWPL
+>DECOY_NM_017880|36920_2_1949_2031
+LPWNWPSTWPPGSTKTSRWMGMGTTAM
+>NM_020817|36986_2_1552_1682
+MDRRLLCPLCGKSLPWEAVVVCSVLPWEEQQQATCRVPFRMSL
+>DECOY_NM_020817|36986_2_1552_1682
+LSMRFPVRCTAQQQEEWPLVSCVVVAEWPLSKGCLPCLLRRDM
+>NM_032299|37004_3_786_895
+MATVFSILPVPGAIKVSCYEQRSMVQCIRIQQNSPC
+>DECOY_NM_032299|37004_3_786_895
+CPSNQQIRICQVMSRQEYCSVKIAGPVPLISFVTAM
+>NM_024738|37024_2_487_707
+MAAGPTAAAAPMSTVSPAACSPTSNFSWSASSTGQPWHSRTSSWQSKITLSCAWPNAGPHLRACSMRTPTGTP
+>DECOY_NM_024738|37024_2_487_707
+PTGTPTRMSCARLHPGANPWACSLTIKSQWSSTRSHWPQGTSSASWSFNSTPSCAAPSVTSMPAAAATPGAAM
+>NM_032178|37091_2_560_699
+MDQESGARKNKNTMTMCMTFTTWRRPLQAGLRTSSPCSPTAKNGSW
+>DECOY_NM_032178|37091_2_560_699
+WSGNKATPSCPSSTRLGAQLPRRWTTFTMCMTMTNKNKRAGSEQDM
+>NM_080660|37179_2_439_605
+MDFLVSMKTSFGSCFCRMTPVFYQRSVCSTTKGKPCMATATSRINATSFMCANPL
+>DECOY_NM_080660|37179_2_439_605
+LPNACMFSTANIRSTATAMCPKGKTTSCVSRQYFVPTMRCFCSGFSTKMSVLFDM
+>NM_032809|37272_3_653_738
+MGCQRDGGVSDHQRRQCREPVHARHGAV
+>DECOY_NM_032809|37272_3_653_738
+VAGHRAHVPERCQRRQHDSVGGDRQCGM
+>NM_145265|37358_2_164_255
+MEAGGIMPCWFQCWDWLLFVGFGLGSPRKK
+>DECOY_NM_145265|37358_2_164_255
+KKRPSGLGFGVFLLWDWCQFWCPMIGGAEM
+>NM_018338|37398_2_275_411
+MVICKALQYLSKPLLQLWKKQRRKLRRKYQRASSMIIWSLLRCLL
+>DECOY_NM_018338|37398_2_275_411
+LLCRLLSWIIMSSARQYKRRLKRRQKKWLQLLPKSLYQLAKCIVM
+>NM_018338|37399_2_719_807
+MGLRRDMLMWTLTTAVTCWPLLVVTLTTH
+>DECOY_NM_018338|37399_2_719_807
+HTTLTVVLLPWCTVATTLTWMLMDRRLGM
+>NM_018338|37403_2_1655_1746
+MELFEFLNFMIQKGSRFLRDGRKFWMLIFS
+>DECOY_NM_018338|37403_2_1655_1746
+SFILMWFKRGDRLFRSGKQIMFNLFEFLEM
+>NM_018338|37404_2_2195_2505
+MEKKNFRRRKRRKRRRRRKKSHYLKYLFRQPPLPSSVDFTQSQGSSGFLWVAMILVFYITVSSPLVMKAVISKNKKMNLLMSVILRIQRTIPSKLSLSTLTKL
+>DECOY_NM_018338|37404_2_2195_2505
+LKTLTSLSLKSPITRQIRLIVSMLLNMKKNKSIVAKMVLPSSVTIYFVLIMAVWLFGSSGQSQTFDVSSPLPPQRFLYKLYHSKKRRRRRKRRKRRRFNKKEM
+>NM_018338|37408_3_1068_1156
+MGQHAALGRWSDQSGALSRDKQVMSQWSH
+>DECOY_NM_018338|37408_3_1068_1156
+HSWQSMVQKDRSLAGSQDSWRGLAAHQGM
+>NM_144615|37412_2_230_351
+MGPSCVNRTSPTAASAWGSAGPRDGSPGRHPAISPCSWTL
+>DECOY_NM_144615|37412_2_230_351
+LTWSCPSIAPHRGPSGDRPGASGWASAATPSTRNVCSPGM
+>NM_144602|37458_2_419_513
+MAPRNLIQTSRMQSTQSPLSGQTHSVDKALS
+>DECOY_NM_144602|37458_2_419_513
+SLAKDVSHTQGSLPSQTSQMRSTQILNRPAM
+>NM_022762|37471_3_707_975
+MGRLPQAAPAGTQQLPGVQAAPTALHPPLGRRPREAAGGPQLCSALPALCSAAPAGDPGDDGQPGVPAAGLGEVTLLPPAGQQPLGRDL
+>DECOY_NM_022762|37471_3_707_975
+LDRGLPQQGAPPLLTVEGLGAAPVGPQGDDGPDGAPAASCLAPLASCLQPGGAAERPRRGLPPHLATPAAQVGPLQQTGAPAAQPLRGM
+>NM_152365|37523_2_246_829
+MGQRALPSSLALLSRPLSPPPAACSGDPGCGSGAGLPSASAAAGIASSAVEPVCGDAAPACLLRTPLRGLLKPTGPRSTMECPPALIVHPPAGGMASSSSQPWAAASATPMLSSKASLCIPTRGPPPQPLMRTPAARSHWPIPHPCDTACPAPLPVVLVAPRSTILSMSRTWTCRRWAVAPCRAEKLMCSSSRS
+>DECOY_NM_152365|37523_2_246_829
+SRSSSCMLKEARCPAVAWRRCTWTRSMSLITSRPAVLVVPLPAPCATDCPHPIPWHSRAAPTRMLPQPPPGRTPICLSAKSSLMPTASAAAWPQSSSSAMGGAPPHVILAPPCEMTSRPGTPKLLGRLPTRLLCAPAADGCVPEVASSAIGAAASASPLGAGSGCGPDGSCAAPPPSLPRSLLALSSPLARQGM
+>NM_152365|37524_2_483_829
+MECPPALIVHPPAGGMASSSSQPWAAASATPMLSSKASLCIPTRGPPPQPLMRTPAARSHWPIPHPCDTACPAPLPVVLVAPRSTILSMSRTWTCRRWAVAPCRAEKLMCSSSRS
+>DECOY_NM_152365|37524_2_483_829
+SRSSSCMLKEARCPAVAWRRCTWTRSMSLITSRPAVLVVPLPAPCATDCPHPIPWHSRAAPTRMLPQPPPGRTPICLSAKSSLMPTASAAAWPQSSSSAMGGAPPHVILAPPCEM
+>NM_152365|37525_2_528_829
+MASSSSQPWAAASATPMLSSKASLCIPTRGPPPQPLMRTPAARSHWPIPHPCDTACPAPLPVVLVAPRSTILSMSRTWTCRRWAVAPCRAEKLMCSSSRS
+>DECOY_NM_152365|37525_2_528_829
+SRSSSCMLKEARCPAVAWRRCTWTRSMSLITSRPAVLVVPLPAPCATDCPHPIPWHSRAAPTRMLPQPPPGRTPICLSAKSSLMPTASAAAWPQSSSSAM
+>NM_153685|37551_2_422_732
+MEGTPTLPIPDFWTMVLQPLMGSQPHTPTQTPCEVMEMGLSLERHLPPCGHSCSGAVGKVWTPSSMSQLPSPSSLFSWPLASSSSSAGTAARSDADPQGSKVP
+>DECOY_NM_153685|37551_2_422_732
+PVKSGQPDADSRAATGASSSSSALPWSFLSSPSPLQSMSSPTWVKGVAGSCSHGCPPLHRELSLGMEMVECPTQTPTHPQSGMLPQLVMTWFDPIPLTPTGEM
+>NM_153685|37552_2_464_732
+MVLQPLMGSQPHTPTQTPCEVMEMGLSLERHLPPCGHSCSGAVGKVWTPSSMSQLPSPSSLFSWPLASSSSSAGTAARSDADPQGSKVP
+>DECOY_NM_153685|37552_2_464_732
+PVKSGQPDADSRAATGASSSSSALPWSFLSSPSPLQSMSSPTWVKGVAGSCSHGCPPLHRELSLGMEMVECPTQTPTHPQSGMLPQLVM
+>NM_153685|37554_2_527_732
+MEMGLSLERHLPPCGHSCSGAVGKVWTPSSMSQLPSPSSLFSWPLASSSSSAGTAARSDADPQGSKVP
+>DECOY_NM_153685|37554_2_527_732
+PVKSGQPDADSRAATGASSSSSALPWSFLSSPSPLQSMSSPTWVKGVAGSCSHGCPPLHRELSLGMEM
+>NM_153685|37555_2_533_732
+MGLSLERHLPPCGHSCSGAVGKVWTPSSMSQLPSPSSLFSWPLASSSSSAGTAARSDADPQGSKVP
+>DECOY_NM_153685|37555_2_533_732
+PVKSGQPDADSRAATGASSSSSALPWSFLSSPSPLQSMSSPTWVKGVAGSCSHGCPPLHRELSLGM
+>NM_018183|37600_2_856_950
+MAGYQHCSLRQLHMQPSNMKLSYLMEIVLAS
+>DECOY_NM_018183|37600_2_856_950
+SALVIEMLYSLKMNSPQMHLQRLSCHQYGAM
+>NM_152727|37620_2_392_630
+MADGSSTTGQKPRSTTSTPPSPRSSCLTTTSRRYRSSSSRSLTRTSPVCGWTSMTSWASSPAAWARSSPARRSLGLCCC
+>DECOY_NM_152727|37620_2_392_630
+CCCLGLSRRAPSSRAWAAPSSAWSTMSTWGCVPSTRTLSRSSSSRYRRSTTTLCSSRPSPPTSTTSRPKQGTTSSGDAM
+>NM_152727|37622_2_884_1098
+MGTWRSPSRSCATTMTMTGAMTSSASSRPQCHRCVRLETASRWSSSASTPRSRGRRRTIKTRASSSCDPAR
+>DECOY_NM_152727|37622_2_884_1098
+RAPDCSSSARTKITRRRGRSRPTSASSSWRSATELRVCRHCQPRSSASSTMAGTMTMTTACSRSPSRWTGM
+>NM_153706|37646_3_408_520
+MAPLQVPLRSLDRTEPKPQPEDPPICSRGIQRQSYLR
+>DECOY_NM_153706|37646_3_408_520
+RLYSQRQIGRSCIPPDEPQPKPETRDLSRLPVQLPAM
+>NM_173482|37676_3_141_523
+MARGSSGHGEDRAHSDRSLRAGGGDHVAAQGQRAGPERGPPPRPRRLHPALALPRGDDQRRRHLGEAAARRGRHAVEGQDEAARLVRPPAATLAPQSARPADHRGGARARAWSAPHRRPPRPRAAPD
+>DECOY_NM_173482|37676_3_141_523
+DPAARPRPPRRHPASWARARAGGRHDAPRASQPALTAAPPRVLRAAEDQGEVAHRGRRAAAEGLHRRRQDDGRPLALAPHLRRPRPPPGREPGARQGQAAVHDGGGARLSRDSHARDEGHGSSGRAM
+>NM_178519|37692_3_503_708
+MVQGSSSHHLPNRSLQGCTPCLRGCAWALAGGGHSRQGRPSAAGCRASETNQALEGTVAGPDVSSSSN
+>DECOY_NM_178519|37692_3_503_708
+NSSSSVDPGAVTGELAQNTESARCGAASPRGQRSHGGGALAWACGRLCPTCGQLSRNPLHHSSSGQVM
+>NM_178519|37693_3_803_1167
+MDSKGGRAQRRHICRAAAAFPELGSPTGIPSGHGTTALGAGGCRRGRQRADRPGKGEGRGQTGQEERLQVPKEGTKPRPLDQSGRLVGQAGRGQGQRQGGAGEGPWWASVGTRPRFSLRTL
+>DECOY_NM_178519|37693_3_803_1167
+LTRLSFRPRTGVSAWWPGEGAGGQRQGQGRGAQGVLRGSQDLPRPKTGEKPVQLREEQGTQGRGEGKGPRDARQRGRRCGGAGLATTGHGSPIGTPSGLEPFAAAARCIHRRQARGGKSDM
+>NM_152638|37814_2_612_835
+MGPQGSSRSNSTARAFGSNHPCALTGGAGEGPGAHPLQDSGNSPARCKCFGCMACTLSAFAAAPAGAGPGTLAG
+>DECOY_NM_152638|37814_2_612_835
+GALTGPGAGAPAAAFASLTCAMCGFCKCRAPSNGSDQLPHAGPGEGAGGTLACPHNSGFARATSNSRSSGQPGM
+>NM_152589|37853_2_628_704
+MAVNCKKEIVLQFRQNLANMKGKVK
+>DECOY_NM_152589|37853_2_628_704
+KVKGKMNALNQRFQLVIEKKCNVAM
+>NM_173625|37909_3_589_668
+MEYCGQNSDCCHPVAQWSCHYSICNF
+>DECOY_NM_173625|37909_3_589_668
+FNCISYHCSWQAVPHCCDSNQGCYEM
+>NM_152504|37917_2_364_482
+MAFGNPWIDSMKCLVIHSQALQTHSLHLSASACLRKSLN
+>DECOY_NM_152504|37917_2_364_482
+NLSKRLCASASLHLSHTQLAQSHIVLCKMSDIWPNGFAM
+>NM_145019|37924_2_231_391
+MDSPPGGCHVACSFTVHTVTKKREDGASRLNIPGPQVSFPLKRRRTLSWSAST
+>DECOY_NM_145019|37924_2_231_391
+TSASWSLTRRRKLPFSVQPGPINLRSAGDERKKTVTHVTFSCAVHCGGPPSDM
+>NM_145019|37926_2_1050_1456
+MGTRSSYRHKGCIRSFLNLAEYIMPPRRNVIPLLCRALLYQAIHLAAASSPRSTVLTRGPSGQACLLGTSRNLPDEPTAPPTLPGLSREASPCFVCPREAPPWPPQLNHSGFQTQVPQGTGHQSGGMATSSPSMT
+>DECOY_NM_145019|37926_2_1050_1456
+TMSPSSTAMGGSQHGTGQPVQTQFGSHNLQPPWPPAERPCVFCPSAERSLGPLTPPATPEDPLNRSTGLLCAQGSPGRTLVTSRPSSAAALHIAQYLLARCLLPIVNRRPPMIYEALNLFSRICGKHRYSSRTGM
+>NM_018306|37933_2_200_456
+MERQISTSKMGRLDSFPKNNMRETSLLPPPPLPPHPPHLLHPPPPQRAMMRTSNPEQPENIDGAWGLDTPTGTAHPVLGMGSLTF
+>DECOY_NM_018306|37933_2_200_456
+FTLSGMGLVPHATGTPTDLGWAGDINEPQEPNSTRMMARQPPPPHLLHPPHPPLPPPPLLSTERMNNKPFSDLRGMKSTSIQREM
+>NM_018306|37934_2_227_456
+MGRLDSFPKNNMRETSLLPPPPLPPHPPHLLHPPPPQRAMMRTSNPEQPENIDGAWGLDTPTGTAHPVLGMGSLTF
+>DECOY_NM_018306|37934_2_227_456
+FTLSGMGLVPHATGTPTDLGWAGDINEPQEPNSTRMMARQPPPPHLLHPPHPPLPPPPLLSTERMNNKPFSDLRGM
+>NM_024031|37956_3_329_747
+MGSQEPETAEAAAPGGPFSPGKGLSAGPGRGARRCHGCSHGPRGALKADLHTTAPQLPSGSCPQAAACLATPAGRVVLAGQASRPSVFVSRALEPHPPDLFHPEAAIKDNPWPRGGPFTKGGPGPPAHPGGDAGRHRQS
+>DECOY_NM_024031|37956_3_329_747
+SQRHRGADGGPHAPPGPGGKTFPGGRPWPNDKIAAEPHFLDPPHPELARSVFVSPRSAQGALVVRGAPTALCAAAQPCSGSPLQPATTHLDAKLAGRPGHSCGHCRRAGRGPGASLGKGPSFPGGPAAAEATEPEQSGM
+>NM_198511|38007_2_981_1300
+MEPNTWGQLTACRLFFRCFFLTMSISSPQIGNWYGRAWTFSWNRNKTATGHLSSARPSRERMSWCTGAMALQELPICLPKLIWFPRNRSTWTHVFGVGNSHGRKAC
+>DECOY_NM_198511|38007_2_981_1300
+CAKRGHSNGVGFVHTWTSRNRPFWILKPLCIPLEQLAMAGTCWSMRERSPRASSLHGTATKNRNWSFTWARGYWNGIQPSSISMTLFFCRFFLRCATLQGWTNPEM
+>NM_198511|38010_2_1185_1300
+MALQELPICLPKLIWFPRNRSTWTHVFGVGNSHGRKAC
+>DECOY_NM_198511|38010_2_1185_1300
+CAKRGHSNGVGFVHTWTSRNRPFWILKPLCIPLEQLAM
+>NM_198538|38024_2_377_675
+MVLDKQERKQRSLAMGSTTLLDRPGRKQTKRSKGSTLGSTRLGRKQRNLAKGSTMLLTRLERKWRSLAKVPTMLLARPGRSCRMLIMGSTKPARRPTSC
+>DECOY_NM_198538|38024_2_377_675
+CSTPRRAPKTSGMILMRCSRGPRALLMTPVKALSRWKRELRTLLMTSGKALNRQKRGLRTSGLTSGKSRKTQKRGPRDLLTTSGMALSRQKREQKDLVM
+>NM_198538|38025_2_419_675
+MGSTTLLDRPGRKQTKRSKGSTLGSTRLGRKQRNLAKGSTMLLTRLERKWRSLAKVPTMLLARPGRSCRMLIMGSTKPARRPTSC
+>DECOY_NM_198538|38025_2_419_675
+CSTPRRAPKTSGMILMRCSRGPRALLMTPVKALSRWKRELRTLLMTSGKALNRQKRGLRTSGLTSGKSRKTQKRGPRDLLTTSGM
+>NM_198566|38047_2_1389_1492
+MDLFSNQKGLILGTILLIILFKKDQEREKRKLTQ
+>DECOY_NM_198566|38047_2_1389_1492
+QTLKRKEREQDKKFLIILLITGLILGKQNSFLDM
+>NM_203348|38062_2_562_704
+MGTARCHRAASRALRGATTCVCLGRRGHPRCWGPSRGPERVTRGWSS
+>DECOY_NM_203348|38062_2_562_704
+SSWGRTVREPGRSPGWCRPHGRRGLCVCTTAGRLARSAARHCRATGM
+>NM_203348|38066_3_2408_2499
+MEQSDLWHGAGGPGSPRQLLPVFEQSLEPC
+>DECOY_NM_203348|38066_3_2408_2499
+CPELSQEFVPLLQRPSGPGGAGHWLDSQEM
+>NM_001013729|38174_2_1160_1467
+MDYGTRGPSPSRPGLWQQRTQPLASWTMAAEDPASLRHGLRHQRTQPPRALDYGSRGPSPSHPGLWHQRTQPPCVMDYGTRGPSPSRPGLWQQRTQPPGVLD
+>DECOY_NM_001013729|38174_2_1160_1467
+DLVGPPQTRQQWLGPRSPSPGRTGYDMVCPPQTRQHWLGPHSPSPGRSGYDLARPPQTRQHRLGHRLSAPDEAAMTWSALPQTRQQWLGPRSPSPGRTGYDM
+>NM_001013729|38175_2_1241_1467
+MAAEDPASLRHGLRHQRTQPPRALDYGSRGPSPSHPGLWHQRTQPPCVMDYGTRGPSPSRPGLWQQRTQPPGVLD
+>DECOY_NM_001013729|38175_2_1241_1467
+DLVGPPQTRQQWLGPRSPSPGRTGYDMVCPPQTRQHWLGPHSPSPGRSGYDLARPPQTRQHRLGHRLSAPDEAAM
+>NM_001013729|38176_2_1385_1467
+MDYGTRGPSPSRPGLWQQRTQPPGVLD
+>DECOY_NM_001013729|38176_2_1385_1467
+DLVGPPQTRQQWLGPRSPSPGRTGYDM
+>NM_001013729|38177_3_1167_1279
+MAPEDPAPRALDYGSRGPSPSHPGLWQQRTQPPCVMD
+>DECOY_NM_001013729|38177_3_1167_1279
+DMVCPPQTRQQWLGPHSPSPGRSGYDLARPAPDEPAM
+>NM_001013729|38178_3_1317_1477
+MAAEDPAPRILDYGTRGPSLPASWTMAPEDPAPRALDYGSRGPSPLASWTKAQ
+>DECOY_NM_001013729|38178_3_1317_1477
+QAKTWSALPSPGRSGYDLARPAPDEPAMTWSAPLSPGRTGYDLIRPAPDEAAM
+>NM_001013729|38179_3_1392_1477
+MAPEDPAPRALDYGSRGPSPLASWTKAQ
+>DECOY_NM_001013729|38179_3_1392_1477
+QAKTWSALPSPGRSGYDLARPAPDEPAM
+>NM_001013638|38184_2_502_596
+MAKHPGASPACRRRAWAPVAVPPAAVFCPCI
+>DECOY_NM_001013638|38184_2_502_596
+ICPCFVAAPPVAVPAWARRRCAPSAGPHKAM
+>NM_001013638|38185_2_607_962
+MAKGPASGSLLQMLPWAATAQTSPLLLLRDRQGRRTDTASPSLGPPRLPWWGAAGLEPLWDGVEVEQVPGALGHHVRMFCSVLTPPSAPSCLCCGLGSSHGVGRFCPRGTLAGIWRRL
+>DECOY_NM_001013638|38185_2_607_962
+LRRWIGALTGRPCFRGVGHSSGLGCCLCSPASPPTLVSCFMRVHHGLAGPVQEVEVGDWLPELGAAGWWPLRPPGLSPSATDTRRGQRDRLLLLPSTQATAAWPLMQLLSGSAPGKAM
+>NM_001014830|38195_2_610_941
+MVTRTRLSHSWMFWWEGFGESPTQPHLASKRLEAPQVGAVLVASPLVAVASGWARRLVQLVDLMPGGCRPRHRVLPWSFWCCWHSLSRAANGCQSPPTPLQERRPTQLLY
+>DECOY_NM_001014830|38195_2_610_941
+YLLQTPRREQLPTPPSQCGNAARSLSHWCCWFSWPLVRHRPRCGGPMLDVLQVLRRAWGSAVAVLPSAVLVAGVQPAELRKSALHPQTPSEGFGEWWFMWSHSLRTRTVM
+>NM_177998|38289_2_1432_1544
+MATPCPLLLPAPRVEVWPEMWLPRARTCHQQPMEMCA
+>DECOY_NM_177998|38289_2_1432_1544
+ACMEMPQQHCTRARPLWMEPWVEVRPAPLLLPCPTAM
+>NM_001080997|38317_3_192_268
+MDPARSLWREPPTPSQVPATPWAGS
+>DECOY_NM_001080997|38317_3_192_268
+SGAWPTAPVQSPTPPERWLSRAPDM
+>NM_152780|38361_3_300_499
+MAKTGRAAAAGGPKESCCGREKETEAPGGGGTAGGDDAPVPGAHTAAGAEKEVFVGSTTGHWTRRT
+>DECOY_NM_152780|38361_3_300_499
+TRRTWHGTTSGVFVEKEAGAATHAGPVPADDGGATGGGGPAETEKERGCCSEKPGGAAAARGTKAM
+>NM_017550|38441_2_898_977
+MGSVLGVKRSAGTLSTASVCMERTFT
+>DECOY_NM_017550|38441_2_898_977
+TFTREMCVSATSLTGASRKVGLVSGM
+>NM_024956|38470_3_629_708
+MANLPGYSEEDKSHGKNQVAGYQRKS
+>DECOY_NM_024956|38470_3_629_708
+SKRQYGAVQNKGHSKDEESYGPLNAM
+>NM_001007530|38498_2_46_122
+MEGVRAFSDDAEEGSSLTPDACRPL
+>DECOY_NM_001007530|38498_2_46_122
+LPRCADPTLSSGEEADDSFARVGEM
+>NM_001007530|38501_3_323_402
+MGRGPSRESTGAGGSSSQGPDQRGAA
+>DECOY_NM_001007530|38501_3_323_402
+AAGRQDPGQSSSGGAGTSERSPGRGM
+>NM_001017930|38516_2_1232_1476
+MEYSRNSLLIIWFIVISQQTSPALCTATMAQSSWPATMMKIFTSSTPLSVMVLNMLRDIRGTEIMTQSNVLISMAPGVSLS
+>DECOY_NM_001017930|38516_2_1232_1476
+SLSVGPAMSILVNSQTMIETGRIDRLMNLVMVSLPTSSTFIKMMTAPWSSQAMTATCLAPSTQQSIVIFWIILLSNRSYEM
+>NM_001017930|38517_2_1316_1476
+MAQSSWPATMMKIFTSSTPLSVMVLNMLRDIRGTEIMTQSNVLISMAPGVSLS
+>DECOY_NM_001017930|38517_2_1316_1476
+SLSVGPAMSILVNSQTMIETGRIDRLMNLVMVSLPTSSTFIKMMTAPWSSQAM
+>NM_001017930|38520_2_1382_1476
+MVLNMLRDIRGTEIMTQSNVLISMAPGVSLS
+>DECOY_NM_001017930|38520_2_1382_1476
+SLSVGPAMSILVNSQTMIETGRIDRLMNLVM
+>NM_001004431|38555_2_921_1033
+MATSSSLATCTSGRRGSAVPHASRTSRGCTGMPRRGG
+>DECOY_NM_001004431|38555_2_921_1033
+GGRRPMGTCGRSTRSAHPVASGRRGSTCTALSSSTAM
+>NM_016201|38598_2_2460_2575
+MGAPRLRAPQTAPPPACHRSLTAFWGAAVAREQPLWTL
+>DECOY_NM_016201|38598_2_2460_2575
+LTWLPQERAVAAGWFATLSRHCAPPPATQPARLRPAGM
+>NM_001001795|38610_2_231_337
+MGAFPTRRARVRSRGPASISTTWTTRASFSWMIPK
+>DECOY_NM_001001795|38610_2_231_337
+KPIMWSFSARTTWTTSISAPGRSRVRARRTPFAGM
+>NM_152706|38638_3_616_719
+MACFSDKSSSYVSRRCDTVTVPKGGHHRFSHTNS
+>DECOY_NM_152706|38638_3_616_719
+SNTHSFRHHGGKPVTVTDCRRSVYSSSKDSFCAM
+>NM_018034|38682_2_1532_1632
+MDWLKSITTPTRVRGEQNYVWLKPSGRQNKLRL
+>DECOY_NM_018034|38682_2_1532_1632
+LRLKNQRGSPKLWVYNQEGRVRTPTTISKLWDM
+>NM_001031698|38725_2_2156_2616
+MAGKARSTITSVPTHPQALSQKKRSCPHHLSGPPSGGGGTPQSQALSPLPHLIQLKVGVLPLEDGAPLPPIFLEQIMAFGKPRNQKRKLRREDTSRIVLRVRQTLRRKLARRAMRKNKNRTRTGSSNRQSSLTVPQALESRRRRQAGTRQKVS
+>DECOY_NM_001031698|38725_2_2156_2616
+SVKQRTGAQRRRRSELAQPVTLSSQRNSSGTRTRNKNKRMARRALKRRLTQRVRLVIRSTDERRLKRKQNRPKGFAMIQELFIPPLPAGDELPLVGVKLQILHPLPSLAQSQPTGGGGSPPGSLHHPCSRKKQSLAQPHTPVSTITSRAKGAM
+>NM_001031698|38726_2_2384_2616
+MAFGKPRNQKRKLRREDTSRIVLRVRQTLRRKLARRAMRKNKNRTRTGSSNRQSSLTVPQALESRRRRQAGTRQKVS
+>DECOY_NM_001031698|38726_2_2384_2616
+SVKQRTGAQRRRRSELAQPVTLSSQRNSSGTRTRNKNKRMARRALKRRLTQRVRLVIRSTDERRLKRKQNRPKGFAM
+>NM_012272|38764_2_2604_3064
+MAGKARSTITSVPTHLQALSQKKRSCPHHLSGPPSGGGGTPQSQALSPLPHLIQLKVGVLPLEDGAPLPPIFLEQIMAFGKPRNQKRKLRREDTSRIVLRVRQTLRRKLARRAMRKNKNRTRTGSSNRQSSLTVPQALESRRRRQAGTRQKVS
+>DECOY_NM_012272|38764_2_2604_3064
+SVKQRTGAQRRRRSELAQPVTLSSQRNSSGTRTRNKNKRMARRALKRRLTQRVRLVIRSTDERRLKRKQNRPKGFAMIQELFIPPLPAGDELPLVGVKLQILHPLPSLAQSQPTGGGGSPPGSLHHPCSRKKQSLAQLHTPVSTITSRAKGAM
+>NM_144635|38788_2_2055_2275
+MGCWAPRPGWPPSCWAMSCFSPNCPPAGKVPSAAWAHWRPRTHSTTRPSQSPAFPPRRRSQPPARTASHSAHH
+>DECOY_NM_144635|38788_2_2055_2275
+HHASHSATRAPPQSRRRPPFAPSQSPRTTSHTRPRWHAWAASPVKGAPPCNPSFCSMAWCSPPWGPRPAWCGM
+>NM_024848|38854_2_100_674
+MVSTYTQIPSFDMKENGKQGGSTVTGSCYLKMAVITKGRLWTERSREKAAGTGPGQETPSLDSLFWESLKATASWSTKPADVMKGRSPTACGKDTGFWWTGMDKCTRAPSMTTRGTALGRCSFRTVTSTTATGSGTGVRDTGCCAAPTAPPTRDSGTATSSVDWAAWPTAQGSPIMGCGSMATQQNKLRGS
+>DECOY_NM_024848|38854_2_100_674
+SGRLKNQQTAMSGCGMIPSGQATPWAAWDVSSTATGSDRTPPATPAACCGTDRVGTGSGTATTSTVTRFSCRGLATGRTTMSPARTCKDMGTWWFGTDKGCATPSRGKMVDAPKTSWSATAKLSEWFLSDLSPTEQGPGTGAAKERSRETWLRGKTIVAMKLYCSGTVTSGGQKGNEKMDFSPIQTYTSVM
+>NM_024848|38856_2_193_674
+MAVITKGRLWTERSREKAAGTGPGQETPSLDSLFWESLKATASWSTKPADVMKGRSPTACGKDTGFWWTGMDKCTRAPSMTTRGTALGRCSFRTVTSTTATGSGTGVRDTGCCAAPTAPPTRDSGTATSSVDWAAWPTAQGSPIMGCGSMATQQNKLRGS
+>DECOY_NM_024848|38856_2_193_674
+SGRLKNQQTAMSGCGMIPSGQATPWAAWDVSSTATGSDRTPPATPAACCGTDRVGTGSGTATTSTVTRFSCRGLATGRTTMSPARTCKDMGTWWFGTDKGCATPSRGKMVDAPKTSWSATAKLSEWFLSDLSPTEQGPGTGAAKERSRETWLRGKTIVAM
+>NM_024848|38858_2_403_674
+MDKCTRAPSMTTRGTALGRCSFRTVTSTTATGSGTGVRDTGCCAAPTAPPTRDSGTATSSVDWAAWPTAQGSPIMGCGSMATQQNKLRGS
+>DECOY_NM_024848|38858_2_403_674
+SGRLKNQQTAMSGCGMIPSGQATPWAAWDVSSTATGSDRTPPATPAACCGTDRVGTGSGTATTSTVTRFSCRGLATGRTTMSPARTCKDM
+>NM_207310|38871_2_357_436
+MAPQETTFPGPLLPWALAGSASTECG
+>DECOY_NM_207310|38871_2_357_436
+GCETSASGALAWPLLPGPFTTEQPAM
+>NM_207310|38872_2_507_841
+MGVLRAVAPTLCALLQTASPRQASSLSSPSLIQARPGPSPAPSTSKIQKLTSPRRRTWKRSPYFTTASWTRFLGYKGRPERRKQRPLTQELPVWGTASTRAGRWGRRHTPQ
+>DECOY_NM_207310|38872_2_507_841
+QPTHRRGWRGARTSATGWVPLEQTLPRQKRREPRGKYGLFRTWSATTFYPSRKWTRRRPSTLKQIKSTSPAPSPGPRAQILSPSSLSSAQRPSATQLLACLTPAVARLVGM
+>NM_080833|38884_2_1634_1854
+MAPRGPECQSRRRLPLPWTPHAHFQGPSSACPLQAVQKMKTQGGLCHLPTHSRLPTHSRLTWTATQSPARLKC
+>DECOY_NM_080833|38884_2_1634_1854
+CKLRAPSQTATWTLRSHTPLRSHTPLHCLGGQTKMKQVAQLPCASSPGQFHAHPTWPLPLRRRSQCEPGRPAM
+>NM_001010912|38898_3_211_407
+MEQILQIRPTDCPWEILPLGKRDPTSRWHSHHSSKKAFGLQARGRMQNAQGTTVAVSGLQTSNGV
+>DECOY_NM_001010912|38898_3_211_407
+VGNSTQLGSVAVTTGQANQMRGRAQLGFAKKSSHHSHWRSTPDRKGLPLIEWPCDTPRIQLIQEM
+>NM_147202|38923_2_455_582
+MAAWVALSTSNPRRTMSWPEQGWSSPIKATPHLTRALMRSHW
+>DECOY_NM_147202|38923_2_455_582
+WHSRMLARTLHPTAKIPSSWGQEPWSMTRRPNSTSLAVWAAM
+>NM_173481|38958_3_1990_2108
+MVRWHQPLGRYQLRGPGSHTGDPSQERHGRALGIPHLRQ
+>DECOY_NM_173481|38958_3_1990_2108
+QRLHPIGLARGHREQSPDGTHSGPGRLQYRGLPQHWRVM
+>NM_024059|38968_2_495_613
+MAVLSWMPCSGRQTHGPSWPTGRWWSGGWRTCRPSWTAS
+>DECOY_NM_024059|38968_2_495_613
+SATWSPRCTRWGGSWWRGTPWSPGHTQRGSCPMWSLVAM
+>NM_018203|38990_3_1329_1585
+MAEDGTIVLPQEAAGRFCGWLSEWTGHSGWGTWESTHCPGDGGSIPPREEQMGDPPCHAHTPLCLLQHSRQELPPRRGRCQPGSE
+>DECOY_NM_018203|38990_3_1329_1585
+ESGPQCRGRRPPLEQRSHQLLCLPTHAHCPPDGMQEERPPISGGDGPCHTSEWTGWGSHGTWESLWGCFRGAAEQPLVITGDEAM
+>NM_173657|38997_3_527_621
+MVTKRAKTFPITMVPTSWKGEFSTLLLSSGE
+>DECOY_NM_173657|38997_3_527_621
+EGSSLLLTSFEGKWSTPVMTIPFTKARKTVM
+>NM_173657|38998_3_941_1014
+MERQHEQLLLNTEVQRTYKSHKLS
+>DECOY_NM_173657|38998_3_941_1014
+SLKHSKYTRQVETNLLLQEHQREM
+>NM_145017|39020_2_465_649
+MGGRSPVRVPPPRRSGRSISTPRSTGLRPSRGWSPGKCLCSASSRARTRWSGRTSDMAHAS
+>DECOY_NM_145017|39020_2_465_649
+SAHAMDSTRGSWRTRARSSASCLCKGPSWGRSPRLGTSRPTSISRGSRRPPPVRVPSRGGM
+>NM_017566|39086_2_1220_1362
+MAPWSPLSRCSPRQARRGSPGLRTKTALRRPAAPHLGRVHAPTPCWL
+>DECOY_NM_017566|39086_2_1220_1362
+LWCPTPAHVRGLHPAAPRRLATKTRLGPSGRRAQRPSCRSLPSWPAM
+>NM_138797|39138_2_452_663
+MARIPVQLMTRAAQLYTLPHAMAMTRLCSCSWTMVLILTSEMGWGTRHCTWRPAPTTFLSSPHCYEEGPV
+>DECOY_NM_138797|39138_2_452_663
+VPGEEYCHPSSLFTTPAPRWTCHRTGWGMESTLILVMTWSCSCLRTMAMAHPLTYLQAARTMLQVPIRAM
+>NM_138797|39140_2_515_663
+MAMTRLCSCSWTMVLILTSEMGWGTRHCTWRPAPTTFLSSPHCYEEGPV
+>DECOY_NM_138797|39140_2_515_663
+VPGEEYCHPSSLFTTPAPRWTCHRTGWGMESTLILVMTWSCSCLRTMAM
+>NM_138797|39142_2_551_663
+MVLILTSEMGWGTRHCTWRPAPTTFLSSPHCYEEGPV
+>DECOY_NM_138797|39142_2_551_663
+VPGEEYCHPSSLFTTPAPRWTCHRTGWGMESTLILVM
+>NM_138797|39143_2_575_663
+MGWGTRHCTWRPAPTTFLSSPHCYEEGPV
+>DECOY_NM_138797|39143_2_575_663
+VPGEEYCHPSSLFTTPAPRWTCHRTGWGM
+>NM_019073|39156_2_1009_1115
+MDGQGCTMIILILAAADPRIIRLSGHPMGETSMTL
+>DECOY_NM_019073|39156_2_1009_1115
+LTMSTEGMPHGSLRIIRPDAAALILIIMTCGQGDM
+>NM_173546|39175_2_273_409
+MGTCWCWGVVAGLDCPWTLLRHWTWPRTHGWHWHPCPLPGLVQLR
+>DECOY_NM_173546|39175_2_273_409
+RLQVLGPLPCPHWHWGHTRPWTWHRLLTWPCDLGAVVGWCWCTGM
+>NM_173546|39177_2_561_751
+MVWCMLWGEWALTRPPRPRYVCMSPVGTAGFRYPPCPHPAMGPPPSCTGTRSMSWGAARASSR
+>DECOY_NM_173546|39177_2_561_751
+RSSARAAGWSMSRTGTCSPPPGMAPHPCPPYRFGATGVPSMCVYRPRPPRTLAWEGWLMCWVM
+>NM_144681|39212_2_282_442
+MGSGCCRCSRNSPMLRGRRSPYPSGYWRRKRRQKSCIKLWCRRRRCFSAEWKP
+>DECOY_NM_144681|39212_2_282_442
+PKWEASFCRRRRCWLKICSKQRRKRRWYGSPYPSRRGRLMPSNRSCRCCGSGM
+>NM_015604|39306_2_209_447
+MGEEATSRTLGSDSVILKTGLTPGQHSPLTIPATVMTSLRQPRLAQLGPPLCQSYLGFTLTLKRNATSACSLDITTATP
+>DECOY_NM_015604|39306_2_209_447
+PTATTIDLSCASTANRKLTLTFGLYSQCLPPGLQALRPQRLSTMVTAPITLPSHQGPTLGTKLIVSDSGLTRSTAEEGM
+>NM_138431|39354_2_925_1013
+MVWVLWSAPSLAPPWVGPCWPSTGNCCLC
+>DECOY_NM_138431|39354_2_925_1013
+CLCCNGTSPWCPGVWPPALSPASWLVWVM
+>NM_173563|39376_2_908_1011
+METAVWKKISQMKVIYQKMRRQMILYSAILKRWT
+>DECOY_NM_173563|39376_2_908_1011
+TWRKLIASYLIMQRRMKQYIVKMQSIKKWVATEM
+>NM_144697|39410_2_1341_1426
+MECNPSPTLPQPPQSHLLQHLLSSLVSL
+>DECOY_NM_144697|39410_2_1341_1426
+LSVLSSLLHQLLHSQPPQPLTPSPNCEM
+>NM_144697|39411_3_1204_1286
+MASYEPHLDPHHSNLQPPSQLPRYYLL
+>DECOY_NM_144697|39411_3_1204_1286
+LLYYRPLQSPPQLNSHHPDLHPEYSAM
+>NM_032118|39444_2_745_902
+MGTDKCIYMRPLQEIYMSRSMPMPGPSAPWTWLLRWASYSLQVRTPLCISGS
+>DECOY_NM_032118|39444_2_745_902
+SGSICLPTRVQLSYSAWRLLWTWPASPGPMPMSRSMYIEQLPRMYICKDTGM
+>NM_032118|39449_2_946_1019
+MVSVSPTPSCVVLDFVIPQATPLL
+>DECOY_NM_032118|39449_2_946_1019
+LLPTAQPIVFDLVVCSPTPSVSVM
+>NM_145006|39466_2_181_353
+MVLPWGPCSCSAAPPTTRWWGLGSSPAPGRGASLSGLQGPQCANWCHHTRPLASRWP
+>DECOY_NM_145006|39466_2_181_353
+PWRSALPRTHHCWNACQPGQLGSLSAGRGPAPSSGLGWWRTTPPAASCSCPGWPLVM
+>NM_023927|39476_2_295_431
+MVWRRKRKPAGRQQPNPLPHLWRRTPQTRRKSLAYGQNPVLMVPL
+>DECOY_NM_023927|39476_2_295_431
+LPVMLVPNQGYALSKRRTQPTRRWLHPLPNPQQRGAPKRKRRWVM
+>NM_052865|39511_2_569_660
+MALKNTLQTSFYKGNGSTKPWKAYFHPRKP
+>DECOY_NM_052865|39511_2_569_660
+PKRPHFYAKWPKTSGNGKYFSTQLTNKLAM
+>NM_052865|39512_2_980_1056
+MDHLPTHISWMQSSVPSTGPSGFFD
+>DECOY_NM_052865|39512_2_980_1056
+DFFGSPGTSPVSSQMWSIHTPLHDM
+>NM_018028|39604_2_1627_1733
+MDTCPSTHPAQCRQPSTVLGAMQTQVSPAKSTLAH
+>DECOY_NM_018028|39604_2_1627_1733
+HALTSKAPSVQTQMAGLVTSPQRCQAPHTSPCTDM
+>NM_018134|39726_2_645_733
+MGNRPVRGTSHNQAHHWRTSPTETGPLES
+>DECOY_NM_018134|39726_2_645_733
+SELPGTETPSTRWHHAQNHSTGRVPRNGM
+>NM_172240|39748_3_488_591
+MEHVSPALPVFLVSTYTLGTLCQIFTRWKTNCVM
+>DECOY_NM_172240|39748_3_488_591
+MVCNTKWRTFIQCLTGLTYTSVLFVPLAPSVHEM
+>NM_145063|39794_2_500_576
+MESLTSPCPGLDVVLIVCNGKMYLR
+>DECOY_NM_145063|39794_2_500_576
+RLYMKGNCVILVVDLGPCPSTLSEM
+>NM_001039517|39801_2_545_867
+MEQPSACQLRICWLPRPGSSLRPRPSEQRYVHSLRIRLHRSATTAPFLYSFLLLPCPAPPLVPRSIGLHPWAEPSSPGSSWNRSGLGLVRGSHGPRPAGHRAGGEGH
+>DECOY_NM_001039517|39801_2_545_867
+HGEGGARHGAPRPGHSGRVLGLGSRNWSSGPSSPEAWPHLGISRPVLPPAPCPLLLFSYLFPATTASRHLRIRLSHVYRQESPRPRLSSGPRPLWCIRLQCASPQEM
+>NM_001039517|39802_3_360_508
+MDLQVPAAAIWPCPPAYPLLPEEGTQGDPPRCPERRWRMGVGQYAEFVW
+>DECOY_NM_001039517|39802_3_360_508
+WVFEAYQGVGMRWRREPCRPPDGQTGEEPLLPYAPPCPWIAAAPVQLDM
+>NM_173695|39844_2_259_521
+MVFCLPTRMLNHPLLLQLKRHTPLANSMMLNLQRETYLLEWKYCLKICTWMKVKHQRKIMGLWKRKNMNNSFLLRKEQRHTTFLRRL
+>DECOY_NM_173695|39844_2_259_521
+LRRLFTTHRQEKRLLFSNNMNKRKWLGMIKRQHKVKMWTCIKLCYKWELLYTERQLNLMMSNALPTHRKLQLLLPHNLMRTPLCFVM
+>NM_173695|39849_2_436_521
+MGLWKRKNMNNSFLLRKEQRHTTFLRRL
+>DECOY_NM_173695|39849_2_436_521
+LRRLFTTHRQEKRLLFSNNMNKRKWLGM
+>NM_001010860|39867_2_43_230
+MESWSLRGLNCLDFINCMKMPNQTPWQRQTRSYQQRFTKSHSQRPRKRTSKRGSQTVLRTCS
+>DECOY_NM_001010860|39867_2_43_230
+SCTRLVTQSGRKSTRKRPRQSHSKTFRQQYSRTQRQWPTQNPMKMCNIFDLCNLGRLSWSEM
+>NM_001013630|39974_2_355_440
+MEGPQYLGAWIVTMACAIIWPGRLNLYF
+>DECOY_NM_001013630|39974_2_355_440
+FYLNLRGPWIIACAMTVIWAGLYQPGEM
+>NM_173550|40019_2_3184_3299
+MVCVAMCPLRKAQHRCRSKYLDLHKDCMLQKWSAAHYA
+>DECOY_NM_173550|40019_2_3184_3299
+AYHAASWKQLMCDKHLDLYKSRCRHQAKRLPCMAVCVM
+>NM_153360|40041_2_1552_1634
+MGRPPTSPGHRSTGHRCRSTPAQGVFT
+>DECOY_NM_153360|40041_2_1552_1634
+TFVGQAPTSRCRHGTSRHGPSTPPRGM
+>NM_001012974|40078_3_1716_1837
+MGERAGACCPPERQQLLDVPQRSQLSDGANDVRTRGQSVG
+>DECOY_NM_001012974|40078_3_1716_1837
+GVSQGRTRVDNAGDSLQSRQPVDLLQQREPPCCAGAREGM
+>NM_138357|40132_3_728_933
+MGWPCLHGHTVWHFGPAYLVGIFLGHHGASNILHHLWKCHGNVCIFCNDTPGICLSRSQRQTILTIFP
+>DECOY_NM_138357|40132_3_728_933
+PFITLITQRQSRSLCIGPTDNCFICVNGHCKWLHHLINSAGHHGLFIGVLYAPGFHWVTHGHLCPWGM
+>NM_152406|40192_2_510_586
+MAAAPHTRLWMATMRTQTAATLQPG
+>DECOY_NM_152406|40192_2_510_586
+GPQLTAATQTRMTAMWLRTHPAAAM
+>NM_138389|40340_3_553_686
+MGRLGILGQISAVVSICHSRSWIDGSQGKSRSHSTDSWCKFWIF
+>DECOY_NM_138389|40340_3_553_686
+FIWFKCWSDTSHSRSKGQSGDIWSRSHCISVVASIQGLIGLRGM
+>NM_174923|40352_3_773_885
+MEPSYFLGGGAGTTEKVQPGCGKGPQSQPWLGRRDDS
+>DECOY_NM_174923|40352_3_773_885
+SDDRRGLWPQSQPGKGCGPQVKETTGAGGGLFYSPEM
+>NM_144970|40376_2_288_376
+MEMCTGETAGRAAGPWTPGRWPRPSCPED
+>DECOY_NM_144970|40376_2_288_376
+DEPCSPRPWRGPTWPGAARGATEGTCMEM
+>NM_032230|40401_2_1483_1565
+MASPNVIGMLVKFIPNVLLFWIMSDGL
+>DECOY_NM_032230|40401_2_1483_1565
+LGDSMIWFLLVNPIFKVLMGIVNPSAM
+>NM_182496|40439_2_283_383
+MAQPKRTGLIRSFSEISFLSKKMKWQQRKRKNL
+>DECOY_NM_182496|40439_2_283_383
+LNKRKRQQWKMKKSLFSIESFSRILGTRKPQAM
+>NM_020939|40471_2_1415_1677
+MAPSTRCCSSLLMGSSRTWRRPRRPLSTLPSSPCPSLSSAWARQSSTPWWSWMATTCGSPPGGSWLNATSSSLYPSGTTWTAQATTC
+>DECOY_NM_020939|40471_2_1415_1677
+CTTAQATWTTGSPYLSSSTANLWSGGPPSGCTTAMWSWWPTSSQRAWASSLSPCPSSPLTSLPRRPRRWTRSSGMLLSSCCRTSPAM
+>NM_019083|40586_2_1210_1286
+MEDTESQMMALIVCLGFLVLKKRRK
+>DECOY_NM_019083|40586_2_1210_1286
+KRRKKLVLFGLCVILAMMQSETDEM
+>NM_001039768|40593_2_741_865
+MGGAPAATPVTAGRTGPGLPALHRIEKEGAPPQCPLLGSRP
+>DECOY_NM_001039768|40593_2_741_865
+PRSGLLPCQPPAGEKEIRHLAPLGPGTRGATVPTAAPAGGM
+>NM_001005218|40746_2_277_401
+MDVQLSSSSLWGLPLLSATSWPPWPMIAMQRYVGLFITPPP
+>DECOY_NM_001005218|40746_2_277_401
+PPPTIFLGVYRQMAIMPWPPWSTASLLPLGWLSSSSLQVDM
+>NM_001029870|40769_2_436_737
+MDSRAATPVGRPGRAADRRAVPDRGRRCPQLQRQGPRRERAARRRRRRAAAAGNASRTTWLYCRESSAHSRTRPPRRRSRHGLCLPRMTAGLPGSGKKAR
+>DECOY_NM_001029870|40769_2_436_737
+RAKKGSGPLGATMRPLCLGHRSRRRPPRTRSHASSERCYLWTTRSANGAAAARRRRRRAARERRPGQRQLQPCRRGRDPVARRDAARGPRGVPTAARSDM
+>NM_001029870|40771_2_1207_1445
+MAVRRAAVGPKTPRGLLKRGCRLSWEPQIGGSSGIQLGAFLYLGRRAAPAGALRVSETEGMVTSLSRSLQGLMALQATP
+>DECOY_NM_001029870|40771_2_1207_1445
+PTAQLAMLGQLSRSLSTVMGETESVRLAGAPAARRGLYLFAGLQIGSSGGIQPEWSLRCGRKLLGRPTKPGVAARRVAM
+>NM_001029870|40776_3_2156_2259
+MAAVGSSSGQAHFPCLSLSWKFFPYQKGQEQGNI
+>DECOY_NM_001029870|40776_3_2156_2259
+INGQEQGKQYPFFKWSLSLCPFHAQGSSSGVAAM
+>NM_001080482|40785_2_1033_1481
+MGRLHEPTACPTGPAMSPRSPGPTPPPAPFTRRTSEGTASVTSWLGRTRTRAAARPGRTGARDRTAPCKWCRPLTPTRCSPPGTAAPAPVRPGWPPTAATTRAPGTTFWPRGRAEKTRWAAAAATRTCWGARCGSREACPPKAGARPSS
+>DECOY_NM_001080482|40785_2_1033_1481
+SSPRAGAKPPCAERSGCRAGWCTRTAAAAAWRTKEARGRPWFTTGPARTTAATPPWGPRVPAPAATGPPSCRTPTLPRCWKCPATRDRAGTRGPRAAARTRTRGLWSTVSATGESTRRTFPAPPPTPGPSRPSMAPGTPCATPEHLRGM
+>NM_018130|40795_2_279_370
+MEVSKGPMMQIKEFLPFACPKKPLASILRG
+>DECOY_NM_018130|40795_2_279_370
+GRLISALPKKPCAFPLFEKIQMMPGKSVEM
+>NM_001080531|40847_2_358_431
+MEWHIKFGILVIVFRHLQIMENTV
+>DECOY_NM_001080531|40847_2_358_431
+VTNEMIQLHRFVIVLIGFKIHWEM
+>NM_001080531|40851_3_116_201
+MVRFFRDNIHRQLPEKTTGQVHVQPIFF
+>DECOY_NM_001080531|40851_3_116_201
+FFIPQVHVQGTTKEPLQRHINDRFFRVM
+>NM_144567|40893_2_831_958
+MEQRSGQVWNHWVITVNIRCGQEGNLMAVLFASNIPNFHSCQ
+>DECOY_NM_144567|40893_2_831_958
+QCSHFNPINSAFLVAMLNGEQGCRINVTIVWHNWVQGSRQEM
+>NM_144567|40894_2_1173_1246
+MAASALLLCVVTLILFLVLHYIVS
+>DECOY_NM_144567|40894_2_1173_1246
+SVIYHLVLFLILTVVCLLLASAAM
+>NM_018169|40983_2_1270_1361
+MEASLCKVLSILLNTCLWKFLRVEKCCHLK
+>DECOY_NM_018169|40983_2_1270_1361
+KLHCCKEVRLFKWLCTNLLISLVKCLSAEM
+>NM_001080433|41018_2_1598_1737
+MEGALITNTEEAVEEVEAAAEAAGRAPSDGRHRRTGHPITGMSTVA
+>DECOY_NM_001080433|41018_2_1598_1737
+AVTSMGTIPHGTRRHRGDSPARGAAEAAAEVEEVAEETNTILAGEM
+>NM_014702|41059_3_937_1022
+MGKSMEDYAEENRRALPGSKALEENQYQ
+>DECOY_NM_014702|41059_3_937_1022
+QYQNEELAKSGPLARRNEEAYDEMSKGM
+>NM_033255|41104_3_729_802
+MGQKLGLQRFSKGRRKQKIAKDEG
+>DECOY_NM_033255|41104_3_729_802
+GEDKAIKQKRRGKSFRQLGLKQGM
+>NM_182610|41120_2_685_764
+MAGACSLPVTCIFTEAPSETFRETPC
+>DECOY_NM_182610|41120_2_685_764
+CPTERFTESPAETFICTVPLSCAGAM
+>NM_182610|41125_2_1096_1385
+MGKRRLRSRFLQPVMKRMGFALQFLDHLCQEHMHWLQLGGIFLWMKIFRSGPWMMCTASFAAFQVVQTMLRYLKIMQLMEKLCHYSQKSIFEALWD
+>DECOY_NM_182610|41125_2_1096_1385
+DWLAEFISKQSYHCLKEMLQMIKLYRLMTQVVQFAAFSATCMMWPGSRFIKMWLFIGGLQLWHMHEQCLHDLFQLAFGMRKMVPQLFRSRLRRKGM
+>NM_182610|41127_2_1147_1385
+MGFALQFLDHLCQEHMHWLQLGGIFLWMKIFRSGPWMMCTASFAAFQVVQTMLRYLKIMQLMEKLCHYSQKSIFEALWD
+>DECOY_NM_182610|41127_2_1147_1385
+DWLAEFISKQSYHCLKEMLQMIKLYRLMTQVVQFAAFSATCMMWPGSRFIKMWLFIGGLQLWHMHEQCLHDLFQLAFGM
+>NM_001083537|41168_2_841_920
+MGSDGKRKLIMTRNCFPMESTWRWQC
+>DECOY_NM_001083537|41168_2_841_920
+CQWRWTSEMPFCNRTMILKRKGDSGM
+>NM_018074|41180_2_337_422
+MEPRGISRLRSSWRRRRRGCRRSGRTRS
+>DECOY_NM_018074|41180_2_337_422
+SRTRGSRRCGRRRRRWSSRLRSIGRPEM
+>NM_194285|41246_2_505_716
+MGFLLRKSQRRGRQQKAIPAKEPTESMKWKKRMNSSSTITQSQSRSMRKSKNLPKLKANQRSPLKVPHHP
+>DECOY_NM_194285|41246_2_505_716
+PHHPVKLPSRQNAKLKPLNKSKRMSRSQSQTITSSSNMRKKWKMSETPEKAPIAKQQRGRRQSKRLLFGM
+>NM_194285|41254_2_1417_1622
+MAAPAQDLSDQSVGPRSQPMTQIPLGGQSVVHVALDNLQAAQVALGDPSVVQLVLQDPWAALVALAGL
+>DECOY_NM_194285|41254_2_1417_1622
+LGALAVLAAWPDQLVLQVVSPDGLAVQAAQLNDLAVHVVSQGGLPIQTMPQSRPGVSQDSLDQAPAAM
+>NM_023016|41382_2_1456_1559
+MEGTITTITTRLRGGSEAKPRIQGAKPRAALVDV
+>DECOY_NM_023016|41382_2_1456_1559
+VDVLAARPKAGQIRPKAESGGRLRTTITTITGEM
+>NM_031949|41430_2_1040_1212
+MVVNGRSADFFPTFVAGMWTICFCGRKSTAWLFSPFSPLHHLSPLLPIALSSLGLIF
+>DECOY_NM_031949|41430_2_1040_1212
+FILGLSSLAIPLLPSLHHLPSFPSFLWATSKRGCFCITWMGAVFTPFFDASRGNVVM
+>NM_031949|41438_3_1233_1315
+MAFRGQLQPSLDLGLFNRCVGEEKTCP
+>DECOY_NM_031949|41438_3_1233_1315
+PCTKEEGVCRNFLGLDLSPQLQGRFAM
+>NM_001014839|41460_2_695_945
+MALTRPWHSWWGCWLLPRHSAGRRRSPTCWPCCGASVRISRKLRMPASLSSASCCPSFCPRQPCPLNATGICRPGWHASWEAS
+>DECOY_NM_001014839|41460_2_695_945
+SAEWSAHWGPRCIGTANLPCPQRPCFSPCCSASSLSAPMRLKRSIRVSAGCCPWCTPSRRRGASHRPLLWCGWWSHWPRTLAM
+>NM_152486|41481_2_1455_1651
+MARKTSPPKTRTERTPRRQLLGAGGPLRAKLQLEGPAPRGRGFSQGPHCPWASLMPSAPTSTQAR
+>DECOY_NM_152486|41481_2_1455_1651
+RAQTSTPASPMLSAWPCHPGQSFGRGRPAPGELQLKARLPGGAGLLQRRPTRETRTKPPSTKRAM
+>NM_017565|41504_2_1555_1649
+MGSLFTLTTPEGSDDTPMMKSPSSRLSPSAA
+>DECOY_NM_017565|41504_2_1555_1649
+AASPSLRSSPSKMMPTDDSGEPTTLTFLSGM
+>NM_001085382|41601_2_1283_1398
+MGARGCSRCPPTTWRARAPSETSWWPSRVAAASCRCPI
+>DECOY_NM_001085382|41601_2_1283_1398
+IPCRCSAAAVRSPWWSTESPARARWTTPPCRSCGRAGM
+>NM_152352|41639_3_453_541
+MVAFICCPVCCKGKEAIGCSSTPTRSPSP
+>DECOY_NM_152352|41639_3_453_541
+PSPSRTPTSSCGIAEKGKCCVPCCIFAVM
+>NM_020643|41659_3_333_595
+MAGAWLAGKSWRCCQHMGPSKKGSRWFLLPGPNKGHSRAGETGGPACGIRGSSCRRPKAASPAAESGLRRGCHSSLTICRILTETRG
+>DECOY_NM_020643|41659_3_333_595
+GRTETLIRCITLSSHCGRRLGSEAAPSAAKPRRCSSGRIGCAPGGTEGARSHGKNPGPLLFWRSGKKSPGMHQCCRWSKGALWAGAM
+>NM_015545|41688_3_514_590
+MARPEKHPVLVLLAVQTPDQGREAG
+>DECOY_NM_015545|41688_3_514_590
+GAERGQDPTQVALLVLVPHKEPRAM
+>NM_182499|41719_2_890_999
+MECWRSRNLQDSRKERQRCMLAIFHWIFLRRKFCTF
+>DECOY_NM_182499|41719_2_890_999
+FTCFKRRLFIWHFIALMCRQREKRSDQLNRSRWCEM
+>NM_182499|41720_2_1616_1731
+MDTPGTGVGCWTGWTPGLWSCSLILDSWPPSLCSLCAA
+>DECOY_NM_182499|41720_2_1616_1731
+AACLSCLSPPWSDLILSCSWLGPTWGTWCGVGTGPTDM
+>NM_203403|41727_2_1208_1326
+MAVMGWMAFPWEVIWTRWRMMSQAIRPLQTWTNSVTAPS
+>DECOY_NM_203403|41727_2_1208_1326
+SPATVSNTWTQLPRIAQSMMRWRTWIVEWPFAMWGMVAM
+>NM_203403|41728_2_1217_1326
+MGWMAFPWEVIWTRWRMMSQAIRPLQTWTNSVTAPS
+>DECOY_NM_203403|41728_2_1217_1326
+SPATVSNTWTQLPRIAQSMMRWRTWIVEWPFAMWGM
+>NM_033388|41817_2_1745_1860
+MGPFTSGMWTPGNWRADYRDPIALPSTPWPGATPGATW
+>DECOY_NM_033388|41817_2_1745_1860
+WTAGPTAGPWPTSPLAIPDRYDARWNGPTWMGSTFPGM
+>NM_001042405|41864_2_1531_1607
+MVRKVIQRTLGLTGAVANVQLLRNT
+>DECOY_NM_001042405|41864_2_1531_1607
+TNRLLQVNAVAGTLGLTRQIVKRVM
+>NM_001007237|41897_2_2101_2243
+MAPCSQARPTGSAAALGASRWSRCSPTRSAWASSTAGRRTRASMNAM
+>DECOY_NM_001007237|41897_2_2101_2243
+MANMSARTRRGATSSAWASRTPSCRSWRSAGLAAASGTPRAQSCPAM
+>NM_001007237|41905_2_4189_4283
+MGCLCCGSKSHTSTTPLLAWSPLFSVSIQGP
+>DECOY_NM_001007237|41905_2_4189_4283
+PGQISVSFLPSWALLPTTSTHSKSGCCLCGM
+>NM_001007237|41914_3_3980_4179
+MVPADGGGVSPHRHPCSRYKSHPPVHHLLQRRTLLLRLLLPFPHLWHSYHHHPSGAFQEPELQQEL
+>DECOY_NM_001007237|41914_3_3980_4179
+LEQQLEPEQFAGSPHHHYSHWLHPFPLLLRLLLTRRQLLHHVPPHSKYRSCPHRHPSVGGGDAPVM
+>NM_022149|41931_2_285_454
+MAAMMVRPGPRPPRRSAPRRSLAPGGRRGLRSPPSPGKARGPWRPKPWQGAGPTAG
+>DECOY_NM_022149|41931_2_285_454
+GATPGAGQWPKPRWPGRAKGPSPPSRLGRRGGPALSRRPASRRPPRPGPRVMMAAM
+>NM_022149|41933_2_297_454
+MVRPGPRPPRRSAPRRSLAPGGRRGLRSPPSPGKARGPWRPKPWQGAGPTAG
+>DECOY_NM_022149|41933_2_297_454
+GATPGAGQWPKPRWPGRAKGPSPPSRLGRRGGPALSRRPASRRPPRPGPRVM
+>NM_001007527|41967_2_2222_2361
+MDTIEKIPLGTEIFILTPKSQTSQMLIPTVLHSNIPGLITGLKGTG
+>DECOY_NM_001007527|41967_2_2222_2361
+GTGKLGTILGPINSHLVTPILMQSTQSKPTLIFIETGLPIKEITDM
+>NM_001007527|41971_3_780_862
+MDSLTFYAVICKIRRVFHHWKDQNCTN
+>DECOY_NM_001007527|41971_3_780_862
+NTCNQDKWHHFVRRIKCIVAYFTLSDM
+>NM_001007527|41972_3_948_1099
+MEPASDNWDSCCKYMGSVSSCVVVGVWLGGNSSIILEWSKKGLSTYENVF
+>DECOY_NM_001007527|41972_3_948_1099
+FVNEYTSLGKKSWELIISSNGGLWVGVVVCSSVSGMYKCCSDWNDSAPEM
+>NM_001007527|41976_3_1563_1639
+MVLQDTCCGSVHLLCDCCVVRVHIL
+>DECOY_NM_001007527|41976_3_1563_1639
+LIHVRVVCCDCLLHVSGCCTDQLVM
+>NM_014472|42032_2_2045_2301
+MVTAWIHVFYKSYQGIPRAERASRNLDLITTIMKFLILTSVIVNSHMSLKFMTFPKNFVLKTFYGFSAVIKRKDLILNGWMIHMP
+>DECOY_NM_014472|42032_2_2045_2301
+PMHIMWGNLILDKRKIVASFGYFTKLVFNKPFTMFKLSMHSNVIVSTLILFKMITTILDLNRSAREARPIGQYSKYFVHIWATVM
+>NM_153606|42059_2_1626_1993
+MEGSEPRPALKAARRGGKEGKRTGLSEGVPIAAGQVKAATKQGETRLPKSPPAGPHSATEPIEMTKRRKAVATRGAAGTGTRIKVSATRPSQRSPGPLTNLGGAYGPPVPVPARDLAGSALS
+>DECOY_NM_153606|42059_2_1626_1993
+SLASGALDRAPVPVPPGYAGGLNTLPGPSRQSPRTASVKIRTGTGAAGRTAVAKRRKTMEIPETASHPGAPPSKPLRTEGQKTAAKVQGAAIPVGESLGTRKGEKGGRRAAKLAPRPESGEM
+>NM_133475|42167_2_1033_1256
+MAPWRGTNSSCTFCKRRPSAPPHPAPSQRMIQARRHLRTLCPAMESRGPPRSGRRLHLPPAFPCRMIEMPMRRS
+>DECOY_NM_133475|42167_2_1033_1256
+SRRMPMEIMRCPFAPPLHLRRGSRPPGRSEMAPCLTRLHRRAQIMRQSPAPHPPASPRRKCFTCSSNTGRWPAM
+>NM_133475|42169_2_1162_1256
+MESRGPPRSGRRLHLPPAFPCRMIEMPMRRS
+>DECOY_NM_133475|42169_2_1162_1256
+SRRMPMEIMRCPFAPPLHLRRGSRPPGRSEM
+>NM_024611|42233_2_2996_3177
+MEEYLVLFHRNHWIPQHNKRLVERECLHAATGIQFPWKPKAVACLLSKLKLKEWLHIKEK
+>DECOY_NM_024611|42233_2_2996_3177
+KEKIHLWEKLKLKSLLCAVAKPKWPFQIGTAAHLCEREVLRKNHQPIWHNRHFLVLYEEM
+>NM_001002906|42244_3_809_963
+MAFVGDYLTCSDSGILPCISETGELTLSINHIFCIIVDTMAGVFEKWNSSS
+>DECOY_NM_001002906|42244_3_809_963
+SSSNWKEFVGAMTDVIICFIHNISLTLEGTESICPLIGSDSCTLYDGVFAM
+>NM_152285|42248_2_1158_1270
+MAALPHTRCTLPCAFQQVPLSPTLQRAPGGQCPLPAP
+>DECOY_NM_152285|42248_2_1158_1270
+PAPLPCQGGPARQLTPSLPVQQFACPLTCRTHPLAAM
+>NM_032158|42261_3_849_931
+MDACATPGNKDQSLGCSSEEPREDLCL
+>DECOY_NM_032158|42261_3_849_931
+LCLDERPEESSCGLSQDKNGPTACADM
+>NM_020337|42299_2_3465_3544
+MVLIQTMLINLDALLCVLQPKMDILR
+>DECOY_NM_020337|42299_2_3465_3544
+RLIDMKPQLVCLLADLNILMTQILVM
+>NM_020337|42310_3_1384_1457
+MDQKHVVNFGRFSTQVRYPLQTSC
+>DECOY_NM_020337|42310_3_1384_1457
+CSTQLPYRVQTSFRGFNVVHKQDM
+>NM_020337|42311_3_1693_1769
+MEWYTCQRFPFYFDTQGTRSATAVG
+>DECOY_NM_020337|42311_3_1693_1769
+GVATASRTGQTDFYFPFRQCTYWEM
+>NM_017730|42350_2_1850_1935
+MEKVNPMTQMCSTIFSCVFKSIFLKMEG
+>DECOY_NM_017730|42350_2_1850_1935
+GEMKLFISKFVCSFITSCMQTMPNVKEM
+>NM_052844|42484_2_677_864
+MGTGARLSPSCVPGTWTGETCVPSSRRPWWRSPALSCVWPSTPRSPPTSQEGCTVVRCWCGT
+>DECOY_NM_052844|42484_2_677_864
+TGCWCRVVTCGEQSTPPSRPTSPWVCSLAPSRWWPRRSSPVCTEGTWTGPVCSPSLRAGTGM
+>NM_033183|42492_3_425_726
+MGIQGAASATVPPHQCHPGCGEGGLPRVHHRQHHHLCRLLPHHDPRAAGGPAGPASGGVQLPRCALRVHPAPWLPARREPRGLLRRGSQLSMCTLPPQHH
+>DECOY_NM_033183|42492_3_425_726
+HHQPPLTCMSLQSGRRLLGRPERRAPLWPAPHVRLACRPLQVGGSAPGAPGGAARPDHHPLLRCLHHHQRHHVRPLGGEGCGPHCQHPPVTASAAGQIGM
+>NM_001006117|42529_2_682_1265
+MEFLHAERQYLPGEMIACQQDMMVMQLTMEIIQVAKKRGIMLHHLEAMHTVIMVILIGMNIPLEDIEIIEVPEKLGIMLHHLEAMHTVIMVILVGMKVILEDTEIIEVPEKLGSMLHHLEAMDTVIMVILVDMKVILEDIEIIQVPEKPGIMLHHIETMHTVIMVILVGMNIPLEDIVIMMATVRPLVEIILNI
+>DECOY_NM_001006117|42529_2_682_1265
+INLIIEVLPRVTAMMIVIDELPINMGVLIVMIVTHMTEIHHLMIGPKEPVQIIEIDELIVKMDVLIVMIVTDMAELHHLMSGLKEPVEIIETDELIVKMGVLIVMIVTHMAELHHLMIGLKEPVEIIEIDELPINMGILIVMIVTHMAELHHLMIGRKKAVQIIEMTLQMVMMDQQCAIMEGPLYQREAHLFEM
+>NM_001006117|42532_2_748_1265
+MVMQLTMEIIQVAKKRGIMLHHLEAMHTVIMVILIGMNIPLEDIEIIEVPEKLGIMLHHLEAMHTVIMVILVGMKVILEDTEIIEVPEKLGSMLHHLEAMDTVIMVILVDMKVILEDIEIIQVPEKPGIMLHHIETMHTVIMVILVGMNIPLEDIVIMMATVRPLVEIILNI
+>DECOY_NM_001006117|42532_2_748_1265
+INLIIEVLPRVTAMMIVIDELPINMGVLIVMIVTHMTEIHHLMIGPKEPVQIIEIDELIVKMDVLIVMIVTDMAELHHLMSGLKEPVEIIETDELIVKMGVLIVMIVTHMAELHHLMIGLKEPVEIIEIDELPINMGILIVMIVTHMAELHHLMIGRKKAVQIIEMTLQMVM
+>NM_001006117|42534_2_766_1265
+MEIIQVAKKRGIMLHHLEAMHTVIMVILIGMNIPLEDIEIIEVPEKLGIMLHHLEAMHTVIMVILVGMKVILEDTEIIEVPEKLGSMLHHLEAMDTVIMVILVDMKVILEDIEIIQVPEKPGIMLHHIETMHTVIMVILVGMNIPLEDIVIMMATVRPLVEIILNI
+>DECOY_NM_001006117|42534_2_766_1265
+INLIIEVLPRVTAMMIVIDELPINMGVLIVMIVTHMTEIHHLMIGPKEPVQIIEIDELIVKMDVLIVMIVTDMAELHHLMSGLKEPVEIIETDELIVKMGVLIVMIVTHMAELHHLMIGLKEPVEIIEIDELPINMGILIVMIVTHMAELHHLMIGRKKAVQIIEM
+>NM_001006117|42537_2_838_1265
+MVILIGMNIPLEDIEIIEVPEKLGIMLHHLEAMHTVIMVILVGMKVILEDTEIIEVPEKLGSMLHHLEAMDTVIMVILVDMKVILEDIEIIQVPEKPGIMLHHIETMHTVIMVILVGMNIPLEDIVIMMATVRPLVEIILNI
+>DECOY_NM_001006117|42537_2_838_1265
+INLIIEVLPRVTAMMIVIDELPINMGVLIVMIVTHMTEIHHLMIGPKEPVQIIEIDELIVKMDVLIVMIVTDMAELHHLMSGLKEPVEIIETDELIVKMGVLIVMIVTHMAELHHLMIGLKEPVEIIEIDELPINMGILIVM
+>NM_001006117|42541_2_949_1265
+MVILVGMKVILEDTEIIEVPEKLGSMLHHLEAMDTVIMVILVDMKVILEDIEIIQVPEKPGIMLHHIETMHTVIMVILVGMNIPLEDIVIMMATVRPLVEIILNI
+>DECOY_NM_001006117|42541_2_949_1265
+INLIIEVLPRVTAMMIVIDELPINMGVLIVMIVTHMTEIHHLMIGPKEPVQIIEIDELIVKMDVLIVMIVTDMAELHHLMSGLKEPVEIIETDELIVKMGVLIVM
+>NM_001006117|42544_2_1045_1265
+MDTVIMVILVDMKVILEDIEIIQVPEKPGIMLHHIETMHTVIMVILVGMNIPLEDIVIMMATVRPLVEIILNI
+>DECOY_NM_001006117|42544_2_1045_1265
+INLIIEVLPRVTAMMIVIDELPINMGVLIVMIVTHMTEIHHLMIGPKEPVQIIEIDELIVKMDVLIVMIVTDM
+>NM_001006117|42545_2_1060_1265
+MVILVDMKVILEDIEIIQVPEKPGIMLHHIETMHTVIMVILVGMNIPLEDIVIMMATVRPLVEIILNI
+>DECOY_NM_001006117|42545_2_1060_1265
+INLIIEVLPRVTAMMIVIDELPINMGVLIVMIVTHMTEIHHLMIGPKEPVQIIEIDELIVKMDVLIVM
+>NM_001006117|42549_2_1171_1265
+MVILVGMNIPLEDIVIMMATVRPLVEIILNI
+>DECOY_NM_001006117|42549_2_1171_1265
+INLIIEVLPRVTAMMIVIDELPINMGVLIVM
+>NM_001006117|42554_2_1393_1550
+MAEVGRVTRAVVIFIIVIVSMFAEKTKGIRLLWVGCSLILVKHMVAQVMWHL
+>DECOY_NM_001006117|42554_2_1393_1550
+LHWMVQAVMHKVLILSCGVWLLRIGKTKEAFMSVIVIIFIVVARTVRGVEAM
+>NM_133180|42566_2_252_433
+MACIPWRMPPGSWPSWIARAESGHRRCCCECLPTMSRCSTRPPRRSWSRTHWAPSCAVTR
+>DECOY_NM_133180|42566_2_252_433
+RTVACSPAWHTRSWSRRPPRTSCRSMTPLCECCCRRHGSEARAIWSPWSGPPMRWPICAM
+>NM_178554|42610_3_892_968
+MAPGGQHLGQRPGGHHHLQIHLPLQ
+>DECOY_NM_178554|42610_3_892_968
+QLPLHIQLHHHGGPRQGLHQGGPAM
+>NM_203459|42667_2_2656_2780
+MGYLLYERKRRVQKMRKYILIEQKKRNHKKLMDKGASHWQI
+>DECOY_NM_203459|42667_2_2656_2780
+IQWHSAGKDMLKKHNRKKQEILIYKRMKQVRRKREYLLYGM
+>NM_203459|42671_2_3670_3767
+MEKVIKNNLMMTRKYAVDSFLRMIKKQKMIWQ
+>DECOY_NM_203459|42671_2_3670_3767
+QWIMKQKKIMRLFSDVAYKRTMMLNNKIVKEM
+>NM_203459|42672_2_4216_4328
+MEKKTGRMHQQLLQWLLEQNIQDQSSTKNPVQNPIST
+>DECOY_NM_203459|42672_2_4216_4328
+TSIPNQVPNKTSSQDQINQELLWQLLQQHMRGTKKEM
+>NM_152379|42686_2_584_663
+MEKERRESWNRNVPLCWALSLLKRVM
+>DECOY_NM_152379|42686_2_584_663
+MVRKLLSLAWCLPVNRNWSERREKEM
+>NM_138362|42695_2_54_220
+MAVKRATIIPPSPKGIRETLSFRILKIQRYFHGVIMKGAAAALISQREQVDQKAT
+>DECOY_NM_138362|42695_2_54_220
+TAKQDVQERQSILAAAAGKMIVGHFYRQIKLIRFSLTERIGKPSPPIITARKVAM
+>NM_139157|42749_2_1168_1289
+MAADALATAGAYCQVGKGPGCQRCTVSSAALAASACFPRS
+>DECOY_NM_139157|42749_2_1168_1289
+SRPFCASAALAASSVTCRQCGPGKGVQCYAGATALADAAM
+>NM_001031713|42796_2_660_787
+MGLLLNKQKSLCLHWSRSWRPTWTSSTKIWSPRCSRKSLFSK
+>DECOY_NM_001031713|42796_2_660_787
+KSFLSKRSCRPSWIKTSSTWTPRWSRSWHLCLSKQKNLLLGM
+>NM_001004752|42889_3_704_837
+MAQGLQHLCLPCGSSCFLLHPHAEPVLGVSLWSVSPQSSPFSDG
+>DECOY_NM_001004752|42889_3_704_837
+GDSFPSSQPSVSWLSVGLVPEAHPHLLFCSSGCPLCLHQLGQAM
+>NM_014117|42896_2_1107_1186
+MVELESVPQPSVAMSLQTHQPINATE
+>DECOY_NM_014117|42896_2_1107_1186
+ETANIPQHTQLSMAVSPQPVSELEVM
+>NM_001024455|42963_2_1646_1752
+MGARNQKESHSRIQGLRRPMVRWRRSHWMRPKMMT
+>DECOY_NM_001024455|42963_2_1646_1752
+TMMKPRMWHSRRWRVMPRRLGQIRSHSEKQNRAGM
+>NM_015299|43008_2_1247_1431
+MGMPLLRGCPALHLHRNPHGTVETGVTAETGETWGTGETSSRAWHGVGGLNGNEAPEGATW
+>DECOY_NM_015299|43008_2_1247_1431
+WTAGEPAENGNLGGVGHWARSSTEGTGWTEGTEATVGTEVTGHPNRHLHLAPCGRLLPMGM
+>NM_213618|43048_2_1408_1634
+MVYLLHPHLLLHLPCPPPQPRQSPGDPRRTCVVTASPRAENPLSLRMHPVSSPCTPLLPLRMVLRTNPSLDPKAL
+>DECOY_NM_213618|43048_2_1408_1634
+LAKPDLSPNTRLVMRLPLLPTCPSSVPHMRLSLPNEARPSATVVCTRRPDGPSQRPQPPPCPLHLLLHPHLLYVM
+>NM_213618|43055_3_695_780
+MGRSPRGVAQDEHVWREAGGLWERVGGQ
+>DECOY_NM_213618|43055_3_695_780
+QGGVREWLGGAERWVHEDQAVGRPSRGM
+>NM_145026|43087_2_762_952
+MGIVLSGHFTQGLAATSTPTTSESSASLMESSSATRGLYQRGRWTSALGERNTILIPGMESQS
+>DECOY_NM_145026|43087_2_762_952
+SQSEMGPILITNREGLASTWRGRQYLGRTASSSEMLSASSESTTPTSTAALGQTFHGSLVIGM
+>NM_001005338|43098_2_754_854
+MDPFSSFMWALHLRKQMIKIWWSLYSTLSSFLC
+>DECOY_NM_001005338|43098_2_754_854
+CLFSSLTSYLSWWIKIMQKRLHLAWMFSSFPDM
+>NM_001042552|43109_2_257_333
+MGLSCHAWVFIQFKDFHQKTKEVSH
+>DECOY_NM_001042552|43109_2_257_333
+HSVEKTKQHFDKFQIFVWAHCSLGM
+>NM_144698|43129_2_364_578
+MEALPYTWPPSPASHSVLRFCFSMVLMKMLWMQKTVVHCTGQPPLAVPQVCSCCVTTKPSWTCWIMMDVHP
+>DECOY_NM_144698|43129_2_364_578
+PHVDMMIWCTWSPKTTVCCSCVQPVALPPQGTCHVVTKQMWLMKMLVMSFCFRLVSHSAPSPPWTYPLAEM
+>NM_144698|43130_2_433_578
+MVLMKMLWMQKTVVHCTGQPPLAVPQVCSCCVTTKPSWTCWIMMDVHP
+>DECOY_NM_144698|43130_2_433_578
+PHVDMMIWCTWSPKTTVCCSCVQPVALPPQGTCHVVTKQMWLMKMLVM
+>NM_014388|43182_2_500_624
+MGKSHRAHHKHPPKSSQMQNTSHCSAWKPIFWKRKVETTLL
+>DECOY_NM_014388|43182_2_500_624
+LLTTEVKRKWFIPKWASCHSTNQMQSSKPPHKHHARHSKGM
+>NM_032352|43211_2_253_377
+MEIAQKWMMKTVKEEEWNVWMKCPILKNSLPISKINFIKND
+>DECOY_NM_032352|43211_2_253_377
+DNKIFNIKSIPLSNKLIPCKMWVNWEEEKVTKMMWKQAIEM
+>NM_001013842|43283_3_846_1063
+MGAAKPDRAHRSKGCFTPKGGGAQCQPSQAARNPSGANVPLAILPGTQAGYLPLGQGQGPAQPDLPEKPPPP
+>DECOY_NM_001013842|43283_3_846_1063
+PPPPKEPLDPQAPGQGQGLPLYGAQTGPLIALPVNAGSPNRAAQSPQCQAGGGKPTFCGKSRHARDPKAAGM
+>NM_001012420|43302_2_1665_1753
+MEEDIWTVRGRRHLGPFLASHRTWRAGRP
+>DECOY_NM_001012420|43302_2_1665_1753
+PRGARWTRHSALFPGLHRRGRVTWIDEEM
+>NM_001004690|43335_2_754_854
+MEQVCSCTYGPHLIAPLCRTSWCLYSTPSSLPC
+>DECOY_NM_001004690|43335_2_754_854
+CPLSSPTSYLCWSTRCLPAILHPGYTCSCVQEM
+>NM_020729|43406_2_366_463
+MEVIQKNSFAILKLYQRKKIYHGVPVKVISAA
+>DECOY_NM_020729|43406_2_366_463
+AASIVKVPVGHYIKKRQYLKLIAFSNKQIVEM
+>NM_015622|43430_2_439_548
+MENQLLNIKRRSCWTRFIARCCGSATACTSFLMVHF
+>DECOY_NM_015622|43430_2_439_548
+FHVMLFSTCATASGCCRAIFRTWCSRRKINLLQNEM
+>NM_020946|43457_2_1602_2011
+MAAPPPQKSSCQRLHRPHWWRPRTPSSEKTGGQSQSTLDRCAHLVHMLLRDQRATSQWKAGGRLCRALSSRSRIGHSGSQTARKATRQRVQSSKCGSPQALSQLPLTGLPASTFWKTSSATWTWRPHCSHWARPRA
+>DECOY_NM_020946|43457_2_1602_2011
+ARPRAWHSCHPRWTWTASSTKWFTSAPLGTLPLQSLAQPSGCKSSQVRQRTAKRATQSGSHGIRSRSSLARCLRGGAKWQSTARQDRLLMHVLHACRDLTSQSQGGTKESSPTRPRWWHPRHLRQCSSKQPPPAAM
+>NM_013280|43494_2_1938_2092
+MALPPHSTRSRTLAPWRACPWRASSAGQWLWSSSSWSWGPSAGTCTRLASC
+>DECOY_NM_013280|43494_2_1938_2092
+CSALRTCTGASPGWSWSSSSWLWQGASSARWPCARWPALTRSRTSHPPLAM
+>NM_017611|43555_2_770_885
+MEHLTLPRQSSLLLSFFMKKASASGPPSSSSLSAVPGM
+>DECOY_NM_017611|43555_2_770_885
+MGPVASLSSSSPPGSASAKKMFFSLLLSSQRPLTLHEM
+>NM_017611|43556_2_950_1146
+MACALGMAPQRKRRKQLSMKTGSYSQRSSFQRRKRPQGQGRSRNSAPSGATLSLGALPGTWCGCL
+>DECOY_NM_017611|43556_2_950_1146
+LCGCWTGPLAGLSLTAGSPASNRSRGQGQPRKRRQFSSRQSYSGTKMSLQKRRKRQPAMGLACAM
+>NM_017611|43557_2_968_1146
+MAPQRKRRKQLSMKTGSYSQRSSFQRRKRPQGQGRSRNSAPSGATLSLGALPGTWCGCL
+>DECOY_NM_017611|43557_2_968_1146
+LCGCWTGPLAGLSLTAGSPASNRSRGQGQPRKRRQFSSRQSYSGTKMSLQKRRKRQPAM
+>NM_017611|43560_2_1286_1395
+MACSWTGLNRSTRRKQERQVPPLWRWPSARRCLRWP
+>DECOY_NM_017611|43560_2_1286_1395
+PWRLCRRASPWRWLPPVQREQKRRTSRNLGTWSCAM
+>NM_199181|43642_2_1063_1235
+MEVAVGVLRHAWPSPRWVAESAGRAWQSCGDTCSLSKRRPSCCGAPLPSSRTRTSCC
+>DECOY_NM_199181|43642_2_1063_1235
+CCSTRTRSSPLPAGCCSPRRKSLSCTDGCSQWARGASEAVWRPSPWAHRLVGVAVEM
+>NM_017704|43664_2_164_276
+MEYQTKRIPWIFLNTLTNLNCWKHMDTLFLLVLKVFG
+>DECOY_NM_017704|43664_2_164_276
+GFVKLVLLFLTDMHKWCNLNTLTNLFIWPIRKTQYEM
+>NM_174896|43673_2_70_179
+MAPHVNPTLKDKALSPQQPQQLALHPVSLTTTTKNI
+>DECOY_NM_174896|43673_2_70_179
+INKTTTTLSVPHLALQQPQQPSLAKDKLTPNVHPAM
+>NM_174896|43676_3_305_468
+MGPSSFWHHGRSLQAPGPRSSLRSSSQAFIHPRGITYLCQHNFQTLRRKEQSLG
+>DECOY_NM_174896|43676_3_305_468
+GLSQEKRRLTQFNHQCLYTIGRPHIFAQSSSRLSSRPGPAQLSRGHHWFSSPGM
+>NM_178516|43716_2_1463_1620
+MGPTQTRLAPITHQCQPLCCRSWKRTFVWPAWSVSHCNSECMAWHCQNWAHS
+>DECOY_NM_178516|43716_2_1463_1620
+SHAWNQCHWAMCESNCHSVSWAPWVFTRKWSRCCLPQCQHTIPALRTQTPGM
+>NM_080612|43766_2_415_545
+MVQIPWRASLTRPPPCSHPLPAPFLPPMLPAPLCQEMTQTLMP
+>DECOY_NM_080612|43766_2_415_545
+PMLTQTMEQCLPAPLMPPLFPAPLPHSCPPPRTLSARWPIQVM
+>NM_080612|43770_2_1504_1601
+MVLILQDFLLILFPEKTKKATSKWRSTEQPVP
+>DECOY_NM_080612|43770_2_1504_1601
+PVPQETSRWKSTAKKTKEPFLILLFDQLILVM
+>NM_024763|43927_2_1728_1837
+MAQLQFTMYGATVMFQFWIVVNHLKNIWDLYGNYSG
+>DECOY_NM_024763|43927_2_1728_1837
+GSYNGYLDWINKLHNVVIWFQFMVTAGYMTFQLQAM
+>NM_025145|44040_2_723_826
+MGHFLMKRMSFSPSRCRKISSMVPCCHCQPLPGW
+>DECOY_NM_025145|44040_2_723_826
+WGPLPQCHCCPVMSSIKRCRSPSFSMRKMLFHGM
+>NM_025145|44044_2_1464_1585
+MARSTSSAYMIRNPLRSCTRPFSRNRPCSTSFMISKEYFC
+>DECOY_NM_025145|44044_2_1464_1585
+CFYEKSIMFSTSCPRNRSFPRTCSRLPNRIMYASSTSRAM
+>NM_033122|44157_2_96_238
+MVCPKFILILQQKAVKHQLQQPFSLGLTMLFPNQKQLLLQKETTSLQ
+>DECOY_NM_033122|44157_2_96_238
+QLSTTEKQLLLQKQNPFLMTLGLSFPQQLQHKVAKQQLILIFKPCVM
+>NM_205846|44179_3_304_533
+MAQSSGKKHGHTESLPYVDTVCIHEGRVCLPGKYGKPKLDRVHSKRQDFNHRGWISQLCFRNFCQHILTTGSPEGN
+>DECOY_NM_205846|44179_3_304_533
+NGEPSGTTLIHQCFNRFCLQSIWGRHNFDQRKSHVRDLKPKGYKGPLCVRGEHICVTDVYPLSETHGHKKGSSQAM
+>NM_018999|44354_2_354_469
+MALIVHHLIHLIGGKQINISFVHKVSKSLTILKIHMIK
+>DECOY_NM_018999|44354_2_354_469
+KIMHIKLITLSKSVKHVFSINIQKGGILHILHHVILAM
+>NM_018999|44360_2_1521_1594
+MGHHRICLIPPRKMKKPSVKLMNG
+>DECOY_NM_018999|44360_2_1521_1594
+GNMLKVSPKKMKRPPILCIRHHGM
+>NM_018999|44364_2_2262_2356
+MEVVHCMIFNCHCHPVQNQKMVIKYIRMKIY
+>DECOY_NM_018999|44364_2_2262_2356
+YIKMRIYKIVMKQNQVPHCHCNFIMCHVVEM
+>NM_032296|44434_2_520_650
+MGWDPSPRKCTASCPWRWLAPPPSPAPCRSCQLRSREGWSSQP
+>DECOY_NM_032296|44434_2_520_650
+PQSSWGERSRLQCSRCPAPSPPPALWRWPCSATCKRPSPDWGM
+>NM_016644|44594_2_754_848
+MEAYQVDLTKFQMEISAAYPTVTWTRLQSSF
+>DECOY_NM_016644|44594_2_754_848
+FSSQLRTWTVTPYAASIEMQFKTLDVQYAEM
+>NM_017729|44647_3_113_276
+MAKKDLGEQPGRGGADPRGHPGGSAQLPLGPRGAQGGGAQGHAGGVAARPLARR
+>DECOY_NM_017729|44647_3_113_276
+RRALPRAAVGGAHGQAGGGQAGRPGLPLQASGGPHGRPDAGGRGPQEGLDKKAM
+>NM_001002912|44716_3_974_1056
+MEKEKISSNHCSKWLRTSFDKGFKKDS
+>DECOY_NM_001002912|44716_3_974_1056
+SDKKFGKDFSTRLWKSCHNSSIKEKEM
+>NM_014856|44757_2_2511_2638
+MDSQSYGLSCLSLFKSNLGPCLCQALPVAPPAVLLLAVPNRR
+>DECOY_NM_014856|44757_2_2511_2638
+RRNPVALLLVAPPAVPLAQCLCPGLNSKFLSLCSLGYSQSDM
+>NM_014856|44758_2_2715_2857
+MGCGSCVCLPMCGRHPPECRHCTQPTMCCARWRAARWCSLMRCVTGY
+>DECOY_NM_014856|44758_2_2715_2857
+YGTVCRMLSCWRAARWRACCMTPQTCHRCEPPHRGCMPLCVCSGCGM
+>NM_014856|44760_2_2877_3073
+MGSLCCLCGSCWRCVRQALCPTPSPMATTIRLCWKASGRLAHQVGVCAGPSSGMLSWGLLSSASP
+>DECOY_NM_014856|44760_2_2877_3073
+PSASSLLGWSLMGSSPGACVGVQHALRGSAKWCLRITTAMPSPTPCLAQRVCRWCSGCLCCLSGM
+>NM_015264|44811_3_266_360
+MGSPAGRHAFLCAPEAGVLRQRKRCRREEGS
+>DECOY_NM_015264|44811_3_266_360
+SGEERRCRKRQRLVGAEPACLFAHRGAPSGM
+>NM_016094|44827_2_516_604
+MEITTPKFCRQTQPPCSIWFNNWNKHWKR
+>DECOY_NM_016094|44827_2_516_604
+RKWHKNWNNFWISCPPQTQRCFKPTTIEM
+>NM_153823|44842_2_790_995
+MAGPSTWPGSPSPAAWRRLSPPSTRTPGWCWSSSASIVRASRKTRTAYHITISVSLGGCQVQPPPWVL
+>DECOY_NM_153823|44842_2_790_995
+LVWPPPQVQCGGLSVSITIHYATRTKRSARVISASSSWCWGPTRTSPPSLRRWAAPSPSGPWTSPGAM
+>NM_001080455|44944_2_403_485
+MGHRLILRTAAKKTESRLAACPARPWF
+>DECOY_NM_001080455|44944_2_403_485
+FWPRAPCAALRSETKKAATRLILRHGM
+>NM_001080455|44946_3_878_969
+MDCAERQVHGSEDSCCNNGNYRHCHDGICR
+>DECOY_NM_001080455|44946_3_878_969
+RCIGDHCHRYNGNNCCSDESGHVQREACDM
+>NM_001080455|44947_3_1196_1419
+MGLSLWDGRAVAGLQHPGECWGGADIPNPNLHWDSAQRSWKCSCGSPKAGGDIQCCPPGCYHHHLHWVSADAVA
+>DECOY_NM_001080455|44947_3_1196_1419
+AVADASVWHLHHHYCGPPCCQIDGGAKPSGCSCKWSRQASDWHLNPNPIDAGGWCEGPHQLGAVARGDWLSLGM
+>NM_001080113|44954_2_452_630
+MAGRQSHAIQTQLFCSLGPFPEKEKPRWSGHSHELSGNKNSDPGGRERTVHPSGGCHPL
+>DECOY_NM_001080113|44954_2_452_630
+LPHCGGSPHVTRERGGPDSNKNGSLEHSHGSWRPKEKEPFPGLSCFLQTQIAHSQRGAM
+>NM_001009608|45077_2_421_563
+MAFKSQPISSREGYAFAASGAHRMLNSVYSLTDLWFVSVSLHSVVIF
+>DECOY_NM_001009608|45077_2_421_563
+FIVVSHLSVSVFWLDTLSYVSNLMRHAGSAAFAYGERSSIPQSKFAM
+>NM_152614|45170_2_595_731
+MALRLPAPPSLLRRKLSIQRPHTLKLKLYHLMSPQWQWGQMWWTA
+>DECOY_NM_152614|45170_2_595_731
+ATWWMQGWQWQPSMLHYLKLKLTHPRQISLKRRLLSPPAPLRLAM
+>NM_015349|45447_2_383_552
+MDCHFQVASSFLKMNSSLLLFLISLRTNLSTFFRNPCKRPISLNRHWQKRHIWMPV
+>DECOY_NM_015349|45447_2_383_552
+VPMWIHRKQWHRNLSIPRKCPNRFFTSLNTRLSILFLLLSSNMKLFSSAVQFHCDM
+>NM_015349|45450_2_719_807
+MALCNMWGSVFPASICLIAVRLVVLVKYS
+>DECOY_NM_015349|45450_2_719_807
+SYKVLVVLRVAILCISAPFVSGWMNCLAM
+>NM_015349|45454_2_2696_2769
+MAVKQAALCNRQPRPKAETEPKPV
+>DECOY_NM_015349|45454_2_2696_2769
+VPKPETEAKPRPQRNCLAAQKVAM
+>NM_198841|45523_3_1302_1456
+MADDLLCRLALVALPLDPLVQPGLLERAVEEDEELDVAAEAGLGQRRQKPL
+>DECOY_NM_198841|45523_3_1302_1456
+LPKQRRQGLGAEAAVDLEEDEEVARELLGPQVLPDLPLAVLALRCLLDDAM
+>NM_032816|45587_2_456_601
+MGTKRTLKLSCHPAARNWGMSVPGRTEEATVMTCTLCHTEIRCHCYMR
+>DECOY_NM_032816|45587_2_456_601
+RMYCHCRIETHCLTCTMVTAEETRGPVSMGWNRAAPHCSLKLTRKTGM
+>NM_032816|45591_3_1354_1478
+MASASDSSKTGFRGKQVVAGAVGDSAKESQGQPPGAPPRSF
+>DECOY_NM_032816|45591_3_1354_1478
+FSRPPAGPPQGQSEKASDGVAGAVVQKGRFGTKSSDSASAM
+>NM_015431|45605_2_991_1127
+MENHGGMSPTTLSDLTHGPASWVCRASHQGGITGRFWWEKEQSGV
+>DECOY_NM_015431|45605_2_991_1127
+VGSQEKEWWFRGTIGGQHSARCVWSAPGHTLDSLTTPSMGGHNEM
+>NM_152587|45633_2_473_567
+MAGGQFLIHFGYLLMVWWWKTKRKVNSISLN
+>DECOY_NM_152587|45633_2_473_567
+NLSISNVKRKTKWWWVMLLYGFHILFQGGAM
+>NM_152587|45635_2_896_975
+MEETYQRCKWEYQMILTMKMFIKNQM
+>DECOY_NM_152587|45635_2_896_975
+MQNKIFMKMTLIMQYEWKCRQYTEEM
+>NM_024515|45650_2_306_517
+MAPVKTQGAIAFHWLSLGEAIGDLAPARGYSGPGRSLKSPSPSKSLLVLLCGRAMFQPATCPWQLPALSK
+>DECOY_NM_024515|45650_2_306_517
+KSLAPLQWPCTAPQFMARGCLLVLLSKSPSPSKLSRGPGSYGRAPALDGIAEGLSLWHFAIAGQTKVPAM
+>NM_024515|45651_3_931_1043
+MERRGLRALPADLLPAHRGSAGRPVGSLWPAHPQWWL
+>DECOY_NM_024515|45651_3_931_1043
+LWWQPHAPWLSGVPRGASGRHAPLLDAPLARLGRREM
+>NM_001081573|45669_2_415_548
+MVQQIPWRASLTRPPPCSHPLPAPFLPPMLPAPLCQEMTQTLMP
+>DECOY_NM_001081573|45669_2_415_548
+PMLTQTMEQCLPAPLMPPLFPAPLPHSCPPPRTLSARWPIQQVM
+>NM_017736|45997_2_985_1121
+MGKKLNWNLRTNQTKTTQQKEKITSRYQRILRSWGRQNQRLIHRW
+>DECOY_NM_017736|45997_2_985_1121
+WRHILRQNQRGWSRLIRQYRSTIKEKQQTTKTQNTRLNWNLKKGM
+>NM_024554|46035_2_415_575
+MEVPPERCPPAPVPWTSSSSLSQTTSSRTWWCRQTCMPRSSRSGLGATEPGWR
+>DECOY_NM_024554|46035_2_415_575
+RWGPETAGLGSRSSRPMCTQRCWWTRSSTTQSLSSSSTWPVPAPPCREPPVEM
+>NM_153376|46211_2_619_944
+MGKDARPKARKRGSASMEGTSLRTSSGPRRSRSCRSSSCAATSWTSTVPCWWSGTAPSATTYTCSTRSSRRCAERRAWRPLRWLTGAQRPRPPRKSKRTCAIWACWRS
+>DECOY_NM_153376|46211_2_619_944
+SRWCAWIACTRKSKRPPRPRQAGTLWRLPRWARREACRRSSRTSCTYTTASPATGSWWCPVTSTWSTAACSSSRCSRSRRPGSSTRLSTGEMSASGRKRAKPRADKGM
+>NM_176816|46367_2_887_1005
+MGPEGTPVLVPEWQHPLGNCFFSSNKSWKFCRRVKKKLT
+>DECOY_NM_176816|46367_2_887_1005
+TLKKKVRRCFKWSKNSSFFCNGLPHQWEPVLVPTGEPGM
+>NM_176816|46368_2_1139_1266
+MDFHHQGVRRPSGRDCWVCSLQKTVLRGWKTRTVLKRSLRCS
+>DECOY_NM_176816|46368_2_1139_1266
+SCRLSRKLVTRTKWGRLVTKQLSCVWCDRGSPRRVGQHHFDM
+>NM_001040702|46375_2_108_190
+MGLPVAGRNVAAKNTELEDVPTPMHAV
+>DECOY_NM_001040702|46375_2_108_190
+VAHMPTPVDELETNKAAVNRGAVPLGM
+>NM_198468|46468_2_1761_1834
+MALILGNKSKEEYIQNSIKKEWKN
+>DECOY_NM_198468|46468_2_1761_1834
+NKWEKKISNQIYEEKSKNGLILAM
+>NM_016004|46502_2_438_511
+MESWLIMMLWLEMYITNISILKKL
+>DECOY_NM_016004|46502_2_438_511
+LKKLISINTIYMELWLMMILWSEM
+>NM_198520|46591_3_575_678
+MDPRLCIKISGSFISSGKMGNTSISCHSVQYSFT
+>DECOY_NM_198520|46591_3_575_678
+TFSYQVSHCSISTNGMKGSSIFSGSIKICLRPDM
+>NM_001006120|46599_2_683_1266
+MEFLHAERQYLPGEMIACQQDMMVMQLTMEIIQVAKKRGIMLHHLEAMHTVIMVILIGMNIPLEDIEIIEVPEKLGIMLHHLEAMHTVIMVILVGMKVILEDTEIVEVPEKLGSMLHHLEAMDTVIMVILVDMKVILEDIEIIQVPEKPGIMLHHIETMHTVIMVILVGMNIPLEDIVIMMATVRPLVEIILNI
+>DECOY_NM_001006120|46599_2_683_1266
+INLIIEVLPRVTAMMIVIDELPINMGVLIVMIVTHMTEIHHLMIGPKEPVQIIEIDELIVKMDVLIVMIVTDMAELHHLMSGLKEPVEVIETDELIVKMGVLIVMIVTHMAELHHLMIGLKEPVEIIEIDELPINMGILIVMIVTHMAELHHLMIGRKKAVQIIEMTLQMVMMDQQCAIMEGPLYQREAHLFEM
+>NM_001006120|46602_2_749_1266
+MVMQLTMEIIQVAKKRGIMLHHLEAMHTVIMVILIGMNIPLEDIEIIEVPEKLGIMLHHLEAMHTVIMVILVGMKVILEDTEIVEVPEKLGSMLHHLEAMDTVIMVILVDMKVILEDIEIIQVPEKPGIMLHHIETMHTVIMVILVGMNIPLEDIVIMMATVRPLVEIILNI
+>DECOY_NM_001006120|46602_2_749_1266
+INLIIEVLPRVTAMMIVIDELPINMGVLIVMIVTHMTEIHHLMIGPKEPVQIIEIDELIVKMDVLIVMIVTDMAELHHLMSGLKEPVEVIETDELIVKMGVLIVMIVTHMAELHHLMIGLKEPVEIIEIDELPINMGILIVMIVTHMAELHHLMIGRKKAVQIIEMTLQMVM
+>NM_001006120|46604_2_767_1266
+MEIIQVAKKRGIMLHHLEAMHTVIMVILIGMNIPLEDIEIIEVPEKLGIMLHHLEAMHTVIMVILVGMKVILEDTEIVEVPEKLGSMLHHLEAMDTVIMVILVDMKVILEDIEIIQVPEKPGIMLHHIETMHTVIMVILVGMNIPLEDIVIMMATVRPLVEIILNI
+>DECOY_NM_001006120|46604_2_767_1266
+INLIIEVLPRVTAMMIVIDELPINMGVLIVMIVTHMTEIHHLMIGPKEPVQIIEIDELIVKMDVLIVMIVTDMAELHHLMSGLKEPVEVIETDELIVKMGVLIVMIVTHMAELHHLMIGLKEPVEIIEIDELPINMGILIVMIVTHMAELHHLMIGRKKAVQIIEM
+>NM_001006120|46607_2_839_1266
+MVILIGMNIPLEDIEIIEVPEKLGIMLHHLEAMHTVIMVILVGMKVILEDTEIVEVPEKLGSMLHHLEAMDTVIMVILVDMKVILEDIEIIQVPEKPGIMLHHIETMHTVIMVILVGMNIPLEDIVIMMATVRPLVEIILNI
+>DECOY_NM_001006120|46607_2_839_1266
+INLIIEVLPRVTAMMIVIDELPINMGVLIVMIVTHMTEIHHLMIGPKEPVQIIEIDELIVKMDVLIVMIVTDMAELHHLMSGLKEPVEVIETDELIVKMGVLIVMIVTHMAELHHLMIGLKEPVEIIEIDELPINMGILIVM
+>NM_001006120|46611_2_950_1266
+MVILVGMKVILEDTEIVEVPEKLGSMLHHLEAMDTVIMVILVDMKVILEDIEIIQVPEKPGIMLHHIETMHTVIMVILVGMNIPLEDIVIMMATVRPLVEIILNI
+>DECOY_NM_001006120|46611_2_950_1266
+INLIIEVLPRVTAMMIVIDELPINMGVLIVMIVTHMTEIHHLMIGPKEPVQIIEIDELIVKMDVLIVMIVTDMAELHHLMSGLKEPVEVIETDELIVKMGVLIVM
+>NM_001006120|46624_2_1394_1551
+MAEVGRVTRAVVIFIIVIVSMFAEKTKGIRLLWVGCSLILVKHVVAQVMWHL
+>DECOY_NM_001006120|46624_2_1394_1551
+LHWMVQAVVHKVLILSCGVWLLRIGKTKEAFMSVIVIIFIVVARTVRGVEAM
+>NM_207335|46669_2_808_962
+MVWRLPVFCFALATILQESDQDIGAMGMPVFVMILYHGKPISSHLPSTERV
+>DECOY_NM_207335|46669_2_808_962
+VRETSPLHSSIPKGHYLIMVFVPMGMAGIDQDSEQLITALAFCFVPLRWVM
+>NM_207335|46670_2_883_962
+MGMPVFVMILYHGKPISSHLPSTERV
+>DECOY_NM_207335|46670_2_883_962
+VRETSPLHSSIPKGHYLIMVFVPMGM
+>NM_207459|46769_3_603_679
+MGARDPGSSPRRVGRCRSGPPLCPH
+>DECOY_NM_207459|46769_3_603_679
+HPCLPPGSRCRGVRRPSSGPDRAGM
+>NM_014987|46814_2_610_707
+MAAGSTSPSTPLPPLQKHPPSTSRPRRVVVSP
+>DECOY_NM_014987|46814_2_610_707
+PSVVVRRPRSTSPPHKQLPPLPTSPSTSGAAM
+>NM_014987|46817_2_1366_1478
+MAPFELRRPQRRLLALIPVCLTTLWGPWASLPLRGLS
+>DECOY_NM_014987|46817_2_1366_1478
+SLGRLPLSAWPGWLTTLCVPILALLRRQPRRLEFPAM
+>NM_014987|46819_2_2215_2309
+MASPAPKESSLPRICHRTRGMSSGFWPSCRI
+>DECOY_NM_014987|46819_2_2215_2309
+IRCSPWFGSSMGRTRHCIRPLSSEKPAPSAM
+>NM_014987|46820_2_3118_3206
+MASIMGTSAAAALGRWSRPRSTCQKWAAP
+>DECOY_NM_014987|46820_2_3118_3206
+PAAWKQCTSRPRSWRGLAAAASTGMISAM
+>NM_014987|46821_2_3130_3206
+MGTSAAAALGRWSRPRSTCQKWAAP
+>DECOY_NM_014987|46821_2_3130_3206
+PAAWKQCTSRPRSWRGLAAAASTGM
+>NM_001080427|46885_2_2827_2915
+MEDLLTPPSAAALVTFKKNVSFPAHLIAS
+>DECOY_NM_001080427|46885_2_2827_2915
+SAILHAPFSVNKKFTVLAAASPPTLLDEM
+>NM_001080427|46886_2_3004_3104
+MEDDHVPNWISRIRLRYMRQSHVTVSAISIPGL
+>DECOY_NM_001080427|46886_2_3004_3104
+LGPISIASVTVHSQRMYRLRIRSIWNPVHDDEM
+>NM_183387|47054_2_4057_4142
+MGAMTVMLQGRMKSVTPSEPYQQIFAQC
+>DECOY_NM_183387|47054_2_4057_4142
+CQAFIQQYPESPTVSKMRGQLMVTMAGM
+>NM_183387|47066_3_4706_4854
+MAGRCQNCQQSWSQSTYFCGRIPTRFRYPVCLSGSKTCEVLDPGRKSSS
+>DECOY_NM_183387|47066_3_4706_4854
+SSSKRGPDLVECTKSGSLCVPYRFRTPIRGCFYTSQSWSQQCNQCRGAM
+>NM_018148|47091_3_438_655
+MGKHLWYPGQASAHLCWCGSHCCSTCVFEDQLSDERFQRSNAPSVNSDQSDDNPDIVCQNRVPCKGAVQRCN
+>DECOY_NM_018148|47091_3_438_655
+NCRQVAGKCPVRNQCVIDPNDDSQDSNVSPANSRQFREDSLQDEFVCTSCCHSGCWCLHASAQGPYWLHKGM
+>NM_015038|47181_2_2644_2741
+MAVCPRETAVKLRGMVRSALRGSPSVLLLIMN
+>DECOY_NM_015038|47181_2_2644_2741
+NMILLLVSPSGRLASRVMGRLKVATERPCVAM
+>NM_015038|47184_2_2914_3044
+MVRQKSWIIKPHFWRIKLQHISTETSQSRSSRISRGSPQSQRF
+>DECOY_NM_015038|47184_2_2914_3044
+FRQSQPSGRSIRSSRSQSTETSIHQLKIRWFHPKIIWSKQRVM
+>NM_015038|47186_2_3664_4397
+MVHQKGLSPQLPQCMLQRSLILQLSECPPQRSPPPQLLQCPPQRSPPPQLLQCPPQRSPPPQLLQCPPQRSPPPQLLQCPPQRSPPPQLLQCPPQRSPPPQLLQCPPQRSPPPQLLQCPPQRSPPPQLLQCPPQRSPPPQLLQCPPQRSPPPQLLQCPPQRSPPPQLLQCPPQRSLPSQLLQCPPQRNPPPQLLQCPPPRNLPPQLLQCPPQQNLPPLQLWWPPWRNPLPRQLQCPPLQLWWPP
+>DECOY_NM_015038|47186_2_3664_4397
+PPWWLQLPPCQLQRPLPNRWPPWWLQLPPLNQQPPCQLLQPPLNRPPPCQLLQPPPNRQPPCQLLQSPLSRQPPCQLLQPPPSRQPPCQLLQPPPSRQPPCQLLQPPPSRQPPCQLLQPPPSRQPPCQLLQPPPSRQPPCQLLQPPPSRQPPCQLLQPPPSRQPPCQLLQPPPSRQPPCQLLQPPPSRQPPCQLLQPPPSRQPPCQLLQPPPSRQPPCESLQLILSRQLMCQPLQPSLGKQHVM
+>NM_015038|47192_3_3239_3402
+MDTRPPETFPDCCSTHCQRCPRCCTAQPRGGYLNCCSACPRGNCCSCCFSALST
+>DECOY_NM_015038|47192_3_3239_3402
+TSLASFCCSCCNGRPCASCCNLYGGRPQATCCRPCRQCHTSCCDPFTEPPRTDM
+>NM_030632|47247_2_251_342
+MEHSSKSLESQASMLSKKRSRHAQQMARWI
+>DECOY_NM_030632|47247_2_251_342
+IWRAMQQAHRSRKKSLMSAQSELSKSSHEM
+>NM_030632|47258_2_5801_6006
+MAASTLTLVPHTDSSFTKCLWLPGAPFLLQLCYRPLPRPQWGVMHLPSTGILNRRDWERLVFPQHLTS
+>DECOY_NM_030632|47258_2_5801_6006
+STLHQPFVLREWDRRNLIGTSPLHMVGWQPRPLPRYCLQLLFPAGPLWLCKTFSSDTHPVLTLTSAAM
+>NM_017561|47348_2_1021_1322
+MAPRPRLPACHHPGPRGQRRPRPTCHHPGPRGQRRPTPTCHHPGPRGQRRPRSLRRSPLKWCRSMWTSWRSCWGLTLGTQGSLRDNGKRAKWSSRRKRTG
+>DECOY_NM_017561|47348_2_1021_1322
+GTRKRRSSWKARKGNDRLSGQTGLTLGWCSRWSTWMSRCWKLPSRRLSRPRRQGRPGPHHCTPTPRRQGRPGPHHCTPRPRRQGRPGPHHCAPLRPRPAM
+>NM_017561|47350_2_1567_1781
+MARPGWTQVLLSLQLAKKQRERSLTPNKGSAWKPPHPRQLPRTLRDRAECALAWPGPKTLLCFWDVRIPPG
+>DECOY_NM_017561|47350_2_1567_1781
+GPPIRVDWFCLLTKPGPWALACEARDRLTRPLQRPHPPKWASGKNPTLSRERQKKALQLSLLVQTWGPRAM
+>NM_017561|47353_3_551_624
+MATRGSWRGQPGSLPGQGPAGRLL
+>DECOY_NM_017561|47353_3_551_624
+LLRGAPGQGPLSGPQGRWSGRTAM
+>NM_152343|47390_2_637_809
+MAAQASPSDPRSGRPSPPTPCAPRSSSRSLMPTQLLQPQAPRHQAPSCPQISRHPLT
+>DECOY_NM_152343|47390_2_637_809
+TLPHRSIQPCSPAQHRPAQPQLLQTPMLSRSSSRPACPTPPSPRGSRPDSPSAQAAM
+>NM_001007122|47425_2_345_424
+MVRLKETFKRKWMNLSTYMDLKMIMN
+>DECOY_NM_001007122|47425_2_345_424
+NMIMKLDMYTSLNMWKRKFTEKLRVM
+>NM_182517|47449_3_323_444
+MACAGRICAGGCGPLAPHCTCCQMPPLPPIPCQLPAPPTA
+>DECOY_NM_182517|47449_3_323_444
+ATPPAPLQCPIPPLPPMQCCTCHPALPGCGGACIRGACAM
+>NM_174937|47461_2_1011_1204
+METKKTRSLHRCWGEERTAQPEATGQWPPPRCPDPPGVWSGRAMTEFSSSTQRCTCLSGRSPWT
+>DECOY_NM_174937|47461_2_1011_1204
+TWPSRGSLCTCRQTSSSFETMARGSWVGPPDPCRPPPWQGTAEPQATREEGWCRHLSRTKKTEM
+>NM_014686|47515_2_1595_1668
+MVAWQELKFNNSFVLKVQQFLSTS
+>DECOY_NM_014686|47515_2_1595_1668
+STSLFQQVKLVFSNNFKLEQWAVM
+>NM_014686|47517_2_3332_3420
+MESLECWERFCLLILQWAQTQSLHAMWQE
+>DECOY_NM_014686|47517_2_3332_3420
+EQWMAHLSQTQAWQLILLCFREWCELSEM
+>NM_014686|47519_2_3548_3756
+MACTVAGRVLRETLPARVMRHPQPTGTACSPCFQGLTSLLLSSREGNTAVESKTPARCPHHLSSPRWRM
+>DECOY_NM_014686|47519_2_3548_3756
+MRWRPSSLHHPCRAPTKSEVATNGERSSLLLSTLGQFCPSCATGTPQPHRMVRAPLTERLVRGAVTCAM
+>NM_014686|47526_3_3123_3205
+MGTWLIPAASAGCWSRSVSSWSVAWHI
+>DECOY_NM_014686|47526_3_3123_3205
+IHWAVSWSSVSRSWCGASAAPILWTGM
+>NM_138419|47560_2_193_272
+MDQLGVLFVLLGKCFHWNLVEDLILS
+>DECOY_NM_138419|47560_2_193_272
+SLILDEVLNWHFCKGLLVFLVGLQDM
+>NM_153013|47581_2_650_753
+MVHGKNRRVQGSICVLEQDQRPGHSILTGLQLRL
+>DECOY_NM_153013|47581_2_650_753
+LRLQLGTLISHGPRQDQELVCISGQVRRNKGHVM
+>NM_138368|47595_2_553_671
+MDAFSPRHPAGRQLRRERGSVALQHESTALRRRGSCGLR
+>DECOY_NM_138368|47595_2_553_671
+RLGCSGRRRLATSEHQLAVSGRERRLQRGAPHRPSFADM
+>NM_020818|47710_2_5588_5796
+MELRNPNLRFRLFPGRGSRGRLLSVLSRESTSTSPSTFWTNWENRKIQILLLKDFQLWKCHENLHLPLR
+>DECOY_NM_020818|47710_2_5588_5796
+RLPLHLNEHCKWLQFDKLLLIQIKRNEWNTWFTSPSTSTSERSLVSLLRGRSGRGPFLRFRLNPNRLEM
+>NM_001099434|47723_2_346_419
+MGPLDGSCLQVLPAISMCSGMGTW
+>DECOY_NM_001099434|47723_2_346_419
+WTGMGSCMSIAPLVQLCSGDLPGM
+>NM_001099225|47729_2_90_325
+MAEAAALAPGWTDGWRHWEWTEPFMEPTSWVSCRRRRKKRSWTLCRGSSLLSWKKIPSLISARRLWNDGQKLRMLSPK
+>DECOY_NM_001099225|47729_2_90_325
+KPSLMRLKQGDNWLRRASILSPIKKWSLLSSGRCLTWSRKKRRRRCSVWSTPEMFPETWEWHRWGDTWGPALAAAEAM
+>NM_198281|47892_2_1966_2153
+MDWGNLKPGHLSLQRKRQTATKQTQIANYLTLVALSAKLIILGAWIPLIKEMQGKRSLHLLR
+>DECOY_NM_198281|47892_2_1966_2153
+RLLHLSRKGQMEKILPIWAGLIILKASLAVLTLYNAIQTQKTATQRKRQLSLHGPKLNGWDM
+>NM_206538|47915_2_304_377
+MVPCPCHSGSSARRSGADSGMWQP
+>DECOY_NM_206538|47915_2_304_377
+PQWMGSDAGSRRASSGSHCPCPVM
+>NM_152757|47933_2_600_718
+MEPHATALPTGPHHPVCGGGCIAGKDHLILDSSLTLGHR
+>DECOY_NM_152757|47933_2_600_718
+RHGLTLSSDLILHDKGAICGGGCVPHHPGTPLATAHPEM
+>NM_022903|47971_2_310_566
+MASNLPSCAVVMSMAIVHAQLIPQARRNCKLVPLTQLPHHLQPVLPELPCGCLQVGPHCFPCRYLADWPKHPHQPLPSMLPPTCC
+>DECOY_NM_022903|47971_2_310_566
+CCTPPLMSPLPQHPHKPWDALYRCPFCHPGVQLCGCPLEPLVPQLHHPLQTLPVLKCNRRAQPILQAHVIAMSMVVACSPLNSAM
+>NM_173564|48013_2_1213_1448
+MGSQGYLSSATPRSQPAPPQLPKCLHGSGRRLPHRLHLLLPTCCCWDHRAGPGATRHRCHPRALASPGGSGSSPTPTA
+>DECOY_NM_173564|48013_2_1213_1448
+ATPTPSSGSGGPSALARPHCRHRTAGPGARHDWCCCTPLLLHLRHPLRRGSGHLCKPLQPPAPQSRPTASSLYGQSGM
+>NM_173564|48017_2_2242_2420
+METEEGAAPRCPFPARPSPPATAMETSREATAWGAPPPPPESGRSCSTHPGPAASPGMP
+>DECOY_NM_173564|48017_2_2242_2420
+PMGPSAAPGPHTSCSRGSEPPPPPAGWATAERSTEMATAPPSPRAPFPCRPAAGEETEM
+>NM_173564|48018_2_2311_2420
+METSREATAWGAPPPPPESGRSCSTHPGPAASPGMP
+>DECOY_NM_173564|48018_2_2311_2420
+PMGPSAAPGPHTSCSRGSEPPPPPAGWATAERSTEM
+>NM_024054|48036_2_601_734
+MVTKASLSRLKTSSRPVTSSQCSIATLTSSLHFTTVSPAPWQRS
+>DECOY_NM_024054|48036_2_601_734
+SRQWPAPSVTTFHLSSTLTAISCQSSTVPRSSTKLRSLSAKTVM
+>NM_024054|48038_2_982_1079
+MEAATLFSKRKCSQNKQSKRGKSRFYLSWRPL
+>DECOY_NM_024054|48038_2_982_1079
+LPRWSLYFRSKGRKSQKNQSCKRKSFLTAAEM
+>NM_001079512|48051_2_560_657
+MGRATGSLKPGRSLRIALLPQKLKHESSGWAS
+>DECOY_NM_001079512|48051_2_560_657
+SAWGSSEHKLKQPLLAIRLSRGPKLSGTARGM
+>NM_153027|48064_2_235_485
+MAVSLCSDIQGRPHSSNSGRSTNPGRSESFSPRALSAAHGAQRGILVAAFRLSRHRNCQATPSQKDHQEKSGREPPSGPSLFL
+>DECOY_NM_153027|48064_2_235_485
+LFLSPGSPPERGSKEQHDKQSPTAQCNRHRSLRFAAVLIGRQAGHAASLARPSFSESRGPNTSRGSNSSHPRGQIDSCLSVAM
+>NM_018128|48119_2_1192_1295
+MALLIRYWWCPCTAEFPCQRPCSCFKIGTLEQYT
+>DECOY_NM_018128|48119_2_1192_1295
+TYQELTGIKFCSCPRQCPFEATCPCWWYRILLAM
+>NM_020719|48215_2_1186_1406
+MEQLPGVPPGPPHPVRPPPPNVRAWVGQQPPMPLGRPLGLEGQGARLIPPVSLKGFWDPRPTGKGLEGGRHRT
+>DECOY_NM_020719|48215_2_1186_1406
+TRHRGGELGKGTPRPDWFGKLSVPPILRAGQGELGLPRGLPMPPQQGVWARVNPPPPRVPHPPGPPVGPLQEM
+>NM_020719|48218_2_1630_1769
+MGVAGDPAPWEAAVRPAHLTSFVRSSHRLPPAVHLESALQEPLANT
+>DECOY_NM_020719|48218_2_1630_1769
+TNALPEQLASELHVAPPLRHSSRVFSTLHAPRVAAEWPAPDGAVGM
+>NM_020719|48220_2_1858_2174
+MARSCWRAQVGLLRSAQRMRSSLSSTSCRRPALLGPQGRTAWWARTGQQMPLRDLGGVAGPGDHRVHPTSWPRKTPRGTTCRVSSAPVPAWMRVPLRHWSWAWGG
+>DECOY_NM_020719|48220_2_1858_2174
+GGWAWSWHRLPVRMWAPVPASSVRCTTGRPTKRPWSTPHVRHDGPGAVGGLDRLPMQQGTRAWWATRGQPGLLAPRRCSTSSLSSRMRQASRLLGVQARWCSRAM
+>NM_020719|48225_2_2488_2696
+MGHPSHLHRHPRLHHPCPCSSRPTSAAMAWSPRPPAPACDPRRAWIRQAPCRNCSGLWSRCPRRLGILA
+>DECOY_NM_020719|48225_2_2488_2696
+ALIGLRRPCRSWLGSCNRCPAQRIWARRPDCAPAPPRPSWAMAASTPRSSCPCPHHLRPHRHLHSPHGM
+>NM_020719|48226_2_2569_2696
+MAWSPRPPAPACDPRRAWIRQAPCRNCSGLWSRCPRRLGILA
+>DECOY_NM_020719|48226_2_2569_2696
+ALIGLRRPCRSWLGSCNRCPAQRIWARRPDCAPAPPRPSWAM
+>NM_020719|48231_2_3493_3665
+MGHPGHGGGPGSAPWRSRPLRGPPRPPRPPMAPRNPGAGAEAGVERLRRQGAPGWSP
+>DECOY_NM_020719|48231_2_3493_3665
+PSWGPAGQRRLREVGAEAGAGPNRPAMPPRPPRPPGRLPRSRWPASGPGGGHGPHGM
+>NM_020719|48236_2_4333_4940
+MALPSPRCWRRNPHPLHLLPRLLSLSLRHPLRRHSQPCPRHPRWWPPRPAHHRHRRCRRHLHQPCPRLHHHPHQPLPHWLLLLRSPPPRLPKTPSCRTPGPCIWPKSRRRRQCVGRRTRRPARVAERASSGNGTSSSSVLRTSLPSSWRCRRGVNPHPSGESRRPFCRNSLRRSRTARGSFVPPVIIWGILGMQKIGTSASM
+>DECOY_NM_020719|48236_2_4333_4940
+MSASTGIKQMGLIGWIIVPPVFSGRATRSRRLSNRCFPRRSEGSPHPNVGRRCRWSSPLSTRLVSSSSTGNGSSAREAVRAPRRTRRGVCQRRRRSKPWICPGPTRCSPTKPLRPPPSRLLLLWHPLPQHPHHHLRPCPQHLHRRCRRHRHHAPRPPWWRPHRPCPQSHRRLPHRLSLSLLRPLLHLPHPNRRWCRPSPLAM
+>NM_020719|48242_3_5399_5586
+MAEGGRRQRYSRRGPTRQLLGLGVLPWSPQRGRAGSTWASAQNQGDAGDVPELRGDVGEHST
+>DECOY_NM_020719|48242_3_5399_5586
+TSHEGVDGRLEPVDGADGQNQASAWTSGARGRQPSWPLVGLGLLQRTPGRRSYRQRRGGEAM
+>NM_001029882|48301_2_3208_3761
+MGLCSQSRCPVAQALGRQVLSGPGIRVVAGPLTMGTQADKLAETVGFRGPRPGPLPPLGWRVEPQAVAATTARVHPQARPSSARSAKTSSPATFARCSIRMTPPISWTLPSQPLAQSPGRHRAPMQGHPPVPCLPSGAWPPSLAGEPRPAQWQWVAAGLGRTPPFSLSCPRARPSHQDEQQAMG
+>DECOY_NM_001029882|48301_2_3208_3761
+GMAQQEDQHSPRARPCSLSFPPTRGLGAAVWQWQAPRPEGALSPPWAGSPLCPVPPHGQMPARHRGPSQALPQSPLTWSIPPTMRISCRAFTAPSSTKASRASSPRAQPHVRATTAAVAQPEVRWGLPPLPGPRPGRFGVTEALKDAQTGMTLPGAVVRIGPGSLVQRGLAQAVPCRSQSCLGM
+>NM_001029882|48302_2_3307_3761
+MGTQADKLAETVGFRGPRPGPLPPLGWRVEPQAVAATTARVHPQARPSSARSAKTSSPATFARCSIRMTPPISWTLPSQPLAQSPGRHRAPMQGHPPVPCLPSGAWPPSLAGEPRPAQWQWVAAGLGRTPPFSLSCPRARPSHQDEQQAMG
+>DECOY_NM_001029882|48302_2_3307_3761
+GMAQQEDQHSPRARPCSLSFPPTRGLGAAVWQWQAPRPEGALSPPWAGSPLCPVPPHGQMPARHRGPSQALPQSPLTWSIPPTMRISCRAFTAPSSTKASRASSPRAQPHVRATTAAVAQPEVRWGLPPLPGPRPGRFGVTEALKDAQTGM
+>NM_001029882|48305_2_3889_4391
+MGPDKAYSPQLSPLQARTALTARTAASPMAVATASLPHPAAPTAPAMPHRLPGAPACHQARPPSSAALRGPPSLVQPPRPCAVTAGPAQSRPVATWYPRAPQPLPPLQPLPPPPPPPPSSPRPRTVGSLRGLLSGLSGRAMEAWTGPQRPLVSSTIPVLTATSASPT
+>DECOY_NM_001029882|48305_2_3889_4391
+TPSASTATLVPITSSVLPRQPGTWAEMARGSLGSLLGRLSGVTRPRPSSPPPPPPPPLPQLPPLPQPARPYWTAVPRSQAPGATVACPRPPQVLSPPGRLAASSPPRAQHCAPAGPLRHPMAPATPAAPHPLSATAVAMPSAATRATLATRAQLPSLQPSYAKDPGM
+>NM_001029882|48308_2_4309_4391
+MEAWTGPQRPLVSSTIPVLTATSASPT
+>DECOY_NM_001029882|48308_2_4309_4391
+TPSASTATLVPITSSVLPRQPGTWAEM
+>NM_018232|48328_2_151_248
+MAYKYWTVPLSNLISKQETQTPRKMMLMGGWN
+>DECOY_NM_018232|48328_2_151_248
+NWGGMLMMKRPTQTEQKSILNSLPVTWYKYAM
+>NM_018232|48332_2_505_773
+MAVTFSLTLRRRRKILRTLKKILDLKEADLHRLQMSWLPASRGMPWVEWTRSRQPYPQEKQNLGRHSKRRRKGELLQTMKRITYSHPPS
+>DECOY_NM_018232|48332_2_505_773
+SPPHSYTIRKMTQLLEGKRRRKSHRGLNQKEQPYPQRSRTWEVWPMGRSAPLWSMQLRHLDAEKLDLIKKLTRLIKRRRRLTLSFTVAM
+>NM_018232|48341_2_1099_1223
+MVMMMTTFSRHPTANLLKQAKSNPLPISLVTKKEICSKKKP
+>DECOY_NM_018232|48341_2_1099_1223
+PKKKSCIEKKTVLSIPLPNSKAQKLLNATPHRSFTTMMMVM
+>NM_173855|48369_2_478_632
+MGSSFSDPRSIMRVTGVAASAAGGAACITATATSTRDSGRTTSPTGRACCA
+>DECOY_NM_173855|48369_2_478_632
+ACCARGTPSTTRGSDRTSTATATICAAGGAASAAVGTVRMISRPDSFSSGM
+>NM_001093725|48386_2_1117_1430
+MAWASRMCTTAWPRLAPRCGRARRTPRPPPCSSPLPPPPPPLPPRPALGPRAHTAPLPLPRDPSWPDSRGAPRESRSRASLNLVGAACGAPAAGGIAWSALRAK
+>DECOY_NM_001093725|48386_2_1117_1430
+KARLASWAIGGAAPAGCAAGVLNLSARSRSERPAGRSDPWSPDRPLPLPATHARPGLAPRPPLPPPPPPLPSSCPPPRPTRRARGCRPALRPWATTCMRSAWAM
+>NM_001010978|48436_2_46_236
+MATLLLNPKPTLEGKQAAATSAAHVAGPASLPLCCSSWQLWRPSSPWSPFLDSHHAPQEPKLV
+>DECOY_NM_001010978|48436_2_46_236
+VLKPEQPAHHSDLFPSWPSSPRWLQWSSCCLPLSAPGAVHAASTAAAQKGELTPKPNLLLTAM
+>NM_001010978|48438_2_307_479
+MAFAPVPTARTRMRACAEMCPRASPTSLWPTVETRPPGSTQTKNVMALTTAGTVQMN
+>DECOY_NM_001010978|48438_2_307_479
+NMQVTGATTLAMVNKTQTSGPPRTEVTPWLSTPSARPCMEACARMRTRATPVPAFAM
+>NM_020225|48524_2_3485_3573
+MVPSPAAWTRGKRYLAKTHCSNLFTAPCL
+>DECOY_NM_020225|48524_2_3485_3573
+LCPATFLNSCHTKALYRKGRTWAAPSPVM
+>NM_032837|48561_2_323_498
+MATKKTTIFPPRPKEVAETLSFRIPGTQSLQAVTVVGAAAAAAAASIARTGPAGRKAA
+>DECOY_NM_032837|48561_2_323_498
+AAKRGAPGTRAISAAAAAAAAGVVTVAQLSQTGPIRFSLTEAVEKPRPPFITTKKTAM
+>NM_001098832|48571_2_323_420
+MATKKTTIFPPRPKEVAETLSFRIPGTQRQYL
+>DECOY_NM_001098832|48571_2_323_420
+LYQRQTGPIRFSLTEAVEKPRPPFITTKKTAM
+>NM_017822|48596_2_277_383
+MEKDVPMLPQSQRRKMGCPSVLNMSVGMPWHFMLK
+>DECOY_NM_017822|48596_2_277_383
+KLMFHWPMGVSMNLVSPCGMKRRQSQPLMPVDKEM
+>NM_024070|48624_2_1219_1319
+MDSTLRQGRGLLTLVPASLFSLTLGGPGPWKDP
+>DECOY_NM_024070|48624_2_1219_1319
+PDKWPGPGGLTLSFLSAPVLTLLGRGQRLTSDM
+>NM_024070|48625_3_647_771
+MGPCSPGPLGNPEQHLSHPGRLWGQQPLRQHHLLLQVCVLP
+>DECOY_NM_024070|48625_3_647_771
+PLVCVQLLLHHQRLPQQGWLRGPHSLHQEPNGLPGPSCPGM
+>NM_024070|48626_3_1004_1215
+MGTKPGLPGCSSRPLCHYQHQLPPSYFGHSSPPWGAVLVGVTPHPRCTPAPGPCRLGLHTHPCTWQLCLC
+>DECOY_NM_024070|48626_3_1004_1215
+CLCLQWTCPHTHLGLRCPGPAPTCRPHPTVGVLVAGWPPSSHGFYSPPLQHQYHCLPRSSCGPLGPKTGM
+>NM_014695|48776_2_1775_1884
+MESMTGLQIKHLMKRTRSKTKYILRLTLLTQWSHLK
+>DECOY_NM_014695|48776_2_1775_1884
+KLHSWQTLLTLRLIYKTKSRTRKMLHKIQLGTMSEM
+>NM_015931|48795_2_721_1178
+MGVGGTSAAAATGRARCGAHPAAEPSAKPSSPGDVSCARGPAGEDAALAQGEGTRPAPPARGRRSCCTSSSLSSCGRTACLSLCLSTGSTAPGSSLLKPKEKTSLRMKTRWCTPSWTSLCETSLLPPRGALQSTALPWPPVPASCSSARPLS
+>DECOY_NM_015931|48795_2_721_1178
+SLPRASSCSAPVPPWPLATSQLAGRPPLLSTECLSTWSPTCWRTKMRLSTKEKPKLLSSGPATSGTSLCLSLCATRGCSSLSSSTCCSRRGRAPPAPRTGEGQALAADEGAPGRACSVDGPSSPKASPEAAPHAGCRARGTAAAASTGGVGM
+>NM_194300|48928_2_3892_4067
+MGRLHVLKSTQAWPRGLCFLPMMARRLPVQVGPSWLPSLHPPWRTAPGCLLHHQLGQS
+>DECOY_NM_194300|48928_2_3892_4067
+SQGLQHHLLCGPATRWPPHLSPLWSPGVQVPLRRAMMPLFCLGRPWAQTSKLVHLRGM
+>NM_194300|48930_2_3958_4067
+MARRLPVQVGPSWLPSLHPPWRTAPGCLLHHQLGQS
+>DECOY_NM_194300|48930_2_3958_4067
+SQGLQHHLLCGPATRWPPHLSPLWSPGVQVPLRRAM
+>NM_194300|48932_3_1862_1956
+MGLWSRASSNLNQPQAKSFVSVSRTSVSPSL
+>DECOY_NM_194300|48932_3_1862_1956
+LSPSVSTRSVSVFSKAQPQNLNSSARSWLGM
+>NM_001100878|48943_2_987_1333
+MEAAWWSRAWSRQEAGGGWWEPTPTWRASCCWPVLWWHMPTTTCEASSQTCSLGFAARTTRSVSRLWPSSQGCCRAGPPHGSCGRRSSWSDSSPGRETPNPLCAGWACWAWATSR
+>DECOY_NM_001100878|48943_2_987_1333
+RSTAWAWCAWGACLPNPTERGPSSDSWSSRRGCSGHPPGARCCGQSSPWLRSVSRTTRAAFGLSCTQSSAECTTTPMHWWLVPWCCSARWTPTPEWWGGGAEQRSWARSWWAAEM
+>NM_194312|48968_2_144_505
+MGMWRRWSGCWRLAPWARASPMLWGPAWFTTPPGLATWTASSSWCSGPSCPATSGPTTGPPQRMTPLPRAAWPSCAGWSARGAAVCRTKMPRASPRCTWPPVLDTQCWWSGCSTRATRPR
+>DECOY_NM_194312|48968_2_144_505
+RPRTARTSCGSWWCQTDLVPPWTCRPSARPMKTRCVAAGRASWGACSPWAARPLPTMRQPPGTTPGSTAPCSPGSCWSSSATWTALGPPTTFWAPGWLMPSARAWPALRWCGSWRRWMGM
+>NM_194312|48974_2_1017_1108
+MVTRRQTWRSTMDTGTAPSTCGRWPSRCPC
+>DECOY_NM_194312|48974_2_1017_1108
+CPCRSPWRGCTSPATGTDMTSRWTQRRTVM
+>NM_194312|48975_2_1173_1273
+MEEEEAQGQGTPAPCPSARPGLAILTSLFPGSR
+>DECOY_NM_194312|48975_2_1173_1273
+RSGPFLSTLIALGPRASPCPAPTGQGQAEEEEM
+>NM_194312|48978_2_1527_1615
+MVGAQAPRSRRPGGTHRLIRPSWGPLGSC
+>DECOY_NM_194312|48978_2_1527_1615
+CSGLPGWSPRILRHTGGPRRSRPAQAGVM
+>NM_024942|49018_2_1303_1388
+MVNAFLVLEWKSNLFAPTWKRFFLKIWN
+>DECOY_NM_024942|49018_2_1303_1388
+NWIKLFFRKWTPAFLNSKWELVLFANVM
+>NM_016466|49025_2_252_424
+MGTTLCASSCWKAELSVMPRPTGVPLLCTEPATAGTLKSRGSCYHMGPTPGWWMTTA
+>DECOY_NM_016466|49025_2_252_424
+ATTMWWGPTPGMHYCSGRSKLTGATAPETCLLPVGTPRPMVSLEAKWCSSACLTTGM
+>NM_080656|49032_3_151_290
+MEGPHGIHPAPPARLPRPARRQWPPGPAALPLHGLGQPSLPRLQLQ
+>DECOY_NM_080656|49032_3_151_290
+QLQLRPLSPQGLGHLPLAAPGPPWQRRAPRPLRAPPAPHIGHPGEM
+>NM_001007531|49039_2_164_417
+MAVPALTPAAVRASGLLGVSWTWALFTPLVALGREGGSQDSATTPSRPPGRPRIVDIATIVTAMQKNGSQRKTTRRKRAIGRGG
+>DECOY_NM_001007531|49039_2_164_417
+GGRGIARKRRTTKRQSGNKQMATVITAIDVIRPRGPPRSPTTASDQSGGERGLAVLPTFLAWTWSVGLLGSARVAAPTLAPVAM
+>NM_001079516|49043_3_421_524
+MAYSRHCPAWNLQNKIFWTQSEAGHSEACCHTFI
+>DECOY_NM_001079516|49043_3_421_524
+IFTHCCAESHGAESQTWFIKNQLNWAPCHRSYAM
+>NM_153045|49059_2_332_489
+MARSSLFSGLTIPVHPSPSTWEPQKSNCKLGASRSRLTSTGAWLRVPSWSPK
+>DECOY_NM_153045|49059_2_332_489
+KPSWSPVRLWAGTSTLRSRSAGLKCNSKQPEWTSPSPHVPITLGSFLSSRAM
+>NM_001102659|49100_2_434_513
+MEHCFGIWPNSSGTSMWTVCSLGTMA
+>DECOY_NM_001102659|49100_2_434_513
+AMTGLSCVTWMSTGSSNPWIGFCHEM
+>NM_172193|49131_2_452_690
+MGVGDTVNSKTVLMFMMHLGKSRYSGDGIMMSTYLTQRHRLGFNQKLKVEFHHSHEPRIRVQFLEIRVISLADVFCKLG
+>DECOY_NM_172193|49131_2_452_690
+GLKCFVDALSIVRIELFQVRIRPEHSHHFEVKLKQNFGLRHRQTLYTSMMIGDGSYRSKGLHMMFMLVTKSNVTDGVGM
+>NM_172193|49137_2_854_963
+MVGFIMSQQIVGNNLHIYLKQDLGYGTQPVWEKKMK
+>DECOY_NM_172193|49137_2_854_963
+KMKKEWVPQTGYGLDQKLYIHLNNGVIQQSMIFGVM
+>NM_023012|49152_2_465_796
+MVRTGTNAKKESHQEAEVTQDLGLVKDAIVVEAGSGRSLDPGVGSGRNRDPEAERGRNRDPEAGKENGGSGLVPAQDQDTGIGLEAGVGQGVGVEIERRELKSREDLAEV
+>DECOY_NM_023012|49152_2_465_796
+VEALDERSKLERREIEVGVGQGVGAELGIGTDQDQAPVLGSGGNEKGAEPDRNRGREAEPDRNRGSGVGPDLSRGSGAEVVIADKVLGLDQTVEAEQHSEKKANTGTRVM
+>NM_020954|49185_2_1509_1588
+MGNLLSMSSFTSTSRRRASTSTAVCS
+>DECOY_NM_020954|49185_2_1509_1588
+SCVATSTSARRRSTSTFSSMSLLNGM
+>NM_020954|49189_2_2994_3103
+MAGRSRCWETWNGGSQRRNPSPRSLPTAIVAGTPKA
+>DECOY_NM_020954|49189_2_2994_3103
+AKPTGAVIATPLSRPSPNRRQSGGNWTEWCRSRGAM
+>NM_178860|49229_2_1617_2113
+MGTTWRPHQCMIPMRWNTCPLRACSALANTSLLSSVLTAAGQLQAWPCAMRPSSRAIAMSPLSNTVTSAAAHPPTLWVPLWSSAATLATPWSRAPSSSSVLTPTTPSGMRQSQPAEPCAAGRSQTRLAWYSLPTGQSPTVVGRIVSGVCMWKRTSASCWTSECCA
+>DECOY_NM_178860|49229_2_1617_2113
+ACCESTWCSASTRKWMCVGSVIRGVVTPSQGTPLSYWALRTQSRGAACPEAPQSQRMGSPTTPTLVSSSSPARSWPTALTAASSWLPVWLTPPHAAASTVTNSLPSMAIARSSPRMACPWAQLQGAATLVSSLLSTNALASCARLPCTNWRMPIMCQHPRWTTGM
+>NM_178860|49238_2_2709_2809
+MVSVPLRMVPEVLRSSYTQQGPPSTSRVPLAMC
+>DECOY_NM_178860|49238_2_2709_2809
+CMALPVRSTSPPGQQTYSSRLVEPVMRLPVSVM
+>NM_178860|49239_2_2730_2809
+MVPEVLRSSYTQQGPPSTSRVPLAMC
+>DECOY_NM_178860|49239_2_2730_2809
+CMALPVRSTSPPGQQTYSSRLVEPVM
+>NM_178508|49346_3_702_781
+MGDPKVVHGWQSGYQHKRMPLLDVKT
+>DECOY_NM_178508|49346_3_702_781
+TKVDLLPMRKHQYGSQWGHVVKPDGM
+>NM_198850|49385_3_1809_1927
+MGPQPGKLPTCAGVWVLLPWTPGEDGRPHQDLEEAMVLL
+>DECOY_NM_198850|49385_3_1809_1927
+LLVMAEELDQHPRGDEGPTWPLLVWVGACTPLKGPQPGM
+>NM_144595|49395_2_902_1020
+MEFQEYNLVFHHRDSFNTGSTAWGISQCLSDSLLKPQPM
+>DECOY_NM_144595|49395_2_902_1020
+MPQPKLLSDSLCQSIGWATSGTNFSDRHHFVLNYEQFEM
+>NM_018042|49503_3_703_833
+MELEHLWSADYHLELQFVQKRYNICKSHECHCCTGVPQRHEKD
+>DECOY_NM_018042|49503_3_703_833
+DKEHRQPVGTCCHCEHSKCINYRKQVFQLELHYDASWLHELEM
+>NM_018042|49504_3_1495_1586
+MATAETSLSRAIRKDNVYSRKPLQKTVLTT
+>DECOY_NM_018042|49504_3_1495_1586
+TTLVTKQLPKRSYVNDKRIARSLSTEATAM
+>NM_018302|49559_2_656_828
+METPELLLRQKVLPWKYKTMSSRYQPQITFSIGAQLETTLIIMKRTVFSRTILRMNP
+>DECOY_NM_018302|49559_2_656_828
+PNMRLITRSFVTRKMIILTTELQAGISFTIQPQYRSSMTKYKWPLVKQRLLLEPTEM
+>NM_001101362|49595_2_1024_1322
+MATAWWPTATASMWCATDLPTTSCTAPSTVSTWPRASGRRCPASSSTARERSSRPWCAATPSIRSTACSRCSTPSRAAPGGCSGRKPASRGPAPCRPFS
+>DECOY_NM_001101362|49595_2_1024_1322
+SFPRCPAPGRSAPKRGSCGGPAARSPTSCRSCATSRISPTAACWPRSSRERATSSSAPCRRGSARPWTSVTSPATCSTTPLDTACWMSATATPWWATAM
+>NM_020348|49613_2_578_987
+MAPATGLRGSCSSRSPRAVAAWPPARSPLAPRDRSAAGSRATGHRTWKSWGPCVPGAWQARPWSRCECGSCARAKRSGAARAVAGSSFHSAPGMGARGTTTAPPAASCCAFARGCTAQAGTCCPLRGCGRSGRSCC
+>DECOY_NM_020348|49613_2_578_987
+CCSRGSRGCGRLPCCTGAQATCGRAFACCSAAPPATTTGRAGMGPASHFSSGAVARAAGSRKARACSGCECRSWPRAQWAGPVCPGWSKWTRHGTARSGAASRDRPALPSRAPPWAAVARPSRSSCSGRLGTAPAM
+>NM_020348|49614_2_857_987
+MGARGTTTAPPAASCCAFARGCTAQAGTCCPLRGCGRSGRSCC
+>DECOY_NM_020348|49614_2_857_987
+CCSRGSRGCGRLPCCTGAQATCGRAFACCSAAPPATTTGRAGM
+>NM_001101340|49679_3_1383_1576
+MGAAGGARRKPGSVPRIPACSEGASAVPSSLGDLGHGSSPPRLQFAYLYVGASTPHFFSPNSSP
+>DECOY_NM_001101340|49679_3_1383_1576
+PSSNPSFFHPTSAGVYLYAFQLRPPSSGHGLDGLSSPVASAGESCAPIRPVSGPKRRAGGAAGM
+>NM_014935|49738_2_1182_1261
+MERLGDTGGVSHHAPTLTKLPSARAP
+>DECOY_NM_014935|49738_2_1182_1261
+PARASPLKTLTPAHHSVGGTDGLREM
+>NM_014935|49739_2_1368_1813
+MAPTPPSTPMIISTTRQECGRRASVPCRPMIGSARPGPWRTSAMPSAMGVALPTSCESGRSPPATGGRMPPSGSQAPPGSQSIMMSWMPPLAPCAACPCSPAPTLCPAHPARAPTAVPAFTPLSAHPVPVLSGCHLAVRTSMLTLLPM
+>DECOY_NM_014935|49739_2_1368_1813
+MPLLTLMSTRVALHCGSLVPVPHASLPTFAPVATPARAPHAPCLTPAPSCPCAACPALPPMWSMMISQSGPPAQSGSPPMRGGTAPPSRGSECSTPLAVGMASPMASTRWPGPRASGIMPRCPVSARRGCEQRTTSIIMPTSPPTPAM
+>NM_014935|49743_2_1509_1813
+MGVALPTSCESGRSPPATGGRMPPSGSQAPPGSQSIMMSWMPPLAPCAACPCSPAPTLCPAHPARAPTAVPAFTPLSAHPVPVLSGCHLAVRTSMLTLLPM
+>DECOY_NM_014935|49743_2_1509_1813
+MPLLTLMSTRVALHCGSLVPVPHASLPTFAPVATPARAPHAPCLTPAPSCPCAACPALPPMWSMMISQSGPPAQSGSPPMRGGTAPPSRGSECSTPLAVGM
+>NM_015150|49792_3_1412_1674
+MDSPGRCRSADRLRAPAELAGGLWLAAHLCATNSRRQDYQRGECIHQADCLSSETLSTSENQEEGIEVSVAILQRRNAQQADEEIKR
+>DECOY_NM_015150|49792_3_1412_1674
+RKIEEDAQQANRRQLIAVSVEIGEEQNESTSLTESSLCDAQHICEGRQYDQRRSNTACLHAALWLGGALEAPARLRDASRCRGPSDM
+>NM_015526|49897_2_1214_1719
+MAALGAFGTSSALPSRVSLPPCPRSPRQWTHPPPLSPPHPGPPGWTSPVSPAKAAGNTKARRRPHHPHLWAACSSVTGPRLRLETRSLSRARSRGSCASTGRQTLPQVTGMALSWTSPQASMMALSSVSGTSLAPRGMGSSHQHPVFRGLADPLIPPGTALEPKKCIK
+>DECOY_NM_015526|49897_2_1214_1719
+KICKKPELATGPPILPDALGRFVPHQHSSGMGRPALSTGSVSSLAMMSAQPSTWSLAMGTVQPLTQRGTSACSGRSRARSLSRTELRLRPGTVSSCAAWLHPHHPRRRAKTNGAAKAPSVPSTWGPPGPHPPSLPPPHTWQRPSRPCPPLSVRSPLASSTGFAGLAAM
+>NM_015526|49898_2_1544_1719
+MALSWTSPQASMMALSSVSGTSLAPRGMGSSHQHPVFRGLADPLIPPGTALEPKKCIK
+>DECOY_NM_015526|49898_2_1544_1719
+KICKKPELATGPPILPDALGRFVPHQHSSGMGRPALSTGSVSSLAMMSAQPSTWSLAM
+>NM_015526|49900_2_1580_1719
+MALSSVSGTSLAPRGMGSSHQHPVFRGLADPLIPPGTALEPKKCIK
+>DECOY_NM_015526|49900_2_1580_1719
+KICKKPELATGPPILPDALGRFVPHQHSSGMGRPALSTGSVSSLAM
+>NM_015526|49901_2_1625_1719
+MGSSHQHPVFRGLADPLIPPGTALEPKKCIK
+>DECOY_NM_015526|49901_2_1625_1719
+KICKKPELATGPPILPDALGRFVPHQHSSGM
+>NM_014811|49990_2_1645_1832
+MALRRPSSCTSCRKHARRPTGTCPRGSNSERRERLTLPHTAQAVPQKVPCPRPTGKHPARRS
+>DECOY_NM_014811|49990_2_1645_1832
+SRRAPHKGTPRPCPVKQPVAQATHPLTLRERRESNSGRPCTGTPRRAHKRCSTCSSPRRLAM
+>NM_030933|50060_2_1159_1313
+MEQYLVLSHNVLKKLWRNIKTNVSSSLSIRAILKKIHLQQRLLNAGKNTMR
+>DECOY_NM_030933|50060_2_1159_1313
+RMTNKGANLLRQQLHIKKLIARISLSSSVNTKINRWLKKLVNHSLVLYQEM
+>NM_030767|50126_2_625_779
+METPAPWLLGMVRPGAGWLLANKPVGTNFLNIPRSTHPLNSARQGPGAVGQ
+>DECOY_NM_030767|50126_2_625_779
+QGVAGPGQRASNLPHTSRPINLFNTGVPKNALLWGAGPRVMGLLWPAPTEM
+>NM_030767|50127_2_655_779
+MVRPGAGWLLANKPVGTNFLNIPRSTHPLNSARQGPGAVGQ
+>DECOY_NM_030767|50127_2_655_779
+QGVAGPGQRASNLPHTSRPINLFNTGVPKNALLWGAGPRVM
+>NM_030767|50132_2_3553_3674
+MAVNPQRDCLVSLEVKSRLSLQEGSEPGLPQCLGRCSDCP
+>DECOY_NM_030767|50132_2_3553_3674
+PCDSCRGLCQPLGPESGEQLSLRSKVELSVLCDRQPNVAM
+>NM_030767|50133_2_3757_4346
+MEREGWAVLDGQTGSPSGANTQATNTMFCPLRRSQKAMAQSPVPTAGPLGPRMRVVLSQGTHWDRLPLIPFSVPCVVKLGLPQRQMVQAQPPLGQRRPPRGEKHLQLPAPSRGASRRGRRHAHPPDCGIWQQRPQHQPLQPLPTSPRFPSCLIHLPLCTMRLQDLPQPNQLPSGRPQPLPHQPGDTGTPSSSTWAT
+>DECOY_NM_030767|50133_2_3757_4346
+TAWTSSSPTGTDGPQHPLPQPRGSPLQNPQPLDQLRMTCLPLHILCSPFRPSTPLPQLPQHQPRQQWIGCDPPHAHRRGRRSAGRSPAPLQLHKEGRPPRRQGLPPQAQVMQRQPLGLKVVCPVSFPILPLRDWHTGQSLVVRMRPGLPGATPVPSQAMAKQSRRLPCFMTNTAQTNAGSPSGTQGDLVAWGEREM
+>NM_030767|50135_2_3868_4346
+MAQSPVPTAGPLGPRMRVVLSQGTHWDRLPLIPFSVPCVVKLGLPQRQMVQAQPPLGQRRPPRGEKHLQLPAPSRGASRRGRRHAHPPDCGIWQQRPQHQPLQPLPTSPRFPSCLIHLPLCTMRLQDLPQPNQLPSGRPQPLPHQPGDTGTPSSSTWAT
+>DECOY_NM_030767|50135_2_3868_4346
+TAWTSSSPTGTDGPQHPLPQPRGSPLQNPQPLDQLRMTCLPLHILCSPFRPSTPLPQLPQHQPRQQWIGCDPPHAHRRGRRSAGRSPAPLQLHKEGRPPRRQGLPPQAQVMQRQPLGLKVVCPVSFPILPLRDWHTGQSLVVRMRPGLPGATPVPSQAM
+>NM_173565|50198_2_824_924
+MASGTGRAPFITIKRVRVGTRETGYKTSKRAGE
+>DECOY_NM_173565|50198_2_824_924
+EGARKSTKYGTERTGVRVRKITIFPARGTGSAM
+>NM_001105522|50215_2_1216_1340
+MAIYMERRDSLVLGVLRMTFSAASLPPPHLHCSMSQDLPTM
+>DECOY_NM_001105522|50215_2_1216_1340
+MTPLDQSMSCHLHPPPLSAASFTMRLVGLVLSDRREMYIAM
+>NM_020775|50270_2_2660_2850
+MAATSTSCGRARLLARSAQWLTTMLSSAAVWLGSRRLLTCGENPSYALVAFLCLSRESPSAKP
+>DECOY_NM_020775|50270_2_2660_2850
+PKASPSERSLCLFAVLAYSPNEGCTLLRRSGLWVAASSLMTTLWQASRALLRARGCSTSTAAM
+>NM_020775|50272_3_888_1042
+MDQSTQACAGEKHCHNRGGLHFRMLPLQTWHVCRQAGLLFLQTLPSQLLFK
+>DECOY_NM_020775|50272_3_888_1042
+KFLLQSPLTQLFLLGAQRCVHWTQLPLMRFHLGGRNHCHKEGACAQTSQDM
+>NM_016284|50368_3_5866_5969
+MGESLPFSSSWPRQYQSFLCICWTDAPARNTEDR
+>DECOY_NM_016284|50368_3_5866_5969
+RDETNRAPADTWCICLFSQYQRPWSSSFPLSEGM
+>NM_024099|50436_2_247_419
+MGFGLEIAQAPPSIQKVPGCPTLSGTEEKLARLKVTSTFRISLKSPICRLLWWNPVR
+>DECOY_NM_024099|50436_2_247_419
+RVPNWWLLRCIPSKLSIRFTSTVKLRALKEETGSLTPCGPVKQISPPAQAIELGFGM
+>NM_020190|50481_2_1214_1305
+MVPMPASAITPENASSMPGMMATRLSISWR
+>DECOY_NM_020190|50481_2_1214_1305
+RWSISLRTAMMGPMSSANEPTIASAPMPVM
+>NM_020190|50483_3_150_253
+MVGTPPRTAAPPCGVHGTPTSCFRGTAGPVPGPE
+>DECOY_NM_020190|50483_3_150_253
+EPGPVPGATGRFCSTPTGHVGCPPAATRPPTGVM
+>NM_198995|50613_3_579_670
+MEQNFFHKRPERRLECNYTSERGQTRRKER
+>DECOY_NM_198995|50613_3_579_670
+REKRRTQGRESTYNCELRREPRKHFFNQEM
+>NM_001105531|50674_3_4066_4139
+MEKIRFAFAADMSRSLRPSPNFFI
+>DECOY_NM_001105531|50674_3_4066_4139
+IFFNPSPRLSRSMDAAFAFRIKEM
+>NM_024949|50753_3_454_572
+MEGGTGEDAQGLPLCGTGCPPDTEGTVPCEGAEAGAGPG
+>DECOY_NM_024949|50753_3_454_572
+GPGAGAEAGECPVTGETDPPCGTGCLPLGQADEGTGGEM
+>NM_033427|50844_2_1242_1336
+MDQALAQHQIQPVAHPHFPVTLPLPPLKHQA
+>DECOY_NM_033427|50844_2_1242_1336
+AQHKLPPLPLTVPFHPHAVPQIQHQALAQDM
+>NM_001105565|50920_2_85_272
+MGPPSPQLRTTLRCQEVEPLQRRPKAQLERPSMRGLPLSQESRKRHQPRTACQQNSRGKQMD
+>DECOY_NM_001105565|50920_2_85_272
+DMQKGRSNQQCATRPQHRKRSEQSLPLGRMSPRELQAKPRRQLPEVEQCRLTTRLQPSPPGM
+>NM_001105565|50928_2_730_854
+MVQKRRLMQKRRRRMQRRQSQAVPAKSRSRTWKKSQREGQG
+>DECOY_NM_001105565|50928_2_730_854
+GQGERQSKKWTRSRSKAPVAQSQRRQMRRRRKQMLRRKQVM
+>NM_033069|51020_2_812_885
+MECALRELIIYPEPTHHFWKEKRN
+>DECOY_NM_033069|51020_2_812_885
+NRKEKWFHHTPEPYIILERLACEM
+>NM_212556|51030_2_970_1106
+MAGPRRWAACSRPHPALSRPHRSARCRRCSTTALPPCGPTPSPRC
+>DECOY_NM_212556|51030_2_970_1106
+CRPSPTPGCPPLATTSCRRCRASRHPRSLAPHPRSCAAWRRPGAM
+>NM_144716|51037_2_122_222
+MGSQRPSISEKRRKKARSTGNLGCGTMSRRMRT
+>DECOY_NM_144716|51037_2_122_222
+TRMRRSMTGCGLNGTSRAKKRRKESISPRQSGM
+>NM_022045|51086_2_118_194
+MGQRCRRVRVLRISRTSQQQMFITS
+>DECOY_NM_022045|51086_2_118_194
+STIFMQQQSTRSIRLVRVRRCRQGM
+>NM_022045|51090_2_2014_2150
+MELNIAWMTEKLWKEMEDFLNFSLVLFVMKLKLPAPEKVFQYLLC
+>DECOY_NM_022045|51090_2_2014_2150
+CLLYQFVKEPAPLKLKMVFLVLSFNLFDEMEKWLKETMWAINLEM
+>NM_022045|51092_2_2059_2150
+MEDFLNFSLVLFVMKLKLPAPEKVFQYLLC
+>DECOY_NM_022045|51092_2_2059_2150
+CLLYQFVKEPAPLKLKMVFLVLSFNLFDEM
+>NM_032340|51181_3_85_191
+MASGRDQTGPGLGRLPATAGSTGLSGGREYPGCRA
+>DECOY_NM_032340|51181_3_85_191
+ARCGPYERGGSLGTSGATAPLRGLGPGTQDRGSAM
+>NM_024908|51227_2_450_523
+METVMKIPHQPWIFRDCHPTKGRD
+>DECOY_NM_024908|51227_2_450_523
+DRGKTPHCDRFIWPQHPIKMVTEM
+>NM_024908|51229_2_1074_1159
+MEFMFFTPIVSQLAVFTSHPPIRPTYCH
+>DECOY_NM_024908|51229_2_1074_1159
+HCYTPRIPPHSTFVALQSVIPTFFMFEM
+>NM_024908|51232_2_1167_1279
+MARYAVGIFPGLFLKRCIEMKEVAFPPSTSWQKMPPL
+>DECOY_NM_024908|51232_2_1167_1279
+LPPMKQWSTSPPFAVEKMEICRKLFLGPFIGVAYRAM
+>NM_024908|51233_2_1296_1375
+MEICHWWIDGHLELLMRNLPVLLWEK
+>DECOY_NM_024908|51233_2_1296_1375
+KEWLLVPLNRMLLELHGDIWWHCIEM
+>NM_138778|51274_3_442_530
+MVSHPGGWTCPLGLGRCQWIHTTAPPGGI
+>DECOY_NM_138778|51274_3_442_530
+IGGPPATTHIWQCRGLGLPCTWGGPHSVM
+>NM_001105575|51283_3_1079_1161
+MGYWHLQRFSSHKGEETLISPAGMLEN
+>DECOY_NM_001105575|51283_3_1079_1161
+NELMGAPSILTEEGKHSSFRQLHWYGM
+>NM_182758|51319_2_298_404
+MGRCVFGMSPMDSAWRRLHFLTGTLQSVITTAHSG
+>DECOY_NM_182758|51319_2_298_404
+GSHATTIVSQLTGTLFHLRRWASDMPSMGFVCRGM
+>NM_024813|51351_3_1681_1790
+MDFNCYGVAVITDPNSWHSETFSGRYGVYTVSRHPP
+>DECOY_NM_024813|51351_3_1681_1790
+PPHRSVTYVGYRGSFTESHWSNPDTIVAVGYCNFDM
+>NM_153252|51382_2_894_997
+MDAFLLHFVDTLLKFLTWLLTMKTLLLLQAAVIR
+>DECOY_NM_153252|51382_2_894_997
+RIVAAQLLLLTKMTLLWTLFKLLTDVFHLLFADM
+>NM_153252|51383_2_1800_2152
+MVRCLIVNFHQMETILPAQILMDICCFLVLDAVNTTKRFQIRCSSTRIIVLLFVMPITMYWMNKPNKLLTSCLLHFWWMLMEILIPQNSNGWYQDGKIVKMNSLYHSWDMWLMVMVR
+>DECOY_NM_153252|51383_2_1800_2152
+RVMVMLWMDWSHYLSNMKVIKGDQYWGNSNQPILIEMLMWWFHLLCSTLLKNPKNMWYMTIPMVFLLVIIRTSSCRIQFRKTTNVADLVLFCCIDMLIQAPLITEMQHFNVILCRVM
+>NM_153252|51400_2_4413_4507
+MVVLWNFIRMFAKYSTTPKLIPLIKSQGSIA
+>DECOY_NM_153252|51400_2_4413_4507
+AISGQSKILPILKPTTSYKAFMRIFNWLVVM
+>NM_153252|51410_2_4950_5089
+MAESPGQESRENYLVHQKKMKTWEEKIKRKKKQKRNLIYPPQRVES
+>DECOY_NM_153252|51410_2_4950_5089
+SEVRQPPYILNRKQKKKRKIKEEWTKMKKQHVLYNERSEQGPSEAM
+>NM_153252|51414_2_5235_5587
+MAMEKEIGRPEAQEEEADGEDGVDGVEEAEEEEAGDEGVEEEVEVALGGGEEGEEEEVLLEELPEPNEHVLQMMNLIPCFQDVSVDCLELKQETKAGGLFYIMMILIMTILCPLRIL
+>DECOY_NM_153252|51414_2_5235_5587
+LIRLPCLITMILIMMIYFLGGAKTEQKLELCDVSVDQFCPILNMMQLVHENPEPLEELLVEEEEGEEGGGLAVEVEEEVGEDGAEEEEAEEVGDVGDEGDAEEEQAEPRGIEKEMAM
+>NM_153252|51415_2_5241_5587
+MEKEIGRPEAQEEEADGEDGVDGVEEAEEEEAGDEGVEEEVEVALGGGEEGEEEEVLLEELPEPNEHVLQMMNLIPCFQDVSVDCLELKQETKAGGLFYIMMILIMTILCPLRIL
+>DECOY_NM_153252|51415_2_5241_5587
+LIRLPCLITMILIMMIYFLGGAKTEQKLELCDVSVDQFCPILNMMQLVHENPEPLEELLVEEEEGEEGGGLAVEVEEEVGEDGAEEEEAEEVGDVGDEGDAEEEQAEPRGIEKEM
+>NM_153252|51419_3_1006_1091
+MVSSNLCTRCSPSGPFSFYYFHTVLSIN
+>DECOY_NM_153252|51419_3_1006_1091
+NISLVTHFYYFSFPGSPSCRTCLNSSVM
+>NM_018263|51481_2_528_718
+MVSQIPRVLRTAAAAVMVAATRREKRAGGKGKYRRPPRSQAAHHPPFQQVKSFLHHRSTARRH
+>DECOY_NM_018263|51481_2_528_718
+HRRATSRHHLFSKVQQFPPHHAAQSRPPRRYKGKGGARKERRTAAVMVAAAATRLVRPIQSVM
+>NM_018263|51482_2_576_718
+MVAATRREKRAGGKGKYRRPPRSQAAHHPPFQQVKSFLHHRSTARRH
+>DECOY_NM_018263|51482_2_576_718
+HRRATSRHHLFSKVQQFPPHHAAQSRPPRRYKGKGGARKERRTAAVM
+>NM_145029|51582_3_926_1020
+MVHSFTDPLPFPGLELFCTPSFGGFTADPPP
+>DECOY_NM_145029|51582_3_926_1020
+PPPDATFGGFSPTCFLELGPFPLPDTFSHVM
+>NM_018304|51588_3_318_451
+MVYIQLVPELHNPEFRSIERHHLSISYLPPRTLQCKTTVLHFGK
+>DECOY_NM_018304|51588_3_318_451
+KGFHLVTTKCQLTRPPLYSISLHHREISRFEPNHLEPVLQIYVM
+>NM_001080496|51734_2_772_848
+MAEGKLGRLASSNLCTDLARTWWGP
+>DECOY_NM_001080496|51734_2_772_848
+PGWWTRALDTCLNSSALRGLKGEAM
+>NM_001038640|51749_2_1545_1723
+MEDNIWTVRRRRRLGPRQTSQRTWRAGRPRAALWTSRRRRRTGRSRWRDESLDSSSLLE
+>DECOY_NM_001038640|51749_2_1545_1723
+ELLSSSDLSEDRWRSRGTRRRRRSTWLAARPRGARWTRQSTQRPGLRRRRRVTWINDEM
+>NM_001038640|51752_2_1899_2008
+MANSSSLPRTLLMSPLQGPQPPRNLGLPVSRMFFMK
+>DECOY_NM_001038640|51752_2_1899_2008
+KMFFMRSVPLGLNRPPQPGQLPSMLLTRPLSSSNAM
+>NM_020343|51809_2_1116_1357
+MELMYCLKSSRLLVVVLCRRERLSWMVVGPRSRTKAILTAAPCRTEDSATPASVALKKSTEWCMKWYSGFSCQHEVMSTS
+>DECOY_NM_020343|51809_2_1116_1357
+STSMVEHQCSFGSYWKMCWETSKKLAVSAPTASDETRCPAATLIAKTRSRPGVVMWSLRERRCLVVVLLRSSKLCYMLEM
+>NM_020343|51827_3_2038_2117
+MGGTYKRVGQHYGLLDSSACKNRLWS
+>DECOY_NM_020343|51827_3_2038_2117
+SWLRNKCASSDLLGYHQGVRKYTGGM
+>NM_152607|51861_2_559_641
+MGRRVTHTPSWRRMPGTGLIPRASCAG
+>DECOY_NM_152607|51861_2_559_641
+GACSARPILGTGPMRRWSPTHTVRRGM
+>NM_152607|51864_2_889_1052
+MGFFLNFPETRKPLQRGFTGPTSASAPAHWPHLAPVSGFHKRRNANPSTSPHSC
+>DECOY_NM_152607|51864_2_889_1052
+CSHPSTSPNANRRKHFGSVPALHPWHAPASASTPGTFGRQLPKRTEPFNLFFGM
+>NM_033395|51935_3_360_460
+MGRITNSENTELGKTVFGKFKKYGRGTSTGQRK
+>DECOY_NM_033395|51935_3_360_460
+KRQGTSTGRGYKKFKGFVTKGLETNESNTIRGM
+>NM_001035534|52042_2_119_534
+MAWLSSTACAMRLRARGCRRPSCSGARCHWTSASSSRGTSSSTRRTARSGETASASCPTTTGWCSTKTKRPMSGRSHHEPSSTVQATKSSRPWTNTWSSLATPYQGPRQSRAVPPSSSAPHSSRSSSGILMRVTTTSA
+>DECOY_NM_001035534|52042_2_119_534
+ASTTTVRMLIGSSSRSSHPASSSPPVARSQRPGQYPTALSSWTNTWPRSSKTAQVTSSPEHHSRGSMPRKTKTSCWGTTTPCSASATEGSRATRRTSSSTGRSSSASTWHCRAGSCSPRRCGRARLRMACATSSLWAM
+>NM_152290|52093_2_529_686
+MVTTRGCLHSALGMDRSCCGCQRSLTFPFLLPLQTMDSMSSSSRDSSHPRLA
+>DECOY_NM_152290|52093_2_529_686
+ALRPHSSDRSSSSMSDMTQLPLLFPFTLSRQCGCCSRDMGLASHLCGRTTVM
+>NM_152290|52094_2_568_686
+MDRSCCGCQRSLTFPFLLPLQTMDSMSSSSRDSSHPRLA
+>DECOY_NM_152290|52094_2_568_686
+ALRPHSSDRSSSSMSDMTQLPLLFPFTLSRQCGCCSRDM
+>NM_020212|52127_2_1398_1492
+MVCSRCGTWPKDSLLGSLLFLRDVSAKAFTS
+>DECOY_NM_020212|52127_2_1398_1492
+STFAKASVDRLFLLSGLLSDKPWTGCRSCVM
+>NM_024770|52174_2_919_1016
+MAYLTLFQMGSWMSFSLSLCSLLFILTGCKVL
+>DECOY_NM_024770|52174_2_919_1016
+LVKCGTLIFLLSCLSLSFSMWSGMQFLTLYAM
+>NM_024770|52176_2_1069_1208
+MEDMIRLSFVLKRDIVYLKIFMFEEMVPEHISLQKGKSTVCSAKPV
+>DECOY_NM_024770|52176_2_1069_1208
+VPKASCVTSKGKQLSIHEPVMEEFMFIKLYVIDRKLVFSLRIMDEM
+>NM_004065|52208_2_625_833
+MAFLEDVDFQEDPNYPEDLDCWEDVDFLEDWEVTGRHGFSGRHGFSGRRGSSGRHILAGRPGFFPEDVD
+>DECOY_NM_004065|52208_2_625_833
+DVDEPFFGPRGALIHRGSSGRRGSFGHRGSFGHRGTVEWDELFDVDEWCDLDEPYNPDEQFDVDELFAM
+>NM_004065|52210_3_734_864
+MDFLEDMDFLEDVDLQEDIYWLEDLDFFRKMWIDWKTWIWWKT
+>DECOY_NM_004065|52210_3_734_864
+TKWWIWTKWDIWMKRFFDLDELWYIDEQLDVDELFDMDELFDM
+>NM_004065|52211_3_752_864
+MDFLEDVDLQEDIYWLEDLDFFRKMWIDWKTWIWWKT
+>DECOY_NM_004065|52211_3_752_864
+TKWWIWTKWDIWMKRFFDLDELWYIDEQLDVDELFDM
+>NM_016014|52220_2_990_1093
+MVPVPGNPQRRTSMQTLKLLGLLLGQDMAFALKM
+>DECOY_NM_016014|52220_2_990_1093
+MKLAFAMDQGLLLGLLKLTQMSTRRQPNGPVPVM
+>NM_024610|52286_2_592_803
+MDRKVHCGLAPWEPTHPVIWTPMVVTWYSRYKEGNDGISFLLKILLSFIQLESLMKNLVCSVKSMLSILI
+>DECOY_NM_024610|52286_2_592_803
+ILISLMSKVSCVLNKMLSELQIFSLLIKLLFSIGDNGEKYRSYWTVVMPTWIVPHTPEWPALGCHVKRDM
+>NM_033200|52316_2_983_1056
+MAAARRRPPPGPRPCWPPCRCCWN
+>DECOY_NM_033200|52316_2_983_1056
+NWCCRCPPWCPRPGPPPRRRAAAM
+>NM_033200|52319_3_609_799
+MAAVPPHVRLRRGQADQPLPCVVGAHCPHLPLRDPVPAHARRLVRTPPAGLAAQAQRGGHLPN
+>DECOY_NM_033200|52319_3_609_799
+NPLHGGRQAQAALGAPPTRVLRRAHAPVPDRLPLHPCHAGVVCPLPQDAQGRRLRVHPPVAAM
+>NM_001013661|52377_2_293_477
+MVPMGWTSSGCRSTQTPPTTERTCSLVTRTRGSTMAAFPICSRGSALQPQTQASTMPPSTS
+>DECOY_NM_001013661|52377_2_293_477
+STSPPMTSAQTQPQLASGRSCIPFAAMTSGRTRTVLSCTRETTPPTQTSRCGSSTWGMPVM
+>NM_001013661|52379_2_395_477
+MAAFPICSRGSALQPQTQASTMPPSTS
+>DECOY_NM_001013661|52379_2_395_477
+STSPPMTSAQTQPQLASGRSCIPFAAM
+>NM_207467|52408_2_532_617
+MDEGHGGASPAAWFQLFPPHHSFCSWED
+>DECOY_NM_207467|52408_2_532_617
+DEWSCFSHHPPFLQFWAAPSAGGHGEDM
+>NM_207467|52415_3_545_624
+MGEQAQLHGSSSFRHITASAVGKTEQ
+>DECOY_NM_207467|52415_3_545_624
+QETKGVASATIHRFSSSGHLQAQEGM
+>NM_014732|52452_2_323_639
+MAPWGTVHQRVRPLSLRTVRMTWASRPRTRPGTKTAVPPPTSPSPPTRAPSLPRMKRPWHSGTSCVATWRRSSLEGRTWIRRRKPSLESTAAVKMEKAGSGLLDT
+>DECOY_NM_014732|52452_2_323_639
+TDLLGSGAKEMKVAATSELSPKRRRIWTRGELSSRRWTAVCSTGSHWPRKMRPLSPARTPPSPSTPPPVATKTGPRTRPRSAWTMRVTRLSLPRVRQHVTGWPAM
+>NM_014696|52506_3_1304_1380
+MGGVRSCGGPGGARCGHPEAPGDAV
+>DECOY_NM_014696|52506_3_1304_1380
+VADGPAEPHGCRAGGPGGCSRVGGM
+>NM_001029864|52624_2_1611_1699
+MGDFPSPCPALAETPPPLSPPLLGSNSHS
+>DECOY_NM_001029864|52624_2_1611_1699
+SHSNSGLLPPSLPPPTEALAPCPSPFDGM
+>NM_001029864|52625_2_1752_1873
+MGPGKSCVPSTLLNPTEPNLWGKLEQLRPKHLAQPLPPAH
+>DECOY_NM_001029864|52625_2_1752_1873
+HAPPLPQALHKPRLQELKGWLNPETPNLLTSPVCSKGPGM
+>NM_001029864|52631_2_2886_3094
+MEVWRQWRKPTQNLRTSSSRLQPSTAEAWSCPSRPLSWELQPEGLGRQNVQSSQSWQPLPLPSGPSRLS
+>DECOY_NM_001029864|52631_2_2886_3094
+SLRSPGSPLPLPQWSQSSQVNQRGLGEPQLEWSLPRSPCSWAEATSPQLRSSSTRLNQTPKRWQRWVEM
+>NM_023073|52773_2_4086_4270
+MAFLCFNLSLNKNSSPFSYIQEVFHKFPSGLCHNQERLGDYLTPSNLLCHREQHKLLQHPI
+>DECOY_NM_023073|52773_2_4086_4270
+IPHQLLKHQERHCLLNSPTLYDGLREQNHCLGSPFKHFVEQIYSFPSSNKNLSLNFCLFAM
+>NM_021942|52871_3_1636_1739
+MVDSAHFCINYSSEVLLPHGPIKGLHYLLPRTPW
+>DECOY_NM_021942|52871_3_1636_1739
+WPTRPLLYHLGKIPGHPLLVESSYNICFHASDVM
+>NM_001112726|52945_2_753_1066
+MVARCLTPSARESPTQSAPRDQCSRTGSSTASAPLLSLRAARSGGSPATSRSPRRRPRSRRSPPRCRHTRCPRRMQRQVGAERPLWCRATPPSPSSLMTAALAR
+>DECOY_NM_001112726|52945_2_753_1066
+RALAATMLSSPSPPTARCWLPREAGVQRQMRRPCRTHRCRPPSRRSRPRRRPSRSTAPSGGSRAARLSLLPASATSSGTRSCQDRPASQTPSERASPTLCRAVM
+>NM_001112726|52950_2_2262_2689
+MAWDVEAGSRRGPCLCACGDGSLSCPVRGLTALRAQRAAGGVGLGHRSWTVSSPAASSARRSWILTASAMPVGRTGAEAPSQGWSHRTADAGAPRRGPRGAGVGAHQGPPGSQLPPLSSLGTRMGTLCYLGNRLRLQGMGRA
+>DECOY_NM_001112726|52950_2_2262_2689
+ARGMGQLRLRNGLYCLTGMRTGLSSLPPLQSGPPGQHAGVGAGRPGRRPAGADATRHSWGQSPAEAGTRGVPMASATLIWSRRASSAAPSSVTWSRHGLGVGGAARQARLATLGRVPCSLSGDGCACLCPGRRSGAEVDWAM
+>NM_001112726|52952_2_2724_2959
+MASMSVPMGEWSSSYGLDGPQNPTALPQPFSGKRASLRSQPVVPQRPASPPTSPATRFYRTWPLPGPHAWTSTPRTPT
+>DECOY_NM_001112726|52952_2_2724_2959
+TPTRPTSTWAHPGPLPWTRYFRTAPSTPPSAPRQPVVPQSRLSARKGSFPQPLATPNQPGDLGYSSSWEGMPVSMSAM
+>NM_015224|53041_2_725_804
+MECIAAFMKLWKRQELEVTWRVYCKN
+>DECOY_NM_015224|53041_2_725_804
+NKCYVRWTVELEQRKWLKMFAAICEM
+>NM_001112736|53082_2_219_448
+MAAAAASTSLSPAAALGVRRTRGPRPPRASATSSLRTPLRRARPPCPEAPKSPKGLLGGVFRSPGRAEKRKHFSSH
+>DECOY_NM_001112736|53082_2_219_448
+HSSFHKRKEARGPSRFVGGLLGKPSKPAEPCPPRARRLPTRLSSTASARPPRPGRTRRVGLAAAPSLSTSAAAAAM
+>NM_138804|53130_3_1199_1362
+MAAVSQGGTTGPRTQPENSCQHLLCDHAVTLPHTAGKGGGHAGTDAAQHLPPAT
+>DECOY_NM_138804|53130_3_1199_1362
+TAPPLHQAADTGAHGGGKGATHPLTVAHDCLLHQCSNEPQTRPGTTGGQSVAAM
+>NM_205548|53164_2_336_412
+MDQNTASQLWPIPLKQTVIILYRSG
+>DECOY_NM_205548|53164_2_336_412
+GSRYLIIVTQKLPIPWLQSATNQDM
+>NM_001039905|53180_3_137_267
+MGTQEGGCACWEARPLAANLRGSRGTHLRSGKPRNARRGCGLR
+>DECOY_NM_001039905|53180_3_137_267
+RLGCGRRANRPKGSRLHTGRSGRLNAALPRAEWCACGGEQTGM
+>NM_152505|53198_2_1811_1959
+MVWMTHLAKALLPTRKAPSDKEDITHSQKQLKTCIMGFLLQGGQPMPAT
+>DECOY_NM_152505|53198_2_1811_1959
+TAPMPQGGQLLFGMICTKLQKQSHTIDEKDSPAKRTPLLAKALHTMWVM
+>NM_199285|53206_2_922_1010
+MVVCLTLPWCFGAASHPCQGPSLGSLRER
+>DECOY_NM_199285|53206_2_922_1010
+RERLSGLSPGQCPHSAAGFCWPLTLCVVM
+>NM_018035|53211_2_52_140
+MGVSGASIAWVRQWPQRAVRRRKGQYSSS
+>DECOY_NM_018035|53211_2_52_140
+SSSYQGKRRRVARQPWQRVWAISAGSVGM
+>NM_018035|53213_2_337_446
+MAISLRSSGISVKCLSKLWMPVTVTSTTRAWITSSA
+>DECOY_NM_018035|53213_2_337_446
+ASSTIWARTTSTVTVPMWLKSLCKVSIGSSRLSIAM
+>NM_052861|53282_2_393_466
+MERLLGRNSWLPRGLAELNRQPRG
+>DECOY_NM_052861|53282_2_393_466
+GRPQRNLEALGRPLWSNRGLLREM
+>NM_001012754|53318_2_599_699
+MDISYEPGIIQLTHLMVYLQPVLYMNNPSGSRM
+>DECOY_NM_001012754|53318_2_599_699
+MRSGSPNNMYLVPQLYVMLHTLQIIGPEYSIDM
+>NM_001012754|53320_2_938_1065
+MGQGLLSSTYLTVLHLIQLVGCGLLTEEIKESKYLIKTLGSG
+>DECOY_NM_001012754|53320_2_938_1065
+GSGLTKILYKSEKIEETLLGCGVLQILHLVTLYTSSLLGQGM
+>NM_024789|53401_2_532_632
+MGRCWRCRSWRSGCPGPQLACSSCCACASMMAS
+>DECOY_NM_024789|53401_2_532_632
+SAMMSACACCSSCALQPGPCGSRWSRCRWCRGM
+>NM_139172|53412_2_82_299
+MESRDSSTHGAVRVTYGTGRAVGARRPSIAPTSACVSGAATAMGSATTSVQTKTCGGSTCGRWSGRAAASSS
+>DECOY_NM_139172|53412_2_82_299
+SSSAAARGSWRGCTSGGCTKTQVSTTASGMATAAGSVCASTPAISPRRAGVARGTGYTVRVAGHTSSDRSEM
+>NM_139172|53413_2_208_299
+MGSATTSVQTKTCGGSTCGRWSGRAAASSS
+>DECOY_NM_139172|53413_2_208_299
+SSSAAARGSWRGCTSGGCTKTQVSTTASGM
+>NM_152399|53435_2_779_861
+MVPAAPHKKKEEAHRENGACQFKSTSF
+>DECOY_NM_152399|53435_2_779_861
+FSTSKFQCAGNERHAEEKKKHPAAPVM
+>NM_052913|53500_2_2967_3076
+MGAPVPRDWQQIRSPLSRVFGAVFEWTAPWRRMNLC
+>DECOY_NM_052913|53500_2_2967_3076
+CLNMRRWPATWEFVAGFVRSLPSRIQQWDRPVPAGM
+>NM_173633|53530_2_476_576
+MDCSWSMRWSSPMASPSGHDTSPLMSLGSWRQM
+>DECOY_NM_173633|53530_2_476_576
+MQRWSGLSMLPSTDHGSPSAMPSSWRMSWSCDM
+>NM_018273|53582_3_98_387
+MATVARAPRAPPGPLIAGSQNGGVSQDVEPQGAPRLGPAVPRALHSLLQGAAAPPPNTGIPLESGREGGFGGVLGPRGLLHPVPLPPNPGPAAGLI
+>DECOY_NM_018273|53582_3_98_387
+ILGAAPGPNPPLPVPHLLGRPGLVGGFGGERGSELPIGTNPPPAAAGQLLSHLARPVAPGLRPAGQPEVDQSVGGNQSGAILPGPPARPARAVTAM
+>NM_001080462|53644_2_259_440
+MALSSTQDSGPYATMSCAGATHPSHPIISNIPGPSFSSLSLPYLLALAGSSALGSLIEEA
+>DECOY_NM_001080462|53644_2_259_440
+AEEILSGLASSGALALLYPLSLSSFSPGPINSIIPHSPHTAGACSMTAYPGSDQTSSLAM
+>NM_025124|53729_2_261_415
+MEPRPLRSRMGESAPGIPAELPSAAPSGPSAPSAAAPSAPTTPAAAGPNTL
+>DECOY_NM_025124|53729_2_261_415
+LTNPGAAAPTTPASPAAASPASPGSPAASPLEAPIGPASEGMRSRLPRPEM
+>NM_001078651|53736_2_261_388
+MEPRPLRSRMGESAPAPSGPSAPSAAAPSAPTTPAAAGPNTL
+>DECOY_NM_001078651|53736_2_261_388
+LTNPGAAAPTTPASPAAASPASPGSPAPASEGMRSRLPRPEM
+>NM_001077400|53794_2_1211_1530
+MGKSSSCKALSPKPLLFMVWDPRRNALHCTSCTPRGWYLSTWRPAHCTATASQASTRERCKCGWTSSPRSWGLLAPKSTSTPESLNGMSCDASSGRLPMWTWWMTI
+>DECOY_NM_001077400|53794_2_1211_1530
+ITMWWTWMPLRGSSADCSMGNLSEPTSTSKPALLGWSRPSSTWGCKCRERTSAQSATATCHAPRWTSLYWGRPTCSTCHLANRRPDWVMFLLPKPSLAKCSSSKGM
+>NM_001113382|53850_2_2731_2849
+MEWGSHRRACPRSGARWRRPTTRAAAGAGRVCASGTMGS
+>DECOY_NM_001113382|53850_2_2731_2849
+SGMTGSACVRGAGAAARTTPRRWRAGSRPCARRHSGWEM
+>NM_001113382|53855_2_4981_5327
+MGKSSSCKALSPKPLLFMVWDPRRNALHCTSCTPRGWYLSTWRPAHCTATASQASTRERCKCGWTSSPRSWGLLAPKSTSTPESLNAKPQSTVATGMSCDASSGRLPMWTWWMTI
+>DECOY_NM_001113382|53855_2_4981_5327
+ITMWWTWMPLRGSSADCSMGTAVTSQPKANLSEPTSTSKPALLGWSRPSSTWGCKCRERTSAQSATATCHAPRWTSLYWGRPTCSTCHLANRRPDWVMFLLPKPSLAKCSSSKGM
+>NM_001113382|53858_3_1058_1155
+MEPDPDLPDSATLPLQLHQVQSLGLPQEGLPG
+>DECOY_NM_001113382|53858_3_1058_1155
+GPLGEQPLGLSQVQHLQLPLTASDPLDPDPEM
+>NM_001113382|53859_3_2468_2562
+MALAGQLDSGTSEKAPPGHRHQQEPGAGGGI
+>DECOY_NM_001113382|53859_3_2468_2562
+IGGGAGPEQQHRHGPPAKESTGSDLQGALAM
+>NM_001113382|53860_3_3203_3294
+MAMGSGQTVQGPPEAGHPAPQLALHLLHLQ
+>DECOY_NM_001113382|53860_3_3203_3294
+QLHLLHLALQPAPHGAEPPGQVTQGSGMAM
+>NM_001113382|53861_3_3209_3294
+MGSGQTVQGPPEAGHPAPQLALHLLHLQ
+>DECOY_NM_001113382|53861_3_3209_3294
+QLHLLHLALQPAPHGAEPPGQVTQGSGM
+>NM_021228|53981_2_69_313
+MVRQTETPRFLLLPLSCEPSSRLWEAPCRGTCPMIKMALGVMAFDGGAAGVHGQSPVPRNQGALTRLLCWTWPRTASSQGW
+>DECOY_NM_021228|53981_2_69_313
+WGQSSATRPWTWCLLRTLAGQNRPVPSQGHVGAAGGDFAMVGLAMKIMPCTGRCPAEWLRSSPECSLPLLLFRPTETQRVM
+>NM_021228|53983_2_177_313
+MALGVMAFDGGAAGVHGQSPVPRNQGALTRLLCWTWPRTASSQGW
+>DECOY_NM_021228|53983_2_177_313
+WGQSSATRPWTWCLLRTLAGQNRPVPSQGHVGAAGGDFAMVGLAM
+>NM_021228|53984_2_192_313
+MAFDGGAAGVHGQSPVPRNQGALTRLLCWTWPRTASSQGW
+>DECOY_NM_021228|53984_2_192_313
+WGQSSATRPWTWCLLRTLAGQNRPVPSQGHVGAAGGDFAM
+>NM_021228|53987_2_1980_2221
+MAARRPRRPPRRPLAPPRVVTATAAAGGPCHPPSRTSRTTTSSPSSGPSRWAGLTSPTPEDPLLLRPPHLSGRSCTTPRD
+>DECOY_NM_021228|53987_2_1980_2221
+DRPTTCSRGSLHPPRLLLPDEPTPSTLGAWRSPGSSPSSTTTRSTRSPPHCPGGAAATATVVRPPALPRRPPRRPRRAAM
+>NM_031914|54039_2_906_1258
+MAQKYLPAKIWMEPANGVILRISPTVKMTTSLLTHSPHVKEGMPNTTAHLTKSPVWSKASGANPQRAAWRWRQLLIAGDLKIPMPLTAPPCGVQRNRTGPICRCHPGSQSPSQSVVT
+>DECOY_NM_031914|54039_2_906_1258
+TVVSQSPSQSGPHCRCIPGTRNRQVGCPPATLPMPIKLDGAILLQRWRWAARQPNAGSAKSWVPSKTLHATTNPMGEKVHPSHTLLSTTMKVTPSIRLIVGNAPEMWIKAPLYKQAM
+>NM_006848|54173_3_820_983
+MGPPRRPQRRRGIRSRASTRACLAPVRAPRPRRWKLQHWQRGQSGSVAPGLFPR
+>DECOY_NM_006848|54173_3_820_983
+RPFLGPAVSGSQGRQWHQLKWRRPRPARVPALCARTSARSRIGRRRQPRRPPGM
+>NM_024997|54191_2_373_446
+MVHPHWTLIKIQYQRKVKYSLRIA
+>DECOY_NM_024997|54191_2_373_446
+AIRLSYKVKRQYQIKILTWHPHVM
+>NM_001015508|54207_2_1345_1445
+MATAKSKAPEGGRSTRHPPHQSRWGPKSILTVS
+>DECOY_NM_001015508|54207_2_1345_1445
+SVTLISKPGWRSQHPPHRTSRGGEPAKSKATAM
+>NM_001006681|54253_2_573_694
+MELTVSMDWNFTEMKGFCLLKFFLTGWHHLTLVMPTLQIP
+>DECOY_NM_001006681|54253_2_573_694
+PIQLTPMVLTLHHWGTLFFKLLCFGKMETFNWDMSVTLEM
+>NM_001006681|54258_2_969_1075
+MAPKGSAWSFTKWKPNPLCISSSLMMISISMSTIW
+>DECOY_NM_001006681|54258_2_969_1075
+WITSMSISIMMLSSSICLPNPKWKTFSWASGKPAM
+>NM_182603|54271_2_1020_1111
+MEKMYWIWPRGSSSRTFYSLSRGLSMKEKT
+>DECOY_NM_182603|54271_2_1020_1111
+TKEKMSLGRSLSYFTRSSSGRPWIWYMKEM
+>NM_014315|54315_2_887_993
+MDICLKIKYWELLNSMKHLFGIQVIQEDGMIMYIF
+>DECOY_NM_014315|54315_2_887_993
+FIYMIMGDEQIVQIGFLHKMSNLLEWYKIKLCIDM
+>NM_014320|54325_2_424_503
+MDQPSGSARPWSLWTGIQPSRRALRN
+>DECOY_NM_014320|54325_2_424_503
+NRLARRSPQIGTWLSWPRASGSPQDM
+>NM_014320|54326_2_790_878
+MEKFSMRRFTTLQATTVLSNCLIEIMKCG
+>DECOY_NM_014320|54326_2_790_878
+GCKMIEILCNSLVTTAQLTTFRRMSFKEM
+>NM_139072|54339_2_310_665
+MGVCAPRALSRTRSTRPPPASLATAAPAPPGSPAPTASLLQILVPATLVTMATAAAAAAAAAMATSAFAMKAMKVPTVNRHFPVSQPLAGPNPWHPDSFSLFLLLRSLTKSCLALRQR
+>DECOY_NM_139072|54339_2_310_665
+RQRLALCSKTLSRLLLFLSFSDPHWPNPGALPQSVPFHRNVTPVKMAKMAFASTAMAAAAAAAAATAMTVLTAPVLIQLLSATPAPSGPPAPAATALSAPPPRTSRTRSLARPACVGM
+>NM_139072|54340_2_460_665
+MATAAAAAAAAAMATSAFAMKAMKVPTVNRHFPVSQPLAGPNPWHPDSFSLFLLLRSLTKSCLALRQR
+>DECOY_NM_139072|54340_2_460_665
+RQRLALCSKTLSRLLLFLSFSDPHWPNPGALPQSVPFHRNVTPVKMAKMAFASTAMAAAAAAAAATAM
+>NM_139072|54341_2_496_665
+MATSAFAMKAMKVPTVNRHFPVSQPLAGPNPWHPDSFSLFLLLRSLTKSCLALRQR
+>DECOY_NM_139072|54341_2_496_665
+RQRLALCSKTLSRLLLFLSFSDPHWPNPGALPQSVPFHRNVTPVKMAKMAFASTAM
+>NM_139072|54349_2_1261_1340
+MGAISPVFAFLVILESFASPRLITAS
+>DECOY_NM_139072|54349_2_1261_1340
+SATILRPSAFSELIVLFAFVPSIAGM
+>NM_139072|54350_2_1354_1691
+MEQHAFPVSVDSPASVQKDTSDLLVKKRWTPAPRLRARTTAPAMWTGYTLPATAARASQGRPVPSLLTSVPSAPVLMARAAAWAPATNASVIQVTMASTVRRNIMSASPLHA
+>DECOY_NM_139072|54350_2_1354_1691
+AHLPSASMINRRVTSAMTVQIVSANTAPAWAAARAMLVPASPVSTLLSPVPRGQSARAATAPLTYGTWMAPATTRARLRPAPTWRKKVLLDSTDKQVSAPSDVSVPFAHQEM
+>NM_139072|54357_2_1921_2108
+MVGAAWTSPMVITATARMVGWEQTVRSTSNGSPGTWRRASPTCHGTPSTSSLEPSAWPSSLC
+>DECOY_NM_139072|54357_2_1921_2108
+CLSSPWASPELSSTSPTGHCTPSARRWTGPSGNSTSRVTQEWGVMRATATIVMPSTWAAGVM
+>NM_172312|54382_2_578_867
+MALALALVLAVALSLALALVLVLALVLALVLVMALALILVLPLGLVQTLALTLSSAPVFLQGSETWWQIGSLTIPPGVSTAPGSPRNNHLGNFCKS
+>DECOY_NM_172312|54382_2_578_867
+SKCFNGLHNNRPSGPATSVGPPITLSGIQWWTESGQLFVPASSLTLALTQVLGLPLVLILALAMVLVLALVLALVLVLALALSLAVALVLALALAM
+>NM_172312|54383_2_674_867
+MALALILVLPLGLVQTLALTLSSAPVFLQGSETWWQIGSLTIPPGVSTAPGSPRNNHLGNFCKS
+>DECOY_NM_172312|54383_2_674_867
+SKCFNGLHNNRPSGPATSVGPPITLSGIQWWTESGQLFVPASSLTLALTQVLGLPLVLILALAM
+>NM_172312|54388_3_1380_1495
+MVAIAHPGTSSRRLSDLERMGVYRSPGGPFRSPKSHTW
+>DECOY_NM_172312|54388_3_1380_1495
+WTHSKPSRFPGGPSRYVGMRELDSLRRSSTGPHAIAVM
+>NM_177531|54498_2_837_925
+MEGVFHRKWHILFLLSIKLQCFKHMQRSP
+>DECOY_NM_177531|54498_2_837_925
+PSRQMHKFCQLKISLLFLIHWKRHFVGEM
+>NM_177531|54502_2_2028_2152
+MGSLLSHSLYGHQKLNFREQWKKWLALSVHHKLQILKKDLL
+>DECOY_NM_177531|54502_2_2028_2152
+LLDKKLIQLKHHVSLALWKKWQERFNLKQHGYLSHSLLSGM
+>NM_177531|54510_2_4455_4714
+MAKDSQVEDKNLHQVHFLTNLLLLESIIIAAGMLMRLTPFFSKESLMFYQLKPDTFPCTCLWVALKPHMLMEDLRICTWEALWQAA
+>DECOY_NM_177531|54510_2_4455_4714
+AAQWLAEWTCIRLDEMLMHPKLAVWLCTCPFTDPKLQYFMLSEKSFFPTLRMLMGAAIIISELLLLNTLFHVQHLNKDEVQSDKAM
+>NM_177531|54515_2_5664_5947
+MASIQATLQSLLGMNLVKLFPSTPMKSTAALPLGPLEWSMLKSLLIQLLIHLCFLHMPWRILHFSEELSQAEVHQELKLRSLDPTLALRSWKSP
+>DECOY_NM_177531|54515_2_5664_5947
+PSKWSRLALTPDLSRLKLEQHVEAQSLEESFHLIRWPMHLFCLHILLQILLSKLMSWELPGLPLAATSKMPTSPFLKVLNMGLLSQLTAQISAM
+>NM_177531|54532_2_8973_9082
+MGTGTLKQTLVLYITWCQEEMTFIRVSSFLGTWILM
+>DECOY_NM_177531|54532_2_8973_9082
+MLIWTGLFSSVRIFTMEEQCWTIYLVLTQKLTGTGM
+>NM_177531|54535_2_10098_10183
+MAHLIFEAVLFTMASLQQLVYLGQMDWT
+>DECOY_NM_177531|54535_2_10098_10183
+TWDMQGLYVLQQLSAMTFLVAEFILHAM
+>NM_177531|54537_2_10506_10609
+MAFLDVLLYKDLPFGHAGIMEFIFRPQRVCTFIM
+>DECOY_NM_177531|54537_2_10506_10609
+MIFTCVRQPRFIFEMIGAHGFPLDKYLLVDLFAM
+>NM_177531|54550_3_10228_10337
+MGECQPSPREFDCTFGLARNLSEQKRFKFNSLACSN
+>DECOY_NM_177531|54550_3_10228_10337
+NSCALSNFKFRKQESLNRALGFTCDFERPSPQCEGM
+>NM_138568|54665_2_57_307
+MGSWGPFSPLAPCGVWRMNASQMLRLRPGLPFSVCCRRTKSTGGAWRTSPAAWPRMCVSCWKSTQSEHPASARSLGSGWPTAA
+>DECOY_NM_138568|54665_2_57_307
+AATPWGSGLSRASAPHESQTSKWCSVCMRPWAAPSTRWAGGTSKTRRCCVSFPLGPRLRLMQSANMRWVGCPALPSFPGWSGM
+>NM_152496|54680_2_361_443
+MAPMVHFTAIRTAWARASHSFISTTHT
+>DECOY_NM_152496|54680_2_361_443
+THTTSIFSHSARAWATRIATFHVMPAM
+>NM_199324|54799_2_643_734
+MGTLLACLCLERFLSHSILQSIEMWNMKFG
+>DECOY_NM_199324|54799_2_643_734
+GFKMNWMEISQLISHSLFRELCLCALLTGM
+>NM_199324|54802_2_874_974
+MDQFWLKNWERSTHQRTSRHLPQKAGTQCQGRR
+>DECOY_NM_199324|54802_2_874_974
+RRGQCQTGAKQPLHRSTRQHTSREWNKLWFQDM
+>NM_199324|54811_2_2353_2432
+MDNCLTRLILNLRPLGSFCMLIMKSH
+>DECOY_NM_199324|54811_2_2353_2432
+HSKMILMCFSGLPRLNLILRTLCNDM
+>NM_199324|54821_3_239_342
+MGRTSGNKCPFSYVQERFYNLSGTKCFSFTSNRK
+>DECOY_NM_199324|54821_3_239_342
+KRNSTFSFCKTGSLNYFREQVYSFPCKNGSTRGM
+>NM_033111|54899_3_1272_1360
+MDSNDLHFGGSIRTRKQSRNIDRQTTDRK
+>DECOY_NM_033111|54899_3_1272_1360
+KRDTTQRDINRSQKRTRISGGFHLDNSDM
+>NM_014887|54916_2_737_822
+MVLRTVVKNLNLLRNNLFHFMRVIIMVS
+>DECOY_NM_014887|54916_2_737_822
+SVMIIVRMFHFLNNRLLNLNKVVTRLVM
+>NM_014887|54919_2_1265_1341
+MVSVKSEKDAGKIIVWTSIMEQTGL
+>DECOY_NM_014887|54919_2_1265_1341
+LGTQEMISTWVIIKGADKESKVSVM
+>NM_014887|54920_2_1460_1599
+MALCSALMTIFTIKMGTGIMLINLVMPMTGTRTEQNKLSIREDLQL
+>DECOY_NM_014887|54920_2_1460_1599
+LQLDERISLKNQETRTGTMPMVLNILMIGTGMKITFITMLASCLAM
+>NM_014887|54922_2_1502_1599
+MGTGIMLINLVMPMTGTRTEQNKLSIREDLQL
+>DECOY_NM_014887|54922_2_1502_1599
+LQLDERISLKNQETRTGTMPMVLNILMIGTGM
+>NM_018252|54999_2_919_1061
+MGGKQWSSGRRQVWLTTLTRGQLPKKVLNCFLWSLNGKILSSRKSKI
+>DECOY_NM_018252|54999_2_919_1061
+IKSKRSSLIKGNLSWLFCNLVKKPLQGRTLTTLWVQRRGSSWQKGGM
+>NM_001004303|55099_2_890_1053
+MEVRPSIWKGKKAWGLLQRNPGKSWKQKEPRLFLPRSTWWPPKYYITSLKIPLL
+>DECOY_NM_001004303|55099_2_890_1053
+LLPIKLSTIYYKPPWWTSRPLFLRPEKQKWSKGPNRQLLGWAKKGKWISPRVEM
+>NM_017749|55215_2_2203_2282
+MEQDPESTQFTQTQRDYLLLHTTPRG
+>DECOY_NM_017749|55215_2_2203_2282
+GRPTTHLLLYDRQTQTFQTSEPDQEM
+>NM_017749|55216_2_2434_2618
+MVTDPGTELHAMPGCLHLRLDALSQGVSCCLSTCLMLGFFMNVDSLAWLLTLLLTGSWQGQ
+>DECOY_NM_017749|55216_2_2434_2618
+QGQWSGTLLLTLLWALSDVNMFFGLMLCTSLCCSVGQSLADLRLHLCGPMAHLETGPDTVM
+>NM_017749|55219_2_2626_2729
+MDSLLWPVTLPILPTGSSGGTSLSLTSLKSVMLP
+>DECOY_NM_017749|55219_2_2626_2729
+PLMVSKLSTLSLSTGGSSGTPLIPLTVPWLLSDM
+>NM_138342|55292_2_754_836
+MVPIIKTPHTCPTSRRHWRTVALWNCS
+>DECOY_NM_138342|55292_2_754_836
+SCNWLAVTRWHRRSTPCTHPTKIIPVM
+>NM_138342|55294_2_1420_1514
+MDSPSGTFSMRPASPRLASSVATCMIGGRCL
+>DECOY_NM_138342|55294_2_1420_1514
+LCRGGIMCTAVSSALRPSAPRMSFTGSPSDM
+>NM_004240|55313_2_451_539
+MALNSWRIVSVNLSGTAGRQRRQPRLLNG
+>DECOY_NM_004240|55313_2_451_539
+GNLLRPQRRQRGATGSLNVSVIRWSNLAM
+>NM_018980|55339_2_215_327
+MEAWWSGVLENGSENSTGPHITSLSWAWLAADFSCSG
+>DECOY_NM_018980|55339_2_215_327
+GSCSFDAALWAWSLSTIHPGTSNESGNELVGSWWAEM
+>NM_014106|55361_2_1125_1363
+MVRLVNLRRIIHLMSTQFILSLFNVQSVKSVLNQSRFSMNTAVLLLEVAKFQAGSKEATTIKPLLKKSWPSLSVLGVKN
+>DECOY_NM_014106|55361_2_1125_1363
+NKVGLVSLSPWSKKLLPKITTAEKSGAQFKAVELLLVATNMSFRSQNLVSKVSQVNFLSLIFQTSMLHIIRRLNVLRVM
+>NM_022096|55388_2_805_896
+MVQMSTILPMKESQYSLELVKMHMMLKMCA
+>DECOY_NM_022096|55388_2_805_896
+ACMKLMMHMKVLELSYQSEKMPLITSMQVM
+>NM_001009814|55428_3_351_493
+MDNAEGSFGARCFSNRTSWASSTLYCYAVLGADQTGGRIHCPVKGHH
+>DECOY_NM_001009814|55428_3_351_493
+HHGKVPCHIRGGTQDAGLVAYCYLTSSAWSTRNSFCRAGFSGEANDM
+>NM_033180|55474_2_173_267
+MACSSTSSSMTTVFMSPCTTSSPCWQAQTSW
+>DECOY_NM_033180|55474_2_173_267
+WSTQAQWCPSSTTCPSMFVTTMSSSTSSCAM
+>NM_016115|55486_2_1039_1136
+MATAQTPRRALFLDSVLLCAWLSKRTVSSLEL
+>DECOY_NM_016115|55486_2_1039_1136
+LELSSVTRKSLWACLLVSDLFLARRPTQATAM
+>NM_016348|55501_3_995_1209
+MGSARKPPAWALQCRPLSSRFNQCYGVLGVLDHLHGTDTMFKQTKAYERHVLLLGFTPLSESIPDSPKRME
+>DECOY_NM_016348|55501_3_995_1209
+EMRKPSDPISESLPTFGLLLVHREYAKTQKFMTDTGHLHDLVGLVGYCQNFRSSLPRCQLAWAPPKRASGM
+>NM_018689|55577_2_1125_1480
+MDIEALLLPGYSNCSRQSMANISMFLCPVSGFKTWSGRSGSIMIKYLRLKVGRKFQTSGKLTQEKYAIVPLIYRPLQWMELTSAPRLSTKKARIIGLLATTGAEPAGATVYGSSVGSL
+>DECOY_NM_018689|55577_2_1125_1480
+LSGVSSGYVTAGAPEAGTTALLGIIRAKKTSLRPASTLEMWQLPRYILPVIAYKEQTLKGSTQFKRGVKLRLYKIMISGSRGSWTKFGSVPCLFMSINAMSQRSCNSYGPLLLAEIDM
+>NM_018689|55578_2_1179_1480
+MANISMFLCPVSGFKTWSGRSGSIMIKYLRLKVGRKFQTSGKLTQEKYAIVPLIYRPLQWMELTSAPRLSTKKARIIGLLATTGAEPAGATVYGSSVGSL
+>DECOY_NM_018689|55578_2_1179_1480
+LSGVSSGYVTAGAPEAGTTALLGIIRAKKTSLRPASTLEMWQLPRYILPVIAYKEQTLKGSTQFKRGVKLRLYKIMISGSRGSWTKFGSVPCLFMSINAM
+>NM_018689|55584_2_2109_2209
+MGRRNATLLTTVLASLSSLEPSSPRTVTARCAR
+>DECOY_NM_018689|55584_2_2109_2209
+RACRATVTRPSSPELSSLSALVTTLLTANRRGM
+>NM_018689|55587_2_2904_2989
+MAPSTSKTALSESLWPWRAGTPAPWPSA
+>DECOY_NM_018689|55587_2_2904_2989
+ASPWPAPTGARWPWLSESLATKSTSPAM
+>NM_018935|55610_2_1939_2216
+MASLRARPPPRCKCSWWTASLSPTCRSQRRPRPKPRPTRLPSTWWWHWPRCLRSSSSRCSCSWQCGCAGGAGRPQWVAARCPRAPFQGIWWT
+>DECOY_NM_018935|55610_2_1939_2216
+TWWIGQFPARPCRAAVWQPRGAGGACGCQWSCSCRSSSSRLCRPWHWWWTSPLRTPRPKPRPRRQSRCTPSLSATWWSCKCRPPPRARLSAM
+>NM_001974|55644_2_234_364
+MGKITSRIQECDAKILMNVLKAPSPVVLTHPAKTCQGGTSAAV
+>DECOY_NM_001974|55644_2_234_364
+VAASTGGQCTKAPHTLVVPSPAKLVNMLIKADCEQIRSTIKGM
+>NM_033348|55661_2_546_625
+MATWPHYRQAERPSAWSMQPWGCQPP
+>DECOY_NM_033348|55661_2_546_625
+PPQCGWPQMSWASPREAQRYHPWTAM
+>NM_006032|55689_2_184_269
+MASWTGTHSPNPTPACCSSSTLMSSGWR
+>DECOY_NM_006032|55689_2_184_269
+RWGSSMLTSSSCCAPTPNPSHTGTWSAM
+>NM_006032|55692_2_490_686
+MGRLRASPPSRSWPRRYQAQTTMCNSPSEPTSWTTRICSASLTLSWKSIRPTRTKVISWSGELRW
+>DECOY_NM_006032|55692_2_490_686
+WRLEGSWSIVKTRTPRISKWSLTLSASCIRTTWSTPESPSNCMTTQAQYRRPWSRSPPSARLRGM
+>NM_001018071|55729_2_2010_2092
+MGLMHRWALGSLPMFYLTMISLCKWPI
+>DECOY_NM_001018071|55729_2_2010_2092
+IPWKCLSIMTLYFMPLSGLAWRHMLGM
+>NM_014458|55852_2_1299_1402
+MDPLISIVLKGMTPKQTSGAVMWPLQAPAGQVLV
+>DECOY_NM_014458|55852_2_1299_1402
+VLVQGAPAQLPWMVAGSTQKPTMGKLVISILPDM
+>NM_175863|55967_2_678_772
+MAALPLRAAAPAAALGLALINMADNKAPGWG
+>DECOY_NM_175863|55967_2_678_772
+GWGPAKNDAMNILALGLAAAPAAARLPLAAM
+>NM_175863|55970_2_2352_2470
+MDLNRQDHPCRLILLLGARCMLESVAFSRVTQVGLTVHR
+>DECOY_NM_175863|55970_2_2352_2470
+RHVTLGVQTVRSFAVSELMCRAGLLLILRCPHDQRNLDM
+>NM_175863|55971_2_2478_2677
+MDHKVTTPDPQRIVGCPVQATAAQGPVWVSVPTTRCMDKGQASHVVLCPWDECHQLGCRTDHFLEI
+>DECOY_NM_175863|55971_2_2478_2677
+IELFHDTRCGLQHCEDWPCLVVHSAQGKDMCRTTPVSVWVPGQAATAQVPCGVIRQPDPTTVKHDM
+>NM_175863|55978_2_4158_4279
+MEAPTRARTAGPSRASTRIPTAGRGCRARGRSRHTESRLR
+>DECOY_NM_175863|55978_2_4158_4279
+RLRSETHRSRGRARCGRGATPIRTSARSPGATRARTPAEM
+>NM_175863|55988_2_6432_6514
+MGSRWPSTSRASTTSCTCSPRPWNHLA
+>DECOY_NM_175863|55988_2_6432_6514
+ALHNWPRPSCTCSTTSARSTSPWRSGM
+>NM_004800|56251_2_638_822
+MVRGSVILDFLLAVTLQIKAMQKMPVLLVQISMKEIHFTSSTMLTSKYTIMLLKLGPWEQD
+>DECOY_NM_004800|56251_2_638_822
+DQEWPGLKLLMITYKSTLMTSSTFHIEKMSIQVLLVPMKQMAKIQLTVALLFDLIVSGRVM
+>NM_004800|56260_3_1899_2119
+MAFIPYEWLYCSLFLNLCSTLLLFKTADHGNSKHNSVLWLYHDNGFDLLSFYRNNWLLCMLLVCYQNIQCGEG
+>DECOY_NM_004800|56260_3_1899_2119
+GEGCQINQYCVLLMCLLWNNRYFSLLDFGNDHYLWLVSNHKSNGHDATKFLLLTSCLNLFLSCYLWEYPIFAM
+>NM_031459|56292_3_862_1007
+MAHHQGTHPGLAEDRRAHLVPGRAHSGSGPAHPLPLALLLRVWLWHPP
+>DECOY_NM_031459|56292_3_862_1007
+PPHWLWVRLLLALPLPHAPGSGSHARGPVLHARRDEALGPHTGQHHAM
+>NM_005831|56313_2_416_555
+MVWSGEQVFLSNSVQKMRKTSWLLPLRERWKRLSSTTRSFAKKTRS
+>DECOY_NM_005831|56313_2_416_555
+SRTKKAFSRTTSSLRKWRERLPLLWSTKRMKQVSNSLFVQEGSWVM
+>NM_148415|56347_2_1123_1415
+MGALKRRSTVQSSGRAQGGRAPAWHPGRGSISLCLNESGKVPGEEFDAAALGAVGLALALCHLVALTIWTTAALAQVLRPVVSMEALPACPQRHSGL
+>DECOY_NM_148415|56347_2_1123_1415
+LGSHRQPCAPLAEMSVVPRLVQALAATTWITLAVLHCLALALGVAGLAAADFEEGPVKGSENLCLSISGRGPHWAPARGGQARGSSQVTSRRKLAGM
+>NM_005500|56364_2_613_743
+MGPTPREQNLILLRQRWSKRRWSSALLKKPWRWTGAVRKQRLL
+>DECOY_NM_005500|56364_2_613_743
+LLRQKRVAGTWRWPKKLLASSWRRKSWRQRLLILNQERPTPGM
+>NM_183004|56396_2_1003_1172
+MAPYPAVRHHHHHHHQMKLILLHIQWKKRRMMTGEKIQLRKLKGVEWMKSVTMQKF
+>DECOY_NM_183004|56396_2_1003_1172
+FKQMTVSKMWEVGKLKRLQIKEGTMMRRKKWQIHLLILKMQHHHHHHHRVAPYPAM
+>NM_138563|56418_2_83_234
+MVTSCWKVTSVHPTPSHGKWLSTSVDALTVALPSSPHTGCCLRPTAKAAS
+>DECOY_NM_138563|56418_2_83_234
+SAAKATPRLCCGTHPSSPLAVTLADVSTSLWKGHSPTPHVSTVKWCSTVM
+>NM_138563|56419_2_272_366
+MAQSNYGPRLGSFHTRATKRAATATTSCCCA
+>DECOY_NM_138563|56419_2_272_366
+ACCCSTTATAARKTARTHFSGLRPGYNSQAM
+>NM_001007157|56506_2_754_1034
+MEKDLERKRRKRRKKKRKRRKRRKERRKKKKQQYLRMWLLLLLPPHQPQVLLLLTHPLLFPLRQPLQRNKSASQKNGTFDETDHFWILCPWKS
+>DECOY_NM_001007157|56506_2_754_1034
+SKWPCLIWFHDTEDFTGNKQSASKNRQLPQRLPFLLPHTLLLLVQPQHPPLLLLLWMRLYQQKKKKRREKRRKRRKRKKKRRKRRKRELDKEM
+>NM_001007157|56508_2_1132_1292
+METMRMMKMREAGVMKTRMMKAMMKIIVALPVKGVARRRRVKFLAETVLMMRN
+>DECOY_NM_001007157|56508_2_1132_1292
+NRMMLVTEALFKVRRRRAVGKVPLAVIIKMMAKMMRTKMVGAERMKMMRMTEM
+>NM_001007157|56519_2_1741_1841
+MVPRSVAFVKTLALLELGFALAVMQGCAEPISM
+>DECOY_NM_001007157|56519_2_1741_1841
+MSIPEACGQMVALAFGLELLALTKVFAVSRPVM
+>NM_001007157|56524_3_2480_2562
+MGFTRQNHRAEVEYTGNFASTQGEKTK
+>DECOY_NM_001007157|56524_3_2480_2562
+KTKEGQTSAFNGTYEVEARHNQRTFGM
+>NM_014939|56619_2_1016_1164
+MELRVAIYLKLILEHLIEHQMNRYQILGVSISRKIVFKTRNHMKMALVL
+>DECOY_NM_014939|56619_2_1016_1164
+LVLAMKMHNRTKFVIKRSISVGLIQYRNMQHEILHELILKLYIAVRLEM
+>NM_014939|56621_2_1229_1317
+MAYQITLELTHFSWSNPVTLLTVLMAQII
+>DECOY_NM_014939|56621_2_1229_1317
+IIQAMLVTLLTVPNSWSFHTLELTIQYAM
+>NM_032497|56644_2_1353_1426
+MEKNSMNVKHVGNPSLSRHILLNI
+>DECOY_NM_032497|56644_2_1353_1426
+INLLIHRSLSPNGVHKVNMSNKEM
+>NM_001679|56686_2_826_911
+MGKNCMLGIYSHWLLFRSALLLTTLGKK
+>DECOY_NM_001679|56686_2_826_911
+KKGLTTLLLASRFLLWHSYIGLMCNKGM
+>NM_080874|56712_2_488_576
+MVPKPSWSHVFHPQRMRPPVKVTMNVLTS
+>DECOY_NM_080874|56712_2_488_576
+STLVNMTVKVPPRMRQPHFVHSWSPKPVM
+>NM_032752|56752_2_1564_1826
+MARTAQKSWGAPQRSSAASPPPTGAAPRPEARCRPPRSPTCVRTVGKSSAGGSTSSGICGAAGSRRSRTSARCAGSCSATARTWMGT
+>DECOY_NM_032752|56752_2_1564_1826
+TGMWTRATASCSGACRASTRSRRSGAAGCIGSSTSGGASSKGVTRVCTPSRPPRCRAEPRPAAGTPPPSAASSRQPAGWSKQATRAM
+>NM_003416|56762_2_870_1003
+MERSRTNVQSVGKSSGSARSLISIRESTRERNPLNALSVEKPSA
+>DECOY_NM_003416|56762_2_870_1003
+ASPKEVSLANLPNRERTSERISILSRASGSSKGVSQVNTRSREM
+>NM_015070|56793_2_957_1159
+MERTEDEILNDKEKRETSQGLLPQQDSIILLYLLDITHLPHNQDHLFKDILLLLVEKELLHHLISGH
+>DECOY_NM_015070|56793_2_957_1159
+HGSILHHLLEKEVLLLLIDKFLHDQNHPLHTIDLLYLLIISDQQPLLGQSTERKEKDNLIEDETREM
+>NM_015070|56805_2_1947_2065
+MESETVVTTEREIKDQAHQFDIREGMTSLSVMKEERNEE
+>DECOY_NM_015070|56805_2_1947_2065
+EENREEKMVSLSTMGERIDFQHAQDKIERETTVVTESEM
+>NM_015070|56809_2_2514_2662
+MDMMKENQRSAIEMKGVPALDSPRSAGVNILRTVMPTTVEMIKMKNTDS
+>DECOY_NM_015070|56809_2_2514_2662
+SDTNKMKIMEVTTPMVTRLINVGASRPSDLAPVGKMEIASRQNEKMMDM
+>NM_015070|56814_2_3033_3178
+MVKYFHQKKDRKRKALKKNVKNPKVILIFLMKKQPSKVRRKEAHGLPL
+>DECOY_NM_015070|56814_2_3033_3178
+LPLGHAEKRRVKSPQKKMLFILIVKPNKVNKKLAKRKRDKKQHFYKVM
+>NM_144723|56837_2_123_280
+MENQCSLSSESFYGIGTTRWTWNPSLGRQLSLPRQPLNLRWEDITAMSVTVW
+>DECOY_NM_144723|56837_2_123_280
+WVTVSMATIDEWRLNLPQRPLSLQRGLSPNWTWRTTGIGYFSESSLSCQNEM
+>NM_014153|56870_2_1846_1943
+MERLTLLCSNFSRSILGNLYSFVRNVLIISLE
+>DECOY_NM_014153|56870_2_1846_1943
+ELSIILVNRVFSYLNGLISRSFNSCLLTLREM
+>NM_014153|56874_2_2620_2693
+MGYKIWSNFTNYGSRVKKMKKVKT
+>DECOY_NM_014153|56874_2_2620_2693
+TKVKKMKKVRSGYNTFNSWIKYGM
+>NM_014153|56875_2_2716_2930
+MENKFTCQQIMLKLQWTFTAGCVGKTATVRSSGRATSPPRSTKRRFSTPRTTSTAGSTASQQAISVFVIGI
+>DECOY_NM_014153|56875_2_2716_2930
+IGIVFVSIAQQSATSGATSTTRPTSFRRKTSRPPSTARGSSRVTATKGVCGATFTWQLKLMIQQCTFKNEM
+>NM_032011|56885_2_25_116
+MAEDWPCCARSWGRCAKQDPVRSATRCLRS
+>DECOY_NM_032011|56885_2_25_116
+SRLCRTASRVPDQKACRGWSRACCPWDEAM
+>NM_012408|56921_2_370_464
+MDGMISTAGFVTGKAKSFAVSSVPGFITLSV
+>DECOY_NM_012408|56921_2_370_464
+VSLTIFGPVSSVAFSKAKGTVFGATSIMGDM
+>NM_152354|56948_2_880_1775
+MERTLVRAKILSFIVKLTLARLPMNSTNGLWAANRAQTFPDIRKSPQETNPTNVKNVARASGAALPFTTIIESTQGRCPTNAMNVGKGLDLGHFFVFIREYTQGKSPINVKSVGRALIRAPTFLSIRESTLERSPTNAVSVASALVQAPFFKSTGGFTQGRNHIGVVSVERASANVHTFTFTRESTQGRNHTNAMCVERILRIALFFTLIREFTLEKNHINVKCVESASVTVHIFTYIKEITSERNHINVMSVVKASAGIQILMFTSESTQERGPISVRHVVRASVVIHTSLPIRECI
+>DECOY_NM_152354|56948_2_880_1775
+ICERIPLSTHIVVSARVVHRVSIPGREQTSESTFMLIQIGASAKVVSMVNIHNRESTIEKIYTFIHVTVSASEVCKVNIHNKELTFERILTFFLAIRLIREVCMANTHNRGQTSERTFTFTHVNASAREVSVVGIHNRGQTFGGTSKFFPAQVLASAVSVANTPSRELTSERISLFTPARILARGVSKVNIPSKGQTYERIFVFFHGLDLGKGVNMANTPCRGQTSEIITTFPLAAGSARAVNKVNTPNTEQPSKRIDPFTQARNAAWLGNTSNMPLRALTLKVIFSLIKARVLTREM
+>NM_152354|56957_3_497_585
+MAKPDTGSYPRIVEESQHNDRAPELSGKI
+>DECOY_NM_152354|56957_3_497_585
+IKGSLEPARDNHQSEEVIRPYSGTDPKAM
+>NM_144726|57038_3_1799_1878
+MAVCPGDLPSVPLPSEKLLPASRIRN
+>DECOY_NM_144726|57038_3_1799_1878
+NRIRSAPLLKESPLPVSPLDGPCVAM
+>NM_001001850|57074_2_624_721
+MVHLQWSQGYLNLSMLQCSAIFSKSCLYTMTQ
+>DECOY_NM_001001850|57074_2_624_721
+QTMTYLCSKSFIASCQLMSLNLYGQSWQLHVM
+>NM_006511|57086_2_655_773
+MGSHRMWILQVRRKVFHLQNAVAAQIQKHLWKSIQLNSP
+>DECOY_NM_006511|57086_2_655_773
+PSNLQISKWLHKQIQAAVANQLHFVKRRVQLIWMRHSGM
+>NM_006511|57090_2_976_1055
+MAITPLQVSVAVVSLLWSQQKNLARL
+>DECOY_NM_006511|57090_2_976_1055
+LRALNKQQSWLLSVVAVSVQLPTIAM
+>NM_006453|57133_2_490_569
+MGPCASGTSCGTTGHTTSEARPVSCT
+>DECOY_NM_006453|57133_2_490_569
+TCSVPRAESTTHGTTGCSTGSACPGM
+>NM_052897|57150_2_393_469
+MGPASAVWSVHLMSPRFSTLTLWPR
+>DECOY_NM_052897|57150_2_393_469
+RPWLTLTSFRPSMLHVSWVASAPGM
+>NM_052897|57155_2_2133_2245
+MGRDLQREPGVQVGSHFQAWETCPPYFSPHFQPPLPS
+>DECOY_NM_052897|57155_2_2133_2245
+SPLPPQFHPSFYPPCTEWAQFHSGVQVGPERQLDRGM
+>NM_052897|57159_2_2970_3058
+MGSWLKGVLSPRIHPLPGPILRTLRCPRE
+>DECOY_NM_052897|57159_2_2970_3058
+ERPCRLTRLIPGPLPHIRPSLVGKLWSGM
+>NM_005140|57184_2_558_922
+MAKATRMARTKAPRRNLNYLSWTQLGIGTTAGYLSLPCPSFTTGACWWPEPASVTYRKATTWCGWCWIMSQMWSTLRTSSSDCAQVSWSRGCWSKIPRNCETTTSTPCSSSWMWLPSSPLT
+>DECOY_NM_005140|57184_2_558_922
+TLPSSPLWMWSSSCPTSTTTECNRPIKSWCGRSWSVQACDSSSTRLTSWMQSMIWCWGCWTTAKRYTVSAPEPWWCAGTTFSPCPLSLYGATTGIGLQTWSLYNLNRRPAKTRAMRTAKAM
+>NM_005140|57185_2_576_922
+MARTKAPRRNLNYLSWTQLGIGTTAGYLSLPCPSFTTGACWWPEPASVTYRKATTWCGWCWIMSQMWSTLRTSSSDCAQVSWSRGCWSKIPRNCETTTSTPCSSSWMWLPSSPLT
+>DECOY_NM_005140|57185_2_576_922
+TLPSSPLWMWSSSCPTSTTTECNRPIKSWCGRSWSVQACDSSSTRLTSWMQSMIWCWGCWTTAKRYTVSAPEPWWCAGTTFSPCPLSLYGATTGIGLQTWSLYNLNRRPAKTRAM
+>NM_017643|57284_2_456_679
+MGKSTHTQMVNLAWLPVRCVGWLASEMLFTLKQSVSVAFHVQEVTRQTPRRQAFWPDFRVSLQQRKQKFFRNNL
+>DECOY_NM_017643|57284_2_456_679
+LNNRFFKQKRQQLSVRFDPWFAQRRPTQRTVEQVHFAVSVSQKLTFLMESALWGVCRVPLWALNVMQTHTSKGM
+>NM_017643|57294_3_1489_1562
+MVQGRNEIGSYRPIKSFYNMCRNH
+>DECOY_NM_017643|57294_3_1489_1562
+HNRCMNYFSKIPRYSGIENRGQVM
+>NM_152655|57317_2_1324_1439
+MGRSSAIIPTSLHIRKFKVERNLPYVLSVGRPLPTGQS
+>DECOY_NM_152655|57317_2_1324_1439
+SQGTPLPRGVSLVYPLNREVKFKRIHLSTPIIASSRGM
+>NM_144965|57371_2_2066_2589
+MVPEKSRPPRARGRALARLSPPRARGGTPARPRPLYTRGTPARPRPPKARGGTPARPGPPRARGRAPARLRPLRARGRAPARLRPPRAQGRSPARPRPPGAQGRGPERSRLLVAGAGDPARLMPPRAEAGDCSEVPPRLRLSMTQTGASAKLSMPKARARGPARLRVPRARARA
+>DECOY_NM_144965|57371_2_2066_2589
+ARARARPVRLRAPGRARAKPMSLKASAGTQTMSLRLRPPVESCDGAEARPPMLRAPDGAGAVLLRSREPGRGQAGPPRPRAPSRGQARPPRLRAPARGRARLPRLRAPARGRARPPGPRAPTGGRAKPPRPRAPTGRTYLPRPRAPTGGRARPPSLRALARGRARPPRSKEPVM
+>NM_144965|57375_3_132_205
+MGDSSPQRDPAAHLWDQPRVPKHL
+>DECOY_NM_144965|57375_3_132_205
+LHKPVRPQDWLHAAPDRQPSSDGM
+>NM_006779|57390_2_676_938
+MAPSTSPSSSPAPPPCVGGSSRTAHPLCSRTPSPSRLSVDPRLSPCPQPRLHPSPLACTWRPLSLPHRREGVWTSGGFQRLAPPTVD
+>DECOY_NM_006779|57390_2_676_938
+DVTPPALRQFGGSTWVGERRHPLSLPRWTCALPSPHLRPQPCPSLRPDVSLRSPSPTRSCLPHATRSSGGVCPPPAPSSSPSTSPAM
+>NM_197965|57408_2_955_1040
+MEKRTQVAQKSAIRGNRLLPERPMPSWR
+>DECOY_NM_197965|57408_2_955_1040
+RWSPMPREPLLRNGRIASKQAVQTRKEM
+>NM_014925|57514_2_2445_2554
+MGATPFWASHYSTICPSALPCSMASQLTRCTRDRVD
+>DECOY_NM_014925|57514_2_2445_2554
+DVRDRTCRTLQSAMSCPLASPCITSYHSAWFPTAGM
+>NM_014925|57515_2_2559_2647
+METGARDKHSNLPPLTWGQQMLSWGGCWR
+>DECOY_NM_014925|57515_2_2559_2647
+RWCGGWSLMQQGWTLPPLNSHKDRAGTEM
+>NM_014925|57517_2_2793_2893
+MAATRTSLPCTPLWLCSPAPWLPKMPPFVSTTP
+>DECOY_NM_014925|57517_2_2793_2893
+PTTSVFPPMKPLWPAPSCLWLPTCPLSTRTAAM
+>NM_172225|57530_2_190_296
+MVPSTANNVAAAQRSRLSSSRPWKRPSRRLTTQMW
+>DECOY_NM_172225|57530_2_190_296
+WMQTTLRRSPRKWPRSSSLRSRQAAAVNNATSPVM
+>NM_015684|57544_2_930_1030
+MARRGGRRTTTTFQQALWTNTRFRRSTPPTLVS
+>DECOY_NM_015684|57544_2_930_1030
+SVLTPPTSRRFRTNTWLAQQFTTTTRRGGRRAM
+>NM_173543|57562_2_1939_2114
+MALRCPSQTGSLQSKASRAHWSPERPSQRPGPCRWPCHPHRQSHPHQLVRAMAAMAPA
+>DECOY_NM_173543|57562_2_1939_2114
+APAMAAMARVLQHPHSQRHPHCPWRCPGPRQSPREPSWHARSAKSQLSGTQSPCRLAM
+>NM_017958|57581_2_807_916
+MGKQLVFVQKAQMIAWPGNLHSKILGQTQRMWALQS
+>DECOY_NM_017958|57581_2_807_916
+SQLAWMRQTQGLIKSHLNGPWAIMQAKQVFVLQKGM
+>NM_017958|57586_2_993_1201
+MGHTVVRTRQELKLSTLRMGRRMPCPTSTHMQDFMDSSLLTKSSFESAIETTTATWHWACWQEQPRAWP
+>DECOY_NM_017958|57586_2_993_1201
+PWARPQEQWCAWHWTATTTEIASEFSSKTLLSSDMFDQMHTSTPCPMRRGMRLTSLKLEQRTRVVTHGM
+>NM_006438|57617_2_229_500
+MVKKEIQEKRESMAKWDAWGRKELKENWVIWEIGAILARLGPLGRRVTKGKKVCLEYLEKKAKQVLSVIVEDTGNLLDNWILVLPGSRHL
+>DECOY_NM_006438|57617_2_229_500
+LHRSGPLVLIWNDLLNGTDEVIVSLVQKAKKELYELCVKKGKTVRRGLPGLRALIAGIEWIVWNEKLEKRGWADWKAMSERKEQIEKKVM
+>NM_006438|57618_2_265_500
+MAKWDAWGRKELKENWVIWEIGAILARLGPLGRRVTKGKKVCLEYLEKKAKQVLSVIVEDTGNLLDNWILVLPGSRHL
+>DECOY_NM_006438|57618_2_265_500
+LHRSGPLVLIWNDLLNGTDEVIVSLVQKAKKELYELCVKKGKTVRRGLPGLRALIAGIEWIVWNEKLEKRGWADWKAM
+>NM_014723|57687_2_801_889
+MAWPRRMALGSQPVGPLPAPSPAAPPTPS
+>DECOY_NM_014723|57687_2_801_889
+SPTPPAAPSPAPLPGVPQSGLAMRRPWAM
+>NM_080603|57762_3_494_573
+MGESLYHPGGSSFPSTAYPSYGVPHS
+>DECOY_NM_080603|57762_3_494_573
+SHPVGYSPYATSPFSSGGPHYLSEGM
+>NM_174933|57769_2_976_1049
+MDSWCWKDSCLRKSVWPCNKGLAR
+>DECOY_NM_174933|57769_2_976_1049
+RALGKNCPWVSKRLCSDKWCWSDM
+>NM_174933|57772_3_1514_1785
+MVRAPVGSAPGTSFLGSEPARDNSLFVPTPVQRGALVLIHGEVVHKSKQNLSDRSRQAYTFHLMEASGTTWSPENWLQPTAELPFPQLYT
+>DECOY_NM_174933|57772_3_1514_1785
+TYLQPFPLEATPQLWNEPSWTTGSAEMLHFTYAQRSRDSLNQKSKHVVEGHILVLAGRQVPTPVFLSNDRAPESGLFSTGPASGVPARVM
+>NM_020957|57824_2_3094_3371
+MASLRARPPPRCTCSWWTASPSPSCRSQRRPPARPRPTRSLSTWWWRWPRCRRSSSFRCSCSWRCGCAGGAGRPRWAAARCLRAPFQGVWWT
+>DECOY_NM_020957|57824_2_3094_3371
+TWWVGQFPARLCRAAAWRPRGAGGACGCRWSCSCRFSSSRRCRPWRWWWTSLSRTPRPRAPPRRQSRCSPSPSATWWSCTCRPPPRARLSAM
+>NM_032870|57847_2_457_710
+MGISKGIQTSTECGNQNGECISNPHTPLQISHGCHQHQAQWTLFLLLKTATVRTVGNLPLTTGIYLTRTITTLVDHPIILQWGQ
+>DECOY_NM_032870|57847_2_457_710
+QGWQLIIPHDVLTTITRTLYIGTTLPLNGVTRVTATKLLLFLTWQAQHQHCGHSIQLPTHPNSICEGNQNGCETSTQIGKSIGM
+>NM_032870|57848_2_730_878
+MGLLLVHRKVDFILLIGNQDLQDLQHLPRIEEKGHHHSGIVSVHLLHFL
+>DECOY_NM_032870|57848_2_730_878
+LFHLLHVSVIGSHHHGKEEIRPLHQLDQLDQNGILLIFDVKRHVLLLGM
+>NM_032870|57850_2_1060_1202
+MALVYLREVNLIVMRKKKTLKMLRLQVVGKSPEVHPQFLKKSTVTLR
+>DECOY_NM_032870|57850_2_1060_1202
+RLTVTSKKLFQPHVEPSKGVVQLRLMKLTKKKRMVILNVERLYVLAM
+>NM_032870|57854_2_1399_1592
+MDQETVKMRGVTEDLSHLTLMMKNYGIESGKNRKLFGEKKKNSSYYMINRWKKKSSKQKGLQKR
+>DECOY_NM_032870|57854_2_1399_1592
+RKQLGKQKSSKKKWRNIMYYSSNKKKEGFLKRNKGSEIGYNKMMLTLHSLDETVGRMKVTEQDM
+>NM_032870|57861_3_506_597
+MGNASATPTPPSRSAMDATNTRPNGHCSSF
+>DECOY_NM_032870|57861_3_506_597
+FSSCHGNPRTNTADMASRSPPTPTASANGM
+>NM_005773|57981_2_572_702
+MVRNCIQTGHVGNNYNLLHTFISTRSSMLDRNTSEAMGAETCF
+>DECOY_NM_005773|57981_2_572_702
+FCTEAGMAESTNRDLMSSRTSIFTHLLNYNNGVHGTQICNRVM
+>NM_005374|58058_2_1437_1663
+MAPRCPTPPGGRKTQSGKVRVTALCPVGRWRLTSVLGATWSMANTRATCMAHVLTPSGAWSLLGRCACWMSTPRR
+>DECOY_NM_005374|58058_2_1437_1663
+RRPTSMWCACRGLLSWAGSPTLVHAMCTARTNAMSWTAGLVSTLRWRGVPCLATVRVKGSQTKRGGPPTPCRPAM
+>NM_005374|58059_2_1560_1663
+MANTRATCMAHVLTPSGAWSLLGRCACWMSTPRR
+>DECOY_NM_005374|58059_2_1560_1663
+RRPTSMWCACRGLLSWAGSPTLVHAMCTARTNAM
+>NM_001004312|58079_2_826_956
+MVASTASTWPAARTAGRIVQSSVRPARRASFTGSPARSCWRRR
+>DECOY_NM_001004312|58079_2_826_956
+RRRWCSRAPSGTFSARRAPRVSSQVIRGATRAAPWTSATSAVM
+>NM_001013356|58100_3_590_693
+MDFGLCWYHIHLLCSDCLCLLHVHYFCHPEDELS
+>DECOY_NM_001013356|58100_3_590_693
+SLEDEPHCFYHVHLLCLCDSCLLHIHYWCLGFDM
+>NM_153371|58142_2_1111_1451
+MGSLPETGDFLLETRFFRSTTTISAMCPITMPELSFPSPATHCILLCFERGALATEHTTILIVTLHEKRFSKWLFINGTLVNSLALNWCEGQMSQGFLFLTCWKGGWLPRTAG
+>DECOY_NM_153371|58142_2_1111_1451
+GATRPLWGGKWCTLFLFGQSMQGECWNLALSNVLTGNIFLWKSFRKEHLTVILITTHETALAGREFCLLICHTAPSPFSLEPMTIPCMASITTTSRFFRTELLFDGTEPLSGM
+>NM_018296|58201_2_1484_1710
+MVSKMLQAASLSLVTWVVCTVWLETTVPPSLPEPPMWPLSSDSSWSLWISTGMAPAPSSSTRSFSVLPEICFCLW
+>DECOY_NM_018296|58201_2_1484_1710
+WLCFCIEPLVSFSRTSSSPAPAMGTSIWLSWSSDSSLPWMPPEPLSPPVTTELWVTCVVWTVLSLSAAQLMKSVM
+>NM_018296|58206_3_30_145
+MGAGRGRHSPPGGADAGAAGTGGVSFIAGILCWQNPFH
+>DECOY_NM_018296|58206_3_30_145
+HFPNQWCLIGAIFSVGGTGAAGADAGGPPSHRGRGAGM
+>NM_017661|58306_2_440_534
+MVHSVEVLLLHSSLQVNTTRIQHQIQCLPHQ
+>DECOY_NM_017661|58306_2_440_534
+QHPLCQIQHQIRTTNVQLSSHLLLVEVSHVM
+>NM_198040|58400_2_937_1100
+MATAVCQGAWKAGLGSAGRFLLWLPTPSLHQPMLSCSHTSSSHSHPQSTCSPNL
+>DECOY_NM_198040|58400_2_937_1100
+LNPSCTSQPHSHSSSTHSCSLMPQHLSPTPLWLLFRGASGLGAKWAGQCVATAM
+>NM_198040|58401_2_1354_1637
+MDIPRACPTPLNAGSSTLQLSSYNCSLLHHPSSVSLMTGKKWHQGRKVCLRRGLAHHHISRLLSLPCLVACLYPRALTSSRPQLTRQGRALFMH
+>DECOY_NM_198040|58401_2_1354_1637
+HMFLARGQRTLQPRSSTLARPYLCAVLCPLSLLRSIHHHALGRRLCVKRGQHWKKGTMLSVSSPHHLLSCNYSSLQLTSSGANLPTPCARPIDM
+>NM_152657|58472_2_1081_1598
+METAKGALVLPRRLRPKPGPYRRHPTPPSQARSPNSTGLALPTALNATSASTGLAEASGRRDGVRPHSLGLGAPLRHHQSRYTQLPGPGGPHLPCWRRLRSSSQHPPMASPCARGLQACRSYHRCHRPHRRPHCSHQRSSQRRCRWLPRSPRVWATRSQPWLPPQPLLCPQP
+>DECOY_NM_152657|58472_2_1081_1598
+PQPCLLPQPPLWPQSRTAWVRPSRPLWRCRRQSSRQHSCHPRRHPRHCRHYSRCAQLGRACPSAMPPHQSSSRLRRWCPLHPGGPGPLQTYRSQHHRLPAGLGLSHPRVGDRRGSAEALGTSASTANLATPLALGTSNPSRAQSPPTPHRRYPGPKPRLRRPLVLAGKATEM
+>NM_152657|58474_2_1756_1979
+MACMEMVLANELQLPCLTAVVEGVVAAGPLRLGQLTPALRATGCPSRCLTPVPASVTATTSHAIAACHATSLPG
+>DECOY_NM_152657|58474_2_1756_1979
+GPLSTAHCAAIAHSTTATVSAPVPTLCRSPCGTARLAPTLQGLRLPGAAVVGEVVATLCPLQLENALVMEMCAM
+>NM_152657|58476_2_1771_1979
+MVLANELQLPCLTAVVEGVVAAGPLRLGQLTPALRATGCPSRCLTPVPASVTATTSHAIAACHATSLPG
+>DECOY_NM_152657|58476_2_1771_1979
+GPLSTAHCAAIAHSTTATVSAPVPTLCRSPCGTARLAPTLQGLRLPGAAVVGEVVATLCPLQLENALVM
+>NM_001099681|58556_3_505_632
+MARSQPRSWRAGGNGVSQQQHFPSSAPSIPDDAQEDPGQPGA
+>DECOY_NM_001099681|58556_3_505_632
+AGPQGPDEQADDPISPASSPFHQQQSVGNGGARWSRPQSRAM
+>NM_022779|58569_2_2025_2137
+MGAACSFWLLRRQNMSTRWLLTKSTFLRLRWKIFCVF
+>DECOY_NM_022779|58569_2_2025_2137
+FVCFIKWRLRLFTSKTLLWRTSMNQRRLLWFSCAAGM
+>NM_022779|58572_3_2167_2243
+MGSPEIPCCWPPGNPRASHSLADGI
+>DECOY_NM_022779|58572_3_2167_2243
+IGDALSHSARPNGPPWCCPIEPSGM
+>NM_003803|58612_2_4298_4425
+MEKQLTILLLFSLEMFSKSSRKKLNSSGKNGSGNKVLTLLSI
+>DECOY_NM_003803|58612_2_4298_4425
+ISLLTLVKNGSGNKGSSNLKKRSSKSFMELSFLLLITLQKEM
+>NM_003803|58614_2_4817_5016
+MGPPLGTQTELRPGSLESRSGYKSTSPPRMTKGSMSWSSLMAKLDIRRQWISLDKHTMRPMLNSRG
+>DECOY_NM_003803|58614_2_4817_5016
+GRSNLMPRMTHKDLSIWQRRIDLKAMLSSWSMSGKTMRPPSTSKYGSRSELSGPRLETQTGLPPGM
+>NM_003803|58618_2_5288_5367
+MARRPATSPSACSSQRRRRGWPPWSP
+>DECOY_NM_003803|58618_2_5288_5367
+PSWPPWGRRRRQSSCASPSTAPRRAM
+>NM_001321|58646_2_279_478
+MGQKATVMARALARLTWTVARGWASNQRVFSLTGLQQIQTLLNLLRNMEVLRSVPDVGILYMLPRR
+>DECOY_NM_001321|58646_2_279_478
+RRPLMYLIGVDPVSRLVEMNRLLNLLTQIQQLGTLSFVRQNSAWGRAVTWTLRALARAMVTAKQGM
+>NM_001321|58647_2_300_478
+MARALARLTWTVARGWASNQRVFSLTGLQQIQTLLNLLRNMEVLRSVPDVGILYMLPRR
+>DECOY_NM_001321|58647_2_300_478
+RRPLMYLIGVDPVSRLVEMNRLLNLLTQIQQLGTLSFVRQNSAWGRAVTWTLRALARAM
+>NM_173092|58673_2_2514_2647
+METWMTVVQSTGTPPPGCLTWLWQRTKLWHHPQNRNSLRGSGHP
+>DECOY_NM_173092|58673_2_2514_2647
+PHGSGRLSNRNQPHHWLKTRQWLWTLCGPPPTGTSQVVTMWTEM
+>NM_080614|58783_2_496_632
+MGMHPVPRGINAAAPAVAAPASETLREGGAVIVQKFWWACALLAV
+>DECOY_NM_080614|58783_2_496_632
+VALLACAWWFKQVIVAGGERLTESAPAAVAPAAANIGRPVPHMGM
+>NM_016511|58807_2_514_602
+METIATSSIKTAKVGRTVNISALVKTLPC
+>DECOY_NM_016511|58807_2_514_602
+CPLTKVLASINVTRGVKATKISSTAITEM
+>NM_001004713|58848_3_443_516
+MDDHQPPVSHTHLPHGSTDLLRRL
+>DECOY_NM_001004713|58848_3_443_516
+LRRLLDTSGHPLHTHSVPPQHDDM
+>NM_024859|58854_2_522_772
+MAQHSAVVVWRSGTSCSTSTESQRRASPMPRPWSGSELEAPSSTWLFVGLWRPTLASLEGWESPEKELSRHGQIAAQILEGRR
+>DECOY_NM_024859|58854_2_522_772
+RRGELIQAAIQGHRSLEKEPSEWGELSALTPRWLGVFLWTSSPAELESGSWPRPMPSARRQSETSTSCSTGSRWVVVASHQAM
+>NM_015047|58987_2_659_810
+MERLFSRLGFQLRGCSTCLEPVVWWMRLSWCVLTRAHVPSKLWLWRRNGS
+>DECOY_NM_015047|58987_2_659_810
+SGNRRWLWLKSPVHARTLVCWSLRMWWVVPELCTSCGRLQFGLRSFLREM
+>NM_015047|58990_2_1091_1215
+MGQWGAFRRSLVQRTLWLASIRPTPLTYTSWRQVGGCWTPR
+>DECOY_NM_015047|58990_2_1091_1215
+RPTWCGGVQRWSTYTLPTPRISALWLTRQVLSRRFAGWQGM
+>NM_015047|59002_3_801_982
+MGVETDPTAVSRLRIWKWIPTPGPAYPAQPSGRFPGPVLPALVPKPLCSAAVPLWNAEFA
+>DECOY_NM_015047|59002_3_801_982
+AFEANWLPVAASCLPKPVLAPLVPGPFRGSPQAPYAPGPTPIWKWIRLRSVATPDTEVGM
+>NM_012417|59011_2_501_592
+MAMGSSPRSGCISTANCLVGLELLSPKYFM
+>DECOY_NM_012417|59011_2_501_592
+MFYKPSLLELGVLCNATSICGSRPSSGMAM
+>NM_012417|59012_2_507_592
+MGSSPRSGCISTANCLVGLELLSPKYFM
+>DECOY_NM_012417|59012_2_507_592
+MFYKPSLLELGVLCNATSICGSRPSSGM
+>NM_053277|59026_2_1081_1295
+MGHSRSRGRTKRDESGARRGQGRRKQRGAKRNPPTAAHMGRPPGAPRSLRPSSATTWPRRAPPRVAARPRA
+>DECOY_NM_053277|59026_2_1081_1295
+ARPRAAVRPPARRPWTTASSPRLSRPAGPPRGMHAATPPNRKAGRQKRRGQGRRAGSEDRKTRGRSRSHGM
+>NM_032361|59048_2_626_720
+MGIVWTSFVGIQVILTYLLRRPEIKPFASGM
+>DECOY_NM_032361|59048_2_626_720
+MGSAFPKIEPRRLLYTLIVQIGVFSTWVIGM
+>NM_032361|59051_2_1163_1359
+MGKCWRQHRKIILLTLLKWRQGTNYGRYSVSLRPSQWRGTPKGLCWHLPVMTKTANMTAAGKPEL
+>DECOY_NM_032361|59051_2_1163_1359
+LEPKGAATMNATKTMVPLHWCLGKPTGRWQSPRLSVSYRGYNTGQRWKLLTLLIIKRHQRWCKGM
+>NM_003593|59109_2_600_931
+MAPKSWVQRSKSSPQFWRVVLGCSATSLPCSICTAPPSPPSTSTRQVVAATPYPTWAPHTISTSEWHPRPAPMGTSLSSQNPSIPTASSSSWPLRTVKLGAFPSARSTIL
+>DECOY_NM_003593|59109_2_600_931
+LITSRASPFAGLKVTRLPWSSSSATPISPNQSSLSTGMPAPRPHWESTSITHPAWTPYPTAAVVQRTSTSPPSPPATCISCPLSTASCGLVVRWFQPSSKSRQVWSKPAM
+>NM_003593|59112_2_1380_1675
+MGRHTCTSHQAWPLLDPRSHCSHSRTGTLSCGPSQAPPRTRLCLPTPHPATVPSYWPSLPQPGLCTTPCCQMETLALTWMPSIPHSLTSTSRETCGNS
+>DECOY_NM_003593|59112_2_1380_1675
+SNGCTERSTSTLSHPISPMWTLALTEMQCCPTTCLGPQPLSPWYSPVTAPHPTPLCLRTRPPAQSPGCSLTGTRSHSCHSRPDLLPWAQHSTCTHRGM
+>NM_003593|59115_3_1114_1466
+MEEERSHCCAQKHGQARRAGQPHWRQERKAGLPTPGLSAPWAVRLRPHPAPGTPSWPLPTTALTPPSSRPHSWQEPPAGPTYGAHTLLLWADILAPLTRPGPSWTPAAIVPTAGRAP
+>DECOY_NM_003593|59115_3_1114_1466
+PARGATPVIAAPTWSPGPRTLPALIDAWLLLTHAGYTPGAPPEQWSHPRSSPPTLATTPLPWSPTGPAPHPRLRVAWPASLGPTPLGAKREQRWHPQGARRAQGHKQACCHSREEEM
+>NM_017735|59160_2_2353_2525
+MEKSGGCMPTYMEMGRVKSLMKMKRHSSASQRHTSVTPSPIVGRKILHHLRKLFKEP
+>DECOY_NM_017735|59160_2_2353_2525
+PEKFLKRLHHLIKRGVIPSPTVSTHRQSASSHRKMKMLSKVRGMEMYTPMCGGSKEM
+>NM_017735|59163_2_2392_2525
+MGRVKSLMKMKRHSSASQRHTSVTPSPIVGRKILHHLRKLFKEP
+>DECOY_NM_017735|59163_2_2392_2525
+PEKFLKRLHHLIKRGVIPSPTVSTHRQSASSHRKMKMLSKVRGM
+>NM_014738|59296_2_696_838
+MAGRSSGPVPCIQTSTCLLRHPGPCTGPTETDTWSTCQSACWLKETS
+>DECOY_NM_014738|59296_2_696_838
+STEKLWCASQCTSWTDTETPGTCPGPHRLLCTSTQICPVPGSSRGAM
+>NM_001031732|59351_2_888_997
+MALTMRLAAVVLLMSKGTTLRMRRKEWKKMWRKMKK
+>DECOY_NM_001031732|59351_2_888_997
+KKMKRWMKKWEKRRMRLTTGKSMLLVVAALRMTLAM
+>NM_001031732|59356_2_1035_1432
+MERRRRKRRRRKRRRRRRKKKNMNRMRETRKRREMIMTLEVRPVTLVLNLFPSQMGLSDLVQAQMDQMRKRRKGRELEAYLQLFLIEVEALHQSHMQVQKRSMRNYHLPFVLSEKIKPVNSNMCFKMQDFSS
+>DECOY_NM_001031732|59356_2_1035_1432
+SSFDQMKFCMNSNVPKIKESLVFPLHYNRMSRKQVQMHSQHLAEVEILFLQLYAELERGKRRKRMQDMQAQVLDSLGMQSPFLNLVLTVPRVELTMIMERRKRTERMRNMNKKKRRRRRRKRRRRKRRRREM
+>NM_001031732|59366_2_1779_2044
+MDRKLNLNVEPSFVFCFPPMKVLTCIRSFIKCVTREECILSPDHEDVHPVENQSGMWEGVDQKIMIFITAERNQGLTIPLSFTRDQGI
+>DECOY_NM_001031732|59366_2_1779_2044
+IGQDRTFSLPITLGQNREATIFIMIKQDVGEWMGSQNEVPHVDEHDPSLICEERTVCKIFSRICTLVKMPPFCFVFSPEVNLNLKRDM
+>NM_181807|59495_2_743_819
+MDLEQSLPELLYQPSPCCWRSAQKS
+>DECOY_NM_181807|59495_2_743_819
+SKQASRWCCPSPQYLLEPLSQELDM
+>NM_058222|59560_2_706_833
+MGEITGQPSNSMLSGSRTSPNSPRCGYTVRRSSATVRNSPAQ
+>DECOY_NM_058222|59560_2_706_833
+QAPSNRVTASSRRVTYGCRPSNPSTRSGSLMSNSPQGTIEGM
+>NM_014278|59590_2_1487_1578
+MEVGNVKFSVRTILPHSQKSLLSTRRNHLN
+>DECOY_NM_014278|59590_2_1487_1578
+NLHNRRTSLLSKQSHPLITRVSFKVNGVEM
+>NM_032206|59643_3_302_375
+MAERQDEVLPPQHGPGFQERDLGP
+>DECOY_NM_032206|59643_3_302_375
+PGLDREQFGPGHQPPLVEDQREAM
+>NM_032206|59644_3_4871_4998
+MDAKEAGPQSPSAEQLHLGLAYSQTKPDDLPAEPQTEQEQYR
+>DECOY_NM_032206|59644_3_4871_4998
+RYQEQETQPEAPLDDPKTQSYALGLHLQEASPSQPGAEKADM
+>NM_019119|59666_2_1528_1622
+MATCLPSGRWTTRPCRLSTSAWAPQTAAPRL
+>DECOY_NM_019119|59666_2_1528_1622
+LRPAATQPAWASTSLRCPRTTWRGSPLCTAM
+>NM_019119|59667_2_1939_2216
+MASLLARPPPRCTCSWWTASPSPTCLSRRRPRPRPRPTCSPSTWWWRWPRCLRSSSSRCSCSWRCGCAGGAGRPRWVAARCPRVLFQGIWWT
+>DECOY_NM_019119|59667_2_1939_2216
+TWWIGQFLVRPCRAAVWRPRGAGGACGCRWSCSCRSSSSRLCRPWRWWWTSPSCTPRPRPRPRRRSLCTPSPSATWWSCTCRPPPRALLSAM
+>NM_001014286|59710_2_1338_1462
+MVKYSHVKQMKKVTARCLHHTRPQMIIQIGSLLDQRPMLRG
+>DECOY_NM_001014286|59710_2_1338_1462
+GRLMPRQDLLSGIQIIMQPRTHHLCRATVKKMQKVHSYKVM
+>NM_022828|59754_2_892_1016
+MVSPAVYFVLNQDDWQLSLWLKELPQRDGKGLVKQLVIRSD
+>DECOY_NM_022828|59754_2_892_1016
+DSRIVLQKVLGKGDRQPLEKLWLSLQWDDQNLVFYVAPSVM
+>NM_007341|59768_2_424_689
+MGFLCLPRSSMRSSTVGILTLSSLQKKRILFIPSLVWLLLQTQRDQRRLKKVEKLRHKKRAVKMWATSLKPRRRMKKKERQPQKRRKK
+>DECOY_NM_007341|59768_2_424_689
+KKRRKQPQREKKKMRRRPKLSTAWMKVARKKHRLKEVKKLRRQDRQTQLLLWVLSPIFLIRKKQLSSLTLIGVTSSRMSSRPLCLFGM
+>NM_005033|59828_2_532_623
+MEILLMLPALLQSWPYVISEDLMSLSKEMK
+>DECOY_NM_005033|59828_2_532_623
+KMEKSLSMLDESIVYPWSQLLAPLMLLIEM
+>NM_003393|59849_2_337_413
+MVGFAVPIGRQHLCMPSVLLESCTP
+>DECOY_NM_003393|59849_2_337_413
+PTCSELLVSPMCLHQRGIPVAFGVM
+>NM_134270|59887_2_2706_2932
+MGWPSVPWCTTSSLRPSTMGSLALRTDARTSRWPSHLRRPMRTARSSWIQRTWCGFESLTGRCWWTVCPWWRWTT
+>DECOY_NM_134270|59887_2_2706_2932
+TTWRWWPCVTWWCRGTLSEFGCWTRQIWSSRATRMPRRLHSPWRSTRADTRLALSGMTSPRLSSTTCWPVSPWGM
+>NM_134270|59888_2_2760_2932
+MGSLALRTDARTSRWPSHLRRPMRTARSSWIQRTWCGFESLTGRCWWTVCPWWRWTT
+>DECOY_NM_134270|59888_2_2760_2932
+TTWRWWPCVTWWCRGTLSEFGCWTRQIWSSRATRMPRRLHSPWRSTRADTRLALSGM
+>NM_015476|59915_2_1062_1156
+MALAHRPSNGSACINLSPTTQTCSQKRPTPL
+>DECOY_NM_015476|59915_2_1062_1156
+LPTPRKQSCTQTTPSLNICASGNSPRHALAM
+>NM_001098824|59933_2_595_701
+MEAAVFHHFYPTTTSAWLSSPCCVVSGPLASLPSV
+>DECOY_NM_001098824|59933_2_595_701
+VSPLSALPGSVVCCPSSLWASTTTPYFHHFVAAEM
+>NM_012329|59952_3_470_582
+MDLWNGTLCPLHRFYSISHCIMEKEPLKDSGALFSHV
+>DECOY_NM_012329|59952_3_470_582
+VHSFLAGSDKLPEKEMICHSISYFRHLPCLTGNWLDM
+>NM_001005328|59974_2_787_887
+MGTPRSRRNISCCFTASLIPCSIPLSVVLGTQK
+>DECOY_NM_001005328|59974_2_787_887
+KQTGLVVSLPISCPILSATFCCSINRRSRPTGM
+>NM_021729|59991_2_115_302
+MGPLPGPHLLLDPLLPSSFASLLASLSATQAEGAWSLEIWKARSGSCHVPYSLQASKPTNYG
+>DECOY_NM_021729|59991_2_115_302
+GYNTPKSAQLSYPVHCSGSRAKWIELSWAGEAQTASLSALLSAFSSPLLPDLLLHPGPLPGM
+>NM_021729|59993_2_406_479
+MVAIHSALESSLLFQEQSQLLYLV
+>DECOY_NM_021729|59993_2_406_479
+VLYLLQSQEQFLLSSELASHIAVM
+>NM_021729|59996_2_1078_1310
+MGGSTHCRRRTHRPNWRCCLRRTYLRWRLTLPRASIWTVMGWPRFSCSMETISTARATTMGLSSNISEPLESWSHPT
+>DECOY_NM_021729|59996_2_1078_1310
+TPHSWSELPESINSSLGMTTARATSITEMSCSFRPWGMVTWISARPLTLRWRLYTRRLCCRWNPRHTRRRCHTSGGM
+>NM_021729|59997_2_1195_1310
+MGWPRFSCSMETISTARATTMGLSSNISEPLESWSHPT
+>DECOY_NM_021729|59997_2_1195_1310
+TPHSWSELPESINSSLGMTTARATSITEMSCSFRPWGM
+>NM_021729|59998_2_1222_1310
+METISTARATTMGLSSNISEPLESWSHPT
+>DECOY_NM_021729|59998_2_1222_1310
+TPHSWSELPESINSSLGMTTARATSITEM
+>NM_021729|60001_2_2071_2306
+MVSFTFMSRGSCSSRSCTTTCSTSSTGRSSACVSAMGSRTPPCGSRPSATSLARRRTARSMWQLSSSISRTRTSCHLF
+>DECOY_NM_021729|60001_2_2071_2306
+FLHCSTRTRSISSSLQWMSRATRRRALSTASPRSGCPPTRSGMASVCASSRGTSSTSCTTTCSRSSCSGRSMFTFSVM
+>NM_021729|60003_2_2176_2306
+MGSRTPPCGSRPSATSLARRRTARSMWQLSSSISRTRTSCHLF
+>DECOY_NM_021729|60003_2_2176_2306
+FLHCSTRTRSISSSLQWMSRATRRRALSTASPRSGCPPTRSGM
+>NM_052940|60014_2_261_370
+MGSCTWSIWLWMVSGVACRSQGLSDCSPKAFLWSFA
+>DECOY_NM_052940|60014_2_261_370
+AFSWLFAKPSCDSLGQSRCAVGSVMWLWISWTCSGM
+>NM_138805|60035_3_353_447
+MDVYSKLHELQHENHPSATLAGSLAHQGDPG
+>DECOY_NM_138805|60035_3_353_447
+GPDGQHALSGALTASPHNEHQLEHLKSYVDM
+>NM_015378|60308_2_622_695
+MVSPIPPILLLLASALRMCPCKML
+>DECOY_NM_015378|60308_2_622_695
+LMKCPCMRLASALLLLIPPIPSVM
+>NM_015378|60314_2_2401_2564
+MGQHLKRPSLVMMNIRPPWPHLLTPHLPSQAAATERKHLPFLELSSVKNSFKHI
+>DECOY_NM_015378|60314_2_2401_2564
+IHKFSNKVSSLELFPLHKRETAAAQSPLHPTLLHPWPPRINMMVLSPRKLHQGM
+>NM_015378|60320_2_5926_6041
+MESSTENGSLPVVKKHSSSRLLNTDGLTLCSGENTTFA
+>DECOY_NM_015378|60320_2_5926_6041
+AFTTNEGSCLTLGDTNLLRSSSHKKVVPLSGNETSSEM
+>NM_015378|60345_2_12412_12500
+MEMSGASSEMLHTEYQTLLPSLLEHYQMA
+>DECOY_NM_015378|60345_2_12412_12500
+AMQYHELLSPLLTQYETHLMESSAGSMEM
+>NM_001080493|60361_2_517_833
+MERSHIHINNVGKPSVISTPSRHMKGPPPERNPSIVKNVQKPLVLLETSEDTWRHTMEMDLINVSCVGKPLFGPVYFICTKEHTLERNRMNVSSVLKPFLFTVPI
+>DECOY_NM_001080493|60361_2_517_833
+IPVTFLFPKLVSSVNMRNRELTHEKTCIFYVPGFLPKGVCSVNILDMEMTHRWTDESTELLVLPKQVNKVISPNREPPPGKMHRSPTSIVSPKGVNNIHIHSREM
+>NM_001080493|60363_2_685_833
+MEMDLINVSCVGKPLFGPVYFICTKEHTLERNRMNVSSVLKPFLFTVPI
+>DECOY_NM_001080493|60363_2_685_833
+IPVTFLFPKLVSSVNMRNRELTHEKTCIFYVPGFLPKGVCSVNILDMEM
+>NM_001080493|60364_2_691_833
+MDLINVSCVGKPLFGPVYFICTKEHTLERNRMNVSSVLKPFLFTVPI
+>DECOY_NM_001080493|60364_2_691_833
+IPVTFLFPKLVSSVNMRNRELTHEKTCIFYVPGFLPKGVCSVNILDM
+>NM_001080493|60369_2_1279_1448
+MDPRNARYVGKPLVVPVYFKDMKGLTLERNPINVNNVVKPSVLPVPFEDMKQLTLE
+>DECOY_NM_001080493|60369_2_1279_1448
+ELTLQKMDEFPVPLVSPKVVNNVNIPNRELTLGKMDKFYVPVVLPKGVYRANRPDM
+>NM_173462|60388_2_277_455
+MEAPAAWAPPGATALVARRAAPTAPGTSGPSSARSSTERSSRGGGIGGCPTTAPQTSVN
+>DECOY_NM_173462|60388_2_277_455
+NVSTQPATTPCGGIGGGRSSRETSSRASSPGSTGPATPAARRAVLATAGPPAWAAPAEM
+>NM_173462|60410_2_3259_3332
+MGSLSLLPDTSCSLMAPWSLAEWL
+>DECOY_NM_173462|60410_2_3259_3332
+LWEALSWPAMLSCSTDPLLSLSGM
+>NM_173462|60411_2_3337_3419
+MAASTPVSLSMGRTETSDGSSSEFWGS
+>DECOY_NM_173462|60411_2_3337_3419
+SGWFESSSGDSTETRGMSLSVPTSAAM
+>NM_173462|60421_3_2651_2748
+MAMGAGAWVQGPWTGWRCRITSATLPQLLLQD
+>DECOY_NM_173462|60421_3_2651_2748
+DQLLLQPLTASTIRCRWGTWPGQVWAGAGMAM
+>NM_173462|60422_3_2657_2748
+MGAGAWVQGPWTGWRCRITSATLPQLLLQD
+>DECOY_NM_173462|60422_3_2657_2748
+DQLLLQPLTASTIRCRWGTWPGQVWAGAGM
+>NM_173462|60423_3_3389_3462
+MGPAQSSGGADNLRTAPYCDSARG
+>DECOY_NM_173462|60423_3_3389_3462
+GRASDCYPATRLNDAGGSSQAPGM
+>NM_025163|60528_2_1072_1208
+MARTRGSLTWQSTASCSSGCCMPRPCRLRGNGCKSASRPLHKWAS
+>DECOY_NM_025163|60528_2_1072_1208
+SAWKHLPRSASKCGNGRLRCPRPMCCGSSCSATSQWTLSGRTRAM
+>NM_025163|60532_3_1688_1767
+MALPPLCGNPWHHQACRGEVQLPLQE
+>DECOY_NM_025163|60532_3_1688_1767
+EQLPLQVEGRCAQHHWPNGCLPPLAM
+>NM_016952|60564_2_1644_1780
+MVPHRQKHLSWLFLLKQIQKQRQSHFLMLLRMMTEVREMVQKLGY
+>DECOY_NM_016952|60564_2_1644_1780
+YGLKQVMERVETMMRLLMLFHSQRQKQIQKLLFLWSLHKQRHPVM
+>NM_016952|60565_2_2529_2608
+MVRVFGVQHLVLIKWLGSPIAFPAVQ
+>DECOY_NM_016952|60565_2_2529_2608
+QVAPFAIPSGLWKILVLHQVGFVRVM
+>NM_016952|60576_3_604_710
+MAGTFHRELLNPSIRKSSDFECILRGQGIIQMCSL
+>DECOY_NM_016952|60576_3_604_710
+LSCMQIIGQGRLICEFDSSKRISPNLLERHFTGAM
+>NM_032885|60627_2_685_872
+MGLPAGCPHAGPRVPLSWSRNAGTGRLCPGSPTTPGPPLAYTGWWSLGRAQARRQVTGMGHR
+>DECOY_NM_032885|60627_2_685_872
+RHGMGTVQRRAQARGLSWWGTYALPPGPTTPSGPCLRGTGANRSWSLPVRPGAHPCGAPLGM
+>NM_014964|60653_2_791_867
+MARTRASMCVRSQSNWWLSSRTRNG
+>DECOY_NM_014964|60653_2_791_867
+GNRTRSSLWWNSQSRVCMSARTRAM
+>NM_014964|60654_2_1004_1116
+MARPGAPRPPTMARLRPRCAPSTAQGPRWVRVRSCSH
+>DECOY_NM_014964|60654_2_1004_1116
+HSCSRVRVWRPGQATSPACRPRLRAMTPPRPAGPRAM
+>NM_014964|60655_2_1037_1116
+MARLRPRCAPSTAQGPRWVRVRSCSH
+>DECOY_NM_014964|60655_2_1037_1116
+HSCSRVRVWRPGQATSPACRPRLRAM
+>NM_014290|60700_2_973_1145
+MAFGYLSFHIFTKSYIKKTLIKEFYNSLNTGLIFARWRNLAVVAKIYFFIQLRESSF
+>DECOY_NM_014290|60700_2_973_1145
+FSSERLQIFFYIKAVVALNRWRAFILGTNLSNYFEKILTKKIYSKTFIHFSLYGFAM
+>NM_014290|60704_2_2815_3047
+MATCPCRATLERISERTSQMSSKSPWWTIRALSPQRNCHLLSTYQSQGNTWMCMCLWPVTQATSSSSLGRRYISWKF
+>DECOY_NM_014290|60704_2_2815_3047
+FKWSIYRRGLSSSSTAQTVPWLCMCMWTNGQSQYTSLLHCNRQPSLARITWWPSKSSMQSTRESIRELTARCPCTAM
+>NM_004514|60778_2_442_626
+MAGPLRSCRPRSPGPTPAATSTCAAWARTGYSWTACSRGAGRRRCSCRACAHSGSRAQTSR
+>DECOY_NM_004514|60778_2_442_626
+RSTQARSGSHACARCSCRRRGAGRSCATWSYGTRAWAACTSTAAPTPGPSRPRCSRLPGAM
+>NM_052899|60869_2_1160_1257
+MGLYPLGPGLLGPWEGWIPHAWGWQIPHLWEM
+>DECOY_NM_052899|60869_2_1160_1257
+MEWLHPIQWGWAHPIWGEWPGLLGPGLPYLGM
+>NM_001005159|60886_2_822_982
+MAMGRIGEQISGVTSGRLISTPLGGVSRIRRPLKPQKASEIKYLTGMSFCGRP
+>DECOY_NM_001005159|60886_2_822_982
+PRGCFSMGTLYKIESAKQPKLPRRIRSVGGLPTSILRGSTVGSIQEGIRGMAM
+>NM_001005159|60887_2_828_982
+MGRIGEQISGVTSGRLISTPLGGVSRIRRPLKPQKASEIKYLTGMSFCGRP
+>DECOY_NM_001005159|60887_2_828_982
+PRGCFSMGTLYKIESAKQPKLPRRIRSVGGLPTSILRGSTVGSIQEGIRGM
+>NM_001005159|60892_2_1590_1702
+MAYTSAPLQATQARTLTGLTTSNSVVLKLLPRGASLR
+>DECOY_NM_001005159|60892_2_1590_1702
+RLSAGRPLLKLVVSNSTTLGTLTRAQTAQLPASTYAM
+>NM_080859|60931_2_127_296
+MDSLWLPSRPVQPFMHPCTSCWPTCPLLTSASPPSLCPRCWPTCWPMTTPSRWLAA
+>DECOY_NM_080859|60931_2_127_296
+AALWRSPTTMPWCTPWCRPCLSPPSASTLLPCTPWCSTCPHMFPQVPRSPLWLSDM
+>NM_080859|60937_3_446_540
+MAGVPRPLPPVYPAHGSLVLLCFPPSAPLLL
+>DECOY_NM_080859|60937_3_446_540
+LLLPASPPFCLLVLSGHAPYVPPLPRPVGAM
+>NM_014145|60939_2_452_534
+MATLTFSLRKPLLRSLIRPSHLPLCCF
+>DECOY_NM_014145|60939_2_452_534
+FCCLPLHSPRILSRLLPKRLSFTLTAM
+>NM_015123|61007_2_2658_2971
+MVFTQRVRSHRLPVTTLPGTHPMQSVTFITVVVMSMRMTPRDSIVSTLPTGPQPTMDMSARGTTADPFTKMRSTGYPITHMQLSGCQGRLLQNRSTSPKTSTRP
+>DECOY_NM_015123|61007_2_2658_2971
+PRTSTKPSTSRNQLLRGQCGSLQMHTIPYGTSRMKTFPDATTGRASMDMTPQPGTPLTSVISDRPTMRMSMVVVTIFTVSQMPHTGPLTTVPLRHSRVRQTFVM
+>NM_015123|61012_2_2823_2971
+MDMSARGTTADPFTKMRSTGYPITHMQLSGCQGRLLQNRSTSPKTSTRP
+>DECOY_NM_015123|61012_2_2823_2971
+PRTSTKPSTSRNQLLRGQCGSLQMHTIPYGTSRMKTFPDATTGRASMDM
+>NM_015123|61016_2_3237_3388
+MAMSIILYPLQADNTQKSVNWMVQMETSWKTTWRVVSRDSFGMKIQSLEH
+>DECOY_NM_015123|61016_2_3237_3388
+HELSQIKMGFSDRSVVRWTTKWSTEMQVMWNVSKQTNDAQLPYLIISMAM
+>NM_015123|61022_3_379_491
+MVHGEAAGLPPGAADVVRAAGRVPDDRRQALPGAPPG
+>DECOY_NM_015123|61022_3_379_491
+GPPAGPLAQRRDDPVRGAARVVDAAGPPLGAAEGHVM
+>NM_001033517|61034_2_651_802
+MALHWHLWRLCIHPTAVGAYYSFCPFLEQELSNPALASYKLLSSAAISCI
+>DECOY_NM_001033517|61034_2_651_802
+ICSIAASSLLKYSALAPNSLEQELFPCFSYYAGVATPHICLRWLHWHLAM
+>NM_198563|61097_3_287_366
+MVFRHFQTSHRNAVHPLCKCIPSRSH
+>DECOY_NM_198563|61097_3_287_366
+HSRSPICKCLPHVANRHSTQFHRFVM
+>NM_138295|61168_2_1882_1988
+MAPSAWGAAPAAMSTVGKENLQWRSLPSIMSVPPL
+>DECOY_NM_138295|61168_2_1882_1988
+LPPVSMISPLSRWQLNEKGVTSMAAPAAGWASPAM
+>NM_138295|61169_2_2245_2348
+MGTTLPLPRFRLKAVWCTATTVWAWRCEPRPLSV
+>DECOY_NM_138295|61169_2_2245_2348
+VSLPRPECRWAWVTTATCWVAKLRFRPLPLTTGM
+>NM_138295|61171_2_3610_3692
+MVWKHTPSSVSSACLENRTSIMNLVTR
+>DECOY_NM_138295|61171_2_3610_3692
+RTVLNMISTRNELCASSVSSPTHKWVM
+>NM_138295|61172_2_3721_3848
+MGETPSIILCCQLVSTWTITKSWFPLKSQMAKAPRSSRALWW
+>DECOY_NM_138295|61172_2_3721_3848
+WWLARSSRPAKAMQSKLPFWSKTITWTSVLQCCLIISPTEGM
+>NM_138295|61175_2_5470_5549
+MDCQKPRSSPVQRSPCLKGIPDTPLS
+>DECOY_NM_138295|61175_2_5470_5549
+SLPTDPIGKLCPSRQVPSSRPKQCDM
+>NM_138295|61177_2_5713_6299
+MVAWSGSSPVCKGDSASGSFSIASSQSTWRISMSGCRCTAGPPPAATCTRRASPCPSPCCASTRVSLPWLLLEGKSSPTWTSAPPLDPSGWVSCVPSWLLQGPSSCPCSSGSARKPRGLPEWSHTAHLEEEHRPRHPMVLIPGEGYQTHRSHASNLHQPFSLGVAGPKGRRQVTMAQLVQPLSCRFMGLTTAGLL
+>DECOY_NM_138295|61177_2_5713_6299
+LLGATTLGMFRCSLPQVLQAMTVQRRGKPGAVGLSFPQHLNSAHSRHTQYGEGPILVMPHRPRHEEELHATHSWEPLGRPKRASGSSCPCSSPGQLLWSPVCSVWGSPDLPPASTWTPSSKGELLLWPLSVRTSACCPSPCPSARRTCTAAPPPGATCRCGSMSIRWTSQSSAISFSGSASDGKCVPSSGSWAVM
+>NM_138295|61192_3_7817_8124
+MESEGSMAPGNPLIPLHIKMRLSSWHSKHNGILLLHDAPLTPQHLCSRAGGGTDAGRPLPPAPISALYVGSTTWHLHRRLPRAAVSFSQKKPKRLPPWPFQV
+>DECOY_NM_138295|61192_3_7817_8124
+VQFPWPPLRKPKKQSFSVAARPLRRHLHWTTSGVYLASIPAPPLPRGADTGGGARSCLHQPTLPADHLLLIGNHKSHWSSLRMKIHLPILPNGPAMSGESEM
+>NM_001008536|61208_2_417_805
+MVSGQWELHQLKKRGCFLQEWHHHLSSSLKKVEQLEITEWTHGEKPRLTTFQEKHLNTMILRTNTWKEMNKVRKWLKIYKQQKTMKANLRQISQWQDQKRPAVPQRGRDKIRRSPRKEMNQPESKVFPR
+>DECOY_NM_001008536|61208_2_417_805
+RPFVKSEPQNMEKRPSRRIKDRGRQPVAPRKQDQWQSIQRLNAKMTKQQKYIKLWKRVKNMEKWTNTRLIMTNLHKEQFTTLRPKEGHTWETIELQEVKKLSSSLHHHWEQLFCGRKKLQHLEWQGSVM
+>NM_001008536|61216_2_1131_1225
+MAEHLRPKKKNVKQRTCQSNMVAEMVQKHLT
+>DECOY_NM_001008536|61216_2_1131_1225
+TLHKQVMEAVMNSQCTRQKVNKKKPRLHEAM
+>NM_001008536|61218_2_1332_1405
+MGSIRNSKDYQNQKMLKKVLRHNI
+>DECOY_NM_001008536|61218_2_1332_1405
+INHRLVKKLMKQNQYDKSNRISGM
+>NM_001008536|61220_2_1647_2074
+MVTRGRTLSHHSHRVMRGLLKLPTAWLQRKAIAAQRQVNCLCKGTPRVKGTNMESLCKEVTIITQIPRGREHLVRKTELWRQWYQQSEERMYSSQRTRNSLPEENTRIKAQGPKAQVQLWSPMDTQKHRNPQQEMKIESPWK
+>DECOY_NM_001008536|61220_2_1647_2074
+KWPSEIKMEQQPNRHKQTDMPSWLQVQAKPGQAKIRTNEEPLSNRTRQSSYMREESQQYWQRWLETKRVLHERGRPIQTIITVEKCLSEMNTGKVRPTGKCLCNVQRQAAIAKRQLWATPLKLLGRMVRHSHHSLTRGRTVM
+>NM_001008536|61222_2_1803_2074
+MESLCKEVTIITQIPRGREHLVRKTELWRQWYQQSEERMYSSQRTRNSLPEENTRIKAQGPKAQVQLWSPMDTQKHRNPQQEMKIESPWK
+>DECOY_NM_001008536|61222_2_1803_2074
+KWPSEIKMEQQPNRHKQTDMPSWLQVQAKPGQAKIRTNEEPLSNRTRQSSYMREESQQYWQRWLETKRVLHERGRPIQTIITVEKCLSEM
+>NM_001012755|61328_2_611_861
+MVASKLASPAPSAFSRNSILMGFGGGCHWATIVVSGLSWPGTAWGVLYIFLSRTPSRMAWQSKACPTGFLPWCLVVSMEQSPA
+>DECOY_NM_001012755|61328_2_611_861
+APSQEMSVVLCWPLFGTPCAKSQWAMRSPTRSLFIYLVGWATGPWSLGSVVITAWHCGGGFGMLISNRSFASPAPSALKSAVM
+>NM_001012755|61330_2_782_861
+MAWQSKACPTGFLPWCLVVSMEQSPA
+>DECOY_NM_001012755|61330_2_782_861
+APSQEMSVVLCWPLFGTPCAKSQWAM
+>NM_203374|61387_2_267_559
+MATWLGPREAGRVGTRAGATCAATAARAPPACARTTACTRGSGPTAARSAPAPSRPWRPCSGTSTGTGWSRAPLGGLRTQRPLQNRGPGWPRRGRRW
+>DECOY_NM_203374|61387_2_267_559
+WRRGRRPWGPGRNQLPRQTRLGGLPARSWGTGTSTGSCPRWPRSPAPASRAATPGSGRTCATTRACAPPARAATAACTAGARTGVRGAERPGLWTAM
+>NM_001040284|61457_2_1431_1504
+MDQQGSFVLPAKASKVQLKQAMVP
+>DECOY_NM_001040284|61457_2_1431_1504
+PVMAQKLQVKSAKAPLVFSGQQDM
+>NM_001004489|61489_2_124_314
+MAYCSWLSPWKPGSTCPCTSCLGSSLSWTSCSHLLSLPRPLRTFCAEKTPSPLEAVPFRCSWH
+>DECOY_NM_001004489|61489_2_124_314
+HWSCRFPVAELPSPTKEACFTRLPRPLSLLHSCSTWSLSSGLCSTCPCTSGPKWPSLWSCYAM
+>NM_024887|61505_3_126_298
+MDQGRRAVTLGAVLCQHHKGRPNAETHCIHNGREPSLCQEVPGGAAGRPLTGLQQAS
+>DECOY_NM_024887|61505_3_126_298
+SAQQLGTLPRGAAGGPVEQCLSPERGNHICHTEANPRGKHHQCLVAGLTVARRGQDM
+>NM_024887|61506_3_771_874
+MADLSLLPGVPTRSVARVYILEPLRGHPAVPDEP
+>DECOY_NM_024887|61506_3_771_874
+PEDPVAPHGRLPELIYVRAVSRTPVGPLLSLDAM
+>NM_001003694|61570_2_793_1037
+MAATRRTLRHQLLLPSQANIRTRRSARTPTITTTTMFLRAPLPSCQRWSIGSWNRTPLMPHPGQLPITGTSRSLQRSWTRK
+>DECOY_NM_001003694|61570_2_793_1037
+KRTWSRQLSRSTGTIPLQGPHPMLPTRNWSGISWRQCSPLPARLFMTTTTITPTRASRRTRINAQSPLLLQHRLTRRTAAM
+>NM_001003694|61574_2_1240_1502
+MVSARTAMSSSSVTCATWPCTRSATVSPISLRASGCAAVACSHPLVLWIVPCAPTRAVPSSRQMTGAGPMWCVPCGSLRSASPTRSS
+>DECOY_NM_001003694|61574_2_1240_1502
+SSRTPSASRLSGCPVCWMPGAGTMQRSSPVARTPACPVIWLVLPHSCAVAACGSARLSIPSVTASRTCPWTACTVSSSSMATRASVM
+>NM_001003694|61579_2_2053_2219
+MGSHCYVACRHTCNLRGTVTKLGEILRIRTGPLKNSSSPGSGSGMTWSELGCSWN
+>DECOY_NM_001003694|61579_2_2053_2219
+NWSCGLESWTMGSGSGPSSSNKLPGTRIRLIEGLKTVTGRLNCTHRCAVYCHSGM
+>NM_001003694|61582_2_2980_3173
+MGRQIVRQRRAAARRQAKAWVPTCPQPPHMRWAGEPQFCSPKRTRRQLDRPRGRAGPPKTGRAR
+>DECOY_NM_001003694|61582_2_2980_3173
+RARGTKPPGARGRPRDLQRRTRKPSCFQPEGAWRMHPPQPCTPVWAKAQRRAAARRQRVIQRGM
+>NM_012466|61648_2_379_524
+MERLKRAEARSCFASCQWLLSSSWKLQLPQWSFFSFQLLEMWPWNTPS
+>DECOY_NM_012466|61648_2_379_524
+SPTNWPWMELLQFSFFSWQPLQLKWSSSLLWQCSAFCSRAEARKLREM
+>NM_015258|61684_2_218_324
+MEMNFSSTQPQNSLRKARERQQQEIRQHQKQHQPP
+>DECOY_NM_015258|61684_2_218_324
+PPQHQKQHQRIEQQQRERAKRLSNQPQTSSFNMEM
+>NM_144702|61704_2_1559_1773
+MGKFSCLGTRSFCTSTSSGTASQRWGWRASSPRCSIRCSSPRPRVHPRVQWGCCGCPWLKIASPHNVLRTP
+>DECOY_NM_144702|61704_2_1559_1773
+PTRLVNHPSAIKLWPCGCCGWQVRPHVRPRPSSCRISCRPSSARWGWRQSATGSSTSTCFSRTGLCSFKGM
+>NM_194431|61783_2_207_283
+MACTSDSCGNTCTLRRQVAVIATAT
+>DECOY_NM_194431|61783_2_207_283
+TATAIVAVQRRLTCTNGCSDSTCAM
+>NM_001004717|61798_3_59_153
+MGTSNFLLCDIFPDLRCYCDGKHSHYGHSDM
+>DECOY_NM_001004717|61798_3_59_153
+MDSHGYHSHKGDCYCRLDPFIDCLLFNSTGM
+>NM_178568|61810_3_822_1117
+MAAEVPGLQLRCPLCVPWAAARPGPEAAEGRGLPELHGTSVPAPDQVTHAHHHRQGRPQGTPLTPRPHQEQGPPARPPARPQEAGEELHQPQEPQSDL
+>DECOY_NM_178568|61810_3_822_1117
+LDSQPEQPQHLEEGAEQPRAPPRAPPGQEQHPRPTLPTGQPRGQRHHHAHTVQDPAPVSTGHLEPLGRGEAAEPGPRAAAWPVCLPCRLQLGPVEAAM
+>NM_017612|61841_2_255_352
+MGSATRSYGSGFGSARRPSSSSAPRIKNLNEN
+>DECOY_NM_017612|61841_2_255_352
+NENLNKIRPASSSSPRRASGFGSGYSRTASGM
+>NM_017612|61844_2_1140_1366
+MEKMALMGKQKLEKYNRIKVSLTISQNWSTILVLIYLLPEEFQTNGGSLVPYQCRHVSRRMCLPITLLLTSKRQV
+>DECOY_NM_017612|61844_2_1140_1366
+VQRKSTLLLTIPLCMRRSVHRCQYPVLSGGNTQFEEPLLYILVLITSWNQSITLSVKIRNYKELKQKGMLAMKEM
+>NM_017612|61845_2_1149_1366
+MALMGKQKLEKYNRIKVSLTISQNWSTILVLIYLLPEEFQTNGGSLVPYQCRHVSRRMCLPITLLLTSKRQV
+>DECOY_NM_017612|61845_2_1149_1366
+VQRKSTLLLTIPLCMRRSVHRCQYPVLSGGNTQFEEPLLYILVLITSWNQSITLSVKIRNYKELKQKGMLAM
+>NM_017612|61850_2_2007_2119
+MAVSYQTVTSAMGAARSSFLQTPVLQRPLKFIALYLT
+>DECOY_NM_017612|61850_2_2007_2119
+TLYLAIFKLPRQLVPTQLFSSRAAGMASTVTQYSVAM
+>NM_017612|61851_2_2040_2119
+MGAARSSFLQTPVLQRPLKFIALYLT
+>DECOY_NM_017612|61851_2_2040_2119
+TLYLAIFKLPRQLVPTQLFSSRAAGM
+>NM_017612|61854_3_721_833
+MGNTQVPSSLQPHCFSRRARNTSKGKKAKASLFQLWF
+>DECOY_NM_017612|61854_3_721_833
+FWLQFLSAKAKKGKSTNRARRSFCHPQLSSPVQTNGM
+>NM_012467|61868_2_151_455
+MAGQPPPAEGARVRRVTAQPPVGAHSCPLLLRVPELIRLPGAPGGTGDHSVSPLLHREADHPALQPLRTAGDQRGHRPGGAQCPRDPLQPDPARLPPGGLR
+>DECOY_NM_012467|61868_2_151_455
+RLGGPPLRAPDPQLPDRPCQAGGPRHGRQDGATRLPQLAPHDAERHLLPSVSHDGTGGPAGPLRILEPVRLLLPCSHAGVPPQATVRRVRAGEAPPPQGAM
+>NM_152262|61885_2_759_1162
+MDLINVSFVGKHSIVSVYILSMKELTLERNRMNVNNVVNLLVILLPIEYMKELTLEKSLMNVRNVGKHSIVPDPVTDMKGVTWERRLINVRNVEKHSCVPVMFVDMKGPTLGKNFMNVSSVGKHYPLLQVFKHT
+>DECOY_NM_152262|61885_2_759_1162
+THKFVQLLPYHKGVSSVNMFNKGLTPGKMDVFMVPVCSHKEVNRVNILRREWTVGKMDTVPDPVISHKGVNRVNMLSKELTLEKMYEIPLLIVLLNVVNNVNMRNRELTLEKMSLIYVSVISHKGVFSVNILDM
+>NM_004874|61917_2_490_638
+MATIPREAPGQSLVEPEEATRSSHHILATILTIGILLRDLGLLTQVHIL
+>DECOY_NM_004874|61917_2_490_638
+LIHVQTLLGLDRLLIGITLITALIHHSSRTAEEPEVLSQGPAERPITAM
+>NM_004874|61922_2_952_1094
+MVIVVFHNQDRLYDHKKMRGLLLVLMEWVAVIPGLHQRPQHHPAIST
+>DECOY_NM_004874|61922_2_952_1094
+TSIAPHHQPRQHLGPIVAVWEMLVLLLGRMKKHDYLRDQNHFVVIVM
+>NM_198395|61964_2_1227_1363
+METWWSCALTVVGNYPILVLLCLMILSLFRKSLATGPSCSEVRSV
+>DECOY_NM_198395|61964_2_1227_1363
+VSRVESCSPGTALSKRFLSLIMLCLLVLIPYNGVVTLACSWWTEM
+>NM_153809|62022_2_1174_1250
+MGLPDCGMICWVSPKMAVGLTMASN
+>DECOY_NM_153809|62022_2_1174_1250
+NSAMTLGVAMKPSVWCIMGCDPLGM
+>NM_153809|62023_2_1396_1481
+MGRISNTKGQNLRVQAWQAGFLLLRLGM
+>DECOY_NM_153809|62023_2_1396_1481
+MGLRLLLFGAQWAQVRLNQGKTNSIRGM
+>NM_153809|62034_2_2302_2435
+MGKLFTAIHLLSWALSILANYCRHLRTTFFVLQCIFIRCQKLIF
+>DECOY_NM_153809|62034_2_2302_2435
+FILKQCRIFICQLVFFTTRLHRCYNALISLAWSLLHIATFLKGM
+>NM_153809|62050_2_5275_5462
+MGNLSLQPQKGEMVILQMKRKELYNNLKPVSCMRICLYLKEKMMRKMLGVTKKETILSLLSS
+>DECOY_NM_153809|62050_2_5275_5462
+SSLLSLITEKKTVGLMKRMMKEKLYLCIRMCSVPKLNNYLEKRKMQLIVMEGKQPQLSLNGM
+>NM_153809|62051_2_5311_5462
+MVILQMKRKELYNNLKPVSCMRICLYLKEKMMRKMLGVTKKETILSLLSS
+>DECOY_NM_153809|62051_2_5311_5462
+SSLLSLITEKKTVGLMKRMMKEKLYLCIRMCSVPKLNNYLEKRKMQLIVM
+>NM_153809|62058_3_1940_2196
+MAALLSHPHGAHQNPAVPSPTSEKVLIWCTLSARSPFSPTFAKAHQKKGQDERTREASLRWWRVVFYAHTSGSHRQRWRSYSCRI
+>DECOY_NM_153809|62058_3_1940_2196
+IRCSYSRWRQRHSGSTHAYFVVRWWRLSAERTREDQGKKQHAKAFTPSFPSRASLTCWILVKESTPSPVAPNQHAGHPHSLLAAM
+>NM_001008272|62070_2_799_896
+MAAIGESHPGFTGKPSRIGEAFPRSSFARDRT
+>DECOY_NM_001008272|62070_2_799_896
+TRDRAFSSRPFAEGIRSPKGTFGPHSEGIAAM
+>NM_181537|62112_2_213_298
+MAEVWAGEVLPVLPSQGMSTASSLAMRR
+>DECOY_NM_181537|62112_2_213_298
+RRMALSSATSMGQSPLVPLVEGAWVEAM
+>NM_181537|62115_2_1227_1324
+MAPVLNQKAMEAQEIKQKIHLKPPLSKQLLKR
+>DECOY_NM_181537|62115_2_1227_1324
+RKLLQKSLPPKLHIKQKIEQAEMAKQNLVPAM
+>NM_012421|62168_2_107_210
+MESRLSPWFGVIAPYLQRREPRDCGRVCGSWRQS
+>DECOY_NM_012421|62168_2_107_210
+SQRWSGCVRGCDRPERRQLYPAIVGFWPSLRSEM
+>NM_201574|62242_2_846_1096
+MEPPTWPCQVQGCCVASPAPPAPGPGPPDSLGRKAGPGAHRPVMTCGSDCAQAVPWATQVVQSSRCPQMRRRPRCWVLQTWTT
+>DECOY_NM_201574|62242_2_846_1096
+TTWTQLVWCRPRRRMQPCRSSQVVQTAWPVAQACDSGCTMVPRHAGPGAKRGLSDPPGPGPAPPAPSAVCCGQVQCPWTPPEM
+>NM_201574|62245_2_1665_1798
+MALMGRCLPWLMTWGSQPHSGHMTLTPRRSPSTCLGEMVTGGKA
+>DECOY_NM_201574|62245_2_1665_1798
+AKGGTVMEGLCTSPSRRPTLTMHGSHPQSGWTMLWPLCRGMLAM
+>NM_017908|62265_2_694_1211
+MDTKNQPPHPSTHPGFRRSGGCWTGHRRNCTGMRCWRSTAQWSPWGYRPTSQRHRPSQSWGCCSRGQASAEACAREMRVRVHLAAQRPSRPRAQGRQPGRACLGLPLLPPLCAQGHRQCPLSPHLQRRDWSRLPPPGSPTRASSVAAASTGSQCSSSTTGHTRVGQVCSPRG
+>DECOY_NM_017908|62265_2_694_1211
+GRPSCVQGVRTHGTTSSSCQSGTSAAAVSSARTPSGPPPLRSWDRRQLHPSLPCQRHGQACLPPLLPLGLCARGPQRGQARPRSPRQAALHVRVRMERACAEASAQGRSCCGWSQSPRHRQSTPRYGWPSWQATSRWCRMGTCNRRHGTWCGGSRRFGPHTSPHPPQNKTDM
+>NM_018301|62359_3_1119_1246
+MASIASSKWIQTIWENIGDRVWKEQKATVKSPSDFSHIMCYR
+>DECOY_NM_018301|62359_3_1119_1246
+RYCMIHSFDSPSKVTAKQEKWVRDGINEWITQIWKSSAISAM
+>NM_015172|62418_2_384_487
+MDYRVLEKSVFHGVCLHLLTSQVLKQKTKAMILM
+>DECOY_NM_015172|62418_2_384_487
+MLIMAKTKQKLVQSTLLHLCVGHFVSKELVRYDM
+>NM_015172|62419_2_504_607
+MAQGGHQNKSNMKKKKHQKCHQHSQNLGLQLPQK
+>DECOY_NM_015172|62419_2_504_607
+KQPLQLGLNQSHQHCKQHKKKKMNSKNQHGGQAM
+>NM_015172|62422_2_756_928
+MDLDPVYVHQMLLVGEMVVRLLAHLRHLIKMKSSLARMKAQLEHQSKMISSKWWKRG
+>DECOY_NM_015172|62422_2_756_928
+GRKWWKSSIMKSQHELQAKMRALSSKMKILHRLHALLRVVMEGVLLMQHVYVPDLDM
+>NM_015172|62424_2_804_928
+MVVRLLAHLRHLIKMKSSLARMKAQLEHQSKMISSKWWKRG
+>DECOY_NM_015172|62424_2_804_928
+GRKWWKSSIMKSQHELQAKMRALSSKMKILHRLHALLRVVM
+>NM_015172|62439_2_4938_5242
+MVHPNQEGISQVLEMKGEVAHHQKVGREGHLMTSLQAQLGLTSSMAALHTIRKEYLMVQDKRTPKILLGKKEKTPNQALKNQKRKWMLYHSLISTIMQVLL
+>DECOY_NM_015172|62439_2_4938_5242
+LLVQMITSILSHYLMWKRKQNKLAQNPTKEKKGLLIKPTRKDQVMLYEKRITHLAAMSSTLGLQAQLSTMLHGERGVKQHHAVEGKMELVQSIGEQNPHVM
+>NM_015172|62446_2_5301_5512
+MVLLKWYPKNNKNVYRMKNAERRKNKSYRSGTKRMQMKKEEARLLSFLQDLPKNRLQGSSKHSLQPQFHL
+>DECOY_NM_015172|62446_2_5301_5512
+LHFQPQLSHKSSGQLRNKPLDQLFSLLRAEEKKMQMRKTGSRYSKNKRREANKMRYVNKNNKPYWKLLVM
+>NM_015172|62450_2_6387_6577
+MVKKVDSKLELTQFSLVLQPQMEMKMKLFLCFRKNLLTKYLNLKNSGRSSHEQDLSKPRSFQI
+>DECOY_NM_015172|62450_2_6387_6577
+IQFSRPKSLDQEHSSRGSNKLNLYKTLLNKRFCLFLKMKMEMQPQLVLSFQTLELKSDVKKVM
+>NM_015172|62464_3_7033_7115
+MGEFSKCKGKGVSSNFHSTSNCNWSQQ
+>DECOY_NM_015172|62464_3_7033_7115
+QQSWNCNSTSHFNSSVGKGKCKSFEGM
+>NM_032812|62561_2_758_861
+MELLRPSLTQRRRWKLIHTRTATGGKETWTFSRR
+>DECOY_NM_032812|62561_2_758_861
+RRSFTWTEKGGTATRTHILKWRRRQTLSPRLLEM
+>NM_032812|62563_2_932_1035
+MGRTITLRSRRIQTTITIYLEYMVHLILPAGIYG
+>DECOY_NM_032812|62563_2_932_1035
+GYIGAPLILHVMYELYITITTQIRRSRLTITRGM
+>NM_032812|62565_2_1298_1443
+MAQHLWSSGTMYISRIIITWEASHSRQPCSWMDESSLDTKKFLSWSHR
+>DECOY_NM_032812|62565_2_1298_1443
+RHSWSLFKKTDLSSEDMWSCPQRSHSAEWTIIIRSIYMTGSSWLHQAM
+>NM_032812|62568_2_1943_2037
+MELLQMTVQLRRKGEPSTLASSLESSSWSSL
+>DECOY_NM_032812|62568_2_1943_2037
+LSSWSSSELSSALTSPEGKRRLQVTMQLLEM
+>NM_024637|62579_2_658_740
+MGTSTGCASPSLPATSLATQSSSRPLG
+>DECOY_NM_024637|62579_2_658_740
+GLPRSSSQTALSTAPLSPSACGTSTGM
+>NM_173485|62644_2_2988_3061
+MGAPSPTTPRPCHASTHSAPCSPS
+>DECOY_NM_173485|62644_2_2988_3061
+SPSCPASHTSAHCPRPTTPSPAGM
+>NM_199438|62675_2_1216_1301
+MGKRVTMGHRTCYIFPQRSNLMRLNQPL
+>DECOY_NM_199438|62675_2_1216_1301
+LPQNLRMLNSRQPFIYCTRHGMTVRKGM
+>NM_152557|62772_2_1683_2044
+MAAPFGVGSAAVASRAPRTSSAIACCTPASGPSPAPSVRSASPNAPSSSTTTERTRACGPSPAPSAAKASSARTTSASTSATMQRAPRPRPEASHSRRRPHLLIPSRAPPPKDLWPPQTL
+>DECOY_NM_152557|62772_2_1683_2044
+LTQPPWLDKPPPARSPILLHPRRRSHSAEPRPRPARQMTASTSASTTRASSAKAASPAPSPGCARTRETTTSSSPANPSASRVSPAPSPGSAPTCCAIASSTRPARSAVAASGVGFPAAM
+>NM_002491|62780_3_385_467
+MEDRRDTIRNYPEEAGCKRAKGSMGPQ
+>DECOY_NM_002491|62780_3_385_467
+QPGMSGKARKCGAEEPYNRITDRRDEM
+>NM_001033930|62844_2_268_395
+MAALSQTTTSRKSPPCTWCCACEVALLSLLSASLPRNTTATR
+>DECOY_NM_001033930|62844_2_268_395
+RTATTNRPLSASLLSLLAVECACCWTCPPSKRSTTTQSLAAM
+>NM_006014|62856_2_388_638
+MAGVATAAAGAWTQPQLRPVELPQRTRQVRAETPRLRPGGHECGRTYSPSACLSRPPWRRKSPMGPWHQMPSPTKGWLGRISQ
+>DECOY_NM_006014|62856_2_388_638
+QSIRGLWGKTPSPMQHWPGMPSKRRWPPRSLCASPSYTRGCEHGGPRLRPTEARVQRTRQPLEVPRLQPQTWAGAAATAVGAM
+>NM_001042758|62889_2_2383_2507
+MASTDSSPISTSWSKTPRTVSWRGPAPSLRLRSFLSHLKKR
+>DECOY_NM_001042758|62889_2_2383_2507
+RKKLHSLFSRLRLSPAPGRWSVTRPTKSWSTSIPSSDTSAM
+>NM_006189|62916_2_121_284
+MGRSCCSQRSLCTASTSPSSSGYSSSAGMSCWTSRARSPSQAPRRTGRLTSPTS
+>DECOY_NM_006189|62916_2_121_284
+STPSTLRGTRRPAQSPSRARSTWCSMGASSSYGSSSPSTSATCLSRQSCCSRGM
+>NM_022103|63071_2_988_1106
+MERKHINIINVGEASKRNQSLLYIKEFMLERKSLKMRRP
+>DECOY_NM_022103|63071_2_988_1106
+PRRMKLSKRELMFEKIYLLSQNRKSAEGVNIINIHKREM
+>NM_022103|63072_2_1303_1955
+MEKNYTDAINVRRSAIGIHPLFNIRKFIQRKRNYLSVRNVGRCFLELQTLKYIRIFILKRNLSNAINVVKFSAANHFLLNIKEFILEKNPTSVRNVEKPSATEYLSHDIREFILKIDPMNVISVGRPSARVHTSPNMKEFTLERSHIHAKHVVRPLVSAHLLFYMKEVILERNPMNVMNVGRHLAVAQTLFDIREVILQRNPMNVVNVGRHMVGVHP
+>DECOY_NM_022103|63072_2_1303_1955
+PHVGVMHRGVNVVNMPNRQLIVERIDFLTQAVALHRGVNMVNMPNRELIVEKMYFLLHASVLPRVVHKAHIHSRELTFEKMNPSTHVRASPRGVSIVNMPDIKLIFERIDHSLYETASPKEVNRVSTPNKELIFEKINLLFHNAASFKVVNIANSLNRKLIFIRIYKLTQLELFCRGVNRVSLYNRKRQIFKRINFLPHIGIASRRVNIADTYNKEM
+>NM_017455|63275_3_658_743
+MAQEGERDAHGHCQYLWPLLHHQQGKLH
+>DECOY_NM_017455|63275_3_658_743
+HLKGQQHHLLPWLYQCHGHADREGEQAM
+>NM_003440|63300_2_785_882
+MAIWSHWVFPFLSQMWFPYWSKGKNPGWGKGK
+>DECOY_NM_003440|63300_2_785_882
+KGKGWGPNKGKSWYPFWMQSLFPFVWHSWIAM
+>NM_003440|63316_3_732_820
+MASACSKRFVQMCNVGELWPSGLTGSFHF
+>DECOY_NM_003440|63316_3_732_820
+FHFSGTLGSPWLEGVNCMQVFRKSCASAM
+>NM_001006641|63365_2_505_617
+MDALTRRRSCSPCGTWESRYLNSRQKKFSRAWIKTAR
+>DECOY_NM_001006641|63365_2_505_617
+RATKIWARSFKKQRSNLYRSEWTGCPSCSRRRTLADM
+>NM_001006641|63367_2_958_1055
+MASTSSKLPPNQPSNSWPMSRSSALLVVTRRL
+>DECOY_NM_001006641|63367_2_958_1055
+LRRTVVLLASSRSMPWSNSPQNPPLKSSTSAM
+>NM_014383|63382_2_1024_1106
+MAFPSTIAPPPLEPGRRSGGNRGSHCP
+>DECOY_NM_014383|63382_2_1024_1106
+PCHSGRNGGSRRGPELPPPAITSPFAM
+>NM_022367|63443_2_411_487
+MEILSTWGLEKPFWPWISRIQGSPG
+>DECOY_NM_022367|63443_2_411_487
+GPSGQIRSIWPWFPKELGWTSLIEM
+>NM_018930|63461_2_2113_2396
+MASLLARPPPRCTCSWWTASPSPTCLSRRRPRPRPRPRPTCSPSTWWWRWPRCLRSSSSRCSCSWRCGCAGGAGRPRWVAARCPRVLFQGIWWT
+>DECOY_NM_018930|63461_2_2113_2396
+TWWIGQFLVRPCRAAVWRPRGAGGACGCRWSCSCRSSSSRLCRPWRWWWTSPSCTPRPRPRPRPRRRSLCTPSPSATWWSCTCRPPPRALLSAM
+>NM_006299|63486_3_201_277
+MGRTSDNESGSKKSPSMAGIQTETQ
+>DECOY_NM_006299|63486_3_201_277
+QTETQIGAMSPSKKSGSENDSTRGM
+>NM_005780|63530_3_753_946
+MEDLHHSDRPGLWPPPPGGAHCPHGLLCFRPHLQDSGKSGWRNSVSWGLVDWCWLCPLPLGLGQ
+>DECOY_NM_005780|63530_3_753_946
+QGLGLPLPCLWCWDVLGWSVSNRWGSKGSDQLHPRFCLLGHPCHAGGPPPPWLGPRDSHHLDEM
+>NM_012302|63574_2_406_494
+MVGRMTRFVMLTHFRWRIQTATSPMPSKL
+>DECOY_NM_012302|63574_2_406_494
+LKSPMPSTATQIRWRFHTLMVFRTMRGVM
+>NM_012302|63580_2_2305_2423
+MGLQSWCSSFTGAWDSSLVQKMQPLNWVLILLVVIAPLQ
+>DECOY_NM_012302|63580_2_2305_2423
+QLPAIVVLLILVWNLPQMKQVLSSDWAGTFSSCWSQLGM
+>NM_012302|63581_2_2695_2870
+MAFMNYFLQSSPGWELSFPLFAWLSASSPSAFSVAYRVTEILFTRTFVSTFSLLNLFS
+>DECOY_NM_012302|63581_2_2695_2870
+SFLNLLSFTSVFTRTFLIETVRYAVSFASPSSASLWAFLPFSLEWGPSSQLFYNMFAM
+>NM_012302|63585_2_3103_3188
+MEQKKLAGFMLITTLYGASLDLLPSLFC
+>DECOY_NM_012302|63585_2_3103_3188
+CFLSPLLDLSAGYLTTILMFGALKKQEM
+>NM_020971|63642_2_733_890
+MAWPSMPSFTGTGLISWTSANSPSPMPTTTCREPSAQLSSTWGWRGCWILKM
+>DECOY_NM_020971|63642_2_733_890
+MKLIWCGRWGWTSSLQASPERCTTTPMPSPSNASTWSILGTGTFSPMSPWAM
+>NM_020971|63645_2_1831_1958
+MDCWRETLPPRASGWRLSMPLPCASPSCRATSPATRRSSATA
+>DECOY_NM_020971|63645_2_1831_1958
+ATASSRRTAPSTARCSPSACPLPMSLRWGSARPPLTERWCDM
+>NM_020971|63651_2_4090_4211
+MARGRTTTSCIRDGSGTRHSWPSWLRIRSGWRRSSGRASN
+>DECOY_NM_020971|63651_2_4090_4211
+NSARGSSRRWGSRIRLWSPWSHRTGSGDRICSTTTRGRAM
+>NM_020971|63667_3_2807_2970
+MAALHACAGFTRRRRGGAAPIREPGPRDEQPDGPRSGREPHSPGAGGRRPPQFR
+>DECOY_NM_020971|63667_3_2807_2970
+RFQPPRRGGAGPSHPERGSRPGDPQEDRPGPERIPAAGGRRRRTFGACAHLAAM
+>NM_020971|63668_3_4688_4995
+MGSGAAATGHADRARQRFAGGPAAHQKEPGPAAGDPGAWAAPGGGAGARGRAGVAAQPGGRGSAPGPGAAAERLGRTAGGCRATAAGAGRRLPGGAVLLRRG
+>DECOY_NM_020971|63668_3_4688_4995
+GRRLLVAGGPLRRGAGAATARCGGATRGLREAAAGPGPASGRGGPQAAVGARGRAGAGGGPAAWAGPDGAAPGPEKQHAAPGGAFRQRARDAHGTAAAGSGM
+>NM_022146|63687_2_52_353
+MGLTLRPPRLQTSPSPPTISTPPLWRPCSLWPMRSSSCSAWWATPWSVSSCSRTGTCILSPTCSSSTWLSVTCWWASSACPPPLWTTSSLGGPSTMPHAR
+>DECOY_NM_022146|63687_2_52_353
+RAHPMTSPGGLSSTTWLPPPCASSAWWCTVSLWTSSSCTPSLICTGTRSCSSVSWPTAWWASCSSSRMPWLSCPRWLPPTSITPPSPSTQLRPPRLTLGM
+>NM_194251|63751_3_818_1041
+MASEGCRPGPTTRFHSPVSSLDVFHLFSKSSHFSCDVGRVQGRLERCMEMDDNQKTSNCLRVSGNTSWQLRGSS
+>DECOY_NM_194251|63751_3_818_1041
+SSGRLQWSTNGSVRLCNSTKQNDDMEMCRELRGQVRGVDCSFHSSKSFLHFVDLSSVPSHFRTTPGPRCGESAM
+>NM_194251|63753_3_965_1041
+MDDNQKTSNCLRVSGNTSWQLRGSS
+>DECOY_NM_194251|63753_3_965_1041
+SSGRLQWSTNGSVRLCNSTKQNDDM
+>NM_001080439|63790_2_437_687
+MGRSITSTTRTSAATSHSCSCTSSASPAPTRPSWRPAWRCPAARPTASSGCSSPRPPPPPRHCSTSSRRRPRGPGPSRTDQWL
+>DECOY_NM_001080439|63790_2_437_687
+LWQDTRSPGPGRPRRRSSTSCHRPPPPPRPSSCGSSATPRAAPCRWAPRWSPRTPAPSASSTCSCSHSTAASTRTTSTISRGM
+>NM_198581|63844_2_2246_2403
+MGCGMVNLPSSSLLLFKTHLTMGVGLMAAALGQAMALCLYQASSLQCKELFL
+>DECOY_NM_198581|63844_2_2246_2403
+LFLEKCQLSSAQYLCLAMAQGLAAAMLGVGMTLHTKFLLLSSSPLNVMGCGM
+>NM_198581|63845_2_2258_2403
+MVNLPSSSLLLFKTHLTMGVGLMAAALGQAMALCLYQASSLQCKELFL
+>DECOY_NM_198581|63845_2_2258_2403
+LFLEKCQLSSAQYLCLAMAQGLAAAMLGVGMTLHTKFLLLSSSPLNVM
+>NM_198581|63846_2_2309_2403
+MGVGLMAAALGQAMALCLYQASSLQCKELFL
+>DECOY_NM_198581|63846_2_2309_2403
+LFLEKCQLSSAQYLCLAMAQGLAAAMLGVGM
+>NM_014328|63897_2_1076_1209
+MGLQLKKVHRRDPCPQMRWHQAGASGWEDYLECLGAPQKMRMEP
+>DECOY_NM_014328|63897_2_1076_1209
+PEMRMKQPAGLCELYDEWGSAGAQHWRMQPCPDRRHVKKLQLGM
+>NM_004866|63947_2_497_573
+MVEKIIGHLFLAIFLSDLVSIRIFL
+>DECOY_NM_004866|63947_2_497_573
+LFIRISVLDSLFIALFLHGIIKEVM
+>NM_024944|63975_2_707_828
+MEMGKHLVPAQISTSGLMEAIPSTETGTQMNLPAEVKSVL
+>DECOY_NM_024944|63975_2_707_828
+LVSKVEAPLNMQTGTETSPIAEMLGSTSIQAPVLHKGMEM
+>NM_024944|63976_2_713_828
+MGKHLVPAQISTSGLMEAIPSTETGTQMNLPAEVKSVL
+>DECOY_NM_024944|63976_2_713_828
+LVSKVEAPLNMQTGTETSPIAEMLGSTSIQAPVLHKGM
+>NM_020821|64125_2_6750_6853
+MDPKIRLRKWKIFGVSNRLMIITLGFLVLTRQQK
+>DECOY_NM_020821|64125_2_6750_6853
+KQQRTLVLFGLTIIMLRNSVGFIKWKRLRIKPDM
+>NM_020821|64129_2_8244_8317
+MDISAYVIHYQNSFLCVFLLTPQK
+>DECOY_NM_020821|64129_2_8244_8317
+KQPTLLFVCLFSNQYHIVYASIDM
+>NM_020821|64131_2_9180_9334
+MDVDSFHMMQTSRYTGYHFWMGAREFCFSPMMLPWFPKHCRQKKWNRLIMK
+>DECOY_NM_020821|64131_2_9180_9334
+KMILRNWKKQRCHKPFWPLMMPSFCFERAGMWFHYGTYRSTQMMHFSDVDM
+>NM_020821|64139_2_10881_11041
+MASFVLMTDRNLRALTYLRIISKSWKERLTDTTVLFLEARRQSLWLQIGECCV
+>DECOY_NM_020821|64139_2_10881_11041
+VCCEGIQLWLSQRRAELFLVTTDTLREKWSKSIIRLYTLARLNRDTMLVFSAM
+>NM_020821|64148_3_9025_9167
+MGHPHIQTEWVTRRNGLAAKTGSTFCLGRSYWYQKTYMDICSKCWGT
+>DECOY_NM_020821|64148_3_9025_9167
+TGWCKSCIDMYTKQYWYSRGLCFTSGTKAALGNRRTVWETQIHPHGM
+>NM_033288|64166_2_936_1198
+MVPQCHHFNKFLLVSKPTGLKNIMNLTIFHYSHKDEKQTVVENLINVMNVARRSLRIRTLQVIGEFIVERSLTNAVSAAKPLLFVQI
+>DECOY_NM_033288|64166_2_936_1198
+IQVFLLPKAASVANTLSREVIFEGIVQLTRIRLSRRAVNMVNILNEVVTQKEDKHSYHFITLNMINKLGTPKSVLLFKNFHHCQPVM
+>NM_138421|64198_2_720_1018
+MGLLSLWTNPRKSLKSSQCFGLCPVYLKLPNKYVLKIQNGLMFTCTFYNCLLQWMMEFKQLYIVLTLEKTFGIYFLTWSAMNSASLMIHPSFFKNRKQC
+>DECOY_NM_138421|64198_2_720_1018
+CQKRNKFFSPHIMLSASNMASWTLFYIGFTKELTLVIYLQKFEMMWQLLCNYFTCTFMLGNQIKLVYKNPLKLYVPCLGFCQSSKLSKRPNTWLSLLGM
+>NM_138421|64201_2_885_1018
+MEFKQLYIVLTLEKTFGIYFLTWSAMNSASLMIHPSFFKNRKQC
+>DECOY_NM_138421|64201_2_885_1018
+CQKRNKFFSPHIMLSASNMASWTLFYIGFTKELTLVIYLQKFEM
+>NM_144777|64402_2_1027_1127
+MAKESKALEVRLKLIKGLTKMRKEDKISNLLLK
+>DECOY_NM_144777|64402_2_1027_1127
+KLLLNSIKDEKRMKTLGKILKLRVELAKSEKAM
+>NM_144777|64407_2_1804_2009
+MEALTLEPSRQDHRILLCTQGHMWRIVNHPRMDIRRISLENTYKLFIQLLIGLSLKEICALTAENPWV
+>DECOY_NM_144777|64407_2_1804_2009
+VWPNEATLACIEKLSLGILLQIFLKYTNELSIRRIDMRPHNVIRWMHGQTCLLIRHDQRSPELTLAEM
+>NM_144777|64409_2_1897_2009
+MDIRRISLENTYKLFIQLLIGLSLKEICALTAENPWV
+>DECOY_NM_144777|64409_2_1897_2009
+VWPNEATLACIEKLSLGILLQIFLKYTNELSIRRIDM
+>NM_001031809|64418_3_337_425
+MDTEQFWNEHCQCYNCTSGDCFSLTKYSS
+>DECOY_NM_001031809|64418_3_337_425
+SSYKTLSFCDGSTCNYCQCHENWFQETDM
+>NM_152342|64441_3_170_249
+MGVSYPMERLREHRGHVGAGAPPLAL
+>DECOY_NM_152342|64441_3_170_249
+LALPPAGAGVHGRHERLREMPYSVGM
+>NM_001105539|64463_2_1235_1323
+MAGTKWRAAVWELAKERLSSTSRSRGPSL
+>DECOY_NM_001105539|64463_2_1235_1323
+LSPGRSRSTSSLREKALEWVAARWKTGAM
+>NM_001105539|64465_2_2060_2139
+MVCLHHGIKKLPVFGQHGILPIWQVM
+>DECOY_NM_001105539|64465_2_2060_2139
+MVQWIPLIGHQGFVPLKKIGHHLCVM
+>NM_001105539|64467_2_2219_2385
+MDLLKWLKMNLKVKQKCLFGIIWAPREFKRLAKQGGKTKLQKDLFIIFHLIMKRI
+>DECOY_NM_001105539|64467_2_2219_2385
+IRKMILHFIIFLDKQLKTKGGQKALRKFERPAWIIGFLCKQKVKLNMKLWKLLDM
+>NM_017438|64493_2_1570_1664
+MDHLGGYSQPLSCYVWKLRNLHAGKKYFLGR
+>DECOY_NM_017438|64493_2_1570_1664
+RGLFYKKGAHLNRLKWVYCSLPQSYGGLHDM
+>NM_182969|64515_2_1182_1288
+MEAGEVPIKSPNSCCSPSQGCLRTQMSNWIILYCP
+>DECOY_NM_182969|64515_2_1182_1288
+PCYLIIWNSMQTRLCGQSPSCCSNPSKIPVEGAEM
+>NM_182969|64526_3_1681_1802
+MEGEKRNPKGAEAASGAPSPAHDDNQVSLKGYARARGRAG
+>DECOY_NM_182969|64526_3_1681_1802
+GARGRARAYGKLSVQNDDHAPSPAGSAAEAGKPNRKEGEM
+>NM_153366|64598_2_1493_1641
+MVTLSKTLATTTSMQPVGSDVTLDLILWEAASSYVYPMVCGPVQRATAE
+>DECOY_NM_153366|64598_2_1493_1641
+EATARQVPGCVMPYVYSSAAEWLILDLTVDSGVPQMSTTTALTKSLTVM
+>NM_153366|64600_2_1673_1752
+MATSAVLQGKCYIRQHVWLPVMKGTD
+>DECOY_NM_153366|64600_2_1673_1752
+DTGKMVPLWVHQRIYCKGQLVASTAM
+>NM_153366|64601_2_1796_1917
+MGQNPGVWSATVPPFRCPKMSSYPPTTVASSQPNLGRSAM
+>DECOY_NM_153366|64601_2_1796_1917
+MASRGLNPQSSAVTTPPYSSMKPCRFPPVTASWVGPNQGM
+>NM_153366|64608_2_2654_2778
+MASGNQHIPLNGQTVPKNVLQTTGSSPLRCSTKQLVVMTQI
+>DECOY_NM_153366|64608_2_2654_2778
+IQTMVVLQKTSCRLPSSGTTQLVNKPVTQGNLPIHQNGSAM
+>NM_153366|64611_2_2921_3084
+MALQLDQVAGVQLIGWITLTMTSWTLCKKQPQASAMPSPHGLKEVPHYLTIKLS
+>DECOY_NM_153366|64611_2_2921_3084
+SLKITLYHPVEKLGHPSPMASAQPQKKCLTWSTMTLTIWGILQVGAVQDLQLAM
+>NM_153366|64621_2_4403_4482
+MELPVKTVPIASDACVQLASQDHTVN
+>DECOY_NM_153366|64621_2_4403_4482
+NVTHDQSALQVCADSAIPVTKVPLEM
+>NM_153366|64623_2_4856_4989
+MADGIILQSLGQVPMASGKSISMGNYLTVVLASLLVCPYLVVVR
+>DECOY_NM_153366|64623_2_4856_4989
+RVVVLYPCVLLSALVVTLYNGMSISKGSAMPVQGLSQLIIGDAM
+>NM_153366|64625_2_5489_5622
+MATIYWVTQGCSVQIMGAGTAFHHPALMSMSVQLDQIVVSMLLA
+>DECOY_NM_153366|64625_2_5489_5622
+ALLMSVVIQDLQVSMSMLAPHHFATGAGMIQVSCGQTVWYITAM
+>NM_153366|64626_2_5534_5622
+MGAGTAFHHPALMSMSVQLDQIVVSMLLA
+>DECOY_NM_153366|64626_2_5534_5622
+ALLMSVVIQDLQVSMSMLAPHHFATGAGM
+>NM_153366|64636_2_8441_8583
+MELGMAVHHPAFQLNVTCLLLLKMAFCVLQRLAWEVLCSIAVNLDTF
+>DECOY_NM_153366|64636_2_8441_8583
+FTDLNVAISCLVEWALRQLVCFAMKLLLLCTVNLQFAPHHVAMGLEM
+>NM_153366|64637_2_8453_8583
+MAVHHPAFQLNVTCLLLLKMAFCVLQRLAWEVLCSIAVNLDTF
+>DECOY_NM_153366|64637_2_8453_8583
+FTDLNVAISCLVEWALRQLVCFAMKLLLLCTVNLQFAPHHVAM
+>NM_153366|64638_2_8510_8583
+MAFCVLQRLAWEVLCSIAVNLDTF
+>DECOY_NM_153366|64638_2_8510_8583
+FTDLNVAISCLVEWALRQLVCFAM
+>NM_153366|64649_2_9377_9453
+MELSMGQILTVERQPGFSASKASSS
+>DECOY_NM_153366|64649_2_9377_9453
+SSSAKSASFGPQREVTLIQGMSLEM
+>NM_153366|64652_2_10082_10155
+MDLWLAVNTPLKAQLFISVSLAMN
+>DECOY_NM_153366|64652_2_10082_10155
+NMALSVSIFLQAKLPTNVALWLDM
+>NM_153366|64657_2_10712_10863
+MEHGHHLLFAELSVDFHVRMGASANAQMLVPVQRAGWGASVKNQSAFFPV
+>DECOY_NM_153366|64657_2_10712_10863
+VPFFASQNKVSAGWGARQVPVLMQANASAGMRVHFDVSLEAFLLHHGHEM
+>NM_153366|64658_2_10769_10863
+MGASANAQMLVPVQRAGWGASVKNQSAFFPV
+>DECOY_NM_153366|64658_2_10769_10863
+VPFFASQNKVSAGWGARQVPVLMQANASAGM
+>NM_153366|64667_3_8136_8212
+MVKFHPNMYANRLWPPSSYRFWRLY
+>DECOY_NM_153366|64667_3_8136_8212
+YLRWFRYSSPPWLRNAYMNPHFKVM
+>NM_153366|64673_3_10719_10834
+MDITSYLQSCLSISMSEWGHLPTPKCLFLSRGLDGAPL
+>DECOY_NM_153366|64673_3_10719_10834
+LPAGDLGRSLFLCKPTPLHGWESMSISLCSQLYSTIDM
+>NM_021016|64682_2_517_626
+MGLEEKLDISPSPYTWRLPSPPSPAATYTPGRTWRL
+>DECOY_NM_021016|64682_2_517_626
+LRWTRGPTYTAAPSPPSPLRWTYPSPSIDLKEELGM
+>NM_001009960|64711_2_1307_1392
+MGWPILNNKEFISEKSPIRVVNVEKTSD
+>DECOY_NM_001009960|64711_2_1307_1392
+DSTKEVNVVRIPSKESIFEKNNLIPWGM
+>NM_020069|64736_2_469_596
+MVPVSMVQASTLWPSTLLENMLRVSMLQVSPLRLNMLKVSIL
+>DECOY_NM_020069|64736_2_469_596
+LISVKLMNLRLPSVQLMSVRLMNELLTSPWLTSAQVMSVPVM
+>NM_020069|64737_2_484_596
+MVQASTLWPSTLLENMLRVSMLQVSPLRLNMLKVSIL
+>DECOY_NM_020069|64737_2_484_596
+LISVKLMNLRLPSVQLMSVRLMNELLTSPWLTSAQVM
+>NM_031206|64766_3_2009_2082
+MGHISPRPNARSDRGPSRAHAGEL
+>DECOY_NM_031206|64766_3_2009_2082
+LEGAHARSPGRDSRANPRPSIHGM
+>NM_017675|64803_2_1267_1364
+MAPSCCRWGAPMQKPSASPRSGQRAQPPFRCW
+>DECOY_NM_017675|64803_2_1267_1364
+WCRFPPQARQGSRPSASPKQMPAGWRCCSPAM
+>NM_017675|64809_2_2527_2606
+MAHSTARWLWWLPRMWTPVPSWRYSL
+>DECOY_NM_017675|64809_2_2527_2606
+LSYRWSPVPTWMRPLWWLWRATSHAM
+>NM_017675|64813_3_77_174
+MAVLLPPSCPRGVCGSQRGPEVPSQHDVSDPA
+>DECOY_NM_017675|64813_3_77_174
+APDSVDHQSPVEPGRQSGCVGRPCSPPLLVAM
+>NM_006321|64840_3_1520_1641
+MDRLAVPTECCQALGQVSIHPAIHLPICILHGVRTQEEAV
+>DECOY_NM_006321|64840_3_1520_1641
+VAEEQTRVGHLICIPLHIAPHISVQGLAQCCETPVALRDM
+>NM_015236|64884_2_345_589
+MAGLMTKFVTLTLLRWRISDVICQMPIRLCLKDAITEPSVQWWQVLMFFQTRVQEPINTLKCSMNVSLTKWNKKFFFVLDY
+>DECOY_NM_015236|64884_2_345_589
+YDLVFFFKKNWKTLSVNMSCKLTNIPEQVRTQFFMLVQWWQVSPETIADKLCLRIPMQCIVDSIRWRLLTLTVFKTMLGAM
+>NM_015236|64894_2_2376_2458
+MAEMERSEWPLSCITTWVLIYPRRMPV
+>DECOY_NM_015236|64894_2_2376_2458
+VPMRRPYILVWTTICSLPWESREMEAM
+>NM_015236|64895_2_2385_2458
+MERSEWPLSCITTWVLIYPRRMPV
+>DECOY_NM_015236|64895_2_2385_2458
+VPMRRPYILVWTTICSLPWESREM
+>NM_015236|64897_2_3573_3757
+MGNACEHIAVVAKVQRVPLVQGKHLVLELLDATPQAHRAESVECGMTRFESSQSLPLLLET
+>DECOY_NM_015236|64897_2_3573_3757
+TELLLPLSQSSEFRTMGCEVSEARHAQPTADLLELVLHKGQVLPVRQVKAVVAIHECANGM
+>NM_003170|64988_2_493_668
+MARRNMKKKLLRKKSSRMGKGKKGRRPWRPPWLLQRRRKKMMRSQILTTSLWMMMDSL
+>DECOY_NM_003170|64988_2_493_668
+LSDMMMWLSTTLIQSRMMKKRRRQLLWPPRWPRRGKKGKGMRSSKKRLLKKKMNRRAM
+>NM_003170|64990_2_544_668
+MGKGKKGRRPWRPPWLLQRRRKKMMRSQILTTSLWMMMDSL
+>DECOY_NM_003170|64990_2_544_668
+LSDMMMWLSTTLIQSRMMKKRRRQLLWPPRWPRRGKKGKGM
+>NM_003170|65012_2_4213_4370
+MASTSMWMCGRRARKMPSAWEPLCGSTVRNSKIWMRLLLAMSSPWHPLPGTF
+>DECOY_NM_003170|65012_2_4213_4370
+FTGPLPHWPSSMALLLRMWIKSNRVTSGCLPEWASPMKRARRGCMWMSTSAM
+>NM_003170|65018_2_4861_4937
+MAAVEAAAVLTTYSQRQPSSQWPHH
+>DECOY_NM_003170|65018_2_4861_4937
+HHPWQSSPQRQSYTTLVAAAEVAAM
+>NM_001009584|65035_2_477_562
+MGIGLNLGPQVALRTGPQGEARPTEHTQ
+>DECOY_NM_001009584|65035_2_477_562
+QTHETPRAEGQPGTRLAVQPGLNLGIGM
+>NM_001009584|65037_2_621_814
+MAVVFWTSPSVFSFRENCCLPSPRMRAFHLARISIAIWPASQWLETRAPLQTPLLERLCVPRIT
+>DECOY_NM_001009584|65037_2_621_814
+TIRPVCLRELLPTQLPARTELWQSAPWIAISIRALHFARMRPSPLCCNERFSFVSPSTWFVVAM
+>NM_005353|65066_2_95_306
+MDSTWMWRSLRSSRRMQAALGRAWCSSVDLDSWWEHPWRWWRPTRRDGCMTAQLPPACASPSRCTSALRP
+>DECOY_NM_005353|65066_2_95_306
+PRLASTCRSPSACAPPLQATMCGDRRTPRWWRWPHEWWSDLDVSSCWARGLAAQMRRSSRLSRWMWTSDM
+>NM_005353|65069_2_776_948
+MGPEKVPRRSSLSSQMGRSTKTPWNTVMSSPRQRRLASSATLSGWDTLSRDPLPGRS
+>DECOY_NM_005353|65069_2_776_948
+SRGPLPDRSLTDWGSLTASSALRRQRPSSMVTNWPTKTSRGMQSSLSSRRPVKEPGM
+>NM_005353|65078_3_1371_1498
+MEEEGRSHRDADRLLLRGLPLLRGCGQRWQHRPDPHWGPPLL
+>DECOY_NM_005353|65078_3_1371_1498
+LLPPGWHPDPRHQWRQGCGRLLPLGRLLLRDADRHSRGEEEM
+>NM_019895|65089_2_501_607
+MAQWDCGDGVSPYPKTCIGIAHQKGQSHLMWSQNV
+>DECOY_NM_019895|65089_2_501_607
+VNQSWMLHSQGKQHAIGICTKPYPSVGDGCDWQAM
+>NM_178491|65118_2_656_906
+MAPPAPIIPRWCGHPPIGWAVPSTPVVASVSGATPGIGRHTWSATMPLRATGLASPRTRWESRAPPVPPVIKAAAIATCASRG
+>DECOY_NM_178491|65118_2_656_906
+GRSACTAIAAAKIVPPVPPARSEWRTRPSALGTARLPMTASWTHRGIGPTAGSVSAVVPTSPVAWGIPPHGCWRPIIPAPPAM
+>NM_016427|65132_2_1332_1435
+MGHTACRPRRKSSFPTTERLKRGSHRLLIWTERP
+>DECOY_NM_016427|65132_2_1332_1435
+PRETWILLRHSGRKLRETTPFSSKRRPRCATHGM
+>NM_016427|65136_2_2358_2494
+MGRSSQPPSPREAATLPPARAAAAVAETAAAASFAGSLRSGPTPA
+>DECOY_NM_016427|65136_2_2358_2494
+APTPGSRLSGAFSAAAATEAVAAAARAPPLTAAERPSPPQSSRGM
+>NM_004434|65153_2_364_629
+MALCYQRNLLALYHPPPGSGKKLLCQQPKVTSRGPALLNECLLGVEGKAMGIPEETGIAQAPPAALPVAKRTVKANPRSLYSVQKKAM
+>DECOY_NM_004434|65153_2_364_629
+MAKKQVSYLSRPNAKVTRKAVPLAAPPAQAIGTEEPIGMAKGEVGLLCENLLAPGRSTVKPQQCLLKKGSGPPPHYLALLNRQYCLAM
+>NM_004434|65154_2_511_629
+MGIPEETGIAQAPPAALPVAKRTVKANPRSLYSVQKKAM
+>DECOY_NM_004434|65154_2_511_629
+MAKKQVSYLSRPNAKVTRKAVPLAAPPAQAIGTEEPIGM
+>NM_004434|65157_2_1480_1616
+MAHWCREVGKTESSFLGAETIKNFVKRRFQNSLVQYGQWPRGKAM
+>DECOY_NM_004434|65157_2_1480_1616
+MAKGRPWQGYQVLSNQFRRKVFNKITEAGLFSSETKGVERCWHAM
+>NM_020889|65183_2_1320_1501
+MVSFPYPSKSASRVTGVAVWLLSSSVTIALSCFTWIASSRRSLPCPWADGCVRITSNMWC
+>DECOY_NM_020889|65183_2_1320_1501
+CWMNSTIRVCGDAWPCPLSRRSSAIWTFCSLAITVSSSLLWVAVGTVRSASKSPYPFSVM
+>NM_153611|65218_2_748_899
+MAASTCSTGTQCLWLLAWWYSMEVRHWCTACPSRGWGPNCPGNSSMQRCT
+>DECOY_NM_153611|65218_2_748_899
+TCRQMSSNGPCNPGWGRSPCATCWHRVEMSYWWALLWLCQTGTSCTSAAM
+>NM_153611|65220_2_955_1091
+MEGLPTSTPFTAGWAPPLSSSSPASGSWALLSSSCPGRPCGCAAS
+>DECOY_NM_153611|65220_2_955_1091
+SAACGCPRGPCSSSLLAWSGSAPSSSSLPPAWGATFPTSTPLGEM
+>NM_012283|65254_3_8_177
+MALLPGRRRRDPRPARHHQRGRLPRAPGMGRAGAMPPRAPGAPARLPRPRRPAARV
+>DECOY_NM_012283|65254_3_8_177
+VRAAPRRPRPLRAPAGPARPPMAGARGMGPARPLRGRQHHRAPRPDRRRRGPLLAM
+>NM_012283|65255_3_92_177
+MGRAGAMPPRAPGAPARLPRPRRPAARV
+>DECOY_NM_012283|65255_3_92_177
+VRAAPRRPRPLRAPAGPARPPMAGARGM
+>NM_133639|65257_2_262_542
+MGTPRATGPLRWTPSLCKSWWMELRCALSSGTQRDRRILTDFVPFATRIPMSSWRASAWCSPAPFKTSQRNGCPRSARTTPRRLCCWWAPRPT
+>DECOY_NM_133639|65257_2_262_542
+TPRPAWWCCLRRPTTRASRPCGNRQSTKFPAPSCWASARWSSMPIRTAFPVFDTLIRRDRQTGSSLACRLEMWWSKCLSPTWRLPGTARPTGM
+>NM_133639|65261_3_473_654
+MAARDPHAQPPGACAAGGHPGRPEGRCQRTNSAGPGGPGGPRAPTPGSGSGREDPSLLLP
+>DECOY_NM_133639|65261_3_473_654
+PLLLSPDERGSGSGPTPARPGGPGGPGASNTRQCRGEPRGPHGGAACAGPPQAHPDRAAM
+>NM_032332|65265_2_692_816
+MGSAPWCPAWTPHCGSWTKTQGSCWASTRAIRTRNTSWTAA
+>DECOY_NM_032332|65265_2_692_816
+AATWSTNRTRIARTSAWCSGQTKTWSGCHPTWAPCWPASGM
+>NM_001040185|65282_2_367_461
+MEIFVSRILIKIFMTLSFSGKKMKEMAMKHS
+>DECOY_NM_001040185|65282_2_367_461
+SHKMAMEKMKKGSFSLTMFIKILIRSVFIEM
+>NM_016374|65343_2_1153_1232
+MENLLQFQEKMSMKLLVTLHQSLMLF
+>DECOY_NM_016374|65343_2_1153_1232
+FLMLSQHLTVLLKMSMKEQFQLLNEM
+>NM_016374|65350_2_2233_2336
+MDEGKIKKCMKLVLKILMSKVERSFTWCITADGM
+>DECOY_NM_016374|65350_2_2233_2336
+MGDATICWTFSREVKSMLIKLVLKMCKKIKGEDM
+>NM_016374|65355_2_3136_3224
+MVWRKKENLYGQLVSIQDFQKWQKKGLNF
+>DECOY_NM_016374|65355_2_3136_3224
+FNLGKKQWKQFDQISVLQGYLNEKKRWVM
+>NM_016374|65357_2_4087_4178
+MVIRILISRNPVIDYPKFTNGVFRCRTWKI
+>DECOY_NM_016374|65357_2_4087_4178
+IKWTRCRFVGNTFKPYDIVPNRSILIRIVM
+>NM_182531|65371_2_673_746
+MEGSSVTARSVEKLSVNTQASAHT
+>DECOY_NM_182531|65371_2_673_746
+THASAQTNVSLKEVSRATVSSGEM
+>NM_182531|65377_2_1402_1508
+MEKLTRGRSPLHVWFAENILEIPHALIIMFEFTLE
+>DECOY_NM_182531|65377_2_1402_1508
+ELTFEFMIILAHPIELINEAFWVHLPSRGRTLKEM
+>NM_182531|65378_2_2326_2402
+MEEFTLGRNPINVRNVGKHTIGFIY
+>DECOY_NM_182531|65378_2_2326_2402
+YIFGITHKGVNRVNIPNRGLTFEEM
+>NM_182531|65380_3_503_594
+MDFTGPISERPLQRCDAGKLREPGLSRMAT
+>DECOY_NM_182531|65380_3_503_594
+TAMRSLGPERLKGADCRQLPRESIPGTFDM
+>NM_001025598|65431_2_485_699
+MEWWMGSTASQGSPPTSRSFGRNLSQSGSQTCVGMFTSKTFTASPPCARPISENCRIPCSLTGSMTSLLRL
+>DECOY_NM_001025598|65431_2_485_699
+LRLLSTMSGTLSCPIRCNESIPRACPPSATFTKSTFMGVCTQSGSQSLNRGFSRSTPPSGQSATSGMWWEM
+>NM_001025598|65439_2_1928_2175
+MGRWPRQKQQEQPSPLGRTTLGWATWRSSWELGLRWRSSLWSHPWMTCLWMRHSLSWPPAAVPWTPLAPGLKLRRKMGRKFS
+>DECOY_NM_001025598|65439_2_1928_2175
+SFKRGMKRRLKLGPALPTWPVAAPPWSLSHRMWLCTMWPHSWLSSRWRLGLEWSSRWTAWGLTTRGLPSPQEQQKQRPWRGM
+>NM_001025598|65444_2_2792_2913
+MVKTAEAQKQQLKEEQGRSARNGRVGMERLRETRGLEGTI
+>DECOY_NM_001025598|65444_2_2792_2913
+ITGELGRTERLREMGVRGNRASRGQEEKLQQKQAEATKVM
+>NM_152360|65558_2_1172_1614
+MGSFILMRSHTYVRNVERPLEEVTSLLYIREFTLVKSHMSVKNVGRAIPLPHTFFYIREFIKVENPMNVRSVRKPLLCIEILLGIRIFILVRNFLNASNVGRPILLVQNSFNIRKLILARNPMNARNAERPLACMATLNNIRKFILA
+>DECOY_NM_152360|65558_2_1172_1614
+ALIFKRINNLTAMCALPREANRANMPNRALILKRINFSNQVLLIPRGVNSANLFNRVLIFIRIGLLIEICLLPKRVSRVNMPNEVKIFERIYFFTHPLPIARGVNKVSMHSKVLTFERIYLLSTVEELPREVNRVYTHSRMLIFSGM
+>NM_031440|65613_3_130_248
+MAQVDPETRQGPSSQRPEARLDAIPAVDLRQVPVLLLLS
+>DECOY_NM_031440|65613_3_130_248
+SLLLLVPVQRLDVAPIADLRAEPRQSSPGQRTEPDVQAM
+>NM_015914|65653_2_1203_1420
+MGTRWWSVSFSTCGGWMLQCWSPWPWKCRHSCQTRQRSQRPPAATLWCCPSGTPSPGPTTSVNSVSTRPPGA
+>DECOY_NM_015914|65653_2_1203_1420
+AGPPRTSVSNVSTTPGPSPTGSPCCWLTAAPPRQSRQRTQCSHRCKWPWPSWCQLMWGGCTSFSVSWWRTGM
+>NM_001103161|65807_3_1320_1468
+MAPGSWWPLVPGGERGQPDGEHLGLRWHLQALCPGPVAERRAGGLPAVA
+>DECOY_NM_001103161|65807_3_1320_1468
+AVAPLGGARREAVPGPCLAQLHWRLGLHEGDPQGREGGPVLPWWSGPAM
+>NM_018711|65830_2_326_474
+MGQLCPRSLPIPLMILSWWKMQWKPLALENFSGSCLFSLAWLGWLMPWR
+>DECOY_NM_018711|65830_2_326_474
+RWPMLWGLWALSFLCSGSFNELALPKWQMKWWSLIMLPIPLSRPCLQGM
+>NM_018711|65833_2_659_753
+MASLVHLRPCIAGSWCSGAWWASGSEEFPSR
+>DECOY_NM_018711|65833_2_659_753
+RSPFEESGSAWWAGSCWSGAICPRLHVLSAM
+>NM_001001998|65888_2_537_835
+MAKKQNLKLSGCFMQKISSDLSSSFERRLTIPTHHFFLKSSSNPMLRNLSLKLSLRKGGNAHRIVLRTWTSPLHWLISSISREPSRLSKTCLHILINMN
+>DECOY_NM_001001998|65888_2_537_835
+NMNILIHLCTKSLRSPERSISSILWHLPSTWTRLVIRHANGGKRLSLKLSLNRLMPNSSSKLFFHHTPITLRREFSSSLDSSIKQMFCGSLKLNQKKAM
+>NM_025058|65977_2_288_457
+MVGTPAPSPPLLPPPLPPAAPASPAELSPSQTAWTGCLSQALGHTLGGSEVLCTPK
+>DECOY_NM_025058|65977_2_288_457
+KPTCLVESGGLTHGLAQSLCGTWATQSPSLEAPSAPAAPPLPPPLLPPSPAPTGVM
+>NM_021153|66050_2_352_482
+METILSSTSFWELELEVLLSLMKEQVTYMPYRSLIERSDPSTS
+>DECOY_NM_021153|66050_2_352_482
+STSPDSREILSRYPMYTVQEKMLSLLVELELEWFSTSSLITEM
+>NM_021153|66056_2_1801_1955
+MESRHLQVQTPLPSMSVTVVTVGAHRPASTRSLCFPWDSRQKSSLLFSFAL
+>DECOY_NM_021153|66056_2_1801_1955
+LAFSFLLSSKQRSDWPFCLSRTSAPRHAGVTVVTVSMSPLPTQVQLHRSEM
+>NM_003316|66123_2_1532_1656
+MGWVCNIKIISKVRGIWNLTSAVYGVVNQFLSCKIIAMPLK
+>DECOY_NM_003316|66123_2_1532_1656
+KLPMAIIKCSLFQNVVGYVASTLNWIGRVKSIIKINCVWGM
+>NM_003316|66130_2_5198_5304
+MGNPKGSLLILLNQALRMQITSESPVIPPNRFLRM
+>DECOY_NM_003316|66130_2_5198_5304
+MRLFRNPPIVPSESTIQMRLAQNLLILLSGKPNGM
+>NM_003316|66134_2_6170_6246
+MERKRNMNYIWISPLKSATHLQMRK
+>DECOY_NM_003316|66134_2_6170_6246
+KRMQLHTASKLPSIWIYNMNRKREM
+>NM_003316|66137_2_6545_6666
+MVLGSVNFLKCRFLSFHFLPVTRFIPSYSLSLQATMAKGL
+>DECOY_NM_003316|66137_2_6545_6666
+LGKAMTAQLSLSYSPIFRTVPLFHFSLFRCKLFNVSGLVM
+>NM_181535|66155_2_1255_1340
+MEIHAPNQRALDQEALGIHLKIYPKPHW
+>DECOY_NM_181535|66155_2_1255_1340
+WHPKPYIKLHIGLAEQDLARQNPAHIEM
+>NM_203364|66176_2_949_1073
+MGCVRKKRQPQHLQLKTRYLKLNLSQQKSTLSKVKLNQQSM
+>DECOY_NM_203364|66176_2_949_1073
+MSQQNLKVKSLTSKQQSLNLKLYRTKLQLHQPQRKKRVCGM
+>NM_001032293|66220_2_1385_1503
+MVVIIKACQDTFLVLCPRMGRDRQWCPLTRVGLLDLRWE
+>DECOY_NM_001032293|66220_2_1385_1503
+EWRLDLLGVRTLPCWQRDRGMRPCLVLFTDQCAKIIVVM
+>NM_177999|66237_2_437_627
+MGPISTLKTQSPTTRPCTSPSCGTSRTWWSCWCITGPTLIGGTGKNCSAPCSGQQRRGADPQY
+>DECOY_NM_177999|66237_2_437_627
+YQPDAGRRQQGSCPASCNKGTGGILTPGTICWCSWWTRSTGCSPSTCPRTTPSQTKLTSIPGM
+>NM_018434|66317_3_1039_1136
+MAHILLHSEDQVHKCTRQEPASSRRCSQESHQ
+>DECOY_NM_018434|66317_3_1039_1136
+QHSEQSCRRSSAPEQRTCKHVQDESHLLIHAM
+>NM_018434|66318_3_1561_1634
+MVYYCQFWPPQCPHTLLHDHQSHS
+>DECOY_NM_018434|66318_3_1561_1634
+SHSQHDHLLTHPCQPPWFQCYYVM
+>NM_033480|66337_2_719_888
+MALETATLKIMMMTAKWQISCPTSSSNSHFRSLCLNCVSLSLRAVRFTYQCCQWRS
+>DECOY_NM_033480|66337_2_719_888
+SRWQCCQYTFRVARLSLSVCNLCLSRFHSNSSSTPCSIQWKATMMMIKLTATELAM
+>NM_012263|66445_3_307_386
+MGPSDRKRGLEFLLDECANHPKCVQR
+>DECOY_NM_012263|66445_3_307_386
+RQVCKPHNACEDLLFELGRKRDSPGM
+>NM_001005235|66511_3_416_525
+MALPTHAIGFLQHLPPTFPVPRATYVSLVFLCLSHH
+>DECOY_NM_001005235|66511_3_416_525
+HHSLCLFVLSVYTARPVPFTPPLHQLFGIAHTPLAM
+>NM_206997|66579_2_183_271
+MELARVWRCSCSAWPSLTSCSWQQRPSRS
+>DECOY_NM_206997|66579_2_183_271
+SRSPRQQWSCSTLSPWASCSCRWVRALEM
+>NM_206997|66580_2_282_583
+MGDTGRWGQLPAASTTSYGACPTPPASSCWPPSASTAACWRCAHTGTLGTAQSACPSGSAPVSGCWPHSSACPGWSSPRLPSGGTTWSSAWTSGTARSCR
+>DECOY_NM_206997|66580_2_282_583
+RCSRATGSTWASSWTTGGSPLRPSSWGPCASSHPWCGSVPASGSPCASQATGLTGTHACRWCAATSASPPWCSSAPPTPCAGYSTTSAAPLQGWRGTDGM
+>NM_144652|66593_2_433_509
+MDSTYFGLTPKLLPEWFGGCCMDRS
+>DECOY_NM_144652|66593_2_433_509
+SRDMCCGGFWEPLLKPTLGFYTSDM
+>NM_014406|66606_2_1329_1483
+MVWLWYLNGNVQAHLPSLWFSGEPPPRGCGVQSRPSTTALMPISSYVKIPD
+>DECOY_NM_014406|66606_2_1329_1483
+DPIKVYSSIPMLATTSPRSQVGCGRPPPEGSFWLSPLHAQVNGNLYWLWVM
+>NM_145239|66646_2_842_984
+MGQWCPCRLVMGKRAQPLSLTHHPQKNPPQPMGPPPECCSSWLRRIE
+>DECOY_NM_145239|66646_2_842_984
+EIRRLWSSCCEPPPGMPQPPNKQPHHTLSLPQARKGMVLRCPCWQGM
+>NM_145239|66647_2_872_984
+MGKRAQPLSLTHHPQKNPPQPMGPPPECCSSWLRRIE
+>DECOY_NM_145239|66647_2_872_984
+EIRRLWSSCCEPPPGMPQPPNKQPHHTLSLPQARKGM
+>NM_002319|66657_2_936_1189
+MVGWTQASTALIVAARGGLEMSQQMNFQSCHSGSQSWPGSPGDPENARRMAQRTETLCRLTSSTAMSPGRMKSEALWRSSDHPN
+>DECOY_NM_002319|66657_2_936_1189
+NPHDSSRWLAESKMRGPSMATSSTLRCLTETRQAMRRANEPDGPSGPWSQSGSHCSQFNMQQSMELGGRAAVILATSAQTWGVM
+>NM_002319|66660_2_1083_1189
+MAQRTETLCRLTSSTAMSPGRMKSEALWRSSDHPN
+>DECOY_NM_002319|66660_2_1083_1189
+NPHDSSRWLAESKMRGPSMATSSTLRCLTETRQAM
+>NM_178232|66671_2_549_958
+MGVTAVRSLTGWRMKAVWWSWSCGVWSFLTSPPTGATSSTSTRASRSVQSRLRWWPPLSSSSGPGRRAWTGATRAGCRMPRCSTPSCCPGSPAVARAWHLACEATAPATAACTAMMYSASLLPSRGGCTTWSTLRS
+>DECOY_NM_178232|66671_2_549_958
+SRLTSWTTCGGRSPLLSASYMMATCAATAPATAECALHWARAVAPSGPCCSPTSCRPMRCGARTAGTWARRGPGSSSSLPPWWRLRSQVSRSARTSTSSTAGTPPSTLFSWVGCSWSWWVAKMRWGTLSRVATVGM
+>NM_020113|66747_2_499_662
+MAPVNSLLVSRPRVNSLQVSTLQGNRLQVHQFQAHLQVENSNSWFKGVRTCAHL
+>DECOY_NM_020113|66747_2_499_662
+LHACTRVGKFWSNSNEVQLHAQFQHVQLRNGQLTSVQLSNVRPRSVLLSNVPAM
+>NM_014497|66823_2_950_1089
+MGMQANMATQKIHLKYVFMILKFQLMRSRMNFSHSRTFLHLFPIQM
+>DECOY_NM_014497|66823_2_950_1089
+MQIPFLHLFTRSHSFNMRSRMLQFKLIMFVYKLHIKQTAMNAQMGM
+>NM_014497|66825_2_968_1089
+MATQKIHLKYVFMILKFQLMRSRMNFSHSRTFLHLFPIQM
+>DECOY_NM_014497|66825_2_968_1089
+MQIPFLHLFTRSHSFNMRSRMLQFKLIMFVYKLHIKQTAM
+>NM_014497|66830_2_2078_2214
+MGQNLINRSILKLLIRDIHQHKSLKLAVEQNHQLNLQALQRVIQI
+>DECOY_NM_014497|66830_2_2078_2214
+IQIVRQLAQLNLQHNQEVALKLSKHQHIDRILLKLISRNILNQGM
+>NM_194278|66866_2_2233_2315
+MAVVLKRSGKVYWPQLPSVGWSFLSLP
+>DECOY_NM_194278|66866_2_2233_2315
+PLSLFSWGVSPLQPWYVKGSRKLVVAM
+>NM_194278|66878_3_1181_1260
+MELPQSVPLQCNQGEPASWSGSPDLL
+>DECOY_NM_194278|66878_3_1181_1260
+LLDPSGSWSAPEGQNCQLPVSQPLEM
+>NM_194278|66879_3_3047_3204
+MGGPREQPGEAEASGRPADSRLLQHFPWCWHQPGAGPALSARIQRRHPGNAE
+>DECOY_NM_194278|66879_3_3047_3204
+EANGPHRRQIRASLAPGAGPQHWCWPFHQLLRSDAPRGSAEAEGPQERPGGM
+>NM_001080497|66897_2_973_1064
+MDIMALVRMAACPANAIIGLPVAMPSQVLV
+>DECOY_NM_001080497|66897_2_973_1064
+VLVQSPMAVPLGIIANAPCAAMRVLAMIDM
+>NM_001080497|66898_2_982_1064
+MALVRMAACPANAIIGLPVAMPSQVLV
+>DECOY_NM_001080497|66898_2_982_1064
+VLVQSPMAVPLGIIANAPCAAMRVLAM
+>NM_001080497|66899_2_1273_1424
+MAITILTASVESANVTAMWTQLKLQRFVSPRVVSASTASITPLGFGVRTA
+>DECOY_NM_001080497|66899_2_1273_1424
+ATRVGFGLPTISATSASVVRPSVFRQLKLQTWMATVNASEVSATLITIAM
+>NM_005725|66920_2_477_568
+MGHSSPSTQHFSAVEKKAPNRSNLHAQRSF
+>DECOY_NM_005725|66920_2_477_568
+FSRQAHLNSRNPAKKEVASFHQTSPSSHGM
+>NM_005725|66923_3_163_365
+MVSVRRCHKGVIIRGQVPRVFLCGAVCSGWSRGPDDGRGVLRVLRSHAGVAMCAWIIFYLPPGDICC
+>DECOY_NM_005725|66923_3_163_365
+CCIDGPPLYFIIWACMAVGAHSRLVRLVGRGDDPGRSWGSCVAGCLFVRPVQGRIIVGKHCRRVSVM
+>NM_001042548|66949_2_900_1036
+MAALRMGRMREVICPAHASAWKGSQMSFRMASATPLEIQVERNSI
+>DECOY_NM_001042548|66949_2_900_1036
+ISNREVQIELPTASAMRFSMQSGKWASAHAPCIVERMRGMRLAAM
+>NM_001042548|66950_2_915_1036
+MGRMREVICPAHASAWKGSQMSFRMASATPLEIQVERNSI
+>DECOY_NM_001042548|66950_2_915_1036
+ISNREVQIELPTASAMRFSMQSGKWASAHAPCIVERMRGM
+>NM_147175|67025_2_1470_1570
+MEGLQPPKSCPAATLAMTGLAAPSKSLWTVPTI
+>DECOY_NM_147175|67025_2_1470_1570
+ITPVTWLSKSPAALGTMALTAAPCSKPPQLGEM
+>NM_147175|67029_3_1444_1520
+MESIPACLRWKASNLRRAAQLLHWR
+>DECOY_NM_147175|67029_3_1444_1520
+RWHLLQAARRLNSAKWRLCAPISEM
+>NM_025109|67058_3_848_1002
+MDVSLPNEVLCCGGHLTCILGEPQDCREDRTEDPELQPCHGSFWECVYTEE
+>DECOY_NM_025109|67058_3_848_1002
+EETYVCEWFSGHCPQLEPDETRDERCDQPEGLICTLHGGCCLVENPLSVDM
+>NM_006842|67150_2_110_234
+MAPGLPRSFRPSWQRSELRSRVIARSWWSGCRATPARLASC
+>DECOY_NM_006842|67150_2_110_234
+CSALRAPTARCGSWWSRAIVRSRLESRQWSPRFSRPLGPAM
+>NM_006842|67151_2_260_480
+MGTKPLHLPCRHSSLEFPCHHHLWDSPLCSLLRHPHHLHQALALAFLWPTHQIWGPRLLSVWVSQWHCQRRSG
+>DECOY_NM_006842|67151_2_260_480
+GSRRQCHWQSVWVSLLRPGWIQHTPWLFALALAQHLHHPHRLLSCLPSDWLHHHCPFELSSHRCPLHLPKTGM
+>NM_207312|67195_2_183_376
+MEFSPMVKCQVIKPLVAGTTPSTRSSVRLELASTCPEQCLWTWSPLWSMKCAQGPTGSSSTQSS
+>DECOY_NM_207312|67195_2_183_376
+SSQTSSSGTPGQACKMSWLPSWTWLCQEPCTSALELRVSSRTSPTTGAVLPKIVQCKVMPSFEM
+>NM_207312|67199_2_1026_1234
+MASTWPAACCTGGTWSPKTSMRPSPPSRPSALSSLWIGARLDLRWALTTSPPQWSPGETWPRCSGPCAC
+>DECOY_NM_207312|67199_2_1026_1234
+CACPGSCRPWTEGPSWQPPSTTLAWRLDLRAGIWLSSLASPRSPPSPRMSTKPSWTGGTCCAAPWTSAM
+>NM_016323|67231_2_2377_2570
+MGCSCILKGLPACGFLSSLNLRRKDTSFLGFYVDFPCSIAMLPTFLSHWHCLRNFWTKCHHWKT
+>DECOY_NM_016323|67231_2_2377_2570
+TKWHHCKTWFNRLCHWHSLFTPLMAISCPFDVYFGLFSTDKRRLNLSSLFGCAPLGKLICSCGM
+>NM_198582|67273_2_748_950
+MGHHSPSSRSWRRSWWWWAGRRWRRRRQVRSPPPALGTLPSTTARPRGGWHFQTSPTITSGVSPWRP
+>DECOY_NM_198582|67273_2_748_950
+PRWPSVGSTITPSTQFHWGGRPRATTSPLTGLAPPPSRVQRRRRWRRGAWWWWSRRWSRSSPSHHGM
+>NM_001029880|67339_2_277_428
+METLILKKAQAWRKLALTGENIWKRQEQVLLPTHHSNTLKSAFRATSSQE
+>DECOY_NM_001029880|67339_2_277_428
+EQSSTARFASKLTNSHHTPLLVQEQRKWINEGTLALKRWAQAKKLILTEM
+>NM_001029880|67345_2_1288_1430
+MESASLLPKVTLARTSTGQIITSSMGRRKPLPSASEIHHSVEVSQRT
+>DECOY_NM_001029880|67345_2_1288_1430
+TRQSVEVSHHIESASPLPKRRGMSSTIIQGTSTRALTVKPLLSASEM
+>NM_001029880|67347_2_2188_2684
+MERERRSPSPPSGKATPTADTPNPPGGGSDGNPFSCRRNGGLLPWTSPRARGRKVKRRTLTPWTMTPPVRRPAPSSGMTRRTPRRRRCPRPGPGGPSPCGAAQSPCAGHPQRGHEGAAGRRLPPQQRKGRSARRPSPRGQRTRNRRRRRDWFWRATRWSGRSPTW
+>DECOY_NM_001029880|67347_2_2188_2684
+WTPSRGSWRTARWFWDRRRRRNRTRQGRPSPRRASRGKRQQPPLRRGAAGEHGRQPHGACPSQAAGCPSPGGPGPRPCRRRRPTRRTMGSSPAPRRVPPTMTWPTLTRRKVKRGRARPSTWPLLGGNRRCSFPNGDSGGGPPNPTDATPTAKGSPPSPSRREREM
+>NM_030819|67372_2_732_805
+MAGSVMSSWAAGACTPWGPTLWTC
+>DECOY_NM_030819|67372_2_732_805
+CTWLTPGWPTCAGAAWSSMVSGAM
+>NM_030819|67373_2_1266_1345
+MGCTCRAWWMPSRGRADPGSGRLWRC
+>DECOY_NM_030819|67373_2_1266_1345
+CRWLRGSGPDARGRSPMWWARCTCGM
+>NM_001085354|67406_2_667_776
+MGVLSLLDFYHRPIWNVSRPRQLLYQLFHSTSKTKK
+>DECOY_NM_001085354|67406_2_667_776
+KKTKSTSHFLQYLLQRPRSVNWIPRHYFDLLSLVGM
+>NM_001085354|67409_2_925_1001
+MENLSWTLIQINMTSWYQTLTQKKK
+>DECOY_NM_001085354|67409_2_925_1001
+KKKQTLTQYWSTMNIQILTWSLNEM
+>NM_020357|67423_2_136_242
+MEGKVPVAALRSDQLKKKLPTSQQSLQRSPSLDLP
+>DECOY_NM_020357|67423_2_136_242
+PLDLSPSRQLSQQSTPLKKKLQDSRLAAVPVKGEM
+>NM_025134|67495_2_618_808
+MDLLFTNKDIHTLCIKIKALWHTMTLPYFRPMNNKHSVLHYAHNKTEIISTQGRILLASLKIL
+>DECOY_NM_025134|67495_2_618_808
+LIKLSALLIRGQTSIIETKNHAYHLVSHKNNMPRFYPLTMTHWLAKIKICLTHIDKNTFLLDM
+>NM_025134|67500_2_2775_2857
+MAINSGNINWKDSTGSCSIGTIDETAS
+>DECOY_NM_025134|67500_2_2775_2857
+SATEDITGISCSGTSDKWNINGSNIAM
+>NM_025134|67511_2_5277_5452
+MDMKNITLFEQTQHYASWKEWENLMRKQLLLNRERMIIWMGMWKIQNTNLPQPSLKMI
+>DECOY_NM_025134|67511_2_5277_5452
+IMKLSPQPLNTNQIKWMGMWIIMRERNLLLQKRMLNEWEKWSAYHQTQEFLTINKMDM
+>NM_025134|67515_2_6453_6544
+MVFYHRLLETRNLVENVKQTDAWLQPEQNP
+>DECOY_NM_025134|67515_2_6453_6544
+PNQEPQLWADTQKVNEVLNRTELLRHYFVM
+>NM_025134|67516_2_6921_6997
+MGHQSLLISYKVDICWQPRIGQRIV
+>DECOY_NM_025134|67516_2_6921_6997
+VIRQGIRPQWCIDVKYSILLSQHGM
+>NM_025134|67520_2_7641_7810
+MERDLQEMMHQRERIWKNGLRSTRVMWKIWELLFLECSFMREDPNKKDTVAETPIN
+>DECOY_NM_025134|67520_2_7641_7810
+NIPTEAVTDKKNPDERMFSCELFLLEWIKWMVRTSRLGNKWIRERQHMMEQLDREM
+>NM_080744|67538_2_418_521
+MGVLPLPSSPKPCLSFSSCHWPAPYSPLHCPFKS
+>DECOY_NM_080744|67538_2_418_521
+SKFPCHLPSYPAPWHCSSFSLCPKPSSPLPLVGM
+>NM_080744|67540_2_1084_1607
+MAPDTSCWTTCTAKAASPAWQPARAWAGVCTTAATTRTRARSAQAWVPQRSQHCHPQPQERTGLGRQIRPLQELAPSLPGRQHCSPPPPGPRGRKVDGCDWWAARVRAAAAWRCCTPGAGAPCATMTGTLRTRAWPAAKRAAGLRWALRDWATSATAAAPCCWTTWAAPAPRLA
+>DECOY_NM_080744|67540_2_1084_1607
+ALRPAPAAWTTWCCPAAATASTAWDRLAWRLGAARKAAPWARTRLTGTMTACPAGAGPTCCRWAAAARVRAAWWDCGDVKRGRPGPPPPSCHQRGPLSPALEQLPRIQRGLGTREQPQPHCHQSRQPVWAQASRARTRTTAATTCVGAWARAPQWAPSAAKATCTTWCSTDPAM
+>NM_080744|67542_2_1714_1805
+MVLRPRGCPLLGPGTGIYVWSMEPTDARDV
+>DECOY_NM_080744|67542_2_1714_1805
+VDRADTPEMSWVYIGTGPGLLPCGRPRLVM
+>NM_012139|67557_2_312_478
+MGNWGLVTQRISHILPPANPSLAVPSNRWPVAGILRLCSQKMVKFYHVDPTPLAS
+>DECOY_NM_012139|67557_2_312_478
+SALPTPDVHYFKVMKQSCLRLIGAVPWRNSPVALSPNAPPLIHSIRQTVLGWNGM
+>NM_021996|67580_2_1059_1183
+MVGQSSGGRWPGYMSLLGAATWPSWRTRPMASWLPGGRKAT
+>DECOY_NM_021996|67580_2_1059_1183
+TAKRGGPLWSAMPRTRWSPWTAAGLLSMYGPWRGGSSQGVM
+>NM_021996|67582_3_466_725
+MVTVPSAQAAGAQAHTAADTHTLVGAHRLRGNLQPRASAAHLPATEPDHWGHGVCRGEVHSFHPVLPGVSRGVLHAWVPGALLHLH
+>DECOY_NM_021996|67582_3_466_725
+HLHLLAGPVWAHLVGRSVGPLVPHFSHVEGRCVGHGWHDPETAPLHAASARPQLNGRLRHAGVLTHTDAATHAQAGAAQASPVTVM
+>NM_001009992|67614_2_656_747
+MDTRGQTRTQSWMSHPASPAMESISVRTKV
+>DECOY_NM_001009992|67614_2_656_747
+VKTRVSISEMAPSAPHSMWSQTRTQGRTDM
+>NM_023112|67756_2_302_486
+MGTASTGPWAIPTWSPCWGRAGRSSSSKNAYCRPQMTFWLLALRSTSSETSSMLFTVWWNW
+>DECOY_NM_023112|67756_2_302_486
+WNWWVTFLMSSTESSTSRLALLWFTMQPRCYANKSSSSRGARGWCPSWTPIAWPGTSATGM
+>NM_153181|67845_2_1033_1112
+MDSRTVCILGMKITVKRRGKPACWTS
+>DECOY_NM_153181|67845_2_1033_1112
+STWCAPKGRRKVTIKMGLICVTRSDM
+>NM_145640|67933_3_487_569
+MVFERVSPSQEEDPGVHRKASCPCKWY
+>DECOY_NM_145640|67933_3_487_569
+YWKCPCSAKRHVGPDEEQSPSVREFVM
+>NM_012261|67980_2_386_462
+MGRRVSWQSLQPNLLYLMMCGPATT
+>DECOY_NM_012261|67980_2_386_462
+TTAPGCMMLYLLNPQLSQWSVRRGM
+>NM_001080517|68012_2_3082_3194
+MDTASCFHQSHLLLLLVAATLLYSLSFVTEKTWIWQK
+>DECOY_NM_001080517|68012_2_3082_3194
+KQWIWTKETVFSLSYLLTAAVLLLLLHSQHFCSATDM
+>NM_001080517|68020_3_2933_3129
+MEVSLSDGAECHQVTSASVSSHTTPSQFRLKESPAGHTWLISPRRRGVSKWIQPHVFTSHISYYC
+>DECOY_NM_001080517|68020_3_2933_3129
+CYYSIHSTFVHPQIWKSVGRRRPSILWTHGAPSEKLRFQSPTTHSSVSASTVQHCEAGDSLSVEM
+>NM_001004052|68180_3_416_543
+MACCGEDCSGRHHPKLLHHLPSHILAEAAALLPNQHCSSLLL
+>DECOY_NM_001004052|68180_3_416_543
+LLLSSCHQNPLLAAAEALIHSPLHHLLKPHHRGSCDEGCCAM
+>NM_001506|68258_2_556_644
+MAVRTATWRSTLTMRLPRFGLKGSWRDTL
+>DECOY_NM_001506|68258_2_556_644
+LTDRWSGKLGFRPLRMTLTSRWTATRVAM
+>NM_020649|68322_2_1018_1157
+MAQAPPALGGACTGTWGPRGEGPPSSPGSLWPESWGTRRKSPGAPP
+>DECOY_NM_020649|68322_2_1018_1157
+PPAGPSKRRTGWSEPWLSGPSSPPGEGRPGWTGTCAGGLAPPAQAM
+>NM_020649|68323_3_185_264
+MEGMVAEVQHMGTGGKHPGCSLARSL
+>DECOY_NM_020649|68323_3_185_264
+LSRALSCGPHKGGTGMHQVEAVMGEM
+>NM_020914|68399_2_233_447
+MGTGSTATSPLPSASTRSSSPPRHPSRPSKPTWQVTTGSRSRPCRRQPCSMTGCVLGSWPRSEQVLESLCT
+>DECOY_NM_020914|68399_2_233_447
+TCLSELVQESRPWSGLVCGTMSCPQRRCPRSRSGTTVQWTPKSPRSPHRPPSSSRTSASPLPSTATSGTGM
+>NM_020914|68426_3_3987_4087
+MAEEHRGGALCVGGEVHQCQSTSFPEEAQIQPL
+>DECOY_NM_020914|68426_3_3987_4087
+LPQIQAEEPFSTSQCQHVEGGVCLAGGRHEEAM
+>NM_012163|68439_2_468_562
+MAEGGNWPRPWAVCTGLHPSWPPSAWPTALH
+>DECOY_NM_012163|68439_2_468_562
+HLATPWASPPWSPHLGTCVAWPRPWNGGEAM
+>NM_012406|68474_2_981_1156
+MAMRWPWTQQSLWRTFLGLPAQFRQMEWQRSLRWTVLQASIPKSQMAPEVMNLCLWIL
+>DECOY_NM_012406|68474_2_981_1156
+LIWLCLNMVEPAMQSKPISAQLVTWRLSRQWEMQRFQAPLGLFTRWLSQQTWPWRMAM
+>NM_012406|68478_2_1215_1318
+MAWSSLWSWRQTTLQVGSMACLTVPSVTPFTLWP
+>DECOY_NM_012406|68478_2_1215_1318
+PWLTFPTVSPVTLCAMSGVQLTTQRWSWLSSWAM
+>NM_012406|68481_2_1956_2125
+MEKSFSAPHKISLLKMNCFFIIAEIMLNRLVFLNTQMCISVTVARSAILTQSSKPI
+>DECOY_NM_012406|68481_2_1956_2125
+IPKSSQTLIASRAVTVSICMQTNLFVLRNLMIEAIIFFCNMKLLSIKHPASFSKEM
+>NM_012406|68484_2_2175_2281
+MGQVTAKKGSGSAQCAPKLLSLLPNFMSTLWVTWV
+>DECOY_NM_012406|68484_2_2175_2281
+VWTVWLTSMFNPLLSLLKPACQASGSGKKATVQGM
+>NM_144690|68510_2_490_584
+MVLSVQVSKMIGNAETSLTDNREIQTDISIR
+>DECOY_NM_144690|68510_2_490_584
+RISIDTQIERNDTLSTEANGIMKSVQVSLVM
+>NM_144690|68517_3_215_315
+MAVVGTCSEGFVQRRDVGDLQQPGLTGSCRFQT
+>DECOY_NM_144690|68517_3_215_315
+TQFRCSGTLGPQQLDGVDRRQVFGESCTGVVAM
+>NM_018334|68594_2_1908_1993
+MVPLSLCQTSRKSAYTVTPSGVTVSSVG
+>DECOY_NM_018334|68594_2_1908_1993
+GVSSVTVGSPTVTYASKRSTQCLSLPVM
+>NM_001080532|68645_3_1355_1464
+MVHISAWNGAQEKQHMGLGRDQHFSLYHASYKGQQD
+>DECOY_NM_001080532|68645_3_1355_1464
+DQQGKYSAHYLSFHQDRGLGMHQKEQAGNWASIHVM
+>NM_213597|68668_2_622_701
+MVGGHACSRSCKENMGMSPKKLLSYI
+>DECOY_NM_213597|68668_2_622_701
+IYSLLKKPSMGMNEKCSRSCAHGGVM
+>NM_018383|68827_2_3130_4022
+MGPRGGLSGVARTAGVPLIGVALTQTSPMTSADQMTSTLTSALATGYVNLRGEEDLYRKKRSGGEGALGLRFPLITGNSARGMAGVQPEALRGHGKAAGPEMNVSPGIPRTHVFEGAEKKVSEEEPRRGMRAVLPPEEGMVFLVLKTLVQRRILMLLRKRPEDEISEVEVGVPHEEEGRVYFPLLTSSLALKEGGSQIPGMETESLGQVMNIFVILPALIIPLTTVIPQPAENAPLLSKAWTWHPYLPESAPGMMAQALLSTERWRPQEALLKTEEAKAEGAQDLLRECPNLGVPAP
+>DECOY_NM_018383|68827_2_3130_4022
+PAPVGLNPCERLLDQAGEAKAEETKLLAEQPRWRETSLLAQAMMGPASEPLYPHWTWAKSLLPANEAPQPIVTTLPIILAPLIVFINMVQGLSETEMGPIQSGGEKLALSSTLLPFYVRGEEEHPVGVEVESIEDEPRKRLLMLIRRQVLTKLVLFVMGEEPPLVARMGRRPEEESVKKEAGEFVHTRPIGPSVNMEPGAAKGHGRLAEPQVGAMGRASNGTILPFRLGLAGEGGSRKKRYLDEEGRLNVYGTALASTLTSTMQDASTMPSTQTLAVGILPVGATRAVGSLGGRPGM
+>NM_018383|68830_2_3376_4022
+MAGVQPEALRGHGKAAGPEMNVSPGIPRTHVFEGAEKKVSEEEPRRGMRAVLPPEEGMVFLVLKTLVQRRILMLLRKRPEDEISEVEVGVPHEEEGRVYFPLLTSSLALKEGGSQIPGMETESLGQVMNIFVILPALIIPLTTVIPQPAENAPLLSKAWTWHPYLPESAPGMMAQALLSTERWRPQEALLKTEEAKAEGAQDLLRECPNLGVPAP
+>DECOY_NM_018383|68830_2_3376_4022
+PAPVGLNPCERLLDQAGEAKAEETKLLAEQPRWRETSLLAQAMMGPASEPLYPHWTWAKSLLPANEAPQPIVTTLPIILAPLIVFINMVQGLSETEMGPIQSGGEKLALSSTLLPFYVRGEEEHPVGVEVESIEDEPRKRLLMLIRRQVLTKLVLFVMGEEPPLVARMGRRPEEESVKKEAGEFVHTRPIGPSVNMEPGAAKGHGRLAEPQVGAM
+>NM_018383|68833_2_3547_4022
+MVFLVLKTLVQRRILMLLRKRPEDEISEVEVGVPHEEEGRVYFPLLTSSLALKEGGSQIPGMETESLGQVMNIFVILPALIIPLTTVIPQPAENAPLLSKAWTWHPYLPESAPGMMAQALLSTERWRPQEALLKTEEAKAEGAQDLLRECPNLGVPAP
+>DECOY_NM_018383|68833_2_3547_4022
+PAPVGLNPCERLLDQAGEAKAEETKLLAEQPRWRETSLLAQAMMGPASEPLYPHWTWAKSLLPANEAPQPIVTTLPIILAPLIVFINMVQGLSETEMGPIQSGGEKLALSSTLLPFYVRGEEEHPVGVEVESIEDEPRKRLLMLIRRQVLTKLVLFVM
+>NM_018383|68835_2_3730_4022
+METESLGQVMNIFVILPALIIPLTTVIPQPAENAPLLSKAWTWHPYLPESAPGMMAQALLSTERWRPQEALLKTEEAKAEGAQDLLRECPNLGVPAP
+>DECOY_NM_018383|68835_2_3730_4022
+PAPVGLNPCERLLDQAGEAKAEETKLLAEQPRWRETSLLAQAMMGPASEPLYPHWTWAKSLLPANEAPQPIVTTLPIILAPLIVFINMVQGLSETEM
+>NM_018383|68838_2_3892_4022
+MAQALLSTERWRPQEALLKTEEAKAEGAQDLLRECPNLGVPAP
+>DECOY_NM_018383|68838_2_3892_4022
+PAPVGLNPCERLLDQAGEAKAEETKLLAEQPRWRETSLLAQAM
+>NM_018383|68839_2_4039_4139
+MDTTEMNLLGALQAVAPLLEGAGVAVTGVEGVT
+>DECOY_NM_018383|68839_2_4039_4139
+TVGEVGTVAVGAGELLPAVAQLAGLLNMETTDM
+>NM_171982|68864_2_1061_1314
+MATACRWRTRNASPRRPACWAPVSSHRARTPGRWPLGGCRAGGWAWYVCARTRALRATHTAATTTHARASGMSAARRAWRGTTA
+>DECOY_NM_171982|68864_2_1061_1314
+ATTGRWARRAASMGSARAHTTTAATHTARLARTRACVYWAWGGARCGGLPWRGPTRARHSSVPAWCAPRRPSANRTRWRCATAM
+>NM_018933|68913_2_2126_2403
+MASLRARPPPRCTCSWWTASPSPTCLSRRRPRPRPRPTCSPSTWWWRWPRCLRSSSFRCSCSWRCGCVGGAGRPRWVAAWCPRAPFQGILWT
+>DECOY_NM_018933|68913_2_2126_2403
+TWLIGQFPARPCWAAVWRPRGAGGVCGCRWSCSCRFSSSRLCRPWRWWWTSPSCTPRPRPRPRRRSLCTPSPSATWWSCTCRPPPRARLSAM
+>NM_001001919|69041_3_443_522
+MAFWWNQFNCANITCHAMAFLWEQYY
+>DECOY_NM_001001919|69041_3_443_522
+YYQEWLFAMAHCTINACNFQNWWFAM
+>NM_020917|69136_2_592_665
+MEKRCMSVRSVGRPLFVAQHLVNT
+>DECOY_NM_020917|69136_2_592_665
+TNVLHQAVFLPRGVSRVSMCRKEM
+>NM_001796|69187_2_1771_1982
+MENPAKSFKLLAPWTKMIPKTDIISYTVSFQKWSTIRISPSRKMKIIPSVFWQSIMDSTARSKKSIFYQS
+>DECOY_NM_001796|69187_2_1771_1982
+SQYFISKKSRATSDMISQWFVSPIIKMKRSPSIRITSWKQFSVTYSIIDTKPIMKTWPALLKFSKAPNEM
+>NM_001796|69201_3_308_402
+MDYSSPLHLHGSDESVSSFNEWIPFGTKQSG
+>DECOY_NM_001796|69201_3_308_402
+GSQKTGFPIWENFSSVSEDSGHLHLPSSYDM
+>NM_001080541|69260_2_278_354
+MAKLIKEFWLLIRMPVLWLVVCHHQ
+>DECOY_NM_001080541|69260_2_278_354
+QHHCVVLWLVPMRILLWFEKILKAM
+>NM_001080541|69261_2_593_825
+MVVGGNLVGRLNLMFWGGFSFIQNLLPQVIIGCINQYLSINSNLPTIHWTKKGISSCTLCIVTCRGFIWCLQKRLWR
+>DECOY_NM_001080541|69261_2_593_825
+RWLRKQLCWIFGRCTVICLTCSSIGKKTWHITPLNSNISLYQNICGIIVQPLLNQIFSFGGWFMLNLRGVLNGGVVM
+>NM_001080541|69263_2_989_1164
+MENKRTALTKKGIIFPVLLVIGSVLQKVRGQRYNQVIWILCQGVMKHQARVWRRLPLI
+>DECOY_NM_001080541|69263_2_989_1164
+ILPLRRWVRAQHKMVGQCLIWIVQNYRQGRVKQLVSGIVLLVPFIIGKKTLATRKNEM
+>NM_001080541|69281_2_6533_6630
+MGLGIVRVPKKKWLFWKLGPFLKKPVIRQSKI
+>DECOY_NM_001080541|69281_2_6533_6630
+IKSQRIVPKKLFPGLKWFLWKKKPVRVIGLGM
+>NM_001080541|69283_2_7127_7329
+MDIFRVTYCYLENRYNQSKRRRVGEAVLTSLFWIWKKMMKMIMRKLMILLMKLWMLFLTTRVRRLMM
+>DECOY_NM_001080541|69283_2_7127_7329
+MMLRRVRTTLFLMWLKMLLIMLKRMIMKMMKKWIWFLSTLVAEGVRRRKSQNYRNELYCYTVRFIDM
+>NM_005337|69363_2_881_1014
+MGASTPIASARSCGSCVCRAPSTSPLSVRMCCRCTKSPRTCLAV
+>DECOY_NM_005337|69363_2_881_1014
+VALCTRPSKTCRCCMRVSLPSTSPARCVCSGCSRASAIPTSAGM
+>NM_005337|69370_2_1856_1947
+MVFTTATPSWKSWPSRPAIASWRSVLSSET
+>DECOY_NM_005337|69370_2_1856_1947
+TESSLVSRWSAIAPRSPWSKWSPTATTFVM
+>NM_052989|69414_2_396_469
+MASALLLDQLTKALLSGHQNWKAF
+>DECOY_NM_052989|69414_2_396_469
+FAKWNQHGSLLAKTLQDLLLASAM
+>NM_052989|69418_2_633_706
+MVSTWRWGCSMGSSAYGTKMARRK
+>DECOY_NM_052989|69418_2_633_706
+KRRAMKTGYASSGMSCGWRWTSVM
+>NM_052989|69419_2_1134_1312
+MECGLGLLGSRTPGCGRVKRNRIPTMWWSAARTAPFPSTSLFSAQSMGFTRTAMPTGIA
+>DECOY_NM_052989|69419_2_1134_1312
+AIGTPMATRTFGMSQASFLSTSPFPATRAASWWMTPIRNRKVRGCGPTRSGLLGLGCEM
+>NM_052989|69422_2_1998_2167
+MAPRSSASMSSPFLPWRCRSPLPCTSTWIGNCSRKPTRLLAWVSQTLIGVNWPWKR
+>DECOY_NM_052989|69422_2_1998_2167
+RKWPWNVGILTQSVWALLRTPKRSCNGIWTSTCPLPSRCRWPLFPSSMSASSRPAM
+>NM_052989|69429_2_3063_3193
+MVTMPSIATRKIRSVSIVLKLFSTSPGSCCTACPRTPPRASLK
+>DECOY_NM_052989|69429_2_3063_3193
+KLSARPPTRPCATCCSGPSTSFLKLVISVSRIKRTAISPMTVM
+>NM_014661|69497_3_756_850
+MAPGGSDRLRCDQSDQRPQHQRPQREPLSTP
+>DECOY_NM_014661|69497_3_756_850
+PTSLPERQPRQHQPRQDSQDCRLRDSGGPAM
+>NM_014661|69498_3_909_1147
+MEALGLQSLDSRGKETLLQRGQRPALFQRLQHHAEEFQLQPPFPGQRALLTLRPGRTPPPIWRAALPRGARLSPVWTGR
+>DECOY_NM_014661|69498_3_909_1147
+RGTWVPSLRAGRPLAARWIPPPTRGPRLTLLARQGPFPPQLQFEEAHHQLRQFLAPRQGRQLLTEKGRSDLSQLGLAEM
+>NM_014254|69515_2_978_1105
+MGTISFVGFQQENTGSLRKQMKVLRITKMPCFRVISHCARSE
+>DECOY_NM_014254|69515_2_978_1105
+ESRACHSIVRFCPMKTIRLVKMQKRLSGTNEQQFGVFSITGM
+>NM_198889|69574_2_2776_2873
+MVQTLKPSLKEPRTHHSPWLVLGEDRRWWSYC
+>DECOY_NM_198889|69574_2_2776_2873
+CYSWWRRDEGLVLWPSHHTRPEKLSPKLTQVM
+>NM_198889|69585_3_4520_4602
+MERSCKKVKESICSINCDIQSDWKRRL
+>DECOY_NM_198889|69585_3_4520_4602
+LRRKWDSQIDCNISCISEKVKKCSREM
+>NM_007245|69621_2_3157_3320
+MGGPPKARCPRVGCLHSQLPHPHPTPTSDTPKVSSLARRLDFQEEPMTGFVSSH
+>DECOY_NM_007245|69621_2_3157_3320
+HSSVFGTMPEEQFDLRRALSSVKPTDSTPTPHPHPLQSHLCGVRPCRAKPPGGM
+>NM_032998|69697_2_422_576
+MDVTSYWHWSARAAVMKVTFARCCSCCASSLATTCCPTSPSRGDGLCALIL
+>DECOY_NM_032998|69697_2_422_576
+LILACLGDGRSPSTPCCTTALSSACCSCCRAFTVKMVAARASWHWYSTVDM
+>NM_001005567|69723_2_118_218
+MAPSFFSLRKITIFMSPCTSFWPCWLPQTWGWP
+>DECOY_NM_001005567|69723_2_118_218
+PWGWTQPLWCPWFSTCPSMFITIKRLSFFSPAM
+>NM_001099666|69783_3_438_577
+MDSQAMGATTANSGNLLAFLCDQRKLGNNSHRKGTATHTRRDGGLW
+>DECOY_NM_001099666|69783_3_438_577
+WLGGDRRTHTATGKRHSNNGLKRQDCLFALLNGSNATTAGMAQSDM
+>NM_001099666|69784_3_453_577
+MGATTANSGNLLAFLCDQRKLGNNSHRKGTATHTRRDGGLW
+>DECOY_NM_001099666|69784_3_453_577
+WLGGDRRTHTATGKRHSNNGLKRQDCLFALLNGSNATTAGM
+>NM_173059|69846_2_1336_1478
+MVDTGPSDIKMDPSMAWALREVSLMQGVTISTLRLTSSPRQASQSDW
+>DECOY_NM_173059|69846_2_1336_1478
+WDSQSAQRPSSTLRLTSITVGQMLSVERLAWAMSPDMKIDSPGTDVM
+>NM_173059|69847_2_1366_1478
+MDPSMAWALREVSLMQGVTISTLRLTSSPRQASQSDW
+>DECOY_NM_173059|69847_2_1366_1478
+WDSQSAQRPSSTLRLTSITVGQMLSVERLAWAMSPDM
+>NM_173059|69852_2_3589_3752
+MASMDATPTQALPPAWSTETLIMSPLTGGTLASWASALTSWPSPVATQQTHSSG
+>DECOY_NM_173059|69852_2_3589_3752
+GSSHTQQTAVPSPWSTLASAWSALTGGTLPSMILTETSWAPPLAQTPTADMSAM
+>NM_173059|69853_2_3598_3752
+MDATPTQALPPAWSTETLIMSPLTGGTLASWASALTSWPSPVATQQTHSSG
+>DECOY_NM_173059|69853_2_3598_3752
+GSSHTQQTAVPSPWSTLASAWSALTGGTLPSMILTETSWAPPLAQTPTADM
+>NM_173059|69855_2_3973_4073
+MVTSSCMLLCPAHTLANSVVCVGTMTATVTMTT
+>DECOY_NM_173059|69855_2_3973_4073
+TTMTVTATMTGVCVVSNALTHAPCLLMCSSTVM
+>NM_020678|69893_3_355_515
+MAHDLKLVQQFPFKSGPWSFPWASALAGFKSNPEFTPFPGKQTFPFPPSAEGA
+>DECOY_NM_020678|69893_3_355_515
+AGEASPPFPFTQKGPFPTFEPNSKFGALASAWPFSWPGSKFPFQQVLKLDHAM
+>NM_177949|69908_2_1482_1756
+MGLQQLLQPLLMADRLSWQRSLILRKGSPGGLTQSQIQTLSPRPSAEGGEEDPLPCRSAPFLMKLMRFWVSAISGRSLPCFRNLMILSSNR
+>DECOY_NM_177949|69908_2_1482_1756
+RNSSLIMLNRFCPLSRGSIASVWFRMLKMLFPASRCPLPDEEGGEASPRPSLTQIQSQTLGGPSGKRLILSRQWSLRDAMLLPQLLQQLGM
+>NM_001113561|69941_3_316_401
+MDKLPNPKSPVDSWKTELSFLWGPFRGL
+>DECOY_NM_001113561|69941_3_316_401
+LGRFPGWLFSLETKWSDVPSKPNPLKDM
+>NM_001113561|69942_3_1645_1766
+MAPTKLQKSISSFWRFPQTCSSSYKKAVPTRCTQDGLPAL
+>DECOY_NM_001113561|69942_3_1645_1766
+LAPLGDQTCRTPVAKKYSSSCTQPFRWFSSISKQLKTPAM
+>NM_181756|69955_2_1073_1275
+MVTVVRASVRAHICNLIRESAQERTSTDVRYMPGAPTRTPVFPLMSLLTQERSCVHVAGVGRASIIA
+>DECOY_NM_181756|69955_2_1073_1275
+AIISARGVGAVHVCSREQTLLSMLPFVPTRTPAGPMYRVDTSTREQASERILNCIHARVSARVVTVM
+>NM_032806|69984_2_1076_1155
+MALCSPRARRPTSSSQAMRSGSLHGS
+>DECOY_NM_032806|69984_2_1076_1155
+SGHLSGSRMAQSSSTPRRARPSCLAM
+>NM_001013735|70029_2_1021_1286
+MGPSGSRSSPCATRQARACLPCRCPSSPRLRCRPCPRCSRGSLSPRLRSSLRRHPPCAPRPRPRPLPLCWSPQPLPRPKARAAPCTRC
+>DECOY_NM_001013735|70029_2_1021_1286
+CRTCPAARAKPRPLPQPSWCLPLPRPRPRPACPPHRRLSSRLRPSLSGRSCRPCPRCRLRPSSPCRCPLCARAQRTACPSSRSGSPGM
+>NM_001008237|70052_2_193_386
+MESTRRPRHCTPLTFAGALARPPATRVPGANAALRIWLLHITTGGKSSTSGLIFMKPWMTTHLP
+>DECOY_NM_001008237|70052_2_193_386
+PLHTTMWPKMFILGSTSSKGGTTIHLLWIRLAANAGPVRTAPPRALAGAFTLPTCHRPRRTSEM
+>NM_001037663|70057_2_393_511
+MVLPMWKTLQEVELQIVKMMMTLTSLDLMMRRKVKKQRG
+>DECOY_NM_001037663|70057_2_393_511
+GRQKKVKRRMMLDLSTLTMMMKVIQLEVEQLTKWMPLVM
+>NM_007049|70140_2_1467_1540
+MASGPWRCIKGNTGPCPPLIGFSL
+>DECOY_NM_007049|70140_2_1467_1540
+LSFGILPPCPGTNGKICRWPGSAM
+>NM_020175|70156_2_82_224
+MVVVATREPELWNEEWRPLSVNTSPPRSSFTNSWKPKGRRRLAGKPR
+>DECOY_NM_020175|70156_2_82_224
+RPKGALRRRGKPKWSNTFSSRPPSTNVSLPRWEENWLEPERTAVVVM
+>NM_020175|70158_2_283_386
+MDRRRTGRRRRQQSPGSSYRLRRGPGDKTRAGPM
+>DECOY_NM_020175|70158_2_283_386
+MPGARTKDGPGRRLRYSSGPSQQRRRRGTRRRDM
+>NM_032009|70166_2_1461_1606
+MEGTPPCPRMLTFCSRWQTSTTTHPPSPAHPTPPTFPKTTPEEPLSSQ
+>DECOY_NM_032009|70166_2_1461_1606
+QSSLPEEPTTKPFTPPTPHAPSPPHTTTSTQWRSCFTLMRPCPPTGEM
+>NM_021255|70187_2_368_468
+MVLYPMEIEDGGKVDLPSTSGPRQMVSNPAPSM
+>DECOY_NM_021255|70187_2_368_468
+MSPAPNSVMQRPGSTSPLDVKGGDEIEMPYLVM
+>NM_173341|70281_2_1260_1459
+MGMWGRKAASYVVKTYPNRVLRTSRARVVVKPSTTASAYRNMPTHQQSISSNVHSVTIEKSFLKKC
+>DECOY_NM_173341|70281_2_1260_1459
+CKKLFSKEITVSHVNSSISQQHTPMNRYASATTSPKVVVRARSTRLVRNPYTKVVYSAAKRGWMGM
+>NM_002779|70313_2_2586_2662
+MAATSLGSCSRPCTAPSRMRSCSGP
+>DECOY_NM_002779|70313_2_2586_2662
+PGSCSRMRSPATCPRSCSGLSTAAM
+>NM_001099437|70324_2_616_722
+MEEDGAQICSWKMIQSAVKKCPPLKTVHLLLYIRK
+>DECOY_NM_001099437|70324_2_616_722
+KRIYLLLHVTKLPPCKKVASQIMKWSCIQAGDEEM
+>NM_001099437|70325_2_754_1106
+MERPFVKTQSLFNMKEYIVVKNPTDVKNVGRTLVMDINSPYIRDCMLVRNPINMKNVGRPLSVAQPLLSMGEFTLVRSHSNVSNVERLLVVAINLQYIRVFILGRNHMSAGNVGKLF
+>DECOY_NM_001099437|70325_2_754_1106
+FLKGVNGASMHNRGLIFVRIYQLNIAVVLLREVNSVNSHSRVLTFEGMSLLPQAVSLPRGVNKMNIPNRVLMCDRIYPSNIDMVLTRGVNKVDTPNKVVIYEKMNFLSQTKVFPREM
+>NM_001099437|70327_2_856_1106
+MDINSPYIRDCMLVRNPINMKNVGRPLSVAQPLLSMGEFTLVRSHSNVSNVERLLVVAINLQYIRVFILGRNHMSAGNVGKLF
+>DECOY_NM_001099437|70327_2_856_1106
+FLKGVNGASMHNRGLIFVRIYQLNIAVVLLREVNSVNSHSRVLTFEGMSLLPQAVSLPRGVNKMNIPNRVLMCDRIYPSNIDM
+>NM_001099437|70330_2_961_1106
+MGEFTLVRSHSNVSNVERLLVVAINLQYIRVFILGRNHMSAGNVGKLF
+>DECOY_NM_001099437|70330_2_961_1106
+FLKGVNGASMHNRGLIFVRIYQLNIAVVLLREVNSVNSHSRVLTFEGM
+>NM_001099437|70334_2_1549_1823
+MEDFTLARSPMNVRSVARPLVLAHTLFSIRGSILGRNPMNVRNVAKPLLVAISLPYIKGFILERNPMSVRNVARPSECTYISHSIGKFILM
+>DECOY_NM_001099437|70334_2_1549_1823
+MLIFKGISHSIYTCESPRAVNRVSMPNRELIFGKIYPLSIAVLLPKAVNRVNMPNRGLISGRISFLTHALVLPRAVSRVNMPSRALTFDEM
+>NM_016649|70449_2_775_854
+MVMKTQQMVKCVTKMLWRKIQKALVK
+>DECOY_NM_016649|70449_2_775_854
+KVLAKQIKRWLMKTVCKVMQQTKMVM
+>NM_016649|70454_2_916_1034
+MEVKMMKRRMKMKRRMKMRIVRMMIKVTVALILQGVKEI
+>DECOY_NM_016649|70454_2_916_1034
+IEKVGQLILAVTVKIMMRVIRMKMRRKMKMRRKMMKVEM
+>NM_016649|70462_2_1801_1994
+MGKQRKVRRMMKNKLLNTGSSCRLFKKKKRKAKKMIWKWKLNGFQVLKKVQKRWSKTNWKERIN
+>DECOY_NM_016649|70462_2_1801_1994
+NIREKWNTKSWRKQVKKLVQFGNLKWKWIMKKAKRKKKKFLRCSSGTNLLKNKMMRRVKRQKGM
+>NM_020440|70482_2_282_418
+MAPASKTLTGASHLWGAALWSLQAPGRWGSQPSCTRSGCRGARSC
+>DECOY_NM_020440|70482_2_282_418
+CSRAGRCGSRTCSPQSGWRGPAQLSWLAAGWLHSAGTLTKSAPAM
+>NM_020440|70490_2_1560_1723
+MERGASSGPRMETLFFLRNIQTRSISGSKGLQRKTEAIITVLCLPGPNSGTTAG
+>DECOY_NM_020440|70490_2_1560_1723
+GATTGSNPGPLCLVTIIAETKRQLGKSGSISRTQINRLFFLTEMRPGSSAGREM
+>NM_020440|70491_2_1590_1723
+METLFFLRNIQTRSISGSKGLQRKTEAIITVLCLPGPNSGTTAG
+>DECOY_NM_020440|70491_2_1590_1723
+GATTGSNPGPLCLVTIIAETKRQLGKSGSISRTQINRLFFLTEM
+>NM_002145|70512_2_340_413
+MGLLCRRHRRRHSPLPPRPPSSLG
+>DECOY_NM_002145|70512_2_340_413
+GLSSPPRPPLPSHRRRHRRCLLGM
+>NM_153207|70565_2_477_565
+MGTARRAWRSPRDRGAARAAAGAAAVAAA
+>DECOY_NM_153207|70565_2_477_565
+AAAVAAAGAAARAAGRDRPSRWARRATGM
+>NM_005839|70631_2_1764_2422
+MVRLAGGGDIPLPGVLLHHHESAKKRLPLVVDGGEVHPHHPPEGDGLLLPPLLLDGAGLPHHHHDEGLLLLPHVGAHLLLEDTLLQYRGDTLLLHLQREERLHLLPLLNEEHHHLHHQSGGSPILHLPNKEAPQSPRDVHLHYHPSIGKGLPQAALPGRPDHHNQTNGIRPHHGLELLRPPQVLHPFEEERRHHPKEGSPRLQVLGPLGESPGLRNLKR
+>DECOY_NM_005839|70631_2_1764_2422
+RKLNRLGPSEGLPGLVQLRPSGEKPHHRREEEFPHLVQPPRLLELGHHPRIGNTQNHHDPRGPLAAQPLGKGISPHYHLHVDRPSQPAEKNPLHLIPSGGSQHHLHHHEENLLPLLHLREERQLHLLLTDGRYQLLTDELLLHAGVHPLLLLGEDHHHHPLGAGDLLLPPLLLGDGEPPHHPHVEGGDVVLPLRKKASEHHHLLVGPLPIDGGGALRVM
+>NM_018328|70683_2_384_535
+MECFMSVPVGLCYLAWSRLKHTCLLMEHASVAWNVLLFFPRYLILILELL
+>DECOY_NM_018328|70683_2_384_535
+LLELILILYRPFFLLVNWAVSAHEMLLCTHKLRSWALYCLGVPVSMFCEM
+>NM_018328|70691_2_912_1093
+MDRNLHSVAAMEACPAQRHQVPRYMEMVQSLQGLTHLEVLMFSQEVILVFMELPILVLFT
+>DECOY_NM_018328|70691_2_912_1093
+TFLVLIPLEMFVLIVEQSFMLVELHTLGQLSQVMEMYRPVQHRQAPCAEMAAVSHLNRDM
+>NM_018328|70692_2_942_1093
+MEACPAQRHQVPRYMEMVQSLQGLTHLEVLMFSQEVILVFMELPILVLFT
+>DECOY_NM_018328|70692_2_942_1093
+TFLVLIPLEMFVLIVEQSFMLVELHTLGQLSQVMEMYRPVQHRQAPCAEM
+>NM_018328|70694_2_990_1093
+MVQSLQGLTHLEVLMFSQEVILVFMELPILVLFT
+>DECOY_NM_018328|70694_2_990_1093
+TFLVLIPLEMFVLIVEQSFMLVELHTLGQLSQVM
+>NM_018328|70697_2_3828_3937
+MGLNISSQQVATHPKNSGTGSKAPEGSETGGSTRNF
+>DECOY_NM_018328|70697_2_3828_3937
+FNRTSGGTESGEPAKSGTGSNKPHTAVQQSSINLGM
+>NM_018328|70700_2_4299_4381
+METLMPKALMGVCLALQMLKALVVKMT
+>DECOY_NM_018328|70700_2_4299_4381
+TMKVVLAKLMQLALCVGMLAKPMLTEM
+>NM_015250|70738_2_1318_1520
+MGTTTRWTSTGLRSWPASTMWLWLRLASSASSSRHCAARTRLVRPSTPRRRAAMRLRARHSRRRSPC
+>DECOY_NM_015250|70738_2_1318_1520
+CPSRRRSHRARLRMAARRRPTSPRVLRTRAACHRSSSASSALRLWLWMTSAPWSRLGTSTWRTTTGM
+>NM_207338|70761_2_232_386
+MEPSLLASPGAWAVLPTRRRAPGTRTGKGLASGTSSHTVGRGKCLGMRRQM
+>DECOY_NM_207338|70761_2_232_386
+MQRRMGLCKGRGVTHSSTGSALGKGTRTGPARRRTPLVAWAGPSALLSPEM
+>NM_207338|70762_2_1366_1439
+MEHLKNSTVLNYVMSGEFNTLKDT
+>DECOY_NM_207338|70762_2_1366_1439
+TDKLTNFEGSMVYNLVTSNKLHEM
+>NM_207338|70763_2_1543_1730
+MDSTMLNLTTEISLAIQRLQFNITRRLSLPMGFPIQERWKVGTSKLWKLALSTIRCLLQSPC
+>DECOY_NM_207338|70763_2_1543_1730
+CPSQLLCRITSLALKWLKSTGVKWREQIPFGMPLSLRRTINFQLRQIALSIETTLNLMTSDM
+>NM_020830|70789_3_200_285
+MAEKRQWSILAQHLPHNGLSLLCYGLPS
+>DECOY_NM_020830|70789_3_200_285
+SPLGYCLLSLGNHPLHQALISWQRKEAM
+>NM_022917|70967_2_960_1054
+MVAQSLLPPAITHGSCKIQFSSPICSCCQPF
+>DECOY_NM_022917|70967_2_960_1054
+FPQCCSCIPSSFQIKCSGHTIAPPLLSQAVM
+>NM_022917|70969_2_2418_2518
+MVCSAVPLPRTRMSLRMDLCFGFAWPISGSPRS
+>DECOY_NM_022917|70969_2_2418_2518
+SRPSGSIPWAFGFCLDMRLSMRTRPLPVASCVM
+>NM_022917|70976_3_493_836
+MAPSWGSSAPPPSALCREGLFPLPAPSPGYCCGQLPSGHLHPTRHQCGCGTDHAQGNPTGQGRAEPALLPQACPLPGPLGSPPGPGPPLWQCLLLLHKWLPPETLTVAAAAWKG
+>DECOY_NM_022917|70976_3_493_836
+GKWAAAAVTLTEPPLWKHLLLLCQWLPPGPGPPSGLPGPLPCAQPLLAPEARGQGTPNGQAHDTGCGCQHRTPHLHGSPLQGCCYGPSPAPLPFLGERCLASPPPASSGWSPAM
+>NM_152540|71010_3_172_290
+MGAGAGQSETGCGLPGRRLRREPALGLRIHPSPGGGGGS
+>DECOY_NM_152540|71010_3_172_290
+SGGGGGPSPHIRLGLAPERRLRRGPLGCGTESQGAGAGM
+>NM_003755|71068_2_287_447
+MARSSRLSAPSGLRPGRLQRLSQGGRTGRSSGTQSLTPPDPMWPPPLSVTMSL
+>DECOY_NM_003755|71068_2_287_447
+LSMTVSLPPPWMPDPPTLSQTGSSRGTRGGQSLRQLRGPRLGSPASLRSSRAM
+>NM_174932|71181_2_325_437
+MALPTSAQTGGSSLHFSKTQEGLICFSPESTLPVSLS
+>DECOY_NM_174932|71181_2_325_437
+SLSVPLTSEPSFCILGEQTKSFHLSSGGTQASTPLAM
+>NM_001649|71246_2_1294_1469
+MELPVGCRPLCPAQMCASLSLLIAADTLPYTATTAPSVLTALGRSQGLPASRTTALLR
+>DECOY_NM_001649|71246_2_1294_1469
+RLLATTRSAPLGQSRGLATLVSPATTATYPLTDAAILLSLSACMQAPCLPRCGVPLEM
+>NM_001649|71249_2_2062_2141
+MAPAAGGPGWEVAPRKDPSLAPIKTT
+>DECOY_NM_001649|71249_2_2062_2141
+TTKIPALSPDKRPAVEWGPGGAAPAM
+>NM_001013659|71272_2_974_1068
+MVDDLMEKSPGVAVTVRKLSPRTRHLCINQQ
+>DECOY_NM_001013659|71272_2_974_1068
+QQNICLHRTRPSLKRVTVAVGPSKEMLDDVM
+>NM_007259|71326_2_1858_1940
+MVVNESEEVTSSAPKMLWLSPNNSSKD
+>DECOY_NM_007259|71326_2_1858_1940
+DKSSNNPSLWLMKPASSTVEESENVVM
+>NM_018925|71503_2_955_1052
+MVVDWLHNVQLKLIFKMKMTIAQKLHSILYLK
+>DECOY_NM_018925|71503_2_955_1052
+KLYLISHLKQAITMKMKFILKLQVNHLWDVVM
+>NM_018925|71505_2_1123_1202
+MGRLIVNYKAKSLLRLSLHPKIRISW
+>DECOY_NM_018925|71505_2_1123_1202
+WSIRIKPHLSLRLLSKAKYNVILRGM
+>NM_018925|71506_2_1930_2063
+MVDSRHSPPPPRCTWSLLTACRRCCRISLTALYPLTPRLSCSFT
+>DECOY_NM_018925|71506_2_1930_2063
+TFSCSLRPTLPYLATLSIRCCRRCATLLSWTCRPPPPSHRSDVM
+>NM_020524|71535_2_1497_1792
+MGRETGRLSTGNIRRKNLAGKGRRTGEVRRTGSQQEGGRRAGQGWRSRGARRRASDRARRNPQGKVVASTPLEKSRSNLGGGKGLRTAMTPCHPGQSC
+>DECOY_NM_020524|71535_2_1497_1792
+CSQGPHCPTMATRLGKGGGLNSRSKELPTSAVVKGQPNRRARDSARRRAGRSRWGQGARRGGEQQSGTRRVEGTRRGKGALNKRRINGTSLRGTERGM
+>NM_020524|71536_2_1995_2101
+MASSVMTASASGILWMPWRTAWRRWLCNRQVMMMK
+>DECOY_NM_020524|71536_2_1995_2101
+KMMMVQRNCLWRRWATRWPMWLIGSASATMVSSAM
+>NM_003585|71609_2_625_716
+MGWQTPTSSCTCCQEPVRQISSEQKLSVTL
+>DECOY_NM_003585|71609_2_625_716
+LTVSLKQESSIQRVPEQCCTCSSTPTQWGM
+>NM_003585|71611_2_1177_1292
+MGTWPRSPWRSPFGITTLENPTISLVVWFWASTPRGSA
+>DECOY_NM_003585|71611_2_1177_1292
+ASGRPTSAWFWVVLSITPNELTTIGFPSRWPSRPWTGM
+>NM_145810|71682_2_1044_1171
+MVKRSGMLCWIRTGIARLVEESATAVSAGSEMDGVRLGSLCI
+>DECOY_NM_145810|71682_2_1044_1171
+ICLSGLRVGDMESGASVATASEEVLRAIGTRIWCLMGSRKVM
+>NM_007022|71728_2_568_830
+MGRQGCWLCCGQGCSAQVGWGCSTPSCCPDGPWRSSSYTMLLLGWWATCWVVPASCWACAHSGSLPLSLVQPGTWLYYALSSPAWSL
+>DECOY_NM_007022|71728_2_568_830
+LSWAPSSLAYYLWTGPQVLSLPLSGSHACAWCSAPVVWCTAWWGLLLMTYSSSRWPGDPCCSPTSCGWGVQASCGQGCCLWCGQRGM
+>NM_152707|71757_2_1332_1426
+MEFEKDSIVVYLLITFAVFPLKQWLLQHTNL
+>DECOY_NM_152707|71757_2_1332_1426
+LNTHQLLWQKLPFVAFTILLYVVISDKEFEM
+>NM_012086|71801_2_1525_1655
+MARWLIWPHSIWMQGFHFLPFSSSWASLRKLWKLWNQCMIQIL
+>DECOY_NM_012086|71801_2_1525_1655
+LIQIMCQNWLKWLKRLSAWSSSFPLFHFGQMWISHPWILWRAM
+>NM_007096|71846_2_424_581
+MVQQTVMQLFHKWIDCSQSLKVSVNGEKNKWNAWKPLMPILGSKKQSGKKRQ
+>DECOY_NM_007096|71846_2_424_581
+QRKKGSQKKSGLIPMLPKWANWKNKEGNVSVKLSQSCDIWKHFLQMVTQQVM
+>NM_018025|71863_2_357_628
+MGPGWSLWKKVKDQRNQSLFRIRLSEMKKEGINDSTGPLVEVSLLDTSILLAQKKDGHPLPLCLHDRTEQTNLFLVLKILWMKRILVNLG
+>DECOY_NM_018025|71863_2_357_628
+GLNVLIRKMWLIKLVLFLNTQETRDHLCLPLPHGDKKQALLISTDLLSVEVLPGTSDNIGEKKMESLRIRFLSQNRQDKVKKWLSWGPGM
+>NM_003932|71906_2_975_1207
+MALFQVAFLGECLVIFPEECLEWEGACLEWLECLDSMKFLVIQRFLQPCRIQKLWWLSRMWLRTQQICQNTRATQRL
+>DECOY_NM_003932|71906_2_975_1207
+LRQTARTNQCIQQTRLWMRSLWWLKQIRCPQLFRQIVLFKMSDLCELWELCAGEWELCEEPFIVLCEGLFAVQFLAM
+>NM_198526|71934_2_712_800
+MASPSPAWRCLGQRPCPQSVGSSHPTWPP
+>DECOY_NM_198526|71934_2_712_800
+PPWTPHSSGVSQPCPRQGLCRWAPSPSAM
+>NM_018918|71957_2_1117_1214
+MVILEKMVRLHALFLGICLLNWRSQLIITITY
+>DECOY_NM_018918|71957_2_1117_1214
+YTITIILQSRWNLLCIGLFLAHLRVMKELIVM
+>NM_018918|71958_2_1135_1214
+MVRLHALFLGICLLNWRSQLIITITY
+>DECOY_NM_018918|71958_2_1135_1214
+YTITIILQSRWNLLCIGLFLAHLRVM
+>NM_018918|71961_2_1945_2024
+MASPLCQPPSRSPLPWPTGSLTSWLT
+>DECOY_NM_018918|71961_2_1945_2024
+TLWSTLSGTPWPLPSRSPPQCLPSAM
+>NM_197974|71989_2_394_512
+MASLQGRLLSEYTTSQPLTVESTCVISKMVTSTKKPWWS
+>DECOY_NM_197974|71989_2_394_512
+SWWPKKTSTVMKSIVCTSEVTLPQSTTYESLLRGQLSAM
+>NM_001024675|71998_2_1607_1680
+MGVAWLCGPAAPWWPPCTPSSAAG
+>DECOY_NM_001024675|71998_2_1607_1680
+GAASSPTCPPWWPAAPGCLWAVGM
+>NM_024778|72019_2_515_636
+MGFYQTPCPCRVATPFVNCAWNVGGPPTGAVRCAGSSSPP
+>DECOY_NM_024778|72019_2_515_636
+PPSSSGACRVAGTPPGGVNWACNVFPTAVRCPCPTQYFGM
+>NM_024778|72024_2_1838_1974
+MATTQPTLNTLKTKRFRERIVLSSWDYITVSISKHHCGFIRSNYP
+>DECOY_NM_024778|72024_2_1838_1974
+PYNSRIFGCHHKSISVTIYDWSSLVIRERFRKTKLTNLTPQTTAM
+>NM_152388|72045_2_371_471
+MVLMRSQLRRQLFKNLGGRQRKPSQQNYSMPMS
+>DECOY_NM_152388|72045_2_371_471
+SMPMSYNQQSPKRQRGGLNKFLQRRLQSRMLVM
+>NM_032730|72076_3_1325_1530
+MGSRFSQEMVRSHLCDFGDSFPPEHGPIGHSRWHVADRSHCRFKGIKAFLERSPLSLGIFHGQWPMFR
+>DECOY_NM_032730|72076_3_1325_1530
+RFMPWQGHFIGLSLPSRELFAKIGKFRCHSRDAVHWRSHGIPGHEPPFSDGFDCLHSRVMEQSFRSGM
+>NM_032730|72077_3_1349_1530
+MVRSHLCDFGDSFPPEHGPIGHSRWHVADRSHCRFKGIKAFLERSPLSLGIFHGQWPMFR
+>DECOY_NM_032730|72077_3_1349_1530
+RFMPWQGHFIGLSLPSRELFAKIGKFRCHSRDAVHWRSHGIPGHEPPFSDGFDCLHSRVM
+>NM_201521|72167_2_552_730
+MDIPRRRKKAMPPRIPWMTSFLMRRKRTPAMACPVVKVLQQLSRVDMRSQQGCGRCTTW
+>DECOY_NM_201521|72167_2_552_730
+WTTCRGCGQQSRMDVRSLQQLVKVVPCAMAPTRKRRMLFSTMWPIRPPMAKKRRRPIDM
+>NM_201521|72171_2_642_730
+MACPVVKVLQQLSRVDMRSQQGCGRCTTW
+>DECOY_NM_201521|72171_2_642_730
+WTTCRGCGQQSRMDVRSLQQLVKVVPCAM
+>NM_201521|72179_2_1707_1786
+MAVGPCRGVALLARSGMCSAEAVNSW
+>DECOY_NM_201521|72179_2_1707_1786
+WSNVAEASCMGSRALLAVGRCPGVAM
+>NM_003828|72201_2_1594_1667
+MVMTTMRMLTDLPYFCSLLIVFGK
+>DECOY_NM_003828|72201_2_1594_1667
+KGFVILLSCFYPLDTLMRMTTMVM
+>NM_003828|72202_3_1943_2079
+MESTDETSDAHSPESQGAAGRQGGAAEACGGPTAGGGHARRLILI
+>DECOY_NM_003828|72202_3_1943_2079
+ILILRRAHGGGATPGGCAEAAGGQRGAAGQSEPSHADSTEDTSEM
+>NM_033204|72242_2_753_1267
+MEKCILEKNAMNVNTVENLSIIPVYFKFMLELTLEKNLTNVNNVVKPSFPQVTFGHMKSDLTRWRNPTNVRNVGKNSVVPVPFTDMKELIVEENSTNVKNVPKSLDVPRPFKHMKELTLEKDLMNVINVVKPSIIPVVFEDIKKLIVEKSHMNVQGVVKPLGGAVPSEDMK
+>DECOY_NM_033204|72242_2_753_1267
+KMDESPVAGGLPKVVGQVNMHSKEVILKKIDEFVVPIISPKVVNIVNMLDKELTLEKMHKFPRPVDLSKPVNKVNTSNEEVILEKMDTFPVPVVSNKGVNRVNTPNRWRTLDSKMHGFTVQPFSPKVVNNVNTLNKELTLELMFKFYVPIISLNEVTNVNMANKELICKEM
+>NM_015446|72285_2_410_576
+MEEPVQALSIYIQVCDGFLEWQLWSLMLDRSFLLTYVWMTCHAIKMKLKHQILKF
+>DECOY_NM_015446|72285_2_410_576
+FKLIQHKLKMKIAHCTMWVYTLLFSRDLMLSWLQWELFGDCVQIYISLAQVPEEM
+>NM_015446|72303_3_2526_2626
+MATFKDYSGIHESGRAQTSPQIYSDNEANSVQW
+>DECOY_NM_015446|72303_3_2526_2626
+WQVSNAENDSYIQPSTQARGSEHIGSYDKFTAM
+>NM_198227|72316_2_1310_1413
+MASTGRPRTSSWWAGTSLWCCTKTVASWSQGTCA
+>DECOY_NM_198227|72316_2_1310_1413
+ACTGQSWSAVTKTCCWLSTGAWWSSTRPRGTSAM
+>NM_198227|72317_2_1790_1953
+MEKMLGIPGFQREKNLLQRLGKKNIRKLIWTKQRSFLSLFPKLRATEQMTNVGC
+>DECOY_NM_198227|72317_2_1790_1953
+CGVNTMQETARLKPFLSLFSRQKTWILKRINKKGLRQLLNKERQFGPIGLMKEM
+>NM_145233|72328_2_540_700
+MDRSHINVHTVRKPSVISPTFEHMNGLTLGGNLMIVRNVEKALFPVQAFEDTG
+>DECOY_NM_145233|72328_2_540_700
+GTDEFAQVPFLAKEVNRVIMLNGGLTLGNMHEFTPSIVSPKRVTHVNIHSRDM
+>NM_152356|72387_2_667_1052
+MDLINVSFVGKPWIVSVYTLPMNELTLERNDMNVNNVVKPSVGTVLFESMKELTLGRSHMNVRSVGNHSIFPVPFADMKGHTQERSRTNVRNVGKPSIVPVLFTGMKGLTQEKNPMNVNYMGKHYLAL
+>DECOY_NM_152356|72387_2_667_1052
+LALYHKGMYNVNMPNKEQTLGKMGTFLVPVISPKGVNRVNTRSREQTHGKMDAFPVPFISHNGVSRVNMHSRGLTLEKMSEFLVTGVSPKVVNNVNMDNRELTLENMPLTYVSVIWPKGVFSVNILDM
+>NM_006383|72408_2_562_710
+MVRGTSLSTTLWTCFPCSASRLPESSRQTMPSRSMTSTLTTSSARRTWS
+>DECOY_NM_006383|72408_2_562_710
+SWTRRASSTTLTSTMSRSPMTQRSSEPLRSASCPFCTWLTTSLSTGRVM
+>NM_005545|72522_3_860_1053
+MGPDHGRVHPGAGQHRLHLTPCAQGYAAEPPAATAMLGALSAAQLPTQPGWCRAAAWFCAGTAL
+>DECOY_NM_005545|72522_3_860_1053
+LATGACFWAAARCWGPQTPLQAASLAGLMATAAPPEAAYGQACPTLHLRHQGAGPHVRGHDPGM
+>NM_153837|72535_2_1703_1995
+MAQASRTCPYAGCGAPWCTVSWSWATAASRPSSTWWCWPGRCGPCAGCGSGRMHQVSGPAMTLSLCWASPCCWEPPGPWPSFLLASSCCPSCSSSPS
+>DECOY_NM_153837|72535_2_1703_1995
+SPSSSCSPCCSSALLFSPWPGPPEWCCPSAWCLSLTMAPGSVQHMRGSGCGACPGCRGPWCWWTSSPRSAATAWSWSVTCWPAGCGAYPCTRSAQAM
+>NM_153837|72539_3_591_769
+MGRTPELHGEYAGVQGPELSFFLSSTPPAGADATEHQLPRLQPDLADTHHPVSGLQAEL
+>DECOY_NM_153837|72539_3_591_769
+LEAQLGSVPHHTDALDPQLRPLQHETADAGAPPTSSLFFSLEPGQVGAYEGHLEPTRGM
+>NM_006932|72588_2_2706_2863
+MGWPSVPWCTTSSLRPSTMGSLALRTDARTSRWPSHLRRCWWTVCPWWRWTT
+>DECOY_NM_006932|72588_2_2706_2863
+TTWRWWPCVTWWCRRLHSPWRSTRADTRLALSGMTSPRLSSTTCWPVSPWGM
+>NM_006932|72589_2_2760_2863
+MGSLALRTDARTSRWPSHLRRCWWTVCPWWRWTT
+>DECOY_NM_006932|72589_2_2760_2863
+TTWRWWPCVTWWCRRLHSPWRSTRADTRLALSGM
+>NM_001005324|72616_2_757_857
+MAAPALYTCPPVPATLLRWAGWYLWPTHLSLPF
+>DECOY_NM_001005324|72616_2_757_857
+FPLSLHTPWLYWGAWRLLTAPVPPCTYLAPAAM
+>NM_016312|72651_2_723_829
+MVFHVCPLAENLLALPLVHLLLKSCRCMAVKWVLP
+>DECOY_NM_016312|72651_2_723_829
+PLVWKVAMCRCSKLLLHVLPLALLNEALPCVHFVM
+>NM_016312|72658_2_927_1078
+MAILRTWIKISMMTVLMTVTPTNQMEKVTGMNLCTVIMVRETTMKKRSQV
+>DECOY_NM_016312|72658_2_927_1078
+VQSRKKMTTERVMIVTCLNMGTVKEMQNTPTVTMLVTMMSIKIWTRLIAM
+>NM_032805|72691_2_254_543
+MGRRWCCCSRASTGSPATRGRWILVVMLARVVPVQTSPWRKRGVLPRSPATAPRRNCLRKSLQCWAHRMSLPDPSQGLPSLLSRDSGGFPQVQSSR
+>DECOY_NM_032805|72691_2_254_543
+RSSQVQPFGGSDRSLLSPLGQSPDPLSMRHAWCQLSKRLCNRRPATAPSRPLVGRKRWPSTQVPVVRALMVVLIWRGRTAPSGTSARSCCCWRRGM
+>NM_032805|72695_2_881_984
+MGPFLAAVKFWRSKWLRASPSPIRSCSSSARTAG
+>DECOY_NM_032805|72695_2_881_984
+GATRASSSCSRIPSPSARLWKSRWFKVAALFPGM
+>NM_018936|72732_2_2083_2360
+MASLRARPPPRCTCSWWTASPSPTCCSRRRHRPRPRPTCSPSTWWWRWPRCLRSSSSRCSCSWRCGCAGGAGRPRWVAARCPRAPFQGRWWT
+>DECOY_NM_018936|72732_2_2083_2360
+TWWRGQFPARPCRAAVWRPRGAGGACGCRWSCSCRSSSSRLCRPWRWWWTSPSCTPRPRPRHRRRSCCTPSPSATWWSCTCRPPPRARLSAM
+>NM_006995|72750_2_456_664
+MGSTAVTSKKAGPTMRPSYASWWQALGLSPSLKSRPKRMGASGWSAYLEGGTQSPSQCGGTPTVRLCPP
+>DECOY_NM_006995|72750_2_456_664
+PPCLRVTPTGGCQSPSQTGGELYASWGSAGMRKPRSKLSPSLGLAQWWSAYSPRMTPGAKKSTVATSGM
+>NM_006995|72752_2_570_664
+MGASGWSAYLEGGTQSPSQCGGTPTVRLCPP
+>DECOY_NM_006995|72752_2_570_664
+PPCLRVTPTGGCQSPSQTGGELYASWGSAGM
+>NM_006995|72755_2_1329_1402
+MASGPWRCLETNTGPCPPLRGFSL
+>DECOY_NM_006995|72755_2_1329_1402
+LSFGRLPPCPGTNTELCRWPGSAM
+>NM_006995|72764_3_1204_1295
+MGELRLRETLLGGGGGKRDGVDCGGLQTQC
+>DECOY_NM_006995|72764_3_1204_1295
+CQTQLGGCDVGDRKGGGGGLLTERLRLEGM
+>NM_152347|72808_2_469_548
+MALILLQLTCHQELLTTRNTSSFLKQ
+>DECOY_NM_152347|72808_2_469_548
+QKLFSSTNRTTLLEQHCTLQLLILAM
+>NM_021572|72830_2_1373_1512
+MDHSGMSRICSIQQCQGWSLIHRVLYSSLVVLNQQNMTKRGHTLIS
+>DECOY_NM_021572|72830_2_1373_1512
+SILTHGRKTMNQQNLVVLSSYLVRHILSWGQCQQISCIRSMGSHDM
+>NM_144569|72862_2_935_1332
+MGPSQAAPVALSGSQCPVEGSPSVQLHRLLHRAQHCAWGRQHRPLQSSKKLCVSCGLAAMKARLQHRTRRSWRPRLSQLPGEGWSKDSLPPLTPVPAPGSPWAASAPPWILKPAGPAQAHSWSREDPRALRT
+>DECOY_NM_144569|72862_2_935_1332
+TRLARPDERSWSHAQAPGAPKLIWPPASAAWPSGPAPVPTLPPLSDKSWGEGPLQSLRPRWSRRTRHQLRAKMAALGCSVCLKKSSQLPRHQRGWACHQARHLLRHLQVSPSGEVPCQSGSLAVPAAQSPGM
+>NM_144569|72868_2_3449_3534
+MALAVASTSTGTPVPTKPCSGTSNPWRP
+>DECOY_NM_144569|72868_2_3449_3534
+PRWPNSTGSCPKTPVPTGTSTSAVALAM
+>NM_001004349|72976_2_581_663
+MGRTRPRTRSLWRPGLQGTEPSRSGWL
+>DECOY_NM_001004349|72976_2_581_663
+LWGSRSPETGQLGPRWLSRTRPRTRGM
+>NM_001004349|72984_3_741_886
+MGAVFSAHHPHRGHRCWPVSPWSCGHWSCGCCCDVEEEKLRQQLCSVL
+>DECOY_NM_001004349|72984_3_741_886
+LVSCLQQRLKEEEVDCCCGCSWHGCSWPSVPWCRHGRHPHHASFVAGM
+>NM_175062|73018_2_1409_1482
+MVFIWLLTKVRAQRTKQKKKDGKL
+>DECOY_NM_175062|73018_2_1409_1482
+LKGDKKKQKTRQARVKTLLWIFVM
+>NM_152897|73075_2_354_493
+MGRQEKTQNGAPHLMGSGAVSSWRGSCRISGRSPGTPWHPSGCSSK
+>DECOY_NM_152897|73075_2_354_493
+KSSCGSPHWPTGPSRGSIRCSGRWSSVAGSGMLHPAGNQTKEQRGM
+>NM_005133|73101_2_63_355
+MGCDCCRCRGRSGRPSRRRWAAWAPGCAAGCQCSPASASPAPTWAASTSGRANCPGTIPRSSSDASPASWWCPVSHPCACCSGGNSQASSQAHPCSP
+>DECOY_NM_005133|73101_2_63_355
+PSCPHAQSSAQSNGGSCCACPHSVPCWWSAPSADSSSRPITGPCNARGSTSAAWTPAPSASAPSCQCGAACGPAWAAWRRRSPRGSRGRCRCCDCGM
+>NM_007136|73118_2_520_725
+MGWGQVMVCTHRFYRSRSPQETISMNVTPRDQVKTLWFVRGRPTNARNVGACLTKTASLFDISRFTLG
+>DECOY_NM_007136|73118_2_520_725
+GLTFRSIDFLSATKTLCAGVNRANTPRGRVFWLTKVQDRPTVNMSITEQPSRSRYFRHTCVMVQGWGM
+>NM_007136|73119_2_538_725
+MVCTHRFYRSRSPQETISMNVTPRDQVKTLWFVRGRPTNARNVGACLTKTASLFDISRFTLG
+>DECOY_NM_007136|73119_2_538_725
+GLTFRSIDFLSATKTLCAGVNRANTPRGRVFWLTKVQDRPTVNMSITEQPSRSRYFRHTCVM
+>NM_001042572|73133_2_720_853
+MAASRTAALNLLRVSRNLRANQQVPNPSQSSQKPKRSQPLRRNG
+>DECOY_NM_001042572|73133_2_720_853
+GNRRLPQSRKPKQSSQSPNPVQQNARLNRSVRLLNLAATRSAAM
+>NM_001012337|73162_2_676_779
+MVGCPESHSAPSSFSTRILPKWMGRSVHHMSAGC
+>DECOY_NM_001012337|73162_2_676_779
+CGASMHHVSRGMWKPLIRTSFSSPASHSEPCGVM
+>NM_145798|73184_2_777_913
+MGWTAALMSSLSVRGSSRNYTGSSRAWSPCTESPQPLLSPHTRPQ
+>DECOY_NM_145798|73184_2_777_913
+QPRTHPSLLPQPSETCPSWARSSGTYNRSSGRVSLSSMLAATWGM
+>NM_145798|73191_2_2163_2431
+MGRCSSETHRTAPATARSPSARPSTGVPMSTRCRALCSVGVAVSSTDSLGSGTRGCTGDPRQVASASGNPTQCPPTMSETSASPSLPWS
+>DECOY_NM_145798|73191_2_2163_2431
+SWPLSPSASTESMTPPCQTPNGSASAVQRPDGTCGRTGSGLSDTSSVAVGVSCLARCRTSMPVGTSPRASPSRATAPATRHTESSCRGM
+>NM_148921|73308_2_1004_1134
+MARPGAPRPPTMAPPPRECPPSWSKPGPRLVEKRSFSCSWHLP
+>DECOY_NM_148921|73308_2_1004_1134
+PLHWSCSFSRKEVLRPGPKSWSPPCERPPPAMTPPRPAGPRAM
+>NM_148921|73309_2_1037_1134
+MAPPPRECPPSWSKPGPRLVEKRSFSCSWHLP
+>DECOY_NM_148921|73309_2_1037_1134
+PLHWSCSFSRKEVLRPGPKSWSPPCERPPPAM
+>NM_017873|73390_2_437_867
+MGPISTLKTQSPTTRPCTSPSCGTSRTWWSCWCITGPTLIGGTGSTRVAPWTWPARSLSACPACSASWTLELMSMPLTSMEKLLCSMLWPAATGCRSTILRTFVSYWKEGQTSRPPPKMGTQCSPASSSCLVRPWEGTKRRPR
+>DECOY_NM_017873|73390_2_437_867
+RPRRKTGEWPRVLCSSSAPSCQTGMKPPPRSTQGEKWYSVFTRLITSRCGTAAPWLMSCLLKEMSTLPMSMLELTWSASCAPCASLSRAPWTWPAVRTSGTGGILTPGTICWCSWWTRSTGCSPSTCPRTTPSQTKLTSIPGM
+>NM_017873|73393_2_674_867
+MEKLLCSMLWPAATGCRSTILRTFVSYWKEGQTSRPPPKMGTQCSPASSSCLVRPWEGTKRRPR
+>DECOY_NM_017873|73393_2_674_867
+RPRRKTGEWPRVLCSSSAPSCQTGMKPPPRSTQGEKWYSVFTRLITSRCGTAAPWLMSCLLKEM
+>NM_017873|73395_2_791_867
+MGTQCSPASSSCLVRPWEGTKRRPR
+>DECOY_NM_017873|73395_2_791_867
+RPRRKTGEWPRVLCSSSAPSCQTGM
+>NM_001007565|73433_2_916_1271
+MVHSSRRLHLSSLNSMVFSIQQAIVSRLDLNNLSSSRDMASNQLPRHQLLPFLVSLNNCLLSRHSSTRRAIILHKLTLPKLLSLLIILWLLPLNLEWLQANLGPINQDQVLLHFLEVP
+>DECOY_NM_001007565|73433_2_916_1271
+PVELFHLLVQDQNIPGLNAQLWELNLPLLWLIILLSLLKPLTLKHLIIARRTSSHRSLLCNNLSVLFPLLQHRPLQNSAMDRSSSLNNLDLRSVIAQQISFVMSNLSSLHLRRSSHVM
+>NM_001007565|73434_2_961_1271
+MVFSIQQAIVSRLDLNNLSSSRDMASNQLPRHQLLPFLVSLNNCLLSRHSSTRRAIILHKLTLPKLLSLLIILWLLPLNLEWLQANLGPINQDQVLLHFLEVP
+>DECOY_NM_001007565|73434_2_961_1271
+PVELFHLLVQDQNIPGLNAQLWELNLPLLWLIILLSLLKPLTLKHLIIARRTSSHRSLLCNNLSVLFPLLQHRPLQNSAMDRSSSLNNLDLRSVIAQQISFVM
+>NM_001007565|73435_2_1030_1271
+MASNQLPRHQLLPFLVSLNNCLLSRHSSTRRAIILHKLTLPKLLSLLIILWLLPLNLEWLQANLGPINQDQVLLHFLEVP
+>DECOY_NM_001007565|73435_2_1030_1271
+PVELFHLLVQDQNIPGLNAQLWELNLPLLWLIILLSLLKPLTLKHLIIARRTSSHRSLLCNNLSVLFPLLQHRPLQNSAM
+>NM_016559|73457_3_1659_1960
+MEPPRGDLGERRPQRGSRGGLYASTGDSARIHPVQIQPRNKLHQPGRLQRSGQQFSHCPQFAKKEQESAASSSSCNLWEYLGCPQNCALSDGPTRTLPGG
+>DECOY_NM_016559|73457_3_1659_1960
+GGPLTRTPGDSLACNQPCGLYEWLNCSSSSAASEQEKKAFQPCHSFQQGSRQLRGPQHLKNRPQIQVPHIRASDGTSAYLGGRSGRQPRREGLDGRPPEM
+>NM_130896|73462_2_380_486
+METVIMRHSAGILTLKITAAHPSNTGAAKGMPTTS
+>DECOY_NM_130896|73462_2_380_486
+STTPMGKAAGTNSPHAATIKLTLIGASHRMIVTEM
+>NM_130896|73464_2_530_780
+MDNAHSSLSLNVRSVHLHVTVTSIVPRQTNVVNPGVALFVPGPGQSKKVSAHASPCYVPRLINPSACRMRSAHWWKSAAHIVD
+>DECOY_NM_130896|73464_2_530_780
+DVIHAASKWWHASRMRCASPNILRPVYCPSAHASVKKSQGPGPVFLAVGPNVVNTQRPVISTVTVHLHVSRVNLSLSSHANDM
+>NM_152909|73510_2_557_972
+MEFILSNTYIFVRQSFFSTQSSKLEKIFPEGMIGYLHLGRTTEFTWQRRSSHAWRAGRTYQPPHAFSSTRALKASGSHTGTQRTEKPFRLDKMITNVVNVGKPSPAAIHLLSTRKSTQEKGLMNVTNVGNSLSTVPIS
+>DECOY_NM_152909|73510_2_557_972
+SIPVTSLSNGVNTVNMLGKEQTSKRTSLLHIAAPSPKGVNVVNTIMKDLRFPKETRQTGTHSGSAKLARTSSFAHPPQYTRGARWAHSSRRQWTFETTRGLHLYGIMGEPFIKELKSSQTSFFSQRVFIYTNSLIFEM
+>NM_014577|73594_2_1709_1857
+MASVEKRARLKRSGPHPRSGRRQKRLRKLWLSPARSCRPCALLIFPRRG
+>DECOY_NM_014577|73594_2_1709_1857
+GRRPFILLACPRCSRAPSLWLKRLRKQRRGSRPHPGSRKLRARKEVSAM
+>NM_014577|73595_2_3179_3276
+MGTTPRRPGSQPKSARAACGSPLMPPPRCWSL
+>DECOY_NM_014577|73595_2_3179_3276
+LSWCRPPPMLPSGCAARASKPQSGPRRPTTGM
+>NM_005298|73602_2_325_398
+MASASSAASRWRARAARARCCWRA
+>DECOY_NM_005298|73602_2_325_398
+ARWCCRARAARARWRSAASSASAM
+>NM_004206|73656_2_158_237
+MDCPSQPLLIFTTPKIFWNGGDGSRV
+>DECOY_NM_004206|73656_2_158_237
+VRSGDGGNWFIKPTTFILLPQSPCDM
+>NM_004206|73658_3_213_286
+MEETAQEFSLATGPVSRSRFCRRL
+>DECOY_NM_004206|73658_3_213_286
+LRRCFRSRSVPGTALSFEQATEEM
+>NM_018279|73703_2_1043_1170
+MAPGKSQSIFPSSTPLPGCVCLSWLHWPALLETHGLQKLAQF
+>DECOY_NM_018279|73703_2_1043_1170
+FQALKQLGHTELLAPWHLWSLCVCGPLPTSSPFISQSKGPAM
+>NM_031272|73803_2_350_507
+MDQIQITAALMGAPLSMQQHFRAISGSLANCWMQEVTCDSTMRGVKTRRLGL
+>DECOY_NM_031272|73803_2_350_507
+LGLRRTKVGRMTSDCTVEQMWCNALSGSIARFHQQMSLPAGMLAATIQIQDM
+>NM_031272|73815_2_3107_3273
+MASLTRRATMTVTVTSMADSPGLEASPVSGTHLPDKRSNQSIVKPSKQVLTHWWL
+>DECOY_NM_031272|73815_2_3107_3273
+LWWHTLVQKSPKVISQNSRKDPLHTGSVPSAELGPSDAMSTVTVTMTARRTLSAM
+>NM_031272|73817_2_3155_3273
+MADSPGLEASPVSGTHLPDKRSNQSIVKPSKQVLTHWWL
+>DECOY_NM_031272|73817_2_3155_3273
+LWWHTLVQKSPKVISQNSRKDPLHTGSVPSAELGPSDAM
+>NM_031272|73825_3_2898_2977
+MENGGERNGKESSYWTAHSTSLASSE
+>DECOY_NM_031272|73825_3_2898_2977
+ESSALSTSHATWYSSEKGNREGGNEM
+>NM_024022|73864_3_1001_1110
+MDHPGGSSFPVGQSSPIPLGGEDCLPQQVQAKEAGQ
+>DECOY_NM_024022|73864_3_1001_1110
+QGAEKAQVQQPLCDEGGLPIPSSQGVPFSSGGPHDM
+>NM_032689|73873_2_861_2167
+MGKCSFIGNTHVLLSIREFIMDRNHMSVSNVRSPLVILQNSWYIKQFILVRNLINVKSLGRHLAILQTLESIRKLMLVRNLMNVKNVGRSSVILQTLLNMGKFMLRNPMNVKSVGKLLGLAVNLLYIIDFIMVRNPTNVRNVARPLVCMDDLVDIRVFTLVRSPLNVTNVGSPLGSKQALKYIRVFILERSHMNVRNVERPFVSFPTLWVIKEFILEKNPMNARNAGRALHVGINLPCIREFIQGRNTMNVKKMGRLLVVAINLLHLIHLKVLRNLISVRNVGKPLVCMDDLLDIRVFIVVRNPMNVTNVGSPLGSIHPLKYIKIFIPVRNPTNVRNVGRPSVSVHTLPIITEFILVTNPLNVKNVGSPFVVPHILLYMREFIRERNPMYVKSVGRVLVIAINSLYIAEFILVRNLMNVRNVGRPLVYLDNLLSI
+>DECOY_NM_032689|73873_2_861_2167
+ISLLNDLYVLPRGVNRVNMLNRVLIFEAIYLSNIAIVLVRGVSKVYMPNRERIFERMYLLIHPVVFPSGVNKVNLPNTVLIFETIIPLTHVSVSPRGVNRVNTPNRVPIFIKIYKLPHISGLPSGVNTVNMPNRVVIFVRIDLLDDMCVLPKGVNRVSILNRLVKLHILHLLNIAVVLLRGMKKVNMTNRGQIFERICPLNIGVHLARGANRANMPNKELIFEKIVWLTPFSVFPREVNRVNMHSRELIFVRIYKLAQKSGLPSGVNTVNLPSRVLTFVRIDVLDDMCVLPRAVNRVNTPNRVMIFDIIYLLNVALGLLKGVSKVNMPNRLMFKGMNLLTQLIVSSRGVNKVNMLNRVLMLKRISELTQLIALHRGLSKVNILNRVLIFQKIYWSNQLIVLPSRVNSVSMHNRDMIFERISLLVHTNGIFSCKGM
+>NM_032689|73874_2_921_2167
+MDRNHMSVSNVRSPLVILQNSWYIKQFILVRNLINVKSLGRHLAILQTLESIRKLMLVRNLMNVKNVGRSSVILQTLLNMGKFMLRNPMNVKSVGKLLGLAVNLLYIIDFIMVRNPTNVRNVARPLVCMDDLVDIRVFTLVRSPLNVTNVGSPLGSKQALKYIRVFILERSHMNVRNVERPFVSFPTLWVIKEFILEKNPMNARNAGRALHVGINLPCIREFIQGRNTMNVKKMGRLLVVAINLLHLIHLKVLRNLISVRNVGKPLVCMDDLLDIRVFIVVRNPMNVTNVGSPLGSIHPLKYIKIFIPVRNPTNVRNVGRPSVSVHTLPIITEFILVTNPLNVKNVGSPFVVPHILLYMREFIRERNPMYVKSVGRVLVIAINSLYIAEFILVRNLMNVRNVGRPLVYLDNLLSI
+>DECOY_NM_032689|73874_2_921_2167
+ISLLNDLYVLPRGVNRVNMLNRVLIFEAIYLSNIAIVLVRGVSKVYMPNRERIFERMYLLIHPVVFPSGVNKVNLPNTVLIFETIIPLTHVSVSPRGVNRVNTPNRVPIFIKIYKLPHISGLPSGVNTVNMPNRVVIFVRIDLLDDMCVLPKGVNRVSILNRLVKLHILHLLNIAVVLLRGMKKVNMTNRGQIFERICPLNIGVHLARGANRANMPNKELIFEKIVWLTPFSVFPREVNRVNMHSRELIFVRIYKLAQKSGLPSGVNTVNLPSRVLTFVRIDVLDDMCVLPRAVNRVNTPNRVMIFDIIYLLNVALGLLKGVSKVNMPNRLMFKGMNLLTQLIVSSRGVNKVNMLNRVLMLKRISELTQLIALHRGLSKVNILNRVLIFQKIYWSNQLIVLPSRVNSVSMHNRDM
+>NM_032689|73878_2_1158_2167
+MGKFMLRNPMNVKSVGKLLGLAVNLLYIIDFIMVRNPTNVRNVARPLVCMDDLVDIRVFTLVRSPLNVTNVGSPLGSKQALKYIRVFILERSHMNVRNVERPFVSFPTLWVIKEFILEKNPMNARNAGRALHVGINLPCIREFIQGRNTMNVKKMGRLLVVAINLLHLIHLKVLRNLISVRNVGKPLVCMDDLLDIRVFIVVRNPMNVTNVGSPLGSIHPLKYIKIFIPVRNPTNVRNVGRPSVSVHTLPIITEFILVTNPLNVKNVGSPFVVPHILLYMREFIRERNPMYVKSVGRVLVIAINSLYIAEFILVRNLMNVRNVGRPLVYLDNLLSI
+>DECOY_NM_032689|73878_2_1158_2167
+ISLLNDLYVLPRGVNRVNMLNRVLIFEAIYLSNIAIVLVRGVSKVYMPNRERIFERMYLLIHPVVFPSGVNKVNLPNTVLIFETIIPLTHVSVSPRGVNRVNTPNRVPIFIKIYKLPHISGLPSGVNTVNMPNRVVIFVRIDLLDDMCVLPKGVNRVSILNRLVKLHILHLLNIAVVLLRGMKKVNMTNRGQIFERICPLNIGVHLARGANRANMPNKELIFEKIVWLTPFSVFPREVNRVNMHSRELIFVRIYKLAQKSGLPSGVNTVNLPSRVLTFVRIDVLDDMCVLPRAVNRVNTPNRVMIFDIIYLLNVALGLLKGVSKVNMPNRLMFKGM
+>NM_032689|73881_2_1254_2167
+MVRNPTNVRNVARPLVCMDDLVDIRVFTLVRSPLNVTNVGSPLGSKQALKYIRVFILERSHMNVRNVERPFVSFPTLWVIKEFILEKNPMNARNAGRALHVGINLPCIREFIQGRNTMNVKKMGRLLVVAINLLHLIHLKVLRNLISVRNVGKPLVCMDDLLDIRVFIVVRNPMNVTNVGSPLGSIHPLKYIKIFIPVRNPTNVRNVGRPSVSVHTLPIITEFILVTNPLNVKNVGSPFVVPHILLYMREFIRERNPMYVKSVGRVLVIAINSLYIAEFILVRNLMNVRNVGRPLVYLDNLLSI
+>DECOY_NM_032689|73881_2_1254_2167
+ISLLNDLYVLPRGVNRVNMLNRVLIFEAIYLSNIAIVLVRGVSKVYMPNRERIFERMYLLIHPVVFPSGVNKVNLPNTVLIFETIIPLTHVSVSPRGVNRVNTPNRVPIFIKIYKLPHISGLPSGVNTVNMPNRVVIFVRIDLLDDMCVLPKGVNRVSILNRLVKLHILHLLNIAVVLLRGMKKVNMTNRGQIFERICPLNIGVHLARGANRANMPNKELIFEKIVWLTPFSVFPREVNRVNMHSRELIFVRIYKLAQKSGLPSGVNTVNLPSRVLTFVRIDVLDDMCVLPRAVNRVNTPNRVM
+>NM_032689|73886_2_1620_2167
+MGRLLVVAINLLHLIHLKVLRNLISVRNVGKPLVCMDDLLDIRVFIVVRNPMNVTNVGSPLGSIHPLKYIKIFIPVRNPTNVRNVGRPSVSVHTLPIITEFILVTNPLNVKNVGSPFVVPHILLYMREFIRERNPMYVKSVGRVLVIAINSLYIAEFILVRNLMNVRNVGRPLVYLDNLLSI
+>DECOY_NM_032689|73886_2_1620_2167
+ISLLNDLYVLPRGVNRVNMLNRVLIFEAIYLSNIAIVLVRGVSKVYMPNRERIFERMYLLIHPVVFPSGVNKVNLPNTVLIFETIIPLTHVSVSPRGVNRVNTPNRVPIFIKIYKLPHISGLPSGVNTVNMPNRVVIFVRIDLLDDMCVLPKGVNRVSILNRLVKLHILHLLNIAVVLLRGM
+>NM_152402|73917_2_410_606
+MVSKIWPRFSSTCWWQSLFMPQFRNMCWIKLTRECSSPKRNKTSLTSLVSLVCSTFFLVFGAHSF
+>DECOY_NM_152402|73917_2_410_606
+FSHAGFVLFFTSCVLSVLSTLSTKNRKPSSCERTLKIWCMNRFQPMFLSQWWCTSSFRPWIKSVM
+>NM_015295|74077_2_244_512
+MAEASATGRCTCLIGAKRSPSSGTGLCRSGSARTTRDFARVCVRHLAFHLKKNLLLQQQVGKKLPVIILMKLLKMESPYTCYSRSISYY
+>DECOY_NM_015295|74077_2_244_512
+YYSISRSYCTYPSEMKLLKMLIIVPLKKGVQQQLLLNKKLHFALHRVCVRAFDRTTRASGSRCLGTGSSPSRKAGILCTCRGTASAEAM
+>NM_015295|74088_2_2401_2558
+MEGQRNSWLSSKLFYILQVEIKRLFRILVNMEENGLTGLKKWKIFRSWGIIP
+>DECOY_NM_015295|74088_2_2401_2558
+PIIGWSRFIKWKKLGTLGNEEMNVLIRFLRKIEVQLIYFLKSSLWSNRQGEM
+>NM_015295|74100_2_5776_5888
+MEIEFEVMESLGAFRIKLLQWINFGEWYLELQFQNSV
+>DECOY_NM_015295|74100_2_5776_5888
+VSNQFQLELYWEGFNIWQLLKIRFAGLSEMVEFEIEM
+>NM_015295|74101_2_5797_5888
+MESLGAFRIKLLQWINFGEWYLELQFQNSV
+>DECOY_NM_015295|74101_2_5797_5888
+VSNQFQLELYWEGFNIWQLLKIRFAGLSEM
+>NM_015295|74105_3_1997_2100
+MGWKDIQSRTAGQDNQDTSPLLWKHSKIFSLWRS
+>DECOY_NM_015295|74105_3_1997_2100
+SRWLSFIKSHKWLLPSTDQNDQGATRSQIDKWGM
+>NM_183378|74160_2_1093_1277
+MENKTNVSVEKYCLHHCWQRPVRPWFHLFLIQKTVAVALSLPLLLYRSQKQGQVVGVWLYW
+>DECOY_NM_183378|74160_2_1093_1277
+WYLWVGVVQGQKQSRYLLLPLSLAVAVTKQILFLHFWPRVPRQWCHHLCYKEVSVNTKNEM
+>NM_183378|74164_2_2317_2399
+MVPLSSMALSAGELAVSSHGSRVYLPE
+>DECOY_NM_183378|74164_2_2317_2399
+EPLYVRSGHSSVALEGASLAMSSLPVM
+>NM_183378|74165_3_1760_1863
+MAGGSEVSRRLPMWRCHHQPSVDSDRSPLCAIEE
+>DECOY_NM_183378|74165_3_1760_1863
+EEIACLPSRDSDVSPQHHCRWMPLRRSVESGGAM
+>NM_183378|74168_3_2372_2460
+MEAGCICQSDDLLGLDPIKNQWSCFTSDK
+>DECOY_NM_183378|74168_3_2372_2460
+KDSTFCSWQNKIPDLGLLDDSQCICGAEM
+>NM_004815|74219_3_2732_2814
+MAKYVYRNKPNSSKKQRPSKTIASIKF
+>DECOY_NM_004815|74219_3_2732_2814
+FKISAITKSPRQKKSSNPKNRYVYKAM
+>NM_001017981|74333_2_685_929
+MAMEDGRTWSALEAVVPRSRNPCSSCGTPSCWWPCSCAQASWSRPSGRRRGRASGSSEARWTCLSAAWCGDWHPSRHGAAG
+>DECOY_NM_001017981|74333_2_685_929
+GAAGHRSPHWDGCWAASLCTWRAESSGSARGRRRGSPRSWSAQACSCPWWCSPTGCSSCPNRSRPVVAELASWTRGDEMAM
+>NM_001017981|74334_2_691_929
+MEDGRTWSALEAVVPRSRNPCSSCGTPSCWWPCSCAQASWSRPSGRRRGRASGSSEARWTCLSAAWCGDWHPSRHGAAG
+>DECOY_NM_001017981|74334_2_691_929
+GAAGHRSPHWDGCWAASLCTWRAESSGSARGRRRGSPRSWSAQACSCPWWCSPTGCSSCPNRSRPVVAELASWTRGDEM
+>NM_001017981|74338_3_698_876
+MAGLGLPWRQSCPGAETPAAAVERHPAGGHAPVHRPRGPGPAAGVAAEPAGARRPGGPV
+>DECOY_NM_001017981|74338_3_698_876
+VPGGPRRAGAPEAAVGAAPGPGRPRHVPAHGGAPHREVAAAPTEAGPCSQRWPLGLGAM
+>NM_198074|74354_2_489_577
+MASSFWSPIQMCTSTHLCTSFLPTSPSWT
+>DECOY_NM_198074|74354_2_489_577
+TWSPSTPLFSTCLHTSTCMQIPSWFSSAM
+>NM_198074|74355_2_645_796
+MEGVWSSSISPIGWGQPSVSCWPPCPMTATLPSAGHSITLSLCIHSFALG
+>DECOY_NM_198074|74355_2_645_796
+GLAFSHICLSLTISHGASPLTATMPCPPWCSVSPQGWGIPSISSSWVGEM
+>NM_014614|74446_3_3841_3935
+MGVKLLCGKNSLGILHLAKEYGCLCWCGRAA
+>DECOY_NM_014614|74446_3_3841_3935
+AARGCWCLCGYEKALHLIGLSNKGCLLKVGM
+>NM_014614|74447_3_4792_4889
+MADGKCRKILFYSSYRTTSASTFVFQDCPSGK
+>DECOY_NM_014614|74447_3_4792_4889
+KGSPCDQFVFTSASTTRYSSYFLIKRCKGDAM
+>NM_138780|74483_2_835_932
+MVLKIPWIWLLLKVPLRSSQRVTAETLLAHLP
+>DECOY_NM_138780|74483_2_835_932
+PLHALLTEATVRQSSRLPVKLLLWIWPIKLVM
+>NM_015608|74521_2_939_1234
+MEPLSLSHPPQNSRNRPVQIRQMIRKGLHGLLPSKCLLQFLKIPVLPVRVLKMILFGIFYGHLKISICWSAPTCPYLEEADTQQSAYVSGITTNQLMC
+>DECOY_NM_015608|74521_2_939_1234
+CMLQNTTIGSVYASQQTDAEELYPCTPASWCISIKLHGYFIGFLIMKLVRVPLVPIKLFQLLCKSPLLGHLGKRIMQRIQVPRNRSNQPPHSLSLPEM
+>NM_181845|74561_2_920_1002
+MAQNLFNMRELIQLKNTLNVKNVGRII
+>DECOY_NM_181845|74561_2_920_1002
+IIRGVNKVNLTNKLQILERMNFLNQAM
+>NM_017623|74595_2_145_491
+MERRARVGYAEGRRGTRRTPPSSCASSARASPTALGPGWPRRGRAAGRRRPPPRASGARCCACACGPRPCARTRRCWRCAWSRVAGRLRRRRRPGLWAWGRPGCWRWQRWREACS
+>DECOY_NM_017623|74595_2_145_491
+SCAERWRQWRWCGPRGWAWLGPRRRRRLRGAVRSWACRWCRRTRACPRPGCACACCRAGSARPPPRRRGAARGRRPWGPGLATPSARASSACSSPPTRRTGRRGEAYGVRARREM
+>NM_144566|74631_2_601_767
+MDQSHISVNNLKIRKPSGIAHPLEHKKGITLERNPMLVKSVEKPLFSIQAFEDTW
+>DECOY_NM_144566|74631_2_601_767
+WTDEFAQISFLPKEVSKVLMPNRELTIGKKHELPHAIGSPKRIKLNNVSIHSQDM
+>NM_144566|74632_2_781_1166
+MELINVNFVGKPSILSVYILSMKELTLERNHMNVNNVVNPLLILLPFKYMKELTLGRSPMNVANVIKHFIVLVPIIDMKEVTWERSLINAKNVEKHLHIPVLFVDMKGPTLGKNRMNVSNMGKAYPIL
+>DECOY_NM_144566|74632_2_781_1166
+LIPYAKGMNSVNMRNKGLTPGKMDVFLVPIHLHKEVNKANILSREWTVEKMDIIPVLVIFHKIVNAVNMPSRGLTLEKMYKFPLLILLPNVVNNVNMHNRELTLEKMSLIYVSLISPKGVFNVNILEM
+>NM_001018072|74692_2_585_685
+MVARRTRCAPPTCLCAVPTRTRRPRMAGAAGRL
+>DECOY_NM_001018072|74692_2_585_685
+LRGAAGAMRPRRTRTPVACLCTPPACRTRRAVM
+>NM_001018072|74695_2_1866_2035
+MAPPCTTSRGHCTGSPRPCTPFAISCTAHKWNGKTPTWSLPKSTSRWKGPSSCCRR
+>DECOY_NM_001018072|74695_2_1866_2035
+RRCCSSPGKWRSTSKPLSWTPTKGNWKHATCSIAFPTCPRPSGTCHGRSTTCPPAM
+>NM_001018072|74699_2_3465_3682
+MVAQSHCSLKTMRSWSFCLLLSFSSWRLCSDTVRLSVRKASIPTTVWIFTTMPSFLESQSSQHIAKATFSKT
+>DECOY_NM_001018072|74699_2_3465_3682
+TKSFTAKAIHQSSQSELFSPMTTFIWVTTPISAKRVSLRVTDSCLRWSSFSLLLCFSWSRMTKLSCHSQAVM
+>NM_001018072|74707_3_1960_2225
+MGKPQRGAFQSQPPGGKALPRAAAADGVDPGGRGARRPPPQLLHGQRRRPPGGPAAAARRGLRAAPAQGRRLLLCISKAGCGGHRSQV
+>DECOY_NM_001018072|74707_3_1960_2225
+VQSRHGGCGAKSICLLLRRGQAPAARLGRRAAAAPGGPPRRRQGHLLQPPPRRAGRGGPDVGDAAAARPLAKGGPPQSQFAGRQPKGM
+>NM_152475|74724_2_908_1635
+MDVMCAVIVENPLADMSASVIISEITLQKDLMIVESVGNLIVERAALFNISESTLERQLIPVRSAGNLLVRRAALLAISVFTLEKGLMSVENMGNLLVKRVTSFNISKVTLERELITVGNVGNLFVRSSALLTISVFTLEKGLTSVENVENLLVKRATSFNISEVILEKGPMSARNVGNHLGTDPTSLNTRDFTLGKDLTIVGNVGNYLTGSIIFSFMREFTLEKGHMRVRYVGNYLVIRTA
+>DECOY_NM_152475|74724_2_908_1635
+ATRIVLYNGVYRVRMHGKELTFERMFSFIISGTLYNGVNGVITLDKGLTFDRTNLSTPDTGLHNGVNRASMPGKELIVESINFSTARKVLLNEVNEVSTLGKELTFVSITLLASSRVFLNGVNGVTILERELTVKSINFSTVRKVLLNGMNEVSMLGKELTFVSIALLAARRVLLNGASRVPILQRELTSESINFLAAREVILNGVSEVIMLDKQLTIESIIVSASMDALPNEVIVACMVDM
+>NM_152475|74729_2_1184_1635
+MGNLLVKRVTSFNISKVTLERELITVGNVGNLFVRSSALLTISVFTLEKGLTSVENVENLLVKRATSFNISEVILEKGPMSARNVGNHLGTDPTSLNTRDFTLGKDLTIVGNVGNYLTGSIIFSFMREFTLEKGHMRVRYVGNYLVIRTA
+>DECOY_NM_152475|74729_2_1184_1635
+ATRIVLYNGVYRVRMHGKELTFERMFSFIISGTLYNGVNGVITLDKGLTFDRTNLSTPDTGLHNGVNRASMPGKELIVESINFSTARKVLLNEVNEVSTLGKELTFVSITLLASSRVFLNGVNGVTILERELTVKSINFSTVRKVLLNGM
+>NM_017699|74787_2_1693_1766
+MALEIWWHLIPLLPAHPKGAIMGQ
+>DECOY_NM_017699|74787_2_1693_1766
+QGMIAGKPHAPLLPILHWWIELAM
+>NM_001080408|74810_2_535_668
+MAVGLAPGLWTVTTSKVPARTSLGQKNTKSLRSPVSFLLEHQLH
+>DECOY_NM_001080408|74810_2_535_668
+HLQHELLFSVPSRLSKTNKQGLSTRAPVKSTTVTWLGPALGVAM
+>NM_001080408|74811_2_1066_1175
+MAIGVAVLPRTHLPRGTPPQSLPYDLGELLSRNHWS
+>DECOY_NM_001080408|74811_2_1066_1175
+SWHNRSLLEGLDYPLSQPPTGRPLHTRPLVAVGIAM
+>NM_153763|74844_2_649_737
+MAPGLGAAAAGSPACGRSSRIPTPPGPLG
+>DECOY_NM_153763|74844_2_649_737
+GLPGPPTPIRSSRGCAPSGAAAAGLGPAM
+>NM_032861|74935_2_1228_1355
+MAYMCCIPNIEQVSPLKQMSFLFMALWEQHSKHGASRTVSRL
+>DECOY_NM_032861|74935_2_1228_1355
+LRSVTRSAGHKSHQEWLAMFLFSMQKLPSVQEINPICCMYAM
+>NM_032861|74939_2_1702_1853
+MDHVWLNTLLIFAIFSSPRWKSKNSARILLHLKHYKMTFWSLLKTKTSRC
+>DECOY_NM_032861|74939_2_1702_1853
+CRSTKTKLLSWFTMKYHKLHLLIRASNKSKWRPSSFIAFILLTNLWVHDM
+>NM_174903|74971_2_78_151
+MGFSRGQQGCHAATSSAKSASSGG
+>DECOY_NM_174903|74971_2_78_151
+GGSSASKASSTAAHCGQQGRSFGM
+>NM_201269|75021_2_2882_2958
+MAFILNTMKILEVTTFCMRYMILSI
+>DECOY_NM_201269|75021_2_2882_2958
+ISLIMYRMCFTTVELIKMTNLIFAM
+>NM_001007241|75034_2_684_835
+MESSLSGWPSSKTRTTRILTKGMQLNCLLSPCCMWVPSWNKGTPPGLTWC
+>DECOY_NM_001007241|75034_2_684_835
+CWTLGPPTGKNWSPVWMCCPSLLCNLQMGKTLIRTTRTKSSPWGSLSSEM
+>NM_003449|75052_2_1654_1784
+MGMDMTTGKRTKMRNRWAMKRKKRRRKRRKFWKAAWWGWLETL
+>DECOY_NM_003449|75052_2_1654_1784
+LTELWGWWAAKWFKRRKRRRKKRKMAWRNRMKTRKGTTMDMGM
+>NM_003449|75053_2_1660_1784
+MDMTTGKRTKMRNRWAMKRKKRRRKRRKFWKAAWWGWLETL
+>DECOY_NM_003449|75053_2_1660_1784
+LTELWGWWAAKWFKRRKRRRKKRKMAWRNRMKTRKGTTMDM
+>NM_003449|75057_2_1819_1946
+MACGRCASPPPASGPTPAPRLSFSQHCGPGEWASPWIMKGAP
+>DECOY_NM_003449|75057_2_1819_1946
+PAGKMIWPSAWEGPGCHQSFSLRPAPTPGSAPPPSACRGCAM
+>NM_014594|75071_2_584_663
+METIQVLNWGKAYLQIQLLSHNRVFL
+>DECOY_NM_014594|75071_2_584_663
+LFVRNHSLLQIQLYAKGWNLVQITEM
+>NM_016307|75086_2_483_811
+MVSVPARGAVAPPSGRRSSGGTAPRSTAANCRRWSACSSARTTPTPLCARSLPGASTSARRAFRSGFRTAAPSSAGMKGPCWPAALPRCSSPTARRPPSSSPWLPGPPP
+>DECOY_NM_016307|75086_2_483_811
+PPPGPLWPSSSPPRRATPSSCRPLAAPWCPGKMGASSPAATRFGSRFARRASTSAGPLSRACLPTPTTRASSCASWRRCNAATSRPATGGSSRRGSPPAVAGRAPVSVM
+>NM_033342|75089_2_464_609
+MANPSSSTARTTDAPSAWCATAPASTASTPCCRWTRRCRRPRSSWSPG
+>DECOY_NM_033342|75089_2_464_609
+GPSWSSRPRRCRRTWRCCPTSATSAPATACWASPADTTRATSSSPNAM
+>NM_052831|75119_3_1060_1136
+MASGVWQLNHSRVLHALRACPNLAY
+>DECOY_NM_052831|75119_3_1060_1136
+YALNPCARLAHLVRSHNLQWVGSAM
+>NM_024911|75156_2_1766_1872
+MEKTSPMAIWVSIVGKNSSSPPLSPMWTDPLRSTS
+>DECOY_NM_024911|75156_2_1766_1872
+STSRLPDTWMPSLPPSSSNKGVISVWIAMPSTKEM
+>NM_024911|75159_3_1653_1759
+MGRRHSPSEQCLFHRHLWDVESVCLCSDVLVCTIP
+>DECOY_NM_024911|75159_3_1653_1759
+PITCVLVDSCLCVSEVDWLHRHFLCQESPSHRRGM
+>NM_001037813|75198_2_661_1445
+MGSVKNSSVMSPSLIFINNYTQERYPIHVMSTGRDSVIAQLFVFIRKFTWERNAISVMCVVRHLVRTHNCKLIRESTLERNHSNVSSVGKVSAVDQECMFIANYTQEKNLIFVRNVGRPSFTIPSFGNIKESILGRSHSNVIYVVRASIVDQILIGIPWSTCKRNHLDVIPVVIALVRDQHLIVIAWTTQKRNYTNVKNVEGASLVGKIFVSIRWTIQETNHIIVMYVGRASGGPHVFQDISGSTMEKQHSSATDVGRDFI
+>DECOY_NM_001037813|75198_2_661_1445
+IFDRGVDTASSHQKEMTSGSIDQFVHPGGSARGVYMVIIHNTEQITWRISVFIKGVLSAGEVNKVNTYNRKQTTWAIVILHQDRVLAIVVPIVDLHNRKCTSWPIGILIQDVISARVVYIVNSHSRGLISEKINGFSPITFSPRGVNRVFILNKEQTYNAIFMCEQDVASVKGVSSVNSHNRELTSERILKCNHTRVLHRVVCMVSIANREWTFKRIFVFLQAIVSDRGTSMVHIPYREQTYNNIFILSPSMVSSNKVSGM
+>NM_007159|75249_3_2148_2272
+MECIGNRMPFSKKGKCFAIIRTATARKRIAQFSEAEFRAYQ
+>DECOY_NM_007159|75249_3_2148_2272
+QYARFEAESFQAIRKRATATRIIAFCKGKKSFPMRNGICEM
+>NM_020200|75410_2_135_211
+METWSMSSSLMVSLWTELSGWPRIL
+>DECOY_NM_020200|75410_2_135_211
+LIRPWGSLETWLSVMLSSSMSWTEM
+>NM_033644|75447_2_1716_1876
+MGKLKFGTCKLLLTLEPQQAHCVCAHWWNILDVCFGSSLMSFRSSAAPMMTLF
+>DECOY_NM_033644|75447_2_1716_1876
+FLTMMPAASSRFSMLSSGFCVDLINWWHACVCHAQQPELTLLLKCTGFKLKGM
+>NM_024955|75488_2_1628_1767
+MAEISLAPTRTSSLMTGLWGTQKMPGSLTFFILSSTTIDTSPPNRR
+>DECOY_NM_024955|75488_2_1628_1767
+RRNPPSTDITTSSLIFFTLSGPMKQTGWLGTMLSSTRTPALSIEAM
+>NM_022752|75507_2_1007_1269
+MVKPLGGIAGGAGPGGTTVEKQAGQPHRSSSAQPVTSSFSHPTSYSSTCGVTGRASLSAPCAVVSSLALPVWTSTLETIAASHTSCV
+>DECOY_NM_022752|75507_2_1007_1269
+VCSTHSAAITELTSTWVPLALSSVVACPASLSARGTVGCTSSYSTPHSFSSTVPQASSSRHPQGAQKEVTTGGPGAGGAIGGLPKVM
+>NM_194302|75570_2_5302_5468
+MGRASSRRKTDQSTIQGWERRKRGRRRRVKRKKKSWRRKRRKKRRQKRRSWARRR
+>DECOY_NM_194302|75570_2_5302_5468
+RRRAWSRRKQRRKKRRKRRWSKKKRKVRRRRGRKRREWGQITSQDTKRRSSARGM
+>NM_194302|75573_3_4259_4371
+MELGPHPLPGSGLQPPYDGGHSPIPQHLLVGQQFHTL
+>DECOY_NM_194302|75573_3_4259_4371
+LTHFQQGVLLHQPIPSHGGDYPPQLGSGPLPHPGLEM
+>NM_053003|75606_2_1209_1288
+MARPFQSWRASPCTLSVLSTAIPLPG
+>DECOY_NM_053003|75606_2_1209_1288
+GPLPIATSLVSLTCPSARWSQFPRAM
+>NM_053003|75610_3_649_839
+MGYSSGHKHPKWKSARGYPRSIPPPWGPTDQQLLPEHQRCQEGGFREVLLPGGERKQEMELHI
+>DECOY_NM_053003|75610_3_649_839
+IHLEMEQKREGGPLLVERFGGEQCRQHEPLLQQDTPGWPPPISRPYGRASKWKPHKHGSSYGM
+>NM_005797|75617_2_433_515
+MGILSGTMPPSFSGNCSSTTMGHTPAR
+>DECOY_NM_005797|75617_2_433_515
+RAPTHGMTTSSCNGSFSPPMTGSLIGM
+>NM_004776|75664_2_930_1018
+MDVDRCRGILQPNWISICICFLIPSSLAE
+>DECOY_NM_004776|75664_2_930_1018
+EALSSPILFCICISIWNPQLIGRCRDVDM
+>NM_133457|75685_2_1042_1229
+METQGWPLPSWTQCWQVSQDPGVPLVHQVPLGLEVPQDPQEHLDPRAWLESEAQWGRPVNLA
+>DECOY_NM_133457|75685_2_1042_1229
+ALNVPRGWQAESELWARPDLHEQPDQPVELGLPVQHVLPVGPDQSVQWCQTWSPLPWGQTEM
+>NM_170694|75702_3_374_459
+MESILHSGPQLRWRRGRNVFLYLPRDGG
+>DECOY_NM_170694|75702_3_374_459
+GGDRPLYLFVNRGRRWRLQPGSHLISEM
+>NM_018096|75737_2_557_642
+MARFSSGTQAQGSRWAGPSLATASGSQA
+>DECOY_NM_018096|75737_2_557_642
+AQSGSATALSPGAWRSGQAQTGSSFRAM
+>NM_018096|75740_2_701_897
+MAVCGSGTQLQAAVSASSPGTPSRSPVSGGEGTGFSTLPPRTAPSKSGELMTVCCAGLCKATATG
+>DECOY_NM_018096|75740_2_701_897
+GTATAKCLGACCVTMLEGSKSPATRPPLTSFGTGEGGSVPSRSPTGPSSASVAAQLQTGSGCVAM
+>NM_018096|75742_2_1226_1341
+MAGRASTWLPYAATWLPCTRLRGQLTVGSWSAAAVTAH
+>DECOY_NM_018096|75742_2_1226_1341
+HATVAAASWSGVTLQGRLRTCPLWTAAYPLWTSARGAM
+>NM_199441|75816_2_2770_2882
+MGMPSAKTHTSLYIRELYGRDHMNAMNVGEPTAGSQP
+>DECOY_NM_199441|75816_2_2770_2882
+PQSGATPEGVNMANMHDRGYLERIYLSTHTKASPMGM
+>NM_020695|75839_2_309_571
+MAPWAWGRSRARMCWSWSWSTRPSRPCAVRWSWSSGATGSCWRRPVSTAPPRPPPWRPAAPTPAPLWARTRMPSHWPSTTAPAATAY
+>DECOY_NM_020695|75839_2_309_571
+YATAAPATTSPWHSPMRTRAWLPAPTPAAPRWPPPRPPATSVPRRWCSGTAGSSWSWRVACPRSPRTSWSWSWCMRARSRGWAWPAM
+>NM_020695|75846_2_1194_1570
+MGAAPRRENPRRKKPGPHLPPAAKTGPRGRTRPRTRAEGGLWRSPVRTRRARRPAAPGARQSGRKGPRRSHLRPLLWPPQGKGGLTGQRGGRAPQAGTPDRRPAEAHPAPSSCPTGRAPRPRRGS
+>DECOY_NM_020695|75846_2_1194_1570
+SGRRPRPARGTPCSSPAPHAEAPRRDPTGAQPARGGRQGTLGGKGQPPWLLPRLHSRRPGKRGSQRAGPAAPRRARRTRVPSRWLGGEARTRPRTRGRPGTKAAPPLHPGPKKRRPNERRPAAGM
+>NM_030934|75989_2_2037_2116
+MVYLLKLQMTPQQIITLHKEREKVMK
+>DECOY_NM_030934|75989_2_2037_2116
+KMVKEREKHLTIIQQPTMQLKLLYVM
+>NM_138376|76052_2_572_723
+MAAPGIFLGIHIFPFTSLLARTLRSPSKPSVPMPKQRKLTEKLLAILTFI
+>DECOY_NM_138376|76052_2_572_723
+IFTLIALLKETLKRQKPMPVSPKSPSRLTRALLSTFPFIHIGLFIGPAAM
+>NM_138376|76054_2_758_861
+MGRPWRASLGLQPWTLPGQSPGNESNNFWNSWID
+>DECOY_NM_138376|76054_2_758_861
+DIWSNWFNNSENGPSQGPLTWPQLGLSARWPRGM
+>NM_001040446|76105_2_375_481
+MGSMGGLSAQTSRLPSWVMMNLHWIMMKLNLRIRL
+>DECOY_NM_001040446|76105_2_375_481
+LRIRLNLKMMIWHLNMMVWSPLRSTQASLGGMSGM
+>NM_001040446|76113_2_2040_2128
+MVCCYRISRGPKSKSGPSATYVGFQKPKS
+>DECOY_NM_001040446|76113_2_2040_2128
+SKPKQFGVYTASPGSKSKPGRSIRYCCVM
+>NM_080546|76143_2_414_526
+MEISVGRKIQSWKQYQTVAWTTPSGSMYSFWIHATWT
+>DECOY_NM_080546|76143_2_414_526
+TWTAHIWFSYMSGSPTTWAVTQYQKWSQIKRGVSIEM
+>NM_080546|76153_3_310_542
+MAAALHPLLHWDGIYLWLFNSNRCSSKTSVRIRQLWKYLWAEKYKVGSNTKQWHGPHPAEVCILFGSMQPGLDKPED
+>DECOY_NM_080546|76153_3_310_542
+DEPKDLGPQMSGFLICVEAPHPGHWQKTNSGVKYKEAWLYKWLQRIRVSTKSSCRNSNFLWLYIGDWHLLPHLAAAM
+>NM_020443|76229_2_933_1057
+MANRTTSCSPARPRRKRALGLSPLPRARRSAPSSRWTPSWW
+>DECOY_NM_020443|76229_2_933_1057
+WWSPTWRSSPASRRARPLPSLGLARKRRPRAPSCSTTRNAM
+>NM_020443|76232_2_1299_1516
+MASPVRGCRLVTRPLWVGAAARRGRPPGTCTANGPTTPTPCPCAAPASSAISPAWSWSNPWTRMRWTSSPAT
+>DECOY_NM_020443|76232_2_1299_1516
+TAPSSTWRMRTWPNSWSWAPSIASSAPAACPCPTPTTPGNATCTGPPRGRRAAAGVWLPRTVLRCGRVPSAM
+>NM_020443|76243_2_4110_4294
+MVLQRLLHPPSSPPPRPPWALMSPRALLTQPPTLGCSMQMRRRSQRRRRYRSCALSYGRRK
+>DECOY_NM_020443|76243_2_4110_4294
+KRRGYSLACSRYRRRRQSRRRMQMSCGLTPPQTLLARPSMLAWPPRPPPSSPPHLLRQLVM
+>NM_020443|76261_3_4279_4406
+MGEGNEAYRHPLGGPQLCPPTGSASGDHAQHAVGGGPAESRE
+>DECOY_NM_020443|76261_3_4279_4406
+ERSEAPGGGVAHQAHDGSASGTPPCLQPGGLPHRYAENGEGM
+>NM_020443|76264_3_5716_5828
+MGPGHTSLAISPTRPIKAVPPAPTHRGPSQHCLTSRG
+>DECOY_NM_020443|76264_3_5716_5828
+GRSTLCHQSPGRHTPAPPVAKIPRTPSIALSTHGPGM
+>NM_019589|76346_2_146_276
+MAGAATIRRHRSHRRRQWRFLRPRRGPGTRARRLPRPPPPRAS
+>DECOY_NM_019589|76346_2_146_276
+SARPPPPRPLRRARTGPGRRPRLFRWQRRRHSRHRRITAAGAM
+>NM_019589|76350_2_3647_3741
+MVGKKCIHITGMSLLGLHGTMEKSEGMKSFH
+>DECOY_NM_019589|76350_2_3647_3741
+HFSKMGESKEMTGHLGLLSMGTIHICKKGVM
+>NM_019589|76354_2_3893_4236
+MEIGEALGGMIGRETRIWMRTTIGKWKGTWTGMWIGFQDLWICMIEVWIMSGTEIMGDHWMNKNHSFVNGIFHLFHLYRPSHLFHLWIDIGMIDGEKKEIESMGMIEISVIGVS
+>DECOY_NM_019589|76354_2_3893_4236
+SVGIVSIEIMGMSEIEKKEGDIMGIDIWLHFLHSPRYLHFLHFIGNVFSHNKNMWHDGMIETGSMIWVEIMCIWLDQFGIWMGTWTGKWKGITTRMWIRTERGIMGGLAEGIEM
+>NM_019589|76360_2_4058_4236
+MGDHWMNKNHSFVNGIFHLFHLYRPSHLFHLWIDIGMIDGEKKEIESMGMIEISVIGVS
+>DECOY_NM_019589|76360_2_4058_4236
+SVGIVSIEIMGMSEIEKKEGDIMGIDIWLHFLHSPRYLHFLHFIGNVFSHNKNMWHDGM
+>NM_019589|76371_2_5891_6003
+MAFFPSSSWMPSMTELGILTSFGVQQKPRDLRYIWLK
+>DECOY_NM_019589|76371_2_5891_6003
+KLWIYRLDRPKQQVGFSTLIGLETMSPMWSSSPFFAM
+>NM_019589|76378_3_1575_2122
+MAGTYESHSELSPGESQFISEHEEPVYGEHVNATSFCSIFSDASTSTYNAPSSVASFIATTSDAPCPPCYSATTWHAPTCYATFSTNLCSPTRDASFSLFCRATTSSPPTFPVFCRATTSSSPTISLFNSTSTCHAPPTIVFSYTSSRNTSPWSSTRDTSSVNSSPSSTSLQFTELASSRET
+>DECOY_NM_019589|76378_3_1575_2122
+TERSSALETFQLSTSSPSSNVSSTDRTSSWPSTNRSSTYSFVITPPAHCTSTSNFLSITPSSSTTARCFVPFTPPSSTTARCFLSFSADRTPSCLNTSFTAYCTPAHWTTASYCPPCPADSTTAIFSAVSSPANYTSTSADSFISCFSTANVHEGYVPEEHESIFQSEGPSLESHSEYTGAM
+>NM_001004755|76396_3_260_366
+MVGCSRDPGKCLLCSAVLHPHIHIPGVLSVAGHGL
+>DECOY_NM_001004755|76396_3_260_366
+LGHGAVSLVGPIHIHPHLVASCLLCKGPDRSCGVM
+>NM_004794|76419_2_286_380
+MGACSPPRPLAWRPWSSTRRWTSTCRFASSK
+>DECOY_NM_004794|76419_2_286_380
+KSSAFRCTSTWRRTSSWPRWALPRPPSCAGM
+>NM_153257|76447_2_1030_1511
+MVKNAMNVTNVGRPLIMAQNLLYIKEFTLVRNLMNVKNVGRPLDSDHSLLNIRDFILVKNPMNVSNVGRLLFVAFNLLNTCDSILERNLMNVKNVERLLGIAHILLYIREFILVRNPMNVGNVGRPLAITQASHTIRKFILARNLMNVMNVGRLFVMAYN
+>DECOY_NM_153257|76447_2_1030_1511
+NYAMVFLRGVNMVNMLNRALIFKRITHSAQTIALPRGVNGVNMPNRVLIFERIYLLIHAIGLLREVNKVNMLNRELISDCTNLLNFAVFLLRGVNSVNMPNKVLIFDRINLLSHDSDLPRGVNKVNMLNRVLTFEKIYLLNQAMILPRGVNTVNMANKVM
+>NM_153257|76449_2_1078_1511
+MAQNLLYIKEFTLVRNLMNVKNVGRPLDSDHSLLNIRDFILVKNPMNVSNVGRLLFVAFNLLNTCDSILERNLMNVKNVERLLGIAHILLYIREFILVRNPMNVGNVGRPLAITQASHTIRKFILARNLMNVMNVGRLFVMAYN
+>DECOY_NM_153257|76449_2_1078_1511
+NYAMVFLRGVNMVNMLNRALIFKRITHSAQTIALPRGVNGVNMPNRVLIFERIYLLIHAIGLLREVNKVNMLNRELISDCTNLLNFAVFLLRGVNSVNMPNKVLIFDRINLLSHDSDLPRGVNKVNMLNRVLTFEKIYLLNQAM
+>NM_020393|76488_2_435_580
+MAGCMKVLAGISKECTPKATTTSPWALPSSALRKATVPALLPCRPWKT
+>DECOY_NM_020393|76488_2_435_580
+TKWPRCPLLAPVTAKRLASSPLAWPSTTTAKPTCEKSIGALVKMCGAM
+>NM_020393|76491_2_768_856
+MASLSTLPGGPATFLMSAACWSGTSSLST
+>DECOY_NM_020393|76491_2_768_856
+TSLSSTGSWCAASMLFTAPGGPLTSLSAM
+>NM_020393|76492_2_906_1033
+MAPFMKGWAGMSKAPPPLATMTLPWALPSWAPSQVYHPMLQH
+>DECOY_NM_020393|76492_2_906_1033
+HQLMPHYVQSPAWSPLAWPLTMTALPPPAKSMGAWGKMFPAM
+>NM_020393|76496_3_244_404
+MGGRSCWLQYSADHASECPCYTPCPWTGVSRPDSLQPETAGTAGPSCPQQQWV
+>DECOY_NM_020393|76496_3_244_404
+VWQQQPCSPGATGATEPQLSDPRSVGTWPCPTYCPCESAHDASYQLWCSRGGM
+>NM_017970|76521_2_335_504
+MGRRVAAGLRQTPILKRTNLPEALEAVKRNLRNRIKEIMLQLILDIALFGLRTFRL
+>DECOY_NM_017970|76521_2_335_504
+LRFTRLGFLAIDLILQLMIEKIRNRLNRKVAELAEPLNTRKLIPTQRLGAAVRRGM
+>NM_024838|76603_3_463_590
+MVFNPLSCWRQKYYPDGTSWCWENNSRQNNRSETRLLCHRCG
+>DECOY_NM_024838|76603_3_463_590
+GCRHCLLRTESRNNQRSNNEWCWSTGDPYYKQRWCSLPNFVM
+>NM_033405|76661_2_3105_4303
+MGTGCPCHCTSATTCTAAGAVPPTRGCTSWPPSGSRSSLLPAPRTTNRWWTWSPRTTCTHSWLLQAATSARPWSARRSAAAPGATSSRAATTRCRWTGTRGPPRPSAGTWTWCCSGRSCWRWAMGALPTLPGTSMGSARPSASSTHLPRAISGGRAACTWPCSSRPSLWTSWASWWTWRRAPAASGCSSPATGRRCLTPAPSPTAPCSWPSTPTPWQAGRACGSCGGAVSTQRRDPARPCHCLALCRTHTPWPWRRPCGSSCWSWWSCSAGRRRLLSSRRRARRPSGGSWCRCSGATVAISWRWPGSWAVGTPCRCSSAPACSTASWYRALSSGRWHRASASAWSTWSGPETASQAVCTGPRGTGTATWMSTPACGNHSAPWSRPPARLPRMTPSHFST
+>DECOY_NM_033405|76661_2_3105_4303
+TSFHSPTMRPLRAPPRSWPASHNGCAPTSMWTATGTGRPGTCVAQSATEPGSWTSWASASARHWRGSSLARYWSATSCAPASSCRCPTGVAWSGPWRWSIAVTAGSCRCWSGGSPRRARRRSSLLRRRGASCSWWSWCSSGCPRRWPWPTHTRCLALCHCPRAPDRRQTSVAGGCSGCARGAQWPTPTSPWSCPATPSPAPTLCRRGTAPSSCGSAAPARRWTWWSAWSTWLSPRSSCPWTCAARGGSIARPLHTSSASPRASGMSTGPLTPLAGMAWRWCSRGSCCWTWTGASPRPPGRTGTWRCRTTAARSSTAGPAAASRRASWPRASTAAQLLWSHTCTTRPSWTWWRNTTRPAPLLSSRSGSPPWSTCGRTPPVAGAATCTTASTCHCPCGTGM
+>NM_033405|76662_2_3474_4303
+MGALPTLPGTSMGSARPSASSTHLPRAISGGRAACTWPCSSRPSLWTSWASWWTWRRAPAASGCSSPATGRRCLTPAPSPTAPCSWPSTPTPWQAGRACGSCGGAVSTQRRDPARPCHCLALCRTHTPWPWRRPCGSSCWSWWSCSAGRRRLLSSRRRARRPSGGSWCRCSGATVAISWRWPGSWAVGTPCRCSSAPACSTASWYRALSSGRWHRASASAWSTWSGPETASQAVCTGPRGTGTATWMSTPACGNHSAPWSRPPARLPRMTPSHFST
+>DECOY_NM_033405|76662_2_3474_4303
+TSFHSPTMRPLRAPPRSWPASHNGCAPTSMWTATGTGRPGTCVAQSATEPGSWTSWASASARHWRGSSLARYWSATSCAPASSCRCPTGVAWSGPWRWSIAVTAGSCRCWSGGSPRRARRRSSLLRRRGASCSWWSWCSSGCPRRWPWPTHTRCLALCHCPRAPDRRQTSVAGGCSGCARGAQWPTPTSPWSCPATPSPAPTLCRRGTAPSSCGSAAPARRWTWWSAWSTWLSPRSSCPWTCAARGGSIARPLHTSSASPRASGMSTGPLTPLAGM
+>NM_174975|76768_2_352_446
+MAAPCGMTSLGHLIPRGCSSQSPSRTCSRPR
+>DECOY_NM_174975|76768_2_352_446
+RPRSCTRSPSQSSCGRPILHGLSTMGCPAAM
+>NM_198045|76941_2_637_728
+MEVGTFSGRLMLPLRLITRPHHPPSPFEKG
+>DECOY_NM_198045|76941_2_637_728
+GKEFPSPPHHPRTILRLPLMLRGSFTGVEM
+>NM_018012|76993_2_1263_1450
+MGRKRRAGPQPTRPRSASRWPPVQAMGTSSIRWPSRLTSTWMAPGPCREPTGSPCTHTRSPS
+>DECOY_NM_018012|76993_2_1263_1450
+SPSRTHTCPSGTPERCPGPAMWTSTLRSPWRISSTGMAQVPPWRSASRPRTPQPGARRKRGM
+>NM_018012|76994_2_1338_1450
+MGTSSIRWPSRLTSTWMAPGPCREPTGSPCTHTRSPS
+>DECOY_NM_018012|76994_2_1338_1450
+SPSRTHTCPSGTPERCPGPAMWTSTLRSPWRISSTGM
+>NM_145352|77053_2_993_1189
+MGRPVSQILATVSAALAVGTLPSSRAALCLCCCSSWALPAVPAAAGPPDQTSRTGQREMELPCPG
+>DECOY_NM_145352|77053_2_993_1189
+GPCPLEMERQGTRSTQDPPGAAAPVAPLAWSSCCCLCLAARSSPLTGVALAASVTALIQSVPRGM
+>NM_020724|77122_3_701_1044
+MAAFLLFRASALPGLYRGREGGMVHRLREHHLRRARAGPRGRGGGRRRRGAAHGEDGVRALRRALAQAGRPRGGGHGQLGPRPPGLRPQHQVRRPDPRQELDSPHPQGQLHVQG
+>DECOY_NM_020724|77122_3_701_1044
+GQVHLQGQPHPSDLEQRPDPRRVQHQPRLGPPRPGLQGHGGGRPRGAQALARRLARVGDEGHAAGRRRRGGGRGRPGARARRLHHERLRHVMGGERGRYLGPLASARFLLFAAM
+>NM_020724|77123_3_767_1044
+MVHRLREHHLRRARAGPRGRGGGRRRRGAAHGEDGVRALRRALAQAGRPRGGGHGQLGPRPPGLRPQHQVRRPDPRQELDSPHPQGQLHVQG
+>DECOY_NM_020724|77123_3_767_1044
+GQVHLQGQPHPSDLEQRPDPRRVQHQPRLGPPRPGLQGHGGGRPRGAQALARRLARVGDEGHAAGRRRRGGGRGRPGARARRLHHERLRHVM
+>NM_016431|77182_2_232_347
+MGKARREETLAQRHLPPGPLSPPLPWRSPTSTGPPPSV
+>DECOY_NM_016431|77182_2_232_347
+VSPPPGTSTPSRWPLPPSLPGPPLHRQALTEERRAKGM
+>NM_016431|77183_2_760_983
+MAEAAAAAAPRTSPTPSRRPRRPPRSRSPRANPRAAPPSCPWAPTTPTASTSRGRSRSRTSARTRTRPGCSATW
+>DECOY_NM_016431|77183_2_760_983
+WTASCGPRTRTRASTRSRSRGRSTSATPTTPAWPCSPPAARPNARPSRSRPPRRPRRSPTPSTRPAAAAAAEAM
+>NM_144994|77241_2_99_175
+MEFLTLEPGLVTGGGAPKRLWPGRS
+>DECOY_NM_144994|77241_2_99_175
+SRGPWLRKPAGGGTVLGPELTLFEM
+>NM_022840|77263_2_1003_1079
+MEEIMKCSHENLFFDLNCLMSPNLI
+>DECOY_NM_022840|77263_2_1003_1079
+ILNPSMLCNLDFFLNEHSCKMIEEM
+>NM_006811|77368_2_525_628
+MGFGSSKLLPLLESWLALSTSLGAISAQSGLLLA
+>DECOY_NM_006811|77368_2_525_628
+ALLLGSQASIAGLSTSLALWSELLPLLKSSGFGM
+>NM_006811|77371_2_1293_1393
+MDSLGGLWTTRKRECSIATPYSTSCSAWLPCTS
+>DECOY_NM_006811|77371_2_1293_1393
+STCPLWASCSTSYPTAISCERKRTTWLGGLSDM
+>NM_006811|77374_3_700_863
+MGKSNGRRKPKVVVCCFTVFHKRLLYPVNHLCRAALYILHQTRWLHRKQVLHQY
+>DECOY_NM_006811|77374_3_700_863
+YQHLVQKRHLWRTQHLIYLAARCLHNVPYLLRKHFVTFCCVVVKPKRRGNSKGM
+>NM_181623|77400_2_145_275
+MAVRRPTVSPPAARHPALWPDPIRHPVTAQRIPSSAVPARLTT
+>DECOY_NM_181623|77400_2_145_275
+TTLRAPVASSPIRQATVPHRIPDPWLAPHRAAPPSVTPRRVAM
+>NM_001195|77429_2_1786_1931
+MELRCLGLGAEACQKKALPRLWPIRQWKWWNLSRRFPRRAFRHMKKPL
+>DECOY_NM_001195|77429_2_1786_1931
+LPKKMHRFARRPFRRSLNWWKWQRIPWLRPLAKKQCAEAGLGLCRLEM
+>NM_018119|77478_2_1369_1466
+MGSSSRSTRMWSSGSTCCGRVSRPNWKKSIIL
+>DECOY_NM_018119|77478_2_1369_1466
+LIISKKWNPRSVRGCCTSGSSWMRTSRSSSGM
+>NM_033452|77546_2_1060_1133
+MGVALGLDPRGSSASPNHPKLSVQ
+>DECOY_NM_033452|77546_2_1060_1133
+QVSLKPHNPSASSGRPDLGLAVGM
+>NM_033452|77547_2_1201_1733
+MGHRSWTRKLMLSPKTSRVRTSWRVKLPGTISSSLPILWIWTATQQTSSCSCLEPKVSRGCCVLSTTPCRPPASPIVSRCWARVPWTEAPTTGRWRLSRAGSAWGSWPKTSPHKSPTTAAGWAATPTPAACSGMDAASPSGFMGWRLPCPTPSRPRLGSAWNTLTVPWPSMLYGTAR
+>DECOY_NM_033452|77547_2_1201_1733
+RATGYLMSPWPVTLTNWASGLRPRSPTPCPLRWGMFGSPSAADMGSCAAPTPTAAWGAATTPSKHPSTKPWSGWASGARSLRWRGTTPAETWPVRAWCRSVIPSAPPRCPTTSLVCCGRSVKPELCSCSSTQQTATWIWLIPLSSSITGPLKVRWSTRVRSTKPSLMLKRTWSRHGM
+>NM_033452|77549_2_1600_1733
+MDAASPSGFMGWRLPCPTPSRPRLGSAWNTLTVPWPSMLYGTAR
+>DECOY_NM_033452|77549_2_1600_1733
+RATGYLMSPWPVTLTNWASGLRPRSPTPCPLRWGMFGSPSAADM
+>NM_015655|77587_3_198_292
+MEAAEPCSEGPVQGGDTGELQPPGLTRNSPF
+>DECOY_NM_015655|77587_3_198_292
+FPSNRTLGPPQLEGTDGGQVPGESCPEAAEM
+>NM_015655|77588_3_651_775
+MGSIQVCRAWARLQPEDDGNHTQKSTFQAETFYMQGVSPGL
+>DECOY_NM_015655|77588_3_651_775
+LGPSVGQMYFTEAQFTSKQTHNGDDEPQLRAWARCVQISGM
+>NM_017510|77597_2_307_413
+MAPRAGSLSLPIPLVSTRSVFTPIPPSSPSLLEAC
+>DECOY_NM_017510|77597_2_307_413
+CAELLSPSSPPIPTFVSRTSVLPIPLSLSGARPAM
+>NM_021924|77628_2_2185_2426
+MAPGSSAALAKLRSPSPKALTTRRSSLTTRPTGRPSPAPRTTPSPRRHRCPQSPHPPALPPQAVPLSPPQRPELAEAPRR
+>DECOY_NM_021924|77628_2_2185_2426
+RRPAEALEPRQPPSLPVAQPPLAPPHPSQPCRHRRPSPTTRPAPSPRGTPRTTLSSRRTTLAKPSPSRLKALAASSGPAM
+>NM_001029964|77685_2_838_968
+MGTSSPTVVSEKPAHISASQTVAVRDVASSLPEIPGRSSQESI
+>DECOY_NM_001029964|77685_2_838_968
+ISEQSSRGPIEPLSSAVDRVAVTQSASIHAPKESVVTPSSTGM
+>NM_001029964|77688_2_1201_1373
+MALWAVRGSCRHSTSGCKSTATTLESCGGTSRTSSSKPSSQPILFYATTTEPVFPSI
+>DECOY_NM_001029964|77688_2_1201_1373
+ISPFVPETTTAYFLIPQSSPKSSSTRSTGGCSELTTATSKCGSTSHRCSGRVAWLAM
+>NM_006961|77709_3_349_506
+MDWPFSCPEGPVQKCDVGEFWEPDCFGVPSSQTCTDLTFGERGHGLGPGSTG
+>DECOY_NM_006961|77709_3_349_506
+GTSGPGLGHGREGFTLDTCTQSSPVGFCDPEWFEGVDCKQVPGEPCSFPWDM
+>NM_005234|77715_2_1026_1276
+MAASRPSRSSRPTPVASQTRPTLRACRRRRRWPSPSMCGRSTRPSPSASGACCCGSPPCARSLPPSSPSCSSCAWWGRRPLRH
+>DECOY_NM_005234|77715_2_1026_1276
+HRLPRRGWWACSSCSPSSPPLSRACPPSGCCCAGSASPSPRTSRGCMSPSPWRRRRRCARLTPRTQSAVPTPRSSRSPRSAAM
+>NM_007156|77729_2_367_551
+MAGPGGGARRPARHHGALAQACSRRGPINLAAAATTSSWCCLTRWVATWRPRAPVRPQGLC
+>DECOY_NM_007156|77729_2_367_551
+CLGQPRVPARPRWTAVWRTLCCWSSTTAAAALNIPGRRSCAQALAGHHRAPRRAGGGPGAM
+>NM_001017915|77760_2_172_371
+MATSPAPRRRSCFPGQARTGASSCVPASPSPGHTRSACCIGIAFTLTEFCPMKMINSLFRHPKASP
+>DECOY_NM_001017915|77760_2_172_371
+PSAKPHRFLSNIMKMPCFETLTFAIGICCASRTHGPSPSAPVCSSAGTRAQGPFCSRRRPAPSTAM
+>NM_001099646|77777_2_209_315
+MGAAALPSAGWFPEALGLRCGLSLPFLDPCSCSRC
+>DECOY_NM_001099646|77777_2_209_315
+CRSCSCPDLFPLSLGCRLGLAEPFWGASPLAAAGM
+>NM_153748|77796_3_1884_1966
+MVRHAGGSPVCSGWSADNSHASACHCQ
+>DECOY_NM_153748|77796_3_1884_1966
+QCHCASAHSNDASWGSCVPSGGAHRVM
+>NM_018259|77843_2_2286_2398
+MVLMRWRIQMKPKCQKKYWLWWMNFNRHGLWKALGVH
+>DECOY_NM_018259|77843_2_2286_2398
+HVGLAKWLGHRNFNMWWLWYKKQCKPKMQIRWRMLVM
+>NM_018259|77846_2_2460_2548
+MEWPEALAMETAEVKMMKQQNGLHSRSNV
+>DECOY_NM_018259|77846_2_2460_2548
+VNSRSHLGNQQKMMKVEATEMALAEPWEM
+>NM_018259|77847_2_2862_2953
+MAISPRVCIPWTTCMGFPTEPACTTQGRVS
+>DECOY_NM_018259|77847_2_2862_2953
+SVRGQTTCAPETPFGMCTTWPICVRPSIAM
+>NM_018259|77848_3_2368_2501
+MAFGRLWGCTRDERAASRLTRNTGAEERSPGWSGQKLLLWRLQK
+>DECOY_NM_018259|77848_3_2368_2501
+KQLRWLLLKQGSWGPSREEAGTNRTLRSAAREDRTCGWLRGFAM
+>NM_018259|77849_3_2707_2798
+MAQPGRMPQTPLGRADCHREYLACSFFKKH
+>DECOY_NM_018259|77849_3_2707_2798
+HKKFFSCALYERHCDARGLPTQPMRGPQAM
+>NM_173357|77950_2_525_646
+MGKSCARREKQVALRRFTRDLDPKGGNMPGPTDCVRESSW
+>DECOY_NM_173357|77950_2_525_646
+WSSERVCDTPGPMNGGKPDLDRTFRRLAVQKERRACSKGM
+>NM_024106|77962_2_685_758
+MEGNSVTVSNVEKSSVNTHALRRT
+>DECOY_NM_024106|77962_2_685_758
+TRRLAHTNVSSKEVNSVTVSNGEM
+>NM_024106|77964_2_799_887
+MEKISLPCVRKPLLVRNFLSLIRVKKSSA
+>DECOY_NM_024106|77964_2_799_887
+ASSKKVRILSLFNRVLLPKRVCPLSIKEM
+>NM_024106|77965_2_1003_1076
+MEKNSTNGGIMGQVLLTLQAFLCL
+>DECOY_NM_024106|77965_2_1003_1076
+LCLFAQLTLLVQGMIGGNTSNKEM
+>NM_006631|77987_2_1806_2119
+MEKVSMNGRNVGEALFTPQTLLCVYKLTGQKNPTNVRNVEKDLDILHTLIFTWEPTLETIPMSVRSVGKPSPGLVNLLSTEKLTLERNLINVRIVGEPSLFPLA
+>DECOY_NM_006631|77987_2_1806_2119
+ALPFLSPEGVIRVNILNRELTLKETSLLNVLGPSPKGVSRVSMPITELTPEWTFILTHLIDLDKEVNRVNTPNKQGTLKYVCLLTQPTFLAEGVNRGNMSVKEM
+>NM_001489|78047_2_380_540
+MGSSPVRAAKGFSSGAFATNGYIDAVVTRTVSCLGSRGTGASTAACSNASRWG
+>DECOY_NM_001489|78047_2_380_540
+GWRSANSCAATSAGTGRSGLCSVTRTVVADIYGNTAFAGSSFGKAARVPSSGM
+>NM_001489|78048_2_563_774
+MACLEAGIRALGQSRYRKKKSKGSCLGRSLRKRPITGATMVIVTTVPLGTGLRRATSPHQAPHCLPGLWN
+>DECOY_NM_001489|78048_2_563_774
+NWLGPLCHPAQHPSTARRLGTGLPVTTVIVMTAGTIPRKRLSRGLCSGKSKKKRYRSQGLARIGAELCAM
+>NM_001489|78049_2_680_774
+MVIVTTVPLGTGLRRATSPHQAPHCLPGLWN
+>DECOY_NM_001489|78049_2_680_774
+NWLGPLCHPAQHPSTARRLGTGLPVTTVIVM
+>NM_013249|78137_2_550_623
+MGKLFKGQIPKTSHSKIVPSVRNG
+>DECOY_NM_013249|78137_2_550_623
+GNRVSPVIKSHSTKPIQGKFLKGM
+>NM_032144|78187_2_629_723
+MEQSGFGCGIRRVRNVSVASFPGTSVILLQL
+>DECOY_NM_032144|78187_2_629_723
+LQLLIVSTGPFSAVSVNRVRRIGCGFGSQEM
+>NM_001114133|78211_2_768_961
+MGQPFSHPQLRLCCYPMAPSDLVLISSLWWGLFPTQWQKILLPPTPRRPSKPNCNVQRASKRRA
+>DECOY_NM_001114133|78211_2_768_961
+ARRKSARQVNCNPKSPRRPTPPLLIKQWQTPFLGWWLSSILVLDSPAMPYCCLRLQPHSFPQGM
+>NM_015345|78360_2_208_557
+MAWASCAASGAVTSPKSTSGTTTLCSSWSSPAPSRTQRSSTSALQSWWMNWISLTKTERLCLHCPLRRNGRSTAARRRSRRTPTSWQPAGLTITSTASIPWLRCRVCTRLMRRRRR
+>DECOY_NM_015345|78360_2_208_557
+RRRRRMLRTCVRCRLWPISATSTITLGAPQWSTPTRRSRRRAATSRGNRRLPCHLCLRETKTLSIWNMWWSQLASTSSRQTRSPAPSSWSSCLTTTGSTSKPSTVAGSAACSAWAM
+>NM_152604|78393_2_586_743
+MALSTPVLEMFWNIEATLQNNWDIQMGILVKKYSLLNTCPHLFNRHSLLSIK
+>DECOY_NM_152604|78393_2_586_743
+KISLLSHRNFLHPCTNLLSYKKVLIGMQIDWNNQLTAEINWFMELVPTSLAM
+>NM_053042|78439_2_1076_1215
+MVLLEMIILSNTRREYMKGQVRNGQSKLLPSWSQKEPELQNKTQSF
+>DECOY_NM_053042|78439_2_1076_1215
+FSQTKNQLEPEKQSWSPLLKSQGNRVQGKMYERRTNSLIIMELLVM
+>NM_053042|78443_2_1835_1929
+MENPSLIRKQLRIFRKKIICIHIELLFLPLP
+>DECOY_NM_053042|78443_2_1835_1929
+PLPLFLLEIHICIIKKRFIRLQKRILSPNEM
+>NM_053042|78445_2_2675_2799
+MVVLLVIDSLLINKYIHTLQMAVIGKPKAEWPGRLMLPRQC
+>DECOY_NM_053042|78445_2_2675_2799
+CQRPLMLRGPWEAKPKGIVAMQLTHIYKNILLSDIVLLVVM
+>NM_020108|78482_2_499_620
+MAPVNSLLVSRPRVNSLQVSTLQGNRLQVHQFQAHLQAQY
+>DECOY_NM_020108|78482_2_499_620
+YQAQLHAQFQHVQLRNGQLTSVQLSNVRPRSVLLSNVPAM
+>NM_015348|78510_2_461_657
+MEGYYRPRQHLDSVHISRKVYLSTGGIAGPYDLSHQCWISMNNQLECQKWKKSTYIILVLKKRLL
+>DECOY_NM_015348|78510_2_461_657
+LLRKKLVLIIYTSKKWKQCELQNNMSIWCQHSLDYPGAIGGTSLYVKRSIHVSDLHQRPRYYGEM
+>NM_015348|78520_2_5246_5427
+MALLKFLQTKQVSPAALAFHTLLLTAMAQTARVCGVPSATQAALTSLPSIRSPPLETLLI
+>DECOY_NM_015348|78520_2_5246_5427
+ILLTELPPSRISPLSTLAAQTASPVGCVRATQAMATLLLTHFALAAPSVQKTQLFKLLAM
+>NM_015348|78521_2_5324_5427
+MAQTARVCGVPSATQAALTSLPSIRSPPLETLLI
+>DECOY_NM_015348|78521_2_5324_5427
+ILLTELPPSRISPLSTLAAQTASPVGCVRATQAM
+>NM_025187|78564_3_211_305
+MGIHAGNASGSGSSHSSEALSHHQKRPGSLQ
+>DECOY_NM_025187|78564_3_211_305
+QLSGPRKQHHSLAESSHSSGSGSANGAHIGM
+>NM_005057|78579_2_914_990
+MGNTSWQVLPGSMPCTSGRRALATW
+>DECOY_NM_005057|78579_2_914_990
+WTALARRGSTCPMSGPLVQWSTNGM
+>NM_005057|78585_2_1556_1713
+MANPRRSKQAGLKDQKVKRKILHLNRNSTKGTEVYLWKDQRRVKCRRNSASP
+>DECOY_NM_005057|78585_2_1556_1713
+PSASNRRCKVRRQDKWLYVETGKTSNRNLHLIKRKVKQDKLGAQKSRRPNAM
+>NM_014698|78611_2_482_600
+MAALPWCQKQTASPDFRDCHRLPPQVNKTLKMSWDAVPG
+>DECOY_NM_014698|78611_2_482_600
+GPVADWSMKLTKNVQPPLRHCDRFDPSATQKQCWPLAAM
+>NM_014698|78624_3_639_778
+MVWGGRHPLPVLPEAHHLPVGGGQLFVPVCHPACQPLRGFAGQRPV
+>DECOY_NM_014698|78624_3_639_778
+VPRQGAFGRLPQCAPHCVPVFLQGGGVPLHHAEPLVPLPHRGGWVM
+>NM_007018|78688_2_3587_3765
+MGRKAVNLPLPQDTGFILPSGVGYINCFQVEMQTVEEIVRKRVSWMTKKNPHLCLLLDT
+>DECOY_NM_007018|78688_2_3587_3765
+TDLLLCLHPNKKTMWSVRKRVIEEVTQMEVQFCNIYGVGSPLIFGTDQPLPLNVAKRGM
+>NM_007018|78698_3_588_709
+MVREEVKIFASPQFERQQDIIAPRYKQVETASRFDFSDPS
+>DECOY_NM_007018|78698_3_588_709
+SPDSFDFRSATEVQKYRPAIIDQQREFQPSAFIKVEERVM
+>NM_033254|78741_2_1246_1409
+MGLGSPGQRSSSTMSRCLNPLRSPWSYPSWSSPGARVPSLPVRCVGTPRPPCCG
+>DECOY_NM_033254|78741_2_1246_1409
+GCCPPRPTGVCRVPLSPVRAGPSSWSPYSWPSRLPNLCRSMTSSSRQGPSGLGM
+>NM_033254|78749_2_3268_3437
+MDMTPKVTRSRGVPSLARTRALSYTHCPTTPLTSCCSPITTAANARSSLLLWASQG
+>DECOY_NM_033254|78749_2_3268_3437
+GQSAWLLLSSRANAATTIPSCCSTLPTTPCHTYSLARTRALSPVGRSRTVKPTMDM
+>NM_013260|78770_2_229_482
+MVMKKKKMRTVDSRKMTIQRLKNLRLMTQRIIQKQKSETPRNSWPPFLKEFGTCRLMKSRSRQNPLADVQITCKTRSRSFMNER
+>DECOY_NM_013260|78770_2_229_482
+RENMFSRSRTKCTIQVDALPNQRSRSKMLRCTGFEKLFPPWSNRPTESKQKQIIRQTMLRLNKLRQITMKRSDVTRMKKKKMVM
+>NM_019001|78863_2_1481_1578
+MEFSPGAGIILIIMHLSCLIYTTSVHSKSILN
+>DECOY_NM_019001|78863_2_1481_1578
+NLISKSHVSTTYILCSLHMIILIIGAGPSFEM
+>NM_019001|78875_2_4079_4155
+MGSLQVKSICHHSHLPWEHGCLKKF
+>DECOY_NM_019001|78875_2_4079_4155
+FKKLCGHEWPLHSHHCISKVQLSGM
+>NM_019001|78887_3_4767_4891
+MGTIGASSWEALPSYFIFWDHAHGWGNTRGCAQSVYTSAGY
+>DECOY_NM_019001|78887_3_4767_4891
+YGASTYVSQACGRTNGWGHAHDWFIFYSPLAEWSSAGITGM
+>NM_052852|78918_2_406_494
+MAIYTLKKAVKVWMSVSYTKEVIMDLTNV
+>DECOY_NM_052852|78918_2_406_494
+VNTLDMIVEKTYSVSMWVKVAKKLTYIAM
+>NM_021045|78938_2_1403_1485
+MGKSSVTIQLSLSIRELTQERFSVNIK
+>DECOY_NM_021045|78938_2_1403_1485
+KINVSFREQTLERISLSLQITVSSKGM
+>NM_021045|78941_2_1568_1767
+MGVISARSHILPSFGELTQEKKPLNVVNVGKPSGRSQTSLNIREHTQERSPMNVLNVGKPFARNHT
+>DECOY_NM_021045|78941_2_1568_1767
+THNRAFPKGVNLVNMPSREQTHERINLSTQSRGSPKGVNVVNLPKKEQTLEGFSPLIHSRASIVGM
+>NM_033410|79065_2_604_734
+MAATCAGRALPGAPHWWSTSTVTLARSPSTALTAARASATLPP
+>DECOY_NM_033410|79065_2_604_734
+PPLTASARAATLATSPSRALTVTSTSWWHPAGPLARGACTAAM
+>NM_182922|79092_2_1882_1958
+MVHLKLLRTLGAFCLKLPPKILPLW
+>DECOY_NM_182922|79092_2_1882_1958
+WLPLIKPPLKLCFAGLTRLLKLHVM
+>NM_007367|79114_2_431_600
+MAVWPAVLCTRAMPLFSTPMSAMPGQLCWERMGGCWPGRPWTSTWLESLSLTDPRG
+>DECOY_NM_007367|79114_2_431_600
+GRPDTLSLSELWTSTWPRGPWCGGMREWCLQGPMASMPTSFLPMARTCLVAPWVAM
+>NM_007367|79118_2_524_600
+MGGCWPGRPWTSTWLESLSLTDPRG
+>DECOY_NM_007367|79118_2_524_600
+GRPDTLSLSELWTSTWPRGPWCGGM
+>NM_007367|79120_2_926_1104
+MEVAPAAAAVVVAAVAVAVVVAVAVAAAGHQPPKRTQLLRQACPRGKHGPETTAMRKGS
+>DECOY_NM_007367|79120_2_926_1104
+SGKRMATTEPGHKGRPCAQRLLQTRKPPQHGAAAVAVAVVVAVAVAAVVVAAAAPAVEM
+>NM_133638|79163_2_2011_2225
+MVPENNTEYVRIHLVLQVCLDSETGNVRLIVLELPPQSIYFSGKLSWMKKNHVPCFALLLEKNSLFFYQKK
+>DECOY_NM_133638|79163_2_2011_2225
+KKQYFFLSNKELLLAFCPVHNKKMWSLKGSFYISQPPLELVILRVNGTESDLCVQLVLHIRVYETNNEPVM
+>NM_133638|79166_2_2671_2837
+MVFTMNTLSHQTLFQKTRALKHLSPSSCGHTQAGKIAMPLVEEEKGRQQCPAQKS
+>DECOY_NM_133638|79166_2_2671_2837
+SKQAPCQQRGKEEEVLPMAIKGAQTHGCSSPSLHKLARTKQFLTQHSLTNMTFVM
+>NM_133638|79168_2_3364_3446
+MEMNVFPQKNLQHTGHAIFNPAMRKLM
+>DECOY_NM_133638|79168_2_3364_3446
+MLKRMAPNFIAHGTHQLNKQPFVNMEM
+>NM_133638|79174_3_2951_3039
+MDPLFTNLWKRNAEQTSGLYPTTEQWNTD
+>DECOY_NM_133638|79174_3_2951_3039
+DTNWQETTPYLGSTQEANRKWLNTFLPDM
+>NM_001001711|79217_2_445_524
+MAISWFYCRRTMWDLGLQGVPRTSLV
+>DECOY_NM_001001711|79217_2_445_524
+VLSTRPVGQLGLDWMTRRCYFWSIAM
+>NM_001010846|79282_2_1276_1385
+MVPSAVLRLRVDYSPAKKLVTWFEIVSQGTAGTPLP
+>DECOY_NM_001010846|79282_2_1276_1385
+PLPTGATGQSVIEFWTVLKKAPSYDVRLRLVASPVM
+>NM_148963|79355_2_2489_2577
+MESCIAHSSPNAMLLFVSKRLTQSLPFSR
+>DECOY_NM_148963|79355_2_2489_2577
+RSFPLSQTLRKSVFLLMANPSSHAICSEM
+>NM_016524|79375_2_983_1158
+MARARTWRTPTPTSRSVSCQTRRTQSRPGSNARPRSPCLRSATPSRSPSWRPRGGPCS
+>DECOY_NM_016524|79375_2_983_1158
+SCPGGRPRWSPSRSPTASRLCPSRPRANSGPRSQTRRTQCSVSRSTPTPTRWTRARAM
+>NM_013340|79469_2_2313_2437
+MDPYLGLVHMKCVQPLALVIVSFAFLSVLCPTSLSLMPLGR
+>DECOY_NM_013340|79469_2_2313_2437
+RGLPMLSLSTPCLVSLFAFSVIVLALPQVCKMHVLGLYPDM
+>NM_173562|79607_3_1452_1540
+MGKGRREESPCGFPVCSKQIPHESGSCWR
+>DECOY_NM_173562|79607_3_1452_1540
+RWCSGSEHPIQKSCVPFGCPSEERRGKGM
+>NM_018293|79646_2_446_555
+MGILIVRIWKWRHLLLLVKETKKSSLSMWLNSLKFP
+>DECOY_NM_018293|79646_2_446_555
+PFKLSNLWMSLSSKKTEKVLLLLHRWKWIRVILIGM
+>NM_018293|79652_2_1574_1653
+MVPFCPVLYHKNTTPCQYLRHLPNQI
+>DECOY_NM_018293|79652_2_1574_1653
+IQNPLHRLYQCPTTNKHYLVPCFPVM
+>NM_003086|79734_3_1754_2133
+MERRGRGLAGRPRCLPQPSQGVQCQPGRQQGSFHHSRGSWRGDESGAGPCQGPRPCPEVCPGLPLSRHSPGGRREAGPGGWEASADSACGDRAEGAQGQHGCSELHTERAAEAATPAHLIPRGQLW
+>DECOY_NM_003086|79734_3_1754_2133
+WLQGRPILHAPTAAEAARETHLESCGHQGQAGEARDGCASDASAEWGGPGAERRGGPSHRSLPLGPCVEPCPRPGQCPGAGSEDGRWSGRSHHFSGQQRGPQCQVGQSPQPLCRPRGALGRGRREM
+>NM_001268|79740_2_684_790
+MGVVHILSLQQQKEKSLPGVIMLIASWAMGQLIMV
+>DECOY_NM_001268|79740_2_684_790
+VMILQGMAWSAILMIVGPLSKEKQQQLSLIHVVGM
+>NM_005549|79784_2_1658_1848
+MGTCARPPQGGRLWALCVPLQGSSPLPSLCLSLSPTSITSTTGRLRMKKSRTSQEKLKESSTV
+>DECOY_NM_005549|79784_2_1658_1848
+VTSSEKLKEQSTRSKKMRLRGTTSTISTPSLSLCLSPLPSSGQLPVCLAWLRGGQPPRACTGM
+>NM_006809|79839_2_330_493
+METAETASKIALQHWPWFPSALSPCCGEHLLMRLWRSTLWPMLTIRLCCRLMIM
+>DECOY_NM_006809|79839_2_330_493
+MIMLRCCLRITLMPWLTSRWLRMLLHEGCCPSLASPFWPWHQLAIKSATEATEM
+>NM_031492|79855_2_633_748
+MEQFEHLTPWATGNPCITTMHMEHSTTISDTGSALMRQ
+>DECOY_NM_031492|79855_2_633_748
+QRMLASGTDSITTSHEMHMTTICPNGTAWPTLHEFQEM
+>NM_001011720|79891_2_1003_1085
+MDKRISVSMRPSWSLAVLFLGQLLIIK
+>DECOY_NM_001011720|79891_2_1003_1085
+KIILLQGLFLVALSWSPRMSVSIRKDM
+>NM_024527|80072_2_499_842
+MGGCWWKTWAEPLEPTSYTGRMALGSRRPPWRWSWQIRRAAMAAWPPAAQAAAAAVAVVGGGGEPGAPRGPSILTVRSASLAAKAPRPTWCSFSSMVSAVPWPSGRSSWTSLCA
+>DECOY_NM_024527|80072_2_499_842
+ACLSTWSSRGSPWPVASVMSSFSCWTPRPAKAALSASRVTLISPGRPAGPEGGGGVVAVAAAAAQAAPPWAAMAARRIQWSWRWPPRRSGLAMRGTYSTPELPEAWTKWWCGGM
+>NM_024527|80073_2_562_842
+MALGSRRPPWRWSWQIRRAAMAAWPPAAQAAAAAVAVVGGGGEPGAPRGPSILTVRSASLAAKAPRPTWCSFSSMVSAVPWPSGRSSWTSLCA
+>DECOY_NM_024527|80073_2_562_842
+ACLSTWSSRGSPWPVASVMSSFSCWTPRPAKAALSASRVTLISPGRPAGPEGGGGVVAVAAAAAQAAPPWAAMAARRIQWSWRWPPRRSGLAM
+>NM_024527|80074_2_622_842
+MAAWPPAAQAAAAAVAVVGGGGEPGAPRGPSILTVRSASLAAKAPRPTWCSFSSMVSAVPWPSGRSSWTSLCA
+>DECOY_NM_024527|80074_2_622_842
+ACLSTWSSRGSPWPVASVMSSFSCWTPRPAKAALSASRVTLISPGRPAGPEGGGGVVAVAAAAAQAAPPWAAM
+>NM_198993|80091_2_943_1265
+MGKAASAALRRGLVTVHLQYSQPQQRVKGQDQRRRVLDSSSPKPPCGRMWGPCTPTLHSTSFCPRRTMIWLCSLEIGSCWWMTLTRTGGRARSATGLASSQLILCNG
+>DECOY_NM_198993|80091_2_943_1265
+GNCLILQSSALGTASRARGGTRTLTMWWCSGIELSCLWIMTRRPCFSTSHLTPTCPGWMRGCPPKPSSSDLVRRRQDQGKVRQQPQSYQLHVTVLGRRLAASAAKGM
+>NM_015939|80123_2_987_1195
+MAHWRKNRLLNKRMKTAWQRPQRATTQKTRKQWKQFLKIQNIRGLKREEAKKIIFRKNRGDKKSRGKDI
+>DECOY_NM_015939|80123_2_987_1195
+IDKGRSKKDGRNKRFIIKKAEERKLGRINQIKLFQKWQKRTKQTTARQPRQWATKMRKNLLRNKRWHAM
+>NM_025251|80150_2_1247_1386
+MATPQTAHLGSAPADPPAASTHPACRPSPRRLTAPSSSQRGGRHPS
+>DECOY_NM_025251|80150_2_1247_1386
+SPHRGGRQSSSPATLRRPSPRCAPHTSAAPPDAPASGLHATQPTAM
+>NM_025251|80151_2_1475_1707
+MAMNPRSTRSPQWSTRPPSTMSPPWTCNSRLAGATRPALPSGRRAVSPGRSSSPTSRAPPRPASSWCSPSRSVPSAS
+>DECOY_NM_025251|80151_2_1475_1707
+SASPVSRSPSCWSSAPRPPARSTPSSSRGPSVARRGSPLAPRTAGALRSNCTWPPSMTSPPRTSWQPSRTSRPNMAM
+>NM_001101421|80174_2_2707_2822
+MVSRSLNMTEKASKHGSGNSFLLRKQLTWWNLPKSSRK
+>DECOY_NM_001101421|80174_2_2707_2822
+KRSSKPLNWWTLQKRLLFSNGSGHKSAKETMNLSRSVM
+>NM_032846|80193_2_511_716
+MDLYSWKLQPKQPAMLKRPSLTQPKKYIGRSSRVYLMSTMRQMASRLGPNSQFQHQWDPVPPSGTLVT
+>DECOY_NM_032846|80193_2_511_716
+TVLTGSPPVPDWQHQFQSNPGLRSAMQRMTSMLYVRSSRGIYKKPQTLSPRKLMAPQKPQLKWSYLDM
+>NM_133264|80213_2_1240_1373
+MVPGMLPLPHHHTECMGQNPRAEESPHLHPQGRQLGHPLLLHRP
+>DECOY_NM_133264|80213_2_1240_1373
+PRHLLLPHGLQRGQPHLHPSEEARPNQGMCETHHHPLPLMGPVM
+>NM_133264|80216_2_1378_1454
+MATEILSPLSGLSWMILSQSIPSIQ
+>DECOY_NM_133264|80216_2_1378_1454
+QISPISQSLIMWSLGSLPSLIETAM
+>NM_024103|80274_2_218_534
+MAAWTCTSCARGWPGWAGATQTPAPNRVSPLRVMLTQMAGSTWRNFPAICRSGNSVCCSCFTVLTGTRMVTLMSLRSNRVSELWAFPSRWSRLRKFCTAWTETAQ
+>DECOY_NM_024103|80274_2_218_534
+QATETWATCFKRLRSWRSPFAWLESVRNSRLSMLTVMRTGTLVTFCSCCVSNGSRCIAPFNRWTSGAMQTLMVRLPSVRNPAPTQTAGAWGPWGRACSTCTWAAM
+>NM_024103|80277_2_422_534
+MVTLMSLRSNRVSELWAFPSRWSRLRKFCTAWTETAQ
+>DECOY_NM_024103|80277_2_422_534
+QATETWATCFKRLRSWRSPFAWLESVRNSRLSMLTVM
+>NM_024103|80279_2_875_1050
+MVLMYSRLPPSQLSSSWPMNRSRGPSWGSRRHCMCRSASWLAPWLVPQPKPSFTLWRC
+>DECOY_NM_024103|80279_2_875_1050
+CRWLTFSPKPQPVLWPALWSASRCMCHRRSGWSPGRSRNMPWSSSLQSPPLRSYMLVM
+>NM_024103|80288_3_552_844
+MARPLPVAFAGKCGGRAVFLEAFHGPGHWRVPDSAGRVLKAREADGHVVETAGGRRSGRCRVTDRHGPSGPPQGLHAGPCLKDQPAEHPWGASKHGP
+>DECOY_NM_024103|80288_3_552_844
+PGHKSAGWPHEAPQDKLCPGAHLGQPPGSPGHRDTVRCRGSRRGGATEVVHGDAERAKLVRGASDPVRWHGPGHFAELFVARGGCKGAFAVPLPRAM
+>NM_023926|80345_2_848_1047
+MECTRGTWTLCCYQASSRAPARPLELGRSRHLLRHPGFLRTPCFWNRGGSEKQRPKRTALPTPSRS
+>DECOY_NM_023926|80345_2_848_1047
+SRSPTPLATRKPRQKESGGRNWFCPTRLFGPHRLLHRSRGLELPRAPARSSAQYCCLTWTGRTCEM
+>NM_023926|80346_2_1526_1683
+MGTSRASRASLAPATAQGWRPGRALGLTSRACPAGSPMPAASAGRPSRGSRT
+>DECOY_NM_023926|80346_2_1526_1683
+TRSGRSPRGASAAPMPSGAPCARSTLGLARGPRWGQATAPALSARSARSTGM
+>NM_018426|80385_2_2330_2484
+MDGPPLLLLSPNLRNTSLRCCRTQRWTGMGMGLLGAQGMSPHHPHPKMRSC
+>DECOY_NM_018426|80385_2_2330_2484
+CSRMKPHPHHPSMGQAGLLGMGMGTWRQTRCCRLSTNRLNPSLLLLPPGDM
+>NM_012360|80405_3_443_585
+MGGCQPECPSAHPADGSTLILCRQCHHSLLLRCDSPTETLLLRHTPQ
+>DECOY_NM_012360|80405_3_443_585
+QPTHRLLLTETPSDCRLLLSHHCQRCLILTSGDAPHASPCEPQCGGM
+>NM_033413|80475_2_350_441
+MGNCQRRCFTLLMNCRLSAWTGRGLLLSGT
+>DECOY_NM_033413|80475_2_350_441
+TGSLLLGRGTWASLRCNMLLTFCRRQCNGM
+>NM_030634|80659_3_461_546
+METSGRCTEGPLPGCYAGELWKCCLTRF
+>DECOY_NM_030634|80659_3_461_546
+FRTLCCKWLEGAYCGPLPGETCRGSTEM
+>NM_012482|80685_2_726_1078
+MALRSQSRTLMSKRQKGQSQNLRESKPRGSQVHLPNLLWLEMEKVPSSPQVRNLISVITVVLLSEAPITCGDMSSFIQEKDLSSAASVVWVSFRNTYYRDMRKFIVERSHLDVISAA
+>DECOY_NM_012482|80685_2_726_1078
+AASIVDLHSREVIFKRMDRYYTNRFSVWVVSAASSLDKEQIFSSMDGCTIPAESLLVVTIVSILNRVQPSSPVKEMELWLLNPLHVQSGRPKSERLNQSQGKQRKSMLTRSQSRLAM
+>NM_012482|80687_2_849_1078
+MEKVPSSPQVRNLISVITVVLLSEAPITCGDMSSFIQEKDLSSAASVVWVSFRNTYYRDMRKFIVERSHLDVISAA
+>DECOY_NM_012482|80687_2_849_1078
+AASIVDLHSREVIFKRMDRYYTNRFSVWVVSAASSLDKEQIFSSMDGCTIPAESLLVVTIVSILNRVQPSSPVKEM
+>NM_152436|80707_2_450_601
+MAVALETVLIIFSLFGTTLTKLVVLLLHVQKLDILYMQQFSYATMRQEEH
+>DECOY_NM_152436|80707_2_450_601
+HEEQRMTAYSFQQMYLIDLKQVHLLLVVLKTLTTGFLSFIILVTELAVAM
+>NM_006801|80720_2_454_608
+MGTMTRSEWSSWSFPQPFWRSWSIMTSPLWRSSGPSPSTWSQWPSCRSCSW
+>DECOY_NM_006801|80720_2_454_608
+WSCSRCSPWQSWTSPSPGSSRWLPSTMISWSRWFPQPFSWSSWESRTMTGM
+>NM_174902|80747_2_152_516
+MDGASRAPGSVTGCLTASTRVMRRSAPRLSRNVAQPSSPVPAASIASLVASGAMGLRTVPMAAMKRTAQQTLCFAPPPATTARTASVLTRASSAMDRITVKTTVMRKAVKVLKNPAVGRCL
+>DECOY_NM_174902|80747_2_152_516
+LCRGVAPNKLVKVAKRMVTTKVTIRDMASSARTLVSATRATTAPPPAFCLTQQATRKMAAMPVTRLGMAGSAVLSAISAAPVPSSPQAVNRSLRPASRRMVRTSATLCGTVSGPARSAGDM
+>NM_174902|80749_2_311_516
+MGLRTVPMAAMKRTAQQTLCFAPPPATTARTASVLTRASSAMDRITVKTTVMRKAVKVLKNPAVGRCL
+>DECOY_NM_174902|80749_2_311_516
+LCRGVAPNKLVKVAKRMVTTKVTIRDMASSARTLVSATRATTAPPPAFCLTQQATRKMAAMPVTRLGM
+>NM_174902|80752_2_434_516
+MDRITVKTTVMRKAVKVLKNPAVGRCL
+>DECOY_NM_174902|80752_2_434_516
+LCRGVAPNKLVKVAKRMVTTKVTIRDM
+>NM_032704|80831_2_1025_1233
+MVNTWLAACYTVVTWFPKMSMLPLPPSKPSVPSSLWIGAPLASRLALITSLPLWCLAETWPRYRELCAC
+>DECOY_NM_032704|80831_2_1025_1233
+CACLERYRPWTEALCWLPLSTILALRSALPAGIWLSSPVSPKSPPLPLMSMKPFWTVVTYCAALWTNVM
+>NM_032498|80858_2_404_702
+MAAEKKKMAAAPEFLATYGKETSRAPAAAMATLRTATRARRNLGSSIRAHRAPSGGWSLATRSSPTSTPSPHCSCRSWSAFSNASSSPVSSCEGGWQEA
+>DECOY_NM_032498|80858_2_404_702
+AEQWGGECSSVPSSSANSFASWSRCSCHPSPTSTPSSRTALSWGGSPARHARISSGLNRRARTATRLTAMAAAPARSTEKGYTALFEPAAAMKKKEAAM
+>NM_032498|80859_2_425_702
+MAAAPEFLATYGKETSRAPAAAMATLRTATRARRNLGSSIRAHRAPSGGWSLATRSSPTSTPSPHCSCRSWSAFSNASSSPVSSCEGGWQEA
+>DECOY_NM_032498|80859_2_425_702
+AEQWGGECSSVPSSSANSFASWSRCSCHPSPTSTPSSRTALSWGGSPARHARISSGLNRRARTATRLTAMAAAPARSTEKGYTALFEPAAAM
+>NM_032498|80860_2_491_702
+MATLRTATRARRNLGSSIRAHRAPSGGWSLATRSSPTSTPSPHCSCRSWSAFSNASSSPVSSCEGGWQEA
+>DECOY_NM_032498|80860_2_491_702
+AEQWGGECSSVPSSSANSFASWSRCSCHPSPTSTPSSRTALSWGGSPARHARISSGLNRRARTATRLTAM
+>NM_198402|80899_2_87_193
+MGAAVAGPGPGTPAARGRRRARGPWPRRTWSSTMW
+>DECOY_NM_198402|80899_2_87_193
+WMTSSWTRRPWPGRARRRGRAAPTGPGPGAVAAGM
+>NM_175861|80939_2_886_1082
+MGPSVHAAHSSPGAPSPPHCQAILTGRMGSSSGSLTKELGVAATLHCHQNPRAVDSQCPHELCGP
+>DECOY_NM_175861|80939_2_886_1082
+PGCLEHPCQSDVARPNQHCHLTAAVGLEKTLSGSSSGMRGTLIAQCHPPSPAGPSSHAAHVSPGM
+>NM_175861|80940_2_967_1082
+MGSSSGSLTKELGVAATLHCHQNPRAVDSQCPHELCGP
+>DECOY_NM_175861|80940_2_967_1082
+PGCLEHPCQSDVARPNQHCHLTAAVGLEKTLSGSSSGM
+>NM_016170|81028_2_453_562
+MGRMGRSRVDTTEPRATVPPAHLPRCPAAPEWAQAA
+>DECOY_NM_016170|81028_2_453_562
+AAQAWEPAAPCRPLHAPPVTARPETTDVRSRGMRGM
+>NM_016170|81029_2_462_562
+MGRSRVDTTEPRATVPPAHLPRCPAAPEWAQAA
+>DECOY_NM_016170|81029_2_462_562
+AAQAWEPAAPCRPLHAPPVTARPETTDVRSRGM
+>NM_014630|81069_2_2152_2294
+MAGGASTLRYCAHCAPRRCSSSTSAACSGTPVTTRARGSSCSVPSCW
+>DECOY_NM_014630|81069_2_2152_2294
+WCSPVSCSSGRARTTVPTGSCAASTSSSCRRPACHACYRLTSAGGAM
+>NM_014630|81073_2_3664_3869
+MAQLSLPPKGTSPFFSARNVVLPQTRGSSFRATYLSTRWTAPQPNVSSVVCATPLPAPSAATSSLSTR
+>DECOY_NM_014630|81073_2_3664_3869
+RTSLSSTAASPAPLPTACVVSSVNPQPATWRTSLYTARFSSGRTQPLVVNRASFFPSTGKPPLSLQAM
+>NM_021623|81123_2_730_851
+MGRKGVSPGPTPSFEGLRVTSPRQAAVLPLGLPSLRVVTA
+>DECOY_NM_021623|81123_2_730_851
+ATVVRLSPLGLPLVAAQRPSTVRLGEFSPTPGPSVGKRGM
+>NM_001012339|81195_2_1068_1213
+MDRMKMKWKNMNSKMRRMVKTVMRPRTLSSMMTFTAQHVTNRSRQKRP
+>DECOY_NM_001012339|81195_2_1068_1213
+PRKQRSRNTVHQATFTMMSSLTRPRMVTKVMRRMKSNMNKWKMKMRDM
+>NM_001012339|81200_2_1119_1213
+MVKTVMRPRTLSSMMTFTAQHVTNRSRQKRP
+>DECOY_NM_001012339|81200_2_1119_1213
+PRKQRSRNTVHQATFTMMSSLTRPRMVTKVM
+>NM_020834|81236_2_422_504
+MGCRWRKSRLGLWPSASAVVLAGHLKK
+>DECOY_NM_020834|81236_2_422_504
+KKLHGALVVASASPWLGLRSKRWRCGM
+>NM_002508|81254_2_697_938
+MVCSSIRHSQRRKTTKFLPWLHSVKVQWDSYGRATELITYLLMTGNQLKIWPRVVTLGSRVSGCLRLGVQPPPMAWCLQT
+>DECOY_NM_002508|81254_2_697_938
+TQLCWAMPPPQVGLRLCGSVRSGLTVVRPWIKLQNGTMLLYTILETARGYSDWQVKVSHLWPLFKTTKRRQSHRISSCVM
+>NM_002508|81256_2_955_1055
+MGQSMMMRMKIMTWRPLVWAWRMWAPRPSPTRL
+>DECOY_NM_002508|81256_2_955_1055
+LRTPSPRPAWMRWAWVLPRWTMIKMRMMMSQGM
+>NM_002508|81263_2_1891_2081
+MGHLLHASTLTSGARPSPSRNASTMTPGQPCPAPSSSRWTACSSCTTRRRRSCAMLSATPLGL
+>DECOY_NM_002508|81263_2_1891_2081
+LGLPTASLMACSRRRRTTCSSCATWRSSSPAPCPQGPTMTSANRSPSPRAGSTLTSAHLLHGM
+>NM_014519|81295_2_1319_1446
+MAQSPRTKDHCHNHPLLKWNHRCSQKNLLLTPLHLKLPLRVP
+>DECOY_NM_014519|81295_2_1319_1446
+PVRLPLKLHLPTLLLNKQSCRHNWKLLPHNHCHDKTRPSQAM
+>NM_172229|81304_2_921_1360
+MGGWASMKCRWAPARGTGQRLRASSTPRTSRTSTGRTGTAAGPWARQAPRWSSPSASSSWPTRATGWSCATRLRAACSAPSMAPAHRRPGRCAWALPRCCSPSEATRAATRKASRSPTAGCRTPLRTQRPPRARPRPPRRPSTGPT
+>DECOY_NM_172229|81304_2_921_1360
+TPGTSPRRPPRPRARPPRQTRLPTRCGATPSRSAKRTAARTAESPSCCRPLAWACRGPRRHAPAMSPASCAARLRTACSWGTARTPWSSSASPSSWRPAQRAWPGAATGTRGTSTRSTRPTSSARLRQGTGRAPAWRCKMSAWGGM
+>NM_001563|81332_2_1013_1203
+MAQAPQRCNLRPSLRDTVQKQKALQVTSCLLIPTKLKVRKSIMEPWRRTSNQKSISQLQTSKG
+>DECOY_NM_001563|81332_2_1013_1203
+GKSTQLQSISKQNSTRRWPEMISKRVKLKTPILLCSTVQLAKQKQVTDRLSPRLNCRQPAQAM
+>NM_001563|81335_2_1409_1506
+MVYLTLLGLHLLWPLPPCQKLHLSLWHQASSL
+>DECOY_NM_001563|81335_2_1409_1506
+LSSAQHWLSLHLKQCPPLPWLLHLGLLTLYVM
+>NM_001010939|81470_2_471_610
+MVCLHLPAAGFPIFPTIVWASFWQMLVMMCGWEIAEEIPGPGNTCT
+>DECOY_NM_001010939|81470_2_471_610
+TCTNGPGPIEEAIEWGCMMVLMQWFSAWVITPFIPFGAAPLHLCVM
+>NM_001010939|81472_2_1236_1339
+MVKVTCWLTLKTLTFYILKSQTTFIIKLFLTTII
+>DECOY_NM_001010939|81472_2_1236_1339
+IITTLFLKIIFTTQSKLIYFTLTKLTLWCTVKVM
+>NM_018163|81530_2_612_736
+MVRFSTWCFPVRSQALLWWSLQPSRQRSWLSRMKLAWWITL
+>DECOY_NM_018163|81530_2_612_736
+LTIWWALKMRSLWSRQRSPQLSWWLLAQSRVPFCWTSFRVM
+>NM_152478|81584_2_1196_1293
+MVHFLLSIREFIQERNLMCVMCVGKPLAIVDT
+>DECOY_NM_152478|81584_2_1196_1293
+TDVIALPKGVCMVCMLNREQIFERISLLFHVM
+>NM_152478|81592_3_249_343
+MGMAEPCSEEFVQESDVGELQELGIIGSFCF
+>DECOY_NM_152478|81592_3_249_343
+FCFSGIIGLEQLEGVDSEQVFEESCPEAMGM
+>NM_152478|81593_3_255_343
+MAEPCSEEFVQESDVGELQELGIIGSFCF
+>DECOY_NM_152478|81593_3_255_343
+FCFSGIIGLEQLEGVDSEQVFEESCPEAM
+>NM_001005212|81612_2_578_723
+MGQLYLTHAVLLSSFCSPSLVPSTATSWRSWPMTATWLCASPCFMSPS
+>DECOY_NM_001005212|81612_2_578_723
+SPSMFCPSACLWTATMPWSRWSTATSPVLSPSCFSSLLVAHTLYLQGM
+>NM_024893|81662_2_742_1055
+MVWCLFTQRPSTRATGWGPAQCRPAWTAAGVSRCSSCWTPTPCSSQWSPATGPTSSSIQRACCAPGGTVWPPTAARPPSSRTGRPPKTASSTRMGSSLTSQLMT
+>DECOY_NM_024893|81662_2_742_1055
+TMLQSTLSSGMRTSSATKPPRGTRSSPPRAATPPWVTGGPACCARQISSSTPGTAPSWQSSCPTPTWCSSCRSVGAATWAPRCQAPGWGTARTSPRQTFLCWVM
+>NM_198484|81715_2_1443_1588
+MEVLFSIRNCTLWRRSQSRSLGHPWSVPSAPLQPYLLFFSRDPVLLQP
+>DECOY_NM_198484|81715_2_1443_1588
+PQLLVPDRSFFLLYPQLPASPVSWPHGLSRSQSRRWLTCNRISFLVEM
+>NM_015428|81784_2_1167_1432
+MGQLLVRVHTCGIRKLTLEKNHVRVKIVTTHPVMTHSLVSIRKLTQIVSPTTVTNAARLLPGSSTLLGTRRSTLGNAMSVPSARRPST
+>DECOY_NM_015428|81784_2_1167_1432
+TSPRRASPVSMANGLTSRRTGLLTSSGPLLRAANTVTTPSVIQTLKRISVLSHTMVPHTTVIKVRVHNKELTLKRIGCTHVRVLLQGM
+>NM_001006657|81858_2_748_821
+MAQKATWSLIALALLFALIMEDAK
+>DECOY_NM_001006657|81858_2_748_821
+KADEMILAFLLALAILSWTAKQAM
+>NM_174962|81881_2_490_611
+MGNSCAPRENQLPLRRLTRHLDPKGGNMPGPTDCVRESSW
+>DECOY_NM_174962|81881_2_490_611
+WSSERVCDTPGPMNGGKPDLHRTLRRLPLQNERPACSNGM
+>NM_015254|81938_2_1641_1744
+MGTGYYGETIISSDSICLKRKRKQNERMRTRIPP
+>DECOY_NM_015254|81938_2_1641_1744
+PPIRTRMRENQKRKRKLCISDSSIITEGYYGTGM
+>NM_152787|81983_2_925_1013
+MEPNLMVVEHWYIAQVMDILILSMQQVNS
+>DECOY_NM_152787|81983_2_925_1013
+SNVQQMSLILIDMVQAIYWHEVVMLNPEM
+>NM_019120|82026_2_2128_2405
+MASLRARPPPRCTCSWWTASPSPTCRFRRLPQPRARPTLSPSTWWWRWPRCLRSSSSRCSCSWRCCCVGGAGRPRWVAAQCLRAPFQGIWWT
+>DECOY_NM_019120|82026_2_2128_2405
+TWWIGQFPARLCQAAVWRPRGAGGVCCCRWSCSCRSSSSRLCRPWRWWWTSPSLTPRARPQPLRRFRCTPSPSATWWSCTCRPPPRARLSAM
+>NM_030818|82036_2_275_483
+MALSTDTTTATRFGSGLGSCHRASSSSDSKCHITSGAMAARTTSAWVFVTMQKRRRWAITTQPRSTGSG
+>DECOY_NM_030818|82036_2_275_483
+GSGTSRPQTTIAWRRRKQMTVFVWASTTRAAMAGSTIHCKSDSSSSARHCSGLGSGFRTATTTDTSLAM
+>NM_030818|82037_2_386_483
+MAARTTSAWVFVTMQKRRRWAITTQPRSTGSG
+>DECOY_NM_030818|82037_2_386_483
+GSGTSRPQTTIAWRRRKQMTVFVWASTTRAAM
+>NM_005724|82049_2_596_816
+MEPTLMLLAGLLIMYRDSCIVVEFTTTQTGKIQIGSKKPKTRVSLLAAAERLPAIVMAAWPTLPTSMLRGVRL
+>DECOY_NM_005724|82049_2_596_816
+LRVGRLMSTPLTPWAAMVIAPLREAAALLSVRTKPKKSGIQIKGTQTTTFEVVICSDRYMILLGALLMLTPEM
+>NM_022122|82088_2_633_721
+MEQDSTCFLWLLMNLVMHWGSLTPMIKQP
+>DECOY_NM_022122|82088_2_633_721
+PQKIMPTLSGWHMVLNMLLWLFCTSDQEM
+>NM_173573|82110_2_313_422
+MARTPGSATSWKRWRGFRPRGAPTVRRNSCRTRSRS
+>DECOY_NM_173573|82110_2_313_422
+SRSRTRCSNRRVTPAGRPRFGRWRKWSTASGPTRAM
+>NM_001005329|82164_2_130_251
+MAPFFLSSRQSPPCMSPCTIFFPCWLCQTWVCLYHLCPLC
+>DECOY_NM_001005329|82164_2_130_251
+CLPCLHYLCVWTQCLWCPFFITCPSMCPPSQRSSLFFPAM
+>NM_018167|82182_2_435_628
+MVANQSCIALTMAMRNHKTKKREPLVLPPSKRSLLSVGNLIGLPIMPSRCENSSLGGMLEMSMH
+>DECOY_NM_018167|82182_2_435_628
+HMSMELMGGLSSNECRSPMIPLGILNGVSLLSRKSPPLVLPERKKTKHNRMAMTLAICSQNAVM
+>NM_018167|82183_2_468_628
+MAMRNHKTKKREPLVLPPSKRSLLSVGNLIGLPIMPSRCENSSLGGMLEMSMH
+>DECOY_NM_018167|82183_2_468_628
+HMSMELMGGLSSNECRSPMIPLGILNGVSLLSRKSPPLVLPERKKTKHNRMAM
+>NM_213604|82198_2_579_901
+MAALSWAPRRPTSGCPSMGRPTSATSTAWLRGTPSTTASAASWTAPPAARVPRGSAWLAAALAPAVMGCWARVPSRTAVAAAEAPTTRAFSCSACFVTPVPSLGTGT
+>DECOY_NM_213604|82198_2_579_901
+TGTGLSPVPTVFCASCSFARTTPAEAAAVATRSPVRAWCGMVAPALAAALWASGRPVRAAPPATWSAASATTSPTGRLWATSTASTPRGMSPCGSTPRRPAWSLAAM
+>NM_213604|82200_2_777_901
+MGCWARVPSRTAVAAAEAPTTRAFSCSACFVTPVPSLGTGT
+>DECOY_NM_213604|82200_2_777_901
+TGTGLSPVPTVFCASCSFARTTPAEAAAVATRSPVRAWCGM
+>NM_213604|82201_2_978_1231
+MGATCLMGTGWSAHQGPTRRPARMWSTPETQGPRRHCKQPGPPPMTCSYRSSCRSPTLASSLSSGSLGSATAPSRLVCRPWAGP
+>DECOY_NM_213604|82201_2_978_1231
+PGAWPRCVLRSPATASGLSGSSLSSALTPSRCSSRYSCTMPPPGPQKCHRRPGQTEPTSWMRAPRRTPGQHASWGTGMLCTAGM
+>NM_006612|82260_2_1584_1678
+MGSWSRHSPPTRSPRLGLRKPWRGCRRQRRL
+>DECOY_NM_006612|82260_2_1584_1678
+LRRQRRCGRWPKRLGLRPSRTPPSHRSWSGM
+>NM_000412|82268_2_153_229
+MATFSNCCGLLMPTWTEWKIQLYIT
+>DECOY_NM_000412|82268_2_153_229
+TIYLQIKWETWTPMLLGCCNSFTAM
+>NM_000412|82274_2_906_1336
+MDPHLLQMKEITHMDPHFHKALLHYCPCPAQVVNMPLLAQMGPKDILIIIIPVTSIPISIIPMNSIPTDTIPMHTILMNMIPIDSIPMDTTPMDTILMDTTPMDTIPMDTIPTAMISKTMDLVTHHPITKVTVAMATAHHLGT
+>DECOY_NM_000412|82274_2_906_1336
+TGLHHATAMAVTVKTIPHHTVLDMTKSIMATPITDMPITDMPTTDMLITDMPTTDMPISDIPIMNMLITHMPITDTPISNMPIISIPISTVPIIIILIDKPGMQALLPMNVVQAPCPCYHLLAKHFHPDMHTIEKMQLLHPDM
+>NM_000412|82289_2_1263_1336
+MDLVTHHPITKVTVAMATAHHLGT
+>DECOY_NM_000412|82289_2_1263_1336
+TGLHHATAMAVTVKTIPHHTVLDM
+>NM_145207|82356_2_119_210
+MVRPCPLLLPLVRRHGLLLLDQTSRQPPGL
+>DECOY_NM_145207|82356_2_119_210
+LGPPQRSTQDLLLLGHRRVLPLLLPCPRVM
+>NM_203458|82388_2_389_600
+MAQDTANVQKASWGNIVNIETPVRRTAARMVGLVWPRPCWGKPRADVPQGLQERTASTRHLIHALCLDLA
+>DECOY_NM_203458|82388_2_389_600
+ALDLCLAHILHRTSATREQLGQPVDARPKGWCPRPWVLGVMRAATRRVPTEINVINGWSAKQVNATDQAM
+>NM_203458|82389_2_476_600
+MVGLVWPRPCWGKPRADVPQGLQERTASTRHLIHALCLDLA
+>DECOY_NM_203458|82389_2_476_600
+ALDLCLAHILHRTSATREQLGQPVDARPKGWCPRPWVLGVM
+>NM_203458|82396_2_833_1011
+MVAPASTCLVPTSASAFRASQASTVTACMCPVHPRLVSMEAPVGRLVTSLLSATAFQKQ
+>DECOY_NM_203458|82396_2_833_1011
+QKQFATASLLSTVLRGVPAEMSVLRPHVPCMCATVTSAQSARFASASTPVLCTSAPAVM
+>NM_001069|82498_2_168_445
+MGSTPQAVTMETVTCSWRESTCTTMRLLVTNMYLGPSWWIWSLAPWTLSGLDPSARSSDQTTSCSARVEPGITGPRATTQREPSWSTRSWMW
+>DECOY_NM_001069|82498_2_168_445
+WMWSRTSWSPERQTTARPGTIGPEVRASCSTTQDSSRASPDLGSLTWPALSWIWWSPGLYMNTVLLRMTTCTSERWSCTVTEMTVAQPTSGM
+>NM_001069|82499_2_195_445
+METVTCSWRESTCTTMRLLVTNMYLGPSWWIWSLAPWTLSGLDPSARSSDQTTSCSARVEPGITGPRATTQREPSWSTRSWMW
+>DECOY_NM_001069|82499_2_195_445
+WMWSRTSWSPERQTTARPGTIGPEVRASCSTTQDSSRASPDLGSLTWPALSWIWWSPGLYMNTVLLRMTTCTSERWSCTVTEM
+>NM_033142|82508_3_418_719
+MGIQGDASATVPPHQCHPGCGEGGLPRVHHRQHHHLCRLLPHHDPRAAGGPAGPASGGVQLPRCALRVHPAPWLPARREPRGLLRRGSQLSMCTLPPQHH
+>DECOY_NM_033142|82508_3_418_719
+HHQPPLTCMSLQSGRRLLGRPERRAPLWPAPHVRLACRPLQVGGSAPGAPGGAARPDHHPLLRCLHHHQRHHVRPLGGEGCGPHCQHPPVTASADGQIGM
+>NM_152743|82528_2_469_695
+MVRSTPSSPCRETPACLWPRRPVSSWCTSWLCPCEVEPRGSPACRGVTGPRVPRRSWITLKSPCAPRPPPRSLRP
+>DECOY_NM_152743|82528_2_469_695
+PRLSRPPPRPACPSKLTIWSRRPVRPGTVGRCAPSGRPEVECPCLWSTCWSSVPRRPWLCAPTERCPSSPTSRVM
+>NM_001040283|82587_2_225_367
+MVSPPISRATSASASSRLASVTNVSSSWSAAGSWLPRWPCVGSRRRS
+>DECOY_NM_001040283|82587_2_225_367
+SRRRSGVCPWRPLWSGAASWSSSVNTVSALRSSASASTARSIPPSVM
+>NM_024659|82690_2_608_708
+MDTTKFFHAWWLMWLYSTQFLIWNHFSLPWENL
+>DECOY_NM_024659|82690_2_608_708
+LNEWPLSFHNWILFQTSYLWMLWWAHFFKTTDM
+>NM_145306|82702_2_285_385
+MVLPQGVLAPASSRQVPGWVLLSPPSMTSTGSW
+>DECOY_NM_145306|82702_2_285_385
+WSGTSTMSPPSLLVWGPVQRSSAPALVGQPLVM
+>NM_024825|82791_2_455_744
+MAWTFECSRTTSPEPLSTSPCRTTSSRNSPTMSCPASVACEPSTSTTTSSPPKACLTRPSSPSPSCSTSAWLTTSSQWPLSFCPGPSVSRIWLPTK
+>DECOY_NM_024825|82791_2_455_744
+KTPLWIRSVSPGPCFSLPWQSSTTLWASTSCSPSPSSPRTLCAKPPSSTTTSTSPECAVSAPCSMTPSNRSSTTRCPSTSLPEPSTTRSCEFTWAM
+>NM_024825|82794_2_1313_1425
+MGWTACLQPCPAACVPWCCPTTTWPRWVPVTWSPHRA
+>DECOY_NM_024825|82794_2_1313_1425
+ARHPSWTVPVWRPWTTTPCCWPVCAAPCPQLCATWGM
+>NM_032840|82813_2_385_653
+MASCTMAEPRAASLGQSATPGTGLAVALSLCPLMCRPPRSSSPKMGSGWALPSCPCPQMDCSQQWACTPWVRRCGCTSTLSWAVRTTAS
+>DECOY_NM_032840|82813_2_385_653
+SATTRVAWSLTSTCGCRRVWPTCAWQQSCDMQPCPCSPLAWGSGMKPSSSRPPRCMLPCLSLAVALGTGPTASQGLSAARPEAMTCSAM
+>NM_032840|82814_2_400_653
+MAEPRAASLGQSATPGTGLAVALSLCPLMCRPPRSSSPKMGSGWALPSCPCPQMDCSQQWACTPWVRRCGCTSTLSWAVRTTAS
+>DECOY_NM_032840|82814_2_400_653
+SATTRVAWSLTSTCGCRRVWPTCAWQQSCDMQPCPCSPLAWGSGMKPSSSRPPRCMLPCLSLAVALGTGPTASQGLSAARPEAM
+>NM_032840|82816_2_517_653
+MGSGWALPSCPCPQMDCSQQWACTPWVRRCGCTSTLSWAVRTTAS
+>DECOY_NM_032840|82816_2_517_653
+SATTRVAWSLTSTCGCRRVWPTCAWQQSCDMQPCPCSPLAWGSGM
+>NM_032840|82820_2_925_1070
+MGRSSMAVVWGTPLGHAVTKGTSWAVESCSPGTTFWTVRGTVMTVVTQ
+>DECOY_NM_032840|82820_2_925_1070
+QTVVTMVTGRVTWFTTGPSCSEVAWSTGKTVAHGLPTGWVVAMSSRGM
+>NM_033057|82874_2_280_371
+MVAVWPSFSFSWPWVPQNVFSWPSCALIGL
+>DECOY_NM_033057|82874_2_280_371
+LGILACSPWSFVNQPVWPWSFSFSPWVAVM
+>NM_033057|82876_3_62_189
+MARDSTLCDVSVFLYLDNLWQSDNNSCVTCGFQTPHPYVLFS
+>DECOY_NM_033057|82876_3_62_189
+SFLVYPHPTQFGCTVCSNNDSQWLNDLYLFVSVDCLTSDRAM
+>NM_017420|82979_2_1441_1562
+MALSRSPIPEQTASSLMGALDSLHCSCPLCQWQLHKVISQ
+>DECOY_NM_017420|82979_2_1441_1562
+QSIVKHLQWQCLPCSCHLSDLAGMLSSATQEPIPSRSLAM
+>NM_015911|83003_2_176_261
+MGKRACRMNCKKLIQKSHGRKSLSGLES
+>DECOY_NM_015911|83003_2_176_261
+SELGSLSKRGHSKQILKKCNMRCARKGM
+>NM_033386|83028_2_697_1103
+MGLRRAPLCVQNTVPGWARGHGRGPGLGPSHSQSSSTSSNSQKMPRMFQEAAPAPVLLQGLRPMDPRPALRPGRRSLPSPGFLANYRSWPAPLRAAPPLPPGRPLRAPPQHPPRPGPAPVCSRRTWWSRLAAAAW
+>DECOY_NM_033386|83028_2_697_1103
+WAAAALRSWWTRRSCVPAPGPRPPHQPPARLPRGPPLPPAARLPAPWSRYNALFGPSPLSRRGPRLAPRPDMPRLGQLLVPAPAAEQFMRPMKQSNSSTSSSQSHSPGLGPGRGHGRAWGPVTNQVCLPARRLGM
+>NM_033386|83032_2_1294_1781
+MEAPRRWPSRAQRPAWSPNPITPLRRRRRTRRKRLQLHPAWPPALPWATRSPHPSPCTPGTASPLPAAPRQRSALPRAHPARPHWLSTPPASRTRSRPRPHHRQRSAWRACRLRAPARLQVQSFWSRQLCPRAPQSLLSMPLVPLETLSASLPTPPWPPLGN
+>DECOY_NM_033386|83032_2_1294_1781
+NGLPPWPPTPLSASLTELPVLPMSLLSQPARPCLQRSWFSQVQLRAPARLRCARWASRQRHHPRPRSRTRSAPPTSLWHPRAPHARPLASRQRPAAPLPSATGPTCPSPHPSRTAWPLAPPWAPHLQLRKRRTRRRRRLPTIPNPSWAPRQARSPWRRPAEM
+>NM_005286|83049_2_85_179
+MALATMPPSPSHCRSSMCSCPPCTPGSVLWG
+>DECOY_NM_005286|83049_2_85_179
+GWLVSGPTCPPCSCMSSRCHSPSPPMTALAM
+>NM_018654|83059_3_62_435
+MGHHSGVPGHTWHRGHNSATLSISLPHAKDPRLQPVECPPHPAPLPPECPGALRTRFCLHHRAQSTNCPRTLLSLWGSLCSLFLMPLSSCLQSSEAGSGLCLLLLDDNSVHCYWLQSVANHYCH
+>DECOY_NM_018654|83059_3_62_435
+HCYHNAVSQLWYCHVSNDDLLLLCLGSGAESSQLCSSLPMLFLSCLSGWLSLLTRPCNTSQARHHLCFRTRLAGPCEPPLPAPHPPCEVPQLRPDKAHPLSISLTASNHGRHWTHGPVGSHHGM
+>NM_015966|83160_2_505_794
+MVLRQKISSAVTPVKMCGRHIAVEAGPSRTQILLSSAGERASARRCRSRRMKAARCMASWKSIRWPETSTLPLGRASSSPMCTSMTCRALALTTST
+>DECOY_NM_015966|83160_2_505_794
+TSTTLALARCTMSTCMPSSSARGLPLTSTEPWRISKWSAMCRAAKMRRSRCRRASAREGASSLLIQTRSPGAEVAIHRGCMKVPTVASSIKQRLVM
+>NM_022070|83223_2_99_307
+MGFASCLPGSAPCARMTAAPPAPRSTCSSISSSPRTTARAVAWPRRTLVLFLSRLADWYLLIRIILSAK
+>DECOY_NM_022070|83223_2_99_307
+KASLIIRILLYWDALRSLFLVLTRRPWAVARATTRPSSSISSCTSRPAPPAATMRACPASGPLCSAFGM
+>NM_022070|83227_2_1155_1252
+MESPHPSVLPVGKGSAVVSQTFLMLKEACRVK
+>DECOY_NM_022070|83227_2_1155_1252
+KVRCAEKLMLFTQSVVASGKGVPLVSPHPSEM
+>NM_014947|83258_2_1248_1495
+MAVASTPQAVIRLHRSHCLTPRCTHSHLHILPIDRMVYRSIRSVPHTQHHTHSNTASSSPLTPSIPLHINTYSTIRTISIRR
+>DECOY_NM_014947|83258_2_1248_1495
+RRISITRITSYTNIHLPISPTLPSSSATNSHTHHQTHPVSRISRYVMRDIPLIHLHSHTCRPTLCHSRHLRIVAQPTSAVAM
+>NM_001080411|83285_2_580_740
+MDRNHINVNTVKNLSTVSPLFRHMKGLIVEGNSMFVRNAEKHLFPIQTFKDTG
+>DECOY_NM_001080411|83285_2_580_740
+GTDKFTQIPFLHKEANRVFMSNGEVILGKMHRFLPSVTSLNKVTNVNIHNRDM
+>NM_001080411|83301_2_1618_2180
+MGKHSVCPVYFIDMKGLTLEEKPMNASSVADPSTVRAPFDIMEGLTLERNPMNASNVEKPSDLPHSFKFMEGLTLERNLMNVSSVGKPLDLPHTFKCMEGLTLERNPMNVSSVGSLLDVPRDFKCMEGLTLERNRINVSNVGKLLDVPQTFEGMEGLTLERNPINVTNVVKSLDVLHNFKCMEGLTA
+>DECOY_NM_001080411|83301_2_1618_2180
+ATLGEMCKFNHLVDLSKVVNTVNIPNRELTLGEMGEFTQPVDLLKGVNSVNIRNRELTLGEMCKFDRPVDLLSGVSSVNMPNRELTLGEMCKFTHPLDLPKGVSSVNMLNRELTLGEMFKFSHPLDSPKEVNSANMPNRELTLGEMIDFPARVTSPDAVSSANMPKEELTLGKMDIFYVPCVSHKGM
+>NM_001080411|83304_2_1741_2180
+MEGLTLERNPMNASNVEKPSDLPHSFKFMEGLTLERNLMNVSSVGKPLDLPHTFKCMEGLTLERNPMNVSSVGSLLDVPRDFKCMEGLTLERNRINVSNVGKLLDVPQTFEGMEGLTLERNPINVTNVVKSLDVLHNFKCMEGLTA
+>DECOY_NM_001080411|83304_2_1741_2180
+ATLGEMCKFNHLVDLSKVVNTVNIPNRELTLGEMGEFTQPVDLLKGVNSVNIRNRELTLGEMCKFDRPVDLLSGVSSVNMPNRELTLGEMCKFTHPLDLPKGVSSVNMLNRELTLGEMFKFSHPLDSPKEVNSANMPNRELTLGEM
+>NM_001080411|83311_2_2077_2180
+MEGLTLERNPINVTNVVKSLDVLHNFKCMEGLTA
+>DECOY_NM_001080411|83311_2_2077_2180
+ATLGEMCKFNHLVDLSKVVNTVNIPNRELTLGEM
+>NM_001080411|83312_3_317_393
+METPEHICRVRKSKEKPKNCGRETL
+>DECOY_NM_001080411|83312_3_317_393
+LTERGCNKPKEKSKRVRCIHEPTEM
+>NM_001005188|83326_2_118_200
+MGLLLPLCGLSPGYKFQCTSSFVTCLS
+>DECOY_NM_001005188|83326_2_118_200
+SLCTVFSSTCQFKYGPSLGCLPLLLGM
+>NM_024508|83391_2_1228_1457
+MVRLGSARIQGPTGPSSPQALRVTGVGSWSRWAPWLCGPAKGKRRCLGGKGQWNGGRGLWKKGSEPWRRWKGPSWR
+>DECOY_NM_024508|83391_2_1228_1457
+RWSPGKWRRWPESGKKWLGRGGNWQGKGGLCRRKGKAPGCLWPAWRSWSGVGTVRLAQPSSPGTPGQIRASGLRVM
+>NM_024508|83394_3_1055_1290
+MGIFPPSSCSCWAPSQPVCHLPPVWQAGEPWPWGQRGHHCTVEASEKHAQRGAGEEWPWSGWAAPGSKAPRAPAPHRH
+>DECOY_NM_024508|83394_3_1055_1290
+HRHPAPARPAKSGPAAWGSWPWEEGAGRQAHKESAEVTCHHGRQGWPWPEGAQWVPPLHCVPQSPAWCSCSSPPFIGM
+>NM_024508|83395_3_1388_1476
+MAGEGCGKKGASPGGGGKGHPGDEVEGEG
+>DECOY_NM_024508|83395_3_1388_1476
+GEGEVEDGPHGKGGGGPSAGKKGCGEGAM
+>NM_007076|83460_3_193_452
+MGLGLEPLPLGDAAEHGAGVPAGPAAAAGGCGGAVLGCAQRPLPAQEQTGQGAACRHQVHQPVHGAQHHLQGRDAAGGQDQGLSSG
+>DECOY_NM_007076|83460_3_193_452
+GSSLGQDQGGAADRGQLHHQAGHVPQHVQHRCAAGQGTQEQAPLPRQACGLVAGGCGGAAAAPGAPVGAGHEAADGLPLPELGLGM
+>NM_173849|83465_2_307_563
+MAPSTRAPWPPAARASRPRSAAPASATTTTSTGSCTCRRRPWARPAAGPCRRWAPSSAPASRRPQATRAPVRCWCPRYRTRCCPT
+>DECOY_NM_173849|83465_2_307_563
+TPCCRTRYRPCWCRVPARTAQPRRSAPASSPAWRRCPGAAPRAWPRRRCTCSGTSTTTTASAPAASRPRSARAAPPWPARTSPAM
+>NM_173860|83467_2_184_320
+MATRSPTSAAQCLSTLPSAARASWRAWRTARVTTASRAPRVAAGA
+>DECOY_NM_173860|83467_2_184_320
+AGAAVRPARSATTVRATRWARWSARAASPLTSLCQAASTPSRTAM
+>NM_006344|83651_2_367_512
+MGHFLSSPSCSVSALGPAISCCPWASASCCWSSSVWLDSKIPNFRGTW
+>DECOY_NM_006344|83651_2_367_512
+WTGRFNPIKSDLWVSSSWCCSASAWPCCSIAPGLASVSCSPSSLFHGM
+>NM_006344|83652_2_739_863
+MVRKPPLKGPAALSTGWSTKTAATGSLTLGCPGPRLRSTAS
+>DECOY_NM_006344|83652_2_739_863
+SATSRLRPGPCGLTLSGTAATKTSWGTSLAAPGKLPPKRVM
+>NM_080687|83712_3_1098_1198
+MGERTWPRQREEGEPGQRGSGGGHGETGKSAEV
+>DECOY_NM_080687|83712_3_1098_1198
+VEASKGTEGHGGGSGRQGPEGEERQRPWTREGM
+>NM_001004742|83792_3_59_159
+MASSLLHHLSCGLHHHHGGQYRHDGVNQGQSSA
+>DECOY_NM_001004742|83792_3_59_159
+ASSQGQNVGDHRYQGGHHHHLGCSLHHLLSSAM
+>NM_017596|83878_2_1551_1630
+MALRAIVICSERMPCYRRRMGPCGCG
+>DECOY_NM_017596|83878_2_1551_1630
+GCGCPGMRRRYCPMRESCIVIARLAM
+>NM_017596|83889_2_4791_4870
+MASSVSPSRETSCSVAPEITASRSGT
+>DECOY_NM_017596|83889_2_4791_4870
+TGSRSATIEPAVSCSTERSPSVSSAM
+>NM_003437|83923_2_503_579
+MERSQIHVTSVGNPSVLTTPFEHMR
+>DECOY_NM_003437|83923_2_503_579
+RMHEFPTTLVSPNGVSTVHIQSREM
+>NM_001102598|83959_2_371_450
+MARSSPFSLSSGRTQGLTNVKLEMPF
+>DECOY_NM_001102598|83959_2_371_450
+FPMELKVNTLGQTRGSSLSFPSSRAM
+>NM_197941|84019_2_1364_1476
+MATLMLFTKSLPFNNDICMITLIVGFRISQEVANLGG
+>DECOY_NM_197941|84019_2_1364_1476
+GGLNAVEQSIRFGVILTIMCIDNNFPLSKTFLMLTAM
+>NM_197941|84023_2_1826_2046
+METPFQKMGLPTTIMQFLLLDMISALIKISPVEHWAWPLWLECVSLKGAAALMKTLAWVQLLPLHMRLVTILV
+>DECOY_NM_197941|84023_2_1826_2046
+VLITVLRMHLPLLQVWALTKMLAAAGKLSVCELWLPWAWHEVPSIKILASIMDLLLFQMITTPLGMKQFPTEM
+>NM_197941|84024_2_1847_2046
+MGLPTTIMQFLLLDMISALIKISPVEHWAWPLWLECVSLKGAAALMKTLAWVQLLPLHMRLVTILV
+>DECOY_NM_197941|84024_2_1847_2046
+VLITVLRMHLPLLQVWALTKMLAAAGKLSVCELWLPWAWHEVPSIKILASIMDLLLFQMITTPLGM
+>NM_197941|84028_2_2054_2181
+MELEILVGRKVMKQQNLWQLTLLRIPILFPGLLAVETTSPAF
+>DECOY_NM_197941|84028_2_2054_2181
+FAPSTTEVALLGPFLIPIRLLTLQWLNQQKMVKRGVLIELEM
+>NM_197941|84034_2_2294_2484
+MEQPPANVNMGKCVESSGVSAKATAVSPTVFQQLRGHCVKLGILKKGGVIREIVFLLALGPRA
+>DECOY_NM_197941|84034_2_2294_2484
+ARPGLALLFVIERIVGGKKLIGLKVCHGRLQQFVTPSVATAKASVGSSEVCKGMNVNAPPQEM
+>NM_197941|84035_2_2321_2484
+MGKCVESSGVSAKATAVSPTVFQQLRGHCVKLGILKKGGVIREIVFLLALGPRA
+>DECOY_NM_197941|84035_2_2321_2484
+ARPGLALLFVIERIVGGKKLIGLKVCHGRLQQFVTPSVATAKASVGSSEVCKGM
+>NM_197941|84045_2_3560_3645
+MVGCAQGQCSASGRSDLLRRRRWTTVVV
+>DECOY_NM_197941|84045_2_3560_3645
+VVVTTWRRRRLLDSRGSASCQGQACGVM
+>NM_178863|84061_2_495_577
+MGLCHCRRVRENWGSCWAKHATTWCRA
+>DECOY_NM_178863|84061_2_495_577
+ARCWTTAHKAWCSGWNERVRRCHCLGM
+>NM_018932|84104_2_2128_2405
+MASLRARPPPRCTCSWWTASPSPTCLSRRRPRPRPRPTRSLSTWWWRWPQCRRSSSSRCSCSWRCGCAGGAGRPRSVAARCLRAPFQDIWWT
+>DECOY_NM_018932|84104_2_2128_2405
+TWWIDQFPARLCRAAVSRPRGAGGACGCRWSCSCRSSSSRRCQPWRWWWTSLSRTPRPRPRPRRRSLCTPSPSATWWSCTCRPPPRARLSAM
+>NM_001080437|84174_2_661_935
+MGSVTSVSPARAQQTWPRWRPPPTWVCPGAGRSESMMPRCAWGAAAIQRPCAWPCAPASTAASASTTASRATPPTPAPASRASRGGGATWT
+>DECOY_NM_001080437|84174_2_661_935
+TWTAGGGRSARSAPAPTPPTARSATTSASAATSAPACPWACPRQIAAAGWACRPMMSESRGAGPCVWTPPPRWRPWTQQARAPSVSTVSGM
+>NM_001080437|84177_2_964_1190
+MVGPVLTASTVSAASARLALGDPPVRQPNPPVTPKSVNMVASARWRMALRCVCARPDTPEQPARWMWTTAALTPA
+>DECOY_NM_001080437|84177_2_964_1190
+APTLAATTWMWRAPQEPTDPRACVCRLAMRWRASAVMNVSKPTVPPNPQRVPPDGLALRASAASVTSATLVPGVM
+>NM_001080437|84178_2_1078_1190
+MVASARWRMALRCVCARPDTPEQPARWMWTTAALTPA
+>DECOY_NM_001080437|84178_2_1078_1190
+APTLAATTWMWRAPQEPTDPRACVCRLAMRWRASAVM
+>NM_001080437|84179_2_1102_1190
+MALRCVCARPDTPEQPARWMWTTAALTPA
+>DECOY_NM_001080437|84179_2_1102_1190
+APTLAATTWMWRAPQEPTDPRACVCRLAM
+>NM_001080437|84180_2_1318_1529
+MGAPVWMRTRATCASAPKASWAWTAGRESPMTVSAATEADAWAPTPPSASAPWDSLGFSVNLKSQPCPAT
+>DECOY_NM_001080437|84180_2_1318_1529
+TAPCPQSKLNVSFGLSDWPASASPPTPAWADAETAASVTMPSERGATWAWSAKPASACTARTRMWVPAGM
+>NM_001080437|84192_2_2404_2600
+MEGPAGTSQGPMSAGALQASLESTVRQRWTPATPAPASMEAGVRAAAGPTCASAQRASSATTARQ
+>DECOY_NM_001080437|84192_2_2404_2600
+QRATTASSARQASACTPGAAARVGAEMSAPAPTAPTWRQRVTSELSAQLAGASMPGQSTGAPGEM
+>NM_001080437|84194_2_2518_2600
+MEAGVRAAAGPTCASAQRASSATTARQ
+>DECOY_NM_001080437|84194_2_2518_2600
+QRATTASSARQASACTPGAAARVGAEM
+>NM_001080437|84200_2_3598_3767
+MALTDAGTREDTTLGCSRTDRPRRACRSCACSMTTAPPRPPPSPPGSRSLWTAEEE
+>DECOY_NM_001080437|84200_2_3598_3767
+EEEATWLSRSGPPSPPPRPPATTMSCACSRCARRPRDTRSCGLTTDERTGADTLAM
+>NM_024506|84272_2_759_832
+MGATSLAFRWRMNMVATEPVTSAT
+>DECOY_NM_024506|84272_2_759_832
+TASTVPETAVMNMRWRFALSTAGM
+>NM_024506|84274_2_1179_1348
+MVPIRRDASFRLLPAMTMMHLYLKQGTPHLSFLLFEMSSASSRKFLWDLYLPRAPR
+>DECOY_NM_024506|84274_2_1179_1348
+RPARPLYLDWLFKRSSASSMEFLLFSLHPTGQKLYLHMMTMAPLLRFSADRRIPVM
+>NM_024506|84280_3_1822_1952
+MAISSSSFWPHILLQNISNFRLSWGHISISTWMDQGPSLDQWV
+>DECOY_NM_024506|84280_3_1822_1952
+VWQDLSPGQDMWTSISIHGWSLRFNSINQLLIHPWFSSSSIAM
+>NM_024741|84338_2_1002_1333
+MATWMRNARPRHRCHLNFRAIRLPSRTQMAVEPVSHLLPGAPSRMATWPRSYTAPVISAHPEQRPQSLEPSSLASLHSRGALLAQQEAPQSRGDGTGVESVARHSYSCAT
+>DECOY_NM_024741|84338_2_1002_1333
+TACSYSHRAVSEVGTGDGRSQPAEQQALLAGRSHLSALSSPELSQPRQEPHASIVPATYSRPWTAMRSPAGPLLHSVPEVAMQTRSPLRIARFNLHCRHRPRANRMWTAM
+>NM_024741|84345_3_313_599
+MEPFRRRLYAGPQRRPTRADPRHPRFKEPSPGLGPWPLTRQGTALGGLVCRGPPAARPAVGAAGRGVCLQGEGRGSKATAGGEPVIRPMGRRVCL
+>DECOY_NM_024741|84345_3_313_599
+LCVRRGMPRIVPEGGATAKSGRGEGQLCVGRGAAGVAPRAAPPGRCVLGGLATGQRTLPWPGLGPSPEKFRPHRPDARTPRRQPGAYLRRRFPEM
+>NM_177995|84404_2_1748_2091
+MGHQFSMEGSFQRKHSRVELSLQMFQAHTALGSQFHPALQMSIRIQTLLTSKCLTVSVKLMVLGALALSGRTAGHPEALWTVAPVPKHSSWLNMKPRTVKICLKQLHTLHYSLN
+>DECOY_NM_177995|84404_2_1748_2091
+NLSYHLTHLQKLCIKVTRPKMNLWSSHKPVPAVTWLAEPHGATRGSLALAGLVMLKVSVTLCKSTLLTQIRISMQLAPHFQSGLATHAQFMQLSLEVRSHKRQFSGEMSFQHGM
+>NM_199188|84436_2_1474_1601
+MGTMVGAGELSSEVEDDEKMTGSQDLILQQLNQRLQHQSLTY
+>DECOY_NM_199188|84436_2_1474_1601
+YTLSQHQLRQNLQQLILDQSGTMKEDDEVESSLEGAGVMTGM
+>NM_199188|84437_2_1483_1601
+MVGAGELSSEVEDDEKMTGSQDLILQQLNQRLQHQSLTY
+>DECOY_NM_199188|84437_2_1483_1601
+YTLSQHQLRQNLQQLILDQSGTMKEDDEVESSLEGAGVM
+>NM_199188|84438_2_1879_1970
+MVSIRQLYQFLLQVLQSHRGQVLLHHVIIT
+>DECOY_NM_199188|84438_2_1879_1970
+TIIVHHLLVQGRHSQLVQLLFQYLQRISVM
+>NM_199188|84442_2_2122_2210
+MELLRTPLRNHMRSQKQGLVRIILASEAI
+>DECOY_NM_199188|84442_2_2122_2210
+IAESALIIRVLGQKQSRMHNRLPTRLLEM
+>NM_152718|84575_2_547_671
+MESKGPPAQKPMDHVGSTAVTLPATMEAVRRWPECAPWASR
+>DECOY_NM_152718|84575_2_547_671
+RSAWPACEPWRRVAEMTAPLTVATSGVHDMPKQAPPGKSEM
+>NM_152718|84577_2_1576_1775
+MVGAAHRAQAVFTVVSSELKGMCFHLPMRTAPSVSVWLETCPASLLSVLLAPVRPPHRRIAVLVFQ
+>DECOY_NM_152718|84577_2_1576_1775
+QFVLVAIRRHPPRVPALLVSLLSAPCTELWVSVSPATRMPLHFCMGKLESSVVTFVAQARHAAGVM
+>NM_152718|84580_2_1861_2078
+MGRWSAPSCPALSWPAPEKSGGWALGSVASPARSPHPRQAALLTTTGLSFRLDRSGRLVTPVSYASARQMAR
+>DECOY_NM_152718|84580_2_1861_2078
+RAMQRASAYSVPTVLRGSRDLRFSLGTTTLLAAQRPHPSRAPSAVSGLAWGGSKEPAPWSLAPCSPASWRGM
+>NM_178276|84652_2_499_581
+MAFGSLNFCCWGPCAQELSSFQIRTPF
+>DECOY_NM_178276|84652_2_499_581
+FPTRIQFSSLEQACPGWCCFNLSGFAM
+>NM_018449|84762_2_570_748
+MELIAIKWTNLQIVASEPGVEDLDVAEGEGQEGSQPKAWGHLILQTIQILHLQMCVGQS
+>DECOY_NM_018449|84762_2_570_748
+SQGVCMQLHLIQITQLILHGWAKPQSGEQGEGEAVDLDEVGPESAVIQLNTWKIAILEM
+>NM_012284|84812_2_723_880
+MAGHDPKASMPTGGGAGPCSTTCPGTCRSSPRASTSSIRGCLGRNQTCLSTK
+>DECOY_NM_012284|84812_2_723_880
+KTSLCTQNRGLCGRISSTSARPSSRCTGPCTTSCPGAGGGTPMSAKPDHGAM
+>NM_012284|84815_2_1908_2176
+MASTPPSCCRASLTSCAQTSPCTCTRRSCSCHCLRRPAAAACGHCLWPCGPPSARRASTSSTKAMPCRPSTLSALAPWRCSRVAPCSPS
+>DECOY_NM_012284|84815_2_1908_2176
+SPSCPAVRSCRWPALASLTSPRCPMAKTSSTSARRASPPGCPWLCHGCAAAAPRRLCHCSCSRRTCTCPSTQACSTLSARCCSPPTSAM
+>NM_022153|84832_2_442_548
+MEATRLPTPATTWLSATGWSRPPTTMATSPSPCAT
+>DECOY_NM_022153|84832_2_442_548
+TACPSPSTAMTTPPRSWGTASLWTTAPTPLRTAEM
+>NM_015018|84932_2_2022_2119
+MEKIHQVVDHQRVDSLSLYNIKQTELMILTEN
+>DECOY_NM_015018|84932_2_2022_2119
+NETLIMLETQKINYLSLSDVRQHDVVQHIKEM
+>NM_015018|84941_2_5724_5836
+MVFTLWLPLHLCGMKEDRIKQPPGPRSFLQPVKNSFY
+>DECOY_NM_015018|84941_2_5724_5836
+YFSNKVPQLFSRPGPPQKIRDEKMGCLHLPLWLTFVM
+>NM_015018|84948_3_5236_5333
+MDWFNHIYSALHGKSSAESGCFCDTTTVQKFR
+>DECOY_NM_015018|84948_3_5236_5333
+RFKQVTTTDCFCGSEASSKGHLASYIHNFWDM
+>NM_023077|85001_2_398_567
+MDRLMRMASLTWERPGTTTQGPVMVAILPVASTSVPCSCRVPQAFPRTWTWHVNTP
+>DECOY_NM_023077|85001_2_398_567
+PTNVHWTWTRPFAQPVRCSCPVSTSAVPLIAVMVPGQTTTGPREWTLSAMRMLRDM
+>NM_023077|85003_2_416_567
+MASLTWERPGTTTQGPVMVAILPVASTSVPCSCRVPQAFPRTWTWHVNTP
+>DECOY_NM_023077|85003_2_416_567
+PTNVHWTWTRPFAQPVRCSCPVSTSAVPLIAVMVPGQTTTGPREWTLSAM
+>NM_023077|85004_2_467_567
+MVAILPVASTSVPCSCRVPQAFPRTWTWHVNTP
+>DECOY_NM_023077|85004_2_467_567
+PTNVHWTWTRPFAQPVRCSCPVSTSAVPLIAVM
+>NM_018669|85089_3_348_538
+MAMSECQDRGKEVYSPDFHSLGGEGLGGRQVWRRLLLFGAGATRVWPSRAGAPVYAVRCGCES
+>DECOY_NM_018669|85089_3_348_538
+SECGCRVAYVPAGARSPWVRTAGAGFLLLRRWVQRGGLGEGGLSHFDPSYVEKGRDQCESMAM
+>NM_001040455|85177_2_1116_1219
+MAPLRMFLDLPMVWLTALALGTSLTVTRAAPLNL
+>DECOY_NM_001040455|85177_2_1116_1219
+LNLPAARTVTLSTGLALATLWVMPLDLFMRLPAM
+>NM_002699|85208_2_615_937
+MATRRSWSRRRRRIWAPTDTHTDMHTRAACTRRRRTCTRARAAAAHRWASTRTRMRPARTTWSSSPSSSSSGASSWALRRPTWGWRWARSTVTCSRRPPSAASRPCS
+>DECOY_NM_002699|85208_2_615_937
+SCPRSAASPPRRSCTVTSRAWRWGWTPRRLAWSSAGSSSSSPSSSWTTRAPRMRTRTSAWRHAAAARARTCTRRRRTCAARTHMDTHTDTPAWIRRRRRSWSRRTAM
+>NM_002699|85212_3_415_890
+MGAGQHSAPLGPGHVALARGQRGPPAPAARAVRAGGLPGGRRRRPGRDAGGGRWRRGAGPAPRAARGWPRGAAGAVAAAASGRPRTRTRTCTRGRPARGGGAPAPGRGRRRLIGGRALGRGCAQLGRPGAVRQAVQAAAHQAGLYAGRRGAGAGHALR
+>DECOY_NM_002699|85212_3_415_890
+RLAHGAGAGRRGAYLGAQHAAAQVAQRVAGPRGLQACGRGLARGGILRRRGRGPAPAGGGRAPRGRTCTRTRTRPRGSAAAAVAGAAGRPWGRAARPAPGAGRRWRGGGADRGPRRRRGGPLGGARVARAAPAPPGRQGRALAVHGPGLPASHQGAGM
+>NM_172130|85333_2_371_543
+MASTSSIQQKSTQPARLKWYWETSLRRKDGGGPASSSPPRSSGAERRRRSGACPGST
+>DECOY_NM_172130|85333_2_371_543
+TSGPCAGSRRRREAGSSRPPSSSAPGGGDKRRLSTEWYWKLRAPQTSKQQISSTSAM
+>NM_172130|85339_3_456_781
+MEAVQPRHHHQDLLGRKGGDGAGPVQEAHNRRSESFPGATAAGVRGCGVCQPPGPQHPDGRDRPRHDPRHQPGDGHVLGHVTLELHGDHGGLLRGPAVQPDPAHLRAG
+>DECOY_NM_172130|85339_3_456_781
+GARLHAPDPQVAPGRLLGGHDGHLELTVHGLVHGDGPQHRPDHRPRDRGDPHQPGPPQCVGCGRVGAATAGPFSESRRNHAEQVPGAGDGGKRGLLDQHHHRPQVAEM
+>NM_012309|85481_2_58_272
+MVAVPGILSTVTALLRRRRWSCRKKTMRALDSCFEGPKLTHPLKNSHQHRLSQPYSTWSPWMKVGWRGKPD
+>DECOY_NM_012309|85481_2_58_272
+DPKGRWGVKMWPSWTSYPQSLRHQHSNKLPHTLKPGEFCSDLARMTKKRCSWRRRRLLATVTSLIGPVAVM
+>NM_030824|85526_2_1053_1210
+MERSHIHINNVGQPSIITTPFKHRKDLTLERNAMIVRNVGKPSVLRETFEDT
+>DECOY_NM_030824|85526_2_1053_1210
+TDEFTERLVSPKGVNRVIMANRELTLDKRHKFPTTIISPQGVNNIHIHSREM
+>NM_030824|85531_2_1647_1798
+MDLINVRYVGKALIVLVHCKVMKELTLERNPMNASSVGKRYLITQAFEVI
+>DECOY_NM_030824|85531_2_1647_1798
+IVEFAQTILYRKGVSSANMPNRELTLEKMVKCHVLVILAKGVYRVNILDM
+>NM_030824|85533_2_1815_2203
+MDLTNARYVGKPSFIPVYFKDMKGLILVRNPMNVKNVVKPSVFLVPFEDMKQLTLERNPINVNVGKPLLISIPFKIMKQLTLERSHMSVRNVGKHSVVSHTFLNIEGLTWLKNLMNVKHVRKPSVILVT
+>DECOY_NM_030824|85533_2_1815_2203
+TVLIVSPKRVHKVNMLNKLWTLGEINLFTHSVVSHKGVNRVSMHSRELTLQKMIKFPISILLPKGVNVNIPNRELTLQKMDEFPVLFVSPKVVNKVNMPNRVLILGKMDKFYVPIFSPKGVYRANTLDM
+>NM_014253|85623_2_539_726
+MVSNSLLFVVTWRLKLGLLKMCRAAHTTSSPSDPSHRHLRLLMPAPVPGSHPLQRTLFRGDQ
+>DECOY_NM_014253|85623_2_539_726
+QDGRFLTRQLPHSGPVPAPMLLRLHRHSPDSPSSTTHAARCMKLLGLKLRWTVVFLLSNSVM
+>NM_014253|85628_2_1673_1950
+MEMESVSLAIVIVSQDSLDLTVLEIPALCCVVGMENTRKDTVSAGMAGRGQSVTFRKNNALIQHALATAPASWESASVCQDTKEKYARKRTA
+>DECOY_NM_014253|85628_2_1673_1950
+ATRKRAYKEKTDQCVSASEWSAPATALAHQILANNKRFTVSQGRGAMGASVTDKRTNEMGVVCCLAPIELVTLDLSDQSVIVIALSVSEMEM
+>NM_014253|85629_2_1679_1950
+MESVSLAIVIVSQDSLDLTVLEIPALCCVVGMENTRKDTVSAGMAGRGQSVTFRKNNALIQHALATAPASWESASVCQDTKEKYARKRTA
+>DECOY_NM_014253|85629_2_1679_1950
+ATRKRAYKEKTDQCVSASEWSAPATALAHQILANNKRFTVSQGRGAMGASVTDKRTNEMGVVCCLAPIELVTLDLSDQSVIVIALSVSEM
+>NM_014253|85630_2_1772_1950
+MENTRKDTVSAGMAGRGQSVTFRKNNALIQHALATAPASWESASVCQDTKEKYARKRTA
+>DECOY_NM_014253|85630_2_1772_1950
+ATRKRAYKEKTDQCVSASEWSAPATALAHQILANNKRFTVSQGRGAMGASVTDKRTNEM
+>NM_014253|85631_2_1808_1950
+MAGRGQSVTFRKNNALIQHALATAPASWESASVCQDTKEKYARKRTA
+>DECOY_NM_014253|85631_2_1808_1950
+ATRKRAYKEKTDQCVSASEWSAPATALAHQILANNKRFTVSQGRGAM
+>NM_014253|85632_2_2261_2337
+MANAKMENVSVALDGRATTAQLLTT
+>DECOY_NM_014253|85632_2_2261_2337
+TTLLQATTARGDLAVSVNEMKANAM
+>NM_014253|85634_2_2351_2505
+MAAQGSALEMDDVPWIKMVGTVCVRWVGVGQAAMLSWKCFVEITWTMMEMV
+>DECOY_NM_014253|85634_2_2351_2505
+VMEMMTWTIEVFCKWSLMAAQGVGVWRVCVTGVMKIWPVDDMELASGQAAM
+>NM_014253|85635_2_2378_2505
+MDDVPWIKMVGTVCVRWVGVGQAAMLSWKCFVEITWTMMEMV
+>DECOY_NM_014253|85635_2_2378_2505
+VMEMMTWTIEVFCKWSLMAAQGVGVWRVCVTGVMKIWPVDDM
+>NM_014253|85636_2_2402_2505
+MVGTVCVRWVGVGQAAMLSWKCFVEITWTMMEMV
+>DECOY_NM_014253|85636_2_2402_2505
+VMEMMTWTIEVFCKWSLMAAQGVGVWRVCVTGVM
+>NM_014253|85645_2_4811_4893
+MAIQCTFAVMQAECRYGLWCLADKYTG
+>DECOY_NM_014253|85645_2_4811_4893
+GTYKDALCWLGYRCEAQMVAFTCQIAM
+>NM_014253|85651_2_5921_6033
+MADCYRPCIWGQGAESYTSTPSKQGFLRFSMIPLRSH
+>DECOY_NM_014253|85651_2_5921_6033
+HSRLPIMSFRLFGQKSPTSTYSEAGQGWICPRYCDAM
+>NM_014253|85659_2_7940_8118
+MEPCASTSGMGQLSKRKRITCWRLPDSAQWPRPGLRNKEGCKRGKRGLGHGQKGKSSSF
+>DECOY_NM_014253|85659_2_7940_8118
+FSSSKGKQGHGLGRKGRKCGEKNRLGPRPWQASDPLRWCTIRKRKSLQGMGSTSACPEM
+>NM_014253|85660_2_7967_8118
+MGQLSKRKRITCWRLPDSAQWPRPGLRNKEGCKRGKRGLGHGQKGKSSSF
+>DECOY_NM_014253|85660_2_7967_8118
+FSSSKGKQGHGLGRKGRKCGEKNRLGPRPWQASDPLRWCTIRKRKSLQGM
+>NM_014253|85667_3_4857_4942
+MACGAWRTSILADYKQQWSPEKSVSPRL
+>DECOY_NM_014253|85667_3_4857_4942
+LRPSVSKEPSWQQKYDALISTRWAGCAM
+>NM_014253|85669_3_8088_8167
+MDRRGKAAAFEHWAGTRLRWVFCFVC
+>DECOY_NM_014253|85669_3_8088_8167
+CVFCFVWRLRTGAWHEFAAAKGRRDM
+>NM_021614|85714_2_1538_1626
+MVTWYLTHTVEKESAYLLELWVLVAQPWW
+>DECOY_NM_021614|85714_2_1538_1626
+WWPQAVLVWLELLYASEKEVTHTLYWTVM
+>NM_021614|85717_3_1746_1876
+MANLQKYKASEKDRSCKSKKTSTKIPASYSSIKKCKNGAEETE
+>DECOY_NM_021614|85717_3_1746_1876
+ETEEAGNKCKKISSYSAPIKTSTKKSKCSRDKESAKYKQLNAM
+>NM_024091|85728_2_342_454
+MEMTFIHSVDQCSLKYLTATGLNKMLKMRRVRCFTGD
+>DECOY_NM_024091|85728_2_342_454
+DGTFCRVRRMKLMKNLGTATLYKLSCQDVSHIFTMEM
+>NM_024091|85730_2_567_670
+MVIKGCQKKYWRIASFKLYAFSLKRSPHSCQTLV
+>DECOY_NM_024091|85730_2_567_670
+VLTQCSHPSRKLSFAYLKFSAIRWYKKQCGKIVM
+>NM_152791|85751_2_411_508
+MGWRDSVKVMINVEKPSARFHILICTRKFHLE
+>DECOY_NM_152791|85751_2_411_508
+ELHFKRTCILIHFRASPKEVNIMVKVSDRWGM
+>NM_152791|85753_2_672_745
+MERDPMCVNYVGKPFLVLPPSIGM
+>DECOY_NM_152791|85753_2_672_745
+MGISPPLVLFPKGVYNVCMPDREM
+>NM_018092|85798_2_476_636
+MDKILESSIFLQPSVAFGFEPAMEVILLRQIILTHIHQTRSVSTFWKLLHVKE
+>DECOY_NM_018092|85798_2_476_636
+EKVHLLKWFTSVSRTQHIHTLIIQRLLIVEMAPEFGFAVSPQLFISSELIKDM
+>NM_018092|85799_2_542_636
+MEVILLRQIILTHIHQTRSVSTFWKLLHVKE
+>DECOY_NM_018092|85799_2_542_636
+EKVHLLKWFTSVSRTQHIHTLIIQRLLIVEM
+>NM_018092|85801_2_1334_1485
+MVSKIVHTLGMKIIVKKRKKQEYLNKSLRLMEQLLALLQGLSWSFSLFLF
+>DECOY_NM_018092|85801_2_1334_1485
+FLFLSFSWSLGQLLALLQEMLRLSKNLYEQKKRKKVIIKMGLTHVIKSVM
+>NM_182976|85828_2_215_297
+MALDLMEGWIVTMAMDPMGVRDPWIPT
+>DECOY_NM_182976|85828_2_215_297
+TPIWPDRVGMPDMAMTVIWGEMLDLAM
+>NM_182976|85831_2_416_561
+MVLMNPNKAASEVVMVVDLRAPTGIALTLSEVETRAGLAGKHLTPVQN
+>DECOY_NM_182976|85831_2_416_561
+NQVPTLHKGALGARTEVESLTLAIGTPARLDVVMVVESAAKNPNMLVM
+>NM_182976|85833_2_458_561
+MVVDLRAPTGIALTLSEVETRAGLAGKHLTPVQN
+>DECOY_NM_182976|85833_2_458_561
+NQVPTLHKGALGARTEVESLTLAIGTPARLDVVM
+>NM_182976|85837_2_1055_1158
+MDTEWHLHVHFVNFEHLKKKILNCIWKVLHIRKH
+>DECOY_NM_182976|85837_2_1055_1158
+HKRIHLVKWICNLIKKKLHEFNVFHVHLHWETDM
+>NM_018717|85943_2_1284_1420
+MVLVMGIFLRLANEFERTFLRGWKPSTICPVTCHCLQLLLFTNLT
+>DECOY_NM_018717|85943_2_1284_1420
+TLNTFLLLQLCHCTVPCITSPKWGRLFTREFENALRLFIGMVLVM
+>NM_018717|85944_2_1296_1420
+MGIFLRLANEFERTFLRGWKPSTICPVTCHCLQLLLFTNLT
+>DECOY_NM_018717|85944_2_1296_1420
+TLNTFLLLQLCHCTVPCITSPKWGRLFTREFENALRLFIGM
+>NM_018717|85945_2_1488_1606
+MVGSLRLNFLSTVAVTWRIASPSCRAKTSNKNLSMTLLA
+>DECOY_NM_018717|85945_2_1488_1606
+ALLTMSLNKNSTKARCSPSAIRWTVAVTSLFNLRLSGVM
+>NM_052928|86056_2_1369_1529
+MATSLRPQFLDAILMGSMKIIIMLSSTFCPTLKTIAQSTNSSVLSVFLHCADS
+>DECOY_NM_052928|86056_2_1369_1529
+SDACHLFVSLVSSNTSQAITKLTPCFTSSLMIIIKMSGMLIADLFQPRLSTAM
+>NM_207374|86076_2_1129_1250
+MAAVPSCTCAPAPATTPSKISSSHWCTHWEPHCSTHLSMP
+>DECOY_NM_207374|86076_2_1129_1250
+PMSLHTSCHPEWHTCWHSSSIKSPTTAPAPACTCSPVAAM
+>NM_014454|86102_2_870_985
+MVATHSDLLLLATTASVTLQMAITVWMRCRSTQQKMFL
+>DECOY_NM_014454|86102_2_870_985
+LFMKQQTSRCRMWVTIAMQLTVSATTALLLLDSHTAVM
+>NM_014454|86105_2_1188_1279
+MAIKISLDMGCMFQHFVSRTIAGKIMVILW
+>DECOY_NM_014454|86105_2_1188_1279
+WLIVMIKGAITRSVFHQFMCGMDLSIKIAM
+>NM_014454|86110_2_1452_1603
+MVKLTSYWIVALKFISKLLFALLKRLPKECMIASGGSSSTLRRFMLICFL
+>DECOY_NM_014454|86110_2_1452_1603
+LFCILMFRRLTSSSGGSAIMCEKPLRKLLAFLLKSIFKLAVIWYSTLKVM
+>NM_016552|86147_2_269_423
+MANSLGPQASHTMGSFTGTTAMAWVPTCGQMAPVSRAHFTSATEKATAPCT
+>DECOY_NM_016552|86147_2_269_423
+TCPATAKETASTFHARSVPAMQGCTPVWAMATTGTFSGMTHSAQPGLSNAM
+>NM_016552|86148_2_305_423
+MGSFTGTTAMAWVPTCGQMAPVSRAHFTSATEKATAPCT
+>DECOY_NM_016552|86148_2_305_423
+TCPATAKETASTFHARSVPAMQGCTPVWAMATTGTFSGM
+>NM_016552|86149_2_332_423
+MAWVPTCGQMAPVSRAHFTSATEKATAPCT
+>DECOY_NM_016552|86149_2_332_423
+TCPATAKETASTFHARSVPAMQGCTPVWAM
+>NM_001009996|86300_2_1062_1144
+MVGIWHKTQPGQRSLVFSLWPPSSLRC
+>DECOY_NM_001009996|86300_2_1062_1144
+CRLSSPPWLSFVLSRQGPQTKHWIGVM
+>NM_001080518|86349_2_163_257
+MVISLEFIGFHMEEDAQGGQLQSLLCICSMA
+>DECOY_NM_001080518|86349_2_163_257
+AMSCICLLSQLQGGQADEEMHFGIFELSIVM
+>NM_001080518|86352_2_1015_1097
+MVDRTLWLIPRMLKIYFLKLLTLFITS
+>DECOY_NM_001080518|86352_2_1015_1097
+STIFLTLLKLFYIKLMRPILWLTRDVM
+>NM_031215|86366_2_785_885
+MACCPHLGPVSPGLCQGQDINLPPPSRHQPAQN
+>DECOY_NM_031215|86366_2_785_885
+NQAPQHRSPPPLNIDQGQCLGPSVPGLHPCCAM
+>NM_020707|86393_2_253_344
+MGPTGRPSGSLCDLAGSTLAGRWMWLTSSG
+>DECOY_NM_020707|86393_2_253_344
+GSSTLWMWRGALTSGALDCLSGSPRGTPGM
+>NM_019855|86452_2_489_628
+MGRSPWWSYSRPCRDSWGSGSPPGRSLRLSGRLMLMETAQLTLKSL
+>DECOY_NM_019855|86452_2_489_628
+LSKLTLQATEMLMLRGSLRLSRGPPSGSGWSDRCPRSYSWWPSRGM
+>NM_016235|86516_3_539_669
+MARAEAGAAWHGPRGLAAGGPGAVPDAGASHHRCGVAGAHRAA
+>DECOY_NM_016235|86516_3_539_669
+AARHAGAVGCRHHSAGADPVAGPGGAALGRPGHWAAGAEARAM
+>NM_001039840|86578_3_565_683
+MAAVWLSLLLLHTGLQPMACYLSQQKNQKINSEVNRMGK
+>DECOY_NM_001039840|86578_3_565_683
+KGMRNVESNIKQNKQQSLYCAMPQLGTHLLLLSLWVAAM
+>NM_144693|86621_2_837_910
+MGRNPMNAITVGKHLVIPHPLDCI
+>DECOY_NM_144693|86621_2_837_910
+ICDLPHPIVLHKGVTIANMPNRGM
+>NM_001012967|86693_2_3210_3346
+MDSHLILPSPLKKASSFMIPWLKSGKLGPGLRNCVQRNSFFLRIR
+>DECOY_NM_001012967|86693_2_3210_3346
+RIRLFFSNRQVCNRLGPGLKGSKLWPIMFSSAKKLPSPLILHSDM
+>NM_006635|86742_2_1061_1710
+MEISPLCAVNVEGPSIAGRTLHGTSGFTVERSLLCAMNVERPLPTAPILSCITRATMRRNPSHAANVEKAFMRVQPSFNTSLSILGRGPLSALSVGRPSTAGHTSSSMSGFTLVRSPLCAVNVERPSLTIPPMSCMKEPTLEKSLLSAKNVGKPLAFEKTSFDTSTSTLERSPMSVYSVERLLPACQGSQGTSGFILERSRMYASNVGKPFVGPQT
+>DECOY_NM_006635|86742_2_1061_1710
+TQPGVFPKGVNSAYMRSRELIFGSTGQSGQCAPLLREVSYVSMPSRELTSTSTDFSTKEFALPKGVNKASLLSKELTPEKMCSMPPITLSPREVNVACLPSRVLTFGSMSSSTHGATSPRGVSLASLPGRGLISLSTNFSPQVRMFAKEVNAAHSPNRRMTARTICSLIPATPLPREVNMACLLSREVTFGSTGHLTRGAISPGEVNVACLPSIEM
+>NM_057161|86767_2_401_576
+MDTQPSSSTTQSSFGAGGMTPKGPAMCSMPLTSIRTSGSHPECQGQFLGPGMDIQPVS
+>DECOY_NM_057161|86767_2_401_576
+SVPQIDMGPGLFQGQCEPHSGSTRISTLPMSCMAPGKPTMGGAGFSSQTTSSSPQTDM
+>NM_057161|86776_3_666_796
+MDSYLYKGQPCTLEGLPLSHNAGKSHVCLWGPCRPLWAIPFQQ
+>DECOY_NM_057161|86776_3_666_796
+QQFPIAWLPRCPGWLCVHSKGANHSLPLGELTCPQGKYLYSDM
+>NM_006633|86869_2_262_353
+MALLWTMKGSLQRRWMRGGGRTLLMNICAT
+>DECOY_NM_006633|86869_2_262_353
+TACINMLLTRGGGRMWRRQLSGKMTWLLAM
+>NM_018963|86953_2_566_666
+MVPHQILWRYIEENNSQGVPLLVQHFQELCISI
+>DECOY_NM_018963|86953_2_566_666
+ISICLEQFHQVLLPVGQSNNEEIYRWLIQHPVM
+>NM_018963|86956_2_1685_1761
+MELCLTVSFHRMDSILPVQILMGTF
+>DECOY_NM_018963|86956_2_1685_1761
+FTGMLIQVPLISDMRHFSVTLCLEM
+>NM_018963|86978_3_1341_1420
+MEEHFIGYGYQNLRGLIFRRGKVYET
+>DECOY_NM_018963|86978_3_1341_1420
+TEYVKGRRFILGRLNQYGYGIFHEEM
+>NM_001916|87010_2_524_801
+MGRCSCGQGSCSTISQNHTPTVRLLELPTTEHCPLTSATSCELGMVVRTTSSPCSRATASHPPGCHCGKVSTSTPTFLARPLPWPLPSTQMS
+>DECOY_NM_001916|87010_2_524_801
+SMQTSPLPWPLPRALFTPTSTSVKGCHCGPPHSATARSCPSSTTRVVMGLECSTASTLPCHETTPLELLRVTPTHNQSITSCSGQGCSCRGM
+>NM_001916|87011_2_656_801
+MVVRTTSSPCSRATASHPPGCHCGKVSTSTPTFLARPLPWPLPSTQMS
+>DECOY_NM_001916|87011_2_656_801
+SMQTSPLPWPLPRALFTPTSTSVKGCHCGPPHSATARSCPSSTTRVVM
+>NM_052990|87084_2_396_550
+MGCGLLNRSLSPNTNQAARSSAAAGQMMVSTWRWGCSMGSSAYGTKMARRK
+>DECOY_NM_052990|87084_2_396_550
+KRRAMKTGYASSGMSCGWRWTSVMMQGAAASSRAAQNTNPSLSRNLLGCGM
+>NM_022137|87262_2_614_753
+MAPLPRCSAILTLGTAGVSPRMGSPSVALLCRIKLLYVQVQSPTSP
+>DECOY_NM_022137|87262_2_614_753
+PSTPSQVQVYLLKIRCLLAVSPSGMRPSVGATGLTLIASCRPLPAM
+>NM_017858|87313_2_192_301
+MVKELSLMKSQEMEHLFLYLQREQLKEIYPSWMLRD
+>DECOY_NM_017858|87313_2_192_301
+DRLMWSPYIEKLQERQLYLFLHEMEQSKMLSLEKVM
+>NM_017858|87315_2_228_301
+MEHLFLYLQREQLKEIYPSWMLRD
+>DECOY_NM_017858|87315_2_228_301
+DRLMWSPYIEKLQERQLYLFLHEM
+>NM_148414|87353_2_3157_3455
+MGGPPKARCPRVGCLHSQLPHPHPTPTSDTPKVSSLARRLDFQEEPMTGFYVGWAEATVAAARGLLLALSFASLRPRSVVIQQPPSPLPPQLSVTPTVS
+>DECOY_NM_148414|87353_2_3157_3455
+SVTPTVSLQPPLPSPPQQIVVSRPRLSAFSLALLLGRAAAVTAEAWGVYFGTMPEEQFDLRRALSSVKPTDSTPTPHPHPLQSHLCGVRPCRAKPPGGM
+>NM_001005276|87383_3_443_537
+MVGGIRELPNSHGDLDALPFLWASESLPLLL
+>DECOY_NM_001005276|87383_3_443_537
+LLLPLSESAWLFPLADLDGHSNPLERIGGVM
+>NM_003114|87461_2_1269_1357
+MAVEIRSPRSRREPRAPPSRASWATSRRS
+>DECOY_NM_003114|87461_2_1269_1357
+SRRSTAWSARSPPARPERRSRPSRIEVAM
+>NM_006506|87524_3_1886_2064
+MVLLNKQRAHLPQTARQRCNLHNPSKKHSCCGKTGRELFQQEKYVPSNTYGETTLCPGK
+>DECOY_NM_006506|87524_3_1886_2064
+KGPCLTTEGYTNSPVYKEQQFLERGTKGCCSHKKSPNHLNCRQRATQPLHARQKNLLVM
+>NM_001003927|87593_2_894_976
+MAIFWQAVYGPLNQTLGKEQNSSQDPT
+>DECOY_NM_001003927|87593_2_894_976
+TPDQSSNQEKGLTQNLPGYVAQWFIAM
+>NM_032165|87685_2_1018_1127
+MAQLLKSKLKVRKGKHKSGRKRKQKYDKRRKKIEKD
+>DECOY_NM_032165|87685_2_1018_1127
+DKEIKKRRKDYKQKRKRGSKHKGKRVKLKSKLLQAM
+>NM_032165|87695_2_3847_3941
+MESSTLVHVKVSQTHQIFLRNGWTLSPATPH
+>DECOY_NM_032165|87695_2_3847_3941
+HPTAPSLTWGNRLFIQHTQSVKVHVLTSSEM
+>NM_152279|87769_2_309_418
+MAAPMRDQCPSGMWLSISAERNGGTWTFLRETCTGM
+>DECOY_NM_152279|87769_2_309_418
+MGTCTERLFTWTGGNREASISLWMGSPCQDRMPAAM
+>NM_152279|87777_2_1245_1360
+MGRSSAIIPTSLHMRRFKVERNLPYVLSVGRPLPTGQS
+>DECOY_NM_152279|87777_2_1245_1360
+SQGTPLPRGVSLVYPLNREVKFRRMHLSTPIIASSRGM
+>NM_152279|87788_3_373_467
+MAAPGPFSEKPVPGCDAGDLQPPALSRVSSS
+>DECOY_NM_152279|87788_3_373_467
+SSSVRSLAPPQLDGADCGPVPKESFPGPAAM
+>NM_020812|87867_2_4344_4432
+MAWPPRGPLCPSSRSCCSRRTRSCVPTCA
+>DECOY_NM_020812|87867_2_4344_4432
+ACTPVCSRTRRSCCSRSSPCLPGRPPWAM
+>NM_020812|87870_2_5574_5737
+MGFAHSCSARRSRRMGAHTGSCPSNTSVRRCSAPTTPSPTSRLASVCATGRRRC
+>DECOY_NM_020812|87870_2_5574_5737
+CRRRGTACVSALRSTPSPTTPASCRRVSTNSPCSGTHAGMRRSRRASCSHAFGM
+>NM_019069|87887_2_721_827
+MENMRKHSMVIIWKYRMLPGHQIPVVLFLPQMIKL
+>DECOY_NM_019069|87887_2_721_827
+LKIMQPLFLVVPIQHGPLMRYKWIIVMSHKRMNEM
+>NM_032214|87972_2_555_658
+METGGRCCLKSQAESITSPASTWPKSPMGGCMRA
+>DECOY_NM_032214|87972_2_555_658
+ARMCGGMPSKPWTSAPSTISEAQSKLCCRGGTEM
+>NM_032214|87973_2_825_985
+MAGCTSHRASPSPHSRPWWTITLSWRMTSAAYSRSPVSCRGLARSLARIYPYL
+>DECOY_NM_032214|87973_2_825_985
+LYPYIRALSRALGRCSVPSRSYAASTMRWSLTITWWPRSHPSPSARHSTCGAM
+>NM_016505|87992_2_221_315
+MGPLSKSQAVGSKVWSIELICHPVGWISPLR
+>DECOY_NM_016505|87992_2_221_315
+RLPSIWGVPHCILEISWVKSGVAQSKSLPGM
+>NM_018117|88084_2_3725_3798
+MEHLKSLRTQRNSSLLYMQIMPGV
+>DECOY_NM_018117|88084_2_3725_3798
+VGPMIQMYLLSSNRQTRLSKLHEM
+>NM_007238|88102_2_292_536
+MAASRRSCGPYCRPHISTPGTWHGLCSPTRVSVPCSPTYKARPTQHTHSWRPSSGVSWCLEKTITSTARSTCTCCHASCLP
+>DECOY_NM_007238|88102_2_292_536
+PLCSAHCCTCTSRATSTITKELCWSVGSSPRWSHTHQTPRAKYTPSCPVSVRTPSCLGHWTGPTSIHPRCYPGCSRRSAAM
+>NM_012257|88139_2_1147_1295
+MAFHVVKFILAMYVYLLDTPMPLILMIQVFLIHLKAMTSHLWILLQFMC
+>DECOY_NM_012257|88139_2_1147_1295
+CMFQLLIWLHSTMAKLHILFVQIMLILPMPTDLLYVYMALIFKVVHFAM
+>NM_024764|88169_2_2259_2338
+MDEHGKYIQNHVIIGFNMMIHHPSTL
+>DECOY_NM_024764|88169_2_2259_2338
+LTSPHHIMMNFGIIVHNQIYKGHEDM
+>NM_004424|88209_2_613_836
+MAAMCVRCATRPSRRAASSRPTWSLTAAARTTSASSVGPPSAPRAHSSGTTGGTRMSAPTSAPSVERASGSRVH
+>DECOY_NM_004424|88209_2_613_836
+HVRSGSAREVSPASTPASMRTGGTTGSSHARPASPPGVSSASTTRAAATLSWTPRSSAARRSPRTACRVCMAAM
+>NM_032546|88403_2_883_983
+MATTWRPPLSWWSLPSSPWKSHKWRCISSRPRS
+>DECOY_NM_032546|88403_2_883_983
+SRPRSSICRWKHSKWPSSPLSWWSLPPRWTTAM
+>NM_001040025|88477_2_247_410
+MGKATWGSRPRHGPRWAPILLTSWHRERSPSGSLGGAWAPSGPVTMETAVLSCL
+>DECOY_NM_001040025|88477_2_247_410
+LCSLVATEMTVPGSPAWAGGLSGSPSRERHWSTLLIPAWRPGHRPRSGWTAKGM
+>NM_032587|88612_2_799_911
+MVKRTSRIQKPQSSLVKNQVMRDQKPAFHWRRNRRKV
+>DECOY_NM_032587|88612_2_799_911
+VKRRNRRWHFAPKQDRMVQNKVLSSQPKQIRSTRKVM
+>NM_022052|88644_3_1408_1538
+MDALLFCQRGVQGSGRTVSGFCSRLHPDLHCYPWQQFQSVHRE
+>DECOY_NM_022052|88644_3_1408_1538
+ERHVSQFQQWPYCHLDPHLRSCFGSVTRGSGQVGRQCFLLADM
+>NM_014031|88726_3_407_483
+MANSSRGWNGRPALLAETPVPLLLG
+>DECOY_NM_014031|88726_3_407_483
+GLLLPVPTEALLAPRGNWGRSSNAM
+>NM_001113203|88757_2_5452_5600
+MVLKDPFPQWLQPLYSLFRKTLQRQQKAKMLLIPQRAPWLLLSLRRPPL
+>DECOY_NM_001113203|88757_2_5452_5600
+LPPRRLSLLLWPARQPILLMKAKQQRQLTKRFLSYLPQLWQPFPDKLVM
+>NM_001113203|88761_3_3161_4311
+MGPHTPSCNSSLPKRRSSYSIPQRGPHTPSCNSSLPQRRSSYSIPQRGPHTPSCDSSLPQRKSSSYPIPQRGIHTPSCNSSLPQRKSSSYPTPQRGPHNPSCNSSLPKRRSSYPIPQGGPHSPSCDSSLPKRRPSYPIPQRGPHAPSCNSSLPKRRSSYPTPQRGTHNPSCNSSLPKRRSSYPTPKRGPHNPSCNSSFPKRRSSYPTPKRGPHNPSCNSSFPKRRSSYPIPQRGPHNPSCNSSLPKRRSSYPIPQRGPHNPSCNSSLPKRRSGYPIPQRGPHNPSCNSSLPKRRPSYPTPQRGPHSPSCNSSLPKRRSSYPTPQRGPQSRSCNSSLSKRRPSYLTPQRGPHSSSCNSSLPKRKPRYPTPQRGPHSPSCNSSLP
+>DECOY_NM_001113203|88761_3_3161_4311
+PLSSNCSPSHPGRQPTPYRPKRKPLSSNCSSSHPGRQPTLYSPRRKSLSSNCSRSQPGRQPTPYSSRRKPLSSNCSPSHPGRQPTPYSPRRKPLSSNCSPNHPGRQPIPYGSRRKPLSSNCSPNHPGRQPIPYSSRRKPLSSNCSPNHPGRQPIPYSSRRKPFSSNCSPNHPGRKPTPYSSRRKPFSSNCSPNHPGRKPTPYSSRRKPLSSNCSPNHTGRQPTPYSSRRKPLSSNCSPAHPGRQPIPYSPRRKPLSSDCSPSHPGGQPIPYSSRRKPLSSNCSPNHPGRQPTPYSSSKRQPLSSNCSPTHIGRQPIPYSSSKRQPLSSDCSPTHPGRQPISYSSRRQPLSSNCSPTHPGRQPISYSSRRKPLSSNCSPTHPGM
+>NM_153840|88777_2_812_930
+MEASLLGMKLLAPAVHLNCCQPLNMLPRRLRQPFTSCFH
+>DECOY_NM_153840|88777_2_812_930
+HFCSTFPQRLRRPLMNLPQCCNLHVAPALLKMGLLSAEM
+>NM_153840|88783_2_2318_2469
+MGALSLYLSLPLLSRNLAIPTKGKMCVGLTGPMEANHSWLLLSLHWLLWL
+>DECOY_NM_153840|88783_2_2318_2469
+LWLLWHLSLLLWSHNAEMPGTLGVCMKGKTPIALNRSLLPLSLYLSLAGM
+>NM_153840|88788_3_1956_2041
+MDHLCGTGYLHWKSHFMPDHRGFVLEAD
+>DECOY_NM_153840|88788_3_1956_2041
+DAELVFGRHDPMFHSKWHLYGTGCLHDM
+>NM_207414|88855_2_1936_2072
+MATWTQKRTSKGLGNWWAYWGCCARTQTGPPSAAAWKGQAISTSS
+>DECOY_NM_207414|88855_2_1936_2072
+SSTSIAQGKWAAASPPGTQTRACCGWYAWWNGLGKSTRKQTWTAM
+>NM_207414|88861_3_1199_1389
+MGQQHHPPGIRPGGSQSPGPHPPVGGQHRVQDGLLLPLQLLGRDPEAELPHSHPDADGCGEPE
+>DECOY_NM_207414|88861_3_1199_1389
+EPEGCGDADPHSHPLEAEPDRGLLQLPLLLGDQVRHQGGVPPHPGPSQSGGPRIGPPHHQQGM
+>NM_198510|88907_2_3136_3224
+MEVQTGMAILRRSWEELEAAWNLKEVLWG
+>DECOY_NM_198510|88907_2_3136_3224
+GWLVEKLNWAAELEEWSRRLIAMGTQVEM
+>NM_003814|88976_2_729_808
+MVMWRGSLSPWLPLVPVLGAFLECYR
+>DECOY_NM_003814|88976_2_729_808
+RYCELFAGLVPVLPLWPSLSGRWMVM
+>NM_003814|88985_2_2223_2320
+MAQYVVQKRSASVRSVPVWFICHKPVSLRPAT
+>DECOY_NM_003814|88985_2_2223_2320
+TAPRLSVPKHCIFWVPVSRVSASRKQVVYQAM
+>NM_175924|89010_3_111_241
+MAQTARTLAAALHLAPSRVPVLACDGPAHRTLCHPVCLYHPQM
+>DECOY_NM_175924|89010_3_111_241
+MQPHYLCVPHCLTRHAPGDCALVPVRSPALHLAAALTRATQAM
+>NM_024325|89150_3_712_926
+MEETESRAEESIQRSDAGELQESSLIGRTKARNLHLFLLPSGLLLSAVPQSTCTSDLPGLMCRKSLPSREF
+>DECOY_NM_024325|89150_3_712_926
+FERSPLSKRCMLGPLDSTCTSQPVASLLLGSPLLFLHLNRAKTRGILSSEQLEGADSRQISEEARSETEEM
+>NM_018927|89175_2_2087_2238
+MEDSHPFQPLPRCTWCSQIACKRYCRISATIPHPLTPRLRCSFTWWWPWP
+>DECOY_NM_018927|89175_2_2087_2238
+PWPWWWTFSCRLRPTLPHPITASIRCYRKCAIQSCWTCRPLPQFPHSDEM
+>NM_139285|89201_2_326_420
+MGPPSQVPSRPGTMSLTSSSGVERRWASKRC
+>DECOY_NM_139285|89201_2_326_420
+CRKSAWRREVGSSSTLSMTGPRSPVQSPPGM
+>NM_022036|89226_2_1367_1554
+MGSLCSSPQPPPLPYGWCGSSCILTATSSTTVPPGMTPRWPSPSPPMPGPSSSSTSSPRSPR
+>DECOY_NM_022036|89226_2_1367_1554
+RPSRPSSTSSSSPGPMPPSPSPWRPTMGPPVTTSSTATLICSSGCWGYPLPPPQPSSCLSGM
+>NM_052954|89239_2_443_531
+MEPRPTVAPTTLILGISSRALQLRALFLE
+>DECOY_NM_052954|89239_2_443_531
+ELFLARLQLARSSIGLILTTPAVTPRPEM
+>NM_001004329|89282_3_891_1147
+MAEFQRKGSAFQQVYPRSRSSRGSPLTVCSGFPFSMSFNMGRPPTALKSKMEGEFSRTFRKTNPGEFRGTTPRSKFTARCLIFMF
+>DECOY_NM_001004329|89282_3_891_1147
+FMFILCRATFKSRPTTGRFEGPNTKRFTRSFEGEMKSKLATPPRGMNFSMSFPFGSCVTLPSGRSSRSRPYVQQFASGKRQFEAM
+>NM_001004329|89284_3_1008_1147
+MGRPPTALKSKMEGEFSRTFRKTNPGEFRGTTPRSKFTARCLIFMF
+>DECOY_NM_001004329|89284_3_1008_1147
+FMFILCRATFKSRPTTGRFEGPNTKRFTRSFEGEMKSKLATPPRGM
+>NM_001004329|89285_3_1041_1147
+MEGEFSRTFRKTNPGEFRGTTPRSKFTARCLIFMF
+>DECOY_NM_001004329|89285_3_1041_1147
+FMFILCRATFKSRPTTGRFEGPNTKRFTRSFEGEM
+>NM_004200|89306_3_929_1008
+MADVQGQAGGEEEDGDDEEEPEPHLQ
+>DECOY_NM_004200|89306_3_929_1008
+QLHPEPEEEDDGDEEEGGAQGQVDAM
+>NM_004927|89346_3_126_220
+MENRCPAGLRATAVEPDPGPSRLPQVCGVCG
+>DECOY_NM_004927|89346_3_126_220
+GCVGCVQPLRSPGPDPEVATARLGAPCRNEM
+>NM_014831|89414_2_4434_4537
+MAASWRLPGSLPTRTSRPHVCWGPPASMWPGIPT
+>DECOY_NM_014831|89414_2_4434_4537
+TPIGPWMSAPPGWCVHPRSTRTPLSGPLRWSAAM
+>NM_014831|89430_3_2509_2594
+MAQNDQREDCLQPCTDLERNKIFSKGFF
+>DECOY_NM_014831|89430_3_2509_2594
+FFGKSFIKNRELDTCPQLCDERQDNQAM
+>NM_019080|89448_2_740_825
+MAFSCWHFSWHLFSTGLDFVYPSVSPIP
+>DECOY_NM_019080|89448_2_740_825
+PIPSVSPYVFDLGTSFLHWSFHWCSFAM
+>NM_133328|89512_2_417_649
+MAPPALQRGQRVAAVAVGSQAVLQILSRVSGRQAPPQPSGSGGVGAGPVVVPDGGGEGPQPHPSSSQSPPDLPLKAK
+>DECOY_NM_133328|89512_2_417_649
+KAKLPLDPPSQSSSPHPQPGEGGGDPVVVPGAGVGGSGSPQPPAQRGSVRSLIQLVAQSGVAVAAVRQGRQLAPPAM
+>NM_133328|89513_2_693_868
+MGQPWSRAWHPGGPRRWRGSWTCLGRPPQCCAQGTWAPWFVTSSSQSSPIWTPSGATT
+>DECOY_NM_133328|89513_2_693_868
+TTAGSPTWIPSSQSSSTVFWPAWTGQACCQPPRGLCTWSGRWRRPGGPHWARSWPQGM
+>NM_005119|89613_2_534_949
+METTAQIGRITGKHTVLVEAVQDPGPQREGPLHQGPGAILETLISRLLTGQGAPHPPVLPPTIAELNLLSASLQRRKSPLLRIAGHLRLPGITREMRPRSRHSLEAPLKIQKHLRARSHGQMPPTALVLHHGPQQFLS
+>DECOY_NM_005119|89613_2_534_949
+SLFQQPGHHLVLATPPMQGHSRARLHKQIKLPAELSHRSRPRMERTIGPLRLHGAIRLLPSKRRQLSASLLNLEAITPPLVPPHPAGQGTLLRSILTELIAGPGQHLPGERQPGPDQVAEVLVTHKGTIRGIQATTEM
+>NM_017922|89762_2_555_679
+MVTGKSMQTLKSGTTTLNHQMRFIGGGFRQYLLVLTFGYII
+>DECOY_NM_017922|89762_2_555_679
+IIYGFTLVLLYQRFGGGIFRMQHNLTTTGSKLTQMSKGTVM
+>NM_017922|89765_2_1266_1384
+MGLMNELWFSLKDVSYHVPSMRSFGLSMPSTWKTIALKE
+>DECOY_NM_017922|89765_2_1266_1384
+EKLAITKWTSPMSLGFSRMSPVHYSVDKLSFWLENMLGM
+>NM_017922|89768_2_1572_1663
+MEIWKKLNICFRMPLRMPNQIMNLHFMLSN
+>DECOY_NM_017922|89768_2_1572_1663
+NSLMFHLNMIQNPMRLPMRFCINLKKWIEM
+>NM_032272|89781_2_1039_1259
+MVASGPSTTSSTTSGSSESSSLAAVPSVAPPTHPQRQATSWTWSWGRRRWRKKAEAGAVGPRRPAPWRRTGSQ
+>DECOY_NM_032272|89781_2_1039_1259
+QSGTRRWPAPRRPGVAGAEAKKRWRRRGWSWTWSTAQRQPHTPPAVSPVAALSSSESSGSTTSSTTSPGSAVM
+>NM_005335|89800_2_717_808
+MESRRTEWIRALSASMKWRPRPQLIRRRRP
+>DECOY_NM_005335|89800_2_717_808
+PRRRRILQPRPRWKMSASLARIWETRRSEM
+>NM_005335|89807_3_235_320
+MGSQDHRGVWTHRTHQHPPAEEQSIRGA
+>DECOY_NM_005335|89807_3_235_320
+AGRISQEEAPPHQHTRHTWVGRHDQSGM
+>NM_152341|89827_2_566_990
+MAGWEAHIAWPALHPLQAPCSITSLCATKGAALCTPGSSPWTCVGSALSTPLGPCPSSTAPWPAGPGCARLPWWATLCCRVWPAGVLSPPPPPVLGSGHLDGRLLPAYWYLGPGEWVWVQGLQAPCPATCAWTHWRCLGDW
+>DECOY_NM_152341|89827_2_566_990
+WDGLCRWHTWACTAPCPAQLGQVWVWEGPGLYWYAPLLRGDLHGSGLVPPPPPSLVGAPWVRCCLTAWWPLRACGPGAPWPATSSPCPGLPTSLASGVCTWPSSGPTCLAAGKTACLSTISCPAQLPHLAPWAIHAEWGAM
+>NM_015547|89863_2_1112_1242
+MVSGGTERPVPERRSAWTGSTSCPVSRQRCPSPSPGTLATRCT
+>DECOY_NM_015547|89863_2_1112_1242
+TCRTALTGPSPSPCRQRSVPCSTSGTWASRREPVPRETGGSVM
+>NM_173561|90033_2_1095_1168
+MESAPSAPSASGEKQPMRMRTVQH
+>DECOY_NM_173561|90033_2_1095_1168
+HQVTRMRMPQKEGSASPASPASEM
+>NM_173561|90035_2_1206_1414
+MAWRPSIWKSSDSRHQRRNPGQRHHLFPSRPHAIGCPQSSLSSCGCYWSQTASPAMTGADWPPTWGFAA
+>DECOY_NM_173561|90035_2_1206_1414
+AAFGWTPPWDAGTMAPSATQSWYCGCSSLSSQPCGIAHPRSPFLHHRQGPNRRQHRSDSSKWISPRWAM
+>NM_014423|90072_2_1585_1841
+MGNRIVIRQCRGVHQEVTLNLHTIIVKEQITPGMILVATVDLKAALDLTQRVKVVPVTVRQMSHPRVHLPSLNPRQQTNGNLIIG
+>DECOY_NM_014423|90072_2_1585_1841
+GIILNGNTQQRPNLSPLHVRPHSMQRVTVPVVKVRQTLDLAAKLDVTAVLIMGPTIQEKVIITHLNLTVEQHVGRCQRIVIRNGM
+>NM_018917|90115_2_1945_2135
+MASPLSRPPSHSLWLWPTASQMSWLTWAASSLQQTQTTRASHSISWWQWPLSPASSWLLSRCC
+>DECOY_NM_018917|90115_2_1945_2135
+CCRSLLWSSAPSLPWQWWSISHSARTTQTQQLSSAAWTLWSMQSATPWLWLSHSPPRSLPSAM
+>NM_012426|90275_2_1519_1637
+MDLRCQKWLFLSYLVTPTLSGQCVDTLKMSLMPTSLCLS
+>DECOY_NM_012426|90275_2_1519_1637
+SLCLSTPMLSMKLTDVCQGSLTPTVLYSLFLWKQCRLDM
+>NM_012426|90282_2_2998_3080
+MGKNWSFCTRLLWKRSLLLLPHSRGGC
+>DECOY_NM_012426|90282_2_2998_3080
+CGGRSHPLLLLSRKWLLRTCFSWNKGM
+>NM_001008225|90329_2_455_540
+MGFVLHVESHIQKTQQFINHSPRKSCKG
+>DECOY_NM_001008225|90329_2_455_540
+GKCSKRPSHNIFQQTKQIHSEVHLVFGM
+>NM_182646|90499_2_1192_1265
+MENSICVFLALLSRTNQFKYVLGI
+>DECOY_NM_182646|90499_2_1192_1265
+IGLVYKFQNTRSLLALFVCISNEM
+>NM_005130|90552_2_203_429
+MDFTAKWSQNKRTLWATPRLSRKAGPGTKASLSPKTKPTADGLLLSRRRASLSRLSALNWTMNFPVSLLAIQPHA
+>DECOY_NM_005130|90552_2_203_429
+AHPQIALLSVPFNMTWNLASLRSLSARRRSLLLGDATPKTKPSLSAKTGPGAKRSLRPTAWLTRKNQSWKATFDM
+>NM_005509|90631_2_3221_3300
+MEKLILHTFGKNGHYLLKMDFRAIVV
+>DECOY_NM_005509|90631_2_3221_3300
+VVIARFDMKLLYHGNKGFTHLILKEM
+>NM_145000|90691_2_682_755
+MVFQESVYGLHLLLFILQILSPRE
+>DECOY_NM_145000|90691_2_682_755
+ERPSLIQLIFLLLHLGYVSEQFVM
+>NM_178822|90785_2_1735_1874
+MGFITQFSLVKHLIFHAILLVSQMPLLAGLFQETMCSISHQETRKF
+>DECOY_NM_178822|90785_2_1735_1874
+FKRTEQHSISCMTEQFLGALLPMQSVLLIAHFILHKVLSFQTIFGM
+>NM_178822|90787_2_2017_2108
+MEKQRDLDLMSPILLLILRSHQVHNSVHLL
+>DECOY_NM_178822|90787_2_2017_2108
+LLHVSNHVQHSRLILLLIPSMLDLDRQKEM
+>NM_178822|90790_2_4831_4949
+MDRRTQRRVTLIRNQFKKQQLPNSFPLTLCLGIYLKSPG
+>DECOY_NM_178822|90790_2_4831_4949
+GPSKLYIGLCLTLPFSNPLQQKKFQNRILTVRRQTRRDM
+>NM_178822|90797_2_7177_7268
+MVLLSFLKQLGRMQENIAVQLGIKLAILRN
+>DECOY_NM_178822|90797_2_7177_7268
+NRLIALKIGLQVAINEQMRGLQKLFSLLVM
+>NM_001004688|90819_2_754_854
+MEQLCSCTYGPHLITPQRRTRWCLYSTPSSLPC
+>DECOY_NM_001004688|90819_2_754_854
+CPLSSPTSYLCWRTRRQPTILHPGYTCSCLQEM
+>NM_001004688|90820_3_5_330
+MGESDLQLRLHPPWNLQSQPTTHVPLLSGPGHLFSGLHGKLCHGSPHLPGHPAPHPHVLPPQPTVPHGPHAHLHHRTQDGLQLPVWQQVHFYGWLCHTNFLLYITVWL
+>DECOY_NM_001004688|90820_3_5_330
+LWVTIYLLFNTHCLWGYFHVQQWVPLQLGDQTRHHLHAHPGHPVTPQPPLVHPHPAPHGPLHPSGHCLKGHLGSFLHGPGSLLPVHTTPQSQLNWPPHLRLQLDSEGM
+>NM_018938|90827_2_490_626
+MVFKNTQSAPILIFTFSLEIIVRARNTQIWCRTNHWIERSSLSSA
+>DECOY_NM_018938|90827_2_490_626
+ASSLSSREIWHNTRCWIQTNRARVIIELSFTFILIPASQTNKFVM
+>NM_018938|90830_2_1936_2213
+MASLRARPPPRCTCSWWMASPSPTCLSLRRPRPRPRPTLSPSTWWWRWPRCRRSSSSRCSCSWRCGCAGGAGRPRWVAARCPRAPFQGIWWT
+>DECOY_NM_018938|90830_2_1936_2213
+TWWIGQFPARPCRAAVWRPRGAGGACGCRWSCSCRSSSSRRCRPWRWWWTSPSLTPRPRPRPRRLSLCTPSPSAMWWSCTCRPPPRARLSAM
+>NM_173825|90859_3_221_327
+MGCWRLCGQCQQREKHKSSILQLRKWYYFRTRLNK
+>DECOY_NM_173825|90859_3_221_327
+KNLRTRFYYWKRLQLISSKHKERQQCQGCLRWCGM
+>NM_138783|90894_2_618_1009
+MGWWLAALTHPALALPLTLRSLLRASRSRLRQRQRQRRPPARWAAAGSSLRRACTFPLTSTTWKAWPSRVPRCAPTQQAMGLKPWRQWCACRCLCKWVRAPAPSLRTCPRRPWVRWWPAAPCQAWCPAHR
+>DECOY_NM_138783|90894_2_618_1009
+RHAPCWAQCPAAPWWRVWPRRPCTRLSPAPARVWKCLCRCACWQRWPKLGMAQQTPACRPVRSPWAKWTTSTLPFTCARRLSSGAAAWRAPPRRQRQRQRLRSRSARLLSRLTLPLALAPHTLAALWWGM
+>NM_138783|90895_2_855_1009
+MGLKPWRQWCACRCLCKWVRAPAPSLRTCPRRPWVRWWPAAPCQAWCPAHR
+>DECOY_NM_138783|90895_2_855_1009
+RHAPCWAQCPAAPWWRVWPRRPCTRLSPAPARVWKCLCRCACWQRWPKLGM
+>NM_002248|90921_2_329_444
+MAAWGGRWAAGRAPWDETLRTLRPATPHNPRTARASRW
+>DECOY_NM_002248|90921_2_329_444
+WRSARATRPNHPTAPRLTRLTEDWPARGAAWRGGWAAM
+>NM_138289|90941_2_238_335
+MVQDSAKQACLERLDPAMSSAPSWDIVNSMCL
+>DECOY_NM_138289|90941_2_238_335
+LCMSNVIDWSPASSMAPDLRELCAQKASDQVM
+>NM_138289|90944_2_670_827
+MGSLALSPSLRVTPCLTQSPNSVWQGGTSQSTSPGSSLLAGLTSLAYSTRPW
+>DECOY_NM_138289|90944_2_670_827
+WPRTSYALSTLGALLSSGPSTSQSTGGQWVSNPSQTLCPTVRLSPSLALSGM
+>NM_002147|90983_2_207_457
+MGWTSASTAPRPPPATLGRWARARAPSPRPPRSPASGKRLRAAPCPRPSPCPAPTATATAPSPLLRPPPTRRPQPAPAPISPK
+>DECOY_NM_002147|90983_2_207_457
+KPSIPAPAPQPRRTPPPRLLPSPATATATPAPCPSPRPCPAARLRKGSAPSRPPRPSPARARAWRGLTAPPPRPATSASTWGM
+>NM_001004691|91013_2_754_854
+MEQVCSCAFSPHLIILLCRTRWCLYSTPSSLPC
+>DECOY_NM_001004691|91013_2_754_854
+CPLSSPTSYLCWRTRCLLIILHPSFACSCVQEM
+>NM_032575|91034_2_691_806
+MAEVSTPGTRCSSTSAHTPTRSHTAVRPAARASPAWRT
+>DECOY_NM_032575|91034_2_691_806
+TRWAPSARAAPRVATHSRTPTHASTSSCRTGPTSVEAM
+>NM_017854|91073_2_239_399
+MASWHRASGSSPSCRVTWVGKQHMASSCWAACAWCGAAPRTPWAWRRCEDPCS
+>DECOY_NM_017854|91073_2_239_399
+SCPDECRRWAWPTRPAAGCWACAAWCSSAMHQKGVWTVRCSPSSGSARHWSAM
+>NM_152513|91107_2_815_939
+MDWEKVLRISKGHQEIPHCLWCSKSFSSLEMKPCRWPVLTV
+>DECOY_NM_152513|91107_2_815_939
+VTLVPWRCPKMELSSFSKSCWLCHPIEQHGKSIRLVKEWDM
+>NM_152513|91111_2_2411_2514
+MGTVSWNFGSSGKRAAMRNWMMSPLLGSPPFLPA
+>DECOY_NM_152513|91111_2_2411_2514
+APLFPPSGLLPSMMWNRMAARKGSSGFNWSVTGM
+>NM_001004471|91199_2_769_917
+MAAAASCTCVLGPAPQRMRTAKSRWSTPLSPPYSTLCFTALGTRMSKVL
+>DECOY_NM_001004471|91199_2_769_917
+LVKSMRTGLATFCLTSYPPSLPTSWRSKATRMRQPAPGLVCTCSAAAAM
+>NM_177980|91242_2_2239_2498
+MDALYPMMKATKHWSCIMRRAKALQPRHGQMLKARGRLCSSAQLQQDPRRELRISRKCLHLQRVSQPKHAVLWGAGVMASPLSQEV
+>DECOY_NM_177980|91242_2_2239_2498
+VEQSLPSAMVGAGWLVAHKPQSVRQLHLCKRSIRLERRPDQQLQASSCLRGRAKLMQGHRPQLAKARRMICSWHKTAKMMPYLADM
+>NM_014516|91268_2_1332_1636
+MGSPPPQHPQVPWAPRPVQLPATTRAPLLPMPRLWPHQLPVGPARPSPGPPASSLAEAEAAAAEVEGAAAVVTAVPVEGLASRMAPPVTAQLWQTARQRWL
+>DECOY_NM_014516|91268_2_1332_1636
+LWRQRATQWLQATVPPAMRSALGEVPVATVVAAAGEVEAAAAEAEALSSAPPGPSPRAPGVPLQHPWLRPMPLLPARTTAPLQVPRPAWPVQPHQPPPSGM
+>NM_014830|91512_2_1347_1438
+MEYLRTTSLSTPTIPCQGSWVSFQGQPPQS
+>DECOY_NM_014830|91512_2_1347_1438
+SQPPQGQFSVWSGQCPITPTSLSTTRLYEM
+>NM_052950|91594_2_1127_1266
+MVGLSSGTWTWRGRRPLNGWTVIPAKSVISLSSGTSSKCGTVRKLV
+>DECOY_NM_052950|91594_2_1127_1266
+VLKRVTGCKSSTGSSLSIVSKAPIVTWGNLPRRGRWTWTGSSLGVM
+>NM_152349|91681_2_516_595
+MVVSKVGKKTKSLPQVELVLFYHQPL
+>DECOY_NM_152349|91681_2_516_595
+LPQHYFLVLEVQPLSKTKKGVKSVVM
+>NM_001099692|91709_2_131_321
+MALWCSKAGHVRSWRCLLRRLASTATPRSIWLVLTSLLGRNMKISARQLIIWMSPTSKGMTSS
+>DECOY_NM_001099692|91709_2_131_321
+SSTMGKSTPSMWIILQRASIKMNRGLLSTLVLWISRPTATSALRRLLCRWSRVHGAKSCWLAM
+>NM_001099692|91710_2_335_450
+MGTYHCSRTAGRYQRTFVSLRETLARRLSRSTTVEKRS
+>DECOY_NM_001099692|91710_2_335_450
+SRKEVTTSRSLRRALTERLSVFTRQYRGATRSCHYTGM
+>NM_001009991|91723_2_1521_1633
+MESSQSGLSWFSLHGPENSKRLKKGQISHHFMVNFVW
+>DECOY_NM_001009991|91723_2_1521_1633
+WVFNVMFHHSIQGKKLRKSNEPGHLSFWSLGSQSSEM
+>NM_001013734|91800_2_1009_1088
+MVSSLWSFCVHSSVLSSWEKGRVATS
+>DECOY_NM_001013734|91800_2_1009_1088
+STAVRGKEWSSLVSSHVCFSWLSSVM
+>NM_017650|91901_2_457_581
+MAPMSTELKTYLCRWVWNPTRMLQSLPKQGGKVDIHLLREE
+>DECOY_NM_017650|91901_2_457_581
+EERLLHIDVKGGQKPLSQLMRTPNWVWRCLYTKLETSMPAM
+>NM_017650|91904_2_676_887
+MALHIPSSLRLERCLREVCMNQDRTTAIPQRKRKLEGVNLRMNGEVPSPTEAVLIPWTALAPELRLSPQL
+>DECOY_NM_017650|91904_2_676_887
+LQPSLRLEPALATWPILVAETPSPVEGNMRLNVGELKRKRQPIATTRDQNMCVERLCRELRLSSPIHLAM
+>NM_017650|91907_2_1237_1313
+MVLKNLVLKVRQCQSPKSLHHKANC
+>DECOY_NM_017650|91907_2_1237_1313
+CNAKHHLSKPSQCQRVKLVLNKLVM
+>NM_017650|91914_2_2752_2873
+MGLKLTIITTSLREEHLLVKSLKGIPWRTWMASRHLAKMA
+>DECOY_NM_017650|91914_2_2752_2873
+AMKALHRSAMWTRWPIGKLSKVLLHEERLSTTIITLKLGM
+>NM_017650|91917_2_3193_3272
+MDSLPNTVSVRIGPFRNGVCSRFLTG
+>DECOY_NM_017650|91917_2_3193_3272
+GTLFRSCVGNRFPGIRVSVTNPLSDM
+>NM_014319|91981_2_646_737
+MEQWRTRKGRERTVRRGTRRPRSRSGRAGP
+>DECOY_NM_014319|91981_2_646_737
+PGARGSRSRPRRTGRRVTRERGKRTRWQEM
+>NM_016293|92054_2_1073_1173
+MAPPRPSPLLPLKGPSPRRKFSPAPQLHHQAEP
+>DECOY_NM_016293|92054_2_1073_1173
+PEAQHHLQPAPSFKRRPSPGKLPLLPSPRPPAM
+>NM_024018|92115_3_869_1092
+MEKNILTCCQCCPGPRHWSSLSLRVRGQKKCDIGPLQGEHSGQPREIRQSALCPGPGELRLRETLLGGRCGKCD
+>DECOY_NM_024018|92115_3_869_1092
+DCKGCRGGLLTERLRLEGPGPCLASQRIERPQGSHEGQLPGIDCKKQGRVRLSLSSWHRPGPCCQCCTLINKEM
+>NM_012368|92125_2_821_906
+MGICFRPRTANRTRASSFPCSTRWSHPW
+>DECOY_NM_012368|92125_2_821_906
+WPHSWRTSCPFSSARTRNATRPRFCIGM
+>NM_021035|92174_2_566_693
+MATRTVGTADHHGPMTTSSSGGLPTRSLQNSHSRRRNWATSS
+>DECOY_NM_021035|92174_2_566_693
+SSTAWNRRRSHSNQLSRTPLGGSSSTTMPGHHDATGVTRTAM
+>NM_032862|92208_2_1465_1637
+MGVRPRAAGRRWAQPCPLQRLRPQPVCPLPWGAERTRRRPPTMEGPQCRLPGRPCGG
+>DECOY_NM_032862|92208_2_1465_1637
+GGCPRGPLRCQPGEMTPPRRRTREAGWPLPCVPQPRLRQLPCPQAWRRGAARPRVGM
+>NM_032342|92285_2_845_945
+MVMTLRPTRLRKRSRTMSIAWSHPCRPTTQTTS
+>DECOY_NM_032342|92285_2_845_945
+STTQTTPRCPHSWAISMTRSRKRLRTPRLTMVM
+>NM_032342|92289_3_1113_1189
+MGWCRHVAGALTNLDIHEVCQPPRV
+>DECOY_NM_032342|92289_3_1113_1189
+VRPPQCVEHIDLNTLAGAVHRCWGM
+>NM_032534|92299_2_1750_2030
+MAPAGPWPPEEPPPASPHPAAPTGTWILGVLWGTRGSILEKEAHQEAPHCRVWRIVSRRYLCLCCGLPGPAPQQQTGDRGEQSPGTGQQTRKD
+>DECOY_NM_032534|92299_2_1750_2030
+DKRTQQGTGPSQEGRDGTQQQPAPGPLGCCLCLYRRSVIRWVRCHPAEQHAEKELISGRTGWLVGLIWTGTPAAPHPSAPPPEEPPWPGAPAM
+>NM_032534|92300_2_2629_2891
+MEDPELQPRPMRGCSPRARLSCPVSLPLRSCPLRKLRLLCCQPPPCSRHATVGSPCSRSCTASVLPLRRSWIGSPQRWQAWLRKWPP
+>DECOY_NM_032534|92300_2_2629_2891
+PPWKRLWAQWRQPSGIWSRRLPLVSATCSRSCPSGVTAHRSCPPPQCCLLRLKRLPCSRLPLSVPCSLRARPSCGRMPRPQLEPDEM
+>NM_015953|92318_2_162_256
+MARTAPQGPSTPTTRRRRTQRPRAMGPRTFD
+>DECOY_NM_015953|92318_2_162_256
+DFTRPGMARPRQTRRRRTTPTSPGQPATRAM
+>NM_004262|92333_2_1095_1180
+MEPSCLECCVLEYLKVEWTHVRVTLVAH
+>DECOY_NM_004262|92333_2_1095_1180
+HAVLTVRVHTWEVKLYELVCCELCSPEM
+>NM_016108|92409_3_552_745
+MGVLGASCNWHVGVPFPGTHWPRSQNHLLWVYNHLNELPVPAGRSSEQLYLGYTEKYGRRERKA
+>DECOY_NM_016108|92409_3_552_745
+AKRERRGYKETYGLYLQESSRGAPVPLENLHNYVWLLHNQSRPWHTGPFPVGVHWNCSAGLVGM
+>NM_001270|92459_2_269_891
+MEAVASQVAVTLTPDLNQAVSQSLSQTLPEKTKFKQNHRKLMELSFGNLVLVFWPFRDLQSSRSSNSSSSNNNIKPHLIADQKRIPLAVKIPMTHQVRSKGKSIKMKIGKCLGQDLHLSLVQIQNLKKRERKAVVMKQNLIMSQKTKSKAENLKIDLSQKMERRFLDKKRDRLIHLRRMMMKKIMIMIKEVLVAKQLLMLAIRRMKK
+>DECOY_NM_001270|92459_2_269_891
+KKMRRIALMLLQKAVLVEKIMIMIKKMMMRRLHILRDRKKDLFRREMKQSLDIKLNEAKSKTKQSMILNQKMVVAKRERKKLNQIQVLSLHLDQGLCKGIKMKISKGKSRVQHTMPIKVALPIRKQDAILHPKINNNSSSSNSSRSSQLDRFPWFVLVLNGFSLEMLKRHNQKFKTKEPLTQSLSQSVAQNLDPTLTVAVQSAVAEM
+>NM_001270|92465_2_749_891
+MERRFLDKKRDRLIHLRRMMMKKIMIMIKEVLVAKQLLMLAIRRMKK
+>DECOY_NM_001270|92465_2_749_891
+KKMRRIALMLLQKAVLVEKIMIMIKKMMMRRLHILRDRKKDLFRREM
+>NM_001270|92471_2_1421_1518
+MELSFPKSFKHALMSILAGTNQKPLLLKIAKY
+>DECOY_NM_001270|92471_2_1421_1518
+YKAIKLLLPKQNTGALISMLAHKFSKPFSLEM
+>NM_001270|92472_2_2171_2289
+MAKGENMVMQAFTRSLSHFCYAELRKMWKNLFLPRLSRF
+>DECOY_NM_001270|92472_2_2171_2289
+FRSLRPLFLNKWMKRLEAYCFHSLSRTFAQMVMNEGKAM
+>NM_001270|92473_2_2189_2289
+MVMQAFTRSLSHFCYAELRKMWKNLFLPRLSRF
+>DECOY_NM_001270|92473_2_2189_2289
+FRSLRPLFLNKWMKRLEAYCFHSLSRTFAQMVM
+>NM_001270|92484_2_4889_5016
+MVKTIVIGITTSKTADITVTERNTENWMITGVEITGQIWKEV
+>DECOY_NM_001270|92484_2_4889_5016
+VEKWIQGTIEVGTIMWNETNRETVTIDATKSTTIGIVITKVM
+>NM_020870|92575_2_852_961
+MGKSMESMAFSPPTLCRLLNRYLSPHLSAKHFMTLK
+>DECOY_NM_020870|92575_2_852_961
+KLTMFHKASLHPSLYRNLLRCLTPPSFAMSEMSKGM
+>NM_020870|92579_2_1971_2065
+MAWLGVPVLSPQLWYQQLTSRQVLRLRSCCT
+>DECOY_NM_020870|92579_2_1971_2065
+TCCSRLRLVQRSTLQQYWLQPSLVPVGLWAM
+>NM_020870|92581_2_2634_2803
+MAGQAPALWTGTDRSRLQWQEQPWPRMLFIGRQVPWTPQFPSLHLLARPVPPWVLS
+>DECOY_NM_020870|92581_2_2634_2803
+SLVWPPVPRALLHLSPFQPTWPVQRGIFLMRPWPQEQWQLRSRDTGTWLAPAQGAM
+>NM_001014434|92629_2_991_1085
+MGVLIKLTARRFRPRPQQTAELSLHPALRPL
+>DECOY_NM_001014434|92629_2_991_1085
+LPRLAPHLSLEATQQPRPRFRRATLKILVGM
+>NM_001017403|92657_3_29_159
+MALRRAVRFPEGRRRPPARPGAHRLPGPLPLPGGRHHAVCRLL
+>DECOY_NM_001017403|92657_3_29_159
+LLRCVAHHRGGPLPLPGPLRHAGPRAPPRRRGEPFRVARRLAM
+>NM_002705|92762_2_1129_1337
+MALTSRTGTRLSCCCGSWMTRRRCWTSMRTWCRGCRSEASRWCPSSTAGRLRSSPSPWRHSVTLRGSRA
+>DECOY_NM_002705|92762_2_1129_1337
+ARSGRLTVSHRWPSPSSRLRGATSSPCWRSAESRCGRCWTRMSTWCRRRTMWSGCCCSLRTGTRSTLAM
+>NM_002705|92780_3_3563_3648
+MGLGGGERQSGGAGEGAGDRAARPQGGK
+>DECOY_NM_002705|92780_3_3563_3648
+KGGQPRAARDGAGEGAGGSQREGGGLGM
+>NM_006576|92806_2_1203_1324
+MATEKLRSGELRTWSWSLWSINGMASFMGETVIWSSTHTR
+>DECOY_NM_006576|92806_2_1203_1324
+RTHTSSWIVTEGMFSAMGNISWLSWSWTRLEGSRLKETAM
+>NM_006576|92812_2_1692_1864
+MARGLVGMSGQWLRSWPAFSVMAARTLWPRARSQPSSGTYWEGKLPMPMIKDFSRKS
+>DECOY_NM_006576|92812_2_1692_1864
+SKRSFDKIMPMPLKGEWYTGSSPQSRARPWLTRAAMVSFAPWSRLWQGSMGVLGRAM
+>NM_006576|92814_2_1755_1864
+MAARTLWPRARSQPSSGTYWEGKLPMPMIKDFSRKS
+>DECOY_NM_006576|92814_2_1755_1864
+SKRSFDKIMPMPLKGEWYTGSSPQSRARPWLTRAAM
+>NM_014415|92843_2_1239_1330
+MEVQHLLLLAVREPQQVYLLNLGIVKLYYW
+>DECOY_NM_014415|92843_2_1239_1330
+WYYLKVIGLNLLYVQQPERVALLLLHQVEM
+>NM_019609|92908_2_542_783
+MEPGVLRSRTPIHGFRWTLGTPPASRVLSHRAGTLSGGMTGSHHTRSSSAMTVGPGGEVGTTAVGWTQYFLPIQTQKLQC
+>DECOY_NM_019609|92908_2_542_783
+CQLKQTQIPLFYQTWGVATTGVEGGPGVTMASSSRTHHSGTMGGSLTGARHSLVRSAPPTGLTWRFGHIPTRSRLVGPEM
+>NM_019609|92914_2_1412_1509
+MGRCPTSSPTITCHCPLTTPCPMPPWLLKRGQ
+>DECOY_NM_019609|92914_2_1412_1509
+QGRKLLWPPMPCPTTLPCHCTITPSSTPCRGM
+>NM_016333|93066_2_4834_4946
+MVYPELHQGEAGLGLLQDLEMGLGLPRGTACLGPLLE
+>DECOY_NM_016333|93066_2_4834_4946
+ELLPGLCATGRPLGLGMELDQLLGLGAEGQHLEPYVM
+>NM_016333|93068_2_8020_8111
+MACSLSLPLGCPTLMWGSHLPLLGPSSLLH
+>DECOY_NM_016333|93068_2_8020_8111
+HLLSSPGLLPLHSGWMLTPCGLPLSLSCAM
+>NM_152369|93112_2_1444_1649
+MVHCPGTCSDAATAVSGVLTNTCSISTRMHILQLLLMGQISVHQQKMHSKSCPRTQVTLHLLTALETS
+>DECOY_NM_152369|93112_2_1444_1649
+STELATLLHLTVQTRPCSKSHMKQQHVSIQGMLLLQLIHMRTSISCTNTLVGSVATAADSCTGPCHVM
+>NM_022135|93136_2_642_742
+MGSFCTTSFHTSSWTLLSGNHYSLLRRGCSRSL
+>DECOY_NM_022135|93136_2_642_742
+LSRSCGRRLLSYHNGSLLTWSSTHFSTTCFSGM
+>NM_021210|93257_2_150_226
+MECVCTTANGTARSKQGFPRRRSIS
+>DECOY_NM_021210|93257_2_150_226
+SISRRRPFGQKSRATGNATTCVCEM
+>NM_021210|93258_2_291_367
+MASWPSKLAVTNSITTRRPLGSKLS
+>DECOY_NM_021210|93258_2_291_367
+SLKSGLPRRTTISNTVALKSPWSAM
+>NM_021260|93281_2_1211_1332
+MESLILSTTLSFMVVKSLKPPRPRSPALWECGQPMTQFTK
+>DECOY_NM_021260|93281_2_1211_1332
+KTFQTMPQGCEWLAPSRPRPPKLSKVVMFSLTTSLILSEM
+>NM_021260|93286_2_1976_2091
+MGRKECLMKPRAAADTPTSMTTECIPARPAMREARKSV
+>DECOY_NM_021260|93286_2_1976_2091
+VSKRAERMAPRAPICETTMSTPTDAAARPKMLCEKRGM
+>NM_001004727|93326_3_419_513
+MGGRLHAFLCTNPSHLPPALLWPQCDQSLFL
+>DECOY_NM_001004727|93326_3_419_513
+LFLSQDCQPWLLAPPLHSPNTCLFAHLRGGM
+>NM_003760|93378_2_2385_2671
+MGLHFHLNQNPGSLLILKVRSSMTGSFCWTSSSCLPVYKNQRACLLSVMWFLTRSTNPNCQCELWILEFCLEDQTLHQPLLILEGRHLVEEAYLC
+>DECOY_NM_003760|93378_2_2385_2671
+CLYAEEVLHRGELILLPQHLTQDELCFELIWLECQCNPNTSRTLFWMVSLLCARQNKYVPLCSSSTWCFSGTMSSRVKLILLSGPNQNLHFHLGM
+>NM_031277|93509_2_2256_2347
+MEFGTEQKLSDCLDIRKLKLNMWTLVILQK
+>DECOY_NM_031277|93509_2_2256_2347
+KQLIVLTWMNLKLKRIDLCDSLKQETGFEM
+>NM_031277|93513_2_3831_3964
+MDSLKRFRSAIFTLFCCILIYPSFVFLVSSIIPHLLGMSGNQMQ
+>DECOY_NM_031277|93513_2_3831_3964
+QMQNGSMGLLHPIISSVLFVFSPYILICCFLTFIASRFRKLSDM
+>NM_001029|93522_2_287_396
+MVVPKRAAATCSLFAALTVPDACPRTRPLRNSSFET
+>DECOY_NM_001029|93522_2_287_396
+TEFSSNRLPRTRPCADPVTLAAFLSCTAAARKPVVM
+>NM_152539|93660_2_275_450
+MGIVHLVRLAAEHPTLLMFLTLEQMIRLIKHRLNRLKARHLAKLIMYSMNRVMVRCLA
+>DECOY_NM_152539|93660_2_275_450
+ALCRVMVRNMSYMILKALHRAKLRNLRHKILRIMQELTLFMLLTPHEAALRVLHVIGM
+>NM_001005190|93682_3_8_333
+MEQYNNFRISSPGNFRGTRIAGLPLWAVPVHVPGHCAREPAHHPGHNLRLPPPHPHVLLPLQPVLRRHLFCLYHCPEDAGEHPDTQQSHHLCRLHHPDVLFLTLCRIG
+>DECOY_NM_001005190|93682_3_8_333
+GIRCLTLFLVDPHHLRCLHHSQQTDPHEGADEPCHYLCFLHRRLVPQLPLLVHPHPPPLRLNHGPHHAPERACHGPVHVPVAWLPLGAIRTGRFNGPSSIRFNNYQEM
+>NM_016626|93715_2_928_1013
+MGLPWEDYHVVLICPVKPPSKSGSLIVW
+>DECOY_NM_016626|93715_2_928_1013
+WVILSGSKSPPKVPCILVVHYDEWPLGM
+>NM_005292|93737_3_632_756
+MGFQLYHQEENHGNHLYDECGISGLDIYNDFTLSNVLLCKR
+>DECOY_NM_005292|93737_3_632_756
+RKCLLVNSLTFDNYIDLGSIGCEDYLHNGHNEEQHYLQFGM
+>NM_014815|93809_2_2093_2238
+MGSWPSSPSRKSLITSKGRYAVWRCVLWLGLWPTSGCWGWMSVRSRCR
+>DECOY_NM_014815|93809_2_2093_2238
+RCRSRVSMWGWCGSTPWLGLWLVCRWVAYRGKSTILSKRSPSSPWSGM
+>NM_030912|93947_2_1501_1769
+MAAARFSSVLWTTVTVLPWPTMAATSPTPAPATFPGQCPRRSTHTRSRPHPPSPSPFPAWRSETGLTPPSSPATRISTGCMGSRPPNTT
+>DECOY_NM_030912|93947_2_1501_1769
+TTNPPRSGMCGTSIRTAPSSPPTLGTESRWAPFPSPSPPHPRSRTHTSRRPCQGPFTAPAPTPSTAAMTPWPLVTVTTWLVSSFRAAAM
+>NM_030912|93948_2_1564_1769
+MAATSPTPAPATFPGQCPRRSTHTRSRPHPPSPSPFPAWRSETGLTPPSSPATRISTGCMGSRPPNTT
+>DECOY_NM_030912|93948_2_1564_1769
+TTNPPRSGMCGTSIRTAPSSPPTLGTESRWAPFPSPSPPHPRSRTHTSRRPCQGPFTAPAPTPSTAAM
+>NM_001099293|93989_3_3372_3496
+MEANKISQGVQEEHPRVFLQGLVWEQAVWVQEAKVRLWCGL
+>DECOY_NM_001099293|93989_3_3372_3496
+LGCWLRVKAEQVWVAQEWVLGQLFVRPHEEQVGQSIKNAEM
+>NM_152320|94045_3_242_354
+MGINECTAGWSRAPGGKGKPGRAAMENSTRTSGAPVL
+>DECOY_NM_152320|94045_3_242_354
+LVPAGSTRTSNEMAARGPKGKGGPARSWGATCENIGM
+>NM_022152|94061_2_208_326
+MGSHLSCQEGILPTLATRSLATVTLLATHSPCPPPTRCP
+>DECOY_NM_022152|94061_2_208_326
+PCRTPPPCPSHTALLTVTALSRTALTPLIGEQCSLHSGM
+>NM_004361|94079_2_661_950
+MVPSNTSCQAKGQVPFSLLMRTLGIFMPPRDWIVRSRPTTRSELKRWIGSPTNPWSPSRSLSSKFRISTTMNPNFWMAHTRQEFPKCLPWGPQWYK
+>DECOY_NM_004361|94079_2_661_950
+KYWQPGWPLCKPFEQRTHAMWFNPNMTTSIRFKSSLSRSPSWPNTPSGIWRKLESRTTPRSRVIWDRPPMFIGLTRMLLSFPVQGKAQCSTNSPVM
+>NM_004361|94089_2_1339_1448
+MVWAFLRFLLTKKPRKESLLYRRSWILKPKQVTRYG
+>DECOY_NM_004361|94089_2_1339_1448
+GYRTVQKPKLIWSRRYLLSEKRPKKTLLFRLFAWVM
+>NM_178824|94109_2_1006_1289
+MELWIFHKSSFLRRKYWLQAGRGLLLCFDPKTSINFSSSLKNGKEVYSTMMTSCVLRFYLHKLLLRGVMMEKLFYGTIAQRMLTMFFTLITRGC
+>DECOY_NM_178824|94109_2_1006_1289
+CGRTILTFFMTLMRQAITGYFLKEMMVGRLLLKHLYFRLVCSTMMTSYVEKGNKLSSSFNISTKPDFCLLLGRGAQLWYKRRLFSSKHFIWLEM
+>NM_178824|94113_2_1213_1289
+MEKLFYGTIAQRMLTMFFTLITRGC
+>DECOY_NM_178824|94113_2_1213_1289
+CGRTILTFFMTLMRQAITGYFLKEM
+>NM_178824|94117_3_959_1041
+MGLQWILSPYTKCWARWSCGYFTNPHS
+>DECOY_NM_178824|94117_3_959_1041
+SHPNTFYGCSWRAWCKTYPSLIWQLGM
+>NM_183240|94142_2_173_471
+MGTGSWLRTASSGSGTSAPPPTRRSASETWARPMCPGWPWAWAWYAAWAPWPWWPPFLAWSSSWCPSCARTNTHSASGSWVPSSSWCLSSSPPAGSWVL
+>DECOY_NM_183240|94142_2_173_471
+LVWSGAPPSSSLCWSSSPVWSGSASHTNTRACSPCWSSSWALFPPWWPWPAWAAYWAWAWPWGPCMPRAWTESASRRTPPPASTGSGSSATRLWSGTGM
+>NM_020805|94322_2_1796_1869
+MGKYTFQGVYTMENMSHGYIAMTQ
+>DECOY_NM_020805|94322_2_1796_1869
+QTMAIYGHSMNEMTYVGQFTYKGM
+>NM_020805|94330_3_1440_1579
+MENTHNYAIQQCPPLRCGGGKLLVRVGWRGPVESEWKTQYKFCQPI
+>DECOY_NM_020805|94330_3_1440_1579
+IPQCFKYQTKWESEVPGRWGVRVLLKGGGCRLPPCQQIAYNHTNEM
+>NM_001009562|94359_2_958_1070
+MVGLHLRLTFCWESSPYLLLQLACFQEDISLKNSNCL
+>DECOY_NM_001009562|94359_2_958_1070
+LCNSNKLSIDEQFCALQLLLYPSSEWCFTLRLHLGVM
+>NM_138371|94369_2_869_1044
+MVRTPGEATWRTWRTCSSAWARCCPSLASWCGTRPCLWARKSPGVFFRPSSGGRRPPS
+>DECOY_NM_138371|94369_2_869_1044
+SPPRRGGSSPRFFVGPSKRAWLCPRTGCWSALSPCCRAWASSCTRWTRWTAEGPTRVM
+>NM_170664|94394_2_1532_1686
+MESWPPLLMTSSSWPKPTPAGPWRTCGAWRKTHSSGPWNCWELSRVSAGLS
+>DECOY_NM_170664|94394_2_1532_1686
+SLGASVRSLEWCNWPGSSHTKRWAGCTRWPGAPTPKPWSSSTMLLPPWSEM
+>NM_058187|94435_2_1311_1621
+MEFLLATLWQPLLTLEPTRRELPCCSCPVSASAWPSHCAPWSSESPVPRTSATCSWGGSSWCQEVTRSRRTARMKKRRRTPLSLISQGNCRGSVGLHILYTVP
+>DECOY_NM_058187|94435_2_1311_1621
+PVTYLIHLGVSGRCNGQSILSLPTRRRKKMRATRRSRTVEQCWSSGGWSCTASTRPVPSESSWPACHSPWASASVPCSCCPLERRTPELTLLPQWLTALLFEM
+>NM_018847|94486_3_1548_1639
+MEIFSPNGCSPLPAWYCCHWKLSLCSWWSE
+>DECOY_NM_018847|94486_3_1548_1639
+ESWWSCLSLKWHCCYWAPLPSCGNPSFIEM
+>NM_001004750|94515_2_274_383
+MEPASLRPILSILFLSWSQVSCLPWLMTVSLPSAAP
+>DECOY_NM_001004750|94515_2_274_383
+PAASPLSVTMLWPLCSVQSWSLFLISLIPRLSAPEM
+>NM_178523|94587_2_590_675
+MVKQMIKKCQCPMKTILLVKEINIVKGM
+>DECOY_NM_178523|94587_2_590_675
+MGKVINIEKVLLITKMPCQCKKIMQKVM
+>NM_001080407|94637_2_1696_1808
+MGAASPTGLSCMRSPSAPEAASVPTLMTWHRCFWMRQ
+>DECOY_NM_001080407|94637_2_1696_1808
+QRMWFCRHWTMLTPVSAAEPASPSRMCSLGTPSAAGM
+>NM_001080407|94639_2_2149_2276
+MDLCSSMDVTLGDIGILGLRKHCTFLEFGFIQKTMRSSCLRR
+>DECOY_NM_001080407|94639_2_2149_2276
+RRLCSSRMTKQIFGFELFTCHKRLGLIGIDGLTVDMSSCLDM
+>NM_003386|94714_2_7954_8168
+MECPAGTIYQSCMTPCPASCANLADPGDCEGPCVEGCASIPGYAYSGTQSLPWLTVAAPAMASTTSWAAAF
+>DECOY_NM_003386|94714_2_7954_8168
+FAAAWSTTSAMAPAAVTLWPLSQTGSYAYGPISACGEVCPGECDGPDALNACSAPCPTMCSQYITGAPCEM
+>NM_052891|94746_2_327_427
+MAGCMKVLAGTSKACTPRATTTFPWASPSLAIR
+>DECOY_NM_052891|94746_2_327_427
+RIALSPSAWPFTTTARPTCAKSTGALVKMCGAM
+>NM_052891|94749_2_798_871
+MVACMKGLDGTSKALTLMDSTILP
+>DECOY_NM_052891|94749_2_798_871
+PLITSDMLTLAKSTGDLGKMCAVM
+>NM_052891|94750_3_67_326
+MASCLLHSGSPGLGYSHHRLPQGVGGKTARLQGPADPACGLHHHRPAPRDAVPAAERLQPDAAGVAVPFRLHHRLVRRGVQLPGWG
+>DECOY_NM_052891|94750_3_67_326
+GWGPLQVGRRVLRHHLRFPVAVGAADPQLREAAPVADRPAPRHHHLGCAPDAPGQLRATKGGVGQPLRHHSYGLGPSGSHLLCSAM
+>NM_052902|94834_2_2327_2592
+MVTTLTGPRTAHLRHRAPVTMVVGASVPPLSAVASALWTTDSGSSWMLRCSAMPRRSSSAASRCQWHWQATLGSSCALWLCLTAGCTC
+>DECOY_NM_052902|94834_2_2327_2592
+CTCGATLCLWLACSSGLTAQWHWQCRSAASSSRRPMASCRLMWSSGSDTTWLASAVASLPPVSAGVVMTVPARHRLHATRPGTLTTVM
+>NM_052902|94835_2_2387_2592
+MVVGASVPPLSAVASALWTTDSGSSWMLRCSAMPRRSSSAASRCQWHWQATLGSSCALWLCLTAGCTC
+>DECOY_NM_052902|94835_2_2387_2592
+CTCGATLCLWLACSSGLTAQWHWQCRSAASSSRRPMASCRLMWSSGSDTTWLASAVASLPPVSAGVVM
+>NM_052902|94841_3_228_703
+MGPWPDRLCGSALPSCRLPCYSSASVSLRCAAENTFTQAGPCCWSWPHRAHQDFPLQIPSAPGAPRCSPPLSAWPPRHLLPAGDPDLQQEPPGIRGAPLSLRRRLLLCPPLAGSAFCQLQLQCTDRLRQLPAPLVSSAFLEPKPQSSPGLSGIPDGFV
+>DECOY_NM_052902|94841_3_228_703
+VFGDPIGSLGPSSQPKPELFASSVLPAPLQRLRDTCQLQLQCFASGALPPCLLLRRRLSLPAGRIGPPEQQLDPDGAPLLHRPPWASLPPSCRPAGPASPIQLPFDQHARHPWSWCCPGAQTFTNEAACRLSVSASSYCPLRCSPLASGCLRDPWPGM
+>NM_003408|94879_2_476_579
+MAVTVVHWGKKIICIKNMFLQRKGFLNLSHVEKF
+>DECOY_NM_003408|94879_2_476_579
+FKEVHSLNLFGKRQLFMNKICIIKKGWHVVTVAM
+>NM_004732|95000_2_94_233
+MVGRPAGGTGILRGVEGLAPRPELHWFPDPQRPLGPSERAPAEALA
+>DECOY_NM_004732|95000_2_94_233
+ALAEAPARESPGLPRQPDPFWHLEPRPALGEVGRLIGTGGAPRGVM
+>NM_004732|95003_2_889_1112
+MGESQILAGPPSRATSGSRTKCRVKMARSNKPKSWTFFLSLTSWAAPWPSLLLRGVSAVRVSALSCWGCRVRSS
+>DECOY_NM_004732|95003_2_889_1112
+SSRVRCGWCSLASVRVASVGRLLLSPWPAAWSTLSLFFTWSKPKNSRAMKVRCKTRSGSTARSPPGALIQSEGM
+>NM_004732|95004_2_964_1112
+MARSNKPKSWTFFLSLTSWAAPWPSLLLRGVSAVRVSALSCWGCRVRSS
+>DECOY_NM_004732|95004_2_964_1112
+SSRVRCGWCSLASVRVASVGRLLLSPWPAAWSTLSLFFTWSKPKNSRAM
+>NM_030759|95093_3_904_1055
+MELATTCRNCYSLLNLAEVRSKYWESQGHSNPQSSSLGFSISRTSSYGAL
+>DECOY_NM_030759|95093_3_904_1055
+LAGYSSTRSISFGLSSSQPNSHGQSEWYKSRVEALNLLSYCNRCTTALEM
+>NM_178483|95169_2_358_458
+MVLGTCIRDLPGSQQTLSLQSRSLSLWSWFWAK
+>DECOY_NM_178483|95169_2_358_458
+KAWFWSWLSLSRSQLSLTQQSGPLDRICTGLVM
+>NM_014657|95204_2_1701_1780
+MGIFICLWITLWNFTINLWFTGSKLP
+>DECOY_NM_014657|95204_2_1701_1780
+PLKSGTFWLNITFNWLTIWLCIFIGM
+>NM_018690|95230_2_323_510
+MAAPMGPKQRGRTVGLGRQPRLPGARSQAPTWRPERNPRQGLGLAKTGAAKPRRGRSPMSRK
+>DECOY_NM_018690|95230_2_323_510
+KRSMPSRGRRPKAAGTKALGLGQRPNREPRWTPAQSRAGPLRPQRGLGVTRGRQKPGMPAAM
+>NM_018690|95232_2_617_762
+MGRRRGRLVLLGQRRQGTTGRWSRGSGRQMQGKLRSLGPKGLGKEKRW
+>DECOY_NM_018690|95232_2_617_762
+WRKEKGLGKPGLSRLKGQMQRGSGRSWRGTTGQRRQGLLVLRGRRRGM
+>NM_001040453|95386_2_317_462
+MVLGYGRRRPAAGMGWGRRPPGGASRTRLLPRALLRFPDPAAPPRVWT
+>DECOY_NM_001040453|95386_2_317_462
+TWVRPPAAPDPFRLLARPLLRTRSAGGPPRRGWGMGAAPRRRGYGLVM
+>NM_001040453|95387_2_356_462
+MGWGRRPPGGASRTRLLPRALLRFPDPAAPPRVWT
+>DECOY_NM_001040453|95387_2_356_462
+TWVRPPAAPDPFRLLARPLLRTRSAGGPPRRGWGM
+>NM_001040453|95389_2_1655_1737
+MEISVTQNFIFDLLQILKLYTKDNKIR
+>DECOY_NM_001040453|95389_2_1655_1737
+RIKNDKTYLKLIQLLDFIFNQTVSIEM
+>NM_001040453|95398_3_330_421
+MGGGDQRREWAGGGGRQEEPPGLGFSRGLS
+>DECOY_NM_001040453|95398_3_330_421
+SLGRSFGLGPPEEQRGGGGAWERRQDGGGM
+>NM_012160|95435_2_551_786
+MEVRIVCPILCGIWLVYQMYSQVLVTLLRQLCFELMGHGGISVLVLPCHSRGRHLIFRARTMWNLLLNNRCILQLYMF
+>DECOY_NM_012160|95435_2_551_786
+FMYLQLICRNNLLLNWMTRARFILHRGRSHCPLVLVSIGGHGMLEFCLQRLLTVLVQSYMQYVLWIGCLIPCVIRVEM
+>NM_012160|95441_2_1121_1227
+MVVEWTVLTKSLAVLSSGKGQIMGILINYLMSLFS
+>DECOY_NM_012160|95441_2_1121_1227
+SFLSMLYNILIGMIQGKGSSLVALSKTLVTWEVVM
+>NM_004527|95538_2_117_616
+MGPQGYPTTRPPRSPSTRNQTSWRQRRQRTLTSQPPAWQPPHTACPRRSTSSLSSTPLSHSPPTGTSLSQTPGAGPTQARQGVPRKWGPAAWAWWTPQEAQAMTTGCLGALPMRQRRNHPGGERRVQTTRRTEGSRRAAAKPARRGRPSPRSSCESWRQSLPIITT
+>DECOY_NM_004527|95538_2_117_616
+TTIIPLSQRWSECSSRPSPRGRRAPKAAARRSGETRRTTQVRREGGPHNRRQRMPLAGLCGTTMAQAEQPTWWAWAAPGWKRPVGQRAQTPGAGPTQSLSTGTPPSHSLPTSSLSSTSRRPCATHPPQWAPPQSTLTRQRRQRWSTQNRTSPSRPPRTTPYGQPGM
+>NM_181723|95625_3_211_446
+MEAAAALGGAERGGGGRRGAGRPGMLPAVRGPQGRLAGDRATLKERGHGARGPAPRPGDAAHPSGGCQGDGCYWQNRH
+>DECOY_NM_181723|95625_3_211_446
+HRNQWYCGDGQCGGSPHAADGPRPAPGRAGHGREKLTARDGALRGQPGRVAPLMGPRGAGRRGGGGREAGGLAAAAEM
+>NM_001033561|95659_2_2562_2650
+MVSWPQQPTNDSAHQRHRQMARSAPARYP
+>DECOY_NM_001033561|95659_2_2562_2650
+PYRAPASRAMQRHRQHASDNTPQQPWSVM
+>NM_001033561|95661_2_3018_3094
+MVTVTTCPGNMPAYSTMRIPNIMSC
+>DECOY_NM_001033561|95661_2_3018_3094
+CSMINPIRMTSYAPMNGPCTTVTVM
+>NM_001033561|95662_2_3108_3262
+MGQRWTMCCIHVTSRRRPRQPPQAVLLPKCRVSSGAAGTRNRTKSQVRRQP
+>DECOY_NM_001033561|95662_2_3108_3262
+PQRRVQSKTRNRTGAAGSSVRCKPLLVAQPPQRPRRRSTVHICCMTWRQGM
+>NM_001002909|95744_2_215_366
+MGGSWARDWENLFRGEQIPFQSLSSMMSWAWVAWKWSLIMLKMLPNGAVS
+>DECOY_NM_001002909|95744_2_215_366
+SVAGNPLMKLMILSWKWAVWAWSMMSSLSQFPIQEGRFLNEWDRAWSGGM
+>NM_001002909|95758_2_2015_3387
+MGLRQKTQGEAFPARKNDLGSPTGTKRKRSTKNPANTNVNTRLTQKRKALRQSQGRNLRSARNENERRISHQPQQILNEDPNQNPLGVAVPHHQEEGGELKMTPSGDPSQLKRGAVAKRMKVGVVAAPKTMVGGNTKVNFHLHPASEEQAPNGAAGLAIGANPVVEMRIVMMLPHTGCTRSLHPSTVRKKKRKIQAVSIPAAAQGLAGAIPRIVPPGVLTQVAQMPLQTRAAIVDSAVTLMTATVTTVTDHEGTPSAPMTQMTQTMPAPNTDQNGTNIHLLMMTIASVAASPEADLGVIPESAQDPGAAAAAAVVVVVEASGEAVAPQPTAGNGAGAIAGTAAAAPGALPRDQAPGRDHGVTRALRRGILGVGTSFVLRSTAPSPPTISDQAGEKVLGRKMMAEEMTVKQQVHLPRTATLAQEEGQKVTAVLKTRTLSLPNCYWRRSSQGKWRGNLV
+>DECOY_NM_001002909|95758_2_2015_3387
+VLNGRWKGQSSRRWYCNPLSLTRTKLVATVKQGEEQALTATRPLHVQQKVTMEEAMMKRGLVKEGAQDSITPPSPATSRLVFSTGVGLIGRRLARTVGHDRGPAQDRPLAGPAAAATGAIAGAGNGATPQPAVAEGSAEVVVVVAAAAAAGPDQASEPIVGLDAEPSAAVSAITMMLLHINTGNQDTNPAPMTQTMQTMPASPTGEHDTVTTVTATMLTVASDVIAARTQLPMQAVQTLVGPPVIRPIAGALGQAAAPISVAQIKRKKKRVTSPHLSRTCGTHPLMMVIRMEVVPNAGIALGAAGNPAQEESAPHLHFNVKTNGGVMTKPAAVVGVKMRKAVAGRKLQSPDGSPTMKLEGGEEQHHPVAVGLPNQNPDENLIQQPQHSIRRENENRASRLNRGQSQRLAKRKQTLRTNVNTNAPNKTSRKRKTGTPSGLDNKRAPFAEGQTKQRLGM
+>NM_001002909|95761_2_2405_3387
+MVGGNTKVNFHLHPASEEQAPNGAAGLAIGANPVVEMRIVMMLPHTGCTRSLHPSTVRKKKRKIQAVSIPAAAQGLAGAIPRIVPPGVLTQVAQMPLQTRAAIVDSAVTLMTATVTTVTDHEGTPSAPMTQMTQTMPAPNTDQNGTNIHLLMMTIASVAASPEADLGVIPESAQDPGAAAAAAVVVVVEASGEAVAPQPTAGNGAGAIAGTAAAAPGALPRDQAPGRDHGVTRALRRGILGVGTSFVLRSTAPSPPTISDQAGEKVLGRKMMAEEMTVKQQVHLPRTATLAQEEGQKVTAVLKTRTLSLPNCYWRRSSQGKWRGNLV
+>DECOY_NM_001002909|95761_2_2405_3387
+VLNGRWKGQSSRRWYCNPLSLTRTKLVATVKQGEEQALTATRPLHVQQKVTMEEAMMKRGLVKEGAQDSITPPSPATSRLVFSTGVGLIGRRLARTVGHDRGPAQDRPLAGPAAAATGAIAGAGNGATPQPAVAEGSAEVVVVVAAAAAAGPDQASEPIVGLDAEPSAAVSAITMMLLHINTGNQDTNPAPMTQTMQTMPASPTGEHDTVTTVTATMLTVASDVIAARTQLPMQAVQTLVGPPVIRPIAGALGQAAAPISVAQIKRKKKRVTSPHLSRTCGTHPLMMVIRMEVVPNAGIALGAAGNPAQEESAPHLHFNVKTNGGVM
+>NM_001002909|95773_2_3218_3387
+MAEEMTVKQQVHLPRTATLAQEEGQKVTAVLKTRTLSLPNCYWRRSSQGKWRGNLV
+>DECOY_NM_001002909|95773_2_3218_3387
+VLNGRWKGQSSRRWYCNPLSLTRTKLVATVKQGEEQALTATRPLHVQQKVTMEEAM
+>NM_012455|95873_3_2573_2694
+MGQAWLEDVPHLTARDGSLLPEAGRRPLSGGGELGGADGG
+>DECOY_NM_012455|95873_3_2573_2694
+GGDAGGLEGGGSLPRRGAEPLLSGDRATLHPVDELWAQGM
+>NM_007157|96015_2_367_563
+MAGPGGGARRPARHHGALAQACWRRGPINLAAAAAAAATTSSWCCLTRWVATWRPRAPVRPQGLC
+>DECOY_NM_007157|96015_2_367_563
+CLGQPRVPARPRWTAVWRTLCCWSSTTAAAAAAAALNIPGRRWCAQALAGHHRAPRRAGGGPGAM
+>NM_017799|96063_3_1087_1253
+MAFYWNVLHLFIVLCLESKFRYFKTTFHGCGGTILDAEQCSSGRPRWHWFGCSCV
+>DECOY_NM_017799|96063_3_1087_1253
+VCSCGFWHWRPRGSSCQEADLITGGCGHFTTKFYRFKSELCLVIFLHLVNWYFAM
+>NM_015288|96095_2_813_970
+MATRWSSVTSATSVCIRHATGSSRCPRAAGCAGRVPWVSSQSACSAPSEEEP
+>DECOY_NM_015288|96095_2_813_970
+PEEESPASCASQSSVWPVRGACGAARPCRSSGTAHRICVSTASTVSSWRTAM
+>NM_015288|96098_2_1839_2179
+MGTARTLLQGCCQRNCCRTRRHCSASCGTPRCDLVTLLGRPEAAPACLPRRNHHHHHRRTGLVHGRLQTKPPRRPGARMQAVARGVKGHLPGSHHVGHLLTCRPALQPGTVPS
+>DECOY_NM_015288|96098_2_1839_2179
+SPVTGPQLAPRCTLLHGVHHSGPLHGKVGRAVAQMRAGPRRPPKTQLRGHVLGTRRHHHHHNRRPLCAPAAEPRGLLTVLDCRPTGCSASCHRRTRCCNRQCCGQLLTRATGM
+>NM_015288|96114_3_430_551
+MGERCAGACRGRGHPRARGEDPPTTGRPPCPGIPEQHHAW
+>DECOY_NM_015288|96114_3_430_551
+WAHHQEPIGPCPPRGTTPPDEGRARPHGRGRCAGACREGM
+>NM_152908|96139_3_708_787
+MAEGAGGGVPIPNPGFVHPPSISLTP
+>DECOY_NM_152908|96139_3_708_787
+PTLSISPPHVFGPNPIPVGGGAGEAM
+>NM_017576|96194_2_823_896
+MDHGIPLGILSQSSTLWIWQDQKE
+>DECOY_NM_017576|96194_2_823_896
+EKQDQWIWLTSSQSLIGLPIGHDM
+>NM_017576|96196_2_1849_2036
+MGQMPGSLKGDHILYHLILIWGIIFISHQDKIPGRSTQVRLCTLWIEYLLDFEHEVRCCWVT
+>DECOY_NM_017576|96196_2_1849_2036
+TVWCCRVEHEFDLLYEIWLTCLRVQTSRGPIKDQHSIFIIGWILILHYLIHDGKLSGPMQGM
+>NM_017576|96203_2_3271_3446
+MVECYHLKKNMFFSNLKKGLKLWKLQLNTGMKVSRIARSHLEHHSITSLVVKQMSWKS
+>DECOY_NM_017576|96203_2_3271_3446
+SKWSMQKVVLSTISHHELHSRAIRSVKMGTNLQLKWLKLGKKLNSFFMNKKLHYCEVM
+>NM_017576|96206_2_3706_3827
+MEKALWKLSKHMKIKSSSWKKIFISIRKPAGIIRRNLRNW
+>DECOY_NM_017576|96206_2_3706_3827
+WNRLNRRIIGAPKRISIFIKKWSSSKIKMHKSLKWLAKEM
+>NM_017576|96210_3_830_918
+MVFPSAYCLKVPLCGFGRIRKSNQNGEYW
+>DECOY_NM_017576|96210_3_830_918
+WYEGNQNSKRIRGFGCLPVKLCYASPFVM
+>NM_013359|96276_2_1661_1809
+MEKSHITVRSVVRTIKGGWILSFTRGSTRVRDPIIVRNVARALAGPPVF
+>DECOY_NM_013359|96276_2_1661_1809
+FVPPGALARAVNRVIIPDRVRTSGRTFSLIWGGKITRVVSRVTIHSKEM
+>NM_024518|96379_2_136_233
+MGNSGVRSRARWIRRIFSPMTVAVTRSYLWVT
+>DECOY_NM_024518|96379_2_136_233
+TVWLYSRTVAVTMPSFIRRIWRARSRVGSNGM
+>NM_130388|96426_2_319_449
+MAIWTVYVCFWKLVPLLVVASTTTVLPCSQLPVMVLLLSCRSS
+>DECOY_NM_130388|96426_2_319_449
+SSRCSLLLVMVPLQSCPLVTTTSAVVLLPVLKWFCVYVTWIAM
+>NM_145349|96438_2_993_1069
+MGRPVSQILATVSAVTLAGWGPGVL
+>DECOY_NM_145349|96438_2_993_1069
+LVGPGWGALTVASVTALIQSVPRGM
+>NM_016112|96487_2_2325_2419
+MGIVFWMRRNRKKCDRTWRKRGWPSTLRLRN
+>DECOY_NM_016112|96487_2_2325_2419
+NRLRLTSPWGRKRWTRDCKKRNRRMWFVIGM
+>NM_002455|96518_2_731_894
+MERSSQFHTRSSPTFEKRSTMLIMICQLGKGQTPWPSCLSWRRSCSRCWYILFG
+>DECOY_NM_002455|96518_2_731_894
+GFLIYWCRSCSRRWSLCSPWPTQGKGLQCIMILMTSRKEFTPSSRTHFQSSREM
+>NM_004047|96531_3_224_402
+MVPDGDFALHVVQPGHWPSYLPVCGWGSLGHLYYRLLHHWWRSEGPQDQDQEPGQHHLL
+>DECOY_NM_004047|96531_3_224_402
+LLHHQGPEQDQDQPGESRWWHHLLRYYLHGLSGWGCVPLYSPWHGPQVVHLAFDGDPVM
+>NM_173358|96547_2_562_683
+MGNTCALQENQVPLRRLTRHPDPKGGNMPGPTDCVRESSW
+>DECOY_NM_173358|96547_2_562_683
+WSSERVCDTPGPMNGGKPDPHRTLRRLPVQNEQLACTNGM
+>NM_022827|96666_2_1971_2074
+MEQSPAPIPCQPTTCSGCMASRATRTGWTSVCAY
+>DECOY_NM_022827|96666_2_1971_2074
+YACVSTWGTRTARSAMCGSCTTPQCPIPAPSQEM
+>NM_025065|96681_2_142_239
+MGRRKTGSNPRKRLPFRQALAFRRLKTNSGDT
+>DECOY_NM_025065|96681_2_142_239
+TDGSNTKLRRFALAQRFPLRKRPNSGTKRRGM
+>NM_005898|96719_2_2098_2297
+MVTALHSLTLQTVVIHSLSSVLPGITLAINGMDISRISSEALGRVDHGEPHEVVEGPQDPTEGCRK
+>DECOY_NM_005898|96719_2_2098_2297
+KRCGETPDQPGEVVEHPEGHDVRGLAESSIRSIDMGNIALTIGPLVSSLSHIVVTQLTLSHLATVM
+>NM_005898|96720_2_2191_2297
+MDISRISSEALGRVDHGEPHEVVEGPQDPTEGCRK
+>DECOY_NM_005898|96720_2_2191_2297
+KRCGETPDQPGEVVEHPEGHDVRGLAESSIRSIDM
+>NM_013361|96745_2_1214_1332
+MEKASFVGWICVSIRRSTQERNHIIVKNVGRASDGPPIF
+>DECOY_NM_013361|96745_2_1214_1332
+FIPPGDSARGVNKVIIHNREQTSRRISVCIWGVFSAKEM
+>NM_153263|96766_2_1189_2117
+MEKSLMCAMYVGNHSATNKHLLAISRESTLERGLMCVWNVGNLLFIPMTAFDTREFTLEKGLISAVNVGNPSYTNSHFLITIESTREKGLMSAKNVGRPSFTKKDFLSTREFILEKSLMCASYVGNHLSARLTTCDTREFTLEKGLMNAVTVGKPSSPNKHFLSITKSTLEKGLMNAVNVEKASTLRLNFFSTKESILENNFVSAMNVEKSSATKKDFLSTRKFTLAKSPVSAVNVGNALDTAPASFNTRKFTVERGLITALHVRRPLSIKTNLLSISESTPEKSRMNVVNVGKPSTKDIPLSGTRRYI
+>DECOY_NM_153263|96766_2_1189_2117
+IYRRTGSLPIDKTSPKGVNVVNMRSKEPTSESISLLNTKISLPRRVHLATILGREVTFKRTNFSAPATDLANGVNVASVPSKALTFKRTSLFDKKTASSKEVNMASVFNNELISEKTSFFNLRLTSAKEVNVANMLGKELTSKTISLFHKNPSSPKGVTVANMLGKELTFERTDCTTLRASLHNGVYSACMLSKELIFERTSLFDKKTFSPRGVNKASMLGKERTSEITILFHSNTYSPNGVNVASILGKELTFERTDFATMPIFLLNGVNWVCMLGRELTSERSIALLHKNTASHNGVYMACMLSKEM
+>NM_173508|96870_2_395_618
+MATSPGQRAAKGRSAPGTPRARRRPRHRPGWRPAGERVAAAGRAPGRNSRRSSGAWRSCCACAPRGRAPRSSPS
+>DECOY_NM_173508|96870_2_395_618
+SPSSRPARGRPACACCSRWAGSSRRSNRGPARGAAAVREGAPRWGPRHRPRRRARPTGPASRGKAARQGPSTAM
+>NM_173508|96874_3_309_1024
+MVALRGGSHQRAGGAHVHRGADPAHHWLLWLPALGSELQKGGAPPGLPGPGGGPGTGRGGGRRESESPLLDVLPGATQEDLLGRGGRAVRVLLVGGLHAARQADLQEVRRALHPHVVCHQLELFILPVVLRGARLQVHREAVCEAAIQGMLSIFWRQWLDFEGVFYQGSTLWCSLDTHKLPVLTCNKENKHYGCLRVVLLQQSFCVLALMDRSQGQIHGSEDCGRHPRHRWHCDDDLR
+>DECOY_NM_173508|96874_3_309_1024
+RLDDDCHWRHRPHRGCDESGHIQGQSRDMLALVCFSQQLLVVRLCGYHKNEKNCTLVPLKHTDLSCWLTSGQYFVGEFDLWQRWFISLMGQIAAECVAERHVQLRAGRLVVPLIFLELQHCVVHPHLARRVEQLDAQRAAHLGGVLLVRVARGGRGLLDEQTAGPLVDLLPSESERRGGGRGTGPGGGPGPLGPPAGGKQLESGLAPLWLLWHHAPDAGRHVHAGGARQHSGGRLAVM
+>NM_022473|96920_2_792_910
+MVVDQEDVPGGIRVLQEAPRLGFTTIVILEVVGFQIVEQ
+>DECOY_NM_022473|96920_2_792_910
+QEVIQFGVVELIVITTFGLRPAEQLVRIGGPVDEQDVVM
+>NM_022473|96922_2_1347_1426
+MAVRQGKSLVAGRLTLLRRLWIYSRD
+>DECOY_NM_022473|96922_2_1347_1426
+DRSYIWLRRLLTLRGAVLSKGQRVAM
+>NM_022473|96932_2_3363_3496
+MAKVLERNEEPLEMDLLLNSQVLREKIKEGKLKEKKNVLRLTSC
+>DECOY_NM_022473|96932_2_3363_3496
+CSTLRLVNKKEKLKGEKIKERLVQSNLLLDMELPEENRELVKAM
+>NM_022473|96933_2_3402_3496
+MDLLLNSQVLREKIKEGKLKEKKNVLRLTSC
+>DECOY_NM_022473|96933_2_3402_3496
+CSTLRLVNKKEKLKGEKIKERLVQSNLLLDM
+>NM_022473|96942_2_5295_5452
+MALGQSAVLLQLRKVPENCWSWGLMTAQLVYAMPGMDCSSELWRAIAKPFFA
+>DECOY_NM_022473|96942_2_5295_5452
+AFFPKAIARWLESSCDMGPMAYVLQATMLGWSWCNEPVKRLQLLVASQGLAM
+>NM_001010893|97100_3_509_606
+MEETFASNSWGSYTVFSDAILRVSFVSDCGIA
+>DECOY_NM_001010893|97100_3_509_606
+AIGCDSVFSVRLIADSFVTYSGWSNSAFTEEM
+>NM_032832|97125_2_1279_1385
+MAAALTSRSPAMECSSVLMGLMKTSARIWAWTTRW
+>DECOY_NM_032832|97125_2_1279_1385
+WRTTWAWIRASTKMLGMLVSSCEMAPSRSTLAAAM
+>NM_032832|97126_2_1312_1385
+MECSSVLMGLMKTSARIWAWTTRW
+>DECOY_NM_032832|97126_2_1312_1385
+WRTTWAWIRASTKMLGMLVSSCEM
+>NM_032832|97128_2_1636_1751
+MEEEGNTQPQKQVQCYPWRWVWLSLLCCFSWLHADYDW
+>DECOY_NM_032832|97128_2_1636_1751
+WDYDAHLWSFCCLLSLWVWRWPYCQVQKQPQTNGEEEM
+>NM_018358|97290_2_1922_2004
+MASPENWPCVLLPACLGARRAEWPLLR
+>DECOY_NM_018358|97290_2_1922_2004
+RLLPWEARRAGLCAPLLVCPWNEPSAM
+>NM_018358|97293_3_735_850
+MGPPLRAGGAEWVGEDNVTEDAGHPESAGSSPHFPAAR
+>DECOY_NM_018358|97293_3_735_850
+RAAPFHPSSGASEPHGADETVNDEGVWEAGGARLPPGM
+>NM_144708|97378_2_262_392
+MEYICPKKNELDSLNCGVPSWTLILMENLKQIKTFFQSLVQQV
+>DECOY_NM_144708|97378_2_262_392
+VQQVLSQFFTKIQKLNEMLILTWSPVGCNLSDLENKKPCIYEM
+>NM_018321|97480_3_668_786
+MVSELSDHRRRCCSCRNRTSFCLKSHKDFPGKFWRTNFI
+>DECOY_NM_018321|97480_3_668_786
+IFNTRWFKGPFDKHSKLCFSTRNRCSCCRRRHDSLESVM
+>NM_022453|97542_2_197_606
+MAELHHGRSTSLCILPLQRTRIHSMSASLWCFRSQQSIPMRCHRSLSEIPEDFQMNRSTRSYRCWATWPRLGWALPCCMNSLRKGRKFSQITTSLMASVSSASMVSRRRRPLPKHPVTTTSTATALLGTSSTWSKS
+>DECOY_NM_022453|97542_2_197_606
+SKSWTSSTGLLATATSTTTVPHKPLPRRRRSVMSASSVSAMLSTTIQSFKRGKRLSNMCCPLAWGLRPWTAWCRYSRTSRNMQFDEPIESLSRHCRMPISQQSRFCWLSASMSHIRTRQLPLICLSTSRGHHLEAM
+>NM_022453|97552_3_213_316
+MGDLHHFASCHCRGPGFTVCLLHSGASGPSRVSP
+>DECOY_NM_022453|97552_3_213_316
+PSVRSPGSAGSHLLCVTFGPGRCHCSAFHHLDGM
+>NM_001002800|97626_3_2314_2420
+MESGNFTGTNHRTVRYNDWWWKQSNERKNGFLTCY
+>DECOY_NM_001002800|97626_3_2314_2420
+YCTLFGNKRENSQKWWWDNYRVTRHNTGTFNGSEM
+>NM_021143|97667_2_555_715
+MERIHIEIRNVRKPSVILTPFNHMIKLALKRNPMMVKNVQKPSFPIHAFKDTG
+>DECOY_NM_021143|97667_2_555_715
+GTDKFAHIPFSPKQVNKVMMPNRKLALKIMHNFPTLIVSPKRVNRIEIHIREM
+>NM_021143|97669_2_729_814
+MDLINVSFVGKPSIFSIYVLSMNEFTLV
+>DECOY_NM_021143|97669_2_729_814
+VLTFENMSLVYISFISPKGVFSVNILDM
+>NM_133474|97706_2_890_1062
+MELINACQILRAKYFNVMHVSKFLVNLQIQTKIRQDILERNTLNVTNVASHFRSSQT
+>DECOY_NM_133474|97706_2_890_1062
+TQSSRFHSAVNTVNLTNRELIDQRIKTQIQLNVLFKSVHMVNFYKARLIQCANILEM
+>NM_145719|97728_2_1133_1323
+MAPRWPRPGQASPCWTPCTWRLPPGPRCLLSSFSAASFKKGWLPAKRPRPRTKPLRCHQSPAG
+>DECOY_NM_145719|97728_2_1133_1323
+GAPSQHCRLPKTRPRPRKAPLWGKKFSAASFSSLLCRPGPPLRWTCPTWCPSAQGPRPWRPAM
+>NM_153370|97808_3_501_658
+MGRGAGRLRQGLRTAVRVGPQQGARAPRRESVRHHRRGHGRAAGHGGVAPRA
+>DECOY_NM_153370|97808_3_501_658
+ARPAVGGHGAARGHGRRHHRVSERRPARAGQQPGVRVATRLGQRLRGAGRGM
+>NM_001001668|97968_2_2402_2499
+MAHILFNIRDSTVAKDRMNVLNVGRHSGRGHP
+>DECOY_NM_001001668|97968_2_2402_2499
+PHGRGSHRGVNLVNMRDKAVTSDRINFLIHAM
+>NM_022782|98021_2_588_742
+MEVQDLNKEMSKVRRHQLRNLILLLLHILVLFTSVNQMKLQMLGCLIQEQD
+>DECOY_NM_022782|98021_2_588_742
+DQEQILCGLMQLKMQNVSTFLVLIHLLLLILNRLQHRRVKSMEKNLDQVEM
+>NM_015144|98161_2_2713_2834
+MVPVTKRAGTYLVTTAGPLVTAPRTANSRPWTSTGQVLLG
+>DECOY_NM_015144|98161_2_2713_2834
+GLLVQGTSTWPRSNATRPATVLPGATTVLYTGARKTVPVM
+>NM_018939|98213_2_1933_2210
+MASLRARPPPRCTCSWWTASPSPTCLSLRRPRPKPRPTLSPSTWWWRWPRCRRSSSFRCSCSWRCGCAGGAGRPRWVATRCPRVPFQGIWWM
+>DECOY_NM_018939|98213_2_1933_2210
+MWWIGQFPVRPCRTAVWRPRGAGGACGCRWSCSCRFSSSRRCRPWRWWWTSPSLTPRPKPRPRRLSLCTPSPSATWWSCTCRPPPRARLSAM
+>NM_152417|98229_2_440_549
+MVQGKQWQLCGMDMQPFGMVMKFMEWKKYQKMDQHL
+>DECOY_NM_152417|98229_2_440_549
+LHQDMKQYKKWEMFKMVMGFPQMDMGCLQWQKGQVM
+>NM_152417|98230_2_473_549
+MDMQPFGMVMKFMEWKKYQKMDQHL
+>DECOY_NM_152417|98230_2_473_549
+LHQDMKQYKKWEMFKMVMGFPQMDM
+>NM_152417|98234_3_252_394
+MVWCGAVGGLFEFCKLSLVGFYTTNTFNTSLLYYLSSLPYYYFLTHL
+>DECOY_NM_152417|98234_3_252_394
+LHTLFYYYPLSSLYYLLSTNFTNTTYFGVLSLKCFEFLGGVAGCWVM
+>NM_018940|98243_2_2113_2384
+MASLRARPPPRCTCSWWTASPSPTCGSRRRPRTRPTRSPSTWWWRWPRCLRSSSSRCSCSWRCGCAGGAGRPRWVAARCLRAPFHDIWWT
+>DECOY_NM_018940|98243_2_2113_2384
+TWWIDHFPARLCRAAVWRPRGAGGACGCRWSCSCRSSSSRLCRPWRWWWTSPSRTPRTRPRRRSGCTPSPSATWWSCTCRPPPRARLSAM
+>NM_020904|98270_2_1000_1073
+MGNPGHLHDPSPGRAPAAPVVPRR
+>DECOY_NM_020904|98270_2_1000_1073
+RRPVVPAAPARGPSPDHLHGPNGM
+>NM_016148|98311_2_368_558
+MACSNRPPPAAMPTSWRRRGCCGSTPSPLRRGSPTWSSDTRPEFTNRPTWMRSSWPSCTRRRG
+>DECOY_NM_016148|98311_2_368_558
+GRRRTCSPWSSRMWTPRNTFEPRTDSSWTPSGRRLPSPTSGCCGRRRWSTPMAAPPPRNSCAM
+>NM_016148|98319_2_4691_4890
+MANSFSWNRCLRLWNSPTASKSQSRPSRLGLPTRCPTHLPLPPRYPLCHPRLWPQPLPPWTPPHPA
+>DECOY_NM_016148|98319_2_4691_4890
+APHPPTWPPLPQPWLRPHCLPYRPPLPLHTPCRTPLGLRSPRSQSKSATPSNWLRLCRNWSFSNAM
+>NM_016148|98324_3_2151_2308
+MASWTANGRLPHRGERAECGEGRPPTGGEHDPPRGQHADGEGGDGHQAPGHG
+>DECOY_NM_016148|98324_3_2151_2308
+GHGPAQHGDGGEGDAHQGRPPDHEGGTPPRGEGCEAREGRHPLRGNATWSAM
+>NM_016943|98416_2_711_814
+MGQAPEIQPLRPTRGPSESSFPSSFSSYFTFLLS
+>DECOY_NM_016943|98416_2_711_814
+SLLFTFYSSFSSPFSSESPGRTPRLPQIEPAQGM
+>NM_017789|98469_2_605_762
+MESLKMGRASVPMTQLRAMLAFLWMVSCTRPHSTTSWARNPLSCVTWGPTTP
+>DECOY_NM_017789|98469_2_605_762
+PTTPGWTVCSLPNRAWSTTSHPRTCSVMWLFALMARLQTMPVSARGMKLSEM
+>NM_017789|98470_2_620_762
+MGRASVPMTQLRAMLAFLWMVSCTRPHSTTSWARNPLSCVTWGPTTP
+>DECOY_NM_017789|98470_2_620_762
+PTTPGWTVCSLPNRAWSTTSHPRTCSVMWLFALMARLQTMPVSARGM
+>NM_178509|98515_2_1615_1760
+MDVASQQRWRLNLRNLLNLFVPYLIWIVYLMGGRKLTQQMESSTSSIM
+>DECOY_NM_178509|98515_2_1615_1760
+MISSTSSEMQQTLKRGGMLYVIWILYPVFLNLLNRLNLRWRQQSAVDM
+>NM_018934|98537_2_820_908
+MEKYLTHFSMHQKIFVKHLKLIQYLGKLI
+>DECOY_NM_018934|98537_2_820_908
+ILKGLYQILKLHKVFIKQHMSFHTLYKEM
+>NM_018934|98539_2_1528_1622
+MATCLPSGRWTTRPYRSSSFAWAPQTAGPRR
+>DECOY_NM_018934|98539_2_1528_1622
+RRPGATQPAWAFSSSRYPRTTWRGSPLCTAM
+>NM_018934|98540_2_1939_2216
+MASLLARPPPRCTCSWWTASPSPTCRSLRRPRPRPRPTPSPSTWWWHWPRCRRSSSSRCSCSWRCGCAGGAGRPRWVAARCPRVPFQGIWWT
+>DECOY_NM_018934|98540_2_1939_2216
+TWWIGQFPVRPCRAAVWRPRGAGGACGCRWSCSCRSSSSRRCRPWHWWWTSPSPTPRPRPRPRRLSRCTPSPSATWWSCTCRPPPRALLSAM
+>NM_004846|98571_2_157_398
+MVRRKKRNETRIRAVARERLLSLDRQSIPCSTTTLFGTPGEPPAVPRAHRAMNRISNRLAPLPLWSSSGGFIATWYVLGT
+>DECOY_NM_004846|98571_2_157_398
+TGLVYWTAIFGGSSSWLPLPALRNSIRNMARHARPVAPPEGPTGFLTTTSCPISQRDLSLLRERAVARIRTENRKKRRVM
+>NM_004846|98575_2_469_803
+MVASGLFGCGRAWPPVAGRISFWPCWGNSSWLGRRSVGLWCLSAFRKTLFQYGIRLPVTKQPQPESGTHFGECLTYLPTPLWNTKLTPTASKCQAGWAPKGSFFKTSGSRG
+>DECOY_NM_004846|98575_2_469_803
+GRSGSTKFFSGKPAWGAQCKSATPTLKTNWLPTPLYTLCEGFHTGSEPQPQKTVPLRIGYQFLTKRFASLCWLGVSRRGLWSSNGWCPWFSIRGAVPPWARGCGFLGSAVM
+>NM_015549|98604_2_2061_2251
+MAVPQTPSAVSSPQKWTSVWGWPQRTALLSMGWSPQAQAAQWSLTGLPARRRNQHSPPETGCC
+>DECOY_NM_015549|98604_2_2061_2251
+CCGTEPPSHQNRRRAPLGTLSWQAAQAQPSWGMSLLATRQPWGWVSTWKQPSSVASPTQPVAM
+>NM_005833|98653_3_189_268
+MVHLDCPWRQPLCSSWPQLFIFTPSW
+>DECOY_NM_005833|98653_3_189_268
+WSPTFIFLQPWSSCLPQRWPCDLHVM
+>NM_005833|98654_3_825_988
+MAEAKSHWGCSSRLCCPLSCGHGKTCVHLWWNDSCRSTGHNVPVSHRRAALDLA
+>DECOY_NM_005833|98654_3_825_988
+ALDLAARRHSVPVNHGTSRCSDNWWLHVCTKGHGCSLPCCLRSSCGWHSKAEAM
+>NM_145004|98674_2_1431_1522
+MADWREMKSVIVVLRLNVDLQAVVIFELVY
+>DECOY_NM_145004|98674_2_1431_1522
+YVLEFIVVAQLDVNLRLVVIVSKMERWDAM
+>NM_145004|98683_2_2142_2299
+MECVIPETSAIVRQAISLQTAKYVPKDFPYFLRKIWVQSWKEHLGRLKTPGF
+>DECOY_NM_145004|98683_2_2142_2299
+FGPTKLRGLHEKWSQVWIKRLFYPFDKPVYKATQLSIAQRVIASTEPIVCEM
+>NM_198085|98730_3_456_559
+MESECLSSFDQFQQAQTGRLMAGPHRTWRLYFYT
+>DECOY_NM_198085|98730_3_456_559
+TYFYLRWTRHPGAMLRGTQAQQFQDFSSLCESEM
+>NM_173648|98757_2_2077_2231
+MGCRLGPAAQGMPRNLFFHHLLPLRMHAMIREKHFQVILRGLTSSSKLSPH
+>DECOY_NM_173648|98757_2_2077_2231
+HPSLKSSSTLGRLIVQFHKERIMAHMRLPLLHHFFLNRPMGQAAPGLRCGM
+>NM_032184|98891_2_1916_1992
+METHLNLQLKLANMDLKTNLEKEKK
+>DECOY_NM_032184|98891_2_1916_1992
+KKEKELNTKLDMNALKLQLNLHTEM
+>NM_016121|98916_3_938_1062
+MAASVYEPIFGLDYRTSSFKCKGGWRATWRQRQNGCCCLRE
+>DECOY_NM_016121|98916_3_938_1062
+ERLCCCGNQRQRWTARWGGKCKFSSTRYDLGFIPEYVSAAM
+>NM_004998|98979_3_2486_2583
+MEEIRGPEEIRSNERRSLRPLIEQEGEKEKQY
+>DECOY_NM_004998|98979_3_2486_2583
+YQKEKEGEQEILPRLSRRENSRIEEPGRIEEM
+>NM_032169|99063_3_1987_2156
+MVEQWSWESQVQNCNCFGKNSKYFSLQTQTAQHDSCSHEHTWSKNNKAFVSFWLHR
+>DECOY_NM_032169|99063_3_1987_2156
+RHLWFSVFAKNNKSWTHEHSCSDHQATQTQLSFYKSNKGFCNCNQVQSEWSWQEVM
+>NM_003547|99134_2_121_197
+MAVSSASWASFMRRPAGCSRCSWKM
+>DECOY_NM_003547|99134_2_121_197
+MKWSCRSCGAPRRMFSAWSASSVAM
+>NM_001002036|99181_2_712_800
+MGGSPSAGVGCPPSHHFGPPVSTSASDGT
+>DECOY_NM_001002036|99181_2_712_800
+TGDSASTSVPPGFHHSPPCGVGASPSGGM
+>NM_001002036|99183_3_791_897
+MEPECLGHHPGPQTLRLQPKWPQAPWERVPCPQHW
+>DECOY_NM_001002036|99183_3_791_897
+WHQPCPVREWPAQPWKPQLRLTQPGPHHGLCEPEM
+>NM_148416|99218_2_3157_3278
+MGGPPKARCPRVGCLHSQLPHPHPTPTSDTPKLPFHPPGN
+>DECOY_NM_148416|99218_2_3157_3278
+NGPPHFPLKPTDSTPTPHPHPLQSHLCGVRPCRAKPPGGM
+>NM_033448|99300_2_247_452
+MDLAGAGPVALLEACLAVWPWGLCAQLYAHLEASTRLPSMRASWPPSTWSWTPRSRKCVPRSESRSRL
+>DECOY_NM_033448|99300_2_247_452
+LRSRSESRPVCKRSRPTWSWTSPPWSARMSPLRTSAELHAYLQACLGWPWVALCAELLAVPGAGALDM
+>NM_033448|99305_2_1051_1136
+MGTTSKTPRMKSRSSLGSSRESAQRSRT
+>DECOY_NM_033448|99305_2_1051_1136
+TRSRQASERSSGLSSRSKMRPTKSTTGM
+>NM_021626|99389_3_169_296
+MGLCDGPQGCLHVLVALLCHQLLQELLRTAPGHVASGRSRRF
+>DECOY_NM_021626|99389_3_169_296
+FRRSRGSAVHGPATRLLEQLLQHCLLAVLVHLCGQPGDCLGM
+>NM_001013736|99405_2_1203_2485
+MECLLSSRSLPRLAYLISARSLPRLECPISAWSLPRLADLISARNLLRLECPISAQSLPRLGCPVSTWSLLRLECPISARSLQRRTYLISAQSLPTLECPISAQSPPRHAYLISAQSLLRLECPISAQSLPRFWCPVSTRHLLRVAYLISAQSLLRLECPISAQSLPRLGCTVSARSLPILECPISAQSLPRLGCPVSPRSPPRLECPISARSLQRLAYLISAQSLLRLECPISAQSLPRLGCTVSARSLPILECPISAQSLPRLGCPVSPRSPPRLECPISARSLQRLAYLISAQSLLRLECPVSTQSLPRLGCPVSTRSLLRVAYLISARSLLRLECPISAQSLPSLGFPVSAQSLLRLAYLISARSLLRLECPISTQSFPSLGYPVSTWSLPRLVECPVSAWSLPRLVGCPVSARSLPRPERPI
+>DECOY_NM_001013736|99405_2_1203_2485
+IPREPRPLSRASVPCGVLRPLSWASVPCEVLRPLSWTSVPYGLSPFSQTSIPCELRLLSRASILYALRLLSQASVPFGLSPLSQASIPCELRLLSRASILYAVRLLSRTSVPCGLRPLSQTSVPCELRLLSQASILYALRQLSRASIPCELRPPSRPSVPCGLRPLSQASIPCELIPLSRASVTCGLRPLSQASIPCELRLLSQASILYALRQLSRASIPCELRPPSRPSVPCGLRPLSQASIPCELIPLSRASVTCGLRPLSQASIPCELRLLSQASILYAVRLLHRTSVPCWFRPLSQASIPCELRLLSQASILYAHRPPSQASIPCELTPLSQASILYTRRQLSRASIPCELRLLSWTSVPCGLRPLSQASIPCELRLLNRASILDALRPLSWASIPCELRPLSRASILYALRPLSRSSLLCEM
+>NM_032571|99465_2_287_666
+MDILLDLGRNYSHSPWRHVTTLMNVHHPIVYIVDLTLCVTMSKEVSTVNVSQDIDCILGMNNSVIPMRTPVRTPPPQRQPRAGKSCKRLWTNLSHFSPIRLYGEQKGDKKSHPQLPLFSGMWNRKF
+>DECOY_NM_032571|99465_2_287_666
+FKRNWMGSFLPLQPHSKKDGKQEGYLRIPSFHSLNTWLRKCSKGARPQRQPPPTRVPTRMPIVSNNMGLICDIDQSVNVTSVEKSMTVCLTLDVIYVIPHHVNMLTTVHRWPSHSYNRGLDLLIDM
+>NM_032505|99664_2_470_558
+MVIRNSEIDQKNTFVKSFCVSPKNKSFSS
+>DECOY_NM_032505|99664_2_470_558
+SSFSKNKPSVCFSKVFTNKQDIESNRIVM
+>NM_016606|99682_3_232_320
+MDDVLDRLCLLHHGRDAHGYSALLVPLLL
+>DECOY_NM_016606|99682_3_232_320
+LLLPVLLASYGHADRGHHLLCLRDLVDDM
+>NM_016606|99683_3_343_467
+MAAVPLHQGLQRALPQVRAPNAVQQGEGDRRVHHAGPRQEL
+>DECOY_NM_016606|99683_3_343_467
+LEQRPGAHHVRRDGEGQQVANPARVQPLARQLGQHLPVAAM
+>NM_001007536|99736_2_120_217
+MDPSCQCWDLALMLLIILPKARLPRPPKWLLT
+>DECOY_NM_001007536|99736_2_120_217
+TLLWKPPRPLRAKPLIILLMLALDWCQCSPDM
+>NM_032792|99747_2_956_1257
+MAKVAAQARARHLLPSQTVLLASSLLLLTARARSPLHPLASLTTVVPGEIFFGELGQLRTYFQTAMYPLGTTRMALSPKAVPLRPLSSPTAYCLDPARLV
+>DECOY_NM_032792|99747_2_956_1257
+VLRAPDLCYATPSSLPRLPVAKPSLAMRTTGLPYMATQFYTRLQGLEGFFIEGPVVTTLSALPHLPSRARATLLLLSSALLVTQSPLLHRARAQAAVKAM
+>NM_032792|99749_2_1175_1257
+MALSPKAVPLRPLSSPTAYCLDPARLV
+>DECOY_NM_032792|99749_2_1175_1257
+VLRAPDLCYATPSSLPRLPVAKPSLAM
+>NM_133489|99768_3_1513_1694
+MAHQPSGLSPPGPGALHPELSNTTVLWDPWAVSLQPGVAPGARRRRKGDFKARWPNGCSC
+>DECOY_NM_133489|99768_3_1513_1694
+CSCGNPWRAKFDGKRRRRAGPAVGPQLSVAWPDWLVTTNSLEPHLAGPGPPSLGSPQHAM
+>NM_006977|99801_2_715_821
+MALQTSRGPVLPPRPWRSTRSPQFPSSRRDVTQNL
+>DECOY_NM_006977|99801_2_715_821
+LNQTVDRRSSPFQPSRTSRWPRPPLVPGRSTQLAM
+>NM_004695|99858_3_412_881
+MGVPGQQQRDLLVPLHPHGCAPHGRAPVQHPGGTLRLPSDRDAGGRAGQPGHGGQLLLSQPQPALLHSRIHHRPGHVLQLPVKHHGAGLLLCPPAGAGQRAGLDGRLPGHHPLAAALPLPSGEPGLEGYLPCLRRDLSPLLHLRGHHKACGHQCGP
+>DECOY_NM_004695|99858_3_412_881
+PGCQHGCAKHHGRLHLLPSLDRRLCPLYGELGPEGSPLPLAAALPHHGPLRGDLGARQGAGAPPCLLLGAGHHKVPLQLVHGPRHHIRSHLLAPQPQSLLLQGGHGPQGARGGADRDSPLRLTGGPHQVPARGHPACGHPHLPVLLDRQQQGPVGM
+>NM_032330|99870_2_686_783
+MEIWILTISSAAWSAWMPCFVPSSLWIEIEMA
+>DECOY_NM_032330|99870_2_686_783
+AMEIEIWLSSPVFCPMWASWAASSITLIWIEM
+>NM_019042|99890_2_352_530
+MGYRMTFCPSVKTCLGLLTLSVLGKVERILRLSWKMRKKRRKMDFQRSARRRNQRVLQT
+>DECOY_NM_019042|99890_2_352_530
+TQLVRQNRRRASRQFDMKRRKKRMKWSLRLIREVKGLVSLTLLGLCTKVSPCFTMRYGM
+>NM_019042|99893_2_1342_1433
+MECKDLEPQLSLRIRLEELYYKIPGQKSWI
+>DECOY_NM_019042|99893_2_1342_1433
+IWSKQGPIKYYLEELRIRLSLQPELDKCEM
+>NM_019042|99897_3_1490_1629
+MGKDQRPNCCPQKTTCQKVCGRAAASRTFKIWNEEYSLCIWHNTQK
+>DECOY_NM_019042|99897_3_1490_1629
+KQTNHWICLSYEENWIKFTRSAAARGCVKQCTTKQPCCNPRQDKGM
+>NM_001017526|99934_2_199_314
+MAFCRWQGMTALEDVLSRSAAAGCHPPTSWTTSGCWSI
+>DECOY_NM_001017526|99934_2_199_314
+ISWCGSTTWSTPPHCGAAASRSLVDELATMGQWRCFAM
+>NM_014292|99955_3_155_255
+MEGVGDQVQHLGARGEHPGLAAHCSLRTKGEGA
+>DECOY_NM_014292|99955_3_155_255
+AGEGKTRLSCHAALGPHEGRAGLHQVQDGVGEM
+>NM_205836|100034_2_1771_1880
+MERWWPRVEIILQLTARQLFLWMLMRNKQDPVVFSV
+>DECOY_NM_205836|100034_2_1771_1880
+VSFVVPDQKNRMLMWLFLQRATLQLIIEVRPWWREM
+>NM_004789|100101_2_1382_1470
+MAWALCRRGGRGNVRARAPVRIWRPTTLR
+>DECOY_NM_004789|100101_2_1382_1470
+RLTTPRWIRVPARARVNGRGGRRCLAWAM
+>NM_194283|100132_2_1497_1570
+MERASTNVPKCCLKTDRIMMTISM
+>DECOY_NM_194283|100132_2_1497_1570
+MSITMMIRDTKLCCKPVNTSAREM
+>NM_213651|100165_2_823_920
+MVQTSSKLLLRQLLNSGHMNSTRSYLLKKDKK
+>DECOY_NM_213651|100165_2_823_920
+KKDKKLLYSRTSNMHGSNLLQRLLLKSSTQVM
+>NM_213651|100167_3_641_852
+MVEAAFGRRHCWCCLSNKHCPFGPSENHDAGSRFKIRQNEHIWWLSTDGKRRRYPLALEGKWYKRHQNCS
+>DECOY_NM_213651|100167_3_641_852
+SCNQHRKYWKGELALPYRRRKGDTSLWWIHENQRIKFRSGADHNESPGFPCHKNSLCCWCHRRGFAAEVM
+>NM_173548|100183_2_858_958
+MGQLSHLVPVVGNSKKSMWQRSCSNAVTVGRCS
+>DECOY_NM_173548|100183_2_858_958
+SCRGVTVANSCSRQWMSKKSNGVVPVLHSLQGM
+>NM_002146|100204_2_931_1079
+MASASMSPPNPHFRPPRTWRATTSAQLARCSPWATLPHMPRARSSTAAA
+>DECOY_NM_002146|100204_2_931_1079
+AAATSSRARPMHPLTAWPSCRALQASTTARWTRPPRFHPNPPSMSASAM
+>NM_002146|100206_2_1171_1256
+MGAGPAKVVPQSAVPAPTPPSPNRYSPG
+>DECOY_NM_002146|100206_2_1171_1256
+GPSYRNPSPPTPAPVASQPVVKAPGAGM
+>NM_004934|100278_2_630_724
+MDLSSTSLLERVLGLYLSLTIPRVISTQQKA
+>DECOY_NM_004934|100278_2_630_724
+AKQQTSIVRPITLSLYLGLVRELLSTSSLDM
+>NM_004934|100300_3_538_620
+MGMESVLCFRRTYGTRSSVCWKAALQF
+>DECOY_NM_004934|100300_3_538_620
+FQLAAKWCVSSRTGYTRRFCLVSEMGM
+>NM_004934|100301_3_544_620
+MESVLCFRRTYGTRSSVCWKAALQF
+>DECOY_NM_004934|100301_3_544_620
+FQLAAKWCVSSRTGYTRRFCLVSEM
+>NM_015011|100349_2_1366_1658
+MAACSMRFRSALGTIRSIHSLETFFCLLTHTRSFQFILPWCPSCISAPQGSCVPRCLLTSSPVWREPFTSSSGNSGLSVSSSVEKGDQESLKPANKS
+>DECOY_NM_015011|100349_2_1366_1658
+SKNAPKLSEQDGKEVSSSVSLGSNGSSSTFPERWVPSSTLLCRPVCSGQPASICSPCWPLIFQFSRTHTLLCFFTELSHISRITGLASRFRMSCAAM
+>NM_015011|100356_2_3352_3521
+MDTLFAFPSRISCQGISHWLIHSCVRRRNSQLPSDVDLFSSSVNYKAGRWESEKCF
+>DECOY_NM_015011|100356_2_3352_3521
+FCKESEWRGAKYNVSSSFLDVDSPLQSNRRRVCSHILWHSIGQCSIRSPFAFLTDM
+>NM_175571|100529_3_1778_1893
+MGRTGGGGCGHSFLQPDAGCRKGPIPVRRGGQALFVLL
+>DECOY_NM_175571|100529_3_1778_1893
+LLVFLAQGGRRVPIPGKRCGADPQLFSHGCGGGGTRGM
+>NM_001099680|100537_2_1009_1244
+MAQHSAVVVWRSGTSCSTSTESQRRASPMPRPWSGSELEAPSSTWLFVGLWRPTLASLEGWESPEKELIAAQILEGRR
+>DECOY_NM_001099680|100537_2_1009_1244
+RRGELIQAAILEKEPSEWGELSALTPRWLGVFLWTSSPAELESGSWPRPMPSARRQSETSTSCSTGSRWVVVASHQAM
+>NM_001033047|100556_2_317_474
+MVGGLTAAGAGLASLGDSVSLCANHDANMVNVSGQTSASVILVMLEKPVIKI
+>DECOY_NM_001033047|100556_2_317_474
+IKIVPKELMVLIVSASTQGSVNVMNADHNACLSVSDGLSALGAGAATLGGVM
+>NM_001033047|100557_2_401_474
+MVNVSGQTSASVILVMLEKPVIKI
+>DECOY_NM_001033047|100557_2_401_474
+IKIVPKELMVLIVSASTQGSVNVM
+>NM_001033047|100558_2_623_708
+MAVMLLKDKYGASAHPLACSWLLMGGPV
+>DECOY_NM_001033047|100558_2_623_708
+VPGGMLLWSCALPHASAGYKDKLLMVAM
+>NM_001033047|100565_2_1481_1563
+MDFVDGSGRKTMTCTGNQSGTQQVDNI
+>DECOY_NM_001033047|100565_2_1481_1563
+INDVQQTGSQNGTCTMTKRGSGDVFDM
+>NM_001033047|100566_2_1748_1857
+MVAMAGGKHRSPCEGLTSRASSSKVKKGVVTLGRLD
+>DECOY_NM_001033047|100566_2_1748_1857
+DLRGLTVVGKKVKSSSARSTLGECPSRHKGGAMAVM
+>NM_001033047|100567_2_1757_1857
+MAGGKHRSPCEGLTSRASSSKVKKGVVTLGRLD
+>DECOY_NM_001033047|100567_2_1757_1857
+DLRGLTVVGKKVKSSSARSTLGECPSRHKGGAM
+>NM_018702|100610_2_690_781
+MGATCANCSWSGRSCRGRWRPRTRWCSCTS
+>DECOY_NM_018702|100610_2_690_781
+STCSCWRTRPRWRGRCSRGSWSCNACTAGM
+>NM_020713|100643_2_121_326
+MAAERRSDFQCCMTHRRWGCRWSVVDRQCPARPLSALTREVQPVTRQKGRKRGGQKPRTRPSETFLSP
+>DECOY_NM_020713|100643_2_121_326
+PSLFTESPRTRPKQGGRKRGKQRTVPQVERTLASLPRAPCQRDVVSWRCGWRRHTMCCQFDSRREAAM
+>NM_015848|100682_2_1675_1808
+MESVAAAAVAMEGSAVAALGAGVAAGATRAAVVGAGSAVQVASP
+>DECOY_NM_015848|100682_2_1675_1808
+PSAVQVASGAGVVAARTAGAAVGAGLAAVASGEMAVAAAAVSEM
+>NM_015848|100683_2_1705_1808
+MEGSAVAALGAGVAAGATRAAVVGAGSAVQVASP
+>DECOY_NM_015848|100683_2_1705_1808
+PSAVQVASGAGVVAARTAGAAVGAGLAAVASGEM
+>NM_002712|100734_2_163_263
+MGRSGGRRTQKKNMSCLWTWKPSTWTEMQRMLI
+>DECOY_NM_002712|100734_2_163_263
+ILMRQMETWTSPKWTWLCSMNKKQTRRGGSRGM
+>NM_002712|100735_2_799_899
+MASRSSRAWRTITNSRCWTLHQIESKRLKISAI
+>DECOY_NM_002712|100735_2_799_899
+IASIKLRKSEIQHLTWCRSNTITRWARSSRSAM
+>NM_020772|100767_2_717_805
+MVVDLRADIQLLKKGKLGAIVPRVVKTLI
+>DECOY_NM_020772|100767_2_717_805
+ILTKVVRPVIAGLKGKKLLQIDARLDVVM
+>NM_152476|100815_2_835_908
+MERNSMTVSNVKMSSVNIHALRPT
+>DECOY_NM_152476|100815_2_835_908
+TPRLAHINVSSMKVNSVTMSNREM
+>NM_152476|100817_2_1099_1220
+MGKPSFISHTLKHTGKLRVEKNSMNGSNVGKHLLTPQAML
+>DECOY_NM_152476|100817_2_1099_1220
+LMAQPTLLHKGVNSGNMSNKEVRLKGTHKLTHSIFSPKGM
+>NM_152476|100821_2_1573_1730
+MERSHMSIRNMGRPLVHPQVLLKIEEVTQDRNALIVTSVGKSLFLSHLFLLI
+>DECOY_NM_152476|100821_2_1573_1730
+ILLFLHSLFLSKGVSTVILANRDQTVEEIKLLVQPHVLPRGMNRISMHSREM
+>NM_152476|100823_2_1603_1730
+MGRPLVHPQVLLKIEEVTQDRNALIVTSVGKSLFLSHLFLLI
+>DECOY_NM_152476|100823_2_1603_1730
+ILLFLHSLFLSKGVSTVILANRDQTVEEIKLLVQPHVLPRGM
+>NM_003834|100842_2_258_358
+MATSTRCATPVASCSGQTRRPTGSRPRTSGQVP
+>DECOY_NM_003834|100842_2_258_358
+PVQGSTRPRSGTPRRTQGSCSAVPTACRTSTAM
+>NM_003834|100845_2_1035_1213
+MERRPRSPPWWMPCTSSSWPPELPTGSTSTAGPWSRPWRGCASPTAMSWMTPSCTYTCS
+>DECOY_NM_003834|100845_2_1035_1213
+SCTYTCSPTMWSMATPSACGRWPRSWPGATSTSGTPLEPPWSSSTCPMWWPPSRPRREM
+>NM_003834|100851_3_910_1022
+MGLQLPGAPGGPRGAGPLHGLSGKGVQWRKPQLLGGM
+>DECOY_NM_003834|100851_3_910_1022
+MGGLLQPKRWQVGKGSLGHLPGAGRPGGPAGPLQLGM
+>NM_001833|100877_3_596_672
+MVCKTGRAATENKSKQQGSRRSLCK
+>DECOY_NM_001833|100877_3_596_672
+KCLSRRSGQQKSKNETAARGTKCVM
+>NM_033160|100892_2_723_862
+MVKMLKLSVIRKISIGNFKLWRNLLNVMDLDKVYMIRQFVLHLRVF
+>DECOY_NM_033160|100892_2_723_862
+FVRLHLVFQRIMYVKDLDMVNLLNRWLKFNGISIKRIVSLKLMKVM
+>NM_033160|100897_2_1308_1528
+MGNAENPFTGKHTSFSIRGPTQERKLTNMRNVQNPFVQVHILFSILELMWDSNFMNVMNVGKLSVRIQTSVNI
+>DECOY_NM_033160|100897_2_1308_1528
+INVSTQIRVSLKGVNMVNMFNSDWMLELISFLIHVQVFPNQVNRMNTLKREQTPGRISFSTHKGTFPNEANGM
+>NM_033160|100901_2_1647_1918
+MGRHHISKDIREFSWGRNPMNVLNVGKLSPRHHISEHIREFTQVKNPMNVLNVRKLSLTRHTSVYIREFTQGRNPMNVMTVGNLLPITQP
+>DECOY_NM_033160|100901_2_1647_1918
+PQTIPLLNGVTMVNMPNRGQTFERIYVSTHRTLSLKRVNLVNMPNKVQTFERIHESIHHRPSLKGVNLVNMPNRGWSFERIDKSIHHRGM
+>NM_032102|101056_2_609_1081
+MAAGTCPAAARESHAAGPEAAATDGGAAATGGGAAAPGGDTAADPGVPAAPGPAADLAIGVLAIAGLPTADLLTAGRATAALPTADLATGNLATADLTTAHLVTVTLATADITAAGLTRSLGPPLALALHQPPNRALRDDPSPPRSPGLARGPGLHL
+>DECOY_NM_032102|101056_2_609_1081
+LHLGPGRALGPSRPPSPDDRLARNPPQHLALALPPGLSRTLGAATIDATALTVTVLHATTLDATALNGTALDATPLAATARGATLLDATPLGAIALVGIALDAAPGPAAPVGPDAATDGGPAAAGGGTAAAGGDTAAAEPGAAHSERAAAPCTGAAM
+>NM_013363|101119_2_479_561
+MAMPMASALAASVALSGLEPLCPVATR
+>DECOY_NM_013363|101119_2_479_561
+RTAVPCLPELGSLAVSAALASAMPMAM
+>NM_013363|101122_2_599_753
+MASWPCSPLLNQTKEGISIVEDSLTDLPALLKPPTGQTGITLQESLVCGTL
+>DECOY_NM_013363|101122_2_599_753
+LTGCVLSEQLTIGTQGTPPKLLAPLDTLSDEVISIGEKTQNLLPSCPWSAM
+>NM_013363|101129_2_1181_1263
+MGVCTPQSRSSTSTKREIWRFSRRART
+>DECOY_NM_013363|101129_2_1181_1263
+TRARRSFRWIERKTSTSSRSQPTCVGM
+>NM_001039213|101143_2_876_961
+MASAGRRPAPISVRCGTRSVSAAASPST
+>DECOY_NM_001039213|101143_2_876_961
+TSPSAAASVSRTGCRVSIPAPRRGASAM
+>NM_001039213|101144_3_301_533
+MEFHGADWVQLAAPQCHIPECGGRDLYHPGACPAERRGQRHAGRPWAFGGTARLQLVCGAHTQRVIPGGQLHREHRR
+>DECOY_NM_001039213|101144_3_301_533
+RRHERHLQGGPIVRQTHAGCVLQLRATGGFAWPRGAHRQGRREAPCAGPHYLDRGGCEPIHCQPAALQVWDAGHFEM
+>NM_133370|101219_2_1035_1378
+MERRRRKRRRRKRRRRRRKKKNMNRMRETRKRREMIMTLEVRPVTLVLNLFPSQMGLSDLVQAQMDQMRKRRKGRELEAYLQLFLIEVEALHQSHMQIKPVNSNMCFKMQDFSS
+>DECOY_NM_133370|101219_2_1035_1378
+SSFDQMKFCMNSNVPKIQMHSQHLAEVEILFLQLYAELERGKRRKRMQDMQAQVLDSLGMQSPFLNLVLTVPRVELTMIMERRKRTERMRNMNKKKRRRRRRKRRRRKRRRREM
+>NM_003598|101258_2_125_294
+MAAAGRAVRKAVRRVPAAVRGLGVTGARMQRGCGAQTLSRASRRPWPSIHPAAAGK
+>DECOY_NM_003598|101258_2_125_294
+KGAAAPHISPWPRRSARSLTQAGCGRQMRAGTVGLGRVAAPVRRVAKRVARGAAAM
+>NM_017957|101285_2_1219_1313
+MAPPWPMVQGPWSTISGTESLREKRERRRRS
+>DECOY_NM_017957|101285_2_1219_1313
+SRRRRERKERLSETGSITSWPGQVMPWPPAM
+>NM_145714|101415_2_3157_3332
+MGGPPKARCPRVGCLHSQLPHPHPTPTSDTPKVSSLARRLDFQEEPMTGFLPFHPPGN
+>DECOY_NM_145714|101415_2_3157_3332
+NGPPHFPLFGTMPEEQFDLRRALSSVKPTDSTPTPHPHPLQSHLCGVRPCRAKPPGGM
+>NM_005049|101483_2_163_767
+MEIQLSVPWAIESLYLTLKTTNLTRCPWPLGTTSSAWGCPRMAASLSSSMKGAMRCWSAWSAGLCCTTSTSRALCTVCPSPLMAGSLLSQRVTLPRCIMPLGRSGSSTPSFWTRPILGPTMRPPASTGRMTPGALWLGAKTCPPGCSEPSAGTTSSTMHWGDIRMPSWPASLNPTAWTCTHSARTECCACGSVTRPPRACG
+>DECOY_NM_005049|101483_2_163_767
+GCARPPRTVSGCACCETRASHTCTWATPNLSAPWSPMRIDGWHMTSSTTGASPESCGPPCTKAGLWLAGPTMRGTSAPPRMTPGLIPRTWFSPTSSGSRGLPMICRPLTVRQSLLSGAMLPSPCVTCLARSTSTTCCLGASWASWCRMAGKMSSSLSAAMRPCGWASSTTGLPWPCRTLNTTKLTLYLSEIAWPVSLQIEM
+>NM_133444|101607_2_841_1244
+MGWRRRKRTMRRMKKMMKRWRMRRPWQRSVMMLWEVTSPQLAGLRAAGTVPSTSPQQGLAGNTGGRLTARHLPPTPSTAASVSAVSAPPTGCRLMGGPMLVAHMSVQPAPRSSRKQHRLSSTCGCIAGKPATSV
+>DECOY_NM_133444|101607_2_841_1244
+VSTAPKGAICGCTSSLRHQKRSSRPAPQVSMHAVLMPGGMLRCGTPPASVASVSAATSPTPPLHRATLRGGTNGALGQQPSTSPVTGAARLGALQPSTVEWLMMVSRQWPRRMRWRKMMKKMRRMTRKRRRWGM
+>NM_017423|101699_3_1147_1220
+MGLEYALETGASDPSREETEKDKN
+>DECOY_NM_017423|101699_3_1147_1220
+NKDKETEERSPDSAGTELAYELGM
+>NM_017423|101700_3_1903_2003
+MAVLQEPAQIYSYSFRKVFRSLRGPASSIHLQL
+>DECOY_NM_017423|101700_3_1903_2003
+LQLHISSAPGRLSRFVKRFSYSYIQAPEQLVAM
+>NM_005558|101763_2_386_600
+METGRPLLLRDYRAWKKQRCPSHCPQPPKMRTRTSRASSEHGRSGGRGGRWWRLHRPPSRRGWRQRRGGTA
+>DECOY_NM_005558|101763_2_386_600
+ATGGRRQRWGRRSPPRHLRWWRGGRGGSRGHESSARSTRTRMKPPQPCHSPCRQKKWARYDRLLLPRGTEM
+>NM_013398|101781_2_753_1309
+MDINHPSVMSPTLIFINNYTQERNLIRVMSVERTFVTSQPFVFIRESTWERNAISVTCVVRNSVRVHICKLIRESTLERNRSNVWNVGKASVVDQHLMFITNYTQERNLIIVRNAGRPSFTIPSFKNIRESIRGRSHSNVIYVVRASVVDQDLIGIPWFTRQRNHSDVIRVIRAFVRDQHLIVIA
+>DECOY_NM_013398|101781_2_753_1309
+AIVILHQDRVFARIVRIVDSHNRQRTFWPIGILDQDVVSARVVYIVNSHSRGRISERINKFSPITFSPRGANRVIILNREQTYNTIFMLHQDVVSAKGVNWVNSRNRELTSERILKCIHVRVSNRVVCTVSIANREWTSERIFVFPQSTVFTREVSMVRILNREQTYNNIFILTPSMVSPHNIDM
+>NM_134269|101860_2_2706_2947
+MGWPSVPWCTTSSLRPSTMGSLALRTDARTSRWPSHLRRPMRTARSSWIQRTWCGFESLTGSACTRTSRNSTAVWSRRGW
+>DECOY_NM_134269|101860_2_2706_2947
+WGRRSWVATSNRSTRTCASGTLSEFGCWTRQIWSSRATRMPRRLHSPWRSTRADTRLALSGMTSPRLSSTTCWPVSPWGM
+>NM_134269|101861_2_2760_2947
+MGSLALRTDARTSRWPSHLRRPMRTARSSWIQRTWCGFESLTGSACTRTSRNSTAVWSRRGW
+>DECOY_NM_134269|101861_2_2760_2947
+WGRRSWVATSNRSTRTCASGTLSEFGCWTRQIWSSRATRMPRRLHSPWRSTRADTRLALSGM
+>NM_018937|101933_2_1939_2216
+MASLRARPPPRCMCSWWTASPSPTCLSRRRHRPRPRPTCSPSTWWWHWPRCLRSSSFRCSCSWRCGCAGGAGRPRWVAARCPRAPFQGRWWT
+>DECOY_NM_018937|101933_2_1939_2216
+TWWRGQFPARPCRAAVWRPRGAGGACGCRWSCSCRFSSSRLCRPWHWWWTSPSCTPRPRPRHRRRSLCTPSPSATWWSCMCRPPPRARLSAM
+>NM_001039654|101945_2_373_461
+MVCTPGHYKSGYQQMFSMNVTHSNQGKTP
+>DECOY_NM_001039654|101945_2_373_461
+PTKGQNSHTVNMSFMQQYGSKYHGPTCVM
+>NM_018071|101971_2_930_1078
+MEHAHPARGAAPEPPLSLPQELRLSQRQQSWRCLSPQQRLWEKPPDLAP
+>DECOY_NM_018071|101971_2_930_1078
+PALDPPKEWLRQQPSLCRWSQQRQSLRLEQPLSLPPEPAAGRAPHAHEM
+>NM_018071|101981_3_2839_3077
+MGPLPGPLPRAREEDPATRGRGGEPTGLPTTAGRRCQQWRGPVGAPQPLAQPQLLAAPQQPWATASPIPLLPGPMWRGL
+>DECOY_NM_018071|101981_3_2839_3077
+LGRWMPGPLLPIPSATAWPQQPAALLQPQALPQPAGVPGRWQQCRRGATTPLGTPEGGRGRTAPDEERARPLPGPLPGM
+>NM_004710|101989_2_235_461
+MAVPSGCWPSWPRPSSWWSTRISPRSATPLTASTWSLVTCSSQLSGPSCGLLVSASSPTSGQSPTRRTCWWGPTL
+>DECOY_NM_004710|101989_2_235_461
+LTPGWWCTRRTPSQGSTPSSASVLLGCSPGSLQSSCTVLSWTSATLPTASRPSIRTSWWSSPRPWSPWCGSPVAM
+>NM_203303|101994_2_171_367
+MAEVLNVVPPPYLTPVTAVVSPVVMLRTVSFSETSATTVGEAATSPKTVRILNERDANTVIPAAD
+>DECOY_NM_203303|101994_2_171_367
+DAAPIVTNADRENLIRVTKPSTAAEGVTTASTESFSVTRLMVVPSVVATVPTLYPPPVVNLVEAM
+>NM_017810|102007_3_840_940
+MDVPRPCTKGPLQGCHPEEGQSRLAGNRCALGL
+>DECOY_NM_017810|102007_3_840_940
+LGLACRNGALRSQGEEPHCGQLPGKTCPRPVDM
+>NM_152373|102056_2_879_1036
+MERDPLCAMIVGRRLCIKPNSWSTRDFTLERSLMSAVNVGKHSLGTPHLINT
+>DECOY_NM_152373|102056_2_879_1036
+TNILHPTGLSHKGVNVASMLSRELTFDRTSWSNPKICLRRGVIMACLPDREM
+>NM_014547|102111_2_688_776
+MVLTKNIFQMWSKVKRFFRYLMSHQIQPM
+>DECOY_NM_014547|102111_2_688_776
+MPQIQHSMLYRFFRKVKSWMQFINKTLVM
+>NM_005632|102219_2_1483_1715
+MASPPPTAPTVGPTSPAPAAEAADGCPRPRRPPASCPSARASGPALPVPCSTHCGPSTAPPATRLSSWWPSGGGPRP
+>DECOY_NM_005632|102219_2_1483_1715
+PRPGGGSPWWSSLRTAPPATSPGCHTSCPVPLAPGSARASPCSAPPRRPRPCGDAAEAAPAPSTPGVTPATPPPSAM
+>NM_005632|102226_3_503_900
+MALRPLHLPQLPGQGGLRGVRLHPGACAWGCLPASPQRGPPQATRHPGGAQGQLPGGSRSSEDCGAGGHGARQGAVRGQGRGGEGGAGGGGGSGGAQRGLGVSALHAAQHARGQLLLRLRGPTQALAATDPS
+>DECOY_NM_005632|102226_3_503_900
+SPDTAALAQTPGRLRLLLQGRAHQAAHLASVGLGRQAGGSGGGGGAGGEGGRGQGRVAGQRAGHGGAGCDESSRSGGPLQGQAGGPHRTAQPPGRQPSAPLCGWACAGPHLRVGRLGGQGPLQPLHLPRLAM
+>NM_021809|102234_2_423_646
+MAKTLISLPFPAAGVRPQMWPSPVAAAPQCWLCLSQPPPMCSPCLCAPCRFTQARGKSQQPLSHVGSWSLPSPW
+>DECOY_NM_021809|102234_2_423_646
+WPSPLSWSGVHSLPQQSKGRAQTFRCPACLCPSCMPPPQSLCLWCQPAAAVPSPWMQPRVGAAPFPLSILTKAM
+>NM_198514|102326_2_543_682
+MVFLLLVFTRLSFQMKKSWITLRVLFFDTTSPTLWLMMQMPAFGKN
+>DECOY_NM_198514|102326_2_543_682
+NKGFAPMQMMLWLTPSTTDFFLVRLTIWSKKMQFSLRTFVLLLFVM
+>NM_198514|102328_2_972_1063
+MDKFNIALEDPTLEEKMEYFQNQLLILHRV
+>DECOY_NM_198514|102328_2_972_1063
+VRHLILLQNQFYEMKEELTPDELAINFKDM
+>NM_003637|102379_2_428_651
+MGDSWPVPLSGLVLVAALSSVLGYVPVWMLHSSLREAWHPLPNAAQHTWMLSLSWMAPTASTPGLKFRPSYEDW
+>DECOY_NM_003637|102379_2_428_651
+WDEYSPRFKLGPTSATPAMWSLSLMWTHQAANPLPHWAERLSSHLMWVPVYGLVSSLAAVLVLGSLPVPWSDGM
+>NM_003637|102383_2_1226_1488
+MGFFLGWWGPMTGEALCYGLKEATAFSPHEWHWKTSSPLHCRTMQPTWVTLFLPCFCGVDAACFSLGLLDLDIEEKSSPSSLRKMGL
+>DECOY_NM_003637|102383_2_1226_1488
+LGMKRLSSPSSKEEIDLDLLGLSFCAADVGCFCPLFLTVWTPQMTRCHLPSSTKWHWEHPSFATAEKLGYCLAEGTMPGWWGLFFGM
+>NM_003637|102386_2_1568_1656
+MEQLMSYLWLPPCSWDPRTRKQDVFMCIW
+>DECOY_NM_003637|102386_2_1568_1656
+WICMFVDQKRTRPDWSCPPLWLYSMLQEM
+>NM_003637|102388_2_1760_1932
+MVLLMWLWGRLWKMGTREHCTCTMEPRVESGPILPRGLLLPPCHMPSATLAEVWMVG
+>DECOY_NM_003637|102388_2_1760_1932
+GVMWVEALTASPMHCPPLLLGRPLIPGSEVRPEMTCTCHERTGMKWLRGWLWMLLVM
+>NM_003637|102390_2_1799_1932
+MGTREHCTCTMEPRVESGPILPRGLLLPPCHMPSATLAEVWMVG
+>DECOY_NM_003637|102390_2_1799_1932
+GVMWVEALTASPMHCPPLLLGRPLIPGSEVRPEMTCTCHERTGM
+>NM_003637|102391_2_1829_1932
+MEPRVESGPILPRGLLLPPCHMPSATLAEVWMVG
+>DECOY_NM_003637|102391_2_1829_1932
+GVMWVEALTASPMHCPPLLLGRPLIPGSEVRPEM
+>NM_003637|102397_2_2837_3006
+MGPFKITQPRPQPTSNMSPTSCSLVSLPCTAMRFTHMGPSQWVLAQNSKPLSGFRT
+>DECOY_NM_003637|102397_2_2837_3006
+TRFGSLPKSNQALVWQSPGMHTFRMATCPLSVLSCSTPSMNSTPQPRPQTIKFPGM
+>NM_003637|102403_3_237_352
+MDAGGRPLGWAFRRPEGGRLSLPCRGGPQCPMCQGPLR
+>DECOY_NM_003637|102403_3_237_352
+RLPGQCMPCQPGGRCPLSLRGGEPRRFAWGLPRGGADM
+>NM_173587|102466_2_686_798
+MATTLSRRWACFCGISTMWRSRWPTWPTSPHSLTSGQ
+>DECOY_NM_173587|102466_2_686_798
+QGSTLSHPSTPWTPWRSRWMTSIGCFCAWRRSLTTAM
+>NM_152643|102522_3_806_972
+MARATAGRGWPASSWMPSAPSGSWTETPSGEAACGRCRRSLGCCPTAPRPPSACR
+>DECOY_NM_152643|102522_3_806_972
+RCASPPRPATPCCGLSRRCRGCAAEGSPTETWSGSPASPMWSSAPWGRGATARAM
+>NM_152643|102524_3_1049_1566
+MAFLASRLSPNAGCGRSRSRNTSWDGFPVQAAARTGALGCPAVQDSPRLHTPARGQQRPLQTLEMLAVKPRLPGTMREFQKELGSWKVQPRSSGCPCRTSCPSWAGPSGSTSCGPCAWPASAHCRHALSTQPTCVWTPCWLLRTGLCSSSHPLPTVPMTRSFWLPSWQRRGW
+>DECOY_NM_152643|102524_3_1049_1566
+WGRRQWSPLWFSRTMPVTPLPHSSSCLGTRLLWCPTWVCTPQTSLAHRCHASAPWACPGCSTSGSPGAWSPCSTRCPCGSSRPQVKWSGLEKQFERMTGPLRPKVALMELTQLPRQQGRAPTHLRPSDQVAPCGLAGTRAAAQVPFGDWSTNRSRSRGCGANPSLRSALFAM
+>NM_001004483|102561_2_124_239
+MESLSQLSSLILTCTPPCISSSVIFPSSTFATQVPLSH
+>DECOY_NM_001004483|102561_2_124_239
+HSLPVQTAFTSSPFIVSSSICPPTCTLILSSLQSLSEM
+>NM_001761|102589_3_222_361
+MAFCRGHPGRPSCTLPAEGPGGQPRQCVGMCQLPGAVAVSREPEAL
+>DECOY_NM_001761|102589_3_222_361
+LAEPERSVAVAGPLQCMGVCQRPQGGPGEAPLTCSPRGPHGRCFAM
+>NM_004860|102668_2_1512_1759
+MGAVAVAGGQAVLPMAPAQMCLQLQRLSQRRERSPTELGLATGIPQPEGKKAGGGRLGAGVGDPHLPPGPLRDTILHLLAQC
+>DECOY_NM_004860|102668_2_1512_1759
+CQALLHLITDRLPGPPLHPDGVGAGLRGGGAKKGEPQPIGTALGLETPSRERRQSLRQLQLCMQAPAMPLVAQGGAVAVAGM
+>NM_004860|102671_2_1947_2053
+MAWKMNQDLNVVIAAAAAVTVVIGLMALSVETASQ
+>DECOY_NM_004860|102671_2_1947_2053
+QSATEVSLAMLGIVVTVAAAAAIVVNLDQNMKWAM
+>NM_001004486|102688_2_217_407
+MEQLPCPRLWCIVSLPIPTSLIPDVWLKRVSPWLWPQQSASYWLPWPMTVWLLSAIPCVIQWL
+>DECOY_NM_001004486|102688_2_217_407
+LWQIVCPIASLLWVTMPWPLWYSASQQPWLWPSVRKLWVDPILSTPIPLSVICWLRPCPLQEM
+>NM_006369|102748_2_714_790
+MGLSVKCRYTPGLCLSQPFSSLFSP
+>DECOY_NM_006369|102748_2_714_790
+PSFLSSFPQSLCLGPTYRCKVSLGM
+>NM_006369|102751_2_1320_1477
+MAKRWRLGKWLVELWMDQIPAAWGFQHWKLHKDSAASPPWSYSQFHSPQRQP
+>DECOY_NM_006369|102751_2_1320_1477
+PQRQPSHFQSYSWPPSAASDKHLKWHQFGWAAPIQDMWLEVLWKGLRWRKAM
+>NM_032088|102805_2_3314_3450
+MVSKPRPTRTGVSLRPRDPAPAAPKMAMTPAPGPTTSLTQRCCKP
+>DECOY_NM_032088|102805_2_3314_3450
+PKCCRQTLSTTPGPAPTMAMKPAAPAPDRPRLSVGTRTPRPKSVM
+>NM_080664|102824_2_301_377
+MASMSLYWIYLATFLLSLKLPLEED
+>DECOY_NM_080664|102824_2_301_377
+DEELPLKLSLLFTALYIWYLSMSAM
+>NM_017728|102860_3_884_966
+MDRFRRHDCAGPDPHRARTRGGAPAPG
+>DECOY_NM_017728|102860_3_884_966
+GPAPAGGRTRARHPDPGACDHRRFRDM
+>NM_020340|102941_2_1387_1643
+MGLSGAEIPWRSMRLTSAGSGECCPQNTRRGSQGTRGALTSASVSPQTQARPLSRESWVRLHPRTIRETTRTVSSRQPSQRVRRR
+>DECOY_NM_020340|102941_2_1387_1643
+RRRVRQSPQRSSVTRTTERITRPHLRVWSERSLPRAQTQPSVSASTLAGRTGQSGRRTNQPCCEGSGASTLRMSRWPIEAGSLGM
+>NM_020340|102955_3_3884_3960
+METLVQCPGNSAWREQVRDEGVPGW
+>DECOY_NM_020340|102955_3_3884_3960
+WGPVGEDRVQERWASNGPCQVLTEM
+>NM_020340|102956_3_6344_6519
+MDQHGANSSQSDSDSPRPDLHGPPARSVPVHQSADLSRDRHQSSPGCEGVAGQGGPCL
+>DECOY_NM_020340|102956_3_6344_6519
+LCPGGQGAVGECGPSSQHRDRSLDASQHVPVSRAPPGHLDPRPSDSDSQSSNAGHQDM
+>NM_032584|102999_3_317_390
+MDQSCDHSSLFRICNERFTTQREE
+>DECOY_NM_032584|102999_3_317_390
+EERQTTFRENCIRFLSSHDCSQDM
+>NM_178229|103064_2_619_911
+MASSCLPSARSGASWPMSSRWMRLQSMQLFLPSMKQWSEGWWRTPWLPCRIPVLFWRISESLWQPSTKRCWPRPRWRRQPMPGTMMTEKARTSMTTT
+>DECOY_NM_178229|103064_2_619_911
+TTTMSTRAKETMMTGPMPQRRWRPRPWCRKTSPQWLSESIRWFLVPIRCPLWPTRWWGESWQKMSPLFLQMSQLRMWRSSMPWSAGSRASPLCSSAM
+>NM_178229|103073_2_2104_2189
+MALPTTSICRPSRGSGSNLLAAPSTPLT
+>DECOY_NM_178229|103073_2_2104_2189
+TLPTSPAALLNSGSGRSPRCISTTPLAM
+>NM_001001657|103100_2_28_110
+MASFWWDSQIGRNWSPSCLSLFLFSTP
+>DECOY_NM_001001657|103100_2_28_110
+PTSFLFLSLCSPSWNRGIQSDWWFSAM
+>NM_031309|103162_2_266_729
+MATPRLRCSKGRRRSPCTQQLCVESWVRRLQGLRRRPPRARSWPPLRAATSTATRPSARATRRTPSSSPTGARGVRLPMPALPPLPPQPRRRPPTATPEAGAGRAGAAWDPGRGAGAARARGQAPRRARGQGPQVLAAGTRAASAAKHTPRRRT
+>DECOY_NM_031309|103162_2_266_729
+TRRRPTHKAASAARTGAALVQPGQGRARRPAQGRARAAGAGRGPDWAAGARGAGAEPTATPPRRRPQPPLPPLAPMPLRVGRAGTPSSSPTRRTARASPRTATSTAARLPPWSRARPPRRRLGQLRRVWSEVCLQQTCPSRRRGKSCRLRPTAM
+>NM_019067|103217_2_233_315
+MGRKQPPKCPLHLILFTPMIMPIERLN
+>DECOY_NM_019067|103217_2_233_315
+NLREIPMIMPTFLILHLPCKPPQKRGM
+>NM_003323|103250_2_529_818
+MVPSLPHLLNSLRESDARVGKPTNDLGPVQRVRVTPRIWEMHTSHPIWDQTLEWMVTVYMKTWPSKRKKTWKRRERPLSLQGRTPQQHTTKSCPRP
+>DECOY_NM_003323|103250_2_529_818
+PRPCSKTTHQQPTRGQLSLPRERRKWTKKRKSPWTKMYVTVMWELTQDWIPHSTHMEWIRPTVRVRQVPGLDNTPKGVRADSERLSNLLHPLSPVM
+>NM_012345|103281_2_1144_1418
+MAVFQGQRVSQKKLPSRLKQTFWQKTRFLIAVLLRVQVKMLKQLLEIFQKPRVRTERKALKKQTLRGKKIITTIKRYSNQEHTIHISWKCF
+>DECOY_NM_012345|103281_2_1144_1418
+FCKWSIHITHEQNSYRKITTIIKKGRLTQKKLAKRETRVRPKQFIELLQKLMKVQVRLLVAILFRTKQWFTQKLRSPLKKQSVRQGQFVAM
+>NM_014611|103489_2_12957_13057
+MACSSGQSACSTWPCSARSCLSSSPGSSSAAPV
+>DECOY_NM_014611|103489_2_12957_13057
+VPAASSSGPSSSLCSRASCPWTSCASQGSSCAM
+>NM_014611|103490_2_13074_13156
+MAMSRYWGSLLAPAWKDQNLARDNFVE
+>DECOY_NM_014611|103490_2_13074_13156
+EVFNDRALNQDKWAPALLSGWYRSMAM
+>NM_014611|103493_2_13887_13990
+MAQQQSTCSSANPVPCWCAWCRSSPATQTSSSSS
+>DECOY_NM_014611|103493_2_13887_13990
+SSSSSTQTAPSSRCWACWCPVPNASSCTSQQQAM
+>NM_014611|103498_2_14331_14437
+MGSLKNKKRMMRNQIVRAETWINTWAISMVRKLTN
+>DECOY_NM_014611|103498_2_14331_14437
+NTLKRVMSIAWTNIWTEARVIQNRMMRKKNKLSGM
+>NM_014611|103515_2_15009_15478
+MEMLLSILKNTLRSSSSLWRKKTRKPMKKVERMALLTKVSSPRRKKNGRTLIQRSRCQRLWRGRSMPPVGRLVWRTCRTHRPWSWLGPHLRRSRGKRNTEVELQMQTRQKAMNRISLPSWPPRSTPGKTHRVLRGNLGRLTMNVPWVITMSVCTRG
+>DECOY_NM_014611|103515_2_15009_15478
+GRTCVSMTIVWPVNMTLRGLNGRLVRHTKGPTSRPPWSPLSIRNMAKQRTQMQLEVETNRKGRSRRLHPGLWSWPRHTRCTRWVLRGVPPMSRGRWLRQCRSRQILTRGNKKRRPSSVKTLLAMREVKKMPKRTKKRWLSSSSRLTNKLISLLMEM
+>NM_014611|103518_2_15105_15478
+MALLTKVSSPRRKKNGRTLIQRSRCQRLWRGRSMPPVGRLVWRTCRTHRPWSWLGPHLRRSRGKRNTEVELQMQTRQKAMNRISLPSWPPRSTPGKTHRVLRGNLGRLTMNVPWVITMSVCTRG
+>DECOY_NM_014611|103518_2_15105_15478
+GRTCVSMTIVWPVNMTLRGLNGRLVRHTKGPTSRPPWSPLSIRNMAKQRTQMQLEVETNRKGRSRRLHPGLWSWPRHTRCTRWVLRGVPPMSRGRWLRQCRSRQILTRGNKKRRPSSVKTLLAM
+>NM_014611|103532_3_5923_5996
+MGAKRRTLGIQPPGPFPLVSVDAG
+>DECOY_NM_014611|103532_3_5923_5996
+GADVSVLPFPGPPQIGLTRRKAGM
+>NM_015104|103566_2_468_562
+MGYRSPLSHHSPWRGWRCLPRPLRLCFGGSK
+>DECOY_NM_015104|103566_2_468_562
+KSGGFCLRLPRPLCRWGRWPSHHSLPSRYGM
+>NM_015104|103567_2_606_838
+MGNVVWPSRSVCRDWSTVMRQCGTQARRRRWMCISRLPSCTSCCSWQGSACTTRSSRHRKSLQSPPCRSAAAQGTWS
+>DECOY_NM_015104|103567_2_606_838
+SWTGQAAASRCPPSQLSKRHRSSRTTCASGQWSCCSTCSPLRSICMWRRRRAQTGCQRMVTSWDRCVSRSPWVVNGM
+>NM_015104|103571_2_1446_1525
+MGPSVPETSITFDHASRGPVPVAMFG
+>DECOY_NM_015104|103571_2_1446_1525
+GFMAVPVPGRSAHDFTISTEPVSPGM
+>NM_015104|103574_2_2175_2263
+MEGSHLSLACVSPKPWTPRALGASTSCPR
+>DECOY_NM_015104|103574_2_2175_2263
+RPCSTSAGLARPTWPKPSVCALSLHSGEM
+>NM_015104|103582_2_4113_4198
+METPWTVMSSASLMLPAWASRPEMGSLW
+>DECOY_NM_015104|103582_2_4113_4198
+WLSGMEPRSAWAPLMLSASSMVTWPTEM
+>NM_015104|103586_2_5094_5176
+MASTSRWTRWALLLASSSAWPNSTAPS
+>DECOY_NM_015104|103586_2_5094_5176
+SPATSNPWASSSALLLAWRTWRSTSAM
+>NM_015104|103588_2_5379_5701
+MAASCGGCSEGLPPLAHPQPLPPWNSATGWYRLSRPQLRPCMTSCPRQPPSPAPCRISALRGGCAGASSLPTCGRVWPRPTTQCERASWIQLRPSVTWHRGAMSRRG
+>DECOY_NM_015104|103588_2_5379_5701
+GRRSMAGRHWTVSPRLQIWSARECQTTPRPWVRGCTPLSSAGACGGRLASIRCPAPSPPQRPCSTMCPRLQPRSLRYWGTASNWPPLPQPHALPPLGESCGGCSAAM
+>NM_015104|103591_3_64_488
+MAVAMVKLCERAGLPLLAAPLLRSLLPRAPQPGPAQPRSVQGQRCPARHPPGNLVCERGAGVNGVTAGAGGRLRGLHRGGRALGCSAHRPLHSARVRPPAHLAAPPGSSARGCRLTELGLMHDHKPAAGPGVSAGWATGAL
+>DECOY_NM_015104|103591_3_64_488
+LAGTAWGASVGPGAAPKHDHMLGLETLRCGRASSGPPAALHAPPRVRASHLPRHASCGLARGGRHLGRLRGGAGATVGNVGAGRECVLNGPPHRAPCRQGQVSRPQAPGPQPARPLLSRLLPAALLPLGARECLKVMAVAM
+>NM_015104|103592_3_76_488
+MVKLCERAGLPLLAAPLLRSLLPRAPQPGPAQPRSVQGQRCPARHPPGNLVCERGAGVNGVTAGAGGRLRGLHRGGRALGCSAHRPLHSARVRPPAHLAAPPGSSARGCRLTELGLMHDHKPAAGPGVSAGWATGAL
+>DECOY_NM_015104|103592_3_76_488
+LAGTAWGASVGPGAAPKHDHMLGLETLRCGRASSGPPAALHAPPRVRASHLPRHASCGLARGGRHLGRLRGGAGATVGNVGAGRECVLNGPPHRAPCRQGQVSRPQAPGPQPARPLLSRLLPAALLPLGARECLKVM
+>NM_145064|103687_2_862_1133
+METLKGIRRLRRRHLMTSTSSLASSSLITLWLSIGSKPWRRTIWISRQERRSQSLMTPMKNGGGGKSGRRSDFSLQTSSFGSGLENVCTA
+>DECOY_NM_145064|103687_2_862_1133
+ATCVNELGSGFSSTQLSFDSRRGSKGGGGNKMPTMLSQSRREQRSIWITRRWPKSGISLWLTILSSSALSSTSTMLHRRRLRRIGKLTEM
+>NM_001110798|103814_2_1414_1544
+MGRRNPRQHYSGFSISWHSTLINLDSILWLWIILMLQLLVLQL
+>DECOY_NM_001110798|103814_2_1414_1544
+LQLVLLQLMLIIWLWLISDLNILTSHWSISFGSYHQRPNRRGM
+>NM_152477|103826_3_164_258
+MEVPGTCSEGLVQGGDSGELWSLGLTRTLHF
+>DECOY_NM_152477|103826_3_164_258
+FHLTRTLGLSWLEGSDGGQVLGESCTGPVEM
+>NM_198398|103851_2_505_809
+MVLRQKISSAVTPVKMCGRHIAVEAGPSRTQILLSSAGERASARRCRSRRMKAARCMASWKSIRWPETSTLPLGRASSSPMCTYMLWRSMTCRALALTTST
+>DECOY_NM_198398|103851_2_505_809
+TSTTLALARCTMSRWLMYTCMPSSSARGLPLTSTEPWRISKWSAMCRAAKMRRSRCRRASAREGASSLLIQTRSPGAEVAIHRGCMKVPTVASSIKQRLVM
+>NM_080879|103872_2_448_530
+MVQLSPRTAISGGSTTRRPPSCWTASG
+>DECOY_NM_080879|103872_2_448_530
+GSATWCSPPRRTTSGGSIATRPSLQVM
+>NM_001114106|103938_3_205_302
+MVIPVLSLLDWFGVYHGLLGGGWSRGKTPLWL
+>DECOY_NM_001114106|103938_3_205_302
+LWLPTKGRSWGGGLLGHYVGFWDLLSLVPIVM
+>NM_001009931|103969_2_611_1827
+MVSKTPTPISLQAAANVGLGQGSLPTMANTALAPDSLPAMTHMGLAQASLLALVNTSLAQGSPLVTVSMDLAQVTPLATDNTALGQDSHLGVNDTDLAQVRLPAMVSMGLVPVSLWATADKGLDLASLLATSDMGPVRGTPPATANTGLAQVTLTAVAIMSLAQARLLALGNMSLAQDSPLAIVSMVLAQVTPLARDNMDLRQGRHQALANMAPAHVSLPAMVSMSLPPVTLQAAANTALDLASLQATASVGLGQGSLPAPANMGLALVDLPAVAHMCLVQATLLALVTTSLAQSIPLVTLSMDLAQVTPPATDNTALGQDSHLGVNDKDLVQVHLPAMVSMGLAPVNLWDTADMGLDLASLLALAVADMSLVPGSLPAMAHMGMAQGGLQAVAHMSLAPVTLLA
+>DECOY_NM_001009931|103969_2_611_1827
+ALLTVPALSMHAVAQLGGQAMGMHAMAPLSGPVLSMDAVALALLSALDLGMDATDWLNVPALGMSVMAPLHVQVLDKDNVGLHSDQGLATNDTAPPTVQALDMSLTVLPISQALSTTVLALLTAQVLCMHAVAPLDVLALGMNAPAPLSGQGLGVSATAQLSALDLATNAAAQLTVPPLSMSVMAPLSVHAPAMNALAQHRGQRLDMNDRALPTVQALVMSVIALPSDQALSMNGLALLRAQALSMIAVATLTVQALGTNATAPPTGRVPGMDSTALLSALDLGKDATAWLSVPVLGMSVMAPLRVQALDTDNVGLHSDQGLATNDTALPTVQALDMSVTVLPSGQALSTNVLALLSAQALGMHTMAPLSDPALATNAMTPLSGQGLGVNAAAQLSIPTPTKSVM
+>NM_001009931|103970_2_689_1827
+MANTALAPDSLPAMTHMGLAQASLLALVNTSLAQGSPLVTVSMDLAQVTPLATDNTALGQDSHLGVNDTDLAQVRLPAMVSMGLVPVSLWATADKGLDLASLLATSDMGPVRGTPPATANTGLAQVTLTAVAIMSLAQARLLALGNMSLAQDSPLAIVSMVLAQVTPLARDNMDLRQGRHQALANMAPAHVSLPAMVSMSLPPVTLQAAANTALDLASLQATASVGLGQGSLPAPANMGLALVDLPAVAHMCLVQATLLALVTTSLAQSIPLVTLSMDLAQVTPPATDNTALGQDSHLGVNDKDLVQVHLPAMVSMGLAPVNLWDTADMGLDLASLLALAVADMSLVPGSLPAMAHMGMAQGGLQAVAHMSLAPVTLLA
+>DECOY_NM_001009931|103970_2_689_1827
+ALLTVPALSMHAVAQLGGQAMGMHAMAPLSGPVLSMDAVALALLSALDLGMDATDWLNVPALGMSVMAPLHVQVLDKDNVGLHSDQGLATNDTAPPTVQALDMSLTVLPISQALSTTVLALLTAQVLCMHAVAPLDVLALGMNAPAPLSGQGLGVSATAQLSALDLATNAAAQLTVPPLSMSVMAPLSVHAPAMNALAQHRGQRLDMNDRALPTVQALVMSVIALPSDQALSMNGLALLRAQALSMIAVATLTVQALGTNATAPPTGRVPGMDSTALLSALDLGKDATAWLSVPVLGMSVMAPLRVQALDTDNVGLHSDQGLATNDTALPTVQALDMSVTVLPSGQALSTNVLALLSAQALGMHTMAPLSDPALATNAM
+>NM_001009931|103973_2_815_1827
+MDLAQVTPLATDNTALGQDSHLGVNDTDLAQVRLPAMVSMGLVPVSLWATADKGLDLASLLATSDMGPVRGTPPATANTGLAQVTLTAVAIMSLAQARLLALGNMSLAQDSPLAIVSMVLAQVTPLARDNMDLRQGRHQALANMAPAHVSLPAMVSMSLPPVTLQAAANTALDLASLQATASVGLGQGSLPAPANMGLALVDLPAVAHMCLVQATLLALVTTSLAQSIPLVTLSMDLAQVTPPATDNTALGQDSHLGVNDKDLVQVHLPAMVSMGLAPVNLWDTADMGLDLASLLALAVADMSLVPGSLPAMAHMGMAQGGLQAVAHMSLAPVTLLA
+>DECOY_NM_001009931|103973_2_815_1827
+ALLTVPALSMHAVAQLGGQAMGMHAMAPLSGPVLSMDAVALALLSALDLGMDATDWLNVPALGMSVMAPLHVQVLDKDNVGLHSDQGLATNDTAPPTVQALDMSLTVLPISQALSTTVLALLTAQVLCMHAVAPLDVLALGMNAPAPLSGQGLGVSATAQLSALDLATNAAAQLTVPPLSMSVMAPLSVHAPAMNALAQHRGQRLDMNDRALPTVQALVMSVIALPSDQALSMNGLALLRAQALSMIAVATLTVQALGTNATAPPTGRVPGMDSTALLSALDLGKDATAWLSVPVLGMSVMAPLRVQALDTDNVGLHSDQGLATNDTALPTVQALDM
+>NM_001009931|103974_2_923_1827
+MVSMGLVPVSLWATADKGLDLASLLATSDMGPVRGTPPATANTGLAQVTLTAVAIMSLAQARLLALGNMSLAQDSPLAIVSMVLAQVTPLARDNMDLRQGRHQALANMAPAHVSLPAMVSMSLPPVTLQAAANTALDLASLQATASVGLGQGSLPAPANMGLALVDLPAVAHMCLVQATLLALVTTSLAQSIPLVTLSMDLAQVTPPATDNTALGQDSHLGVNDKDLVQVHLPAMVSMGLAPVNLWDTADMGLDLASLLALAVADMSLVPGSLPAMAHMGMAQGGLQAVAHMSLAPVTLLA
+>DECOY_NM_001009931|103974_2_923_1827
+ALLTVPALSMHAVAQLGGQAMGMHAMAPLSGPVLSMDAVALALLSALDLGMDATDWLNVPALGMSVMAPLHVQVLDKDNVGLHSDQGLATNDTAPPTVQALDMSLTVLPISQALSTTVLALLTAQVLCMHAVAPLDVLALGMNAPAPLSGQGLGVSATAQLSALDLATNAAAQLTVPPLSMSVMAPLSVHAPAMNALAQHRGQRLDMNDRALPTVQALVMSVIALPSDQALSMNGLALLRAQALSMIAVATLTVQALGTNATAPPTGRVPGMDSTALLSALDLGKDATAWLSVPVLGMSVM
+>NM_001009931|103975_2_932_1827
+MGLVPVSLWATADKGLDLASLLATSDMGPVRGTPPATANTGLAQVTLTAVAIMSLAQARLLALGNMSLAQDSPLAIVSMVLAQVTPLARDNMDLRQGRHQALANMAPAHVSLPAMVSMSLPPVTLQAAANTALDLASLQATASVGLGQGSLPAPANMGLALVDLPAVAHMCLVQATLLALVTTSLAQSIPLVTLSMDLAQVTPPATDNTALGQDSHLGVNDKDLVQVHLPAMVSMGLAPVNLWDTADMGLDLASLLALAVADMSLVPGSLPAMAHMGMAQGGLQAVAHMSLAPVTLLA
+>DECOY_NM_001009931|103975_2_932_1827
+ALLTVPALSMHAVAQLGGQAMGMHAMAPLSGPVLSMDAVALALLSALDLGMDATDWLNVPALGMSVMAPLHVQVLDKDNVGLHSDQGLATNDTAPPTVQALDMSLTVLPISQALSTTVLALLTAQVLCMHAVAPLDVLALGMNAPAPLSGQGLGVSATAQLSALDLATNAAAQLTVPPLSMSVMAPLSVHAPAMNALAQHRGQRLDMNDRALPTVQALVMSVIALPSDQALSMNGLALLRAQALSMIAVATLTVQALGTNATAPPTGRVPGMDSTALLSALDLGKDATAWLSVPVLGM
+>NM_001009931|103976_2_1010_1827
+MGPVRGTPPATANTGLAQVTLTAVAIMSLAQARLLALGNMSLAQDSPLAIVSMVLAQVTPLARDNMDLRQGRHQALANMAPAHVSLPAMVSMSLPPVTLQAAANTALDLASLQATASVGLGQGSLPAPANMGLALVDLPAVAHMCLVQATLLALVTTSLAQSIPLVTLSMDLAQVTPPATDNTALGQDSHLGVNDKDLVQVHLPAMVSMGLAPVNLWDTADMGLDLASLLALAVADMSLVPGSLPAMAHMGMAQGGLQAVAHMSLAPVTLLA
+>DECOY_NM_001009931|103976_2_1010_1827
+ALLTVPALSMHAVAQLGGQAMGMHAMAPLSGPVLSMDAVALALLSALDLGMDATDWLNVPALGMSVMAPLHVQVLDKDNVGLHSDQGLATNDTAPPTVQALDMSLTVLPISQALSTTVLALLTAQVLCMHAVAPLDVLALGMNAPAPLSGQGLGVSATAQLSALDLATNAAAQLTVPPLSMSVMAPLSVHAPAMNALAQHRGQRLDMNDRALPTVQALVMSVIALPSDQALSMNGLALLRAQALSMIAVATLTVQALGTNATAPPTGRVPGM
+>NM_001009931|103979_2_1166_1827
+MVLAQVTPLARDNMDLRQGRHQALANMAPAHVSLPAMVSMSLPPVTLQAAANTALDLASLQATASVGLGQGSLPAPANMGLALVDLPAVAHMCLVQATLLALVTTSLAQSIPLVTLSMDLAQVTPPATDNTALGQDSHLGVNDKDLVQVHLPAMVSMGLAPVNLWDTADMGLDLASLLALAVADMSLVPGSLPAMAHMGMAQGGLQAVAHMSLAPVTLLA
+>DECOY_NM_001009931|103979_2_1166_1827
+ALLTVPALSMHAVAQLGGQAMGMHAMAPLSGPVLSMDAVALALLSALDLGMDATDWLNVPALGMSVMAPLHVQVLDKDNVGLHSDQGLATNDTAPPTVQALDMSLTVLPISQALSTTVLALLTAQVLCMHAVAPLDVLALGMNAPAPLSGQGLGVSATAQLSALDLATNAAAQLTVPPLSMSVMAPLSVHAPAMNALAQHRGQRLDMNDRALPTVQALVM
+>NM_001009931|103980_2_1205_1827
+MDLRQGRHQALANMAPAHVSLPAMVSMSLPPVTLQAAANTALDLASLQATASVGLGQGSLPAPANMGLALVDLPAVAHMCLVQATLLALVTTSLAQSIPLVTLSMDLAQVTPPATDNTALGQDSHLGVNDKDLVQVHLPAMVSMGLAPVNLWDTADMGLDLASLLALAVADMSLVPGSLPAMAHMGMAQGGLQAVAHMSLAPVTLLA
+>DECOY_NM_001009931|103980_2_1205_1827
+ALLTVPALSMHAVAQLGGQAMGMHAMAPLSGPVLSMDAVALALLSALDLGMDATDWLNVPALGMSVMAPLHVQVLDKDNVGLHSDQGLATNDTAPPTVQALDMSLTVLPISQALSTTVLALLTAQVLCMHAVAPLDVLALGMNAPAPLSGQGLGVSATAQLSALDLATNAAAQLTVPPLSMSVMAPLSVHAPAMNALAQHRGQRLDM
+>NM_001009931|103981_2_1244_1827
+MAPAHVSLPAMVSMSLPPVTLQAAANTALDLASLQATASVGLGQGSLPAPANMGLALVDLPAVAHMCLVQATLLALVTTSLAQSIPLVTLSMDLAQVTPPATDNTALGQDSHLGVNDKDLVQVHLPAMVSMGLAPVNLWDTADMGLDLASLLALAVADMSLVPGSLPAMAHMGMAQGGLQAVAHMSLAPVTLLA
+>DECOY_NM_001009931|103981_2_1244_1827
+ALLTVPALSMHAVAQLGGQAMGMHAMAPLSGPVLSMDAVALALLSALDLGMDATDWLNVPALGMSVMAPLHVQVLDKDNVGLHSDQGLATNDTAPPTVQALDMSLTVLPISQALSTTVLALLTAQVLCMHAVAPLDVLALGMNAPAPLSGQGLGVSATAQLSALDLATNAAAQLTVPPLSMSVMAPLSVHAPAM
+>NM_001009931|103982_2_1274_1827
+MVSMSLPPVTLQAAANTALDLASLQATASVGLGQGSLPAPANMGLALVDLPAVAHMCLVQATLLALVTTSLAQSIPLVTLSMDLAQVTPPATDNTALGQDSHLGVNDKDLVQVHLPAMVSMGLAPVNLWDTADMGLDLASLLALAVADMSLVPGSLPAMAHMGMAQGGLQAVAHMSLAPVTLLA
+>DECOY_NM_001009931|103982_2_1274_1827
+ALLTVPALSMHAVAQLGGQAMGMHAMAPLSGPVLSMDAVALALLSALDLGMDATDWLNVPALGMSVMAPLHVQVLDKDNVGLHSDQGLATNDTAPPTVQALDMSLTVLPISQALSTTVLALLTAQVLCMHAVAPLDVLALGMNAPAPLSGQGLGVSATAQLSALDLATNAAAQLTVPPLSMSVM
+>NM_001009931|103984_2_1400_1827
+MGLALVDLPAVAHMCLVQATLLALVTTSLAQSIPLVTLSMDLAQVTPPATDNTALGQDSHLGVNDKDLVQVHLPAMVSMGLAPVNLWDTADMGLDLASLLALAVADMSLVPGSLPAMAHMGMAQGGLQAVAHMSLAPVTLLA
+>DECOY_NM_001009931|103984_2_1400_1827
+ALLTVPALSMHAVAQLGGQAMGMHAMAPLSGPVLSMDAVALALLSALDLGMDATDWLNVPALGMSVMAPLHVQVLDKDNVGLHSDQGLATNDTAPPTVQALDMSLTVLPISQALSTTVLALLTAQVLCMHAVAPLDVLALGM
+>NM_001009931|103986_2_1517_1827
+MDLAQVTPPATDNTALGQDSHLGVNDKDLVQVHLPAMVSMGLAPVNLWDTADMGLDLASLLALAVADMSLVPGSLPAMAHMGMAQGGLQAVAHMSLAPVTLLA
+>DECOY_NM_001009931|103986_2_1517_1827
+ALLTVPALSMHAVAQLGGQAMGMHAMAPLSGPVLSMDAVALALLSALDLGMDATDWLNVPALGMSVMAPLHVQVLDKDNVGLHSDQGLATNDTAPPTVQALDM
+>NM_001009931|103987_2_1625_1827
+MVSMGLAPVNLWDTADMGLDLASLLALAVADMSLVPGSLPAMAHMGMAQGGLQAVAHMSLAPVTLLA
+>DECOY_NM_001009931|103987_2_1625_1827
+ALLTVPALSMHAVAQLGGQAMGMHAMAPLSGPVLSMDAVALALLSALDLGMDATDWLNVPALGMSVM
+>NM_001009931|103988_2_1634_1827
+MGLAPVNLWDTADMGLDLASLLALAVADMSLVPGSLPAMAHMGMAQGGLQAVAHMSLAPVTLLA
+>DECOY_NM_001009931|103988_2_1634_1827
+ALLTVPALSMHAVAQLGGQAMGMHAMAPLSGPVLSMDAVALALLSALDLGMDATDWLNVPALGM
+>NM_001009931|103989_2_1673_1827
+MGLDLASLLALAVADMSLVPGSLPAMAHMGMAQGGLQAVAHMSLAPVTLLA
+>DECOY_NM_001009931|103989_2_1673_1827
+ALLTVPALSMHAVAQLGGQAMGMHAMAPLSGPVLSMDAVALALLSALDLGM
+>NM_001009931|103991_2_1748_1827
+MAHMGMAQGGLQAVAHMSLAPVTLLA
+>DECOY_NM_001009931|103991_2_1748_1827
+ALLTVPALSMHAVAQLGGQAMGMHAM
+>NM_001009931|103993_2_1913_3225
+MVLHQDSHRAVANMELPQVSLPATVSMALAQVSLLAMANRALDLASLLVAADMGPILGTLPATANMGLAPVGLQAMAHMGLSQASLPALVTSLAQGSPLVTVSMDLAQVTPPATENTALGQDSHLGVNNTDLAQVCLPAMVSMGRAPINLRATADKGLDLATLLAVSDMGPVQGTPPATANTGLAQVVLPAVAIMSLAQARLLVLGNTSLAQDRAIVSMVLPQVTSLARDDMDLRQGSHQAPANMTLAQVNLPAMVSMSLPPITLRAAADMALDLASLQATASVGLGQGSLPAMADMGLAPVGLPAVADMGLAQASLLALVTSLAQGSPLVTLSMDLAQVTPPATNNTALGQDSHLGANNMDLAQVRLPAMVSMGLAPVSLWATANMGLDLASLLALAVADMGLVPGSLPAMAHIGLAQGGLQAVAHMSLAPVTLLA
+>DECOY_NM_001009931|103993_2_1913_3225
+ALLTVPALSMHAVAQLGGQALGIHAMAPLSGPVLGMDAVALALLSALDLGMNATAWLSVPALGMSVMAPLRVQALDMNNAGLHSDQGLATNNTAPPTVQALDMSLTVLPSGQALSTVLALLSAQALGMDAVAPLGVPALGMDAMAPLSGQGLGVSATAQLSALDLAMDAAARLTIPPLSMSVMAPLNVQALTMNAPAQHSGQRLDMDDRALSTVQPLVMSVIARDQALSTNGLVLLRAQALSMIAVAPLVVQALGTNATAPPTGQVPGMDSVALLTALDLGKDATARLNIPARGMSVMAPLCVQALDTNNVGLHSDQGLATNETAPPTVQALDMSVTVLPSGQALSTVLAPLSAQSLGMHAMAQLGVPALGMNATAPLTGLIPGMDAAVLLSALDLARNAMALLSVQALAMSVTAPLSVQPLEMNAVARHSDQHLVM
+>NM_001009931|103994_2_1952_3225
+MELPQVSLPATVSMALAQVSLLAMANRALDLASLLVAADMGPILGTLPATANMGLAPVGLQAMAHMGLSQASLPALVTSLAQGSPLVTVSMDLAQVTPPATENTALGQDSHLGVNNTDLAQVCLPAMVSMGRAPINLRATADKGLDLATLLAVSDMGPVQGTPPATANTGLAQVVLPAVAIMSLAQARLLVLGNTSLAQDRAIVSMVLPQVTSLARDDMDLRQGSHQAPANMTLAQVNLPAMVSMSLPPITLRAAADMALDLASLQATASVGLGQGSLPAMADMGLAPVGLPAVADMGLAQASLLALVTSLAQGSPLVTLSMDLAQVTPPATNNTALGQDSHLGANNMDLAQVRLPAMVSMGLAPVSLWATANMGLDLASLLALAVADMGLVPGSLPAMAHIGLAQGGLQAVAHMSLAPVTLLA
+>DECOY_NM_001009931|103994_2_1952_3225
+ALLTVPALSMHAVAQLGGQALGIHAMAPLSGPVLGMDAVALALLSALDLGMNATAWLSVPALGMSVMAPLRVQALDMNNAGLHSDQGLATNNTAPPTVQALDMSLTVLPSGQALSTVLALLSAQALGMDAVAPLGVPALGMDAMAPLSGQGLGVSATAQLSALDLAMDAAARLTIPPLSMSVMAPLNVQALTMNAPAQHSGQRLDMDDRALSTVQPLVMSVIARDQALSTNGLVLLRAQALSMIAVAPLVVQALGTNATAPPTGQVPGMDSVALLTALDLGKDATARLNIPARGMSVMAPLCVQALDTNNVGLHSDQGLATNETAPPTVQALDMSVTVLPSGQALSTVLAPLSAQSLGMHAMAQLGVPALGMNATAPLTGLIPGMDAAVLLSALDLARNAMALLSVQALAMSVTAPLSVQPLEM
+>NM_001009931|103995_2_1991_3225
+MALAQVSLLAMANRALDLASLLVAADMGPILGTLPATANMGLAPVGLQAMAHMGLSQASLPALVTSLAQGSPLVTVSMDLAQVTPPATENTALGQDSHLGVNNTDLAQVCLPAMVSMGRAPINLRATADKGLDLATLLAVSDMGPVQGTPPATANTGLAQVVLPAVAIMSLAQARLLVLGNTSLAQDRAIVSMVLPQVTSLARDDMDLRQGSHQAPANMTLAQVNLPAMVSMSLPPITLRAAADMALDLASLQATASVGLGQGSLPAMADMGLAPVGLPAVADMGLAQASLLALVTSLAQGSPLVTLSMDLAQVTPPATNNTALGQDSHLGANNMDLAQVRLPAMVSMGLAPVSLWATANMGLDLASLLALAVADMGLVPGSLPAMAHIGLAQGGLQAVAHMSLAPVTLLA
+>DECOY_NM_001009931|103995_2_1991_3225
+ALLTVPALSMHAVAQLGGQALGIHAMAPLSGPVLGMDAVALALLSALDLGMNATAWLSVPALGMSVMAPLRVQALDMNNAGLHSDQGLATNNTAPPTVQALDMSLTVLPSGQALSTVLALLSAQALGMDAVAPLGVPALGMDAMAPLSGQGLGVSATAQLSALDLAMDAAARLTIPPLSMSVMAPLNVQALTMNAPAQHSGQRLDMDDRALSTVQPLVMSVIARDQALSTNGLVLLRAQALSMIAVAPLVVQALGTNATAPPTGQVPGMDSVALLTALDLGKDATARLNIPARGMSVMAPLCVQALDTNNVGLHSDQGLATNETAPPTVQALDMSVTVLPSGQALSTVLAPLSAQSLGMHAMAQLGVPALGMNATAPLTGLIPGMDAAVLLSALDLARNAMALLSVQALAM
+>NM_001009931|103996_2_2021_3225
+MANRALDLASLLVAADMGPILGTLPATANMGLAPVGLQAMAHMGLSQASLPALVTSLAQGSPLVTVSMDLAQVTPPATENTALGQDSHLGVNNTDLAQVCLPAMVSMGRAPINLRATADKGLDLATLLAVSDMGPVQGTPPATANTGLAQVVLPAVAIMSLAQARLLVLGNTSLAQDRAIVSMVLPQVTSLARDDMDLRQGSHQAPANMTLAQVNLPAMVSMSLPPITLRAAADMALDLASLQATASVGLGQGSLPAMADMGLAPVGLPAVADMGLAQASLLALVTSLAQGSPLVTLSMDLAQVTPPATNNTALGQDSHLGANNMDLAQVRLPAMVSMGLAPVSLWATANMGLDLASLLALAVADMGLVPGSLPAMAHIGLAQGGLQAVAHMSLAPVTLLA
+>DECOY_NM_001009931|103996_2_2021_3225
+ALLTVPALSMHAVAQLGGQALGIHAMAPLSGPVLGMDAVALALLSALDLGMNATAWLSVPALGMSVMAPLRVQALDMNNAGLHSDQGLATNNTAPPTVQALDMSLTVLPSGQALSTVLALLSAQALGMDAVAPLGVPALGMDAMAPLSGQGLGVSATAQLSALDLAMDAAARLTIPPLSMSVMAPLNVQALTMNAPAQHSGQRLDMDDRALSTVQPLVMSVIARDQALSTNGLVLLRAQALSMIAVAPLVVQALGTNATAPPTGQVPGMDSVALLTALDLGKDATARLNIPARGMSVMAPLCVQALDTNNVGLHSDQGLATNETAPPTVQALDMSVTVLPSGQALSTVLAPLSAQSLGMHAMAQLGVPALGMNATAPLTGLIPGMDAAVLLSALDLARNAM
+>NM_001009931|103997_2_2069_3225
+MGPILGTLPATANMGLAPVGLQAMAHMGLSQASLPALVTSLAQGSPLVTVSMDLAQVTPPATENTALGQDSHLGVNNTDLAQVCLPAMVSMGRAPINLRATADKGLDLATLLAVSDMGPVQGTPPATANTGLAQVVLPAVAIMSLAQARLLVLGNTSLAQDRAIVSMVLPQVTSLARDDMDLRQGSHQAPANMTLAQVNLPAMVSMSLPPITLRAAADMALDLASLQATASVGLGQGSLPAMADMGLAPVGLPAVADMGLAQASLLALVTSLAQGSPLVTLSMDLAQVTPPATNNTALGQDSHLGANNMDLAQVRLPAMVSMGLAPVSLWATANMGLDLASLLALAVADMGLVPGSLPAMAHIGLAQGGLQAVAHMSLAPVTLLA
+>DECOY_NM_001009931|103997_2_2069_3225
+ALLTVPALSMHAVAQLGGQALGIHAMAPLSGPVLGMDAVALALLSALDLGMNATAWLSVPALGMSVMAPLRVQALDMNNAGLHSDQGLATNNTAPPTVQALDMSLTVLPSGQALSTVLALLSAQALGMDAVAPLGVPALGMDAMAPLSGQGLGVSATAQLSALDLAMDAAARLTIPPLSMSVMAPLNVQALTMNAPAQHSGQRLDMDDRALSTVQPLVMSVIARDQALSTNGLVLLRAQALSMIAVAPLVVQALGTNATAPPTGQVPGMDSVALLTALDLGKDATARLNIPARGMSVMAPLCVQALDTNNVGLHSDQGLATNETAPPTVQALDMSVTVLPSGQALSTVLAPLSAQSLGMHAMAQLGVPALGMNATAPLTGLIPGM
+>NM_001009931|103998_2_2108_3225
+MGLAPVGLQAMAHMGLSQASLPALVTSLAQGSPLVTVSMDLAQVTPPATENTALGQDSHLGVNNTDLAQVCLPAMVSMGRAPINLRATADKGLDLATLLAVSDMGPVQGTPPATANTGLAQVVLPAVAIMSLAQARLLVLGNTSLAQDRAIVSMVLPQVTSLARDDMDLRQGSHQAPANMTLAQVNLPAMVSMSLPPITLRAAADMALDLASLQATASVGLGQGSLPAMADMGLAPVGLPAVADMGLAQASLLALVTSLAQGSPLVTLSMDLAQVTPPATNNTALGQDSHLGANNMDLAQVRLPAMVSMGLAPVSLWATANMGLDLASLLALAVADMGLVPGSLPAMAHIGLAQGGLQAVAHMSLAPVTLLA
+>DECOY_NM_001009931|103998_2_2108_3225
+ALLTVPALSMHAVAQLGGQALGIHAMAPLSGPVLGMDAVALALLSALDLGMNATAWLSVPALGMSVMAPLRVQALDMNNAGLHSDQGLATNNTAPPTVQALDMSLTVLPSGQALSTVLALLSAQALGMDAVAPLGVPALGMDAMAPLSGQGLGVSATAQLSALDLAMDAAARLTIPPLSMSVMAPLNVQALTMNAPAQHSGQRLDMDDRALSTVQPLVMSVIARDQALSTNGLVLLRAQALSMIAVAPLVVQALGTNATAPPTGQVPGMDSVALLTALDLGKDATARLNIPARGMSVMAPLCVQALDTNNVGLHSDQGLATNETAPPTVQALDMSVTVLPSGQALSTVLAPLSAQSLGMHAMAQLGVPALGM
+>NM_001009931|103999_2_2138_3225
+MAHMGLSQASLPALVTSLAQGSPLVTVSMDLAQVTPPATENTALGQDSHLGVNNTDLAQVCLPAMVSMGRAPINLRATADKGLDLATLLAVSDMGPVQGTPPATANTGLAQVVLPAVAIMSLAQARLLVLGNTSLAQDRAIVSMVLPQVTSLARDDMDLRQGSHQAPANMTLAQVNLPAMVSMSLPPITLRAAADMALDLASLQATASVGLGQGSLPAMADMGLAPVGLPAVADMGLAQASLLALVTSLAQGSPLVTLSMDLAQVTPPATNNTALGQDSHLGANNMDLAQVRLPAMVSMGLAPVSLWATANMGLDLASLLALAVADMGLVPGSLPAMAHIGLAQGGLQAVAHMSLAPVTLLA
+>DECOY_NM_001009931|103999_2_2138_3225
+ALLTVPALSMHAVAQLGGQALGIHAMAPLSGPVLGMDAVALALLSALDLGMNATAWLSVPALGMSVMAPLRVQALDMNNAGLHSDQGLATNNTAPPTVQALDMSLTVLPSGQALSTVLALLSAQALGMDAVAPLGVPALGMDAMAPLSGQGLGVSATAQLSALDLAMDAAARLTIPPLSMSVMAPLNVQALTMNAPAQHSGQRLDMDDRALSTVQPLVMSVIARDQALSTNGLVLLRAQALSMIAVAPLVVQALGTNATAPPTGQVPGMDSVALLTALDLGKDATARLNIPARGMSVMAPLCVQALDTNNVGLHSDQGLATNETAPPTVQALDMSVTVLPSGQALSTVLAPLSAQSLGMHAM
+>NM_001009931|104001_2_2222_3225
+MDLAQVTPPATENTALGQDSHLGVNNTDLAQVCLPAMVSMGRAPINLRATADKGLDLATLLAVSDMGPVQGTPPATANTGLAQVVLPAVAIMSLAQARLLVLGNTSLAQDRAIVSMVLPQVTSLARDDMDLRQGSHQAPANMTLAQVNLPAMVSMSLPPITLRAAADMALDLASLQATASVGLGQGSLPAMADMGLAPVGLPAVADMGLAQASLLALVTSLAQGSPLVTLSMDLAQVTPPATNNTALGQDSHLGANNMDLAQVRLPAMVSMGLAPVSLWATANMGLDLASLLALAVADMGLVPGSLPAMAHIGLAQGGLQAVAHMSLAPVTLLA
+>DECOY_NM_001009931|104001_2_2222_3225
+ALLTVPALSMHAVAQLGGQALGIHAMAPLSGPVLGMDAVALALLSALDLGMNATAWLSVPALGMSVMAPLRVQALDMNNAGLHSDQGLATNNTAPPTVQALDMSLTVLPSGQALSTVLALLSAQALGMDAVAPLGVPALGMDAMAPLSGQGLGVSATAQLSALDLAMDAAARLTIPPLSMSVMAPLNVQALTMNAPAQHSGQRLDMDDRALSTVQPLVMSVIARDQALSTNGLVLLRAQALSMIAVAPLVVQALGTNATAPPTGQVPGMDSVALLTALDLGKDATARLNIPARGMSVMAPLCVQALDTNNVGLHSDQGLATNETAPPTVQALDM
+>NM_001009931|104002_2_2330_3225
+MVSMGRAPINLRATADKGLDLATLLAVSDMGPVQGTPPATANTGLAQVVLPAVAIMSLAQARLLVLGNTSLAQDRAIVSMVLPQVTSLARDDMDLRQGSHQAPANMTLAQVNLPAMVSMSLPPITLRAAADMALDLASLQATASVGLGQGSLPAMADMGLAPVGLPAVADMGLAQASLLALVTSLAQGSPLVTLSMDLAQVTPPATNNTALGQDSHLGANNMDLAQVRLPAMVSMGLAPVSLWATANMGLDLASLLALAVADMGLVPGSLPAMAHIGLAQGGLQAVAHMSLAPVTLLA
+>DECOY_NM_001009931|104002_2_2330_3225
+ALLTVPALSMHAVAQLGGQALGIHAMAPLSGPVLGMDAVALALLSALDLGMNATAWLSVPALGMSVMAPLRVQALDMNNAGLHSDQGLATNNTAPPTVQALDMSLTVLPSGQALSTVLALLSAQALGMDAVAPLGVPALGMDAMAPLSGQGLGVSATAQLSALDLAMDAAARLTIPPLSMSVMAPLNVQALTMNAPAQHSGQRLDMDDRALSTVQPLVMSVIARDQALSTNGLVLLRAQALSMIAVAPLVVQALGTNATAPPTGQVPGMDSVALLTALDLGKDATARLNIPARGMSVM
+>NM_001009931|104003_2_2339_3225
+MGRAPINLRATADKGLDLATLLAVSDMGPVQGTPPATANTGLAQVVLPAVAIMSLAQARLLVLGNTSLAQDRAIVSMVLPQVTSLARDDMDLRQGSHQAPANMTLAQVNLPAMVSMSLPPITLRAAADMALDLASLQATASVGLGQGSLPAMADMGLAPVGLPAVADMGLAQASLLALVTSLAQGSPLVTLSMDLAQVTPPATNNTALGQDSHLGANNMDLAQVRLPAMVSMGLAPVSLWATANMGLDLASLLALAVADMGLVPGSLPAMAHIGLAQGGLQAVAHMSLAPVTLLA
+>DECOY_NM_001009931|104003_2_2339_3225
+ALLTVPALSMHAVAQLGGQALGIHAMAPLSGPVLGMDAVALALLSALDLGMNATAWLSVPALGMSVMAPLRVQALDMNNAGLHSDQGLATNNTAPPTVQALDMSLTVLPSGQALSTVLALLSAQALGMDAVAPLGVPALGMDAMAPLSGQGLGVSATAQLSALDLAMDAAARLTIPPLSMSVMAPLNVQALTMNAPAQHSGQRLDMDDRALSTVQPLVMSVIARDQALSTNGLVLLRAQALSMIAVAPLVVQALGTNATAPPTGQVPGMDSVALLTALDLGKDATARLNIPARGM
+>NM_001009931|104004_2_2417_3225
+MGPVQGTPPATANTGLAQVVLPAVAIMSLAQARLLVLGNTSLAQDRAIVSMVLPQVTSLARDDMDLRQGSHQAPANMTLAQVNLPAMVSMSLPPITLRAAADMALDLASLQATASVGLGQGSLPAMADMGLAPVGLPAVADMGLAQASLLALVTSLAQGSPLVTLSMDLAQVTPPATNNTALGQDSHLGANNMDLAQVRLPAMVSMGLAPVSLWATANMGLDLASLLALAVADMGLVPGSLPAMAHIGLAQGGLQAVAHMSLAPVTLLA
+>DECOY_NM_001009931|104004_2_2417_3225
+ALLTVPALSMHAVAQLGGQALGIHAMAPLSGPVLGMDAVALALLSALDLGMNATAWLSVPALGMSVMAPLRVQALDMNNAGLHSDQGLATNNTAPPTVQALDMSLTVLPSGQALSTVLALLSAQALGMDAVAPLGVPALGMDAMAPLSGQGLGVSATAQLSALDLAMDAAARLTIPPLSMSVMAPLNVQALTMNAPAQHSGQRLDMDDRALSTVQPLVMSVIARDQALSTNGLVLLRAQALSMIAVAPLVVQALGTNATAPPTGQVPGM
+>NM_001009931|104006_2_2567_3225
+MVLPQVTSLARDDMDLRQGSHQAPANMTLAQVNLPAMVSMSLPPITLRAAADMALDLASLQATASVGLGQGSLPAMADMGLAPVGLPAVADMGLAQASLLALVTSLAQGSPLVTLSMDLAQVTPPATNNTALGQDSHLGANNMDLAQVRLPAMVSMGLAPVSLWATANMGLDLASLLALAVADMGLVPGSLPAMAHIGLAQGGLQAVAHMSLAPVTLLA
+>DECOY_NM_001009931|104006_2_2567_3225
+ALLTVPALSMHAVAQLGGQALGIHAMAPLSGPVLGMDAVALALLSALDLGMNATAWLSVPALGMSVMAPLRVQALDMNNAGLHSDQGLATNNTAPPTVQALDMSLTVLPSGQALSTVLALLSAQALGMDAVAPLGVPALGMDAMAPLSGQGLGVSATAQLSALDLAMDAAARLTIPPLSMSVMAPLNVQALTMNAPAQHSGQRLDMDDRALSTVQPLVM
+>NM_001009931|104007_2_2606_3225
+MDLRQGSHQAPANMTLAQVNLPAMVSMSLPPITLRAAADMALDLASLQATASVGLGQGSLPAMADMGLAPVGLPAVADMGLAQASLLALVTSLAQGSPLVTLSMDLAQVTPPATNNTALGQDSHLGANNMDLAQVRLPAMVSMGLAPVSLWATANMGLDLASLLALAVADMGLVPGSLPAMAHIGLAQGGLQAVAHMSLAPVTLLA
+>DECOY_NM_001009931|104007_2_2606_3225
+ALLTVPALSMHAVAQLGGQALGIHAMAPLSGPVLGMDAVALALLSALDLGMNATAWLSVPALGMSVMAPLRVQALDMNNAGLHSDQGLATNNTAPPTVQALDMSLTVLPSGQALSTVLALLSAQALGMDAVAPLGVPALGMDAMAPLSGQGLGVSATAQLSALDLAMDAAARLTIPPLSMSVMAPLNVQALTMNAPAQHSGQRLDM
+>NM_001009931|104009_2_2675_3225
+MVSMSLPPITLRAAADMALDLASLQATASVGLGQGSLPAMADMGLAPVGLPAVADMGLAQASLLALVTSLAQGSPLVTLSMDLAQVTPPATNNTALGQDSHLGANNMDLAQVRLPAMVSMGLAPVSLWATANMGLDLASLLALAVADMGLVPGSLPAMAHIGLAQGGLQAVAHMSLAPVTLLA
+>DECOY_NM_001009931|104009_2_2675_3225
+ALLTVPALSMHAVAQLGGQALGIHAMAPLSGPVLGMDAVALALLSALDLGMNATAWLSVPALGMSVMAPLRVQALDMNNAGLHSDQGLATNNTAPPTVQALDMSLTVLPSGQALSTVLALLSAQALGMDAVAPLGVPALGMDAMAPLSGQGLGVSATAQLSALDLAMDAAARLTIPPLSMSVM
+>NM_001009931|104011_2_2723_3225
+MALDLASLQATASVGLGQGSLPAMADMGLAPVGLPAVADMGLAQASLLALVTSLAQGSPLVTLSMDLAQVTPPATNNTALGQDSHLGANNMDLAQVRLPAMVSMGLAPVSLWATANMGLDLASLLALAVADMGLVPGSLPAMAHIGLAQGGLQAVAHMSLAPVTLLA
+>DECOY_NM_001009931|104011_2_2723_3225
+ALLTVPALSMHAVAQLGGQALGIHAMAPLSGPVLGMDAVALALLSALDLGMNATAWLSVPALGMSVMAPLRVQALDMNNAGLHSDQGLATNNTAPPTVQALDMSLTVLPSGQALSTVLALLSAQALGMDAVAPLGVPALGMDAMAPLSGQGLGVSATAQLSALDLAM
+>NM_001009931|104012_2_2792_3225
+MADMGLAPVGLPAVADMGLAQASLLALVTSLAQGSPLVTLSMDLAQVTPPATNNTALGQDSHLGANNMDLAQVRLPAMVSMGLAPVSLWATANMGLDLASLLALAVADMGLVPGSLPAMAHIGLAQGGLQAVAHMSLAPVTLLA
+>DECOY_NM_001009931|104012_2_2792_3225
+ALLTVPALSMHAVAQLGGQALGIHAMAPLSGPVLGMDAVALALLSALDLGMNATAWLSVPALGMSVMAPLRVQALDMNNAGLHSDQGLATNNTAPPTVQALDMSLTVLPSGQALSTVLALLSAQALGMDAVAPLGVPALGMDAM
+>NM_001009931|104013_2_2801_3225
+MGLAPVGLPAVADMGLAQASLLALVTSLAQGSPLVTLSMDLAQVTPPATNNTALGQDSHLGANNMDLAQVRLPAMVSMGLAPVSLWATANMGLDLASLLALAVADMGLVPGSLPAMAHIGLAQGGLQAVAHMSLAPVTLLA
+>DECOY_NM_001009931|104013_2_2801_3225
+ALLTVPALSMHAVAQLGGQALGIHAMAPLSGPVLGMDAVALALLSALDLGMNATAWLSVPALGMSVMAPLRVQALDMNNAGLHSDQGLATNNTAPPTVQALDMSLTVLPSGQALSTVLALLSAQALGMDAVAPLGVPALGM
+>NM_001009931|104014_2_2840_3225
+MGLAQASLLALVTSLAQGSPLVTLSMDLAQVTPPATNNTALGQDSHLGANNMDLAQVRLPAMVSMGLAPVSLWATANMGLDLASLLALAVADMGLVPGSLPAMAHIGLAQGGLQAVAHMSLAPVTLLA
+>DECOY_NM_001009931|104014_2_2840_3225
+ALLTVPALSMHAVAQLGGQALGIHAMAPLSGPVLGMDAVALALLSALDLGMNATAWLSVPALGMSVMAPLRVQALDMNNAGLHSDQGLATNNTAPPTVQALDMSLTVLPSGQALSTVLALLSAQALGM
+>NM_001009931|104015_2_2915_3225
+MDLAQVTPPATNNTALGQDSHLGANNMDLAQVRLPAMVSMGLAPVSLWATANMGLDLASLLALAVADMGLVPGSLPAMAHIGLAQGGLQAVAHMSLAPVTLLA
+>DECOY_NM_001009931|104015_2_2915_3225
+ALLTVPALSMHAVAQLGGQALGIHAMAPLSGPVLGMDAVALALLSALDLGMNATAWLSVPALGMSVMAPLRVQALDMNNAGLHSDQGLATNNTAPPTVQALDM
+>NM_001009931|104016_2_2993_3225
+MDLAQVRLPAMVSMGLAPVSLWATANMGLDLASLLALAVADMGLVPGSLPAMAHIGLAQGGLQAVAHMSLAPVTLLA
+>DECOY_NM_001009931|104016_2_2993_3225
+ALLTVPALSMHAVAQLGGQALGIHAMAPLSGPVLGMDAVALALLSALDLGMNATAWLSVPALGMSVMAPLRVQALDM
+>NM_001009931|104017_2_3023_3225
+MVSMGLAPVSLWATANMGLDLASLLALAVADMGLVPGSLPAMAHIGLAQGGLQAVAHMSLAPVTLLA
+>DECOY_NM_001009931|104017_2_3023_3225
+ALLTVPALSMHAVAQLGGQALGIHAMAPLSGPVLGMDAVALALLSALDLGMNATAWLSVPALGMSVM
+>NM_001009931|104018_2_3032_3225
+MGLAPVSLWATANMGLDLASLLALAVADMGLVPGSLPAMAHIGLAQGGLQAVAHMSLAPVTLLA
+>DECOY_NM_001009931|104018_2_3032_3225
+ALLTVPALSMHAVAQLGGQALGIHAMAPLSGPVLGMDAVALALLSALDLGMNATAWLSVPALGM
+>NM_001009931|104019_2_3071_3225
+MGLDLASLLALAVADMGLVPGSLPAMAHIGLAQGGLQAVAHMSLAPVTLLA
+>DECOY_NM_001009931|104019_2_3071_3225
+ALLTVPALSMHAVAQLGGQALGIHAMAPLSGPVLGMDAVALALLSALDLGM
+>NM_001009931|104020_2_3116_3225
+MGLVPGSLPAMAHIGLAQGGLQAVAHMSLAPVTLLA
+>DECOY_NM_001009931|104020_2_3116_3225
+ALLTVPALSMHAVAQLGGQALGIHAMAPLSGPVLGM
+>NM_001009931|104021_2_3146_3225
+MAHIGLAQGGLQAVAHMSLAPVTLLA
+>DECOY_NM_001009931|104021_2_3146_3225
+ALLTVPALSMHAVAQLGGQALGIHAM
+>NM_001009931|104022_2_3272_3558
+MDLAQVIPLPMGNTVLHQDSHRAVANMELAQVSLPATVSMALAQVSLLAMADRALDLASLQATASVGLGQGSLPATADMGLAPVGLPAVANMGLA
+>DECOY_NM_001009931|104022_2_3272_3558
+ALGMNAVAPLGVPALGMDATAPLSGQGLGVSATAQLSALDLARDAMALLSVQALAMSVTAPLSVQALEMNAVARHSDQHLVTNGMPLPIVQALDM
+>NM_001009931|104024_2_3350_3558
+MELAQVSLPATVSMALAQVSLLAMADRALDLASLQATASVGLGQGSLPATADMGLAPVGLPAVANMGLA
+>DECOY_NM_001009931|104024_2_3350_3558
+ALGMNAVAPLGVPALGMDATAPLSGQGLGVSATAQLSALDLARDAMALLSVQALAMSVTAPLSVQALEM
+>NM_001009931|104025_2_3389_3558
+MALAQVSLLAMADRALDLASLQATASVGLGQGSLPATADMGLAPVGLPAVANMGLA
+>DECOY_NM_001009931|104025_2_3389_3558
+ALGMNAVAPLGVPALGMDATAPLSGQGLGVSATAQLSALDLARDAMALLSVQALAM
+>NM_001009931|104026_2_3419_3558
+MADRALDLASLQATASVGLGQGSLPATADMGLAPVGLPAVANMGLA
+>DECOY_NM_001009931|104026_2_3419_3558
+ALGMNAVAPLGVPALGMDATAPLSGQGLGVSATAQLSALDLARDAM
+>NM_001009931|104027_2_3623_4635
+MGLAQVTPLATDNTALDQDSHLGVNDTDLAQVRLPTMVSMGLAPVSLRATADKGLDLAIPLAAADMGPVWGTPPATANMGLAQVVLPAVAHMSLARVTLLSLVNMSLAQDIPLLTVSMVVAQGTSVAKDSMVLHQDSHQPLTRRDLAQASLPAMATVALAPVSLLAMADMGLDLASLLVAADMGPVLGTLPATANMGLAPVGLPAVADMGLAQVSLLDLVTTSLAHGSPLVALSMDLAQVTPPATNNTALGQDSHLGVNDTDLAQVHLPAMVSMGLAPVSLWATANMGLDLASLLALAVADMGLVLGSLPATAHMGLAQGGLPAVAHMSLAPVTLLA
+>DECOY_NM_001009931|104027_2_3623_4635
+ALLTVPALSMHAVAPLGGQALGMHATAPLSGLVLGMDAVALALLSALDLGMNATAWLSVPALGMSVMAPLHVQALDTDNVGLHSDQGLATNNTAPPTVQALDMSLAVLPSGHALSTTVLDLLSVQALGMDAVAPLGVPALGMNATAPLTGLVPGMDAAVLLSALDLGMDAMALLSVPALAVTAMAPLSAQALDRRTLPQHSDQHLVMSDKAVSTGQAVVMSVTLLPIDQALSMNVLSLLTVRALSMHAVAPLVVQALGMNATAPPTGWVPGMDAAALPIALDLGKDATARLSVPALGMSVMTPLRVQALDTDNVGLHSDQDLATNDTALPTVQALGM
+>NM_001009931|104028_2_3731_4635
+MVSMGLAPVSLRATADKGLDLAIPLAAADMGPVWGTPPATANMGLAQVVLPAVAHMSLARVTLLSLVNMSLAQDIPLLTVSMVVAQGTSVAKDSMVLHQDSHQPLTRRDLAQASLPAMATVALAPVSLLAMADMGLDLASLLVAADMGPVLGTLPATANMGLAPVGLPAVADMGLAQVSLLDLVTTSLAHGSPLVALSMDLAQVTPPATNNTALGQDSHLGVNDTDLAQVHLPAMVSMGLAPVSLWATANMGLDLASLLALAVADMGLVLGSLPATAHMGLAQGGLPAVAHMSLAPVTLLA
+>DECOY_NM_001009931|104028_2_3731_4635
+ALLTVPALSMHAVAPLGGQALGMHATAPLSGLVLGMDAVALALLSALDLGMNATAWLSVPALGMSVMAPLHVQALDTDNVGLHSDQGLATNNTAPPTVQALDMSLAVLPSGHALSTTVLDLLSVQALGMDAVAPLGVPALGMNATAPLTGLVPGMDAAVLLSALDLGMDAMALLSVPALAVTAMAPLSAQALDRRTLPQHSDQHLVMSDKAVSTGQAVVMSVTLLPIDQALSMNVLSLLTVRALSMHAVAPLVVQALGMNATAPPTGWVPGMDAAALPIALDLGKDATARLSVPALGMSVM
+>NM_001009931|104029_2_3740_4635
+MGLAPVSLRATADKGLDLAIPLAAADMGPVWGTPPATANMGLAQVVLPAVAHMSLARVTLLSLVNMSLAQDIPLLTVSMVVAQGTSVAKDSMVLHQDSHQPLTRRDLAQASLPAMATVALAPVSLLAMADMGLDLASLLVAADMGPVLGTLPATANMGLAPVGLPAVADMGLAQVSLLDLVTTSLAHGSPLVALSMDLAQVTPPATNNTALGQDSHLGVNDTDLAQVHLPAMVSMGLAPVSLWATANMGLDLASLLALAVADMGLVLGSLPATAHMGLAQGGLPAVAHMSLAPVTLLA
+>DECOY_NM_001009931|104029_2_3740_4635
+ALLTVPALSMHAVAPLGGQALGMHATAPLSGLVLGMDAVALALLSALDLGMNATAWLSVPALGMSVMAPLHVQALDTDNVGLHSDQGLATNNTAPPTVQALDMSLAVLPSGHALSTTVLDLLSVQALGMDAVAPLGVPALGMNATAPLTGLVPGMDAAVLLSALDLGMDAMALLSVPALAVTAMAPLSAQALDRRTLPQHSDQHLVMSDKAVSTGQAVVMSVTLLPIDQALSMNVLSLLTVRALSMHAVAPLVVQALGMNATAPPTGWVPGMDAAALPIALDLGKDATARLSVPALGM
+>NM_001009931|104030_2_3818_4635
+MGPVWGTPPATANMGLAQVVLPAVAHMSLARVTLLSLVNMSLAQDIPLLTVSMVVAQGTSVAKDSMVLHQDSHQPLTRRDLAQASLPAMATVALAPVSLLAMADMGLDLASLLVAADMGPVLGTLPATANMGLAPVGLPAVADMGLAQVSLLDLVTTSLAHGSPLVALSMDLAQVTPPATNNTALGQDSHLGVNDTDLAQVHLPAMVSMGLAPVSLWATANMGLDLASLLALAVADMGLVLGSLPATAHMGLAQGGLPAVAHMSLAPVTLLA
+>DECOY_NM_001009931|104030_2_3818_4635
+ALLTVPALSMHAVAPLGGQALGMHATAPLSGLVLGMDAVALALLSALDLGMNATAWLSVPALGMSVMAPLHVQALDTDNVGLHSDQGLATNNTAPPTVQALDMSLAVLPSGHALSTTVLDLLSVQALGMDAVAPLGVPALGMNATAPLTGLVPGMDAAVLLSALDLGMDAMALLSVPALAVTAMAPLSAQALDRRTLPQHSDQHLVMSDKAVSTGQAVVMSVTLLPIDQALSMNVLSLLTVRALSMHAVAPLVVQALGMNATAPPTGWVPGM
+>NM_001009931|104031_2_3857_4635
+MGLAQVVLPAVAHMSLARVTLLSLVNMSLAQDIPLLTVSMVVAQGTSVAKDSMVLHQDSHQPLTRRDLAQASLPAMATVALAPVSLLAMADMGLDLASLLVAADMGPVLGTLPATANMGLAPVGLPAVADMGLAQVSLLDLVTTSLAHGSPLVALSMDLAQVTPPATNNTALGQDSHLGVNDTDLAQVHLPAMVSMGLAPVSLWATANMGLDLASLLALAVADMGLVLGSLPATAHMGLAQGGLPAVAHMSLAPVTLLA
+>DECOY_NM_001009931|104031_2_3857_4635
+ALLTVPALSMHAVAPLGGQALGMHATAPLSGLVLGMDAVALALLSALDLGMNATAWLSVPALGMSVMAPLHVQALDTDNVGLHSDQGLATNNTAPPTVQALDMSLAVLPSGHALSTTVLDLLSVQALGMDAVAPLGVPALGMNATAPLTGLVPGMDAAVLLSALDLGMDAMALLSVPALAVTAMAPLSAQALDRRTLPQHSDQHLVMSDKAVSTGQAVVMSVTLLPIDQALSMNVLSLLTVRALSMHAVAPLVVQALGM
+>NM_001009931|104034_2_3974_4635
+MVVAQGTSVAKDSMVLHQDSHQPLTRRDLAQASLPAMATVALAPVSLLAMADMGLDLASLLVAADMGPVLGTLPATANMGLAPVGLPAVADMGLAQVSLLDLVTTSLAHGSPLVALSMDLAQVTPPATNNTALGQDSHLGVNDTDLAQVHLPAMVSMGLAPVSLWATANMGLDLASLLALAVADMGLVLGSLPATAHMGLAQGGLPAVAHMSLAPVTLLA
+>DECOY_NM_001009931|104034_2_3974_4635
+ALLTVPALSMHAVAPLGGQALGMHATAPLSGLVLGMDAVALALLSALDLGMNATAWLSVPALGMSVMAPLHVQALDTDNVGLHSDQGLATNNTAPPTVQALDMSLAVLPSGHALSTTVLDLLSVQALGMDAVAPLGVPALGMNATAPLTGLVPGMDAAVLLSALDLGMDAMALLSVPALAVTAMAPLSAQALDRRTLPQHSDQHLVMSDKAVSTGQAVVM
+>NM_001009931|104035_2_4013_4635
+MVLHQDSHQPLTRRDLAQASLPAMATVALAPVSLLAMADMGLDLASLLVAADMGPVLGTLPATANMGLAPVGLPAVADMGLAQVSLLDLVTTSLAHGSPLVALSMDLAQVTPPATNNTALGQDSHLGVNDTDLAQVHLPAMVSMGLAPVSLWATANMGLDLASLLALAVADMGLVLGSLPATAHMGLAQGGLPAVAHMSLAPVTLLA
+>DECOY_NM_001009931|104035_2_4013_4635
+ALLTVPALSMHAVAPLGGQALGMHATAPLSGLVLGMDAVALALLSALDLGMNATAWLSVPALGMSVMAPLHVQALDTDNVGLHSDQGLATNNTAPPTVQALDMSLAVLPSGHALSTTVLDLLSVQALGMDAVAPLGVPALGMNATAPLTGLVPGMDAAVLLSALDLGMDAMALLSVPALAVTAMAPLSAQALDRRTLPQHSDQHLVM
+>NM_001009931|104036_2_4082_4635
+MATVALAPVSLLAMADMGLDLASLLVAADMGPVLGTLPATANMGLAPVGLPAVADMGLAQVSLLDLVTTSLAHGSPLVALSMDLAQVTPPATNNTALGQDSHLGVNDTDLAQVHLPAMVSMGLAPVSLWATANMGLDLASLLALAVADMGLVLGSLPATAHMGLAQGGLPAVAHMSLAPVTLLA
+>DECOY_NM_001009931|104036_2_4082_4635
+ALLTVPALSMHAVAPLGGQALGMHATAPLSGLVLGMDAVALALLSALDLGMNATAWLSVPALGMSVMAPLHVQALDTDNVGLHSDQGLATNNTAPPTVQALDMSLAVLPSGHALSTTVLDLLSVQALGMDAVAPLGVPALGMNATAPLTGLVPGMDAAVLLSALDLGMDAMALLSVPALAVTAM
+>NM_001009931|104037_2_4121_4635
+MADMGLDLASLLVAADMGPVLGTLPATANMGLAPVGLPAVADMGLAQVSLLDLVTTSLAHGSPLVALSMDLAQVTPPATNNTALGQDSHLGVNDTDLAQVHLPAMVSMGLAPVSLWATANMGLDLASLLALAVADMGLVLGSLPATAHMGLAQGGLPAVAHMSLAPVTLLA
+>DECOY_NM_001009931|104037_2_4121_4635
+ALLTVPALSMHAVAPLGGQALGMHATAPLSGLVLGMDAVALALLSALDLGMNATAWLSVPALGMSVMAPLHVQALDTDNVGLHSDQGLATNNTAPPTVQALDMSLAVLPSGHALSTTVLDLLSVQALGMDAVAPLGVPALGMNATAPLTGLVPGMDAAVLLSALDLGMDAM
+>NM_001009931|104038_2_4130_4635
+MGLDLASLLVAADMGPVLGTLPATANMGLAPVGLPAVADMGLAQVSLLDLVTTSLAHGSPLVALSMDLAQVTPPATNNTALGQDSHLGVNDTDLAQVHLPAMVSMGLAPVSLWATANMGLDLASLLALAVADMGLVLGSLPATAHMGLAQGGLPAVAHMSLAPVTLLA
+>DECOY_NM_001009931|104038_2_4130_4635
+ALLTVPALSMHAVAPLGGQALGMHATAPLSGLVLGMDAVALALLSALDLGMNATAWLSVPALGMSVMAPLHVQALDTDNVGLHSDQGLATNNTAPPTVQALDMSLAVLPSGHALSTTVLDLLSVQALGMDAVAPLGVPALGMNATAPLTGLVPGMDAAVLLSALDLGM
+>NM_001009931|104039_2_4169_4635
+MGPVLGTLPATANMGLAPVGLPAVADMGLAQVSLLDLVTTSLAHGSPLVALSMDLAQVTPPATNNTALGQDSHLGVNDTDLAQVHLPAMVSMGLAPVSLWATANMGLDLASLLALAVADMGLVLGSLPATAHMGLAQGGLPAVAHMSLAPVTLLA
+>DECOY_NM_001009931|104039_2_4169_4635
+ALLTVPALSMHAVAPLGGQALGMHATAPLSGLVLGMDAVALALLSALDLGMNATAWLSVPALGMSVMAPLHVQALDTDNVGLHSDQGLATNNTAPPTVQALDMSLAVLPSGHALSTTVLDLLSVQALGMDAVAPLGVPALGMNATAPLTGLVPGM
+>NM_001009931|104040_2_4208_4635
+MGLAPVGLPAVADMGLAQVSLLDLVTTSLAHGSPLVALSMDLAQVTPPATNNTALGQDSHLGVNDTDLAQVHLPAMVSMGLAPVSLWATANMGLDLASLLALAVADMGLVLGSLPATAHMGLAQGGLPAVAHMSLAPVTLLA
+>DECOY_NM_001009931|104040_2_4208_4635
+ALLTVPALSMHAVAPLGGQALGMHATAPLSGLVLGMDAVALALLSALDLGMNATAWLSVPALGMSVMAPLHVQALDTDNVGLHSDQGLATNNTAPPTVQALDMSLAVLPSGHALSTTVLDLLSVQALGMDAVAPLGVPALGM
+>NM_001009931|104041_2_4247_4635
+MGLAQVSLLDLVTTSLAHGSPLVALSMDLAQVTPPATNNTALGQDSHLGVNDTDLAQVHLPAMVSMGLAPVSLWATANMGLDLASLLALAVADMGLVLGSLPATAHMGLAQGGLPAVAHMSLAPVTLLA
+>DECOY_NM_001009931|104041_2_4247_4635
+ALLTVPALSMHAVAPLGGQALGMHATAPLSGLVLGMDAVALALLSALDLGMNATAWLSVPALGMSVMAPLHVQALDTDNVGLHSDQGLATNNTAPPTVQALDMSLAVLPSGHALSTTVLDLLSVQALGM
+>NM_001009931|104042_2_4325_4635
+MDLAQVTPPATNNTALGQDSHLGVNDTDLAQVHLPAMVSMGLAPVSLWATANMGLDLASLLALAVADMGLVLGSLPATAHMGLAQGGLPAVAHMSLAPVTLLA
+>DECOY_NM_001009931|104042_2_4325_4635
+ALLTVPALSMHAVAPLGGQALGMHATAPLSGLVLGMDAVALALLSALDLGMNATAWLSVPALGMSVMAPLHVQALDTDNVGLHSDQGLATNNTAPPTVQALDM
+>NM_001009931|104043_2_4433_4635
+MVSMGLAPVSLWATANMGLDLASLLALAVADMGLVLGSLPATAHMGLAQGGLPAVAHMSLAPVTLLA
+>DECOY_NM_001009931|104043_2_4433_4635
+ALLTVPALSMHAVAPLGGQALGMHATAPLSGLVLGMDAVALALLSALDLGMNATAWLSVPALGMSVM
+>NM_001009931|104044_2_4442_4635
+MGLAPVSLWATANMGLDLASLLALAVADMGLVLGSLPATAHMGLAQGGLPAVAHMSLAPVTLLA
+>DECOY_NM_001009931|104044_2_4442_4635
+ALLTVPALSMHAVAPLGGQALGMHATAPLSGLVLGMDAVALALLSALDLGMNATAWLSVPALGM
+>NM_001009931|104045_2_4481_4635
+MGLDLASLLALAVADMGLVLGSLPATAHMGLAQGGLPAVAHMSLAPVTLLA
+>DECOY_NM_001009931|104045_2_4481_4635
+ALLTVPALSMHAVAPLGGQALGMHATAPLSGLVLGMDAVALALLSALDLGM
+>NM_001009931|104046_2_4526_4635
+MGLVLGSLPATAHMGLAQGGLPAVAHMSLAPVTLLA
+>DECOY_NM_001009931|104046_2_4526_4635
+ALLTVPALSMHAVAPLGGQALGMHATAPLSGLVLGM
+>NM_001009931|104047_2_4682_4968
+MDLAQVIPLPMGNMVLHQDSHRAVANMELAQVSLPATVSMALAQVSLLAMADRALDLASLQATASVGLGQGSLPATADMGLAPVGLPAVANMGLA
+>DECOY_NM_001009931|104047_2_4682_4968
+ALGMNAVAPLGVPALGMDATAPLSGQGLGVSATAQLSALDLARDAMALLSVQALAMSVTAPLSVQALEMNAVARHSDQHLVMNGMPLPIVQALDM
+>NM_001009931|104049_2_4721_4968
+MVLHQDSHRAVANMELAQVSLPATVSMALAQVSLLAMADRALDLASLQATASVGLGQGSLPATADMGLAPVGLPAVANMGLA
+>DECOY_NM_001009931|104049_2_4721_4968
+ALGMNAVAPLGVPALGMDATAPLSGQGLGVSATAQLSALDLARDAMALLSVQALAMSVTAPLSVQALEMNAVARHSDQHLVM
+>NM_001009931|104053_2_5033_6045
+MGLAQVTPLATDNTALDQDSHLGVNDTDLAHVRLPAMVSMGLAPVSLRATADKGLDLASPLAAADMGPVWGTPPATANMGLAQVVLPAVAHMSLARVTLLSLVNMSLAQDIPLLTVSMVVAQGTSVAKDSMVLHQDSHQPLTRRDLAQVSLPATVSMALAQVSLLAMANRALDLASLLVAADMGPVPGTLPATANMGLAPVGLPAVADMGLAQVSLLDLVTMSLAHGSPLVTLSMDLAQVTPPATNNTALGQDSHLGVNNTDLAQVHLPAMVSMGLAPVSLWATANMGLDLASLLALAVADMGLVLGSLPATAHMGLAQGGLPAVAHMSLAPVTLLA
+>DECOY_NM_001009931|104053_2_5033_6045
+ALLTVPALSMHAVAPLGGQALGMHATAPLSGLVLGMDAVALALLSALDLGMNATAWLSVPALGMSVMAPLHVQALDTNNVGLHSDQGLATNNTAPPTVQALDMSLTVLPSGHALSMTVLDLLSVQALGMDAVAPLGVPALGMNATAPLTGPVPGMDAAVLLSALDLARNAMALLSVQALAMSVTAPLSVQALDRRTLPQHSDQHLVMSDKAVSTGQAVVMSVTLLPIDQALSMNVLSLLTVRALSMHAVAPLVVQALGMNATAPPTGWVPGMDAAALPSALDLGKDATARLSVPALGMSVMAPLRVHALDTDNVGLHSDQDLATNDTALPTVQALGM
+>NM_001009931|104054_2_5141_6045
+MVSMGLAPVSLRATADKGLDLASPLAAADMGPVWGTPPATANMGLAQVVLPAVAHMSLARVTLLSLVNMSLAQDIPLLTVSMVVAQGTSVAKDSMVLHQDSHQPLTRRDLAQVSLPATVSMALAQVSLLAMANRALDLASLLVAADMGPVPGTLPATANMGLAPVGLPAVADMGLAQVSLLDLVTMSLAHGSPLVTLSMDLAQVTPPATNNTALGQDSHLGVNNTDLAQVHLPAMVSMGLAPVSLWATANMGLDLASLLALAVADMGLVLGSLPATAHMGLAQGGLPAVAHMSLAPVTLLA
+>DECOY_NM_001009931|104054_2_5141_6045
+ALLTVPALSMHAVAPLGGQALGMHATAPLSGLVLGMDAVALALLSALDLGMNATAWLSVPALGMSVMAPLHVQALDTNNVGLHSDQGLATNNTAPPTVQALDMSLTVLPSGHALSMTVLDLLSVQALGMDAVAPLGVPALGMNATAPLTGPVPGMDAAVLLSALDLARNAMALLSVQALAMSVTAPLSVQALDRRTLPQHSDQHLVMSDKAVSTGQAVVMSVTLLPIDQALSMNVLSLLTVRALSMHAVAPLVVQALGMNATAPPTGWVPGMDAAALPSALDLGKDATARLSVPALGMSVM
+>NM_001009931|104055_2_5150_6045
+MGLAPVSLRATADKGLDLASPLAAADMGPVWGTPPATANMGLAQVVLPAVAHMSLARVTLLSLVNMSLAQDIPLLTVSMVVAQGTSVAKDSMVLHQDSHQPLTRRDLAQVSLPATVSMALAQVSLLAMANRALDLASLLVAADMGPVPGTLPATANMGLAPVGLPAVADMGLAQVSLLDLVTMSLAHGSPLVTLSMDLAQVTPPATNNTALGQDSHLGVNNTDLAQVHLPAMVSMGLAPVSLWATANMGLDLASLLALAVADMGLVLGSLPATAHMGLAQGGLPAVAHMSLAPVTLLA
+>DECOY_NM_001009931|104055_2_5150_6045
+ALLTVPALSMHAVAPLGGQALGMHATAPLSGLVLGMDAVALALLSALDLGMNATAWLSVPALGMSVMAPLHVQALDTNNVGLHSDQGLATNNTAPPTVQALDMSLTVLPSGHALSMTVLDLLSVQALGMDAVAPLGVPALGMNATAPLTGPVPGMDAAVLLSALDLARNAMALLSVQALAMSVTAPLSVQALDRRTLPQHSDQHLVMSDKAVSTGQAVVMSVTLLPIDQALSMNVLSLLTVRALSMHAVAPLVVQALGMNATAPPTGWVPGMDAAALPSALDLGKDATARLSVPALGM
+>NM_001009931|104056_2_5228_6045
+MGPVWGTPPATANMGLAQVVLPAVAHMSLARVTLLSLVNMSLAQDIPLLTVSMVVAQGTSVAKDSMVLHQDSHQPLTRRDLAQVSLPATVSMALAQVSLLAMANRALDLASLLVAADMGPVPGTLPATANMGLAPVGLPAVADMGLAQVSLLDLVTMSLAHGSPLVTLSMDLAQVTPPATNNTALGQDSHLGVNNTDLAQVHLPAMVSMGLAPVSLWATANMGLDLASLLALAVADMGLVLGSLPATAHMGLAQGGLPAVAHMSLAPVTLLA
+>DECOY_NM_001009931|104056_2_5228_6045
+ALLTVPALSMHAVAPLGGQALGMHATAPLSGLVLGMDAVALALLSALDLGMNATAWLSVPALGMSVMAPLHVQALDTNNVGLHSDQGLATNNTAPPTVQALDMSLTVLPSGHALSMTVLDLLSVQALGMDAVAPLGVPALGMNATAPLTGPVPGMDAAVLLSALDLARNAMALLSVQALAMSVTAPLSVQALDRRTLPQHSDQHLVMSDKAVSTGQAVVMSVTLLPIDQALSMNVLSLLTVRALSMHAVAPLVVQALGMNATAPPTGWVPGM
+>NM_001009931|104057_2_5267_6045
+MGLAQVVLPAVAHMSLARVTLLSLVNMSLAQDIPLLTVSMVVAQGTSVAKDSMVLHQDSHQPLTRRDLAQVSLPATVSMALAQVSLLAMANRALDLASLLVAADMGPVPGTLPATANMGLAPVGLPAVADMGLAQVSLLDLVTMSLAHGSPLVTLSMDLAQVTPPATNNTALGQDSHLGVNNTDLAQVHLPAMVSMGLAPVSLWATANMGLDLASLLALAVADMGLVLGSLPATAHMGLAQGGLPAVAHMSLAPVTLLA
+>DECOY_NM_001009931|104057_2_5267_6045
+ALLTVPALSMHAVAPLGGQALGMHATAPLSGLVLGMDAVALALLSALDLGMNATAWLSVPALGMSVMAPLHVQALDTNNVGLHSDQGLATNNTAPPTVQALDMSLTVLPSGHALSMTVLDLLSVQALGMDAVAPLGVPALGMNATAPLTGPVPGMDAAVLLSALDLARNAMALLSVQALAMSVTAPLSVQALDRRTLPQHSDQHLVMSDKAVSTGQAVVMSVTLLPIDQALSMNVLSLLTVRALSMHAVAPLVVQALGM
+>NM_001009931|104060_2_5384_6045
+MVVAQGTSVAKDSMVLHQDSHQPLTRRDLAQVSLPATVSMALAQVSLLAMANRALDLASLLVAADMGPVPGTLPATANMGLAPVGLPAVADMGLAQVSLLDLVTMSLAHGSPLVTLSMDLAQVTPPATNNTALGQDSHLGVNNTDLAQVHLPAMVSMGLAPVSLWATANMGLDLASLLALAVADMGLVLGSLPATAHMGLAQGGLPAVAHMSLAPVTLLA
+>DECOY_NM_001009931|104060_2_5384_6045
+ALLTVPALSMHAVAPLGGQALGMHATAPLSGLVLGMDAVALALLSALDLGMNATAWLSVPALGMSVMAPLHVQALDTNNVGLHSDQGLATNNTAPPTVQALDMSLTVLPSGHALSMTVLDLLSVQALGMDAVAPLGVPALGMNATAPLTGPVPGMDAAVLLSALDLARNAMALLSVQALAMSVTAPLSVQALDRRTLPQHSDQHLVMSDKAVSTGQAVVM
+>NM_001009931|104061_2_5423_6045
+MVLHQDSHQPLTRRDLAQVSLPATVSMALAQVSLLAMANRALDLASLLVAADMGPVPGTLPATANMGLAPVGLPAVADMGLAQVSLLDLVTMSLAHGSPLVTLSMDLAQVTPPATNNTALGQDSHLGVNNTDLAQVHLPAMVSMGLAPVSLWATANMGLDLASLLALAVADMGLVLGSLPATAHMGLAQGGLPAVAHMSLAPVTLLA
+>DECOY_NM_001009931|104061_2_5423_6045
+ALLTVPALSMHAVAPLGGQALGMHATAPLSGLVLGMDAVALALLSALDLGMNATAWLSVPALGMSVMAPLHVQALDTNNVGLHSDQGLATNNTAPPTVQALDMSLTVLPSGHALSMTVLDLLSVQALGMDAVAPLGVPALGMNATAPLTGPVPGMDAAVLLSALDLARNAMALLSVQALAMSVTAPLSVQALDRRTLPQHSDQHLVM
+>NM_001009931|104062_2_5501_6045
+MALAQVSLLAMANRALDLASLLVAADMGPVPGTLPATANMGLAPVGLPAVADMGLAQVSLLDLVTMSLAHGSPLVTLSMDLAQVTPPATNNTALGQDSHLGVNNTDLAQVHLPAMVSMGLAPVSLWATANMGLDLASLLALAVADMGLVLGSLPATAHMGLAQGGLPAVAHMSLAPVTLLA
+>DECOY_NM_001009931|104062_2_5501_6045
+ALLTVPALSMHAVAPLGGQALGMHATAPLSGLVLGMDAVALALLSALDLGMNATAWLSVPALGMSVMAPLHVQALDTNNVGLHSDQGLATNNTAPPTVQALDMSLTVLPSGHALSMTVLDLLSVQALGMDAVAPLGVPALGMNATAPLTGPVPGMDAAVLLSALDLARNAMALLSVQALAM
+>NM_001009931|104063_2_5531_6045
+MANRALDLASLLVAADMGPVPGTLPATANMGLAPVGLPAVADMGLAQVSLLDLVTMSLAHGSPLVTLSMDLAQVTPPATNNTALGQDSHLGVNNTDLAQVHLPAMVSMGLAPVSLWATANMGLDLASLLALAVADMGLVLGSLPATAHMGLAQGGLPAVAHMSLAPVTLLA
+>DECOY_NM_001009931|104063_2_5531_6045
+ALLTVPALSMHAVAPLGGQALGMHATAPLSGLVLGMDAVALALLSALDLGMNATAWLSVPALGMSVMAPLHVQALDTNNVGLHSDQGLATNNTAPPTVQALDMSLTVLPSGHALSMTVLDLLSVQALGMDAVAPLGVPALGMNATAPLTGPVPGMDAAVLLSALDLARNAM
+>NM_001009931|104064_2_5579_6045
+MGPVPGTLPATANMGLAPVGLPAVADMGLAQVSLLDLVTMSLAHGSPLVTLSMDLAQVTPPATNNTALGQDSHLGVNNTDLAQVHLPAMVSMGLAPVSLWATANMGLDLASLLALAVADMGLVLGSLPATAHMGLAQGGLPAVAHMSLAPVTLLA
+>DECOY_NM_001009931|104064_2_5579_6045
+ALLTVPALSMHAVAPLGGQALGMHATAPLSGLVLGMDAVALALLSALDLGMNATAWLSVPALGMSVMAPLHVQALDTNNVGLHSDQGLATNNTAPPTVQALDMSLTVLPSGHALSMTVLDLLSVQALGMDAVAPLGVPALGMNATAPLTGPVPGM
+>NM_001009931|104065_2_5618_6045
+MGLAPVGLPAVADMGLAQVSLLDLVTMSLAHGSPLVTLSMDLAQVTPPATNNTALGQDSHLGVNNTDLAQVHLPAMVSMGLAPVSLWATANMGLDLASLLALAVADMGLVLGSLPATAHMGLAQGGLPAVAHMSLAPVTLLA
+>DECOY_NM_001009931|104065_2_5618_6045
+ALLTVPALSMHAVAPLGGQALGMHATAPLSGLVLGMDAVALALLSALDLGMNATAWLSVPALGMSVMAPLHVQALDTNNVGLHSDQGLATNNTAPPTVQALDMSLTVLPSGHALSMTVLDLLSVQALGMDAVAPLGVPALGM
+>NM_001009931|104066_2_5657_6045
+MGLAQVSLLDLVTMSLAHGSPLVTLSMDLAQVTPPATNNTALGQDSHLGVNNTDLAQVHLPAMVSMGLAPVSLWATANMGLDLASLLALAVADMGLVLGSLPATAHMGLAQGGLPAVAHMSLAPVTLLA
+>DECOY_NM_001009931|104066_2_5657_6045
+ALLTVPALSMHAVAPLGGQALGMHATAPLSGLVLGMDAVALALLSALDLGMNATAWLSVPALGMSVMAPLHVQALDTNNVGLHSDQGLATNNTAPPTVQALDMSLTVLPSGHALSMTVLDLLSVQALGM
+>NM_001009931|104068_2_5735_6045
+MDLAQVTPPATNNTALGQDSHLGVNNTDLAQVHLPAMVSMGLAPVSLWATANMGLDLASLLALAVADMGLVLGSLPATAHMGLAQGGLPAVAHMSLAPVTLLA
+>DECOY_NM_001009931|104068_2_5735_6045
+ALLTVPALSMHAVAPLGGQALGMHATAPLSGLVLGMDAVALALLSALDLGMNATAWLSVPALGMSVMAPLHVQALDTNNVGLHSDQGLATNNTAPPTVQALDM
+>NM_001009931|104073_2_6092_6378
+MDLAQVIPLPMGNMVLHQDSHRAVANMELAQVSLPATVSMALAQVSLLAMADRALDLASLQATASVGLGQGSLPAMADMGLAPVGLPAVANMGLA
+>DECOY_NM_001009931|104073_2_6092_6378
+ALGMNAVAPLGVPALGMDAMAPLSGQGLGVSATAQLSALDLARDAMALLSVQALAMSVTAPLSVQALEMNAVARHSDQHLVMNGMPLPIVQALDM
+>NM_001009931|104075_2_6131_6378
+MVLHQDSHRAVANMELAQVSLPATVSMALAQVSLLAMADRALDLASLQATASVGLGQGSLPAMADMGLAPVGLPAVANMGLA
+>DECOY_NM_001009931|104075_2_6131_6378
+ALGMNAVAPLGVPALGMDAMAPLSGQGLGVSATAQLSALDLARDAMALLSVQALAMSVTAPLSVQALEMNAVARHSDQHLVM
+>NM_001009931|104076_2_6170_6378
+MELAQVSLPATVSMALAQVSLLAMADRALDLASLQATASVGLGQGSLPAMADMGLAPVGLPAVANMGLA
+>DECOY_NM_001009931|104076_2_6170_6378
+ALGMNAVAPLGVPALGMDAMAPLSGQGLGVSATAQLSALDLARDAMALLSVQALAMSVTAPLSVQALEM
+>NM_001009931|104077_2_6209_6378
+MALAQVSLLAMADRALDLASLQATASVGLGQGSLPAMADMGLAPVGLPAVANMGLA
+>DECOY_NM_001009931|104077_2_6209_6378
+ALGMNAVAPLGVPALGMDAMAPLSGQGLGVSATAQLSALDLARDAMALLSVQALAM
+>NM_001009931|104078_2_6239_6378
+MADRALDLASLQATASVGLGQGSLPAMADMGLAPVGLPAVANMGLA
+>DECOY_NM_001009931|104078_2_6239_6378
+ALGMNAVAPLGVPALGMDAMAPLSGQGLGVSATAQLSALDLARDAM
+>NM_001009931|104079_2_6443_7455
+MGLAQVTPLATDNTALDQDSHLGVNDTDLAQVRLPAMVSMGLAPVSLRATADKGLDLAIPLAAADMGPVRGTPPATANMGLAQVVLPAVAHMSLARVTLLSLVNMSLAQDIPLLTVSMVVAQGTSVAKDSMVLHQDSHQPLTRRDLAQVSLPATVSMALAQVSLLAMANRALDLASLLVAADMGPVPGTLPATANMGLAPVGLPAVADMGLAQVSLLDLVTTSLAHGSPLVTLSMDLAQVTPPATNNTALGQDSHLGVNDTDLAQVHLPAMVSMGLAPVSLWATANMGLDLASLLALAVADMGLVLGSLPATAHMGLAQGGLPAVAHMSLAPVTLLA
+>DECOY_NM_001009931|104079_2_6443_7455
+ALLTVPALSMHAVAPLGGQALGMHATAPLSGLVLGMDAVALALLSALDLGMNATAWLSVPALGMSVMAPLHVQALDTDNVGLHSDQGLATNNTAPPTVQALDMSLTVLPSGHALSTTVLDLLSVQALGMDAVAPLGVPALGMNATAPLTGPVPGMDAAVLLSALDLARNAMALLSVQALAMSVTAPLSVQALDRRTLPQHSDQHLVMSDKAVSTGQAVVMSVTLLPIDQALSMNVLSLLTVRALSMHAVAPLVVQALGMNATAPPTGRVPGMDAAALPIALDLGKDATARLSVPALGMSVMAPLRVQALDTDNVGLHSDQDLATNDTALPTVQALGM
+>NM_001009931|104080_2_6551_7455
+MVSMGLAPVSLRATADKGLDLAIPLAAADMGPVRGTPPATANMGLAQVVLPAVAHMSLARVTLLSLVNMSLAQDIPLLTVSMVVAQGTSVAKDSMVLHQDSHQPLTRRDLAQVSLPATVSMALAQVSLLAMANRALDLASLLVAADMGPVPGTLPATANMGLAPVGLPAVADMGLAQVSLLDLVTTSLAHGSPLVTLSMDLAQVTPPATNNTALGQDSHLGVNDTDLAQVHLPAMVSMGLAPVSLWATANMGLDLASLLALAVADMGLVLGSLPATAHMGLAQGGLPAVAHMSLAPVTLLA
+>DECOY_NM_001009931|104080_2_6551_7455
+ALLTVPALSMHAVAPLGGQALGMHATAPLSGLVLGMDAVALALLSALDLGMNATAWLSVPALGMSVMAPLHVQALDTDNVGLHSDQGLATNNTAPPTVQALDMSLTVLPSGHALSTTVLDLLSVQALGMDAVAPLGVPALGMNATAPLTGPVPGMDAAVLLSALDLARNAMALLSVQALAMSVTAPLSVQALDRRTLPQHSDQHLVMSDKAVSTGQAVVMSVTLLPIDQALSMNVLSLLTVRALSMHAVAPLVVQALGMNATAPPTGRVPGMDAAALPIALDLGKDATARLSVPALGMSVM
+>NM_001009931|104081_2_6560_7455
+MGLAPVSLRATADKGLDLAIPLAAADMGPVRGTPPATANMGLAQVVLPAVAHMSLARVTLLSLVNMSLAQDIPLLTVSMVVAQGTSVAKDSMVLHQDSHQPLTRRDLAQVSLPATVSMALAQVSLLAMANRALDLASLLVAADMGPVPGTLPATANMGLAPVGLPAVADMGLAQVSLLDLVTTSLAHGSPLVTLSMDLAQVTPPATNNTALGQDSHLGVNDTDLAQVHLPAMVSMGLAPVSLWATANMGLDLASLLALAVADMGLVLGSLPATAHMGLAQGGLPAVAHMSLAPVTLLA
+>DECOY_NM_001009931|104081_2_6560_7455
+ALLTVPALSMHAVAPLGGQALGMHATAPLSGLVLGMDAVALALLSALDLGMNATAWLSVPALGMSVMAPLHVQALDTDNVGLHSDQGLATNNTAPPTVQALDMSLTVLPSGHALSTTVLDLLSVQALGMDAVAPLGVPALGMNATAPLTGPVPGMDAAVLLSALDLARNAMALLSVQALAMSVTAPLSVQALDRRTLPQHSDQHLVMSDKAVSTGQAVVMSVTLLPIDQALSMNVLSLLTVRALSMHAVAPLVVQALGMNATAPPTGRVPGMDAAALPIALDLGKDATARLSVPALGM
+>NM_001009931|104082_2_6638_7455
+MGPVRGTPPATANMGLAQVVLPAVAHMSLARVTLLSLVNMSLAQDIPLLTVSMVVAQGTSVAKDSMVLHQDSHQPLTRRDLAQVSLPATVSMALAQVSLLAMANRALDLASLLVAADMGPVPGTLPATANMGLAPVGLPAVADMGLAQVSLLDLVTTSLAHGSPLVTLSMDLAQVTPPATNNTALGQDSHLGVNDTDLAQVHLPAMVSMGLAPVSLWATANMGLDLASLLALAVADMGLVLGSLPATAHMGLAQGGLPAVAHMSLAPVTLLA
+>DECOY_NM_001009931|104082_2_6638_7455
+ALLTVPALSMHAVAPLGGQALGMHATAPLSGLVLGMDAVALALLSALDLGMNATAWLSVPALGMSVMAPLHVQALDTDNVGLHSDQGLATNNTAPPTVQALDMSLTVLPSGHALSTTVLDLLSVQALGMDAVAPLGVPALGMNATAPLTGPVPGMDAAVLLSALDLARNAMALLSVQALAMSVTAPLSVQALDRRTLPQHSDQHLVMSDKAVSTGQAVVMSVTLLPIDQALSMNVLSLLTVRALSMHAVAPLVVQALGMNATAPPTGRVPGM
+>NM_001009931|104083_2_6677_7455
+MGLAQVVLPAVAHMSLARVTLLSLVNMSLAQDIPLLTVSMVVAQGTSVAKDSMVLHQDSHQPLTRRDLAQVSLPATVSMALAQVSLLAMANRALDLASLLVAADMGPVPGTLPATANMGLAPVGLPAVADMGLAQVSLLDLVTTSLAHGSPLVTLSMDLAQVTPPATNNTALGQDSHLGVNDTDLAQVHLPAMVSMGLAPVSLWATANMGLDLASLLALAVADMGLVLGSLPATAHMGLAQGGLPAVAHMSLAPVTLLA
+>DECOY_NM_001009931|104083_2_6677_7455
+ALLTVPALSMHAVAPLGGQALGMHATAPLSGLVLGMDAVALALLSALDLGMNATAWLSVPALGMSVMAPLHVQALDTDNVGLHSDQGLATNNTAPPTVQALDMSLTVLPSGHALSTTVLDLLSVQALGMDAVAPLGVPALGMNATAPLTGPVPGMDAAVLLSALDLARNAMALLSVQALAMSVTAPLSVQALDRRTLPQHSDQHLVMSDKAVSTGQAVVMSVTLLPIDQALSMNVLSLLTVRALSMHAVAPLVVQALGM
+>NM_001009931|104086_2_6794_7455
+MVVAQGTSVAKDSMVLHQDSHQPLTRRDLAQVSLPATVSMALAQVSLLAMANRALDLASLLVAADMGPVPGTLPATANMGLAPVGLPAVADMGLAQVSLLDLVTTSLAHGSPLVTLSMDLAQVTPPATNNTALGQDSHLGVNDTDLAQVHLPAMVSMGLAPVSLWATANMGLDLASLLALAVADMGLVLGSLPATAHMGLAQGGLPAVAHMSLAPVTLLA
+>DECOY_NM_001009931|104086_2_6794_7455
+ALLTVPALSMHAVAPLGGQALGMHATAPLSGLVLGMDAVALALLSALDLGMNATAWLSVPALGMSVMAPLHVQALDTDNVGLHSDQGLATNNTAPPTVQALDMSLTVLPSGHALSTTVLDLLSVQALGMDAVAPLGVPALGMNATAPLTGPVPGMDAAVLLSALDLARNAMALLSVQALAMSVTAPLSVQALDRRTLPQHSDQHLVMSDKAVSTGQAVVM
+>NM_001009931|104087_2_6833_7455
+MVLHQDSHQPLTRRDLAQVSLPATVSMALAQVSLLAMANRALDLASLLVAADMGPVPGTLPATANMGLAPVGLPAVADMGLAQVSLLDLVTTSLAHGSPLVTLSMDLAQVTPPATNNTALGQDSHLGVNDTDLAQVHLPAMVSMGLAPVSLWATANMGLDLASLLALAVADMGLVLGSLPATAHMGLAQGGLPAVAHMSLAPVTLLA
+>DECOY_NM_001009931|104087_2_6833_7455
+ALLTVPALSMHAVAPLGGQALGMHATAPLSGLVLGMDAVALALLSALDLGMNATAWLSVPALGMSVMAPLHVQALDTDNVGLHSDQGLATNNTAPPTVQALDMSLTVLPSGHALSTTVLDLLSVQALGMDAVAPLGVPALGMNATAPLTGPVPGMDAAVLLSALDLARNAMALLSVQALAMSVTAPLSVQALDRRTLPQHSDQHLVM
+>NM_001009931|104088_2_6911_7455
+MALAQVSLLAMANRALDLASLLVAADMGPVPGTLPATANMGLAPVGLPAVADMGLAQVSLLDLVTTSLAHGSPLVTLSMDLAQVTPPATNNTALGQDSHLGVNDTDLAQVHLPAMVSMGLAPVSLWATANMGLDLASLLALAVADMGLVLGSLPATAHMGLAQGGLPAVAHMSLAPVTLLA
+>DECOY_NM_001009931|104088_2_6911_7455
+ALLTVPALSMHAVAPLGGQALGMHATAPLSGLVLGMDAVALALLSALDLGMNATAWLSVPALGMSVMAPLHVQALDTDNVGLHSDQGLATNNTAPPTVQALDMSLTVLPSGHALSTTVLDLLSVQALGMDAVAPLGVPALGMNATAPLTGPVPGMDAAVLLSALDLARNAMALLSVQALAM
+>NM_001009931|104089_2_6941_7455
+MANRALDLASLLVAADMGPVPGTLPATANMGLAPVGLPAVADMGLAQVSLLDLVTTSLAHGSPLVTLSMDLAQVTPPATNNTALGQDSHLGVNDTDLAQVHLPAMVSMGLAPVSLWATANMGLDLASLLALAVADMGLVLGSLPATAHMGLAQGGLPAVAHMSLAPVTLLA
+>DECOY_NM_001009931|104089_2_6941_7455
+ALLTVPALSMHAVAPLGGQALGMHATAPLSGLVLGMDAVALALLSALDLGMNATAWLSVPALGMSVMAPLHVQALDTDNVGLHSDQGLATNNTAPPTVQALDMSLTVLPSGHALSTTVLDLLSVQALGMDAVAPLGVPALGMNATAPLTGPVPGMDAAVLLSALDLARNAM
+>NM_001009931|104090_2_6989_7455
+MGPVPGTLPATANMGLAPVGLPAVADMGLAQVSLLDLVTTSLAHGSPLVTLSMDLAQVTPPATNNTALGQDSHLGVNDTDLAQVHLPAMVSMGLAPVSLWATANMGLDLASLLALAVADMGLVLGSLPATAHMGLAQGGLPAVAHMSLAPVTLLA
+>DECOY_NM_001009931|104090_2_6989_7455
+ALLTVPALSMHAVAPLGGQALGMHATAPLSGLVLGMDAVALALLSALDLGMNATAWLSVPALGMSVMAPLHVQALDTDNVGLHSDQGLATNNTAPPTVQALDMSLTVLPSGHALSTTVLDLLSVQALGMDAVAPLGVPALGMNATAPLTGPVPGM
+>NM_001009931|104091_2_7028_7455
+MGLAPVGLPAVADMGLAQVSLLDLVTTSLAHGSPLVTLSMDLAQVTPPATNNTALGQDSHLGVNDTDLAQVHLPAMVSMGLAPVSLWATANMGLDLASLLALAVADMGLVLGSLPATAHMGLAQGGLPAVAHMSLAPVTLLA
+>DECOY_NM_001009931|104091_2_7028_7455
+ALLTVPALSMHAVAPLGGQALGMHATAPLSGLVLGMDAVALALLSALDLGMNATAWLSVPALGMSVMAPLHVQALDTDNVGLHSDQGLATNNTAPPTVQALDMSLTVLPSGHALSTTVLDLLSVQALGMDAVAPLGVPALGM
+>NM_001009931|104092_2_7067_7455
+MGLAQVSLLDLVTTSLAHGSPLVTLSMDLAQVTPPATNNTALGQDSHLGVNDTDLAQVHLPAMVSMGLAPVSLWATANMGLDLASLLALAVADMGLVLGSLPATAHMGLAQGGLPAVAHMSLAPVTLLA
+>DECOY_NM_001009931|104092_2_7067_7455
+ALLTVPALSMHAVAPLGGQALGMHATAPLSGLVLGMDAVALALLSALDLGMNATAWLSVPALGMSVMAPLHVQALDTDNVGLHSDQGLATNNTAPPTVQALDMSLTVLPSGHALSTTVLDLLSVQALGM
+>NM_005967|104145_2_805_1181
+MGMAAQGKRQAVPAVLAPRAPLNLERSYHHCLGDLGQGTPGSGQAGALQSRTLGQEEKRRLARPPSPPLQGEESLRGLGLGGWQQVGLGVVQTDWSQRWYAWWWKVWRGSSGASQGGMLGRSHPC
+>DECOY_NM_005967|104145_2_805_1181
+CPHSRGLMGGQSAGSSGRWVKWWWAYWRQSWDTQVVGLGVQQWGGLGLGRLSEEGQLPPSPPRALRRKEEQGLTRSQLAGAQGSGPTGQGLDGLCHHYSRELNLPARPALVAPVAQRKGQAAMGM
+>NM_005967|104146_2_811_1181
+MAAQGKRQAVPAVLAPRAPLNLERSYHHCLGDLGQGTPGSGQAGALQSRTLGQEEKRRLARPPSPPLQGEESLRGLGLGGWQQVGLGVVQTDWSQRWYAWWWKVWRGSSGASQGGMLGRSHPC
+>DECOY_NM_005967|104146_2_811_1181
+CPHSRGLMGGQSAGSSGRWVKWWWAYWRQSWDTQVVGLGVQQWGGLGLGRLSEEGQLPPSPPRALRRKEEQGLTRSQLAGAQGSGPTGQGLDGLCHHYSRELNLPARPALVAPVAQRKGQAAM
+>NM_005967|104151_3_1724_1938
+MEPTHPAADTDGRGAAARPPRLPRPRGPPQPLCACEATSRRVRGRAAGQMSCPRTPSRAGGGSQEQRESGG
+>DECOY_NM_005967|104151_3_1724_1938
+GGSERQEQSGGGARSPTRPCSMQGAARGRVRRSTAECACLPQPPGRPRPLRPPRAAAGRGDTDAAPHTPEM
+>NM_022115|104206_2_1021_1130
+MGAKRSCSSGVKTAASTTTPNVPSWAQWSWSKTPLC
+>DECOY_NM_022115|104206_2_1021_1130
+CLPTKSWSWQAWSPVNPTTTSAATKVGSSCSRKAGM
+>NM_022115|104207_2_1381_1490
+MEPRGCSPSLSSSSGHSSVPLSPGGSPNGKRSLHFP
+>DECOY_NM_022115|104207_2_1381_1490
+PFHLSRKGNPSGGPSLPVSSHGSSSSLSPSCGRPEM
+>NM_004416|104242_2_1723_2138
+MAACSAPPARPSTGRRRVRSRLGRWSSTSSPTRCPASLIPRPSASSMTSPQASRALSTPTPGRSSPQEDSLATAIYPTTRKAGRCCGCSSRPGREDSSSLSARPTPRASRTPWCGTRSTTRPSLDPTSRATATRTLAT
+>DECOY_NM_004416|104242_2_1723_2138
+TALTRTATARSTPDLSPRTTSRTGCWPTRSARPTPRASLSSSDERGPRSSCGCCRGAKRTTPYIATALSDEQPSSRGPTPTSLARSAQPSTMSSASPRPILSAPCRTPSSTSSWRGLRSRVRRRGTSPRAPPASCAAM
+>NM_181534|104327_2_1213_1301
+MEPVSLGVTSLKIMDLEMWEVKSKTQPKP
+>DECOY_NM_181534|104327_2_1213_1301
+PKPQTKSKVEWMELDMIKLSTVGLSVPEM
+>NM_012168|104334_2_763_950
+MVGTAGGWRSCLETVGWSSPTMRASRSTSPPPLSGVAKHRSLTCRLRATGRSCWTRLSRPSW
+>DECOY_NM_012168|104334_2_763_950
+WSPRSLRTWCSRGTARLRCTLSRHKAVGSLPPPSTSRSARMTPSSWGVTELCSRWGGATGVM
+>NM_012168|104336_2_1120_1202
+MGRASASSASSTGGRTPSTGRAGSGPG
+>DECOY_NM_012168|104336_2_1120_1202
+GPGSGARGTSPTRGGTSSASSASARGM
+>NM_001080415|104494_3_2066_2145
+MGRLGNLSRTIFDQTTKYFLRTCKYY
+>DECOY_NM_001080415|104494_3_2066_2145
+YYKCTRLFYKTTQDFITRSLNGLRGM
+>NM_020536|104545_3_990_1090
+MAASRHVGNVQLVSGRKWTSRLFQVERRYLCFY
+>DECOY_NM_020536|104545_3_990_1090
+YFCLYRREVQFLRSTWKRGSVLQVNGVHRSAAM
+>NM_031882|104559_2_1605_1750
+MALSLMSCWMLSLKGHQPLACWQWNHPVGPSLPKLPLTLSSSGGFISK
+>DECOY_NM_031882|104559_2_1605_1750
+KSIFGGSSSLTLPLKPLSPGVPHNWQWCALPQHGKLSLMWCSMLSLAM
+>NM_004944|104623_2_855_934
+METQMCFPGSPLWSGSNLPTLLSKTS
+>DECOY_NM_004944|104623_2_855_934
+STKSLLTPLNSGSWLPSGPFCMQTEM
+>NM_021963|104634_2_486_643
+MGSAVKKLPLGLGKKGKTVKILLLGPGKMGKKVAILMRTQRQTVQKDLSVMF
+>DECOY_NM_021963|104634_2_486_643
+FMVSLDKQVTQRQTRMLIAVKKGMKGPGLLLIKVTKGKKGLGLPLKKVASGM
+>NM_021963|104635_2_570_643
+MGKKVAILMRTQRQTVQKDLSVMF
+>DECOY_NM_021963|104635_2_570_643
+FMVSLDKQVTQRQTRMLIAVKKGM
+>NM_021963|104647_2_957_1090
+MVMRTIIMIMLWKRRRRRRRRTTLRLLEKRIKKRRILREFLIFG
+>DECOY_NM_021963|104647_2_957_1090
+GFILFERLIRRKKIRKELLRLTTRRRRRRRRKWLMIMIITRMVM
+>NM_207411|104679_3_546_706
+MGRPLLPAALEDGHVGNPRAESGSVLQSLPLLGFCGCRCPLAGDDILACRPAE
+>DECOY_NM_207411|104679_3_546_706
+EAPRCALIDDGALPCRCGCFGLLPLSQLVSGSEARPNGVHGDELAAPLLPRGM
+>NM_014643|104808_2_2300_2433
+MVWSSLPVRERRARRVTLQKSCPICTTRNTLGEGSGRWPQTSCR
+>DECOY_NM_014643|104808_2_2300_2433
+RCSTQPWRGSGEGLTNRTTCIPCSKQLTVRRARRERVPLSSWVM
+>NM_014643|104816_3_3459_3607
+MGCQRPWVGAQRDTPDAGPARRVRLHRVRKELPPARPPQGPHAGTLSGV
+>DECOY_NM_014643|104816_3_3459_3607
+VGSLTGAHPGQPPRAPPLEKRVRHLRVRRAPGADPTDRQAGVWPRQCGM
+>NM_138363|104868_2_1292_1533
+MELRRHCLSTVMASWSMGQRSQGQDFPCVESHPTDPIRSLHLQLTNTNSSTWREKGSASQEKQMSANSKHRRLLKHLKGN
+>DECOY_NM_138363|104868_2_1292_1533
+NGKLHKLLRRHKSNASMQKEQSASGKERWTSSNTNTLQLHLSRIPDTPHSEVCPFDQGQSRQGMSWSAMVTSLCHRRLEM
+>NM_138363|104869_2_1325_1533
+MASWSMGQRSQGQDFPCVESHPTDPIRSLHLQLTNTNSSTWREKGSASQEKQMSANSKHRRLLKHLKGN
+>DECOY_NM_138363|104869_2_1325_1533
+NGKLHKLLRRHKSNASMQKEQSASGKERWTSSNTNTLQLHLSRIPDTPHSEVCPFDQGQSRQGMSWSAM
+>NM_138363|104870_2_1340_1533
+MGQRSQGQDFPCVESHPTDPIRSLHLQLTNTNSSTWREKGSASQEKQMSANSKHRRLLKHLKGN
+>DECOY_NM_138363|104870_2_1340_1533
+NGKLHKLLRRHKSNASMQKEQSASGKERWTSSNTNTLQLHLSRIPDTPHSEVCPFDQGQSRQGM
+>NM_030624|104907_2_1505_1617
+MDMRGQCSITNCLSPVESPHLPPPSKCACLTPAKKGP
+>DECOY_NM_030624|104907_2_1505_1617
+PGKKAPTLCACKSPPPLHPSEVPSLCNTISCQGRMDM
+>NM_020703|104960_2_1349_1449
+MAVFFSSRCRSRTVVCIPAMPWERLSMRHCLWN
+>DECOY_NM_020703|104960_2_1349_1449
+NWLCHRMSLREWPMAPICVVTRSRCRSSFFVAM
+>NM_054106|104978_3_68_243
+MAARPLLCCVFGGLSHHHGGQPWTDSSNLERPPSSYAHVLIPWWFSLFRCLYFNLYNP
+>DECOY_NM_054106|104978_3_68_243
+PNYLNFYLCRFLSFWWPILVHAYSSPPRELNSSDTWPQGGHHHSLGGFVCCLLPRAAM
+>NM_014632|105012_2_1369_1562
+MGSLMWPCLTLPACMPQRTRPWCGSGRRTSCSWPLWVTACLSHFGPWVQAVPVASWQPLTRHGW
+>DECOY_NM_014632|105012_2_1369_1562
+WGHRTLPQWSAVPVAQVWPGFHSLCATVWLPWSCSTRRGSGCWPRTRQPMCAPLTLCPWMLSGM
+>NM_014632|105016_2_2194_2312
+MEKMLTSAWPNHPFLITISTSHFQGRGLHGWMVKPERMT
+>DECOY_NM_014632|105016_2_2194_2312
+TMREPKVMWGHLGRGQFHSTSITILFPHNPWASTLMKEM
+>NM_014379|105182_2_1525_1655
+MGTLDQTPPQAKSWPSCVYYREFLSWPCLLLLLTIASLLATSP
+>DECOY_NM_014379|105182_2_1525_1655
+PSTALLSAITLLLLLCPWSLFERYYVCSPWSKAQPPTQDLTGM
+>NM_016261|105484_2_444_634
+MEFQLPGLFLLTWNPKLSIKCCQRLPSLANGNMVNMHASVKNKVLETTGHMVTLFMDPGMKNL
+>DECOY_NM_016261|105484_2_444_634
+LNKMGPDMFLTVMHGTTELVKNKVSAHMNVMNGNALSPLRQCCKISLKPNWTLLFLGPLQFEM
+>NM_016261|105485_2_540_634
+MVNMHASVKNKVLETTGHMVTLFMDPGMKNL
+>DECOY_NM_016261|105485_2_540_634
+LNKMGPDMFLTVMHGTTELVKNKVSAHMNVM
+>NM_016261|105494_3_1516_1631
+MEYVCFKSLHSSVHKIWNRRRGLFRQFHVIRAGCCQLL
+>DECOY_NM_016261|105494_3_1516_1631
+LLQCCGARIVHFQRFLGRRRNWIKHVSSHLSKFCVYEM
+>NM_004943|105603_2_1139_2019
+MATSPGSTLWPLTPTPQGQRRRRQQPVLMGSGAAKRRRRSPRLRAQARPGAPRSLHCPRLAPLLTALARRARTRSSACGTSLKTCSTRTPPWPAPAPSLAHLAPRHRPPAARGVASLAQAPCLARCPAPTVSRTQLAGARRAARVWRQSLAHHSALAASPRSHCRSGGTGGQRRSTSATTAWATSAGVAVAAVAVVGRSPAALFPAAAWTPPRCWALRCARASTRCPCWSPLCARRSPRSGSQSSCSWRTASSLPARRASSAPGPGRARRSQTRRPRPRQGKEVGPGHPASQW
+>DECOY_NM_004943|105603_2_1139_2019
+WQSAPHGPGVEKGQRPRPRRTQSRRARGPGPASSARRAPLSSATRWSCSSQSGSRPSRRACLPSWCPCRTSARACRLAWCRPPTWAAAPFLAAPSRGVVAVAAVAVGASTAWATTASTSRRQGGTGGSRCHSRPSAALASHHALSQRWVRAARRAGALQTRSVTPAPCRALCPAQALSAVGRAAPPRHRPALHALSPAPAPWPPTRTSCTKLSTGCASSRTRARRALATLLPALRPCHLSRPAGPRAQARLRPSRRRRKAAGSGMLVPQQRRRRQGQPTPTLPWLTSGPSTAM
+>NM_032630|105615_2_444_550
+MGRRVNDPLCSTRGLQPISMRFRISSWRCTGRSCS
+>DECOY_NM_032630|105615_2_444_550
+SCSRGTCRWSSIRFRMSIPQLGRTSCLPDNVRRGM
+>NM_001034172|105627_2_718_923
+MELESIIEAWCPFFSGMDSAMSCFSAFEVPLRSICLPQRLTVLIWSMILSVEVYWVPCWDSCVFQLML
+>DECOY_NM_001034172|105627_2_718_923
+LMLQFVCSDWCPVWYVEVSLIMSWILVTLRQPLCISRLPVEFASFCSMASDMGSFFPCWAEIISELEM
+>NM_001034172|105628_2_766_923
+MDSAMSCFSAFEVPLRSICLPQRLTVLIWSMILSVEVYWVPCWDSCVFQLML
+>DECOY_NM_001034172|105628_2_766_923
+LMLQFVCSDWCPVWYVEVSLIMSWILVTLRQPLCISRLPVEFASFCSMASDM
+>NM_022761|105687_2_446_543
+MVKCGQIGIICPSFSSMDGDAPLMRIPIQTVP
+>DECOY_NM_022761|105687_2_446_543
+PVTQIPIRMLPADGDMSSFSPCIIGIQGCKVM
+>NM_001004758|105699_2_148_254
+MAPSSGSLPCSPPCTAQCTSSSSCLVCLILDWSLP
+>DECOY_NM_001004758|105699_2_148_254
+PLSWDLILCVLCSSSSTCQATCPPSCPLSGSSPAM
+>NM_152393|105737_2_185_288
+MASSSTVWCGRASASSRAIAWCWPPAAPTSGRAF
+>DECOY_NM_152393|105737_2_185_288
+FARGSTPAAPPWCWAIARSSASARGCWVTSSSAM
+>NM_152393|105740_2_926_1065
+MEPGPRRLIRAQAKPKQRRMRRPNVSFLGSSMTPCASACSCRISSS
+>DECOY_NM_152393|105740_2_926_1065
+SSSIRCSCASACPTMSSGLFSVNPRRMRRQKPKAQARILRRPGPEM
+>NM_152393|105746_3_1875_1957
+MGGCPAGDRLCSRCHLPTSAAQCAVPD
+>DECOY_NM_152393|105746_3_1875_1957
+DPVACQAASTPLHCRSCLRDGAPCGGM
+>NM_181610|105883_2_67_188
+MAMAVDVAASADWVMAVALEATDMATAAHHAMEDMDSQFY
+>DECOY_NM_181610|105883_2_67_188
+YFQSDMDEMAHHAATAMDTAELAVAMVWDASAAVDVAMAM
+>NM_181610|105884_2_73_188
+MAVDVAASADWVMAVALEATDMATAAHHAMEDMDSQFY
+>DECOY_NM_181610|105884_2_73_188
+YFQSDMDEMAHHAATAMDTAELAVAMVWDASAAVDVAM
+>NM_181610|105885_2_109_188
+MAVALEATDMATAAHHAMEDMDSQFY
+>DECOY_NM_181610|105885_2_109_188
+YFQSDMDEMAHHAATAMDTAELAVAM
+>NM_152312|105906_2_1210_1481
+MGTCCGESSLCAPASPHLVLSSCSRPWHNWTRKTPALSSGSSSSLCTVCMSLSCPMNRHPPGLTMSPLWPSCPWTGCRCWKPCAGTGLAP
+>DECOY_NM_152312|105906_2_1210_1481
+PALGTGACPKWCRCGTWPCSPWLPSMTLGPPHRNMPCSLSMCVTCLSSSSGSSLAPTKRTWNHWPRSCSSLVLHPSAPACLSSEGCCTGM
+>NM_018124|105951_2_2229_2359
+MATSWCVLGMKQQILPCCGMLPVARCSRTYRPISLCWTSAHLR
+>DECOY_NM_018124|105951_2_2229_2359
+RLHASTWCLSIPRYTRSCRAVPLMGCCPLIQQKMGLVCWSTAM
+>NM_018124|105957_3_1354_1466
+MGPELLTLQPGPAQAQVPLPKDLHSISGRKLPDHGIL
+>DECOY_NM_018124|105957_3_1354_1466
+LIGHDPLKRGSISHLDKPLPVQAQAPGPQLTLLEPGM
+>NM_152527|106007_2_1111_1211
+MVGSGTRRPSATCKPRSAPIRPGTGRTCVPSGF
+>DECOY_NM_152527|106007_2_1111_1211
+FGSPVCTRGTGPRIPASRPKCTASPRRTGSGVM
+>NM_152527|106014_3_542_645
+MAGRIPPEPRPDRLGQLPQHGHHLDSGPFHRLVH
+>DECOY_NM_152527|106014_3_542_645
+HVLRHFPGSDLHHGHQPLQGLRDPRPEPPIRGAM
+>NM_018181|106206_2_4259_4383
+MGLGKITNRRTNPATRMNPLMAPCQTESAKCAQKLLKLKLP
+>DECOY_NM_018181|106206_2_4259_4383
+PLKLKLLKQACKASETQCPAMLPNMRTAPNTRRNTIKGLGM
+>NM_006071|106281_2_1675_1793
+MALRSENAKLIQLKELHLLLNLLSSVVILGISTSLLHIK
+>DECOY_NM_006071|106281_2_1675_1793
+KIHLLSTSIGLIVVSSLLNLLLHLEKLQILKANESRLAM
+>NM_006071|106296_3_5591_5676
+MAILFLWTTTHLWIWRICTLFFSRTAAV
+>DECOY_NM_006071|106296_3_5591_5676
+VAATRSFFLTCIRWIWLHTTTWLFLIAM
+>NM_017539|106523_3_3203_3348
+MGRKANSHTRQFGCLVEMPSHLAVPGTNLQFRGHHSPDARRGEEIWHC
+>DECOY_NM_017539|106523_3_3203_3348
+CHWIEEGRRADPSHHGRFQLNTGPVALHSPMEVLCGFQRTHSNAKRGM
+>NM_017539|106526_3_10256_10332
+MGRDCPCICLTQTAWPDGAFGTEPG
+>DECOY_NM_017539|106526_3_10256_10332
+GPETGFAGDPWATQTLCICPCDRGM
+>NM_033179|106574_2_118_212
+MALFLSSFGMITASMSPCTTSWLCWQTRTLG
+>DECOY_NM_033179|106574_2_118_212
+GLTRTQWCLWSTTCPSMSATIMGFSSLFLAM
+>NM_207514|106736_3_139_281
+MGRQDAVDSAHRRDFSGSRGGSCSDDTASWWSRRGQDAGGQVGCYGI
+>DECOY_NM_207514|106736_3_139_281
+IGYCGVQGGADQGRRSWWSATDDSCSGGRSGSFDRRHASDVADQRGM
+>NM_020967|106774_2_275_405
+MAEMAGMPGTAETFETPETCGTTDIVEICGITETAGVCATFGT
+>DECOY_NM_020967|106774_2_275_405
+TGFTACVGATETIGCIEVIDTTGCTEPTEFTEATGPMGAMEAM
+>NM_020967|106775_2_284_405
+MAGMPGTAETFETPETCGTTDIVEICGITETAGVCATFGT
+>DECOY_NM_020967|106775_2_284_405
+TGFTACVGATETIGCIEVIDTTGCTEPTEFTEATGPMGAM
+>NM_001008707|106831_2_364_455
+MALCYQRNLLALYHPPPGSGKKLLCQQPKD
+>DECOY_NM_001008707|106831_2_364_455
+DKPQQCLLKKGSGPPPHYLALLNRQYCLAM
+>NM_007366|106898_2_1771_1856
+MVDSVTKLTQSFEALTKLPAVITVLLHL
+>DECOY_NM_007366|106898_2_1771_1856
+LHLLVTIVAPLKTLAEFSQTLKTVSDVM
+>NM_007366|106902_2_2812_2888
+MVQVGGLDFKKKEPMMNFAGEMEHQ
+>DECOY_NM_007366|106902_2_2812_2888
+QHEMEGAFNMMPEKKKFDLGGVQVM
+>NM_007366|106905_2_3748_3971
+MVLILTGLMAPNLLSLFGKMRSPPSLVTAFLPTATDAGIAQPASHFCKVPFVMCHLKQDNLNTQSCAQKHLFPG
+>DECOY_NM_007366|106905_2_3748_3971
+GPFLHKQACSQTNLNDQKLHCMVFPVKCFHSAPQAIGADTATPLFATVLSPPSRMKGFLSLLNPAMLGTLILVM
+>NM_007366|106909_3_2180_2253
+MALSPLLFGLGVRAWSGQLLQGIS
+>DECOY_NM_007366|106909_3_2180_2253
+SIGQLLQGSWARVGLGFLLPSLAM
+>NM_012285|106938_2_2347_2813
+MGLPSGLACPGTSPSTCARALTPVASAAFPDPLASPSPAQKASAPPQTRRCHPSQRPRVARSLGVVPGPDGPSCCPTSAQHGLGAPWSAFWARSCPHSQPLSPLLPYPHPCPLPWLARATVPPLTAPPGALLPGSPLSFSFPHWEPLDLRTSVPG
+>DECOY_NM_012285|106938_2_2347_2813
+GPVSTRLDLPEWHPFSFSLPSGPLLAGPPATLPPVTARALWPLPCPHPYPLLPSLPQSHPCSRAWFASWPAGLGHQASTPCCSPGDPGPVVGLSRAVRPRQSPHCRRTQPPASAKQAPSPSALPDPFAASAVPTLARACTSPSTGPCALGSPLGM
+>NM_001004432|106974_2_1586_1674
+MGHWRSAQCSYGTEGPMSVWLAMSLGMTP
+>DECOY_NM_001004432|106974_2_1586_1674
+PTMGLSMALWVSMPGETGYSCQASRWHGM
+>NM_018968|106993_2_1311_1570
+MGRAMFSTWSLAASWPCGRSPSKEPRSWKFREPGPEHTCAAGKERCCVSRWISRWDLPVLRVRPRMCSGDLNFPSLRDLQMMGKLE
+>DECOY_NM_018968|106993_2_1311_1570
+ELKGMMQLDRLSPFNLDGSCMRPRVRLVPLDWRSIWRSVCCREKGAACTHEPGPERFKWSRPEKSPSRGCPWSAALSWTSFMARGM
+>NM_015873|107031_2_1317_1441
+MDSCVQATATLCSTHTRGWAVSSTSCTYGRATRPLRMRLRP
+>DECOY_NM_015873|107031_2_1317_1441
+PRLRMRLPRTARGYTCSTSSVAWGRTHTSCLTATAQVCSDM
+>NM_015873|107032_2_1581_2104
+MERGSQHPPQGFSKCKALTATTPGPWRCQPVPHPSTPVTSSCWSQPASATSGLGRAVMVISVRWHGWWSLSFPGRMRKRCWRVRSLPTSGRPWEAGPPTPATRGSLRRSPASSHDCLSAPATWAAWSSQKWGSSARRTWTSMTSCYWTPGRRSSCGLGKLQVSGRRRWPGARST
+>DECOY_NM_015873|107032_2_1581_2104
+TSRAGPWRRRGSVQLKGLGCSSRRGPTWYCSTMSTWTRRASSGWKQSSWAAWTAPASLCDHSSAPSRRLSGRTAPTPPGAEWPRGSTPLSRVRWCRKRMRGPFSLSWWGHWRVSIVMVARGLGSTASAPQSWCSSTVPTSPHPVPQCRWPGPTTATLAKCKSFGQPPHQSGREM
+>NM_015873|107033_2_1752_2104
+MVISVRWHGWWSLSFPGRMRKRCWRVRSLPTSGRPWEAGPPTPATRGSLRRSPASSHDCLSAPATWAAWSSQKWGSSARRTWTSMTSCYWTPGRRSSCGLGKLQVSGRRRWPGARST
+>DECOY_NM_015873|107033_2_1752_2104
+TSRAGPWRRRGSVQLKGLGCSSRRGPTWYCSTMSTWTRRASSGWKQSSWAAWTAPASLCDHSSAPSRRLSGRTAPTPPGAEWPRGSTPLSRVRWCRKRMRGPFSLSWWGHWRVSIVM
+>NM_015873|107037_2_2328_2488
+MAGQVPWPCRPSRAPRTAQRMIWCEAPSRLAAEPAAPSAAPAPRSTGACAGNN
+>DECOY_NM_015873|107037_2_2328_2488
+NNGACAGTSRPAPAASPAAPEAALRSPAECWIMRQATRPARSPRCPWPVQGAM
+>NM_014940|107059_2_1444_1634
+MGCMPLVPCVPLGRLPASLMPHQPVLLPTACRLSGRRASGTSCISRWTSLTTTANCPSLPALS
+>DECOY_NM_014940|107059_2_1444_1634
+SLAPLSPCNATTTLSTWRSICSTGSARRGSLRCATPLLVPQHPMLSAPLRGLPVCPVLPMCGM
+>NM_001099645|107110_2_165_319
+MEFLILEILSNFYGRRLKSMAKLEISGMLFTLNASRIKSQLFLRNSSLKGI
+>DECOY_NM_001099645|107110_2_165_319
+IGKLSSNRLFLQSKIRSANLTFLMGSIELKAMSKLRRGYFNSLIELILFEM
+>NM_178556|107151_2_1043_1200
+MGEADSSYPTTRKDLTSLRLCWVLRSSPVGDTTGRWRWETRPSGKWASARTL
+>DECOY_NM_178556|107151_2_1043_1200
+LTRASAWKGSPRTEWRWRGTTDGVPSSRLVWCLRLSTLDKRTTPYSSDAEGM
+>NM_019096|107246_2_362_450
+MGCWWGWLRRKCELRSRPCTGWQRRLGQT
+>DECOY_NM_019096|107246_2_362_450
+TQGLRRQWGTCPRSRLECKRRLWGWWCGM
+>NM_019096|107247_2_632_747
+MGGAGLGSTFSATCMRFSLAEPPASASRSWALTARERW
+>DECOY_NM_019096|107247_2_632_747
+WRERATLAWSRSASAPPEALSFRMCTASFTSGLGAGGM
+>NM_153834|107455_2_7546_7679
+MEMPQDSVQSASTRANLSGKSQSLNNANCFKNFLTRLWILLILP
+>DECOY_NM_153834|107455_2_7546_7679
+PLILLIWLRTLFNKFCNANNLSQSKGSLNARTSASQVSDQPMEM
+>NM_153834|107458_2_8329_8408
+MVKFTVPFGILRIIMGWVDGIRQAVK
+>DECOY_NM_153834|107458_2_8329_8408
+KVAQRIGDVWGMIIRLIGFPVTFKVM
+>NM_001005503|107470_2_238_521
+MVPSSVLCTGIRDSTPPCTSCSPTSPSWRYVMSPPQSPACWPTSSLTPRSSRSLAASSSSTFSSPWALQNAFSWQLWHLIDTLPSVGLYAIQPL
+>DECOY_NM_001005503|107470_2_238_521
+LPQIAYLGVSPLTDILHWLQWSFANQLAWPSSFTSSSSAALSRSSRPTLSSTPWCAPSQPPSMVYRWSPSTPSCSTCPPTSDRIGTCLVSSPVM
+>NM_001102469|107491_2_127_320
+MATPVKSMKSPLKMGIYSLSTEFLMGEHMLGAQVPGQLCICSMPCLQTMPTGLRIMLMEALDSF
+>DECOY_NM_001102469|107491_2_127_320
+FSDLAEMLMIRLGTPMTQLCPMSCICLQGPVQAGLMHEGMLFETSLSYIGMKLPSKMSKVPTAM
+>NM_001102469|107493_2_166_320
+MGIYSLSTEFLMGEHMLGAQVPGQLCICSMPCLQTMPTGLRIMLMEALDSF
+>DECOY_NM_001102469|107493_2_166_320
+FSDLAEMLMIRLGTPMTQLCPMSCICLQGPVQAGLMHEGMLFETSLSYIGM
+>NM_003693|107508_2_993_1147
+MGRPVSQILATVSAVTLAGWGPGVKTPAPLVPLGKTVALPAPPVFRGPVIL
+>DECOY_NM_003693|107508_2_993_1147
+LIVPGRFVPPAPLAVTKGLPVLPAPTKVGPGWGALTVASVTALIQSVPRGM
+>NM_145256|107529_3_659_840
+MDAAVAAAAAGVRQPRTVVHRVLRGCGLERGVQCHVPEFQWPQPEPASQPVSAGQQRDSP
+>DECOY_NM_145256|107529_3_659_840
+PSDRQQGASVPQSAPEPQPWQFEPVHCQVGRELGCGRLVRHVVTRPQRVGAAAAAVAADM
+>NM_178844|107646_2_1813_2195
+MAHPGGPSSTSSLRAAYPGPGWASSRISGAQPSGPCRQRTGGWTCSCASSPASCLRGSMPSWPAPCWPKASTRPTGPRWLSSCRAACAPMPQSVHGPSTCCTACMSCSTPSWPAAWRRPWRAGPWPG
+>DECOY_NM_178844|107646_2_1813_2195
+GPWPGARWPRRWAAPWSPTSCSMCATCCTSPGHVSQPMPACAARCSSLWRPGTPRTSAKPWCPAPWSPMSGRLCSAPSSACSCTWGGTRQRCPGSPQAGSIRSSAWGPGPYAARLSSTSSPGGPHAM
+>NM_178844|107650_2_2620_2732
+MVPGPWLRPWPPTGPSPCCTCRRTASGPWEPSGWQMP
+>DECOY_NM_178844|107650_2_2620_2732
+PMQWGSPEWPGSATRRCTCCPSPGTPPWPRLWPGPVM
+>NM_033334|107688_2_575_789
+MACLEAGIRALGQSRYRKKKSKGSCLGRSLRKRPITGATMVIVTTVPLGTGLRRATSPHQAPHCLPVGLWN
+>DECOY_NM_033334|107688_2_575_789
+NWLGVPLCHPAQHPSTARRLGTGLPVTTVIVMTAGTIPRKRLSRGLCSGKSKKKRYRSQGLARIGAELCAM
+>NM_033334|107689_2_692_789
+MVIVTTVPLGTGLRRATSPHQAPHCLPVGLWN
+>DECOY_NM_033334|107689_2_692_789
+NWLGVPLCHPAQHPSTARRLGTGLPVTTVIVM
+>NM_144579|107720_2_345_490
+MGPCARGSPMNSSGVHRKSSRLFYIRTPMRRSSCHLECQVIFLLGRQL
+>DECOY_NM_144579|107720_2_345_490
+LQRGLLFIVQCELHCSSRRMPTRIYFLRSSKRHVGSSNMPSGRACPGM
+>NM_020897|107907_2_801_877
+MAVCSSWCPCCRTSLPTAGSPSTTW
+>DECOY_NM_020897|107907_2_801_877
+WTTSPSGATPLSTRCCPCWSSCVAM
+>NM_020897|107910_2_1416_1498
+MGCSVCWPAAPGTHASPMDPTLGRSAC
+>DECOY_NM_020897|107910_2_1416_1498
+CASRGLTPDMPSAHTGPAAPWCVSCGM
+>NM_001727|107932_3_253_509
+MERGQLSRNRSIVCHLYYLCCDHFSGHPWKCYSHQSLFQDQIHANSSKYFHHQPGFWRSFTSANLCASGCNSLPCRRMAVRKNWL
+>DECOY_NM_001727|107932_3_253_509
+LWNKRVAMRRCPLSNCGSACLNASTFSRWFGPQHHFYKSSNAHIQDQFLSQHSYCKWPHGSFHDCCLYYLHCVISRNRSLQGREM
+>NM_178044|107937_2_325_473
+MGAAKKAGPGGPAGEGPGRWCSSCTASRPPWPPFGMKRGPCVAPTLAAC
+>DECOY_NM_178044|107937_2_325_473
+CAALTPAVCPGRKMGFPPWPPRSATCSSCWRGPGEGAPGGPGAKKAAGM
+>NM_024496|107955_2_2823_2935
+MAVPCTLPLRRRGETAAAQSRRPPCRGSAAWHHVTGT
+>DECOY_NM_024496|107955_2_2823_2935
+TGTVHHWAASGRCPPRRSQAAATEGRRRLPLTCPVAM
+>NM_014325|107979_2_892_1139
+MGCCCLSMTLTPASFTYVERVTAVFAILRSRMNPRTSTTSTHSAARSLREGWVTCPRGDLMLTNVRLPDSSNFMRESVNLLL
+>DECOY_NM_014325|107979_2_892_1139
+LLLNVSERMFNSSDPLRVNTLMLDGRPCTVWGERLSRAASHTSTTSTRPNMRSRLIAFVATVREVYTFSAPTLTMSLCCCGM
+>NM_015441|108043_3_2262_2338
+MAGPLRRGLCCGREWPMAHLPGPGR
+>DECOY_NM_015441|108043_3_2262_2338
+RGPGPLHAMPWERGCCLGRRLPGAM
+>NM_015021|108165_2_1737_1840
+MEFIVAPYVQRTLILKKLLSLMSHCMLNNLVKRD
+>DECOY_NM_015021|108165_2_1737_1840
+DRKVLNNLMCHSMLSLLKKLILTRQVYPAVIFEM
+>NM_015021|108175_2_4155_4315
+MGNLSVAGVTGLLLIPDHWVGTYPSDLTVNHWMEPKLLKNFYRVMDSLLFLPA
+>DECOY_NM_015021|108175_2_4155_4315
+APLFLLSDMVRYFNKLLKPEMWHNVTLDSPYTGVWHDPILLLGTVGAVSLNGM
+>NM_015021|108176_2_4785_4867
+MVCALVHFLILVGHHKILPVTVLVFLL
+>DECOY_NM_015021|108176_2_4785_4867
+LLFVLVTVPLIKHHGVLILFHVLACVM
+>NM_015021|108178_2_6798_6907
+MVYTNVIVKAVTVYMQPGRISSDTFLISIMTNIRLI
+>DECOY_NM_015021|108178_2_6798_6907
+ILRINTMISILFTDSSIRGPQMYVTVAKVIVNTYVM
+>NM_024783|108228_2_182_267
+MATLKLREAVYQTLLRISMFGRITLNAC
+>DECOY_NM_024783|108228_2_182_267
+CANLTIRGFMSIRLLTQYVAERLKLTAM
+>NM_024783|108234_2_1205_1386
+MGSSPSTVSRGPFSFHMTRTLASLHTSTHIHTLICNATSCQWQTTLSSLSSASSKLYAGA
+>DECOY_NM_024783|108234_2_1205_1386
+AGAYLKSSASSLSSLTTQWQCSTANCILTHIHTSTHLSALTRTMHFSFPGRSVTSPSSGM
+>NM_003737|108278_2_3324_3589
+MGAPHHAPATFDYGWWYRMWEPVGWLPDSTALPTVWTCPQAPLLELRSCKCRPKHQMGALSPITLQQREQVAPLAWSHRVGGYGCGQH
+>DECOY_NM_003737|108278_2_3324_3589
+HQGCGYGGVRHSWALPAVQERQQLTIPSLAGMQHKPRCKCSRLELLPAQPCTWVTPLATSDPLWGVPEWMRYWWGYDFTAPAHHPAGM
+>NM_003737|108282_2_3963_4117
+MEGAHPAAPQALCMLQCLTSTTTAPRSCRLQELLVGASLYRYQTACLQEHW
+>DECOY_NM_003737|108282_2_3963_4117
+WHEQLCATQYRYLSAGVLLEQLRCSRPATTTSTLCQLMCLAQPAAPHAGEM
+>NM_003737|108311_2_7389_7558
+MEAVSPSRGPWTLSSVTATSCSCWHMMGLMRAVPTSQCLWRMSMTMHLPSHRASTR
+>DECOY_NM_003737|108311_2_7389_7558
+RTSARHSPLHMTMSMRWLCQSTPVARMLGMMHWCSCSTATVSSLTWPGRSPSVAEM
+>NM_003737|108313_2_7467_7558
+MGLMRAVPTSQCLWRMSMTMHLPSHRASTR
+>DECOY_NM_003737|108313_2_7467_7558
+RTSARHSPLHMTMSMRWLCQSTPVARMLGM
+>NM_003737|108327_2_8931_9103
+MGVPMAWFCIPLPPLPPILVLTRLQEPCTCGWTVGHQAAEQPPLGVGAGPGGKHHGS
+>DECOY_NM_003737|108327_2_8931_9103
+SGHHKGGPGAGVGLPPQEAAQHGVTWGCTCPEQLRTLVLIPPLPPLPICFWAMPVGM
+>NM_003737|108329_2_9438_9547
+MVGQELEDPTPVVAPWTLHIQVAEDQQRLQRMMRSA
+>DECOY_NM_003737|108329_2_9438_9547
+ASRMMRQLRQQDEAVQIHLTWPAVVPTPDELEQGVM
+>NM_024562|108389_3_257_354
+MATKLCGCHLEFYLSNLVVAFVLEGNHDPPCS
+>DECOY_NM_024562|108389_3_257_354
+SCPPDHNGELVFAVVLNSLYFELHCGCLKTAM
+>NM_024562|108390_3_1526_1629
+MDSGEAGKEEGNCQPERICRVGQSCALSPFSVSV
+>DECOY_NM_024562|108390_3_1526_1629
+VSVSFPSLACSQGVRCIREPQCNGEEKGAEGSDM
+>NM_020229|108406_2_619_746
+MAPRCLCLTHRCPWASQTGRRSPSHRAWRWSRTLVERVTCDV
+>DECOY_NM_020229|108406_2_619_746
+VDCTVREVLTRSWRWARHSPSRRGTQSAWPCRHTLCLCRPAM
+>NM_014329|108432_2_287_519
+MGTSMDFWSQTRSAQVIVPQQTRLVFGPCHPLTCKRSRSSVSQEMIAPPALGFWPRRWRLWLAVTLAFQARPGEATR
+>DECOY_NM_014329|108432_2_287_519
+RTAEGPRAQFALTVALWLRWRRPWFGLAPPAIMEQSVSSRSRKCTLPHCPGFVLRTQQPVIVQASRTQSWFDMSTGM
+>NM_014329|108438_2_1118_1305
+MAMSSSGRSTLRGKMSQGVCTSGNLMMGGPSPASCSVTTIRNKTLMSLSGGSLLLVLTRTES
+>DECOY_NM_014329|108438_2_1118_1305
+SETRTLVLLLSGGSLSMLTKNRITTVSCSAPSPGGMMLNGSTCVGQSMKGRLTSRGSSSMAM
+>NM_014329|108442_2_1196_1305
+MGGPSPASCSVTTIRNKTLMSLSGGSLLLVLTRTES
+>DECOY_NM_014329|108442_2_1196_1305
+SETRTLVLLLSGGSLSMLTKNRITTVSCSAPSPGGM
+>NM_014329|108448_2_2705_3024
+MAFRKSTRAWPSTDHHITCCSNVTARMPVLSKVTMMMRWPALPLLQEALAPKFLLHGCLPRTGRPRDPLEPHPSSRGKARRMMGMQPWDPGSQSTRWQSPLRTGQH
+>DECOY_NM_014329|108448_2_2705_3024
+HQGTRLPSQWRTSQSGPDWPQMGMMRRAKGRSSPHPELPDRPRGTRPLCGHLLFKPALAEQLLPLAPWRMMMTVKSLVPMRATVNSCCTIHHDTSPWARTSKRFAM
+>NM_014329|108454_2_2951_3024
+MGMQPWDPGSQSTRWQSPLRTGQH
+>DECOY_NM_014329|108454_2_2951_3024
+HQGTRLPSQWRTSQSGPDWPQMGM
+>NM_194460|108459_2_251_774
+MVLPPPQLPQTRAGHRWSTWTSTCSRCRRATDSLLSASSMTASRSPRSLLGRRLTTAGTLRAGGRETIRPGTGTAPDSPAPASPRGGPPAGTKASPRWKGSSSSSSTASSRPPPSPAWAPGESCTQTLWTTPGGPTAWMPSSHSSSISLKTQAPHRQIKRKSRPSPPSPSLRST
+>DECOY_NM_194460|108459_2_251_774
+TSRLSPSPPSPRSKRKIQRHPAQTKLSISSSHSSPMWATPGGPTTWLTQTCSEGPAWAPSPPPRSSATSSSSSSGKWRPSAKTGAPPGGRPSAPAPSDPATGTGPRITERGGARLTGATTLRRGLLSRPSRSATMSSASLLSDTARRCRSCTSTWTSWRHGARTQPLQPPPLVM
+>NM_001005239|108479_2_169_251
+MEPLLLSCGVTGDFTLPCTCSWEISPF
+>DECOY_NM_001005239|108479_2_169_251
+FPSIEWSCTCPLTFDGTVGCSLLLPEM
+>NM_001005239|108482_3_257_405
+MVCLFYSSQDVGQLPFREKKHLLCWMFSPVLFLLLFGYIRMLAFDCDGL
+>DECOY_NM_001005239|108482_3_257_405
+LGDCDFALMRIYGFLLLFLVPSFMWCLLHKKERFPLQGVDQSSYFLCVM
+>NM_017658|108618_2_1593_1666
+MESHICPALKDTILIKISGLCVDQ
+>DECOY_NM_017658|108618_2_1593_1666
+QDVCLGSIKILITDKLAPCIHSEM
+>NM_017658|108621_3_1366_1487
+MATCGTNDDNKKLFCCSGIGWNDICHWWVWSCPHEQCGAL
+>DECOY_NM_017658|108621_3_1366_1487
+LAGCQEHPCSWVWWHCIDNWGIGSCCFLKKNDDNTGCTAM
+>NM_001717|108796_2_2039_2220
+MVAMNTTSHLGWNPKFLFLTTWNCSSACWLGDSSVLCPTGEWLFLVLKILKNWSTWVSMH
+>DECOY_NM_001717|108796_2_2039_2220
+HMSVWTSWNKLIKLVLFLWEGTPCLVSSDGLWCASSCNWTTLFLFKPNWGLHSTTNMAVM
+>NM_014230|108833_2_252_373
+MVYGMEIFRGTGATVPVDKDVFEKHSTSRWVTDTNSQGRK
+>DECOY_NM_014230|108833_2_252_373
+KRGQSNTDTVWRSTSHKEFVDKDVPVTAGTGRFIEMGYVM
+>NM_014230|108834_2_264_373
+MEIFRGTGATVPVDKDVFEKHSTSRWVTDTNSQGRK
+>DECOY_NM_014230|108834_2_264_373
+KRGQSNTDTVWRSTSHKEFVDKDVPVTAGTGRFIEM
+>NM_182557|108977_2_2127_2350
+MGSAAWWAQRACPKSSWSIGNGPSRRCETLSDCCSAAERLSPSSRGPQEERVRGAHQHKPPLPPSSHPRPLPAG
+>DECOY_NM_182557|108977_2_2127_2350
+GAPLPRPHSSPPLPPKHQHAGRVREEQPGRSSPSLREAASCCDSLTECRRSPGNGISWSSKPCARQAWWAASGM
+>NM_007358|109007_2_1712_1839
+MDYLTPEKERVQEDLGLLQYHICGEEEVVFQEEHSRLRTQKL
+>DECOY_NM_007358|109007_2_1712_1839
+LKQTRLRSHEEQFVVEEEGCIHYQLLGLDEQVREKEPTLYDM
+>NM_138447|109084_2_660_739
+MGRRKYSRLRRHPERGSEAGGPANPD
+>DECOY_NM_138447|109084_2_660_739
+DPNAPGGAESGREPHRRLRSYKRRGM
+>NM_001001676|109093_2_286_464
+MGNTPSTMRARTQWPSRRLTTGCSSPSTSRTSGTGPRPTRWRSMKPAKSTDLAHKISST
+>DECOY_NM_001001676|109093_2_286_464
+TSSIKHALDTSKAPKMSRWRTPRPGTGSTRSTSPSSCGTTLRRSPWQTRARMTSPTNGM
+>NM_182970|109164_2_220_419
+MAQRATLTMEEFAWRRTPSSVTSWEAWGRHSLWAARPWPPHPWGMWRSVCRSGTVSWRWTLSRLGD
+>DECOY_NM_182970|109164_2_220_419
+DGLRSLTWRWSVTGSRCVSRWMGWPHPPWPRAAWLSHRGWAEWSTVSSPTRRWAFEEMTLTARQAM
+>NM_182970|109165_2_244_419
+MEEFAWRRTPSSVTSWEAWGRHSLWAARPWPPHPWGMWRSVCRSGTVSWRWTLSRLGD
+>DECOY_NM_182970|109165_2_244_419
+DGLRSLTWRWSVTGSRCVSRWMGWPHPPWPRAAWLSHRGWAEWSTVSSPTRRWAFEEM
+>NM_182970|109166_2_481_593
+MASALPRRRPKSLASRWTHCITRCCCFLRVPRAKSSR
+>DECOY_NM_182970|109166_2_481_593
+RSSKARPVRLFCCCRTICHTWRSALSKPRRRPLASAM
+>NM_145169|109204_3_134_309
+MVCHLLRMWRFLFYSWNWIAVASGRHKAFCSVLYPRQSCCVSQYMLFNGTCEATEENV
+>DECOY_NM_145169|109204_3_134_309
+VNEETAECTGNFLMYQSVCCSQRPYLVSCFAKHRGSAVAIWNWSYFLFRWMRLLHCVM
+>NM_003456|109213_2_672_856
+MGCHWAFPSAGLSGPLKRTARVRPRAPAGRQEMRRSGEARAQEPSRWGRGCRPHPWQPLGM
+>DECOY_NM_003456|109213_2_672_856
+MGLPQWPHPRCGRGWRSPEQARAEGSRRMEQRGAPARPRVRATRKLPGSLGASPFAWHCGM
+>NM_138367|109231_2_1817_2013
+MVQPLFMAPASQQMDRFPLERSTAEPLTMVQISFCAGQFTLVRNPLDVMNMEKLSVPPHDPLKIR
+>DECOY_NM_138367|109231_2_1817_2013
+RIKLPDHPPVSLKEMNMVDLPNRVLTFQGACFSIQVMTLPEATSRELPFRDMQQSAPAMFLPQVM
+>NM_138367|109234_2_1901_2013
+MVQISFCAGQFTLVRNPLDVMNMEKLSVPPHDPLKIR
+>DECOY_NM_138367|109234_2_1901_2013
+RIKLPDHPPVSLKEMNMVDLPNRVLTFQGACFSIQVM
+>NM_014139|109330_2_3200_3354
+MALDGYLRWFPKSNQRDVCPKALVAAFHAVAWTRESLPGSFGGTCGKPATK
+>DECOY_NM_014139|109330_2_3200_3354
+KTAPKGCTGGFSGPLSERTWAVAHFAAVLAKPCVDRQNSKPFWRLYGDLAM
+>NM_014139|109342_3_2502_2641
+MDRKYVGMYARSECIIIIVCYCLHIDHGDRKTCGAQPLHCLTAQFL
+>DECOY_NM_014139|109342_3_2502_2641
+LFQATLCHLPQAGCTKRDGHDIHLCYCVIIIICESRAYMGVYKRDM
+>NM_022496|109475_2_78_190
+MELTTPKSVTAMKMCRLFLIVSSGQKQHVLKLLLPTR
+>DECOY_NM_022496|109475_2_78_190
+RTPLLLKLVHQKQGSSVILFLRCMKMATVSKPTTLEM
+>NM_032799|109513_3_146_378
+MGGAGGAAPAPHLPAPGAGLPAALPRCVTHGPWLRECAAPASGGAQRGADSHGSSSHPSSALQILPGAAAPEGSALP
+>DECOY_NM_032799|109513_3_146_378
+PLASGEPAAAGPLIQLASSPHSSSGHSDAGRQAGGSAPAACERLWPGHTVCRPLAAPLGAGPAPLHPAPAAGGAGGM
+>NM_032799|109514_3_515_804
+MVRPPVLPALGSVVAVQRAPVRHLPAAVPLLVGGQPAPRLAPLPGGQQHHHLGIHLLTPHRLSPPAPQQPLRPRPDPQPGPLLLWMALRVLGDPLG
+>DECOY_NM_032799|109514_3_515_804
+GLPDGLVRLAMWLLLPGPQPDPRPRLPQQPAPPSLRHPTLLHIGLHHHQQGGPLPALRPAPQGGVLLPVAAPLHRVPARQVAVVSGLAPLVPPRVM
+>NM_152317|109649_2_133_233
+MASAGKGGQDALVLFKLLSYGMVLFTLFRPKWK
+>DECOY_NM_152317|109649_2_133_233
+KWKPRFLTFLVMGYSLLKFLVLADQGGKGASAM
+>NM_152317|109654_3_191_285
+MGWYYSLSSGPSGNKKKEASFTDIQRLFHWF
+>DECOY_NM_152317|109654_3_191_285
+FWHFLRQIDTFSAEKKKNGSPGSSLSYYWGM
+>NM_018027|109729_2_1955_2199
+METLPVKTAPSQMPLFLRMKTLRLPAQYPPYILLTRDSLLGHRRTTGLLLPSPWRDSDRCTITATTMTSHPSSPKCGVSPL
+>DECOY_NM_018027|109729_2_1955_2199
+LPSVGCKPSSPHSTMTTATITCRDSDRWPSPLLLGTTRRHGLLSDRTLLIYPPYQAPLRLTKMRLFLPMQSPATKVPLTEM
+>NM_014978|109813_2_2493_2641
+MGMRDMGRASVSQLSGTIQHPHQRTAALVKATLTALGIGGLCPTTAQMG
+>DECOY_NM_014978|109813_2_2493_2641
+GMQATTPCLGGIGLATLTAKVLAATRQHPHQITGSLQSVSARGMDRMGM
+>NM_014978|109815_2_2508_2641
+MGRASVSQLSGTIQHPHQRTAALVKATLTALGIGGLCPTTAQMG
+>DECOY_NM_014978|109815_2_2508_2641
+GMQATTPCLGGIGLATLTAKVLAATRQHPHQITGSLQSVSARGM
+>NM_014978|109818_2_2814_2899
+MGLLCPTQTSAPSRTASSTCIRVRGSSR
+>DECOY_NM_014978|109818_2_2814_2899
+RSSGRVRICTSSATRSPASTQTPCLLGM
+>NM_015669|109843_2_2153_2430
+MASLRARPPPRCTCSWWTASPSPTCRCRRRPRPRPRPTRSLSTWWWHWPRCRRSSSFRCSCSWQCGCAGGAGRPRSVAARCPRAPFQGIWWT
+>DECOY_NM_015669|109843_2_2153_2430
+TWWIGQFPARPCRAAVSRPRGAGGACGCQWSCSCRFSSSRRCRPWHWWWTSLSRTPRPRPRPRRRCRCTPSPSATWWSCTCRPPPRARLSAM
+>NM_024491|109916_3_281_372
+MGKHKCAIDDAWLKTFVSSQKNRSQRSHHF
+>DECOY_NM_024491|109916_3_281_372
+FHHSRQSRNKQSSVFTKLWADDIACKHKGM
+>NM_017599|109930_2_493_626
+MDTFQHFAPWQPLIFGISQCYLPSLACSLCFPLGGLCLPGWYGE
+>DECOY_NM_017599|109930_2_493_626
+EGYWGPLCLGGLPFCLSCALSPLYCQSIGFILPQWPAFHQFTDM
+>NM_017599|109938_3_617_750
+MGSDSICVSGHKSFEIMEDSQTTSDPKKIQRSFGRYGHKQPSFY
+>DECOY_NM_017599|109938_3_617_750
+YFSPQKHGYRGFSRQIKKPDSTTQSDEMIEFSKHGSVCISDSGM
+>NM_017599|109939_3_665_750
+MEDSQTTSDPKKIQRSFGRYGHKQPSFY
+>DECOY_NM_017599|109939_3_665_750
+YFSPQKHGYRGFSRQIKKPDSTTQSDEM
+>NM_001105247|110008_2_2288_2415
+MARRCCGPGWCWGWRLTIGRHHVPGPLSTAGTESWGRGYCRT
+>DECOY_NM_001105247|110008_2_2288_2415
+TRCYGRGWSETGATSLPGPVHHRGITLRWGWCWGPGCCRRAM
+>NM_173050|110028_2_338_579
+MGAVSTSASTSRGTTGVPALMASCWHTMDTTAWMWTSVRTIMVAASRSASMPWAATSVSATVASSLVTTSIPASTAPMRV
+>DECOY_NM_173050|110028_2_338_579
+VRMPATSAPISTTVLSSAVTASVSTAAWPMSASRSAAVMITRVSTWMWATTDMTHWCSAMLAPVGTTGRSTSASTSVAGM
+>NM_173050|110030_2_419_579
+MDTTAWMWTSVRTIMVAASRSASMPWAATSVSATVASSLVTTSIPASTAPMRV
+>DECOY_NM_173050|110030_2_419_579
+VRMPATSAPISTTVLSSAVTASVSTAAWPMSASRSAAVMITRVSTWMWATTDM
+>NM_173050|110032_2_461_579
+MVAASRSASMPWAATSVSATVASSLVTTSIPASTAPMRV
+>DECOY_NM_173050|110032_2_461_579
+VRMPATSAPISTTVLSSAVTASVSTAAWPMSASRSAAVM
+>NM_173050|110034_2_599_699
+MAVPTSAGRRPKVGWPATAGPALTLPKTRRTAH
+>DECOY_NM_173050|110034_2_599_699
+HATRRTKPLTLAPGATAPWGVKPRRGASTPVAM
+>NM_173050|110035_2_710_1191
+METEAASTAVRTQTQAPRVVATRSTPSTQTVARASRRAQSITEAATGHARTQPLACDAAAPLDSHCSRTGRHAKTSTSAWSTTEAATTSAATPWAASSAAAGRATSCSPTSAPARTSTSAPSSGPVTTSASTPRAASSACVTAATSSTGQPTAEMWTSAA
+>DECOY_NM_173050|110035_2_710_1191
+AASTWMEATPQGTSSTAATVCASSAARPTSASTTVPGSSPASTSTRAPASTPSCSTARGAAASSAAWPTAASTTAAETTSWASTSTKAHRGTRSCHSDLPAAADCALPQTRAHGTAAETISQARRSARAVTQTSPTSRTAVVRPAQTQTRVATSAAETEM
+>NM_198404|110108_2_687_781
+MENFYCPKGFEKINFLHKKQNSFSSRDWQRK
+>DECOY_NM_198404|110108_2_687_781
+KRQWDRSSFSNQKKHLFNIKEFGKPCYFNEM
+>NM_017552|110236_2_1030_1103
+MGYKIIMKFLLRVKKKNLKRRMEI
+>DECOY_NM_017552|110236_2_1030_1103
+IEMRRKLNKKKVRLLFKMIIKYGM
+>NM_017552|110244_2_3961_4046
+MEQAQKRTLHLLRRKVQMNLYWSTAAVP
+>DECOY_NM_017552|110244_2_3961_4046
+PVAATSWYLNMQVKRRLLHLTRKQAQEM
+>NM_005113|110336_2_2085_2269
+MGLRLICLELTMVKALVCEMFLFFLMTQKLIWQECTEKFAKLLVQLISLVFAWEFFSEDTP
+>DECOY_NM_005113|110336_2_2085_2269
+PTDESFFEWAFVLSILQVLLKAFKETCEQWILKQTMLFFLFMECVLAKVMTLELCILRLGM
+>NM_005113|110337_2_2118_2269
+MVKALVCEMFLFFLMTQKLIWQECTEKFAKLLVQLISLVFAWEFFSEDTP
+>DECOY_NM_005113|110337_2_2118_2269
+PTDESFFEWAFVLSILQVLLKAFKETCEQWILKQTMLFFLFMECVLAKVM
+>NM_032251|110507_2_216_325
+MGPCSSGCWASLPPAPEGDLGCSEALTDLLPGECGT
+>DECOY_NM_032251|110507_2_216_325
+TGCEGPLLDTLAESCGLDGEPAPPLSAWCGSSCPGM
+>NM_032251|110513_2_3483_3700
+MGIGSGAWRRSCGGFRASTTGLRCCWQSCLGSGVSCRVNAGSYGAGWRGWSWSGHSWRCRASSCASPTSSWT
+>DECOY_NM_032251|110513_2_3483_3700
+TWSSTPSACSSARCRWSHGSWSWGRWGAGYSGANVRCSVGSGLCSQWCCRLGTTSARFGGCSRRWAGSGIGM
+>NM_003196|110531_2_757_830
+MESTVTRWHQKSKIISTKSSRART
+>DECOY_NM_003196|110531_2_757_830
+TRARSSKTSIIKSKQHWRTVTSEM
+>NM_032508|110616_2_1185_1342
+METFPMISITKIMKKPKRPQFRSPLKSHPCFERRPGWSLPRALGSMCSHLPN
+>DECOY_NM_032508|110616_2_1185_1342
+NPLHSCMSGLARPLSWGPRREFCPHSKLPSRFQPRKPKKMIKTISIMPFTEM
+>NM_032508|110621_3_451_554
+MAVEVNGHCWSLSWNWSLGTKSSISSRRRNVCGV
+>DECOY_NM_032508|110621_3_451_554
+VGCVNRRRSSISSKTGLSWNWSLSWCHGNVEVAM
+>NM_003492|110656_2_991_1115
+MASLCCIPRASRSHWVLTWWPLWGRRCAPTGTMAAPPRLPT
+>DECOY_NM_003492|110656_2_991_1115
+TPLRPPAAMTGTPACRRGWLPWWTLVWHSRSARPICCLSAM
+>NM_003813|110741_2_334_407
+MVTWRVPLSLWLCSVLVLGAFEEY
+>DECOY_NM_003813|110741_2_334_407
+YEEFAGLVLVSCLWLSLPVRWTVM
+>NM_003813|110743_2_1231_1307
+MVWLKEKSSVTVDPYSSVNKTPVVC
+>DECOY_NM_003813|110743_2_1231_1307
+CVVPTKNVSSYPDVTVSSKEKLWVM
+>NM_003813|110745_2_1432_1634
+MEHLISVQKIDMCRTGSPVVTVPTAIKRGVITMTSIAGRFLVKMQKVHLRIAIKKSTLRETVLVTVV
+>DECOY_NM_003813|110745_2_1432_1634
+VVTVLVTERLTSKKIAIRLHVKQMKVLFRGAISTMTIVGRKIATPVTVVPSGTRCMDIKQVSILHEM
+>NM_003813|110749_2_1825_1922
+MVLCVAQERSASIRSVSVCLSCHMSAFLRPAI
+>DECOY_NM_003813|110749_2_1825_1922
+IAPRLFASMHCSLCVSVSRISASREQAVCLVM
+>NM_003813|110750_2_1963_2057
+MGGPHPTASTEAMGAVLTVAQHLQREEFFCR
+>DECOY_NM_003813|110750_2_1963_2057
+RCFFEERQLHQAVTLVAGMAETSATPHPGGM
+>NM_025081|110848_2_1195_1277
+MVWTVLKRKGQCKPPAARTPRTTHKPC
+>DECOY_NM_025081|110848_2_1195_1277
+CPKHTTRPTRAAPPKCQGKRKLVTWVM
+>NM_025081|110857_2_3526_3659
+MERLPASRFLPTWPSSPSPATSPHSPSSWASWTPTGMPSLTMKP
+>DECOY_NM_025081|110857_2_3526_3659
+PKMTLSPMGTPTWSAWSSPSHPSTAPSPSSPWTPLFRSAPLREM
+>NM_001004319|110986_3_232_431
+MACAALCELTRALPDHHLQSQPTNRAACVAQLLWQAAALPDAAARQGLPHPQLPKPPLALQRCKDT
+>DECOY_NM_001004319|110986_3_232_431
+TDKCRQLALPPKPLQPHPLGQRAAADPLAAAQWLLQAVCAARNTPQSQLHHDPLARTLECLAACAM
+>NM_014802|111087_2_1555_1643
+MAPWKAVWNRGLKKICLHVVDFVIYHMMN
+>DECOY_NM_014802|111087_2_1555_1643
+NMMHYIVFDVVHLCIKKLGRNWVAKWPAM
+>NM_133369|111107_2_1348_1517
+MGPAPSSSSPMGTCSAPWVAAATHCTTALPPLRPRSSSPASPPRTTSAPCPEAPAT
+>DECOY_NM_133369|111107_2_1348_1517
+TAPAEPCPASTTRPPSAPSSSRPRLPPLATTCHTAAAVWPASCTGMPSSSSPAPGM
+>NM_133369|111111_2_2236_2480
+MARSGTCTAPSPWSVSAPALVTWPASCGCGRWRATGRASASTSTSPRTQGLLSCWLWRVKRGSQPWWAPVPSRSPSSFGRR
+>DECOY_NM_133369|111111_2_2236_2480
+RRGFSSPSRSPVPAWWPQSGRKVRWLWCSLLGQTRPSTSTSASARGTARWRGCGCSAPWTVLAPASVSWPSPATCTGSRAM
+>NM_133369|111115_3_575_834
+MELLGHHQESEGLHPHSLFAQELRAGAAGQGGVPGAGHRAALPSTGGHPSSRGGVAPERGPGGPVPGPQCIHHAGAQPGGATGPPC
+>DECOY_NM_133369|111115_3_575_834
+CPPGTAGGPQAGAHHICQPGPVPGGPGREPAVGGRSSPHGGTSPLAARHGAGPVGGQGAAGARLEQAFLSHPHLGESEQHHGLLEM
+>NM_001004457|111171_3_752_867
+MEGLLYLWFSSHGGSALLWVSYGCVFTSSINLLYREGK
+>DECOY_NM_001004457|111171_3_752_867
+KGERYLLNISSTFVCGYSVWLLASGGHSSFWLYLLGEM
+>NM_020120|111320_2_2724_2803
+MEGSLGHWRIVSSLIKTISTSSKISS
+>DECOY_NM_020120|111320_2_2724_2803
+SSIKSSTSITKILSSVIRWHGLSGEM
+>NM_020120|111324_2_3582_3658
+MALILPLMLMRWLSSSTTSKAKLLK
+>DECOY_NM_020120|111324_2_3582_3658
+KLLKAKSTTSSSLWRMLMLPLILAM
+>NM_020120|111325_2_3705_3781
+MERVRMNLDFGIPSNGALQDRRLRK
+>DECOY_NM_020120|111325_2_3705_3781
+KRLRRDQLAGNSPIGFDLNMRVREM
+>NM_006468|111399_2_564_745
+MARPWTMLKYQTHLCDWQTHTLYNAALRYLPLRIQTLGHHHLPPHLSLMKRTCTWFLNSA
+>DECOY_NM_006468|111399_2_564_745
+ASNLFWTCTRKMLSLHPPLHHHGLTQIRLPLYRLAANYLTHTQWDCLHTQYKLMTWPRAM
+>NM_031953|111599_2_250_338
+METSAEMRDNFTICSWKTFGKLPDSCTTD
+>DECOY_NM_031953|111599_2_250_338
+DTTCSDPLKGFTKWSCITFNDRMEASTEM
+>NM_031953|111602_2_1000_1127
+MGPWMRGKGLKARRFFSLKISWPIRSTESTLECTWKGWTKEL
+>DECOY_NM_031953|111602_2_1000_1127
+LEKTWGKWTCELTSETSRIPWSIKLSFFRRAKLGKGRMWPGM
+>NM_001004475|111618_2_754_827
+MAVPLSSICGPSPSLPQTRISWWQ
+>DECOY_NM_001004475|111618_2_754_827
+QWWSIRTQPLSPSPGCISSLPVAM
+>NM_080738|111760_2_413_519
+MAFQIALEILFQRSARTTPAKKTVLVPPACSGPPP
+>DECOY_NM_080738|111760_2_413_519
+PPPGSCAPPVLVTKKAPTTRASRQFLIELAIQFAM
+>NM_022107|111772_2_404_651
+MVSRAPLRMRKAGPLQTPPLGLGDLLLHPLLLQGPATQPWDPARPPCSPCRLNSFWTWWLKPSPAAWRSRGPPSTPPKTPQA
+>DECOY_NM_022107|111772_2_404_651
+AQPTKPPTSPPGRSRWAAPSPKLWWTWFSNLRCPSCPPRAPDWPQTAPGQLLLPHLLLDGLGLPPTQLPGAKRMRLPARSVM
+>NM_139177|111800_2_536_729
+MVRHIRERRRQPLAFQRVLLSLCLLEGIWHSPAAAAGGGSHCSSWPSLYTTFQRVSLLELDLGL
+>DECOY_NM_139177|111800_2_536_729
+LGLDLELLSVRQFTTYLSPWSSCHSGGGAAAAPSHWIGELLCLSLLVRQFALPQRRRERIHRVM
+>NM_001099402|111873_3_828_1129
+MVGAVCSRCPGRRFGRHLPPNPGSLLTRKTTDASSHPPSAATAPISSAYTTSAASTAVTAVSKLRTIPAPAEGPPATSPAAAASPTAQETLSAAQFSPTG
+>DECOY_NM_001099402|111873_3_828_1129
+GTPSFQAASLTEQATPSAAAAPSTAPPGEAPAPITRLKSVATVATSAASTTYASSIPATAASPPHSSADTTKRTLLSGPNPPLHRGFRRGPCRSCVAGVM
+>NM_133455|111982_2_646_737
+MEASRTKSVLGGFPGPPAPREMPAVGAQWG
+>DECOY_NM_133455|111982_2_646_737
+GWQAGVAPMERPAPPGPFGGLVSKTRSAEM
+>NM_133455|111984_2_895_1040
+METHCCPTPSLRPTTTGPRDPLGLQALQGPWVPLGLLAPQVSLGVLVT
+>DECOY_NM_133455|111984_2_895_1040
+TVLVGLSVQPALLGLPVWPGQLAQLGLPDRPGTTTPRLSPTPCCHTEM
+>NM_007062|111997_2_268_377
+MACRVHAPRHAQESPWRMVTQRMTGRLMMMSWLSTT
+>DECOY_NM_007062|111997_2_268_377
+TTSLWSMMMLRGTMRQTVMRWPSEQAHRPAHVRCAM
+>NM_174977|112059_2_1132_1283
+MGASPASRLASMSCASTTPTAGCMPRSSATLWRCCFPTRPLRRRCRVSRR
+>DECOY_NM_174977|112059_2_1132_1283
+RRSVRCRRRLPRTPFCCRWLTASSRPMCGATPTTSACSMSALRSAPSAGM
+>NM_003636|112098_2_263_351
+MGVPKDSSSFTGTWASLACGSPAWDLEHG
+>DECOY_NM_003636|112098_2_263_351
+GHELDWAPSGCALSAWTGTFSSSDKPVGM
+>NM_020765|112252_2_6710_6801
+MAACAFTWPTWRTPPTGCSHPCSPAVSSAS
+>DECOY_NM_020765|112252_2_6710_6801
+SASSVAPSCPHSCGTPPTRWTPWTFACAAM
+>NM_020765|112265_2_8294_8457
+MEILRWCLSRWSWRQLKMSTMATPLPWRPCWQAQRASPPCWTSHLMQMTRPWLN
+>DECOY_NM_020765|112265_2_8294_8457
+NLWPRTMQMLHSTWCPPSARQAQWCPRWPLPTAMTSMKLQRWSWRSLCWRLIEM
+>NM_020765|112267_2_8354_8457
+MATPLPWRPCWQAQRASPPCWTSHLMQMTRPWLN
+>DECOY_NM_020765|112267_2_8354_8457
+NLWPRTMQMLHSTWCPPSARQAQWCPRWPLPTAM
+>NM_020765|112271_2_8852_8928
+MAPREKEKEKLKEMSTLATGCTWSV
+>DECOY_NM_020765|112271_2_8852_8928
+VSWTCGTALTSMEKLKEKEKERPAM
+>NM_020765|112294_3_3123_3199
+MVFSAPDQLLCQLDKGSPYQTGNEG
+>DECOY_NM_020765|112294_3_3123_3199
+GENGTQYPSGKDLQCLLQDPASFVM
+>NM_001080398|112497_2_403_689
+METGSDSGQRPAPGPRGTGPARAAARLGRAARTGRRVSSPRGERTRGGRRQISLNGSFYDLAMLKQMNNYRILYLNSFLLFCSNSLAPKKEYVKR
+>DECOY_NM_001080398|112497_2_403_689
+RKVYEKKPALSNSCFLLFSNLYLIRYNNMQKLMALDYFSGNLSIQRRGGRTREGRPSSVRRGTRAARGLRAAARAPGTGRPGPAPRQGSDSGTEM
+>NM_012477|112516_2_448_599
+MGHAMGLVLSLPVHCLTFASSAPSSPQPTRMWFTAQAHHPPLILWPQAAP
+>DECOY_NM_012477|112516_2_448_599
+PAAQPWLILPPHHAQATFWMRTPQPSSPASSAFTLCHVPLSLVLGMAHGM
+>NM_012477|112517_2_460_599
+MGLVLSLPVHCLTFASSAPSSPQPTRMWFTAQAHHPPLILWPQAAP
+>DECOY_NM_012477|112517_2_460_599
+PAAQPWLILPPHHAQATFWMRTPQPSSPASSAFTLCHVPLSLVLGM
+>NM_018266|112548_2_1444_1616
+MGPTAMLHSTFGQKIQYGLKGCWCGTADVYIEPWGLTTWQCLQMYLMPAFISYFIDH
+>DECOY_NM_018266|112548_2_1444_1616
+HDIFYSIFAPMLYMQLCQWTTLGWPEIYVDATGCWCGKLGYQIKQGFTSHLMATPGM
+>NM_172238|112614_2_960_1099
+MALSMECTQIKDSCQGPAWGWPPREQTTCRALWRPSVGLFSMAKVE
+>DECOY_NM_172238|112614_2_960_1099
+EVKAMSFLGVSPRWLARCTTQERPPWGWAPGQCSDKIQTCEMSLAM
+>NM_172238|112615_2_972_1099
+MECTQIKDSCQGPAWGWPPREQTTCRALWRPSVGLFSMAKVE
+>DECOY_NM_172238|112615_2_972_1099
+EVKAMSFLGVSPRWLARCTTQERPPWGWAPGQCSDKIQTCEM
+>NM_017892|112710_2_1811_1974
+MDNCILCHLGWNCIQLLVLILDSLICLVSLDQLHLIFSSFMLRILKHVIMTRRR
+>DECOY_NM_017892|112710_2_1811_1974
+RRRTMIVHKLIRLMFSSFILHLQDLSVLCILSDLILVLLQICNWGLHCLICNDM
+>NM_176821|112744_3_349_485
+MAGSRSQWQIQPGAPGGQAQLREPRITCLPLPGAGAGVCHGGGSI
+>DECOY_NM_176821|112744_3_349_485
+ISGGGHCVGAGAGPLPLCTIRPERLQAQGGPAGPQIQWQSRSGAM
+>NM_033083|112757_2_869_972
+MAQPLLRSLHTSSPTTVGLPLPMEPAGHKEATSS
+>DECOY_NM_033083|112757_2_869_972
+SSTAEKHGAPEMPLPLGVTTPSSTHLSRLLPQAM
+>NM_006991|112810_3_895_998
+MGMSGPNPEGLVLGCDAGELWKCDLPRMGDHDRE
+>DECOY_NM_006991|112810_3_895_998
+ERDHDGMRPLDCKWLEGADCGLVLGEPNPGSMGM
+>NM_014065|112906_2_587_675
+MEGTMILLQMLYKNSLNHCLLVIYAHMLY
+>DECOY_NM_014065|112906_2_587_675
+YLMHAYIVLLCHNLSNKYLMQLLIMTGEM
+>NM_024044|112971_2_325_815
+MGAAKKAGPGGPAGEGPGRWCSSCTASRPPWPPFGLSGLGSTRTPRAAWRTWGLACEERQPSLSTCACWRTCCAHRPGLASRSRCAGCAQTSARTSASRRRRTCLWPSGLHRPRPRPQGAAQVPLMTRSLSQTRGIQGPAAPCAPRPSRMKRGPCVAPTLAAC
+>DECOY_NM_024044|112971_2_325_815
+CAALTPAVCPGRKMRSPRPACPAAPGQIGRTQSLSRTMLPVQAAGQPRPRPRHLGSPWLCTRRRRSASTRASTQACGACRSRSALGPRHACCTRWCACTSLSPQREECALGWTRWAARPTRTSGLGSLGFPPWPPRSATCSSCWRGPGEGAPGGPGAKKAAGM
+>NM_052882|113014_3_586_710
+MVGGRGSAGKWPCRKKWGHWRADLEAKGCERESRKRSPINQ
+>DECOY_NM_052882|113014_3_586_710
+QNIPSRKRSERECGKAELDARWHGWKKRCPWKGASGRGGVM
+>NM_006985|113110_2_226_371
+MDMMDPRMYSREPGGPTAVDRKELKLSWKTSLLYGDRWKPKFELKSVR
+>DECOY_NM_006985|113110_2_226_371
+RVSKLEFKPKWRDGYLLSTKWSLKLEKRDVATPGGPERSYMRPDMMDM
+>NM_006985|113112_2_235_371
+MDPRMYSREPGGPTAVDRKELKLSWKTSLLYGDRWKPKFELKSVR
+>DECOY_NM_006985|113112_2_235_371
+RVSKLEFKPKWRDGYLLSTKWSLKLEKRDVATPGGPERSYMRPDM
+>NM_018102|113186_3_252_343
+MAATGPCSEAPVQGCDAGELQQLGLCGVSC
+>DECOY_NM_018102|113186_3_252_343
+CSVGCLGLQQLEGADCGQVPAESCPGTAAM
+>NM_001004748|113202_2_130_251
+MAPFFLSSRQSPPCMGPCTIFFPCWLCQTWVCLYHLCPLC
+>DECOY_NM_001004748|113202_2_130_251
+CLPCLHYLCVWTQCLWCPFFITCPGMCPPSQRSSLFFPAM
+>NM_025077|113233_2_1013_1122
+MASTSTSSMPKASPTIRAMTRVMRARASQYGPYSWS
+>DECOY_NM_025077|113233_2_1013_1122
+SWSYPGYQSARARMVRTMARITPSAKPMSSTSTSAM
+>NM_025077|113238_2_1670_1791
+MVLPRSRSVGIASSLKKPSRRWLPMKLGTCLTPSKATKMT
+>DECOY_NM_025077|113238_2_1670_1791
+TMKTAKSPTLCTGLKMPLWRRSPKKLSSAIGVSRSRPLVM
+>NM_001037335|113278_2_1187_1401
+MGTPAPRHTQHRSCRSGSGARRLWSCGGRRPGRTGWCPTRSGCWPSTSAAAVRSLCWQRPLMECVSPATSP
+>DECOY_NM_001037335|113278_2_1187_1401
+PSTAPSVCEMLPRQWCLSRVAAASTSPWCGSRTPCWGTRGPRRGGCSWLRRAGSGSRCSRHQTHRPAPTGM
+>NM_001037335|113279_2_2489_2700
+MGGVSPRYSSMAPLAPARPTRWPWPPWRSSGGLKPRCSSAHTPTVPPTSTSGSISTATSAAATPRPLLSV
+>DECOY_NM_001037335|113279_2_2489_2700
+VSLLPRPTAAASTATSISGSTSTPPVTPTHASSCRPKLGGSSRWPPWPWRTPRAPALPAMSSYRPSVGGM
+>NM_001037335|113296_3_1644_1756
+MGGLRLWPPAGAATKAGAAAGPGASPRTLQESGARPP
+>DECOY_NM_001037335|113296_3_1644_1756
+PPRAGSEQLTRPSAGPGAAAGAKTAAGAPPWLRLGGM
+>NM_024061|113355_3_662_777
+MGIPGPCSEGPLQRSDVRELWERGLTGHTSPPSHHPDS
+>DECOY_NM_024061|113355_3_662_777
+SDPHHSPPSTHGTLGREWLERVDSRQLPGESCPGPIGM
+>NM_003922|113477_2_1598_1683
+MVIMGNWGMEIVQHRNIPSLFRDLYKER
+>DECOY_NM_003922|113477_2_1598_1683
+REKYLDRFLSPINRHQVIEMGWNGMIVM
+>NM_003922|113478_2_1607_1683
+MGNWGMEIVQHRNIPSLFRDLYKER
+>DECOY_NM_003922|113478_2_1607_1683
+REKYLDRFLSPINRHQVIEMGWNGM
+>NM_003922|113479_2_1883_2034
+MGELYGLLEEETMVNLVMVIPTECINLKLLKLYKECSFAKFVLGASLHLL
+>DECOY_NM_003922|113479_2_1883_2034
+LLHLSAGLVFKAFSCEKYLKLLKLNICETPIVMVLNVMTEEELLGYLEGM
+>NM_003922|113480_2_1919_2034
+MVNLVMVIPTECINLKLLKLYKECSFAKFVLGASLHLL
+>DECOY_NM_003922|113480_2_1919_2034
+LLHLSAGLVFKAFSCEKYLKLLKLNICETPIVMVLNVM
+>NM_003922|113481_2_1934_2034
+MVIPTECINLKLLKLYKECSFAKFVLGASLHLL
+>DECOY_NM_003922|113481_2_1934_2034
+LLHLSAGLVFKAFSCEKYLKLLKLNICETPIVM
+>NM_003922|113503_2_7769_7845
+MATTQTVQVLQLFMKTWRCEQPCSS
+>DECOY_NM_003922|113503_2_7769_7845
+SSCPQECRWTKMFLQLVQVTQTTAM
+>NM_003922|113509_2_9188_9279
+MVPMWMAGLAVNVGVEIRTTCYVAPAGRST
+>DECOY_NM_003922|113509_2_9188_9279
+TSRGAPAVYCTTRIEVGVNVALGAMWMPVM
+>NM_003922|113515_2_10493_10650
+MAPSAYGMLPRSNIHCNRPVCSTDWKGMLRKAWDHPVIQVSHQFPGVSVANI
+>DECOY_NM_003922|113515_2_10493_10650
+INAVSVGPFQHSVQIVPHDWAKRLMGKWDTSCVPRNCHINSRPLMGYASPAM
+>NM_003922|113520_2_11333_11448
+MDIGNHQEPSVFISCGDTSLLFGLLPLVLMGWPWCLVD
+>DECOY_NM_003922|113520_2_11333_11448
+DVLCWPWGMLVLPLLGFLLSTDGCSIFVSPEQHNGIDM
+>NM_003922|113525_2_12470_12687
+MVTMVNLAMGTATGSGGPGRSRPYKEKKWCRCLVASSTQQWSLQMANCSPLGMVTMVVWVLEIPLTKNFQRE
+>DECOY_NM_003922|113525_2_12470_12687
+ERQFNKTLPIELVWVVMTVMGLPSCNAMQLSWQQTSSAVLCRCWKKEKYPRSRGPGGSGTATGMALNVMTVM
+>NM_003922|113526_2_12479_12687
+MVNLAMGTATGSGGPGRSRPYKEKKWCRCLVASSTQQWSLQMANCSPLGMVTMVVWVLEIPLTKNFQRE
+>DECOY_NM_003922|113526_2_12479_12687
+ERQFNKTLPIELVWVVMTVMGLPSCNAMQLSWQQTSSAVLCRCWKKEKYPRSRGPGGSGTATGMALNVM
+>NM_003922|113527_2_12494_12687
+MGTATGSGGPGRSRPYKEKKWCRCLVASSTQQWSLQMANCSPLGMVTMVVWVLEIPLTKNFQRE
+>DECOY_NM_003922|113527_2_12494_12687
+ERQFNKTLPIELVWVVMTVMGLPSCNAMQLSWQQTSSAVLCRCWKKEKYPRSRGPGGSGTATGM
+>NM_003922|113543_3_3555_3685
+MACGSRKNNCSPYWAVSWWHASGLPCVSRGTGHCILDENATVQ
+>DECOY_NM_003922|113543_3_3555_3685
+QVTANEDLICHGTGRSVCPLGSAHWWSVAWYPSCNNKRSGCAM
+>NM_015042|113579_2_379_458
+MVPMLEAWLLLLLPRAQRRRLRHPAV
+>DECOY_NM_015042|113579_2_379_458
+VAPHRLRRRQARPLLLLLWAELMPVM
+>NM_015042|113583_2_1099_1349
+MAGVEANACVPTVIHLSMRQPQPLTAKGPVTAAKPGQEPIAKAVGAARILQSTAHLPAALLRMSRPALPQLISGKTNPFQTWS
+>DECOY_NM_015042|113583_2_1099_1349
+SWTQFPNTKGSILQPLAPRSMRLLAAPLHATSQLIRAAGVAKAIPEQGPKAATVPGKATLPQPQRMSLHIVTPVCANAEVGAM
+>NM_015042|113592_2_2491_2576
+MELKPAQSKPTALHTLTSLMLGRMGRAR
+>DECOY_NM_015042|113592_2_2491_2576
+RARGMRGLMLSTLTHLATPKSQAPKLEM
+>NM_015042|113594_2_3544_3749
+MGRKAQVVTASCPRQRSLALGARSPGQVSMCLCPPHLPSTSPTSPTCTAIPTVSPTTPTTPATGACLL
+>DECOY_NM_015042|113594_2_3544_3749
+LLCAGTAPTTPTTPSVTPIATCTPSTPSTSPLHPPCLCMSVQGPSRAGLALSRQRPCSATVVQAKRGM
+>NM_025231|113627_2_623_705
+MVIKLGLRMKSCSRRKICPKTRNSLGR
+>DECOY_NM_025231|113627_2_623_705
+RGLSNRTKPCIKRRSCSKMRLGLKIVM
+>NM_025231|113630_3_396_469
+MGACTPSRDWRGGSDGTGGSGERA
+>DECOY_NM_025231|113630_3_396_469
+AREGSGGTGDSGGRWDRSPTCAGM
+>NM_020832|113686_2_471_667
+MVLGALNLPSQELPTLLLLPVGAPGKKKAWKAKLPWTCLLILALSQGTTQIRCLPLHPLPLGRGL
+>DECOY_NM_020832|113686_2_471_667
+LGRGLPLPHLPLCRIQTTGQSLALILLCTWPLKAKWAKKKGPAGVPLLLLTPLEQSPLNLAGLVM
+>NM_020832|113697_2_3618_3697
+MGRKRPLHQGLTPMVETHPCLLLEAH
+>DECOY_NM_020832|113697_2_3618_3697
+HAELLLCPHTEVMPTLGQHLPRKRGM
+>NM_018835|113727_2_2933_3204
+MDPSSQNGVRFPDLPVQVTIPQILSRPLLPKEVRLSPSVYQIMSLMSMLLIQGGVHMATRPHHQHTMLKGTDSLLLIYLVIESIPVLGTF
+>DECOY_NM_018835|113727_2_2933_3204
+FTGLVPISEIVLYILLLSDTGKLMTHQHHPRTAMHVGGQILLMSMLSMIQYVSPSLRVEKPLLPRSLIQPITVQVPLDPFRVGNQSSPDM
+>NM_018835|113735_3_2952_3037
+MGCDFQIFPYRLPYHRSCPGHCFPRKCD
+>DECOY_NM_018835|113735_3_2952_3037
+DCKRPFCHGPCSRHYPLRYPFIQFDCGM
+>NM_009589|113763_2_407_513
+MDTGPFSGTQAQVDSLRTKPLLQESCSSMAMQPAS
+>DECOY_NM_009589|113763_2_407_513
+SAPQMAMSSCSEQLLPKTRLSDVQAQTGSFPGTDM
+>NM_001112741|113829_2_740_867
+MARKCATTGRPRRRPSLPTSRASVWSGSPSSSSCVSSSAPTR
+>DECOY_NM_001112741|113829_2_740_867
+RTPASSSVCSSSSPSGSWVSARSTPLSPRRRPRGTTACKRAM
+>NM_001112741|113831_2_1262_1362
+METCTRRRGPACWWGLCVRWRACSPSPCPCPSS
+>DECOY_NM_001112741|113831_2_1262_1362
+SSPCPCPSPSCARWRVCLGWWCAPGRRRTCTEM
+>NM_182609|113868_2_416_492
+MASTILTSRKSGKICLSLTACGTMM
+>DECOY_NM_182609|113868_2_416_492
+MMTGCATLSLCIKGSKRSTLITSAM
+>NM_080752|113912_2_1836_2056
+MAVAAAVPFNNGTTCHADTFWLCCTPASSRLVKPWCAAGGRRSTSTSLGPMGSSRIVVWSQTQASLRSKDGTT
+>DECOY_NM_080752|113912_2_1836_2056
+TTGDKSRLSAQTQSWVVIRSSGMPGLSTSTSRRGGAACWPKVLRSSAPTCCLWFTDAHCTTGNNFPVAAAVAM
+>NM_020650|113925_2_1096_1211
+MAMSRWRSTSRICTQPSLGRRSRRGCRRRGSSSGTSGI
+>DECOY_NM_020650|113925_2_1096_1211
+IGSTGSSSGRRRCGRRSRRGLSPQTCIRSTSRWRSMAM
+>NM_020650|113927_2_1219_1337
+MGTWMGVRWATGCCPLPRTSPWWKPTTCCTRATRTRMGG
+>DECOY_NM_020650|113927_2_1219_1337
+GGMRTRTARTCCTTPKWWPSTRPLPCCGTAWRVGMWTGM
+>NM_033114|114000_2_474_556
+MEEQLSSSEGETTLINLSVMNVGKVDT
+>DECOY_NM_033114|114000_2_474_556
+TDVKGVNMVSLNILTTEGESSSLQEEM
+>NM_020861|114103_2_874_1013
+MGAFQSTMPATCVDGASLSGAAYVNTSRSTQEYLSHLANREKVASP
+>DECOY_NM_020861|114103_2_874_1013
+PSAVKERNALHSLYEQTSRSTNVYAAGSLSAGDVCTAPMTSQFAGM
+>NM_153270|114161_2_2232_2317
+MGWPWSRRQRCCWAASSGGTRARCLPAT
+>DECOY_NM_153270|114161_2_2232_2317
+TAPLCRARTGGSSAAWCCRQRRSWPWGM
+>NM_006001|114397_2_131_324
+MEFSPMVRCQVIKPLVVGTTPSTRSSVRLELASTCPEQCLWTWSPLWSMKCAQEPIGSSSTQSS
+>DECOY_NM_006001|114397_2_131_324
+SSQTSSSGIPEQACKMSWLPSWTWLCQEPCTSALELRVSSRTSPTTGVVLPKIVQCRVMPSFEM
+>NM_014631|114430_2_810_940
+MVLGMTPTSTPLRLEKRRSMSPCSLTPAKARTRLALRRASQWR
+>DECOY_NM_014631|114430_2_810_940
+RWQSARRLALRTRAKAPTLSCPSMSRRKELRLPTSTPTMGLVM
+>NM_014631|114466_3_2566_2747
+MGRASHLVHDMQRLPEGPGLGDQLPRGRGGAGAGEAGERVVVCEVWGAGGLGPFPLFGAG
+>DECOY_NM_014631|114466_3_2566_2747
+GAGFLPFPGLGGAGWVECVVVREGAEGAGAGGRGRPLQDGLGPGEPLRQMDHVLHSARGM
+>NM_031486|114517_2_1146_1345
+MEEPLSRSQICSDAREFILEKNLMSTVNVRKTSLRIQTLIYIKKFILEGNTLNVLNVEKLSQGNQH
+>DECOY_NM_031486|114517_2_1146_1345
+HQNGQSLKEVNLVNLTNGELIFKKIYILTQIRLSTKRVNVTSMLNKELIFERADSCIQSRSLPEEM
+>NM_033067|114542_2_104_180
+MASWCPSRDTRANAAGSSASARSAT
+>DECOY_NM_033067|114542_2_104_180
+TASRASASSGAANARTDRSPCWSAM
+>NM_152635|114560_2_867_1042
+MARLVKTLKDATITMVAAATLALDLRKATSVNVPGAWCCLRITTLAKSLCCANQMPLK
+>DECOY_NM_152635|114560_2_867_1042
+KLPMQNACCLSKALTTIRLCCWAGPVNVSTAKRLDLALTAAAVMTITADKLTKVLRAM
+>NM_152635|114561_2_909_1042
+MVAAATLALDLRKATSVNVPGAWCCLRITTLAKSLCCANQMPLK
+>DECOY_NM_152635|114561_2_909_1042
+KLPMQNACCLSKALTTIRLCCWAGPVNVSTAKRLDLALTAAAVM
+>NM_152635|114562_2_1368_1495
+MGSSHSLWRSSRTMSLKSLTGKLCPPSSFVTPSTLALSPWCT
+>DECOY_NM_152635|114562_2_1368_1495
+TCWPSLALTSPTVFSSPPCLKGTLSKLSMTRSSRWLSHSSGM
+>NM_001040440|114580_2_339_460
+MAVLVLQVEFVLFIFRTGSRKLIRLRKQNLYAQQKNLKIK
+>DECOY_NM_001040440|114580_2_339_460
+KIKLNKQQAYLNQKRLRILKRSGTRFIFLVFEVQLVLVAM
+>NM_194314|114690_2_1825_2201
+MEVRIDFTYEYIMMIKDMSAMNVEKHLSVMITLQSTKKYIQVKKLISVKNVENVLVVGIISLFITKAYTLERKCGKNIKQHFINVMFVRKFLKANQVWKCIFERIQVKNHTSVKFAISLLELRKH
+>DECOY_NM_194314|114690_2_1825_2201
+HKRLELLSIAFKVSTHNKVQIREFICKWVQNAKLFKRVFMVNIFHQKINKGCKRELTYAKTIFLSIIGVVLVNEVNKVSILKKVQIYKKTSQLTIMVSLHKEVNMASMDKIMMIYEYTFDIRVEM
+>NM_194314|114707_3_1706_1800
+MDLLYLWKISTRKNYFERTFENPQWRKASPL
+>DECOY_NM_194314|114707_3_1706_1800
+LPSAKRWQPNEFTREFYNKRTSIKWLYLLDM
+>NM_001023561|114834_2_561_676
+MEHTLSKGCTHVQQSMTCTKRSRLERSSPEVMSGGLHL
+>DECOY_NM_001023561|114834_2_561_676
+LHLGGSMVEPSSRELRSRKTCTMSQQVHTCGKSLTHEM
+>NM_001023561|114835_2_807_1054
+MGKPFKVNRMISTPAKVGKTFATNMGCLSTKKPIMGRGLMSSVNVGNCLGTTPTLLNISKIMLEKGLMRALNMERPLLESPT
+>DECOY_NM_001023561|114835_2_807_1054
+TPSELLPREMNLARMLGKELMIKSINLLTPTTGLCNGVNVSSMLGRGMIPKKTSLCGMNTAFTKGVKAPTSIMRNVKFPKGM
+>NM_001023561|114837_2_879_1054
+MGCLSTKKPIMGRGLMSSVNVGNCLGTTPTLLNISKIMLEKGLMRALNMERPLLESPT
+>DECOY_NM_001023561|114837_2_879_1054
+TPSELLPREMNLARMLGKELMIKSINLLTPTTGLCNGVNVSSMLGRGMIPKKTSLCGM
+>NM_001023561|114838_2_909_1054
+MGRGLMSSVNVGNCLGTTPTLLNISKIMLEKGLMRALNMERPLLESPT
+>DECOY_NM_001023561|114838_2_909_1054
+TPSELLPREMNLARMLGKELMIKSINLLTPTTGLCNGVNVSSMLGRGM
+>NM_144966|114906_2_2859_2944
+MAVLCMGSALTLQSSQWTIKFLRRSPTL
+>DECOY_NM_144966|114906_2_2859_2944
+LTPSRRLFKITWQSSQLTLASGMCLVAM
+>NM_144966|114908_2_3147_3262
+MELKFFRMTYSWRSPMAQIQQNLYYMLRYSLSTMSHQS
+>DECOY_NM_144966|114908_2_3147_3262
+SQHSMTSLSYRLMYYLNQQIQAMPSRWSYTMRFFKLEM
+>NM_144966|114911_2_3576_3649
+MDPIHLFHFMRPFQCMISTSRYTQ
+>DECOY_NM_144966|114911_2_3576_3649
+QTYRSTSIMCQFPRMFHFLHIPDM
+>NM_144966|114915_2_4167_4288
+MASSSIGGLAKTSLRISSQPTLTRNMHLFTAFPWNSSRLE
+>DECOY_NM_144966|114915_2_4167_4288
+ELRSSNWPFATFLHMNRTLTPQSSIRLSTKALGGISSSAM
+>NM_144966|114919_2_4707_4825
+MATTGPLLLTVKSPSRTWKKVILSSLQNHSWCLKVIEVS
+>DECOY_NM_144966|114919_2_4707_4825
+SVEIVKLCWSHNQLSSLIVKKWTRSPSKVTLLLPGTTAM
+>NM_144966|114921_2_5244_5404
+MASSTCGGQGYFNTISPSRMWTARMWPIGTQEGTPRLTALLSWPQMGQTKALL
+>DECOY_NM_144966|114921_2_5244_5404
+LLAKTQGMQPWSLLATLRPTGEQTGIPWMRATWMRSPSITNFYGQGGCTSSAM
+>NM_144966|114926_2_6690_6790
+MGSPGKPGVPKPRMWKTNPVQPGGTSTQATVTS
+>DECOY_NM_144966|114926_2_6690_6790
+STVTAQTSTGGPQVPNTKWMRPKPVGPKGPSGM
+>NM_181646|115072_2_578_690
+MGTTGALKESSGDPCARTDLPLRILQKRSPQQRPWKM
+>DECOY_NM_181646|115072_2_578_690
+MKWPRQQPSRKQLIRLPLDTRACPDGSSEKLAGTTGM
+>NM_181646|115077_2_1886_2019
+MATPLFNGLRNFCSLQKQNPVSLMAATHCILILSFLGTQRKTTI
+>DECOY_NM_181646|115077_2_1886_2019
+ITTKRQTGLFSLILICHTAAMLSVPNQKQLSCFNRLGNFLPTAM
+>NM_020715|115161_2_2237_2349
+MATPRWSGALLLGKSSTTIGAMRTSDPWAACLCGMRT
+>DECOY_NM_020715|115161_2_2237_2349
+TRMGCLCAAWPDSTRMAGITTSSKGLLLAGSWRPTAM
+>NM_020715|115168_2_3575_3657
+MGTWRSLPCQALEAHPLPRLSIFSSRS
+>DECOY_NM_020715|115168_2_3575_3657
+SRSSFISLRPLPHAELAQCPLSRWTGM
+>NM_032823|115191_2_320_426
+METDSRNRIALLRKPANQNQTKPANLGCLNPAIFP
+>DECOY_NM_032823|115191_2_320_426
+PFIAPNLCGLNAPKTQNQNAPKRLLAIRNRSDTEM
+>NM_032823|115194_2_512_606
+MVTMTTRNMLLGFLAQSTAVTQGIMGVRIFC
+>DECOY_NM_032823|115194_2_512_606
+CFIRVGMIGQTVATSQALFGLLMNRTTMTVM
+>NM_032823|115207_3_1053_1246
+MAGYSSSSCIFCCFNEWGKFCQTNAALGRVLKLVLLCNYANASLHLHNCSGMLDRNEDGDMVIK
+>DECOY_NM_032823|115207_3_1053_1246
+KIVMDGDENRDLMGSCNHLHLSANAYNCLLVLKLVRGLAANTQCFKGWENFCCFICSSSSYGAM
+>NM_181786|115215_2_837_919
+MALQRHFPAHLKNNSQHSPRKTTQWWI
+>DECOY_NM_181786|115215_2_837_919
+IWWQTTKRPSHQSNNKLHAPFHRQLAM
+>NM_181786|115225_3_784_869
+MDSRGRRLQTPVWESKQKWHFKGTFQPT
+>DECOY_NM_181786|115225_3_784_869
+TPQFTGKFHWKQKSEWVPTQLRRGRSDM
+>NM_014808|115290_2_2815_2927
+MASTGPTPQCTCAGTGTPACPGQTTVQLSRTSFQDIC
+>DECOY_NM_014808|115290_2_2815_2927
+CIDQFSTRSLQVTTQGPCAPTGTGACTCQPTPGTSAM
+>NM_014808|115291_2_2947_3056
+MAGRSSGSSLPTSVCSSTKLIRMTTHWPASRCWATA
+>DECOY_NM_014808|115291_2_2947_3056
+ATAWCRSAPWHTTMRILKTSSCVSTPLSSGSSRGAM
+>NM_001003954|115329_2_185_264
+MESQRPHSLLKRAVLRVLMWIEMPKS
+>DECOY_NM_001003954|115329_2_185_264
+SKPMEIWMLVRLVARKLLSHPRQSEM
+>NM_018651|115356_2_1800_2269
+MGRNPINVMNVQKPLLRVPDSLTTREPILGRNLMNAMSVERHSFEAKVLLDIRSCTLVRNLTNAMSVGEHSVPIEISLTIRESTLGRSLMSVVNVAKPSVGVNVLFDIRASILGKSHTNVVNVGKPSIRTLNSLSMSEFILEKNLLNVASVVRHLV
+>DECOY_NM_018651|115356_2_1800_2269
+VLHRVVSAVNLLNKELIFESMSLSNLTRISPKGVNVVNTHSKGLISARIDFLVNVGVSPKAVNVVSMLSRGLTSERITLSIEIPVSHEGVSMANTLNRVLTCSRIDLLVKAEFSHREVSMANMLNRGLIPERTTLSDPVRLLPKQVNMVNIPNRGM
+>NM_182524|115473_2_547_650
+MEFTSACQLPRAKYFNVIHVLKFLVNFQIQTNIR
+>DECOY_NM_182524|115473_2_547_650
+RINTQIQFNVLFKLVHIVNFYKARPLQCASTFEM
+>NM_015551|115552_2_557_690
+MALRAYNWRLLGFPARAGALTWHLQTSCGEWYRIAPLRCAPLAG
+>DECOY_NM_015551|115552_2_557_690
+GALPACRLPAIRYWEGCSTQLHWTLAGARAPFGLLRWNYARLAM
+>NM_014952|115681_2_1935_2053
+MGRQSESGTPSFSNQAHERPPHLMWPRSLPSGRTPSQES
+>DECOY_NM_014952|115681_2_1935_2053
+SEQSPTRGSPLSRPWMLHPPREHAQNSFSPTGSESQRGM
+>NM_014952|115685_3_916_1085
+MAICNSLWAIRPAISSAPEQGSGEPFGAAPSPAPADGWTGGSEAGAWAPRRGVTCP
+>DECOY_NM_014952|115685_3_916_1085
+PCTVGRRPAWAGAESGGTWGDAPAPSPAAGFPEGSGQEPASSIAPRIAWLSNCIAM
+>NM_023070|115859_3_675_760
+MADGERYFRSSKFRLEEQNKNQRVSLTE
+>DECOY_NM_023070|115859_3_675_760
+ETLSVRQNKNQEELRFKSSRFYREGDAM
+>NM_021998|115881_2_2013_2374
+MVTICHINVSIVPKHLVMRGSFNAIWICFKDIRHTSVLIVTIRAPIQVTLSGTSYLSILRIFLTNVRSVIKVFIVLLSSKSIVISIRVGRFISAGTVTLKHPIHLFLVAISFQFILKISH
+>DECOY_NM_021998|115881_2_2013_2374
+HSIKLIFQFSIAVLFLHIPHKLTVTGASIFRGVRISIVISKSSLLVIFVKIVSRVNTLFIRLISLYSTGSLTVQIPARITVILVSTHRIDKFCIWIANFSGRMVLHKPVISVNIHCITVM
+>NM_018838|115975_2_185_258
+METNTMKTTSNFLAVTDGLYILLK
+>DECOY_NM_018838|115975_2_185_258
+KLLIYLGDTVALFNSTTKMTNTEM
+>NM_173598|116084_2_2444_2613
+MAGYATWHQRSSASCPPTQRRISSPSPSTLTSLPLAQSGMNSTPGNGLSRPNQQRQ
+>DECOY_NM_173598|116084_2_2444_2613
+QRQQNPRSLGNGPTSNMGSQALPLSTLTSPSPSSIRRQTPPCSASSRQHWTAYGAM
+>NM_173598|116116_3_2580_2707
+MAFQDPTSRGNNLANGHRHETQPQPDWHGKRNLGHSSLLLGL
+>DECOY_NM_173598|116116_3_2580_2707
+LGLLLSSHGLNRKGHWDPQPQTEHRHGNALNNGRSTPDQFAM
+>NM_181712|116164_2_978_1093
+MAPLNLQKDWQVSTAPAHEHQLGFQSWSRRELSLQRVW
+>DECOY_NM_181712|116164_2_978_1093
+WVRQLSLERRSWSQFGLQHEHAPATSVQWDKQLNLPAM
+>NM_181712|116167_2_1731_2068
+MASYGGQMVINKGIRAQQNVCFCPSCHCHRDPSRSLPPLYIASSPLNSGLKKQALNRKEALREEPGEQEAFCGAATERLPQQGGRRPVPISQGRSTREGHQARQRMPLLGSM
+>DECOY_NM_181712|116167_2_1731_2068
+MSGLLPMRQRAQHGERTSRGQSIPVPRRGGQQPLRETAAGCFAEQEGPEERLAEKRNLAQKKLGSNLPSSAIYLPPLSRSPDRHCHCSPCFCVNQQARIGKNIVMQGGYSAM
+>NM_181712|116169_2_2115_2188
+MGTRSWPAPSSSQPPSSAASRASC
+>DECOY_NM_181712|116169_2_2115_2188
+CSARSAASSPPQSSSPAPWSRTGM
+>NM_181712|116170_2_2325_2650
+MASVQEVMGPKRTFSLLGLTVGMRPPQVRRPAVRTAPQRTCLTARQRRSVTAQITSMSKMPISPARLGRASLRAPAMLPRKVGLGKKSPTPRPRDINPQKNFLMHAGH
+>DECOY_NM_181712|116170_2_2325_2650
+HGAHMLFNKQPNIDRPRPTPSKKGLGVKRPLMAPARLSARGLRAPSIPMKSMSTIQATVSRRQRATLCTRQPATRVAPRRVQPPRMGVTLGLLSFTRKPGMVEQVSAM
+>NM_181712|116171_2_2346_2650
+MGPKRTFSLLGLTVGMRPPQVRRPAVRTAPQRTCLTARQRRSVTAQITSMSKMPISPARLGRASLRAPAMLPRKVGLGKKSPTPRPRDINPQKNFLMHAGH
+>DECOY_NM_181712|116171_2_2346_2650
+HGAHMLFNKQPNIDRPRPTPSKKGLGVKRPLMAPARLSARGLRAPSIPMKSMSTIQATVSRRQRATLCTRQPATRVAPRRVQPPRMGVTLGLLSFTRKPGM
+>NM_181712|116177_2_3159_3250
+MDPRPSWWPVTMATWTWCGCSWHTQPATAA
+>DECOY_NM_181712|116177_2_3159_3250
+AATAPQTHWSCGCWTWTAMTVPWWSPRPDM
+>NM_198471|116202_2_1531_1709
+MATARTVAPSPRVAPRAPGMTAAGDPTRAPLALPAAGTSGTLSPRRRQSLSRWHRGGAS
+>DECOY_NM_198471|116202_2_1531_1709
+SAGGRHWRSLSQRRRPSLTGSTGAAPLALPARTPDGAATMGPARPAVRPSPAVTRATAM
+>NM_198471|116207_2_2281_2423
+MGAWTPCGCCSPSQAVTLPSWTMRAPVPWPSPWRLSRMRWPLCYMPT
+>DECOY_NM_198471|116207_2_2281_2423
+TPMYCLPWRMRSLRWPSPWPVPARMTWSPLTVAQSPSCCGCPTWAGM
+>NM_145246|116214_2_208_404
+MEAMILILVMMNAVENPAKGKKGQLKMTYCSKNHFRKKNMERWPINKLQQNCWIGKKQEIEGFIS
+>DECOY_NM_145246|116214_2_208_404
+SIFGEIEQKKGIWCNQQLKNIPWREMNKKRFHNKSCYTMKLQGKKGKAPNEVANMMVLILIMAEM
+>NM_145246|116219_2_325_404
+MERWPINKLQQNCWIGKKQEIEGFIS
+>DECOY_NM_145246|116219_2_325_404
+SIFGEIEQKKGIWCNQQLKNIPWREM
+>NM_145246|116221_2_460_572
+MVAKKKTSSVWGKMTRQTWMLYEKIIDSYGMRRTKWT
+>DECOY_NM_145246|116221_2_460_572
+TWKTRRMGYSDIIKEYLMWTQRTMKGWVSSTKKKAVM
+>NM_001099409|116256_3_393_490
+MDPSEPTHLLQGPQLAAGHPEPIPGHRGVDGT
+>DECOY_NM_001099409|116256_3_393_490
+TGDVGRHGPIPEPHGAALQPGQLLHTPESPDM
+>NM_001040429|116280_2_1382_1530
+MGSAPTCSRATITASLDWTLSPAATAPSSQNWSSRRLWTASNRITIRSC
+>DECOY_NM_001040429|116280_2_1382_1530
+CSRITIRNSATWLRRSSWNQSSPATAAPSLTWDLSATITARSCTPASGM
+>NM_022482|116352_2_1930_2078
+MAISTTWLQSKTLYLPCRRTVLLTQPARQMTPWCPRTPSWPPPSVSLAS
+>DECOY_NM_022482|116352_2_1930_2078
+SALSVSPPPWSPTRPCWPTMQRAPQTLLVTRRCPLYLTKSQLWTTSIAM
+>NM_182540|116390_2_1105_1295
+MDLWIHPGQAIHLLLSHGIVVINSFMYDLTLKLVFLLDIGQFQNLFGQIRIYLHYLHEHLILL
+>DECOY_NM_182540|116390_2_1105_1295
+LLILHEHLYHLYIRIQGFLNQFQGIDLLFVLKLTLDYMFSNIVVIGHSLLLHIAQGPHIWLDM
+>NM_182540|116393_2_2389_2468
+MAMRRRWKMVRSHLMASCQNLLHQSL
+>DECOY_NM_182540|116393_2_2389_2468
+LSQHLLNQCSAMLHSRVMKWRRRMAM
+>NM_001013355|116407_3_443_537
+MAQRPHHLPNSVLPHCAAAPLWSSHTGSYFL
+>DECOY_NM_001013355|116407_3_443_537
+LFYSGTHSSWLPAAACHPLVSNPLHHPRQAM
+>NM_138360|116425_2_656_774
+MGYTAVRRFNGMWTPSTMLKITGSSIFWISATWRAETWP
+>DECOY_NM_138360|116425_2_656_774
+PWTEARWTASIWFISSGTIKLMTSPTWMGNFRRVATYGM
+>NM_138360|116436_2_3164_3252
+MGWPPAWMKGWRTSSAEGSWRKVLATPGL
+>DECOY_NM_138360|116436_2_3164_3252
+LGPTALVKRWSGEASSTRWGKMWAPPWGM
+>NM_138360|116438_2_3893_3975
+MGKRRRRGPSSQRGHFQLGMPSYRTPL
+>DECOY_NM_138360|116438_2_3893_3975
+LPTRYSPMGLQFHGRQSSPGRRRRKGM
+>NM_015137|116474_2_1777_1874
+MGNSCIGTYIWVVKRKTTFRKTMNYFILLLLL
+>DECOY_NM_015137|116474_2_1777_1874
+LLLLLIFYNMTKRFTTKRKVVWIYTGICSNGM
+>NM_003130|116507_2_104_177
+MEGLPEGLRFPDKLRIRCMVTLLL
+>DECOY_NM_003130|116507_2_104_177
+LLLTVMCRIRLKDPFRLGEPLGEM
+>NM_001100917|116567_3_382_458
+MDLCCSGCTFSIHHHKERGGSATMA
+>DECOY_NM_001100917|116567_3_382_458
+AMTASGGREKHHHISFTCGSCCLDM
+>NM_138420|116851_2_826_920
+MGTKRDSSPNQGWGEAGRARGRGSLGQNFNP
+>DECOY_NM_138420|116851_2_826_920
+PNFNQGLSGRGRARGAEGWGQNPSSDRKTGM
+>NM_138420|116856_2_1783_1859
+MERKDYRGQGSLRNRTRAGKTQKDR
+>DECOY_NM_138420|116856_2_1783_1859
+RDKQTKGARTRNRLSGQGRYDKREM
+>NM_138420|116883_2_14167_14282
+MVTWGLLLEKLEWIRSLRNCILKCPKFHFLLPKLLKIV
+>DECOY_NM_138420|116883_2_14167_14282
+VIKLLKPLLFHFKPCKLICNRLSRIWELKELLLGWTVM
+>NM_138420|116893_2_17269_17369
+MGQSWKNKNFKKKQSRFLMPEKVSPLKRRKRVN
+>DECOY_NM_138420|116893_2_17269_17369
+NVRKRRKLPSVKEPMLFRSQKKKFNKNKWSQGM
+>NM_138420|116896_3_1892_1983
+MVAKQAHKDRQRKSHRRHRAGKGRRGHSNS
+>DECOY_NM_138420|116896_3_1892_1983
+SNSHGRRGKGARHRRHSKRQRDKHAQKAVM
+>NM_030790|116921_3_769_863
+MGKFGWKLLCQYYIGKTSKYDGGWTVSICRL
+>DECOY_NM_030790|116921_3_769_863
+LRCISVTWGGDYKSTKGIYYQCLLKWGFKGM
+>NM_206893|116984_3_149_267
+MASPQPSPALADKCTPEHDPAQAPGSTPAREVPEEEQPS
+>DECOY_NM_206893|116984_3_149_267
+SPQEEEPVERAPTSGPAQAPDHEPTCKDALAPSPQPSAM
+>NM_173574|117019_2_1067_1380
+MAKSCTSATYVARALGSSPISRSTCVCTVESVHSSVPCARRASLNLPTCRSTTWCTLGSGPTSARCATSASAAPVTSRPTCACTPGPGPSSAVSAGVASPSTST
+>DECOY_NM_173574|117019_2_1067_1380
+TSTSPSAVGASVASSPGPGPTCACTPRSTVPAASASTACRASTPGSGLTCWTTSRCTPLNLSARRACPVSSHVSEVTCVCTSRSIPSSGLARAVYTASTCSKAM
+>NM_024824|117119_2_1087_1217
+MEKRRKKMMITGLEQEASPAVCLCLQSLKGDLLFHLLNKLTRI
+>DECOY_NM_024824|117119_2_1087_1217
+IRTLKNLLHFLLDGKLSQLCLCVAPSAEQELGTIMMKKRRKEM
+>NM_024824|117122_2_1981_2126
+MGMSVPTITPSHPAKPSPIVNLLKNVCLFTQIVNMMQSVLNQIVPSLM
+>DECOY_NM_024824|117122_2_1981_2126
+MLSPVIQNLVSQMMNVIQTFLCVNKLLNVIPSPKAPHSPTITPVSMGM
+>NM_001447|117279_2_713_795
+MGLAAWLHLWFMWSLPSGSPQPLLRWW
+>DECOY_NM_001447|117279_2_713_795
+WWRLLPQPSGSPLSWMFWLHLWAALGM
+>NM_001447|117281_2_815_1158
+MVPPMPLYWSMQIAQELKWSQWKLLVVTLESTSKPSSLMPGAMSSVWCLSKTSTGWSTFMGSTSASRPGVGAALIFIPRSGAFTYHLPNCLPSNSRRLFTECSLVSFPLLAAAW
+>DECOY_NM_001447|117281_2_815_1158
+WAAALLPFSVLSCETFLRRSNSPLCNPLHYTFAGSRPIFILAAGVGPRSASTSGMFTSWGTSTKSLCWVSSMAGPMLSSPKSTSELTVVLLKWQSWKLEQAIQMSWYLPMPPVM
+>NM_001447|117288_2_1472_1587
+MGKMDMSPIPLLDQKLCHFLLTPTWGSSPPPNPWTMNS
+>DECOY_NM_001447|117288_2_1472_1587
+SNMTWPNPPPSSGWTPTLLFHCLKQDLLPIPSMDMKGM
+>NM_001447|117289_2_1481_1587
+MDMSPIPLLDQKLCHFLLTPTWGSSPPPNPWTMNS
+>DECOY_NM_001447|117289_2_1481_1587
+SNMTWPNPPPSSGWTPTLLFHCLKQDLLPIPSMDM
+>NM_001447|117306_2_6254_6420
+MAQSQGMSSFRYLPLMRTWGQMGLLHMNLQKITHISELTPILGTYHSRNPLIIKL
+>DECOY_NM_001447|117306_2_6254_6420
+LKIILPNRSHYTGLIPTLESIHTIKQLNMHLLGMQGWTRMLPLYRFSSMGQSQAM
+>NM_001447|117314_2_6953_7053
+MAQMFPSSSRSMGAQGRCPQFKNWIMKPNNTFM
+>DECOY_NM_001447|117314_2_6953_7053
+MFTNNPKMIWNKFQPCRGQAGMSRSSSPFMQAM
+>NM_001447|117325_2_8006_8190
+MEALLTGTLWCQYDFRWFLKKYPYRNFLNLCILSLHLKTFQRGLKLGLLKQWQLKIQSSTV
+>DECOY_NM_001447|117325_2_8006_8190
+VTSSQIKLQWQKLLGLKLGRQFTKLHLSLICLNLFNRYPYKKLFWRFDYQCWLTGTLLAEM
+>NM_001447|117349_2_10829_10944
+MGPSPRLLGSMCTCGMWGRRLCSRPCGWASTSSPPRSW
+>DECOY_NM_001447|117349_2_10829_10944
+WSRPPSSTSAWGCPRSCLRRGWMGCTCMSGLLRPSPGM
+>NM_001447|117351_2_11327_11412
+MVLLQGSVVRAMCGTGPQRLGTGTSISI
+>DECOY_NM_001447|117351_2_11327_11412
+ISISTGTGLRQPGTGCMARVVSGQLLVM
+>NM_001447|117366_3_10497_10642
+MVSASDPGVRQWHPSPLVFDVCPCPCHRAEPLCTFCSPTGDLHHCWRG
+>DECOY_NM_001447|117366_3_10497_10642
+GRWCHHLDGTPSCFTCLPEARHCPCPCVDFVLPSPHWQRVGPDSASVM
+>NM_212554|117435_2_305_402
+MVFSWLNLQNLVSLILLELITLLLQFSFLEVL
+>DECOY_NM_212554|117435_2_305_402
+LVELFSFQLLLTILELLILSVLNQLNLWSFVM
+>NM_001080442|117475_3_995_1098
+MGAQRPGRPLRAVGPDAADHPVGHRDARHGAVYA
+>DECOY_NM_001080442|117475_3_995_1098
+AYVAGHRADRHGVPHDAADPGVARLPRGPRQAGM
+>NM_134266|117529_3_1558_1703
+MGQYICIYNMLCCQCGTAVWCCLYHSYSDRTLPKSNDCKYKKYERNGI
+>DECOY_NM_134266|117529_3_1558_1703
+IGNREYKKYKCDNSKPLTRDSYSHYLCCWVATGCQCCLMNYICIYQGM
+>NM_001013732|117739_2_944_1020
+MELKECLSFCPDGGEPKRTCPSKTG
+>DECOY_NM_001013732|117739_2_944_1020
+GTKSPCTRKPEGGDPCFSLCEKLEM
+>NM_001013732|117740_2_1316_1422
+MGINRRPIMRRTPTSTTSFSTSSVNIIMNGLPIYM
+>DECOY_NM_001013732|117740_2_1316_1422
+MYIPLGNMIINVSSTSFSTTSTPTRRMIPRRNIGM
+>NM_032814|117823_2_585_700
+MAATAGAPCCSTWVGTTGGTRRREATSSLGRPPPPCPS
+>DECOY_NM_032814|117823_2_585_700
+SPCPPPPRGLSSTAERRRTGGTTGVWTSCCPAGATAAM
+>NM_032814|117824_2_1335_1417
+MESEPPGSSAQKLVTSAPSVRPSSESL
+>DECOY_NM_032814|117824_2_1335_1417
+LSESSPRVSPASTVLKQASSGPPESEM
+>NM_032814|117827_3_1012_1202
+MDCGDRRLCSEVHHHRPQVPHRGPAQDHPGCQVQGKVLSGHRGAEPAVPIPCPHPAVVQIHHG
+>DECOY_NM_032814|117827_3_1012_1202
+GHHIQVVAPHPCPIPVAPEAGRHGSLVKGQVQCGPHDQAPGRHPVQPRHHHVESCLRRDGCDM
+>NM_004704|117848_2_949_1034
+MGLYVCGRSPRSPSLSSMATRAPSTAST
+>DECOY_NM_004704|117848_2_949_1034
+TSATSPARTAMSSLSPSRPSRGCVYLGM
+>NM_004704|117850_2_1069_1358
+MALWPCGVSPRSDHLPCSVKLTGCGESQAWSSPSGYRRWQPSSTQTLWPQAPTAPVCGFGSVGKASGSLTFSVTSPWWVLSTASSSPALGTSWWLG
+>DECOY_NM_004704|117850_2_1069_1358
+GLWWSTGLAPSSSATSLVWWPSTVSFTLSGSAKGVSGFGCVPATPAQPWLTQTSSPQWRRYGSPSSWAQSEGCGTLKVSCPLHDSRPSVGCPWLAM
+>NM_001114974|117885_2_533_624
+MGTSRGQVQAMDPLRLPKTSQHQIPPGLVL
+>DECOY_NM_001114974|117885_2_533_624
+LVLGPPIQHQSTKPLRLPDMAQVQGRSTGM
+>NM_152519|117946_2_551_645
+MAATFSSVKSVFLILKSSSKRSLYQIPRASA
+>DECOY_NM_152519|117946_2_551_645
+ASARPIQYLSRKSSSKLILFVSKVSSFTAAM
+>NM_152519|117951_2_1139_1326
+MALHGVQLRKSKDLHFLLQGCCLMLKRVWIPMQLIAALMTIWMNIPLEKMWQLTVVLNGSGL
+>DECOY_NM_152519|117951_2_1139_1326
+LGSGNLVVTLQWMKELPINMWITMLAAILQMPIWVRKLMLCCGQLLFHLDKSKRLQVGHLAM
+>NM_001007525|118083_2_3106_3191
+MASWPTSSQGPGARVPRSSSLCHLWGNH
+>DECOY_NM_001007525|118083_2_3106_3191
+HNGWLHCLSSSRPVRAGPGQSSTPWSAM
+>NM_001007525|118085_2_3922_4121
+MEAQKIPLNLRSGTFMWMRHTKLCIQHLAQRSMLGIWKLQSRYSISWEMPLILGCAWPCWPPRPHC
+>DECOY_NM_001007525|118085_2_3922_4121
+CHPRPPWCPWACGLILPMEWSISYRSQLKWIGLMSRQALHQICLKTHRMWMFTGSRLNLPIKQAEM
+>NM_001007525|118089_2_4135_4334
+MVWSVCGAQLRENFRGSNICPASKKKHLPVPSQSRSKESLLPGLAMAPSLWFPPKGTDCWRSFQML
+>DECOY_NM_001007525|118089_2_4135_4334
+LMQFSRWCDTGKPPFWLSPAMALGPLLSEKSRSQSPVPLHKKKSAPCINSGRFNERLQAGCVSWVM
+>NM_001007525|118093_2_4741_4925
+MEATSTSPKLGTKTKSLFGTWQKARNKIPWTPPVRSGVWRLLSSASSYLRASCRGSSLCSP
+>DECOY_NM_001007525|118093_2_4741_4925
+PSCLSSGRCSARLYSSASSLLRWVGSRVPPTWPIKNRAKQWTGFLSKTKTGLKPSTSTAEM
+>NM_001007525|118102_3_2708_3069
+MGLFHRACPAGHHRRGSHAPTLHPPGADTRAAPRGPRAGLHCVFPTRSLGGGAEGCFVPGRRGPAGCVPRLDPAQQGAAALPAPAVGAASSGSGILLGPAARGWLHPPGHCPQTAGRGGP
+>DECOY_NM_001007525|118102_3_2708_3069
+PGGRGATQPCHGPPHLWGRAAPGLLIGSGSSAAGVAPAPLAAAGQQAPDLRPVCGAPGRRGPVFCGEAGGGLSRTPFVCHLGARPGRPAARTDAGPPHLTPAHSGRRHHGAPCARHFLGM
+>NM_001007525|118104_3_3698_3945
+MGCGGEAAGDWHPGWHHGCVGHGRAACDPHANWTHRRGEVCENICQRDPRQLCFKGLHAALVELTLWPGEIYHLGWRLKKSR
+>DECOY_NM_001007525|118104_3_3698_3945
+RSKKLRWGLHYIEGPWLTLEVLAAHLGKFCLQRPDRQCINECVEGRRHTWNAHPDCAARGHGVCGHHWGPHWDGAAEGGCGM
+>NM_001012984|118210_2_464_690
+MVQRESLSSLSASRAFVPILRLKLSCHPSCRCRRRSQRTARVSPHHLPNSTKKPRSARAWGLPCSTLWPAWCLHP
+>DECOY_NM_001012984|118210_2_464_690
+PHLCWAPWLTSCPLGWARASRPKKTSNPLHHPSVRATRQSRRRCRCSPHCSLKLRLIPVFARSASLSSLSERQVM
+>NM_152315|118244_2_472_656
+MAPTWSASLCSGRARSPCLCCSSTPVKGRRLSGGQGTKAMIKLFSKANLLMAPLMSSLNVA
+>DECOY_NM_152315|118244_2_472_656
+AVNLSSMLPAMLLNAKSFLKIMAKTGQGGSLRRGKVPTSSCCLCPSRARGSCLSASWTPAM
+>NM_001010903|118385_2_1211_1440
+MAWKNLKSRRPHLQRLGLQVPPCFPPHQRARNLERSFRWTEPRNTKNSFRRSFPCSKMQKNSKERSNLKSSRKRWV
+>DECOY_NM_001010903|118385_2_1211_1440
+VWRKRSSKLNSREKSNKQMKSCPFSRRFSNKTNRPETWRFSRELNRARQHPPFCPPVQLGLRQLHPRRSKLNKWAM
+>NM_015473|118432_2_4170_4285
+MGLLKRKLCQSLERERTPEDLCLESLWSLQHWNCVYAF
+>DECOY_NM_015473|118432_2_4170_4285
+FAYVCNWHQLSWLSELCLDEPTRERELSQCLKRKLLGM
+>NM_015473|118433_2_4368_4501
+MEVDWFQLHWLSFPNFLQCALLKEASQFSLLYCTSQSGSSERLL
+>DECOY_NM_015473|118433_2_4368_4501
+LLRESSGSQSTCYLLSFQSAEKLLACQLFNPFSLWHLQFWDVEM
+>NM_001098807|118469_3_11_102
+MVGQGLGVTQQGAVCVSWGDQCLPGCREGL
+>DECOY_NM_001098807|118469_3_11_102
+LGERCGPLCQDGWSVCVAGQQTVGLGQGVM
+>NM_001077416|118476_2_460_542
+MGRRTCYILSWSFPCSPRSTFSVCSSS
+>DECOY_NM_001077416|118476_2_460_542
+SSSCVSFTSRPSCPFSWSLIYCTRRGM
+>NM_017558|118566_2_1939_2027
+MALAIKAFHIVSSMWTTKDHLGPRKKYPQ
+>DECOY_NM_017558|118566_2_1939_2027
+QPYKKRPGLHDKTTWMSSVIHFAKIALAM
+>NM_021269|118587_2_505_593
+MENVDIRKAVKVWMSISCTKEVTRDLTGV
+>DECOY_NM_021269|118587_2_505_593
+VGTLDRTVEKTCSISMWVKVAKRIDVNEM
+>NM_025160|118608_2_1411_1490
+MERLFWHQIHTSEFGAITSRTLQIGT
+>DECOY_NM_025160|118608_2_1411_1490
+TGIQLTRSTIAGFESTHIQHWFLREM
+>NM_146387|118756_2_566_654
+MAASALRSGEEEVLPMAPGAPQVTTTCCP
+>DECOY_NM_146387|118756_2_566_654
+PCCTTTVQPAGPAMPLVEEEGSRLASAAM
+>NM_022760|118793_2_942_1183
+MVAAQWRATGRTWSGCLCAWTKYCQTPACWCGTWRCPSGNVSLGVSSCQSSSPWQAPCGGMWLKGTSTVLRWPGTTALMS
+>DECOY_NM_022760|118793_2_942_1183
+SMLATTGPWRLVTSTGKLWMGGCPAQWPSSSQCSSVGLSVNGSPCRWTGCWCAPTQCYKTWACLCGSWTRGTARWQAAVM
+>NM_181514|118802_3_522_619
+MAKNHYEIQEKEKLQEEKNRHDPADCPPDKQH
+>DECOY_NM_181514|118802_3_522_619
+HQKDPPCDAPDHRNKEEQLKEKEQIEYHNKAM
+>NM_032111|118822_2_319_416
+MEWARWATRYYWPSRDRRKRRSLWGTACLAPE
+>DECOY_NM_032111|118822_2_319_416
+EPALCATGWLSRRKRRDRSPWYYRTAWRAWEM
+>NM_014828|118850_2_659_954
+MVLRISGGNFPARRQSWWKQGKSRRPQRREKRKILMNLRNQFQHMLYSFVIHRLPSRDRILMPLLVRFQKLWPPCGIVLERSKNRYIRGKLRLPRKSI
+>DECOY_NM_014828|118850_2_659_954
+ISKRPLRLKGRIYRNKSRELVIGCPPWLKQFRVLLPMLIRDRSPLRHIVFSYLMHQFQNRLNMLIKRKERRQPRRSKGQKWWSQRRAPFNGGSIRLVM
+>NM_015124|118914_2_496_626
+MEQSAGARGCPRACRSGSTSGSGSTWRTSGSSPRRTLWRQRNP
+>DECOY_NM_015124|118914_2_496_626
+PNRQRWLTRRPSSGSTRWTSGSGSTSGSRCARPCGRAGASQEM
+>NM_015124|118917_2_1432_1577
+MAGAAASSTGTGRCPRTTSGTGCSTSRRITCASKAPNLGPQRGTKSSS
+>DECOY_NM_015124|118917_2_1432_1577
+SSSKTGRQPGLNPAKSACTIRRSTSCGTGSTTRPCRGTGTSSAAAGAM
+>NM_020828|118937_2_222_379
+MASHPKASEERPLRGLGTELCLPGTLLFPRRETRSWRLWGQELNLKPCPRAW
+>DECOY_NM_020828|118937_2_222_379
+WARPCPKLNLEQGWLRWSRTERRPFLLTGPLCLETGLGRLPREESAKPHSAM
+>NM_020828|118943_2_1701_1894
+MVPPLLYIKGFIPEKNHMNVMFAEKPSAIMHHSLNIKEYILEKSLLSVKSAEKLLGRIYTLPVI
+>DECOY_NM_020828|118943_2_1701_1894
+IVPLTYIRGLLKEASKVSLLSKELIYEKINLSHHMIASPKEAFMVNMHNKEPIFGKIYLLPPVM
+>NM_001080519|118992_2_427_896
+METATFSMGSTVSMEPKKITSTCATCRPSPRCCRPTTTSPAWPGPPLPTPWAPAAGIETGVRQAPCRRAPRTSTASSWAKSWAERRRARPLRARSGQRQRRTVARSGTSWCCPCQPTGTAGRAAPHPEGPARAAPSTSPPASSTPRCSTARWAGLR
+>DECOY_NM_001080519|118992_2_427_896
+RLGAWRATSCRPTSSAPPSTSPAARAPGEPHPAARGATGTPQCPCCWSTGSRAVTRRQRQGSRARLPRARRREAWSKAWSSATSTRPARRCPAQRVGTEIGAAPAWPTPLPPGPWAPSTTTPRCCRPSPRCTACTSTIKKPEMSVTSGMSFTATEM
+>NM_001080519|118998_2_3115_3452
+MGRVSSPRPTSSHPNQTCLPDTCAPWLAWASPYPQTCTLLTSRTLKLCKPPPRGPSLSPQGHSCLGSRLPAAPGAWRSPGCSQGPGRPPRTLPPPPTLPSGDPRGRQRTPAH
+>DECOY_NM_001080519|118998_2_3115_3452
+HAPTRQRGRPDGSPLTPPPPLTRPPRGPGQSCGPSRWAGPAAPLRSGLCSHGQPSLSPGRPPPKCLKLTRSTLLTCTQPYPSAWALWPACTDPLCTQNPHSSTPRPSSVRGM
+>NM_001080519|119002_2_4429_4643
+MARKSRRCGQAWVCCVRSCEEAVGASLRRSEASWRGASMRACRLPPWRRRSVRRAAVRAGWRPPWPTGWPS
+>DECOY_NM_001080519|119002_2_4429_4643
+SPWGTPWPPRWGARVAARRVSRRRWPPLRCARMSAGRWSAESRRLSAGVAEECSRVCCVWAQGCRRSKRAM
+>NM_001080519|119004_2_4861_5039
+MAMTVRTARVSWGQRHHPGKQGCCCTPGPVWPCWGPHPPLWSRWRPTRRPRRRRRGRGC
+>DECOY_NM_001080519|119004_2_4861_5039
+CGRGRRRRRPRRTPRWRSWLPPHPGWCPWVPGPTCCCGQKGPHHRQGWSVRATRVTMAM
+>NM_001080519|119007_2_5959_6050
+MGIQATSPSPTSGCCPLTSRSSAQSPLQPC
+>DECOY_NM_001080519|119007_2_5959_6050
+CPQLPSQASSRSTLPCCGSTPSPSTAQIGM
+>NM_001010895|119118_2_560_636
+MASHLQSSYMQIFKKGKYLLNSFKK
+>DECOY_NM_001010895|119118_2_560_636
+KKFSNLLYKGKKFIQMYSSQLHSAM
+>NM_022753|119191_2_463_554
+MVGMLRREKEGVKFYLILPERKIHRTAWDQ
+>DECOY_NM_022753|119191_2_463_554
+QDWATRHIKREPLILYFKVGEKERRLMGVM
+>NM_022753|119194_2_784_1031
+MVLAQMKANFVLNLKGSAPITLPGMGPSSLLQTITFNRLSLIKICLTVRTLRLYSLGSQTIQRLLIWSYPAEMVVHTSQVVK
+>DECOY_NM_022753|119194_2_784_1031
+KVVQSTHVVMEAPYSWILLRQITQSGLSYLRLTRVTLCIKILSLRNFTITQLLSSPGMGPLTIPASGKLNLVFNAKMQALVM
+>NM_022753|119196_2_856_1031
+MGPSSLLQTITFNRLSLIKICLTVRTLRLYSLGSQTIQRLLIWSYPAEMVVHTSQVVK
+>DECOY_NM_022753|119196_2_856_1031
+KVVQSTHVVMEAPYSWILLRQITQSGLSYLRLTRVTLCIKILSLRNFTITQLLSSPGM
+>NM_022753|119201_3_1316_1401
+MAASFGPHHAKLRPPTETSAKIQSDSVG
+>DECOY_NM_022753|119201_3_1316_1401
+GVSDSQIKASTETPPRLKAHHPGFSAAM
+>NM_001098800|119297_2_188_375
+MAPSPALTSISSEPSEAWVQAFASYRMSPGNWKTLCWPRPWWRHCSWIRKHLPMRRPPVLPT
+>DECOY_NM_001098800|119297_2_188_375
+TPLVPPRRMPLHKRIWSCHRWWPRPWCLTKWNGPSMRYSAFAQVWAESPESSISTLAPSPAM
+>NM_000293|119336_2_1058_1167
+MGIEHHWKIPTDATTSQLKLSYLMALNVNFPYFSFI
+>DECOY_NM_000293|119336_2_1058_1167
+IFSFYPFNVNLAMLYSLKLQSTTADTPIKWHHEIGM
+>NM_000293|119338_2_1361_1470
+MENCFFGDKHFISSQNSWLMNLLVLKTLILSSAMSH
+>DECOY_NM_000293|119338_2_1361_1470
+HSMASSLILTKLVLLNMLWSNQSSIFHKDGFFCNEM
+>NM_001007253|119369_2_1632_1705
+MAQPPGQKMECGDTAPQFTCLTAL
+>DECOY_NM_001007253|119369_2_1632_1705
+LATLCTFQPATDGCEMKQGPPQAM
+>NM_004189|119408_3_98_225
+MVPGPAAQDGPGKPQDAQLGDQQTPRCRMEASVRGREAAIHR
+>DECOY_NM_004189|119408_3_98_225
+RHIAAERGRVSAEMRCRPTQQDGLQADQPKGPGDQAAPGPVM
+>NM_016247|119436_2_1209_1321
+MALWNWMINPLLFIQSVTSEIILLRHCSRIFCWGTLP
+>DECOY_NM_016247|119436_2_1209_1321
+PLTGWCFIRSCHRLLIIESTVSQIFLLPNIMWNWLAM
+>NM_016247|119443_2_2778_2872
+MARLVVMWKCQQVFTPQRWLVWLGPQKEEMT
+>DECOY_NM_016247|119443_2_2778_2872
+TMEEKQPGLWVLWRQPTFVQQCKWMVVLRAM
+>NM_016247|119448_2_3393_3502
+MESVTLCLGTGPFVGAGWVRTGGTEASTVRNLCLSP
+>DECOY_NM_016247|119448_2_3393_3502
+PSLCLNRVTSAETGGTRVWGAGVFPGTGLCLTVSEM
+>NM_005686|119496_2_836_918
+MGPQNPRDQECRRLPLEARRSWTSTEI
+>DECOY_NM_005686|119496_2_836_918
+IETSTWSRRAELPLRRCEQDRPNQPGM
+>NM_005686|119497_2_1568_1809
+MEAPRGTCSPAPRACLWASLVKGTLSPKPSRMLGSCCTATVGPWMAPPTPPSVRTSSAWTHPQPRSGWRTAVCTHWRKPC
+>DECOY_NM_005686|119497_2_1568_1809
+CPKRWHTCVATRWGSRPQPHTWASSTRVSPPTPPAMWPGVTATCCSGLMRSPKPSLTGKVLSAWLCARPAPSCTGRPAEM
+>NM_006589|119530_2_1411_1565
+MAPWTAQCPCTLPIAPLLMRQSWDYEETARPLSLTLSFTMARASVNEWPPL
+>DECOY_NM_006589|119530_2_1411_1565
+LPPWENVSARAMTFSLTLSLPRATEEYDWSQRMLLPAIPLTCPCQATWPAM
+>NM_004750|119550_2_726_892
+MARTTHVRSTTQWGPTPATSPRTWLSLRPMRSGWRPPTAWALPAPMYSRWISWMW
+>DECOY_NM_004750|119550_2_726_892
+WMWSIWRSYMPAPLAWATPPRWGSRMPRLSLWTRPSTAPTPGWQTTSRVHTTRAM
+>NM_005995|119571_2_629_771
+MATSFSTLCTATSPVSTWSSWTHARTVSAMPRRTSSPSSSQRPSSQQ
+>DECOY_NM_005995|119571_2_629_771
+QQSSPRQSSSPSSTRRPMASVTRAHTWSSWTSVPSTATCLTSFSTAM
+>NM_024933|119631_3_563_720
+MAAILSEPEPQGNPHRRQGLHCHPLRRPMGQACMPAGPGRGVQVSRGPADQQ
+>DECOY_NM_024933|119631_3_563_720
+QQDAPGRSVQVGRGPGAPMCAQGMPRRLPHCHLGQRRHPNGQPEPESLIAAM
+>NM_024933|119633_3_959_1032
+MEPPCLCPVLEGCHVEKGQEGLCP
+>DECOY_NM_024933|119633_3_959_1032
+PCLGEQGKEVHCGELVPCLCPPEM
+>NM_006011|119822_2_1164_1246
+MATPPRPARIPCPWSLRPSRAYMSRGL
+>DECOY_NM_006011|119822_2_1164_1246
+LGRSMYARSPRLSWPCPIRAPRPPTAM
+>NM_033125|119896_2_341_489
+MVRSGSSQGVAGIRGRTHRVWAMNTLAVRKSFLVWMATYMTRTHGKALR
+>DECOY_NM_033125|119896_2_341_489
+RLAKGHTRTMYTAMWVLFSKRVALTNMAWVRHTRGRIGAVGQSSGSRVM
+>NM_033125|119900_3_519_595
+MACNADPAPIYVWSPTGIGDFWLLF
+>DECOY_NM_033125|119900_3_519_595
+FLLWFDGIGTPSWVYIPAPDANCAM
+>NM_033125|119901_3_786_970
+MGVCPFAFLFCSWNPAGGFDRILGQDLVALPDDPLHSDCPLYPVLLGAPRDTFLASLRGTI
+>DECOY_NM_033125|119901_3_786_970
+ITGRLSALFTDRPAGLLVPYLPCDSHLPDDPLAVLDQGLIRDFGGAPNWSCFLFAFPCVGM
+>NM_145894|119906_2_634_845
+MVCIPGESRATWCVQAASRGRMPARVILGAPWCVGESFKVWCPGGLWGPVDKMASLESTPIFASMWTGSG
+>DECOY_NM_145894|119906_2_634_845
+GSGTWMSAFIPTSELSAMKDVPGWLGGPCWVKFSEGVCWPAGLIVRAPMRGRSAAQVCWTARSEGPICVM
+>NM_153676|120009_2_1343_1416
+MANSQPSGRKEKIRRKPSMAACRT
+>DECOY_NM_153676|120009_2_1343_1416
+TRCAAMSPKRRIKEKRGSPQSNAM
+>NM_002217|120066_2_1366_1451
+MGLPGAFMRTLMPICSCRASMRRWPTHC
+>DECOY_NM_002217|120066_2_1366_1451
+CHTPWRRMSARCSCIPMLTRMFAGPLGM
+>NM_016573|120094_2_1543_1685
+MGWAAPSGSGHCPARLRPTSCGDCGAQPSAASAKPSWSAGRSVRSAF
+>DECOY_NM_016573|120094_2_1543_1685
+FASRVSRGASWSPKASAASPQAGCDGCSTPRLRAPCHGSGSPAAWGM
+>NM_016573|120096_2_1927_2018
+MAERWWSCRGTRLMTSRVSSSDFFRSSPSP
+>DECOY_NM_016573|120096_2_1927_2018
+PSPSSRFFDSSSVRSTMLRTGRCSWWREAM
+>NM_016573|120098_2_2641_2726
+MGEGKCPAKAQRTHSWGHSLVATSAASQ
+>DECOY_NM_016573|120098_2_2641_2726
+QSAASTAVLSHGWSHTRQAKAPCKGEGM
+>NM_001615|120127_2_159_253
+MALACARQASQEMMPPGLSSPPLWAALATRV
+>DECOY_NM_001615|120127_2_159_253
+VRTALAAWLPPSSLGPPMMEQSAQRACALAM
+>NM_001615|120130_2_594_694
+MASPTMSPSMKAMPCPMPSCAWTWLAVTSRTTS
+>DECOY_NM_001615|120130_2_594_694
+STTRSTVALWTWACSPMPCPMAKMSPSMTPSAM
+>NM_018943|120174_2_554_639
+MARNPSWSLPSTQPPRSLLQWWSPTTPS
+>DECOY_NM_018943|120174_2_554_639
+SPTTPSWWQLLSRPPQTSPLSWSPNRAM
+>NM_024007|120211_2_1020_1141
+MGGGLGGLTPRKVRPLIWNMLLPVSKPSARVKDGRREVRL
+>DECOY_NM_024007|120211_2_1020_1141
+LRVERRGDKVRASPKSVPLLMNWILPRVKRPTLGGLGGGM
+>NM_012276|120265_3_1094_1179
+MGPDVHFPSDQGGGSPSPVASEINVRSS
+>DECOY_NM_012276|120265_3_1094_1179
+SSRVNIESAVPSPSGGGQDSPFHVDPGM
+>NM_003984|120315_2_644_729
+MGRPSLSRLPLRRGGHISARSISTSPSA
+>DECOY_NM_003984|120315_2_644_729
+ASPSTSISRASIHGGRRLPLRSLSPRGM
+>NM_001850|120346_2_336_514
+MGSSRCHLKFLLRCHHKFHNTSPWVSKYLTCLWPKMALPWARRCPTCSMAKSIHTYPNI
+>DECOY_NM_001850|120346_2_336_514
+INPYTHISKAMSCTPCRRAWPLAMKPWLCTLYKSVWPSTNHFKHHCRLLFKLHCRSSGM
+>NM_001850|120347_2_441_514
+MALPWARRCPTCSMAKSIHTYPNI
+>DECOY_NM_001850|120347_2_441_514
+INPYTHISKAMSCTPCRRAWPLAM
+>NM_001850|120348_2_663_1003
+MGYLELKENQGHRDIQELESQVCLECQGSQEPWACLGQKEKLDRKGKLGLWGSQDHKDLQGLMDFLALGSQVGQGYQGNQDQRVIEDPKDYQDLKAFGVLKETRASGCQVRQV
+>DECOY_NM_001850|120348_2_663_1003
+VQRVQCGSARTEKLVGFAKLDQYDKPDEIVRQDQNGQYGQGVQSGLALFDMLGQLDKHDQSGWLGLKGKRDLKEKQGLCAWPEQSGQCELCVQSELEQIDRHGQNEKLELYGM
+>NM_001850|120350_2_1206_1384
+MGSQASQDFQVAKGSKDCQGYQDPQAFQGLGNQASQDPKVTGAWEVFLGLLDQEGRKDQ
+>DECOY_NM_001850|120350_2_1206_1384
+QDKRGEQDLLGLFVEWAGTVKPDQSAQNGLGQFAQPDQYGQCDKSGKAVQFDQSAQSGM
+>NM_020633|120368_2_613_689
+MDTVLTKHQRDLAHYMQSYIFPLIL
+>DECOY_NM_020633|120368_2_613_689
+LILPFIYSQMYHALDRQHKTLVTDM
+>NM_006187|120397_2_1495_1598
+MAVMLNSSSSSTASRTTRTRGPAAQRSLMRCERS
+>DECOY_NM_006187|120397_2_1495_1598
+SRECRMLSRQAAPGRTRTTRSATSSSSSNLMVAM
+>NM_024101|120477_2_729_1195
+MENLAQRPRPRPSPLAAKKSASSPSTTSTSRETQMTPLSLKVTPCTCPQSLRPGTAHSPSQMSPAQRRQPLTRLRAWRRLILGPLGATPIRKSSRPASHLPDTAPWLSSARLEAPTGWPWGLLLHSGRMSSGMSSCPCSTWPMWTPLMRKASGLT
+>DECOY_NM_024101|120477_2_729_1195
+TLGSAKRMLPTWMPWTSCPCSSMGSSMRGSHLLLGWPWGTPAELRASSLWPATDPLHSAPRSSKRIPTAGLPGLILRRWARLRTLPQRRQAPSMQSPSHATGPRLSQPCTCPTVKLSLPTMQTERSTSTTSPSSASKKAALPSPRPRPRQALNEM
+>NM_023010|120589_3_781_869
+MERRRETKKERYRKAKEDRQNSRKGQIKG
+>DECOY_NM_023010|120589_3_781_869
+GKIQGKRSNQRDEKAKRYREKKTERRREM
+>NM_001005180|120627_2_481_659
+MAYLSLQCLCLQHSVIIAPRMKLNTACALTLGSQAWLVMTGGQTAFASWFWHGLEWGVI
+>DECOY_NM_001005180|120627_2_481_659
+IVGWELGHWFWSAFATQGGTMVLWAQSGLTLACATNLKMRPAIIVSHQLCLCQLSLYAM
+>NM_001004726|120643_3_437_531
+MGRGPAAFCWANLPDFPAPVLWPQHHGPLLL
+>DECOY_NM_001004726|120643_3_437_531
+LLLPGHHQPWLVPAPFDPLNAWCFAAPGRGM
+>NM_003594|120659_2_81_193
+MARIKERASTCAGQTRAASCGPPTFLFPIAYCMRTLW
+>DECOY_NM_003594|120659_2_81_193
+WLTRMCYAIPFLFTPPGCSAARTQGACTSAREKIRAM
+>NM_003594|120661_2_909_1153
+MALAYRPPRKACLRGISKSGRRPTVCLLLEDQRLRLHQQHQGFPWVRAVKLPQAVTTRRKMMLFLFPLSLGAPYSLTRLWT
+>DECOY_NM_003594|120661_2_909_1153
+TWLRTLSYPAGLSLPFLFLMMKRRTTVAQPLKVARVWPFGQHQQHLRLRQDELLLCVTPRRGSKSIGRLCAKRPPRYALAM
+>NM_001510|120701_2_1426_1532
+MEAIPMSTLKSLEPTMEKSLAEVFENLVAGILSQV
+>DECOY_NM_001510|120701_2_1426_1532
+VQSLIGAVLNEFVEALSKEMTPELSKLTSMPIAEM
+>NM_001510|120705_2_2458_2657
+MEIMLSYGMQLYWNMWLSMTQIVPFTPLEILLLIGDMELHYNMAVLTEMFFHKGSWSFSRMVTWTS
+>DECOY_NM_001510|120705_2_2458_2657
+STWTVMRSFSWSGKHFFMETLVAMNYHLEMDGILLLIELPTFPVIQTMSLWMNWYLQMGYSLMIEM
+>NM_001510|120710_2_2566_2657
+MELHYNMAVLTEMFFHKGSWSFSRMVTWTS
+>DECOY_NM_001510|120710_2_2566_2657
+STWTVMRSFSWSGKHFFMETLVAMNYHLEM
+>NM_001510|120711_2_2584_2657
+MAVLTEMFFHKGSWSFSRMVTWTS
+>DECOY_NM_001510|120711_2_2584_2657
+STWTVMRSFSWSGKHFFMETLVAM
+>NM_005078|120736_2_1840_1955
+METRVMIWWWMFPMRTPQRPGSARHTPLLKMGWTRPVA
+>DECOY_NM_005078|120736_2_1840_1955
+AVPRTWGMKLLPTHRASGPRQPTRMPFMWWWIMVRTEM
+>NM_005078|120744_2_2908_2990
+MGTLLSGTCTTRPWSGSSRATQMGPAA
+>DECOY_NM_005078|120744_2_2908_2990
+AAPGMQTARSSGSWPRTTCTGSLLTGM
+>NM_005078|120746_2_3004_3266
+MAPNCGQGAWTTRCAPGTCGRADSYSSMTSLPRSSRWATAPLGSGWLWAWRAATWRCCTTPSLTSTSCTCTRAACSPSSSPTAASGS
+>DECOY_NM_005078|120746_2_3004_3266
+SGSAATPSSSPSCAARTCTCSTSTLSPTTCCRWTAARWAWLWGSGLPATAWRSSRPLSTMSSYSDARGCTGPACRTTWAGQGCNPAM
+>NM_007211|120933_2_1261_1340
+MASKLWKDLLDKPPNAYRTKNRNWSS
+>DECOY_NM_007211|120933_2_1261_1340
+SSWNRNKTRYANPPKDLLDKWLKSAM
+>NM_001002914|120956_2_1565_1686
+MGDWGCSRCGLGGQESGGRWWAPQASWRRCCGWLSSTASD
+>DECOY_NM_001002914|120956_2_1565_1686
+DSATSSLWGCCRRWSAQPAWWRGGSEQGGLGCRSCGWDGM
+>NM_016817|120977_2_2151_2272
+MGLETQYHLGKCRQCRHQEVVELGSILLSMRCSHPEAIES
+>DECOY_NM_016817|120977_2_2151_2272
+SEIAEPHSCRMSLLISGLEVVEQHRCQRCKGLHYQTELGM
+>NM_016817|120980_3_2125_2219
+MVILSLLQGWDWKPNTTLESADNADTRKLWS
+>DECOY_NM_016817|120980_3_2125_2219
+SWLKRTDANDASELTTNPKWDWGQLLSLIVM
+>NM_002404|120991_2_500_690
+MATPSLWQALRMAGQVTPCPTTVARSSLPSTGTRTSLCRTAQLSPQEPSGSAAATLPTSMAST
+>DECOY_NM_002404|120991_2_500_690
+TSAMSTPLTAAASGSPEQPSLQATRCLSTRTGTSPLSSRAVTTPCPTVQGAMRLAQWLSPTAM
+>NM_002404|120992_2_533_690
+MAGQVTPCPTTVARSSLPSTGTRTSLCRTAQLSPQEPSGSAAATLPTSMAST
+>DECOY_NM_002404|120992_2_533_690
+TSAMSTPLTAAASGSPEQPSLQATRCLSTRTGTSPLSSRAVTTPCPTVQGAM
+>NM_015187|121165_2_2763_2848
+MATWAMSSAKASMPTWKVHGMKLCCIMF
+>DECOY_NM_015187|121165_2_2763_2848
+FMICCLKMGHVKWTPMSAKASSMAWTAM
+>NM_015187|121166_2_3027_3130
+MATKTSHKTWSCLCRCTPKPPWMETPRDFLTWPC
+>DECOY_NM_015187|121166_2_3027_3130
+CPWTLFDRPTEMWPPKPTCRCLCSWTKHSTKTAM
+>NM_015187|121171_3_2461_2621
+MVLPQIQEKLRQSSKVLVKSRRNGEPRCVIQSWSPAFGWHLPWSSWKESNFSW
+>DECOY_NM_015187|121171_3_2461_2621
+WSFNSEKWSSWPLHWGFAPSWSQIVCRPEGNRRSKVLVKSSQRLKEQIQPLVM
+>NM_173689|121314_2_906_1420
+MGADACSALTRPSTGVSRPPSLAPSASAMLRVSCATALLALREPTAVWRWTSVPHGHASTEATARTCPMASSVTAQMATQGRHVRKMWMNACRIPACTAEPAVTLWQAISAGAQRPGVGATVLCSSLAARATPARWLPPASLSSSLGSTVTSATAHLVPMDRSVARIPPSL
+>DECOY_NM_173689|121314_2_906_1420
+LSPPIRAVSRDMPVLHATASTVTSGLSSSLSAPPLWRAPTARAALSSCLVTAGVGPRQAGASIAQWLTVAPEATCAPIRCANMWMKRVHRGQTAMQATVSSAMPCTRATAETSAHGHPVSTWRWVATPERLALLATACSVRLMASASPALSPPRSVGTSPRTLASCADAGM
+>NM_173689|121328_2_2868_3511
+MARWRGACAEAMACPALCCPYRGRAWPMVPGTACVWPWSARRPPPRAGCCGWMVPPPRWRCAAWPVTWASCRARVLCASCWLRTSPAAWAAWRWAACPCPWRGPGPARPLAPESTSRLGLGRRPRSSAAAARPCVRPRPVCTTVPAVTSSTPLPAPAARGGKARAAKPTSTPVTPPPAPVAAVTRTPTAASSAAARLASGARAAGCLSHPRSAA
+>DECOY_NM_173689|121328_2_2868_3511
+AASRPHSLCGAARAGSALRAAASSAATPTRTVAAVPAPPPTVPTSTPKAARAKGGRAAPAPLPTSSTVAPVTTCVPRPRVCPRAAAASSRPRRGLGLRSTSEPALPRAPGPGRWPCPCAAWRWAAWAAPSTRLWCSACLVRARCSAWTVPWAACRWRPPPVMWGCCGARPPPRRASWPWVCATGPVMPWARGRYPCCLAPCAMAEACAGRWRAM
+>NM_173689|121329_2_2901_3511
+MACPALCCPYRGRAWPMVPGTACVWPWSARRPPPRAGCCGWMVPPPRWRCAAWPVTWASCRARVLCASCWLRTSPAAWAAWRWAACPCPWRGPGPARPLAPESTSRLGLGRRPRSSAAAARPCVRPRPVCTTVPAVTSSTPLPAPAARGGKARAAKPTSTPVTPPPAPVAAVTRTPTAASSAAARLASGARAAGCLSHPRSAA
+>DECOY_NM_173689|121329_2_2901_3511
+AASRPHSLCGAARAGSALRAAASSAATPTRTVAAVPAPPPTVPTSTPKAARAKGGRAAPAPLPTSSTVAPVTTCVPRPRVCPRAAAASSRPRRGLGLRSTSEPALPRAPGPGRWPCPCAAWRWAAWAAPSTRLWCSACLVRARCSAWTVPWAACRWRPPPVMWGCCGARPPPRRASWPWVCATGPVMPWARGRYPCCLAPCAM
+>NM_004711|121457_2_270_481
+MAWPWACSPSSPACCTWPWTCTSRRSAASRTARKPSCPTSVSRPSGLSSGSWDSATWPTSGRSPSPRTTH
+>DECOY_NM_004711|121457_2_270_481
+HTTRPSPSRGSTPWTASDWSGSSLGSPRSVSTPCSPKRATRSAASRRSTCTWPWTCCAPSSPSCAWPWAM
+>NM_005711|121526_2_516_691
+MEVSVCQDWLMVPFPVSVQMASQTPTVLVLWRLHQMKKNQLQQVPALLIHAIMEEPVK
+>DECOY_NM_005711|121526_2_516_691
+KVPEEMIAHILLAPVQQLQNKKMQHLRWLVLVTPTQSAMQVSVPFPVMLWDQCVSVEM
+>NM_005711|121530_2_807_1024
+MVEYVQILLLTIPVSAQANLWEEIVNTNAQAHWELKVELYQTSKSQLPLLTELFLDSKNGIPTMHVLIRRGL
+>DECOY_NM_005711|121530_2_807_1024
+LGRRILVHMTPIGNKSDLFLETLLPLQSKSTQYLEVKLEWHAQANTNVIEEWLNAQASVPITLLLIQVYEVM
+>NM_005711|121533_2_1662_1786
+MENTGLYTRMKSKEKIRFSREILTMTLTEKMSSTLPSMHDT
+>DECOY_NM_005711|121533_2_1662_1786
+TDHMSPLTSSMKETLTMTLIERSFRIKEKSKMRTYLGTNEM
+>NM_175882|121572_2_187_290
+MAPRHPGARVRIPPTRPSSAPPASGPSARPLPWS
+>DECOY_NM_175882|121572_2_187_290
+SWPLPRASPGSAPPASSPRTPPIRVRAGPHRPAM
+>NM_004483|121623_2_287_378
+MALEQWESAILHRKRWEMLFIVVSLKLGQN
+>DECOY_NM_004483|121623_2_287_378
+NQGLKLSVVIFLMEWRKRHLIASEWQELAM
+>NM_001122779|121755_3_504_664
+MAVLPHPGHSGKAVSLLFCQSGVLQPGQSAAHLGGEAGALWLRDPEGDAVLQF
+>DECOY_NM_001122779|121755_3_504_664
+FQLVADGEPDRLWLAGAEGGLHAASQGPQLVGSQCFLLSVAKGSHGPHPLVAM
+>NM_001017992|121776_2_322_434
+MEWSPTGTIWKRSGTTHSTMSSVWHQMSIPSSSPRHP
+>DECOY_NM_001017992|121776_2_322_434
+PHRPSSSPISMQHWVSSMTSHTTGSRKWITGTPSWEM
+>NM_001017992|121777_2_574_659
+MGSLTSCPSMKVMPCLMPFYAWIWQGET
+>DECOY_NM_001017992|121777_2_574_659
+TEGQWIWAYFPMLCPMVKMSPCSTLSGM
+>NM_024785|121810_2_935_1017
+MATRFCFRDGSLPLLPRLECGGAIIAP
+>DECOY_NM_024785|121810_2_935_1017
+PAIIAGGCELRPLLPLSGDRFCFRTAM
+>NM_003972|121869_2_438_523
+MVHHSWDLLVPNLKSKMKNQVKWILKRG
+>DECOY_NM_003972|121869_2_438_523
+GRKLIWKVQNKMKSKLNPVLLDWSHHVM
+>NM_003972|121874_2_1005_1081
+MVQALDLGKFLKLMGKVVVKWVTAL
+>DECOY_NM_003972|121874_2_1005_1081
+LATVWKVVVKGMLKLFKGLDLAQVM
+>NM_024333|121917_2_969_1102
+MARGGRRLPSTPQPEVLHLPRGCPQVVGDGTASPLSPTQFWGTR
+>DECOY_NM_024333|121917_2_969_1102
+RTGWFQTPSLPSATGDGVVQPCGRPLHLVEPQPTSPLRRGGRAM
+>NM_020218|121937_2_632_726
+MGNLAIRILLSITIQLGSAMRPWGRRSFAAC
+>DECOY_NM_020218|121937_2_632_726
+CAAFSRRGWPRMASGLQITISLLIRIALNGM
+>NM_020218|121947_3_570_643
+MVSPIPEPQFPSKIQVIKTQKWGT
+>DECOY_NM_020218|121947_3_570_643
+TGWKQTKIVQIKSPFQPEPIPSVM
+>NM_015239|122094_2_3217_3350
+MAIPERRMYLCMVAASKRQCGIPMIMQLHVMLWRIRDTGHCLRY
+>DECOY_NM_015239|122094_2_3217_3350
+YRLCHGTDRIRWLMVHLQMIMPIGCQRKSAAVMCLYMRREPIAM
+>NM_022160|122105_2_532_608
+MVWCQRSRATSASAAGGTARVPSAP
+>DECOY_NM_022160|122105_2_532_608
+PASPVRATGGAASASTARSRQCWVM
+>NM_020816|122228_2_368_600
+MAPSLPTARQAAGSPSPCRACRIRPPREASSPGPSSTCSRASSVQRTLSSWSGPPTWRSTMKMSGTSLGLTPSRSWS
+>DECOY_NM_020816|122228_2_368_600
+SWSRSPTLGLSTGSMKMTSRWTPPGSWSSLTRQVSSARSCTSSPGPSSAERPPRIRCARCPSPSGAAQRATPLSPAM
+>NM_022900|122303_3_1828_1949
+MATNNPKKSKRKLFLAFWLTVETRLFAVIHMFFGIFSGCI
+>DECOY_NM_022900|122303_3_1828_1949
+ICGSFIGFFMHIVAFLRTEVTLWFALFLKRKSKKPNNTAM
+>NM_016300|122333_2_1270_1385
+MAQGEHLGVDRAAQKMNSSGLTTKGPGAAQTPTVPTAI
+>DECOY_NM_016300|122333_2_1270_1385
+IATPVTPTQAAGPGKTTLGSSNMKQAARDVGLHEGQAM
+>NM_001033028|122361_2_2355_2497
+MGSCMWTSVWSFTDCGVPCSLSTAFPWGHTSSQSSSALVMGYTGLAV
+>DECOY_NM_001033028|122361_2_2355_2497
+VALGTYGMVLASSSQSSTHGWPFATSLSCPVGCDTFSWVSTWMCSGM
+>NM_001099784|122388_2_1212_1555
+MGDGQPGAALARRRTVGGGGLCALAVGGPYSAGPWAQPHHPGLHSWSGTWCGPRLEALSPTHSPWLLDPTTPCPGPPGFASSSTSGRGSCVSACESAELGAAGAMTSVCGLEWT
+>DECOY_NM_001099784|122388_2_1212_1555
+TWELGCVSTMAGAAGLEASECASVCSGRGSTSSSAFGPPGPCPTTPDLLWPSHTPSLAELRPGCWTGSWSHLGPHHPQAWPGASYPGGVALACLGGGGVTRRRALAAGPQGDGM
+>NM_031485|122406_2_646_812
+MVASTEFGCHGWVKSLWLGCGQRRARWRCLRCGGFCRWWRSPRPWQPSSGMSRPK
+>DECOY_NM_031485|122406_2_646_812
+KPRSMGSSPQWPRPSRWWRCFGGCRLCRWRARRQGCGLWLSKVWGHCGFETSAVM
+>NM_031485|122408_2_1123_1268
+MGTSMSSAGAAGSPSCSVAGMMGPSRSGTFGSSSLVPQWPPSSSTWPP
+>DECOY_NM_031485|122408_2_1123_1268
+PPWTSSSPPWQPVLSSSGFTGSRSPGMMGAVSCSPSGAAGASSMSTGM
+>NM_031485|122411_2_1186_1268
+MGPSRSGTFGSSSLVPQWPPSSSTWPP
+>DECOY_NM_031485|122411_2_1186_1268
+PPWTSSSPPWQPVLSSSGFTGSRSPGM
+>NM_018845|122425_2_434_552
+MATFGSWYPTLRPGFSSWASSAVSSPSACTSHHWLTWLR
+>DECOY_NM_018845|122425_2_434_552
+RLWTLWHHSTCASPSSVASSAWSSFGPRLTPYWSGFTAM
+>NM_024959|122461_2_439_545
+MGGTWTTWKASSATSLPASSLWLSLSTFPGCSTCF
+>DECOY_NM_024959|122461_2_439_545
+FCTSCGPFTSLSLWLSSAPLSTASSAKWTTWTGGM
+>NM_024959|122462_2_655_854
+MVHLTSSVPWWPSLTRTQPAWPLGHCLALACWLPQWWPEALPSYTPSWLPPGPSSGTSFSTWWLCS
+>DECOY_NM_024959|122462_2_655_854
+SCLWWTSFSTGSSPGPPLWSPTYSPLAEPWWQPLWCALALCHGLPWAPQTRTLSPWWPVSSTLHVM
+>NM_024959|122468_3_893_1050
+MGSGLPGLVCVLCGHCDSLHLDLPTATERISVLPHASYSRDPLRLRGGPGIF
+>DECOY_NM_024959|122468_3_893_1050
+FIGPGGRLRLPDRSYSAHPLVSIRETATPLDLHLSDCHGCLVCVLGPLGSGM
+>NM_001008496|122488_2_880_977
+MEISVQFYLINIEGNLESAIYLMETLYYYLGH
+>DECOY_NM_001008496|122488_2_880_977
+HGLYYYLTEMLYIASELNGEINILYFQVSIEM
+>NM_001008496|122489_2_1186_1268
+MVPVWKSGLVMLLLFFNTKTALPSVPM
+>DECOY_NM_001008496|122489_2_1186_1268
+MPVSPLATKTNFFLLLMVLGSKWVPVM
+>NM_006494|122565_2_729_967
+MARQSWRNRWERIPAPDHPALRIWVPSEGPRWPACPMTLVSSESIPGLGVALNPSAPSLCRLWPVLDPCCPLSSPRLCP
+>DECOY_NM_006494|122565_2_729_967
+PCLRPSSLPCCPDLVPWLRCLSPASPNLAVGLGPISESSVLTMPCAPWRPGESPVWIRLAPHDPAPIREWRNRWSQRAM
+>NM_153002|122606_2_1027_1103
+MVRRRTATRGERKAPWRGSSQKKML
+>DECOY_NM_153002|122606_2_1027_1103
+LMKKQSSGRWPAKREGRTATRRRVM
+>NM_153002|122610_2_1915_2408
+MGTAPAWPHKLLIPEYEDLLPGSLHYLQILKTDQVPWRAANKARQSPRGLEGAKQPFFASLLVLAGPQVLLPHASPKPHLTCLNSGSCGPQCPQAVPPCLLNTAILILSPAAQMSSSAAATGPTVKSASRALLTLVTVAHQTLTLSLLGGWLPGKSCGPAPSLL
+>DECOY_NM_153002|122610_2_1915_2408
+LLSPAPGCSKGPLWGGLLSLTLTQHAVTVLTLLARSASKVTPGTAAASSSMQAAPSLILIATNLLCPPVAQPCQPGCSGSNLCTLHPKPSAHPLLVQPGALVLLSAFFPQKAGELGRPSQRAKNAARWPVQDTKLIQLYHLSGPLLDEYEPILLKHPWAPATGM
+>NM_020238|122634_2_1388_1572
+MEITRGPTMTRRLPTAHPTRSLQPAARKHPLQGSKRPRRTKQMDPESHRRVPGGSAATSRP
+>DECOY_NM_020238|122634_2_1388_1572
+PRSTAASGGPVRRHSEPDMQKTRRPRKSGQLPHKRAAPQLSRTPHATPLRRTMTPGRTIEM
+>NM_024335|122657_3_1165_1244
+MGAQEQRWGGEEGRGRRGGLTRLPNC
+>DECOY_NM_024335|122657_3_1165_1244
+CNPLRTLGGRRGRGEEGGWRQEQAGM
+>NM_016107|122714_2_432_517
+MVILRGNKKHHHHHPQLLHKTTRIHTHM
+>DECOY_NM_016107|122714_2_432_517
+MHTHIRTTKHLLQPHHHHHKKNGRLIVM
+>NM_006531|122836_2_2388_2479
+MAVGAPVAKEKEVLAVIVARTIVPVVKVND
+>DECOY_NM_006531|122836_2_2388_2479
+DNVKVVPVITRAVIVALVEKEKAVPAGVAM
+>NM_015255|122925_2_4393_4484
+MAWIKKIPLVKKNQQFLLCIKHFTSIREVP
+>DECOY_NM_015255|122925_2_4393_4484
+PVERISTFHKICLLFQQNKKVLPIKKIWAM
+>NM_001001563|122967_2_722_816
+MVPRFLMSSTMIQFWYSSCAGHTNISKIIDR
+>DECOY_NM_001001563|122967_2_722_816
+RDIIKSINTHGACSSYWFQIMTSSMLFRPVM
+>NM_006307|123012_2_276_388
+MGMCTAGPLKEDTTKQPWEPGATFAARRATSCMALPY
+>DECOY_NM_006307|123012_2_276_388
+YPLAMCSTARRAAFTAGPEWPQKTTDEKLPGATCMGM
+>NM_006307|123014_2_930_1045
+MEPPVSSPASAAMSSRVALPEYVNPTWLGLARSPPVQP
+>DECOY_NM_006307|123014_2_930_1045
+PQVPPSRALGLWTPNVYEPLAVRSSMAASAPSSVPPEM
+>NM_016306|123049_2_506_627
+MVIRAPMETFFHTSLGILVSCLEEPLVSKTEIFQEEVILL
+>DECOY_NM_016306|123049_2_506_627
+LLIVEEQFIETKSVLPEELCSVLIGLSTHFFTEMPARIVM
+>NM_001105573|123107_2_513_640
+MALLYIETPLLRALMVQGPRLVSVRAAMHGKCGGRALWALWQ
+>DECOY_NM_001105573|123107_2_513_640
+QWLAWLARGGCKGHMAARVSVLRPGQVMLARLLPTEIYLLAM
+>NM_015589|123152_3_509_744
+MDRHTTITKDRTLMTSSMGGRTLGILGFASMPPTGRTKAWGVRMAMCPSTPPHLSPPQSIRLEPAQVQFSQARHTTAL
+>DECOY_NM_015589|123152_3_509_744
+LATTHRAQSFQVQAPELRISQPPSLHPPTSPCMAMRVGWAKTRGTPPMSAFGLIGLTRGGMSSTMLTRDKTITTHRDM
+>NM_015589|123156_3_638_744
+MAMCPSTPPHLSPPQSIRLEPAQVQFSQARHTTAL
+>DECOY_NM_015589|123156_3_638_744
+LATTHRAQSFQVQAPELRISQPPSLHPPTSPCMAM
+>NM_015589|123158_3_800_888
+MDGCLMRTYELEDPSASHPIMPPCLHKAV
+>DECOY_NM_015589|123158_3_800_888
+VAKHLCPPMIPHSASPDELEYTRMLCGDM
+>NM_015589|123160_3_1352_1425
+MGPQPLAPRPPPRPGPAGGSSRTS
+>DECOY_NM_015589|123160_3_1352_1425
+STRSSGGAPGPRPPPRPALPQPGM
+>NM_015589|123161_3_1436_1551
+MGSWPSPPCQRGTSPGSSHASWGKCAHSSWSPDLMRKI
+>DECOY_NM_015589|123161_3_1436_1551
+IKRMLDPSWSSHACKGWSAHSSGPSTGRQCPPSPWSGM
+>NM_020689|123205_3_1543_1721
+MGVHLAAEFRLILHCTQLQQAALGEMVHGDVCFLHAVDRSLLLHDGVDGHNHWLHPGDS
+>DECOY_NM_020689|123205_3_1543_1721
+SDGPHLWHNHGDVGDHLLLSRDVAHLFCVDGHVMEGLAAQQLQTCHLILRFEAALHVGM
+>NM_020689|123206_3_1618_1721
+MVHGDVCFLHAVDRSLLLHDGVDGHNHWLHPGDS
+>DECOY_NM_020689|123206_3_1618_1721
+SDGPHLWHNHGDVGDHLLLSRDVAHLFCVDGHVM
+>NM_016485|123225_2_487_716
+MGRLLKQALLELKKIMILKKMKMLEQPLCPLSQLSHHHLQLMTQATCHQATILEYRFLRVRTLQLIHQQKCLTAQV
+>DECOY_NM_016485|123225_2_487_716
+VQATLCKQQHILQLTRVRLFRYELITAQHCTAQTMLQLHHHSLQSLPCLPQELMKMKKLIMIKKLELLAQKLLRGM
+>NM_181644|123256_3_331_566
+MGPVHLLSGHLPGVCRHPLLPRREGAGLSHGAGGLGHGLHRHRGQHAAGKDVPEGLGRLPPGAPFLRGLWCSAEPPYC
+>DECOY_NM_181644|123256_3_331_566
+CYPPEASCWLGRLFPAGPPLRGLGEPVDKGAAHQGRHRHLGHGLGGAGHSLGAGERRPLLPHRCVGPLHGSLLHVPGM
+>NM_139168|123276_2_1996_2072
+MGRRSWRRTVLHLKRKSTIKNQIQV
+>DECOY_NM_139168|123276_2_1996_2072
+VQIQNKITSKRKLHLVTRRWSRRGM
+>NM_019088|123293_2_212_399
+MATGPIPTGLCLRGLEWSAESSTAIASLISPSTPSSSPTPSTRTGSSSTKPLPWRNSTNMTS
+>DECOY_NM_019088|123293_2_212_399
+STMNTSNRWPLPKTSSSGTRTSPTPSSSPTSPSILSAIATSSEASWELGRLCLGTPIPGTAM
+>NM_153350|123320_3_1417_1637
+MVLPGARLRAEAPPGPGEFAPPVSGRLPAAHHHRAVGPGAAAGAGGAGADQLPRGHPRALQVFLAAPAPLPRH
+>DECOY_NM_153350|123320_3_1417_1637
+HRPLPAPAALFVQLARPHGRPLQDAGAGGAGAAAGPGVARHHHAAPLRGSVPPAFEGPGPPAEARLRAGPLVM
+>NM_144691|123335_2_706_803
+MATQASSTSSSGSLAAGWTSWWMTGCPCVRGS
+>DECOY_NM_144691|123335_2_706_803
+SGRVCPCGTMWWSTWGAALSGSSSTSSAQTAM
+>NM_144691|123339_2_2125_2228
+MGKAWPYTTSSSSGATSWSGRPYLTSSMRTPLEP
+>DECOY_NM_144691|123339_2_2125_2228
+PELPTRMSSTLYPRGSWSTAGSSSSTTYPWAKGM
+>NM_033100|123419_2_640_797
+MAVGGFMGLMWCSQPPPRSRSMWRMFRTWPLSSWAHPTMAMCTRTPFRARRY
+>DECOY_NM_033100|123419_2_640_797
+YRRARFPTRTCMAMTPHAWSSLPWTRFMRWMSRSRPPPQSCWMLGMFGGVAM
+>NM_033100|123425_2_868_965
+MEPLKLMRHLEPSPSLRARPSSRERCMSCMYR
+>DECOY_NM_033100|123425_2_868_965
+RYMCSMCRERSSPRARLSPSPELHRMLKLPEM
+>NM_033547|123472_2_905_1002
+MALGWFVFRQQNCWALWSKSVLISWSRPLTRS
+>DECOY_NM_033547|123472_2_905_1002
+SRTLPRSWSILVSKSWLAWCNQQRFVFWGLAM
+>NM_014926|123508_2_2242_2486
+MVEVVVAEVGVVVDQLFPLQRRPLPWVMCMSTSPTRLPKCATTPSTSLVRRRRWLFHQPKKQGVQNVGVQGHNHREWVRLS
+>DECOY_NM_014926|123508_2_2242_2486
+SLRVWERHNHGQVGVNQVGQKKPQHFLWRRRRVLSTSPTTACKPLRTPSTSMCMVWPLPRRQLPFLQDVVVGVEAVVVEVM
+>NM_032440|123534_2_928_1037
+MGYLQEAYRMEPGKVLDTPHHSKFHWLDPCRLVKNY
+>DECOY_NM_032440|123534_2_928_1037
+YNKVLRCPDLWHFKSHHPTDLVKGPEMRYAEQLYGM
+>NM_032440|123535_2_955_1037
+MEPGKVLDTPHHSKFHWLDPCRLVKNY
+>DECOY_NM_032440|123535_2_955_1037
+YNKVLRCPDLWHFKSHHPTDLVKGPEM
+>NM_032440|123536_2_1180_1280
+MVHSATSVTFLFLQKTLPFQKWHFKQNKMEKRT
+>DECOY_NM_032440|123536_2_1180_1280
+TRKEMKNQKFHWKQFPLTKQLFLFTVSTASHVM
+>NM_172071|123610_2_724_884
+MVLLCQEKYWFCLWCKDWSHGFLKPLKLALGMLFSSFIEPPVSRSPNVMKTLL
+>DECOY_NM_172071|123610_2_724_884
+LLTKMVNPSRSVPPEIFSSFLMGLALKLPKLFGHSWDKCWLCFWYKEQCLLVM
+>NM_172071|123613_2_1222_1352
+MGWLLCVQWYMGWLIISRTTAKKEQISSSLHSIANTKHTCVEI
+>DECOY_NM_172071|123613_2_1222_1352
+IEVCTHKTNAISHLSSSIQEKKATTRSIILWGMYWQVCLLWGM
+>NM_172071|123618_2_2050_2339
+MAHSHSSTHLYTHLTMMAVECTLLRLTQEKRYSEKALYPLRFHLQQYHRMYQNPEKDTNRSRVTIQWLLIQLRSDLRTSENLLIAGFLLLPSLILV
+>DECOY_NM_172071|123618_2_2050_2339
+VLILSPLLLFGAILLNESTRLDSRLQILLWQITVRSRNTDKEPNQYMRHYQQLHFRLPYLAKESYRKEQTLRLLTCEVAMMTLHTYLHTSSHSHAM
+>NM_172071|123620_2_2098_2339
+MAVECTLLRLTQEKRYSEKALYPLRFHLQQYHRMYQNPEKDTNRSRVTIQWLLIQLRSDLRTSENLLIAGFLLLPSLILV
+>DECOY_NM_172071|123620_2_2098_2339
+VLILSPLLLFGAILLNESTRLDSRLQILLWQITVRSRNTDKEPNQYMRHYQQLHFRLPYLAKESYRKEQTLRLLTCEVAM
+>NM_172071|123629_3_107_216
+MDGFPLLPNLHSDFRRNNSKAHQFGLWPYCLQDVPE
+>DECOY_NM_172071|123629_3_107_216
+EPVDQLCYPWLGFQHAKSNNRRFDSHLNPLLPFGDM
+>NM_001099744|123798_2_1069_1154
+MELRHTSLAAAHHPRGKRTFCPCCAGIS
+>DECOY_NM_001099744|123798_2_1069_1154
+SIGACCPCFTRKGRPHHAAALSTHRLEM
+>NM_024834|123989_3_2145_2218
+MAESKAARVFKKNEASAAKMCEWK
+>DECOY_NM_024834|123989_3_2145_2218
+KWECMKAASAENKKFVRAAKSEAM
+>NM_001010865|124079_3_683_1119
+MVTSAQVSPARFPGDTAAREPEGGRCRGGRQLAGGGRGEGLSELGPGTPAALGKPGRATGGLLAGAESARVRRYQGSRPVSPQVRDAKAEGGQDHLKAVPGIPGLLLLHSRALGSRRASGKRRRRAAGGLAEADQAVQSGQPSRG
+>DECOY_NM_001010865|124079_3_683_1119
+GRSPQGSQVAQDAEALGGAARRRRKGSARRSGLARSHLLLLGPIGPVAKLHDQGGEAKADRVQPSVPRSGQYRRVRASEAGALLGGTARGPKGLAAPTGPGLESLGEGRGGGALQRGGRCRGGEPERAATDGPFRAPSVQASTVM
+>NM_173496|124217_2_1641_1750
+MVLNTFSFPSICLRQMYKITSLLNMENIKTTTTAQV
+>DECOY_NM_173496|124217_2_1641_1750
+VQATTTTKINEMNLLSTIKYMQRLCISPFSFTNLVM
+>NM_032738|124233_2_636_856
+MAQLWVPPGLTGNSPSPWYKRQTAGTTTAVASSRALVLGSQKQHLLWLSQSKNCFQRQFSELYPQLNPKQEAP
+>DECOY_NM_032738|124233_2_636_856
+PAEQKPNLQPYLESFQRQFCNKSQSLWLLHQKQSGLVLARSSAVATTTGATQRKYWPSPSNGTLGPPVWLQAM
+>NM_001031702|124261_2_2129_2658
+MGASAHGHHGNHVSTWMGTTQALACVELDPVIPLDPAVGALTAWGQPSTSPTAPGMGRGPRGHRGRCAARPVASASRSASEVAATLLPATGAASAWARAGRNGSVMRTRLARCPSSGLPGAPGASAAATVEGACSRGVGPARTATPAWAAAWSSRRATPRAAPKCGATPPGRRGCP
+>DECOY_NM_001031702|124261_2_2129_2658
+PCGRRGPPTAGCKPAARPTARRSSWAAAWAPTATRAPGVGRSCAGEVTAAASAGPAGPLGSSPCRALRTRMVSGNRGARAWASAAGTAPLLTAAVESASRSASAVPRAACRGRHGRPGRGMGPATPSTSPQGWATLAGVAPDLPIVPDLEVCALAQTTGMWTSVHNGHHGHASAGM
+>NM_001031702|124263_2_2294_2658
+MGRGPRGHRGRCAARPVASASRSASEVAATLLPATGAASAWARAGRNGSVMRTRLARCPSSGLPGAPGASAAATVEGACSRGVGPARTATPAWAAAWSSRRATPRAAPKCGATPPGRRGCP
+>DECOY_NM_001031702|124263_2_2294_2658
+PCGRRGPPTAGCKPAARPTARRSSWAAAWAPTATRAPGVGRSCAGEVTAAASAGPAGPLGSSPCRALRTRMVSGNRGARAWASAAGTAPLLTAAVESASRSASAVPRAACRGRHGRPGRGM
+>NM_052866|124282_2_431_528
+MASFMNGFLCLMTLTTHVHSSAKPKEQPWLLN
+>DECOY_NM_052866|124282_2_431_528
+NLLWPQEKPKASSHVHTTLTMLCLFGNMFSAM
+>NM_052866|124285_2_668_783
+MGPPAGWSEGSINPSSPQPNRMILWLQFPMEVDIFALS
+>DECOY_NM_052866|124285_2_668_783
+SLAFIDVEMPFQLWLIMRNPQPSSPNISGESWGAPPGM
+>NM_018376|124325_2_633_760
+MENSTEFMFFGGMRVQIVVQLGDISPMRIPELWRLFGKVSTT
+>DECOY_NM_018376|124325_2_633_760
+TTSVKGFLRWLEPIRMPSIDGLQVVIQVRMGGFFMFETSNEM
+>NM_014942|124347_2_558_991
+MGTRPPCTGPQWWGTRRSSRRSSTKGVPWTDKTRMGIQPCMKHPGMVSASQPSCSLKQEPTCLPRTRRGTQLCTWPARTATPRARASSCWPGPALTSKIMQETPVCTLLRAIITCPSLGSSSLLSVLSMKRTRLETQHFTLLLP
+>DECOY_NM_014942|124347_2_558_991
+PLLLTFHQTELRTRKMSLVSLLSSSGLSPCTIIARLLTCVPTEQMIKSTLAPGPWCSSARARPTATRAPWTCLQTGRRTRPLCTPEQKLSCSPQSASVMGPHKMCPQIGMRTKDTWPVGKTSSRRSSRRTGWWQPGTCPPRTGM
+>NM_014942|124348_2_660_991
+MGIQPCMKHPGMVSASQPSCSLKQEPTCLPRTRRGTQLCTWPARTATPRARASSCWPGPALTSKIMQETPVCTLLRAIITCPSLGSSSLLSVLSMKRTRLETQHFTLLLP
+>DECOY_NM_014942|124348_2_660_991
+PLLLTFHQTELRTRKMSLVSLLSSSGLSPCTIIARLLTCVPTEQMIKSTLAPGPWCSSARARPTATRAPWTCLQTGRRTRPLCTPEQKLSCSPQSASVMGPHKMCPQIGM
+>NM_014942|124350_2_693_991
+MVSASQPSCSLKQEPTCLPRTRRGTQLCTWPARTATPRARASSCWPGPALTSKIMQETPVCTLLRAIITCPSLGSSSLLSVLSMKRTRLETQHFTLLLP
+>DECOY_NM_014942|124350_2_693_991
+PLLLTFHQTELRTRKMSLVSLLSSSGLSPCTIIARLLTCVPTEQMIKSTLAPGPWCSSARARPTATRAPWTCLQTGRRTRPLCTPEQKLSCSPQSASVM
+>NM_144575|124393_2_1038_1225
+MGLRSGRKPVIRGKASYIRNGKMASFGCRVKISNRNSSPCLYVAKFQLPWTMETHSTKDGPK
+>DECOY_NM_144575|124393_2_1038_1225
+KPGDKTSHTEMTWPLQFKAVYLCPSSNRNSIKVRCGFSAMKGNRIYSAKGRIVPKRGSRLGM
+>NM_144575|124394_2_1104_1225
+MASFGCRVKISNRNSSPCLYVAKFQLPWTMETHSTKDGPK
+>DECOY_NM_144575|124394_2_1104_1225
+KPGDKTSHTEMTWPLQFKAVYLCPSSNRNSIKVRCGFSAM
+>NM_144575|124397_2_1671_1765
+MAPNKAFSTDMLSRGWTLMPPSFRAFSTRSF
+>DECOY_NM_144575|124397_2_1671_1765
+FSRTSFARFSPPMLTWGRSLMDTSFAKNPAM
+>NM_133456|124420_3_2203_2387
+MGQAPSGSGGCSAPGLCPSGAGAVQPVHGRPRARAWPSAAAGQSPGARAPRPGPGGLRQRP
+>DECOY_NM_133456|124420_3_2203_2387
+PRQRLGGPGPRPARAGPSQGAAASPWARARPRGHVPQVAGAGSPCLGPASCGGSGSPAQGM
+>NM_032117|124438_2_258_457
+MVWLTVRGSELLIIIGLFQVKLFMQGNISWRFWNLSCLREVKSMQAYRKALRKLKLADVKRKSEPG
+>DECOY_NM_032117|124438_2_258_457
+GPESKRKVDALKLKRLAKRYAQMSKVERLCSLNWFRWSINGQMFLKVQFLGIIILLESGRVTLWVM
+>NM_032825|124474_2_930_1153
+MGNSSAESLFLLCLRDLKQKRNPFTVLTVGITLEGSHTSLNISEFTQVKNLMFAINVERPSVRRQPSPFMRKHI
+>DECOY_NM_032825|124474_2_930_1153
+IHKRMFPSPQRRVSPREVNIAFMLNKVQTFESINLSTHSGELTIGVTLVTFPNRKQKLDRLCLLFLSEASSNGM
+>NM_207102|124508_2_880_983
+MVLYFYTALLTRNGYLHVGHTVVPCHRYSSQSPY
+>DECOY_NM_207102|124508_2_880_983
+YPSQSSYRHCPVVTHGVHLYGNRTLLATYFYLVM
+>NM_207102|124510_3_332_441
+MGLQQLHQSTPGHTHMEAIFPASKKEGASVGIGTAA
+>DECOY_NM_207102|124510_3_332_441
+AATGIGVSAGEKKSAPFIAEMHTHGPTSQHLQQLGM
+>NM_207102|124511_3_917_1002
+MGICMWDIQSYLATGIPHRVLTETIRRQ
+>DECOY_NM_207102|124511_3_917_1002
+QRRITETLVRHPIGTALYSQIDWMCIGM
+>NM_032562|124569_2_248_390
+MESVSTGADMERHQCPDLATSPKSPMAAAPISWVSRYQKVWTWAFQQ
+>DECOY_NM_032562|124569_2_248_390
+QQFAWTWVKQYRSVWSIPAAAMPSKPSTALDPCQHREMDAGTSVSEM
+>NM_032562|124570_2_275_390
+MERHQCPDLATSPKSPMAAAPISWVSRYQKVWTWAFQQ
+>DECOY_NM_032562|124570_2_275_390
+QQFAWTWVKQYRSVWSIPAAAMPSKPSTALDPCQHREM
+>NM_152641|124617_2_436_641
+MGCPWTLIRQMIIINWCFHCYLDSQMKWTLLLTYALSYQMKASTSCNLKKILKSSLYYLLMPGCLTTL
+>DECOY_NM_152641|124617_2_436_641
+LTTLCGPMLLYYLSSKLIKKLNCSTSAKMQYSLAYTLLLTWKMQSDLYCHFCWNIIIMQRILTWPCGM
+>NM_152641|124633_2_4969_5099
+MEEKMYIQGSVFGKVVSLFSDSGFLLLPTCRISTVQRMPYLQD
+>DECOY_NM_152641|124633_2_4969_5099
+DQLYPMRQVTSIRCTPLLLFGSDSFLSVVKGFVSGQIYMKEEM
+>NM_014944|124855_3_2099_2184
+MAPLRPQCRIPECDSLCGWHVPRALLCD
+>DECOY_NM_014944|124855_3_2099_2184
+DCLLARPVHWGCLSDCEPIRCQPRLPAM
+>NM_001110514|124869_2_575_855
+MGSITASGWCITMDCGQSKTSTCVSSTPCPNRPSSMRGRTRTPKCAECCSPMRSCAAGAVTGRAVATGMRRPQTPSSLTGSSSSSSSNATRTA
+>DECOY_NM_001110514|124869_2_575_855
+ATRTANSSSSSSSGTLSSPTQPRRMGTAVARGTVAGAACSRMPSCCEACKPTRTRGRMSSPRNPCPTSSVCTSTKSQGCDMTICWGSATISGM
+>NM_001110514|124870_2_611_855
+MDCGQSKTSTCVSSTPCPNRPSSMRGRTRTPKCAECCSPMRSCAAGAVTGRAVATGMRRPQTPSSLTGSSSSSSSNATRTA
+>DECOY_NM_001110514|124870_2_611_855
+ATRTANSSSSSSSGTLSSPTQPRRMGTAVARGTVAGAACSRMPSCCEACKPTRTRGRMSSPRNPCPTSSVCTSTKSQGCDM
+>NM_001110514|124874_2_977_1212
+MAAGRAAWTPPKLPPPASRPSAPGRAGPRAAPPSLSSATTSSTGCRSCSETCSCGASSSRPTPSGCRRPRGTSPGWWR
+>DECOY_NM_001110514|124874_2_977_1212
+RWWGPSTGRPRRCGSPTPRSSSAGCSCTESCSRCGTSSTTASSLSPPAARPGARGPASPRSAPPPLKPPTWAARGAAM
+>NM_020877|125089_2_6135_6256
+MASCGRPLSRRFETWACKARRSPSPRFSSCMKPRTPATPP
+>DECOY_NM_020877|125089_2_6135_6256
+PPTAPTRPKMCSSFRPSPSRRAKCAWTEFRRSLPRGCSAM
+>NM_020877|125108_2_12279_12496
+MAASLLTRNTSAYCLAWTPLRPLASTPMLMWPLRSLRHKPSLILCFPCNLRLHPPGLEARPGKRRSLSWPLM
+>DECOY_NM_020877|125108_2_12279_12496
+MLPWSLSRRKGPRAELGPPHLRLNCPFCLILSPKHRLSRLPWMLMPTSALPRLPTWALCYASTNRTLLSAAM
+>NM_020877|125113_2_12993_13240
+MVSGSGACTWKVLAGTGRTPAWWRQSPCSLSASCPRSTSGLQRAARRAPRACTPAPAITIPTGQAAQTEPPLSSALTCGLGP
+>DECOY_NM_020877|125113_2_12993_13240
+PGLGCTLASSLPPETQAAQGTPITIAPAPTCARPARRAARQLGSTSRPCSASLSCPSQRWWAPTRGTGALVKWTCAGSGSVM
+>NM_020877|125114_3_1612_1787
+MARPGALRGPVFRKGALGAHPPASHRQSHDLPCWCSFPAPYWDWKGECAHLSADGPGH
+>DECOY_NM_020877|125114_3_1612_1787
+HGPGDASLHACEGKWDWYPAPFSCWCPLDHSQRHSAPPHAGLAGKRFVPGRLAGPRAM
+>NM_021226|125162_2_796_905
+MAPAWRPCWWSSVWTSSGSAGSLRRGCSACQARPTW
+>DECOY_NM_021226|125162_2_796_905
+WTPRAQCASCGRRLSGASGSSTWVSSWWCPRWAPAM
+>NM_145062|125185_2_454_566
+MELQITRKTTPYSVEWKLIQVFFQVVHLIIQKIQLKT
+>DECOY_NM_145062|125185_2_454_566
+TKLQIKQIILHVVQFFVQILKWEVSYPTTKRTIQLEM
+>NM_017940|125233_2_3157_3464
+MALMTPTSHIGKPKSHLRKTKSTQLSLAHPLMLNGRMLYTLSQKMKVMMRKRKKKGQCLPGICRSLKRRKSPRSPGMKVIRLSQFLLKCWPRTSLTAAHFTH
+>DECOY_NM_017940|125233_2_3157_3464
+HTFHAATLSTRPWCKLLFQSLRIVKMGPSRPSKRRKLSRCIGPLCQGKKKRKRMMVKMKQSLTYLMRGNLMLPHALSLQTSKTKRLHSKPKGIHSTPTMLAM
+>NM_017912|125280_2_2380_2498
+MECSCILKWVPACGFLPSLNLRRKDISSLECCVDSPYSI
+>DECOY_NM_017912|125280_2_2380_2498
+ISYPSDVCCELSSIDKRRLNLSPLFGCAPVWKLICSCEM
+>NM_014900|125348_2_1732_1814
+MVVESSHKTPWKKNKKLKAQMDKNHTV
+>DECOY_NM_014900|125348_2_1732_1814
+VTHNKDMQAKLKKNKKWPTKHSSEVVM
+>NM_198150|125374_2_513_673
+MATEHRNLGNWTILQDITPLVIVWKRGQEMLLSYSDKKAGPWLILSVTGLKSE
+>DECOY_NM_198150|125374_2_513_673
+ESKLGTVSLILWPGAKKDSYSLLMEQGRKWVIVLPTIDQLITWNGLNRHETAM
+>NM_198150|125379_3_490_572
+MDGCHGEAWLPNTEIWETGLYFRTSLH
+>DECOY_NM_198150|125379_3_490_572
+HLSTRFYLGTEWIETNPLWAEGHCGDM
+>NM_001080410|125422_2_1366_1727
+MAPLRAQGPPAVCQPHPRAQAAMTVTVWSCPGQRRGPLRTVALGAWAHGPRLPTGAQSGPSPLAAQACGARPSRTGSADPADTALRGKRVMSPTLAPEPLSQRLRARWMRPAPGLLWLGH
+>DECOY_NM_001080410|125422_2_1366_1727
+HGLWLLGPAPRMWRARLRQSLPEPALTPSMVRKGRLATDAPDASGTRSPRAGCAQAALPSPGSQAGTPLRPGHAWAGLAVTRLPGRRQGPCSWVTVTMAAQARPHPQCVAPPGQARLPAM
+>NM_015960|125492_2_512_633
+MDTLTKSCVCPLWLFAALCQSLSTEPLTWFMIQWQLWRPS
+>DECOY_NM_015960|125492_2_512_633
+SPRWLQWQIMFWTLPETSLSQCLAAFLWLPCVCSKTLTDM
+>NM_138792|125536_2_992_1089
+MEKTNHLLQDSLLMKMDCLRINRKRSQFLRPE
+>DECOY_NM_138792|125536_2_992_1089
+EPRLFQSRKRNIRLCDMKMLLSDQLLHNTKEM
+>NM_199282|125565_2_783_886
+MVTPQPRPALQRRRSQQSWMRLGAGRKSLLPQLL
+>DECOY_NM_199282|125565_2_783_886
+LLQPLLSKRGAGLRMWSQQSRRRQLAPRPQPTVM
+>NM_021815|125642_3_344_492
+MGCLENQKQWQRRRAQRSHHSWWPRYWFIGWWIYHDSYLGRRRVYQWHS
+>DECOY_NM_021815|125642_3_344_492
+SHWQYVRRRGLYSDHYIWWGIFWYRPWWSHHSRQARRRQWQKQNELCGM
+>NM_138458|125661_2_646_746
+MGISNYLISEIWHYGGRQTSKMGCVAWSLTEKT
+>DECOY_NM_138458|125661_2_646_746
+TKETLSWAVCGMKSTQRGGYHWIESILYNSIGM
+>NM_005447|125676_2_1510_1619
+MGRFLPLLKEYLAITQMTQTRTLVSVLTTVRTPKQQ
+>DECOY_NM_005447|125676_2_1510_1619
+QQKPTRVTTLVSVLTRTQTMQTIALYEKLLPLFRGM
+>NM_030628|125712_2_2910_3049
+MGPCLRSSSSNQSGVASFGTSPGRVEVRVDPIWLCCTVSSTATSTA
+>DECOY_NM_030628|125712_2_2910_3049
+ATSTATSSVTCCLWIPDVRVEVRGPSTGFSAVGSQNSSSSRLCPGM
+>NM_015666|125784_2_453_526
+MEGVKTASGAVAPSSTSGSPWARW
+>DECOY_NM_015666|125784_2_453_526
+WRAWPSGSTSSPAVAGSATKVGEM
+>NM_138462|125803_2_361_497
+MVLRYLPMPLTRTEEGALGDSFMSCCGSGTGGAWPRASRWCTSTL
+>DECOY_NM_138462|125803_2_361_497
+LTSTCWRSARPWAGGTGSGCCSMFSDGLAGEETRTLPMPLYRLVM
+>NM_015938|125898_2_654_796
+MECIRIHFVSKRFMMVWIFIIPQNNMLRRWSNFFSVQFPVDTKHHKD
+>DECOY_NM_015938|125898_2_654_796
+DKHHKTDVPFQVSFFNSWRRLMNNQPIIFIWVMMFRKSVFHIRICEM
+>NM_015938|125900_2_696_796
+MVWIFIIPQNNMLRRWSNFFSVQFPVDTKHHKD
+>DECOY_NM_015938|125900_2_696_796
+DKHHKTDVPFQVSFFNSWRRLMNNQPIIFIWVM
+>NM_198517|125950_2_147_223
+MDSLGAAQQSQGRATHLQTSSANGR
+>DECOY_NM_198517|125950_2_147_223
+RGNASSTQLHTARGQSQQAAGLSDM
+>NM_152888|125984_2_1234_1475
+MELRVPMYGWDPSLWCKVLRMCSPKVYLMSTPLSQPSGSGKPLGRKTGISGRSSTSTASHRSPSGWMVKTRQSSTTLWVP
+>DECOY_NM_152888|125984_2_1234_1475
+PVWLTTSSQRTKVMWGSPSRHSATSTSSRGSIGTKRGLPKGSGSPQSLPTSMLYVKPSCMRLVKCWLSPDWGYMPVRLEM
+>NM_152888|125990_2_2503_2888
+MDLLVCKVSEGRKVTWDHLESLDCWGCRALQDPLVSQAPLDREVLRVCLERSASRESLDLLGPRDPLERTGQMDHQVRQEPRENQEKEGKMVCLENQAFGEKLGSRAWQADLERREKQASQGLQASQV
+>DECOY_NM_152888|125990_2_2503_2888
+VQSAQLGQSAQKERRELDAQWARSGLKEGFAQNELCVMKGEKEQNERPEQRVQHDMQGTRELPDRPGLLDLSERSASRELCVRLVERDLPAQSVLPDQLARCGWCDLSELHDWTVKRGESVKCVLLDM
+>NM_152888|125992_2_2773_2888
+MVCLENQAFGEKLGSRAWQADLERREKQASQGLQASQV
+>DECOY_NM_152888|125992_2_2773_2888
+VQSAQLGQSAQKERRELDAQWARSGLKEGFAQNELCVM
+>NM_152888|125995_2_3289_3449
+MGSVVRRVQRGKKAAQGQLVPGEILVLLGSLGRPEKGRMESRDSVDHLDSLDP
+>DECOY_NM_152888|125995_2_3289_3449
+PDLSDLHDVSDRSEMRGKEPRGLSGLLVLIEGPVLQGQAAKKGRQVRRVVSGM
+>NM_152888|126000_2_4189_4727
+MESRVPLESRAKQESQVYQDQRVPEAHLASRDTQAILVHPVPGESLVPWGFLVRKGYQEKMVTLDPLGHRVPKDQGAHRARMDHRDLQESLALQEPLARKEAKGKMAAQDFLASWVPVGLRENQERKESQARRGSLGSLESLDSKEKGEILGSKVTKDLLVEKASLGTLESQATKATQA
+>DECOY_NM_152888|126000_2_4189_4727
+AQTAKTAQSELTGLSAKEVLLDKTVKSGLIEGKEKSDLSELSGLSGRRAQSEKREQNERLGVPVWSALFDQAAMKGKAEKRALPEQLALSEQLDRHDMRARHAGQDKPVRHGLPDLTVMKEQYGKRVLFGWPVLSEGPVPHVLIAQTDRSALHAEPVRQDQYVQSEQKARSELPVRSEM
+>NM_152888|126001_2_4369_4727
+MVTLDPLGHRVPKDQGAHRARMDHRDLQESLALQEPLARKEAKGKMAAQDFLASWVPVGLRENQERKESQARRGSLGSLESLDSKEKGEILGSKVTKDLLVEKASLGTLESQATKATQA
+>DECOY_NM_152888|126001_2_4369_4727
+AQTAKTAQSELTGLSAKEVLLDKTVKSGLIEGKEKSDLSELSGLSGRRAQSEKREQNERLGVPVWSALFDQAAMKGKAEKRALPEQLALSEQLDRHDMRARHAGQDKPVRHGLPDLTVM
+>NM_152888|126002_2_4432_4727
+MDHRDLQESLALQEPLARKEAKGKMAAQDFLASWVPVGLRENQERKESQARRGSLGSLESLDSKEKGEILGSKVTKDLLVEKASLGTLESQATKATQA
+>DECOY_NM_152888|126002_2_4432_4727
+AQTAKTAQSELTGLSAKEVLLDKTVKSGLIEGKEKSDLSELSGLSGRRAQSEKREQNERLGVPVWSALFDQAAMKGKAEKRALPEQLALSEQLDRHDM
+>NM_152888|126003_2_4504_4727
+MAAQDFLASWVPVGLRENQERKESQARRGSLGSLESLDSKEKGEILGSKVTKDLLVEKASLGTLESQATKATQA
+>DECOY_NM_152888|126003_2_4504_4727
+AQTAKTAQSELTGLSAKEVLLDKTVKSGLIEGKEKSDLSELSGLSGRRAQSEKREQNERLGVPVWSALFDQAAM
+>NM_152888|126004_2_4969_5051
+MGFQAGPAPWGSQVVLGRGVWKDPLDP
+>DECOY_NM_152888|126004_2_4969_5051
+PDLPDKWVGRGLVVQSGWPAPGAQFGM
+>NM_152888|126006_2_5170_5315
+MDFLGSLALKGRQDQLDILASQDLPVPQANVTLPSVPTSPALLPGRVM
+>DECOY_NM_152888|126006_2_5170_5315
+MVRGPLLAPSTPVSPLTVNAQPVPLDQSALIDLQDQRGKLALSGLFDM
+>NM_001037329|126096_2_1302_1417
+MVSHSMLCSVQGSTLGRSASSTSKGTCLGTAAQPTSRA
+>DECOY_NM_001037329|126096_2_1302_1417
+ARSTPQAATGLCTGKSTSSASRGLTSGQVSCLMSHSVM
+>NM_052857|126131_2_551_636
+MEKEKGGTPASRSPTHRARSTQFPLHGR
+>DECOY_NM_052857|126131_2_551_636
+RGHLPFQTSRARHTPSRSAPTGGKEKEM
+>NM_025073|126294_3_349_434
+MDFLGGTPGCFGTYHEQISETDVTVNGC
+>DECOY_NM_025073|126294_3_349_434
+CGNVTVDTESIQEHYTGFCGPTGGLFDM
+>NM_153184|126358_2_877_1019
+MVHIDVKPQTPLAKAVRNMFSLCMMFPTLCFPLLSSPPLPLQQSQPL
+>DECOY_NM_153184|126358_2_877_1019
+LPQSQQLPLPPSSLLPFCLTPFMMCLSFMNRVAKALPTQPKVDIHVM
+>NM_001012267|126406_2_1225_1304
+MGRFFQSWIFSPKSHSEPWSWTRTEP
+>DECOY_NM_001012267|126406_2_1225_1304
+PETRTWSWPESHSKPSFIWSQFFRGM
+>NM_001012267|126407_3_686_813
+MEVFKRSEKSAWTFRIRTFISKYAYWHQYKKSLQADRRPNKH
+>DECOY_NM_001012267|126407_3_686_813
+HKNPRRDAQLSKKYQHWYAYKSIFTRIRFTWASKESRKFVEM
+>NM_015056|126459_2_937_1043
+MDSVMKEEEMTVEPLRTQGPFSSLTIRLLLIDSWK
+>DECOY_NM_015056|126459_2_937_1043
+KWSDILLLRITLSSFPGQTRLPEVTMEEEKMVSDM
+>NM_015056|126469_3_428_501
+MERNRQATPGQILYADSSGPEAVL
+>DECOY_NM_015056|126469_3_428_501
+LVAEPGSSDAYLIQGPTAQRNREM
+>NM_033438|126485_3_151_224
+MVWIRGSGCGPSGVHQPPPGNTTR
+>DECOY_NM_033438|126485_3_151_224
+RTTNGPPPQHVGSPGCGSGRIWVM
+>NM_001080469|126490_2_265_476
+MGLPTQRTHHPPWPLRSLPPSRLRSSQQQLLVMRVESSWTRGMSSSPGIQRRRWPSLWPTSVVGAAGPAP
+>DECOY_NM_001080469|126490_2_265_476
+PAPGAAGVVSTPWLSPWRRRQIGPSSSMGRTWSSEVRMVLLQQQSSRLRSPPLSRLPWPPHHTRQTPLGM
+>NM_001080469|126498_3_155_237
+MVPPALWHLLTEPATPAFCGPQAISLP
+>DECOY_NM_001080469|126498_3_155_237
+PLSIAQPGCFAPTAPETLLHWLAPPVM
+>NM_015679|126559_2_341_453
+MDAGSSPICTMLILPRITQCVASWAKLQMTSVRTGGW
+>DECOY_NM_015679|126559_2_341_453
+WGGTRVSTMQLKAWSAVCQTIRPLILMTCIPSSGADM
+>NM_015679|126561_3_75_148
+MEAPAGYSGATTSEGSQCQEASRS
+>DECOY_NM_015679|126561_3_75_148
+SRSAEQCQSGESTTAGSYGAPAEM
+>NM_001080477|126619_2_1604_1881
+MEMENAFLELAIVFQDFWVRIVQEPPVQCYVVATGSTPRAAACVSAAGRAPSVMCRLPSVLTHSVGVVGFVSWALVLATQDTKEKVVKKLTV
+>DECOY_NM_001080477|126619_2_1604_1881
+VTLKKVVKEKTDQTALVLAWSVFGVVGVSHTLVSPLRCMVSPARGAASVCAAARPTSGTAVVYCQVPPEQVIRVWFDQFVIALELFANEMEM
+>NM_001080477|126620_2_1610_1881
+MENAFLELAIVFQDFWVRIVQEPPVQCYVVATGSTPRAAACVSAAGRAPSVMCRLPSVLTHSVGVVGFVSWALVLATQDTKEKVVKKLTV
+>DECOY_NM_001080477|126620_2_1610_1881
+VTLKKVVKEKTDQTALVLAWSVFGVVGVSHTLVSPLRCMVSPARGAASVCAAARPTSGTAVVYCQVPPEQVIRVWFDQFVIALELFANEM
+>NM_001080477|126622_2_2207_2361
+MASVNAARAGMESTALSRVVLVCATAMEDVPWTKMAGIVCASLDGEEQAVT
+>DECOY_NM_001080477|126622_2_2207_2361
+TVAQEEGDLSACVIGAMKTWPVDEMATACVLVVRSLATSEMGARAANVSAM
+>NM_001080477|126623_2_2237_2361
+MESTALSRVVLVCATAMEDVPWTKMAGIVCASLDGEEQAVT
+>DECOY_NM_001080477|126623_2_2237_2361
+TVAQEEGDLSACVIGAMKTWPVDEMATACVLVVRSLATSEM
+>NM_001080477|126624_2_2285_2361
+MEDVPWTKMAGIVCASLDGEEQAVT
+>DECOY_NM_001080477|126624_2_2285_2361
+TVAQEEGDLSACVIGAMKTWPVDEM
+>NM_001080477|126626_2_2405_2571
+MDSLTAWIPIAAYRVPARISPIVGDCRILRTSLAKAFNRLLSKLPNPFMIESVSL
+>DECOY_NM_001080477|126626_2_2405_2571
+LSVSEIMFPNPLKSLLRNFAKALSTRLIRCDGVIPSIRAPVRYAAIPIWATLSDM
+>NM_001080477|126629_2_3491_3570
+MGEGAAFPAPVAMVKLMVTSYWPQWR
+>DECOY_NM_001080477|126629_2_3491_3570
+RWQPWYSTVMLKVMAVPAPFAAGEGM
+>NM_001080477|126633_2_4424_4506
+MATPRMPNSVPHPPWLLLQMVHCILQI
+>DECOY_NM_001080477|126633_2_4424_4506
+IQLICHVMQLLLWPPHPVSNPMRPTAM
+>NM_001080477|126634_2_4715_4788
+MATPLELDGTQIACQFEWCLLITK
+>DECOY_NM_001080477|126634_2_4715_4788
+KTILLCWEFQCAIQTGDLELPTAM
+>NM_001080477|126655_3_4791_4876
+MVDNRNKWMFEKHDCSRTGISFVYLPWQ
+>DECOY_NM_001080477|126655_3_4791_4876
+QWPLYVFSIGTRSCDHKEFMWKNRNDVM
+>NM_175619|126741_2_979_1163
+MAITTARTATSAGRVLMCGVYRELTRFTSNSFAELVRSLITLTEWRISPVKVVNKRDVPAQ
+>DECOY_NM_175619|126741_2_979_1163
+QAPVDRKNVVKVPSIRWETLTILSRVLEAFSNSTFRTLERYVGCMLVRGASTATRATTIAM
+>NM_012392|126761_2_118_419
+MVVGYPLVVVMGVLPLEGLMDHQLVEGPMDTPILGCSPLELQEDHMAVQLPGAPMVSHLQVPTVPSSLGFMDRVAPLPMWILRPTPGSSRWTQITVAISP
+>DECOY_NM_012392|126761_2_118_419
+PSIAVTIQTWRSSGPTPRLIWMPLPAVRDMFGLSSPVTPVQLHSVMPAGPLQVAMHDEQLELPSCGLIPTDMPGEVLQHDMLGELPLVGMVVVLPYGVVM
+>NM_012392|126762_2_148_419
+MGVLPLEGLMDHQLVEGPMDTPILGCSPLELQEDHMAVQLPGAPMVSHLQVPTVPSSLGFMDRVAPLPMWILRPTPGSSRWTQITVAISP
+>DECOY_NM_012392|126762_2_148_419
+PSIAVTIQTWRSSGPTPRLIWMPLPAVRDMFGLSSPVTPVQLHSVMPAGPLQVAMHDEQLELPSCGLIPTDMPGEVLQHDMLGELPLVGM
+>NM_033113|126780_2_1445_1830
+MAASRDSAARSCSWSTSRAPGPMRRPSPRRHPPRRPRPTSHRPLCRSPLLPPPPPRLALPVCPAASPSGRWLGSPATSTATGLPAGKRSAAAAATAPSRSWPASWRISSATWKRPRPGARPRRLRLRR
+>DECOY_NM_033113|126780_2_1445_1830
+RRLRLRRPRAGPRPRKWTASSIRWSAPWSRSPATAAAAASRKGAPLGTATSTAPSGLWRGSPSAAPCVPLALRPPPPPLLPSRCLPRHSTPRPRRPPHRRPSPRRMPGPARSTSWSCSRAASDRSAAM
+>NM_033113|126783_2_3284_3369
+MARPALVWSRMSSLRHSRRTRACRACWC
+>DECOY_NM_033113|126783_2_3284_3369
+CWCARCARTRRSHRLSSMRSWVLAPRAM
+>NM_012315|126856_2_133_428
+MAGQTPVPSGPRNVAPTPSLGRPASSTLLGSSVGRPSSVTAGCSQLPTAASRICGSALESTTSGNGRVRSSCSGLRTSSPTLASTRTSAPMTTMMTSC
+>DECOY_NM_012315|126856_2_133_428
+CSTMMTTMPASTRTSALTPSSTRLGSCSSRVRGNGSTTSELASGCIRSAATPLQSCGATVSSPRGVSSGLLTSSAPRGLSPTPAVNRPGSPVPTQGAM
+>NM_012315|126859_3_326_405
+MGGSGAAVPGYGLLPPPWLQQGPQRQ
+>DECOY_NM_012315|126859_3_326_405
+QRQPGQQLWPPPLLGYGPVAAGSGGM
+>NM_021067|126939_2_414_508
+MVASCQMHYDFTWLLKKWSGLIIIKDLLLLI
+>DECOY_NM_021067|126939_2_414_508
+ILLLLDKIIILGSWKKLLWTFDYHMQCSAVM
+>NM_024504|126961_2_740_1080
+MVPNPPTKKGSPLLGSSSRRRTCTSFCTGSLPAWSTQPACTMRFQASWSPQTALDLILFLKLWIKTPFNFQKVYASCRRCLVKSHILVCSAVVLSPKESGLGPFKVKWSMPVK
+>DECOY_NM_024504|126961_2_740_1080
+KVPMSWKVKFPGLGSEKPSLVVASCVLIHSKVLCRRCSAYVKQFNFPTKIWLKLFLILDLATQPSWSAQFRMTCAPQTSWAPLSGTCFSTCTRRRSSSGLLPSGKKTPPNPVM
+>NM_018485|126977_2_99_418
+MGITATSRTALWTAWMAPAWPSTRCAWPRSHCMPPSSWWGCRAMPWWPGWLGRWPAGGWVPPGCSTWPWRICCAVCLCPSWQCPLPVEATGRMVQWAVGRCPPSSC
+>DECOY_NM_018485|126977_2_99_418
+CSSPPCRGVAWQVMRGTAEVPLPCQWSPCLCVACCIRWPWTSCGPPVWGGAPWRGLWGPWWPMARCGWWSSPPMCHSRPWACRTSPWAPAMWATWLATRSTATIGM
+>NM_052924|127020_2_1988_2100
+MVARPRHPRGPVPGPSSTGAERPSRARLEAAPSPVPQ
+>DECOY_NM_052924|127020_2_1988_2100
+QPVPSPAAELRARSPREAGTSSPGPVPGRPHRPRAVM
+>NM_018994|127055_2_1216_1319
+MGPQNCGAIQLAGWDSVWWSSARLLVGEPHSAPV
+>DECOY_NM_018994|127055_2_1216_1319
+VPASHPEGVLLRASSWWVSDWGALQIAGCNQPGM
+>NM_139169|127079_3_369_538
+MDQEEKADFENWAWRDSRQRSPRSSGCWNWKRNKNVDQYVVRVQEIYCHWRTGESY
+>DECOY_NM_139169|127079_3_369_538
+YSEGTRWHCYIEQVRVVYQDVNKNRKWNWCGSSRPSRQRSDRWAWNEFDAKEEQDM
+>NM_152640|127114_2_1032_1225
+MAVPILRENFLQDLSSQGLLTTLELLVVYKMLPELRTCSRNFRVPQGQQTSVTLVHQHLPAQLP
+>DECOY_NM_152640|127114_2_1032_1225
+PLQAPLHQHVLTVSTQQGQPVRFNRSCTRLEPLMKYVVLLELTTLLGQSSLDQLFNERLIPVAM
+>NM_020137|127202_2_135_211
+MVLNSPVFDRRSPTWIRSSAKLRRH
+>DECOY_NM_020137|127202_2_135_211
+HRRLKASSRIWTPSRRDFVPSNLVM
+>NM_020137|127203_2_507_616
+MGKKPGSSQLSVRARGIPQGARPPPSWPPCRWQRWS
+>DECOY_NM_020137|127203_2_507_616
+SWRQWRCPPWSPPPRAGQPIGRARVSLQSSGPKKGM
+>NM_020137|127211_3_619_722
+MGNGERGEEIALGTAARLRELKAGRNIQAAGGTC
+>DECOY_NM_020137|127211_3_619_722
+CTGGAAQINRGAKLERLRAATGLAIEEGREGNGM
+>NM_020137|127212_3_2200_2285
+MDAGGEGEAPGSEQCFHGRGPLPEERHH
+>DECOY_NM_020137|127212_3_2200_2285
+HHREEPLPGRGHFCQESGPAEGEGGADM
+>NM_152363|127234_2_883_1088
+MGARRPLQGTGITAQTPLSSQRLRSLELRTQPRTLPPGLGHCHRPGRDFCMLSMPTRGYLGLRARRQN
+>DECOY_NM_152363|127234_2_883_1088
+NQRRARLGLYGRTPMSLMCFDRGPRHCHGLGPPLTRPQTRLELSRLRQSSLPTQATIGTGQLPRRAGM
+>NM_152363|127239_2_1792_1949
+MGGQENSPTRPAPRCVRSWTSGPVVAVLCPYIASSTWSLWRLIHGRRVLWKP
+>DECOY_NM_152363|127239_2_1792_1949
+PKWLVRRGHILRWLSWTSSAIYPCLVAVVPGSTWSRVCRPAPRTPSNEQGGM
+>NM_015131|127528_3_151_305
+MGDGQQPAAPGVRAFRAPQWYLHLSGLGASAAAGQGKSPEEKKEIRSCRNE
+>DECOY_NM_015131|127528_3_151_305
+ENRCSRIEKKEEPSKGQGAAASAGLGSLHLYWQPARFARVGPAAPQQGDGM
+>NM_015131|127530_3_607_698
+MGFGDQRSLQAFHRTCNASFVTDVHYHQTS
+>DECOY_NM_015131|127530_3_607_698
+STQHYHVDTVFSANCTRHFAQLSRQDGFGM
+>NM_001114748|127548_2_387_487
+MARGPGCPSCAACGSWAGGRTGPSRRPPGTWYT
+>DECOY_NM_001114748|127548_2_387_487
+TYWTGPPRRSPGTRGGAWSGCAACSPCGPGRAM
+>NM_014287|127576_2_1885_1973
+MEMGVRMWGFITSPKESTDSACPSLVCTK
+>DECOY_NM_014287|127576_2_1885_1973
+KTCVLSPCASDTSEKPSTIFGWMRVGMEM
+>NM_014287|127577_2_1891_1973
+MGVRMWGFITSPKESTDSACPSLVCTK
+>DECOY_NM_014287|127577_2_1891_1973
+KTCVLSPCASDTSEKPSTIFGWMRVGM
+>NM_014287|127584_3_3284_3435
+MGQALQKRKPRQSNPDSFPWPVPVLPFPPTAQRRRELCCASGLHTPQIPV
+>DECOY_NM_014287|127584_3_3284_3435
+VPIQPTHLGSACCLERRRQATPPFPLVPVPWPFSDPNSQRPKRKQLAQGM
+>NM_145196|127637_2_249_325
+MGSFYSQFPMMSIKIWLWKTGSMTI
+>DECOY_NM_145196|127637_2_249_325
+ITMSGTKWLWIKISMMPFQSYFSGM
+>NM_005800|127733_2_856_1145
+MEKYMTKPRQTYLIVVVNRIQLGQLIPWSGMRFWKLILLTWLLQKILLQLMSLELADLPLKMKDVHLNWKCHWRANVHHFPRLYVSSGKMLMLSVG
+>DECOY_NM_005800|127733_2_856_1145
+GVSLMLMKGSSVYLRPFHHVNARWHCKWNLHVDKMKLPLDALELSMLQLLIKQLLWTLLILKWFRMGSWPILQGLQIRNVVVILYTQRPKTMYKEM
+>NM_005800|127743_2_3394_3491
+MGKVTLGIWEWEIVISHHQYQVNSMMFPRTHI
+>DECOY_NM_005800|127743_2_3394_3491
+IHTRPFMMSNVQYQHHSIVIEWEWIGLTVKGM
+>NM_024694|127783_2_1170_1342
+MEKKKNSNSHFMVQDPHQKCSTLCSPYQIVLLQYRPLIWSYMRHLHLFICLKTRSFH
+>DECOY_NM_024694|127783_2_1170_1342
+HFSRTKLCIFLHLHRMYSWILPRYQLLVIQYPSCLTSCKQHPDQVMFHSNSNKKKEM
+>NM_024694|127789_3_853_929
+MVTRSHFSSPGIYGQSLGAPERNIA
+>DECOY_NM_024694|127789_3_853_929
+AINREPAGLSQGYIGPSSFHSRTVM
+>NM_024694|127791_3_3277_3386
+METASHRFFCSTAMPLSRLSMQFLCHKGNPRLLHTQ
+>DECOY_NM_024694|127791_3_3277_3386
+QTHLLRPNGKHCLFQMSLRSLPMATSCFFRHSATEM
+>NM_145653|127832_2_1665_1747
+MERWSQPPSPQEAARLPPASGTATAAA
+>DECOY_NM_145653|127832_2_1665_1747
+AAATATGSAPPLRAAEQPSPPQSWREM
+>NM_145653|127833_3_1411_1550
+MEDSLPPGLQGRKATGARVLAGAVPAASGRPRAAAASSDHENPIRT
+>DECOY_NM_145653|127833_3_1411_1550
+TRIPNEHDSSAAAARPRGSAAPVAGALVRAGTAKRGQLGPPLSDEM
+>NM_001113541|127882_3_97_182
+MGVGYLPHFGVCPGVLLPITPLLILLPL
+>DECOY_NM_001113541|127882_3_97_182
+LPLLILLPTIPLLVGPCVGFHPLYGVGM
+>NM_001113541|127884_3_3928_4022
+MGPATSPNLAPQESCIPSQSPSALAEDIRCL
+>DECOY_NM_001113541|127884_3_3928_4022
+LCRIDEALASPSQSPICSEQPALNPSTAPGM
+>NM_006373|127902_2_814_947
+MGSHIPSTITRLTTWMRSRRFPLKEWTLSWTLWVGQILPRATTS
+>DECOY_NM_006373|127902_2_814_947
+STTARPLIQGVWLTWSLTWEKLPFRRSRMWTTLRTITSPIHSGM
+>NM_006373|127909_3_1031_1119
+MVESVQRDSSAAAAGQPGCVWLPPGLPGW
+>DECOY_NM_006373|127909_3_1031_1119
+WGPLGPPLWVCGPQGAAAASSDRQVSEVM
+>NM_025190|127943_2_1875_1960
+MDNNLEQCLLRNNRPGRLQVSRKILFRI
+>DECOY_NM_025190|127943_2_1875_1960
+IRFLIKRSVQLRGPRNNRLLCQELNNDM
+>NM_025190|127944_2_2079_2158
+MEKNLGQCLLRNNRPRRLYLKRKFLF
+>DECOY_NM_025190|127944_2_2079_2158
+FLFKRKLYLRRPRNNRLLCQGLNKEM
+>NM_022835|128116_2_3566_3936
+MEAAWIPRAQATPYHPCHVTSQTFRFQVPHLCLHMEATWTIGSQPTPHCLCPRSSQTLRFQLPHLCPCHKSSQTSGSKPSQLHPSREASQTSRVQRLHLHFRSQALQIHRSKNSHLRWSRRAS
+>DECOY_NM_022835|128116_2_3566_3936
+SARRSWRLHSNKSRHIQLAQSRFHLHLRQVRSTQSAERSPHLQSPKSGSTQSSKHCPCLHPLQFRLTQSSRPCLCHPTPQSGITWTAEMHLCLHPVQFRFTQSTVHCPHYPTAQARPIWAAEM
+>NM_201520|128144_2_523_626
+MVWWGYGVGLWAACPELSSVPPPSCAPSHPPRTS
+>DECOY_NM_201520|128144_2_523_626
+STRPPHSPACSPPPVSSLEPCAAWLGVGYGWWVM
+>NM_201520|128148_3_539_723
+MAWGSGRPAPSYRRFLHPAVHLLIHQGPPEPVGDLSSPELEVGAGGCHDEWHCSCLGHGTL
+>DECOY_NM_201520|128148_3_539_723
+LTGHGLCSCHWEDHCGGAGVELEPSSLDGVPEPPGQHILLHVAPHLFRRYSPAPRGSGWAM
+>NM_015085|128186_2_1549_1745
+MEATGGSWSLLRGPSAYAATPWRPWWAARRSRTVGASLAASAGASPTTAWRSPRPPSRLQWWRQR
+>DECOY_NM_015085|128186_2_1549_1745
+RQRWWQLRSPPRPSRWATTPSAGASAALSAGVTRSRRAAWWPRWPTAAYASPGRLLSWSGGTAEM
+>NM_006942|128306_2_1023_1183
+MALPTANWKPPHRAPSLRVTLGSRGNCCPPIPTTCPLALPLHTTLPLLVPPCP
+>DECOY_NM_006942|128306_2_1023_1183
+PCPPVLLPLTTHLPLALPCTTPIPPCCNGRSGLTVRLSPARHPPKWNATPLAM
+>NM_032320|128320_2_282_403
+MVTPVIQRIGIGNCIVDLVNFINIQVLPRVLLKEELTTPK
+>DECOY_NM_032320|128320_2_282_403
+KPTTLEEKLLVRPLVQINIFNVLDVICNGIGIRQIVPTVM
+>NM_005738|128478_2_489_619
+MGCQTRLLSCPTCLHFSLSTLLFWVWTVLERQLSYTGCSSMNL
+>DECOY_NM_005738|128478_2_489_619
+LNMSSCGTYSLQRELVTWVWFLLTSLSFHLCTPCSLLRTQCGM
+>NM_024525|128511_2_2093_2196
+MDSQSRLQETKLAIYYFLWKLKPRKKGHNYIMLK
+>DECOY_NM_024525|128511_2_2093_2196
+KLMIYNHGKKRPKLKWLFYYIALKTEQLRSQSDM
+>NM_001080521|128554_3_1586_1803
+MGQQEARATGPSANFAHFGADGGTGWGSWLWQSLAGTWASSLRRHVGGPGPWTGQERSWQRRRLGYGTELYA
+>DECOY_NM_001080521|128554_3_1586_1803
+AYLETGYGLRRRQWSREQGTWPGPGGVHRRLSSAWTGALSQWLWSGWGTGGDAGFHAFNASPGTARAEQQGM
+>NM_173079|128577_2_466_566
+MGCQGTGHGCGARTRVSRKSKSVWKPKGRSRKN
+>DECOY_NM_173079|128577_2_466_566
+NKRSRGKPKWVSKSKRSVRTRAGCGHGTGQCGM
+>NM_004758|128613_2_4751_5067
+MGPSPSPTPFVRLTAMRRSWSRSWSCPSSSSVARSSLASRRRRKRKRRTRRRRSQGQAVLPETLARLNLHCWGWAVTVVSPEDLASVPCLLSPPGLETAWKTCLD
+>DECOY_NM_004758|128613_2_4751_5067
+DLCTKWATELGPPSLLCPVSALDEPSVVTVAWGWCHLNLRALTEPLVAQGQSRRRRTRRKRKRRRRSALSSRAVSSSSPCSWSRSWSRRMATLRVFPTPSPSPGM
+>NM_004758|128620_2_6026_6159
+MVRLCTPQPTQLGLLPSPAAPRKLSRKALPSPVQAPLSWSPLLT
+>DECOY_NM_004758|128620_2_6026_6159
+TLLPSWSLPAQVPSPLAKRSLKRPAAPSPLLGLQTPQPTCLRVM
+>NM_020787|128757_2_1314_1555
+MVRNPTNVMIVGKPLGTSHILVYIRRPTLKRNHISATSVGSLLRIPQFLMCIRGFILERNLLDVTNVEKPIEVIQALSYI
+>DECOY_NM_020787|128757_2_1314_1555
+IYSLAQIVEIPKEVNTVDLLNRELIFGRICMLFQPIRLLSGVSTASIHNRKLTPRRIYVLIHSTGLPKGVIMVNTPNRVM
+>NM_052944|128988_3_363_535
+MVHSEDQKRHSERLLPGWRGHGVVASGCILVCQQCWKWTFHWPGRVRCCYGHFCISL
+>DECOY_NM_052944|128988_3_363_535
+LSICFHGYCCRVRGPWHFTWKWCQQCVLICGSAVVGHGRWGPLLRESHRKQDESHVM
+>NM_052846|128997_2_630_862
+MGLPHPSWSLSLRFLQGSWTQAPGPLPTAEQPPALMEGKAQGCLVSGWNAWRVMSSAWLKHMVPSVAWWLATRIPTG
+>DECOY_NM_052846|128997_2_630_862
+GTPIRTALWWAVSPVMHKLWASSMVRWANWGSVLCGQAKGEMLAPPQEATPLPGPAQTWSGQLFRLSLSWSPHPLGM
+>NM_052846|129017_3_358_467
+MAPAAAPGAAQGPLCLCGAQECDLHPTGGSGELRKG
+>DECOY_NM_052846|129017_3_358_467
+GKRLEGSGGTPHLDCEQAGCLCLPGQAAGPAAAPAM
+>NM_017831|129140_2_758_906
+MDHYKNLRRQQQGVYVPFVRGNCMKTACWIIVLLITDRNGGLCSVHFAV
+>DECOY_NM_017831|129140_2_758_906
+VAFHVSCLGGNRDTILLVIIWCATKMCNGRVFPVYVGQQQRRLNKYHDM
+>NM_012067|129161_2_720_865
+MGNSPWAASLGIPGQRCTGIATGRSTTLRALPWWRRPCRPRMAPAPPA
+>DECOY_NM_012067|129161_2_720_865
+APPAPAMRPRCPRRWWPLARLTTSRGTAIGTCRQGPIGLSAAWPSNGM
+>NM_024089|129226_3_485_564
+MGTRAKSRRRPSRPLFLYSGSGYIRE
+>DECOY_NM_024089|129226_3_485_564
+ERIYGSGSYLFLPRSPRRRSKARTGM
+>NM_014950|129297_2_1163_1323
+MEKTVTSKLNLVKKILPKHFLHRRTNTEETQARLLMIQLQPLEAEKVAQWSLK
+>DECOY_NM_014950|129297_2_1163_1323
+KLSWQAVKEAELPQLQIMLLRAQTEETNTRRHLFHKPLIKKVLNLKSTVTKEM
+>NM_001031711|129354_2_525_631
+MGQAAASRGSSASTRSPATSTCPHTVPQPSHRTQT
+>DECOY_NM_001031711|129354_2_525_631
+TQTRHSPQPVTHPCTSTAPSRTSASSGRSAAAQGM
+>NM_003930|129372_2_831_934
+MERKIAVLKSLLLINVYISLQQLLPKMLKNGYSS
+>DECOY_NM_003930|129372_2_831_934
+SSYGNKLMKPLLQQLSIYVNILLLSKLVAIKREM
+>NM_006840|129418_3_1148_1233
+MASDRHFLFDQGGGSPSPAVSKVKVPVL
+>DECOY_NM_006840|129418_3_1148_1233
+LVPVKVKSVAPSPSGGGQDFLFHRDSAM
+>NM_014652|129534_2_1525_1610
+MGTWRPPMASVASLWPWARTTPGPCWTK
+>DECOY_NM_014652|129534_2_1525_1610
+KTWCPGPTTRAWPWLSAVSAMPPRWTGM
+>NM_014652|129538_2_1855_2207
+MDSGPQTRRSSSEFTGWTSQTRSCMSMRCWGPSCSATSMTSWVVCSPAQRSPTPGSTQRPSSTASNPSQRPLTSTILMWCLGSLASSHGSASATCSWQTLSCSPLELCLNGWLTTPS
+>DECOY_NM_014652|129538_2_1855_2207
+SPTTLWGNLCLELPSCSLTQWSCTASASGHSSALSGLCWMLITSTLPRQSPNSATSSPRQTSGPTPSRQAPSCVVWSTMSTASCSPGWCRMSMCSRTQSTWGTFESSSRRTQPGSDM
+>NM_020949|129598_2_2330_2595
+MASGTAPWKSALEKRPCTKARTNATTWMTPSQWRRVSPTPQRARARRTGAGPLKTKASITNRCQMRRQTAGQVAKRRAKANTNRTQRP
+>DECOY_NM_020949|129598_2_2330_2595
+PRQTRNTNAKARRKAVQGATQRRMQCRNTISAKTKLPGAGTRRARARQPTPSVRRWQSPTMWTTANTRAKTCPRKELASKWPATGSAM
+>NM_020949|129610_3_987_1120
+MGVHHDRRPLLHQWEILGGGPVLAPRLVRGAARSSNMLLRFHWL
+>DECOY_NM_020949|129610_3_987_1120
+LWHFRLLMNSSRAAGRVLRPALVPGGGLIEWQHLLPRRDHHVGM
+>NM_080927|129650_2_1525_1655
+MGRNGLCTESLVWSKIRYFKETKIITRMCVITFCHQLLHVLLE
+>DECOY_NM_080927|129650_2_1525_1655
+ELLVHLLQHCFTIVCMRTIIKTEKFYRIKSWVLSETCLGNRGM
+>NM_001080539|129774_2_1753_1832
+MGLPNRRWKKSLKVKINWPMKTENSR
+>DECOY_NM_001080539|129774_2_1753_1832
+RSNETKMPWNIKVKLSKKWRRNPLGM
+>NM_032597|129814_2_2312_2595
+MDKLKTSRLIRSKTQRSKPRISKLKTSRPKRRNPRKDNSKMFKPKDSKLRWRKCQNCYAKIQNPKYSNTNSGNSTKAISRLDNPGLSIFWPRIP
+>DECOY_NM_032597|129814_2_2312_2595
+PIRPWFISLGPNDLRSIAKTSNGSNTNSYKPNQIKAYCNQCKRWRLKSDKPKFMKSNDKRPNRRKPRSTKLKSIRPKSRQTKSRILRSTKLKDM
+>NM_017440|129874_2_350_465
+MELSQRAMWLHHQNQKPRKHQNHKKQNKRMLLKKEFTH
+>DECOY_NM_017440|129874_2_350_465
+HTFEKKLLMRKNQKKHNQHKRPKQNQHHLWMARQSLEM
+>NM_153380|129910_2_1298_1470
+MVTISPIALPLLRMRMLKQEQIPVNMTTMKNISATNKLPPTIRKFILRRSFMCVLNV
+>DECOY_NM_153380|129910_2_1298_1470
+VNLVCMFSRRLIFKRITPPLKNTASINKMTTMNVPIQEQKLMRMRLLPLAIPSITVM
+>NM_153380|129923_2_2300_2421
+MAVEKPSYGSRASKYIRNLILERDTMNARTAGKPSSRNQH
+>DECOY_NM_153380|129923_2_2300_2421
+HQNRSSPKGATRANMTDRELILNRIYKSARSGYSPKEVAM
+>NM_003417|129997_2_615_751
+MGRSHGPGRKTSPKTPVQATKENLRPQNLPLVSQPCQRESHFRDK
+>DECOY_NM_003417|129997_2_615_751
+KDRFHSERQCPQSVLPLNQPRLNEKTAQVPTKPSTKRGPGHSRGM
+>NM_015235|130094_2_221_348
+MASANTKTRRPRLVPCGTSMGGSSVGERFGWTMLPVKRIRRS
+>DECOY_NM_015235|130094_2_221_348
+SRRIRKVPLMTWGFREGVSSGGMSTGCPVLRPRRTKTNASAM
+>NM_001013631|130142_2_344_426
+MAKLRAALFIRALPSFNMIRRKMPGLL
+>DECOY_NM_001013631|130142_2_344_426
+LLGPMKRRIMNFSPLARIFLAARLKAM
+>NM_001013631|130143_2_563_645
+MAFNGIIMMECTVSQHVYLLLLPLLWL
+>DECOY_NM_001013631|130143_2_563_645
+LWLLPLLLLYVHQSVTCEMMIIGNFAM
+>NM_015507|130155_2_367_524
+MELNWPAATAGEETARESVKLHANLDVSLVSAWDQTNADAFQDTPGKPAVKM
+>DECOY_NM_015507|130155_2_367_524
+MKVAPKGPTDQFADANTQDWASVLSVDLNAHLKVSERATEEGATAAPWNLEM
+>NM_015507|130158_2_1456_1586
+MGSVTGNRIEKMILTGILLIEIMLLASIWQFRPWQVTRKTLAD
+>DECOY_NM_015507|130158_2_1456_1586
+DALTKRTVQWPRFQWISALLMIEILLIGTLIMKEIRNGTVSGM
+>NM_144724|130197_2_80_153
+MEDPGIGTGATMRSQATCPIKIPP
+>DECOY_NM_144724|130197_2_80_153
+PPIKIPCTAQSRMTAGTGIGPDEM
+>NM_033512|130246_2_1041_1120
+MGVVLLARWCLVLLQSSGSQGMISSP
+>DECOY_NM_033512|130246_2_1041_1120
+PSSIMGQSGSSQLLVLCWRALLVVGM
+>NM_001005339|130361_2_136_227
+MAVPAAATRASRAQPNGRHPWRICWKTQKA
+>DECOY_NM_001005339|130361_2_136_227
+AKQTKWCIRWPHRGNPQARSARTAAAPVAM
+>NM_214462|130376_2_1452_1549
+MDEATSYPHPGCWTRAPHRPLGTLPTHPLLPA
+>DECOY_NM_214462|130376_2_1452_1549
+APLLPHTPLTGLPRHPARTWCGPHPYSTAEDM
+>NM_001098835|130405_2_242_420
+MECLLSSRQTTPVASAHLRPFCPHPCANLQGLCSLRSHRWGHRHQGPHSHLTCGPWRHS
+>DECOY_NM_001098835|130405_2_242_420
+SHRWPGCTLHSHPGQHRHGWRHSRLSCLGQLNACPHPCFPRLHASAVPTTQRSSLLCEM
+>NM_022075|130426_2_432_550
+MDVSTPKPQISISRCPWPCSSSSFDTSLSCTWLHHWLPS
+>DECOY_NM_022075|130426_2_432_550
+SPLWHHLWTCSLSTDFSSSSCPWPCRSISIQPKPTSVDM
+>NM_022075|130433_3_1114_1361
+MEEHLQQHLHRLRHCFYHHPTGHPALLDPALHPGVPTGALSCLLWLLLLQFHDGSSTAAAYLLGLPHFAHGPQVHNWKAGRR
+>DECOY_NM_022075|130433_3_1114_1361
+RRGAKWNHVQPGHAFHPLGLLYAAATSSGDHFQLLLLWLLCSLAGTPVGPHLAPDLLAPHGTPHHYFCHRLRHLHQQLHEEM
+>NM_001044388|130480_2_1071_1228
+MGRNPMNAMTVGKPSAADLTLLFIRESTMGRNPTNAVTVGKPSAIPHTSDRT
+>DECOY_NM_001044388|130480_2_1071_1228
+TRDSTHPIASPKGVTVANTPNRGMTSERIFLLTLDAASPKGVTMANMPNRGM
+>NM_001044388|130483_2_1155_1228
+MGRNPTNAVTVGKPSAIPHTSDRT
+>DECOY_NM_001044388|130483_2_1155_1228
+TRDSTHPIASPKGVTVANTPNRGM
+>NM_001044388|130486_2_1575_1648
+MERNPMSAVIVENPLMFSHPLRNT
+>DECOY_NM_001044388|130486_2_1575_1648
+TNRLPHSFMLPNEVIVASMPNREM
+>NM_032932|130571_2_884_1038
+MGRVTMWTVPPAALAPMMRPGPTSTRTWGLRCLPVRGRRLGKCGTCTTANC
+>DECOY_NM_032932|130571_2_884_1038
+CNATTCTGCKGLRRGRVPLCRLGWTRTSTPGPRMMPALAAPPVTWMTVRGM
+>NM_018257|130627_3_364_596
+MEAWKHSPLSPVHLLGGDGSPRSAAWTLVSEPGQWHWVSQLHGGPHSRSFWCEPWGGTSLRCDRVCKAETGLLHQNK
+>DECOY_NM_018257|130627_3_364_596
+KNQHLLGTEAKCVRDCRLSTGGWPECWFSRSHPGGHLQSVWHWQGPESVLTWAASRPSGDGGLLHVPSLPSHKWAEM
+>NM_016070|130669_2_185_423
+MAKPKLPSKTSGTTRIGLERSFIQCMGLVKELLIYSIQTSSLPVNGLWRSTLSYRNLEKQMKRSYLWKQGRLYWQKVSF
+>DECOY_NM_016070|130669_2_185_423
+FSVKQWYLRGQKWLYSRKMQKELNRYSLTSRWLGNVPLSSTQISYILLEKVLGMCQIFSRELGIRTTGSTKSPLKPKAM
+>NM_024718|130722_2_1550_2061
+MGRPWAATRWWQGSRTMWTSKTSHVGVPRCLQAPSPVKTSLFRVRRKQKWQLPQKALPQLPSSAQSQRPSGPPYQLRSHGGGQLPRGPQHPPGQAVSLFAQVRRSAAAPGPLLRWSRGRVSRPPRRRVTPRDPLLHKCCPSSWMTPTLRARDQTHSAGRMTFPCEMTPPM
+>DECOY_NM_024718|130722_2_1550_2061
+MPPTMECPFTMRGASHTQDRARLTPTMWSSPCCKHLLPDRPTVRRRPPRSVRGRSWRLLPGPAAASRRVQAFLSVAQGPPHQPGRPLQGGGHSRLQYPPGSPRQSQASSPLQPLAKQPLQWKQKRRVRFLSTKVPSPAQLCRPVGVHSTKSTWMTRSGQWWRTAAWPRGM
+>NM_021821|130762_3_71_153
+MAVSAVEGKDSACILHCRLLGHSGPDT
+>DECOY_NM_021821|130762_3_71_153
+TDPGSHGLLRCHLICASDKGEVASVAM
+>NM_003262|130782_2_461_633
+MVKRKNPKRRKLQELLKRRKLRKNSNLSHMMIRFFWMEMRCMYGSMTQFTLKHLSWD
+>DECOY_NM_003262|130782_2_461_633
+DWSLHKLTFQTMSGYMCRMEMWFFRIMMHSLNSNKRLKRRKLLEQLKRRKPNKRKVM
+>NM_003262|130786_2_1019_1125
+MEQKARGENGIQTRTVTGGKMIDPSTVVEMEMILK
+>DECOY_NM_003262|130786_2_1019_1125
+KLIMEMEVVTSPDIMKGGTVTRTQIGNEGRAKQEM
+>NM_001671|130882_2_849_1024
+MAQKGPAARSTGWSTSAAATGSLAPGRPGLTPTTTAGWRTRTWWWSRPGRSRNLSSTT
+>DECOY_NM_001671|130882_2_849_1024
+TTSSLNRSRGPRSWWWTRTRWGATTTPTLGPRGPALSGTAAASTSWGTSRAAPGKQAM
+>NM_015262|130903_2_868_1136
+MAVTFLLTLRRRRKILRTLKKILDLKEADLHRLQMSWLPASRGMPWVEWTRSRQPYPQEKQNLGRHSKRRRKGELLQTMKRITYSHPPS
+>DECOY_NM_015262|130903_2_868_1136
+SPPHSYTIRKMTQLLEGKRRRKSHRGLNQKEQPYPQRSRTWEVWPMGRSAPLWSMQLRHLDAEKLDLIKKLTRLIKRRRRLTLLFTVAM
+>NM_015262|130912_2_1462_1586
+MVMMMTTFSRHPTANLLKHAKSNPLPISLVTKKEICSKKKP
+>DECOY_NM_015262|130912_2_1462_1586
+PKKKSCIEKKTVLSIPLPNSKAHKLLNATPHRSFTTMMMVM
+>NM_017714|131002_2_1273_1373
+MGKPRLTFQDFLLVRWQDSLWQSKVGCAAWRAQ
+>DECOY_NM_017714|131002_2_1273_1373
+QARWAACGVKSQWLSDQWRVLLFDQFTLRPKGM
+>NM_033401|131026_2_578_702
+MELVAGLHLCLTNTSGCRLTLEREWRSPLWPLKGDMVAPTG
+>DECOY_NM_033401|131026_2_578_702
+GTPAVMDGKLPWLPSRWERELTLRCGSTNTLCLHLGAVLEM
+>NM_033401|131031_2_1400_1500
+MEWISLIWPSSKNHRSLLWEMCHFLVHNHNLCP
+>DECOY_NM_033401|131031_2_1400_1500
+PCLNHNHVLFHCMEWLLSRHNKSSPWILSIWEM
+>NM_033401|131037_2_3278_3414
+MGSYAAMEGNAEKDPLGSFVTALSLHTQGHSAQMRFLHILDLAHP
+>DECOY_NM_033401|131037_2_3278_3414
+PHALDLIHLFRMQASHGQTHLSLATVFSGLPDKEANGEMAAYSGM
+>NM_033401|131038_2_3296_3414
+MEGNAEKDPLGSFVTALSLHTQGHSAQMRFLHILDLAHP
+>DECOY_NM_033401|131038_2_3296_3414
+PHALDLIHLFRMQASHGQTHLSLATVFSGLPDKEANGEM
+>NM_145021|131057_3_1172_1281
+MGEVADDVQRAQEDHVLSDIPRHCHHMCGLVLVCAH
+>DECOY_NM_145021|131057_3_1172_1281
+HACVLVLGCMHHCHRPIDSLVHDEQARQVDDAVEGM
+>NM_001024218|131514_2_2241_2329
+MAMLSELLMAQEIVSSLGNPKLVNSQLRQ
+>DECOY_NM_001024218|131514_2_2241_2329
+QRLQSNVLKPNGLSSVIEQAMLLESLMAM
+>NM_001024218|131517_2_2433_2584
+MALKNLKCEFWCKLGQAKISDPSAMTLKEGNVFWPKEPTWAPQRLVFWQL
+>DECOY_NM_001024218|131517_2_2433_2584
+LQWFVLRQPAWTPEKPWFVNGEKLTMASPDSIKAQGLKCWFECKLNKLAM
+>NM_024753|131794_2_653_735
+MGMILLLCWVRHNALRCARIIQVPWRL
+>DECOY_NM_024753|131794_2_653_735
+LRWPVQIIRACRLANHRVWCLLLIMGM
+>NM_024753|131800_2_2396_2481
+MEHWQAKWAKHLSKLITTQWQSLTMKLL
+>DECOY_NM_024753|131800_2_2396_2481
+LLKMTLSQWQTTILKSLHKAWKAQWHEM
+>NM_004337|131850_2_416_504
+MDPQEYAFLICYQATDRIYHQKQYTQIQS
+>DECOY_NM_004337|131850_2_416_504
+SQIQTYQKQHYIRDTAQYCILFAYEQPDM
+>NM_024602|131901_2_1827_1909
+MALVRLGTCMYPTPPAETLPSMNGSDS
+>DECOY_NM_024602|131901_2_1827_1909
+SDSGNMSPLTEAPPTPYMCTGLRVLAM
+>NM_014247|131965_2_338_423
+MELWASRRNTHFLQISQNCILLTVSTHR
+>DECOY_NM_014247|131965_2_338_423
+RHTSVTLLICNQSIQLFHTNRRSAWLEM
+>NM_014247|131967_2_1757_1830
+MVPPTFLKLVTLKRPVATPFQILL
+>DECOY_NM_014247|131967_2_1757_1830
+LLIQFPTAVPRKLTVLKLFTPPVM
+>NM_006643|132038_2_599_831
+MAWSISSHFSRIRQGLVTSWMRRRMRTPDGVGPTCRPPSSRLTPRGSLPARRPAAHTFPFSPPRRSWQGLSLCPRGR
+>DECOY_NM_006643|132038_2_599_831
+RGRPCLSLGQWSRRPPSFPFTHAAPRRAPLSGRPTLRSSPPRCTPGVGDPTRMRRRMWSTVLGQRIRSFHSSISWAM
+>NM_001039707|132112_2_434_549
+MERGNVLSRARQEPTGHILEMTDLKIWKRQIHSLLESF
+>DECOY_NM_001039707|132112_2_434_549
+FSELLSHIQRKWIKLDTMELIHGTPEQRARSLVNGREM
+>NM_001100915|132139_2_226_299
+MVPHLGTCTITSTPPNSPSPVVQN
+>DECOY_NM_001100915|132139_2_226_299
+NQVVPSPSNPPTSTITCTGLHPVM
+>NM_001100915|132144_2_2365_2465
+MASACSLRTASSIPRRWTTSGTQHPQPVPSPKK
+>DECOY_NM_001100915|132144_2_2365_2465
+KKPSPVPQPHQTGSTTWRRPISSATRLSCASAM
+>NM_001100915|132148_3_1973_2283
+MGHGQLQTVGIPATDSHTEQPLGGGHPAAPLGKRGCFPAQHLSCLESPFHSLREGSRTTGRGWSWSERQGARANLQAILTPKKSWHPEGLEQAEDQGERKPCP
+>DECOY_NM_001100915|132148_3_1973_2283
+PCPKREGQDEAQELGEPHWSKKPTLIAQLNARAGQRESWSWGRGTTRSGERLSHFPSELCSLHQAPFCGRKGLPAAPHGGGLPQETHSDTAPIGVTQLQGHGM
+>NM_052818|132156_2_340_416
+MVPMSSILTSWRKLMNGTKKEQEKQ
+>DECOY_NM_052818|132156_2_340_416
+QKEQEKKTGNMLKRWSTLISSMPVM
+>NM_024580|132259_2_2963_3051
+MAALRPLRRGHHRKENLHSLTAMDLSQDS
+>DECOY_NM_024580|132259_2_2963_3051
+SDQSLDMATLSHLNEKRHHGRRLPRLAAM
+>NM_024742|132368_2_2288_2601
+MARRCCGPGWCWGWRLTIGRHHVPGPLSTAGTESWVSSHTHPSPCPHVSPHPPPWLPWAQNLTFPLTCPPQPVLQTTCHQSGVGSRAFQSLHGPTGRVLLCPLP
+>DECOY_NM_024742|132368_2_2288_2601
+PLPCLLVRGTPGHLSQFARSGVGSQHCTTQLVPQPPCTLPFTLNQAWPLWPPPHPSVHPCPSPHTHSSVWSETGATSLPGPVHHRGITLRWGWCWGPGCCRRAM
+>NM_020888|132561_2_483_616
+METPRVSSPPGRGRMKTTSPSAVRPHPTWLRAPQQRTRSPSARR
+>DECOY_NM_020888|132561_2_483_616
+RRASPSRTRQQPARLWTPHPRVASPSTTKMRGRGPPSSVRPTEM
+>NM_020950|132623_2_557_813
+MEAPGFPGRLPLDVSTATGGARGLQKPNGHFLTMTEVRCWGPALCNRARSMELLPDGLGVLVIVTKSSTFPAQGQEGPTLFQMAW
+>DECOY_NM_020950|132623_2_557_813
+WAMQFLTPGEQGQAPFTSSKTVIVLVGLGDPLLEMSRARNCLAPGWCRVETMTLFHGNPKQLGRAGGTATSVDLPLRGPFGPAEM
+>NM_020950|132628_2_1274_1494
+MATEAQPGTPGRPLPAETASRTGTRAIPPAESPAVGTGRGGAPRRRTCALRMSPPAKPSSVTWSGCSSASARC
+>DECOY_NM_020950|132628_2_1274_1494
+CRASASSCGSWTVSSPKAPPSMRLACTRRRPAGGRGTGVAPSEAPPIARTGTRSATEAPLPRGPTGPQAETAM
+>NM_020950|132630_2_2825_3066
+MEDPRAFLAQQMLPPSTPRASPSPCPQRSQSPARNQREACRGQGQDLEDMCCQEHQQELAQDPAPPRLPLWTRTRKGAAA
+>DECOY_NM_020950|132630_2_2825_3066
+AAAGKRTRTWLPLRPPAPDQALEQQHEQCCMDELDQGQGRCAERQNRAPSQSRQPCPSPSARPTSPPLMQQALFARPDEM
+>NM_020950|132635_3_282_511
+MGSTAPGPLCAGIQGEGFEGEDDSGQTGSESLLCFPRVVIPQETPMQTRQGRESRDSIRGVFPARCCGGSSYPKPA
+>DECOY_NM_020950|132635_3_282_511
+APKPYSSGGCCRAPFVGRISDRSERGQRTQMPTEQPIVVRPFCLLSESGTQGSDDEGEFGEGQIGACLPGPATSGM
+>NM_020950|132637_3_1842_1921
+MDPGNTHRRHRVPCGGGLCPGQHRQL
+>DECOY_NM_020950|132637_3_1842_1921
+LQRHQGPCLGGGCPVRHRRHTNGPDM
+>NM_013386|132673_2_314_456
+MGTEWWTSASCRRGSGTWASLWARTPRRKFLLLEMSTKMGSWILKNL
+>DECOY_NM_013386|132673_2_314_456
+LNKLIWSGMKTSMELLLFKRRPTRAWLSAWTGSGRRCSASTWWETGM
+>NM_016474|132798_2_1043_1122
+MEMLLGLCHRSQRLCQPHVLLPRVAK
+>DECOY_NM_016474|132798_2_1043_1122
+KAVRPLLVHPQCLRQSRHCLGLLMEM
+>NM_016474|132802_3_573_652
+MGGLRGLFGAFPALYEKGFARSAGDG
+>DECOY_NM_016474|132802_3_573_652
+GDGASRAFGKEYLAPFAGFLGRLGGM
+>NM_001098612|132869_2_892_971
+MACRCPSRRASPCSSPAQLTATPLPH
+>DECOY_NM_001098612|132869_2_892_971
+HPLPTATLQAPSSCPSARRSPCRCAM
+>NM_024307|132907_3_843_916
+MADHEEESDPTLGGARGAGGLLVP
+>DECOY_NM_024307|132907_3_843_916
+PVLLGGAGRAGGLTPDSEEEHDAM
+>NM_012365|132921_2_121_242
+MGPSWGSSGWTPDCTPPCTSFSHTWPSLIFRMLPTMSPRC
+>DECOY_NM_012365|132921_2_121_242
+CRPSMTPLMRFILSPWTHSFSTCPPTCDPTWGSSGWSPGM
+>NM_012365|132923_3_17_204
+MGHRIHSPGISTQPKDSDAPLWAFLPVIRLHPAGKWGHPGAHLAGLQTAHPHVLLSLTPGHH
+>DECOY_NM_012365|132923_3_17_204
+HHGPTLSLLVHPHATQLGALHAGPHGWKGAPHLRIVPLFAWLPADSDKPQTSIGPSHIRHGM
+>NM_012365|132924_3_410_516
+MGSVHSPGCHFLGMWFPSGPGPCGSHPEAALLWAP
+>DECOY_NM_012365|132924_3_410_516
+PAWLLAAEPHSGCPGPGSPFWMGLFHCGPSHVSGM
+>NM_001003937|132937_2_1121_1305
+MDPSPSFIGTDMSSAASSPGFQTTAFQSPTGLLRLLKRTSGQIHCSTTCWVKTPIELDVAW
+>DECOY_NM_001003937|132937_2_1121_1305
+WAVDLEIPTKVWCTTSCHIQGSTRKLLRLLGTPSQFATTQFGPSSAASSMDTGIFSPSPDM
+>NM_014653|133009_2_1033_1205
+MGMREPSLATTVEPGAEPSRGGLSGRRRKSEPSTSAATWMTPRVGPFEECPFLTTKR
+>DECOY_NM_014653|133009_2_1033_1205
+RKTTLFPCEEFPGVRPTMWTAASTSPESKRRRGSLGGRSPEAGPEVTTALSPERMGM
+>NM_014653|133015_2_2287_2408
+MATSSAQGSGSSSMTPILRTCRRPSLPTSRWWMQPSKGGT
+>DECOY_NM_014653|133015_2_2287_2408
+TGGKSPQMWWRSTPLSPRRCTRLIPTMSSSGSGQASSTAM
+>NM_017950|133137_2_70_524
+MDRLLRERRKGIMKATWCHHQRRMMARKVKKLSVAQSILRKSQPKRKLQLKRGRSRQKGKQQWKGKRRLCPMEMLKAKRNITIQKLHPRKGKSVLQIRLTRISVLLRNCLERRHTIVLAGRLVSKASSKRPPVHQNPEKGGSPPQSHPTES
+>DECOY_NM_017950|133137_2_70_524
+SETPHSQPPSGGKEPNQHVPPRKSSAKSVLRGALVITHRRELCNRLLVSIRTLRIQLVSKGKRPHLKQITINRKAKLMEMPCLRRKGKWQQKGKQRSRGRKLQLKRKPQSKRLISQAVSLKKVKRAMMRRQHHCWTAKMIGKRRERLLRDM
+>NM_017950|133140_2_142_524
+MARKVKKLSVAQSILRKSQPKRKLQLKRGRSRQKGKQQWKGKRRLCPMEMLKAKRNITIQKLHPRKGKSVLQIRLTRISVLLRNCLERRHTIVLAGRLVSKASSKRPPVHQNPEKGGSPPQSHPTES
+>DECOY_NM_017950|133140_2_142_524
+SETPHSQPPSGGKEPNQHVPPRKSSAKSVLRGALVITHRRELCNRLLVSIRTLRIQLVSKGKRPHLKQITINRKAKLMEMPCLRRKGKWQQKGKQRSRGRKLQLKRKPQSKRLISQAVSLKKVKRAM
+>NM_017950|133147_3_1520_1629
+MGQQPGGHEAPRRGAQGGAGGAQRMPASSQIHRRRD
+>DECOY_NM_017950|133147_3_1520_1629
+DRRRHIQSSAPMRQAGGAGGQAGRRPAEHGGPQQGM
+>NM_015297|133158_2_461_729
+MGAAWSLRSLTGQVDSHPLRSSAPPGSWMMTNLQKSAWSPESLLSTMRCVMFVRSGQLRASSRAGSAPGFSMMAACAAWATSKETVQRR
+>DECOY_NM_015297|133158_2_461_729
+RRQVTEKSTAWAACAAMMSFGPASGARSSARLQGSRVFMVCRMTSLLSEPSWASKQLNTMMWSGPPASSRLPHSDVQGTLSRLSWAAGM
+>NM_001085437|133269_2_469_629
+MALNYATWVCPGKGLAWSGGPPRIPSLPPRRVTPSAVDTLCPARSCVSSRTCW
+>DECOY_NM_001085437|133269_2_469_629
+WCTRSSVCSRAPCLTDVASPTVRRPPLSPIRPPGGSWALGKGPCVWTAYNLAM
+>NM_018989|133310_2_500_693
+MAEKRNILVPRRLVQNLVNEGHVRKKEKTGNGETMTGTMSGMNCTVRSMTGEEAGVRVGVRVEA
+>DECOY_NM_018989|133310_2_500_693
+AEVRVGVRVGAEEGTMSRVTCNMGSMTGTMTEGNGTKEKKRVHGENVLNQVLRRPVLINRKEAM
+>NM_018989|133316_2_1469_1719
+MVLLHLSDFSWGHRLLCWQLVWCHLETSWDPPLDTIPQSPALPLWFQIHMNQMVTTQKLLVLLVLVDLSTDSSFQELRHSVPI
+>DECOY_NM_018989|133316_2_1469_1719
+IPVSHRLEQFSSDTSLDVLVLLVLLKQTTVMQNMHIQFWLPLAPSQPITDLPPDWSTELHCWVLQWCLLRHGWSFDSLHLLVM
+>NM_001076786|133370_2_1951_2096
+MVFQCKSYRCCSHKHLLSHQPKGYLMEKLMLKNQLIRCQRQMTDILRV
+>DECOY_NM_001076786|133370_2_1951_2096
+VRLIDTMQRQCRILQNKLMLKEMLYGKPQHSLLHKHSCCRYSKCQFVM
+>NM_001076786|133375_2_4465_4547
+MVKENLLLQIVILDILEMQRVNTKEYM
+>DECOY_NM_001076786|133375_2_4465_4547
+MYEKTNVRQMELIDLIVIQLLLNEKVM
+>NM_057175|133505_2_1346_1446
+MERRNHQPHYFGSSTTWHNIMTKLVSHLLLWST
+>DECOY_NM_057175|133505_2_1346_1446
+TSWLLLHSVLKTMINHWTTSSGFYHPQHNRREM
+>NM_032385|133587_3_308_384
+MASSEILGCFWLLLASPVGEAADYI
+>DECOY_NM_032385|133587_3_308_384
+IYDAAEGVPSALLLWFCGLIESSAM
+>NM_001040697|133613_3_1108_1265
+MGYWRARRRQSAHMEWPRRSSESYLSSAAVQQSHGTAKSKRSKILVCWTISS
+>DECOY_NM_001040697|133613_3_1108_1265
+SSITWCVLIKSRKSKATGHSQQVAASSLYSESSRRPWEMHASQRRRARWYGM
+>NM_018502|133640_2_936_1045
+MGLCLLWGCCCWTWLGLSRKPRMQDWSCWHAPCFDV
+>DECOY_NM_018502|133640_2_936_1045
+VDFCPAHWCSWDQMRPKRSLGLWTWCCCGWLLCLGM
+>NM_001079910|133707_3_4457_4554
+MASIRFHPLPFTNTASFKPAALAKDSWKLKMG
+>DECOY_NM_001079910|133707_3_4457_4554
+GMKLKWSDKALAAPKFSATNTFPLPHFRISAM
+>NM_152586|133745_2_912_1018
+MANIILHSFFKQRFANGCILMMLMSRRLGPNGRMW
+>DECOY_NM_152586|133745_2_912_1018
+WMRGNPGLRRSMLMMLICGNAFRQKFFSHLIINAM
+>NM_152586|133757_2_4149_4342
+MVSMKPEQCVLLRLHLAEASAGSVGRMSSTVQRIYVASHAVSVAPLSQRGRKLRFLPTVLIHQT
+>DECOY_NM_152586|133757_2_4149_4342
+TQHILVTPLFRLKRGRQSLPAVSVAHSAVYIRQVTSSMRGVSGASAEALHLRLLVCQEPKMSVM
+>NM_014662|133826_2_1220_1350
+MELVWIWCAWESNRYMLSHCSSSIIGVLPVILVWAMTIISLTG
+>DECOY_NM_014662|133826_2_1220_1350
+GTLSIITMAWVLIVPLVGIISSSCHSLMYRNSEWACWIWVLEM
+>NM_001039752|133861_3_1698_1780
+MDHLWNLPHHWWPYCLPPTRNQESAFA
+>DECOY_NM_001039752|133861_3_1698_1780
+AFASEQNRTPPLCYPWWHHPLNWLHDM
+>NM_015014|133890_2_817_977
+MGPRLQMDFVLELISHLRPHLETRDRFLWGISLIKLKNLPLRSTFWTVEVSWP
+>DECOY_NM_015014|133890_2_817_977
+PWSVEVTWFTSRLPLNKLKILSIGWLFRDRTELHPRLHSILELVFDMQLRPGM
+>NM_001098805|133909_2_476_633
+MAPIQTLRISTATLLSIMPCIVRAPHWQKNCFPMVHILKHWTRTIIPHFYLL
+>DECOY_NM_001098805|133909_2_476_633
+LLYFHPIITRTWHKLIHVMPFCNKQWHPARVICPMISLLTATSIRLTQIPAM
+>NM_024597|133950_2_2051_2142
+MGSNKMRLKRRKDGWIRKTRKHHCRKGTPK
+>DECOY_NM_024597|133950_2_2051_2142
+KPTGKRCHHKRTKRIWGDKRRKLRMKNSGM
+>NM_024597|133955_3_2088_2161
+MAGSGRPGSTTAERGRQNKSSRGS
+>DECOY_NM_024597|133955_3_2088_2161
+SGRSSKNQRGREATTSGPRGSGAM
+>NM_001001669|133977_2_74_306
+MEPTSHPPGQGVRTGKVGPLRTDRCFIRGWLSGSSSTLRSPTCTCSSSVPLTSGAASSSCRREIWMSCSQTLMISSK
+>DECOY_NM_001001669|133977_2_74_306
+KSSIMLTQSCSMWIERRCSSSAAGSTLPVSSSCTCTPSRLTSSSGSLWGRIFCRDTRLPGVKGTRVGQGPPHSTPEM
+>NM_198279|134016_2_103_248
+MAVNIGRIQKDPSRGEWTVRNMDRVNQGFPLVEIYITSLMNIDHLPLT
+>DECOY_NM_198279|134016_2_103_248
+TLPLHDINMLSTIYIEVLPFGQNVRDMNRVTWEGRSPDKQIRGINVAM
+>NM_198279|134017_2_166_248
+MDRVNQGFPLVEIYITSLMNIDHLPLT
+>DECOY_NM_198279|134017_2_166_248
+TLPLHDINMLSTIYIEVLPFGQNVRDM
+>NM_198279|134020_2_640_953
+MDTHQKDLKTWRGMKAESLPGTQSGSLSIPSHLTKRTQTSGTLGPKLIDMLRGNTQRPVQQPKYPMTIVTNVLSSWMGTRTFLMGELRSTVRKKIENIVFKKAL
+>DECOY_NM_198279|134020_2_640_953
+LAKKFVINEIKKRVTSRLEGMLFTRTGMWSSLVNTVITMPYKPQQVPRQTNGRLMDILKPGLTGSTQTRKTLHSPISLSGSQTGPLSEAKMGRWTKLDKQHTDM
+>NM_198279|134025_2_1003_1118
+MDKSKNLLNRLRKTALPVLIQIKMMLICDLVMTNGRKK
+>DECOY_NM_198279|134025_2_1003_1118
+KKRGNTMVLDCILMMKIQILVPLATKRLRNLLNKSKDM
+>NM_198698|134033_2_136_266
+MGPPAAAQPPVWLCCAGPCVGYPPAASQPAVCPAPARWPAVCL
+>DECOY_NM_198698|134033_2_136_266
+LCVAPWRAPAPCVAPQSAAPPYGVCPGACCLWVPPQAAAPPGM
+>NM_033513|134036_3_315_523
+MAPSPGPPLPEGRLQQQRERGLRVPERRRAQEEAGAGRAHLQRAAQAHLPGRPSPRGGGGAAAAQGAVP
+>DECOY_NM_033513|134036_3_315_523
+PVAGQAAAAGGGGRPSPRGPLHAQAARQLHARGAGAEEQARRREPVRLGRERQQQLRGEPLPPGPSPAM
+>NM_198699|134050_2_58_173
+MAAASAFLVPVTLAPTPGRWTTAQRAAVSPPAAPRPPA
+>DECOY_NM_198699|134050_2_58_173
+APPRPAAPPSVAARQATTWRGPTPALTVPVLFASAAAM
+>NM_001040011|134303_3_257_375
+MDPVPGAPTSQLLLLPHPHSTPSSQRAVGPIRLSPRIPL
+>DECOY_NM_001040011|134303_3_257_375
+LPIRPSLRIPGVARQSSPTSHPHPLLLLQSTPAGPVPDM
+>NM_001001524|134437_2_396_499
+MALFTTSSTWPWPAPSAEGRDTGILDSTGWVPSP
+>DECOY_NM_001001524|134437_2_396_499
+PSPVWGTSDLIGTDRGEASPAPWPWTSSTTFLAM
+>NM_173521|134535_2_4069_4310
+MVHSRLHVTNCTLRKVIYSLISKNVYQMSLKASHVKVQKMRLSGENYHLSPVWIYFVLLILMQIKKNSTAFISTKELEKV
+>DECOY_NM_173521|134535_2_4069_4310
+VKELEKTSIFATSNKKIQMLILLVFYIWVPSLHYNEGSLRMKQVKVHSAKLSMQYVNKSILSYIVKRLTCNTVHLRSHVM
+>NM_178536|134552_3_137_216
+MVRPGPGGQQLQAGAQGAAERFHRNF
+>DECOY_NM_178536|134552_3_137_216
+FNRHFREAAGQAGAQLQQGGPGPRVM
+>NM_139056|134639_2_1054_1130
+MAMKISPPTCSRYSTWYLLYSKMEQ
+>DECOY_NM_139056|134639_2_1054_1130
+QEMKSYLLYWTSYRSCTPPSIKMAM
+>NM_139056|134641_2_1468_1586
+MEKGTCAKSPRATSCPLHWQDAMESSPGHPAAASIYTNF
+>DECOY_NM_139056|134641_2_1468_1586
+FNTYISAAAPHGPSSEMADQWHLPCSTARPSKACTGKEM
+>NM_139056|134644_2_1867_2033
+MVMKAPSPPMATGRTGLLGPHAPGPAEGEYLIGVASAPTPSHRMEGSSVRAPLAL
+>DECOY_NM_139056|134644_2_1867_2033
+LALPARVSSGEMRHSPTPASAVGILYEGEAPGPAHPGLLGTRGTAMPPSPAKMVM
+>NM_139056|134660_3_812_945
+MGAGTSTPAQQRPSPGTATKAAFLWKTQEIHAPASQGRPLHLAR
+>DECOY_NM_139056|134660_3_812_945
+RALHLPRGQSAPAHIEQTKWLFAAKTATGPSPRQQAPTSTGAGM
+>NM_007037|134781_2_1211_1404
+MGGLHCVTSATGSGVSTSPATATQSTTTRPSCSPDRTSVGRRGCVTPWVWQTSGPFVTPTKAAP
+>DECOY_NM_007037|134781_2_1211_1404
+PAAKTPTVFPGSTQWVWPTVCGRRGVSTRDPSCSPRTTTSQTATAPSTSVGSGTASTVCHLGGM
+>NM_007037|134784_2_1826_2283
+MAACPGLTARRAGLGTSAQKAAVYLRRKWRGPSPWQMEAGHRGDPGENVLGPVEEEYSFHTVSARTPSLRMEEDTAWVGEPSTSHATRRNAPLTGKASGSSSVRSIMPTITLTWTGISCSGSPSMLGCPPGTAASCSAEPGGGASSKCSRPR
+>DECOY_NM_007037|134784_2_1826_2283
+RPRSCKSSAGGGPEASCSAATGPPCGLMSPSGSCSIGTWTLTITPMISRVSSSGSAKGTLPANRRTAHSTSPEGVWATDEEMRLSPTRASVTHFSYEEEVPGLVNEGPDGRHGAEMQWPSPGRWKRRLYVAAKQASTGLGARRATLGPCAAM
+>NM_007037|134786_2_2036_2283
+MEEDTAWVGEPSTSHATRRNAPLTGKASGSSSVRSIMPTITLTWTGISCSGSPSMLGCPPGTAASCSAEPGGGASSKCSRPR
+>DECOY_NM_007037|134786_2_2036_2283
+RPRSCKSSAGGGPEASCSAATGPPCGLMSPSGSCSIGTWTLTITPMISRVSSSGSAKGTLPANRRTAHSTSPEGVWATDEEM
+>NM_001105576|135059_3_786_961
+MGDGERQRVHQPEQQQQWHHCVEGRERSGRDGCGDKQESGSVADQGEGHRGQPGGANA
+>DECOY_NM_001105576|135059_3_786_961
+ANAGGPQGRHGEGQDAVSGSEQKDGCGDRGSRERGEVCHHWQQQQEPQHVRQREGDGM
+>NM_144964|135178_2_165_301
+MDFLKASSFCRSMRKASARRERSWPQAVRHGARKMSRENRDTGKR
+>DECOY_NM_144964|135178_2_165_301
+RKGTDRNERSMKRAGHRVAQPWSRERRASAKRMSRCFSSAKLFDM
+>NM_144964|135181_3_253_506
+MVLEKCPEKTETLGKDSCSKEEQKKARKRTKKSQSCRKSRHLPPAQQTFPESSNQRQTFGSQTLRTKTMYRFEYDPLHVKEGIK
+>DECOY_NM_144964|135181_3_253_506
+KIGEKVHLPDYEFRYMTKTRLTQSGFTQRQNSSEPFTQQAPPLHRSKRCSQSKKTRKRAKKQEEKSCSDKGLTETKEPCKELVM
+>NM_001102663|135205_2_834_1141
+MALMTPTSHIRKPKSHLRKTKSTQLSLAHPLMLNGRMLYTLFQKMKVMMRKRKKKGQCLPGICRSLKRRKSPRSPGMKVIRLSQFLLKCWPRTSLTAAHFTH
+>DECOY_NM_001102663|135205_2_834_1141
+HTFHAATLSTRPWCKLLFQSLRIVKMGPSRPSKRRKLSRCIGPLCQGKKKRKRMMVKMKQFLTYLMRGNLMLPHALSLQTSKTKRLHSKPKRIHSTPTMLAM
+>NM_024043|135303_2_231_319
+MATRLWRRRSGASQYQHRGSCRSRRGGSL
+>DECOY_NM_024043|135303_2_231_319
+LSGGRRSRCSGRHQYQSAGSRRRWLRTAM
+>NM_152357|135418_2_571_731
+MDQSHVSVNNLKKPSDTAPPLEHKKGITLERNPMLVKYVEKPLFPIQVFEDTW
+>DECOY_NM_152357|135418_2_571_731
+WTDEFVQIPFLPKEVYKVLMPNRELTIGKKHELPPATDSPKKLNNVSVHSQDM
+>NM_152357|135419_2_745_1148
+MDLINVSFVGKHSIVSDYILSMKEFTLERNHVNVNSVVNPLVILLPIEYIKELTLEKSLMNIRSVGKHFIVPDPIVDMKGFTWEKRLINVRNVEKHSRVPVMFVYMKGPTLGKISMNVSSVGKHYPLLQVFKHT
+>DECOY_NM_152357|135419_2_745_1148
+THKFVQLLPYHKGVSSVNMSIKGLTPGKMYVFMVPVRSHKEVNRVNILRKEWTFGKMDVIPDPVIFHKGVSRINMLSKELTLEKIYEIPLLIVLPNVVSNVNVHNRELTFEKMSLIYDSVISHKGVFSVNILDM
+>NM_145054|135510_2_256_455
+MATTSPAWPSPGLESTSPPDKSHSWGSRQTSFCGIIRTESCLLGCPFTKAKLKLWPFLQMICTWYH
+>DECOY_NM_145054|135510_2_256_455
+HYWTCIMQLFPWLKLKAKTFPCGLLCSETRIIGCFSTQRSGWSHSKDPPSTSELGPSPWAPSTTAM
+>NM_145054|135511_2_604_680
+MGQFEYGNWIFQIEKSGQLSAKQDS
+>DECOY_NM_145054|135511_2_604_680
+SDQKASLQGSKEIQFIWNGYEFQGM
+>NM_145054|135517_3_1397_1470
+MADRLSDPEAGGGPEGTQVISVLH
+>DECOY_NM_145054|135517_3_1397_1470
+HLVSIVQTGEPGGGAEPDSLRDAM
+>NM_145313|135547_3_1346_1449
+MDTGRVSFREGQEDSELPAHGAHLQRGSSLRRLL
+>DECOY_NM_145313|135547_3_1346_1449
+LLRRLSSGRQLHAGHAPLESDEQGERFSVRGTDM
+>NM_001017975|135722_3_2250_2338
+MDTINSALYQSLEKSISLWFCIWIEQRWN
+>DECOY_NM_001017975|135722_3_2250_2338
+NWRQEIWICFWLSISKELSQYLASNITDM
+>NM_032868|135824_2_1153_1241
+MASSPASPCSAPLTILATQAPSPRSRLSG
+>DECOY_NM_032868|135824_2_1153_1241
+GSLRSRPSPAQTALITLPASCPSAPSSAM
+>NM_024658|135854_2_2049_2185
+MGKKRRSSWMRMWKKRMTQRSQGTAWRMPSSMRRKTPVLPWGRSL
+>DECOY_NM_024658|135854_2_2049_2185
+LSRGWPLVPTKRRMSSPMRWATGQSRQTMRKKWMRMWSSRRKKGM
+>NM_024658|135863_2_2916_3037
+MGATLPRNTSPSCWGSFFPSWRGSDMIVSVTTSVGHLPAC
+>DECOY_NM_024658|135863_2_2916_3037
+CAPLHGVSTTVSVIMDSGRWSPFFSGWCSPSTNRPLTAGM
+>NM_023037|136037_2_902_984
+MALRMNHMNTDQEQAINQKAMNNSEII
+>DECOY_NM_023037|136037_2_902_984
+IIESNNMAKQNIAQEQDTNMHNMRLAM
+>NM_023037|136058_2_7556_7638
+MGSPGPWPSPGAHLPLPQAPTPTSLFQ
+>DECOY_NM_023037|136058_2_7556_7638
+QFLSTPTPAQPLPLHAGPSPWPGPSGM
+>NM_023037|136060_2_7904_8028
+MERVRVWTISTGECADVLWTAWISVICRFWRSANCQEALLA
+>DECOY_NM_023037|136060_2_7904_8028
+ALLAEQCNASRWFRCIVSIWATWLVDACEGTSITWVRVREM
+>NM_016052|136179_2_271_404
+MENQVLGLIWAGQMLWLKSSTRKLLKVNLLFWSKIRSWKRKKKS
+>DECOY_NM_016052|136179_2_271_404
+SKKKRKWSRIKSWFLLNVKLLKRTSSKLWLMQGAWILGLVQNEM
+>NM_018246|136247_2_207_292
+MAGLKISGFMWTNSLRLMYTFDYIRERI
+>DECOY_NM_018246|136247_2_207_292
+IRERIYDFTYMLRLSNTWMFGSIKLGAM
+>NM_133458|136283_2_1080_1273
+MAHPSLNTRSHTVERSPSSVRNVGKPLVDVLPLSNMRGLILERNLLNVAYVGGLLVRAHPFINI
+>DECOY_NM_133458|136283_2_1080_1273
+INIFPHARVLLGGVYAVNLLNRELILGRMNSLPLVDVLPKGVNRVSSPSREVTHSRTNLSPHAM
+>NM_198535|136609_3_95_291
+MGFAGSCSEKPLQRCDAGKLPEPGLTRVSATHTPSDLPVGTRGGPADSEERTYPGHLYGRAPGRF
+>DECOY_NM_198535|136609_3_95_291
+FRGPARGYLHGPYTREESDAPGGRTGVPLDSPTHTASVRTLGPEPLKGADCRQLPKESCSGAFGM
+>NM_002420|136693_2_2472_2734
+MAKKKKRKIRMQMQMLAQERGMRRTSTKNREVFPSEQRSVNSITRPLSSSGFTQYHTWATCCCLTTSSWCGWMAGRPSRSGSSSPTS
+>DECOY_NM_002420|136693_2_2472_2734
+STPSSSGSRSPRGAMWGCWSSTTLCCCTAWTHYQTFGSSSLPRTISNVSRQESPFVERNKTSTRRMGREQALMQMQMRIKRKKKKAM
+>NM_014915|136781_2_575_654
+MVLIQILRMSMATLLFTMLSIMRTYQ
+>DECOY_NM_014915|136781_2_575_654
+QYTRMISLMTFLLTAMSMRLIQILVM
+>NM_024727|136823_2_717_805
+MGHFWVNCYLCCKVSKYLIFPLTETLLAV
+>DECOY_NM_024727|136823_2_717_805
+VALLTETLPFILYKSVKCCLYCNVWFHGM
+>NM_014810|136909_2_618_730
+MVDTWMILGLMLQSPNPLNHEKRNLVVLSGPPPWRVM
+>DECOY_NM_014810|136909_2_618_730
+MVRWPPPGSLVVLNRKEHNLPNPSQLMLGLIMWTDVM
+>NM_014810|136919_2_2940_3013
+MGQHGTLSMMCSRHLKKMDLGPRL
+>DECOY_NM_014810|136919_2_2940_3013
+LRPGLDMKKLHRSCMMSLTGHQGM
+>NM_014810|136944_2_7998_8104
+MEHMMVLHILSAKKSMVFLLLLKKYLTFQKTLMTM
+>DECOY_NM_014810|136944_2_7998_8104
+MTMLTKQFTLYKKLLLLFVMSKKASLIHLVMMHEM
+>NM_014810|136946_2_8010_8104
+MVLHILSAKKSMVFLLLLKKYLTFQKTLMTM
+>DECOY_NM_014810|136946_2_8010_8104
+MTMLTKQFTLYKKLLLLFVMSKKASLIHLVM
+>NM_019000|137270_2_833_945
+MGPSTFQKDTLHRQTLLMILTDPVRKFSLEIFQIFHL
+>DECOY_NM_019000|137270_2_833_945
+LHFIQFIELSFKRVPDTLIMLLTQRHLTDKQFTSPGM
+>NM_001080452|137299_2_437_588
+MESRRRCLSFPGSSRKHPPNQGSRSHRPQSPARWMAEGPLQPASPSQHPQ
+>DECOY_NM_001080452|137299_2_437_588
+QPHQSPSAPQLPGEAMWRAPSQPRHSRSGQNPPHKRSSGPFSLCRRRSEM
+>NM_017782|137348_2_2666_2772
+MGQKALKQQPQWGKSCHFGISPAFCFSKSLLTTPW
+>DECOY_NM_017782|137348_2_2666_2772
+WPTTLLSKSFCFAPSIGFHCSKGWQPQQKLAKQGM
+>NM_017782|137355_2_5711_5793
+MVSLKLSYIKKPQVQALLALSPTPHLL
+>DECOY_NM_017782|137355_2_5711_5793
+LLHPTPSLALLAQVQPKKIYSLKLSVM
+>NM_017782|137357_2_6599_6747
+MGILSILPPLPMSFQRSHQPRSPLVLSLRQKSLRPHFCILHLGAEAPFW
+>DECOY_NM_017782|137357_2_6599_6747
+WFPAEAGLHLICFHPRLSKQRLSLVLPSRPQHSRQFSMPLPPLISLIGM
+>NM_001122965|137409_2_501_694
+MVSLRDKTEIPTMVSLRNKTEIPTTVSLRDKTEILTTISLRDKTRISALISQRDKVKTPALVKK
+>DECOY_NM_001122965|137409_2_501_694
+KKVLAPTKVKDRQSILASIRTKDRLSITTLIETKDRLSVTTPIETKNRLSVMTPIETKDRLSVM
+>NM_001122965|137410_2_537_694
+MVSLRNKTEIPTTVSLRDKTEILTTISLRDKTRISALISQRDKVKTPALVKK
+>DECOY_NM_001122965|137410_2_537_694
+KKVLAPTKVKDRQSILASIRTKDRLSITTLIETKDRLSVTTPIETKNRLSVM
+>NM_001122965|137411_2_783_895
+MVSLKDIHNNLKHLDKPLTLTRQINRNQALIVDSLRG
+>DECOY_NM_001122965|137411_2_783_895
+GRLSDVILAQNRNIQRTLTLPKDLHKLNNHIDKLSVM
+>NM_001122965|137412_2_984_1534
+MVRQTDKARVPTTVRRTDKARVPTTVSQTDKVRVPTMVKWTEKASVIIMIRQTDKARVPTTVNQTDKVRVPTMVSQTHKIRVLTMVRQTDKTKVLTMVRQRDKARVPTTVRWTDKARVLTTVRQTDKARVPTMVSQTDKARIPTMVRQTDKARVPTMVRQTDKARVPTTVSQTNKARVPTMVR
+>DECOY_NM_001122965|137412_2_984_1534
+RVMTPVRAKNTQSVTTPVRAKDTQRVMTPVRAKDTQRVMTPIRAKDTQSVMTPVRAKDTQRVTTLVRAKDTWRVTTPVRAKDRQRVMTLVKTKDTQRVMTLVRIKHTQSVMTPVRVKDTQNVTTPVRAKDTQRIMIIVSAKETWKVMTPVRVKDTQSVTTPVRAKDTRRVTTPVRAKDTQRVM
+>NM_001122965|137413_2_1092_1534
+MVKWTEKASVIIMIRQTDKARVPTTVNQTDKVRVPTMVSQTHKIRVLTMVRQTDKTKVLTMVRQRDKARVPTTVRWTDKARVLTTVRQTDKARVPTMVSQTDKARIPTMVRQTDKARVPTMVRQTDKARVPTTVSQTNKARVPTMVR
+>DECOY_NM_001122965|137413_2_1092_1534
+RVMTPVRAKNTQSVTTPVRAKDTQRVMTPVRAKDTQRVMTPIRAKDTQSVMTPVRAKDTQRVTTLVRAKDTWRVTTPVRAKDRQRVMTLVKTKDTQRVMTLVRIKHTQSVMTPVRVKDTQNVTTPVRAKDTQRIMIIVSAKETWKVM
+>NM_001122965|137415_2_1200_1534
+MVSQTHKIRVLTMVRQTDKTKVLTMVRQRDKARVPTTVRWTDKARVLTTVRQTDKARVPTMVSQTDKARIPTMVRQTDKARVPTMVRQTDKARVPTTVSQTNKARVPTMVR
+>DECOY_NM_001122965|137415_2_1200_1534
+RVMTPVRAKNTQSVTTPVRAKDTQRVMTPVRAKDTQRVMTPIRAKDTQSVMTPVRAKDTQRVTTLVRAKDTWRVTTPVRAKDRQRVMTLVKTKDTQRVMTLVRIKHTQSVM
+>NM_001122965|137416_2_1236_1534
+MVRQTDKTKVLTMVRQRDKARVPTTVRWTDKARVLTTVRQTDKARVPTMVSQTDKARIPTMVRQTDKARVPTMVRQTDKARVPTTVSQTNKARVPTMVR
+>DECOY_NM_001122965|137416_2_1236_1534
+RVMTPVRAKNTQSVTTPVRAKDTQRVMTPVRAKDTQRVMTPIRAKDTQSVMTPVRAKDTQRVTTLVRAKDTWRVTTPVRAKDRQRVMTLVKTKDTQRVM
+>NM_001122965|137417_2_1272_1534
+MVRQRDKARVPTTVRWTDKARVLTTVRQTDKARVPTMVSQTDKARIPTMVRQTDKARVPTMVRQTDKARVPTTVSQTNKARVPTMVR
+>DECOY_NM_001122965|137417_2_1272_1534
+RVMTPVRAKNTQSVTTPVRAKDTQRVMTPVRAKDTQRVMTPIRAKDTQSVMTPVRAKDTQRVTTLVRAKDTWRVTTPVRAKDRQRVM
+>NM_001122965|137418_2_1380_1534
+MVSQTDKARIPTMVRQTDKARVPTMVRQTDKARVPTTVSQTNKARVPTMVR
+>DECOY_NM_001122965|137418_2_1380_1534
+RVMTPVRAKNTQSVTTPVRAKDTQRVMTPVRAKDTQRVMTPIRAKDTQSVM
+>NM_001122965|137419_2_1416_1534
+MVRQTDKARVPTMVRQTDKARVPTTVSQTNKARVPTMVR
+>DECOY_NM_001122965|137419_2_1416_1534
+RVMTPVRAKNTQSVTTPVRAKDTQRVMTPVRAKDTQRVM
+>NM_001122965|137420_2_1452_1534
+MVRQTDKARVPTTVSQTNKARVPTMVR
+>DECOY_NM_001122965|137420_2_1452_1534
+RVMTPVRAKNTQSVTTPVRAKDTQRVM
+>NM_001122965|137421_2_1560_1921
+MVSQTDKAKVPTMVRQTDKARVSTMVSQTDKARVPTTVRWTDKARVPTMVRQTDKARVPTTVRQTDKARAIIMVRQTDKARVPTIFNHRLGKYKGKISTSKGLKEQEKPLMLNNQEDQGG
+>DECOY_NM_001122965|137421_2_1560_1921
+GGQDEQNNLMLPKEQEKLGKSTSIKGKYKGLRHNFITPVRAKDTQRVMIIARAKDTQRVTTPVRAKDTQRVMTPVRAKDTWRVTTPVRAKDTQSVMTSVRAKDTQRVMTPVKAKDTQSVM
+>NM_001122965|137422_2_1596_1921
+MVRQTDKARVSTMVSQTDKARVPTTVRWTDKARVPTMVRQTDKARVPTTVRQTDKARAIIMVRQTDKARVPTIFNHRLGKYKGKISTSKGLKEQEKPLMLNNQEDQGG
+>DECOY_NM_001122965|137422_2_1596_1921
+GGQDEQNNLMLPKEQEKLGKSTSIKGKYKGLRHNFITPVRAKDTQRVMIIARAKDTQRVTTPVRAKDTQRVMTPVRAKDTWRVTTPVRAKDTQSVMTSVRAKDTQRVM
+>NM_001122965|137423_2_1632_1921
+MVSQTDKARVPTTVRWTDKARVPTMVRQTDKARVPTTVRQTDKARAIIMVRQTDKARVPTIFNHRLGKYKGKISTSKGLKEQEKPLMLNNQEDQGG
+>DECOY_NM_001122965|137423_2_1632_1921
+GGQDEQNNLMLPKEQEKLGKSTSIKGKYKGLRHNFITPVRAKDTQRVMIIARAKDTQRVTTPVRAKDTQRVMTPVRAKDTWRVTTPVRAKDTQSVM
+>NM_001122965|137424_2_1704_1921
+MVRQTDKARVPTTVRQTDKARAIIMVRQTDKARVPTIFNHRLGKYKGKISTSKGLKEQEKPLMLNNQEDQGG
+>DECOY_NM_001122965|137424_2_1704_1921
+GGQDEQNNLMLPKEQEKLGKSTSIKGKYKGLRHNFITPVRAKDTQRVMIIARAKDTQRVTTPVRAKDTQRVM
+>NM_001122965|137425_2_1776_1921
+MVRQTDKARVPTIFNHRLGKYKGKISTSKGLKEQEKPLMLNNQEDQGG
+>DECOY_NM_001122965|137425_2_1776_1921
+GGQDEQNNLMLPKEQEKLGKSTSIKGKYKGLRHNFITPVRAKDTQRVM
+>NM_030579|137444_2_129_202
+MGKGRKSRPQSPITGWRRWQSATP
+>DECOY_NM_030579|137444_2_129_202
+PTASQWRRWGTIPSQPRSKRGKGM
+>NM_014518|137474_2_2517_2890
+MALIFAPTRGCTQERNPTLVVNVGRVSDMAQVSLVIRECTLARSHTDATCVGRAIVRAHIFKVIRGSTLVRNPINVRSVGRALAATPVFMFIRESTLERSPIRVVCVGKASVIPQVCGTTKECI
+>DECOY_NM_014518|137474_2_2517_2890
+ICEKTTGCVQPIVSAKGVCVVRIPSRELTSERIFMFVPTAALARGVSRVNIPNRVLTSGRIVKFIHARVIARGVCTADTHSRALTCERIVLSVQAMDSVRGVNVVLTPNREQTCGRTPAFILAM
+>NM_014518|137475_2_2601_2890
+MAQVSLVIRECTLARSHTDATCVGRAIVRAHIFKVIRGSTLVRNPINVRSVGRALAATPVFMFIRESTLERSPIRVVCVGKASVIPQVCGTTKECI
+>DECOY_NM_014518|137475_2_2601_2890
+ICEKTTGCVQPIVSAKGVCVVRIPSRELTSERIFMFVPTAALARGVSRVNIPNRVLTSGRIVKFIHARVIARGVCTADTHSRALTCERIVLSVQAM
+>NM_001042536|137506_2_1345_1445
+MGSSLSWACCLKNQGLGLLLKWQPVSESSRKLQ
+>DECOY_NM_001042536|137506_2_1345_1445
+QLKRSSESVPQWKLLLGLGQNKLCCAWSLSSGM
+>NM_001098169|137568_2_160_461
+MATPSCPHPPSWLLTPITLCIRETTIILISSPPRGCQSQRCSRTRSTRSCRGSTAAAAKPARFSLTRSSRAWRRGSRSSATCPRQNEWSWPRPSACPRRR
+>DECOY_NM_001098169|137568_2_160_461
+RRRPCASPRPWSWENQRPCTASSRSGRRWARSSRTLSFRAPKAAAATSGRCSRTSRTRSCRQSQCGRPPSSILIITTERICLTIPTLLWSPPHPCSPTAM
+>NM_052907|137639_2_1365_1459
+MVLWSMCLSLWNASLPMKMSLRFPTTVIPFL
+>DECOY_NM_052907|137639_2_1365_1459
+LFPIVTTPFRLSMKMPLSANWLSLCMSWLVM
+>NM_052907|137653_3_2497_2582
+MVPPWHTCWPRGKYQQKHNPPVSHGREE
+>DECOY_NM_052907|137653_3_2497_2582
+EERGHSVPPNHKQQYKGRPWCTHWPPVM
+>NM_024297|137729_2_450_619
+MAGTRPPQIFEPSRLLLRKQSHPREGQLKQVPPSQDPQGPLSLVCRPPTVLPCLRR
+>DECOY_NM_024297|137729_2_450_619
+RRLCPLVTPPRCVLSLPGQPDQSPPVQKLQGERPHSQKRLLLRSPEFIQPPRTGAM
+>NM_001271|137793_2_5517_6013
+MVVATTIHHGEATGTISMSSTGTRTTIMGTGDIWMPTVPEAIDPTTCPERGLMTSTAVTETTGDTEIIMTGTIMTPSGGDPMNLGLKITTSRISDECLITAPLWATMARDPQTITALSTQINWGNINSLYPHCTLQSQILAHPLLRNLLTIPSHPWIIGLLWRDH
+>DECOY_NM_001271|137793_2_5517_6013
+HDRWLLGIIWPHSPITLLNRLLPHALIQSQLTCHPYLSNINGWNIQTSLATITQPDRAMTAWLPATILCEDSIRSTTIKLGLNMPDGGSPTMITGTMIIETDGTTETVATSTMLGREPCTTPDIAEPVTPMWIDGTGMITTRTGTSSMSITGTAEGHHITTAVVM
+>NM_001271|137795_2_5598_6013
+MGTGDIWMPTVPEAIDPTTCPERGLMTSTAVTETTGDTEIIMTGTIMTPSGGDPMNLGLKITTSRISDECLITAPLWATMARDPQTITALSTQINWGNINSLYPHCTLQSQILAHPLLRNLLTIPSHPWIIGLLWRDH
+>DECOY_NM_001271|137795_2_5598_6013
+HDRWLLGIIWPHSPITLLNRLLPHALIQSQLTCHPYLSNINGWNIQTSLATITQPDRAMTAWLPATILCEDSIRSTTIKLGLNMPDGGSPTMITGTMIIETDGTTETVATSTMLGREPCTTPDIAEPVTPMWIDGTGM
+>NM_001271|137801_2_5835_6013
+MARDPQTITALSTQINWGNINSLYPHCTLQSQILAHPLLRNLLTIPSHPWIIGLLWRDH
+>DECOY_NM_001271|137801_2_5835_6013
+HDRWLLGIIWPHSPITLLNRLLPHALIQSQLTCHPYLSNINGWNIQTSLATITQPDRAM
+>NM_016125|137829_2_1168_1289
+MEWLPARDSVQMWMIFVQYVKLNFRSQFFSFVSIYFVKSA
+>DECOY_NM_016125|137829_2_1168_1289
+ASKVFYISVFSFFQSRFNLKVYQVFIMWMQVSDRAPLWEM
+>NM_018430|137939_2_1511_1755
+MGKPSLLFFGLMASWRTRSQARRTWSTSSRMPGRNVLLRSRKRRSQISSSISWSIALGPVMPWPGLILFLKISRSSTPTRL
+>DECOY_NM_018430|137939_2_1511_1755
+LRTPTSSRSIKLFLILGPWPMVPGLAISWSISSSIQSRRKRSRLLVNRGPMRSSTSWTRRAQSRTRWSAMLGFFLLSPKGM
+>NM_001035507|137964_2_422_495
+MGTGHGSTSASGEECQENSSRSTL
+>DECOY_NM_001035507|137964_2_422_495
+LTSRSSNEQCEEGSASTSGHGTGM
+>NM_001035507|137973_2_1820_1998
+MGVPAPLPRRLSPPDTLWNYLSRWDELWPLQPWTWRNVIRGPELYCQSTAALLIYGPGC
+>DECOY_NM_001035507|137973_2_1820_1998
+CGPGYILLAATSQCYLEPGRIVNRWTWPQLPWLEDWRSLYNWLTDPPSLRRPLPAPVGM
+>NM_001035507|137977_3_435_601
+MVLLQRPGRNARKTHQDQHYEHEQAEQAVFPGHGPLCAHTAHPATLGTHSRPAHL
+>DECOY_NM_001035507|137977_3_435_601
+LHAPRSHTGLTAPHATHACLPGHGPFVAQEAQEHEYHQDQHTKRANRGPRQLLVM
+>NM_019050|138020_2_2246_2340
+MDLVIRQSREKIRNFQLIIFHHLIGATVTQV
+>DECOY_NM_019050|138020_2_2246_2340
+VQTVTAGILHHFIILQFNRIKERSQRIVLDM
+>NM_019050|138023_2_2570_2658
+MGIHIYIIVKEKDHINMTELYLRVELLHK
+>DECOY_NM_019050|138023_2_2570_2658
+KHLLEVRLYLETMNIHDKEKVIIYIHIGM
+>NM_019050|138024_2_2726_2826
+MALDMTQTAAKILGIEETAVIAAVKAGTEVGNL
+>DECOY_NM_019050|138024_2_2726_2826
+LNGVETGAKVAAIVATEEIGLIKAATQTMDLAM
+>NM_019050|138031_2_3434_3564
+MESYFLHPVYKYPRTIMQENISTSQMNRNLKNRMNANFLSGLI
+>DECOY_NM_019050|138031_2_3434_3564
+ILGSLFNANMRNKLNRNMQSTSINEQMITRPYKYVPHLFYSEM
+>NM_019050|138033_3_1071_1168
+MGKILTETWWQSWKSLSAWKYAITSPYQRLVK
+>DECOY_NM_019050|138033_3_1071_1168
+KVLRQYPSTIAYKWASLSKWSQWWTETLIKGM
+>NM_019050|138036_3_3651_3739
+MVFTPKNCWVKARNCSSHPATKYHGSMLL
+>DECOY_NM_019050|138036_3_3651_3739
+LLMSGHYKTAPHSSCNRAKVWCNKPTFVM
+>NM_001031720|138131_2_1693_1775
+MVSFRTPQSSIFQKVNNSRKLYHTRNT
+>DECOY_NM_001031720|138131_2_1693_1775
+TNRTHYLKRSNNVKQFISSQPTRFSVM
+>NM_016206|138348_3_1242_1324
+MGWSLSWNSRHSAQRGIRYRSTASRQE
+>DECOY_NM_016206|138348_3_1242_1324
+EQRSATSRYRIGRQASHRSNWSLSWGM
+>NM_025263|138366_2_457_572
+MGVPSDHPAFWALPPWPMENLATLSQLFTEVLQDQGDH
+>DECOY_NM_025263|138366_2_457_572
+HDGQDQLVETFLQSLTALNEMPWPPLAWFAPHDSPVGM
+>NM_032307|138443_2_921_1003
+MAASRTSPVSPCLLIIDYLRFLLILEP
+>DECOY_NM_032307|138443_2_921_1003
+PELILLFRLYDIILLCPSVPSTRSAAM
+>NM_001085451|138481_2_1551_1708
+MGHSRSHWNQKEDPIPKLRNFQSPLNGNCALEPSVLPLWDLKAERREMKENA
+>DECOY_NM_001085451|138481_2_1551_1708
+ANEKMERREAKLDWLPLVSPELACNGNLPSQFNRLKPIPDEKQNWHSRSHGM
+>NM_024558|138628_2_97_224
+MVQCYDYSSIAPVAWVALCGTLPLSFLNTWKRPSFLATGPTR
+>DECOY_NM_024558|138628_2_97_224
+RTPGTALFSPRKWTNLFSLPLTGCLAVWAVPAISSYDYCQVM
+>NM_194320|138772_3_626_756
+MGRREQRRRNRPSPGPKDESWGVRHNVEGSRHFRIWSSHTWKL
+>DECOY_NM_194320|138772_3_626_756
+LKWTHSSWIRFHRSGEVNHRVGWSEDKPGPSPRNRRRQERRGM
+>NM_001047980|138843_2_1324_1406
+MALLTPTRLTRTSKSHLRKTKSTQFWL
+>DECOY_NM_001047980|138843_2_1324_1406
+LWFQTSKTKRLHSKSTRTLRTPTLLAM
+>NM_181789|138910_2_761_1077
+MVNWACREMRAHQGRREKRVTKEMCPTTCSWQVPKVTKAHPVHLGPQALQVLQGPLEAEEPKALGSQACSTASAQVRLVPYQMMIPWLEKLMRKPVNTIPHKQNP
+>DECOY_NM_181789|138910_2_761_1077
+PNQKHPITNVPKRMLKELWPIMMQYPVLRVQASATSCAQSGLAKPEEAELPGQLVQLAQPGLHVPHAKTVKPVQWSCTTPCMEKTVRKERRGQHARMERCAWNVM
+>NM_033196|138994_2_469_575
+MGKMWVSVRIKKKFIMDLTNVCQLYLAKFSHIINV
+>DECOY_NM_033196|138994_2_469_575
+VNIIHSFKALYLQCVNTLDMIFKKKIRVSVWMKGM
+>NM_001001659|139054_2_121_242
+MGSSLGLSAWTVSFTHPCTSSSHTWPLLTYPMLPTMSPRC
+>DECOY_NM_001001659|139054_2_121_242
+CRPSMTPLMPYTLLPWTHSSSTCPHTFSVTWASLGLSSGM
+>NM_001001659|139056_3_17_156
+MDHRHHLAAIPGWSSTGDSPLWTFLCLLYTHPAGEWGHLWDYLPGL
+>DECOY_NM_001001659|139056_3_17_156
+LGPLYDWLHGWEGAPHTYLLCLFTWLPSDGTSSWGPIAALHHRHDM
+>NM_017982|139099_2_1052_1131
+MESGFLLIKSTASNQSKRGPAPMRPS
+>DECOY_NM_017982|139099_2_1052_1131
+SPRMPAPGRKSQNSATSKILLFGSEM
+>NM_144963|139140_2_1061_1155
+MEGKVGVLYKKLHRQLTLIQIVKKIQLTQPV
+>DECOY_NM_144963|139140_2_1061_1155
+VPQTLQIKKVIQILTLQRHLKKYLVGVKGEM
+>NM_144963|139141_2_1469_1542
+MVFLWISYAVKAFLVWTLQLAAEF
+>DECOY_NM_144963|139141_2_1469_1542
+FEAALQLTWVLFAKVAYSIWLFVM
+>NM_144963|139150_3_990_1075
+MEECSIRKQIKEYLRSTEDALVMGWRGK
+>DECOY_NM_144963|139150_3_990_1075
+KGRWGMVLADETSRLYEKIQKRISCEEM
+>NM_001013706|139231_2_1338_1423
+MGMGTGWVLPGTSASRNPRPPAARSSTP
+>DECOY_NM_001013706|139231_2_1338_1423
+PTSSRAAPPRPNRSASTGPLVWGTGMGM
+>NM_001013706|139232_2_1344_1423
+MGTGWVLPGTSASRNPRPPAARSSTP
+>DECOY_NM_001013706|139232_2_1344_1423
+PTSSRAAPPRPNRSASTGPLVWGTGM
+>NM_001013706|139234_3_847_1004
+MGPAPSGEPPPEPGRAGDAGAVPQPDPGAAGHGRGSGVQRAGPARRRPGEGG
+>DECOY_NM_001013706|139234_3_847_1004
+GGEGPRRRAPGARQVGSGRGHGAAGPDPQPVAGADGARGPEPPPEGSPAPGM
+>NM_145044|139343_2_435_607
+MGELTCRRNLQSVVNVGSSLLRDHLLPSTRGFTEERSPMCAVNVEVVSVNSQILLNI
+>DECOY_NM_145044|139343_2_435_607
+INLLIQSNVSVVEVNVACMPSREETFGRTSPLLHDRLLSSGVNVVSQLNRRCTLEGM
+>NM_001098638|139369_2_1913_2073
+MAPLKNCDKPVGRWVWPQQTQSCERWSRSFSKRKKTDSWLCSCSACSTMRGGL
+>DECOY_NM_001098638|139369_2_1913_2073
+LGGRMTSCASCSCLWSDTKKRKSFSRSWRECSQTQQPWVWRGVPKDCNKLPAM
+>NM_014444|139425_2_778_929
+MGSCINSSQPGCSMDSSWTSMKNSLSNRGHLLVMSVPSQKRTRRIWALGD
+>DECOY_NM_014444|139425_2_778_929
+DGLAWIRRTRKQSPVSMVLLHGRNSLSNKMSTWSSDMSCGPQSSNICSGM
+>NM_207482|139464_2_4773_4903
+METVLSLSPSLKDPRMFLAVQIPQRKSFQKLPSPMSPNKDLKC
+>DECOY_NM_207482|139464_2_4773_4903
+CKLDKNPSMPSPLKQFSKRQPIQVALFMRPDKLSPSLSLVTEM
+>NM_018157|139519_2_1458_1603
+MGMLQDCWRPGASWLEEEEIIGTQRMRTQTLKNTKMQNQKRSCLNQWD
+>DECOY_NM_018157|139519_2_1458_1603
+DWQNLCSRKQNQMKTNKLTQTRMRQTGIIEEEELWSAGPRWCDQLMGM
+>NM_138278|139609_2_796_914
+MASMLSSFLLPVIYPEAASPTTPMSWNTCLGIWWELWSC
+>DECOY_NM_138278|139609_2_796_914
+CSWLEWWIGLCTNWSMPTTPSAAEPYIVPLLFSSLMSAM
+>NM_001037232|139623_2_927_1222
+MGSPLVVAHSLLDIRGFTLVKNPMNVRNVARLLVVVHIFLNIRGFTLVRNPMNVRNVEKPLSIAQTLMIIREFTLVRNPMNVKYVEKPLLKVHNFFYI
+>DECOY_NM_001037232|139623_2_927_1222
+IYFFNHVKLLPKEVYKVNMPNRVLTFERIIMLTQAISLPKEVNRVNMPNRVLTFGRINLFIHVVVLLRAVNRVNMPNKVLTFGRIDLLSHAVVLPSGM
+>NM_001079653|139644_2_1640_1722
+MDLRVTPSRGAPTRPPLGPPAFWRSQK
+>DECOY_NM_001079653|139644_2_1640_1722
+KQSRWFAPPGLPPRTPAGRSPTVRLDM
+>NM_001007240|139660_2_180_349
+METPLKPVRMGWTWTAELLAPQRLMSVLTPVRITPSWMNPSEAQRTQQGPRGAIKT
+>DECOY_NM_001007240|139660_2_180_349
+TKIAGRPGQQTRQAESPNMWSPTIRVPTLVSMLRQPALLEATWTWGMRVPKLPTEM
+>NM_001007240|139664_2_471_544
+MASPTTLPVPIGVATAVSGKQRCW
+>DECOY_NM_001007240|139664_2_471_544
+WCRQKGSVATAVGIPVPLTTPSAM
+>NM_152891|139757_2_284_378
+MAGTESGRGRRASSIVGHTCAGGRSSPPSGC
+>DECOY_NM_152891|139757_2_284_378
+CGSPPSSRGGACTHGVISSARRGRGSETGAM
+>NM_001004067|139790_2_3451_3563
+MARTMLCFWTPHSPDPSMTTSCLKFLSPQWATINTSP
+>DECOY_NM_001004067|139790_2_3451_3563
+PSTNITAWQPSLFKLCSTTMSPDPSHPTWFCLMTRAM
+>NM_001004067|139796_3_3353_3504
+MGQALQKRKPRQSNPDSFPWPVPVLPFPPTAQRWRELCCASGLHTPQIPV
+>DECOY_NM_001004067|139796_3_3353_3504
+VPIQPTHLGSACCLERWRQATPPFPLVPVPWPFSDPNSQRPKRKQLAQGM
+>NM_152455|139812_2_175_287
+MALTLRPSDSVSGDSITRRWLGRGRLSANSGNFAVGG
+>DECOY_NM_152455|139812_2_175_287
+GGVAFNGSNASLRGRGLWRRTISDGSVSDSPRLTLAM
+>NM_152455|139818_2_1012_1136
+MASSGPWNSVGPSSKVSRRAIGKSRAATHLRPAPSLKRWKP
+>DECOY_NM_152455|139818_2_1012_1136
+PKWRKLSPAPRLHTAARSKGIARRSVKSSPGVSNWPGSSAM
+>NM_152455|139823_2_1501_1628
+MAFLGPQNSVGPSLKACKPAIGKLRMARHQRPVPSLKRWMLW
+>DECOY_NM_152455|139823_2_1501_1628
+WLMWRKLSPVPRQHRAMRLKGIAPKCAKLSPGVSNQPGLFAM
+>NM_152455|139825_2_1657_1802
+MARKRLLLAPSRGPVRLKLRSKLRKQTRPQRKILMMMKRILRYPQGLS
+>DECOY_NM_152455|139825_2_1657_1802
+SLGQPYRLIRKMMMLIKRQPRTQKRLKSRLKLRVPGRSPALLLRKRAM
+>NM_007033|139883_3_319_479
+MGRDTGPELCLHDSSLPAAGLVHCDLCLGDLPSKSFHSFSFSQSGSFLNGRLR
+>DECOY_NM_007033|139883_3_319_479
+RLRGNLFSGSQSFSFSHFSKSPLDGLCLDCHVLGAAPLSSDHLCLEPGTDRGM
+>NM_033086|139905_3_1904_1992
+MDSDHPGHHREAQTEQRNLQGFWWRLQPG
+>DECOY_NM_033086|139905_3_1904_1992
+GPQLRWWFGQLNRQETQAERHHGPHDSDM
+>NM_174905|139956_2_86_219
+MEVSRGRRRGAPHAQTSGGCACGWRRSWRRWAPSSSSERRARRC
+>DECOY_NM_174905|139956_2_86_219
+CRRARRESSSSPAWRRWSRRWGCACGGSTQAHPAGRRRGRSVEM
+>NM_174905|139957_2_320_459
+MARLRFGNPVPDCACCAFSAQSSKPPASCASALCWIRVLGHPLVKG
+>DECOY_NM_174905|139957_2_320_459
+GKVLPHGLVRIWCLASACSAPPKSSQASFACCACDPVPNGFRLRAM
+>NM_030568|140023_2_539_630
+MVTHTFAALRCTATPLFSWRVGSQLQARLV
+>DECOY_NM_030568|140023_2_539_630
+VLRAQLQSGVRWSFLPTATCRLAAFTHTVM
+>NM_024628|140073_2_736_1010
+MVSLDIHPNCYRTTRCPITARGNLFSLSLGFSSQRLQESWPASTWGATSGSLPPAFPWAPWQLLASRGFCTSSSSSSWAPSALERPFAMTS
+>DECOY_NM_024628|140073_2_736_1010
+STMAFPRELASPAWSSSSSSTCFGRSALLQWPAWPFAPPLSGSTAGWTSAPWSEQLRQSSFGLSLSFLNGRATIPCRTTRYCNPHIDLSVM
+>NM_024816|140112_2_694_815
+MGVQPLRPSLTTAMTAPPSPPSPLAVGSAAAPPCPKAARA
+>DECOY_NM_024816|140112_2_694_815
+ARAAKPCPPAAASGVALPSPPSPPATMATTLSPRLPQVGM
+>NM_001098845|140226_2_537_631
+MGPAWRRTSKQTQVATWRGSWCASCRAAGMM
+>DECOY_NM_001098845|140226_2_537_631
+MMGAARCSACWSGRWTAVQTQKSTRRWAPGM
+>NM_024574|140302_2_1701_1789
+MGNFFCLRMWKAFSSFSLEENLKLNTSFD
+>DECOY_NM_024574|140302_2_1701_1789
+DFSTNLKLNEELSFSSFAKWMRLCFFNGM
+>NM_018195|140412_2_865_1103
+MVLMKKVKDTIGGQRMKFLGHWKMIFLRLNPGIKSSMIMKQTCQTDGVTVVIKSYTLKNLKQTVVISKILPTGRKHLPR
+>DECOY_NM_018195|140412_2_865_1103
+RPLHKRGTPLIKSIVVTQKLNKLTYSKIVVTVGDTQCTQKMIMSSKIGPNLRLFIMKWHGLFKMRQGGITDKVKKMLVM
+>NM_178457|140630_2_2128_2318
+MGRRWPGEETVTDPGWKRLCHPLHWVAETVPVQAVGAPWSLQMGGWNWGGRCPQHLAPSKGVM
+>DECOY_NM_178457|140630_2_2128_2318
+MVGKSPALHQPCRGGWNWGGMQLSWPAGVAQVPVTEAVWHLPHCLRKWGPDTVTEEGPWRRGM
+>NM_178457|140633_2_3043_3191
+MGEKGHSWGGTRGTGWPLAGQQPGSCPSQHQGLPGRLPPPRPLQRVRHT
+>DECOY_NM_178457|140633_2_3043_3191
+THRVRQLPRPPPLRGPLGQHQSPCSGPQQGALPWGTGRTGGWSHGKEGM
+>NM_178457|140637_2_3682_3764
+MEDGPGQALEKEGRRRCPSSPTQQSQG
+>DECOY_NM_178457|140637_2_3682_3764
+GQSQQTPSSPCRRRGEKELAQGPGDEM
+>NM_178457|140638_2_4213_4496
+MVTVLLTALLPHQDYLCNLTPAWQWLMTCLYPLAKVLTLGCWRLSCWPPRIQSQQIPNHTSSQMLKGLLPLGPKELFPTMTLLPLWLPFVFLCQ
+>DECOY_NM_178457|140638_2_4213_4496
+QCLFVFPLWLPLLTMTPFLEKPGLPLLGKLMQSSTHNPIQQSQIRPPWCSLRWCGLTLVKALPYLCTMLWQWAPTLNCLYDQHPLLATLLVTVM
+>NM_178457|140649_3_2420_2778
+MAQQGLRGGQAPLREEEAESGGPAQLEATRACERRDPRWAHAACLFVIPEAGCRSRGGARGLKGECQAGGRASGVLWSLLGCCFCCPEEGGAKGQGYPTASCSPSPRRAPLAGHPTSGS
+>DECOY_NM_178457|140649_3_2420_2778
+SGSTPHGALPARRPSPSCSATPYGQGKAGGEEPCCFCCGLLSWLVGSARGGAQCEGKLGRAGGRSRCGAEPIVFLCAAHAWRPDRRECARTAELQAPGGSEAEEERLPAQGGRLGQQAM
+>NM_178457|140650_3_3689_3786
+MDLDKPWRRRAGADVQVLLPNSPRGDAPAPGV
+>DECOY_NM_178457|140650_3_3689_3786
+VGPAPADGRPSNPLLVQVDAGARRRWPKDLDM
+>NM_178457|140651_3_3794_3870
+MEERPALEGKDVSWEQQAEKTEDQP
+>DECOY_NM_178457|140651_3_3794_3870
+PQDETKEAQQEWSVDKGELAPREEM
+>NM_001082970|140672_2_865_1100
+MVLMKKVKDTIGGQRMKFLGHWKMIFLRLNPGIKSSMIMKQTCQTDGVTVVIKSYTLKNLKQTVISKILPTGRKHLPR
+>DECOY_NM_001082970|140672_2_865_1100
+RPLHKRGTPLIKSIVTQKLNKLTYSKIVVTVGDTQCTQKMIMSSKIGPNLRLFIMKWHGLFKMRQGGITDKVKKMLVM
+>NM_152730|140836_3_3544_3626
+MDNPVFLELLRLDRNLPLYCYLCFPWS
+>DECOY_NM_152730|140836_3_3544_3626
+SWPFCLYCYLPLNRDLRLLELFVPNDM
+>NM_018471|140848_2_799_875
+MAGFGYALEGVIFACIVMHFLLDLC
+>DECOY_NM_018471|140848_2_799_875
+CLDLLFHMVICAFIVGELAYGFGAM
+>NM_018471|140850_2_1354_1469
+MVKEVTWKRTTRGRERKMEPLMLFLLMKIFSLERIWMN
+>DECOY_NM_018471|140850_2_1354_1469
+NMWIRELSFIKMLLFLMLPEMKRERGRTTRKWTVEKVM
+>NM_001013628|140915_2_1132_1280
+MVPCSSMTSAPRSSWRRGPLPAWTPCRGPQGGSSSLPAAEAGSTKMTSG
+>DECOY_NM_001013628|140915_2_1132_1280
+GSTMKTSGAEAAPLSSSGGQPGRCPTWAPLPGRRWSSRPASTMSSCPVM
+>NM_017676|140958_2_101_189
+MVTFILNRLHITNELVNIIQLHCQVREVA
+>DECOY_NM_017676|140958_2_101_189
+AVERVQCHLQIINVLENTIHLRNLIFTVM
+>NM_017652|141065_2_358_944
+MEGKMRQHLLSRARVYIYTKTREVIVEKGLMSVGNIGNYLRTSPASLNPEEITNTGMFALEKGLMSAANMGNYFTKSLHSIFMRDFILGKRPMSAVSVENHFTKALHSCSVRHFTLEKGLMSVLNVGKPLLKSPVSLTTGKFTLEQSVMNAMNVGSPLLIHLVSLNTGGFTLERGLMSAVNVGDPLLKTPVLLNT
+>DECOY_NM_017652|141065_2_358_944
+TNLLVPTKLLPDGVNVASMLGRELTFGGTNLSVLHILLPSGVNMANMVSQELTFKGTTLSVPSKLLPKGVNLVSMLGKELTFHRVSCSHLAKTFHNEVSVASMPRKGLIFDRMFISHLSKTFYNGMNAASMLGKELAFMGTNTIEEPNLSAPSTRLYNGINGVSMLGKEVIVERTKTYIYVRARSLLHQRMKGEM
+>NM_017652|141070_2_565_944
+MGNYFTKSLHSIFMRDFILGKRPMSAVSVENHFTKALHSCSVRHFTLEKGLMSVLNVGKPLLKSPVSLTTGKFTLEQSVMNAMNVGSPLLIHLVSLNTGGFTLERGLMSAVNVGDPLLKTPVLLNT
+>DECOY_NM_017652|141070_2_565_944
+TNLLVPTKLLPDGVNVASMLGRELTFGGTNLSVLHILLPSGVNMANMVSQELTFKGTTLSVPSKLLPKGVNLVSMLGKELTFHRVSCSHLAKTFHNEVSVASMPRKGLIFDRMFISHLSKTFYNGM
+>NM_020925|141177_2_3091_3194
+MERLTWTNPTVPPRKNASGGLWEPKVPTLMTWEQ
+>DECOY_NM_020925|141177_2_3091_3194
+QEWTMLTPVKPEWLGGSANKRPPVTPNTWTLREM
+>NM_022659|141207_2_732_901
+MDGEQEDSIHRKLPPASKPLARVKAGPQEEPWSSSSGTTSLMVSKWCLGLCLYGAS
+>DECOY_NM_022659|141207_2_732_901
+SAGYLCLGLCWKSVMLSTTGSSSSWPEEQPGAKVRALPKSAPPLKRHISDEQEGDM
+>NM_005840|141317_2_1004_1128
+MALVSAVSRASSTTAPLMMKTTVLMSPALVGLVLALSAGQP
+>DECOY_NM_005840|141317_2_1004_1128
+PQGASLALVLGVLAPSMLVTTKMMLPATTSSARSVASVLAM
+>NM_001037731|141408_2_88_176
+MARAESLGIHVSFTKACAETPAENMKSNT
+>DECOY_NM_001037731|141408_2_88_176
+TNSKMNEAPTEACAKTFSVHIGLSEARAM
+>NM_052947|141468_2_356_498
+MVRPSMGVALFPTMNSLRISIFMCYISLAVPKMMLLSIKSRLKTLLE
+>DECOY_NM_052947|141468_2_356_498
+ELLTKLRSKISLLMMKPVALSIYCMFISIRLSNMTPFLAVGMSPRVM
+>NM_052947|141479_2_962_1155
+MVLMMKAYALVSKIPKYRNTLASASRYLRQLHTFTQVTVPWPTNNPAHSFPVKTLTVTMNFAQR
+>DECOY_NM_052947|141479_2_962_1155
+RQAFNMTVTLTKVPFSHAPNNTPWPVTVQTFTHLQRLYRSASALTNRYKPIKSVLAYAKMMLVM
+>NM_173588|141544_2_1640_1737
+MVASTLWWPCRMETLLNTTVLPSSLWRSVWPQ
+>DECOY_NM_173588|141544_2_1640_1737
+QPWVSRWLSSPLVTTNLLTEMRCPWWLTSAVM
+>NM_173588|141549_3_2109_2206
+MVQGWHGSDGGGTRVHGARGRPGTAHHLQLCA
+>DECOY_NM_173588|141549_3_2109_2206
+ACLQLHHATGPRGRAGHVRTGGGDSGHWGQVM
+>NM_001039165|141561_2_431_639
+MGQSSGCSAPMSTETPSPSTSWTWPARISSSLAATWWPSSPTCCKAGWTSRASCRPAWQRCASSATSWA
+>DECOY_NM_001039165|141561_2_431_639
+AWSTASSACRQWAPRCSARSTWGAKCCTPSSPWWTAALSSSIRAPWTWSTSPSPTETSMPASCGSSQGM
+>NM_001105203|141778_2_878_1077
+MGKSTLGKRSPVGRLTQFGKLTQRKLKLNGKPLKTITLVGKTTGMLTLAGKVNLKNSTLVGKPTQE
+>DECOY_NM_001105203|141778_2_878_1077
+EQTPKGVLTSNKLNVKGALTLMGTTKGVLTITKLPKGNLKLKRQTLKGFQTLRGVPSRKGLTSKGM
+>NM_173539|141801_2_1276_1793
+MERNHMNVIYVEKPSLIVLTLDNMSEVTMERNHMDVIYVGKHSLNLLCLNDMREFTLERNHMSAMYVGKPSLNLLTSDDMRELTLEKNHMNAIYAEKPSITLLSLDDMRELTLERNHMNAIYVVKPSIEVTTLDFIEEFTLERNHMYVLYVGKPLVNFLTLDNMRELTLKKQ
+>DECOY_NM_173539|141801_2_1276_1793
+QKKLTLERMNDLTLFNVLPKGVYLVYMHNRELTFEEIFDLTTVEISPKVVYIANMHNRELTLERMDDLSLLTISPKEAYIANMHNKELTLERMDDSTLLNLSPKGVYMASMHNRELTFERMDNLCLLNLSHKGVYIVDMHNREMTVESMNDLTLVILSPKEVYIVNMHNREM
+>NM_173539|141804_2_1360_1793
+MERNHMDVIYVGKHSLNLLCLNDMREFTLERNHMSAMYVGKPSLNLLTSDDMRELTLEKNHMNAIYAEKPSITLLSLDDMRELTLERNHMNAIYVVKPSIEVTTLDFIEEFTLERNHMYVLYVGKPLVNFLTLDNMRELTLKKQ
+>DECOY_NM_173539|141804_2_1360_1793
+QKKLTLERMNDLTLFNVLPKGVYLVYMHNRELTFEEIFDLTTVEISPKVVYIANMHNRELTLERMDDLSLLTISPKEAYIANMHNKELTLERMDDSTLLNLSPKGVYMASMHNRELTFERMDNLCLLNLSHKGVYIVDMHNREM
+>NM_001001411|141824_2_838_968
+MAKLLTGPQPLLIIRVFILERNPTNVKNVAKPLVSSQSLLNIR
+>DECOY_NM_001001411|141824_2_838_968
+RINLLSQSSVLPKAVNKVNTPNRELIFVRIILLPQPGTLLKAM
+>NM_032530|141840_2_403_497
+MDVMKEKKYFLQEKAPIDMRLVAKTSNRSQD
+>DECOY_NM_032530|141840_2_403_497
+DQSRNSTKAVLRMDIPAKEQLFYKKEKMVDM
+>NM_001031853|142010_2_65_189
+MERRPAKVQVAGVYGESRSPGGCAVPVTTAASRLCCRLGST
+>DECOY_NM_001031853|142010_2_65_189
+TSGLRCCLRSAATTVPVACGGPSRSEGYVGAVQVKAPRREM
+>NM_018272|142190_3_1305_1378
+MDDCGNTQRRITEIHISSGNYRRV
+>DECOY_NM_018272|142190_3_1305_1378
+VRRYNGSSIHIETIRRQTNGCDDM
+>NM_018013|142230_2_667_797
+MVRILNSGATLQMGRAGSTFLFSKKILCQNQNYPRTVLFHHTI
+>DECOY_NM_018013|142230_2_667_797
+ITHHFLVTRPYNQNQCLIKKSFLFTSGARGMQLTAGSNLIRVM
+>NM_018013|142233_2_1090_1196
+MDMLKIFPSSTMLRKLQGLPSRITANYLYVTGVST
+>DECOY_NM_018013|142233_2_1090_1196
+TSVGTVYLYNATIRSPLGQLKRLMTSSPFIKLMDM
+>NM_024936|142287_2_52_182
+MGLKPWRQRAAQGAGEAREWRWCFLWILPSPPRCALTDPLFCL
+>DECOY_NM_024936|142287_2_52_182
+LCFLPDTLACRPPSPLIWLFCWRWERAEGAGQAARQRWPKLGM
+>NM_024936|142293_2_1363_1481
+MAALFVVNWIINAVLVLTLLHLRELTKLSESRSKEKVIR
+>DECOY_NM_024936|142293_2_1363_1481
+RIVKEKSRSESLKTLERLHLLTLVLVANIIWNVVFLAAM
+>NM_207351|142396_3_2193_2269
+MGARPGVGRGGCRETQAAHGARLLG
+>DECOY_NM_207351|142396_3_2193_2269
+GLLRAGHAAQTERCGGRGVGPRAGM
+>NM_173495|142417_2_1023_1171
+MDYMGLLKCYPPGGKLEKTNMLKRELQQSMQTPCSPFLSPLPCTWSPLA
+>DECOY_NM_173495|142417_2_1023_1171
+ALPSWTCPLPSLFPSCPTQMSQQLERKLMNTKELKGGPPYCKLLGMYDM
+>NM_021965|142579_2_1412_1569
+MACGLSWSGSPLLLPGSRVWRKLSEITGPNLAATTIAGLTMRGWIPRRHIIS
+>DECOY_NM_021965|142579_2_1412_1569
+SIIHRRPIWGRMTLGAITTAALNPGTIESLKRWVRSGPLLLPSGSWSLGCAM
+>NM_015689|142625_2_659_1092
+MGRQGWIPSYQGLVTPHTALLTRQRQGPPFLRTWEAGVAQKSARGSTPRTWKAGSPPLSLWRTGKVHAEGPGTGALRTCIGARRVPPQSPSSTLCQNPGERSNMPEKGTKMGSLASASGKRKEICLLCPLYLPRLCPPLPHLPL
+>DECOY_NM_015689|142625_2_659_1092
+LPLHPLPPCLRPLYLPCLLCIEKRKGSASALSGMKTGKEPMNSREGPNQCLTSSPSQPPVRRAGICTRLAGTGPGEAHVKGTRWLSLPPSGAKWTRPTSGRASKQAVGAEWTRLFPPGQRQRTLLATHPTVLGQYSPIWGQRGM
+>NM_015689|142627_2_989_1092
+MGSLASASGKRKEICLLCPLYLPRLCPPLPHLPL
+>DECOY_NM_015689|142627_2_989_1092
+LPLHPLPPCLRPLYLPCLLCIEKRKGSASALSGM
+>NM_015689|142629_2_1475_1644
+MELGPLPKSALPPLPAALMTFSLTLETHRTAGRRERYPSWCCESTPFMRSGEERNG
+>DECOY_NM_015689|142629_2_1475_1644
+GNREEGSRMFPTSECCWSPYRERRGATRHTELTLSFTMLAAPLPPLASKPLPGLEM
+>NM_015689|142631_2_2066_2148
+MGAEGSVTAEDCCLEAKGSAFLKFTAL
+>DECOY_NM_015689|142631_2_2066_2148
+LATFKLFASGKAELCCDEATVSGEAGM
+>NM_015689|142637_3_300_397
+MGREERGAHSCTQQESRRTGGLSAVLYGGEEE
+>DECOY_NM_015689|142637_3_300_397
+EEEGGYLVASLGGTRRSEQQTCSHAGREERGM
+>NM_016078|142646_2_320_441
+MERAIGCLNLERSPLKRIKLCQRLNQESFGWDLLPVQYCG
+>DECOY_NM_016078|142646_2_320_441
+GCYQVPLLDWGFSEQNLRQCLKIRKLPSRELNLCGIAREM
+>NM_003442|142734_2_455_714
+MVPQHLFTTPPKIVMTRVHYRRFSWKMVPQLISTMQCKSRSLTPSWQFRLMGQWQVCTLGMLQLTLTPSVLWNSMQQRCPLMEVKV
+>DECOY_NM_003442|142734_2_455_714
+VKVEMLPCRQQMSNWLVSPTLTLQLMGLTCVQWQGMLRFQWSPTLSRSKCQMTSILQPVMKWSFRRYHVRTMVIKPPTTFLHQPVM
+>NM_003442|142736_2_533_714
+MVPQLISTMQCKSRSLTPSWQFRLMGQWQVCTLGMLQLTLTPSVLWNSMQQRCPLMEVKV
+>DECOY_NM_003442|142736_2_533_714
+VKVEMLPCRQQMSNWLVSPTLTLQLMGLTCVQWQGMLRFQWSPTLSRSKCQMTSILQPVM
+>NM_003442|142741_2_842_969
+MDVENYIQQLIISRSMRGHTQEIGLISVSMQAVGRHLQQVMD
+>DECOY_NM_003442|142741_2_842_969
+DMVQQLHRGVAQMSVSILGIEQTHGRMSRSIILQQIYNEVDM
+>NM_003442|142745_2_1703_1815
+MARPSQSPPMMQSSPQQERTLLLWLLLRVQKGNRLQL
+>DECOY_NM_003442|142745_2_1703_1815
+LQLRNGKQVRLLLWLLLTREQQPSSQMMPPSQSPRAM
+>NM_014804|142804_2_2913_2986
+MAIPWMKVWEQRKDQRKERPLFSP
+>DECOY_NM_014804|142804_2_2913_2986
+PSFLPREKRQDKRQEWVKMWPIAM
+>NM_024600|142880_2_155_339
+MGAGAAWGCGGPAGWWTGPGEGRALGPEPARWTHMTVRRWAGAPRQPASRSPEAPSNCSST
+>DECOY_NM_024600|142880_2_155_339
+TSSCNSPAEPSRSAPQRPAGAWRRVTMHTWRAPEPGLARGEGPGTWWGAPGGCGWAAGAGM
+>NM_001098670|142900_2_1043_1188
+MATTGVGWQPVWASASRSWVCTSRTWWPCSWHCLTGWTQPGPGSTGPR
+>DECOY_NM_001098670|142900_2_1043_1188
+RPGTSGPGPQTWGTLCHWSCPWWTRSTCVWSRSASAWVPQWGVGTTAM
+>NM_001098670|142903_2_1514_1740
+MATSHRKNSRSSVGTSLTSAPLGTSTRTRMAASAGRRWFPISCAPALCWGGAWASYTTSRRATPCAPSPAATAKP
+>DECOY_NM_001098670|142903_2_1514_1740
+PKATAAPSPACPTARRSTTYSAWAGGWCLAPACSIPFWRRGASAAMRTRTSTGLPASTLSTGVSSRSNKRHSTAM
+>NM_001098670|142904_2_1601_1740
+MAASAGRRWFPISCAPALCWGGAWASYTTSRRATPCAPSPAATAKP
+>DECOY_NM_001098670|142904_2_1601_1740
+PKATAAPSPACPTARRSTTYSAWAGGWCLAPACSIPFWRRGASAAM
+>NM_001012502|142998_3_1224_1396
+MAQGDAAATAGHAQLHCGHETSEGCVSPPGVTVPWPTQGEPAQHPAAQDWVSAAAAL
+>DECOY_NM_001012502|142998_3_1224_1396
+LAAAASVWDQAAPHQAPEGQTPWPVTVGPPSVCGESTEHGCHLQAHGATAAADGQAM
+>NM_004748|143025_2_320_474
+MAQCLWKKLLIQLWRKPAQQLRQRNKRYPKTVSILELPVMILILLPLSHLS
+>DECOY_NM_004748|143025_2_320_474
+SLHSLPLLILIMVPLELISVTKPYRKNRQRLQQAPKRWLQILLKKWLCQAM
+>NM_004748|143029_2_1508_1620
+MENKEQMEKRKGAEEATGLKISQRKHFWVQLRKHLMP
+>DECOY_NM_004748|143029_2_1508_1620
+PMLHKRLQVWFHKRQSIKLGTAEEAGKRKEMQEKNEM
+>NM_004748|143033_2_1904_2055
+MEGKKSQFTLKNSEKIQIQRNAVLGMIVEKILILSERLVLVYLIVLNKSP
+>DECOY_NM_004748|143033_2_1904_2055
+PSKNLVILYVLVLRESLILIKEVIMGLVANRQIQIKESNKLTFQSKKGEM
+>NM_004748|143036_2_2288_2379
+MEYLRSWMNIYIDTSLVTLFPLHMDPGRFT
+>DECOY_NM_004748|143036_2_2288_2379
+TFRGPDMHLPFLTVLSTDIYINMWSRLYEM
+>NM_177417|143051_2_239_327
+MAWLGTWRRPWRDRARQPAWRCWRKSSRW
+>DECOY_NM_177417|143051_2_239_327
+WRSSKRWCRWAPQRARDRWPRRWTGLWAM
+>NM_016024|143171_2_239_435
+MGRLLTLISCGTRKLGNPKDSVSSAMKTRGAQFWPSTILMGSRSKEELSEWIMCLTIGLLRTQKK
+>DECOY_NM_016024|143171_2_239_435
+KKQTRLLGITLCMIWESLEEKSRSGMLITSPWFQAGRTKMASSVSDKPNGLKRTGCSILTLLRGM
+>NM_020823|143295_2_738_841
+MEPRCTFITKFTTGQGPSHVQGNVRRLLWLTLAT
+>DECOY_NM_020823|143295_2_738_841
+TALTLWLLRRVNGQVHSPGQGTTFKTIFTCRPEM
+>NM_025108|143376_2_515_861
+MGPVLGWEPEPPGLGRASGTSKWPHPLLLRPQKPSHSRRRGTCCGCLRHSGKQLPRTRACGPSSVPHRPVIPRMPPLPKPSSSRTCRQLQAGPSPGSVLWRWRRRRGACGRPARC
+>DECOY_NM_025108|143376_2_515_861
+CRAPRGCAGRRRRWRWLVSGPSPGAQLQRCTRSSSPKPLPPMRPIVPRHPVSSPGCARTRPLQKGSHRLCGCCTGRRRSHSPKQPRLLLPHPWKSTGSARGLGPPEPEWGLVPGM
+>NM_182497|143391_3_869_987
+MVGCSDRRAESAATVQRGAAAGLPDGDLGTETHSQCSGN
+>DECOY_NM_182497|143391_3_869_987
+NGSCQSHTETGLDGDPLGAAAGRQVTAASEARRDSCGVM
+>NM_182487|143567_2_819_898
+MAVCRKALQTEASQNLPRRSCFRWRS
+>DECOY_NM_182487|143567_2_819_898
+SRWRFCSRRPLNQSAETQLAKRCVAM
+>NM_182487|143570_2_1419_1753
+METAWWSSATWKTSSKAAGVTCTSYPTTGSAQATWCTRAPSTTTAPSPRTSSSTTYGSASWPPGRCCPTWYMRTPHLGSGADTRTLTLPWTRAACGSSTPPWTTAMRPSPR
+>DECOY_NM_182487|143570_2_1419_1753
+RPSPRMATTWPPTSSGCAARTWPLTLTRTDAGSGLHPTRMYWTPCCRGPPWSASGYTTSSSTRPSPATTTSPARTCWTAQASGTTPYSTCTVGAAKSSTKWTASSWWATEM
+>NM_144992|143612_2_1639_1820
+MGAWSTSTLPKRSASGTVRESTQPWPGSEGGLNGYRMGVKASLEDCIMIASTFSLTRLTQ
+>DECOY_NM_144992|143612_2_1639_1820
+QTLRTLSFTSAIMICDELSAKVGMRYGNLGGESGPWPQTSERVTGSASRKPLTSTSWAGM
+>NM_144992|143613_2_1747_1820
+MGVKASLEDCIMIASTFSLTRLTQ
+>DECOY_NM_144992|143613_2_1747_1820
+QTLRTLSFTSAIMICDELSAKVGM
+>NM_144992|143619_3_2522_2634
+MGPFRSKGSEKESPSRRINQNQPAQKPDVLPQELSLQ
+>DECOY_NM_144992|143619_3_2522_2634
+QLSLEQPLVDPKQAPQNQNIRRSPSEKESGKSRFPGM
+>NM_015316|143672_2_632_759
+MGLGIHVLNLPSQSSKIWQLGNSSRLKISSRCWLPRNSVYIF
+>DECOY_NM_015316|143672_2_632_759
+FIYVSNRPLWCRSSIKLRSSNGLQWIKSSQSPLNLVHIGLGM
+>NM_015316|143673_2_1667_1929
+MGHTQVLHLWVLGRQAPWKGGRKAACPGPVQACQVDRGPPCCPPQAAPPSQAPHNRFSRGFPYRQVPRTRQRDHLHFQLGTASLNSH
+>DECOY_NM_015316|143673_2_1667_1929
+HSNLSATGLQFHLHDRQRTRPVQRYPFGRSFRNHPAQSPPAAQPPCCPPGRDVQCAQVPGPCAAKRGGKWPAQRGLVWLHLVQTHGM
+>NM_015316|143675_2_2237_2397
+MAPPPPQMAAPWRACHGHSAPPSSRPSCIRHCATRVMQTWRPSAGSWPTRPGP
+>DECOY_NM_015316|143675_2_2237_2397
+PGPRTPWSGASPRWTQMVRTACHRICSPRSSPPASHGHCARWPAAMQPPPPAM
+>NM_015316|143678_2_2561_2892
+METPMPMETWKSSPLPSPQPHSPLSLPRHQMPMIMSYLPPNQRSSSVPKPPTKLPSRQRTITTTWPRSPPRSRSRVLWLRPHLQGKSRSLQHLFPLPATLLPPPRTSGPT
+>DECOY_NM_015316|143678_2_2561_2892
+TPGSTRPPPLLTAPLPFLHQLSRSKGQLHPRLWLVRSRSRPPSRPWTTTITRQRSPLKTPPKPVSSSRQNPPLYSMIMPMQHRPLSLPSHPQPSPLPSSKWTEMPMPTEM
+>NM_015316|143686_2_3140_3237
+MDGRRCTALPLVTAFTSANSWWRVVPPFLPQP
+>DECOY_NM_015316|143686_2_3140_3237
+PQPLFPPVVRWWSNASTFATVLPLATCRRGDM
+>NM_014647|143735_2_800_930
+MVMCTSCISFRVCRAAPPLAISPVLISQAGLQGIWKSTFHSRS
+>DECOY_NM_014647|143735_2_800_930
+SRSHFTSKWIGQLGAQSILVPSIALPPAARCVRFSICSTCMVM
+>NM_014647|143745_2_3392_3477
+MASKWLNGFTTSPRLPTLTLGFCVRRVL
+>DECOY_NM_014647|143745_2_3392_3477
+LVRRVCFGLTLTPLRPSTTFGNLWKSAM
+>NM_014647|143747_2_4094_4182
+MGLPNYLNFLKPYLILYKYWNVEKKRSLL
+>DECOY_NM_014647|143747_2_4094_4182
+LLSRKKEVNWYKYLILYPKLFNLYNPLGM
+>NM_001009899|143841_2_5038_5303
+MELTNPDPRLASHIPTISRCSNKCSNTLEAPRQRRAVKTLQLVGTIITIPRTISIKILCTNSRMLEADSKVQGFHLNMYLAIIQCRGF
+>DECOY_NM_001009899|143841_2_5038_5303
+FGRCQIIALYMNLHFGQVKSDAELMRSNTCLIKISITRPITIITGVLQLTKVARRQRPAELTNSCKNSCRSITPIHSALRPDPNTLEM
+>NM_001009899|143844_2_5821_6059
+MVFHQGTVKILVTKVSCRAYLPLTSVIRSLGARGHSQNIRGIHSVVHPLQLNIIVPQLMKMSILEERVRVRIGKVVTCR
+>DECOY_NM_001009899|143844_2_5821_6059
+RCTVVKGIRVRVREELISMKMLQPVIINLQLPHVVSHIGRINQSHGRAGLSRIVSTLPLYARCSVKTVLIKVTGQHFVM
+>NM_001009899|143847_2_6289_6476
+MAIKALLYVKLILQFPRDQGIPCKTAVVPKFVSLKGIVLETKGKVLSLIQVFPIFLSLLVAV
+>DECOY_NM_001009899|143847_2_6289_6476
+VAVLLSLFIPFVQILSLVKGKTELVIGKLSVFKPVVATKCPIGQDRPFQLILKVYLLAKIAM
+>NM_001009899|143858_3_1034_1137
+MASDHSSCIGYQPACSSLSSCCHFCSEYSRASHL
+>DECOY_NM_001009899|143858_3_1034_1137
+LHSARSYESCFHCCSSLSSCAPQYGICSSHDSAM
+>NM_005081|144000_2_3964_4103
+MGTLLPRRMGVQSPALVMGIQFWFKSRRGLASPALHVPLAASRNGL
+>DECOY_NM_005081|144000_2_3964_4103
+LGNRSAALPVHLAPSALGRRSKFWFQIGMVLAPSQVGMRRPLLTGM
+>NM_005081|144001_2_3988_4103
+MGVQSPALVMGIQFWFKSRRGLASPALHVPLAASRNGL
+>DECOY_NM_005081|144001_2_3988_4103
+LGNRSAALPVHLAPSALGRRSKFWFQIGMVLAPSQVGM
+>NM_005081|144002_2_4015_4103
+MGIQFWFKSRRGLASPALHVPLAASRNGL
+>DECOY_NM_005081|144002_2_4015_4103
+LGNRSAALPVHLAPSALGRRSKFWFQIGM
+>NM_005081|144010_2_5083_5315
+MGALVSTSAPIVLTAPRTDRRSPGTAASTLGKSLTTVTSAPMPVLIPLVSSTTCGSTRRNGSTCALSVATSASGSTS
+>DECOY_NM_005081|144010_2_5083_5315
+STSGSASTAVSLACTSGNRRTSGCTTSSVLPILVPMPASTVTTLSKGLTSAATGPSRRDTRPATLVIPASTSVLAGM
+>NM_020808|144191_2_504_625
+MGRVTRVKVSKMNSSIWTSWRPSTQSETSLSIPPKEDFTP
+>DECOY_NM_020808|144191_2_504_625
+PTFDEKPPISLSTESQTSPRWSTWISSNMKSVKVRTVRGM
+>NM_020808|144194_2_732_808
+MEVLHPSTGKAYLVKTFLLCSEGTE
+>DECOY_NM_020808|144194_2_732_808
+ETGESCLLFTKVLYAKGTSPHLVEM
+>NM_020808|144200_2_1353_1573
+MGKVTTSSLVVLTLEMRLEGKATGGLRSLEPTHPLSVLGKAALSNRHSALTAQMQVSPSWKCPEKTSLFTGRK
+>DECOY_NM_020808|144200_2_1353_1573
+KRGTFLSTKEPCKWSPSVQMQATLASHRNSLAAKGLVSLPHTPELSRLGGTAKGELRMELTLVVLSSTTVKGM
+>NM_020808|144209_2_3708_3898
+MAHLPKSWVPIKKELCRKMEVAKIPPISFLTLGIKVAPVTPAATRSPATPPATVTTSTLGLAT
+>DECOY_NM_020808|144209_2_3708_3898
+TALGLTSTTVTAPPTAPSRTAAPTVPAVKIGLTLFSIPPIKAVEMKRCLEKKIPVWSKPLHAM
+>NM_020808|144210_2_3762_3898
+MEVAKIPPISFLTLGIKVAPVTPAATRSPATPPATVTTSTLGLAT
+>DECOY_NM_020808|144210_2_3762_3898
+TALGLTSTTVTAPPTAPSRTAAPTVPAVKIGLTLFSIPPIKAVEM
+>NM_015275|144299_2_427_560
+MVSCFMEKELQMPAWWKVIAKFKWGDLFHSYRNCLALLRGAMKW
+>DECOY_NM_015275|144299_2_427_560
+WKMAGRLLALCNRYSHFLDGWKFKAIVKWWAPMQLEKEMFCSVM
+>NM_032301|144342_2_535_698
+MGAGSNTSAWPKATWLPLTQCCCSRVGHSVCRAPEIATSTCGTCGSWGRSPTRF
+>DECOY_NM_032301|144342_2_535_698
+FRTPSRGWSGCTGCTSTAIEPARCVSHGVRSCCCQTLPLWTAKPWASTNSGAGM
+>NM_015207|144355_2_654_802
+MESTTTVFGGSMTTQRHLHISRRIFRCFIKMNQIKEKRSRQREWTLKTT
+>DECOY_NM_015207|144355_2_654_802
+TTKLTWERQRSRKEKIQNMKIFCRFIRRSIHLHRQTTMSGGFVTTTSEM
+>NM_024746|144399_2_1070_1200
+MADNFFLAWMAICTYSLGTGDRLEIPLACLEMLRTKVPCWEKF
+>DECOY_NM_024746|144399_2_1070_1200
+FKEWCPVKTRLMELCALPIELRDGTGLSYTCIAMWALFFNDAM
+>NM_024746|144404_2_1442_1629
+MAGEQRKGLHVMTKNFVTMPLWMMFCQSMLMAMQWGSQSLEVMSIVVVNPQISMACISLETS
+>DECOY_NM_024746|144404_2_1442_1629
+STELSICAMSIQPNVVVISMVELSQSGWQMAMLMSQCFMMWLPMTVFNKTMVHLGKRQEGAM
+>NM_031908|144426_2_344_606
+MDTTATGGTAERKVHLAGQVTGESQDQRAKPGPLGGLAPVAPRGSTVPPGSMAHQARRGPRARRGSQASQAPAAVAVAIPSQLSRWQ
+>DECOY_NM_031908|144426_2_344_606
+QWRSLQSPIAVAVAAPAQSAQSGRRARPGRRAQHAMSGPPVTSGRPAVPALGGLPGPKARQDQSEGTVQGALHVKREATGGTATTDM
+>NM_031908|144427_2_497_606
+MAHQARRGPRARRGSQASQAPAAVAVAIPSQLSRWQ
+>DECOY_NM_031908|144427_2_497_606
+QWRSLQSPIAVAVAAPAQSAQSGRRARPGRRAQHAM
+>NM_015912|144474_2_2545_2666
+MEVLLNLQICTARAKVPQDLALNFVVTLEQMLEQTIPWWR
+>DECOY_NM_015912|144474_2_2545_2666
+RWWPITQELMQELTVVFNLALDQPVKARATCIQLNLLVEM
+>NM_001703|144540_2_3670_3878
+MASPTNPRSRGPGRSGAPGPACSSPAQRVERSPAPCSAQPRPGTPWPHSGAPAWCCPCWRSPGCLPSWL
+>DECOY_NM_001703|144540_2_3670_3878
+LWSPLCGPSRWCPCCWAPAGSHPWPTGPRPQASCPAPSREVRQAPSSCAPGPAGSRGPGRSRPNTPSAM
+>NM_001703|144547_3_1625_1737
+MGSLGPMLHVLCQWDPTAQPEVQRGGPSLGHMHGCPH
+>DECOY_NM_001703|144547_3_1625_1737
+HPCGHMHGLSPGGRQVEPQATPDWQCLVHLMPGLSGM
+>NM_017925|144698_2_1417_1499
+MERTCHQSTVTNTFQDWTLSFLTDRRS
+>DECOY_NM_017925|144698_2_1417_1499
+SRRDTLFSLTWDQFTNTVTSQHCTREM
+>NM_017925|144704_2_2410_2540
+MVIEEKKDKSIFLRGVVVLVLKVEQECCLRRVVWIRIQVKWLS
+>DECOY_NM_017925|144704_2_2410_2540
+SLWKVQIRIWVVRRLCCEQEVKLVLVVVGRLFISKDKKEEIVM
+>NM_017925|144706_2_3172_3347
+MVHQHRKILKVKRAHLQCPGLKLLLGVSSSKPPLELIKNVQLLCQHWCVLRHMARWVL
+>DECOY_NM_017925|144706_2_3172_3347
+LVWRAMHRLVCWHQCLLQVNKILELPPKSSSVGLLLKLGPCQLHARKVKLIKRHQHVM
+>NM_024590|144841_2_1870_1955
+MVASLRQEGVTGLSEVAKEHIGKEGSGL
+>DECOY_NM_024590|144841_2_1870_1955
+LGSGEKGIHEKAVESLGTVGEQRLSAVM
+>NM_024590|144842_2_2179_2435
+MAPGQQAMGSGTLQSSQPSECSTGNCLQEILATATGSPLSLSATWDRTGGTMNGSPCQLAKVYGFSTSQPTHMRGWTYLTGIQES
+>DECOY_NM_024590|144842_2_2179_2435
+SEQIGTLYTWGRMHTPQSTSFGYVKALQCPSGNMTGGTRDWTASLSLPSGTATALIEQLCNGTSCESPQSSQLTGSGMAQQGPAM
+>NM_024590|144843_2_2200_2435
+MGSGTLQSSQPSECSTGNCLQEILATATGSPLSLSATWDRTGGTMNGSPCQLAKVYGFSTSQPTHMRGWTYLTGIQES
+>DECOY_NM_024590|144843_2_2200_2435
+SEQIGTLYTWGRMHTPQSTSFGYVKALQCPSGNMTGGTRDWTASLSLPSGTATALIEQLCNGTSCESPQSSQLTGSGM
+>NM_033394|144901_2_3575_3687
+MELLCRGQTGEGFHLCFVQHARGIGRLLDCCWNAAVM
+>DECOY_NM_033394|144901_2_3575_3687
+MVAANWCCDLLRGIGRAHQVFCLHFGEGTQGRCLLEM
+>NM_033394|144902_2_3902_3981
+MAAHPWTWLPSMAMPRLCCTWWRREP
+>DECOY_NM_033394|144902_2_3902_3981
+PERRWWTCCLRPMAMSPLWTWPHAAM
+>NM_033394|144905_2_5114_5292
+MALHTLYQVRRKPQRGFCLIPPWLWTQPLQTKVGWRPAATCDTQLPSPAQALLVLHPAA
+>DECOY_NM_033394|144905_2_5114_5292
+AAPHLVLLAQAPSPLQTDCTAAPRWGVKTQLPQTWLWPPILCFGRQPKRRVQYLTHLAM
+>NM_004930|144940_2_259_338
+MGTPIGHHGVTSMTLPWRMGPCRQLG
+>DECOY_NM_004930|144940_2_259_338
+GLQRCPGMRWPLTMSTVGHHGIPTGM
+>NM_021241|144976_2_2054_2208
+MAVTVTSGRPWGWHPGAWPWSAAVPEGSQGPRLAGQPTVVSGLWQPARQAP
+>DECOY_NM_021241|144976_2_2054_2208
+PAQRAPQWLGSVVTPQGALRPGQSGEPVAASWPWAGPHWGWPRGSTVTVAM
+>NM_001085399|145001_2_181_401
+MGAAAHCTPEQRRPRRPATILGMDTQNILHTRLSLCSLSWVSLASSFATCLRRKAIVVQQKQSKISKRKRLKR
+>DECOY_NM_001085399|145001_2_181_401
+RKLRKRKSIKSQKQQVVIAKRRLCTAFSSALSVWSLSCLSLRTHLINQTDMGLITAPRRPRRQEPTCHAAAGM
+>NM_001085399|145002_2_247_401
+MDTQNILHTRLSLCSLSWVSLASSFATCLRRKAIVVQQKQSKISKRKRLKR
+>DECOY_NM_001085399|145002_2_247_401
+RKLRKRKSIKSQKQQVVIAKRRLCTAFSSALSVWSLSCLSLRTHLINQTDM
+>NM_032453|145057_2_466_560
+MALNAPVSEKPGNIRVNLSYIREMRRGISCK
+>DECOY_NM_032453|145057_2_466_560
+KCSIGRRMERIYSLNVRINGPKESVPANLAM
+>NM_032453|145073_3_197_285
+MAEAISEGFIQRCHVGELQELGMAWTLHF
+>DECOY_NM_032453|145073_3_197_285
+FHLTWAMGLEQLEGVHCRQIFGESIAEAM
+>NM_001079559|145101_2_821_930
+MAELTMNSERRLTTAAQSLHCLLKKRQKMRRRIKLL
+>DECOY_NM_001079559|145101_2_821_930
+LLKIRRRMKQRKKLLCHLSQAATTLRRESNMTLEAM
+>NM_001079559|145105_2_1226_1308
+MDNLRNLARLLGRMMLLAALLILRLKK
+>DECOY_NM_001079559|145105_2_1226_1308
+KKLRLILLAALLMMRGLLRALNRLNDM
+>NM_014759|145282_2_858_1063
+MGSTWCPAGARRWSSALGIMPRSTWLSFRRKLSRSQAACSASPSSTATITRSTSSMPGPTAGTCCSLT
+>DECOY_NM_014759|145282_2_858_1063
+TLSCCTGATPGPMSSTSRTITATSSPSASCAAQSRSLKRRFSLWTSRPMIGLASSWRRAGAPCWTSGM
+>NM_014759|145284_2_1383_1495
+MGSWSSATPRTSSWRSSTLSPSTCPWAPWGRSVGTSS
+>DECOY_NM_014759|145284_2_1383_1495
+SSTGVSRGWPAWPCTSPSLTSSRWSSTRPTASSWSGM
+>NM_003435|145577_2_946_1331
+MEQGLISAVIVGKSSDTNLHLFSMRVFTLEKILMIAVIVGNPLATNTPSLNISEFTLSQSRLSALNAGNSLVEVLTILHTRGFTLVKGLLCAVNVGKTLSEPPTLFDTKEFTLEKGHMSAVNVGRPTA
+>DECOY_NM_003435|145577_2_946_1331
+ATPRGVNVASMHGKELTFEKTDFLTPPESLTKGVNVACLLGKVLTFGRTHLITLVEVLSNGANLASLRSQSLTFESINLSPTNTALPNGVIVAIMLIKELTFVRMSFLHLNTDSSKGVIVASILGQEM
+>NM_001045477|145702_2_1715_1971
+MAPRPRLPACHHPGPRGQRRPRPTCHHPGPRGQQRPRSLRRSPLKWCRSMWTSWRSCWGLTLGTQGSLRDNGKRAKWSSRRKRTG
+>DECOY_NM_001045477|145702_2_1715_1971
+GTRKRRSSWKARKGNDRLSGQTGLTLGWCSRWSTWMSRCWKLPSRRLSRPRQQGRPGPHHCTPRPRRQGRPGPHHCAPLRPRPAM
+>NM_198467|145740_2_282_613
+MAAPRLGALPLCLLLPPRPLLGAVSLSPLARPFPPQPPLPCLSRCRANCWSLLRCCTLSLTISSCPPPPPLPRLTPSRADLRRSGRRRGGGTVSVGPERPAGPPGRRTGR
+>DECOY_NM_198467|145740_2_282_613
+RGTRRGPPGAPREPGVSVTGGGRRRGSRRLDARSPTLRPLPPPPPCSSITLSLTCCRLLSWCNARCRSLCPLPPQPPFPRALPSLSVAGLLPRPPLLLCLPLAGLRPAAM
+>NM_001042427|145752_2_91_170
+MGPTARQAYRWSTTPSACRACKLCWP
+>DECOY_NM_001042427|145752_2_91_170
+PWCLKCARCASPTTSWRYAQRATPGM
+>NM_020854|145784_2_798_889
+MVTGKQMKKWQSWSLNYGKPRRPFRPSEPT
+>DECOY_NM_020854|145784_2_798_889
+TPESPRFPRRPKGYNLSWSQWKKMQKGTVM
+>NM_020854|145790_2_2910_2995
+MGSSLKLQSPFMQQESLRVIFRKKTENC
+>DECOY_NM_020854|145790_2_2910_2995
+CNETKKRFIVRLSEQQMFPSQLKLSSGM
+>NM_025003|145865_2_2023_2102
+MVLLVELKLMTSVFKASVWQLVVITC
+>DECOY_NM_025003|145865_2_2023_2102
+CTIVVLQWVSAKFVSTMLKLEVLLVM
+>NM_025003|145870_2_2884_2981
+MVTVSSQDGIIQNGLSVPGVVEEGKGLENLIV
+>DECOY_NM_025003|145870_2_2884_2981
+VILNELGKGEEVVGPVSLGNQIIGDQSSVTVM
+>NM_025003|145876_2_4003_4133
+MDKVLVTAMQPPSLQSYSNVVQGLVHSGTTEIGENVHKHVEEE
+>DECOY_NM_025003|145876_2_4003_4133
+EEEVHKHVNEGIETTGSHVLGQVVNSYSQLSPPQMATVLVKDM
+>NM_025003|145885_3_2906_2985
+MALFRMVSVFQELWRRGKVSRILLYE
+>DECOY_NM_025003|145885_3_2906_2985
+EYLLIRSVKGRRWLEQFVSVMRFLAM
+>NM_025003|145887_3_3464_3540
+MATWFLDPMLRILWKRYSSPLCKLS
+>DECOY_NM_025003|145887_3_3464_3540
+SLKCLPSSYRKWLIRLMPDLFWTAM
+>NM_025003|145888_3_3602_3723
+MGLFYPLWRVASRGLVTLFSFLWPWKNNSTSFMHELPSAN
+>DECOY_NM_025003|145888_3_3602_3723
+NASPLEHMFSTSNNKWPWLFSFLTVLGRSAVRWLPYFLGM
+>NM_025003|145892_3_4985_5067
+MEQVLSDLWNWDNEETSEMHYQTWFVQ
+>DECOY_NM_025003|145892_3_4985_5067
+QVFWTQYHMESTEENDWNWLDSLVQEM
+>NM_006315|145906_2_746_840
+MVKPKQTTVQTKRPRRRSRRRTTTTTAATSR
+>DECOY_NM_006315|145906_2_746_840
+RSTAATTTTTRRRSRRRPRKTQVTTQKPKVM
+>NM_001098510|145929_2_294_373
+MVNSSSWATMVVWQVGTRAAGEAAWH
+>DECOY_NM_001098510|145929_2_294_373
+HWAAEGAARTGVQWVVMTAWSSSNVM
+>NM_152793|146122_3_236_375
+MVLQHALRAHRHRGDRTQDGFLRRPRRLLLCAVSGQRLRRQFSRVE
+>DECOY_NM_152793|146122_3_236_375
+EVRSFQRRLRQGSVACLLLRRPRRLFGDQTRDGRHRHARLAHQLVM
+>NM_003426|146148_3_741_1060
+MEHAEGSPQRALSRMGAEGGALSTAGHLQRRTGPGAHHGAAPRRGAGVGAPGRCSAEESGCALGARTCHGLGRPCRGIPPQVSPLRPATRSRGGTLAGHTQERPGH
+>DECOY_NM_003426|146148_3_741_1060
+HGPREQTHGALTGGRSRTAPRLPSVQPPIGRCPRGLGHCTRAGLACGSEEASCRGPAGVGAGRRPAAGHHAGPGTRRQLHGATSLAGGEAGMRSLARQPSGEAHEM
+>NM_003426|146149_3_783_1060
+MGAEGGALSTAGHLQRRTGPGAHHGAAPRRGAGVGAPGRCSAEESGCALGARTCHGLGRPCRGIPPQVSPLRPATRSRGGTLAGHTQERPGH
+>DECOY_NM_003426|146149_3_783_1060
+HGPREQTHGALTGGRSRTAPRLPSVQPPIGRCPRGLGHCTRAGLACGSEEASCRGPAGVGAGRRPAAGHHAGPGTRRQLHGATSLAGGEAGM
+>NM_020883|146233_2_1591_1748
+METMDMSCPTSPMHFPRVPFTAQTPYPDQDEQYSLEPLRGVNYIGRIATYSE
+>DECOY_NM_020883|146233_2_1591_1748
+ESYTAIRGIYNVGRLPELSYQEDQDPYPTQATFPVRPFHMPSTPCSMDMTEM
+>NM_020883|146234_2_1600_1748
+MDMSCPTSPMHFPRVPFTAQTPYPDQDEQYSLEPLRGVNYIGRIATYSE
+>DECOY_NM_020883|146234_2_1600_1748
+ESYTAIRGIYNVGRLPELSYQEDQDPYPTQATFPVRPFHMPSTPCSMDM
+>NM_020883|146255_3_2894_2979
+MVGDLCYRSGCAGPGEHLAELVHTLHPY
+>DECOY_NM_020883|146255_3_2894_2979
+YPHLTHVLEALHEGPGACGSRYCLDGVM
+>NM_014345|146357_2_4003_4097
+MAKLKLGRQSLSKSSSLGKLLLHIPALGCLL
+>DECOY_NM_014345|146357_2_4003_4097
+LLCGLAPIHLLLKGLSSSKSLSQRGLKLKAM
+>NM_014345|146370_3_5042_5136
+MVSCRTCRPKKHRQHLWLPTASDKVVPKQAL
+>DECOY_NM_014345|146370_3_5042_5136
+LAQKPVVKDSATPLWLHQRHKKPRCTRCSVM
+>NM_001004334|146424_2_4711_4811
+MEEAEQRRCVHRKISGRRHRKQHLPKQKSVPGR
+>DECOY_NM_001004334|146424_2_4711_4811
+RGPVSKQKPLHQKRHRRGSIKRHVCRRQEAEEM
+>NM_001004334|146430_2_5857_5936
+MGKKQAMSYNPSVHGRPLPQQIPSLT
+>DECOY_NM_001004334|146430_2_5857_5936
+TLSPIQQPLPRGHVSPNYSMAQKKGM
+>NM_001004334|146431_2_6127_6353
+MEKGTLKKRKAEPQKNQSQKVCQFRKSQRWQTSGSRRLCVPGRVKMARVCPHSQPQMLLTEAEAVLRQQAVWRPG
+>DECOY_NM_001004334|146431_2_6127_6353
+GPRWVAQQRLVAEAETLLMQPQSHPCVRAMKVRGPVCLRRSGSTQWRQSKRFQCVKQSQNKQPEAKRKKLTGKEM
+>NM_001004334|146432_2_6262_6353
+MARVCPHSQPQMLLTEAEAVLRQQAVWRPG
+>DECOY_NM_001004334|146432_2_6262_6353
+GPRWVAQQRLVAEAETLLMQPQSHPCVRAM
+>NM_001004334|146438_3_4832_4935
+MDISTGAKRRRISKGQGENAWKIGNRRCHSLGKA
+>DECOY_NM_001004334|146438_3_4832_4935
+AKGLSHCRRNGIKWANEGQGKSIRRRKAGTSIDM
+>NM_001039112|146496_2_1257_1333
+METKAFCPPLGLPGLTCMARPGTTV
+>DECOY_NM_001039112|146496_2_1257_1333
+VTTGPRAMCTLGPLGLPPCFAKTEM
+>NM_001039112|146497_2_1539_1675
+MENPNRLQTKLTQPRWRWNRSMSPRRLYQKKMRNFYSLEHFLKLP
+>DECOY_NM_001039112|146497_2_1539_1675
+PLKLFHELSYFNRMKKQYLRRPSMSRNWRWRPQTLKTQLRNPNEM
+>NM_001039112|146500_2_1761_1891
+MGVRSQLNQLKKTSFHCFTKGKGMWPMMFPFLWPPPLTRRSHW
+>DECOY_NM_001039112|146500_2_1761_1891
+WHSRRTLPPPWLFPFMMPWMGKGKTFCHFSTKKLQNLQSRVGM
+>NM_001039112|146507_2_3960_4090
+MGQRRRKTKCSRRNPKMMESPTWPSCRYMTVISRVNSTILKTG
+>DECOY_NM_001039112|146507_2_3960_4090
+GTKLITSNVRSIVTMYRCSPWTPSEMMKPNRRSCKTKRRRQGM
+>NM_001039112|146509_2_4011_4090
+MESPTWPSCRYMTVISRVNSTILKTG
+>DECOY_NM_001039112|146509_2_4011_4090
+GTKLITSNVRSIVTMYRCSPWTPSEM
+>NM_001012968|146545_2_1037_1257
+MVTYALFQIPTTISLQQNRSLERWSTVSWASRWSMPKMTGPRELAFLYIKWWRSHLFTSLSLMMIFTFMSMVW
+>DECOY_NM_001012968|146545_2_1037_1257
+WVMSMFTFIMMLSLSTFLHSRWWKIYLFALERPGTMKPMSWRSAWSVTSWRELSRNQQLSITTPIQFLAYTVM
+>NM_152890|146622_2_3928_4052
+MEQMAFQETLEKLGHQENRDFLASEAAQEEQVLLGLQVLQE
+>DECOY_NM_152890|146622_2_3928_4052
+EQLVQLGLLVQEEQAAESALFDRNEQHGLKELTEQFAMQEM
+>NM_152608|146656_2_207_418
+MEHSLTPVTQCSMELFIVWNPDFAVEKEVLDLCSEHLVLRLRRQPIEKLVGISVEGDYAMSIMKKQWLNG
+>DECOY_NM_152608|146656_2_207_418
+GNLWQKKMISMAYDGEVSIGVLKEIPQRRLRLVLHESCLDLVEKEVAFDPNWVIFLEMSCQTVPTLSHEM
+>NM_152608|146657_2_243_418
+MELFIVWNPDFAVEKEVLDLCSEHLVLRLRRQPIEKLVGISVEGDYAMSIMKKQWLNG
+>DECOY_NM_152608|146657_2_243_418
+GNLWQKKMISMAYDGEVSIGVLKEIPQRRLRLVLHESCLDLVEKEVAFDPNWVIFLEM
+>NM_025229|146703_2_1769_1887
+MAMGLRKTIKQQPHTTALQPTNTTTRKPCSIWLICMNTA
+>DECOY_NM_025229|146703_2_1769_1887
+ATNMCILWISCPKRTTTNTPQLATTHPQQKITKRLGMAM
+>NM_025229|146704_2_1775_1887
+MGLRKTIKQQPHTTALQPTNTTTRKPCSIWLICMNTA
+>DECOY_NM_025229|146704_2_1775_1887
+ATNMCILWISCPKRTTTNTPQLATTHPQQKITKRLGM
+>NM_025229|146705_3_2040_2131
+MELAETGQHHWTTLGLICDWPHCSWADFVA
+>DECOY_NM_025229|146705_3_2040_2131
+AVFDAWSCHPWDCILGLTTWHHQGTEALEM
+>NM_032821|146851_2_6603_6736
+MAPGHRSSITHTSLKHHRFPPALSPRGPSTAGSVLVPVSEARPG
+>DECOY_NM_032821|146851_2_6603_6736
+GPRAESVPVLVSGATSPGRPSLAPPFRHHKLSTHTISSRHGPAM
+>NM_032821|146864_2_10587_10708
+METPCSSLRGFSLVIQRSCLSSSRTMVSSLPSCMLTCRMS
+>DECOY_NM_032821|146864_2_10587_10708
+SMRCTLMCSPLSSVMTRSSSLCSRQIVLSFGRLSSCPTEM
+>NM_032821|146878_3_12301_12452
+MDLPNSRAQHHSPFPAGRQNYRTSHLSEQVTPQLQLSPHWQRSQGDCADH
+>DECOY_NM_032821|146878_3_12301_12452
+HDACDGQSRQWHPSLQLQPTVQESLHSTRYNQRGAPFPSHHQARSNPLDM
+>NM_020780|146903_2_823_926
+MGGTSPASRPTRQSRPIRAVPAEAPRAGTTRAPM
+>DECOY_NM_020780|146903_2_823_926
+MPARTTGARPAEAPVARIPRSQRTPRSAPSTGGM
+>NM_001039111|146945_2_979_1085
+MGATASSTSRRHCRTHGHSPSSCWQMPSRDDRQSS
+>DECOY_NM_001039111|146945_2_979_1085
+SSQRDDRSPMQWCSSPSHGHTRCHRRSTSSATAGM
+>NM_001039111|146946_2_1537_1631
+MASSVPSRVRWPPSQSLVMTTMVSPASQEAT
+>DECOY_NM_001039111|146946_2_1537_1631
+TAEQSAPSVMTTMVLSQSPPWRVRSPVSSAM
+>NM_001039111|146947_2_2272_2462
+MASRGLSGSTLTPHGVWPSTMRATWWSLTSTTTGSWLFTPTASRHAFWARRAQAMGSSCAHKG
+>DECOY_NM_001039111|146947_2_2272_2462
+GKHACSSGMAQARRAWFAHRSATPTFLWSGTTTSTLSWWTARMTSPWVGHPTLTSGSLGRSAM
+>NM_014846|146989_2_480_568
+MEISYLISAILRVQNYGKANWMLSQSYRI
+>DECOY_NM_014846|146989_2_480_568
+IRYSQSLMWNAKGYNQVRLIASILYSIEM
+>NM_014846|146991_2_729_1015
+MENNFYVKHCTYMELCYWSLTKRLKEKSERGCWFLTTDTVLLDLLLIQIWTIFVSCFEVQVILANQVPKDHPTIPRAISRECLSTNPSSVWSLVD
+>DECOY_NM_014846|146991_2_729_1015
+DVLSWVSSPNTSLCERSIARPITPHDKPVQNALIVQVEFCSVFITWIQILLLDLLVTDTTLFWCGRESKEKLRKTLSWYCLEMYTCHKVYFNNEM
+>NM_018115|147063_2_1602_1708
+MDGKVPVSVRRRMLMVNGLMCNTLPMKNSKKSPRS
+>DECOY_NM_018115|147063_2_1602_1708
+SRPSKKSNKMPLTNCMLGNVMLMRRRVSVPVKGDM
+>NM_024109|147084_2_553_650
+MVMRVSPSRPGLTPLARKWLKLSWMRMGIWTW
+>DECOY_NM_024109|147084_2_553_650
+WTWIGMRMWSLKLWKRALPTLGPRSPSVRMVM
+>NM_024345|147108_2_1551_1633
+MGFACWDLTNSAVNLLTACPKKPVPCG
+>DECOY_NM_024345|147108_2_1551_1633
+GCPVPKKPCATLLNVASNTLDWCAFGM
+>NM_173515|147138_2_1583_1761
+MAVLFMEGPVSANNHCLVLRVQSPRIPSWTRKAGDEDSPLQTRISCLGTRWKPTFCPQK
+>DECOY_NM_173515|147138_2_1583_1761
+KQPCFTPKWRTGLCSIRTQLPSDEDGAKRTWSPIRPSQVRLVLCHNNASVPGEMFLVAM
+>NM_173515|147142_2_1862_2163
+MAGKGRMPFAGISVTSGFLRSLKRAPLPHTGSPDPRPSGIWSGVRTTSEEAGATSTQISTAAPRFHSRRKGPKRNLAPQLRSPRPQNRPSWSAGLRASNC
+>DECOY_NM_173515|147142_2_1862_2163
+CNSARLGASWSPRNQPRPSRLQPALNRKPGKRRSHFRPAATSIQTSTAGAEESTTRVGSWIGSPRPDPSGTHPLPARKLSRLFGSTVSIGAFPMRGKGAM
+>NM_014386|147233_2_519_715
+MANILLQMKTSLILAFKLILNGDILLLIPTPLGTGDFLVFTEMGDTFSLYQNRNLKPKTSSLTFD
+>DECOY_NM_014386|147233_2_519_715
+DFTLSSTKPKLNRNQYLSFTDGMETFVLFDGTGLPTPILLLIDGNLILKFALILSTKMQLLINAM
+>NM_018066|147265_2_838_956
+MGSWPSTWTTTQRFWTSPTCLTTWLLTLSSATTASSMRS
+>DECOY_NM_018066|147265_2_838_956
+SRMSSATTASSLTLLWTTLCTPSTWFRQTTTWTSPWSGM
+>NM_015382|147329_2_2560_2663
+MDGSDLSWMENLPPCIQVVVRKVDLTVQKAEVNS
+>DECOY_NM_015382|147329_2_2560_2663
+SNVEAKQVTLDVKRVVVQICPPLNEMWSLDSGDM
+>NM_015382|147332_2_4006_4127
+MDRTGLLCIPMLMTAVSMNQGQLQLGLLIHQRMRNKGGDM
+>DECOY_NM_015382|147332_2_4006_4127
+MDGGKNRMRQHILLGLQLQGQNMSVATMLMPICLLGTRDM
+>NM_015382|147335_2_4363_4580
+MAAHREKALSQENYTMAGLMSPGMLVAQTLTVWAQKENLTSSLHQGTTLIQWHHPNLFHPLFQAQRNHGAAW
+>DECOY_NM_015382|147335_2_4363_4580
+WAAGHNRQAQFLPHFLNPHHWQILTTGQHLSSTLNEKQAWVTLTQAVLMGPSMLGAMTYNEQSLAKERHAAM
+>NM_015382|147336_2_4408_4580
+MAGLMSPGMLVAQTLTVWAQKENLTSSLHQGTTLIQWHHPNLFHPLFQAQRNHGAAW
+>DECOY_NM_015382|147336_2_4408_4580
+WAAGHNRQAQFLPHFLNPHHWQILTTGQHLSSTLNEKQAWVTLTQAVLMGPSMLGAM
+>NM_015382|147344_2_6553_6689
+MVMNSFSLLFHQMNSLAKKLQQKYYSRLRNHWHWQVGLCQTGVNN
+>DECOY_NM_015382|147344_2_6553_6689
+NNVGTQCLGVQWHWHNRLRSYYKQQLKKALSNMQHFLLSFSNMVM
+>NM_020754|147385_2_4202_4443
+MGFSLWRGARRDPAQPVGPLRNLPKMILPPPWKAQRKKNQSKIPEPLSPHQWMPLHPACARDLPFLQNQARLTCSPPRMQ
+>DECOY_NM_020754|147385_2_4202_4443
+QMRPPSCTLRAQNQLFPLDRACAPHLPMWQHPSLPEPIKSQNKKRQAKWPPPLIMKPLNRLPGVPQAPDRRAGRWLSFGM
+>NM_001011719|147534_3_1055_1128
+MGRRYPCARDIPVAVSLGGWESDQ
+>DECOY_NM_001011719|147534_3_1055_1128
+QDSEWGGLSVAVPIDRACPYRRGM
+>NM_015680|147627_2_273_397
+MAGTGKPTVAWRSSPARWQTLLSNCSRRQPPALFADSRRNM
+>DECOY_NM_015680|147627_2_273_397
+MNRRSDAFLAPPQRRSCNSLLTQWRAPSSRWAVTPKGTGAM
+>NM_173478|147715_3_426_535
+MVSGEICELPGCRNPRKVYGKTGREHLQASHNPAKR
+>DECOY_NM_173478|147715_3_426_535
+RKAPNHSAQLHERGTKGYVKRPNRCGPLECIEGSVM
+>NM_006569|147775_2_336_433
+MESQGQTLKCSISSCPTPSSQARSSSDFCRAT
+>DECOY_NM_006569|147775_2_336_433
+TARCFDSSSRAQSSPTPCSSISCKLTQGQSEM
+>NM_005744|147861_2_1374_1579
+MVVVITWSVVTRIVKQSFAGCVLAHGNHMDLPGTTVTAIMRMMQRQQEMHRSDLGQPCRGTCSTVIAI
+>DECOY_NM_005744|147861_2_1374_1579
+IAIVTSCTGRCPQGLDSRHMEQQRQMMRMIATVTTGPLDMHNGHALVCGAFSQKVIRTVVSWTIVVVM
+>NM_005883|148107_2_995_1464
+MAPLSRATARWRWSSGCCPCWRRATRRIQRARCWPCPARPRAAWPCAARAVCLCCCKSSTAPRPRPGVAPGPQGHRAPRTHACAPTRRCTTSSSRSRTRAWRARRCASCTCWSRSGPTARPAGTGCRPETAGPREVAPAAPRSPSSRRSARPPVLL
+>DECOY_NM_005883|148107_2_995_1464
+LLVPPRASRRSSPSRPAAPAVERPGATEPRCGTGAPRATPGSRSWCTCSACRRARWARTRSRSSSTTCRRTPACAHTRPARHGQPGPAVGPRPRPATSSKCCCLCVARAACPWAARPRAPCPWCRARQIRRTARRWCPCCGSSWRWRATARSLPAM
+>NM_130901|148147_2_712_800
+MGTAFYMLLHWECGGFTTGTWCYGKLSIP
+>DECOY_NM_130901|148147_2_712_800
+PISLKGYCWTGTTFGGCEWHLLMYFATGM
+>NM_130901|148148_2_946_1025
+MAARAGVWTTLRTPCTRAWKSSTFLS
+>DECOY_NM_130901|148148_2_946_1025
+SLFTSSKWARTCPTRLTTWVGARAAM
+>NM_001039999|148196_2_444_598
+MGSATARKPAGRMGSPSRPSRCPPWSTGPRSRTAPSRSWTWAGPTPSPTAA
+>DECOY_NM_001039999|148196_2_444_598
+AATPSPTPGAWTWSRSPATRSRPGTSWPPCRSPRSPSGMRGAPKRATASGM
+>NM_018652|148218_2_1899_2008
+MANSSSLPRTLLMSPLQGPQPPRNLGLPVSRMIFMK
+>DECOY_NM_018652|148218_2_1899_2008
+KMFIMRSVPLGLNRPPQPGQLPSMLLTRPLSSSNAM
+>NM_203299|148246_3_193_293
+MVAAWEVATASSLVLWEYGARQGTTTSAPCGLP
+>DECOY_NM_203299|148246_3_193_293
+PLGCPASTTTGQRAGYEWLVLSSATAVEWAAVM
+>NM_020342|148408_2_1021_1127
+MVMILVVDTKILILIMKVNFDILEREKHHMLKIMQ
+>DECOY_NM_020342|148408_2_1021_1127
+QMIKLMHHKERELIDFNVKMILILIKTDVVLIMVM
+>NM_020342|148410_2_1204_1316
+MVMVPTLPSQLIYLHTFALHCYIKSTADFVLSILTNF
+>DECOY_NM_020342|148410_2_1204_1316
+FNTLISLVFDATSKIYCHLAFTHLYILQSPLTPVMVM
+>NM_020342|148411_2_1210_1316
+MVPTLPSQLIYLHTFALHCYIKSTADFVLSILTNF
+>DECOY_NM_020342|148411_2_1210_1316
+FNTLISLVFDATSKIYCHLAFTHLYILQSPLTPVM
+>NM_020342|148413_2_1996_2072
+MDYIPFMSMISMLLHITTTARTKLC
+>DECOY_NM_020342|148413_2_1996_2072
+CLKTRATTTIHLLMSIMSMFPIYDM
+>NM_020342|148419_3_1751_1833
+MVYETEHRRINYWKKAFRSQVKQYTRF
+>DECOY_NM_020342|148419_3_1751_1833
+FRTYQKVQSRFAKKWYNIRRHETEYVM
+>NM_032425|148502_2_675_763
+MAPTASSWPSRWGWCGPTCPTARGWGSLS
+>DECOY_NM_032425|148502_2_675_763
+SLSGWGRATPCTPGCWGWRSPWSSATPAM
+>NM_032425|148504_2_1059_1531
+MAGWPETPLGHLEMPKTSRRCWARCCASTWTVRSAACPTASRPTTRSWATPRRSPRSTPWACATCGAAPSTVATPPRALAAGASSAATWARTSSRRWTWWSAAATMAGARAKGSSATTAACAPTPLSMTCCRFSPTRTRLASRSQGATCTGAASTPT
+>DECOY_NM_032425|148504_2_1059_1531
+TPTSAAGTCTAGQSRSALRTRTPSFRCCTMSLPTPACAATTASSGKARAGAMTAAASWWTWRRSSTRAWTAASSAGAALARPPTAVTSPAAGCTACAWPTSRPSRRPTAWSRTTPRSATPCAASRVTWTSACCRAWCRRSTKPMELHGLPTEPWGAM
+>NM_032425|148506_2_1374_1531
+MAGARAKGSSATTAACAPTPLSMTCCRFSPTRTRLASRSQGATCTGAASTPT
+>DECOY_NM_032425|148506_2_1374_1531
+TPTSAAGTCTAGQSRSALRTRTPSFRCCTMSLPTPACAATTASSGKARAGAM
+>NM_020196|148628_2_1728_2332
+MGAASWSGHGTCLNRLWTAAPQNMPRPCTCCTHSWRRSGAWPGMPWPCTSVPPGPWSPPSSMTCSTSTSSGRPRSMGSPTPAASTRRPLRCCRTSTRVRCACGLQTWSASSGRLTAPGPSTASAPRSVTPGRPARSGRRGRTLRSGMAMRTPSRKCCVSGAACRPRTTRRSTSWPRRCSRSRAVPRAPCLTWPLGRVAWTT
+>DECOY_NM_020196|148628_2_1728_2332
+TTWAVRGLPWTLCPARPVARSRSCRRPWSTSRRTTRPRCAAGSVCCKRSPTRMAMGSRLTRGRRGSRAPRGPTVSRPASATSPGPATLRGSSASWTQLGCACRVRTSTRCCRLPRRTSAAPTPSGMSRPRGSSTSTSCTMSSPPSWPGPPVSTCPWPMGPWAGSRRWSHTCCTCPRPMNQPAATWLRNLCTGHGSWSAAGM
+>NM_020196|148633_2_2166_2332
+MAMRTPSRKCCVSGAACRPRTTRRSTSWPRRCSRSRAVPRAPCLTWPLGRVAWTT
+>DECOY_NM_020196|148633_2_2166_2332
+TTWAVRGLPWTLCPARPVARSRSCRRPWSTSRRTTRPRCAAGSVCCKRSPTRMAM
+>NM_030758|148710_2_726_838
+MVCSLTTEIRVKWPTRAVEPSTCPPRTLTRRTLVVSC
+>DECOY_NM_030758|148710_2_726_838
+CSVVLTRRTLTRPPCTSPEVARTPWKVRIETTLSCVM
+>NM_030758|148713_2_2019_2092
+MAGASGRRSPSPASSGENTSPSCR
+>DECOY_NM_030758|148713_2_2019_2092
+RCSPSTNEGSSAPSPSRRGSAGAM
+>NM_024923|148764_2_1377_1465
+MEGSTYYRCLCGTSRRWKFTSRSPCIPAS
+>DECOY_NM_024923|148764_2_1377_1465
+SAPICPSRSTFKWRRSTGCLCRYYTSGEM
+>NM_021235|148921_2_532_806
+MGTWIEMSSLWPCTWCTEPWRRSPCPPPCPRPSSHPPRERRLCSLAPSPSCLPAPHQKTASAPRRPTAASAASTAQGACPPSTASSKHSQQ
+>DECOY_NM_021235|148921_2_532_806
+QQSHKSSATSPPCAGQATSAASAATPRRPASATKQHPAPLCSPSPALSCLRRERPPHSSPRPCPPPCPSRRWPETCWTCPWLSSMEIWTGM
+>NM_194249|148934_2_168_310
+MAGHPQAGWAARRQLGQRCSSGGCLRTCTSTSLSRCSSAWAASTSSA
+>DECOY_NM_194249|148934_2_168_310
+ASSTSAAWASSCRSLSTSTCTRLCGGSSCRQGLQRRAAWGAQPHGAM
+>NM_170736|149042_2_742_818
+MESVPSQRNVLMPSSCWLLSWSSRP
+>DECOY_NM_170736|149042_2_742_818
+PRSSWSLLWCSSPMLVNRQSPVSEM
+>NM_170736|149044_2_1312_1448
+MENMWLISVSLNRFGKAQIAHFTVQILRNSNSRRSTGRRIRGKEN
+>DECOY_NM_170736|149044_2_1312_1448
+NEKGRIRRGTSRRSNSNRLIQVTFHAIQAKGFRNLSVSILWMNEM
+>NM_032634|149138_2_1252_1346
+MEGTVSWRSQLLSFCIAPQQSSPAPHQRSQR
+>DECOY_NM_032634|149138_2_1252_1346
+RQSRQHPAPSSQQPAICFSLLQSRWSVTGEM
+>NM_032634|149143_3_548_636
+MGEPRETWGLLDGFPIFAGCVGADRCSAI
+>DECOY_NM_032634|149143_3_548_636
+IASCRDAGVCGAFIPFGDLLGWTERPEGM
+>NM_032634|149144_3_3059_3288
+MAGSLGLGPHGHTDLLLHRPPACLSSHPLACSLRGIPRGSWLLYLAACFASGSQHLCLPPPLCSRLPTAPALAFPV
+>DECOY_NM_032634|149144_3_3059_3288
+VPFALAPATPLRSCLPPPLCLHQSGSAFCAALYLLWSGRPIGRLSCALPHSSLCAPPRHLLLDTHGHPGLGLSGAM
+>NM_013366|149229_3_474_562
+MDSPGLADGHWCSGAARRSPHYVARSLVL
+>DECOY_NM_013366|149229_3_474_562
+LVLSRAVYHPSRRAAGSCWHGDALGPSDM
+>NM_016047|149234_2_339_544
+MDLFVKSEWGTHLKLEEQLMWSMRTSLMPRMHVITYRDSMFVTDTLWFCTIMPTGHFRRWTQRRRRNS
+>DECOY_NM_016047|149234_2_339_544
+SNRRRRQTWRRFHGTPMITCFWLTDTVFMSDRYTIVHMRPMLSTRMSWMLQEELKLHTGWESKVFLDM
+>NM_015354|149352_2_1490_1584
+MELFGGDKHPNSFIPLGVKPTFAYLKALWAK
+>DECOY_NM_015354|149352_2_1490_1584
+KAWLAKLYAFTPKVGLPIFSNPHKDGGFLEM
+>NM_015354|149355_2_4538_4797
+MGMASPQLLPSESRGHRLLLLLPPPPQSSPLLTQRHQSSRPCTQSSMAFSRSSARRWQPCATSPQMSARFCWISPWTLLNTTSCLP
+>DECOY_NM_015354|149355_2_4538_4797
+PLCSTTNLLTWPSIWCFRASMQPSTACPQWRRASSRSFAMSSQTCPRSSQHRQTLLPSSQPPPPLLLLLRHGRSESPLLQPSAMGM
+>NM_015354|149356_2_4544_4797
+MASPQLLPSESRGHRLLLLLPPPPQSSPLLTQRHQSSRPCTQSSMAFSRSSARRWQPCATSPQMSARFCWISPWTLLNTTSCLP
+>DECOY_NM_015354|149356_2_4544_4797
+PLCSTTNLLTWPSIWCFRASMQPSTACPQWRRASSRSFAMSSQTCPRSSQHRQTLLPSSQPPPPLLLLLRHGRSESPLLQPSAM
+>NM_015354|149357_2_4676_4797
+MAFSRSSARRWQPCATSPQMSARFCWISPWTLLNTTSCLP
+>DECOY_NM_015354|149357_2_4676_4797
+PLCSTTNLLTWPSIWCFRASMQPSTACPQWRRASSRSFAM
+>NM_007112|149445_2_1149_1477
+MATMVAVTQTPSAPTLWALSSVVPAAWVSWATRARAASQPGPATAQPTAPATSMLTVSLNAMVQCPASVTWAGLGMGTCVGLTQTSMATQTKHCPAWTTTNTANRTTAF
+>DECOY_NM_007112|149445_2_1149_1477
+FATTRNATNTTTWAPCHKTQTAMSTQTLGVCTGMGLGAWTVSAPCQVMANLSVTLMSTAPATPQATAPGPQSAARARTAWSVWAAPVVSSLAWLTPASPTQTVAVMTAM
+>NM_007112|149446_2_1158_1477
+MVAVTQTPSAPTLWALSSVVPAAWVSWATRARAASQPGPATAQPTAPATSMLTVSLNAMVQCPASVTWAGLGMGTCVGLTQTSMATQTKHCPAWTTTNTANRTTAF
+>DECOY_NM_007112|149446_2_1158_1477
+FATTRNATNTTTWAPCHKTQTAMSTQTLGVCTGMGLGAWTVSAPCQVMANLSVTLMSTAPATPQATAPGPQSAARARTAWSVWAAPVVSSLAWLTPASPTQTVAVM
+>NM_007112|149448_2_1332_1477
+MVQCPASVTWAGLGMGTCVGLTQTSMATQTKHCPAWTTTNTANRTTAF
+>DECOY_NM_007112|149448_2_1332_1477
+FATTRNATNTTTWAPCHKTQTAMSTQTLGVCTGMGLGAWTVSAPCQVM
+>NM_007112|149449_2_1374_1477
+MGTCVGLTQTSMATQTKHCPAWTTTNTANRTTAF
+>DECOY_NM_007112|149449_2_1374_1477
+FATTRNATNTTTWAPCHKTQTAMSTQTLGVCTGM
+>NM_007112|149452_2_1512_1834
+MVWGTSVMMMLMGMGSRMLRTTAGCSPTKTSRTQIQIHLVMPVTIAPTFPTMTRRTQMAMGKEMPVTTTWMGMASPMDWTIALKSPTHYRQTGMRTGWEMLATAALK
+>DECOY_NM_007112|149452_2_1512_1834
+KLAATALMEWGTRMGTQRYHTPSKLAITWDMPSAMGMWTTTVPMEKGMAMQTRRTMTPFTPAITVPMVLHIQIQTRSTKTPSCGATTRLMRSGMGMLMMMVSTGWVM
+>NM_007112|149457_2_1551_1834
+MGSRMLRTTAGCSPTKTSRTQIQIHLVMPVTIAPTFPTMTRRTQMAMGKEMPVTTTWMGMASPMDWTIALKSPTHYRQTGMRTGWEMLATAALK
+>DECOY_NM_007112|149457_2_1551_1834
+KLAATALMEWGTRMGTQRYHTPSKLAITWDMPSAMGMWTTTVPMEKGMAMQTRRTMTPFTPAITVPMVLHIQIQTRSTKTPSCGATTRLMRSGM
+>NM_007112|149462_2_1689_1834
+MGKEMPVTTTWMGMASPMDWTIALKSPTHYRQTGMRTGWEMLATAALK
+>DECOY_NM_007112|149462_2_1689_1834
+KLAATALMEWGTRMGTQRYHTPSKLAITWDMPSAMGMWTTTVPMEKGM
+>NM_007112|149465_2_1728_1834
+MASPMDWTIALKSPTHYRQTGMRTGWEMLATAALK
+>DECOY_NM_007112|149465_2_1728_1834
+KLAATALMEWGTRMGTQRYHTPSKLAITWDMPSAM
+>NM_007112|149470_2_1905_2185
+MGMGIRTPRTTAHSCQIAPSWTLITMDLEMSVMGMMTMMASQIMCLLVPITAAWYPIPIRRTQMAMALVMCVRMTLTMMLWSTPWMCVLKVQR
+>DECOY_NM_007112|149470_2_1905_2185
+RQVKLVCMWPTSWLMMTLTMRVCMVLAMAMQTRRIPIPYWAATIPVLLCMIQSAMMTMMGMVSMELDMTILTWSPAIQCSHATTRPTRIGMGM
+>NM_007112|149471_2_1911_2185
+MGIRTPRTTAHSCQIAPSWTLITMDLEMSVMGMMTMMASQIMCLLVPITAAWYPIPIRRTQMAMALVMCVRMTLTMMLWSTPWMCVLKVQR
+>DECOY_NM_007112|149471_2_1911_2185
+RQVKLVCMWPTSWLMMTLTMRVCMVLAMAMQTRRIPIPYWAATIPVLLCMIQSAMMTMMGMVSMELDMTILTWSPAIQCSHATTRPTRIGM
+>NM_007112|149472_2_1980_2185
+MDLEMSVMGMMTMMASQIMCLLVPITAAWYPIPIRRTQMAMALVMCVRMTLTMMLWSTPWMCVLKVQR
+>DECOY_NM_007112|149472_2_1980_2185
+RQVKLVCMWPTSWLMMTLTMRVCMVLAMAMQTRRIPIPYWAATIPVLLCMIQSAMMTMMGMVSMELDM
+>NM_007112|149474_2_2001_2185
+MGMMTMMASQIMCLLVPITAAWYPIPIRRTQMAMALVMCVRMTLTMMLWSTPWMCVLKVQR
+>DECOY_NM_007112|149474_2_2001_2185
+RQVKLVCMWPTSWLMMTLTMRVCMVLAMAMQTRRIPIPYWAATIPVLLCMIQSAMMTMMGM
+>NM_007112|149478_2_2019_2185
+MASQIMCLLVPITAAWYPIPIRRTQMAMALVMCVRMTLTMMLWSTPWMCVLKVQR
+>DECOY_NM_007112|149478_2_2019_2185
+RQVKLVCMWPTSWLMMTLTMRVCMVLAMAMQTRRIPIPYWAATIPVLLCMIQSAM
+>NM_007112|149481_2_2100_2185
+MALVMCVRMTLTMMLWSTPWMCVLKVQR
+>DECOY_NM_007112|149481_2_2100_2185
+RQVKLVCMWPTSWLMMTLTMRVCMVLAM
+>NM_000047|149570_2_1112_1218
+MGLSSSLFPFYTFTSLLSLWRTSSGRVSTGCMGTT
+>DECOY_NM_000047|149570_2_1112_1218
+TTGMCGTSVRGSSTRWLSLLSTFTYFPFLSSSLGM
+>NM_000047|149571_2_1349_1461
+MVAGMEFIKVGRAWEDGKVGSACPGSSAGPGCSRPAE
+>DECOY_NM_000047|149571_2_1349_1461
+EAPRSCGPGASSGPCASGVKGDEWARGVKIFEMGAVM
+>NM_000047|149572_2_1361_1461
+MEFIKVGRAWEDGKVGSACPGSSAGPGCSRPAE
+>DECOY_NM_000047|149572_2_1361_1461
+EAPRSCGPGASSGPCASGVKGDEWARGVKIFEM
+>NM_000047|149573_3_1395_1546
+MGRWDPRARDLPLARGAPGRPSDWRAHESDGRVPHRGPAGGRRGAPGQSD
+>DECOY_NM_000047|149573_3_1395_1546
+DSQGPAGRRGGAPGRHPVRGDSEHARWDSPRGPAGRALPLDRARPDWRGM
+>NM_013367|149603_3_953_1035
+MGRNTNADGFSSHQVCAGKEHNHISAR
+>DECOY_NM_013367|149603_3_953_1035
+RASIHNHEKGACVQHSSFGDANTNRGM
+>NM_001516|149624_2_249_337
+MADLETSSETLATLLNLIPLGVKMENTNF
+>DECOY_NM_001516|149624_2_249_337
+FNTNEMKVGLPILNLLTALTESSTELDAM
+>NM_001326|149659_2_1484_1578
+METFQSMSWPILTIFLTSMRTIIPEFCLNEF
+>DECOY_NM_001326|149659_2_1484_1578
+FENLCFEPIITRMSTLFITLIPWSMSQFTEM
+>NM_194247|149707_2_851_1143
+MVVEVVAAEVVMEEVMVDIMDLEVMVATMAVVLVIVVEGAMVVVDQDMETKVVDMVEVEDMMVTMKEEILAVVTMVVVGTIMILEIIVDNSNQIMDP
+>DECOY_NM_194247|149707_2_851_1143
+PDMIQNSNDVIIELIMITGVVVMTVVALIEEKMTVMMDEVEVMDVVKTEMDQDVVVMAGEVVIVLVVAMTAVMVELDMIDVMVEEMVVEAAVVEVVM
+>NM_194247|149708_2_884_1143
+MEEVMVDIMDLEVMVATMAVVLVIVVEGAMVVVDQDMETKVVDMVEVEDMMVTMKEEILAVVTMVVVGTIMILEIIVDNSNQIMDP
+>DECOY_NM_194247|149708_2_884_1143
+PDMIQNSNDVIIELIMITGVVVMTVVALIEEKMTVMMDEVEVMDVVKTEMDQDVVVMAGEVVIVLVVAMTAVMVELDMIDVMVEEM
+>NM_194247|149709_2_896_1143
+MVDIMDLEVMVATMAVVLVIVVEGAMVVVDQDMETKVVDMVEVEDMMVTMKEEILAVVTMVVVGTIMILEIIVDNSNQIMDP
+>DECOY_NM_194247|149709_2_896_1143
+PDMIQNSNDVIIELIMITGVVVMTVVALIEEKMTVMMDEVEVMDVVKTEMDQDVVVMAGEVVIVLVVAMTAVMVELDMIDVM
+>NM_194247|149710_2_908_1143
+MDLEVMVATMAVVLVIVVEGAMVVVDQDMETKVVDMVEVEDMMVTMKEEILAVVTMVVVGTIMILEIIVDNSNQIMDP
+>DECOY_NM_194247|149710_2_908_1143
+PDMIQNSNDVIIELIMITGVVVMTVVALIEEKMTVMMDEVEVMDVVKTEMDQDVVVMAGEVVIVLVVAMTAVMVELDM
+>NM_194247|149711_2_923_1143
+MVATMAVVLVIVVEGAMVVVDQDMETKVVDMVEVEDMMVTMKEEILAVVTMVVVGTIMILEIIVDNSNQIMDP
+>DECOY_NM_194247|149711_2_923_1143
+PDMIQNSNDVIIELIMITGVVVMTVVALIEEKMTVMMDEVEVMDVVKTEMDQDVVVMAGEVVIVLVVAMTAVM
+>NM_194247|149712_2_935_1143
+MAVVLVIVVEGAMVVVDQDMETKVVDMVEVEDMMVTMKEEILAVVTMVVVGTIMILEIIVDNSNQIMDP
+>DECOY_NM_194247|149712_2_935_1143
+PDMIQNSNDVIIELIMITGVVVMTVVALIEEKMTVMMDEVEVMDVVKTEMDQDVVVMAGEVVIVLVVAM
+>NM_194247|149713_2_971_1143
+MVVVDQDMETKVVDMVEVEDMMVTMKEEILAVVTMVVVGTIMILEIIVDNSNQIMDP
+>DECOY_NM_194247|149713_2_971_1143
+PDMIQNSNDVIIELIMITGVVVMTVVALIEEKMTVMMDEVEVMDVVKTEMDQDVVVM
+>NM_194247|149714_2_992_1143
+METKVVDMVEVEDMMVTMKEEILAVVTMVVVGTIMILEIIVDNSNQIMDP
+>DECOY_NM_194247|149714_2_992_1143
+PDMIQNSNDVIIELIMITGVVVMTVVALIEEKMTVMMDEVEVMDVVKTEM
+>NM_194247|149715_2_1013_1143
+MVEVEDMMVTMKEEILAVVTMVVVGTIMILEIIVDNSNQIMDP
+>DECOY_NM_194247|149715_2_1013_1143
+PDMIQNSNDVIIELIMITGVVVMTVVALIEEKMTVMMDEVEVM
+>NM_194247|149717_2_1034_1143
+MVTMKEEILAVVTMVVVGTIMILEIIVDNSNQIMDP
+>DECOY_NM_194247|149717_2_1034_1143
+PDMIQNSNDVIIELIMITGVVVMTVVALIEEKMTVM
+>NM_194247|149724_3_276_364
+MGHTHRLCGNERPPNKTFQGLWFCDLFLC
+>DECOY_NM_194247|149724_3_276_364
+CLFLDCFWLGQFTKNPPRENGCLRHTHGM
+>NM_000946|149738_2_926_1014
+MDPGWSGRLCSSTVFHGWISMSAKESIIY
+>DECOY_NM_000946|149738_2_926_1014
+YIISEKASMSIWGHFVTSSCLRGSWGPDM
+>NM_017837|149761_2_560_636
+MATCMSTTLPSFLVSPWPCWWGLNC
+>DECOY_NM_017837|149761_2_560_636
+CNLGWWCPWPSVLFSPLTTSMCTAM
+>NM_014280|149805_2_682_758
+MVVWTAGETSKPIRRGRKRRKIGPS
+>DECOY_NM_014280|149805_2_682_758
+SPGIKRRKRGRRIPKSTEGATWVVM
+>NM_022469|149824_3_411_490
+MAAPDQGGAGLQPGGPGGHRAQVPQE
+>DECOY_NM_022469|149824_3_411_490
+EQPVQARHGGPGGPQLGAGGQDPAAM
+>NM_014003|149867_2_554_699
+MGRTRRSPKSPPTRTGKRARMTRRMLRKRAVTRLAKISGKTDIIGLLG
+>DECOY_NM_014003|149867_2_554_699
+GLLGIIDTKGSIKALRTVARKRLMRRTMRARKGTRTPPSKPSRRTRGM
+>NM_014003|149870_2_779_1125
+MVSMPRPKKKRIGRRRNRGIETMTARGTEMSGIEVGTAADQSEMEGQSVAAEEMNPRAHDIDLKMQPPLQGLPGRKRTVAMAPQGAHSGNRPPRRLPIGILSGAIGCPLEIETGL
+>DECOY_NM_014003|149870_2_779_1125
+LGTEIELPCGIAGSLIGIPLRRPPRNGSHAGQPAMAVTRKRGPLGQLPPQMKLDIDHARPNMEEAAVSQGEMESQDAATGVEIGSMETGRATMTEIGRNRRRGIRKKKPRPMSVM
+>NM_014003|149874_2_908_1125
+MEGQSVAAEEMNPRAHDIDLKMQPPLQGLPGRKRTVAMAPQGAHSGNRPPRRLPIGILSGAIGCPLEIETGL
+>DECOY_NM_014003|149874_2_908_1125
+LGTEIELPCGIAGSLIGIPLRRPPRNGSHAGQPAMAVTRKRGPLGQLPPQMKLDIDHARPNMEEAAVSQGEM
+>NM_014003|149877_2_1019_1125
+MAPQGAHSGNRPPRRLPIGILSGAIGCPLEIETGL
+>DECOY_NM_014003|149877_2_1019_1125
+LGTEIELPCGIAGSLIGIPLRRPPRNGSHAGQPAM
+>NM_005174|149987_3_131_255
+MDSSSKYGNFERYHQETKVHQKHPENYQVYENGSGSKICPS
+>DECOY_NM_005174|149987_3_131_255
+SPCIKSGSGNEYVQYNEPHKQHVKTEQHYREFNGYKSSSDM
+>NM_000994|150021_2_272_360
+MEATKKQSTCCPVASGSSWSTTSRSWKCC
+>DECOY_NM_000994|150021_2_272_360
+CCKWSRSTTSWSSGSAVPCCTSQKKTAEM
+>NM_139353|150145_2_1091_1188
+MGCGKSTGTLRPSCSGTPLRGVGQTSLRTLGC
+>DECOY_NM_139353|150145_2_1091_1188
+CGLTRLSTQGVGRLPTGSCSPRLTGTSKGCGM
+>NM_139353|150146_2_1433_1668
+MASPPRSCWPDCCLRPGPAACSPCSSEARVGSCSCCTWQKGRRCPAWQAPPSLFLPGSTPSLHFLCWSLRSSGGCRSA
+>DECOY_NM_139353|150146_2_1433_1668
+ASRCGGSSRLSWCLFHLSPTSGPLFLSPPAQWAPCRRGKQWTCCSCSGVRAESSCPSCAAPGPRLCCDPWCSRPPSAM
+>NM_000160|150211_2_928_1223
+MERWLAAVWPRCSCNMASWPTTAGCWWRACTCTTCWAWPPSPRGASSASTWASAGVPPCCSSSPGQWSSVCSRTSSAGPAMTTWASGGSCGSPSSWPS
+>DECOY_NM_000160|150211_2_928_1223
+SPWSSPSGCSGGSAWTTMAPGASSTRSCVSSWQGPSSSCCPPVGASAWTSASSAGRPSPPWAWCTTCTCARWWCGATTPWSAMNCSCRPWVAALWREM
+>NM_000160|150212_2_973_1223
+MASWPTTAGCWWRACTCTTCWAWPPSPRGASSASTWASAGVPPCCSSSPGQWSSVCSRTSSAGPAMTTWASGGSCGSPSSWPS
+>DECOY_NM_000160|150212_2_973_1223
+SPWSSPSGCSGGSAWTTMAPGASSTRSCVSSWQGPSSSCCPPVGASAWTSASSAGRPSPPWAWCTTCTCARWWCGATTPWSAM
+>NM_000160|150213_3_1547_1686
+MGGAEHQQPQGLIFARPRPSQQGAAVWEGWWQPGFICGDPLGWWPP
+>DECOY_NM_000160|150213_3_1547_1686
+PPWWGLPDGCIFGPQWWGEWVAAGQQSPRPRAFILGQPQQHEAGGM
+>NM_002472|150341_2_2190_2278
+MVCWKASASVGKDSQAESYMVISNKDTRF
+>DECOY_NM_002472|150341_2_2190_2278
+FRTDKNSIVMYSEAQSDKGVSASAKWCVM
+>NM_024057|150371_2_624_697
+MEQSGFMIFWPNRLFYLLNQNKCH
+>DECOY_NM_024057|150371_2_624_697
+HCKNQNLLYFLRNPWFIMFGSQEM
+>NM_031899|150459_2_684_1096
+MGIYTGSQLSPPATTRSHLAPHHLLLYHLVPHHLMLYHLDPPPRTLLPWRQVPGRVTTWRPCCRHLAPPWRIPFLGLGVPATVLQTLMDFPISWRLLFSPHLQCSELWTQASWTCREFLSWTTAMPVCGPACPLPQN
+>DECOY_NM_031899|150459_2_684_1096
+NQPLPCAPGCVPMATTWSLFERCTWSAQTWLESCQLHPSFLLRWSIPFDMLTQLVTAPVGLGLFPIRWPPALHRCCPRWTTVRGPVQRWPLLTRPPPDLHYLMLHHPVLHYLLLHHPALHSRTTAPPSLQSGTYIGM
+>NM_004053|150531_2_553_677
+MGLGTSPRRRGNAPRGWVQECLRMDQMTRTRSGPPWRRLPQ
+>DECOY_NM_004053|150531_2_553_677
+QPLRRWPPGSRTRTMQDMRLCEQVWGRPANGRRRPSTGLGM
+>NM_005679|150562_2_1672_1910
+MASPPRSCWPDCCLRPGPAACSPCSSEARVGSCSCCTWQEKGRRCPAWQAPPSLFLPGSTPSLHFLCWSLRSSGGCRSA
+>DECOY_NM_005679|150562_2_1672_1910
+ASRCGGSSRLSWCLFHLSPTSGPLFLSPPAQWAPCRRGKEQWTCCSCSGVRAESSCPSCAAPGPRLCCDPWCSRPPSAM
+>NM_001282|150663_2_1945_2072
+MEFIVNTCQFIMGALMQVTALLALPLQRTWNSLRLSPLKVIF
+>DECOY_NM_001282|150663_2_1945_2072
+FIVKLPSLRLSNWTRQLPLALLATVQMLAGMIFQCTNVIFEM
+>NM_001282|150664_2_1978_2072
+MGALMQVTALLALPLQRTWNSLRLSPLKVIF
+>DECOY_NM_001282|150664_2_1978_2072
+FIVKLPSLRLSNWTRQLPLALLATVQMLAGM
+>NM_001282|150666_2_2674_2765
+MAKWSARSSLQHGRIFPMKMNFSFRLRNVI
+>DECOY_NM_001282|150666_2_2674_2765
+IVNRLRFSFNMKMPFIRGHQLSSRASWKAM
+>NM_016057|150903_2_101_231
+MEIDFLPSTMTTPTPVSRSKRPLRRTFSTRPIGLTVKLPSWKA
+>DECOY_NM_016057|150903_2_101_231
+AKWSPLKVTLGIPRTSFTRRLPRKSRSVPTPTTMTSPLFDIEM
+>NM_005072|150997_2_187_491
+MATTERAALFFPPWRLPEELTTMTGTWHCLRKSWTSAQRYRLFWESSSATPTSPRAPKSMRRPRVGRAPAGGQPRHPAWAPSWGCTCPACRISLGLSSSCG
+>DECOY_NM_005072|150997_2_187_491
+GCSSSLGLSIRCAPCTCGWSPAWAPHRPQGGAPARGVRPRRMSKPARPSTPTASSSEWFLRYRQASTWSKRLCHWTGTMTTLEEPLRWPPFFLAARETTAM
+>NM_005072|151001_2_1525_1718
+MAMVSAGTWWWAHWPGLHPGSSSSAPSFQRVALASRASQGHHAYCRPLPRTTSSPSSGCLATGR
+>DECOY_NM_005072|151001_2_1525_1718
+RGTALCGSSPSSTTRPLPRCYAHHGQSARSALAVRQFSPASSSSGPHLGPWHAWWWTGASVMAM
+>NM_005072|151002_2_1531_1718
+MVSAGTWWWAHWPGLHPGSSSSAPSFQRVALASRASQGHHAYCRPLPRTTSSPSSGCLATGR
+>DECOY_NM_005072|151002_2_1531_1718
+RGTALCGSSPSSTTRPLPRCYAHHGQSARSALAVRQFSPASSSSGPHLGPWHAWWWTGASVM
+>NM_005072|151003_2_2629_2741
+MVACSCFCPSCCASIRSGGSAGCASSQWPRWMTTASR
+>DECOY_NM_005072|151003_2_2629_2741
+RSATTMWRPWQSSACGASGGSRISACCSPCFCSCAVM
+>NM_016642|151059_2_850_1040
+MGWASMPSSMPTGQTCWTTAPCVQTAHCTTLLLLSWWLSRSWALLSCWTPRTWQPHSQMSALS
+>DECOY_NM_016642|151059_2_850_1040
+SLASMQSHPQWTRPTWCSLLAWSRSLWWSLLLLTTCHATQVCPATTWCTQGTPMSSPMSAWGM
+>NM_003903|151132_2_1441_1514
+MENGKQPKNGFLMLWKKLKQLGTR
+>DECOY_NM_003903|151132_2_1441_1514
+RTGLQKLKKWLMLFGNKPQKGNEM
+>NM_181900|151196_2_184_266
+MEFQFPGGHLWSFQGTCTEEKALYMGH
+>DECOY_NM_181900|151196_2_184_266
+HGMYLAKEETCTGQFSWLHGGPFQFEM
+>NM_004661|151236_2_1238_1347
+MASGRPMKSLRCHFTAFIIIDGPTSFDPMILACWLL
+>DECOY_NM_004661|151236_2_1238_1347
+LLWCALIMPDFSTPGDIIIFATFHCRLSKMPRGSAM
+>NM_004371|151274_2_482_672
+MGSSSYGTIGCALSLTSLMNMMVQCEALTSISSSHCSSLEEMTIRLRFGITSFGAVFSHCLGT
+>DECOY_NM_004371|151274_2_482_672
+TGLCHSFVAGFSTIGFRLRITMEELSSCHSSSISTLAECQVMMNMLSTLSLACGITGYSSSGM
+>NM_004371|151277_2_545_672
+MVQCEALTSISSSHCSSLEEMTIRLRFGITSFGAVFSHCLGT
+>DECOY_NM_004371|151277_2_545_672
+TGLCHSFVAGFSTIGFRLRITMEELSSCHSSSISTLAECQVM
+>NM_004371|151291_2_2960_3039
+MGLWRLQKVWGMMLLARDRKKEVAGM
+>DECOY_NM_004371|151291_2_2960_3039
+MGAVEKKRDRALLMMGWVKQLRWLGM
+>NM_004371|151292_2_3104_3234
+MVSLCPQPREQVQLRSGVITLSFQLITSWQALSKQPCGSFMTK
+>DECOY_NM_004371|151292_2_3104_3234
+KTMFSGCPQKSLAQWSTILQFSLTIVGSRLQVQERPQPCLSVM
+>NM_001855|151329_2_387_496
+MVASRPTVSGLVPMLAAQPGLSSHPPSSGTSPSASW
+>DECOY_NM_001855|151329_2_387_496
+WSASPSTGSSPPHSSLGPQAALMPVLGSVTPRSAVM
+>NM_001855|151338_2_2157_2236
+MDLLVNLGPRALRDSLELMEPPAFPG
+>DECOY_NM_001855|151338_2_2157_2236
+GPFAPPEMLELSDRLARPGLNVLLDM
+>NM_001855|151344_2_3294_3661
+METRGSKVKKEKKETLMAASLCLGLQACPEIQARLAKKGRQSLGPKDPQVLLVCLGHLALEDLVILGHRGPRGHQDLQLSWEQLWPFQVPLALQDSQGFPDPETWSQHSATWMTCCRKRIWL
+>DECOY_NM_001855|151344_2_3294_3661
+LWIRKRCCTMWTASHQSWTEPDPFGQSDQLALPVQFPWLQEWSLQLDQHGRPGRHGLIVLDELALHGLCVLLVQPDKPGLSQRGKKALRAQIEPCAQLGLCLSAAMLTEKKEKKVKSGRTEM
+>NM_001855|151346_2_4179_4273
+MAPAPMASALWITTVKHGEPRTQRSRDLPPR
+>DECOY_NM_001855|151346_2_4179_4273
+RPPLDRSRQTRPEGHKVTTIWLASAMPAPAM
+>NM_001855|151348_3_4228_4316
+MANRGHSGHGTCLPAEHGEDSGPESIQLC
+>DECOY_NM_001855|151348_3_4228_4316
+CLQISEPGSDEGHEAPLCTGHGSHGRNAM
+>NM_004855|151428_3_636_718
+MDRETEELHLSLNLCKHLQDSSSFRER
+>DECOY_NM_004855|151428_3_636_718
+RERFSSSDQLHKCLNLSLHLEETERDM
+>NM_004855|151429_3_831_1078
+MGVFLPVVLLVHMVLLYQNPYKHHGNCSHYNCSFLLSFGRFKVYEQCQILIPGGTCLHNSSHSCHSVDTFALQTFLSRTKKA
+>DECOY_NM_004855|151429_3_831_1078
+AKKTRSLFTQLAFTDVSHCSHSSNHLCTGGPILIQCQEYVKFRGFSLLFSCNYHSCNGHHKYPNQYLLVMHVLLVVPLFVGM
+>NM_004855|151432_3_1464_1552
+MEETSSKFPVFIKFVPRPLYWFSSSTRYS
+>DECOY_NM_004855|151432_3_1464_1552
+SYRTSSSFWYLPRPVFKIFVPFKSSTEEM
+>NM_016128|151459_2_830_1047
+MAAVTAHCLTSSRAACATSTRWWCMKPPRPSSICQAAVPKSWPRLCQCSSFSAAHPRLLSAMLLFVPSIRLP
+>DECOY_NM_016128|151459_2_830_1047
+PLRISPVFLLMASLLRPHAASFSSCQCLRPWSKPVAAQCISSPRPPKMCWWRTSTACAARSSTLCHATVAAM
+>NM_176787|151504_2_1154_1242
+MELKKSCLCLTTSMEMMGKQHLSLPLTME
+>DECOY_NM_176787|151504_2_1154_1242
+EMTLPLSLHQKGMMEMSTTLCLCSKKLEM
+>NM_176787|151508_3_2001_2113
+MVCGSKRISSYSGPCCISVDLSSEPFCWVPVSLYPGN
+>DECOY_NM_176787|151508_3_2001_2113
+NGPYLSVPVWCFPESSLDVSICCPGSYSSIRKSGCVM
+>NM_015885|151612_2_3106_3206
+MVHQGLRLGLMDLMVSQEVESDLRALCYSKGLE
+>DECOY_NM_015885|151612_2_3106_3206
+ELGKSYCLARLDSEVEQSVMLDMLGLRLGQHVM
+>NM_178127|151677_2_720_889
+MDLMQKMKVKVMILFVRKTVRNHVMLKLKLHEKKNISCVEICKILLFPTQEVPKNY
+>DECOY_NM_178127|151677_2_720_889
+YNKPVEQTPFLLIKCIEVCSINKKEHLKLKLMVHNRVTKRVFLIMVKVKMKQMLDM
+>NM_005684|151695_2_594_748
+MVTFLNGVPRLGSPVPILLALLFVYFMLLLPLLSASLTSTFSKFAVSTPKR
+>DECOY_NM_005684|151695_2_594_748
+RKPTSVAFKSFTSTLSASLLPLLLMFYVFLLALLIPVPSGLRPVGNLFTVM
+>NM_031479|151729_2_486_643
+MGRRSSALLLSQTPLQPTAPCSLFTCPLLGPTTCTMPACGCTCSPPFLALFA
+>DECOY_NM_031479|151729_2_486_643
+AFLALFPPSCTCGCAPMTCTTPGLLPCTFLSCPATPQLPTQSLLLASSRRGM
+>NM_031479|151735_3_1003_1214
+MAGLDTAARGVPAELLQWAVPSPPGWQPRHCCLFPFCRLQPPQSQQSLACQYLLLCPYCPKAPLSPLPGS
+>DECOY_NM_031479|151735_3_1003_1214
+SGPLPSLPAKPCYPCLLLYQCALSQQSQPPQLRCFPFLCCHRPQWGPPSPVAWQLLEAPVGRAATDLGAM
+>NM_030650|151759_3_315_394
+MGWKINSVFLSSLSVYMLNCIFVVSS
+>DECOY_NM_030650|151759_3_315_394
+SSVVFICNLMYVSLSSLFVSNIKWGM
+>NM_032833|151779_2_1363_1499
+MATTAWRRNTAFSGWIQNTAEITQHSLFLLLETFLETPRNPLKKK
+>DECOY_NM_032833|151779_2_1363_1499
+KKKLPNRPTELFTELLLFLSHQTIEATNQIWGSFATNRRWATTAM
+>NM_032833|151782_2_1768_1856
+MVLIVIAHCQTQTLNKTLKGFTFGTLSAV
+>DECOY_NM_032833|151782_2_1768_1856
+VASLTGFTFGKLTKNLTQTQCHAIVILVM
+>NM_032833|151790_3_2387_2472
+MGRICKGWMQVPETNSRNRRCYWILLDI
+>DECOY_NM_032833|151790_3_2387_2472
+IDLLIWYCRRNRSNTEPVQMWGKCIRGM
+>NM_001127361|151835_2_1387_1463
+MVQSQWQMPGEPSRIPALGKAALKA
+>DECOY_NM_001127361|151835_2_1387_1463
+AKLAAKGLAPIRSPEGPMQWQSQVM
+>NM_001127361|151839_3_731_843
+MASKSDMRYGPSTEGPDFTSSDQTHFRSQLWARIWTR
+>DECOY_NM_001127361|151839_3_731_843
+RTWIRAWLQSRFHTQDSSTFDPGETSPGYRMDSKSAM
+>NM_033297|151859_3_1320_1465
+MVAHVCKPSYSGGQGRRIASTQEAEVVAEEVPHLQLSLRGPLCSSHSQ
+>DECOY_NM_033297|151859_3_1320_1465
+QSHSSCLPGRLSLQLHPVEEAVVEAEQTSAIRRGQGGSYSPKCVHAVM
+>NM_198137|151912_3_32_366
+MDLPYRPRGVGRDSGGPYGVWRGSSCTEGPPLSPAEYHSRVLRSARGASAHQPPGNHEQSGRLGHAGVHHSHVHQAAAPTPRLPTAAGPAAGDQCHHHRSPYQLLPGPETL
+>DECOY_NM_198137|151912_3_32_366
+LTEPGPLLQYPSRHHHCQDGAAPGAATPLRPTPAAAQHVHSHHVGAHGLRGSQEHNGPPQHASAGRASRLVRSHYEAPSLPPGETCSSGRWVGYPGGSDRGVGRPRYPLDM
+>NM_001127320|152010_2_332_927
+MEMSTELSMFFWKETQTRIPGRWSGRRRESQARRMVARRNPMRKAKKIETGTETIVGDVVGHQDGGEVPAVDESFEVRKMDWMAPRVEGLLEEEQKEAEGAVAEAEVALVGEEEGFLLKEWEPLTQLIMQSQPILMITMAIAAAIRGTTLATLNQMMGRVHGGLQQRSGGLKIGMKIFLRPRSSLPLMCLQCLCLRRM
+>DECOY_NM_001127320|152010_2_332_927
+MRRLCLCQLCMLPLSSRPRLFIKMGIKLGGSRQQLGGHVRGMMQNLTALTTGRIAAAIAMTIMLIPQSQMILQTLPEWEKLLFGEEEGVLAVEAEAVAGEAEKQEEELLGEVRPAMWDMKRVEFSEDVAPVEGGDQHGVVDGVITETGTEIKKAKRMPNRRAVMRRAQSERRRGSWRGPIRTQTEKWFFMSLETSMEM
+>NM_001127320|152013_2_434_927
+MVARRNPMRKAKKIETGTETIVGDVVGHQDGGEVPAVDESFEVRKMDWMAPRVEGLLEEEQKEAEGAVAEAEVALVGEEEGFLLKEWEPLTQLIMQSQPILMITMAIAAAIRGTTLATLNQMMGRVHGGLQQRSGGLKIGMKIFLRPRSSLPLMCLQCLCLRRM
+>DECOY_NM_001127320|152013_2_434_927
+MRRLCLCQLCMLPLSSRPRLFIKMGIKLGGSRQQLGGHVRGMMQNLTALTTGRIAAAIAMTIMLIPQSQMILQTLPEWEKLLFGEEEGVLAVEAEAVAGEAEKQEEELLGEVRPAMWDMKRVEFSEDVAPVEGGDQHGVVDGVITETGTEIKKAKRMPNRRAVM
+>NM_001127320|152015_2_569_927
+MDWMAPRVEGLLEEEQKEAEGAVAEAEVALVGEEEGFLLKEWEPLTQLIMQSQPILMITMAIAAAIRGTTLATLNQMMGRVHGGLQQRSGGLKIGMKIFLRPRSSLPLMCLQCLCLRRM
+>DECOY_NM_001127320|152015_2_569_927
+MRRLCLCQLCMLPLSSRPRLFIKMGIKLGGSRQQLGGHVRGMMQNLTALTTGRIAAAIAMTIMLIPQSQMILQTLPEWEKLLFGEEEGVLAVEAEAVAGEAEKQEEELLGEVRPAMWDM
+>NM_001127320|152019_2_746_927
+MAIAAAIRGTTLATLNQMMGRVHGGLQQRSGGLKIGMKIFLRPRSSLPLMCLQCLCLRRM
+>DECOY_NM_001127320|152019_2_746_927
+MRRLCLCQLCMLPLSSRPRLFIKMGIKLGGSRQQLGGHVRGMMQNLTALTTGRIAAAIAM
+>NM_001127320|152021_2_800_927
+MGRVHGGLQQRSGGLKIGMKIFLRPRSSLPLMCLQCLCLRRM
+>DECOY_NM_001127320|152021_2_800_927
+MRRLCLCQLCMLPLSSRPRLFIKMGIKLGGSRQQLGGHVRGM
+>NM_001127320|152027_2_1961_2034
+MASVLCRPRSYRPHNLLKVLQALQ
+>DECOY_NM_001127320|152027_2_1961_2034
+QLAQLVKLLNHPRYSRPRCLVSAM
+>NM_001127320|152032_2_2654_2799
+MVAWPATLILVTSQSSAVGMPPPQPRPQPWPNPNRTRRRLTIPRSRHS
+>DECOY_NM_001127320|152032_2_2654_2799
+SHRSRPITLRRRTRNPNPWPQPRPQPPPMGVASSQSTVLILTAPWAVM
+>NM_014847|152083_2_3045_3205
+MGLMDTTLVFQSPPVTRACQISRVLCTPKPSSPLRNKVFIPVLLLLPSTCLQP
+>DECOY_NM_014847|152083_2_3045_3205
+PQLCTSPLLLLVPIFVKNRLPSSPKPTCLVRSIQCARTVPPSQFVLTTDMLGM
+>NM_001010909|152096_2_1821_1915
+MALVQALEGIMEPPTGPGGVLTGSGGDQYPR
+>DECOY_NM_001010909|152096_2_1821_1915
+RPYQDGGSGTLVGGPGTPPEMIGELAQVLAM
+>NM_024795|152194_3_55_143
+MDILQWIQPAGSTAVRSSSQCDTSNCQLS
+>DECOY_NM_024795|152194_3_55_143
+SLQCNSTDCQSSSRVATSGAPQIWQLIDM
+>NM_144629|152213_2_1081_1205
+MAALLPLNQEREKPQITNCIQSSMLLMMIQPPGPIRKASCQ
+>DECOY_NM_144629|152213_2_1081_1205
+QCSAKRIPGPPQIMMLLMSSQICNTIQPKEREQNLPLLAAM
+>NM_015315|152262_2_490_563
+MEMRIASEAGRRRKETNTSGFHYK
+>DECOY_NM_015315|152262_2_490_563
+KYHFGSTNTEKRRRGAESAIRMEM
+>NM_015315|152263_2_775_932
+MGLVGRGLPSVAVDGGVVAAGDAAGVALEPILTTSLATESLMVWRGLVRPST
+>DECOY_NM_015315|152263_2_775_932
+TSPRVLGRWVMLSETALSTTLIPELAVGAADGAAVVGGDVAVSPLGRGVLGM
+>NM_015315|152274_2_1834_1934
+MASSTMSRTCGLKSLNLSIPRSSKKSRTSKRSI
+>DECOY_NM_015315|152274_2_1834_1934
+ISRKSTRSKKSSRPISLNLSKLGCTRSMTSSAM
+>NM_015315|152278_2_2377_2528
+MAVPLSHCPSSSILPMNCSRKMASHNTSTISIVGAALMSGNAWALASLRR
+>DECOY_NM_015315|152278_2_2377_2528
+RRLSALAWANGSMLAAGVISITSTNHSAMKRSCNMPLISSSPCHSLPVAM
+>NM_015315|152280_2_2440_2528
+MASHNTSTISIVGAALMSGNAWALASLRR
+>DECOY_NM_015315|152280_2_2440_2528
+RRLSALAWANGSMLAAGVISITSTNHSAM
+>NM_015315|152282_2_2638_2729
+MVWSAFFDTTVMAWKRSSGWTYSRIFRRKR
+>DECOY_NM_015315|152282_2_2638_2729
+RKRRFIRSYTWGSSRKWAMVTTDFFASWVM
+>NM_021217|152347_2_834_907
+MGRKPMHVKYVGRPLCITPTLHGT
+>DECOY_NM_021217|152347_2_834_907
+TGHLTPTICLPRGVYKVHMPKRGM
+>NM_021217|152350_2_1155_1243
+MGERTVERNPMNVRNAAKPSGTPPLCEHT
+>DECOY_NM_021217|152350_2_1155_1243
+THECLPPTGSPKAANRVNMPNREVTREGM
+>NM_030630|152387_2_2052_2242
+MAPCGPWNLSPSRAWRMAARLRGSPARHGGSSGDRPPHQPVGSGAQRQSGSSPGSRSCRCRPS
+>DECOY_NM_030630|152387_2_2052_2242
+SPRCRCSRSGPSSGSQRQAGSGVPQHPPRDGSSGGHRAPSGRLRAAMRWARSPSLNWPGCPAM
+>NM_030630|152388_2_2100_2242
+MAARLRGSPARHGGSSGDRPPHQPVGSGAQRQSGSSPGSRSCRCRPS
+>DECOY_NM_030630|152388_2_2100_2242
+SPRCRCSRSGPSSGSQRQAGSGVPQHPPRDGSSGGHRAPSGRLRAAM
+>NM_030630|152390_2_2334_2449
+MAPWWGCCPCPTPSSSASTRPTRALPCGSAPTCGASSI
+>DECOY_NM_030630|152390_2_2334_2449
+ISSAGCTPASGCPLARTPRTSASSSPTPCPCCGWWPAM
+>NM_030630|152395_3_2134_2288
+MEGAAATVHLISQWAVEPNARVGPLLEVEAAAADHHEAAAGAGSAGGEDLH
+>DECOY_NM_030630|152395_3_2134_2288
+HLDEGGASGAGAAAEHHDAAAAEVELLPGVRANPEVAWQSILHVTAAAGEM
+>NM_153028|152402_3_897_1009
+MAPRFSSEEKKETFNLETRAAQTYGSSQERLCKREAF
+>DECOY_NM_153028|152402_3_897_1009
+FAERKCLREQSSGYTQAARTELNFTEKKEESSFRPAM
+>NM_145008|152431_2_601_689
+MAEPTCLTPWSTWVAGQLNSASCSRGSTR
+>DECOY_NM_145008|152431_2_601_689
+RTSGRSCSASNLQGAVWTSWPTLCTPEAM
+>NM_022488|152578_3_265_356
+MAMGYRGRIESEGIPTNRQTIFGNQKCAVL
+>DECOY_NM_022488|152578_3_265_356
+LVACKQNGFITQRNTPIGESEIRGRYGMAM
+>NM_022488|152579_3_271_356
+MGYRGRIESEGIPTNRQTIFGNQKCAVL
+>DECOY_NM_022488|152579_3_271_356
+LVACKQNGFITQRNTPIGESEIRGRYGM
+>NM_002556|152712_2_2083_2255
+MAGHCVRKSKSPASFEANTSPLCPSVPFIVFSMQLGTTTLGRKLPQLYTTLLWASCG
+>DECOY_NM_002556|152712_2_2083_2255
+GCSAWLLTTYLQPLKRGLTTTGLQMSFVIFPVSPCLPSTNAEFSAPSKSKRVCHGAM
+>NM_002556|152715_2_2464_2582
+MGVMLDREAMKQRKAGSCCGKGILYRRMQKTCTTSQSLL
+>DECOY_NM_002556|152715_2_2464_2582
+LLSQSTTCTKQMRRYLIGKGCCSGAKRQKMAERDLMVGM
+>NM_002556|152718_2_2656_2744
+MDAGMKQMRRSSAWRKNKDFPERREKRKL
+>DECOY_NM_002556|152718_2_2656_2744
+LKRKERREPFDKNKRWASSRRMQKMGADM
+>NM_002556|152723_3_803_969
+MVRAEQRAPELLQIKGRNETYLPWYHQPRHSQHHRGGLLQLHHFQWGCSDLPSES
+>DECOY_NM_002556|152723_3_803_969
+SESPLDSCGWQFHHLQLLGGRHHQSHRPQHYWPLYTENRGKIQLLEPARQEARVM
+>NM_024622|152754_2_1844_1947
+MVVRDYNTATVWICYGRNLNLSKETRFLSHFLKK
+>DECOY_NM_024622|152754_2_1844_1947
+KKLFHSLFRTEKSLNLNRGYCIWVTATNYDRVVM
+>NM_020698|152778_2_869_984
+MAVMMNVRVARQARPTVTETSRLGLVEPAHWTARASSP
+>DECOY_NM_020698|152778_2_869_984
+PSSARATWHAPEVLGLRSTETVTPRAQRAVRVNMMVAM
+>NM_020698|152781_2_1073_1146
+MVLFLRPCKRKDTGMSDWRTSCMT
+>DECOY_NM_020698|152781_2_1073_1146
+TMCSTRWDSMGTDKRKCPRLFLVM
+>NM_182901|152809_2_595_713
+MVREKTEKRHPLVLEAAIKYQSMLQRHPSLLRTSLRTST
+>DECOY_NM_182901|152809_2_595_713
+TSTRLSTRLLSPHRQLMSQYKIAAELVLPHRKETKERVM
+>NM_199136|152826_2_171_256
+MEGNFLLLKNMKNTKEKFYLCAYKTDYL
+>DECOY_NM_199136|152826_2_171_256
+LYDTKYACLYFKEKTNKMNKLLLFNGEM
+>NM_058244|152853_2_348_481
+MGQTMEKQEAMAGSGEAAATMWNLGKGSPNSLWTVWRRGRMPEP
+>DECOY_NM_058244|152853_2_348_481
+PEPMRGRRWVTWLSNPSGKGLNWMTAAAEGSGAMAEQKEMTQGM
+>NM_058244|152854_2_360_481
+MEKQEAMAGSGEAAATMWNLGKGSPNSLWTVWRRGRMPEP
+>DECOY_NM_058244|152854_2_360_481
+PEPMRGRRWVTWLSNPSGKGLNWMTAAAEGSGAMAEQKEM
+>NM_058244|152855_2_378_481
+MAGSGEAAATMWNLGKGSPNSLWTVWRRGRMPEP
+>DECOY_NM_058244|152855_2_378_481
+PEPMRGRRWVTWLSNPSGKGLNWMTAAAEGSGAM
+>NM_058244|152857_2_552_628
+MASLGAAAYRHAGCSWLNSGRWETT
+>DECOY_NM_058244|152857_2_552_628
+TTEWRGSNLWSCGAHRYAAAGLSAM
+>NM_020307|152867_2_813_1036
+MGSTCPVRRTYASWAASSSRPPAFSSGCRRWRWQRGRCCFIVFSTPNLSSNTVSRLLLWLVLILHQKSKKHLEE
+>DECOY_NM_020307|152867_2_813_1036
+EELHKKSKQHLILVLWLLLRSVTNSSLNPTSFVIFCCRGRQWRWRRCGSSFAPPRSSSAAWSAYTRRVPCTSGM
+>NM_003193|152878_2_271_347
+MGATKGLCILNAGTRQEDPLFVRTR
+>DECOY_NM_003193|152878_2_271_347
+RTRVFLPDEQRTGANLICLGKTAGM
+>NM_003193|152879_2_400_482
+MDQRKIEKSKLLQLEINLWRLSVLTLL
+>DECOY_NM_003193|152879_2_400_482
+LLTLVSLRWLNIELQLLKSKEIKRQDM
+>NM_007005|152936_2_1564_1664
+MVRKVMTTWWLTFPMRIHLPLEGAQHIPPERMA
+>DECOY_NM_007005|152936_2_1564_1664
+AMREPPIHQAGELPLHIRMPFTLWWTTMVKRVM
+>NM_007005|152945_2_2716_2978
+MAPSSGQVVWTTRSGPGTCARGGSCSSTTSPPRSFLWATAQLESGLQWGWRTAMWKFCMSPSQTNTNYIFMRAVCCRSSLPIVANGL
+>DECOY_NM_007005|152945_2_2716_2978
+LGNAVIPLSSRCCVARMFIYNTNTQSPSMCFKWMATRWGWQLGSELQATAWLFSRPPSTTSSCSGGRACTGPGSRTTWVVQGSSPAM
+>NM_002892|152981_2_1095_1384
+MAQLKKMMKRRKRRPKRQKKRCLRKNLILKRGTTSSSSFISLWKTEVLQSTNHLFWAIKISISSNSSDWFIIRVDVTILIVVLYGSKFIWTLAFLF
+>DECOY_NM_002892|152981_2_1095_1384
+FLFALTWIFKSGYLVVILITVDVRIIFWDSSNSSISIKIAWFLHNTSQLVETKWLSIFSSSSTTGRKLILNKRLCRKKQRKPRRKRRKMMKKLQAM
+>NM_002892|152989_2_2001_2122
+MDEGRLRKFMKPVLKALKLMTEKFYIWYITMDGMSGMMSG
+>DECOY_NM_002892|152989_2_2001_2122
+GSMMGSMGDMTIYWIYFKETMLKLAKLVPKMFKRLRGEDM
+>NM_002892|152993_2_3702_3784
+MERKINTEKNIRIHPLGHINGAFSSMN
+>DECOY_NM_002892|152993_2_3702_3784
+NMSSFAGNIHGLPHIRINKETNIKREM
+>NM_019843|153091_2_224_300
+MVSGTLRSGMPLSTQLQGGAHQWKV
+>DECOY_NM_019843|153091_2_224_300
+VKWQHAGGQLQTSLPMGSRLTGSVM
+>NM_019843|153093_2_854_1017
+MEEWPKRMKWRSSLHRSLRLIRKCQGMLSCLSSPQETLTLMSSLTLIRCHAWLR
+>DECOY_NM_019843|153093_2_854_1017
+RLWAHCRILTLSSMLTLTEQPSSLCSLMGQCKRILRLSRHLSSRWKMRKPWEEM
+>NM_152624|153127_2_1159_1241
+MAENSIKIHLIKRKEQMGFSQQSSRIL
+>DECOY_NM_152624|153127_2_1159_1241
+LIRSSQQSFGMQEKRKILHIKISNEAM
+>NM_174941|153296_2_249_337
+MGGTLLPQLSCANSLDVHFLSPCFVLDKP
+>DECOY_NM_174941|153296_2_249_337
+PKDLVFCPSLFHVDLSNACSLQPLLTGGM
+>NM_174941|153297_2_345_460
+MEKFGLMMFPVMEMSQLSGNVNTGNGEAITVIMEKMLV
+>DECOY_NM_174941|153297_2_345_460
+VLMKEMIVTIAEGNGTNVNGSLQSMEMVPFMMLGFKEM
+>NM_174941|153300_2_378_460
+MEMSQLSGNVNTGNGEAITVIMEKMLV
+>DECOY_NM_174941|153300_2_378_460
+VLMKEMIVTIAEGNGTNVNGSLQSMEM
+>NM_174941|153313_2_1950_2065
+MEKFGSMMFPVMEMSQISGHAGTVGGEIMTAVTVKMLE
+>DECOY_NM_174941|153313_2_1950_2065
+ELMKVTVATMIEGGVTGAHGSIQSMEMVPFMMSGFKEM
+>NM_174941|153316_2_1983_2065
+MEMSQISGHAGTVGGEIMTAVTVKMLE
+>DECOY_NM_174941|153316_2_1983_2065
+ELMKVTVATMIEGGVTGAHGSIQSMEM
+>NM_174941|153322_2_3591_3763
+MELSASPLYLRQALVSCGWMTFSVLKRISPYGSACLPHGSEESPAQQKRPGSHVKIE
+>DECOY_NM_174941|153322_2_3591_3763
+EIKVHSGPRKQQAPSEESGHPLCASGYPSIRKLVSFTMWGCSVLAQRLYLPSASLEM
+>NM_174941|153333_3_1765_1850
+MGPEAGGRQQPLLGKTGGVLSRTVGHSV
+>DECOY_NM_174941|153333_3_1765_1850
+VSHGVTRSLVGGTKGLLPQQRGGAEPGM
+>NM_174941|153335_3_2338_2426
+MGVETDCVSFKYGSKFDLLSPQAAQAGWS
+>DECOY_NM_174941|153335_3_2338_2426
+SWGAQAAQPSLLDFKSGYKFSVCDTEVGM
+>NM_001003693|153373_3_143_528
+MVLQPCSRLLHHPGSPSPSGQASPRPWKTRKGIQAQTAGELFFVVGGIQRGRCRAVLVRCARSAPQLPELEGVRRLGAQRIPVICKGCRWIPLQCPPVLCGPQQTHGLCDLAGREGSREGPCSVLLGQ
+>DECOY_NM_001003693|153373_3_143_528
+QGLLVSCPGERSGERGALDCLGHTQQPGCLVPPCQLPIWRCGKCIVPIRQAGLRRVGELEPLQPASRACRVLVARCRGRQIGGVVFFLEGATQAQIGKRTKWPRPSAQGSPSPSGPHHLLRSCPQLVM
+>NM_030627|153394_2_2715_2833
+MDSWMMAVGISLFIVAWVHLTASVTRMGKEWNDILERCL
+>DECOY_NM_030627|153394_2_2715_2833
+LCRELIDNWEKGMRTVSATLHVWAVIFLSIGVAMMWSDM
+>NM_030627|153396_2_2730_2833
+MAVGISLFIVAWVHLTASVTRMGKEWNDILERCL
+>DECOY_NM_030627|153396_2_2730_2833
+LCRELIDNWEKGMRTVSATLHVWAVIFLSIGVAM
+>NM_030627|153400_2_3021_3112
+MENSTFVYQVPLSRISQSRFGLGISVTVTL
+>DECOY_NM_030627|153400_2_3021_3112
+LTVTVSIGLGFRSQSIRSLPVQYVFTSNEM
+>NM_001029858|153427_3_584_846
+MVEVHDFGTHRPGSKLSGGQGLPIHNSDQYPAPGLFCDPSRDFALLVLPADPVQGCAFHRHRCLHPGNGLHGGSRCACGKTSGSRGK
+>DECOY_NM_001029858|153427_3_584_846
+KGRSGSTKGCACRSGGHLGNGPHLCRHRHFACGQVPDAPLVLLAFDRSPDCFLGPAPYQDSNHIPLGQGGSLKSGPRHTGFDHVEVM
+>NM_004816|153496_2_817_905
+MDASPTLMILCRLCLPLPILPRFTRAHPG
+>DECOY_NM_004816|153496_2_817_905
+GPHARTFRPLIPLPLCLRCLIMLTPSADM
+>NM_004816|153498_2_1123_1226
+MGTFLTYLPKKMHPPQLPVQPWCVLSEAGEPSHP
+>DECOY_NM_004816|153498_2_1123_1226
+PHSPEGAESLVCWPQVPLQPPHMKKPLYTLFTGM
+>NM_025155|153576_2_623_732
+MGQHDFGIVGAQPAWESLQIVVLLSMEWRWVLLTTP
+>DECOY_NM_025155|153576_2_623_732
+PTTLLVWRWEMSLLVVIQLSEWAPQAGVIGFDHQGM
+>NM_001001915|153608_3_452_591
+MAQWNSHHPGTVHPHPAAALLWASPSGSFHLRGPCAHQAGLCGHHV
+>DECOY_NM_001001915|153608_3_452_591
+VHHGCLGAQHACPGRLHFSGSPSAWLLAAAPHPHVTGPHHSNWQAM
+>NM_003792|153611_3_156_238
+MGCWPEQTTFYYQEHGQAGPGDRGAAP
+>DECOY_NM_003792|153611_3_156_238
+PAAGRDGPGAQGHEQYYFTTQEPWCGM
+>NM_152611|153702_2_819_928
+MARPWSRSKSWISAARSLNGLSQGGSETCRSSHPST
+>DECOY_NM_152611|153702_2_819_928
+TSPHSSRCTESGGQSLGNLSRAASIWSKSRSWPRAM
+>NM_032048|153751_2_1363_1436
+MVTLVNRSRHWTRKSRELLKPPEC
+>DECOY_NM_032048|153751_2_1363_1436
+CEPPKLLERSKRTWHRSRNVLTVM
+>NM_020456|153804_2_575_678
+MEPFTTTMKRKIGCQQTVFRRKEMWWVLLMTMSN
+>DECOY_NM_020456|153804_2_575_678
+NSMTMLLVWWMEKRRFVTQQCGIKRKMTTTFPEM
+>NM_004826|153867_2_1001_1230
+MGSPCQRGPCTSLRMRTVRRSWQHTGCSWSECSASWVQTLWNRRPKRSCKWSSSWPTSLCQSMTTYGEMSAPCTTR
+>DECOY_NM_004826|153867_2_1001_1230
+RTTCPASMEGYTTMSQCLSTPWSSSWKCSRKPRRNWLTQVWSASCESWSCGTHQWSRRVTRMRLSTCPGRQCPSGM
+>NM_004826|153875_3_879_994
+MGPQPAAVQGAGRVQRRRALLAHGQPGRQELLALRHPH
+>DECOY_NM_004826|153875_3_879_994
+HPHRLALLEQRGPQGHALLARRRQVRGAGQVAAPQPGM
+>NM_152841|153892_2_1498_1610
+MEPKSSSKTILAIAAKPPFPEQTLSPEGPAGPCYCLA
+>DECOY_NM_152841|153892_2_1498_1610
+ALCYCPGAPGEPSLTQEPFPPKAAIALITKSSSKPEM
+>NM_006773|153924_2_997_1139
+MGSTSLWPHQAVCWTICRIPQDLCIKTCSVWLLMKLIVSWMWGLKRN
+>DECOY_NM_006773|153924_2_997_1139
+NRKLGWMWSVILKMLLWVSCTKICLDQPIRCITWCVAQHPWLSTSGM
+>NM_152546|153944_2_532_635
+MEVIYSVKQLSSVSKKSKKPKYWRRNQYIIQRKK
+>DECOY_NM_152546|153944_2_532_635
+KKRQIIYQNRRWYKPKKSKKSVSSLQKVSYIVEM
+>NM_152546|153945_2_814_1001
+MAEKNFVKRRRNILMIAQKKGFTSSLPCLKIVIAVTTSSLGKSDGHERRKVVVILQLRNKNH
+>DECOY_NM_152546|153945_2_814_1001
+HNKNRLQLIVVVKRREHGDSKGLSSTTVAIVIKLCPLSSTFGKKQAIMLINRRRKVFNKEAM
+>NM_014813|153999_2_2110_2192
+MVVLTFLRLEKDACTSCPRMTSSLLPM
+>DECOY_NM_014813|153999_2_2110_2192
+MPLLSSTMRPCSTCADKELRLFTLVVM
+>NM_017847|154038_3_334_431
+MGHRTCLPGIQNATRGTFSSWSIYYYYFRTGK
+>DECOY_NM_017847|154038_3_334_431
+KGTRFYYYYISWSSFTGRTANQIGPLCTRHGM
+>NM_199051|154127_2_623_699
+MGHISCYLLLWEERSHSQFLWTSGS
+>DECOY_NM_199051|154127_2_623_699
+SGSTWLFQSHSREEWLLLYCSIHGM
+>NM_025132|154179_2_284_360
+MVKKEVKLTYLVTVLPWIGIKMEMS
+>DECOY_NM_025132|154179_2_284_360
+SMEMKIGIWPLVTVLYTLKVEKKVM
+>NM_025132|154185_2_1763_2007
+MDLFTVQSMTLPMRFQIFHQPLKVFFGKTGQWIKVYLLLMMMIRCTLMSFTRTLYKEPRLFWLVAPKFLLLINLCCYIMES
+>DECOY_NM_025132|154185_2_1763_2007
+SEMIYCCLNILLLFKPAVLWFLRPEKYLTRTFSMLTCRIMMMLLLYVKIWQGTKGFFVKLPQHFIQFRMPLTMSQVTFLDM
+>NM_025132|154196_2_3053_3285
+MGLPSSFLSCPNATMKLSHWLSNTTKWKSMQILLVLKTLLMKTIKALPYTLKEKRDIFRLENSSCCVANIHEHLNTS
+>DECOY_NM_025132|154196_2_3053_3285
+STNLHEHINAVCCSSNELRFIDRKEKLTYPLAKITKMLLTKLVLLIQMSKWKTTNSLWHSLKMTANPCSLFSSPLGM
+>NM_173477|154342_2_1180_1319
+MGVWMGWERRGVGCRAPPAWTMTAWAVPTACRTAAVGRSCPGMSSI
+>DECOY_NM_173477|154342_2_1180_1319
+ISSMGPCSRGVAATRCATPVAWATMTWAPPARCGVGRREWGMWVGM
+>NM_018298|154410_2_1633_1709
+MASQRLNFVHLYQNAKIYPTLENTD
+>DECOY_NM_018298|154410_2_1633_1709
+DTNELTPYIKANQYLHVFNLRQSAM
+>NM_004520|154453_2_447_574
+MEIQKAKRLTWRASFHLTLTLFLMKKLNPVQKHLHLQHPQPK
+>DECOY_NM_004520|154453_2_447_574
+KPQPHQLHLHKQVPNLKKMLFLTLTLHFSARWTLRKAKQIEM
+>NM_004520|154455_2_708_790
+MVVFQIYLQFKLQKRNLDPLHVENLIV
+>DECOY_NM_004520|154455_2_708_790
+VILNEVHLPDLNRKQLKFQLYIQFVVM
+>NM_015125|154532_2_1223_1341
+MELQDPLQPLVREVPWRPLGGPRCCPPELLVLSVRPVRT
+>DECOY_NM_015125|154532_2_1223_1341
+TRVPRVSLVLLEPPCCRPGGLPRWPVERVLPQLPDQLEM
+>NM_015125|154534_2_1622_2220
+MVPPHPPLRPRLLPPQPRQPPPSHWAQEPSRPRSLVRAAQRAPYGPHPLGLGVQRHLPRQPGSSQWILPPSGARDPKVWVAWSHQAPQSSRPLPAEEETSCRHWCCPQTRRSKRAAEPECPPPPPHHWPMGPQQLPCPVLPPPWSPMWCGLSAALLCPSPLSPSPPLAGLRRLQMTQQVPGLKWALGLGCLGAPRWVSA
+>DECOY_NM_015125|154534_2_1622_2220
+ASVWRPAGLCGLGLAWKLGPVQQTMQLRRLGALPPSPSLPSPCLLAASLGCWMPSWPPPLVPCPLQQPGMPWHHPPPPPCEPEAARKSRRTQPCCWHRCSTEEEAPLPRSSQPAQHSWAVWVKPDRAGSPPLIWQSSGPQRPLHRQVGLGLPHPGYPARQAARVLSRPRSPEQAWHSPPPQRPQPPLLRPRLPPHPPVM
+>NM_015125|154538_2_2348_2808
+MGPLRPLLSSSLPRGPLVVGPLRAQEQVLGVAPMGQYPWASCNQVPWARLGESPRYSTSCPRCPSSFRWHLPQHQPLGPRQRLPAALHPPPASVSPSHRALPPTAKSWLPLHPLLASPSCSLYPPPHPPKPSQFLPCRPRPRVAQPSCCLGRS
+>DECOY_NM_015125|154538_2_2348_2808
+SRGLCCSPQAVRPRPRCPLFQSPKPPHPPPYLSCSPSALLPHLPLWSKATPPLARHSPSVSAPPPHLAAPLRQRPGLPQHQPLHWRFSSPCRPCSTSYRPSEGLRAWPVQNCSAWPYQGMPAVGLVQEQARLPGVVLPGRPLSSSLLPRLPGM
+>NM_015125|154542_2_4481_4566
+MASSRQPRPQPPSRPAMQTSFPPRFVCS
+>DECOY_NM_015125|154542_2_4481_4566
+SCVFRPPFSTQMAPRSPPQPRPQRSSAM
+>NM_080723|154605_2_307_455
+MESGPTCTSFMRTVQPQFGSMRMISRSKDHLTGGAQYSGRLDSSQVQFL
+>DECOY_NM_080723|154605_2_307_455
+LFQVQSSDLRGSYQAGGTLHDKSRSIMRMSGFQPQVTRMFSTCTPGSEM
+>NM_139244|154642_2_321_403
+MDFPINPQPWPLILYRRSWQWELRLVL
+>DECOY_NM_139244|154642_2_321_403
+LVLRLEWQWSRRYLILPWPQPNIPFDM
+>NM_139244|154648_2_1014_1123
+MGRSQNHANLSSRWNSKRLDLGSLLLFYQEVCHMIL
+>DECOY_NM_139244|154648_2_1014_1123
+LIMHCVEQYFLLLSGLDLRKSNWRSSLNAHNQSRGM
+>NM_139244|154655_2_2109_2326
+MALLWLTTSRKQCCSTWALLNYMALMILIGENPDLLVNLDSLQEPVCVILVKGLLFQRIAANLQPLQRCQGS
+>DECOY_NM_139244|154655_2_2109_2326
+SGQCRQLPQLNAAIRQFLLGKVLIVCVPEQLSDLNVLLDPNEGILIMLAMYNLLAWTSCCQKRSTTLWLLAM
+>NM_139244|154658_2_3054_3163
+MDKHYTLFHLQKSRDLLIVKRPVKIFRKCWVNSSLL
+>DECOY_NM_139244|154658_2_3054_3163
+LLSSNVWCKRFIKVPRKVILLDRSKQLHFLTYHKDM
+>NM_001127715|154696_2_2109_2347
+MALLWLTTSRKQCCSTWALLNYMALMILIGENPDLLVNLDSLQEPVCVILVKGLLFQRIAANLQPLVLHHHTIQMMNKK
+>DECOY_NM_001127715|154696_2_2109_2347
+KKNMMQITHHHLVLPQLNAAIRQFLLGKVLIVCVPEQLSDLNVLLDPNEGILIMLAMYNLLAWTSCCQKRSTTLWLLAM
+>NM_003619|154721_2_1341_1552
+MVNKHLPTILKKAQGPYGWMTSAAQERKPDFFSVPGDSGEGMTAATAKMLALPATLAARDTGSLWVFLSD
+>DECOY_NM_003619|154721_2_1341_1552
+DSLFVWLSGTDRAALTAPLALMKATAATMGEGSDGPVSFFDPKREQAASTMWGYPGQAKKLITPLHKNVM
+>NM_003619|154727_2_2088_2185
+MATALGAMLLGLEIIILWYQRSLRKKLEFNRL
+>DECOY_NM_003619|154727_2_2088_2185
+LRNFELKKRLSRQYWLIIIELGLLMAGLATAM
+>NM_003619|154731_3_340_578
+MGQRDGLRRPVSAVGGGATLPGAVAPSELGSAARTAPQLLSEPRRRGQTLVFLRRRPWQGGLGLLRLQTRISTTSWRQK
+>DECOY_NM_003619|154731_3_340_578
+KQRWSTTSIRTQLRLLGLGGQWPRRRLFVLTQGRRRPESLLQPATRAASGLESPAVAGPLTAGGGVASVPRRLGDRQGM
+>NM_052849|154738_2_372_469
+MVPTMHSQTPLWILALKVKARGRWLTLLSSQL
+>DECOY_NM_052849|154738_2_372_469
+LQSSLLTLWRGRAKVKLALIWLPTQSHMTPVM
+>NM_020219|154774_2_748_845
+MAVPWDSETSWASPMVPCCCAAPSLQTVAPTK
+>DECOY_NM_020219|154774_2_748_845
+KTPAVTQLSPAACCCPVMPSAWSTESDWPVAM
+>NM_001029871|154817_2_554_771
+MERPAARLGAWRAGYERLAGLGMRRQPPARCFLSQGNVPSRGPAQERGAPARRRAGRTGAHARTGSWTAGWT
+>DECOY_NM_001029871|154817_2_554_771
+TWGATWSGTRAHAGTRGARRRAPAGREQAPGRSPVNGQSLFCRAPPQRRMGLGALREYGARWAGLRAAPREM
+>NM_003638|154891_2_997_1070
+MDWMMSWLGHLSLWNVNLRATPEK
+>DECOY_NM_003638|154891_2_997_1070
+KEPTARLNVNWLSLHGLWSMMWDM
+>NM_003638|154892_2_1195_1286
+MDTMTLPSECLLQARIKEAKCSFIMGTKMA
+>DECOY_NM_003638|154892_2_1195_1286
+AMKTGMIFSCKAEKIRAQLLCESPLTMTDM
+>NM_003638|154900_3_3035_3117
+MGNNTSNTSWIVGSRHFNLSFMEVWIL
+>DECOY_NM_003638|154900_3_3035_3117
+LIWVEMFSLNFHRSGVIWSTNSTNNGM
+>NM_005619|154915_3_1055_1257
+MVKDIIAFGCLQDGSNFGIVPTSVDSHWLGPKGPHPPYSCPPGSTEVGKIPEKQRCPQPLTRSRYGE
+>DECOY_NM_005619|154915_3_1055_1257
+EGYRSRTLPQPCRQKEPIKGVETSGPPCSYPPHPGKPGLWHSDVSTPVIGFNSGDQLCGFAIIDKVM
+>NM_033390|155025_2_1756_1829
+MERQCLMNSIQNVTHLSTSDIIPC
+>DECOY_NM_033390|155025_2_1756_1829
+CPIIDSTSLHTVNQISNMLCQREM
+>NM_033390|155027_2_2395_2612
+MGSTPMGTGRLIPCPITPHSRVMSSSPSRASLSNRSQPGGSHTVECRKIPRGIKTTEKRFISICATSSPLTL
+>DECOY_NM_033390|155027_2_2395_2612
+LTLPSSTACISIFRKETTKIGRPIKRCEVTHSGGPQSRNSLSARSPSSSMVRSHPTIPCPILRGTGMPTSGM
+>NM_001042663|155112_2_279_439
+MGMSASTFPHKALCWPGTCPPGHARRAPAPQWTWRRRRRRALWMAKGTGRAQA
+>DECOY_NM_001042663|155112_2_279_439
+AQARGTGKAMWLARRRRRRWTWQPAPARRAHGPPCTGPWCLAKHPFTSASMGM
+>NM_018671|155233_2_923_1041
+MGFSSCNVYWTWERLTSCWRLCVRWLAFALSISHGQWQP
+>DECOY_NM_018671|155233_2_923_1041
+PQWQGHSISLAFALWRVCLRWCSTLREWTWYVNCSSFGM
+>NM_177538|155322_2_1556_1638
+MVLLLQRKLSSSDIVSMCFVKLFELPN
+>DECOY_NM_177538|155322_2_1556_1638
+NPLEFLKVFCMSVIDSSSLKRQLLLVM
+>NM_213606|155381_3_1083_1285
+MGTDLPLLLFAARVQFFTHVRLCCVSRLRSVYGLWLQPSLCVLGALCFECWSESSASCFSYVHTWSD
+>DECOY_NM_213606|155381_3_1083_1285
+DSWTHVYSFCSASSESWCEFCLAGLVCLSPQLWLGYVSRLRSVCCLRVHTFFQVRAAFLLLPLDTGM
+>NM_153000|155457_2_682_809
+MAATGAQIPLILSSSGARSASARPPGSSEGARKPTTSCTTSR
+>DECOY_NM_153000|155457_2_682_809
+RSTTCSTTPKRAGESSGPPRASASRAGSSSLILPIQAGTAAM
+>NM_198681|155514_2_520_899
+MGLHLEAGSAFVQEPTTRAPLKRRDCAVRTPPAWTRGGRPRYVTTPTASSCTAGGPSTSARPVTASSTAPCIMMGMSASTFPHKALCWPGTCPPGHARRAPAPQWTWRRRRRRALWMAKGTGRAQA
+>DECOY_NM_198681|155514_2_520_899
+AQARGTGKAMWLARRRRRRWTWQPAPARRAHGPPCTGPWCLAKHPFTSASMGMMICPATSSATVPRASTSPGGATCSSATPTTVYRPRGGRTWAPPTRVACDRRKLPARTTPEQVFASGAELHLGM
+>NM_173483|155629_2_1113_1273
+MERNCQTRISEPKQTPSCLRVTTQHPVGSLGCCSIWQSIRNTRRNAEKRFRKS
+>DECOY_NM_173483|155629_2_1113_1273
+SKRFRKEANRRTNRISQWISCCGLSGVPHQTTVRLCSPTQKPESIRTQCNREM
+>NM_152445|155723_2_215_327
+MGWFCPGPANLTSSSAQRRRWILLLTQLGAFTRTYRN
+>DECOY_NM_152445|155723_2_215_327
+NRYTRTFAGLQTLLLIWRRRQASSSTLNAPGPCFWGM
+>NM_014517|155746_2_1182_1351
+MENTQIIYTQLAAKSKFLSLKVQTGNKKLTERRWRREQLMKKKSISRPMIPQSSQR
+>DECOY_NM_014517|155746_2_1182_1351
+RQSSQPIMPRSISKKKMLQERRWRRETLKKNGTQVKLSLFKSKAALQTYIIQTNEM
+>NM_014517|155749_2_1590_1723
+MELHRPLVNKFSLQLRSRKHSNGCSKTDSLPTQDCSLIFQVPTY
+>DECOY_NM_014517|155749_2_1590_1723
+YTPVQFILSCDQTPLSDTKSCGNSHKRSRLQLSFKNVLPRHLEM
+>NM_001128160|155773_2_1182_1384
+MENTQIIYTQLAAKSKFLSLKVQTGNKKLTERRWRREQLMKKKSISRPMIPQSSQSVLRGPMPPQPM
+>DECOY_NM_001128160|155773_2_1182_1384
+MPQPPMPGRLVSQSSQPIMPRSISKKKMLQERRWRRETLKKNGTQVKLSLFKSKAALQTYIIQTNEM
+>NM_024643|155836_2_486_595
+MVCFTRQALNPGIPKPITRTLSPLQRNELEWTGRSH
+>DECOY_NM_024643|155836_2_486_595
+HSRGTWELENRQLPSLTRTIPKPIGPNLAQRTFCVM
+>NM_015332|156001_2_733_815
+MVLSERTTPGHRTILTWRSGCQYPSTW
+>DECOY_NM_015332|156001_2_733_815
+WTSPYQCGSRWTLITRHGPTTRESLVM
+>NM_015332|156002_2_880_974
+MGSASSWKGSSPTRSTLRVLSGVSSPGSAFW
+>DECOY_NM_015332|156002_2_880_974
+WFASGPSSVGSLVRLTSRTPSSGKWSSASGM
+>NM_018719|156037_2_1257_1447
+MGRMSDRHCWTRIGCVPPVVGSAIAATVGSVTAAVPQESSFIWPSFMVMTMLRNIWRAYKRSW
+>DECOY_NM_018719|156037_2_1257_1447
+WSRKYARWINRLMTMVMFSPWIFSSEQPVAATVSGVTAAIASGVVPPVCGIRTWCHRDSMRGM
+>NM_018264|156060_2_945_1072
+MAQRRGRKDLMSRMNCIIETPRRKNPLRAPVKKSLVVRTIRA
+>DECOY_NM_018264|156060_2_945_1072
+ARITRVVLSKKVPARLPNKRRPTEIICNMRSMLDKRGRRQAM
+>NM_018264|156067_3_841_932
+MEDQVHLPAAGTSERGEKEVLWRPLQERQM
+>DECOY_NM_018264|156067_3_841_932
+MQREQLPRWLVEKEGRESTGAAPLHVQDEM
+>NM_003852|156141_2_2052_2140
+MASQDHPQTERSSHQIHQCHLQALQDLLL
+>DECOY_NM_003852|156141_2_2052_2140
+LLLDQLAQLHCQHIQHSSRETQPHDQSAM
+>NM_004749|156243_2_553_797
+MVPSRSCWEACMLWASPRPPRSCSRWSRRSAGACGSSSTSTWPSWQSPVPPSHRSSTRRSCWLSCSHTWKGVGQKLKIPTH
+>DECOY_NM_004749|156243_2_553_797
+HTPIKLKQGVGKWTHSCSLWCSRRTSSRHSPPVPSQWSPWTSTSSSGCAGASRRSWRSCSRPPRPSAWLMCAEWCSRSPVM
+>NM_174929|156311_2_114_277
+MVHSHMSLCLGNKAPLSRLDRCLWSLLCGELATRHRARFWGTPWALQGVPLAAP
+>DECOY_NM_174929|156311_2_114_277
+PAALPVGQLAWPTGWFRARHRTALEGCLLSWLCRDLRSLPAKNGLCLSMHSHVM
+>NM_174929|156315_2_606_691
+MERWGPDSLLTASFCSMEVPGGLVSPLA
+>DECOY_NM_174929|156315_2_606_691
+ALPSVLGGPVEMSCFSATLLSDPGWREM
+>NM_174929|156317_2_807_1009
+MGILGLPRPSHCPDRGSREPTLRCIQGSSICKEASMHPAPPSLRPALGSPLPPPLPTLGTGCPCSRA
+>DECOY_NM_174929|156317_2_807_1009
+ARSCPCGTGLTPLPPPLPSGLAPRLSPPAPHMSAEKCISSGQICRLTPERSGRDPCHSPRPLGLIGM
+>NM_001005281|156341_2_412_581
+MGSASASLLVPGPLALASPWRRSTSSPASASVVPMSSTTSSVTSLQYLISPAQTCP
+>DECOY_NM_001005281|156341_2_412_581
+PCTQAPSILYQLSTVSSTTSSMPVVSASAPSSTSRRWPSALALPGPVLLSASASGM
+>NM_016447|156470_2_1446_1621
+MARHISLCHDLRWKQILKLESIWNMGNMKEISMEPKLILFLRLSKLDGLAFWMSTHKH
+>DECOY_NM_016447|156470_2_1446_1621
+HKHTSMWFALGDLKSLRLFLILKPEMSIEKMNGMNWISELKLIQKWRLDHCLSIHRAM
+>NM_016447|156471_2_1518_1621
+MGNMKEISMEPKLILFLRLSKLDGLAFWMSTHKH
+>DECOY_NM_016447|156471_2_1518_1621
+HKHTSMWFALGDLKSLRLFLILKPEMSIEKMNGM
+>NM_001002926|156493_2_670_761
+MALRKLLKNLKRRKRRKTQRHMKWTVVPQS
+>DECOY_NM_001002926|156493_2_670_761
+SQPVVTWKMHRQTKRRKRRKLNKLLKRLAM
+>NM_020246|156589_3_1893_2041
+MAQPGGPCPGEGFCGSNPLTLRAPGGSASAANLRPRWHEAQHVGPRFLR
+>DECOY_NM_020246|156589_3_1893_2041
+RLFRPGVHQAEHWRPRLNAASASGGPARLTLPNSGCFGEGPCPGGPQAM
+>NM_021930|156619_3_1541_1623
+MVDGGEKICSSKNGLNAFLRSCLGIAI
+>DECOY_NM_021930|156619_3_1541_1623
+IAIGLCSRLFANLGNKSSCIKEGGDVM
+>NM_021930|156620_3_2117_2244
+MVVLAISVRAGSDVPVQFGLPVAADVTRPFTSVGAAALFLLI
+>DECOY_NM_021930|156620_3_2117_2244
+ILLFLAAAGVSTFPRTVDAAVPLGFQVPVDSGARVSIALVVM
+>NM_052933|156699_2_488_594
+MANQRLQKIAQLNVRKEWLSIAKRFLMQSGNQNLF
+>DECOY_NM_052933|156699_2_488_594
+FLNQNGSQMLFRKAISLWEKRVNLQAIKQLRQNAM
+>NM_004722|156791_2_362_447
+MAVISFTSDTAASIWWSQLQKTFLPSAS
+>DECOY_NM_004722|156791_2_362_447
+SASPLFTKQLQSWWISAATDSTFSIVAM
+>NM_004722|156794_2_1379_1536
+MGSPPRPLLWGWALPVSPSSFPGTRALASRSDSSGWPSGHAAMPTPTSGCDT
+>DECOY_NM_004722|156794_2_1379_1536
+TDCGSTPTPMAAHGSPWGSSDSRSALARTGPFSSPSVPLAWGWLLPRPPSGM
+>NM_207163|156942_2_1265_1386
+MEDPILGPKSGKEEHLALHLMYLPGTHPGHPQNSPKKSRL
+>DECOY_NM_207163|156942_2_1265_1386
+LRSKKPSNQPHGPHTGPLYMLHLALHEEKGSKPGLIPDEM
+>NM_001001656|157031_3_443_537
+MGVWVSFSNLAGLCHVSAYLLQIKCGEQFFL
+>DECOY_NM_001001656|157031_3_443_537
+LFFQEGCKIQLLYASVHCLGALNSFSVWVGM
+>NM_032518|157048_2_1345_1547
+MEYQDLRENLENKVKRETLERTAPRVTQAKRVTLDHLLQELRENLGNLVVQGKRVNQGFLGFLDFRG
+>DECOY_NM_032518|157048_2_1345_1547
+GRFDLFGLFGQNVRKGQVVLNGLNERLEQLLHDLTVRKAQTVRPATRELTERKVKNELNERLDQYEM
+>NM_172020|157099_2_1470_1546
+MAPVHHPSLAQPPPAPRHRRGQQRK
+>DECOY_NM_172020|157099_2_1470_1546
+KRQQGRRHRPAPPPQALSPHHVPAM
+>NM_138635|157113_2_285_391
+MEGWVPLLPCTVLRFWSTSLQRCWSWQVMLLRISK
+>DECOY_NM_138635|157113_2_285_391
+KSIRLLMVQWSWCRQLSTSWFRLVTCPLLPVWGEM
+>NM_012256|157193_2_406_602
+MGYCRGGWRTWRTCCATGTSGSCGCPRAARGRPPRCPGHWRMMASVSPSRNGRIWRIGRRSSTET
+>DECOY_NM_012256|157193_2_406_602
+TETSSRRGIRWIRGNRSPSVSAMMRWHGPCRPPRGRAARPCGCSGSTGTACCTRWTRWGGRCYGM
+>NM_012369|157291_2_798_886
+MVWPFSLTSSPTPVPLSFRRSCSLSFMPF
+>DECOY_NM_012369|157291_2_798_886
+FPMFSLSCSRRFSLPVPTPSSTLSFPWVM
+>NM_014396|157315_2_339_436
+MARCRYLDCILEKNFTRLLTVPLKLLLCTHIS
+>DECOY_NM_014396|157315_2_339_436
+SIHTCLLLKLPVTLLRTFNKELICDLYRCRAM
+>NM_018842|157346_2_1342_1439
+MAGSMENTTCPRRGVGSRRRTRSCWKKMRQKQ
+>DECOY_NM_018842|157346_2_1342_1439
+QKQRMKKWCSRTRRRSGVGRRPCTTNEMSGAM
+>NM_032295|157550_3_501_781
+MGSVFWHVLFCISGVCLWCAHRMAAFLQQMAVLLPVDCERPAAVHWLALCGCCYGQLVWESRTRSCFWSLECLCFGGQHFGSVPSFFCSSVWL
+>DECOY_NM_032295|157550_3_501_781
+LWVSSCFFSPVSGFHQGGFCLCELSWFCSRTRSEWVLQGYCCGCLALWHVAAPRECDVPLLVAMQQLFAAMRHACWLCVGSICFLVHWFVSGM
+>NM_032295|157551_3_567_781
+MAAFLQQMAVLLPVDCERPAAVHWLALCGCCYGQLVWESRTRSCFWSLECLCFGGQHFGSVPSFFCSSVWL
+>DECOY_NM_032295|157551_3_567_781
+LWVSSCFFSPVSGFHQGGFCLCELSWFCSRTRSEWVLQGYCCGCLALWHVAAPRECDVPLLVAMQQLFAAM
+>NM_213603|157751_2_751_893
+MANPSIKDLCFWGMSEFSQEQSLMNAVNVEKSLGVRHGLINIKEFTF
+>DECOY_NM_213603|157751_2_751_893
+FTFEKINILGHRVGLSKEVNVANMLSQEQSFESMGWFCLDKISPNAM
+>NM_018843|157830_2_529_683
+MDSWIIYVSVKREATNYGIRSQEISREHWMHFLKSFEMRALNLYGVAFLLP
+>DECOY_NM_018843|157830_2_529_683
+PLLFAVGYLNLARMEFSKLFHMWHERSIEQSRIGYNTAERKVSVYIIWSDM
+>NM_018843|157831_2_919_1010
+MVGFPFGGAGLLLFLEMYLSQQCTGITMKF
+>DECOY_NM_018843|157831_2_919_1010
+FKMTIGTCQQSLYMELFLLLGAGGFPFGVM
+>NM_024625|157853_2_2249_2370
+MEKRKTNGKIQTSTLHTWSLSINPVRGELCHFRRAHGTMS
+>DECOY_NM_024625|157853_2_2249_2370
+SMTGHARRFHCLEGRVPNISLSWTHLTSTQIKGNTKRKEM
+>NM_020369|157888_2_454_548
+MAPCVMAAQGPATMGAFYCVSTGTASGPSSA
+>DECOY_NM_020369|157888_2_454_548
+ASSPGSATGTSVCYFAGMTAPGQAAMVCPAM
+>NM_020369|157889_2_469_548
+MAAQGPATMGAFYCVSTGTASGPSSA
+>DECOY_NM_020369|157889_2_469_548
+ASSPGSATGTSVCYFAGMTAPGQAAM
+>NM_020369|157895_2_1402_1535
+MAMWAPHRAMTSYSATRISPTAFIYYPADRVSTTSRHRGDPSGQ
+>DECOY_NM_020369|157895_2_1402_1535
+QGSPDGRHRSTTSVRDAPYYIFATPSIRTASYSTMARHPAWMAM
+>NM_006348|157928_2_1171_1265
+MDNRKFSTHFGIQLLRHFLLNFIWQQTLRCF
+>DECOY_NM_006348|157928_2_1171_1265
+FCRLTQQWIFNLLFHRLLQIGFHTSFKRNDM
+>NM_139175|158026_3_901_974
+MAAINNRSSEHIWTTPTSSSKRGG
+>DECOY_NM_139175|158026_3_901_974
+GGRKSSSTPTTWIHESSRNNIAAM
+>NM_144648|158066_2_1346_1440
+MGPVIPQDHLTLEKGIELIIILSLKTFLMKW
+>DECOY_NM_144648|158066_2_1346_1440
+WKMLFTKLSLIIILEIGKELTLHDQPIVPGM
+>NM_177437|158082_2_103_185
+MASSLLLWAWSGCYGECCCLVISYWLA
+>DECOY_NM_177437|158082_2_103_185
+ALWYSIVLCCCEGYCGSWAWLLLSSAM
+>NM_177437|158084_3_425_549
+MDALQLCRALQLHHHSIFHRQPQNVSELFKEPSTTLECHWR
+>DECOY_NM_177437|158084_3_425_549
+RWHCELTTSPEKFLESVNQPQRHFISHHHLQLARCLQLADM
+>NM_020445|158154_2_355_449
+MESLKTGILWKGSWSKWFLNIFELNLRTIIF
+>DECOY_NM_020445|158154_2_355_449
+FIITRLNLEFINLFWKSWSGKWLIGTKLSEM
+>NM_001005480|158263_2_121_251
+MGSSLGLSAWTLSFTHPCTSSSHTWPSLTCPMLPTMFPRCWQT
+>DECOY_NM_001005480|158263_2_121_251
+TQWCRPFMTPLMPCTLSPWTHSSSTCPHTFSLTWASLGLSSGM
+>NM_001005480|158264_3_17_156
+MDHRHHPAGIPGWSSTGDSPLWTLLCLLYTHPAGEWGHLWDYLPGL
+>DECOY_NM_001005480|158264_3_17_156
+LGPLYDWLHGWEGAPHTYLLCLLTWLPSDGTSSWGPIGAPHHRHDM
+>NM_001004135|158295_2_121_242
+MGLSWGSSTWTLDCTHPCMSSCHTWPLWTCPMPRVLSLRC
+>DECOY_NM_001004135|158295_2_121_242
+CRLSLVRPMPCTWLPWTHCSSMCPHTCDLTWTSSGWSLGM
+>NM_001037763|158322_2_1678_2165
+MELQGRREKRGFREQEAQKDHLEKDSLAPRVTKARKGAKEIKDRGDFQGPKDQRVNRALWALLECLEHQFLDHLGQREIEEDLGYLDLRENLDFLFEDQRVSKALGDQWVLQDSKVMAILVCLDLVDYQDPLGRWVYVEWETLEQRESLGSEALQVLLGLGA
+>DECOY_NM_001037763|158322_2_1678_2165
+AGLGLLVQLAESGLSERQELTEWEVYVWRGLPDQYDVLDLCVLIAMVKSDQLVWQDGLAKSVRQDEFLFDLNERLDLYGLDEEIERQGLHDLFQHELCELLAWLARNVRQDKPGQFDGRDKIEKAGKRAKTVRPALSDKELHDKQAEQERFGRKERRGQLEM
+>NM_001037763|158323_2_2026_2165
+MAILVCLDLVDYQDPLGRWVYVEWETLEQRESLGSEALQVLLGLGA
+>DECOY_NM_001037763|158323_2_2026_2165
+AGLGLLVQLAESGLSERQELTEWEVYVWRGLPDQYDVLDLCVLIAM
+>NM_001037763|158324_2_2233_2429
+MDHRELKGNKDHKASQAQRAQWAMASQARRESTENGAMWERKVIKEKLESLDLQENRVYKDPKET
+>DECOY_NM_001037763|158324_2_2233_2429
+TEKPDKYVRNEQLDLSELKEKIVKREWMAGNETSERRAQSAMAWQARQAQSAKHDKNGKLERHDM
+>NM_001037763|158325_2_2302_2429
+MASQARRESTENGAMWERKVIKEKLESLDLQENRVYKDPKET
+>DECOY_NM_001037763|158325_2_2302_2429
+TEKPDKYVRNEQLDLSELKEKIVKREWMAGNETSERRAQSAM
+>NM_014038|158384_3_740_813
+MDGRKRCQLCYLVFEKSQLRQEAA
+>DECOY_NM_014038|158384_3_740_813
+AAEQRLQSKEFVLYCLQCRKRGDM
+>NM_003575|158416_2_1537_1802
+MGAVGAAARRRGRGQAAAVAAAALAGCGGASSCTAPAASPTRAPSAARASACARASSSTTAATPRSGPTSALSARRASTATRASSATR
+>DECOY_NM_003575|158416_2_1537_1802
+RTASSARTATSARRASLASTPGSRPTAATTSSSARACASARAASPARTPSAAPATCSSAGGCGALAAAAVAAAQGRGRRRAAAGVAGM
+>NM_003575|158419_3_1202_1284
+MDQAGGAAIPMGTTRLNGRRAWIRLWP
+>DECOY_NM_003575|158419_3_1202_1284
+PWLRIWARRGNLRTTGMPIAAGGAQDM
+>NM_015294|158468_2_1393_1478
+MELCEVTTYLCFWSSQLACLKLLNMNIV
+>DECOY_NM_015294|158468_2_1393_1478
+VINMNLLKLCALQSSWFCLYTTVECLEM
+>NM_015294|158477_2_2206_2279
+MVMWVPVVEYQEEHIYAPLLPVVY
+>DECOY_NM_015294|158477_2_2206_2279
+YVVPLLPAYIHEEQYEVVPVWMVM
+>NM_001128202|158586_3_202_350
+MVPSHRANAKDTRIHHQCYVKRAPESTLASASGGEAAAHIQSPGEASSE
+>DECOY_NM_001128202|158586_3_202_350
+ESSAEGPSQIHAAAEGGSASALTSEPARKVYCQHHIRTDKANARHSPVM
+>NM_015113|158783_2_446_519
+MGQLMPRTCWRPSRIPVELIFRGS
+>DECOY_NM_015113|158783_2_446_519
+SGRFILEVPIRSPRWCTRPMLQGM
+>NM_015113|158784_2_1163_1272
+MAATLEFMVSGLLAFRELRSLGSQSQMLLQYGIGLC
+>DECOY_NM_015113|158784_2_1163_1272
+CLGIGYQLLMQSQSGLSRLERFALLGSVMFELTAAM
+>NM_015113|158789_2_1733_1818
+MVFLRKLEKPEPALFFLPELNLPSKLHR
+>DECOY_NM_015113|158789_2_1733_1818
+RHLKSPLNLEPLFFLAPEPKELKRLFVM
+>NM_015113|158800_2_5414_5496
+MGVMRLPPGIDTAVCSAATWISAKLAS
+>DECOY_NM_015113|158800_2_5414_5496
+SALKASIWTAASCVATDIGPPLRMVGM
+>NM_015113|158814_3_1254_1414
+MVLVSADISGDGFYGDKSRLCPDSAAQYSEGAAAHASTLSLTRIYRFLNFPLP
+>DECOY_NM_015113|158814_3_1254_1414
+PLPFNLFRYIRTLSLTSAHAAAGESYQAASDPCLRSKDGYFGDGSIDASVLVM
+>NM_015113|158818_3_8550_8644
+MAGGRGLSPDWPSTIKSHPLTSEDCAMLRPQ
+>DECOY_NM_015113|158818_3_8550_8644
+QPRLMACDESTLPHSKITSPWDPSLGRGGAM
+>NM_015949|158830_2_318_433
+MASKTVQQTCPCWSWSPWRRRKWRWLTSCWKIWLKCSA
+>DECOY_NM_015949|158830_2_318_433
+ASCKLWIKWCSTLWRWKRRRWPSWSWCPCTQQVTKSAM
+>NM_024750|158882_3_539_732
+MVHKQYLDSNHSYIYSVISSDENSGSAKKPNLTSSSRNRLFEEPERTQCGFQLSEEHSSRIGRL
+>DECOY_NM_024750|158882_3_539_732
+LRGIRSSHEESLQFGCQTREPEEFLRNRSSSTLNPKKASGSNEDSSIVSYIYSHNSDLYQKHVM
+>NM_001007169|158990_3_907_983
+MAAGRSLKKLPTRHTWSSKKDADVL
+>DECOY_NM_001007169|158990_3_907_983
+LVDADKKSSWTHRTPLKKLSRGAAM
+>NM_001128228|158998_2_943_1163
+MVRLPSGRAPWRSRHSGQSRRGPVPGQPPPSLTGLLGGRGRPHRPPSCRLLRKKLSLLRASGFLAWPRIAGNM
+>DECOY_NM_001128228|158998_2_943_1163
+MNGAIRPWALFGSARLLSLKKRLLRCSPPRHPRGRGGLLGTLSPPPQGPVPGRRSQGSHRSRWPARGSPLRVM
+>NM_031955|159082_2_1335_1408
+MENFWKKYQAGSCRYSQSIKHLSV
+>DECOY_NM_031955|159082_2_1335_1408
+VSLHKISQSYRCSGAQYKKWFNEM
+>NM_020123|159119_3_1278_1606
+MDKADVYWGIPYPSYGVWHCLLHQFHSHLLPCFKSHSFWNNGGRLLHLFFCYSSSKSCWYNTWPKSVRSAQLSLSCQCCASSYTGEKMVHGACGYCLPGWNFTFWFNLY
+>DECOY_NM_020123|159119_3_1278_1606
+YLNFWFTFNWGPLCYGCAGHVMKEGTYSSACCQCSLSLQASRVSKPWTNYWCSKSSSYCFFLHLLRGGNNWFSHSKFCPLLHSHFQHLLCHWVGYSPYPIGWYVDAKDM
+>NM_020123|159120_3_1761_1894
+MDKFSLCCINCNLCLHVFLLLLFFQNKDVWLISNIILLWIYGGI
+>DECOY_NM_020123|159120_3_1761_1894
+IGGYIWLLIINSILWVDKNQFFLLLLFVHLCLNCNICCLSFKDM
+>NM_145254|159137_2_228_337
+MVYSCGHWCLLSSFMSLLDYWPSSPSDITNMVGSCL
+>DECOY_NM_145254|159137_2_228_337
+LCSGVMNTIDSPSSPWYDLLSMFSSLLCWHGCSYVM
+>NM_030927|159176_3_226_557
+MERKGCAVRPHQSDPDAWNRPCGAGPDGGRGDVHPGVRRLRGGSAGEYLLAQLFLWHHRAHLLPGAGCGRAGLPVPGLGEGPVPGVLREQHQVLPGRYRSAKPHRLPSES
+>DECOY_NM_030927|159176_3_226_557
+SESPLRHPKASRYRGPLVQHQERLVGPVPGEGLGPVPLGARGCGAGPLLHARHHWLFLQALLYEGASGGRLRRVGPHVDGRGGDPGAGCPRNWADPDSQHPRVACGKREM
+>NM_003414|159226_2_585_751
+MDVMMKRLLNMINLMNPLLKVCFTSKYFLLVPKAITLINIGRSLLIHHCLINKRK
+>DECOY_NM_003414|159226_2_585_751
+KRKNILCHHILLSRGINILTIAKPVLLFYKSTFCVKLLPNMLNIMNLLRKMMVDM
+>NM_018151|159310_3_885_979
+MAFVCQTTWKDLASKWEFHQFSLATRRTWIS
+>DECOY_NM_018151|159310_3_885_979
+SIWTRRTALSFQHFEWKSALDKWTTQCVFAM
+>NM_174890|159405_2_1551_1783
+MEFQVWQLNSLLRDTYLLSLVNFLRKIIAGRITHCLTSVATSNYLLRYPIWSWEMTRSLLTLFSILDHPCLGKQNIF
+>DECOY_NM_174890|159405_2_1551_1783
+FINQKGLCPHDLISFLTLLSRTMEWSWIPYRLLYNSTAVSTLCHTIRGAIIKRLFNVLSLLYTDRLLSNLQWVQFEM
+>NM_015027|159461_2_621_709
+MGVLISTKRKEKDLQRYVGLPFILDMKTS
+>DECOY_NM_015027|159461_2_621_709
+STKMDLIFPLGVYRQLDKEKRKTSILVGM
+>NM_018168|159498_2_348_478
+MEDILQHPETCILTSHWNTEKQVLLKGIHPKDFKSLNPLTCHE
+>DECOY_NM_018168|159498_2_348_478
+EHCTLPNLSKFDKPHIGKLLVQKETNWHSTLICTEPHQLIDEM
+>NM_020116|159625_2_611_795
+MALLDLVKISTVVWEDTVLPAERQGKQNVPVWTFANVTTNLCVDLTENSMKTTVKCTELLA
+>DECOY_NM_020116|159625_2_611_795
+ALLETCKVTTKMSNETLDVCLNTTVNAFTWVPVNQKGQREAPLVTDEWVVTSIKVLDLLAM
+>NM_020116|159630_2_1343_1446
+MGPCILLRLPQLTLAITPAMQMAMNKSIRLTSSK
+>DECOY_NM_020116|159630_2_1343_1446
+KSSTLRISKNMAMQMAPTIALTLQPLRLLICPGM
+>NM_020116|159639_3_2805_2914
+MALEPEKQANPGQWLVWSIPDDTFQGLSLHPRWTTQ
+>DECOY_NM_020116|159639_3_2805_2914
+QTTWRPHLSLGQFTDDPISWVLWQGPNAQKEPELAM
+>NM_052937|159752_2_666_799
+MGLSFIQMWWNMPRKNWRASSKIVIALINLSSVNLHLLLVIASR
+>DECOY_NM_052937|159752_2_666_799
+RSAIVLLLHLNVSSLNILAIVIKSSARWNKRPMNWWMQIFSLGM
+>NM_052937|159756_2_1020_1117
+MANQILWDSLPVLSGIYRTWLVFTFDAHLEIS
+>DECOY_NM_052937|159756_2_1020_1117
+SIELHADFTFVLWTRYIGSLVPLSDWLIQNAM
+>NM_018691|159819_2_156_232
+METVSQPRILSLLTKVPNQRVNQNL
+>DECOY_NM_018691|159819_2_156_232
+LNQNVRQNPVKTLLSLIRPQSVTEM
+>NM_152762|159835_2_198_538
+MVCQIKTCSRGLRAQGRQQRRTASPGARARKDRALKSLKITSRFFLGNPPSPSSGPGRASPQMSGLCFSQAPQPLATKPCPCPPRSPSVSPGASPRPTSQRPMAAAGRQRRKT
+>DECOY_NM_152762|159835_2_198_538
+TKRRQRGAAAMPRQSTPRPSAGPSVSPSRPPCPCPKTALPQPAQSFCLGSMQPSARGPGSSPSPPNGLFFRSTIKLSKLARDKRARAGPSATRRQQRGQARLGRSCTKIQCVM
+>NM_152762|159838_2_1092_1351
+MERPMPRDTMKLSCLPTSLIAPSTNDRKPPGACCRPGSGSGRRSGSRPSCGGPGHSMYSGRWPTAWQPTHPEGAGALGRPSASWRS
+>DECOY_NM_152762|159838_2_1092_1351
+SRWSASPRGLAGAGEPHTPQWATPWRGSYMSHGPGGCSPRSGSRRGSGSGPRCCAGPPKRDNTSPAILSTPLCSLKMTDRPMPREM
+>NM_152762|159844_3_949_1121
+MEEDKGQGAAGAMGPGEAAQAATERPGLWPPKAALEDFEGCLPGLQAEWKGLCLGIR
+>DECOY_NM_152762|159844_3_949_1121
+RIGLCLGKWEAQLGPLCGEFDELAAKPPWLGPRETAAQAAEGPGMAGAAGQGKDEEM
+>NM_152762|159845_3_985_1121
+MGPGEAAQAATERPGLWPPKAALEDFEGCLPGLQAEWKGLCLGIR
+>DECOY_NM_152762|159845_3_985_1121
+RIGLCLGKWEAQLGPLCGEFDELAAKPPWLGPRETAAQAAEGPGM
+>NM_138724|160009_3_693_778
+MGGVSKDQDKNGTSLEEQWWWTQSSEYT
+>DECOY_NM_138724|160009_3_693_778
+TYESSQTWWWQEELSTGNKDQDKSVGGM
+>NM_013436|160086_2_2737_2816
+MESQPLQVYTQIGIWKLCYDKSAMAI
+>DECOY_NM_013436|160086_2_2737_2816
+IAMASKDYCLKWIGIQTYVQLPQSEM
+>NM_013436|160092_3_2492_2580
+MGTYLYPTRIFDFSSGNTLYQVNCWDDYV
+>DECOY_NM_013436|160092_3_2492_2580
+VYDDWCNVQYLTNGSSFDFIRTPYLYTGM
+>NM_033284|160168_2_1383_1462
+MEHYWLWVHMMVSQEYGQKMVTWPAP
+>DECOY_NM_033284|160168_2_1383_1462
+PAPWTVMKQGYEQSVMMHVWLWYHEM
+>NM_033284|160174_3_1495_1613
+MEQKGELCFECWCRQNNNNLGCSHRRSQTAVSFSFSPRP
+>DECOY_NM_033284|160174_3_1495_1613
+PRPSFSFSVATQSRRHSCGLNNNNQRCWCEFCLEGKQEM
+>NM_019065|160263_2_246_436
+MGSCPWRNSSSSLQMASLMRKNWRISFTRLTLTTPTMWTPRSCVITLWTTWVTMRMSWPPWRP
+>DECOY_NM_019065|160263_2_246_436
+PRWPPWSMRMTVWTTWLTIVCSRPTWMTPTTLTLRTFSIRWNKRMLSAMQLSSSSNRWPCSGM
+>NM_020894|160287_2_1732_2195
+MGWRQHQRKTQLCGACGRGRGWTRRCRTPPLRLLSCGSSGTTCLHPHLPAPPERCQSHRRPRSWQQSGPGRLWCPTAWTCTTGARSSPQPGRLSSLTPSTASGSPARWRRKWSMPTSPRCSGAATSLLPGSLSLCSTGAVPRGQTAGSVSAKTG
+>DECOY_NM_020894|160287_2_1732_2195
+GTKASVSGATQGRPVAGTSCLSLSGPLLSTAAGSCRPSTPMSWKRRWRAPSGSATSPTLSSLRGPQPSSRAGTTCTWATPCWLRGPGSQQWSRPRRHSQCREPPAPLHPHLCTTGSSGCSLLRLPPTRCRRTWGRGRGCAGCLQTKRQHQRWGM
+>NM_182659|160329_2_2191_2264
+MVLITGMVARVFHIIQYSKFIRCV
+>DECOY_NM_182659|160329_2_2191_2264
+VCRIFKSYQIIHFVRAVMGTILVM
+>NM_001012426|160390_2_510_595
+MAWAASLGKPMAAAAGPQGQLQVARAGK
+>DECOY_NM_001012426|160390_2_510_595
+KGARAVQLQGQPGAAAAMPKGLSAAWAM
+>NM_001012426|160396_2_2157_2233
+MEHLMPATRPPWPRAASPSSTALAC
+>DECOY_NM_001012426|160396_2_2157_2233
+CALATSSPSAARPWPPRTAPMLHEM
+>NM_023068|160424_2_835_989
+MGYASKPRLVCCTCPRQPGAMLASTPAKLRTAWALWSHPPSASTSSWLRSR
+>DECOY_NM_023068|160424_2_835_989
+RSRLWSSTSASPPHSWLAWATRLKAPTSALMAGPQRPCTCCVLRPKSAYGM
+>NM_023068|160438_2_3370_3530
+MGSSAWMPTPSPCPTSQSGMPPPTAAVWAPLVGHPASPDLSPWTSSTRPATCA
+>DECOY_NM_023068|160438_2_3370_3530
+ACTAPRTSSTWPSLDPSAPHGVLPAWVAATPPPMGSQSTPCPSPTPMWASSGM
+>NM_023068|160441_2_3550_3788
+MAGSWPWYCALWTAARPPSWPSATPVASWPPRQQPLSPTPCAWSCEGHSPGMRVSTAALPAALWARPTRPWSCGWRVCG
+>DECOY_NM_023068|160441_2_3550_3788
+GCVRWGCSWPRTPRAWLAAPLAATSVRMGPSHGECSWACPTPSLPQQRPPWSAVPTASPWSPPRAATWLACYWPWSGAM
+>NM_023068|160446_2_4144_4325
+MARCWPRAAGSTAWHQGQAMSRWPETPYGCRCKMCLQVMTPMFAQPKTCWAQSAPSGGCR
+>DECOY_NM_023068|160446_2_4144_4325
+RCGGSPASQAWCTKPQAFMPTMVQLCMKCRCGYPTEPWRSMAQGQHWATSGAARPWCRAM
+>NM_020699|160661_2_446_564
+MAVVSRAMKKNLTGISGLMETTGLLEGQAKKTSMMSLWI
+>DECOY_NM_020699|160661_2_446_564
+IWLSMMSTKKAQGELLGTTEMLGSIGTLNKKMARSVVAM
+>NM_002286|160735_3_750_931
+MAAPSPARGRRRVPRRGAPQGPRPLLPPPSAPGPGLDDCQPPRISQSLRLGHFELLLQPP
+>DECOY_NM_002286|160735_3_750_931
+PPQLLLEFHGLRLSQSIRPPQCDDLGPGPASPPPLLPRPGQPAGRRPVRRRGRAPSPAAM
+>NM_033510|160759_2_489_580
+MGPGSHPLCSTMWSASGRNEPSRCQRAIPS
+>DECOY_NM_033510|160759_2_489_580
+SPIARQCRSPENRGSASWMTSCLPHSGPGM
+>NM_033510|160769_2_3687_3988
+MVRAVPGPHQPLPPQGSCCWTTRQSSASALPCRPPPPISRLAPAPKPGPGRTPKGRRLSPCQPHQKPQPTLLRPRLQILLMASVPQPAPWRGSASLMRPA
+>DECOY_NM_033510|160769_2_3687_3988
+APRMLSASGRWPAPQPVSAMLLIQLRPRLLTPQPKQHPQCPSLRRGKPTRGPGPKPAPALRSIPPPPRCPLASASSQRTTWCCSGQPPLPQHPGPVARVM
+>NM_033510|160779_3_3481_3578
+MGCWYWGPWWGEGRPPTTRVSGRDARVLLRAI
+>DECOY_NM_033510|160779_3_3481_3578
+IARLLVRADRGSVRTTPPRGEGWWPGWYWCGM
+>NM_001031746|160801_2_288_373
+MGISAAAPNGGGCACWRSSGGRSTGSPS
+>DECOY_NM_001031746|160801_2_288_373
+SPSGTSRGGSSRWCACGGGNPAAASIGM
+>NM_017637|160898_2_555_661
+MAGWHMPWISSARSTCTTPPKWRLCSPTSCLTSAA
+>DECOY_NM_017637|160898_2_555_661
+AASTLCSTPSCLRWKPPTTCTSRASSIWPMHWGAM
+>NM_017637|160904_2_2580_2683
+MAALKSSPQKVTYVLAQTPKSVMCARRVSKAPTV
+>DECOY_NM_017637|160904_2_2580_2683
+VTPAKSVRRACMVSKPTQALVYTVKQPSSKLAAM
+>NM_007125|160989_2_4804_4910
+MGGQMMNQLITVAFVRWRFLICFLSLMKAILKKPT
+>DECOY_NM_007125|160989_2_4804_4910
+TPKKLIAKMLSLFCILFRWRVFAVTILQNMMQGGM
+>NM_152528|161008_2_180_298
+MVTMSTAVPSPFPSWLLAPWTKQFACTRYVTLLNCHILH
+>DECOY_NM_152528|161008_2_180_298
+HLIHCNLLTVYRTCAFQKTWPALLWSPFPSPVATSMTVM
+>NM_152528|161013_2_564_637
+MAPWRHVHFLLMEASLSLAPHVVI
+>DECOY_NM_152528|161013_2_564_637
+IVVHPALSLSAEMLLFHVHRWPAM
+>NM_152996|161030_2_737_858
+MEFLRRKLGRTESSLAHISAQGGLPSFWPWTPVMAFTSTG
+>DECOY_NM_152996|161030_2_737_858
+GTSTFAMVPTWPWFSPLGGQASIHALSSETRGLKRRLFEM
+>NM_206862|161179_2_751_986
+MVLRLSLPGGNLPQMPQETSRRHFPLRGTALLHTKRLLPSPVLEERDSRRKKDRSPPSPSPVASTSHLECRQYPSESQ
+>DECOY_NM_206862|161179_2_751_986
+QSESPYQRCELHSTSAVPSPSPPSRDKKRRSDREELVPSPLLRKTHLLATGRLPFHRRSTEQPMQPLNGGPLSLRLVM
+>NM_206862|161195_2_2920_3140
+MGKRLLQAIQVLRTREQILPKSMYLWNLRKITTCPLMEDRSRLWDQNFKVSSPKAPCLILQLHLPLTWFGRVL
+>DECOY_NM_206862|161195_2_2920_3140
+LVRGFWTLPLHLQLILCPAKPSSVKFNQDWLRSRDEMLPCTTIKRLNWLYMSKPLIQERTRLVQIAQLLRKGM
+>NM_206862|161207_2_5110_5282
+MEKRPWPKTEFLLESSTRKHLPATVHMEKMVPGTLLTQGFQDMCQGPRVPLLLRGRF
+>DECOY_NM_206862|161207_2_5110_5282
+FRGRLLLPVRPGQCMDQFGQTLLTGPVMKEMHVTAPLHKRTSSELLFETKPWPRKEM
+>NM_206862|161209_2_5197_5282
+MVPGTLLTQGFQDMCQGPRVPLLLRGRF
+>DECOY_NM_206862|161209_2_5197_5282
+FRGRLLLPVRPGQCMDQFGQTLLTGPVM
+>NM_206862|161212_2_5725_5996
+MGRCASPHLQSLTKLTTRSCNIWLQKSSTLTERAPGLAHPCYLRFLRRMLQESWIKSLQMRPEVRKEQKVHLWQMISSSPLPPQTWKAQP
+>DECOY_NM_206862|161212_2_5725_5996
+PQAKWTQPPLPSSSIMQWLHVKQEKRVEPRMQLSKIWSEQLMRRLFRLYCPHALGPARETLTSSKQLWINCSRTTLKTLSQLHPSACRGM
+>NM_032492|161254_2_530_645
+MARPTVSSLVFLPFPSCTWCWCWQCKCMPGSCTTARSS
+>DECOY_NM_032492|161254_2_530_645
+SSRATTCSGPMCKCQWCWCWTCSPFPLFVLSSVTPRAM
+>NM_058170|161276_2_1253_1404
+MAHSILTSIRVISSSNTALIWGECLPNEAWSMLVFIMFTPTHGVDSLTST
+>DECOY_NM_058170|161276_2_1253_1404
+TSTLSDVGHTPTFMIFVLMSWAENPLCEGWILATNSSSIVRISTLISHAM
+>NM_001017395|161312_2_1750_1826
+MVVKKIVLVPLQAQWEPTAPQGASL
+>DECOY_NM_001017395|161312_2_1750_1826
+LSAGQPATPEWQAQLPVLVIKKVVM
+>NM_203451|161484_2_492_601
+MELFLSCFPHPCPRQWTHPQATCQTSTSMCPYSSAF
+>DECOY_NM_203451|161484_2_492_601
+FASSYPCMSTSTQCTAQPHTWQRPCPHPFCSLFLEM
+>NM_182761|161510_2_1021_1100
+MEMRRRRKRNQKQRRRRGSPQKKTLA
+>DECOY_NM_182761|161510_2_1021_1100
+ALTKKQPSGRRRRQKQNRKRRRRMEM
+>NM_182562|161528_2_449_642
+MAPVHATCCLSLTPCSSGGNTGTEAWEQPCCGTSVRHSQRTRPWGLAARCLLPCTKHTQEIPRT
+>DECOY_NM_182562|161528_2_449_642
+TRPIEQTHKTCPLLCRAALGWPRTRQSHRVSTGCCPQEWAETGTNGGSSCPTLSLCCTAHVPAM
+>NM_018439|161604_2_635_708
+MAFLLQTEEVLFRHTWLQWFVPNR
+>DECOY_NM_018439|161604_2_635_708
+RNPVFWQLWTHRFLVEETQLLFAM
+>NM_007148|161696_2_1724_1842
+MVWPYSARGEIRPWRHWKLSCRPRPRPSWTPTRCASVAT
+>DECOY_NM_007148|161696_2_1724_1842
+TAVSACRTPTWSPRPRPRCSLKWHRWPRIEGRASYPWVM
+>NM_213598|161739_2_808_917
+MVWGQMMVYVQRLHRNKFQQKVISMNVIHMDQLQMP
+>DECOY_NM_213598|161739_2_808_917
+PMQLQDMHIVNMSIVKQQFKNRHLRQVYVMMQGWVM
+>NM_213598|161741_2_826_917
+MVYVQRLHRNKFQQKVISMNVIHMDQLQMP
+>DECOY_NM_213598|161741_2_826_917
+PMQLQDMHIVNMSIVKQQFKNRHLRQVYVM
+>NM_174978|161810_2_1701_1822
+MALHFLFHQTLQLIHLELEKMILVFHFHLDRVKIQYLLLL
+>DECOY_NM_174978|161810_2_1701_1822
+LLLLYQIKVRDLHFHFVLIMKELELHILQLTQHFLFHLAM
+>NM_032317|161955_3_48_181
+MVAAAVTLEVAAGPWLSTKFCTQPGPRSEDLFPGRLLVFAHGAV
+>DECOY_NM_032317|161955_3_48_181
+VAGHAFVLLRGPFLDESRPGPQTCFKTSLWPGAAVELTVAAAVM
+>NM_014971|162024_2_417_577
+MGTCALLWRLWTSCSWPATARASTSSWRASSRWWPSCWSQRNPTCRSSAPTRL
+>DECOY_NM_014971|162024_2_417_577
+LRTPASSRCTPNRQSWCSPWWRSSARWSSTSARATAPWSCSTWLRWLLACTGM
+>NM_023072|162081_3_1412_1713
+MGGAPPGPHWLPLQGAPGGLSSGGGDTYPLPRLRPREAEGGLPARACAREPWGVLLGAGAGGGTAGAGAAAGPAGGAVRPGQGGAQRGAAAGPAGGGGVG
+>DECOY_NM_023072|162081_3_1412_1713
+GVGGGGAPGAAAGRQAGGQGPRVAGGAPGAAAGAGATGGGAGAGLLVGWPERACARAPLGGEAERPRLRPLPYTDGGGSSLGGPAGQLPLWHPGPPAGGM
+>NM_001037144|162257_2_1190_1476
+MVLSISLRWKVFGVSSRPCSKPHVIQPIGILSFLALAQRDGKRTPLTVIAQPPCSTPGPCKTCLHLAQPKPWRSCFPATPAFGQGLHSIPQIFRG
+>DECOY_NM_001037144|162257_2_1190_1476
+GRFIQPISHLGQGFAPTAPFCSRWPKPQALHLCTKCPGPTSCPPQAIVTLPTRKGDRQALALFSLIGIPQIVHPKSCPRSSVGFVKWRLSISLVM
+>NM_001037144|162261_2_3482_3669
+MGSGVGLMAEGIMSPEGTQTPAWVRSPGKRFPPRLSLAALLQPPRLKNLPHGRKVGTLPRVA
+>DECOY_NM_001037144|162261_2_3482_3669
+AVRPLTGVKRGHPLNKLRPPQLLAALSLRPPFRKGPSRVWAPTQTGEPSMIGEAMLGVGSGM
+>NM_198507|162302_2_367_452
+MAATLWPGLRRTITEGRPGKARWVAALL
+>DECOY_NM_198507|162302_2_367_452
+LLAAVWRAKGPRGETITRRLGPWLTAAM
+>NM_001012455|162316_2_830_903
+MVILLRFLSMEIPVTVRADWKSKG
+>DECOY_NM_001012455|162316_2_830_903
+GKSKWDARVTVPIEMSLFRLLIVM
+>NM_173348|162344_2_498_580
+MVNSIKMPLKKSRQCSQPLMNSCMSRS
+>DECOY_NM_173348|162344_2_498_580
+SRSMCSNMLPQSCQRSKKLPMKISNVM
+>NM_018150|162394_2_1570_1667
+MGSHNTQRLMSSPAQARSLVKPRRERHFGAQS
+>DECOY_NM_018150|162394_2_1570_1667
+SQAGFHRERRPKVLSRAQAPSSMLRQTNHSGM
+>NM_018150|162397_2_1744_1826
+MVKAASRRPCRRPARTATSRKSPKIQL
+>DECOY_NM_018150|162397_2_1744_1826
+LQIKPSKRSTATRAPRRCPRRSAAKVM
+>NM_198455|162459_2_448_698
+MAAPRPAAAAPADTCQAVPLLQPSCSPWQGLWASSGASTSVPRPPVPPGRASTTAPLMAATITSWAAAPTCWRVLRTPPGLST
+>DECOY_NM_198455|162459_2_448_698
+TSLGPPTRLVRWCTPAAAWSTITAAMLPATTSARGPPVPPRPVSTSAGSSAWLGQWPSCSPQLLPVAQCTDAPAAAAPRPAAM
+>NM_198455|162463_2_1540_1790
+MAPSVCLLPTAPATTAASAMYPVTPCASCVTPACAGMAAGTVPRHCAPPSVQWVGTGTTSPSMGGATPSGVVKVAATAWCRTM
+>DECOY_NM_198455|162463_2_1540_1790
+MTRCWATAAVKVVGSPTAGGMSPSTTGTGVWQVSPPACHRPVTGAAMGACAPTVCSACPTVPYMASAATTAPATPLLCVSPAM
+>NM_198455|162465_2_1648_1790
+MAAGTVPRHCAPPSVQWVGTGTTSPSMGGATPSGVVKVAATAWCRTM
+>DECOY_NM_198455|162465_2_1648_1790
+MTRCWATAAVKVVGSPTAGGMSPSTTGTGVWQVSPPACHRPVTGAAM
+>NM_198455|162466_2_1819_2039
+MGPATLGAACTPSPSPWRTPTSSSGTQELCWSMGRMWACPGLALRASVCAELPLPFCCCAGLGPRCSGDCLTL
+>DECOY_NM_198455|162466_2_1819_2039
+LTLCDGSCRPGLGACCCFPLPLEACVSARLALGPCAWMRGMSWCLEQTGSSSTPTRWPSPSPTCAAGLTAPGM
+>NM_198455|162473_2_3373_3578
+MEGLGSWCNCPLSSVVAWLGCVVTLMEMPVMICGAARASWSPQLNWLPTPGASAPSALSQETCHTPAR
+>DECOY_NM_198455|162473_2_3373_3578
+RAPTHCTEQSLASPASAGPTPLWNLQPSWSARAAGCIMVPMEMLTVVCGLWAVVSSLPCNCWSGLGEM
+>NM_198455|162481_2_3775_4262
+MGTTCAGVARSSAPCSVKGDRYMRPVAPRVPPPAMSSILSPGGTARWWPVWRAASAPRGLCCTEEPAWSQLPAPVSGAATPSRRGLCCKRTAGTARARKVNGIVGVTVATVRSLCLPVQRERPCAKRMGTVCPMGGFVTTRTTVAMALMRRVVPPQAVGRGR
+>DECOY_NM_198455|162481_2_3775_4262
+RGRGVAQPPVVRRMLAMAVTTRTTVFGGMPCVTGMRKACPRERQVPLCLSRVTAVTVGVIGNVKRARATGATRKCCLGRRSPTAAGSVPAPLQSWAPEETCCLGRPASAARWVPWWRATGGPSLISSMAPPPVRPAVPRMYRDGKVSCPASSRAVGACTTGM
+>NM_198455|162484_2_4156_4262
+MGTVCPMGGFVTTRTTVAMALMRRVVPPQAVGRGR
+>DECOY_NM_198455|162484_2_4156_4262
+RGRGVAQPPVVRRMLAMAVTTRTTVFGGMPCVTGM
+>NM_198455|162487_2_4327_4469
+MARMSRAIRAPRACWPVPMDAACRRPCSAMGILTVWMPPTRSPVWGR
+>DECOY_NM_198455|162487_2_4327_4469
+RGWVPSRTPPMWVTLIGMASCPRRCAADMPVPWCARPARIARSMRAM
+>NM_198455|162493_2_5020_5309
+MGSLTVEGQGRWAPPQKSRVVGPGAPGAHGGPAAGRVGPGARAGAAAAPHSASWCYRTAQGLSTSLRPASRQPAQWTVNGAPGPPGLCALSRAGAP
+>DECOY_NM_198455|162493_2_5020_5309
+PAGARSLACLGPPGPAGNVTWQAPQRSAPRLSTSLGQATRYCWSASHPAAAAGARAGPGVRGAAPGGHAGPAGPGVVRSKQPPAWRGQGEVTLSGM
+>NM_198455|162494_2_5341_5447
+MGAAPVLHCPEACTAPARPSLALRTAAPMPLALGS
+>DECOY_NM_198455|162494_2_5341_5447
+SGLALPMPAATRLALSPRAPATCAEPCHLVPAAGM
+>NM_198455|162496_2_5941_6413
+MGAPAGCASVCTTSPHTAHPTARSAAAPRAGSWWRGRENHAATVPYLERTRRSSPWPLLPQLRLPVPRSDSLWPLTFCLRQETPAILPWGWPDWLRGVCMHRPSSWNTPPRLPSWGLPPRGPALRDGTLEGMLMPSGTLGPITCSWTCFSLGTSLAS
+>DECOY_NM_198455|162496_2_5941_6413
+SALSTGLSFCTWSCTIPGLTGSPMLMGELTGDRLAPGRPPLGWSPLRPPTNWSSPRHMCVGRLWDPWGWPLIAPTEQRLCFTLPWLSDSRPVPLRLQPLLPWPSSRRTRELYPVTAAHNERGRWWSGARPAAASRATPHATHPSTTCVSACGAPAGM
+>NM_198455|162501_2_6475_6743
+MVYTGMTIVTSCLASCPCPSFSPETGMTWTLPYGLSAAWCRRGLSGCGPTMSTTAMSPCRWSCWAASQGPHRHLCAQGLDSAVPVVSVS
+>DECOY_NM_198455|162501_2_6475_6743
+SVSVVPVASDLGQACLHRHPGQSAAWCSWRCPSMATTSMTPGCGSLGRRCWAASLGYPLTWTMGTEPSFSPCPCSALCSTVITMGTYVM
+>NM_198455|162506_2_6781_6974
+MARMRRAVCCCLRALADSIPQPRPWPSPLPSRGSCCTGPGRAWQRLSTGPLGRNPPRPRQRQGP
+>DECOY_NM_198455|162506_2_6781_6974
+PGQRQRPRPPNRGLPGTSLRQWARGPGTCCSGRSPLPSPWPRPQPISDALARLCCCVARRMRAM
+>NM_198455|162508_2_7231_7343
+MAGRIASTAPTRGTAPGIYLCGSLLSLPCGQRALCPS
+>DECOY_NM_198455|162508_2_7231_7343
+SPCLARQGCPLSLLSGCLYIGPATGRTPATSAIRGAM
+>NM_198455|162509_2_7471_7865
+MARTRMAVWTACWPPGLSGAAAAAAVAWASPSSARSCCGLLCQGAAARVTGSEASPALCRPAQWLGHGPCGRPGDPAASPAGVAIRVAREAVWTPHPRMAVPPAPGPPKRGHPAACSPAQVAQTASWAVCM
+>DECOY_NM_198455|162509_2_7471_7865
+MCVAWSATQAVQAPSCAAPHGRKPPGPAPPVAMRPHPTWVAERAVRIAVGAPSAAPDGPRGCPGHGLWQAPRCLAPSAESGTVRAAAGQCLLGCCSRASSPSAWAVAAAAAAGSLGPPWCATWVAMRTRAM
+>NM_198455|162510_2_7486_7865
+MAVWTACWPPGLSGAAAAAAVAWASPSSARSCCGLLCQGAAARVTGSEASPALCRPAQWLGHGPCGRPGDPAASPAGVAIRVAREAVWTPHPRMAVPPAPGPPKRGHPAACSPAQVAQTASWAVCM
+>DECOY_NM_198455|162510_2_7486_7865
+MCVAWSATQAVQAPSCAAPHGRKPPGPAPPVAMRPHPTWVAERAVRIAVGAPSAAPDGPRGCPGHGLWQAPRCLAPSAESGTVRAAAGQCLLGCCSRASSPSAWAVAAAAAAGSLGPPWCATWVAM
+>NM_198455|162511_2_7765_7865
+MAVPPAPGPPKRGHPAACSPAQVAQTASWAVCM
+>DECOY_NM_198455|162511_2_7765_7865
+MCVAWSATQAVQAPSCAAPHGRKPPGPAPPVAM
+>NM_198455|162513_2_8539_8729
+MEASRHVGAAAPAWLQGTPRAQDPTVRPGTATRSPAQPSAQRTCCSAQQSSVTRRGVLALGYA
+>DECOY_NM_198455|162513_2_8539_8729
+AYGLALVGRRTVSSQQASCCTRQASPQAPSRTATGPRVTPDQARPTGQLWAPAAAGVHRSAEM
+>NM_198455|162518_2_12322_12728
+MVAACQLGTVTAPMPRATAGPRGASTRMPATTAHAKLGSSPARLSPARLPPTVPGATGRPGVPAATHAGPEGSRAASGPPRRARGPQSVGRSSPRASPALSPRAHPCACRALAPAPWGTAGCRGSASGAPAPRRV
+>DECOY_NM_198455|162518_2_12322_12728
+VRRPAPAGSASGRCGATGWPAPALARCACPHARPSLAPSARPSSRGVSQPGRARRPPGSAARSGEPGAHTAAPVGPRGTAGPVTPPLRAPSLRAPSSGLKAHATTAPMRTSAGRPGATARPMPATVTGLQCAAVM
+>NM_198455|162522_2_14140_14654
+MGAVCRSPLAAVASPVPMPLGSWPRPRRCSWTAKTAPVSTSPWCAHTRSVQSLGLGQPGAVARPPVVGALWSDIGLVRGVLGWHHARPRTQSNGRSVTCSPALSAPLARCLVPVPPHARASAGICSLVPSVCRSPASLAVAALEGSCCTMARVCLPLPAPAPSILCPGASP
+>DECOY_NM_198455|162522_2_14140_14654
+PSAGPCLISPAPAPLPLCVRAMTCCSGELAAVALSAPSRCVSPVLSCIGASARAHPPVPVLCRALPASLAPSCTVSRGNSQTRPRAHHWGLVGRVLGIDSWLAGVVPPRAVAGPQGLGLSQVSRTHACWPSTSVPATKATWSCRRPRPWSGLPMPVPSAVAALPSRCVAGM
+>NM_198455|162524_3_848_996
+MAGGLAGAVRRPGGRGAAGQDWLHLHLCGPRALGTDTRPLWALQWLARG
+>DECOY_NM_198455|162524_3_848_996
+GRALWQLAWLPRTDTGLARPGCLHLHLWDQGAAGRGGPRRVAGALGGAM
+>NM_198455|162527_3_6317_6492
+MARWRGCLCQVAHSAPLPAAGPASASEPHWHPSAGDWLLQRICQQLLTPVQQQWSTLA
+>DECOY_NM_198455|162527_3_6317_6492
+ALTSWQQQVPTLLQQCIRQLLWDGASPHWHPESASAPGAAPLPASHAVQCLCGRWRAM
+>NM_198455|162529_3_7670_7995
+MGHVGGLGTLQRLLRGWPSESPEKLCGPPTQEWRCPLPRGLPREGTLRLAALLRWHRLRAGPCVCECRSVPEGAGAPMPTLLPGSQGQQKLQWALCGRMPLSPGAPSA
+>DECOY_NM_198455|162529_3_7670_7995
+ASPAGPSLPMRGCLAWQLKQQGQSGPLLTPMPAGAGEPVSRCECVCPGARLRHWRLLAALRLTGERPLGRPLPCRWEQTPPGCLKEPSESPWGRLLRQLTGLGGVHGM
+>NM_198455|162539_3_13868_14370
+MEPLPGALQWGVQATLERGRGPLWRRLPGAMGSRKLQRRALPRVRGPRHCIHPGLCQPVPTQLCRPLGPRSVSAGTLPPRLPLSPWPAGPGWALCADLLLPLWPPQCQCLLGAGPGPGGAAGLPKLHLCQRVPGVPTPGVSSPWALVSLEQLLGPLWWGHYGATSDL
+>DECOY_NM_198455|162539_3_13868_14370
+LDSTAGYHGWWLPGLLQELSVLAWPSSVGPTPVGPVRQCLHLKPLGAAGGPGPGAGLLCQCQPPWLPLLLDACLAWGPGAPWPSLPLRPPLTGASVSRPGLPRCLQTPVPQCLGPHICHRPGRVRPLARRQLKRSGMAGPLRRWLPGRGRELTAQVGWQLAGPLPEM
+>NM_198455|162540_3_13958_14370
+MGSRKLQRRALPRVRGPRHCIHPGLCQPVPTQLCRPLGPRSVSAGTLPPRLPLSPWPAGPGWALCADLLLPLWPPQCQCLLGAGPGPGGAAGLPKLHLCQRVPGVPTPGVSSPWALVSLEQLLGPLWWGHYGATSDL
+>DECOY_NM_198455|162540_3_13958_14370
+LDSTAGYHGWWLPGLLQELSVLAWPSSVGPTPVGPVRQCLHLKPLGAAGGPGPGAGLLCQCQPPWLPLLLDACLAWGPGAPWPSLPLRPPLTGASVSRPGLPRCLQTPVPQCLGPHICHRPGRVRPLARRQLKRSGM
+>NM_014748|162554_2_730_824
+MEPFLLYGSCKSLSCLMCLSPAFGVKSIRLC
+>DECOY_NM_014748|162554_2_730_824
+CLRISKVGFAPSLCMLCSLSKCSGYLLFPEM
+>NM_024833|162612_2_646_785
+MGEKPGRNHTCVGHVESNSGSVQTLTSTRTSPMEGNFSQGRRAETL
+>DECOY_NM_024833|162612_2_646_785
+LTEARRGQSFNGEMPSTRTSTLTQVSGSNSEVHGVCTHNRGPKEGM
+>NM_001004313|162724_3_795_910
+MDYPVPQFLKESSWWKNSIGYCHCNHTFPIYLMGLHIY
+>DECOY_NM_001004313|162724_3_795_910
+YIHLGMLYIPFTHNCHCYGISNKWWSSEKLFQPVPYDM
+>NM_006947|162752_2_101_174
+MARTATSRALSRPSIRYYRSTKMT
+>DECOY_NM_006947|162752_2_101_174
+TMKTSRYYRISPRSLARSTATRAM
+>NM_006947|162758_2_1418_1521
+MGGRRRQLVTYNSCGNKIQKIFTPWHSLFLLTHL
+>DECOY_NM_006947|162758_2_1418_1521
+LHTLLFLSHWPTFIKQIKNGCSNYTVLQRRRGGM
+>NM_022159|162789_2_265_350
+MELKPAIATWDFQEMVSQFVKMIMNVEI
+>DECOY_NM_022159|162789_2_265_350
+IEVNMIMKVFQSVMEQFDWTAIAPKLEM
+>NM_198149|162956_2_203_288
+MAPGIRGLTASSSPSAAGPATIGTAAGT
+>DECOY_NM_198149|162956_2_203_288
+TGAATGITAPGAASPSSSATLGRIGPAM
+>NM_017931|163008_2_770_861
+MGWNSCSTQRPSGRTLICWLVITIGTGLYI
+>DECOY_NM_017931|163008_2_770_861
+IYLGTGITIVLWCILTRGSPRQTSCSNWGM
+>NM_033054|163077_2_1261_1391
+MARTQSLACWTSMASRCFPSTVSSSSASTTATRSCSSYSSSSS
+>DECOY_NM_033054|163077_2_1261_1391
+SSSSSYSSCSRTATTSASSSSVTSPFCRSAMSTWCALSQTRAM
+>NM_033054|163081_3_2267_2367
+MAGHLGEVALPEAEGYLHHHALVPETQGAGSPG
+>DECOY_NM_033054|163081_3_2267_2367
+GPSGAGQTEPVLAHHHLYGEAEPLAVEGLHGAM
+>NM_014960|163090_2_1094_1197
+MESHATLQSLLWEAFRSTRPPWQRCCSRRVTSLG
+>DECOY_NM_014960|163090_2_1094_1197
+GLSTVRRSCCRQWPPRTSRFAEWLLSQLTAHSEM
+>NM_014960|163092_2_1349_1437
+MDHQGTFKETVTLTWPSLFMKTSTLWSSR
+>DECOY_NM_014960|163092_2_1349_1437
+RSSWLTSTKMFLSPWTLTVTEKFTGQHDM
+>NM_021209|163238_2_1675_1781
+MVTCRKWFPFRTLHPLIAACSGTPVGHLWKPPGLL
+>DECOY_NM_021209|163238_2_1675_1781
+LLGPPKWLHGVPTGSCAAILPHLTRFPFWKRCTVM
+>NM_004673|163345_2_1380_1579
+MDQCSYGVKTVWTLGVGLLFRKEQTALSTSSEIGKIIRKGLETLTENTGLDWKISICLAIKIITSY
+>DECOY_NM_004673|163345_2_1380_1579
+YSTIIKIALCISIKWDLGTNETLTELGKRIIKGIESSTSLATQEKRFLLGVGLTWVTKVGYSCQDM
+>NM_004673|163347_2_1716_1825
+MVNNSPHWTEIKICMQETAPTFIKEAGGTMPVHILT
+>DECOY_NM_004673|163347_2_1716_1825
+TLIHVPMTGGAEKIFTPATEQMCIKIETWHPSNNVM
+>NM_004673|163353_3_1834_1946
+MVQRRPLQKQAPRWNFLGRIQRRVILLKSSSDDDQAY
+>DECOY_NM_004673|163353_3_1834_1946
+YAQDDDSSSKLLIVRRQIRGLFNWRPAQKQLPRRQVM
+>NM_018154|163362_2_476_555
+MDRSSSEWATTSTTSTSTLSCVRTRP
+>DECOY_NM_018154|163362_2_476_555
+PRTRVCSLTSTSTTSTTAWESSSRDM
+>NM_019016|163439_2_421_521
+MVVVWEVVLAMGGFSLEGKSKPCRTSMTAWPIT
+>DECOY_NM_019016|163439_2_421_521
+TIPWATMSTRCPKSKGELSFGGMALVVEWVVVM
+>NM_012167|163454_2_1276_1391
+METQLLDGIIFIMDVMLVCSHLIMAWVTLKVAIYTEIG
+>DECOY_NM_012167|163454_2_1276_1391
+GIETYIAVKLTVWAMILHSCVLMVDMIFIIGDLLQTEM
+>NM_012167|163455_2_1312_1391
+MDVMLVCSHLIMAWVTLKVAIYTEIG
+>DECOY_NM_012167|163455_2_1312_1391
+GIETYIAVKLTVWAMILHSCVLMVDM
+>NM_014487|163500_2_548_723
+MVGNVSVHMLVPALLPMLSVLNVNEACGTMEAEYSVVLFAITFSVKMINLSIKPAARF
+>DECOY_NM_014487|163500_2_548_723
+FRAAPKISLNIMKVSFTIAFLVVSYEAEMTGCAENVNLVSLMPLLAPVLMHVSVNGVM
+>NM_014487|163503_2_635_723
+MEAEYSVVLFAITFSVKMINLSIKPAARF
+>DECOY_NM_014487|163503_2_635_723
+FRAAPKISLNIMKVSFTIAFLVVSYEAEM
+>NM_014487|163505_2_953_1125
+MELLGMMLIGRTFHLISMVIPATTMRRRMSMKQRMMKRKKMKAERIQILSHQICLLI
+>DECOY_NM_014487|163505_2_953_1125
+ILLCIQHSLIQIREAKMKKRKMMRQKMSMRRRMTTAPIVMSILHFTRGILMMGLLEM
+>NM_014487|163508_2_1004_1125
+MVIPATTMRRRMSMKQRMMKRKKMKAERIQILSHQICLLI
+>DECOY_NM_014487|163508_2_1004_1125
+ILLCIQHSLIQIREAKMKKRKMMRQKMSMRRRMTTAPIVM
+>NM_198506|163536_2_1427_1518
+MEVSFLQPAQVRKKSWHCWIKQCLRRQMPQ
+>DECOY_NM_198506|163536_2_1427_1518
+QPMQRRLCQKIWCHWSKKRVQAPQLFSVEM
+>NM_001009555|163559_2_2768_2859
+MGKENVFHLIVLKAQDVLLGLNILESRRMS
+>DECOY_NM_001009555|163559_2_2768_2859
+SMRRSELINLGLLVDQAKLVILHFVNEKGM
+>NM_138386|163631_2_1353_1534
+MAGLHLSISITQNIWYLRRLQDFLLRDKIIPLCHNTPFLFQCLTCIIFPSALHPHHHPHL
+>DECOY_NM_138386|163631_2_1353_1534
+LHPHHHPHLASPFIICTLCQFLFPTNHCLPIIKDRLLFDQLRRLYWINQTISISLHLGAM
+>NM_152355|163652_2_600_913
+MERSHIHIHNVGQLSVISPAFKYMKDLSMERNSMIVRNVQASVLLKTFKDTWQHTMEMDLVYVSCVETPLFGLVYFICLEELTLKRNHMNMSSVLQRFLLIVPL
+>DECOY_NM_152355|163652_2_600_913
+LPVILLFRQLVSSMNMHNRKLTLEELCIFYVLGFLPTEVCSVYVLDMEMTHQWTDKFTKLLVSAQVNRVIMSNREMSLDKMYKFAPSIVSLQGVNHIHIHSREM
+>NM_152355|163654_2_684_913
+MERNSMIVRNVQASVLLKTFKDTWQHTMEMDLVYVSCVETPLFGLVYFICLEELTLKRNHMNMSSVLQRFLLIVPL
+>DECOY_NM_152355|163654_2_684_913
+LPVILLFRQLVSSMNMHNRKLTLEELCIFYVLGFLPTEVCSVYVLDMEMTHQWTDKFTKLLVSAQVNRVIMSNREM
+>NM_152355|163656_2_765_913
+MEMDLVYVSCVETPLFGLVYFICLEELTLKRNHMNMSSVLQRFLLIVPL
+>DECOY_NM_152355|163656_2_765_913
+LPVILLFRQLVSSMNMHNRKLTLEELCIFYVLGFLPTEVCSVYVLDMEM
+>NM_152355|163657_2_771_913
+MDLVYVSCVETPLFGLVYFICLEELTLKRNHMNMSSVLQRFLLIVPL
+>DECOY_NM_152355|163657_2_771_913
+LPVILLFRQLVSSMNMHNRKLTLEELCIFYVLGFLPTEVCSVYVLDM
+>NM_152355|163660_2_1107_1258
+MGLINVRYVEKAFFLPVQFEDIKELTLERNRMNVSIVGKHSLIAQVFEDT
+>DECOY_NM_152355|163660_2_1107_1258
+TDEFVQAILSHKGVISVNMRNRELTLEKIDEFQVPLFFAKEVYRVNILGM
+>NM_152355|163661_2_1275_1654
+MDLINARYVGKPLILPVYVEGMKQLILGRNPINVNVGKPLVISITFEIMKLLTLERSHINVNNVEKPSFVALTFKYMKEFTLGRDPINVNNAEKPSGLPITFEYMKRLTLEKSPMNVSSVEKHFLI
+>DECOY_NM_152355|163661_2_1275_1654
+ILFHKEVSSVNMPSKELTLRKMYEFTIPLGSPKEANNVNIPDRGLTFEKMYKFTLAVFSPKEVNNVNIHSRELTLLKMIEFTISIVLPKGVNVNIPNRGLILQKMGEVYVPLILPKGVYRANILDM
+>NM_152355|163665_2_1692_1927
+MDLINVRYVGKALILPVHFEDMKEFTLGRDPISVNYVGKASGLPVTFNYMKGLTLERNPMVVSNVGKHYLISQAFEDT
+>DECOY_NM_152355|163665_2_1692_1927
+TDEFAQSILYHKGVNSVVMPNRELTLGKMYNFTVPLGSAKGVYNVSIPDRGLTFEKMDEFHVPLILAKGVYRVNILDM
+>NM_152355|163668_2_1944_2266
+MDLINVRYVGKALIIPVQCKDMKELTLERNPMNARNVVKPSVIQVTYEYTKEFILERSRINVRNVGNHSIVPVPFINMKGPTVWRNPISVKNVGKHFIVSVPFINMK
+>DECOY_NM_152355|163668_2_1944_2266
+KMNIFPVSVIFHKGVNKVSIPNRWVTPGKMNIFPVPVISHNGVNRVNIRSRELIFEKTYEYTVQIVSPKVVNRANMPNRELTLEKMDKCQVPIILAKGVYRVNILDM
+>NM_001080527|163795_2_1863_1939
+MGPSARQRQETISSSLQTQINGPPP
+>DECOY_NM_001080527|163795_2_1863_1939
+PPPGNIQTQLSSSITEQRQRASPGM
+>NM_001080527|163810_2_6150_6325
+MGFCSSTPRPRTCSPPIPSPRSPAGAAAAPTSTWRWGAWAVAAACCARPPWAIRWMTC
+>DECOY_NM_001080527|163810_2_6150_6325
+CTMWRIAWPPRACCAAAVAWAGWRWTSTPAAAAGAPSRPSPIPPSCTRPRPTSSCFGM
+>NM_001372|163934_2_2556_2743
+MEKGNPFFLWMIGMIEWKNITISSRNLALRSTPLFRKTWVYFQQTQPPISGRLMLTLLTICC
+>DECOY_NM_001372|163934_2_2556_2743
+CCITLLTLMLRGSIPPQTQQFYVWTKRFLPTSRLALNRSSITINKWEIMGIMWLFFPNGKEM
+>NM_001372|163938_2_2976_3064
+MALLTIRSTWTVYQIWQTCGAHSWRESRE
+>DECOY_NM_001372|163938_2_2976_3064
+ERSERWSHAGCTQWIQYVTWTSRITLLAM
+>NM_001372|163941_2_3204_3322
+MASQRTLPSFLSLKCKSTPMKRSMKRCAGWNPSRCLTAG
+>DECOY_NM_001372|163941_2_3204_3322
+GATLCRSPNWGACRKMSRKMPTSKCKLSLFSPLTRQSAM
+>NM_001372|163954_2_6675_6757
+MDCSLPSCGSLPTSPMMGPSGFYWMAT
+>DECOY_NM_001372|163954_2_6675_6757
+TAMWYFGSPGMMPSTPLSGCSPLSCDM
+>NM_001372|163975_2_12543_12631
+MVIISTSMLSCPQNPPTSMASTRTQRLAS
+>DECOY_NM_001372|163975_2_12543_12631
+SALRQTRTSAMSTPPNQPCSLMSTSIIVM
+>NM_001372|163979_3_1348_1517
+MGFPVFFGLCAIGWLPGTTARGGGSSEDGPGFPQTGKGGVQRRQRECSESAGPANA
+>DECOY_NM_001372|163979_3_1348_1517
+ANAPGASESCERQRRQVGGKGTQPFGPGDESSGGGRATTGPLWGIACLGFFVPFGM
+>NM_020242|164087_2_1068_1156
+MENRDMFATETPNLPSYYGIPLEVMPKQP
+>DECOY_NM_020242|164087_2_1068_1156
+PQKPMVELPIGYYSPLNPTETAFMDRNEM
+>NM_020651|164106_2_536_615
+MGLSQMAIEEGGKVGLLCLKDLRQMG
+>DECOY_NM_020651|164106_2_536_615
+GMQRLDKLCLLGVKGGEEIAMQSLGM
+>NM_020651|164108_2_1043_1188
+MGSQKTPSLEYGEKYRCVEMYLAYVKPDRLSREEKWWKLKPISYKMAR
+>DECOY_NM_020651|164108_2_1043_1188
+RAMKYSIPKLKWWKEERSLRDPKVYALYMEVCRYKEGYELSPTKQSGM
+>NM_020651|164112_2_1439_1710
+MEKIVNVLCVGLLVPMFLCGLDVKLDFMWTPALQPMRLARVGMCVQKRQLPIGPRSHFLMVLILFMQPVPFVHISWLVNKATSDLFFKDL
+>DECOY_NM_020651|164112_2_1439_1710
+LDKFFLDSTAKNVLWSIHVFPVPQMFLILVMLFHSRPGIPLQRKQVCMGVRALRMPQLAPTWMFDLKVDLGCLFMPVLLGVCLVNVIKEM
+>NM_020651|164122_3_1215_1336
+MAYCRRPFPHSYREAFRSFKTGNQCSTTSVPCRVQHTSIS
+>DECOY_NM_020651|164122_3_1215_1336
+SISTHQVRCPVSTTSCQNGTKFSRFAERYSHPFPRRCYAM
+>NM_030931|164146_2_157_266
+MVGQCAANKGTAVFQLTDVLIILFSVSRQRLQEFQQ
+>DECOY_NM_030931|164146_2_157_266
+QQFEQLRQRSVSFLIILVDTLQFVATGKNAACQGVM
+>NM_015554|164203_2_667_800
+MAMIGLNSLIAIPKSMHREPPITPMVCLCLLKATMWKSETESSA
+>DECOY_NM_015554|164203_2_667_800
+ASSETESKWMTAKLLCLCVMPTIPPERHMSKPIAILSNLGIMAM
+>NM_015554|164210_2_1243_1352
+MALGPELHGAQLPGTWSLTSGKEWVFQTQKLSSQPK
+>DECOY_NM_015554|164210_2_1243_1352
+KPQSSLKQTQFVWEKGSTLSWTGPLQAGHLEPGLAM
+>NM_015554|164219_3_833_909
+MGTSRLFLSNPDCTVWIKSLQQESN
+>DECOY_NM_015554|164219_3_833_909
+NSEQQLSKIWVTCDPNSLFLRSTGM
+>NM_015554|164220_3_1547_1659
+MVFCHGPRASHFYISQGLSVNKRPYIPQFSFKGNSPL
+>DECOY_NM_015554|164220_3_1547_1659
+LPSNGKFSFQPIYPRKNVSLGQSIYFHSARPGHCFVM
+>NM_139074|164239_2_145_236
+MGDTVASISRNWKHVKKLQSHLVQSQQHLH
+>DECOY_NM_139074|164239_2_145_236
+HLHQQSQVLHSQLKKVHKWNRSISAVTDGM
+>NM_001037498|164278_2_91_251
+MGQRKSAQPEVKGTISPLVGGSHVQRLEVDVKINVMIVNLGFHTVQDLQLIAA
+>DECOY_NM_001037498|164278_2_91_251
+AAILQLDQVTHFGLNVIMVNIKVDVELRQVHSGGVLPSITGKVEPQASKRQGM
+>NM_024331|164297_2_944_1017
+MGARLGSWTLPPGTRYCWLQKTIL
+>DECOY_NM_024331|164297_2_944_1017
+LITKQLWCYRTGPPLTWSGLRAGM
+>NM_018335|164383_2_47_150
+MAAAAAARLLRARAAASHVWPRWAPSSCGRSWSR
+>DECOY_NM_018335|164383_2_47_150
+RSWSRGCSSPAWRPWVHSAAARARLLRAAAAAAM
+>NM_018335|164386_2_1247_1488
+MELFCDQRDTKDLEDAHAQRPLQSPAQLSSSREELLSYLVALLRQGSRGRRQAKPGSRSSSSSVTGRIWWNWLCLSWLRL
+>DECOY_NM_018335|164386_2_1247_1488
+LRLWSLCLWNWWIRGTVSSSSSRSGPKAQRRGRSGQRLLAVLYSLLEERSSSLQAPSQLPRQAHADELDKTDRQDCFLEM
+>NM_181042|164459_2_2656_2753
+MERFFFHRHSAIPQNICIMMWRKRERKNCQKK
+>DECOY_NM_181042|164459_2_2656_2753
+KKQCNKRERKRWMMICINQPIASHRHFFFREM
+>NM_181042|164470_2_3568_3662
+MELHIFMAPSSFTQKKQSMSPQKCSTKKKYF
+>DECOY_NM_181042|164470_2_3568_3662
+FYKKKTSCKQPSMSQKKQTFSSPAMFIHLEM
+>NM_017654|164653_2_1289_1449
+MGPALRTLRKIKLISEHLKQILKHWQSPEKQQKKNSEQKQIKKKERDQSWLNY
+>DECOY_NM_017654|164653_2_1289_1449
+YNLWSQDREKKKIQKQESNKKQQKEPSQWHKLIQKLHESILKIKRLTRLAPGM
+>NM_000081|164763_2_5276_5355
+MASQSMTTPNILIKKFCDVNKSENFL
+>DECOY_NM_000081|164763_2_5276_5355
+LFNESKNVDCFKKILINPTTMSQSAM
+>NM_000081|164776_2_9872_9948
+MAPTIPIAALCFTSWSGCLLSLKCF
+>DECOY_NM_000081|164776_2_9872_9948
+FCKLSLLCGSWSTFCLAAIPITPAM
+>NM_000081|164777_2_10124_10209
+MVNGLITSTFPLGRVMILVFLSSSIGRL
+>DECOY_NM_000081|164777_2_10124_10209
+LRGISSSLFVLIMVRGLPFTSTILGNVM
+>NM_000081|164785_3_10560_10726
+MDKRLEMGGIRGFPQCSSTCGLLQPAPRRKIWLSPGSAHQSNLWFVTEFLSSDDI
+>DECOY_NM_000081|164785_3_10560_10726
+IDDSSLFETVFWLNSQHASGPSLWIKRRPAPQLLGCTSSCQPFGRIGGMELRKDM
+>NM_000081|164786_3_10578_10726
+MGGIRGFPQCSSTCGLLQPAPRRKIWLSPGSAHQSNLWFVTEFLSSDDI
+>DECOY_NM_000081|164786_3_10578_10726
+IDDSSLFETVFWLNSQHASGPSLWIKRRPAPQLLGCTSSCQPFGRIGGM
+>NM_001015885|164825_2_654_796
+MGAKCLRHRVIKLPKCGTSAVTKRYRSHSMMLLLKPSIGSKLQTTAV
+>DECOY_NM_001015885|164825_2_654_796
+VATTQLKSGISPKLLLMMSHSRYRKTVASTGCKPLKIVRHRLCKAGM
+>NM_001015885|164827_2_1341_1450
+METYLHTLPATTGQRDMNFIIPRKKITFSCVMQPKS
+>DECOY_NM_001015885|164827_2_1341_1450
+SKPQMVCSFTIKKRPIIFNMDRQGTTAPLTHLYTEM
+>NM_014798|164849_2_960_1087
+MAPRVQTIARSPCPVTQTWAQQMLRTQTGLCKRYCWNSAKPR
+>DECOY_NM_014798|164849_2_960_1087
+RPKASNWCYRKCLGTQTRLMQQAWTQTVPCPSRAITQVRPAM
+>NM_014798|164851_2_1905_2041
+MGALSWSSLARSWPCAPPPRTKLRTGWTGCGRPCRRSGLSRRMSG
+>DECOY_NM_014798|164851_2_1905_2041
+GSMRRSLGSRRCPRGCGTWGTRLKTRPPPACPWSRALSSWSLAGM
+>NM_014798|164853_2_2556_2731
+MASCCSTWWLSPWRKALTPKAASAQAAPGRSASPLYDPSSVPSLASITVTSATKTMPQ
+>DECOY_NM_014798|164853_2_2556_2731
+QPMTKTASTVTISALSPVSSPDYLPSASRGPAAQASAAKPTLAKRWPSLWWTSCCSAM
+>NM_014798|164857_3_493_572
+MAAAGPERWPDGVLPEAAAAGAGPLA
+>DECOY_NM_014798|164857_3_493_572
+ALPGAGAAAAEPLVGDPWREPGAAAM
+>NM_133460|164918_2_1268_1446
+MVLSLNINEFTLEKDLMSVKNVGNVLLRRAISFNINEVTLVKDLMSVKNVENVLVKRAP
+>DECOY_NM_133460|164918_2_1268_1446
+PARKVLVNEVNKVSMLDKVLTVENINFSIARRLLVNGVNKVSMLDKELTFENINLSLVM
+>NM_005864|165088_2_1647_1765
+MEAPRSRGIQRAGRWRMTQQDTTMSTRAFRWPRSMTMST
+>DECOY_NM_005864|165088_2_1647_1765
+TSMTMSRPWRFARTSMTTDQQTMRWRGARQIGRSRPAEM
+>NM_005830|165103_2_1045_1136
+MVQNFMNIYFWRNTWRAFQNKDQFATSWSW
+>DECOY_NM_005830|165103_2_1045_1136
+WSWSTAFQDKNQFARWTNRWFYINMFNQVM
+>NM_022093|165146_2_315_448
+MGLRSWPWGRPGRNRTSSSGTTSAFRRHRRTASWQAVSRTSWPG
+>DECOY_NM_022093|165146_2_315_448
+GPWSTRSVAQWSATRRHRRFASTTGSSSTRNRGPRGWPWSRLGM
+>NM_022093|165158_2_3798_3877
+MVAGGIRTATWPTLMADMGRPSTVRG
+>DECOY_NM_022093|165158_2_3798_3877
+GRVTSPRGMDAMLTPWTATRIGGAVM
+>NM_001127453|165173_2_680_765
+MGMSPRTPTWCWRSQLPPPLPTVSLSYT
+>DECOY_NM_001127453|165173_2_680_765
+TYSLSVTPLPPPLQSRWCWTPTRPSMGM
+>NM_022140|165405_2_1523_1641
+MAPRVDSTILPVIALSRQSSLTRVAETPPVEVTMILYSL
+>DECOY_NM_022140|165405_2_1523_1641
+LSYLIMTVEVPPTEAVRTLSSQRSLAIVPLITSDVRPAM
+>NM_022140|165409_3_1929_2005
+MEAHSKRTCGSIRIVRRTIKRDSIH
+>DECOY_NM_022140|165409_3_1929_2005
+HISDRKITRRVIRISGCTRKSHAEM
+>NM_152683|165428_2_1073_1230
+MDFPIFQKHLQDKDFLSIKCSQKRLQRKAGHRIQRNWRGWGQLSKAVLTFHF
+>DECOY_NM_152683|165428_2_1073_1230
+FHFTLVAKSLQGWGRWNRQIRHGAKRQLRKQSCKISLFDKDQLHKQFIPFDM
+>NM_152683|165431_2_1604_1725
+MALKEEFGVGTTFSQKNYWFMIFVNIGGVKTLEEPIRVII
+>DECOY_NM_152683|165431_2_1604_1725
+IIVRIPEELTKVGGINVFIMFWYNKQSFTTGVGFEEKLAM
+>NM_001129887|165501_2_1677_1789
+MEWYLKQTTQRLQNDLKVHIQTSPLGQQKNRSFWNKL
+>DECOY_NM_001129887|165501_2_1677_1789
+LKNWFSRNKQQGLPSTQIHVKLDNQLRQTTQKLYWEM
+>NM_001129887|165502_3_1819_1943
+MGKNSRSGAWQDKEGLHETIQGTCRDGKSKESCSRTSAECK
+>DECOY_NM_001129887|165502_3_1819_1943
+KCEASTRSCSEKSKGDRCTGQITEHLGEKDQWAGSRSNKGM
+>NM_013380|165528_2_1070_1179
+MVRTSITVPLLTLMNLSTQVRCPIGTTFMRKPSVIA
+>DECOY_NM_013380|165528_2_1070_1179
+AIVSPKRMFTTGIPCRVQTSLNMLTLLPVTISTRVM
+>NM_013380|165534_2_1322_1452
+MERVSFVVQILTFSIGFIWKRIHIILRSVVMASVWPHIFRTFR
+>DECOY_NM_013380|165534_2_1322_1452
+RFTRFIHPWVSAMVVSRLIIHIRKWIFGISFTLIQVVFSVREM
+>NM_013380|165536_2_1568_1683
+MGMASTGAQNLKIIRESTLDRSHTNAIYAAKVSIIDQF
+>DECOY_NM_013380|165536_2_1568_1683
+FQDIISVKAAYIANTHSRDLTSERIIKLNQAGTSAMGM
+>NM_013380|165537_2_1574_1683
+MASTGAQNLKIIRESTLDRSHTNAIYAAKVSIIDQF
+>DECOY_NM_013380|165537_2_1574_1683
+FQDIISVKAAYIANTHSRDLTSERIIKLNQAGTSAM
+>NM_207366|165647_2_769_860
+MASRYISSQQMKKLLLKRTPQLVGCYPLLW
+>DECOY_NM_207366|165647_2_769_860
+WLLPYCGVLQPTRKLLLKKMQQSSIYRSAM
+>NM_015980|165695_2_203_276
+MASRPSLSSLPWRLITYSCLLQKR
+>DECOY_NM_015980|165695_2_203_276
+RKQLLCSYTILRWPLSSLSPRSAM
+>NM_020923|165875_2_5231_5343
+MVLKENLIWKTLLIERLTDCRKLTKKPVFGRIQEMLA
+>DECOY_NM_020923|165875_2_5231_5343
+ALMEQIRGFVPKKTLKRCDTLREILLTKWILNEKLVM
+>NM_020923|165878_2_6590_6693
+MDLIHIREPVTLLCFWKNQRFCMLVSFQRKEISS
+>DECOY_NM_020923|165878_2_6590_6693
+SSIEKRQFSVLMCFRQNKWFCLLTVPERIHILDM
+>NM_001129981|165896_2_816_889
+MGPLWTSRIGWTAQPCIGPAAGAT
+>DECOY_NM_001129981|165896_2_816_889
+TAGAAPGICPQATWGIRSTWLPGM
+>NM_178148|165915_2_671_795
+MGHPCTGTPLPACPMCLAAGANTKLLSSSASPPRCWPRPLR
+>DECOY_NM_178148|165915_2_671_795
+RLPRPWCRPPSASSSLLKTNAGAALCMPCAPLPTGTCPHGM
+>NM_178148|165921_3_225_343
+MDPAMVLPICGECCWLCQLYGTWLPPGAVLQAEELPGDR
+>DECOY_NM_178148|165921_3_225_343
+RDGPLEEAQLVAGPPLWTGYLQCLWCCEGCIPLVMAPDM
+>NM_178148|165922_3_237_343
+MVLPICGECCWLCQLYGTWLPPGAVLQAEELPGDR
+>DECOY_NM_178148|165922_3_237_343
+RDGPLEEAQLVAGPPLWTGYLQCLWCCEGCIPLVM
+>NM_001039360|166017_2_104_219
+MACCVTCSWWCRSRSIGPTAPSWLPAASTSRSFSQPAP
+>DECOY_NM_001039360|166017_2_104_219
+PAPQSFSRSTSAAPLWSPATPGISRSRCWWSCTVCCAM
+>NM_020657|166099_2_1040_1116
+MDRCFSVAVMKGKPSWTPLLFLTAR
+>DECOY_NM_020657|166099_2_1040_1116
+RATLFLLPTWSPKGKMVAVSFCRDM
+>NM_178544|166140_2_1442_1719
+MAHTLFNIRKFILVKNPTNVKNVVSPLVFMQNLLDIVEFILVRNPMNVENVEKPFVFKRNLLGIIELILVRNPMNVRNVGRPLFVVINLLYI
+>DECOY_NM_178544|166140_2_1442_1719
+IYLLNIVVFLPRGVNRVNMPNRVLILEIIGLLNRKFVFPKEVNEVNMPNRVLIFEVIDLLNQMFVLPSVVNKVNTPNKVLIFKRINFLTHAM
+>NM_194286|166251_2_461_591
+MDPQKSWVSIWPPSPWAPTVGRETRPVGNWVPPEDTVPLMTKT
+>DECOY_NM_194286|166251_2_461_591
+TKTMLPVTDEPPVWNGVPRTERGVTPAWPSPPWISVWSKQPDM
+>NM_152536|166396_2_2289_2383
+MARGKVSPSAGRCPEWSPLKTAPGRPSCPCH
+>DECOY_NM_152536|166396_2_2289_2383
+HCPCSPRGPATKLPSWEPCRGASPSVKGRAM
+>NM_001034842|166429_2_2075_2184
+MVPGLWLLLLKKLTTGIKMLGKNWKTVLKFLKKMSM
+>DECOY_NM_001034842|166429_2_2075_2184
+MSMKKLFKLVTKWNKGLMKIGTTLKKLLLLWLGPVM
+>NM_005815|166448_2_778_920
+MDLINVSCVGKRFFGPVYYICMKERTLERNHMNVSSVLKPFLFTVPI
+>DECOY_NM_005815|166448_2_778_920
+IPVTFLFPKLVSSVNMHNRELTREKMCIYYVPGFFRKGVCSVNILDM
+>NM_005815|166454_2_1282_1433
+MDLINVRYVGKALIVLVHCKVMKELTLERNPMNASSVGKHYLIAQAFEVI
+>DECOY_NM_005815|166454_2_1282_1433
+IVEFAQAILYHKGVSSANMPNRELTLEKMVKCHVLVILAKGVYRVNILDM
+>NM_005815|166456_2_1450_1838
+MDLINARYVGKPLFIPVYFKDMKGLTLQRNPINVNNVAKPTVFPVPFEGMKQLILERNPINANLGKPLLISVPFKITKQLTLERSHMSVRNVGKHSVVSDTFLDIKGLTQERNLMSVKHVGKPSVIMIT
+>DECOY_NM_005815|166456_2_1450_1838
+TIMIVSPKGVHKVSMLNREQTLGKIDLFTDSVVSHKGVNRVSMHSRELTLQKTIKFPVSILLPKGLNANIPNRELILQKMGEFPVPFVTPKAVNNVNIPNRQLTLGKMDKFYVPIFLPKGVYRANILDM
+>NM_033128|166482_2_751_884
+MVGSKLTKTHMVNSMVVTATSYSTPIPEDRLSTRGKEQMPHEMS
+>DECOY_NM_033128|166482_2_751_884
+SMEHPMQEKGRTSLRDEPIPTSYSTATVVMSNVMHTKTLKSGVM
+>NM_033128|166485_2_1021_1139
+MEHQRKEVRHLLPLHASFKSGETWHLSPELWRLMLMQIH
+>DECOY_NM_033128|166485_2_1021_1139
+HIQMLMLRWLEPSLHWTEGSKFSAHLPLLHRVEKRQHEM
+>NM_015229|166541_2_156_235
+MGLTRPAREMRPPARKSLSFRTRAFL
+>DECOY_NM_015229|166541_2_156_235
+LFARTRFSLSKRAPPRMERAPRTLGM
+>NM_015229|166548_2_2016_2116
+MVVLPPWSPSLRILQDRRREVRRRVAAPAAWPR
+>DECOY_NM_015229|166548_2_2016_2116
+RPWAAPAAVRRRVERRRDQLIRLSPSWPPLVVM
+>NM_001010985|166585_2_357_475
+MGSKTPSSSSEKPNVLTQVATNSACSWVGWRPPPPLTSW
+>DECOY_NM_001010985|166585_2_357_475
+WSTLPPPPRWGVWSCASNTAVQTLVNPKESSSSPTKSGM
+>NM_016175|166590_3_598_725
+MEGETRQQPLPPGELCRLQCRGAEGSWEGAMESHPAGYSHIL
+>DECOY_NM_016175|166590_3_598_725
+LIHSYGAPHSEMAGEWSGEAGRCQLRCLEGPPLPQQRTEGEM
+>NM_032499|166595_2_699_793
+MDLSRSWTATGKGASCSKPVSPRTLSPYATA
+>DECOY_NM_032499|166595_2_699_793
+ATAYPSLTRPSVPKSCSAGKGTATWSRSLDM
+>NM_139155|166668_2_1246_1784
+MAVQMRPAWAASWRPWCRLPSTASIGPAAASWSSAATSPPTTASSMTPLILPGPSPQSCLGSTTQWMSSAALTLAVATRPAWHSGPLSPASSCGAAILTTRTSARPRRGPRWMGLSVHPASGASKVTASGSRRSRHMARMEAGAPGPSLGHVRGHVGAGCDPAAGAATTPPQPMEAACA
+>DECOY_NM_139155|166668_2_1246_1784
+ACAAEMPQPPTTAAGAAPDCGAGVHGRVHGLSPGPAGAEMRAMHRSRRSGSATVKSAGSAPHVSLGMWRPGRRPRASTRTTLIAAGCSSAPSLPGSHWAPRTAVALTLAASSMWQTTSGLCSQPSPGPLILPTMSSATTPPSTAASSWSAAAPGISATSPLRCWPRWSAAWAPRMQVAM
+>NM_139155|166674_2_1663_1784
+MEAGAPGPSLGHVRGHVGAGCDPAAGAATTPPQPMEAACA
+>DECOY_NM_139155|166674_2_1663_1784
+ACAAEMPQPPTTAAGAAPDCGAGVHGRVHGLSPGPAGAEM
+>NM_139155|166675_2_2008_2099
+MGHAAATGTHTASVRVASVCLSAVTRRWGP
+>DECOY_NM_139155|166675_2_2008_2099
+PGWRRTVASLCVSAVRVSATHTGTAAAHGM
+>NM_139155|166684_2_3442_3635
+MAEPHSSQELWIQAPQGPSIPLPLRHQSLEHPGASPLPPPGGCLGAGLRHLRQSLRTKGNLEKT
+>DECOY_NM_139155|166684_2_3442_3635
+TKELNGKTRLSQRLHRLGAGLCGGPPPLPSAGPHELSQHRLPLPISPGQPAQIWLEQSSHPEAM
+>NM_173531|166769_2_215_303
+MECVLSREQVDALGLRGVFWCSLILKRGH
+>DECOY_NM_173531|166769_2_215_303
+HGRKLILSCWFVGRLGLADVQERSLVCEM
+>NM_173531|166770_2_602_693
+MENMDMTIYSYKKAVKVWMSVKCTKNMITN
+>DECOY_NM_173531|166770_2_602_693
+NTIMNKTCKVSMWVKVAKKYSYITMDMNEM
+>NM_173531|166771_2_611_693
+MDMTIYSYKKAVKVWMSVKCTKNMITN
+>DECOY_NM_173531|166771_2_611_693
+NTIMNKTCKVSMWVKVAKKYSYITMDM
+>NM_014957|166821_2_558_676
+MAKRTGSVLAASCPSRCAWSPGFPITTPSRTAFPVYWLF
+>DECOY_NM_014957|166821_2_558_676
+FLWYVPFATRSPTTIPFGPSWACRSPCSAALVSGTRKAM
+>NM_014957|166822_2_1146_1297
+MGASPTPSPRTITWTFLMSPSWQPRRLFRGCRASSSTMSCTPPTSSPAQT
+>DECOY_NM_014957|166822_2_1146_1297
+TQAPSSTPPTCSMTSSSARCGRFLRRPQWSPSMLFTWTITRPSPTPSAGM
+>NM_014957|166832_3_3028_3101
+MVCSERRQGDRVQCFFMDHPPALL
+>DECOY_NM_014957|166832_3_3028_3101
+LLAPPHDMFFCQVRDGQRRESCVM
+>NM_144715|166860_2_1763_1836
+MELVISSIIDFRMNIFEARIDSEP
+>DECOY_NM_144715|166860_2_1763_1836
+PESDIRAEFINMRFDIISSIVLEM
+>NM_144715|166864_2_2036_2148
+MASLTIWNSQIFLTGKTKCFLKSMKRGSLLKVENQIV
+>DECOY_NM_144715|166864_2_2036_2148
+VIQNEVKLLSGRKMSKLFCKTKGTLFIQSNWITLSAM
+>NM_144715|166865_2_2423_2649
+MVKKVVHIHYYILPFLPGKECLKETSSRPDQKKRLQRYCVTLVSNCLMKNLKMYGILHQKSITEEKFVLRTSEMF
+>DECOY_NM_144715|166865_2_2423_2649
+FMESTRLVFKEETISKQHLIGYMKLNKMLCNSVLTVCYRQLRKKQDPRSSTEKLCEKGPLFPLIYYHIHVVKKVM
+>NM_007187|166885_2_639_763
+MVLPITIIQKQENPDGRNLMISFHTLVICLLVRSMKIHLAP
+>DECOY_NM_007187|166885_2_639_763
+PALHIKMSRVLLCIVLTHFSIMLNRGDPNEQKQIITIPLVM
+>NM_001129907|166909_3_208_317
+MDDCPSCVWSVGNLWNSYWSPCSFSGSSKQDLLLPR
+>DECOY_NM_001129907|166909_3_208_317
+RPLLLDQKSSGSFSCPSWYSNWLNGVSWVCSPCDDM
+>NM_031413|166987_2_697_857
+MGPKGQAKVLGGSCARQKRNGDRSPRVFARGPPFENGSSTSSSVRTSCLRSAT
+>DECOY_NM_031413|166987_2_697_857
+TASRLCSTRVSSSTSSGNEFPPGRAFVRPSRDGNRKQRACSGGLVKAQGKPGM
+>NM_031413|166989_2_1627_1934
+METQMKNFGFERMKSGRKDGVGLGEVVGAMFGPAPGTQKGPAGNSSPWRMEESRCPPHAERPLLGTIRAAAPHSPRGRWALPMAEVFLIPCIVVGHPARHPF
+>DECOY_NM_031413|166989_2_1627_1934
+FPHRAPHGVVICPILFVEAMPLAWRGRPSHPAAARITGLLPREAHPPCRSEEMRWPSSNGAPGKQTGPAPGFMAGVVEGLGVGDKRGSKMREFGFNKMQTEM
+>NM_031413|166993_2_1774_1934
+MEESRCPPHAERPLLGTIRAAAPHSPRGRWALPMAEVFLIPCIVVGHPARHPF
+>DECOY_NM_031413|166993_2_1774_1934
+FPHRAPHGVVICPILFVEAMPLAWRGRPSHPAAARITGLLPREAHPPCRSEEM
+>NM_031413|166995_2_2218_2357
+MEACMLQLSSSQDSFLPGMGGLQPGHQTFLKAQKFLPAICIDRTST
+>DECOY_NM_031413|166995_2_2218_2357
+TSTRDICIAPLFKQAKLFTQHGPQLGGMGPLFSDQSSSLQLMCAEM
+>NM_031413|166997_2_2272_2357
+MGGLQPGHQTFLKAQKFLPAICIDRTST
+>DECOY_NM_031413|166997_2_2272_2357
+TSTRDICIAPLFKQAKLFTQHGPQLGGM
+>NM_031413|166998_2_2383_2483
+MGTMVLRTKDPWAQMRSPTWGQDPLTSLALSVT
+>DECOY_NM_031413|166998_2_2383_2483
+TVSLALSTLPDQGWTPSRMQAWPDKTRLVMTGM
+>NM_031413|166999_2_2392_2483
+MVLRTKDPWAQMRSPTWGQDPLTSLALSVT
+>DECOY_NM_031413|166999_2_2392_2483
+TVSLALSTLPDQGWTPSRMQAWPDKTRLVM
+>NM_031413|167006_3_755_828
+METGHREFSREDLPSRTAALQAPQ
+>DECOY_NM_031413|167006_3_755_828
+QPAQLAATRSPLDERSFERHGTEM
+>NM_031413|167008_3_1205_1290
+MAAGSRKGAPSRTFPSGPQFPHERGKKD
+>DECOY_NM_031413|167008_3_1205_1290
+DKKGREHPFQPGSPFTRSPAGKRSGAAM
+>NM_001129820|167082_2_249_346
+MGWDRIWKLLFKSSFLQVHRNTLTTCSRGTIS
+>DECOY_NM_001129820|167082_2_249_346
+SITGRSCTTLTNRHVQLFSSKFLLKWIRDWGM
+>NM_001129820|167085_2_2115_2242
+MGFSGFFLTLFKSITQMSMAFPLHLLSFLEKQSPVGSTVLWK
+>DECOY_NM_001129820|167085_2_2115_2242
+KWLVTSGVPSQKELFSLLHLPFAMSMQTISKFLTLFFGSFGM
+>NM_001129820|167089_3_361_458
+MEPRCFQPSTKDLQLALQFVSERCDFCYQLEC
+>DECOY_NM_001129820|167089_3_361_458
+CELQYCFDCRESVFQLALQLDKTSPQFCRPEM
+>NM_182608|167127_2_566_651
+MGGQASWSHATTASRVLWPCSATVLSLM
+>DECOY_NM_182608|167127_2_566_651
+MLSLVTASCPWLVRSATTAHSWSAQGGM
+>NM_182608|167128_3_864_943
+MGSADRQLRHRVEDSAAAEAAPSGAA
+>DECOY_NM_182608|167128_3_864_943
+AAGSPAAEAAASDEVRHRLQRDASGM
+>NM_181724|167260_2_827_939
+MGSQWRHQRRRRSRAQGSLRGLWWPVRAKGSWKGLSC
+>DECOY_NM_181724|167260_2_827_939
+CSLGKWSGKARVPWWLGRLSGQARSRRRRQHRWQSGM
+>NM_000635|167292_3_2113_2327
+MVLLQLHGDPGPDPAQRCQLRLLPPHPPALRRVHVLPGGAPRRGGHRRDADRCDGRVQRSRLSVADAARQR
+>DECOY_NM_000635|167292_3_2113_2327
+RQRAADAVSLRSRQVRGDCRDADRRHGGRRPAGGPLVHVRRLAPPHPPLLRLQCRQAPDPGPDGHLQLLVM
+>NM_173828|167351_2_1808_1971
+MDCTNTVMAPPQTGAGALVGDRTQGVVRGLGEGSPRQGCLPWRGCPLRGHSPRS
+>DECOY_NM_173828|167351_2_1808_1971
+SRPSHGRLPCGRWPLCGQRPSGEGLGRVVGQTRDGVLAGAGTQPPAMVTNTCDM
+>NM_173828|167352_2_1829_1971
+MAPPQTGAGALVGDRTQGVVRGLGEGSPRQGCLPWRGCPLRGHSPRS
+>DECOY_NM_173828|167352_2_1829_1971
+SRPSHGRLPCGRWPLCGQRPSGEGLGRVVGQTRDGVLAGAGTQPPAM
+>NM_021200|167380_3_784_905
+MEDSTAGGKLHPGPSWSHRPSQEPPGLLQGQVCDPLVEPL
+>DECOY_NM_021200|167380_3_784_905
+LPEVLPDCVQGQLLGPPEQSPRHSWSPGPHLKGGATSDEM
+>NM_152695|167570_3_650_744
+MDPTGRATGAELWCYWRMSELSGPWISITKT
+>DECOY_NM_152695|167570_3_650_744
+TKTISIWPGSLESMRWYCWLEAGTARGTPDM
+>NM_001099408|167638_2_945_1069
+MASSPCGRTAGINGVAAGWSAWPSSSATLSWTGCGWRRCCV
+>DECOY_NM_001099408|167638_2_945_1069
+VCCRRWGCGTWSLTASSSPWASWGAAVGNIGATRGCPSSAM
+>NM_001077624|167821_2_1261_1601
+MEEFTVERSPMSVKNVVKLSLNPQDLNYTSELTVEKNHINVKSVGKPSPILHTLLIIQESTVERSPMYVWNVEKPSLDPQDLFYTCEFTLEKSHMNVRSVEKLLFIPHTLQNM
+>DECOY_NM_001077624|167821_2_1261_1601
+MNQLTHPIFLLKEVSRVNMHSKELTFECTYFLDQPDLSPKEVNWVYMPSREVTSEQIILLTHLIPSPKGVSKVNIHNKEVTLESTYNLDQPNLSLKVVNKVSMPSREVTFEEM
+>NM_173678|167842_2_694_977
+MGRMSGRTSSSSWRGSQSWTSGQGESPHSCLYMEPWPSLWGWMPHSTASWRLLTMAGAGWSWLPTSACSVLPRWGPSCSMRCAGWPEARQAKLG
+>DECOY_NM_173678|167842_2_694_977
+GLKAQRAEPWGACRMSCSPGWRPLVSCASTPLWSWGAGAMTLLRWSATSHPMWGWLSPWPEMYLCSHPSEGQGSTWSQSGRWSSSSTRGSMRGM
+>NM_173678|167846_2_856_977
+MAGAGWSWLPTSACSVLPRWGPSCSMRCAGWPEARQAKLG
+>DECOY_NM_173678|167846_2_856_977
+GLKAQRAEPWGACRMSCSPGWRPLVSCASTPLWSWGAGAM
+>NM_173678|167849_2_2365_2474
+MDGSSPHTLLRPPVTFGQSTCMKQSWGSPGLRPTRL
+>DECOY_NM_173678|167849_2_2365_2474
+LRTPRLGPSGWSQKMCTSQGFTVPPRLLTHPSSGDM
+>NM_013252|167910_2_303_541
+MVSPPPGAMEQSHRFLGAVPQVPTASLPQGAMEQSAPKTGNFIKQDVFSYPLLNHLGMKAGTFAKEKDPHWQLSTRQRN
+>DECOY_NM_013252|167910_2_303_541
+NRQRTSLQWHPDKEKAFTGAKMGLHNLLPYSFVDQKIFNGTKPASQEMAGQPLSATPVQPVAGLFRHSQEMAGPPPSVM
+>NM_013252|167911_2_327_541
+MEQSHRFLGAVPQVPTASLPQGAMEQSAPKTGNFIKQDVFSYPLLNHLGMKAGTFAKEKDPHWQLSTRQRN
+>DECOY_NM_013252|167911_2_327_541
+NRQRTSLQWHPDKEKAFTGAKMGLHNLLPYSFVDQKIFNGTKPASQEMAGQPLSATPVQPVAGLFRHSQEM
+>NM_013252|167912_2_396_541
+MEQSAPKTGNFIKQDVFSYPLLNHLGMKAGTFAKEKDPHWQLSTRQRN
+>DECOY_NM_013252|167912_2_396_541
+NRQRTSLQWHPDKEKAFTGAKMGLHNLLPYSFVDQKIFNGTKPASQEM
+>NM_018058|167933_2_522_604
+MVWQLLMWTMMGTLRSSWRGTMDPTWF
+>DECOY_NM_018058|167933_2_522_604
+FWTPDMTGRWSSRLTGMMTWMLLQWVM
+>NM_018058|167941_2_1140_1324
+MGLTSFSTTGAMAPLWTLRPVLVWTTPTSMGEVSPWLTSTVMAKWTSSMATGMAPTASICK
+>DECOY_NM_018058|167941_2_1140_1324
+KCISATPAMGTAMSSTWKAMVTSTLWPSVEGMSTPTTWVLVPRLTWLPAMAGTTSFSTLGM
+>NM_018058|167942_2_1173_1324
+MAPLWTLRPVLVWTTPTSMGEVSPWLTSTVMAKWTSSMATGMAPTASICK
+>DECOY_NM_018058|167942_2_1173_1324
+KCISATPAMGTAMSSTWKAMVTSTLWPSVEGMSTPTTWVLVPRLTWLPAM
+>NM_018058|167943_2_1227_1324
+MGEVSPWLTSTVMAKWTSSMATGMAPTASICK
+>DECOY_NM_018058|167943_2_1227_1324
+KCISATPAMGTAMSSTWKAMVTSTLWPSVEGM
+>NM_018058|167948_2_2016_2284
+MAIAWTPMNASSSHSCALETSPYVSTPMEATGAGPTRSAVGATSPTRMAQPAWGLSASHRAPAPPPPPLLLPLPLLLPLLELPLLHRSS
+>DECOY_NM_018058|167948_2_2016_2284
+SSRHLLPLELLPLLLPLPLLLPPPPPAPARHSASLGWAPQAMRTPSTAGVASRTPGAGTAEMPTSVYPSTELACSHSSSANMPTWAIAM
+>NM_018058|167951_2_2157_2284
+MAQPAWGLSASHRAPAPPPPPLLLPLPLLLPLLELPLLHRSS
+>DECOY_NM_018058|167951_2_2157_2284
+SSRHLLPLELLPLLLPLPLLLPPPPPAPARHSASLGWAPQAM
+>NM_052850|168005_3_248_468
+MAVAGAAAGAGGRRTRMVPEPGDHAGVAAGEAAGRRAEASGEGAAHRRVHGQDATDDCELAAAAAGELGEGPG
+>DECOY_NM_052850|168005_3_248_468
+GPGEGLEGAAAAALECDDTADQGHVRRHAAGEGSAEARRGAAEGAAVGAHDGPEPVMRTRRGGAGAAAGAVAM
+>NM_016531|168031_2_432_529
+MGSSTPHHCLRSSFRPQKVCRTEYRWSQWTSR
+>DECOY_NM_016531|168031_2_432_529
+RSTWQSWRYETRCVKQPRFSSRLCHHPTSSGM
+>NM_016531|168032_2_732_883
+MEYGARGSCPSSSRWWCSPSPLCTQVTSSSLSWSPYRRRWKIPVVACKYL
+>DECOY_NM_016531|168032_2_732_883
+LYKCAVVPIKWRRRYPSWSLSSSTVQTCLPSPSCWWRSSSPCSGRAGYEM
+>NM_015550|168111_3_1037_1194
+MVTVFRGHGKMLQRPGALSCLPGRNEPAPAKHGRPASDILGTSYQRHPGWIF
+>DECOY_NM_015550|168111_3_1037_1194
+FIWGPHRQYSTGLIDSAPRGHKAPAPENRGPLCSLAGPRQLMKGHGRFVTVM
+>NM_015550|168114_3_2360_2448
+MEKQILGQIHGNCSNWHNPCDSASFWGSF
+>DECOY_NM_015550|168114_3_2360_2448
+FSGWFSASDCPNHWNSCNGHIQGLIQKEM
+>NM_002239|168171_2_631_797
+MATDTSQTSAPRASSSSSSSPSWAPSWTPSSSAACSSRCPSPRSAPRPSCSASTR
+>DECOY_NM_002239|168171_2_631_797
+RTSASCSPRPASRPSPCRSSCAASSSPTWSPAWSPSSSSSSSARPASTQSTDTAM
+>NM_032313|168203_2_148_491
+MASGSRSWRGGALPPPPSSTHRVWDASFLMTPWTRRALEKVVTCRSVFCSRSTSWIRSRNPPAKSSCRSSSNSRRRRSDRGSSGGRSGDSKTYGPGPGSTRSWGTRTRHCRPAA
+>DECOY_NM_032313|168203_2_148_491
+AAPRCHRTRTGWSRTSGPGPGYTKSDGSRGGSSGRDSRRRRSNSSSRCSSKAPPNRSRIWSTSRSCFVSRCTVVKELARRTWPTMLFSADWVRHTSSPPPPLAGGRWSRSGSAM
+>NM_022556|168212_3_349_533
+MAGARAAPQERLRQQPGVWRLGQQRLSPPEGPRGRHPNADVEAGRWQPPDWADLQSVLQQV
+>DECOY_NM_022556|168212_3_349_533
+VQQLVSQLDAWDPPQWRGAEVDANPHRGRPGEPPSLRQQGLRWVGPQQRLREQPAARAGAM
+>NM_022557|168217_3_394_602
+MAGARAAPQERLRQQPGVWRLGQQRLSPPEGPRGRHPNADVGEGGTRDPQSWGPTGFQGLGRETLLPSF
+>DECOY_NM_022557|168217_3_394_602
+FSPLLTERGLGQFGTPGWSQPDRTGGEGVDANPHRGRPGEPPSLRQQGLRWVGPQQRLREQPAARAGAM
+>NM_022558|168223_3_394_710
+MAGARAAPQERLRQQPGVWRLGQQRLSPPEGPRGRHPNADRLEDGSPRTGQIFNQSYSKFDTKSHNDDALLKNYGLLYCFRKDMDKVETFLRIVQCRSVEGSCGF
+>DECOY_NM_022558|168223_3_394_710
+FGCSGEVSRCQVIRLFTEVKDMDKRFCYLLGYNKLLADDNHSKTDFKSYSQNFIQGTRPSGDELRDANPHRGRPGEPPSLRQQGLRWVGPQQRLREQPAARAGAM
+>NM_021188|168289_2_759_979
+MEKMSTLVLVMGSQKILGPFLKWKRSSSVQLKVVTGHLYGQLTLNTTSRLIEMTAPSSVLQKVVGKASMCCRG
+>DECOY_NM_021188|168289_2_759_979
+GRCCMSAKGVVKQLVSSPATMEILRSTTNLTLQGYLHGTVVKLQVSSSRKWKLFPGLIKQSGMVLVLTSMKEM
+>NM_021188|168291_2_789_979
+MGSQKILGPFLKWKRSSSVQLKVVTGHLYGQLTLNTTSRLIEMTAPSSVLQKVVGKASMCCRG
+>DECOY_NM_021188|168291_2_789_979
+GRCCMSAKGVVKQLVSSPATMEILRSTTNLTLQGYLHGTVVKLQVSSSRKWKLFPGLIKQSGM
+>NM_001020658|168416_2_378_496
+MVSSLGEEEVEEAAIIIANIDGLLGITFMQNIRCVPWMN
+>DECOY_NM_001020658|168416_2_378_496
+NMWPVCRINQMFTIGLLGDINAIIIAAEEVEEEGLSSVM
+>NM_001020658|168419_2_594_712
+MDQKEYSWVINGETVPGEHQIIQFPSQSWCREDLVRVSM
+>DECOY_NM_001020658|168419_2_594_712
+MSVRVLDERCWSQSPFQIIQHEGPVTEGNIVWSYEKQDM
+>NM_001020658|168421_2_969_1078
+MGLMQTSKILAVPLVIARTLLMKWIFWVQTRMVLRA
+>DECOY_NM_001020658|168421_2_969_1078
+ARLVMRTQVWFIWKMLLTRAIVLPVALIKSTQMLGM
+>NM_001020658|168425_2_1494_1651
+MELLPGESTLPVFSSSKLPLPLQQLIQLINRPPHRLSKDSSRFSVEEPANVL
+>DECOY_NM_001020658|168425_2_1494_1651
+LVNAPEEVSFRSSDKSLRHPPRNILQILQQLPLPLKSSSFVPLTSEGPLLEM
+>NM_001020658|168427_2_2568_2671
+MECLMSCLLAGAGFWKIFETTGTPIYNCGRLLDI
+>DECOY_NM_001020658|168427_2_2568_2671
+IDLLRGCNYIPTGTTEFIKWFGAGALLCSMLCEM
+>NM_001020658|168431_2_3024_3145
+MAITWFRNALNVYSPSLCNLSSMRLRDRYLPYPHILMAAE
+>DECOY_NM_001020658|168431_2_3024_3145
+EAAMLIHPYPLYRDRLRMSSLNCLSPSYVNLANRFWTIAM
+>NM_145320|168535_3_1037_1230
+MVTVFRGHGKMLQRPGALSCLPGRNEPAPAKHGRPASDILGTSYQRHPGPETFFWPSKTTLLQS
+>DECOY_NM_145320|168535_3_1037_1230
+SQLLTTKSPWFFTEPGPHRQYSTGLIDSAPRGHKAPAPENRGPLCSLAGPRQLMKGHGRFVTVM
+>NM_173568|168583_3_2549_2685
+MGGGSCYGLHLPAHSDFHVEPCCGPRDLEHECDTVGAGAWGLAPG
+>DECOY_NM_173568|168583_3_2549_2685
+GPALGWAGAGVTDCEHELDRPGCCPEVHFDSHAPLHLGYCSGGGM
+>NM_001085420|168751_3_1114_1250
+MAAESPSAKQFPANSQSPSWSRIFKPVRPDNYTPAGGAAWNDTWY
+>DECOY_NM_001085420|168751_3_1114_1250
+YWTDNWAAGGAPTYNDPRVPKFIRSWSPSQSNAPFQKASPSEAAM
+>NM_182828|168757_2_919_1184
+MVARTRSPASQTRRPKTNRQPKQARASCSTCPALTTQTRWWVPSCACCAGDLQSRAQAAGLLRRCCCCPRARAPPERHACCTRGQLSP
+>DECOY_NM_182828|168757_2_919_1184
+PSLQGRTCCAHREPPARARPCCCCRRLLGAAQARSQLDGACCACSPVWWRTQTTLAPCTSCSARAQKPQRNTKPRRTQSAPSRTRAVM
+>NM_002214|168784_2_2374_2753
+MEICVLGMESVKQADANASVAGKVIDASALQQQPSTVSIQRAKCAVEEARVCVEGVSAPIPGASAASVNTAPPVIQPARKTGIVCNAFTLTICLRLYLISAKPHVLSWNNSIMSTKLQNVSPAQAT
+>DECOY_NM_002214|168784_2_2374_2753
+TAQAPSVNQLKTSMISNNWSLVHPKASILYLRLCITLTFANCVIGTKRAPQIVPPATNVSAASAGPIPASVGEVCVRAEEVACKARQISVTSPQQQLASADIVKGAVSANADAQKVSEMGLVCIEM
+>NM_002214|168785_2_2395_2753
+MESVKQADANASVAGKVIDASALQQQPSTVSIQRAKCAVEEARVCVEGVSAPIPGASAASVNTAPPVIQPARKTGIVCNAFTLTICLRLYLISAKPHVLSWNNSIMSTKLQNVSPAQAT
+>DECOY_NM_002214|168785_2_2395_2753
+TAQAPSVNQLKTSMISNNWSLVHPKASILYLRLCITLTFANCVIGTKRAPQIVPPATNVSAASAGPIPASVGEVCVRAEEVACKARQISVTSPQQQLASADIVKGAVSANADAQKVSEM
+>NM_014633|168872_2_3078_3160
+MAPNQKNDVHQKQRRKRLPSQNVCLHQ
+>DECOY_NM_014633|168872_2_3078_3160
+QHLCVNQSPLRKRRQKQHVDNKQNPAM
+>NM_003730|168887_2_759_862
+MGPAPPRWMRSTPRRSTLAEAWNSTGSWTSTVCF
+>DECOY_NM_003730|168887_2_759_862
+FCVTSTWSGTSNWAEALTSRRPTSRMWRPPAPGM
+>NM_001620|169208_3_2168_2340
+MEPENAQDENAHVQHSRSQRGRSRCSYDSTQRRYQYFRAQGQCGSPRCQLGGSGGKT
+>DECOY_NM_001620|169208_3_2168_2340
+TKGGSGGLQCRPSGCQGQARFYQYRRQTSDYSCRSRGRQSRSHQVHANEDQANEPEM
+>NM_018416|169230_2_2199_2347
+MEATTLISTIPTPTLPSSHHLHSHRHKARLPSTTLALPFLLTGALILTL
+>DECOY_NM_018416|169230_2_2199_2347
+LTLILAGTLLFPLALTTSPLRAKHRHSHLHHSSPLTPTPITSILTTAEM
+>NM_020850|169261_2_354_436
+MAKITKMRPQCVPPTPYLLPVAFITLK
+>DECOY_NM_020850|169261_2_354_436
+KLTIFAVPLLYPTPPVCQPRMKTIKAM
+>NM_020850|169263_2_543_619
+MVMMGIRSAPRGLASPMVPHSPQET
+>DECOY_NM_020850|169263_2_543_619
+TEQPSHPVMPSALGRPASRIGMMVM
+>NM_020850|169264_2_1230_1516
+MAPVVPTCTTQEQTVPAVAMASRPPRANRTTVNTLHPAPHPRPPPPPRPLPHPPSITPSPTQQTPPSPSTTAVPVTRRPATVRWRWRQSTTPTVC
+>DECOY_NM_020850|169264_2_1230_1516
+CVTPTTSQRWRWRVTAPRRTVPVATTSPSPPTQQTPSPTISPPHPLPRPPPPPRPHPAPHLTNVTTRNARPPRSAMAVAPVTQEQTTCTPVVPAM
+>NM_020850|169265_2_1287_1516
+MASRPPRANRTTVNTLHPAPHPRPPPPPRPLPHPPSITPSPTQQTPPSPSTTAVPVTRRPATVRWRWRQSTTPTVC
+>DECOY_NM_020850|169265_2_1287_1516
+CVTPTTSQRWRWRVTAPRRTVPVATTSPSPPTQQTPSPTISPPHPLPRPPPPPRPHPAPHLTNVTTRNARPPRSAM
+>NM_181719|169316_3_799_1031
+MEALSPDRPGDCRRRNGDRCDWRSSCTPCCRWSSDDYWQRRGSGSGLSSRHSHHDLAVWCSWSWPDRIQDEEASGSH
+>DECOY_NM_181719|169316_3_799_1031
+HSGSAEEDQIRDPWSWSCWVALDHHSHRSSLGSGSGRRQWYDDSSWRCCPTCSSRWDCRDGNRRRCDGPRDPSLAEM
+>NM_145018|169336_2_1817_1890
+MEEICQNIFYRILTCQLCLHLQKI
+>DECOY_NM_145018|169336_2_1817_1890
+IKQLHLCLQCTLIRYFINQCIEEM
+>NM_138455|169451_2_253_380
+MECAYKGQQECLVETGALGPMAFRVHLGSQVGMDSKEKRGNV
+>DECOY_NM_138455|169451_2_253_380
+VNGRKEKSDMGVQSGLHVRFAMPGLAGTEVLCEQQGKYACEM
+>NM_175741|169479_2_1662_1786
+MGMGGFGPHLGFRGLGAPLALERFLLQENGQEKCMVGRSKP
+>DECOY_NM_175741|169479_2_1662_1786
+PKSRGVMCKEQGNEQLLFRELALPAGLGRFGLHPGFGGMGM
+>NM_175741|169480_2_1668_1786
+MGGFGPHLGFRGLGAPLALERFLLQENGQEKCMVGRSKP
+>DECOY_NM_175741|169480_2_1668_1786
+PKSRGVMCKEQGNEQLLFRELALPAGLGRFGLHPGFGGM
+>NM_175741|169481_2_1812_1924
+MGTLCHPPAAGTCSQNLQLHRELRDPWVWRGEGLGRL
+>DECOY_NM_175741|169481_2_1812_1924
+LRGLGEGRWVWPDRLERHLQLNQSCTGAAPPHCLTGM
+>NM_175741|169489_3_1282_1400
+MAGGDSLGHWGVRWKTRGRRAAAGGGRDVSRSRSPELHQ
+>DECOY_NM_175741|169489_3_1282_1400
+QHLEPSRSRSVDRGGGAAARRGRTKWRVGWHGLSDGGAM
+>NM_145036|169619_2_754_863
+MDKILPQRFVKFIRKNLLFLWMIVTLKLALIVGILG
+>DECOY_NM_145036|169619_2_754_863
+GLIGVILALKLTVIMWLFLLNKRIFKVFRQPLIKDM
+>NM_015271|169642_2_104_228
+MERSSSVQGQRQPAPHVSGLGWPVKAPTSQVLWCARLTSSF
+>DECOY_NM_015271|169642_2_104_228
+FSSTLRACWLVQSTPAKVPWGLGSVHPAPQRQGQVSSSREM
+>NM_015271|169644_2_854_984
+MASNTKSSSRSWILCSRGRRALRAAATSQRRPSTMARRPRSYW
+>DECOY_NM_015271|169644_2_854_984
+WYSRPRRAMTSPRRQSTAAARLARRGRSCLIWSRSSSKTNSAM
+>NM_015271|169646_2_1712_1806
+MASSKVVLAYGDALRGSCSGPQEWLYIPVGT
+>DECOY_NM_015271|169646_2_1712_1806
+TGVPIYLWEQPGSCSGRLADGYALVVKSSAM
+>NM_152784|169723_2_2111_2244
+MAFMSSTFRSWIRTTATVNWRPSLASTCMEHSPCSWSLLESSSY
+>DECOY_NM_152784|169723_2_2111_2244
+YSSSELLSWSCPSHEMCTSALSPRWNVTATTRIWSRFTSSMFAM
+>NM_052909|169896_2_684_757
+MAEQWCRSAPGACSGPGNTRPVLS
+>DECOY_NM_052909|169896_2_684_757
+SLVPRTNGPGSCAGPASRCWQEAM
+>NM_052909|169898_2_1065_1159
+MVTGSASVRGWNTSLQTVKKPSFSYRIHSAP
+>DECOY_NM_052909|169898_2_1065_1159
+PASHIRYSFSPKKVTQLSTNWGRVSASGTVM
+>NM_173570|169985_2_393_562
+MGKRTTWLLVCVIVKIWMKGVIDGLHVNLYSQRLVKESWIQFLIASEFLGLGEPKK
+>DECOY_NM_173570|169985_2_393_562
+KKPEGLGLFESAILFQIWSEKVLRQSYLNVHLGDIVGKMWIKVIVCVLLWTTRKGM
+>NM_147152|170040_2_3298_3437
+MESGGQEVLEIEVEFFHQTMSNQRIKRVLGVLASLEHQIKNLRLLR
+>DECOY_NM_147152|170040_2_3298_3437
+RLLRLNKIQHELSALVGLVRKIRQNSMTQHFFEVEIELVEQGGSEM
+>NM_147152|170044_3_443_744
+MGFIRPKQGWEDGSARVLHSYETHQTEASRPTVACGSPSYYEATPYVFSINFCSFWNGKHAQSVHSSAIASSCTYNIIVFCDFRDQPSSLNDAHSPSAFC
+>DECOY_NM_147152|170044_3_443_744
+CFASPSHADNLSSPQDRFDCFVIINYTCSSAIASSHVSQAHKGNWFSCFNISFVYPTAEYYSPSGCAVTPRSAETQHTEYSHLVRASGDEWGQKPRIFGM
+>NM_147152|170045_3_2873_3000
+MAEKISLHSNCVPWICITYSWTGTSGRKLKSTGPLFLDCKER
+>DECOY_NM_147152|170045_3_2873_3000
+REKCDLFLPGTSKLKRGSTGTWSYTICIWPVCNSHLSIKEAM
+>NM_019057|170115_2_2475_2587
+MGSSVTAATVSSSATMRHPLPRRVGTIPRSGRSSARG
+>DECOY_NM_019057|170115_2_2475_2587
+GRASSRGSRPITGVRRPLPHRMTASSSVTAATVSSGM
+>NM_019057|170118_2_2988_3100
+MASLRRGLLSLSLRVYPPQTSVAPQSSSLTSTLTCPL
+>DECOY_NM_019057|170118_2_2988_3100
+LPCTLTSTLSSSQPAVSTQPPYVRLSLSLLGRRLSAM
+>NM_019057|170122_3_3157_3365
+MGRNERPPPTMDRGEGAPSRYRPRERAREETQHRAQPIPGDLGQDTQAGLPQLRGAKLKGSSQLQAGAA
+>DECOY_NM_019057|170122_3_3157_3365
+AAGAQLQSSGKLKAGRLQPLGAQTDQGLDGPIPQARHQTEERARERPRYRSPAGEGRDMTPPPRENRGM
+>NM_019057|170123_3_3187_3365
+MDRGEGAPSRYRPRERAREETQHRAQPIPGDLGQDTQAGLPQLRGAKLKGSSQLQAGAA
+>DECOY_NM_019057|170123_3_3187_3365
+AAGAQLQSSGKLKAGRLQPLGAQTDQGLDGPIPQARHQTEERARERPRYRSPAGEGRDM
+>NM_017948|170151_2_1862_1983
+MDQKPPPNASLTEAPRAPRLPLASAEADSVFVLRRLWLPC
+>DECOY_NM_017948|170151_2_1862_1983
+CPLWLRRLVFVSDAEASALPLRPARPAETLSANPPPKQDM
+>NM_017948|170165_3_564_649
+MERWNITNSTSKRKLSAQIGPRERSSKS
+>DECOY_NM_017948|170165_3_564_649
+SKSSRERPGIQASLKRKSTSNTINWREM
+>NM_012155|170237_2_1785_1897
+MGSCWLQLMTLAKFTCLATPAVSLEPSATSTVDTAAM
+>DECOY_NM_012155|170237_2_1785_1897
+MAATDVTSTASPELSVAPTALCTFKALTMLQLWCSGM
+>NM_031213|170264_3_777_1264
+MGGQAGRLQQPSAWWLPAGQELGHRGCPGLRVHPPSRQVHGPLLARQCRGPGPDEQLLHWPGLPPPLQHLLLRLLRLRCQLGQAFREEPLCRHRRRLAGPAHQVRHQPGQHHPVRAEHRHGAHRGPGLALRVCRGGAALAAHLGHARRLPRHQEDLLLRRLP
+>DECOY_NM_031213|170264_3_777_1264
+PLRRLLLDEQHRPLRRAHGLHAALAAGGRCVRLALGPGRHAGHRHEARVPHHQGPQHRVQHAPGALRRRHRCLPEERFAQGLQCRLRLLRLLLHQLPPPLGPWHLLQEDPGPGRCQRALLPGHVQRSPPHVRLGPCGRHGLEQGAPLWWASPQQLRGAQGGM
+>NM_001130136|170279_3_1581_1846
+MATSDPRWHRSLRATGSERGGRRGWGGGRRGRRRWGFADADPSPNADSSTRLAGAPSHTALPGPRKWLPVGAPPECQGGGRLHLPCTQ
+>DECOY_NM_001130136|170279_3_1581_1846
+QTCPLHLRGGGQCEPPAGVPLWKRPGPLATHSPAGALRTSSDANPSPDADAFGWRRRGRRGGGWGRRGGRESGTARLSRHWRPDSTAM
+>NM_001029883|170368_3_1136_1368
+MEVGDFTPHRGQAVRTHLAAKSILFGLRQTPGLPALRGSYGKGSATSTGRSKEPMPLQYKPRKYHLPTFEAGDKHPM
+>DECOY_NM_001029883|170368_3_1136_1368
+MPHKDGAEFTPLHYKRPKYQLPMPEKSRGTSTASGKGYSGRLAPLGPTQRLGFLISKAALHTRVAQGRHPTFDGVEM
+>NM_001029883|170369_3_2627_3315
+MGFPKAEGLCEPPGLAAQQEHRQPDQASQHRAREWQEQLPAQEASPGPEQPTSHQPKPRGEGWDLESGREGHQPLQAAPEGHRLAPLRPSIWTKQDLRVQPGQTKAEPREKPPCGQKGLSHEDTLGASSRQEAPEPSLLLQTCPAKPLCCADAPQPTCEPQGAKPTHHKAANFPTAPAQVAQPSPRECTCSVQGPQPPNPAPRSKPPFLDSLPITPNVPFSGAQGNKRL
+>DECOY_NM_001029883|170369_3_2627_3315
+LRKNGQAGSFPVNPTIPLSDLFPPKSRPAPNPPQPGQVSCTCERPSPQAVQAPATPFNAAKHHTPKAGQPECTPQPADACCLPKAPCTQLLLSPEPAEQRSSAGLTDEHSLGKQGCPPKERPEAKTQGPQVRLDQKTWISPRLPALRHGEPAAQLPQHGERGSELDWGEGRPKPQHSTPQEPGPSAEQAPLQEQWERARHQSAQDPQRHEQQAALGPPECLGEAKPFGM
+>NM_022478|170390_2_804_937
+MGTVPSWCTLFWMDCLSSLWTPRLEWCVQPSPTWTGRHRRSSWW
+>DECOY_NM_022478|170390_2_804_937
+WWSSRRHRGTWTPSPQVCWELRPTWLSSLCDMWFLTCWSPVTGM
+>NM_001130143|170456_3_289_425
+MGGCSSSGALPESCSVTQAGVQWCDPFSATSASRVQSILLPQLLE
+>DECOY_NM_001130143|170456_3_289_425
+ELLQPLLISQVRSASTASFPDCWQVGAQTVSCSEPLAGSSSCGGM
+>NM_017990|170497_2_2386_2558
+MDLRGQSTLFPPTRTSWHWSRARLSISQIGLTSWSLKSSAVRKLCVSLTCPLSQSLR
+>DECOY_NM_017990|170497_2_2386_2558
+RLSQSLPCTLSVCLKRVASSKLSWSTLGIQSISLRARSWHWSTRTPPFLTSQGRLDM
+>NM_017990|170500_2_3331_3446
+MGSMLARPPAVPTATAWSATFAWALCTIFLRTRGKSKW
+>DECOY_NM_017990|170500_2_3331_3446
+WKSKGRTRLFITCLAWAFTASWATATPVAPPRALMSGM
+>NM_017990|170510_3_1010_1248
+MAELVLCKKQHVSCRGAFHGPAHPGTGGHLWRWNHGHFCGLSPLQNGVEGYCPFGAGQAGCWLYQVLCWHPEHCQALDH
+>DECOY_NM_017990|170510_3_1010_1248
+HDLAQCHEPHWCLVQYLWCGAQGAGFPCYGEVGNQLPSLGCFHGHNWRWLHGGTGPHAPGHFAGRCSVHQKKCLVLEAM
+>NM_080804|170583_2_2022_2110
+MGKRDLAVNQETWALLVPKAPQERMDLQE
+>DECOY_NM_080804|170583_2_2022_2110
+EQLDMREQPAKPVLLAWTEQNVALDRKGM
+>NM_024410|170591_2_541_614
+MERYVCRLSGRTGTTALDRKSTAT
+>DECOY_NM_024410|170591_2_541_614
+TATSKRDLATTGTRGSLRCVYREM
+>NM_018288|170608_2_191_300
+MGPSHPKGGEWAQEIVLGVVKLQVKILVLVTIQQKT
+>DECOY_NM_018288|170608_2_191_300
+TKQQITVLVLIKVQLKVVGLVIEQAWEGGKPHSPGM
+>NM_018288|170611_2_971_1230
+MVIQMMAKMVEVMRNGKIKALRTAPLAMYLKGKALLTARRTLSREDRNQKTKLPLQEKMVPNVLYCPSQFLGTSQRSFQMLYVEFV
+>DECOY_NM_018288|170611_2_971_1230
+VFEVYLMQFSRQSTGLFQSPCYLVNPVMKEQLPLKTKQNRDERSLTRRATLLAKGKLYMALPATRLAKIKGNRMVEVMKAMMQIVM
+>NM_018288|170613_2_986_1230
+MAKMVEVMRNGKIKALRTAPLAMYLKGKALLTARRTLSREDRNQKTKLPLQEKMVPNVLYCPSQFLGTSQRSFQMLYVEFV
+>DECOY_NM_018288|170613_2_986_1230
+VFEVYLMQFSRQSTGLFQSPCYLVNPVMKEQLPLKTKQNRDERSLTRRATLLAKGKLYMALPATRLAKIKGNRMVEVMKAM
+>NM_018288|170614_2_995_1230
+MVEVMRNGKIKALRTAPLAMYLKGKALLTARRTLSREDRNQKTKLPLQEKMVPNVLYCPSQFLGTSQRSFQMLYVEFV
+>DECOY_NM_018288|170614_2_995_1230
+VFEVYLMQFSRQSTGLFQSPCYLVNPVMKEQLPLKTKQNRDERSLTRRATLLAKGKLYMALPATRLAKIKGNRMVEVM
+>NM_018288|170617_2_1145_1230
+MVPNVLYCPSQFLGTSQRSFQMLYVEFV
+>DECOY_NM_018288|170617_2_1145_1230
+VFEVYLMQFSRQSTGLFQSPCYLVNPVM
+>NM_015202|170686_2_2620_3011
+MGTTSQPAEKTPGLPGRRHGQGGAVSRSTHFTSHGAPSVPSTAPTGDASPTRSSRGTSWMSSCSKRAAGTATCPPPRRGSSQGCREGRMATLERQTLGVTLKSPSCLMDSAWSLTSSLPGGTDTMSASTE
+>DECOY_NM_015202|170686_2_2620_3011
+ETSASMTDTGGPLSSTLSWASDMLCSPSKLTVGLTQRELTAMRGERCGQSSGRRPPPCTATGAARKSCSSMWSTGRSSRTPSADGTPATSPVSPAGHSTFHTSRSVAGGQGHRRGPLGPTKEAPQSTTGM
+>NM_015202|170688_2_2884_3011
+MATLERQTLGVTLKSPSCLMDSAWSLTSSLPGGTDTMSASTE
+>DECOY_NM_015202|170688_2_2884_3011
+ETSASMTDTGGPLSSTLSWASDMLCSPSKLTVGLTQRELTAM
+>NM_015202|170699_3_422_498
+MAPEICADQNRSWPTAPHRTSCGLF
+>DECOY_NM_015202|170699_3_422_498
+FLGCSTRHPATPWSRNQDACIEPAM
+>NM_015202|170700_3_2720_2799
+MELPQCLRPLPPGTHLQHGAPGGHPG
+>DECOY_NM_015202|170700_3_2720_2799
+GPHGGPAGHQLHTGPPLPRLCQPLEM
+>NM_020437|170793_2_704_1056
+MATCTASPLSACAAPTTRASTRSCTTTCRSTPSATPGPAWAASTRASASRAGTSTAGPPSRSPRSSSCPTCPPRPISPGTHRNMMWKCWNGTSRPSCVSLRPSTKLSQTAASRKDGK
+>DECOY_NM_020437|170793_2_704_1056
+KGDKRSAATQSLKTSPRLSVCSPRSTGNWCKWMMNRHTGPSIPRPPCTPCSSSRPSRSPPGATSTGARSASARTSAAWAPGPTASPTSRCTTTCSRTSARTTPAACASLPSATCTAM
+>NM_020437|170805_3_1047_1129
+MENEQHPQRGVVHLLLGQSGGLCSQEL
+>DECOY_NM_020437|170805_3_1047_1129
+LEQSCLGGSQGLLLHVVGRQPHQENEM
+>NM_170686|170864_3_760_842
+MAKGTLQEYHEGQLRVSHLHGLCYKST
+>DECOY_NM_170686|170864_3_760_842
+TSKYCLGHLHSVRLQGEHYEQLTGKAM
+>NM_153839|170901_2_400_581
+MVSVQTTPSVLNLALQTLREIWGFHAGKRHGTRSLTPARLLMPSTSLRRIHVWFSHLKTI
+>DECOY_NM_153839|170901_2_400_581
+ITKLHSFWVHIRRLSTSPMLLRAPTLSRTGHRKGAHFGWIERLTQLALNLVSPTTQVSVM
+>NM_153839|170903_2_1774_1871
+MDALWPLLPSLLLPLNLAKAIYDLRSAGSTGT
+>DECOY_NM_153839|170903_2_1774_1871
+TGTSGASRLDYIAKALNLPLLLSPLLPWLADM
+>NM_020764|170925_2_405_778
+MVTMMCLRCCYSTSLTRAWWTTRGRRPWTWPASSAALGWSSCSSAAICVRRCWSPGRETPPTPTAPALCTSQLKTATSTSSGSSSKPASTLTARPSPARPCTRLRSAERQRWCGCCWIAGSMPT
+>DECOY_NM_020764|170925_2_405_778
+TPMSGAIWCCGCWRQREASRLRTCPRAPSPRATLTSAPKSSSGSSTSTATKLQSTCLAPATPTPPTERGPSWCRRVCIAASSCSSWGLAASSAPWTWPRRGRTTWWARTLSTSYCCRLCMMTVM
+>NM_020764|170931_2_1725_1816
+MATRTLISSPTSPGRTCRRSASPSWGTRRS
+>DECOY_NM_020764|170931_2_1725_1816
+SRRTGWSPSASRRCTRGPSTPSSILTRTAM
+>NM_020764|170932_2_2166_2443
+MGPLGPAAPCLEARSTSWMRAPPPAPRPGRPGPAATATASRGPACPPCLASHGRSSHQALATSRPPRRPPKPDQALPRPLGDLMVQPQLRPR
+>DECOY_NM_020764|170932_2_2166_2443
+RPRLQPQVMLDGLPRPLAQDPKPPRRPPRSTALAQHSSRGHSALCPPCAPGRSATATAAPGPRGPRPAPPPARMWSTSRAELCPAAPGLPGM
+>NM_020764|170936_2_2952_3034
+MACWGSGHSAGGPVTWPAAWTRVVPAV
+>DECOY_NM_020764|170936_2_2952_3034
+VAPVVRTWAAPWTVPGGASHGSGWCAM
+>NM_020764|170937_2_3309_3457
+MALAGSGLGVPPRARRVSKARPWPRWKPAPHSRGASGPSRTSRRTSSSS
+>DECOY_NM_020764|170937_2_3309_3457
+SSSSTRRSTRSPGSAGRSHPAPKWRPWPRAKSVRRARPPVGLGSGALAM
+>NM_020764|170938_2_3546_3727
+MALAPCAADRPRSRLGLRSCLHRPRLPNPRPPTWRTYPHCPRPRAKPGSRPSRLSLPSPS
+>DECOY_NM_020764|170938_2_3546_3727
+SPSPLSLRSPRSGPKARPRPCHPYTRWTPPRPNPLRPRHLCSRLGLRSRPRDAACPALAM
+>NM_133433|171034_2_2567_2877
+MADQKQQNQGLKPQSKRVKAGLRLQNKRVMGILKPQNRRVMEGLKLQSKKVRAALKLQSKKMKGDLKHQNTGMTIGGILESHLQRKNLKCLNINKILNLTHLG
+>DECOY_NM_133433|171034_2_2567_2877
+GLHTLNLIKNINLCKLNKRQLHSELIGGITMGTNQHKLDGKMKKSQLKLAARVKKSQLKLGEMVRRNQPKLIGMVRKNQLRLGAKVRKSQPKLGQNQQKQDAM
+>NM_133433|171035_2_2654_2877
+MGILKPQNRRVMEGLKLQSKKVRAALKLQSKKMKGDLKHQNTGMTIGGILESHLQRKNLKCLNINKILNLTHLG
+>DECOY_NM_133433|171035_2_2654_2877
+GLHTLNLIKNINLCKLNKRQLHSELIGGITMGTNQHKLDGKMKKSQLKLAARVKKSQLKLGEMVRRNQPKLIGM
+>NM_133433|171036_2_2687_2877
+MEGLKLQSKKVRAALKLQSKKMKGDLKHQNTGMTIGGILESHLQRKNLKCLNINKILNLTHLG
+>DECOY_NM_133433|171036_2_2687_2877
+GLHTLNLIKNINLCKLNKRQLHSELIGGITMGTNQHKLDGKMKKSQLKLAARVKKSQLKLGEM
+>NM_133433|171067_3_4383_4462
+MERPYYGESYKISGCLSYNYQHYDIP
+>DECOY_NM_133433|171067_3_4383_4462
+PIDYHQYNYSLCGSIKYSEGYYPREM
+>NM_001012982|171209_2_601_797
+MANCRTASRRGPPRPRPRRPCRRPLSPPLCTSRITSAPTKAASRMIAPTAPPAYCPLSSGRRSGR
+>DECOY_NM_001012982|171209_2_601_797
+RGSRRGSSLPCYAPPATPAIMRSAAKTPASTIRSTCLPPSLPRRCPRRPRPRPPGRRSATRCNAM
+>NM_001039372|171258_2_186_274
+MASTLQHQTSRSYGYLRDPTQCPNTYWAL
+>DECOY_NM_001039372|171258_2_186_274
+LAWYTNPCQTPDRLYGYSRSTQHQLTSAM
+>NM_001039372|171259_2_408_520
+MELYLPVRRYKSRLMILSQSQWCRFILPLGLWSMWGT
+>DECOY_NM_001039372|171259_2_408_520
+TGWMSWLGLPLIFRCWQSQSLIMLRSKYRRVPLYLEM
+>NM_001039372|171260_2_576_649
+MGDLSTPAPPTPFLPKTIPFILLQ
+>DECOY_NM_001039372|171260_2_576_649
+QLLIFPITKPLFPTPPAPTSLDGM
+>NM_024532|171291_2_906_1018
+MVLKLKLIIVVKKKMHQKVLLRKVFVKPGNKTNVKQR
+>DECOY_NM_024532|171291_2_906_1018
+RQKVNTKNGPKVFVKRLLVKQHMKKKVVIILKLKLVM
+>NM_001037558|171339_3_608_690
+MEYTEGLLLFQLFNCPLSSHSRLLPLL
+>DECOY_NM_001037558|171339_3_608_690
+LLPLLRSHSSLPCNFLQFLLLGETYEM
+>NM_173502|171856_2_255_328
+MEVATSAGAPSSPPPGSSPLLTVS
+>DECOY_NM_173502|171856_2_255_328
+SVTLLPSSGPPPSSPAGASTAVEM
+>NM_173502|171858_2_1104_1318
+MGRWCLKAGSWHLPAAFWTRTAPTAHPATSTPGACCCPRARARSGWRAWCSTRTLRGTTPRTWRCCSCARP
+>DECOY_NM_173502|171858_2_1104_1318
+PRACSCCRWTRPTTGRLTRTSCWARWGSRARARPCCCAGPTSTAPHATPATRTWFAAPLHWSGAKLCWRGM
+>NM_173502|171861_2_1812_2113
+MVPVACGWRLLQWGSCGPGWQRCMWLVIESALGSSWPQAGSWQPLTVSSGQALQQCLTLKCIWAGQGPAPSHRATRYPAWSSASGCPSTWDSGPPWPSWS
+>DECOY_NM_173502|171861_2_1812_2113
+SWSPWPPGSDWTSPCGSASSWAPYRTARHSPAPGQGAWICKLTLCQQLAQGSSVTLPQWSGAQPWSSGLASEIVLWMCRQWGPGCSGWQLLRWGCAVPVM
+>NM_173502|171864_3_910_1028
+MDTGAGDGFRAWACLSHPAPEDPVRSPGAQGGELHHCPA
+>DECOY_NM_173502|171864_3_910_1028
+APCHHLEGGQAGPSRVPDEPAPHSLCAWARFGDGAGTDM
+>NM_032375|172103_2_1345_1481
+MGRPLRPTWTASRRACARWCCERPRTPRSSGTCHGRGLTPATSRS
+>DECOY_NM_032375|172103_2_1345_1481
+SRSTAPTLGRGHCTGSSRPTRPRECCWRACARRSATWTPRLPRGM
+>NM_002718|172153_2_794_891
+MGFLRLKETIPNRPSQAYPGSREDLHFRIPTT
+>DECOY_NM_002718|172153_2_794_891
+TTPIRFHLDERSGPYAQSPRNPITEKLRLFGM
+>NM_002718|172155_2_1067_1155
+MGTPHPLVYCGVPQLRKNLCLIETHWIRT
+>DECOY_NM_002718|172155_2_1067_1155
+TRIWHTEILCLNKRLQPVGCYVLPHPTGM
+>NM_002718|172170_2_3800_3963
+MGLSPQTGTGLPLRSMRRLLQRNLPKHNSRKALKIMKQMNLPLPLNLETKAIKY
+>DECOY_NM_002718|172170_2_3800_3963
+YKIAKTELNLPLPLNMQKMIKLAKRSNHKPLNRQLLRRMSRLPLGTGTQPSLGM
+>NM_013281|172192_2_1926_2059
+MDRSSQGTVHIAKGGEERMTMQKLALRRTTLSWKSGKLLFRCYQ
+>DECOY_NM_013281|172192_2_1926_2059
+QYCRFLLKGSKWSLTTRRLALKQMTMREEGGKAIHVTGQSSRDM
+>NM_021622|172281_2_457_545
+MVNVGKSKCLTELILLVAYPSLLPLRKKK
+>DECOY_NM_021622|172281_2_457_545
+KKKRLPLLSPYAVLLILETLCKSKGVNVM
+>NM_032872|172347_2_792_880
+MGRRPRGPTPLSTACSAAAPRCPALTPPR
+>DECOY_NM_032872|172347_2_792_880
+RPPTLAPCRPAAASCATSLPTPGRPRRGM
+>NM_018942|172355_2_573_874
+MEAASVLTPATGTHRRRARRWAVRRAPGREAPGRERCSGRQRSWRRVARRPARRRRRSWPRSLRRLGRHAAALAWAAAERRRRAQSSPAARSSSWNPPST
+>DECOY_NM_018942|172355_2_573_874
+TSPPNWSSSRAAPSSQARRRREAAAWALAAAHRGLRRLSRPWSRRRRRAPRRAVRRWSRQRGSCRERGPAERGPARRVAWRRARRRHTGTAPTLVSAAEM
+>NM_018077|172685_2_1007_1107
+MERNWLRVIPALRSKRIKLCKSQTKRRGNYPLM
+>DECOY_NM_018077|172685_2_1007_1107
+MLPYNGRRKTQSKCLKIRKSRLAPIVRLWNREM
+>NM_001013|172700_2_159_238
+MGSGTNVRSGGSNLPWPRSARPPGNC
+>DECOY_NM_001013|172700_2_159_238
+CNGPPRASRPWPLNSGGSRVNTGSGM
+>NM_002463|172758_3_601_722
+MGRKDQLPEHRARASGPWPGGERDTQSPERHGREWPGHQP
+>DECOY_NM_002463|172758_3_601_722
+PQHGPWERGHREPSQTDREGGPWPGSARARHEPLQDKRGM
+>NM_001126121|173213_2_402_493
+MASSRPLGRFCRRRVRQLSGKDTSQLRFSP
+>DECOY_NM_001126121|173213_2_402_493
+PSFRLQSTDKGSLQRVRRRCFRGLPRSSAM
+>NM_138471|173245_2_420_595
+MAVRSRSSRCLGSRSSWWAAAQEAAGGHCAWCVALRSGHPRPTQLARTSWSSTLTPWT
+>DECOY_NM_138471|173245_2_420_595
+TWPTLTSSWSTRALQTPRPHGSRLAVCWACHGGAAEQAAAWWSSRSGLCRSSRSRVAM
+>NM_138471|173249_3_889_962
+MEGTPRGRASQKEKRQTYDQKPGP
+>DECOY_NM_138471|173249_3_889_962
+PGPKQDYTQRKEKQSARGRPTGEM
+>NM_001122646|173322_2_441_679
+MACQTIPWTRGPAALPGGPAALPRLQLPHRQRSPRYRHLGKRFPLTGAPRPWNSSTRGCCRPVGRPRPRGGWVWWAPRA
+>DECOY_NM_001122646|173322_2_441_679
+ARPAWWVWGGRPRPRGVPRCCGRTSSNWPRPAGTLPFRKGLHRYRPSRQRHPLQLRPLAAPGGPLAAPGRTWPITQCAM
+>NM_001122646|173331_3_1252_1328
+MASRNIFGSLWSSVGSHCGWNLPSA
+>DECOY_NM_001122646|173331_3_1252_1328
+ASPLNWGCHSGVSSWLSGFINRSAM
+>NM_139055|173355_2_1978_2054
+MGTWAPRRDSTSVGCVGETIRAARR
+>DECOY_NM_139055|173355_2_1978_2054
+RRAARITEGVCGVSTSDRRPAWTGM
+>NM_139055|173360_3_1805_1929
+MGAQVLRRVSPGQVQAHLPSQWHWLLLCAGTQGGGRHAVLS
+>DECOY_NM_139055|173360_3_1805_1929
+SLVAHRGGGQTGACLLLWHWQSPLHAQVQGPSVRRLVQAGM
+>NM_001099334|173369_3_330_418
+MAGSLRRLNLAGVGGTENTTPWQTHISKS
+>DECOY_NM_001099334|173369_3_330_418
+SKSIHTQWPTTNETGGVGALNLRRLSGAM
+>NM_001080474|173409_2_1418_1566
+MGLSLAVIWQTSLHGWRILTSPRSSVPYKILTNLKVPQQRKPKIPVPSR
+>DECOY_NM_001080474|173409_2_1418_1566
+RSPVPIKPKRQQPVKLNTLIKYPVSSRPSTLIRWGHLSTQWIVALSLGM
+>NM_001080474|173410_2_1823_1908
+MGRKRPKGTERTAPRNLKRVSSQGKKSR
+>DECOY_NM_001080474|173410_2_1823_1908
+RSKKGQSSVRKLNRPATRETGKPRKRGM
+>NM_001080474|173412_3_354_427
+MATAISLWHLLPATHGQCLPLPTF
+>DECOY_NM_001080474|173412_3_354_427
+FTPLPLCQGHTAPLLHWLSIATAM
+>NM_001080492|173426_2_423_613
+MARCEGCSWCCSAFSILPPVVASRKLPFSTVLTPRRAWSAAWSSRGWCRCRTPSTHTWLSAAS
+>DECOY_NM_001080492|173426_2_423_613
+SAASLWTHTSPTRCRCWGRSSWAASWARRPTLVTSFPLKRSAVVPPLISFASCCWSCGECRAM
+>NM_001080492|173429_3_898_971
+MESHICNRKSHDDECPEENLRERS
+>DECOY_NM_001080492|173429_3_898_971
+SRERLNEEPCEDDHSKRNCIHSEM
+>NM_199280|173471_2_613_857
+MVTPGTSGPCLWGTSPWCSSLLRSQRPTAWPGTPSRLRTSSRKGGSQRAWQRLPEPLWIQGEAPKEFPCTAPSPEPPLRGC
+>DECOY_NM_199280|173471_2_613_857
+CGRLPPEPSPATCPFEKPAEGQIWLPEPLRQWARQSGGKRSSTRLRSPTGPWATPRQSRLLSSCWPSTGWLCPGSTGPTVM
+>NM_199280|173472_2_2587_2660
+MAQGWWGCAPHCRAAGRWWSSYGS
+>DECOY_NM_199280|173472_2_2587_2660
+SGYSSWWRGAARCHPACGWWGQAM
+>NM_199280|173473_2_3166_3311
+MAPCLDPAGTSAGWCAGCPGASRSTWAPACWTLPPASQSTSSRRSRNS
+>DECOY_NM_199280|173473_2_3166_3311
+SNRSRRSSTSQSAPPLTWCAPAWTSRSAGPCGACWGASTGAPDLCPAM
+>NM_005555|173492_2_295_482
+MAAEPEAAMALVAPGVDLVSVVEPALALVWVVEPALLVALGALASLCAPLEASKRSLSTRVS
+>DECOY_NM_005555|173492_2_295_482
+SVRTSLSRKSAELPACLSALAGLAVLLAPEVVWVLALAPEVVSVLDVGPAVLAMAAEPEAAM
+>NM_005555|173493_2_319_482
+MALVAPGVDLVSVVEPALALVWVVEPALLVALGALASLCAPLEASKRSLSTRVS
+>DECOY_NM_005555|173493_2_319_482
+SVRTSLSRKSAELPACLSALAGLAVLLAPEVVWVLALAPEVVSVLDVGPAVLAM
+>NM_001130404|173506_2_588_775
+MESQATRGNRKSRRPQPSPFLKQVLRLELCRKALAPTWRNLSWGFRSRPLLLGLRLLTGNPS
+>DECOY_NM_001130404|173506_2_588_775
+SPNGTLLRLGLLLPRSRFGWSLNRWTPALAKRCLELRLVQKLFPSPQPRRSKRNGRTAQSEM
+>NM_007152|173530_2_566_669
+MDLTNVHQLPIAKSFNIINMLKSLITFQIYIDVI
+>DECOY_NM_007152|173530_2_566_669
+IVDIYIQFTILSKLMNIINFSKAIPLQHVNTLDM
+>NM_007152|173533_2_1001_1083
+MVLRKNPANMKSAAVSLSLAQAFLINR
+>DECOY_NM_007152|173533_2_1001_1083
+RNILFAQALSLSVAASKMNAPNKRLVM
+>NM_001130520|173550_3_585_718
+MVFRVQMHLLTSYVFSFYPRPSARAGHTRCIPKKNTERIWKLWP
+>DECOY_NM_001130520|173550_3_585_718
+PWLKWIRETNKKPICRTHGARASPRPYFSFVYSTLLHMQVRFVM
+>NM_152381|173865_2_10042_10151
+MAQFLKQSQIEEFMQREKQTITYNKKVVHFVRRNLD
+>DECOY_NM_152381|173865_2_10042_10151
+DLNRRVFHVVKKNYTITQKERQMFEEIQSQKLFQAM
+>NM_181713|173925_3_357_475
+MEKRIHRQRRFQKLFRWCQSAVFELHQKGGITFRITGNF
+>DECOY_NM_181713|173925_3_357_475
+FNGTIRFTIGGKQHLEFVASQCWRFLKQFRRQRHIRKEM
+>NM_006135|173972_2_900_1039
+MVVGDQSGSSPSHHLQPRWLACLRFRFTIMKMAMFSWLVIKMYRIH
+>DECOY_NM_006135|173972_2_900_1039
+HIRYMKIVLWSFMAMKMITFRFRLCALWRPQLHHSPSSGSQDGVVM
+>NM_145011|174007_2_409_506
+MENSQNIRKLIPQRKPVNVRNVGSSSARSLPS
+>DECOY_NM_145011|174007_2_409_506
+SPLSRASSSGVNRVNVPKRQPILKRINQSNEM
+>NM_003981|174053_3_1461_1570
+MGDASIGERESQAGKTTEEQKTDRDRDAVWQRSSNT
+>DECOY_NM_003981|174053_3_1461_1570
+TNSSRQWVADRDRDTKQEETTKGAQSEREGISADGM
+>NM_005291|174124_2_951_1156
+MGPPAPPSASWPWQTASPPASPASTGHSTPSCISSWLRSSATPCATCSVAKGSRARPPASKGKPTRAR
+>DECOY_NM_005291|174124_2_951_1156
+RARTPKGKSAPPRARSGKAVSCTACPTASSRLWSSICSPTSHGTSAPSAPPSATQWPWSASPPAPPGM
+>NM_002216|174154_2_1570_1784
+MELHKGFMETRTRLPSLRNSTTRSPLHCSGMFSSTIPIHQSRTSLKTISITTLEAQRLWWQENLTLLNWIK
+>DECOY_NM_002216|174154_2_1570_1784
+KIWNLLTLNEQWWLRQAELTTISITKLSTRSQHIPITSSFMGSCHLPSRTTSNRLSPLRTRTEMFGKHLEM
+>NM_002216|174160_2_2512_2585
+MVLAHSPCPGPTRLKSRIRGCRSQ
+>DECOY_NM_002216|174160_2_2512_2585
+QSRCGRIRSKLRTPGPCPSHALVM
+>NM_017481|174181_2_333_487
+MASLSTWSSRGSTVPWAMSAQLPLSLPRAQVLDHSLSQAPFTQQMGPLPLA
+>DECOY_NM_017481|174181_2_333_487
+ALPLPGMQQTFPAQSLSHDLVQARPLSLPLQASMAWPVTSGRSSWTSLSAM
+>NM_017481|174186_2_1368_1543
+MVQGKALLDIAQTCLILSRGWEILPTGFHLLPYLFPPRQPFLESLSLPGCHPRLIQDL
+>DECOY_NM_017481|174186_2_1368_1543
+LDQILRPHCGPLSLSELFPQRPPFLYPLLHFGTPLIEWGRSLILCTQAIDLLAKGQVM
+>NM_032493|174208_3_1003_1127
+MDRVGDREALPQPHRVHDQGQKPVQAAVNSQQRGDPHSRAQ
+>DECOY_NM_032493|174208_3_1003_1127
+QARSHPDGRQQSNVAAQVPKQGQDHVRHPQPLAERDGVRDM
+>NM_001130524|174232_3_739_869
+MAGSHGQRQRQCPAQRDRGLHQDASLPLGHARAAPGPQRQGPL
+>DECOY_NM_001130524|174232_3_739_869
+LPGQRQPGPAARAHGLPLSADQHLGRDRQAPCQRQRQGHSGAM
+>NM_014322|174410_2_989_1065
+MVTWSLQQYLLFRTSLLNRTLYTIQ
+>DECOY_NM_014322|174410_2_989_1065
+QITYLTRNLLSTRFLLYQQLSWTVM
+>NM_014322|174414_3_669_892
+MEQVHPGRTRTRLHCGLEIQGCQRFLLCAFLISWLPGGAPGCHSPLLWPYSIFHSNASLCGRSSDNSSDQDFKI
+>DECOY_NM_014322|174414_3_669_892
+IKFDQDSSNDSSRGCLSANSHFISYPWLLPSHCGPAGGPLWSILFACLLFRQCGQIELGCHLRTRTRGPHVQEM
+>NM_001821|174491_2_1866_2062
+MACLPMFMSALGLTVAWEMSMLSSKLKHFSRRSFQLKNSALHLQIQKTLSLMVMISSQRLLEPIM
+>DECOY_NM_001821|174491_2_1866_2062
+MIPELLRQSSIMVMLSLTKQIQLHLASNKLQFSRRSFHKLKSSLMSMEWAVTLGLASMFMPLCAM
+>NM_032604|174540_2_188_420
+MAPGQTPSLCSWLLPLPSTWATTGHVCFRGLGWWLGRSFWPSWSHTVPSPPRLSTQRCGVLRGDYKASSKSSCSLSP
+>DECOY_NM_032604|174540_2_188_420
+PSLSCSSKSSAKYDGRLVGCRQTSLRPPSPVTHSWSPWFSRGLWWGLGRFCVHGTTAWTSPLPLLWSCLSPTQGPAM
+>NM_032604|174541_2_608_702
+MATRLSCLTTGAAVGRNCGPTGLFVPAILKI
+>DECOY_NM_032604|174541_2_608_702
+IKLIAPVFLGTPGCNRGVAAGTTLCSLRTAM
+>NM_024996|174644_2_1641_1762
+MAVLVSQESQKLPFERPLLPLSRLTLHIKNNQVVQASMEK
+>DECOY_NM_024996|174644_2_1641_1762
+KEMSAQVVQNNKIHLTLRSLPLLPREFPLKQSEQSVLVAM
+>NM_024996|174646_2_1944_2071
+MEHTTWLILMKSLSSEQEKVLLNKPWQMQHYVFLNLLWLWKL
+>DECOY_NM_024996|174646_2_1944_2071
+LKWLWLLNLFVYHQMQWPKNLLVKEQESSLSKMLILWTTHEM
+>NM_015853|174662_2_667_809
+MVAVWALSHPQWHQSQVLFPLLPARSLPPSGSMTSVAYRSGCQMGPH
+>DECOY_NM_015853|174662_2_667_809
+HPGMQCGSRYAVSTMSGSPPLSRAPLLPFLVQSQHWQPHSLAWVAVM
+>NM_001083896|174745_3_191_399
+MDWLVPATCEPIQSVFFFSGDKYYRVNLRTRRWQRVGFPPEKADHLQQRAATGAGEGVCSMALPQHQHP
+>DECOY_NM_001083896|174745_3_191_399
+PHQHQPLAMSCVGEGAGTAARQQLHDAKEPPFGVRQWRRTRLNVRYYKDGSFFFVSQIPECTAPVLWDM
+>NM_001080837|174749_2_45_313
+MALWHGPLAPYPLPAPCPALWMHPQQTVAAGWVPTGESGPPSAKGSYWSWRGRLQHGPTPTSAPMSTWPGSLAFLRPRYRCGSRSAGPK
+>DECOY_NM_001080837|174749_2_45_313
+KPGASRSGCRYRPRLFALSGPWTSMPASTPTPGHQLRGRWSWYSGKASPPGSEGTPVWGAAVTQQPHMWLAPCPAPLPYPALPGHWLAM
+>NM_014243|174788_2_1262_1374
+MDKATGVVMRLLWEVSWLPWYKQHSIVTTGPDAVVKN
+>DECOY_NM_014243|174788_2_1262_1374
+NKVVADPGTTVISHQKYWPLWSVEWLLRMVVGTAKDM
+>NM_014243|174796_2_1688_2016
+MAIGGHGLNLAPVLGHVELVFVSEHASAIIPCPSMVVRIVLVLILSTSFVTQKNAKNTLRTSEHSSVSSETPTLNTRIPNTTGCHMNILTPRKDATFTVSPRRLEMLLT
+>DECOY_NM_014243|174796_2_1688_2016
+TLLMELRRPSVTFTADKRPTLINMHCGTTNPIRTNLTPTESSVSSHESTRLTNKANKQTVFSTSLILVLVIRVVMSPCPIIASAHESVFVLEVHGLVPALNLGHGGIAM
+>NM_014243|174799_2_2645_2766
+MDAVGKVIIKWSIAASVRPTKSRNLLDECAIFKSVHIHSG
+>DECOY_NM_014243|174799_2_2645_2766
+GSHIHVSKFIACEDLLNRSKTPRVSAAISWKIIVKGVADM
+>NM_014243|174801_2_3035_3225
+MVKSLSRSEPVNCLLVMMNHVWETSPYSVKWKCWHDTAPYQVITSYVVSPAASAVAPCHHHTF
+>DECOY_NM_014243|174801_2_3035_3225
+FTHHHCPAVASAAPSVVYSTIVQYPATDHWCKWKVSYPSTEWVHNMMVLLCNVPESRSLSKVM
+>NM_014243|174807_3_2775_2851
+MGTLHQNLWKFWLSASHCTLPSATP
+>DECOY_NM_014243|174807_3_2775_2851
+PTASPLTCHSASLWFKWLNQHLTGM
+>NM_014344|174838_3_1313_1467
+MDRLNPFRLPDGQLRPARKQPLQPAVGPARHAACHQQPAPRSGRGAGLSGQ
+>DECOY_NM_014344|174838_3_1313_1467
+QGSLGAGRGSRPAPQQHCAAHRAPGVAPQLPQKRAPRLQGDPLRFPNLRDM
+>NM_017943|174854_2_834_910
+MESMLGGLCQLYRWLPSWSKEPVLC
+>DECOY_NM_017943|174854_2_834_910
+CLVPEKSWSPLWRYLQCLGGLMSEM
+>NM_014425|175018_2_2346_2437
+MEAGIQEFPLLRSPEVRQLAMSGVQRGKAS
+>DECOY_NM_014425|175018_2_2346_2437
+SAKGRQVGSMALQRVEPSRLLPFEQIGAEM
+>NM_003861|175134_2_409_506
+MEASGWSQEEMTAGFCYGTWNKPSTPGSSPYS
+>DECOY_NM_003861|175134_2_409_506
+SYPSSGPTSPKNWTGYCFGATMEEQSWGSAEM
+>NM_003861|175139_2_709_929
+MAGFSFGTFGNPPMESPSAWQTIHQPFIVSCLTLWSPGCWPQPIQRKEWDSGTFENLRVLSCAMVETCPSKVP
+>DECOY_NM_003861|175139_2_709_929
+PVKSPCTEVMACSLVRLNEFTGSDWEKRQIPQPWCGPSWLTLCSVIFPQHITQWASPSEMPPNGFTGFSFGAM
+>NM_003861|175146_2_2446_2531
+MAQAMSTAAMLGQRCQRVPLRTLAIAAL
+>DECOY_NM_003861|175146_2_2446_2531
+LAAIALTRLPVRQCRQGLMAATSMAQAM
+>NM_003861|175149_2_2719_2840
+MDAYTLVPLTLTITGRTWGSWRWWPTLPQDTQTLTVITRP
+>DECOY_NM_003861|175149_2_2719_2840
+PRTIVTLTQTDQPLTPWWRWSGWTRGTITLTLPVLTYADM
+>NM_003861|175153_3_458_561
+MAHGTSHPLQGQAHTAERRAPFQHFLPGFQQWEH
+>DECOY_NM_003861|175153_3_458_561
+HEWQQFGPLFHQFPARREATHAQGQLPHSTGHAM
+>NM_054020|175286_3_631_704
+MAIEADLGGGSLVYLAYFHPGDPS
+>DECOY_NM_054020|175286_3_631_704
+SPDGPHFYALYVLSGGGLDAEIAM
+>NM_183377|175301_2_1594_1757
+MGSVTCLTQARMANLCSPRSRGGQATGWRSCWTFSRMSTCPSGERQRKRHLKQE
+>DECOY_NM_183377|175301_2_1594_1757
+EQKLHRKRQREGSPCTSMRSFTWCSRWGTAQGGRSRPSCLNAMRAQTLCTVSGM
+>NM_183377|175302_2_1627_1757
+MANLCSPRSRGGQATGWRSCWTFSRMSTCPSGERQRKRHLKQE
+>DECOY_NM_183377|175302_2_1627_1757
+EQKLHRKRQREGSPCTSMRSFTWCSRWGTAQGGRSRPSCLNAM
+>NM_017607|175355_2_29_222
+MARRLARGRRRRLPGSGDGSSCGSGGRGRAPSLAPESAAPAPSASSAPPSSWRPVRAATWTRRV
+>DECOY_NM_017607|175355_2_29_222
+VRRTWTAARVPRWSSPPASSASPAPAASEPALSPARGRGGSGCSSGDGSGPLRRRRGRALRRAM
+>NM_018348|175469_2_2399_2478
+MEIQLTSVYFWTAFYIHCGSFIQEML
+>DECOY_NM_018348|175469_2_2399_2478
+LMEQIFSGCHIYFATWFYVSTLQIEM
+>NM_018002|175558_2_2536_2648
+MAFMVLERPLFLHSVRSLRSLSGQEIICFLSKETWIH
+>DECOY_NM_018002|175558_2_2536_2648
+HIWTEKSLFCIIEQGSLSRLSRVSHLFLPRELVMFAM
+>NM_170722|175618_2_435_556
+MDGCSPAPLQLKLYSGTAGTWLSGSAGCPGRSASLAQPLP
+>DECOY_NM_170722|175618_2_435_556
+PLPQALSASRGPCGASGSLWTGATGSYLKLQLPAPSCGDM
+>NM_170722|175622_2_792_907
+MGGCRPSSCSSPSPVRTCHPWALPQPPCANLWPSATRP
+>DECOY_NM_170722|175622_2_792_907
+PRTASPWLNACPPQPLAWPHCTRVPSPSSCSSPRCGGM
+>NM_170722|175623_2_1155_1330
+MVRSAVSLIPTCRSSTSSSASTSRTAGMPLAVQVSLPHQLSVTTWCRCSPGTWRGTTR
+>DECOY_NM_170722|175623_2_1155_1330
+RTTGRWTGPSCRCWTTVSLQHPLSVQVALPMGATRSTSASSSTSSRCTPILSVASRVM
+>NM_144979|175743_2_291_367
+MDKGNLAVLLQVGKVHLHLEAVKFL
+>DECOY_NM_144979|175743_2_291_367
+LFKVAELHLHVKGVQLLVALNGKDM
+>NM_152403|175880_2_1585_1706
+MAYCSTVGRTNTGGGISCPWLSSDAPCSSGLIVELGLPSS
+>DECOY_NM_152403|175880_2_1585_1706
+SSPLGLEVILGSSCPADSSLWPCSIGGGTNTRGVTSCYAM
+>NM_152403|175884_2_2263_2471
+MVSSCTAMTQAAKTSCPSTWQGATWSSALTVALGPVSSGVKIPSPWATGTSFVYLAQQRMESYRWISRR
+>DECOY_NM_152403|175884_2_2263_2471
+RRSIWRYSEMRQQALYVFSTGTAWPSPIKVGSSVPGLAVTLASSWTAGQWTSPCSTKAAQTMATCSSVM
+>NM_139278|176268_2_1373_1458
+MASTPTRHCTPGTVTPTWSLWTARASHG
+>DECOY_NM_139278|176268_2_1373_1458
+GHSARATWLSWTPTVTGPTCHRTPTSAM
+>NM_000837|176293_2_666_778
+METTRRRVPHPTMTTRTSLPPTGMTRASDRPSSARCS
+>DECOY_NM_000837|176293_2_666_778
+SCRASSPRDSARTMGTPPLSTRTTMTPHPVRRRTTEM
+>NM_001080508|176344_2_1138_1379
+MAFLPLTLTFCLAPLAPLLPSIWGPTPASCVVWPLLTILPVPAQASPSTDTAHLWQRPTTGSPTRLVRPLPRPGLPPMWA
+>DECOY_NM_001080508|176344_2_1138_1379
+AWMPPLGPRPLPRVLRTPSGTTPRQWLHATDTSPSAQAPVPLITLLPWVVCSAPTPGWISPLLPALPALCFTLTLPLFAM
+>NM_001109662|176632_2_2401_2498
+MDQKLPKKKKPVRSYCGPNFYKGASGRWRPMA
+>DECOY_NM_001109662|176632_2_2401_2498
+AMPRWRGSAGKYFNPGCYSRVPKKKKPLKQDM
+>NM_001109662|176638_2_5152_5255
+MAAAVAGNLPNFRELHARPLPHCVHLEASKRQSR
+>DECOY_NM_001109662|176638_2_5152_5255
+RSQRKSAELHVCHPLPRAHLERFNPLNGAVAAAM
+>NM_001109662|176640_2_6094_6197
+MGLGLIQWALVFSITTAEPCTIMAPVCYSGRAFA
+>DECOY_NM_001109662|176640_2_6094_6197
+AFARGSYCVPAMITCPEATTISFVLAWQILGLGM
+>NM_001109662|176646_2_6931_7031
+MVASQETFIFPPLKTLKTKQTSSQLIKFEKVSQ
+>DECOY_NM_001109662|176646_2_6931_7031
+QSVKEFKILQSSTQKTKLTKLPPFIFTEQSAVM
+>NM_014937|176742_2_2318_2394
+MEKIPFSALQRCCRSPSKPWDRIYP
+>DECOY_NM_014937|176742_2_2318_2394
+PYIRDWPKSPSRCCRQLASFPIKEM
+>NM_016496|176823_2_571_818
+MAGSSPPSSVPWTHRVMVLSAGSAMRERTGSACCPRVAAPARWVPCIRAVWRSGFPHLTPATASCATRSLQWRNGLDPSQSG
+>DECOY_NM_016496|176823_2_571_818
+GSQSPDLGNRWQLSRTACSATAPTLHPFGSRWVARICPVWRAPAAVRPCCASGTRERMASGASLVMVRHTWPVSSPPSSGAM
+>NM_016496|176824_2_619_818
+MVLSAGSAMRERTGSACCPRVAAPARWVPCIRAVWRSGFPHLTPATASCATRSLQWRNGLDPSQSG
+>DECOY_NM_016496|176824_2_619_818
+GSQSPDLGNRWQLSRTACSATAPTLHPFGSRWVARICPVWRAPAAVRPCCASGTRERMASGASLVM
+>NM_001005416|176882_2_300_607
+MAGSSPPSSVPWTHRVMVLSAGSAMRERTGSACCPRVAAPARWVPCIRAVWRSGFPHLTPATASCATRSLQWRNGLDPSQRSPSATTASCTPSGERPTRKFA
+>DECOY_NM_001005416|176882_2_300_607
+AFKRTPREGSPTCSATTASPSRQSPDLGNRWQLSRTACSATAPTLHPFGSRWVARICPVWRAPAAVRPCCASGTRERMASGASLVMVRHTWPVSSPPSSGAM
+>NM_001005416|176883_2_348_607
+MVLSAGSAMRERTGSACCPRVAAPARWVPCIRAVWRSGFPHLTPATASCATRSLQWRNGLDPSQRSPSATTASCTPSGERPTRKFA
+>DECOY_NM_001005416|176883_2_348_607
+AFKRTPREGSPTCSATTASPSRQSPDLGNRWQLSRTACSATAPTLHPFGSRWVARICPVWRAPAAVRPCCASGTRERMASGASLVM
+>NM_004941|176928_2_290_417
+MVQNLRILLLVTCCVSYKPCGLQRSLPLAKIQLLNLKQKKKS
+>DECOY_NM_004941|176928_2_290_417
+SKKKQKLNLLQIKALPLSRQLGCPKYSVCCTVLLLIRLNQVM
+>NM_004941|176930_2_794_933
+MESGIWIDGGISMWTALLQKSPPLVTFIMAKLPASCSLVALCSWKD
+>DECOY_NM_004941|176930_2_794_933
+DKWSCLAVLSCSAPLKAMIFTVLPPSKQLLATWMSIGGDIWIGSEM
+>NM_005385|176970_2_391_560
+MEKVENQFMVDILKMKTLFSNMTERSFYQWQIEGNIPMVPSFSLPQSLLHTWMGCM
+>DECOY_NM_005385|176970_2_391_560
+MCGMWTHLLSQPLSFSPVMPINGEIQWQYFSRETMNSFLTKMKLIDVMFQNEVKEM
+>NM_005385|176978_2_1381_1541
+MDIIQTLVQQDTLATIKNAEKKKRLSIKRKGKSRNTAEDTNKQRREGFLYRLT
+>DECOY_NM_005385|176978_2_1381_1541
+TLRYLFGERRQKNTDEATNRSKGKRKISLRKKKEANKITALTDQQVLTQIIDM
+>NM_005385|176980_2_2398_2531
+MEGELRGDLDPVGKKIAFHIKSIAAALKRHFTVNMSKVETGLHV
+>DECOY_NM_005385|176980_2_2398_2531
+VHLGTEVKSMNVTFHRKLAAAISKIHFAIKKGVPDLDGRLEGEM
+>NM_005385|176981_2_2758_3125
+MAVSPKGRIMLVVNGTLSQIQNEMSLKTVKMTPIHPLTRKKVRPHPILNQRLVKFTSKSNPQPSRPQILHCLMIMVLGNQANSAHQLLTLRGPVPIRKTIGESHKSTNMGQRKILKENTPKK
+>DECOY_NM_005385|176981_2_2758_3125
+KKPTNEKLIKRQGMNTSKHSEGITKRIPVPGRLTLLQHASNAQNGLVMIMLCHLIQPRSPQPNSKSTFKVLRQNLIPHPRVKKRTLPHIPTMKVTKLSMENQIQSLTGNVVLMIRGKPSVAM
+>NM_005385|176986_2_2980_3125
+MVLGNQANSAHQLLTLRGPVPIRKTIGESHKSTNMGQRKILKENTPKK
+>DECOY_NM_005385|176986_2_2980_3125
+KKPTNEKLIKRQGMNTSKHSEGITKRIPVPGRLTLLQHASNAQNGLVM
+>NM_005385|177003_3_4226_4326
+MVQQRPNQKPEQFLPELQKSQDVQQEQIQEQLI
+>DECOY_NM_005385|177003_3_4226_4326
+ILQEQIQEQQVDQSKQLEPLFQEPKQNPRQQVM
+>NM_152490|177036_2_962_1128
+MEGEFSESLQLGRVHCLMNSWKVWRELQVVLYILFRKVMLSYTTFILALKDLLII
+>DECOY_NM_152490|177036_2_962_1128
+IILLDKLALIFTTYSLMVKRFLIYLVVQLERWVKWSNMLCHVRGLQLSESFEGEM
+>NM_198505|177170_2_1480_1607
+MGWTSGGLSLLLTTASRKPTALPQARLCHGAHCVRPWPAATL
+>DECOY_NM_198505|177170_2_1480_1607
+LTAAPWPRVCHAGHCLRAQPLATPKRSATTLLLSLGGSTWGM
+>NM_198505|177179_3_1565_1659
+MEPTVCGHGQLPLSDPSQWDHPGRPSGPQNV
+>DECOY_NM_198505|177179_3_1565_1659
+VNQPGSPRGPHDWQSPDSLPLQGHGCVTPEM
+>NM_015167|177211_2_733_851
+MVNTLKEGNFWKTTRCQSFSLMTFSSMLGRSAGPLTGGL
+>DECOY_NM_015167|177211_2_733_851
+LGGTLPGASRGLMSSFTMLSFSQCRTTKWFNGEKLTNVM
+>NM_153456|177237_2_838_998
+MEEAPPQMSCLPATLGMTGLGSACGSLWIAPTTWLTIARCACWLTSAWWAAIT
+>DECOY_NM_153456|177237_2_838_998
+TIAAWWASTLWCACRAITLWTTPAIWLSGCASGLGTMGLTAPLCSMQPPAEEM
+>NM_032279|177339_2_1627_1715
+MAFRKFTALPQARLCHGAHCVQRWPAATL
+>DECOY_NM_032279|177339_2_1627_1715
+LTAAPWRQVCHAGHCLRAQPLATFKRFAM
+>NM_032279|177346_3_272_345
+MARMGTLCPMFLARSRHCVAEDNG
+>DECOY_NM_032279|177346_3_272_345
+GNDEAVCHRSRALFMPCLTGMRAM
+>NM_018271|177361_2_469_569
+MASHMHLRTCPCPAQHSSCSTSWRRGRSTSLWL
+>DECOY_NM_018271|177361_2_469_569
+LWLSTSRGRRWSTSCSSHQAPCPCTRLHMHSAM
+>NM_020981|177467_3_833_918
+MGGHFLFKSQVCHENRQRHFCKHGQSYL
+>DECOY_NM_020981|177467_3_833_918
+LYSQGHKCFHRQRNEHCVQSKFLFHGGM
+>NM_001103169|177567_2_239_333
+MAYALVCARRVTPWFWQLVTASYLSISFQCQ
+>DECOY_NM_001103169|177567_2_239_333
+QCQFSISLYSATVLQWFWPTVRRACVLAYAM
+>NM_052813|177735_2_1451_1611
+MAHPGGPRSSHSPRTWRTPSSQTKAALPAGGARNSPLQLCTRSRFCGTPMTQA
+>DECOY_NM_052813|177735_2_1451_1611
+AQTMPTGCFRSRTCLQLPSNRAGGAPLAAKTQSSPTRWTRPSHSSRPGGPHAM
+>NM_004910|177787_2_1187_1329
+MEGLCLPRACLSGACRTLPETLRTAPRKSSLMPTKASRTVRRSSPRR
+>DECOY_NM_004910|177787_2_1187_1329
+RRPSSRRVTRSAKTPMLSSKRPATRLTEPLTRCAGSLCARPLCLGEM
+>NM_004910|177790_2_1430_1593
+MGPKHPGTQRAWMEPGSWGLRHAQSTPSSLSCTAATSWTQALETPTPSRRMCRR
+>DECOY_NM_004910|177790_2_1430_1593
+RRCMRRSPTPTELAQTWSTAATCSLSSPTSQAHRLGWSGPEMWARQTGPHKPGM
+>NM_004910|177792_2_1730_1908
+MGTACLAPKTTFHWLPCHCWPPHPPATRAPWPPSLPAPTRPTQPSCAHLRVPASVGRSH
+>DECOY_NM_004910|177792_2_1730_1908
+HSRGVSAPVRLHACSPQTPRTPAPLSPPWPARTAPPHPPWCHCPLWHFTTKPALCATGM
+>NM_004910|177793_2_1916_2007
+MVLVASWALMHSATVLTRAPGVGAAAAVGA
+>DECOY_NM_004910|177793_2_1916_2007
+AGVAAAAGVGPARTLVTASHMLAWSAVLVM
+>NM_004910|177796_2_2522_2859
+MAHPCCWPTLCRRTPASFWRSWRCWCPQHPPLLAVPSGRAVSWPLTPRPSQPPPAPPVRWLRSWSAGGGPSGSTTRCTAPRRSPPFPPSRCPTSSTPATGSPPTWWRSSCAR
+>DECOY_NM_004910|177796_2_2522_2859
+RACSSRWWTPPSGTAPTSSTPCRSPPFPPSRRPATCRTTSGSPGGGASWSRLWRVPPAPPPQSPRPTLPWSVARGSPVALLPPHQPCWCRWSRWFSAPTRRCLTPWCCPHAM
+>NM_004910|177798_2_3593_3939
+MGLPKMWLYTRRWGCPRARPTSWAVPCGSYRRSASSCQTAMWPTWASWKRARTRMPPRDPRELPWARAAMVWLPPWTSCANRASCFARGAPARRSVRAREHHPPPWHGAKHGASA
+>DECOY_NM_004910|177798_2_3593_3939
+ASAGHKAGHWPPPHHERARVSRRAPAGRAFCSARNACSTWPPLWVMAARAWPLERPDRPPMRTRARKWSAWTPWMATQCSSASRRYSGCPVAWSTPRARPCGWRRTYLWMKPLGM
+>NM_004910|177802_2_3800_3939
+MVWLPPWTSCANRASCFARGAPARRSVRAREHHPPPWHGAKHGASA
+>DECOY_NM_004910|177802_2_3800_3939
+ASAGHKAGHWPPPHHERARVSRRAPAGRAFCSARNACSTWPPLWVM
+>NM_004910|177806_3_3459_3880
+MAVAAQLPPRRRLLLRRPHPRPTTPEGNVSAEPGAGGRTEHRGRLWVSQRCGCIRGAGAVPEPDLHRGPCRAEATGAVPVPVRRLCGPPGPAGSGLALACLLGTPESCLGQEQLWCGCPRGLPAQTEPAASLEGPQPGGA
+>DECOY_NM_004910|177806_3_3459_3880
+AGGPQPGELSAAPETQAPLGRPCGCWLQEQGLCSEPTGLLCALALGSGAPGPPGCLRRVPVPVAGTAEARCPGRHLDPEPVAGAGRICGCRQSVWLRGRHETRGGAGPEASVNGEPTTPRPHPRRLLLRRRPPLQAAVAM
+>NM_012116|177867_3_722_795
+MANTPQELAAPGSQPPRLHGLPHL
+>DECOY_NM_012116|177867_3_722_795
+LHPLGHLRPPQSGPAALEQPTNAM
+>NM_145294|177914_2_3235_3383
+MAPGTPGIRGPHAPPTWLPARPSRLPGSAAAPTLPRALARLPPAVGGCV
+>DECOY_NM_145294|177914_2_3235_3383
+VCGGVAPPLRALARPLTPAAASGPLRSPRAPLWTPPAHPGRIGPTGPAM
+>NM_145294|177915_2_3409_3962
+MGGPTWSGGRTQASLPTRAAAWWWWRTCTLAPSSTGPATLRRSPRWPSATVPRSWPLPRAEAARPPIVRSASGTCLAASASISFSPIAPPCWPWPSHQMTGFLSHWGTTMAAPSPCGARPPMTSCPPPASRSRCMVWPSTPGTPASSPVWARALSPSGSFSSVGQTSAFRCVESQSQRQWGLES
+>DECOY_NM_145294|177915_2_3409_3962
+SELGWQRQSQSEVCRFASTQGVSSFSGSPSLARAWVPSSAPTGPTSPWVMCRSRSAPPPCSTMPPRAGCPSPAAMTTGWHSLFGTMQHSPWPWCPPAIPSFSISASAALCTGSASRVIPPRAAEARPLPWSRPVTASPWRPSRRLTAPGTSSPALTCTRWWWWAAARTPLSAQTRGGSWTPGGM
+>NM_145294|177917_2_3736_3962
+MAAPSPCGARPPMTSCPPPASRSRCMVWPSTPGTPASSPVWARALSPSGSFSSVGQTSAFRCVESQSQRQWGLES
+>DECOY_NM_145294|177917_2_3736_3962
+SELGWQRQSQSEVCRFASTQGVSSFSGSPSLARAWVPSSAPTGPTSPWVMCRSRSAPPPCSTMPPRAGCPSPAAM
+>NM_018076|178032_2_352_449
+MDSLCYFSLYHKLKLGALGSCHACYLLPKLGS
+>DECOY_NM_018076|178032_2_352_449
+SGLKPLLYCAHCSGLAGLKLKHYLSFYCLSDM
+>NM_018076|178042_3_2669_2772
+MGTLSMHQKCKGCWGNGSFLCWWFGTYCQFTEIR
+>DECOY_NM_018076|178042_3_2669_2772
+RIETFQCYTGFWWCLFSGNGWCGKCKQHMSLTGM
+>NM_015602|178377_3_259_542
+MGCVRHPQGPHPRGKGPARPSKWRQQRCACVQNSSVAPGPAGSEVLGRAARSVRRLRAPGGQRKVPGGKTNPARRVPVRFCERGSERKRVLPSV
+>DECOY_NM_015602|178377_3_259_542
+VSPLVRKRESGRECFRVPVRRAPNTKGGPVKRQGGPARLRRVSRAARGLVESGAPGPAVSSNQVCACRQQRWKSPRAPGKGRPHPGQPHRVCGM
+>NM_015662|178485_2_940_1034
+MAHGSVWAHYVVGWNSLTAASEGVFTRTSLS
+>DECOY_NM_015662|178485_2_940_1034
+SLSTRTFVGESAATLSNWGVVYHAWVSGHAM
+>NM_015662|178507_3_3221_3303
+MEGNSEHVPGQWALGRGLQGGQNSRRG
+>DECOY_NM_015662|178507_3_3221_3303
+GRRSNQGGQLGRGLAWQGPVHESNGEM
+>NM_025185|178575_2_5754_5863
+MAICWRTIITAPMGCWLTGLVETSWSESARPPPIPT
+>DECOY_NM_025185|178575_2_5754_5863
+TPIPPPRASESWSTEVLGTLWCGMPATIITRWCIAM
+>NM_025185|178592_3_2233_2375
+MGGFSAENGEPLHVPNQAQRHDSYVCTSFFSRMAYLERRRRENQISL
+>DECOY_NM_025185|178592_3_2233_2375
+LSIQNERRRRELYAMRSFFSTCVYSDHRQAQNPVHLPEGNEASFGGM
+>NM_015497|178696_2_628_764
+MEQTLPLLETKPQCMNHCKLGKMHHTFLLYILAFHPQRNHQKKIH
+>DECOY_NM_015497|178696_2_628_764
+HIKKQHNRQPHFALIYLLFTHHMKGLKCHNMCQPKTELLPLTQEM
+>NM_015497|178699_3_896_972
+MVCLLLERSPENSVLDWCCHLPGNA
+>DECOY_NM_015497|178699_3_896_972
+ANGPLHCCWDLVSNEPSRELLLCVM
+>NM_019035|178755_3_2339_2418
+MGAVSYHSGQRQSSATYQSPSEVHDL
+>DECOY_NM_019035|178755_3_2339_2418
+LDHVESPSQYTASSQRQGSHYSVAGM
+>NM_019035|178756_3_3551_3666
+MGSQYAFSKSHHQLWAATWNSLQCAAFFKMAASHGGDP
+>DECOY_NM_019035|178756_3_3551_3666
+PDGGHSAAMKFFAACQLSNWTAAWLQHHSKSFAYQSGM
+>NM_015528|178770_2_1164_1420
+MGTSCGYSPVLMPTTAAAWTPGSLRPGRPAPFASSLFIGVLGTKTKRKKLKGKRRVMKGSQGTTLPQKGPHFWVLAPLFPPPLVP
+>DECOY_NM_015528|178770_2_1164_1420
+PVLPPPFLPALVWFHPGKQPLTTGQSGKMVRRKGKLKKRKTKTGLVGIFLSSAFPAPRGPRLSGPTWAAATTPMLVPSYGCSTGM
+>NM_001098621|178803_3_465_556
+MENDELPSADGMDWSGIVSVSQCSSILLCF
+>DECOY_NM_001098621|178803_3_465_556
+FCLLISSCQSVSVIGSWDMGDASPLEDNEM
+>NM_015613|178820_3_1104_1243
+MGKDRWRRGSCCLQQQAGGQACPPDSQTRCPGHWTLCAQHKGGADP
+>DECOY_NM_015613|178820_3_1104_1243
+PDAGGKHQACLTWHGPCRTQSDPPCAQGGAQQQLCCSGRRWRDKGM
+>NM_016390|178846_2_753_880
+MAKWYHRRTLAPKLVSTGATPSDWLPASVLCLLRPPSKMGMT
+>DECOY_NM_016390|178846_2_753_880
+TMGMKSPPRLLCLVSAPLWDSPTAGTSVLKPALTRRHYWKAM
+>NM_016390|178850_3_94_356
+MEATEERGEKKMEGSQADEKTGAAAGTGGTGKAPGRGGGSGREGGPRAALHTERSPAGLHPGQCSVAGASHLLGRSDCQSLCHLLCG
+>DECOY_NM_016390|178850_3_94_356
+GCLLHCLSQCDSRGLLHSAGAVSCQGPHLGAPSRETHLAARPGGERGSGGGRGPAKGTGGTGAAAGTKEDAQSGEMKKEGREETAEM
+>NM_016390|178851_3_127_356
+MEGSQADEKTGAAAGTGGTGKAPGRGGGSGREGGPRAALHTERSPAGLHPGQCSVAGASHLLGRSDCQSLCHLLCG
+>DECOY_NM_016390|178851_3_127_356
+GCLLHCLSQCDSRGLLHSAGAVSCQGPHLGAPSRETHLAARPGGERGSGGGRGPAKGTGGTGAAAGTKEDAQSGEM
+>NM_001130862|178883_2_721_827
+MVIVLMTLNQTLHLVKILRMILIFVRVRIMTKTSL
+>DECOY_NM_001130862|178883_2_721_827
+LSTKTMIRVRVFILIMRLIKVLHLTQNLTMLVIVM
+>NM_022742|178947_2_2609_2928
+MVAWSPAMRTVARLMIPLWMTMRAITRVTPAPRPAARAFSRAMTAAPVPVRPMGRVTALPATAALPIRRVTAAPVALTPARRVLSAAALTRNLLSLKTWSALRKWL
+>DECOY_NM_022742|178947_2_2609_2928
+LWKRLASWTKLSLLNRTLAAASLVRRAPTLAVPAATVRRIPLAATAPLATVRGMPRVPVPAATMARSFARAAPRPAPTVRTIARMTMWLPIMLRAVTRMAPSWAVM
+>NM_022742|178959_3_1170_1243
+MAAVPNTEYDVSRVSDFRNGFLRA
+>DECOY_NM_022742|178959_3_1170_1243
+ARLFGNRFDSVRSVDYETNPVAAM
+>NM_015457|179186_2_2649_2740
+MEAYLMTACSHLQTALILSQCRQGLSQTHL
+>DECOY_NM_015457|179186_2_2649_2740
+LHTQSLGQRCQSLILATQLHSCATMLYAEM
+>NM_001778|179196_2_528_637
+MGTKGPSQRSSRTVCLKPPLCHIITPGVILAKSAIL
+>DECOY_NM_001778|179196_2_528_637
+LIASKALIVGPTIIHCLPPKLCVTRSSRQSPGKTGM
+>NM_022778|179262_2_603_709
+MELSSPGFQQWAMKDKKRRGSLIFLAWNLPSISRQ
+>DECOY_NM_022778|179262_2_603_709
+QRSISPLNWALFILSGRRKKDKMAWQQFGPSSLEM
+>NM_022778|179263_2_843_1006
+MDHILIAVGSSLWDSSLLPGSPSLCPLRCGSRVLTLGIPESNLVNSALVGSSWN
+>DECOY_NM_022778|179263_2_843_1006
+NWSSGVLASNVLNSEPIGLTLVRSGCRLPCLSPSGPLLSSDWLSSGVAILIHDM
+>NM_013448|179307_2_950_1239
+MVQGCSVGFWKSSLHHIKMVLLMDMLTVWMEKLLSSVIVMIQKHKAVLFKMGRKKMQLIPYYSSIKCNPLKKNYMSLLLLKQHKSAGENTYFLVIN
+>DECOY_NM_013448|179307_2_950_1239
+NIVLFYTNEGASKHQKLLLLSMYNKKLPNCKISSYYPILQMKKRGMKFLVAKHKQIMVIVSSLLKEMWVTLMDMLLVMKIHHLSSKWFGVSCGQVM
+>NM_013448|179308_2_1004_1239
+MVLLMDMLTVWMEKLLSSVIVMIQKHKAVLFKMGRKKMQLIPYYSSIKCNPLKKNYMSLLLLKQHKSAGENTYFLVIN
+>DECOY_NM_013448|179308_2_1004_1239
+NIVLFYTNEGASKHQKLLLLSMYNKKLPNCKISSYYPILQMKKRGMKFLVAKHKQIMVIVSSLLKEMWVTLMDMLLVM
+>NM_013448|179313_2_1100_1239
+MGRKKMQLIPYYSSIKCNPLKKNYMSLLLLKQHKSAGENTYFLVIN
+>DECOY_NM_013448|179313_2_1100_1239
+NIVLFYTNEGASKHQKLLLLSMYNKKLPNCKISSYYPILQMKKRGM
+>NM_013448|179324_2_4067_4302
+MAVIGVIIPTVFDQSSRLCLKETGFVQNVDQSNVLEDSPLDRDHPWKVMKMWKTVWEVRMMKLMAMKKKVKVRRKSMR
+>DECOY_NM_013448|179324_2_4067_4302
+RMSKRRVKVKKKMAMLKMMRVEWVTKWMKMVKWPHDRDLPSDELVNSQDVNQVFGTEKLCLRSSQDFVTPIIVGIVAM
+>NM_013448|179329_2_4586_4740
+MAHCKQMYLWNCLVLVENAEAGKVLIIHQKIVPTSLTSESLPQSQVNSQDL
+>DECOY_NM_013448|179329_2_4586_4740
+LDQSNVQSQPLSESTLSTPVIKQHIILVKGAEANEVLVLCNWLYMQKCHAM
+>NM_014045|179411_2_1235_1503
+MAGGWPCASQPWTWALEMQCMCMTALGPLRAPDYCVVSPTSAMARLSLWRHCLARLLCPTTQLLGAMVVASMPPTMCGAIACLGTDPVA
+>DECOY_NM_014045|179411_2_1235_1503
+AVPDTGLCAIAGCMTPPMSAVVMAGLLQTTPCLLRALCHRWLSLRAMASTPSVVCYDPARLPGLATMCMCQMELAWTWPQSACPWGGAM
+>NM_014045|179415_2_1361_1503
+MARLSLWRHCLARLLCPTTQLLGAMVVASMPPTMCGAIACLGTDPVA
+>DECOY_NM_014045|179415_2_1361_1503
+AVPDTGLCAIAGCMTPPMSAVVMAGLLQTTPCLLRALCHRWLSLRAM
+>NM_014045|179420_2_1808_1902
+MGSQTVRTAVMSGTAPMFCPARSLQLQSLAA
+>DECOY_NM_014045|179420_2_1808_1902
+AALSQLQLSRAPCFMPATGSMVATRVTQSGM
+>NM_014045|179423_2_2378_2490
+MGSRHPHCPSRLPSHLLARLQPPLLSLKPQGHCPHCP
+>DECOY_NM_014045|179423_2_2378_2490
+PCHPCHGQPKLSLLPPQLRALLHSPLRSPCHPHRSGM
+>NM_015595|179447_2_2681_2754
+MAGMRGNDYEMEKEAGFLWNVPRR
+>DECOY_NM_015595|179447_2_2681_2754
+RRPVNWLFGAEKEMEYDNGRMGAM
+>NM_020441|179516_2_670_836
+MAACFAQHARTRACASSTPVGAPWWQSGRRLMRGPGPCGPSSWQMARCSPQASAE
+>DECOY_NM_020441|179516_2_670_836
+EASAQPSCRAMQWSSPGCPGPGRMLRRGSQWWPAGVPTSSACARTRAHQAFCAAM
+>NM_001012665|179528_2_169_242
+MELKLQKNLFSSLAVKMGERLLLF
+>DECOY_NM_001012665|179528_2_169_242
+FLLLREGMKVALSSFLNKQLKLEM
+>NM_014033|179577_3_389_468
+MVPLLLGEVHCDIQRTDGKQEAGALQ
+>DECOY_NM_014033|179577_3_389_468
+QLAGAEQKGDTRQIDCHVEGLLLPVM
+>NM_001048249|179629_3_465_571
+MGCKGPLWLPYNRYFGPYSTVPSKCCTVLEIGQDD
+>DECOY_NM_001048249|179629_3_465_571
+DDQGIELVTCCKSPVTSYPGFYRNYPLWLPGKCGM
+>NM_019004|179676_2_581_654
+MEIQINGMCTMKHLCICCVWDLKL
+>DECOY_NM_019004|179676_2_581_654
+LKLDWVCCICLHKMTCMGNIQIEM
+>NM_019004|179678_2_875_1011
+MEETCLLRMKIKILLVIVLKSNTTKIWPSIWNLKWYSHGIPRLKK
+>DECOY_NM_019004|179678_2_875_1011
+KKLRPIGHSYWKLNWISPWIKTTNSKLVIVLLIKIKMRLLCTEEM
+>NM_013450|179775_2_6200_6312
+MAVTKAVIPTAIDPRLQQSQMETGFVQLALLRQVVKL
+>DECOY_NM_013450|179775_2_6200_6312
+LKVVQRLLALQVFGTEMQSQQLRPDIATPIVAKTVAM
+>NM_013450|179776_3_6045_6175
+MEKGIIRSSQCCTGSSVHSAITEINSMGKINYESLLPNLSKGR
+>DECOY_NM_013450|179776_3_6045_6175
+RGKSLNPLLSEYNIKGMSNIETIASHVSSGTCCQSSRIIGKEM
+>NM_018318|179901_2_353_501
+MEQLPLWMILRILEPMYLTYSFSKKFQVWRLNSKYLKKKNRELNRMWNH
+>DECOY_NM_018318|179901_2_353_501
+HNWMRNLERNKKKLYKSNLRWVQFKKSFSYTLYMPELIRLIMWLPLQEM
+>NM_018318|179906_3_1032_1126
+MEDRTCKRSRKSISGNSKSYTRTKKNKSGNC
+>DECOY_NM_018318|179906_3_1032_1126
+CNGSKNKKTRTYSKSNGSISKRSRKCTRDEM
+>NM_015475|179918_2_150_232
+MERSLRQSLLEPVPPSLPNSVLGWCLN
+>DECOY_NM_015475|179918_2_150_232
+NLCWGLVSNPLSPPVPELLSQRLSREM
+>NM_032129|179941_2_1653_1732
+MGGRSPQTPLSLSPLPGKVRPNPGCL
+>DECOY_NM_032129|179941_2_1653_1732
+LCGPNPRVKGPLPSLSLPTQPSRGGM
+>NM_032134|179998_2_1804_1886
+MVWYNLVQIRVIWLNLVQFSMVWSNLE
+>DECOY_NM_032134|179998_2_1804_1886
+ELNSWVMSFQVLNLWIVRIQVLNYWVM
+>NM_032134|179999_2_1987_2069
+MVWSNLEWISMVWHNLVKFSVVWCNLV
+>DECOY_NM_032134|179999_2_1987_2069
+VLNCWVVSFKVLNHWVMSIWELNSWVM
+>NM_032134|180000_2_2227_2354
+MVWSNLVQISVVWSNLEWISVVWCNLEWISVVWSNLEWTSVV
+>DECOY_NM_032134|180000_2_2227_2354
+VVSTWELNSWVVSIWELNCWVVSIWELNSWVVSIQVLNSWVM
+>NM_032134|180005_2_3052_3209
+MARKVWIQIEHEPRTDMEFLPRRPQAKMSLFSGVQTPSTESYQKGAKSRVKS
+>DECOY_NM_032134|180005_2_3052_3209
+SKVRSKAGKQYSETSPTQVGSFLSMKAQPRRPLFEMDTRPEHEIQIWVKRAM
+>NM_032134|180006_2_3100_3209
+MEFLPRRPQAKMSLFSGVQTPSTESYQKGAKSRVKS
+>DECOY_NM_032134|180006_2_3100_3209
+SKVRSKAGKQYSETSPTQVGSFLSMKAQPRRPLFEM
+>NM_015531|180102_3_4237_4349
+MVSYHFTRRRGPTSWPGAHAEDRGWSGAFDFLHASWR
+>DECOY_NM_015531|180102_3_4237_4349
+RWSAHLFDFAGSWGRDEAHAGPWSTPGRRRTFHYSVM
+>NM_015426|180112_2_588_664
+MAALSPMWTSTPVGRALPLPAWTTQ
+>DECOY_NM_015426|180112_2_588_664
+QTTWAPLPLARGVPTSTWMPSLAAM
+>NM_015426|180113_2_951_1090
+MEKSRKCRGPQPHWPAPWGICQKWTSLSPQAEAGVWSLCRASPRSP
+>DECOY_NM_015426|180113_2_951_1090
+PSRPSARCLSWVGAEAQPSLSTWKQCIGWPAPWHPQPGRCKRSKEM
+>NM_017613|180130_2_1106_1275
+MGRSKPSVMRMKRKVFPGWKRWVCKIKLKSQTYFLSSCVKRNMKYKWITDLNLLCW
+>DECOY_NM_017613|180130_2_1106_1275
+WCLLNLDTIWKYKMNRKVCSSLFYTQSKLKIKCVWRKWGPFVKRKMRMVSPKSRGM
+>NM_173630|180191_2_2046_2125
+MEFIFYFIQKFCMKSLYLAFKSPKVR
+>DECOY_NM_173630|180191_2_2046_2125
+RVKPSKFALYLSKMCFKQIFYFIFEM
+>NM_152622|180348_2_605_705
+MVMRKYMKTKTSYFGVLMWFWRAKLRNTLLRLH
+>DECOY_NM_152622|180348_2_605_705
+HLRLLTNRLKARWFWMLVGFYSTKTKMYKRMVM
+>NM_015635|180456_2_3380_3558
+MEQWQTMKVQRLWVMVKVHMILPVTKHCRTSRLMISQTLQAKQPTRRIQLSLTEMQKRN
+>DECOY_NM_015635|180456_2_3380_3558
+NRKQMETLSLQIRRTPQKAQLTQSIMLRSTRCHKTVPLIMHVKVMVWLRQVKMTQWQEM
+>NM_015635|180458_2_3422_3558
+MVKVHMILPVTKHCRTSRLMISQTLQAKQPTRRIQLSLTEMQKRN
+>DECOY_NM_015635|180458_2_3422_3558
+NRKQMETLSLQIRRTPQKAQLTQSIMLRSTRCHKTVPLIMHVKVM
+>NM_030961|180482_2_1363_1823
+MVGKTELVPREVRRARAGGRMSRRLRDRVESSPRPEMEPRPQKRKKPRQPEKREPRPWRRTGPRHPTRMEDPSPTGVADPTRRKSSKAGSSQFPGSPAQPWGRIWTALASSPDPSFTAVSPRGCLETSGPPGSPGSVPSVPGRSWWRMSRTGH
+>DECOY_NM_030961|180482_2_1363_1823
+HGTRSMRWWSRGPVSPVSGPSGPPGSTELCGRPSVATFSPDPSSALATWIRGWPQAPSGPFQSSGAKSSKRRTPDAVGTPSPDEMRTPHRPGTRRWPRPERKEPQRPKKRKQPRPEMEPRPSSEVRDRLRRSMRGGARARRVERPVLETKGVM
+>NM_030961|180484_2_1471_1823
+MEPRPQKRKKPRQPEKREPRPWRRTGPRHPTRMEDPSPTGVADPTRRKSSKAGSSQFPGSPAQPWGRIWTALASSPDPSFTAVSPRGCLETSGPPGSPGSVPSVPGRSWWRMSRTGH
+>DECOY_NM_030961|180484_2_1471_1823
+HGTRSMRWWSRGPVSPVSGPSGPPGSTELCGRPSVATFSPDPSSALATWIRGWPQAPSGPFQSSGAKSSKRRTPDAVGTPSPDEMRTPHRPGTRRWPRPERKEPQRPKKRKQPRPEM
+>NM_030961|180485_2_1567_1823
+MEDPSPTGVADPTRRKSSKAGSSQFPGSPAQPWGRIWTALASSPDPSFTAVSPRGCLETSGPPGSPGSVPSVPGRSWWRMSRTGH
+>DECOY_NM_030961|180485_2_1567_1823
+HGTRSMRWWSRGPVSPVSGPSGPPGSTELCGRPSVATFSPDPSSALATWIRGWPQAPSGPFQSSGAKSSKRRTPDAVGTPSPDEM
+>NM_030961|180486_2_2215_2312
+MGWARWLGSTRGQACMAASRAPCLWIRRATSF
+>DECOY_NM_030961|180486_2_2215_2312
+FSTARRIWLCPARSAAMCAQGRTSGLWRAWGM
+>NM_015540|180587_3_1098_1228
+MAAHGHCRAGEAPLDPGLAPCPAAADTGEDAGSIQSSGRTTGP
+>DECOY_NM_015540|180587_3_1098_1228
+PGTTRGSSQISGADEGTDAAAPCPALGPDLPAEGARCHGHAAM
+>NM_015417|180679_2_271_383
+MESLLQRSSSFTSPRWWRCTIMSPPTLSSRSSATGVI
+>DECOY_NM_015417|180679_2_271_383
+IVGTASSRSSLTPPSMITCRWWRPSTFSSSRQLLSEM
+>NM_015417|180680_2_562_782
+MAVATWMWVYPRRPEVKVSRTPREGVSSAGTGRRRLGLQRITGRCRATPASSSRSLKRSRSCWPLKRPCRSCR
+>DECOY_NM_015417|180680_2_562_782
+RCSRCPRKLPWCSRSRKLSRSSSAPTARCRGTIRQLGLRRRGTGASSVGERPTRSVKVEPRRPYVWMWTAVAM
+>NM_030665|180762_2_4229_4410
+MGEMGRRRGLRVPPPSSRGCLLPRKPSPPRAMASLPQSSHPRRPPMPASSSPLGQPSRGP
+>DECOY_NM_030665|180762_2_4229_4410
+PGRSPQGLPSSSAPMPPRRPHSSQPLSAMARPPSPKRPLLCGRSSPPPVRLGRRRGMEGM
+>NM_030665|180763_2_4238_4410
+MGRRRGLRVPPPSSRGCLLPRKPSPPRAMASLPQSSHPRRPPMPASSSPLGQPSRGP
+>DECOY_NM_030665|180763_2_4238_4410
+PGRSPQGLPSSSAPMPPRRPHSSQPLSAMARPPSPKRPLLCGRSSPPPVRLGRRRGM
+>NM_030665|180764_2_4322_4410
+MASLPQSSHPRRPPMPASSSPLGQPSRGP
+>DECOY_NM_030665|180764_2_4322_4410
+PGRSPQGLPSSSAPMPPRRPHSSQPLSAM
+>NM_030665|180768_2_5828_6015
+MAGRMGARRQPQPTRVANMSAARRLRQSPAGRPRSTGCMRPVPCGPAASTWWPGSSLGCRRP
+>DECOY_NM_030665|180768_2_5828_6015
+PRRCGLSSGPWWTSAAPGCPVPRMCGTSRPRGAPSQRLRRAASMNAVRTPQPQRRAGMRGAM
+>NM_030665|180769_2_5840_6015
+MGARRQPQPTRVANMSAARRLRQSPAGRPRSTGCMRPVPCGPAASTWWPGSSLGCRRP
+>DECOY_NM_030665|180769_2_5840_6015
+PRRCGLSSGPWWTSAAPGCPVPRMCGTSRPRGAPSQRLRRAASMNAVRTPQPQRRAGM
+>NM_015490|180856_3_140_240
+MEPSQPIPFVSGHRNICPTARFLLQHKWHIGNI
+>DECOY_NM_015490|180856_3_140_240
+INGIHWKHQLLFRATPCINRHGSVFPIPQSPEM
+>NM_015490|180857_3_2822_2895
+MASSWFPSTHGMPRHHATWLYLPA
+>DECOY_NM_015490|180857_3_2822_2895
+APLYLWTAHHRPMGHTSPFWSSAM
+>NM_006734|181016_2_2748_2851
+MVENISWIPWCHCREYQACLELPVKTGNAGKRRA
+>DECOY_NM_006734|181016_2_2748_2851
+ARRKGANGTKVPLELCAQYERCHCWPIWSINEVM
+>NM_006734|181019_2_3306_3382
+MVQKVGGNPLHLSRCSSSPITHSPG
+>DECOY_NM_006734|181019_2_3306_3382
+GPSHTIPSSSCRSLHLPNGGVKQVM
+>NM_006734|181020_2_3900_4051
+MGICPMLLCREQQPPRYHRPGRGRNAFWCGKLPSVAPQKSPRARLAWIRA
+>DECOY_NM_006734|181020_2_3900_4051
+ARIWALRARPSKQPAVSPLKGCWFANRGRGPRHYRPPQQERCLLMPCIGM
+>NM_006734|181023_2_4104_4180
+MARLLCCLLFSKRTQGSRWRVLVPR
+>DECOY_NM_006734|181023_2_4104_4180
+RPVLVRWRSGQTRKSFLLCCLLRAM
+>NM_006734|181029_2_5259_5449
+MACSQGHLPSPRCRPPHLKTILLLARLPGSHSCPARRCFPVPGHHFRGRSPVGLLKAKNLQMN
+>DECOY_NM_006734|181029_2_5259_5449
+NMQLNKAKLLGVPSRGRFHHGPVPFCRRAPCSHSGPLRALLLITKLHPPRCRPSPLHGQSCAM
+>NM_006734|181030_2_5514_5683
+MVSWKRKGRATSGLLACWSAWPLPPAGTWQTQLFFSRTWQISSRFFSSPVCGQQLL
+>DECOY_NM_006734|181030_2_5514_5683
+LLQQGCVPSSFFRSSIQWTRSFFLQTQWTGAPPLPWASWCALLGSTARGKRKWSVM
+>NM_015420|181216_2_932_1020
+MESIAWQSIQRSWLLSFLGRVMERLEFGI
+>DECOY_NM_015420|181216_2_932_1020
+IGFELREMVRGLFSLLWSRQISQWAISEM
+>NM_024503|181304_2_7517_7773
+MAQAWGWTHGFSSRPRLYLTSSSAEAQRPAPPRGRRPSPEVPPAHPALLILSPPDPSPPSMTSTATSWPGQRRTSSATCLCTPST
+>DECOY_NM_024503|181304_2_7517_7773
+TSPTCLCTASSTRRQGPWSTATSTMSPPSPDPPSLILLAPHAPPVEPSPRRGRPPAPRQAEASSSTLYLRPRSSFGHTWGWAQAM
+>NM_024503|181309_3_7425_7699
+MVSRSGRVTTTVSAAREVGLGWAGQPLSGGAWPRLGAGPTGSLPARASTSQAPQQKPRDLRLPVAEGRVPKSLLLTRPCSSSLLPTLLRPP
+>DECOY_NM_024503|181309_3_7425_7699
+PPRLLTPLLSSSCPRTLLLSKPVRGEAVPLRLDRPKQQPAQSTSARAPLSGTPGAGLRPWAGGSLPQGAWGLGVERAASVTTTVRGSRSVM
+>NM_001127714|181363_2_7437_7690
+MAQAWGWTHGFSSRPRLYLTSSSAEAQRPAPPRGRPSPEVPPAHPALLILSPPDPSPPSMTSTATSWPGQRRTSSATCLCTPST
+>DECOY_NM_001127714|181363_2_7437_7690
+TSPTCLCTASSTRRQGPWSTATSTMSPPSPDPPSLILLAPHAPPVEPSPRGRPPAPRQAEASSSTLYLRPRSSFGHTWGWAQAM
+>NM_001127714|181368_3_7345_7616
+MVSRSGRVTTTVSAAREVGLGWAGQPLSGGAWPRLGAGPTGSLPARASTSQAPQQKPRDLRLPVEGRVPKSLLLTRPCSSSLLPTLLRPP
+>DECOY_NM_001127714|181368_3_7345_7616
+PPRLLTPLLSSSCPRTLLLSKPVRGEVPLRLDRPKQQPAQSTSARAPLSGTPGAGLRPWAGGSLPQGAWGLGVERAASVTTTVRGSRSVM
+>NM_015656|181406_2_3463_3740
+MEALGSWGQTDLTVLGQPGVRALGKWLQWPHPDPAGSPRPGPRGGHPQPRPSTPASPGNRGLPLPPPVWAVLAWARAHLAVEACLRTHGCSG
+>DECOY_NM_015656|181406_2_3463_3740
+GSCGHTRLCAEVALHARAWALVAWVPPPLPLGRNGPSAPTSPRPQPHGGRPGPRPSGAPDPHPWQLWKGLARVGPQGLVTLDTQGWSGLAEM
+>NM_022899|181434_2_381_502
+MALKWWIKQYGLKRCPMVQDAFLCPLNRHAPTISRCDLQF
+>DECOY_NM_022899|181434_2_381_502
+FQLDCRSITPAHRNLPCLFADQVMPCRKLGYQKIWWKLAM
+>NM_022899|181436_2_945_1021
+MGCLIGILGFVWHTEDLMCQDVFTG
+>DECOY_NM_022899|181436_2_945_1021
+GTFVDQCMLDETHWVFGLIGILCGM
+>NM_014892|181465_2_1661_1938
+MEWKRRSLNKKLRKWRFAQDQEHIHDLVQDHQENEGLGHGLALESVNTESDHAPAQEKERGNHHGRIQVKGEPEKGRKNDRKRDYLQLDLKH
+>DECOY_NM_014892|181465_2_1661_1938
+HKLDLQLYDRKRDNKRGKEPEGKVQIRGHHNGREKEQAPAHDSETNVSELALGHGLGENEQHDQVLDHIHEQDQAFRWKRLKKNLSRRKWEM
+>NM_194281|181489_2_205_293
+MAAAAGAMAPVRRKKRPLPALRRVSAWKP
+>DECOY_NM_194281|181489_2_205_293
+PKWASVRRLAPLPRKKRRVPAMAGAAAAM
+>NM_001098817|181499_2_205_296
+MAAAAGAMAPVRRKKRPLPALRRRAFRLPP
+>DECOY_NM_001098817|181499_2_205_296
+PPLRFARRRLAPLPRKKRRVPAMAGAAAAM
+>NM_001130924|181535_2_775_1067
+MAQPHLTMAPPLGPRAGGSCWAYSPSTWRRSCVRPGPLGRATRRASWHWAYSPACWQCCWLAASGSGGSMPSAPACGPCCWGCTWLSSTCRPPRLAG
+>DECOY_NM_001130924|181535_2_775_1067
+GALRPPRCTSSLWTCGWCCPGCAPASPMSGGSGSAALWCCQWCAPSYAWHWSARRTARGLPGPRVCSRRWTSPSYAWCSGGARPGLPPAMTLHPQAM
+>NM_001130924|181536_2_796_1067
+MAPPLGPRAGGSCWAYSPSTWRRSCVRPGPLGRATRRASWHWAYSPACWQCCWLAASGSGGSMPSAPACGPCCWGCTWLSSTCRPPRLAG
+>DECOY_NM_001130924|181536_2_796_1067
+GALRPPRCTSSLWTCGWCCPGCAPASPMSGGSGSAALWCCQWCAPSYAWHWSARRTARGLPGPRVCSRRWTSPSYAWCSGGARPGLPPAM
+>NM_173807|181592_2_105_181
+MAPPFGGIPLTAQTIAFLVPEVLLS
+>DECOY_NM_173807|181592_2_105_181
+SLLVEPVLFAITQATLPIGGFPPAM
+>NM_005777|181719_2_356_591
+MELETDRMVTIEEGRDLDMISGGEIFRLLISRAEIHHSWTSGVGTYILGIFGIEKDHLWTIGVEMVLLWIIEVGRHLI
+>DECOY_NM_005777|181719_2_356_591
+ILHRGVEIIWLLVMEVGITWLHDKEIGFIGLIYTGVGSTWSHHIEARSILLRFIEGGSIMDLDRGEEITVMRDTELEM
+>NM_005777|181723_2_698_831
+MDPMQILGEGIYQIWILGPENSPVLILGIEMYLIWTLETKTEHK
+>DECOY_NM_005777|181723_2_698_831
+KHETKTELTWILYMEIGLILVPSNEPGLIWIQYIGEGLIQMPDM
+>NM_005777|181728_2_1394_1512
+MARASLFQRAKLPEMPNGTFRIKIIGPAQVRRNPAGLFD
+>DECOY_NM_005777|181728_2_1394_1512
+DFLGAPNRRVQAPGIIKIRFTGNPMEPLKARQFLSARAM
+>NM_005777|181730_2_1625_1698
+MAMSAWSFHSWKMPSDAWRPTREL
+>DECOY_NM_005777|181730_2_1625_1698
+LERTPRWADSPMKWSHFSWASMAM
+>NM_005777|181738_2_2855_3042
+MEETVTMRRKKRRNRPLPHSPAQHSPRSERSKPRRRMKKTNSLTGINWLVCFAEGSFPIKKF
+>DECOY_NM_005777|181738_2_2855_3042
+FKKIPFSGEAFCVLWNIGTLSNTKKMRRRPKSRESRPSHQAPSHPLPRNRRKKRRMTVTEEM
+>NM_005777|181744_3_2064_2140
+MVWRDTPGWREQNYHAKAYLSFHTT
+>DECOY_NM_005777|181744_3_2064_2140
+TTHFSLYAKAHYNQERWGPTDRWVM
+>NM_001633|181807_2_1011_1135
+MVHPWPVRLSSTAAAWATVTTSSQKRSVCRPAELWRPAISP
+>DECOY_NM_001633|181807_2_1011_1135
+PSIAPRWLEAPRCVSRKQSSTTVTAWAAATSSLRVPWPHVM
+>NM_004683|181819_2_659_777
+MGRWIPPGGTLLAPWLRKQLQQFLSGTRGPCTPSFLITT
+>DECOY_NM_004683|181819_2_659_777
+TTILFSPTCPGRTGSLFQQLQKRLWPALLTGGPPIWRGM
+>NM_004683|181821_3_411_631
+MGGSVQLSALCRHSCKKGLPVGFIHQASTASDHGCPSQLRGSSPVGRLCCHHWNKVLCFELERTISSCLGHGG
+>DECOY_NM_004683|181821_3_411_631
+GGHGLCSSITRELEFCLVKNWHHCCLRGVPSSGRLQSPCGHDSATSAQHIFGVPLGKKCSHRCLASLQVSGGM
+>NM_006981|181859_2_2218_2294
+MDLSCIDFSAFVDLGSGSTLLKTFP
+>DECOY_NM_006981|181859_2_2218_2294
+PFTKLLTSGSGLDVFASFDICSLDM
+>NM_006981|181868_3_1193_1443
+MGRGTALGARLHRTRPAAGPADEGGPHGGRRALPALPLQALAAASPRAQPGRRPPPRLRPDGRCRAQPAAGSRSRRGQPGRRA
+>DECOY_NM_006981|181868_3_1193_1443
+ARRGPQGRRSRSGAAPQARCRGDPRLRPPPRRGPQARPSAAALAQLPLAPLARRGGHPGGEDAPGAAPRTRHLRAGLATGRGM
+>NM_138964|181903_2_1096_1175
+MAVSPVQTWTSRQLGCLPPKRWTASD
+>DECOY_NM_138964|181903_2_1096_1175
+DSATWRKPPLCGLQRSTWTQVPSVAM
+>NM_003378|181996_2_375_562
+MAAPQRSEALGIPSRRTRESFSRAWIPGRWPRCCCRHSTVPPHPRHQAAPSRGRRKKQLKLC
+>DECOY_NM_003378|181996_2_375_562
+CLKLQKKRRGRSPAAQHRPHPPVTSHRCCCRPWRGPIWARSFSERTRRSPIGLAESRQPAAM
+>NM_007014|182024_2_1755_1912
+MGASPESGFSSCLMRCSTLCIVYLNMPERTITACRSTPPPPSTRTTSPTFAL
+>DECOY_NM_007014|182024_2_1755_1912
+LAFTPSTTRTSPPPPTSRCATITREPMNLYVICLTSCRMLCSSFGSEPSAGM
+>NM_153446|182134_2_444_550
+MESGCSRKISANVKPTKSREVTTFRMPMARATSQR
+>DECOY_NM_153446|182134_2_444_550
+RQSTARAMPMRFTTVERSKTPKVNASIKRSCGSEM
+>NM_183043|182183_2_865_1028
+MELGEQLAFLELTLHALISVVTQTNQVVVNSGKGRGNGLEQHMFGKMGLEVMLQ
+>DECOY_NM_183043|182183_2_865_1028
+QLMVELGMKGFMHQELGNGRGKGSNVVVQNTQTVVSILAHLTLELFALQEGLEM
+>NM_183043|182187_3_491_603
+MVEHLSAHRKCNSKWTKWEPNLESCESNKPEQWRVSV
+>DECOY_NM_183043|182187_3_491_603
+VSVRWQEPKNSECSELNPEWKTWKSNCKRHASLHEVM
+>NM_178452|182280_2_1232_1617
+MVRMCPPVRKARRSLPGTEKQGRRWSYLLRKALRPRTSSARKSQVERSRLWRLKERMEVQSQRGPSQLRPCYCRHLWRLKERTEMESQRGPSQLRPHHPRHLWRLKERMEIKSQRGPSQLRPCYCHRL
+>DECOY_NM_178452|182280_2_1232_1617
+LRHCYCPRLQSPGRQSKIEMREKLRWLHRPHHPRLQSPGRQSEMETREKLRWLHRCYCPRLQSPGRQSQVEMREKLRWLRSREVQSKRASSTRPRLAKRLLYSWRRGQKETGPLSRRAKRVPPCMRVM
+>NM_178452|182282_2_1400_1617
+MEVQSQRGPSQLRPCYCRHLWRLKERTEMESQRGPSQLRPHHPRHLWRLKERMEIKSQRGPSQLRPCYCHRL
+>DECOY_NM_178452|182282_2_1400_1617
+LRHCYCPRLQSPGRQSKIEMREKLRWLHRPHHPRLQSPGRQSEMETREKLRWLHRCYCPRLQSPGRQSQVEM
+>NM_178452|182283_2_1484_1617
+MESQRGPSQLRPHHPRHLWRLKERMEIKSQRGPSQLRPCYCHRL
+>DECOY_NM_178452|182283_2_1484_1617
+LRHCYCPRLQSPGRQSKIEMREKLRWLHRPHHPRLQSPGRQSEM
+>NM_178452|182284_2_1634_1782
+MEIESQRGPSQLRPHHHRPWELPGKNRLPRLWPLRVYSLQNLMERERKI
+>DECOY_NM_178452|182284_2_1634_1782
+IKREREMLNQLSYVRLPWLRPLRNKGPLEWPRHHHPRLQSPGRQSEIEM
+>NM_014991|182675_2_9016_9116
+MAPSLEMLSFHPGQKGTHENSSESIVRLWSVIT
+>DECOY_NM_014991|182675_2_9016_9116
+TIVSWLRVISESSNEHTGKQGPHFSLMELSPAM
+>NM_012098|182722_2_1701_1945
+MAMRVTPLHGTTASSSPPWTEIMMSTQETVPTTRREAGGITPVPTPTSTGSGTAGAITGAATRTESTGLSSEEALTHSRKW
+>DECOY_NM_012098|182722_2_1701_1945
+WKRSHTLAEESSLGTSETRTAAGTIAGATGSGTSTPTPVPTIGGAERRTTPVTEQTSMMIETWPPSSSATTGHLPTVRMAM
+>NM_001010852|182811_3_1480_1559
+MVYPCPVHRDPAFPEGENSEKDIFAW
+>DECOY_NM_001010852|182811_3_1480_1559
+WAFIDKESNEGEPFAPDRHVPCPYVM
+>NM_001013672|182900_2_1199_1296
+MAAPATGSPRRKPSAPISVLKETLPQSFYTLT
+>DECOY_NM_001013672|182900_2_1199_1296
+TLTYFSQPLTEKLVSIPASPKRRPSGTAPAAM
+>NM_004061|182951_3_1257_1399
+MESIFCAGRIRGLRASVCGKAPFRLRQGRGHCEIHPLRRWRWHRFYH
+>DECOY_NM_004061|182951_3_1257_1399
+HYFRHWRWRRLPHIECHGRGQRLRFPAKGCVSARLGRIRGACFISEM
+>NM_001080821|182968_2_730_872
+MDLINVSCVGKRFFGPVYYICMRERTLERNHMNVSSVLKPFLFTVPI
+>DECOY_NM_001080821|182968_2_730_872
+IPVTFLFPKLVSSVNMHNRELTRERMCIYYVPGFFRKGVCSVNILDM
+>NM_001080821|182974_2_1318_1706
+MDLTNARYVGKPLFIPVYFKGMKRLTLQRNPINVNNVAKPTVFPVLFEGMKQLILERNPINANVGKPLLISIPFKITKQLMLERSHMSVRNVGKHSVVSNTFLNIEGLTQERNLMSVTHVRKPSVILVT
+>DECOY_NM_001080821|182974_2_1318_1706
+TVLIVSPKRVHTVSMLNREQTLGEINLFTNSVVSHKGVNRVSMHSRELMLQKTIKFPISILLPKGVNANIPNRELILQKMGEFLVPFVTPKAVNNVNIPNRQLTLRKMGKFYVPIFLPKGVYRANTLDM
+>NM_001131007|183099_2_1619_1758
+MGAFLWINLPGMWILNLQINCMKDGRNIKMVTSARGMFWEQLDLLT
+>DECOY_NM_001131007|183099_2_1619_1758
+TLLDLQEWFMGRASTVMKINRGDKMCNIQLNLIWMGPLNIWLFAGM
+>NM_001131007|183103_2_2435_2535
+MDSRCWIVISFPWTQTHPAISALCSLQTLPPPG
+>DECOY_NM_001131007|183103_2_2435_2535
+GPPPLTQLSCLASIAPHTQTWPFSIVIWCRSDM
+>NM_024786|183141_2_1387_1466
+MGIRRHGKGMKTRVHLHLEPRPGTPG
+>DECOY_NM_024786|183141_2_1387_1466
+GPTGPRPELHLHVRTKMGKGHRRIGM
+>NM_003428|183239_2_885_967
+MEKQNQMTLMCLIIFFSIPSLRILIPG
+>DECOY_NM_003428|183239_2_885_967
+GPILIRLSPISFFIILCMLTMQNQKEM
+>NM_006380|183358_2_883_986
+MASKQIKLHSMENCVHSYLQKVTMMRHTNGASRQ
+>DECOY_NM_006380|183358_2_883_986
+QRSAGNTHRMMTVKQLYSHVCNEMSHLKIQKSAM
+>NM_030883|183392_3_1135_1292
+MEKGLWDLLLPSHCGHPLLQLSHCCLPPAQKSVCPREGQVLWSLLCSGHSFT
+>DECOY_NM_030883|183392_3_1135_1292
+TFSHGSCLLSWLVQGERPCVSKQAPPLCCHSLQLLPHGCHSPLLLDWLGKEM
+>NM_032142|183539_2_1711_1871
+MDPMHLIWRNTLKKQKLVDMKVHWKTFQGLVCLILGIYLCPKNKLLKTFIRWT
+>DECOY_NM_032142|183539_2_1711_1871
+TWRIFTKLLKNKPCLYIGLILCVLGQFTKWHVKMDVLKQKKLTNRWILHMPDM
+>NM_032142|183549_2_5668_5801
+MVATSLWMFYQSKVLRVLLFSHGRLARLWISWPPKSRGLSYPST
+>DECOY_NM_032142|183549_2_5668_5801
+TSPYSLGRSKPPWSIWLRALRGHSFLLVRLVKSQYFMWLSTAVM
+>NM_032142|183555_2_6424_6524
+MGSKKSPSHFCPEVGGIMPSFGMLNVTLLRSLT
+>DECOY_NM_032142|183555_2_6424_6524
+TLSRLLTVNLMGFSPMIGGVEPCFHSPSKKSGM
+>NM_032142|183558_3_3470_3582
+MGFRSDIRIGECPSARGVEASSCLLCRDRFPDPPQCA
+>DECOY_NM_032142|183558_3_3470_3582
+ACQPPDPFRDRCLLCSSAEVGRASPCEGIRIDSRFGM
+>NM_001129998|183619_2_783_937
+MALFPLHPYLVLKNLTRSMDPKDVLIFKKEIFIFLAVVLKFFGFARRQLPQ
+>DECOY_NM_001129998|183619_2_783_937
+QPLQRRAFGFFKLVVALFIFIEKKFILVDKPDMSRTLNKLVLYPHLPFLAM
+>NM_031218|183679_2_502_605
+MDLTSVVQLPRAKYFNVINMGKSFINFQIQIDII
+>DECOY_NM_031218|183679_2_502_605
+IIDIQIQFNIFSKGMNIVNFYKARPLQVVSTLDM
+>NM_014985|183788_2_4556_4740
+MEGTLVTAWAGLPAVQPYPLTVVKHHLYMVGHKELWKYQVNLLNPNSFHHPVIFQIQRKVI
+>DECOY_NM_014985|183788_2_4556_4740
+IVKRQIQFIVPHHFSNPNLLNVQYKWLEKHGVMYLHHKVVTLPYPQVAPLGAWATVLTGEM
+>NM_014985|183790_3_2628_2707
+MAGRTTRAGRVSSTCEGRTEKVGRTA
+>DECOY_NM_014985|183790_3_2628_2707
+ATRGVKETRGECTSSVRGARTTRGAM
+>NM_012402|183836_2_300_514
+MGALVMDSSPQGLAAIHLTAPLLLALEMRWLGALLEKSLTSSRNGASTPISAQSNCYQNDLVEAHGLWTWS
+>DECOY_NM_012402|183836_2_300_514
+SWTWLGHAEVLDNQYCNSQASIPTSAGNRSSTLSKELLAGLWRMELALLLPATLHIAALGQPSSDMVLAGM
+>NM_012402|183837_2_315_514
+MDSSPQGLAAIHLTAPLLLALEMRWLGALLEKSLTSSRNGASTPISAQSNCYQNDLVEAHGLWTWS
+>DECOY_NM_012402|183837_2_315_514
+SWTWLGHAEVLDNQYCNSQASIPTSAGNRSSTLSKELLAGLWRMELALLLPATLHIAALGQPSSDM
+>NM_001405|183926_2_226_497
+MGRRCRRPSAWSTTCCTWSTARATPPATTASAASSAGSATGPRRPGGRSSSRRSSSSSRPSPWASSSGPATSITTSLPRLPMLWTGPACD
+>DECOY_NM_001405|183926_2_226_497
+DCAPGTWLMPLRPLSTTISTAPGSSSAWPSPRSSSSSRRSSSRGGPRRPGTASGASSAASATTAPPTARATSWTCCTTSWASPRRCRRGM
+>NM_002669|183938_2_217_386
+MVLCCICLLQKKILKRRVLRMQRIHMFINSTLPIKDKKLNTLWQVHIHTHQDLGLL
+>DECOY_NM_002669|183938_2_217_386
+LLGLDQHTHIHVQWLTNLKKDKIPLTSNIFMHIRQMRLVRRKLIKKQLLCICCLVM
+>NM_002669|183943_2_1360_1496
+MAPCIFGTGELATIFREFTQLCNLGLWTVNQEYLLVLLISLKVDY
+>DECOY_NM_002669|183943_2_1360_1496
+YDVKLSILLVLLYEQNVTWLGLNCLQTFERFITALEGTGFICPAM
+>NM_032280|184031_2_902_990
+MELQIAPPPLKIKTWALGYVTGVGPQSTK
+>DECOY_NM_032280|184031_2_902_990
+KTSQPGVGTVYGLAWTKIKLPPPAIQLEM
+>NM_006962|184122_2_1031_1428
+MERNPLNVLHVGKPSARSHTSLYIGELIRERNLLDVPNVEKLLAKNLSSLYTCELIQERDPLSVLNVEKPSEKSQLSSYITGLTQEKNLMNVMNVEKPSLRSPTSLSIRKPTLERKPMNALNVENLSYRSLI
+>DECOY_NM_006962|184122_2_1031_1428
+ILSRYSLNEVNLANMPKRELTPKRISLSTPSRLSPKEVNMVNMLNKEQTLGTIYSSLQSKESPKEVNLVSLPDREQILECTYLSSLNKALLKEVNPVDLLNRERILEGIYLSTHSRASPKGVHLVNLPNREM
+>NM_001010874|184170_2_617_750
+MEKRVLEDYATQWYTWLASVIVYTTSDTFWKPYLFTKFLQDTHL
+>DECOY_NM_001010874|184170_2_617_750
+LHTDQLFKTFLYPKWFTDSTTYVIVSALWTYWQTAYDELVRKEM
+>NM_173465|184236_2_788_1281
+MATRDPWVWMASPDFQARKGKRVHQETLAPGETKDKMELLGLRGPLDLLGPGALLATLGKMAPGEHKAQRAPKESPDKTARWAQRDPQGPRVSLEYLERRATMGHQASLDHQGPRASQGAWGLGERTVWTVPQDRRGSLATEARMELQGPGVPQASRASRETQW
+>DECOY_NM_173465|184236_2_788_1281
+WQTERSARSAQPVGPGQLEMRAETALSGRRDQPVTWVTREGLGWAGQSARPGQHDLSAQHGMTARRELYELSVRPGQPDRQAWRATKDPSEKPARQAKHEGPAMKGLTALLAGPGLLDLPGRLGLLEMKDKTEGPALTEQHVRKGKRAQFDPSAMWVWPDRTAM
+>NM_173465|184238_2_896_1281
+MELLGLRGPLDLLGPGALLATLGKMAPGEHKAQRAPKESPDKTARWAQRDPQGPRVSLEYLERRATMGHQASLDHQGPRASQGAWGLGERTVWTVPQDRRGSLATEARMELQGPGVPQASRASRETQW
+>DECOY_NM_173465|184238_2_896_1281
+WQTERSARSAQPVGPGQLEMRAETALSGRRDQPVTWVTREGLGWAGQSARPGQHDLSAQHGMTARRELYELSVRPGQPDRQAWRATKDPSEKPARQAKHEGPAMKGLTALLAGPGLLDLPGRLGLLEM
+>NM_173465|184239_2_968_1281
+MAPGEHKAQRAPKESPDKTARWAQRDPQGPRVSLEYLERRATMGHQASLDHQGPRASQGAWGLGERTVWTVPQDRRGSLATEARMELQGPGVPQASRASRETQW
+>DECOY_NM_173465|184239_2_968_1281
+WQTERSARSAQPVGPGQLEMRAETALSGRRDQPVTWVTREGLGWAGQSARPGQHDLSAQHGMTARRELYELSVRPGQPDRQAWRATKDPSEKPARQAKHEGPAM
+>NM_173465|184240_2_1094_1281
+MGHQASLDHQGPRASQGAWGLGERTVWTVPQDRRGSLATEARMELQGPGVPQASRASRETQW
+>DECOY_NM_173465|184240_2_1094_1281
+WQTERSARSAQPVGPGQLEMRAETALSGRRDQPVTWVTREGLGWAGQSARPGQHDLSAQHGM
+>NM_173465|184242_2_1292_1581
+MAGSWMPSRGLPDHRGPQGHQGSLEPRASLDCPVPQESMERRAPKDRKETQESLGQQDSKGKQARWACPASRALTASRGRRGSRRLTAYRRAWLSS
+>DECOY_NM_173465|184242_2_1292_1581
+SSLWARRYATLRRSGRRGRSATLARSAPCAWRAQKGKSDQQGLSEQTEKRDKPARREMSEQPVPCDLSARPELSGQHGQPGRHDPLGRSPMWSGAM
+>NM_003421|184336_2_880_1028
+MGKPVICHFSSLISKHIQEKTTMVMNVEKISLRNPFSLNIRVFTHSARS
+>DECOY_NM_003421|184336_2_880_1028
+SRASHTFVRINLSFPNRLSIKEVNMVMTTKEQIHKSILSSFHCIVPKGM
+>NM_003421|184337_2_946_1028
+MVMNVEKISLRNPFSLNIRVFTHSARS
+>DECOY_NM_003421|184337_2_946_1028
+SRASHTFVRINLSFPNRLSIKEVNMVM
+>NM_020418|184598_3_1131_1252
+MGAARSGPCSLFADYGCPGTGGRGPAPQLHRASCYGIWGA
+>DECOY_NM_020418|184598_3_1131_1252
+AGWIGYCSARHLQPAPGRGGTGPCGYDAFLSCPGSRAAGM
+>NM_021110|184786_2_4904_4977
+MAHQARGAFRERMDPRDLQDHQGQ
+>DECOY_NM_021110|184786_2_4904_4977
+QGQHDQLDRPDMRERFAGRAQHAM
+>NM_016337|184937_3_158_375
+MGTNQTWPAGIQPDQHLPQHCQQHLQSRWSQVAGSAGCDQLFNRERAEVQSGHANLPPVARCPPGLRLKLCK
+>DECOY_NM_016337|184937_3_158_375
+KCLKLRLGPPCRAVPPLNAHGSQVEARERNFLQDCGASGAVQSWRSQLHQQCHQPLHQDPQIGAPWTQNTGM
+>NM_002252|184949_2_1020_1144
+MEKWMIRCWKEWRSRALPGSPGSLPSGWLPLLVKRNSGKTL
+>DECOY_NM_002252|184949_2_1020_1144
+LTKGSNRKVLLPLWGSPLSGPSGPLARSRWEKWCRIMWKEM
+>NM_002252|184952_2_1497_1753
+METPTRSPWRESSSPAHASSVASWWWPFPSPSSSTSFPSTTRSKRTLMWTSAVRMHQRSVMSYLTLTLGIYMHSGCTPSLPVSLL
+>DECOY_NM_002252|184952_2_1497_1753
+LLSVPLSPTCGSHMYIGLTLTLYSMVSRQHMRVASTWMLTRKSRTTSPFSTSSSPSPFPWWWSAVSSAHAPSSSERWPSRTPTEM
+>NM_007213|184977_3_111_271
+MVPPRHQQPPLLPNQLPSLLRHRPRSRRVRAATSYAPERAGSGGGPRRAGVGS
+>DECOY_NM_007213|184977_3_111_271
+SGVGARRPGGGSGAREPAYSTAARVRRSRPRHRLLSPLQNPLLPPQQHRPPVM
+>NM_016358|185070_2_435_670
+MVRDLRMGAWHQPLPPTTLTSQLWASTPMTGMEPWTAARGARTPRARPPARSRPGCRSTARTPTPPRARRSCWPSSPR
+>DECOY_NM_016358|185070_2_435_670
+RPSSPWCSRRARPPTPTRATSRCGPRSRAPPRARPTRAGRAATWPEMGTMPTSAWLQSTLTTPPLPQHWAGMRLDRVM
+>NM_016358|185073_2_528_670
+MEPWTAARGARTPRARPPARSRPGCRSTARTPTPPRARRSCWPSSPR
+>DECOY_NM_016358|185073_2_528_670
+RPSSPWCSRRARPPTPTRATSRCGPRSRAPPRARPTRAGRAATWPEM
+>NM_017855|185123_2_129_256
+MVNFCHYNFRAHLIHGFHLSLEFYNSSSRLKFQDSPSSLYQL
+>DECOY_NM_017855|185123_2_129_256
+LQYLSSPSDQFKLRSSSNYFELSLHFGHILHARFNYHCFNVM
+>NM_003485|185135_3_834_1300
+MAARHQLLPLPGGLPLPHLPAAGVLPGHPARRAPEPRHPEEPQGPDPAAGAQHRGHLPGLLPALPRVAAGAQRLGGQLRLRQGRFQRLPLLPPAHQLQLRRRPRALLLRQRDHPPGPGPPPRGLPGLPHLLQDRPGQGGLPAGCPRGLREKRGPG
+>DECOY_NM_003485|185135_3_834_1300
+GPGRKERLGRPCGAPLGGQGPRDQLLHPLGPLGRPPPGPGPPHDRQRLLLARPRRRLQLQHAPPLLPLRQFRGQRLRLQGGLRQAGAAVRPLAPLLGPLHGRHQAGAAPDPGQPEEPHRPEPARRAPHGPLVGAAPLHPLPLGGPLPLLQHRAAM
+>NM_015525|185170_2_996_1153
+MEARIANIIQSWWICSPGVGFISSRWCFVNFTPCFCLRKGRFIPVVMVLEGD
+>DECOY_NM_015525|185170_2_996_1153
+DGELVMVVPIFRGKRLCFCPTFNVFCWRSSIFGVGPSCIWWSQIINAIRAEM
+>NM_015525|185171_2_1572_1657
+MELQSVLPQGEIFTYLQTISARRWLLNS
+>DECOY_NM_015525|185171_2_1572_1657
+SNLLWRRASITQLYTFIEGQPLVSQLEM
+>NM_015525|185172_2_1728_1807
+MGVKKFAFLQWMELEGCFAGDQSTVL
+>DECOY_NM_015525|185172_2_1728_1807
+LVTSQDGAFCGELEMWQLFAFKKVGM
+>NM_015525|185174_2_1893_2008
+MEKDLEGDGLKRKERVLKRKRFYQTFTIPHQMCLMSLI
+>DECOY_NM_015525|185174_2_1893_2008
+ILSMLCMQHPITFTQYFRKRKLVREKRKLGDGELDKEM
+>NM_017589|185253_2_498_706
+MVRKTIHLQLLLLKADGRNGNYINKSVMPLVEPHQTFPLALPAMKKVVARNLVSFLKSAIRRVFIRLKT
+>DECOY_NM_017589|185253_2_498_706
+TKLRIFVRRIASKLFSVLNRAVVKKMAPLALPFTQHPEVLPMVSKNIYNGNRGDAKLLLLQLHITKRVM
+>NM_002865|185268_3_384_565
+MGYGRARILSFHHKVVLQRCSRSFTSLRYYTERYIQPLDNLVRRCPPAFQFQHGHYAYWK
+>DECOY_NM_002865|185268_3_384_565
+KWYAYHGHQFQFAPPCRRVLNDLPQIYRETYYRLSTFSRSCRQLVVKHHFSLIRARGYGM
+>NM_000721|185368_2_211_446
+METRTRAGTGKEPPCRPRGRRPPTSRRKHRGRGLWLCTTPFPSGRTVSPSTDPCSSSEKITLSGNMPRSSSIGRHLST
+>DECOY_NM_000721|185368_2_211_446
+TSLHRGISSSRPMNGSLTIKESSSCPDTSPSVTRGSPFPTTCLWLGRGRHKRRSTPPRRGRPRCPPEKGTGARTRTEM
+>NM_000721|185370_2_637_722
+MAGMSWTSSWSSVASWPLQEPTSILTWT
+>DECOY_NM_000721|185370_2_637_722
+TWTLISTPEQLPWSAVSSWSSTWSMGAM
+>NM_000721|185373_2_2158_2249
+MGSAPRVGSAQACGLPSTSLCSPCLATTRY
+>DECOY_NM_000721|185373_2_2158_2249
+YRTTALCPSCLSTSPLGCAQASGVRPASGM
+>NM_000721|185377_2_2740_2882
+MEGTDPVPWTTRGPLCPWASGSHHGWPGPVMETVTRLSRRQGEERLW
+>DECOY_NM_000721|185377_2_2740_2882
+WLREEGQRRSLRTVTEMVPGPWGHHSGSAWPCLPGRTTWPVPDTGEM
+>NM_000721|185380_2_3805_3953
+MGPTSETCGTSWTLWWSLAHWWPLLWRTLWEPTKDGTSRPSSLCGCSEF
+>DECOY_NM_000721|185380_2_3805_3953
+FESCGCLSSPRSTGDKTPEWLTRWLLPWWHALSWWLTWSTGCTESTPGM
+>NM_000721|185395_3_4283_4458
+MASSSAALCRCDRGRPRPKPQQPHGDVYLLCSLLCGLPLLLCQYLCGSHHHHLPGARG
+>DECOY_NM_000721|185395_3_4283_4458
+GRAGPLHHHHSGCLYQCLLLPLGCLLSCLLYVDGHPQQPKPRPRGRDCRCLAASSSAM
+>NM_178238|185489_3_842_957
+MAPKSGHCHQGCIGCRCAQNCHFGTAVPPPPVVEEKER
+>DECOY_NM_178238|185489_3_842_957
+REKEEVVPPPPVATGFHCNQACRCGICGQHCHGSKPAM
+>NM_022767|185503_2_563_705
+MAMSSMTSTSGLRCPSLTTVPAGVASLGSTCARLSPSRWPRKRSLSS
+>DECOY_NM_022767|185503_2_563_705
+SSLSRKRPWRSPSLRACTSGLSAVGAPVTTLSPCRLGSTSTMSSMAM
+>NM_033641|185541_2_608_738
+MELKELLDFQALMAILGFSDHPGFLVRKDQKVTLSLLQVVSKE
+>DECOY_NM_033641|185541_2_608_738
+EKSVVQLLSLTVKQDKRVLFGPHDSFGLIAMLAQFDLLEKLEM
+>NM_033641|185545_2_1514_1638
+MVCQAHQVHQAHLVQNLRLKLYTTKSQGSLVSEENKVQKET
+>DECOY_NM_033641|185545_2_1514_1638
+TEKQVKNEESVLSGQSKTTYLKLRLNQVLHAQHVQHAQCVM
+>NM_033641|185546_2_2003_2439
+MVDRASQVKRGYLDFLVKKAILVHLASQEMGYQDFLDPVGFLEIKARMDYRDNKAFPDLRESPCPVLFLGHTVHQDFQALPDSQALKGLEASLGPQASLGQVEVKESQGVQDWFIFLNYQDFLDLVGRRACLGFLGSLEKMACLG
+>DECOY_NM_033641|185546_2_2003_2439
+GLCAMKELSGLFGLCARRGVLDLFDQYNLFIFWDQVGQSEKVEVQGLSAQPGLSAELGKLAQSDPLAQFDQHVTHGLFLVPCPSERLDPFAKNDRYDMRAKIELFGVPDLFDQYGMEQSALHVLIAKKVLFDLYGRKVQSARDVM
+>NM_033641|185547_2_2090_2439
+MGYQDFLDPVGFLEIKARMDYRDNKAFPDLRESPCPVLFLGHTVHQDFQALPDSQALKGLEASLGPQASLGQVEVKESQGVQDWFIFLNYQDFLDLVGRRACLGFLGSLEKMACLG
+>DECOY_NM_033641|185547_2_2090_2439
+GLCAMKELSGLFGLCARRGVLDLFDQYNLFIFWDQVGQSEKVEVQGLSAQPGLSAELGKLAQSDPLAQFDQHVTHGLFLVPCPSERLDPFAKNDRYDMRAKIELFGVPDLFDQYGM
+>NM_033641|185548_2_2144_2439
+MDYRDNKAFPDLRESPCPVLFLGHTVHQDFQALPDSQALKGLEASLGPQASLGQVEVKESQGVQDWFIFLNYQDFLDLVGRRACLGFLGSLEKMACLG
+>DECOY_NM_033641|185548_2_2144_2439
+GLCAMKELSGLFGLCARRGVLDLFDQYNLFIFWDQVGQSEKVEVQGLSAQPGLSAELGKLAQSDPLAQFDQHVTHGLFLVPCPSERLDPFAKNDRYDM
+>NM_033641|185554_2_4481_4620
+MAPVGSQAHLGLLVILVCLDCKALQDLKELQGSKAPSGCLECLARA
+>DECOY_NM_033641|185554_2_4481_4620
+ARALCELCGSPAKSGQLEKLDQLAKCDLCVLIVLLGLHAQSGVPAM
+>NM_015054|185658_2_3620_3702
+MEVIAICFWKKEIKRTQLQITGAQQKV
+>DECOY_NM_015054|185658_2_3620_3702
+VKQQAGTIQLQTRKIEKKWFCIAIVEM
+>NM_021946|185730_2_3634_3776
+MESGESTSTGSRQSRSPSLQENEPTATRKVPWKRKQRAVSVTLFLWF
+>DECOY_NM_021946|185730_2_3634_3776
+FWLFLTVSVARQKRKWPVKRTATPENEQLSPSRSQRSGTSTSEGSEM
+>NM_015009|185820_2_1861_2063
+MATTPPHPPTRWRGRGSSPAARTPWAAATCPSATSLSFRPTARTPTTWGSRWTSASASASSWSSSAR
+>DECOY_NM_015009|185820_2_1861_2063
+RASSSWSSASASASTWRSGWTTPTRATPRFSLSTASPCTAAAWPTRAAPSSGRGRWRTPPHPPTTAM
+>NM_198478|185833_2_338_630
+MAHCPSACTRCWATSRPPGRTAARASGIVGAACAWGCWRCWRRWAWVWGCSTCHWAATRWATAARAAACSRCLAARPPHCRARGCSWPCTRWASTCS
+>DECOY_NM_198478|185833_2_338_630
+SCTSAWRTCPWSCGRARCHPPRAALCRSCAAARAATAWRTAAWHCTSCGWVWAWRRWCRWCGWACAAGVIGSARAATRGPPRSTAWCRTCASPCHAM
+>NM_144772|185872_2_397_503
+MEEMVWSVLDTSNSLATSQPSITPKGLTSPSSLHW
+>DECOY_NM_144772|185872_2_397_503
+WHLSSPSTLGKPTISPQSTALSNSTDLVSWVMEEM
+>NM_144772|185873_2_406_503
+MVWSVLDTSNSLATSQPSITPKGLTSPSSLHW
+>DECOY_NM_144772|185873_2_406_503
+WHLSSPSTLGKPTISPQSTALSNSTDLVSWVM
+>NM_144772|185877_3_704_876
+MGRGEGKCWRDPARLAHIPHSPQKICNPVYRSLPLPGGSFCATCSGEEVPAEPATLP
+>DECOY_NM_144772|185877_3_704_876
+PLTAPEAPVEEGSCTACFSGGPLPLSRYVPNCIKQPSHPIHALRAPDRWCKGEGRGM
+>NM_012133|185911_2_823_998
+MGMKVHCLISLRAACEINMKWLFMKLLQLSSIFLTALQESWHLLFQFFNFSVVLLSQP
+>DECOY_NM_012133|185911_2_823_998
+PQSLLVVSFNFFQFLLHWSEQLATLFISSLQLLKMFLWKMNIECAARLSILCHVKMGM
+>NM_002235|185956_2_1572_1753
+MASPLGKWGPGAPPHSVLLGAPSLQTPSFWWRRCALSGSLLSSWCASPPALASRPSSGTS
+>DECOY_NM_002235|185956_2_1572_1753
+STGSSPRSALAPPSACWSSLLSGSLACRRWWFSPTQLSPAGLLVSHPPAGPGWKGLPSAM
+>NM_002235|185957_2_1857_1984
+MGSRPCPWPSSESSAWSGCSASSSSPATPRGCRSWARPCRPP
+>DECOY_NM_002235|185957_2_1857_1984
+PPRCPRAWSRCGRPTAPSSSSASCGSWASSESSPWPCPRSGM
+>NM_024581|186009_2_3571_3686
+MDILTAWIPFLILQSTILSSTAANHFHSQCHLKGPRHF
+>DECOY_NM_024581|186009_2_3571_3686
+FHRPGKLHCQSHFHNAATSSLITSQLILFPIWATLIDM
+>NM_152547|186064_2_1267_1352
+MARACLPAGRRQARRLATRSGSRSRRAR
+>DECOY_NM_152547|186064_2_1267_1352
+RARRSRSGSRTALRRAQRRGAPLCARAM
+>NM_001013658|186118_3_755_948
+MVPPGARRDLRRGPHPRFPKRLHQERGLPQPWFRHCPASPVLLQLGPHGLRPPGHPPVLRHRGQ
+>DECOY_NM_001013658|186118_3_755_948
+QGRHRLVPPHGPPRLGHPGLQLLVPSAPCHRFWPQPLGREQHLRKPFRPHPGRRLDRRAGPPVM
+>NM_001097603|186120_3_548_636
+MEGDLQELHQSNTGDKSGFGFRRQGEDNT
+>DECOY_NM_001097603|186120_3_548_636
+TNDEGQRRFGFGSKDGTNSQHLEQLDGEM
+>NM_020796|186160_2_2147_2229
+MESKTKGSWACSWTEQAALCMLRSLPV
+>DECOY_NM_020796|186160_2_2147_2229
+VPLSRLMCLAAQETWSCAWSGKTKSEM
+>NM_020796|186170_3_1353_1438
+MVERTILCSSRGLRRLYLLLLQGNSSGV
+>DECOY_NM_020796|186170_3_1353_1438
+VGSSNGQLLLLYLRRLGRSSCLITREVM
+>NM_020796|186171_3_1935_2077
+MVPENNGQIPPYQNCSGHSCWAISESHCGFSGIREGNHLEVFGQNRK
+>DECOY_NM_020796|186171_3_1935_2077
+KRNQGFVELHNGERIGSFGCHSESIAWCSHGSCNQYPPIQGNNEPVM
+>NM_017754|186256_2_577_767
+MALPKRWWKGCSSLSILSPSRFTPRPSTLLLNCGSSRAIVSTPTGSRVTFALPASLTPAEERF
+>DECOY_NM_017754|186256_2_577_767
+FREEAPTLSAPLAFTVRSGTPTSVIARSSGCNLLLTSPRPTFRSPSLISLSSCGKWWRKPLAM
+>NM_017754|186265_2_3733_3818
+MARGHQQPTVQFHPVEKTSSFTRSQFWS
+>DECOY_NM_017754|186265_2_3733_3818
+SWFQSRTFSSTKEVPHFQVTPQQHGRAM
+>NM_017754|186266_2_4066_4169
+MASYIYCFMAVTSSCSLQCSVAWGPSWRMRRSRW
+>DECOY_NM_017754|186266_2_4066_4169
+WRSRRMRWSPGWAVSCQLSCSSTVAMFCYIYSAM
+>NM_014938|186369_2_772_908
+MDGRPPSPWRRIPCWTQTCSCRNSATPSSPHFLHTSRWPGPIPGK
+>DECOY_NM_014938|186369_2_772_908
+KGPIPGPWRSTHLFHPSSPTASNRCSCTQTWCPIRRWPSPPRGDM
+>NM_014938|186371_2_1906_2150
+MARAARLPPSPGSSQAQRKTPWGRASRSRCMGAAPRSLSQGPVGTAQTQGRPLRVHRSRAPVLNLPRTTAQGNPTPKMWLH
+>DECOY_NM_014938|186371_2_1906_2150
+HLWMKPTPNGQATTRPLNLVPARSRHVRLPRGQTQATGVPGQSLSRPAAGMCRSRSARGWPTKRQAQSSGPSPPLRAARAM
+>NM_006585|186641_2_616_791
+MVMKYFWPSLLLRHAYLFFLIPAISMLITSEFVKFWALVSVPLQYCMAWFLRRKPKVM
+>DECOY_NM_006585|186641_2_616_791
+MVKPKRRLFWAMCYQLPVSVLAWFKVFESTILMSIAPILFFLYAHRLLLSPWFYKMVM
+>NM_006585|186644_2_1279_1355
+MVLILSKFLQGINVLYPEVEQQKLN
+>DECOY_NM_006585|186644_2_1279_1355
+NLKQQEVEPYLVNIGQLFKSLILVM
+>NM_175769|186737_3_161_237
+MEQSYPWPSRDQGWGPGSWQERGQS
+>DECOY_NM_175769|186737_3_161_237
+SQGREQWSGPGWGQDRSPWPYSQEM
+>NM_017779|186754_2_1393_1502
+MDYLLLCQLTHTVSRLVLRSLMSKKFLPLKLQLQNF
+>DECOY_NM_017779|186754_2_1393_1502
+FNQLQLKLPLFKKSMLSRLVLRSVTHTLQCLLLYDM
+>NM_022728|186814_2_564_703
+MGCLEGGVLGKKRQQSCDWKGSSSGDRKRTRARGTGCTASTTLWTT
+>DECOY_NM_022728|186814_2_564_703
+TTWLTTSATCGTGRARTRKRDGSSSGKWDCSQQRKKGLVGGELCGM
+>NM_022728|186815_2_1194_1345
+MVKITITACITVQCHPGVPLGRVPCSGCPPTATSLTTYICAANLSQCKMN
+>DECOY_NM_022728|186815_2_1194_1345
+NMKCQSLNAACIYTTLSTATPPCGSCPVRGLPVGPHCQVTICATITIKVM
+>NM_018374|186849_2_482_642
+MDWLIVKSIMKMEEMEMSLSFHMWNLQEEIVSPALLVREQEEFLGGKKTNWWH
+>DECOY_NM_018374|186849_2_482_642
+HWWNTKKGGLFEEQERVLLAPSVIEEQLNWMHFSLSMEMEEMKMISKVILWDM
+>NM_018374|186851_2_515_642
+MEEMEMSLSFHMWNLQEEIVSPALLVREQEEFLGGKKTNWWH
+>DECOY_NM_018374|186851_2_515_642
+HWWNTKKGGLFEEQERVLLAPSVIEEQLNWMHFSLSMEMEEM
+>NM_018374|186852_2_524_642
+MEMSLSFHMWNLQEEIVSPALLVREQEEFLGGKKTNWWH
+>DECOY_NM_018374|186852_2_524_642
+HWWNTKKGGLFEEQERVLLAPSVIEEQLNWMHFSLSMEM
+>NM_138643|186967_3_744_835
+METSSWTCCRFTVRCLEICCNASAHCCSCR
+>DECOY_NM_138643|186967_3_744_835
+RCSCCHASANCCIELCRVTFRCCTWSSTEM
+>NM_138644|186981_3_266_339
+MVRRNDTTEEIRMFKRTRKNICRI
+>DECOY_NM_138644|186981_3_266_339
+IRCINKRTRKFMRIEETTDNRRVM
+>NM_004288|187020_2_106_206
+MAIWRTSALGQRIALTPHSPAALRWTIIEGFKC
+>DECOY_NM_004288|187020_2_106_206
+CKFGEIITWRLAAPSHPTLAIRQGLASTRWIAM
+>NM_004288|187025_2_847_953
+MATRRVCLRTPAGVPSVGRRVQMMSALSPRRGMIF
+>DECOY_NM_004288|187025_2_847_953
+FIMGRRPSLASMMQVRRGVSPVGAPTRLCVRRTAM
+>NM_025133|187144_2_2140_2258
+MEEMVASVYLMGVEVSLKKMIFSGMLKQVFSSALIVIQS
+>DECOY_NM_025133|187144_2_2140_2258
+SQIVILASSFVQKLMGSFIMKKLSVEVGMLYVSAVMEEM
+>NM_025133|187145_2_2149_2258
+MVASVYLMGVEVSLKKMIFSGMLKQVFSSALIVIQS
+>DECOY_NM_025133|187145_2_2149_2258
+SQIVILASSFVQKLMGSFIMKKLSVEVGMLYVSAVM
+>NM_001025190|187175_3_1487_1605
+MGPVHWRQPPAGRRPAGPAGGGPPATQRLRPATARGPGV
+>DECOY_NM_001025190|187175_3_1487_1605
+VGPGRATAPRLRQTAPPGGGAPGAPRRGAPPQRWHVPGM
+>NM_005273|187184_2_499_572
+MGSSSSGTATPPTRSTPSRCAPPG
+>DECOY_NM_005273|187184_2_499_572
+GPPACRSPTSRTPPTATGSSSSGM
+>NM_015237|187314_2_1302_1393
+MDVLQLSRGQRVPHLILLKLKDPKESHQTQ
+>DECOY_NM_015237|187314_2_1302_1393
+QTQHSEKPDKLKLLILHPVRQGRSLQLVDM
+>NM_015237|187328_2_2616_2713
+MGQMTEVSLNVALCCAMIFLEEVAVIPVLLKN
+>DECOY_NM_015237|187328_2_2616_2713
+NKLLVPIVAVEELFIMACCLAVNLSVETMQGM
+>NM_022574|187374_2_1130_1254
+MGERKCWLSTSRRTRSRKSCRTRSSPRCCRTSHCSPWLWSR
+>DECOY_NM_022574|187374_2_1130_1254
+RSWLWPSCHSTRCCRPSSRTRCSKRSRTRRSTSLWCKREGM
+>NM_022574|187375_2_1433_2040
+MGPLDEAPGKSSAARAGMTEARGGLRSQQGGMEHDVALRREGLAQGRSTPAQTARTGAPYGRNRRRRRRAAGGSEQGPGETATAGAPPALMVVPALLAGGNMGNGGASLNLICEGIEEGVVKRRGGEGEAALTCGGAERLKALRRTRMGSQSGAWTMRMKKWAPLMPLGPSCLSRRAPRSPFLRSRSWTSKGWRRRRNLPKG
+>DECOY_NM_022574|187375_2_1433_2040
+GKPLNRRRRWGKSTWSRSRLFPSRPARRSLCSPGLPMLPAWKKMRMTWAGSQSGMRTRRLAKLREAGGCTLAAEGEGGRRKVVGEEIGECILNLSAGGNGMNGGALLAPVVMLAPPAGATATEGPGQESGGAARRRRRRNRGYPAGTRATQAPTSRGQALGERRLAVDHEMGGQQSRLGGRAETMGARAASSKGPAEDLPGM
+>NM_022574|187377_2_1526_2040
+MEHDVALRREGLAQGRSTPAQTARTGAPYGRNRRRRRRAAGGSEQGPGETATAGAPPALMVVPALLAGGNMGNGGASLNLICEGIEEGVVKRRGGEGEAALTCGGAERLKALRRTRMGSQSGAWTMRMKKWAPLMPLGPSCLSRRAPRSPFLRSRSWTSKGWRRRRNLPKG
+>DECOY_NM_022574|187377_2_1526_2040
+GKPLNRRRRWGKSTWSRSRLFPSRPARRSLCSPGLPMLPAWKKMRMTWAGSQSGMRTRRLAKLREAGGCTLAAEGEGGRRKVVGEEIGECILNLSAGGNGMNGGALLAPVVMLAPPAGATATEGPGQESGGAARRRRRRNRGYPAGTRATQAPTSRGQALGERRLAVDHEM
+>NM_022574|187379_2_1736_2040
+MGNGGASLNLICEGIEEGVVKRRGGEGEAALTCGGAERLKALRRTRMGSQSGAWTMRMKKWAPLMPLGPSCLSRRAPRSPFLRSRSWTSKGWRRRRNLPKG
+>DECOY_NM_022574|187379_2_1736_2040
+GKPLNRRRRWGKSTWSRSRLFPSRPARRSLCSPGLPMLPAWKKMRMTWAGSQSGMRTRRLAKLREAGGCTLAAEGEGGRRKVVGEEIGECILNLSAGGNGM
+>NM_022574|187380_2_1874_2040
+MGSQSGAWTMRMKKWAPLMPLGPSCLSRRAPRSPFLRSRSWTSKGWRRRRNLPKG
+>DECOY_NM_022574|187380_2_1874_2040
+GKPLNRRRRWGKSTWSRSRLFPSRPARRSLCSPGLPMLPAWKKMRMTWAGSQSGM
+>NM_022574|187384_2_2420_2538
+MGLPGSGSTRTHRARSKAPSRHRRWQSGSRPATFPCHCW
+>DECOY_NM_022574|187384_2_2420_2538
+WCHCPFTAPRSGSQWRRHRSPAKSRARHTRTSGSGPLGM
+>NM_022574|187390_3_3939_4090
+MAEQRLAADGLPGQPQHQTRPRGGQQGQEAGTDAALRPQHPGVLPARIFW
+>DECOY_NM_022574|187390_3_3939_4090
+WFIRAPLVGPHQPRLAADTGAEQGQQGGRPRTQHQPQGPLGDAALRQEAM
+>NM_144973|187536_2_508_698
+MVLAPMVLFSLFMKKLQVSKSAQQCRHFTRCTTLSITAVCMLHLPAVWTHWQVVLMKEIQLPF
+>DECOY_NM_144973|187536_2_508_698
+FPLQIEKMLVVQWHTWVAPLHLMCVATISLTTCRTFHRCQQASKSVQLKKMFLSFLVMPALVM
+>NM_144973|187545_2_3559_3650
+MAWLQPLSKFSTMGSNLPASFTRMSSSGTS
+>DECOY_NM_144973|187545_2_3559_3650
+STGSSSMRTFSAPLNSGMTSFKSLPQLWAM
+>NM_144973|187552_3_2549_2685
+MEPWLAGQAGEVGFVVTFNSISGQRRETRAPCRITSCPRTRKKKI
+>DECOY_NM_144973|187552_3_2549_2685
+IKKKRTRPCSTIRCPARTERRQGSISNFTVVFGVEGAQGALWPEM
+>NM_014936|187589_2_515_621
+MALWLIPCMMQSQRNTFLTLMTRILFGGMRQYLFG
+>DECOY_NM_014936|187589_2_515_621
+GFLYQRMGGFLIRTMLTLFTNRQSQMMCPILWLAM
+>NM_152396|187642_2_901_977
+MGPDHIFLLMTSWLSSLWTQVMKKW
+>DECOY_NM_152396|187642_2_901_977
+WKKMVQTWLSSLWSTMLLFIHDPGM
+>NM_003676|187665_3_242_351
+MDYNYDGSHPVGCILHSKRLGLEMGHIWGLCVWQLH
+>DECOY_NM_003676|187665_3_242_351
+HLQWVCLGWIHGMELGLRKSHLICGVPHSGDYNYDM
+>NM_138402|187687_2_743_846
+MAGAEWERERRKTTNKMITAKPMASWSRVKRRRT
+>DECOY_NM_138402|187687_2_743_846
+TRRRKVRSWSAMPKATIMKNTTKRREREWEAGAM
+>NM_005885|187737_2_775_956
+MVQKMLLLISLLTHQLRTQWWGKTLMPRMTRQKRRRRTMRRKMTLVWRMRQMLITEPRMT
+>DECOY_NM_005885|187737_2_775_956
+TMRPETILMQRMRWVLTMKRRMTRRRRKQRTMRPMLTKGWWQTRLQHTLLSILLLMKQVM
+>NM_001034841|187776_2_1451_1548
+MGSSLWRHHRHPCPARPCWSSLRACVRRHCGV
+>DECOY_NM_001034841|187776_2_1451_1548
+VGCHRRVCARLSSWCPRAPCPHRHHRWLSSGM
+>NM_001099220|187828_2_1543_1619
+MDLGESRGHTGPVPFRGHGLGSSHG
+>DECOY_NM_001099220|187828_2_1543_1619
+GHSSGLGHGRFPVPGTHGRSEGLDM
+>NM_001099220|187840_3_263_348
+MGAAEPATEGALWFQQAGGTTGTNCCQS
+>DECOY_NM_001099220|187840_3_263_348
+SQCCNTGTTGGAQQFWLAGETAPEAAGM
+>NM_001099220|187841_3_383_651
+MAWQRPGPEEPSGASPRKKTDGLHGRNGGARSHQGEWTVPPASEESLPFPPQYRQWTHRGRLGRKKQETSEAPVHPEVVVCAVSVADHE
+>DECOY_NM_001099220|187841_3_383_651
+EHDAVSVACVVVEPHVPAESTEQKKRGLRGRHTWQRYQPPFPLSEESAPPVTWEGQHSRAGGNRGHLGDTKKRPSAGSPEEPGPRQWAM
+>NM_016044|187852_3_790_1070
+MAAGKNLRHLLPSGPCLGDQGQCSRSTQLKDLLPSEWGSGPERQHQPDGIQDRGPDSLGLPVCYLLPRGCHPNWDPPRCRCIQETSCLSQEGG
+>DECOY_NM_016044|187852_3_790_1070
+GGEQSLCSTEQICRCRPPDWNPHCGRPLLYCVPLGLSDPGRDQIGDPQHQREPGSGWESPLLDKLQTSRSCQGQDGLCPGSPLLHRLNKGAAM
+>NM_017439|187892_2_239_402
+MEILFIPIRMIREMSSLDYMIVKPDKMSFYIPLRKTCKFSVALSTVKGLCLLQV
+>DECOY_NM_017439|187892_2_239_402
+VQLLCLGKVTSLAVSFKCTKRLPIYFSMKDPKVIMYDLSSMERIMRIPIFLIEM
+>NM_018359|187915_2_938_1047
+MAGAVLIDLCRLSALGSNIRDTQRGPFQHTEKFSRL
+>DECOY_NM_018359|187915_2_938_1047
+LRSFKETHQFPGRQTDRINSGLASLRCLDILVAGAM
+>NM_006605|188190_3_777_982
+MGPGSLQRICSPQREDPADHRAWILDCEFEGWRPPLCHHGAADFPLRRPQVTASGDFSGYGHAERFLF
+>DECOY_NM_006605|188190_3_777_982
+FLFREAHGYGSFDGSATVQPRRLPFDAAGHHCLPPRWGEFECDLIWARHDAPDERQPSCIRQLSGPGM
+>NM_032034|188231_2_163_392
+MDTSRIQATTSVTQMTPSKPERRSWGMRPSTLPTPPSCLARVSVFLSMSTLRCRPPTLRMKRLPVAVCSCTPPEST
+>DECOY_NM_032034|188231_2_163_392
+TSEPPTCSCVAVPLRKMRLTPPRCRLTSMSLFVSVRALCSPPTPLTSPRMGWSRREPKSPTMQTVSTTAQIRSTDM
+>NM_032034|188240_2_1603_1946
+MGITWTTITQKGLHPLSACQASAPASTPASTLPSTPASSPAPRSCPRPHTQARRPPCSASSSCWARSGWATPSTNSRRAPTCTPACERSCPTAPCPSRCSPSPSSAPMASGKSR
+>DECOY_NM_032034|188240_2_1603_1946
+RSKGSAMPASSPSPSCRSPCPATPCSRECAPTCTPARRSNTSPTAWGSRAWCSSSASCPPRRAQTHPRPCSRPAPSSAPTSPLTSAPTSAPASAQCASLPHLGKQTITTWTIGM
+>NM_182896|188261_2_797_876
+MERKLTSPLKKAFIGCYMLLQETLMP
+>DECOY_NM_182896|188261_2_797_876
+PMLTEQLLMYCGIFAKKLPSTLKREM
+>NM_003908|188302_2_632_708
+MVSHSVIRQALLGQAQKETTHTRSC
+>DECOY_NM_003908|188302_2_632_708
+CSRTHTTEKQAQGLLAQRIVSHSVM
+>NM_006604|188323_3_503_708
+MGPGSLQRICSLQREDPADHRAWILDCEFEGWKPPLCQHGAADFPLSRPQVTASGDFSGYGHAERFLF
+>DECOY_NM_006604|188323_3_503_708
+FLFREAHGYGSFDGSATVQPRSLPFDAAGHQCLPPKWGEFECDLIWARHDAPDERQLSCIRQLSGPGM
+>NM_020864|188359_2_818_987
+MGPGGRRCRGTPPCPRWAAPRETPRKRSPCTSRWWGTFSETSGRRTMTRARPSTRK
+>DECOY_NM_020864|188359_2_818_987
+KRTSPRARTMTRRGSTESFTGWWRSTCPSRKRPTERPAAWRPCPPTGRCRRGGPGM
+>NM_020864|188361_2_1871_1953
+MGRLPPESLSKLRNGMEHQGHLWSPVD
+>DECOY_NM_020864|188361_2_1871_1953
+DVPSWLHGQHEMGNRLKSLSEPPLRGM
+>NM_020864|188367_3_1911_2008
+MGWNTRDTCGHQSTRKMLCEPHLVSGKPQFRA
+>DECOY_NM_020864|188367_3_1911_2008
+ARFQPKGSVLHPECLMKRTSQHGCTDRTNWGM
+>NM_021026|188386_3_781_986
+MGPGSLQRICSPQREDPSDHRAWILDCEFEGWKPPLCQHGAADFPLRRPQVTASGDFSGYGHAERFLF
+>DECOY_NM_021026|188386_3_781_986
+FLFREAHGYGSFDGSATVQPRRLPFDAAGHQCLPPKWGEFECDLIWARHDSPDERQPSCIRQLSGPGM
+>NM_004851|188397_2_1098_1222
+MASASACPVSRPWMSLRLQGPSGSSVTSSWGRMWPSSTAGT
+>DECOY_NM_004851|188397_2_1098_1222
+TGATSSPWMRGWSSTVSSGSPGQLRLSMWPRSVPCASASAM
+>NM_004851|188399_3_190_338
+MERTSRAPQVGGPIPWGQAHLRTSLELQGCAVFWGNWAGNASTKLHCCL
+>DECOY_NM_004851|188399_3_190_338
+LCCHLKTSANGAWNGWFVACGQLELSTRLHAQGWPIPGGVQPARSTREM
+>NM_015132|188447_3_245_399
+MGKPWHCPFSDNLWTLCNILFDILYPLLCGWGFSGYSPVWKNKLREVPRTV
+>DECOY_NM_015132|188447_3_245_399
+VTRPVERLKNKWVPSYGSFGWGCLLPYLIDFLINCLTWLNDSFPCHWPKGM
+>NM_016436|188472_2_2140_2258
+MGSAWDYWKKMCPRNTPVMFAKTLQVRGLASSTGMTRSG
+>DECOY_NM_016436|188472_2_2140_2258
+GSRTMGTSSALGRVQLTKAFMVPTNRPCMKKWYDWASGM
+>NM_153247|188503_2_996_1105
+MATACTTTLSPGTSTSSTQPRPWPPTSPQRTAQPTR
+>DECOY_NM_153247|188503_2_996_1105
+RTPQATRQPSTPPWPRPQTSSTSTGPSLTTTCATAM
+>NM_152470|188536_2_880_971
+MARARRMRGRSQTQMRNAQFVCLCWKMEKM
+>DECOY_NM_152470|188536_2_880_971
+MKEMKWCLCVFQANRMQTQSRGRMRRARAM
+>NM_178150|188573_2_210_289
+MVSILNREQKEGVGVREVKDASLSSS
+>DECOY_NM_178150|188573_2_210_289
+SSSLSADKVERVGVGEKQERNLISVM
+>NM_178150|188574_2_516_721
+MEFLRKLHGTICLCHAQGLGRPGKKQRTVRLGSLRSLVKPTKMLGTWVLIPFLTHTMGFLGPCPARKH
+>DECOY_NM_178150|188574_2_516_721
+HKRAPCPGLFGMTHTLFPILVWTGLMKTPKVLSRLSGLRVTRQKKGPRGLGQAHCLCITGHLKRLFEM
+>NM_015718|188617_3_846_943
+MADSGPMPRASIFWQGTLGLEMDFRPCGLVCM
+>DECOY_NM_015718|188617_3_846_943
+MCVLGCPRFDMELGLTGQWFISARPMPGSDAM
+>NM_024734|188654_2_1107_1192
+MGSVPTLLTMKPATHHPPKSLSVTSPRA
+>DECOY_NM_024734|188654_2_1107_1192
+ARPSTVSLSKPPHHTAPKMTLLTPVSGM
+>NM_024734|188657_2_1494_1651
+MDMSWQLRLLRKRNRNRNPRRFQNPPLTRSLVTFFWWRAQTIILSLLPVMVL
+>DECOY_NM_024734|188657_2_1494_1651
+LVMVPLLSLIITQARWWFFTVLSRTLPPNQFRRPNRNRNRKRLLRLQWSMDM
+>NM_178833|188869_2_1653_1747
+MVLRRVSQPCSWQLAASMTFWPSLASTHAWA
+>DECOY_NM_178833|188869_2_1653_1747
+AWAHTSALSPWFTMSAALQWSCPQSVRRLVM
+>NM_178833|188870_3_1561_1661
+MAMGIYTGFCFRCCISSCCGAFNAPFAGRRLWC
+>DECOY_NM_178833|188870_3_1561_1661
+CWLRRGAFPANFAGCCSSICCRFCFGTYIGMAM
+>NM_178833|188871_3_1567_1661
+MGIYTGFCFRCCISSCCGAFNAPFAGRRLWC
+>DECOY_NM_178833|188871_3_1567_1661
+CWLRRGAFPANFAGCCSSICCRFCFGTYIGM
+>NM_032807|188893_2_165_259
+MGYIAQGPWAISTGDARGRVPTCWSSQSTLK
+>DECOY_NM_032807|188893_2_165_259
+KLTSQSSWCTPVRGRADGTSIAWPGQAIYGM
+>NM_001120|188930_3_124_452
+MGRGWRLHPPPTHPPAAAGAPRGHRCLSRPPAGPPGLHAAAAPAARAVGEPRPCPRPPLWLLAGRGGLVCHRHRDASGEEVQQCPVRRSHWLGILCPAVSVCATHWGHL
+>DECOY_NM_001120|188930_3_124_452
+LHGWHTACVSVAPCLIGLWHSRRVPCQQVEEGSADRHRHCVLGGRGALLWLPPRPCPRPEGVARAAPAAAAHLGPPGAPPRSLCRHGRPAGAAAPPHTPPPHLRWGRGM
+>NM_033343|188964_2_1133_1266
+MGAPMESPSLHPPYRPCHPTLLCSMGWITRWTVIWASLRMQGRE
+>DECOY_NM_033343|188964_2_1133_1266
+ERGQMRLSAWIVTWRTIWGMSCLLTPHCPRYPPHLSPSEMPAGM
+>NM_198572|189050_2_310_404
+MVSSCPRPQQWQTNESSKKWGSWPWHPWPRC
+>DECOY_NM_198572|189050_2_310_404
+CRPWPHWPWSGWKKSSENTQWQQPRPCSSVM
+>NM_032606|189169_2_1110_1309
+MDVMLAESSLGSFSLMTNPLQFMNIDNLGKIEQMCFLLFKKAFIVISVDEEKENNTDLVIFMLVQP
+>DECOY_NM_032606|189169_2_1110_1309
+PQVLMFIVLDTNNEKEEDVSIVIFAKKFLLFCMQEIKGLNDINMFQLPNTMLSFSGLSSEALMVDM
+>NM_015447|189221_2_2596_2723
+MARIPPASWHLSWYSCTCSWRRSAGPSRPRRRRWRRCRQGSA
+>DECOY_NM_015447|189221_2_2596_2723
+ASGQRCRRWRRRRPRSPGASRRWSCTCSYWSLHWSAPPIRAM
+>NM_021185|189290_2_1357_1472
+MVMQVNVSRWSATTQLVMTWNFSTTSQNSSLKLEDWSS
+>DECOY_NM_021185|189290_2_1357_1472
+SSWDELKLSSNQSTTSFNWTMVLQTTASWRSVNVQMVM
+>NM_032290|189401_2_2769_2875
+MGAQCFYNRGMLRENCPWIMWFHLKSKKNCLLLQK
+>DECOY_NM_032290|189401_2_2769_2875
+KQLLLCNKKSKLHFWMIWPCNERLMGRNYFCQAGM
+>NM_022843|189418_2_1244_1590
+MVGLHHFWAVPLSPLASVTLMTIALSSQTHKSMSLCMGMLQWAPQLQLSRLWIKTWGPMLKLLILTVRKFHKHLRIYFTWMKTLESLNFSVRLEEVFWSPTSSPSLLMDQAASLL
+>DECOY_NM_022843|189418_2_1244_1590
+LLSAAQDMLLSPSSTPSWFVEELRVSFNLSELTKMWTFYIRLHKHFKRVTLILLKLMPGWTKIWLRSLQLQPAWQLMGMCLSMSKHTQSSLAITMLTVSALPSLPVAWFHHLGVM
+>NM_138567|189442_3_478_626
+MGAPAALPGVRLWKPGDQGGPEAGSRPEAWGHRGPLCPGQRLHPGRTQT
+>DECOY_NM_138567|189442_3_478_626
+TQTRGPHLRQGPCLPGRHGWAEPRSGAEPGGQDGPKWLRVGPLAAPAGM
+>NM_024677|189454_2_703_788
+MGMNPCGPCPSLRISPFSVCLMSWLSVP
+>DECOY_NM_024677|189454_2_703_788
+PVSLWSMLCVSFPSIRLSPCPGCPNMGM
+>NM_153341|189494_3_731_846
+MASKSDMRYGPSTEGPDFTSSDQTHFRSQLWARIWTSR
+>DECOY_NM_153341|189494_3_731_846
+RSTWIRAWLQSRFHTQDSSTFDPGETSPGYRMDSKSAM
+>NM_178829|189508_2_216_436
+MGARQRKRPLQTRIKNSSKSTLWPPQWVRCGRWWTWPSRKKTSRPRRQLFTSTLSTSASALVWPVSWFSQEGH
+>DECOY_NM_178829|189508_2_216_436
+HGEQSFWSVPWVLASASTSLTSTFLQRRPRSTKKRSPWTWWRGCRVWQPPWLTSKSSNKIRTQLPRKRQRAGM
+>NM_014824|189530_2_1512_1621
+MALYTRLMQIPKEKKAKSLKITWMFSMTAVPALLAP
+>DECOY_NM_014824|189530_2_1512_1621
+PALLAPVATMSFMWTIKLSKAKKEKPIQMLRTYLAM
+>NM_015106|189588_2_315_403
+MGSSRRGALQLPHLSLSLQSSLGATKART
+>DECOY_NM_015106|189588_2_315_403
+TRAKTAGLSSQLSLSLHPLQLAGRRSSGM
+>NM_015106|189597_2_3462_3802
+MDGSLLSGQLANQRFLKMVGWLPQVPRDLLASPQATADTVPHHPKPPTLRGWPGPSLLTAQRSSVSFSSMQMWLLPGNPVRAPQAPMPPCLAPRPNLWTAVLFPGQLSELSLD
+>DECOY_NM_015106|189597_2_3462_3802
+DLSLESLQGPFLVATWLNPRPALCPPMPAQPARVPNGPLLWMQMSSFSVSSRQATLLSPGPWGRLTPPKPHHPVTDATAQPSALLDRPVQPLWGVMKLFRQNALQGSLLSGDM
+>NM_015106|189598_2_3513_3802
+MVGWLPQVPRDLLASPQATADTVPHHPKPPTLRGWPGPSLLTAQRSSVSFSSMQMWLLPGNPVRAPQAPMPPCLAPRPNLWTAVLFPGQLSELSLD
+>DECOY_NM_015106|189598_2_3513_3802
+DLSLESLQGPFLVATWLNPRPALCPPMPAQPARVPNGPLLWMQMSSFSVSSRQATLLSPGPWGRLTPPKPHHPVTDATAQPSALLDRPVQPLWGVM
+>NM_024909|189642_2_447_541
+MAMGENSSSICCRRSEWNRTNWQLTDPHRSC
+>DECOY_NM_024909|189642_2_447_541
+CSRHPDTLQWNTRNWESRRCCISSSNEGMAM
+>NM_024909|189643_2_453_541
+MGENSSSICCRRSEWNRTNWQLTDPHRSC
+>DECOY_NM_024909|189643_2_453_541
+CSRHPDTLQWNTRNWESRRCCISSSNEGM
+>NM_138356|189658_2_1012_1394
+MGPPRKVRGPPGPGSPACQRMMRGPLRSMTSPGSGRRSGFPKPLQLTLRSSKTYLGLHLWDSWTAAPPCLMGTGTSPVQPRPSLSPAWRTAAPSLKDRRRAACHLAGRRRGGYLPDSLQGTPSQPNP
+>DECOY_NM_138356|189658_2_1012_1394
+PNPQSPTGQLSDPLYGGRRRGALHCAARRRDKLSPAATRWAPSLSPRPQVPSTGTGMLCPPAATWSDWLHLGLYTKSSRLTLQLPKPFGSRRGSGPSTMSRLPGRMMRQCAPSGPGPPGRVKRPPGM
+>NM_138432|189766_3_650_957
+MERPRQPGAGAESSAEDPTRCPGAGSWGWGSPGRGGGWPAGGGLAACTHHCHGDPWGTLLQCGHHSRQAGHTSRHHQCGQEPGCQDGGRSGPGVHAGVQDSL
+>DECOY_NM_138432|189766_3_650_957
+LSDQVGAHVGPGSRGGDQCGPEQGCQHHRSTHGAQRSHHGCQLLTGWPDGHCHHTCAALGGGAPWGGGRGPSGWGWSGAGPCRTPDEASSEAGAGPQRPREM
+>NM_152434|189816_2_958_1061
+MVTVQGIDMLQQILQKIAIMKNLLVMKKIRDLGL
+>DECOY_NM_152434|189816_2_958_1061
+LGLDRIKKMVLLNKMIAIKQLIQQLMDIGQVTVM
+>NM_152434|189822_3_1700_1776
+MACEHTRKISGITRRKKKETDGFNP
+>DECOY_NM_152434|189822_3_1700_1776
+PNFGDTEKKKRRTIGSIKRTHECAM
+>NM_020410|189860_2_476_927
+MAPRSSWPCTAMRAKTGLRCCPSNSRRSSIPTMPWRRSSFSPWPFLWETPSHTIRATEASRKTQRSEQLRRNLGATRPRWWCLTSRSFSRREPQPPSLYFRCSVWGSGAWMSTGTTASLRYPCWWRSRPRWCSSRCGTCRRSGRWATSPT
+>DECOY_NM_020410|189860_2_476_927
+TPSTAWRGSRRCTGCRSSCWRPRSRWWCPYRLSATTGTSMWAGSGWVSCRFYLSPPQPERRSFSRSTLCWWRPRTAGLNRRLQESRQTKRSAETARITHSPTEWLFPWPSFSSRRWPMTPISSRRSNSPCCRLGTKARMATCPWSSRPAM
+>NM_013432|189885_2_133_485
+MAATPRLWSSTGRSCSFGSALTTLWAVPWPTARSESAWPRWRTTRLPCSTSTSTWSWHIPCATTRSCRGPGPPSAAPTWTSMTTASRGMLCCRHRLPLRRAWLLWMRSWRGHWPRES
+>DECOY_NM_013432|189885_2_133_485
+SERPWHGRWSRMWLLWARRLPLRHRCCLMGRSATTMSTWTPAASPPGPGRCSRTTACPIHWSWTSTSTSCPLRTTRWRPWASESRATPWPVAWLTTLASGFSCSRGTSSWLRPTAAM
+>NM_013432|189894_2_2218_2540
+MGQPAAAAAQKARTAQAPHGRPRRGLGARPQHNGWQPGRLAPPATGKQPQPAPAGQPTRQPSGVWAVLRAGWGLAHRGATAKPLPPRQRSSRRRSAWPGTGWSWTCP
+>DECOY_NM_013432|189894_2_2218_2540
+PCTWSWGTGPWASRRRSSRQRPPLPKATAGRHALGWGARLVAWVGSPQRTPQGAPAPQPQKGTAPPALRGPQWGNHQPRAGLGRRPRGHPAQATRAKQAAAAAPQGM
+>NM_007373|190249_2_503_618
+MERRTPVLPNQGWHFQLTIRSNGQTQHLGLEKNPAMQR
+>DECOY_NM_007373|190249_2_503_618
+RQMAPNKELGLHQTQGNSRITLQFHWGQNPLVPTRREM
+>NM_145268|190361_2_495_625
+MAVKSLTQKPPRGRRVKVNTTHHQTVLRGEKWLRGKGIWEVTK
+>DECOY_NM_145268|190361_2_495_625
+KTVEWIGKGRLWKEGRLVTQHHTTNVKVRRGRPPKQTLSKVAM
+>NM_014648|190536_2_2328_2467
+MGPQYPVNLQQNLLKISRKLRVNKGKRRRLRIKRIKTQKKTKSHMW
+>DECOY_NM_014648|190536_2_2328_2467
+WMHSKTKKQTKIRKIRLRRRKGKNVRLKRSIKLLNQQLNVPYQPGM
+>NM_014648|190539_2_2649_2734
+MEKLKRKGLLVKGSFINCTISVKISKDS
+>DECOY_NM_014648|190539_2_2649_2734
+SDKSIKVSITCNIFSGKVLLGKRKLKEM
+>NM_014869|190591_2_1267_1604
+MVPTAAPPRASPGRSLSCGPGPPGPWTATWPSMAQPTGRASLSRTTQTVTMTASTARPTPTIPSTAAPSHRPVTACGSRRSASRPTTRRPATAGTRLPLATMSSARGTTASA
+>DECOY_NM_014869|190591_2_1267_1604
+ASATTGRASSMTALPLRTGATAPRRTTPRSASRRSGCATVPRHSPAATSPITPTPRATSATMTVTQTTRSLSARGTPQAMSPWTATWPGPPGPGCSLSRGPSARPPAATPVM
+>NM_199357|190683_2_1032_1147
+MVKVAYLLHLLVILRDFLSSFLGNCQSPFSQLICMKHF
+>DECOY_NM_199357|190683_2_1032_1147
+FHKMCILQSFPSQCNGLFSSLFDRLIVLLHLLYAVKVM
+>NM_003847|190695_3_619_704
+MAPILSTSFIPISEAASSLAPGHSEEPL
+>DECOY_NM_003847|190695_3_619_704
+LPEESHGPALSSAAESIPIFSTSLIPAM
+>NM_005479|190702_3_701_924
+MAPGRRRLPPPAAATRVPTRNPHRRRRPAPASAAASALWKPHQGGRAKASFATAAVTCKASPTPAPGTSVGPGA
+>DECOY_NM_005479|190702_3_701_924
+AGPGVSTGPAPTPSAKCTVAATAFSAKARGGQHPKWLASAAASAPAPRRRRHPNRTPVRTAAAPPPLRRRGPAM
+>NM_001134437|190832_2_312_385
+MELVMCNISIPARLWKSIATYKRS
+>DECOY_NM_001134437|190832_2_312_385
+SRKYTAISKWLRAPISINCMVLEM
+>NM_177986|190997_2_241_383
+MALQNGKQSEDKSGSGSSLPQPVEKERTTRRGTPLPKFDQTANRTRR
+>DECOY_NM_177986|190997_2_241_383
+RRTRNATQDFKPLPTGRRTTREKEVPQPLSSGSGSKDESQKGNQLAM
+>NM_177986|191001_2_1552_1739
+MALEKQLQEPYVLRFLISMIIVQTFFLKEEPSALTLHQSLSLLMNILMGLRLLSVLLMSHQE
+>DECOY_NM_177986|191001_2_1552_1739
+EQHSMLLVSLLRLGMLINMLLSLSQHLTLASPEEKLFFTQVIIMSILFRLVYPEQLQKELAM
+>NM_177986|191008_3_254_411
+MANSQKTKAGVDQVCRSLSRRRGQLEEEPHCQNSIRLRIEPEDNIPDFWSRD
+>DECOY_NM_177986|191008_3_254_411
+DRSWFDPINDEPEIRLRISNQCHPEEELQGRRRSLSRCVQDVGAKTKQSNAM
+>NM_001423|191083_2_617_708
+MERSITTAIPTSWAGSASASASSSAFSIWS
+>DECOY_NM_001423|191083_2_617_708
+SWISFASSSASASASGAWSTPIATTISREM
+>NM_025010|191123_2_1441_1643
+MVCRSSAVWNTTTTTQPPGTLQLACSTSAAGTEPPPWGARCLSAGATMALASSALPRCTALWQTSGA
+>DECOY_NM_025010|191123_2_1441_1643
+AGSTQWLATCRPLASSALAMTAGASLCRAGWPPPETGAASTSCALQLTGPPQTTTTTNWVASSRCVM
+>NM_012311|191302_2_163_278
+MALSVIVCPNLIRDNYCWLQKILSSLWIIFQRNSEMTF
+>DECOY_NM_012311|191302_2_163_278
+FTMESNRQFIIWLSSLIKQLWCYNDRILNPCVIVSLAM
+>NM_004608|191414_3_359_567
+MEGVQLCGNRNDHHQSWEAHVPCLPSVSHWPGPRGPLLVSSGCDSGGWGSLPLAGPALGAQRQGRAPPA
+>DECOY_NM_004608|191414_3_359_567
+APPARGQRQAGLAPGALPLSGWGGSDCGSSVLLPGRPGPWHSVSPLCPVHAEWSQHHDNRNGCLQVGEM
+>NM_014276|191452_2_775_938
+MGPLWPVHDSGLPSRSTWLMGTLPKETSHRERATFAMAPWCSSSARSPASHYLP
+>DECOY_NM_014276|191452_2_775_938
+PLYHSAPSRASSSCWPAMAFTARERHSTEKPLTGMLWTSRSPLGSDHVPWLPGM
+>NM_006764|191464_2_187_290
+MVARVACGSRRLAKSQRSAPAGVSLAWSPHPPGP
+>DECOY_NM_006764|191464_2_187_290
+PGPPHPSWALSVGAPASRQSKALRRSGCAVRAVM
+>NM_014801|191506_2_614_687
+MAKRKRPVETSPRLPSAAAPEGKA
+>DECOY_NM_014801|191506_2_614_687
+AKGEPAAASPLRPSTEVPRKRKAM
+>NM_014801|191518_3_3522_3805
+MGSHRLRSGCCPLICSQRQHCIPVIATISQHRAVCLGWSRGVCNTLRAPSAPQASSLDVDFTPHSQKQRVSSTGSERCCPFNVVRKTLCLASVF
+>DECOY_NM_014801|191518_3_3522_3805
+FVSALCLTKRVVNFPCCRESGTSSVRQKQSHPTFDVDLSSAQPASPARLTNCVGRSWGLCVARHQSITAIVPICHQRQSCILPCCGSRLRHSGM
+>NM_024494|191527_2_1353_1468
+MVPTSPQPAKAIAVPPGLILSTLTTLQITVSWTRLQVP
+>DECOY_NM_024494|191527_2_1353_1468
+PVQLRTWSVTIQLTTLTSLILGPPVAIAKAPQPSTPVM
+>NM_024494|191528_3_820_920
+MDPRVSAPIPPPPLELYHPGPGPHRLWPCHAQK
+>DECOY_NM_024494|191528_3_820_920
+KQAHCPWLRHPGPGPHYLELPPPPIPASVRPDM
+>NM_033066|191591_2_1694_1782
+MVSTKATCMALVWMLFKQSLSKERSVSWT
+>DECOY_NM_033066|191591_2_1694_1782
+TWSVSREKSLSQKFLMWVLAMCTAKTSVM
+>NM_003906|191652_2_946_1022
+MATSQQKIRILCPGAIILQTNDLSA
+>DECOY_NM_003906|191652_2_946_1022
+ASLDNTQLIIAGPCLIRIKQQSTAM
+>NM_003906|191660_2_4348_4427
+MVPLMLWRHRRTSWEPVGSCCCFPPK
+>DECOY_NM_003906|191660_2_4348_4427
+KPPFCCCSGVPEWSTRRHRWLMLPVM
+>NM_033655|191732_2_432_556
+MELVAGLHLCQINTNGCKLTLEREWRSLLSPPKEDMGALTG
+>DECOY_NM_033655|191732_2_432_556
+GTLAGMDEKPPSLLSRWERELTLKCGNTNIQCLHLGAVLEM
+>NM_033655|191733_2_582_718
+MVGETGSSIAEKKASGVFQETQTQTVWCTTDSSLPLKPGSCAFSL
+>DECOY_NM_033655|191733_2_582_718
+LSFACSGPKLPLSSDTTCWVTQTQTEQFVGSAKKEAISSGTEGVM
+>NM_033655|191740_2_3150_3268
+MEGDAERNAGGSPVTVPSQPMMGRSAPMRFPHILQLAPQ
+>DECOY_NM_033655|191740_2_3150_3268
+QPALQLIHPFRMPASRGMMPQSPVTVPSGGANREADGEM
+>NM_015151|191798_2_2433_2587
+MDCLESRRMCLRQFRSPQEEHPSLTGHSPGQACWASSGLTTWSSSWANWTG
+>DECOY_NM_015151|191798_2_2433_2587
+GTWNAWSSSWTTLGSSAWCAQGPSHGTLSPHEEQPSRFQRLCMRRSELCDM
+>NM_206891|191873_2_2433_2551
+MDCLESRRMCLRQFRSPQEEHPSLTGHSPGQACWASSGL
+>DECOY_NM_206891|191873_2_2433_2551
+LGSSAWCAQGPSHGTLSPHEEQPSRFQRLCMRRSELCDM
+>NM_006662|191927_2_1241_1521
+MGTFNPKRMRKRMMRKRLKLKNNRKAMMQRPRGVRLSCFAVRENCHWKSCSVPFPLSCWKGLPAPLKPPHLMIVTPEMGLKKVLKKSPLRCWR
+>DECOY_NM_006662|191927_2_1241_1521
+RWCRLPSKKLVKKLGMEPTVIMLHPPKLPAPLGKWCSLPFPVSCSKWHCNERVAFCSLRVGRPRQMMAKRNNKLKLRKRMMRKRMRKPNFTGM
+>NM_006662|191948_2_2480_2703
+MEPRKRGSSSGRAGPSPMPFMCVSHLTSWCCRTTRPSVARTGAISFWMRRRTSRTSSHSAGSHSSTSTARDACS
+>DECOY_NM_006662|191948_2_2480_2703
+SCADRATSTSSHSGASHSSTRSTRRRMWFSIAGTRAVSPRTTRCCWSTLHSVCMFPMPSPGARGSSSGRKRPEM
+>NM_006662|191965_2_7208_7302
+MGFLLVRERKLAGLGLRMRRCPGLSRKLLPS
+>DECOY_NM_006662|191965_2_7208_7302
+SPLLKRSLGPCRRMRLGLGALKRERVLLFGM
+>NM_006662|191968_2_8333_8415
+MAWSSHPQQHLMSHFRSHWRLTGPRKS
+>DECOY_NM_006662|191968_2_8333_8415
+SKRPGTLRWHSRFHSMLHQQPHSSWAM
+>NM_006662|191971_2_9557_9651
+MEAAPSPAWPAFGLKQKECEDGRVEGPWWWL
+>DECOY_NM_006662|191971_2_9557_9651
+LWWWPGEVRGDECEKQKLGFAPWAPSPAAEM
+>NM_017415|192009_2_2080_2156
+MDPATWLRWSTTILSLTNGRCFQRT
+>DECOY_NM_017415|192009_2_2080_2156
+TRQFCRGNTLSLITTSWRLWTAPDM
+>NM_033208|192039_2_2035_2108
+MGIIEKFLKNVGSWKLSWMMIGCG
+>DECOY_NM_033208|192039_2_2035_2108
+GCGIMMWSLKWSGVNKLFKEIIGM
+>NM_004892|192047_2_435_544
+MERRCPLCPDPIPLLNLILSFRKPRSSTLTVVLEET
+>DECOY_NM_004892|192047_2_435_544
+TEELVVTLTSSRPKRFSLILNLLPIPDPCLPCRREM
+>NM_016652|192066_2_333_448
+METVPPPSRLKREVKGQSCKFRFRFRDPVCFLKALWFR
+>DECOY_NM_016652|192066_2_333_448
+RFWLAKLFCVPDRFRFRFKCSQGKVERKLRSPPPVTEM
+>NM_016652|192087_3_781_959
+MGRKPKGDSKGSIHIRACFRCRLPKYYTLAEIRRNGNEESPSQPCSKYLGPGHNNAASS
+>DECOY_NM_016652|192087_3_781_959
+SSAANNHGPGLYKSCPQSPSEENGNRRIEALTYYKPLRCRFCARIHISGKSDGKPKRGM
+>NM_014722|192178_2_1431_1675
+MERQPRRKCHCRSASVTCPTGTAPSPPTQQAPLPTQQIQKLPSPLRSLTSAAWPPRMRVWMTPAQHLPGTPWEKAKSQSHT
+>DECOY_NM_014722|192178_2_1431_1675
+THSQSKAKEWPTGPLHQAPTMWVRMRPPWAASTLSRLPSPLKQIQQTPLPAQQTPPSPATGTPCTVSASRCHCKRRPQREM
+>NM_014722|192182_2_2205_2377
+MVMRFVMLAEVLTQYFQTLRLRNTVTGRFTQKPGGISVKRSLKTQELGPVWQEVLSH
+>DECOY_NM_014722|192182_2_2205_2377
+HSLVEQWVPGLEQTKLSRKVSIGGPKQTFRGTVTNRLRLTQFYQTLVEALMVFRMVM
+>NM_144584|192219_2_1115_1266
+MEERQQNHVFQSSMISMFIKLFLPPHTQAYSRKGSLNLCWLMRCPNKWKA
+>DECOY_NM_144584|192219_2_1115_1266
+AKWKNPCRMLWCLNLSGKRSYAQTHPPLFLKIFMSIMSSQFVHNQQREEM
+>NM_144584|192224_3_675_796
+MERGFVSSFPGGFSETSGSEFDHHIVSWLRCGERLSFAWI
+>DECOY_NM_144584|192224_3_675_796
+IWAFSLREGCRLWSVIHHDFESGSTESFGGPFSSVFGREM
+>NM_052854|192346_2_1069_1220
+MAVTATAPRVPALCPPPALSGPWRAPPRPSPPPHSSLPLTNYRGHQGHCS
+>DECOY_NM_052854|192346_2_1069_1220
+SCHGQHGRYNTLPLSSHPPPSPRPPARWPGSLAPPPCLAPVRPATATVAM
+>NM_052854|192350_2_1744_1880
+MAAAPCCPWSPQMAGKSTPGGRQSSGPGTTCSMITWTAPTRPPST
+>DECOY_NM_052854|192350_2_1744_1880
+TSPPRTPATWTIMSCTTGPGSSQRGGPTSKGAMQPSWPCCPAAAM
+>NM_052854|192352_3_782_1077
+MGAGTQTVLHHGEAGAEPGAARGPSGCPLGHGCRGRHGHHPAAGPQPLVQAAHPPPGPGRDDSAASDQSRASGGEPVPQSDTGGPGADASDAPQQPWQ
+>DECOY_NM_052854|192352_3_782_1077
+QWPQQPADSADAGPGGTDSQPVPEGGSARSQDSAASDDRGPGPPPHAAQVLPQPGAAPHHGHRGRCGHGLPCGSPGRAAGPEAGAEGHHLVTQTGAGM
+>NM_004653|192391_2_1284_1519
+MAVMTITTSSACYHPFLKSPEASGGAQNVSWRSVNSLLKLLDLNRLPRSTVCRVLVKWLIPSSPTTSTCLYIWCLQNL
+>DECOY_NM_004653|192391_2_1284_1519
+LNQLCWIYLCTSTTPSSPILWKVLVRCVTSRPLRNLDLLKLLSNVSRWSVNQAGGSAEPSKLFPHYCASSTTITMVAM
+>NM_004653|192393_2_1575_1684
+MELIFIPKNLAVAFLSAIANKTYLLRRRSMRPVVGT
+>DECOY_NM_004653|192393_2_1575_1684
+TGVVPRMSRRRLLYTKNAIASLFAVALNKPIFILEM
+>NM_004653|192403_3_3958_4067
+MGHKIPVSTVYALTTATPRDNPSLAGCPAEAARAAA
+>DECOY_NM_004653|192403_3_3958_4067
+AAARAAEAPCGALSPNDRPTATTLAYVTSVPIKHGM
+>NM_001037125|192419_2_408_568
+MGCTVPSRTAPWTCGRPCVTSGSCRPRKPCRTASWAAGKGSRICSLGSWPARP
+>DECOY_NM_001037125|192419_2_408_568
+PRAPWSGLSCIRSGKGAAWSATRCPKRPRCSGSTVCPRGCTWPATRSPVTCGM
+>NM_005868|192427_2_205_278
+MGTMAMLIVGIVPVKKKMRGSLKV
+>DECOY_NM_005868|192427_2_205_278
+VKLSGRMKKKVPVIGVILMAMTGM
+>NM_001099415|192448_2_1378_1454
+MAPVHHPSLAQPHPAPRHRRGQQRK
+>DECOY_NM_001099415|192448_2_1378_1454
+KRQQGRRHRPAPHPQALSPHHVPAM
+>NM_001134456|192507_2_1371_1762
+MVETTCRPEFTPSSCRLGLWAGWWITRMGFTRFSLLCYGQAKLKYPYLWSTPVKGSEFFSAYRKINQTGSISRVSSVQEEFLKLLSATCVFLGICPCVTLQTSTLGSPGSASNQRSSLAAAELPISKVDT
+>DECOY_NM_001134456|192507_2_1371_1762
+TDVKSIPLEAAALSSRQNSASGPSGLTSTQLTVCPCIGLFVCTASLLKLFEEQVSSVRSISGTQNIKRYASFFESGKVPTSWLYPYKLKAQGYCLLSFRTFGMRTIWWGAWLGLRCSSPTFEPRCTTEVM
+>NM_001134456|192508_2_1452_1762
+MGFTRFSLLCYGQAKLKYPYLWSTPVKGSEFFSAYRKINQTGSISRVSSVQEEFLKLLSATCVFLGICPCVTLQTSTLGSPGSASNQRSSLAAAELPISKVDT
+>DECOY_NM_001134456|192508_2_1452_1762
+TDVKSIPLEAAALSSRQNSASGPSGLTSTQLTVCPCIGLFVCTASLLKLFEEQVSSVRSISGTQNIKRYASFFESGKVPTSWLYPYKLKAQGYCLLSFRTFGM
+>NM_080860|192589_2_265_530
+MARGSTNLKMVLDISENMLEIKSTVKALLYIQMDPDMKESGQMTCGTAMAYTTTSIMTPTLESGLLIKGMGKAPIYTRRRAVSMLAPG
+>DECOY_NM_080860|192589_2_265_530
+GPALMSVARRRTYIPAKGMGKILLGSELTPTMISTTTYAMATGCTMQGSEKMDPDMQIYLLAKVTSKIELMNESIDLVMKLNTSGRAM
+>NM_080860|192590_2_292_530
+MVLDISENMLEIKSTVKALLYIQMDPDMKESGQMTCGTAMAYTTTSIMTPTLESGLLIKGMGKAPIYTRRRAVSMLAPG
+>DECOY_NM_080860|192590_2_292_530
+GPALMSVARRRTYIPAKGMGKILLGSELTPTMISTTTYAMATGCTMQGSEKMDPDMQIYLLAKVTSKIELMNESIDLVM
+>NM_080860|192595_2_409_530
+MAYTTTSIMTPTLESGLLIKGMGKAPIYTRRRAVSMLAPG
+>DECOY_NM_080860|192595_2_409_530
+GPALMSVARRRTYIPAKGMGKILLGSELTPTMISTTTYAM
+>NM_001042601|192673_2_658_740
+MGILYHITKLVTSFELESRILTDTMKS
+>DECOY_NM_001042601|192673_2_658_740
+SKMTDTLIRSELEFSTVLKTIHYLIGM
+>NM_178496|192738_2_1096_1214
+MGRLLRKRSSVGFTWCLLAPTRVRRTMNGGCPLPGARCS
+>DECOY_NM_178496|192738_2_1096_1214
+SCRAGPLPCGGNMTRRVRTPALLCWTFGVSSRKRLLRGM
+>NM_001932|192771_2_1526_1629
+MVNIRKICMEPAWRPFRLLWPKTKFVWWMWSQKH
+>DECOY_NM_001932|192771_2_1526_1629
+HKQSWMWWVFKTKPWLLRFPRWAPEMCIKRINVM
+>NM_015693|192791_2_2222_2316
+MVVKVEKMMALAPILHRMQYGSKENLRALMV
+>DECOY_NM_015693|192791_2_2222_2316
+VMLARLNEKSGYQMRHLIPALAMMKEVKVVM
+>NM_015693|192793_2_2705_2781
+MVCCLNVHLETGLIRKKHHQLWLTG
+>DECOY_NM_015693|192793_2_2705_2781
+GTLWLQHHKKRILGTELHVNLCCVM
+>NM_152375|192806_2_203_282
+MARQKPRRKQRAQGSLLYRRLLLGCS
+>DECOY_NM_152375|192806_2_203_282
+SCGLLLRRYLLSGQARQKRRPKQRAM
+>NM_018995|192890_2_1711_1784
+MGICWFWRSQGWPKGGLLSTQVIN
+>DECOY_NM_018995|192890_2_1711_1784
+NIVQTSLLGGKPWGQSRWFWCIGM
+>NM_020959|193255_3_401_504
+MAAEPHPRGHSRAHRASPPPPPHACLRLLCHRHV
+>DECOY_NM_020959|193255_3_401_504
+VHRHCLLRLCAHPPPPPSARHARSHGRPHPEAAM
+>NM_178505|193330_3_848_927
+MASTHWRRDHSRSTLSTSSYVCGDSG
+>DECOY_NM_178505|193330_3_848_927
+GSDGCVYSSTSLTSRSHDRRWHTSAM
+>NM_207404|193346_2_995_1086
+MERRSMDVRNVGRLSVFDHIALHIREFTVG
+>DECOY_NM_207404|193346_2_995_1086
+GVTFERIHLAIHDFVSLRGVNRVDMSRREM
+>NM_080651|193391_2_512_585
+MAQRMMIGLAHLVLLVKRGEKLLK
+>DECOY_NM_080651|193391_2_512_585
+KLLKEGRKVLLVLHALGIMMRQAM
+>NM_198595|193414_2_664_923
+MGRGRKPGTSGPPRRPPWTWSRTPKSAPSCCGRSGSASGPSCSASSKTPNCCAIKVPRTSSLRWNCHSKAVTLRTSRKTAKRRSTS
+>DECOY_NM_198595|193414_2_664_923
+STSRRKATKRSTRLTVAKSHCNWRLSSTRPVKIACCNPTKSSASCSPGSASGSRGCCSPASKPTRSWTWPPRRPPGSTGPKRGRGM
+>NM_001039503|193538_2_1360_1457
+MAALFCRGWCVPVLWVSCPAVRACLGHHWCMR
+>DECOY_NM_001039503|193538_2_1360_1457
+RMCWHHGLCARVAPCSVWLVPVCWGRCFLAAM
+>NM_001039503|193545_3_1052_1131
+MERRAGDQTGGVGPEAAHPAWSLHPP
+>DECOY_NM_001039503|193545_3_1052_1131
+PPHLSWAPHAAEPGVGGTQDGARREM
+>NM_005094|193567_2_1098_1201
+MDSACGPTTSSMTASPSTTQQETSWESASACCMA
+>DECOY_NM_005094|193567_2_1098_1201
+AMCCASASEWSTEQQTTSPSATMSSTTPGCASDM
+>NM_005094|193568_2_1365_1567
+MASGSPSGPTFPAASTYPRWLSSTGPQSATVAWATSTARWGPVVSIAASCPSCTPSGWYVSTRTPWS
+>DECOY_NM_005094|193568_2_1365_1567
+SWPTRTSVYWGSPTCSPCSAAISVVPGWRATSTAWAVTASQPGTSSLWRPYTSAAPFTPGSPSGSAM
+>NM_001134734|193689_2_920_1023
+MGFLHPRPWWPRAPAPWAHSPDTSGSTRTHPKTA
+>DECOY_NM_001134734|193689_2_920_1023
+ATKPHTRTSGSTDPSHAWPAPARPWWPRPHLFGM
+>NM_001134734|193694_3_1848_1936
+MEPWHQGAKKGSREPLSQPVAPEPEGRLW
+>DECOY_NM_001134734|193694_3_1848_1936
+WLRGEPEPAVPQSLPERSGKKAGQHWPEM
+>NM_015990|193735_2_550_716
+MALVKKKMNLIPVHAGLPIVVRHYHPVILWSHVHQMNFSKPLIMPSKHLKKWKTI
+>DECOY_NM_015990|193735_2_550_716
+ITKWKKLHKSPMILPKSFNMQHVHSWLIVPHYHRVVIPLGAHVPILNMKKKVLAM
+>NM_015990|193740_2_2224_2303
+MDRHTLILWRLMIPRQMSGPRLLHCA
+>DECOY_NM_015990|193740_2_2224_2303
+ACHLLRPGSMQRPIMLRWLILTHRDM
+>NM_004818|193768_2_374_612
+MGTDGTRTVNDPAYLLVEEKTLNLGRTETLRRMKRMNMVIRSLRPSHYPWRSFWPRKRLRKKLRLSPSSSLKQNERLKL
+>DECOY_NM_004818|193768_2_374_612
+LKLRENQKLSSSPSLRLKKRLRKRPWFSRWPYHSPRLSRIVMNMRKMRRLTETRGLNLTKEEVLLYAPDNVTRTGDTGM
+>NM_004818|193771_2_485_612
+MVIRSLRPSHYPWRSFWPRKRLRKKLRLSPSSSLKQNERLKL
+>DECOY_NM_004818|193771_2_485_612
+LKLRENQKLSSSPSLRLKKRLRKRPWFSRWPYHSPRLSRIVM
+>NM_001134745|193857_2_536_618
+MAKLCTVSLMLSQISLRTFLEGHKAYH
+>DECOY_NM_001134745|193857_2_536_618
+HYAKHGELFTRLSIQSLMLSVTCLKAM
+>NM_183002|193906_3_2619_2737
+MDGTWNISAPVISRCDRQEADYGRRGGQEDSRDGKASIG
+>DECOY_NM_183002|193906_3_2619_2737
+GISAKGDRSDEQGGRRGYDAEQRDCRSIVPASINWTGDM
+>NM_014182|193947_2_363_487
+MGSSLPLPASSSASLLLCSISWPASIPSMMLRTSSSTQPHC
+>DECOY_NM_014182|193947_2_363_487
+CHPQTSSSTRLMMSPISAPWSISCLLLSASSSAPLPLSSGM
+>NM_005586|193956_2_559_737
+MVPWVAPRPTGSCRHTHLSPARAARRVRAAANPPPPRSPSRHRKTAVSTASCPACSASS
+>DECOY_NM_005586|193956_2_559_737
+SSASCAPCSATSVATKRHRSPSRPPPPNAAARVRRAARAPSLHTHRCSGTPRPAVWPVM
+>NM_018469|193988_2_1217_1290
+MATEVIPTSPEVTTQSPLVSPQER
+>DECOY_NM_018469|193988_2_1217_1290
+REQPSVLPSQTTVEPSTPIVETAM
+>NM_018469|194000_3_3309_3460
+MVWFPKATTCGAESSAKTWRERSDFSSCDRLDREETGARVSESFCHAKHG
+>DECOY_NM_018469|194000_3_3309_3460
+GHKAHCFSESVRAGTEERDLRDCSSFDSRERWTKASSEAGCTTAKPFWVM
+>NM_031220|194082_2_1559_1704
+MGSPSSSLMPYTPTAPSSWRAAPGTARHFWMPLPRPLRPRGSSAQDGG
+>DECOY_NM_031220|194082_2_1559_1704
+GGDQASSGRPRLPRPLPMWFHRATGPAARWSSPATPTYPMLSSSPSGM
+>NM_001031723|194202_2_308_441
+MEARLEIALIAENHQVVAIKASLIAQRTAHLVVVKVEKAIPKTK
+>DECOY_NM_001031723|194202_2_308_441
+KTKPIAKEVKVVVLHATRQAILSAKIAVVQHNEAILAIELRAEM
+>NM_152292|194286_3_471_574
+MGRATGTPQTKAKRKTQEEKIRATMSNGTKLRWT
+>DECOY_NM_152292|194286_3_471_574
+TWRLKTGNSMTARIKEEQTKRKAKTQPTGTARGM
+>NM_018463|194354_2_1049_1248
+MDRHISLITTAPSSASKWMKISVPSVRACTPAKRAATAPASYMSLSTRRSMCTGRCSWSGWSLPIW
+>DECOY_NM_018463|194354_2_1049_1248
+WIPLSWGSWSCRGTCMSRRTSLSMYSAPATAARKAPTCARVSPVSIKMWKSASSPATTILSIHRDM
+>NM_017647|194454_2_2483_2598
+MASQTVIAVLAVRKKRAGNPSVVRSEAVGLSQMMTGLR
+>DECOY_NM_017647|194454_2_2483_2598
+RLGTMMQSLGVAESRVVSPNGARKKRVALVAIVTQSAM
+>NM_017647|194458_3_816_940
+MAAGSCQVYACIQPYCGSGPGSNQASPQCGDSPAGHHNRTL
+>DECOY_NM_017647|194458_3_816_940
+LTRNHHGAPSDGCQPSAQNSGPGSGCYPQICAYVQCSGAAM
+>NM_001081675|194492_2_583_1097
+MGSVGRRKRCLRPSWFGSSMTSRPGSDTCRNCSSRSGCSTSTQPSFTTSSPTMPSCSPRLHARSSWRPPRDRCSLCVAPPSQTANSCCMSLQETLTKISSSSWAEGRTASRPPGTSYCTANRPANGRALPNSRHGCTRPLPSPCTAASMCWGAWLSAQGGVWSVTMSTSSP
+>DECOY_NM_001081675|194492_2_583_1097
+PSSTSMTVSWVGGQASLWAGWCMSAATCPSPLPRTCGHRSNPLARGNAPRNATCYSTGPPRSATRGEAWSSSSIKTLTEQLSMCCSNATQSPPAVCLSCRDRPPRWSSRAHLRPSCSPMTPSSTTFSPQTSTSCGSRSSCNRCTDSGPRSTMSSGFWSPRLCRKRRGVSGM
+>NM_017610|194525_2_1944_2128
+MVTIFNIITTTTILPTQLSQFLLPLVILLALWKDLHKYKHLVEQIVVLVPAIMNSRHCQWT
+>DECOY_NM_017610|194525_2_1944_2128
+TWQCHRSNMIAPVLVVIQEVLHKYKHLDKWLALLIVLPLLFQSLQTPLITTTTIINFITVM
+>NM_017610|194526_2_2151_2275
+MEVAVFMEHLHLTPAALFLPPELQSLAIRPLLLPQVNLYHQ
+>DECOY_NM_017610|194526_2_2151_2275
+QHYLNVQPLLLPRIALSQLEPPLFLAAPTLHLHEMFVAVEM
+>NM_017610|194529_2_2283_2380
+MDQAWLRSPSPSPLHSPLSHHVDITCHPLMPL
+>DECOY_NM_017610|194529_2_2283_2380
+LPMLPHCTIDVHHSLPSHLPSPSPSRLWAQDM
+>NM_017610|194533_2_2769_2869
+MVMGIIFMCLRLCPHILDRLQRGLPGNWELKLE
+>DECOY_NM_017610|194533_2_2769_2869
+ELKLEWNGPLGRQLRDLIHPCLRLCMFIIGMVM
+>NM_017610|194534_2_2775_2869
+MGIIFMCLRLCPHILDRLQRGLPGNWELKLE
+>DECOY_NM_017610|194534_2_2775_2869
+ELKLEWNGPLGRQLRDLIHPCLRLCMFIIGM
+>NM_006190|194589_2_507_583
+MALLQVVEIKFILFRIENTLKRWLN
+>DECOY_NM_006190|194589_2_507_583
+NLWRKLTNEIRFLIFKIEVVQLLAM
+>NM_182932|194652_3_2619_2719
+MDGTWNIRCDRQEADYGRRGGQEDSRDGKASIG
+>DECOY_NM_182932|194652_3_2619_2719
+GISAKGDRSDEQGGRRGYDAEQRDCRINWTGDM
+>NM_153254|194795_3_884_1086
+MDLQAHSLQPGQRHLPAPEPGGSCRPAGQDPEHGGRPHPPQDAVPGASGAGGAEVHPEPAAGGREKV
+>DECOY_NM_153254|194795_3_884_1086
+VKERGGAAPEPHVEAGGAGSAGPVADQPPHPRGGHEPDQGAPRCSGGPEPAPLHRQGPQLSHAQLDM
+>NM_006439|194821_2_1415_1557
+MGGSGACLSGSSSSRRRAISQRVRSARVSRRWWPRRWTSAAIGMWSR
+>DECOY_NM_006439|194821_2_1415_1557
+RSWMGIAASTWRRPWWRRSVRASRVRQSIARRRSSSSGSLCAGSGGM
+>NM_014708|194874_2_593_672
+MVQMKVPIICYFLHTVDFFVLQTFSF
+>DECOY_NM_014708|194874_2_593_672
+FSFTQLVFFDVTHLFYCIIPVKMQVM
+>NM_014708|194875_2_2096_2181
+MDFNWQRYFLQQKKQTSWDWHLPGIGFP
+>DECOY_NM_014708|194875_2_2096_2181
+PFGIGPLHWDWSTQKKQQLFYRQWNFDM
+>NM_001017969|194954_2_856_932
+MDWRMRRELHVPQQGVGQHREVWQA
+>DECOY_NM_001017969|194954_2_856_932
+AQWVERHQGVGQQPVHLERRMRWDM
+>NM_001017969|194963_2_1777_1871
+MENIDVAEIACPLPSRKSRKIILIQLAVLLK
+>DECOY_NM_001017969|194963_2_1777_1871
+KLLVALQILIIKRSKRSPLPCAIEAVDINEM
+>NM_001017969|194972_3_1067_1227
+MGKKTSSSSSSNLYGDHVGNSSYWAFPLFSSANSKFARNSLLRIGTLSSDASV
+>DECOY_NM_001017969|194972_3_1067_1227
+VSADSSLTGIRLLSNRAFKSNASSFLPFAWYSSNGVHDGYLNSSSSSSTKKGM
+>NM_016134|194992_2_1073_1221
+MAVEPLYHGKHSHLLKILGCVQRGLCGWCSGLQKNKVELVPSSIISYTR
+>DECOY_NM_016134|194992_2_1073_1221
+RTYSIISSPVLEVKNKQLGSCWGCLGRQVCGLIKLLHSHKGHYLPEVAM
+>NM_016134|194993_2_1373_1485
+MEKGQTSTFGSKLECLEPVYLMTYTSISSSITPTETP
+>DECOY_NM_016134|194993_2_1373_1485
+PTETPTISSSISTYTMLYVPELCELKSGFTSTQGKEM
+>NM_032107|195007_2_151_230
+MAPTPRWVKDPYGSRNPQTLPRSSSG
+>DECOY_NM_032107|195007_2_151_230
+GSSSRPLTQPNRSGYPDKVWRPTPAM
+>NM_032107|195008_2_316_524
+MAGPRREMARRAPNRRRTTPRILQKIPIRTPQRMIAPVSARRAGLTKPRVQILVPLMMAALSCSRSGQS
+>DECOY_NM_032107|195008_2_316_524
+SQGSRSCSLAAMMLPVLIQVRPKTLGARRASVPAIMRQPTRIPIKQLIRPTTRRRNPARRAMERRPGAM
+>NM_032107|195009_2_337_524
+MARRAPNRRRTTPRILQKIPIRTPQRMIAPVSARRAGLTKPRVQILVPLMMAALSCSRSGQS
+>DECOY_NM_032107|195009_2_337_524
+SQGSRSCSLAAMMLPVLIQVRPKTLGARRASVPAIMRQPTRIPIKQLIRPTTRRRNPARRAM
+>NM_032107|195018_2_1594_1895
+MAMISGSTLTTQTSTLPAGAPRQDIPCSLLSDPESPALPPLGAVPLSAIGACPTLGPPNTAFTTGSAPLLVATALAMSQASSQLTIASQAAHWLRGTRAG
+>DECOY_NM_032107|195018_2_1594_1895
+GARTGRLWHAAQSAITLQSSAQSMALATAVLLPASGTTFATNPPGLTPCAGIASLPVAGLPPLAPSEPDSLLSCPIDQRPAGAPLTSTQTTLTSGSIMAM
+>NM_018031|195052_2_1960_2042
+MVAMCIPQGVMEPTTSCLYETASSSQS
+>DECOY_NM_018031|195052_2_1960_2042
+SQSSSATEYLCSTTPEMVGQPICMAVM
+>NM_058240|195103_3_2619_2728
+MDGTWNISAPVISRQEADYGRRGGQEDSRDGKASIG
+>DECOY_NM_058240|195103_3_2619_2728
+GISAKGDRSDEQGGRRGYDAEQRSIVPASINWTGDM
+>NM_001037675|195132_2_1532_1839
+MALMTPTSHIGKPKSHLRKTKSTQLSLAHPLMLNGKMLYTLFQKMKVMMRKRKKKGQCLPGICRSLKRRKSPRSPGMKVIRLPQFLLKCWPRTSLTAAHFTH
+>DECOY_NM_001037675|195132_2_1532_1839
+HTFHAATLSTRPWCKLLFQPLRIVKMGPSRPSKRRKLSRCIGPLCQGKKKRKRMMVKMKQFLTYLMKGNLMLPHALSLQTSKTKRLHSKPKGIHSTPTMLAM
+>NM_004256|195260_3_698_948
+MGGALMEDAGRGPGPVQLLPRADGACGTRLRFPQLEAPSDHRHCAWLTALLLLLGSARICTLAPDPWEDGRGDTTDPEGGLGQ
+>DECOY_NM_004256|195260_3_698_948
+QGLGGEPDTTDGRGDEWPDPALTCIRASGLLLLLATLWACHRHDSPAELQPFRLRTGCAGDARPLLQVPGPGRGADEMLAGGM
+>NM_006039|195292_2_547_752
+MDCRAAWRPRAGRSESPRLAIPASLPSAGSGSPETGYSTWVPCSAWAQAGQAPTPRPPWACMSVTGKH
+>DECOY_NM_006039|195292_2_547_752
+HKGTVSMCAWPPRPTPAQGAQAWASCPVWTSYGTEPSGSGASPLSAPIALRPSESRGARPRWAARCDM
+>NM_006039|195294_2_1024_1133
+MVTCGVPPPRTTAKTSAGASAPSRVTTARPSGTRTS
+>DECOY_NM_006039|195294_2_1024_1133
+STRTGSPRATTVRSPASAGASTKATTRPPPVGCTVM
+>NM_006039|195296_2_2056_2297
+MALSWSPSPTGSSRPSSAASSTTGRASTSGRPCRTSTAPAPSSGSVGMKSCTPTGTGTSPGTAVGAAWRWPLAAPWGCGR
+>DECOY_NM_006039|195296_2_2056_2297
+RGCGWPAALPWRWAAGVATGPSTGTGTPTCSKMGVSGSSPAPATSTRCPRGSTSARGTTSSAASSPRSSGTPSPSWSLAM
+>NM_006039|195302_2_4174_4397
+MAAVPRDWQTPRGFPSGSTAILSTWSCCWATRRRDSAARERVGPSCLSWMRWRMCLSGSTCRAMRARVGAPGWA
+>DECOY_NM_006039|195302_2_4174_4397
+AWGPAGVRARMARCTSGSLCMRWRMWSLCSPGVRERAASDRRRTAWCCSWTSLIATSGSPFGRPTQWDRPVAAM
+>NM_148904|195338_3_1251_1357
+MVPLSLSCGKERISCQKAIQSHFGRDFSVSLDITK
+>DECOY_NM_148904|195338_3_1251_1357
+KTIDLSVSFDRGFHSQIAKQCSIREKGCSLSLPVM
+>NM_148904|195339_3_1818_1936
+MEWCDVCKICNRGKYSLCRYQEVAYNQEESEEVGRSERV
+>DECOY_NM_148904|195339_3_1818_1936
+VRESRGVEESEEQNYAVEQYRCLSYKGRNCIKCVDCWEM
+>NM_016338|195510_3_2199_2278
+MVSNFGKQSMYYTRVASYISEYVTTS
+>DECOY_NM_016338|195510_3_2199_2278
+STTVYESIYSAVRTYYMSQKGFNSVM
+>NM_017745|195619_2_1766_1872
+MVVLSIDLKSSALLPHPGWCPGQVLTKRTMAKACR
+>DECOY_NM_017745|195619_2_1766_1872
+RCAKAMTRKTLVQGPCWGPHPLLASSKLDISLVVM
+>NM_017745|195630_2_4745_4890
+MALMSTVVPRMEPGLCTMLLRTITWKLSDYFSLMVLTPPWLRTQVEPS
+>DECOY_NM_017745|195630_2_4745_4890
+SPEVQTRLWPPTLVMLSFYDSLKWTITRLLMTCLGPEMRPVVTSMLAM
+>NM_017745|195632_2_4775_4890
+MEPGLCTMLLRTITWKLSDYFSLMVLTPPWLRTQVEPS
+>DECOY_NM_017745|195632_2_4775_4890
+SPEVQTRLWPPTLVMLSFYDSLKWTITRLLMTCLGPEM
+>NM_017745|195646_3_3840_3919
+MGAAGVGSRWQTWPAKQEGSDPGHSA
+>DECOY_NM_017745|195646_3_3840_3919
+ASHGPDSGEQKAPWTQWRSGVGAAGM
+>NM_015072|195819_2_3779_3969
+MVQVVEFPVPQLVARSQPLCHKKWYHLQVLAPPWFPNPHPTTNKCSEGQHPRKLPKGPPRKGS
+>DECOY_NM_015072|195819_2_3779_3969
+SGKRPPGKPLKRPHQGESCKNTTPHPNPFWPPALVQLHYWKKHCLPQSRAVLQPVPFEVVQVM
+>NM_015072|195822_3_3471_3670
+MVYTVRPPSSRESLQLSWKQEPADRGICLGRRSRKQRVQPGYRGGPPAQVSPHSRQLSASICPAAT
+>DECOY_NM_015072|195822_3_3471_3670
+TAAPCISASLQRSHPSVQAPPGGRYGPQVRQKRSRRGLCIGRDAPEQKWSLQLSERSSPPRVTYVM
+>NM_014997|195880_3_554_681
+MAPDGHRWLHAPGIGIYVTCAAWKQPVSIWRYGHPIWREQRQ
+>DECOY_NM_014997|195880_3_554_681
+QRQERWIPHGYRWISVPQKWAACTVYIGIGPAHLWRHGDPAM
+>NM_014997|195881_3_713_897
+MGFAQLSGEETQSYIWTGYGHHQWLPLCLWRYNRLYLQHRPAQVRSQYQRVDTTETKQPIL
+>DECOY_NM_014997|195881_3_713_897
+LIPQKTETTDVRQYQSRVQAPRHQLYLRNYRWLCLPLWQHHGYGTWIYSQTEEGSLQAFGM
+>NM_014873|195996_3_757_833
+MDCFVSRRGLPQEEARNKSGICQEK
+>DECOY_NM_014873|195996_3_757_833
+KEQCIGSKNRAEEQPLGRRSVFCDM
+>NM_024835|196048_2_1633_1874
+MVVIVDIHLAWKGVKQVLGRVRMLPALKAFVIMMNTVMTLVFITVKTKRMMVIVVLNVGQILKRTTQKEKIKRRRRKARY
+>DECOY_NM_024835|196048_2_1633_1874
+YRAKRRRRKIKEKQTTRKLIQGVNLVVIVMMRKTKVTIFVLTMVTNMMIVFAKLAPLMRVRGLVQKVGKWALHIDVIVVM
+>NM_024835|196054_2_1783_1874
+MVIVVLNVGQILKRTTQKEKIKRRRRKARY
+>DECOY_NM_024835|196054_2_1783_1874
+YRAKRRRRKIKEKQTTRKLIQGVNLVVIVM
+>NM_012172|196088_2_513_646
+MGKACGDASVADSVRASKIRTRRACISRHLEAAADVSARAWPPC
+>DECOY_NM_012172|196088_2_513_646
+CPPWARASVDAAAELHRSICARRTRIKSARVSDAVSADGCAKGM
+>NM_033506|196103_2_513_610
+MGKACGDASVADSVRASKIRVLESGPGRELPF
+>DECOY_NM_033506|196103_2_513_610
+FPLERGPGSELVRIKSARVSDAVSADGCAKGM
+>NM_014336|196153_3_745_836
+MGGAVAEAGEDDQYSDPQLLPVPAEEGGVL
+>DECOY_NM_014336|196153_3_745_836
+LVGGEEAPVPLLQPDSYQDDEGAEAVAGGM
+>NM_014371|196368_2_184_395
+MDMELGTLGQIEATRAMAMAMAMARITPPTMGMVWPLHTLGKCLALTQMQTLVPRVAPVPIPFYPELTSA
+>DECOY_NM_014371|196368_2_184_395
+ASTLEPYFPIPVPAVRPVLTQMQTLALCKGLTHLPWVMGMTPPTIRAMAMAMAMARTAEIQGLTGLEMDM
+>NM_014371|196369_2_190_395
+MELGTLGQIEATRAMAMAMAMARITPPTMGMVWPLHTLGKCLALTQMQTLVPRVAPVPIPFYPELTSA
+>DECOY_NM_014371|196369_2_190_395
+ASTLEPYFPIPVPAVRPVLTQMQTLALCKGLTHLPWVMGMTPPTIRAMAMAMAMARTAEIQGLTGLEM
+>NM_014371|196370_2_232_395
+MAMAMAMARITPPTMGMVWPLHTLGKCLALTQMQTLVPRVAPVPIPFYPELTSA
+>DECOY_NM_014371|196370_2_232_395
+ASTLEPYFPIPVPAVRPVLTQMQTLALCKGLTHLPWVMGMTPPTIRAMAMAMAM
+>NM_014371|196371_2_238_395
+MAMAMARITPPTMGMVWPLHTLGKCLALTQMQTLVPRVAPVPIPFYPELTSA
+>DECOY_NM_014371|196371_2_238_395
+ASTLEPYFPIPVPAVRPVLTQMQTLALCKGLTHLPWVMGMTPPTIRAMAMAM
+>NM_014371|196372_2_244_395
+MAMARITPPTMGMVWPLHTLGKCLALTQMQTLVPRVAPVPIPFYPELTSA
+>DECOY_NM_014371|196372_2_244_395
+ASTLEPYFPIPVPAVRPVLTQMQTLALCKGLTHLPWVMGMTPPTIRAMAM
+>NM_014371|196373_2_250_395
+MARITPPTMGMVWPLHTLGKCLALTQMQTLVPRVAPVPIPFYPELTSA
+>DECOY_NM_014371|196373_2_250_395
+ASTLEPYFPIPVPAVRPVLTQMQTLALCKGLTHLPWVMGMTPPTIRAM
+>NM_014371|196374_2_274_395
+MGMVWPLHTLGKCLALTQMQTLVPRVAPVPIPFYPELTSA
+>DECOY_NM_014371|196374_2_274_395
+ASTLEPYFPIPVPAVRPVLTQMQTLALCKGLTHLPWVMGM
+>NM_014371|196375_2_280_395
+MVWPLHTLGKCLALTQMQTLVPRVAPVPIPFYPELTSA
+>DECOY_NM_014371|196375_2_280_395
+ASTLEPYFPIPVPAVRPVLTQMQTLALCKGLTHLPWVM
+>NM_014371|196380_2_688_866
+MGACGKTPWGPGASACLVPLGCPPSSPRTSSPSTACSRACEVGAPSRAAPALVSGLAMA
+>DECOY_NM_014371|196380_2_688_866
+AMALGSVLAPAARSPAGVECARSCATSPSSTRPSSPPCGLPVLCASAGPGWPTKGCAGM
+>NM_014371|196385_2_1171_1358
+MARPSASCRQARRVRTSRKSGSETAWWKGSSLCVLCANTGPSMRTRWPAILTASSTRNTLST
+>DECOY_NM_014371|196385_2_1171_1358
+TSLTNRTSSATLIAPWRTRMSPGTNACLVCLSSGKWWATESGSKRSTRVRRAQRCSASPRAM
+>NM_207437|196551_2_10289_10431
+MASSPPGPAASLCVSTPSSRPSTGSREKRRRTICGSLPLMTLTSSSS
+>DECOY_NM_207437|196551_2_10289_10431
+SSSSTLTMLPLSGCITRRRKERSGTSPRSSPTSVCLSAAPGPPSSAM
+>NM_207437|196560_3_3000_3088
+MEAVSTSLEIGQSYCDGEICCQETSLCSI
+>DECOY_NM_207437|196560_3_3000_3088
+ISCLSTEQCCIEGDCYSQGIELSTSVAEM
+>NM_005666|196728_2_165_286
+MEFYMMKKNISHFPKFLQGKFSITPVNIILCLLQNPFGLA
+>DECOY_NM_005666|196728_2_165_286
+ALGFPNQLLCLIINVPTISFKGQLFKPFHSINKKMMYFEM
+>NM_005666|196731_2_357_466
+MVILNLQDKHIWKVILYKLFATQDTDFKTMRTTFHV
+>DECOY_NM_005666|196731_2_357_466
+VHFTTRMTKFDTDQTAFLKYLIVKWIHKDQLNLIVM
+>NM_005666|196732_2_546_646
+METLLHSCCQYMLQVHQLSTSARTCINLRVTIK
+>DECOY_NM_005666|196732_2_546_646
+KITVRLNICTRASTSLQHVQLMYQCCSHLLTEM
+>NM_018431|196770_2_779_909
+MDVILRGSLLRQGGCVRLVKGCLSFRPETGRPSIRKSTLLPWP
+>DECOY_NM_018431|196770_2_779_909
+PWPLLTSKRISPRGTEPRFSLCGKVLRVCGGQRLLSGRLIVDM
+>NM_019114|196817_2_395_585
+MGGHGGARPPPPPPRRCPPRPGAACSRRAAGPCSPAARPCTSPPPAPPRPPSTAASSCSTGPK
+>DECOY_NM_019114|196817_2_395_585
+KPGTSCSSAATSPPRPPAPPPSTCPRAAPSCPGAARRSCAAGPRPPCRRPPPPPPRAGGHGGM
+>NM_019114|196822_2_1766_1866
+MGAHRSSPQLQEGITTSTSISISTSTTQTTASH
+>DECOY_NM_019114|196822_2_1766_1866
+HSATTQTTSTSISISTSTTIGEQLQPSSRHAGM
+>NM_032040|196944_3_1643_1731
+MEAQDQLGLLSAPQEGADSTHRSGGRHRG
+>DECOY_NM_032040|196944_3_1643_1731
+GRHRGGSRHTSDAGEQPASLLGLQDQAEM
+>NM_001031737|196952_2_419_561
+MAPARAVQSQWSLTPGIPGQQPKSSDTKPRCLDTLMTTDSRCSPRTP
+>DECOY_NM_001031737|196952_2_419_561
+PTRPSCRSDTTMLTDLCRPKTDSSKPQQGPIGPTLSWQSQVARAPAM
+>NM_182902|197009_2_1970_2166
+MGMSKTCFRGTGKLPALSPFPQTPRRRNYAQLGPTPHPPNQWPLRSLRMSKVVRSTEFSKKTNPS
+>DECOY_NM_182902|197009_2_1970_2166
+SPNTKKSFETSRVVKSMRLSRLPWQNPPHPTPGLQAYNRRRPTQPFPSLAPLKGTGRFCTKSMGM
+>NM_021783|197163_2_108_376
+MERVEMPTAQPALLAGTKAAGATTDVRVASPVLSSIVFRRSTAQLPLMLSVGTVCPGSTERHALEACRTKSASRARSRPPPLRFNVPSS
+>DECOY_NM_021783|197163_2_108_376
+SSPVNFRLPPPRSRARSASKTRCAELAHRETSGPCVTGVSLMLPLQATSRRFVISSLVPSAVRVDTTAGAAKTGALLAPQATPMEVREM
+>NM_015350|197210_3_629_750
+MVGRLLVLHHTDHAAGGRAGRSSPADAEQGSVLSSMQSGI
+>DECOY_NM_015350|197210_3_629_750
+IGSQMSSLVSGQEADAPSSRGARGGAAHDTHHLVLLRGVM
+>NM_005750|197299_2_311_417
+MDGNPGELTLELQTLQIKQSQNALLPAGPLTQTPV
+>DECOY_NM_005750|197299_2_311_417
+VPTQTLPGAPLLANQSQKIQLTQLELTLEGPNGDM
+>NM_002628|197337_2_138_235
+MAAARRPPLSATATPNTSGQPRPGASFRALRQ
+>DECOY_NM_002628|197337_2_138_235
+QRLARFSAGPRPQGSTNPTATASLPPRRAAAM
+>NM_130787|197373_2_233_450
+MGCGGSRCSSPTSGTVRAKRRKLRESTRNWPTSAPSSKETKPWMATVRKNMCVNCFSSSCLAMTLTLGTWRL
+>DECOY_NM_130787|197373_2_233_450
+LRWTGLTLTMALCSSSFCNVCMNKRVTAMWPKTEKSSPASTPWNRTSERLKRRKARVTGSTPSSCRSGGCGM
+>NM_130787|197383_2_2099_2376
+MAGGTPAATTSTGAWSPPPALCRRPRPPPTSWGCGQPLPRQHPRLLQEQGTFWWTSSMARPPSPAWGPPPRRPSSAQVLRTSALPFRKPMSC
+>DECOY_NM_130787|197383_2_2099_2376
+CSMPKRFPLASTRLVQASSPRRPPPGWAPSPPRAMSSTWWFTGQEQLLRPHQRPLPQGCGWSTPPPRPRRCLAPPPSWAGTSTTAAPTGGAM
+>NM_024604|197431_2_182_300
+MVFLKRIYLLFEMGILGKRRKAKLKSLPKKPERKTQKTG
+>DECOY_NM_024604|197431_2_182_300
+GTKQTKREPKKPLSKLKAKRRKGLIGMEFLLYIRKLFVM
+>NM_024604|197432_2_218_300
+MGILGKRRKAKLKSLPKKPERKTQKTG
+>DECOY_NM_024604|197432_2_218_300
+GTKQTKREPKKPLSKLKAKRRKGLIGM
+>NM_000216|197455_2_361_437
+MVPWFGARITSNVLSAWSPARNQGT
+>DECOY_NM_000216|197455_2_361_437
+TGQNRAPSWASLVNSTIRAGFWPVM
+>NM_000216|197457_2_823_899
+MESILAKMTPLTGRQWPRPQTSEFN
+>DECOY_NM_000216|197457_2_823_899
+NFESTQPRPWQRGTLPTMKALISEM
+>NM_000216|197460_2_1462_1592
+MANCKLKSTGRRQKIPLSTDIMCGGFLKRVPTTEQPDQRHHLA
+>DECOY_NM_000216|197460_2_1462_1592
+ALHHRQDPQETTPVRKLFGGCMIDTSLPIKQRRGTSKLKCNAM
+>NM_001782|197526_3_831_907
+MDNASEKLLLHLTYFKKLAGEPKTM
+>DECOY_NM_001782|197526_3_831_907
+MTKPEGALKKFYTLHLLLKESANDM
+>NM_013336|197546_2_701_912
+MALALVFLSSLQLTSVKPSYGRHSAPLLSTLAEEWNLKVLSSHFSICWPHAQTRSEPFGRRSTARIFPTS
+>DECOY_NM_013336|197546_2_701_912
+STPFIRATSRRGFPESRTQAHPWCISFHSSLVKLNWEEALTSLLPASHRGYSPKVSTLQLSSLFVLALAM
+>NM_007184|197618_2_1092_1213
+MDCWLWTICSTCITLCIWTCPTTSSPPWKGFTPSWGTSRP
+>DECOY_NM_007184|197618_2_1092_1213
+PRSTGWSPTFGKWPPSSTTPCTWICLTICTSCITWLWCDM
+>NM_007184|197630_3_2206_2495
+MGPGRGRGLPAGAHPHPQGAVVLPDPCAGQYPPVRRLPCAHRLRHRSLRDPAPGVSGQQPAHPLLPALCLLLPAWRPHRVWLPHAGAVSGAQGTAQ
+>DECOY_NM_007184|197630_3_2206_2495
+QATGQAGSVAGAHPLWVRHPRWAPLLLCLAPLLPHAPQQGSVGPAPDRLSRHRLRHACPLRRVPPYQGACPDPLVVAGQPHPHAGAPLGRGRGPGM
+>NM_007243|197705_3_164_264
+MAGCPAGPQHPCPPGMGSGAPASICWAAQPHGS
+>DECOY_NM_007243|197705_3_164_264
+SGHPQAAWCISAPAGSGMGPPCPHQPGAPCGAM
+>NM_007243|197706_3_278_408
+MDIPVLWGPSEVTVCGLHCPGLAAGDAVLGAHTQRPCVVGGSG
+>DECOY_NM_007243|197706_3_278_408
+GSGGVVCPRQTHAGLVADGAALGPCHLGCVTVESPGWLVPIDM
+>NM_001009955|197758_2_736_938
+MGCREAPSRQVSFSLLCHRDTQAAPGPRSEWETSLREEFLGHSHCCPILWIPHDNKATPTWEDQCRE
+>DECOY_NM_001009955|197758_2_736_938
+ERCQDEWTPTAKNDHPIWLIPCCHSHGLFEERLSTEWESRPGPAAQTDRHCLLSFSVQRSPAERCGM
+>NM_145911|197773_2_1673_1782
+MASAVVLHILHIRESTLERNLTSVMTVGKRSMLMQN
+>DECOY_NM_145911|197773_2_1673_1782
+NQMLMSRKGVTMVSTLNRELTSERIHLIHLVVASAM
+>NM_004220|197787_2_556_728
+MAGIPKPAASASGNSATGMCMGLMRPSASSGSSAAAGCGPSCVPRSRSWSCWCWSSS
+>DECOY_NM_004220|197787_2_556_728
+SSSWCWCSWSRSRPVCSPGCGAAASSGSSASPRMLGMCMGTASNGSASAAPKPIGAM
+>NM_004220|197794_3_1091_1191
+MGHPGPCSAGSLLGHKAGELPEHHPGFWAQRPK
+>DECOY_NM_004220|197794_3_1091_1191
+KPRQAWFGPHHEPLEGAKHGLLSGASCPGPHGM
+>NM_017964|197831_2_1280_1353
+MDTHLTAACLIKDLEFQELEQLKD
+>DECOY_NM_017964|197831_2_1280_1353
+DKLQELEQFELDKILCAATLHTDM
+>NM_153265|197922_2_618_850
+MDPQPLRGPAKSLAGPNLKEGAAAAVVLAPLAPRGSSGPCSPHSVLTRREEILPPPHPPQSGLGRSSPGRQSPPPTC
+>DECOY_NM_153265|197922_2_618_850
+CTPPPSQRGPSSRGLGSQPPHPPPLIEERRTLVSHPSCPGSSGRPALPALVVAAAAGEKLNPGALSKAPGRLPQPDM
+>NM_153265|197925_2_1635_1894
+MGPLPGNRVSLGNTRNPSLSLALCSFRMETFSLETQRGTFSPGGGALQIPRPQAGVAPKRPMGLWPRLTLMKVLSSPCVSGGTGQC
+>DECOY_NM_153265|197925_2_1635_1894
+CQGTGGSVCPSSLVKMLTLRPWLGMPRKPAVGAQPRPIQLAGGGPSFTGRQTELSFTEMRFSCLALSLSPNRTNGLSVRNGPLPGM
+>NM_153265|197929_2_2181_2272
+MGRAMHWPGASTSRRLVSVLTSTRVGQLWP
+>DECOY_NM_153265|197929_2_2181_2272
+PWLQGVRTSTLVSVLRRSTSAGPWHMARGM
+>NM_153265|197933_2_2442_2593
+MVPNPAALAAVWVTPASSLILTGPRMGISSCPILGTMRFFTGTWLEAASS
+>DECOY_NM_153265|197933_2_2442_2593
+SSAAELWTGTFFRMTGLIPCSSIGMRPGTLILSSAPTVWVAALAAPNPVM
+>NM_153265|197934_2_2517_2593
+MGISSCPILGTMRFFTGTWLEAASS
+>DECOY_NM_153265|197934_2_2517_2593
+SSAAELWTGTFFRMTGLIPCSSIGM
+>NM_018409|197977_2_1422_1513
+MGIWSPRVHLGSCTCMDKASGRIRKLPCSA
+>DECOY_NM_018409|197977_2_1422_1513
+ASCPLKRIRGSAKDMCTCSGLHVRPSWIGM
+>NM_032811|198040_2_956_1062
+MVVCSLSLKLFLKMTPRMPLSALLQMLVMQNCSGL
+>DECOY_NM_032811|198040_2_956_1062
+LGSCNQMVLMQLLASLPMRPTMKLFLKLSLSCVVM
+>NM_001517|198071_3_671_771
+MGGGLALHGGLPQCSCQPGLGSAPQPGWAHEEY
+>DECOY_NM_001517|198071_3_671_771
+YEEHAWGPQPASGLGPQCSCQPLGGHLALGGGM
+>NM_144987|198077_2_291_508
+MESGPWLNSVTAGSTGRLCTGMYPRWLLQLHASAAHFPEPPEAALWAGTQAQVTPEVPYWPPSPREEPSVFP
+>DECOY_NM_144987|198077_2_291_508
+PFVSPEERPSPPWYPVEPTVQAQTGAWLAAEPPEPFHAASAHLQLLWRPYMGTCLRGTSGATVSNLWPGSEM
+>NM_020800|198125_2_1790_1911
+MESFFLIRMKSWKLLWIKKDLPMIEKLLSLIKIEISVSLL
+>DECOY_NM_020800|198125_2_1790_1911
+LLSVSIEIKILSLLKEIMPLDKKIWLLKWSKMRILFFSEM
+>NM_020800|198135_3_1971_2071
+MERYMQYPLWTSRYSIYSVVLPQYSLCGQRHFA
+>DECOY_NM_020800|198135_3_1971_2071
+AFHRQGCLSYQPLVVSYISYRSTWLPYQMYREM
+>NM_153497|198223_2_797_891
+MATRTLTFSALPSPNQSSQSQKSMGHSRWMG
+>DECOY_NM_153497|198223_2_797_891
+GMWRSHGMSKQSQSSQNPSPLASFTLTRTAM
+>NM_004498|198385_2_972_1228
+MEVIQGRWKRSIPKRWRSVSPPSSSATASHRPSSRRGCSAAPRGPSRTCCATPNPGANSNPAGRPSGGCGSGCRSRSSSACPRSA
+>DECOY_NM_004498|198385_2_972_1228
+ASRPCASSSRSRCGSGCGGSPRGAPNSNAGPNPTACCTRSPGRPAASCGRRSSPRHSATASSSPPSVSRWRKPISRKWRGQIVEM
+>NM_004498|198386_2_1257_1405
+MGRIEATHPKSPGWSSQMSSVELYMQYSRKISVHPKNCKSPFPSSWGWS
+>DECOY_NM_004498|198386_2_1257_1405
+SWGWSSPFPSKCNKPHVSIKRSYQMYLEVSSMQSSWGPSKPHTAEIRGM
+>NM_152515|198568_2_1826_1920
+MGQHQYKSCGKLFLISCKTQTEPQKGLLLTL
+>DECOY_NM_152515|198568_2_1826_1920
+LTLLLGKQPETQTKCSILFLKGCSKYQHQGM
+>NM_203346|198758_2_3007_3158
+MGTKLGRGERLKIVTPALQGGVTSSSSLAGKKSVRLPRKLWRHWFLSPLK
+>DECOY_NM_203346|198758_2_3007_3158
+KLPSLFWHRWLKRPLRVSKKGALSSSSTVGGQLAPTVIKLREGRGLKTGM
+>NM_203346|198760_2_3505_3581
+MGTSPRTKLPSQGTKRTQKLPGMLY
+>DECOY_NM_203346|198760_2_3505_3581
+YLMGPLKQTRKTGQSPLKTRPSTGM
+>NM_005853|198819_2_1243_1325
+MAPSDTFMATRGPGQAPQPVRGLISMD
+>DECOY_NM_005853|198819_2_1243_1325
+DMSILGRVPQPAQGPGRTAMFTDSPAM
+>NM_001170|198852_2_362_450
+MGATLVSTWVLASESPWECTWQAASLEPT
+>DECOY_NM_001170|198852_2_362_450
+TPELSAAQWTCEWPSESALVWTSVLTAGM
+>NM_018070|198877_2_623_726
+MGCREAPSRQVSFRVLRGHSPRRTHSLHLTILAA
+>DECOY_NM_018070|198877_2_623_726
+AALITLHLSHTRRPSHGRLVRFSVQRSPAERCGM
+>NM_018227|198972_2_2894_2967
+MEYHLQFGIDGPYMEKKISPSWIS
+>DECOY_NM_018227|198972_2_2894_2967
+SIWSPSIKKEMYPGDIGFQLHYEM
+>NM_017734|199036_2_1362_1438
+MVFQRKGETTSITSVPFRQCLIPDQ
+>DECOY_NM_017734|199036_2_1362_1438
+QDPILCQRFPVSTISTTEGKRQFVM
+>NM_017734|199037_3_589_698
+MASRWNQQRKRTGRDEEAKSTRPAPDPGSRTKYPQA
+>DECOY_NM_017734|199037_3_589_698
+AQPYKTRSGPDPAPRTSKAEEDRGTRKRQQNWRSAM
+>NM_024482|199055_2_1654_1742
+MGRPSLRLIQPRTQKLKILRAKQSSWRQS
+>DECOY_NM_024482|199055_2_1654_1742
+SQRWSSQKARLIKLKQTRPQILRLSPRGM
+>NM_024482|199056_3_788_897
+MELSSHRCCHHGHGGGCKERLRGNFRGHFDVLERNS
+>DECOY_NM_024482|199056_3_788_897
+SNRELVDFHGRFNGRLREKCGGGHGHHCCRHSSLEM
+>NM_020158|199100_2_66_214
+MEQGPALGVLAAASGTLPANRTCCRGQMALLPSCKVTPLSWRVCTGRPR
+>DECOY_NM_020158|199100_2_66_214
+RPRGTCVRWSLPTVKCSPLLAMQGRCCTRNAPLTGSAAALVGLAPGQEM
+>NM_001042544|199132_2_3034_3275
+MVPRFVEPSVVRTPLAPTAAHQPVTLAISPRQGADARMWTNAGTGPSAVPTPCARTCPAPSSASVTRVTRGHGMGVTAWM
+>DECOY_NM_001042544|199132_2_3034_3275
+MWATVGMGHGRTVRTVSASSPAPCTRACPTPVASPGTGANTWMRADAGQRPSIALTVPQHAATPALPTRVVSPEVFRPVM
+>NM_001042544|199143_2_4435_4700
+MVAPMANLRLLRHLARAPAGPIGPGTPAAPSQSPRSLLKVEAMLVPWLSPTRSWRRRSAGSWTAAPTAAACASPKASPAVASTATAWT
+>DECOY_NM_001042544|199143_2_4435_4700
+TWATATSAVAPSAKPSACAAATPAATWSGASRRRWSRTPSLWPVLMAEVKLLSRPSQSPAAPTGPGIPGAPARALHRLLRLNAMPAVM
+>NM_006099|199299_2_782_921
+MGPSPRGPAAPSTSHPWLDSQPLFPTPLWSIGHLSSDGITPCLCTW
+>DECOY_NM_006099|199299_2_782_921
+WTCLCPTIGDSSLHGISWLPTPFLPQSDLWPHSTSPAAPGRPSPGM
+>NM_006099|199303_2_1358_1440
+MGWMASSTAQSRGEIHQRIRRRSKLLT
+>DECOY_NM_006099|199303_2_1358_1440
+TLLKSRRRIRQHIEGRSQATSSAMWGM
+>NM_032199|199342_2_2034_2122
+MDLITRPCSTLGATQASCPHWPRKSFCPK
+>DECOY_NM_032199|199342_2_2034_2122
+KPCFSKRPWHPCSAQTAGLTSCPRTILDM
+>NM_014203|199385_2_2099_2442
+MAGGTPAATTSTGAWSPPPALCRRPRPPPTSWGCGQPLPRQHPRLLQEQGTFWWTSSMARPPSPAWGPPPRRPSSASWSRLPPRAPWLCWLTQLQLLTQVLRTSALPFRKPMSC
+>DECOY_NM_014203|199385_2_2099_2442
+CSMPKRFPLASTRLVQTLLQLQTLWCLWPARPPLRSWSASSPRRPPPGWAPSPPRAMSSTWWFTGQEQLLRPHQRPLPQGCGWSTPPPRPRRCLAPPPSWAGTSTTAAPTGGAM
+>NM_152678|199539_2_207_310
+MAVAGACCAGTASPPGCTACVWWASTWSWARPWR
+>DECOY_NM_152678|199539_2_207_310
+RWPRAWSWTSAWWVCATCGPPSATGACCAGAVAM
+>NM_173552|199577_2_1709_1800
+MAGSRPCWMSVPTQRSAMADSRLQKNCVNT
+>DECOY_NM_173552|199577_2_1709_1800
+TNVCNKQLRSDAMASRQTPVSMWCPRSGAM
+>NM_014615|199635_2_3312_3484
+MGSRSPPLQGRAPQPRSWTGTRRRRKRRMMKMEKMRRKSPSASGKGSRPFLKLTRNT
+>DECOY_NM_014615|199635_2_3312_3484
+TNRTLKLFPRSGKGSASPSKRRMKEMKMMRRKRRRRTGTWSRPQPARGQLPPSRSGM
+>NM_014615|199638_2_3405_3484
+MEKMRRKSPSASGKGSRPFLKLTRNT
+>DECOY_NM_014615|199638_2_3405_3484
+TNRTLKLFPRSGKGSASPSKRRMKEM
+>NM_016437|199700_2_341_474
+MVSAPRASWRNSPPRALTARTSFSTRQTMSTTSPGPCCWTWNPG
+>DECOY_NM_016437|199700_2_341_474
+GPNWTWCCPGPSTTSMTQRTSFSTRATLARPPSNRWSARPASVM
+>NM_016437|199702_2_542_621
+MEEELATTGPADSPRVRKFMKTSLTS
+>DECOY_NM_016437|199702_2_542_621
+STLSTKMFKRVRPSDAPGTTALEEEM
+>NM_139173|199735_3_757_851
+MGISIRFCSRCCLSCCCCPLHDGAARKWIWC
+>DECOY_NM_139173|199735_3_757_851
+CWIWKRAAGDHLPCCCCSLCCRSCFRISIGM
+>NM_139173|199737_3_1192_1301
+MVPRKDESPKDYYDCMGYFSTTSFWFSWSRSICFIA
+>DECOY_NM_139173|199737_3_1192_1301
+AIFCISRSWSFWFSTTSFYGMCDYYDKPSEDKRPVM
+>NM_139173|199738_3_1429_1601
+MDAQSYSTGCVRSSGSRNSKSLRTPLGTICEGCDDSSIFSHLDHSSKWSSTYGHSGA
+>DECOY_NM_139173|199738_3_1429_1601
+AGSHGYTSSWKSSHDLHSFISSDDCGECITGLPTRLSKSNRSGSSRVCGTSYSQADM
+>NM_003477|199857_2_1577_1659
+MERAQSNCHLLTFQWLWQQIKAYLLQS
+>DECOY_NM_003477|199857_2_1577_1659
+SQLLYAKIQQWLWQFTLLHCNSQAREM
+>NM_003477|199858_2_1730_1818
+MENCCLKNTKEDLLVFPTWGCLASTNLLQ
+>DECOY_NM_003477|199858_2_1730_1818
+QLLNTSALCGWTPFVLLDEKTNKLCCNEM
+>NM_052885|199896_2_757_986
+MDGGTCWDLQQFRRLYSFLAFSFCLKALDGLFRKDRLRRPVEFYLRCVVTRPLMRNMIASKTTLKRRKKRLAQLDL
+>DECOY_NM_052885|199896_2_757_986
+LDLQALRKKRRKLTTKSAIMNRMLPRTVVCRLYFEVPRRLRDKRFLGDLAKLCFSFALFSYLRRFQQLDWCTGGDM
+>NM_052885|199900_2_1768_1856
+MELSSSMLDLLLWDSFSSMAVFLRPKAKN
+>DECOY_NM_052885|199900_2_1768_1856
+NKAKPRLFVAMSSFSDWLLLDLMSSSLEM
+>NM_012476|200138_2_39_448
+MGAPSATGAPRAGRSLVAAVGAAETAAERGTCELMAVATAQRRWPGPQPPVPQAPGRVEPTATGSPGPARQTTAAAYWCEMPKGQFGKLSCLRAWTWTGPSGHVHPSLPSSCTAWRWSSSAASMWWAASALSWPAS
+>DECOY_NM_012476|200138_2_39_448
+SAPWSLASAAWWMSAASSSWRWATCSSPLSPHVHGSPGTWTWARLCSLKGFQGKPMECWYAAATTQRAPGPSGTATPEVRGPAQPVPPQPGPWRRQATAVAMLECTGREAATEAAGVAAVLSRGARPAGTASPAGM
+>NM_006245|200157_2_165_403
+MVEARTLRRPSRSPSPSPSPKPSLSHRHPTSVPAIARRPPRSSAKSSTQGGPRLSRRSDGKAPPASTSARIGSCRSFLP
+>DECOY_NM_006245|200157_2_165_403
+PLFSRCSGIRASTSAPPAKGDSRRSLRPGGQTSSKASSRPPRRAIAPVSTPHRHSLSPKPSPSPSPSRSPRRLTRAEVM
+>NM_180976|200181_2_165_421
+MVEARTLRRPSRSPSPSPSPKPSLSHRHPTSVPAIARRPPRSSAKSSTQGGPRLSRRSCLSRSYASAVSSLTSCQTHSVTSNSRR
+>DECOY_NM_180976|200181_2_165_421
+RRSNSTVSHTQCSTLSSVASAYSRSLCSRRSLRPGGQTSSKASSRPPRRAIAPVSTPHRHSLSPKPSPSPSPSRSPRRLTRAEVM
+>NM_004188|200224_2_419_648
+MGTLHCPTHPHSTSLASPGTPWPQPMATATGRPPPPCSQPSWSTPSACTAVLLCPALSPPWTSASATPQAWMRTTV
+>DECOY_NM_004188|200224_2_419_648
+VTTRMWAQPTASASTWPPSLAPCLLVATCASPTSWSPQSCPPPPRGTATAMPQPWPTGPSALSTSHPHTPCHLTGM
+>NM_198123|200288_2_1639_1745
+MGRESDQILALDQLCSSLVMKIMSYRAQRASPVNG
+>DECOY_NM_198123|200288_2_1639_1745
+GNVPSARQARYSMIKMVLSSCLQDLALIQDSERGM
+>NM_198123|200290_2_2494_2714
+MVTLQNPQFLEPLLGLRCLPILLVIVTYCDWNFRLTTQCQDVALTSLTTHLDIMNALILEYQSMHGGLGTTFN
+>DECOY_NM_198123|200290_2_2494_2714
+NFTTGLGGHMSQYELILANMIDLHTTLSTLAVDQCQTTLRFNWDCYTVIVLLIPLCRLGLLPELFQPNQLTVM
+>NM_198123|200294_2_3016_3173
+MGQIFCHPCLDLTMAPKCPSFYLVAVILYTFYLQQTTVVPIMVSRFIMKVLQ
+>DECOY_NM_198123|200294_2_3016_3173
+QLVKMIFRSVMIPVVTTQQLYFTYLIVAVLYFSPCKPAMTLDLCPHCFIQGM
+>NM_198123|200295_2_3055_3173
+MAPKCPSFYLVAVILYTFYLQQTTVVPIMVSRFIMKVLQ
+>DECOY_NM_198123|200295_2_3055_3173
+QLVKMIFRSVMIPVVTTQQLYFTYLIVAVLYFSPCKPAM
+>NM_198123|200304_2_4777_4856
+MGMEENLGTLLFFNVTQDMNFKERKE
+>DECOY_NM_198123|200304_2_4777_4856
+EKREKFNMDQTVNFFLLTGLNEEMGM
+>NM_198123|200305_2_4783_4856
+MEENLGTLLFFNVTQDMNFKERKE
+>DECOY_NM_198123|200305_2_4783_4856
+EKREKFNMDQTVNFFLLTGLNEEM
+>NM_198123|200309_2_5395_5534
+MEDLDGIEPCQVVMRPVEVVQQVQKALFYHQTIQKITVWDIIVFIL
+>DECOY_NM_198123|200309_2_5395_5534
+LIFVIIDWVTIKQITQHYFLAKQVQQVVEVPRMVVQCPEIGDLDEM
+>NM_198123|200315_2_7114_7268
+MASTSILLSFKQNQYMISLLYGMDQTKIHLRSVSSVAIPLWNQSTVLQIRF
+>DECOY_NM_198123|200315_2_7114_7268
+FRIQLVTSQNWLPIAVSSVSRLHIKTQDMGYLLSIMYQNQKFSLLISTSAM
+>NM_198123|200317_2_7180_7268
+MDQTKIHLRSVSSVAIPLWNQSTVLQIRF
+>DECOY_NM_198123|200317_2_7180_7268
+FRIQLVTSQNWLPIAVSSVSRLHIKTQDM
+>NM_198123|200323_2_8137_8288
+MDIDCHPKNSLQLYANQMEHGAIITRPLAVLLLHVQASIPLSWNMEDGEL
+>DECOY_NM_198123|200323_2_8137_8288
+LEGDEMNWSLPISAQVHLLLVALPRTIIAGHEMQNAYLQLSNKPHCDIDM
+>NM_198123|200329_2_9007_9083
+MEILLTALWYSMTAILDIFYLDLQF
+>DECOY_NM_198123|200329_2_9007_9083
+FQLDLYFIDLIATMSYWLATLLIEM
+>NM_198123|200333_2_9349_9449
+MALDRKAISELKVLYVMLVILVTSFMAQKKEHV
+>DECOY_NM_198123|200333_2_9349_9449
+VHEKKQAMFSTVLIVLMVYLVKLESIAKRDLAM
+>NM_198123|200338_2_9985_10127
+MVPGVVKYRSAYQSFVVTLVYLPKEKEKAKALYTSQRFHSAAIFLSY
+>DECOY_NM_198123|200338_2_9985_10127
+YSLFIAASHFRQSTYLAKAKEKEKPLYVLTVVFSQYASRYKVVGPVM
+>NM_198123|200342_2_11173_11258
+MAKQLLKIPCMTPTQSQWKGRRYDLIPT
+>DECOY_NM_198123|200342_2_11173_11258
+TPILDYRRGKWQSQTPTMCPIKLLQKAM
+>NM_198123|200351_3_6455_6645
+MELSNPNLFSSVWWCYVRLQWCDPQSWVSWKLSQQFRLHMDNKSTHRFWCTSPVCKFFYRNHT
+>DECOY_NM_198123|200351_3_6455_6645
+THNRYFFKCVPSTCWFRHTSKNDMHLRFQQSLKWSVWSQPDCWQLRVYCWWVSSFLNPNSLEM
+>NM_052900|200442_2_7825_8039
+MDIDCHPKNSLQLYANQMEHGAIITRPLAVLLFPVENYLHLQMEIRLELKLHMAQQLSLPATWDSCLWALL
+>DECOY_NM_052900|200442_2_7825_8039
+LLAWLCSDWTAPLSLQQAMHLKLELRIEMQLHLYNEVPFLLVALPRTIIAGHEMQNAYLQLSNKPHCDIDM
+>NM_024421|200608_2_482_582
+MAQFTQHMTSFCLLKGKVFPFSFQMVRDGNNKR
+>DECOY_NM_024421|200608_2_482_582
+RKNNGDRVMQFSFPFVKGKLLCFSTMHQTFQAM
+>NM_024421|200611_2_1706_1779
+MASQLAKNSLDTKHWTRKYPVVKA
+>DECOY_NM_024421|200611_2_1706_1779
+AKVVPYKRTWHKTDLSNKALQSAM
+>NM_024421|200616_3_666_742
+MGSYSSFIDGELVGSISTTRSADPI
+>DECOY_NM_024421|200616_3_666_742
+IPDASRTTSISGVLEGDIFSSYSGM
+>NM_024421|200618_3_2334_2410
+MGYSCYGVGFCIVIMYSVYMFLCHC
+>DECOY_NM_024421|200618_3_2334_2410
+CHCLFMYVSYMIVICFGVGYCSYGM
+>NM_002516|200721_3_533_897
+MGAAVPEAGGHQPAGARGDGQRRARAGAQGRERHRAEGTRRPPEQQLPQHQLRQRGRPRGQLQPHRLSVRQPRGCAASRGRSVGRRRLRPAGPRRAGWRGGLSRRAARLLRHRPAGHQHGA
+>DECOY_NM_002516|200721_3_533_897
+AGHQHGAPRHRLLRAARRSLGGRWGARRPGAPRLRRRGVSRGRSAACGRPQRVSLRHPQLQGRPRGRQRLQHQPLQQEPPRRTGEARHRERGQAGARARRQGDGRAGAPQHGGAEPVAAGM
+>NM_001040664|200886_2_1396_1499
+MAWPCTASASGSSAHGTPPWSSLSSWQSATCSAL
+>DECOY_NM_001040664|200886_2_1396_1499
+LASCTASQWSSLSSWPPTGHASSGSASATCPWAM
+>NM_001040664|200887_2_1549_1706
+MGRPRAAWSASSSPATCWAASSSSPASASTATWASCTPSSPEATCDPSTPGP
+>DECOY_NM_001040664|200887_2_1549_1706
+PGPTSPDCTAEPSSPTCSAWTATSASAPSSSSAAWCTAPSSSASWAARPRGM
+>NM_022078|200905_2_1140_1222
+MVETRMPETLSKCVWNRDSEMDRKMAL
+>DECOY_NM_022078|200905_2_1140_1222
+LAMKRDMESDRNWVCKSLTEPMRTEVM
+>NM_022078|200906_2_1443_1534
+MAWGSSPPSMMSLYPKTRRSHCSAASHPPA
+>DECOY_NM_022078|200906_2_1443_1534
+APPHSAASCHSRRTKPYLSMMSPPSSGWAM
+>NM_014755|200929_2_340_434
+MGWKAKSCLPVTVHPRCLTPYSARLSSTFPL
+>DECOY_NM_014755|200929_2_340_434
+LPFTSSLRASYPTLCRPHVTVPLCSKAKWGM
+>NM_130830|200968_2_153_253
+MAALASVPAPGPPRWSAPGHALWRCPPLCPGTP
+>DECOY_NM_130830|200968_2_153_253
+PTGPCLPPCRWLAHGPASWRPPGPAPVSALAAM
+>NM_172311|201109_3_2009_2109
+MEDRSASRQKFKSRSSPLSVIQIRAWIRPRNSL
+>DECOY_NM_172311|201109_3_2009_2109
+LSNRPRIWARIQIVSLPSSRSKFKQRSASRDEM
+>NM_031460|201122_3_901_983
+MAGLDHQTHPLPAGDAREGMFLLPPQL
+>DECOY_NM_031460|201122_3_901_983
+LQPPLLFMGERADGAPLPHTQHDLGAM
+>NM_005147|201138_3_135_247
+MAEPQAERPRLCVFPDLLRPPSAADIETWCQPYRNKT
+>DECOY_NM_005147|201138_3_135_247
+TKNRYPQCWTEIDAASPPRLLDPFVCLRPREAQPEAM
+>NM_172089|201235_2_581_693
+MGRDPGKGEQCSPKNRRSSTLSCTWFPLTPPPRMTPM
+>DECOY_NM_172089|201235_2_581_693
+MPTMRPPPTLPFWTCSLTSSRRNKPSCQEGKGPDRGM
+>NM_001135101|201280_2_586_857
+MATAAEMGADRATGPAGATWGTRARCALTAWTATSARSGTRPTASAQSGPASPILTRLAVCLLDVGVAWATHGSVAGTRTPSQATAPEYG
+>DECOY_NM_001135101|201280_2_586_857
+GYEPATAQSPTRTGAVSGHTAWAVGVDLLCVALRTLIPSAPGSQASATPRTGSRASTATWATLACRARTGWTAGAPGTARDAGMEAATAM
+>NM_001135101|201281_2_604_857
+MGADRATGPAGATWGTRARCALTAWTATSARSGTRPTASAQSGPASPILTRLAVCLLDVGVAWATHGSVAGTRTPSQATAPEYG
+>DECOY_NM_001135101|201281_2_604_857
+GYEPATAQSPTRTGAVSGHTAWAVGVDLLCVALRTLIPSAPGSQASATPRTGSRASTATWATLACRARTGWTAGAPGTARDAGM
+>NM_024324|201294_2_586_761
+MATAAEMGADRATGPAGATWGTRARCALTAWTATSARSGTRPTASAQPVTSPARRARA
+>DECOY_NM_024324|201294_2_586_761
+ARARRAPSTVPQASATPRTGSRASTATWATLACRARTGWTAGAPGTARDAGMEAATAM
+>NM_024324|201295_2_604_761
+MGADRATGPAGATWGTRARCALTAWTATSARSGTRPTASAQPVTSPARRARA
+>DECOY_NM_024324|201295_2_604_761
+ARARRAPSTVPQASATPRTGSRASTATWATLACRARTGWTAGAPGTARDAGM
+>NM_032115|201306_2_345_424
+MGTWHPAQRQVRSSVSSMPCWASRLT
+>DECOY_NM_032115|201306_2_345_424
+TLRSAWCPMSSVSSRVQRQAPHWTGM
+>NM_001135105|201319_3_481_686
+MGGPSQALPGTASPGPGSVPDPGDAGHSHLPTHGLQPCGGLELQRGLLLCFHHSQHHWLWGLCCWPPP
+>DECOY_NM_001135105|201319_3_481_686
+PPPWCCLGWLWHHQSHHFCLLLGRQLELGGCPQLGHTPLHSHGADGPDPVSGPGPSATGPLAQSPGGM
+>NM_001135106|201335_3_481_818
+MGGPSQALPGTASPGPGSVPDPGDAGHSHLPTHGLQPCGGLELQRGLLLCFHHSQHHWLWGLCCWHRPQQALYLSVSEPGSHLDPPGPGVAGADPPTGPPASAQMLPALAAQ
+>DECOY_NM_001135106|201335_3_481_818
+QAALAPLMQASAPPGTPPDAGAVGPGPPDLHSGPESVSLYLAQQPRHWCCLGWLWHHQSHHFCLLLGRQLELGGCPQLGHTPLHSHGADGPDPVSGPGPSATGPLAQSPGGM
+>NM_015419|201467_2_7355_7521
+MALSLFRKPSVLTAATTPAWSGTARERIGRRCGFTSTSSHPRSTVTPTPSPLCGR
+>DECOY_NM_015419|201467_2_7355_7521
+RGCLPSPTPTVTSRPHSSTSTFGCRRGIRERATGSWAPTTAATLVSPKRFLSLAM
+>NM_015419|201470_2_8234_8334
+MAPSRFVRPRCLTGVPMYAGWRRSTALRSPASP
+>DECOY_NM_015419|201470_2_8234_8334
+PSAPSRLATSRRWGAYMPVGTLCRPRVFRSPAM
+>NM_015419|201474_3_1446_1594
+MGHAAIHRYPAEPTSEYGQEGATFLLHPVFSNNIHQRYKAGSGQKLGND
+>DECOY_NM_015419|201474_3_1446_1594
+DNGLKQGSGAKYRQHINNSFVPHLLFTAGEQGYESTPEAPYRHIAAHGM
+>NM_015419|201476_3_7587_7948
+MGFSRGCGSASSILWKPDHCPWQRFPGHQEFEEERLRPAGMHGTQRGRGGQVDPAAHCPGAHGETHLPRPDQREDHGHGGPHHQPQLLCRGDPDTQPGVGPSQWHRSAEWTAAAALLPQG
+>DECOY_NM_015419|201476_3_7587_7948
+GQPLLAAAATWEASRHWQSPGVGPQTDPDGRCLLQPQHHPGGHGHDERQDPRPLHTEGHAGPCHAAPDVQGGRGRQTGHMGAPRLREEEFEQHGPFRQWPCHDPKWLISSASGCGRSFGM
+>NM_002590|201534_3_2437_2957
+MGHAADRHHRAGRELHAAAGRHHRHRHHLQPPQEGGAQRGGPPGRAARGGGRRSLGSRLPGGGRPGSRAQAQHVRRAHLPWHRQSALWQPRGGRASACGRRGRSAGLRGRQRHWGKRLSLRGAAAAPRRARRALRCLPGFWKGAGAPCGGVERTLLQHHFWQRSREVQRQRQR
+>DECOY_NM_002590|201534_3_2437_2957
+RQRQRQVERSRQWFHHQLLTREVGGCPAGAGKWFGPLCRLARRARRPAAAAGRLSLRKGWHRQRGRLGASRGRRGCASARGGRPQWLASQRHWPLHARRVHQAQARSGPRGGGPLRSGLSRRGGGRAARGPPGGRQAGGEQPPQLHHRHRHHRGAAAHLERGARHHRDAAHGM
+>NM_145290|201583_2_401_522
+MGGPEGLAGRRAPPRARWCAAAWNSRRSCPQILCPTARSP
+>DECOY_NM_145290|201583_2_401_522
+PSRATPCLIQPCSRRSNWAAACWRARPPARRGALGEPGGM
+>NM_145290|201594_2_2192_2271
+MESFFQPLEIQQIWLMMENDVLWLPL
+>DECOY_NM_145290|201594_2_2192_2271
+LPLWLVDNEMMLWIQQIELPQFFSEM
+>NM_145290|201609_3_3000_3103
+MGTLLGSLLWASQLHHFCKLHVLSEHIYSVEKTP
+>DECOY_NM_145290|201609_3_3000_3103
+PTKEVSYIHESLVHLKCFHHLQSAWLLSGLLTGM
+>NM_024535|201620_2_655_839
+MEPWWARRARTSSCGSLTPEQSRGPLRARRPMRTAGIAGWHGWAPGSTLCLLDSTRCVSAK
+>DECOY_NM_024535|201620_2_655_839
+KASVCRTSDLLCLTSGPAWGHWGAIGATRMPRRARLPGRSQEPTLSGCSSTRARRAWWPEM
+>NM_024535|201625_2_2092_2360
+MGVCGSTGPGVALSPCRKAQGPREDAELALSGYVMVAVCWCLALTAKVSASCSYMKLRPWPADPWQCWAWTWLPQPCCPATTQTLAWCS
+>DECOY_NM_024535|201625_2_2092_2360
+SCWALTQTTAPCCPQPLWTWAWCQWPDAPWPRLKMYSCSASVKATLALCWCVAVMVYGSLALEADERPGQAKRCPSLAVGPGTSGCVGM
+>NM_024535|201626_2_2194_2360
+MVAVCWCLALTAKVSASCSYMKLRPWPADPWQCWAWTWLPQPCCPATTQTLAWCS
+>DECOY_NM_024535|201626_2_2194_2360
+SCWALTQTTAPCCPQPLWTWAWCQWPDAPWPRLKMYSCSASVKATLALCWCVAVM
+>NM_001077701|201674_2_372_502
+MVVLFDYLKKMRKRKKRKKKVKMMKMLIMMTTVAVVGKIKRRI
+>DECOY_NM_001077701|201674_2_372_502
+IRRKIKGVVAVTTMMILMKMMKVKKKRKKRKRMKKLYDFLVVM
+>NM_020824|201710_2_524_645
+MVTSSRPARSQKIKMEKNKVKLYHCLKMKHSPGQVPKQLR
+>DECOY_NM_020824|201710_2_524_645
+RLQKPVQGPSHKMKLCHYLKVKNKEMKIKQSRAPRSSTVM
+>NM_020824|201711_2_566_645
+MEKNKVKLYHCLKMKHSPGQVPKQLR
+>DECOY_NM_020824|201711_2_566_645
+RLQKPVQGPSHKMKLCHYLKVKNKEM
+>NM_020824|201713_2_740_861
+METEEENKETAWNQWIPYLLSKLKKEDLLLKLDYVQVTEL
+>DECOY_NM_020824|201713_2_740_861
+LETVQVYDLKLLLDEKKLKSLLYPIWQNWATEKNEEETEM
+>NM_005048|201747_2_415_557
+MDSFVGPEEQWGKYRLFHALLIFMTSTIKELLSDTVTPMEHGILCTA
+>DECOY_NM_005048|201747_2_415_557
+ATCLIGHEMPTVTDSLLEKITSTMFILLAHFLRYKGWQEEPGVFSDM
+>NM_001077704|201770_2_1356_1444
+MECHLMDQAYSKCFFQFIFQPSVQEPMPF
+>DECOY_NM_001077704|201770_2_1356_1444
+FPMPEQVSPQFIFQFFCKSYAQDMLHCEM
+>NM_017921|201882_2_393_613
+MAICCSCFPRALLGPHLKWRRQFHRASKSLALPTWWRMRLISTSANRTGRFTEAETHSYAATALWGNACTASL
+>DECOY_NM_017921|201882_2_393_613
+LSATCANGWLATAAYSHTEAETFRGTRNASTSILRMRWWTPLALSKSARHFQRRWKLHPGLLARPFCSCCIAM
+>NM_002349|201957_2_448_590
+MDMAQQSQMHLMSGRKEAQRKAFVTSLIMRSIPEMGTLMGDLVNFHS
+>DECOY_NM_002349|201957_2_448_590
+SHFNVLDGMLTGMEPISRMILSTVFAKRQAEKRGSMLHMQSQQAMDM
+>NM_002349|201958_2_454_590
+MAQQSQMHLMSGRKEAQRKAFVTSLIMRSIPEMGTLMGDLVNFHS
+>DECOY_NM_002349|201958_2_454_590
+SHFNVLDGMLTGMEPISRMILSTVFAKRQAEKRGSMLHMQSQQAM
+>NM_002349|201971_2_4411_4793
+MDFHYGLGSQVMMEVNQVLNGLMVVHLTISHGKAKHLLEIVFSWIQKELGNMKNATLLRMVLFVINLQNLKSCPVLHIHQDVQQQKRMGHGGSSTRVTVTSLIRHCTVFQRPKNCVQNMITLQLSFP
+>DECOY_NM_002349|201971_2_4411_4793
+PFSLQLTIMNQVCNKPRQFVTCHRILSTVTVRTSSGGHGMRKQQQVDQHIHLVPCSKLNQLNIVFLVMRLLTANKMNGLEKQIWSFVIELLHKAKGHSITLHVVMLGNLVQNVEMMVQSGLGYHFDM
+>NM_002349|201973_2_4447_4793
+MEVNQVLNGLMVVHLTISHGKAKHLLEIVFSWIQKELGNMKNATLLRMVLFVINLQNLKSCPVLHIHQDVQQQKRMGHGGSSTRVTVTSLIRHCTVFQRPKNCVQNMITLQLSFP
+>DECOY_NM_002349|201973_2_4447_4793
+PFSLQLTIMNQVCNKPRQFVTCHRILSTVTVRTSSGGHGMRKQQQVDQHIHLVPCSKLNQLNIVFLVMRLLTANKMNGLEKQIWSFVIELLHKAKGHSITLHVVMLGNLVQNVEM
+>NM_002349|201976_2_4588_4793
+MVLFVINLQNLKSCPVLHIHQDVQQQKRMGHGGSSTRVTVTSLIRHCTVFQRPKNCVQNMITLQLSFP
+>DECOY_NM_002349|201976_2_4588_4793
+PFSLQLTIMNQVCNKPRQFVTCHRILSTVTVRTSSGGHGMRKQQQVDQHIHLVPCSKLNQLNIVFLVM
+>NM_002349|201977_2_4672_4793
+MGHGGSSTRVTVTSLIRHCTVFQRPKNCVQNMITLQLSFP
+>DECOY_NM_002349|201977_2_4672_4793
+PFSLQLTIMNQVCNKPRQFVTCHRILSTVTVRTSSGGHGM
+>NM_002349|201981_3_266_348
+MEVGVPASALSFALPKVPWPRYYQIGK
+>DECOY_NM_002349|201981_3_266_348
+KGIQYYRPWPVKPLAFSLASAPVGVEM
+>NM_002349|201982_3_938_1035
+MVRPQTIKLSQLGSRQAQCTYYRWLQLCKNGC
+>DECOY_NM_002349|201982_3_938_1035
+CGNKCLQLWRYYTCQAQRSGLQSLKITQPRVM
+>NM_031282|201992_2_551_684
+METFFPFLIKAGIFLSHKQVQITMAIIDALDMEMRMMYLDQISK
+>DECOY_NM_031282|201992_2_551_684
+KSIQDLYMMRMEMDLADIIAMTIQVQKHSLFIGAKILFPFFTEM
+>NM_031282|201993_2_830_933
+MARSSCQTGARTRNSSSQPSGEKTQDPIGVVLKQ
+>DECOY_NM_031282|201993_2_830_933
+QKLVVGIPDQTKEGSPQSSSNRTRAGTQCSSRAM
+>NM_031282|201995_2_1289_1587
+MALSPREPLEGCSVLFSWLWPCCFTAGVGGSQELVSWETKPGSLPLQAQESPPIPSALPRWSFSRCMLMYTPKREIWYTLRSRLLSWEKKRKLIPPGHF
+>DECOY_NM_031282|201995_2_1289_1587
+FHGPPILKRKKEWSLLRSRLTYWIERKPTYMLMCRSFSWRPLASPIPPSEQAQLPLSGPKTEWSVLEQSGGVGATFCCPWLWSFLVSCGELPERPSLAM
+>NM_031282|202003_3_303_415
+MVSSALLGRKVDPDPRKHPRGSGIWTVQMPGPGLPTK
+>DECOY_NM_031282|202003_3_303_415
+KTPLGPGPMQVTWIGSGRPHKRPDPDVKRGLLASSVM
+>NM_005618|202161_2_1170_1336
+MDFVTNQGNASAEWAGRAGTVTSVSAIQAVSMAPASSPGSATARKAGGAFSATRT
+>DECOY_NM_005618|202161_2_1170_1336
+TRTASFAGGAKRATASGPSSAPAMSVAQIASVSTVTGARGAWEASANGQNTVFDM
+>NM_005618|202163_2_1368_1564
+MEPPAPTRARGATLALAGLGTQVPPASWGLTSVTPALVRTEGAARISRTATPVPAHPASTAKSVN
+>DECOY_NM_005618|202163_2_1368_1564
+NVSKATSAPHAPVPTATRSIRAAGETRVLAPTVSTLGWSAPPVQTGLGALALTAGRARTPAPPEM
+>NM_005618|202167_2_1944_2077
+MGPPATRGATAMCASVPEATGVPTASSCSPSCPRAQRWWTSLRS
+>DECOY_NM_005618|202167_2_1944_2077
+SRLSTWWRQARPCSPSCSSATPVGTAEPVSACMATAGRTAPPGM
+>NM_005618|202169_2_2358_2626
+MASRPATQRWTITSCRTSRVTTPPSGTRTASVTPSASPRAPQGRRRGPRPHSGVEKHLKEKGRTRAVQLQKTPSTSRCTSYPRRRMSAS
+>DECOY_NM_005618|202169_2_2358_2626
+SASMRRRPYSTCRSTSPTKQLQVARTRGKEKLHKEVGSHPRPGRRRGQPARPSASPTVSATRTGSPPTTVRSTRCSTITWRQTAPRSAM
+>NM_013240|202257_2_465_538
+MVGKSWTGFFPWFQISFHQKDYSI
+>DECOY_NM_013240|202257_2_465_538
+ISYDKQHFSIQFWPFFGTWSKGVM
+>NM_014784|202355_2_1203_1276
+MASASQSVGIALFWCSLCGLEVQP
+>DECOY_NM_014784|202355_2_1203_1276
+PQVELGCLSCWFLAIGVSQSASAM
+>NM_025074|202513_2_594_673
+MVILLSANLLFAETLNVPLRREKCFK
+>DECOY_NM_025074|202513_2_594_673
+KFCKERRLPVNLTEAFLLNASLLIVM
+>NM_025074|202516_2_750_961
+MGQNGPLLHVVCALAIMGKSDVPPNHAHRCHVDTRSWHSSLKEAAAQFVWALGNPVPMKAMCFRMGRTGG
+>DECOY_NM_025074|202516_2_750_961
+GGTRGMRFCMAKMPVPNGLAWVFQAAAEKLSSHWSRTDVHCRHAHNPPVDSKGMIALACVVHLLPGNQGM
+>NM_025074|202517_2_798_961
+MGKSDVPPNHAHRCHVDTRSWHSSLKEAAAQFVWALGNPVPMKAMCFRMGRTGG
+>DECOY_NM_025074|202517_2_798_961
+GGTRGMRFCMAKMPVPNGLAWVFQAAAEKLSSHWSRTDVHCRHAHNPPVDSKGM
+>NM_025074|202518_2_1128_1216
+MVSSGAKMPAPRVYVTGVRSGVTSRPACP
+>DECOY_NM_025074|202518_2_1128_1216
+PCAPRSTVGSRVGTVYVRPAPMKAGSSVM
+>NM_025074|202519_2_1251_1378
+MGNAVRNVCLLPGAAPMMELCGTRTKCGRARPVSSACVIMAK
+>DECOY_NM_025074|202519_2_1251_1378
+KAMIVCASSVPRARGCKTRTGCLEMMPAAGPLLCVNRVANGM
+>NM_025074|202521_2_1302_1378
+MELCGTRTKCGRARPVSSACVIMAK
+>DECOY_NM_025074|202521_2_1302_1378
+KAMIVCASSVPRARGCKTRTGCLEM
+>NM_025074|202523_2_1476_1606
+MVIVFMKKLENLCHQMLVKLNVFQRERSGKMALARCVSAEGLR
+>DECOY_NM_025074|202523_2_1476_1606
+RLGEASVCRALAMKGSRERQFVNLKVLMQHCLNELKKMFVIVM
+>NM_025074|202527_2_2058_2527
+MAAVRAAVEKASTTGRAPVALVTNPVTVVAPVAPGVLPVLRRQCCMMGNACLNALAGTMLMPLAGAKFVITHVPAALGPHPLTVQPAAPPRLCVKATVCPAVERVSTLTMESAKPVTPPAWLVWVPHPLTVLGVRSQRKDCKWSSCLTWASPLASV
+>DECOY_NM_025074|202527_2_2058_2527
+VSALPSAWTLCSSWKCDKRQSRVGLVTLPHPVWVLWAPPTVPKASEMTLTSVREVAPCVTAKVCLRPPAAPQVTLPHPGLAAPVHTIVFKAGALPMLMTGALANLCANGMMCCQRRLVPLVGPAVPAVVTVPNTVLAVPARGTTSAKEVAARVAAM
+>NM_025074|202529_2_2196_2527
+MGNACLNALAGTMLMPLAGAKFVITHVPAALGPHPLTVQPAAPPRLCVKATVCPAVERVSTLTMESAKPVTPPAWLVWVPHPLTVLGVRSQRKDCKWSSCLTWASPLASV
+>DECOY_NM_025074|202529_2_2196_2527
+VSALPSAWTLCSSWKCDKRQSRVGLVTLPHPVWVLWAPPTVPKASEMTLTSVREVAPCVTAKVCLRPPAAPQVTLPHPGLAAPVHTIVFKAGALPMLMTGALANLCANGM
+>NM_025074|202532_2_2385_2527
+MESAKPVTPPAWLVWVPHPLTVLGVRSQRKDCKWSSCLTWASPLASV
+>DECOY_NM_025074|202532_2_2385_2527
+VSALPSAWTLCSSWKCDKRQSRVGLVTLPHPVWVLWAPPTVPKASEM
+>NM_025074|202536_2_2745_3346
+MGRWSLTASPVTLTSLLPMVTAGPAAGKSSSSTSWDTVLTAITCASTVQLISTTLGASASGARMPTTCCSGTTVFLTALQDTMQREELVKNATPPAEPARAEDLSPAPHVTPTSCCPTLAPAAPPASLGTILMTIMFASHATHTVEAVIHRPAVPPAEIQTRFCSLGNVNTRAAPHSTILTSPPTRAKSVIGAAVHAVGP
+>DECOY_NM_025074|202536_2_2745_3346
+PGVAHVAAGIVSKARTPPSTLITSHPAARTNVNGLSCFRTQIEAPPVAPRHIVAEVTHTAHSAFMITMLITGLSAPPAAPALTPCCSTPTVHPAPSLDEARAPEAPPTANKVLEERQMTDQLATLFVTTGSCCTTPMRAGSASAGLTTSILQVTSACTIATLVTDWSTSSSSKGAAPGATVMPLLSTLTVPSATLSWRGM
+>NM_025074|202544_2_3390_3658
+MGPAWSSACHHFTRTRASASVTATVSSAKVPMSVPAAKGHFSSWKPSVSRNVGRGTLQIMQSTNAQPALRGACSAATGTVVTSVTMGSF
+>DECOY_NM_025074|202544_2_3390_3658
+FSGMTVSTVVTGTAASCAGRLAPQANTSQMIQLTGRGVNRSVSPKWSSFHGKAAPVSMPVKASSVTATVSASARTRTFHHCASSWAPGM
+>NM_025074|202552_2_4317_4396
+MVQTAHPMLQSCRPMMDTPSIIYCSK
+>DECOY_NM_025074|202552_2_4317_4396
+KSCYIISPTDMMPRCSQLMPHATQVM
+>NM_025074|202560_2_5355_5518
+MVCFLSIQLSSEGRWPQVTLSPMRMLRKMLYSIYMMVPLPGKTAWRSQSQMASQ
+>DECOY_NM_025074|202560_2_5355_5518
+QSAMQSQSRWATKGPLPVMMYISYLMKRLMRMPSLTVQPWRGESSLQISLFCVM
+>NM_025074|202566_2_6246_6319
+MEPVVQKFTASISPLRGRTMSLPG
+>DECOY_NM_025074|202566_2_6246_6319
+GPLSMTRGRLPSISATFKQVVPEM
+>NM_025074|202568_2_6831_6988
+MATWSKFLLKAPSEVSPRQTLAKVSQNIVMEQENLEGALLLNLMWLMEKATD
+>DECOY_NM_025074|202568_2_6831_6988
+DTAKEMLWMLNLLLAGELNEQEMVINQSVKALTQRPSVESPAKLLFKSWTAM
+>NM_025074|202574_2_7746_7819
+MARLELSPTWDSSGWNTWMARQPT
+>DECOY_NM_025074|202574_2_7746_7819
+TPQRAMWTNWGSSDWTPSLELRAM
+>NM_025074|202586_2_8907_9052
+MVLTSLLSHLSGVQRGPQTQLLPHQELTTFPALGRWNLGLVSLNSIAP
+>DECOY_NM_025074|202586_2_8907_9052
+PAISNLSVLGLNWRGLAPFTTLEQHPLLQTQPGRQVGSLHSLLSTLVM
+>NM_025074|202594_2_10152_10306
+MGPGLPLKPSLTTHHSPVSTTWSWTAFTSAGGSMCVVWPRLWTRWAMWGPP
+>DECOY_NM_025074|202594_2_10152_10306
+PPGWMAWRTWLRPWVVCMSGGASTFATWSWTTSVPSHHTTLSPKLPLGPGM
+>NM_025074|202596_2_10482_10579
+MECCPLSPPCRCTTYIFYCLSPSTDTSTSAPI
+>DECOY_NM_025074|202596_2_10482_10579
+IPASTSTDTSPSLCYFIYTTCRCPPSLPCCEM
+>NM_025074|202601_2_11628_11713
+MVMCLSLIPRGQSTMKGPSMDAFSQTNT
+>DECOY_NM_025074|202601_2_11628_11713
+TNTQSFADMSPGKMTSQGRPILSLCMVM
+>NM_207361|202731_2_1774_1892
+MVTLSFWVLPVAALLPRALQWLSWQPARWSTSMMTETAR
+>DECOY_NM_207361|202731_2_1774_1892
+RATETMMSTSWRAPQWSLWQLARPLLAAVPLVWFSLTVM
+>NM_207361|202738_2_5425_5498
+MDIFSTWTKATTASLSSHKLTLMT
+>DECOY_NM_207361|202738_2_5425_5498
+TMLTLKHSSLSATTAKTWTSFIDM
+>NM_207361|202739_2_5839_6038
+MGSMSSLKPFRWYSQSPCWLPWNSPQSPLLRSLIQEMSQLCLFPSPNTPLKKMLVSCSFPSGGAEM
+>DECOY_NM_207361|202739_2_5839_6038
+MEAGGSPFSCSVLMKKLPTNPSPFLCLQSMEQILSRLLPSQPSNWPLWCPSQSYWRFPKLSSMSGM
+>NM_207361|202749_2_7189_7298
+MARPPLEKTTTLCQKKLSLRKGKPSTWLKSKLPLTG
+>DECOY_NM_207361|202749_2_7189_7298
+GTLPLKSKLWTSPKGKRLSLKKQCLTTTKELPPRAM
+>NM_207361|202752_2_8449_8609
+MELAAPQRLNFKVLSIQPACASVMRGAWPCTSRQRLSSMAYLCCHIPHPLQAQ
+>DECOY_NM_207361|202752_2_8449_8609
+QAQLPHPIHCCLYAMSSLRQRSTCPWAGRMVSACAPQISLVKFNLRQPAALEM
+>NM_207361|202765_3_9332_9510
+MVYTYDLYSEIERQCQSRYWQKKCGVPFSGESRKAPIHHQEPEEERDQEHTLTGMGDWC
+>DECOY_NM_207361|202765_3_9332_9510
+CWDGMGTLTHEQDREEEPEQHHIPAKRSEGSFPVGCKKQWYRSQCQREIESYLDYTYVM
+>NM_001003819|202791_2_626_771
+MEKNCSSSVRRMGRSFAGFVSGLRSTVVTTRSSWRRLPRSTRRSFRSL
+>DECOY_NM_001003819|202791_2_626_771
+LSRFSRRTSRPLRRWSSRTTVVTSRLGSVFGAFSRGMRRVSSSCNKEM
+>NM_001003819|202792_2_659_771
+MGRSFAGFVSGLRSTVVTTRSSWRRLPRSTRRSFRSL
+>DECOY_NM_001003819|202792_2_659_771
+LSRFSRRTSRPLRRWSSRTTVVTSRLGSVFGAFSRGM
+>NM_001003819|202794_2_1574_1758
+MGRREISVIIMERNSYSSVRRIGKSFAGFVSGLRSTVVTTQSSRRKYSRNVRRNSRQSSRG
+>DECOY_NM_001003819|202794_2_1574_1758
+GRSSQRSNRRVNRSYKRRSSQTTVVTSRLGSVFGAFSKGIRRVSSYSNREMIIVSIERRGM
+>NM_001003819|202795_2_1604_1758
+MERNSYSSVRRIGKSFAGFVSGLRSTVVTTQSSRRKYSRNVRRNSRQSSRG
+>DECOY_NM_001003819|202795_2_1604_1758
+GRSSQRSNRRVNRSYKRRSSQTTVVTSRLGSVFGAFSKGIRRVSSYSNREM
+>NM_001003819|202797_2_2312_2427
+MVSWDPNISPLGNITGKWTCPRKLPGSWGYTVEHIPAI
+>DECOY_NM_001003819|202797_2_2312_2427
+IAPIHEVTYGWSGPLKRPCTWKGTINGLPSINPDWSVM
+>NM_001003819|202801_2_2660_2748
+MAPSFTSSLNVAFLSLFIHISILGTVQLP
+>DECOY_NM_001003819|202801_2_2660_2748
+PLQVTGLISIHIFLSLFAVNLSSTFSPAM
+>NM_015904|202855_2_2156_2235
+MVKQVVSHNKLGPPMFLLKLLMNRLR
+>DECOY_NM_015904|202855_2_2156_2235
+RLRNMLLKLLFMPPGLKNHSVVQKVM
+>NM_003775|202871_2_152_282
+MAAWGPCGGCRWPPAAWWCWRTCWCWRPSPATCGRDAGSTIAW
+>DECOY_NM_003775|202871_2_152_282
+WAITSGADRGCTAPSPRWCWCTRWCWWAAPPWRCGGCPGWAAM
+>NM_004290|202888_2_352_440
+MEMNLEKQSLSKVEKPGSIWICHRISRYL
+>DECOY_NM_004290|202888_2_352_440
+LYRSIRHCIWISGPKEVKSLSQKELNMEM
+>NM_004290|202890_2_1060_1136
+MARFNASTAQNQSALRWPLLVRSKS
+>DECOY_NM_004290|202890_2_1060_1136
+SKSRVLLPWRLASQNQATSANFRAM
+>NM_021161|203043_2_965_1134
+MGILLRALKEAKSFVFYMPSLEFHSLVSYWLELETNLEPSLGKALQEWRRSFEKSK
+>DECOY_NM_021161|203043_2_965_1134
+KSKEFSRRWEQLAKGLSPELNTELELWYSVLSHFELSPMYFVFSKAEKLARLLIGM
+>NM_021161|203047_2_1757_1968
+MGRVRPRTTSSTSSGPPPDSPRGKTRTSKRPCPRTFRKSTRPSGITPWTRRRKRRRRKRCVTQTTPAQPC
+>DECOY_NM_021161|203047_2_1757_1968
+CPQAPTTQTVCRKRRRRKRRRTWPTIGSPRTSKRFTRPCPRKSTRTKGRPSDPPPGSSTSSTTRPRVRGM
+>NM_006473|203081_3_1288_1448
+MGQPSLSRVVLRGRCRTQLWVRPPAAARGRGAGGPFSFGDPGRHLPGALRLLR
+>DECOY_NM_006473|203081_3_1288_1448
+RLLRLAGPLHRGPDGFSFPGGAGRGRAAAPPRVWLQTRCRGRLVVRSLSPQGM
+>NM_138318|203182_2_129_292
+MDLRGTGLKAVAVIQWPFPQQHRCASPRAPLTGNPRLRLRLQLRACPFPPEPQW
+>DECOY_NM_138318|203182_2_129_292
+WQPEPPFPCARLQLRLRLRPNGTLPARPSACRHQQPFPWQIVAVAKLGTGRLDM
+>NM_002883|203227_2_848_993
+MAPLPWQKLLGSSGPWRRSTCHRMGSTTLASLPWPRLSLSTPCCGSST
+>DECOY_NM_002883|203227_2_848_993
+TSSGCCPTSLSLRPWPLSALTTSGMRHCTSRRWPGSSGLLKQWPLPAM
+>NM_002883|203228_2_917_993
+MGSTTLASLPWPRLSLSTPCCGSST
+>DECOY_NM_002883|203228_2_917_993
+TSSGCCPTSLSLRPWPLSALTTSGM
+>NM_001006620|203267_2_717_823
+MGRWTPISPRWIPMSPFISLASVLWPWLKSTHLLV
+>DECOY_NM_001006620|203267_2_717_823
+VLLHTSKLWPWLVSALSIFPSMPIWRPSIPTWRGM
+>NM_001006618|203280_2_505_602
+MVRLRAMYMPSQSILPQVGTLVLEDAQTQLKD
+>DECOY_NM_001006618|203280_2_505_602
+DKLQTQADELVLTGVQPLISQSPMYMARLRVM
+>NM_205848|203424_2_563_732
+MAMSFHQQQSSPPALAASSLSSTSRSRWMGRMPSLRPPRAAGRSTSAYATITRPRP
+>DECOY_NM_205848|203424_2_563_732
+PRPRTITAYASTSRGAARPPRLSPMRGMWRSRSTSSLSSAALAPPSSQQQHFSMAM
+>NM_032429|203526_2_247_494
+MACYGVAMRHRSRCAQLCPLGRLSLSPASPTSMRTSGQSHPPAQAVMLRMPESSGHTMPTSAAHHQSSSLSLESWRRTWRRS
+>DECOY_NM_032429|203526_2_247_494
+SRRWTRRWSELSLSSSQHHAASTPMTHGSSEPMRLMVAQAPPHSQGSTRMSTPSAPSLSLRGLPCLQACRSRHRMAVGYCAM
+>NM_032949|203588_3_2437_2666
+MGHAADRHHRAGRELHAAAGRHHRHRHHLQPPQEGALRCLPGFWKGAGAPCGGVERTLLQHHFWQRSREVQRQRQR
+>DECOY_NM_032949|203588_3_2437_2666
+RQRQRQVERSRQWFHHQLLTREVGGCPAGAGKWFGPLCRLAGEQPPQLHHRHRHHRGAAAHLERGARHHRDAAHGM
+>NM_024552|203651_2_405_511
+MAVSTPTPRTCWQPCPWRWSSWPCALPLRDSLACP
+>DECOY_NM_024552|203651_2_405_511
+PCALSDRLPLACPWSSWRWPCPQWCTRPTPTSVAM
+>NM_024552|203652_3_793_917
+MAVGTSNVLGQVPKPDSEAIPVLVVPLGAGFLPLTANQAAL
+>DECOY_NM_024552|203652_3_793_917
+LAAQNATLPLFGAGLPVVLVPIAESDPKPVQGLVNSTGVAM
+>NM_017691|203669_3_1101_1234
+MVQTHCPSEYDAAAPARYEENHGRRKAYGICFSQKRGREEAGKS
+>DECOY_NM_017691|203669_3_1101_1234
+SKGAEERGRKQSFCIGYAKRRGHNEEYRAPAAADYESPCHTQVM
+>NM_019885|203732_2_1276_1352
+MAASITSRSVAVSGPAWASTWPSCS
+>DECOY_NM_019885|203732_2_1276_1352
+SCSPWTSAWAPGSVAVSRSTISAAM
+>NM_001135146|203878_2_1083_1168
+MVIPTLEMITLVLKKKLINLKHYLPSMV
+>DECOY_NM_001135146|203878_2_1083_1168
+VMSPLYHKLNILKKKLVLTIMELTPIVM
+>NM_052884|203987_2_272_381
+MAGTSLLLLMATGSKDGPAQRRVLLWPLTTRVERWK
+>DECOY_NM_052884|203987_2_272_381
+KWREVRTTLPWLLVRRQAPGDKSGTAMLLLLSTGAM
+>NM_052884|203992_2_1754_1842
+MGEDLAWGLPWELASLPCSLSVPALSSSG
+>DECOY_NM_052884|203992_2_1754_1842
+GSSSLAPVSLSCPLSALEWPLGWALDEGM
+>NM_016258|204028_2_855_976
+MVLISFPVGLTSQHGEITVLRDSLLRALDIVAIMLMHLAP
+>DECOY_NM_016258|204028_2_855_976
+PALHMLMIAVIDLARLLSDRLVTIEGHQSTLGVPFSILVM
+>NM_016258|204029_2_1722_1843
+MAGFSSLRATLRTIFTVPLSIIFGAAQSMVTRDWMLLIVP
+>DECOY_NM_016258|204029_2_1722_1843
+PVILLMWDRTVMSQAAGFIISLPVTFITRLTARLSSFGAM
+>NM_016428|204142_2_949_1439
+MGSRTSARSCQEQAPCLERASRPLPHPPPPPWGDHPGFPSQCTCRWCPTADSPPPPLRLPWPRPAAPKVSVGPPRPRGRQHLQPHLSPAPWTHLLHQQPSRCSSGLPRWRSCPHPHRTKSCPCHWTCLLLHPWMEMNWGCLHPHQDLGLMSPAGCLPHTWRKW
+>DECOY_NM_016428|204142_2_949_1439
+WKRWTHPLCGAPSMLGLDQHPHLCGWNMEMWPHLLLCTWHCPCSKTRHPHPCSRWRPLGSSCRSPQQHLLHTWPAPSLHPQLHQRGRPRPPGVSVKPAAPRPWPLRLPPPPSDATPCWRCTCQSPFGPHDGWPPPPPHPLPRSARELCPAQEQCSRASTRSGM
+>NM_199183|204163_2_433_536
+MGKTCAMGILEGHWLVKLRADGFWLGCCPGKRPA
+>DECOY_NM_199183|204163_2_433_536
+APRKGPCCGLWFGDARLKVLWHGELIGMACTKGM
+>NM_199183|204164_2_451_536
+MGILEGHWLVKLRADGFWLGCCPGKRPA
+>DECOY_NM_199183|204164_2_451_536
+APRKGPCCGLWFGDARLKVLWHGELIGM
+>NM_017846|204181_2_419_519
+MACCMNSSSKSTPPVGEARWFWTRQACLRVMVL
+>DECOY_NM_017846|204181_2_419_519
+LVMVRLCAQRTWFWRAEGVPPTSKSSSNMCCAM
+>NM_017846|204185_2_761_843
+MAIPRAPCRHMKKLEMMHWKTPCHSWM
+>DECOY_NM_017846|204185_2_761_843
+MWSHCPTKWHMMELKKMHRCPARPIAM
+>NM_032787|204248_2_548_642
+MDHPCKHVARILQMRAIQWQSGCAVSLYMER
+>DECOY_NM_032787|204248_2_548_642
+REMYLSVACGSQWQIARMQLIRAVHKCPHDM
+>NM_032787|204259_2_1754_1869
+MVTSIILTLTIMTYPGQTPLTSRIPCALRLPPYCTIFC
+>DECOY_NM_032787|204259_2_1754_1869
+CFITCYPPLRLACPIRSTLPTQGPYTMITLTLIISTVM
+>NM_022058|204305_2_437_606
+MEGSHLLLTPHHRGYSLFLEPRMMTRNTFLMTFSQNWMRFVGVKVRTLSGEKQPGG
+>DECOY_NM_022058|204305_2_437_606
+GGPQKEGSLTRVKVGVFRMWNQSFTMLFTNRTMMRPELFLSYGRHHPTLLLHSGEM
+>NM_022058|204320_3_1386_1507
+MGSKHSNRASQKCSFPGEEEDSCCTKWNSSSWGSRAPRRT
+>DECOY_NM_022058|204320_3_1386_1507
+TRRPARSGWSSSNWKTCCSDEEEGPFSCKQSARNSHKSGM
+>NM_006076|204357_3_564_676
+MVCPPRPSQGAHLYQRQCLHPCAGLHPRREAPSDTSG
+>DECOY_NM_006076|204357_3_564_676
+GSTDSPAERRPHLGACPHLCQRQYLHAGQSPRPPCVM
+>NM_022733|204405_3_175_269
+MGLLEHWCVHLHSMCWNPQESGGAHIQGKVS
+>DECOY_NM_022733|204405_3_175_269
+SVKGQIHAGGSEQPNWCMSHLHVCWHELLGM
+>NM_001077685|204734_2_1138_1265
+MAYPRTWTPGWVTPYASAPVSPAPPSPSSTRPPLLMPIKRNT
+>DECOY_NM_001077685|204734_2_1138_1265
+TNRKIPMLLPPRTSSPSPPAPSVPASAYPTVWGPTWTRPYAM
+>NM_001077685|204740_3_941_1017
+MAEDMEKEIRHPVFQWCAHLLFKLR
+>DECOY_NM_001077685|204740_3_941_1017
+RLKFLLHACWQFVPHRIEKEMDEAM
+>NM_001101320|204751_2_1066_1160
+MAFMFLKQSTRPRLKFWRKAPRHLEPQLCCY
+>DECOY_NM_001101320|204751_2_1066_1160
+YCCLQPELHRPAKRWFKLRPRTSQKLFMFAM
+>NM_001077686|204796_2_1138_1265
+MAYPRTWTPGWVTPYASAPVSPAPPAPSSTRPPLLMPIKRNT
+>DECOY_NM_001077686|204796_2_1138_1265
+TNRKIPMLLPPRTSSPAPPAPSVPASAYPTVWGPTWTRPYAM
+>NM_001077686|204802_3_941_1017
+MAEDMEKEIRHPVFQWRAHLLFKLR
+>DECOY_NM_001077686|204802_3_941_1017
+RLKFLLHARWQFVPHRIEKEMDEAM
+>NM_138768|204814_2_1012_1106
+MGSPWKLCGREWMWLCAHLGEDFWPVPGHSA
+>DECOY_NM_138768|204814_2_1012_1106
+ASHGPVPWFDEGLHACLWMWERGCLKWPSGM
+>NM_004922|204842_2_261_856
+MVGNQGPQPPPFPMEPTMAQYQAISKHLPKVCQEPHLPRGHLQPQQHRLLVARLHMASLAKEMYRMGQAPLFRCKGCLGLSHLGPHWPLWATSHLCFSPMALPRQVHRWLRSCLECRSAVLWPQPLLLQGWALAHQHRWLQPQEVSLTLVCMAPILRARLLPLARPKVILGSRLPSDLPHHRPPASHPQLQGVLGCLR
+>DECOY_NM_004922|204842_2_261_856
+RLCGLVGQLQPHSAPPRHHPLDSPLRSGLIVKPRALPLLRARLIPAMCVLTLSVEQPQLWRHQHALAWGQLLLPQPWLVASRCELCSRLWRHVQRPLAMPSFCLHSTAWLPWHPGLHSLGLCGKCRFLPAQGMRYMEKALSAMHLRAVLLRHQQPQLHGRPLHPEQCVKPLHKSIAQYQAMTPEMPFPPPQPGQNGVM
+>NM_004922|204844_2_312_856
+MAQYQAISKHLPKVCQEPHLPRGHLQPQQHRLLVARLHMASLAKEMYRMGQAPLFRCKGCLGLSHLGPHWPLWATSHLCFSPMALPRQVHRWLRSCLECRSAVLWPQPLLLQGWALAHQHRWLQPQEVSLTLVCMAPILRARLLPLARPKVILGSRLPSDLPHHRPPASHPQLQGVLGCLR
+>DECOY_NM_004922|204844_2_312_856
+RLCGLVGQLQPHSAPPRHHPLDSPLRSGLIVKPRALPLLRARLIPAMCVLTLSVEQPQLWRHQHALAWGQLLLPQPWLVASRCELCSRLWRHVQRPLAMPSFCLHSTAWLPWHPGLHSLGLCGKCRFLPAQGMRYMEKALSAMHLRAVLLRHQQPQLHGRPLHPEQCVKPLHKSIAQYQAM
+>NM_004922|204847_2_456_856
+MGQAPLFRCKGCLGLSHLGPHWPLWATSHLCFSPMALPRQVHRWLRSCLECRSAVLWPQPLLLQGWALAHQHRWLQPQEVSLTLVCMAPILRARLLPLARPKVILGSRLPSDLPHHRPPASHPQLQGVLGCLR
+>DECOY_NM_004922|204847_2_456_856
+RLCGLVGQLQPHSAPPRHHPLDSPLRSGLIVKPRALPLLRARLIPAMCVLTLSVEQPQLWRHQHALAWGQLLLPQPWLVASRCELCSRLWRHVQRPLAMPSFCLHSTAWLPWHPGLHSLGLCGKCRFLPAQGM
+>NM_004922|204850_2_1026_1246
+MVPSDQPGALSLIMEAPTQQHPPLAVSLGLLSHCLLSAWTLMPSQALFRSLKMTGTTGVQSHLLLEYGARCHP
+>DECOY_NM_004922|204850_2_1026_1246
+PHCRAGYELLLHSQVGTTGTMKLSRFLAQSPMLTWASLLCHSLLGLSVALPPHQQTPAEMILSLAGPQDSPVM
+>NM_004922|204851_2_1065_1246
+MEAPTQQHPPLAVSLGLLSHCLLSAWTLMPSQALFRSLKMTGTTGVQSHLLLEYGARCHP
+>DECOY_NM_004922|204851_2_1065_1246
+PHCRAGYELLLHSQVGTTGTMKLSRFLAQSPMLTWASLLCHSLLGLSVALPPHQQTPAEM
+>NM_004922|204855_2_1428_1648
+MGNLALCAATAAKHTCVPSCSSLKEGGVSSAVFAAVSMMFPPSIFSTWIIPANVWMLMTALSYPWALMNSWPL
+>DECOY_NM_004922|204855_2_1428_1648
+LPWSNMLAWPYSLATMLMWVNAPIIWTSFISPPFMMSVAAFVASSVGGEKLSSCSPVCTHKAATAACLALNGM
+>NM_004922|204865_2_3108_3232
+MGIYIYWRMGSTSSSGWEQASNRVLSRAFSASPPSVRSPVV
+>DECOY_NM_004922|204865_2_3108_3232
+VVPSRVSPPSASFARSLVRNSAQEWGSSSTSGMRWYIYIGM
+>NM_004922|204866_2_3132_3232
+MGSTSSSGWEQASNRVLSRAFSASPPSVRSPVV
+>DECOY_NM_004922|204866_2_3132_3232
+VVPSRVSPPSASFARSLVRNSAQEWGSSSTSGM
+>NM_014822|204960_2_486_1081
+MELMPLVTLPKDFQALHLSTMWHPHMHHTNPLHNLLIQVLYPLHLSPSWAASSVLCKSTAMVQAWLLQARDPLALCQPHHCRLLHDLHSLPFCSLDLKFFHHHPPHSMVLVPHLCLYQCTDQMGSLGLLLQMPSTSPHLFQARPWVLDILRSRPTLVPRWQAHNCLTQEASLEVLHRWLVRHSPRRSWILTLSLAQSR
+>DECOY_NM_014822|204960_2_486_1081
+RSQALSLTLIWSRRPSHRVLWRHLVELSAEQTLCNHAQWRPVLTPRSRLIDLVWPRAQFLHPSTSPMQLLLGLSGMQDTCQYLCLHPVLVMSHPPHHHFFKLDLSCFPLSHLDHLLRCHHPQCLALPDRAQLLWAQVMATSKCLVSSAAWSPSLHLPYLVQILLNHLPNTHHMHPHWMTSLHLAQFDKPLTVLPMLEM
+>NM_014822|204964_2_666_1081
+MVQAWLLQARDPLALCQPHHCRLLHDLHSLPFCSLDLKFFHHHPPHSMVLVPHLCLYQCTDQMGSLGLLLQMPSTSPHLFQARPWVLDILRSRPTLVPRWQAHNCLTQEASLEVLHRWLVRHSPRRSWILTLSLAQSR
+>DECOY_NM_014822|204964_2_666_1081
+RSQALSLTLIWSRRPSHRVLWRHLVELSAEQTLCNHAQWRPVLTPRSRLIDLVWPRAQFLHPSTSPMQLLLGLSGMQDTCQYLCLHPVLVMSHPPHHHFFKLDLSCFPLSHLDHLLRCHHPQCLALPDRAQLLWAQVM
+>NM_173596|205064_2_1257_1462
+MAVGWPFSPYRQLQSQGLRARGRRTASTHQLWPLLGTKATVMGTRVALISRGWSSWEMVYTTSLMGWP
+>DECOY_NM_173596|205064_2_1257_1462
+PWGMLSTTYVMEWSSWGRSILAVRTGMVTAKTGLLPWLQHTSATRRGRARLGQSQLQRYPSFPWGVAM
+>NM_173596|205065_2_1380_1462
+MGTRVALISRGWSSWEMVYTTSLMGWP
+>DECOY_NM_173596|205065_2_1380_1462
+PWGMLSTTYVMEWSSWGRSILAVRTGM
+>NM_012295|205148_2_3725_3942
+MVTRRSGSSTTCWARWLRSSSSHPPFTCCTTGRLATTCTRRLPATPRRSTTTTHLSWPWRPWRCTFGSMLPS
+>DECOY_NM_012295|205148_2_3725_3942
+SPLMSGFTCRWPRWPWSLHTTTTSRRPTAPLRRTCTTALRGTTCCTFPPHSSSSRLWRAWCTTSSGSRRTVM
+>NM_012295|205150_2_4562_4686
+MGRREGTSQGSQWPSPRGCRLVLRSSGSFSQSSASPPSACA
+>DECOY_NM_012295|205150_2_4562_4686
+ACASPPSASSQSFSGSSRLVLRCGRPSPWQSGQSTGERRGM
+>NM_012295|205152_2_5219_5532
+MARRASPSRRSPLWLMAQGQGPSQEAKWASSTTGLWPWMQETVQTKAGSGRIKRAHGQGPLSPWTRVRPLFATQTWSGHHPCCQVAPQGTGAPRAGPLSCPWRS
+>DECOY_NM_012295|205152_2_5219_5532
+SRWPCSLPGARPAGTGQPAVQCCPHHGSWTQTAFLPRVRTWPSLPGQGHARKIRGSGAKTQVTEQMWPWLGTTSSAWKAEQSPGQGQAMLWLPSRRSPSARRAM
+>NM_012287|205194_2_1952_2136
+MEENLYPPRCQPIVYMSLKEKGKILLCFLTRNILIQDFSFIGRHMKKTFLKWLRLWLMVQT
+>DECOY_NM_012287|205194_2_1952_2136
+TQVMLWLRLWKLFTKKMHRGIFSFDQILINRTLFCLLIKGKEKLSMYVIPQCRPPYLNEEM
+>NM_207365|205218_2_595_701
+MALLQSNFFFWKKFLQNMEWIPPESALRETVLGAI
+>DECOY_NM_207365|205218_2_595_701
+IAGLVTERLASEPPIWEMNQLFKKWFFFNSQLLAM
+>NM_207365|205221_2_1156_1250
+MDLCMLQDFEMLESKLFMNILRMEFMELYHS
+>DECOY_NM_207365|205221_2_1156_1250
+SHYLEMFEMRLINMFLKSELMEFDQLMCLDM
+>NM_001077665|205335_2_1479_1606
+MAYPRTWTPGWVTPYASAPVSPAPPAPSSTRPPLLMLIKRNT
+>DECOY_NM_001077665|205335_2_1479_1606
+TNRKILMLLPPRTSSPAPPAPSVPASAYPTVWGPTWTRPYAM
+>NM_001077665|205341_3_1282_1358
+MAEDMEKEIRHPVFQWHAHLLFKLR
+>DECOY_NM_001077665|205341_3_1282_1358
+RLKFLLHAHWQFVPHRIEKEMDEAM
+>NM_022481|205364_2_694_959
+MVSNLWGLQEPPTEERAEVFVRAGLNTGSADRIWRHGRMLAMPALSYLETPPSYRPPWKQRRPVMTSFHPMPASPSRQTASRPCSVAG
+>DECOY_NM_022481|205364_2_694_959
+GAVSCPRSATQRSPSAPMPHFSTMVPRRQKWPPRYSPPTELYSLAPMALMRGHRWIRDASGTNLGARVFVEAREETPPEQLGWLNSVM
+>NM_022481|205381_3_2756_2829
+MERSHWGRGWWGRHRAAGAADEPG
+>DECOY_NM_022481|205381_3_2756_2829
+GPEDAAGAARHRGWWGRGWHSREM
+>NM_022481|205383_3_3650_3744
+MVPAPRALLSFPALEKSPPGPSWLPLHRYPT
+>DECOY_NM_022481|205383_3_3650_3744
+TPYRHLPLWSPGPPSKELAPFSLLARPAPVM
+>NM_002245|205501_2_526_614
+MATPCPCQMEVRPSASSTPSLAFPSPSCS
+>DECOY_NM_002245|205501_2_526_614
+SCSPSPFALSPTSSASPRVEMQCPCPTAM
+>NM_001029866|205517_2_1307_1461
+MVWLWYLNGNVQAHLPSPWFSGEPPPRGCGVQSRPSTTALMPISSHVKIPD
+>DECOY_NM_001029866|205517_2_1307_1461
+DPIKVHSSIPMLATTSPRSQVGCGRPPPEGSFWPSPLHAQVNGNLYWLWVM
+>NM_173086|205558_2_295_482
+MAAEPEAAMALVAPGVDLVSVVEPALALVWVVEPALLVALGALASLCAPLEASKRSPSTRVS
+>DECOY_NM_173086|205558_2_295_482
+SVRTSPSRKSAELPACLSALAGLAVLLAPEVVWVLALAPEVVSVLDVGPAVLAMAAEPEAAM
+>NM_173086|205559_2_319_482
+MALVAPGVDLVSVVEPALALVWVVEPALLVALGALASLCAPLEASKRSPSTRVS
+>DECOY_NM_173086|205559_2_319_482
+SVRTSPSRKSAELPACLSALAGLAVLLAPEVVWVLALAPEVVSVLDVGPAVLAM
+>NM_152392|205613_3_1804_1913
+MEMWELARRTLCHGCTEFCAYSRANRITIEGVPIYL
+>DECOY_NM_152392|205613_3_1804_1913
+LYIPVGEITIRNARSYACFETCGHCLTRRALEWMEM
+>NM_024771|205634_2_665_768
+MVPTSSSEKRCNLKLMTLPPACPVAVGRIAPMRS
+>DECOY_NM_024771|205634_2_665_768
+SRMPAIRGVAVPCAPPLTMLKLNCRKESSSTPVM
+>NM_001011713|205720_2_653_726
+MDWPRAPSRRRRRKTSRCGCCLRP
+>DECOY_NM_001011713|205720_2_653_726
+PRLCCGCRSTKRRRRRSPARPWDM
+>NM_001011713|205721_2_1040_1125
+MALVLTWLRKLYMPWLRETVMRLFWKPK
+>DECOY_NM_001011713|205721_2_1040_1125
+KPKWFLRMVTERLWPMYLKRLWTLVLAM
+>NM_001080504|205764_2_187_275
+MEATSKKINLQIQRKKICYYPPMVVMKSN
+>DECOY_NM_001080504|205764_2_187_275
+NSKMVVMPPYYCIKKRQIQLNIKKSTAEM
+>NM_030771|205788_2_934_1085
+MVMPMENLQVFTVEIPIQNQPFIIQFRGNQFICHLPKKLRIYQEGRVKDL
+>DECOY_NM_030771|205788_2_934_1085
+LDKVRGEQYIRLKKPLHCIFQNGRFQIIFPQNQIPIEVTFVQLNEMPMVM
+>NM_207305|205799_2_866_1266
+MAAFSGVGSVSSATNRPREPTCPTPSLYLLHTPPCTTPAQALCLGPLPRRSQSRGPTPTPAPGDALTLCCTRILLATYCSRPPPMPGHRRKQKARTWRPRHPSRAAALTWSSALGGGQGSGVATGRRMHLFQH
+>DECOY_NM_207305|205799_2_866_1266
+HQFLHMRRGTAVGSGQGGGLASSWTLAAARSPHRPRWTRAKQKRRHGPMPPPRSCYTALLIRTCCLTLADGPAPTPTPGRSQSRRPLPGLCLAQAPTTCPPTHLLYLSPTPCTPERPRNTASSVSGVGSFAAM
+>NM_201546|205810_2_1122_1294
+MGPHQTRATCWGGSAARCPRRPSPPPGMSCLSSSTRTSMWPAMAFLRATRKMCVAAS
+>DECOY_NM_201546|205810_2_1122_1294
+SAAVCMKRTARLFAMAPWMSTRTSSSLCSMGPPPSPRRPCRAASGGWCTARTQHPGM
+>NM_006200|205845_2_1172_1659
+MGLAVLEKWQPLQTIRTAQSELLSTPRSEECECWTEMSRTWLKQNQLASTPSTCTFTAPAGARMMMARLWTDQPPSPGKPLKTALEWGGEASALCLFGHLEMVEGAKTTAPVMATPTASTPSPSAALQKAERNLGTWKSVHPRWPQPTAAGSPTIRKSSLQI
+>DECOY_NM_006200|205845_2_1172_1659
+IQLSSKRITPSGAATPQPWRPHVSKWTGLNREAKQLAASPSPTSATPTAMVPATTKAGEVMELHGFLCLASAEGGWELATKLPKGPSPPQDTWLRAMMMRAGAPATFTCTSPTSALQNQKLWTRSMETWCECEESRPTSLLESQATRITQLPQWKELVALGM
+>NM_006200|205849_2_1367_1659
+MARLWTDQPPSPGKPLKTALEWGGEASALCLFGHLEMVEGAKTTAPVMATPTASTPSPSAALQKAERNLGTWKSVHPRWPQPTAAGSPTIRKSSLQI
+>DECOY_NM_006200|205849_2_1367_1659
+IQLSSKRITPSGAATPQPWRPHVSKWTGLNREAKQLAASPSPTSATPTAMVPATTKAGEVMELHGFLCLASAEGGWELATKLPKGPSPPQDTWLRAM
+>NM_006200|205850_2_1475_1659
+MVEGAKTTAPVMATPTASTPSPSAALQKAERNLGTWKSVHPRWPQPTAAGSPTIRKSSLQI
+>DECOY_NM_006200|205850_2_1475_1659
+IQLSSKRITPSGAATPQPWRPHVSKWTGLNREAKQLAASPSPTSATPTAMVPATTKAGEVM
+>NM_006200|205851_2_1508_1659
+MATPTASTPSPSAALQKAERNLGTWKSVHPRWPQPTAAGSPTIRKSSLQI
+>DECOY_NM_006200|205851_2_1508_1659
+IQLSSKRITPSGAATPQPWRPHVSKWTGLNREAKQLAASPSPTSATPTAM
+>NM_006200|205854_2_2417_2526
+MAQRIMQVPATLSAVRLAVTGQDQTTAMTVCTTTTS
+>DECOY_NM_006200|205854_2_2417_2526
+STTTTCVTMATTQDQGTVALRVASLTAPVQMIRQAM
+>NM_006200|205858_2_2855_3066
+MDGISTARTASPATASAPLVLGQELMGALTAQRATSWRMGDACRAVVSAITLTTLQRMDTNPAKNVISVV
+>DECOY_NM_006200|205858_2_2855_3066
+VVSIVNKAPNTDMRQLTTLTIASVVARCADGMRWSTARQATLAGMLEQGLVLPASATAPSATRATSIGDM
+>NM_006200|205860_2_2969_3066
+MGDACRAVVSAITLTTLQRMDTNPAKNVISVV
+>DECOY_NM_006200|205860_2_2969_3066
+VVSIVNKAPNTDMRQLTTLTIASVVARCADGM
+>NM_001031836|205921_3_2375_2574
+MAISLEFSPDIHSAWMCTLFWRPPCGQHRAMLHVCCLVPPTPAIKQPDFGRHRSHHGNPHHRILAN
+>DECOY_NM_001031836|205921_3_2375_2574
+NALIRHHPNGHHSRHRGFDPQKIAPTPPVLCCVHLMARHQGCPPRWFLTCMWASHIDPSFELSIAM
+>NM_133447|205961_2_1802_1956
+MAYPRTWKLCICQPIQTSGWVTPYASAPVSPAPPAPSSTCPPPLMPIKRNT
+>DECOY_NM_133447|205961_2_1802_1956
+TNRKIPMLPPPCTSSPAPPAPSVPASAYPTVWGSTQIPQCICLKWTRPYAM
+>NM_133447|205967_3_1605_1681
+MAEDMEKEICHPVFQWRAHLLFKLR
+>DECOY_NM_133447|205967_3_1605_1681
+RLKFLLHARWQFVPHCIEKEMDEAM
+>NM_145728|206016_2_2488_2573
+MEKAMSHSQLISIEGPSSLRRTRLTWKK
+>DECOY_NM_145728|206016_2_2488_2573
+KKWTLRTRRLSSPGEISILQSHSMAKEM
+>NM_145728|206023_2_4099_4217
+MGWFPNWGNLVTQRALCTERAQQMCTRPLTVIPRVDKPL
+>DECOY_NM_145728|206023_2_4099_4217
+LPKDVRPIVTLPRTCMQQARETCLARQTVLNGWNPFWGM
+>NM_145728|206032_3_3716_3810
+MGLARTWPSRVFCRYGRIREAQHIWLQTISC
+>DECOY_NM_145728|206032_3_3716_3810
+CSITQLWIHQAERIRGYRCFVRSPWTRALGM
+>NM_015365|206124_3_569_672
+MEDWSRQKITWMHRYFFCHEFAFRTQGVHTYQCP
+>DECOY_NM_015365|206124_3_569_672
+PCQYTHVGQTRFAFEHCFFYRHMWTIKQRSWDEM
+>NM_001039792|206252_3_131_213
+MDHRCCCGGPAAAAAAGHLPFPRTAGL
+>DECOY_NM_001039792|206252_3_131_213
+LGATRPFPLHGAAAAAAPGGCCCRHDM
+>NM_057170|206308_2_1639_1754
+MAWYQTQQNPMWPQAPLSLAPKMSSGRLNRSPKTYRSS
+>DECOY_NM_057170|206308_2_1639_1754
+SSRYTKPSRNLRGSSMKPALSLPAQPWMPNQQTQYWAM
+>NM_032018|206658_2_956_1077
+MGRASTGHRITAMSNELLTGNPLLMTIGGLSTRKPVEALT
+>DECOY_NM_032018|206658_2_956_1077
+TLAEVPKRTSLGGITMLLPNGTLLENSMATIRHGTSARGM
+>NM_014862|206707_2_1526_1692
+MDCHRMTYPRSPSPTYQPVFMRPGSPWKRRMQSSPRKEILGLLKCLQELVHRRRR
+>DECOY_NM_014862|206707_2_1526_1692
+RRRRHVLEQLCKLLGLIEKRPSSQMRRKWPSGPRMFVPQYTPSPSRPYTMRHCDM
+>NM_014862|206726_3_1884_2086
+MDRESSALSGTANPISVQQDSVISLWDWNEPHLPGRPLFLQPPLQPSYLLAKWECLLQSCQQDSRVR
+>DECOY_NM_014862|206726_3_1884_2086
+RVRSDQQCSQLLCEWKALLYSPQLPPQLFLPRGPLHPENWDWLSIVSDQQVSIPNATGSLASSERDM
+>NM_024780|206815_2_1032_1126
+MAFTPIPPSSTGTAGHPTTCSWPTSSQSEHA
+>DECOY_NM_024780|206815_2_1032_1126
+AHESQSSTPWSCTTPHGATGTSSPPIPTFAM
+>NM_024780|206819_3_880_1013
+MAFEVQHFLIHPELQLHHNPSVYRGQKEHPPVHWAGVFHWGGLF
+>DECOY_NM_024780|206819_3_880_1013
+FLGGWHFVGAWHVPPHEKQGRYVSPNHHLQLEPHILFHQVEFAM
+>NM_032578|206858_2_3227_3333
+MGHALCTLNPLPVMTMATTPSWQPTPRGESAVLAT
+>DECOY_NM_032578|206858_2_3227_3333
+TALVASEGRPTPQWSPTTAMTMVPLPNLTCLAHGM
+>NM_032578|206869_3_3546_3631
+MATQWPTCATRCLPQDAGQGDRSPLSAH
+>DECOY_NM_032578|206869_3_3546_3631
+HASLPSRDGQGADQPLCRTACTPWQTAM
+>NM_015234|206938_2_605_744
+MGGLGKGVFTISFVKSVTSSSQGTIAVALKNCLPMDLFACFRKMLP
+>DECOY_NM_015234|206938_2_605_744
+PLMKRFCAFLDMPLCNKLAVAITGQSSSTVSKVFSITFVGKGLGGM
+>NM_015234|206945_2_1847_1929
+MEPITAYLDIRIHTVLQPKTSLFTRCL
+>DECOY_NM_015234|206945_2_1847_1929
+LCRTFLSTKPQLVTHIRIDLYATIPEM
+>NM_015234|206946_2_2012_2199
+METTKLLSIRVPHPFLLQKKLTKNKCATNTISMQAQFPGVQKLLMCVVTLPMLLIIQSGAHL
+>DECOY_NM_015234|206946_2_2012_2199
+LHAGSQIILLMPLTVVCMLLKQVGPFQAQMSITNTACKNKTLKKQLLFPHPVRISLLKTTEM
+>NM_015234|206950_2_3635_3768
+MAAHLPSRSSRWEPPSPGKSIRGRMSVGSTGRTPRPCWLSPSQH
+>DECOY_NM_015234|206950_2_3635_3768
+HQSPSLWCPRPTRGTSGVSMRGRISKGPSPPEWRSSRSPLHAAM
+>NM_015234|206957_3_4083_4168
+MVFTALKVNIPGFIHTCVFYEFSNIKEI
+>DECOY_NM_015234|206957_3_4083_4168
+IEKINSFEYFVCTHIFGPINVKLATFVM
+>NM_032137|206986_2_1545_1708
+MAPPSFTIPLETSLYVRSPHAAEGEPSPASLMTYLDSPCWPYSILKARAVFTTT
+>DECOY_NM_032137|206986_2_1545_1708
+TTTFVARAKLISYPWCPSDLYTMLSAPSPEGEAAHPSRVYLSTELPITFSPPAM
+>NM_015347|207177_2_789_982
+MGSMKESSSMARGVWCPPTSWTLCRTTSRGWQARWGTSRIRTSSTIPASAWRESTSWTSTPQPT
+>DECOY_NM_015347|207177_2_789_982
+TPQPTSTWSTSERWASAPITSSTRIRSTGWRAQWGRSTTRCLTWSTPPCWVGRAMSSSEKMSGM
+>NM_015347|207185_2_2379_2530
+METSTTQRAAGGLTSQTSWRRTRRSCILKCSWKMGEGGGPAARPTMPSRF
+>DECOY_NM_015347|207185_2_2379_2530
+FRSPMTPRAAPGGGEGMKWSCKLICSRRTRRWSTQSTLGGAARQTTSTEM
+>NM_015347|207201_3_1138_1214
+MGNGEQLQRPGGQGDTHEPHAGEQN
+>DECOY_NM_015347|207201_3_1138_1214
+NQEGAHPEHTDGQGGPRQLQEGNGM
+>NM_007108|207248_2_214_362
+MARHWASVASPVKQHGHRPQPQWGWPSGQMTPLRPCASSRFPARQSCPM
+>DECOY_NM_007108|207248_2_214_362
+MPCSQRAPFRSSACPRLPTMQGSPWGWQPQPRHGHQKVPSAVSAWHRAM
+>NM_003769|207258_2_363_526
+MVMIMASVGFVWSSPGLMEVGVGGPVVGGMGLLQEDLISEFLFQDFLRQAAGRT
+>DECOY_NM_003769|207258_2_363_526
+TRGAAQRLFDQFLFESILDEQLLGMGGVVPGGVGVEMLGPSSWVFGVSAMIMVM
+>NM_003769|207260_2_375_526
+MASVGFVWSSPGLMEVGVGGPVVGGMGLLQEDLISEFLFQDFLRQAAGRT
+>DECOY_NM_003769|207260_2_375_526
+TRGAAQRLFDQFLFESILDEQLLGMGGVVPGGVGVEMLGPSSWVFGVSAM
+>NM_003769|207262_2_450_526
+MGLLQEDLISEFLFQDFLRQAAGRT
+>DECOY_NM_003769|207262_2_450_526
+TRGAAQRLFDQFLFESILDEQLLGM
+>NM_014161|207282_2_420_511
+MARLWFRPPLVSGLLKSTFIVPEMWWLVRV
+>DECOY_NM_014161|207282_2_420_511
+VRVLWWMEPVIFTSKLLGSVLPPRFWLRAM
+>NM_001042704|207303_2_1641_1714
+MAPSLSRSCHTCHGFWAATSAPTM
+>DECOY_NM_001042704|207303_2_1641_1714
+MTPASTAAWFGHCTHCSRSLSPAM
+>NM_001042704|207304_3_1249_1427
+MVPPAVHHLWDPCLLPGYEVLDADSGHHHPHACRVLHAHLYPWSCHRAPLGRGSCRRLP
+>DECOY_NM_001042704|207304_3_1249_1427
+PLRRCSGRGLPARHCSWPYLHAHLVRCAHPHHHGSDADLVEYGPLLCPDWLHHVAPPVM
+>NM_198887|207313_2_904_1115
+MDPSGTGMLPQMYLKSRHSFTKEEEAVLFCLIALVTKLMFTSLSLAPGSALILQKTELKSQAYFPVGLCL
+>DECOY_NM_198887|207313_2_904_1115
+LCLGVPFYAQSKLETKQLILASGPALSLSTFMLKTVLAILCFLVAEEEKTFSHRSKLYMQPLMGTGSPDM
+>NM_014175|207333_2_469_548
+MVSSWLRRVLTPLRQKLILKYSWLQN
+>DECOY_NM_014175|207333_2_469_548
+NQLWSYKLILKQRLPTLVRRLWSSVM
+>NM_014175|207334_2_571_887
+MVVLLLQPSMIQEVWTLYANLFHSFFVDNPFQKECFHQKNWYHITLMQRTVGTWRILPNFLKHDLNSPGSMVISYLISLKMNSSKCSVLGRIQGRFSLVLLQDGW
+>DECOY_NM_014175|207334_2_571_887
+WGDQLLVLSFRGQIRGLVSCKSSNMKLSILYSIVMSGPSNLDHKLFNPLIRWTGVTRQMLTIHYWNKQHFCEKQFPNDVFFSHFLNAYLTWVEQIMSPQLLLVVM
+>NM_014175|207337_2_781_887
+MVISYLISLKMNSSKCSVLGRIQGRFSLVLLQDGW
+>DECOY_NM_014175|207337_2_781_887
+WGDQLLVLSFRGQIRGLVSCKSSNMKLSILYSIVM
+>NM_020945|207449_2_2190_2428
+MGSLRSWPRTSACWAVLEPWRKRATCCALGWTQRPGHLQICWALPFPPAAHSHPGYRAASRSLAFWTAWPAAPSTCVGT
+>DECOY_NM_020945|207449_2_2190_2428
+TGVCTSPAAPWATWFALSRSAARYGPHSHAAPPFPLAWCIQLHGPRQTWGLACCTARKRWPELVAWCASTRPWSRLSGM
+>NM_020945|207452_2_3171_3286
+MAVCLFQPCPQLWEPALSTLSLEELGQVPPDRSLLLEV
+>DECOY_NM_020945|207452_2_3171_3286
+VELLLSRDPPVQGLEELSLTSLAPEWLQPCPQFLCVAM
+>NM_020945|207461_2_5436_5554
+MVPGHRPSRPACCSSSASSTAPTPRTQRGEPRSSSRPWP
+>DECOY_NM_020945|207461_2_5436_5554
+PWPRSSSRPEGRQTRPTPATSSASSSCCAPRSPRHGPVM
+>NM_020945|207469_2_7542_7624
+MDIPSFLSSTTMIGVRPLKASALSNPA
+>DECOY_NM_020945|207469_2_7542_7624
+APNSLASAKLPRVGIMTTSSLFSPIDM
+>NM_020945|207475_3_2080_2201
+MGSSIPQTDPGAGFVHSLCCVRSAALGPCQWLLLQEEWAL
+>DECOY_NM_020945|207475_3_2080_2201
+LAWEEQLLLWQCPGLAASRVCCLSHVFGAGPDTQPISSGM
+>NM_014803|207539_2_1287_1384
+MANYLHILVKCATFQQMTFRYLNNTDEPIEAL
+>DECOY_NM_014803|207539_2_1287_1384
+LAEIPEDTNNLYRFTMQQFTACKVLIHLYNAM
+>NM_001031725|207629_2_583_728
+MESISVIRQMKMCVVWSVKRNIFYKLRKRKRNQNSAIHRRLILSQSLH
+>DECOY_NM_001031725|207629_2_583_728
+HLSQSLILRRHIASNQNRKRKRLKYFINRKVSWVVCMKMQRIVSISEM
+>NM_015289|207682_2_2312_2607
+MATKMCICPCFGCTCRPPAFTAWGQSSWNYWSQKPTSRPLCRSSSYTTANWTPPRPSTFCQQTLRSMTYASSWKRSWKKMHKRNGSIKCSRTFSMQNS
+>DECOY_NM_015289|207682_2_2312_2607
+SNQMSFTRSCKISGNRKHMKKWSRKWSSAYTMSRLTQQCFTSPRPPTWNATTYSSSRCLPRSTPKQSWYNWSSQGWATFAPPRCTCGFCPCICMKTAM
+>NM_017867|207705_2_924_1024
+MAWGLNWEWISFAMAHIIFIKLLASFYLLHIIC
+>DECOY_NM_017867|207705_2_924_1024
+CIIHLLYFSALLKIFIIHAMAFSIWEWNLGWAM
+>NM_001183|207719_2_1109_1191
+MAPSPTSMLPRSQGPASTPSTASMSAA
+>DECOY_NM_001183|207719_2_1109_1191
+AASMSATSPTSAPGQSRPLMSTPSPAM
+>NM_006133|207761_2_2806_3119
+MGAWGTRPVLRCWNSPSSSTASSTWTARAAPSKTSTAWWCPRAPPVTTLRAPSPPASKRSCSVPSSSPTWCPSPHGSLPAQPTPPRASHSRPPSRSAPRVSSWT
+>DECOY_NM_006133|207761_2_2806_3119
+TWSSVRPASRSPPRSHSARPPTPQAPLSGHPSPCWTPSSSPVSCSRKSAPPSPARLTTVPPARPCWWATSTKSPAARATWTSSATSSSPSNWCRLVPRTGWAGM
+>NM_183393|207851_2_2347_2444
+MAWMNLSLPTPVTLTTLPSLRWAGSVLARCLY
+>DECOY_NM_183393|207851_2_2347_2444
+YLCRALVSGAWRLSPLTTLTVPTPLSLNMWAM
+>NM_183393|207853_2_2464_2537
+MESGGVTDISATSETCLNGQKMAP
+>DECOY_NM_183393|207853_2_2464_2537
+PAMKQGNLCTESTASIDTVGGSEM
+>NM_183393|207855_2_3298_3410
+MGQAPQKICFGNLTPFRPSFGTCTGLKKSLESTWNNG
+>DECOY_NM_183393|207855_2_3298_3410
+GNNWTSELSKKLGTCTGFSPRFPTLNGFCIKQPAQGM
+>NM_183393|207857_3_1973_2178
+MEEKVFCIGAGQSVHVCHVQLSGEESGASGTSTIGWLHCGLHRPPARFGGWPSLLQCCQGGRHRDICQ
+>DECOY_NM_183393|207857_3_1973_2178
+QCIDRHRGGQCCQLLSPWGGFRAPPRHLGCHLWGITSTGSAGSEEGSLQVHCVHVSQGAGICFVKEEM
+>NM_032421|207968_2_688_761
+MARWAACATSSARPSRVSSRGPPS
+>DECOY_NM_032421|207968_2_688_761
+SPPGRSSVRSPRASSTACAAWRAM
+>NM_032421|207970_2_1108_1181
+MGRWRAPGTSSAHPSLVSSRPSTK
+>DECOY_NM_032421|207970_2_1108_1181
+KTSPRSSVLSPHASSTGPARWRGM
+>NM_016355|207994_2_1271_1368
+MEKRRNARERMLEIMMTQRVLLVSGTRWLEEK
+>DECOY_NM_016355|207994_2_1271_1368
+KEELWRTGSVLLVRQTMMIELMRERANRRKEM
+>NM_180990|208011_2_246_499
+MGVRPCSWMCGCLSPTCLMWTSCDTQCPPCCCLGCPGWTLAWPGTLVHTRGTPSRCPGSLSGHQGSPSWRRSGWTGGTRAPRLE
+>DECOY_NM_180990|208011_2_246_499
+ELRPARTGGTWGSRRWSPSGQHGSLSGPCRSPTGRTHVLTGPWALTWGPCGLCCCPPCQTDCSTWMLCTPSLCGCMWSCPRVGM
+>NM_152289|208041_2_626_744
+MEGILLRVIVMEKTPSVCTRKPLLDRNFPNLIHVEKSLL
+>DECOY_NM_152289|208041_2_626_744
+LLSKEVHILNPFNRDLLPKRTCVSPTKEMVIVRLLIGEM
+>NM_152289|208042_2_656_744
+MEKTPSVCTRKPLLDRNFPNLIHVEKSLL
+>DECOY_NM_152289|208042_2_656_744
+LLSKEVHILNPFNRDLLPKRTCVSPTKEM
+>NM_015393|208096_3_284_369
+MEGTESAYISSFVCFSSDKHCTTDHHLD
+>DECOY_NM_015393|208096_3_284_369
+DLHHDTTCHKDSSFCVFSSIYASETGEM
+>NM_015147|208126_2_1787_1974
+MGQLPSLQAPAKASFPLELPSKDLGILRARIPVSCAPSSVPTTPQGKAVWGAARPSGSPLDC
+>DECOY_NM_015147|208126_2_1787_1974
+CDLPSGSPRAAGWVAKGQPTTPVSSPACSVPIRARLIGLDKSPLELPFSAKAPAQLSPLQGM
+>NM_025061|208183_2_2157_2302
+MGYTPCHPRWASCRTYSTWPSPTMPWRPCPKSSSSAASCGRCFWATTS
+>DECOY_NM_025061|208183_2_2157_2302
+STTAWFCRGCSAASSSSKPCPRWPMTPSPWTSYTRCSAWRPHCPTYGM
+>NM_003716|208250_2_2347_2495
+MAWMNLSLPTPVTLTTLPSLRWYNALLWITDLMIPILAWAGSVLARCLY
+>DECOY_NM_003716|208250_2_2347_2495
+YLCRALVSGAWALIPIMLDTIWLLANYWRLSPLTTLTVPTPLSLNMWAM
+>NM_181782|208426_2_1058_1137
+MGVRSMVSSAPWKRLFPLRSTMTFLT
+>DECOY_NM_181782|208426_2_1058_1137
+TLFTMTSRLPFLRKWPASSVMSRVGM
+>NM_181782|208430_2_2849_2943
+MAQAKLFSTHSALILRSLSGVEKIHTLSMET
+>DECOY_NM_181782|208430_2_2849_2943
+TEMSLTHIKEVGSLSRLILASHTSFLKAQAM
+>NM_019044|208499_2_739_893
+MAGDMDLAARAKWRRLRTRKRHFQQGCQLQKKLMPTRKMSFEQLKSSVFSR
+>DECOY_NM_019044|208499_2_739_893
+RSFVSSKLQEFSMKRTPMLKKQLQCGQQFHRKRTRLRRWKARAALDMDGAM
+>NM_019044|208500_2_751_893
+MDLAARAKWRRLRTRKRHFQQGCQLQKKLMPTRKMSFEQLKSSVFSR
+>DECOY_NM_019044|208500_2_751_893
+RSFVSSKLQEFSMKRTPMLKKQLQCGQQFHRKRTRLRRWKARAALDM
+>NM_001130860|208688_2_1280_1434
+MACRHSTRSSLAPWPLLWTCSQAKFDSTSLRPMRFNGTMARWGMMGVLGRI
+>DECOY_NM_001130860|208688_2_1280_1434
+IRGLVGMMGWRAMTGNFRMPRLSTSDFKAQSCTWLLPWPALSSRTSHRCAM
+>NM_001130860|208698_2_2537_2682
+MGSWVPDTRKLYSGNTLMVHSGSLGQGLDQKNTWESWVHLSKVKLVIS
+>DECOY_NM_001130860|208698_2_2537_2682
+SIVLKVKSLHVWSEWTNKQDLGQGLSGSHVMLTNGSYLKRTDPVWSGM
+>NM_001130860|208710_2_3254_3345
+MARTTGQMWWICSQGLLRLWRWWPATLGHG
+>DECOY_NM_001130860|208710_2_3254_3345
+GHGLTAPWWRWLRLLGQSCIWWMQGTTRAM
+>NM_001098816|208853_2_1292_1377
+MGLTVTGTSSSSLEAPPRSSAPHHQGTH
+>DECOY_NM_001098816|208853_2_1292_1377
+HTGQHHPASSRPPAELSSSSTGTVTLGM
+>NM_001098816|208859_2_2174_2286
+MAMVTASLGPATASWVSWAPTVAEPPAPCSVAEMANT
+>DECOY_NM_001098816|208859_2_2174_2286
+TNAMEAVSCPAPPEAVTPAWSVWSATAPGLSATVMAM
+>NM_001098816|208860_2_2180_2286
+MVTASLGPATASWVSWAPTVAEPPAPCSVAEMANT
+>DECOY_NM_001098816|208860_2_2180_2286
+TNAMEAVSCPAPPEAVTPAWSVWSATAPGLSATVM
+>NM_001098816|208863_2_2375_2484
+MAPASRAPASATLATRARAVRKWTAWTPHVQAGVSA
+>DECOY_NM_001098816|208863_2_2375_2484
+ASVGAQVHPTWATWKRVARARTALTASAPARSAPAM
+>NM_001098816|208864_2_2705_2847
+MAGWGQPATSGPATRAVPSMGPAATASASAALAGMANTAPSLTIWIG
+>DECOY_NM_001098816|208864_2_2705_2847
+GIWITLSPATNAMGALAASASATAAPGMSPVARTAPGSTAPQGWGAM
+>NM_001098816|208865_2_2762_2847
+MGPAATASASAALAGMANTAPSLTIWIG
+>DECOY_NM_001098816|208865_2_2762_2847
+GIWITLSPATNAMGALAASASATAAPGM
+>NM_001098816|208868_2_2996_3189
+MEMAWWTAWTLTAASSPCAISTRCALAPLTLWTSSRRHRSLCHSRTYTPSMTASSSSWAGTART
+>DECOY_NM_001098816|208868_2_2996_3189
+TRATGAWSSSSATMSPTYTRSHCLSRHRRSSTWLTLPALACRTSIACPSSAATLTWATWWAMEM
+>NM_001098816|208869_2_3002_3189
+MAWWTAWTLTAASSPCAISTRCALAPLTLWTSSRRHRSLCHSRTYTPSMTASSSSWAGTART
+>DECOY_NM_001098816|208869_2_3002_3189
+TRATGAWSSSSATMSPTYTRSHCLSRHRRSSTWLTLPALACRTSIACPSSAATLTWATWWAM
+>NM_001098816|208872_2_4031_4254
+MGRTSLCLSSLLSLGASWAMGAGEASPAPAATALLTATSSWPQWPSPVALTGASMWVISTTLEGSSPLEMSPTS
+>DECOY_NM_001098816|208872_2_4031_4254
+STPSMELPSSGELTTSIVWMSAGTLAVPSPWQPWSSTATLLATAAPAPSAEGAGMAWSAGLSLLSSLCLSTRGM
+>NM_001098816|208873_2_4088_4254
+MGAGEASPAPAATALLTATSSWPQWPSPVALTGASMWVISTTLEGSSPLEMSPTS
+>DECOY_NM_001098816|208873_2_4088_4254
+STPSMELPSSGELTTSIVWMSAGTLAVPSPWQPWSSTATLLATAAPAPSAEGAGM
+>NM_001098816|208875_2_4586_4698
+MGSSPPCSALMISHQPGHSAVILSWIFPRFTWSGPQT
+>DECOY_NM_001098816|208875_2_4586_4698
+TQPGSWTFRPFIWSLIVASHGPQHSIMLASCPPSSGM
+>NM_001098816|208879_2_4895_5070
+MGSCILLRLMRKRSTASGRSPLVERSHSLLGPPVAVTVKMMPTVIVFLETMVMPRMQS
+>DECOY_NM_001098816|208879_2_4895_5070
+SQMRPMVMTELFVIVTPMMKVTVAVPPGLLSHSREVLPSRGSATSRKRMLRLLICSGM
+>NM_001098816|208883_2_5489_5571
+MAIPAFWQPKAMKTDGQHFMSTTALAA
+>DECOY_NM_001098816|208883_2_5489_5571
+AALATTSMFHQGDTKMAKPQWFAPIAM
+>NM_001098816|208889_2_6503_6585
+MANCQSWQRRSMTPPRSVSPMTRRQAC
+>DECOY_NM_001098816|208889_2_6503_6585
+CAQRRTMPSVSRPPTMSRRQWSQCNAM
+>NM_001098816|208896_2_7670_7866
+MVASMIHSPSLSTWAGEIMMCWPDAGLAQTTSCGSTLVAATSCLLISICSKTTTPSATPRTSSAS
+>DECOY_NM_001098816|208896_2_7670_7866
+SASSTRPTASPTTTKSCISILLCSTAAVLTSGCSTTQALGADPWCMMIEGAWTSLSPSHIMSAVM
+>NM_001098816|208901_2_8390_8487
+MGSTSLCPRSTQYLMAGLDATQTSSSSTGHCA
+>DECOY_NM_001098816|208901_2_8390_8487
+ACHGTSSSSTQTADLGAMLYQTSRPCLSTSGM
+>NM_001098816|208911_3_2511_2608
+MGRHQLRDPQGHMLRPVFRPRNLPPGHRALQL
+>DECOY_NM_001098816|208911_3_2511_2608
+LQLARHGPPLNRPRFVPRLMHGQPDRLQHRGM
+>NM_001098816|208912_3_3972_4135
+MEPRQTSCPQHSKWHPAQREWGEPVCVSAASCHWEHHGQWAPEKHLLPQLQRPC
+>DECOY_NM_001098816|208912_3_3972_4135
+CPRQLQPLLHKEPAWQGHHEWHCSAASVCVPEGWERQAPHWKSHQPCSTQRPEM
+>NM_032882|208942_3_1296_1390
+MGGQSSQERESPDTGRGRCPGWCPGCCQSQH
+>DECOY_NM_032882|208942_3_1296_1390
+HQSQCCGPCWGPCRGRGTDPSEREQSSQGGM
+>NM_153634|209025_2_799_887
+MEVMISLENLQQAIGNFLEGSHNSTYMRW
+>DECOY_NM_153634|209025_2_799_887
+WRMYTSNHSGELFNGIAQQLNELSIMVEM
+>NM_001219|209086_2_888_1078
+MGRWTRKRPKTGSFPQTMIMQRQKPGTWSMNQTKTRMASLPRRRSLTSMTYLLAARPQILGRP
+>DECOY_NM_001219|209086_2_888_1078
+PRGLIQPRAALLYTMSTLSRRRPLSAMRTKTQNMSWTGPKQRQMIMTQPFSGTKPRKRTWRGM
+>NM_001219|209090_2_996_1078
+MASLPRRRSLTSMTYLLAARPQILGRP
+>DECOY_NM_001219|209090_2_996_1078
+PRGLIQPRAALLYTMSTLSRRRPLSAM
+>NM_016274|209205_2_318_421
+METSSLHRPRRSAGSGNSAGKGFSGRFGKTAMWC
+>DECOY_NM_016274|209205_2_318_421
+CWMATKGFRGSFGKGASNGSGASRRPRHLSSTEM
+>NM_016274|209210_2_1269_1414
+MGSERPRTPLGLRRILSQSSCCWRRNGCWERHHRIGARQRGCCRRSGS
+>DECOY_NM_016274|209210_2_1269_1414
+SGSRRCCGRQRAGIRHHREWCGNRRWCCSSQSLIRRLGLPTRPRESGM
+>NM_020776|209255_2_1274_1380
+MAGCLEHHHLLKSTKTPQTVERIGRRGRQLGFIRI
+>DECOY_NM_020776|209255_2_1274_1380
+IRIFGLQRGRRGIREVTQPTKTSKLLHHHELCGAM
+>NM_001371|209422_2_7804_7922
+MVIGSTGIRNFSLIIIQLTVFRNIHQFWFQMLTILEQIF
+>DECOY_NM_001371|209422_2_7804_7922
+FIQELITLMQFWFQHINRFVTLQIIILSFNRIGTSGIVM
+>NM_001371|209442_2_13840_13982
+MGSSWNPPPRYSSRSYPCSTSLPLTPRHPRTPSCMCVLFTRNPGELI
+>DECOY_NM_001371|209442_2_13840_13982
+ILEGPNRTFLVCMCSPTRPHRPTLPLSTSCPYSRSSYRPPPNWSSGM
+>NM_001371|209445_3_2651_2736
+MVVFNTGKLLSRSRISFGYVQSTFKEDQ
+>DECOY_NM_001371|209445_3_2651_2736
+QDEKFTSQVYGFSIRSRSLLKGTNFVVM
+>NM_012336|209459_2_1011_1108
+MEPAQTGTWHTSSDMRPRSCSTRMWRRSLTEP
+>DECOY_NM_012336|209459_2_1011_1108
+PETLSRRWMRTSCSRPRMDSSTHWTGTQAPEM
+>NM_017871|209649_3_1074_1471
+MGRKRKEHGHHARLLRAGHRRPQDPQRAAEARDGGAAGAGGQDAGGVHVIQRTRGRQGHHAAGGPGRAGERAAGAWRGQEDGVPEAEDRAGAPGQLLHAGQWRDGDAAHKPQHPRRHLAGAAEAGDGAGAAP
+>DECOY_NM_017871|209649_3_1074_1471
+PAAGAGDGAEAAGALHRRPHQPKHAADGDRWQGAHLLQGPAGARDEAEPVGDEQGRWAGAAREGARGPGGAAHHGQRGRTRQIVHVGGADQGGAGAAGGDRAEAARQPDQPRRHGARLLRAHHGHEKRKRGM
+>NM_152750|209677_3_2537_2631
+MERVQPPGSCPTQSHCWGRDGVTEKCQLGRR
+>DECOY_NM_152750|209677_3_2537_2631
+RRGLQCKETVGDRGWCHSQTPCSGPPQVREM
+>NM_020921|209752_2_1089_1168
+MGWAMHLWREYWTPGRKRALRTARRS
+>DECOY_NM_020921|209752_2_1089_1168
+SRRATRLARKRGPTWYERWLHMAWGM
+>NM_182764|209801_2_221_369
+MGGRCQTQSIIPSVMQMVLSCTSPNRLAVTLRMGQSYNWLSPRPGLHAS
+>DECOY_NM_182764|209801_2_221_369
+SAHLGPRPSLWNYSQGMRLTVALRNPSTCSLVMQMVSPIISQTQCRGGM
+>NM_015291|210005_2_394_569
+METLERTRATRSSNSSESIASAISMKIFILMNPFFTSLLILNGGTQLTKSIYCTFHIM
+>DECOY_NM_015291|210005_2_394_569
+MIHFTCYISKTLQTGGNLILLSTFFPNMLIFIKMSIASAISESSNSSRTARTRELTEM
+>NM_054024|210058_2_603_676
+MVKIYILMKKIKMKNLVYMKVIFR
+>DECOY_NM_054024|210058_2_603_676
+RFIVKMYVLNKMKIKKMLIYIKVM
+>NM_054024|210060_2_999_1075
+MVSLKQNISKNLNQKLIQCQRHSLN
+>DECOY_NM_054024|210060_2_999_1075
+NLSHRQCQILKQNLNKSINQKLSVM
+>NM_001001343|210101_3_619_764
+MVLQGWPHGGGQWVGEMARGGPGSWSEGGRPAGAPPGGNATQELQRWS
+>DECOY_NM_001001343|210101_3_619_764
+SWRQLEQTANGGPPAGAPRGGESWSGPGGRAMEGVWQGGGHPWGQLVM
+>NM_001001343|210102_3_667_764
+MARGGPGSWSEGGRPAGAPPGGNATQELQRWS
+>DECOY_NM_001001343|210102_3_667_764
+SWRQLEQTANGGPPAGAPRGGESWSGPGGRAM
+>NM_001031700|210125_2_591_781
+MDRRLRRGHIAAATPPSHPSLRYPWMVPWPLQSPRAMGPLCSPMWCTLPYAPSAASRPISVAP
+>DECOY_NM_001031700|210125_2_591_781
+PAVSIPRSAASPAYPLTCWMPSCLPGMARPSQLPWPVMWPYRLSPHSPPTAAAIHGRRLRRDM
+>NM_001031700|210127_2_699_781
+MGPLCSPMWCTLPYAPSAASRPISVAP
+>DECOY_NM_001031700|210127_2_699_781
+PAVSIPRSAASPAYPLTCWMPSCLPGM
+>NM_001031700|210130_2_1467_1570
+MAEYPSLNRVVLKYIIMSGPRWHSLIFCYRFIIA
+>DECOY_NM_001031700|210130_2_1467_1570
+AIIFRYCFILSHWRPGSMIIYKLVVRNLSPYEAM
+>NM_016613|210152_2_1362_1468
+MAAHAPSFFGMHLYLQQVMTPILLLSSPGELISSC
+>DECOY_NM_016613|210152_2_1362_1468
+CSSILEGPSSLLLIPTMVQQLYLHMGFFSPAHAAM
+>NM_017762|210314_2_693_844
+MEEEEEEEVMELVVAAARKLHSLKLTRIGTEKSRGQVLPGGEFVLLTRVT
+>DECOY_NM_017762|210314_2_693_844
+TVRTLLVFEGGPLVQGRSKETGIRTLKLSHLKRAAAVVLEMVEEEEEEEM
+>NM_017762|210315_2_720_844
+MELVVAAARKLHSLKLTRIGTEKSRGQVLPGGEFVLLTRVT
+>DECOY_NM_017762|210315_2_720_844
+TVRTLLVFEGGPLVQGRSKETGIRTLKLSHLKRAAAVVLEM
+>NM_017762|210316_2_2220_2329
+MGSWARAGCTSTPAALTTPTPRGHRSFSPPHFHFLL
+>DECOY_NM_017762|210316_2_2220_2329
+LLFHFHPPSFSRHGRPTPTTLAAPTSTCGARAWSGM
+>NM_017762|210319_3_1996_2156
+MVFQTRQPARCYSATCLWNTHKTVETVLLPLGSRGPDQPGWLHHSLSQALPPG
+>DECOY_NM_017762|210319_3_1996_2156
+GPPLAQSLSHHLWGPQDPGRSGLPLLVTEVTKHTNWLCTASYCRAPQRTQFVM
+>NM_020853|210357_2_153_349
+MEGSKMGRVLWEKRQNPTQMLRLQRLQSHIFQKSPRRATPQNPLGAWNRRRPPPWCHMCARLSSC
+>DECOY_NM_020853|210357_2_153_349
+CSSLRACMHCWPPPRRRNWAGLPNQPTARRPSKQFIHSQLRQLRLMQTPNQRKEWLVRGMKSGEM
+>NM_020853|210358_2_168_349
+MGRVLWEKRQNPTQMLRLQRLQSHIFQKSPRRATPQNPLGAWNRRRPPPWCHMCARLSSC
+>DECOY_NM_020853|210358_2_168_349
+CSSLRACMHCWPPPRRRNWAGLPNQPTARRPSKQFIHSQLRQLRLMQTPNQRKEWLVRGM
+>NM_173728|210561_2_236_663
+MAPLHKNYPETPMMHQPQCAPPSSGSPQLHPSSPLLFCPPQLLEPASTPRLPQTHLPAPPHLVQCPGAPPPQNLLPGLQSPHPSRLGHPARLCSPWLESWLRMALPQLLALCGGWLAGLKGVLKAGLRMQMPRSQVSKREQM
+>DECOY_NM_173728|210561_2_236_663
+MQERKSVQSRPMQMRLGAKLVGKLGALWGGCLALLQPLAMRLWSELWPSCLRAPHGLRSPHPSQLGPLLNQPPPAGPCQVLHPPAPLHTQPLRPTSAPELLQPPCFLLPSSPHLQPSGSSPPACQPQHMMPTEPYNKHLPAM
+>NM_173728|210564_2_542_663
+MALPQLLALCGGWLAGLKGVLKAGLRMQMPRSQVSKREQM
+>DECOY_NM_173728|210564_2_542_663
+MQERKSVQSRPMQMRLGAKLVGKLGALWGGCLALLQPLAM
+>NM_173728|210569_2_1040_1125
+MESKQGTVLMKLLRILLQQLWRGGKRRG
+>DECOY_NM_173728|210569_2_1040_1125
+GRRKGGRWLQQLLIRLLKMLVTGQKSEM
+>NM_173728|210571_2_1232_1398
+MGVLLQQMLEMHPPSHDPLDLATPCGRSFRLCKPAVFWIPSAPRRGACRRVFSRW
+>DECOY_NM_173728|210571_2_1232_1398
+WRSFVRRCAGRRPASPIWFVAPKCLRFSRGCPTALDLPDHSPPHMELMQQLLVGM
+>NM_007173|210618_2_547_677
+MGPNTETQGLQESLEGSGRFMAMTAGSAFLGRTSCSTTLSQHQ
+>DECOY_NM_007173|210618_2_547_677
+QHQSLTTSCSTRGLFASGATMAMFRGSGELSEQLGQTETNPGM
+>NM_022465|210693_2_1007_1584
+MGVGQSLTPLGASGCPMASSSVTSAAWSVLDPTCSWCTSAVTLVKGPSIATSVVPPSPRRGTCCATSSCTLGRSPLNVPSATMPAAGVMHSLVTSAHTQSPLPQWASPTSVTTVAGATNSRVPWRSTRSGAITTYRVSALKPKLWLANQVTKYVTWRWCQTPCCTHPLSGQLSSIVWPIASPNASVPHPRSL
+>DECOY_NM_022465|210693_2_1007_1584
+LSRPHPVSANPSAIPWVISSLQGSLPHTCCPTQCWRWTVYKTVQNALWLKPKLASVRYTTIAGSRTSRWPVRSNTAGAVTTVSTPSAWQPLPSQTHASTVLSHMVGAAPMTASPVNLPSRGLTCSSTACCTGRRPSPPVVSTAISPGKVLTVASTCWSCTPDLVSWAASTVSSSAMPCGSAGLPTLSQGVGM
+>NM_022465|210697_2_1940_2169
+MAARTPQTQKATTKIGLRGWYPSLRVPHPSHLPPLWWAGTVLPTPKRTPSHRRGYCGAPQAPPRKCFGWWARVVSL
+>DECOY_NM_022465|210697_2_1940_2169
+LSVVRAWWGFCKRPPAQPAGCYGRRHSPTRKPTPLVTGAWWLPPLHSPHPVRLSPYWGRLGIKTTAKQTQPTRAAM
+>NM_001129891|210709_2_1857_1966
+MGLEGSRCPMHSSQGSPRCKAMVLLAYAWKQELGGP
+>DECOY_NM_001129891|210709_2_1857_1966
+PGGLEQKWAYALLVMAKCRPSGQSSHMPCRSGELGM
+>NM_024113|210789_2_227_393
+MELPGSSLNILTVYAREHTFSFENSASSKPPPTIGYHFYGPSGDASELWAKMAIC
+>DECOY_NM_024113|210789_2_227_393
+CIAMKAWLESADGSPGYFHYGITPPPKSSASNEFSFTHERAYVTLINLSSGPLEM
+>NM_014988|210965_2_1252_1493
+MGQVNEGKASKPTEKLFKKKSGERESCMKHIRTLGPRRRQRGSFNSTLRGSPSVRLFSNAWRCQKFWKEAIQQSQIYPPS
+>DECOY_NM_014988|210965_2_1252_1493
+SPPYIQSQQIAEKWFKQCRWANSFLRVSPSGRLTSNFSGRQRRRPGLTRIHKMCSEREGSKKKFLKETPKSAKGENVQGM
+>NM_014988|210972_3_2354_2508
+MGPRRGAQATGKMATGTGTFAPGEIPEGAGQAERRVGKGPKGGGRGRTQIL
+>DECOY_NM_014988|210972_3_2354_2508
+LIQTRGRGGGKPGKGVRREAQGAGEPIEGPAFTGTGTAMKGTAQAGRRPGM
+>NM_014988|210973_3_2390_2508
+MATGTGTFAPGEIPEGAGQAERRVGKGPKGGGRGRTQIL
+>DECOY_NM_014988|210973_3_2390_2508
+LIQTRGRGGGKPGKGVRREAQGAGEPIEGPAFTGTGTAM
+>NM_017898|211268_2_493_566
+MDTWSLPDRSLASCSSPSFMRITA
+>DECOY_NM_017898|211268_2_493_566
+ATIRMFSPSSCSALSRDPLSWTDM
+>NM_007216|211351_2_3176_3267
+MVGSQRPWRNGSFSFISYRARARGQPPRSH
+>DECOY_NM_007216|211351_2_3176_3267
+HSRPPQGRARARYSIFSFSGNRWPRQSGVM
+>NM_007216|211355_3_3204_3283
+MEASPSSHTEQEHEASPPGVTKWEPQ
+>DECOY_NM_007216|211355_3_3204_3283
+QPEWKTVGPPSAEHEQETHSSPSAEM
+>NM_014629|211523_2_884_1035
+MGMKVETAPWNTDGVRVNLKVTKSRVTRSARMGFPGPSCAATTKSNFLMT
+>DECOY_NM_014629|211523_2_884_1035
+TMLFNSKTTAACSPGPFGMRASRTVRSKTVKLNVRVGDTNWPATEVKMGM
+>NM_014629|211526_2_2231_2382
+MAAAQARASTAGTLPFTRRRAWPWLLTRNQTKFTWGQDNCIKIYKTCCMT
+>DECOY_NM_014629|211526_2_2231_2382
+TMCCTKYIKICNDQGWTFKTQNRTLLWPWARRRTFPLTGATSARAQAAAM
+>NM_201253|211564_2_496_575
+MEVFAIRTLFILSASALLDMLEDSVR
+>DECOY_NM_201253|211564_2_496_575
+RVSDELMDLLASASLIFLTRIAFVEM
+>NM_201253|211566_2_610_749
+MGPCARMELMVTPASVSQDIKADTATWKWMNVLQIPARTRLHASMK
+>DECOY_NM_201253|211566_2_610_749
+KMSAHLRTRAPIQLVNMWKWTATDAKIDQSVSAPTVMLEMRACPGM
+>NM_201253|211567_2_628_749
+MELMVTPASVSQDIKADTATWKWMNVLQIPARTRLHASMK
+>DECOY_NM_201253|211567_2_628_749
+KMSAHLRTRAPIQLVNMWKWTATDAKIDQSVSAPTVMLEM
+>NM_201253|211576_2_1222_1487
+MDASLDCLLLSATMKPQVMSVSVSLDSQESTAKKTSMNVLQTLAKMVVLVRTCLGIILAIAHLITFLELFMEEGTVLIFSWAVPISNV
+>DECOY_NM_201253|211576_2_1222_1487
+VNSIPVAWSFILVTGEEMFLELFTILHAIALIIGLCTRVLVVMKALTQLVNMSTKKATSEQSDLSVSVSMVQPKMTASLLLCDLSADM
+>NM_201253|211580_2_1357_1487
+MVVLVRTCLGIILAIAHLITFLELFMEEGTVLIFSWAVPISNV
+>DECOY_NM_201253|211580_2_1357_1487
+VNSIPVAWSFILVTGEEMFLELFTILHAIALIIGLCTRVLVVM
+>NM_201253|211581_2_1492_1637
+MEHASLTSKMASMDSAACVHLATPGPCVKSQPHFHLRAMASCGSKVAQ
+>DECOY_NM_201253|211581_2_1492_1637
+QAVKSGCSAMARLHFHPQSKVCPGPTALHVCAASDMSAMKSTLSAHEM
+>NM_201253|211582_2_1519_1637
+MASMDSAACVHLATPGPCVKSQPHFHLRAMASCGSKVAQ
+>DECOY_NM_201253|211582_2_1519_1637
+QAVKSGCSAMARLHFHPQSKVCPGPTALHVCAASDMSAM
+>NM_201253|211583_2_1528_1637
+MDSAACVHLATPGPCVKSQPHFHLRAMASCGSKVAQ
+>DECOY_NM_201253|211583_2_1528_1637
+QAVKSGCSAMARLHFHPQSKVCPGPTALHVCAASDM
+>NM_201253|211588_2_2827_3095
+MEVFAIPGGMTSPVPVLPSQVGKPVRRFSGVDSARVLTEPSASRCFKDLNVLQMLFLMDKAVKYYSEAMGILPENSPISHLVSEQGMQM
+>DECOY_NM_201253|211588_2_2827_3095
+MQMGQESVLHSIPSNEPLIGMAESYYKVAKDMLFLMQLVNLDKFCRSASPETLVRASDVGSFRRVPKGVQSPLVPVPSTMGGPIAFVEM
+>NM_018116|211764_2_415_569
+MVSGGSNPFPMAKVPHHSPPLQLQNHLSLQRPASGSGQTSSESISIPGASV
+>DECOY_NM_018116|211764_2_415_569
+VSAGPISISESSTQGSGSAPRQLSLHNQLQLPPSHHPVKAMPFPNSGGSVM
+>NM_018116|211769_3_320_411
+MAGEAHHTQRGTLSQEPLSPRLSECRGSAE
+>DECOY_NM_018116|211769_3_320_411
+EASGRCESLRPSLPEQSLTGRQTHHAEGAM
+>NM_015091|211925_2_3603_3751
+MGIHFQLNKVLNHHQGFMEDQSSKIFHHILMLRMKKMLKFLFLNLLITR
+>DECOY_NM_015091|211925_2_3603_3751
+RTILLNLFLFKLMKKMRLMLIHHFIKSSQDEMFGQHHNLVKNLQFHIGM
+>NM_015902|212004_2_223_308
+MVEFAGLVFQYSQTDWNWVNLIIMMGQS
+>DECOY_NM_015902|212004_2_223_308
+SQGMMIILNVWNWDTQSYQFVLGAFEVM
+>NM_015902|212007_2_721_905
+MEMMGMIQPANLICLERILCLSLMPTFILPTQVSLLMQMPCFLKTLAILVTLLFVVHHFPG
+>DECOY_NM_015902|212007_2_721_905
+GPFHHVVFLLTVLIALTKLFCPMQMLLSVQTPLIFTPMLSLCLIRELCILNAPQIMGMMEM
+>NM_015902|212009_2_730_905
+MGMIQPANLICLERILCLSLMPTFILPTQVSLLMQMPCFLKTLAILVTLLFVVHHFPG
+>DECOY_NM_015902|212009_2_730_905
+GPFHHVVFLLTVLIALTKLFCPMQMLLSVQTPLIFTPMLSLCLIRELCILNAPQIMGM
+>NM_015902|212015_2_1132_1289
+MEQNSSVLGLCILNFWLSAVKENFISGNGVNLSLTEMPRILHYIIHEQHFWG
+>DECOY_NM_015902|212015_2_1132_1289
+GWFHQEHIIYHLIRPMETLSLNVGNGSIFNEKVASLWFNLICLGLVSSNQEM
+>NM_015902|212020_2_2782_2990
+MEIEIFCMLVYQFAFQPAIKKLKKKRKRSVLKEIHLQKGFLLLRPLQMQYQLFQVMAQVIGLDHQVAEV
+>DECOY_NM_015902|212020_2_2782_2990
+VEAVQHDLGIVQAMVQFLQYQMQLPRLLLFGKQLHIEKLVSRKRKKKLKKIAPQFAFQYVLMCFIEIEM
+>NM_015902|212042_2_7522_7610
+MVMTMPLCFTNLGKEDFILQGLARTQKQG
+>DECOY_NM_015902|212042_2_7522_7610
+GQKQTRALGQLIFDEKGLNTFCLPMTMVM
+>NM_017547|212060_2_138_247
+MERCLRLRRRSSRSCLEGPVIYCKTPATCLPSTRMW
+>DECOY_NM_017547|212060_2_138_247
+WMRTSPLCTAPTKCYIVPGELCSRSSRRRLRLCREM
+>NM_144664|212071_2_601_887
+MDHLRPVNSANSNVLLIGRRKEEERLMESYYAGSVLYRTKEFYRRRKNKGRAWDLHIQIHLLHLLLRKTSIIQNIITTIITITIVTAVAITKSAI
+>DECOY_NM_144664|212071_2_601_887
+IASKTIAVATVITITIITTIINQIISTKRLLLHLLHIQIHLDWARGKNKRRRYFEKTRYLVSGAYYSEMLREEEKRRGILLVNSNASNVPRLHDM
+>NM_033326|212125_2_1124_1269
+MVVATLTTTNRLSSSMPLSWPACRCHLEQRCHQLHSHQTQQGRSHLLG
+>DECOY_NM_033326|212125_2_1124_1269
+GLLHSRGQQTQHSHLQHCRQELHCRCAPWSLPMSSSLRNTTTLTAVVM
+>NM_033326|212128_2_1739_1875
+MENWAQVSSTLLGQKMQREVPLWLKHESTGTPAAVPAASHTLSDQ
+>DECOY_NM_033326|212128_2_1739_1875
+QDSLTHSAAPVAAPTGTSEHKLWLPVERQMKQGLLTSSVQAWNEM
+>NM_032777|212267_2_2311_2435
+MAASSTATATPPALELLGLARGVAWPPPSSSQEPVAVAWET
+>DECOY_NM_032777|212267_2_2311_2435
+TEWAVAVPEQSSSPPPWAVGRALGLLELAPPTATATSSAAM
+>NM_033396|212393_2_739_842
+MAARDMAPGPMARPLLPGMRMAAPSSGDGPRRGQ
+>DECOY_NM_033396|212393_2_739_842
+QGRRPGDGSSPAAMRMGPLLPRAMPGPAMDRAAM
+>NM_033396|212394_2_754_842
+MAPGPMARPLLPGMRMAAPSSGDGPRRGQ
+>DECOY_NM_033396|212394_2_754_842
+QGRRPGDGSSPAAMRMGPLLPRAMPGPAM
+>NM_033396|212396_2_1009_1166
+MEALPAQASPQKPQAQALALPIFTRLIRVLPATHSFWKPRLLKLPRLLPAPL
+>DECOY_NM_033396|212396_2_1009_1166
+LPAPLLRPLKLLRPKWFSHTAPLVRILRTFIPLALAQAQPKQPSAQAPLAEM
+>NM_033396|212398_2_1834_2117
+MGRVNLNSQLFPLSPCLQLRAHLDYLCSRQRRDTSRRSPWLDRSPLSPWLPGRQPCPSWSQSWGRSSQQPLTSPVFSLLMPLSLDRHCLLRRRP
+>DECOY_NM_033396|212398_2_1834_2117
+PRRRLLCHRDLSLPMLLSFVPSTLPQQSSRGWSQSWSPCPQRGPLWPSLPSRDLWPSRRSTDRRQRSCLYDLHARLQLCPSLPFLQSNLNVRGM
+>NM_033396|212399_2_2431_2636
+MALGVQALEETQVSERGTGPASMGKEQGKGAPGSGPAGVASARRRWRPAAAKTRVKCLPQGCSQPRTG
+>DECOY_NM_033396|212399_2_2431_2636
+GTRPQSCGQPLCKVRTKAAAPRWRRRASAVGAPGSGPAGKGQEKGMSAPGTGRESVQTEELAQVGLAM
+>NM_033396|212400_2_2497_2636
+MGKEQGKGAPGSGPAGVASARRRWRPAAAKTRVKCLPQGCSQPRTG
+>DECOY_NM_033396|212400_2_2497_2636
+GTRPQSCGQPLCKVRTKAAAPRWRRRASAVGAPGSGPAGKGQEKGM
+>NM_033396|212404_2_2908_3038
+MVGTAARMPMSRTGSFRREMCHSAPMAAGLRSHRNRSLGRALG
+>DECOY_NM_033396|212404_2_2908_3038
+GLARGLSRNRHSRLGAAMPASHCMERRFSGTRSMPMRAATGVM
+>NM_033396|212412_2_3397_3560
+MGRWESEAGSVSLASVLAPSERQHLAQGSRTGAGTSASRPVRGAISLASLATTE
+>DECOY_NM_033396|212412_2_3397_3560
+ETTALSALSIAGRVPRSASTGAGTRSGQALHQRESPALVSALSVSGAESEWRGM
+>NM_033396|212413_2_4306_5039
+MAACLLAWRPETPWRPGSWGLVRQVGQRPRVKITPRLPWSHTLQTLEWRQEKPSASEQALAGARPAPHPPAPRACWRRCWQPAAPRRWLGGSQRPRALGACWRRKEPGQVLPKRRCWSLAGTLHPPGGRSLMVRPARQKTWMAPGALQQPGGAIRGQHRLLGDPPKALLPDPPVRTSPSLRTPRSSTVPCIGAVPTWGASVGTGPRSFGLGVPWACRRQQTRMHTCSRTLQSHGHLGCHLQMKR
+>DECOY_NM_033396|212413_2_4306_5039
+RKMQLHCGLHGHSQLTRSCTHMRTQQRRCAWPVGLGFSRPGTGVSAGWTPVAGICPVTSSRPTRLSPSTRVPPDPLLAKPPDGLLRHQGRIAGGPQQLAGPAMWTKQRAPRVMLSRGGPPHLTGALSWCRRKPLVQGPEKRRWCAGLARPRQSGGLWRRPAAPQWCRRWCARPAPPHPAPRAGALAQESASPKEQRWELTQLTHSWPLRPTIKVRPRQGVQRVLGWSGPRWPTEPRWALLCAAM
+>NM_019008|212438_2_538_686
+MALARPLTLCSPMPGWCWGWVERPCWASPRWQLSGCTIGRSVPLPAPPA
+>DECOY_NM_019008|212438_2_538_686
+APPAPLPVSRGITCGSLQWRPSAWCPREVWGWCWGPMPSCLTLPRALAM
+>NM_030936|212520_3_1012_1262
+MGENPSAGSAPGDPRVLHLPGPSLRCWRSARGCRQAFQRDGPPVLLTCVPPCVSAGTRGVLRGRQASFPCLSSLPLLLPEEDS
+>DECOY_NM_030936|212520_3_1012_1262
+SDEEPLLLPLSSLCPFSAQRGRLVGRTGASVCPPVCTLLVPPGDRQFAQRCGRASRWCRLSPGPLHLVRPDGPASGASPNEGM
+>NM_015171|212644_2_3721_3869
+MVWMPTRKVCWGGISRWIGTCPHSPRMCTGWSTTCATTDSATTACPLAL
+>DECOY_NM_015171|212644_2_3721_3869
+LALPCATTASDTTACTTSWGTCMRPSHPCTGIWRSIGGWCVKRTPMWVM
+>NM_181533|212679_2_383_459
+MVAFMSCLLTTEDLGTLQVSPQRRD
+>DECOY_NM_181533|212679_2_383_459
+DRRQPSVQLTGLDETTLLCSMFAVM
+>NM_181533|212687_2_818_936
+MGKSSMKLHAMHTGTKRGSRWLSFLLASNTTCFVKAPHC
+>DECOY_NM_181533|212687_2_818_936
+CHPAKVFCTTNSALLFSLWRSGRKTGTHMAHLKMSSKGM
+>NM_152309|212762_2_1239_1354
+MATTPTPSLRNTASGTCGSSSTSMWKRWTCSRVTLKRN
+>DECOY_NM_152309|212762_2_1239_1354
+NRKLTVRSCTWRKWMSTSSSGCTGSATNRLSPTPTTAM
+>NM_152309|212777_3_193_506
+MVPVPADPVPVQSAGPQPEDTDSQAGPRGLLLGRGPKPFPQHPLCRGAAVRGAGAALPQARLAAPAAESFPSSAPRGQAALRRAGQRGVPRLLSRLGPLAGAHL
+>DECOY_NM_152309|212777_3_193_506
+LHAGALPGLRSLLRPVGRQGARRLAAQGRPASSPFSEAAPAALRAQPLAAGAGRVAAGRCLPHQPFPKPGRGLLLGRPGAQSDTDEPQPGASQVPVPDAPVPVM
+>NM_198060|212844_3_2227_2333
+MDEGSWVADRGESQLGTSQEGWTAGQREKLPAEGR
+>DECOY_NM_198060|212844_3_2227_2333
+RGEAPLKERQGATWGEQSTGLQSEGRDAVWSGEDM
+>NM_198060|212846_3_4432_4508
+MAGAEIPTDGECKEGWRTHQRDQVP
+>DECOY_NM_198060|212846_3_4432_4508
+PVQDRQHTRWGEKCEGDTPIEAGAM
+>NM_012108|212915_3_414_490
+MERLHSYSNRAVSSPKRVTPTWASN
+>DECOY_NM_012108|212915_3_414_490
+NSAWTPTVRKPSSVARNSYSHLREM
+>NM_022913|212951_2_1545_1693
+MVEVPVLVAVFSMQEKAKDYMKTTYLTMKPGGKKTRENANSLKLRIFRL
+>DECOY_NM_022913|212951_2_1545_1693
+LRFIRLKLSNANERTKKGGPKMTLYTTKMYDKAKEQMSFVAVLVPVEVM
+>NM_005128|213095_2_2075_2460
+MGRRAWQPMIPAGRTLGSPSPSLCLSSSRCCQTCSQHEGLHSRQKVQSHHRLRPAALPGKTGENGMLRRWSLTWGVPGRNAGRPLPPPATCCWIVPLSLSTCPRKRPSSSVQRSSSCQEPVIPVFHLG
+>DECOY_NM_005128|213095_2_2075_2460
+GLHFVPIVPEQCSSSRQVSSSPRKRPCTSLSLPVIWCCTAPPPLPRGANRGPVGWTLSWRRLMGNEGTKGPLAAPRLRHHSQVKQRSHLGEHQSCTQCCRSSSLCLSPSPSGLTRGAPIMPQWARRGM
+>NM_020704|213143_2_89_366
+MATAAAKGSRRRPRAAKRSEASGGSQRALWTVPLWSLSMEMQMGMQPSCQNCIVTLRTWNSPITGGALKKISRLKCRARNGWSWKKMPKRPI
+>DECOY_NM_020704|213143_2_89_366
+IPRKPMKKWSWGNRARCKLRSIKKLAGGTIPSNWTRLTVICNQCSPQMGMQMEMSLSWLPVTWLARQSGGSAESRKAARPRRRSGKAAATAM
+>NM_020704|213144_2_203_366
+MEMQMGMQPSCQNCIVTLRTWNSPITGGALKKISRLKCRARNGWSWKKMPKRPI
+>DECOY_NM_020704|213144_2_203_366
+IPRKPMKKWSWGNRARCKLRSIKKLAGGTIPSNWTRLTVICNQCSPQMGMQMEM
+>NM_020704|213161_3_327_400
+MAGVGRRCPKGLYNGTLGPARGGQ
+>DECOY_NM_020704|213161_3_327_400
+QGGRAPGLTGNYLGKPCRRGVGAM
+>NM_015026|213305_2_2459_2532
+MGLFLEHHGNLSWQLFSILCGFWD
+>DECOY_NM_015026|213305_2_2459_2532
+DWFGCLISFLQWSLNGHHELFLGM
+>NM_015026|213308_2_4520_4596
+MDSWKQSTLQMQNIIRSNYLHRRNG
+>DECOY_NM_015026|213308_2_4520_4596
+GNRRHLYNSRIINQMQLTSQKWSDM
+>NM_024958|213403_2_593_777
+MASGMGSAPTCTSSMRTVQALLSATTLRDLRSCAPAGPGPHCVGRSACPRGPCFCCWVWRL
+>DECOY_NM_024958|213403_2_593_777
+LRWVWCCFCPGRPCASRGVCHPGPGAPACSRLDRLTTASLLAQVTRMSSTCTPASGMGSAM
+>NM_024958|213404_2_605_777
+MGSAPTCTSSMRTVQALLSATTLRDLRSCAPAGPGPHCVGRSACPRGPCFCCWVWRL
+>DECOY_NM_024958|213404_2_605_777
+LRWVWCCFCPGRPCASRGVCHPGPGAPACSRLDRLTTASLLAQVTRMSSTCTPASGM
+>NM_015388|213429_2_827_939
+MASLGIALSCSSPIISTSTPSSTSSGCWWVDCPHCAW
+>DECOY_NM_015388|213429_2_827_939
+WACHPCDVWWCGSSTSSPTSTSIIPSSCSLAIGLSAM
+>NM_080875|213567_2_824_903
+MEGKGNRAVWWTSVAGMWRQAGVWPA
+>DECOY_NM_080875|213567_2_824_903
+APWVGAQRWMGAVSTWWVARNGKGEM
+>NM_001378|213585_2_481_698
+MAPWDLGRCIGIQIHQFFSFTQIPIWDEDLLNLEWLKSRKSTFLLEKLSRIQRKLRLQLWLNPKKMKRKMMM
+>DECOY_NM_001378|213585_2_481_698
+MMMKRKMKKPNLWLQLRLKRQIRSLKELLFTSKRSKLWELNLLDEDWIPIQTFSFFQHIQIGICRGLDWPAM
+>NM_001378|213588_2_1549_1637
+MAAKLESVRCLRGIKDQSLASIVMQLLEQ
+>DECOY_NM_001378|213588_2_1549_1637
+QELLQMVISALSQDKIGRLCRVSELKAAM
+>NM_134445|213615_2_401_579
+MAGTAAMTTLDLAWWQSLAPLPGWPAPWPWPSSVPSPATSPTSRRSSASAFSRVSTQTT
+>DECOY_NM_134445|213615_2_401_579
+TTQTSVRSFASASSRRSTPSTAPSPVSSPWPWPAPWGPLPALSQWWALDLTTMAATGAM
+>NM_153813|213783_2_325_446
+MGRGAYGPDSASPRACPGARSMGVSRPEPHPPGRRSRAQP
+>DECOY_NM_153813|213783_2_325_446
+PQARSRRGPPHPEPRSVGMSRAGPCARPSASDPGYAGRGM
+>NM_153813|213784_2_1378_2423
+MEAAASPRRPPGASRWRRWRSRRRPPSWAPESLGPRPRRGRRRRAAPPRPGSRPSCPAPRRAPARCPASWAWPGPCSFRSTCSGPTRRPPPRRSWPRCPSWCTAGCSRARARAPAARRPGSSPGPPRALRASSARSPSATSTTTTCTSASTVQAAVRPRTRLPRAGPRRPPARPARPPASPPNPTRRARPRAPERARRGLGARPRPRTARAAGAARAARARVAPWTTRRTTPAARCARPATSASAATRPTPCTSGTTAPRATTRRRADRPRPRDPLGRPRPRPPLPPRLCARADAASSTSCTRPAPRPPRRPATPPRPSRRGPEAEAEAAPASPLRARPAPRPTAPST
+>DECOY_NM_153813|213784_2_1378_2423
+TSPATPRPAPRARLPSAPAAEAEAEPGRRSPRPPTAPRRPPRPAPRTCSTSSAADARACLRPPLPPRPRPRGLPDRPRPRDARRRTTARPATTGSTCPTPRTAASASTAPRACRAAPTTRRTTWPAVRARAARAAGAARATRPRPRAGLGRRAREPARPRARRTPNPPSAPPRAPRAPPRRPGARPLRTRPRVAAQVTSASTCTTTTSTASPSRASSARLARPPGPSSGPRRAAPARARARSCGATCWSPCRPWSRRPPPRRTPGSCTSRFSCPGPWAWSAPCRAPARRPAPCSPRSGPRPPAARRRRGRRPRPGLSEPAWSPPRRRSRWRRWRSAGPPRRPSAAAEM
+>NM_031453|213820_2_490_695
+MAVRIGIQVTALRPSPRRRLKMCPGPWMMGRTVKQWCFTLPSPDHQLLTRPRKKNFEKNLNASSWSRK
+>DECOY_NM_031453|213820_2_490_695
+KRSWSSANLNKEFNKKRPRTLLQHDPSPLTFCWQKVTRGMMWPGPCMKLRRRPSPRLATVQIGIRVAM
+>NM_031453|213823_2_574_695
+MGRTVKQWCFTLPSPDHQLLTRPRKKNFEKNLNASSWSRK
+>DECOY_NM_031453|213823_2_574_695
+KRSWSSANLNKEFNKKRPRTLLQHDPSPLTFCWQKVTRGM
+>NM_018197|213845_2_662_825
+MAIKLTCPRKVMKTRQPLSSLSLPSHAPKSPQHHLLRKGLTVAIQVANSRLLMA
+>DECOY_NM_018197|213845_2_662_825
+AMLLRSNAVQIAVTLGKRLLHHQPSKPAHSPLSLSSLPQRTKMVKRPCTLKIAM
+>NM_032289|213938_2_608_687
+MASAPRLRRFWSQSCCGAPSTAASTP
+>DECOY_NM_032289|213938_2_608_687
+PTSAATSPAGCCSQSWFRRLRPASAM
+>NM_032289|213941_2_1043_1281
+MACQTQTLSSAARRGWSLVVQTLWPTGARGSVKLLIGWHAVSTTSRASSAVMWPGSWARTTSLAGWWPGSTSVSSTSRA
+>DECOY_NM_032289|213941_2_1043_1281
+ARSTSSVSTSGPWWGALSTTRAWSGPWMVASSARSTTSVAHWGILLKVSGRAGTPWLTQVVLSWGRRAASSLTQTQCAM
+>NM_024913|213976_2_730_803
+MAEGPYSTGLLSTAKQSFSYTSTF
+>DECOY_NM_024913|213976_2_730_803
+FTSTYSFSQKATSLLGTSYPGEAM
+>NM_145117|214162_2_2765_2841
+MGIPLEPTPAGSSTLSQVAMCTPPL
+>DECOY_NM_145117|214162_2_2765_2841
+LPPTCMAVQSLTSSGAPTPELPIGM
+>NM_145117|214172_2_4550_4683
+MAWAFSLSAASTPAVSPSTSPSAVEGSPATILPLASSPPPRTTP
+>DECOY_NM_145117|214172_2_4550_4683
+PTTRPPPSSALPLITAPSGEVASPSTSPSVAPTSAASLSFAWAM
+>NM_145117|214176_2_5177_5322
+MGLKKFMDPHSPWFPAHRQFILHQKKNASQRFASCGGNWMPPRRKFQL
+>DECOY_NM_145117|214176_2_5177_5322
+LQFKRRPPMWNGGCSAFRQSANKKQHLIFQRHAPFWPSHPDMFKKLGM
+>NM_145117|214188_3_4887_5077
+MVTVPFCRRPSGHRCQFPLFLWLQRDFSLRNKIQLFPACESHHCHPDELVQPDHAEDSQPLQC
+>DECOY_NM_145117|214188_3_4887_5077
+CQLPQSDEAHDPQVLEDPHCHHSECAPFLQIKNRLSFDRQLWLFLPFQCRHGSPRRCFPVTVM
+>NM_020752|214280_2_2395_2480
+MAQPKALPSSGRTPQSLQGTQGNPRRRP
+>DECOY_NM_020752|214280_2_2395_2480
+PRRRPNGQTGQLSQPTRGSSPLAKPQAM
+>NM_020752|214285_3_1514_1785
+MGSSSRFCYCLRNCHSQTSQGFEGVSFTNGSTNSIYDWRTGHEDAGSNTLGSVLVSHWLDFICVPEFGETDFTYWPGENIRSPHLQYVPH
+>DECOY_NM_020752|214285_3_1514_1785
+HPVYQLHPSRINEGPWYTFDTEGFEPVCIFDLWHSVLVSGLTNSGADEHGTRWDYISNTSGNTFSVGEFGQSTQSHCNRLCYCFRSSSGM
+>NM_015168|214557_2_204_346
+MESWKKVNWKMMGQRRPRIPPEGLREAGKKRGRSITVIRMRRSPTGD
+>DECOY_NM_015168|214557_2_204_346
+DGTPSRRMRIVTISRGRKKGAERLGEPPIRPRRQGMMKWNVKKWSEM
+>NM_015168|214559_2_237_346
+MGQRRPRIPPEGLREAGKKRGRSITVIRMRRSPTGD
+>DECOY_NM_015168|214559_2_237_346
+DGTPSRRMRIVTISRGRKKGAERLGEPPIRPRRQGM
+>NM_015168|214565_2_606_709
+MACTRTTRMSSMGNMRATRRRTWARRTMTTSPKS
+>DECOY_NM_015168|214565_2_606_709
+SKPSTTMTRRAWTRRRTARMNGMSSMRTTRTCAM
+>NM_015168|214579_2_3567_3814
+MARARPPRLRSPRSSASLPWNSQRQGRPVLMGAPPRTDTTATTGPGPRLLQPPLPPPPPHPPRVPHPSPGCTTCPCPPSSGR
+>DECOY_NM_015168|214579_2_3567_3814
+RGSSPPCPCTTCGPSPHPVRPPHPPPPPLPPQLLRPGPGTTATTDTRPPAGMLVPRGQRQSNWPLSASSRPSRLRPPRARAM
+>NM_138782|214683_2_2264_2373
+MEVLGPSEQNLIFQKDLVNPRHLQYNSSAREVPFQE
+>DECOY_NM_138782|214683_2_2264_2373
+EQFPVERASSNYQLHRPNVLDKQFILNQESPGLVEM
+>NM_020784|214747_2_584_657
+MEFQLPRLIVSKKKYQDTVEKKRI
+>DECOY_NM_020784|214747_2_584_657
+IRKKEVTDQYKKKSVILRPLQFEM
+>NM_020784|214755_3_1233_1318
+MGCLASSGKSRSSTLVKGLFGSEHSSRC
+>DECOY_NM_020784|214755_3_1233_1318
+CRSSHESGFLGKVLTSSRSKGSSALCGM
+>NM_012279|214769_2_707_780
+MGGWRTLLSLTFQLERATPAKHVR
+>DECOY_NM_012279|214769_2_707_780
+RVHKAPTARELQFTLSLLTRWGGM
+>NM_153451|214791_2_279_394
+MARCMEPKSGLRSGATKVLLLHGNVYCTVAPLRRTAER
+>DECOY_NM_153451|214791_2_279_394
+REATRRLPAVTCYVNGHLLLVKTAGSRLGSKPEMCRAM
+>NM_145292|214839_3_927_1000
+MAGAPAACHCQGPQNGGVPPDRCH
+>DECOY_NM_145292|214839_3_927_1000
+HCRDPPVGGNQPGQCHCAAPAGAM
+>NM_015116|214885_2_1175_1464
+MARRILIRELEVIMEISDYLPPSLLTKTLLASMCQCQTSWKKNRSSRRTRAIALAPLKGNFIRNFNRSLPFWVTAPTQEKKETSLLIEQMVSIRNL
+>DECOY_NM_015116|214885_2_1175_1464
+LNRISVMQEILLSTEKKEQTPATVWFPLSRNFNRIFNGKLPALAIARTRRSSRNKKWSTQCQCMSALLTKTLLSPPLYDSIEMIVELERILIRRAM
+>NM_015116|214886_2_1214_1464
+MEISDYLPPSLLTKTLLASMCQCQTSWKKNRSSRRTRAIALAPLKGNFIRNFNRSLPFWVTAPTQEKKETSLLIEQMVSIRNL
+>DECOY_NM_015116|214886_2_1214_1464
+LNRISVMQEILLSTEKKEQTPATVWFPLSRNFNRIFNGKLPALAIARTRRSSRNKKWSTQCQCMSALLTKTLLSPPLYDSIEM
+>NM_020964|215054_3_7131_7273
+MGTHSGIPSGSRAHHGRVPAGVPHLGQLLDSLRLLASVFKQRTDFKE
+>DECOY_NM_020964|215054_3_7131_7273
+EKFDTRQKFVSALLRLSDLLQGLHPVGAPVRGHHARSGSPIGSHTGM
+>NM_001409|215077_2_855_1054
+MAAASTTVSSSQSLGIAASAGPGSSSRRTAGIVSVEARVPTGTAAACTGARWSGASPAVSATWAIS
+>DECOY_NM_001409|215077_2_855_1054
+SIAWTASVAPSAGSWRAGTCAAATGTPVRAEVSVIGATRRSSSGPGASAAIGLSQSSSVTTSAAAM
+>NM_001409|215090_2_1941_2356
+MVGPATLSRGPAAAPRVSVELTVRMAAPRATMASTVARNATVPTGAGATASTGPASATQGSTAASATSPARRGPLGRAARRSASVCSPTRSPVTRGMAAAPARLASGASAVRQSVSWATLGRGAGRHAPAQWAWPVTP
+>DECOY_NM_001409|215090_2_1941_2356
+PTVPWAWQAPAHRGAGRGLTAWSVSQRVASAGSALRAPAAAMGRTVPSRTPSCVSASRRAARGLPGRRAPSTASAATSGQTASAPGTSATAGAGTPVTANRAVTSAMTARPAAMRVTLEVSVRPAAAPGRSLTAPGVM
+>NM_001409|215091_2_2013_2356
+MAAPRATMASTVARNATVPTGAGATASTGPASATQGSTAASATSPARRGPLGRAARRSASVCSPTRSPVTRGMAAAPARLASGASAVRQSVSWATLGRGAGRHAPAQWAWPVTP
+>DECOY_NM_001409|215091_2_2013_2356
+PTVPWAWQAPAHRGAGRGLTAWSVSQRVASAGSALRAPAAAMGRTVPSRTPSCVSASRRAARGLPGRRAPSTASAATSGQTASAPGTSATAGAGTPVTANRAVTSAMTARPAAM
+>NM_001409|215092_2_2034_2356
+MASTVARNATVPTGAGATASTGPASATQGSTAASATSPARRGPLGRAARRSASVCSPTRSPVTRGMAAAPARLASGASAVRQSVSWATLGRGAGRHAPAQWAWPVTP
+>DECOY_NM_001409|215092_2_2034_2356
+PTVPWAWQAPAHRGAGRGLTAWSVSQRVASAGSALRAPAAAMGRTVPSRTPSCVSASRRAARGLPGRRAPSTASAATSGQTASAPGTSATAGAGTPVTANRAVTSAM
+>NM_001409|215093_2_2229_2356
+MAAAPARLASGASAVRQSVSWATLGRGAGRHAPAQWAWPVTP
+>DECOY_NM_001409|215093_2_2229_2356
+PTVPWAWQAPAHRGAGRGLTAWSVSQRVASAGSALRAPAAAM
+>NM_001409|215094_2_2691_3139
+MVPAARQGALVPMMGTATQPPDTAAVPPGGPALAAREPVILGTGDLTAATPATAALATGAVMPSAACVCVRLATWARGASSSVPRATLGPAVSSGASVSMEQPVTTSAGPAPARPAGGAPSASMPARPASLDWTVAVPATAPPELPVMP
+>DECOY_NM_001409|215094_2_2691_3139
+PMVPLEPPATAPVAVTWDLSAPRAPMSASPAGGAPRAPAPGASTTVPQEMSVSAGSSVAPGLTARPVSSSAGRAWTALRVCVCAASPMVAGTALAATAPTAATLDGTGLIVPERAALAPGGPPVAATDPPQTATGMMPVLAGQRAAPVM
+>NM_001409|215096_2_2730_3139
+MGTATQPPDTAAVPPGGPALAAREPVILGTGDLTAATPATAALATGAVMPSAACVCVRLATWARGASSSVPRATLGPAVSSGASVSMEQPVTTSAGPAPARPAGGAPSASMPARPASLDWTVAVPATAPPELPVMP
+>DECOY_NM_001409|215096_2_2730_3139
+PMVPLEPPATAPVAVTWDLSAPRAPMSASPAGGAPRAPAPGASTTVPQEMSVSAGSSVAPGLTARPVSSSAGRAWTALRVCVCAASPMVAGTALAATAPTAATLDGTGLIVPERAALAPGGPPVAATDPPQTATGM
+>NM_001409|215098_2_2988_3139
+MEQPVTTSAGPAPARPAGGAPSASMPARPASLDWTVAVPATAPPELPVMP
+>DECOY_NM_001409|215098_2_2988_3139
+PMVPLEPPATAPVAVTWDLSAPRAPMSASPAGGAPRAPAPGASTTVPQEM
+>NM_001409|215101_2_3858_4045
+MGQAVNSCVGVSTGAPVMRPRGPAAAPLGSSGRTATSPVRRAASAPTAPTCVGVGRGRPATL
+>DECOY_NM_001409|215101_2_3858_4045
+LTAPRGRGVGVCTPATPASAARRVPSTATRGSSGLPAAAPGRPRMVPAGTSVGVCSNVAQGM
+>NM_001409|215103_2_4152_4777
+MGACATPATAAAPVAWAGRGGTASWPVPLGATEPPAIWSAPATTTARVSLPRAPAAAAPASMARPASTPVPLASTGLAARGCAGVNMEPPATPSVADASALPASTATSVRGGVSQVHLERAATSAVTVTGGHPVTLSPVSAFAHQGAQEPPVTWIAEGASLGPAAPCTVTAGVGLTATLSVGSVTVWMATWGPRAGKVGPSGSPRTRP
+>DECOY_NM_001409|215103_2_4152_4777
+PRTRPSGSPGVKGARPGWTAMWVTVSGVSLTATLGVGATVTCPAAPGLSAGEAIWTVPPEQAGQHAFASVPSLTVPHGGTVTVASTAARELHVQSVGGRVSTATSAPLASADAVSPTAPPEMNVGACGRAALGTSALPVPTSAPRAMSAPAAAAPARPLSVRATTTAPASWIAPPETAGLPVPWSATGGRGAWAVPAAATAPTACAGM
+>NM_001409|215105_2_4410_4777
+MEPPATPSVADASALPASTATSVRGGVSQVHLERAATSAVTVTGGHPVTLSPVSAFAHQGAQEPPVTWIAEGASLGPAAPCTVTAGVGLTATLSVGSVTVWMATWGPRAGKVGPSGSPRTRP
+>DECOY_NM_001409|215105_2_4410_4777
+PRTRPSGSPGVKGARPGWTAMWVTVSGVSLTATLGVGATVTCPAAPGLSAGEAIWTVPPEQAGQHAFASVPSLTVPHGGTVTVASTAARELHVQSVGGRVSTATSAPLASADAVSPTAPPEM
+>NM_016498|215116_2_281_378
+MAWPAPTCWRMPLTKARRLERCPALKQAAAPG
+>DECOY_NM_016498|215116_2_281_378
+GPAAAQKLAPCRELRRAKTLPMRWCTPAPWAM
+>NM_001003704|215124_2_281_414
+MAWPAPTCWRMPLTKARRLERSVDFLLDSSLRKLYPTVGKPSSS
+>DECOY_NM_001003704|215124_2_281_414
+SSSPKGVTPYLKRLSSDLLFDVSRELRRAKTLPMRWCTPAPWAM
+>NM_021178|215158_2_1157_1260
+MEMEIFSSDHFLRVLPQHLNPATAFLVLSLQVVN
+>DECOY_NM_021178|215158_2_1157_1260
+NVVQLSLVLFATAPNLHQPLVRLFHDSSFIEMEM
+>NM_021178|215159_2_1163_1260
+MEIFSSDHFLRVLPQHLNPATAFLVLSLQVVN
+>DECOY_NM_021178|215159_2_1163_1260
+NVVQLSLVLFATAPNLHQPLVRLFHDSSFIEM
+>NM_012241|215217_3_532_668
+MASPGPGDSPGLCPQPVPGVGVLPLPAGGHGEQGAQRRAPRHSRV
+>DECOY_NM_012241|215217_3_532_668
+VRSHRPARRQAGQEGHGGAPLPLVGVGPVPQPCLGPSDGPGPSAM
+>NM_021932|215280_2_1580_1701
+MGMLLAFWLPGASWQEAGPRASTQRMRTQTQMSTRKPKPA
+>DECOY_NM_021932|215280_2_1580_1701
+APKPKRTSMQTQTRMRQTSARPGAEQWSAGPLWFALLMGM
+>NM_021814|215298_2_886_971
+MVCRQSLPCVHTSGGRSTSLRGSCFSLC
+>DECOY_NM_021814|215298_2_886_971
+CLSFCSGRLSTSRGGSTHVCPLSQRCVM
+>NM_021814|215300_3_410_501
+MVSSGQLYTHIYLLCHIFTNCMAGTKIHEE
+>DECOY_NM_021814|215300_3_410_501
+EEHIKTGAMCNTFIHCLLYIHTYLQGSSVM
+>NM_021814|215301_3_596_852
+MGRQIQLLLSGHTHRRRIRYEDYPCPLVVLLLQTHRIYGHFLLHPAQEQPPDHGPARLPPCLDAEHLVVCDELGPLRPLLFWCHT
+>DECOY_NM_021814|215301_3_596_852
+THCWFLLPRLPGLEDCVVLHEADLCPPLRAPGHDPPQEQAPHLLFHGYIRHTQLLLVVLPCPYDEYRIRRRHTHGSLLLQIQRGM
+>NM_031482|215426_3_725_801
+MGHYYATGTSNTWATLFCTSSLQDE
+>DECOY_NM_031482|215426_3_725_801
+EDQLSSTCFLTAWTNSTGTAYYHGM
+>NM_031482|215427_3_866_939
+MAEHCRASCWAESTSELCQSNVSG
+>DECOY_NM_031482|215427_3_866_939
+GSVNSQCLESTSEAWCSARCHEAM
+>NM_018657|215541_2_1570_1718
+MVKKNPINVMYATYSLRLLAISRFMQGSIVERSHMSVIGVDRDLLKPAH
+>DECOY_NM_018657|215541_2_1570_1718
+HAPKLLDRDVGIVSMHSREVISGQMFRSIALLRLSYTAYMVNIPNKKVM
+>NM_006836|215699_2_3233_3330
+MAVPLQSRRRWTCCSVPCSPRVPACGKPCSGG
+>DECOY_NM_006836|215699_2_3233_3330
+GGSCPKGCAPVRPSCPVSCCTWRRRSQLPVAM
+>NM_015210|215752_2_1331_1476
+MGRRAACPSPSGKGLLAGRVTRRKCLRRRRASGAGSHRRPASHAPRSS
+>DECOY_NM_015210|215752_2_1331_1476
+SSRPAHSAPRRHSGAGSARRRRLCKRRTVRGALLGKGSPSPCAARRGM
+>NM_015210|215761_2_3515_3612
+MVGGRTFGPTGPRWGGQGTRTAQSLSPTPPGT
+>DECOY_NM_015210|215761_2_3515_3612
+TGPPTPSLSQATRTGQGGWRPGTPGFTRGGVM
+>NM_015210|215765_2_4310_4683
+MVLPSCRGSPSPKPTSQITGRHQGWPRKGTVSQPGPAPPPQGRAPCTPPLMMASPASSTSLTTAPWCRTPSRRGCGPAVGLAQQSPDQSWAQARKQAPIPEEGRLAPLGWGQRCAGRKGERARQ
+>DECOY_NM_015210|215765_2_4310_4683
+QRAREGKRGACRQGWGLPALRGEEPIPAQKRAQAWSQDPSQQALGVAPGCGRRSPTRCWPATTLSTSSAPSAMMLPPTCPARGQPPPAPGPQSVTGKRPWGQHRGTIQSTPKPSPSGRCSPLVM
+>NM_015210|215767_2_4463_4683
+MASPASSTSLTTAPWCRTPSRRGCGPAVGLAQQSPDQSWAQARKQAPIPEEGRLAPLGWGQRCAGRKGERARQ
+>DECOY_NM_015210|215767_2_4463_4683
+QRAREGKRGACRQGWGLPALRGEEPIPAQKRAQAWSQDPSQQALGVAPGCGRRSPTRCWPATTLSTSSAPSAM
+>NM_015310|215846_2_239_321
+MEEALYSHQMSQMNFQNMGPWRKVEKA
+>DECOY_NM_015310|215846_2_239_321
+AKEVKRWPGMNQFNMQSMQHSYLAEEM
+>NM_015310|215854_2_1829_1950
+MVPAAMWKQPKGWPNAFISWTDSKDQMLQNTLARTTNLAN
+>DECOY_NM_015310|215854_2_1829_1950
+NALNTTRALTNQLMQDKSDTWSIFANPWGKPQKWMAAPVM
+>NM_032873|215916_2_469_659
+MDRRWTCSSPWGSPEPAHKKPWHPREEEVFRQHVTGYSPMSVTPSWMTPCPGSTSSTSVPPAP
+>DECOY_NM_032873|215916_2_469_659
+PAPPVSTSSTSGPCPTMWSPTVSMPSYGTVHQRFVEEERPHWPKKHAPEPSGWPSSCTWRRDM
+>NM_032873|215922_2_1390_1478
+MEYWRGGLMRTRGSGRRLLLLSSASPCSR
+>DECOY_NM_032873|215922_2_1390_1478
+RSCPSASSLLLLRRGSGRTRMLGGRWYEM
+>NM_032873|215923_2_1531_1616
+MVRGWMLCLGSTGCPSASMPKAATYAPT
+>DECOY_NM_032873|215923_2_1531_1616
+TPAYTAAKPMSASPCGTSGLCLMWGRVM
+>NM_020803|216072_2_359_486
+MVMEKIPLFLKQMKLGKIFMVLFFDFMKMENSVMSHSRLAQS
+>DECOY_NM_020803|216072_2_359_486
+SQALRSHSMVSNEMKMFDFFLVMFIKGLKMQKLFLPIKEMVM
+>NM_020803|216073_2_365_486
+MEKIPLFLKQMKLGKIFMVLFFDFMKMENSVMSHSRLAQS
+>DECOY_NM_020803|216073_2_365_486
+SQALRSHSMVSNEMKMFDFFLVMFIKGLKMQKLFLPIKEM
+>NM_024029|216102_2_275_549
+MEPRMRWRRRVTRPRSCRSSSSSSSRDSGPSATIRASLTWTPHRSWTGSKAHCCPGLATTLCGTICGIGRICMAPSGSVPRWPLSWPSLAT
+>DECOY_NM_024029|216102_2_275_549
+TALSPWSLPWRPVSGSPAMCIRGIGCITGCLTTALGPCCHAKSGTWSRHPTWTLSARITASPGSDRSSSSSSSRCSRPRTVRRRWRMRPEM
+>NM_015094|216127_2_1062_1177
+MARPLRPLLLPLPTVPLILSWGAPLMSPWIWRGPRTTT
+>DECOY_NM_015094|216127_2_1062_1177
+TTTRPGRWIWPSMLPAGWSLILPVTPLPLLLPRLPRAM
+>NM_032130|216177_3_1377_1459
+MGKTGGRKLRPQRQRPGGLLPEGRTPN
+>DECOY_NM_032130|216177_3_1377_1459
+NPTRGEPLLGGPRQRQPRLKRGGTKGM
+>NM_032130|216178_3_1692_1888
+MGPARKGAGEPTERARAARGGCGEEDLHTHQSMEGLGEGRAIISACPKPDPICSPKQEATLAHVS
+>DECOY_NM_032130|216178_3_1692_1888
+SVHALTAEQKPSCIPDPKPCASIIARGEGLGEMSQHTHLDEEGCGGRAARARETPEGAGKRAPGM
+>NM_032813|216312_2_485_594
+METLSLMTQKLLLTIRTSKQKRPWGTCGIMTSGAVD
+>DECOY_NM_032813|216312_2_485_594
+DVAGSTMIGCTGWPRKQKSTRITLLLKQTMLSLTEM
+>NM_052929|216499_3_470_819
+MARATATSCHTAAKPGPPTITYPLPPRCPASTDAKELVPGLSQTHRGPAGLPQAACERQQGDVLVRGGRRPQATRHQASVDQCHETVRKISGRGDSWGSSPCGDLCGGGLGPAGQG
+>DECOY_NM_052929|216499_3_470_819
+GQGAPGLGGGCLDGCPSSGWSDGRGSIKRVTEHCQDVSAQHRTAQPRRGGRVLVDGQQRECAAQPLGAPGRHTQSLGPVLEKADTSAPCRPPLPYTITPPGPKAATHCSTATARAM
+>NM_006449|216595_2_1477_1733
+MGQSTRETPRGAPAVLHLSPAKAETATPPACPNSTPTGQPRTCLTIPPHASSSRERLSQRSPSLTLQVPSSPCSLILGPHFWMRC
+>DECOY_NM_006449|216595_2_1477_1733
+CRMWFHPGLILSCPSSPVQLTLSPSRQSLRERSSSAHPPITLCTRPQGTPTSNPCAPPTATEAKAPSLHLVAPAGRPTERTSQGM
+>NM_023074|216678_2_671_789
+MELFSMIIMNKCLRKLNSLKVENPSAPSHNSLNISKHTT
+>DECOY_NM_023074|216678_2_671_789
+TTHKSINLSNHSPASPNEVKLSNLKRLCKNMIIMSFLEM
+>NM_022486|216852_3_979_1070
+MANKLKKNKPQDLICDIHKRTTVGPYGISS
+>DECOY_NM_022486|216852_3_979_1070
+SSIGYPGVTTRKHIDCILDQPKNKKLKNAM
+>NM_025182|216861_2_930_1240
+MGVASGLGSPLALPMGTVPSTHPLALDPQAPAPPSEGCFLLEKPQMSALRKRGQPLGGAGDPWATLLLPTVLMPKPHPSGATCCLGPKSLFWTQQTAVPWGGG
+>DECOY_NM_025182|216861_2_930_1240
+GGGWPVATQQTWFLSKPGLCCTAGSPHPKPMLVTPLLLTAWPDGAGGLPQGRKRLASMQPKELLFCGESPPAPAQPDLALPHTSPVTGMPLALPSGLGSAVGM
+>NM_002465|216925_2_862_986
+MESPTCAACSSDSSACAERRRRAQLLQKFLILHIRLTKEAE
+>DECOY_NM_002465|216925_2_862_986
+EAEKTLRIHLILFKQLLQARRRREACASSDSSCAACTPSEM
+>NM_002465|216926_2_1039_1124
+MVKKFDPVPNTSLNTKDARESCLSITVR
+>DECOY_NM_002465|216926_2_1039_1124
+RVTISLCSERADKTNLSTNPVPDFKKVM
+>NM_002465|216937_2_2995_3113
+MEMLLSQAIPFRRLTRRAWNGLLSLSIIIEPVPPLLNWS
+>DECOY_NM_002465|216937_2_2995_3113
+SWNLLPPVPEIIISLSLLGNWARRTLRRFPIAQSLLMEM
+>NM_002465|216939_2_3208_3299
+MVKSTKIQCMKTLISQRHPCLLSLWLTPMP
+>DECOY_NM_002465|216939_2_3208_3299
+PMPTLWLSLLCPHRQSILTKMCQIKTSKVM
+>NM_014850|217002_2_2873_3069
+MALSLIPAMKKWSRSRLLPSLTTWGGPRVSYPSRRGPRCSCTTAPRRTGGRAGTTAWMDSSPIST
+>DECOY_NM_014850|217002_2_2873_3069
+TSIPSSDMWATTGARGGTRRPATTCSCRPGRRSPYSVRPGGWTTLSPLLRSRSWKKMAPILSLAM
+>NM_015073|217230_2_537_787
+MVWTWQPAVAPGWAMSSLGHTQGTTLPWDSGPRMAACPSLLARARPPPPPPPPPPPAPAPPLPQCPRWACAQGWPTGRPSGRP
+>DECOY_NM_015073|217230_2_537_787
+PRGSPRGTPWGQACAWRPCQPLPPAPAPPPPPPPPPPPRARALLSPCAAMRPGSDWPLTTGQTHGLSSMAWGPAVAPQWTWVM
+>NM_015073|217232_2_636_787
+MAACPSLLARARPPPPPPPPPPPAPAPPLPQCPRWACAQGWPTGRPSGRP
+>DECOY_NM_015073|217232_2_636_787
+PRGSPRGTPWGQACAWRPCQPLPPAPAPPPPPPPPPPPRARALLSPCAAM
+>NM_005342|217342_2_324_493
+MDQLREARRRRILMLPKGHRLDSSCSVQNSAPRSNPQTPASLLETWQKSWVRCGII
+>DECOY_NM_005342|217342_2_324_493
+IIGCRVWSKQWTELLSAPTQPNSRPASNQVSCSSDLRHGKPLMLIRRRRAERLQDM
+>NM_014743|217385_2_1244_1380
+MAQLKKSLLCTKSKSDINLKERFALARGLLAPVKQAQVPVGIREN
+>DECOY_NM_014743|217385_2_1244_1380
+NERIGVPVQAQKVPALLGRALAFREKLNIDSKSKTCLLSKKLQAM
+>NM_014743|217394_2_3290_3363
+MGSGQSYHLVTQKEVCYSVQLLML
+>DECOY_NM_014743|217394_2_3290_3363
+LMLLQVSYCVEKQTVLHYSQGSGM
+>NM_022754|217425_2_769_881
+MGTAWGSRRTLRNKPSRKLSCPGFSWQPLAWPSLHSL
+>DECOY_NM_022754|217425_2_769_881
+LSHLSPWALPQWSFGPCSLKRSPKNRLTRRSGWATGM
+>NM_207111|217528_2_275_384
+MGPSPYLTPQMRKGFQCWSPQLLSSMKKRTWMMMSS
+>DECOY_NM_207111|217528_2_275_384
+SSMMMWTRKKMSSLLQPSWCQFGKRMQPTLYPSPGM
+>NM_207111|217551_3_1602_1675
+MAGAVTRNQWKKEEEKTNEPVFLH
+>DECOY_NM_207111|217551_3_1602_1675
+HLFVPENTKEEEKKWQNRTVAGAM
+>NM_015163|217616_2_997_1226
+MAPTGGSPAPPLPRARSPPTASACFPRLCRHRPPTCHRPWPRCPATPVSPAPSVTAASSWMTGGSAASPRIAYWKG
+>DECOY_NM_015163|217616_2_997_1226
+GKWYAIRPSAASGGTMWSSAATVSPAPSVPTAPCRPWPRHCTPPRHRCLRPFCASATPPSRARPLPPAPSGGTPAM
+>NM_015163|217620_2_2206_2432
+MATVVNSGRCMWGRRQCALWMVFTSTAHTTLGSRPSTKQESARTARPWSSKRLRWPGLLSTLARRTRTSSSPMTT
+>DECOY_NM_015163|217620_2_2206_2432
+TTMPSSSTRTRRALTSLLGPWRLRKSSWPRATRASEQKTSPRSGLTTHATSTFVMWLACQRRGWMCRGSNVVTAM
+>NM_003660|217775_2_366_586
+MAGGARRWARTRRAGSWSASWSRCSRSASACWRRCARHRTGWLQRSCGCASSATRRTRCSASSASRCPRSLQL
+>DECOY_NM_003660|217775_2_366_586
+LQLSRPCRSASSASCRTRRTASSACGCSRQLWGTRHRACRRWCASASRSCRSWSASWSGARRTRAWRRAGGAM
+>NM_003660|217776_2_975_1120
+MGMGRLLPMAWVLAGIPTGAQQSWRRPWSGSAPRCASCGSAWRCCAVR
+>DECOY_NM_003660|217776_2_975_1120
+RVACCRWASGCSACRPASGSWPRRWSQQAGTPIGALVWAMPLLRGMGM
+>NM_003660|217777_2_981_1120
+MGRLLPMAWVLAGIPTGAQQSWRRPWSGSAPRCASCGSAWRCCAVR
+>DECOY_NM_003660|217777_2_981_1120
+RVACCRWASGCSACRPASGSWPRRWSQQAGTPIGALVWAMPLLRGM
+>NM_003660|217779_2_1506_1597
+MGILRSGFGSWRPSWKRRIKSCSGPGSGRR
+>DECOY_NM_003660|217779_2_1506_1597
+RRGSGPGSCSKIRRKWSPRWSGFGSRLIGM
+>NM_003660|217783_2_2550_2632
+MGDPHGEVRAPQILCTKPPRRRASSHP
+>DECOY_NM_003660|217783_2_2550_2632
+PHSSARRRPPKTCLIQPARVEGHPDGM
+>NM_032138|217809_2_1216_1346
+MVTCCTSLWCQCQTAAAAVAAATLLYLQQKIHPRDWVCVPRRW
+>DECOY_NM_032138|217809_2_1216_1346
+WRRPVCVWDRPHIKQQLYLLTAAAVAAAATQCQCWLSTCCTVM
+>NM_152588|217918_2_1555_1634
+MALKTMYHREPSFLLRRTLLFCLYLC
+>DECOY_NM_152588|217918_2_1555_1634
+CLYLCFLLTRRLLFSPERHYMTKLAM
+>NM_003498|217981_2_338_414
+MGRPRNPSCWCSIRPRDRAWRERPS
+>DECOY_NM_003498|217981_2_338_414
+SPRERWARDRPRISCWCSPNRPRGM
+>NM_198271|218017_2_693_781
+MVKRVKKRTEKRKAKQRNKLEIVRTTASR
+>DECOY_NM_198271|218017_2_693_781
+RSATTRVIELKNRQKAKRKETRKKVRKVM
+>NM_198271|218018_2_1473_1612
+MGWGCPLGCGSCWEDPSQIPECRNSSSHRHLGLPTPKMSPLVNAVK
+>DECOY_NM_198271|218018_2_1473_1612
+KVANVLPSMKPTPLGLHRHSSSNRCEPIQSPDEWCSGCGLPCGWGM
+>NM_032041|218055_3_674_756
+MGLQHVRPGRKWLYQQGRDARDRAGNL
+>DECOY_NM_032041|218055_3_674_756
+LNGARDRADRGQQYLWKRGPRVHQLGM
+>NM_015694|218108_2_992_1101
+MEKFPRSLSHLMMLLCTSRSRSGETCLSGRRSSTRT
+>DECOY_NM_015694|218108_2_992_1101
+TRTSSRRGSLCTEGSRSRSTCLLMMLHSLSRPFKEM
+>NM_002313|218182_2_802_947
+MGRRCWRWISSGTWGALNASPAGRSSPGSTSARMVLRTVKRTTRDSLG
+>DECOY_NM_002313|218182_2_802_947
+GLSDRTTRKVTRLVMRASTSGPSSRGAPSANLAGWTGSSIWRWCRRGM
+>NM_002313|218189_2_2074_2195
+MEEMGFTGLFLPTSLSITAMGMSAGECEITRHSQMATCLQ
+>DECOY_NM_002313|218189_2_2074_2195
+QLCTAMQSHRTIECEGASMGMATISLSTPLFLGTFGMEEM
+>NM_002313|218190_2_2083_2195
+MGFTGLFLPTSLSITAMGMSAGECEITRHSQMATCLQ
+>DECOY_NM_002313|218190_2_2083_2195
+QLCTAMQSHRTIECEGASMGMATISLSTPLFLGTFGM
+>NM_001794|218319_2_1782_1897
+MGYPRPAAPGPSRSISLTSTTTPLSCCPRRRRSARSPT
+>DECOY_NM_001794|218319_2_1782_1897
+TPSRASRRRRPCCSLPTTTSTLSISRSPGPAAPRPYGM
+>NM_001794|218327_3_349_611
+MGRRGAVAGGPDLVPALWTQAAERKEGRGSGPLSASEGHPAAVAPAPERQRAEAAQTGLGHPAHQRARELARALPAAAREDPVRQRQ
+>DECOY_NM_001794|218327_3_349_611
+QRQRVPDERAAAPLARALERARQHAPHGLGTQAAEARQREPAPAVAAPHGESASLPGSGRGEKREAAQTWLAPVLDPGGAVAGRRGM
+>NM_021096|218482_2_1462_1940
+MGRLRVREMKGDISEAGIARLCMGLPPLEMITREESCARNIAPWMRRPTPWCSPSPPRWLPIPPAALAASMRTAGGPRAWAAPTRARRARAPGAPLVARTRRMGTGPGAARTEPPQNWGRRRRRRSRRMGRSGCAGMCGGRREPSCAASWTASTSTGAS
+>DECOY_NM_021096|218482_2_1462_1940
+SAGTSTSATWSAACSPERRGGCMGACGSRGMRRSRRRRRRGWNQPPETRAAGPGTGMRRTRAVLPAGPARARRARTPAAWARPGGATRMSAALAAPPIPLWRPPSPSCWPTPRRMWPAINRACSEERTIMELPPLGMCLRAIGAESIDGKMERVRLRGM
+>NM_021096|218494_2_3283_3398
+MAGCPASPKTSSPRWATAGIAGRMRRKSTTPCASASAR
+>DECOY_NM_021096|218494_2_3283_3398
+RASASACPTTSKRRMRGAIGATAWRPSSTKPSAPCGAM
+>NM_021096|218510_3_2930_3009
+MGPQRGLGQPSLQLEQPQAQAAVGGA
+>DECOY_NM_021096|218510_3_2930_3009
+AGGVAAQAQPQELQLSPQGLGRQPGM
+>NM_133477|218689_2_1871_1950
+MVLAMFPNRMASVGHLRQQTSRGWSP
+>DECOY_NM_133477|218689_2_1871_1950
+PSWGRSTQQRLHGVSAMRNPFMALVM
+>NM_133477|218701_2_3833_3930
+MVHSCHMHIIGRLQEMILQSCPWKPGLITVFQ
+>DECOY_NM_133477|218701_2_3833_3930
+QFVTILGPKWPCSQLIMEQLRGIIHMHCSHVM
+>NM_018191|218798_2_538_638
+MEWFMPGATMDIASLGMGRPTKALLPSRSVPIS
+>DECOY_NM_018191|218798_2_538_638
+SIPVSRSPLLAKTPRGMGLSAIDMTAGPMFWEM
+>NM_018191|218800_2_565_638
+MDIASLGMGRPTKALLPSRSVPIS
+>DECOY_NM_018191|218800_2_565_638
+SIPVSRSPLLAKTPRGMGLSAIDM
+>NM_033310|218831_2_639_796
+MAMWPCAQMPGASSASFMRWWGFRCLGSYWQGSGTGWAPPCAMASVTLKPSS
+>DECOY_NM_033310|218831_2_639_796
+SSPKLTVSAMACPPAWGTGSGQWYSGLCRFGWWRMFSASSAGPMQACPWMAM
+>NM_012304|219010_2_686_822
+MEGARPPPRPPSPGRRWPWCTPRPRPASHTRSSGSPPDPRRSRPA
+>DECOY_NM_012304|219010_2_686_822
+APRSRRPDPPSGSSRTHSAPRPRPTCWPWRRGPSPPRPPPRAGEM
+>NM_032175|219111_2_836_927
+MGSQWRVSYFSPLEVFWCQQEVVMLKSGTC
+>DECOY_NM_032175|219111_2_836_927
+CTGSKLMVVEQQCWFVELPSFYSVRWQSGM
+>NM_032139|219312_3_2225_2568
+MDRGGPGGCGGHCQCSGPRILSPVVPVPQVCPSSEEAGEGSCQWAWCERDQPGRLLPAACRRPARPGGPHPPPAEARGQRRCQERRPSRPAPPGLPAGPLSGGEVSVRFECKTQ
+>DECOY_NM_032139|219312_3_2225_2568
+QTKCEFRVSVEGGSLPGAPLGPPAPRSPRREQCRRQGRAEAPPPHPGGPRAPRRCAAPLLRGPQDRECWAWQCSGEGAEESSPCVQPVPVVPSLIRPGSCQCHGGCGGPGGRDM
+>NM_015203|219366_2_1336_1463
+MGQKSLSRTGRKNLQRSQLYPLLYLQSQQKISQRPLHVPQCL
+>DECOY_NM_015203|219366_2_1336_1463
+LCQPVHLPRQSIKQQSQLYLLPYLQSRQLNKRGTRSLSKQGM
+>NM_015203|219371_2_3619_4436
+MALQVPLSWHPLGVGAAEASLALKQHHTRNGHLNFRRVSAAFVPTVSTQHLSIIFPHPPWNMGHPSRESQWGHHLPHLSLLRIMVVSSLEMHPLIYPLWIFRTPSQRRQPWPMLPHPLLLESTVEFLSLPHLLLPLLGNIAAVVGVVSPFLLHPLLHPLLTTLELYPSQPHHWQSTEWQGLWQYFPRTIVPSFKGPWLSILGYSQDPGTTGAPPNGTSTALALAVYERASPYPPILWNTWAHPMEEEVGEAATAAVAPPWVPHTETPSAGVV
+>DECOY_NM_015203|219371_2_3619_4436
+VVGASPTETHPVWPPAVAATAAEGVEEEMPHAWTNWLIPPYPSAREYVALALATSTGNPPAGTTGPDQSYGLISLWPGKFSPVITRPFYQWLGQWETSQWHHPQSPYLELTTLLPHLLPHLLFPSVVGVVAAINGLLPLLLHPLSLFEVTSELLLPHPLMPWPQRRQSPTRFIWLPYILPHMELSSVVMIRLLSLHPLHHGWQSERSPHGMNWPPHPFIISLHQTSVTPVFAASVRRFNLHGNRTHHQKLALSAEAAGVGLPHWSLPVQLAM
+>NM_015203|219372_2_3802_4436
+MGHPSRESQWGHHLPHLSLLRIMVVSSLEMHPLIYPLWIFRTPSQRRQPWPMLPHPLLLESTVEFLSLPHLLLPLLGNIAAVVGVVSPFLLHPLLHPLLTTLELYPSQPHHWQSTEWQGLWQYFPRTIVPSFKGPWLSILGYSQDPGTTGAPPNGTSTALALAVYERASPYPPILWNTWAHPMEEEVGEAATAAVAPPWVPHTETPSAGVV
+>DECOY_NM_015203|219372_2_3802_4436
+VVGASPTETHPVWPPAVAATAAEGVEEEMPHAWTNWLIPPYPSAREYVALALATSTGNPPAGTTGPDQSYGLISLWPGKFSPVITRPFYQWLGQWETSQWHHPQSPYLELTTLLPHLLPHLLFPSVVGVVAAINGLLPLLLHPLSLFEVTSELLLPHPLMPWPQRRQSPTRFIWLPYILPHMELSSVVMIRLLSLHPLHHGWQSERSPHGM
+>NM_015203|219373_2_3868_4436
+MVVSSLEMHPLIYPLWIFRTPSQRRQPWPMLPHPLLLESTVEFLSLPHLLLPLLGNIAAVVGVVSPFLLHPLLHPLLTTLELYPSQPHHWQSTEWQGLWQYFPRTIVPSFKGPWLSILGYSQDPGTTGAPPNGTSTALALAVYERASPYPPILWNTWAHPMEEEVGEAATAAVAPPWVPHTETPSAGVV
+>DECOY_NM_015203|219373_2_3868_4436
+VVGASPTETHPVWPPAVAATAAEGVEEEMPHAWTNWLIPPYPSAREYVALALATSTGNPPAGTTGPDQSYGLISLWPGKFSPVITRPFYQWLGQWETSQWHHPQSPYLELTTLLPHLLPHLLFPSVVGVVAAINGLLPLLLHPLSLFEVTSELLLPHPLMPWPQRRQSPTRFIWLPYILPHMELSSVVM
+>NM_198859|219435_2_1400_1641
+MANTGMPLRPVSAVLTARNPSWGGHSSRSRARYSAHGPAVLGKTPMVLTPLIPPSRTPGPRSPGAVPKLARTRARRRSPC
+>DECOY_NM_198859|219435_2_1400_1641
+CPSRRRARTRALKPVAGPSRPGPTRSPPILPTLVMPTKGLVAPGHASYRARSRSSHGGWSPNRATLVASVPRLPMGTNAM
+>NM_198859|219438_2_1793_1956
+MGTRWSRTRPRALCSSSASATSELPTVQEGKGLGPSPKCGASTSATPKGARHWP
+>DECOY_NM_198859|219438_2_1793_1956
+PWHRAGKPTASTSAGCKPSPGLGKGEQVTPLESTASASSSCLARPRTRSWRTGM
+>NM_198859|219442_2_2798_2958
+MGPGGTCTASALGLCRTWLCRMPLGTAGDPTSPSMIGVPPAPPLQSLTTRAIS
+>DECOY_NM_198859|219442_2_2798_2958
+SIARTTLSQLPPAPPVGIMSPSTPDGATGLPMRCLWTRCLGLASATCTGGPGM
+>NM_138344|219484_2_1148_1308
+MDSPSIRAPWGHCLRVLSPAWAFGGRAQPFPGSWRTSARMWTAWGRRCAGPWC
+>DECOY_NM_138344|219484_2_1148_1308
+CWPGACRRGWATWMRASTRWSGPFPQARGGFAWAPSLVRLCHGWPARISPSDM
+>NM_030785|219507_3_1453_1649
+MDAAAPRHSSPDRERPKDQEVLHRLPGHASRQLPTLPGQRGQLPAGPDSPHLGRHAGQPAGLLPV
+>DECOY_NM_030785|219507_3_1453_1649
+VPLLGAPQGAHRGLHPSDPGAPLQGRQGPLTPLQRSAHGPLRHLVEQDKPRERDPSSHRPAAADM
+>NM_020813|219683_2_473_570
+MDLSVPLLKKIGNGKTFLRSRWEVMRCLARKK
+>DECOY_NM_020813|219683_2_473_570
+KKRALCRMVEWRSRLFTKGNGIKKLLPVSLDM
+>NM_020813|219687_2_1118_1296
+MARLLLDIRDVTLAKDPMNVLSVGRLLGITHLLFVTGGVIILERSLLIALIVGKPSVFT
+>DECOY_NM_020813|219687_2_1118_1296
+TFVSPKGVILAILLSRELIIVGGTVFLLHTIGLLRGVSLVNMPDKALTVDRIDLLLRAM
+>NM_020813|219702_3_213_307
+MAMDEPCSEAFIQEYDVGELSEPGITWSLHF
+>DECOY_NM_020813|219702_3_213_307
+FHLSWTIGPESLEGVDYEQIFAESCPEDMAM
+>NM_020813|219703_3_219_307
+MDEPCSEAFIQEYDVGELSEPGITWSLHF
+>DECOY_NM_020813|219703_3_219_307
+FHLSWTIGPESLEGVDYEQIFAESCPEDM
+>NM_030580|219979_2_1222_1655
+MAQSLSDIVGLTPERSHLSARNVAKALHKVLTLSNIREFTLERNPINVMNVRKLSFKKPNSWNIREATLERSPMNAMTVAKFSAKAHTSSSTRESTQERSPTSAASVGRPSTTVPDSSTTRGCTTERNPTDAAIARKPSARART
+>DECOY_NM_030580|219979_2_1222_1655
+TRARASPKRAIAADTPNRETTCGRTTSSDPVTTSPRGVSAASTPSREQTSERTSSSTHAKASFKAVTMANMPSRELTAERINWSNPKKFSLKRVNMVNIPNRELTFERINSLTLVKHLAKAVNRASLHSREPTLGVIDSLSQAM
+>NM_030580|219983_3_245_381
+MGPPGPCSEGPLQGRDAGDLREPSLTGSRTCRPQAWSDLAVGARG
+>DECOY_NM_030580|219983_3_245_381
+GRAGVALDSWAQPRCTRSGTLSPERLDGADRGQLPGESCPGPPGM
+>NM_016478|220008_2_340_458
+MAGSQWNVICSSALAVKLFSVPVYNQLLTLTDISNDVLS
+>DECOY_NM_016478|220008_2_340_458
+SLVDNSIDTLTLLQNYVPVSFLKVALASSCIVNWQSGAM
+>NM_004970|220017_3_862_1046
+MAGPVPQPRGWPPGGHVPRSAGPACAAAVPQRHRQPAAPHLQGPALPGGAAAGPQPHPAAG
+>DECOY_NM_004970|220017_3_862_1046
+GAAPHPQPGAAAGGPLAPGQLHPAAPQRHRQPVAAACAPGASRPVHGGPPWGRPQPVPGAM
+>NM_032268|220033_2_956_1140
+MVTRRRAAVTIETGCCTWAPEPRWRMLYLCTSHPGGSARIVVSSAPFAPSLWLLTRWKCTL
+>DECOY_NM_032268|220033_2_956_1140
+LTCKWRTLLWLSPAFPASSVVIRASGGPHSTCLYLMRWRPEPAWTCCGTEITVAARRRTVM
+>NM_139166|220061_2_319_425
+MEMDKAQRKPLRFLTSKRKRCPKRWSARLMREEGT
+>DECOY_NM_139166|220061_2_319_425
+TGEERMLRASWRKPCRKRKSTLFRLPKRQAKDMEM
+>NM_139166|220062_2_325_425
+MDKAQRKPLRFLTSKRKRCPKRWSARLMREEGT
+>DECOY_NM_139166|220062_2_325_425
+TGEERMLRASWRKPCRKRKSTLFRLPKRQAKDM
+>NM_139166|220065_2_640_725
+MEERLRRGPSRMECRWLWSGSSAPCPPR
+>DECOY_NM_139166|220065_2_640_725
+RPPCPASSGSWLWRCEMRSPGRRLREEM
+>NM_139166|220068_2_910_983
+MAAPKKEPKLLKGPSVLRSTSTGK
+>DECOY_NM_139166|220068_2_910_983
+KGTSTSRLVSPGKLLKPEKKPAAM
+>NM_032373|220097_3_1049_1167
+MATKRRKLSVSELLSFASLLSGWPFVSVIPYGTAVSTKN
+>DECOY_NM_032373|220097_3_1049_1167
+NKTSVATGYPIVSVFPWGSLLSAFSLLESVSLKRRKTAM
+>NM_014717|220224_2_1741_1841
+MASCLKSIRCSATTKTLWQTPGFCLIRRSGSTC
+>DECOY_NM_014717|220224_2_1741_1841
+CTSGSRRILCFGPTQWLTKTTASCRISKLCSAM
+>NM_014717|220228_2_3748_3923
+MAGTACSPQAPPSPSRDWSHLYPKHRRSSGTARVFSKPRTPWRACQSRSGGPRAWTSR
+>DECOY_NM_014717|220228_2_3748_3923
+RSTWARPGGSRSQCARWPTRPKSFVRATGSSRRHKPYLHSWDRSPSPPAQPSCATGAM
+>NM_032127|220249_2_801_1261
+MVQFVRLCSPCWMPVAALCPVAQHWMKAWCYFSASCVFVWPRSLHCSSSSCSHLLSLEPLPVFFSFLALSLLCIERAPWASRPVMPYFFSWLCQLGAPLWAATSRITLTSARCWPQGSVPCTHHCLERLRFQGMIGTVCDGKTGWECQPLHSS
+>DECOY_NM_032127|220249_2_801_1261
+SSHLPQCEWGTKGDCVTGIMGQFRLRELCHHTCPVSGQPWCRASTLTIRSTAAWLPAGLQCLWSFFYPMVPRSAWPAREICLLSLALFSFFVPLPELSLLHSCSSSSCHLSRPWVFVCSASFYCWAKMWHQAVPCLAAVPMWCPSCLRVFQVM
+>NM_032127|220256_2_2130_2383
+MASGPLLSPVLLAPGLRNAAYCLRRTGTTWGKGRRKSWGGGGGLGVQGRALVTCPLPSSMECQDHGLRGPRRFVWCQRRELGNC
+>DECOY_NM_032127|220256_2_2130_2383
+CNGLERRQCWVFRRPGRLGHDQCEMSSPLPCTVLARGQVGLGGGGGWSKRRGKGWTTGTRRLCYAANRLGPALLVPSLLPGSAM
+>NM_003933|220328_3_2474_2550
+MARGGHGARGGAPPPSAQLHTGPGR
+>DECOY_NM_003933|220328_3_2474_2550
+RGPGTHLQASPPPAGGRAGHGGRAM
+>NM_021944|220357_2_581_699
+MAWLFRAQSSSCMLSTSGSIRQWVWLKLLWVLPGPTMSC
+>DECOY_NM_021944|220357_2_581_699
+CSMTPGPLVWLLKLWVWQRISGSTSLMCSSSQARFLWAM
+>NM_021944|220360_2_761_894
+MGKAGHIVPHLRSLGLSRKVPGKPLRLCLPWKRSVTAWAAACRW
+>DECOY_NM_021944|220360_2_761_894
+WRCAAAWATVSRKWPLCLRLPKGPVKRSLGLSRLHPVIHGAKGM
+>NM_021944|220363_2_1154_1257
+MEPSCHHPALRTCSMPLLRWTVPWKSQALGALGS
+>DECOY_NM_021944|220363_2_1154_1257
+SGLAGLAQSKWPVTWRLLPMSCTRLAPHHCSPEM
+>NM_021944|220367_2_1823_2007
+MAPKPTVCMGLPQTDCLLLKPSSFHQNFTILISKKRKMREGMRMHLAPHLLTNPTKPAVLT
+>DECOY_NM_021944|220367_2_1823_2007
+TLVAPKTPNTLLHPALHMRMGERMKRKKSILITFNQHFSSPKLLLCDTQPLGMCVTPKPAM
+>NM_017742|220403_2_1933_2087
+MEEKVLKVKKRKTETQTAILRILGIHQQLGLQVTVLSTRLSLSSHLFKLLH
+>DECOY_NM_017742|220403_2_1933_2087
+HLLKFLHSSLSLRTSLVTVQLGLQQHIGLIRLIATQTETKRKKVKLVKEEM
+>NM_017742|220404_2_3166_3347
+MAATSTKHIRAMETNFLFFCLRLHMQMDWYMTQSWGAKPTMACSRWQDLGDSILYIQHLT
+>DECOY_NM_017742|220404_2_3166_3347
+TLHQIYLISDGLDQWRSCAMTPKAGWSQTMYWDMQMHLRLCFFLFNTEMARIHKTSTAAM
+>NM_017742|220405_2_3199_3347
+METNFLFFCLRLHMQMDWYMTQSWGAKPTMACSRWQDLGDSILYIQHLT
+>DECOY_NM_017742|220405_2_3199_3347
+TLHQIYLISDGLDQWRSCAMTPKAGWSQTMYWDMQMHLRLCFFLFNTEM
+>NM_152446|220438_2_1402_1511
+MGKNSNCRCWIDLRRSRITLTHVRPSVSMLTFRSQS
+>DECOY_NM_152446|220438_2_1402_1511
+SQSRFTLMSVSPRVHTLTIRSRRLDIWCRCNSNKGM
+>NM_018073|220516_2_1217_1374
+METPTRNCQTILRDFTAIISSWEASASPQAGTTGRWRWETGLSGAWEYVSKM
+>DECOY_NM_018073|220516_2_1217_1374
+MKSVYEWAGSLGTEWRWRGTTGAQPSASAEWSSIIATFDRLITQCNRTPTEM
+>NM_152716|220786_2_351_424
+MEREMKWTCWVTMRRIWQKGSVRW
+>DECOY_NM_152716|220786_2_351_424
+WRVSGKQWIRRMTVWCTWKMEREM
+>NM_152716|220793_2_1497_1612
+MALRRSAPSLSPLRWPNWSTPISQCNLRALWESLPFLV
+>DECOY_NM_152716|220793_2_1497_1612
+VLFPLSEWLARLNCQSIPTSWNPWRLPSLSPASRRLAM
+>NM_032221|220900_2_623_807
+MGQRRHGSPGRPRAPRRPKRRGAALTLQPGRSPGRPARSKDQPQWRKRRKEKGKVKLQWRV
+>DECOY_NM_032221|220900_2_623_807
+VRWQLKVKGKEKRRKRWQPQDKSRAPRGPSRGPQLTLAAGRRKPRRPARPRGPSGHRRQGM
+>NM_032221|220904_2_953_1251
+MGKQLLFLELVEHLHSQPLHWPGRRRSLQKMMQTSLRRSWHLRLSRRFTQENLRSTWSCSTLSIEIFPTYIVNGPQWKSSKRILASHRRSSDLGINKPR
+>DECOY_NM_032221|220904_2_953_1251
+RPKNIGLDSSRRHSALIRKSSKWQPGNVIYTPFIEISLTSCSWTSRLNEQTFRRSLRLHWSRRLSTQMMKQLSRRRGPWHLPQSHLHEVLELFLLQKGM
+>NM_032221|220911_2_3668_3759
+MGKPRPSRTTQAYLPQSPEGGRGRRRRTSC
+>DECOY_NM_032221|220911_2_3668_3759
+CSTRRRRGRGGEPSQPLYAQTTRSPRPKGM
+>NM_032221|220916_2_4052_4224
+MVMKGTMPCEQTQHFASWRKLGCPMRSPFLQNRVLQMGPQTFLKEATQIKKTMLRTK
+>DECOY_NM_032221|220916_2_4052_4224
+KTRLMTKKIQTAEKLFTQPGMQLVRNQLFPSRMPCGLKRWSAFHQTQECPMTGKMVM
+>NM_032221|220921_2_4259_4956
+MEVMAFLAKRRMTAGQPRMAPTQTNRPGQFPPPSQLVSDVWSLFTSAATARNCAGLKFWDQVTKDIGFRKRCSGEPQKWTSSTRKPKRGGLGENKQTSIEQCLPLVLFTIKKRKPLTGHSSASFPVWTRSRMRAWNSIFIVLWPCAGMSVVYPHGKMAVPQIPPSTLNPSLRNVLQELCTALNCYGKSESKCSSALSCMNASSCAGPASTSQSGGSVGSMIETCSSALPNMG
+>DECOY_NM_032221|220921_2_4259_4956
+GMNPLASSCTEIMSGVSGGSQSTSAPGACSSANMCSLASSCKSESKGYCNLATCLEQLVNRLSPNLTSPPIQPVAMKGHPYVVSMGACPWLVIFISNWARMRSRTWVPFSASSHGTLPKRKKITFLVLPLCQEISTQKNEGLGGRKPKRTSSTWKQPEGSCRKRFGIDKTVQDWFKLGACNRATAASTFLSWVDSVLQSPPPFQGPRNTQTPAMRPQGATMRRKALFAMVEM
+>NM_032221|220922_2_4268_4956
+MAFLAKRRMTAGQPRMAPTQTNRPGQFPPPSQLVSDVWSLFTSAATARNCAGLKFWDQVTKDIGFRKRCSGEPQKWTSSTRKPKRGGLGENKQTSIEQCLPLVLFTIKKRKPLTGHSSASFPVWTRSRMRAWNSIFIVLWPCAGMSVVYPHGKMAVPQIPPSTLNPSLRNVLQELCTALNCYGKSESKCSSALSCMNASSCAGPASTSQSGGSVGSMIETCSSALPNMG
+>DECOY_NM_032221|220922_2_4268_4956
+GMNPLASSCTEIMSGVSGGSQSTSAPGACSSANMCSLASSCKSESKGYCNLATCLEQLVNRLSPNLTSPPIQPVAMKGHPYVVSMGACPWLVIFISNWARMRSRTWVPFSASSHGTLPKRKKITFLVLPLCQEISTQKNEGLGGRKPKRTSSTWKQPEGSCRKRFGIDKTVQDWFKLGACNRATAASTFLSWVDSVLQSPPPFQGPRNTQTPAMRPQGATMRRKALFAM
+>NM_032221|220924_2_4313_4956
+MAPTQTNRPGQFPPPSQLVSDVWSLFTSAATARNCAGLKFWDQVTKDIGFRKRCSGEPQKWTSSTRKPKRGGLGENKQTSIEQCLPLVLFTIKKRKPLTGHSSASFPVWTRSRMRAWNSIFIVLWPCAGMSVVYPHGKMAVPQIPPSTLNPSLRNVLQELCTALNCYGKSESKCSSALSCMNASSCAGPASTSQSGGSVGSMIETCSSALPNMG
+>DECOY_NM_032221|220924_2_4313_4956
+GMNPLASSCTEIMSGVSGGSQSTSAPGACSSANMCSLASSCKSESKGYCNLATCLEQLVNRLSPNLTSPPIQPVAMKGHPYVVSMGACPWLVIFISNWARMRSRTWVPFSASSHGTLPKRKKITFLVLPLCQEISTQKNEGLGGRKPKRTSSTWKQPEGSCRKRFGIDKTVQDWFKLGACNRATAASTFLSWVDSVLQSPPPFQGPRNTQTPAM
+>NM_032221|220927_2_4727_4956
+MAVPQIPPSTLNPSLRNVLQELCTALNCYGKSESKCSSALSCMNASSCAGPASTSQSGGSVGSMIETCSSALPNMG
+>DECOY_NM_032221|220927_2_4727_4956
+GMNPLASSCTEIMSGVSGGSQSTSAPGACSSANMCSLASSCKSESKGYCNLATCLEQLVNRLSPNLTSPPIQPVAM
+>NM_024617|220969_2_396_484
+MGIPPEKDHVLFPAIHMHLKTQSTVNPLG
+>DECOY_NM_024617|220969_2_396_484
+GLPNVTSQTKLHMHIAPFLVHDKEPPIGM
+>NM_207332|221024_2_690_790
+MVWTPARKTRHWPGRKTLKIPGRKMVRTLARKT
+>DECOY_NM_207332|221024_2_690_790
+TKRALTRVMKRGPIKLTKRGPWHRTKRAPTWVM
+>NM_018233|221088_2_1038_1123
+MDMWNGAAEVPLTKGFMRKLRRVSFLRY
+>DECOY_NM_018233|221088_2_1038_1123
+YRLFSVRRLKRMFGKTLPVEAAGNWMDM
+>NM_020354|221196_2_428_531
+MDLLLTVAAVVPGFLFISGQDIMGTPMTCWTSNR
+>DECOY_NM_020354|221196_2_428_531
+RNSTWCTMPTGMIDQGSIFLFGPVVAAVTLLLDM
+>NM_020354|221203_2_1559_1968
+MASFHHMQMSIDSNISVLNRLGCTKSYMKDSTFPMTTQTCGQPSWCMTERFSGRWEPFYIKHDSYHSGIFGRKVSDKPMVAGSVSPLYTTTISSLPVSWWCYWPSSYTFCGYAEFTTDKHEPQLHWTCCGLKRWCP
+>DECOY_NM_020354|221203_2_1559_1968
+PCWRKLGCCTWHLQPEHKDTTFEAYGCFTYSSPWYCWWSVPLSSITTTYLPSVSGAVMPKDSVKRGFIGSHYSDHKIYFPEWRGSFRETMCWSPQGCTQTTMPFTSDKMYSKTCGLRNLVSINSDISMQMHHFSAM
+>NM_017686|221267_3_1609_1709
+MVFYHLFCLRTEGQNPPCGQPPPAVFCHITRTD
+>DECOY_NM_017686|221267_3_1609_1709
+DTRTIHCFVAPPPQGCPPNQGETRLCFLHYFVM
+>NM_004161|221295_3_577_659
+MGHSRPGKISNNHLQLLQRSPWHHSCV
+>DECOY_NM_004161|221295_3_577_659
+VCSHHWPSRQLLQLHNNSIKGPRSHGM
+>NM_006210|221380_2_1808_2097
+MVSPLSTVWLSVKFRKVRLEGNVLNVRTVERPSIRVPPWLNIGRFMLEVILWNVRIRNVRKPSCLAPPLVSFRKYMAKTNSTSAGCVRKPSFIVLP
+>DECOY_NM_006210|221380_2_1808_2097
+PLVIFSPKRVCGASTSNTKAMYKRFSVLPPALCSPKRVNRIRVNWLIVELMFRGINLWPPVRISPREVTRVNLVNGELRVKRFKVSLWVTSLPSVM
+>NM_006210|221391_2_2906_3216
+MEMNWWNLMRRENPPFISQTLMISDRRFLPERTLVKGAVRIATMKTLSYRVYSVPNLRKVFLERDLVSLRRMANSLFPAQMSVNTRRLVLKRNTLSIGAMRPL
+>DECOY_NM_006210|221391_2_2906_3216
+LPRMAGISLTNRKLVLRRTNVSMQAPFLSNAMRRLSVLDRELFVKRLNPVSYVRYSLTKMTAIRVAGKVLTREPLFRRDSIMLTQSIFPPNERRMLNWWNMEM
+>NM_006210|221396_2_3119_3216
+MANSLFPAQMSVNTRRLVLKRNTLSIGAMRPL
+>DECOY_NM_006210|221396_2_3119_3216
+LPRMAGISLTNRKLVLRRTNVSMQAPFLSNAM
+>NM_198990|221441_3_540_616
+MEKPLYSKCSQMADNGERSQQCSKF
+>DECOY_NM_198990|221441_3_540_616
+FKSCQQSREGNDAMQSCKSYLPKEM
+>NM_198990|221442_3_1125_1201
+MGQLVCLGALESIFFRRRYWLLPCF
+>DECOY_NM_198990|221442_3_1125_1201
+FCPLLWYRRRFFISELAGLCVLQGM
+>NM_014757|221546_2_630_811
+MAINRMATGTSFLGIRRLAGRPLWELPSLPMDCLQPPPSVSLTSLLEPTPCSPVGSTLWG
+>DECOY_NM_014757|221546_2_630_811
+GWLTSGVPSCPTPELLSTLSVSPPPQLCDMPLSPLEWLPRGALRRIGLFSTGTAMRNIAM
+>NM_014757|221547_2_645_811
+MATGTSFLGIRRLAGRPLWELPSLPMDCLQPPPSVSLTSLLEPTPCSPVGSTLWG
+>DECOY_NM_014757|221547_2_645_811
+GWLTSGVPSCPTPELLSTLSVSPPPQLCDMPLSPLEWLPRGALRRIGLFSTGTAM
+>NM_001037806|221594_2_266_423
+MAAWSQAPARSFCTDCGSWRQRTRHLPRPTKTSGRLMSAVWTRLPTMWYRRC
+>DECOY_NM_001037806|221594_2_266_423
+CRRYWMTPLRTWVASMLRGSTKTPRPLHRTRQRWSGCDTCFSRAPAQSWAAM
+>NM_001037806|221598_2_2252_2358
+MGCQPGLAPKRPGDLGSQGRVLETWCPPSTGHWSS
+>DECOY_NM_001037806|221598_2_2252_2358
+SSWHGTSPPCWTELVRGQSGLDGPRKPALGPQCGM
+>NM_001037806|221600_2_3413_3555
+MAWWALFRAAENLLESRAASQGGGKRCPRRTAWPSQCPPHTSQPVAP
+>DECOY_NM_001037806|221600_2_3413_3555
+PAVPQSTHPPCQSPWATRRPCRKGGGQSAARSELLNEAARFLAWWAM
+>NM_001037806|221601_2_3602_3750
+MVAVGPPARIFLRPSHRGWIPHLGYPQLGPHPLPKSPAAPTHWSGRCQA
+>DECOY_NM_001037806|221601_2_3602_3750
+AQCRGSWHTPAAPSKPLPHPGLQPYGLHPIWGRHSPRLFIRAPPGVAVM
+>NM_003274|221652_2_2618_2793
+METACSLAMPKPCSSCARRRAGLWSTPTRENSLLRPRSGFSPPTRSRASVCLLRLRTT
+>DECOY_NM_003274|221652_2_2618_2793
+TTRLRLLCVSARSRTPPSFGSRPRLLSNERTPTSWLGARRRACSSCPKPMALSCATEM
+>NM_002618|221687_2_327_412
+MVPMEIHFMEAIVLIVMDIMGWATTASV
+>DECOY_NM_002618|221687_2_327_412
+VSATTAWGMIDMVILVIAEMFHIEMPVM
+>NM_144982|221764_2_429_607
+MGKSVTTIITARYGVGAAAAAAAAGCYPIRGEGLLTRPGAVDLAEAVALPRHRPLLSSS
+>DECOY_NM_144982|221764_2_429_607
+SSSLLPRHRPLAVAEALDVAGPRTLLGEGRIPYCGAAAAAAAAGVGYRATIITTVSKGM
+>NM_001135629|221834_2_1683_1768
+MDLRQRVDSLVLFQLNACYSIRKKLLPI
+>DECOY_NM_001135629|221834_2_1683_1768
+IPLLKKRISYCANLQFLVLSDVRQRLDM
+>NM_031450|221899_2_345_631
+MGTPVHPTQSLWAGLQCMGRATAPTPGTCRACRQPGKLCRPVGGPSHRVPCASSPSPTTCSRASGLCIWHRASSWTTPGLALPGPWLKASFRWPR
+>DECOY_NM_031450|221899_2_345_631
+RPWRFSAKLWPGPLALGPTTWSSARHWICLGSARSCTTPSPSSACPVRHSPGGVPRCLKGPQRCARCTGPTPATARGMCQLGAWLSQTPHVPTGM
+>NM_014777|221955_2_3536_3681
+MEGPTFPKEATGRCSPMLPSTRVFTLRYCWSCQLSRDMISLFRQPCSF
+>DECOY_NM_014777|221955_2_3536_3681
+FSCPQRFLSIMDRSLQCSWCYRLTFVRTSPLMPSCRGTAEKPFTPGEM
+>NM_181836|221970_2_688_854
+MGHTNFASAMNFLLSHIKLYILIFKLEKTHLCFLVRTESVLLPRWNLPVFQFTKL
+>DECOY_NM_181836|221970_2_688_854
+LKTFQFVPLNWRPLLVSETRVLFCLHTKELKFILIYLKIHSLLFNMASAFNTHGM
+>NM_016221|221990_2_646_743
+MVLEPGFSDHELVHPSVPLPDFPLKKERIRKR
+>DECOY_NM_016221|221990_2_646_743
+RKRIREKKLPFDPLPVSPHVLEHDSFGPELVM
+>NM_018024|222046_2_135_265
+MAPLLSTTSRPAPRGTPASTAAATVKKIFGSSVNTSKTMTSIL
+>DECOY_NM_018024|222046_2_135_265
+LISTMTKSTNVSSGFIKKVTAAATSAPTGRPAPRSTTSLLPAM
+>NM_001080516|222072_2_490_689
+MEAGTSTIDLWWRQKAHYPKTGIHRKGIFPRTAVFTAEGRAVPPALCATAASSRCWPTDLRSPIGP
+>DECOY_NM_001080516|222072_2_490_689
+PGIPSRLDTPWCRSSAATACLAPPVARGEATFVATRPFIGKRHIGTKPYHAKQRWWLDITSTGAEM
+>NM_020768|222082_2_861_946
+MDSCSVIFWTISGTGRWSCLITFQKKED
+>DECOY_NM_020768|222082_2_861_946
+DEKKQFTILCSWRGTGSITWFIVSCSDM
+>NM_020768|222090_2_1509_1627
+MAKVTKKGRAARLAMTSPHLAATASLRPALPRRRSSVVP
+>DECOY_NM_020768|222090_2_1509_1627
+PVVSSRRRPLAPRLSATAALHPSTMALRAARGKKTVKAM
+>NM_001135653|222130_2_1883_1956
+MEEAKGLASSASHLLKKQPKQSLR
+>DECOY_NM_001135653|222130_2_1883_1956
+RLSQKPQKKLLHSASSALGKAEEM
+>NM_206918|222223_2_824_969
+MGLSTGSPSMWATTWSTTTSPASRATTCRWCGRSRPSTTTTCRSTTPG
+>DECOY_NM_206918|222223_2_824_969
+GPTTSRCTTTTSPRSRGCWRCTTARSAPSTTTSWTTAWMSPSGTSLGM
+>NM_005490|222318_2_210_337
+MEKTLLANLGTTASCPARRLKLFFSKMATSWFAPLGPVGATP
+>DECOY_NM_005490|222318_2_210_337
+PTAGVPGLPAFWSTAMKSFFLKLRRAPCSATTGLNALLTKEM
+>NM_014655|222340_2_485_624
+MGPSMPSSRSCEQMVSLASTEGSWSIPSPSSLASVMSPLMSSPGSL
+>DECOY_NM_014655|222340_2_485_624
+LSGPSSMLPSMVSALSSPSPISWSGETSALSVMQECSRSSPMSPGM
+>NM_001135672|222365_2_485_774
+MGPSMPSSRSCRLMDFAASIEAMWLHCLPISQTVLSGGPSITSMQSSSPTCVLRSALTLSFKLSRGPWLQPLPPSSPIPWMSYEPVCRLRARTPSS
+>DECOY_NM_001135672|222365_2_485_774
+SSPTRARLRCVPEYSMWPIPSSPPLPQLWPGRSLKFSLTLASRLVCTPSSSQMSTISPGGSLVTQSIPLCHLWMAEISAAFDMLRCSRSSPMSPGM
+>NM_014669|222398_2_1397_1485
+MAPAPHKTGSLSHSSRSSCWKTMASPTLR
+>DECOY_NM_014669|222398_2_1397_1485
+RLTPSAMTKWCSSRSSHSLSGTKHPAPAM
+>NM_207406|222527_2_992_1077
+MAQARRRPPAPAARVATAPAWSSAQRVE
+>DECOY_NM_207406|222527_2_992_1077
+EVRQASSWAPATAVRAAPAPPRRRAQAM
+>NM_207406|222529_2_1808_1953
+MGDGSFDTSSDLFSQPMSLSTHAALGKGKGQCSQERQVPKDALWIQLK
+>DECOY_NM_207406|222529_2_1808_1953
+KLQIWLADKPVQREQSCQGKGKGLAAHTSLSMPQSFLDSSTDFSGDGM
+>NM_033318|222559_2_156_256
+MAMSPPHGAAQAGAWYRRGQSSLPAAAPFCPNR
+>DECOY_NM_033318|222559_2_156_256
+RNPCFPAAAPLSSQGRRYWAGAQAAGHPPSMAM
+>NM_153605|222607_2_542_621
+MAPGNQETVKTSPLLFYPFSSQCQNV
+>DECOY_NM_153605|222607_2_542_621
+VNQCQSSFPYFLLPSTKVTEQNGPAM
+>NM_153605|222612_2_1748_1884
+MEIFTELDQFVSLVECGLPMKKNILKASSFCLKKETLKTVMLVVH
+>DECOY_NM_153605|222612_2_1748_1884
+HVVLMVTKLTEKKLCFSSAKLINKKMPLGCEVLSVFQDLETFIEM
+>NM_153605|222616_2_2993_3072
+MELSALISVINLSLMLKEEIIVTRLM
+>DECOY_NM_153605|222616_2_2993_3072
+MLRTVIIEEKLMLSLNIVSILASLEM
+>NM_153605|222620_3_2064_2140
+MGCLPAKVLLWRTIHFRKREIQMLF
+>DECOY_NM_153605|222620_3_2064_2140
+FLMQIERKRFHITRWLLVKAPLCGM
+>NM_001129908|222736_2_842_948
+MAVPSRRHRVRWSPWSVHSQGVTWQLYRLGELLLG
+>DECOY_NM_001129908|222736_2_842_948
+GLLLEGLRYLQWTVGQSHVSWPSWRVRHRRSPVAM
+>NM_005066|222869_2_1051_1163
+MENQEKFLSTKAKDSDLLSLNLELWLKLPKPNWMIHP
+>DECOY_NM_005066|222869_2_1051_1163
+PHIMWNPKPLKLWLELNLSLLDSDKAKTSLFKEQNEM
+>NM_005066|222874_2_1462_1628
+MVFLKNLPRRIQCIKRREKPLLVLPSMARLSTNILSDGSLWMKWKNSKGNKLKKT
+>DECOY_NM_005066|222874_2_1462_1628
+TKKLKNGKSNKWKMWLSGDSLINTSLRAMSPLVLLPKERRKICQIRRPLNKLFVM
+>NM_005066|222875_2_1540_1628
+MARLSTNILSDGSLWMKWKNSKGNKLKKT
+>DECOY_NM_005066|222875_2_1540_1628
+TKKLKNGKSNKWKMWLSGDSLINTSLRAM
+>NM_175575|222899_2_1073_1212
+MASPCVSAKTAVRRSPALPAPRTASPTITAATWMPRPAPKASHWPL
+>DECOY_NM_175575|222899_2_1073_1212
+LPWHSAKPAPRPMWTAATITPSATRPAPLAPSRRVATKASVCPSAM
+>NM_175575|222904_2_1958_2109
+MATTLRAVRPVRSRAPSPGGTSAVGPASLGRSSLPASVAATLSSWAESLS
+>DECOY_NM_175575|222904_2_1958_2109
+SLSEAWSSLTAAVSAPLSSRGLSAPGVASTGGPSPARSRVPRVARLTTAM
+>NM_053284|222924_2_1245_1525
+MGRPAALRPTRHASRPVPAAPATPACCLPCRAPAGAGSRAGPTARCCSSAIPSCTVAARATATTSTAARAARMPAPCRAHRPAAPAASGASWR
+>DECOY_NM_053284|222924_2_1245_1525
+RWSAGSAAPAAPRHARCPAPMRAARAATSTTATARAAVTCSPIASSCCRATPGARSGAGAPARCPLCCAPTAPAAPVPRSAHRTPRLAAPRGM
+>NM_198496|222942_2_1237_1322
+MEAHVFQKDWTATSASARWPLEGRLTVP
+>DECOY_NM_198496|222942_2_1237_1322
+PVTLRGELPWRASASTATWDKQFVHAEM
+>NM_015568|222997_2_655_812
+MGPTCLLSTRMGTCHMTSARMNPPWMSSRPAWHTRASPKRKSTRCGWLLSSR
+>DECOY_NM_015568|222997_2_655_812
+RSSLLWGCRTSKRKPSARTHWAPRSSMWPPNMRASTMHCTGMRTSLLCTPGM
+>NM_015568|223002_2_970_1085
+MAGSPCMQLPSGDRCRWQSYWCPMELVSVQGHPWMRCQ
+>DECOY_NM_015568|223002_2_970_1085
+QCRMWPHGQVSVLEMPCWYSQWRCRDGSPLQMCPSGAM
+>NM_015568|223005_2_1465_1637
+MASGLRSVPTSMRWPTGMSGRCMRCLTTAWPMATLAWPTPPRPGAATRNRALRRFWS
+>DECOY_NM_015568|223005_2_1465_1637
+SWFRRLARNRTAAGPRPPTPWALTAMPWATTLCRMCRGSMGTPWRMSTPVSRLGSAM
+>NM_032679|223093_2_731_978
+MGDHASISSVTKLLLELNTIDVLNPAALNHSSMTYKKFVQEGNHMNAVCAGEPSPGKHSLFNIRELKEERNPMDVVNVGKHS
+>DECOY_NM_032679|223093_2_731_978
+SHKGVNVVDMPNREEKLERINFLSHKGPSPEGACVANMHNGEQVFKKYTMSSHNLAAPNLVDITNLELLLKTVSSISAHDGM
+>NM_003251|223130_2_235_422
+MGCCRGRSGRPRWQAAKRMEPQRQRKSRTRVPQESWTWKPSSTCTSPASITSSCTSPRKPRR
+>DECOY_NM_003251|223130_2_235_422
+RRPKRPSTCSSTISAPSTCTSSPKWTWSEQPVRTRSKRQRQPEMRKAAQWRPRGSRGRCCGM
+>NM_003251|223131_2_289_422
+MEPQRQRKSRTRVPQESWTWKPSSTCTSPASITSSCTSPRKPRR
+>DECOY_NM_003251|223131_2_289_422
+RRPKRPSTCSSTISAPSTCTSSPKWTWSEQPVRTRSKRQRQPEM
+>NM_152385|223170_2_1991_2085
+MALTNYLMTSRLFFDLRLQLQKFLKRMTQST
+>DECOY_NM_152385|223170_2_1991_2085
+TSQTMRKLFKQLQLRLDFFLRSTMLYNTLAM
+>NM_032208|223229_2_456_589
+MGVQPATADLTCTSFWTNQEVCCTTGMKSITLWNSWLTNSSAHS
+>DECOY_NM_032208|223229_2_456_589
+SHASSNTLWSNWLTISKMGTTCCVEQNTWFSTCTLDATAPQVGM
+>NM_032208|223235_2_1257_1339
+MASLLSPVLSSSPPHTVLTVPSWPSPC
+>DECOY_NM_032208|223235_2_1257_1339
+CPSPWSPVTLVTHPPSSSLVPSLLSAM
+>NM_032208|223236_2_1503_1738
+MVGEALEALKEWRFVGEKRAPQKKVLSWKRQRMQESRCRSRNMNSLSREISTTICVGLLPPGSGTLQSRENSMPCGSY
+>DECOY_NM_032208|223236_2_1503_1738
+YSGCPMSNERSQLTGSGPPLLGVCITTSIERSLSNMNRSRCRSEQMRQRKWSLVKKQPARKEGVFRWEKLAELAEGVM
+>NM_003252|223374_2_982_1136
+MVLYLFITNWMQKMRLCIWAVSGWVVVKSEPIGPLVNHLHLKVHKKTTLSS
+>DECOY_NM_003252|223374_2_982_1136
+SSLTTKKHVKLHLHNVLPGIPESKVVVWGSVAWICLRMKQMWNTIFLYLVM
+>NM_003252|223378_2_1480_1562
+MDSIWQMGGKYRLMEYTGNHGINKDLE
+>DECOY_NM_003252|223378_2_1480_1562
+ELDKNIGHNGTYEMLRYKGGMQWISDM
+>NM_003252|223385_3_1538_1650
+MESTRIWSRSITFCCLDGWIWCSASPRTSSSPCNTSS
+>DECOY_NM_003252|223385_3_1538_1650
+SSTNCPSSSTRPSASCWIWGDLCCFTISRSWIRTSEM
+>NM_001017408|223430_2_1131_1237
+MVFQSSSLRSIRGNLLIDAEGCTLGMLFWQSTELT
+>DECOY_NM_001017408|223430_2_1131_1237
+TLETSQWFLMGLTCGEADILLNGRISRLSSSQFVM
+>NM_198534|223447_2_862_962
+MDQRVRSRNRPTGPRICLKIGMTRPRPQPTSTV
+>DECOY_NM_198534|223447_2_862_962
+VTSTPQPRPRTMGIKLCIRPGTPRNRSRVRQDM
+>NM_032731|223502_2_248_351
+MARPFSPTLRVLRTPGGKAGAPTACRLNQSYERG
+>DECOY_NM_032731|223502_2_248_351
+GREYSQNLRCATPAGAKGGPTRLVRLTPSFPRAM
+>NM_020659|223539_2_441_544
+MGCPSSALRCCTPTTHSAPLTTWCWRRWRGWARR
+>DECOY_NM_020659|223539_2_441_544
+RRAWGRWRRWCWTTLPASHTTPTCCRLASSPCGM
+>NM_025250|223563_2_524_615
+MASIGPPTRSATPTARWPGSRTACGTRRWG
+>DECOY_NM_025250|223563_2_524_615
+GWRRTGCATRSGPWRATPTASRTPPGISAM
+>NM_015512|223739_2_400_506
+MGWEILQPLTPSSHIYPCPRPHPHSQTWGSHGSHP
+>DECOY_NM_015512|223739_2_400_506
+PHSGHSGWTQSHPHPRPCPYIHSSPTLPQLIEWGM
+>NM_015512|223772_3_12524_12678
+MGHGPAATPGLSAGLDPRWHPSCLLDQWILLPPGFLNRHSAEFCPQICHLH
+>DECOY_NM_015512|223772_3_12524_12678
+HLHCIQPCFEASHRNLFGPPLLIWQDLLCSPHWRPDLGASLGPTAAPGHGM
+>NM_003689|223840_2_584_681
+MAGSCPLCTRACTTPPPGRWKRSSSPASGTLD
+>DECOY_NM_003689|223840_2_584_681
+DLTGSAPSSSRKWRGPPPTTCARTCLPCSGAM
+>NM_021021|223863_2_1607_1722
+MDFLLPLNHRRVPFPRPSYSLLMKSSKCLQMMESGCCI
+>DECOY_NM_021021|223863_2_1607_1722
+ICCGSEMMQLCKSSKMLLSYSPRPFPVRRHNLPLLFDM
+>NM_178026|223936_2_356_471
+MGSRSSSRPVSPSLPVSPWRWSCRSTSGTPRSSSRVPW
+>DECOY_NM_178026|223936_2_356_471
+WPVRSSSRPTGSTSRCSWRWPSVPLSPSVPRSSSRSGM
+>NM_014469|224033_2_1175_1323
+MAGATATRGADTGWADQIVGSLCPWKGAALPSVILTAGQAAGCPGAEAV
+>DECOY_NM_014469|224033_2_1175_1323
+VAEAGPCGAAQGATLIVSPLAAGKWPCLSGVIQDAWGTDAGRTATAGAM
+>NM_080615|224047_2_1760_1893
+MDVKAATSKTSAYTDQETCRGSFSRLLCLPTNSNPRQTRLWLPA
+>DECOY_NM_080615|224047_2_1760_1893
+APLWLRTQRPNSNTPLCLLRSFSGRCTEQDTYASTKSTAAKVDM
+>NM_024645|224118_2_300_454
+MEGVLPRGSGQKMEVMPTWWIRTSAAHSATCHSLQRWWPIPIIKAKSTPRG
+>DECOY_NM_024645|224118_2_300_454
+GRPTSKAKIIPIPWWRQLSHCTASHAASTRIWWTPMVEMKQGSGRPLVGEM
+>NM_024645|224119_2_336_454
+MEVMPTWWIRTSAAHSATCHSLQRWWPIPIIKAKSTPRG
+>DECOY_NM_024645|224119_2_336_454
+GRPTSKAKIIPIPWWRQLSHCTASHAASTRIWWTPMVEM
+>NM_031461|224153_2_694_797
+MDLQACFHQLDRIWEHTGEDIGPRRFMYNRGMMK
+>DECOY_NM_031461|224153_2_694_797
+KMMGRNYMFRRPGIDEGTHEWIRDLQHFCAQLDM
+>NM_031461|224157_2_1033_1151
+MGGPVLLAHLVLEGAVEKICATKKGQTGIIPLEKRKQMK
+>DECOY_NM_031461|224157_2_1033_1151
+KMQKRKELPIIGTQGKKTACIKEVAGELVLHALLVPGGM
+>NM_031461|224167_3_959_1062
+MAQSCLPGVQLLPKGKLVGPCPLQTWAALFCLPT
+>DECOY_NM_031461|224167_3_959_1062
+TPLCFLAAWTQLPCPGVLKGKPLLQVGPLCSQAM
+>NM_001246|224232_2_174_445
+MASSWTLVLHTRPCLSTSGRQTRRTTQALWASTAPVMFQVGASPAMQTTLLGPARVLLDASNRRFRMCPKRDTRAHPSTWEPQRVCACST
+>DECOY_NM_001246|224232_2_174_445
+TSCACVRQPEWTSPHARTDRKPCMRFRRNSADLLVRAPGLLTTQMAPSAGVQFMVPATSAWLAQTTRRTQRGSTSLCPRTHLVLTWSSAM
+>NM_001246|224236_2_783_1177
+MAVTRSSRGCWPAPSRPTASTPAGRGAFPPKCCSGMCTSHHAPWPSGPRTSTAVPGSACQGAVTPTSAEIWFLGSSASPPAPSPDALSMGSSSPQWLGTLWPSLPSSTLWTFCGLRWGCPWPPCSSWRQPQ
+>DECOY_NM_001246|224236_2_783_1177
+QPQRWSSCPPWPCGWRLGCFTWLTSSPLSPWLTGLWQPSSSGMSLADPSPAPPSASSGLFWIEASTPTVAGQCASGPVATSTRPGSPWPAHHSTCMGSCCKPPFAGRGAPTSATPRSPAPWCGRSSRTVAM
+>NM_001135704|224275_2_1325_1455
+MAPWAPGARAALLPPVALRRPVALPNVSDPKEVTVSGGVSAAN
+>DECOY_NM_001135704|224275_2_1325_1455
+NAASVGGSVTVEKPDSVNPLAVPRRLAVPPLLAARAGPAWPAM
+>NM_001678|224439_2_1001_1128
+MESSTTPNVPANSTGPSWATAPALGTPPTMVTALGSPVSSSR
+>DECOY_NM_001678|224439_2_1001_1128
+RSSSVPSGLATVMTPPTGLAPATAWSPGTSNAPVNPTTSSEM
+>NM_003692|224506_2_549_685
+MDLDLEKEKRKGQGQKFTENTPSVDPANIKLSVMKMQKMLGVYVI
+>DECOY_NM_003692|224506_2_549_685
+IVYVGLMKQMKMVSLKINAPDVSPTNETFKQGQGKRKEKELDLDM
+>NM_001031689|224535_2_1203_1411
+MGNVLKLSDFQLSLYGAAVCSTMVTLWLVRVMALLECLQNQKIEQQVLKKSRLLKKNCLTQPLILKLAI
+>DECOY_NM_001031689|224535_2_1203_1411
+IALKLILPQTLCNKKLLRSKKLVQQEIKQNQLCELLAMVRVLWLTVMTSCVAAGYLSLQFDSLKLVNGM
+>NM_001031689|224536_2_1269_1411
+MVTLWLVRVMALLECLQNQKIEQQVLKKSRLLKKNCLTQPLILKLAI
+>DECOY_NM_001031689|224536_2_1269_1411
+IALKLILPQTLCNKKLLRSKKLVQQEIKQNQLCELLAMVRVLWLTVM
+>NM_001031689|224537_2_1296_1411
+MALLECLQNQKIEQQVLKKSRLLKKNCLTQPLILKLAI
+>DECOY_NM_001031689|224537_2_1296_1411
+IALKLILPQTLCNKKLLRSKKLVQQEIKQNQLCELLAM
+>NM_014007|224680_2_790_890
+MVKMKRRAPKTSCHPSSPSTNTCPATRPQSMTA
+>DECOY_NM_014007|224680_2_790_890
+ATMSQPRTAPCTNTSPSSPHCSTKPARRKMKVM
+>NM_014007|224682_2_913_1010
+MGRRAPATAPSSTTPGPCTASPASWLTNAGST
+>DECOY_NM_014007|224682_2_913_1010
+TSGANTLWSAPSATCPGPTTSSPATAPARRGM
+>NM_017798|224740_2_352_446
+MVRYIRRIQFMTMTLSPTLLDSQIRVTVTPQ
+>DECOY_NM_017798|224740_2_352_446
+QPTVTVRIQSDLLTPSLTMTMFQIRRIYRVM
+>NM_017798|224750_3_686_783
+MGDKWVSRSADPELRVWEQLHLPPELPGWHGG
+>DECOY_NM_017798|224750_3_686_783
+GGHWGPLEPPLHLQEWVRLEPDASRSVWKDGM
+>NM_018270|224762_2_483_631
+MGLTMFFHLQGVWGKHQKNPAKTKRRTPQTWGAKKAQTSGSAAGSPTKS
+>DECOY_NM_018270|224762_2_483_631
+SKTPSGAASGSTQAKKAGWTQPTRRKTKAPNKQHKGWVGQLHFFMTLGM
+>NM_178468|224785_2_1520_1878
+MGSQEAKSPAPCGVDGYLAQPWRQWRRRRRRHLQVRAVASWISLSPSPEPEKWETLTLGLPPTQAPFGLASRPQRTGGCPQARPTASWISCPEPWVLGVPLSWVPSDLVIGPWRTGGCP
+>DECOY_NM_178468|224785_2_1520_1878
+PCGGTRWPGIVLDSPVWSLPVGLVWPEPCSIWSATPRAQPCGGTRQPRSALGFPAQTPPLGLTLTEWKEPEPSPSLSIWSAVARVQLHRRRRRRWQRWPQALYGDVGCPAPSKAEQSGM
+>NM_015481|224795_2_556_641
+MDWGQPQDPQRNSLAPHPLPAFRRLVRV
+>DECOY_NM_015481|224795_2_556_641
+VRVLRRFAPLPHPALSNRQPDQPQGWDM
+>NM_152751|224809_2_532_605
+MAPVLEPSKASPTLPRAPMENFQW
+>DECOY_NM_152751|224809_2_532_605
+WQFNEMPARPLTPSAKSPELVPAM
+>NM_001100912|224828_2_362_474
+MAPVLEPSKASPTLPRAPMVWLSTNIQKALMGENFQW
+>DECOY_NM_001100912|224828_2_362_474
+WQFNEGMLAKQINTSLWVMPARPLTPSAKSPELVPAM
+>NM_024603|224839_2_351_469
+MERLKIMGKKIYSLDTSRDLRGGSRAKWRTRASRQWWLG
+>DECOY_NM_024603|224839_2_351_469
+GLWWQRSARTRWKARSGGRLDRSTDLSYIKKGMIKLREM
+>NM_024603|224840_2_369_469
+MGKKIYSLDTSRDLRGGSRAKWRTRASRQWWLG
+>DECOY_NM_024603|224840_2_369_469
+GLWWQRSARTRWKARSGGRLDRSTDLSYIKKGM
+>NM_024603|224845_3_976_1112
+MAPATSNPRRFQVHEELGSYDLGNRCSEKQKRHRRRHKKKERCSP
+>DECOY_NM_024603|224845_3_976_1112
+PSCREKKKHRRRHRKQKESCRNGLDYSGLEEHVQFRRPNSTAPAM
+>NM_153346|224869_2_359_531
+MAITVHSKCHMGLALSPRLECSGMITAHCSLNLLDSSNSSTSAFPVAGMTGARHHAQ
+>DECOY_NM_153346|224869_2_359_531
+QAHHRAGTMGAVPFASTSSNSSDLLNLSCHATIMGSCELRPSLALGMHCKSHVTIAM
+>NM_153346|224878_2_1139_1350
+MAKILPLYLSSSLPILLRKLYLLKCQEQQKPTWKITLRQCITQLYREIRVPHIQPLHIFPSLLILNLAHK
+>DECOY_NM_153346|224878_2_1139_1350
+KHALNLILLSPFIHLPQIHPVRIERYLQTICQRLTIKWTPKQQEQCKLLYLKRLLIPLSSSLYLPLIKAM
+>NM_153346|224879_2_1580_1710
+MAILVIQKEMSEYLKSICWPYKIWPNLSKQPATWFVFCSPRKS
+>DECOY_NM_153346|224879_2_1580_1710
+SKRPSCFVFWTAPQKSLNPWIKYPWCISKLYESMEKQIVLIAM
+>NM_153346|224882_2_2294_2406
+MACVPLTPIRLVLSESSSKKTTQFVISRKMEETGSRV
+>DECOY_NM_153346|224882_2_2294_2406
+VRSGTEEMKRSIVFQTTKKSSSESLVLRIPTLPVCAM
+>NM_015060|224895_2_171_262
+MASPGGPYCTSWWSDFTTRRAARLNSLTRP
+>DECOY_NM_015060|224895_2_171_262
+PRTLSNLRAARRTTFDSWWSTCYPGGPSAM
+>NM_015060|224896_2_273_451
+MDMTATLYLKNGSICPSLPYQMAHTTTRKILCFFTCHPEMEMEPQYLVSLAIDKLKPRH
+>DECOY_NM_015060|224896_2_273_451
+HRPKLKDIALSVLYQPEMEMEPHCTFFCLIKRTTTHAMQYPLSPCISGNKLYLTATMDM
+>NM_015060|224900_2_837_1018
+MVSVTVLSIDPGKVCLKMVGFRKVTHVQMILFLHPLLMFHIPTWELSGKSWQETMEKMLP
+>DECOY_NM_015060|224900_2_837_1018
+PLMKEMTEQWSKGSLEWTPIHFMLLPHLFLIMQVHTVKRFGVMKLCVKGPDISLVTVSVM
+>NM_015060|224901_2_888_1018
+MVGFRKVTHVQMILFLHPLLMFHIPTWELSGKSWQETMEKMLP
+>DECOY_NM_015060|224901_2_888_1018
+PLMKEMTEQWSKGSLEWTPIHFMLLPHLFLIMQVHTVKRFGVM
+>NM_015060|224903_2_1332_1498
+MACPWPSSQRDICVCLTWHCSSIIFSPMSPFGGLLLELLTSFFDNRNTSVMPLWK
+>DECOY_NM_015060|224903_2_1332_1498
+KWLPMVSTNRNDFFSTLLELLLGGFPSMPSFIISSCHWTLCVCIDRQSSPWPCAM
+>NM_015060|224907_2_1740_1825
+MGQLLLQHGRILTTTGCGTATSIQHLQK
+>DECOY_NM_015060|224907_2_1740_1825
+KQLHQISTATGCGTTTLIRGHQLLLQGM
+>NM_015060|224910_3_304_437
+MEVFALPCLTRWRTQLPGRYCVFSLATQKWKWSHSIWYLLLSTN
+>DECOY_NM_015060|224910_3_304_437
+NTSLLLYWISHSWKWKQTALSFVCYRGPLQTRWRTLCPLAFVEM
+>NM_015060|224911_3_1762_1901
+MEEYSQLQGVEQQQASSTCRNKSKPSISRPILSIRHEVKVLTFCSE
+>DECOY_NM_015060|224911_3_1762_1901
+ESCFTLVKVEHRISLIPRSISPKSKNRCTSSAQQQEVGQLQSYEEM
+>NM_018988|225029_2_1644_1759
+MGGPSPWPPPSTTACMPCAWWTPSRGPARRASGRTLPS
+>DECOY_NM_018988|225029_2_1644_1759
+SPLTRGSARRAPGRSPTWWACPMCATTSPPPWPSPGGM
+>NM_003740|225055_2_665_744
+MAMWLPRPPPVASSVFSMVSSGCRSA
+>DECOY_NM_003740|225055_2_665_744
+ASRCGSSVMSFVSSAVPPPRPLWMAM
+>NM_003740|225062_3_1575_1723
+MGRPGLPPTHLPGRQHHLREHGGWPLRRGDLQVLARGQLGRGGEPPAGG
+>DECOY_NM_003740|225062_3_1575_1723
+GGAPPEGGRGLQGRALVQLDGRRLPWGGHERLHHQRGPLHTPPLGPRGM
+>NM_007265|225117_2_667_755
+MGNCVLSLHQENLEQNLGYPPHPQQFHKH
+>DECOY_NM_007265|225117_2_667_755
+HKHFQQPHPPYGLNQELNEQHLSLVCNGM
+>NM_015231|225155_2_755_855
+MGRPCLPYHVLLGESLFLSYLLMTYLVWCQSWN
+>DECOY_NM_015231|225155_2_755_855
+NWSQCWVLYTMLLYSLFLSEGLLVHYPLCPRGM
+>NM_015231|225163_2_3395_3801
+MECGLAEKFELSGDLRNKATVIWLLSIVYDLFVQNMRGLCSQCLVQCMIALEHPLRGIMMENAQLPPQIDKLKSWNWKIWRKSVPWLASASLWLSMIHQRLQLLEVHQQRKWSLSWFRRASLTLPYHSVRLLSFP
+>DECOY_NM_015231|225163_2_3395_3801
+PFSLLRVSHYPLTLSARRFWSLSWKRQQHVELLQLRQHIMSLWLSASALWPVSKRWIKWNWSKLKDIQPPLQANEMMIGRLPHELAIMCQVLCQSCLGRMNQVFLDYVISLLWIVTAKNRLDGSLEFKEALGCEM
+>NM_015231|225167_2_3572_3801
+MENAQLPPQIDKLKSWNWKIWRKSVPWLASASLWLSMIHQRLQLLEVHQQRKWSLSWFRRASLTLPYHSVRLLSFP
+>DECOY_NM_015231|225167_2_3572_3801
+PFSLLRVSHYPLTLSARRFWSLSWKRQQHVELLQLRQHIMSLWLSASALWPVSKRWIKWNWSKLKDIQPPLQANEM
+>NM_006036|225225_2_384_493
+MVVLFITKKVVAWFVPKMKKQTMIIMKFYSIWRNLS
+>DECOY_NM_006036|225225_2_384_493
+SLNRWISYFKMIIMTQKKMKPVFWAVVKKTIFLVVM
+>NM_032148|225386_2_366_601
+MDYQLQYRLLVIDLSNTWSITVSQSSLFMPIMGTHHQAAAKSMMTMPIIITVMEGRLQKPLPCYKMKIYLVMVMKMLL
+>DECOY_NM_032148|225386_2_366_601
+LLMKMVMVLYIKMKYCPLPKQLRGEMVTIIIPMTMMSKAAAQHHTGMIPMFLSSQSVTISWTNSLDIVLLRYQLQYDM
+>NM_032148|225388_2_459_601
+MGTHHQAAAKSMMTMPIIITVMEGRLQKPLPCYKMKIYLVMVMKMLL
+>DECOY_NM_032148|225388_2_459_601
+LLMKMVMVLYIKMKYCPLPKQLRGEMVTIIIPMTMMSKAAAQHHTGM
+>NM_032148|225392_2_522_601
+MEGRLQKPLPCYKMKIYLVMVMKMLL
+>DECOY_NM_032148|225392_2_522_601
+LLMKMVMVLYIKMKYCPLPKQLRGEM
+>NM_032293|225441_2_528_667
+MDLWSCLFPVMLMEPSKGLEDSEWKMALQMRMQLPCLVLGEEQTCT
+>DECOY_NM_032293|225441_2_528_667
+TCTQEEGLVLCPLQMRMQLAMKWESDELGKSPEMLMVPFLCSWLDM
+>NM_032293|225451_2_2529_2731
+MEKLVCCCVTTTVASIKRFAPLMVALFWFNLLRQISSSVGTRLPMQLSVLSRISWPSPPTPWRSAWW
+>DECOY_NM_032293|225451_2_2529_2731
+WWASRWPTPPSPWSIRSLVSLQMPLRTGVSSSIQRLLNFWFLAVMLPAFRKISAVTTTVCCCVLKEM
+>NM_023925|225476_2_1121_1200
+MDSYALNTKLETSRKRSSNWRIIRIA
+>DECOY_NM_023925|225476_2_1121_1200
+AIRIIRWNSSRKRSTELKTNLAYSDM
+>NM_023925|225480_2_3104_3213
+MVALPFTQHRRMCFPDLLSHLSIAGDLLEDVLVVGD
+>DECOY_NM_023925|225480_2_3104_3213
+DGVVLVDELLDGAISLHSLLDPFCMRRHQTFPLAVM
+>NM_006089|225711_2_1247_1320
+METLALIWIPRESSSCLTTSARAR
+>DECOY_NM_006089|225711_2_1247_1320
+RARASTTLCSSSERPIWILALTEM
+>NM_019107|225725_3_296_414
+MADESGDQRRPPALHLHHLEAPGEVLSVLHTVQGRGAGR
+>DECOY_NM_019107|225725_3_296_414
+RGAGRGQVTHLVSLVEGPAELHHLHLAPPRRQDGSEDAM
+>NM_001033080|225742_2_82_191
+MEIDLAQKMKDLWVSEWLCIHLWQDPYSSQYLAILP
+>DECOY_NM_001033080|225742_2_82_191
+PLIALYQSSYPDQWLHICLWESVWLDKMKQALDIEM
+>NM_001033080|225746_3_623_732
+MGDHLVYGRFLHSWVYDGGDLWQNFCSIQKTCSCHQ
+>DECOY_NM_001033080|225746_3_623_732
+QHCSCTKQISCFNQWLDGGDYVWSHLFRGYVLHDGM
+>NM_017540|225764_2_274_587
+MVNWKQKRHPLSSFLPCRCGCVGAAWRTSPAPGWAISTGSMCPTRSRPESAWPGTLSGWPKCGWMSTQSTFTSAGLNTATSPLGMSQSRKSSAAPLTARVSSGL
+>DECOY_NM_017540|225764_2_274_587
+LGSSVRATLPAASSKRSQSMGLPSTATNLGASTFTSQTSMWGCKPWGSLTGPWASEPRSRTPCMSGTSIAWGPAPSTRWAAGVCGCRCPLFSSLPHRKQKWNVM
+>NM_003567|226106_2_505_596
+MASRRAHGRTGTAKPSPSGIHIFWTQLWNM
+>DECOY_NM_003567|226106_2_505_596
+MNWLQTWFIHIGSPSPKATGTRGHARRSAM
+>NM_002425|226136_2_521_723
+METFTLLMAQDTVWLMPTHLDLGFMEIFTLMMMKNGQKMHQAPIYSSLLLMNLATPWGSFTQPTLKL
+>DECOY_NM_002425|226136_2_521_723
+LKLTPQTFSGWPTALNMLLLSSYIPAQHMKQGNKMMMLTFIEMFGLDLHTPMLWVTDQAMLLTFTEM
+>NM_012418|226161_2_1213_1382
+MGSWRLSAILSARTKSSPSSSSTGPSWCCAAWTASSATTAAPTSWTPTAPSTTSST
+>DECOY_NM_012418|226161_2_1213_1382
+TSSTTSPATPTWSTPAATTASSATWAACCWSPGTSSSSPSSKTRASLIASLRWSGM
+>NM_001077182|226172_2_1213_1454
+MGSWRLSAILSGPHPARPGQGRWREGQRSRRSPRQARTKSSPSSSSTGPSWCCAAWTASSATTAAPTSWTPTAPSTTSST
+>DECOY_NM_001077182|226172_2_1213_1454
+TSSTTSPATPTWSTPAATTASSATWAACCWSPGTSSSSPSSKTRAQRPSRRSRQGERWRGQGPRAPHPGSLIASLRWSGM
+>NM_005908|226204_2_197_270
+MGTARWSCPGRSLAACTAPCSSRA
+>DECOY_NM_005908|226204_2_197_270
+ARSSCPATCAALSRGPCSWRATGM
+>NM_015308|226335_2_1426_1637
+MVVCQGLVHVLISASQHLKMECVGLCLKEENGRCLFELPVQNLPVGVLVKLDEILQKMEKLQLVLKIQKK
+>DECOY_NM_015308|226335_2_1426_1637
+KKQIKLVLQLKEMKQLIEDLKVLVGVPLNQVPLEFLCRGNEEKLCLGVCEMKLHQSASILVHVLGQCVVM
+>NM_015308|226336_2_1483_1637
+MECVGLCLKEENGRCLFELPVQNLPVGVLVKLDEILQKMEKLQLVLKIQKK
+>DECOY_NM_015308|226336_2_1483_1637
+KKQIKLVLQLKEMKQLIEDLKVLVGVPLNQVPLEFLCRGNEEKLCLGVCEM
+>NM_001676|226372_2_395_612
+MAQTSLWVSPAPELPSSWPGMGPTPSPLPSRRLRSSSSSSRWWGGSLSSCGWAPFSVGLHMGFSTPATSLHP
+>DECOY_NM_001676|226372_2_395_612
+PHLSTAPTSFGMHLGVSFPAWGCSSLSGGWWRSSSSSSRLRRSPLPSPTPGMGPWSSPLEPAPSVWLSTQAM
+>NM_001676|226373_2_455_612
+MGPTPSPLPSRRLRSSSSSSRWWGGSLSSCGWAPFSVGLHMGFSTPATSLHP
+>DECOY_NM_001676|226373_2_455_612
+PHLSTAPTSFGMHLGVSFPAWGCSSLSGGWWRSSSSSSRLRRSPLPSPTPGM
+>NM_001676|226382_3_2946_3088
+MDKVPEGIPRMDGLHGFLCWHPSPANSRSDHQENPEEFHLPAGSLQK
+>DECOY_NM_001676|226382_3_2946_3088
+KQLSGAPLHFEEPNEQHDSRSNAPSPHWCLFGHLGDMRPIGEPVKDM
+>NM_001676|226383_3_2976_3088
+MDGLHGFLCWHPSPANSRSDHQENPEEFHLPAGSLQK
+>DECOY_NM_001676|226383_3_2976_3088
+KQLSGAPLHFEEPNEQHDSRSNAPSPHWCLFGHLGDM
+>NM_006170|226397_2_530_681
+MELTPTLRMRRKVKRCCPLKELLGSRRPGKLLLGSSGVKRRPRTRRKRKK
+>DECOY_NM_006170|226397_2_530_681
+KKRKRRTRPRRKVGSSGLLLKGPRRSGLLEKLPCCRKVKRRMRLTPTLEM
+>NM_006170|226407_2_1427_1524
+MGASSPRWWGALTEYCWMLPAVALGSSPRIQP
+>DECOY_NM_006170|226407_2_1427_1524
+PQIRPSSGLAVAPLMWCYETLAGWWRPSSAGM
+>NM_005090|226452_2_1154_1338
+MAFWWPGSSPACTFNWRRQETRSPQSTEFSLWFLGPVRVRRRPLWALAPSASTAQPAGSRS
+>DECOY_NM_005090|226452_2_1154_1338
+SRSGAPQATSASPALAWLPRRRVRVPGLFWLSFETSQPSRTEQRRWNFTCAPSSGPWWFAM
+>NM_021238|226491_3_507_649
+MEEVASRIKKKLESCGRCKGWTQSKDYIETKESENSIWEQDKKQPDQ
+>DECOY_NM_021238|226491_3_507_649
+QDPQKKDQEWISNESEKTEIYDKSQTWGKCRGCSELKKKIRSAVEEM
+>NM_013390|226556_2_592_842
+MEIVVTHLAMFQGRLSHCVPLLLQRVKLQPNLPPSDEKTGQPSHSHLKNSKPREKVKSKRDTKILSFVLLLLVSHFLLHLQSF
+>DECOY_NM_013390|226556_2_592_842
+FSQLHLLFHSVLLLLVFSLIKTDRKSKVKERPKSNKLHSHSPQGTKEDSPPLNPQLKVRQLLLPVCHSLRGQFMALHTVVIEM
+>NM_013390|226560_2_1087_1280
+MVGRFILEQKNAAINPKRQLPCMASQMKVKVCQHLAKSLLVWKLAGHWSYMGHGRHRGRCWQGP
+>DECOY_NM_013390|226560_2_1087_1280
+PGQWCRGRHRGHGMYSWHGALKWVLLSKALHQCVKVKMQSAMCPLQRKPNIAANKQELIFRGVM
+>NM_013390|226567_2_2365_2561
+MVLNRGILCSTIWDSSPSRVLSCPPIGTTPCVPPCEIKCLEITFLCLLLTVWLFQLSGLLIPTII
+>DECOY_NM_013390|226567_2_2365_2561
+IITPILLGSLQFLWVTLLLCLFTIELCKIECPPVCPTTGIPPCSLVRSPSSDWITSCLIGRNLVM
+>NM_013390|226570_2_2977_3083
+MEASQVMKVPAKRYLNLSLLGRAGITAFRVVRTSM
+>DECOY_NM_013390|226570_2_2977_3083
+MSTRVVRFATIGARGLLSLNLYRKAPVKMVQSAEM
+>NM_013390|226573_2_3163_3248
+MGPFISQGALSKNMCQLQIGTAVQLASS
+>DECOY_NM_013390|226573_2_3163_3248
+SSALQVATGIQLQCMNKSLAGQSIFPGM
+>NM_013390|226576_2_3685_4316
+MGRHHGLHFYTSSTSTRMTGFELAFAIHQTQVFKLPLAICSGRMAHYPKSKNMSLCIHWKNCKESNPRGNSILTPARGYCFCISKPKATGMATVTVHLRDVKESRSKQPQTQRTSVTAWPKHTHSTTESRQWSSGCRPCSLDSVKAVALGRWCLLVILIKVTSLCNSSHLIKQKPSVETRLLFLSMALTLPSEVQASSSLLWIRAAFHSA
+>DECOY_NM_013390|226576_2_3685_4316
+ASHFAARIWLLSSSAQVESPLTLAMSLFLLRTEVSPKQKILHSSNCLSTVKILIVLLCWRGLAVAKVSDLSCPRCGSSWQRSETTSHTHKPWATVSTRQTQPQKSRSEKVDRLHVTVTAMGTAKPKSICFCYGRAPTLISNGRPNSEKCNKWHICLSMNKSKPYHAMRGSCIALPLKFVQTQHIAFALEFGTMRTSTSSTYFHLGHHRGM
+>NM_013390|226578_2_3814_4316
+MAHYPKSKNMSLCIHWKNCKESNPRGNSILTPARGYCFCISKPKATGMATVTVHLRDVKESRSKQPQTQRTSVTAWPKHTHSTTESRQWSSGCRPCSLDSVKAVALGRWCLLVILIKVTSLCNSSHLIKQKPSVETRLLFLSMALTLPSEVQASSSLLWIRAAFHSA
+>DECOY_NM_013390|226578_2_3814_4316
+ASHFAARIWLLSSSAQVESPLTLAMSLFLLRTEVSPKQKILHSSNCLSTVKILIVLLCWRGLAVAKVSDLSCPRCGSSWQRSETTSHTHKPWATVSTRQTQPQKSRSEKVDRLHVTVTAMGTAKPKSICFCYGRAPTLISNGRPNSEKCNKWHICLSMNKSKPYHAM
+>NM_013390|226580_2_3955_4316
+MATVTVHLRDVKESRSKQPQTQRTSVTAWPKHTHSTTESRQWSSGCRPCSLDSVKAVALGRWCLLVILIKVTSLCNSSHLIKQKPSVETRLLFLSMALTLPSEVQASSSLLWIRAAFHSA
+>DECOY_NM_013390|226580_2_3955_4316
+ASHFAARIWLLSSSAQVESPLTLAMSLFLLRTEVSPKQKILHSSNCLSTVKILIVLLCWRGLAVAKVSDLSCPRCGSSWQRSETTSHTHKPWATVSTRQTQPQKSRSEKVDRLHVTVTAM
+>NM_013390|226586_3_2600_2691
+MVFIPQGTNWGIQWIAALGKTRTHSIGYIL
+>DECOY_NM_013390|226586_3_2600_2691
+LIYGISHTRTKGLAAIWQIGWNTGQPIFVM
+>NM_014976|226751_2_1249_1454
+MGFWPMPGSAISLILRTSSILRPSSQGTLTSVELLTTAKWMNWPCSLYERLLLKLSTLDIMTSNLGQW
+>DECOY_NM_014976|226751_2_1249_1454
+WQGLNSTMIDLTSLKLLLREYLSCPWNMWKATTLLEVSTLTGQSSPRLISSTRLILSIASGPMPWFGM
+>NM_014976|226755_2_2032_2171
+MGWRWLSCPTTSVLSSPHLICRTTLPTAHCYIIGSRQVTSFTESCV
+>DECOY_NM_014976|226755_2_2032_2171
+VCSETFSTVQRSGIIYCHATPLTTRCILHPSSLVSTTPCSLWRWGM
+>NM_014976|226758_2_3466_3554
+MATLLLTLTLLAPWRRLNSTRPARLLLAS
+>DECOY_NM_014976|226758_2_3466_3554
+SALLLRAPRTSNLRRWPALLTLTLLLTAM
+>NM_014976|226763_2_5620_5693
+MALRRMCRQSRPRPWSMWRPRAQC
+>DECOY_NM_014976|226763_2_5620_5693
+CQARPRWMSWPRPRSQRCMRRLAM
+>NM_152267|226779_2_273_658
+MALARAEGRTALSSATSAWTQPRMPSSACVATSSVGRVYISGWRPDLTDRCVLFAKLASAETRSSPSMEGAALGNRTPERRPLLVLKDRGQSRRIEGDFKDLDLEMVASRCLLELGHFPLGYLPQHLI
+>DECOY_NM_152267|226779_2_273_658
+ILHQPLYGLPFHGLELLCRSAVMELDLDKFDGEIRRSQGRDKLVLLPRREPTRNGLAAGEMSPSSRTEASALKAFLVCRDTLDPRWGSIYVRGVSSTAVCASSPMRPQTWASTASSLATRGEARALAM
+>NM_152267|226783_2_663_751
+MGGLLQLSLGHPSMWTSSSCHASSYLWPW
+>DECOY_NM_152267|226783_2_663_751
+WPWLYSSAHCSSSTWMSPHGLSLQLLGGM
+>NM_001135825|226813_2_273_490
+MALARAEGRTALSSATSAWTQPRMPSSACVATSSVGRVYIRDFKDLDLEMVASRCLLELGHFPLGYLPQHLI
+>DECOY_NM_001135825|226813_2_273_490
+ILHQPLYGLPFHGLELLCRSAVMELDLDKFDRIYVRGVSSTAVCASSPMRPQTWASTASSLATRGEARALAM
+>NM_005922|226923_2_3698_3855
+MAALLLLLLLLLLLLLPVGPAPLVVTLCCPNPSAVPMIPGVPAFLKMIDWLP
+>DECOY_NM_005922|226923_2_3698_3855
+PLWDIMKLFAPVGPIMPVASPNPCCLTVVLPAPGVPLLLLLLLLLLLLLAAM
+>NM_033141|226949_2_67_212
+MEQGPGPRRRRRRRRRRRRRWAPGSWAATRRCPTGRPCSSTRRRARTS
+>DECOY_NM_033141|226949_2_67_212
+STRARRRTSSCPRGTPCRRTAAWSGPAWRRRRRRRRRRRRRPGPGQEM
+>NM_033141|226951_2_1540_1691
+MATASASLLISSTSSRCRPPLPWIKGRVLSTAAPVLLQAPPSFLAFEPSS
+>DECOY_NM_033141|226951_2_1540_1691
+SSPEFALFSPPAQLLVPAATSLVRGKIWPLPPRCRSSTSSILLSASATAM
+>NM_033141|226953_2_2164_2240
+MAMAPPVMESMRSPPQSTRPRVPLS
+>DECOY_NM_033141|226953_2_2164_2240
+SLPVRPRTSQPPSRMSEMVPPAMAM
+>NM_033141|226966_3_899_990
+MAPNHQDECGRDVCLDGTRSHPGLHVFQRQ
+>DECOY_NM_033141|226966_3_899_990
+QRQFVHLGPHSRTGDLCVDRGCEDQHNPAM
+>NM_173833|226985_2_1488_1612
+MGSWGPQDQWACVGSKVTEAQKERKERKETELGMPVAWRPR
+>DECOY_NM_173833|226985_2_1488_1612
+RPRWAVPMGLETEKREKREKQAETVKSGVCAWQDQPGWSGM
+>NM_020829|227133_2_526_623
+MVKLDLLHQCQVDLLQSSFMEFGHKMLLTERV
+>DECOY_NM_020829|227133_2_526_623
+VRETLLMKHGFEMFSSQLLDVQCQHLLDLKVM
+>NM_018129|227241_2_466_590
+MASASSLTSRVEKEKSWTLIPLLPLSSTGSHLTVRCVWKAL
+>DECOY_NM_018129|227241_2_466_590
+LAKWVCRVTLHSGTSSLPLLPILTWSKEKEVRSTLSSASAM
+>NM_052820|227313_2_1425_1510
+MAGGLPPCWRRRCQGGQQNTGWRRRKPG
+>DECOY_NM_052820|227313_2_1425_1510
+GPKRRRWGTNQQGGQCRRRWCPPLGGAM
+>NM_052820|227318_3_121_272
+MAPPVPELQVPSCLWQTSQQGELLRLRAYHPQRSRQPLLCREPPLHCSCD
+>DECOY_NM_052820|227318_3_121_272
+DCSCHLPPERCLLPQRSRQPHYARLRLLEGQQSTQWLCSPVQLEPVPPAM
+>NM_016506|227379_2_1018_1229
+MVETCMWWEGPSHGACGSATMPPLTGSGVLLCLGTGSSTPWCLCPGKMPYIHWVARHCKIPSPTQSFIIA
+>DECOY_NM_016506|227379_2_1018_1229
+AIIFSQTPSPIKCHRAVWHIYPMKGPCLCWPTSSGTGLCLLVGSGTLPPMTASGCAGHSPGEWWMCTEVM
+>NM_138378|227401_3_716_795
+MAQQLASGCHGSPGGAHFKRRVSIQL
+>DECOY_NM_138378|227401_3_716_795
+LQISVRRKFHAGGPSGHCGSALQQAM
+>NM_153211|227416_2_766_869
+MAFFTFAYPWCPQTCSKSSTCWVFLETAYRGFLH
+>DECOY_NM_153211|227416_2_766_869
+HLFGRYATELFVWCTSSKSCTQPCWPYAFTFFAM
+>NM_001286|227492_2_1482_1618
+MVLSAPSLWPCSSFSISCLHVGLTAFLFQVAFLCLLCCVELLLDV
+>DECOY_NM_001286|227492_2_1482_1618
+VDLLLEVCCLLCLFAVQFLFATLGVHLCSISFSSCPWLSPASLVM
+>NM_001286|227507_3_1879_2036
+MGDRGGNGQAESQRHHGAQPDLRLPAHPHPVSGEHPAHHGPPCLPGGHREPR
+>DECOY_NM_001286|227507_3_1879_2036
+RPERHGGPLCPPGHHAPHEGSVPHPHAPLRLDPQAGHHRQSEAQGNGGRDGM
+>NM_018355|227577_2_681_778
+MGLISSVLHYSHKNRNHALGKNLTDILSATKP
+>DECOY_NM_018355|227577_2_681_778
+PKTASLIDTLNKGLAHNRNKHSYHLVSSILGM
+>NM_014806|227604_2_523_785
+MEEALEPPNDTTPSCCRRVWVSQDLVTCMMTALVTVPPSSPSTCMALASPTFIYPLSSCHHLAPEWAGHGGQHAVGLEWWKGRNRSQ
+>DECOY_NM_014806|227604_2_523_785
+QSRNRGKWWELGVAHQGGHGAWEPALHHCSSLPYIFTPSALAMCTSPSSPPVTVLATMMCTVLDQSVWVRRCCSPTTDNPPELAEEM
+>NM_014806|227613_2_1300_1535
+MVALMPLLLSLMPTATPTAHTVSRAQQWLTSQPASKARPVLLWPHKITINLSPVTYLPNHPQALLALPSLAALRNTPR
+>DECOY_NM_014806|227613_2_1300_1535
+RPTNRLAALSPLALLAQPHNPLYTVPSLNITIKHPWLLVPRAKSAPQSTLWQQARSVTHATPTATPMLSLLLPMLAVM
+>NM_014806|227619_2_3403_3488
+MGSRPLYWTSSSGSVRTCHGVWLRLPHS
+>DECOY_NM_014806|227619_2_3403_3488
+SHPLRLWVGHCTRVSGSSSTWYLPRSGM
+>NM_014806|227624_3_728_864
+MGDNTQSGWSGGRAGTGASDDLGYSAVRHQPLLPARAGSRDYGAG
+>DECOY_NM_014806|227624_3_728_864
+GAGYDRSGARAPLLPQHRVASYGLDDSAGTGARGGSWGSQTNDGM
+>NM_032265|227684_2_370_479
+MGRKGRRKRRKMKKKRRERTGVQAAQRRWNQRRTGS
+>DECOY_NM_032265|227684_2_370_479
+SGTRRQNWRRQAAQVGTRERRKKKMKRRKRRGKRGM
+>NM_032265|227686_2_565_668
+MAAERTGWRTKQDPRRGRDRGVRLPPCTFPVSYL
+>DECOY_NM_032265|227686_2_565_668
+LYSVPFTCPPLRVGRDRGRRPDQKTRWGTREAAM
+>NM_032265|227689_2_1558_1796
+MACPPKATSSILPCRGTAWRCLSGLVPAYQHGPALALRRKGAAGTCRSRCQQGPTTCSRGPSLTWLLDLTPGLLSRIRG
+>DECOY_NM_032265|227689_2_1558_1796
+GRIRSLLGPTLDLLWTLSPGRSCTTPGQQCRSRCTGAAGKRRLALAPGHQYAPVLGSLCRWATGRCPLISSTAKPPCAM
+>NM_032265|227691_3_926_1002
+MGSPARLHLCFPSCSNLPCVSQAQL
+>DECOY_NM_032265|227691_3_926_1002
+LQAQSVCPLNSCSPFCLHLRAPSGM
+>NM_015241|227735_2_1020_1186
+MVGGTPWKGFVGKNSVANWPSPSRQILSTEIQQQKLKWKRSVVWLLYSTKNFSRN
+>DECOY_NM_015241|227735_2_1020_1186
+NRSFNKTSYLLWVVSRKWKLKQQQIETSLIQRSPSPWNAVSNKGVFGKWPTGGVM
+>NM_015241|227738_2_1434_1528
+MGSPMWPCLTSLVCMPPRTPPWCGSRTDTSY
+>DECOY_NM_015241|227738_2_1434_1528
+YSTDTRSGCWPPTRPPMCVLSTLCPWMPSGM
+>NM_015241|227742_2_2778_2968
+MVNSTVSHTTAIDSLATHKGRDRQWLPCLERRPKDPCRMAPPQMQTDGPTPWPAPLREPQVQA
+>DECOY_NM_015241|227742_2_2778_2968
+AQVQPERLPAPWPTPGDTQMQPPAMRCPDKPRRELCPLWQRDRGKHTALSDIATTHSVTSNVM
+>NM_015241|227743_2_2892_2968
+MAPPQMQTDGPTPWPAPLREPQVQA
+>DECOY_NM_015241|227743_2_2892_2968
+AQVQPERLPAPWPTPGDTQMQPPAM
+>NM_015241|227747_2_3621_3745
+MGTQGTLVLSWMMISTGLTARRMLTESCVCRAQLRGKQSWS
+>DECOY_NM_015241|227747_2_3621_3745
+SWSQKGRLQARCVCSETLMRRATLGTSIMMWSLVLTGQTGM
+>NM_001136004|227821_2_3150_3400
+MVNSTVSHTTAIDSLATHKGRDRQWLPCLERRPKDPCRMAPPQMQTDGPTPWPAPLREPQVASLPCLAGWRVTPSASVTRPRA
+>DECOY_NM_001136004|227821_2_3150_3400
+ARPRTVSASPTVRWGALCPLSAVQPERLPAPWPTPGDTQMQPPAMRCPDKPRRELCPLWQRDRGKHTALSDIATTHSVTSNVM
+>NM_001136004|227822_2_3264_3400
+MAPPQMQTDGPTPWPAPLREPQVASLPCLAGWRVTPSASVTRPRA
+>DECOY_NM_001136004|227822_2_3264_3400
+ARPRTVSASPTVRWGALCPLSAVQPERLPAPWPTPGDTQMQPPAM
+>NM_001136037|227969_2_715_893
+MGSCTMSTASCVPSASGPSPRGSSMSLKAGSTANTTSKCCLLRAVDPAVSSSLAASSRP
+>DECOY_NM_001136037|227969_2_715_893
+PRSSAALSSSVAPDVARLLCCKSTTNATSGAKLSMSSGRPSPGSASPVCSATSMTCSGM
+>NM_003626|228069_2_1765_1967
+MADPTWAVSQISGSPWQTATQTPTAPVQCCGAHRKAGWQPCEMSLPRYKLLMSRIGNVPSKLVSWQM
+>DECOY_NM_003626|228069_2_1765_1967
+MQWSVLKSPVNGIRSMLLKYRPLSMECPQWGAKRHAGCCQVPATPTQTATQWPSGSIQSVAWTPDAM
+>NM_001135789|228118_2_632_816
+MALVTSPSMGRNLMMRTSSESIQVLASCPWQMLDPTQMVPSFSSVLPRLSGWMASMWPLAR
+>DECOY_NM_001135789|228118_2_632_816
+RALPWMSAMWGSLRPLVSSFSPVMQTPDLMQWPCSALVQISESSTRMMLNRGMSPSTVLAM
+>NM_001101404|228162_2_790_905
+MGQFQLAARPTPQAGRPKGDSQMENRTGLMAWGLSFLG
+>DECOY_NM_001101404|228162_2_790_905
+GLFSLGWAMLGTRNEMQSDGKPRGAQPTPRAALQFQGM
+>NM_001010917|228175_2_150_232
+MGPSVSSRPNSPQSWTAGSSGSSLKRL
+>DECOY_NM_001010917|228175_2_150_232
+LRKLSSGSSGATWSQPSNPRSSVSPGM
+>NM_020733|228291_2_3057_3145
+MANASQTTPAVATTAGARLPGKGMIAVWM
+>DECOY_NM_020733|228291_2_3057_3145
+MWVAIMGKGPLRAGATTAVAPTTQSANAM
+>NM_020733|228306_3_802_893
+MDCAQPRGHHFGLEPVLSSCFGDGRADHAF
+>DECOY_NM_020733|228306_3_802_893
+FAHDARGDGFCSSLVPELGFHHGRPQACDM
+>NM_020733|228307_3_2200_2381
+MVFLTITFTSILNDIYICPTFCLTNNLATVIFYPCPAQGKGDSCDFISDINNDIIHDNAP
+>DECOY_NM_020733|228307_3_2200_2381
+PANDHIIDNNIDSIFDCSDGKGQAPCPYFIVTALNNTLCFTPCIYIDNLISTFTITLFVM
+>NM_018325|228328_2_407_501
+MGIAAHMDYQLYFHRQNLVSTSHFIECVLID
+>DECOY_NM_018325|228328_2_407_501
+DILVCEIFHSTSVLNQRHFYLQYDMHAAIGM
+>NM_015053|228444_2_1629_1825
+MVLLWSPGWSSGWGCLPGMWQPAGPTSRVVPSCPLCRTQRSSGRSASAMPCTGSSSAWPFRRWCH
+>DECOY_NM_015053|228444_2_1629_1825
+HCWRRFPWASSSGTCPMASASRGSSRQTRCLPCSPVVRSTPGAPQWMGPLCGWGSSWGPSWLLVM
+>NM_006816|228493_2_784_908
+MAPCPTTTARMGAGPSWRAARLTSATAITTPSWLCATPGAV
+>DECOY_NM_006816|228493_2_784_908
+VAGPTACLWSPTTIATASTLRAARWSPGAGMRATTTPCPAM
+>NM_006816|228494_2_814_908
+MGAGPSWRAARLTSATAITTPSWLCATPGAV
+>DECOY_NM_006816|228494_2_814_908
+VAGPTACLWSPTTIATASTLRAARWSPGAGM
+>NM_012093|228550_2_2146_2219
+MDLHISQLASSCVRNWHQNLKEAN
+>DECOY_NM_012093|228550_2_2146_2219
+NAEKLNQHWNRVCSSALQSIHLDM
+>NM_012093|228557_3_1112_1203
+MGYICKPGKEDLTSTKWRTVTEILSKKCNA
+>DECOY_NM_012093|228557_3_1112_1203
+ANCKKSLIETVTRWKTSTLDEKGPKCIYGM
+>NM_012093|228558_3_1484_1578
+MESYCQDNYNWRIGPTGNNNYRDKTKIDANT
+>DECOY_NM_012093|228558_3_1484_1578
+TNADIKTKDRYNNNGTPGIRWNYNDQCYSEM
+>NM_003132|228572_2_689_888
+MVSSAARASASGCTWTSSRRCGSSASPCSPWWPMPTAPSPPTPAARSASCCAARTRARTSRSRCSR
+>DECOY_NM_003132|228572_2_689_888
+RSCRSRSTRARTRAACCSASRAAPTPPSPATPMPWWPSCPSASSGCRRSSTWTCGSASARAASSVM
+>NM_007055|228620_2_3053_3126
+MASMITAQQSPVCCTSWTASPPPK
+>DECOY_NM_007055|228620_2_3053_3126
+KPPPSATWSTCCVPSQQATIMSAM
+>NM_013352|228677_3_815_996
+MGISIPAQSSAHQLYGFAHGKPSPDESRISSRSLLMDQTSSDHHGEISGLAQGGDGWLPL
+>DECOY_NM_013352|228677_3_815_996
+LPLWGDGGQALGSIEGHHDSSTQDMLLSRSSIRSEDPSPKGHAFGYLQHASSQAPISIGM
+>NM_020726|228710_2_2004_2083
+MANIMDIFGVKYFPWICFTAVLKKKG
+>DECOY_NM_020726|228710_2_2004_2083
+GKKKLVATFCIWPFYKVGFIDMINAM
+>NM_003774|228733_2_1770_1894
+MGSLYQQTLFGILKKMELFFTHTQDCVLVLIGHRRADLMYK
+>DECOY_NM_003774|228733_2_1770_1894
+KYMLDARRHGILVLVCDQTHTFFLEMKKLIGFLTQQYLSGM
+>NM_003774|228734_2_1815_1894
+MELFFTHTQDCVLVLIGHRRADLMYK
+>DECOY_NM_003774|228734_2_1815_1894
+KYMLDARRHGILVLVCDQTHTFFLEM
+>NM_002108|228858_2_421_518
+MVASPPWMTRTSLCAGARAWACWTTRTGSRWP
+>DECOY_NM_002108|228858_2_421_518
+PWRSGTRTTWCAWARAGACLSTRTMWPPSAVM
+>NM_002108|228865_3_329_411
+MAGSALPGRAAHCGLAGPGGREALYQE
+>DECOY_NM_002108|228865_3_329_411
+EQYLAERGGPGALGCHAARGPLASGAM
+>NM_002108|228866_3_1904_2037
+MGSKESPQGHRACGASAGHRAPCSLPGHRVSTSPENNHSAGEGL
+>DECOY_NM_002108|228866_3_1904_2037
+LGEGASHNNEPSTSVRHGPLSCPARHGASAGCARHGQPSEKSGM
+>NM_012475|228919_2_1782_1906
+MATTQPCAGARLVGMSTMTLVSPLSVKTRWHPARATCCSTN
+>DECOY_NM_012475|228919_2_1782_1906
+NTSCCTARAPHWRTKVSLPSVLTMTSMGVLRAGACPQTTAM
+>NM_015205|228979_2_605_795
+MGRATSPPPAWMENPAIKRITRSRTPKASTQRRISADFTPPSSVSSPSPTSTSSWVASTFTVT
+>DECOY_NM_015205|228979_2_605_795
+TVTFTSAVWSSTSTPSPSSVSSPPTFDASIRRQTSAKPTRSRTIRKIAPNEMWAPPPSTARGM
+>NM_022894|229088_3_914_1038
+MGKTTWYLFQHARIPWWCLLGNASCKNLPIVSKCSSIYFSS
+>DECOY_NM_022894|229088_3_914_1038
+SSFYISSCKSVIPLNKCSANGLLCWWPIRAHQFLYWTTKGM
+>NM_022894|229089_3_1067_1152
+MAKSCAAEATRRKQFEFACLGSSGKSIR
+>DECOY_NM_022894|229089_3_1067_1152
+RISKGSSGLCAFEFQKRRTAEAACSKAM
+>NM_170726|229098_2_314_408
+MDIRWPSSVMQTRACSTKPLRLPWLPGKSGT
+>DECOY_NM_170726|229098_2_314_408
+TGSKGPLWPLRLPKTSCARTQMVSSPWRIDM
+>NM_024889|229126_2_1180_1286
+MGTSTCKNKAQELIGVFPLPMWKHRPQMTKRGRPH
+>DECOY_NM_024889|229126_2_1180_1286
+HPRGRKTMQPRHKWMPLPFVGILEQAKNKCTSTGM
+>NM_131916|229153_2_794_1020
+MAVYFIGISRVSWFKQEIQQELEEEATVFGARSLRMNTVNILSTMLEVLYLWLIMARTPMDLSSSSPMANSHIWT
+>DECOY_NM_131916|229153_2_794_1020
+TWIHSNAMPSSSSLDMPTRAMILWLYLVELMTSLINVTNMRLSRAGFVTAEEELEQQIEQKFWSVRSIGIFYVAM
+>NM_138395|229170_3_1518_1639
+MEAELGEPSGCSLAGYCASCGLGMFASLWDFAAACHPKPS
+>DECOY_NM_138395|229170_3_1518_1639
+SPKPHCAAAFDWLSAFMGLGCSACYGALSCGSPEGLEAEM
+>NM_001995|229276_2_1187_1287
+MELKSDFSKEISGCSWMTSRCFNPLSSPWFQDC
+>DECOY_NM_001995|229276_2_1187_1287
+CDQFWPSSLPNFCRSTMWSCGSIEKSFDSKLEM
+>NM_001995|229280_3_1338_1543
+MALGLCLQEERSRASQRHHQKQQPVGPADLPQSTVEPGRKSPADGDRSRPGVCHCADVPQSSPGLSVL
+>DECOY_NM_001995|229280_3_1338_1543
+LVSLGPSSQPVDACHCVGPRSRDGDAPSKRGPEVTSQPLDAPGVPQQKQHHRQSARSREEQLCLGLAM
+>NM_032472|229326_3_354_466
+MARSRLTATSASWVQASLLPQPPENWKRRQQYLGQEV
+>DECOY_NM_032472|229326_3_354_466
+VEQGLYQQRRKWNEPPQPLLSAQVWSASTATLRSRAM
+>NM_020214|229345_2_640_746
+MELSMTWTLTSTSTSASSMRKSLQPGRSSGQNLLC
+>DECOY_NM_020214|229345_2_640_746
+CLLNQGSSRGPQLSKRMSSASTSTSTLTWTMSLEM
+>NM_020214|229349_2_2191_2324
+MGTSGCALCPTMSAQDSSLYMRMVRWAMPTLILRTPRYRRKSCV
+>DECOY_NM_020214|229349_2_2191_2324
+VCSKRRYRPTRLILTPMAWRVMRMYLSSDQASMTPCLACGSTGM
+>NM_032020|229367_2_903_976
+MVASIPAVIVITQDIFCHINGKTA
+>DECOY_NM_032020|229367_2_903_976
+ATKGNIHCFIDQTIVIVAPISAVM
+>NM_002372|229551_2_1216_1373
+MVRKVHKAISAKVLAHIFCPHNYPSQLTLQTVCLLHKVEVTIQMCRCWMFTV
+>DECOY_NM_002372|229551_2_1216_1373
+VTFMWCRCMQITVEVKHLLCVTQLTLQSPYNHPCFIHALVKASIAKHVKRVM
+>NM_002372|229560_2_3349_3449
+MEPQLKETKVVPTSSYLMVMPSLMFTQHRPLSE
+>DECOY_NM_002372|229560_2_3349_3449
+ESLPRHQTFMLSPMVMLYSSTPVVKTEKLQPEM
+>NM_004278|229570_3_76_182
+MGLPLGLGLLRTNEESGAGRTAGSRKPDPAGHSAP
+>DECOY_NM_004278|229570_3_76_182
+PASHGAPDPKRSGATRGAGSEENTRLLGLGLPLGM
+>NM_001008219|229584_2_751_887
+MVNVKLEVEISRTIMMLLRSEIVVCLVFSILHWGRIMCVLRLPNI
+>DECOY_NM_001008219|229584_2_751_887
+INPLRLVCMIRGWHLISFVLCVVIESRLLMMITRSIEVELKVNVM
+>NM_001008219|229588_2_1252_1352
+MALEEPLYLPSGMLGCTKWQLDLCLLILMDLHE
+>DECOY_NM_001008219|229588_2_1252_1352
+EHLDMLILLCLDLQWKTCGLMGSPLYLPEELAM
+>NM_001008219|229591_2_1573_1652
+MGATKWLLGEETEDSLFSTMMTGHFL
+>DECOY_NM_001008219|229591_2_1573_1652
+LFHGTMMTSFLSDETEEGLLWKTAGM
+>NM_007170|229617_2_1574_1860
+MVLPAPPKSTLLVLARTSWGARSSFLTCPASLSSLWYLTWMHQGPELCPWLTGRSPWPHLFAGGVPCLVRLSSCIKRLVHLWAGKNRYLMGPHHA
+>DECOY_NM_007170|229617_2_1574_1860
+AHHPGMLYRNKGAWLHVLRKICSSLRVLCPVGGAFLHPWPSRGTLWPCLEPGQHMWTLYWLSSLSAPCTLFSSRAGWSTRALVLLTSKPPAPLVM
+>NM_005021|229658_2_1530_1642
+MVITMSLGAWRLSFWHMDPVLKRRLKLNHLKILKSIT
+>DECOY_NM_005021|229658_2_1530_1642
+TISKLIKLHNLKLRRKLVPDMHWFSLRWAGLSMTIVM
+>NM_004184|229679_2_598_674
+MAQMPQKLKRILWTHGQYRQAVQKA
+>DECOY_NM_004184|229679_2_598_674
+AKQVAQRYQGHTWLIRKLKQPMQAM
+>NM_198994|229888_2_107_192
+MALPTTPRSTPALSWWFAGASRSASRWS
+>DECOY_NM_198994|229888_2_107_192
+SWRSASRSAGAFWWSLAPTSRPTTPLAM
+>NM_198994|229890_2_1109_1434
+MAGRFWMPPPRRRVKVCSGAAQPQSPPSARVMCTWLTMAPSCLRRSTPTTSPGCGTRMRAGSVYTQTRRRLGDASAPRRWAVTPAWTSLTSTSIRKGPGKRGRCTARR
+>DECOY_NM_198994|229890_2_1109_1434
+RRATCRGRKGPGKRISTSTLSTWAPTVAWRRPASADGLRRRTQTYVSGARMRTGCGPSTTPTSRRLCSPAMTLWTCMVRASPPSQPQAAGSCVKVRRRPPPMWFRGAM
+>NM_198994|229893_2_1220_1434
+MAPSCLRRSTPTTSPGCGTRMRAGSVYTQTRRRLGDASAPRRWAVTPAWTSLTSTSIRKGPGKRGRCTARR
+>DECOY_NM_198994|229893_2_1220_1434
+RRATCRGRKGPGKRISTSTLSTWAPTVAWRRPASADGLRRRTQTYVSGARMRTGCGPSTTPTSRRLCSPAM
+>NM_017778|229964_2_645_946
+MVARHHMKLLCSKAFSTQLQQKIFLHSQMGIHHQSVCMKLKPNTSHIISILMGQPMALVQLETLAPLTIIIQKFQTQDHMKFWKNLPLHSHHLLLRYHKL
+>DECOY_NM_017778|229964_2_645_946
+LKHYRLLLHHSHLPLNKWFKMHDQTQFKQIIITLPALTELQVLAMPQGMLISIIHSTNPKLKMCVSQHHIGMQSHLFIKQQLQTSFAKSCLLKMHHRAVM
+>NM_030821|229992_2_506_585
+MVINPPHRMDVALHCLVFILTLVSLP
+>DECOY_NM_030821|229992_2_506_585
+PLSVLTLIFVLCHLAVDMRHPPNIVM
+>NM_005747|230008_2_71_225
+MAHLPLTLPAALSMVRMRSPTAGPGRFPCSMRKVEASTTRVAVASSPPIGL
+>DECOY_NM_005747|230008_2_71_225
+LGIPPSSAVAVRTTSAEVKRMSCPFRGPGATPSRMRVMSLAAPLTLPLHAM
+>NM_017807|230026_2_417_517
+MARCWRTRGGLTSRLLAQDSFQVIQPGITELLS
+>DECOY_NM_017807|230026_2_417_517
+SLLETIGPQIVQFSDQALLRSTLGGRTRWCRAM
+>NM_145059|230045_2_1598_1776
+MGARPCEPGGPPGACPGSSCSRAWIGLPRWPLAGTCSSARPCIRRGTCWRPGRTSACAR
+>DECOY_NM_145059|230045_2_1598_1776
+RACASTRGPRWCTGRRICPRASSCTGALPWRPLGIWARSCSSGPCAGPPGGPECPRAGM
+>NM_001112808|230088_2_269_348
+MEDQHFVPFNVWKSYMEINGILLPSY
+>DECOY_NM_001112808|230088_2_269_348
+YSPLLIGNIEMYSKWVNFPVFHQDEM
+>NM_001112808|230090_2_785_864
+MELMSIFKMQFFSLHCILQRTMDMNR
+>DECOY_NM_001112808|230090_2_785_864
+RNMDMTRQLICHLSFFQMKFISMLEM
+>NM_001112808|230093_2_1334_1416
+MGTLDYTLLATTVTFAWFSSYWIMELI
+>DECOY_NM_001112808|230093_2_1334_1416
+ILEMIWYSSFWAFTVTTALLTYDLTGM
+>NM_005248|230116_2_328_464
+MGLTPLRPGLHPHLPTSPTTATSPLRPSTLASLIVAPSGVCQGLG
+>DECOY_NM_005248|230116_2_328_464
+GLGQCVGSPAVILSALTSPRLPSTATTPSTPLHPHLGPRLPTLGM
+>NM_006112|230132_2_662_792
+MALGASPSMGRSSMMKTLSSSIRDQVYYPWPTLAQTPMALSSS
+>DECOY_NM_006112|230132_2_662_792
+SSSLAMPTQALTPWPYYVQDRISSSLTKMMSSRGMSPSAGLAM
+>NM_001263|230151_2_637_779
+MEIWIPEQILIFRKFHHPQIEPLRFSKKLYLVYLQGGKTGGYVEFSL
+>DECOY_NM_001263|230151_2_637_779
+LSFEVYGGTKGGQLYVLYLKKSFRLPEIQPHHFKRFILIQEPIWIEM
+>NM_020692|230183_3_1634_1728
+MEEKRIFHPAFSQWPLPGDKACPAGDQQVSG
+>DECOY_NM_020692|230183_3_1634_1728
+GSVQQDGAPCAKDGPLPWQSFAPHFIRKEEM
+>NM_006212|230213_2_878_963
+MEKASSISWGRLGVTLASRCGESSLPKL
+>DECOY_NM_006212|230213_2_878_963
+LKPLSSEGCRSALTVGLRGWSISSAKEM
+>NM_004121|230448_2_877_1043
+MAACPGRSCSSPPSRCSEGGMWWPLSSAVSCTTASCGLPCRRQPCASSSSTGQNP
+>DECOY_NM_004121|230448_2_877_1043
+PNQGTSSSSACPQRRCPLGCSATTCSVASSLPWWMGGESCRSPPSSCSRGPCAAM
+>NM_004121|230454_2_1606_1754
+MAAPWLPPAPSTHPLERWCIHHGQASSSTTSSWTYASDAPGVPAPPPHL
+>DECOY_NM_004121|230454_2_1606_1754
+LHPPPAPVGPADSAYTWSSTTSSSAQGHHICWRELPHTSPAPPLWPAAM
+>NM_001099781|230481_2_1606_1757
+MAAPWLPPAPSTHPLERWCIHHGQASSSTTSSWTYASDAPGVPAPPPHLQ
+>DECOY_NM_001099781|230481_2_1606_1757
+QLHPPPAPVGPADSAYTWSSTTSSSAQGHHICWRELPHTSPAPPLWPAAM
+>NM_003342|230520_2_614_720
+MVMCAFLFFMSLGKISMVMKSQRNAGSLSTLWKPS
+>DECOY_NM_003342|230520_2_614_720
+SPKWLTSLSGANRQSKMVMSIKGLSMFFLFACMVM
+>NM_052998|230547_2_1509_1720
+MVPPPRPSCTTLMRACMGSSTQSCLTTSALPPSCRRNHPRSSPCTAAACGARRLMAVIAWLRACGCRNYT
+>DECOY_NM_052998|230547_2_1509_1720
+TYNRCGCARLWAIVAMLRRAGCAAATCPSSRPHNRRCSPPLASTTLCSQTSSGMCARMLTTCSPRPPPVM
+>NM_002573|230558_2_518_600
+MGSWNTSGPRLWWSGWAPTTTDTQQSR
+>DECOY_NM_002573|230558_2_518_600
+RSQQTDTTTPAWGSWWLRPGSTNWSGM
+>NM_001010969|230584_2_955_1190
+MGASCQTRTSVLRWTRSCLRATTPQPVGSPGSSMLWPHTPSIRRGAGRRSMASWVMEPPSPGTTWTRCPTPPCALRRH
+>DECOY_NM_001010969|230584_2_955_1190
+HRRLACPPTPCRTWTTGPSPPEMVWSAMSRRGAGRRISPTHPWLMSSGPSGVPQPTTARLCSRTWRLVSTRTQCSAGM
+>NM_207321|230607_2_846_952
+MVWEWDSARLPDGGWISSQQMSCGVWVMPLVDLYP
+>DECOY_NM_207321|230607_2_846_952
+PYLDVLPMVWVGCSMQQSSIWGGDPLRASDWEWVM
+>NM_152295|230633_2_836_1014
+MDSIMTCTSKKGVCLAMISLLWRLCVRKSLKKNKLLKDWKLRKKLYWQCLSTTSSNAGY
+>DECOY_NM_152295|230633_2_836_1014
+YGANSSTTSLCQWYLKKRLKWDKLLKNKKLSKRVCLRWLLSIMALCVGKKSTCTMISDM
+>NM_152295|230637_2_1901_2022
+MELSMAQRLTYRLKMRLGGTTSVQPSSWISSCPSDLILLM
+>DECOY_NM_152295|230637_2_1901_2022
+MLLILDSPCSSIWSSPQVSTTGGLRMKLRYTLRQAMSLEM
+>NM_003818|230661_3_451_566
+MEELVGERHPDFGHDCIFLHHHLPGTNGFDDNRDVRSD
+>DECOY_NM_003818|230661_3_451_566
+DSRVDRNDDFGNTGPLHHHLFICDHGFDPHREGVLEEM
+>NM_002541|230704_2_2426_2670
+MASCCCCPMAWRAWVQNIPPPAQSGSCRCATMTQMSCQTLKKPTSTSISYMTAIGLLSTAPLLATSSTCYDARSCCHSGSR
+>DECOY_NM_002541|230704_2_2426_2670
+RSGSHCCSRADYCTSSTALLPATSLLGIATMYSISTSTPKKLTQCSMQTMTACRCSGSQAPPPINQVWARWAMPCCCCSAM
+>NM_002541|230709_2_2771_2934
+MALQLRTQKMSKGFSSAPAKCIMTSPGSAKHATWWGRWPSQGLSSCRHSPLTSC
+>DECOY_NM_002541|230709_2_2771_2934
+CSTLPSHRCSSLGQSPWRGWWTAHKASGPSTMICKAPASSFGKSMKQTRLQLAM
+>NM_002541|230714_3_333_574
+MGHFFSQHECRSPTGHCLPESPSPEPRLPGCCGPCTVPGRSTAQRGQARGGPPGSAVAHQGISDTRAPCSTAGPPGDFGC
+>DECOY_NM_002541|230714_3_333_574
+CGFDGPPGATSCPARTDSIGQHAVASGPPGGRAQGRQATSRGPVTCPGCCGPLRPEPSPSEPLCHGTPSRCEHQSFFHGM
+>NM_001037525|230767_3_848_957
+MVIPWMAGKRFRLALCQSSADCLLCRDLDCGRQALP
+>DECOY_NM_001037525|230767_3_848_957
+PLAQRGCDLDRCLLCDASSQCLALRFRKGAMWPIVM
+>NM_001037525|230770_3_1388_1521
+MEWALRGRISCLGISSYHSVYSTWLVLPEDSWPFRERRKLRSSD
+>DECOY_NM_001037525|230770_3_1388_1521
+DSSRLKRRERFPWSDEPLVLWTSYVSHYSSIGLCSIRGRLAWEM
+>NM_006347|230785_2_317_516
+MVLESPVFTGGHLQMKILNLDTQLQACFPWRTVVQVQMAVSSLSPALSAIGWMGSMWCLEKSSMDF
+>DECOY_NM_006347|230785_2_317_516
+FDMSSKELCWMSGMWGIASLAPSLSSVAMQVQVVTRWPFCAQLQTDLNLIKMQLHGGTFVPSELVM
+>NM_001637|230841_2_1092_1246
+MAFGVSIQKMEFHMRRNSVKVHSPGESFCWETQLGLIFTSLLNGSQRRRCL
+>DECOY_NM_001637|230841_2_1092_1246
+LCRRRQSGNLLSTFILGLQTEWCFSEGPSHVKVSNRRMHFEMKQISVGFAM
+>NM_001637|230842_2_1119_1246
+MEFHMRRNSVKVHSPGESFCWETQLGLIFTSLLNGSQRRRCL
+>DECOY_NM_001637|230842_2_1119_1246
+LCRRRQSGNLLSTFILGLQTEWCFSEGPSHVKVSNRRMHFEM
+>NM_003875|230906_2_711_805
+MEFSTLVWIIHVHYSGAFRRKKLFCLHMEIV
+>DECOY_NM_003875|230906_2_711_805
+VIEMHLCFLKKRRFAGSYHVHIIWVLTSFEM
+>NM_198585|230927_2_383_510
+MARVWSARPWPARWKGLESPPTLLMLHRLVRACRAAWRRRWC
+>DECOY_NM_198585|230927_2_383_510
+CWRRRWAARCARVLRHLMLLTPPSELGKWRAPWPRASWVRAM
+>NM_198585|230932_3_756_1048
+MDPASGGDAGGCPGHGRGLHPDHVRAWGPHLGQEHPGRFSPLRLRLQRLHSQLPVLWTGPDAEQAPRGAGTEPPGCPAPSPVLPQRLPDHTGPGPAV
+>DECOY_NM_198585|230932_3_756_1048
+VAPGPGTHDPLRQPLVPSPAPCGPPETGAGRPAQEADPGTWLVPLQSHLRQLRLRLPSFRGPHEQGLHPGWARVHDPHLGRGHGPCGGADGGSAPDM
+>NM_014291|230946_2_690_775
+MVPWSSWMNAMPLASWGPQDGAQMSCWV
+>DECOY_NM_014291|230946_2_690_775
+VWCSMQAGDQPGWSALPMANMWSSWPVM
+>NM_033068|230952_2_121_209
+MATGPRWPPTPWTHTRRWPPPCGHEAWAS
+>DECOY_NM_033068|230952_2_121_209
+SAWAEHGCPPPWRRTHTWPTPPWRPGTAM
+>NM_033068|230957_2_907_1076
+MDTPRHMLPASALSSGSTWGIPPKMEGMSPSPSSTAMTPPTCPCLSASPGARPPVH
+>DECOY_NM_033068|230957_2_907_1076
+HVPPRAGPSASLCPCTPPTMATSSPSPSMGEMKPPIGWTSGSSLASAPLMHRPTDM
+>NM_033068|230959_2_979_1076
+MEGMSPSPSSTAMTPPTCPCLSASPGARPPVH
+>DECOY_NM_033068|230959_2_979_1076
+HVPPRAGPSASLCPCTPPTMATSSPSPSMGEM
+>NM_033068|230962_3_614_864
+MEGSGHPHVPASPRSSTTSLGLPRCPADSCPDLGFGYWSPRGPTPGSREGPADRGDPAECYPCKLLPGPAPGAAPQDGHVLSS
+>DECOY_NM_033068|230962_3_614_864
+SSLVHGDQPAAGPAPGPLLKCPYCEAPDGRDAPGERSGPTPGRPSWYGFGLDPCSDAPCRPLGLSTTSSRPSAPVHPHGSGEM
+>NM_020978|230990_2_1073_1209
+MVNVKLEVEISRTTMMLLRSEIVVWLVFLILHWRKIMCVPRLPNI
+>DECOY_NM_020978|230990_2_1073_1209
+INPLRPVCMIKRWHLILFVLWVVIESRLLMMTTRSIEVELKVNVM
+>NM_020978|230994_2_1574_1674
+MGLEEPLFLPSGMLGCIKWQLDLCLLILMVLHE
+>DECOY_NM_020978|230994_2_1574_1674
+EHLVMLILLCLDLQWKICGLMGSPLFLPEELGM
+>NM_004825|231030_2_383_462
+MGIHSIWFGGKVMTNRMTLGNQSSTS
+>DECOY_NM_004825|231030_2_383_462
+STSSQNGLTMRNTMVKGGFWISHIGM
+>NM_201263|231057_2_482_591
+MARWACSHTQYSRQPTFCCTSPHTFLLGRIKSSTWN
+>DECOY_NM_201263|231057_2_482_591
+NWTSSKIRGLLFTHPSTCCFTPQRSYQTHSCAWRAM
+>NM_020166|231094_2_930_1030
+MAMLCTCLKETVVCRGDIRRSLRRPQRLVLNLK
+>DECOY_NM_020166|231094_2_930_1030
+KLNLVLRQPRRLSRRIDGRCVVTEKLCTCLMAM
+>NM_020166|231097_2_1848_1930
+MGLIACRLKIKLSKSLVIFTARETALT
+>DECOY_NM_020166|231097_2_1848_1930
+TLATERATFIVLSKSLKIKLRCAILGM
+>NM_020166|231100_3_229_374
+MGVEAKNHEVHNSHRKKHYQGPHCKQRRNCLQGDAHSQKTGCTDCGGL
+>DECOY_NM_020166|231100_3_229_374
+LGGCDTCGTKQSHADGQLCNRRQKCHPGQYHKKRHSNHVEHNKAEVGM
+>NM_000929|231140_2_440_582
+MAPIGAVGRMTTAMGGWRRRAATFAHSPTNTDSRGAWSPASPGPSAM
+>DECOY_NM_000929|231140_2_440_582
+MASPGPSAPSWAGRSDTNTPSHAFTAARRRWGGMATTMRGVAGIPAM
+>NM_000929|231142_2_479_582
+MGGWRRRAATFAHSPTNTDSRGAWSPASPGPSAM
+>DECOY_NM_000929|231142_2_479_582
+MASPGPSAPSWAGRSDTNTPSHAFTAARRRWGGM
+>NM_000764|231330_2_735_946
+MAPCSPFTWGPGGSWCCVDMMPSGRLWWTRLRSSAGEASKPPSTGSSKAMAWRSATGSAPSSSCALPSPP
+>DECOY_NM_000764|231330_2_735_946
+PPSPLACSSSPASGTASRWAMAKSSGTSPPKSAEGASSRLRTWWLRGSPMMDVCCWSGGPGWTFPSCPAM
+>NM_018638|231418_2_632_708
+MDYAMNLYKEKHWIQSMSATQPFSG
+>DECOY_NM_018638|231418_2_632_708
+GSFPQTASMSQIWHKEKYLNMAYDM
+>NM_176869|231442_2_387_538
+MESYAMWRISSLTRVIYGIMVPSLRLGKIPMKKIRARTALEIMILLMFAK
+>DECOY_NM_176869|231442_2_387_538
+KAFMLLIMIELATRARIKKMPIKGLRLSPVMIGYIVRTLSSIRWMAYSEM
+>NM_176869|231444_2_444_538
+MVPSLRLGKIPMKKIRARTALEIMILLMFAK
+>DECOY_NM_176869|231444_2_444_538
+KAFMLLIMIELATRARIKKMPIKGLRLSPVM
+>NM_000528|231478_2_632_810
+MGDPVWPGTLTPSATLGSRPRCLRRWASTASSLGALIIKISGYGCRSWRWSRCGGPAPA
+>DECOY_NM_000528|231478_2_632_810
+APAPGGCRSWRWSRCGYGSIKIILAGLSSATSAWRRLCRPRSGLTASPTLTGPWVPDGM
+>NM_006903|231525_2_387_490
+MESYAMWRISSLTRVIYGIMVPSLRFFLVEKLFM
+>DECOY_NM_006903|231525_2_387_490
+MFLKEVLFFRLSPVMIGYIVRTLSSIRWMAYSEM
+>NM_004043|231559_3_711_811
+MDKAGNHHSQQTIARTENQTPRVLTHRWGWSSG
+>DECOY_NM_004043|231559_3_711_811
+GSSWGWRHTLVRPTQNETRAITQQSHHNGAKDM
+>NM_002221|231595_2_1433_1533
+MENPLGRWGKDICPVACRALGSLKWAKGQRRRL
+>DECOY_NM_002221|231595_2_1433_1533
+LRRRQGKAWKLSGLARCAVPCIDKGWRGLPNEM
+>NM_002221|231607_3_2280_2419
+MEEDKKHGALVSLRHVLQEEVPLDPAGRTRREFQGSCQWQDPEEAL
+>DECOY_NM_002221|231607_3_2280_2419
+LAEEPDQWQCSGQFERRTRGAPDLPVEEQLVHRLSVLAGHKKDEEM
+>NM_206961|231706_2_1037_1113
+MEYPSYTPAASSSCSLWQSPRTTER
+>DECOY_NM_206961|231706_2_1037_1113
+RETTRPSQWLSCSSSAAPTYSPYEM
+>NM_148920|231854_2_2047_2246
+MVASTATPGVQSTAPCPPCVPRSRGSVHHSSPRWRAGLPGGSPVALPWLWGWRDLARMNPPVPGTL
+>DECOY_NM_148920|231854_2_2047_2246
+LTGPVPPNMRALDRWGWLWPLAVPSGGPLGARWRPSSHHVSGRSRPVCPPCPATSQVGPTATSAVM
+>NM_144651|231886_2_1200_1339
+MDWSWMDPGTWQRPVDFTYRTSHNGIMVDLPVMPTIATALFKLQQT
+>DECOY_NM_144651|231886_2_1200_1339
+TQQLKFLATAITPMVPLDVMIGNHSTRYTFDVPRQWTGPDMWSWDM
+>NM_183357|231952_2_556_710
+MAAARRTRARARGPARCCPWAPAAWRCCRYSAPRSSRRTNWSGCTSATSSA
+>DECOY_NM_183357|231952_2_556_710
+ASSTASTCGSWNTRRSSRPASYRCCRWAAPAWPCCRAPGRARARTRRAAAM
+>NM_025207|231972_2_1045_1157
+MAQILALVNLSDSLWSPSETSTSSQAFQSCCGGCWRG
+>DECOY_NM_025207|231972_2_1045_1157
+GRWCGGCCSQFAQSSTSTESPSWLSDSLNVLALIQAM
+>NM_024779|231994_2_428_537
+MVASLSPTIGLWSSKKYPVRTLLTCIATSPTITSTL
+>DECOY_NM_024779|231994_2_428_537
+LTSTITPSTAICTLLTRVPYKKSSWLGITPSLSAVM
+>NM_024779|231995_2_545_621
+MATRFCPSSWGCTESVWTTKTATCL
+>DECOY_NM_024779|231995_2_545_621
+LCTATKTTWVSETCGWSSPCFRTAM
+>NM_001128085|232027_2_797_879
+MEKLLLSSILICRIKTGNHCILGIPCF
+>DECOY_NM_001128085|232027_2_797_879
+FCPIGLICHNGTKIRCILISSLLLKEM
+>NM_004279|232107_2_1061_1245
+MAIFAIAFSLSTLPTQIQDYGDCIWFVNHPLLQTCYMLFKKNGCDSVQVSQKVRLHEPEIF
+>DECOY_NM_004279|232107_2_1061_1245
+FIEPEHLRVKQSVQVSDCGNKKFLMYCTQLLPHNVFWICDGYDQIQTPLTSLSFAIAFIAM
+>NM_182493|232148_2_795_1009
+MVFLAQPRHSLATCPCPQRWKPRLLRHPARTSGLAWNWLQHPAGSMWSPRAWRLHQVQDKEHRPAGLTLSP
+>DECOY_NM_182493|232148_2_795_1009
+PSLTLGAPRHEKDQVQHLRWARPSWMSGAPHQLWNWALGSTRAPHRLLRPKWRQPCPCTALSHRPQALFVM
+>NM_015183|232207_2_210_568
+MGSHQHCLDHTHLSLLMQEIALKIVQEISPPVPQPIFHLHGGLMDAAGRWLLSLPLAMGQTHPALRSLHPVPPRRSCISYHTNQHQTSYTSYQNISVPPKASPLRTDAGTRRCAPVPEV
+>DECOY_NM_015183|232207_2_210_568
+VEPVPACRRTGADTRLPSAKPPVSINQYSTYSTQHQNTHYSICSRRPPVPHLSRLAPHTQGMALPLSLLWRGAADMLGGHLHFIPQPVPPSIEQVIKLAIEQMLLSLHTHDLCHQHSGM
+>NM_015183|232210_2_381_568
+MGQTHPALRSLHPVPPRRSCISYHTNQHQTSYTSYQNISVPPKASPLRTDAGTRRCAPVPEV
+>DECOY_NM_015183|232210_2_381_568
+VEPVPACRRTGADTRLPSAKPPVSINQYSTYSTQHQNTHYSICSRRPPVPHLSRLAPHTQGM
+>NM_015183|232213_2_1779_1948
+MESRWTGGPWGLSSMNFWLDACHSLGILQRSYLDKSSVMRSTGLRRMRHPHLMPRI
+>DECOY_NM_015183|232213_2_1779_1948
+IRPMLHPHRMRRLGTSRMVSSKDLYSRQLIGLSHCADLWFNMSSLGWPGGTWRSEM
+>NM_015183|232222_2_4440_4537
+MVSATPSTGASLGRGKARRSPPRPRSFSDVKS
+>DECOY_NM_015183|232222_2_4440_4537
+SKVDSFSRPRPPSRRAKGRGLSAGTSPTASVM
+>NM_015183|232223_2_5637_5725
+MAQVRRGPRPETTPLCTQLEFPVRRSWAR
+>DECOY_NM_015183|232223_2_5637_5725
+RAWSRRVPFELQTCLPTTEPRPGRRVQAM
+>NM_015183|232224_2_5841_5914
+MVPRNLKGRSSLYKGIPAASLRPL
+>DECOY_NM_015183|232224_2_5841_5914
+LPRLSAAPIGKYLSSRGKLNRPVM
+>NM_004480|232245_2_2004_2080
+MAILKVSTGNWEGRAYIPPTKFERR
+>DECOY_NM_004480|232245_2_2004_2080
+RREFKTPPIYARGEWNGTSVKLIAM
+>NM_031284|232277_2_398_561
+MGKITAFCIQGMIWKKPSFTSWGREQLLSASSVIRKLFTTLPRLRQSSQEPSTM
+>DECOY_NM_031284|232277_2_398_561
+MTSPEQSSQRLRPLTTFLKRIVSSASLLQERGWSTFSPKKWIMGQICFATIKGM
+>NM_031284|232281_2_1187_1350
+MGGVKAEPRISPGSISTRWSTTSWQLWMDTGPTSWQPWLQELVWLGHRPAPQKP
+>DECOY_NM_031284|232281_2_1187_1350
+PKQPAPRHGLWVLEQLWPQWSTPGTDMWLQWSTTSWRTSISGPSIRPEAKVGGM
+>NM_021090|232384_2_1010_1098
+MELSLPAVDSQRLAGGAGEMQMMSIWYSQ
+>DECOY_NM_021090|232384_2_1010_1098
+QSYWISMMQMEGAGGALRQSDVAPLSLEM
+>NM_021090|232389_2_2786_2874
+MVPHSHVLCYLPKSLLRPEDQTWTVLQTC
+>DECOY_NM_021090|232389_2_2786_2874
+CTQLVTWTQDEPRLLSKPLYCLVHSHPVM
+>NM_021090|232390_2_3113_3237
+MVPQRLKTGPQSSPQVLAPSRCTPHPMGIAPMGRLVGARTH
+>DECOY_NM_021090|232390_2_3113_3237
+HTRAGVLRGMPAIGMPHPTCRSPALVQPSSQPGTKLRQPVM
+>NM_021090|232392_2_3380_3453
+MACQCTQTRSNSACVRLSQATSRK
+>DECOY_NM_021090|232392_2_3380_3453
+KRSTAQSLRVCASNSRTQTCQCAM
+>NM_021090|232401_3_1476_1609
+MAPSLVCASEISASGSACCGSGSAAGASTLLRWLGPHPPDCGIG
+>DECOY_NM_021090|232401_3_1476_1609
+GIGCDPPHPGLWRLLTSAGAASGSGCCASGSASIESACVLSPAM
+>NM_153189|232614_3_1415_1530
+MGNPQYNAKYEILLAPRQLHGDYTESLHNQRHTSSQNV
+>DECOY_NM_153189|232614_3_1415_1530
+VNQSSTHRQNHLSETYDGHLQRPALLIEYKANYQPNGM
+>NM_006295|232685_2_493_743
+MGRLGRVPDGEEPTPASVSSHPRLAGLPFPHPACRPWSRGPVGSGCGGPRLWPSCCGQQAWGAQGAAGRLSLSNSGSVTPTRS
+>DECOY_NM_006295|232685_2_493_743
+SRTPTVSGSNSLSLRGAAGQAGWAQQGCCSPWLRPGGCGSGVPGRSWPRCAPHPFPLGALRPHSSVSAPTPEEGDPVRGLRGM
+>NM_000199|232713_2_343_875
+MGCTGCTRTCTTSTPSTRCGACRCCSAKLVCAQASSGRSTWGRRPCTRLTLRTRRRMAPSSRWGGTSLELSCSSGNSCRLRMTGLSSSTSPSTTPTAVGTPSPSTEPSVRSLATERAAWVVSQTGPPRPTTHWTCWCLTSSPTPRQPEPTWPLSTPPSAAWTKELDWCSRSCVTPVS
+>DECOY_NM_000199|232713_2_343_875
+SVPTVCSRSCWDLEKTWAASPPTSLPWTPEPQRPTPSSTLCWCTWHTTPRPPGTQSVVWAARETALSRVSPETSPSPTGVATPTTSPSTSSSLGTMRLRCSNGSSCSLELSTGGWRSSPAMRRRTRLTLRTCPRRGWTSRGSSAQACVLKASCCRCAGCRTSPTSTTCTRTCGTCGM
+>NM_000199|232714_2_511_875
+MAPSSRWGGTSLELSCSSGNSCRLRMTGLSSSTSPSTTPTAVGTPSPSTEPSVRSLATERAAWVVSQTGPPRPTTHWTCWCLTSSPTPRQPEPTWPLSTPPSAAWTKELDWCSRSCVTPVS
+>DECOY_NM_000199|232714_2_511_875
+SVPTVCSRSCWDLEKTWAASPPTSLPWTPEPQRPTPSSTLCWCTWHTTPRPPGTQSVVWAARETALSRVSPETSPSPTGVATPTTSPSTSSSLGTMRLRCSNGSSCSLELSTGGWRSSPAM
+>NM_022357|232768_2_533_699
+MVRPAWTGLETASWVPSSGQPPSHASPRTRLPCASPWSRLTSFTACVPPTLNSSL
+>DECOY_NM_022357|232768_2_533_699
+LSSNLTPPVCATFSTLRSWPSACPLRTRPSAHSPPQGSSPVWSATELGTWAPRVM
+>NM_003935|232798_2_1951_2138
+MASARMPASLCISTTSASATMSRWRAGAGSSPPTSASSWCTATIRLMQSWCSPPSAVQWRSS
+>DECOY_NM_003935|232798_2_1951_2138
+SSRWQVASPPSCWSQMLRITATCWSSASTPPSSGAGARWRSMTASASTTSICLSAPMRASAM
+>NM_024560|232944_2_458_621
+MVKGIRLLSSMTVLLQTLKQPLPIKKFWSRSPSWLVSWSSMASRKVTLWLSTCL
+>DECOY_NM_024560|232944_2_458_621
+LCTSLWLTVKRSAMSSWSVLWSPSRSWFKKIPLPQKLTQLLVTMSSLLRIGKVM
+>NM_024560|232948_2_1148_1251
+MDLFFMGTQQFYMRGSLWEHQMLALISVCLQSME
+>DECOY_NM_024560|232948_2_1148_1251
+EMSQLCVSILALMQHEWLSGRMYFQQTGMFFLDM
+>NM_005040|232983_2_357_451
+MVDQYFSTLVMKGTLSGFVITRGSCGMWLRN
+>DECOY_NM_005040|232983_2_357_451
+NRLWMGCSGRTIVFGSLTGKMVLTSFYQDVM
+>NM_144699|233022_2_1446_1534
+MVGWRLSFFSLASLWPMCLRGCWPQSLCA
+>DECOY_NM_144699|233022_2_1446_1534
+ACLSQPWCGRLCMPWLSALSFFSLRWGVM
+>NM_004293|233194_2_583_701
+MEQPQLVTLQQFTLTHLCSLPTLQINLDSGHLWAKFAWI
+>DECOY_NM_004293|233194_2_583_701
+IWAFKAWLHGSDLNIQLTPLSCLHTLTFQQLTVLQPQEM
+>NM_017570|233255_2_2132_2214
+MGTSSMGPASSSTVTAPSWWSQVARQR
+>DECOY_NM_017570|233255_2_2132_2214
+RQRAVQSWWSPATVTSSSAPGMSSTGM
+>NM_003642|233309_2_807_901
+MVLNFLKQFIDTTLNFLQFLILQRKIHPKAM
+>DECOY_NM_003642|233309_2_807_901
+MAKPHIKRQLILFQLFNLTTDIFQKLFNLVM
+>NM_016327|233469_2_551_669
+MGPPPDSVRSWRRTMTWWWCLPSWNETASMGMFCGIQPW
+>DECOY_NM_016327|233469_2_551_669
+WPQIGCFMGMSATENWSPLCWWWTMTRRWSRVSDPPPGM
+>NM_016327|233471_2_1025_1134
+MERKLTRTLATFMARAMWQPLTAAGLLGCPVAGMDC
+>DECOY_NM_016327|233471_2_1025_1134
+CDMGAVPCGLLGAATLPQWMARAMFTALTRTLKREM
+>NM_015352|233506_3_579_778
+MEPEIFSKGTSGACPARSPSPVPRPRGTQATTEVHGMVRRNGEDGRGPDSCPPCPALCGHSSAHWL
+>DECOY_NM_015352|233506_3_579_778
+LWHASSHGCLAPCPPCSDPGRGDEGNRRVMGHVETTAQTGRPRPVPSPSRAPCAGSTGKSFIEPEM
+>NM_015352|233507_3_687_778
+MVRRNGEDGRGPDSCPPCPALCGHSSAHWL
+>DECOY_NM_015352|233507_3_687_778
+LWHASSHGCLAPCPPCSDPGRGDEGNRRVM
+>NM_001610|233549_2_1018_1259
+MVNKPPTPPATYLNCTRKILGISQWRCTFGTRVTRPPGRSACLAALTAAHCRTSFASQSPSCPRIGSRSASWQAVLQTQR
+>DECOY_NM_001610|233549_2_1018_1259
+RQTQLVAQWSASRSGIRPCSPSQSAFSTRCHAATLAALCASRGPPRTVRTGFTCRWQSIGLIKRTCNLYTAPPTPPKNVM
+>NM_001610|233553_3_296_438
+MAPGVWSVNQGGDATALGTGPGPAAALSRLPKHLLSPARGLCAKHRL
+>DECOY_NM_001610|233553_3_296_438
+LRHKACLGRAPSLLHKPLRSLAAAPGPGTGLATADGGQNVSWVGPAM
+>NM_014616|233591_2_1785_1858
+MVPGNPTWHHRSWSTMHLHQMKRL
+>DECOY_NM_014616|233591_2_1785_1858
+LRKMQHLHMTSWSRHHWTPNGPVM
+>NM_139247|233681_3_293_780
+MGRAASARPRLPVHRGRGERLGPGVLFSLRHLHGVCHAALGHAGRRRRGPRLLTLASAGPRAVSWATAGLTACTAAAVGSKRSAVPVRERGRSVPQGADGARPAGHVPGGTQLPALTPAAGHREEAPGTPSLVHPSCLPGPRDEGRDHGTAAGRTGVTAREH
+>DECOY_NM_139247|233681_3_293_780
+HERATVGTRGAATGHDRGEDRPGPLCSPHVLSPTGPAEERHGAAPTLAPLQTGGPVHGAPRAGDAGQPVSRGRERVPVASRKSGVAAATCATLGATAWSVARPGASALTLLRPGRRRRGAHGLAAHCVGHLHRLSFLVGPGLREGRGRHVPLRPRASAARGM
+>NM_014244|233742_2_2635_2966
+MAAAGGWTTRWYTVASVPPSRSPKPSAERATHRNAPSQCGSQANGSHVARPVGGQACRCAPCAAFSRYTTTPPAPCTPSTAMTPGPRAAGPAAASSALVVGEPGPGPSAQ
+>DECOY_NM_014244|233742_2_2635_2966
+QASPGPGPEGVVLASSAAAPGAARPGPTMATSPTCPAPPTTTYRSFAACPACRCAQGGVPRAVHSGNAQSGCQSPANRHTAREASPKPSRSPPVSAVTYWRTTWGGAAAM
+>NM_005051|233811_2_888_970
+MASVFCVLMTPTLRRRKQSSSRPSVTW
+>DECOY_NM_005051|233811_2_888_970
+WTVSPRSSSQKRRRLTPTMLVCFVSAM
+>NM_014589|233821_2_182_369
+MAVTAASVAPTGRWTRLTGAATPTTAATGVWRSWAVSPNWKSIFSLSANVAFSAPAGPPASG
+>DECOY_NM_014589|233821_2_182_369
+GSAPPGAPASFAVNASLSFISKWNPSVAWSRWVGTAATTPTAAGTLRTWRGTPAVSAATVAM
+>NM_003578|233845_3_207_421
+MDPTHGGCEGTIAGASAGTTEGAAGSGHAGGYTILPITRQTSAPTSPRFLEQDPGAIPGETESFHHPQVPA
+>DECOY_NM_003578|233845_3_207_421
+APVQPHHFSETEGPIAGPDQELFRPSTPASTQRTIPLITYGGAHGSGAAGETTGASAGAITGECGGHTPDM
+>NM_144685|233863_2_1447_1580
+MGPPTTVWLRRRRLRVWAVWPAAAPSSERRRHQVCKEPSTSWMT
+>DECOY_NM_144685|233863_2_1447_1580
+TMWSTSPEKCVQHRRRESSPAAAPWVAWVRLRRRRLWVTTPPGM
+>NM_007041|233886_3_243_388
+MAKKWKICVQTCHESNMLSSVHNKVPTFTISAFKISQEGFEKNVEISG
+>DECOY_NM_007041|233886_3_243_388
+GSIEVNKEFGEQSIKFASITFTPVKNHVSSLMNSEHCTQVCIKWKKAM
+>NM_004563|233952_2_889_983
+MVATPCWARSALPYASPLGWPGMRAGWQSTC
+>DECOY_NM_004563|233952_2_889_983
+CTSQWGARMGPWGLPSAYPLASRAWCPTAVM
+>NM_004563|233954_2_1540_1679
+MGCLWAAPCALSPLLQQNTKGRSSCTTHLPCGPFLATTSGTTWNTG
+>DECOY_NM_004563|233954_2_1540_1679
+GTNWTTGSTTALFPGCPLHTTCSSRGKTNQQLLPSLACPAAWLCGM
+>NM_178154|233977_3_731_819
+MDWFLALDYAHSFCLGDLAVLYRWSLGTR
+>DECOY_NM_178154|233977_3_731_819
+RTGLSWRYLVALDGLCFSHAYDLALFWDM
+>NM_138326|234093_2_191_270
+MGKSSEWCERIAGIQKFVLEKWTKKE
+>DECOY_NM_138326|234093_2_191_270
+EKKTWKELVFKQIGAIRECWESSKGM
+>NM_138326|234096_3_105_247
+MARSKKEVWLRRLGAAPTPQQGRSKVVERWESLQSGARELLGSRSSY
+>DECOY_NM_138326|234096_3_105_247
+YSSRSGLLERAGSQLSEWREVVKSRGQQPTPAAGLRRLWVEKKSRAM
+>NM_004539|234155_2_679_782
+MVQVIFSVSWRMSCVSATMEFSCPRRAVLQCMEC
+>DECOY_NM_004539|234155_2_679_782
+CEMCQLVARRPCSFEMTASVCSMRWSVSFIVQVM
+>NM_012393|234303_2_1300_1454
+MELLTMATSLGNQCWLASPAPWASSSQTASGVSGSSPSCLVGALGPWKLTT
+>DECOY_NM_012393|234303_2_1300_1454
+TTLKWPGLAGVLCSPSSGSVGSATQSSSAWPAPSALWCQNGLSTAMTLLEM
+>NM_012393|234304_2_1315_1454
+MATSLGNQCWLASPAPWASSSQTASGVSGSSPSCLVGALGPWKLTT
+>DECOY_NM_012393|234304_2_1315_1454
+TTLKWPGLAGVLCSPSSGSVGSATQSSSAWPAPSALWCQNGLSTAM
+>NM_012393|234305_2_1972_2117
+MARGMPPRHPCQPLWTWSSNGCWARCLGRSSSCRGSPPCCSLWPCPQG
+>DECOY_NM_012393|234305_2_1972_2117
+GQPCPWLSCCPPSGRCSSSRGLCRAWCGNSSWTWLPQCPHRPPMGRAM
+>NM_012393|234312_2_3616_3791
+MAVNCWLCSAGWEATPMRMLQRWALTPSQPGQAFCYATTCLGATSLAGPACVWGLGQP
+>DECOY_NM_012393|234312_2_3616_3791
+PQGLGWVCAPGALSTAGLCTTAYCFAQGPQSPTLAWRQLMRMPTAEWGASCLWCNVAM
+>NM_012393|234316_2_4003_4091
+MAATWLSCLTLSGPLGLGSGHGDPLHLIL
+>DECOY_NM_012393|234316_2_4003_4091
+LILHLPDGHGSGLGLPGSLTLCSLWTAAM
+>NM_012393|234320_3_3410_3564
+MGRDHAGPLLWGNWAGHFPWRGLRGRLQLCRCPGLCQRVGSCCDLSSQGWG
+>DECOY_NM_012393|234320_3_3410_3564
+GWGQSSLDCCSGVRQCLGPCRCLQLRGRLGRWPFHGAWNGWLLPGAHDRGM
+>NM_198141|234361_2_1038_1153
+MEMLTVFITWMSMDTKYMIKWAFMVQYLISWPTNWAEL
+>DECOY_NM_198141|234361_2_1038_1153
+LEAWNTPWSILYQVMFAWKIMYKTDMSMWTIFVTLMEM
+>NM_198141|234368_2_1908_1981
+MAIGSTESSTTSTVFIIKWLLQKD
+>DECOY_NM_198141|234368_2_1908_1981
+DKQLLWKIIFVTSTTSSETSGIAM
+>NM_198141|234372_2_2763_2836
+MAIHSNTSTRSNFCTGSFHSVPVF
+>DECOY_NM_198141|234372_2_2763_2836
+FVPVSHFSGTCFNSRTSTNSHIAM
+>NM_198141|234378_3_2086_2159
+MEQLENFYPNVTHSQHYWDLFLRS
+>DECOY_NM_198141|234378_3_2086_2159
+SRLFLDWYHQSHTVNPYFNELQEM
+>NM_016281|234473_2_585_727
+MEVLEQFILLQMLTPVRWWQLRRCPIVGSRPMRNGKIFLRKLNFYDN
+>DECOY_NM_016281|234473_2_585_727
+NDYFNLKRLFIKGNRMPRSGVIPCRRLQWWRVPTLMQLLIFQELVEM
+>NM_016281|234477_2_1086_1162
+MGKLIFGHLASLVLNWRNGSRPFST
+>DECOY_NM_016281|234477_2_1086_1162
+TSFPRSGNRWNLVLSALHGFILKGM
+>NM_015274|234513_2_289_392
+MASPRTSRNTRSASSWRKDAWNLSSEARSCMTRL
+>DECOY_NM_015274|234513_2_289_392
+LRTMCSRAESSLNWADKRWSSASRTNRSTRPSAM
+>NM_015274|234524_2_2332_2468
+MAKAGLCCCRSGHMASPAKGMGRWRSCSTGGCGTTSTGTWATTSR
+>DECOY_NM_015274|234524_2_2332_2468
+RSTTAWTGTSTTGCGGTSCSRWRGMGKAPSAMHGSRCCCLGAKAM
+>NM_015274|234526_2_2392_2468
+MGRWRSCSTGGCGTTSTGTWATTSR
+>DECOY_NM_015274|234526_2_2392_2468
+RSTTAWTGTSTTGCGGTSCSRWRGM
+>NM_007255|234537_2_563_900
+MAFLRLGPSTWPPRSSTLSTTTRPMSAASCCSPSSTTGCAMGCPTASGAGAARTTSSTGALRELGSSFSAPRESQLGTRHFATCTTQPGGRGTRSASQLKNRSSSRWTGREA
+>DECOY_NM_007255|234537_2_563_900
+AERGTWRSSSRNKLQSASRTGRGGPQTTCTAFHRTGLQSERPASFSSGLERLAGTSSTTRAAGAGSATPCGMACGTTSSPSCCSAASMPRTTTSLTSSRPPWTSPGLRLFAM
+>NM_007255|234539_2_683_900
+MGCPTASGAGAARTTSSTGALRELGSSFSAPRESQLGTRHFATCTTQPGGRGTRSASQLKNRSSSRWTGREA
+>DECOY_NM_007255|234539_2_683_900
+AERGTWRSSSRNKLQSASRTGRGGPQTTCTAFHRTGLQSERPASFSSGLERLAGTSSTTRAAGAGSATPCGM
+>NM_001127695|234685_2_1090_1244
+MDSPPMSRMTTPWSTLPTTMAFWGTGFGLLSRPTAALKTSVTSMTTKTWNA
+>DECOY_NM_001127695|234685_2_1090_1244
+ANWTKTTMSTVSTKLAATPRSLLGFGTGWFAMTTPLTSWPTTMRSMPPSDM
+>NM_001127695|234688_2_1147_1244
+MAFWGTGFGLLSRPTAALKTSVTSMTTKTWNA
+>DECOY_NM_001127695|234688_2_1147_1244
+ANWTKTTMSTVSTKLAATPRSLLGFGTGWFAM
+>NM_001127695|234692_3_1547_1629
+MGHVQLSGKLTVPPSLPKHELPVSEAA
+>DECOY_NM_001127695|234692_3_1547_1629
+AAESVPLEHKPLSPPVTLKGSLQVHGM
+>NM_020117|234732_2_968_1044
+MDSLAWIMIDKLERVLDLRNILYSN
+>DECOY_NM_020117|234732_2_968_1044
+NSYLINRLDLVRELKDIMIWALSDM
+>NM_020117|234734_2_1484_1566
+MELEMTWSCHLSRCQSLKSQVLEIFLL
+>DECOY_NM_020117|234734_2_1484_1566
+LLFIELVQSKLSQCRSLHCSWTMELEM
+>NM_006003|234893_2_826_923
+MGHTMMHLAGSDWVLLLSTLKSPRMSSPVTIW
+>DECOY_NM_006003|234893_2_826_923
+WITVPSSMRPSKLTSLLLVWDSGALHMMTHGM
+>NM_020745|234950_2_163_239
+MATGWCPPLPCGPAATPVCFLSMRA
+>DECOY_NM_020745|234950_2_163_239
+ARMSLFCVPTAAPGCPLPPCWGTAM
+>NM_152413|235014_3_1026_1234
+MEAESKRSCREHHANQGKSEGETPAPGNPWVLGSHHRAEWDPRLSWTQLPAGGIPGQEEAHLYPQERSD
+>DECOY_NM_152413|235014_3_1026_1234
+DSREQPYLHAEEQGPIGGAPLQTWSLRPDWEARHHSGLVWPNGPAPTEGESKGQNAHHERCSRKSEAEM
+>NM_002842|235030_2_516_673
+MVAEQGLEAQHTLTSPWMDLNPGVCMRFPCGWERMESTAPGRLEMPPQLTTQ
+>DECOY_NM_002842|235030_2_516_673
+QTTLQPPMELRGPATSEMREWGCPFRMCVGPNLDMWPSTLTHQAELGQEAVM
+>NM_002842|235034_2_783_892
+MVAEQRLETQQTPESPWMALDPGHCIRVLCGWRKTE
+>DECOY_NM_002842|235034_2_783_892
+ETKRWGCLVRICHGPDLAMWPSEPTQQTELRQEAVM
+>NM_002842|235036_2_1050_1207
+MVAEQGLEAQHTPTSPWIDLNPGVCMCFPCGWGRMESTAPGRLEMPPQPPTQ
+>DECOY_NM_002842|235036_2_1050_1207
+QTPPQPPMELRGPATSEMRGWGCPFCMCVGPNLDIWPSTPTHQAELGQEAVM
+>NM_015143|235063_2_724_812
+MEYQTEGPYKKVTLLMWISLFIAMVIMGT
+>DECOY_NM_015143|235063_2_724_812
+TGMIVMAIFLSIWMLLTVKKYPGETQYEM
+>NM_006567|235136_2_962_1038
+MEKACSSLNKVLALRINKRHTPWRP
+>DECOY_NM_006567|235136_2_962_1038
+PRWPTHRKNIRLALVKNLSSCAKEM
+>NM_006567|235137_3_1182_1312
+MAGSSWLRGDGTTTGQFSWCSRPNRLGFWPRIRKASHDPLRHP
+>DECOY_NM_006567|235137_3_1182_1312
+PHRLPDHSAKRIRPWFGLRNPRSCWSFQGTTTGDGRLWSSGAM
+>NM_013276|235189_2_488_588
+MADVAANSWPLCPSRSLISVWPRASAVQPSSGF
+>DECOY_NM_013276|235189_2_488_588
+FGSSPQVASARPWVSILSRSPCLPWSNAAVDAM
+>NM_031417|235227_2_1770_1861
+MGPLPLPHWPMRLHPCPPGGPAPPPTSSPS
+>DECOY_NM_031417|235227_2_1770_1861
+SPSSTPPPAPGGPPCPHLRMPWHPLPLPGM
+>NM_020700|235259_2_1035_1213
+MEKLSPCLQNLPPRRSASDFSTWHSCSLTCWEMSSHIWSFQGEYRERSLERRCSTGTLI
+>DECOY_NM_020700|235259_2_1035_1213
+ILTGTSCRRELSRERYEGQFSWIHSSMEWCTLSCSHWTSFDSASRRPPLNQLCPSLKEM
+>NM_005876|235327_2_235_530
+MGSSCPRRPPSPAACGCGAAGRRTPACTAAWPRTSGAGPPARRCSQCWRSETQRRLRMTSAMCREPSAWSFGMTGPSAPPRGVLTPWWAPPWTHPRPP
+>DECOY_NM_005876|235327_2_235_530
+PPRPHTWPPAWWPTLVGRPPASPGTMGFSWASPERCMASTMRLRRQTESRWCQSCRRAPPGAGSTRPWAATCAPTRRGAAGCGCAAPSPPRRPCSSGM
+>NM_005876|235333_2_2272_2426
+MGQRCAARAASSSGLRVSGTPCCSGRPGQQMPGAIWPPPPTSWARPPVPPH
+>DECOY_NM_005876|235333_2_2272_2426
+HPPVPPRAWSTPPPPWIAGPMQQGPRGSCCPTGSVRLGSSSAARAACRQGM
+>NM_005876|235336_2_2842_3149
+MVLGSARPAWRSEHTLKAGPWPCWPPCRTWTWGPGRWRCLSAWWRGPLTWRWIGCAVAACCSLHCSNARCISMAANASCYLHLYMRTTVASTPASSARPKMS
+>DECOY_NM_005876|235336_2_2842_3149
+SMKPRASSAPTSAVTTRMYLHLYCSANAAMSICRANSCHLSCCAAVACGIWRWTLPGRWWASLCRWRGPGWTWTRCPPWCPWPGAKLTHESRWAPRASGLVM
+>NM_005876|235343_2_4651_4853
+MEASTPAPPRTWRVRSPAKQSWLCIQLRQLWRSRGSGRMRTIEEGDSATFMTSTRRSAGVLSPTCGA
+>DECOY_NM_005876|235343_2_4651_4853
+AGCTPSLVGASRRTSTMFTASDGEEITRMRGSGRSRWLQRLQICLWSQKAPSRVRWTRPPAPTSAEM
+>NM_005876|235349_2_6241_6713
+MARSAASGVPCWRAWGAVLGTPGWHELPPARQRPTTSPHSRTGACKRAAASPRVRRSPGAGTAERGRPSRSPWPGLGPVGYRSLLPCLPSARPSHPALHGPAPPNPVPLSLQNLLPPHLVMLRSPPHPSLPKTRLQSPGQNQSEPPSLHHPPRPCKP
+>DECOY_NM_005876|235349_2_6241_6713
+PKCPRPPHHLSPPESQNQGPSQLRTKPLSPHPPSRLMVLHPPLLNQLSLPVPNPPAPGHLAPHSPRASPLCPLLSRYGVPGLGPWPSRSPRGREATGAGPSRRVRPSAAARKCAGTRSHPSTTPRQRAPPLEHWGPTGLVAGWARWCPVGSAASRAM
+>NM_005876|235352_2_7108_7370
+MAYTGPARRGPRWSWCDGLSAHARCRTSGLSESLASSAASRCHCPSGCGGPLPRSATRPGRPAAGTERARRAGARRGAPRCWRCAGG
+>DECOY_NM_005876|235352_2_7108_7370
+GGACRWCRPAGRRAGARRARETGAAPRGPRTASRPLPGGCGSPCHCRSAASSALSESLGSTRCRAHASLGDCWSWRPGRRAPGTYAM
+>NM_005876|235353_2_7909_8042
+MGGSCSASPGRASGTPVSMSARPPTYWAASPAPVPWLWPESQES
+>DECOY_NM_005876|235353_2_7909_8042
+SEQSEPWLWPVPAPSAAWYTPPRASMSVPTGSARGPSASCSGGM
+>NM_152334|235408_2_797_921
+MEATCATVRPLKMDFIMTCSLKTEQCPAQNCQPWRIYVKPS
+>DECOY_NM_152334|235408_2_797_921
+SPKVYIRWPQCNQAPCQETKLSCTMIFDMKLPRVTACTAEM
+>NM_152334|235409_2_833_921
+MDFIMTCSLKTEQCPAQNCQPWRIYVKPS
+>DECOY_NM_152334|235409_2_833_921
+SPKVYIRWPQCNQAPCQETKLSCTMIFDM
+>NM_004446|235478_2_3217_3380
+MAKGKTLLKTKEVGSHQVEQEKGRGLRNRPGWVLRQKKKKILLIGILRSSQSQK
+>DECOY_NM_004446|235478_2_3217_3380
+KQSQSSRLIGILLIKKKKQRLVWGPRNRLGRGKEQEVQHSGVEKTKLLTKGKAM
+>NM_004446|235488_3_3665_3765
+MGTVTQRPAHQAQSVVQCGALGIQASSAFPTYS
+>DECOY_NM_004446|235488_3_3665_3765
+SYTPFASSAQIGLAGCQVVSQAQHAPRQTVTGM
+>NM_002080|235565_2_325_449
+MESLTFCLASARQRPRLPQKIWTRNTCPLGDWLNFARHLQN
+>DECOY_NM_002080|235565_2_325_449
+NQLHRAFNLWDGLPCTNRTWIKQPLRPRQRASALCFTLSEM
+>NM_002080|235569_2_871_977
+MVIRMPGLCATSSNRALMFASANHMPRTWAYMVSV
+>DECOY_NM_002080|235569_2_871_977
+VSVMYAWTRPMHNASAFMLARNSSTACLGPMRIVM
+>NM_000925|235593_2_219_319
+MGHTRLVEGCGRNMETRGLLTLPYQRWALLELL
+>DECOY_NM_000925|235593_2_219_319
+LLELLAWRQYPLTLLGRTEMNRGCGEVLRTHGM
+>NM_024678|235665_2_1333_1430
+MALSTRLLLLIFWFLELGNSLEEASEKNDTIS
+>DECOY_NM_024678|235665_2_1333_1430
+SITDNKESAEELSNGLELFWFILLLLRTSLAM
+>NM_017946|235676_2_550_635
+MDQDPMNHSKKWILMMTGNSLKMRLKHI
+>DECOY_NM_017946|235676_2_550_635
+IHKLRMKLSNGTMMLIWKKSHNMPDQDM
+>NM_000167|235719_2_1071_1144
+MAFSPQWLTNLAETNQYIMLWKVL
+>DECOY_NM_000167|235719_2_1071_1144
+LVKWLMIYQNTEALNTLWQPSFAM
+>NM_000755|235748_2_1295_1503
+MAPVGLCTSMLQRRGPLLSPFWTMSSSTRRNPSLCGLPWCPCPCPRSCGSTSPPRSRATSRRPSRTSAS
+>DECOY_NM_000755|235748_2_1295_1503
+SASTRSPRRSTARSRPPSTSGCSRPCPCPCWPLGCLSPNRRTSSSMTWFPSLLPGRRQLMSTCLGVPAM
+>NM_002022|235771_3_1650_1726
+MGWSQKCHPDPVGQNIETFKNSNCP
+>DECOY_NM_002022|235771_3_1650_1726
+PCNSNKFTEINQGVPDPHCKQSWGM
+>NM_002826|235784_2_802_932
+MALSPESPCSWNPGPSIPLTCRDSLGSPGRLPRPQLHQPLLTR
+>DECOY_NM_002826|235784_2_802_932
+RTLLPQHLQPRPLRGPSGLSDRCTLPISPGPNWSCPSEPSLAM
+>NM_001006932|236062_3_139_290
+MEKDRGGAYGNRDHRGGSQPGCGAHHRRHCRRRRRRREGDRHQPSCEGGL
+>DECOY_NM_001006932|236062_3_139_290
+LGGECSPQHRDGERRRRRRRCHRRHHAGCGPQSGGRHDRNGYAGGRDKEM
+>NM_001080454|236078_2_589_671
+MGGSASRSYFNSPLKSTAVWKQEVKNQ
+>DECOY_NM_001080454|236078_2_589_671
+QNKVEQKWVATSKLPSNFYSRSASGGM
+>NM_001080454|236080_2_1219_1337
+MAMFYHLAKKGKLPSDSNLHGPSVSSLNMWTIHRKLLPR
+>DECOY_NM_001080454|236080_2_1219_1337
+RPLLKRHITWMNLSSVSPGHLNSDSPLKGKKALHYFMAM
+>NM_001080454|236082_2_1384_1541
+MGISGLSAELMMSLYPLGTVLGHLKWRVHSLSIQQLLNRLLSVVQIKSAERW
+>DECOY_NM_001080454|236082_2_1384_1541
+WREASKIQVVSLLRNLLQQISLSHVRWKLHGLVTGLPYLSMMLEASLGSIGM
+>NM_001080454|236085_3_263_375
+MELQRTGLLVPKSCQRAHQALWPAERRPFGRDSAQNP
+>DECOY_NM_001080454|236085_3_263_375
+PNQASDRGFPRREAPWLAQHARQCSKPVLLGTRQLEM
+>NM_015989|236146_2_1161_1453
+MGYGCMWMLPGVGASCCHRHTGISWMGSRGLTLWPGIPTSSSQQACNALHFFSRIPRTCSSAAMGPRPATFSSRTSSTMWLWTRETRWCSVAAVWTV
+>DECOY_NM_015989|236146_2_1161_1453
+VTWVAAVSCWRTERTWLWMTSSTRSSFTAPRPGMAASSCTRPIRSFFHLANCAQQSSSTPIGPWLTLGRSGMWSIGTHRHCCSAGVGPLMWMCGYGM
+>NM_015989|236150_2_1350_1453
+MGPRPATFSSRTSSTMWLWTRETRWCSVAAVWTV
+>DECOY_NM_015989|236150_2_1350_1453
+VTWVAAVSCWRTERTWLWMTSSTRSSFTAPRPGM
+>NM_015989|236152_3_1168_1253
+MAACGCCLGWERPAVTDTQASPGWDPEG
+>DECOY_NM_015989|236152_3_1168_1253
+GEPDWGPSAQTDTVAPREWGLCCGCAAM
+>NM_005932|236257_2_1982_2181
+MVLDITLTSCPERSPPWFGRSVFYRILSTGLPGSAIAGRCWPTVEAGSPCSWLKVCFRSVLLLMTS
+>DECOY_NM_005932|236257_2_1982_2181
+STMLLLVSRFCVKLWSCPSGAEVTPWCRGAIASGPLGTSLIRYFVSRGFWPPSREPCSTLTIDLVM
+>NM_003706|236307_3_1376_1584
+MGDHSQLPVQTRWHPGQDNEQPEAPPPGGCWFSHQHSLPTRAAPDAGGSPHPLLRLQCRRSFRDHPGYH
+>DECOY_NM_003706|236307_3_1376_1584
+HYGPHDRFSRRCQLRLLPHPSGGADPAARTPLSHQHSFWCGGPPPAEPQENDQGPHWRTQVPLQSHDGM
+>NM_002631|236360_2_499_638
+MAHRSCQEGTKKRGPTSRPSSKALLQKWELENPAVTGWEMREQATS
+>DECOY_NM_002631|236360_2_499_638
+STAQERMEWGTVAPNELEWKQLLAKSSPRSTPGRKKTGEQCSRHAM
+>NM_001002913|236418_2_442_611
+MESVPALAASTPMQCQGCGWVSGARRTLRRFRPMCWAASPLLSRSCCLCCWIEPPT
+>DECOY_NM_001002913|236418_2_442_611
+TPPEIWCCLCCSRSLLPSAAWCMPRFRRLTRRAGSVWGCGQCQMPTSAALAPVSEM
+>NM_005592|236467_2_2508_2698
+MGWPMRRSFTTCEMATSSPALRTAPWSCTISCVYVGASCLQTDPVSPVFTEFWNACVRGQREL
+>DECOY_NM_005592|236467_2_2508_2698
+LERQGRVCANWFETFVPSVPDTQLCSAGVYVCSITCSWPATRLAPSSTAMECTTFSRRMPWGM
+>NM_005592|236469_2_2547_2698
+MATSSPALRTAPWSCTISCVYVGASCLQTDPVSPVFTEFWNACVRGQREL
+>DECOY_NM_005592|236469_2_2547_2698
+LERQGRVCANWFETFVPSVPDTQLCSAGVYVCSITCSWPATRLAPSSTAM
+>NM_005592|236470_3_1324_1463
+MAGNGREDPQRTLQIRDAFAVRARMQQASQHALGPHGLCQTATSRL
+>DECOY_NM_005592|236470_3_1324_1463
+LRSTATQCLGHPGLAHQSAQQMRARVAFADRIQLTRQPDERGNGAM
+>NM_021071|236547_2_898_1004
+MALCAMRCIIGRRMSTLMPTQGPPFDLANSSPHPS
+>DECOY_NM_021071|236547_2_898_1004
+SPHPSSNALDFPPGQTPMLTSMRRGIICRMACLAM
+>NM_021129|236571_2_387_511
+MVPSLRLGKTQGTMINILAVVVTMTQLMCVKLEARYVQEVK
+>DECOY_NM_021129|236571_2_387_511
+KVEQVYRAELKVCMLQTMTVVVALINIMTGQTKGLRLSPVM
+>NM_015342|236658_2_626_699
+MAEEITSHFIFLTNSIHHLLLRYG
+>DECOY_NM_015342|236658_2_626_699
+GYRLLLHHISNTLFIFHSTIEEAM
+>NM_012208|236720_2_1309_1409
+MGWWACLTPRATRCHVWDSALGLSESSTLWSRG
+>DECOY_NM_012208|236720_2_1309_1409
+GRSWLTSSESLGLASDWVHCRTARPTLCAWWGM
+>NM_002109|236745_2_902_987
+MVGYPWWNSCSRILNYPKTSRPWRAWET
+>DECOY_NM_002109|236745_2_902_987
+TEWARWPRSTKPYNLIRSCSNWWPYGVM
+>NM_001333|236788_2_732_811
+MVASWLGPSSMSRRTEAWTLRNPIHM
+>DECOY_NM_001333|236788_2_732_811
+MHIPNRLTWAETRRSMSSPGLWSAVM
+>NM_001333|236791_2_1026_1135
+MVFWWLATALKEQIRITASIGSSKTAGVQNGARMAM
+>DECOY_NM_001333|236791_2_1026_1135
+MAMRAGNQVGATKSSGISATIRIQEKLATALWWFVM
+>NM_199227|236800_2_554_690
+MVFLTVDLFRMEILSTLMSQSITMATMETPLKHFWWAMWTNVVKS
+>DECOY_NM_199227|236800_2_554_690
+SKVVNTWMAWWFHKLPTEMTAMTISQSMLTSLIEMRFLDVTLFVM
+>NM_199227|236801_2_584_690
+MEILSTLMSQSITMATMETPLKHFWWAMWTNVVKS
+>DECOY_NM_199227|236801_2_584_690
+SKVVNTWMAWWFHKLPTEMTAMTISQSMLTSLIEM
+>NM_014188|236835_2_560_645
+MGFYICWTEIRESSPGQKDSRTAKTCLI
+>DECOY_NM_014188|236835_2_560_645
+ILCTKATRSDKQGPSSERIETWCIYFGM
+>NM_017545|236856_2_802_926
+MGLDNSMGCQPLLMFCQKLWRLWKGRWKSSWTGVCGKALMF
+>DECOY_NM_017545|236856_2_802_926
+FMLAKGCVGTWSSKWRGKWLRWLKQCFMLLPQCGMSNDLGM
+>NM_003549|236867_2_547_620
+MGASPRLCPLTATWHWLPTRSTTA
+>DECOY_NM_003549|236867_2_547_620
+ATTSRTPLWHWTATLPCLRPSAGM
+>NM_003549|236869_2_892_1193
+MAGIVWLPTIPAAAMQPPLPATLNCIGSGPPPVPSSPASTSHPGCHLPTTRPLSDIAWRRPSVWPLLGTDIPCLSWPMSASHTGDLGGSCPRMTLCSPLV
+>DECOY_NM_003549|236869_2_892_1193
+VLPSCLTMRPCSGGLDGTHSASMPWSLCPIDTGLLPWVSPRRWAIDSLPRTTPLHCGPHSTSAPSSPVPPPGSGICNLTAPLPPQMAAAPITPLWVIGAM
+>NM_005869|236944_2_544_659
+MAASFSSHWVEQMNLTISIPSLERLQGIQYITCCDCQK
+>DECOY_NM_005869|236944_2_544_659
+KQCDCCTIYQIGQLRELSPISITLNMQEVWHSSFSAAM
+>NM_001733|236979_2_432_514
+MGPSCSTRASWPTTKLWTLMNVLPGAN
+>DECOY_NM_001733|236979_2_432_514
+NAGPLVNMLTWLKTTPWSARTSCSPGM
+>NM_001733|236987_2_1140_1243
+MARGIVPCPDARSRTVGSPETCLMVTSVTPPQWE
+>DECOY_NM_001733|236987_2_1140_1243
+EWQPPTVSTVMLCTEPSGVTRSRADPCPVIGRAM
+>NM_000170|237027_2_1586_1806
+MAHLVFLLMKQSMKKIWTICCGSLVVSHLQNWLLKAWERSAEVFQGLCSRGPARSSPIKCSTATTLKQTLSGT
+>DECOY_NM_000170|237027_2_1586_1806
+TGSLTQKLTTATSCKIPSSRAPGRSCLGQFVEASREWAKLLWNQLHSVVLSGCCITWIKKMSQKMLLFVLHAM
+>NM_000170|237036_2_2855_2961
+MDFTPLPCPGLWQGPSWWSPLSRRTRQSWTDSVMP
+>DECOY_NM_000170|237036_2_2855_2961
+PMVSDTWSQRTRRSLPSWWSPGQWLGPCPLPTFDM
+>NM_006871|237070_3_227_330
+MAQRCPRPLGVHRGTGEPGARRQRRVRHSVPGAT
+>DECOY_NM_006871|237070_3_227_330
+TAGPVSHRVRRQRRAGPEGTGRHVGLPRPCRQAM
+>NM_000709|237119_2_432_520
+MVRRARTWGVPPPWTTRTWCLASTGRQVC
+>DECOY_NM_000709|237119_2_432_520
+CVQRGTSALCWTRTTWPPPVGWTRARRVM
+>NM_000709|237120_2_564_1015
+MATSVTWARGARCLSTTAARNATSSLSPLHWPRRSLRRWGRRTQPSGPMPTGSSSVTSARGQPVRGTPMPASTSLPHLSAPSSSSAGTMATPSPRPPLSSIAAMALQHEAPGMASCQSAWMVMMCLPYTTPQRRPDGGLWQRTSPSSSRP
+>DECOY_NM_000709|237120_2_564_1015
+PRSSSPSTRQWLGGDPRRQPTTYPLCMMVMWASQCSAMGPAEHQLAMAAISSLPPRPSPTAMTGASSSSPASLHPLSTSAPMPTGRVPQGRASTVSSSGTPMPGSPQTRRGWRRLSRRPWHLPSLSSTANRAATTSLCRAGRAWTVSTAM
+>NM_000709|237123_2_828_1015
+MATPSPRPPLSSIAAMALQHEAPGMASCQSAWMVMMCLPYTTPQRRPDGGLWQRTSPSSSRP
+>DECOY_NM_000709|237123_2_828_1015
+PRSSSPSTRQWLGGDPRRQPTTYPLCMMVMWASQCSAMGPAEHQLAMAAISSLPPRPSPTAM
+>NM_000709|237124_2_873_1015
+MALQHEAPGMASCQSAWMVMMCLPYTTPQRRPDGGLWQRTSPSSSRP
+>DECOY_NM_000709|237124_2_873_1015
+PRSSSPSTRQWLGGDPRRQPTTYPLCMMVMWASQCSAMGPAEHQLAM
+>NM_000709|237125_2_900_1015
+MASCQSAWMVMMCLPYTTPQRRPDGGLWQRTSPSSSRP
+>DECOY_NM_000709|237125_2_900_1015
+PRSSSPSTRQWLGGDPRRQPTTYPLCMMVMWASQCSAM
+>NM_006240|237210_2_803_900
+MVLGYNFLSLVRILIYFLRPSRNNRYFMPIMS
+>DECOY_NM_006240|237210_2_803_900
+SMIPMFYRNNRSPRLFYILIRVLSLFNYGLVM
+>NM_006240|237211_2_1208_1362
+MASRKKFCINISYMEKESYKSWKNSMPGSQSVQSLTMKSWSSMVGYQRPQT
+>DECOY_NM_006240|237211_2_1208_1362
+TQPRQYGVMSSWSKMTLSQVSQSGPMSNKWSKYSEKEMYSINICFKKRSAM
+>NM_006240|237215_2_1583_1668
+MAVFQIRAEEGAAILDQMLLPRFLINTS
+>DECOY_NM_006240|237215_2_1583_1668
+STNILFRPLLMQDLIAAGEEARIQFVAM
+>NM_006240|237217_2_2084_2160
+METLNTCPASRISALKNLYKRLILL
+>DECOY_NM_006240|237217_2_2084_2160
+LLILRKYLNKLASIRSAPCTNLTEM
+>NM_006239|237256_3_440_546
+MVPALRGPPGDEAALHLEHLPVYRICWAARPSQAP
+>DECOY_NM_006239|237256_3_440_546
+PAQSPRAAWCIRYVPLHELHLAAEDGPPGRLAPVM
+>NM_006239|237258_3_2174_2340
+MADAEATAGEQLSRQHAGVQVLAEELGQGTTESREHTIKFAGNIVSKPIQPRDHF
+>DECOY_NM_006239|237258_3_2174_2340
+FHDRPQIPKSVINGAFKITHERSETTGQGLEEALVQVGAHQRSLQEGATAEADAM
+>NM_014215|237299_2_2148_2443
+MGTSPTTWCCGSGWQRTATSTSMTTATAACGCPPATTIRASTAKTGILRPRWSPTAALASTHLLVRFCPRWRRKRPRSRRSLKTFYTTRSPSPYPLGR
+>DECOY_NM_014215|237299_2_2148_2443
+RGLPYPSPSRTTYFTKLSRRSRPRKRRWRPCFRVLLHTSALAATPSWRPRLIGTKATSARITTAPPCGCAATATTMSTSTATRQWGSGCCWTTPSTGM
+>NM_014215|237304_2_2946_3121
+MALGQTVLPSTSLAQRRRMLGGCMSSSLPPLWGSRCSSFLLPLVSSTARRETEPCMLL
+>DECOY_NM_014215|237304_2_2946_3121
+LLMCPETERRATSSVLPLLFSSCRSGWLPPLSSSMCGGLMRRRQALSTSPLVTQGLAM
+>NM_152740|237392_2_376_449
+MAIHLLFMMCSLMPAKSFKMQVNR
+>DECOY_NM_152740|237392_2_376_449
+RNVQMKFSKAPMLSCMMFLLHIAM
+>NM_002794|237402_2_321_409
+MDMNCLPRQQLTSHAETWLTVFGVGPHIM
+>DECOY_NM_002794|237402_2_321_409
+MIHPGVGFVTLWTEAHSTLQQRPLCNMDM
+>NM_024537|237452_2_633_850
+MANWSAWSLVQSESQRTLTSVMPVTSPCGRRPNPRRCSGPLPGDPGGRAGTSSALPSLVWYLEVNWISIQVG
+>DECOY_NM_024537|237452_2_633_850
+GVQISIWNVELYWVLSPLASSTGARGGPDGPLPGSCRRPNPRRGCPSTVPMVSTLTRQSESQVLSWASWNAM
+>NM_006229|237561_2_1090_1289
+MGFPSHCLEEQPLVRSKLLCLEIRETLTSTASSGGFSNQAQPIPMSLMQSWMLEQLRKSSFFGITM
+>DECOY_NM_006229|237561_2_1090_1289
+MTIGFFSSKRLQELMWSQMLSMPIPQAQNSFGGSSATSTLTERIELCLLKSRVLPQEELCHSPFGM
+>NM_016256|237644_2_643_722
+MEASTSTRAKPQSVTRHRRQVPLANL
+>DECOY_NM_016256|237644_2_643_722
+LNALPVQRRHRTVSQPKARTSTSAEM
+>NM_016256|237648_2_1213_1298
+MGRAARGLVSVSTIVPVTPRLATAASPE
+>DECOY_NM_016256|237648_2_1213_1298
+EPSAATALRPTVPVITSVSVLGRAARGM
+>NM_003249|237673_2_1467_1588
+MGAARSPSRPWWPTSPSPQPTRPRCCSMTRWRPTSMSLAT
+>DECOY_NM_003249|237673_2_1467_1588
+TALSMSTPRWRTMSCCRPRTPQPSPSTPWWPRSPSRAAGM
+>NM_001394|237726_2_460_575
+MAAARAAAAATAPWGCRAAASACCWTADRSWRTARATS
+>DECOY_NM_001394|237726_2_460_575
+STARATRWSRDATWCCASAAARCGWPATAAAAARAAAM
+>NM_004470|237768_2_380_510
+MGQSLTAACPRTSPLSSPLAQARSSRAGTRGCWGCVRGKSASW
+>DECOY_NM_004470|237768_2_380_510
+WSASKGRVCGWCGRTGARSSRAQALPSSLPSTRPCAATLSQGM
+>NM_004470|237769_2_530_603
+MESGELPQRFQAVQPWCSRWSCSK
+>DECOY_NM_004470|237769_2_530_603
+KSCSWRSCWPQVAQFRQPLEGSEM
+>NM_201442|237868_2_468_541
+MGFTSTSPIWTLSCQRTVRMTQCR
+>DECOY_NM_201442|237868_2_468_541
+RCQTMRVTRQCSLTWIPSTSTFGM
+>NM_201442|237870_2_1188_1282
+MEIQCPALRKTLPILFGSLRRQNMSLEMWCR
+>DECOY_NM_201442|237870_2_1188_1282
+RCWMELSMNQRRLSGFLIPLTKRLAPCQIEM
+>NM_201442|237872_2_1425_1555
+MVKLKTQRALCLVLSSATLVRSHITTWKMEEVGSITVLVTGAG
+>DECOY_NM_201442|237872_2_1425_1555
+GAGTVLVTISGVEEMKWTTIHSRVLTASSLVLCLARQTKLKVM
+>NM_006412|237895_2_634_857
+MGTCCLLRRAPSTWQSRHRCPSSPWCTLPSPPSTTPRRSSSLQEQSQCRCWKPSPPAASLRRTSLRSWTPATGP
+>DECOY_NM_006412|237895_2_634_857
+PGTAPTWSRLSTRRLSAAPPSPKWCRCQSQEQLSSSRRPTTSPPSPLTCWPSSPCRHRSQWTSPARRLLCCTGM
+>NM_005467|237931_2_1535_1764
+MGLRVNHCMKAGWKKTLHLKIKICLESISWDLEVTLKLIFRDLELLQAEPVTLRIRKQISTAATQCTTQFMRHLNW
+>DECOY_NM_005467|237931_2_1535_1764
+WNLHRMFQTTCQTAATSIQKRIRLTVPEAQLLELDRFILKLTVELDWSISELCIKIKLHLTKKWGAKMCHNVRLGM
+>NM_001097|238060_2_88_245
+MAPVGYGSGKTHRVVSASSAGRLHSMGPGPGWSASRSSRTTATGTTHVEAAC
+>DECOY_NM_001097|238060_2_88_245
+CAAEVHTTGTATTRSSRSASWGPGPGMSHLRGASSASVVRHTKGSGYGVPAM
+>NM_001097|238061_2_163_245
+MGPGPGWSASRSSRTTATGTTHVEAAC
+>DECOY_NM_001097|238061_2_163_245
+CAAEVHTTGTATTRSSRSASWGPGPGM
+>NM_001921|238148_2_334_434
+MGCQMGAVMTCCLGEGQQRISWTPNTRTCAMRS
+>DECOY_NM_001921|238148_2_334_434
+SRMACTRTNPTWSIRQQGEGLCCTMVAGMQCGM
+>NM_001921|238153_3_215_357
+MARVFYGCGLLISTEKQRSKFPGRRLHREFRKQDCRDWVQWDAKWVQ
+>DECOY_NM_001921|238153_3_215_357
+QVWKADWQVWDRCDQKRFERHLRRGPFKSRQKETSILLGCGYFVRAM
+>NM_001105558|238301_2_1283_1482
+MVGVCKLLYLKTLSLAIILKSQNSRTSFYRFPLALITSTTLAWYTWTSNLVIYSFVTRCKVNPLES
+>DECOY_NM_001105558|238301_2_1283_1482
+SELPNVKCRTVFSYIVLNSTWTYWALTTSTILALPFRYFSTRSNQSKLIIALSLTKLYLLKCVGVM
+>NM_007270|238393_2_875_978
+MEMGKTFPVRHLWCLMLHYWTSITPRTAFPLRTR
+>DECOY_NM_007270|238393_2_875_978
+RTRLPFATRPTISTWYHLMLCWLHRVPFTKGMEM
+>NM_007270|238394_2_881_978
+MGKTFPVRHLWCLMLHYWTSITPRTAFPLRTR
+>DECOY_NM_007270|238394_2_881_978
+RTRLPFATRPTISTWYHLMLCWLHRVPFTKGM
+>NM_007270|238395_2_1037_1122
+MARFWMAPSLIPATLGTARLTRTLGRAT
+>DECOY_NM_007270|238395_2_1037_1122
+TARGLTRTLRATGLTAPILSPAMWFRAM
+>NM_007270|238397_2_1646_1788
+MVRCHPTSLKKLTRMATEKSSWKSSQSTFTPRWHLAKGNSLLALMLS
+>DECOY_NM_007270|238397_2_1646_1788
+SLMLALLSNGKALHWRPTFTSQSSKWSSKETAMRTLKKLSTPHCRVM
+>NM_007270|238398_2_1688_1788
+MATEKSSWKSSQSTFTPRWHLAKGNSLLALMLS
+>DECOY_NM_007270|238398_2_1688_1788
+SLMLALLSNGKALHWRPTFTSQSSKWSSKETAM
+>NM_145185|238422_2_213_364
+MGAAARHPQRAPRSTPRPPPGPATCWGSRQPCSHPAAWRALRLTRSCRRS
+>DECOY_NM_145185|238422_2_213_364
+SRRCSRTLRLARWAAPHSCPQRSGWCTAPGPPPRPTSRPARQPHRAAAGM
+>NM_213600|238469_2_637_773
+MGQPHGKSTALGSSSWQCLEPTRSHSSCPCSLPQSQASHPPLPST
+>DECOY_NM_213600|238469_2_637_773
+TSPLPPHSAQSQPLSCPCSSHSRTPELCQWSSSGLATSKGHPQGM
+>NM_012343|238541_2_2929_3014
+MAPLQQLVENPWKFLAHIRKSTLTMQLT
+>DECOY_NM_012343|238541_2_2929_3014
+TLQMTLTSKRIHALFKWPNEVLQQLPAM
+>NM_005276|238669_2_829_908
+MEGGTGKWLRPLRVQESPLSSWRKSC
+>DECOY_NM_005276|238669_2_829_908
+CSKRWSSLPSEQVRLPRLWKGTGGEM
+>NM_001136123|238710_2_768_877
+MEEVDCLSLGQSSLKGNYPHQKNLNPKGCHQKRALL
+>DECOY_NM_001136123|238710_2_768_877
+LLARKQHCGKPNLNKQHPYNGKLSSQGLSLCDVEEM
+>NM_001136123|238717_2_1461_1576
+MDISQEKDPLLIHGNLLQQALSRINSLKKEKGTLWTQI
+>DECOY_NM_001136123|238717_2_1461_1576
+IQTWLTGKEKKLSNIRSLAQQLLNGHILLPDKEQSIDM
+>NM_001136123|238722_2_2187_2320
+MALLQSLPLLLWKLCHVSQALQHLQIKPLQKERVQEIPMQVAVH
+>DECOY_NM_001136123|238722_2_2187_2320
+HVAVQMPIEQVREKQLPKIQLHQLAQSVHCLKWLLLPLSQLLAM
+>NM_001098843|238795_3_1181_1314
+MEILLIWSRTISPASRHGKNNHTHWSSVNYCYTFQKSHNGRCPH
+>DECOY_NM_001098843|238795_3_1181_1314
+HPCRGNHSKQFTYCYNVSSWHTHNNKGHRSAPSITRSWILLIEM
+>NM_018438|238807_2_866_948
+MGAGTPSTGQAGMGPESPTAALSSAPR
+>DECOY_NM_018438|238807_2_866_948
+RPASSLAATPSEPGMGAQGTSPTGAGM
+>NM_015651|238968_3_867_940
+MVPADAAMLPVQAVVPRGLHPVPQ
+>DECOY_NM_015651|238968_3_867_940
+QPVPHLGRPVVAQVPLMAADAPVM
+>NM_025211|238987_2_1112_1245
+MEDSSIDWKMMFIKFLLEKNEENSLQNIMEQIIVQLMNTTRKWF
+>DECOY_NM_025211|238987_2_1112_1245
+FWKRTTNMLQVIIQEMINQLSNEENKELLFKIFMMKWDISSDEM
+>NM_001136103|239013_2_670_872
+MGWRKPRPTCRGSAPSAFTGPRTAPSSASCVWMVTWSSGCLPGQSSRERWSRPMSPSRAIPLWTSSS
+>DECOY_NM_001136103|239013_2_670_872
+SSSTWLPIARSPSMPRSWRERSSQGPLCGSSWTVMWVCSASSPATRPGTFASPASGRCTPRPKRWGM
+>NM_001136103|239020_2_2410_2507
+MGTSMAALPWSVRKGLSEEPLPRPGPCWTTKW
+>DECOY_NM_001136103|239020_2_2410_2507
+WKTTWCPGPRPLPEESLGKRVSWPLAAMSTGM
+>NM_175856|239093_2_1570_1658
+MATAELTPCTGWSTFWIYSFYTKDTREGN
+>DECOY_NM_175856|239093_2_1570_1658
+NGERTDKTYFSYIWFTSWGTCPTLEATAM
+>NM_001007794|239108_2_671_837
+MAVIHYQQFLWFLELVLQCSWGQTLIGCFFVVLRGHLCSIVRTGKRMFLEHCDLE
+>DECOY_NM_001007794|239108_2_671_837
+ELDCHELFMRKGTRVISCLHGRLVVFFCGILTQGWSCQLVLELFWLFQQYHIVAM
+>NM_002595|239127_2_622_770
+MAGLQRLTVCIPSSTSTQDLSRSPHCGDHTVLLEGALAPSWQCPEMEAD
+>DECOY_NM_002595|239127_2_622_770
+DAEMEPCQWSPALAGELLVTHDGCHPSRSLDQTSTSSPICVTLRQLGAM
+>NM_152282|239170_2_1569_1666
+MASMSHSTPLSAKTTTSVLPSPCARLKTWSAL
+>DECOY_NM_152282|239170_2_1569_1666
+LASWTKLRACPSPLVSTTTKASLPTSHSMSAM
+>NM_005469|239218_2_106_293
+MGRAVATAAIPLGTSVASWSRPCSTSSRWTRISSEEGITGYRPRGCLVVRSWARPWWLQPSL
+>DECOY_NM_005469|239218_2_106_293
+LSPQLWWPRAWSRVVLCGRPRYGTIGEESSIRTWRSSTSCPRSWSAVSTGLPIAATAVARGM
+>NM_005469|239219_2_430_566
+MGSPSSSARPPSSRPSPAPCSTSSPCPLCHHQKSCLTVRPSLTSI
+>DECOY_NM_005469|239219_2_430_566
+ISTLSPRVTLCSKQHHCLPCPSSTSCPAPSPRSSPPRASSSPSGM
+>NM_001079900|239227_2_290_483
+MALHPPRTSATWIVMTCSIAIFWMTSGVPSRLCPHRMGFLPGLLGGYTVLAMIYLNGSRGVICM
+>DECOY_NM_001079900|239227_2_290_483
+MCIVGRSGNLYIMALVTYGGLLGPLFGMRHPCLRSPVGSTMWFIAISCTMVIWTASTRPPHLAM
+>NM_001079900|239230_2_398_483
+MGFLPGLLGGYTVLAMIYLNGSRGVICM
+>DECOY_NM_001079900|239230_2_398_483
+MCIVGRSGNLYIMALVTYGGLLGPLFGM
+>NM_002044|239253_3_1306_1394
+MGRLHSINGTCGQAAQLSSKCAQSLLPEE
+>DECOY_NM_002044|239253_3_1306_1394
+EEPLLSQACKSSLQAAQGCTGNISHLRGM
+>NM_021232|239319_2_1291_1436
+MAPCATSWWLPTMRNLFARQPSACGSWAFLWMGLSVSDNFWACVTTSL
+>DECOY_NM_021232|239319_2_1291_1436
+LSTTVCAWFNDSVSLGMWLFAWSGCASPQRAFLNRMTPLWWSTACPAM
+>NM_172206|239361_2_596_702
+MAFHVALPREGPRLPREDQPSSCCPWSGCTRRLPS
+>DECOY_NM_172206|239361_2_596_702
+SPLRRTCGSWPCCSSPQDERPLRPGERPLAVHFAM
+>NM_024536|239395_3_1975_2093
+MAQCADSRTLTTAPHGSTLQEAPAGHTVPAGRARHGAHA
+>DECOY_NM_024536|239395_3_1975_2093
+AHAGHRARGAPVTHGAPAEQLTSGHPATTLTRSDACQAM
+>NM_025153|239445_2_899_972
+MASPIVHRKPHRCSLQRKGDRATT
+>DECOY_NM_025153|239445_2_899_972
+TTARDGKRQLSCRHPKRHVIPSAM
+>NM_025153|239447_2_1862_1959
+MGPLKNTLPSMCQMPMAASFPVPLGASTCSSQ
+>DECOY_NM_025153|239447_2_1862_1959
+QSSCTSAGLPVPFSAAMPMQCMSPLTNKLPGM
+>NM_025153|239468_3_4593_4849
+MDHFPRSRAPRQLPDVLSGIPPVQCHLRHLQQPHQSLLGDGRPALKPHFLPRLLSHTSCCSSPKILFPVSARNLWEVSNLKSSEN
+>DECOY_NM_025153|239468_3_4593_4849
+NESSKLNSVEWLNRASVPFLIKPSSCCSTHSLLRPLFHPKLAPRGDGLLSQHPQQLHRLHCQVPPIGSLVDPLQRPARSRPFHDM
+>NM_020944|239552_2_1401_1564
+MDWVVETMPQGVCGMSPSVWSVAGKLSGGCSCIIQPFQTPTRWLWLHESRQLPR
+>DECOY_NM_020944|239552_2_1401_1564
+RPLQRSEHLWLWRTPTQFPQIICSCGGSLKGAVSWVSPSMGCVGQPMTEVVWDM
+>NM_020944|239555_2_1833_2236
+MEMQHLPSATMHCADTQSGKRGSQLGRARYWMTDHCLPGTNLRCSMNYTSWLMEAQCGWKFLRTPYQRSWAETCVTSAPPYGTTVDLATLRARSTACTTHMMSTFMLPLPSSCSGPNLSSAYSMTWLWPLSGRT
+>DECOY_NM_020944|239555_2_1833_2236
+TRGSLPWLWTMSYASSLNPGSCSSPLPLMFTSMMHTTCATSRARLTALDVTTGYPPASTVCTEAWSRQYPTRLFKWGCQAEMLWSTYNMSCRLNTGPLCHDTMWYRARGLQSGRKGSQTDACHMTASPLHQMEM
+>NM_020944|239565_2_2718_2803
+MAAITTMTAALGLSLVVLCLTSVLDSGS
+>DECOY_NM_020944|239565_2_2718_2803
+SGSDLVSTLCLVVLSLGLAATMTTIAAM
+>NM_003947|239612_2_1763_1893
+MVRPFSANTLELGSPYIEPGPCRRGMMTLKRWLRIRTPMRTSS
+>DECOY_NM_003947|239612_2_1763_1893
+SSTRMPTRIRLWRKLTMMGRRCPGPEIYPSGLELTNASFPRVM
+>NM_003947|239617_2_2822_3078
+MESQCSTPAWSMPALCRKQSSCSGSTSSSNWPSSPSFMPLPCRRRTRVPCRYSRKPRCCSRPATTMPMPSGNVLRRWPSTGSSSC
+>DECOY_NM_003947|239617_2_2822_3078
+CSSSGTSPWRRLVNGSPMPMTTAPRSCCRPKRSYRCPVRTRRRCPLPMFSPSSPWNSSSTSGSCSSQKRCLAPMSWAPTSCQSEM
+>NM_003947|239632_3_2064_2341
+MDGRPSEGDVGGCLCRFCGCSPGTDQAVPAAADRHSRCHTQCHQGRRRPYPAAQVSASLPRGAQRGQGLGCVQQQNTPQQLHQPHRVGPAAA
+>DECOY_NM_003947|239632_3_2064_2341
+AAAPGVRHPQHLQQPTNQQQVCGLGQGRQAGRPLSASVQAAPYPRRRGQHCQTHCRSHRDAAAPVAQDTGPSCGCFRCLCGGVDGESPRGDM
+>NM_003947|239633_3_2811_3043
+MDPQWRVNAQRQPGQCQLFVGSRAAAAGARAVPTGHRVPLSCHFLAEDAPECPAGTAESRGAAPGRPLRCRCHPGMC
+>DECOY_NM_003947|239633_3_2811_3043
+CMGPHCRCRLPRGPAAGRSEATGAPCEPADEALFHCSLPVRHGTPVARAGAAAARSGVFLQCQGPQRQANVRWQPDM
+>NM_003947|239634_3_3732_3832
+MGDHGGQALQRFLPEDGKVPILTGESPRSQHRG
+>DECOY_NM_003947|239634_3_3732_3832
+GRHQSRPSEGTLIPVKGDEPLFRQLAQGGHDGM
+>NM_007064|239666_2_432_577
+MVGRALTWDLPSLGMKQPLRETALMRRARKVGVKMSRMKSHTHPSHHL
+>DECOY_NM_007064|239666_2_432_577
+LHHSPHTHSKMRSMKVGVKRARRMLATERLPQKMGLSPLDWTLARGVM
+>NM_032701|239684_2_1434_1528
+MGCLTWCVWTFVAWPQPHQLPQPLLGPQAPS
+>DECOY_NM_032701|239684_2_1434_1528
+SPAQPGLLPQPLQHPQPWAVFTWVCWTLCGM
+>NM_032582|239727_2_1448_1542
+MVCKQDTTGLSSPCSGGNSGKNMSNTMPTLW
+>DECOY_NM_032582|239727_2_1448_1542
+WLTPMTNSMNKGSNGGSCPSSLGTTDQKCVM
+>NM_032582|239732_2_2006_2100
+MEQTWPYLDQLSRTARQTSQSWNYFPAIFSS
+>DECOY_NM_032582|239732_2_2006_2100
+SSFIAPFYNWSQSTQRATRSLQDLYPWTQEM
+>NM_032582|239739_2_3773_3933
+MGTPVLGAHGIDFAEAVKLIVGKTELSLEMPISLWIGIPQPFTFAIKHPRKGL
+>DECOY_NM_032582|239739_2_3773_3933
+LGKRPHKIAFTFPQPIGIWLSIPMELSLETKGVILKVAEAFDIGHAGLVPTGM
+>NM_032582|239746_2_4727_4992
+MATAMVSLETTVKKTALMTKEKILVLSLFIIYMQFRAIQEFWVGAITSLMPKTQTASGTVTMTAAVRNFTRMKLTPTLPTFFSMSSRG
+>DECOY_NM_032582|239746_2_4727_4992
+GRSSMSFFTPLTPTLKMRTFNRVAATMTVTGSATQTKPMLSTIAGVWFEQIARFQMYIIFLSLVLIKEKTMLATKKVTTELSVMATAM
+>NM_032582|239747_2_4739_4992
+MVSLETTVKKTALMTKEKILVLSLFIIYMQFRAIQEFWVGAITSLMPKTQTASGTVTMTAAVRNFTRMKLTPTLPTFFSMSSRG
+>DECOY_NM_032582|239747_2_4739_4992
+GRSSMSFFTPLTPTLKMRTFNRVAATMTVTGSATQTKPMLSTIAGVWFEQIARFQMYIIFLSLVLIKEKTMLATKKVTTELSVM
+>NM_032582|239758_3_1425_1546
+MVRTREQVWSASRTQLVYHLHAVVATVERICQIRCQPCGN
+>DECOY_NM_032582|239758_3_1425_1546
+NGCPQCRIQCIREVTAVVAHLHYVLQTRSASWVQERTRVM
+>NM_138813|239827_3_926_1050
+MAGSVRGGCGLSPQGQHRPSRHALAGQHGAQQPVLCGDGGH
+>DECOY_NM_138813|239827_3_926_1050
+HGGDGCLVPQQAGHQGALAHRSPRHQGQPSLGCGGRVSGAM
+>NM_138813|239829_3_2510_2610
+MGAHRGQAGNGCEHRLRLRAAVREYAHSGGEGD
+>DECOY_NM_138813|239829_3_2510_2610
+DGEGGSHAYERVAARLRLRHECGNGAQGRHAGM
+>NM_001002837|239943_2_1379_1833
+METSSWATIVTTTASSSASLNPSRSRCLPRSWPAAAQTAQAPAQRERMTAHWSSLHPSPAAPVLASPSDTAAAARDWPGSLGLPYGPHPVNAVVPAVAPHPRAAACPEWLLTGAVMAAAGAVVKRGPLGCLAPGPSHQLCLEAWACCPPCA
+>DECOY_NM_001002837|239943_2_1379_1833
+ACPPCCAWAELCLQHSPGPALCGLPGRKVVAGAAAMVAGTLLWEPCAAARPHPAVAPVVANVPHPGYPLGLSGPWDRAAAATDSPSALVPAAPSPHLSSWHATMRERQAPAQATQAAAPWSRPLCRSRSPNLSASSSATTTVITAWSSTEM
+>NM_001002837|239945_2_1724_1833
+MAAAGAVVKRGPLGCLAPGPSHQLCLEAWACCPPCA
+>DECOY_NM_001002837|239945_2_1724_1833
+ACPPCCAWAELCLQHSPGPALCGLPGRKVVAGAAAM
+>NM_005734|239975_2_3475_3680
+METLGTEDSKLIFLLVLPVIHSLFLMEVPITQQCMPTWLEIHTSEDSLLYFHTHHQPPSVVLHQWPTC
+>DECOY_NM_005734|239975_2_3475_3680
+CTPWQHLVVSPPQHHTHFYLLSDESTHIELWTPMCQQTIPVEMLFLSHIVPLVLLFILKSDETGLTEM
+>NM_016529|240016_2_2996_3081
+MAKASTQRFSGVTASTPWSTPSSSSGFP
+>DECOY_NM_016529|240016_2_2996_3081
+PFGSSSSPTSWPTSATVGSFRQTSAKAM
+>NM_016529|240022_3_3405_3505
+MESSQAHLQKDIAGGGAGAGNQVSSPGKSGAAG
+>DECOY_NM_016529|240022_3_3405_3505
+GAAGSKGPSSVQNGAGAGGGAIDKQLHAQSSEM
+>NM_024615|240039_2_454_542
+MGRNQDRIVQWRKILKVTMIPKNFITEDR
+>DECOY_NM_024615|240039_2_454_542
+RDETIFNKPIMTVKLIKRWQVIRDQNRGM
+>NM_024615|240041_2_550_659
+MGNCTSTHNWKLICQQLERYMGHMQFLSGNMEPLMM
+>DECOY_NM_024615|240041_2_550_659
+MMLPEMNGSLFQMHGMYRELQQCILKWNHTSTCNGM
+>NM_024615|240048_2_2245_2354
+MVWLLLLIHDCSSMVQCMEVESILVQCQAYHLVTQG
+>DECOY_NM_024615|240048_2_2245_2354
+GQTVLHYAQCQVLISEVEMCQVMSSCDHILLLLWVM
+>NM_024615|240049_2_2521_2654
+MERYGLSPILTMSAHDSFSSMKTAKWEMQILIHKKEAFTKRSSE
+>DECOY_NM_024615|240049_2_2521_2654
+ESSRKTFAEKKHILIQMEWKATKMSSFSDHASMTLIPSLGYREM
+>NM_174944|240060_2_235_395
+MVMRWARPLAMAPMGRYMRLSTQSRRLWWQSRSSQRRRPLMTILTSSCPVKYR
+>DECOY_NM_174944|240060_2_235_395
+RYKVPCSSTLITMLPRRRQSSRSQWWLRRSQTSLRMYRGMPAMALPRAWRMVM
+>NM_174944|240062_2_265_395
+MAPMGRYMRLSTQSRRLWWQSRSSQRRRPLMTILTSSCPVKYR
+>DECOY_NM_174944|240062_2_265_395
+RYKVPCSSTLITMLPRRRQSSRSQWWLRRSQTSLRMYRGMPAM
+>NM_003500|240165_2_2086_2171
+METSTNACSSGLRSHQPILRRTLPMRNI
+>DECOY_NM_003500|240165_2_2086_2171
+INRMPLTRRLIPQHSRLGSSCANTSTEM
+>NM_052935|240176_2_424_638
+MESDALLLTIFWIIARSSVRSVGKSSQRSFTTITQLRSTHTGPSRRSYLIWWNGGPKHTISYVSRRFRSFR
+>DECOY_NM_052935|240176_2_424_638
+RFSRFRRSVYSITHKPGGNWWILYSRRSPGTHTSRLQTITTFSRQSSKGVSRVSSRAIIWFITLLLADSEM
+>NM_052935|240179_2_835_1028
+MVFSRDLRASSYTHTTRTALRVRTLVTSSNLRAKPMSSCWETLSGTSPWPMGFLVCRTFSKLAS
+>DECOY_NM_052935|240179_2_835_1028
+SALKSFTRCVLFGMPWPSTGSLTEWCSSMPKARLNSSTVLTRVRLATRTTHTYSSARLDRSFVM
+>NM_024652|240247_2_5444_5604
+MGRASLSSTVPPWRSAGGWSPTWPPPWLRQSCAALRAEGRRSSGAWMTRPTPW
+>DECOY_NM_024652|240247_2_5444_5604
+WPTPRTMWAGSSRRGEARLAACSQRLWPPPWTPSWGGASRWPPVTSSLSARGM
+>NM_024652|240255_3_1095_1315
+MVPSQTALGRPRLAHRHLLPDHGARPFCQLPGDPPLGYPLGPHQSPEAEPLRQPPGGAAWRAVIGRNHLFQAT
+>DECOY_NM_024652|240255_3_1095_1315
+TAQFLHNRGIVARWAAGGPPQRLPEAEPSQHPGLPYGLPPDGPLQCFPRAGHDPLLHRHALRPRGLATQSPVM
+>NM_014659|240302_2_2428_2816
+MGVWDFKAQQSCSVSLRHWLMWSFPRSTGSVGRRNWKLLWASVFHCCGRYYLTCREPTRMSLSTSCIPCTPEACSPQVATFERVSISPVRAMSTPCSVSSVMEDFLMRPRMHNGSELWIILVPSQSLTT
+>DECOY_NM_014659|240302_2_2428_2816
+TTLSQSPVLIIWLESGNHMRPRMLFDEMVSSVSCPTSMARVPSISVREFTAVQPSCAEPTCPICSTSLSMRTPERCTLYYRGCCHFVSAWLLKWNRRGVSGTSRPFSWMLWHRLSVSCSQQAKFDWVGM
+>NM_014659|240306_2_2731_2816
+MEDFLMRPRMHNGSELWIILVPSQSLTT
+>DECOY_NM_014659|240306_2_2731_2816
+TTLSQSPVLIIWLESGNHMRPRMLFDEM
+>NM_014659|240308_2_3352_3431
+MGLKGVPWCLPSTLWKHCIMPFPYVK
+>DECOY_NM_014659|240308_2_3352_3431
+KVYPFPMICHKWLTSPLCWPVGKLGM
+>NM_014659|240311_2_3991_4172
+MVTTHARRSLTSASHARSPANCARKSLRKFASYVWRTPRRSASHARGSLWRLASWSISSM
+>DECOY_NM_014659|240311_2_3991_4172
+MSSISWSALRWLSGRAHSASRRPTRWVYSAFKRLSKRACNAPSRAHSASTLSRRAHTTVM
+>NM_032501|240334_2_1356_1570
+MESTVGTVLPSTCPCPHWLWQQCWPVPGSELSTQSSLLASVQSPWLGGSMMPSARWLSPSTKDSGVGAWWS
+>DECOY_NM_032501|240334_2_1356_1570
+SWWAGVGSDKTSPSLWRASPMMSGGLWPSQVSALLSSQTSLESGPVPWCQQWLWHPCPCTSPLVTGVTSEM
+>NM_001024463|240400_2_2428_2909
+MGVWDFKAQQSCSVSLRHWLMWSFPRSTGSVGRRNWKLLWASVFHCCGRYYLTCREPTRMSTPEACSPQVATFERVSISPVRAMSTPCSVSSVMEDFLMRPRMQTGHCRLHPSLLRALAFRGDHPSFVTEKLVPWRYFLRLHPRGLVATGSFHLHGHPQK
+>DECOY_NM_001024463|240400_2_2428_2909
+KQPHGHLHFSGTAVLGRPHLRLFYRWPVLKETVFSPHDGRFALARLLSPHLRCHGTQMRPRMLFDEMVSSVSCPTSMARVPSISVREFTAVQPSCAEPTSMRTPERCTLYYRGCCHFVSAWLLKWNRRGVSGTSRPFSWMLWHRLSVSCSQQAKFDWVGM
+>NM_001024463|240404_2_2707_2909
+MEDFLMRPRMQTGHCRLHPSLLRALAFRGDHPSFVTEKLVPWRYFLRLHPRGLVATGSFHLHGHPQK
+>DECOY_NM_001024463|240404_2_2707_2909
+KQPHGHLHFSGTAVLGRPHLRLFYRWPVLKETVFSPHDGRFALARLLSPHLRCHGTQMRPRMLFDEM
+>NM_001105572|240417_2_133_218
+MAATVGLGIKGSPWMTLTAPHLPLPTRS
+>DECOY_NM_001105572|240417_2_133_218
+SRTPLPLHPATLTMWPSGKIGLGVTAAM
+>NM_018238|240423_2_544_650
+MGHCRRLLLVFFDEQMRLPSVRFPLDLSHWERPVV
+>DECOY_NM_018238|240423_2_544_650
+VVPREWHSLDLPFRVSPLRMQEDFFVLLLRRCHGM
+>NM_001130858|240476_2_2428_2828
+MGVWDFKAQQSCSVSLRHWLMWSFPRSTGSVGRRNWKLLWASVFHCCGRYYLTCREPTRMSLSTSCIPSVISGTPEACSPQVATFERVSISPVRAMSTPCSVSSVMEDFLMRPRMHNGSELWIILVPSQSLTT
+>DECOY_NM_001130858|240476_2_2428_2828
+TTLSQSPVLIIWLESGNHMRPRMLFDEMVSSVSCPTSMARVPSISVREFTAVQPSCAEPTGSIVSPICSTSLSMRTPERCTLYYRGCCHFVSAWLLKWNRRGVSGTSRPFSWMLWHRLSVSCSQQAKFDWVGM
+>NM_174914|240512_3_1076_1158
+MEVSVFSLAQRCPPGCKCENCGLASSE
+>DECOY_NM_174914|240512_3_1076_1158
+ESSALGCNECKCGPPCRQALSFVSVEM
+>NM_024514|240619_2_445_545
+MAKSLLNLKSWKKPNFSMMLLKHTKVDLLTLNS
+>DECOY_NM_024514|240619_2_445_545
+SNLTLLDVKTHKLLMMSFNPKKWSKLNLLSKAM
+>NM_024592|240635_2_249_487
+MGKPSVGSRRAPPPAEPLMSPRDIFPTFISSQCCGMASCFGALLNLCSWEHLFQAGFMVCSEFSGRHSSREGSWHCLHS
+>DECOY_NM_024592|240635_2_249_487
+SHLCHWSGERSSHRGSFESCVMFGAQFLHEWSCLNLLAGFCSAMGCCQSSIFTPFIDRPSMLPEAPPPARRSGVSPKGM
+>NM_024592|240637_2_354_487
+MASCFGALLNLCSWEHLFQAGFMVCSEFSGRHSSREGSWHCLHS
+>DECOY_NM_024592|240637_2_354_487
+SHLCHWSGERSSHRGSFESCVMFGAQFLHEWSCLNLLAGFCSAM
+>NM_032136|240657_2_627_715
+MANPQKALCGRLLLLPPTTTWTISWRSST
+>DECOY_NM_032136|240657_2_627_715
+TSSRWSITWTTTPPLLLLRGCLAKQPNAM
+>NM_009590|240667_2_627_922
+MALPWQLCMPPLGACAQGTELPGWPSTITSQGLVFSFTPWGWSYYWTTGPWTLPTGLSSRSSTLGTTMQTWASWNGSLSLAGWKWLESLYLHQMELHP
+>DECOY_NM_009590|240667_2_627_922
+PHLEMQHLYLSELWKWGALSLSGNWSAWTQMTTGLTSSRSSLGTPLTWPGTTWYYSWGWPTFSFVLGQSTITSPWGPLETGQACAGLPPMCLQWPLAM
+>NM_183075|240698_2_591_700
+MVPSGDNKGSSLIQLFVILGWENLAWSPRLLRSSNM
+>DECOY_NM_183075|240698_2_591_700
+MNSSRLLRPSWALNEWGLIVFLQILSSGKNDGSPVM
+>NM_178033|240802_2_1304_1380
+MGLLSLGTSWVRCRTPQCASRRRAD
+>DECOY_NM_178033|240802_2_1304_1380
+DARRRSACQPTRCRVWSTGLSLLGM
+>NM_016229|240851_2_617_693
+MGQGILESDQTRRVSLKKHWPITWE
+>DECOY_NM_016229|240851_2_617_693
+EWTIPWHKKLSVRRTQDSELIGQGM
+>NM_012424|240894_2_697_770
+MEWLPIKILPLELLVSIFLRILQH
+>DECOY_NM_012424|240894_2_697_770
+HQLIRLFISVLLELPLIKIPLWEM
+>NM_012424|240897_2_1624_1697
+MAKIALQSGQILVQVQKKNVLLVI
+>DECOY_NM_012424|240897_2_1624_1697
+IVLLVNKKQVQVLIQGSQLAIKAM
+>NM_012424|240898_2_1777_1853
+MVLIQKLLKASQHTLLLTVTAPAHS
+>DECOY_NM_012424|240898_2_1777_1853
+SHAPATVTLLLTHQSAKLLKQILVM
+>NM_022066|241030_2_771_970
+MAPSSTTSARTSATRVSSSMIPMASTQARCSLALPRSSPASSGCQVSSPCSAPRASSEWWWKRCRL
+>DECOY_NM_022066|241030_2_771_970
+LRCRKWWWESSARPASCPSSVQCGSSAPSSRPLALSCRAQTSAMPIMSSSVRTASTRASTTSSPAM
+>NM_022066|241035_2_1746_1837
+MAPWNATSAPTTSSLCTTWTTTSSALETSW
+>DECOY_NM_022066|241035_2_1746_1837
+WSTELASSTTTWTTCLSSTTPASTANWPAM
+>NM_022066|241036_2_2064_2194
+MGLLTRRMSHRWARWPVWTSAARWRWCGLTTQRPSSCPSTCTT
+>DECOY_NM_022066|241036_2_2064_2194
+TTCTSPCSSPRQTTLGCWRWRAASTWVPWRAWRHSMRRTLLGM
+>NM_022066|241038_2_3060_3151
+MASTCLTSSSPTSTQPCPPTSATSPNAVAA
+>DECOY_NM_022066|241038_2_3060_3151
+AAVANPSTASTPPCPQTSTPSSSTLCTSAM
+>NM_022066|241040_2_3171_3277
+MGRCVSASWAPGLERGQRGGQASPAFSRCSSPSKV
+>DECOY_NM_022066|241040_2_3171_3277
+VKSPSSCRSFAPSAQGGRQGRELGPAWSASVCRGM
+>NM_022066|241043_2_3624_3760
+MEGQPQERPPRAQTQRAVPRAWPQLAGTTQTRLRRPHQTHRCHPV
+>DECOY_NM_022066|241043_2_3624_3760
+VPHCRHTQHPRRLRTQTTGALQPWARPVARQTQARPPREQPQGEM
+>NM_005167|241062_2_886_962
+MVKSFQCPGSLPRRLSASVFSCLAS
+>DECOY_NM_005167|241062_2_886_962
+SALCSFVSASLRRPLSGPCQFSKVM
+>NM_015029|241088_2_1193_1290
+MEKMLNQLKKLSVMELEIHVYHTLGSLQPQAL
+>DECOY_NM_015029|241088_2_1193_1290
+LAQPQLSGLTHYVHIELEMVSLKKLQNLMKEM
+>NM_015029|241093_3_285_367
+MESRSRGHVSGDPQVYNCFYFCSSTSC
+>DECOY_NM_015029|241093_3_285_367
+CSTSSCFYFCNYVQPDGSVHGRSRSEM
+>NM_020379|241111_2_943_1025
+MATRVTCSEASAGQQSLTPSIPSTSWS
+>DECOY_NM_020379|241111_2_943_1025
+SWSTSPISPTLSQQGASAESCTVRTAM
+>NM_004384|241145_2_1008_1141
+MVYLKFTISALVVNTMLWCWNCWDLVWKTCLTCVTEHFLLKQFS
+>DECOY_NM_004384|241145_2_1008_1141
+SFQKLLFHETVCTLCTKWVLDWCNWCWLMTNVVLASITFKLYVM
+>NM_015227|241350_2_707_846
+MEGKNTGIPVAAWCLPGTCGRWETSSGADISTPRTTQTGSPSRRTG
+>DECOY_NM_015227|241350_2_707_846
+GTRRSPSGTQTTRPTSIDAGSSTEWRGCTGPLCWAAVPIGTNKGEM
+>NM_004314|241498_2_441_574
+MGWPSWPTQPTAPCTRSSMQPCVRRAAPGPTTSTTSPSRHSISC
+>DECOY_NM_004314|241498_2_441_574
+CSISHRSPSTTSTTPGPAARRVCPQMSSRTCPATPQTPWSPWGM
+>NM_152327|241586_2_696_790
+MERKEACYTHFLRWLGWARFLHYQFLAMEQM
+>DECOY_NM_152327|241586_2_696_790
+MQEMALFQYHLFRAWGLWRLFHTYCAEKREM
+>NM_032116|241630_2_642_760
+MVQVMVKCQNLMVLVMIRIWWKPLKETLYPGILAFIGMT
+>DECOY_NM_032116|241630_2_642_760
+TMGIFALIGPYLTEKLPKWWIRIMVLVMLNQCKVMVQVM
+>NM_032116|241631_2_654_760
+MVKCQNLMVLVMIRIWWKPLKETLYPGILAFIGMT
+>DECOY_NM_032116|241631_2_654_760
+TMGIFALIGPYLTEKLPKWWIRIMVLVMLNQCKVM
+>NM_001004318|241671_2_656_873
+MGPTGVPVWLCLETWGLTTRRPSPGCAGTPSRACMTPFSMWETLPTTWIRTTPVLGIGSCGSLNPWLPACRT
+>DECOY_NM_001004318|241671_2_656_873
+TRCAPLWPNLSGCSGIGLVPTTRIWTTPLTEWMSFPTMCARSPTGACGPSPRRTTLGWTELCLWVPVGTPGM
+>NM_001004318|241676_2_1028_1404
+MAATWYRGSFAGWRATSRKPIRTGQPGRGSSLWGTGPCTAPTQIWTTAHDMKARSAKASKASCTGWRIFSTNMEWICSCGLMSTRMNDCGQFTTTRYLTAAERCPTLTREGLSTSSQDLLAVRSG
+>DECOY_NM_001004318|241676_2_1028_1404
+GSRVALLDQSSTSLGERTLTPCREAATLYRTTTFQGCDNMRTSMLGCSCIWEMNTSFIRWGTCSAKSAKASRAKMDHATTWIQTPATCPGTGWLSSGRGPQGTRIPKRSTARWGAFSGRYWTAAM
+>NM_001004318|241678_2_1244_1404
+MEWICSCGLMSTRMNDCGQFTTTRYLTAAERCPTLTREGLSTSSQDLLAVRSG
+>DECOY_NM_001004318|241678_2_1244_1404
+GSRVALLDQSSTSLGERTLTPCREAATLYRTTTFQGCDNMRTSMLGCSCIWEM
+>NM_001247|241728_2_1129_1301
+MERSWSALACLPVSKESGNTQKSRTGFQGRKQRQACTSCVLPECQRSFKTECTGRRK
+>DECOY_NM_001247|241728_2_1129_1301
+KRRGTCETKFSRQCEPLVCSTCAQRQKRGQFGTRSKQTNGSEKSVPLCALASWSREM
+>NM_005881|241747_2_1052_1158
+MAMRPVSASMAMWLPGSPSSLCHWTTSCRSCSRMP
+>DECOY_NM_005881|241747_2_1052_1158
+PMRSCSRCSTTWHCLSSPSGPLWMAMSASVPRMAM
+>NM_080823|241821_2_123_250
+MAPPGPWTPTLTQCPRSPPSLAAPSLSSSLRSMTSRRGVAGS
+>DECOY_NM_080823|241821_2_123_250
+SGAVGRRSTMSRLSSSLSPAALSPPSRPCQTLTPTWPGPPAM
+>NM_003837|241943_2_773_984
+MAVLPMGPGMWAPWWLTCTAPWSMEESSCTQPTRRALRASSGSCMNAIPWPTSLSRQEAWRPRGPSLYWT
+>DECOY_NM_003837|241943_2_773_984
+TWYLSPGRPRWAEQRSLSTPWPIANMCSGSSARLARRTPQTCSSEEMSWPATCTLWWPAWMGPGMPLVAM
+>NM_020367|242026_2_973_1061
+MGTHSKFMVSACNSGICLEHINLCFLLEC
+>DECOY_NM_020367|242026_2_973_1061
+CELLFCLNIHELCIGSNCASVMFKSHTGM
+>NM_182642|242103_2_148_323
+MGRPCLLTAGRPCLWRRMAPSLRPQSNTCSLRPRPRTQTRSAWSSTWTRPWCTAPSSQ
+>DECOY_NM_182642|242103_2_148_323
+QSSPATCWPRTWTSSWASRTQTRPRPRLSCTNSQPRLSPAMRRWLCPRGATLLCPRGM
+>NM_182642|242104_2_199_323
+MAPSLRPQSNTCSLRPRPRTQTRSAWSSTWTRPWCTAPSSQ
+>DECOY_NM_182642|242104_2_199_323
+QSSPATCWPRTWTSSWASRTQTRPRPRLSCTNSQPRLSPAM
+>NM_023018|242144_2_991_1070
+MGWVRTARRLQAWTWMSGSRPCSTRS
+>DECOY_NM_023018|242144_2_991_1070
+SRTSCPRSGSMWTWAQLRRATRVWGM
+>NM_178423|242174_2_988_1079
+MGQLEVLLKMRLRFCPLPLMPSKWFHSNAF
+>DECOY_NM_178423|242174_2_988_1079
+FANSHFWKSPMLPLPCFRLRMKLLVELQGM
+>NM_178423|242181_2_2617_2759
+METVPSRPFMLTPASCTFHSIAMMKGTFSLAVEPQMRLEQALEKGTI
+>DECOY_NM_178423|242181_2_2617_2759
+ITGKELAQELRMQPEVALSFTGKMMAISHFTCSAPTLMFPRSPVTEM
+>NM_052955|242207_2_501_580
+MALFTRVMKDSSPPGPGTTGSLKRTS
+>DECOY_NM_052955|242207_2_501_580
+STRKLSGTTGPGPPSSDKMVRTFLAM
+>NM_052955|242209_2_711_826
+MACCRGTGARTTPKGSVLWSGRAVWPSYSSGQPGAGSL
+>DECOY_NM_052955|242209_2_711_826
+LSGAGPQGSSYSPWVARGSWLVSGKPTTRAGTGRCCAM
+>NM_052955|242212_2_1236_1384
+MARPRKSWPTTPVPSGRRSALRWWGQTSARASPAPTSTQKDPLRRELSS
+>DECOY_NM_052955|242212_2_1236_1384
+SSLERRLPDKQTSTPAPSARASTQGWWRLASRRGSPVPTTPWSKRPRAM
+>NM_003845|242227_3_1305_1432
+MGTFSSHDPGPGPQACLDSSVSEPQATAQAPDPEEIQFLFPL
+>DECOY_NM_003845|242227_3_1305_1432
+LPFLFQIEEPDPAQATAQPESVSSDLCAQPGPGPDHSSFTGM
+>NM_014839|242335_2_1190_1263
+MVAAVMELLIQKASSTETTEMLAL
+>DECOY_NM_014839|242335_2_1190_1263
+LALMETTETSSAKQILLEMVAAVM
+>NM_014839|242341_2_1589_1737
+MVLAISTSKSSLALSPDVTTACLEGQECPFSPVLGPHSWCTSLRRLRKT
+>DECOY_NM_014839|242341_2_1589_1737
+TKRLRRLSTCWSHPGLVPSFPCEQGELCATTVDPSLALSSKSTSIALVM
+>NM_016440|242413_2_968_1266
+MGACSMSRTSSSGPPSLCKSTSGRSCTRPHCWPSLPAWVSVFTRTNTGSWCYPAWGGAFSRPWMSAQSMCCQRGLCCRWPAGCWMPWSSSMRMSMFMEM
+>DECOY_NM_016440|242413_2_968_1266
+MEMFMSMRMSSSWPMWCGAPWRCCLGRQCCMSQASMWPRSFAGGWAPYCWSGTNTRTFVSVWAPLSPWCHPRTCSRGSTSKCLSPPGSSSTRSMSCAGM
+>NM_016440|242417_2_1325_1488
+MASPSAIAQVANTWPTWKAAGALTRGTLSSLAWTCTRDAGPPAAATSRAWATAC
+>DECOY_NM_016440|242417_2_1325_1488
+CATAWARSTAAAPPGADRTCTWALSSLTGRTLAGAAKWTPWTNAVQAIASPSAM
+>NM_017888|242509_3_155_297
+MAETPSPPGTEELQGILWVSWEASTSTCSSEDRGHLGSHQPGKAAGA
+>DECOY_NM_017888|242509_3_155_297
+AGAAKGPQHSGLHGRDESSCTSTSAEWSVWLIGQLEETGPPSPTEAM
+>NM_001130518|242537_2_1347_1462
+MGSTKPAMLLAWVWTGAPQRKPRPTSWPSCTRRWTRQR
+>DECOY_NM_001130518|242537_2_1347_1462
+RQRTWRRTCSPWSTPRPKRQPAGTWVWALLMAPKTSGM
+>NM_016653|242647_2_432_523
+MALSQNMLLWDHSMITLTVTEVRRWIWITL
+>DECOY_NM_016653|242647_2_432_523
+LTIWIWRRVETVTLTIMSHDWLLMNQSLAM
+>NM_001112724|242765_2_749_912
+MGTCTSQISTLLRCCPGRHRLPPWLAPSLTWHLRCSAPEKEQAIPLLLTGGPWE
+>DECOY_NM_001112724|242765_2_749_912
+EWPGGTLLLPIAQEKEPASCRLHWTLSPALWPPLRHRGPCCRLLTSIQSTCTGM
+>NM_022076|242823_2_226_335
+MVWPPTTNSFCPAIASPPLSMPRWKWSTYSSRAFST
+>DECOY_NM_022076|242823_2_226_335
+TSFARSSYTSWKWRPMSLPPSAIAPCFSNTTPPWVM
+>NM_138995|242869_2_3666_3847
+MAVHRLQATLLLSQRKMGIHKPRVLQKGAISSQDMQTSTRFLGLICCLLGYAILLQISKD
+>DECOY_NM_138995|242869_2_3666_3847
+DKSIQLLIAYGLLCCILGLFRTSTQMDQSSIAGKQLVRPKHIGMKRQSLLLTAQLRHVAM
+>NM_138995|242870_2_3714_3847
+MGIHKPRVLQKGAISSQDMQTSTRFLGLICCLLGYAILLQISKD
+>DECOY_NM_138995|242870_2_3714_3847
+DKSIQLLIAYGLLCCILGLFRTSTQMDQSSIAGKQLVRPKHIGM
+>NM_000613|243076_2_706_782
+MDTGMGLAMGTVPTMALSICAVAHI
+>DECOY_NM_000613|243076_2_706_782
+IHAVACISLAMTPVTGMALGMGTDM
+>NM_000613|243077_2_811_989
+MVPPMPSVGPTTGVWTPAGMAGIAGPLLISGPRVLQQWMLPFPGKKNSIWSRAPRYMSS
+>DECOY_NM_000613|243077_2_811_989
+SSMYRPARSWISNKKGPFPLMWQQLVRPGSILLPGAIGAMGAPTWVGTTPGVSPMPPVM
+>NM_000613|243079_2_868_989
+MAGIAGPLLISGPRVLQQWMLPFPGKKNSIWSRAPRYMSS
+>DECOY_NM_000613|243079_2_868_989
+SSMYRPARSWISNKKGPFPLMWQQLVRPGSILLPGAIGAM
+>NM_000613|243083_3_266_363
+MEEFPQPCGCCIPSRSQQCLSDQGGQSLGIPS
+>DECOY_NM_000613|243083_3_266_363
+SPIGLSQGGQDSLCQQSRSPICCGCPQPFEEM
+>NM_031267|243197_2_940_1286
+MGSAVAARPPSPAAATATAARNGPRSPRAAAAAAAAAAGKALRPHPAAVAAARTGTRRPTAAGLSRPRSRLRPTRNRPRPTGRTRPSLRPTGGGGPSAHWEAGTTARCPTGPLRA
+>DECOY_NM_031267|243197_2_940_1286
+ARLPGTPCRATTGAEWHASPGGGGTPRLSPRTRGTPRPRNRTPRLRSRPRSLGAATPRRTGTRAAAVAAPHPRLAKGAAAAAAAAAARPSRPGNRAATATAAAPSPPRAAVASGM
+>NM_031267|243199_2_2341_2474
+MVKPKKKILTGENAAWINLISSELLEKVLTDKFTKPGIKTLEKW
+>DECOY_NM_031267|243199_2_2341_2474
+WKELTKIGPKTFKDTLVKELLESSILNIWAANEGTLIKKKPKVM
+>NM_031267|243206_2_3724_3821
+MDRDMKRHYNSGHLQNLALRCRDKMTSSSIKI
+>DECOY_NM_031267|243206_2_3724_3821
+IKISSSTMKDRCRLALNQLHGSNYHRKMDRDM
+>NM_152259|243260_3_956_1077
+MDSHPRALGHASETFSETSQNFSKRLSGPVVSPNEQHFGH
+>DECOY_NM_152259|243260_3_956_1077
+HGFHQENPSVVPGSLRKSFNQSTESFTESAHGLARPHSDM
+>NM_001080448|243333_2_2909_3048
+MALSCGRSCPMERDLIGKCLTKMSFCPLKKGTDFQLPWAVQHLYTS
+>DECOY_NM_001080448|243333_2_2909_3048
+STYLHQVAWPLQFDTGKKLPCFSMKTLCKGILDREMPCSRGCSLAM
+>NM_178134|243358_3_113_201
+MDDQSPAPVSCTPCPLVLWPQGVLPSKGV
+>DECOY_NM_178134|243358_3_113_201
+VGKSPLVGQPWLVLPCPTCSVPAPSQDDM
+>NM_014826|243446_2_1703_1827
+MEKGDMDLNVTGGLWGSVCMKCFTEKHHFMQNRWWRHTEKS
+>DECOY_NM_014826|243446_2_1703_1827
+SKETHRWWRNQMFHHKETFCKMCVSGWLGGTVNLDMDGKEM
+>NM_014826|243447_2_1718_1827
+MDLNVTGGLWGSVCMKCFTEKHHFMQNRWWRHTEKS
+>DECOY_NM_014826|243447_2_1718_1827
+SKETHRWWRNQMFHHKETFCKMCVSGWLGGTVNLDM
+>NM_058177|243533_2_2508_2635
+METVPSRPFMLTPASCTFHSIAMMKGTFSLAVEPQMRFGLFL
+>DECOY_NM_058177|243533_2_2508_2635
+LFLGFRMQPEVALSFTGKMMAISHFTCSAPTLMFPRSPVTEM
+>NM_016593|243696_2_1000_1184
+MGSYCFGLLCLMLFLLHFGHLHTSFLILISTRPLWKAYLLCLAKQAKIRLKCLRMTWRISF
+>DECOY_NM_016593|243696_2_1000_1184
+FSIRWTMRLCKLRIKAQKALCLLYAKWLPRTSILILFSTHLHGFHLLFLMLCLLGFCYSGM
+>NM_138766|243789_2_875_957
+MGILVLLEIITRTVLVCPYTSHVCHSL
+>DECOY_NM_138766|243789_2_875_957
+LSHCVHSTYPCVLVTRTIIELLVLIGM
+>NM_138766|243792_2_2138_2217
+MGIIGSQTWLSIRCSNWIQTIKKALY
+>DECOY_NM_138766|243792_2_2138_2217
+YLAKKITQIWNSCRISLWTQSGIIGM
+>NM_025235|243813_2_535_614
+MVQMSKHVMMGALFLFIMHALLVMLK
+>DECOY_NM_025235|243813_2_535_614
+KLMVLLAHMIFLFLAGMMVHKSMQVM
+>NM_025235|243814_2_634_785
+MVQTPMLEIIGIILLSMKLQLKERLMFALCCYSMELSQPSEIQMEGQHWI
+>DECOY_NM_025235|243814_2_634_785
+IWHQGEMQIESPQSLEMSYCCLAFMLREKLQLKMSLLIIGIIELMPTQVM
+>NM_025235|243818_2_994_1073
+MELMSMLKIKVIWYHYTMPVLMVIMK
+>DECOY_NM_025235|243818_2_994_1073
+KMIVMLVPMTYHYWIVKIKLMSMLEM
+>NM_025235|243823_2_1660_1757
+MGVILTLYPFRALLLYRWEMKMYSNSSKRVSH
+>DECOY_NM_025235|243823_2_1660_1757
+HSVRKSSNSYMKMEWRYLLLARFPYLTLIVGM
+>NM_025235|243824_2_1939_2048
+MELMCMLKIKEALYLCTMHVLMDIMKLQNFLLNMEQ
+>DECOY_NM_025235|243824_2_1939_2048
+QEMNLLFNQLKMIDMLVHMTCLYLAEKIKLMCMLEM
+>NM_025235|243829_2_2137_2246
+MVQTLQKKTGMEILLWILLKMEIQIFKICLGEMQLC
+>DECOY_NM_025235|243829_2_2137_2246
+CLQMEGLCIKFIQIEMKLLIWLLIEMGTKKQLTQVM
+>NM_025235|243830_2_2167_2246
+MEILLWILLKMEIQIFKICLGEMQLC
+>DECOY_NM_025235|243830_2_2167_2246
+CLQMEGLCIKFIQIEMKLLIWLLIEM
+>NM_025235|243835_2_3238_3404
+MEVMQVESSTDTIFSRFRRFVTRNYGKDTLTGEKKFLKKTTTMPMNECYFMGLLL
+>DECOY_NM_025235|243835_2_3238_3404
+LLLGMFYCENMPMTTTKKLFKKEGTLTDKGYNRTVFRRFRSFITDTSSEVQMVEM
+>NM_004203|243863_2_1626_1750
+MGWLTLPAGYSPWARQPPRLAHHPAVCSWTAASPATGMTTA
+>DECOY_NM_004203|243863_2_1626_1750
+ATTMGTAPSAATWSCVAPHHALRPPQRAWPSYGAPLTLWGM
+>NM_001024660|243968_2_4928_5049
+MEWRILTARGMGAANQTPSPLLLGPLRTQWTVTSSLVDVS
+>DECOY_NM_001024660|243968_2_4928_5049
+SVDVLSSTVTWQTRLPGLLLPSPTQNAAGMGRATLIRWEM
+>NM_001024660|243969_2_4958_5049
+MGAANQTPSPLLLGPLRTQWTVTSSLVDVS
+>DECOY_NM_001024660|243969_2_4958_5049
+SVDVLSSTVTWQTRLPGLLLPSPTQNAAGM
+>NM_003645|243999_2_1218_1318
+MAYEEMCGDNLSRDLGTYASMSSMLPLKAILDL
+>DECOY_NM_003645|243999_2_1218_1318
+LDLIAKLPLMSSMSAYTGLDRSLNDGCMEEYAM
+>NM_003645|244005_2_1434_1552
+MDIASEFPKVKLDFWFAKSHNLHHLMAMLEQRLRQRRKN
+>DECOY_NM_003645|244005_2_1434_1552
+NKRRQRLRQELMAMLHHLNHSKAFWFDLKVKPFESAIDM
+>NM_006122|244257_2_130_251
+MVGTSPGAKFLCCRTALSSWSSFWRRTMRLSAISRTPCWS
+>DECOY_NM_006122|244257_2_130_251
+SWCPTRSIASLRMTRRWFSSWSSLATRCCLFKAGPSTGVM
+>NM_017525|244310_3_4037_4635
+MGADRAAQEGAAPQSRGLPVPLRHREGPPDLPQEPAGREGRVRHPGPHRQQPAPAVPHQEQAPLLFPRVGGAAEAAAQGDAEGPFCALQAHLAAYQLQPPSTRGPCQRAARRQGQVPGSRREGPSCPRLRPTAAPQLLRGVAAPSLHGQRRPRWRRRPHEEETLDIPVQRVCVLPPGIAEPCNLPNAGLRTAPKPPPIP
+>DECOY_NM_017525|244310_3_4037_4635
+PIPPPKPATRLGANPLNCPEAIGPPLVCVRQVPIDLTEEEHPRRRWRPRRQGHLSPAAVGRLLQPAATPRLRPCSPGERRSGPVQGQRRAARQCPGRTSPPQLQYAALHAQLACFPGEADGQAAAEAAGGVRPFLLPAQEQHPVAPAPQQRHPGPHRVRGERGAPEQPLDPPGERHRLPVPLGRSQPAAGEQAARDAGM
+>NM_052988|244343_2_272_345
+MASPSAACGRSRCCSACVIRTSWS
+>DECOY_NM_052988|244343_2_272_345
+SWSTRIVCASCCRSRGCAASPSAM
+>NM_003558|244389_2_324_415
+MERQHLENKMKKKPIKRLHHLLLKVLFSWE
+>DECOY_NM_003558|244389_2_324_415
+EWSFLVKLLLHHLRKIPKKKMKNELHQREM
+>NM_173500|244420_2_1499_1617
+MEKMASLLVCHQINCLDLWDTPVPRRRMFGKRWMPTKTR
+>DECOY_NM_173500|244420_2_1499_1617
+RTKTPMWRKGFMRRRPVPTDWLDLCNIQHCVLLSAMKEM
+>NM_173500|244421_2_1508_1617
+MASLLVCHQINCLDLWDTPVPRRRMFGKRWMPTKTR
+>DECOY_NM_173500|244421_2_1508_1617
+RTKTPMWRKGFMRRRPVPTDWLDLCNIQHCVLLSAM
+>NM_173500|244422_2_1661_1803
+MARQMVFSMLQALGHQFVSAQRLLSQTEIFHWCESYVPFTALSWKNV
+>DECOY_NM_173500|244422_2_1661_1803
+VNKWSLATFPVYSECWHFIETQSLLRQASVFQHGLAQLMSFVMQRAM
+>NM_173500|244428_2_3176_3255
+MENYFIVFQRMNMVPQPGRIWLGHPL
+>DECOY_NM_173500|244428_2_3176_3255
+LPHGLWIRGPQPVMNMRQFVIFYNEM
+>NM_173500|244429_2_3788_4086
+MDLRNPGALLSARVQDLLTIQKHHPRVQLSLAGVPVPLLEAHPCLARLVPHHLGLDGPTMTRGVRPHIWGEASHLPATQDLPPPGGPANRSIANPARMA
+>DECOY_NM_173500|244429_2_3788_4086
+AMRAPNAISRNAPGGPPPLDQTAPLHSAEGWIHPRVGRTMTPGDLGLHHPVLRALCPHAELLPVPVGALSLQVRPHHKQITLLDQVRASLLAGPNRLDM
+>NM_173500|244433_3_498_673
+MESVEKDWGWGLWRNLRCLGHAHQGKCCTEGGISSTTKTSSENGSCCFEKAARERPCL
+>DECOY_NM_173500|244433_3_498_673
+LCPRERAAKEFCCSGNESSTKTTSSIGGETCCKGQHAHGLCRLNRWLGWGWDKEVSEM
+>NM_014921|244486_2_939_1156
+MVPSSTTRSARATSSSMTYGRASRAGRRSSIPPTTMTPRPTAGAERPTLTWRWTRTGCGSSTPLRATTGGWW
+>DECOY_NM_014921|244486_2_939_1156
+WWGGTTARLPTSSGCGTRTWRWTLTPREAGATPRPTMTTPPISSRRGARSARGYTMSSSTARASRTTSSPVM
+>NM_014921|244495_2_2442_2515
+MGWSKLSSSSTTTWASSCPRRMPQ
+>DECOY_NM_014921|244495_2_2442_2515
+QPMRRPCSSAWTTTSSSSLKSWGM
+>NM_019892|244520_2_704_783
+MGPVPPGAQCRARAPGPPPTAAPRPA
+>DECOY_NM_019892|244520_2_704_783
+APRPAATPPPGPARARCQAGPPVPGM
+>NM_017857|244675_2_244_410
+MEGTMMMQQRPVLSQQRRPRVRRSSTGTRQTSGKDPRVPRSRRSRGSTCTSWYSC
+>DECOY_NM_017857|244675_2_244_410
+CSYWSTCTSGRSRRSRPVRPDKGSTQRTGTSSRRVRPRRQQSLVPRQQMMMTGEM
+>NM_153486|244713_2_1443_1531
+MASEWASGSCCRRRWAPWAWRPCGSSRPC
+>DECOY_NM_153486|244713_2_1443_1531
+CPRSSGCPRWAWPAWRRRCCSGSAWESAM
+>NM_153712|244743_2_519_697
+MEFSHQSVTQGQMKENSFSPLITERKRMERATFGLQSHQPVPKVKAFSSPQRLQSFSIS
+>DECOY_NM_153712|244743_2_519_697
+SISFSQLRQPSSFAKVKPVPQHSQLGFTAREMRKRETILPSFSNEKMQGQTVSQHSFEM
+>NM_153712|244745_2_600_697
+MERATFGLQSHQPVPKVKAFSSPQRLQSFSIS
+>DECOY_NM_153712|244745_2_600_697
+SISFSQLRQPSSFAKVKPVPQHSQLGFTAREM
+>NM_053006|244801_2_1088_1203
+MGASSSARPSAGRQHMQPPRCCRASPTSPRCMTSGAWA
+>DECOY_NM_053006|244801_2_1088_1203
+AWAGSTMCRPSTPSARCCRPPQMHQRGASPRASSSAGM
+>NM_145052|244829_2_898_995
+MEFNPVLSSYSVCSPLLMVPNQSFRSFQRSQF
+>DECOY_NM_145052|244829_2_898_995
+FQSRQFSRFSQNPVMLLPSCVSYSSLVPNFEM
+>NM_031965|244896_2_868_1016
+MDQRVQVCQAQARGGPQARTLVKREGFKRPSGESIRRPVFPRAALCQGE
+>DECOY_NM_031965|244896_2_868_1016
+EGQCLAARPFVPRRISEGSPRKFGERKVLTRAQPGGRAQAQCVQVRQDM
+>NM_031965|244901_2_2119_2207
+MGLWFSVTFPWMRTCLPVTVTTSLTSTGS
+>DECOY_NM_031965|244901_2_2119_2207
+SGTSTLSTTVTVPLCTRMWPFTVSFWLGM
+>NM_001008489|244912_2_779_852
+MVISLLKIIILILAIDAQRIFAKR
+>DECOY_NM_001008489|244912_2_779_852
+RKAFIRQADIALILIIIKLLSIVM
+>NM_001080826|244949_2_835_1100
+MVAGTAHPRAGSRGSVPGPQSRRSGARASPRSAVARAPLPTHPAWAPRNCPSPRRLPFLPTASLVAAAAAAAPVAPSSPTSRVITAPS
+>DECOY_NM_001080826|244949_2_835_1100
+SPATIVRSTPSSPAVPAAAAAAAVLSATPLFPLRRPSPCNRPAWAPHTPLPARAVASRPSARAGSRRSQPGPVSGRSGARPHATGAVM
+>NM_001080826|244953_2_1717_1910
+MGALAAAALGPSLHPKVLLTPLLPAGPTVSLSVTHPGVPSLPPRQPRNRGGPGSRQAPGVVSAG
+>DECOY_NM_001080826|244953_2_1717_1910
+GASVVGPAQRSGPGGRNRPQRPPLSPVGPHTVSLSVTPGAPLLPTLLVKPHLSPGLAAAALAGM
+>NM_001080826|244954_2_1972_2066
+MAPRTIQTPRPGTVSTPQMAPLGRTAKLGPG
+>DECOY_NM_001080826|244954_2_1972_2066
+GPGLKATRGLPAMQPTSVTGPRPTQITRPAM
+>NM_001080826|244955_2_2308_2453
+MEVPRLSWLTRPPTAGRSSLLPFRFLQAPLRTCPPVAPSSPLHSPRKR
+>DECOY_NM_001080826|244955_2_2308_2453
+RKRPSHLPSSPAVPPCTRLPAQLFRFPLLSSRGATPPRTLWSLRPVEM
+>NM_017851|245072_2_846_958
+MGGSTASSAPSLAVWPCVRSLTIRTSSAKPRRRIPRR
+>DECOY_NM_017851|245072_2_846_958
+RRPIRRRPKASSTRITLSRVCPWVALSPASSATSGGM
+>NM_033195|245086_2_444_517
+MAALSRKCQILFVAKITLSQQTPT
+>DECOY_NM_033195|245086_2_444_517
+TPTQQSLTIKAVFLIQCKRSLAAM
+>NM_006876|245101_2_1010_1242
+MGCAPPARHPPTIPAGSTCRKRACCGPPTWYLGRTPGSHSTWQEARCPPSTSAFGSTASTESARPASCMWRGLILRS
+>DECOY_NM_006876|245101_2_1010_1242
+SRLILGRWMCSAPRASETSATSGFASTSPPCRAEQWTSHSGPTRGLYWTPPGCCARKRCTSGAPITPPHRAPPACGM
+>NM_139242|245145_3_481_557
+MAWPSPCNPYSASRRHSYWSNNYAN
+>DECOY_NM_139242|245145_3_481_557
+NAYNNSWYSHRRSASYPNCPSPWAM
+>NM_139242|245146_3_781_875
+MGGTNFRTNIQTLPCHWKYNSVADALDGEYH
+>DECOY_NM_139242|245146_3_781_875
+HYEGDLADAVSNYKWHCPLTQINTRFNTGGM
+>NM_198268|245256_2_3417_3757
+MAARYTRQGTHTLPRPLLTCQARLICIRMLPRLLLLHWAQPAPLLIFSPHRVPQGMLQPIPLTLALWCTRSLSVLGPASSLLPAWPLLSTNTSLPPNPTLGLPEAQQFTLDTR
+>DECOY_NM_198268|245256_2_3417_3757
+RTDLTFQQAEPLGLTPNPPLSTNTSLLPWAPLLSSAPGLVSLSRTCWLALTLPIPQLMGQPVRHPSFILLPAPQAWHLLLLRPLMRICILRAQCTLLPRPLTHTGQRTYRAAM
+>NM_001947|245293_2_712_923
+MASSISSMSHPTYPTPSSTAASSPTSRSPSLTTGARTSPSSSLRPSASLTKPAPRSVVSWCTAWQASAAQ
+>DECOY_NM_001947|245293_2_712_923
+QAASAQWATCWSVVSRPAPKTLSASPRLSSSPSTRAGTTLSPSRSTPSSAATSSPTPYTPHSMSSISSAM
+>NM_020439|245315_2_619_917
+MASCPLPVGPQATWLQKCWPRNPTARLWIAGPSASSPTYCSVDTPHSMKKRSLSFSRRSRRATMSLSLHSGMTFLSQPRTLFATCLRRIRTSGTPVRRP
+>DECOY_NM_020439|245315_2_619_917
+PRRVPTGSTRIRRLCTAFLTRPQSLFTMGSHLSLSMTARRSRRSFSLSRKKMSHPTDVSCYTPSSASPGAIWLRATPNRPWCKQLWTAQPGVPLPCSAM
+>NM_020439|245319_2_1231_1370
+MAAGPLPLVAGPSTAWSMAPSTSAAAWCPCIRGPWPPGPVAAAPAA
+>DECOY_NM_020439|245319_2_1231_1370
+AAPAAAVPGPPWPGRICPCWAAASTSPAMSWATSPGAVLPLPGAAM
+>NM_004391|245560_2_1457_1674
+METSWPSFPTSQCTWTLTSTLSPPSSSTIASSTLMAAGKWTSSRQARRSTTTPCPGVRAFPSALGGSLHSVR
+>DECOY_NM_004391|245560_2_1457_1674
+RVSHLSGGLASPFARVGPCPTTTSRRAQRSSTWKGAAMLTSSAITSSSPPSLTSTLTWTCQSTPFSPWSTEM
+>NM_004391|245565_3_414_487
+MGAPSGQGYRALAWPCHGFPEEYV
+>DECOY_NM_004391|245565_3_414_487
+VYEEPFGHCPWALARYGQGSPAGM
+>NM_001011709|245586_3_199_320
+MDQDFLNRVGRFTLVSREDKHSFPALHYTQSQCLSGDQCG
+>DECOY_NM_001011709|245586_3_199_320
+GCQDGSLCQSQTYHLAPFSHKDERSVLTFRGVRNLFDQDM
+>NM_031915|245624_2_1567_1670
+MEWNQCPFLSVMKLTVESSHSLSTERLCGLEHII
+>DECOY_NM_031915|245624_2_1567_1670
+IIHELGCLRETSLSHSSEVTLKMVSLFPCQNWEM
+>NM_031915|245627_2_2329_2411
+MGKKWNLFPRSLSLQKIMMDLNHPESI
+>DECOY_NM_031915|245627_2_2329_2411
+ISEPHNLDMMIKQLSLSRPFLNWKKGM
+>NM_014142|245664_2_717_847
+MVKPQKQLLSGSLKKKLATKGTLPNVLQRSVWTQACQTVLYTS
+>DECOY_NM_014142|245664_2_717_847
+STYLVTQCAQTWVSRQLVNPLTGKTALKKKLSGSLLQKQPKVM
+>NM_015148|245685_2_246_577
+MGFPDSARAGQRSLKTDGAPIVYHHWLPRIFVQVNCTALLPLSTRTRPNRGAVCPAAPCCGDCPQGGPHLCFRPLCATLTRPSSRWMPRPQRSWLLTTKLAGSWGTAART
+>DECOY_NM_015148|245685_2_246_577
+TRAATGWSGALKTTLLWSRQPRPMWRSSPRTLTACLPRFCLHPGGQPCDGCCPAAPCVAGRNPRTRTSLPLLATCNVQVFIRPLWHHYVIPAGDTKLSRQGARASDPFGM
+>NM_015148|245688_2_831_922
+MAPSRHVTVSLLIFTGTCLGRTWLGSISQT
+>DECOY_NM_015148|245688_2_831_922
+TQSISGLWTRGLCTGTFILLSVTVHRSPAM
+>NM_015148|245691_2_1929_2020
+MGVNGACGGEARTWPPAPLGWQASRLGHLL
+>DECOY_NM_015148|245691_2_1929_2020
+LLHGLRSAQWGLPAPPWTRAEGGCAGNVGM
+>NM_006374|245704_2_1098_1426
+MARSPALRTLTLMARRRTGSRAPSGRSPLPSGRVHTASFTRGRPCTVHRSLRSPSRGSRGPSACPRWSGPSSESSKRSTSRAAGAWVRWRSWRTPSAWPRSPAPASQTS
+>DECOY_NM_006374|245704_2_1098_1426
+STQSAPAPSRPWASPTRWSRWRVWAGAARSTSRKSSESSPGSWRPCASPGRSGRSPSRLSRHVTCPRGRTFSATHVRGSPLPSRGSPARSGTRRRAMLTLTRLAPSRAM
+>NM_153021|245813_2_2254_2351
+MELAPNQTTSPMSPHSIGDCHTVQEGTAPWRM
+>DECOY_NM_153021|245813_2_2254_2351
+MRWPATGEQVTHCDGISHPSMPSTTQNPALEM
+>NM_153021|245817_2_3898_3995
+MASPVSPTGTNTHSVRTLRLWCSLSSKTHSPH
+>DECOY_NM_153021|245817_2_3898_3995
+HPSHTKSSLSCWLRLTRVSHTNTGTPSVPSAM
+>NM_033331|245844_2_1026_1099
+MASLISTHLTLMNMNTMKKQKMEI
+>DECOY_NM_033331|245844_2_1026_1099
+IEMKQKKMTNMNMLTLHTSILSAM
+>NM_004901|245919_2_429_532
+MGSWWTVVAVGLEYLFTAGQGIMAIHMICWISGK
+>DECOY_NM_004901|245919_2_429_532
+KGSIWCIMHIAMIGQGATFLYELGVAVVTWWSGM
+>NM_033389|246115_2_163_281
+MAHPHQESATDGTSMQAISNSISKQCSFYSAQKTTSDWL
+>DECOY_NM_033389|246115_2_163_281
+LWDSTTKQASYFSCQKSISNSIAQMSTGDTASEQHPHAM
+>NM_033389|246118_2_463_617
+MVGSVYRRITEFTYSNLYLCRQCGLHYRAYTRLVKSPERITTTQAAYFSLG
+>DECOY_NM_033389|246118_2_463_617
+GLSFYAAQTTTIREPSKVLRTYARYHLGCQRCLYLNSYTFETIRRYVSGVM
+>NM_033389|246129_2_2650_2723
+MVLPQHVPHCPLVRIQRMILLWQT
+>DECOY_NM_033389|246129_2_2650_2723
+TQWLLIMRQIRVLPCHPVHQPLVM
+>NM_033389|246146_3_959_1035
+MECLQLRGLTEPRGTVYLECHSRDR
+>DECOY_NM_033389|246146_3_959_1035
+RDRSHCELYVTGRPETLGRLQLCEM
+>NM_003559|246182_2_1409_1533
+MGWVATYSAPMAHLRTALATSSAFLGSLVLGNSTPLLTSMP
+>DECOY_NM_003559|246182_2_1409_1533
+PMSTLLPTSNGLVLSGLFASSTALATRLHAMPASYTAVWGM
+>NM_178537|246212_2_493_584
+MDSVFLVSSTRRGTETSSFLWPQTTTRSSG
+>DECOY_NM_178537|246212_2_493_584
+GSSRTTTQPWLFSSTETGRRTSSVLFVSDM
+>NM_178537|246215_2_1954_2162
+MGPRATRPRRRTARRPRARRSDAGVRTPSTGSARSAWAPWTSSCCARTGTTCDATFRGTCSCRRRRPWT
+>DECOY_NM_178537|246215_2_1954_2162
+TWPRRRRCSCTGRFTADCTTGTRACCSSTWPAWASRASGTSPTRVGADSRRARPRRATRRRPRTARPGM
+>NM_017554|246261_2_5142_5323
+MALTAAMPERMLWHMEREPILLSMPIILPMIRTPDQMQMGESMCIMCEYLLESIHMEIIH
+>DECOY_NM_017554|246261_2_5142_5323
+HIIEMHISELLYECMICMSEGMQMQDPTRIMPLIIPMSLLIPEREMHWLMREPMAATLAM
+>NM_017554|246276_3_3064_3137
+MGKRKPGVPGRPADAVGERGCAEC
+>DECOY_NM_017554|246276_3_3064_3137
+CEACGREGVADAPRGPVGPKRKGM
+>NM_022830|246298_2_2220_2500
+MEPLEKRGSPATQPWQSGGPRDMRQPKNGLRVRQGRGHPCPPQRAGAVPCGTECGKGGGEPVDACSSKPRRELEVALAQEQGGWRLRLRSPRS
+>DECOY_NM_022830|246298_2_2220_2500
+SRPSRLRLRWGGQEQALAVELERRPKSSCADVPEGGGKGCETGCPVAGARQPPCPHGRGQRVRLGNKPQRMDRPGGSQWPQTAPSGRKELPEM
+>NM_017944|246342_2_1871_1953
+MVLMKESVLKPIVELKRSQNLDLKRIP
+>DECOY_NM_017944|246342_2_1871_1953
+PIRKLDLNQSRKLEVIPKLVSEKMLVM
+>NM_017944|246346_2_3167_3342
+MEIMGTAAKVLRQVTLKTSNHLSMRGTLQHQWIIENLNSIFRLLIQKIFSLKNDQTQM
+>DECOY_NM_017944|246346_2_3167_3342
+MQTQDNKLSFIKQILLRFISNLNEIIWQHQLTGRMSLHNSTKLTVQRLVKAATGMIEM
+>NM_017944|246347_2_3176_3342
+MGTAAKVLRQVTLKTSNHLSMRGTLQHQWIIENLNSIFRLLIQKIFSLKNDQTQM
+>DECOY_NM_017944|246347_2_3176_3342
+MQTQDNKLSFIKQILLRFISNLNEIIWQHQLTGRMSLHNSTKLTVQRLVKAATGM
+>NM_001105677|246380_2_901_1037
+MVLWCFLWDQWSKTLQKKRPILLPQPLPRFHRRFYGDTKERNQPH
+>DECOY_NM_001105677|246380_2_901_1037
+HPQNREKTDGYFRRHFRPLPQPLLIPRKKQLTKSWQDWLFCWLVM
+>NM_014709|246522_2_86_207
+MDCSSERNILSKYLLISIPGHRGNVYAASRNISIWRFLIK
+>DECOY_NM_014709|246522_2_86_207
+KILFRWISINRSAAYVNGRHGPISILLYKSLINRESSCDM
+>NM_014709|246529_2_1742_1962
+MEAVVLVAVVGIVMDLAMRLILATQASQLGALAVRYSQKTLQILKPSKRKMKTMIMVIILPKAVVVQIFGIES
+>DECOY_NM_014709|246529_2_1742_1962
+SEIGFIQVVVAKPLIIVMIMTKMKRKSPKLIQLTKQSYRVALAGLQSAQTALILRMALDMVIGVVAVLVVAEM
+>NM_014709|246530_2_1781_1962
+MDLAMRLILATQASQLGALAVRYSQKTLQILKPSKRKMKTMIMVIILPKAVVVQIFGIES
+>DECOY_NM_014709|246530_2_1781_1962
+SEIGFIQVVVAKPLIIVMIMTKMKRKSPKLIQLTKQSYRVALAGLQSAQTALILRMALDM
+>NM_014709|246542_2_4283_4383
+MDLIGKNFSKLRAPTSYCMLWKLLKHWENLIEE
+>DECOY_NM_014709|246542_2_4283_4383
+EEILNEWHKLLKWLMCYSTPARLKSFNKGILDM
+>NM_014709|246552_2_10481_10581
+MAKLCPPRTLRLLYLSVVAIPEDSLVICSNMTF
+>DECOY_NM_014709|246552_2_10481_10581
+FTMNSCIVLSDEPIAVVSLYLLRLTRPPCLKAM
+>NM_014709|246556_3_4560_4654
+MDCVAARLSCLLAEVNMPVCSRSIRFGFSLS
+>DECOY_NM_014709|246556_3_4560_4654
+SLSFGFRISRSCVPMNVEALLCSLRAAVCDM
+>NM_144639|246586_2_975_1180
+MATWWLFGSAWSTNWTRRGSAWWTWGQIRHPATTRSMAATTLCSSASRRPRASWPPTLLCSRTWSRKA
+>DECOY_NM_144639|246586_2_975_1180
+AKRSWTRSCLLTPPWSARPRRSASSCLTTAAMSRTTAPHRIQGWTWWASGRRTWNTSWASGFLWWTAM
+>NM_080385|246735_2_1408_1484
+MVLTATPAQKLITGPPLSRSRRWLP
+>DECOY_NM_080385|246735_2_1408_1484
+PLWRRSRSLPPGTILKQAPTATLVM
+>NM_080385|246740_2_1777_1862
+MASCCRPHRSSPRPRRRGWRFGPSWSTP
+>DECOY_NM_080385|246740_2_1777_1862
+PTSWSPGFRWGRRRPRPSSRHPRCCSAM
+>NM_032019|246859_2_876_1300
+MARGSSISLRMTPASFTSPGTAMSMGASGLSCESQMQTQWGGDRASASLSTCPGTRLGWETLTTWLPSCTCCSHWPLSLTLSWCWSRQDLTQPSGTLRGKCRPRQSASPTSHSCCRCWPAAGSVPCWRAATTWSHWRSQCA
+>DECOY_NM_032019|246859_2_876_1300
+ACQSRWHSWTTAARWCPVSGAAPWCRCCSHSTPSASQRPRCKGRLTGSPQTLDQRSWCWSLTLSLPWHSCCTCSPLWTTLTEWGLRTGPCTSLSASARDGGWQTQMQSECSLGSAGMSMATGPSTFSAPTMRLSISSGRAM
+>NM_032019|246862_2_948_1300
+MGASGLSCESQMQTQWGGDRASASLSTCPGTRLGWETLTTWLPSCTCCSHWPLSLTLSWCWSRQDLTQPSGTLRGKCRPRQSASPTSHSCCRCWPAAGSVPCWRAATTWSHWRSQCA
+>DECOY_NM_032019|246862_2_948_1300
+ACQSRWHSWTTAARWCPVSGAAPWCRCCSHSTPSASQRPRCKGRLTGSPQTLDQRSWCWSLTLSLPWHSCCTCSPLWTTLTEWGLRTGPCTSLSASARDGGWQTQMQSECSLGSAGM
+>NM_032019|246866_2_2100_2203
+MACRAPTLHSWLQCFGGWQGAESWPSWRRTPHPS
+>DECOY_NM_032019|246866_2_2100_2203
+SPHPTRRWSPWSEAGQWGGFCQLWSHLTPARCAM
+>NM_153210|246890_2_160_308
+MATVRGASPAPRAQFQRPPGAPGRNARPDPSPSSSSPPAMGRGRRALRA
+>DECOY_NM_153210|246890_2_160_308
+ARLARRGRGMAPPSSSSPSPDPRANRGPAGPPRQFQARPAPSAGRVTAM
+>NM_153210|246900_2_1981_2165
+MATCKVGITQPTAGTLWMASGTVMMTARWNRFEKMRSTPEGLISCSIRSGTASLPGQPAAP
+>DECOY_NM_153210|246900_2_1981_2165
+PAAPQGPLSATGSRISCSILGEPTSRMKEFRNWRATMMVTGSAMWLTGATPQTIGVKCTAM
+>NM_153210|246906_2_2632_2804
+MVGGPLKEVQPGCPVPRLNPTTVWPLETQMVQTQQGNSRKMQGRTSSFPESLTCLSL
+>DECOY_NM_153210|246906_2_2632_2804
+LSLCTLSEPFSSTRGQMKRSNGQQTQVMQTELPWVTTPNLRPVPCGPQVEKLPGGVM
+>NM_015306|246981_2_5314_5501
+MGEVWIRAVEDPHEKRLPSQKTMNLSVSSYTVGRHTQATTIPSLRTGEGVEKESGINLMTQL
+>DECOY_NM_015306|246981_2_5314_5501
+LQTMLNIGSEKEVGEGTRLSPITTAQTHRGVTYSSVSLNMTKQSPLRKEHPDEVARIWVEGM
+>NM_015016|247036_2_280_512
+MEPTHPAPPSRQAHPPGNVSTSFPSSRRRTSCTSCPSTSAAQRMCLMRKAAGHPASDPALAVSARAVQRGPSTMRLS
+>DECOY_NM_015016|247036_2_280_512
+SLRMTSPGRQVARASVALAPDSAPHGAAKRMLCMRQAASTSPCSTCSTRRRSSPFSTSVNGPPHAQRSPPAPHTPEM
+>NM_015016|247042_2_1450_1550
+MASCTVTSNQTICSSPRLATSSSRTSACPRSAS
+>DECOY_NM_015016|247042_2_1450_1550
+SASRPCASTRSSSTALRPSSCITQNSTVTCSAM
+>NM_015016|247043_2_1669_1901
+MGSQWTGGPWASSSMSFWWAACLSLEIPPRNSSVRWSAMRSCGQREMRPFQQTPRTSSPGCSGRARWTVWALVAPTK
+>DECOY_NM_015016|247043_2_1669_1901
+KTPAVLAWVTWRARGSCGPSSTRPTQQFPRMERQGCSRMASWRVSSNRPPIELSLCAAWWFSMSSSAWPGGTWQSGM
+>NM_015016|247059_3_248_417
+MVPRVSPIFRLWNQHTQLHPLVKLILPGTSPPASLPADAGRAALPVQALPQLRECA
+>DECOY_NM_015016|247059_3_248_417
+ACERLQPLAQVPLAARGADAPLSAPPSTGPLILKVLPHLQTHQNWLRFIPSVRPVM
+>NM_182617|247135_2_1567_1643
+MGISSLWDGQMISLTPAGTGLDPRR
+>DECOY_NM_182617|247135_2_1567_1643
+RRPDLGTGAPTLSIMQGDWLSSIGM
+>NM_001034173|247259_2_194_327
+MEKLTLWLWLQRKMGPLCSSFLNGGSRARPSKKWQKPTDPWVQS
+>DECOY_NM_001034173|247259_2_194_327
+SQVWPDTPKQWKKSPRARSGGNLFSSCLPGMKRQLWLWLTLKEM
+>NM_001034173|247260_2_233_327
+MGPLCSSFLNGGSRARPSKKWQKPTDPWVQS
+>DECOY_NM_001034173|247260_2_233_327
+SQVWPDTPKQWKKSPRARSGGNLFSSCLPGM
+>NM_001034173|247262_2_503_618
+MAWIQDPSFFRDHVMLNPMIQWMHFIIGFFFLKESRPW
+>DECOY_NM_001034173|247262_2_503_618
+WPRSEKLFFFGIIFHMWQIMPNLMVHDRFFSPDQIWAM
+>NM_001034173|247271_2_1388_1479
+MERLTTLSTQQMDLQYAKYPTLLWRMLIKQ
+>DECOY_NM_001034173|247271_2_1388_1479
+QKILMRWLLTPYKAYQLDMQQTSLTTLREM
+>NM_001034173|247274_2_2534_2613
+MGTSMECCSEQIVQSMVWPQGFLQET
+>DECOY_NM_001034173|247274_2_2534_2613
+TEQLFGQPWVMSQVIQESCCEMSTGM
+>NM_001034173|247276_3_1827_1999
+MEECCVFGSRQYLSAQASTGHTLDCFEVCRTVCESRLSKGGHQHHSRLRWHSRTTSV
+>DECOY_NM_001034173|247276_3_1827_1999
+VSTTRSHWRLRSHHQHGGKSLRSECVTRCVEFCDLTHGTSAQASLYQRSGFVCCEEM
+>NM_024776|247368_2_3513_3637
+MAGAALQSQQHCPYLNWKGKMEKKTFQILWTRTLVVQHTAT
+>DECOY_NM_024776|247368_2_3513_3637
+TATHQVVLTRTWLIQFTKKEMKGKWNLYPCHQQSQLAAGAM
+>NM_024776|247379_2_4752_4864
+MGKALICMRGRCVCCSYSYALVLSTSNPTMSLTAIYA
+>DECOY_NM_024776|247379_2_4752_4864
+AYIATLSMTPNSTSLVLAYSYSCCVCRGRMCILAKGM
+>NM_021198|247418_2_484_662
+MGRPCLLTAGRPCLWRRMEPSLSRPQSNTCSLRPRPRTQTRSAWSSTWTRPWCTAPSSQ
+>DECOY_NM_021198|247418_2_484_662
+QSSPATCWPRTWTSSWASRTQTRPRPRLSCTNSQPRSLSPEMRRWLCPRGATLLCPRGM
+>NM_021198|247419_2_535_662
+MEPSLSRPQSNTCSLRPRPRTQTRSAWSSTWTRPWCTAPSSQ
+>DECOY_NM_021198|247419_2_535_662
+QSSPATCWPRTWTSSWASRTQTRPRPRLSCTNSQPRSLSPEM
+>NM_152511|247455_2_592_668
+MVWPPTTSSCCLATRSPWSSMSQWR
+>DECOY_NM_152511|247455_2_592_668
+RWQSMSSWPSRTALCCSSTTPPWVM
+>NM_198465|247531_2_3052_3242
+MVIMLNSMMPVLILMVMMMMSLMILLKIPMIMPMAMMTWITRLIRLMMFVKTMMMTTISLLMM
+>DECOY_NM_198465|247531_2_3052_3242
+MMLLSITTMMMTKVFMMLRILRTIWTMMAMPMIMPIKLLIMLSMMMMVMLILVPMMSNLMIVM
+>NM_198465|247547_2_3286_3452
+MAEMEAASKMVMMEVVEKRKPTEAMEAIQPIEAMEEVQPVRTMQPLEIRKNMQPI
+>DECOY_NM_198465|247547_2_3286_3452
+IPQMNKRIELPQMTRVPQVEEMAEIPQIAEMAETPKRKEVVEMMVMKSAAEMEAM
+>NM_198465|247548_2_3295_3452
+MEAASKMVMMEVVEKRKPTEAMEAIQPIEAMEEVQPVRTMQPLEIRKNMQPI
+>DECOY_NM_198465|247548_2_3295_3452
+IPQMNKRIELPQMTRVPQVEEMAEIPQIAEMAETPKRKEVVEMMVMKSAAEM
+>NM_198465|247549_2_3313_3452
+MVMMEVVEKRKPTEAMEAIQPIEAMEEVQPVRTMQPLEIRKNMQPI
+>DECOY_NM_198465|247549_2_3313_3452
+IPQMNKRIELPQMTRVPQVEEMAEIPQIAEMAETPKRKEVVEMMVM
+>NM_198465|247551_2_3322_3452
+MEVVEKRKPTEAMEAIQPIEAMEEVQPVRTMQPLEIRKNMQPI
+>DECOY_NM_198465|247551_2_3322_3452
+IPQMNKRIELPQMTRVPQVEEMAEIPQIAEMAETPKRKEVVEM
+>NM_198465|247552_2_3358_3452
+MEAIQPIEAMEEVQPVRTMQPLEIRKNMQPI
+>DECOY_NM_198465|247552_2_3358_3452
+IPQMNKRIELPQMTRVPQVEEMAEIPQIAEM
+>NM_198465|247553_2_3481_3575
+MEVRESFEPVKRVEPLDSMEKKIAQRQMVQD
+>DECOY_NM_198465|247553_2_3481_3575
+DQVMQRQAIKKEMSDLPEVRKVPEFSERVEM
+>NM_031480|247613_2_430_539
+MEEATHRQIDRPPTAVQPKCLLQQTRSYGNLRIKLI
+>DECOY_NM_031480|247613_2_430_539
+ILKIRLNGYSRTQQLLCKPQVATPPRDIQRHTAEEM
+>NM_013302|247652_2_555_673
+MVILMGTATMRKVTSSAPSRMTQARTRMSIPRLISTTAT
+>DECOY_NM_013302|247652_2_555_673
+TATTSILRPISMRTRAQTMRSPASSTVKRMTATGMLIVM
+>NM_013302|247658_2_1860_1933
+MATHTVIGSTSLTKTAWAALDGYV
+>DECOY_NM_013302|247658_2_1860_1933
+VYGDLAAWATKTLSTSGIVTHTAM
+>NM_001013703|247725_2_756_934
+MVNIGQTPQEGLGENVSILYVIVKILLALVKFCISIWGVLISSWCTKGNVLAVMNNLEN
+>DECOY_NM_001013703|247725_2_756_934
+NELNNMVALVNGKTCWSSILVGWISICFKVLALLIKVIVYLISVNEGLGEQPTQGINVM
+>NM_001013703|247738_2_3282_3385
+MELFSCVLHYCFPETDKYMSTTKLPYSWTTAGCW
+>DECOY_NM_001013703|247738_2_3282_3385
+WCGATTWSYPLKTTSMYKDTEPFCYHLVCSFLEM
+>NM_001013703|247739_2_4023_4114
+MESSSSLWLSSNEGKGLYLKSSQLEADMTC
+>DECOY_NM_001013703|247739_2_4023_4114
+CTMDAELQSSKLYLGKGENSSLWLSSSSEM
+>NM_001013703|247745_3_1630_1706
+MESPAVVETQLYKSPAKNASSGTKS
+>DECOY_NM_001013703|247745_3_1630_1706
+SKTGSSANKAPSKYLQTEVVAPSEM
+>NM_004296|247777_2_573_721
+MAPFIVSRLRTSGLRTAGNLKTLTMPSISVRGQCKIKQGWNWQIMKQKT
+>DECOY_NM_004296|247777_2_573_721
+TKQKMIQWNWGQKIKCQGRVSISPMTLTKLNGATRLGSTRLRSVIFPAM
+>NM_014975|247896_2_334_566
+MAPTRPVPPSRPPAPPRSAFTSCPTSPRWTSSTSSPNTSGAPRASQTRMVAVAPQPCGPAHGASAPGAPPPPTTTRS
+>DECOY_NM_014975|247896_2_334_566
+SRTTTPPPPAGPASAGHAPGCPQPAVAVMRTQSARPAGSTNPSSTSSTWRPSTPCSTFASRPPAPPRSPPVPRTPAM
+>NM_014975|247897_2_478_566
+MVAVAPQPCGPAHGASAPGAPPPPTTTRS
+>DECOY_NM_014975|247897_2_478_566
+SRTTTPPPPAGPASAGHAPGCPQPAVAVM
+>NM_014975|247899_2_1510_1610
+MASCTATSSLTTSLSPPWVTSSSQISASPRWGS
+>DECOY_NM_014975|247899_2_1510_1610
+SGWRPSASIQSSSTVWPPSLSTTLSSTATCSAM
+>NM_014975|247907_2_2647_2732
+MGMHQAQGLPMTWFCAGRGTSRCQGMWQ
+>DECOY_NM_014975|247907_2_2647_2732
+QWMGQCRSTGRGACFWTMPLGQAQHMGM
+>NM_014975|247910_2_2968_3107
+MASHCVPSVSTWVTRMSIVSTTLSGMWRKEAQPRRQDSVLGTSSPT
+>DECOY_NM_014975|247910_2_2968_3107
+TPSSTGLVSDQRRPQAEKRWMGSLTTSVISMRTVWTSVSPVCHSAM
+>NM_019015|247931_2_2183_2412
+MGALATCCHGVSCFVCGHIWMAAEETFSVPVLTSGLDAASLTLWASAVSHSTRGSSIAHLNWPKIGTLRRKGARLS
+>DECOY_NM_019015|247931_2_2183_2412
+SLRAGKRRLTGIKPWNLHAISSGRTSHSVASAWLTLSAADLGSTLVPVSFTEEAAMWIHGCVFCSVGHCCTALAGM
+>NM_003913|247966_2_173_399
+MEKYQKTSLKISTVVTKKRSINTEVNIRNINIPQKKTRIKNINISINIRNTKEKRLLMLLIKRVCLQQKELNLMI
+>DECOY_NM_003913|247966_2_173_399
+IMLNLEKQQLCVRKILLMLLRKEKTNRINISININKIRTKKQPININRINVETNISRKKTVVTSIKLSTKQYKEM
+>NM_003913|247969_2_557_930
+MEIGLVLDLQVQRGNLNLWTIKLLQRNEVKADPKNGLDIGLIKRKVRGVLKSLKRKQLGASQRRGKNLKAHPKEVSLKIKQGNQNPLPLEGDLKRKLVRPDLLLMIRLKLKIKVNQKIGKNPQL
+>DECOY_NM_003913|247969_2_557_930
+LQPNKGIKQNVKIKLKLRIMLLLDPRVLKRKLDGELPLPNQNGQKIKLSVEKPHAKLNKGRRQSAGLQKRKLSKLVGRVKRKILGIDLGNKPDAKVENRQLLKITWLNLNGRQVQLDLVLGIEM
+>NM_003913|247974_2_1949_2121
+MVHLRRSCWHLICLQNLMICLLRILIVLVFGPLALEKISKRIPTSEITGPMQKAIIV
+>DECOY_NM_003913|247974_2_1949_2121
+VIIAKQMPGTIESTPIRKSIKELALPGFVLVILIRLLCIMLNQLCILHWCSRRLHVM
+>NM_030798|248099_2_472_692
+MDSHCCPLRLRMLRKSGGWDSTKILSLDFTGAGKIKRGATSMCWSPHPSPCLWTDLRRHGCCRSPAAELTLLC
+>DECOY_NM_030798|248099_2_472_692
+CLLTLEAAPSRCCGHRRLDTWLCPSPHPSWCMSTAGRKIKGAGTFDLSLIKTSDWGGSKRLMRLRLPCCHSDM
+>NM_015493|248152_2_889_1001
+MVLWRPAVASIRGWSARCWMPVAVSRTRRPHPPAWAP
+>DECOY_NM_015493|248152_2_889_1001
+PAWAPPHPRRTRSVAVPMWCRASWGRISAVAPRWLVM
+>NM_145699|248204_2_240_529
+MALEGIRPTCATKWSAWTMAPRSRWTSTGAFYTTRLRIFSVAFTAAMRSCASWTWFLLCSWTRPRSTGSLGSSPGAPASPGAVPGKCVRSFRRTHT
+>DECOY_NM_145699|248204_2_240_529
+THTRRFSRVCKGPVAGPSAPAGPSSGLSGTSRPRTWSCLLFWTWSACSRMAATFAVSFIRLRTTYFAGTSTWRSRPAMTWASWKTACTPRIGELAM
+>NM_145699|248205_2_294_529
+MAPRSRWTSTGAFYTTRLRIFSVAFTAAMRSCASWTWFLLCSWTRPRSTGSLGSSPGAPASPGAVPGKCVRSFRRTHT
+>DECOY_NM_145699|248205_2_294_529
+THTRRFSRVCKGPVAGPSAPAGPSSGLSGTSRPRTWSCLLFWTWSACSRMAATFAVSFIRLRTTYFAGTSTWRSRPAM
+>NM_144658|248281_2_1057_1130
+MEDRISFLLIQKFRGWTFQELNLI
+>DECOY_NM_144658|248281_2_1057_1130
+ILNLEQFTWGRFKQILLFSIRDEM
+>NM_144658|248291_2_3745_3911
+MDMELREKIQEVPSSQKEQQDFQIRATLVKIPDRVLQGVVYPSITAWISMKSEAS
+>DECOY_NM_144658|248291_2_3745_3911
+SAESKMSIWATISPYVVGQLVRDPIKVLTARIQFDQQEKQSSPVEQIKERLEMDM
+>NM_144658|248292_2_3751_3911
+MELREKIQEVPSSQKEQQDFQIRATLVKIPDRVLQGVVYPSITAWISMKSEAS
+>DECOY_NM_144658|248292_2_3751_3911
+SAESKMSIWATISPYVVGQLVRDPIKVLTARIQFDQQEKQSSPVEQIKERLEM
+>NM_144658|248298_3_848_936
+MVDNFEKDYSDQHRQFSSRKKGDGRNSTR
+>DECOY_NM_144658|248298_3_848_936
+RTSNRGDGKKRSSFQRHQDSYDKEFNDVM
+>NM_013318|248356_2_770_1017
+MEPPPRHVPAILRTPLSARLSLSEKGLHSSWEMYTTHLHTMTCFLLLCVRRSHQKTRVQWNEALFPFLSSALNLEFLLDSSR
+>DECOY_NM_013318|248356_2_770_1017
+RSSDLLFELNLASSLFPFLAENWQVRTKQHSRRVCLLLFCTMTHLHTTYMEWSSHLGKESLSLRASLPTRLIAPVHRPPPEM
+>NM_013318|248369_2_3305_3561
+MGAASVVGGSWGPAASTAAVSAAAVAGACESLRGQRTAPEPSPDGELPVRPIARAQSMKNFPSAAGRGAPRTGMKARSWRGRRAP
+>DECOY_NM_013318|248369_2_3305_3561
+PARRGRWSRAKMGTRPAGRGAASPFNKMSQARAIPRVPLEGDPSPEPATRQGRLSECAGAVAAASVAATSAAPGWSGGVVSAAGM
+>NM_013318|248371_2_3695_4449
+MDGEPSSPKSHPTGRAKVQAALGRNMALPTHADPGDLQTETMSQIPTDTLTHLVAGALRTAARRTRDPSSKMNTWQILKMQRTGPSGEGAPHAKISPLDSGASGKSGSPWACGDPRRSPTCWQVSGQAGPNCVLGTRVALWAAGPLSSPTRTPPITPMRSGRRPPKAATSASGGSGGKALGPSPTPRWMVACRGLVWVRRRSWPRGASPVRDPWLTDRAESWSREGLGRSPLGQVVVTPPLAMRANRMGRL
+>DECOY_NM_013318|248371_2_3695_4449
+LRGMRNARMALPPTVVVQGLPSRGLGERSWSEARDTLWPDRVPSAGRPWSRRRVWVLGRCAVMWRPTPSPGLAKGGSGGSASTAAKPPRRGSRMPTIPPTRTPSSLPGAAWLAVRTGLVCNPGAQGSVQWCTPSRRPDGCAWPSGSKGSAGSDLPSIKAHPAGEGSPGTRQMKLIQWTNMKSSPDRTRRAATRLAGAVLHTLTDTPIQSMTETQLDGPDAHTPLAMNRGLAAQVKARGTPHSKPSSPEGDM
+>NM_013318|248372_2_3770_4449
+MALPTHADPGDLQTETMSQIPTDTLTHLVAGALRTAARRTRDPSSKMNTWQILKMQRTGPSGEGAPHAKISPLDSGASGKSGSPWACGDPRRSPTCWQVSGQAGPNCVLGTRVALWAAGPLSSPTRTPPITPMRSGRRPPKAATSASGGSGGKALGPSPTPRWMVACRGLVWVRRRSWPRGASPVRDPWLTDRAESWSREGLGRSPLGQVVVTPPLAMRANRMGRL
+>DECOY_NM_013318|248372_2_3770_4449
+LRGMRNARMALPPTVVVQGLPSRGLGERSWSEARDTLWPDRVPSAGRPWSRRRVWVLGRCAVMWRPTPSPGLAKGGSGGSASTAAKPPRRGSRMPTIPPTRTPSSLPGAAWLAVRTGLVCNPGAQGSVQWCTPSRRPDGCAWPSGSKGSAGSDLPSIKAHPAGEGSPGTRQMKLIQWTNMKSSPDRTRRAATRLAGAVLHTLTDTPIQSMTETQLDGPDAHTPLAM
+>NM_013318|248380_2_4661_4752
+MEVPSLKIAGPAPGRRVRWVLWWAKASSKS
+>DECOY_NM_013318|248380_2_4661_4752
+SKSSAKAWWLVWRVRRGPAPGAIKLSPVEM
+>NM_013318|248392_3_312_739
+MGKQAGSARPKEFQCDGLSAAGVAAAAGFAEICLQFAETDTVNQSGEYKFSARWTKVMGTAEWKASRTRRWFKGLKPTVILLSRGISDAESSWRAGQGWQRKGRLRSVVWARTKPPPSECDKLEGGRWATHNFCHVSEHLPN
+>DECOY_NM_013318|248392_3_312_739
+NPLHESVHCFNHTAWRGGELKDCESPPPKTRAWVVSRLRGKRQWGQGARWSSEADSIGRSLLIVTPKLGKFWRRTRSAKWEATGMVKTWRASFKYEGSQNVTDTEAFQLCIEAFGAAAAVGAASLGDCQFEKPRASGAQKGM
+>NM_013318|248393_3_483_739
+MGTAEWKASRTRRWFKGLKPTVILLSRGISDAESSWRAGQGWQRKGRLRSVVWARTKPPPSECDKLEGGRWATHNFCHVSEHLPN
+>DECOY_NM_013318|248393_3_483_739
+NPLHESVHCFNHTAWRGGELKDCESPPPKTRAWVVSRLRGKRQWGQGARWSSEADSIGRSLLIVTPKLGKFWRRTRSAKWEATGM
+>NM_001031623|248450_2_939_1135
+MDITTTFFLRLFSVLHVQIASFFLAERRSVQSICLERIISIRVSNWVITKELHIQYLSHLLQRNF
+>DECOY_NM_001031623|248450_2_939_1135
+FNRQLLHSLYQIHLEKTIVWNSVRISIIRELCISQVSRREALFFSAIQVHLVSFLRLFFTTTIDM
+>NM_021023|248592_2_310_539
+MGGHQQYHVSENVIFLIWKMDIIKIMEESLYRVTLQKLPAILATVFQKRRPQLHVRRKAGLLLPDASVSEHAQNQI
+>DECOY_NM_021023|248592_2_310_539
+IQNQAHESVSADPLLLGAKRRVHLQPRRKQFVTALIAPLKQLTVRYLSEEMIKIIDMKWILFIVNESVHYQQHGGM
+>NM_021023|248593_2_367_539
+MDIIKIMEESLYRVTLQKLPAILATVFQKRRPQLHVRRKAGLLLPDASVSEHAQNQI
+>DECOY_NM_021023|248593_2_367_539
+IQNQAHESVSADPLLLGAKRRVHLQPRRKQFVTALIAPLKQLTVRYLSEEMIKIIDM
+>NM_021023|248594_2_385_539
+MEESLYRVTLQKLPAILATVFQKRRPQLHVRRKAGLLLPDASVSEHAQNQI
+>DECOY_NM_021023|248594_2_385_539
+IQNQAHESVSADPLLLGAKRRVHLQPRRKQFVTALIAPLKQLTVRYLSEEM
+>NM_021023|248597_2_670_764
+MDGQHNQFALILQKSVGLLHLLAMVIPPPFY
+>DECOY_NM_021023|248597_2_670_764
+YFPPPIVMALLHLLGVSKQLILAFQNHQGDM
+>NM_173566|248769_2_1073_1200
+MGRKSCVNQTWSVKQMTITNSFMATIMNNPVLHMIVPQPQAL
+>DECOY_NM_173566|248769_2_1073_1200
+LAQPQPVIMHLVPNNMITAMFSNTITMQKVSWTQNVCSKRGM
+>NM_173566|248777_2_5864_6066
+MVLLSAPSKSLLFIPLTASGNQVWAPQAATPCYHMCLFQAWKLHITPAAVRRGWSLHSLPWYQSLAW
+>DECOY_NM_173566|248777_2_5864_6066
+WALSQYWPLSHLSWGRRVAAPTIHLKWAQFLCMHYCPTAAQPAWVQNGSATLPIFLLSKSPASLLVM
+>NM_015603|248819_2_1117_1487
+MAQSLPMNHPTAMMTRPGPGPRSPLLLGSSCPSTKLRPAAAEGERAVGPRPRQRPGPTVTMMTAGRQKKGQHPQPLRLHSLLPPRLPPRRHPCSHPRSQLLPTGLLKTRGKRMRGKRMKNGRT
+>DECOY_NM_015603|248819_2_1117_1487
+TRGNKMRKGRMRKGRTKLLGTPLLQSRPHSCPHRRPPLRPPLLSHLRLPQPHQGKKQRGATMMTVTPGPRQRPRPGVAREGEAAAPRLKTSPCSSGLLLPSRPGPGPRTMMATPHNMPLSQAM
+>NM_002565|248838_2_838_920
+MDSWLVACISPCQALHSRLLASALSAP
+>DECOY_NM_002565|248838_2_838_920
+PASLASALLRSHLAQCPSICAVLWSDM
+>NM_020714|248927_2_694_851
+MERSHINAKNVGKPSLAAPVFEPMKEFTLERNPMNVRNVAKPSHFSFPFETI
+>DECOY_NM_020714|248927_2_694_851
+ITEFPFSFHSPKAVNRVNMPNRELTFEKMPEFVPAALSPKGVNKANIHSREM
+>NM_015509|248957_2_370_467
+MVLGAVLSLALASQIGEMPSTLMSPCRITSSG
+>DECOY_NM_015509|248957_2_370_467
+GSSTIRCPSMLTSPMEGIQSALALSLVAGLVM
+>NM_001136157|248996_2_896_1062
+MATTLRCRPWQRCTTVLWRCTSTAQNPSTHSMGYIKTRTNPFVLATIGISTIIQW
+>DECOY_NM_001136157|248996_2_896_1062
+WQIITSIGITALVFPNTRTKIYGMSHTSPNQATSTCRWLVTTCRQWPRCRLTTAM
+>NM_017602|249056_2_896_1077
+MATTLRCRPWQRCTTVLWRCTSTAQVLLQWNPSTHSMGYIKTRTNPFVLATIGISTIIQW
+>DECOY_NM_017602|249056_2_896_1077
+WQIITSIGITALVFPNTRTKIYGMSHTSPNWQLLVQATSTCRWLVTTCRQWPRCRLTTAM
+>NM_020368|249083_2_479_714
+MEGMRGRRRRRRMPMMMVGAPCKVKLRPLWIPVCRGVRGKNFTMTRTMVPSPEAGRVNRRQRRRKERRRRRHRSFSGA
+>DECOY_NM_020368|249083_2_479_714
+AGSFSRHRRRRREKRRRQRRNVRGAEPSPVMTRTMTFNKGRVGRCVPIWLPRLKVKCPAGVMMMPMRRRRRRGRMGEM
+>NM_020368|249088_2_527_714
+MVGAPCKVKLRPLWIPVCRGVRGKNFTMTRTMVPSPEAGRVNRRQRRRKERRRRRHRSFSGA
+>DECOY_NM_020368|249088_2_527_714
+AGSFSRHRRRRREKRRRQRRNVRGAEPSPVMTRTMTFNKGRVGRCVPIWLPRLKVKCPAGVM
+>NM_020368|249090_2_620_714
+MVPSPEAGRVNRRQRRRKERRRRRHRSFSGA
+>DECOY_NM_020368|249090_2_620_714
+AGSFSRHRRRRREKRRRQRRNVRGAEPSPVM
+>NM_001385|249208_3_992_1077
+MAPCSPPCHGSTFATRPLNTRLPHESVG
+>DECOY_NM_001385|249208_3_992_1077
+GVSEHPLRTNLPRTAFTSGHCPPSCPAM
+>NM_001004019|249229_2_2181_2296
+MDPASRCAALLGAQPYAPVFPAMPSWRMACPVKTKTSA
+>DECOY_NM_001004019|249229_2_2181_2296
+ASTKTKVPCAMRWSPMAPFVPAYPQAGLLAACRSAPDM
+>NM_001004019|249231_2_2541_2788
+MASAKTWMSVRWARTPASRASCARTPRAPSTARPGSAAWMASCRILKATVWTSTSARHCPSHVGQASAASTRWAPTHARGTR
+>DECOY_NM_001004019|249231_2_2541_2788
+RTGRAHTPAWRTSAASAQGVHSPCHRASTSTWVTAKLIRCSAMWAASGPRATSPARPTRACSARSAPTRAWRVSMWTKASAM
+>NM_001004019|249238_2_3192_3313
+MGTPAQTSTSVLKAPASSAPSAVSTCQGATSVHALSRATP
+>DECOY_NM_001004019|249238_2_3192_3313
+PTARSLAHVSTAGQCTSVASPASSAPAKLVSTSTQAPTGM
+>NM_001998|249264_2_2181_2296
+MDPASRCAALLGAQPYAPVFPAMPSWRMACPVKTSTSV
+>DECOY_NM_001998|249264_2_2181_2296
+VSTSTKVPCAMRWSPMAPFVPAYPQAGLLAACRSAPDM
+>NM_021199|249299_3_601_785
+MESSAGLQRGQCHLHLPKYSSEVCWSPSEDHVLIRSLLQEDREAIQGQYHFQHFSWSHFRG
+>DECOY_NM_021199|249299_3_601_785
+GRFHSWSFHQFHYQGQIAERDEQLLSRILVHDESPSWCVESSYKPLHLHCQGRQLGASSEM
+>NM_022132|249394_2_1723_1796
+MGSLIQQTPDWSWVSVLVQPSTHQ
+>DECOY_NM_022132|249394_2_1723_1796
+QHTSPQVLVSVWSWDPTQQILSGM
+>NM_181507|249427_3_412_533
+MVGFGQFRRRTPSHSERRLEAQAFSFTQGRCNFSSRLLFT
+>DECOY_NM_181507|249427_3_412_533
+TFLLRSSFNCRGQTFSFAQAELRRESHSPTRRRFQGFGVM
+>NM_000274|249472_2_690_793
+MVLDHLCRDSTSFPIMICPHWSVLFRIQMWLRSW
+>DECOY_NM_000274|249472_2_690_793
+WSRLWMQIRFLVSWHPCIMIPFSTSDRCLHDLVM
+>NM_020452|249584_2_1339_1739
+MVMCLTSWDTKLNWERGLNLLTSPSILWLTRSSYFGTPACWRLSRSGTPTRMSSSASFPCVILSCQKKRTKESCTTKLSPQMRGPWSPQPGTLVLFSALAPPKQSPSMRWAQPSPTSCWPSWTSTTSASGCRS
+>DECOY_NM_020452|249584_2_1339_1739
+SRCGSASTTSTWSPWCSTPSPQAWRMSPSQKPPALASFLVLTGPQPSWPGRMQPSLKTTCSEKTRKKQCSLIVCPFSASSSMRTPTGSRSLRWCAPTGFYSSRTLWLISPSTLLNLGREWNLKTDWSTLCMVM
+>NM_020452|249596_2_3046_3302
+MALSWLTTSPLQSLWPHPWSLWLACRLGSTQATGRPSTTSSSGEALLFTLPSSLPCTAMGSSTCFPTSSGLWGMPRTPWPSPRCG
+>DECOY_NM_020452|249596_2_3046_3302
+GCRPSPWPTRPMGWLGSSTPFCTSSGMATCPLSSPLTFLLAEGSSSTTSPRGTAQTSGLRCALWLSWPHPWLSQLPSTTLWSLAM
+>NM_020452|249597_2_3220_3302
+MGSSTCFPTSSGLWGMPRTPWPSPRCG
+>DECOY_NM_020452|249597_2_3220_3302
+GCRPSPWPTRPMGWLGSSTPFCTSSGM
+>NM_020710|249623_2_911_990
+MGRSRTWEMPAGCCSGSCTSLKTPYL
+>DECOY_NM_020710|249623_2_911_990
+LYPTKLSTCSGSCCGAPMEWTRSRGM
+>NM_001101419|249658_2_2164_2324
+MARLQGSQKVKRAQPAPGVEATGSWATPGPRDSPASGKRRRRWICAVRLLRAR
+>DECOY_NM_001101419|249658_2_2164_2324
+RARLLRVACIWRRRRKGSAPSDRPGPTAWSGTAEVGPAPQARKVKQSGQLRAM
+>NM_178550|249724_2_401_486
+MDFRRDQKMFSCSHHREGRSTEPHRLRK
+>DECOY_NM_178550|249724_2_401_486
+KRLRHPETSRGERHHSCSFMKQDRRFDM
+>NM_022164|249746_2_1204_1283
+MALSKPSWRCMRTSSYTREASTATRQ
+>DECOY_NM_022164|249746_2_1204_1283
+QRTATSAERTYSSTRMCRWSPKSLAM
+>NM_022164|249752_3_1343_1458
+MGRGDAARWKDAQILDCGQLLGPSLGREGPLPHRARRQ
+>DECOY_NM_022164|249752_3_1343_1458
+QRRARHPLPGERGLSPGLLQGCDLIQADKWRAADGRGM
+>NM_001136469|249789_2_1249_1499
+MAPAIVTLGLLLPLAPADLCLPALGSSRLPRGPPQLPSIPVSQPLPRGFLREHPQPHKLQPIPPGSQKALFLEPRRRLWPPSP
+>DECOY_NM_001136469|249789_2_1249_1499
+PSPPWLRRRPELFLAKQSGPPIPQLKHPQPHERLFGRPLPQSVPISPLQPPGRPLRSSGLAPLCLDAPALPLLLGLTVIAPAM
+>NM_001128608|249878_2_2093_2412
+MECSSHGHTTWCGRRPSMTWMWSPAGSTRLSAARTEIFGYLTSAVESRRSCLKGHRVRTAHSLRCRQTPQGSTLPPAVLTRISPFLTSPQASAWPPCLATQRLSLA
+>DECOY_NM_001128608|249878_2_2093_2412
+ALSLRQTALCPPWASAQPSTLFPSIRTLVAPPLTSGQPTQRCRLSHATRVRHGKLCSRRSEVASTLYGFIETRAASLRTSGAPSWMWTMSPRRGCWTTHGHSSCEM
+>NM_001128608|249882_2_2984_3492
+MGRRPLRLHSLARMKSPLGLRLPNLVPIPILSDYCHKRKGSLPKIWNLHPLKMVLSTRSRVTTPPWIPVSSKCRLQPGELWEECTQAAGAQKSTALTVPALWITAAAAFPARSTPLKTLRARSPSVWMASPQTLKSQLRVMKKRKKRREAWAPMGYRRAAPRLQTRSSF
+>DECOY_NM_001128608|249882_2_2984_3492
+FSSRTQLRPAARRYGMPAWAERRKKRKKMVRLQSKLTQPSAMWVSPSRARLTKLPTSRAPFAAAATIWLAPVTLATSKQAGAAQTCEEWLEGPQLRCKSSVPIWPPTTVRSRTSLVMKLPHLNWIKPLSGKRKHCYDSLIPIPVLNPLRLGLPSKMRALSHLRLPRRGM
+>NM_001128608|249884_2_3140_3492
+MVLSTRSRVTTPPWIPVSSKCRLQPGELWEECTQAAGAQKSTALTVPALWITAAAAFPARSTPLKTLRARSPSVWMASPQTLKSQLRVMKKRKKRREAWAPMGYRRAAPRLQTRSSF
+>DECOY_NM_001128608|249884_2_3140_3492
+FSSRTQLRPAARRYGMPAWAERRKKRKKMVRLQSKLTQPSAMWVSPSRARLTKLPTSRAPFAAAATIWLAPVTLATSKQAGAAQTCEEWLEGPQLRCKSSVPIWPPTTVRSRTSLVM
+>NM_001128608|249887_2_3704_4287
+MVPIPLEHPRRWNRPLATPAPSRQPLCCCHDAVSTLTAAGLPREWPQPAPFLDSRRPSLCTVWCHRKDMRPVCRPLHQAHCCLGRSKLRMVWAPCPQLMAVRLGLTPIRTPPPVPWPRYPAVSLLGRTWAWWLNLKLMPPSESHHSASWPCPAGLTWSWTSPNHCLTVLPWLHSLLSPKAGPLARQKSLASRWA
+>DECOY_NM_001128608|249887_2_3704_4287
+AWRSALSKQRALPGAKPSLLSHLWPLVTLCHNPSTWSWTLGAPCPWSASHHSESPPMLKLNLWWAWTRGLLSVAPYRPWPVPPPTRIPTLGLRVAMLQPCPAWVMRLKSRGLCCHAQHLPRCVPRMDKRHCWVTCLSPRRSDLFPAPQPWERPLGAATLTSVADHCCCLPQRSPAPTALPRNWRRPHELPIPVM
+>NM_001128608|249889_2_3971_4287
+MVWAPCPQLMAVRLGLTPIRTPPPVPWPRYPAVSLLGRTWAWWLNLKLMPPSESHHSASWPCPAGLTWSWTSPNHCLTVLPWLHSLLSPKAGPLARQKSLASRWA
+>DECOY_NM_001128608|249889_2_3971_4287
+AWRSALSKQRALPGAKPSLLSHLWPLVTLCHNPSTWSWTLGAPCPWSASHHSESPPMLKLNLWWAWTRGLLSVAPYRPWPVPPPTRIPTLGLRVAMLQPCPAWVM
+>NM_019600|250039_2_2056_2132
+MELINSIQIAPLLTNRFVQISIRKK
+>DECOY_NM_019600|250039_2_2056_2132
+KKRISIQVFRNTLLPAIQISNILEM
+>NM_001136479|250140_2_539_708
+MAMKMMKRKRKMKLVHRKDMRKRRRKRKRRMRMRMKMKMKQVQSWEREKRKWASHT
+>DECOY_NM_001136479|250140_2_539_708
+THSAWKRKEREWSQVQKMKMKMRMRMRRKRKRRRKRMDKRHVLKMKRKRKMMKMAM
+>NM_019605|250181_2_948_1021
+MARYLPMKSLSLMSDHLVFRKRPN
+>DECOY_NM_019605|250181_2_948_1021
+NPRKRFVLHDSMLSLSKMPLYRAM
+>NM_019605|250186_2_1056_1162
+MVAPSATNLWEMTLLLSAKANFMIILRPDIMKETM
+>DECOY_NM_019605|250186_2_1056_1162
+MTEKMIDPRLIIMFNAKASLLLTMEWLNTASPAVM
+>NM_001136234|250250_2_286_428
+MGPLQRPFGCLMKKGHCWTTWMQKNYPLLWVMSWIKLRLTFFIVGVS
+>DECOY_NM_001136234|250250_2_286_428
+SVGVIFFTLRLKIWSMVWLLPYNKQMWTTWCHGKKMLCGFPRQLPGM
+>NM_015048|250302_2_157_320
+MGSISAWRCPATARWKLSKIPGSSGSGPKTRSWSCRCPNSRSMSSTWARCLRSR
+>DECOY_NM_015048|250302_2_157_320
+RSRLCRAWTSSMSRSNPCRCSWSRTKPGSGSSGPIKSLKWRATAPCRWASISGM
+>NM_015048|250303_2_382_647
+MGRWRRWRFCTTPRPRSTWASPRWSLPRSGEPRMPFSTCTALPSWATLSTWSWTPKGKPECGSMNCWSLADTPPRPSQWASWTLSLQS
+>DECOY_NM_015048|250303_2_382_647
+SQLSLTWSAWQSPRPPTDALSWCNMSGCEPKGKPTWSWTSLTAWSPLATCTSFPMRPEGSRPLSWRPSAWTSRPRPTTCFRWRRWRGM
+>NM_015048|250306_2_691_923
+MEACLQAVAPAPPLSPPIAVGHPSPRTQLIPAAAWTHPTPMDRAPRSHRAWAPLSHRTPATPAASPHPHTSSARTLQ
+>DECOY_NM_015048|250306_2_691_923
+QLTRASSTHPHPSAAPTAPTRHSLPAWARHSRPARDMPTPHTWAAAPILQTRPSPHGVAIPPSLPPAPAVAQLCAEM
+>NM_015048|250322_2_5002_5105
+MAWTGLTTRSGSTIPPPASLQLRRRNGTMASAST
+>DECOY_NM_015048|250322_2_5002_5105
+TSASAMTGNRRRLQLSAPPPITSGSRTTLGTWAM
+>NM_015048|250331_3_2810_2970
+MGQGRGPGLRGPGPGHWAAWGHSPALLQGQEEGATRHHLIWRPEAAAALDLCG
+>DECOY_NM_015048|250331_3_2810_2970
+GCLDLAAAAEPRWILHHRTAGEEQGQLLAPSHGWAAWHGPGPGRLGPGRGQGM
+>NM_001122834|250407_2_1301_1482
+MAATTTSGAGQRSTGWESLWRMESGGWWRLPASRTVWPDTSPHKLAVDSTLPLLLVPPRC
+>DECOY_NM_001122834|250407_2_1301_1482
+CRPPVLLLPLTSDVALKHPSTDPWVTRSAPLRWWGGSEMRWLSEWGTSRQGAGSTTTAAM
+>NM_001122834|250408_2_1364_1482
+MESGGWWRLPASRTVWPDTSPHKLAVDSTLPLLLVPPRC
+>DECOY_NM_001122834|250408_2_1364_1482
+CRPPVLLLPLTSDVALKHPSTDPWVTRSAPLRWWGGSEM
+>NM_001122834|250412_3_360_631
+MGEAVAGVASPWPHGSVSNGHTAGKKAQTLDSHALWDVGLLVCAGDPWCGYGFAPYHHLFLRGPVPVSAPDVALFSPPPLHTEAAGCGRS
+>DECOY_NM_001122834|250412_3_360_631
+SRGCGAAETHLPPPSFLAVDPASVPVPGRLFLHHYPAFGYGCWPDGACVLLGVDWLAHSDLTQAKKGATHGNSVSGHPWPSAVGAVAEGM
+>NM_020882|250435_2_3257_3507
+MERPAPPSCLPVPVPQRPLGPQDLKDPQASLGGMAPQESRASQGPGVHQGSKERRETMGFQACRATPATRASPGELASRDQRE
+>DECOY_NM_020882|250435_2_3257_3507
+ERQDRSALEGPSARTAPTARCAQFGMTERREKSGQHVGPGQSARSEQPAMGGLSAQPDKLDQPGLPRQPVPVPLCSPPAPREM
+>NM_020882|250436_2_3356_3507
+MAPQESRASQGPGVHQGSKERRETMGFQACRATPATRASPGELASRDQRE
+>DECOY_NM_020882|250436_2_3356_3507
+ERQDRSALEGPSARTAPTARCAQFGMTERREKSGQHVGPGQSARSEQPAM
+>NM_020882|250437_2_3428_3507
+MGFQACRATPATRASPGELASRDQRE
+>DECOY_NM_020882|250437_2_3428_3507
+ERQDRSALEGPSARTAPTARCAQFGM
+>NM_152265|250456_2_395_513
+MGQLFISTIPKSKLPFLLIPLQLLVMQKPNQSQKCFLEY
+>DECOY_NM_152265|250456_2_395_513
+YELFCKQSQNPKQMVLLQLPILLFPLKSKPITSIFLQGM
+>NM_014014|250680_2_5094_5257
+MARSTPMWITPSMTCFRWWATPTALCRTMRGAVSSCVRAPRRISSRSSYMSHCQ
+>DECOY_NM_014014|250680_2_5094_5257
+QCHSMYSSRSSIRRPARVCSSVAGRMTRCLATPTAWWRFCTMSPTIWMPTSRAM
+>NM_014014|250688_2_5883_5965
+MGGSALLWQLWNWPRWSPKPCGPRTHT
+>DECOY_NM_014014|250688_2_5883_5965
+THTRPGCPKPSWRPWNWLQWLLASGGM
+>NM_001039500|250831_2_1907_2085
+MALYVMLLCTSPIPDLTRGAGTACCTLRSLAALSSTTLRMTDPGWKVETVPRTRGHPSS
+>DECOY_NM_001039500|250831_2_1907_2085
+SSPHGRTRPVTEVKWGPDTMRLTTSSLAALSRLTCCATGAGRTLDPIPSTCLLMVYLAM
+>NM_181806|250860_2_1453_1598
+MERFFFWDEKTVRSNVMANVLTLNLCNRLLKSFSKWSLVQLHGIIRKN
+>DECOY_NM_181806|250860_2_1453_1598
+NKRIIGHLQVLSWKSFSKLLRNCLNLTLVNAMVNSRVTKEDWFFFREM
+>NM_181806|250861_2_1501_1598
+MANVLTLNLCNRLLKSFSKWSLVQLHGIIRKN
+>DECOY_NM_181806|250861_2_1501_1598
+NKRIIGHLQVLSWKSFSKLLRNCLNLTLVNAM
+>NM_018346|250950_2_894_1045
+MGRSVPTIGLTGSVVSTLALGLGPMDDLCPRGLEATPGRLGSRHWSLTTG
+>DECOY_NM_018346|250950_2_894_1045
+GTTLSWHRSGLRGPTAELGRPCLDDMPGLGLALTSVVSGTLGITPVSRGM
+>NM_018346|250952_2_1068_1189
+MAPGSVSPWAGWSCWRKFWPWGYAPMWGSLTSTGSSLSPS
+>DECOY_NM_018346|250952_2_1068_1189
+SPSLSSGTSTLSGWMPAYGWPWFKRWCSWGAWPSVSGPAM
+>NM_001136501|251067_2_549_706
+MDRSHISVNNVRKPSDVTPPFKCKKRLTLEKNSMIVKNVEKPSYPIQAFKDT
+>DECOY_NM_001136501|251067_2_549_706
+TDKFAQIPYSPKEVNKVIMSNKELTLRKKCKFPPTVDSPKRVNNVSIHSRDM
+>NM_001136501|251068_2_717_1129
+MEMELINVSFVGKPALVSAYILYMNEFTLERNHINVNNVVKPLVIQLPFKYMKELTLERSLMNVRNVGKHSVVPIPFMNIEELTLERSHMNANNVEKPSDGSIPFKYMKELTVRRRLMNVPNVGKHSSVPVIFVDMK
+>DECOY_NM_001136501|251068_2_717_1129
+KMDVFIVPVSSHKGVNPVNMLRRRVTLEKMYKFPISGDSPKEVNNANMHSRELTLEEINMFPIPVVSHKGVNRVNMLSRELTLEKMYKFPLQIVLPKVVNNVNIHNRELTFENMYLIYASVLAPKGVFSVNILEMEM
+>NM_001136501|251069_2_723_1129
+MELINVSFVGKPALVSAYILYMNEFTLERNHINVNNVVKPLVIQLPFKYMKELTLERSLMNVRNVGKHSVVPIPFMNIEELTLERSHMNANNVEKPSDGSIPFKYMKELTVRRRLMNVPNVGKHSSVPVIFVDMK
+>DECOY_NM_001136501|251069_2_723_1129
+KMDVFIVPVSSHKGVNPVNMLRRRVTLEKMYKFPISGDSPKEVNNANMHSRELTLEEINMFPIPVVSHKGVNRVNMLSRELTLEKMYKFPLQIVLPKVVNNVNIHNRELTFENMYLIYASVLAPKGVFSVNILEM
+>NM_020811|251104_2_1995_2104
+MAHPGLRPPSMLCPAAHWRVRLMWRGPCTRYPCQVS
+>DECOY_NM_020811|251104_2_1995_2104
+SVQCPYRTCPGRWMLRVRWHAAPCLMSPPRLGPHAM
+>NM_020811|251108_2_2337_2491
+MALRGCLASLRRRPACPPGWHQSRRHRWFRQPSAVAWAAGCSMESSTWSSS
+>DECOY_NM_020811|251108_2_2337_2491
+SSSWTSSEMSCGAAWAVASPQRFWRHRRSQHWGPPCAPRRRLSALCGRLAM
+>NM_020811|251114_3_979_1175
+MASAPAGRAGCSGGHSAGAAGEAGGGGECPGGGCVPTCPAALLRWSFTRPRPGRANLCCGVSDTG
+>DECOY_NM_020811|251114_3_979_1175
+GTDSVGCCLNARGPRPRTFSWRLLAAPCTPVCGGGPCEGGGGAEGAAGASHGGSCGARGAPASAM
+>NM_003139|251185_2_712_890
+MGGVWRSPTSPRSQMLQRRRAKKHPGCGNWVAVLTKKCWITVLPPPMEPLRLPCLRTST
+>DECOY_NM_003139|251185_2_712_890
+TSTRLCPLRLPEMPPPLVTIWCKKTLVAVWNGCGPHKKARRRQLMQSRPSTPSRWVGGM
+>NM_003139|251190_2_1384_1466
+MASVSSLLPVIHFVLGPWSSCVHTPGV
+>DECOY_NM_003139|251190_2_1384_1466
+VGPTHVCSSWPGLVFHIVPLLSSVSAM
+>NM_003139|251191_2_1492_1643
+MVAAPWCSCLKRAMARMLLALPWKPLLLHVTKALTWCWWTRQAACKTMPL
+>DECOY_NM_003139|251191_2_1492_1643
+LPMTKCAAQRTWWCWTLAKTVHLLLPKWPLALLMRAMARKLCSCWPAAVM
+>NM_003139|251192_2_1531_1643
+MARMLLALPWKPLLLHVTKALTWCWWTRQAACKTMPL
+>DECOY_NM_003139|251192_2_1531_1643
+LPMTKCAAQRTWWCWTLAKTVHLLLPKWPLALLMRAM
+>NM_021639|251211_2_1527_1678
+MVPYELQEILGTSPPCSAMILWTLVSLREHMLESQGTHLVGIALPEVMMA
+>DECOY_NM_021639|251211_2_1527_1678
+AMMVEPLAIGVLHTGQSELMHERLSVLTWLIMASCPPSTGLIEQLEYPVM
+>NM_021639|251213_2_1722_1846
+MAASTPGKGVLFRKSHLWRLGKKRKKTRWKSCSLKRRTFLP
+>DECOY_NM_021639|251213_2_1722_1846
+PLFTRRKLSCSKWRTKKRKKGLRWLHSKRFLVGKGPTSAAM
+>NM_021639|251218_2_2361_2482
+METSQRIETVTSWKIWRTTAHLNQRKMGRKAVIKMVLPSL
+>DECOY_NM_021639|251218_2_2361_2482
+LSPLVMKIVAKRGMKRQNLHATTRWIKWSTVTEIRQSTEM
+>NM_025106|251330_2_793_875
+MARTSQAKHTQPFWNQMRHSLSLTPSW
+>DECOY_NM_025106|251330_2_793_875
+WSPTLSLSHRMQNWFPQTHKAQSTRAM
+>NM_017600|251345_3_1512_1615
+MEKLWESTSHCTRARGQCGRRRSASAGWPRTRER
+>DECOY_NM_017600|251345_3_1512_1615
+RERTRPWGASASRRRGCQGRARTCHSTSEWLKEM
+>NM_152599|251442_2_1334_1461
+MGAASWSWVSRSPSACWGKFCFIRSKLHCLGNCPGRAWWGWG
+>DECOY_NM_152599|251442_2_1334_1461
+GWGWWARGPCNGLCHLKSRIFCFKGWCASPSRSVWSWSAAGM
+>NM_018390|251470_3_543_901
+MVRHPGTGRHRAAGCRGAVPGPADSPHAGGLGEEPALCPYGVHNGAGGGHTHGNLGVAGAASTRGGHPGLQKLRGAERGPARVPGRLYQEHLRGHAVSSWGGADTAAAVVPGPTGHRLL
+>DECOY_NM_018390|251470_3_543_901
+LLRHGTPGPVVAAATDAGGWSSVAHGRLHEQYLRGPVRAPGREAGRLKQLGPHGGRTSAAGAVGLNGHTHGGGAGNHVGYPCLAPEEGLGGAHPSDAPGPVAGRCGAARHRGTGPHRVM
+>NM_001009921|251509_2_766_872
+MALSLPSVSTMIAQDFFVALLKDRSPCGIWPVENF
+>DECOY_NM_001009921|251509_2_766_872
+FNEVPWIGCPSRDKLLAVFFDQAIMTSVSPLSLAM
+>NM_001009921|251519_3_1181_1326
+MDDFSLWPDGSFQCATAGLALCSSTKLRESHACLLQRRCCSFSIGKER
+>DECOY_NM_001009921|251519_3_1181_1326
+REKGISFSCCRRQLLCAHSERLKTSSCLALGATACQFSGDPWLSFDDM
+>NM_198545|251567_2_369_577
+MGLWSPPPGRPPGCQRLRGCCLSRVLQACCRTRTCSWDWHCPTPRRRTDLQVGRGPGNAAGSTRDAGTG
+>DECOY_NM_198545|251567_2_369_577
+GTGADRTSGAANGPGRGVQLDTRRRPTPCHWDWSCTRTRCCAQLVRSLCCGRLRQCGPPRGPPPSWLGM
+>NM_001039617|251631_3_449_528
+MVSKVLLPPPAPDLPLPLVQHLCGGL
+>DECOY_NM_001039617|251631_3_449_528
+LGGCLHQVLPLPLDPAPPPLLVKSVM
+>NM_152632|251704_2_561_670
+MAKLQAYLRQNTTANYPSSFFQLVVSWMLSHQWLLK
+>DECOY_NM_152632|251704_2_561_670
+KLLWQHSLMWSVVLQFFSSPYNATTNQRLYAQLKAM
+>NM_198459|251861_2_1108_1397
+MVEKSEEDLKGNPLNLRIFSTFEIGTHRRFVKNLEEILGQHFITHSLRTISMKISYIPPKKIHMKIFQCSLYLCGDPLQHGSYHPLKVLLKHPSLY
+>DECOY_NM_198459|251861_2_1108_1397
+YLSPHKLLVKLPHYSGHQLPDGCLYLSCQFIKMHIKKPPIYSIKMSITRLSHTIFHQGLIEELNKVFRRHTGIEFTSFIRLNLPNGKLDEESKEVM
+>NM_198459|251865_2_1726_1850
+MAISSPKTWKRDLKLFQNFVFLIQRTGCQPQNSRVKHSPLS
+>DECOY_NM_198459|251865_2_1726_1850
+SLPSHKVRSNQPQCGTRQILFVFNQFLKLDRKWTKPSSIAM
+>NM_024704|251947_2_3423_3565
+MGPWKGRWLLPACQSVLKNHTWFPSWMPGSMLTLKKKSKDAFRICIV
+>DECOY_NM_024704|251947_2_3423_3565
+VICIRFADKSKKKLTLMSGPMWSPFWTHNKLVSQCAPLLWRGKWPGM
+>NM_153340|251967_2_322_398
+MGPSASFMAGPHPHLQPLPALRNAM
+>DECOY_NM_153340|251967_2_322_398
+MANRLAPLPQLHPHPGAMFSASPGM
+>NM_153340|251971_2_1105_1277
+MGTQACSPSPCPGVGPRPPAKRVRRRGHLTTSTHPLTAIMQPGPHGHRRSAPLGAGW
+>DECOY_NM_153340|251971_2_1105_1277
+WGAGLPASRRHGHPGPQMIATLPHTSTTLHGRRRVRKAPPRPGVGPCPSPSCAQTGM
+>NM_002408|251978_2_580_758
+MGDKGRTRPSPHRCWTPNPRGVPAAAVGTTPLWLWASAGSPTCRRLPWSRRSPSPRRTT
+>DECOY_NM_002408|251978_2_580_758
+TTRRPSPSRRSWPLRRCTPSGASAWLWLPTTGVAAAPVGRPNPTWCRHPSPRTRGKDGM
+>NM_152995|251996_2_145_308
+MESISAAPEEGERRGRWAQFLLAPVPEESRPRRLQGAGTAPQDPKPCRLPQPAS
+>DECOY_NM_152995|251996_2_145_308
+SAPQPLRCPKPDQPATGAGQLRRPRSEEPVPALLFQAWRGRREGEEPAASISEM
+>NM_207362|252038_2_1408_1787
+MGRRPPQARVPRPRTRRRRWSVLPKTSRARFPPPSLRGTRLPPRLTPPPPQRRPLLATGQNAVSRRKRSRRRPCSRMRRRGPQGRRLSPREKRRRSPREERGPSPRELGPSPPRRPPRARRRPRAA
+>DECOY_NM_207362|252038_2_1408_1787
+AARPRRRARPPRRPPSPGLERPSPGREERPSRRRKERPSLRRGQPGRRRMRSCPRRRSRKRRSVANQGTALLPRRQPPPPTLRPPLRTGRLSPPPFRARSTKPLVSWRRRRTRPRPVRAQPPRRGM
+>NM_138774|252050_2_348_436
+MGTWHPLHHQASLPRPATTPPMWRSGTIS
+>DECOY_NM_138774|252050_2_348_436
+SITGSRWMPPTTAPRPLSAQHHLPHWTGM
+>NM_024772|252199_2_3317_3480
+MVFTVIFLVSQSYYILLCLGQLLQQVLRTHFLPCLVLRHIYVIPWDKRSLLAQP
+>DECOY_NM_024772|252199_2_3317_3480
+PQALLSRKDWPIVYIHRLVLCPLFHTRLVQQLLQGLCLLIYYSQSVLFIVTFVM
+>NM_198537|252216_3_189_319
+MARADLERRACLPEHRGGSRPGAGAAGGLSLWAAAARGAVRSC
+>DECOY_NM_198537|252216_3_189_319
+CSRVAGRAAAAWLSLGGAAGAGPRSGGRHEPLCARRELDARAM
+>NM_032444|252464_3_3023_3102
+MGGEGSRELRKQGREFPGTLEVNVGR
+>DECOY_NM_032444|252464_3_3023_3102
+RGVNVELTGPFERGQKRLERSGEGGM
+>NM_013437|252549_2_177_274
+MVLLQNILKMCIFQECQLLVERLQSKYEHQVA
+>DECOY_NM_013437|252549_2_177_274
+AVQHEYKSQLREVLLQCEQFICMKLINQLLVM
+>NM_013437|252551_2_618_805
+MESVYQKPGNVITWMNVEIVPMKRSVPKKQILQLLLLFNPVLTTSSSVYPVLPKFTLASPNL
+>DECOY_NM_013437|252551_2_618_805
+LNPSALTFKPLVPYVSSSTTLVPNFLLLLQLIQKKPVSRKMPVIEVNMWTIVNGPKQYVSEM
+>NM_013437|252555_2_1281_1555
+MGIGIAQMEGMKPIVPCARRKNFHVPEMVSVILVLIAATTRIIAQMAQMKKTAFFANQEISIVKTIVVCLKVGCVILKMTVVMAAMKKIAQ
+>DECOY_NM_013437|252555_2_1281_1555
+QAIKKMAAMVVTMKLIVCGVKLCVVITKVISIEQNAFFATKKMQAMQAIIRTTAAILVLIVSVMEPVHFNKRRACPVIPKMGEMQAIGIGM
+>NM_013437|252558_2_1362_1555
+MVSVILVLIAATTRIIAQMAQMKKTAFFANQEISIVKTIVVCLKVGCVILKMTVVMAAMKKIAQ
+>DECOY_NM_013437|252558_2_1362_1555
+QAIKKMAAMVVTMKLIVCGVKLCVVITKVISIEQNAFFATKKMQAMQAIIRTTAAILVLIVSVM
+>NM_152287|252646_3_872_951
+MGQRDGATAAPAPRVEPWGCPSDLPG
+>DECOY_NM_152287|252646_3_872_951
+GPLDSPCGWPEVRPAPAATAGDRQGM
+>NM_004140|252715_2_152_312
+MASPISPAPWPSTRNFASWPSAPGLGLSRSMVHLAWSSQACTGMQPLSHRCTS
+>DECOY_NM_004140|252715_2_152_312
+STCRHSLPQMGTCAQSSWALHVMSRSLGLGPASPWSAFNRTSPWPAPSIPSAM
+>NM_004140|252717_2_383_510
+MAVPTWKKHSVSSCPAGPALMVPVLRSALPESQWSCWWLPAT
+>DECOY_NM_004140|252717_2_383_510
+TAPLWWCSWQSEPLASRLVPVMLAPGAPCSSVSHKKWTPVAM
+>NM_004140|252720_2_1916_2019
+MALASSTTSARALCWPGALFTPMTPWPWRVRSPG
+>DECOY_NM_004140|252720_2_1916_2019
+GPSRVRWPWPTMPTFLAGPWCLARASTTSSALAM
+>NM_004140|252722_2_2264_2412
+MGPTTGPPCGLAPTQALCSPMHWRCRQQQWVVRSGLSKRWRPCWARRCS
+>DECOY_NM_004140|252722_2_2264_2412
+SCRRAWCPRWRKSLGSRVVWQQQRCRWHMPSCLAQTPALGCPPGTTPGM
+>NM_152637|252740_2_807_895
+MAAASPERPGRILRTPSSPKSKWNDSPLP
+>DECOY_NM_152637|252740_2_807_895
+PLPSDNWKSKPSSPTRLIRGPREPSAAAM
+>NM_001669|252774_3_1191_1381
+MERNLQRWEGHGRMGRWDPRARDLPLAGGAPGRPSDWRAHEPDGRVPYCGPAGGWRGAPGQGD
+>DECOY_NM_001669|252774_3_1191_1381
+DGQGPAGRWGGAPGCYPVRGDPEHARWDSPRGPAGGALPLDRARPDWRGMRGHGEWRQLNREM
+>NM_001669|252775_3_1230_1381
+MGRWDPRARDLPLAGGAPGRPSDWRAHEPDGRVPYCGPAGGWRGAPGQGD
+>DECOY_NM_001669|252775_3_1230_1381
+DGQGPAGRWGGAPGCYPVRGDPEHARWDSPRGPAGGALPLDRARPDWRGM
+>NM_032180|252798_3_794_876
+MGAHNYSTGAFSNDDKRTEEKRRVHEI
+>DECOY_NM_032180|252798_3_794_876
+IEHVRRKEETRKDDNSFAGTSYNHAGM
+>NM_025144|252891_2_802_926
+MEQRVPGCTEMKVTRSWCSRSVYRSEGRFCKSWGCGTKQQS
+>DECOY_NM_025144|252891_2_802_926
+SQQKTGCGWSKCFRGESRYVSRSCWSRTVKMETCGPVRQEM
+>NM_025144|252908_2_3748_3824
+MAWPMAIFLMSFLIIEMLWSIYKVG
+>DECOY_NM_025144|252908_2_3748_3824
+GVKYISWLMEIILFSMLFIAMPWAM
+>NM_025144|252909_2_3832_3980
+MEKDSSTSQIPRFTPLIRKFSLPILEREEFFTSLITSMWNVMKSAIVFL
+>DECOY_NM_025144|252909_2_3832_3980
+LFVIASKMVNWMSTILSTFFEERELIPLSFKRILPTFRPIQSTSSDKEM
+>NM_001114938|252928_2_1322_1497
+MDGIQEGPQRCPQPFACPHLLLPGPWATVPSLPAGSLCPDYHPHHQYLWSVSCRSGRG
+>DECOY_NM_001114938|252928_2_1322_1497
+GRGSRCSVSWLYQHHPHYDPCLSGAPLSPVTAWPGPLLLHPCAFPQPCRQPGEQIGDM
+>NM_001114938|252932_3_852_955
+MAVAGGGVCTGAAAVADPQRKGRCHLRGASSSGG
+>DECOY_NM_001114938|252932_3_852_955
+GGSSSAGRLHCRGKRQPDAVAAAGTCVGGGAVAM
+>NM_004819|253224_2_2226_2350
+MGSSPRLCWRRHSSQRVPWRWSASTARMRVAPIWACPHFET
+>DECOY_NM_004819|253224_2_2226_2350
+TEFHPCAWIPAVRMRATSASWRWPVRQSSHRRWCLRPSSGM
+>NM_031288|253249_2_142_314
+MECTRKNTRSTRRNTRRNTIRKKTPGPRSRPLPSLSSNSKSSLGDKSWGPRVFLPSL
+>DECOY_NM_031288|253249_2_142_314
+LSPLFVRPGWSKDGLSSKSNSSLSPLPRSRPGPTKKRITNRRTNRRTSRTNKRTCEM
+>NM_031288|253253_2_535_641
+METSRRRSMSGCLLLDSELCSRRRGVNLPLCCRCL
+>DECOY_NM_031288|253253_2_535_641
+LCRCCLPLNVGRRRSCLESDLLLCGSMSRRRSTEM
+>NM_024712|253293_2_413_513
+MAASCASARPQTLRLSSSWVGCRVTVLKGAGKP
+>DECOY_NM_024712|253293_2_413_513
+PKGAGKLVTVRCGVWSSSLRLTQPRASACSAAM
+>NM_004286|253328_2_713_825
+MAEALPARNSSATNMKLNLVAPAVWATTFWALTVKAM
+>DECOY_NM_004286|253328_2_713_825
+MAKVTLAWFTTAWVAPAVLNLKMNTASSNRAPLAEAM
+>NM_025191|253368_2_2518_2615
+MERIQMTSRSPCCSYSAKKEVSYWMPSGNMRR
+>DECOY_NM_025191|253368_2_2518_2615
+RRMNGSPMWYSVEKKASYSCCPSRSTMQIREM
+>NM_025191|253378_3_314_396
+METSGGDGRVLPGVGHLRVDGGGRAHE
+>DECOY_NM_025191|253378_3_314_396
+EHARGGGDVRLHGVGPLVRGDGGSTEM
+>NM_000532|253402_2_1282_1376
+MVPSFSTHLLRQLYPKSQSSPGRPMEVPMMS
+>DECOY_NM_000532|253402_2_1282_1376
+SMMPVEMPRGPSSQSKPYLQRLLHTSFSPVM
+>NM_001039481|253412_2_632_717
+MDYAMNLYKEKHWIQSMSATQPFSVYHR
+>DECOY_NM_001039481|253412_2_632_717
+RHYVSFPQTASMSQIWHKEKYLNMAYDM
+>NM_173547|253420_2_724_821
+MAAGSGSSWSRWMSRPSCRNRSSSSPQGLLGH
+>DECOY_NM_173547|253420_2_724_821
+HGLLGQPSSSSRNRCSPRSMWRSWSSGSGAAM
+>NM_001136533|253451_2_244_371
+MAVIAGMVDSPTMPAQKIEAQTKKAQVKTSNLRAWRTLSISS
+>DECOY_NM_001136533|253451_2_244_371
+SSISLTRWARLNSTKVQAKKTQAEIKQAPMTPSDVMGAIVAM
+>NM_001136533|253452_2_262_371
+MVDSPTMPAQKIEAQTKKAQVKTSNLRAWRTLSISS
+>DECOY_NM_001136533|253452_2_262_371
+SSISLTRWARLNSTKVQAKKTQAEIKQAPMTPSDVM
+>NM_001136533|253458_2_1300_1400
+MACSRNSLLIIWLIVISQQTSPALCTATMAQSC
+>DECOY_NM_001136533|253458_2_1300_1400
+CSQAMTATCLAPSTQQSIVILWIILLSNRSCAM
+>NM_001136533|253461_2_1450_1541
+MVLNTVRDLRDTEIIPQSKVLISMAPGVSL
+>DECOY_NM_001136533|253461_2_1450_1541
+LSVGPAMSILVKSQPIIETDRLDRVTNLVM
+>NM_005434|253480_3_944_1029
+MGDVCLAHLVSHLLDVPVVLLVWILQKI
+>DECOY_NM_005434|253480_3_944_1029
+IKQLIWVLLVVPVDLLHSVLHALCVDGM
+>NM_001040437|253526_3_617_732
+MEFADTISSAWCWAYPKNLQLSCIILCEQLSPYWGKNA
+>DECOY_NM_001040437|253526_3_617_732
+ANKGWYPSLQECLIICSLQLNKPYAWCWASSITDAFEM
+>NM_212551|253543_2_946_1163
+MERKKYTQVTVKFGHTQLRGRNKRQEQDVPMVKSSPHLARKPPRPSMTSLPLISLRSLIHRSACPRRLLLRS
+>DECOY_NM_212551|253543_2_946_1163
+SRLLLRRPCASRHILSRLSILPLSTMSPRPPKRALHPSSKVMPVDQEQRKNRGRLQTHGFKVTVQTYKKREM
+>NM_004814|253641_2_563_636
+MAQLSFGTSGRKQPSRHFRTRTRC
+>DECOY_NM_004814|253641_2_563_636
+CRTRTRFHRSPQKRGSTGFSLQAM
+>NM_194313|253689_2_718_824
+MESLILVSDRTLQRNRILGLRWRKSEFVFENAPWA
+>DECOY_NM_194313|253689_2_718_824
+AWPANEFVFESKRWRLGLIRNRQLTRDSVLILSEM
+>NM_194313|253697_2_3067_3143
+MVSLSHCPTLQFLDPQTKETQSPHL
+>DECOY_NM_194313|253697_2_3067_3143
+LHPSQTEKTQPDLFQLTPCHSLSVM
+>NM_024896|253738_2_1240_1313
+METWSSLMCWACLSLPTPLVLAQS
+>DECOY_NM_024896|253738_2_1240_1313
+SQALVLPTPLSLCAWCMLSSWTEM
+>NM_024896|253740_2_1774_1949
+MVPKENLLLFTFWGCLFLIFMHCTSSGQYLRCLPLSSGEVVLKSHLMLCWHPFWLAVQ
+>DECOY_NM_024896|253740_2_1774_1949
+QVALWFPHWCLMLHSKLVVEGSSLPLCRLYQGSSTCHMFILFLCGWFTFLLLNEKPVM
+>NM_024896|253745_2_2509_2594
+MAPQSQVKEETTLSFTPMDSRPLHGSSG
+>DECOY_NM_024896|253745_2_2509_2594
+GSSGHLPRSDMPTFSLTTEEKVQSQPAM
+>NM_001037735|253862_2_1222_1337
+MEEHSPGSHLSLFIRESILERNPMSVLSVQKLSPRSHI
+>DECOY_NM_001037735|253862_2_1222_1337
+IHSRPSLKQVSLVSMPNRELISERIFLSLHSGPSHEEM
+>NM_002587|253890_2_877_1001
+MAAAPHAPAVPCCVSPCLTPMTTPPSLSGPPMRPNYLRIAP
+>DECOY_NM_002587|253890_2_877_1001
+PAIRLYNPRMPPGSLSPPTTMPTLCPSVCCPVAPAHPAAAM
+>NM_002587|253891_2_1312_1508
+MGWLTSQRMWQRRQLWPWCRCLTEMRERMQLSPVWWQVMCPSSCARPVRQAVTARRSISCRLPPR
+>DECOY_NM_002587|253891_2_1312_1508
+RPPLRCSISRRATVAQRVPRACSSPCMVQWWVPSLQMRERMETLCRCWPWLQRRQWMRQSTLWGM
+>NM_002587|253903_2_2524_2882
+MAQPWSIFMSMRLWPTARCWRPSWATAWTRRWILTLLGIQNMSAPSSVATFSLVWWLVWWPWPCSSPWRFLCATADSGRPKVVTRLVRRRPRTCMPPSPVARPPRETKAKARRASPQSP
+>DECOY_NM_002587|253903_2_2524_2882
+PSQPSARRAKAKTERPPRAVPSPPMCTRPRRRVLRTVVKPRGSDATACLFRWPSSCPWPWWVLWWVLSFTAVSSPASMNQIGLLTLIWRRTWATAWSPRWCRATPWLRMSMFISWPQAM
+>NM_016536|253931_3_157_290
+MGMPGPCSEGLVQGCDVGELQQPDLIGLGIQLCDQKVISRKGNL
+>DECOY_NM_016536|253931_3_157_290
+LNGKRSIVKQDCLQIGLGILDPQQLEGVDCGQVLGESCPGPMGM
+>NM_015567|253958_2_1291_1391
+MAPASPIRPNPRCLWSVPPRALATCRSLIWAST
+>DECOY_NM_015567|253958_2_1291_1391
+TSAWILSRCTALARPPVSWLCRPNPRIPSAPAM
+>NM_021826|253999_2_423_517
+MGDRTLQNTLASAILPKKLRTYVAPSLLGES
+>DECOY_NM_021826|253999_2_423_517
+SEGLLSPAVYTRLKKPLIASALTNQLTRDGM
+>NM_001136542|254049_2_1431_1528
+MGSKGTIFHARYEPGLSSIELPKLAPSQPWRG
+>DECOY_NM_001136542|254049_2_1431_1528
+GRWPQSPALKPLEISSLGPEYRAHFITGKSGM
+>NM_014380|254110_2_360_532
+MERKTALWEEVKATSLQEIDGDRLADLPLIFDGPYPIGRSMMGWVEMEMIWKYSWRR
+>DECOY_NM_014380|254110_2_360_532
+RRWSYKWIMEMEVWGMMSRGIPYPGDFILPLDALRDGDIEQLSTAKVEEWLATKREM
+>NM_020960|254167_2_753_1117
+MVESLKEVQWIQRPWERNPFLFIIMVGQCHFSFSLTSALMTKKAFTVFIFINALEKNCQVTSLHSALILRSQRRILTATSQQEKFLSPNYTSQWPFSSFFLGPSGFISFENDGMMYLKSTG
+>DECOY_NM_020960|254167_2_753_1117
+GTSKLYMMGDNEFSIFGSPGLFFSSFPWQSTYNPSLFKEQQSTATLIRRQSRLILASHLSTVQCNKELANIFIFVTFAKKTMLASTLSFSFHCQGVMIIFLFPNREWPRQIWQVEKLSEVM
+>NM_020960|254168_2_825_1117
+MVGQCHFSFSLTSALMTKKAFTVFIFINALEKNCQVTSLHSALILRSQRRILTATSQQEKFLSPNYTSQWPFSSFFLGPSGFISFENDGMMYLKSTG
+>DECOY_NM_020960|254168_2_825_1117
+GTSKLYMMGDNEFSIFGSPGLFFSSFPWQSTYNPSLFKEQQSTATLIRRQSRLILASHLSTVQCNKELANIFIFVTFAKKTMLASTLSFSFHCQGVM
+>NM_020960|254170_2_1416_1546
+MACGRTLYFWSTCCVVVPSSSQWCGQSDIYKKHQQQMEKLLLT
+>DECOY_NM_020960|254170_2_1416_1546
+TLLLKEMQQQHKKYIDSQGCWQSSSPVVVCCTSWFYLTRGCAM
+>NM_001136557|254217_2_1416_1570
+MACGRTLYFWSTCCVVVPSSSQWCGQSDIYKKHQQQMEKVTAWDLFSRERI
+>DECOY_NM_001136557|254217_2_1416_1570
+IRERSFLDWATVKEMQQQHKKYIDSQGCWQSSSPVVVCCTSWFYLTRGCAM
+>NM_014728|254417_3_1582_1697
+MGIRDFSSLCCAAKHEREEHKESTFTPCQSKSKLGTTG
+>DECOY_NM_014728|254417_3_1582_1697
+GTTGLKSKSQCPTFTSEKHEEREHKAACCLSSFDRIGM
+>NM_145266|254462_3_314_405
+MDFGGQKNGSYCSYKDKERCSKLLDFSTRI
+>DECOY_NM_145266|254462_3_314_405
+IRTSFDLLKSCREKDKYSCYSGNKQGGFDM
+>NM_020856|254530_2_2046_2149
+MGASAARRTAPAPRGMGARMGAPSLSRWRMARSW
+>DECOY_NM_020856|254530_2_2046_2149
+WSRAMRWRSLSPAGMRAGMGRPAPATRRAASAGM
+>NM_012134|254600_2_546_637
+MERKGAEMPAKKPWAPDGTQIWGRSQRGVV
+>DECOY_NM_012134|254600_2_546_637
+VVGRQSRGWIQTGDPAWPKKAPMEAGKREM
+>NM_012134|254602_2_771_847
+MGEERRGQWPPRRKRRRKGVTGTQA
+>DECOY_NM_012134|254602_2_771_847
+AQTGTVGKRRRKRRPPWQGRREEGM
+>NM_001136561|254723_2_432_514
+MAFNGIIMMGCTVSQHVYLLLLPLLWL
+>DECOY_NM_001136561|254723_2_432_514
+LWLLPLLLLYVHQSVTCGMMIIGNFAM
+>NM_212559|254747_3_1057_1205
+MGGGPLHPDPGYAPQCLQTYVTDPSLPGLSAPADLSALCEPDLCRGSPG
+>DECOY_NM_212559|254747_3_1057_1205
+GPSGRCLDPECLASLDAPASLGPLSPDTVYTQLCQPAYGPDPHLPGGGM
+>NM_032448|254804_3_2150_2394
+MAEPRARNTGSALGHTPSLFQSFLLKRRAAGCRKPISSFVLPLDLPLCPGGHALPGGFACVYCAGLVPPRKIHLAACKSTA
+>DECOY_NM_032448|254804_3_2150_2394
+ATSKCAALHIKRPPVLGACYVCAFGGPLAHGGPCLPLDLPLVFSSIPKRCGAARRKLLFSQFLSPTHGLASGTNRARPEAM
+>NM_014553|254860_2_694_893
+MGSTRSTCTQPAARSRCSSRREPIGNRRLTGRRWRKELPKRRRNTSRPMKPPSSQSALHGPTWPTR
+>DECOY_NM_014553|254860_2_694_893
+RTPWTPGHLASQSSPPKMPRSTNRRRKPLEKRWRRGTLRRNGIPERRSSCRSRAAPQTCTSRTSGM
+>NM_014553|254861_2_919_1109
+MVLQTALASAKATPLRPTRWRPCPWAVTTCSHQLRSRMPSSGFTATGSRSSAGSLPASQVLTC
+>DECOY_NM_014553|254861_2_919_1109
+CTLVQSAPLSGASSRSGTATFGSSPMRSRLQHSCTTVAWPCPRWRTPRLPTAKASALATQLVM
+>NM_020814|254901_2_1831_1913
+MDCVPQPPRCCATRVSSSAAAACSSMT
+>DECOY_NM_020814|254901_2_1831_1913
+TMSSCAAAASSSVRTACCRPPQPVCDM
+>NM_020814|254903_2_2311_2417
+MARSSAHTSLASSSGSASGAAGAASCATTSTTSSP
+>DECOY_NM_020814|254903_2_2311_2417
+PSSTTSTTACSAAGAAGSASGSSSALSTHASSRAM
+>NM_020814|254905_3_2561_2646
+MAAPRPSLPDLLRDVWLHGRGVHRSHHP
+>DECOY_NM_020814|254905_3_2561_2646
+PHHSRHVGRGHLWVDRLLDPLSPRPAAM
+>NM_025054|254938_2_797_942
+MARTAPEASCICMTLWRTLSGPIKARNVSFQCMWTGMDTAWCMLCLGL
+>DECOY_NM_025054|254938_2_797_942
+LGLCLMCWATDMGTWMCQFSVNRAKIPGSLTRWLTMCICSAEPATRAM
+>NM_025054|254942_2_1439_1593
+MVVVLLEVTEVCKINTYLGLLLLWKKSLWTNMVSILVWLLMSISISTEGLE
+>DECOY_NM_025054|254942_2_1439_1593
+ELGETSISISMLLWVLISVMNTWLSKKWLLLLGLYTNIKCVETVELLVVVM
+>NM_025054|254943_2_1883_2046
+MAHLCERSEEMGLLCIWMETELILGPLVANVVVDSNTFGMVRSMTIYQKLSLLL
+>DECOY_NM_025054|254943_2_1883_2046
+LLLSLKQYITMSRVMGFTNSDVVVNAVLPGLILETEMWICLLGMEESRECLHAM
+>NM_025054|254944_2_1913_2046
+MGLLCIWMETELILGPLVANVVVDSNTFGMVRSMTIYQKLSLLL
+>DECOY_NM_025054|254944_2_1913_2046
+LLLSLKQYITMSRVMGFTNSDVVVNAVLPGLILETEMWICLLGM
+>NM_025054|254948_2_2516_2670
+MVHPLHLLHLPRLPIHRQLLRRRRSESQLMMDDSPWLPLSLQQPFLNFRKV
+>DECOY_NM_025054|254948_2_2516_2670
+VKRFNLFPQQLSLPLWPSDDMMLQSESRRRRLLQRHIPLRPLHLLHLPHVM
+>NM_001031716|254980_2_928_1004
+MVFTLALNQGNTSFHMLAEAMAGDL
+>DECOY_NM_001031716|254980_2_928_1004
+LDGAMAEALMHFSTNGQNLALTFVM
+>NM_152416|254994_2_683_780
+MGAEERCSFPWIFVCCMVFHKRTFYGGTKIKM
+>DECOY_NM_152416|254994_2_683_780
+MKIKTGGYFTRKHFVMCCVFIWPFSCREEAGM
+>NM_153460|255005_3_1600_1802
+MGLPHGQIHPQALGPRVAGLPTLCRCAFPHPPSQKGSRERVAEALETGRPLGGGRQGPRGSAPLLSR
+>DECOY_NM_153460|255005_3_1600_1802
+RSLLPASGRPGQRGGGLPRGTELAEAVRERSGKQSPPHPFACRCLTPLGAVRPGLAQPHIQGHPLGM
+>NM_015383|255242_2_453_760
+MALMTPTSHIGKPKSHLRKTKSTQLSLAHPLMLNGRMLYTLFQKMKVMMRKRKKKDQCLPGICRSLKRRKSPRSPGMKVIRLSQFLLKCWPRTSLTAAHFTH
+>DECOY_NM_015383|255242_2_453_760
+HTFHAATLSTRPWCKLLFQSLRIVKMGPSRPSKRRKLSRCIGPLCQDKKKRKRMMVKMKQFLTYLMRGNLMLPHALSLQTSKTKRLHSKPKGIHSTPTMLAM
+>NM_017733|255287_2_668_744
+MEQPHFSCQITQRWIIMSRGIWIKY
+>DECOY_NM_017733|255287_2_668_744
+YKIWIGRSMIIWRQTIQCSFHPQEM
+>NM_152879|255358_2_1150_1544
+MEVLAGSSPKSTASTFINSVSWECCRSAQGTTWPEYWAGAQPAMTTPSSPRSWRSWREPAPRCWTGGASWHTRPSSPGRPPPLPSPKTSARIPRYSRFSSMKTRLQPTFLKSSPRTSTRWSSPRPKCSVRR
+>DECOY_NM_152879|255358_2_1150_1544
+RRVSCKPRPSSWRTSTRPSSKLFTPQLRTKMSSFRSYRPIRASTKPSPLPPPRGPSSPRTHWSAGGTWCRPAPERWSRWSRPSSPTTMAPQAGAWYEPWTTGQASRCCEWSVSNIFTSATSKPSSGALVEM
+>NM_152879|255362_2_1738_1844
+MEMGRAASAVPPETAWWHQLARPGRRYSGLENSSC
+>DECOY_NM_152879|255362_2_1738_1844
+CSSNELGSYRRGPRALQHWWATEPPVASAARGMEM
+>NM_152879|255363_2_1744_1844
+MGRAASAVPPETAWWHQLARPGRRYSGLENSSC
+>DECOY_NM_152879|255363_2_1744_1844
+CSSNELGSYRRGPRALQHWWATEPPVASAARGM
+>NM_152879|255367_2_2413_2717
+MEFLEPKSCCTEPTRTWSKRSCWSVTGDPSHSPVFRELLSLTFPAMPEEPTSGGVPRKMILSQLHHSMTRFWRWSPCSAACRWPSLESSGYSIIGSPSVAR
+>DECOY_NM_152879|255367_2_2413_2717
+RAVSPSGIISYGSSELSPWRCAASCPSWRWFRTMSHHLQSLIMKRPVGGSTPEEPMAPFTLSLLERFVPSHSPDGTVSWCSRKSWTRTPETCCSKPELFEM
+>NM_001077525|255444_2_257_342
+MAAGPAALRLSALRRDVWSCLAETTVSA
+>DECOY_NM_001077525|255444_2_257_342
+ASVTTEALCSWVDRRLASLRLAAPGAAM
+>NM_001137560|255551_2_550_788
+METPIPPPRSTTNASTRTWRRLSSTTRAAAFATCPRRWWGWRARRPRGCASPSASVSPAWRPRTRTCASARASSQRTRA
+>DECOY_NM_001137560|255551_2_550_788
+ARTRQSSARASACTRTRPRWAPSVSASPSACGRPRRARWGWWRRPCTAFAAARTTSSLRRWTRTSANTTSRPPPIPTEM
+>NM_005915|255573_2_1436_1542
+MVCVVLMNLIRWTCGIKLLFMKLWNSRPYPSLKQE
+>DECOY_NM_005915|255573_2_1436_1542
+EQKLSPYPRSNWLKMFLLKIGCTWRILNMLVVCVM
+>NM_013312|255693_3_326_648
+MAPGHLGRSRSQLEAEGQQSEDGLTEPSRVLPGCPGASCVRRASPRCEPHWRVLRPGRARQAASAGAGLCHQLREKAGPHPENHDAGRIGSACGDGSHPRAHDQRHS
+>DECOY_NM_013312|255693_3_326_648
+SHRQDHARPHSGDGCASGIRGADHNEPHPGAKERLQHCLGAGASAAQRARGPRLVRWHPECRPSARRVCSAGPCGPLVRSPETLGDESQQGEAELQSRSRGLHGPAM
+>NM_015160|255840_2_411_532
+MGVSVTARHQETPPCMLCLLIAKAWTRWLPYWLMWFCSPG
+>DECOY_NM_015160|255840_2_411_532
+GPSCFWMLWYPLWRTWAKAILLCLMCPPTEQHRATVSVGM
+>NM_001080487|255849_2_184_275
+MGMQAFCCLCWSKRTWLSAHCLTRSWRPSR
+>DECOY_NM_001080487|255849_2_184_275
+RSPRWSRTLCHASLWTRKSWCLCCFAQMGM
+>NM_032601|255892_2_289_446
+MEYLLFLSTWEIPRWNCFIHWDVTVQLQVFCRKTRLEECITSASRWIILMQL
+>DECOY_NM_032601|255892_2_289_446
+LQMLIIWRSASTICEELRTKRCFVQLQVTVDWHIFCNWRPIEWTSLFLLYEM
+>NM_001137672|255946_3_218_459
+MGLLGCFQENTQAWVCRAVRCLFHGNWCCKNKGAWFSLAARRPHGTHKALCANLQLHHKERHCRMLLAMVARSLTSSKPP
+>DECOY_NM_001137672|255946_3_218_459
+PPKSSTLSRAVMALLMRCHREKHHLQLNACLAKHTGHPRRAALSFWAGKNKCCWNGHFLCRVARCVWAQTNEQFCGLLGM
+>NM_018436|255967_3_292_461
+MDGWLGDQEEKDSRSRLVCPQAGDPRSHPGLRRGRFLLHGRLRSSSVHSSSKLGRR
+>DECOY_NM_018436|255967_3_292_461
+RRGLKSSSHVSSSRLRGHLLFRGRRLGPHSRPDGAQPCVLRSRSDKEEQDGLWGDM
+>NM_194252|255975_2_330_451
+MATGSGGERVAAAAAAAVWWRTGAAERRRRRRWHWHWPRP
+>DECOY_NM_194252|255975_2_330_451
+PRPWHWHWRRRRRREAAGTRWWVAAAAAAAVREGGSGTAM
+>NM_194252|255977_2_1227_1378
+MESTSLKTPVTSAWQGPSRAGQRWSRSTSANLSLSTSSSLIFVCMSYSSP
+>DECOY_NM_194252|255977_2_1227_1378
+PSSYSMCVFILSSSTSLSLNASTSRSWRQGARSPGQWASTVPTKLSTSEM
+>NM_032110|255990_2_588_1003
+MAWCRPSRATNATVAGRTACAPSARSSRSASVSWRRRWRCAGSRRRRRTRRASCSCSTALPRGWRWPPPTASSPRGPPTRSSVQCAPPTAGDLERERPRGPEAEQLAQGAQRPSCRSLTCFLRRCCRQAARAARCRRR
+>DECOY_NM_032110|255990_2_588_1003
+RRRCRAARAAQRCCRRLFCTLSRCSPRQAGQALQEAEPGRPRERELDGATPPACQVSSRTPPGRPSSATPPPWRWGRPLATSCSCSARRTRRRRRSGACRWRRRWSVSASRSSRASPACATRGAVTANTARSPRCWAM
+>NM_147129|256050_2_1235_1674
+MASASACCPRPLRTSSTVTSVTGEKAACVATASVSTAPTRCTRATSRRACGTDLGSLRVVRRPPSPSGTRATGRGARGAAMALRRMVTEVSATLACGRLVSATAQGSWSPRQVSATRAPSRRTRRWARASSSLKTTPCMRAPSPGT
+>DECOY_NM_147129|256050_2_1235_1674
+TGPSPARMCPTTKLSSSARAWRRTRRSPARTASVQRPSWSGQATASVLRGCALTASVETVMRRLAMAAGRAGRGTARTGSPSPPRRVVRLSGLDTGCARRSTARTCRTPATSVSATAVCAAKEGTVSTVTSSTRLPRPCCASASAM
+>NM_147129|256051_2_1475_1674
+MALRRMVTEVSATLACGRLVSATAQGSWSPRQVSATRAPSRRTRRWARASSSLKTTPCMRAPSPGT
+>DECOY_NM_147129|256051_2_1475_1674
+TGPSPARMCPTTKLSSSARAWRRTRRSPARTASVQRPSWSGQATASVLRGCALTASVETVMRRLAM
+>NM_147129|256052_2_1490_1674
+MVTEVSATLACGRLVSATAQGSWSPRQVSATRAPSRRTRRWARASSSLKTTPCMRAPSPGT
+>DECOY_NM_147129|256052_2_1490_1674
+TGPSPARMCPTTKLSSSARAWRRTRRSPARTASVQRPSWSGQATASVLRGCALTASVETVM
+>NM_147129|256060_3_1185_1270
+MAGWAESRGEFLPGPGAWLRHPPAAPGL
+>DECOY_NM_147129|256060_3_1185_1270
+LGPAAPPHRLWAGPGPLFEGRSEAWGAM
+>NM_001080507|256094_3_167_372
+MAGRRALWPRPSHNSRNGVDEPGPADSGHSGLREPSRNHRFRAAPCTESGEEHAPVPGMVSPRTSCPS
+>DECOY_NM_001080507|256094_3_167_372
+SPCSTRPSVMGPVPAHEEGSETCPAARFRHNRSPERLGSHGSDAPGPEDVGNRSNHSPRPWLARRGAM
+>NM_174959|256144_2_503_582
+MGLSWPVLSCWSGTWSVVQSQTLRWW
+>DECOY_NM_174959|256144_2_503_582
+WWRLTQSQVVSWTGSWCSLVPWSLGM
+>NM_152609|256164_2_1855_1934
+MENHHRRRLTQTVLRMCSVEIIKYLT
+>DECOY_NM_152609|256164_2_1855_1934
+TLYKIIEVSCMRLVTQTLRRRHHNEM
+>NM_005647|256198_3_1494_1612
+MEPKGELHFECWCRQNNNNLGCPHRRSQTAVSFSFSPCP
+>DECOY_NM_005647|256198_3_1494_1612
+PCPSFSFSVATQSRRHPCGLNNNNQRCWCEFHLEGKPEM
+>NM_018715|256418_2_612_757
+MGAWRGSGCGQWSRARVLHTASSSPRKGSCGAGVEMRRGSWDMVTPRE
+>DECOY_NM_018715|256418_2_612_757
+ERPTVMDWSGRRMEVGAGCSGKRPSSSATHLVRARSWQGCGSGRWAGM
+>NM_018715|256419_2_1149_1315
+MDRFCLYQTWLYETWPVALTTRWSWTPRSESSPGALVAMAGWATQSRRMRWSPAW
+>DECOY_NM_018715|256419_2_1149_1315
+WAPSWRMRRSQTAWGAMAVLAGPSSESRPTWSWRTTLAVPWTEYLWTQYLCFRDM
+>NM_006331|256459_2_159_238
+MDSSLVNEAVGSRHRTGMLCHPSGPD
+>DECOY_NM_006331|256459_2_159_238
+DPGSPHCLMGTRHRSGVAENVLSSDM
+>NM_002096|256476_2_1072_1526
+MGTSRAKRWTTCQTAPVAPKKSLRARPRRRSRRRGPRVSMSRATVVRRVRRRSRLRRTRRRRRRRRHPPRRRRSAGKTAARSRTAQRRATLTARPPQPSSWRRRRRHPRESGSRREGAQGATAAQARPAQRVAAPPPPCGRLPANSSKGSG
+>DECOY_NM_002096|256476_2_1072_1526
+GSGKSSNAPLRGCPPPPAAVRQAPRAQAATAGQAGERRSGSERPHRRRRRWSSPQPPRATLTARRQATRSRAATKGASRRRRPPHRRRRRRRRTRRLRSRRRVRRVVTARSMSVRPGRRRSRRRPRARLSKKPAVPATQCTTWRKARSTGM
+>NM_015025|256529_2_1107_1345
+MGLRTWMRRRRMRGRSTPRTMTSQGMRTRRTRRGTGRRRRRSRRRMRTMTRMEKMWRMKKRKRRRRRRRKRKKKTKTIK
+>DECOY_NM_015025|256529_2_1107_1345
+KITKTKKKRKRRRRRRRKRKKMRWMKEMRTMTRMRRRSRRRRRGTGRRTRRTRMGQSTMTRPTSRGRMRRRRMWTRLGM
+>NM_015025|256536_2_1260_1345
+MEKMWRMKKRKRRRRRRRKRKKKTKTIK
+>DECOY_NM_015025|256536_2_1260_1345
+KITKTKKKRKRRRRRRRKRKKMRWMKEM
+>NM_015025|256542_2_2676_2803
+MATETMSPQLRRVPTWPRSSRNIPRPRLNTTVTTTILMASEP
+>DECOY_NM_015025|256542_2_2676_2803
+PESAMLITTTVTTNLRPRPINRSSRPWTPVRRLQPSMTETAM
+>NM_015025|256547_2_3552_3637
+MVLDISPAIMLLIGAFQVAQEQRKVVSG
+>DECOY_NM_015025|256547_2_3552_3637
+GSVVKRQEQAVQFAGILLMIAPSIDLVM
+>NM_033198|256579_2_1397_1500
+MALQWPPMPSIVPAGVALWYIMLTPKPIMPQCCQ
+>DECOY_NM_033198|256579_2_1397_1500
+QCCQPMIPKPTLMIYWLAVGAPVISPMPPWQLAM
+>NM_014760|256620_2_820_986
+MEKDQPGVKDQPRLQKEQPGVSQSLLLRRRKTQPQRSAWRRIRQCQRGAASMTGE
+>DECOY_NM_014760|256620_2_820_986
+EGTMSAAGRQCQRIRRWASRQPQTKRRRLLLSQSVGPQEKQLRPQDKVGPQDKEM
+>NM_020884|256675_2_2325_2413
+MGSWRGSGSAAKGSPTGCSTPTSGSGTVS
+>DECOY_NM_020884|256675_2_2325_2413
+SVTGSGSTPTSCGTPSGKAASGSGRWSGM
+>NM_173075|256723_2_1180_1301
+MGLRKRNPSQSRARPPPSLGMAICCCRNQTDPSPALKTAK
+>DECOY_NM_173075|256723_2_1180_1301
+KATKLAPSPDTQNRCCCIAMGLSPPPRARSQSPNRKRLGM
+>NM_030578|256761_3_274_482
+MEAPVRRAGGPNASGHPADRGHGLLVPPHRPALRHQRSSRLAPAPFPGVVPGQLWPLPACRLWILPCAQ
+>DECOY_NM_030578|256761_3_274_482
+QACPLIWLRCAPLPWLQGPVVGPFPAPALRSSRQHRLAPRHPPVLLGHGRDAPHGSANPGGARRVPAEM
+>NM_020910|256813_2_4367_4848
+METCQAPSQRSLPRMFVTEEEFLPQMLTLRSVKSPARGTQEIRRREPSTMAGPTELRRAGHHCPVREMSSTHQPPSSSTWTGSPAPRRLAGGSPVRSSLSPCSRSRHLPSSAPPQPTEWRKAIKSTKRFRPRCGTSLRSSTIATRSACAPSAAGTTSSRW
+>DECOY_NM_020910|256813_2_4367_4848
+WRSSTTGAASPACASRTAITSSRLSTGCRPRFRKTSKIAKRWETPQPPASSPLHRSRSCPSLSSRVPSGGALRRPAPSGTWTSSSPPQHTSSMERVPCHHGARRLETPGAMTSPERRRIEQTGRAPSKVSRLTLMQPLFEEETVFMRPLSRQSPAQCTEM
+>NM_020910|256816_2_4514_4848
+MAGPTELRRAGHHCPVREMSSTHQPPSSSTWTGSPAPRRLAGGSPVRSSLSPCSRSRHLPSSAPPQPTEWRKAIKSTKRFRPRCGTSLRSSTIATRSACAPSAAGTTSSRW
+>DECOY_NM_020910|256816_2_4514_4848
+WRSSTTGAASPACASRTAITSSRLSTGCRPRFRKTSKIAKRWETPQPPASSPLHRSRSCPSLSSRVPSGGALRRPAPSGTWTSSSPPQHTSSMERVPCHHGARRLETPGAM
+>NM_020910|256818_2_5072_5328
+MAPTGGPPASTTQPTSDAHRILTSQPMCRHHPRWNWGGIQPFPSRPPSTSHPSRPSRRHARPCTPSWTTPLPSWPPAASLPAPQV
+>DECOY_NM_020910|256818_2_5072_5328
+VQPAPLSAAPPWSPLPTTWSPTCPRAHRRSPRSPHSTSPPRSPFPQIGGWNWRPHHRCMPQSTLIRHADSTPQTTSAPPGGTPAM
+>NM_025247|256881_2_2850_2968
+MVKSDLSTCVCPKRTWSWALAEALRSPRADWAPAGSITA
+>DECOY_NM_025247|256881_2_2850_2968
+ATISGAPAWDARPSRLAEALAWSWTRKPCVCTSLDSKVM
+>NM_002898|256931_2_974_1077
+MGFTQPPITSPPTGCLLSLHSPHTFPLLCLRIRE
+>DECOY_NM_002898|256931_2_974_1077
+ERIRLCLLPFTHPSHLSLLCGTPPSTIPPQTFGM
+>NM_018208|257012_2_772_848
+MGCAMSTCRVWPWSLSTSVSPGFSG
+>DECOY_NM_018208|257012_2_772_848
+GSFGPSVSTSLSWPWVRCTSMACGM
+>NM_207421|257038_2_397_482
+MGKLRCQVTNRLRKNGSGVPAVGVPSCL
+>DECOY_NM_207421|257038_2_397_482
+LCSPVGVAPVGSGNKRLRNTVQCRLKGM
+>NM_207421|257043_2_1564_1664
+MATLFCLMSLEQISSCLMEGKPKPSTNFWLMKA
+>DECOY_NM_207421|257043_2_1564_1664
+AKMLWFNTSPKPKGEMLCSSIQELSMLCFLTAM
+>NM_130384|257062_2_1221_1399
+METQQREAEGPSHSASFLEPCISSPLYSSSSAYTARPCRTWQLLREAEHLGTHRHIPPA
+>DECOY_NM_130384|257062_2_1221_1399
+APPIHRHTGLHEAERLLQWTRCPRATYASSSSYLPSSICPELFSASHSPGEAERQQTEM
+>NM_032166|257084_3_1984_2195
+MAPAGTRGGQSAHGDVAQTVADSAEGRGTPKDRPAEADSALSAGHGAAAARPIAEGQALHDALRGGPASV
+>DECOY_NM_032166|257084_3_1984_2195
+VSAPGGRLADHLAQGEAIPRAAAAGHGASLASDAEAPRDKPTGRGEASDAVTQAVDGHASQGGRTGAPAM
+>NM_030974|257122_2_857_990
+MAARATHHQPWAQKHALSPCPVPRKPPHSRALHLRQIFLGALET
+>DECOY_NM_030974|257122_2_857_990
+TELAGLFIQRLHLARSHPPKRPVPCPSLAHKQAWPQHHTARAAM
+>NM_152629|257143_2_972_1051
+MACRAPTASRPACSRPNAWRSSRAAP
+>DECOY_NM_152629|257143_2_972_1051
+PAARSSRWANPRSCAPRSATPARCAM
+>NM_002893|257189_3_1116_1240
+MGHQVQYHLQAESLGGCAHCRSQLPLIQSLQRIYSSHRLCG
+>DECOY_NM_002893|257189_3_1116_1240
+GCLRHSSYIRQLSQILPLQSRCHACGGLSEAQLHYQVQHGM
+>NM_001102614|257204_2_692_924
+MGRLSWEDWRCPWGFWSIVLCTFPPASQQWPSYLAWWGCWALCQASLCCSPPCCPVISRVGVVWGQWGSSPWSPSHV
+>DECOY_NM_001102614|257204_2_692_924
+VHSPSWPSSGWQGWVVGVRSIVPCCPPSCCLSAQCLAWCGWWALYSPWQQSAPPFTCLVISWFGWPCRWDEWSLRGM
+>NM_000985|257313_2_531_661
+MVELAGVRRPSNGAGHKVGGPKRVLNFCCTCLKTQRVMLNLRV
+>DECOY_NM_000985|257313_2_531_661
+VRLNLMVRQTKLCTCCFNLVRKPGGVKHGAGNSPRRVGALEVM
+>NM_001134870|257362_3_797_894
+MEMEAESWRNSRAESETSRVSRAKPQEKRGGK
+>DECOY_NM_001134870|257362_3_797_894
+KGGRKEQPKARSVRSTESEARSNRWSEAEMEM
+>NM_001134870|257363_3_803_894
+MEAESWRNSRAESETSRVSRAKPQEKRGGK
+>DECOY_NM_001134870|257363_3_803_894
+KGGRKEQPKARSVRSTESEARSNRWSEAEM
+>NM_001134870|257365_3_1229_1365
+MDPELREGSRMDTQGHRGSNSETRTSRVSREASGISRCGGWRRGG
+>DECOY_NM_001134870|257365_3_1229_1365
+GGRRWGGCRSIGSAERSVRSTRTESNSGRHGQTDMRSGERLEPDM
+>NM_001134870|257366_3_1259_1365
+MDTQGHRGSNSETRTSRVSREASGISRCGGWRRGG
+>DECOY_NM_001134870|257366_3_1259_1365
+GGRRWGGCRSIGSAERSVRSTRTESNSGRHGQTDM
+>NM_032550|257419_2_1220_1299
+MGAPWMATLRSQKPKTSRRNVLLASN
+>DECOY_NM_032550|257419_2_1220_1299
+NSALLVNRRSTKPKQSRLTAMWPAGM
+>NM_001001414|257531_2_728_810
+MVPVCALSTSCTRPRTAWSLVGCGGHG
+>DECOY_NM_001001414|257531_2_728_810
+GHGGCGVLSWATRPRTCSTSLACVPVM
+>NM_001141917|257567_3_1013_1110
+MDAFPEVGAPKTCGGVPEAAYAKPTIVLPTWK
+>DECOY_NM_001141917|257567_3_1013_1110
+KWTPLVITPKAYAAEPVGGCTKPAGVEPFADM
+>NM_001080529|257624_2_1228_1430
+MEACTSLMTSSLNSRSILWKTFPLRMNINHARRFTPARSPEAVHLVPGSKRKQSGRALMTSKAEILR
+>DECOY_NM_001080529|257624_2_1228_1430
+RLIEAKSTMLARGSQKRKSGPVLHVAEPSRAPTFRRAHNINMRLPFTKWLISRSNLSSTMLSTCAEM
+>NM_053281|257722_2_888_1030
+MEAKMGPNQSLMILILTQVEVNPPGIKIRCSLHLLHLDPNMELLMQP
+>DECOY_NM_053281|257722_2_888_1030
+PQMLLEMNPDLHLLHLSCRIKIGPPNVEVQTLILIMLSQNPGMKAEM
+>NM_053281|257723_2_900_1030
+MGPNQSLMILILTQVEVNPPGIKIRCSLHLLHLDPNMELLMQP
+>DECOY_NM_053281|257723_2_900_1030
+PQMLLEMNPDLHLLHLSCRIKIGPPNVEVQTLILIMLSQNPGM
+>NM_004550|257900_3_573_649
+MGTAVWGSCYVPKQRNSPLEASTLE
+>DECOY_NM_004550|257900_3_573_649
+ELTSAELPSNRQKPVYCSGWVATGM
+>NM_025015|257971_2_1780_1958
+MALGGAPTSLTSSSLPTSLWLWVSWSSVATPRPSPPSWSLSSTSTALSTTTSASSLIPG
+>DECOY_NM_025015|257971_2_1780_1958
+GPILSSASTTTSLATSTSSLSWSPPSPRPTAVSSWSVWLWLSTPLSSSTLSTPAGGLAM
+>NM_006699|258004_3_2061_2155
+MEEWALGKKDGAFGLLCWGNVCTRSRWFQSR
+>DECOY_NM_006699|258004_3_2061_2155
+RSQFWRSRTCVNGWCLLGFAGDKKGLAWEEM
+>NM_001001933|258021_2_1058_1254
+MELAALDVGDTSILLTGSGEPRGMSITWHALPAFPAKGNFPQERSLLWWKRKSSAEYIMTACWII
+>DECOY_NM_001001933|258021_2_1058_1254
+IIWCATMIYEASSKRKWWLLSREQPFNGKAPFAPLAHWTISMGRPEGSGTLLISTDGVDLAALEM
+>NM_001001933|258023_2_1271_1455
+MGMGLVWKVPSSQSKMLTIQNQQKELGPALQQISFRLCKHNLLRTTTQMHRHSRNWQKGQA
+>DECOY_NM_001001933|258023_2_1271_1455
+AQGKQWNRSHRHMQTTTRLLNHKCLRFSIQQLAPGLEKQQNQITLMKSQSSPVKWVLGMGM
+>NM_001001933|258024_2_1277_1455
+MGLVWKVPSSQSKMLTIQNQQKELGPALQQISFRLCKHNLLRTTTQMHRHSRNWQKGQA
+>DECOY_NM_001001933|258024_2_1277_1455
+AQGKQWNRSHRHMQTTTRLLNHKCLRFSIQQLAPGLEKQQNQITLMKSQSSPVKWVLGM
+>NM_052970|258065_3_372_484
+MGGRRPGRGPPEDPDLPAADSGGRLPQLWLHRPRLLP
+>DECOY_NM_052970|258065_3_372_484
+PLLRPRHLWLQPLRGGSDAAPLDPDEPPGRGPRRGGM
+>NM_080599|258121_2_1438_1550
+MGLELIYSHLVNLENMTWKVVYGKMKMLGIFMRTSLI
+>DECOY_NM_080599|258121_2_1438_1550
+ILSTRMFIGLMKMKGYVVKWTMNELNVLHSYILELGM
+>NM_004277|258179_3_298_455
+MAPSEQIPTVRLRGYRGRASNLSPGSHKNSTPNARRSSSCSVGRRCKRICPL
+>DECOY_NM_004277|258179_3_298_455
+LPCIRKCRRGVSCSSSRRANPTSNKHSGPSLNSARGRYGRLRVTPIQESPAM
+>NM_004443|258211_2_1212_1474
+MGSGWCLWVPAPVPPAMSQLPRSPSAAPVPLGATRRSRERGPASHVPPTAVPPPQPPASAPATITSTVQTRTLRTVPVPPCHLHPEV
+>DECOY_NM_004443|258211_2_1212_1474
+VEPHLHCPPVPVTRLTRTQVTSTITAPASAPPQPPPVATPPVHSAPGRERSRRTAGLPVPAASPSRPLQSMAPPVPAPVWLCWGSGM
+>NM_004443|258215_2_1578_1651
+MGLEGPQPAHAVMTTWSLCLGSWA
+>DECOY_NM_004443|258215_2_1578_1651
+AWSGLCLSWTTMVAHAPQPGELGM
+>NM_004443|258216_2_2028_2251
+MGSTAALPSLRPQVREALGPSSSRSSFPSSWAPLQLGLSSWWLSWSSLSSASGSSDTALIRSTRRSCSSTLLLE
+>DECOY_NM_004443|258216_2_2028_2251
+ELLLTSSCSRRTSRILATDSSGSASSLSSWSLWWSSLGLQLPAWSSPFSSRSSSPGLAERVQPRLSPLAATSGM
+>NM_005004|258349_2_230_318
+MAWGMATTRSSLTAHSMREIHGIAGTSRA
+>DECOY_NM_005004|258349_2_230_318
+ARSTGAIGHIERMSHATLSSRTTAMGWAM
+>NM_005004|258350_2_242_318
+MATTRSSLTAHSMREIHGIAGTSRA
+>DECOY_NM_005004|258350_2_242_318
+ARSTGAIGHIERMSHATLSSRTTAM
+>NM_001004065|258378_2_1571_1668
+MENLRAPGLSSLWSRMMTMGFWISSQDLSMSP
+>DECOY_NM_001004065|258378_2_1571_1668
+PSMSLDQSSIWFGMTMMRSWLSSLGPARLNEM
+>NM_001004065|258382_2_1910_2016
+MVSIPLPPRWGTLRWLMTPWSIRLASWCRMPFNKP
+>DECOY_NM_001004065|258382_2_1910_2016
+PKNFPMRCWSALRISWPTMLWRLTGWRPPLPISVM
+>NM_001004065|258389_3_990_1180
+MVESPAGKNHRGAAGRGTSGVAQKVQGAQREKGTAGTVAAAEAVTAAAAAAPIAALHSPCLFS
+>DECOY_NM_001004065|258389_3_990_1180
+SFLCPSHLAAIPAAAAAATVAEAAAVTGATGKERQAGQVKQAVGSTGRGAAGRHNKGAPSEVM
+>NM_020708|258455_2_197_612
+MGEPTRVMATPRKAVPSSTAPTQRRERSMMARTWPCLRRRWTPALWCPPCSVAWPTTPTCPREVGSMKRQKTMRVEKRSRCRPHAWAPSWACTCRACRTSLASSSSCGSPGWWALQASWSPSAWCSSAAPVRCSRPSP
+>DECOY_NM_020708|258455_2_197_612
+PSPRSCRVPAASSCWASPSWSAQLAWWGPSGCSSSSALSTRCARCTCAWSPAWAHPRCRSRKEVRMTKQRKMSGVERPCTPTTPWAVSCPPCWLAPTWRRRLCPWTRAMMSRERRQTPATSSPVAKRPTAMVRTPEGM
+>NM_020708|258456_2_218_612
+MATPRKAVPSSTAPTQRRERSMMARTWPCLRRRWTPALWCPPCSVAWPTTPTCPREVGSMKRQKTMRVEKRSRCRPHAWAPSWACTCRACRTSLASSSSCGSPGWWALQASWSPSAWCSSAAPVRCSRPSP
+>DECOY_NM_020708|258456_2_218_612
+PSPRSCRVPAASSCWASPSWSAQLAWWGPSGCSSSSALSTRCARCTCAWSPAWAHPRCRSRKEVRMTKQRKMSGVERPCTPTTPWAVSCPPCWLAPTWRRRLCPWTRAMMSRERRQTPATSSPVAKRPTAM
+>NM_020708|258458_2_284_612
+MARTWPCLRRRWTPALWCPPCSVAWPTTPTCPREVGSMKRQKTMRVEKRSRCRPHAWAPSWACTCRACRTSLASSSSCGSPGWWALQASWSPSAWCSSAAPVRCSRPSP
+>DECOY_NM_020708|258458_2_284_612
+PSPRSCRVPAASSCWASPSWSAQLAWWGPSGCSSSSALSTRCARCTCAWSPAWAHPRCRSRKEVRMTKQRKMSGVERPCTPTTPWAVSCPPCWLAPTWRRRLCPWTRAM
+>NM_020708|258466_2_1709_1782
+MALCPSCRSLAMARPMESRPGPCS
+>DECOY_NM_020708|258466_2_1709_1782
+SCPGPRSEMPRAMALSRCSPCLAM
+>NM_020708|258467_2_2090_2244
+MGYEVCLSVRLAMPSYAWRKGPHTPRTGGHSCWCWCVWTKTRMWCTPSCSH
+>DECOY_NM_020708|258467_2_2090_2244
+HSCSPTCWMRTKTWVCWCWCSHGGTRPTHPGKRWAYSPMALRVSLCVEYGM
+>NM_020708|258469_2_2663_2775
+MEACSCCCPSCCGTTRSGGSARCVSSLWPRWMTIASR
+>DECOY_NM_020708|258469_2_2663_2775
+RSAITMWRPWLSSVCRASGGSRTTGCCSPCCCSCAEM
+>NM_006929|258599_2_2442_2584
+MAPPSGTCSLGSMCRWQAGQGGGAWTPQAPLSCSARAECPRWQTCTA
+>DECOY_NM_006929|258599_2_2442_2584
+ATCTQWRPCEARASCSLPAQPTWAGGGQGAQWRCMSGLSCTGSPPAM
+>NM_006929|258604_3_628_869
+MAEEDGSLVSFGCPGSPSPIRPTGPKTPNHRPDTGLQRGLAGEHKSLGYNLLVSSPASRASLPVLMGKSNSVSLLARGDG
+>DECOY_NM_006929|258604_3_628_869
+GDGRALLSVSNSKGMLVPLSARSAPSSVLLNYGLSKHEGALGRQLGTDPRHNPTKPGTPRIPSPSGPCGFSVLSGDEEAM
+>NM_130785|258707_3_492_571
+MDTFSSTSTTYYSDKNFSSASSKKTT
+>DECOY_NM_130785|258707_3_492_571
+TTKKSSASSFNKDSYYTTSTSSFTDM
+>NM_145176|258815_3_1553_1683
+MAVLSQLACLCCCFFNWSRTNALAGAQRDLSWWDQRTSHGFNF
+>DECOY_NM_145176|258815_3_1553_1683
+FNFGHSTRQDWWSLDRQAGALANTRSWNFFCCCLCALQSLVAM
+>NM_080650|258920_2_642_718
+MEYMFVEKVESMKLSLWIALYLRRK
+>DECOY_NM_080650|258920_2_642_718
+KRRLYLAIWLSLKMSEVKEVFMYEM
+>NM_014979|259052_2_330_430
+MVRPTMTKAQVKPLRGMMKMMRSMRGSIRASPV
+>DECOY_NM_014979|259052_2_330_430
+VPSARISGRMSRMMKMMGRLPKVQAKTMTPRVM
+>NM_014979|259054_2_855_970
+MASFSSVAYFLDSGLEEPYPLCSRTLLKSWPGKSGANT
+>DECOY_NM_014979|259054_2_855_970
+TNAGSKGPWSKLLTRSCLPYPEELGSDLFYAVSSFSAM
+>NM_052964|259098_2_1122_1213
+MVVSWSEIVPQNPRKSPMFWLCFMRTKSTM
+>DECOY_NM_052964|259098_2_1122_1213
+MTSKTRMFCLWFMPSKRPNQPVIESWSVVM
+>NM_005741|259235_2_729_856
+MGGEQKCFWRSLCLWKQHESHRASSWSQWRLSEALAPGCRSC
+>DECOY_NM_005741|259235_2_729_856
+CSRCGPALAESLRWQSWSSARHSEHQKWLCLSRWFCKQEGGM
+>NM_005741|259240_3_1159_1232
+MGSQCPELQGGPEPQRPSSRRREI
+>DECOY_NM_005741|259240_3_1159_1232
+IERRRSSPRQPEPGGQLEPCQSGM
+>NM_024692|259260_2_1212_1285
+MEVLEKSSTLNVPPSMVFLHLFQR
+>DECOY_NM_024692|259260_2_1212_1285
+RQFLHLFVMSPPVNLTSSKELVEM
+>NM_024692|259264_2_1818_1900
+MVQLEVCSILAVLQDMEYLLPHPGCKE
+>DECOY_NM_024692|259264_2_1818_1900
+EKCGPHPLLYEMDQLVALISCVELQVM
+>NM_014972|259294_2_1898_1989
+METPLLSSSGHCCQTIPWRGRGPRKEWLGV
+>DECOY_NM_014972|259294_2_1898_1989
+VGLWEKRPGRGRWPITQCCHGSSSLLPTEM
+>NM_001142292|259315_2_100_188
+MGPGCYSFFFCWGLGRGHSKSGRVKRSST
+>DECOY_NM_001142292|259315_2_100_188
+TSSRKVRGSKSHGRGLGWCFFFSYCGPGM
+>NM_001142292|259318_2_418_509
+MAWQSGTQRIGCSQGLCLETWTNLWGWEYL
+>DECOY_NM_001142292|259318_2_418_509
+LYEWGWLNTWTELCLGQSCGIRQTGSQWAM
+>NM_007032|259407_2_1157_1239
+MATSPRATSHRRHVSAAWQRWSPRTSR
+>DECOY_NM_007032|259407_2_1157_1239
+RSTRPSWRQWAASVHRRHSTARPSTAM
+>NM_007032|259420_3_213_346
+MDVDLGRAWRASLPLAHHHLYFAVEETLVCADRFKSQILQRLHC
+>DECOY_NM_007032|259420_3_213_346
+CHLRQLIQSKFRDACVLTEEVAFYLHHHALPLSARWARGLDVDM
+>NM_020474|259456_2_1472_1593
+MVWGVIRFSLILPTKKLEQMTFAWMFPNLMAQLQCSNATT
+>DECOY_NM_020474|259456_2_1472_1593
+TTANSCQLQAMLNPFMWAFTMQELKKTPLILSFRIVGWVM
+>NM_012430|259596_2_119_237
+MDCHFLLLLIMNKAQECRSAESILKCFRGNLLNFLIDVH
+>DECOY_NM_012430|259596_2_119_237
+HVDILFNLLNGRFCKLISEASRCEQAKNMILLLLFHCDM
+>NM_012430|259599_2_740_852
+MVMILITSLHFSLEQQPAFTSVIYLSTTPAGGMSNLF
+>DECOY_NM_012430|259599_2_740_852
+FLNSMGGAPTTSLYIVSTFAPQQELSFHLSTILIMVM
+>NM_001142310|259673_3_1045_1148
+MVASCPGHGERLLWLALQQAGSGGLFSLQHCGVA
+>DECOY_NM_001142310|259673_3_1045_1148
+AVGCHQLSFLGGSGAQQLALWLLREGHGPCSAVM
+>NM_080876|259758_3_476_555
+MERCQNSCCGRSRAEQWGWLWLCAGP
+>DECOY_NM_080876|259758_3_476_555
+PGACLWLWGWQEARSRGCCSNQCREM
+>NM_173822|259809_2_537_628
+MGTIKFCLSLSPPYPSLQYTMNLQQLDPWL
+>DECOY_NM_173822|259809_2_537_628
+LWPDLQQLNMTYQLSPYPPSLSLCFKITGM
+>NM_025203|259844_2_1881_1963
+MGRNPLQCIHSLKIFLMFTSFTRNLII
+>DECOY_NM_025203|259844_2_1881_1963
+IILNRTFSTFMLFIKLSHICQLPNRGM
+>NM_025203|259845_2_2151_2254
+MAACPGQMSSETLFLTVQVLFLLLKSLQALLPPV
+>DECOY_NM_025203|259845_2_2151_2254
+VPPLLAQLSKLLLFLVQVTLFLTESSMQGPCAAM
+>NM_001142319|259863_2_1881_1975
+MGRNPLQCIHSLKIFLMFTSFTRFPLDSSLC
+>DECOY_NM_001142319|259863_2_1881_1975
+CLSSDLPFRTFSTFMLFIKLSHICQLPNRGM
+>NM_033502|259910_2_669_880
+MGMQLQGAEWMPLRPRQSPPTSLKIHGMVWACLLAPKTLAKWIPRGREGGEVMQGLETMSSYVETWPTQT
+>DECOY_NM_033502|259910_2_669_880
+TQTPWTEVYSSMTELGQMVEGGERGRPIWKALTKPALLCAWVMGHIKLSTPPSQRPRLPMWEAGQLQMGM
+>NM_033502|259913_2_750_880
+MVWACLLAPKTLAKWIPRGREGGEVMQGLETMSSYVETWPTQT
+>DECOY_NM_033502|259913_2_750_880
+TQTPWTEVYSSMTELGQMVEGGERGRPIWKALTKPALLCAWVM
+>NM_182500|259938_2_472_560
+MACSRTSCGGSSWRPSGGASSAGFRTGVS
+>DECOY_NM_182500|259938_2_472_560
+SVGTRFGASSAGGSPRWSSGGCSTRSCAM
+>NM_020690|259999_2_2777_2946
+MVVSQRITFQSYLRLTQSYLKIMMLMMSNSLHHRQNRLILSQSSLYHLHSVTFPVT
+>DECOY_NM_020690|259999_2_2777_2946
+TVPFTVSHLHYLSSQSLILRNQRHHLSNSMMLMMIKLYSQTLRLYSQFTIRQSVVM
+>NM_020690|260010_2_6812_7380
+MVHCPHELLQMPLSLFSQRSWVTQCLDTWKTCTLITQRHLASDHLPSEFLLVQLGYHPLTHQAAPHLPLLLLWQVFPAYQEQGFSCKGQLLLGLLVSTDNIFLPILGQAPQTHPLLPHQRWANQKESVPVKIERYLPQLEQRDWPEFGKEGLLHKPRRGPVLSLPLDTVESGHLVSMLCQKAYQVGRNL
+>DECOY_NM_020690|260010_2_6812_7380
+LNRGVQYAKQCLMSVLHGSEVTDLPLSLVPGRRPKHLLGEKGFEPWDRQELQPLYREIKVPVSEKQNAWRQHPLLPHTQPAQGLIPLFINDTSVLLGLLLQGKCSFGQEQYAPFVQWLLLLPLHPAAQHTLPHYGLQVLLFESPLHDSALHRQTILTCTKWTDLCQTVWSRQSFLSLPMQLLEHPCHVM
+>NM_024339|260020_2_861_1105
+MELFDFGTCAQPRRSRRSRSISTRSARGPTMGAGLDVWQLIPTGWSVEGAQPSPSGTSDPPHPPPSSPSGRHRSTSPSTRT
+>DECOY_NM_024339|260020_2_861_1105
+TRTSPSTSRHRGSPSSPPPHPPDSTGSPSPQAGEVSWGTPILQWVDLGAGMTPGRASRTSISRSRRSRRPQACTGFDFLEM
+>NM_024339|260021_2_951_1105
+MGAGLDVWQLIPTGWSVEGAQPSPSGTSDPPHPPPSSPSGRHRSTSPSTRT
+>DECOY_NM_024339|260021_2_951_1105
+TRTSPSTSRHRGSPSSPPPHPPDSTGSPSPQAGEVSWGTPILQWVDLGAGM
+>NM_001142350|260028_2_861_1111
+MELFDFGTCAQPRRSRRSRSISTRSARGPTMGAGLDVWQLIPTGWSVEGAQPSPSGTSDPPHPPPSSPSGRHRSTSPSTRTWS
+>DECOY_NM_001142350|260028_2_861_1111
+SWTRTSPSTSRHRGSPSSPPPHPPDSTGSPSPQAGEVSWGTPILQWVDLGAGMTPGRASRTSISRSRRSRRPQACTGFDFLEM
+>NM_001142350|260029_2_951_1111
+MGAGLDVWQLIPTGWSVEGAQPSPSGTSDPPHPPPSSPSGRHRSTSPSTRTWS
+>DECOY_NM_001142350|260029_2_951_1111
+SWTRTSPSTSRHRGSPSSPPPHPPDSTGSPSPQAGEVSWGTPILQWVDLGAGM
+>NM_183009|260064_2_899_1002
+MVMNKFPVMKMELLTWNVKHLSIQTLMLNTLLKT
+>DECOY_NM_183009|260064_2_899_1002
+TKLLTNLMLTQISLHKVNWTLLEMKMVPFKNMVM
+>NM_183009|260067_2_929_1002
+MELLTWNVKHLSIQTLMLNTLLKT
+>DECOY_NM_183009|260067_2_929_1002
+TKLLTNLMLTQISLHKVNWTLLEM
+>NM_183009|260079_3_1209_1474
+MGNSFRRNSKFNNKRVKLFAIEKHKTRLPWPVGRLDHASFKFTSSASPTYRLKCSTAQSWDQISVLTSRMWGSRSYRTATSRSDQWII
+>DECOY_NM_183009|260079_3_1209_1474
+IIWQDSRSTATRYSRSGWMRSTLVSIQDWSQATSCKLRYTPSASSTFKFSAHDLRGVPWPLRTKHKEIAFLKVRKNNFKSNRRFSNGM
+>NM_020963|260098_2_854_1134
+MAEPSLLPSLTSSHSAGHPSLLSTMKTRSCPVHWAPVNAMNSMSIVRPALWATSQPQCSGSCWDLGSRVQKEPAHSTLPASWLPSPTAPWLHS
+>DECOY_NM_020963|260098_2_854_1134
+SHLWPATPSPLWSAPLTSHAPEKQVRSGLDWCSGSCQPQSTAWLAPRVISMSNMANVPAWHVPCSRTKMTSLLSPHGASHSSTLSPLLSPEAM
+>NM_020963|260102_2_2471_2559
+MDWDTHCWSGCSPTTPCTRRALMAMTPSS
+>DECOY_NM_020963|260102_2_2471_2559
+SSPTMAMLARRTCPTTPSCGSWCHTDWDM
+>NM_058191|260169_2_713_822
+MELSSVNMVKMKWIWKVKKRKKSQRLVELFQMLYLH
+>DECOY_NM_058191|260169_2_713_822
+HLYLMQFLEVLRQSKKRKKVKWIWKMKVMNVSSLEM
+>NM_058191|260170_2_734_822
+MVKMKWIWKVKKRKKSQRLVELFQMLYLH
+>DECOY_NM_058191|260170_2_734_822
+HLYLMQFLEVLRQSKKRKKVKWIWKMKVM
+>NM_015360|260222_2_1242_1399
+MACILWLMKMVTSEKIILILQCKCFEMQVIWPKETRKGGKEEQKDHQMFSKL
+>DECOY_NM_015360|260222_2_1242_1399
+LKSFMQHDKQEEKGGKRTEKPWIVQMEFCKCQLILIIKESTVMKMLWLICAM
+>NM_015360|260224_2_1269_1399
+MVTSEKIILILQCKCFEMQVIWPKETRKGGKEEQKDHQMFSKL
+>DECOY_NM_015360|260224_2_1269_1399
+LKSFMQHDKQEEKGGKRTEKPWIVQMEFCKCQLILIIKESTVM
+>NM_014691|260283_2_1061_1143
+MAIFFPSFWTCLNSILVLKLMTKLEML
+>DECOY_NM_014691|260283_2_1061_1143
+LMELKTMLKLVLISNLCTWFSPFFIAM
+>NM_014691|260288_2_2009_2094
+MDLNPDPILEENQGHLECFWIQTSINKI
+>DECOY_NM_014691|260288_2_2009_2094
+IKNISTQIWFCELHGQNEELIPDPNLDM
+>NM_014691|260289_2_2261_2352
+MGTQVVHIIRKCPIRLPPLISMIHFSPLSI
+>DECOY_NM_014691|260289_2_2261_2352
+ISLPSFHIMSILPPLRIPCKRIIHVVQTGM
+>NM_014691|260292_2_2822_2898
+MEKKSWRQRKISAGMEELIMFWLEE
+>DECOY_NM_014691|260292_2_2822_2898
+EELWFMILEEMGASIKRQRWSKKEM
+>NM_207170|260442_2_395_480
+MEKSFSQHPIVFFMEHMCLPQRKLTGWS
+>DECOY_NM_207170|260442_2_395_480
+SWGTLKRQPLCMHEMFFVIPHQSFSKEM
+>NM_001042550|260502_2_555_628
+MGRLVLPKPLCQSLLIILTKSKVL
+>DECOY_NM_001042550|260502_2_555_628
+LVKSKTLIILLSQCLPKPLVLRGM
+>NM_001018046|260681_2_182_282
+MVTAVIPHWLMKKKIPVVVDRTGNPGQKVLRSL
+>DECOY_NM_001018046|260681_2_182_282
+LSRLVKQGPNGTRDVVVPIKKKMLWHPIVATVM
+>NM_001018046|260685_2_1307_1431
+MDGNQISGALVVLCLRWLQGSLHWLPWTGWPPCFTSEHTEG
+>DECOY_NM_001018046|260685_2_1307_1431
+GETHESTFCPPWGTWPLWHLSGQLWRLCLVVLAGSIQNGDM
+>NM_025052|260726_2_1085_1158
+MVVKRENLKKRTLNIFHQERMRVQ
+>DECOY_NM_025052|260726_2_1085_1158
+QVRMREQHFINLTRKKLNERKVVM
+>NM_001005356|260760_2_734_810
+MALIRIFQMSMEIPLYTMLSTMKIN
+>DECOY_NM_001005356|260760_2_734_810
+NIKMTSLMTYLPIEMSMQFIRILAM
+>NM_005787|260801_3_99_238
+MAAARLARAAPAAAGAALHAAGGRLPLPGGGGHHLLGHSQGGIHRD
+>DECOY_NM_005787|260801_3_99_238
+DRHIGGQSHGLLHHGGGGPLPLRGGAAHLAAGAAAPAARALRAAAM
+>NM_032997|261007_3_321_442
+MERAEGHLQGARRGHQNWPHQGPDSDGGSPEETDTTPGSL
+>DECOY_NM_032997|261007_3_321_442
+LSGPTTDTEEPSGGDSDPGQHPWNQHGRRAGQLHGEAREM
+>NM_001655|261089_2_1089_1189
+MAEFVFMWKMKIRKGCSYRPIQMWIKNFSLQSL
+>DECOY_NM_001655|261089_2_1089_1189
+LSQLSFNKIWMQIPRYSCGKRIKMKWMFVFEAM
+>NM_006378|261160_2_1023_1162
+MAKEDVPLTQHTATHPSWLMENFIRGRRIIFWEVNPSSPEILPTVL
+>DECOY_NM_006378|261160_2_1023_1162
+LVTPLIEPSSPNVEWFIIRRGRIFNEMLWSPHTATHQTLPVDEKAM
+>NM_006378|261162_2_1647_1723
+MARYPSRGLERASTARHGPPTTPAP
+>DECOY_NM_006378|261162_2_1647_1723
+PAPTTPPGHRATSARELGRSPYRAM
+>NM_006378|261168_2_2979_3127
+MGSTPSQPWTPAMRPSKTPSPAKSPRIGRTHRGSTTFLPGTSPLTSSVS
+>DECOY_NM_006378|261168_2_2979_3127
+SVSSTLPSTGPLFTTSGRHTRGIRPSKAPSPTKSPRMAPTWPQSPTSGM
+>NM_006378|261173_3_1381_1646
+MDLLPESPTHLLPARQRLGLQCAAGCLRAQVPGPEGACVLCTLHPTAEQRGAVGSVRLQPVHSRGGLLPREVHAEHHSGAVPHQVGAL
+>DECOY_NM_006378|261173_3_1381_1646
+LAGVQHPVAGSHHEAHVERPLLGGRSHVPQLRVSGVAGRQEATPHLTCLVCAGEPGPVQARLCGAACQLGLRQRAPLLHTPSEPLLDM
+>NM_001142287|261203_2_2487_2653
+MGELWRPVSLCRPMHCPMAGPMHSAGCRTPSGKALSIAALSSPQQGTRLRRCRLL
+>DECOY_NM_001142287|261203_2_2487_2653
+LLRCRRLRTGQQPSSLAAISLAKGSPTRCGASHMPGAMPCHMPRCLSVPRWLEGM
+>NM_014369|261231_2_507_583
+MGGKGVSGTGPRSRSHCRLGFSASL
+>DECOY_NM_014369|261231_2_507_583
+LSASFGLRCHSRSRPGTGSVGKGGM
+>NM_014427|261286_3_705_1123
+MADCGPEEGDPPAAAQVWQERWQVHHHGDRRGHLGEQRLRGALLPGQEAGRQGPLQQVRPLPGALQGQRRPGLAAGVQDGGGEEQPEPGVGGLQSLSEFPLQLRGDKASKVPGLGLRLSRKARLHRRILYHLRGDAEGL
+>DECOY_NM_014427|261286_3_705_1123
+LGEADGRLHYLIRRHLRAKRSLRLGLGPVKSAKDGRLQLPFESLSQLGGVGPEPQEEGGGDQVGAALGPRRQGQLAGPLPRVQQLPGQRGAEQGPLLAGRLRQEGLHGRRDGHHHVQWREQWVQAAAPPDGEEPGCDAM
+>NM_017975|261343_2_1453_1529
+MEPWTQFLSVGLFQFKCFWKLVWTN
+>DECOY_NM_017975|261343_2_1453_1529
+NTWVLKWFCKFQFLGVSLFQTWPEM
+>NM_001039141|261406_2_3020_3282
+MVPEPLPHLAPSKARFPGHPSPSGQPKVTGLRHPLPAGQPSMTHPSPPLAPPSTTCHPGPPLPPITQATRAPPELPHLCTPLPMGLP
+>DECOY_NM_001039141|261406_2_3020_3282
+PLGMPLPTCLHPLEPPARTAQTIPPLPPGPHCTTSPPALPPSPHTMSPQGAPLPHRLGTVKPQGSPSPHGPFRAKSPALHPLPEPVM
+>NM_001039141|261433_3_4698_4771
+MGGHFQGVQGELGAARGLGGEAHS
+>DECOY_NM_001039141|261433_3_4698_4771
+SHAEGGLGRAAGLEGQVGQFHGGM
+>NM_007039|261470_2_980_1059
+MEKRATLLRIAKEVTYPLERVLKVSL
+>DECOY_NM_007039|261470_2_980_1059
+LSVKLVRELPYTVEKAIRLLTARKEM
+>NM_007039|261471_2_1070_1143
+MEGILWYLGGMTLPTCPTTSPFLH
+>DECOY_NM_007039|261471_2_1070_1143
+HLFPSTTPCTPLTMGGLYWLIGEM
+>NM_007039|261472_2_1373_1539
+MDIIQNHMLLPKITSLCPTRTDTTVTLRQAWIEPRLTSTVGSVMAVSTVHTAPTP
+>DECOY_NM_007039|261472_2_1373_1539
+PTPATHVTSVAMVSGVTSTLRPEIWAQRLTVTTDTRTPCLSTIKPLLMHNQIIDM
+>NM_007039|261478_2_3533_3666
+MAVQKTSRDFYHILKRSSLFDAIQIAQVIPKAPTLRCWSTAVLG
+>DECOY_NM_007039|261478_2_3533_3666
+GLVATSWCRLTPAKPIVQAIQIADFLSSRKLIHYFDRSTKQVAM
+>NM_001080475|261512_2_2555_2712
+MERSSTLLRIFQQAGVKAVEPFSILNAKKSLSPARGVFAESCRRSRSLSGRD
+>DECOY_NM_001080475|261512_2_2555_2712
+DRGSLSRSRRCSEAFVGRAPSLSKKANLISFPEVAKVGAQQFIRLLTSSREM
+>NM_001080475|261530_3_1368_1447
+MGGFGLGTEALGSSACCCARLHGAAE
+>DECOY_NM_001080475|261530_3_1368_1447
+EAAGHLRACCCASSGLAETGLGFGGM
+>NM_001080475|261531_3_1827_1930
+MDGGSEDSCQCGEEFRAKPASHTEEQTQGSNGWA
+>DECOY_NM_001080475|261531_3_1827_1930
+AWGNSGQTQEETHSAPKARFEEGCQCSDESGGDM
+>NM_013451|261696_2_559_677
+MGKKMKVMKTGWTMQSGALGPRGQLGRCRKLSLLGGSPK
+>DECOY_NM_013451|261696_2_559_677
+KPSGGLLSLKRCRGLQGRPGLAGSQMTWGTKMVKMKKGM
+>NM_013451|261704_2_1927_2051
+MATSLTPPVSLWHQQLSTAVLYLMATTIITCLGPTPSQLLP
+>DECOY_NM_013451|261704_2_1927_2051
+PLLQSPTPGLCTIITTAMLYLVATSLQQHWLSVPPTLSTAM
+>NM_013451|261709_2_3106_3215
+MESPFLLIISPNPGLQQRKCTTLIDGEGWSENARKI
+>DECOY_NM_013451|261709_2_3106_3215
+IKRANESWGEGDILTTCKRQQLGPNPSIILLFPSEM
+>NM_013451|261711_2_3436_3584
+MGMRRAWRNRSTVPPLCSEQTPPLFPAILTESTSTICAAMSIKPETSWL
+>DECOY_NM_013451|261711_2_3436_3584
+LWSTEPKISMAACITSTSETLIAPFLPPTQESCLPPVTSRNRWARRMGM
+>NM_013451|261715_2_3946_4049
+MAPTFPFFPLKGRQIYTWSPRGSGLWSSSLPLRF
+>DECOY_NM_013451|261715_2_3946_4049
+FRLPLSSSWLGSGRPSWTYIQRGKLPFFPFTPAM
+>NM_013451|261722_2_5203_5498
+MGVESDMEDETTAWMNLKPTKSCTSTSGPLKSGLLFTSSGLRGWSLSTWKQGLCTAPSSPTFPRENFRCGWMFSPRVWGHQALLSTSHPGKPRNTTCV
+>DECOY_NM_013451|261722_2_5203_5498
+VCTTNRPKGPHSTSLLAQHGWVRPSFMWGCRFNERPFTPSSPATCLGQKWTSLSWGRLGSSTFLLGSKLPGSTSTCSKTPKLNMWATTEDEMDSEVGM
+>NM_013451|261723_2_5221_5498
+MEDETTAWMNLKPTKSCTSTSGPLKSGLLFTSSGLRGWSLSTWKQGLCTAPSSPTFPRENFRCGWMFSPRVWGHQALLSTSHPGKPRNTTCV
+>DECOY_NM_013451|261723_2_5221_5498
+VCTTNRPKGPHSTSLLAQHGWVRPSFMWGCRFNERPFTPSSPATCLGQKWTSLSWGRLGSSTFLLGSKLPGSTSTCSKTPKLNMWATTEDEM
+>NM_013451|261730_3_5966_6195
+MVAMLRRERWRPRNGWESGDDIGNPQREGGRREASREGAGRTQHEPQAGLTKSTRNLLPLVHQPMQDHEVHRVAPL
+>DECOY_NM_013451|261730_3_5966_6195
+LPAVRHVEHDQMPQHVLPLLNRTSKTLGAQPEHQTRGAGERSAERRGGERQPNGIDDGSEWGNRPRWRERRLMAVM
+>NM_006978|261855_2_897_1153
+MGGRSNVSLMRVAMVSMRMKTMKWEAMMRKYHSSVSSVARASKTQLSPSAGIISARAVHCSISAPPRAAMSVTSRPMASSIQRKN
+>DECOY_NM_006978|261855_2_897_1153
+NKRQISSAMPRSTVSMAARPPASISCHVARASIIGASPSLQTKSARAVSSVSSHYKRMMAEWKMTKMRMSVMAVRMLSVNSRGGM
+>NM_006978|261857_2_936_1153
+MVSMRMKTMKWEAMMRKYHSSVSSVARASKTQLSPSAGIISARAVHCSISAPPRAAMSVTSRPMASSIQRKN
+>DECOY_NM_006978|261857_2_936_1153
+NKRQISSAMPRSTVSMAARPPASISCHVARASIIGASPSLQTKSARAVSSVSSHYKRMMAEWKMTKMRMSVM
+>NM_001040715|261896_2_875_1032
+MGHHCTPGLSPWPCPWLWQSPQRCSPSLSSSRSHRCSWATVATCVAVRAPTL
+>DECOY_NM_001040715|261896_2_875_1032
+LTPARVAVCTAVTAWSCRHSRSSSLSPSCRQPSQWLWPCPWPSLGPTCHHGM
+>NM_030802|261913_2_1012_1157
+MAAHLQSLPLLPPLDLIIATSSNGSPQKAVRKCVCLKKPRLQVLTWPS
+>DECOY_NM_030802|261913_2_1012_1157
+SPWTLVQLRPKKLCVCKRVAKQPSGNSSTAIILDLPPLLPLSQLHAAM
+>NM_003876|262019_2_552_625
+MGRMPKTSLSTSWSRPWKPSTSTL
+>DECOY_NM_003876|262019_2_552_625
+LTSTSPKWPRSWSTSLSTKPMRGM
+>NM_198451|262032_2_520_623
+MVLPVNPICGCGWTPISCAPLAARRPQSPVEKRI
+>DECOY_NM_198451|262032_2_520_623
+IRKEVPSQPRRAALPACSIPTWGCGCIPNVPLVM
+>NM_012215|262086_2_2154_2302
+MDPKEHRCYGNFNGFEQIVVLSVSIAKEKTLKKLKNGGHEQPSLKRCVD
+>DECOY_NM_012215|262086_2_2154_2302
+DVCRKLSPQEHGGNKLKKLTKEKAISVSLVVIQEFGNFNGYCRHEKPDM
+>NM_022117|262146_2_445_569
+MGRRPRPRRAWKHSPLLRPRGGAWKSIFRLYSRAVLVERGP
+>DECOY_NM_022117|262146_2_445_569
+PGREVLVARSYLRFISKWAGGRPRLLPSHKWARRPRPRRGM
+>NM_022117|262156_2_1786_2000
+MATTRTAATVTMKQMRPVMMKIMMATKVTMRAVMMMAMKVTMKAAMMTTETLSTMRKLLKTLTRIRLTTRT
+>DECOY_NM_022117|262156_2_1786_2000
+TRTTLRIRTLTKLLKRMTSLTETTMMAAKMTVKMAMMMVARMTVKTAMMIKMMVPRMQKMTVTAATRTTAM
+>NM_022117|262162_2_1855_2000
+MATKVTMRAVMMMAMKVTMKAAMMTTETLSTMRKLLKTLTRIRLTTRT
+>DECOY_NM_022117|262162_2_1855_2000
+TRTTLRIRTLTKLLKRMTSLTETTMMAAKMTVKMAMMMVARMTVKTAM
+>NM_022117|262166_2_1891_2000
+MAMKVTMKAAMMTTETLSTMRKLLKTLTRIRLTTRT
+>DECOY_NM_022117|262166_2_1891_2000
+TRTTLRIRTLTKLLKRMTSLTETTMMAAKMTVKMAM
+>NM_018387|262213_2_2330_2406
+MEHHMVTAQLPLPMVYPREWFCYPL
+>DECOY_NM_018387|262213_2_2330_2406
+LPYCFWERPYVMPLPLQATVMHHEM
+>NM_007200|262317_2_4710_4822
+MGWELRVEKVRVSLLTQATWRRRRWTVSLKCLQTALS
+>DECOY_NM_007200|262317_2_4710_4822
+SLATQLCKLSVTWRRRRWTAQTLLSVRVKEVRLEWGM
+>NM_006181|262354_2_621_847
+MAAAGPRWASSPPTVTWTMAVCLPLPMAQLAQGLRPCASPHPWPSLMAAAFWPSACRTAAPQAWTWTAAQCSKTG
+>DECOY_NM_006181|262354_2_621_847
+GTKSCQAATWTWAQPAATRCASPWFAAAMLSPWPHPSACPRLGQALQAMPLPLCVAMTWTVTPPSSAWRPGAAAM
+>NM_006181|262355_2_675_847
+MAVCLPLPMAQLAQGLRPCASPHPWPSLMAAAFWPSACRTAAPQAWTWTAAQCSKTG
+>DECOY_NM_006181|262355_2_675_847
+GTKSCQAATWTWAQPAATRCASPWFAAAMLSPWPHPSACPRLGQALQAMPLPLCVAM
+>NM_006181|262358_2_1035_1297
+MAPRALTAAAASPSTATGHGSGPLPGNPTPASLAPATAMPAAAASTWSCTDCPAAAAGVSVSTAGTTPPAATATTAGRASIETLAVP
+>DECOY_NM_006181|262358_2_1035_1297
+PVALTEISARGATTATAAPPTTGATSVSVGAAAAPCDTCSWTSAAAAPMATAPALSAPTPNGPLPGSGHGTATSPSAAAATLARPAM
+>NM_006181|262360_2_1392_1570
+MASLASPATAARLASSKAAPQWRPVLRPLSLDPLRTAALCSPRTVTRTANLPVAATASA
+>DECOY_NM_006181|262360_2_1392_1570
+ASATAAVPLNATRTVTRPSCLAATRLPDLSLPRLVPRWQPAAKSSALRAATAPSALSAM
+>NM_006181|262363_3_1090_1301
+MAAGHCPGIPRLPRLLLQRPCPPLPLQHGAVPTVRPPQRGCLSQLPAQHRRPPLPLLPGGLLSRPWPCPE
+>DECOY_NM_006181|262363_3_1090_1301
+EPCPWPRSLLGGPLLPLPPRRHQAPLQSLCGRQPPRVTPVAGHQLPLPPCPRQLLLRPLRPIGPCHGAAM
+>NM_173582|262567_2_1332_1432
+MVVGKFSQGMSWQLCLDGGCLIAGRKINQEMLM
+>DECOY_NM_173582|262567_2_1332_1432
+MLMEQNIKRGAILCGGDLCLQWSMGQSFKGVVM
+>NM_173582|262569_2_1569_1648
+MGKKSFLHLKSLLVFSVELQFWIKMG
+>DECOY_NM_173582|262569_2_1569_1648
+GMKIWFQLEVSFVLLSKLHLFSKKGM
+>NM_173582|262571_2_1740_1939
+MVIIFQKLPISCVMNHLPSKVYLKGFVILILQKNIQNFVEHLLYCMYGTLPLDMTVASLIRNQCCL
+>DECOY_NM_173582|262571_2_1740_1939
+LCCQNRILSAVTMDLPLTGYMCYLLHEVFNQINKQLILIVFGKLYVKSPLHNMVCSIPLKQFIIVM
+>NM_005495|262744_2_474_571
+MAHSWLQSPVAMWLEYLEPSMWLVLACLFPHS
+>DECOY_NM_005495|262744_2_474_571
+SHPFLCALVLWMSPELYELWMAVPSQLWSHAM
+>NM_005495|262753_3_799_872
+MALRLLYLWRNWLCLLSSLVSSHL
+>DECOY_NM_005495|262753_3_799_872
+LHSSVLSSLLCLWNRWLYLLRLAM
+>NM_001142464|262779_2_492_652
+MVSSGTWLCTTSCSTSSPRRSSGLATSSSASSSTTLGSRSVGLLSWSRWRTTV
+>DECOY_NM_001142464|262779_2_492_652
+VTTRWRSWSLLGVSRSGLTTSSSASSSTALGSSRRPSSTSCSTTCLWTGSSVM
+>NM_020338|262840_2_999_1090
+MGRSPMTLSLGSRTPTSLPAPFPWSPRFGE
+>DECOY_NM_020338|262840_2_999_1090
+EGFRPSWPFPAPLSTPTRSGLSLTMPSRGM
+>NM_020338|262843_2_1809_2131
+METSNMDQTASSPPSQASTQPPTPRGHSPPPTTQDRGCPASRAPGSTRPPRSTWGSITSQNSLMDKITRSREAATVTTAKGMSTGLPGRFLWQITPTHLFQGTPHPP
+>DECOY_NM_020338|262843_2_1809_2131
+PPHPTGQFLHTPTIQWLFRGPLGTSMGKATTVTAAERSRTIKDMLSNQSTISGWTSRPPRTSGPARSAPCGRDQTTPPPSHGRPTPPQTSAQSPPSSATQDMNSTEM
+>NM_020338|262844_2_1824_2131
+MDQTASSPPSQASTQPPTPRGHSPPPTTQDRGCPASRAPGSTRPPRSTWGSITSQNSLMDKITRSREAATVTTAKGMSTGLPGRFLWQITPTHLFQGTPHPP
+>DECOY_NM_020338|262844_2_1824_2131
+PPHPTGQFLHTPTIQWLFRGPLGTSMGKATTVTAAERSRTIKDMLSNQSTISGWTSRPPRTSGPARSAPCGRDQTTPPPSHGRPTPPQTSAQSPPSSATQDM
+>NM_020338|262848_2_2280_2371
+MAWCWSPSAWSTTWRSATMCSTCGPRSTRR
+>DECOY_NM_020338|262848_2_2280_2371
+RRTSRPGCTSCMTASRWTTSWASPSWCWAM
+>NM_017666|262941_2_1049_1149
+MEGMKESLRKSQRLTQPLNASVARKFLKIILGL
+>DECOY_NM_017666|262941_2_1049_1149
+LGLIIKLFKRAVSANLPQTLRQSKRLSEKMGEM
+>NM_033201|262975_2_244_329
+MGRWRRRLGKRRDWGEISWTSSPWRRQP
+>DECOY_NM_033201|262975_2_244_329
+PQRRWPSSTWSIEGWDRRKGLRRRWRGM
+>NM_020395|263017_2_1468_1592
+MEIVEHQDLVEVLPAKLLQNPAALPQHPLKAQLHKNHSSML
+>DECOY_NM_020395|263017_2_1468_1592
+LMSSHNKHLQAKLPHQPLAAPNQLLKAPLVEVLDQHEVIEM
+>NM_018683|263049_2_310_398
+MAAVRISSCPRSGPTWLLVPNTRITSWKV
+>DECOY_NM_018683|263049_2_310_398
+VKWSTIRTNPVLLWTPGSRPCSSIRVAAM
+>NM_014699|263278_2_833_942
+MVQTAGDPPLTLPEPLLSPSQPAAFLATWNSIWLNQ
+>DECOY_NM_014699|263278_2_833_942
+QNLWISNWTALFAAPQSPSLLPEPLTLPPDGATQVM
+>NM_014699|263285_2_1586_1731
+MGSHQSHQLPCCWLRPPTKRKRTPPPPWTIGPISAVSVVVLTATGGAW
+>DECOY_NM_014699|263285_2_1586_1731
+WAGGTATLVVVSVASIPGITWPPPPTRKRKTPPRLWCCPLQHSQHSGM
+>NM_014699|263291_2_2465_2799
+MGTVCRLNLKGTNVGLRGMRPISRVIKRAEALGKDWKGRMPVYLTTWTSQVRKVVALTSAIASLGWMKTRSQPLANPTPLPTLPMLSLAGRLGPLTHALTVGILSPMPLAC
+>DECOY_NM_014699|263291_2_2465_2799
+CALPMPSLIGVTLAHTLPGLRGALSLMPLTPLPTPNALPQSRTKMWGLSAIASTLAVVKRVQSTWTTLYVPMRGKWDKGLAEARKIVRSIPRMGRLGVNTGKLNLRCVTGM
+>NM_014699|263296_2_3155_3321
+MGTSVAAVVRPTMTWGAWSVTTKVRVLGLLQTRLPAPWEWQVMPWRWSWTVSWRT
+>DECOY_NM_014699|263296_2_3155_3321
+TRWSVTWSWRWPMVQWEWPAPLRTQLLGLVRVKTTVSWAGWTMTPRVVAAVSTGM
+>NM_014699|263301_2_4580_4689
+MESQGQGSAVRAPSGQQAQKPQSHCPGVQGRQVGGR
+>DECOY_NM_014699|263301_2_4580_4689
+RGGVQRGQVGPCHSQPKQAQQGSPARVASGQGQSEM
+>NM_032445|263327_2_811_998
+MELTVSCAAPVRMGAPATTSLASVPAPQAGREQCVPSPAHQGHLARTAARIVLATMEGSVTT
+>DECOY_NM_032445|263327_2_811_998
+TTVSGEMTALVIRAATRALHGQHAPSPVCQERGAQPAPVSALSTTAPAGMRVPAACSVTLEM
+>NM_032445|263328_2_847_998
+MGAPATTSLASVPAPQAGREQCVPSPAHQGHLARTAARIVLATMEGSVTT
+>DECOY_NM_032445|263328_2_847_998
+TTVSGEMTALVIRAATRALHGQHAPSPVCQERGAQPAPVSALSTTAPAGM
+>NM_032445|263329_2_1105_1265
+MGGSVHPPRVPASVSLATRAHAARSDCARRACMAQAAPCPAPVTLTTPSAATQ
+>DECOY_NM_032445|263329_2_1105_1265
+QTAASPTTLTVPAPCPAAQAMCARRACDSRAAHARTALSVSAPVRPPHVSGGM
+>NM_032445|263331_2_1336_1523
+MAMAASCLAPVRMAPTATASLGAALVLRASWERSVPFPVQQGPMAPTARPSVAVTMVAPAPQ
+>DECOY_NM_032445|263331_2_1336_1523
+QPAPAVMTVAVSPRATPAMPGQQVPFPVSREWSARLVLAAGLSATATPAMRVPALCSAAMAM
+>NM_032445|263332_2_1342_1523
+MAASCLAPVRMAPTATASLGAALVLRASWERSVPFPVQQGPMAPTARPSVAVTMVAPAPQ
+>DECOY_NM_032445|263332_2_1342_1523
+QPAPAVMTVAVSPRATPAMPGQQVPFPVSREWSARLVLAAGLSATATPAMRVPALCSAAM
+>NM_032445|263333_2_1372_1523
+MAPTATASLGAALVLRASWERSVPFPVQQGPMAPTARPSVAVTMVAPAPQ
+>DECOY_NM_032445|263333_2_1372_1523
+QPAPAVMTVAVSPRATPAMPGQQVPFPVSREWSARLVLAAGLSATATPAM
+>NM_032445|263337_2_1888_2537
+MEAPAPQRMGAASVPLASEDPYARESAPLGSMATAAPSHAPSACTAAGPATTSAASVSASQDSLELSATKCVLEDTLGRTVPSSAPVPTTGPAALSMAPASAFLDGLARTAHRLAHPGSGAPPASTHAAATTGRAAAPRTGPATAPLAGLDSSAHSAAQQHFLGRTVGAYASVRMAPAVTTSVASAPAAQASPGNTVSRDVPQEPLAMGVSSYVSA
+>DECOY_NM_032445|263337_2_1888_2537
+ASVYSSVGMALPEQPVDRSVTNGPSAQAAPASAVSTTVAPAMRVSAYAGVTRGLFHQQAASHASSDLGALPATAPGTRPAAARGTTAAAHTSAPPAGSGPHALRHATRALGDLFASAPAMSLAAPGTTPVPASSPVTRGLTDELVCKTASLELSDQSASVSAASTTAPGAATCASPAHSPAATAMSGLPASERAYPDESALPVSAAGMRQPAPAEM
+>NM_032445|263338_2_1912_2537
+MGAASVPLASEDPYARESAPLGSMATAAPSHAPSACTAAGPATTSAASVSASQDSLELSATKCVLEDTLGRTVPSSAPVPTTGPAALSMAPASAFLDGLARTAHRLAHPGSGAPPASTHAAATTGRAAAPRTGPATAPLAGLDSSAHSAAQQHFLGRTVGAYASVRMAPAVTTSVASAPAAQASPGNTVSRDVPQEPLAMGVSSYVSA
+>DECOY_NM_032445|263338_2_1912_2537
+ASVYSSVGMALPEQPVDRSVTNGPSAQAAPASAVSTTVAPAMRVSAYAGVTRGLFHQQAASHASSDLGALPATAPGTRPAAARGTTAAAHTSAPPAGSGPHALRHATRALGDLFASAPAMSLAAPGTTPVPASSPVTRGLTDELVCKTASLELSDQSASVSAASTTAPGAATCASPAHSPAATAMSGLPASERAYPDESALPVSAAGM
+>NM_032445|263341_2_2410_2537
+MAPAVTTSVASAPAAQASPGNTVSRDVPQEPLAMGVSSYVSA
+>DECOY_NM_032445|263341_2_2410_2537
+ASVYSSVGMALPEQPVDRSVTNGPSAQAAPASAVSTTVAPAM
+>NM_014714|263431_2_804_883
+MGNTSRTASSGSPLLARTWFSWQRQL
+>DECOY_NM_014714|263431_2_804_883
+LQRQWSFWTRALLPSGSSATRSTNGM
+>NM_014725|263485_2_1099_1232
+MDTAWQTGSQVGGGAVRGAGAPVAQRAAMPARMTTCLSCTQLSL
+>DECOY_NM_014725|263485_2_1099_1232
+LSLQTCSLCTTMRAPMAARQAVPAGAGRVAGGGVQSGTQWATDM
+>NM_014725|263496_3_2957_3036
+MEGIHRGGSPPSCGAASCSPGAGPLG
+>DECOY_NM_014725|263496_3_2957_3036
+GLPGAGPSCSAAGCSPPSGGRHIGEM
+>NM_032355|263649_2_610_707
+MGQLLGTATRRVPGVPRRCLQTCARSARTLAS
+>DECOY_NM_032355|263649_2_610_707
+SALTRASRACTQLCRRPVGPVRRTATGLLQGM
+>NM_032355|263650_2_931_1043
+MGLRRHFPALWVLWWPWCPSWRQTRTPSAPSMQMATR
+>DECOY_NM_032355|263650_2_931_1043
+RTAMQMSPASPTRTQRWSPCWPWWLVWLAPFHRRLGM
+>NM_145807|263674_2_574_989
+MATLPAVPPVPGPPAATAATIPLARGARAAARPIETGPGGLPRPGTPTLAYPAPATSTPDAAGSTLSCSDCRAAGVGVFVSGAATTQLGGTATTANLGSGGTLASLSSAAGPAEPASATLLGQQEEPATRPVGSAPAS
+>DECOY_NM_145807|263674_2_574_989
+SAPASGVPRTAPEEQQGLLTASAPEAPGAASSLSALTGGSGLNATTATGGLQTTAAGSVFVGVGAARCDSCSLTSGAADPTSTAPAPYALTPTGPRPLGGPGTEIPRAAARAGRALPITAATAAPPGPVPPVAPLTAM
+>NM_006832|263702_2_430_503
+MVFRQMLSFSSPLSTNCSACSFPT
+>DECOY_NM_006832|263702_2_430_503
+TPFSCASCNTSLPSSFSLMQRFVM
+>NM_006832|263706_2_775_977
+MEAPCHQLLLGLVTVLCQKAILVYLLSVNQSRHQKSWQKCSSLKLFLIKQKSTKDGLIPQDLSWNKM
+>DECOY_NM_006832|263706_2_775_977
+MKNWSLDQPILGDKTSKQKILFLKLSSCKQWSKQHRSQNVSLLYVLIAKQCLVTVLGLLLQHCPAEM
+>NM_006832|263711_3_2003_2076
+MAFQQHETVECQLGNQNGHRRVCR
+>DECOY_NM_006832|263711_3_2003_2076
+RCVRRHGNQNGLQCEVTEHQQFAM
+>NM_005459|263787_2_381_454
+MVLLTKMNYWTCSWRYKPSMASKL
+>DECOY_NM_005459|263787_2_381_454
+LKSAMSPKYRWSCTWYNMKTLLVM
+>NM_024092|263794_3_404_531
+MGKACVQSHSDGPSGPYPPPLSIGPVPSRLCTTRPTEERSPS
+>DECOY_NM_024092|263794_3_404_531
+SPSREETPRTTCLRSPVPGISLPPPYPGSPGDSHSQVCAKGM
+>NM_001708|263809_2_64_140
+MGLSTTLPLSGPSTSRQLSWALSSL
+>DECOY_NM_001708|263809_2_64_140
+LSSLAWSLQRSTSPGSLPLTTSLGM
+>NM_001708|263811_2_832_917
+MGWTYGLSPFLHSSPRVLASTIPSSTAS
+>DECOY_NM_001708|263811_2_832_917
+SATSSPITSALVRPSSHLFPSLGYTWGM
+>NM_001009942|263822_2_1225_1430
+MGLAFQQKTAWVPRLCTGQLSQDIQVQFRLSYPWELTSILKMKKIDQPCIWPVQVSTWPVPSFSCSRD
+>DECOY_NM_001009942|263822_2_1225_1430
+DRSCSFSPVPWTSVQVPWICPQDIKKMKLISTLEWPYSLRFQVQIDQSLQGTCLRPVWATKQQFALGM
+>NM_205849|263846_2_195_298
+MGKENLLLKQMNTRGLIPRSQKILQRILLQKEKG
+>DECOY_NM_205849|263846_2_195_298
+GKEKQLLIRQLIKQSRPILGRTNMQKLLLNEKGM
+>NM_005272|263928_2_388_476
+MAIHQKNAWSSRLSSMEMCCSPSWLSSGP
+>DECOY_NM_005272|263928_2_388_476
+PGSSLWSPSCCMEMSSLRSSWANKQHIAM
+>NM_001039396|264054_2_757_863
+MAPTSPPVSTLGLLLFRRTTSGPPSSKTARAVVVP
+>DECOY_NM_001039396|264054_2_757_863
+PVVVARATKSSPPGSTTRRFLLLGLTSVPPSTPAM
+>NM_001039396|264058_2_1864_1961
+MDAKCPIASNPGSSQEGPCPLPGSHLSPGHPS
+>DECOY_NM_001039396|264058_2_1864_1961
+SPHGPSLHSGPLPCPGEQSSGPNSAIPCKADM
+>NM_032303|264125_2_610_839
+MVCLCMCLEWQKNLKVKLQSMHYGLKQPYTLLLWICWEDLVSKASVEKLISLQMQHIPFSKSQKVLLATLSLMKIS
+>DECOY_NM_032303|264125_2_610_839
+SIKMLSLTALLVKQSKSFPIHQMQLSILKEVSAKSVLDEWCIWLLLTYPQKLGYHMSQLKVKLNKQWELCMCLCVM
+>NM_016026|264192_3_830_918
+MDVVAFLLFHQDSSAGSPDQPALCLNRRS
+>DECOY_NM_016026|264192_3_830_918
+SRRNLCLAPQDPSGASSDQHFLLFAVVDM
+>NM_001500|264257_2_293_429
+MVPTWLSSCWRKAMRSMELYGGPVHLIRVELSICIRIPRLTLKET
+>DECOY_NM_001500|264257_2_293_429
+TEKLTLRPIRICISLEVRILHVPGGYLEMSRMAKRWCSSLWTPVM
+>NM_025112|264337_2_103_422
+MAAAPARSAEPQRRSARAPRAAACYWCGALKMAGPGRGPGRPPGQARRPPRTTATATLSWCCWKCRTAALPPRLPDHRRPSLAPVSTWRAAPSRAPAARPPPPALA
+>DECOY_NM_025112|264337_2_103_422
+ALAPPPPRAAPARSPAARWTSVPALSPRRHDPLRPPLAATRCKWCCWSLTATATTRPPRRAQGPPRGPGRGPGAMKLAGCWYCAAARPARASRRQPEASRAPAAAM
+>NM_025112|264338_2_196_422
+MAGPGRGPGRPPGQARRPPRTTATATLSWCCWKCRTAALPPRLPDHRRPSLAPVSTWRAAPSRAPAARPPPPALA
+>DECOY_NM_025112|264338_2_196_422
+ALAPPPPRAAPARSPAARWTSVPALSPRRHDPLRPPLAATRCKWCCWSLTATATTRPPRRAQGPPRGPGRGPGAM
+>NM_025112|264340_2_2089_2225
+MGCPSPRCPVQQSSTVPRTQSSVQALATSIWKVGAQQELITEPFN
+>DECOY_NM_025112|264340_2_2089_2225
+NFPETILEQQAGVKWISTALAQVSSQTRPVTSSQQVPCRPSPCGM
+>NM_178498|264381_3_1710_1801
+MAFASVNRPMYQIKCDSNRASSTIQQTWNS
+>DECOY_NM_178498|264381_3_1710_1801
+SNWTQQITSSARNSDCKIQYMPRNVSAFAM
+>NM_015510|264412_2_793_887
+MELWTPPQPRAEALWRWPRMFLLLWGRRRKM
+>DECOY_NM_015510|264412_2_793_887
+MKRRRGWLLLFMRPWRWLAEARPQPPTWLEM
+>NM_000087|264442_2_372_541
+MEHAAPFLRMMTVPLHLKNQRMKTLMQGVPLVISHSEREDHHRGSSTCLVPLHFLM
+>DECOY_NM_000087|264442_2_372_541
+MLFHLPVLCTSSGRHHDERESHSIVLPVGQMLTKMRQNKLHLPVTMMRLFPAAHEM
+>NM_000087|264450_2_1884_2020
+MAATSVRSAFLTLKGAKLAIEERPILKVLATQTCSVSQKMTSWKL
+>DECOY_NM_000087|264450_2_1884_2020
+LKWSTMKQSVSCTQTALVKLIPREEIALKAGKLTLFASRVSTAAM
+>NM_153610|264507_2_490_581
+MVHHHYAGKATEKEILLNPKMFQQTKKAVL
+>DECOY_NM_153610|264507_2_490_581
+LVAKKTQQFMKPNLLIEKETAKGAYHHHVM
+>NM_006465|264566_2_505_581
+MGMMKLQRWLRKKPRLLQNIFMCRK
+>DECOY_NM_006465|264566_2_505_581
+KRCMFINQLLRPKKRLWRQLKMMGM
+>NM_006465|264570_2_754_968
+MVVWPGVMMQMEAGEERSLEILPSCMNWTVILKGKSSWMTSSSLCRRGGPPSTESPSWPNRSWTCTCCISW
+>DECOY_NM_006465|264570_2_754_968
+WSICCTCTWSRNPWSPSETSPPGGRRCLSSSTMWSSKGKLIVTWNMCSPLIELSREEGAEMQMMVGPWVVM
+>NM_001031672|264654_2_861_1000
+MVSSSCWLRARAWPPWCLSCRASQTMRMTRLLSLWSVASRPLRAST
+>DECOY_NM_001031672|264654_2_861_1000
+TSARLPRSAVSWLSLLRTMRMTQSARCSLCWPPWARARLWCSSSVM
+>NM_024804|264685_3_481_587
+MGFARFFSEESLQRSDAGNLQEPGFCRKPMERPEY
+>DECOY_NM_024804|264685_3_481_587
+YEPREMPKRCFGPEQLNGADSRQLSEESFFRAFGM
+>NM_178135|264704_2_553_659
+MATSSQWLQCAATKGFLTSSHIVPANLPLLAFTEV
+>DECOY_NM_178135|264704_2_553_659
+VETFALLPLNAPVIHSSTLFGKTAACQLWQSSTAM
+>NM_004301|264881_2_441_580
+MGWLKTGIVSKLFWIIPTKCMSNQKPVSILFSCQRHRGILEQRERN
+>DECOY_NM_004301|264881_2_441_580
+NRERQELIGRHRQCSFLISVPKQNSMCKTPIIWFLKSVIGTKLWGM
+>NM_182904|265090_3_1149_1240
+MATEVSGGIRGEAVTSGVPHQQKCLAEGHC
+>DECOY_NM_182904|265090_3_1149_1240
+CHGEALCKQQHPVGSTVAEGRIGGSVETAM
+>NM_182628|265180_2_232_365
+MVLTLQRTLSTYLGMWISSCSEIRSGIRLSPNGSSRRRCGCTRR
+>DECOY_NM_182628|265180_2_232_365
+RRTCGCRRRSSGNPSLRIGSRIESCSSIWMGLYTSLTRQLTLVM
+>NM_182628|265184_2_1225_1310
+MGRNHSCTSRSPSSSWMSSESWRSRTCR
+>DECOY_NM_182628|265184_2_1225_1310
+RCTRSRWSESSMWSSSPSRSTCSHNRGM
+>NM_001142622|265355_2_1381_1454
+MAVWRAAFWIPLRALLPTTRCLWR
+>DECOY_NM_001142622|265355_2_1381_1454
+RWLCRTTPLLARLPIWFAARWVAM
+>NM_001142622|265360_3_356_666
+MGGPGLGSHLAAAEAGAEHAPCAPEPAAGPEVVSTGSPAPPLFPQKEHRHKHLPESSPSDQGLPRSAGSGTHCPCDAYIPLAPTPALAWEYPGPGGHPWNQGP
+>DECOY_NM_001142622|265360_3_356_666
+PGQNWPHGGPGPYEWALAPTPALPIYADCPCHTGSGASRPLGQDSPSSEPLHKHRHEKQPFLPPAPSGTSVVEPGAAPEPACPAHEAGAEAAALHSGLGPGGM
+>NM_014640|265399_3_2391_2659
+MAEPVTYAEPLWQEGVQFLPPVLYPAPGRQAPAQSVGEQQPPKVDCEATSISSRHWHPGYSQVESAPQAKAPPGTEVSTQTLPHQRQQV
+>DECOY_NM_014640|265399_3_2391_2659
+VQQRQHPLTQTSVETGPPAKAQPASEVQSYGPHWHRSSISTAECDVKPPQQEGVSQAPAQRGPAPYLVPPLFQVGEQWLPEAYTVPEAM
+>NM_001142623|265415_3_356_783
+MGGPGLGSHLAAAEAGAEHAPCAPEPAAGPEVVSTGSPAPPLFPQKEHRHKHLPESSPSDQGQPDPAGRQWRAATAPDLKPGPWGGLSAGHLAGSGSPKQGLPRSAGSGTHCPCDAYIPLAPTPALAWEYPGPGGHPWNQGP
+>DECOY_NM_001142623|265415_3_356_783
+PGQNWPHGGPGPYEWALAPTPALPIYADCPCHTGSGASRPLGQKPSGSGALHGASLGGWPGPKLDPATAARWQRGAPDPQGQDSPSSEPLHKHRHEKQPFLPPAPSGTSVVEPGAAPEPACPAHEAGAEAAALHSGLGPGGM
+>NM_016080|265424_2_212_288
+MGLMMGNGVKQWWDLGLRMIILSQN
+>DECOY_NM_016080|265424_2_212_288
+NQSLIIMRLGLDWWQKVGNGMMLGM
+>NM_016080|265425_2_299_396
+MASETTSLAMTLWESRSLLARLSATPGSWSGH
+>DECOY_NM_016080|265425_2_299_396
+HGSWSGPTASLRALLSRSEWLTMALSTTESAM
+>NM_000918|265480_2_798_1012
+MGLSSLRSLMKAGTTLKGRSPRRTCWTLSNTTSCPLSSSSPSRQPRRFLEVKSRLTSCCSCPRVCLTMTAN
+>DECOY_NM_000918|265480_2_798_1012
+NATMTLCVRPCSCCSTLRSKVELFRRPQRSPSSSSLPCSTTNSLTWCTRRPSRGKLTTGAKMLSRLSSLGM
+>NM_000918|265486_2_1623_1708
+MGQGMMTISRTWKKQRSQTWRKTMIRKL
+>DECOY_NM_000918|265486_2_1623_1708
+LKRIMTKRWTQSRQKKWTRSITMMGQGM
+>NM_052941|265581_2_348_472
+MASLWAPRCSLKLRASGCGVCPTSLSQTTPWSFWTPRAWAM
+>DECOY_NM_052941|265581_2_348_472
+MAWARPTWFSWPTTQSLSTPCVGCGSARLKLSCRPAWLSAM
+>NM_020753|265702_2_923_1053
+MDIMRCQKCSSSISPTHAWSTRPRRRPWTWPVNLADSRWPSCF
+>DECOY_NM_020753|265702_2_923_1053
+FCSPWRSDALNVPWTWPRRRPRTSWAHTPSISSSCKQCRMIDM
+>NM_020753|265705_2_3788_3906
+MGRQNPRPPLLPSSRCPEQEQPPSLCRWPAPSWHFLALS
+>DECOY_NM_020753|265705_2_3788_3906
+SLALFHWSPAPWRCLSPPQEQEPCRSSPLLPPRPNQRGM
+>NM_030623|265762_2_990_1090
+MGDKPHIIIIQKLLKVKWKNHRHCIFQKMLISP
+>DECOY_NM_030623|265762_2_990_1090
+PSILMKQFICHRHNKWKVKLLKQIIIIHPKDGM
+>NM_030623|265779_3_814_890
+MELQQGKVALCFGRQIHQQISHTID
+>DECOY_NM_030623|265779_3_814_890
+DITHSIQQHIQRGFCLAVKGQQLEM
+>NM_001142644|265837_2_4683_4762
+MATVVPLAVLALWIWTFIRKACHLLP
+>DECOY_NM_001142644|265837_2_4683_4762
+PLLHCAKRIFTWIWLALVALPVVTAM
+>NM_198460|265977_2_461_666
+MASLWAPRCSLKPRASGCGACPTHPSQTTPWSFWTPKVWAMWKRVTLRMTPGSLPWLCSCAAPLSTTA
+>DECOY_NM_198460|265977_2_461_666
+ATTSLPAACSCLWPLSGPTMRLTVRKWMAWVKPTWFSWPTTQSPHTPCAGCGSARPKLSCRPAWLSAM
+>NM_024876|266008_2_444_526
+MGLGEAWVRRTFAGHGRPVPGRHPGPS
+>DECOY_NM_024876|266008_2_444_526
+SPGPHRGPVPRGHGAFTRRVWAEGLGM
+>NM_177939|266059_2_1304_1386
+MVRGATTMPTWTVGLCTQRPSAPIPSW
+>DECOY_NM_177939|266059_2_1304_1386
+WSPIPASPRQTCLGVTWTPMTTAGRVM
+>NM_003653|266115_2_1121_1233
+MVRFLQVLTRRTVWSVSMITLKNIITQPCFITLIRRC
+>DECOY_NM_003653|266115_2_1121_1233
+CRRILTIFCPQTIINKLTIMSVSWVTRRTLVQLFRVM
+>NM_015565|266253_2_1704_1801
+MVRLDLLMRYLKAIKRMKNVYLQKERRLKAGN
+>DECOY_NM_015565|266253_2_1704_1801
+NGAKLRREKQLYVNKMRKIAKLYRMLLDLRVM
+>NM_020943|266319_2_2746_2870
+MVIPKRREEREEILFLKMRSIHTELKTVKISEEKIDQSQRK
+>DECOY_NM_020943|266319_2_2746_2870
+KRQSQDIKEESIKVTKLETHISRMKLFLIEEREERRKPIVM
+>NM_203371|266362_2_789_964
+MASTCGGSPTRSGMPTPTRTNPSLSWRASSSRARNRTAGRRAGSTRTFWECWSTPGPC
+>DECOY_NM_203371|266362_2_789_964
+CPGPTSWCEWFTRTSGARRGATRNRARSSSARWSLSPNTRTPTPMGSRTPSGGCTSAM
+>NM_173569|266412_2_480_592
+MEAFISTLALYSFAKLQILKKMILQTTKSTSHPRSPK
+>DECOY_NM_173569|266412_2_480_592
+KPSRPHSTSKTTQLIMKKLIQLKAFSYLALTSIFAEM
+>NM_173569|266416_2_1062_1174
+MEPPPSQPTLLRLCPKWYLHSQRVYLYFLKNVSKTFV
+>DECOY_NM_173569|266416_2_1062_1174
+VFTKSVNKLFYLYVRQSHLYWKPCLRLLTPQSPPPEM
+>NM_001001667|266489_2_502_596
+MATSSTTSSVTMNLSCSCHALTLACWNSGTF
+>DECOY_NM_001001667|266489_2_502_596
+FTGSNWCALTLAHCSCSLNMTVSSTTSSTAM
+>NM_001039706|266542_2_2249_2376
+MGRSLILKIYLAYLLKILSPFVSYIDILILKLEKYGMKYMKK
+>DECOY_NM_001039706|266542_2_2249_2376
+KKMYKMGYKELKLILIDIYSVFPSLIKLLYALYIKLILSRGM
+>NM_018392|266612_2_1389_1501
+MVYYQNLTFKKIIKYLLIKMTRGALKNQFSLKKMLRR
+>DECOY_NM_018392|266612_2_1389_1501
+RRLMKKLSFQNKLAGRTMKILLYKIIKKFTLNQYYVM
+>NM_018392|266614_2_1815_1891
+MAIHVFKRGLRIQTVKRLRVNICHS
+>DECOY_NM_018392|266614_2_1815_1891
+SHCINVRLRKVTQIRLGRKFVHIAM
+>NM_007225|266637_2_357_481
+MVLPAQRTLSVMTTRASPGPGCLGSGATSHLSPAPWPIPLS
+>DECOY_NM_007225|266637_2_357_481
+SLPIPWPAPSLHSTAGSGLCGPGPSARTTMVSLTRQAPLVM
+>NM_007225|266639_2_657_748
+MAMGPSASTSNTMPQARETSPSASCPPVKL
+>DECOY_NM_007225|266639_2_657_748
+LKVPPCSASPSTERAQPMTNSTSASPGMAM
+>NM_007225|266640_2_663_748
+MGPSASTSNTMPQARETSPSASCPPVKL
+>DECOY_NM_007225|266640_2_663_748
+LKVPPCSASPSTERAQPMTNSTSASPGM
+>NM_012286|266664_2_714_823
+MVAVPAKHLSPLGRKGPGQTPLLKVRRRLRIEWRLK
+>DECOY_NM_012286|266664_2_714_823
+KLRWEIRLRRRVKLLPTQGPGKRGLPSLHKAPVAVM
+>NM_012286|266668_3_628_782
+MGWSLCREPPFRIREEDQKEQAEDSWKRRWWQYQRSTSAPSEEKGPGRPHC
+>DECOY_NM_012286|266668_3_628_782
+CHPRGPGKEESPASTSRQYQWWRRKWSDEAQEKQDEERIRFPPERCLSWGM
+>NM_030639|266717_2_913_1118
+MEKRLVIVSALRMINLKLVPRSVLRSWNLRLGPIANLGQGLRRRRKRMLLGTGFGKEMILVLTLILNL
+>DECOY_NM_030639|266717_2_913_1118
+LNLILTLVLIMEKGFGTGLLMRKRRRRLGQGLNAIPGLRLNWSRLVSRPVLKLNIMRLASVIVLRKEM
+>NM_170600|267059_2_806_957
+MAASPERSRRPWYNATATSSSGTHSPAWATMCSRAAGATRPCTSRSTRWW
+>DECOY_NM_170600|267059_2_806_957
+WWRTSRSTCPRTAGAARSCMTAWAPSHTGSSSTATANYWPRRSREPSAAM
+>NM_170600|267061_2_1265_1407
+MAAPPVRRCPALGTPSAAVPSAWTRSQTCTHPCRPSPRALAPLPTAL
+>DECOY_NM_170600|267061_2_1265_1407
+LATPLPALARPSPRCPHTCTQSRTWASPVAASPTGLAPCRRVPPAAM
+>NM_170600|267064_2_1733_1827
+MGPLKGTGARPSQSPSWKSLLPSTRPPSSHY
+>DECOY_NM_170600|267064_2_1733_1827
+YHSSPPRTSPLLSKWSPSQSPRAGTGKLPGM
+>NM_170600|267070_3_2250_2407
+MGDPAAATHRGCHPVREEAQAFSQEPQRGQRRPAAEQHHVSSCAAPHHPAGV
+>DECOY_NM_170600|267070_3_2250_2407
+VGAPHHPAACSSVHHQEAAPRRQGRQPEQSFAQAEERVPHCGRHTAAAPDGM
+>NM_007058|267374_2_1020_1135
+MELGVTVPGSGKRWPQTSRCSCCTRRRTGSSGCPTKIS
+>DECOY_NM_007058|267374_2_1020_1135
+SIKTPCGSSGTRRRTCCSCRSTQPWRKGSGPVTVGLEM
+>NM_017575|267418_2_2348_2433
+MGAPITSWLCWQCIRGGSLTLSITICAV
+>DECOY_NM_017575|267418_2_2348_2433
+VACITISLTLSGGRICQWCLWSTIPAGM
+>NM_016372|267443_2_853_938
+MGAASSGWSAPASSSWSTLWWSSFPRPR
+>DECOY_NM_016372|267443_2_853_938
+RPRPFSSWWLTSWSSSAPASWGSSAAGM
+>NM_032718|267512_2_651_877
+MGFISQPSSAFWSSFSMLVSFGSFHGGKQNRAVQRRACHCERPMCCWEGAMTQCRRQPPAAEPGPARRLPSPGSK
+>DECOY_NM_032718|267512_2_651_877
+KSGPSPLRRAPGPEAAPPQRRCQTMAGEWCCMPRECHCARRQVARNQKGGHFSGFSVLMSFSSWFASSPQSIFGM
+>NM_080871|267601_2_603_769
+MGNAPCISAGGLAPLSVRSCSSGLEREWMVGPRKKRRPLCMWPPGLAMWSWQICF
+>DECOY_NM_080871|267601_2_603_769
+FCIQWSWMALGPPWMCLPRRKKRPGVMWERELGSSCSRVSLPALGGASICPANGM
+>NM_080871|267608_2_1038_1213
+MGDTRPCTVLCRAQLQPWPRAPSTWFGLCSTMAPSVSGQGPSPRCWSAGARALGPSRS
+>DECOY_NM_080871|267608_2_1038_1213
+SRSPGLARAGASWCRPSPGQGSVSPAMTSCLGFWTSPARPWPQLQARCLVTCPRTDGM
+>NM_080871|267609_2_1131_1213
+MAPSVSGQGPSPRCWSAGARALGPSRS
+>DECOY_NM_080871|267609_2_1131_1213
+SRSPGLARAGASWCRPSPGQGSVSPAM
+>NM_001142459|267625_3_542_792
+MEGFPLQHPCSETLVSDIRRGADHPTACGSQPWPHGSPAAAAEAASKARQCPWGPHRPARGLCCRPHCLCSCAAGGRSRPQHR
+>DECOY_NM_001142459|267625_3_542_792
+RHQPRSRGGAACSCLCHPRCCLGRAPRHPGWPCQRAKSAAEAAAAPSGHPWPQSGCATPHDAGRRIDSVLTESCPHQLPFGEM
+>NM_001142460|267638_2_1234_1403
+MGDTRPCTVLCRAQLQPWPRAPSTWFGLCSTMAPSVSGQGPSPRNISVSTPPSSPW
+>DECOY_NM_001142460|267638_2_1234_1403
+WPSSPPTSVSINRPSPGQGSVSPAMTSCLGFWTSPARPWPQLQARCLVTCPRTDGM
+>NM_001142460|267639_2_1327_1403
+MAPSVSGQGPSPRNISVSTPPSSPW
+>DECOY_NM_001142460|267639_2_1327_1403
+WPSSPPTSVSINRPSPGQGSVSPAM
+>NM_001136042|267656_3_714_787
+MEGKGGLAAAYQGGYQASVAVCGL
+>DECOY_NM_001136042|267656_3_714_787
+LGCVAVSAQYGGQYAAALGGKGEM
+>NM_032039|267714_2_859_1061
+MGPQTCWFSPRSGRRLVATSTPAAPGTRLASEAALVWTGKVASSFTSPGQVPTTSSFPAQAPSAAAL
+>DECOY_NM_032039|267714_2_859_1061
+LAAASPAQAPFSSTTPVQGPSTFSSAVKGTWVLAAESALRTGPAAPTSTAVLRRGSRPSFWCTQPGM
+>NM_019117|267853_2_1917_2047
+MEVPASNQWNTLTHTLTSGVCVLQCPKDVEVWELPHTMDSYML
+>DECOY_NM_019117|267853_2_1917_2047
+LMYSDMTHPLEWVEVDKPCQLVCVGSTLTHTLTNWQNSAPVEM
+>NM_018265|268063_2_1093_1241
+MGPVPPACGFWSLPPTTWFPSVVFLASGRAAPVPQPPLRYRGGGASRSL
+>DECOY_NM_018265|268063_2_1093_1241
+LSRSAGGGRYRLPPQPVPAARGSALFVVSPFWTTPPLSWFGCAPPVPGM
+>NM_004786|268127_2_679_788
+MDLTTVYEKTQPSWNLTVMNSCLLLWHSINLLSFIP
+>DECOY_NM_004786|268127_2_679_788
+PIFSLLNISHWLLLCSNMVTLNWSPQTKEYVTTLDM
+>NM_152492|268149_3_326_402
+METAPKATHAQQVGPDHQPLLQEDE
+>DECOY_NM_152492|268149_3_326_402
+EDEQLLPQHDPGVQQAHTAKPATEM
+>NM_052925|268179_2_558_793
+MAWRRRCTRTRSGRRPVRPWPASASQELPAALPSPAAMGLWPVHSTCPRQKPQLCSSSSTTSGTSSTTMPTPTATTIP
+>DECOY_NM_052925|268179_2_558_793
+PITTATPTPMTTSSTGSTTSSSSCLQPKQRPCTSHVPWLGMAAPSPLAAPLEQSASAPWPRVPRRGSRTRTCRRRWAM
+>NM_052925|268180_2_669_793
+MGLWPVHSTCPRQKPQLCSSSSTTSGTSSTTMPTPTATTIP
+>DECOY_NM_052925|268180_2_669_793
+PITTATPTPMTTSSTGSTTSSSSCLQPKQRPCTSHVPWLGM
+>NM_052925|268181_2_810_898
+MAPLPSMGWPAPMAQPHPSSHPHPNTKGL
+>DECOY_NM_052925|268181_2_810_898
+LGKTNPHPHSSPHPQAMPAPWGMSPLPAM
+>NM_003310|268263_2_632_747
+MGRKSFPWLITISCCGIYRKARARLCWPAQRPWKGRDN
+>DECOY_NM_003310|268263_2_632_747
+NDRGKWPRQAPWCLRARAKRYIGCCSITILWPFSKRGM
+>NM_014772|268290_2_427_758
+MARARGPSPTSPSGQRTAANRWTAAVPSPEGEPPHSRMAAKTTLWTCWARTSGRPTPSIPSVVPPGTCSRKSWTSPSSTAKSDTRPSSPCHTSTAKGVAKGSWKMGMAST
+>DECOY_NM_014772|268290_2_427_758
+TSAMGMKWSGKAVGKATSTHCPSSPRTDSKATSSPSTWSKRSCTGPPVVSPISPTPRGSTRAWCTWLTTKAAMRSHPPEGEPSPVAATWRNAATRQGSPSTPSPGRARAM
+>NM_014772|268291_2_538_758
+MAAKTTLWTCWARTSGRPTPSIPSVVPPGTCSRKSWTSPSSTAKSDTRPSSPCHTSTAKGVAKGSWKMGMAST
+>DECOY_NM_014772|268291_2_538_758
+TSAMGMKWSGKAVGKATSTHCPSSPRTDSKATSSPSTWSKRSCTGPPVVSPISPTPRGSTRAWCTWLTTKAAM
+>NM_014772|268295_2_910_1049
+MVTTSQAVPNTTGTTRNPTRGAQHPTPQGGPLTMATARTGAGTMAT
+>DECOY_NM_014772|268295_2_910_1049
+TAMTGAGTRATAMTLPGGQPTPHQAGRTPNRTTGTTNPVAQSTTVM
+>NM_100486|268671_2_122_657
+MAVTTGGGTRSLTRHLSIHRRVTPVAVITDMKRCETPEILHHQIKCCGDLIVLKTNTVTAQVTVRPKMCILTELERGMVGPVTLHKKIHTTTVLFIVQIHILLIQAITQAKLQMHLMILQMTGLSILALLGKSTTTIVEQKFHNGKNQKSGLKENRDKKKQTRWQSTASQKIGITEER
+>DECOY_NM_100486|268671_2_122_657
+REETIGIKQSATSQWRTQKKKDRNEKLGSKQNKGNHFKQEVITTTSKGLLALISLGTMQLIMLHMQLKAQTIAQILLIHIQVIFLVTTTHIKKHLTVPGVMGRELETLICMKPRVTVQATVTNTKLVILDGCCKIQHHLIEPTECRKMDTIVAVPTVRRHISLHRTLSRTGGGTTVAM
+>NM_100486|268674_2_353_657
+MVGPVTLHKKIHTTTVLFIVQIHILLIQAITQAKLQMHLMILQMTGLSILALLGKSTTTIVEQKFHNGKNQKSGLKENRDKKKQTRWQSTASQKIGITEER
+>DECOY_NM_100486|268674_2_353_657
+REETIGIKQSATSQWRTQKKKDRNEKLGSKQNKGNHFKQEVITTTSKGLLALISLGTMQLIMLHMQLKAQTIAQILLIHIQVIFLVTTTHIKKHLTVPGVM
+>NM_001039650|268835_2_821_1125
+MENLQLIIVLILGSPSQLHFPVIRNSQGWILYHQWPYFVSRISSLQPNNNLLNQLKSLVQIAKSLYRRDRQLINEKDQLTSFALPPAFLPSLINVLKTHEA
+>DECOY_NM_001039650|268835_2_821_1125
+AEHTKLVNILSPLFAPPLAFSTLQDKENILQRDRRYLSKAIQVLSKLQNLLNNNPQLSSIRSVFYPWQHYLIWGQSNRIVPFHLQSPSGLILVIILQLNEM
+>NM_001142684|268858_2_2084_2178
+MGAKIGNIYHIFLVNMKKVKCTSTIVLSTQN
+>DECOY_NM_001142684|268858_2_2084_2178
+NQTSLVITSTCKVKKMNVLFIHYINGIKAGM
+>NM_014715|268909_2_527_621
+MASWMESIAFLVLPPISRDYAMNLTLSTSPT
+>DECOY_NM_014715|268909_2_527_621
+TPSTSLTLNMAYDRSIPPLVLFAISEMWSAM
+>NM_014715|268933_2_4259_4365
+MAGSTTGIAHIPVLLVPITVQMGPCVMWMPMAQSS
+>DECOY_NM_014715|268933_2_4259_4365
+SSQAMPMWMVCPGMQVTIPVLLVPIHAIGTTSGAM
+>NM_001142685|269004_2_439_611
+MAVNLKSWSTSCRLLVREKVGLLKEVMKIFGYLINIFICVFMTEDFPSSQNFPVLTP
+>DECOY_NM_001142685|269004_2_439_611
+PTLVPFNQSSPFDETMFVCIFINILYGFIKMVEKLLGVKERVLLRCSTSWSKLNVAM
+>NM_020778|269091_2_2370_2485
+MAPGTARCRGKQATGLQERSWNARQPRLLPCRPAAALM
+>DECOY_NM_020778|269091_2_2370_2485
+MLAAAPRCPLLRPQRANWSREQLGTAQKGRCRATGPAM
+>NM_020778|269092_2_2556_2755
+MGEHLLTRELEARRMCRQMGRYKWMEGPGEMEHRQPRGHVQIGRRRWMLGHKKARGHSQTGVHRRA
+>DECOY_NM_020778|269092_2_2556_2755
+ARRHVGTQSHGRAKKHGLMWRRRGIQVHGRPQRHEMEGPGEMWKYRGMQRCMRRAELERTLLHEGM
+>NM_020778|269096_2_2646_2755
+MEHRQPRGHVQIGRRRWMLGHKKARGHSQTGVHRRA
+>DECOY_NM_020778|269096_2_2646_2755
+ARRHVGTQSHGRAKKHGLMWRRRGIQVHGRPQRHEM
+>NM_020778|269100_2_5019_5203
+MGVAFGRPPRPRSSTGWNPSSSRAARASSRCPACLCLGPAVRLLLWAETTTSPSRGARSRT
+>DECOY_NM_020778|269100_2_5019_5203
+TRSRAGRSPSTTTEAWLLLRVAPGLCLCAPCRSSARAARSSSPNWGTSSRPRPPRGFAVGM
+>NM_152442|269137_2_523_611
+MVLKELIIYVFKKVSLCKLFLTRMFVLIR
+>DECOY_NM_152442|269137_2_523_611
+RILVFMRTLFLKCLSVKKFVYIILEKLVM
+>NM_018319|269161_2_318_487
+MGGGPYLVVMKVRKKSQNQTSHLPLLFSVPGKEQQMSPGTPVPRPRKLHTRGKYHL
+>DECOY_NM_018319|269161_2_318_487
+LHYKGRTHLKRPRPVPTGPSMQQEKGPVSFLLPLHSTQNQSKKRVKMVVLYPGGGM
+>NM_018319|269164_2_687_934
+MALPPATGSKRRKTSMRHQGRARTFGTCWIKGTPSSFTSLESLELSQSITLEPSTSRIFYLLYLGRLFLQLSLTTALTWTGS
+>DECOY_NM_018319|269164_2_687_934
+SGTWTLATTLSLQLFLRGLYLLYFIRSTSPELTISQSLELSELSTFSSPTGKIWCTGFTRARGQHRMSTKRRKSGTAPPLAM
+>NM_001008781|269361_2_1953_2134
+MAILPSELQQLMERILQTPCLLTFQSYMGKCLQRASVAEKLVWLKSWQRNYSLRQKQMGN
+>DECOY_NM_001008781|269361_2_1953_2134
+NGMQKQRLSYNRQWSKLWVLKEAVSARQLCKGMYSQFTLLCPTQLIREMLQQLESPLIAM
+>NM_001008781|269364_2_2145_2221
+MDFLTFIQLIDRDHILTSLFLLMWL
+>DECOY_NM_001008781|269364_2_2145_2221
+LWMLLFLSTLIHDRDILQIFTLFDM
+>NM_001008781|269381_2_4017_4096
+MGAHRNPPRPASTLNGLRNHPLHLYH
+>DECOY_NM_001008781|269381_2_4017_4096
+HYLHLPHNRLGNLTSAPRPPNRHAGM
+>NM_001008781|269395_2_6501_6619
+MAENLLCLHLWSFPSLLSTKQCLCLISPFIQHLSMKTSE
+>DECOY_NM_001008781|269395_2_6501_6619
+ESTKMSLHQIFPSILCLCQKTSLLSPFSWLHLCLLNEAM
+>NM_001008781|269404_2_7902_8023
+MEQIQGLLIPSIARPLFQWPTSWKSILTMAGWSQRVILTS
+>DECOY_NM_001008781|269404_2_7902_8023
+STLIVRQSWGAMTLISKWSTPWQFLPRAISPILLGQIQEM
+>NM_001008781|269408_2_9960_10105
+MGAPQLSALWPLSTSTSQMLMTTLPSSAKTSTVRLSVKTPWWETLSFC
+>DECOY_NM_001008781|269408_2_9960_10105
+CFSLTEWWPTKVSLRVTSTKASSPLTTMLMQSTSTSLPWLASLQPAGM
+>NM_001008781|269411_2_10449_10780
+MGLPFHSLFCREMKRRSLCWTLMGSCGRLWSSSTQSLWNTCCVSRQRIQANPSKFLTLTSACESLRKAPTSPQPFPWKFSLSPWRMTFLVGSLGRFMPQIKTCMMCSHLP
+>DECOY_NM_001008781|269411_2_10449_10780
+PLHSCMMCTKIQPMFRGLSGVLFTMRWPSLSFKWPFPQPSTPAKRLSECASTLTLFKSPNAQIRQRSVCCTNWLSQTSSSWLRGCSGMLTWCLSRRKMERCFLSHFPLGM
+>NM_001008781|269415_2_10887_11170
+MVASRYPLMWSCMWSSWCMRCCRTLSPSALKMCPLRTSWGCTCMGSGAPCGMQSSPRSRTACASSASSPWQAPTNWTCCLRWRCTAASSTSQPT
+>DECOY_NM_001008781|269415_2_10887_11170
+TPQSTSSAATCRWRLCCTWNTPAQWPSSASSACATRSRPSSQMGCPAGSGMCTCGWSTRLPCMKLASPSLTRCCRMCWSSWMCSWMLPYRSAVM
+>NM_001008781|269423_2_11379_11599
+MEDCVRGPTILVWRSRVQGTCSVSVMKPAGDRSSASVHQGSSESAQGTLLSALLETVTSNIGFLKIAKKRISN
+>DECOY_NM_001008781|269423_2_11379_11599
+NSIRKKAIKLFGINSTVTELLASLLTGQASESSGQHVSASSRDGAPKMVSVSCTGQVRSRWVLITPGRVCDEM
+>NM_001008781|269426_2_12630_12805
+MASRSGTCAAVGTAATSTRRWGPRRSPCAPWPTHPASRVTPGATWIRSWTGWEASTRK
+>DECOY_NM_001008781|269426_2_12630_12805
+KRTSAEWGTWSRIWTAGPTVRSAPHTPWPACPSRRPGWRRTSTAATGVAACTGSRSAM
+>NM_001008781|269428_2_13149_13258
+MEGLHTRGAHGSWRAITTWVVMTLTVNTHPLMKRSS
+>DECOY_NM_001008781|269428_2_13149_13258
+SSRKMLPHTNVTLTMVVWTTIARWSGHAGRTHLGEM
+>NM_001024452|269474_2_1547_1635
+MAWATACRQEMEVQWKVLAFIVPNLQPLF
+>DECOY_NM_001024452|269474_2_1547_1635
+FLPQLNPVIFALVKWQVEMEQRCATAWAM
+>NM_001142725|269513_2_1874_2067
+MDRTMEKSSIVALSGNTKKTENVVVISNGNKHFKRKEPTAWFHLIPQGDSLLVLQKQAIFVTEI
+>DECOY_NM_001142725|269513_2_1874_2067
+IETVFIAQKQLVLLSDGQPILHFWATPEKRKFHKNGNSIVVVNETKKTNGSLAVISSKEMTRDM
+>NM_001142725|269514_2_1886_2067
+MEKSSIVALSGNTKKTENVVVISNGNKHFKRKEPTAWFHLIPQGDSLLVLQKQAIFVTEI
+>DECOY_NM_001142725|269514_2_1886_2067
+IETVFIAQKQLVLLSDGQPILHFWATPEKRKFHKNGNSIVVVNETKKTNGSLAVISSKEM
+>NM_173615|269606_3_3170_3243
+MGDPPASSGQHLHLASIAESFQFP
+>DECOY_NM_173615|269606_3_3170_3243
+PFQFSEAISALHLHQGSSAPPDGM
+>NM_130387|269618_2_472_587
+MGMTQSDVLIAHMETKSILPILLKAGHLQLSKILSSVK
+>DECOY_NM_130387|269618_2_472_587
+KVSSLIKSLQLHGAKLLIPLISKTEMHAILVDSQTMGM
+>NM_001142733|269642_2_610_692
+MEQMSICVVPTRGQLSTKQPNWAERTW
+>DECOY_NM_001142733|269642_2_610_692
+WTREAWNPQKTSLQGRTPVVCISMQEM
+>NM_001142733|269648_2_907_983
+MELMPTSLRIQATCPSMWQLTGATY
+>DECOY_NM_001142733|269648_2_907_983
+YTAGTLQWMSPCTAQIRLSTPMLEM
+>NM_001142749|269696_2_1398_1534
+MDHLLAIPVLLEHFQMEPKNVDHVQQERSLHLALNINGGMSFLAT
+>DECOY_NM_001142749|269696_2_1398_1534
+TALFSMGGNINLALHLSREQQVHDVNKPEMQFHELLVPIALLHDM
+>NM_001142749|269703_2_2766_2968
+MGVRSISCGRVLKLALCVRSMTSMRLREPAREDFRKPCMCGMNLNGALKEFLCLRKSWQPVKRLTFG
+>DECOY_NM_001142749|269703_2_2766_2968
+GFTLRKVPQWSKRLCLFEKLAGNLNMGCMCPKRFDERAPERLRMSTMSRVCLALKLVRGCSISRVGM
+>NM_001142749|269708_3_910_989
+MGLSFCNAEIRHKHTLLENYRHPYGF
+>DECOY_NM_001142749|269708_3_910_989
+FGYPHRYNELLTHKHRIEANCFSLGM
+>NM_017639|269773_2_343_440
+MGKSVFLKISTGKGIQLPMISWWKLRMGMSRE
+>DECOY_NM_017639|269773_2_343_440
+ERSMGMRLKWWSIMPLQIGKGTSIKLFVSKGM
+>NM_017639|269786_2_4042_4187
+MGDSLHCPAPQQSSALLKMKTITHQSLLFPVMTLRFWKTRNQRLSIRF
+>DECOY_NM_017639|269786_2_4042_4187
+FRISLRQNRTKWFRLTMVPFLLSQHTITKMKLLASSQQPAPCHLSDGM
+>NM_017639|269800_2_6124_6221
+MAQYLLSVPYYFWIQYQQLNFLWKPVMVEILT
+>DECOY_NM_017639|269800_2_6124_6221
+TLIEVMVPKWLFNLQQYQIWFYYPVSLLYQAM
+>NM_017639|269815_2_8314_8405
+MEKTTITGIIFLVGSPNSNLLPQYLMILQN
+>DECOY_NM_017639|269815_2_8314_8405
+NQLIMLYQPLLNSNPSGVLFIIGTITTKEM
+>NM_017639|269817_3_7844_7947
+MVEFNKYHGEGYCQSVQTLKLQWPLFCGRRNCRR
+>DECOY_NM_017639|269817_3_7844_7947
+RRCNRRGCFLPWQLKLTQVSQCYGEGHYKNFEVM
+>NM_001142552|269845_2_2531_2622
+MGKSVFLKISTGKGIQLPMISWWKLRMGVG
+>DECOY_NM_001142552|269845_2_2531_2622
+GVGMRLKWWSIMPLQIGKGTSIKLFVSKGM
+>NM_152900|269895_2_635_714
+MEKYQEWIIISFPLNSSKHWKRVEHC
+>DECOY_NM_152900|269895_2_635_714
+CHEVRKWHKSSNLPFSIIIWEQYKEM
+>NM_152900|269897_2_731_981
+METSMELPSLQQNPALFSQIQLIKSSLIMSLMQNLKENERHLSARWKEWIALFLKRKKMRTRKLLMAVETQKTERGILSHLTG
+>DECOY_NM_152900|269897_2_731_981
+GTLHSLIGRETKQTEVAMLLKRTRMKKRKLFLAIWEKWRASLHRENEKLNQMLSMILSSKILQIQSFLAPNQQLSPLEMSTEM
+>NM_152900|269902_2_1238_1320
+MGHTMLITLTRKPSLKIQWRKPKGKSS
+>DECOY_NM_152900|269902_2_1238_1320
+SSKGKPKRWQIKLSPKRTLTILMTHGM
+>NM_152900|269904_2_1832_1908
+MVSMDHQMQVSREYPWHRQAAPSLN
+>DECOY_NM_152900|269904_2_1832_1908
+NLSPAAQRHWPYERSVQMQHDMSVM
+>NM_152900|269907_2_2411_2499
+MDLTSLYILGLLFPWEQLRKMVGSAQLMN
+>DECOY_NM_152900|269907_2_2411_2499
+NMLQASGVMKRLQEWPFLLGLIYLSTLDM
+>NM_152900|269911_2_3002_3108
+MVHHQEQTQPGKAQPCSTGPWDSHRPTTYLGTEVP
+>DECOY_NM_152900|269911_2_3002_3108
+PVETGLYTTPRHSDWPGTSCPQAKGPQTQEQHHVM
+>NM_152900|269912_2_3338_3477
+MVLPSKMAEFMLVTRLLKSMGNLHKESHILEQLSSFRLVEIKFFFF
+>DECOY_NM_152900|269912_2_3338_3477
+FFFFKIEVLRFSSLQELIHSEKHLNGMSKLLRTVLMFEAMKSPLVM
+>NM_152900|269913_2_3356_3477
+MAEFMLVTRLLKSMGNLHKESHILEQLSSFRLVEIKFFFF
+>DECOY_NM_152900|269913_2_3356_3477
+FFFFKIEVLRFSSLQELIHSEKHLNGMSKLLRTVLMFEAM
+>NM_001142782|270084_2_3818_3909
+MVLHEEVDRLVPKSQPVNIQRNIWIRFLVL
+>DECOY_NM_001142782|270084_2_3818_3909
+LVLFRIWINRQINVPQSKPVLRDVEEHLVM
+>NM_019852|270112_2_1524_1597
+MGRNTAWLVSKEIPKASTRVWIVM
+>DECOY_NM_019852|270112_2_1524_1597
+MVIWVRTSAKPIEKSVLWATNRGM
+>NM_017763|270172_2_2353_2441
+MALPVTLWSTARTSAYRGSMAAVLLSAAP
+>DECOY_NM_017763|270172_2_2353_2441
+PAASLLVAAMSGRYASTRATSWLTVPLAM
+>NM_017763|270174_2_2632_3035
+MAGSLAQKPESPSPGLLFLGHSPSQSHLLLISKSPDPTQQPLRGGSLTHSAPGPSLSQPLAQLTPPASAPVPAVCSTCKNPASLPDTHRGKGGGVPPSPPLALGPRMQLCTQLARFFPITPPVWHILGPQRHTP
+>DECOY_NM_017763|270174_2_2632_3035
+PTHRQPGLIHWVPPTIPFFRALQTCLQMRPGLALPPSPPVGGGKGRHTDPLSAPNKCTSCVAPVPASAPPTLQALPQSLSPGPASHTLSGGRLPQQTPDPSKSILLLHSQSPSHGLFLLGPSPSEPKQALSGAM
+>NM_030649|270300_3_928_1013
+MEPALVLHSEQPAGLPEEAQGCPHRGGG
+>DECOY_NM_030649|270300_3_928_1013
+GGGRHPCGQAEEPLGAPQESHLVLAPEM
+>NM_198179|270390_2_1556_1659
+MATLKSNCVNRQRRRKSSNDILLSLGLNWLRILL
+>DECOY_NM_198179|270390_2_1556_1659
+LLIRLWNLGLSLLIDNSSKRRRQRNVCNSKLTAM
+>NM_182633|270592_3_265_359
+MGDRKRQPAGYSSRWRKQTRNQKVNHKPEYF
+>DECOY_NM_182633|270592_3_265_359
+FYEPKHNVKQNRTQKRWRSSYGAPQRKRDGM
+>NM_198947|270654_2_1648_1766
+METQVMLLALSSMVVIFSPVDMLYILWWVKTHIQVCGQI
+>DECOY_NM_198947|270654_2_1648_1766
+IQGCVQIHTKVWWLIYLMDVPSFIVVMSSLALLMVQTEM
+>NM_014613|270699_2_492_655
+MGGHTLSSTRERTARHLTMPKGSFAFFWFIFMEMITRTLMSFVATHSVHLKLFH
+>DECOY_NM_014613|270699_2_492_655
+HFLKLHVSHTAVFSMLTRTIMEMFIFWFFAFSGKPMTLHRATRERTSSLTHGGM
+>NM_005426|270798_2_3413_3504
+MDGLHYIVLPHVTTSKCVSFWWSQEPLCLP
+>DECOY_NM_005426|270798_2_3413_3504
+PLCLPEQSWWFSVCKSTTVHPLVIYHLGDM
+>NM_020470|270815_2_864_997
+MATTWRWPGPHRRSCTSLCALCGQQPWAPTAWGAPSPGSVSSST
+>DECOY_NM_020470|270815_2_864_997
+TSSSVSGPSPAGWATPAWPQQGCLACLSTCSRRHPGPWRWTTAM
+>NM_004756|270983_3_1412_1578
+MAGGGVTGGQGPAAAAAATATAAAAAAATAASSLSGPSAHHASCPAAFPRPRGAL
+>DECOY_NM_004756|270983_3_1412_1578
+LAGRPRPFAAPCSAHHASPGSLSSAATAAAAAAATATAAAAAAPGQGGTVGGGAM
+>NM_014079|270997_2_372_526
+MVEAWAPRARTASWTSYCPRPRWAVAGAAAVALGPAVAPWPGGPGEGQRPL
+>DECOY_NM_014079|270997_2_372_526
+LPRQGEGPGGPWPAVAPGLAVAAAGAVAWRPRPCYSTWSATRARPAWAEVM
+>NM_021644|271039_2_251_324
+MVQMTLVMGQYDFVDYHLVAAKRK
+>DECOY_NM_021644|271039_2_251_324
+KRKAAVLHYDVFDYQGMVLTMQVM
+>NM_021644|271040_2_602_693
+MELGVEVMEVLMTMVAIIITAMGMMALMTE
+>DECOY_NM_021644|271040_2_602_693
+ETMLAMMGMATIIIAVMTMLVEMVEVGLEM
+>NM_021644|271041_2_698_780
+MEEVWEDMAMVELVMQVQVFMVVISYI
+>DECOY_NM_021644|271041_2_698_780
+IYSIVVMFVQVQMVLEVMAMDEWVEEM
+>NM_021644|271042_2_1046_1194
+MEWIIREAMDQLEEWEWGTITVEDMVLLMVWVVMAVVVEAVEVTMGKAA
+>DECOY_NM_021644|271042_2_1046_1194
+AAKGMTVEVAEVVVAMVVWVMLLVMDEVTITGWEWEELQDMAERIIWEM
+>NM_021644|271043_2_1070_1194
+MDQLEEWEWGTITVEDMVLLMVWVVMAVVVEAVEVTMGKAA
+>DECOY_NM_021644|271043_2_1070_1194
+AAKGMTVEVAEVVVAMVVWVMLLVMDEVTITGWEWEELQDM
+>NM_021644|271044_2_1118_1194
+MVLLMVWVVMAVVVEAVEVTMGKAA
+>DECOY_NM_021644|271044_2_1118_1194
+AAKGMTVEVAEVVVAMVVWVMLLVM
+>NM_001313|271079_2_758_903
+MAFGRSWRCWCRTKASIPSKSTWPIRMSTKCPTASSMKPLPSLRAWEL
+>DECOY_NM_001313|271079_2_758_903
+LEWARLSPLPKMSSATPCKTSMRIPWTSKSPISAKTRCWCRWSRGFAM
+>NM_012207|271188_2_602_738
+MELGVEVCMTECDEEVMDMMVVMEVLMTMVAIIITAMGMMALMTE
+>DECOY_NM_012207|271188_2_602_738
+ETMLAMMGMATIIIAVMTMLVEMVVMMDMVEEDCETMCVEVGLEM
+>NM_012207|271190_2_650_738
+MDMMVVMEVLMTMVAIIITAMGMMALMTE
+>DECOY_NM_012207|271190_2_650_738
+ETMLAMMGMATIIIAVMTMLVEMVVMMDM
+>NM_012207|271192_2_659_738
+MVVMEVLMTMVAIIITAMGMMALMTE
+>DECOY_NM_012207|271192_2_659_738
+ETMLAMMGMATIIIAVMTMLVEMVVM
+>NM_020168|271219_2_1126_1229
+MALRRPGHSPAWWAQPQAGQVGKAALALRPGRAA
+>DECOY_NM_020168|271219_2_1126_1229
+AARGPRLALAAKGVQGAQPQAWWAPSHGPRRLAM
+>NM_020168|271223_3_578_819
+MAEHPGHTAAPQARGGPFANHTGAAPAHEDSGAGQRDACGWLHLGAAQRHPEVVSHQLQHPAWPQPHQPAAGTVPGAAGG
+>DECOY_NM_020168|271223_3_578_819
+GGAAGPVTGAAPQHPQPWAPHQLQHSVVEPHRQAAGLHLWGCADRQGAGSDEHAPAAGTHNAFPGGRAQPAATHGPHEAM
+>NM_001014809|271241_2_462_565
+MARVTDSSSKVDGSSTMTNPFMLTSTWRMDLSNK
+>DECOY_NM_001014809|271241_2_462_565
+KNSLDMRWTSTLMFPNTMTSSGDVKSSSDTVRAM
+>NM_005559|271420_2_674_810
+MERFIHHSSMADQALTIFHPSCWNSLLHDIFAFACNALERSMQIS
+>DECOY_NM_005559|271420_2_674_810
+SIQMSRELANCAFAFIDHLLSNWCSPHFITLAQDAMSSHHIFREM
+>NM_005559|271422_2_911_1140
+MAMLVAAHGMKLQRNCSVNVSIILAGRAVTGAVLGTISSPGGREPCPPAIHVKHVIVTIKPKTVTMMKVLQSRRKV
+>DECOY_NM_005559|271422_2_911_1140
+VKRRSQLVKMMTVTKPKITVIVHKVHIAPPCPERGGPSSITGLVAGTVARGALIISVNVSCNRQLKMGHAAVLMAM
+>NM_005559|271429_2_1352_1569
+MGSSQVSAHVRKVIQEKNVIAANLAIRITRPVSPVGATQWAVPVMSPAQGPVFVRKTLRGRPVIAASQDSIT
+>DECOY_NM_005559|271429_2_1352_1569
+TISDQSAAIVPRGRLTKRVFVPGQAPSMVPVAWQTAGVPSVPRTIRIALNAAIVNKEQIVKRVHASVQSSGM
+>NM_005559|271437_2_2522_2706
+MEMKWSVTGVPRATQELGVRDVQMVTMETQQCLANLVFPVTAAATWTPRRLVTVTQSPGSA
+>DECOY_NM_005559|271437_2_2522_2706
+ASGPSQTVTVLRRPTWTAAATVPFVLNALCQQTEMTVMQVDRVGLEQTARPVGTVSWKMEM
+>NM_005559|271440_2_2600_2706
+METQQCLANLVFPVTAAATWTPRRLVTVTQSPGSA
+>DECOY_NM_005559|271440_2_2600_2706
+ASGPSQTVTVLRRPTWTAAATVPFVLNALCQQTEM
+>NM_005559|271442_2_2912_3162
+MGWTQAMAAGPATAAWQAPCQMAARMKASVTVSQVWQGKGVTGVPMASTPTRMVAVHPVTAHTLRIPATQKLESVSAPLTHRV
+>DECOY_NM_005559|271442_2_2912_3162
+VRHTLPASVSELKQTAPIRLTHATVPHVAVMRTPTSAMPVGTVGKGQWVQSVTVSAKMRAAMQCPAQWAATAPGAAMAQTWGM
+>NM_005559|271443_2_2930_3162
+MAAGPATAAWQAPCQMAARMKASVTVSQVWQGKGVTGVPMASTPTRMVAVHPVTAHTLRIPATQKLESVSAPLTHRV
+>DECOY_NM_005559|271443_2_2930_3162
+VRHTLPASVSELKQTAPIRLTHATVPHVAVMRTPTSAMPVGTVGKGQWVQSVTVSAKMRAAMQCPAQWAATAPGAAM
+>NM_005559|271447_2_3068_3162
+MVAVHPVTAHTLRIPATQKLESVSAPLTHRV
+>DECOY_NM_005559|271447_2_3068_3162
+VRHTLPASVSELKQTAPIRLTHATVPHVAVM
+>NM_005559|271448_2_3182_3375
+MGTGATMRRWGARPAIAVSWGRLIIGAMWSPAIASASQNLVAGPAISVPWVTETFPTVFPVTVT
+>DECOY_NM_005559|271448_2_3182_3375
+TVTVPFVTPFTETVWPVSIAPGAVLNQSASAIAPSWMAGIILRGWSVAIAPRAGWRRMTAGTGM
+>NM_005559|271456_2_4580_4737
+MGTLKHQVAVARSVTATRTALSTVTVTAHLGSAFAGWGPRGSGAMSVNRGTF
+>DECOY_NM_005559|271456_2_4580_4737
+FTGRNVSMAGSGRPGWGAFASGLHATVTVTSLATRTATVSRAVAVQHKLTGM
+>NM_005559|271462_2_7832_7914
+MDKRIPSPWSGIGELSLSNWMRTILWK
+>DECOY_NM_005559|271462_2_7832_7914
+KWLITRMWNSLSLEGIGSWPSPIRKDM
+>NM_005559|271469_3_7620_7699
+MAGNICHHEQQWHHPGCPRRGCGEAG
+>DECOY_NM_005559|271469_3_7620_7699
+GAEGCGRRPCGPHHWQQEHHCINGAM
+>NM_153487|271494_2_2407_2531
+MAPTCAWLLSQGHPCPTSASRSTSPLRQCRPPSVCPRVGPW
+>DECOY_NM_153487|271494_2_2407_2531
+WPGVRPCVSPPRCQRLPSTSRSASTPCPHGQSLLWACTPAM
+>NM_005401|271577_2_2618_2709
+MGQPRPSACLGLTRRLSTGPLSAHPSRNPT
+>DECOY_NM_005401|271577_2_2618_2709
+TPNRSPHASLPGTSLRRTLGLCASPRPQGM
+>NM_005401|271583_3_3198_3496
+MALHSHPGAPATHVPRLLADGVGAGSECDCHGHCRGGGWTNQKPPILAQTRFKAQLSHLWQVQGHHEVSNGFCLLCNHGLEGQAPFVWARKDGVAFTIY
+>DECOY_NM_005401|271583_3_3198_3496
+YITFAVGDKRAWVFPAQGELGHNCLLCFGNSVEHHGQVQWLHSLQAKFRTQALIPPKQNTWGGGRCHGHCDCESGAGVGDALLRPVHTAPAGPHSHLAM
+>NM_002271|271636_2_2383_2492
+MVFEWQQRNPCLFSWSVQESVVLSISHRCGILCVML
+>DECOY_NM_002271|271636_2_2383_2492
+LMVCLIGCRHSISLVVSEQVSWSFLCPNRQQWEFVM
+>NM_002271|271648_3_2054_2142
+MGQNVQNPWKRISAIPSSGYGAFNEDGFN
+>DECOY_NM_002271|271648_3_2054_2142
+NFGDENFAGYGSSPIASIRKWPNQVNQGM
+>NM_005449|271718_3_598_773
+MVSSALFVPDACICQFFQIRNQSYHTSSKGQGPSSSPLLPHHPNHPPPSSVQSIFSSR
+>DECOY_NM_005449|271718_3_598_773
+RSSFISQVSSPPPHNPHHPLLPSSSPGQGKSSTHYSQNRIQFFQCICADPVFLASSVM
+>NM_001018037|271805_2_969_1048
+MALSMKILFQKVMILYFVPYLLMPNL
+>DECOY_NM_001018037|271805_2_969_1048
+LNPMLLYPVFYLIMVKQFLIKMSLAM
+>NM_001018037|271813_2_9306_9382
+MGLEIKCYRSWKMEDLQNTNILPMS
+>DECOY_NM_001018037|271813_2_9306_9382
+SMPLINTNQLDEMKWSRYCKIELGM
+>NM_001631|271883_2_312_469
+MANWGLRRPWPWTASHTWLCPRHTMWTDRCQTAQPQPRPTCAGSRPTSRPSA
+>DECOY_NM_001631|271883_2_312_469
+ASPRSTPRSGACTPRPQPQATQCRDTWMTHRPCLWTHSATWPWPRRLGWNAM
+>NM_001631|271887_2_804_919
+MESGWTGRTWCRNGWQSTRVPGMCGTALSSCRRPWTSL
+>DECOY_NM_001631|271887_2_804_919
+LSTWPRRCSSLATGCMGPVRTSQWGNRCWTRGTWGSEM
+>NM_001631|271888_2_1083_1186
+MVIMRVWLTRHSLRRSCSTTPLRGRASSPARRTR
+>DECOY_NM_001631|271888_2_1083_1186
+RTRRAPSSARGRLPTTSCSRRLSHRTLWVRMIVM
+>NM_000227|271923_2_290_441
+MAQAYVLTVSTTPRESTVNAARRATMATPSTDPAGPAHVLTLTALPLAVW
+>DECOY_NM_000227|271923_2_290_441
+WVALPLATLTLVHAPGAPDTSPTAMTARRAANVTSERPTTSVTLVYAQAM
+>NM_000227|271924_2_365_441
+MATPSTDPAGPAHVLTLTALPLAVW
+>DECOY_NM_000227|271924_2_365_441
+WVALPLATLTLVHAPGAPDTSPTAM
+>NM_000227|271939_3_63_184
+MAVDLWGSPGAVSGLQFTAAKGAISSASRSKSTASELCGV
+>DECOY_NM_000227|271939_3_63_184
+VGCLESATSKSRSASSIAGKAATFQLGSVAGPSGWLDVAM
+>NM_001139441|271951_3_248_375
+MAEDFQVPAGGVVSVLWQHLLCGSHCHPCAVGHRCRARNSEV
+>DECOY_NM_001139441|271951_3_248_375
+VESNRARCRHGVACPHCHSGCLLHQWLVSVVGGAPVQFDEAM
+>NM_006150|271964_2_960_1102
+MAVGSTSAWTKARWLTRASTGMPQTAASAVVAVGGPCWAAHSCHAEA
+>DECOY_NM_006150|271964_2_960_1102
+AEAHCSHAAWCPGGVAVVASAATQPMGTSARTLWRAKTWASTSGVAM
+>NM_001127717|272023_2_2031_2272
+MVTVTASPMWVAIPATPVKMDILLWKRAITLGVKGVSVTLVGHCPPCAVGPRECASAESMSWERCASGLKTTTISQICII
+>DECOY_NM_001127717|272023_2_2031_2272
+IICIQSITTTKLGSACREWSMSEASACERPGVACPPCHGVLTVSVGKVGLTIARKWLLIDMKVPTAPIAVWMPSATVTVM
+>NM_001127717|272025_2_2088_2272
+MDILLWKRAITLGVKGVSVTLVGHCPPCAVGPRECASAESMSWERCASGLKTTTISQICII
+>DECOY_NM_001127717|272025_2_2088_2272
+IICIQSITTTKLGSACREWSMSEASACERPGVACPPCHGVLTVSVGKVGLTIARKWLLIDM
+>NM_001127717|272028_2_2583_2782
+MVLQTHFQSHQEYGLLVLRQKESFWITWCCSPGTTMKPLYCSCQSQNHVPTQDLPKKIAYSTSICQ
+>DECOY_NM_001127717|272028_2_2583_2782
+QCISTSYAIKKPLDQTPVHNQSQCSCYLPKMTTGPSCCWTIWFSEKQRLVLLGYEQHSQFHTQLVM
+>NM_001127717|272038_2_4830_4903
+MDECTWSRETSDMPAAVPQCLGRS
+>DECOY_NM_001127717|272038_2_4830_4903
+SRGLCQPVAAPMDSTERSWTCEDM
+>NM_180703|272297_2_873_967
+MVTSGGFGWSGTWSQVFQRATPSSNTRRSVP
+>DECOY_NM_180703|272297_2_873_967
+PVSRRTNSSPTARQFVQSWTGSWGFGGSTVM
+>NM_017848|272342_2_363_670
+MAKRSPGCTLRCRRRRPLSCPGPGCWWTPARRCRGSMAATRRIGCVAANGTPCWATCQRCARLVPILAATAWSSWSCSRGAWARTGWPSGAVGARPSGRQRN
+>DECOY_NM_017848|272342_2_363_670
+NRQRGSPRAGVAGSPWGTRAWAGRSCSWSSWATAALIPVLRACRQCTAWCPTGNAAVCGIRRTAAMSGRCRRAPTWWCGPGPCSLPRRRRCRLTCGPSRKAM
+>NM_017848|272344_2_837_916
+MASMAFLPMTPSMLSTIFPLTTVPML
+>DECOY_NM_017848|272344_2_837_916
+LMPVTTLPFITSLMSPTMPLFAMSAM
+>NM_017848|272352_2_1809_1891
+MVSPTSHLCCLCLQGTTWISPFHPYLQ
+>DECOY_NM_017848|272352_2_1809_1891
+QLYPHFPSIWTTGQLCLCCLHSTPSVM
+>NM_017848|272361_2_3063_3181
+MEKNRLVEDPRDTKKEISKALQMEFLNPWSFIKVGLAPR
+>DECOY_NM_017848|272361_2_3063_3181
+RPALGVKIFSWPNLFEMQLAKSIEKKTDRPDEVLRNKEM
+>NM_003790|272393_2_602_747
+MAMAACPAPRAPWGAVQSAVPLSVAGGRCSGSRCSWLALWSPSCLGPP
+>DECOY_NM_003790|272393_2_602_747
+PPGLCSPSWLALWSCRSGSCRGGAVSLPVASQVAGWPARPAPCAAMAM
+>NM_003790|272394_2_608_747
+MAACPAPRAPWGAVQSAVPLSVAGGRCSGSRCSWLALWSPSCLGPP
+>DECOY_NM_003790|272394_2_608_747
+PPGLCSPSWLALWSCRSGSCRGGAVSLPVASQVAGWPARPAPCAAM
+>NM_001967|272414_2_73_152
+MAAQREWTPMVSSRATGMRLLITLMI
+>DECOY_NM_001967|272414_2_73_152
+IMLTILLRMGTARSSVMPTWERQAAM
+>NM_001105569|272552_2_37_113
+MAWAPLTALACCLPGTGRTGQGPLS
+>DECOY_NM_001105569|272552_2_37_113
+SLPGQGTRGTGPLCCALATLPAWAM
+>NM_032389|272569_2_298_419
+MDAQPMMPTPNIIAELPRCTGRRSGSWGVRPWLGMALIFG
+>DECOY_NM_032389|272569_2_298_419
+GFILAMGLWPRVGWSGSRRGTCRPLEAIINPTPMMPQADM
+>NM_012074|272603_2_338_468
+MGSPQRAPRWKPCSVARGLRRRWMPGRRKASRKYRGFWKMMKM
+>DECOY_NM_012074|272603_2_338_468
+MKMMKWFGRYKRSAKRRGPMWRRRLGRAVSCPKWRPARQPSGM
+>NM_020163|272639_2_1081_1157
+MGLSTSGGPMGARCPSLALACAPAR
+>DECOY_NM_020163|272639_2_1081_1157
+RAPACALALSPCRAGMPGGSTSLGM
+>NM_020163|272641_2_1264_1880
+MAALSLSRPTWPSSYTRSWWTAWRQRMGPTMSFSWGLTQGLCSKSSLSRQGAQLNLRKWFWRSSRCLRCQHLSPKWRSLSKGKCYTWALGWVWPSCGCTNVRLTALPVQSAAWPGTHTVPGMVPPVPTTAPALASAGSAGRTSGTATLPCSAWARARKKRQWDLWQPPWSTARSTIAPSWSACPSLPRLLCAGSCRGQGMRGLTR
+>DECOY_NM_020163|272641_2_1264_1880
+RTLGRMGQGRCSGACLLRPLSPCASWSPAITSRATSWPPQWLDWQRKKRARAWASCPLTATGSTRGASGASALAPATTPVPPVMGPVTHTGPWAASQVPLATLRVNTCGCSPWVWGLAWTYCKGKSLSRWKPSLHQCRLCRSSRWFWKRLNLQAGQRSLSSKSCLGQTLGWSFSMTPGMRQRWATWWSRTYSSPWTPRSLSLAAM
+>NM_020163|272642_2_1342_1880
+MGPTMSFSWGLTQGLCSKSSLSRQGAQLNLRKWFWRSSRCLRCQHLSPKWRSLSKGKCYTWALGWVWPSCGCTNVRLTALPVQSAAWPGTHTVPGMVPPVPTTAPALASAGSAGRTSGTATLPCSAWARARKKRQWDLWQPPWSTARSTIAPSWSACPSLPRLLCAGSCRGQGMRGLTR
+>DECOY_NM_020163|272642_2_1342_1880
+RTLGRMGQGRCSGACLLRPLSPCASWSPAITSRATSWPPQWLDWQRKKRARAWASCPLTATGSTRGASGASALAPATTPVPPVMGPVTHTGPWAASQVPLATLRVNTCGCSPWVWGLAWTYCKGKSLSRWKPSLHQCRLCRSSRWFWKRLNLQAGQRSLSSKSCLGQTLGWSFSMTPGM
+>NM_020163|272644_2_1627_1880
+MVPPVPTTAPALASAGSAGRTSGTATLPCSAWARARKKRQWDLWQPPWSTARSTIAPSWSACPSLPRLLCAGSCRGQGMRGLTR
+>DECOY_NM_020163|272644_2_1627_1880
+RTLGRMGQGRCSGACLLRPLSPCASWSPAITSRATSWPPQWLDWQRKKRARAWASCPLTATGSTRGASGASALAPATTPVPPVM
+>NM_020163|272655_3_257_579
+MARSPGGPVATAARTEGGVCSKGKRSFDRVRQLRAGATASQPDPPASLWHWGLPAHLCPHHSWPPWGACAPPGAWQCGKWPGAVPSRAQPSLCQHLHRRGAVHGSHC
+>DECOY_NM_020163|272655_3_257_579
+CHSGHVAGRRHLHQCLSPQARSPVAGPWKGCQWAGPPACAGWPPWSHHPCLHAPLGWHWLSAPPDPQSATAGARLQRVRDFSRKGKSCVGGETRAATAVPGGPSRAM
+>NM_003659|272753_3_982_1070
+MGIYSRIRHEEEYLWQYRGPGGSYKNGNT
+>DECOY_NM_003659|272753_3_982_1070
+TNGNKYSGGPGRYQWLYEEEHRIRSYIGM
+>NM_001083909|272779_2_1898_2043
+MGALPSTAAPRSRAGRQRSGPATWRCCGGHSPCPLVAPARTGCPRVNC
+>DECOY_NM_001083909|272779_2_1898_2043
+CNVRPCGTRAPAVLPCPSHGGCCRWTAPGSRQRGARSRPAATSPLAGM
+>NM_016224|272825_2_360_775
+MEKINFLVEIQWLTKPSLILSQPAQLRPVRRLPATITRLAVAMTPGQPGVPPNLGTGKAQKAGGPSQRGLEPKETQTLPTTGTLPSATPRPTKDQQLVMMMTGMKTGMGPNPLPTLRIQSQLMQAALSEETVVLVPHP
+>DECOY_NM_016224|272825_2_360_775
+PHPVLVVTEESLAAQMLQSQIRLTPLPNPGMGTKMGTMMMVLQQDKTPRPTASPLTGTTPLTQTEKPELGRQSPGGAKQAKGTGLNPPVGPQGPTMAVALRTITAPLRRVPRLQAPQSLILSPKTLWQIEVLFNIKEM
+>NM_016224|272831_2_681_775
+MGPNPLPTLRIQSQLMQAALSEETVVLVPHP
+>DECOY_NM_016224|272831_2_681_775
+PHPVLVVTEESLAAQMLQSQIRLTPLPNPGM
+>NM_014682|272872_2_1340_1488
+MEETRLMILSHPSAPLMTMKVTLKVQKMAGTVAPTSQKKPNHLESQSMF
+>DECOY_NM_014682|272872_2_1340_1488
+FMSQSELHNPKKQSTPAVTGAMKQVKLTVKMTMLPASPHSLIMLRTEEM
+>NM_014682|272880_2_3284_3402
+MAKVTYQVNTHHTAQLLAVLWLPRDRRRILSMEPPSPGN
+>DECOY_NM_014682|272880_2_3284_3402
+NGPSPPEMSLIRRRDRPLWLVALLQATHHTNVQYTVKAM
+>NM_016324|272910_3_814_887
+MGGIAAPRELPRGGGPGRGCDLDV
+>DECOY_NM_016324|272910_3_814_887
+VDLDCGRGPGGGRPLERPAAIGGM
+>NM_002557|272943_2_108_217
+MVLPINSCVISPTGHTVGQALPRSCPMTWTPFSAPT
+>DECOY_NM_002557|272943_2_108_217
+TPASFPTWTMPCSRPLAQGVTHGTPSIVCSNIPLVM
+>NM_002557|272948_2_879_1087
+MGCRPERSDQHLQGSTPSKKASWLILRFVPLSGERRSTGLITSMSRMPTRGKSGLAMTMPSASVTRHGL
+>DECOY_NM_002557|272948_2_879_1087
+LGHRTVSASPMTMALGSKGRTPMRSMSTILGTSRREGSLPVFRLILWSAKKSPTSGQLHQDSREPRCGM
+>NM_014933|273005_2_1743_1876
+MGRRALLLKSSSWESTLKRKKKNLNFYPHLEEHLISLSVGTLMV
+>DECOY_NM_014933|273005_2_1743_1876
+VMLTGVSLSILHEELHPYFNLNKKKRKLTSEWSSSKLLLARRGM
+>NM_014933|273013_2_3024_3163
+MADQELHHHLQLMHCLLEQQVHCLLPVSCLRPKEQVLRMVGMTLQL
+>DECOY_NM_014933|273013_2_3024_3163
+LQLTMGVMRLVQEKPRLCSVPLLCHVQQELLCHMLQLHHHLEQDAM
+>NM_014933|273019_3_199_272
+MEPCPESPHLPSNRNICSAIGCNI
+>DECOY_NM_014933|273019_3_199_272
+INCGIASCINRNSPLHPSEPCPEM
+>NM_005181|273028_2_390_484
+MALSTPWMESSMQRSFIWFTGTRSITLLKKP
+>DECOY_NM_005181|273028_2_390_484
+PKKLLTISRTGTFWIFSRQMSSEMWPTSLAM
+>NM_005181|273031_2_540_715
+MASSRFSLMHWTRLRQRARRRPSQSLTHPACSRHAGTTGPTRAHSPRRPARNALCGCC
+>DECOY_NM_005181|273031_2_540_715
+CCGCLANRAPRRPSHARTPGTTGAHRSCAPHTLSQSPRRRARQRLRTWHMLSFRSSAM
+>NM_024852|273205_2_323_408
+MAPWANPLNCWLTVFKLKSQRLMSTSMR
+>DECOY_NM_024852|273205_2_323_408
+RMSTSMLRQSKLKFVTLWCNLPNAWPAM
+>NM_024852|273207_2_1553_1659
+MEYGTCEGNNSTQELKSKCGLSLVLPHRGSAEKKY
+>DECOY_NM_024852|273207_2_1553_1659
+YKKEASGRHPLVLSLGCKSKLEQTSNNGECTGYEM
+>NM_024897|273222_2_965_1122
+MATISSARCSLASSSPPTCLKGWHQDALITSVRARLARPGKRQGQMPSQSTE
+>DECOY_NM_024897|273222_2_965_1122
+ETSQSPMQGQRKGPRALRARVSTILADQHWGKLCTPPSSSALSCRASSITAM
+>NM_024897|273226_3_1203_1402
+MGSRRAWLATQEPALGLAGTVATLVLAAAGNLLIIAAFTATLLRAPSTCPLLQGGPLEGGTQAKQQ
+>DECOY_NM_024897|273226_3_1203_1402
+QQKAQTGGELPGGQLLPCTSPARLLTATFAAIILLNGAAALVLTAVTGALGLAPEQTALWARRSGM
+>NM_182895|273321_2_1198_1316
+MALTARTAPSCAPTAAADTATSSRGAACAALASTGPTVT
+>DECOY_NM_182895|273321_2_1198_1316
+TVTPGTSALAACAAGRSSTATDAAATPACSPATRATLAM
+>NM_152838|273439_2_2381_2457
+MGLHLTFLVILVDQMPLGHQSLLQD
+>DECOY_NM_152838|273439_2_2381_2457
+DQLLSQHGLPMQDVLIVLFTLHLGM
+>NM_001519|273465_2_1408_1493
+MAPPRTPRPACVARRTQRTRSWKPRPAT
+>DECOY_NM_001519|273465_2_1408_1493
+TAPRPKWSRTRQTRRAVCAPRPTRPPAM
+>NM_014903|273521_2_2004_2221
+MVLSNSLNSSNIATRIPRQWHHSFTGHIQKMKVPLYHRLTPVPVLQRWTYHIVRLLSSAWRRYLVKTLKQEE
+>DECOY_NM_014903|273521_2_2004_2221
+EEQKLTKVLYRRWASSLLRVIHYTWRQLVPVPTLRHYLPVKMKQIHGTFSHHWQRPIRTAINSSNLSNSLVM
+>NM_014903|273524_2_3033_3259
+MGMLVASGRLCPLDFLKTPRRQGRKLPCLFHRQVPGEEACLPKEGRHLGRKLEQVHSKHPGKPMMPKLLRKEKLP
+>DECOY_NM_014903|273524_2_3033_3259
+PLKEKRLLKPMMPKGPHKSHVQELKRGLHRGEKPLCAEEGPVQRHFLCPLKRGQRRPTKLFDLPCLRGSAVLMGM
+>NM_014903|273545_3_6871_6989
+MVHGSLELFFSTLYSGGSERGSSDVWETHTMGRSFKVGA
+>DECOY_NM_014903|273545_3_6871_6989
+AGVKFSRGMTHTEWVDSSGRESGGSYLTSFFLELSGHVM
+>NM_003203|273864_2_399_850
+MAPRSRLLSLGRRGNFRSRVLRRKSRPLEEAARRWRDCPTGFGALVAGAGSGRAPGVPPKRLPARTKAQNPEPLMCPQMKRIKYITPQKVRMIRVCLLTVLALLEKKNFHQQLRSQMQLLFRQPAENVNWPGPKMTIFLWMYNIPPPSLV
+>DECOY_NM_003203|273864_2_399_850
+VLSPPPINYMWLFITMKPGPWNVNEAPQRFLLQMQSRLQQHFNKKELLALVTLLCVRIMRVKQPTIYKIRKMQPCMLPEPNQAKTRAPLRKPPVGPARGSGAGAVLAGFGTPCDRWRRAAEELPRSKRRLVRSRFNGRRGLSLLRSRPAM
+>NM_057088|273964_2_343_584
+MEVALGAAMEVALVVALVVAEEWEVALVELVALEGLVALEGLVALVVLVALVGLVALVGLAAWAVLVALALGAFLGEFRK
+>DECOY_NM_057088|273964_2_343_584
+KRFEGLFAGLALAVLVAWAALGVLAVLGVLAVLVVLAVLGELAVLGELAVLEVLAVEWEEAVVLAVVLAVEMAAGLAVEM
+>NM_057088|273965_2_367_584
+MEVALVVALVVAEEWEVALVELVALEGLVALEGLVALVVLVALVGLVALVGLAAWAVLVALALGAFLGEFRK
+>DECOY_NM_057088|273965_2_367_584
+KRFEGLFAGLALAVLVAWAALGVLAVLGVLAVLVVLAVLGELAVLGELAVLEVLAVEWEEAVVLAVVLAVEM
+>NM_057088|273968_2_1420_1529
+MERWPSRMPMPSSKSCRLLYSRRRMTWRGCYVTTRS
+>DECOY_NM_057088|273968_2_1420_1529
+SRTTVYCGRWTMRRRSYLLRCSKSSPMPMRSPWREM
+>NM_004706|274003_2_2483_2610
+MVAERRLQLMPGPRESSVTSCPSADQAPRASSLPRPFGKCCP
+>DECOY_NM_004706|274003_2_2483_2610
+PCCKGFPRPLSSARPAQDASPCSTVSSERPGPMLQLRREAVM
+>NM_006609|274062_2_603_682
+MGHSLVSTVKESSFQRAWTKCWIHYL
+>DECOY_NM_006609|274062_2_603_682
+LYHIWCKTWARQFSSEKVTSVLSHGM
+>NM_005557|274086_2_341_495
+MAVASAAAAALVVASGEDMVVALVLASVVAWVLALVVVLLVVMGFWWAVRR
+>DECOY_NM_005557|274086_2_341_495
+RRVAWWFGMVVLLVVVLALVWAVVSALVLAVVMDEGSAVVLAAAAASAVAM
+>NM_005557|274087_2_395_495
+MVVALVLASVVAWVLALVVVLLVVMGFWWAVRR
+>DECOY_NM_005557|274087_2_395_495
+RRVAWWFGMVVLLVVVLALVWAVVSALVLAVVM
+>NM_020639|274150_3_389_528
+MGSPVPNHPRDGGGHELPALHGPATPAPGPQAREHPAGCPLPRQDF
+>DECOY_NM_020639|274150_3_389_528
+FDQRPLPCGAPHERAQPGPAPTAPGHLAPLEHGGGDRPHNPVPSGM
+>NM_001304|274191_2_970_1061
+MESQTAHIGMMWKVVCKITIMCGPTVLRSH
+>DECOY_NM_001304|274191_2_970_1061
+HSRLVTPGCMITIKCVVKWMMGIHATQSEM
+>NM_001304|274197_2_2752_2843
+MVWKASCYAPPQIWLWLFIDTIPTKTYQSF
+>DECOY_NM_001304|274197_2_2752_2843
+FSQYTKTPITDIFLWLWIQPPAYCSAKWVM
+>NM_016223|274331_2_1159_1265
+MAPHPHPSPRGPQARGRMRSGQMKRVPGRLPPGFG
+>DECOY_NM_016223|274331_2_1159_1265
+GFGPPLRGPVRKMQGSRMRGRAQPGRPSPHPHPAM
+>NM_001128159|274380_2_421_521
+MDGKRLKRLRKLSNNSLAKSKISKTKLKNQSKW
+>DECOY_NM_001128159|274380_2_421_521
+WKSQNKLKTKSIKSKALSNNSLKRLRKLRKGDM
+>NM_025049|274526_2_1318_1490
+MGLWPRGSAPTRMMWPSPTRGGFRSCQVRYTDLRLWTATLSWPVPWMPSVLLASSFN
+>DECOY_NM_025049|274526_2_1318_1490
+NFSSALLVSPMWPVPWSLTATWLRLDTYRVQCSRFGGRTPSPWMMRTPASGRPWLGM
+>NM_004533|274621_2_2926_3203
+MGTVRSWGISSRKQTKKPWSGSTSMNVTGTLAVLCPTLSWAMNTISEFTPRTSVGSVTHLVSPRTRPASSRQESPSNRSSIRSMTSGWLPSS
+>DECOY_NM_004533|274621_2_2926_3203
+SSPLWGSTMSRISSRNSPSEQRSSAPRTRPSVLHTVSGVSTRPTFESITNMAWSLTPCLVALTGTVNMSTSGSWPKKTQKRSSIGWSRVTGM
+>NM_004533|274624_3_2396_2925
+MGPCQHRARGALWLHRQESPDRSQNPLPSSWGQHRGAQRAGHPGPAGHHQGDCGATQDPASPPSPPDLHPQSGRAAQPCRPLPGKAPAPGGVDQGRGPAGHLPRARADQRLRHRVLRAPGGPLRLRGVRAERADREHEGHRHHPHPRCGKGWAPHKRDGEGGVGHERAGGVAGPQR
+>DECOY_NM_004533|274624_3_2396_2925
+RQPGAVGGAREHGVGGEGDRKHPAWGKGCRPHPHHRHGEHERDAREARVGRLRLPGGPARLVRHRLRQDARARPLHGAPGRGQDVGGPAPAKGPLPRCPQAARGSQPHLDPPSPPSAPDQTAGCDGQHHGAPGPHGARQAGRHQGWSSPLPNQSRDPSEQRHLWLAGRARHQCPGM
+>NM_004723|274653_2_1904_2022
+MVAVGWPCPPCPGAFSALSPLSPLVASGCCRMPSVRWRV
+>DECOY_NM_004723|274653_2_1904_2022
+VRWRVSPMRCCGSAVLPSLPSLASFAGPCPPCPWGVAVM
+>NM_004723|274655_2_2378_2499
+MGRLAGLGLPLWPLKSRPRNWHYCSGNMRCCRRSYGAAGG
+>DECOY_NM_004723|274655_2_2378_2499
+GGAAGYSRRCCRMNGSCYHWNRPRSKLPWLPLGLGALRGM
+>NM_020322|274725_2_990_1183
+MGWTSCWTCSRRNIYLCGGTMRRPRLRWGSECRSTARRSRPSSISWAWGCPRATRPLFLASSSS
+>DECOY_NM_020322|274725_2_990_1183
+SSSSALFLPRTARPCGWAWSISSPRSRRATSRCESGWRLRPRRMTGGCLYINRRSCTWCSTWGM
+>NM_015282|274809_2_3601_3722
+MVPPNSCTTTSRIPVTPVWALQAIRLAGRPPDTPAAGPAP
+>DECOY_NM_015282|274809_2_3601_3722
+PAPGAAPTDPPRGALRIAQLAWVPTVPIRSTTTCSNPPVM
+>NM_015282|274811_2_4009_4091
+MAKRSVILCPAMGALPPLPLRAGGVVK
+>DECOY_NM_015282|274811_2_4009_4091
+KVVGGARLPLPPLAGMAPCLIVSRKAM
+>NM_032995|274848_2_1097_1188
+MAVWSALKHSGTMSPWTTRSWASKLGTSSK
+>DECOY_NM_032995|274848_2_1097_1188
+KSSTGLKSAWSRTTWPSMTGSHKLASWVAM
+>NM_032364|275085_2_427_968
+MAPQGVQDHLEMQRTLIRVRRLQKKNQEWTRNSQKKTRLGTRRMGTLFFPFHLLATAREHLEFQKGLTLREEMVLLATFATTVPLQLWGKMSWKRNMMMKNLSSSPVIFHVCPAERNPHPGDSGTAFQRRRILGRVDVGIPGPLVDIGWVGNEVRQISAKAWDCGEPRNYVNLDRQAFGG
+>DECOY_NM_032364|275085_2_427_968
+GGFAQRDLNVYNRPEGCDWAKASIQRVENGVWGIDVLPGPIGVDVRGLIRRRQFATGSDGPHPNREAPCVHFIVPSSSLNKMMMNRKWSMKGWLQLPVTTAFTALLVMEERLTLGKQFELHERATALLHFPFFLTGMRRTGLRTKKQSNRTWEQNKKQLRRVRILTRQMELHDQVGQPAM
+>NM_032364|275087_2_556_968
+MGTLFFPFHLLATAREHLEFQKGLTLREEMVLLATFATTVPLQLWGKMSWKRNMMMKNLSSSPVIFHVCPAERNPHPGDSGTAFQRRRILGRVDVGIPGPLVDIGWVGNEVRQISAKAWDCGEPRNYVNLDRQAFGG
+>DECOY_NM_032364|275087_2_556_968
+GGFAQRDLNVYNRPEGCDWAKASIQRVENGVWGIDVLPGPIGVDVRGLIRRRQFATGSDGPHPNREAPCVHFIVPSSSLNKMMMNRKWSMKGWLQLPVTTAFTALLVMEERLTLGKQFELHERATALLHFPFFLTGM
+>NM_032364|275088_2_643_968
+MVLLATFATTVPLQLWGKMSWKRNMMMKNLSSSPVIFHVCPAERNPHPGDSGTAFQRRRILGRVDVGIPGPLVDIGWVGNEVRQISAKAWDCGEPRNYVNLDRQAFGG
+>DECOY_NM_032364|275088_2_643_968
+GGFAQRDLNVYNRPEGCDWAKASIQRVENGVWGIDVLPGPIGVDVRGLIRRRQFATGSDGPHPNREAPCVHFIVPSSSLNKMMMNRKWSMKGWLQLPVTTAFTALLVM
+>NM_003453|275204_2_1115_1437
+MENLQLIIILILGSPSQLHFPVIRNNQGWTLYHQWPHFLNRFSSRLSNSSLLNQLKSLVQTAKNLYRRARQLINEKDQLTSFVLPPAFLPSPTSLLQRNSVLCVKKI
+>DECOY_NM_003453|275204_2_1115_1437
+IKKVCLVSNRQLLSTPSPLFAPPLVFSTLQDKENILQRARRYLNKATQVLSKLQNLLSSNSLRSSFRNLFHPWQHYLTWGQNNRIVPFHLQSPSGLILIIILQLNEM
+>NM_015189|275317_2_262_374
+MVKNMDVSWRSLKLVSVITTEKLRKCATFITRALWTL
+>DECOY_NM_015189|275317_2_262_374
+LTWLARTIFTACKRLKETTIVSVLKLSRWSVDMNKVM
+>NM_015189|275318_2_274_374
+MDVSWRSLKLVSVITTEKLRKCATFITRALWTL
+>DECOY_NM_015189|275318_2_274_374
+LTWLARTIFTACKRLKETTIVSVLKLSRWSVDM
+>NM_030794|275348_2_1455_1555
+MVLLKKEITLCKADQEKVPPLQRQKKIHFLKDL
+>DECOY_NM_030794|275348_2_1455_1555
+LDKLFHIKKQRQLPPVKEQDAKCLTIEKKLLVM
+>NM_004229|275546_2_921_1054
+MGELWFIACKSASSINWCSLGSLLMRNLFRICTTAYILSVYHFS
+>DECOY_NM_004229|275546_2_921_1054
+SFHYVSLIYATTCIRFLNRMLLSGLSCWNISSASKCAIFWLEGM
+>NM_004229|275551_2_2574_2776
+MEPPREAQLVSNGIRSIKNSTFLWELLAQTQVAVTVTIPFSISFKKCSTKHQMWFSYYRYCLILRLH
+>DECOY_NM_004229|275551_2_2574_2776
+HLRLILCYRYYSFWMQHKTSCKKFSISFPITVTVAVQTQALLEWLFTSNKISRIGNSVLQAERPPEM
+>NM_004229|275560_3_4138_4283
+MECSVLPDDPSQRAADCTSWDACCGAEIQNAIFSSTNSENIGPSPRTC
+>DECOY_NM_004229|275560_3_4138_4283
+CTRPSPGINESNTSSFIANQIEAGCCADWSTCDAARQSPDDPLVSCEM
+>NM_001654|275669_2_884_1005
+MEPPGGAPAQPACPRGGSPHIPSHQQSSASGSPWPMTRRK
+>DECOY_NM_001654|275669_2_884_1005
+KRRTMPWPSGSASSQQHSPIHPSGGRPCAPQAPAGGPPEM
+>NM_018303|275711_3_193_272
+MDEGHNQGRKSGDWPHRPHRLDHLWT
+>DECOY_NM_018303|275711_3_193_272
+TWLHDLRHPRHPWDGSKRGQNHGEDM
+>NM_014358|275783_3_617_744
+MGGRHTFDKVSELLGCRGAQQHSYPGGLCHHERLFKPKAKLE
+>DECOY_NM_014358|275783_3_617_744
+ELKAKPKFLREHHCLGGPYSHQQAGRCGLLESVKDFTHRGGM
+>NM_001091|275802_2_757_980
+MGAQMLGTGPWSRCGTTGSSMGAQRNWLGSMQMERWTWWSWRTRCLGARGMTAQRSRPSSPPTSPAGTSPAPSM
+>DECOY_NM_001091|275802_2_757_980
+MSPAPSTGAPSTPPSSPRSRQATMGRAGLCRTRWSWWTWREMQMSGLWNRQAGMSSGTTGCRSWPGTGLMQAGM
+>NM_199320|275840_2_326_435
+MAACQLLGPRIPDPSIGEAPAPDMKIESLQRCLGQT
+>DECOY_NM_199320|275840_2_326_435
+TQGLCRQLSEIKMDPAPAEGISPDPIRPGLLQCAAM
+>NM_199320|275848_2_983_1083
+MESSRYQRAAGCAGHVPWGFSQNVCCVRRRVEL
+>DECOY_NM_199320|275848_2_983_1083
+LEVRRRVCCVNQSFGWPVHGACGAARQYRSSEM
+>NM_199320|275851_2_1436_1518
+MGPLSVPPGIRWSPLPALSRTGRRPTG
+>DECOY_NM_199320|275851_2_1436_1518
+GTPRRGTRSLAPLPSWRIGPPVSLPGM
+>NM_133468|275978_2_853_1088
+MDPHFCTITAQLVPAGTLLWFARGSAPTLVAVTKARRAVVKSASYECPQKTSKYANLATRFSRMERCGPLSIVPSVLV
+>DECOY_NM_133468|275978_2_853_1088
+VLVSPVISLPGCREMRSFRTALNAYKSTKQPCEYSASKVVARRAKTVAVLTPASGRAFWLLTGAPVLQATITCFHPDM
+>NM_133468|275979_2_1648_1769
+METSSLMWMTLLNLGGWSPMSSATDLRESQCLNCVKGQSR
+>DECOY_NM_133468|275979_2_1648_1769
+RSQGKVCNLCQSERLDTASSMPSWGGLNLLTMWMLSSTEM
+>NM_003711|276140_2_849_985
+MVTLNTTYVEGMQKELRKAGCPSIQATLRFPCTACCLWHFIFKPG
+>DECOY_NM_003711|276140_2_849_985
+GPKFIFHWLCCATCPFRLTAQISPCGAKRLEKQMGEVYTTNLTVM
+>NM_007074|276156_2_681_754
+MEASFVPPAVTSACASSSPAKALS
+>DECOY_NM_007074|276156_2_681_754
+SLAKAPSSSACASTVAPPVFSAEM
+>NM_019014|276208_3_1170_1339
+MENQRAWLYSVWSFNALCEGRTFRCQYEPPLLGKWHCYVELYLPKRTVLSSFGICT
+>DECOY_NM_019014|276208_3_1170_1339
+TCIGFSSLVTRKPLYLEVYCHWKGLLPPEYQCRFTRGECLANFSWVSYLWARQNEM
+>NM_006909|276267_2_2671_2756
+MGVHQALTTPREHVIKSLLYGERLPIEF
+>DECOY_NM_006909|276267_2_2671_2756
+FEIPLREGYLLSKIVHERPTTLAQHVGM
+>NM_006909|276272_3_3227_3348
+MGGSGGHLPMPAQLQRRAGDHLGLKQKCHLQAEENLGQGL
+>DECOY_NM_006909|276272_3_3227_3348
+LGQGLNEEAQLHCKQKLGLHDGARRQLQAPMPLHGGSGGM
+>NM_015520|276360_3_1797_1918
+MDRRSLSPCASCYSKPPSKQSRASQRSSTSGQTLFYTKPF
+>DECOY_NM_015520|276360_3_1797_1918
+FPKTYFLTQGSTSSRQSARSQKSPPKSYCSACPSLSRRDM
+>NM_173595|276413_2_973_1166
+MGLTSTTRAKKGKVLCTWLQSMAVSHAPRSSSRMAARLIVPTNLGTRHCMWLLDMDTSCSSAPS
+>DECOY_NM_173595|276413_2_973_1166
+SPASSCSTDMDLLWMCHRTGLNTPVILRAAMRSSSRPAHSVAMSQLWTCLVKGKKARTTSTLGM
+>NM_173595|276415_2_1072_1166
+MAARLIVPTNLGTRHCMWLLDMDTSCSSAPS
+>DECOY_NM_173595|276415_2_1072_1166
+SPASSCSTDMDLLWMCHRTGLNTPVILRAAM
+>NM_173595|276421_2_3037_3128
+MGPQCWLWMKKVTPQHWPVPPTKMWQTAWP
+>DECOY_NM_173595|276421_2_3037_3128
+PWATQWMKTPPVPWHQPTVKKMWLWCQPGM
+>NM_015695|276470_2_954_1192
+MASHTSLRASGYAAAACSLPPGLWIASFAPIRVAPSNRPVMGTGPMWCVPSGSLKSALLTPCSWNLLRALTISRLPAGN
+>DECOY_NM_015695|276470_2_954_1192
+NGAPLRSITLARLLNWSCPTLLASKLSGSPVCWMPGTGMVPRNSPAVRIPAFSAIWLGPPLSCAAAAYGSARLSTHSAM
+>NM_015695|276471_2_1074_1192
+MGTGPMWCVPSGSLKSALLTPCSWNLLRALTISRLPAGN
+>DECOY_NM_015695|276471_2_1074_1192
+NGAPLRSITLARLLNWSCPTLLASKLSGSPVCWMPGTGM
+>NM_015695|276474_2_1767_1858
+MVSLLSGACTPICSPKETLSSESRMRRQVQ
+>DECOY_NM_015695|276474_2_1767_1858
+QVQRRMRSESSLTEKPSCIPTCAGSLLSVM
+>NM_015695|276480_2_2694_2800
+MGTEMTPNCLLRQPWSPLGLHLPCLSKNPPRSPLL
+>DECOY_NM_015695|276480_2_2694_2800
+LLPSRPPNKSLCPLHLGLPSWPQRLLCNPTMETGM
+>NM_015695|276483_2_2928_3217
+MASTDYPSWPLTPRPVPHLVVWVAAHQSSSRRPRMGLSYREAQTGSWRMARTMVWQALLPLQPASRKSATPGSGQGAGAVVRAKGRGPPSRRKRQA
+>DECOY_NM_015695|276483_2_2928_3217
+AQRKRRSPPGRGKARVVAGAGQGSGPTASKRSAPQLPLLAQWVMTRAMRWSGTQAERYSLGMRPRRSSSQHAAVWVVLHPVPRPTLPWSPYDTSAM
+>NM_015695|276484_2_3030_3217
+MGLSYREAQTGSWRMARTMVWQALLPLQPASRKSATPGSGQGAGAVVRAKGRGPPSRRKRQA
+>DECOY_NM_015695|276484_2_3030_3217
+AQRKRRSPPGRGKARVVAGAGQGSGPTASKRSAPQLPLLAQWVMTRAMRWSGTQAERYSLGM
+>NM_015695|276485_2_3072_3217
+MARTMVWQALLPLQPASRKSATPGSGQGAGAVVRAKGRGPPSRRKRQA
+>DECOY_NM_015695|276485_2_3072_3217
+AQRKRRSPPGRGKARVVAGAGQGSGPTASKRSAPQLPLLAQWVMTRAM
+>NM_015695|276486_2_3084_3217
+MVWQALLPLQPASRKSATPGSGQGAGAVVRAKGRGPPSRRKRQA
+>DECOY_NM_015695|276486_2_3084_3217
+AQRKRRSPPGRGKARVVAGAGQGSGPTASKRSAPQLPLLAQWVM
+>NM_015695|276487_2_3396_3502
+MAQAEASCCPLKTAETWSPWSWCGPSAEATPPTLP
+>DECOY_NM_015695|276487_2_3396_3502
+PLTPPTAEASPGCWSWPSWTEATKLPCCSAEAQAM
+>NM_004341|276567_2_583_788
+MEQNLHPCHSWTPMPAPWYQRSPLRLHGYSIQGVPLGSLLWTVASSIIRSDASASVGLRSLWYPGTMH
+>DECOY_NM_004341|276567_2_583_788
+HMTGPYWLSRLGVSASADSRIISSAVTWLLSGLPVGQISYGHLRLPSRQYWPAPMPTWSHCPHLNQEM
+>NM_004341|276569_2_1045_1232
+MGLLWRQTHCQQTGLLSSPTPMMVPMKALCTTACLSSVSSFTQSTKLALQIWNCFSISFWKL
+>DECOY_NM_004341|276569_2_1045_1232
+LKWFSISFCNWIQLALKTSQTFSSVSSLCATTCLAKMPVMMPTPSSLLGTQQCHTQRWLLGM
+>NM_004341|276571_2_1111_1232
+MVPMKALCTTACLSSVSSFTQSTKLALQIWNCFSISFWKL
+>DECOY_NM_004341|276571_2_1111_1232
+LKWFSISFCNWIQLALKTSQTFSSVSSLCATTCLAKMPVM
+>NM_004341|276585_2_5575_5651
+MDRMYGSGHRGLFLSSHPQPLPLVR
+>DECOY_NM_004341|276585_2_5575_5651
+RVLPLPQPHSSLFLGRHGSGYMRDM
+>NM_004341|276590_2_6301_6380
+MGSESTPPRPCWTSSPSVRSWELSMA
+>DECOY_NM_004341|276590_2_6301_6380
+AMSLEWSRVSPSSTWCPRPPTSESGM
+>NM_004341|276594_3_4901_5034
+MALPPPHCGSRRAANRGCCPHGGSAHSALSAHMSRGTEGGDPAN
+>DECOY_NM_004341|276594_3_4901_5034
+NAPDGGETGRSMHASLASHASGGHPCCGRNAARRSGCHPPPLAM
+>NM_020194|276603_2_756_862
+MDSWSEMILCGTDQILPQEIKFQGSRHRFLHRSTL
+>DECOY_NM_020194|276603_2_756_862
+LTSRHLFRHRSGQFKIEQPLIQDTGCLIMESWSDM
+>NM_020194|276605_2_915_1096
+MELIFPLLVAFCRLSSLLLVGHTSRSWMCWMKIADLCCVVGLLPPLLILIMTTSGMAFQI
+>DECOY_NM_020194|276605_2_915_1096
+IQFAMGSTTMILILLPPLLGVVCCLDAIKMWCMWSRSTHGVLLLSSLRCFAVLLPFILEM
+>NM_147190|276619_2_486_661
+MELDFSGRHLGSGTSDSAGITIHFSLFQVGFITIISWNWPSIGPLCFLSLQTLKERTS
+>DECOY_NM_147190|276619_2_486_661
+STREKLTQLSLFCLPGISPWNWSIITIFGVQFLSFHITIGASDSTGSGLHRGSFDLEM
+>NM_001670|276678_2_872_1233
+MAACPEGWACGPHVLAPLAQALVMAASHCLATGKPSRWVLSLGHQVAAPCPSASRQSRMAWRMTRAAWPLMTRVALSWSLTMARPQGGGLSVGGAFIPGPTRTQQMMAASWRTSGLRSQW
+>DECOY_NM_001670|276678_2_872_1233
+WQSRLGSTRWSAAMMQQTRTPGPIFAGGVSLGGGQPRAMTLSWSLAVRTMLPWAARTMRWAMRSQRSASPCPAAVQHGLSLVWRSPKGTALCHSAAMVLAQALPALVHPGCAWGEPCAAM
+>NM_001670|276679_2_941_1233
+MAASHCLATGKPSRWVLSLGHQVAAPCPSASRQSRMAWRMTRAAWPLMTRVALSWSLTMARPQGGGLSVGGAFIPGPTRTQQMMAASWRTSGLRSQW
+>DECOY_NM_001670|276679_2_941_1233
+WQSRLGSTRWSAAMMQQTRTPGPIFAGGVSLGGGQPRAMTLSWSLAVRTMLPWAARTMRWAMRSQRSASPCPAAVQHGLSLVWRSPKGTALCHSAAM
+>NM_001670|276683_2_1115_1233
+MARPQGGGLSVGGAFIPGPTRTQQMMAASWRTSGLRSQW
+>DECOY_NM_001670|276683_2_1115_1233
+WQSRLGSTRWSAAMMQQTRTPGPIFAGGVSLGGGQPRAM
+>NM_001010877|276705_2_1840_2102
+MGVPSVEKTSASRQNSPNTEGSTLKRNVTGVRSVGKPFVITVSAGLMNESIQGRSPINAGIVGKPSKISTALPSIRESTLERNLTNV
+>DECOY_NM_001010877|276705_2_1840_2102
+VNTLNRELTSERISPLATSIKSPKGVIGANIPSRGQISENMLGASVTIVFPKGVSRVGTVNRKLTSGETNPSNQRSASTKEVSPVGM
+>NM_003165|276731_2_1670_1749
+MGTGIRTRPQASTAVAPASSFSSLGV
+>DECOY_NM_003165|276731_2_1670_1749
+VGLSSFSSAPAVATSAQPRTRIGTGM
+>NM_003165|276732_3_195_301
+MEGAGGGSVKHEDAVLLLQDDRHHDRGHNDCGRYQ
+>DECOY_NM_003165|276732_3_195_301
+QYRGCDNHGRDHHRDDQLLLVADEHKVSGGGAGEM
+>NM_001040105|276875_2_12465_12586
+MGARIRPLAARMEAPGMGSSASVPTSIMGSCVRRWSAALT
+>DECOY_NM_001040105|276875_2_12465_12586
+TLAASWRRVCSGMISTPVSASSGMGPAEMRAALPRIRAGM
+>NM_001040105|276876_2_12498_12586
+MEAPGMGSSASVPTSIMGSCVRRWSAALT
+>DECOY_NM_001040105|276876_2_12498_12586
+TLAASWRRVCSGMISTPVSASSGMGPAEM
+>NM_001040105|276877_2_12513_12586
+MGSSASVPTSIMGSCVRRWSAALT
+>DECOY_NM_001040105|276877_2_12513_12586
+TLAASWRRVCSGMISTPVSASSGM
+>NM_015557|276938_2_881_1494
+MGRKRAKGKRRPGSSSASGGSATRGRKAPRVKKMRGRSRTSTAPASTVPPCAPNALQPWARRARGGARRRGLMMVTAMRQTTRITVRCASRVGRSSCATPARGPTISYAWTQSWRRLPRASGAAPTVRRRGSSGSRRTTTMKRRRAAARRRRTTTWSSAACARTGASCSAATPAPPPTTCIASTRRCPRSQTVNGSARAVLAPH
+>DECOY_NM_015557|276938_2_881_1494
+HPALVARASGNVTQSRPCRRTSAICTTPPPAPTAASCSAGTRACAASSWTTTRRRRAAARRRKMTTTRRSGSSGRRRVTPAAGSARPLRRWSQTWAYSITPGRAPTACSSRGVRSACRVTIRTTQRMATVMMLGRRRAGGRARRAWPQLANPACPPVTSAPATSTRSRGRMKKVRPAKRGRTASGGSASSSGPRRKGKARKRGM
+>NM_015557|276941_2_1100_1494
+MVTAMRQTTRITVRCASRVGRSSCATPARGPTISYAWTQSWRRLPRASGAAPTVRRRGSSGSRRTTTMKRRRAAARRRRTTTWSSAACARTGASCSAATPAPPPTTCIASTRRCPRSQTVNGSARAVLAPH
+>DECOY_NM_015557|276941_2_1100_1494
+HPALVARASGNVTQSRPCRRTSAICTTPPPAPTAASCSAGTRACAASSWTTTRRRRAAARRRKMTTTRRSGSSGRRRVTPAAGSARPLRRWSQTWAYSITPGRAPTACSSRGVRSACRVTIRTTQRMATVM
+>NM_015557|276959_2_5147_5346
+MVTKRKMTRGRRRTRRGNSSSCSTSRTGASRSCTRCGRTRSGLLYPLGKSTTSGTGAMTTGCWRAS
+>DECOY_NM_015557|276959_2_5147_5346
+SARWCGTTMAGTGSTTSKGLPYLLGSRTRGCRTCSRSAGTRSTSCSSSNGRRTRRRGRTMKRKTVM
+>NM_015557|276974_3_2169_2257
+MVHRLHRRHTAPVPAGGPQLAALLLGPGH
+>DECOY_NM_015557|276974_3_2169_2257
+HGPGLLLAALQPGGAPVPATHRRHLRHVM
+>NM_004245|277006_2_255_334
+MASSTKAARTGSAHVPGTMDSLKTKS
+>DECOY_NM_004245|277006_2_255_334
+SKTKLSDMTGPVHASGTRAAKTSSAM
+>NM_004245|277009_2_465_547
+MGCSMETGVRITQTAPTLRSGRAAWPS
+>DECOY_NM_004245|277009_2_465_547
+SPWAARGSRLTPATQTIRVGTEMSCGM
+>NM_004245|277015_2_1413_1540
+MAAPCPHSGRTQRSSHSLLKKQRPTPAKSPIPSTASTCQQTS
+>DECOY_NM_004245|277015_2_1413_1540
+STQQCTSATSPIPSKAPTPRQKKLLSHSSRQTRGSHPCPAAM
+>NM_001033057|277056_2_4373_4827
+MGLRGNPTAGHADPRTGRRRDGGTRRPSARQPPTAPRGGPQRSGGRAPAAPTTLWRGGRSTRRDETSLRSGGESGHPPAGETAPPAGGDGLWRDSWSRGGPPSAGEGARPSAGPSPPTGGAHAPPSAGESGPWTKGTERTEPATEKGKRRI
+>DECOY_NM_001033057|277056_2_4373_4827
+IRRKGKETAPETRETGKTWPGSEGASPPAHAGGTPPSPGASPRAGEGASPPGGRSWSDRWLGDGGAPPATEGAPPHGSEGGSRLSTEDRRTSRGGRWLTTPAAPARGGSRQPGGRPATPPQRASPRRTGGDRRRGTRPDAHGATPNGRLGM
+>NM_020738|277161_2_360_442
+MELTAIWKIWIIGQHLYLHRKKGMCTS
+>DECOY_NM_020738|277161_2_360_442
+STCMGKKRHLYLHQGIIWIKWIATLEM
+>NM_020738|277163_2_1359_1438
+MGDYFIGPTKQARLLIILTVAIRRVF
+>DECOY_NM_020738|277163_2_1359_1438
+FVRRIAVTLIILLRAQKTPGIFYDGM
+>NM_020738|277179_3_2131_2222
+MEKNMLSPIFCHLPFYHWLHYIWNYSSGYI
+>DECOY_NM_020738|277179_3_2131_2222
+IYGSSYNWIYHLWHYFPLHCFIPSLMNKEM
+>NM_014689|277248_2_1629_1702
+MATSTPSLQDNQKNLTSRDFQRNG
+>DECOY_NM_014689|277248_2_1629_1702
+GNRQFDRSTLNKQNDQLSPTSTAM
+>NM_014689|277251_2_2721_2797
+MVGVTLNGLMVANHFSKCRHLLYQQ
+>DECOY_NM_014689|277251_2_2721_2797
+QQYLLHRCKSFHNAVMLGNLTVGVM
+>NM_014689|277257_2_4449_4567
+MELSKDPILPARHQVSCHNGCTPLPVMKAISSTDHKLYL
+>DECOY_NM_014689|277257_2_4449_4567
+LYLKHDTSSIAKMVPLPTCGNHCSVQHRAPLIPDKSLEM
+>NM_018948|277336_2_499_608
+MVHLKSPACPLFLFPQVKTWDHMKRIKLYVVLRNSQ
+>DECOY_NM_018948|277336_2_499_608
+QSNRLVVYLKIRKMHDWTKVQPFLFLPCAPSKLHVM
+>NM_018948|277343_2_1402_1601
+MGRRLVQHIITYYLNDHHTWTNMKNFLGKQKKQMEAPKSSHYLLTAVYLQPQKSQTQKQKWIWVAT
+>DECOY_NM_018948|277343_2_1402_1601
+TAVWIWKQKQTQSKQPQLYVATLLYHSSKPAEMQKKQKGLFNKMNTWTHHDNLYYTIIHQVLRRGM
+>NM_005880|277367_2_527_651
+MARQPNYNLARMCSVVHAVAKAESLELSKSVVLVEVEVCAS
+>DECOY_NM_005880|277367_2_527_651
+SACVEVEVLVVSKSLELSEAKAVAHVVSCMRALNYNPQRAM
+>NM_005880|277369_2_821_948
+MDRELHSLGKQTRPQEWNPETLFFCYRRKNMRYFREMGMICT
+>DECOY_NM_005880|277369_2_821_948
+TCIMGMERFYRMNKRRYCFFLTEPNWEQPRTQKGLSHLERDM
+>NM_022136|277388_2_551_657
+MAPIQDHSVAVPECIRISRQVPMTLTPSKSRKETS
+>DECOY_NM_022136|277388_2_551_657
+STEKRSKSPTLTMPVQRSIRICEPVAVSHDQIPAM
+>NM_012431|277419_2_2084_2244
+MAYPAPGITQQAHMQKGVSGDKMFDMEMQLSSALDNSLLGMLWIRLKNIWLMA
+>DECOY_NM_012431|277419_2_2084_2244
+AMLWINKLRIWLMGLLSNDLASSLQMEMDFMKDGSVGKQMHAQQTIGPAPYAM
+>NM_012431|277422_2_2159_2244
+MEMQLSSALDNSLLGMLWIRLKNIWLMA
+>DECOY_NM_012431|277422_2_2159_2244
+AMLWINKLRIWLMGLLSNDLASSLQMEM
+>NM_053044|277480_2_835_1010
+MGTPMRPPSKTSTRSRTLPPSRSIPRKSSLCCCWVTRPTCGLGSLWWPSAVPSPYRTQ
+>DECOY_NM_053044|277480_2_835_1010
+QTRYPSPVASPWWLSGLGCTPRTVWCCCLSSKRPISRSPPLTRSRTSTKSPPRMPTGM
+>NM_001142549|277511_2_187_263
+METILTGLQLHHQKWMMDLLEEIIS
+>DECOY_NM_001142549|277511_2_187_263
+SIIEELLDMMWKQHHLQLGTLITEM
+>NM_001142549|277513_2_406_503
+MVIALVSGESLVMTAKIIQHGTEGFPREAIMT
+>DECOY_NM_001142549|277513_2_406_503
+TMIAERPFGETGHQIIKATMVLSEGSVLAIVM
+>NM_016616|277541_2_1546_1682
+MACNTGNNYWDQELLKKPLNIFQRVYVHSLRWTVCRSTSCMAAIH
+>DECOY_NM_016616|277541_2_1546_1682
+HIAAMCSTSRCVTWRLSHVYVRQFINLPKKLLEQDWYNNGTNCAM
+>NM_183352|277588_2_189_436
+MAPAWQPAHQTGPSKSLMCAMEGRSLSPTSGVMRVLCGKWPGLTPCTATSWHRAPMTGKSLSGERKTAPGRRATSMRDTTPQ
+>DECOY_NM_183352|277588_2_189_436
+QPTTDRMSTARRGPATKREGSLSKGTMPARHWSTATCPTLGPWKGCLVRMVGSTPSLSRGEMACMLSKSPGTQHAPQWAPAM
+>NM_183352|277590_2_249_436
+MEGRSLSPTSGVMRVLCGKWPGLTPCTATSWHRAPMTGKSLSGERKTAPGRRATSMRDTTPQ
+>DECOY_NM_183352|277590_2_249_436
+QPTTDRMSTARRGPATKREGSLSKGTMPARHWSTATCPTLGPWKGCLVRMVGSTPSLSRGEM
+>NM_183352|277594_2_828_922
+MVVCSFGPVMMPQAIRGPLNCCTSSTMWCGM
+>DECOY_NM_183352|277594_2_828_922
+MGCWMTSSTCCNLPGRIAQPMMVPGFSCVVM
+>NM_024415|277657_2_406_584
+MVIALVSGESLVMTAKIIQHGTEGFPREAAIEMEIIQKLQGHTEEVEEVVSEVAVEDLV
+>DECOY_NM_024415|277657_2_406_584
+VLDEVAVESVVEEVEETHGQLKQIIEMEIAAERPFGETGHQIIKATMVLSEGSVLAIVM
+>NM_024415|277659_2_502_584
+MEIIQKLQGHTEEVEEVVSEVAVEDLV
+>DECOY_NM_024415|277659_2_502_584
+VLDEVAVESVVEEVEETHGQLKQIIEM
+>NM_152260|277709_2_1060_1247
+MASPVWYGAGHSQAAHTRFESTFSSWAIPFSTTPSTTQLPGVLLEAGAATFPRQTRSCYGTW
+>DECOY_NM_152260|277709_2_1060_1247
+WTGYCSRTQRPFTAAGAELLVGPLQTTSPTTSFPIAWSSFTSEFRTHAAQSHGAGYWVPSAM
+>NM_018177|277781_2_2886_3010
+MAESHSVMMLQSHSIAINMMLIKILTKTHSTLWVTGLHLIL
+>DECOY_NM_018177|277781_2_2886_3010
+LILHLGTVWLTSHTKTLIKILMMNIAISHSQLMMVSHSEAM
+>NM_018177|277786_2_3879_3955
+MGLVLVTLTHSLLVMQKEETQSRRK
+>DECOY_NM_018177|277786_2_3879_3955
+KRRSQTEEKQMVLLSHTLTVLVLGM
+>NM_018177|277789_3_4603_4697
+MERICNGATKTRRGVLWQVYARSFLGWTYWA
+>DECOY_NM_018177|277789_3_4603_4697
+AWYTWGLFSRAYVQWLVGRRTKTAGNCIREM
+>NM_016530|277815_3_278_360
+MGHSGSGKIPNNHDSVLQRSHGHYAGL
+>DECOY_NM_016530|277815_3_278_360
+LGAYHGHSRQLVSDHNNPIKGSGSHGM
+>NM_007158|277837_2_1082_1173
+MVKKLQQMSDYCLKEQSFLKISALNILKEL
+>DECOY_NM_007158|277837_2_1082_1173
+LEKLINLASIKLFSQEKLCYDSMQQLKKVM
+>NM_007158|277838_2_1445_1545
+MVLVSSSVWIVMFVCSSTSVKFWMGTSSILQMK
+>DECOY_NM_007158|277838_2_1445_1545
+KMQLISSTGMWFKVSTSSCVFMVIWVSSSVLVM
+>NM_018903|277953_3_1563_1858
+MGRGRAEERAGVLLAGGAAGGRARTVELRVGARGERQGVRAAAARPRGAGAAAVPGERARRRRAASGQQRDAAGVRAGRERQRAGTAGDSGWQRRRRS
+>DECOY_NM_018903|277953_3_1563_1858
+SRRRRQWGSDGATGARQRERGARVGAADRQQGSAARRRRAREGPVAAAGAGRPRAAAARVGQREGRAGVRLEVTRARGGAAGGALLVGAREEARGRGM
+>NM_020632|278047_2_1001_1077
+MGFEPLSTLAQSLRWSAERCWRASM
+>DECOY_NM_020632|278047_2_1001_1077
+MSARWCREASWRLSQALTSLPEFGM
+>NM_020632|278050_2_2411_2568
+MEKSSTLETSLSTKPSTPSSTAWAAFQTQPPTCGSGPSAWLMHNCLKCSGLW
+>DECOY_NM_020632|278050_2_2411_2568
+WLGSCKLCNHMLWASPGSGCTPPQTQFAAWATSSPTSPKTSLSTELTSSKEM
+>NM_013449|278108_2_1866_1954
+MGGGERCASRRAATDGRGRPGIMAPVGRG
+>DECOY_NM_013449|278108_2_1866_1954
+GRGVPAMIGPRGRGDTAARRSACREGGGM
+>NM_013449|278122_2_5277_5446
+MGVTVAATFTAIVPRWRLSQKEIGSVLSVWLSRWRENSLRSLVSQSVARSGKVVIR
+>DECOY_NM_013449|278122_2_5277_5446
+RIVVKGSRAVSQSVLSRLSNERWRSLWVSLVSGIEKQSLRWRPVIATFTAAVTVGM
+>NM_006323|278163_2_1124_1557
+MVNMLITKLAPHQLPCHQLPMMRKRRRRMRKQVLTALLPQAVLLQCPTVMMPWKEAVTQICFLHQQAVLLLIPPLNLILLLLQLQLQLQLLSSLSLQKWLSLLAMAIQHFSLVIRMLQHHLFLEYSPVTRYILDSSSILNSILV
+>DECOY_NM_006323|278163_2_1124_1557
+VLISNLISSSDLIYRTVPSYELFLHHQLMRIVLSFHQIAMALLSLWKQLSLSSLLQLQLQLQLLLLILNLPPILLLVAQQHLFCIQTVAEKWPMMVTPCQLLVAQPLLATLVQKRMRRRRKRMMPLQHCPLQHPALKTILMNVM
+>NM_006323|278170_2_1436_1557
+MAIQHFSLVIRMLQHHLFLEYSPVTRYILDSSSILNSILV
+>DECOY_NM_006323|278170_2_1436_1557
+VLISNLISSSDLIYRTVPSYELFLHHQLMRIVLSFHQIAM
+>NM_001077208|278220_2_3027_3121
+MADQELHHHLQLMHCLLEQQVLRMVGMTLQL
+>DECOY_NM_001077208|278220_2_3027_3121
+LQLTMGVMRLVQQELLCHMLQLHHHLEQDAM
+>NM_005415|278366_3_2131_2237
+MASTLWWCWYLCWSVGLGKKSYPDHGEGSDTDHTL
+>DECOY_NM_005415|278366_3_2131_2237
+LTHDTDSGEGHDPYSKKGLGVSWCLYWCWWLTSAM
+>NM_017553|278404_2_241_419
+MEAALSWQSPSIFSTWRGPSGWTIFCDKRQLSSIGIFLVMTVKMDWMTVIHYCPSLGIP
+>DECOY_NM_017553|278404_2_241_419
+PIGLSPCYHIVTMWDMKVTMVLFIGISSLQRKDCFITWGSPGRWTSFISPSQWSLAAEM
+>NM_017553|278423_2_3460_3542
+MAGLSSGFQARRASSLTVESCMPLMSC
+>DECOY_NM_017553|278423_2_3460_3542
+CSMLPMCSEVTLSSARRAQFGSSLGAM
+>NM_054027|278554_3_765_994
+MDPCWHSLKTQIQFPGGMCLNLRCHSSGCFCSHFASQSPGMPGAPAHPDPLLVHGRTCALHHPVPGLLQEHSRHHP
+>DECOY_NM_054027|278554_3_765_994
+PHHRSHEQLLGPVPHHLACTRGHVLLPDPHAPAGPMGPSQSAFHSCFCGSSHCRLNLCMGGPFQIQTKLSHWCPDM
+>NM_012414|278598_2_303_433
+MVGEHGKKMNHKNLKKKEILAKHKKLPGSKIVFYPYLQPMILW
+>DECOY_NM_012414|278598_2_303_433
+WLIMPQLYPYFVIKSGPLKKHKALIEKKKLNKHNMKKGHEGVM
+>NM_032866|278649_2_344_417
+MVLCQRRTVKNFSFQKTHTPSLAQ
+>DECOY_NM_032866|278649_2_344_417
+QALSPTHTKQFSFNKVTRRQCLVM
+>NM_018910|278685_2_121_230
+MATSWAASRRTWGWSWRSWCRACSGRCANSVGIFWR
+>DECOY_NM_018910|278685_2_121_230
+RWFIGVSNACRGSCARCWSRWSWGWTRRSAAWSTAM
+>NM_015090|278798_2_1560_1645
+MATCWPTPLSVCWMCRLGCCRPGTSSFE
+>DECOY_NM_015090|278798_2_1560_1645
+EFSSTGPRCCGLRCMWCVSLPTPWCTAM
+>NM_015090|278806_2_3021_3160
+MGLAVRPRSSPPRKEYPVPLGVSESGSPTWRQSTWNGIILSIQMGS
+>DECOY_NM_015090|278806_2_3021_3160
+SGMQISLIIGNWTSQRWTPSGSESVGLPVPYEKRPPSSRPRVALGM
+>NM_003618|278886_2_1157_1257
+MVFWTVQKKYTTLQDLIWICNWNMDKDTKVVTF
+>DECOY_NM_003618|278886_2_1157_1257
+FTVVKTDKDMNWNCIWILDQLTTYKKQVTWFVM
+>NM_003618|278889_2_1604_1761
+MAHYVNNRMNIEAQTFQEKKRKMYQSLLVMVFLQHLKCIWVHVFQKFLMGVP
+>DECOY_NM_003618|278889_2_1604_1761
+PVGMLFKQFVHVWICKLHQLFVMVLLSQYMKRKKEQFTQAEINMRNNVYHAM
+>NM_003618|278896_3_1782_1855
+MDKPRYKRSVLDIWCRRRDLYPQS
+>DECOY_NM_003618|278896_3_1782_1855
+SQPYLDRRRCWIDLVSRKYRPKDM
+>NM_177983|278934_2_385_488
+MDMEGRKLPCTVPNIFLISSKIRRPTRKASYRRL
+>DECOY_NM_177983|278934_2_385_488
+LRRYSAKRTPRRIKSSILFINPVTCPLKRGEMDM
+>NM_177983|278935_2_391_488
+MEGRKLPCTVPNIFLISSKIRRPTRKASYRRL
+>DECOY_NM_177983|278935_2_391_488
+LRRYSAKRTPRRIKSSILFINPVTCPLKRGEM
+>NM_177983|278937_2_817_1142
+MAPQPRPTQAFPPTRNVGLRQAKLVSLAFPLVRLGLPALQPLTSCLELLSPSSLRTVRMSQMRRRKKRKTVRNAARKRMATAVRRQRMRKMRMTPRRLKRTMKKKKKR
+>DECOY_NM_177983|278937_2_817_1142
+RKKKKKMTRKLRRPTMRMKRMRQRRVATAMRKRAANRVTKRKKRRRMQSMRVTRLSSPSLLELCSTLPQLAPLGLRVLPFALSVLKAQRLGVNRTPPFAQTPRPQPAM
+>NM_177983|278940_2_1051_1142
+MATAVRRQRMRKMRMTPRRLKRTMKKKKKR
+>DECOY_NM_177983|278940_2_1051_1142
+RKKKKKMTRKLRRPTMRMKRMRQRRVATAM
+>NM_177983|278944_2_1603_1700
+MGSFGYCHPLWKSCWISAWHQTLLGMVQGVTT
+>DECOY_NM_177983|278944_2_1603_1700
+TTVGQVMGLLTQHWASIWCSKWLPHCYGFSGM
+>NM_006035|279086_2_914_1113
+MALCSPPWPWAHLTTSRRRSCRRWRTAWANTGLSVTGGLWVSACMRCSMEKRRFMRSHSWRPMGRS
+>DECOY_NM_006035|279086_2_914_1113
+SRGMPRWSHSRMFRRKEMSCRMCASVWLGGTVSLGTNAWATRWRRCSRRRSTTLHAWPWPPSCLAM
+>NM_020533|279133_2_189_274
+MGPRRGLHRPLRHPQKRKTFAVVSNTFS
+>DECOY_NM_020533|279133_2_189_274
+SFTNSVVAFTKRKQPHRLPRHLGRRPGM
+>NM_005435|279194_2_1265_1347
+MGAGWGQWEERGAGKRRMSIMGLQCPL
+>DECOY_NM_005435|279194_2_1265_1347
+LPCQLGMISMRRKGAGREEWQGWGAGM
+>NM_138793|279257_3_871_977
+MGQRPWGPGVPPGGEGERHGAIRPDCFQWETLLRG
+>DECOY_NM_138793|279257_3_871_977
+GRLLTEWQFCDPRIAGHREGEGGPPVGPGWPRQGM
+>NM_007317|279302_3_524_672
+MGPFCHHVLPRDLPGEGIRPPGPCFGRPGNPRRLPGEYPDSGSLPEAHQ
+>DECOY_NM_007317|279302_3_524_672
+QHAEPLSGSDPYEGPLRRPNGPRGFCPGPPRIGEGPLDRPLVHHCFPGM
+>NM_182706|279439_2_1946_2154
+MAPTHPGLLGPRRRRRRRKRVVLRRRRKRRRRKTGLKRKRPALRRRTRRGPWFLRPLSRECRLTRPITC
+>DECOY_NM_182706|279439_2_1946_2154
+CTIPRTLRCERSLPRLFWPGRRTRRRLAPRKRKLGTKRRRRKRRRRLVVRKRRRRRRRPGLLGPHTPAM
+>NM_182706|279446_2_4844_4935
+MEKWLWCFWAGPHPALWALKMWHCAAAAAP
+>DECOY_NM_182706|279446_2_4844_4935
+PAAAAACHWMKLAWLAPHPGAWFCWLWKEM
+>NM_182625|279570_2_899_1008
+MDADYVKVINIVSHMTMNTAVLVSGTVQNMIGNSVK
+>DECOY_NM_182625|279570_2_899_1008
+KVSNGIMNQVTGSVLVATNMTMHSVINIVKVYDADM
+>NM_022914|279589_2_1814_1923
+MVLPSSMSMSHPARPSVLGSKLSGFLPSSWPGPCTF
+>DECOY_NM_022914|279589_2_1814_1923
+FTCPGPWSSPLFGSLKSGLVSPRAPHSMSMSSPLVM
+>NM_020449|279662_2_3788_4131
+MEIVALTATKLLKKMTKKKGKRKKKRKKKRLQLLLQRPGYLVKMVKKNQRKSGQIKMKKQERPRKERRSLTKRKKNSRRKKKLKMRNLRPLSPTQNQNQLKKGKERRSHPEKEI
+>DECOY_NM_020449|279662_2_3788_4131
+IEKEPHSRREKGKKLQNQNQTPSLPRLNRMKLKKKRRSNKKRKTLSRREKRPREQKKMKIQGSKRQNKKVMKVLYGPRQLLLQLRKKKRKKKRKGKKKTMKKLLKTATLAVIEM
+>NM_020449|279664_2_3917_4131
+MVKKNQRKSGQIKMKKQERPRKERRSLTKRKKNSRRKKKLKMRNLRPLSPTQNQNQLKKGKERRSHPEKEI
+>DECOY_NM_020449|279664_2_3917_4131
+IEKEPHSRREKGKKLQNQNQTPSLPRLNRMKLKKKRRSNKKRKTLSRREKRPREQKKMKIQGSKRQNKKVM
+>NM_020449|279667_2_4535_4728
+MEQWGFQNIKVKVLVNLLIQMRKTRKKISQNLQAKKKAVIHLNLRRWIKSPPVAKRSPGMIKKR
+>DECOY_NM_020449|279667_2_4535_4728
+RKKIMGPSRKAVPPSKIWRRLNLHIVAKKKAQLNQSIKKRTKRMQILLNVLVKVKINQFGWQEM
+>NM_002861|279927_2_145_224
+MAAMTWCITATPTSCARHGPWVTTSS
+>DECOY_NM_002861|279927_2_145_224
+SSTTVWPGHRACSTPTATICWTMAAM
+>NM_080863|279957_3_155_234
+MGGPAAGGCPAVPEPQVPVKSPGPTH
+>DECOY_NM_080863|279957_3_155_234
+HTPGPSKVPVQPEPVAPCGGAAPGGM
+>NM_006726|280032_2_1702_1838
+MGNSLVPLHSRTIHGLQMPSFVLNHLLRTTLQFLFIHHMHSCSRM
+>DECOY_NM_006726|280032_2_1702_1838
+MRSCSHMHHIFLFQLTTRLLHNLVFSPMQLGHITRSHLPVLSNGM
+>NM_006726|280034_2_2152_2237
+MGCPCSSNCVITFFLILPYGFIPQPRFN
+>DECOY_NM_006726|280034_2_2152_2237
+NFRPQPIFGYPLILFFTIVCNSSCPCGM
+>NM_006726|280035_2_2629_2714
+MGYVLSTNFWHRKVKESGYKLLRQWVIF
+>DECOY_NM_006726|280035_2_2629_2714
+FIVWQRLLKYGSEKVKRHWFNTSLVYGM
+>NM_006726|280037_2_4159_4247
+MVVSLRLLLSKRLRSWMFPMLLQILRGWS
+>DECOY_NM_006726|280037_2_4159_4247
+SWGRLIQLLMPFMWSRLRKSLLLRLSVVM
+>NM_006726|280056_3_6869_7005
+MAVHRDTINLFSSLSFAKYSPGDLYGKQSCCDVQLPRPCNSKESG
+>DECOY_NM_006726|280056_3_6869_7005
+GSEKSNCPRPLQVDCCSQKGYLDGPSYKAFSLSSFLNITDRHVAM
+>NM_004525|280148_2_673_1082
+MGPAITPVRSVIGKLIAGTPQMKSTALRYACTMSFHVAMESVSLVLMSVTMTMIAKTAVTNMLATIRPAVVTSSLAPVADAFIKTGFVMEKMTVKIMEMKMDVKAVLMMFINVPQENGLAQSRDDASPFIKFVMGF
+>DECOY_NM_004525|280148_2_673_1082
+FGMVFKIFPSADDRSQALGNEQPVNIFMMLVAKVDMKMEMIKVTMKEMVFGTKIFADAVPALSSTVVAPRITALMNTVATKAIMTMTVSMLVLSVSEMAVHFSMTCAYRLATSKMQPTGAILKGIVSRVPTIAPGM
+>NM_004525|280151_2_787_1082
+MESVSLVLMSVTMTMIAKTAVTNMLATIRPAVVTSSLAPVADAFIKTGFVMEKMTVKIMEMKMDVKAVLMMFINVPQENGLAQSRDDASPFIKFVMGF
+>DECOY_NM_004525|280151_2_787_1082
+FGMVFKIFPSADDRSQALGNEQPVNIFMMLVAKVDMKMEMIKVTMKEMVFGTKIFADAVPALSSTVVAPRITALMNTVATKAIMTMTVSMLVLSVSEM
+>NM_004525|280156_2_937_1082
+MEKMTVKIMEMKMDVKAVLMMFINVPQENGLAQSRDDASPFIKFVMGF
+>DECOY_NM_004525|280156_2_937_1082
+FGMVFKIFPSADDRSQALGNEQPVNIFMMLVAKVDMKMEMIKVTMKEM
+>NM_004525|280158_2_961_1082
+MEMKMDVKAVLMMFINVPQENGLAQSRDDASPFIKFVMGF
+>DECOY_NM_004525|280158_2_961_1082
+FGMVFKIFPSADDRSQALGNEQPVNIFMMLVAKVDMKMEM
+>NM_004525|280160_2_973_1082
+MDVKAVLMMFINVPQENGLAQSRDDASPFIKFVMGF
+>DECOY_NM_004525|280160_2_973_1082
+FGMVFKIFPSADDRSQALGNEQPVNIFMMLVAKVDM
+>NM_004525|280168_2_2212_2504
+MGAVSRSVSSATEQIMMVWVSVASAHSASNWIQMSATALLFRISSFFHPKLLFVGSRSPCLPRKMSWFQFRGILLSLSGLILTPRTALSFFQICQNT
+>DECOY_NM_004525|280168_2_2212_2504
+TNQCIQFFSLATRPTLILGSLSLLIGRFQFWSMKRPLCPSRSGVFLLKPHFFSSIRFLLATASMQIWNSASHASAVSVWVMMIQETASSVSRSVAGM
+>NM_004525|280170_2_2260_2504
+MVWVSVASAHSASNWIQMSATALLFRISSFFHPKLLFVGSRSPCLPRKMSWFQFRGILLSLSGLILTPRTALSFFQICQNT
+>DECOY_NM_004525|280170_2_2260_2504
+TNQCIQFFSLATRPTLILGSLSLLIGRFQFWSMKRPLCPSRSGVFLLKPHFFSSIRFLLATASMQIWNSASHASAVSVWVM
+>NM_004525|280178_2_3316_3767
+MADVCPITISVMESMIVMITVMSNYVAHLIIPVHLRRSPVAMGSAFLHTGAVTNATTVWMAVMSTTAPPTHLLPALTPNTPVIITSVSQRTGSVTQTMIVGMDLMKRTAIRQRHANLVSLIAPIIDVLTYRLSVMVTRIVLMDLMRLVVY
+>DECOY_NM_004525|280178_2_3316_3767
+YVVLRMLDMLVIRTVMVSLRYTLVDIIPAILSVLNAHRQRIATRKMLDMGVIMTQTVSGTRQSVSTIIVPTNPTLAPLLHTPPATTSMVAMWVTTANTVAGTHLFASGMAVPSRRLHVPIILHAVYNSMVTIMVIMSEMVSITIPCVDAM
+>NM_004525|280179_2_3349_3767
+MESMIVMITVMSNYVAHLIIPVHLRRSPVAMGSAFLHTGAVTNATTVWMAVMSTTAPPTHLLPALTPNTPVIITSVSQRTGSVTQTMIVGMDLMKRTAIRQRHANLVSLIAPIIDVLTYRLSVMVTRIVLMDLMRLVVY
+>DECOY_NM_004525|280179_2_3349_3767
+YVVLRMLDMLVIRTVMVSLRYTLVDIIPAILSVLNAHRQRIATRKMLDMGVIMTQTVSGTRQSVSTIIVPTNPTLAPLLHTPPATTSMVAMWVTTANTVAGTHLFASGMAVPSRRLHVPIILHAVYNSMVTIMVIMSEM
+>NM_004525|280183_2_3439_3767
+MGSAFLHTGAVTNATTVWMAVMSTTAPPTHLLPALTPNTPVIITSVSQRTGSVTQTMIVGMDLMKRTAIRQRHANLVSLIAPIIDVLTYRLSVMVTRIVLMDLMRLVVY
+>DECOY_NM_004525|280183_2_3439_3767
+YVVLRMLDMLVIRTVMVSLRYTLVDIIPAILSVLNAHRQRIATRKMLDMGVIMTQTVSGTRQSVSTIIVPTNPTLAPLLHTPPATTSMVAMWVTTANTVAGTHLFASGM
+>NM_004525|280187_2_3619_3767
+MDLMKRTAIRQRHANLVSLIAPIIDVLTYRLSVMVTRIVLMDLMRLVVY
+>DECOY_NM_004525|280187_2_3619_3767
+YVVLRMLDMLVIRTVMVSLRYTLVDIIPAILSVLNAHRQRIATRKMLDM
+>NM_004525|280189_2_3835_4109
+MVFLIAVTTRMKQAVQPGLLVCATQMNFSAKKMVSASRTSGNVMGIQTASMDLMSTMPVSPRLALHHISTVTTETASTGHGSVIGTMTAGI
+>DECOY_NM_004525|280189_2_3835_4109
+IGATMTGIVSGHGTSATETTVTSIHHLALRPSVPMTSMLDMSATQIGMVNGSTRSASVMKKASFNMQTACVLLGPQVAQKMRTTVAILFVM
+>NM_004525|280192_2_3931_4109
+MVSASRTSGNVMGIQTASMDLMSTMPVSPRLALHHISTVTTETASTGHGSVIGTMTAGI
+>DECOY_NM_004525|280192_2_3931_4109
+IGATMTGIVSGHGTSATETTVTSIHHLALRPSVPMTSMLDMSATQIGMVNGSTRSASVM
+>NM_004525|280193_2_3964_4109
+MGIQTASMDLMSTMPVSPRLALHHISTVTTETASTGHGSVIGTMTAGI
+>DECOY_NM_004525|280193_2_3964_4109
+IGATMTGIVSGHGTSATETTVTSIHHLALRPSVPMTSMLDMSATQIGM
+>NM_004525|280198_2_4210_4385
+MASLTAPMGQMSPHFAMGTAAQISMVVVLTSVFKSPLGLNAYVHWDSYLPMILRPVKT
+>DECOY_NM_004525|280198_2_4210_4385
+TKVPRLIMPLYSDWHVYANLGLPSKFVSTLVVVMSIQAATGMAFHPSMQGMPATLSAM
+>NM_004525|280201_2_4258_4385
+MGTAAQISMVVVLTSVFKSPLGLNAYVHWDSYLPMILRPVKT
+>DECOY_NM_004525|280201_2_4258_4385
+TKVPRLIMPLYSDWHVYANLGLPSKFVSTLVVVMSIQAATGM
+>NM_004525|280203_2_4483_4619
+MGGLAKLQHLRVCCYLWQVRTKLLPTVSPPRSTISIHWSRMVLTL
+>DECOY_NM_004525|280203_2_4483_4619
+LTLVMRSWHISITSRPPSVTPLLKTRVQWLYCCVRLHQLKALGGM
+>NM_004525|280211_2_6838_6977
+MGRDQRLSVLSLTVPIEQCLCQRALSHHGAWQWTEVMATFIGLMIL
+>DECOY_NM_004525|280211_2_6838_6977
+LIMLGIFTAMVETWQWAGHHSLARQCLCQEIPVTLSLVSLRQDRGM
+>NM_004525|280212_2_7261_7406
+MVGALISALLCLDCTPQNVTVPLGPCKVMARIVPFQQKISSSLPCLIP
+>DECOY_NM_004525|280212_2_7261_7406
+PILCPLSSSIKQQFPVIRAMVKCPGLPVTVNQPTCDLCLLASILAGVM
+>NM_004525|280217_2_8299_8621
+MVNDVVHLPSPAPMGAASRKSGSVIMTTTVGMAVMRWKVSVHFTPAHRQPSPVPMGDVSNTLTAVITTMTVVMAVMRQGACSGTAMPPRSLCAITEGAYLVSLSAMV
+>DECOY_NM_004525|280217_2_8299_8621
+VMASLSVLYAGETIACLSRPPMATGSCAGQRMVAMVVTMTTIVATLTNSVDGMPVPSPQRHAPTFHVSVKWRMVAMGVTTTMIVSGSKRSAAGMPAPSPLHVVDNVM
+>NM_004525|280220_2_8392_8621
+MAVMRWKVSVHFTPAHRQPSPVPMGDVSNTLTAVITTMTVVMAVMRQGACSGTAMPPRSLCAITEGAYLVSLSAMV
+>DECOY_NM_004525|280220_2_8392_8621
+VMASLSVLYAGETIACLSRPPMATGSCAGQRMVAMVVTMTTIVATLTNSVDGMPVPSPQRHAPTFHVSVKWRMVAM
+>NM_004525|280224_2_8515_8621
+MAVMRQGACSGTAMPPRSLCAITEGAYLVSLSAMV
+>DECOY_NM_004525|280224_2_8515_8621
+VMASLSVLYAGETIACLSRPPMATGSCAGQRMVAM
+>NM_004525|280232_2_9130_9404
+MAMWIVLTATMRIRIAPGELALKMNSPVVTDCVSQRYSGVTGTMTVVTIATRGAAYTRLANRISLPVRTGAALVKPSSVMRIMTVETDLMS
+>DECOY_NM_004525|280232_2_9130_9404
+SMLDTEVTMIRMVSSPKVLAAGTRVPLSIRNALRTYAAGRTAITVVTMTGTVGSYRQSVCDTVVPSNMKLALEGPAIRIRMTATLVIWMAM
+>NM_004525|280244_2_10423_10547
+MGHCLTLSLLPFLKTLFIGQIGIQGQWKRETNMMDQIDRHW
+>DECOY_NM_004525|280244_2_10423_10547
+WHRDIQDMMNTERKWQGQIGIQGIFLTKLFPLLSLTLCHGM
+>NM_004525|280245_2_10630_10718
+MVAVLISASSSQEEKGSLASVQMTSAPFS
+>DECOY_NM_004525|280245_2_10630_10718
+SFPASTMQVSALSGKEEQSSSASILVAVM
+>NM_004525|280247_2_10810_11228
+MDRKTAQMALMNWPFARSASADWDSSSAVTATAPARRLYAMLTKIALMGLMKTVFFVRITTVTPMNGSAPTNVASQNPGSVTHLTTVRITQMKTVPTVPAGPAGRASFGVLMAAASRRPGSVMWIMIVETTRMSPLKNA
+>DECOY_NM_004525|280247_2_10810_11228
+ANKLPSMRTTEVIMIWMVSGPRRSAAAMLVGFSARGAPGAPVTPVTKMQTIRVTTLHTVSGPNQSAVNTPASGNMPTVTTIRVFFVTKMLGMLAIKTLMAYLRRAPATATVASSSDWDASASRAFPWNMLAMQATKRDM
+>NM_004525|280258_2_11431_11597
+MGKMTVEITQMRKTVLPGSAQRASFDVSISSAFPRDGSVTITTTVGTTQMNGTVR
+>DECOY_NM_004525|280258_2_11431_11597
+RVTGNMQTTGVTTTITVSGDRPFASSISVDFSARQASGPLVTKRMQTIEVTMKGM
+>NM_004525|280261_2_11665_12158
+MDPLTVWMRLMKLIVPHAFLMVHTARLLCSNAKTMFVSRHIGNVMAMMTVAMVQMKNFTCAWMFPVIHQTVSGVTTIAAFIVMRCAMVWMTVEMELMRQRSTVENRPLNLVQNMNISVAMGIAFHMTMCVMMPMTVVTGPMNWVAIKEKKEHVLKIYASKIVPN
+>DECOY_NM_004525|280261_2_11665_12158
+NPVIKSAYIKLVHEKKEKIAVWNMPGTVVTMPMMVCMTMHFAIGMAVSINMNQVLNLPRNEVTSRQRMLEMEVTMWVMACRMVIFAAITTVGSVTQHIVPFMWACTFNKMQVMAVTMMAMVNGIHRSVFMTKANSCLLRATHVMLFAHPVILKMLRMWVTLPDM
+>NM_004525|280266_2_11797_12158
+MAMMTVAMVQMKNFTCAWMFPVIHQTVSGVTTIAAFIVMRCAMVWMTVEMELMRQRSTVENRPLNLVQNMNISVAMGIAFHMTMCVMMPMTVVTGPMNWVAIKEKKEHVLKIYASKIVPN
+>DECOY_NM_004525|280266_2_11797_12158
+NPVIKSAYIKLVHEKKEKIAVWNMPGTVVTMPMMVCMTMHFAIGMAVSINMNQVLNLPRNEVTSRQRMLEMEVTMWVMACRMVIFAAITTVGSVTQHIVPFMWACTFNKMQVMAVTMMAM
+>NM_004525|280269_2_11818_12158
+MVQMKNFTCAWMFPVIHQTVSGVTTIAAFIVMRCAMVWMTVEMELMRQRSTVENRPLNLVQNMNISVAMGIAFHMTMCVMMPMTVVTGPMNWVAIKEKKEHVLKIYASKIVPN
+>DECOY_NM_004525|280269_2_11818_12158
+NPVIKSAYIKLVHEKKEKIAVWNMPGTVVTMPMMVCMTMHFAIGMAVSINMNQVLNLPRNEVTSRQRMLEMEVTMWVMACRMVIFAAITTVGSVTQHIVPFMWACTFNKMQVM
+>NM_004525|280273_2_11923_12158
+MVWMTVEMELMRQRSTVENRPLNLVQNMNISVAMGIAFHMTMCVMMPMTVVTGPMNWVAIKEKKEHVLKIYASKIVPN
+>DECOY_NM_004525|280273_2_11923_12158
+NPVIKSAYIKLVHEKKEKIAVWNMPGTVVTMPMMVCMTMHFAIGMAVSINMNQVLNLPRNEVTSRQRMLEMEVTMWVM
+>NM_004525|280275_2_11944_12158
+MELMRQRSTVENRPLNLVQNMNISVAMGIAFHMTMCVMMPMTVVTGPMNWVAIKEKKEHVLKIYASKIVPN
+>DECOY_NM_004525|280275_2_11944_12158
+NPVIKSAYIKLVHEKKEKIAVWNMPGTVVTMPMMVCMTMHFAIGMAVSINMNQVLNLPRNEVTSRQRMLEM
+>NM_004525|280278_2_12022_12158
+MGIAFHMTMCVMMPMTVVTGPMNWVAIKEKKEHVLKIYASKIVPN
+>DECOY_NM_004525|280278_2_12022_12158
+NPVIKSAYIKLVHEKKEKIAVWNMPGTVVTMPMMVCMTMHFAIGM
+>NM_003077|280345_2_1290_1378
+MGTSGSTSTATVTSARSSVVADSVSPRFP
+>DECOY_NM_003077|280345_2_1290_1378
+PFRPSVSDAVVSSRASTVTATSTSGSTGM
+>NM_006733|280381_2_403_545
+MDKTIQWEIMNMLMIKLKKMLCKWQWDILRKVPLKLHRIKIKPWKNT
+>DECOY_NM_006733|280381_2_403_545
+TNKWPKIKIRHLKLPVKRLIDWQWKCLMKKLKIMLMNMIEWQITKDM
+>NM_006733|280390_2_1498_1646
+MEKNLPTSWIPSSGQSASYKRGFIPVKHSCIRAFLSGMAFVVGHSSFSL
+>DECOY_NM_006733|280390_2_1498_1646
+LSFSSHGVVFAMGSLFARICSHKVPIFGRKYSASQGSSPIWSTPLNKEM
+>NM_004690|280451_2_3747_3928
+MESILNMHSMNLPSEGFLMTMATHIIIRSLLNMNTLIHKAQSSSRMKMIKTQAQRLKIAI
+>DECOY_NM_004690|280451_2_3747_3928
+IAIKLRQAQTKIMKMRSSSQAKHILTNMNLLSRIIIHTAMTMLFGESPLNMSHMNLISEM
+>NM_004690|280455_2_3807_3928
+MATHIIIRSLLNMNTLIHKAQSSSRMKMIKTQAQRLKIAI
+>DECOY_NM_004690|280455_2_3807_3928
+IAIKLRQAQTKIMKMRSSSQAKHILTNMNLLSRIIIHTAM
+>NM_032828|280529_2_847_1574
+MDVMCAVIVENPLADMSASVIISEITLQKDLMIVESVGNLIVERAALFNISESTLDRQLIPVRSAGNLLVRRAALLAISLFTLEKGLMSVENVGNLLVKRVTSFNISKVTLERELITVGNVGNLFVRSSALLTISVFTLEKGLTSVENVGNLLVKRATSFTISEVILEKGPMSARNVGNHLGTDPTSLNTRDFTLGKDLTIVGNVGNYLTGSIIFWFMREFTLEKGHMRVRYVGNYLAISTA
+>DECOY_NM_032828|280529_2_847_1574
+ATSIALYNGVYRVRMHGKELTFERMFWFIISGTLYNGVNGVITLDKGLTFDRTNLSTPDTGLHNGVNRASMPGKELIVESITFSTARKVLLNGVNEVSTLGKELTFVSITLLASSRVFLNGVNGVTILERELTVKSINFSTVRKVLLNGVNEVSMLGKELTFLSIALLAARRVLLNGASRVPILQRDLTSESINFLAAREVILNGVSEVIMLDKQLTIESIIVSASMDALPNEVIVACMVDM
+>NM_005548|280593_2_232_308
+MVWVLRKRAWTQINTTKSAVKQFIS
+>DECOY_NM_005548|280593_2_232_308
+SIFQKVASKTTNIQTWARKRLVWVM
+>NM_005548|280598_2_1663_1766
+MGCPPQLAGAWALIESPCFSRTPTTSRKYFCFLP
+>DECOY_NM_005548|280598_2_1663_1766
+PLFCFYKRSTTPTRSFCPSEILAWAGALQPPCGM
+>NM_006687|280659_2_103_203
+MARRSGPCGSAIRVQSHKNLLNQRQPRRGPSRR
+>DECOY_NM_006687|280659_2_103_203
+RRSPGRRPQRQNLLNKHSQVRIASGCPGSRRAM
+>NM_006687|280662_2_661_746
+MACPTWSPSTRVILCPASPEGWTTRALT
+>DECOY_NM_006687|280662_2_661_746
+TLARTTWGEPSAPCLIVRTSPSWTPCAM
+>NM_024665|280706_3_1538_1617
+MGCRPRDMHPYLDKTPRACVQCSFQS
+>DECOY_NM_024665|280706_3_1538_1617
+SQFSCQVCARPTKDLYPHMDRPRCGM
+>NM_004746|280863_2_413_729
+MAITPCSTSARPWSTAATAPAASATWCTRSRSSSPSRTPWRGRPRAASTGARPALTRRRRRAMANAARARSGARSPRPGPAPPRAGGARTTTWMVTCASTTPPRA
+>DECOY_NM_004746|280863_2_413_729
+ARPPTTSACTVMWTTTRAGGARPPAPGPRPSRAGSRARAANAMARRRRRTLAPRAGTSAARPRGRWPTRSPSSSRSRTCWTASAAPATAATSWPRASTSCPTIAM
+>NM_004746|280864_2_599_729
+MANAARARSGARSPRPGPAPPRAGGARTTTWMVTCASTTPPRA
+>DECOY_NM_004746|280864_2_599_729
+ARPPTTSACTVMWTTTRAGGARPPAPGPRPSRAGSRARAANAM
+>NM_001018067|280942_2_687_769
+MDLILVANVNLIGIVEVIDLLFHITVA
+>DECOY_NM_001018067|280942_2_687_769
+AVTIHFLLDIVEVIGILNVNAVLILDM
+>NM_183422|281004_2_1173_1357
+MGTTSNMVTTIHLMLLWPVHPLLVGHPQAQYLENSLQLEALTVSHQLHQLLLYHPVVHLHL
+>DECOY_NM_183422|281004_2_1173_1357
+LHLHVVPHYLLLQHLQHSVTLAELQLSNELYQAQPHGVLLPHVPWLLMLHITTVMNSTTGM
+>NM_183422|281005_2_1191_1357
+MVTTIHLMLLWPVHPLLVGHPQAQYLENSLQLEALTVSHQLHQLLLYHPVVHLHL
+>DECOY_NM_183422|281005_2_1191_1357
+LHLHVVPHYLLLQHLQHSVTLAELQLSNELYQAQPHGVLLPHVPWLLMLHITTVM
+>NM_004761|281020_2_320_474
+MVPCLPSQAANIDLLIPWSLCLPHVPPDGSELALWRPWSDTYWIPGHQGLM
+>DECOY_NM_004761|281020_2_320_474
+MLGQHGPIWYTDSWPRWLALESGDPPVHPLCLSWPILLDINAAQSPLCPVM
+>NM_004761|281025_2_1487_1626
+MDTSILTSGGRSLQSFLSCDGSRMNVVAITSNLTMISRGGYRGSGH
+>DECOY_NM_004761|281025_2_1487_1626
+HGSGRYGGRSIMTLNSTIAVVNMRSGDCSLFSQLSRGGSTLISTDM
+>NM_004761|281026_2_2042_2142
+MGERDLGQGPLIAVSSESRWSWGKMAVSIRAFW
+>DECOY_NM_004761|281026_2_2042_2142
+WFARISVAMKGWSWRSESSVAILPGQGLDREGM
+>NM_015464|281104_2_344_465
+MEAGISVTLDWIGTLGFKWVAGNCVPPNTSLMASAPASAL
+>DECOY_NM_015464|281104_2_344_465
+LASAPASAMLSTNPPVCNGAVWKFGLTGIWDLTVSIGAEM
+>NM_015464|281105_2_533_657
+MEQSTGAGGAPRSGGVSMTKPVPRESSCSAKMAAHAPTKSQ
+>DECOY_NM_015464|281105_2_533_657
+QSKTPAHAAMKASCSSERPVPKTMSVGGSRPAGGAGTSQEM
+>NM_032591|281235_2_360_436
+MVPLLPVAVTNHSAALRKTGPSVPY
+>DECOY_NM_032591|281235_2_360_436
+YPVSPGTKRLAASHNTVAVPLLPVM
+>NM_178140|281445_2_611_687
+MVKSAGGARRGKPTRVLCWMWAASG
+>DECOY_NM_178140|281445_2_611_687
+GSAAWMWCLVRTPKGRRAGGASKVM
+>NM_178140|281446_2_887_1122
+MATVATALNQEKHLPWSWVTELRKRGNEPESLGSSPGLLPTRPLKNPRAALAVRCPVTPALSWRTALTLNLETAMSFS
+>DECOY_NM_178140|281446_2_887_1122
+SFSMATELNLTLATRWSLAPTVPCRVALAARPNKLPRTPLLGPSSGLSEPENGRKRLETVWSWPLHKEQNLATAVTAM
+>NM_178140|281453_2_1898_2004
+MGTPGSGCWRSPEMAGNTPSRSCWTLPVPHRNTTL
+>DECOY_NM_178140|281453_2_1898_2004
+LTTNRHPVPLTWCSRSPTNGAMEPSRWCGSGPTGM
+>NM_178140|281469_2_5624_5700
+MENLFWKTSTSLKVKTWMTCYRNQK
+>DECOY_NM_178140|281469_2_5624_5700
+KQNRYCTMWTKVKLSTSTKWFLNEM
+>NM_004684|281503_2_1449_1657
+MVIPRPEIMELMMMAMMMAMMAALMAPGTVQVMTTSSQARPFWRPRELNPLPITSKLRSKEKKYMKMKI
+>DECOY_NM_004684|281503_2_1449_1657
+IKMKMYKKEKSRLKSTIPLPNLERPRWFPRAQSSTTMVQVTGPAMLAAMMAMMMAMMMLEMIEPRPIVM
+>NM_004684|281504_2_1473_1657
+MELMMMAMMMAMMAALMAPGTVQVMTTSSQARPFWRPRELNPLPITSKLRSKEKKYMKMKI
+>DECOY_NM_004684|281504_2_1473_1657
+IKMKMYKKEKSRLKSTIPLPNLERPRWFPRAQSSTTMVQVTGPAMLAAMMAMMMAMMMLEM
+>NM_004684|281507_2_1488_1657
+MAMMMAMMAALMAPGTVQVMTTSSQARPFWRPRELNPLPITSKLRSKEKKYMKMKI
+>DECOY_NM_004684|281507_2_1488_1657
+IKMKMYKKEKSRLKSTIPLPNLERPRWFPRAQSSTTMVQVTGPAMLAAMMAMMMAM
+>NM_004684|281510_2_1500_1657
+MAMMAALMAPGTVQVMTTSSQARPFWRPRELNPLPITSKLRSKEKKYMKMKI
+>DECOY_NM_004684|281510_2_1500_1657
+IKMKMYKKEKSRLKSTIPLPNLERPRWFPRAQSSTTMVQVTGPAMLAAMMAM
+>NM_004684|281512_2_1509_1657
+MAALMAPGTVQVMTTSSQARPFWRPRELNPLPITSKLRSKEKKYMKMKI
+>DECOY_NM_004684|281512_2_1509_1657
+IKMKMYKKEKSRLKSTIPLPNLERPRWFPRAQSSTTMVQVTGPAMLAAM
+>NM_014904|281564_2_969_1060
+MEHFLIRLLQSFQVLTCPMPIVNFQVVKYR
+>DECOY_NM_014904|281564_2_969_1060
+RYKVVQFNVIPMPCTLVQFSQLLRILFHEM
+>NM_033402|281834_2_665_744
+METIILSVDCQGTEQLFSRLCHSLES
+>DECOY_NM_033402|281834_2_665_744
+SELSHCLRSFLQETGQCDVSLIITEM
+>NM_033402|281835_2_1163_1275
+METEKNAIEKFLEDQKSLMMPKPFKLLSTTIKTTTLL
+>DECOY_NM_033402|281835_2_1163_1275
+LLTTTKITTSLLKFPKPMMLSKQDELFKEIANKETEM
+>NM_003898|281938_2_802_887
+MECHLLSRSEAPFRCSGNSQGFRLAPII
+>DECOY_NM_003898|281938_2_802_887
+IIPALRFGQSNGSCRFPAESRSLLHCEM
+>NM_003898|281947_2_2608_2753
+MVVRSYKRLITDLCWRSWRWKFRKSMWVLGRGFSRKCPPSRAPWMPLL
+>DECOY_NM_003898|281947_2_2608_2753
+LLPMWPARSPPCKRSFGRGLVWMSKRFKWRWSRWCLDTILRKYSRVVM
+>NM_017760|282055_3_294_376
+MAKAEEFIDRCVVRKPSGWVAGSGSPG
+>DECOY_NM_017760|282055_3_294_376
+GPSGSGAVWGSPKRVVCRDIFEEAKAM
+>NM_002342|282069_2_1261_1403
+MDQYWGDHRVLETSQLPPNLHTPFPKRGTLALPGSLHPTRKMARLGT
+>DECOY_NM_002342|282069_2_1261_1403
+TGLRAMKRTPHLSGPLALTGRKPFPTHLNPPLQSTELVRHDGWYQDM
+>NM_130786|282110_2_879_958
+MEVTTPAATGCMTTKTAGPGTARRSS
+>DECOY_NM_130786|282110_2_879_958
+SSRRATGPGATKTTMCGTAAPTTVEM
+>NM_130786|282113_3_361_530
+MDPAEQAPGADRAKVLACSLALDGASVLDHPRPENNSSVPRCAAGCDFSAEAGGRP
+>DECOY_NM_130786|282113_3_361_530
+PRGGAEASFDCGAACRPVSSNNEPRPHDLVSAGDLALSCALVKARDAGPAQEAPDM
+>NM_006059|282234_2_1545_1693
+MATPRCARPLPSSRCITSSAISTREPKAGGPEVWGALSTPHNGAQMGSS
+>DECOY_NM_006059|282234_2_1545_1693
+SSGMQAGNHPTSLAGWVEPGGAKPERTSIASSTICRSSPLPRACRPTAM
+>NM_006059|282236_2_2232_2746
+MAPVTPTQGSVSAATIPRAHPVNAVCQVSMATLSRAKPTTASPVPALASRPVRPSQRAGRWCVPTAPRAREGGAVRSVMMAFLGTRWGSLGTPSPATSASVAGTWTPMPWATVTPCLATACAACTTPRVTTVSTVRKASTGAPWPLDPQTNACLAAVTHRARSVSRCPATQ
+>DECOY_NM_006059|282236_2_2232_2746
+QTAPCRSVSRARHTVAALCANTQPDLPWPAGTSAKRVTSVTTVRPTTCAACATALCPTVTAWPMPTWTGAVSASTAPSPTGLSGWRTGLFAMMVSRVAGGERARPATPVCWRGARQSPRVPRSALAPVPSATTPKARSLTAMSVQCVANVPHARPITAASVSGQTPTVPAM
+>NM_006059|282239_2_2469_2746
+MAFLGTRWGSLGTPSPATSASVAGTWTPMPWATVTPCLATACAACTTPRVTTVSTVRKASTGAPWPLDPQTNACLAAVTHRARSVSRCPATQ
+>DECOY_NM_006059|282239_2_2469_2746
+QTAPCRSVSRARHTVAALCANTQPDLPWPAGTSAKRVTSVTTVRPTTCAACATALCPTVTAWPMPTWTGAVSASTAPSPTGLSGWRTGLFAM
+>NM_006059|282245_3_1669_1865
+MEPKWGPPEPRRRGGAHSTREVPGRPAVQLWAAPHTDLPGAPRGLPTPCTAEAGRDRLGPVPEAL
+>DECOY_NM_006059|282245_3_1669_1865
+LAEPVPGLRDRGAEATCPTPLGRPAGPLDTHPAAWLQVAPRGPVERTSHAGGRRRPEPPGWKPEM
+>NM_145754|282318_2_120_229
+MVAPRRPRSPGTPPREPASPGVAGAQTCPRQSCGPS
+>DECOY_NM_145754|282318_2_120_229
+SPGCSQRPCTQAGAVGPSAPERPPTGPSRPRRPAVM
+>NM_145754|282319_2_261_418
+MGRKAQPRVARPRCPWKRPYCASPSSSPSSWGRKRAAGARRTWASLARSPHC
+>DECOY_NM_145754|282319_2_261_418
+CHPSRALSAWTRRAGAARKRGWSSPSSSPSACYPRKWPCRPRAVRPQAKRGM
+>NM_145754|282325_3_451_599
+MASKPQGEAGPAPGDSASPSGPAPLSRWIHIPRRKPFPLHRSPRRATGG
+>DECOY_NM_145754|282325_3_451_599
+GGTARRPSRHLPFPKRRPIHIWRSLPAPGSPSASDGPAPGAEGQPKSAM
+>NM_002830|282395_2_2146_2231
+MGSLQLYHPNSQRKTVGTKFIIHIRNKI
+>DECOY_NM_002830|282395_2_2146_2231
+IKNRIHIIFKTGVTKRQSNPHYLQLSGM
+>NM_001460|282425_2_262_419
+MAEQVSINLSLPTPAKKCPVSVTFQCLKIFQTSCIILNFWNISGFLLKNLIC
+>DECOY_NM_001460|282425_2_262_419
+CILNKLLFGSINWFNLIICSTQFIKLCQFTVSVPCKKAPTPLSLNISVQEAM
+>NM_001460|282426_2_796_872
+MAILGTQCSTPGFVLCSAMYCHEQL
+>DECOY_NM_001460|282426_2_796_872
+LQEHCYMASCLVFGPTSCQTGLIAM
+>NM_001460|282427_2_1060_1151
+MEQWRRTLMSSFLQQDIVSLFPSLKIHSLK
+>DECOY_NM_001460|282427_2_1060_1151
+KLSHIKLSPFLSVIDQQLFSSMLTRRWQEM
+>NM_133367|282597_2_256_626
+MGFPRCLALSQKRMCPSSSGSLTSAPATAPRGTSGATTSSASFRNTTRWSTSGPIYWQPWPSSCDSGPLPRLRPCHGRLPTPCLCSSSSCRQSLTSPAAFWPTCCSPSQSSPTTPSTLWTMLA
+>DECOY_NM_133367|282597_2_256_626
+ALMTWLTSPTTPSSQSPSCCTPWFAAPSTLSQRCSSSSCLCPTPLRGHCPRLRPLPGSDCSSPWPQWYIPGSTSWRTTNRFSASSTTAGSTGRPATAPASTLSGSSSPCMRKQSLALCRPFGM
+>NM_133367|282599_2_640_797
+MAVLWLISSTALTRPGMTGSGFSSCQQLPSVAGYLVLAVAMPNIVTGGLIQS
+>DECOY_NM_133367|282599_2_640_797
+SQILGGTVINPMAVALVLYGAVSPLQQCSSFGSGTMGPRTLATSSILWLVAM
+>NM_133367|282601_2_1012_1196
+MGIRSSMHFCPSVRSPSWRPSSWTTRGGRRSSCSAMDPYLSTWPASPSSSWLPAVLPPQPF
+>DECOY_NM_133367|282601_2_1012_1196
+FPQPPLVAPLWSSSPSAPWTSLYPDMASCSSRRGGRTTWSSPRWSPSRVSPCFHMSSRIGM
+>NM_133367|282603_2_1117_1196
+MDPYLSTWPASPSSSWLPAVLPPQPF
+>DECOY_NM_133367|282603_2_1117_1196
+FPQPPLVAPLWSSSPSAPWTSLYPDM
+>NM_133367|282615_3_482_675
+MGVYPLPASAPLHPVVNHLPHLQPSGPPAAVQVRALPLHLLLCGLCWRERLPIWQCFGSFLLQL
+>DECOY_NM_133367|282615_3_482_675
+LQLLFSGFCQWIPLRERWCLGCLLLHLPLARVQVAAPPGSPQLHPLHNVVPHLPASAPLPYVGM
+>NM_013241|282643_2_911_1002
+MALTLSCWCTRSPSSTRRWRRSRTRTPSTM
+>DECOY_NM_013241|282643_2_911_1002
+MTSPTRTRSRRWRRTSSPSRTCWCSLTLAM
+>NM_013241|282645_2_1124_1278
+METSKKPQALVGGGNDESLLLRRARGAAVLWKAGAAPRVPRNLAPQAPPHR
+>DECOY_NM_013241|282645_2_1124_1278
+RHPPAQPALNRPVRPAAGAKWLVAAGRARRLLLSEDNGGGVLAQPKKSTEM
+>NM_013241|282649_2_2030_2184
+MESLQAALGPAPPSGLHWTLSQWTRPDWNTSLSLVPKRCCPPRKLERAAGQ
+>DECOY_NM_013241|282649_2_2030_2184
+QGAARELKRPPCCRKPVLSLSTNWDPRTWQSLTWHLGSPPAPGLAAQLSEM
+>NM_033486|282712_3_551_981
+MGKTEEKGNGKGAFQERKGPLGAVRKEAGAGAQDAGAAEGAAGAEGARAAGGGAAQGAGGPQGSVCTSPNDERGLQRQSESQPLESQPASAAAGAVRVGRRPEASKRRENGRKGPAVRLTGHQRQREEDQLGRVLVSRIRLRF
+>DECOY_NM_033486|282712_3_551_981
+FRLRIRSVLVRGLQDEERQRQHGTLRVAPGKRGNERRKSAEPRRGVRVAGAAASAPQSELPQSESQRQLGREDNPSTCVSGQPGGAGQAAGGGAARAGEAGAAGEAAGADQAGAGAEKRVAGLPGKREQFAGKGNGKEETKGM
+>NM_006949|282728_2_118_275
+MGSGRCLSWITQACASCLPAAKCQISWLRASPLLKTSTNGGNPFPVWRPFIC
+>DECOY_NM_006949|282728_2_118_275
+CIFPRWVPFPNGGNTSTKLLPSARLWSIQCKAAPLCSACAQTIWSLCRGSGM
+>NM_001080423|282746_2_334_443
+MGPTPKEARTQEGPTFPWRAADRAFQRSSEGSLWWS
+>DECOY_NM_001080423|282746_2_334_443
+SWWLSGESSRQFARDAARWPFTPGEQTRAEKPTPGM
+>NM_030930|282778_2_1173_1423
+MACARWGWSGWLTSSWLTAWAPQPPHSWACWACGCHARCPWWPEQGCTCCSPSSSFSGPLCLGSCNTAGSSMWQLPFGVWAVP
+>DECOY_NM_030930|282778_2_1173_1423
+PVAWVGFPLQWMSSGATNCSGLCLPGSFSSSPSCCTCGQEPWWPCRAHCGCAWCAWSHPPQPAWATLWSSTLWGSWGWRACAM
+>NM_001039891|282821_2_725_927
+MDVRHIGKYASIIIFIKLNLQRDFLTLINEGKPSTKSKPLEKVREVKLGRNSTNVLNVEKCLSRKQT
+>DECOY_NM_001039891|282821_2_725_927
+TQKRSLCKEVNLVNTSNRGLKVERVKELPKSKTSPKGENILTLFDRQLNLKIFIIISAYKGIHRVDM
+>NM_001039891|282823_2_1295_1662
+MGKPLMRSPVPLNIGELIQKRTFMSVVNVGKASEESHTSLFIREFIQERNPMNVVYVGRLSVESHTSLSIIELIQERNLMNAEDVGKPLGRSQPLLYIRECIQERNPINATNVGKPLVRSHH
+>DECOY_NM_001039891|282823_2_1295_1662
+HHSRVLPKGVNTANIPNREQICERIYLLPQSRGLPKGVDEANMLNREQILEIISLSTHSEVSLRGVYVVNMPNREQIFERIFLSTHSEESAKGVNVVSMFTRKQILEGINLPVPSRMLPKGM
+>NM_138777|282848_2_230_330
+MAIGNTWPIQLYQSAILLPRKPKPKGKDSPKPE
+>DECOY_NM_138777|282848_2_230_330
+EPKPSDKGKPKPKRPLLIASQYLQIPWTNGIAM
+>NM_014289|282979_2_1348_1457
+MGTRSLCHCSRRTCALTAEWEDLTITSLALSSSRWR
+>DECOY_NM_014289|282979_2_1348_1457
+RWRSSSLALSTITLDEWEATLACTRRSCHCLSRTGM
+>NM_014289|282987_3_491_564
+MGPSKNRKIRWDISLSFLAFWRMD
+>DECOY_NM_014289|282987_3_491_564
+DMRWFALFSLSIDWRIKRNKSPGM
+>NM_004477|283027_2_414_517
+MVFLPWELHTKKLMRALVLQSSLRLSNYLIPESP
+>DECOY_NM_004477|283027_2_414_517
+PSEPILYNSLRLSSQLVLARMLKKTHLEWPLFVM
+>NM_014112|283057_2_1893_1972
+MVQRPPVTTGVNFVVSAVSHLAHLNC
+>DECOY_NM_014112|283057_2_1893_1972
+CNLHALHSVASVVFNVGTTVPPRQVM
+>NM_015541|283116_2_1917_1999
+MEARISPLPVSDACMSCRMTTCFSSLM
+>DECOY_NM_015541|283116_2_1917_1999
+MLSSFCTTMRCSMCADSVPLPSIRAEM
+>NM_015541|283120_2_2628_3025
+MVCVQEMQATFQSPTLTALPAGSQSSVLGLRITKSRGKRWRKLKGHLGHIRWNTVAGSYAVTATPKWTVTPGDKPSTPSLCPETAHSQVRQMARSRVGVTKSILHITSAAGLPLGPAPSAKGRSTPVTTIEC
+>DECOY_NM_015541|283120_2_2628_3025
+CEITTVPTSRGKASPAPGLPLGAASTIHLISKTVGVRSRAMQRVQSHATEPCLSPTSPKDGPTVTWKPTATVAYSGAVTNWRIHGLHGKLKRWRKGRSKTIRLGLVSSQSGAPLATLTPSQFTAQMEQVCVM
+>NM_001099855|283153_2_306_523
+MDQTPGLPLFWIEDWIHGLLSKSLSKKSRLPSLGTCTWFWFYVLPAFFNERSQTLDFGLVRRILCLNYQLLC
+>DECOY_NM_001099855|283153_2_306_523
+CLLQYNLCLIRRVLGFDLTQSRENFFAPLVYFWFWTCTGLSPLRSKKSLSKSLLGHIWDEIWFLPLGPTQDM
+>NM_001104554|283187_2_1026_1141
+MVPSTSSAWAQPLPTLHTRSRMRSCAPLSMTTTWPWLY
+>DECOY_NM_001104554|283187_2_1026_1141
+YLWPWTTTMSLPACSRMRSRTHLTPLPQAWASSTSPVM
+>NM_001104554|283196_3_1510_1646
+MAPGHLQALLFLSDSWSHTSVHHLQPQQHNLFLSCSVSDSQARIT
+>DECOY_NM_001104554|283196_3_1510_1646
+TIRAQSDSVSCSLFLNHQQPQLHHVSTHSWSDSLFLLAQLHGPAM
+>NM_030777|283311_3_585_667
+MEAHVRLGHCTCCPAIPQPPLPPCWYR
+>DECOY_NM_030777|283311_3_585_667
+RYWCPPLPPQPIAPCCTCHGLRVHAEM
+>NM_024670|283379_3_251_333
+MERMARFYKYLGTFAKSEVPVTASAIL
+>DECOY_NM_024670|283379_3_251_333
+LIASATVPVESKAFTGLYKYFRAMREM
+>NM_024670|283380_3_260_333
+MARFYKYLGTFAKSEVPVTASAIL
+>DECOY_NM_024670|283380_3_260_333
+LIASATVPVESKAFTGLYKYFRAM
+>NM_004724|283421_2_1742_1935
+MALLLLWILYLASGDLGQNAFWPKCGHRKVNFWKDYQVLGTFQIWTMKRIILQQVKQSGRYCTN
+>DECOY_NM_004724|283421_2_1742_1935
+NTCYRGSQKVQQLIIRKMTWIQFTGLVQYDKWFNVKRHGCKPWFANQGLDGSALYLIWLLLLAM
+>NM_004724|283423_3_2193_2302
+MDAIQGIDDDATSQLARNWGSVGRWKRTPGSCVLFQ
+>DECOY_NM_004724|283423_3_2193_2302
+QFLVCSGPTRKWRGVSGWNRALQSTADDDIGQIADM
+>NM_005499|283449_2_764_870
+MVTLNVFLLRNGLNQLDMIQLNFLPSFLKMTSGIC
+>DECOY_NM_005499|283449_2_764_870
+CIGSTMKLFSPLFNLQIMDLQNLGNRLLFVNLTVM
+>NM_005499|283450_2_1082_1173
+MELSSYGIRMTHLQWILSPLLQTSGCIFSV
+>DECOY_NM_005499|283450_2_1082_1173
+VSFICGSTQLLPSLIWQLHTMRIGYSSLEM
+>NM_178857|283478_2_521_696
+MEAATSALIRSPPRPPVDQAGHRRETPLLSSCGMSKASVKPQAPPPPGRVLKPPGGYC
+>DECOY_NM_178857|283478_2_521_696
+CYGGPPKLVRGPPPPAQPKVSAKSMGCSSLLPTERRHGAQDVPPRPPSRILASTAAEM
+>NM_178857|283488_2_5462_5619
+MARGARDSTETKIPNSGRQREMQWLRREKGKPTTVKPVRAVSWGKLSRRERA
+>DECOY_NM_178857|283488_2_5462_5619
+ARERRSLKGWSVARVPKVTTPKGKERRLWQMERQRGSNPIKTETSDRAGRAM
+>NM_178857|283491_2_7004_7422
+MANLRRLLKAAAQSLRTGPLHPLPQVETLPTKGQAPKQALPPPEHPLGATAGRKTQKMTMYLETQGALMPSPRGPLMQRGRPPGCTQKVLLLSKKRPLWAQGLQSRGPVKVMTYKRTRHSEVSPPLRQWAGQTALAKMT
+>DECOY_NM_178857|283491_2_7004_7422
+TMKALATQGAWQRLPPSVESHRTRKYTMVKVPGRSQLGQAWLPRKKSLLLVKQTCGPPRGRQMLPGRPSPMLAGQTELYMTMKQTKRGATAGLPHEPPPLAQKPAQGKTPLTEVQPLPHLPGTRLSQAAAKLLRRLNAM
+>NM_178857|283502_3_5916_6010
+MGGPARVRRCRSPGGRKGGPARDRKCRGPGD
+>DECOY_NM_178857|283502_3_5916_6010
+DGPGRCKRDRAPGGKRGGPSRCRRVRAPGGM
+>NM_018310|283592_2_1050_1138
+MGQQKWRPERRSHRGGDRGKEKGRWEIIP
+>DECOY_NM_018310|283592_2_1050_1138
+PIIEWRGKEKGRDGGRHSRREPRWKQQGM
+>NM_080916|283601_2_557_684
+MVPSVTSSGISIRTGILFSCGSLPAGSHYMASSTSRLLPRFV
+>DECOY_NM_080916|283601_2_557_684
+VFRPLLRSTSSAMYHSGAPLSGCSFLIGTRISIGSSTVSPVM
+>NM_080916|283603_3_276_466
+MARSYRTCSNMAEYPGCWHPKSLHCPKSWKLAGYDVPGASTMVLHIPDIFLFEPPESTAGALP
+>DECOY_NM_080916|283603_3_276_466
+PLAGATSEPPEFLFIDPIHLVMTSAGPVDYGALKWSKPCHLSKPHWCGPYEAMNSCTRYSRAM
+>NM_080916|283604_3_306_466
+MAEYPGCWHPKSLHCPKSWKLAGYDVPGASTMVLHIPDIFLFEPPESTAGALP
+>DECOY_NM_080916|283604_3_306_466
+PLAGATSEPPEFLFIDPIHLVMTSAGPVDYGALKWSKPCHLSKPHWCGPYEAM
+>NM_024832|283631_2_240_349
+MACGFVCQPTRKTAFLTAGASASWRSSSKHARCGCS
+>DECOY_NM_024832|283631_2_240_349
+SCGCRAHKSSSRWSASAGATLFATKRTPQCVFGCAM
+>NM_014931|283903_3_1270_1349
+MGHAGSASGQHAAARGQAPGQCPERQ
+>DECOY_NM_014931|283903_3_1270_1349
+QREPCQGPAQGRAAAHQGSASGAHGM
+>NM_022172|283944_2_737_936
+MGRCLWRSSSRSHGTSRCRSWGTSMGTSCTCTSETAPSSGGTRRWSRLPPPPTWTRSFGLGSPATL
+>DECOY_NM_022172|283944_2_737_936
+LTAPSGLGFSRTWTPPPPLRSWRRTGGSSPATESTCTCSTGMSTGWSRCRSTGHSRSSSRWLCRGM
+>NM_022172|283945_2_809_936
+MGTSCTCTSETAPSSGGTRRWSRLPPPPTWTRSFGLGSPATL
+>DECOY_NM_022172|283945_2_809_936
+LTAPSGLGFSRTWTPPPPLRSWRRTGGSSPATESTCTCSTGM
+>NM_022172|283952_2_2054_2289
+MAWMSSVCLTPSTTCPTCCWAWRRQEVPEAWWRLPSHTRATWPTPAAPSTHCSTTWAWPKSWCELAPTSCASRTWPGC
+>DECOY_NM_022172|283952_2_2054_2289
+CGPWTRSACSTPALECWSKPWAWTTSCHTSPAAPTPWTARTHSPLRWWAEPVEQRRWAWCCTPCTTSPTLCVSSMWAM
+>NM_031229|284144_2_1057_1517
+MGTVPTSICCQPATPPSTLRSCSGSGSCGCWKIWASRTSRCSRGALWSQAPQSPGSPRNPDGGSQMQCLSPHRWAGSAPGAPSSTSPRGLAVRCAAGRAPRPTRSPPHTSPTRRSERAWRARRRRCVSTSSGSSSSRRGTTCSTSSWTRGAWC
+>DECOY_NM_031229|284144_2_1057_1517
+CWAGRTWSSTSCTTGRRSSSSGSSTSVCRRRRARWARESRRTPSTHPPSRTPRPARGAACRVALGRPSTSSPAGPASGAWRHPSLCQMQSGGDPNRPSGPSQPAQSWLAGRSCRSTRSAWIKWCGCSGSGSCSRLTSPPTAPQCCISTPVTGM
+>NM_032173|284167_2_1780_1859
+MVLGGASLGRALPLPRGIRCPPAAWR
+>DECOY_NM_032173|284167_2_1780_1859
+RWAAPPCRIGRPLPLARGLSAGGLVM
+>NM_013976|284232_2_414_499
+MAVLGFRLWPMGSWPESWSGWTVATGRR
+>DECOY_NM_013976|284232_2_414_499
+RRGTAVTWGSWSEPWSGMPWLRFGLVAM
+>NM_013976|284235_2_765_865
+MAAFGASCWRRGCGVSRPPGSRASSRCGPQPQA
+>DECOY_NM_013976|284235_2_765_865
+AQPQPGCRSSARSGPPRSVGCGRRWCSAGFAAM
+>NM_032590|284342_2_3248_3393
+MGQPTSCTGRCGWPSSATSATKTCVCACGSAGPGTAGAAISGCGPALT
+>DECOY_NM_032590|284342_2_3248_3393
+TLAPGCGSIAAGATGPGASGCACVCTKTASTASSPWGCRGTCSTPQGM
+>NM_015649|284367_2_1308_1528
+MDQENGGSWASCLPTASAASASQLPRRPCPSSTQSRPLRLSVAHPREPHPGTWRPRRAVARHPPSRRARRLGR
+>DECOY_NM_015649|284367_2_1308_1528
+RGLRRARRSPPHRAVARRPRWTGPHPERPHAVSLRLPRSQTSSPCPRRPLQSASAASATPLCSAWSGGNEQDM
+>NM_015649|284369_3_1006_1298
+MARAPQSSAGTATGAVRLRPVQCALQEGSRAGGASVRLRCYCPSSRIRVRAEALHRIPLWFRQCVRRRPGSGSPDVPRCSAGAGQGTGFFGLQVPRI
+>DECOY_NM_015649|284369_3_1006_1298
+IRPVQLGFFGTGQGAGASCRPVDPSGSGPRRRVCQRFWLPIRHLAEARVRIRSSPCYCRLRVSAGGARSGEQLACQVPRLRVAGTATGASSQPARAM
+>NM_015649|284370_3_1321_1586
+MAAAGRAAYRRRPQLPRASSRGGPAPAVPRAGPCGSLWPTPASPIPEPGAHAAPSQGIPRAGGRGGWEDDHRGAAATALGGTRRPVLR
+>DECOY_NM_015649|284370_3_1321_1586
+RLVPRRTGGLATAAAGRHDDEWGGRGGARPIGQSPAAHAGPEPIPSAPTPWLSGCPGARPVAPAPGGRSSARPLQPRRRYAARGAAAM
+>NM_173082|284498_2_1903_1982
+MVFLGLLHWERITRKRIYVIKQKSRQ
+>DECOY_NM_173082|284498_2_1903_1982
+QRSKQKIVYIRKRTIREWHLLGLFVM
+>NM_002419|284633_2_542_678
+MAVAAGVVGAVEEAGLRGLQRQRVMPTRCGQPCSTTSPVGRMSWP
+>DECOY_NM_002419|284633_2_542_678
+PWSMRGVPSTTSCPQGCRTPMVRQRQLGRLGAEEVAGVVGAAVAM
+>NM_002419|284636_2_2162_2292
+MESGEHAGLGVPVPPSLGKPRMGGEGPAWTKPHGTWIQMTHPP
+>DECOY_NM_002419|284636_2_2162_2292
+PPHTMQIWTGHPKTWAPGEGGMRPKGLSPPVPVGLGAHEGSEM
+>NM_002419|284638_3_2121_2278
+MGPPVPPTSGGLKQWRAASMLGLGSQFPQAWGSPEWEEKVPHGRSHMVPGFR
+>DECOY_NM_002419|284638_3_2121_2278
+RFGPVMHSRGHPVKEEWEPSGWAQPFQSGLGLMSAARWQKLGGSTPPVPPGM
+>NM_014907|284664_2_249_352
+MDFTFLRAFPLQWWLSQQEALLTASFSLVIRSSK
+>DECOY_NM_014907|284664_2_249_352
+KSSRIVLSFSATLLAEQQSLWWQLPFARLFTFDM
+>NM_014907|284666_2_1308_1384
+MGLARLSIANSTSCPHWQSLQTSAV
+>DECOY_NM_014907|284666_2_1308_1384
+VASTQLSQWHPCSTSNAISLRALGM
+>NM_014907|284668_2_2334_2527
+MAAQMRNTMTRLISSLPQAPRQGPEMFLLQNPVPQACRIRPALLALRTACLVGQMEDSQAGGEG
+>DECOY_NM_014907|284668_2_2334_2527
+GEGGAQSDEMQGVLCATRLALLAPRIRCAQPVPNQLLFMEPGQRPAQPLSSILRTMTNRMQAAM
+>NM_014907|284673_2_3066_3142
+METAPSPSPVVTLTQTEPAWPATQD
+>DECOY_NM_014907|284673_2_3066_3142
+DQTAPWAPETQTLTVVPSPSPATEM
+>NM_014907|284675_2_3402_3514
+MAPTYFRRSLGRIQVTPRVMCQIMFHRLLILALQLVK
+>DECOY_NM_014907|284675_2_3402_3514
+KVLQLALILLRHFMIQCMVRPTVQIRGLSRRFYTPAM
+>NM_148965|284717_2_602_708
+MAMAACPAPRHPRPLQEHPGELSRALCRCLWLEAE
+>DECOY_NM_148965|284717_2_602_708
+EAELWLCRCLARSLEGPHEQLPRPHRPAPCAAMAM
+>NM_148965|284718_2_608_708
+MAACPAPRHPRPLQEHPGELSRALCRCLWLEAE
+>DECOY_NM_148965|284718_2_608_708
+EAELWLCRCLARSLEGPHEQLPRPHRPAPCAAM
+>NM_033118|284742_2_115_251
+MEQLSWEFRTHQQTRHLKVPQVKDPWLQGKTLAPQTQRKLRIHPP
+>DECOY_NM_033118|284742_2_115_251
+PPHIRLKRQTQPALTKGQLWPDKVQPVKLHRTQQHTRFEWSLQEM
+>NM_033118|284744_2_289_644
+MVPWPNPQLAAKAPKERVTGAGGPRRAVLGPRQPCPSRLRHLRPASRSPRLSREPQAARILESPGWARRQQRAKQQPGGAHLPFCIAPAVLPSSPVLRSCWPRSPQARHQSSPLKGCP
+>DECOY_NM_033118|284744_2_289_644
+PCGKLPSSQHRAQPSRPWCSRLVPSSPLVAPAICFPLHAGGPQQKARQQRRAWGPSELIRAAQPERSLRPSRSAPRLHRLRSPCPQRPGLVARRPGGAGTVREKPAKAALQPNPWPVM
+>NM_018010|284763_2_291_376
+MGCLGPVAKGPGKWSWSGGPARPTTCSW
+>DECOY_NM_018010|284763_2_291_376
+WSCTTPRAPGGSWSWKGPGKAVPGLCGM
+>NM_148966|284786_2_602_708
+MAMAACPAPRAPWGAVQSAVPLSVAGGRMKLGWRL
+>DECOY_NM_148966|284786_2_602_708
+LRWGLKMRGGAVSLPVASQVAGWPARPAPCAAMAM
+>NM_148966|284787_2_608_708
+MAACPAPRAPWGAVQSAVPLSVAGGRMKLGWRL
+>DECOY_NM_148966|284787_2_608_708
+LRWGLKMRGGAVSLPVASQVAGWPARPAPCAAM
+>NM_004551|284795_2_583_659
+MASRDILSGKTFLYLAMLSYVMMMK
+>DECOY_NM_004551|284795_2_583_659
+KMMMVYSLMALYLFTKGSLIDRSAM
+>NM_006101|284810_2_372_523
+MDPGIVNLVYFPVLRKSRTRDHLMTKHSFSSVFDNSVSFLQKMVMHIMCP
+>DECOY_NM_006101|284810_2_372_523
+PCMIHMVMKQLFSVSNDFVSSFSHKTMLHDRTRSKRLVPFYVLNVIGPDM
+>NM_017629|284862_2_1979_2121
+MGRNLPLLLWLAVWMATPAGTVPPFGCRLPGRRSPKSSSTVKRSSRT
+>DECOY_NM_017629|284862_2_1979_2121
+TRSSRKVTSSSKPSRRGPLRCGFPPVTGAPTAMWVALWLLLPLNRGM
+>NM_004395|284889_2_278_360
+MAPMTSSLQHQEKGACRSFRDTLRTRR
+>DECOY_NM_004395|284889_2_278_360
+RRTRLTDRFSRCAGKEQHQLSSTMPAM
+>NM_080881|284925_2_301_476
+MVLQHWPAARPGGMDARDKLSCPAGLCTHMKMAPMTSSLQHQEKGACRSFRDTLRTRR
+>DECOY_NM_080881|284925_2_301_476
+RRTRLTDRFSRCAGKEQHQLSSTMPAMKMHTCLGAPCSLKDRADMGGPRAAPWHQLVM
+>NM_080881|284926_2_340_476
+MDARDKLSCPAGLCTHMKMAPMTSSLQHQEKGACRSFRDTLRTRR
+>DECOY_NM_080881|284926_2_340_476
+RRTRLTDRFSRCAGKEQHQLSSTMPAMKMHTCLGAPCSLKDRADM
+>NM_014391|284949_2_291_364
+MAMGRQGNSFLRISEMESMKLLLL
+>DECOY_NM_014391|284949_2_291_364
+LLLLKMSEMESIRLFSNGQRGMAM
+>NM_138319|284976_2_1296_1615
+MALKRAGRAWAPFSSGHLGMAGERGTTARAMATPTASTPSPSAAPPRMATSPGTWKSVPPPWPPPTAVGPFMSEKSSPRICVSAVPMATLGPQSLPPWWRASSPWL
+>DECOY_NM_138319|284976_2_1296_1615
+LWPSSARWWPPLSQPGLTAMPVASVCIRPSSKESMFPGVATPPPWPPPVSKWTGPSTAMRPPAASPSPTSATPTAMARATTGREGAMGLHGSSFPAWARGARKLAM
+>NM_138319|284977_2_1353_1615
+MAGERGTTARAMATPTASTPSPSAAPPRMATSPGTWKSVPPPWPPPTAVGPFMSEKSSPRICVSAVPMATLGPQSLPPWWRASSPWL
+>DECOY_NM_138319|284977_2_1353_1615
+LWPSSARWWPPLSQPGLTAMPVASVCIRPSSKESMFPGVATPPPWPPPVSKWTGPSTAMRPPAASPSPTSATPTAMARATTGREGAM
+>NM_138319|284978_2_1386_1615
+MATPTASTPSPSAAPPRMATSPGTWKSVPPPWPPPTAVGPFMSEKSSPRICVSAVPMATLGPQSLPPWWRASSPWL
+>DECOY_NM_138319|284978_2_1386_1615
+LWPSSARWWPPLSQPGLTAMPVASVCIRPSSKESMFPGVATPPPWPPPVSKWTGPSTAMRPPAASPSPTSATPTAM
+>NM_138319|284979_2_1437_1615
+MATSPGTWKSVPPPWPPPTAVGPFMSEKSSPRICVSAVPMATLGPQSLPPWWRASSPWL
+>DECOY_NM_138319|284979_2_1437_1615
+LWPSSARWWPPLSQPGLTAMPVASVCIRPSSKESMFPGVATPPPWPPPVSKWTGPSTAM
+>NM_138319|284989_3_2185_2330
+MEPHTVWHSRAPVPHLQCPSVPLADAGALSPRAGATQGCPVTLPGGSS
+>DECOY_NM_138319|284989_3_2185_2330
+SSGGPLTVPCGQTAGARPSLAGADALPVSPCQLHPVPARSHWVTHPEM
+>NM_006648|285148_2_4189_4277
+MEQLQPPAPCQSQRQELPARQGVQGHLRG
+>DECOY_NM_006648|285148_2_4189_4277
+GRLHGQVGQRAPLEQRQSQCPAPPQLQEM
+>NM_006648|285151_2_5074_5294
+MVEKLEKARQSPRRVTWAQWGARLATPRHSALELWGPLGNVQSSRMSAHQPRLWAVSRWSALRTSGPWPPPTA
+>DECOY_NM_006648|285151_2_5074_5294
+ATPPPWPGSTRLASWRSVAWLRPQHASMRSSQVNGLPGWLELASHRPTALRAGWQAWTVRRPSQRAKELKEVM
+>NM_032608|285231_2_1610_1839
+MGLVPWRQSWKDPASLLWRRMQKGLGYGRRTKTGQPRRRRAKEARAETQTRLLRTDGMRQRKSGWLRRMDLLLLRC
+>DECOY_NM_032608|285231_2_1610_1839
+CRLLLLDMRRLWGSKRQRMGDTRLLRTQTEARAEKARRRRPQGTKTRRGYGLGKQMRRWLLSAPDKWSQRWPVLGM
+>NM_032608|285247_2_5717_5802
+MARHQSARRSWRKCTASWSRVKPSVRRP
+>DECOY_NM_032608|285247_2_5717_5802
+PRRVSPKVRSWSATCKRWSRRASQHRAM
+>NM_032608|285253_3_3519_3919
+MGPCAVRPHGLAPQSQAQPLGPGCTPGPAPVKKRGAAESIPGPGQAASCVPGCGRPGGHLPAGPAEEPHGEEDLCQQPCRGEEESPVLPDQAADGCADQHDQKVPAALYPLPGTKPCGGKQEWAGISTTTAAW
+>DECOY_NM_032608|285253_3_3519_3919
+WAATTTSIGAWEQKGGCPKTGPLPYLAAPVKQDHQDACGDAAQDPLVPSEEEGRCPQQCLDEEGHPEEAPGAPLHGGPRGCGPVCSAAQGPGPISEAAGRKKVPAPGPTCGPGLPQAQSQPALGHPRVACPGM
+>NM_015456|285346_2_312_514
+MVCCCHLFSQPSPSWTCTGRRGWSSTSRYSMSCGTSCWSECQPSLRRGRLRKGTRSWKTFWRRAFLW
+>DECOY_NM_015456|285346_2_312_514
+WLFARRWFTKWSRTGKRLRGRRLSPQCESWCSTGCSMSYRSTSSWGRRGTCTWSPSPQSFLHCCCVM
+>NM_021180|285375_2_578_696
+MAPSTPCLRAFMGCRPHSAGSQTAPSKMTHRSRCSSQIS
+>DECOY_NM_021180|285375_2_578_696
+SIQSSCRSRHTMKSPATQSGASHPRCGMFARLCPTSPAM
+>NM_024422|285398_2_680_813
+MVQSIQQILFYCPRRREVLPYYFPTLRTKKRRKYLSFWSIKQRS
+>DECOY_NM_024422|285398_2_680_813
+SRQKISWFSLYKRRKKTRLTPFYYPLVERRRPCYFLIQQISQVM
+>NM_024422|285402_2_2078_2163
+MAYIILQSLHQTKEGEHVRGHWALYFKT
+>DECOY_NM_024422|285402_2_2078_2163
+TKFYLAWHGRVHEGEKTQHLSQLIIYAM
+>NM_024422|285408_3_861_937
+MGSNSLFDARKLLGSFSTFPSTGSI
+>DECOY_NM_024422|285408_3_861_937
+ISGTSPFTSFSGLLKRADFLSNSGM
+>NM_012330|285490_2_662_825
+MAQFSKSPTKALPPIRTQTTLGAFHQLNQALFLSQPRGLEDHVMISAMWIGINF
+>DECOY_NM_012330|285490_2_662_825
+FNIGIWMASIMVHDELGRPQSLFLAQNLQHFAGLTTQTRIPPLAKTPSKSFQAM
+>NM_005826|285604_2_1531_1655
+MATLQITTAMKITMMITMVMIITTIVEAMKIPTTAMMMAMQ
+>DECOY_NM_005826|285604_2_1531_1655
+QMAMMMATTPIKMAEVITTIIMVMTIMMTIKMATTIQLTAM
+>NM_005826|285608_2_1582_1655
+MVMIITTIVEAMKIPTTAMMMAMQ
+>DECOY_NM_005826|285608_2_1582_1655
+QMAMMMATTPIKMAEVITTIIMVM
+>NM_012465|285661_2_590_777
+MAGRIPHSCTALGPCMPQPRPSLPGSEEPQPQGQRGYGLEESSPTSLEGTSLGARGPFLSRP
+>DECOY_NM_012465|285661_2_590_777
+PRSLFPGRAGLSTGELSTPSSEELGYGRQGQPQPEESGPLSPRPQPMCPGLATCSHPIRGAM
+>NM_012465|285668_2_1463_1629
+MVTGEKPPFWAGFVAIRSRSPSSPRTAGSGWSSAAAATSWARASLQRTKLPAGET
+>DECOY_NM_012465|285668_2_1463_1629
+TEGAPLKTRQLSARAWSTAAAASSWGSGATRPSSPSRSRIAVFGAWFPPKEGTVM
+>NM_012465|285674_2_2525_2667
+MGMTAKRLAVHTRSAVWRGPWRAPTGLTNTPAGGSVPGTSLRLQATE
+>DECOY_NM_012465|285674_2_2525_2667
+ETAQLRLSTGPVSGGAPTNTLGTPARWPGRWVASRTHVALRKATMGM
+>NM_014678|285717_2_2288_2478
+MAQSVEARMGRRAWKHTEMHLGQVPHRPPGRRKRPLWRVTQKAPCGRQCLMSQRTQRPQPQEW
+>DECOY_NM_014678|285717_2_2288_2478
+WEQPQPRQTRQSMLCQRGCPAKQTVRWLPRKRRGPPRHPVQGLHMETHKWARRGMRAEVSQAM
+>NM_014678|285718_2_2312_2478
+MGRRAWKHTEMHLGQVPHRPPGRRKRPLWRVTQKAPCGRQCLMSQRTQRPQPQEW
+>DECOY_NM_014678|285718_2_2312_2478
+WEQPQPRQTRQSMLCQRGCPAKQTVRWLPRKRRGPPRHPVQGLHMETHKWARRGM
+>NM_001624|285856_2_4862_4971
+MEKISLVINTCWKKAIILVCLQWDARLEQLSSLFVL
+>DECOY_NM_001624|285856_2_4862_4971
+LVFLSSLQELRADWQLCVLIIAKKWCTNIVLSIKEM
+>NM_001624|285860_2_5135_5217
+MAVTEGDSSYCHLQKYLIGMNSVAVAK
+>DECOY_NM_001624|285860_2_5135_5217
+KAVAVSNMGILYKQLHCYSSDGETVAM
+>NM_003460|285896_3_215_309
+MACICGGSSWSRHAELHLHPGPRKAHPEGYL
+>DECOY_NM_003460|285896_3_215_309
+LYGEPHAKRPGPHLHLEAHRSWSSGGCICAM
+>NM_001298|286111_2_505_932
+MASAEPTRQVRRHRQCCSRGSPWRPEDWLTPGRAPSPARGSPGCRASSSCCAGGLPGMCTTRTRDRTLFLIVSVEPSLRRCPAKKAMPRQMWAARSQQTEGEAPGPWPNATLTPATTRRRRRRRKRRMRSWWTRPATCTTAG
+>DECOY_NM_001298|286111_2_505_932
+GATTCTAPRTWWSRMRRKRRRRRRRTTAPTLTANPWPGPAEGETQQSRAAWMQRPMAKKAPCRRLSPEVSVILFLTRDRTRTTCMGPLGGACCSSSARCGPSGRAPSPARGPTLWDEPRWPSGRSCCQRHRRVQRTPEASAM
+>NM_001079878|286193_2_505_878
+MASAEPTRQVRRHRQCCSRGSPWRPEDWLTPGRAPSPARGSPGCRASSSCCAGGLPGMCTTRTRDRTLFLIVSVEPSLRRCPAKKAMPRQMWAARSQQTEGEGRRRKRRMRSWWTRPATCTTAG
+>DECOY_NM_001079878|286193_2_505_878
+GATTCTAPRTWWSRMRRKRRRGEGETQQSRAAWMQRPMAKKAPCRRLSPEVSVILFLTRDRTRTTCMGPLGGACCSSSARCGPSGRAPSPARGPTLWDEPRWPSGRSCCQRHRRVQRTPEASAM
+>NM_001142795|286297_2_1701_1801
+MGPVLVLYNIHQFTNYTMKREETQKSVSLLRHA
+>DECOY_NM_001142795|286297_2_1701_1801
+AHRLLSVSKQTEERKMTYNTFQHINYLVLVPGM
+>NM_001142795|286308_3_1540_1622
+MGAYSQERRCTVQHSNDQSNPCCTDSI
+>DECOY_NM_001142795|286308_3_1540_1622
+ISDTCCPNSQDNSHQVTCRREQSYAGM
+>NM_001846|286333_2_503_582
+MGHQDYKDSRDCRDVKETRVKGEPPE
+>DECOY_NM_001846|286333_2_503_582
+EPPEGKVRTEKVDRCDRSDKYDQHGM
+>NM_001846|286335_2_692_1023
+MAATEPRETQVHRGPPALRGSPGLPGPKDQKGRKVSLMHCLKRSATDIGVNLESLDWSVSRDLPAALGMWDRWVQLELQGDQDHLDPLDQKDSKATEDLVSTELRVKRVT
+>DECOY_NM_001846|286335_2_692_1023
+TVRKVRLETSVLDETAKSDKQDLPDLHDQDGQLELQVWRDWMGLAAPLDRSVSWDLSELNVGIDTASRKLCHMLSVKRGKQDKPGPLGPSGRLAPPGRHVQTERPETAAM
+>NM_001846|286340_2_1472_1644
+MEIRGEACRVRWDPRASSETPASLRSTGAHLDLMESEGLQDPPGSLDHLDLMASCLG
+>DECOY_NM_001846|286340_2_1472_1644
+GLCSAMLDLHDLSGPPDQLGESEMLDLHAGTSRLSAPTESSARPDWRVRCAEGRIEM
+>NM_001846|286342_2_2009_2265
+MAAQAAMGSMDSPASQALPVMASRALQGTQAIQEYLERRVLQEKWAPQDWAFPASKANVVSLETPAYLDHQASWALLAPQGPQDK
+>DECOY_NM_001846|286342_2_2009_2265
+KDQPGQPALLAWSAQHDLYAPTELSVVNAKSAPFAWDQPAWKEQLVRRELYEQIAQTGQLARSAMVPLAQSAPSDMSGMAAQAAM
+>NM_001846|286343_2_2027_2265
+MGSMDSPASQALPVMASRALQGTQAIQEYLERRVLQEKWAPQDWAFPASKANVVSLETPAYLDHQASWALLAPQGPQDK
+>DECOY_NM_001846|286343_2_2027_2265
+KDQPGQPALLAWSAQHDLYAPTELSVVNAKSAPFAWDQPAWKEQLVRRELYEQIAQTGQLARSAMVPLAQSAPSDMSGM
+>NM_001846|286345_2_2069_2265
+MASRALQGTQAIQEYLERRVLQEKWAPQDWAFPASKANVVSLETPAYLDHQASWALLAPQGPQDK
+>DECOY_NM_001846|286345_2_2069_2265
+KDQPGQPALLAWSAQHDLYAPTELSVVNAKSAPFAWDQPAWKEQLVRRELYEQIAQTGQLARSAM
+>NM_001846|286355_2_3821_4161
+MAGRELRAYQVFRDSVGSAAYTACQAPRAFQDPQVLTSTETQASQALLGKEVTQERPTPFQALWESQDRKETKELQGNEAHLGAQDFRGSQASHPLPTSLGHLVTKGRQGYLA
+>DECOY_NM_001846|286355_2_3821_4161
+ALYGQRGKTVLHGLSTPLPHSAQSGRFDQAGLHAENGQLEKTEKRDQSEWLAQFPTPREQTVEKGLLAQSAQTETSTLVQPDQFARPAQCATYAASGVSDRFVQYARLERGAM
+>NM_001846|286360_3_4266_4339
+MGRGLRAPGQAWCVWSPRRKRAQG
+>DECOY_NM_001846|286360_3_4266_4339
+GQARKRRPSWVCWAQGPARLGRGM
+>NM_001407|286482_2_498_808
+MGGLAWGSGSLSSWGSEGEGKAPGIVEGPLSSRMRSWGLNTASSHWAAANERQDRDQGLCYTGAQRSPLAGGQDLCKEVVCHQGLCPQGSRARGTARPSLQTF
+>DECOY_NM_001407|286482_2_498_808
+FTQLSPRATGRARSGQPCLGQHCVVEKCLDQGGALPSRQAGTYCLGQDRDQRENAAAWHSSATNLGWSRMRSSLPGEVIGPAKGEGESGWSSLSGSGWALGGM
+>NM_001407|286508_2_5418_5599
+MAPWQAAKPSYTFVTQAPARTVASARSAGAASAATALWASAAKTVSLLWPIPTISVATAH
+>DECOY_NM_001407|286508_2_5418_5599
+HATAVSITPIPWLLSVTKAASAWLATAASAAGASRASAVTRAPAQTVFTYSPKAAQWPAM
+>NM_001407|286509_2_5814_5950
+MAGGTICGWSCRRNQVAGGATMSLWSHWTLASSRTPWRWGVSCRA
+>DECOY_NM_001407|286509_2_5814_5950
+ARCSVGWRWPTRSSALTWHSWLSMTAGGAVQNRRCSWGCITGGAM
+>NM_001407|286518_2_7755_7948
+MVCGQHGTASWCTGMGPTHGVAAAGQGPLGSSWMPLPVRGWRATWSCWLCSPTWSWLCLWLRWC
+>DECOY_NM_001407|286518_2_7755_7948
+CWRLWLCLWSWTPSCLWCSWTARWGRVPLPMWSSGLPGQGAAAVGHTPGMGTCWSATGHQGCVM
+>NM_001407|286519_2_7797_7948
+MGPTHGVAAAGQGPLGSSWMPLPVRGWRATWSCWLCSPTWSWLCLWLRWC
+>DECOY_NM_001407|286519_2_7797_7948
+CWRLWLCLWSWTPSCLWCSWTARWGRVPLPMWSSGLPGQGAAAVGHTPGM
+>NM_001407|286525_2_8283_8362
+MGTLTSAGSQSTSPSSGALLALLSWS
+>DECOY_NM_001407|286525_2_8283_8362
+SWSLLALLAGSSPSTSQSGASTLTGM
+>NM_001407|286529_2_8856_9256
+MAQPLTTLTTASRLMLAPLTWTWPCSIEMLAQTPTLTVTCPWRRRGVSPFHLQKARTMAGRGGASNGHSAEQPRVRGSSPTPKMWMAMTSCPTGQPWGSARQPPVLCRLGALKGAWGWTPARMQLTTTSQTRP
+>DECOY_NM_001407|286529_2_8856_9256
+PRTQSTTTLQMRAPTWGWAGKLAGLRCLVPPQRASGWPQGTPCSTMAMWMKPTPSSGRVRPQEASHGNSAGGRGAMTRAKQLHFPSVGRRRWPCTVTLTPTQALMEISCPWTWTLPALMLRSATTLTTLPQAM
+>NM_001407|286532_2_9027_9256
+MAGRGGASNGHSAEQPRVRGSSPTPKMWMAMTSCPTGQPWGSARQPPVLCRLGALKGAWGWTPARMQLTTTSQTRP
+>DECOY_NM_001407|286532_2_9027_9256
+PRTQSTTTLQMRAPTWGWAGKLAGLRCLVPPQRASGWPQGTPCSTMAMWMKPTPSSGRVRPQEASHGNSAGGRGAM
+>NM_001407|286541_3_904_1187
+MGNREQGSGRESHDIRSRKDSPPAELSSRGLGIWPRAGFSTTHGEDSSCIRFSTPRVSDSSRAGAQAHALPGSLPLPLPPAAPRAASPGTPGPS
+>DECOY_NM_001407|286541_3_904_1187
+SPGPTGPSAARPAAPPLPLPLSGPLAHAQAGARSSDSVRPTSFRICSSDEGHTTSFGARPWIGLGRSSLEAPPSDKRSRIDHSERGSGQERNGM
+>NM_001407|286543_3_5077_5180
+MAYSASEILQQAPDRCPRGCTGPLQGQGGCAKRG
+>DECOY_NM_001407|286543_3_5077_5180
+GRKACGGQGQLPGTCGRPCRDPAQQLIESASYAM
+>NM_001407|286545_3_5638_5813
+MVPGAGISDTGNAGGPDASAGWATQHAPLPARSGVTVCDSDQGLGPCFPSPSGPGDCQ
+>DECOY_NM_001407|286545_3_5638_5813
+QCDGPGSPSPFCPGLGQDSDCVTVGSRAPLPAHQTAWGASADPGGANGTDSIGAGPVM
+>NM_002086|286584_2_595_725
+MGPFLSERVRALLGTSPSLSSLETMCSTSRCSEMEPGSTSSGW
+>DECOY_NM_002086|286584_2_595_725
+WGSSTSGPEMESCRSTSCMTELSSLSPSTGLLARVRESLFPGM
+>NM_002086|286585_2_871_995
+MESWASAGEILSMSWITQTPTGGKELATGRPACFPAIMSPP
+>DECOY_NM_002086|286585_2_871_995
+PPSMIAPFCAPRGTALEKGGTPTQTIWSMSLIEGASAWSEM
+>NM_015103|286635_2_2015_2136
+MGTTSALWLGSQALPLVTRLPTATSCRGTSFRPSPPTRTT
+>DECOY_NM_015103|286635_2_2015_2136
+TTRTPPSPRFSTGRCSTATPLRTVLPLAQSGLWLASTTGM
+>NM_015103|286638_2_2717_2796
+MAAACGGLCSPWLAPAPPPRSARLSP
+>DECOY_NM_015103|286638_2_2717_2796
+PSLRASRPPPAPALWPSCLGGCAAAM
+>NM_175056|286735_2_368_528
+MGTPTAEGSSITTPFQQWSFLSSISAPWRAVETTWWYPQFLESVLMEMQLQCK
+>DECOY_NM_175056|286735_2_368_528
+KCQLQMEMLVSELFQPYWWTTEVARWPASISSLFSWQQFPTTISSGEATPTGM
+>NM_018674|286832_2_990_1252
+MGSVTPSTRTRGARCPAGQGAWAVAWRSCWTSSRRSTCPSGGRQMRRRLRQVFGCRSTARRSRPTSTSWGSGCPQASRPLCPARNSG
+>DECOY_NM_018674|286832_2_990_1252
+GSNRAPCLPRSAQPCGSGWSTSTPRSRRATSRCGFVQRLRRRMQRGGSPCTSRRSSTWCSRWAVAWAGQGAPCRAGRTRTSPTVSGM
+>NM_018674|286835_2_1533_1642
+MGKRSPWSGSPTGAQPGTWRGSTTATRPTYGRTSWS
+>DECOY_NM_018674|286835_2_1533_1642
+SWSTRGYTPRTATTSGRWTGPQAGTPSGSWPSRKGM
+>NM_018674|286838_3_1822_1997
+MEASQDPPADLHWGHLHFGASGAEGTESLPEPGPSGGWGGQQSAPQSPPPPRSPRRSL
+>DECOY_NM_018674|286838_3_1822_1997
+LSRRPSRPPPPSQPASQQGGWGGSPGPEPLSETGEAGSAGFHLHGWHLDAPPDQSAEM
+>NM_018312|286928_2_1959_2149
+MVALMRKIYGRKSTSHSHQNPKDDPARGVQTVRKVQTLKKKMEQSKTCLNPAVPTRRIKWRWT
+>DECOY_NM_018312|286928_2_1959_2149
+TWRWKIRRTPVAPNLCTKSQEMKKKLTQVKRVTQVGRAPDDKPNQHSHSTSKRGYIKRMLAVM
+>NM_012164|287239_3_993_1135
+MGFICWDMPEHTHRAHGMGHQGSFAEVQSQVSLAQSWRLHPLKCRQI
+>DECOY_NM_012164|287239_3_993_1135
+IQRCKLPHLRWSQALSVQSQVEAFSGQHGMGHARHTHEPMDWCIFGM
+>NM_012164|287241_3_1044_1135
+MGHQGSFAEVQSQVSLAQSWRLHPLKCRQI
+>DECOY_NM_012164|287241_3_1044_1135
+IQRCKLPHLRWSQALSVQSQVEAFSGQHGM
+>NM_022041|287286_2_1103_1227
+MEFSQQKDFCLYSGAKMKISRLLAQEKSMIQMQIHGQHCHL
+>DECOY_NM_022041|287286_2_1103_1227
+LHCHQGHIQMQIMSKEQALLRSIKMKAGSYLCFDKQQSFEM
+>NM_173214|287440_2_3200_3294
+MALFHHHHLPTYLLKMKNSSRFSPRHTTQRP
+>DECOY_NM_173214|287440_2_3200_3294
+PRQTTHRPSFRSSNKMKLLYTPLHHHHFLAM
+>NM_173214|287445_2_4235_4359
+MEKKLEHKQNRFRTVSFRPWSKCNIVGTINLKLTFFHPQKV
+>DECOY_NM_173214|287445_2_4235_4359
+VKQPHFFTLKLNITGVINCKSWPRFSVTRFRNQKHELKKEM
+>NM_030952|287485_2_1163_1563
+MGPRCAASSSSMHLVGEAPPLAWSASIRSRSPARRMTWPSLSTVTRLMTLPIALARATSSCQRAFSRRRCQPLQKGYRRTLRSSAQSLRAQGRLPRCSPRRAFSRSPDSASLATTPLPSPVNLGSSWTQATCL
+>DECOY_NM_030952|287485_2_1163_1563
+LCTAQTWSSGLNVPSPLPTTALSASDPSRSFARRPSCRPLRGQARLSQASSRLTRRYGKQLPQCRRRSFARQCSSTARALAIPLTMLRTVTSLSPWTMRRAPSRSRISASWALPPAEGVLHMSSSSAACRPGM
+>NM_183420|287654_2_324_406
+MAGRDVNLVVRNLKERITVVTSVTALS
+>DECOY_NM_183420|287654_2_324_406
+SLATVSTVVTIREKLNRVVLNVDRGAM
+>NM_183420|287655_2_417_595
+MEKYSIMKSMNMHRKKGKRTILEMTQILKVFIVKNGSMSIKKAQRKGMAIAPWEKPLIG
+>DECOY_NM_183420|287655_2_417_595
+GILPKEWPAIAMGKRQAKKISMSGNKVIFVKLIQTMELITRKGKKRHMNMSKMISYKEM
+>NM_152594|287681_2_492_694
+MAVLTFLSVESDSGTKWWFWNVCLKKTSFIIRSLQHFTTGRLMTRSLVLRFKVLLMLGLLIEVSEEL
+>DECOY_NM_152594|287681_2_492_694
+LEESVEILLGLMLLVKFRLVLSRTMLRGTTFHQLSRIIFSTKKLCVNWFWWKTGSDSEVSLFTLVAM
+>NM_152594|287687_2_1320_1549
+MVNVLAAYTARKGLIMKKMLGENVRMLQTLLKDAYIKLVACSVQRACCIIVCQTQREIFLIPVRVTLATTSSACDG
+>DECOY_NM_152594|287687_2_1320_1549
+GDCASSTTALTVRVPILFIERQTQCVIICCARQVSCAVLKIYADKLLTQLMRVNEGLMKKMILGKRATYAALVNVM
+>NM_152594|287696_3_1543_1625
+MVSPGSFVFHCTMYVLLRPFENVPSLW
+>DECOY_NM_152594|287696_3_1543_1625
+WLSPVNEFPRLLVYMTCHFVFSGPSVM
+>NM_004698|287727_3_200_327
+MDREDSEEGPGFLRAYGGHSSIELCGEGHGQEEGSRSSETFS
+>DECOY_NM_004698|287727_3_200_327
+SFTESSRSGEEQGHGEGCLEISSHGGYARLFGPGEESDERDM
+>NM_145686|287792_2_152_264
+MAPMDKSIRVDMLKRVSWQPSKLWMSLRMKRKKSNWR
+>DECOY_NM_145686|287792_2_152_264
+RWNSKKRKMRLSMWLKSPQWSVRKLMDVRISKDMPAM
+>NM_145686|287795_2_311_405
+MVLSSKRALQDMMTNSGLLWSSVGLGPLQTL
+>DECOY_NM_145686|287795_2_311_405
+LTQLPGLGVSSWLLGSNTMMDQLARKSSLVM
+>NM_001538|288010_3_1036_1160
+MGAGGGPRHRPPDPLEPERDQFPRKRPEPFRQGSAAPVFQA
+>DECOY_NM_001538|288010_3_1036_1160
+AQFVPAASGQRFPEPRKRPFQDREPELPDPPRHRPGGGAGM
+>NM_145701|288077_2_322_680
+MGRGAQWHPRLQSGRRSTAWSPRRSCAVQRGGKRGHILLLAWGTATHRVQFLTFAQSPQHRHQGTCRAAPGRWMALEKTEEAFTSHLIRYLKRWRLKTPAAWKSCSQTWTAPTTTWTQY
+>DECOY_NM_145701|288077_2_322_680
+YQTWTTTPATWTQSCSKWAAPTKLRWRKLYRILHSTFAEETKELAMWRGPAARCTGQHRHQPSQAFTLFQVRHTATGWALLLIHGRKGGRQVACSRRPSWATSRRGSQLRPHWQAGRGM
+>NM_018046|288308_2_1792_2006
+MAQLLMENRFFSRKLNVTLTYLSMEMKSKLEKLSYPFTFILAVIPVMAVNQGRLEPTFALIRKMNLLLVQH
+>DECOY_NM_018046|288308_2_1792_2006
+HQVLLLNMKRILAFTPELRGQNVAMVPIVALIFTFPYSLKELKSKMEMSLYTLTVNLKRSFFRNEMLLQAM
+>NM_018046|288310_2_1861_2006
+MEMKSKLEKLSYPFTFILAVIPVMAVNQGRLEPTFALIRKMNLLLVQH
+>DECOY_NM_018046|288310_2_1861_2006
+HQVLLLNMKRILAFTPELRGQNVAMVPIVALIFTFPYSLKELKSKMEM
+>NM_018046|288312_2_1930_2006
+MAVNQGRLEPTFALIRKMNLLLVQH
+>DECOY_NM_018046|288312_2_1930_2006
+HQVLLLNMKRILAFTPELRGQNVAM
+>NM_005183|288387_2_543_667
+MAGTYSTSSSSWSGCSAFCWSRAPDGQATPRTPGESQEASM
+>DECOY_NM_005183|288387_2_543_667
+MSAEQSEGPTRPTAQGDPARSWCFASCGSWSSSSTSYTGAM
+>NM_005183|288391_2_2217_2311
+MVSWHMVAPSSQECWCASISSFSSSVATTSC
+>DECOY_NM_005183|288391_2_2217_2311
+CSTTAVSSSFSSISACWCEQSSPAVMHWSVM
+>NM_005183|288400_2_3369_3445
+MAPSIITVWRSQCSSLSTSSSLRSS
+>DECOY_NM_005183|288400_2_3369_3445
+SSRLSSSTSLSSCQSRWVTIISPAM
+>NM_005183|288404_2_3888_4069
+MVATLARALRTAPAFPLPSFASSELCGWSSFSVRVKGSAHCSGHSSSPSRPCPMWLFSSQ
+>DECOY_NM_005183|288404_2_3888_4069
+QSSFLWMPCPRSPSSSHGSCHASGKVRVSFSSWGCLESSAFSPLPFAPATRLARALTAVM
+>NM_005183|288412_2_5727_5854
+MGRGAVPTAWWRLCLSQRVWASLLETHVSWPWPSRRLQMRVA
+>DECOY_NM_005183|288412_2_5727_5854
+AVRMQLRRSPWPWSVHTELLSAWVRQSLCLRWWATPVAGRGM
+>NM_001039697|288597_2_949_1073
+MESFRLLEWKISPSMTCVLNWVFLTYTVIRETVNMSLSLLT
+>DECOY_NM_001039697|288597_2_949_1073
+TLLSLSMNVTERIVTYTLFVWNLVCTMSPSIKWELLRFSEM
+>NM_018127|288726_2_2166_2239
+MVWKRKQWKRHTAQRPKPSAWGCG
+>DECOY_NM_018127|288726_2_2166_2239
+GCGWASPKPRQATHRKWQKRKWVM
+>NM_032656|288777_2_1816_2222
+MAGKMEVSSRMPPSRSTCSRCTLCWPQRSKHRSLSLHRRGLGCVLWPPMWPRRRLPSLASSTWWTVGRSRNATTTASLAYPPSVSPGSPRHQLTSERAEQDGRSPATATGCIHLRFLVTSSSFLLQKSPGGLLKT
+>DECOY_NM_032656|288777_2_1816_2222
+TKLLGGPSKQLLFSSSTVLFRLHICGTATAPSRGDQEARESTLQHRPSGPSVSPPYALSATTTANRSRGVTWWTSSALSPLRRRPWMPPWLVCGLGRRHLSLSRHKSRQPWCLTCRSCTSRSPPMRSSVEMKGAM
+>NM_032656|288778_2_1828_2222
+MEVSSRMPPSRSTCSRCTLCWPQRSKHRSLSLHRRGLGCVLWPPMWPRRRLPSLASSTWWTVGRSRNATTTASLAYPPSVSPGSPRHQLTSERAEQDGRSPATATGCIHLRFLVTSSSFLLQKSPGGLLKT
+>DECOY_NM_032656|288778_2_1828_2222
+TKLLGGPSKQLLFSSSTVLFRLHICGTATAPSRGDQEARESTLQHRPSGPSVSPPYALSATTTANRSRGVTWWTSSALSPLRRRPWMPPWLVCGLGRRHLSLSRHKSRQPWCLTCRSCTSRSPPMRSSVEM
+>NM_032789|288804_2_646_830
+MVPLWTCCCWSCTWRMSAAVVGGPWRTCNAYPGPWALLPPSSSGKWQNECCSRSTGCRAQS
+>DECOY_NM_032789|288804_2_646_830
+SQARCGTSRSCCENQWKGSSSPPLLAWPGPYANCTRWPGGVVAASMRWTCSWCCCTWLPVM
+>NM_001136536|288856_2_1631_1776
+MEKTTHLFFTCKENLGWNSKSKKWTNLETIVALITKAPLSFIKYLKER
+>DECOY_NM_001136536|288856_2_1631_1776
+REKLYKIFSLPAKTILAVITELNTWKKSKSNWGLNEKCTFFLHTTKEM
+>NM_001856|288880_2_423_508
+MGQIQVHNAHLHSRKDSNWNTVVACQPT
+>DECOY_NM_001856|288880_2_423_508
+TPQCAVVTNWNSDKRSHLHANHVQIQGM
+>NM_001856|288890_2_2292_2404
+MGMSVWWPCLAHPERRGNLGLQALACQENRARLESVD
+>DECOY_NM_001856|288890_2_2292_2404
+DVSELRARNEQCALAQLGLNGRREPHALCPWWVSMGM
+>NM_001856|288893_2_2910_3484
+MGSKDRRDSEEHQVKKDHEERRVSQGSAPAPLKETSSSLACRVLRDFGWAAPGSRARRVHQVFPDHQAPLEYLGCRECLETTVCQDSLGSLQNWDPYQLNSTSLRVSAGTVSRGRGPTQGTSWRRERRETRASLVCQASTTAPSAFCHWSAQEPRRPGVTTVREILAVLGAQAYLVLRDCQAREEKRVRLA
+>DECOY_NM_001856|288893_2_2910_3484
+ALRVRKEERAQCDRLVLYAQAGLVALIERVTTVGPRRPEQASWHCFASPATTSAQCVLSARTERRERRWSTGQTPGRGRSVTGASVRLSTSNLQYPDWNQLSGLSDQCVTTELCERCGLYELPAQHDPFVQHVRRARSGPAAWGFDRLVRCALSSSTEKLPAPASGQSVRREEHDKKVQHEESDRRDKSGM
+>NM_001856|288899_2_4806_4894
+MVLRAGQVLQGHLGSLVRLAEKDGRACQE
+>DECOY_NM_001856|288899_2_4806_4894
+EQCARGDKEALRVLSGLHGQLVQGARLVM
+>NM_001856|288900_2_4956_5152
+MVFPAPQVLKVLQAMARWVQQDQWASKASLASLGPRVPWASQARLATVIPLTALGPCRWSSSTHP
+>DECOY_NM_001856|288900_2_4956_5152
+PHTSSSWRCPGLATLPIVTALRAQSAWPVRPGLSALSAKSAWQDQQVWRAMAQLVKLVQPAPFVM
+>NM_001856|288901_2_4998_5152
+MARWVQQDQWASKASLASLGPRVPWASQARLATVIPLTALGPCRWSSSTHP
+>DECOY_NM_001856|288901_2_4998_5152
+PHTSSSWRCPGLATLPIVTALRAQSAWPVRPGLSALSAKSAWQDQQVWRAM
+>NM_144571|288962_2_1430_1503
+MERMEAQKGESHMASNLRAPMKIT
+>DECOY_NM_144571|288962_2_1430_1503
+TIKMPARLNSAMHSEGKQAEMREM
+>NM_144571|288972_3_600_676
+MDYIKRTRPNSAVSIIHGYVLQCVM
+>DECOY_NM_144571|288972_3_600_676
+MVCQLVYGHIISVASNPRTRKIYDM
+>NM_015710|288995_3_461_639
+MGEAGQAGRAAPGGAQGPGPAPQPFCNKGQARAPGHRRAALLRPLGLRQPPGQAVGWPG
+>DECOY_NM_015710|288995_3_461_639
+GPWGVAQGPPQRLGLPRLLAARRHGPARAQGKNCFPQPAPGPGQAGGPAARGAQGAEGM
+>NM_004259|289019_2_1996_2171
+MGSPMTWEAVPRAAVPKLSPRSPMSMTFHQPPMCTRSNPSGWELVSPKAPARSRRPRN
+>DECOY_NM_004259|289019_2_1996_2171
+NRPRRSRAPAKPSVLEWGSPNSRTCMPPQHFTMSMPSRPSLKPVAARPVAEWTMPSGM
+>NM_004259|289025_2_2266_2414
+MGVSPSLGPEGRSLEAALTMGGPPLRRRQKVPLGAAPLPRAGLARNSSS
+>DECOY_NM_004259|289025_2_2266_2414
+SSSNRALGARPLPAAGLPVKQRRRLPPGGMTLAAELSRGEPGLSPSVGM
+>NM_004259|289026_2_2323_2414
+MGGPPLRRRQKVPLGAAPLPRAGLARNSSS
+>DECOY_NM_004259|289026_2_2323_2414
+SSSNRALGARPLPAAGLPVKQRRRLPPGGM
+>NM_004259|289027_2_2581_2795
+MEPGDIRLPLPRLRSASGRGQAPARPETRAPLKSSPPLQRTHGRASGLDPSRRTQRASLRRGHAPQPSPPS
+>DECOY_NM_004259|289027_2_2581_2795
+SPPSPQPAHGRRLSARQTRRSPDLGSARGHTRQLPPSSKLPARTEPRAPAQGRGSASRLRPLPLRIDGPEM
+>NM_030918|289094_2_542_636
+MVRSLWYIMFTWQGGSCVLSGTGSLLSYTRT
+>DECOY_NM_030918|289094_2_542_636
+TRTYSLLSGTGSLVCSGGQWTFMIYWLSRVM
+>NM_003907|289174_2_1070_1194
+MEWRFDMIYWIVISASVLLRWHNSLQTTLTTKLEMTLCEVS
+>DECOY_NM_003907|289174_2_1070_1194
+SVECLTMELKTTLTTQLSNHWRLLVSASIVIWYIMDFRWEM
+>NM_003907|289176_2_1250_1431
+MVPVSPTYTCTQLSVLTSSADGSTLSPQRRTSLTAPPRAALIPGTTSTEGLRSAWAMAAS
+>DECOY_NM_003907|289176_2_1250_1431
+SAAMAWASRLGETSTTGPILAARPPATLSTRRQPSLTSGDASSTLVSLQTCTYTPSVPVM
+>NM_015204|289239_2_1501_1682
+MELSPVPRMAGELQSGLSAVWTLCSVSRTRGAATRRPSVEGASRPERCTACRPTKTSSHN
+>DECOY_NM_015204|289239_2_1501_1682
+NHSSTKTPRCATCREPRSAGEVSPRRTAAGRTRSVSCLTWVASLGSQLEGAMRPVPSLEM
+>NM_015204|289241_2_2071_2534
+MEKKLTDSCAEMPSSPSLWPVMPHARKTVCSAHGLRGPPAHTPAQGKRQKGNRYEHDPFWPMRVKKVEFAVQIAVLCKKYEAVMSILAQCTTGKLVPGASALRTPQYRPSTQLRLGMGRPPALSACRQEKSSVCESMWAKWDPKNVLKAFDLKL
+>DECOY_NM_015204|289241_2_2071_2534
+LKLDFAKLVNKPDWKAWMSECVSSKEQRCASLAPPRGMGLRLQTSPRYQPTRLASAGPVLKGTTCQALISMVAEYKKCLVAIQVAFEVKKVRMPWFPDHEYRNGKQRKGQAPTHAPPGRLGHASCVTKRAHPMVPWLSPSSPMEACSDTLKKEM
+>NM_015204|289246_2_2419_2534
+MGRPPALSACRQEKSSVCESMWAKWDPKNVLKAFDLKL
+>DECOY_NM_015204|289246_2_2419_2534
+LKLDFAKLVNKPDWKAWMSECVSSKEQRCASLAPPRGM
+>NM_015204|289248_2_2878_2978
+MEDRLESMSAYSMQALCQPLPRPARSPARMTVN
+>DECOY_NM_015204|289248_2_2878_2978
+NVTMRAPSRAPRPLPQCLAQMSYASMSELRDEM
+>NM_015204|289250_2_3007_3101
+METVVQLGPESALLLEKVKRRKNVKIPICIP
+>DECOY_NM_015204|289250_2_3007_3101
+PICIPIKVNKRRKVKELLLASEPGLQVVTEM
+>NM_015204|289251_2_3277_3416
+MAGLWKHLDVTAMVTLRRPASSPAPQTASSVSGPTGRAAASPVGVV
+>DECOY_NM_015204|289251_2_3277_3416
+VVGVPSAAARGTPGSVSSATQPAPSSAPRRLTVMATVDLHKWLGAM
+>NM_015204|289252_2_3313_3416
+MVTLRRPASSPAPQTASSVSGPTGRAAASPVGVV
+>DECOY_NM_015204|289252_2_3313_3416
+VVGVPSAAARGTPGSVSSATQPAPSSAPRRLTVM
+>NM_015204|289253_2_3454_3578
+MEEGLAPNWTMSTRHRCMRLSHATVTATSTYGSQSPGASAR
+>DECOY_NM_015204|289253_2_3454_3578
+RASAGPSQSGYTSTATVTAHSLRMCRHRTSMTWNPALGEEM
+>NM_015204|289256_2_4285_4361
+MASGLHAKCRRPSVEKGPEQGTFLV
+>DECOY_NM_015204|289256_2_4285_4361
+VLFTGQEPGKEVSPRRCKAHLGSAM
+>NM_015204|289258_2_4663_4748
+MDSAMNINGWPVLGRALPEQCGVKGQMV
+>DECOY_NM_015204|289258_2_4663_4748
+VMQGKVGCQEPLARGLVPWGNINMASDM
+>NM_004727|289330_2_2835_3055
+MEGEVMEGIAKRRKRRRKSRRKRRRRKSRRKRRRRRRKRRRREMKSLCPWTGLKPGRSRPFTSSFCPSCSHCG
+>DECOY_NM_004727|289330_2_2835_3055
+GCHSCSPCFSSTFPRSRGPKLGTWPCLSKMERRRRKRRRRRRKRRSKRRRRKRRSKRRRKRRKAIGEMVEGEM
+>NM_004727|289331_2_2850_3055
+MEGIAKRRKRRRKSRRKRRRRKSRRKRRRRRRKRRRREMKSLCPWTGLKPGRSRPFTSSFCPSCSHCG
+>DECOY_NM_004727|289331_2_2850_3055
+GCHSCSPCFSSTFPRSRGPKLGTWPCLSKMERRRRKRRRRRRKRRSKRRRRKRRSKRRRKRRKAIGEM
+>NM_152298|289352_2_331_488
+MERQLMSVEKPSFSMGNHFWSWQEWRMVCWETPWKVCMWKRKKEKKQKMNLW
+>DECOY_NM_152298|289352_2_331_488
+WLNMKQKKEKKRKWMCVKWPTEWCVMRWEQWSWFHNGMSFSPKEVSMLQREM
+>NM_152298|289355_2_409_488
+MVCWETPWKVCMWKRKKEKKQKMNLW
+>DECOY_NM_152298|289355_2_409_488
+WLNMKQKKEKKRKWMCVKWPTEWCVM
+>NM_021100|289384_2_317_393
+MGTHTPGHMLMAGRVRQPWNVLVSK
+>DECOY_NM_021100|289384_2_317_393
+KSVLVNWPQRVRGAMLMHGPTHTGM
+>NM_007118|289529_2_4867_4985
+MERIWTAKETAAASLIRFPSPHGRLRTRWTAISSLVAVS
+>DECOY_NM_007118|289529_2_4867_4985
+SVAVLSSIATWRTRLRGHPSPFRILSAAATEKATWIREM
+>NM_001002233|289623_2_1715_2013
+MGVQARLLAHPWTILYPAPPLLRSFLSHTLSPALHILTLTTPAQQNLKKKPQQRAPLVELKILARGSHSSRPGSHPRRHIQSQLSQALELGQPSTDFIL
+>DECOY_NM_001002233|289623_2_1715_2013
+LIFDTSPQGLELAQSLQSQIHRRPHSGPRSSHSGRALIKLEVLPARQQPKKKLNQQAPTTLTLIHLAPSLTHSLFSRLLPPAPYLITWPHALLRAQVGM
+>NM_001829|289666_3_892_971
+MASSNTNRIGIRGTGRINRHCCRLDD
+>DECOY_NM_001829|289666_3_892_971
+DDLRCCHRNIRGTGRIGIRNTNSSAM
+>NM_001829|289668_3_1084_1241
+MGRINHRSSRGSWFLYHELHNVHLLGLEFCLSCSFPGKGICSICLWLWNSRD
+>DECOY_NM_001829|289668_3_1084_1241
+DRSNWLWLCISCIGKGPFSCSLCFELGLLHVNHLEHYLFWSGRSSRHNIRGM
+>NM_000223|289805_2_148_353
+MGEVPLALEPAVGEAFLLLPCLVLVPALGVAPEVPWQEDWVLVMGEPWVEVALEGWGWDLGAAQEVAL
+>DECOY_NM_000223|289805_2_148_353
+LAVEQAAGLDWGWGELAVEVWPEGMVLVWDEQWPVEPAVGLAPVLVLCPLLLFAEGVAPELALPVEGM
+>NM_000223|289806_2_277_353
+MGEPWVEVALEGWGWDLGAAQEVAL
+>DECOY_NM_000223|289806_2_277_353
+LAVEQAAGLDWGWGELAVEVWPEGM
+>NM_024870|289858_2_961_1097
+MAPLISIAVDTLLLMDGRYITQQKINGLFVWQKHLKRSMNGLKLF
+>DECOY_NM_024870|289858_2_961_1097
+FLKLGNMSRKLHKQWVFLGNIKQQTIYRGDMLLLTDVAISILPAM
+>NM_024870|289860_2_1348_1460
+MESFTMLLININSNQNRCYIDFAMMMEHFIQEMRCRT
+>DECOY_NM_024870|289860_2_1348_1460
+TRCRMEQIFHEMMMAFDIYCRNQNSNINILLMTFSEM
+>NM_024870|289862_2_1651_1748
+MDLCTMSLKKANSKMNPYFSVFFRMRKWRDQI
+>DECOY_NM_024870|289862_2_1651_1748
+IQDRWKRMRFFVSFYPNMKSNAKKLSMTCLDM
+>NM_005515|289977_3_1187_1365
+METQQKGQRAGGAGSGETEGRRRGRGEGRRGGAGSRGAAGAASARRQGQRTPPAGLEGQ
+>DECOY_NM_005515|289977_3_1187_1365
+QGELGAPPTRQGQRRASAAGAAGRSGAGGRRGEGRGRRRGETEGSGAGGARQGKQQTEM
+>NM_014720|290132_2_983_1251
+MAKRKMKRRKQKILCQYLQVSVHLLTLVSPALKKINFHKMLVFWSLSQKKQNVVTLKINSTAKFLMKNPPLMNLKRLWRILMNILPMLS
+>DECOY_NM_014720|290132_2_983_1251
+SLMPLINMLIRWLRKLNMLPPNKMLFKATSNIKLTVVNQKKQSLSWFVLMKHFNIKKLAPSVLTLLHVSVQLYQCLIKQKRRKMKRKAM
+>NM_020133|290169_2_480_571
+MGRKMPSWFSTTSLKLTFCVAGACPNALGC
+>DECOY_NM_020133|290169_2_480_571
+CGLANPCAGAVCFTLKLSTTSFWSPMKRGM
+>NM_024922|290224_2_554_672
+MDQLWLPMGMWSWLQSSTALGSLASSALEMSMHLATRAS
+>DECOY_NM_024922|290224_2_554_672
+SARTALHMSMELASSALSGLATSSQLWSWMGMPLWLQDM
+>NM_024922|290228_2_1451_1548
+MGPRVLLCSEVPSSWTRAPAWPFQRPQRRRSS
+>DECOY_NM_024922|290228_2_1451_1548
+SSRRRQPRQFPWAPARTWSSPVESCLLVRPGM
+>NM_024922|290234_3_507_643
+MGPWRRSDNWRCHLLRWISSGCLWGCGRGYSPVPPWGPWLLQHWR
+>DECOY_NM_024922|290234_3_507_643
+RWHQLLWPGWPPVPSYGRGCGWLCGSSIWRLLHCRWNDSRRWPGM
+>NM_018249|290281_2_2246_2352
+MGFQIDLRLQNKQSFWLARRTRTRSKLGRMTRLIS
+>DECOY_NM_018249|290281_2_2246_2352
+SILRTMRGLKSRTRTRRALWFSQKNQLRLDIQFGM
+>NM_006868|290304_3_471_553
+MGQGAERTWSRKHCNGHRWKQVRPLRY
+>DECOY_NM_006868|290304_3_471_553
+YRLPRVQKWRHGNCHKRSWTREAGQGM
+>NM_181501|290455_2_2408_2541
+MGLFLMILYQTQYMNIFPLPKIVEIRKNVSQTSACMSPPLKRTC
+>DECOY_NM_181501|290455_2_2408_2541
+CTRKLPPSMCASTQSVNKRIEVIKPLPFINMYQTQYLIMLFLGM
+>NM_012083|290472_3_569_792
+MAQGRGHLPPLAAAPMDPSRGTRRRRRPASAPPAARALGKPHQGSRAETPTSRRRGCSHGPRKRPWARGRPQRT
+>DECOY_NM_012083|290472_3_569_792
+TRQPRGRAWPRKRPGHSCGRRRSTPTEARSGQHPKGLARAAPPASAPRRRRRTGRSPDMPAAALPPLHGRGQAM
+>NM_078481|290499_2_2101_2222
+MACPCSSWASRLPSTARATAAPDTAGWTLSRASSGASWDL
+>DECOY_NM_078481|290499_2_2101_2222
+LDWSAGSSARSLTWGATDPAATARATSPLRSAWSSCPCAM
+>NM_198474|290534_2_1181_1461
+MGSGPSTLGQAPIAIWFSQRLSRAHWEWSIHGIPHAEARMLKPHSSCVGFSMWSTVLGARALIASPASMIHWALSVRRTCPTCSSPRDQEVTP
+>DECOY_NM_198474|290534_2_1181_1461
+PTVEQDRPSSCTPCTRRVSLAWHIMSAPSAILARAGLVTSWMSFGVCSSHPKLMRAEAHPIGHISWEWHARSLRQSFWIAIPAQGLTSPGSGM
+>NM_004592|290573_2_363_457
+MDVVTCMTFLSTMLSIPRGTEIISCLKRRRE
+>DECOY_NM_004592|290573_2_363_457
+ERRRKLCSIIETGRPISLMTSLFTMCTVVDM
+>NM_004592|290575_2_567_682
+MGATMPWGSLTVATITTRQSRRRRRSLPNREKKMRPKI
+>DECOY_NM_004592|290575_2_567_682
+IKPRMKKERNPLSRRRRRSQRTTITAVTLSGWPMTAGM
+>NM_004592|290585_2_1755_1855
+MARLKTQPRWEHGQAQAGRRRHRPVRPSRTGSW
+>DECOY_NM_004592|290585_2_1755_1855
+WSGTRSPRVPRHRRRGAQAQGHEWRPQTKLRAM
+>NM_006575|290742_2_2682_2761
+MGCRVKASSQMRLPRRFQMKQEFSAY
+>DECOY_NM_006575|290742_2_2682_2761
+YASFEQKMQFRRPLRMQSSAKVRCGM
+>NM_006575|290745_3_589_719
+MDLYGILWWRITSRYLPCYWTIIRIANSLCMQRNLTGSCLFAY
+>DECOY_NM_006575|290745_3_589_719
+YAFLCSGTLNRQMCLSNAIRIITWYCPLYRSTIRWWLIGYLDM
+>NM_015024|290859_2_739_872
+MACSCNCSSSLITASTLTSSALPLMSPQTTCVQCRFPPAGDQPS
+>DECOY_NM_015024|290859_2_739_872
+SPQDGAPPFRCQVCTTQPSMLPLASSTLTSATILSSSCNCSCAM
+>NM_015024|290863_2_1381_1580
+MAWKIPWRIRGWSSSSWTSCPPLGVVNMRRRVHSSCSCLTSRPSRTRSCYRAPAQAQWTLQCRREG
+>DECOY_NM_015024|290863_2_1381_1580
+GERRCQLTWQAQAPARYCSRTRSPRSTLCSCSSHVRRRMNVVGLPPCSTWSSSSWGRIRWPIKWAM
+>NM_012446|291043_3_351_448
+MGKKHHIGGTTRILTFLVVCILGSLLCSSRET
+>DECOY_NM_012446|291043_3_351_448
+TERSSCLLSGLICVVLFTLIRTTGGIHHKKGM
+>NM_004697|291286_2_144_250
+MEVWKRRRGSVWPKESLGFWGKTDLKQGSKLEILI
+>DECOY_NM_004697|291286_2_144_250
+ILIELKSGQKLDTKGWFGLSEKPWVSGRRRKWVEM
+>NM_004697|291292_2_1176_1258
+MALWLALGDWMHLVEFGTYAQDVVSCS
+>DECOY_NM_004697|291292_2_1176_1258
+SCSVVDQAYTGFEVLHMWDGLALWLAM
+>NM_004246|291311_2_739_1040
+MGGCPTCQRCPPPAAQSRFSCITLWVPITYGCWLKASTSTRCWSPQCFLRGGCGPDTCCWVGPSLCYLLYPGVSPVHTWRTQGAGQQMGIRKSGGSSEDP
+>DECOY_NM_004246|291311_2_739_1040
+PDESSGGSKRIGMQQGAGQTRWTHVPSVGPYLLYCLSPGVWCCTDPGCGGRLFCQPSWCRTSTSAKLWCGYTIPVWLTICSFRSQAAPPPCRQCTPCGGM
+>NM_015480|291379_2_325_413
+MDSLFKENIREESCLKITHLMMQQLLCIT
+>DECOY_NM_015480|291379_2_325_413
+TICLLQQMMLHTIKLCSEERINEKFLSDM
+>NM_001040202|291406_3_620_702
+MDGIRLCRNFYWNTGLLCLRSILRILL
+>DECOY_NM_001040202|291406_3_620_702
+LLIRLISRLCLLGTNWYFNRCLRIGDM
+>NM_001040202|291407_3_797_1104
+MAKAPFYHLLFCFGIWSDSYSSLGLAQWRNWCSYCTGLCTPCNCDVYDCSSCFPILHFQSPRAVLSRTTKLPRIKPPNMAYPCSSDVILVASVNSVCHAVQT
+>DECOY_NM_001040202|291407_3_797_1104
+TQVAHCVSNVSAVLIVDSSCPYAMNPPKIRPLKTTRSLVARPSQFHLIPFCSSCDYVDCNCPTCLGTCYSCWNRWQALGLSSYSDSWIGFCFLLHYFPAKAM
+>NM_001040202|291408_3_1031_1104
+MAYPCSSDVILVASVNSVCHAVQT
+>DECOY_NM_001040202|291408_3_1031_1104
+TQVAHCVSNVSAVLIVDSSCPYAM
+>NM_001122679|291472_2_169_407
+METESQTSSTGSQMSFLDKEPTSPLPNWASVSPPHTEAATAPTWGSFTRATPLAQGLTPTPTPREGCLQNTPSDCGAEG
+>DECOY_NM_001122679|291472_2_169_407
+GEAGCDSPTNQLCGERPTPTPTLGQALPTARTFSGWTPATAAETHPPSVSAWNPLPSTPEKDLFSMQSGTSSTQSETEM
+>NM_001122679|291482_2_2137_2222
+MARTCLTRASAAAIPTGWVPTALLKCAQ
+>DECOY_NM_001122679|291482_2_2137_2222
+QACKLLATPVWGTPIAAASARTLCTRAM
+>NM_001122679|291483_2_2344_2669
+MANVNAERAGMVNTAPLMAALTCATVTGDAHWVRTAGSVSARPAGEGPDATLPWKLPVLITRIMREMAWWIVWTLTAACSQPVRTACSAGGPGTHWTSFSRARRIGPQ
+>DECOY_NM_001122679|291483_2_2344_2669
+QPGIRRARSFSTWHTGPGGASCATRVPQSCAATLTWVIWWAMERMIRTILVPLKWPLTADPGEGAPRASVSGATRVWHADGTVTACTLAAMLPATNVMGAREANVNAM
+>NM_001122679|291484_2_2374_2669
+MVNTAPLMAALTCATVTGDAHWVRTAGSVSARPAGEGPDATLPWKLPVLITRIMREMAWWIVWTLTAACSQPVRTACSAGGPGTHWTSFSRARRIGPQ
+>DECOY_NM_001122679|291484_2_2374_2669
+QPGIRRARSFSTWHTGPGGASCATRVPQSCAATLTWVIWWAMERMIRTILVPLKWPLTADPGEGAPRASVSGATRVWHADGTVTACTLAAMLPATNVM
+>NM_001122679|291487_2_2542_2669
+MAWWIVWTLTAACSQPVRTACSAGGPGTHWTSFSRARRIGPQ
+>DECOY_NM_001122679|291487_2_2542_2669
+QPGIRRARSFSTWHTGPGGASCATRVPQSCAATLTWVIWWAM
+>NM_001122679|291491_2_3622_3776
+MVAAGAFPVPAATALLKATSCWPQWLWLLESMGASMWVTSITSDASFPLEM
+>DECOY_NM_001122679|291491_2_3622_3776
+MELPFSADSTISTVWMSAGMSELLWLWQPWCSTAKLLATAAPVPFAGAAVM
+>NM_001122679|291496_2_5020_5102
+MATLGSWPPRAMKQDGRLSMTMTTKAA
+>DECOY_NM_001122679|291496_2_5020_5102
+AAKTTMTMSLRGDQKMARPPWSGLTAM
+>NM_001122679|291500_2_6037_6119
+MGNSPSYQRLSTTVPPSPSGMTRPLVS
+>DECOY_NM_001122679|291500_2_6037_6119
+SVLPRTMGSPSPPVTTSLRQYSPSNGM
+>NM_001122679|291505_2_6589_6677
+MGTGSSRAWPSMTARPGATAMTLMGISTY
+>DECOY_NM_001122679|291505_2_6589_6677
+YTSIGMLTMATAGPRATMSPWARSSGTGM
+>NM_001122679|291512_2_7528_7715
+MDSSLQVSNRQQRDITRPSWLWKDRSLLKSSTPASERKQVTGLPPPRPSLAKASCLPSKKGG
+>DECOY_NM_001122679|291512_2_7528_7715
+GGKKSPLCSAKALSPRPPPLGTVQKRESAPTSSKLLSRDKWLWSPRTIDRQQRNSVQLSSDM
+>NM_001122679|291513_2_8014_8174
+MASPPTPWTKRRPASWTRRDRGPWARPGPRSSRKPGTGERGAACGLRARSSSF
+>DECOY_NM_001122679|291513_2_8014_8174
+FSSSRARLGCAAGREGTGPKRSSRPGPRAWPGRDRRTWSAPRRKTWPTPPSAM
+>NM_015037|291657_2_2040_2233
+MVVCTSRKGLSLPQPLLAPLAYCLGMSVPRTTSLLQMRVAMGFPKPKRQPLQLERRMMTTRRTI
+>DECOY_NM_015037|291657_2_2040_2233
+ITRRTTMMRRELQLPQRKPKPFGMAVRMQLLSTTRPVSMGLCYALPALLPQPLSLGKRSTCVVM
+>NM_015037|291660_2_2160_2233
+MGFPKPKRQPLQLERRMMTTRRTI
+>DECOY_NM_015037|291660_2_2160_2233
+ITRRTTMMRRELQLPQRKPKPFGM
+>NM_015037|291662_2_3417_3523
+MDGDGGPQDGLRRSTQAWPALTAVPLKQHRIVPPP
+>DECOY_NM_015037|291662_2_3417_3523
+PPPVIRHQKLPVATLAPWAQTSRRLGDQPGGDGDM
+>NM_015037|291666_2_4542_4717
+MATPLACTPTLLYSPTCPVALSISLTQLTLPTPCLTCPGLPSSLCPALHTHRVCILHS
+>DECOY_NM_015037|291666_2_4542_4717
+SHLICVRHTHLAPCLSSPLGPCTLCPTPLTLQTLSISLAVPCTPSYLLTPTCALPTAM
+>NM_015037|291669_3_160_302
+MAQTVSGAQFPHWRRWRRWQWRYQNARWTGDPIGGAVSKAGGISYPI
+>DECOY_NM_015037|291669_3_160_302
+IPYSIGGAKSVAGGIPDGTWRANQYRWQWRRWRRWHPFQAGSVTQAM
+>NM_178864|291701_2_927_1021
+MDCCTPRTWPTLLLNTTACWLRVEIFRQRWW
+>DECOY_NM_178864|291701_2_927_1021
+WWRQRFIEVRLWCATTNLLLTPWTRPTCCDM
+>NM_015045|291751_2_485_573
+MAVQNSMKSFPTNGLPLAQNGERPHLWLN
+>DECOY_NM_015045|291751_2_485_573
+NLWLHPREGNQALPLGNTPFSKMSNQVAM
+>NM_016219|291817_2_570_670
+MGPRRRPQKGKKPLWIPARKEIRRGQSSAGGER
+>DECOY_NM_016219|291817_2_570_670
+REGGASSQGRRIEKRAPIWLPKKGKQPRRRPGM
+>NM_016219|291818_2_1341_1444
+MGWCPCSSIPTVASSPTWAYSRWAPGPTATMSTC
+>DECOY_NM_016219|291818_2_1341_1444
+CTSMTATPGPAWRSYAWTPSSAVTPISSCPCWGM
+>NM_016219|291822_3_256_350
+MEATVEIAAEYDSLPPCLSAFLWTPLLHQLG
+>DECOY_NM_016219|291822_3_256_350
+GLQHLLPTWLFASLCPPLSDYEAAIEVTAEM
+>NM_001142864|291992_2_4114_4466
+MASGTMRRTHHPRSMTRAARRSREPRRGQGCLRPPPKTTFRWKPGSDPRTGPQNPKWSSGPVIRGASVYVLEEGRRRAQHGKERQPSKLRTGRKKRGRKRKRPPRGERRGQAALEEE
+>DECOY_NM_001142864|291992_2_4114_4466
+EEELAAQGRREGRPPRKRKRGRKKRGTRLKSPQREKGHQARRRGEELVYVSAGRIVPGSSWKPNQPGTRPDSGPKWRFTTKPPPRLCGQGRRPERSRRAARTMSRPHHTRRMTGSAM
+>NM_001142864|292001_3_5_177
+MEHHLPQLADLRTAALGLPHLDGAQPPPTGHAVLALHPAVWDDAVLPTLRVGHGPAP
+>DECOY_NM_001142864|292001_3_5_177
+PAPGHGVRLTPLVADDWVAPHLALVAHGTPPPQAGDLHPLGLAATRLDALQPLHHEM
+>NM_001142864|292002_3_3056_3276
+MGDQRPGGAEAAAAGAGAGKAGTGRTATHRRWSQPGGGASRGPRGGSGRPEPCGAEGAEHGAVPVDAGAGASG
+>DECOY_NM_001142864|292002_3_3056_3276
+GSAGAGADVPVAGHEAGEAGCPEPRGSGGRPGRSAGGGPQSWRRHTATRGTGAKGAGAGAAAAEAGGPRQDGM
+>NM_014886|292065_2_123_208
+MDTVWITMRKRERRKVERLMNVQRRQRK
+>DECOY_NM_014886|292065_2_123_208
+KRQRRQVNMLREVKRRERKRMTIWVTDM
+>NM_014886|292069_2_555_631
+MALQENHLNMKDSSGQWACVSRKPM
+>DECOY_NM_014886|292069_2_555_631
+MPKRSVCAWQGSSDKMNLHNEQLAM
+>NM_015957|292080_2_262_341
+MAMKSTLLLQECKRNEFSLKTCLFVI
+>DECOY_NM_015957|292080_2_262_341
+IVFLCTKLSFENRKCEQLLLTSKMAM
+>NM_015957|292083_2_691_779
+MEYMCGGKHGRRPKPCVSVMTIYLILPYQ
+>DECOY_NM_015957|292083_2_691_779
+QYPLILYITMVSVCPKPRRGHKGGCMYEM
+>NM_007010|292136_2_1014_1186
+MAKLGSETSWLPFSWPAHPTRSEELCSVQLLHMMLNSGANSTWTMSSVCPLEQGILQ
+>DECOY_NM_007010|292136_2_1014_1186
+QLIGQELPCVSSMTWTSNAGSNLMMHLLQVSCLEESRTPHAPWSFPLWSTESGLKAM
+>NM_022355|292189_2_502_638
+MASWAPSSGQPMCHARPRTGMPCASPWSRLTSYAACVPPILSWSL
+>DECOY_NM_022355|292189_2_502_638
+LSWSLIPPVCAAYSTLRSWPSACPMGTRPRAHCMPQGSSPAWSAM
+>NM_153213|292211_3_367_575
+MAIIPRRLRYRDHQRGDAAQQGWQLATLSWCPAPSSGGTLESPTHTATAPGQPRLGEEVCLAQDAGVPA
+>DECOY_NM_153213|292211_3_367_575
+APVGADQALCVEEGLRPQGPATATHTPSELTGGSSPAPCWSLTALQWGQQAADGRQHDRYRLRRPIIAM
+>NM_001135649|292221_2_691_845
+MGTSVGSESAALRPAMAPQWLLGHQSPKKGSPQDWGLEWVGSQKKRAPPLC
+>DECOY_NM_001135649|292221_2_691_845
+CLPPARKKQSGVWELGWDQPSGKKPSQHGLLWQPAMAPRLAASESGVSTGM
+>NM_001135649|292222_2_736_845
+MAPQWLLGHQSPKKGSPQDWGLEWVGSQKKRAPPLC
+>DECOY_NM_001135649|292222_2_736_845
+CLPPARKKQSGVWELGWDQPSGKKPSQHGLLWQPAM
+>NM_173354|292241_2_2195_2439
+MALGLPRSPAPSSRRGSRCCRPHSCRPARPRWPQRRSSWTHTCTLAPAPPPSPLCPHHAWPGWPQVVSPWGCCRGTVRWRT
+>DECOY_NM_173354|292241_2_2195_2439
+TRWRVTGRCCGWPSVVQPWGPWAHHPCLPSPPPAPALTCTHTWSSRRQPWRPRAPRCSHPRCCRSGRRSSPAPSRPLGLAM
+>NM_015216|292342_2_2765_2871
+MDIDQLPERMKAGDLLKLIMMMNHILLKEMKLIEL
+>DECOY_NM_015216|292342_2_2765_2871
+LEILKMEKLLIHNMMMILKLLDGAKMREPLQDIDM
+>NM_016318|292390_2_466_596
+MGPLSANFWVRWPQISPSSSRTASTTPNSTSPRATSPTAQTGT
+>DECOY_NM_016318|292390_2_466_596
+TGTQATPSTARPSTSNPTTSATRSSSPSIQPWRVWFNASLPGM
+>NM_006043|292414_3_803_909
+MERHPHRHVRAAPGELAAVLPASSDSLRQWRATHH
+>DECOY_NM_006043|292414_3_803_909
+HHTARWQRLSDSSAPLVAALEGPAARVHRHPHREM
+>NM_032133|292441_2_1630_1742
+MAPWPFGMTGDGSTSRTLSKTLRKTGCSDFTLTTGKV
+>DECOY_NM_032133|292441_2_1630_1742
+VKGTTLTFDSCGTKRLTKSLTRSTSGDGTMGFPWPAM
+>NM_001008778|292451_2_142_302
+MGSSVFASTRRSRPSSAFWRTVLSRNSSPKTPASRFQISISWPWCWSTSSAPT
+>DECOY_NM_001008778|292451_2_142_302
+TPASSTSWCWPWSISIQFRSAPTKPSSNRSLVTRWFASSPRSRRTSAFVSSGM
+>NM_001008778|292452_2_571_719
+MVGFRGSVHRSLFAFPGALASRRPTVPPVVCPSTAAATCLSLCHPSALL
+>DECOY_NM_001008778|292452_2_571_719
+LLASPHCLSLCTAAATSPCVVPPVTPRRSALAGPFAFLSRHVSGRFGVM
+>NM_130770|292469_2_146_288
+MGLTLLSSKQCLTERPSVHSPTTASLPVSTSPSPCLPSWEWMHSSSC
+>DECOY_NM_130770|292469_2_146_288
+CSSSHMWEWSPLCPSPSTSVPLSATTPSHVSPRETLCQKSSLLTLGM
+>NM_005560|292597_2_1937_2172
+MVSPTAKHAPATLGEPWTSSVGREVCAAAAPATQALPARNAAPAFTASPAVSPATALLKAPCTQPVTPGVGSAAAGPV
+>DECOY_NM_005560|292597_2_1937_2172
+VPGAAASGVGPTVPQTCPAKLLATAPSVAPSATFAPAANRAPLAQTAPAAAACVERGVSSTWPEGLTAPAHKATPSVM
+>NM_005560|292598_2_2504_2826
+MASLDWIRLTILAAAAAGVTLAVHWARAVNRGRASAGAAPTPRAPPAASLRGTTTSRTCTTCAWSWRRLPHLRVTPCALASTPSSSRTSAGGATRRWHLSSPGSWPG
+>DECOY_NM_005560|292598_2_2504_2826
+GPWSGPSSLHWRRTAGGASTRSSSPTSALACPTVRLHPLRRWSWACTTCTRSTTTGRLSAAPPARPTPAAGASARGRNVARAWHVALTVGAAAAALITLRIWDLSAM
+>NM_005560|292613_2_5666_5766
+MDTQTAASLALASVWTASTTPKGPTVSAARLAS
+>DECOY_NM_005560|292613_2_5666_5766
+SALRAASVTPGKPTTSATWVSALALSAATQTDM
+>NM_005560|292629_2_10259_10386
+MATSLHRWKASGLGSAPRAASAPGLAAGTRSPCAGRRTGSCW
+>DECOY_NM_005560|292629_2_10259_10386
+WCSGTRRGACPSRTGAALGPASAARPASGLGSAKWRHLSTAM
+>NM_007006|292646_2_544_728
+MEFCKTGSLTIALVTGGDQILNLLSIHIFLHILQSLRNIRSCFWFSFKKKPCLQSLKITSW
+>DECOY_NM_007006|292646_2_544_728
+WSTIKLSQLCPKKKFSFWFCSRINRLSQLIHLFIHISLLNLIQDGGTVLAITLSGTKCFEM
+>NM_022073|292663_2_708_781
+MEQVMFATWTTPTVMVAASPASTI
+>DECOY_NM_022073|292663_2_708_781
+ITSAPSAAVMVTPTTWTAFMVQEM
+>NM_021828|292680_2_1336_1412
+MDTITSWTRILTHYQTTGSLSSTSA
+>DECOY_NM_021828|292680_2_1336_1412
+ASTSSLSGTTQYHTLIRTWSTITDM
+>NM_014593|292745_2_743_852
+MVQTQSLQMPGRTASPRMGRMRPSTASAANRTSTAS
+>DECOY_NM_014593|292745_2_743_852
+SATSTRNAASATSPRMRGMRPSATRGPMQLSQTQVM
+>NM_014593|292749_2_1010_1302
+MAMSGTAVSPGMRVEGARGLSLIQTCSAGQGQGQGLGPCLLGALLRPTNPLRSPWWPHPASITSSSSSRSNGQPACVVSVRHVGALRTVVTVISVGT
+>DECOY_NM_014593|292749_2_1010_1302
+TGVSIVTVVTRLAGVHRVSVVCAPQGNSRSSSSSTISAPHPWWPSRLPNTPRLLAGLLCPGLGQGQGQGASCTQILSLGRAGEVRMGPSVATGSMAM
+>NM_006040|292801_2_966_1075
+MGRRSCHRRSSSGSRKEGPARCWRRSACTRTCGRWA
+>DECOY_NM_006040|292801_2_966_1075
+AWRGCTRTCASRRWCRAPGEKRSGSSSRRHCSRRGM
+>NM_001465|292898_2_2026_2108
+MGLKRKMLMMAPHYRFKRRVIRGPGGF
+>DECOY_NM_001465|292898_2_2026_2108
+FGGPGRIVRRKFRYHPAMMLMKRKLGM
+>NM_001465|292906_3_1124_1248
+MGPKSGKGKGRQEFSHPETEAIASLVYLGSTSTKTQQTTKC
+>DECOY_NM_001465|292906_3_1124_1248
+CKTTQQTKTSTSGLYVLSAIAETEPHSFEQRGKGKGSKPGM
+>NM_199335|292925_2_2026_2147
+MGLKRKMLMMVSLLLLNNWTWEMKFTMMWIPLISLFHQQR
+>DECOY_NM_199335|292925_2_2026_2147
+RQQHFLSILPIWMMTFKMEWTWNNLLLLSVMMLMKRKLGM
+>NM_199335|292928_2_2053_2147
+MVSLLLLNNWTWEMKFTMMWIPLISLFHQQR
+>DECOY_NM_199335|292928_2_2053_2147
+RQQHFLSILPIWMMTFKMEWTWNNLLLLSVM
+>NM_030938|292955_3_473_558
+MDLKIMASSKHCGVFFTAACCAYSYVLC
+>DECOY_NM_030938|292955_3_473_558
+CLVYSYACCAATFFVGCHKSSAMIKLDM
+>NM_033138|293086_2_1147_1322
+MGRSVQKSLNKRRRGNKVQMRFPIMKRWKRKTRKELRQRGQGWKQKKEKELKPSKTKR
+>DECOY_NM_033138|293086_2_1147_1322
+RKTKSPKLEKEKKQKWGQGRQRLEKRTKRKWRKMIPFRMQVKNGRRRKNLSKQVSRGM
+>NM_019079|293138_2_768_844
+MVRNYPRVNHEVTKSWEVWKKPYAI
+>DECOY_NM_019079|293138_2_768_844
+IAYPKKWVEWSKTVEHNVRPYNRVM
+>NM_016353|293157_2_1154_1257
+MEQIRMDSAWVSVKTCDKFLVMRRSTGCYPFFQV
+>DECOY_NM_016353|293157_2_1154_1257
+VQFFPYCGTSRRMVLFKDCTKVSVWASDMRIQEM
+>NM_016353|293158_2_1169_1257
+MDSAWVSVKTCDKFLVMRRSTGCYPFFQV
+>DECOY_NM_016353|293158_2_1169_1257
+VQFFPYCGTSRRMVLFKDCTKVSVWASDM
+>NM_004886|293252_2_442_572
+MAWPPRRLLMPTGSCLPKLAGMTCWASCTARNARLPRLVLKSL
+>DECOY_NM_004886|293252_2_442_572
+LSKLVLRPLRANRATCSAWCTMGALKPLCSGTPMLLRRPPWAM
+>NM_004886|293256_2_1315_1508
+MGTWTTSPTVTTAGRCTSRSGEGRAWAWPWWSRAGAPCCPQPSSPTCCTGGLLSARGPSASGTA
+>DECOY_NM_004886|293256_2_1315_1508
+ATGSASPGRASLLGGTCCTPSSPQPCCPAGARSWWPWAWARGEGSRSTCRGATTVTPSTTWTGM
+>NM_005819|293278_2_655_728
+MGVWTESSREPILISLRSSRHSSS
+>DECOY_NM_005819|293278_2_655_728
+SSSHRSSRLSILIPERSSETWVGM
+>NM_005819|293285_3_317_393
+MDRAPPGPLHSNKGRNRLDHQRAEK
+>DECOY_NM_005819|293285_3_317_393
+KEARQHDLRNRGKNSHLPGPPARDM
+>NM_032195|293413_2_6650_6744
+MVKKTKMMIMFSAAICPQRAGLNGRAGLDDR
+>DECOY_NM_032195|293413_2_6650_6744
+RDDLGARGNLGARQPCIAASFMIMMKTKKVM
+>NM_001029860|293421_2_858_955
+MGRTLLQLSTPSTPPSEIFVPHLHFKIVATMS
+>DECOY_NM_001029860|293421_2_858_955
+SMTAVIKFHLHPVFIESPPTSPTSLQLLTRGM
+>NM_001029860|293427_2_2739_2827
+MGLKNVVEEQQSQEIEKMLSQEVPRVSGI
+>DECOY_NM_001029860|293427_2_2739_2827
+IGSVRPVEQSLMKEIEQSQQEEVVNKLGM
+>NM_138927|293602_2_6650_6729
+MVKKTKMMIMFSAAICPQSLWTSLQQ
+>DECOY_NM_138927|293602_2_6650_6729
+QQLSTWLSQPCIAASFMIMMKTKKVM
+>NM_019842|293630_3_652_752
+MARKTEVCSKALLCYRYHCSYRFNSSCFCKNSG
+>DECOY_NM_019842|293630_3_652_752
+GSNKCFCSSNFRYSCHYRYCLLAKSCVETKRAM
+>NM_080283|293719_2_2988_3061
+MAQMTHLTMVLSLCQVMKRITDFQ
+>DECOY_NM_080283|293719_2_2988_3061
+QFDTIRKMVQCLSLVMTLHTMQAM
+>NM_080283|293721_2_3117_3268
+MDYLEFLIRQNTFRLTEAHFLKSIWIMSMGTEVTPSSGYRWQPLSLHTLQ
+>DECOY_NM_080283|293721_2_3117_3268
+QLTHLSLPQWRYGSSPTVETGMSMIWISKLFHAETLRFTNQRILFELYDM
+>NM_080283|293732_3_178_308
+MGSSLQELSQKMENEKTDLVGMALFISSGTVSVPIFLQFTSSS
+>DECOY_NM_080283|293732_3_178_308
+SSSTFQLFIPVSVTGSSIFLAMGVLDTKENEMKQSLEQLSSGM
+>NM_080283|293733_3_211_308
+MENEKTDLVGMALFISSGTVSVPIFLQFTSSS
+>DECOY_NM_080283|293733_3_211_308
+SSSTFQLFIPVSVTGSSIFLAMGVLDTKENEM
+>NM_080282|293929_2_1891_1982
+MAMGILHYFSLSPHFGPNIKILIMKSLRMK
+>DECOY_NM_080282|293929_2_1891_1982
+KMRLSKMILIKINPGFHPSLSFYHLIGMAM
+>NM_080282|293930_2_1897_1982
+MGILHYFSLSPHFGPNIKILIMKSLRMK
+>DECOY_NM_080282|293930_2_1897_1982
+KMRLSKMILIKINPGFHPSLSFYHLIGM
+>NM_080282|293941_3_3776_4011
+MDFRPLAFSILVWTGSGGHSIILLDSLFNTFNLLLHISGIPAFMGTHVCFGGMHNWLCSFSYIPHICAFIHLSQVEKK
+>DECOY_NM_080282|293941_3_3776_4011
+KKEVQSLHIFACIHPIYSFSCLWNHMGGFCVHTGMFAPIGSIHLLLNFTNFLSDLLIISHGGSGTWVLISFALPRFDM
+>NM_019109|294064_2_516_613
+MATPSWVWCMAPTIPSFCWPSGTRSSLGACPT
+>DECOY_NM_019109|294064_2_516_613
+TPCAGLSSRTGSPWCFSPITPAMCWVWSPTAM
+>NM_019109|294066_2_1257_1342
+MAWSLRTQRNWQLSCRCFSQTFLILRAS
+>DECOY_NM_019109|294066_2_1257_1342
+SARLILFTQSFCRCSLQWNRQTRLSWAM
+>NM_019109|294069_3_106_263
+MEALAPGAGGPACSSGGAGRRGPQPPYAVPRAVVGHARLLGDPPGVLQLQTP
+>DECOY_NM_019109|294069_3_106_263
+PTQLQLVGPPDGLLRAHGVVARPVAYPPQPGRRGAGGSSCAPGGAGPALAEM
+>NM_152676|294090_2_1153_1268
+MDCTATNSMLICTAVGFSTYVVHFAISSPREEILKMDM
+>DECOY_NM_152676|294090_2_1153_1268
+MDMKLIEERPSSIAFHVVYTSFGVATCILMSNTATCDM
+>NM_152788|294313_2_757_845
+MDHHIPGSMNRTMKMKLPYTVQLNMDTQK
+>DECOY_NM_152788|294313_2_757_845
+KQTDMNLQVTYPLKMKMTRNMSGPIHHDM
+>NM_152788|294315_2_1651_1823
+MDVGTLASPCLPRSPIQRREITLWKLYHLLLWIHFLQKMRTFCVISWTQLLQRNLAP
+>DECOY_NM_152788|294315_2_1651_1823
+PALNRQLLQTWSIVCFTRMKQLFHIWLLLHYLKWLTIERRQIPSRPLCPSALTGVDM
+>NM_145296|294369_2_569_753
+MARSGAWQAQYGFVWTVRTTVVSSSVRRRTRRCPPDTASRRSTCWMCSTPPRPGFMPPKLW
+>DECOY_NM_145296|294369_2_569_753
+WLKPPMFGPRPPTSCMWCTSRRSATDPPCRRTRRRVSSSVVTTRVTWVFGYQAQWAGSRAM
+>NM_031300|294419_2_540_679
+MVMRPCARIAVQAPSTGGRSDPPRLLARRTAGGQCTMNWRSAGGPS
+>DECOY_NM_031300|294419_2_540_679
+SPGGASRWNMTCQGGATRRALLRPPDSRGGTSPAQVAIRACPRMVM
+>NM_001142946|294447_3_105_178
+MGRTWCGMWRRRRPGRRRIVSLQP
+>DECOY_NM_001142946|294447_3_105_178
+PQLSVIRRRGPRRRRWMGCWTRGM
+>NM_001142964|294462_2_620_720
+MVRLALPWTCVKRWSSCCFTHRKGWCHWSLSSV
+>DECOY_NM_001142964|294462_2_620_720
+VSSLSWHCWGKRHTFCCSSWRKVCTWPLALRVM
+>NM_001142964|294473_3_426_526
+MGIPEEPPGIMGPEPALSTAPMSRPTFGCPHPL
+>DECOY_NM_001142964|294473_3_426_526
+LPHPCGFTPRSMPATSLAPEPGMIGPPEEPIGM
+>NM_001142966|294530_2_1061_1299
+MGQLMDTNQDSLRQMLLMETVAMEGRAVHPAPLQPTQGITLCHHDLAMHQEIKLPCSFLGHQRNDTGDGILGHLSPNLA
+>DECOY_NM_001142966|294530_2_1061_1299
+ALNPSLHGLIGDGTDNRQHGLFSCPLKIEQHMALDHHCLTIGQTPQLPAPHVARGEMAVTEMLLMQRLSDQNTDMLQGM
+>NM_001142966|294534_2_1127_1299
+MEGRAVHPAPLQPTQGITLCHHDLAMHQEIKLPCSFLGHQRNDTGDGILGHLSPNLA
+>DECOY_NM_001142966|294534_2_1127_1299
+ALNPSLHGLIGDGTDNRQHGLFSCPLKIEQHMALDHHCLTIGQTPQLPAPHVARGEM
+>NM_001142966|294544_3_1263_1432
+MVSWVTSPPTWLSCTCPYSSPSFKNGAPFICPSSTDPTNWNFTTQAHSCRGNCNCS
+>DECOY_NM_001142966|294544_3_1263_1432
+SCNCNGRCSHAQTTFNWNTPDTSSPCIFPAGNKFSPSSYPCTCSLWTPPSTVWSVM
+>NM_006595|294555_2_1152_1255
+MERMLVMKNPSYSSVMWNVCCTVFTSWAENFQIS
+>DECOY_NM_006595|294555_2_1152_1255
+SIQFNEAWSTFVTCCVNWMVSSYSPNKMVLMREM
+>NM_001142931|294593_2_204_316
+MASWPMPRSKWARFDVKQLKNCLNLPLEKIFLEWQIY
+>DECOY_NM_001142931|294593_2_204_316
+YIQWELFIKELPLNLCNKLQKVDFRAWKSRPMPWSAM
+>NM_021240|294613_2_141_496
+MASCPGSRATSVTAASRTAPARSASSSSSGSGSWLRRWRCAGSRPTRAWRASSPTRCALCQGPRRRGTPSPPRSRRQPLSRRSRSRRALLPSWPRPPRCVGLPSRSPGLCRRSSPSQI
+>DECOY_NM_021240|294613_2_141_496
+IQSPSSRRCLGPSRSPLGVCRPPRPWSPLLARRSRSRRSLPQRRSRPPSPTGRRRPGQCLACRTPSSARWARTPRSGACRWRRLWSGSGSSSSSASRAPATRSAATVSTARSGPCSAM
+>NM_080868|294683_3_535_620
+MENFHTSILSKPIKWHHTSLLCSSDKTV
+>DECOY_NM_080868|294683_3_535_620
+VTKDSSCLLSTHHWKIPKSLISTHFNEM
+>NM_145024|294719_3_262_395
+MDSGQASHCAGKPCACERVPRSPLCCSPAGIPAIYEPAACIALG
+>DECOY_NM_145024|294719_3_262_395
+GLAICAAPEYIAPIGAPSCCLPSRPVRECACPKGACHSAQGSDM
+>NM_032153|294879_2_361_449
+MAPSSPPPPAPRCSRASTRSLPRPPPAVL
+>DECOY_NM_032153|294879_2_361_449
+LVAPPPRPLSRTSARSCRPAPPPPSSPAM
+>NM_015355|294939_2_1708_1874
+MAPMQEILRIFIANLDLLLVATDQLREHLSHIFLCAGQNEQKQACLNFLNLKMGK
+>DECOY_NM_015355|294939_2_1708_1874
+KGMKLNLFNLCAQKQENQGACLFIHSLHERLQDTAVLLLDLNAIFIRLIEQMPAM
+>NM_000262|294970_2_603_694
+MGSCRHHPWAGWPGNASAATLTVMRTQRTA
+>DECOY_NM_000262|294970_2_603_694
+ATRQTRMVTLTAASANGPWGAWPHHRCSGM
+>NM_000262|294971_2_738_817
+MDGGTWATHTSTLMTAGSVVAMPVAA
+>DECOY_NM_000262|294971_2_738_817
+AAVPMAVVSGATMLTSTHTAWTGGDM
+>NM_182943|294997_2_1209_1291
+MAKPELRIHFMKHYQWQLMEMDPPRFS
+>DECOY_NM_182943|294997_2_1209_1291
+SFRPPDMEMLQWQYHKMFHIRLEPKAM
+>NM_022904|295072_2_744_877
+MDPPVLWALGSRWPHSLNWTWVPSGMCGSGHCTPASWGSPTAFR
+>DECOY_NM_022904|295072_2_744_877
+RFATPSGWSAPTCHGSGCMGSPVWTWNLSHPWRSGLAWLVPPDM
+>NM_022904|295078_3_997_1529
+MAERVGARSEGASPSSGGGTRRARRAVAGWRAAGTHGASGRPRPALLGRALPLRGAATGTSPVAAAARLGPGKRGAGPRGPGAGGAGRPTRACRRSGALVPAARGAGGRSAAGADSGASPARAAVRALQGAGGVPHLPLCAPLRGPGARAACAGQGGAGGSHGARAAGHRPGAGAGD
+>DECOY_NM_022904|295078_3_997_1529
+DGAGAGPRHGAARAGHSGGAGGQGACAARAGPGRLPACLPLHPVGGAGQLARVAARAPSAGSDAGAASRGGAGRAAPVLAGSRRCARTPRGAGGAGPGRPGAGRKGPGLRAAAAVPSTGTAAGRLPLARGLLAPRPRGSAGHTGAARWGAVARRARRTGGGSSPSAGESRAGVREAM
+>NM_198593|295144_2_495_652
+MAKQAQQGPGATLDPKGRRAPWGPLGSGARATTPPFRWAGRSPCTATTTTRR
+>DECOY_NM_198593|295144_2_495_652
+RRTTTTATCPSRGAWRFPPTTARAGSGLPGWPARRGKPDLTAGPGQQAQKAM
+>NM_015178|295207_2_1191_1372
+MGETSCSEQPALTCARAWMRLGAPVLLASVLPPATGSYGATEQGTYRAGVVCCLPGAELL
+>DECOY_NM_015178|295207_2_1191_1372
+LLEAGPLCCVVGARYTGQETAGYSGTAPPLVSALLVPAGLRMWARACTLAPQESCSTEGM
+>NM_015927|295242_2_841_929
+MEPPSAPSATLSASRQDVASATSPSDTRW
+>DECOY_NM_015927|295242_2_841_929
+WRTDSPSTASAVDQRSASLTASPASPPEM
+>NM_003865|295250_2_497_630
+MVTYVYMSQILPVGFHSLAWWITQCQKKELRNMKITFQPQKDCL
+>DECOY_NM_003865|295250_2_497_630
+LCDKQPQFTIKMNRLEKKQCQTIWWALSHFGVPLIQSMYVYTVM
+>NM_007038|295271_2_1042_1223
+MVRWALLASCPQEAGRVRPGATGATASIGAQWTVVPALWLSLTSVGVSTASSRSSTRATP
+>DECOY_NM_007038|295271_2_1042_1223
+PTARTSSRSSATSVGVSTLSLWLAPVVTWQAGISATAGTAGPRVRGAEQPCSALLAWRVM
+>NM_007038|295272_2_1279_1604
+MGPHGSCTSTPARASASRPCRRAPAAKPPRPHRRPTSMLRRTATRADAQHWPRSSWTSPLSRPLGAQDRRRGGGGGAAPSPGPARWSCFWWLTRPWRGCMAGACSITC
+>DECOY_NM_007038|295272_2_1279_1604
+CTISCAGAMCGRWPRTLWWFCSWRAPGPSPAAGGGGGRRRDQAGLPRSLPSTWSSRPWHQADARTATRRLMSTPRRHPRPPKAAPARRCPRSASARAPTSTCSGHPGM
+>NM_007038|295277_2_1927_2042
+MASTQPSLWLTKSDIYLASPMTIPNSVKRPLVPQKISA
+>DECOY_NM_007038|295277_2_1927_2042
+ASIKQPVLPRKVSNPITMPSALYIDSKTLWLSPQTSAM
+>NM_007038|295280_2_2125_2225
+MAMVTVCWTYHESRSWAPKNSQDRPTMPPSSAT
+>DECOY_NM_007038|295280_2_2125_2225
+TASSPPMTPRDQSNKPAWSRSEHYTWCVTVMAM
+>NM_007038|295281_2_2131_2225
+MVTVCWTYHESRSWAPKNSQDRPTMPPSSAT
+>DECOY_NM_007038|295281_2_2131_2225
+TASSPPMTPRDQSNKPAWSRSEHYTWCVTVM
+>NM_007038|295282_2_2428_2729
+MATGDLGDPGASVLAHVEEECSLPIVTVITLLPETTDATAQGRGPSTAPAVSCPAHPMVNHFVMNSVRPKMAISLMQKESKLLWNGFPNMQVSCQRMCAS
+>DECOY_NM_007038|295282_2_2428_2729
+SACMRQCSVQMNPFGNWLLKSEKQMLSIAMKPRVSNMVFHNVMPHAPCSVAPATSPGRGQATADTTEPLLTIVTVIPLSCEEEVHALVSAGPDGLDGTAM
+>NM_007038|295285_2_2638_2729
+MAISLMQKESKLLWNGFPNMQVSCQRMCAS
+>DECOY_NM_007038|295285_2_2638_2729
+SACMRQCSVQMNPFGNWLLKSEKQMLSIAM
+>NM_007038|295288_2_3322_3455
+MAAIKWDHTLRSRSGSRAHGSPALGPVTQVGTPERCSARMETGS
+>DECOY_NM_007038|295288_2_3322_3455
+SGTEMRASCREPTGVQTVPGLAPSGHARSGSRSRLTHDWKIAAM
+>NM_007038|295297_3_2681_2793
+MGSQICRCPASGCVQADLQSQGHWLLCGIFSKGDRWH
+>DECOY_NM_007038|295297_3_2681_2793
+HWRDGKSFIGCLLWHGQSQLDAQVCGSAPCRCIQSGM
+>NM_001141919|295396_2_572_687
+MATPTTRTEEGAIDSTLVMEILMVEITIQRMAIQKAIW
+>DECOY_NM_001141919|295396_2_572_687
+WIAKQIAMRQITIEVMLIEMVLTSDIAGEETRTTPTAM
+>NM_007110|295494_2_1593_1708
+MGSFPSWPCFGTCATCCGLESVPATMSSFSRDSSMRSR
+>DECOY_NM_007110|295494_2_1593_1708
+RSRMSSDRSFSSMTAPVSELGCCTACTGFCPWSPFSGM
+>NM_007110|295495_2_2580_2794
+MGPPIFWNMWAKWTKYSRFHHPQERQGSSLSGHWKRTLQAPWLLFPSKDGAASGFSFHPLSETCMGSGTCC
+>DECOY_NM_007110|295495_2_2580_2794
+CCTGSGMCTESLPHFSFGSAAGDKSPFLLWPAQLTRKWHGSLSSGQREQPHHFRSYKTWKAWMNWFIPPGM
+>NM_007110|295497_2_2970_3052
+MDTFPPATTFLTIHTSTGPSSTLQGAL
+>DECOY_NM_007110|295497_2_2970_3052
+LAGQLTSSPGTSTHITLFTTAPPFTDM
+>NM_007110|295502_2_5196_5341
+MESLLVCSSPMIHSFLLPSTGSWSSGTCSMVVGCCRLRLTSTKSLAAA
+>DECOY_NM_007110|295502_2_5196_5341
+AAALSKTSTLRLRCCGVVMSCTGSSWSGTSPLLFSHIMPSSCVLLSEM
+>NM_007110|295506_2_5754_5974
+MARFRCGQGLWVGPVGTWVPFLSLLPSLWHSAQMVIGWLLDIERMALGSTKSLQVPRGLRVRHWMWQCPPWPG
+>DECOY_NM_007110|295506_2_5754_5974
+GPWPPCQWMWHRVRLGRPVQLSKTSGLAMREIDLLWGIVMQASHWLSPLLSLFPVWTGVPGVWLGQGCRFRAM
+>NM_007110|295509_2_6006_6088
+MGPCRAGHSRNAPFSPSGSCPDSRSLC
+>DECOY_NM_007110|295509_2_6006_6088
+CLSRSDPCSGSPSFPANRSHGARCPGM
+>NM_007110|295510_2_6420_6493
+MALWGSGTQSQDSGLVSSWVIRVL
+>DECOY_NM_007110|295510_2_6420_6493
+LVRIVWSSVLGSDQSQTGSGWLAM
+>NM_007110|295512_2_6816_6952
+MVLYGSGRFLRKQMTHVYQGVLQPSLLWLGHQMVPWQYLEIKLGN
+>DECOY_NM_007110|295512_2_6816_6952
+NGLKIELYQWPVMQHGLWLLSPQLVGQYVHTMQKRLFRGSGYLVM
+>NM_007110|295520_3_2725_2879
+MAQHPAFHFIHFPRHAWGAGPAAEVCAASTAGPSGPSPYQPSRNRPPLGRH
+>DECOY_NM_007110|295520_3_2725_2879
+HRGLPPRNRSPQYPSPGSPGATSAACVEAAPGAGWAHRPFHIFHFAPHQAM
+>NM_007110|295524_3_6706_6812
+MASTLGVPNPHPPGTQRPSPCCCCFRNLRPHADRL
+>DECOY_NM_007110|295524_3_6706_6812
+LRDAHPRLNRFCCCCPSPRQTGPPHPNPVGLTSAM
+>NM_007110|295526_3_7777_7859
+MGETQYAAAGPVPMRRVSELPGTLAGR
+>DECOY_NM_007110|295526_3_7777_7859
+RGALTGPLESVRRMPVPGAAAYQTEGM
+>NM_003159|295593_2_2330_2601
+MAQPPKKIDTYTMILCQGELVAFTECHLHVQTILSMKIMCQLEFLLYHQRAVLEPTTQKDNQHSIHGKVLKILVIQSNSRKKRSKDFSGQ
+>DECOY_NM_003159|295593_2_2330_2601
+QGSFDKSRKKRSNSQIVLIKLVKGHISHQNDKQTTPELVARQHYLLFELQCMIKMSLITQVHLHCETFAVLEGQCLIMTYTDIKKPPQAM
+>NM_015170|295816_2_1666_1763
+MVTILGSLDWSRGNPCHMTLIFVCLFLFVVQV
+>DECOY_NM_015170|295816_2_1666_1763
+VQVVFLFLCVFILTMHCPNGRSWDLSGLITVM
+>NM_014078|296060_3_363_442
+MVSLRWENAATWQTCCYGIYKTSGIT
+>DECOY_NM_014078|296060_3_363_442
+TIGSTKYIGYCCTQWTAANEWRLSVM
+>NM_153485|296102_2_1709_1863
+MEKRLKDSLNYIRKTRLVQLALFLLAPLLPVIEKYLPGLLGLSLGMVVKHR
+>DECOY_NM_153485|296102_2_1709_1863
+RHKVVMGLSLGLLGPLYKEIVPLLPALLFLALQVLRTKRIYNLSDKLRKEM
+>NM_024298|296225_3_573_832
+MGSSRCGPGAHPVHLWPPHFAFSGHHPRDLGPHSGPALPLPRPGSGLDFLLSPVLPSPQPPGPAHSHALHQCRPAAADAEAGEPGQ
+>DECOY_NM_024298|296225_3_573_832
+QGPEGAEADAAAPRCQHLAHSHAPGPPQPSPLVPSLLFDLGSGPRPLPLAPGSHPGLDRPHHGSFAFHPPWLHVPHAGPGCRSSGM
+>NM_032599|296306_3_445_524
+MEQTIHSPQHLPEEDSPIEVCGAPGM
+>DECOY_NM_032599|296306_3_445_524
+MGPAGCVEIPSDEEPLHQPSHITQEM
+>NM_002452|296317_2_144_223
+MALGAKCKKERPSRMGLGGSCRRRAV
+>DECOY_NM_002452|296317_2_144_223
+VARRRCSGGLGMRSPREKKCKAGLAM
+>NM_001143780|296530_2_389_489
+MVSWSLCTCAQMVPAVPPGFKTLPASLAPWMPS
+>DECOY_NM_001143780|296530_2_389_489
+SPMWPALSAPLTKFGPPVAPVMQACTCLSWSVM
+>NM_052855|296571_2_688_770
+MGAPPHPLHHPLQMAHLHCFPLGNLPC
+>DECOY_NM_052855|296571_2_688_770
+CPLNGLPFCHLHAMQLPHHLPHPPAGM
+>NM_052855|296572_2_814_893
+MVMCRPPLPYSEHQKAQNRVLFVSHQ
+>DECOY_NM_052855|296572_2_814_893
+QHSVFLVRNQAKQHESYPLPPRCMVM
+>NM_052855|296574_2_958_1049
+MGRMQDQRQHSSHFSSLEHFHLICKSWYSR
+>DECOY_NM_052855|296574_2_958_1049
+RSYWSKCILHFHELSSFHSSHQRQDQMRGM
+>NM_201515|296601_2_458_741
+MALEEKVSTLPIMRESGLLIKGTILFSENHLLAERILHTADLVPVSVAEATLQKGANHTLSISLNIESPCVLVPPTNGRMKEILKEIKRGLSSL
+>DECOY_NM_201515|296601_2_458_741
+LSSLGRKIEKLIEKMRGNTPPVLVCPSEINLSISLTHNAGKQLTAEAVSVPVLDATHLIREALLHNESFLITGKILLGSERMIPLTSVKEELAM
+>NM_001013838|296624_2_625_743
+MASLSERRFSGTWTPFTIARAAAISAWETSATSAVGTWP
+>DECOY_NM_001013838|296624_2_625_743
+PWTGVASTASTEWASIAAARAITFPTWTGSFRRESLSAM
+>NM_001013838|296635_2_3832_4007
+MARSRKLAQMVTLWTVPRRPLPSRSSPAPTLCLLTLPADLAQGARGLSLPPGRHWGSS
+>DECOY_NM_001013838|296635_2_3832_4007
+SSGWHRGPPLSLGRAGQALDAPLTLLCLTPAPSSRSPLPRRPVTWLTVMQALKRSRAM
+>NM_001013838|296638_2_4045_4166
+MVQALPPLVKAQVPAEPAPPQTAWASQRTLAWAPEMKMAS
+>DECOY_NM_001013838|296638_2_4045_4166
+SAMKMEPAWALTRQSAWATQPPAPEAPVQAKVLPPLAQVM
+>NM_001013838|296639_3_263_378
+MESLPAAHHLPPAEGGLHVQLPGGPGHGAAGDTPSGHL
+>DECOY_NM_001013838|296639_3_263_378
+LHGSPTDGAAGHGPGGPLQVHLGGEAPPLHHAAPLSEM
+>NM_001013838|296640_3_1292_1707
+MDDRQGGLEGGTGRARSPRGCSQQPPPAALRSGIPRLLHQPYPPRRFEERLLPHEVPRRAGRAAALPQPRADAAAPGPGGLQAAARRAQSPFGWPRAQHAPPRPAPGPQRLRAALGRRPGDTRLSVRRRRCELPGSGG
+>DECOY_NM_001013838|296640_3_1292_1707
+GGSGPLECRRRRVSLRTDGPRRGLAARLRQPGPAPRPPAHQARPWGFPSQARRAAAQLGGPGPAAADARPQPLAAARGARRPVEHPLLREEFRRPPYPQHLLRPIGSRLAAPPPQQSCGRPSRARGTGGELGGQRDDM
+>NM_006339|296804_2_600_694
+MASPPSMFPSSLKSSWTKTKRVRRSFGACGR
+>DECOY_NM_006339|296804_2_600_694
+RGCAGFSRRVRKTKTWSSKLSSPFMSPPSAM
+>NM_025099|296833_2_2556_2707
+MVHPAYLGVLWSWLAVHPASLSRTTGLWSLKAPRISKMCWMQTSHCLNPH
+>DECOY_NM_025099|296833_2_2556_2707
+HPNLCHSTQMWCMKSIRPAKLSWLGTTRSLSAPHVALWSWLVGLYAPHVM
+>NM_001039762|296857_2_1166_1647
+MEKLRSKTPLGLHPKSPITSCSGGPSRTGGGQTPRSPLHLPSGGCLKRRLPPFTHLPSVPGPPSLVCQTLQPPASGHSARQMTSGGEPHISTGSRRPRKLPWPAHPRCSACPPNVVSSRRRLTPRRGWGTSLVPQRLLQVKNANESCLIRKWSTSKHNFR
+>DECOY_NM_001039762|296857_2_1166_1647
+RFNHKSTSWKRILCSENANKVQLLRQPVLSTGWGRRPTLRRRSSVVNPPCASCRPHAPWPLKRPRRSGTSIHPEGGSTMQRASHGSAPPQLTQCVLSPPGPVSPLHTFPPLRRKLCGGSPLHLPSRPTQGGGTRSPGGSCSTIPSKPHLGLPTKSRLKEM
+>NM_144682|296891_2_634_710
+MVLSIPAFAALVLHYTVDLAPLCFT
+>DECOY_NM_144682|296891_2_634_710
+TFCLPALDVTYHLVLAAFAPISLVM
+>NM_144682|296893_2_2305_2465
+MGTGIGRQKPSLREKRIVQEFSGSFWTTFRPVTWVTVAFPLSQHSIQEKSSPE
+>DECOY_NM_144682|296893_2_2305_2465
+EPSSKEQISHQSLPFAVTVWTVPRFTTWFSGSFEQVIRKERLSPKQRGIGTGM
+>NM_016551|296995_3_1707_1840
+MGHAGCKWNYVTDSKRERTTVLPSPPIQVMEARERAPSDKHSGP
+>DECOY_NM_016551|296995_3_1707_1840
+PGSHKDSPARERAEMVQIPPSPLVTTRERKSDTVYNWKCGAHGM
+>NM_019012|297035_2_2181_2260
+MDCLVRVENFLEPLPNWNEHGENMIS
+>DECOY_NM_019012|297035_2_2181_2260
+SIMNEGHENWNPLPELFNEVRVLCDM
+>NM_054111|297207_2_756_838
+MAATAPLPSGRMPSWHAHPRRARPRLF
+>DECOY_NM_054111|297207_2_756_838
+FLRPRARRPHAHWSPMRGSPLPATAAM
+>NM_054111|297208_2_1218_1507
+MEENSQWRGSDKPSISSYIMEATSGGSSWSPSCTSSGPSSLSLGARVHTASIPALSLSSMMGRNHQKEPQAARILTRLPRQPTVALPVVSPRLTSA
+>DECOY_NM_054111|297208_2_1218_1507
+ASTLRPSVVPLAVTPQRPLRTLIRAAQPEKQHNRGMMSSLSLAPISATHVRAGLSLSSPGSSTCSPSWSSGGSTAEMIYSSISPKDSGRWQSNEEM
+>NM_054111|297209_2_1275_1507
+MEATSGGSSWSPSCTSSGPSSLSLGARVHTASIPALSLSSMMGRNHQKEPQAARILTRLPRQPTVALPVVSPRLTSA
+>DECOY_NM_054111|297209_2_1275_1507
+ASTLRPSVVPLAVTPQRPLRTLIRAAQPEKQHNRGMMSSLSLAPISATHVRAGLSLSSPGSSTCSPSWSSGGSTAEM
+>NM_054111|297211_2_1398_1507
+MGRNHQKEPQAARILTRLPRQPTVALPVVSPRLTSA
+>DECOY_NM_054111|297211_2_1398_1507
+ASTLRPSVVPLAVTPQRPLRTLIRAAQPEKQHNRGM
+>NM_022825|297402_3_514_827
+MAQDARGTDDCGHEGSVSGLRPGPGRGGYGALASGVHGLPLLRGHHRLRALDILPQLPTSCPRPPTELPVAAEGGPEPGTGPAVPCAVHLRGPLPLPVLHPPQR
+>DECOY_NM_022825|297402_3_514_827
+RQPPHLVPLPLPGRLHVACPVAPGTGPEPGGEAAVPLETPPRPCSTPLQPLIDLARLRHHGRLLPLGHVGSALAGYGGRGPGPRLGSVSGEHGCDDTGRADQAM
+>NM_022825|297403_3_967_1067
+MGPDGVQATECGAASVNGGSCHKLEPAHVLLAK
+>DECOY_NM_022825|297403_3_967_1067
+KALLVHAPELKHCSGGNVSAAGCETAQVGDPGM
+>NM_003916|297518_3_340_419
+MVCPTIRQREEKDHKRTCSDRFSTET
+>DECOY_NM_003916|297518_3_340_419
+TETSFRDSCTRKHDKEERQRITPCVM
+>NM_001080543|297543_2_147_454
+MGGETDGAGRTRDGADGGGGAGSAGQIQRKSGGSAQGCEAGAPRGPSGTQEMGPLSRTQERSSHGASGLAGDGAHARGLLAPQHPAPRLQGDPRAPGRPRLP
+>DECOY_NM_001080543|297543_2_147_454
+PLRPRGPARPDGQLRPAPHQPALLGRAHAGDGALGSAGHSSREQTRSLPGMEQTGSPGRPAGAECGQASGGSKRQIQGASGAGGGGDAGDRTRGAGDTEGGM
+>NM_001080543|297544_2_300_454
+MGPLSRTQERSSHGASGLAGDGAHARGLLAPQHPAPRLQGDPRAPGRPRLP
+>DECOY_NM_001080543|297544_2_300_454
+PLRPRGPARPDGQLRPAPHQPALLGRAHAGDGALGSAGHSSREQTRSLPGM
+>NM_001080543|297550_3_889_1004
+MGGAGGQLPPPAGQAAFQDPHPGRAGQAHRPAGQVHQR
+>DECOY_NM_001080543|297550_3_889_1004
+RQHVQGAPRHAQGARGPHPDQFAAQGAPPPLQGGAGGM
+>NM_006165|297638_2_215_345
+MAMARASWRIASWEAPELVCPRTFWRILRSSLMLSASQHGRKC
+>DECOY_NM_006165|297638_2_215_345
+CKRGHQSASLMLSSRLIRWFTRPCVLEPAEWSAIRWSARAMAM
+>NM_006165|297639_2_221_345
+MARASWRIASWEAPELVCPRTFWRILRSSLMLSASQHGRKC
+>DECOY_NM_006165|297639_2_221_345
+CKRGHQSASLMLSSRLIRWFTRPCVLEPAEWSAIRWSARAM
+>NM_002599|297734_2_880_971
+MGWASQTCQGSPWPGWWLHWLLIPKCWSCR
+>DECOY_NM_002599|297734_2_880_971
+RCSWCKPILLWHLWWGPWPSGQCTQSAWGM
+>NM_002599|297747_2_2284_2381
+MGSSLWLPLTPILQVSPIPLVPCPRMTRPWPS
+>DECOY_NM_002599|297747_2_2284_2381
+SPWPRTMRPCPVLPIPSVQLIPTLPLWLSSGM
+>NM_002599|297758_3_1043_1497
+MEPAGGGEAYPGRPAEGAGPAAARAQGGSPSRPEPPGGDGGRPEGRGGVHRPRPQDPPTVRGTLRPGCLFPAAQSAPIPAAGDPGIPLLPPAGVGGQSPAFLQGHRRQSARGRGQLSLDRMPGPGGGRQEVHPAEGPHLRGCTTAAEHVGL
+>DECOY_NM_002599|297758_3_1043_1497
+LGVHEAATTCGRLHPGEAPHVEQRGGGPGPMRDLSLQGRGRASQRRHGQLFAPSQGGVGAPPLLPIGPDGAAPIPASQAAPFLCGPRLTGRVTPPDQPRPRHVGGRGEPRGGDGGPPEPRSPSGGQARAAAPGAGEAPRGPYAEGGGAPEM
+>NM_002599|297760_3_2102_2196
+MVQQVRRGPGDGLLHLLRHQHRPFSPIQKSE
+>DECOY_NM_002599|297760_3_2102_2196
+ESKQIPSFPRHQHRLLHLLGDGPGRRVQQVM
+>NM_024809|297847_2_882_985
+MVLFPPNRTLPLKYMWILTQKTLQTLVTNKEIPL
+>DECOY_NM_024809|297847_2_882_985
+LPIEKNTVLTQLTKQTLIWMYKLPLTRNPPFLVM
+>NM_006590|297908_2_310_479
+MAEWILRTGGAATARTWTPLTGVCWTLTLRNCVLSPSHTSMLMPVWCVASTFKAGV
+>DECOY_NM_006590|297908_2_310_479
+VGAKFTSAVCWVPMLMSTHSPSLVCNRLTLTWCVGTLPTWTRATAAGGTRLIWEAM
+>NM_014451|297936_2_1564_1640
+MVTCSVHTWGQILLCSKLQTFNLEN
+>DECOY_NM_014451|297936_2_1564_1640
+NELNFTQLKSCLLIQGWTHVSCTVM
+>NM_001143788|298141_2_415_641
+MALEEKVSTLPIMRESGLLIKGTILFSENHLLAERILHTADLVPVSVAEATLQKGANHTLSISLNIEIKRGLSSL
+>DECOY_NM_001143788|298141_2_415_641
+LSSLGRKIEINLSISLTHNAGKQLTAEAVSVPVLDATHLIREALLHNESFLITGKILLGSERMIPLTSVKEELAM
+>NM_172195|298234_3_1193_1389
+MAGRKAHTTFSSPCWCPSLLPADSCSLLCAPRGFQGAIGSSCTLGQRVCDVTGRDSTVSPGGSSP
+>DECOY_NM_172195|298234_3_1193_1389
+PSSGGPSVTSDRGTVDCVRQGLTCSSGIAGQFGRPACLLSCSDAPLLSPCWCPSSFTTHAKRGAM
+>NM_015206|298265_2_1372_1586
+MGSNLKRSHPLLTWRSMNQSKSLKTRALTAPVGSSAQTPVAWAPRLSTCWSPRNAETCAPLVRASTVTGTP
+>DECOY_NM_015206|298265_2_1372_1586
+PTGTVTSARVLPACTEANRPSWCTSLRPAWAVPTQASSGVPATLARTKLSKSQNMSRWTLLPHSRKLNSGM
+>NM_001008783|298287_2_1192_1319
+MAGQKVGRQQVAPLRRAGKRSGAAPEESRWWLGALKKGAGGR
+>DECOY_NM_001008783|298287_2_1192_1319
+RGGAGKKLAGLWWRSEEPAAGSRKGARRLPAVQQRGVKQGAM
+>NM_030809|298333_2_1043_1119
+MAVGTWQDALNLIQSGSGLITSTPL
+>DECOY_NM_030809|298333_2_1043_1119
+LPTSTILGSGSQILNLADQWTGVAM
+>NM_201278|298358_2_1702_1808
+MVGIAQLSSLPLPCSCWMDTIEPSEDLKSLWRKNG
+>DECOY_NM_201278|298358_2_1702_1808
+GNKRWLSKLDESPEITDMWCSCPLPLSSLQAIGVM
+>NM_201278|298359_2_1843_1916
+MEIRTMQMQTDRLFFFNLLTVSGR
+>DECOY_NM_201278|298359_2_1843_1916
+RGSVTLLNFFFLRDTQMQMTRIEM
+>NM_024605|298419_2_1864_1940
+MVTILTLPRRTPLPAVWTHFPPRLP
+>DECOY_NM_024605|298419_2_1864_1940
+PLRPPFHTWVAPLPTRRPLTLITVM
+>NM_005256|298504_2_284_375
+MVPCSVNLQKLCRRNSRRAWMLTSPQRIYR
+>DECOY_NM_005256|298504_2_284_375
+RYIRQPSTLMWARRSNRRCLKQLNVSCPVM
+>NM_002522|298647_2_1083_1315
+MASGTTSVSPGPPGTGSGRPTRMARRVAVARTWRPITPSSPRACWCWARSRTLWVVGLMPPRHLWVSWPTSTSGTAS
+>DECOY_NM_002522|298647_2_1083_1315
+SATGSTSTPWSVWLHRPPMLGVVWLTRSRAWCWCARPSSPTIPRWTRAVAVRRAMRTPRGSGTGPPGPSVSTTGSAM
+>NM_002522|298648_2_1149_1315
+MARRVAVARTWRPITPSSPRACWCWARSRTLWVVGLMPPRHLWVSWPTSTSGTAS
+>DECOY_NM_002522|298648_2_1149_1315
+SATGSTSTPWSVWLHRPPMLGVVWLTRSRAWCWCARPSSPTIPRWTRAVAVRRAM
+>NM_001040667|298674_2_1809_1918
+MAGGRRAWHCSKKSRPVQGGMARPGWPWPQTSVTSA
+>DECOY_NM_001040667|298674_2_1809_1918
+ASTVSTQPWPWGPRAMGGQVPRSKKSCHWARRGGAM
+>NM_152269|298858_3_325_434
+MGTPALGEADVVIPRNSCHSGPDGRQEGLPCTAFLG
+>DECOY_NM_152269|298858_3_325_434
+GLFATCPLGEQRGDPGSHCSNRPIVVDAEGLAPTGM
+>NM_002499|298911_2_1838_1938
+MGKFRIINCTTWKRGLIKNRMLMFQVTLTPLMG
+>DECOY_NM_002499|298911_2_1838_1938
+GMLPTLTVQFMLMRNKILGRKWTTCNIIRFKGM
+>NM_002499|298912_2_1985_2073
+MVLEFPHQMLLFEHCQMFPVLLLRICPWK
+>DECOY_NM_002499|298912_2_1985_2073
+KWPCIRLLLVPFMQCHEFLLMQHPFELVM
+>NM_002499|298913_2_2129_2202
+MGRLLATRFATERPPERVMSLRPW
+>DECOY_NM_002499|298913_2_2129_2202
+WPRLSMVREPPRETAFRTALLRGM
+>NM_002499|298914_2_2465_2559
+MALAALMPRPSKWTINSAITPLKIWIPALTM
+>DECOY_NM_002499|298914_2_2465_2559
+MTLAPIWIKLPTIASNITWKSPRPMLAALAM
+>NM_001001971|298960_2_747_889
+MGRMRPTVHQKTSTLWGPAGCSITSLMVITHCCRHDAPSSAKARDST
+>DECOY_NM_001001971|298960_2_747_889
+TSDRAKASSPADHRCCHTIVMLSTISCGAPGWLTSTKQHVTPRMRGM
+>NM_001001971|298962_2_969_1078
+MARSHRPSPSSPSTSRASSGKFGNLKKNLNKKRNTG
+>DECOY_NM_001001971|298962_2_969_1078
+GTNRKKNLNKKLNGFKGSSARSTSPSSPSPRHSRAM
+>NM_001143773|298996_2_1140_1285
+MARSHRPSPSSPSTSRASSGKFGNLKKNLNKKRNTGLHMVTRLLILKS
+>DECOY_NM_001143773|298996_2_1140_1285
+SKLILLRTVMHLGTNRKKNLNKKLNGFKGSSARSTSPSSPSPRHSRAM
+>NM_001011724|299050_2_1695_1900
+MVSVGMTTLVVEETSVVVVALVAAVVVVDMVAVGMAIMDLVMMEAILEVVEATMILAITTISLQILDP
+>DECOY_NM_001011724|299050_2_1695_1900
+PDLIQLSITTIALIMTAEVVELIAEMMVLDMIAMGVAVMDVVVVAAVLAVVVVSTEEVVLTTMGVSVM
+>NM_001011724|299052_2_1782_1900
+MVAVGMAIMDLVMMEAILEVVEATMILAITTISLQILDP
+>DECOY_NM_001011724|299052_2_1782_1900
+PDLIQLSITTIALIMTAEVVELIAEMMVLDMIAMGVAVM
+>NM_001011724|299053_2_1797_1900
+MAIMDLVMMEAILEVVEATMILAITTISLQILDP
+>DECOY_NM_001011724|299053_2_1797_1900
+PDLIQLSITTIALIMTAEVVELIAEMMVLDMIAM
+>NM_001011724|299054_2_1806_1900
+MDLVMMEAILEVVEATMILAITTISLQILDP
+>DECOY_NM_001011724|299054_2_1806_1900
+PDLIQLSITTIALIMTAEVVELIAEMMVLDM
+>NM_001011724|299056_2_1821_1900
+MEAILEVVEATMILAITTISLQILDP
+>DECOY_NM_001011724|299056_2_1821_1900
+PDLIQLSITTIALIMTAEVVELIAEM
+>NM_001011724|299058_3_1180_1409
+MGNAHRLCGNERSKHQALQGLWVCHICHCGGGGCSYEYNATQGGWKSCGTKESCLQRRFSKTRCPLNCEKDICWWH
+>DECOY_NM_001011724|299058_3_1180_1409
+HWWCIDKECNLPCRTKSFRRQLCSEKTGCSKWGGQTANYEYSCGGGGCHCIHCVWLGQLAQHKSRENGCLRHANGM
+>NM_005358|299087_2_3076_3185
+MEMLKTLRELQTMWSAPLHQARTQANWLQAYLARKR
+>DECOY_NM_005358|299087_2_3076_3185
+RKRALYAQLWNAQTRAQHLPASWMTQLERLTKLMEM
+>NM_005358|299092_2_3628_3713
+MERLVHLKQSGLMQLLEFTTQKNLQIYL
+>DECOY_NM_005358|299092_2_3628_3713
+LYIQLNKQTTFELLQMLGSQKLHVLREM
+>NM_145638|299133_2_2327_2466
+MVTLSLAVRAHALGAGRRRGGCRPCTRPSSPSERPSRSCTGTSRPC
+>DECOY_NM_145638|299133_2_2327_2466
+CPRSTGTCSRSPRESPSSPRTCPRCGGRRRGAGLAHARVALSLTVM
+>NM_198439|299396_2_1130_1212
+MAPPVCLIMHYTLYNITFLYYLNPVIS
+>DECOY_NM_198439|299396_2_1130_1212
+SIVPNLYYLFTINYLTYHMILCVPPAM
+>NM_198439|299399_2_1553_1626
+MEKINIHFAITLNLIHGKYCRNHT
+>DECOY_NM_198439|299399_2_1553_1626
+THNRCYKGHILNLTIAFHINIKEM
+>NM_152307|299443_2_183_292
+MVQWWQCVCSVGHRPRPGMVSCGTQLTLSAAPSAPR
+>DECOY_NM_152307|299443_2_183_292
+RPASPAASLTLQTGCSVMGPRPRHGVSCVCQWWQVM
+>NM_001099218|299486_2_3190_3344
+MENRKFLMRVVIQVDQRKNYFTLLLRKIVKHLYLKDLLFSLMNVKKNLIIY
+>DECOY_NM_001099218|299486_2_3190_3344
+YIILNKKVNMLSFLLDKLYLHKVIKRLLLTFYNKRQDVQIVVRMLFKRNEM
+>NM_001099218|299489_3_1310_1389
+MELAIIIRNRPFKQGRLPLCKSHQCI
+>DECOY_NM_001099218|299489_3_1310_1389
+ICQHSKCLPLRGQKFPRNRIIIALEM
+>NM_021250|299503_2_763_908
+MALAGISCRYGQNPVTSWRFRSQEQLITSVRHKTSLTLGLPHTFRITQ
+>DECOY_NM_021250|299503_2_763_908
+QTIRFTHPLGLTLSTKHRVSTILQEQSRFRWSTVPNQGYRCSIGALAM
+>NM_021250|299505_3_128_273
+MVSSICTAAASGRRRREPCPHGSALPRAESGPQDPRAGREPLQSHPLG
+>DECOY_NM_021250|299505_3_128_273
+GLPHSQLPERGARPDQPGSEARPLASGHPCPERRRRGSAAATCISSVM
+>NM_173632|299520_2_432_601
+MEQKTRHLLSRPFLYNRSPHSGHIGQVYVPRRSTSGECVALSWEISYTREHNTIRN
+>DECOY_NM_173632|299520_2_432_601
+NRITNHERTYSIEWSLAVCEGSTSRRPVYVQGIHGSHPSRNYLFPRSLLHRTKQEM
+>NM_173632|299525_2_852_1435
+MGYPFRVEKLITSVESPQYRLATNTHLSFTRDFSLEKDLMYAVILGNSLAKVIVLIIIREFALEKDLISVDNVMNHFGIRPTSLNTREFTLEKDLMSVENVINLLVISTVLLTISEFTLEKDLMNVTNVGNLLAISAALFTTSEFTLEKDLISVENVGNRLITSATSFSISEFTLEKDLLSVRHVGSYLGATPT
+>DECOY_NM_173632|299525_2_852_1435
+TPTAGLYSGVHRVSLLDKELTFESISFSTASTILRNGVNEVSILDKELTFESTTFLAASIALLNGVNTVNMLDKELTFESITLLVTSIVLLNIVNEVSMLDKELTFERTNLSTPRIGFHNMVNDVSILDKELAFERIIILVIVKALSNGLIVAYMLDKELSFDRTFSLHTNTALRYQPSEVSTILKEVRFPYGM
+>NM_024845|299574_2_713_798
+MASPMSSTSTAATLPGRFWTTSSTWALH
+>DECOY_NM_024845|299574_2_713_798
+HLAWTSSTTWFRGPLTAATSTSSMPSAM
+>NM_133637|299619_2_1528_1751
+MGTCQIWVSYYQNSLWPLSWPKPCWPHASLTVWTRCSPWLPCSQLPLGLPVLHSVQKKLPCVGPWNTRMVTTVL
+>DECOY_NM_133637|299619_2_1528_1751
+LVTTVMRTNWPGVCPLKKQVSHLVPLGLPLQSCPLWPSCRTWVTLSAHPWCPKPWSLPWLSNQYYSVWIQCTGM
+>NM_019086|299666_2_1430_1515
+MARTPPSLSTTAPRTWMRATTSAELTAL
+>DECOY_NM_019086|299666_2_1430_1515
+LATLEASTTARMWTRPATTSLSPPTRAM
+>NM_001008727|299699_2_248_423
+MEGNSVTLWKMEKSSVNTHALMHTWELKIQGTLMTVMSMEKTFPCYTTVPLLERHFLC
+>DECOY_NM_001008727|299699_2_248_423
+CLFHRELLPVTTYCPFTKEMSMVTMLTGQIKLEWTHMLAHTNVSSKEMKWLTVSNGEM
+>NM_001008727|299700_2_278_423
+MEKSSVNTHALMHTWELKIQGTLMTVMSMEKTFPCYTTVPLLERHFLC
+>DECOY_NM_001008727|299700_2_278_423
+CLFHRELLPVTTYCPFTKEMSMVTMLTGQIKLEWTHMLAHTNVSSKEM
+>NM_001008727|299703_2_563_651
+MEKHSMNRSNVGELLLTPQAMLCLLKCIL
+>DECOY_NM_001008727|299703_2_563_651
+LICKLLCLMAQPTLLLEGVNSRNMSHKEM
+>NM_001144074|299724_2_1080_1204
+MVVQWPRGASSSILTNCGSCECGKCSFWMKTTCLSSTLVRM
+>DECOY_NM_001144074|299724_2_1080_1204
+MRVLTSSLCTTKMWFSCKGCECSGCNTLISSSAGRPWQVVM
+>NM_019078|299890_2_901_1097
+MELWFSLWDQWSQKFQRRKLWQLLMLWAKSLRQSCGGTLEPDHRILRTTRYLLSGYPKTICLVTR
+>DECOY_NM_019078|299890_2_901_1097
+RTVLCITKPYGSLLYRTTRLIRHDPELTGGCSQRLSKAWLMLLQWLKRRQFKQSWQDWLSFWLEM
+>NM_016603|299931_2_688_887
+MEVWSNKDFFKSMEMLRQWSGFGRDTTAEKRWIWLRKQMFPQLLAFLDFFFKNFLNLLSLAVYIFT
+>DECOY_NM_016603|299931_2_688_887
+TFIYVALSLLNLFNKFFFDLFALLQPFMQKRLWIWRKEATTDRGFGSWQRLMEMSKFFDKNSWVEM
+>NM_016603|299940_2_1558_1658
+MGKDLITRLILLMILLMPVKVTETVQNLWLALI
+>DECOY_NM_016603|299940_2_1558_1658
+ILALWLNQVTETVKVPMLLIMLLILRTILDKGM
+>NM_016603|299952_2_3151_3251
+MEGMPRKRIVFQCLRSTESTRKLKPSLGFLKFL
+>DECOY_NM_016603|299952_2_3151_3251
+LFKLFGLSPKLKRTSETSRLCQFVIRKRPMGEM
+>NM_001101801|300049_2_1840_1928
+MGRSHFLLLSLGRRTLSLEKLSCLHKLEE
+>DECOY_NM_001101801|300049_2_1840_1928
+EELKHLCSLKELSLTRRGLSLLLFHSRGM
+>NM_002333|300092_2_1288_1703
+MAGGIVPAAETSRAALPARPTSTPARVAVVCATRLPTAATTRKAVPTAPTRRTASPASPAPSTAVPTCASSRRGAVTARKTARTAAMSMGAWPPCPARSSRRRSLAAWCVACCWSSRWAAPSSSTHCARRNTGPSRPR
+>DECOY_NM_002333|300092_2_1288_1703
+RPRSPGTNRRACHTSSSPAAWRSSWCCAVCWAALSRRRSSRAPCPPWAGMSMAATRATKRATVAGRRSSACTPVATSPAPSAPSATRRTPATPVAKRTTAATPLRTACVVAVRAPTSTPRAPLAARSTEAAPVIGGAM
+>NM_002333|300094_2_1552_1703
+MGAWPPCPARSSRRRSLAAWCVACCWSSRWAAPSSSTHCARRNTGPSRPR
+>DECOY_NM_002333|300094_2_1552_1703
+RPRSPGTNRRACHTSSSPAAWRSSWCCAVCWAALSRRRSSRAPCPPWAGM
+>NM_002333|300096_2_2002_2216
+MASSSLLQGLPPTPQHRSWTQAAPGRPETGPPVPPAVHRRWDLQGHPCPRACETQSAGPWTRTERSAGSHW
+>DECOY_NM_002333|300096_2_2002_2216
+WHSGASRETRTWPGASQTECARPCPHGQLDWRRHVAPPVPPGTEPRGPAAQTWSRHQPTPPLGQLLSSSAM
+>NM_001015045|300123_2_527_600
+MGETRDLSTKKILLLGSTTLMIVF
+>DECOY_NM_001015045|300123_2_527_600
+FVIMLTTSGLLLIKKTSLDRTEGM
+>NM_019849|300200_3_665_924
+MGEQLQCALGHAHPGHVHRREAAGLVPHHRRGPSPDLPRTLRGAEAQQCLCFLDDALRGTPGPGLPPGLLRLQWLELPQLCHRGDG
+>DECOY_NM_019849|300200_3_665_924
+GDGRHCLQPLELWQLRLLGPPLGPGPTGRLADDLFCLCQQAEAGRLTRPLDPSPGRRHHPVLGAAERRHVHGPHAHGLACQLQEGM
+>NM_014502|300340_2_316_431
+MVPTPSTTSLSPRSSSSTSKLLTQSGPSLPQPPASRPF
+>DECOY_NM_014502|300340_2_316_431
+FPRSAPPQPLSPGSQTLLKSTSSSSRPSLSTTSPTPVM
+>NM_014502|300347_2_1414_1520
+MVTTWLQRLMTPLSSSGICASLRTLRLCSWITTLR
+>DECOY_NM_014502|300347_2_1414_1520
+RLTTIWSCLRLTRLSACIGSSSLPTMLRQLWTTVM
+>NM_017916|300361_3_766_887
+MAHDEEPAIHGLHLAAEHPLGAASSDPGAGGPVHARPRES
+>DECOY_NM_017916|300361_3_766_887
+SERPRAHVPGGAGPDSSAAGLPHEAALHLGHIAPEEDHAM
+>NM_032539|300374_2_2995_3116
+MGLTTLRLTIKQTAMSTTISPHLWVRCAKTPSTCRRKETQ
+>DECOY_NM_032539|300374_2_2995_3116
+QTEKRRCTSPTKACRVWLHPSITTSMATQKITLRLTTLGM
+>NM_001130083|300597_2_726_1036
+MGCPTAKLTITPSSASAVTAVRNTSRGACWRPERSTTTLPARYVSGAARCLQKAKRCIFKVPPSGIRRVDKQPELKTETRKPELPQRASFLSLLPAPQGLRAV
+>DECOY_NM_001130083|300597_2_726_1036
+VARLGQPAPLLSLFSARQPLEPKRTETKLEPQKDVRRIGSPPVKFICRKAKQLCRAAGSVYRAPLTTTSREPRWCAGRSTNRVATVASASSPTITLKATPCGM
+>NM_001130083|300602_2_1797_1930
+MERMAWTSGMPIWPPVEQTRMPAGACENTRSIRMTPSSSQTEFA
+>DECOY_NM_001130083|300602_2_1797_1930
+AFETQSSSPTMRISRTNECAGAPMRTQEVPPWIPMGSTWAMREM
+>NM_001130083|300603_2_1806_1930
+MAWTSGMPIWPPVEQTRMPAGACENTRSIRMTPSSSQTEFA
+>DECOY_NM_001130083|300603_2_1806_1930
+AFETQSSSPTMRISRTNECAGAPMRTQEVPPWIPMGSTWAM
+>NM_152660|300861_2_385_458
+MDHPILVNSASSSVHLTGKMIERR
+>DECOY_NM_152660|300861_2_385_458
+RREIMKGTLHVSSSASNVLIPHDM
+>NM_001143912|300883_2_259_332
+MARSRLTATSTSQVQAILLPHPPE
+>DECOY_NM_001143912|300883_2_259_332
+EPPHPLLIAQVQSTSTATLRSRAM
+>NM_015934|300934_2_1162_1322
+MVSFIMLHSWARQVPNTKERFLECWQPKPFWLSVMMLLVRIQVLQWELRTEPN
+>DECOY_NM_015934|300934_2_1162_1322
+NPETRLEWQLVQIRVLLMMVSLWFPKPQWCELFREKTNPVQRAWSHLMIFSVM
+>NM_152658|300942_2_642_934
+MVPGCRPGCSTWAVSTGCPAATSTCAASTSHPPASSGAGVCATCGLMQCPPSSPGDHLPRVSGGPEAPRSQSRRRLPYRRIHPCPRALPSQSLAQCA
+>DECOY_NM_152658|300942_2_642_934
+ACQALSQSPLARPCPHIRRYPLRRRSQSRPAEPGGSVRPLHDGPSSPPCQMLGCTACVGAGSSAPPHSTSAACTSTAAPCGTSVAWTSCGPRCGPVM
+>NM_138350|300992_3_134_324
+MGAEHRPGQLQAQAAHGHLLRALPARVLQRLWKPQEPKAQCRAHGVRLSGPHTAGEGEHRPCQ
+>DECOY_NM_138350|300992_3_134_324
+QCPRHEGEGATHPGSLRVGHARCQAKPEQPKWLRQLVRAPLARLLHGHAAQAQLQGPRHEAGM
+>NM_015184|301077_2_491_621
+MAFLTRYLKIVRFPSYMERIMSHWIWLPTPQMLQTSGLQDCGT
+>DECOY_NM_015184|301077_2_491_621
+TGCDQLGSTQLMQPTPLWIWHSMIREMYSPFRVIKLYRTLFAM
+>NM_015184|301083_2_2288_2364
+METLPFLMKALNFKSTCLNWPWCAL
+>DECOY_NM_015184|301083_2_2288_2364
+LACWPWNLCTSKFNLAKMLFPLTEM
+>NM_032487|301112_2_958_1034
+MVSCCSVLQTERLLKTSRRAFVMWQ
+>DECOY_NM_032487|301112_2_958_1034
+QWMVFARRSTKLLRETQLVSCCSVM
+>NM_007069|301161_2_511_584
+MDMWFIWPLQVRSQELVQPVSCPP
+>DECOY_NM_007069|301161_2_511_584
+PPCSVPQVLEQSRVQLPWIFWMDM
+>NM_007069|301167_2_787_863
+MESPAVTRSEMSSSLQALQEWAWQP
+>DECOY_NM_007069|301167_2_787_863
+PQWAWEQLAQLSSSMESRTVAPSEM
+>NM_015089|301249_2_709_854
+MASSSTWILTVAIHCWSCLQKPHPLKNTAWPLRAFICLRSQESCFSPW
+>DECOY_NM_015089|301249_2_709_854
+WPSFCSEQSRLCIFARLPWATNKLPHPKQLCSWCHIAVTLIWTSSSAM
+>NM_015089|301250_2_1198_1556
+MENMCSRHSSQGCECGCWMIMRRSVLGTRASSGRATTAFPLCRFSGSRQAALTGCTGTCWRSWALRKPLRIRLQQLWRRGQGLLCWAQHFPPGTGILWMGCTLCRTSSPNLRRMREWDI
+>DECOY_NM_015089|301250_2_1198_1556
+IDWERMRRLNPSSTRCLTCGMWLIGTGPPFHQAWCLLGQGRRWLQQLRIRLPKRLAWSRWCTGTCGTLAAQRSGSFRCLPFATTARGSSARTGLVSRRMIMWCGCECGQSSHRSCMNEM
+>NM_015089|301255_2_1789_2015
+MGCCLMNQAARLLHEITPVPQIQKRSPSRRPASQRKRLSPSKQRPRPLRQRPSPPRQGPRPPWHRVILSCLTSFW
+>DECOY_NM_015089|301255_2_1789_2015
+WFSTLCSLIVRHWPPRPGQRPPSPRQRLPRPRQKSPSLRKRQSAPRRSPSRKQIQPVPTIEHLLRAAQNMLCCGM
+>NM_015089|301269_2_6850_6923
+MDSAGAASSPGSQITKTITTALPW
+>DECOY_NM_015089|301269_2_6850_6923
+WPLATTITKTIQSGPSSAAGASDM
+>NM_015089|301285_3_4259_4395
+MAAGSVLRTERDLSEPLESSSVLCFSSSSPLPLAGACGTSSWAFS
+>DECOY_NM_015089|301285_3_4259_4395
+SFAWSSTGCAGALPLPSSSSFCLVSSSELPESLDRETRLVSGAAM
+>NM_020901|301352_2_279_388
+MEMAQTEKTRGRLRRKTWKTDLVPRILKTTGRHCWR
+>DECOY_NM_020901|301352_2_279_388
+RWCHRGTTKLIRPVLDTKWTKRRLRGRTKETQAMEM
+>NM_020901|301353_2_285_388
+MAQTEKTRGRLRRKTWKTDLVPRILKTTGRHCWR
+>DECOY_NM_020901|301353_2_285_388
+RWCHRGTTKLIRPVLDTKWTKRRLRGRTKETQAM
+>NM_020901|301366_2_3909_3985
+MATPWRPDTSLMISQATPFSSSSMT
+>DECOY_NM_020901|301366_2_3909_3985
+TMSSSSFPTAQSIMLSTDPRWPTAM
+>NM_000617|301392_2_197_384
+MGSLPVLVTSTLPIVIPLFHSPLGTQRSTSPLTLMRRSPFLRRSTLVLAFVNSGLSPDQVFL
+>DECOY_NM_000617|301392_2_197_384
+LFVQDPSLGSNVFALVLTSRRLFPSRRMLTLPSTSRQTGLPSHFLPIVIPLTSTVLVPLSGM
+>NM_001144029|301481_3_780_877
+MGAGSSCGCGSEPGAPLARRGGLQAPARTLQV
+>DECOY_NM_001144029|301481_3_780_877
+VQLTRAPAQLGGRRALPAGPESGCGCSSGAGM
+>NM_173077|301545_2_566_711
+MAHVLGRISIEISMHLGVVLVPLETAKIKHSVGQGQCLNQRLKLLPAS
+>DECOY_NM_173077|301545_2_566_711
+SAPLLKLRQNLCQGQGVSHKIKATELPVLVVGLHMSIEISIRGLVHAM
+>NM_173077|301547_2_866_978
+MEPIIELDRVQIFYMPHQGLQEIGPETLGFPSHIRLS
+>DECOY_NM_173077|301547_2_866_978
+SLRIHSPFGLTEPGIEQLGQHPMYFIQVRDLEIIPEM
+>NM_018593|301670_3_519_850
+MGRFSLHGDDFLLLPNSQRLHRPIWLSENSCRGCCCWICWAHVQFFCKFHRASVPYLWNHICLRLLLCIPAFIGHFGTLFQEAPWTGEWHCHCWQQCLHNPAAFALKGSD
+>DECOY_NM_018593|301670_3_519_850
+DSGKLAFAAPNHLCQQWCHCHWEGTWPAEQFLTGFHGIFAPICLLLRLCIHNWLYPVSARHFKCFFQVHAWCIWCCCGRCSNESLWIPRHLRQSNPLLLFDDGHLSFRGM
+>NM_017819|301694_2_1244_1341
+MVIGKRLCNSFPRENILVFWRFLSILKSLSTD
+>DECOY_NM_017819|301694_2_1244_1341
+DTSLSKLISLFRWFVLINERPFSNCLRKGIVM
+>NM_017819|301695_3_942_1057
+MGQIAFNINRKVSCRFISKGQYYLFNCRFSQCYDYFQA
+>DECOY_NM_017819|301695_3_942_1057
+AQFYDYCQSFRCNFLYYQGKSIFRCSVKRNINFAIQGM
+>NM_153225|301955_2_863_954
+MAWTPMEIRLSIGKQLVILGVKELGKKFGE
+>DECOY_NM_153225|301955_2_863_954
+EGFKKGLEKVGLIVLQKGISLRIEMPTWAM
+>NM_153225|301960_3_441_721
+MEPLEWLCRPVQAYNPCAEALGAAGASERRGALPTPGRESWLPGVLHPAGPGLRAHLCSCLYNYLCIQQGENTTSYVSTLVYTHRGCWILYGV
+>DECOY_NM_153225|301960_3_441_721
+VGYLIWCGRHTYVLTSVYSTTNEGQQICLYNYLCSCLHARLGPGAPHLVGPLWSERGPTPLAGRRESAGAAGLAEACPNYAQVPRCLWELPEM
+>NM_033058|302091_2_494_636
+MGYMDFRGTCWWKISLTSTSRSPPGQKRNPTSPCARNMKRSASTSTV
+>DECOY_NM_033058|302091_2_494_636
+VTSTSASRKMNRACPSTPNRKQGPPSRSTSTLSIKWWCTGRFDMYGM
+>NM_181985|302107_3_87_196
+MVSSICTAAASGRRRREPCPHGSALPREPLQSHPLG
+>DECOY_NM_181985|302107_3_87_196
+GLPHSQLPERPLASGHPCPERRRRGSAAATCISSVM
+>NM_014871|302285_2_673_782
+MVSFFSPRTTSSIWPVGASLYLITCWMRMRICTVSY
+>DECOY_NM_014871|302285_2_673_782
+YSVTCIRMRMWCTILYLSAGVPWISSTTRPSFFSVM
+>NM_015963|302349_2_752_852
+MDWPPWWQAVREKQKRLPQMLAMRAPLPPSKGA
+>DECOY_NM_015963|302349_2_752_852
+AGKSPPLPARMALMQPLRKQKERVAQWWPPWDM
+>NM_017707|302418_2_806_906
+MAGRLPRACSPSSRSWRPQYMHSIRPRRTSYRS
+>DECOY_NM_017707|302418_2_806_906
+SRYSTRRPRISHMYQPRWSRSSPSCARPLRGAM
+>NM_019893|302452_2_367_479
+MANPARMHRASSPGYTVVPSSWQNLMGPIEQCLSAST
+>DECOY_NM_019893|302452_2_367_479
+TSASLCQEIPGMLNQWSSPVVTYGPSSARHMRAPNAM
+>NM_020748|302517_2_770_843
+MENDKMKKVLEEGAGQMPYASCVK
+>DECOY_NM_020748|302517_2_770_843
+KVCSAYPMQGAGEELVKKMKDNEM
+>NM_025146|302652_2_607_725
+MVLLTTFICMSRSAMSRQLTSTGSLALRLLRQRRTTIRG
+>DECOY_NM_025146|302652_2_607_725
+GRITTRRQRLLRLALSGTSTLQRSMASRSMCIFTTLLVM
+>NM_152441|302726_2_1057_1148
+MASTAWCGRCTGCARSTLDSVCASRTRAWS
+>DECOY_NM_152441|302726_2_1057_1148
+SWARTRSACVSDLTSRACGTCRGCWATSAM
+>NM_001144382|302771_2_409_596
+MVQNRRGNGKRQSHSAACQQRRRSAVQVIVLIQWLRVQNSKRFAPTLEFIIGTFYWMLTCRA
+>DECOY_NM_001144382|302771_2_409_596
+ARCTLMWYFTGIIFELTPAFRKSNQVRLWQILVIVQVASRRRQQCAASHSQRKGNGRRNQVM
+>NM_018093|302797_2_388_548
+MAYSRVRDTARAGRACSVASPRPTAPSSHVWILGFSESGMTRTRTHPLTHSWN
+>DECOY_NM_018093|302797_2_388_548
+NWSHTLPHTRTRTMGSESFGLIWVHSSPATPRPSAVSCARGARATDRVRSYAM
+>NM_022351|302815_2_321_493
+MENYPLKNSKHILQMVFSVEKNYTSFSIPLIHIILIILTQKSYVNIFLSTWASMRMY
+>DECOY_NM_022351|302815_2_321_493
+YMRMSAWTSLFINVYSKQTLIILIIHILPISFSTYNKEVSFVMQLIHKSNKLPYNEM
+>NM_152479|302838_2_133_302
+MARLVPVLPQSRRGAWARRSTAACVPPWRSRQRASAAIERRSSGRPSASTTERCCS
+>DECOY_NM_152479|302838_2_133_302
+SCCRETTSASPRGSSRREIAASARQRSRWPPVCAATSRRAWAGRRSQPLVPVLRAM
+>NM_001144032|302874_2_112_191
+MASPWAASPSNCLQTRFQRQQKTFVL
+>DECOY_NM_001144032|302874_2_112_191
+LVFTKQQRQFRTQLCNSPSAAWPSAM
+>NM_015157|302969_2_4083_4246
+MVLIPACTWCSAARSAVATWSRWAARLNHGRSAGLSSTGSSAPFPIMWTSMRRS
+>DECOY_NM_015157|302969_2_4083_4246
+SRRMSTWMIPFPASSGTSSLGASRGHNLRAAWRSWTAVASRAASCWTCAPILVM
+>NM_014838|303134_2_987_1135
+MAVCLPCPRSPLPHSCFHHSLRTRVTSAPSSHPSNLSRKWRLRSRPPIE
+>DECOY_NM_014838|303134_2_987_1135
+EIPPRSRLRWKRSLNSPHSSPASTVRTRLSHHFCSHPLPSRPCPLCVAM
+>NM_014838|303135_2_1422_1600
+MGRTWARAASSGTCGGHTAPSCCRRTGARASRHCTPPLPLCCLPCCRRRGSSALCPRLQ
+>DECOY_NM_014838|303135_2_1422_1600
+QLRPCLASSGRRRCCPLCCLPLPPTCHRSARAGTRRCCSPATHGGCTGSSAARAWTRGM
+>NM_003260|303161_2_1965_2293
+MATLWSGTCRIRLWSGSSRATRTAPAALIFPITALGSGQGAWTTRCAAGTCGRAASCSSMTSAPRFSPWATALTRTGWRSEWRVATWRSCTSASRRNTSCTSTRAACCP
+>DECOY_NM_003260|303161_2_1965_2293
+PCCAARTSTCSTNRRSASTCSRWTAVRWESRWGTRTLATAWPSFRPASTMSSCSAARGCTGAACRTTWAGQGSGLATIPFILAAPATRTARSSGSWLRIRCTGSWLTAM
+>NM_001144060|303324_2_384_679
+MATAVLSTTLRDPPLRPTPAHSVMITKMKMKKQIKSVLCLHQKKKDLFPSGDLKHQPQVTSPTLILRRTGPSRFHCQHQKRRCDSKPKQSRLTWCLLT
+>DECOY_NM_001144060|303324_2_384_679
+TLLCWTLRSQKPKSDCRRKQHQCHFRSPGTRRLILTPSTVQPQHKLDGSPFLDKKKQHLCLVSKIQKKMKMKTIMVSHAPTPRLPPDRLTTSLVATAM
+>NM_001144060|303332_2_1683_1849
+MGPKLCRIIVETTWPSQPTPKMWMARVNLVIQGAEGTAARSPGNTNPQVMEGHPP
+>DECOY_NM_001144060|303332_2_1683_1849
+PPHGEMVQPNTNGPSRAATGEAGQIVLNVRAMWMKPTPQSPWTTEVIIRCLKPGM
+>NM_001144060|303336_2_1965_2059
+MATVHLCTLTLDMDLGICAIAVMALGTPGTA
+>DECOY_NM_001144060|303336_2_1965_2059
+ATGPTGLAMVAIACIGLDMDLTLTCLHVTAM
+>NM_001144060|303343_2_4068_4183
+MGRAAYLNRTEQLGCRRPTQPVHPQRPVTSSRKTGMMR
+>DECOY_NM_001144060|303343_2_4068_4183
+RMMGTKRSSTVPRQPHVPQTPRRCGLQETRNLYAARGM
+>NM_005848|303618_2_613_716
+MEVLWGHRFTFAIEEEEISLHLQIWGFYMTGKKD
+>DECOY_NM_005848|303618_2_613_716
+DKKGTMYFGWIQLHLSIEEEEIAFTFRHGWLVEM
+>NM_005848|303625_2_2470_2717
+MDFQFLGTIYLKDLKDFYKQRRTNCLQNQVVLIALCPCSEEQNRKLNQPIKLQRGTLPSLRCGLGVYCATVMDCGLFVSQLM
+>DECOY_NM_005848|303625_2_2470_2717
+MLQSVFLGCDMVTACYVGLGCRLSPLTGRQLKIPQNLKRNQEESCPCLAILVVQNQLCNTRRQKYFDKLDKLYITGLFQFDM
+>NM_005848|303647_3_5540_5643
+MGQYEVTSGSGTALVHSLECTHPKISNGPFIAKK
+>DECOY_NM_005848|303647_3_5540_5643
+KKAIFPGNSIKPHTCELSHVLATGSGSTVEYQGM
+>NM_001080494|303851_2_766_911
+MGCCSWRRERQGTASALCSVSCSCCATTPSSPSCSVLGTSTSRRPRSS
+>DECOY_NM_001080494|303851_2_766_911
+SSRPRRSTSTGLVSCSPSSPTTACCSCSVSCLASATGQRERRWSCCGM
+>NM_022082|303911_3_258_451
+MDGDAAAGHMPSVLRPLQHAHLHRLHEPGLRLEQEGGRHRAQQLLLGLLPDTGCGRPPRGSDWG
+>DECOY_NM_022082|303911_3_258_451
+GWDSGRPPRGCGTDPLLGLLLQQARHRGGEQELRLGPEHLRHLHAHQLPRLVSPMHGAAADGDM
+>NM_022082|303913_3_720_805
+MVRLAEHLLFLRRPHLALGVVRVQVPAE
+>DECOY_NM_022082|303913_3_720_805
+EAPVQVRVVGLALHPRRLFLLHEALRVM
+>NM_031951|304027_2_2500_2591
+MDSTPIRYCDTTLVMGGNGFLPLTAISPIQ
+>DECOY_NM_031951|304027_2_2500_2591
+QIPSIATLPLFGNGGMVLTTDCYRIPTSDM
+>NM_031951|304036_3_3947_4026
+MEGRSMSSYGPEDIWFPNKNVRKPKR
+>DECOY_NM_031951|304036_3_3947_4026
+RKPKRVNKNPFWIDEPGYSSMSRGEM
+>NM_031951|304037_3_4664_4818
+MEGRMGEEASSGRGETTSGWREAIPGGGNASGGQEAEMGGVETSLGKYVII
+>DECOY_NM_031951|304037_3_4664_4818
+IIVYKGLSTEVGGMEAEQGGSANGGGPIAERWGSTTEGRGSSAEEGMRGEM
+>NM_031951|304038_3_4676_4818
+MGEEASSGRGETTSGWREAIPGGGNASGGQEAEMGGVETSLGKYVII
+>DECOY_NM_031951|304038_3_4676_4818
+IIVYKGLSTEVGGMEAEQGGSANGGGPIAERWGSTTEGRGSSAEEGM
+>NM_031951|304039_3_5678_5811
+MDQQHGRTHKEQDDTVPEEESGSGKEESGPGEGKIGSEEREPTL
+>DECOY_NM_031951|304039_3_5678_5811
+LTPEREESGIKGEGPGSEEKGSGSEEEPVTDDQEKHTRGHQQDM
+>NM_004332|304088_2_344_420
+MDIPGPQIAISQQTFLKGMQKMLLI
+>DECOY_NM_004332|304088_2_344_420
+ILLMKQMGKLFTQQSIAIQPGPIDM
+>NM_001001786|304100_3_373_473
+MDRASLWQFHLSRGKSTPATGGALGFQQRTYVA
+>DECOY_NM_001001786|304100_3_373_473
+AVYTRQQFGLAGGTAPTSKGRSLHFQWLSARDM
+>NM_001868|304116_2_958_1082
+MGTSRPSSPSTATPSSSCIPMATKQNQSLTRMSWISFPRLL
+>DECOY_NM_001868|304116_2_958_1082
+LLRPFSIWSMRTLSQNQKTAMPICSSSPTATSPSSPRSTGM
+>NM_001868|304117_2_1120_1295
+MAASSRQFIKPVEALLTGPTARASSTPSPSSSGTLGAMASCCQPPRSSPQPRRRGWRF
+>DECOY_NM_001868|304117_2_1120_1295
+FRWGRRRPQPSSRPPQCCSAMAGLTGSSSPSPTSSARATPGTLLAEVPKIFQRSSAAM
+>NM_004078|304120_2_387_586
+MGPKAMATGRAQAPSALTRGSRWVSSTRKPLATGPPPTPMHPNLPRRLVAPSAAPDAARQSMLRRR
+>DECOY_NM_004078|304120_2_387_586
+RRRLMSQRAADPAASPAVLRRPLNPHMPTPPPGTALPKRTSSVWRSGRTLASPAQARGTAMAKPGM
+>NM_004078|304121_2_402_586
+MATGRAQAPSALTRGSRWVSSTRKPLATGPPPTPMHPNLPRRLVAPSAAPDAARQSMLRRR
+>DECOY_NM_004078|304121_2_402_586
+RRRLMSQRAADPAASPAVLRRPLNPHMPTPPPGTALPKRTSSVWRSGRTLASPAQARGTAM
+>NM_012254|304210_2_1335_1432
+MDYGLMCGRPSSSASVLFGSGKSTAPQKATWA
+>DECOY_NM_012254|304210_2_1335_1432
+AWTAKQPATSKGSGFLVSASSSPRGCMLGYDM
+>NM_003772|304234_3_910_1007
+MVQPAKSKRESHIWTNLCKKGRVLLLCFGNGW
+>DECOY_NM_003772|304234_3_910_1007
+WGNGFCLLLVRGKKCLNTWIHSERKSKAPQVM
+>NM_001144072|304275_2_743_975
+MEAENLHPFCWVPGFCQPYLTFSSLKLCSISLASLQLVICLLDSWHLCLLCLYHFTAPYQESKWHKFWVRCPSQTRH
+>DECOY_NM_001144072|304275_2_743_975
+HRTQSPCRVWFKHWKSEQYPATFHYLCLLCLHWSDLLCIVLQLSALSISCLKLSSFTLYPQCFGPVWCFPHLNEAEM
+>NM_001144756|304308_3_729_826
+MAIWKHDVQDQWIGPGNICRSFSLYVSCNCCR
+>DECOY_NM_001144756|304308_3_729_826
+RCCNCSVYLSFSRCINGPGIWQDQVDHKWIAM
+>NM_001461|304404_2_1335_1495
+MAPGRMTLMLLSLPQAIALTFRFWKIPSKWSKTRYPCIKRSSLLTWKGQLLQS
+>DECOY_NM_001461|304404_2_1335_1495
+SQLLQGKWTLLSSRKICPYRTKSWKSPIKWFRFTLAIAQPLSLLMLTMRGPAM
+>NM_021645|304653_2_842_936
+MERESIKSFWKQSFPLMERIGGNWLRGLRLV
+>DECOY_NM_021645|304653_2_842_936
+VLRLGRLWNGGIREMLPFSQKWFSKISEREM
+>NM_198449|304675_2_565_752
+MVNNLRIIILSVQQEAPCIPNTGSPSLIANKWEVILVSFERKRNKGEHLISKSLNFMGKTSH
+>DECOY_NM_198449|304675_2_565_752
+HSTKGMFNLSKSILHEGKNRKREFSVLIVEWKNAILSPSGTNPICPAEQQVSLIIIRLNNVM
+>NM_016522|304728_2_1532_1620
+MGTTLAWPPTSWATPMPASCYLVQAPSAR
+>DECOY_NM_016522|304728_2_1532_1620
+RASPAQVLYCSAPMPTAWSTPPWALTTGM
+>NM_004668|304795_2_1381_1475
+MDRSLSSLWIQPSPTTLPQVNPMAHMTGVQI
+>DECOY_NM_004668|304795_2_1381_1475
+IQVGTMHAMPNVQPLTTPSPQIWLSSLSRDM
+>NM_004668|304803_2_2626_2705
+MGKRRILWPIKCIFYVSFLSLKTAWR
+>DECOY_NM_004668|304803_2_2626_2705
+RWATKLSLFSVYFICKIPWLIRRKGM
+>NM_004668|304805_2_2965_3116
+MVLLPKTALPVAVSGRHPILLESLFAILSTTYTLSVMFSIIPMGPQLTSP
+>DECOY_NM_004668|304805_2_2965_3116
+PSTLQPGMPIISFMVSLTYTTSLIAFLSELLIPHRGSVAVPLATKPLLVM
+>NM_004668|304808_2_3805_3956
+MATRMTLRSPACMMRWWLPRSLMMCSTQTSTTWSGSWTSPSAPSLLGFQL
+>DECOY_NM_004668|304808_2_3805_3956
+LQFGLLSPASPSTWSGSWTTSTQTSCMMLSRPLWWRMMCAPSRLTMRTAM
+>NM_004668|304826_2_5314_5399
+MGKALIPMGKDSITWPAFLPARIRCKAI
+>DECOY_NM_004668|304826_2_5314_5399
+IAKCRIRAPLFAPWTISDKGMPILAKGM
+>NM_004668|304831_3_2441_2589
+MEEAKSRDGTSWRQNWTSPSRRLHLPHTAAKYNHSGQSKEPSWSYHCPR
+>DECOY_NM_004668|304831_3_2441_2589
+RPCHYSWSPEKSQGSHNYKAATHPLHLRRSPSTWNQRWSTGDRSKAEEM
+>NM_148976|304874_2_730_914
+MAGDHMVLVSLLLVMMIWALTFSKPVHLLTILTAEPCPLEPVPNQLVLTWRDICLNLWSVI
+>DECOY_NM_148976|304874_2_730_914
+IVSWLNLCIDRWTLVLQNPVPELPCPEATLITLLHVPKSFTLAWIMMVLLLSVLVMHDGAM
+>NM_006646|304962_2_901_1013
+MERLPRDPCPQILGHMHRTLRITLTRLLPTILCTPSL
+>DECOY_NM_006646|304962_2_901_1013
+LSPTCLITPLLRTLTIRLTRHMHGLIQPCPDRPLREM
+>NM_002446|305015_2_2146_2315
+MEAAACPLPPTRPRPTSQCHCLPSPPRGRGRRGSRRRPRPPLGGDTAPGGAATWRC
+>DECOY_NM_002446|305015_2_2146_2315
+CRWTAAGGPATDGGLPPRPRRRSGRRGRGRPPSPLCHCQSTPRPRTPPLPCAAAEM
+>NM_002446|305016_2_2821_2930
+MALALVTFWTSPACPTPRPCSQPAAGPLSSQAAPPP
+>DECOY_NM_002446|305016_2_2821_2930
+PPPAAQSSLPGAAPQSCPRPTPCAPSTWFTVLALAM
+>NM_002446|305019_3_1898_2118
+MEPRWAPKEGRTGRGQEEGTNVGAQLHPAEGAGGRRGEAEGAGGRKQTVVIKCPQPGQVPQTHTHRPWLCQPQ
+>DECOY_NM_002446|305019_3_1898_2118
+QPQCLWPRHTHTQPVQGPQPCKIVVTQKRGGAGEAEGRRGGAGEAPHLQAGVNTGEEQGRGTRGEKPAWRPEM
+>NM_018676|305076_2_1617_1771
+MVSESVAECVSLPSPPVLSALECPWRPPCVPWRSVLLSSHPAHLLFSPRVQ
+>DECOY_NM_018676|305076_2_1617_1771
+QVRPSFLLHAPHSSLLVSRWPVCPPRWPCELASLVPPSPLSVCEAVSESVM
+>NM_020866|305126_2_2919_2992
+MDRHTSTLWNPMTHKLMSGHRWLP
+>DECOY_NM_020866|305126_2_2919_2992
+PLWRHGSMLKHTMPNWLTSTHRDM
+>NM_020866|305133_3_2530_2618
+MDICSQYVNCSEHSWCSSIEWQVVFSWRS
+>DECOY_NM_020866|305133_3_2530_2618
+SRWSFVVQWEISSCWSHESCNVYQSCIDM
+>NM_002267|305203_2_974_1182
+MVLNVEIMSYHWELSNLFCPSSVPPSPSPSFGTSHGSLSISAGIRIPRRLWRQFRRFCQLYVSSYTIQI
+>DECOY_NM_002267|305203_2_974_1182
+IQITYSSVYLQCFRRFQRWLRRPIRIGASISLSGHSTGFSPSPSPPVSSPCFLNSLEWHYSMIEVNLVM
+>NM_001005408|305251_2_419_525
+MDALCWRSMVRTWTSLVKGTAWAWSAQLLGSFGSG
+>DECOY_NM_001005408|305251_2_419_525
+GSGFSGLLQASWAWATGKVLSTWTRVMSRWCLADM
+>NM_001005408|305252_2_443_525
+MVRTWTSLVKGTAWAWSAQLLGSFGSG
+>DECOY_NM_001005408|305252_2_443_525
+GSGFSGLLQASWAWATGKVLSTWTRVM
+>NM_001005408|305261_2_1691_1803
+MERCSRCASTRWWTNGLAPLKLVSPPTTLPTSSCPPP
+>DECOY_NM_001005408|305261_2_1691_1803
+PPPCSSTPLTTPPSVLKLPALGNTWWRTSACRSCREM
+>NM_001005408|305262_2_1856_1971
+MGRPSWMNTGTIWTASRQGTRWAWYGGRTGLSTSLSMG
+>DECOY_NM_001005408|305262_2_1856_1971
+GMSLSTSLGTRGGYWAWRTGQRSATWITGTNMWSPRGM
+>NM_001005408|305272_2_3350_3534
+MAWEARMKWVLYPPPSSSWRTMGRISFCLMGTVRPHGWPATIRASLSSTNLWCPSCWCRCG
+>DECOY_NM_001005408|305272_2_3350_3534
+GCRCWCSPCWLNTSSLSARITAPWGHPRVTGMLCFSIRGMTRWSSSPPPYLVWKMRAEWAM
+>NM_001005408|305274_2_3413_3534
+MGRISFCLMGTVRPHGWPATIRASLSSTNLWCPSCWCRCG
+>DECOY_NM_001005408|305274_2_3413_3534
+GCRCWCSPCWLNTSSLSARITAPWGHPRVTGMLCFSIRGM
+>NM_152432|305371_2_1048_1148
+MGSSRYRPSQKLIGNSGLKPWMGRNRFILCLPL
+>DECOY_NM_152432|305371_2_1048_1148
+LPLCLIFRNRGMWPKLGSNGILKQSPRYRSSGM
+>NM_001134875|305423_2_431_543
+MARRAVGSCCWLCPGSWPEDLCPSRCWPRPECLWVTR
+>DECOY_NM_001134875|305423_2_431_543
+RTVWLCEPRPWCRSPCLDEPWSGPCLWCCSGVARRAM
+>NM_198283|305443_2_953_1164
+MVNVLVKLGARHIAAIASLHFLENTARNLMHVLLNHVKIMAVALIKEKIGMSKHMNVSVTHHLQERIAQK
+>DECOY_NM_198283|305443_2_953_1164
+KQAIREQLHHTVSVNMHKSMGIKEKILAVAMIKVHNLLVHMLNRATNELFHLSAIAAIHRAGLKVLVNVM
+>NM_198283|305445_2_1070_1164
+MAVALIKEKIGMSKHMNVSVTHHLQERIAQK
+>DECOY_NM_198283|305445_2_1070_1164
+KQAIREQLHHTVSVNMHKSMGIKEKILAVAM
+>NM_198283|305449_2_1424_1533
+MVLTASKYQMMLCASVHQYLQICFVRAFKHHVSHFL
+>DECOY_NM_198283|305449_2_1424_1533
+LFHSVHHKFARVFCIQLYQHVSACLMMQYKSATLVM
+>NM_198283|305458_3_489_589
+MASTTLIICGKLDTNRKHLLGLLQRLLVFGCKH
+>DECOY_NM_198283|305458_3_489_589
+HKCGFVLLRQLLGLLHKRNTDLKGCIILTTSAM
+>NM_198283|305460_3_1665_1810
+MVFQYNWKIQICMHSRVHKKSMLVFEECLPNSSTPLLLWSHLPWYLPR
+>DECOY_NM_198283|305460_3_1665_1810
+RPLYWPLHSWLLLPTSSNPLCEEFVLMSKKHVRSHMCIQIKWNYQFVM
+>NM_033220|305626_2_97_245
+MATAWLSSSVAAAAAACARFARCWARTVATLWAWRWRQRCTCRNSAKNV
+>DECOY_NM_033220|305626_2_97_245
+VNKASNRCTCRQRWRWAWLTAVTRAWCRAFRACAAAAAAVSSSLWATAM
+>NM_001105244|305668_2_1431_1567
+MGPLWPERWSTARPVGAGMTGSQSIPRAIKLDTLTQIQNMRLVCS
+>DECOY_NM_001105244|305668_2_1431_1567
+SCVLRMNQIQTLTDLKIARPISQSGTMGAGVPRATSWREPWLPGM
+>NM_001105244|305672_2_2556_2644
+MDTGTLPFSPIKATEFISKLLVEPMGKPK
+>DECOY_NM_001105244|305672_2_2556_2644
+KPKGMPEVLLKSIFETAKIPSFPLTGTDM
+>NM_001105244|305678_2_3732_3904
+MGSPTMPPACWDSCGKSSPRARPVQAHWWCTAVLVQGGLAVSSSLISCWTWPKGKGS
+>DECOY_NM_001105244|305678_2_3732_3904
+SGKGKPWTWCSILSSSVALGGQVLVATCWWHAQVPRARPSSKGCSDWCAPPMTPSGM
+>NM_001105244|305686_2_4554_4636
+MDIGWCSNSSSWAGRCTGTHQCLSAPS
+>DECOY_NM_001105244|305686_2_4554_4636
+SPASLCQHTGTCRGAWSSSNSCWGIDM
+>NM_031497|305697_2_121_230
+MAPSWAASRRTWGWSWRSWCRACSGWRPKDTGTFWR
+>DECOY_NM_031497|305697_2_121_230
+RWFTGTDKPRWGSCARCWSRWSWGWTRRSAAWSPAM
+>NM_020785|305737_3_2411_2583
+MGHWRKRDTFNSSIVTAEHRISECFEESRCHLIYWHIRTDRHEKIGQVGSRVQARPK
+>DECOY_NM_020785|305737_3_2411_2583
+KPRAQVRSGVQGIKEHRDTRIHWYILHCRSEEFCESIRHEATVISSNFTDRKRWHGM
+>NM_004961|305760_2_1300_1523
+MERSARLAQPSSPLAQVALRVPAASAPSWPAVSGASVLRSTSAWSPIVRAVPGSRAASASMSTAWITTRELFSQ
+>DECOY_NM_004961|305760_2_1300_1523
+QSFLERTTIWATSMSASAARSGPVARVIPSWASTSRLVSAGSVAPWSPASAAPVRLAVQALPSSPQALRASREM
+>NM_018931|305781_2_1939_2216
+MASLRARPPPRCKCSWWTASPSPTCRSLRRHRPRPRPTRSPSTWWWRWPRCLRSSSSRCSCSWRCGCAGGAGRPRWEAARCLRAPFQGIWWT
+>DECOY_NM_018931|305781_2_1939_2216
+TWWIGQFPARLCRAAEWRPRGAGGACGCRWSCSCRSSSSRLCRPWRWWWTSPSRTPRPRPRHRRLSRCTPSPSATWWSCKCRPPPRARLSAM
+>NM_004540|305797_2_1072_1160
+MVVLMSAGPQIRQEKMKSKLSSKSLYSLT
+>DECOY_NM_004540|305797_2_1072_1160
+TLSYLSKSSLKSKMKEQRIQPGASMLVVM
+>NM_004540|305801_2_1192_1358
+MVKSHSYVMRKGSLFQKSLGKELWMASRSLKAIRAWTAVSKSKGSMEAHHCILKM
+>DECOY_NM_004540|305801_2_1192_1358
+MKLICHHAEMSGKSKSVATWARIAKLSRSAMWLEKGLSKQFLSGKRMVYSHSKVM
+>NM_199351|305837_3_313_434
+MGPLLGLFGQQEDCSSSSFKTGLDCHPGRFLQGQRDHDCS
+>DECOY_NM_199351|305837_3_313_434
+SCDHDRQGQLFRGPHCDLGTKFSSSSCDEQQGFLGLLPGM
+>NM_145663|305853_2_1054_1373
+MESQAHDQLPTPCPGGRKATASAVRRPSRSSMCIFRVPSTGALPWKPIYMQKWTGSLLSSATALQTSLSRLASPGGQVPQLLIVTLSVLRLCTPISPPIPGQHLPG
+>DECOY_NM_145663|305853_2_1054_1373
+GPLHQGPIPPSIPTCLRLVSLTVILLQPVQGGPSALRSLSTQLATASSLLSGTWKQMYIPKWPLAGTSPVRFICMSSRSPRRVASATAKRGGPCPTPLQDHAQSEM
+>NM_145663|305862_3_1433_1683
+MDRITRWCDGTSCKSHMCECHNPPAGLAQGLQGAGLPLSLPSLLYPVSSGHFLGSAAWGVVACRGHAPPSLPRKLLCPGGHSC
+>DECOY_NM_145663|305862_3_1433_1683
+CSHGGPCLLKRPLSPPAHGRCAVVGWAASGLFHGSSVPYLLSPLSLPLGAGQLGQALGAPPNHCECMHSKCSTGDCWRTIRDM
+>NM_001283|305874_3_163_287
+MVPGHFGQGTEEDGARAHAGCPGSKAQDVQLPGVEGPQSCL
+>DECOY_NM_001283|305874_3_163_287
+LCSQPGEVGPLQVDQAKSGPCGAHARAGDEETGQGFHGPVM
+>NM_007359|305885_2_536_639
+MALKVMLFSRIMKVQKTRKVKKVNTVKRKTPKWS
+>DECOY_NM_007359|305885_2_536_639
+SWKPTKRKVTNVKKVKRTKQVKMIRSFLMVKLAM
+>NM_000812|305927_2_918_1078
+MAIPLMTLNFTGMEEKGQSLVLIKSNFLNFQLLTTRWCLRRWSSQQERIHDCH
+>DECOY_NM_000812|305927_2_918_1078
+HCDHIREQQSSWRRLCWRTTLLQFNLFNSKILVLSQGKEEMGTFNLTMLPIAM
+>NM_000812|305929_2_954_1078
+MEEKGQSLVLIKSNFLNFQLLTTRWCLRRWSSQQERIHDCH
+>DECOY_NM_000812|305929_2_954_1078
+HCDHIREQQSSWRRLCWRTTLLQFNLFNSKILVLSQGKEEM
+>NM_004194|306011_2_443_699
+MEARLWKLKEESTVTTRAISEETLTHLLHCQHATDFMGCSMTGTTHISLSQKKMTLLKRISIFIQFTNPDCLNFPWMIFHLNFSK
+>DECOY_NM_004194|306011_2_443_699
+KSFNLHFIMWPFNLCDPNTFQIFISIRKLLTMKKQSLSIHTTGTMSCGMFDTAHQCHLLHTLTEESIARTTVTSEEKLKWLRAEM
+>NM_004194|306016_2_1424_1515
+MASLKLERSVIVEPRPNVSLKEQSVVRNAP
+>DECOY_NM_004194|306016_2_1424_1515
+PANRVVSQEKLSVNPRPEVIVSRELKLSAM
+>NM_004194|306019_2_1685_1764
+MVFREFALEEDAKPEIDNANTFGGKR
+>DECOY_NM_004194|306019_2_1685_1764
+RKGGFTNANDIEPKADEELAFERFVM
+>NM_018287|306078_2_1702_1904
+MGKRFERTGCLLGRCCRVHLYFLPKLKEVAQVGLAVISPNQSSQWTSRGQQLRWLQRINPAKRMYLS
+>DECOY_NM_018287|306078_2_1702_1904
+SLYMRKAPNIRQLWRLQQGRSTWQSSQNPSIVALGVQAVEKLKPLFYLHVRCCRGLLCGTREFRKGM
+>NM_024874|306116_2_1816_1949
+MASPAMSGHSAQAAKGKWWRCRVLEHQPYSSLRCKKETTLTSSQ
+>DECOY_NM_024874|306116_2_1816_1949
+QSSTLTTEKKCRLSSYPQHELVRCRWWKGKAAQASHGSMAPSAM
+>NM_024874|306120_2_2905_2981
+MATVTRSPNAVSVTLFGWRISSRCS
+>DECOY_NM_024874|306120_2_2905_2981
+SCRSSIRWGFLTVSVANPSRTVTAM
+>NM_024874|306121_2_2986_3077
+METATVSGACYMLSLLPLSLLLPWESCLGL
+>DECOY_NM_024874|306121_2_2986_3077
+LGLCSEWPLLLSLPLLSLMYCAGSVTATEM
+>NM_016602|306171_2_179_282
+MAWSWPPTWQPDAQRARPPLPTCSSWPWPTSCWP
+>DECOY_NM_016602|306171_2_179_282
+PWCSTPWPWSSCTPLPPRARQADPQWTPPWSWAM
+>NM_016351|306212_2_2450_2652
+MGDLEVTLGKVTWEATKRKSEAKDLDLGLIQLRLYLLPSLLLHQLGLLPPAENTLTQCLHFLMRTRK
+>DECOY_NM_016351|306212_2_2450_2652
+KRTRMLFHLCQTLTNEAPPLLGLQHLLLSPLLYLRLQILGLDLDKAESKRKTAEWTVKGLTVELDGM
+>NM_006910|306276_2_3474_3721
+MGEVLTLETHLKKNATENGRENIESGMKNIIKVMLLEHSLDPQQIERTFLQRDFCHLTSGILPSQEAAEKTMLVGKVIEVET
+>DECOY_NM_006910|306276_2_3474_3721
+TEVEIVKGVLMTKEAAEQSPLIGSTLHCFDRQLFTREIQQPDLSHELLMVKIINKMGSEINERGNETANKKLHTELTLVEGM
+>NM_006910|306279_2_3756_3886
+MVTIRRIIQSQKRRRVKTLQEMVKEISIRNTEKEEKGRKVRVF
+>DECOY_NM_006910|306279_2_3756_3886
+FVRVKRGKEEKETNRISIEKVMEQLTKVRRRKQSQIIRRITVM
+>NM_021908|306371_2_1016_1119
+MAVTDALSSYNIMDPSMKPNIDETPMSWCTSKEG
+>DECOY_NM_021908|306371_2_1016_1119
+GEKSTCWSMPTEDINPKMSPDMINYSSLADTVAM
+>NM_001098526|306422_2_466_623
+MALSCSKMCKRLTREPISVKSASKGRARCSRRRWYCMCFQRSPKSSWSMWVD
+>DECOY_NM_001098526|306422_2_466_623
+DVWMSWSSKPSRQFCMCYWRRRSCRARGKSASKVSIPERTLRKCMKSCSLAM
+>NM_001098526|306428_3_680_819
+MDIFRTARKGGDCISLLPQTQDVCGVLPELGPLPESCEPGGGHFPQ
+>DECOY_NM_001098526|306428_3_680_819
+QPFHGGGPECSEPLPGLEPLVGCVDQTQPLLSICDGGKRATRFIDM
+>NM_014820|306511_3_545_729
+MAAGSGGRGTPAAGRGCHIPVESAATAPGGQRPGRRQRPEAQQRTEDPGGQGQSGPGQRTP
+>DECOY_NM_014820|306511_3_545_729
+PTRQGPGSQGQGGPDETRQQAEPRQRRGPRQGGPATAASEVPIHCGRGAAPTGRGGSGAAM
+>NM_003205|306542_2_1645_1775
+MEDQALLQAVDQLQWLELIGKTLSVSMAIIQSCLVQSLLQAQT
+>DECOY_NM_003205|306542_2_1645_1775
+TQAQLLSQVLCSQIIAMSVSLTKGILELWQLQDVAQLLAQDEM
+>NM_016615|306649_2_84_208
+MERQNQCIQSWKRRRKMAPWSGGTGTTRWSLCCQWLGRSLA
+>DECOY_NM_016615|306649_2_84_208
+ALSRGLWQCCLSWRTTGTGGSWPAMKRRRKWSQICQNQREM
+>NM_016615|306650_2_132_208
+MAPWSGGTGTTRWSLCCQWLGRSLA
+>DECOY_NM_016615|306650_2_132_208
+ALSRGLWQCCLSWRTTGTGGSWPAM
+>NM_016615|306651_2_246_328
+MGEVPSSSPTSSSSLPVAFLSSFWRQH
+>DECOY_NM_016615|306651_2_246_328
+HQRWFSSLFAVPLSSSSTPSSSPVEGM
+>NM_003777|306796_2_2669_2754
+MAARSTTWSRKIGSSSKPIPLWIPGKFM
+>DECOY_NM_003777|306796_2_2669_2754
+MFKGPIWLPIPKSSSGIKRSWTTSRAAM
+>NM_003777|306835_2_13304_13506
+MDTRQGKVHTSTDSSWRAPAGTPKQEPLLKPVSRSWHALCRSSLQKPPPWTDKKPNRPTSALCIEPN
+>DECOY_NM_003777|306835_2_13304_13506
+NPEICLASTPRNPKKDTWPPPKQLSSRCLAHWSRSVPKLLPEQKPTGAPARWSSDTSTHVKGQRTDM
+>NM_003777|306837_3_258_343
+MEPVFGKRGQPAGSWGVSGKHQPGLPCV
+>DECOY_NM_003777|306837_3_258_343
+VCPLGPQHKGSVGWSGAPQGRKGFVPEM
+>NM_003777|306839_3_1938_2020
+MGPAGSPTTSNVLVKLRISPLSIFGQS
+>DECOY_NM_003777|306839_3_1938_2020
+SQGFISLPSIRLKVLVNSTTPSGAPGM
+>NM_003777|306840_3_6720_6796
+MERWQDCLLLFYRSLLIHSTRTSKS
+>DECOY_NM_003777|306840_3_6720_6796
+SKSTRTSHILLSRYFLLLCDQWREM
+>NM_003777|306841_3_11532_11719
+MECYQGNCRHGRISRHRPRCGRICQAVEEVGRIRVSRKRKITSRMEEEKFNTEADSSESNAP
+>DECOY_NM_003777|306841_3_11532_11719
+PANSESSDAETNFKEEEMRSTIKRKRSVRIRGVEEVAQCIRGCRPRHRSIRGHRCNGQYCEM
+>NM_006715|306932_2_750_835
+MGVKANTPFMPQGTATLIQPGFGPSKRL
+>DECOY_NM_006715|306932_2_750_835
+LRKSPGFGPQILTATGQPMFPTNAKVGM
+>NM_006715|306935_2_1575_1735
+MAHTPPMPRSRRGTGNVSGSCTTWSCSVAWPWPAVPSSYTQQPSCSTSGGSFF
+>DECOY_NM_006715|306935_2_1575_1735
+FFSGGSTSCSPQQTYSSPVAPWPWAVSCSWTTCSGSVNGTGRRSRPMPPTHAM
+>NM_006715|306943_2_2634_2740
+MARQCEAASSASRSCGRLKPRTLLLTRGATSSPMH
+>DECOY_NM_006715|306943_2_2634_2740
+HMPSSTAGRTLLLTRPKLRGCSRSASSAAECQRAM
+>NM_006715|306946_3_928_1115
+MGEEPLPWPVLPHPGVCVPWAVCACGGHLGGDGWEPAQWRGHGEAVFAGPELLSAGVWEDVL
+>DECOY_NM_006715|306946_3_928_1115
+LVDEWVGASLLEPGAFVAEGHGRWQAPEWGDGGLHGGCACVAWPVCVGPHPLVPWPLPEEGM
+>NM_207040|306961_2_123_286
+MGKRYMHHPQIQMISTVNLLVIHLLSHQPVCSLALSLCKMGPTILLTFGVHQMG
+>DECOY_NM_207040|306961_2_123_286
+GMQHVGFTLLITPGMKCLSLALSCVPQHSLLHIVLLNVTSIMQIQPHHMYRKGM
+>NM_181485|306985_2_807_883
+MASGTQHASPMWTTATTQSSLTRCC
+>DECOY_NM_181485|306985_2_807_883
+CCRTLSSQTTATTWMPSAHQTGSAM
+>NM_003953|306994_2_569_645
+MAPISVMSKTLLTSLSSLDTLGSMS
+>DECOY_NM_003953|306994_2_569_645
+SMSGLTDLSSLSTLLTKSMVSIPAM
+>NM_024582|307143_2_11059_11165
+MEFTAQSRATSEFSLLDFPMPQWITASYFVSAYQQ
+>DECOY_NM_024582|307143_2_11059_11165
+QQYASVFYSATIWQPMPFDLLSFESTARSQATFEM
+>NM_024582|307146_2_11731_11924
+MVPSARIFQEASTVFAKLDTQGKCVNLQSITVNATPALMVVPAKVVWILIIVIVHLVSLENTAS
+>DECOY_NM_024582|307146_2_11731_11924
+SATNELSVLHVIVIILIWVVKAPVVMLAPTANVTISQLNVCKGQTDLKAFVTSAEQFIRASPVM
+>NM_024582|307148_2_11932_12104
+MDLRSYHTWNFQAWTPITTIFMSNLPRLKVMPYCFTTMTTRQATGLSFWPLKLPKKD
+>DECOY_NM_024582|307148_2_11932_12104
+DKKPLKLPWFSLGTAQRTTMTTFCYPMVKLRPLNSMFITTIPTWAQFNWTHYSRLDM
+>NM_024582|307152_2_12517_12626
+MVAHVWITGHGSSVIAKRDSLGNTVKNLLLLTLPYH
+>DECOY_NM_024582|307152_2_12517_12626
+HYPLTLLLLNKVTNGLSDRKAIVSSGHGTIWVHAVM
+>NM_024582|307153_2_12823_12923
+MAKYILHPMQELLGKWREIFLKYMLQTATGTLF
+>DECOY_NM_024582|307153_2_12823_12923
+FLTGTATQLMYKLFIERWKGLLEQMPHLIYKAM
+>NM_024582|307155_2_12934_13181
+MEQQQYCLLTEYITEILSTLLRTSVALMCLLYHLEEFHPIKHIEMPKQQVLMAALLLCGMVEKVFLSAGSIAWPPSQKQIPQ
+>DECOY_NM_024582|307155_2_12934_13181
+QPIQKQSPPWAISGASLFVKEVMGCLLLAAMLVQQKPMEIHKIPHFEELHYLLCMLAVSTRLLTSLIETIYETLLCYQQQEM
+>NM_024582|307160_2_13309_13472
+MVAAVSQACTPASPVAAQTRTREGPVRWWWPVLASSVLRGRCAKLEVLRGMSVF
+>DECOY_NM_024582|307160_2_13309_13472
+FVSMGRLVELKACRGRLVSSALVPWWWRVPGERTRTQAAVPSAPTCAQSVAAVM
+>NM_024582|307164_2_14179_14309
+MVILWKCMVTPANLAFSTMPQGWEGEARVLRPWHHMVLDQGVA
+>DECOY_NM_024582|307164_2_14179_14309
+AVGQDLVMHHWPRLVRAEGEWGQPMTSFALNAPTVMCKWLIVM
+>NM_024582|307167_2_14428_14663
+MGGLLQRRTAEGHCLEQGIQRMAFQLQNPLLIVTPMNLSLAQKWNMTGRSQWYILPECPNYLKSMNLMQMMKIIMEPD
+>DECOY_NM_024582|307167_2_14428_14663
+DPEMIIKMMQMLNMSKLYNPCEPLIYWQSRGTMNWKQALSLNMPTVILLPNQLQFAMRQIGQELCHGEATRRQLLGGM
+>NM_003565|307228_2_1724_2205
+MEASWPGRCLWVEAGPTRHLLKLEPSLSGQAGAGRPPHRELRCGVAGPLVQAPLHPSTLPALPGWAAACTAPPTCLTCTSSAPSCPNPPRTPWELCSAHHRPALPSRPTACSPAGTCGAHPSCPTSCSETPCPPSWAPPPRLCPPLTSRRPPAPRTCWPS
+>DECOY_NM_003565|307228_2_1724_2205
+SPWCTRPAPPRRSTLPPCLRPPPAWSPPCPTESCSTPCSPHAGCTGAPSCATPRSPLAPRHHASCLEWPTRPPNPCSPASSTCTLCTPPATCAAAWGPLAPLTSPHLPAQVLPGAVGCRLERHPPRGAGAQGSLSPELKLLHRTPGAEVWLCRGPWSAEM
+>NM_003565|307235_3_2946_3088
+MGLRGTAGAVPEGGRATVLRPAKCHRPDPGRQALPVVHCEAGGAQAE
+>DECOY_NM_003565|307235_3_2946_3088
+EAQAGGAECHVVPLAQRGPDPRHCKAPRLVTARGGEPVAGATGRLGM
+>NM_004460|307260_2_1322_1401
+MATNIFTISKTLWKMLFKLQVASGRP
+>DECOY_NM_004460|307260_2_1322_1401
+PRGSAVQLKFLMKWLTKSITFINTAM
+>NM_004460|307267_3_1194_1285
+MGLSKDPGAYRRKQNWMGWWILCFNTSFQL
+>DECOY_NM_004460|307267_3_1194_1285
+LQFSTNFCLIWWGMWNQKRRYAGPDKSLGM
+>NM_013388|307275_2_959_1197
+MDPPFPAHLTATRPAGLGRFQTSLLACDSSQCKFPTSACASPLPATSQPGMAPTSCPFGPSPVAMKSSPASMSVNPAPS
+>DECOY_NM_013388|307275_2_959_1197
+SPAPNVSMSAPSSKMAVPSPGFPCSTPAMGPQSTAPLPSACASTPFKCQSSDCALLSTQFRGLGAPRTATLHAPFPPDM
+>NM_013388|307276_2_1109_1197
+MAPTSCPFGPSPVAMKSSPASMSVNPAPS
+>DECOY_NM_013388|307276_2_1109_1197
+SPAPNVSMSAPSSKMAVPSPGFPCSTPAM
+>NM_183008|307299_2_721_806
+MACSGWASPWTRRTQRARQSQSMARGTG
+>DECOY_NM_183008|307299_2_721_806
+GTGRAMSQSQRARQTRRTWPSAWGSCAM
+>NM_022725|307364_2_182_270
+MAPFARLWSGGCTTSGGKRAALGGVQFRD
+>DECOY_NM_022725|307364_2_182_270
+DRFQVGGLAARKGGSTTCGGSWLRAFPAM
+>NM_145693|307434_2_314_426
+MEKHFLFKKQIMIRKLSLCTWPPPPSCQKELREWNAS
+>DECOY_NM_145693|307434_2_314_426
+SANWERLEKQCSPPPPWTCLSLKRIMIQKKFLFHKEM
+>NM_145693|307442_2_1991_2202
+MAPTTWFSVSPRSTKARAAVRAPSICGTGMIKSSFLILMGQLPDQILLATFCPPLGRIGPIRASLSCTIK
+>DECOY_NM_145693|307442_2_1991_2202
+KITCSLSARIPGIRGLPPCFTALLIQDPLQGMLILFSSKIMGTGCISPARVAARAKTSRPSVSFWTTPAM
+>NM_014868|307473_2_640_839
+MERTPVDPSVIIANVNFPTPKMKVLTTSPVAPVHRKARLLTRCLLKGAAAAANSLALLLMVEDEMR
+>DECOY_NM_014868|307473_2_640_839
+RMEDEVMLLLALSNAAAAAGKLLCRTLLRAKRHVPAVPSTTLVKMKPTPFNVNAIIVSPDVPTREM
+>NM_014868|307475_2_967_1100
+MVAGERGTSGDISLLTRNSFYRPTANLWCLKTKTTQLILLILIH
+>DECOY_NM_014868|307475_2_967_1100
+HILILLILQTTKTKLCWLNATPRYFSNRTLLSIDGSTGREGAVM
+>NM_015466|307554_2_3478_3572
+MAALSLLGVGSPCCSPPRWMQLRVVGRRPCG
+>DECOY_NM_015466|307554_2_3478_3572
+GCPRRGVVRLQMWRPPSCCPSGVGLLSLAAM
+>NM_015466|307561_2_4474_4844
+MVCLLHANPWPVQASARRTTFLRTPRTWSSVGMCPSAPSRPPLPSSAFGLLGGWSPRLPACQALQSPQASRQPASQSLPQSHLPPRPPFPPHYLRLPSLRRSRQCLKPPARGPPPPPWNCWPP
+>DECOY_NM_015466|307561_2_4474_4844
+PPWCNWPPPPPGRAPPKLCQRSRRLSPLRLYHPPFPPRPPLHSQPLSQSAPQRSAQPSQLAQCAPLRPSWGGLLGFASSPLPPRSPASPCMGVSSWTRPTRLFTTRRASAQVPWPNAHLLCVM
+>NM_016824|307649_2_2009_2103
+MAHQLLLTHLVISQKENLKSIRGQSNVNNKA
+>DECOY_NM_016824|307649_2_2009_2103
+AKNNVNSQGRISKLNEKQSIVLHTLLLQHAM
+>NM_002062|307722_3_848_933
+MDLQALREHRLGCSPAVCCPLGHCQVPL
+>DECOY_NM_002062|307722_3_848_933
+LPVQCHGLPCCVAPSCGLRHERLAQLDM
+>NM_017412|307837_2_752_906
+MDVSHFPVVGCVSGLTVSVRSSWRCLVFLGLKIWNAVGSQIVMSHILDLWI
+>DECOY_NM_017412|307837_2_752_906
+IWLDLIHSMVIQSGVANWIKLGLFVLCRWSSRVSVTLGSVCGVVPFHSVDM
+>NM_002922|307855_3_308_381
+MVSISGKTSCQPNWSKCLWKFPKV
+>DECOY_NM_002922|307855_3_308_381
+VKPFKWLCKSWNPQCSTKGSISVM
+>NM_175931|307923_2_403_575
+MARPPRPQPPCPHSTCPQPAGPGSSASSSASSPHCSSLAATSPQRLGSACAHWCWAW
+>DECOY_NM_175931|307923_2_403_575
+WAWCWHACASGLRQPSTAALSSCHPSSASSSASSGPGAPQPCTSHPCPPQPRPPRAM
+>NM_001103184|307953_2_226_380
+MAWIHRKQGLGFHLTSEMMRKLQAWRQNQREVREKKQGHLSLLKSCFHCLL
+>DECOY_NM_001103184|307953_2_226_380
+LLCHFCSKLLSLHGQKKERVERQNQRWAQLKRMMESTLHFGLGQKRHIWAM
+>NM_001103184|307958_2_454_587
+MVARSQRREAAPMAQSPLVVFYLSHLRSPKKTQEVSGKILSLGK
+>DECOY_NM_001103184|307958_2_454_587
+KGLSLIKGSVEQTKKPSRLHSLYFVVLPSQAMPAAERRQSRAVM
+>NM_001103184|307972_2_2989_3131
+MGLIWWTTLLSITCVTMIRKLEQKRVFSPCRNHRISFWPPKSSLKTS
+>DECOY_NM_001103184|307972_2_2989_3131
+STKLSSKPPWFSIRHNRCPSFVRKQELKRIMTVCTISLLTTWWILGM
+>NM_003377|307982_3_150_289
+MDRCVYSRYLPAPGGGGALDCGAHGHRGQTAGAQLRDCAALWWLLP
+>DECOY_NM_003377|307982_3_150_289
+PLLWWLAACDRLQAGATQGRHGHAGCDLAGGGGPAPLYRSYVCRDM
+>NM_006838|308001_2_393_607
+MEMAMEMEQLERRRKRRRRREDQKFKQTLPQFQYVTCILMVYFPKDKNANTHPHKMGEQLLGELQVKKRKH
+>DECOY_NM_006838|308001_2_393_607
+HKRKKVQLEGLLQEGMKHPHTNANKDKPFYVMLICTVYQFQPLTQKFKQDERRRRRKRRRELQEMEMAMEM
+>NM_006838|308002_2_399_607
+MAMEMEQLERRRKRRRRREDQKFKQTLPQFQYVTCILMVYFPKDKNANTHPHKMGEQLLGELQVKKRKH
+>DECOY_NM_006838|308002_2_399_607
+HKRKKVQLEGLLQEGMKHPHTNANKDKPFYVMLICTVYQFQPLTQKFKQDERRRRRKRRRELQEMEMAM
+>NM_006838|308003_2_405_607
+MEMEQLERRRKRRRRREDQKFKQTLPQFQYVTCILMVYFPKDKNANTHPHKMGEQLLGELQVKKRKH
+>DECOY_NM_006838|308003_2_405_607
+HKRKKVQLEGLLQEGMKHPHTNANKDKPFYVMLICTVYQFQPLTQKFKQDERRRRRKRRRELQEMEM
+>NM_006838|308004_2_411_607
+MEQLERRRKRRRRREDQKFKQTLPQFQYVTCILMVYFPKDKNANTHPHKMGEQLLGELQVKKRKH
+>DECOY_NM_006838|308004_2_411_607
+HKRKKVQLEGLLQEGMKHPHTNANKDKPFYVMLICTVYQFQPLTQKFKQDERRRRRKRRRELQEM
+>NM_130476|308055_2_486_673
+MASVLTSTAPSKSESLRRRGKVGQGPVGRKEPMPPVPQKRVALRAQRVAHPCSLSVLTLPLM
+>DECOY_NM_130476|308055_2_486_673
+MLPLTLVSLSCPHAVRQARLAVRKQPVPPMPEKRGVPGQGVKGRRRLSESKSPATSTLVSAM
+>NM_130476|308068_2_2544_2656
+MAFPLRKMRMSRGKVTLPDSANMSVAIGLKSCCGPTA
+>DECOY_NM_130476|308068_2_2544_2656
+ATPGCCSKLGIAVSMNASDPLTVKGRSMRMKRLPFAM
+>NM_130476|308074_2_3759_3874
+MDQVARAVFTWQALGALCLIVKLRPTLPQAPSLVKPTA
+>DECOY_NM_130476|308074_2_3759_3874
+ATPKVLSPAQPLTPRLKVILCLAGLAQWTFVARAVQDM
+>NM_015156|308123_2_190_305
+MARIKVWRRRRPMATAAATPGRKAARARPATRSTVAVA
+>DECOY_NM_015156|308123_2_190_305
+AVAVTSRTAPRARAAKRGPTAAATAMPRRRRWVKIRAM
+>NM_015156|308126_2_469_668
+MGTTWNRLLGCSSGINIISKSHWLICPTLPLSQMSGLWKIKSYLSKPLVFMGKLFIESNKCFQINL
+>DECOY_NM_015156|308126_2_469_668
+LNIQFCKNSEIFLKGMFVLPKSLYSKIKWLGSMQSLPLTPCILWHSKSIINIGSSCGLLRNWTTGM
+>NM_007178|308154_2_466_554
+MVNLCYAREIQETGLEHFWVIKVLFGVQH
+>DECOY_NM_007178|308154_2_466_554
+HQVGFLVKIVWFHELGTEQIERAYCLNVM
+>NM_000704|308184_2_285_640
+MGPTHCGHHGAPQSTSSSRGSWPGACSASCGLPPPSASSPLPSRLVRGTSPPTTICTWQSLSLLWLSSPAALATTRNSRAPTSSPALRTLCHSKPLSSAMETNSRSTLTNWWWATWWR
+>DECOY_NM_000704|308184_2_285_640
+RWWTAWWWNTLTSRSNTEMASSLPKSHCLTRLAPSSTPARSNRTTALAAPSSLWLLSLSQWTCITTPPSTGRVLRSPLPSSASPPPLGCSASCAGPWSGRSSSTSQPAGHHGCHTPGM
+>NM_006405|308202_2_1018_1118
+MVVSFLEHWKSIGCPSSTPWCLCFYWWVLWLSF
+>DECOY_NM_006405|308202_2_1018_1118
+FSLWLVWWYFCLCWPTSSPCGISKWHELFSVVM
+>NM_006405|308205_2_1210_1400
+MAGKLSIQMSSASPHTVVCSVLCLAWVPSSWPLALALLSWHCWACSMCTVMGPLTQQPSCCMP
+>DECOY_NM_006405|308205_2_1210_1400
+PMCCSPQQTLPGMVTCMSCAWCHWSLLALALPWSSPVWALCLVSCVVTHPSASSMQISLKGAM
+>NM_006405|308211_3_1814_2151
+MGSGAVHFVRHPLLCLRHPAECGGLHLHCTHLLPVVWGGLPLVVAICAECWLHRPLHLPLLSFLLCPALQHVWGSTDSRVLRLLLTHWLCLLPHAGHHLLFFFPKVHPVYLC
+>DECOY_NM_006405|308211_3_1814_2151
+CLYVPHVKPFFFLLHHGAHPLLCLWHTLLLRLVRSDTSGWVHQLAPCLLFSLLPLHLPRHLWCEACIAVVLPLGGWVVPLLHTCHLHLGGCEAPHRLCLLPHRVFHVAGSGM
+>NM_018984|308258_2_2612_2763
+MALPAGWRPASPRRARIQPRSTSWAPWLCPARPGVMRSQRPPPLHWKEAH
+>DECOY_NM_018984|308258_2_2612_2763
+HAEKWHLPPPRQSRMVGPRAPCLWPAWSTSRPQIRARRPSAPRWGAPLAM
+>NM_012127|308283_2_576_709
+MAWHPQASQPPASHPHNWPLQICNSSFPRPLASPCWDLLLLGSP
+>DECOY_NM_012127|308283_2_576_709
+PSGLLLLDWCPSALPRPFSSNCIQLPWNHPHSAPPQSAQPHWAM
+>NM_003705|308298_2_1270_1355
+MALFHFQQKFLLEAVLEALRSFLPTHWR
+>DECOY_NM_003705|308298_2_1270_1355
+RWHTPLFSRLAELVAELLFKQQFHFLAM
+>NM_003015|308380_2_269_420
+MAGRPSRCTAAPTPSRRSALTSLPTCRSATRWATSACGCPTCWSTRAWPK
+>DECOY_NM_003015|308380_2_269_420
+KPWARTSWCTPCGCASTAWRTASRCTPLSTLASRRSPTPAATCRSPRGAM
+>NM_003015|308381_2_911_1038
+MARAAPAHSWTAWRAASWSWAAKWMDSCCSWPSTAGTRRIRR
+>DECOY_NM_003015|308381_2_911_1038
+RRIRRTGATSPWSCCSDMWKAAWSWSAARWATWSHAPAARAM
+>NM_021722|308409_2_2450_2553
+MGDLEVTLGKVTWEATKRKSEAKDLDLGLIQLSI
+>DECOY_NM_021722|308409_2_2450_2553
+ISLQILGLDLDKAESKRKTAEWTVKGLTVELDGM
+>NM_014265|308461_2_528_655
+MDRSMHSSSITLMKRIMTAPVGWMVCCGPTICSRTLPYLPPN
+>DECOY_NM_014265|308461_2_528_655
+NPPLYPLTRSCITPGCCVMWGVPATMIRKMLTISSSHMSRDM
+>NM_014265|308465_2_723_835
+MVSLKGTMRIKMRSERGYLRWLIMSTCFIKSSILMWP
+>DECOY_NM_014265|308465_2_723_835
+PWMLISSKIFCTSMILWRLYGRESRMKIRMTGKLSVM
+>NM_014265|308472_2_1518_1597
+MVNLVIVLMIDSKSMASLAITGRATA
+>DECOY_NM_014265|308472_2_1518_1597
+ATARGTIALSAMSKSDIMLVIVLNVM
+>NM_030813|308498_2_696_769
+MGDRTVQAGTSQTSGQVPWSSGDG
+>DECOY_NM_030813|308498_2_696_769
+GDGSSWPVQGSTQSTGAQVTRDGM
+>NM_153832|308526_2_936_1279
+MASSSAWPGSRHARCTVAQSSSWRRMLRGPGGRTPAPPPPLQAAGGMPFRVWSTRPTSAKPSSPSWWSSVPSWSPGAPTWLSSPLRPSGGKAPSPRAWRLGPHGCPLPALSATP
+>DECOY_NM_153832|308526_2_936_1279
+PTASLAPLPCGHPGLRWARPSPAKGGSPRLPSSLWTPAGPSWSPVSSWWSPSSPKASTPRTSWVRFPMGGAAQLPPPPAPTRGGPGRLMRRWSSSQAVTCRAHRSGPWASSSAM
+>NM_153832|308530_3_589_827
+MDLWCSVVQLLCPPLPADQLCQHANPRGHCHRPLLCCPVPHGVPHEDHREPGCDGTCLHLASLAHRLPATPVWLVIRGV
+>DECOY_NM_153832|308530_3_589_827
+VGRIVLWVPTAPLRHALSALHLCTGDCGPERHDEHPVGHPVPCCLLPRHCHGRPNAHQCLQDAPLPPCLLQVVSCWLDM
+>NM_017893|308556_2_640_992
+MGLTKRSTGKPPQRCKANVIKKGKTTRRSALTMCGSCSGSILPTSMHVGLTPSSPSVQPLMLRPSPCQPASRRGRRSVLMTQPVASQASSLMEASTQPLGMNSGAFLTSAGAATHTP
+>DECOY_NM_017893|308556_2_640_992
+PTHTAAGASTLFAGSNMGLPQTSAEMLSSAQSAVPQTMLVSRRGRRSAPQCPSPRLMLPQVSPSSPTLGVHMSTPLISGSCSGCMTLASRRTTKGKKIVNAKCRQPPKGTSRKTLGM
+>NM_017893|308568_2_1453_1586
+MVPGAGVAMRVGCLSPGLARVSQIHCAAKATIHPKTCHPWSWTL
+>DECOY_NM_017893|308568_2_1453_1586
+LTWSWPHCTKPHITAKAACHIQSVRALGPSLCGVRMAVGAGPVM
+>NM_017893|308574_2_2212_2417
+MGRVATVWAWTGCWLQMHSLSTVATMAAMPRKMASAPCWPPIVSQSGQPLLPQLQKPLPHLGHSWHLM
+>DECOY_NM_017893|308574_2_2212_2417
+MLHWSHGLHPLPKQLQPLLPQGSQSVIPPWCPASAMKRPMAAMTAVTSLSHMQLWCGTWAWVTAVRGM
+>NM_017893|308576_2_2287_2417
+MAAMPRKMASAPCWPPIVSQSGQPLLPQLQKPLPHLGHSWHLM
+>DECOY_NM_017893|308576_2_2287_2417
+MLHWSHGLHPLPKQLQPLLPQGSQSVIPPWCPASAMKRPMAAM
+>NM_017893|308578_2_2308_2417
+MASAPCWPPIVSQSGQPLLPQLQKPLPHLGHSWHLM
+>DECOY_NM_017893|308578_2_2308_2417
+MLHWSHGLHPLPKQLQPLLPQGSQSVIPPWCPASAM
+>NM_017893|308583_2_2809_2897
+MEYQQGPAPSPRNSAASWKKGSTRSSWSS
+>DECOY_NM_017893|308583_2_2809_2897
+SSWSSRTSGKKWSAASNRPSPAPGQQYEM
+>NM_021723|308615_2_2417_2760
+MVTLFIATFLPESAQTQHLVLRRGQMGSLILGVKGFQTQNIFQTSVKMGDLEVTLGKVTWEATKRKSEAKDLDLGLIQLRLYLLPSLLLHQLGLLPPAENTLTQCLHFLMRTRK
+>DECOY_NM_021723|308615_2_2417_2760
+KRTRMLFHLCQTLTNEAPPLLGLQHLLLSPLLYLRLQILGLDLDKAESKRKTAEWTVKGLTVELDGMKVSTQFINQTQFGKVGLILSGMQGRRLVLHQTQASEPLFTAIFLTVM
+>NM_138732|308736_2_2447_2544
+MGASVEKAGTASSVTASGPAFLGGSVRERPRS
+>DECOY_NM_138732|308736_2_2447_2544
+SRPRERVSGGLFAPGSATVSSATGAKEVSAGM
+>NM_138732|308738_2_3011_3279
+MVTSPTVSSMLALACVPSWPIPSPSRVAAATWHSPRSKPMLPCTSSSSSRPRPLMGFFCSTRATAMTSLSSSWSRGTSTTCLTWGMARP
+>DECOY_NM_138732|308738_2_3011_3279
+PRAMGWTLCTTSTGRSWSSSLSTMATARTSCFFGMLPRPRSSSSSTCPLMPKSRPSHWTAAAVRSPSPIPWSPVCALALMSSVTPSTVM
+>NM_138732|308744_2_3497_3690
+MAFRAAWPQWTSTDVSQTSSPTPCTALGRWRGAVMAPAPPALKSPVPTRASACSSGMASPATAP
+>DECOY_NM_138732|308744_2_3497_3690
+PATAPSAMGSSCASARTPVPSKLAPPAPAMVAGRWRGLATCPTPSSTQSVDTSTWQPWAARFAM
+>NM_138732|308745_2_3599_3690
+MAPAPPALKSPVPTRASACSSGMASPATAP
+>DECOY_NM_138732|308745_2_3599_3690
+PATAPSAMGSSCASARTPVPSKLAPPAPAM
+>NM_138732|308749_2_4175_4383
+MGSRCWRWPPRATPMCGLRVTCAWWGRGRPCCSVRRPRPPPCWLTWPPPSWRLPPPWPLPPRAGAAPPH
+>DECOY_NM_138732|308749_2_4175_4383
+HPPAAGARPPLPWPPPLRWSPPPWTLWCPPPRPRRVSCCPRGRGWWACTVRLGCMPTARPPWRWCRSGM
+>NM_018437|308775_3_301_464
+MAIWRTEKTQAAENRKRKSKRQKETTKHRIEGGASATDRKGNSGESTGTYREKN
+>DECOY_NM_018437|308775_3_301_464
+NKERYTGTSEGSNGKRDTASAGGEIRHKTTEKQRKSKRKRNEAAQTKETRWIAM
+>NM_005338|308809_2_2223_2299
+MAGKPSPTWPPWRKREALRMPTAQP
+>DECOY_NM_005338|308809_2_2223_2299
+PQATPMRLAERKRWPPWTPSPKGAM
+>NM_153218|308902_2_520_620
+MDYQLSWKNLRLLAVPAWLPLCIPLNRKLMKKI
+>DECOY_NM_153218|308902_2_520_620
+IKKMLKRNLPICLPLWAPVALLRLNKWSLQYDM
+>NM_031475|308983_2_544_629
+MAVGTPPRPQTWAPCLSTTLPPKETSPP
+>DECOY_NM_031475|308983_2_544_629
+PPSTEKPPLTTSLCPAWTQPRPPTGVAM
+>NM_170784|308996_2_901_980
+MALEVTCVQPHSPQLCSVTFWSHIPF
+>DECOY_NM_170784|308996_2_901_980
+FPIHSWFTVSCLQPSHPQVCTVELAM
+>NM_170784|308998_2_2179_2297
+MDTFGQFRQILPVLLTGQICFHSVAVDYTIARKNSTGLS
+>DECOY_NM_170784|308998_2_2179_2297
+SLGTSNKRAITYDVAVSHFCIQGTLLVPLIQRFQGFTDM
+>NM_023038|309030_2_1343_1416
+MGKSVTVEKKRNVTTPAAMPLIVP
+>DECOY_NM_023038|309030_2_1343_1416
+PVILPMAAPTTVNRKKEVTVSKGM
+>NM_023038|309034_2_2042_2178
+MAMGSVTTTRTATACRAGPRPSATHRATGAVSTVGLCPLRVWVLW
+>DECOY_NM_023038|309034_2_2042_2178
+WLVWVRLPCLGVTSVAGTARHTASPRPGARCATATRTTTVSGMAM
+>NM_023038|309035_2_2048_2178
+MGSVTTTRTATACRAGPRPSATHRATGAVSTVGLCPLRVWVLW
+>DECOY_NM_023038|309035_2_2048_2178
+WLVWVRLPCLGVTSVAGTARHTASPRPGARCATATRTTTVSGM
+>NM_001937|309052_2_341_450
+MGWWQDSRAATSSQCWIGSGSFTVVATARGAHIPAG
+>DECOY_NM_001937|309052_2_341_450
+GAPIHAGRATAVVTFSGSGIWCQSSTAARSDQWWGM
+>NM_001937|309058_3_231_478
+MELRLHAHATEPRGTHGVLVGGDQQGWHGMVPDVLQQWAGGRIPEPLLRVSAGSGVAVLLLSLQQEVPIFLLANNRISRSLW
+>DECOY_NM_001937|309058_3_231_478
+WLSRSIRNNALLFIPVEQQLSLLLVAVGSGASVRLLPEPIRGGAWQQLVDPVMGHWGQQDGGVLVGHTGRPETAHAHLRLEM
+>NM_001937|309059_3_318_478
+MVPDVLQQWAGGRIPEPLLRVSAGSGVAVLLLSLQQEVPIFLLANNRISRSLW
+>DECOY_NM_001937|309059_3_318_478
+WLSRSIRNNALLFIPVEQQLSLLLVAVGSGASVRLLPEPIRGGAWQQLVDPVM
+>NM_012395|309084_3_1367_1464
+MGTHRHVFYFYCPKCEIATRSWRKHAGLWEKQ
+>DECOY_NM_012395|309084_3_1367_1464
+QKEWLGAHKRWSRTAIECKPCYFYFVHRHTGM
+>NM_004883|309151_2_1042_1127
+MARSSTAAETFASNMATAERTHDYSSTR
+>DECOY_NM_004883|309151_2_1042_1127
+RTSSYDHTREATAMNSAFTEAATSSRAM
+>NM_015719|309178_2_1824_1939
+MVRGEQRDLQGPLARLGSRVHEDCLAPEALLAPRVARV
+>DECOY_NM_015719|309178_2_1824_1939
+VRAVRPALLAEPALCDEHVRSGLRALPGQLDRQEGRVM
+>NM_015719|309181_2_2022_2227
+MGPRDSPVPRDSLALLGRRVPLETQEFQASQDPMALWVTQDMRAPRERKGLRVHQGRQALRAILDLGE
+>DECOY_NM_015719|309181_2_2022_2227
+EGLDLIARLAQRGQHVRLGKRERPARMDQTVWLAMPDQSAQFEQTELPVRRGLLALSDRPVPSDRPGM
+>NM_015719|309185_2_2364_2527
+MVLRGRRGRRGRLARRGPQAQLGRRASLGCQASQVIQDALDLRDLLDFPVPWDP
+>DECOY_NM_015719|309185_2_2364_2527
+PDWPVPFDLLDRLDLADQIVQSAQCGLSARRGLQAQPGRRALRGRRGRRGRLVM
+>NM_015719|309187_2_2661_2842
+MEPLGSLEKRASLVCKALQDSLGQRAPLVTKVKMGDQGTLDREENWASKVRQARLDQLVS
+>DECOY_NM_015719|309187_2_2661_2842
+SVLQDLRAQRVKSAWNEERDLTGQDGMKVKTVLPARQGLSDQLAKCVLSARKELSGLPEM
+>NM_015719|309188_2_2760_2842
+MGDQGTLDREENWASKVRQARLDQLVS
+>DECOY_NM_015719|309188_2_2760_2842
+SVLQDLRAQRVKSAWNEERDLTGQDGM
+>NM_015719|309190_2_3246_3514
+MGSQGPWGLWDPLELLGLLARKGTRGMWVPPDTRGVKAIKETRAHLDNQGYGVLQDTQVPREQTGLRGAGDPQASLGRKEMTESEALWG
+>DECOY_NM_015719|309190_2_3246_3514
+GWLAESETMEKRGLSAQPDGAGRLGTQERPVQTDQLVGYGQNDLHARTEKIAKVGRTDPPVWMGRTGKRALLGLLELPDWLGWPGQSGM
+>NM_004440|309251_2_1181_1281
+MGITGLHLTHHTLRAQGLHLHHRTSFSTSTKPQ
+>DECOY_NM_004440|309251_2_1181_1281
+QPKTSTSFSTRHHLHLGQARLTHHTLHLGTIGM
+>NM_003614|309270_2_128_624
+MGWCWQCSCSLARVPGRSLAAPRTCSSSTWRWLTSASSCAACPSRPPSTRWMPGSLGPSSARPCTCSSTSPCTPAALRWLLSPWTGTWPCGTRCARAPCARRVTPAPQWGWCGCWRRSSRRPTSATTAPCATARWSSACPPGRTRAAAPWTWPPSLPATCCPWLW
+>DECOY_NM_003614|309270_2_128_624
+WLWPCCTAPLSPPWTWPAAARTRGPPCASSWRATACPATTASTPRRSSRRWCGCWGWQPAPTVRRACPARACRTGCPWTGTWPSLLWRLAAPTCPSTSSCTCPRASSPGLSGPMWRTSPPRSPCAACSSASTLWRWTSSSCTRPAALSRGPVRALSCSCQWCWGM
+>NM_018186|309363_3_2382_2458
+MAEQESYFGRTRISEHSTVCFACSM
+>DECOY_NM_018186|309363_3_2382_2458
+MSCAFCVTSHESIRTRGFYSEQEAM
+>NM_005187|309412_3_545_981
+MVYGLSLDERQQPLTNSHQWCTVHTQRLQQWPGHLVHSLLVHTAPAPSLRGPAAQQAQALPHHTAAVWQRHLPRDWGARAHTGAGPGELDIDDRGVSFQASGGHQLPSAAVCHSLPEGKPALAAAGAPALCTPGQADARPVLGPA
+>DECOY_NM_005187|309412_3_545_981
+APGLVPRADAQGPTCLAPAGAAALAPKGEPLSHCVAASPLQHGGSAQFSVGRDDIDLEGPGAGTHARAGWDRPLHRQWVAATHHPLAQAQQAAPGRLSPAPATHVLLSHVLHGPWQQLRQTHVTCWQHSNTLPQQREDLSLGYVM
+>NM_033123|309432_2_804_904
+MEHKMNLLYIMATHSQANFCLKLLSKLYTSMHS
+>DECOY_NM_033123|309432_2_804_904
+SHMSTYLKSLLKLCFNAQSHTAMIYLLNMKHEM
+>NM_181840|309577_2_355_428
+MATSTPSPGLASTCACSMLSLVSP
+>DECOY_NM_181840|309577_2_355_428
+PSVLSLMSCACTSALGPSPTSTAM
+>NM_144668|309681_3_279_373
+MERVSGGGEENGRGGRGGGGEGGQKDCHGRN
+>DECOY_NM_144668|309681_3_279_373
+NRGHCDKQGGEGGGGRGGRGNEEGGGSVREM
+>NM_001012642|309704_2_799_938
+MVGGGHGPCQAGVLPALRRCRTALPLQRMLSMRRMSWRRSPGALGS
+>DECOY_NM_001012642|309704_2_799_938
+SGLAGPSRRWSMRRMSLMRQLPLATRCRRLAPLVGAQCPGHGGGVM
+>NM_023036|309829_2_1486_1583
+MGVSSPAAPSWGQPPCWRSRLGSLPSRGMRRT
+>DECOY_NM_023036|309829_2_1486_1583
+TRRMGRSPLSGLRSRWCPPQGWSPAAPSSVGM
+>NM_020755|309873_2_437_534
+MDFGSLNLLQQLQLLLGHSSFQKELLQLCGFM
+>DECOY_NM_020755|309873_2_437_534
+MFGCLQLLEKQFSSHGLLLQLQQLLNLSGFDM
+>NM_152271|309960_2_1921_2012
+MVVCYKLETCISYRTEGLWLIQLEESGLGF
+>DECOY_NM_152271|309960_2_1921_2012
+FGLGSEELQILWLGETRYSICTELKYCVVM
+>NM_152271|309961_2_2029_2156
+MDIALPTLNIWKMLRLRMKMRLRISESFMIWFTLKPAAGFRI
+>DECOY_NM_152271|309961_2_2029_2156
+IRFGAAPKLTFWIMFSESIRLRMKMRLRLMKWINLTPLAIDM
+>NM_001142463|309986_2_1141_1256
+MGPRLCFQVFFDMRTALRSIRRLWLSPPKEKQSAWLLH
+>DECOY_NM_001142463|309986_2_1141_1256
+HLLWASQKEKPPSLWLRRISRLATRMDFFVQFCLRPGM
+>NM_001142463|309988_2_1411_1490
+MGSPQTAHLPPGSRMSLPKKRWLLKW
+>DECOY_NM_001142463|309988_2_1411_1490
+WKLLWRKKPLSMRSGPPLHATQPSGM
+>NM_031885|310013_2_754_833
+MERKSFLLDLRILISEFLRKMRLWQK
+>DECOY_NM_031885|310013_2_754_833
+KQWLRMKRLFESILIRLDLLFSKREM
+>NM_016113|310035_2_428_528
+MALRRTEESWILGAGCLPWSHSSRARTGNSPLR
+>DECOY_NM_016113|310035_2_428_528
+RLPSNGTRARSSHSWPLCGAGLIWSEETRRLAM
+>NM_016113|310037_2_920_1041
+MGPMCMPGPAAASSRRAKGLAFISVSYPSLWPLAPSSGMW
+>DECOY_NM_016113|310037_2_920_1041
+WMGSSPALPWLSPYSVSIFALGKARRSSAAAPGPMCMPGM
+>NM_016113|310041_2_1178_1260
+MGSSKLGPASALPCSLRTSATCRISRL
+>DECOY_NM_016113|310041_2_1178_1260
+LRSIRCTASTRLSCPLASAPGLKSSGM
+>NM_016113|310042_2_1364_1494
+MGLSGCRCMTWLLWTAVRRTQCWRSLPFIARARTDTEWSFWSP
+>DECOY_NM_016113|310042_2_1364_1494
+PSWFSWETDTRARAIFPLSRWCQTRRVATWLLWTMCRCGSLGM
+>NM_004326|310146_2_999_1132
+MGLEMVPRARGKGSEVFPPTPLIREILGLQTMTLTLKNVILLTT
+>DECOY_NM_004326|310146_2_999_1132
+TTLLIVNKLTLTMTQLGLIERILPTPPFVESGKGRARPVMELGM
+>NM_004326|310147_2_1011_1132
+MVPRARGKGSEVFPPTPLIREILGLQTMTLTLKNVILLTT
+>DECOY_NM_004326|310147_2_1011_1132
+TTLLIVNKLTLTMTQLGLIERILPTPPFVESGKGRARPVM
+>NM_004326|310157_2_3069_3328
+MAWAPDHSFPCLRVQAATVACGISENQLGPTRGLTAGSVICHHYLSTLPVTPPASTQLLQFSAAWGGSPWIYLWQAARCIPQALTL
+>DECOY_NM_004326|310157_2_3069_3328
+LTLAQPICRAAQWLYIWPSGGWAASFQLLQTSAPPTVPLTSLYHHCIVSGATLGRTPGLQNESIGCAVTAAQVRLCPFSHDPAWAM
+>NM_004326|310159_2_4104_4567
+MGPRSHRWYLKDGWASPRASLQYSLPHSRFHSLTMAPVGGRAASQEGWVSQEKAPLAAPATCPKVQQMQHFASLEAPGVLTPSLSWGTACLRCLQTQICRRSSDLEPPEYLSLIYPALFHLRSPARRCNISLEGKFQAVNSPRVLDLGFHTCRG
+>DECOY_NM_004326|310159_2_4104_4567
+GRCTHFGLDLVRPSNVAQFKGELSINCRRAPSRLHFLAPYILSLYEPPELDSSRRCIQTQLCRLCATGWSLSPTLVGPAELSAFHQMQQVKPCTAPAALPAKEQSVWGEQSAARGGVPAMTLSHFRSHPLSYQLSARPSAWGDKLYWRHSRPGM
+>NM_004326|310160_2_4206_4567
+MAPVGGRAASQEGWVSQEKAPLAAPATCPKVQQMQHFASLEAPGVLTPSLSWGTACLRCLQTQICRRSSDLEPPEYLSLIYPALFHLRSPARRCNISLEGKFQAVNSPRVLDLGFHTCRG
+>DECOY_NM_004326|310160_2_4206_4567
+GRCTHFGLDLVRPSNVAQFKGELSINCRRAPSRLHFLAPYILSLYEPPELDSSRRCIQTQLCRLCATGWSLSPTLVGPAELSAFHQMQQVKPCTAPAALPAKEQSVWGEQSAARGGVPAM
+>NM_016376|310196_2_969_1063
+MGPLSTLLHWVPRRHHCTLWPCTVQRNTQQM
+>DECOY_NM_016376|310196_2_969_1063
+MQQTNRQVTCPWLTCHHRRPVWHLLTSLPGM
+>NM_016376|310200_2_1539_1639
+MAWPTSRQSSCSKAPTQTCRRRKLCLCQRRPHP
+>DECOY_NM_016376|310200_2_1539_1639
+PHPRRQCLCLKRRRCTQTPAKSCSSQRSTPWAM
+>NM_016376|310208_2_2169_2293
+MAVMPHAGVRDLVGAFRRSCTEPLMKTTSPPPAFLFAVAVT
+>DECOY_NM_016376|310208_2_2169_2293
+TVAVAFLFAPPPSTTKMLPETCSRRFAGVLDRVGAHPMVAM
+>NM_016376|310211_2_2346_2428
+MGRPLCIWQPLGGWKRQYSVFWSLVPT
+>DECOY_NM_016376|310211_2_2346_2428
+TPVLSWFVSYQRKWGGLPQWICLPRGM
+>NM_016376|310213_2_2922_3016
+MAWTLLPWMRMETMLFILLSCTAGSTTSGFS
+>DECOY_NM_016376|310213_2_2922_3016
+SFGSTTSGATCSLLIFLMTEMRMWPLLTWAM
+>NM_024490|310284_2_1088_1194
+MDCGYGGIKRRSHYFMSPSLMEAPYPQSQLQFTHF
+>DECOY_NM_024490|310284_2_1088_1194
+FHTFQLQSQPYPAEMLSPSMFYHSRRKIGGYGCDM
+>NM_178275|310357_2_1094_1206
+MASSSPPRMESSLSKTVSCTASSSRMCRGPKLGGTPL
+>DECOY_NM_178275|310357_2_1094_1206
+LPTGGLKPGRCMRSSSATCSVTKSLSSEMRPPSSSAM
+>NM_178275|310358_2_1118_1206
+MESSLSKTVSCTASSSRMCRGPKLGGTPL
+>DECOY_NM_178275|310358_2_1118_1206
+LPTGGLKPGRCMRSSSATCSVTKSLSSEM
+>NM_016587|310384_2_410_528
+MVQKENLYLTVNLMTANQRRKEMLLTNQEDLPEVLILKE
+>DECOY_NM_016587|310384_2_410_528
+EKLILVEPLDEQNTLLMEKRRQNATMLNVTLYLNEKQVM
+>NM_006712|310444_3_178_557
+MVSITQLHASSPALCSDLPCSAVWPAADPSSTALLFGAQQMGGPACWRRPQCRSCARTAAASGTGEEPWGAAALAGPEPQQGARPPLLGGASSSGPALGVSATAPSCGAGHTAGLESAHHPKLPLL
+>DECOY_NM_006712|310444_3_178_557
+LLPLKPHHASELGATHGAGCSPATASVGLAPGSSSAGGLLPPRAGQQPEPGALAAAGWPEEGTGSAAATRACSRCQPRRWCAPGGMQQAGFLLATSSPDAAPWVASCPLDSCLAPSSAHLQTISVM
+>NM_018442|310466_2_393_466
+MVVLIQSVGMTLENIFYLAQMTPN
+>DECOY_NM_018442|310466_2_393_466
+NPTMQALYFINELTMGVSQILVVM
+>NM_018442|310468_2_729_802
+MELLGGLIHASKLAAQKKIVKMIF
+>DECOY_NM_018442|310468_2_729_802
+FIMKVIKKQAALKSAHILGGLLEM
+>NM_018442|310471_2_1032_1171
+MVKRFSLVTLQITYIFLTRKMIQHENLKLLLRKREEKSCDNHQLSV
+>DECOY_NM_018442|310471_2_1032_1171
+VSLQHNDCSKEERKRLLLKLNEHQIMKRTLFIYTIQLTVLSFRKVM
+>NM_001004492|310537_2_292_497
+MEAALCNMQSSTGWDARSASSWPPWPWTATWPSASPCTMPFSCTVLSVSSSWLWPGSVASATPSCRWS
+>DECOY_NM_001004492|310537_2_292_497
+SWRCSPTASAVSGPWLWSSSVSLVTCSFPMTCPSASPWTATWPWPPWSSASRADWGTSSQMNCLAAEM
+>NM_018950|310549_2_707_789
+MGRRRYSAQILQRHTLPTTPSLTMRPP
+>DECOY_NM_018950|310549_2_707_789
+PPRMTLSPTTPLTHRQLIQASYRRRGM
+>NM_018950|310550_2_845_1002
+MGRNRPRTQSLWRPGLQGMEPSRSGPLWWCLLERNRDTHAMCSTRGCPSPSS
+>DECOY_NM_018950|310550_2_845_1002
+SSPSPCGRTSCMAHTDRNRELLCWWLPGSRSPEMGQLGPRWLSQTRPRNRGM
+>NM_018950|310551_2_899_1002
+MEPSRSGPLWWCLLERNRDTHAMCSTRGCPSPSS
+>DECOY_NM_018950|310551_2_899_1002
+SSPSPCGRTSCMAHTDRNRELLCWWLPGSRSPEM
+>NM_018950|310553_3_1005_1129
+MGAVSPAHHPHRGHRCWPCCPWSCGHWSCGRCCDVEEEELR
+>DECOY_NM_018950|310553_3_1005_1129
+RLEEEEVDCCRGCSWHGCSWPCCPWCRHGRHPHHAPSVAGM
+>NM_001098478|310588_2_707_828
+MGRRRYSAQSSLPSPPSPSWASLLALLSLELWSLELWSLL
+>DECOY_NM_001098478|310588_2_707_828
+LLSWLELSWLELSLLALLSAWSPSPPSPLSSQASYRRRGM
+>NM_016234|310603_2_548_753
+MGPAWDIENQTSPTDGYLTNRCLIEQSTWVPVSCIKVINHHQTSLSASLLRIGQSGSSPNWLVTRTLW
+>DECOY_NM_016234|310603_2_548_753
+WLTRTVLWNPSSGSQGIRLLSASLSTQHHNIVKICSVPVWTSQEILCRNTLYGDTPSTQNEIDWAPGM
+>NM_033026|310757_2_986_1152
+MALPNQYLLNNQKKLNHNLQVQESQFRVLPRLLRQTMQNCHFNEMHPGLRLNRQT
+>DECOY_NM_033026|310757_2_986_1152
+TQRNLRLGPHMENFHCNQMTQRLLRPLVRFQSEQVQLNHNLKKQNNLLYQNPLAM
+>NM_033026|310779_2_7217_7329
+MESFWKFWKLTEIKRSWRPNEQKVAYPKPCLITHLLL
+>DECOY_NM_033026|310779_2_7217_7329
+LLLHTILCPKPYAVKQENPRWSRKIETLKWFKWFSEM
+>NM_033026|310780_2_7700_7833
+MDYLLQEYVLLHLLLFLLSHLQFHLDLYLPTGLSQANLQSPPNQ
+>DECOY_NM_033026|310780_2_7700_7833
+QNPPSQLNAQSLGTPLYLDLHFQLHSLLFLLLHLLVYEQLLYDM
+>NM_033026|310786_2_11840_11922
+MALSDQELLPKLNSASLYHHKPKQNLN
+>DECOY_NM_033026|310786_2_11840_11922
+NLNQKPKHHYLSASNLKPLLEQDSLAM
+>NM_033026|310794_2_13652_13737
+MDMVWTENCRKDWSTLDHSVNIKSKLYR
+>DECOY_NM_033026|310794_2_13652_13737
+RYLKSKINVSHDLTSWDKRCNETWVMDM
+>NM_033026|310795_2_13658_13737
+MVWTENCRKDWSTLDHSVNIKSKLYR
+>DECOY_NM_033026|310795_2_13658_13737
+RYLKSKINVSHDLTSWDKRCNETWVM
+>NM_033026|310800_2_14789_15036
+MASLIPVRAASSPQSHLLSKAEAMVSSLTHQRTCRFPPLRNPIVVLVAQNHHQKAISVLMDHLAVKAKPASLRPTWKMQGLP
+>DECOY_NM_033026|310800_2_14789_15036
+PLGQMKWTPRLSAPKAKVALHDMLVSIAKQHHNQAVLVVIPNRLPPFRCTRQHTLSSVMAEAKSLLHSQPSSAARVPILSAM
+>NM_033026|310801_2_14858_15036
+MVSSLTHQRTCRFPPLRNPIVVLVAQNHHQKAISVLMDHLAVKAKPASLRPTWKMQGLP
+>DECOY_NM_033026|310801_2_14858_15036
+PLGQMKWTPRLSAPKAKVALHDMLVSIAKQHHNQAVLVVIPNRLPPFRCTRQHTLSSVM
+>NM_033026|310808_3_3597_3793
+MALFKLPNPESNIRTAWRHTQNATCTIRTQSISYACSYRIIISENSSASPSKISEKARTRSKNGS
+>DECOY_NM_033026|310808_3_3597_3793
+SGNKSRTRAKESIKSPSASSNESIIIRYSCAYSISQTRITCTANQTHRWATRINSEPNPLKFLAM
+>NM_199044|310866_2_788_1131
+MEIKFELPHGMAGNGENWRGTPMTGCWWMCPVPQTATPFMRRRTTSLSGQGRRSDRYCLCCKCSFLRLDSLPPNQEAMLSILPAHSHTYRTSMWCKVPLSSWPINTASRYRWKI
+>DECOY_NM_199044|310866_2_788_1131
+IKWRYRSATNIPWSSLPVKCWMSTRYTHSHAPLISLMAEQNPPLSDLRLFSCKCCLCYRDSRRGQGSLSTTRRRMFPTATQPVPCMWWCGTMPTGRWNEGNGAMGHPLEFKIEM
+>NM_199044|310867_2_818_1131
+MAGNGENWRGTPMTGCWWMCPVPQTATPFMRRRTTSLSGQGRRSDRYCLCCKCSFLRLDSLPPNQEAMLSILPAHSHTYRTSMWCKVPLSSWPINTASRYRWKI
+>DECOY_NM_199044|310867_2_818_1131
+IKWRYRSATNIPWSSLPVKCWMSTRYTHSHAPLISLMAEQNPPLSDLRLFSCKCCLCYRDSRRGQGSLSTTRRRMFPTATQPVPCMWWCGTMPTGRWNEGNGAM
+>NM_005909|310945_2_5285_5418
+MGQLKWTTVLLTCRTPVYHIRYHLWRSRPTPKIMIFLSSSQYLR
+>DECOY_NM_005909|310945_2_5285_5418
+RLYQSSSLFIMIKPTPRSRWLHYRIHYVPTRCTLLVTTWKLQGM
+>NM_005909|310951_2_6044_6117
+MVTIPMKLLRRPHGPLKRVGTHMT
+>DECOY_NM_005909|310951_2_6044_6117
+TMHTGVRKLPGHPRRLLKMPITVM
+>NM_005909|310954_2_6206_6945
+MAMMTLRMVATHLGTPATLMKPLRKLPVSLSLKVIPMRHLQRQHEPLILPHTVTRLQRKSLEPLRHPHIPTRLQTYATLQKRSPPQKPVRMSIYASCPLVNTSTPRQSFHPLSLIPILLSGLPVKNPLKNLKSPSLNQGEPHRLQEESNRADSVMKPLPPQSASQPHPRPTLMFPRRLKSAPPSRPMPISTLKTSRKPSPQTKLSRTNTWTHLQLPCKTAALRHATLMCPWWTQRPWPLSRTWAKL
+>DECOY_NM_005909|310954_2_6206_6945
+LKAWTRSLPWPRQTWWPCMLTAHRLAATKCPLQLHTWTNTRSLKTQPSPKRSTKLTSIPMPRSPPASKLRRPFMLTPRPHPQSASQPPLPKMVSDARNSEEQLRHPEGQNLSPSKLNKLPNKVPLGSLLIPILSLPHFSQRPTSTNVLPCSAYISMRVPKQPPSRKQLTAYTQLRTPIHPHRLPELSKRQLRTVTHPLILPEHQRQLHRMPIVKLSLSVPLKRLPKMLTAPTGLHTAVMRLTMMAM
+>NM_005909|310957_2_6227_6945
+MVATHLGTPATLMKPLRKLPVSLSLKVIPMRHLQRQHEPLILPHTVTRLQRKSLEPLRHPHIPTRLQTYATLQKRSPPQKPVRMSIYASCPLVNTSTPRQSFHPLSLIPILLSGLPVKNPLKNLKSPSLNQGEPHRLQEESNRADSVMKPLPPQSASQPHPRPTLMFPRRLKSAPPSRPMPISTLKTSRKPSPQTKLSRTNTWTHLQLPCKTAALRHATLMCPWWTQRPWPLSRTWAKL
+>DECOY_NM_005909|310957_2_6227_6945
+LKAWTRSLPWPRQTWWPCMLTAHRLAATKCPLQLHTWTNTRSLKTQPSPKRSTKLTSIPMPRSPPASKLRRPFMLTPRPHPQSASQPPLPKMVSDARNSEEQLRHPEGQNLSPSKLNKLPNKVPLGSLLIPILSLPHFSQRPTSTNVLPCSAYISMRVPKQPPSRKQLTAYTQLRTPIHPHRLPELSKRQLRTVTHPLILPEHQRQLHRMPIVKLSLSVPLKRLPKMLTAPTGLHTAVM
+>NM_001118|310993_2_584_681
+MAGRNPSLITLMPVGLMNMNLRLGTRIITTCQ
+>DECOY_NM_001118|310993_2_584_681
+QCTTIIRTGLRLNMNMLGVPMLTILSPNRGAM
+>NM_001118|310997_3_1464_1612
+MAKLEGEPLLRCGLQAPTPVSGQQWGEWGHPALHPEQEQLPNPHVWPPC
+>DECOY_NM_001118|310997_3_1464_1612
+CPPWVHPNPLQEQEPHLAPHGWEGWQQGSVPTPAQLGCRLLPEGELKAM
+>NM_004792|311104_2_467_597
+MDEEGNLSMEDFLKTRVSLLNTTKNFSCQWPTEGRIQMVHSSS
+>DECOY_NM_004792|311104_2_467_597
+SSSHVMQIRGETPWQCSFNKTTNLLSVRTKLFDEMSLNGEEDM
+>NM_012255|311159_2_2466_2557
+MDGSGSLSLALTVTGGLCTWIRQPSGLWAM
+>DECOY_NM_012255|311159_2_2466_2557
+MAWLGSPQRIWTCLGGTVTLALSLSGSGDM
+>NM_002974|311355_3_889_965
+MDKFAEYERDMCRFTLTSVQNGREL
+>DECOY_NM_002974|311355_3_889_965
+LERGNQVSTLTFRCMDREYEAFKDM
+>NM_032858|311428_2_438_544
+MASYLLIVNSASSLVKLAVLSILSKKVLWQISTVL
+>DECOY_NM_032858|311428_2_438_544
+LVTSIQWLVKKSLISLVALKVLSSASNVILLYSAM
+>NM_032858|311431_3_250_389
+MEGRSGKGPWALREAETCFHTTEEARHACTKAECFTSRYVSFVFKR
+>DECOY_NM_032858|311431_3_250_389
+RKFVFSVYRSTFCEAKTCAHRAEETTHFCTEAERLAWPGKGSRGEM
+>NM_020547|311444_2_1108_1340
+MDRVPLETWALPWCSLASLSPLPGPLLNHKAQLPSWKLAPRGTWHQSSWTRLWTYRIGAWPSDELIFTLWLCSCGRY
+>DECOY_NM_020547|311444_2_1108_1340
+YRGCSCLWLTFILEDSPWAGIRYTWLRTWSSQHWTGRPALKWSPLQAKHNLLPGPLPSLSALSCWPLAWTELPVRDM
+>NM_020547|311447_3_1436_1509
+MGLGSAGEEASLHPIHLALLCHRP
+>DECOY_NM_020547|311447_3_1436_1509
+PRHCLLALHIPHLSAEEGASGLGM
+>NM_012213|311472_2_1065_1213
+MGGMNSLQIRNVRKSRRSQVAPLTRPSSSSSAAASGCSRRSWCGRCRLR
+>DECOY_NM_012213|311472_2_1065_1213
+RLRCRGCWSRRSCGSAAASSSSSPRTLPAVQSRRSKRVNRIQLSNMGGM
+>NM_015133|311514_2_1012_1109
+MAWAPRTASVPGRSATAATWKYRSPRRCATSV
+>DECOY_NM_015133|311514_2_1012_1109
+VSTACRRPSRYKWTAATASRGPVSATRPAWAM
+>NM_015455|311620_2_1127_1263
+MDSSVLSLELGQCQNKKGNMLMAVQYSSRQKNLLWFRNTLLNLIS
+>DECOY_NM_015455|311620_2_1127_1263
+SILNLLTNRFWLLNKQRSSYQVAMLMNGKKNQCQGLELSLVSSDM
+>NM_015455|311624_2_1703_1776
+MGRMEPPMEGSLMVSSYRVPMRVA
+>DECOY_NM_015455|311624_2_1703_1776
+AVRMPVRYSSVMLSGEMPPEMRGM
+>NM_001036|311778_2_2177_2277
+MVLVTTCTPMALMDFTFGQAGYPELWLPSTSTS
+>DECOY_NM_001036|311778_2_2177_2277
+STSTSPLWLEPYGAQGFTFDMLAMPTCTTVLVM
+>NM_001036|311780_2_2453_2526
+MESLSSCLPLAMPLAMKPYFQKRR
+>DECOY_NM_001036|311780_2_2453_2526
+RRKQFYPKMALPMALPLCSSLSEM
+>NM_001036|311791_2_7103_7248
+MGRSVSQIWRPISALTTRHLWCCSWTAFMALRIKLFCSTCWRLDFYLT
+>DECOY_NM_001036|311791_2_7103_7248
+TLYFDLRWCTSCFLKIRLAMFATWSCCWLHRTTLASIPRWIQSVSRGM
+>NM_001036|311808_2_13754_13830
+MEISTEQNALLNFWVWTKMLLTLAQ
+>DECOY_NM_001036|311808_2_13754_13830
+QALTLLMKTWVWFNLLANQETSIEM
+>NM_001036|311817_3_3075_3148
+MDLWHPTGFEEQKKSPSGAICITG
+>DECOY_NM_001036|311817_3_3075_3148
+GTICIAGSPSKKQEEFGTPHWLDM
+>NM_006614|311979_2_1358_1590
+MASCYVRLKENLNPQSSGESMAPQLTIIHLLVMLSSPGKSVLPTFNQIILLCTSVKPQMSMELSLPMPILMLWMSVH
+>DECOY_NM_006614|311979_2_1358_1590
+HVSMWLMLIPMPLSLEMSMQPKVSTCLLIIQNFTPLVSKGPSSLMVLLHIITLQPAMSEGSSQPNLNEKLRVYCSAM
+>NM_006614|311982_2_1604_1707
+MEKITLQWLGTVLSYIASSLLHLRQSCPGRRWKK
+>DECOY_NM_006614|311982_2_1604_1707
+KKWRRGPCSQRLHLLSSAIYSLVTGLWQLTIKEM
+>NM_020795|312027_2_770_894
+MGSWTRSRPCAGSVKTSPTLGATPSVSPSLVPGQGPPASTF
+>DECOY_NM_020795|312027_2_770_894
+FTSAPPGQGPVLSPSVSPTAGLTPSTKVSGACPRSRTWSGM
+>NM_020795|312032_2_1400_1677
+MAKCAAKPCWRSLLTTNGWHQLWPLPSCTPTTSLPSTFTPSTTTARRRAGLSGQMRRTGMNCPMSLACPWWVPPTSSPVTSPRMTSCSVPWS
+>DECOY_NM_020795|312032_2_1400_1677
+SWPVSCSTMRPSTVPSSTPPVWWPCALSMPCNMGTRRMQGSLGARRRATTTSPTFTSPLSTTPTCSPLPWLQHWGNTTLLSRWCPKAACKAM
+>NM_001080421|312305_2_3784_3917
+MGSSRPQSMPYSPAPWWMFSPNSTRALKSSRNSSVPTLRSWGTT
+>DECOY_NM_001080421|312305_2_3784_3917
+TTGWSRLTPVSSNRSSKLARTSNPSFMWWPAPSYPMSQPRSSGM
+>NM_014240|312615_2_125_339
+MGSSEWTRVQATTPSLRKLAGCSPPRWPKSTSSSSSSSSCRRRLCPGGVEALSMEGAAWAHRPVGKLWAAS
+>DECOY_NM_014240|312615_2_125_339
+SAAWLKGVPRHAWAAGEMSLAEVGGPCLRRRCSSSSSSSSTSKPWRPPSCGALKRLSPTTAQVRTWESSGM
+>NM_014240|312618_2_476_654
+MAARTVVPGRAWRLLRCLLSTSQAPVRILPASLMETIMTTSPWQAQSGVTNQECPPASA
+>DECOY_NM_014240|312618_2_476_654
+ASAPPCEQNTVGSQAQWPSTTMITEMLSAPLIRVPAQSTSLLCRLLRWARGPVVTRAAM
+>NM_014240|312621_2_1064_1224
+MGPNLTFPVLPRHPRQLVWTVHSRVRSLGWGRSLAAQTLALVPSSAPPVLSIQ
+>DECOY_NM_014240|312621_2_1064_1224
+QISLVPPASSPVLALTQAALSRGWGLSRVRSHVTWVLQRPHRPLVPFTLNPGM
+>NM_004717|312751_3_1907_2010
+MVILKSLDLPWPLWQPCKLGAMERGYTSVEKSCF
+>DECOY_NM_004717|312751_3_1907_2010
+FCSKEVSTYGREMAGLKCPQWLPWPLDLSKLIVM
+>NM_025209|312778_2_868_992
+MVPAQMILMWLLEGVLKKCRLEKIAKMMKPLTKKCLSCDEI
+>DECOY_NM_025209|312778_2_868_992
+IEDCSLCKKTLPKMMKAIKELRCKKLVGELLWMLIMQAPVM
+>NM_005076|312853_2_2010_2116
+MGGSTRAWPRRWWTARPRRPQSWSEVRQVPQEVWW
+>DECOY_NM_005076|312853_2_2010_2116
+WWVEQPVQRVESWSQPRRPRATWWRRPWARTSGGM
+>NM_005076|312855_2_2655_2755
+MGPRASLHSCTQLRKSPGWPLPRCGPKGSHPQR
+>DECOY_NM_005076|312855_2_2655_2755
+RQPHSGKPGCRPLPWGPSKRLQTCSHLSARPGM
+>NM_001080430|312973_2_547_626
+MACFIAVGCIWIRATHKCPSTGRIPP
+>DECOY_NM_001080430|312973_2_547_626
+PPIRGTSPCKHTARIWICGVAIFCAM
+>NM_002334|313035_2_300_1333
+METMTAGTTAMRMDVYYLPVPLLTFTVTMASASAAPGCVTGTTTVRMTRMSRTVPPGSVRRTSFPARMATASGVCGTAMVTMTVATTAMSSVTCASAPTRSSAVVTEAALLSIGTATVTPTAKMAPMRRTVPQQCQRPPATWRSSSVPMDAASSTSTTAMATMTVETGQTSLTAPPTSPAALGSSCVTVACASMQAGAAMVTRTVMTSLMSATAPPPCVRQNSSAVTQAAVSACPGAVMGRTTVQTTAMKRTVRIQEAPNVPWTSSCVGMGAALGRGSCATGSTTVVTTATKAHSRIAGPGRVRRTAMLTTVAVPRSARWCGGQCSVPATQATGSQRMGTRAKM
+>DECOY_NM_002334|313035_2_300_1333
+MKARTGMRQSGTAQTAPVSCQGGCWRASRPVAVTTLMATRRVRGPGAIRSHAKTATTVVTTSGTACSGRGLAAGMGVCSSTWPVNPAEQIRVTRKMATTQVTTRGMVAGPCASVAAQTVASSNQRVCPPPATASMLSTMVTRTVMAAGAQMSACAVTVCSSGLAAPSTPPATLSTQGTEVTMTAMATTSTSSAADMPVSSSRWTAPPRQCQQPVTRRMPAMKATPTVTATGISLLAAETVVASSRTPASACTVSSMATTAVTMTVMATGCVGSATAMRAPFSTRRVSGPPVTRSMRTMRVTTTGTVCGPAASASAMTVTFTLLPVPLYYVDMRMATTGATMTEM
+>NM_002334|313038_2_336_1333
+MDVYYLPVPLLTFTVTMASASAAPGCVTGTTTVRMTRMSRTVPPGSVRRTSFPARMATASGVCGTAMVTMTVATTAMSSVTCASAPTRSSAVVTEAALLSIGTATVTPTAKMAPMRRTVPQQCQRPPATWRSSSVPMDAASSTSTTAMATMTVETGQTSLTAPPTSPAALGSSCVTVACASMQAGAAMVTRTVMTSLMSATAPPPCVRQNSSAVTQAAVSACPGAVMGRTTVQTTAMKRTVRIQEAPNVPWTSSCVGMGAALGRGSCATGSTTVVTTATKAHSRIAGPGRVRRTAMLTTVAVPRSARWCGGQCSVPATQATGSQRMGTRAKM
+>DECOY_NM_002334|313038_2_336_1333
+MKARTGMRQSGTAQTAPVSCQGGCWRASRPVAVTTLMATRRVRGPGAIRSHAKTATTVVTTSGTACSGRGLAAGMGVCSSTWPVNPAEQIRVTRKMATTQVTTRGMVAGPCASVAAQTVASSNQRVCPPPATASMLSTMVTRTVMAAGAQMSACAVTVCSSGLAAPSTPPATLSTQGTEVTMTAMATTSTSSAADMPVSSSRWTAPPRQCQQPVTRRMPAMKATPTVTATGISLLAAETVVASSRTPASACTVSSMATTAVTMTVMATGCVGSATAMRAPFSTRRVSGPPVTRSMRTMRVTTTGTVCGPAASASAMTVTFTLLPVPLYYVDM
+>NM_002334|313039_2_384_1333
+MASASAAPGCVTGTTTVRMTRMSRTVPPGSVRRTSFPARMATASGVCGTAMVTMTVATTAMSSVTCASAPTRSSAVVTEAALLSIGTATVTPTAKMAPMRRTVPQQCQRPPATWRSSSVPMDAASSTSTTAMATMTVETGQTSLTAPPTSPAALGSSCVTVACASMQAGAAMVTRTVMTSLMSATAPPPCVRQNSSAVTQAAVSACPGAVMGRTTVQTTAMKRTVRIQEAPNVPWTSSCVGMGAALGRGSCATGSTTVVTTATKAHSRIAGPGRVRRTAMLTTVAVPRSARWCGGQCSVPATQATGSQRMGTRAKM
+>DECOY_NM_002334|313039_2_384_1333
+MKARTGMRQSGTAQTAPVSCQGGCWRASRPVAVTTLMATRRVRGPGAIRSHAKTATTVVTTSGTACSGRGLAAGMGVCSSTWPVNPAEQIRVTRKMATTQVTTRGMVAGPCASVAAQTVASSNQRVCPPPATASMLSTMVTRTVMAAGAQMSACAVTVCSSGLAAPSTPPATLSTQGTEVTMTAMATTSTSSAADMPVSSSRWTAPPRQCQQPVTRRMPAMKATPTVTATGISLLAAETVVASSRTPASACTVSSMATTAVTMTVMATGCVGSATAMRAPFSTRRVSGPPVTRSMRTMRVTTTGTVCGPAASASAM
+>NM_002334|313042_2_501_1333
+MATASGVCGTAMVTMTVATTAMSSVTCASAPTRSSAVVTEAALLSIGTATVTPTAKMAPMRRTVPQQCQRPPATWRSSSVPMDAASSTSTTAMATMTVETGQTSLTAPPTSPAALGSSCVTVACASMQAGAAMVTRTVMTSLMSATAPPPCVRQNSSAVTQAAVSACPGAVMGRTTVQTTAMKRTVRIQEAPNVPWTSSCVGMGAALGRGSCATGSTTVVTTATKAHSRIAGPGRVRRTAMLTTVAVPRSARWCGGQCSVPATQATGSQRMGTRAKM
+>DECOY_NM_002334|313042_2_501_1333
+MKARTGMRQSGTAQTAPVSCQGGCWRASRPVAVTTLMATRRVRGPGAIRSHAKTATTVVTTSGTACSGRGLAAGMGVCSSTWPVNPAEQIRVTRKMATTQVTTRGMVAGPCASVAAQTVASSNQRVCPPPATASMLSTMVTRTVMAAGAQMSACAVTVCSSGLAAPSTPPATLSTQGTEVTMTAMATTSTSSAADMPVSSSRWTAPPRQCQQPVTRRMPAMKATPTVTATGISLLAAETVVASSRTPASACTVSSMATTAVTMTVMATGCVGSATAM
+>NM_002334|313043_2_534_1333
+MVTMTVATTAMSSVTCASAPTRSSAVVTEAALLSIGTATVTPTAKMAPMRRTVPQQCQRPPATWRSSSVPMDAASSTSTTAMATMTVETGQTSLTAPPTSPAALGSSCVTVACASMQAGAAMVTRTVMTSLMSATAPPPCVRQNSSAVTQAAVSACPGAVMGRTTVQTTAMKRTVRIQEAPNVPWTSSCVGMGAALGRGSCATGSTTVVTTATKAHSRIAGPGRVRRTAMLTTVAVPRSARWCGGQCSVPATQATGSQRMGTRAKM
+>DECOY_NM_002334|313043_2_534_1333
+MKARTGMRQSGTAQTAPVSCQGGCWRASRPVAVTTLMATRRVRGPGAIRSHAKTATTVVTTSGTACSGRGLAAGMGVCSSTWPVNPAEQIRVTRKMATTQVTTRGMVAGPCASVAAQTVASSNQRVCPPPATASMLSTMVTRTVMAAGAQMSACAVTVCSSGLAAPSTPPATLSTQGTEVTMTAMATTSTSSAADMPVSSSRWTAPPRQCQQPVTRRMPAMKATPTVTATGISLLAAETVVASSRTPASACTVSSMATTAVTMTVM
+>NM_002334|313046_2_669_1333
+MAPMRRTVPQQCQRPPATWRSSSVPMDAASSTSTTAMATMTVETGQTSLTAPPTSPAALGSSCVTVACASMQAGAAMVTRTVMTSLMSATAPPPCVRQNSSAVTQAAVSACPGAVMGRTTVQTTAMKRTVRIQEAPNVPWTSSCVGMGAALGRGSCATGSTTVVTTATKAHSRIAGPGRVRRTAMLTTVAVPRSARWCGGQCSVPATQATGSQRMGTRAKM
+>DECOY_NM_002334|313046_2_669_1333
+MKARTGMRQSGTAQTAPVSCQGGCWRASRPVAVTTLMATRRVRGPGAIRSHAKTATTVVTTSGTACSGRGLAAGMGVCSSTWPVNPAEQIRVTRKMATTQVTTRGMVAGPCASVAAQTVASSNQRVCPPPATASMLSTMVTRTVMAAGAQMSACAVTVCSSGLAAPSTPPATLSTQGTEVTMTAMATTSTSSAADMPVSSSRWTAPPRQCQQPVTRRMPAM
+>NM_002334|313049_2_777_1333
+MATMTVETGQTSLTAPPTSPAALGSSCVTVACASMQAGAAMVTRTVMTSLMSATAPPPCVRQNSSAVTQAAVSACPGAVMGRTTVQTTAMKRTVRIQEAPNVPWTSSCVGMGAALGRGSCATGSTTVVTTATKAHSRIAGPGRVRRTAMLTTVAVPRSARWCGGQCSVPATQATGSQRMGTRAKM
+>DECOY_NM_002334|313049_2_777_1333
+MKARTGMRQSGTAQTAPVSCQGGCWRASRPVAVTTLMATRRVRGPGAIRSHAKTATTVVTTSGTACSGRGLAAGMGVCSSTWPVNPAEQIRVTRKMATTQVTTRGMVAGPCASVAAQTVASSNQRVCPPPATASMLSTMVTRTVMAAGAQMSACAVTVCSSGLAAPSTPPATLSTQGTEVTMTAM
+>NM_002334|313052_2_897_1333
+MVTRTVMTSLMSATAPPPCVRQNSSAVTQAAVSACPGAVMGRTTVQTTAMKRTVRIQEAPNVPWTSSCVGMGAALGRGSCATGSTTVVTTATKAHSRIAGPGRVRRTAMLTTVAVPRSARWCGGQCSVPATQATGSQRMGTRAKM
+>DECOY_NM_002334|313052_2_897_1333
+MKARTGMRQSGTAQTAPVSCQGGCWRASRPVAVTTLMATRRVRGPGAIRSHAKTATTVVTTSGTACSGRGLAAGMGVCSSTWPVNPAEQIRVTRKMATTQVTTRGMVAGPCASVAAQTVASSNQRVCPPPATASMLSTMVTRTVM
+>NM_002334|313055_2_1014_1333
+MGRTTVQTTAMKRTVRIQEAPNVPWTSSCVGMGAALGRGSCATGSTTVVTTATKAHSRIAGPGRVRRTAMLTTVAVPRSARWCGGQCSVPATQATGSQRMGTRAKM
+>DECOY_NM_002334|313055_2_1014_1333
+MKARTGMRQSGTAQTAPVSCQGGCWRASRPVAVTTLMATRRVRGPGAIRSHAKTATTVVTTSGTACSGRGLAAGMGVCSSTWPVNPAEQIRVTRKMATTQVTTRGM
+>NM_002334|313057_2_1107_1333
+MGAALGRGSCATGSTTVVTTATKAHSRIAGPGRVRRTAMLTTVAVPRSARWCGGQCSVPATQATGSQRMGTRAKM
+>DECOY_NM_002334|313057_2_1107_1333
+MKARTGMRQSGTAQTAPVSCQGGCWRASRPVAVTTLMATRRVRGPGAIRSHAKTATTVVTTSGTACSGRGLAAGM
+>NM_002334|313075_2_3174_3286
+MAAVATCVLGPQIQADSAVPAPQASTCCLMARPAHQA
+>DECOY_NM_002334|313075_2_3174_3286
+AQHAPRAMLCCTSAQPAPVASDAQIQPGLVCTAVAAM
+>NM_002334|313082_2_4095_4168
+MAAAPTSACLGLLASPVPAPLASS
+>DECOY_NM_002334|313082_2_4095_4168
+SSALPAPVPSALLGLCASTPAAAM
+>NM_002334|313083_2_4176_4387
+MGRPVIPLLRPTCSSPAVAPSGVSHWTPVTTPMCMSLFLSSTMSSPWTMTAWMERSITQMCSWMLSGEQT
+>DECOY_NM_002334|313083_2_4176_4387
+TQEGSLMWSCMQTISREMWATMTWPSSMTSSLFLSMCMPTTVPTWHSVGSPAVAPSSCTPRLLPIVPRGM
+>NM_002334|313094_2_5007_5137
+MVAAPTSALPEPRTSYVPVLTNLIAGPAPLCLAWYHQLLGLLA
+>DECOY_NM_002334|313094_2_5007_5137
+ALLGLLQHYWALCLPAPGAILNTLVPVYSTRPEPLASTPAAVM
+>NM_002334|313102_3_3778_3851
+MAQWTDCGQGQLPTAMGRCPHRAN
+>DECOY_NM_002334|313102_3_3778_3851
+NARHPCRGMATPLQGQGCDTWQAM
+>NM_152553|313146_2_705_778
+MGRGMPRSVQSARSTSSELKDVTI
+>DECOY_NM_152553|313146_2_705_778
+ITVDKLESSTSRASQVSRPMGRGM
+>NM_001042762|313191_2_1419_1504
+MGESRMEECNVSLMGQDLQNQHIQLMSV
+>DECOY_NM_001042762|313191_2_1419_1504
+VSMLQIHQNQLDQGMLSVNCEEMRSEGM
+>NM_001042762|313195_3_1282_1367
+MGRSAKKVPPTSACIRVFIWWCKKVSRS
+>DECOY_NM_001042762|313195_3_1282_1367
+SRSVKKCWWIFVRICASTPPVKKASRGM
+>NM_020791|313239_2_300_442
+MEALEQCILHEMCVPMKWWPSRKCLIVESSLLRNGRILLRKSSFYKE
+>DECOY_NM_020791|313239_2_300_442
+EKYFSSKRLLIRGNRLLSSEVILCKRSPWWKMPVCMEHLICQELAEM
+>NM_020791|313242_2_1203_1336
+MVLAGQEQLIVLEVINPFPACPSVPAAKAVVLTVFQMSQMTRVS
+>DECOY_NM_020791|313242_2_1203_1336
+SVRTMQSMQFVTLVVAKAAPVSPCAPFPNIVELVILQEQGALVM
+>NM_020791|313249_3_496_641
+MACNGILFRICFGFTRSSQKAITRSGNSSNYTWCSSGISLLTFSYYDS
+>DECOY_NM_020791|313249_3_496_641
+SDYYSFTLLSIGSSCWTYNSSNGSRTIAKQSSRTFGFCIRFLIGNCAM
+>NM_002376|313274_2_2008_2171
+MEFPPGNQVAVLLEERELLQPVPCLGMQVILIRRIFLNARKAPLSLVVTQHLVE
+>DECOY_NM_002376|313274_2_2008_2171
+EVLHQTVVLSLPAKRANLFIRRILIVQMGLCPVPQLLEREELLVAVQNGPPFEM
+>NM_002376|313276_2_2236_2489
+MAKKTALFLIRELQLLQHTVSVVQPPQIESASQEALPVVALSTASPGNGEPQHIMALLPLPACPMKPHHCPRLEAEAPLISLVN
+>DECOY_NM_002376|313276_2_2236_2489
+NVLSILPAEAELRPCHHPKMPCAPLPLLAMIHQPEGNGPSATSLAVVPLAEQSASEIQPPQVVSVTHQLLQLERILFLATKKAM
+>NM_002376|313277_2_2398_2489
+MALLPLPACPMKPHHCPRLEAEAPLISLVN
+>DECOY_NM_002376|313277_2_2398_2489
+NVLSILPAEAELRPCHHPKMPCAPLPLLAM
+>NM_006197|313347_2_3030_3115
+MDLRTYVLLSKVEQKKRWQLGEGLPSVH
+>DECOY_NM_006197|313347_2_3030_3115
+HVSPLGEGLQWRKKQEVKSLLVYTRLDM
+>NM_006197|313352_2_5604_5710
+MAHLLERLMMKTKTRMKLKQLSRLKHLRCMMVPKM
+>DECOY_NM_006197|313352_2_5604_5710
+MKPVMMCRLHKLRSLQKLKMRTKTKMMLRELLHAM
+>NM_006197|313355_2_5808_6028
+MEVEKMKMRMKKWKNLKKALWMSRLPSRLTLKLLKKMNMMNRSYNVTLKRQQKAKMSHWNEKPLVKMTKITVL
+>DECOY_NM_006197|313355_2_5808_6028
+LVTIKTMKVLPKENWHSMKAKQQRKLTVNYSRNMMNMKKLLKLTLRSPLRSMWLAKKLNKWKKMRMKMKEVEM
+>NM_182826|313543_2_166_407
+MEMPCALQKRTWRVTTRTCRPSHAPRRAGQGPAAAAARRTYLCTHRCGFFTSSWPCSWWPWLCWPLWFSEKWTLSPKTSP
+>DECOY_NM_182826|313543_2_166_407
+PSTKPSLTWKESFWLPWCLWPWWSCPWSSTFFGCRHTCLYTRRAAAAAPGQGARRPAHSPRCTRTTVRWTRKQLACPMEM
+>NM_014902|313572_2_598_1115
+MASFPSTTSCPRPAAPFPASTTTPTSRCQRRAPSPAMPKPPRSTGCPPTSWTSLRSSCPSTVMASAPSNFPVARPRPVVRALAASATWSTQSSGFSSPRHPHWRAQRARSVAMAARRVAWRTARAGGPKARSGPRLGSPNGAAAPTSQAGGAPMTTWTARPAPSAAVAQPLG
+>DECOY_NM_014902|313572_2_598_1115
+GLPQAVAASPAPRATWTTMPAGGAQSTPAAAGNPSGLRPGSRAKPGGARATRWAVRRAAMAVSRARQARWHPHRPSSFGSSQTSWTASAALARVVPRPRAVPFNSPASAMVTSPCSSRLSTWSTPPCGTSRPPKPMAPSPARRQCRSTPTTTSAPFPAAPRPCSTTSPFSAM
+>NM_014902|313574_2_784_1115
+MASAPSNFPVARPRPVVRALAASATWSTQSSGFSSPRHPHWRAQRARSVAMAARRVAWRTARAGGPKARSGPRLGSPNGAAAPTSQAGGAPMTTWTARPAPSAAVAQPLG
+>DECOY_NM_014902|313574_2_784_1115
+GLPQAVAASPAPRATWTTMPAGGAQSTPAAAGNPSGLRPGSRAKPGGARATRWAVRRAAMAVSRARQARWHPHRPSSFGSSQTSWTASAALARVVPRPRAVPFNSPASAM
+>NM_014902|313575_2_934_1115
+MAARRVAWRTARAGGPKARSGPRLGSPNGAAAPTSQAGGAPMTTWTARPAPSAAVAQPLG
+>DECOY_NM_014902|313575_2_934_1115
+GLPQAVAASPAPRATWTTMPAGGAQSTPAAAGNPSGLRPGSRAKPGGARATRWAVRRAAM
+>NM_152754|313662_2_986_1059
+MGQILTLMSFKIFIYSPQEMKEIL
+>DECOY_NM_152754|313662_2_986_1059
+LIEKMEQPSYIFIKFSMLTLIQGM
+>NM_152754|313666_2_1610_1764
+MDWFSSPCTDATLMGKLAQTVVLPETPTVPGMEMHALDMLLLLKGELDAKM
+>DECOY_NM_152754|313666_2_1610_1764
+MKADLEGKLLLLMDLAHMEMGPVTPTEPLVVTQALKGMLTADTCPSSFWDM
+>NM_000147|313753_2_640_722
+MASKHSILSVQKQCQSCTTLLTAINLI
+>DECOY_NM_000147|313753_2_640_722
+ILNIATLLTTCSQCQKQVSLISHKSAM
+>NM_000147|313756_2_862_1031
+MEDTITVKINSSHRACQITSGRCAPALTSFPGAIVVTWHCLMLQKNLKSFRNWFRQ
+>DECOY_NM_000147|313756_2_862_1031
+QRFWNRFSKLNKQLMLCHWTVVIAGPFSTLAPACRGSTIQCARHSSNIKVTITDEM
+>NM_000147|313761_3_1124_1275
+MAEHQWGGYLCLQTMAGAMGKEHNICMVYLKGIGCLCHFSALARKWSLKP
+>DECOY_NM_000147|313761_3_1124_1275
+PKLSWKRALASFHCLCGIGKLYVMCINHEKGMAGAMTQLCLYGGWQHEAM
+>NM_000147|313762_3_1166_1275
+MAGAMGKEHNICMVYLKGIGCLCHFSALARKWSLKP
+>DECOY_NM_000147|313762_3_1166_1275
+PKLSWKRALASFHCLCGIGKLYVMCINHEKGMAGAM
+>NM_000147|313763_3_1178_1275
+MGKEHNICMVYLKGIGCLCHFSALARKWSLKP
+>DECOY_NM_000147|313763_3_1178_1275
+PKLSWKRALASFHCLCGIGKLYVMCINHEKGM
+>NM_012290|313857_3_1416_1489
+MDRWFCISESCEATRMGESAKGRY
+>DECOY_NM_012290|313857_3_1416_1489
+YRGKASEGMRTAECSESICFWRDM
+>NM_030913|313918_2_994_1073
+METMSTSSSARSLWRMLGWGGCSSPA
+>DECOY_NM_030913|313918_2_994_1073
+APSSCGGWGLMRWLSRASSSTSMTEM
+>NM_030913|313921_2_1864_2549
+MGPVRGAVWLLRTHTVDGIAPGAVWISGDLVGLMWIRLGTRNPWSMVTAKMELLGVSLALGILLMACAGTCPQPRPPAPSPSHSSWPVWPQLLPWAPQSLASWSPVLVAAPTDVGARTSRLRGSRALSPSAVWPGSTVGAQSPRRPPRTGTRCRRRSSTPPSCRLRRACPRRSWPACPPPSPRRSCRSSTSAPPGTPGSGTRTGTTPRRVRAAHGAGTRRAGPRPACW
+>DECOY_NM_030913|313921_2_1864_2549
+WCAPRPGARRTGAGHAARVRRPTTGTRTGSGPTGPPASTSSRCSRRPSPPPCAPWSRRPCARRLRCSPPTSSRRRCRTGTRPPRRPSQAGVTSGPWVASPSLARSGRLRSTRAGVDTPAAVLVPSWSALSQPAWPLLQPWVPWSSHSPSPAPPRPQPCTGACAMLLIGLALSVGLLEMKATVMSWPNRTGLRIWMLGVLDGSIWVAGPAIGDVTHTRLLWVAGRVPGM
+>NM_030913|313923_2_1999_2549
+MVTAKMELLGVSLALGILLMACAGTCPQPRPPAPSPSHSSWPVWPQLLPWAPQSLASWSPVLVAAPTDVGARTSRLRGSRALSPSAVWPGSTVGAQSPRRPPRTGTRCRRRSSTPPSCRLRRACPRRSWPACPPPSPRRSCRSSTSAPPGTPGSGTRTGTTPRRVRAAHGAGTRRAGPRPACW
+>DECOY_NM_030913|313923_2_1999_2549
+WCAPRPGARRTGAGHAARVRRPTTGTRTGSGPTGPPASTSSRCSRRPSPPPCAPWSRRPCARRLRCSPPTSSRRRCRTGTRPPRRPSQAGVTSGPWVASPSLARSGRLRSTRAGVDTPAAVLVPSWSALSQPAWPLLQPWVPWSSHSPSPAPPRPQPCTGACAMLLIGLALSVGLLEMKATVM
+>NM_030913|313924_2_2014_2549
+MELLGVSLALGILLMACAGTCPQPRPPAPSPSHSSWPVWPQLLPWAPQSLASWSPVLVAAPTDVGARTSRLRGSRALSPSAVWPGSTVGAQSPRRPPRTGTRCRRRSSTPPSCRLRRACPRRSWPACPPPSPRRSCRSSTSAPPGTPGSGTRTGTTPRRVRAAHGAGTRRAGPRPACW
+>DECOY_NM_030913|313924_2_2014_2549
+WCAPRPGARRTGAGHAARVRRPTTGTRTGSGPTGPPASTSSRCSRRPSPPPCAPWSRRPCARRLRCSPPTSSRRRCRTGTRPPRRPSQAGVTSGPWVASPSLARSGRLRSTRAGVDTPAAVLVPSWSALSQPAWPLLQPWVPWSSHSPSPAPPRPQPCTGACAMLLIGLALSVGLLEM
+>NM_178011|313950_3_1872_1999
+MEAVPCEHEAAAAALPHAKAQEKEKTVPKANDSQHPGILCRL
+>DECOY_NM_178011|313950_3_1872_1999
+LRCLIGPHQSDNAKPVTKEKEQAKAHPLAAAAAEHECPVAEM
+>NM_003747|314090_2_210_541
+MAVGIRPTGPDPRTRLTVPAVAVPPAQSVPSPPLPWSQRFLLHLPLGSLPTQPAVAVTIHRRPLLPRLLPHLPLHPPLDRAWRRAPRRPELAAQHHWGLGQQDLGQGSQQ
+>DECOY_NM_003747|314090_2_210_541
+QQSGQGLDQQGLGWHHQAALEPRRPARRWARDLPPHLPLHPLLRPLLPRRHITVAVAPQTPLSGLPLHLLFRQSWPLPPSPVSQAPPVAVAPVTLRTRPDPGTPRIGVAM
+>NM_003747|314097_2_1176_1270
+MVLMFMQKTKVDLCLFIMHVHMDIMKSQNCY
+>DECOY_NM_003747|314097_2_1176_1270
+YCNQSKMIDMHVHMIFLCLDVKTKQMFMLVM
+>NM_003747|314100_2_1275_1348
+MELVLMPWISGSLLHCTRLLPRTV
+>DECOY_NM_003747|314100_2_1275_1348
+VTRPLLRTCHLLSGSIWPMLVLEM
+>NM_003747|314103_2_2220_2314
+MGLLSMWRTYGNLPLSMKQQLKESMKSASSF
+>DECOY_NM_003747|314103_2_2220_2314
+FSSASKMSEKLQQKMSLPLNGYTRWMSLLGM
+>NM_003747|314108_2_3405_3478
+MVVMLAASSTDTMSFEFKKLSTRS
+>DECOY_NM_003747|314108_2_3405_3478
+SRTSLKKFEFSMTDTSSAALMVVM
+>NM_005146|314143_2_1386_1678
+MGTLVPDCGDGVAAECPKWRRRRSLCLSPCRRTTPEWRTWTSVMRRKVELHRRGPRRCWRRTRRSWSCRSSWRRDAGCDSYSSYSSCETVARRWWRL
+>DECOY_NM_005146|314143_2_1386_1678
+LRWWRRAVTECSSYSSYSDCGADRRWSSRCSWSRRTRRWCRRPGRRHLEVKRRMVSTWTRWEPTTRRCPSLCLSRRRRWKPCEAAVGDGCDPVLTGM
+>NM_001004310|314187_2_205_302
+MENSFISLRKTRLCPWEQQQCRAVASTAALGR
+>DECOY_NM_001004310|314187_2_205_302
+RGLAATSAVARCQQQEWPCLRTKRLSIFSNEM
+>NM_052862|314264_2_791_870
+MGMKCCHPRARPQDPLCPVREQRERE
+>DECOY_NM_052862|314264_2_791_870
+ERERQERVPCLPDQPRARPHCCKMGM
+>NM_022662|314399_2_800_897
+MDCCLNEALLHMKYLQVHPENLYLLCSACCTH
+>DECOY_NM_022662|314399_2_800_897
+HTCCASCLLYLNEPHVQLYKMHLLAENLCCDM
+>NM_022662|314409_2_5327_5523
+MGFYMLNSGRVSSPTKKIQWDGKVCWLRLLLTGTLKPGLSSQKQSQHSLLIQHFCHLLNISASQL
+>DECOY_NM_022662|314409_2_5327_5523
+LQSASINLLHCFHQILLSHQSQKQSSLGPKLTGTLLLRLWCVKGDWQIKKTPSSVRGSNLMYFGM
+>NM_001008938|314477_2_2619_2752
+MEMNQMTGAMMSLIFCRGRRSVIKSLQSWYLRLVIRIGRLGKKA
+>DECOY_NM_001008938|314477_2_2619_2752
+AKKGLRGIRIVLRLYWSQLSKIVSRRGRCFILSMMAGTMQNMEM
+>NM_001008938|314486_2_4593_4756
+MVQSDVKCQNLFSTNWMTFLSQSLFLNPRSGLFLHTSMTCTVIQHPQSISLSPK
+>DECOY_NM_001008938|314486_2_4593_4756
+KPSLSISQPHQIVTCTMSTHLFLGSRPNLFLSQSLFTMWNTSFLNQCKVDSQVM
+>NM_001008938|314490_3_985_1265
+MAREKRGPGVCRSTNKKPQTGSWRLCRFSKSIKEGCWKGHQCHVGGFGSKMSYWPGCWAKEEIWTICRTCCANHLGEIQREETSSGTSPAGGN
+>DECOY_NM_001008938|314490_3_985_1265
+NGGAPSTGSSTEERQIEGLHNACCTRCITWIEEKAWCGPWYSMKSGFGGVHCQHGKWCGEKISKSFRCLRWSGTQPKKNTSRCVGPGRKERAM
+>NM_078471|314578_2_192_274
+MAGGRRRRRKRRKRSGCQRQSFGAWRR
+>DECOY_NM_078471|314578_2_192_274
+RRWAGFSQRQCGSRKRRKRRRRRGGAM
+>NM_078471|314582_2_1311_1531
+MGPSWMWMRMTLRRLMLPPATVWRIWPHWCTSMSPASCTPCASAMALACCTRMLAPACWFLAPVGPLLCTLRR
+>DECOY_NM_078471|314582_2_1311_1531
+RRLTCLLPGVPALFWCAPALMRTCCALAMASACPTCSAPSMSTCWHPWIRWVTAPPLMLRRLTMRMWMWSPGM
+>NM_078471|314588_2_1443_1531
+MALACCTRMLAPACWFLAPVGPLLCTLRR
+>DECOY_NM_078471|314588_2_1443_1531
+RRLTCLLPGVPALFWCAPALMRTCCALAM
+>NM_078471|314591_2_1974_2104
+MAPSGQSSTSTTWQRTMCLGLCHWPSLRKSRRQLSSLVSCRRP
+>DECOY_NM_078471|314591_2_1974_2104
+PRRCSVLSSLQRRSKRLSPWHCLGLCMTRQWTTSTSSQGSPAM
+>NM_078471|314596_2_2871_2971
+MAPRKVTKKAKAPFCTAANHTTFSWATAMAPTG
+>DECOY_NM_078471|314596_2_2871_2971
+GTPAMATAWSFTTHNAATCFPAKAKKTVKRPAM
+>NM_006649|314713_2_140_234
+MERESIKSFWKQSVPLMERIGGNWLRGLRLV
+>DECOY_NM_006649|314713_2_140_234
+VLRLGRLWNGGIREMLPVSQKWFSKISEREM
+>NM_024755|314753_2_2357_2655
+MDPTTLANRTDLMTLITERGAGFLRVQQYSLHLLKGGIALLVKVRGKKHDLLHEGKIQASKDIPKISVTPEEMSLHHQEMNLENQTGEKYEGSETKGER
+>DECOY_NM_024755|314753_2_2357_2655
+REGKTESGEYKEGTQNELNMEQHHLSMEEPTVSIKPIDKSAQIKGEHLLDHKKGRVKVLLAIGGKLLHLSYQQVRLFGAGRETILTMLDTRNALTTPDM
+>NM_024755|314758_2_2957_3066
+MDGTQADQGKSGMVHPLKGLAIMIRGEWVTAGQEQA
+>DECOY_NM_024755|314758_2_2957_3066
+AQEQGATVWEGRIMIALGKLPHVMGSKGQDAQTGDM
+>NM_024755|314759_2_2993_3066
+MVHPLKGLAIMIRGEWVTAGQEQA
+>DECOY_NM_024755|314759_2_2993_3066
+AQEQGATVWEGRIMIALGKLPHVM
+>NM_003666|314895_2_501_631
+MEWKLRNHLNLLKLPPESNLESIIVFRVHGRKQFHQRAQEFFS
+>DECOY_NM_003666|314895_2_501_631
+SFFEQARQHFQKRGHVRFVIISELNSEPPLKLLNLHNRLKWEM
+>NM_005650|314945_2_486_682
+MDPPRGAALAISMGVRVMWASFKHSTLALAVCHIISRITLGLSLQGVLSTNSRLPASSSSSKSSS
+>DECOY_NM_005650|314945_2_486_682
+SSSKSSSSSAPLRSNTSLVGQLSLGLTIRSIIHCVALALTSHKFSAWMVRVGMSIALAAGRPPDM
+>NM_005650|314946_2_522_682
+MGVRVMWASFKHSTLALAVCHIISRITLGLSLQGVLSTNSRLPASSSSSKSSS
+>DECOY_NM_005650|314946_2_522_682
+SSSKSSSSSAPLRSNTSLVGQLSLGLTIRSIIHCVALALTSHKFSAWMVRVGM
+>NM_005650|314953_2_2055_2479
+MVGQRKPVMHHFPSQSLQEEEGAKETRMAITTPTIMEKEMARVATLQRALVLRAELSLANLLEVCAIVTKIVSGQPCHEMSVAFLSILQGKKREISLAMGNERVEMKNSQASCRKCFRVTTTTLTGDILGVLKSIRGWLVA
+>DECOY_NM_005650|314953_2_2055_2479
+AVLWGRISKLVGLIDGTLTTTTVRFCKRCSAQSNKMEVRENGMALSIERKKGQLISLFAVSMEHCPQGSVIKTVIACVELLNALSLEARLVLARQLTAVRAMEKEMITPTTIAMRTEKAGEEEQLSQSPFHHMVPKRQGVM
+>NM_005650|314955_2_2136_2479
+MAITTPTIMEKEMARVATLQRALVLRAELSLANLLEVCAIVTKIVSGQPCHEMSVAFLSILQGKKREISLAMGNERVEMKNSQASCRKCFRVTTTTLTGDILGVLKSIRGWLVA
+>DECOY_NM_005650|314955_2_2136_2479
+AVLWGRISKLVGLIDGTLTTTTVRFCKRCSAQSNKMEVRENGMALSIERKKGQLISLFAVSMEHCPQGSVIKTVIACVELLNALSLEARLVLARQLTAVRAMEKEMITPTTIAM
+>NM_005650|314956_2_2160_2479
+MEKEMARVATLQRALVLRAELSLANLLEVCAIVTKIVSGQPCHEMSVAFLSILQGKKREISLAMGNERVEMKNSQASCRKCFRVTTTTLTGDILGVLKSIRGWLVA
+>DECOY_NM_005650|314956_2_2160_2479
+AVLWGRISKLVGLIDGTLTTTTVRFCKRCSAQSNKMEVRENGMALSIERKKGQLISLFAVSMEHCPQGSVIKTVIACVELLNALSLEARLVLARQLTAVRAMEKEM
+>NM_005650|314957_2_2172_2479
+MARVATLQRALVLRAELSLANLLEVCAIVTKIVSGQPCHEMSVAFLSILQGKKREISLAMGNERVEMKNSQASCRKCFRVTTTTLTGDILGVLKSIRGWLVA
+>DECOY_NM_005650|314957_2_2172_2479
+AVLWGRISKLVGLIDGTLTTTTVRFCKRCSAQSNKMEVRENGMALSIERKKGQLISLFAVSMEHCPQGSVIKTVIACVELLNALSLEARLVLARQLTAVRAM
+>NM_005650|314959_2_2349_2479
+MGNERVEMKNSQASCRKCFRVTTTTLTGDILGVLKSIRGWLVA
+>DECOY_NM_005650|314959_2_2349_2479
+AVLWGRISKLVGLIDGTLTTTTVRFCKRCSAQSNKMEVRENGM
+>NM_005650|314964_2_3669_3802
+MAPRSYKNPVGIFLGKLLQPKAAVLQECPVKKGMGRPMRLMDMD
+>DECOY_NM_005650|314964_2_3669_3802
+DMDMLRMPRGMGKKVPCEQLVAAKPQLLKGLFIGVPNKYSRPAM
+>NM_003690|315135_2_613_695
+MAGDFLNIPFPRREDLLIRENILQFAG
+>DECOY_NM_003690|315135_2_613_695
+GAFQLINERILLDERRPFPINLFDGAM
+>NM_032141|315259_2_50_150
+MGLFCQRKHSSCTLFCKNHQCLGMILMMMMRPL
+>DECOY_NM_032141|315259_2_50_150
+LPRMMMMLIMGLCQHNKCFLTCSSHKRQCFLGM
+>NM_014932|315422_2_1633_1718
+MVYQLVILTLLFQILLIIYMDILKAKMF
+>DECOY_NM_014932|315422_2_1633_1718
+FMKAKLIDMYIILLIQFLLTLIVLQYVM
+>NM_173475|315508_2_196_602
+METVSPATSHIAGGVQATVRSRYHPVASQVEISSSTGPRRPRLPLRPASCQRPREMLGGSPSPMPRSLPCKDWKNCSGATRMSGKMQFWRKAWSAFAMTCVLTPQNFECCSWLGSSRLQPCANSPGRSFLMAAKQ
+>DECOY_NM_173475|315508_2_196_602
+QKAAMLFSRGPSNACPQLRSSGLWSCCEFNQPTLVCTMAFASWAKRWFQMKGSMRTAGSCNKWDKCPLSRPMPSPSGGLMERPRQCSAPRLPLRPRRPGTSSSIEVQSAVPHYRSRVTAQVGGAIHSTAPSVTEM
+>NM_006421|315732_2_1240_1388
+MDLIFPVQKMNRLKLIRQLQLKHYLKMKCYMTEKTMIVRKSHKTLYRTL
+>DECOY_NM_006421|315732_2_1240_1388
+LTRYLTKHSKRVIMTKETMYCKMKLYHKLQLQRILKLRNMKQVPFILDM
+>NM_006421|315734_2_1477_1664
+MVVTVKIFKQMEFQEHQFLLHIHHPYLMIDCQSLPMILRNLEILQDLHLVLSFPTFYKRMPF
+>DECOY_NM_006421|315734_2_1477_1664
+FPMRKYFTPFSLVLHLDQLIELNRLIMPLSQCDIMLYPHHIHLLFQHEQFEMQKFIKVTVVM
+>NM_006421|315743_2_1864_1946
+MESHLFQRFLSFLFLYFLLCCQISRHI
+>DECOY_NM_006421|315743_2_1864_1946
+IHRSIQCCLLFYLFLFSLFRQFLHSEM
+>NM_018961|315856_2_1126_1328
+MVKPAADAAGNFFHKRQGVLAAYRPCRLPLQGRACWWFATGREWIRSSGRHGCSNAPLLMGNTTGQT
+>DECOY_NM_018961|315856_2_1126_1328
+TQGTTNGMLLPANSCGHRGSSRIWERGTAFWWCARGQLPLRCPRYAALVGQRKHFFNGAADAAPKVM
+>NM_024032|315905_2_1920_2026
+MGASSSHLSPSPRIQGASSMMWLQSPRKASEQHRT
+>DECOY_NM_024032|315905_2_1920_2026
+TRHQESAKRPSQLWMMSSAGQIRPSPSLHSSSAGM
+>NM_015435|315948_2_2229_2350
+MAVPPEVMLAVHPVACLKVNLVPPSGPKKQQQGKNQKVVN
+>DECOY_NM_015435|315948_2_2229_2350
+NVVKQNKGQQQKKPGSPPVLNVKLCAVPHVALMVEPPVAM
+>NM_175709|316063_3_190_296
+MAPKVQHVGARRAHLGPPPRHGLRGEGGERPSIGV
+>DECOY_NM_175709|316063_3_190_296
+VGISPREGGEGRLGHRPPPGLHARRAGVHQVKPAM
+>NM_005961|316124_2_433_599
+MDSRSHPSARACGWWPSSWSWSWKSCGVLTATSWFWWSGSTWVRCAGSAGTLTGR
+>DECOY_NM_005961|316124_2_433_599
+RGTLTGASGACRVWTSGSWWFWSTATLVGCSKWSWSWSSPWWGCARASPHSRSDM
+>NM_005961|316131_2_3475_3719
+MASTSTHRRPTARGTTSPASAPASHRASQAATSKAATTAPRMSTSTTRRGCACPACRPPRRSHPPRRSCPPQAHGPRKSGP
+>DECOY_NM_005961|316131_2_3475_3719
+PGSKRPGHAQPPCSRRPPHSRRPPRCAPCACGRRTTSTSMRPATTAAKSTAAQSARHSAPASAPSTTGRATPRRHTSTSAM
+>NM_178335|316188_2_1032_1120
+METNQGQGGPGNWVLDSQDLVDSKEMERL
+>DECOY_NM_178335|316188_2_1032_1120
+LREMEKSDVLDQSDLVWNGPGGQGQNTEM
+>NM_178335|316193_2_1476_1570
+MGKVSTEKGDTGPGLLHSQRVRSSSTSMTQE
+>DECOY_NM_178335|316193_2_1476_1570
+EQTMSTSSSRVRQSHLLGPGTDGKETSVKGM
+>NM_004962|316293_2_1102_1190
+MASCSSPPSWILRRGTRGCPGPAPMRPTS
+>DECOY_NM_004962|316293_2_1102_1190
+STPRMPAPGPCGRTGRRLIWSPPSSCSAM
+>NM_018558|316363_2_1410_1531
+MVFALMVSRLMTVLFLPKSATVSKPMAMVLPMTMKIPMRA
+>DECOY_NM_018558|316363_2_1410_1531
+ARMPIKMTMPLVMAMPKSVTASKPLFLVTMLRSVMLAFVM
+>NM_015296|316622_2_5486_5595
+MERSIFTRNPNSHRCRKFLRDSLNCTRINLVLKMSK
+>DECOY_NM_015296|316622_2_5486_5595
+KSMKLVLNIRTCNLSDRLFKRCRHSNPNRTFISREM
+>NM_002864|316665_2_3111_3184
+MAPTAPLGNDMAGTRATLGSQLLY
+>DECOY_NM_002864|316665_2_3111_3184
+YLLQSGLTARTGAMDNGLPATPAM
+>NM_002864|316672_2_3837_3922
+MEQPLSPELRKLHRSPFRIHRPFLQISK
+>DECOY_NM_002864|316672_2_3837_3922
+KSIQLFPRHIRFPSRHLKRLEPSLPQEM
+>NM_002864|316678_3_556_713
+MAESQARSWHQSVVLSPLIRAHSGLLQGGGTDRIRWKDTAPLHRGGICASQV
+>DECOY_NM_002864|316678_3_556_713
+VQSACIGGRHLPATDKWRIRDTGGGQLLGSHARILPSLVVSQHWSRAQSEAM
+>NM_014461|316923_2_2455_2633
+MGRDLDISSCSGQWARQPGPRRKCHLWNHQGLSTEMKASSHCLPLKSKWVCIIMKEKDP
+>DECOY_NM_014461|316923_2_2455_2633
+PDKEKMIICVWKSKLPLCHSSAKMETSLGQHNWLHCKRRPGPQRAWQGSCSSIDLDRGM
+>NM_014229|316970_2_65_216
+MGRLLRRRGSPRRRVAAAAAGARRPRATRASSATRRSTSAATGTTRWSSC
+>DECOY_NM_014229|316970_2_65_216
+CSSWRTTGTAASTSRRTASSARTARPRRAGAAAAAVRRRPSGRRRLLRGM
+>NM_014229|316974_2_1685_1794
+MALAGSWPCPPCSASRSGSASQCGRRRGHCPRNSRS
+>DECOY_NM_014229|316974_2_1685_1794
+SRSNRPCHGRRRGCQSASGSRSASCPPCPWSGALAM
+>NM_016240|317004_2_1474_1559
+MEKSFAMSPSYEVPPALQDQEDSKEIWA
+>DECOY_NM_016240|317004_2_1474_1559
+AWIEKSDEQDQLAPPVEYSPSMAFSKEM
+>NM_015668|317050_2_3337_3488
+MVYSFGKKYKNIRTCAILIVMSLSSRRRLQLLSTALLIPVFHQLYKLTFQ
+>DECOY_NM_015668|317050_2_3337_3488
+QFTLKYLQHFVPILLATSLLQLRRRSSLSMVILIACTRINKYKKGFSYVM
+>NM_015668|317052_3_647_738
+MEQVRHEFHSRIKFFSLDREKTTQSTTSCH
+>DECOY_NM_015668|317052_3_647_738
+HCSTTSQTTKERDLSFFKIRSHFEHRVQEM
+>NM_032943|317074_2_823_917
+MEELVYFRLQKRMNCQSQKKSQLSQILQSKS
+>DECOY_NM_032943|317074_2_823_917
+SKSQLIQSLQSKKQSQCNMRKQLRFYVLEEM
+>NM_032943|317075_2_1372_1505
+MEWKMQGTQKSFRVTLSLLNTESLRFFINQPQAHMYQKVKHISQ
+>DECOY_NM_032943|317075_2_1372_1505
+QSIHKVKQYMHAQPQNIFFRLSETNLLSLTVRFSKQTGQMKWEM
+>NM_004731|317226_3_206_324
+MGLDCGWSSFYLHWIFLCIPQSCHRILQRNSANIPHYLQ
+>DECOY_NM_004731|317226_3_206_324
+QLYHPINASNRQLIRHCSQPICLFIWHLYFSSWGCDLGM
+>NM_003580|317386_2_476_591
+MEKMEPIDTSQRQNLGVFHSFSVRYISLKNIMLLHHIK
+>DECOY_NM_003580|317386_2_476_591
+KIHHLLMINKLSIYRVSFSHFVGLNQRQSTDIPEMKEM
+>NM_003580|317387_2_485_591
+MEPIDTSQRQNLGVFHSFSVRYISLKNIMLLHHIK
+>DECOY_NM_003580|317387_2_485_591
+KIHHLLMINKLSIYRVSFSHFVGLNQRQSTDIPEM
+>NM_003580|317394_2_1457_1548
+MEDLIMQIECSTVLQKLGKTVWMVQRILKS
+>DECOY_NM_003580|317394_2_1457_1548
+SKLIRQVMWVTKGLKQLVTSCEIQMILDEM
+>NM_003580|317398_2_2777_2880
+MEIPFYLAVSLVNCSFGTSLEQKSVREYRATQVL
+>DECOY_NM_003580|317398_2_2777_2880
+LVQTARYERVSKQELSTGFSCNVLSVALYFPIEM
+>NM_006918|317416_2_866_954
+MDNISLCGIGLAAHSKILHPLRGRDRSVM
+>DECOY_NM_006918|317416_2_866_954
+MVSRDRGRLPHLIKSHAALGIGCLSINDM
+>NM_012224|317483_2_568_665
+MADSMLSRKLTSQECPVKKEKNQGEKLQYWQT
+>DECOY_NM_012224|317483_2_568_665
+TQWYQLKEGQNKEKKVPCEQSTLKRSLMSDAM
+>NM_012224|317486_2_1462_1538
+MEIKNYTKRNHCKNINRPIKLQRRE
+>DECOY_NM_012224|317486_2_1462_1538
+ERRQLKIPRNINKCHNRKTYNKIEM
+>NM_173690|317540_2_394_503
+MDRSSGSPILEELLMFTPNSGSSSSSIDKSWIIGMA
+>DECOY_NM_173690|317540_2_394_503
+AMGIIWSKDISSSSSGSNPTFMLLEELIPSGSSRDM
+>NM_024872|317575_2_201_700
+MASSTSSMSSLARSAGGRCGLCCMQEAHQAWHGWRAGRSGMVAWEQRVTGRQGLAGEGSDGSSAWLTVCPCCRLTARAAPGTPVPSCSPPPSEAIYWLLSTARPGWAPSASWPSRGQGRPPQDPQMPSLPRGAWSPWRKTPSTPPGRKWASFPWWCRGLRPPPAAS
+>DECOY_NM_024872|317575_2_201_700
+SAAPPPRLGRCWWPFSAWKRGPPTSPTKRWPSWAGRPLSPMQPDQPPRGQGRSPWSASPAWGPRATSLLWYIAESPPPSCSPVPTGPAARATLRCCPCVTLWASSGDSGEGALGQRGTVRQEWAVMGSRGARWGHWAQHAEQMCCLGCRGGASRALSSMSSTSSAM
+>NM_024872|317578_2_321_700
+MVAWEQRVTGRQGLAGEGSDGSSAWLTVCPCCRLTARAAPGTPVPSCSPPPSEAIYWLLSTARPGWAPSASWPSRGQGRPPQDPQMPSLPRGAWSPWRKTPSTPPGRKWASFPWWCRGLRPPPAAS
+>DECOY_NM_024872|317578_2_321_700
+SAAPPPRLGRCWWPFSAWKRGPPTSPTKRWPSWAGRPLSPMQPDQPPRGQGRSPWSASPAWGPRATSLLWYIAESPPPSCSPVPTGPAARATLRCCPCVTLWASSGDSGEGALGQRGTVRQEWAVM
+>NM_001144876|317597_2_312_505
+MASSTSSMSSLARGQGRPPQDPQMPSLPRGAWSPWRKTPSTPPGRKWASFPWWCRGLRPPPAAS
+>DECOY_NM_001144876|317597_2_312_505
+SAAPPPRLGRCWWPFSAWKRGPPTSPTKRWPSWAGRPLSPMQPDQPPRGQGRALSSMSSTSSAM
+>NM_004439|317727_2_677_771
+MGETSRKTNTSKLIPLLPMKALQNLILVTVL
+>DECOY_NM_004439|317727_2_677_771
+LVTVLILNQLAKMPLLPILKSTNTKRSTEGM
+>NM_004439|317731_2_1088_1455
+MAPVKCADLGSSKPHLTSRAAANVHLTVIPMRKLQPLVSVKRIISGESLIHPQWHAQDPPLLLGMPSQMLMKLVSFWNGFRLLTLVEGKTCHIILHARSATPMQVCVRSVAVMSGTFPGKAA
+>DECOY_NM_004439|317731_2_1088_1455
+AAKGPFTGSMVAVSRVCVQMPTASRAHLIIHCTKGEVLTLLRFGNWFSVLKMLMQSPMGLLLPPDQAHWQPHILSEGSIIRKVSVLPQLKRMPIVTLHVNAAARSTLHPKSSGLDACKVPAM
+>NM_004439|317738_2_1835_1914
+MVSSVEDLSLKPPQCLQHPAIKARFL
+>DECOY_NM_004439|317738_2_1835_1914
+LFRAKIAPHQLCQPPKLSLDEVSSVM
+>NM_002077|317833_3_1754_1845
+MEPKRNCERGHGSSPGGGAEAKGRVPATGS
+>DECOY_NM_002077|317833_3_1754_1845
+SGTAPVRGKAEAGGGPSSGHGRECNRKPEM
+>NM_002202|317988_2_732_823
+MGKPTVKEIISGCTGSNAPSAASASARTTS
+>DECOY_NM_002202|317988_2_732_823
+STTRASASAASPANSGTCGSIIEKVTPKGM
+>NM_022896|318019_3_1125_1228
+MELGHSGGSSSHRAAREGLQGERLPKEKPAPGPQ
+>DECOY_NM_022896|318019_3_1125_1228
+QPGPAPKEKPLREGQLGERAARHSSSGGSHGLEM
+>NM_022896|318020_3_1668_1780
+MVVFLATQGLPGRGAQCPEGEDCSQGAAGGEDRSPEQ
+>DECOY_NM_022896|318020_3_1668_1780
+QEPSRDEGGAAGQSCDEGEPCQAGRGPLGQTALFVVM
+>NM_018173|318043_2_2162_2316
+MGPPSEGMWWWKHSTGPGFGASFPPPQPMLTLPGKAPGSPQGRRKKRGLCS
+>DECOY_NM_018173|318043_2_2162_2316
+SCLGRKKRRGQPSGPAKGPLTLMPQPPPFSAGFGPGTSHKWWWMGESPPGM
+>NM_021971|318100_2_1069_1193
+MVCVSGGARCCGMPGSVPIPGLSPALWAGAAAWVSGYAWRT
+>DECOY_NM_021971|318100_2_1069_1193
+TRWAYGSVWAAAGAWLAPSLGPIPVSGPMGCCRAGGSVCVM
+>NM_001144871|318110_2_178_335
+MECPPSNGHIHPIGERRRSWSGNQGLRPTSLKATRTESAPLTTAPSSSSAWE
+>DECOY_NM_001144871|318110_2_178_335
+EWASSSSPATTLPASETRTAKLSTPRLGQNGSWSRRREGIPHIHGNSPPCEM
+>NM_004963|318163_2_720_814
+MVQKLRTVSGTLMLWRLAFPISPTNSALRWC
+>DECOY_NM_004963|318163_2_720_814
+CWRLASNTPSIPFALRWLMLTGSVTRLKQVM
+>NM_004963|318164_2_1161_1237
+MEKILPPPNLLMLSGISLLKGMTVQ
+>DECOY_NM_004963|318164_2_1161_1237
+QVTMGKLLSIGSLMLLNPPPLIKEM
+>NM_004963|318174_3_2887_2990
+MDSHWSSLWSLCCWSCGNQDASLLSIWRYGQHSL
+>DECOY_NM_004963|318174_3_2887_2990
+LSHQGYRWISLLSADQNGCSWCCLSWLSSWHSDM
+>NM_013334|318262_2_1069_1178
+MVCVSGGARCCGMPGSVPIPGLSPALWAGAAAWVSG
+>DECOY_NM_013334|318262_2_1069_1178
+GSVWAAAGAWLAPSLGPIPVSGPMGCCRAGGSVCVM
+>NM_014149|318312_2_990_1192
+MGRASSAGWPLGSPVGHSTGSGACRTMARRGRSFSPQPLPSVQRRNQKPVAQRLSPAQSSTRSQWSH
+>DECOY_NM_014149|318312_2_990_1192
+HSWQSRTSSQAPSLRQAVPKQNRRQVSPLPQPSFSRGRRAMTRCAGSGTSHGVPSGLPWGASSARGM
+>NM_014149|318313_2_1068_1192
+MARRGRSFSPQPLPSVQRRNQKPVAQRLSPAQSSTRSQWSH
+>DECOY_NM_014149|318313_2_1068_1192
+HSWQSRTSSQAPSLRQAVPKQNRRQVSPLPQPSFSRGRRAM
+>NM_006822|318361_2_232_314
+MARPSPRTATRRASTTRRPPSCWTGGG
+>DECOY_NM_006822|318361_2_232_314
+GGGTWCSPPRRTTSARRTATRPSPRAM
+>NM_030916|318416_3_496_662
+MGSGGRGRRRPGTSATALQIRASCEPGLRGPRGAAAAPTQPPGRLSAPAQRSAGG
+>DECOY_NM_030916|318416_3_496_662
+GGASRQAPASLRGPPQTPAAAAGRPGRLGPECSARIQLATASTGPRRRGRGGSGM
+>NM_001001349|318461_2_264_454
+MGPNCPDTASLALMATSWSIAQIAESLFSVWSCSRRRLTNPRTRRRSPSWSLATSVTYRSSGV
+>DECOY_NM_001001349|318461_2_264_454
+VGSSRYTVSTALSWSPSRRRTRPNTLRRRSCSWVSFLSEAIQAISWSTAMLALSATDPCNPGM
+>NM_020405|318549_2_801_994
+MGQSLWFSGTTFISKAGKTRAVSPSRQLCTMTAALSLPIKRSLCLSRKSAPPSILSKPAYRMPS
+>DECOY_NM_020405|318549_2_801_994
+SPMRYAPKSLISPPASKRSLCLSRKIPLSLAATMTCLQRSPSVARTKGAKSIFTTGSFWLSQGM
+>NM_020405|318552_2_1245_1411
+MAVHRRQRAGCARTSRMRTTTQPPLTLPSAPMMETSPLPPPPSSSTASPQKMTPS
+>DECOY_NM_020405|318552_2_1245_1411
+SPTMKQPSATSSSPPPPLPSTEMMPASPLTLPPQTTTRMRSTRACGARQRRHVAM
+>NM_001008777|318624_3_928_1034
+MANGESGKITVYHLWANISSRWTGGLAGNDRRTYR
+>DECOY_NM_001008777|318624_3_928_1034
+RYTRRDNGALGGTWRSSINAWLHYVTIKGSEGNAM
+>NM_133494|318731_2_958_1049
+MDTTSNLTSGLLAVYYMRWLHYKVLSMVTK
+>DECOY_NM_133494|318731_2_958_1049
+KTVMSLVKYHLWRMYYVALLGSTLNSTTDM
+>NM_052916|318971_2_499_644
+MVLPATFPKTTASSRRLCSTSEECVSSSACPPTPWIPPSGPKRSLALI
+>DECOY_NM_052916|318971_2_499_644
+ILALSRKPGSPPIWPTPPCASSSVCEESTSCLRRSSATTKPFTAPLVM
+>NM_052916|318975_2_1240_1493
+MATSQECSLHMAVMATCPPSGRSRLLTACLTAAVRDSNSKRVSPNPLPKTLPCCMKRKMSIPAASRRHSSLRDRRFSISERNVV
+>DECOY_NM_052916|318975_2_1240_1493
+VVNRESISFRRDRLSSHRRSAAPISMKRKMCCPLTKPLPNPSVRKSNSDRVAATLCATLLRSRGSPPCTAMVAMHLSCEQSTAM
+>NM_052916|318977_2_1279_1493
+MATCPPSGRSRLLTACLTAAVRDSNSKRVSPNPLPKTLPCCMKRKMSIPAASRRHSSLRDRRFSISERNVV
+>DECOY_NM_052916|318977_2_1279_1493
+VVNRESISFRRDRLSSHRRSAAPISMKRKMCCPLTKPLPNPSVRKSNSDRVAATLCATLLRSRGSPPCTAM
+>NM_001144937|319076_2_970_1217
+MAWKYTATHLSLSATSYLSVASLILLVFLSITRQGKVLWVTYSIIPQLPVVLVTLTPCWCPVTELRLSGLLSVVPNCMKPRL
+>DECOY_NM_001144937|319076_2_970_1217
+LRPKMCNPVVSLLGSLRLETVPCWCPTLTVLVVPLQPIISYTVWLVKGQRTISLFVLLILSAVSLYSTASLSLHTATYKWAM
+>NM_145756|319151_2_835_1013
+MVGLKRDKETLLGKNNRNVMNVAKSLVRAQPLFYIRESTVERNLMHVTSVQRHSAEAQF
+>DECOY_NM_145756|319151_2_835_1013
+FQAEASHRQVSTVHMLNREVTSERIYFLPQARVLSKAVNMVNRNNKGLLTEKDRKLGVM
+>NM_144666|319317_2_6718_6815
+MASFPRYFVQPVSVTTWAKRGRQRNQSGSSTG
+>DECOY_NM_144666|319317_2_6718_6815
+GTSSGSQNRQRGRKAWTTVSVPQVFYRPFSAM
+>NM_144666|319325_2_9217_9374
+MACCSRGLWVLGATLPSLWLLAFVRPISFIYHLGQRRPFSNVYEMPAGMLAC
+>DECOY_NM_144666|319325_2_9217_9374
+CALMGAPMEYVNSFPRRQGLHYIFSIPRVFALLWLSPLTAGLVWLGRSCCAM
+>NM_144666|319330_2_10570_10799
+MGWRIAGDCPRTCCCSKWRQHSLGSRPAWATTSFRPRRPWSIIWPWLRWWRMPKLPTTAWQRPSVKHSVGSITNGP
+>DECOY_NM_144666|319330_2_10570_10799
+PGNTISGVSHKVSPRQWATTPLKPMRWWRLWPWIISWPRRPRFSTTAWAPRSGLSHQRWKSCCCTRPCDGAIRWGM
+>NM_144666|319349_3_11672_11781
+MAAATGAAESTPGAAWLLSVSQHHPLPLCHGKSARL
+>DECOY_NM_144666|319349_3_11672_11781
+LRASKGHCLPLPHHQSVSLLWAAGPTSEAAGTAAAM
+>NM_018423|319414_2_756_919
+MEEMWLCHLRRHPWKTFWELPHLPWLSCRCRGSNSLKFWSRFAVVAVGPSFEPI
+>DECOY_NM_018423|319414_2_756_919
+IPEFSPGVAVVAFRSWFKLSNSGRCRCSLWPLHPLEWFTKWPHRRLHCLWMEEM
+>NM_178563|319446_2_1070_1272
+MGAIISLLHGHFNFHTTKIPATLLIAIHTLTPTCKNTFLASIMIQYGQSFVKYVFCATRLLGTWCIF
+>DECOY_NM_178563|319446_2_1070_1272
+FICWTGLLRTACFVYKVFSQGYQIMISALFTNKCTPTLTHIAILLTAPIKTTHFNFHGHLLSIIAGM
+>NM_005383|319512_2_604_695
+MGARGREGTLWPRTPWSARWPKSRLGSRGW
+>DECOY_NM_005383|319512_2_604_695
+WGRSGLRSKPWRASWPTRPWLTGERGRAGM
+>NM_004627|319548_3_400_497
+MGDKCRFLRIAGCPDDLTHLEVLFCPCGCRAE
+>DECOY_NM_004627|319548_3_400_497
+EARCGCPCFLVELHTLDDPCGAIRLFRCKDGM
+>NM_173821|319556_2_405_718
+MAPAQPGTPGRPMRAAVRPVSWGSASSRRPQTPPGAPTPQKATSPPRPGVALAPSPGANRCPPLATTLARVALSSPSPSPLWVPAMTRCPSLRALPPLRGPLSL
+>DECOY_NM_173821|319556_2_405_718
+LSLPGRLPPLARLSPCRTMAPVWLPSPSPSSLAVRALTTALPPCRNAGPSPALAVGPRPPSTAKQPTPAGPPTQPRRSSASGWSVPRVAARMPRGPTGPQAPAM
+>NM_173821|319558_2_960_1468
+MASSLWGNTRQPCSTVWASRPAGRAPSPSPPPSPASSPTPSRSPPMALWPLKRPPSPSPSSLLMSRMPLLRWLKATGRKEAARASSQWVTTPCQRPMLVASPPRSRAPLPSPSLLMSKAKMPLLTSLKAKRRKVAWSPRVTTPLWRPMPRAPSRLVRAASPSPSQSSMS
+>DECOY_NM_173821|319558_2_960_1468
+SMSSQSPSPSAARVLRSPARPMPRWLPTTVRPSWAVKRRKAKLSTLLPMKAKSMLLSPSPLPARSRPPSAVLMPRQCPTTVWQSSARAAEKRGTAKLWRLLPMRSMLLSSPSPSPPRKLPWLAMPPSRSPTPSSAPSPPPSPSPARGAPRSAWVTSCPQRTNGWLSSAM
+>NM_001070|319587_2_483_616
+MVSAPRASWRSSPPRALTARTSFSTRQTMSTTSPGPCCWTWNPG
+>DECOY_NM_001070|319587_2_483_616
+GPNWTWCCPGPSTTSMTQRTSFSTRATLARPPSSRWSARPASVM
+>NM_001070|319589_2_684_763
+MEEELATTGPADSPREKRSMRTFLTS
+>DECOY_NM_001070|319589_2_684_763
+STLFTRMSRKERPSDAPGTTALEEEM
+>NM_138345|319651_2_3007_3512
+MATPSVLWGTLPLPRKVLAAHLPVLPVGSAWAAVTNSVALTRARPTTVKAATMTTCPWCGCRRHQAPSAWTRPSAPLCASRRSASAVPRPLPCTAPASAPPRPHCPGHFWALVLARVTVPRPPAARPPARALRGQARWTVGGAQTPRPPRGRKGWAAPTCGAGPGPLP
+>DECOY_NM_138345|319651_2_3007_3512
+PLPGPGAGCTPAAWGKRGRPPRPTQAGGVTWRAQGRLARAPPRAAPPRPVTVRALVLAWFHGPCHPRPPASAPATCPLPRPVASASRRSACLPASPRTWASPAQHRRCGCWPCTTMTAAKVTTPRARTLAVSNTVAAWASGVPLVPLHAALVKRPLPLTGWLVSPTAM
+>NM_020721|319749_2_1690_1766
+MAWAIELGLHLPIRLPGMSLSRTCP
+>DECOY_NM_020721|319749_2_1690_1766
+PCTRSLSMGPLRIPLHLGLEIAWAM
+>NM_020721|319754_2_2371_2474
+MVLKNWLMVTLPSPWGSLKMNKKSSQNQKVLLRT
+>DECOY_NM_020721|319754_2_2371_2474
+TRLLVKQNQSSKKNMKLSGWPSPLTVMLWNKLVM
+>NM_004726|319840_2_799_1004
+MATANCGAAQNRCIQHLMKLGSPLSSPRDPHQGAQEPSPFGIRLPLSGPFQWRGNYRITAVTPTNPGG
+>DECOY_NM_004726|319840_2_799_1004
+GGPNTPTVATIRYNGRWQFPGSLPLRIGFPSPEQAGQHPDRPSSLPSGLKMLHQICRNQAAGCNATAM
+>NM_014683|319901_2_774_976
+MVETSQIICKRKGLSVKTRSECFCIRLLLPCESCTAKESSTEISNHRTSCCPMPIAENQVSVVFASK
+>DECOY_NM_014683|319901_2_774_976
+KSAFVVSVQNEAIPMPCCSTRHNSIETSSEKATCSECPLLLRICFCESRTKVSLGKRKCIIQSTEVM
+>NM_003312|319947_2_370_476
+MVNTWAASMLPGSGGCSVCLATAPYQCSMVASGTG
+>DECOY_NM_003312|319947_2_370_476
+GTGSAVMSCQYPATALCVSCGGSGPLMSAAWTNVM
+>NM_032737|320049_2_1789_1883
+MGRKRRRKPSLARRIFSTNRGTRGPPQEAAT
+>DECOY_NM_032737|320049_2_1789_1883
+TAAEQPPGRTGRNTSFIRRALSPKRRRKRGM
+>NM_024573|320067_3_1392_1474
+MGVFCSISSGSEWLPSCTTLYHKNIKS
+>DECOY_NM_024573|320067_3_1392_1474
+SKINKHYLTTCSPLWESGSSISCFVGM
+>NM_001807|320196_2_428_606
+MGPTSSTTTCMTARRSPHAETSSWSPSTTVSAPLGSSALGTPICQVTMAFGISTWPLLG
+>DECOY_NM_001807|320196_2_428_606
+GLLPWTSIGFAMTVQCIPTGLASSGLPASVTTSPSWSSTEAHPSRRATMCTTTSSTPGM
+>NM_182314|320228_2_830_1080
+MGQSKSLWKFSSSVERSLPFARARRTSATFALLRSTWWTKPCICLVTAFAWALVLTRRTQADSTLISHRLEMTCMSGSVNSVC
+>DECOY_NM_182314|320228_2_830_1080
+CVSNVSGSMCTMELRHSILTSDAQTRRTLVLAWAFATVLCICPKTWWTSRLLAFTASTRRARAFPLSREVSSSFKWLSKSQGM
+>NM_015114|320326_2_665_759
+MGCVQCMRTSQREMKGSMFMKIKRKHCKLSR
+>DECOY_NM_015114|320326_2_665_759
+RSLKCHKRKIKMFMSGKMERQSTRMCQVCGM
+>NM_015114|320328_2_914_1074
+MVCACRNQKQSTKSERTVTKIPARRTSPPSFGKLWRRERRTPFLTLSGATPGI
+>DECOY_NM_015114|320328_2_914_1074
+IGPTAGSLTLFPTRRERRWLKGFSPPSTRRAPIKTVTRESKTSQKQNRCACVM
+>NM_020718|320405_2_1114_1244
+MGSIVPFVIQTTWKQSMKATAFLPLRLPKYLGLKEFSVKEEFI
+>DECOY_NM_020718|320405_2_1114_1244
+IFEEKVSFEKLGLYKPLRLPLFATAKMSQKWTTQIVFPVISGM
+>NM_020718|320412_2_2146_2369
+MAPCKGGTTQRTVRTLWTASGTASMTAMCSSCQKMRSARRQHTSSSTRGGQPSRHGQPTARWQAPQVLPCVNTG
+>DECOY_NM_020718|320412_2_2146_2369
+GTNVCPLVQPAQWRATPQGHRSPQGGRTSSSTHQRRASRMKQCSSCMATMSATGSATWLTRVTRQTTGGKCPAM
+>NM_020794|320483_2_2995_3098
+MVCPVCLEASQSQCWMMRCSPTEVVRGHNNKKLL
+>DECOY_NM_020794|320483_2_2995_3098
+LLKKNNHGRVVETPSCRMMWCQSQSAELCVPCVM
+>NM_020794|320487_2_4057_4169
+MDKKMYLLVANGILIHLGGGMYLRTPLLRRQAATSRR
+>DECOY_NM_020794|320487_2_4057_4169
+RRSTAAQRRLLPTRLYMGGGLHILIGNAVLLYMKKDM
+>NM_020794|320493_3_1610_1713
+MGLYKWPPAGKEHVYSIASCSTIHHSSLSKWQTG
+>DECOY_NM_020794|320493_3_1610_1713
+GTQWKSLSSHHITSCSAISYVHEKGAPPWKYLGM
+>NM_004713|320525_2_681_775
+MDSRVMSKWMKNLKLKILKKYLFLCRKQKTI
+>DECOY_NM_004713|320525_2_681_775
+ITKQKRCLFLYKKLIKLKLNKMWKSMVRSDM
+>NM_006568|320549_3_192_310
+MVWLGCSSNSEKFRRDPVQHKSFQKANETSQESFWLRDH
+>DECOY_NM_006568|320549_3_192_310
+HDRLWFSEQSTENAKQFSKHQVPDRRFKESNSSCGLWVM
+>NM_014990|320620_2_1844_1989
+MGPILLIMFEIPVGQKTAPTKVLFITPLKKPQNKTYELVPRQFCRCLL
+>DECOY_NM_014990|320620_2_1844_1989
+LLCRCFQRPVLEYTKNQPKKLPTIFLVKTPATKQGVPIEFMILLIPGM
+>NM_014990|320629_2_3149_3267
+MAENLTILALEPTLGLRPLLMWIQVLAIIRVLKSRKWLV
+>DECOY_NM_014990|320629_2_3149_3267
+VLWKRSKLVRIIALVQIWMLLPRLGLTPELALITLNEAM
+>NM_004796|320739_2_1185_1297
+MATWAASATYSLMGAARTFDSWQRCRMLRVSSPPVHG
+>DECOY_NM_004796|320739_2_1185_1297
+GHVPPSSVRLMRCRQWSDFTRAAGMLSYTASAAWTAM
+>NM_004796|320748_2_2112_2191
+MAMTSLQSSLSRGIYTTFLTSETVPM
+>DECOY_NM_004796|320748_2_2112_2191
+MPVTESTLFTTYIGRSLSSQLSTMAM
+>NM_004796|320765_3_994_1220
+MVPCGHSARWQIRYYISEQQAHAIHRQWGERDPGPGRRHVPGRAAGEPCWPYSPHRAVDCHAQLWLRGLHPRPIH
+>DECOY_NM_004796|320765_3_994_1220
+HIPRPHLGRLWLQAHCDVARHPSYPWCPEGAARGPVHRRGPGPDREGWQRHIAHAQQESIYYRIQWRASHGCPVM
+>NM_003890|320880_2_1851_2038
+MVTQQTTSSRLTGLWLLTLWSSQVAGSWMMGTTCVRMAARTTVPPAPQARPNTMRATDSVAC
+>DECOY_NM_003890|320880_2_1851_2038
+CAVSDTARMTNPRAQPAPPVTTRAAMRVCTTGMMWSGAVQSSWLTLLWLGTLRSSTTQQTVM
+>NM_003890|320882_2_1938_2038
+MGTTCVRMAARTTVPPAPQARPNTMRATDSVAC
+>DECOY_NM_003890|320882_2_1938_2038
+CAVSDTARMTNPRAQPAPPVTTRAAMRVCTTGM
+>NM_003890|320883_2_1959_2038
+MAARTTVPPAPQARPNTMRATDSVAC
+>DECOY_NM_003890|320883_2_1959_2038
+CAVSDTARMTNPRAQPAPPVTTRAAM
+>NM_003890|320904_2_4686_4918
+MGVLRAASVTPASSTMAKPACPSSNAAATTMVSTMSRSRQSSLTTVGSSARAMRVKAWCARNTAASRGRCASPPEAS
+>DECOY_NM_003890|320904_2_4686_4918
+SAEPPSACRGRSAATNRACWAKVRMARASSGVTTLSSQRSRSMTSVMTTAAANSSPCAPKAMTSSAPTVSAARLVGM
+>NM_003890|320905_2_4731_4918
+MAKPACPSSNAAATTMVSTMSRSRQSSLTTVGSSARAMRVKAWCARNTAASRGRCASPPEAS
+>DECOY_NM_003890|320905_2_4731_4918
+SAEPPSACRGRSAATNRACWAKVRMARASSGVTTLSSQRSRSMTSVMTTAAANSSPCAPKAM
+>NM_003890|320906_2_4776_4918
+MVSTMSRSRQSSLTTVGSSARAMRVKAWCARNTAASRGRCASPPEAS
+>DECOY_NM_003890|320906_2_4776_4918
+SAEPPSACRGRSAATNRACWAKVRMARASSGVTTLSSQRSRSMTSVM
+>NM_003890|320911_2_5430_5992
+MAQCAGSAVTWTATPTMTRSSLMAHWLPPYPSGAAAGEPQAGTHCVGTNVGGPAQRALRTGWSSTRALASADPWPPAQGALSPPAMLMCHLRASSRAVFWTSAWVVGTVTFFARLWLPMWPPARLLGLSSKTGGHRLAVRSPAQKTATMRSVAHPARPAVRPLHPLRRQPYVRAPVWRAASATRVSC
+>DECOY_NM_003890|320911_2_5430_5992
+CSVRTASAARWVPARVYPQRRLPHLPRVAPRAPHAVSRMTATKQAPSRVALRHGGTKSSLGLLRAPPWMPLWLRAFFTVTGVVWASTWFVARSSARLHCMLMAPPSLAGQAPPWPDASALARTSSWGTRLARQAPGGVNTGVCHTGAQPEGAAAGSPYPPLWHAMLSSRTMTPTATWTVASGACQAM
+>NM_003890|320930_2_8379_8521
+MVPTMSRSRQSSLTTVGSSARAMWVKSWCARNTAASRGRCASPPEAS
+>DECOY_NM_003890|320930_2_8379_8521
+SAEPPSACRGRSAATNRACWSKVWMARASSGVTTLSSQRSRSMTPVM
+>NM_003890|320955_2_11982_12124
+MVSTMSRSRQSSLTTVGSSARAMWVKSWCARNTAASRGRCASPPEAS
+>DECOY_NM_003890|320955_2_11982_12124
+SAEPPSACRGRSAATNRACWSKVWMARASSGVTTLSSQRSRSMTSVM
+>NM_003890|320960_2_12636_13198
+MAQCAGSAVTWTATPTMTRSSLMAHWLPPYPSGAAAGEPQAGTHCVGTNVGGPAQRALRTGWSSTRALASADPFHLAQGAPSPPAMLMCHLRASSRAVFWTSAWVVGTVTFFARLWLPTWPPARPLGLSSKTGGHRLAVRSPAQKTATMRSVAHPAQPAVRPLHPLRRQPYVRAPVWRAASATRVSC
+>DECOY_NM_003890|320960_2_12636_13198
+CSVRTASAARWVPARVYPQRRLPHLPRVAPQAPHAVSRMTATKQAPSRVALRHGGTKSSLGLPRAPPWTPLWLRAFFTVTGVVWASTWFVARSSARLHCMLMAPPSPAGQALHFPDASALARTSSWGTRLARQAPGGVNTGVCHTGAQPEGAAAGSPYPPLWHAMLSSRTMTPTATWTVASGACQAM
+>NM_003890|320971_2_14397_14530
+MAATTHWARSSTRALSVSGAVSVGQVAMSPARRAQPVGPMRSAG
+>DECOY_NM_003890|320971_2_14397_14530
+GASRMPGVPQARRAPSMAVQGVSVAGSVSLARTSSRAWHTTAAM
+>NM_003890|320972_2_14535_14764
+MVSRPVMPQAVAAAWPTGASTTSPLMAVSTTCMAPAPMSWPKSATQSLGTRTFPSCLRRMQLEISNASWLLWLARL
+>DECOY_NM_003890|320972_2_14535_14764
+LRALWLLWSANSIELQMRRLCSPFTRTGLSQTASKPWSMPAPAMCTTSVAMLPSTTSAGTPWAAAVAQPMVPRSVM
+>NM_000626|321013_2_432_535
+MASTSVSRSATTPRRSTRAAAQSCESWDSAPWHS
+>DECOY_NM_000626|321013_2_432_535
+SHWPASDWSECSQAAARTSRRPTTASRSVSTSAM
+>NM_002866|321035_2_194_567
+MGRRSPRIRTSTTCSRFSSSATAAWARRPSSSAMLTTRSRLPSSAPWASTSRSRPSIATTRGSSCRSGTQQGKSGTGPSPPHTTGALWASSSCMTSPTRNPSMQCRTGPPRSRPTHGTMPRCCW
+>DECOY_NM_002866|321035_2_194_567
+WCCRPMTGHTPRSRPPGTRCQMSPNRTPSTMCSSSAWLAGTTHPPSPGTGSKGQQTGSRCSSGRTTAISPRSRSTSAWPASSPLRSRTTLMASSSPRRAWAATASSSFRSCTTSTRIRPSRRGM
+>NM_002353|321049_2_639_721
+MASTTPTATPRAASRRASATRRRCAGA
+>DECOY_NM_002353|321049_2_639_721
+AGACRRRTASARRSAARPTATPTTSAM
+>NM_022495|321181_3_1280_1413
+MAEYRKCFIGDSHCINSTLDLQYRHMVEQKPGYRTQTLTGWYHT
+>DECOY_NM_022495|321181_3_1280_1413
+THYWGTLTQTRYGPKQEVMHRYQLDLTSNICHSDGIFCKRYEAM
+>NM_022495|321182_3_1472_1857
+MDREKTTSKNNCHFMYTQHCLFSIRVGHHSFFYTTLFSLTPSFHPSCVLGGVSPTYSELARSSRHHSLCVCRYSVLLPNGAQVDCCTADCNGSWKFRSPPTWISLLGPFSGSFNVDNDSGMWLYLLLY
+>DECOY_NM_022495|321182_3_1472_1857
+YLLLYLWMGSDNDVNFSGSFPGLLSIWTPPSRFKWSGNCDATCCDVQAGNPLLVSYRCVCLSHHRSSRALESYTPSVGGLVCSPHFSPTLSFLTTYFFSHHGVRISFLCHQTYMFHCNNKSTTKERDM
+>NM_005786|321277_2_1614_1732
+MATRMAPATPGSLRPARRRSSSAWSVAAPTTRCSSSPPT
+>DECOY_NM_005786|321277_2_1614_1732
+TPPSSSCRTTPAAVSWASSSRRRAPRLSGPTAPAMRTAM
+>NM_005786|321278_2_1626_1732
+MAPATPGSLRPARRRSSSAWSVAAPTTRCSSSPPT
+>DECOY_NM_005786|321278_2_1626_1732
+TPPSSSCRTTPAAVSWASSSRRRAPRLSGPTAPAM
+>NM_001100167|321338_2_2051_2151
+MGSLARHGPCPSRWERICLAGGSALLWFLSLMP
+>DECOY_NM_001100167|321338_2_2051_2151
+PMLSLFWLLASGGALCIREWRSPCPGHRALSGM
+>NM_006982|321362_2_581_750
+MAKYNKRKAILLPPMIYQFCQGLTATHRFRTICGQEMQVVVLWLLHACYHVTLPPV
+>DECOY_NM_006982|321362_2_581_750
+VPPLTVHYCAHLLWLVVVQMEQGCITRFRHTATLGQCFQYIMPPLLIAKRKNYKAM
+>NM_002158|321378_2_844_917
+MARLMEKVPYGVLIRNINPILSRH
+>DECOY_NM_002158|321378_2_844_917
+HRSLIPNINRILVGYPVKEMLRAM
+>NM_002158|321381_2_1339_1556
+MAVKDFTVKKIQTLIMKMILLETVAMHHSLVQKSLKKGSQAKRCENRHVKKLMRSSKRQLDLCSTLLEFVHV
+>DECOY_NM_002158|321381_2_1339_1556
+VHVFELLTSCLDLQRKSSRMLKKVHRNECRKAQSGKKLSKQVLSHHMAVTELLIMKMILTQIKKVTFDKVAM
+>NM_005114|321427_2_450_730
+MAWPQTALPSSCRRPSSSACARAARAHCWRCSACTPTWRPRRTRSTSSTGRSITATAWAGTSARCPSPGHTSSQWRRPPRISRRPKCLSESTA
+>DECOY_NM_005114|321427_2_450_730
+ATSESLCKPRRSIRPPRRWQSSTHGPSPCRASTGAWATATISRGTSSTSRTRRPRWTPTCASCRWCHARAARACASSSPRRCSSPLATQPWAM
+>NM_005114|321428_2_864_1027
+MAGSMWTTRPSTAASTTCTCRTGCAFSRCATSTLWTATASSGTPSLRSKRSRGS
+>DECOY_NM_005114|321428_2_864_1027
+SGRSRKSRLSPTGSSATATWLTSTACRSFACGTRCTCTTSAATSPRTTWMSGAM
+>NM_003445|321575_2_584_1275
+MVMSPPRLKQDYPQFIQDRNLPRVGSVNSPSVMFPSLIFLSSYTQKRSLIHVMSVEKASVTSQLFMFIRESTWERNSLCVMCVARNLVKAHICKLIRESTLERNHSNVSNVGKVSVVDQHLMFIVNYTQERNLTFVRHVGRPSFMIPSLRNIRESILGRNHSNVIYVVRPSILGQDLRAIPWFTQEKNHLGVIHVIRAFIRDQHLIGIAWSTQERNRTDVSSVEKALLVG
+>DECOY_NM_003445|321575_2_584_1275
+GVLLAKEVSSVDTRNREQTSWAIGILHQDRIFARIVHIVGLHNKEQTFWPIARLDQGLISPRVVYIVNSHNRGLISERINRLSPIMFSPRGVHRVFTLNREQTYNVIFMLHQDVVSVKGVNSVNSHNRELTSERILKCIHAKVLNRAVCMVCLSNREWTSERIFMFLQSTVSAKEVSMVHILSRKQTYSSLFILSPFMVSPSNVSGVRPLNRDQIFQPYDQKLRPPSMVM
+>NM_030763|321635_2_534_745
+MEKRIKMEMRKEKMQKRKKMEKKVKTEKEMEKMEKRKEKMKKRKKTEKKQEMEKRMKMEKRREIKKRGKM
+>DECOY_NM_030763|321635_2_534_745
+MKGRKKIERRKEMKMRKEMEQKKETKKRKKMKEKRKEMKEMEKETKVKKEMKKRKQMKEKRMEMKIRKEM
+>NM_030763|321636_2_552_745
+MEMRKEKMQKRKKMEKKVKTEKEMEKMEKRKEKMKKRKKTEKKQEMEKRMKMEKRREIKKRGKM
+>DECOY_NM_030763|321636_2_552_745
+MKGRKKIERRKEMKMRKEMEQKKETKKRKKMKEKRKEMKEMEKETKVKKEMKKRKQMKEKRMEM
+>NM_030763|321639_2_591_745
+MEKKVKTEKEMEKMEKRKEKMKKRKKTEKKQEMEKRMKMEKRREIKKRGKM
+>DECOY_NM_030763|321639_2_591_745
+MKGRKKIERRKEMKMRKEMEQKKETKKRKKMKEKRKEMKEMEKETKVKKEM
+>NM_030763|321640_2_621_745
+MEKMEKRKEKMKKRKKTEKKQEMEKRMKMEKRREIKKRGKM
+>DECOY_NM_030763|321640_2_621_745
+MKGRKKIERRKEMKMRKEMEQKKETKKRKKMKEKRKEMKEM
+>NM_030763|321641_2_630_745
+MEKRKEKMKKRKKTEKKQEMEKRMKMEKRREIKKRGKM
+>DECOY_NM_030763|321641_2_630_745
+MKGRKKIERRKEMKMRKEMEQKKETKKRKKMKEKRKEM
+>NM_001153|321662_2_627_745
+MDGALKMTFALTHRSCSSECWCLCQLVGGMKEIIWTMLS
+>DECOY_NM_001153|321662_2_627_745
+SLMTWIIEKMGGVLQCLCWCESSCSRHTLAFTMKLAGDM
+>NM_004321|321746_2_2841_3070
+MALASASRELLKSPLMTSILKSSSPSLAPWWGCPAREPPRKSFASWRARARVQTWGPQPMKSTTTPVQQCPQKASS
+>DECOY_NM_004321|321746_2_2841_3070
+SSAKQPCQQVPTTTSKMPQPGWTQVRARARWSAFSKRPPERAPCGWWPALSPSSSKLISTMLPSKLLERSASALAM
+>NM_017644|321881_2_1922_2019
+MVKYISWAEDGKMEKPQTLFSVMILQQVSSQG
+>DECOY_NM_017644|321881_2_1922_2019
+GQSSVQQLIMVSFLTQPKEMKGDEAWSIYKVM
+>NM_005726|321960_2_749_969
+MGPWSSVRRLNRKQTLKTLAAALGSMWWAWPPSLLAPWTMSLGERQRLRCCPSRICWIPPLPWGSMCSLRGCR
+>DECOY_NM_005726|321960_2_749_969
+RCGRLSCMSGWPLPPIWCIRSPCCRLRQREGLSMTWPALLSPPWAWWMSGLAAALTKLTQKRNLRRVSSWPGM
+>NM_020886|321994_2_175_266
+MVTLLRQSAFSLMRELRSPVKTLLLQNHLK
+>DECOY_NM_020886|321994_2_175_266
+KLHNQLLLTKVPSRLERMLSFASQRLLTVM
+>NM_203447|322066_2_2421_2521
+MGGTACWLPTCTTSSACQRCKGMCPSQALPLPS
+>DECOY_NM_203447|322066_2_2421_2521
+SPLPLAQSPCMGKCRQCASSTTCTPLWCATGGM
+>NM_152444|322084_2_243_367
+MVIQWQRISEWKKSIYQIILMKDKYKLELFIFLWILTCVVE
+>DECOY_NM_152444|322084_2_243_367
+EVVCTLIWLFIFLELKYKDKMLIIQYISKKWESIRQWQIVM
+>NM_173511|322141_2_1033_1205
+MGTILLCPNMQPHQNLTTVICSKGNLLRAVKGSKSLRNARQNSFMKSQPFIVLTKTR
+>DECOY_NM_173511|322141_2_1033_1205
+RTKTLVIFPQSKMFSNQRANRLSKSGKVARLLNGKSCIVTTLNQHPQMNPCLLITGM
+>NM_001738|322175_2_234_334
+MVLNNGASCIPLPMEITSPLLILKPVKPNMTPL
+>DECOY_NM_001738|322175_2_234_334
+LPTMNPKVPKLILLPSTIEMPLPICSAGNNLVM
+>NM_015052|322251_2_780_910
+MASPFPAPPATLTWSPRTAAPRSWSAAPTIPSGTLRTWSSTGT
+>DECOY_NM_015052|322251_2_780_910
+TGTSSWTRLTGSPITPAASWSRPAATRPSWTLTAPPAPFPSAM
+>NM_015052|322254_2_2397_3037
+MGSARWTRWPLTRLPWKRTEKSPRGLLQARRTLATPGATSPAWPMARPRMATRTPAPGARATPAPGKAGTTVARAVTRPAAAPRATAPRATARPATAARATAPRATAPPATTATGSPATRASPPWTAPRSPRARSSPRKTTRRRRTARSSRYPTPCRALSWTRSPRTALGRGKTSWPPLAGTWKEARKVWNPPWQVQAIGEKVNVLYSIIPSQ
+>DECOY_NM_015052|322254_2_2397_3037
+QSPIISYLVNVKEGIAQVQWPPNWVKRAEKWTGALPPWSTKGRGLATRPSRTWSLARCPTPYRSSRATRRRRTTKRPSSRARPSRPATWPPSARTAPSGTATTAPPATARPATARAATAPRATARPATARPAAAPRTVARAVTTGAKGPAPTARAGPAPTRTAMRPRAMPWAPSTAGPTALTRRAQLLGRPSKETRKWPLRTLPWRTWRASGM
+>NM_015052|322256_2_2544_3037
+MATRTPAPGARATPAPGKAGTTVARAVTRPAAAPRATAPRATARPATAARATAPRATAPPATTATGSPATRASPPWTAPRSPRARSSPRKTTRRRRTARSSRYPTPCRALSWTRSPRTALGRGKTSWPPLAGTWKEARKVWNPPWQVQAIGEKVNVLYSIIPSQ
+>DECOY_NM_015052|322256_2_2544_3037
+QSPIISYLVNVKEGIAQVQWPPNWVKRAEKWTGALPPWSTKGRGLATRPSRTWSLARCPTPYRSSRATRRRRTTKRPSSRARPSRPATWPPSARTAPSGTATTAPPATARPATARAATAPRATARPATARPAAAPRTVARAVTTGAKGPAPTARAGPAPTRTAM
+>NM_015052|322262_3_5287_5375
+MGENYFSPQGTHMLQPTGSSTVSLVLHVV
+>DECOY_NM_015052|322262_3_5287_5375
+VVHLVLSVTSSGTPQLMHTGQPSFYNEGM
+>NM_012435|322286_3_1148_1302
+MGRGVHRYSSTGGRLRAGGRPGPPGPRGAPVCQHPGSGRPRAGGQPQKGSV
+>DECOY_NM_012435|322286_3_1148_1302
+VSGKQPQGGARPRGSGPHQCVPAGRPGPPGPRGGARLRGGTSSYRHVGRGM
+>NM_006296|322314_2_839_921
+MDFPTDIVPMGTTNSIRKILEKAIMGQ
+>DECOY_NM_006296|322314_2_839_921
+QGMIAKELIKRISNTTGMPVIDTPFDM
+>NM_022719|322385_2_257_345
+METWNGCARLPSSLALPWARCPGSPRHPM
+>DECOY_NM_022719|322385_2_257_345
+MPHRPSGPCRAWPLALSSPLRACGNWTEM
+>NM_006777|322455_2_310_437
+MDSSVMLPLLWKTENSGLTRIFFQLLVPTSISSSLLLGKLLN
+>DECOY_NM_006777|322455_2_310_437
+NLLKGLLLSSSISTPVLLQFFIRTLGSNETKWLLPLMVSSDM
+>NM_005877|322517_2_1639_1970
+MATQAAWPGPSRLPRPTSPSRSRLRPFTRPKAWCQRMTLKRRLAPASPMKSLNSHRHHLQPPTSPARLHPSLQCPDHPQCHLQFVLQLSPQYPSCPGPQWHLWSGCPQAQ
+>DECOY_NM_005877|322517_2_1639_1970
+QAQPCGSWLHWQPGPCSPYQPSLQLVFQLHCQPHDPCQLSPHLRAPSTPPQLHHRHSNLSKMPSAPALRRKLTMRQCWAKPRTFPRLRSRSPSTPRPLRSPGPWAAQTAM
+>NM_014575|322556_2_553_959
+MATWWWLAGRAVPGAPAGRSKSPSRLGAGAVAAARRLGGSAFSPQTAGRASPKSPRCHLWIGRRWRSIWPGCSSGSRRYGTRARRGPTPPPHRKMRESLSDRSWHLEASLMMAQEFIPAVAKVGSQAFPPDCRVG
+>DECOY_NM_014575|322556_2_553_959
+GVRCDPPFAQSGVKAVAPIFEQAMMLSAELHWSRDSLSERMKRHPPPTPGRRARTGYRRSGSSCGPWISRWRRGIWLHCRPSKPSARGATQPSFASGGLRRAAAVAGAGLRSPSKSRGAPAGPVARGALWWWTAM
+>NM_014575|322559_2_886_959
+MAQEFIPAVAKVGSQAFPPDCRVG
+>DECOY_NM_014575|322559_2_886_959
+GVRCDPPFAQSGVKAVAPIFEQAM
+>NM_030776|322685_2_752_912
+MDPTAGFPLQTPKPSRLDTGTSLQDRQSPGRTVPPVHATSLQWHQVIPQLGGP
+>DECOY_NM_030776|322685_2_752_912
+PGGLQPIVQHWQLSTAHVPPVTRGPSQRDQLSTGTDLRSPKPTQLPFGATPDM
+>NM_030776|322690_3_660_889
+MDDLPPRRFWKKSKVSLNYLPAQSNQHDLPEWTQQLDFHCKLRSHPDWTREHHYKTDSLQGGRFRRSTPPPFNGTR
+>DECOY_NM_030776|322690_3_660_889
+RTGNFPPPTSRRFRGGQLSDTKYHHERTWDPHSRLKCHFDLQQTWEPLDHQNSQAPLYNLSVKSKKWFRRPPLDDM
+>NM_001013742|322738_2_106_452
+MESSPLSLQSLRRLGRRRHHHRLRRRLRRCSPRLRQNPYQSPVQSLLQVPVQRRPQNQPQNCTQNRPQNQPQSRPQNRPQNLPQSRPQNLPQSRPQNRPQNLPQSLPQSRLQNLP
+>DECOY_NM_001013742|322738_2_106_452
+PLNQLRSQPLSQPLNQPRNQPRSQPLNQPRSQPLNQPRNQPRSQPQNQPRNQTCNQPQNQPRRQVPVQLLSQVPSQYPNQRLRPSCRRLRRRLRHHHRRRGLRRLSQLSLPSSEM
+>NM_001013742|322750_2_2743_2858
+MAFWEPKNFCSALTGNWKNECIWSVMEKPSPCQTCKAL
+>DECOY_NM_001013742|322750_2_2743_2858
+LAKCTQCPSPKEMVSWICENKWNGTLASCFNKPEWFAM
+>NM_001013742|322754_2_2947_3047
+MGNWRWWQSLVLCRWQCPVSSTCIIIALPSAMR
+>DECOY_NM_001013742|322754_2_2947_3047
+RMASPLAIIICTSSVPCQWRCLVLSQWWRWNGM
+>NM_003564|322798_2_380_459
+MALTPLTSSKLWTSGKERTWPVCSGR
+>DECOY_NM_003564|322798_2_380_459
+RGSCVPWTREKGSTWLKSSTLPTLAM
+>NM_003564|322800_2_494_591
+MGSSLGIPTGSLRNPRRILGTSQITSCKRART
+>DECOY_NM_003564|322800_2_494_591
+TRARKCSTIQSTGLIRRPNRLSGTPIGLSSGM
+>NM_001334|322810_2_650_774
+MVCAITFLVHILDFQSKVILHMTSVTKKMKWQKHFLPLALW
+>DECOY_NM_001334|322810_2_650_774
+WLALPLFHKQWKMKKTVSTMHLIVKSQFDLIHVLFTIACVM
+>NM_032017|322885_2_717_805
+MAWCTTTASSRTAPVKSLRTQNPAGWLRR
+>DECOY_NM_032017|322885_2_717_805
+RRLWGAPNQTRLSKVPATRSSATTTCWAM
+>NM_032017|322888_2_1293_1429
+MDGFLRTPCVSSGSCWSLTPSSAWPPPTSWRPSVPSLHHGSPCHL
+>DECOY_NM_032017|322888_2_1293_1429
+LHCPSGHHLSPVSPRWSTPPPWASSPTLSWCSGSSVCPTRLFGDM
+>NM_007231|322920_3_1036_1115
+MERCCHSDILLPFSGLGWLSCSIILQ
+>DECOY_NM_007231|322920_3_1036_1115
+QLIISCSLWGLGSFPLLIDSHCCREM
+>NM_007231|322922_3_1672_1751
+MVESLLVCNYAYPFDCNIYLVIGAIS
+>DECOY_NM_007231|322922_3_1672_1751
+SIAGIVLYINCDFPYAYNCVLLSEVM
+>NM_032632|323002_3_896_1020
+MGQTPQHLFQYIRFPRWCFLGYASSKNLPALSKCNSINSCT
+>DECOY_NM_032632|323002_3_896_1020
+TCSNISNCKSLAPLNKSSAYGLFCWRPFRIYQFLHQPTQGM
+>NM_003733|323037_3_329_519
+MENHVAKPGPAGPRARGPEDGAESPRCSRLHHPDQGDCGAHHGHHCACLQSPGAFSSQLPATP
+>DECOY_NM_003733|323037_3_329_519
+PTAPLQSSFAGPSQLCACHHGHHAGCDGQDPHHLRSCRPSEAGDEPGRARPGAPGPKAVHNEM
+>NM_174917|323053_2_1727_1851
+MASTGSEAGPQWTSSRLEATRSAPWRWSGTCWPTPASQMWL
+>DECOY_NM_174917|323053_2_1727_1851
+LWMQSAPTPWCTGSWRWPASRTAELRSSTWQPGAESGTSAM
+>NM_174917|323058_3_684_1144
+MDEWRCGSPPLQEASRGPAGVCHLRLPELCGPCQPGVPGAPEPGGQEAGGPAAAAHTSHLHWSSRGTGRGPGPRAGMEEQGRHDHLHQWDHGEAQGRAEHAPKHQGCGDRAGPQVGMDQRRRDPPRAPAAPRPWCGQRAALSSLGGSHLCDDA
+>DECOY_NM_174917|323058_3_684_1144
+ADDCLHSGGLSSLAARQGCWPRPAAPARPPDRRRQDMGVQPGARDGCGQHKPAHEARGQAEGHDWQHLHDHRGQEEMGARPGPGRGTGRSSWHLHSTHAAAAPGGAEQGGPEPAGPVGPQCPGCLEPLRLHCVGAPGRSAEQLPPSGCRWEDM
+>NM_174917|323059_3_912_1144
+MEEQGRHDHLHQWDHGEAQGRAEHAPKHQGCGDRAGPQVGMDQRRRDPPRAPAAPRPWCGQRAALSSLGGSHLCDDA
+>DECOY_NM_174917|323059_3_912_1144
+ADDCLHSGGLSSLAARQGCWPRPAAPARPPDRRRQDMGVQPGARDGCGQHKPAHEARGQAEGHDWQHLHDHRGQEEM
+>NM_174917|323060_3_1032_1144
+MDQRRRDPPRAPAAPRPWCGQRAALSSLGGSHLCDDA
+>DECOY_NM_174917|323060_3_1032_1144
+ADDCLHSGGLSSLAARQGCWPRPAAPARPPDRRRQDM
+>NM_174917|323061_3_1872_2032
+MGPAGHCCGDPPRRTLTVPQGAQRVGQKCPGPVRGALGAGAGGGDPAEPDGQD
+>DECOY_NM_174917|323061_3_1872_2032
+DQGDPEAPDGGGAGAGLAGRVPGPCKQGVRQAGQPVTLTRRPPDGCCHGAPGM
+>NM_003146|323141_2_445_557
+MDLNCLQRMAMSTSMMASENRSLRNSLISSKLTIALS
+>DECOY_NM_003146|323141_2_445_557
+SLAITLKSSILSNRLSRNESAMMSTSMAMRQLCNLDM
+>NM_003146|323142_2_469_557
+MAMSTSMMASENRSLRNSLISSKLTIALS
+>DECOY_NM_003146|323142_2_469_557
+SLAITLKSSILSNRLSRNESAMMSTSMAM
+>NM_000060|323174_2_440_576
+MAFMDSTLQEHPFIHFWTSCRLPRWSGGTHAWSLTASMTQRCSSA
+>DECOY_NM_000060|323174_2_440_576
+ASSCRQTMSATLSWAHTGGSWRPLRCSTWFHIFPHEQLTSDMFAM
+>NM_000060|323176_2_668_891
+MGDTSSTQMSCSAIMEPLLTATVNTTSTLRQHSMFLLKWISSPLIPPLLAGLASSHALIYCSLTLPSESSETTR
+>DECOY_NM_000060|323176_2_668_891
+RTTESSESPLTLSCYILAHSSALGALLPPILPSSIWKLLFMSHQRLTSTTNVTATLLPEMIASCSMQTSSTDGM
+>NM_000060|323178_2_710_891
+MEPLLTATVNTTSTLRQHSMFLLKWISSPLIPPLLAGLASSHALIYCSLTLPSESSETTR
+>DECOY_NM_000060|323178_2_710_891
+RTTESSESPLTLSCYILAHSSALGALLPPILPSSIWKLLFMSHQRLTSTTNVTATLLPEM
+>NM_014779|323206_2_519_619
+MALRRSASAALPKRRLTMLGMRRLPGPSPQTSS
+>DECOY_NM_014779|323206_2_519_619
+SSTQPSPGPLRRMGLMTLRRKPLAASASRRLAM
+>NM_005884|323227_2_578_1338
+MGCQRSRPPRPEGAQGRQAAEAGSPVTARRVAAVVTGDGRGQRRGPSLPGRAQGVPRSPPGTNAPSPGLMSAPPSLLVWPVGRNWQLAGPLTPTRGLTRTTHPGVPRGSLMTWPLTGHQRGAWPSPSPPPPPPGLPPEPEVPPALECWDPTPQSPSWPLQPAPPPPLLFLGPLAPAHHSGSHSEYPMSSSGLPCSWWWTQATPAPTWTTSSRLARAPRASCASPPCAARASWWPSRRWTCASSRGASCSSTRW
+>DECOY_NM_005884|323227_2_578_1338
+WRTSSCSAGRSSACTWRRSPWWSARAACPPSACSARPARALRSSTTWTPAPTAQTWWWSCPLGSSSMPYESHSGSHHAPALPGLFLLPPPPAPQLPWSPSQPTPDWCELAPPVEPEPPLGPPPPPPSPSPWAGRQHGTLPWTMLSGRPVGPHTTRTLGRTPTLPGALQWNRGVPWVLLSPPASMLGPSPANTGPPSRPVGQARGPLSPGRRQGRGDGTVVAAVRRATVPSGAEAAQRGQAGEPRPPRSRQCGM
+>NM_002471|323295_2_2159_2247
+MACWRASASAGRASPTASSTGTSGRGIAS
+>DECOY_NM_002471|323295_2_2159_2247
+SAIGRGSTGTSSATPSARGASASARWCAM
+>NM_015336|323446_2_362_471
+MEYMNAVENWWKQVMMYGNRTKKMLPSSIGLPSITE
+>DECOY_NM_015336|323446_2_362_471
+ETISPLGISSPLMKKTRNGYMMVQKWWNEVANMYEM
+>NM_144680|323510_3_988_1079
+MDQYPGSRTGHLIREDGISKLPSGGSGAPS
+>DECOY_NM_144680|323510_3_988_1079
+SPAGSGGSPLKSIGDERILHGTRSGPYQDM
+>NM_006298|323528_2_275_372
+MVGTRNLVCMKVTLLAKKCSACASGSYATRRH
+>DECOY_NM_006298|323528_2_275_372
+HRRTAYSGSACASCKKALLTVKMCVLNRTGVM
+>NM_018704|323592_2_1393_1496
+MVGVLWGLRLQSQCPVPSLPVGAHCLPAALPPPL
+>DECOY_NM_018704|323592_2_1393_1496
+LPPPLAAPLCHAGVPLSPVPCQSQLRLGWLVGVM
+>NM_003864|323634_2_455_534
+MVSGAAGRQATPASARGSRRASPRRR
+>DECOY_NM_003864|323634_2_455_534
+RRRPSARRSGRASAPTAQRGAAGSVM
+>NM_002924|323695_2_349_422
+MGRPATGWPMNHPTCWCTERWKTS
+>DECOY_NM_002924|323695_2_349_422
+STKWRETCWCTPHNMPWGTAPRGM
+>NM_002924|323697_2_445_527
+MEFLFVRSKAFFPRYLASSLVQTLFNG
+>DECOY_NM_002924|323697_2_445_527
+GNFLTQVLSSALYRPFFAKSRVFLFEM
+>NM_002924|323700_2_640_950
+MAPFTGFKPPIFGHQIVGSRKTQIMPFTSAREQCKTRHDWSSQTMRLRAWPGCREHLPGSGSSFSCKQKHKQKWTRRETRLKGRSLTAKRERSGTCTGPCLDV
+>DECOY_NM_002924|323700_2_640_950
+VDLCPGTCTGSRERKATLSRGKLRTERRTWKQKHKQKCSFSSGSGPLHERCGPWARLRMTQSSWDHRTKCQERASTFPMIQTKRSGVIQHGFIPPKFGTFPAM
+>NM_017784|323743_2_2386_2495
+MEKPKSSTQPHCQCIPRRSDLLRSRDPWSPGTSGGR
+>DECOY_NM_017784|323743_2_2386_2495
+RGGSTGPSWPDRSRLLDSRRPICQCHPQTSSKPKEM
+>NM_017784|323750_3_1334_1443
+MARVQVTFHRAAEKWDTWLFAISQCQHNLGNFTKLC
+>DECOY_NM_017784|323750_3_1334_1443
+CLKTFNGLNHQCQSIAFLWTDWKEAARHFTVQVRAM
+>NM_017784|323752_3_2354_2451
+MEWYFRVHLQQWRNQSHRHNHTASVSQEDQTS
+>DECOY_NM_017784|323752_3_2354_2451
+STQDEQSVSATHNHRHSQNRWQQLHVRFYWEM
+>NM_019597|323778_2_282_370
+MAHQVFVSSTPEKADQVVKHLLNLNLKRK
+>DECOY_NM_019597|323778_2_282_370
+KRKLNLNLLHKVVQDAKEPTSSVFVQHAM
+>NM_019597|323779_2_498_592
+MASSGLEDSHLAVARKRLFSSFQGWKLCQMG
+>DECOY_NM_019597|323779_2_498_592
+GMQCLKWGQFSSFLRKRAVALHSDELGSSAM
+>NM_019597|323782_2_888_1048
+MEAMMTMVAIMMDMALGLIDLEETSITVFQECLIIDTEMVGPVSRAPQGTVYT
+>DECOY_NM_019597|323782_2_888_1048
+TYVTGQPARSVPGVMETDIILCEQFVTISTEELDILGLAMDMMIAVMTMMAEM
+>NM_019597|323785_2_906_1048
+MVAIMMDMALGLIDLEETSITVFQECLIIDTEMVGPVSRAPQGTVYT
+>DECOY_NM_019597|323785_2_906_1048
+TYVTGQPARSVPGVMETDIILCEQFVTISTEELDILGLAMDMMIAVM
+>NM_019597|323787_2_921_1048
+MDMALGLIDLEETSITVFQECLIIDTEMVGPVSRAPQGTVYT
+>DECOY_NM_019597|323787_2_921_1048
+TYVTGQPARSVPGVMETDIILCEQFVTISTEELDILGLAMDM
+>NM_019597|323788_2_927_1048
+MALGLIDLEETSITVFQECLIIDTEMVGPVSRAPQGTVYT
+>DECOY_NM_019597|323788_2_927_1048
+TYVTGQPARSVPGVMETDIILCEQFVTISTEELDILGLAM
+>NM_018047|323948_2_198_307
+MGRNAKSVPGHSQCFAGALESACVSRRLKCAKPAVN
+>DECOY_NM_018047|323948_2_198_307
+NVAPKACKLRRSVCASELAGAFCQSHGPVSKANRGM
+>NM_018047|323949_2_345_583
+MACPSRFVTQDCLLKMTCQSQMSTKSTIHRIWRERFLTLMEHGQLACWGKPHLPVTCCSNWPGPHPTTKGIDPTFAPSG
+>DECOY_NM_018047|323949_2_345_583
+GSPAFTPDIGKTTPHPGPWNSCCTVPLHPKGWCALQGHEMLTLFRERWIRHITSKTSMQSQCTMKLLCDQTVFRSPCAM
+>NM_133339|324026_2_522_640
+MVFKYKSGLIQFYQTSKKMISRGCLILNQASICFPISLR
+>DECOY_NM_133339|324026_2_522_640
+RLSIPFCISAQNLILCGRSIMKKSTQYFQILGSKYKFVM
+>NM_032204|324064_2_1966_2048
+MATRWAPMMQTLMTSSSAAGHSPSLRC
+>DECOY_NM_032204|324064_2_1966_2048
+CRLSPSHGAASSSTMLTQMMPAWRTAM
+>NM_032204|324067_2_2254_2366
+MGRAARQPRNAGRRKPTRRQEPTTTGEPWPTARGAKA
+>DECOY_NM_032204|324067_2_2254_2366
+AKAGRATPWPEGTTTPEQRRTPKRRGANRPQRAARGM
+>NM_001376|324194_2_684_799
+MVIKWLLQLKRRLQNSKWDSFTCSKILKFRRSACRFIQ
+>DECOY_NM_001376|324194_2_684_799
+QIFRCASRRFKLIKSCTFSDWKSNQLRRKLQLLWKIVM
+>NM_001376|324202_2_3738_3886
+MASAYWKSKGSSSHLPGFILTTSRESGEPSMTSCGERTLPFSSRWQTCK
+>DECOY_NM_001376|324202_2_3738_3886
+KCTQWRSSFPLTREGCSTMSPEGSERSTTLIFGPLHSSSGKSKWYASAM
+>NM_001376|324211_2_6747_6823
+MEKKLVECGLKRFSSSIRSPRSIMA
+>DECOY_NM_001376|324211_2_6747_6823
+AMISRPSRISSSFRKLGCEVLKKEM
+>NM_001376|324219_2_8184_8266
+MGPRGSYPSSDRWWSTEAFTVPQIKHG
+>DECOY_NM_001376|324219_2_8184_8266
+GHKIQPVTFAETSWWRDSSPYSGRPGM
+>NM_001376|324234_3_6985_7100
+MDRWALHTRAEKDHRQRERRAAEAPVDRLRWRCGSRVG
+>DECOY_NM_001376|324234_3_6985_7100
+GVRSGCRWRLRDVPAEAARRERQRHDKEARTHLAWRDM
+>NM_004932|324250_2_579_718
+MDHLNISFQEMEQEISSLLMKTQATYRPPRGWTGKKNPFTSFELKL
+>DECOY_NM_004932|324250_2_579_718
+LKLEFSTFPNKKGTWGRPPRYTAQTKMLLSSIEQEMEQFSINLHDM
+>NM_004932|324251_2_609_718
+MEQEISSLLMKTQATYRPPRGWTGKKNPFTSFELKL
+>DECOY_NM_004932|324251_2_609_718
+LKLEFSTFPNKKGTWGRPPRYTAQTKMLLSSIEQEM
+>NM_015626|324287_3_705_808
+MASLQIWTRSATSCYRVEQWAYQNMGCIYRKTPP
+>DECOY_NM_015626|324287_3_705_808
+PPTKRYICGMNQYAWQEVRYCSTASRTWIQLSAM
+>NM_012194|324403_2_428_519
+MGCLCQLLRIQKQRPMRLSLHFSRLQNQGP
+>DECOY_NM_012194|324403_2_428_519
+PGQNQLRSFHLSLRMPRQKQIRLLQCLCGM
+>NM_012194|324406_2_827_927
+MGPLLQLETCSSQAKFLIFFPHLGHFPGGKRTV
+>DECOY_NM_012194|324406_2_827_927
+VTRKGGPFHGLHPFFILFKAQSSCTELQLLPGM
+>NM_012194|324416_2_3956_4083
+MEAPSRPPNPLKPGRAGRPVRMALSSATNQGSPAQGDAHPRM
+>DECOY_NM_012194|324416_2_3956_4083
+MRPHADGQAPSGQNTASSLAMRVPRGARGPKLPNPPRSPAEM
+>NM_012194|324430_3_201_451
+MAFRAVPNRGSPFSRFIHTWVFEPHGRTVPSVSPSPSTWKSSSASRWKPLMVNVGSGFRSCIHPADQSWGAWKSAQWGVFANF
+>DECOY_NM_012194|324430_3_201_451
+FNAFVGWQASKWAGWSQDAPHICSRFGSGVNVMLPKWRSASSSKWTSPSPSVSPVTRGHPEFVWTHIFRSFPSGRNPVARFAM
+>NM_020962|324511_2_433_506
+MGTPCWSTTTYTCCPMVPCGCPSH
+>DECOY_NM_020962|324511_2_433_506
+HSPCGCPVMPCCTYTTTSWCPTGM
+>NM_020962|324520_2_2383_2648
+MAMQQCGRARRRRRRHQTCLSRGDHPCLQPTSMRNQTAPHPSGFGGKSQISPQSRLSTTLCASAPGGSGMPPWSPITPVLEKTSSLAA
+>DECOY_NM_020962|324520_2_2383_2648
+AALSSTKELVPTIPSWPPMGSGGPASACLTTSLRSQPSIQSKGGFGSPHPATQNRMSTPQLCPHDGRSLCTQHRRRRRRARGCQQMAM
+>NM_020962|324526_2_3556_3866
+MGGRSHPQPAGTRWRLKSLSTLTLVHLTGTLTSISKTWSLRTPCLQRLLISSRVLGIQGRGQPGWTGSWEGVSWQPPGQTDLPACQRQPVLPAPTRTSSQARC
+>DECOY_NM_020962|324526_2_3556_3866
+CRAQSSTRTPAPLVPQRQCAPLDTQGPPQWSVGEWSGTWGPQGRGQIGLVRSSILLRQLCPTRLSWTKSISTLTGTLHVLTLTSLSKLRWRTGAPQPHSRGGM
+>NM_172231|324550_2_1531_1646
+MGRAADKDGPGQALHRRLPASRRAGKVYGDLQGPEGGP
+>DECOY_NM_172231|324550_2_1531_1646
+PGGEPGQLDGYVKGARRSAPLRRHLAQGPGDKDAARGM
+>NM_018929|324590_2_1537_1664
+MDGSLPSVPLTMNCCRCCRLWWGFETPALPHCMPTHLCMCLS
+>DECOY_NM_018929|324590_2_1537_1664
+SLCMCLHTPMCHPLAPTEFGWWLRCCRCCNMTLPVSPLSGDM
+>NM_018929|324597_2_1951_2093
+MVTLHSPPQPQCCWFWRMRTLRKCPNPVTSSYTLLSVQTLPFTSLWL
+>DECOY_NM_018929|324597_2_1951_2093
+LWLSTFPLTQVSLLTYSSTVPNPCKRLTRMRWFWCCQPQPPSHLTVM
+>NM_018929|324605_3_35_117
+MASAVHVVLVLLGLGVWAASLLSGGGV
+>DECOY_NM_018929|324605_3_35_117
+VGGGSLLSAAWVGLGLLVLVVHVASAM
+>NM_005542|324698_2_845_939
+MVSISIHPQISSIFVLGSLVYFSQEASRWGT
+>DECOY_NM_005542|324698_2_845_939
+TGWRSAEQSFYVLSGLVFISSIQPHISISVM
+>NM_145119|324771_2_359_552
+MEEGMLMSVSGHPRASGKGLPARERRTPKSQCTDQPPVKPPRGADRHFPLLVVVGTTARVREPT
+>DECOY_NM_145119|324771_2_359_552
+TPERVRATTGVVVLLPFHRDAGRPPKVPPQDTCQSKPTRRERAPLGKGSARPHGSVSMLMGEEM
+>NM_145119|324784_2_1592_1734
+MAAITLKKFENHLFRKSRHPWKKEKFLGSSTMRMTVAVRGIMILVTS
+>DECOY_NM_145119|324784_2_1592_1734
+STVLIMIGRVAVTMRMTSSGLFKEKKWPHRSKRFLHNEFKKLTIAAM
+>NM_032292|324919_2_722_849
+MVDSSFQWKNKTMKKVRKGEKRKRVPRGNEMEGVKKGPWHMT
+>DECOY_NM_032292|324919_2_722_849
+TMHWPGKKVGEMENGRPVRKRKEGKRVKKMTKNKWQFSSDVM
+>NM_032292|324942_2_4412_4524
+MGQKKRKKRTLMTSPKMRKMKCHQLLRNLCFLSQNSR
+>DECOY_NM_032292|324942_2_4412_4524
+RSNQSLFCLNRLLQHCKMKRMKPSTMLTRKKRKKQGM
+>NM_001037533|325046_2_4412_4539
+MGQKKRKKRTLMTSPKMRKMKCHQLLRNLCFLSQNSRKQWRN
+>DECOY_NM_001037533|325046_2_4412_4539
+NRWQKRSNQSLFCLNRLLQHCKMKRMKPSTMLTRKKRKKQGM
+>NM_152498|325099_3_258_346
+MAKIHSRLREESGHVGLVHQSQSAVPRYL
+>DECOY_NM_152498|325099_3_258_346
+LYRPVASQSQHVLGVHGSEERLRSHIKAM
+>NM_014071|325305_2_2867_2967
+MGCLSMHLSVELPMEIRCPVVKIQASQSIRMSR
+>DECOY_NM_014071|325305_2_2867_2967
+RSMRISQSAQIKVVPCRIEMPLEVSLHMSLCGM
+>NM_006293|325371_2_459_535
+MGLWSRTWTSCTSQSASSTGSASSA
+>DECOY_NM_006293|325371_2_459_535
+ASSASGTSSASQSTCSTWTRSWLGM
+>NM_006293|325375_2_2046_2119
+MGTCMPSCSPPGLGRTPLTYPSRP
+>DECOY_NM_006293|325375_2_2046_2119
+PRSPYTLPTRGLGPPSCSPMCTGM
+>NM_012401|325425_2_4760_4929
+MEPPSSCPRWGSPSSRRTASRTCLGSAMPSWRRRTGCGTWCGRPTRWTRASPREAA
+>DECOY_NM_012401|325425_2_4760_4929
+AAERPSARTWRTPRGCWTGCGTRRRWSPMASGLCTRSATRRSSPSGWRPCSSPPEM
+>NM_017883|325465_2_1226_1347
+MVAASERSLTPIALNCSAAPSSLSTTTSLWWGTPSTTCMS
+>DECOY_NM_017883|325465_2_1226_1347
+SMCTTSPTGWWLSTTTSLSSPAASCNLAIPTLSRESAAVM
+>NM_000445|325618_2_301_431
+MATTSSPCWRSSRGTACPGRRGGCVSTSCRMSRLPWTTSGTAR
+>DECOY_NM_000445|325618_2_301_431
+RATGSTTWPLRSMRCSTSVCGGRRGPCATGRSSRWCPSSTTAM
+>NM_000445|325637_2_12199_12314
+MASACWRPRSPRAASSTLRRATGCPWRWPTSAASSMRR
+>DECOY_NM_000445|325637_2_12199_12314
+RRMSSAASTPWRWPCGTARRLTSSAARPSRPRWCASAM
+>NM_004269|325656_2_131_240
+MGCGTRRRWRAGRRPLLRTSRTTYIRSTGTSMSWNV
+>DECOY_NM_004269|325656_2_131_240
+VNWSMSTGTSRIYTTRSTRLLPRRGARWRRRTGCGM
+>NM_001001671|325826_2_2544_2770
+MVPQPISGPWAAPSLRWPPASLRSMSLVSRRQPCSKWACLRSTLRFQKPFQLKPEPSFYPVSSLTPTNVPPLLSY
+>DECOY_NM_001001671|325826_2_2544_2770
+YSLLPPVNTPTLSSVPYFSPEPKLQFPKQFRLTSRLCAWKSCPQRRSVLSMSRLSAPPWRLSPAAWPGSIPQPVM
+>NM_016132|326261_2_929_1038
+MARAEEWALSLLSKQLKQFKQFLCSMGSFYLIDLCM
+>DECOY_NM_016132|326261_2_929_1038
+MCLDILYFSGMSCLFQKFQKLQKSLLSLAWEEARAM
+>NM_016132|326265_2_1085_1173
+MVKHHNYHVVLEALGWDLVRVDSLLVPAS
+>DECOY_NM_016132|326265_2_1085_1173
+SAPVLLSDVRVLDWGLAELVVHYNHHKVM
+>NM_182483|326458_2_1338_1429
+MENSEATKTHPMPSFWSLSAEGRCQQSFGG
+>DECOY_NM_182483|326458_2_1338_1429
+GGFSQQCRGEASLSWFSPMPHTKTAESNEM
+>NM_006874|326482_2_1465_1556
+METKSPCSLPKLLPSQLHSLHSVNCRQSQI
+>DECOY_NM_006874|326482_2_1465_1556
+IQSQRCNVSHLSHLQSPLLKPLSCPSKTEM
+>NM_006313|326597_2_226_326
+MVMPSHLRNILLMNWITYCCQLKVGINLSAGTH
+>DECOY_NM_006313|326597_2_226_326
+HTGASLNIGVKLQCCYTIWNMLLINRLHSPMVM
+>NM_006313|326602_2_1846_2078
+MAQMAYMKKAHQVKWKQMSQMMNPARIKNFPQRMKTVSLKIQLEEIMILKMDYVLRILAKVNSRDTKNDCLHSSSTT
+>DECOY_NM_006313|326602_2_1846_2078
+TTSSSHLCDNKTDRSNVKALIRLVYDMKLIMIEELQIKLSVTKMRQPFNKIRAPNMMQSMQKWKVQHAKKMYAMQAM
+>NM_006313|326610_2_1996_2078
+MDYVLRILAKVNSRDTKNDCLHSSSTT
+>DECOY_NM_006313|326610_2_1996_2078
+TTSSSHLCDNKTDRSNVKALIRLVYDM
+>NM_006313|326611_2_2572_2798
+MEGWEEDTILLLQKIKMMENGTILMTVVSPLHLKTKLCPKQHMYSSTRDKTLSVELAFFLLTEKLKVLQLPLASH
+>DECOY_NM_006313|326611_2_2572_2798
+HSALPLQLVKLKETLLFFALEVSLTKDRTSSYMHQKPCLKTKLHLPSVVTMLITGNEMMKIKQLLLITDEEWGEM
+>NM_006313|326613_2_2623_2798
+MENGTILMTVVSPLHLKTKLCPKQHMYSSTRDKTLSVELAFFLLTEKLKVLQLPLASH
+>DECOY_NM_006313|326613_2_2623_2798
+HSALPLQLVKLKETLLFFALEVSLTKDRTSSYMHQKPCLKTKLHLPSVVTMLITGNEM
+>NM_201286|326638_2_2051_2202
+MELWKVATIPASSGNKRTSGSAVMMPSSPRLPLRTYSTVKGIYCSITNRV
+>DECOY_NM_201286|326638_2_2051_2202
+VRNTISCYIGKVTSYTRLPLRPSSPMMVASGSTRKNGSSAPITAVKWLEM
+>NM_003446|326677_2_352_611
+MVTQDLSHCCVAMVLLGIMPSGMIMTFFTIRRFKHWIKMLNIMDAGKPSMRKQALLDVKEHPEEIKTLNVMNVGKLTVGSQTLLNI
+>DECOY_NM_003446|326677_2_352_611
+INLLTQSGVTLKGVNMVNLTKIEEPHEKVDLLAQKRMSPKGADMINLMKIWHKFRRITFFTMIMGSPMIGLLVMAVCCHSLDQTVM
+>NM_003446|326678_2_388_611
+MVLLGIMPSGMIMTFFTIRRFKHWIKMLNIMDAGKPSMRKQALLDVKEHPEEIKTLNVMNVGKLTVGSQTLLNI
+>DECOY_NM_003446|326678_2_388_611
+INLLTQSGVTLKGVNMVNLTKIEEPHEKVDLLAQKRMSPKGADMINLMKIWHKFRRITFFTMIMGSPMIGLLVM
+>NM_003446|326683_2_478_611
+MDAGKPSMRKQALLDVKEHPEEIKTLNVMNVGKLTVGSQTLLNI
+>DECOY_NM_003446|326683_2_478_611
+INLLTQSGVTLKGVNMVNLTKIEEPHEKVDLLAQKRMSPKGADM
+>NM_003410|326833_2_268_404
+MGLNYNKSQTHFLMQQELMVHTWMVIKLLWKYKKLFLFQMLWIQT
+>DECOY_NM_003410|326833_2_268_404
+TQIWLMQFLFLKKYKWLLKIVMWTHVMLEQQMLFHTQSKNYNLGM
+>NM_005756|326905_2_2436_2542
+MGLDPMGNSPMVHRMTSAGSTTMQYSTLRWWDISV
+>DECOY_NM_005756|326905_2_2436_2542
+VSIDWWRLTSYQMTTSGASTMRHVMPSNGMPDLGM
+>NM_004604|326937_2_603_703
+MGSCPSNSWSSSTSAIQCSPNTGRRTWSGFGGS
+>DECOY_NM_004604|326937_2_603_703
+SGGFGSWTRRGTNPSCQIASTSSSWSNSPCSGM
+>NM_001083893|327112_2_1153_1244
+MVKELEKHGVRGMAQNGIKMTSPQLLRFGM
+>DECOY_NM_001083893|327112_2_1153_1244
+MGFRLLQPSTMKIGNQAMGRVGHKELEKVM
+>NM_014786|327160_2_1363_1436
+MEDLSLKRVDSGSPCQIQISPQRP
+>DECOY_NM_014786|327160_2_1363_1436
+PRQPSIQIQCPSGSDVRKLSLDEM
+>NM_014786|327164_2_2062_2186
+MALGLRTVWAGGPWCRLRPLPHQVPSADDAKSHLQVLVGAN
+>DECOY_NM_014786|327164_2_2062_2186
+NAGVLVQLHSKADDASPVQHPLPRLRCWPGGAWVTRLGLAM
+>NM_014786|327177_3_4568_4899
+MGLQQRRLRGPGVPAEPARRAGRGGLHRRLFRPHPLHRGGARAAASLPPGASSVAEESSRDGTGARRAGAGRRGRCRRGSRDARGAGAAALPSHLHCRLGLGDDAGPRRG
+>DECOY_NM_014786|327177_3_4568_4899
+GRRPGADDGLGLRCHLHSPLAAAGAGRADRSGRRCRGRRGAGARRAGTGDRSSEEAVSSAGPPLSAAARAGGRHLPHPRFLRRHLGGRGARRAPEAPVGPGRLRRQQLGM
+>NM_015556|327235_2_4261_4454
+MGTAQNPNSTAITICKAPLLTVALTPPLMAPATAAQPRWGLPHRHLAQGQARRKWHPYGTAPVK
+>DECOY_NM_015556|327235_2_4261_4454
+KVPATGYPHWKRRAQGQALHRHPLGWRPQAATAPAMLPPTLAVTLLPAKCITIATSNPNQATGM
+>NM_178424|327257_2_2254_2339
+MEIFRVQCQNALVIMKTGTQNMRVSFQL
+>DECOY_NM_178424|327257_2_2254_2339
+LQFSVRMNQTGTKMIVLANQCQVRFIEM
+>NM_017544|327378_2_248_324
+MVKILLKSKPVPNSMRDLVLSLYIL
+>DECOY_NM_017544|327378_2_248_324
+LIYLSLVLDRMSNPVPKSKLLIKVM
+>NM_000326|327471_2_840_1153
+MAEWSCSSTLRTGKVKKSPLMRSCRHIASSWRSCWRMRKLKSMASASLRTSRALPCSRLLVSGLQISGRWWTCSRIPSQPGSKPSTSSTSHGTSPRPTMWSSPS
+>DECOY_NM_000326|327471_2_840_1153
+SPSSWMTPRPSTGHSTSSTSPKSGPQSPIRSCTWWRGSIQLGSVLLRSCPLARSTRLSASAMSKLKRMRWCSRWSSAIHRCSRMLPSKKVKGTRLTSSCSWEAM
+>NM_021126|327486_3_277_620
+MGGGGAAGPARWAASAAAGRLLVPAEAGARRATRVRGAPHPGRRFLRHRPVQRPHLALRPHAARGRAFRGVRRPPGRGRGHPRRDLRRQRPGPLLRPARLVDVPRLRPPRRVTA
+>DECOY_NM_021126|327486_3_277_620
+ATVRRPPRLRPVDVLRAPRLLPGPRQRRLDRRPHGRGRGPPRRVGRFARGRAAHPRLALHPRQVPRHRLFRRGPHPAGRVRTARRAGAEAPVLLRGAAASAAWRAPGAAGGGGM
+>NM_152880|327554_3_1628_1767
+MVPLYEQHPSRQHRGASPCPSAGWEQPPRVGDRQRWDPAFCPGDSR
+>DECOY_NM_152880|327554_3_1628_1767
+RSDGPCFAPDWRQRDGVRPPQEWGASPCPSAGRHQRSPHQEYLPVM
+>NM_015925|327678_2_1712_1950
+MVGEAGPTCPRGAAAGTTSMTKTTRGTSHAPGTPTTTTSGLGSALLPTPGPTTTVPGTLGTTAPGPGTSPMMGGYWRRL
+>DECOY_NM_015925|327678_2_1712_1950
+LRRWYGGMMPSTGPGPATTGLTGPVTTTPGPTPLLASGLGSTTTTPTGPAHSTGRTTKTMSTTGAAAGRPCTPGAEGVM
+>NM_015925|327681_3_1575_1711
+MGPGARQGAGRRGLAGQAAPGPLRGRPGRPHPAEHRRVREQVSHE
+>DECOY_NM_015925|327681_3_1575_1711
+EHSVQERVRRHEAPHPRGPRGRLPGPAAQGALGRRGAGQRAGPGM
+>NM_152882|327715_3_1628_1746
+MVPLYEQHPSRQHRGASPCPSAGKAQVHTTTPATAVHGV
+>DECOY_NM_152882|327715_3_1628_1746
+VGHVATAPTTTHVQAKGASPCPSAGRHQRSPHQEYLPVM
+>NM_032435|327748_2_1249_1328
+MECCCGNCSPEKSPIGALMASPWLMG
+>DECOY_NM_032435|327748_2_1249_1328
+GMLWPSAMLAGIPSKEPSCNGCCCEM
+>NM_032435|327764_3_1145_1227
+MAQDHQNEHSRHLCLDGPRSDQVFLVF
+>DECOY_NM_032435|327764_3_1145_1227
+FVLFVQDSRPGDLCLHRSHENQHDQAM
+>NM_001248|327830_2_261_373
+MVLCWMPGLQEPQSTCINGQQKKRIIPEWSVKPSNVV
+>DECOY_NM_001248|327830_2_261_373
+VVNSPKVSWEPIIRKKQQGNICTSQPEQLGPMWCLVM
+>NM_001248|327832_2_396_526
+MEITPKMSPEPLRSVCKKSRGRFHPTSTDPPPFTWEPRLGCAC
+>DECOY_NM_001248|327832_2_396_526
+CACGLRPEWTFPPPDTSTPHFRGRSKKCVSRLPEPSMKPTIEM
+>NM_001248|327837_2_876_1231
+MAGMRLRRSFWQCSCRILLPKTISPIPVTLGIIASASPWAMYLIACALWTRGQKVITPMMSSLLKELGTHLCVRRRWLPYLTSKLAMIKKPVLLMGFISQRLKGHLWLLQDSTTQPVL
+>DECOY_NM_001248|327837_2_876_1231
+LVPQTTSDQLLWLHGKLRQSIFGMLLVPKKIMALKSTLYPLWRRRVCLHTGLEKLLSSMMPTIVKQGRTWLACAILYMAWPSASAIIGLTVPIPSITKPLLIRCSCQWFSRRLRMGAM
+>NM_004042|327935_2_558_637
+MGLTTTMACRSLSLTAAGRTPLVTRN
+>DECOY_NM_004042|327935_2_558_637
+NRTVLPTRGAATLSLSRCAMTTTLGM
+>NM_004042|327937_2_1011_1090
+MACMGIMWKRWTPWWARFLMLSMILA
+>DECOY_NM_004042|327937_2_1011_1090
+ALIMSLMLFRAWWPTWRKWMIGMCAM
+>NM_004042|327939_2_1176_1276
+MEYTKVEKAWGAGKVESASQELSDGLERYQLDG
+>DECOY_NM_004042|327939_2_1176_1276
+GDLQYRELGDSLEQSASEVKGAGWAKEVKTYEM
+>NM_016298|327971_2_418_557
+MAALCPCPATNWPSTCRCAPPAWSAAPWSGTAGQMWTLKPPFMKTS
+>DECOY_NM_016298|327971_2_418_557
+STKMFPPKLTWMQGATGSWPAASWAPPACRCTSPWNTAPCPCLAAM
+>NM_016298|327987_3_2069_2172
+MEEKEVFPWRHLLESPQRDLAVQQPLLQNQELGV
+>DECOY_NM_016298|327987_3_2069_2172
+VGLEQNQLLPQQVALDRQPSELLHRWPFVEKEEM
+>NM_025045|328165_2_1486_1616
+MASPAPAPQAGCQAVPPALHLHPCPAAAAAAWAAQQLPLTSRN
+>DECOY_NM_025045|328165_2_1486_1616
+NRSTLPLQQAAWAAAAAAPCPHLHLAPPVAQCGAQPAPAPSAM
+>NM_005149|328179_2_88_233
+MALFLICSMWWRVSFRQGGKKATLRRSNFRSSWRMHLSGRDSRKSLMR
+>DECOY_NM_005149|328179_2_88_233
+RMLSKRSDRGSLHMRWSSRFNSRRLTAKKGGQRFSVRWWMSCILFLAM
+>NM_005149|328184_2_880_968
+MAVSTIRVSEDTGRLPTLLRTCTETILPQ
+>DECOY_NM_005149|328184_2_880_968
+QPLITETCTRLLTPLRGTDESVRITSVAM
+>NM_005149|328186_2_1132_1214
+MVPEAPVGQARRCTPAPQEHFSSETQL
+>DECOY_NM_005149|328186_2_1132_1214
+LQTESSFHEQPAPTCRRAQGVPAEPVM
+>NM_005149|328189_3_371_540
+MGARWQARGLQPQLRLHSPGLPQLWGPLDESSHLLQQSEADQQAQWRRADNVEFSA
+>DECOY_NM_005149|328189_3_371_540
+ASFEVNDARRWQAQQDAESQQLLHSSEDLPGWLQPLGPSHLRLQPQLGRAQWRAGM
+>NM_001003681|328203_2_383_468
+MEIFRLWNRHRRKRKSPAHSLLIQLWTC
+>DECOY_NM_001003681|328203_2_383_468
+CTWLQILLSHAPSKRKRRHRNWLRFIEM
+>NM_003164|328216_2_380_498
+MESRQISQLCVLSDNAVNSPSWPSALGKTLATHLPSWRS
+>DECOY_NM_003164|328216_2_380_498
+SRWSPLHTALTKGLASPWSPSNVANDSLVCLQSIQRSEM
+>NM_199511|328252_2_2232_2476
+MATETQMWCQVLPSQQRRNLPKRRPRTKFLVMSMRRSMTSAGLLPLSWRTSCRWGMFPLKKQRSLKSMKSLRNQRRRRKKR
+>DECOY_NM_199511|328252_2_2232_2476
+RKKRRRRQNRLSKMSKLSRQKKLPFMGWRCSTRWSLPLLGASTMSRRMSMVLFKTRPRRKPLNRRQQSPLVQCWMQTETAM
+>NM_199511|328258_2_2595_2695
+MAIRNPPTNTSRRVPRSQWPTCWGPLKANEDSF
+>DECOY_NM_199511|328258_2_2595_2695
+FSDENAKLPGWCTPWQSRPVRRSTNTPPNRIAM
+>NM_199511|328266_3_820_902
+MENGTPFHYAVGHVASVWIRTPPPCHY
+>DECOY_NM_199511|328266_3_820_902
+YHCPPPTRIWVSAVHGVAYHFPTGNEM
+>NM_199511|328267_3_1267_1346
+MGHLSPSCLGRLLPPHDEPAEGRCVL
+>DECOY_NM_199511|328267_3_1267_1346
+LVCRGEAPEDHPPLLRGLCSPSLHGM
+>NM_138555|328293_2_310_455
+METIRRLSIHLNKYLALTPPRRNSLMLWLIPWSMTSFMAKMVFFLHMV
+>DECOY_NM_138555|328293_2_310_455
+VMHLFFVMKAMFSTMSWPILWLMLSNRRPPTLALYKNLHISLRRITEM
+>NM_007193|328322_2_836_954
+MDTFRSCWLQLFSVFETNQPILLIDYIVQFMTLVSIIKL
+>DECOY_NM_007193|328322_2_836_954
+LKIISVLTMFQVIYDILLIPQNTEFVSFLQLWCSRFTDM
+>NM_033116|328505_2_548_645
+MEGTCMTKSFVRRTSCLRKRWWCGTYFRLFQQ
+>DECOY_NM_033116|328505_2_548_645
+QQFLRFYTGCWWRKRLCSTRRVFSKTMCTGEM
+>NM_033116|328510_2_1376_1476
+METKPPIDSQSMWKSCKAKLSVRCHVVMISLSV
+>DECOY_NM_033116|328510_2_1376_1476
+VSLSIMVVHCRVSLKAKCSKWMSQSDIPPKTEM
+>NM_033116|328516_2_2558_2748
+MGPAAPVLAGFERSWKMQNLSPCLTAHLLSVQRFQNLRKIPCPMKSCKDSKWPLKLLWNTNPK
+>DECOY_NM_033116|328516_2_2558_2748
+KPNTNWLLKLPWKSDKCSKMPCPIKRLNQFRQVSLLHATLCPSLNQMKWSREFGALVPAAPGM
+>NM_006696|328560_2_2279_2493
+MASAYTMLHCSHTHWQTPSPAALLLHSSLSVVRIRKLFRHRKFGRKPSCLYGELQLIIGMPMSSCSLLQMT
+>DECOY_NM_006696|328560_2_2279_2493
+TMQLLSCSSMPMGIILQLEGYLCSPKRGFKRHRFLKRIRVVSLSSHLLLAAPSPTQWHTHSCHLMTYASAM
+>NM_006696|328565_3_108_181
+MVHPREAMFSIFCHEKWRSKLGIS
+>DECOY_NM_006696|328565_3_108_181
+SIGLKSRWKEHCFISFMAERPHVM
+>NM_004098|328613_3_1422_1516
+MVSEPKNKVQKAEAGGRRLRFATKEKRDAPY
+>DECOY_NM_004098|328613_3_1422_1516
+YPADRKEKTAFRLRRGGAEAKQVKNKPESVM
+>NM_139199|328670_2_2837_2913
+MEAVRNLRKRQGKPATRTSSTFSLR
+>DECOY_NM_139199|328670_2_2837_2913
+RLSFTSSTRTAPKGQRKRLNRVAEM
+>NM_139199|328671_2_3101_3333
+MESQRWLQLPQLFVQFRDYSQRVKRGRLSKNPKGRTRVKYMCQRWKTSPLQASVMMPLTLRRLPWWIHFSAMLPPQS
+>DECOY_NM_139199|328671_2_3101_3333
+SQPPLMASFHIWWPLRRLTLPMMVSAQLPSTKWRQCMYKVRTRGKPNKSLRGRKVRQSYDRFQVFLQPLQLWRQSEM
+>NM_015443|328695_2_1649_1815
+MVPLLLVIFQSHCLPNHVEHSDLSMELLTLFSLSWQTTFQVTALMLRNNYIRSND
+>DECOY_NM_015443|328695_2_1649_1815
+DNSRIYNNRLMLATVQFTTQWSLSFLTLLEMSLDSHEVHNPLCHSQFIVLLLPVM
+>NM_003108|328751_3_228_724
+MVQDRTQEDHGAVSGHAQRRDLQEAGQALENAEGQREDPVHPGGGAAAAQAHGRLPRLQVPAPEKAQNGPLGQAQRQPEPREERGRRRRRERGRRRGRCQDLQGLQQEMRQAQGPRGRGRQGGRGQGGPVRGLRGRGRRLRAGQPARERLGRRRRGQDGQVRVSG
+>DECOY_NM_003108|328751_3_228_724
+GSVRVQGDQGRRRRGLRERAPQGARLRRGRGRLGRVPGGQGRGGQRGRGRPGQAQRMEQQLGQLDQCRGRRRGRERRRRRGREERPEPQRQAQGLPGNQAKEPAPVQLRPLRGHAQAAAAGGGPHVPDERQGEANELAQGAEQLDRRQAHGSVAGHDEQTRDQVM
+>NM_014460|328761_2_541_635
+MASSPPRTGPRTSSYMCLTSRGSTCQWRATR
+>DECOY_NM_014460|328761_2_541_635
+RTARWQCTSGRSTLCMYSSTRPGTRPPSSAM
+>NM_014390|328858_2_1691_2232
+MAKDCIARRKCLSTVLQIYLGIPKKQSSSCLFFSGQVVLKLWWNTSSVVLVSNSICQRKLALSPSCLQALNAPEEPETSQAWCRKESPSARKLHFSPRNWCCSERWRWRWRAWTRPATLSAGCTSTVPTCPSCWWSTRSPRSTSPPNAAPTTSPCCLPRRPQSRRKRRSGPTMRSSPWRR
+>DECOY_NM_014390|328858_2_1691_2232
+RRWPSSRMTPGSRRKRRSQPRRPLCCPSTTPAANPPSTSRPSRTSWWCSPCTPVTSTCGASLTAPRTWARWRWRWRESCCWNRPSFHLKRASPSEKRCWAQSTEPEEPANLAQLCSPSLALKRQCISNSVLVVSSTNWWLKLVVQGSFFLCSSSQKKPIGLYIQLVTSLCKRRAICDKAM
+>NM_001111125|329085_2_2959_3071
+MVKTSPETSWWASTSASRGVNCGPTMTMCPRCRLWSA
+>DECOY_NM_001111125|329085_2_2959_3071
+ASWLRCRPCMTMTPGCNVGRSASTSAWWSTEPSTKVM
+>NM_001111125|329088_2_3598_4388
+MGSNGAHSAVPCETSLMQGSGGGVTAWDRWTAPSKGLLLAVHALTRGCHLRPHPRRQRSTRARGPSPTPHPSWAPYLEASGARGPSRCHHRQQARPLPPLHLLLPRTTTTTTTIMAIATVAWGCCLMGSPSSRPCMPSIAKDRALPRHPTSHPSSPLFPHLPSSPHPCPSWAPFHRLPPQPHLWGHIATSTPMAQSQGPNTIPWAGQAGHPDGGLEDTLSLLHMAATPCTSPHPHCPCTVLPPSTLQPTNRALSTSSSATTHR
+>DECOY_NM_001111125|329088_2_3598_4388
+RHTTASSSTSLARNTPQLTSPPLVTCPCHPHPSTCPTAAMHLLSLTDELGGDPHGAQGAWPITNPGQSQAMPTSTAIHGWLHPQPPLRHFPAWSPCPHPSSPLHPFLPSSPHSTPHRPLARDKAISPMCPRSSPSGMLCCGWAVTAIAMITTTTTTTRPLLLHLPPLPRAQQRHHCRSPGRAGSAELYPAWSPHPTPSPGRARTSRQRRPHPRLHCGRTLAHVALLLGKSPATWRDWATVGGGSGQMLSTECPVASHAGNSGM
+>NM_001111125|329090_2_3940_4388
+MAIATVAWGCCLMGSPSSRPCMPSIAKDRALPRHPTSHPSSPLFPHLPSSPHPCPSWAPFHRLPPQPHLWGHIATSTPMAQSQGPNTIPWAGQAGHPDGGLEDTLSLLHMAATPCTSPHPHCPCTVLPPSTLQPTNRALSTSSSATTHR
+>DECOY_NM_001111125|329090_2_3940_4388
+RHTTASSSTSLARNTPQLTSPPLVTCPCHPHPSTCPTAAMHLLSLTDELGGDPHGAQGAWPITNPGQSQAMPTSTAIHGWLHPQPPLRHFPAWSPCPHPSSPLHPFLPSSPHSTPHRPLARDKAISPMCPRSSPSGMLCCGWAVTAIAM
+>NM_016161|329115_2_776_882
+MEYLGSSPTTPFCGNAWKTLLNTIIQPFGATKALS
+>DECOY_NM_016161|329115_2_776_882
+SLAKTAGFPQIITNLLTKWANGCFPTTPSSGLYEM
+>NM_015715|329165_2_721_1184
+MASETTDSTPSPTVTVTPGFSNAYRISTTPSRTSWAWPSSTCWRSPALCWRSRRRVWRGTGGAGVGCTAQCPSLACSPGPSTMPPGAPGPPPQLPAPGAQPLPSLDRSSTFGRGHHIRKGPSAPAKPTPQPSRTLWSLPGLMWPPQASRAHRVA
+>DECOY_NM_015715|329165_2_721_1184
+AVRHARSAQPPWMLGPLSWLTRSPQPTPKAPASPGKRIHHGRGFTSSRDLSPLPQAGPAPLQPPPGPAGPPMTSPGPSCALSPCQATCGVGAGGTGRWVRRRSRWCLAPSRWCTSSPWAWSTRSPTTSIRYANSFGPTVTVTPSPTSDTTESAM
+>NM_015715|329169_3_578_660
+MDHAWHTVVWSWRFCWELLGAGGLPGT
+>DECOY_NM_015715|329169_3_578_660
+TGPLGGAGLLEWCFRWSWVVTHWAHDM
+>NM_001039590|329241_2_1195_1295
+MVHVHVNQFPQVFSCLKMNSLLVLQILDHQRVG
+>DECOY_NM_001039590|329241_2_1195_1295
+GVRQHDLIQLVLLSNMKLCSFVQPFQNVHVHVM
+>NM_001039590|329242_2_1747_1826
+MVILRRKSGSQLNEWQNGYSRTISYP
+>DECOY_NM_001039590|329242_2_1747_1826
+PYSITRSYGNQWENLQSGSKRRLIVM
+>NM_001039590|329255_2_5071_5150
+MESFQLNRLFRSVVHHLQLMLVLNYL
+>DECOY_NM_001039590|329255_2_5071_5150
+LYNLVLMLQLHHVVSRFLRNLQFSEM
+>NM_001039590|329267_2_7855_8027
+MEILKESGPGQWNGLEMNLKEDHILAILSTLTTIGLPQCKAMKRPMVISWRDHIVLG
+>DECOY_NM_001039590|329267_2_7855_8027
+GLVIHDRWSIVMPRKMAKCQPLGITTLTSLIALIHDEKLNMELGNWQGPGSEKLIEM
+>NM_006184|329602_2_786_916
+MVSWMSRSWRHSSPRSWRKCTTQRMRRTTCGRWRRSDCACGSM
+>DECOY_NM_006184|329602_2_786_916
+MSGCACDSRRWRGCTTRRMRQTTCKRWSRPSSHRWSRSMWSVM
+>NM_003622|329740_2_1374_1474
+MENMKSCSIPVPSPLCWMHRVSVIWRKVHHPLQ
+>DECOY_NM_003622|329740_2_1374_1474
+QLPHHVKRWIVSVRHMWCLPSPVPISCSKMNEM
+>NM_003622|329744_2_2505_2617
+MGSWISTGSLDGWMTLASLNIRPSLMKDGLMVECYIT
+>DECOY_NM_003622|329744_2_2505_2617
+TIYCEVMLGDKMLSPRINLSALTMWGDLSGTSIWSGM
+>NM_003622|329746_2_3117_3235
+MVKNMFVQWNWDRHQEVHLRKDLNLVWICACMRKMIWTG
+>DECOY_NM_003622|329746_2_3117_3235
+GTWIMKRMCACIWVLNLDKRLHVEQHRDWNWQVFMNKVM
+>NM_005858|329817_2_173_366
+MAPRTPVSPQAQPTATAQPRGRPPRPMMAAWRPGPLPCTWPLTAQSHAPTIPTPSLPRSTSVWT
+>DECOY_NM_005858|329817_2_173_366
+TWVSTSRPLSPTPITPAHSQATLPWTCPLPGPRWAAMMPRPPRGRPQATATPQAQPSVPTRPAM
+>NM_005858|329819_2_254_366
+MAAWRPGPLPCTWPLTAQSHAPTIPTPSLPRSTSVWT
+>DECOY_NM_005858|329819_2_254_366
+TWVSTSRPLSPTPITPAHSQATLPWTCPLPGPRWAAM
+>NM_005858|329822_2_548_741
+MAALGGSTVNAETQPGSGAPLMASCGAGARAASRTGATLAPSCAATPSCPPLRPLSPCPRPGTS
+>DECOY_NM_005858|329822_2_548_741
+STGPRPCPSLPRLPPCSPTAACSPALTAGTRSAARAGAGCSAMLPAGSGPQTEANVTSGGLAAM
+>NM_138426|329870_2_1502_1836
+MGRKKYPSRWTYQMVEELHFLLITGAVVLAALTLRLLLSRSAAVAAAVIHPVSPLFVPRNPRMVALAQQKICSMIVIKTVGVAHRYPSMLHLPNQTTATCSNGSPQRDVSE
+>DECOY_NM_138426|329870_2_1502_1836
+ESVDRQPSGNSCTATTQNPLHLMSPYRHAVGVTKIVIMSCIKQQALAVMRPNRPVFLPSVPHIVAAAVAASRSLLLRLTLAALVVAGTILLFHLEEVMQYTWRSPYKKRGM
+>NM_138426|329872_2_1688_1836
+MVALAQQKICSMIVIKTVGVAHRYPSMLHLPNQTTATCSNGSPQRDVSE
+>DECOY_NM_138426|329872_2_1688_1836
+ESVDRQPSGNSCTATTQNPLHLMSPYRHAVGVTKIVIMSCIKQQALAVM
+>NM_001136041|329913_2_521_801
+MGMSETSWTTSVCTSWMSTTATSTQGTALPSRPSGGRWSWSGAQRMMSTWIRWRGTSRNPSRSTCPTWWYTMQAPTSSRGTALGGCPSAQRAS
+>DECOY_NM_001136041|329913_2_521_801
+SARQASPCGGLATGRSSTPAQMTYWWTPCTSRSPNRSTGRWRIWTSMMRQAGSWSWRGGSPRSPLATGQTSTATTSMWSTCVSTTWSTESMGM
+>NM_012274|330123_2_246_328
+MAVCATLHKIGREKWTTTVSPTALRVM
+>DECOY_NM_012274|330123_2_246_328
+MVRLATPSVTTTWKERGIKHLTACVAM
+>NM_005998|330226_3_1521_1645
+MAIQGCCPGPRGHSSYPDPELWGQHHPSTYLPSGQAHPGEL
+>DECOY_NM_005998|330226_3_1521_1645
+LEGPHAQGSPLYTSPHHQGWLEPDPYSSHGRPGPCCGQIAM
+>NM_003198|330405_2_658_854
+MAMFNPLHLVPVLIRCTSTTTDPWRRTRSPLFHTRSLGKATAMPFRTDSGPAKNDTWVNPMGKGL
+>DECOY_NM_003198|330405_2_658_854
+LGKGMPNVWTDNKAPGSDTRFPMATAKGLSRTHFLPSRTRRWPDTTTSTCRILVPVLHLPNFMAM
+>NM_003198|330412_2_2311_2390
+MAQAPAVPTWHQWSAALFPMILGNPL
+>DECOY_NM_003198|330412_2_2311_2390
+LPNGLIMPFLAASWQHWTPVAPAQAM
+>NM_017564|330601_2_547_710
+MAEAVVLKAWKEMEPAPAKKGLVEQPVKPVLTTTYLDPAVHQCATVCMGCATVD
+>DECOY_NM_017564|330601_2_547_710
+DVTACGMCVTACQHVAPDLYTTTLVPKVPQEVLGKKAPAPEMEKWAKLVVAEAM
+>NM_017564|330602_2_583_710
+MEPAPAKKGLVEQPVKPVLTTTYLDPAVHQCATVCMGCATVD
+>DECOY_NM_017564|330602_2_583_710
+DVTACGMCVTACQHVAPDLYTTTLVPKVPQEVLGKKAPAPEM
+>NM_017564|330604_2_718_1151
+MEPVSATLRTLAPSVTSPSLNVQPCSAQKIPDVRLPLKMKTNWNANAFPITEAMANTATPSIHVYEKSATLMLIVRTWDQIGTVVHAKKATVGMAKCACLWTPAKLTLETALQSLQCANMMGLDSLTASVRSITRISYLEWGAV
+>DECOY_NM_017564|330604_2_718_1151
+VAGWELYSIRTISRVSATLSDLGMMNACQLSQLATELTLKAPTWLCACKAMGVTAKKAHVVTGIQDWTRVILMLTASKEYVHISPTATNAMAETIPFANANWNTKMKLPLRVDPIKQASCPQVNLSPSTVSPALTRLTASVPEM
+>NM_017564|330606_2_877_1151
+MANTATPSIHVYEKSATLMLIVRTWDQIGTVVHAKKATVGMAKCACLWTPAKLTLETALQSLQCANMMGLDSLTASVRSITRISYLEWGAV
+>DECOY_NM_017564|330606_2_877_1151
+VAGWELYSIRTISRVSATLSDLGMMNACQLSQLATELTLKAPTWLCACKAMGVTAKKAHVVTGIQDWTRVILMLTASKEYVHISPTATNAM
+>NM_017564|330608_2_997_1151
+MAKCACLWTPAKLTLETALQSLQCANMMGLDSLTASVRSITRISYLEWGAV
+>DECOY_NM_017564|330608_2_997_1151
+VAGWELYSIRTISRVSATLSDLGMMNACQLSQLATELTLKAPTWLCACKAM
+>NM_017564|330610_2_1078_1151
+MGLDSLTASVRSITRISYLEWGAV
+>DECOY_NM_017564|330610_2_1078_1151
+VAGWELYSIRTISRVSATLSDLGM
+>NM_017564|330612_2_2053_2129
+MDRFWQMMWQWKKLRSLPKMAEFTH
+>DECOY_NM_017564|330612_2_2053_2129
+HTFEAMKPLSRLKKWQWMMQWFRDM
+>NM_017564|330614_2_2437_2594
+MDSVQIASAATGHAFVRRASKAPSVSSALIPINTDLGVTKNACAFTEHAITG
+>DECOY_NM_017564|330614_2_2437_2594
+GTIAHETFACANKTVGLDTNIPILASSVSPAKSARRVFAHGTAASAIQVSDM
+>NM_017564|330615_2_2602_2807
+MGPASLAHAETALPGDSVISRPQPVGPTCSSVTSTPPVNTAMGQPVVFAKQDMKEMELCVLRWTLAQD
+>DECOY_NM_017564|330615_2_2602_2807
+DQALTWRLVCLEMEKMDQKAFVVPQGMATNVPPTSTVSSCTPGVPQPRSIVSDGPLATEAHALSAPGM
+>NM_017564|330616_2_2725_2807
+MGQPVVFAKQDMKEMELCVLRWTLAQD
+>DECOY_NM_017564|330616_2_2725_2807
+DQALTWRLVCLEMEKMDQKAFVVPQGM
+>NM_017564|330618_2_2896_3047
+MGETARRSTTACCPVQAAATTTHPVCMWVPGRMSVSARKDFEEMGLTVNQ
+>DECOY_NM_017564|330618_2_2896_3047
+QNVTLGMEEFDKRASVSMRGPVWMCVPHTTTAAAQVPCCATTSRRATEGM
+>NM_017564|330621_2_3151_3227
+MAFCAMETQQWNCHFSPKQLYLTDG
+>DECOY_NM_017564|330621_2_3151_3227
+GDTLYLQKPSFHCNWQQTEMACFAM
+>NM_017564|330626_2_3832_3908
+MACIVRPCWVSPISLASFSIMTSSM
+>DECOY_NM_017564|330626_2_3832_3908
+MSSTMISFSALSIPSVWCPRVICAM
+>NM_017564|330631_2_4423_4865
+MAPVTVMLAGEECIVTMQPQKTTAMGHAIPAPTASPTQMVQLHASVQQDSKETGPSAQQSMPVRSAMEVALPRLTVREPPQEGECARAKQATRVMALCAWKSTRVWRTMVAVTRMRSAHRQDPTRLPVTVCQHTLEMERSAHSSMSA
+>DECOY_NM_017564|330631_2_4423_4865
+ASMSSHASREMELTHQCVTVPLRTPDQRHASRMRTVAVMTRWVRTSKWACLAMVRTAQKARACEGEQPPERVTLRPLAVEMASRVPMSQQASPGTEKSDQQVSAHLQVMQTPSATPAPIAHGMATTKQPQMTVICEEGALMVTVPAM
+>NM_017564|330634_2_4495_4865
+MGHAIPAPTASPTQMVQLHASVQQDSKETGPSAQQSMPVRSAMEVALPRLTVREPPQEGECARAKQATRVMALCAWKSTRVWRTMVAVTRMRSAHRQDPTRLPVTVCQHTLEMERSAHSSMSA
+>DECOY_NM_017564|330634_2_4495_4865
+ASMSSHASREMELTHQCVTVPLRTPDQRHASRMRTVAVMTRWVRTSKWACLAMVRTAQKARACEGEQPPERVTLRPLAVEMASRVPMSQQASPGTEKSDQQVSAHLQVMQTPSATPAPIAHGM
+>NM_017564|330637_2_4621_4865
+MEVALPRLTVREPPQEGECARAKQATRVMALCAWKSTRVWRTMVAVTRMRSAHRQDPTRLPVTVCQHTLEMERSAHSSMSA
+>DECOY_NM_017564|330637_2_4621_4865
+ASMSSHASREMELTHQCVTVPLRTPDQRHASRMRTVAVMTRWVRTSKWACLAMVRTAQKARACEGEQPPERVTLRPLAVEM
+>NM_017564|330638_2_4705_4865
+MALCAWKSTRVWRTMVAVTRMRSAHRQDPTRLPVTVCQHTLEMERSAHSSMSA
+>DECOY_NM_017564|330638_2_4705_4865
+ASMSSHASREMELTHQCVTVPLRTPDQRHASRMRTVAVMTRWVRTSKWACLAM
+>NM_017564|330639_2_4747_4865
+MVAVTRMRSAHRQDPTRLPVTVCQHTLEMERSAHSSMSA
+>DECOY_NM_017564|330639_2_4747_4865
+ASMSSHASREMELTHQCVTVPLRTPDQRHASRMRTVAVM
+>NM_017564|330641_2_4957_5048
+MDLPAAAAFIRSFPRTRKLPSISSSCRSIS
+>DECOY_NM_017564|330641_2_4957_5048
+SISRCSSSISPLKRTRPFSRIFAAAAPLDM
+>NM_017564|330646_2_6250_6557
+MASRAPGSASVKRGGQAPRVTLRQFCLQCVRLLVLLMPPVRRTTRVSVTWIMKVTESHAQLWISANRTTGAVQRWPDAPRRARRSPAAARRDTKGTGTAAQR
+>DECOY_NM_017564|330646_2_6250_6557
+RQAATGTGKTDRRAAAPSRRARRPADPWRQVAGTTRNASIWLQAHSETVKMIWTVSVRTTRRVPPMLLVLLRVCQLCFQRLTVRPAQGGRKVSASGPARSAM
+>NM_017564|330649_2_6718_6818
+MGSAMQTPNVSTSTSRIPLLGCSIYAPHWASIS
+>DECOY_NM_017564|330649_2_6718_6818
+SISAWHPAYISCGLLPIRSTSTSVNPTQMASGM
+>NM_001008537|330773_2_3225_3340
+MERSAFLSSRGQSIWMMVGSLALIQWPHSLSAQVIIAP
+>DECOY_NM_001008537|330773_2_3225_3340
+PAIIVQASLSHPWQILALSGVMMWISQGRSSLFASREM
+>NM_020747|330840_2_790_968
+MAVAARPLPGGTSMALGPRAMEVARAPSTAGALGVAASPLQGKLAKVPRIQGSWETLCW
+>DECOY_NM_020747|330840_2_790_968
+WCLTEWSGQIRPVKALKGQLPSAAVGLAGATSPARAVEMARPGLAMSTGGPLPRAAVAM
+>NM_020747|330842_2_850_968
+MEVARAPSTAGALGVAASPLQGKLAKVPRIQGSWETLCW
+>DECOY_NM_020747|330842_2_850_968
+WCLTEWSGQIRPVKALKGQLPSAAVGLAGATSPARAVEM
+>NM_020747|330846_2_2059_2132
+MAQVPLLVLKLGRILAKRRALTMN
+>DECOY_NM_020747|330846_2_2059_2132
+NMTLARRKALIRGLKLVLLPVQAM
+>NM_020747|330849_2_2896_2996
+MGQRVQLQRQAARPIQTYLMLLTMVVLTAGRRV
+>DECOY_NM_020747|330849_2_2896_2996
+VRRGATLVVMTLLMLYTQIPRAAQRQLQVRQGM
+>NM_020845|330942_2_926_1023
+MVRRPLSSSSTKPSRTRPLGSPRSPAAAMGSP
+>DECOY_NM_020845|330942_2_926_1023
+PSGMAAAPSRPSGLPRTRSPKTSSSSLPRRVM
+>NM_020845|330954_2_2522_3012
+MAAPRCWRMCSRPTMQPSKSMAPPPRRALPLPVVASAEPVRSASPARCQAWLRATRHPASPRRPPMRSAIPPASGVCPCSPCPPPAPPPLAPTLQPGRQALAWRGPLASLSWTLEKSLQSGGARSGSTTPCTALTPSRPSPRWLCLTSSTPATGSQQTWSPFC
+>DECOY_NM_020845|330954_2_2522_3012
+CFPSWTQQSGTAPTSSTLCLWRPSPRSPTLATCPTTSGSRAGGSQLSKELTWSLSALPGRWALAQRGPQLTPALPPPAPPPCPSCPCVGSAPPIASRMPPRRPSAPHRTARLWAQCRAPSASRVPEASAVVPLPLARRPPPAMSKSPQMTPRSCMRWCRPAAM
+>NM_020845|330957_2_2582_3012
+MAPPPRRALPLPVVASAEPVRSASPARCQAWLRATRHPASPRRPPMRSAIPPASGVCPCSPCPPPAPPPLAPTLQPGRQALAWRGPLASLSWTLEKSLQSGGARSGSTTPCTALTPSRPSPRWLCLTSSTPATGSQQTWSPFC
+>DECOY_NM_020845|330957_2_2582_3012
+CFPSWTQQSGTAPTSSTLCLWRPSPRSPTLATCPTTSGSRAGGSQLSKELTWSLSALPGRWALAQRGPQLTPALPPPAPPPCPSCPCVGSAPPIASRMPPRRPSAPHRTARLWAQCRAPSASRVPEASAVVPLPLARRPPPAM
+>NM_002802|331029_2_73_203
+MVLEVARRMTRTRKRNMNLLYQLEWGKRRRKQRDQMLPANCHW
+>DECOY_NM_002802|331029_2_73_203
+WHCNAPLMQDRQKRRRKGWELQYLLNMNRKRTRTMRRAVELVM
+>NM_002802|331033_2_832_986
+MGPNSYGNCSELLKNMHRPSCLLMKLTPLGQKDMTPILVVREKFSEQCWNC
+>DECOY_NM_002802|331033_2_832_986
+CNWCQESFKERVVLIPTMDKQGLPTLKMLLCSPRHMNKLLESCNGYSNPGM
+>NM_018969|331095_2_1048_1193
+MGMQPAGGYWAWTRSRVKSSWAACSTRSHCSFCSSGHPTSWPATGECL
+>DECOY_NM_018969|331095_2_1048_1193
+LCEGTAPWSTPHGSSCFSCHSRTSCAAWSSKVRSRTWAWYGGAPQMGM
+>NM_015922|331168_2_882_1042
+MGRTWWTSPLWRTWSMDTSWRQSSSPETRHWVGRHFTSPMMSPSLSGHSCLAS
+>DECOY_NM_015922|331168_2_882_1042
+SALCSHGSLSPSMMPSTFHRGVWHRTEPSSSQRWSTDMSWTRWLPSTWWTRGM
+>NM_002025|331232_2_4095_4276
+MERTLHPQCLSTTSPPSTQWGTVTMAQSPFPSAFTTWLPATSTSLAMCYGAMNTGIWPTN
+>DECOY_NM_002025|331232_2_4095_4276
+NTPWIGTNMAGYCMALSTSTAPLWTTFASPFPSQAMTVTGWQTSPPSTTSLCQPHLTREM
+>NM_002025|331233_2_4167_4276
+MAQSPFPSAFTTWLPATSTSLAMCYGAMNTGIWPTN
+>DECOY_NM_002025|331233_2_4167_4276
+NTPWIGTNMAGYCMALSTSTAPLWTTFASPFPSQAM
+>NM_139135|331551_2_761_1065
+MGWGRLLTQPRPPCRPQPTASGNPTAGARLPSPPPRPPSSTNNMADNKALAWQRCRAAAAGAWSPTRGPSRTLTTTASPTTSTTPTTPTAAPTPRPPRPTR
+>DECOY_NM_139135|331551_2_761_1065
+RTPRPPRPTPAATPTTPTTSTTPSATTTLTRSPGRTPSWAGAAAARCRQWALAKNDAMNNTSSPPRPPPSPLRAGATPNGSATPQPRCPPRPQTLLRGWGM
+>NM_139135|331552_2_890_1065
+MADNKALAWQRCRAAAAGAWSPTRGPSRTLTTTASPTTSTTPTTPTAAPTPRPPRPTR
+>DECOY_NM_139135|331552_2_890_1065
+RTPRPPRPTPAATPTTPTTSTTPSATTTLTRSPGRTPSWAGAAAARCRQWALAKNDAM
+>NM_139135|331555_2_1757_2226
+MVNRARLHITTSKVLTLSSSSHPTPSNHRPRPLMPNLRISSSHSLNHHSSSPLSLHTPSSHPSLHISSPRLHTPPSSRRHSSTPRASPPTHSHRLSLLTSSSNLSSQHPRRSPSRLRILSPSLSSPSKLPIPSSASLHRRSYLKIHLGLRHPQPPQ
+>DECOY_NM_139135|331555_2_1757_2226
+QPPQPHRLGLHIKLYSRRHLSASSPIPLKSPSSLSPSLIRLRSPSRRPHQSSLNSSSTLLSLRHSHTPPSARPTSSHRRSSPPTHLRPSSIHLSPHSSPTHLSLPSSSHHNLSHSSSIRLNPMLPRPRHNSPTPHSSSSLTLVKSTTIHLRARNVM
+>NM_139135|331557_2_2759_2868
+MVPRGVSMAHKVATPGSQTIMPCPMPTTPVQAWLEA
+>DECOY_NM_139135|331557_2_2759_2868
+AELWAQVPTTPMPCPMITQSGPTAVKHAMSVGRPVM
+>NM_139135|331558_2_2780_2868
+MAHKVATPGSQTIMPCPMPTTPVQAWLEA
+>DECOY_NM_139135|331558_2_2780_2868
+AELWAQVPTTPMPCPMITQSGPTAVKHAM
+>NM_139135|331567_2_5495_5586
+MELRVQRPSRRAASFHLALAQHRATGTSRS
+>DECOY_NM_139135|331567_2_5495_5586
+SRSTGTARHQALALHFSAARRSPRQVRLEM
+>NM_139135|331571_3_3588_3682
+MAGTCNQPQCGHIKQCCQLLEKAVYPVSLCL
+>DECOY_NM_139135|331571_3_3588_3682
+LCLSVPYVAKELLQCCQKIHGCQPQNCTGAM
+>NM_139135|331572_3_4728_4810
+MAGNDVPQVWSPGREHMGIRYHQHPAV
+>DECOY_NM_139135|331572_3_4728_4810
+VAPHQHYRIGMHERGPSWVQPVDNGAM
+>NM_006015|331690_2_4664_4812
+MAMPILPLPQLLLSADQQAAPRTNFHSSLAETVSLHPLAPMPSKTCHHK
+>DECOY_NM_006015|331690_2_4664_4812
+KHHCTKSPMPALPHLSVTEALSSHFNTRPAAQQDASLLLQPLPLIPMAM
+>NM_004135|331715_2_373_458
+MASGQSSCCMSSPSSGTHVYQWTLKRCT
+>DECOY_NM_004135|331715_2_373_458
+TCRKLTWQYVHTGSSPSSMCCSSQGSAM
+>NM_004135|331718_2_1081_1187
+MAMCTRCLKQLRGTPARVSPIRTSPTPRPPCWPAA
+>DECOY_NM_004135|331718_2_1081_1187
+AAPWCPPRPTPSTRIPSVRAPTGRLQKLCRTCMAM
+>NM_032347|331733_2_250_338
+MGVLNPAKNCFVSNSENFATRRHLGPGRL
+>DECOY_NM_032347|331733_2_250_338
+LRGPGLHRRTAFNESNSVFCNKAPNLVGM
+>NM_032347|331734_3_581_684
+MEGFNMSQSIPRVNRHPPPALKDTAEILETMPFP
+>DECOY_NM_032347|331734_3_581_684
+PFPMTELIEATDKLAPPPHRNVRPISQSMNFGEM
+>NM_080873|331740_2_7_80
+MVLFSMALKTFLLQCLLRFFSLSF
+>DECOY_NM_080873|331740_2_7_80
+FSLSFFRLLCQLLFTKLAMSFLVM
+>NM_005154|331773_2_631_770
+MVAHWLKALWRMFWIPKTKPKRAMVKRMKNVRPKRKEQSQQRNYTQ
+>DECOY_NM_005154|331773_2_631_770
+QTYNRQQSQEKRKPRVNKMRKVMARKPKTKPIWFMRWLAKLWHAVM
+>NM_005667|331931_2_1864_1937
+METTQANLYPFRPWIHFCAHYNPR
+>DECOY_NM_005667|331931_2_1864_1937
+RPNYHACFHIWPRFPYLNAQTTEM
+>NM_005667|331938_3_2327_2409
+MVIKSVGLVHQLPLPPDCFFSELSCRI
+>DECOY_NM_005667|331938_3_2327_2409
+IRCSLESFFCDPPLPLQHVLGVSKIVM
+>NM_001539|332077_2_1174_1265
+MAFSLLINCLCWKNSYPRGRKWKRLMRWTK
+>DECOY_NM_001539|332077_2_1174_1265
+KTWRMLRKWKRGRPYSNKWCLCNILLSFAM
+>NM_003791|332176_2_2813_2907
+MACMKGSSPWPTMTCIMRQGAASRSFQKMAS
+>DECOY_NM_003791|332176_2_2813_2907
+SAMKQFSRSAAGQRMICTMTPWPSSGKMCAM
+>NM_006796|332357_2_357_664
+MEKKLVNLKKLWERKKNQSQLLPHALLEEEVVAVENEVARKMILTGGPGFRRVTFHGTTRISGCSSSGLLCSGVESCFTCCSRDPGEKSLGRTLSITIFQKE
+>DECOY_NM_006796|332357_2_357_664
+EKQFITISLTRGLSKEGPDRSCCTFCSEVGSCLLGSSSCGSIRTTGHFTVRRFGPGGTLIMKRAVENEVAVVEEELLAHPLLQSQNKKREWLKKLNVLKKEM
+>NM_014570|332381_2_500_699
+MGVPPMTPMPSTTVVLLSSIGRKSNRSPLKQHGSMALICGLIVVWFHLCPLHQRRKIFLPLTFLLR
+>DECOY_NM_014570|332381_2_500_699
+RLLFTLPLFIKRRQHLPCLHFWVVILGCILAMSGHQKLPSRNSKRGISSLLVVTTSPMPTMPPVGM
+>NM_014570|332384_2_602_699
+MALICGLIVVWFHLCPLHQRRKIFLPLTFLLR
+>DECOY_NM_014570|332384_2_602_699
+RLLFTLPLFIKRRQHLPCLHFWVVILGCILAM
+>NM_152577|332433_2_1088_1173
+MVIHLQVNLLLTIITLTFYLSSPKIKKP
+>DECOY_NM_152577|332433_2_1088_1173
+PKKIKPSSLYFTLTIITLLLNVQLHIVM
+>NM_004619|332548_2_1411_1505
+MGQGGGHTCPYTLWSCEESLTHCCSGHSGRG
+>DECOY_NM_004619|332548_2_1411_1505
+GRGSHGSCCHTLSEECSWLTYPCTHGGGQGM
+>NM_178012|332574_2_273_550
+MGLTPLAVTMETVICSWRESMFTTMKPLVTNMFLGPSSWIWSQARWIRLGLDHSARSSDQTISCLARVEPGITGPRATTQREPSWSTRSWMW
+>DECOY_NM_178012|332574_2_273_550
+WMWSRTSWSPERQTTARPGTIGPEVRALCSITQDSSRASHDLGLRIWRAQSWIWSSPGLFMNTVLPKMTTFMSERWSCIVTEMTVALPTLGM
+>NM_178012|332575_2_300_550
+METVICSWRESMFTTMKPLVTNMFLGPSSWIWSQARWIRLGLDHSARSSDQTISCLARVEPGITGPRATTQREPSWSTRSWMW
+>DECOY_NM_178012|332575_2_300_550
+WMWSRTSWSPERQTTARPGTIGPEVRALCSITQDSSRASHDLGLRIWRAQSWIWSSPGLFMNTVLPKMTTFMSERWSCIVTEM
+>NM_018322|332609_3_245_423
+MEHSHSSAVVLGPVFPDQYHLLEGSSLVGPAGTVCGTGIWPGIFCPVLVLLDVRRDTRP
+>DECOY_NM_018322|332609_3_245_423
+PRTDRRVDLLVLVPCFIGPWIGTGCVTGAPGVLSSGELLHYQDPFVPGLVVASSHSHEM
+>NM_021949|332674_2_1054_1127
+MGPWRVARPKLRSRMVQWPWRCSP
+>DECOY_NM_021949|332674_2_1054_1127
+PSCRWPWQVMRSRLKPRAVRWPGM
+>NM_021949|332677_2_2047_2147
+MASAPSASPTGTSLQARSPTGTTRMRSWVTSPA
+>DECOY_NM_021949|332677_2_2047_2147
+APSTVWSRMRTTGTPSRAQLSTGTPSASPASAM
+>NM_021949|332678_2_2506_2606
+MAPTMGRPSRRRTWASPWASQGPTWPRRPPTSS
+>DECOY_NM_021949|332678_2_2506_2606
+SSTPPRRPWTPGQSAWPSAWTRRRSPRGMTPAM
+>NM_021949|332679_2_2518_2606
+MGRPSRRRTWASPWASQGPTWPRRPPTSS
+>DECOY_NM_021949|332679_2_2518_2606
+SSTPPRRPWTPGQSAWPSAWTRRRSPRGM
+>NM_021949|332687_3_1328_1644
+MAGRVHAGLCTILREVLHHWCHCAGRGCPRGPASCCHHLLSLLCQENDERQQPGAPPGCLRDHGQRHSHLLRQDGHAHHQPYDRGPVLPRGHPLQRDSGPQRPDP
+>DECOY_NM_021949|332687_3_1328_1644
+PDPRQPGSDRQLPHGRPLVPGRDYPQHHAHGDQRLLHSHRQGHDRLCGPPAGPQQREDNEQCLLSLLHHCCSAPGRPCGRGACHCWHHLVERLITCLGAHVRGAM
+>NM_013277|332724_2_986_1092
+MAGPSKLCPLLRLCHIGPGAEGKQVLYNLGTVTPP
+>DECOY_NM_013277|332724_2_986_1092
+PPTVTGLNYLVQKGEAGPGIHCLRLLPCLKSPGAM
+>NM_013277|332725_2_1151_1230
+MEGCACMTLFLRRLLNLNPVFHVESG
+>DECOY_NM_013277|332725_2_1151_1230
+GSEVHFVPNLNLLRRLFLTMCACGEM
+>NM_032333|332786_3_366_517
+MGKKWSCDYGRAEARLFPLSRGSCGSVLPEKHVGPAGRPPLCSGKGAHQD
+>DECOY_NM_032333|332786_3_366_517
+DQHAGKGSCLPPRGAPGVHKEPLVSGCSGRSLPFLRAEARGYDCSWKKGM
+>NM_003061|332894_2_3175_3263
+MGAPAMHRRARMPRSRAPVPPALKDQPVG
+>DECOY_NM_003061|332894_2_3175_3263
+GVPQDKLAPPVPARSRPMRARRHMAPAGM
+>NM_003061|332903_2_3532_4025
+MGPSVWMKSTATPASVLRATVDSSVRSLPICLPPRAPVRGLSARMGPTVWTRATGLCASASQASVALSVRSCSVSTLWIGTLTCSSLTCKTGHGPTSRCRSPRQRTMGSFCTTGTTTTLQLSCTRAMCVSATTQAATPALPSTVLRRSTMGNSTPLSWLPLTRW
+>DECOY_NM_003061|332903_2_3532_4025
+WRTLPLWSLPTSNGMTSRRLVTSPLAPTAAQTTASVCMARTCSLQLTTTTGTTCFSGMTRQRPSRCRSTPGHGTKCTLSSCTLTGIWLTSVSCSRVSLAVSAQSASACLGTARTWVTPGMRASLGRVPARPPLCIPLSRVSSDVTARLVSAPTATSKMWVSPGM
+>NM_003061|332905_2_3664_4025
+MGPTVWTRATGLCASASQASVALSVRSCSVSTLWIGTLTCSSLTCKTGHGPTSRCRSPRQRTMGSFCTTGTTTTLQLSCTRAMCVSATTQAATPALPSTVLRRSTMGNSTPLSWLPLTRW
+>DECOY_NM_003061|332905_2_3664_4025
+WRTLPLWSLPTSNGMTSRRLVTSPLAPTAAQTTASVCMARTCSLQLTTTTGTTCFSGMTRQRPSRCRSTPGHGTKCTLSSCTLTGIWLTSVSCSRVSLAVSAQSASACLGTARTWVTPGM
+>NM_003061|332906_2_3850_4025
+MGSFCTTGTTTTLQLSCTRAMCVSATTQAATPALPSTVLRRSTMGNSTPLSWLPLTRW
+>DECOY_NM_003061|332906_2_3850_4025
+WRTLPLWSLPTSNGMTSRRLVTSPLAPTAAQTTASVCMARTCSLQLTTTTGTTCFSGM
+>NM_003061|332912_2_4396_4760
+MATSVSMGNACPSTLFPTAASARMGTRGHCATRPGPWQSPAEACSACMATARPQAPRGHTVCVTPAFRASCVSKSPSAGGTLSGTFTRSRGAMPSARPRAPCHGWSAGARAQARAAARAFG
+>DECOY_NM_003061|332912_2_4396_4760
+GFARAAARAQARAGASWGHCPARPRASPMAGRSRTFTGSLTGGASPSKSVCSARFAPTVCVTHGRPAQPRATAMCASCAEAPSQWPGPRTACHGRTGMRASAATPFLTSPCANGMSVSTAM
+>NM_003061|332914_2_4465_4760
+MGTRGHCATRPGPWQSPAEACSACMATARPQAPRGHTVCVTPAFRASCVSKSPSAGGTLSGTFTRSRGAMPSARPRAPCHGWSAGARAQARAAARAFG
+>DECOY_NM_003061|332914_2_4465_4760
+GFARAAARAQARAGASWGHCPARPRASPMAGRSRTFTGSLTGGASPSKSVCSARFAPTVCVTHGRPAQPRATAMCASCAEAPSQWPGPRTACHGRTGM
+>NM_003061|332926_3_4703_4782
+MGGVPGLVPRPGLLPGPSAEAEEVHL
+>DECOY_NM_003061|332926_3_4703_4782
+LHVEEAEASPGPLLGPRPVLGPVGGM
+>NM_018107|332963_2_476_624
+MVVSREVGTIVVRIVCITGVLHLPLVIGMDTVRVLISERRAQSGSQLII
+>DECOY_NM_018107|332963_2_476_624
+IILQSGSQARRESILVRVTDMGIVLPLHLVGTICVIRVVITGVERSVVM
+>NM_018107|332965_2_926_1032
+MVDQCASMWVPCTSISLKTCSGASLSPLVKLIILS
+>DECOY_NM_018107|332965_2_926_1032
+SLIILKVLPSLSAGSCTKLSISTCPVWMSACQDVM
+>NM_001077352|333141_2_476_570
+MVVSREVGTIVVRIVCITGVLHLPLGSQLII
+>DECOY_NM_001077352|333141_2_476_570
+IILQSGLPLHLVGTICVIRVVITGVERSVVM
+>NM_144574|333174_2_1289_1533
+MGTVLQHPGTLCRLLCHGPTAFHIQQSQMLAAKAVSWTGPLLLGSANLQHFHYMTGRRGTTRKITSEIIAWDTFLARAVTN
+>DECOY_NM_144574|333174_2_1289_1533
+NTVARALFTDWAIIESTIKRTTGRRGTMYHFHQLNASGLLLPGTWSVAKAALMQSQQIHFATPGHCLLRCLTGPHQLVTGM
+>NM_004840|333221_2_2488_2624
+MAQVLEKIPFHKSYSLRKRNSSLKKPEATARPSWKKRALLILFTP
+>DECOY_NM_004840|333221_2_2488_2624
+PTFLILLARKKWSPRATAEPKKLSSNRKRLSYSKHFPIKELVQAM
+>NM_004840|333229_3_2075_2154
+MVGAAEQTDQRTCLLQFIIQNLIVIM
+>DECOY_NM_004840|333229_3_2075_2154
+MIVILNQIIFQLLCTRQDTQEAAGVM
+>NM_024045|333320_2_879_994
+MVLTSWLEHLVVSKTICRVADWIFLNCDMLCLMKWIRC
+>DECOY_NM_024045|333320_2_879_994
+CRIWKMLCLMDCNLFIWDAVRCITKSVVLHELWSTLVM
+>NM_018254|333410_2_245_540
+MEGCLYGLHITVSQMPNWMNTLQLQRKSMATMWNRHLACCSGINITLRSPLLISLISLPFRMSGQWKIKSYLNKPLVFMERAFTGFSKCFQIRQLQAL
+>DECOY_NM_018254|333410_2_245_540
+LAQLQRIQFCKSFGTFAREMFVLPKNLYSKIKWQGSMRFPLSILSILLPSRLTINIGSCCALHRNWMTAMSKRQLQLTNMWNPMQSVTIHLGYLCGEM
+>NM_018254|333413_2_329_540
+MATMWNRHLACCSGINITLRSPLLISLISLPFRMSGQWKIKSYLNKPLVFMERAFTGFSKCFQIRQLQAL
+>DECOY_NM_018254|333413_2_329_540
+LAQLQRIQFCKSFGTFAREMFVLPKNLYSKIKWQGSMRFPLSILSILLPSRLTINIGSCCALHRNWMTAM
+>NM_006152|333437_2_1062_1159
+MVLNACVLRACCSPGNIPHYHYPDTLHRQTVL
+>DECOY_NM_006152|333437_2_1062_1159
+LVTQRHLTDPYHYHPINGPSCCARLVCANLVM
+>NM_000423|333536_2_169_437
+MVVVAGASVEAALAVGVLLALEGPRASPLVWLEEVVALAPLVDLVAEEVVLEAAAALEVAAASVVVVSVEAALVEAALEVLGALVVLEV
+>DECOY_NM_000423|333536_2_169_437
+VELVVLAGLVELAAEVLAAEVSVVVVSAAAVELAAAAELVVEEAVLDVLPALAVVEELWVLPSARPGELALLVGVALAAEVSAGAVVVM
+>NM_000423|333543_2_1648_1898
+MALEADSLAPEAVVEEEVLSLEEDMALAVVLEEDTDLVVALREGPSLEEDMALEVENTALEVALEEAPALEEDMALEVGVLAL
+>DECOY_NM_000423|333543_2_1648_1898
+LALVGVELAMDEELAPAEELAVELATNEVELAMDEELSPGERLAVVLDTDEELVVALAMDEELSLVEEEVVAEPALSDAELAM
+>NM_000423|333544_2_1720_1898
+MALAVVLEEDTDLVVALREGPSLEEDMALEVENTALEVALEEAPALEEDMALEVGVLAL
+>DECOY_NM_000423|333544_2_1720_1898
+LALVGVELAMDEELAPAEELAVELATNEVELAMDEELSPGERLAVVLDTDEELVVALAM
+>NM_000423|333545_2_1798_1898
+MALEVENTALEVALEEAPALEEDMALEVGVLAL
+>DECOY_NM_000423|333545_2_1798_1898
+LALVGVELAMDEELAPAEELAVELATNEVELAM
+>NM_001136224|333561_2_445_740
+MEGCLYGLHITVSQMPDWMNTLQLQRKSMATMWNRHLACCSGINITLRSPLLISLISLPFRMSGQWKIKSYLNKPLVFMERAFTGFSKCFQIRQLQAL
+>DECOY_NM_001136224|333561_2_445_740
+LAQLQRIQFCKSFGTFAREMFVLPKNLYSKIKWQGSMRFPLSILSILLPSRLTINIGSCCALHRNWMTAMSKRQLQLTNMWDPMQSVTIHLGYLCGEM
+>NM_175748|333745_2_483_595
+MEVTNYLSYTQKEIFVVIVETASLKIWNANYFLTKQR
+>DECOY_NM_175748|333745_2_483_595
+RQKTLFYNANWIKLSATEVIVVFIEKQTYSLYNTVEM
+>NM_175748|333747_2_918_994
+MESIKIVPSKRMFQNRERMMSGRLK
+>DECOY_NM_175748|333747_2_918_994
+KLRGSMMRERNQFMRKSPVIKISEM
+>NM_017542|333880_2_886_980
+MGGLLWWTSVWPNMSDTCRPKGTPSPGRRCS
+>DECOY_NM_017542|333880_2_886_980
+SCRRGPSPTGKPRCTDSMNPWVSTWWLLGGM
+>NM_016264|333917_2_785_927
+MDLINVNCVGKPFFGPVYYVCMKELTLERNHMNVSSVLKPSLFTVPI
+>DECOY_NM_016264|333917_2_785_927
+IPVTFLSPKLVSSVNMHNRELTLEKMCVYYVPGFFPKGVCNVNILDM
+>NM_016264|333922_2_1205_1287
+MDLINVRYVGKALIFLVQHEFMKELTL
+>DECOY_NM_016264|333922_2_1205_1287
+LTLEKMFEHQVLFILAKGVYRVNILDM
+>NM_016264|333923_2_1373_1761
+MALINAQYVGKPLILLVYFKDMKGLTLERNPMNASNVGKPSVLPVPFENMKQHTLESNPINVNVEKLLVIYFPFKVMKQHTVKRSLMNVRSVGKHLVLLNTFVAMKGLTVKKNLMSVKFVAKPSVVSVT
+>DECOY_NM_016264|333923_2_1373_1761
+TVSVVSPKAVFKVSMLNKKVTLGKMAVFTNLLVLHKGVSRVNMLSRKVTHQKMVKFPFYIVLLKEVNVNIPNSELTHQKMNEFPVPLVSPKGVNSANMPNRELTLGKMDKFYVLLILPKGVYQANILAM
+>NM_012245|333954_2_205_401
+MEVLFQRSMWPSIHWIWDERKKCRMRWPFRWILKEKLNMMQLLDKDSQKTRSFIANTLTWFQRRL
+>DECOY_NM_012245|333954_2_205_401
+LRRQFWTLTNAIFSRTKQSDKDLLQMMNLKEKLIWRFPWRMRCKKREDWIWHISPWMSRQFLVEM
+>NM_012245|333962_2_1063_1415
+MGRHVRGMKSGMTGEKRDSMTGIFPGQLLIRGRNFREMKIGISVKLLLSVFLILGLPMKFSMTKGSSTNPRVWTVDLQVEKMKFIMFMIKPGEVVKIWPRVFIGPVKIWTRTCMVMT
+>DECOY_NM_012245|333962_2_1063_1415
+TMVMCTRTWIKVPGIFVRPWIKVVEGPKIMFMIFKMKEVQLDVTWVRPNTSSGKTMSFKMPLGLILFVSLLLKVSIGIKMERFNRGRILLQGPFIGTMSDRKEGTMGSKMGRVHRGM
+>NM_001249|333988_3_1135_1211
+MVGSRVDLWGCEIPVWWQPRRGGGL
+>DECOY_NM_001249|333988_3_1135_1211
+LGGGRRPQWWVPIECGWLDVRSGVM
+>NM_148674|334012_2_1895_1968
+MVLFVRLWKKQGILHSVDLKDRKQ
+>DECOY_NM_148674|334012_2_1895_1968
+QKRDKLDVSHLIGQKKWLRVFLVM
+>NM_002976|334084_2_2822_2919
+MVADADLHLVKSVEHPRKEKSGRTSGKPAARL
+>DECOY_NM_002976|334084_2_2822_2919
+LRAAPKGSTRGSKEKRPHEVSKVLHLDADAVM
+>NM_002976|334091_2_4436_4581
+MGCLMQFSTVNGLTVILIKLTLGLKLEEIVGTPLLGFFILSVISSYHG
+>DECOY_NM_002976|334091_2_4436_4581
+GHYSSIVSLIFFGLLPTGVIEELKLGLTLKILIVTLGNVTSFQMLCGM
+>NM_022071|334146_3_449_552
+MERKRSSYGKKGVPASETQTKEREWQIGSLETWS
+>DECOY_NM_022071|334146_3_449_552
+SWTELSGIQWEREKTQTESAPVGKKGYSSRKREM
+>NM_017635|334189_2_419_504
+MVTRDLKDRVAMYHPLECPPRNSVKMMT
+>DECOY_NM_017635|334189_2_419_504
+TMMKVSNRPPCELPHYMAVRDKLDRTVM
+>NM_017635|334191_2_989_1068
+MEKTTSVSCTPQGKTVLNSGWVLLRL
+>DECOY_NM_017635|334191_2_989_1068
+LRLLVWGSNLVTKGQPTCSVSTTKEM
+>NM_017635|334192_2_1175_1329
+MEMGSLEKIMSSASVTLAKDGALVLLNPEWDCLRLLLLSIANMDSEKQINV
+>DECOY_NM_017635|334192_2_1175_1329
+VNIQKESDMNAISLLLLRLCDWEPNLLVLAGDKALTVSASSMIKELSGMEM
+>NM_017635|334193_2_1181_1329
+MGSLEKIMSSASVTLAKDGALVLLNPEWDCLRLLLLSIANMDSEKQINV
+>DECOY_NM_017635|334193_2_1181_1329
+VNIQKESDMNAISLLLLRLCDWEPNLLVLAGDKALTVSASSMIKELSGM
+>NM_017635|334198_2_2648_2829
+MGCAAVILFLSWSLEWRWMTIVSMRKKVQMIPPLLRAMKRRMTMMMTLKTILFLFLQLSA
+>DECOY_NM_017635|334198_2_2648_2829
+ASLQLFLFLITKLTMMMTMRRKMARLLPPIMQVKKRMSVITMWRWELSWSLFLIVAACGM
+>NM_020841|334230_2_787_944
+MAQRRTVQLQANSQKKNLLRYKRKITEKKRKEPQRSCSVQSQILLLLLWLIG
+>DECOY_NM_020841|334230_2_787_944
+GILWLLLLLIQSQVSCSRQPEKRKKETIKRKYRLLNKKQSNAQLQVTRRQAM
+>NM_003298|334324_2_1040_1113
+MVQFSWPRILRLKQARELWAHWQM
+>DECOY_NM_003298|334324_2_1040_1113
+MQWHAWLERAQKLRLIRPWSFQVM
+>NM_020245|334483_2_1376_1527
+MGLCFAVTPTSCACPGRGVSPRVRRRSLCAGDATMRKAGWPRATGEEWLG
+>DECOY_NM_020245|334483_2_1376_1527
+GLWEEGTARPWGAKRMTADGACLSRRRVRPSVGRGPCACSTPTVAFCLGM
+>NM_020245|334487_2_1763_1929
+MELKHLFPIEMGLSWLGLSVDKDTGHPKSTWKVKLRVAYGLLTTNRCCLARPMGR
+>DECOY_NM_020245|334487_2_1763_1929
+RGMPRALCCRNTTLLGYAVRLKVKWTSKPHGTDKDVSLGLWSLGMEIPFLHKLEM
+>NM_020245|334488_2_1793_1929
+MGLSWLGLSVDKDTGHPKSTWKVKLRVAYGLLTTNRCCLARPMGR
+>DECOY_NM_020245|334488_2_1793_1929
+RGMPRALCCRNTTLLGYAVRLKVKWTSKPHGTDKDVSLGLWSLGM
+>NM_020245|334490_2_2081_2163
+MVRQHIPSQCRTSSLCSPSASPRETSA
+>DECOY_NM_020245|334490_2_2081_2163
+ASTERPSASPSCLSSTRCQSPIHQRVM
+>NM_020245|334493_2_3725_3840
+METETTNTCRSQPRPCGQHRSWQLRGTQWSLVPPRRSR
+>DECOY_NM_020245|334493_2_3725_3840
+RSRRPPVLSWQTGRLQWSRHQGCPRPQSRCTNTTETEM
+>NM_020245|334495_2_4910_5277
+MGWECHIQEAITTPLCLECRLPALPKMPCPQRSLHNRSLLWSFSRCTHPASPIAPCPPCTQEAARALVYSCHLSPCIHGVPTAPARPCRTPRALSPQSHTWWWRSPLCPHHLPTSKATWAQR
+>DECOY_NM_020245|334495_2_4910_5277
+RQAWTAKSTPLHHPCLPSRWWWTHSQPSLARPTRCPRAPATPVGHICPSLHCSYVLARAAEQTCPPCPAIPSAPHTCRSFSWLLSRNHLSRQPCPMKPLAPLRCELCLPTTIAEQIHCEWGM
+>NM_002152|334523_2_419_1308
+MGIISGATQTVKRRMKMSPRNMGTYSQATGPKTTKSEMRVSQVRRSLQSMVGRPVGTEATGVKTRKTQLSTGTTSPATGATAIKTRMRMKLCPVSITIISSGMDTEAMMGKMMKEKRRRRRRRRKRRPPLSMDTRPTGTEAMGVKRMRMSQMDTIIMAPATGTKAMKKMTMMMMMMMMMMMMMMSPLNIDTRLTGTKATGLKRMKMSQMDTIIATPATGTEAMKKMTMMMMMSPLSMDTRPTGTKTTERKRLRLSQVNTTIMSLTTGTKATETRKKMRMCPLNVGTRVPNMSTMAL
+>DECOY_NM_002152|334523_2_419_1308
+LAMTSMNPVRTGVNLPCMRMKKRTETAKTGTTLSMITTNVQSLRLRKRETTKTGTPRTDMSLPSMMMMMTMKKMAETGTAPTAIITDMQSMKMRKLGTAKTGTLRTDINLPSMMMMMMMMMMMMMMTMKKMAKTGTAPAMIITDMQSMRMRKVGMAETGTPRTDMSLPPRRKRRRRRRRRKEKMMKGMMAETDMGSSIITISVPCLKMRMRTKIATAGTAPSTTGTSLQTKRTKVGTAETGVPRGVMSQLSRRVQSVRMESKTTKPGTAQSYTGMNRPSMKMRRKVTQTAGSIIGM
+>NM_002152|334526_2_482_1308
+MGTYSQATGPKTTKSEMRVSQVRRSLQSMVGRPVGTEATGVKTRKTQLSTGTTSPATGATAIKTRMRMKLCPVSITIISSGMDTEAMMGKMMKEKRRRRRRRRKRRPPLSMDTRPTGTEAMGVKRMRMSQMDTIIMAPATGTKAMKKMTMMMMMMMMMMMMMMSPLNIDTRLTGTKATGLKRMKMSQMDTIIATPATGTEAMKKMTMMMMMSPLSMDTRPTGTKTTERKRLRLSQVNTTIMSLTTGTKATETRKKMRMCPLNVGTRVPNMSTMAL
+>DECOY_NM_002152|334526_2_482_1308
+LAMTSMNPVRTGVNLPCMRMKKRTETAKTGTTLSMITTNVQSLRLRKRETTKTGTPRTDMSLPSMMMMMTMKKMAETGTAPTAIITDMQSMKMRKLGTAKTGTLRTDINLPSMMMMMMMMMMMMMMTMKKMAKTGTAPAMIITDMQSMRMRKVGMAETGTPRTDMSLPPRRKRRRRRRRRKEKMMKGMMAETDMGSSIITISVPCLKMRMRTKIATAGTAPSTTGTSLQTKRTKVGTAETGVPRGVMSQLSRRVQSVRMESKTTKPGTAQSYTGM
+>NM_002152|334528_2_566_1308
+MVGRPVGTEATGVKTRKTQLSTGTTSPATGATAIKTRMRMKLCPVSITIISSGMDTEAMMGKMMKEKRRRRRRRRKRRPPLSMDTRPTGTEAMGVKRMRMSQMDTIIMAPATGTKAMKKMTMMMMMMMMMMMMMMSPLNIDTRLTGTKATGLKRMKMSQMDTIIATPATGTEAMKKMTMMMMMSPLSMDTRPTGTKTTERKRLRLSQVNTTIMSLTTGTKATETRKKMRMCPLNVGTRVPNMSTMAL
+>DECOY_NM_002152|334528_2_566_1308
+LAMTSMNPVRTGVNLPCMRMKKRTETAKTGTTLSMITTNVQSLRLRKRETTKTGTPRTDMSLPSMMMMMTMKKMAETGTAPTAIITDMQSMKMRKLGTAKTGTLRTDINLPSMMMMMMMMMMMMMMTMKKMAKTGTAPAMIITDMQSMRMRKVGMAETGTPRTDMSLPPRRKRRRRRRRRKEKMMKGMMAETDMGSSIITISVPCLKMRMRTKIATAGTAPSTTGTSLQTKRTKVGTAETGVPRGVM
+>NM_002152|334531_2_725_1308
+MDTEAMMGKMMKEKRRRRRRRRKRRPPLSMDTRPTGTEAMGVKRMRMSQMDTIIMAPATGTKAMKKMTMMMMMMMMMMMMMMSPLNIDTRLTGTKATGLKRMKMSQMDTIIATPATGTEAMKKMTMMMMMSPLSMDTRPTGTKTTERKRLRLSQVNTTIMSLTTGTKATETRKKMRMCPLNVGTRVPNMSTMAL
+>DECOY_NM_002152|334531_2_725_1308
+LAMTSMNPVRTGVNLPCMRMKKRTETAKTGTTLSMITTNVQSLRLRKRETTKTGTPRTDMSLPSMMMMMTMKKMAETGTAPTAIITDMQSMKMRKLGTAKTGTLRTDINLPSMMMMMMMMMMMMMMTMKKMAKTGTAPAMIITDMQSMRMRKVGMAETGTPRTDMSLPPRRKRRRRRRRRKEKMMKGMMAETDM
+>NM_002152|334533_2_743_1308
+MGKMMKEKRRRRRRRRKRRPPLSMDTRPTGTEAMGVKRMRMSQMDTIIMAPATGTKAMKKMTMMMMMMMMMMMMMMSPLNIDTRLTGTKATGLKRMKMSQMDTIIATPATGTEAMKKMTMMMMMSPLSMDTRPTGTKTTERKRLRLSQVNTTIMSLTTGTKATETRKKMRMCPLNVGTRVPNMSTMAL
+>DECOY_NM_002152|334533_2_743_1308
+LAMTSMNPVRTGVNLPCMRMKKRTETAKTGTTLSMITTNVQSLRLRKRETTKTGTPRTDMSLPSMMMMMTMKKMAETGTAPTAIITDMQSMKMRKLGTAKTGTLRTDINLPSMMMMMMMMMMMMMMTMKKMAKTGTAPAMIITDMQSMRMRKVGMAETGTPRTDMSLPPRRKRRRRRRRRKEKMMKGM
+>NM_002152|334536_2_812_1308
+MDTRPTGTEAMGVKRMRMSQMDTIIMAPATGTKAMKKMTMMMMMMMMMMMMMMSPLNIDTRLTGTKATGLKRMKMSQMDTIIATPATGTEAMKKMTMMMMMSPLSMDTRPTGTKTTERKRLRLSQVNTTIMSLTTGTKATETRKKMRMCPLNVGTRVPNMSTMAL
+>DECOY_NM_002152|334536_2_812_1308
+LAMTSMNPVRTGVNLPCMRMKKRTETAKTGTTLSMITTNVQSLRLRKRETTKTGTPRTDMSLPSMMMMMTMKKMAETGTAPTAIITDMQSMKMRKLGTAKTGTLRTDINLPSMMMMMMMMMMMMMMTMKKMAKTGTAPAMIITDMQSMRMRKVGMAETGTPRTDM
+>NM_002152|334537_2_842_1308
+MGVKRMRMSQMDTIIMAPATGTKAMKKMTMMMMMMMMMMMMMMSPLNIDTRLTGTKATGLKRMKMSQMDTIIATPATGTEAMKKMTMMMMMSPLSMDTRPTGTKTTERKRLRLSQVNTTIMSLTTGTKATETRKKMRMCPLNVGTRVPNMSTMAL
+>DECOY_NM_002152|334537_2_842_1308
+LAMTSMNPVRTGVNLPCMRMKKRTETAKTGTTLSMITTNVQSLRLRKRETTKTGTPRTDMSLPSMMMMMTMKKMAETGTAPTAIITDMQSMKMRKLGTAKTGTLRTDINLPSMMMMMMMMMMMMMMTMKKMAKTGTAPAMIITDMQSMRMRKVGM
+>NM_002152|334541_2_887_1308
+MAPATGTKAMKKMTMMMMMMMMMMMMMMSPLNIDTRLTGTKATGLKRMKMSQMDTIIATPATGTEAMKKMTMMMMMSPLSMDTRPTGTKTTERKRLRLSQVNTTIMSLTTGTKATETRKKMRMCPLNVGTRVPNMSTMAL
+>DECOY_NM_002152|334541_2_887_1308
+LAMTSMNPVRTGVNLPCMRMKKRTETAKTGTTLSMITTNVQSLRLRKRETTKTGTPRTDMSLPSMMMMMTMKKMAETGTAPTAIITDMQSMKMRKLGTAKTGTLRTDINLPSMMMMMMMMMMMMMMTMKKMAKTGTAPAM
+>NM_002152|334568_2_1127_1308
+MDTRPTGTKTTERKRLRLSQVNTTIMSLTTGTKATETRKKMRMCPLNVGTRVPNMSTMAL
+>DECOY_NM_002152|334568_2_1127_1308
+LAMTSMNPVRTGVNLPCMRMKKRTETAKTGTTLSMITTNVQSLRLRKRETTKTGTPRTDM
+>NM_002152|334582_3_204_379
+MAARFCPLGWGGQPAPPPGHDPAAQRGWARLQKPEQQHWSRRALRGGISRASPPPPQP
+>DECOY_NM_002152|334582_3_204_379
+PQPPPPSARSIGGRLARRSWHQQEPKQLRAWGRQAAPDHGPPPAPQGGWGLPCFRAAM
+>NM_014977|334618_2_401_522
+MAVGGVISVRSKGVGGNYRRCPKPSGRTRVPHARWRSWRR
+>DECOY_NM_014977|334618_2_401_522
+RRWSRWRAHPVRTRGSPKPCRRYNGGVGKSRVSIVGGVAM
+>NM_014977|334628_2_3122_3195
+MGRGKKRKKRRNLKQNLLYLPRCQ
+>DECOY_NM_014977|334628_2_3122_3195
+QCRPLYLLNQKLNRRKKRKKGRGM
+>NM_001040445|334799_2_753_844
+MVLSTHRDSTGAPLGASWMLFCATAVRQPS
+>DECOY_NM_001040445|334799_2_753_844
+SPQRVATACFLMWSAGLPAGTSDRHTSLVM
+>NM_152330|334853_2_778_851
+MENTSSQRLTSHLGLFPRGGRTTS
+>DECOY_NM_152330|334853_2_778_851
+STTRGGRPFLGLHSTLRQSSTNEM
+>NM_152330|334858_2_1549_1625
+MAAPTPQGWRVAAKTGWKRTYRTMK
+>DECOY_NM_152330|334858_2_1549_1625
+KMTRYTRKWGTKAAVRWGQPTPAAM
+>NM_152330|334868_3_533_633
+MEERGQQGYRPIWASYDHPLPCAVLCGKWQIDQ
+>DECOY_NM_152330|334868_3_533_633
+QDIQWKGCLVACPLPHDYSAWIPRYGQQGREEM
+>NM_018453|334907_2_380_468
+MEKLQQLRQGTTMIYILILIPRMKTEQYR
+>DECOY_NM_018453|334907_2_380_468
+RYQETKMRPILILIYIMTTGQRLQQLKEM
+>NM_001010888|335116_2_1546_1622
+MGPLLAMLSNTQSLNPWGTMATIQC
+>DECOY_NM_001010888|335116_2_1546_1622
+CQITAMTGWPNLSQTNSLMALLPGM
+>NM_001666|335221_2_1063_1178
+MGMRWLRSALKWSCGTRFCPEPRTSRAAWTDRPLRQRR
+>DECOY_NM_001666|335221_2_1063_1178
+RRQRLPRDTWAARSTRPEPCFRTGCSWKLASRLWRMGM
+>NM_007086|335328_2_547_626
+MDLSECGKFQIRHVLLVGHCYKNATM
+>DECOY_NM_007086|335328_2_547_626
+MTANKYCHGVLLVHRIQFKGCESLDM
+>NM_007086|335333_2_1342_1475
+MDPCQLPGKSHFSQVLHRCISLTDSWCGTLLELFAAIMMSKTMP
+>DECOY_NM_007086|335333_2_1342_1475
+PMTKSMMIAAFLELLTGCWSDTLSICRHLVQSFHSKGPLQCPDM
+>NM_007086|335335_2_1813_1889
+MENSFSLFITEVQDLMGISALEFNC
+>DECOY_NM_007086|335335_2_1813_1889
+CNFELASIGMLDQVETIFLSFSNEM
+>NM_003076|335414_2_411_655
+MGGTLQSDLAWPSQGWISPARDLPLSRSSRSSSRRSKIETTMQRKRRWLTKFYLKGFVNWYQNPRPIWISWLLKGNWTRLS
+>DECOY_NM_003076|335414_2_411_655
+SLRTWNGKLLWSIWIPRPNQYWNVFGKLYFKTLWRRKRQMTTEIKSRRSSSRSSRSLPLDRAPSIWGQSPWALDSQLTGGM
+>NM_003076|335419_3_940_1055
+MAQDRHYPGDRWLSGEAAGRRECTVYCPTDAGLPASPV
+>DECOY_NM_003076|335419_3_940_1055
+VPSAPLGADTPCYVTCERRGAAEGSLWRDGPYHRDQAM
+>NM_004461|335509_2_1186_1325
+MVSPWATSWAFCGSSSPSWVSRNSASSQPTTHTQSPAWRCSATTKA
+>DECOY_NM_004461|335509_2_1186_1325
+AKTTASCRWAPSQTHTTPQSSASNRSVWSPSSSGCFAWSTAWPSVM
+>NM_002137|335546_2_880_1127
+MAVDVDLGMAIMGMEEDLEVAILEVAPVMEEEEEDMVVEDLDMATRVGATEVVMTTMEEEIMEVEITMILEIITSNLLTTVQ
+>DECOY_NM_002137|335546_2_880_1127
+QVTTLLNSTIIELIMTIEVEMIEEEMTTMVVETAGVRTAMDLDEVVMDEEEEEMVPAVELIAVELDEEMGMIAMGLDVDVAM
+>NM_002137|335547_2_904_1127
+MAIMGMEEDLEVAILEVAPVMEEEEEDMVVEDLDMATRVGATEVVMTTMEEEIMEVEITMILEIITSNLLTTVQ
+>DECOY_NM_002137|335547_2_904_1127
+QVTTLLNSTIIELIMTIEVEMIEEEMTTMVVETAGVRTAMDLDEVVMDEEEEEMVPAVELIAVELDEEMGMIAM
+>NM_002137|335548_2_913_1127
+MGMEEDLEVAILEVAPVMEEEEEDMVVEDLDMATRVGATEVVMTTMEEEIMEVEITMILEIITSNLLTTVQ
+>DECOY_NM_002137|335548_2_913_1127
+QVTTLLNSTIIELIMTIEVEMIEEEMTTMVVETAGVRTAMDLDEVVMDEEEEEMVPAVELIAVELDEEMGM
+>NM_002137|335549_2_919_1127
+MEEDLEVAILEVAPVMEEEEEDMVVEDLDMATRVGATEVVMTTMEEEIMEVEITMILEIITSNLLTTVQ
+>DECOY_NM_002137|335549_2_919_1127
+QVTTLLNSTIIELIMTIEVEMIEEEMTTMVVETAGVRTAMDLDEVVMDEEEEEMVPAVELIAVELDEEM
+>NM_002137|335550_2_964_1127
+MEEEEEDMVVEDLDMATRVGATEVVMTTMEEEIMEVEITMILEIITSNLLTTVQ
+>DECOY_NM_002137|335550_2_964_1127
+QVTTLLNSTIIELIMTIEVEMIEEEMTTMVVETAGVRTAMDLDEVVMDEEEEEM
+>NM_002137|335551_2_985_1127
+MVVEDLDMATRVGATEVVMTTMEEEIMEVEITMILEIITSNLLTTVQ
+>DECOY_NM_002137|335551_2_985_1127
+QVTTLLNSTIIELIMTIEVEMIEEEMTTMVVETAGVRTAMDLDEVVM
+>NM_002137|335552_2_1006_1127
+MATRVGATEVVMTTMEEEIMEVEITMILEIITSNLLTTVQ
+>DECOY_NM_002137|335552_2_1006_1127
+QVTTLLNSTIIELIMTIEVEMIEEEMTTMVVETAGVRTAM
+>NM_002137|335554_2_1048_1127
+MEEEIMEVEITMILEIITSNLLTTVQ
+>DECOY_NM_002137|335554_2_1048_1127
+QVTTLLNSTIIELIMTIEVEMIEEEM
+>NM_002137|335558_3_308_399
+MGKAYRLCGNEGSCKQKIKRIWFCNFFIHG
+>DECOY_NM_002137|335558_3_308_399
+GHIFFNCFWIRKIKQKCSGENGCLRYAKGM
+>NM_152243|335593_2_935_1035
+MVPSPLSPGFAALTLSCPSAWTSTLGPHSSASC
+>DECOY_NM_152243|335593_2_935_1035
+CSASSHPGLTSTWASPCSLTLAAFGPSLPSPVM
+>NM_032852|335613_3_470_600
+MADLQGRIPSNRRLSFDNRLWVGLHIENWPDALGSRTHTTLSW
+>DECOY_NM_032852|335613_3_470_600
+WSLTTHTRSGLADPWNEIHLGVWLRNDFSLRRNSPIRGQLDAM
+>NM_022489|335681_3_1789_1877
+MGPQPSAGEPTHTAHEEAELAEAAIQRGT
+>DECOY_NM_022489|335681_3_1789_1877
+TGRQIAAEALEAEEHATHTPEGASPQPGM
+>NM_006051|335732_2_666_739
+MVGNPTSRAWSQGLSALQSALWAG
+>DECOY_NM_006051|335732_2_666_739
+GAWLASQLASLGQSWARSTPNGVM
+>NM_019601|335783_3_772_881
+MASGCTSDHRQQKLRRAEGRAGALDQRPRTGLAPER
+>DECOY_NM_019601|335783_3_772_881
+REPALGTRPRQDLAGARGEARRLKQQRHDSTCGSAM
+>NM_139119|335887_2_325_419
+MAQKRRSVWLSLKLTLTPLKLYGLRNYWPTY
+>DECOY_NM_139119|335887_2_325_419
+YTPWYNRLGYLKLPTLTLKLSLWVSRRKQAM
+>NM_024691|335937_3_482_561
+MGGALHACLGSCDFSHTERLLAWSRG
+>DECOY_NM_024691|335937_3_482_561
+GRSWALLRETHSFDCSGLCAHLAGGM
+>NM_014893|336179_3_497_591
+MAPFVVHLCLCHVKLQCSSVDNCSCHQVHPH
+>DECOY_NM_014893|336179_3_497_591
+HPHVQHCSCNDVSSCQLKVHCLCLHVVFPAM
+>NM_033064|336311_2_764_900
+MATNWSGKTTPPWPPPRTCPGTARIYLGTARRRTAAPPTGACGGQ
+>DECOY_NM_033064|336311_2_764_900
+QGGCAGTPPAATRRRATGLYIRATGPCTRPPPWPPTTKGSWNTAM
+>NM_033064|336321_3_483_604
+MAGRRSSQATPRRDGGGTAWQPGGRHILSSQHAKFQRSAS
+>DECOY_NM_033064|336321_3_483_604
+SASRQFKAHQSSLIHRGGPQWATGGGDRRPTAQSSRRGAM
+>NM_018977|336347_2_1508_1710
+MVSLALTLTIPSPILWTICMAILRVRTPCERPSSSCIQTGQTVTTLRPAVKHWWHSSLTTSGWSPQW
+>DECOY_NM_018977|336347_2_1508_1710
+WQPSWGSTTLSSHWWHKVAPRLTTVTQGTQICSSSPRECPTRVRLIAMCITWLIPSPITLTLALSVM
+>NM_002910|336438_2_861_1015
+MDKLCWRMCQRVARNFLAAWGDSRTQATRWKPAGFCSVIAFGKATPNFEPT
+>DECOY_NM_002910|336438_2_861_1015
+TPEFNPTAKGFAIVSCFGAPKWRTAQTRSDGWAALFNRAVRQCMRWCLKDM
+>NM_002910|336440_3_385_464
+MDVLSPVPHFRALPPCSASGRSKSRW
+>DECOY_NM_002910|336440_3_385_464
+WRSKSRGSASCPPLARFHPVPSLVDM
+>NM_012156|336511_2_1543_1616
+MASLGGNGQRLRRERSGLQPRSRS
+>DECOY_NM_012156|336511_2_1543_1616
+SRSRPQLGSRERRLRQGNGGLSAM
+>NM_012156|336512_2_2056_2255
+MAAPSKTSPAACLSSTGTKATRTLRACCSPGISTRGPPARMMSLGALRTARIEGPAPPRICPSLSP
+>DECOY_NM_012156|336512_2_2056_2255
+PSLSPCIRPPAPGEIRATRLAGLSMMRAPPGRTSIGPSCCARLTRTAKTGTSSLCAAPSTKSPAAM
+>NM_006215|336540_2_145_218
+MVRVAVTAPTSRFWRQVRAPPASR
+>DECOY_NM_006215|336540_2_145_218
+RSAPPARVQRWFRSTPATVAVRVM
+>NM_022731|336636_2_336_457
+MEEIRALPLRKFDHLPEKLKIRGDLERIHRKIVRTQKTKM
+>DECOY_NM_022731|336636_2_336_457
+MKTKQTRVIKRHIRELDGRIKLKEPLHDFKRLPLARIEEM
+>NM_022731|336643_2_696_781
+MAVRKRKTKRWLRSPNLKEKKRKCPNPD
+>DECOY_NM_022731|336643_2_696_781
+DPNPCKRKKEKLNPSRLWRKTKRKRVAM
+>NM_018697|336743_2_1680_1780
+MGLLATAIPSCPFTVSRRIRSTSTELASLQSGV
+>DECOY_NM_018697|336743_2_1680_1780
+VGSQLSALETSTSRIRRSVTFPCSPIATALLGM
+>NM_153280|336831_2_2921_3060
+MVSSTWPCLSLVSLNPLPHHVTSTITKSGHCGIALRYKGCSLMVRR
+>DECOY_NM_153280|336831_2_2921_3060
+RRVMLSCGKYRLAIGCHGSKTITSTVHHPLPNLSVLSLCPWTSSVM
+>NM_001080395|336853_2_2820_3388
+MVAMRSSARRPLAPLEGSRERWTVAMTPRTMSPLSLCSRRRRKGVSPRPLRSWPQRVRAPGPRHGSPPPSVASTRRIPTETLPTSQTSRLRPRPPQAQRRSAAGTEPPGQSWACRALGSRLSRSVSGLGFPGRHKALAPGRCCPHCCSLKGPPQSPAPAPRAWSQSLRSPKAQPRCGLGPAPAAPSFSC
+>DECOY_NM_001080395|336853_2_2820_3388
+CSFSPAAPAPGLGCRPQAKPSRLSQSWARPAPAPSQPPGKLSCCHPCCRGPALAKHRGPFGLGSVSRSLRSGLARCAWSQGPPETGAASRRQAQPPRPRLRSTQSTPLTETPIRRTSAVSPPPSGHRPGPARVRQPWSRLPRPSVGKRRRRSCLSLPSMTRPTMAVTWRERSGELPALPRRASSRMAVM
+>NM_014923|336890_2_184_302
+MVFEELSWSLRHQSFTLVVTQFSTVLHILLYLVSFLSQL
+>DECOY_NM_014923|336890_2_184_302
+LQSLFSVLYLLIHLVTSFQTVVLTFSQHRLSWSLEEFVM
+>NM_014923|336894_2_1159_1343
+MENFVSVTWAHRNNLKLLNFHQQWAVNSDYRPEMTMVQVVLVKKSYITPQAVLLLCQQVLY
+>DECOY_NM_014923|336894_2_1159_1343
+YLVQQCLLLVAQPTIYSKKVLVVQVMTMEPRYDSNVAWQQHFNLLKLNNRHAWTVSVFNEM
+>NM_014923|336896_2_1264_1343
+MVQVVLVKKSYITPQAVLLLCQQVLY
+>DECOY_NM_014923|336896_2_1264_1343
+YLVQQCLLLVAQPTIYSKKVLVVQVM
+>NM_014923|336897_2_1846_2030
+MEDRVRSLNLYLCRLQLCLLAHASLPDYRVDPKQKKYSYDGDPLWLMVDHPFPVTVWKCLL
+>DECOY_NM_014923|336897_2_1846_2030
+LLCKWVTVPFPHDVMLWLPDGDYSYKKQKPDVRYDPLSAHALLCLQLRCLYLNLSRVRDEM
+>NM_014923|336898_2_2272_2366
+MEQMSLNIDWSGEELKEVCRYVTVGLVSVMK
+>DECOY_NM_014923|336898_2_2272_2366
+KMVSVLGVTVYRCVEKLEEGSWDINLSMQEM
+>NM_014923|336900_2_2899_3068
+MDGLYPYTEDHVIHTKYKDLMSQHPINSVFKLVMKLGKVPSPKNIFSLLQNLSQLP
+>DECOY_NM_014923|336900_2_2899_3068
+PLQSLNQLLSFINKPSPVKGLKMVLKFVSNIPHQSMLDKYKTHIVHDETYPYLGDM
+>NM_024095|337104_2_488_582
+MVQTPMLWMATEIPHFTGQPLRTMLSVCGLS
+>DECOY_NM_024095|337104_2_488_582
+SLGCVSLMTRLPQGTFHPIETAMWLMPTQVM
+>NM_003439|337127_2_997_1220
+MGAHFPRVVKTGMRTRSQPQRLKPRKIQHHAGRQQEDPRKSLERNVTRRAKQEKDSRKTLRRKPGKRKEIQGQL
+>DECOY_NM_003439|337127_2_997_1220
+LQGQIEKRKGPKRRLTKRSDKEQKARRTVNRELSKRPDEQQRGAHHQIKRPKLRQPQSRTRMGTKVVRPFHAGM
+>NM_017641|337223_3_4219_4295
+MESGDWAGNNVTGGSSQQCRVCKIL
+>DECOY_NM_017641|337223_3_4219_4295
+LIKCVRCQQSSGGTVNNGAWDGSEM
+>NM_004426|337258_2_2878_2981
+MENVTWGIPIQLHLHRNYMASTLCSCPVIPAVGV
+>DECOY_NM_004426|337258_2_2878_2981
+VGVAPIVPCSCLTSAMYNRHLHLQIPIGWTVNEM
+>NM_021948|337289_2_2305_2900
+MVGHAWRRRKGSAAYVCLAMGGTCAMLASASATPAGTPSRAPATSTFPHEGAGRRQRPSAGCTARIWPASAHPRNRTSSTTGTGSTSGSDSTTGPSKATSCGRMASPCSMRTGTLGSLTATSCLERTAWSWCGMIRDNGVTCPATTTCPTPARWGWCPVGRHRSCPWLKCSAAHGCAMRWTLCFATGAGKDWPSAICR
+>DECOY_NM_021948|337289_2_2305_2900
+RCIASPWDKGAGTAFCLTWRMACGHAASCKLWPCSRHRGVPCWGWRAPTPCTTTAPCTVGNDRIMGCWSWATRELCSTATLSGLTGTRMSCPSAMRGCSTAKSPGTTSDSGSTSGTGTTSSTRNRPHASAPWIRATCGASPRQRRGAGEHPFTSTAPARSPTGAPTASASALMACTGGMALCVYAASGKRRRWAHGVM
+>NM_021948|337290_2_2362_2900
+MGGTCAMLASASATPAGTPSRAPATSTFPHEGAGRRQRPSAGCTARIWPASAHPRNRTSSTTGTGSTSGSDSTTGPSKATSCGRMASPCSMRTGTLGSLTATSCLERTAWSWCGMIRDNGVTCPATTTCPTPARWGWCPVGRHRSCPWLKCSAAHGCAMRWTLCFATGAGKDWPSAICR
+>DECOY_NM_021948|337290_2_2362_2900
+RCIASPWDKGAGTAFCLTWRMACGHAASCKLWPCSRHRGVPCWGWRAPTPCTTTAPCTVGNDRIMGCWSWATRELCSTATLSGLTGTRMSCPSAMRGCSTAKSPGTTSDSGSTSGTGTTSSTRNRPHASAPWIRATCGASPRQRRGAGEHPFTSTAPARSPTGAPTASASALMACTGGM
+>NM_024567|337385_2_599_867
+MEEVHMGIVLTMSQHLPLQLQLPHRRSIRECPRHLATVMILPHSLALPIKMGGRIMSDYLHPMERCHQLATMQTAWVRGHTVLKPQKRT
+>DECOY_NM_024567|337385_2_599_867
+TRKQPKLVTHGRVWATQMTALQHCREMPHLYDSMIRGGMKIPLALSHPLIMVTALHRPCERISRRHPLQLQLPLHQSMTLVIGMHVEEM
+>NM_024567|337389_2_749_867
+MGGRIMSDYLHPMERCHQLATMQTAWVRGHTVLKPQKRT
+>DECOY_NM_024567|337389_2_749_867
+TRKQPKLVTHGRVWATQMTALQHCREMPHLYDSMIRGGM
+>NM_004660|337589_2_459_646
+MVLAIVKDLALADLNGVDIVVGVTSQLKMIGQNHFHQVNAWSKNCFLEETRGLTLRNMMIYQ
+>DECOY_NM_004660|337589_2_459_646
+QYIMMNRLTLGRTEELFCNKSWANVQHFHNQGIMKLQSTVGVVIDVGNLDALALDKVIALVM
+>NM_000155|337745_2_968_1140
+MGLPQDQRLGPTGTIGSCTLITTLRSCALPLSGNSWLATKCLLRLRGTSPLSRLQRD
+>DECOY_NM_000155|337745_2_968_1140
+DRQLRSLPSTGRLRLLCKTALWSNGSLPLACSRLTTILTCSGITGTPGLRQDQPLGM
+>NM_001933|337990_2_1155_1255
+MEAFLARSLEHPLSTPLSLPSWGCMASLTGQWL
+>DECOY_NM_001933|337990_2_1155_1255
+LWQGTLSAMCGWSPLSLPTSLPHELSRALFAEM
+>NM_003110|338031_2_1671_1921
+MGRRGLESRARRSTCATSPTVARRSVRRPCCVPMCACTLASGPLSATGSSVGRGSHGVTSSNGMLAPTQGTNASSAPSVRSAS
+>DECOY_NM_003110|338031_2_1671_1921
+SASRVSPASSANTGQTPALMGNSSTVGHSGRGVSSGTASLPGSALTCACMPVCCPRRVSRRAVTPSTACTSRRARSELGRRGM
+>NM_003979|338064_2_1275_1516
+MGPTSTSRCSSPLPSGWPGSPCSCFLTLTAGGMTPSSAPPWLPMAGCSCWLMLVPSFGCSQSNETPWIILLRMLSVNLNS
+>DECOY_NM_003979|338064_2_1275_1516
+SNLNVSLMRLLIIWPTENSQSCGFSPVLMLWCSCGAMPLWPPASSPTMGGATLTLFCSCPSGPWGSPLPSSCRSTSTPGM
+>NM_003979|338068_2_1527_1705
+MVWRTEPTLKRKSLKVLKRQGTRSMPPIPHIFSCRTSLPKRNSPSHGPTLGRALTKTMK
+>DECOY_NM_003979|338068_2_1527_1705
+KMTKTLARGLTPGHSPSNRKPLSTRCSFIHPIPPMSRTGQRKLVKLSKRKLTPETRWVM
+>NM_004398|338156_2_2427_2512
+MDLIQAHSQIQINTEALKIQIVKIWKIK
+>DECOY_NM_004398|338156_2_2427_2512
+KIKWIKVIQIKLAETNIQIQSHAQILDM
+>NM_201589|338235_2_622_719
+MAARDTAVARATTCAWRSASPTTSWCPCRCAS
+>DECOY_NM_201589|338235_2_622_719
+SACRCPCWSTTPSASRWACTTARAVATDRAAM
+>NM_152616|338282_2_1146_1255
+MATTPLASSTPAPRGPPHSSAPSPSSKQSDMKLIMT
+>DECOY_NM_152616|338282_2_1146_1255
+TMILKMDSQKSSPSPASSHPPGRPAPTSSALPTTAM
+>NM_015061|338317_2_1414_1511
+MVSTVQNLQILLLSDGLTMEKLPNCALAGKTW
+>DECOY_NM_015061|338317_2_1414_1511
+WTKGALACNPLKEMTLGDSLLLIQLNQVTSVM
+>NM_015061|338326_2_2170_2246
+MGMALNLGKSQRSPVEREIASKSPV
+>DECOY_NM_015061|338326_2_2170_2246
+VPSKSAIEREVPSRQSKGLNLAMGM
+>NM_015061|338330_2_2695_2846
+MEQVSLFPVQSAAYGFMQVVMVFLLMRSVMDGCVPGAKEMRGQQNAVSAI
+>DECOY_NM_015061|338330_2_2695_2846
+IASVANQQGRMEKAGPVCGDMVSRMLLFVMVVQMFGYAASQVPFLSVQEM
+>NM_015061|338332_2_2755_2846
+MVFLLMRSVMDGCVPGAKEMRGQQNAVSAI
+>DECOY_NM_015061|338332_2_2755_2846
+IASVANQQGRMEKAGPVCGDMVSRMLLFVM
+>NM_016287|338349_2_1320_1555
+MGGWNRSLGKGSVAPSSSVFPIIPAQEFCFRRKSQMILEMRMKMKMSHQKKTLRMKSRHLREGCRRKPQPSPQGRPHL
+>DECOY_NM_016287|338349_2_1320_1555
+LHPRGQPSPQPKRRCGERLHRSKMRLTKKQHSMKMKMRMELIMQSKRRFCFEQAPIIPFVSSSPAVSGKGLSRNWGGM
+>NM_012308|338400_2_179_297
+MAFQMMKLKEKELLTWKRNCTPTNIMPILLLLWKEKILM
+>DECOY_NM_012308|338400_2_179_297
+MLIKEKWLLLLIPMINTPTCNRKWTLLEKEKLKMMQFAM
+>NM_012308|338412_2_2765_2910
+METKAGCSGRSGCLSSATSAAENFVNVCECARRGINGAATRDFGQKLT
+>DECOY_NM_012308|338412_2_2765_2910
+TLKQGFDRTAAGNIGRRACECVNVFNEAASTASSLCGSRGSCGAKTEM
+>NM_001017962|338436_2_1181_1305
+METVILNLFWLQLNRRMNGTSLVLFASMILFLMQKLKSSKT
+>DECOY_NM_001017962|338436_2_1181_1305
+TKSSKLKQMLFLIMSAFLVLSTGNMRRNLQLWFLNLIVTEM
+>NM_001017962|338438_2_1499_1611
+MELEDSMNPILTLHGKMSQMLSKSWGQEIELLHGCFI
+>DECOY_NM_001017962|338438_2_1499_1611
+IFCGHLLEIEQGWSKSLMQSMKGHLTLIPNMSDELEM
+>NM_003734|338526_2_251_348
+MGVNPASFPIAPLYLPVPSLGHTLARASCLQT
+>DECOY_NM_003734|338526_2_251_348
+TQLCSARALTHGLSPVPLYLPAIPFSAPNVGM
+>NM_033213|338553_2_667_1022
+MGLIRVQCMRSLLIFLVYFKCLRALTLERKHINVNIVIKPSIIQVIFVNMKELILERNPMHVRNVVNHSLFPVLFANMKDLILERNPMNVRNVAKPSVVPLTWEYMKERILEKNPMNV
+>DECOY_NM_033213|338553_2_667_1022
+VNMPNKELIREKMYEWTLPVVSPKAVNRVNMPNRELILDKMNAFLVPFLSHNVVNRVHMPNRELILEKMNVFIVQIISPKIVINVNIHKRELTLARLCKFYVLFILLSRMCQVRILGM
+>NM_080878|338615_2_434_594
+MATGPTTTPLDLQRRPRAMTTRTLATTTSRPRTWASGMCPTSPPCSIGETAPC
+>DECOY_NM_080878|338615_2_434_594
+CPATEGISCPPSTPCMGSAWTRPRSTTTALTRTTMARPRRQLDLPTTTPGTAM
+>NM_080878|338618_2_701_855
+MAQPYLWSMTLVMLRRLHLITHRMVNGNLLQDSFSSGCLITREQPTPFVLG
+>DECOY_NM_080878|338618_2_701_855
+GLVFPTPQERTILCGSSFSDQLLNGNVMRHTILHLRRLMVLTMSWLYPQAM
+>NM_007046|338629_2_648_766
+MEWRHMSSTSLVPGASPSVPKASCTAASSALATVWPTRQ
+>DECOY_NM_007046|338629_2_648_766
+QRTPWVTALASSAATCSAKPVSPSAGPVLSTSSMHRWEM
+>NM_007046|338631_2_798_991
+MGAMTVLRVPLQRWGLRLPHHGPWPGLPAPTSLAPVQAAPSVDWGEKVLGSQRRCSSWRNRCRA
+>DECOY_NM_007046|338631_2_798_991
+ARCRNRWSSCRRQSGLVKEGWDVSPAAQVPALSTPAPLGPWPGHHPLRLGWRQLPVRLVTMAGM
+>NM_007046|338636_2_1221_1354
+MAAAAAVGAAGPQPQPQPLRAPVRSCCGSWSSGCRSPAPCAWPG
+>DECOY_NM_007046|338636_2_1221_1354
+GPWACPAPSRCGSSWSGCCSRVPARLPQPQPQPGAAGVAAAAAM
+>NM_007046|338638_2_2217_2410
+MVWSAAPAPCCLLGVLGLVQVLGAQAVGPWTASACLGAAQAQPCRPCKESSLRLFSASAPSMTH
+>DECOY_NM_007046|338638_2_2217_2410
+HTMSPASASFLRLSSEKCPRCPQAQAAGLCASATWPGVAQAGLVQVLGLVGLLCCPAPAASWVM
+>NM_152581|338686_2_908_1026
+MAKKHWKQFLMKNKHLFLKRLTQPNLLPKQKKMKKLIQK
+>DECOY_NM_152581|338686_2_908_1026
+KQILKKMKKQKPLLNPQTLRKLFLHKNKMLFQKWHKKAM
+>NM_022763|338720_2_839_978
+MAMGRAIVVEVAEAAAVVVPELRKQSDEQEAAQSRMIQTCKNMSWK
+>DECOY_NM_022763|338720_2_839_978
+KWSMNKCTQIMRSQAAEQEDSQKRLEPVVVAAAEAVEVVIARGMAM
+>NM_022763|338721_2_845_978
+MGRAIVVEVAEAAAVVVPELRKQSDEQEAAQSRMIQTCKNMSWK
+>DECOY_NM_022763|338721_2_845_978
+KWSMNKCTQIMRSQAAEQEDSQKRLEPVVVAAAEAVEVVIARGM
+>NM_022763|338724_2_2414_2523
+MEGMVPILMSQKLPLLQGLLDNAKHLVFLVHLMDVS
+>DECOY_NM_022763|338724_2_2414_2523
+SVDMLHVLFVLHKANDLLGQLLPLKQSMLIPVMGEM
+>NM_022763|338725_2_2423_2523
+MVPILMSQKLPLLQGLLDNAKHLVFLVHLMDVS
+>DECOY_NM_022763|338725_2_2423_2523
+SVDMLHVLFVLHKANDLLGQLLPLKQSMLIPVM
+>NM_033215|338822_2_230_528
+MAAAAAGPTRTTMARMGMKGRRKRRLAPSPHRCAPSPLAGGFTWSPHFRCRPRRAVWSAWGASWWSWRRCCRLPERSPGVPGCGCLGAARRCCAGWYAC
+>DECOY_NM_033215|338822_2_230_528
+CAYWGACCRRAAGLCGCGPVGPSREPLRCCRRWSWWSAGWASWVARRPRCRFHPSWTFGGALPSPACRHPSPALRRKRRGKMGMRAMTTRTPGAAAAAM
+>NM_033215|338823_2_266_528
+MARMGMKGRRKRRLAPSPHRCAPSPLAGGFTWSPHFRCRPRRAVWSAWGASWWSWRRCCRLPERSPGVPGCGCLGAARRCCAGWYAC
+>DECOY_NM_033215|338823_2_266_528
+CAYWGACCRRAAGLCGCGPVGPSREPLRCCRRWSWWSAGWASWVARRPRCRFHPSWTFGGALPSPACRHPSPALRRKRRGKMGMRAM
+>NM_033215|338824_2_275_528
+MGMKGRRKRRLAPSPHRCAPSPLAGGFTWSPHFRCRPRRAVWSAWGASWWSWRRCCRLPERSPGVPGCGCLGAARRCCAGWYAC
+>DECOY_NM_033215|338824_2_275_528
+CAYWGACCRRAAGLCGCGPVGPSREPLRCCRRWSWWSAGWASWVARRPRCRFHPSWTFGGALPSPACRHPSPALRRKRRGKMGM
+>NM_033215|338826_2_779_990
+MGRASTSWCAMRPLRALSGPTTTAATTQSCSGSHPLPHPLMPKGCPSSSSCRSWSHSPSARVPWRLRPGS
+>DECOY_NM_033215|338826_2_779_990
+SGPRLRWPVRASPSHSWSRCSSSSPCGKPMLPHPLPHSGSCSQTTAATTTPGSLARLPRMACWSTSARGM
+>NM_001870|338862_3_588_712
+MGLPSILPVVCLSGNQNLWEKQNYDQTLGPNEFLHSSCVQC
+>DECOY_NM_001870|338862_3_588_712
+CQVCSSHLFENPGLTQDYNQKEWLNQNGSLCVVPLISPLGM
+>NM_007236|338966_2_271_377
+MGLSAGKISRGFQNLPSTHWGTGSSMPSFQRERTR
+>DECOY_NM_007236|338966_2_271_377
+RTRERQFSPMSSGTGWHTSPLNQFGRSIKGASLGM
+>NM_005096|339033_2_713_789
+MESTVLRPNLGALAPLHILPCQEMA
+>DECOY_NM_005096|339033_2_713_789
+AMEQCPLIHLPALAGLNPRLVTSEM
+>NM_003069|339212_2_711_787
+MESMAFWLMKWALGKLYKQLLCLVT
+>DECOY_NM_003069|339212_2_711_787
+TVLCLLQKYLKGLAWKMLWFAMSEM
+>NM_004133|339484_2_206_342
+MGHPAVMGARVSSDAAFVRVTFILAGSVGNVLLTRTKGINVDIVD
+>DECOY_NM_004133|339484_2_206_342
+DVIDVNIGKTRTLLVNGVSGALIFTVRVFAADSSVRAGMVAPHGM
+>NM_004133|339485_2_224_342
+MGARVSSDAAFVRVTFILAGSVGNVLLTRTKGINVDIVD
+>DECOY_NM_004133|339485_2_224_342
+DVIDVNIGKTRTLLVNGVSGALIFTVRVFAADSSVRAGM
+>NM_014735|339538_2_992_1092
+MASSRSQKAAGCVAPVSWAFIRNVCYVQRKVEP
+>DECOY_NM_014735|339538_2_992_1092
+PEVKRQVYCVNRIFAWSVPAVCGAAKQSRSSAM
+>NM_014735|339540_2_2192_2424
+MGSHWESLWSFRLPSMDSLPLGMGKVSLTPSLPNPMAWRAAGLGMSPKKTARVRCSVTRSLCSAPTWSVRAALENPL
+>DECOY_NM_014735|339540_2_2192_2424
+LPNELAARVSWTPASCLSRTVSCRVRATKKPSMGLGAARWAMPNPLSPTLSVKGMGLPLSDMSPLRFSWLSEWHSGM
+>NM_014735|339542_2_2258_2424
+MGKVSLTPSLPNPMAWRAAGLGMSPKKTARVRCSVTRSLCSAPTWSVRAALENPL
+>DECOY_NM_014735|339542_2_2258_2424
+LPNELAARVSWTPASCLSRTVSCRVRATKKPSMGLGAARWAMPNPLSPTLSVKGM
+>NM_015698|339628_2_233_321
+MAIAGSHQPGPLGHPQILGPWRMGWCPRL
+>DECOY_NM_015698|339628_2_233_321
+LRPCWGMRWPGLIQPHGLPGPQHSGAIAM
+>NM_015698|339632_2_1028_1149
+MGLQPRVRKQPPEVSTGCTGTCVCGLWTTCTKEANITTPR
+>DECOY_NM_015698|339632_2_1028_1149
+RPTTINAEKTCTTWLGCVCTGTCGTSVEPPQKRVRPQLGM
+>NM_003461|339641_2_399_580
+MATMQRVLWEVPSRRPLPRSRNHFPLRLWRRRSSLPRRLLRRRREGLRPPYRPHHSPGRR
+>DECOY_NM_003461|339641_2_399_580
+RRGPSHHPRYPPRLGERRRRLLRRPLSSRRRWLRLPFHNRSRPLPRRSPVEWLVRQMTAM
+>NM_014500|339720_2_252_652
+MVTPRPMPAENPILSGSSRRTLPTSGTWTKRLGSPRLLKISLLHIRPIMASLTMAHLVLPQMLKMSMLGLQRNLHKKKPRNPLMPERREKKERLSQDGFMLKKTEIQMYTCLVCLQILQWMNLYNLCPSLALL
+>DECOY_NM_014500|339720_2_252_652
+LLALSPCLNYLNMWQLIQLCVLCTYMQIETKKLMFGDQSLREKKERREPMLPNRPKKKHLNRQLGLMSMKLMQPLVLHAMTLSAMIPRIHLLSIKLLRPSGLRKTWTGSTPLTRRSSGSLIPNEAPMPRPTVM
+>NM_014500|339722_2_396_652
+MASLTMAHLVLPQMLKMSMLGLQRNLHKKKPRNPLMPERREKKERLSQDGFMLKKTEIQMYTCLVCLQILQWMNLYNLCPSLALL
+>DECOY_NM_014500|339722_2_396_652
+LLALSPCLNYLNMWQLIQLCVLCTYMQIETKKLMFGDQSLREKKERREPMLPNRPKKKHLNRQLGLMSMKLMQPLVLHAMTLSAM
+>NM_014500|339723_2_411_652
+MAHLVLPQMLKMSMLGLQRNLHKKKPRNPLMPERREKKERLSQDGFMLKKTEIQMYTCLVCLQILQWMNLYNLCPSLALL
+>DECOY_NM_014500|339723_2_411_652
+LLALSPCLNYLNMWQLIQLCVLCTYMQIETKKLMFGDQSLREKKERREPMLPNRPKKKHLNRQLGLMSMKLMQPLVLHAM
+>NM_014500|339736_2_1479_1645
+MGENLKKVLLKTMLRKVAPKKRLKKAALKKNLKRAAPKEGLKAAAPKKSLKKAIP
+>DECOY_NM_014500|339736_2_1479_1645
+PIAKKLSKKPAAAKLGEKPAARKLNKKLAAKKLRKKPAVKRLMTKLLVKKLNEGM
+>NM_014500|339739_2_1716_1948
+MALQRNLKMTSTRSLKRRLAPQKSPKKMTQRKSLMKTALKNSLKMAPKENLKKMVSRKIWTRKVLKRSFMKMFLTKS
+>DECOY_NM_014500|339739_2_1716_1948
+SKTLFMKMFSRKLVKRTWIKRSVMKKLNEKPAMKLSNKLATKMLSKRQTMKKPSKQPALRRKLSRTSTMKLNRQLAM
+>NM_014500|339743_2_1848_1948
+MAPKENLKKMVSRKIWTRKVLKRSFMKMFLTKS
+>DECOY_NM_014500|339743_2_1848_1948
+SKTLFMKMFSRKLVKRTWIKRSVMKKLNEKPAM
+>NM_014500|339744_2_1875_1948
+MVSRKIWTRKVLKRSFMKMFLTKS
+>DECOY_NM_014500|339744_2_1875_1948
+SKTLFMKMFSRKLVKRTWIKRSVM
+>NM_014500|339769_3_1177_1301
+MVWWPSNHCPGMGWDYRLSGGGNLKRKGGKAERMGGFPQCS
+>DECOY_NM_014500|339769_3_1177_1301
+SCQPFGGMREAKGGKRKLNGGGSLRYDWGMGPCHNSPWWVM
+>NM_014500|339770_3_1210_1301
+MGWDYRLSGGGNLKRKGGKAERMGGFPQCS
+>DECOY_NM_014500|339770_3_1210_1301
+SCQPFGGMREAKGGKRKLNGGGSLRYDWGM
+>NM_002637|339826_2_1172_1254
+MVAVAFYEMDIKLLKRIPIVCTMNQLS
+>DECOY_NM_002637|339826_2_1172_1254
+SLQNMTCVIPIRKLLKIDMEYFAVAVM
+>NM_002637|339829_2_1391_1527
+MESHFCQSCTVFLLTGSMKNIRILTLWTESPWGNCLTCGVSLYTF
+>DECOY_NM_002637|339829_2_1391_1527
+FTYLSVGCTLCNGWPSETWLTLIRINKMSGTLLFVTCSQCFHSEM
+>NM_002637|339842_3_849_940
+MGTWRQDQPRDLRVECQFSWNGKGSPGSIR
+>DECOY_NM_002637|339842_3_849_940
+RISGPSGKGNWSFQCEVRLDRPQDQRWTGM
+>NM_020423|339855_2_295_368
+MANLLQFLCIREKMKTRLIKLPSI
+>DECOY_NM_020423|339855_2_295_368
+ISPLKILRTKMKERICLFQLLNAM
+>NM_020871|339907_2_1058_1200
+MALTLELEVIMERNDYPQQNHQMMTQSAFILKSQNQIESRHQEMTVT
+>DECOY_NM_020871|339907_2_1058_1200
+TVTMEQHRSEIQNQSKLIFASQTMMQHNQQPYDNREMIVELELTLAM
+>NM_020871|339908_2_1088_1200
+MERNDYPQQNHQMMTQSAFILKSQNQIESRHQEMTVT
+>DECOY_NM_020871|339908_2_1088_1200
+TVTMEQHRSEIQNQSKLIFASQTMMQHNQQPYDNREM
+>NM_175736|340038_2_718_869
+MVHLTNSGPGAGQSRTCSHPAPCRPPSPTASLALRASLCSGIALSLGAGP
+>DECOY_NM_175736|340038_2_718_869
+PGAGLSLAIGSCLSARLALSATPSPPRCPAPHSCTRSQGAGPGSNTLHVM
+>NM_001102564|340102_2_320_411
+MEEIFLSFRIWRKYRKKTLFCRWQPLPASR
+>DECOY_NM_001102564|340102_2_320_411
+RSAPLPQWRCFLTKKRYKRWIRFSLFIEEM
+>NM_001002034|340241_2_698_816
+MATASPRTAALWAWLKKRAAGLQGGGWLSGSCRALPASS
+>DECOY_NM_001002034|340241_2_698_816
+SSAPLARCSGSLWGGGQLGAARKKLWAWLAATRPSATAM
+>NM_016091|340270_2_865_938
+MGGTPSTKCLVTSAWSGFSACTPC
+>DECOY_NM_016091|340270_2_865_938
+CPTCASFGSWASTVLCKTSPTGGM
+>NM_016091|340275_2_1267_1358
+MGTRCCACRKVTHKSMKNFSVTPAPSSCRL
+>DECOY_NM_016091|340275_2_1267_1358
+LRCSSPAPTVSFNKMSKHTVKRCACCRTGM
+>NM_173834|340381_2_366_505
+MEGPNLQRCLSLSGLVQLPSPSTQNFLEGTYLFFRASVCWVTVYFP
+>DECOY_NM_173834|340381_2_366_505
+PFYVTVWCVSARFFLYTGELFNQTSPSPLQVLGSLSLCRQLNPGEM
+>NM_002388|340440_2_672_889
+MAFLSTRITRPSPSRRCRRRPQPASSPALWTSFWMMTWWIKRSLVTGFRWWEPTVAFLERREATPLGPSGLS
+>DECOY_NM_002388|340440_2_672_889
+SLGSPGLPTAERRELFAVTPEWWRFGTVLSRKIWWTMMWFSTWLAPSSAPQPRRRCRRSPSPRTIRTSLFAM
+>NM_002388|340446_2_1650_1798
+MAMLCPWVVLWISWPQMIPTLARKISRTPRFMRSMTTFYMGPRRKRRRW
+>DECOY_NM_002388|340446_2_1650_1798
+WRRRKRRPGMYFTTMSRMFRPTRSIKRALTPIMQPWSIWLVVWPCLMAM
+>NM_002388|340454_2_2211_2326
+MGIHTTPMTSVTQRRKCLKYTLQRRQTHRRPRNPRKWS
+>DECOY_NM_002388|340454_2_2211_2326
+SWKRPNRPRRHTQRRQLTYKLCKRRQTVSTMPTTHIGM
+>NM_006136|340470_3_459_700
+MENFSRNCSESLRKRTLPEWSLHCVWQKNRWTANHYCMHRKPSVPSKKFLEWSLEVRMEVYNHSFNHSSGWHLENSGSLL
+>DECOY_NM_006136|340470_3_459_700
+LLSGSNELHWGSSHNFSHNYVEMRVELSWELFKKSPVSPKRHMCYHNATWRNKQWVCHLSWEPLTRKRLSESCNRSFNEM
+>NM_001002762|340497_2_805_983
+MGMGISTVALRPTSPLKTSSTCSLAAASLLVTSTSTATAACAIPTSKGRTAGTTRVMAG
+>DECOY_NM_001002762|340497_2_805_983
+GAMVRTTGATRGKSTPIACAATATSTSTVLLSAAALSCTSSTKLPSTPRLAVTSIGMGM
+>NM_001002762|340498_2_811_983
+MGISTVALRPTSPLKTSSTCSLAAASLLVTSTSTATAACAIPTSKGRTAGTTRVMAG
+>DECOY_NM_001002762|340498_2_811_983
+GAMVRTTGATRGKSTPIACAATATSTSTVLLSAAALSCTSSTKLPSTPRLAVTSIGM
+>NM_001241|340525_2_1854_1933
+MAFPLAPALQGRGCMSMMHLTTTTPK
+>DECOY_NM_001241|340525_2_1854_1933
+KPTTTTLHMMSMCGRGQLAPALPFAM
+>NM_001241|340532_3_1081_1154
+MASTSRLSKDRTAIFTETGDIFVW
+>DECOY_NM_001241|340532_3_1081_1154
+WVFIDGTETFIATRDKSLRSTSAM
+>NM_024519|340619_2_547_713
+MVPTTWSVPTPLGPREAERPGTAWQRPLGGIASTRRACVCWRASWRHSWASFISE
+>DECOY_NM_024519|340619_2_547_713
+ESIFSAWSHRWSARWCVCARRTSAIGGLPRQWATGPREAERPGLPTPVSWTTPVM
+>NM_024519|340620_2_775_890
+MGVSAGNYGAELRVVESRCGTVKKPSFSLYSRNFCLLR
+>DECOY_NM_024519|340620_2_775_890
+RLLCFNRSYLSFSPKKVTGCRSEVVRLEAGYNGASVGM
+>NM_024519|340624_2_1198_1430
+MGQHGPCHLNLQTTHPAHSSQALPATHQPLGPWCSSPSPFPSKLPSAGLRPPALGPWMRRGPWPQSWQMGMHPTVGL
+>DECOY_NM_024519|340624_2_1198_1430
+LGVTPHMGMQWSQPWPGRRMWPGLAPPRLGASPLKSPFPSPSSCWPGLPQHTAPLAQSSHAPHTTQLNLHCPGHQGM
+>NM_024519|340630_3_2858_3111
+MGPGAAAAGSPSTGGSMRVQQAVGDPGQLCPGSGAVLGLSAWLPDLLGPVHRETQLLPLPGGAGASHLLQPVWCPPLPAPARLG
+>DECOY_NM_024519|340630_3_2858_3111
+GLRAPAPLPPCWVPQLLHSAGAGGPLPLLQTERHVPGLLDPLWASLGLVAGSGPCLQGPDGVAQQVRMSGGTSPSGAAAAGPGM
+>NM_022060|340818_3_458_549
+MAGDHGDPQHDPPGAQFGRIPGHFLLNQVP
+>DECOY_NM_022060|340818_3_458_549
+PVQNLLFHGPIRGFQAGPPDHQPDGHDGAM
+>NM_003365|340830_2_304_545
+MGQATFWSIWLSREQRIGLAVPWRRRWRAWGPILMPTAPGSTQLTTSRRCPRICRKLWSSWVTLCRTVVWKTHRLRRNVM
+>DECOY_NM_003365|340830_2_304_545
+MVNRRLRHTKWVVTRCLTVWSSWLKRCIRPCRRSTTLQTSGPATPMLIPGWARWRRRWPVALGIRQERSLWISWFTAQGM
+>NM_014727|340914_2_4372_4478
+MATTSLCTASWRTWWASSCGTRRRERPRTAGLEAR
+>DECOY_NM_014727|340914_2_4372_4478
+RAELGATRPRERRRTGCSSAWWTRWSATCLSTTAM
+>NM_014727|340922_2_6376_6455
+MEVLALERSHSPRRLPWLMAASPPKA
+>DECOY_NM_014727|340922_2_6376_6455
+AKPPSAAMLWPLRRPSHSRELALVEM
+>NM_014727|340923_2_7090_7313
+MVLPRSPMVPQTCCLSPSGTTIQVRLRALRKSLHPQMIKRTRPQNGLAHICASRSAVRMGSALRQRAWRGRGEL
+>DECOY_NM_014727|340923_2_7090_7313
+LEGRGRWARQRLASGMRVASRSACIHALGNQPRTRKIMQPHLSKRLARLRVQITTGSPSLCCTQPVMPSRPLVM
+>NM_014727|340936_3_5354_5451
+MGAEGRASSPGGCRGEPDHCAQPRPFLRAPRW
+>DECOY_NM_014727|340936_3_5354_5451
+WRPARLFPRPQACHDPEGRCGGPSSARGEAGM
+>NM_005966|340958_2_1578_1681
+MGFQISRILCKHSSSRLELRVKNLQLLVHSSLKR
+>DECOY_NM_005966|340958_2_1578_1681
+RKLSSHVLLQLNKVRLELRSSSHKCLIRSIQFGM
+>NM_001431|341028_2_1239_1366
+MAASTSVNSSLPLLRLRSWKRRWQSCTKPTGAYRQHKLIPSS
+>DECOY_NM_001431|341028_2_1239_1366
+SSPILKHQRYAGTPKTCSQWRRKWSRLRLLPLSSNVSTSAAM
+>NM_001431|341036_3_1633_1733
+MESVRGASYFLQACFSRAATKSQVPDLGVQISL
+>DECOY_NM_001431|341036_3_1633_1733
+LSIQVGLDPVQSKTAARSFCAQLFYSAGRVSEM
+>NM_000502|341203_2_615_727
+MGCRSPSAGPPAGGAMASFSLLSGLSPTRLCASPMRD
+>DECOY_NM_000502|341203_2_615_727
+DRMPSACLRTPSLGSLLSFSAMAGGAPPGASPSRCGM
+>NM_000502|341208_2_1314_1672
+METNCTMRLGRSWGPWSRSSPTETFCPWFWARPGPGEPWGTTGGTAPMWTHGWPMSSPWPSALATQCSSPSCSAWTVSTGPPHPTRMSHLALPSLPAGGSCMKGASTPSSGASWPPLPS
+>DECOY_NM_000502|341208_2_1314_1672
+SPLPPWSAGSSPTSAGKMCSGGAPLSPLALHSMRTPHPPGTSVTWASCSPSSCQTALASPWPSSMPWGHTWMPATGGTTGWPEGPGPRAWFWPCFTETPSSRSWPGWSRGLRMTCNTEM
+>NM_015050|341245_2_374_642
+MEQKHLLQALVGLIVRPRGNNTALTLLTMHSKQTLLWKELLLAIPCIIASPRSLWPRWASGKVKDWVNTARVGRTSLRLPVRKVEEAWV
+>DECOY_NM_015050|341245_2_374_642
+VWAEEVKRVPLRLSTRGVRATNVWDKVKGSAWRPWLSRPSAIICPIALLLEKWLLTQKSHMTLLTLATNNGRPRVILGVLAQLLHKQEM
+>NM_015050|341251_2_1226_1335
+MVRVGLMEMEISPAQRTSLLFGILSWITQIARVSIF
+>DECOY_NM_015050|341251_2_1226_1335
+FISVRAIQTIWSLIGFLLSTRQAPSIEMEMLGVRVM
+>NM_015050|341253_2_1250_1335
+MEISPAQRTSLLFGILSWITQIARVSIF
+>DECOY_NM_015050|341253_2_1250_1335
+FISVRAIQTIWSLIGFLLSTRQAPSIEM
+>NM_182627|341279_2_1255_1388
+MGRSRCGMQTVKLRKNRRVPQNVPTGRNLKEELAPSRVEILTLQ
+>DECOY_NM_182627|341279_2_1255_1388
+QLTLIEVRSPALEEKLNRGTPVNQPVRRNKRLKVTQMGCRSRGM
+>NM_003320|341300_2_1280_1413
+MGRRCSSWREGRERRVKLPITSSLWTQQTCLEEGTAISGNCGPT
+>DECOY_NM_003320|341300_2_1280_1413
+TPGCNGSIATGEELCTQQTWLSSTIPLKVRRERGERWSSCRRGM
+>NM_003320|341302_2_1442_1536
+MESTLRRPHPPLWKVEPYVRSWQLCATRQTS
+>DECOY_NM_003320|341302_2_1442_1536
+STQRTACLQWSRVYPEVKWLPPHPRRLTSEM
+>NM_012128|341381_2_480_754
+MDHQANCLSMSGLTSGGECLMSTMKISLSTVLSQKKSKQQGVPQVSLVEIEFISVKEAAVLVEHAELILQQNCMEKIVNSFLIKYKQKKHP
+>DECOY_NM_012128|341381_2_480_754
+PHKKQKYKILFSNVIKEMCNQQLILEAHEVLVAAEKVSIFEIEVLSVQPVGQQKSKKQSLVTSLSIKMTSMLCEGGSTLGSMSLCNAQHDM
+>NM_012128|341388_2_1443_1528
+MASLMLLGLLHQEILISPRSPFSSKVRD
+>DECOY_NM_012128|341388_2_1443_1528
+DRVKSSFPSRPSILIEQHLLGLLMLSAM
+>NM_012128|341391_2_1953_2071
+MDIQKFWNFWIMVQALILSRMMESTPGILQHIQKMADIA
+>DECOY_NM_012128|341391_2_1953_2071
+AIDAMKQIHQLIGPTSEMMRSLILAQVMIWFNWFKQIDM
+>NM_012128|341392_2_1986_2071
+MVQALILSRMMESTPGILQHIQKMADIA
+>DECOY_NM_012128|341392_2_1986_2071
+AIDAMKQIHQLIGPTSEMMRSLILAQVM
+>NM_006536|341426_2_274_353
+MGIMDCSLQLILRYLRIRTSSQTLRK
+>DECOY_NM_006536|341426_2_274_353
+KRLTQSSTRIRLYRLILQLSCDMIGM
+>NM_006536|341427_2_511_602
+MGHMEMIHTPYNTEGVEKRENTFISHLISY
+>DECOY_NM_006536|341427_2_511_602
+YSILHSIFTNERKEVGETNYPTHIMEMHGM
+>NM_014397|341492_3_595_716
+MEVLCAAVQRRGAHAFTPGDAPRHQACQRVHHSHGRREAR
+>DECOY_NM_014397|341492_3_595_716
+RAERRGHSHHVRQCAQHRPADGPTFAHAGRRQVAACLVEM
+>NM_130899|341559_2_1334_1563
+MEARKFPSPVLKSGMKTRKEEKRRTDIPVGKVLITARQVKVTAGERGTRIRKRLPTGPHLAIKTREMTKKKKGTAT
+>DECOY_NM_130899|341559_2_1334_1563
+TATGKKKKTMERTKIALHPGTPLRKRIRTGREGATVKVQRATILVKGVPIDTRRKEEKRTKMGSKLVPSPFKRAEM
+>NM_130899|341561_2_1577_1713
+MAPLARAPPTAPPKRSREQLRNWGRTNLHLAQELYKRKPVRSALF
+>DECOY_NM_130899|341561_2_1577_1713
+FLASRVPKRKYLEQALHLNTRGWNRLQERSRKPPATPPARALPAM
+>NM_007048|341581_2_672_790
+MASLQGRLLSEYTTSQPLTVESTCVISKMVTSMKKPWWS
+>DECOY_NM_007048|341581_2_672_790
+SWWPKKMSTVMKSIVCTSEVTLPQSTTYESLLRGQLSAM
+>NM_007048|341582_2_843_979
+MEGSIWSAGPLAGTPNPKYSGATTRERTSRLWKHLWLQTEWACMQ
+>DECOY_NM_007048|341582_2_843_979
+QMCAWETQLWLHKWLRSTRERTTAGSYKPNPTGALPGASWISGEM
+>NM_007048|341586_3_1249_1355
+MEHNEARTKHKSEAPGGTQMEKYPVCISGRETFSL
+>DECOY_NM_007048|341586_3_1249_1355
+LSFTERGSICVPYKEMQTGGPAESKHKTRAENHEM
+>NM_001285|341677_2_568_683
+MDHKVGHLSMSGLIYDGEYLTSTIMMRNSTYPMEEYKQ
+>DECOY_NM_001285|341677_2_568_683
+QKYEEMPYTSNRMMITSTLYEGDYILGSMSLHGVKHDM
+>NM_001285|341680_2_1525_1610
+MASLMLLGPFHQEMELSLSAPSSLRVRD
+>DECOY_NM_001285|341680_2_1525_1610
+DRVRLSSPASLSLEMEQHFPGLLMLSAM
+>NM_001285|341683_2_2062_2147
+MEQVLMLLRMTVSTQGISQLMTRMVDTV
+>DECOY_NM_001285|341683_2_2062_2147
+VTDVMRTMLQSIGQTSVTMRLLMLVQEM
+>NM_173503|341708_2_631_716
+MEKGHLSQTYAHLQAQAWLPLLMLPGLQ
+>DECOY_NM_173503|341708_2_631_716
+QLGPLMLLPLWAQAQLHAYTQSLHGKEM
+>NM_145262|341755_2_1459_1535
+MGPQRLLGPGSHLSLPARLQLRAWT
+>DECOY_NM_145262|341755_2_1459_1535
+TWARLQLRAPLSLHSGPGLLRQPGM
+>NM_145262|341760_3_1283_1497
+MGKGPSLPAGWWRAHSTAAGLGQGWPEPGTGPACWSRVEKVAAGADRCAVFERWHRWAGWAHRGCWGLGHT
+>DECOY_NM_145262|341760_3_1283_1497
+THGLGWCGRHAWGAWRHWREFVACRDAGAAVKEVRSWCAPGTGPEPWGQGLGAATSHARWWGAPLSPGKGM
+>NM_001293|341815_2_534_622
+MEKNMMWKHMNKDRGTSLHFTPMKKDYPI
+>DECOY_NM_001293|341815_2_534_622
+IPYDKKMPTFHLSTGRDKNMHKWMMNKEM
+>NM_001102426|341933_2_2202_2293
+MASKPSSSWDWLCLRPMLRTCAAARMMARP
+>DECOY_NM_001102426|341933_2_2202_2293
+PRAMMRAAACTRLMPRLCLWDWSSSPKSAM
+>NM_005783|341954_2_399_511
+MGNTEKSLVKETFFKKSRRVKMWFAISTETPHSGVKY
+>DECOY_NM_005783|341954_2_399_511
+YKVGSHPTETSIAFWMKVRRSKKFFTEKVLSKETNGM
+>NM_001144990|341993_2_3160_3302
+MEAAPPTSMDLHCPPTTPLHGSKPAKMSLSSICCTDGRFTSSTAGMK
+>DECOY_NM_001144990|341993_2_3160_3302
+KMGATSSTFRGDTCCISSLSMKAPKSGHLPTTPPCHLDMSTPPAAEM
+>NM_001144990|341996_2_3724_3839
+MEIVSSPPWKIPQLCFFGGGTQDSVWQACRKSQVPLLS
+>DECOY_NM_001144990|341996_2_3724_3839
+SLLPVQSKRCAQWVSDQTGGGFFCLQPIKWPPSSVIEM
+>NM_001144990|342000_2_4723_4796
+MVATWYTFVSEMGRRRMKMVQYSV
+>DECOY_NM_001144990|342000_2_4723_4796
+VSYQVMKMRRRGMESVFTYWTAVM
+>NM_030973|342083_2_234_691
+MGGPSTASWCSTQWTALPSPTYNVTLPPAAPMSLSPGSMALSSWAGVVRAAASSRKDSAQPCSCLMTSRRCASRLARRTGSASSSATHPHTCCLLLRAPRTLDAQLRILCSRLGSGGSTSPLCLPGSCLRFGFCLRRQPPRPCWSRCSLRQM
+>DECOY_NM_030973|342083_2_234_691
+MQRLSCRSWCPRPPQRRLCFGFRLCSGPLCLPSTSGGSGLRSCLIRLQADLTRPARLLLCCTHPHTASSSASGTRRALRSACRRSTMLCSCPQASDKRSSAAARVVGAWSSLAMSGPSLSMPAAPPLTVNYTPSPLATWQTSCWSATSPGGM
+>NM_005160|342119_2_1129_1301
+MDTQEYQILVLPAIFPKRSLMRVLAPMGTWLPRCCRRGRPMTAVPTGSPWAACFSNF
+>DECOY_NM_005160|342119_2_1129_1301
+FNSFCAAWPSGTPVATMPRGRRCCRPLWTGMPALVRMLSRKPFIAPLVLIQYEQTDM
+>NM_001145004|342195_2_365_453
+MALTLRQPLLVVATRLRMNRRQAINIRKP
+>DECOY_NM_001145004|342195_2_365_453
+PKRINIAQRRNMRLRTAVVLLPQRLTLAM
+>NM_001145004|342199_3_1563_1753
+MEAKGEDARAGGEDTEAGGEGVEAGGEDTGAGGEDTGAGGEDVEAGGEDTGAGGDVEGGREDA
+>DECOY_NM_001145004|342199_3_1563_1753
+ADERGGEVDGGAGTDEGGAEVDEGGAGTDEGGAGTDEGGAEVGEGGAETDEGGARADEGKAEM
+>NM_001001413|342249_2_365_453
+MALTLRQPLLVVATRLRMNRRQATNIRKP
+>DECOY_NM_001001413|342249_2_365_453
+PKRINTAQRRNMRLRTAVVLLPQRLTLAM
+>NM_001001413|342253_3_1521_1960
+MEAKGEDARAGEDTEAGGEGVEAGGEDARPGGEDTGAGGEDVEAGGEDKGAGGEDTGAGGEDTRAGGDDAGTGREDGGAGREDARTGEDAEAGGEDKGAGGEDTGAEGEDTRAGGEDMGAGGEDTRAGGDDAGTGREDVGAGGEDV
+>DECOY_NM_001001413|342253_3_1521_1960
+VDEGGAGVDERGTGADDGGARTDEGGAGMDEGGARTDEGEAGTDEGGAGKDEGGAEADEGTRADERGAGGDERGTGADDGGARTDEGGAGTDEGGAGKDEGGAEVDEGGAGTDEGGPRADEGGAEVGEGGAETDEGARADEGKAEM
+>NM_001001413|342254_3_1872_1960
+MGAGGEDTRAGGDDAGTGREDVGAGGEDV
+>DECOY_NM_001001413|342254_3_1872_1960
+VDEGGAGVDERGTGADDGGARTDEGGAGM
+>NM_152328|342279_2_774_883
+MVFTLCMRHSTAPPRRSWWRVPTPPSSTLTSGPTPL
+>DECOY_NM_152328|342279_2_774_883
+LPTPGSTLTSSPPTPVRWWSRRPPATSHRMCLTFVM
+>NM_001039570|342308_2_323_414
+MVSTGSTVRYLLARCLETLAATRIMETHLL
+>DECOY_NM_001039570|342308_2_323_414
+LLHTEMIRTAALTELCRALLYRVTSGTSVM
+>NM_001039570|342310_2_620_855
+MAGSSSLILSWAPAVGTTQPCLLWSIPLTSPTPMPRGGSATGPSGFRGPPTSTSASPYLTSGTRRTWWSFWMATPTVS
+>DECOY_NM_001039570|342310_2_620_855
+SVTPTAMWFSWWTRRTGSTLYPSASTSTPPGRFGSPGTASGGRPMPTPSTLPISWLLCPQTTGVAPAWSLILSSSGAM
+>NM_001039570|342320_3_1188_1303
+MDSLWSGNSPHPHSHSHCSKDTSARHIQIPSCSCFRGP
+>DECOY_NM_001039570|342320_3_1188_1303
+PGRFCSCSPIQIHRASTDKSCHSHSHPHPSNGSWLSDM
+>NM_014857|342424_2_1270_1367
+MGELMSSLACGTPMHQYFWHLTRKPQKISKYT
+>DECOY_NM_014857|342424_2_1270_1367
+TYKSIKQPKRTLHWFYQHMPTGCALSSMLEGM
+>NM_014857|342431_2_1987_2126
+MVKNRSIRSARPTLCMMKTLGTVKGSLFLLLYYCCICQRNKHSVFW
+>DECOY_NM_014857|342431_2_1987_2126
+WFVSHKNRQCICCYYLLLFLSGKVTGLTKMMCLTPRASRISRNKVM
+>NM_005481|342466_3_219_313
+MVQEHPLPIGAPGLRLVLPKSHRLHHGPAQR
+>DECOY_NM_005481|342466_3_219_313
+RQAPGHHLRHSKPLVLRLGPAGIPLPHEQVM
+>NM_178565|342505_2_806_927
+MGVADVNRSCSSSFEEKGCASMESACIPAHPGTMDTEPQI
+>DECOY_NM_178565|342505_2_806_927
+IQPETDMTGPHAPICASEMSACGKEEFSSSCSRNVDAVGM
+>NM_001145017|342574_3_823_1235
+MEFGSVTQAGVQWQDLSSLQPPPSGFKQFSCPSLPSSWDLQVHCQLLISHHPAGLLQCLFYVYGRQFTTDGGKSPRDLQHLPAQGDSDADPHPGHSFLHADNPALPDPVGVYPEPQGAVRLLDIGQHHHPWEHGSDL
+>DECOY_NM_001145017|342574_3_823_1235
+LDSGHEWPHHHQGIDLLRVAGQPEPYVGVPDPLAPNDAHLFSHGPHPDADSDGQAPLHQLDRPSKGGDTTFQRGYVYFLCQLLGAPHHSILLQCHVQLDWSSPLSPCSFQKFGSPPPQLSSLDQWQVGAQTVSGFEM
+>NM_152628|342597_2_956_1095
+MDTCSWILVPVTTQNQALELFFLLAIMRSAAASPCLTARPRTSFSR
+>DECOY_NM_152628|342597_2_956_1095
+RSFSTRPRATLCPSAAASRMIALLFFLELAQNQTTVPVLIWSCTDM
+>NM_152628|342598_3_855_988
+MGQTHTGTEAEIRSFPERRQSNKVFGAGPGGTALWIPAAGSLYL
+>DECOY_NM_152628|342598_3_855_988
+LYLSGAAPIWLATGGPGAGFVKNSQRREPFSRIEAETGTHTQGM
+>NM_201566|342607_3_346_443
+MGGGALSVLPVGACVWGAPLLWGLLRGVCGGV
+>DECOY_NM_201566|342607_3_346_443
+VGGCVGRLLGWLLPAGWVCAGVPLVSLAGGGM
+>NM_001145023|342617_2_397_527
+MVSALATRLCGRRSQLGRGKPCWAWTYSGWLWNGAALPRRPCM
+>DECOY_NM_001145023|342617_2_397_527
+MCPRRPLAAGNWLWGSYTWAWCPKGRGLQSRRGCLRTALASVM
+>NM_001145023|342618_2_550_710
+MGRGATAWRMLRHSPTIAPSCWLTALRRGCWRQLGGSGLHRGSRRGPATSPTS
+>DECOY_NM_001145023|342618_2_550_710
+STPSTAPGRRSGRHLGSGGLQRWCGRRLATLWCSPAITPSHRLMRWATAGRGM
+>NM_020209|342644_2_1674_1783
+MVLPSTGSSRWRLRIWPEPRPFWAAPGRSWKPTLSI
+>DECOY_NM_020209|342644_2_1674_1783
+ISLTPKWSRGPAAWFPRPEPWIRLRWRSSGTSPLVM
+>NM_001105521|342668_2_373_515
+MAAPKRSRPCCCPRPRRRPRRGSRWRRSRCSRRSPSSRAPKGRWRRR
+>DECOY_NM_001105521|342668_2_373_515
+RRRWRGKPARSSPSRRSCRSRRWRSGRRPRRRPRPCCCPRSRKPAAM
+>NM_001145011|342720_2_1426_1691
+MGPPRIELARMGSPKIEVARMWTPRIELTRMMSPKIEVARMWTPRIELTRMMSPKIEVAKMGTPRIELARMGPPRKHSLRLPSLPFTG
+>DECOY_NM_001145011|342720_2_1426_1691
+GTFPLSPLRLSHKRPPGMRALEIRPTGMKAVEIKPSMMRTLEIRPTWMRAVEIKPSMMRTLEIRPTWMRAVEIKPSGMRALEIRPPGM
+>NM_001145011|342721_2_1456_1691
+MGSPKIEVARMWTPRIELTRMMSPKIEVARMWTPRIELTRMMSPKIEVAKMGTPRIELARMGPPRKHSLRLPSLPFTG
+>DECOY_NM_001145011|342721_2_1456_1691
+GTFPLSPLRLSHKRPPGMRALEIRPTGMKAVEIKPSMMRTLEIRPTWMRAVEIKPSMMRTLEIRPTWMRAVEIKPSGM
+>NM_001145011|342728_2_1606_1691
+MGTPRIELARMGPPRKHSLRLPSLPFTG
+>DECOY_NM_001145011|342728_2_1606_1691
+GTFPLSPLRLSHKRPPGMRALEIRPTGM
+>NM_001145020|342775_2_251_408
+MATGMRDSTALTFFLATAMAVQWMEMERTVFLRMRPLNTQLELWLCLRKPHL
+>DECOY_NM_001145020|342775_2_251_408
+LHPKRLCLWLELQTNLPRMRLFVTREMEMWQVAMATALFFTLATSDRMGTAM
+>NM_001145020|342777_2_305_408
+MAVQWMEMERTVFLRMRPLNTQLELWLCLRKPHL
+>DECOY_NM_001145020|342777_2_305_408
+LHPKRLCLWLELQTNLPRMRLFVTREMEMWQVAM
+>NM_001145020|342779_2_326_408
+MERTVFLRMRPLNTQLELWLCLRKPHL
+>DECOY_NM_001145020|342779_2_326_408
+LHPKRLCLWLELQTNLPRMRLFVTREM
+>NM_001145030|342900_2_2107_2180
+MVRKQITLQAKLFIEKHALLNKHL
+>DECOY_NM_001145030|342900_2_2107_2180
+LHKNLLAHKEIFLKAQLTIQKRVM
+>NM_017910|342923_3_43_164
+MVPRSCLAVPAAGARNQFIPARPGAGALRGSSVTVLQVLA
+>DECOY_NM_017910|342923_3_43_164
+ALVQLVTVSSGRLAGAGPRAPIFQNRAGAAPVALCSRPVM
+>NM_018292|342941_2_1155_1234
+MVTDVTLMCPLKPCMLQPDEKGLMMW
+>DECOY_NM_018292|342941_2_1155_1234
+WMMLGKEDPQLMCPKLPCMLTVDTVM
+>NM_001042575|342972_2_1074_1309
+MDGGKLMSTCTVAPTWIIRQFFECPALWFTFSSSAVQGFQTSHFWQNMAVTTSVNPALLDLLDAPPVYVSLRPSVVME
+>DECOY_NM_001042575|342972_2_1074_1309
+EMVVSPRLSVYVPPADLLDLLAPNVSTTVAMNQWFHSTQFGQVASSSFTFWLAPCEFFQRIIWTPAVTCTSMLKGGDM
+>NM_001042575|342974_2_1215_1309
+MAVTTSVNPALLDLLDAPPVYVSLRPSVVME
+>DECOY_NM_001042575|342974_2_1215_1309
+EMVVSPRLSVYVPPADLLDLLAPNVSTTVAM
+>NM_001042575|342975_2_1389_1822
+MALSSVMASGTVRMAGMSKTALKVFHATTELLSVAMIFALGNKMQNVMGQWIVQMEVMKKAAPAAGVPPPFTASSEAQTPWRGVGRGRSASTLLDLPTVVPQSSPGSGFFLQPTVFMETGCQIPHHGLHTSGCMFRGMPSLSPR
+>DECOY_NM_001042575|342975_2_1389_1822
+RPSLSPMGRFMCGSTHLGHHPIQCGTEMFVTPQLFFGSGPSSQPVVTPLDLLTSASRGRGVGRWPTQAESSATFPPPVGAAPAAKKMVEMQVIWQGMVNQMKNGLAFIMAVSLLETTAHFVKLATKSMGAMRVTGSAMVSSLAM
+>NM_001042575|342976_2_1407_1822
+MASGTVRMAGMSKTALKVFHATTELLSVAMIFALGNKMQNVMGQWIVQMEVMKKAAPAAGVPPPFTASSEAQTPWRGVGRGRSASTLLDLPTVVPQSSPGSGFFLQPTVFMETGCQIPHHGLHTSGCMFRGMPSLSPR
+>DECOY_NM_001042575|342976_2_1407_1822
+RPSLSPMGRFMCGSTHLGHHPIQCGTEMFVTPQLFFGSGPSSQPVVTPLDLLTSASRGRGVGRWPTQAESSATFPPPVGAAPAAKKMVEMQVIWQGMVNQMKNGLAFIMAVSLLETTAHFVKLATKSMGAMRVTGSAM
+>NM_001042575|342977_2_1428_1822
+MAGMSKTALKVFHATTELLSVAMIFALGNKMQNVMGQWIVQMEVMKKAAPAAGVPPPFTASSEAQTPWRGVGRGRSASTLLDLPTVVPQSSPGSGFFLQPTVFMETGCQIPHHGLHTSGCMFRGMPSLSPR
+>DECOY_NM_001042575|342977_2_1428_1822
+RPSLSPMGRFMCGSTHLGHHPIQCGTEMFVTPQLFFGSGPSSQPVVTPLDLLTSASRGRGVGRWPTQAESSATFPPPVGAAPAAKKMVEMQVIWQGMVNQMKNGLAFIMAVSLLETTAHFVKLATKSMGAM
+>NM_001042575|342981_2_1530_1822
+MGQWIVQMEVMKKAAPAAGVPPPFTASSEAQTPWRGVGRGRSASTLLDLPTVVPQSSPGSGFFLQPTVFMETGCQIPHHGLHTSGCMFRGMPSLSPR
+>DECOY_NM_001042575|342981_2_1530_1822
+RPSLSPMGRFMCGSTHLGHHPIQCGTEMFVTPQLFFGSGPSSQPVVTPLDLLTSASRGRGVGRWPTQAESSATFPPPVGAAPAAKKMVEMQVIWQGM
+>NM_001042575|342987_3_1765_1850
+MDCTPRDVCSGECQVCLPGEKNCGPRVL
+>DECOY_NM_001042575|342987_3_1765_1850
+LVRPGCNKEGPLCVQCEGSCVDRPTCDM
+>NM_017631|343037_2_3341_3477
+MDSLLILPFHLEKASSCMMPCFKFGKVGLGPRNCAQKTSFILTIN
+>DECOY_NM_017631|343037_2_3341_3477
+NITLIFSTKQACNRPGLGVKGFKFCPMMCSSAKELHFPLILLSDM
+>NM_007149|343056_2_1990_2255
+MVHPLFSIGRSILENDLTSVMSVGEHSTRTYTLHSIREFIQEPSLMSVLSVVKPFDIVHLLLNIKKLTQKKNPTSVINVKRPLARAPI
+>DECOY_NM_007149|343056_2_1990_2255
+IPARALPRKVNIVSTPNKKQTLKKINLLLHVIDFPKVVSLVSMLSPEQIFERISHLTYTRTSHEGVSMVSTLDNELISRGISFLPHVM
+>NM_007149|343062_3_407_501
+METAGPWPERFVQGCDIGKLYTPGLYRTPSF
+>DECOY_NM_007149|343062_3_407_501
+FSPTRYLGPTYLKGIDCGQVFREPWPGATEM
+>NM_014853|343123_2_1210_1307
+MASRGRRCISHREDTCCPFCPVWRMGCCLGDS
+>DECOY_NM_014853|343123_2_1210_1307
+SDGLCCGMRWVPCFPCCTDERHSICRRGRSAM
+>NM_014853|343129_2_3016_3113
+METTPTSTSVIAGSCWILRENCCMRMCLLCGR
+>DECOY_NM_014853|343129_2_3016_3113
+RGCLLCMRMCCNERLIWCSGAIVSTSTPTTEM
+>NM_001039753|343233_2_4203_4315
+MALTSSSTQQRLASFRTSPQGARASIWSTQMTSSVSQ
+>DECOY_NM_001039753|343233_2_4203_4315
+QSVSSTMQTSWISARAGQPSTRFSALRQQTSSSTLAM
+>NM_001039753|343243_3_4525_4745
+MAGRCQGCQPRGSPGAHICGGISPRLRHAVCICRGQTYEVLDPGRQRLALQERGHRVPGSCQNADDALRGLRC
+>DECOY_NM_001039753|343243_3_4525_4745
+CRLGRLADDANQCSGPVRHGREQLALRQRGPDLVEYTQGRCICVAHRLRPSIGGCIHAGPSGRPQCGQCRGAM
+>NM_198148|343269_2_800_930
+MAWGHIEGDSTSRRALMKMIFMTERGAREEMTSSSGLKWMLGA
+>DECOY_NM_198148|343269_2_800_930
+AGLMWKLGSSSTMEERAGRETMFIMKMLARRSTSDGEIHGWAM
+>NM_001145059|343481_3_256_389
+MGSSQAAVLGPHVVCPPALLSFAQRCPHHPGLLALAQLPFPCLY
+>DECOY_NM_001145059|343481_3_256_389
+YLCPFPLQALALLGPHHPCRQAFSLLAPPCVVHPGLVAAQSSGM
+>NM_001010904|343493_2_753_883
+MATPCQNIAGKVTAGWWPSRWPGSCKAGDSPLRGTSWMTTRRL
+>DECOY_NM_001010904|343493_2_753_883
+LRRTTMWSTGRLPSDGAKCSGPWRSPWWGATVKGAINQCPTAM
+>NM_198475|343505_2_814_959
+MGQRCRSQAPFTCPCPCPPRLVPSPWAPAFQPGDLTPRVGCGCAMALV
+>DECOY_NM_198475|343505_2_814_959
+VLAMACGCGVRPTLDGPQFAPAWPSPVLRPPCPCPCTFPAQSRCRQGM
+>NM_015701|343523_2_1352_1548
+MANMYINTMRTRIVGKPLWLSGHGTKKSILNGLRRILLELIIFKTMVPRQSGWCHIFMEMEIFVI
+>DECOY_NM_015701|343523_2_1352_1548
+IVFIEMEMFIHCWGSQRPVMTKFIILELLIRRLGNLISKKTGHGSLWLPKGVIRTRMTNIYMNAM
+>NM_001127397|343541_2_1352_1551
+MANMYINTMRTRIVGKPLWLSGHGTKKSILNGLRRILLELIIFKTMVPRQSGAKNQIHLMLLLYIC
+>DECOY_NM_001127397|343541_2_1352_1551
+CIYLLLMLHIQNKAGSQRPVMTKFIILELLIRRLGNLISKKTGHGSLWLPKGVIRTRMTNIYMNAM
+>NM_001023563|343589_2_406_506
+MGRSHGPGRKTSPKAPVQVTKENPRAQNLPPVS
+>DECOY_NM_001023563|343589_2_406_506
+SVPPLNQARPNEKTVQVPAKPSTKRGPGHSRGM
+>NM_001145080|343645_2_382_482
+MAVKQTYMDLCLTFWKNKISHSHILLKGPAPPI
+>DECOY_NM_001145080|343645_2_382_482
+IPPAPGKLLIHSHSIKNKWFTLCLDMYTQKVAM
+>NM_001145080|343648_2_868_968
+MEETEYVLKVLKHHYSKKGQRCFFLNLIDTMKM
+>DECOY_NM_001145080|343648_2_868_968
+MKMTDILNLFFCRQGKKSYHHKLVKLVYETEEM
+>NM_014739|343671_2_1088_1263
+MDLVDTVLLRIVQFITSLHEEVLQRQSHHRMLQEMSLGAVPRFILMVEIRKLQRLGSS
+>DECOY_NM_014739|343671_2_1088_1263
+SSGLRQLKRIEVMLIFRPVAGLSMEQLMRHHSQRQLVEEHLSTIFQVIRLLVTDVLDM
+>NM_138392|343820_2_1172_1422
+MGSPPSVSTSPPRPVTVGTGSRSPMAPAQGACGSSCSTRRLWARGLSSSRPSLCTAALSPRSCCRRSTSSQSVPTTTTCGHGL
+>DECOY_NM_138392|343820_2_1172_1422
+LGHGCTTTTPVSQSSTSRRCCSRPSLAATCLSPRSSSLGRAWLRRTSCSSGCAGQAPAMPSRSGTGVTVPRPPSTSVSPPSGM
+>NM_138392|343823_2_1511_1782
+MAAAVLAMTLAPTVSGTTSKCSSRRWCPVPASSSCVSHLLGSGCAPCAPWTAHPRQPSQCWSARAPGGSALGPGATCSLARPTAAWPCGT
+>DECOY_NM_138392|343823_2_1511_1782
+TGCPWAATPRALSCTAGPGLASGGPARASWCQSPQRPHATWPACPACGSGLLHSVCSSSAPVPCWRRSSCKSTTGSVTPALTMALVAAAM
+>NM_138392|343826_3_855_1039
+MGSAGGRRWLRDRGLSSGGACGGLVLRREPAHCYKPHRAHRGVECRHQALAGPGGAAHHQL
+>DECOY_NM_138392|343826_3_855_1039
+LQHHAAGGPGALAQHRCEVGRHARHPKYCHAPERRLVLGGCAGGSSLGRDRLWRRGGASGM
+>NM_001145093|343872_2_1545_1816
+MGRNPMNARNVGRHSVKRSLWFSISEFTLGRNLMSVRSAGKPSDGMQVSSSIRSGILGRNSSMEQGYPQLSPTVPAPSSLLCLPNIPALP
+>DECOY_NM_001145093|343872_2_1545_1816
+PLAPINPLCLLSSPAPVTPSLQPYGQEMSSNRGLIGSRISSSVQMGDSPKGASRVSMLNRGLTFESISFWLSRKVSHRGVNRANMPNRGM
+>NM_001080399|343925_2_376_596
+MVAPAGLRWKGCLWMNLTAAASSTAGAMRRPLRWTVSKTPPNLAQRSIVSARRSYVSPRTTVSTCCVPVIRLP
+>DECOY_NM_001080399|343925_2_376_596
+PLRIVPVCCTSVTTRPSVYSRRASVISRQALNPPTKSVTWRLPRRMAGATSSAAATLNMWLCGKWRLGAPAVM
+>NM_004505|343994_2_2183_2265
+MEPSRGNYSTSSWPIRSITRRWATAGT
+>DECOY_NM_004505|343994_2_2183_2265
+TGATAWRRTISRIPWSSTSYNGRSPEM
+>NM_004505|344004_2_4580_4740
+MGTPVLGAHSIDFAEAVKLIVGKTELSLEMPILLWIGTPQPFTFAIKHPRKGL
+>DECOY_NM_004505|344004_2_4580_4740
+LGKRPHKIAFTFPQPTGIWLLIPMELSLETKGVILKVAEAFDISHAGLVPTGM
+>NM_004505|344010_2_5534_5670
+MAVAMATAMVSLETTVKKTALMTKEKTLILSLFIIYMQFHAIQEF
+>DECOY_NM_004505|344010_2_5534_5670
+FEQIAHFQMYIIFLSLILTKEKTMLATKKVTTELSVMATAMAVAM
+>NM_004505|344011_2_5546_5670
+MATAMVSLETTVKKTALMTKEKTLILSLFIIYMQFHAIQEF
+>DECOY_NM_004505|344011_2_5546_5670
+FEQIAHFQMYIIFLSLILTKEKTMLATKKVTTELSVMATAM
+>NM_004505|344012_2_5558_5670
+MVSLETTVKKTALMTKEKTLILSLFIIYMQFHAIQEF
+>DECOY_NM_004505|344012_2_5558_5670
+FEQIAHFQMYIIFLSLILTKEKTMLATKKVTTELSVM
+>NM_004505|344021_3_2916_3112
+MGISFFHALSWWGCPGRHVPCGHSGCAQPGPGSGRTSGFLEIPGVEVNAPAPNGPGYRGPLVPPL
+>DECOY_NM_004505|344021_3_2916_3112
+LPPVLPGRYGPGNPAPANVEVGPIELFGSTRGSGPGPQACGSHGCPVHRGPCGWWSLAHFFSIGM
+>NM_033051|344054_2_1196_1281
+MVWLQGTPSSSPASWVSWSSPAAFGTPP
+>DECOY_NM_033051|344054_2_1196_1281
+PPTGFAAPSSWSVWSAPSSSPTGQLWVM
+>NM_033051|344057_3_522_760
+MAQRPLPPKDLHLHVAAGLPALPPRAAAQGAAGLASGGAVRGGGAERAIRRLLRLLVRGHGAGIAGLLRGPPLCAPHPH
+>DECOY_NM_033051|344057_3_522_760
+HPHPACLPPGRLLGAIGAGHGRVLLRLLRRIAREAGGGRVAGGSALGAAGQAAARPPLAPLGAAVHLHLDKPPLPRQAM
+>NM_001145106|344080_2_600_697
+MARWARASAPCRVSRAASSSFSLRARATWLTW
+>DECOY_NM_001145106|344080_2_600_697
+WTLWTARARLSFSSSAARSVRCPASARAWRAM
+>NM_001145106|344082_2_870_985
+MASTLSFPPTTRPASRCTVTCARTAAAGRCFSAGRTAP
+>DECOY_NM_001145106|344082_2_870_985
+PATRGASFCRGAAATRACTVTCRSAPRTTPPFSLTSAM
+>NM_001145106|344083_2_1125_1246
+MARPMPATGASAWACSPWTLRKTGTRSPWLTIPALQATPS
+>DECOY_NM_001145106|344083_2_1125_1246
+SPTAQLAPITLWPSRTGTKRLTWPSCAWASAGTAPMPRAM
+>NM_002902|344135_2_822_928
+MVMDLLVWKNFLVITGGIQLQMKIQNGYLLRKTDS
+>DECOY_NM_002902|344135_2_822_928
+SDTKRLLYGNQIKMQLQIGGTIVLFNKWVLLDMVM
+>NM_002902|344136_2_828_928
+MDLLVWKNFLVITGGIQLQMKIQNGYLLRKTDS
+>DECOY_NM_002902|344136_2_828_928
+SDTKRLLYGNQIKMQLQIGGTIVLFNKWVLLDM
+>NM_198529|344182_2_3442_3530
+MGTSSSGTSPVISMIIMVHSWLCLFKMHI
+>DECOY_NM_198529|344182_2_3442_3530
+IHMKFLCLWSHVMIIMSIVPSTGSSSTGM
+>NM_001039948|344256_2_1259_1503
+MGCSHMGSWTRHCGPRGVRAKCFLNCASEALRVLPSPHLQTKMMMRPRIMCSGSSTLACSRNSLPPTSWAALPPSLWALPG
+>DECOY_NM_001039948|344256_2_1259_1503
+GPLAWLSPPLAAWSTPPLSNRSCALTSSGSCMIRPRMMMKTQLHPSPLVRLAESACNLFCKARVGRPGCHRTWSGMHSCGM
+>NM_001039948|344266_2_2579_2760
+MAAWMTGRAARPPHLRMRLPGRSWPCRTAWRVTSWPTRAWTSSCPSRAAWTWPCLKRTML
+>DECOY_NM_001039948|344266_2_2579_2760
+LMTRKLCPWTWAARSPCSSTWARTPWSTVRWATRCPWSRGPLRMRLHPPRAARGTMWAAM
+>NM_001039948|344269_2_2795_2877
+MARRTVRTTSRRSLRWKVSSLPWLLWL
+>DECOY_NM_001039948|344269_2_2795_2877
+LWLLWPLSSVKWRLSRRSTTRVTRRAM
+>NM_001098497|344388_2_1259_1461
+MGCSHMGSWTRHCGPRGVRAKCFLNCASEALRVLPSPHLQTKMMMRPRIMCSGSSTLACSRNSCPRI
+>DECOY_NM_001098497|344388_2_1259_1461
+IRPCSNRSCALTSSGSCMIRPRMMMKTQLHPSPLVRLAESACNLFCKARVGRPGCHRTWSGMHSCGM
+>NM_172110|344485_2_498_892
+MDWATQPVSGVCTRTILPTPASPRASTPSITAHPTTLPTSRPAASALRPSPRPPTSSRRHLTTSPTRVPSHLLVNTTHTMDLPHQRKRETQTGRTGPPTGSSEAGLRGAVTRPRQGTMRLSVCSCGTWMRQ
+>DECOY_NM_172110|344485_2_498_892
+QRMWTGCSCVSLRMTGQRPRTVAGRLGAESSGTPPGTRGTQTERKRQHPLDMTHTTNVLLHSPVRTPSTTLHRRSSTPPRPSPRLASAAPRSTPLTTPHATISPTSARPSAPTPLITRTCVGSVPQTAWDM
+>NM_172110|344486_2_735_892
+MDLPHQRKRETQTGRTGPPTGSSEAGLRGAVTRPRQGTMRLSVCSCGTWMRQ
+>DECOY_NM_172110|344486_2_735_892
+QRMWTGCSCVSLRMTGQRPRTVAGRLGAESSGTPPGTRGTQTERKRQHPLDM
+>NM_172110|344488_2_1560_1642
+MVWKRSKERKSTTCLSGGYPATQTWRH
+>DECOY_NM_172110|344488_2_1560_1642
+HRWTQTAPYGGSLCTTSKREKSRKWVM
+>NM_207454|344672_3_409_500
+MGPGRSCGKPIYSVAGEGRRRRGGDSAFLQ
+>DECOY_NM_207454|344672_3_409_500
+QLFASDGGRRRRGEGAVSYIPKGCSRGPGM
+>NM_020722|344710_2_3095_3330
+MVHPSPKSGSKPLPPGGTPLNLPAAALFLWPTLGLHRPAARPRLRSTTRQQTKCHWHKSQHWLPSPPVRPHQHPHFPN
+>DECOY_NM_020722|344710_2_3095_3330
+NPFHPHQHPRVPPSPLWHQSKHWHCKTQQRTTSRLRPRAAPRHLGLTPWLFLAAAPLNLPTGGPPLPKSGSKPSPHVM
+>NM_020722|344718_3_1494_1651
+MGRAGTAGGGGAGAARGVGGDWGGPAGRGGGGSGGRGGGGPGAPGGLEGAAQ
+>DECOY_NM_020722|344718_3_1494_1651
+QAAGELGGPAGPGGGGRGGSGGGGRGAPGGWDGGVGRAAGAGGGGATGARGM
+>NM_017769|344771_2_413_549
+MVLQLDVLHPDVNEVIISHVDFRENVFSSLLAILRHFVGTIDLFK
+>DECOY_NM_017769|344771_2_413_549
+KFLDITGVFHRLIALLSSFVNERFDVHSIIVENVDPHLVDLQLVM
+>NM_017769|344775_2_2018_2163
+MVNLQQQWKTFLFLQLVAVPFLQLDLNPLLQLSVCMWIFLLETSVITV
+>DECOY_NM_017769|344775_2_2018_2163
+VTIVSTELLFIWMCVSLQLLPNLDLQLFPVAVLQLFLFTKWQQQLNVM
+>NM_017769|344780_3_258_376
+MESHCTLLLFVDVKWNLAERQRRRRSLWFSNRRYQEGSE
+>DECOY_NM_017769|344780_3_258_376
+ESGEQYRRNSFWLSRRRRQREALNWKVDVFLLLTCHSEM
+>NM_004210|344795_2_1650_1918
+MARPPACSCAWTPRSRFGCSSACTGPSRRSASSAPLSWPSGVSHHSPAPLPPRQPRPVPWAAACLTPCSARAALALWVALLVGQPPIRQ
+>DECOY_NM_004210|344795_2_1650_1918
+QRIPPQGVLLAVWLALAARASCPTLCAAAWPVPRPQRPPLPAPSHHSVGSPWSLPASSASRRSPGTCASSCGFRSRPTWACSCAPPRAM
+>NM_014881|344828_2_1174_1313
+MVFSRPKTRKLLQENSVELKKANTCPQRYVQFMMDTVQIARCLFPH
+>DECOY_NM_014881|344828_2_1174_1313
+HPFLCRAIQVTDMMFQVYRQPCTNAKKLEVSNEQLLKRTKPRSFVM
+>NM_014881|344841_2_3073_3179
+MAWLKVAQPIFSHIFILIIMLDCLNTSHFQFIVVR
+>DECOY_NM_014881|344841_2_3073_3179
+RVVIFQFHSTNLCDLMIILIFIHSFIPQAVKLWAM
+>NM_014252|344854_2_762_850
+MAPWGSTMDSQALYFEKYQAISSSSVAMN
+>DECOY_NM_014252|344854_2_762_850
+NMAVSSSSIAQYKEFYLAQSDMTSGWPAM
+>NM_182519|344874_2_274_710
+MVTLRPTTTLLSWGANTDMVRSLSPREASGTSETVAIAVPRMHMEATGASGDTGQHLWAGFTGESCSLEKSHLELPLGRWAQVVCWALEACWQLMASSQAKVACSAEVVSLVMEDFLEEGVSWACSARVASSALCKASRGCVSWS
+>DECOY_NM_182519|344874_2_274_710
+SWSVCGRSAKCLASSAVRASCAWSVGEELFDEMVLSVVEASCAVKAQSSAMLQWCAELAWCVVQAWRGLPLELHSKELSCSEGTFGAWLHQGTDGSAGTAEMHMRPVAIAVTESTGSAERPSLSRVMDTNAGWSLLTTTPRLTVM
+>NM_182519|344875_2_328_710
+MVRSLSPREASGTSETVAIAVPRMHMEATGASGDTGQHLWAGFTGESCSLEKSHLELPLGRWAQVVCWALEACWQLMASSQAKVACSAEVVSLVMEDFLEEGVSWACSARVASSALCKASRGCVSWS
+>DECOY_NM_182519|344875_2_328_710
+SWSVCGRSAKCLASSAVRASCAWSVGEELFDEMVLSVVEASCAVKAQSSAMLQWCAELAWCVVQAWRGLPLELHSKELSCSEGTFGAWLHQGTDGSAGTAEMHMRPVAIAVTESTGSAERPSLSRVM
+>NM_182519|344879_2_610_710
+MEDFLEEGVSWACSARVASSALCKASRGCVSWS
+>DECOY_NM_182519|344879_2_610_710
+SWSVCGRSAKCLASSAVRASCAWSVGEELFDEM
+>NM_001010875|344895_2_843_946
+MADVLATQEPWIACYRHGRMKGFLLSIKAFGQIG
+>DECOY_NM_001010875|344895_2_843_946
+GIQGFAKISLLFGKMRGHRYCAIWPEQTALVDAM
+>NM_017422|344928_2_409_497
+MATATSPWTSSGGPWRGWGSRCRRRSWTP
+>DECOY_NM_017422|344928_2_409_497
+PTWSRRRCRSGWGRWPGGSSTWPSTATAM
+>NM_198469|344999_2_171_253
+MACFTAREPCTSPAEANTTPFGKTDWP
+>DECOY_NM_198469|344999_2_171_253
+PWDTKGFPTTNAEAPSTCPERATFCAM
+>NM_153267|345088_3_847_935
+MELPPFGLPDNHIFGVSVRSQPAEPLHEI
+>DECOY_NM_153267|345088_3_847_935
+IEHLPEAPQSRVSVGFIHNDPLGFPPLEM
+>NM_015395|345125_2_3359_3477
+METCGIAKGSRPATRRAPAGSTCPTTCAECPWGPWTRSG
+>DECOY_NM_015395|345125_2_3359_3477
+GSRTWPGWPCEACTTPCTSGAPARRTAPRSGKAIGCTEM
+>NM_176817|345144_3_377_573
+MDDCKPSQPLACCLPQPALLLQAHPFLSHLPDLLGKLGLQEDLPDAPGYYSLLLHLHCPLCLVLF
+>DECOY_NM_176817|345144_3_377_573
+FLVLCLPCHLHLLLSYYGPADPLDEQLGLKGLLDPLHSLFPHAQLLLAPQPLCCALPQSPKCDDM
+>NM_024074|345155_2_875_948
+MVGPTAVVGQELSIRPCPPSPRRS
+>DECOY_NM_024074|345155_2_875_948
+SRRPSPPCPRISLEQGVVATPGVM
+>NM_004051|345182_2_323_510
+MEQDAHYCLVLLPLSRLAVGLMPVRRSRLAAKLSWSQAVTLDLGSHWPSICIQKASLCLLAA
+>DECOY_NM_004051|345182_2_323_510
+AALLCLSAKQICISPWHSGLDLTVAQSWSLKAALRSRRVPMLGVALRSLPLLVLCYHADQEM
+>NM_005628|345262_2_1722_1855
+MAWPSTSAVSSCPSAPPSTWTVPRSSSAWPQCSLHSSASSPWTS
+>DECOY_NM_005628|345262_2_1722_1855
+STWPSSASSHLSCQPWASSSRPVTWTSPPASPCSSVASTSPWAM
+>NM_033029|345396_2_896_981
+MEILSLQDLQMASHLLIIVWDYINGVIK
+>DECOY_NM_033029|345396_2_896_981
+KIVGNIYDWVIILLHSAMQLDQLSLIEM
+>NM_033029|345399_2_1790_1869
+METCSAHHVGTSVSSVLQKQILQPLT
+>DECOY_NM_033029|345399_2_1790_1869
+TLPQLIQKQLVSSVSTGVHHASCTEM
+>NM_198077|345463_2_442_554
+MVMMLHRMLRKLGFYQKGRRRWNQMMKKMSILLKSAK
+>DECOY_NM_198077|345463_2_442_554
+KASKLLISMKKMMQNWRRRGKQYFGLKRLMRHLMMVM
+>NM_001145118|345501_2_1228_1355
+MGSAGPSRASSSTGTSTPSSLTSTLCWTPLPSRSCGSSSTSC
+>DECOY_NM_001145118|345501_2_1228_1355
+CSTSSSGCSRSPLPTWCLTSTLSSPTSTGTSSSARSPGASGM
+>NM_015135|345590_2_2744_2823
+MAILIQNWLLKVPRSSVVSLATLIFR
+>DECOY_NM_015135|345590_2_2744_2823
+RFILTALSVVSSRPVKLLWNQILIAM
+>NM_015135|345591_2_4448_4596
+MAPPSWKWSVEMLVMVMRLEGCWPWLYLIELSPWINSSSGFCIFLTVAT
+>DECOY_NM_015135|345591_2_4448_4596
+TAVTLFICFGSSSNIWPSLEILYLWPWCGELRMVMVLMEVSWKWSPPAM
+>NM_015135|345593_2_4490_4596
+MVMRLEGCWPWLYLIELSPWINSSSGFCIFLTVAT
+>DECOY_NM_015135|345593_2_4490_4596
+TAVTLFICFGSSSNIWPSLEILYLWPWCGELRMVM
+>NM_015135|345598_3_3372_3466
+MAYENCLNRAKGNLSESSAVTYPEAPTPLTG
+>DECOY_NM_015135|345598_3_3372_3466
+GTLPTPAEPYTVASSESLNGKARNLCNEYAM
+>NM_020751|345662_2_164_261
+MGQAGPRRRPATRCRASCIRSWRRGWTTTRRC
+>DECOY_NM_020751|345662_2_164_261
+CRRTTTWGRRWSRICSARCRTAPRRRPGAQGM
+>NM_001145014|345770_2_744_844
+MGAISTHSSRFLFASPGVHFLLINVEVKMIRAS
+>DECOY_NM_001145014|345770_2_744_844
+SARIMKVEVNILLFHVGPSAFLFRSSHTSIAGM
+>NM_003635|345985_2_1621_1748
+MASSGCSLDMAFPSGSTNLSSLMLLHTSLASASAWTLTATSW
+>DECOY_NM_003635|345985_2_1621_1748
+WSTATLTWASASALSTHLLMLSSLNTSGSPFAMDLSCGSSAM
+>NM_003635|345986_2_1648_1748
+MAFPSGSTNLSSLMLLHTSLASASAWTLTATSW
+>DECOY_NM_003635|345986_2_1648_1748
+WSTATLTWASASALSTHLLMLSSLNTSGSPFAM
+>NM_003635|345987_2_2056_2165
+MGFPRTWGMLWPPTTRVCTPSTRSSMRPGNPCGASR
+>DECOY_NM_003635|345987_2_2056_2165
+RSAGCPNGPRMSSRTSPTCVRTTPPWLMGWTRPFGM
+>NM_003635|345989_2_2227_2315
+MALWCCPGRHVASSLTQSSIMSILEALVN
+>DECOY_NM_003635|345989_2_2227_2315
+NVLAELISMISSQTLSSAVHRGPCCWLAM
+>NM_003635|345990_2_2398_2672
+MEMTGWAYTPLRAWCASSSVGHGCAYRPFLLSHLHRSTLNFSLRSEAPFGRIPVMTRGTKISGPRRKPVIVSRSSSLWDPRKQGLQLFTSS
+>DECOY_NM_003635|345990_2_2398_2672
+SSTFLQLGQKRPDWLSSSRSVIVPKRRPGSIKTGRTMVPIRGFPAESRLSFNLTSRHLHSLLFPRYACGHGVSSSACWARLPTYAWGTMEM
+>NM_001145122|346093_3_1209_1417
+MGEAEHSWWPEAVAAGHILEEPAVPAVCLEARGGQEIPEALQRAGVPAPEAQAQVPQAEASPRHWLLPV
+>DECOY_NM_001145122|346093_3_1209_1417
+VPLLWHRPSAEAQPVQAQAEPAPVGARQLAEPIEQGGRAELCVAPVAPEELIHGAAVAEPWWSHEAEGM
+>NM_001145124|346125_3_97_182
+MGVGYLPHLGVCPGALLPITPLLLLPPL
+>DECOY_NM_001145124|346125_3_97_182
+LPPLLLLPTIPLLAGPCVGLHPLYGVGM
+>NM_001145127|346158_2_634_836
+MAELEEQIAELNIVQKEINDQGEQLRSLVGPDAATIRSQYRDLLRAASWRGQSLGSLYTHCRAARGS
+>DECOY_NM_001145127|346158_2_634_836
+SGRAARCHTYLSGLSQGRWSAARLLDRYQSRITAADPGVLSRLQEGQDNIEKQVINLEAIQEELEAM
+>NM_001145127|346160_3_623_765
+MGRAWRSWRNRSRSSTSCRRRSTTKESSCGAWWGRMPPPSGANTETY
+>DECOY_NM_001145127|346160_3_623_765
+YTETNAGSPPPMRGWWAGCSSEKTTSRRRCSTSSRSRNRWSRWARGM
+>NM_001145139|346171_3_586_746
+MAAGLCRPSPPLRSWASAGLEEPGKGLEEQMEPPRGSPAALCERPHQAAYPRL
+>DECOY_NM_001145139|346171_3_586_746
+LRPYAAQHPRECLAAPSGRPPEMQEELGKGPEELGASAWSRLPPSPRCLGAAM
+>NM_153835|346231_2_752_840
+MEQGNQRWSPSMYIWTFQIRPGPLNSPGH
+>DECOY_NM_153835|346231_2_752_840
+HGPSNLPGPRIQFTWIYMSPSWRQNGQEM
+>NM_153835|346232_2_1262_1365
+METSPALRTPRCSPGMSPRLATWHRPHVLRARGA
+>DECOY_NM_153835|346232_2_1262_1365
+AGRARLVHPRHWTALRPSMGPSCRPTRLAPSTEM
+>NM_153835|346235_2_1994_2304
+MDKGWGIPSMPLLAWSLSFPSWQVTGPSARERSSWTLGTQMVPLTVSSGITVSSRAGGVGPKKGARHRWPVPAPLLSASASTSLPSPSSCPHTLFRKNPLWRC
+>DECOY_NM_153835|346235_2_1994_2304
+CRWLPNKRFLTHPCSSPSPLSTSASASLLPAPVPWRHRAGKKPGVGGARSSVTIGSSVTLPVMQTGLTWSSRERASPGTVQWSPFSLSWALLPMSPIGWGKDM
+>NM_017878|346468_2_147_220
+MAMWSIWLRQVKLLELVRPVSCLP
+>DECOY_NM_017878|346468_2_147_220
+PLCSVPRVLELLKVQRLWISWMAM
+>NM_022768|346667_2_324_598
+MGAAAERPIAAVGRGGVSTWTSPAVEVAAASMIPVGAAPVAACIVIAPRAPKILRAGASRAAAPGVEAGSHVPLGPPPQLPAAGTARNTRL
+>DECOY_NM_022768|346667_2_324_598
+LRTNRATGAAPLQPPPGLPVHSGAEVGPAAARSAGARLIKPARPAIVICAAVPAAGVPIMSAAAVEVAPSTWTSVGGRGVAAIPREAAAGM
+>NM_022768|346670_2_1425_1534
+MVKLHPPPASGWEAWDLGFLLLPWHENLIDLAPYAP
+>DECOY_NM_022768|346670_2_1425_1534
+PAYPALDILNEHWPLLLFGLDWAEWGSAPPPHLKVM
+>NM_022768|346672_2_1938_2113
+MVGPWTGTEVIEICPAAETSLGSEGCLRRVEDVIWIGLLRVTAHENVTALLLLTAVQN
+>DECOY_NM_022768|346672_2_1938_2113
+NQVATLLLLATVNEHATVRLLGIWIVDEVRRLCGESGLSTEAAPCIEIVETGTWPGVM
+>NM_153256|346681_2_401_483
+MEECAASAPRLWRRAPPVPPSLKMSST
+>DECOY_NM_153256|346681_2_401_483
+TSSMKLSPPVPPARRWLRPASAACEEM
+>NM_152725|346713_2_1174_1280
+MVQFPGIRPASLLGSWWRYFYRRASHSFLRRTLSK
+>DECOY_NM_152725|346713_2_1174_1280
+KSLTRRLFSHSARRYFYRWWSGLLSAPRIGPFQVM
+>NM_001001670|346774_2_2919_3049
+MGSLSPVVEALFKEKSWEQQAQSPSLIVLTLSPHLSSKKGRGP
+>DECOY_NM_001001670|346774_2_2919_3049
+PGRGKKSSLHPSLTLVILSPSQAQQEWSKEKFLAEVVPSLSGM
+>NM_001004330|346827_3_1267_1361
+MDGTNNNCNFLLYQESGNQENIFIHIARRIL
+>DECOY_NM_001004330|346827_3_1267_1361
+LIRRAIHIFINEQNGSEQYLLFNCNNNTGDM
+>NM_001145204|346868_2_1481_1710
+MDRSPAPTRCPHIPWPTPLPPTLRAGTPTSSPSGGRLTATRASLARPRQAPATPWELAPSTTHPHSHTSSPTAKQK
+>DECOY_NM_001145204|346868_2_1481_1710
+KQKATPSSTHSHPHTTSPALEWPTAPAQRPRALSARTATLRGGSPSSTPTGARLTPPLPTPWPIHPCRTPAPSRDM
+>NM_003967|346903_3_525_643
+MGGARSIHFVIPLHRCGRDKAQPVAGRDALCGQLPAAAQ
+>DECOY_NM_003967|346903_3_525_643
+QAAAPLQGCLADRGAVPQAKDRGCRHLPIVFHISRAGGM
+>NM_001145208|346990_3_627_730
+MEVYDIIILTENSWPGFLRRSFRRQTCQFEVHHP
+>DECOY_NM_001145208|346990_3_627_730
+PHHVEFQCTQRRFSRRLFGPWSNETLIIIDYVEM
+>NM_013286|347036_2_1178_1302
+MASSRRWSSRGLPVARAVPMPSSSSRTWTWPIGLRWPCRAE
+>DECOY_NM_013286|347036_2_1178_1302
+EARCPWRLGIPWTWTRSSSSPMPVARAVPLGRSSWRRSSAM
+>NM_013286|347037_2_1331_1518
+MARPTPPLVSGWVAWDLTRHWRLWPESLTALGAFGPLITSKEIALPIFSTRAWTQPRPPVLK
+>DECOY_NM_013286|347037_2_1331_1518
+KLVPPRPQTWARTSFIPLAIEKSTILPGFAGLATLSEPWLRWHRTLDWAVWGSVLPPTPRAM
+>NM_013286|347042_2_2072_2223
+MGLRNGATTTTTTRLQTLPTGRRQETASAITGPQRPSPSLWKSQNTRPKS
+>DECOY_NM_013286|347042_2_2072_2223
+SKPRTNQSKWLSPSPRQPGTIASATEQRRGTPLTQLRTTTTTTAGNRLGM
+>NM_001018116|347119_2_100_191
+MGLLQMLIKSTRIACRVLQKMKTKTLLLPL
+>DECOY_NM_001018116|347119_2_100_191
+LPLLLTKTKMKQLVRCAIRTSKILMQLLGM
+>NM_033317|347137_2_598_740
+MVLGKLLEAMASLALKVALEARARAILEVWGLRGSTDTPETQQAALE
+>DECOY_NM_033317|347137_2_598_740
+ELAAQQTEPTDTSGRLGWVELIARARAELAVKLALSAMAELLKGLVM
+>NM_033317|347138_2_625_740
+MASLALKVALEARARAILEVWGLRGSTDTPETQQAALE
+>DECOY_NM_033317|347138_2_625_740
+ELAAQQTEPTDTSGRLGWVELIARARAELAVKLALSAM
+>NM_033317|347140_2_961_1310
+MVTTTMAAAVVAAAVAAAVAAAVAAAVVAAVATVVAAEVTAAVSPPGDPAPAPPPATTVGAAEEMDINPGVKSQGMKPAGAGNLGFRTLRRLLGCLTLTLSGRILNPSWVSSTGMP
+>DECOY_NM_033317|347140_2_961_1310
+PMGTSSVWSPNLIRGSLTLTLCGLLRRLTRFGLNGAGAPKMGQSKVGPNIDMEEAAGVTTAPPPAPAPDGPPSVAATVEAAVVTAVAAVVAAAVAAAVAAAVAAAVVAAAMTTTVM
+>NM_033317|347141_2_976_1310
+MAAAVVAAAVAAAVAAAVAAAVVAAVATVVAAEVTAAVSPPGDPAPAPPPATTVGAAEEMDINPGVKSQGMKPAGAGNLGFRTLRRLLGCLTLTLSGRILNPSWVSSTGMP
+>DECOY_NM_033317|347141_2_976_1310
+PMGTSSVWSPNLIRGSLTLTLCGLLRRLTRFGLNGAGAPKMGQSKVGPNIDMEEAAGVTTAPPPAPAPDGPPSVAATVEAAVVTAVAAVVAAAVAAAVAAAVAAAVVAAAM
+>NM_033317|347142_2_1153_1310
+MDINPGVKSQGMKPAGAGNLGFRTLRRLLGCLTLTLSGRILNPSWVSSTGMP
+>DECOY_NM_033317|347142_2_1153_1310
+PMGTSSVWSPNLIRGSLTLTLCGLLRRLTRFGLNGAGAPKMGQSKVGPNIDM
+>NM_001126056|347187_2_961_1253
+MVTTTMAAAVVAAAVAAAVAAAVAAAVVAAVATVVAAEVTAAVSPPGDPAPAPPPATTVGAAEEMDINPGVKSQGMKPAGAGNLGFRASEDREFPAT
+>DECOY_NM_001126056|347187_2_961_1253
+TAPFERDESARFGLNGAGAPKMGQSKVGPNIDMEEAAGVTTAPPPAPAPDGPPSVAATVEAAVVTAVAAVVAAAVAAAVAAAVAAAVVAAAMTTTVM
+>NM_001126056|347188_2_976_1253
+MAAAVVAAAVAAAVAAAVAAAVVAAVATVVAAEVTAAVSPPGDPAPAPPPATTVGAAEEMDINPGVKSQGMKPAGAGNLGFRASEDREFPAT
+>DECOY_NM_001126056|347188_2_976_1253
+TAPFERDESARFGLNGAGAPKMGQSKVGPNIDMEEAAGVTTAPPPAPAPDGPPSVAATVEAAVVTAVAAVVAAAVAAAVAAAVAAAVVAAAM
+>NM_001126056|347189_2_1153_1253
+MDINPGVKSQGMKPAGAGNLGFRASEDREFPAT
+>DECOY_NM_001126056|347189_2_1153_1253
+TAPFERDESARFGLNGAGAPKMGQSKVGPNIDM
+>NM_173553|347233_2_709_920
+MGSRMGLATQKDWISVPWCWLRRASPQGGTTGRWTWKRQPGGKWAYTTALQTRRAARPELPERKSCSRGR
+>DECOY_NM_173553|347233_2_709_920
+RGRSCSKREPLEPRAARRTQLATTYAWKGGPQRKWTWRGTTGGQPSARRLWCWPVSIWDKQTALGMRSGM
+>NM_173553|347234_2_721_920
+MGLATQKDWISVPWCWLRRASPQGGTTGRWTWKRQPGGKWAYTTALQTRRAARPELPERKSCSRGR
+>DECOY_NM_173553|347234_2_721_920
+RGRSCSKREPLEPRAARRTQLATTYAWKGGPQRKWTWRGTTGGQPSARRLWCWPVSIWDKQTALGM
+>NM_015251|347267_3_548_621
+MGPEKTCRGLWQDLPVHMRLSLRQ
+>DECOY_NM_015251|347267_3_548_621
+QRLSLRMHVPLDQWLGRCTKEPGM
+>NM_173815|347306_2_1361_1440
+MGICPAGHATTRMKSTCSWILPQEWA
+>DECOY_NM_173815|347306_2_1361_1440
+AWEQPLIWSCTSKMRTTAHGAPCIGM
+>NM_152281|347371_2_258_559
+MDQPHYFQSSCFQHQNRELTFKNHLFLPLLFRVISLSPPPLVMDNHRALKVSQRNWDLRIPMMVTTMLRFYLQSQIANWRKRKWNCKKNLVGKSSNKNNG
+>DECOY_NM_152281|347371_2_258_559
+GNNKNSSKGVLNKKCNWKRKRWNAIQSQLYFRLMTTVMMPIRLDWNRQSVKLARHNDMVLPPPSLSIVRFLLPLFLHNKFTLERNQHQFCSSQFYHPQDM
+>NM_152281|347372_2_384_559
+MDNHRALKVSQRNWDLRIPMMVTTMLRFYLQSQIANWRKRKWNCKKNLVGKSSNKNNG
+>DECOY_NM_152281|347372_2_384_559
+GNNKNSSKGVLNKKCNWKRKRWNAIQSQLYFRLMTTVMMPIRLDWNRQSVKLARHNDM
+>NM_152281|347374_2_444_559
+MVTTMLRFYLQSQIANWRKRKWNCKKNLVGKSSNKNNG
+>DECOY_NM_152281|347374_2_444_559
+GNNKNSSKGVLNKKCNWKRKRWNAIQSQLYFRLMTTVM
+>NM_019005|347402_2_2115_2194
+MEFCMKTKLQYVTEWHLLVNSLVILS
+>DECOY_NM_019005|347402_2_2115_2194
+SLIVLSNVLLHWETVYQLKTKMCFEM
+>NM_001145196|347446_3_97_182
+MGVGYLPHLGVCPGVLLPITPLLILLPL
+>DECOY_NM_001145196|347446_3_97_182
+LPLLILLPTIPLLVGPCVGLHPLYGVGM
+>NM_138569|347456_2_717_802
+MGSNTRPSQATRLLQQSLQTHCFWNRRH
+>DECOY_NM_138569|347456_2_717_802
+HRRNWFCHTQLSQQLLRTAQSPRTNSGM
+>NM_015173|347555_2_1097_1272
+MGASEAAASSAPSRRATLRTTSLADTILCSPQISRKIELCSSRLASLKFTSSVLTPKK
+>DECOY_NM_015173|347555_2_1097_1272
+KKPTLVSSTFKLSALRSSCLEIKRSIQPSCLITDALSTTRLTARRSPASSAAAESAGM
+>NM_015173|347556_2_2450_2598
+MGPLAPHQRKRKGHLVSSESCGKRLFFNRYCCLEWRRKIRSSKPLKMIC
+>DECOY_NM_015173|347556_2_2450_2598
+CIMKLPKSSRIKRRWELCCYRNFFLRKGCSESSVLHGKRKRQHPALPGM
+>NM_001142702|347588_2_448_587
+MEIFSTLSMPLMMSGGKPGESCWRETVRRWGSSPAKGGWKERNVPD
+>DECOY_NM_001142702|347588_2_448_587
+DPVNREKWGGKAPSSGWRRVTERWCSEGPKGGSMMLPMSLTSFIEM
+>NM_138717|347718_2_803_912
+MVLLLPGSPASLVSMMQMRPSWRWRSNWFICGILLG
+>DECOY_NM_138717|347718_2_803_912
+GLLIGCIFWNSRWRWSPRMQMMSVLSAPSGPLLLVM
+>NM_014906|347838_2_1667_1746
+MVGMIRRIMESANALGLSTSAQHQPT
+>DECOY_NM_014906|347838_2_1667_1746
+TPQHQASTSLGLANASEMIRRIMGVM
+>NM_016507|347905_2_605_753
+MGARRTGVEELLELCSRHREAAALTAESVTAWYRSTSGISPNTPKTWGW
+>DECOY_NM_016507|347905_2_605_753
+WGWTKPTNPSIGSTSRYWATVSEATLAAAERHRSCLELLEEVGTRRAGM
+>NM_016507|347910_2_2711_2841
+MEKEDKQKATGGNAVWTSLTLLGLLEKEPMAKYIKPRTKTQEN
+>DECOY_NM_016507|347910_2_2711_2841
+NEQTKTRPKIYKAMPEKELLGLLTLSTWVANGGTAKQKDEKEM
+>NM_032622|348007_2_452_531
+MAVQTAVRASQPRLPPQRFLQLPPSP
+>DECOY_NM_032622|348007_2_452_531
+PSPPLQLFRQPPLRPQSARVATQVAM
+>NM_032622|348009_2_1454_1539
+MAAGPQGQGRGATLPSPSILQLLVMRRW
+>DECOY_NM_032622|348009_2_1454_1539
+WRRMVLLQLISPSPLTAGRGQGQPGAAM
+>NM_032622|348012_2_1997_2115
+METNLFSSNPLLKEHQHTMMEELDVVIFFLLSMVEVHQE
+>DECOY_NM_032622|348012_2_1997_2115
+EQHVEVMSLLFFIVVDLEEMMTHQHEKLLPNSSFLNTEM
+>NM_021009|348081_2_602_690
+MGAPCLTTTSRKSPPCTWCSVSEVGCKSS
+>DECOY_NM_021009|348081_2_602_690
+SSKCGVESVSCWTCPPSKRSTTTLCPAGM
+>NM_021009|348082_2_830_918
+MGAPCLTTTSRKSLPCTWCSVSEVGCRSS
+>DECOY_NM_021009|348082_2_830_918
+SSRCGVESVSCWTCPLSKRSTTTLCPAGM
+>NM_021009|348083_2_1058_1146
+MVVPCLTTTSRKSPPCTWYSVSEVGCKSS
+>DECOY_NM_021009|348083_2_1058_1146
+SSKCGVESVSYWTCPPSKRSTTTLCPVVM
+>NM_021009|348085_2_1514_1602
+MVVPCLTTTSRKSPPCTWCSVSEVGCRSS
+>DECOY_NM_021009|348085_2_1514_1602
+SSRCGVESVSCWTCPPSKRSTTTLCPVVM
+>NM_021009|348086_2_1742_1830
+MDAPCLTTTSRKSPPCTWCSVLEVGCRSS
+>DECOY_NM_021009|348086_2_1742_1830
+SSRCGVELVSCWTCPPSKRSTTTLCPADM
+>NM_021009|348088_2_2198_2286
+MDAPCLTTTSRKSPPCTWCSVSEVGCKSS
+>DECOY_NM_021009|348088_2_2198_2286
+SSKCGVESVSCWTCPPSKRSTTTLCPADM
+>NM_032725|348184_2_421_1319
+MVPQIHLLGRTVMTPRIHLLGGPVMTPQILLPSEGLVMTQTHLLPGGSVMTPQTLHPQGGPVMILQILLPQGGLSIILQVHLLGESVMIHQIPLLLGEPVMVPQISLPPEGSITTPLTHLGGLLALQTHSNSEGPVMTPLIWLLMSLIPCPEPKVVKPQKEPLARLLHIGRSQEPPICHSQRTANMSMTLTSLLHEKSKQNPILETRSSLIPKVTARKQLIQTFLLHGINKVQGTRILIQICHLHGIDLDTGALILTSLHQGGDRGPNLLILTCPRLEGVSLLERRLHTCILGLKLGWC
+>DECOY_NM_032725|348184_2_421_1319
+CWGLKLGLICTHLRRELLSVGELRPCTLILLNPGRDGGQHLSTLILAGTDLDIGHLHCIQILIRTGQVKNIGHLLFTQILQKRATVKPILSSRTELIPNQKSKEHLLSTLTMSMNATRQSHCIPPEQSRGIHLLRALPEKQPKVVKPEPCPILSMLLWILPTMVPGESNSHTQLALLGGLHTLPTTISGEPPLSIQPVMVPEGLLLPIQHIMVSEGLLHVQLIISLGGQPLLIQLIMVPGGQPHLTQPTMVSGGPLLHTQTMVLGESPLLIQPTMVPGGLLHIRPTMVTRGLLHIQPVM
+>NM_032725|348191_2_721_1319
+MVPQISLPPEGSITTPLTHLGGLLALQTHSNSEGPVMTPLIWLLMSLIPCPEPKVVKPQKEPLARLLHIGRSQEPPICHSQRTANMSMTLTSLLHEKSKQNPILETRSSLIPKVTARKQLIQTFLLHGINKVQGTRILIQICHLHGIDLDTGALILTSLHQGGDRGPNLLILTCPRLEGVSLLERRLHTCILGLKLGWC
+>DECOY_NM_032725|348191_2_721_1319
+CWGLKLGLICTHLRRELLSVGELRPCTLILLNPGRDGGQHLSTLILAGTDLDIGHLHCIQILIRTGQVKNIGHLLFTQILQKRATVKPILSSRTELIPNQKSKEHLLSTLTMSMNATRQSHCIPPEQSRGIHLLRALPEKQPKVVKPEPCPILSMLLWILPTMVPGESNSHTQLALLGGLHTLPTTISGEPPLSIQPVM
+>NM_015238|348255_3_326_477
+MAAGAGTYAEGLPGGGPGGSECTKGDLPGEAAAPGACTAGVPATACRLGA
+>DECOY_NM_015238|348255_3_326_477
+AGLRCATAPVGATCAGPAAAEGPLDGKTCESGGPGGGPLGEAYTGAGAAM
+>NM_004637|348268_3_414_496
+MGHSRTGTVPVSRCGLLQRCRLLRSGI
+>DECOY_NM_004637|348268_3_414_496
+IGSRLLRCRQLLGCRSVPVTGTRSHGM
+>NM_078485|348283_2_1063_1316
+MGSLECLEQRVNQENLGLLVMQDCRGYQVYLEFLVQRVLLVKRVAQVLQGSLVRWEIQANRANRGLQERWDPEDPRGFLAVEEN
+>DECOY_NM_078485|348283_2_1063_1316
+NEEVALFGRPDEPDWREQLGRNARNAQIEWRVLSGQLVQAVRKVLLVRQVLFELYVQYGRCDQMVLLGLNEQNVRQELCELSGM
+>NM_001852|348300_2_227_324
+MGPLEKLALRDPRASLAKLGQMGQTGSPGLMV
+>DECOY_NM_001852|348300_2_227_324
+VMLGPSGTQGMQGLKALSARPDRLALKELPGM
+>NM_004187|348415_2_1158_1231
+MAGGPRDCSLIRNPQRKTLRRIQS
+>DECOY_NM_004187|348415_2_1158_1231
+SQIRRLTKRQPNRILSCDRPGGAM
+>NM_004187|348420_2_1560_1819
+MAVMTTTTSSACCLLCLRSPRVSGGAQSVSWRSVSGPQKPLALSRLPGNTLCRALARWPTPLKLTTSTCPCIWCPQNLWRRSSGGW
+>DECOY_NM_004187|348420_2_1560_1819
+WGGSSRRWLNQPCWICPCTSTTLKLPTPWRALARCLTNGPLRSLALPKQPGSVSRWSVSQAGGSVRPSRLCLLCCASSTTTTMVAM
+>NM_004187|348433_3_4243_4427
+MGHQIPVSTVYALKAPAPGDHPGTAGSPAETACAAARGRGPAVPHREGHQLARPRQAGSGL
+>DECOY_NM_004187|348433_3_4243_4427
+LGSGAQRPRALQHGERHPVAPGRGRAAACATEAPSGATGPHDGPAPAKLAYVTSVPIQHGM
+>NM_003335|348492_2_595_701
+MEFAFWRLTPGASWGSCSVTLVRTSLCRTPQRQNP
+>DECOY_NM_003335|348492_2_595_701
+PNQRQPTRCLSTRVLTVSCSGWSAGPTLRWFAFEM
+>NM_003335|348493_2_868_956
+MGPWRLETQQLSLGTCVVGLSLKSRDPRL
+>DECOY_NM_003335|348493_2_868_956
+LRPDRSKLSLGVVCTGLSLQQTELRWPGM
+>NM_003335|348500_2_2269_2432
+MELPSGQVPNSVPSPWSLTPTKTHTSSTYWQLPTCMPRCMGCLAHRTGLHSGSC
+>DECOY_NM_003335|348500_2_2269_2432
+CSGSHLGTRHALCGMCRPMCTPLQWYTSSTHTKTPTLSWPSPVSNPVQGSPLEM
+>NM_030906|348568_2_1112_1200
+MENSKKFWIGKGISQRMRQGGSFKVSHQL
+>DECOY_NM_030906|348568_2_1112_1200
+LQHSVKFSGGQRMRQSIGKGIWFKKSNEM
+>NM_020056|348692_2_506_660
+MGTQSQKVFLRPASSPRVIIPSSRSVTSPSSLLLMRFMTARWSTGAWTSLF
+>DECOY_NM_020056|348692_2_506_660
+FLSTWAGTSWRATMFRMLLLSSPSTVSRSSPIIVRPSSAPRLFVKQSQTGM
+>NM_017761|348697_2_551_789
+MVITHQQLPGRPCKMGGRTKIFQIIKVGILAYQVPGYFLNLKLIRTMLVPNLVSRHHQVFFPNHQATGSLFPLILQIRK
+>DECOY_NM_017761|348697_2_551_789
+KRIQLILPFLSGTAQHNPFFVQHHRSVLNPVLMTRILKLNLFYGPVQYALIGVKIIQFIKTRGGMKCPRGPLQQHTIVM
+>NM_017761|348698_2_593_789
+MGGRTKIFQIIKVGILAYQVPGYFLNLKLIRTMLVPNLVSRHHQVFFPNHQATGSLFPLILQIRK
+>DECOY_NM_017761|348698_2_593_789
+KRIQLILPFLSGTAQHNPFFVQHHRSVLNPVLMTRILKLNLFYGPVQYALIGVKIIQFIKTRGGM
+>NM_007361|348721_2_1918_2021
+MAEPTRPSATSHSQQPRPSSPSHQLEACLAGSLL
+>DECOY_NM_007361|348721_2_1918_2021
+LLSGALCAELQHSPSSPRPQQSHSTASPRTPEAM
+>NM_007361|348723_2_2617_2765
+MDGTVWMKMNVQLAFIAVAPTLYVSTCLEATGVSAGVVMSLQMTGILAS
+>DECOY_NM_007361|348723_2_2617_2765
+SALIGTMQLSMVVGASVGTAELCTSVYLTPAVAIFALQVNMKMWVTGDM
+>NM_007361|348726_2_2794_2912
+MAVIPVLLLGRPGVFTMEAARSAVPACLVMPAMGTSALM
+>DECOY_NM_007361|348726_2_2794_2912
+MLASTGMAPMVLCAPVASRAAEMTFVGPRGLLLVPIVAM
+>NM_007361|348730_2_3157_3536
+MAALVSAGAWTLMVMKFLVPRLHLAPPRLTVDHHQSPPRGPRPSVSAGGKTCWSTTVAPPGMTSTCPSAMTWATSSPCSATERATSAGVWTKMAERCRAPAPSQAPPLRVYPPSLHPWSGPRPGQM
+>DECOY_NM_007361|348730_2_3157_3536
+MQGPRPGSWPHLSPPYVRLPPAQSPAPARCREAMKTWVGASTARETASCPSSTAWTMASPCTSTMGPPAVTTSWCTKGGASVSPRPGRPPSQHHDVTLRPPALHLRPVLFKMVMLTWAGASVLAAM
+>NM_007361|348735_2_3433_3536
+MAERCRAPAPSQAPPLRVYPPSLHPWSGPRPGQM
+>DECOY_NM_007361|348735_2_3433_3536
+MQGPRPGSWPHLSPPYVRLPPAQSPAPARCREAM
+>NM_007361|348738_3_2318_2433
+MVLPHPPEHHLPGVQARPQTPVLPHHPAAERGPGLCLV
+>DECOY_NM_007361|348738_3_2318_2433
+VLCLGPGREAAPHHPLVPTQPRAQVGPLHHEPPHPLVM
+>NM_000741|348765_2_805_1034
+MASWRRPPRQRCHRHRAPWLIRTLPMSPAQAVPPRTPRNAQPQSCPPQRPPRPPCPPLPCSRGPSTQPPDGPRSRL
+>DECOY_NM_000741|348765_2_805_1034
+LRSRPGDPPQTSPGRSCPLPPCPPRPPRQPPCSQPQANRPTRPPVAQAPSMPLTRILWPARHRHCRQRPPRRWSAM
+>NM_013256|348780_2_1099_1211
+MDLVTVFNLFAMVHPYIYMKKFMEEEKPLILKNVGRF
+>DECOY_NM_013256|348780_2_1099_1211
+FRGVNKLILPKEEEMFKKMYIYPHVMAFLNFVTVLDM
+>NM_013256|348781_2_1132_1211
+MVHPYIYMKKFMEEEKPLILKNVGRF
+>DECOY_NM_013256|348781_2_1132_1211
+FRGVNKLILPKEEEMFKKMYIYPHVM
+>NM_006922|348968_2_2341_2480
+MESDATVTLVRPVCHPGWCQGFQQMGRCTALWIAMVWFPWWVDLQL
+>DECOY_NM_006922|348968_2_2341_2480
+LQLDVWWPFWVMAIWLATCRGMQQFGQCWGPHCVPRVLTVTADSEM
+>NM_001127207|349061_2_343_560
+MVSFSSNRISVAHLMLTKDLMIPTVFRQREYGKSQKKCPQPAQATVHVVKWLSLESLLPWHKVLQRSLNNSS
+>DECOY_NM_001127207|349061_2_343_560
+SSNNLSRQLVKHWPLLSELSLWKVVHVTAQAPQPCKKQSKGYERQRFVTPIMLDKTLMLHAVSIRNSSFSVM
+>NM_021136|349185_2_504_676
+MGKDRVTHLSFLTSAIHLRRILHILLEFFRRKMATSPFQRALRSWVHPAPPYQMCLG
+>DECOY_NM_021136|349185_2_504_676
+GLCMQYPPAPHVWSRLARQFPSTAMKRRFFELLIHLIRRLHIASTLFSLHTVRDKGM
+>NM_021136|349186_2_600_676
+MATSPFQRALRSWVHPAPPYQMCLG
+>DECOY_NM_021136|349186_2_600_676
+GLCMQYPPAPHVWSRLARQFPSTAM
+>NM_007040|349248_2_1199_1272
+MEALGRSPPIAGLKTTETSLQRTM
+>DECOY_NM_007040|349248_2_1199_1272
+MTRQLSTETTKLGAIPPSRGLAEM
+>NM_007040|349250_2_1331_1410
+MESGWALLSESRRKPWGVRPSILMSW
+>DECOY_NM_007040|349250_2_1331_1410
+WSMLISPRVGWPKRRSESLLAWGSEM
+>NM_001347|349415_2_594_973
+MGTRITTPITTTGGRGTCPRERAARSAGRRAAPLTCWPACAASGAGSRRTPSAPRRWLPSVASGVCAPWSCLPRACAFCPAASARRRASASWRPRSRARGATAPTGALPWVQAERHRQLRSPGSKR
+>DECOY_NM_001347|349415_2_594_973
+RKSGPSRLQRHREAQVWPLAGTPATAGRARSRPRWSASARRRASAAPCFACARPLCSWPACVGSAVSPLWRRPASPTRRSGAGSAACAPWCTLPAARRGASRAARERPCTGRGGTTTIPTTIRTGM
+>NM_001347|349416_2_2013_2299
+MALWAGCLAPWRRHGTDWPARSLLWPSCPWAQGMTLVESSAGGRATAARTRSPYCCLWTRPTPCSWTAGPSCWMPTRLAVQRTTRQTQSPPRSCR
+>DECOY_NM_001347|349416_2_2013_2299
+RCSRPPSQTQRTTRQVALRTPMWCSPGATWSCPTPRTWLCCYPSRTRAATARGGASSEVLTMGQAWPCSPWLLSRAPWDTGHRRWPALCGAWLAM
+>NM_130847|349560_2_2118_2221
+MEMASQPTCRNTMPQPSWNLCGRRRSGSWPWRPT
+>DECOY_NM_130847|349560_2_2118_2221
+TPRWPWSGSRRRGCLNWSPQPMTNRCTPQSAMEM
+>NM_130847|349561_2_2124_2221
+MASQPTCRNTMPQPSWNLCGRRRSGSWPWRPT
+>DECOY_NM_130847|349561_2_2124_2221
+TPRWPWSGSRRRGCLNWSPQPMTNRCTPQSAM
+>NM_130847|349563_2_2334_2458
+MAATERARWRPTSGKRRRRWCRPTEGVRTWNTLLKISMPKS
+>DECOY_NM_130847|349563_2_2334_2458
+SKPMSIKLLTNWTRVGETPRCWRRRRKGSTPRWRARETAAM
+>NM_130847|349564_2_2949_3022
+MGSRLTTEAGSAACCTSPSSLMER
+>DECOY_NM_130847|349564_2_2949_3022
+REMLSSPSTCCAASGAETTLRSGM
+>NM_005271|349660_2_1160_1233
+MGPFWASPRQSPMKEASWRPTVTY
+>DECOY_NM_005271|349660_2_1160_1233
+YTVTPRWSAEKMPSQRPSAWFPGM
+>NM_005271|349662_2_1538_1674
+MVELFPLYPRQSSKTGYRVHLRKTSCTLAWHTQWSVLPGKLCAQP
+>DECOY_NM_005271|349662_2_1538_1674
+PQACLKGPLVSWQTHWALTCSTKRLHVRYGTKSSQRPYLPFLEVM
+>NM_032741|349697_2_198_271
+MAGSSSWLCSPSLCVPCEDATSRT
+>DECOY_NM_032741|349697_2_198_271
+TRSTADECPVCLSPSCLWSSSGAM
+>NM_032741|349698_2_624_697
+MAPCCPSNVAPSILQCRPRFPLSP
+>DECOY_NM_032741|349698_2_624_697
+PSLPFRPRCQLISPAVNSPCCPAM
+>NM_032741|349700_3_94_554
+MDAAAAALPAAALPAAHPVVLQPQCQVLLQDGLLQWLDPLPGCARHPCVCRARTQRREHEDLASNAAPHQIPVRDPSGGARGSPLPSLAALCCCLQPPELSRSAWDDGGTARPLCAHCQARATVGWLCRAGLLAGRSHLHRPEAHGGCHQCHV
+>DECOY_NM_032741|349700_3_94_554
+VHCQHCGGHAEPRHLHSRGALLGARCLWGVTARAQCHACLPRATGGDDWASRSLEPPQLCCCLAALSPLPSGRAGGSPDRVPIQHPAANSALDEHERRQTRARCVCPHRACGPLPDLWQLLGDQLLVQCQPQLVVPHAAPLAAAPLAAAAADM
+>NM_017573|349785_2_537_796
+MASRRTTRTSGPTTTPWPAMTSMTTTRTPSPATPPAKRTGTGPAVLGRWPRWPTMASVVWGSLSTPESEAYGCWTVPSPMSSRPSR
+>DECOY_NM_017573|349785_2_537_796
+RSPRSSMPSPVTWCGYAESEPTSLSGWVVSAMTPWRPWRGLVAPGTGTRKAPPTAPSPTRTTTMSTMAPWPTTTPGSTRTTRRSAM
+>NM_017573|349788_2_699_796
+MASVVWGSLSTPESEAYGCWTVPSPMSSRPSR
+>DECOY_NM_017573|349788_2_699_796
+RSPRSSMPSPVTWCGYAESEPTSLSGWVVSAM
+>NM_021160|349824_2_775_875
+MGAGQSCWPVMAMRLTPCLWTGGGQLSPRDRSW
+>DECOY_NM_021160|349824_2_775_875
+WSRDRPSLQGGGTWLCPTLRMAMVPWCSQGAGM
+>NM_018967|349893_2_1630_1757
+MARAKSNFCFRIQILNRLKQRSWNFLIYLLFFTAFIPSLLPR
+>DECOY_NM_018967|349893_2_1630_1757
+RPLLSPIFATFFLLYILFNWSRQKLRNLIQIRFCFNSKARAM
+>NM_018967|349895_3_902_1044
+MVRPQTDPSTSFALLSVCARHRFESAECLSSHCCGWGLHWDYSVPLC
+>DECOY_NM_018967|349895_3_902_1044
+CLPVSYDWHLGWGCCHSSLCEASEFRHRACVSLLAFSTSPDTQPRVM
+>NM_003325|349922_2_254_342
+MASRFFQLIFTLTGPSSQLEDKGRILGRL
+>DECOY_NM_003325|349922_2_254_342
+LRGLIRGKDELQSSPGTLTFILQFFRSAM
+>NM_003325|349924_2_1079_1167
+MGVLRSLAARTAAVLLAARTARFLSGSHV
+>DECOY_NM_003325|349924_2_1079_1167
+VHSGSLFRATRAALLVAATRAALSRLVGM
+>NM_003325|349927_3_990_1099
+MEDQHGLCWAPESCDCREIQPKNLQKEAEEWEFCEA
+>DECOY_NM_003325|349927_3_990_1099
+AECFEWEEAEKQLNKPQIERCDCSEPAWCLGHQDEM
+>NM_020773|350106_3_977_1050
+MEVIWESATPRECPEGFKENTEGI
+>DECOY_NM_020773|350106_3_977_1050
+IGETNEKFGEPCERPTASEWIVEM
+>NM_006656|350122_2_748_857
+MASSCSQGDWSSLRIPTTSLPGSFASSYHVKPGLIL
+>DECOY_NM_006656|350122_2_748_857
+LILGPKVHYSSAFSGPLSTTPIRLSSWDGQSCSSAM
+>NM_017750|350148_2_525_775
+MALNLTQESITLGVWKRAALAVLSWTRSLKGSWTGLPCPLLLTSWYWKGPMAERSTPCTVERKPTFRASRRSFHRRKLSLTSI
+>DECOY_NM_017750|350148_2_525_775
+ISTLSLKRRHFSRRSARFTPKREVTCPTSREAMPGKWYWSTLLLPCPLGTWSGKLSRTWSLVALAARKWVGLTISEQTLNLAM
+>NM_001294|350286_2_97_320
+MAASGGTRQRRPSLRTHRPSRHPMPGRSSKVCCLGSSSSGPSAVGSAEGRPLRTRRAPEEPHASPAATCSPKTL
+>DECOY_NM_001294|350286_2_97_320
+LTKPSCTAAPSAHPEEPARRTRLPRGEASGVASPGSSSSGLCCVKSSRGPMPHRSPRHTRLSPRRQRTGGSAAM
+>NM_203365|350374_2_256_329
+MVLKKTVTRKIRTWTKCLEPGLEN
+>DECOY_NM_203365|350374_2_256_329
+NELGPELCKTWTRIKRTVTKKLVM
+>NM_203365|350379_2_1603_1790
+MARSPGKSVIFSCEHLVSTMFPKEKQRSLGIWCAFSSWIMSTFIMARTIGTNTKHLQTIVWC
+>DECOY_NM_203365|350379_2_1603_1790
+CWVITQLHKTNTGITRAMIFTSMIWSSFACWIGLSRQKEKPFMTSVLHECSFIVSKGPSRAM
+>NM_001098272|350425_2_595_671
+MEAQLLSSMLLTGLSPALGMDGMPW
+>DECOY_NM_001098272|350425_2_595_671
+WPMGDMGLAPSLGTLLMSSLLQAEM
+>NM_004946|350664_3_370_497
+MGKHLETTLCGQQKGAFSPGAVHDVRSDGVEVPASLRNLTQG
+>DECOY_NM_004946|350664_3_370_497
+GQTLNRLSAPVEVGDSRVDHVAGPSFAGKQQGCLTTELHKGM
+>NM_001002838|350781_2_2603_2715
+MANRIGSNREELPVPDQRRGLNFSLPSFRYQPLEITW
+>DECOY_NM_001002838|350781_2_2603_2715
+WTIELPQYRFSPLSFNLGRRQDPVPLEERNSGIRNAM
+>NM_001002838|350794_3_5373_5494
+MDFFSVPNPWSCPNFLATRTLTPFISWAIIIIWNASRLSV
+>DECOY_NM_001002838|350794_3_5373_5494
+VSLRSANWIIIIAWSIFPTLTRTALFNPCSWPNPVSFFDM
+>NM_032223|350837_2_4102_4361
+MALVTASSWPLTTSTPWCTSSRLAMASSPSSCVALSSGALTASSARWRLSPRVWRRTRAVAAVNLATCHGSCPSMLPLGSAGWLGR
+>DECOY_NM_032223|350837_2_4102_4361
+RGLWGASGLPLMSPCSGHCTALNVAAVARTRRWVRPSLRWRASSATLAGSSLAVCSSPSSAMALRSSTCWPTSTTLPWSSATVLAM
+>NM_032223|350838_2_4174_4361
+MASSPSSCVALSSGALTASSARWRLSPRVWRRTRAVAAVNLATCHGSCPSMLPLGSAGWLGR
+>DECOY_NM_032223|350838_2_4174_4361
+RGLWGASGLPLMSPCSGHCTALNVAAVARTRRWVRPSLRWRASSATLAGSSLAVCSSPSSAM
+>NM_032223|350847_2_5662_5900
+MGGPHLCCSGLPLGSLDHPLHRLSPQRVPGPHGPLARVSSVLRAPVESGAWGAGRGWEDLTGSQPQGAPKEVPPNLRRL
+>DECOY_NM_032223|350847_2_5662_5900
+LRRLNPPVEKPAGQPQSGTLDEWGRGAGWAGSEVPARLVSSVRALPGHPGPVRQPSLRHLPHDLSGLPLGSCCLHPGGM
+>NM_002536|350895_2_1030_1112
+MAHIYGRCTTCSPPMPLPTHRCPTARA
+>DECOY_NM_002536|350895_2_1030_1112
+ARATPCRHTPLPMPPSCTTCRGYIHAM
+>NM_001008539|351040_3_1020_1237
+MGSCQICRRSWFSLRLVNKSSWIHFPNASCNLCYGGGWVAFQMSSSNQFQNEDTNNCYFIIGCSGSFDGLSV
+>DECOY_NM_001008539|351040_3_1020_1237
+VSLGDFSGSCGIIFYCNNTDENQFQNSSSMQFAVWGGGYCLNCSANPFHIWSSKNVLRLSFWSRRCIQCSGM
+>NM_001373|351185_3_11854_11927
+MGLPPELPSCNIIYAKALHNCRIF
+>DECOY_NM_001373|351185_3_11854_11927
+FIRCNHLAKAYIINCSPLEPPLGM
+>NM_001035518|351245_2_6075_6178
+MESFRTTRNRHEGWRKSWQWRDGGSRPWRRCWET
+>DECOY_NM_001035518|351245_2_6075_6178
+TEWCRRWPRSGGDRWQWSKRWGEHRNRTTRFSEM
+>NM_001035518|351249_2_7512_7591
+MGEDRRTQMPSVWLNCRKRWSCCKLS
+>DECOY_NM_001035518|351249_2_7512_7591
+SLKCCSWRKRCNLWVSPMQTRRDEGM
+>NM_001035518|351251_3_2128_2291
+MAPAKGKCGASAAGGLCPGPEGGTAGGAAPGCPGEGASSGDADGPGSQTVRITQ
+>DECOY_NM_001035518|351251_3_2128_2291
+QTIRVTQSGPGDADGSSAGEGPCGPAAGGATGGEPGPCLGGAASAGCKGKAPAM
+>NM_001035518|351252_3_3253_3470
+MDAAGNREGESIPPGDTAADAEGASRCQPTTGTTEAGHESPEIKGAGDHWDTTDPAPGGSTGAEGGSPAAQR
+>DECOY_NM_001035518|351252_3_3253_3470
+RQAAPSGGEAGTSGGPAPDTTDWHDGAGKIEPSEHGAETTGTTPQCRSAGEADAATDGPPISEGERNGAADM
+>NM_000178|351267_2_882_1012
+MATCLVSTVYRIGKHVYCWRGHMLPSAQTLPPSWLGLRRCSRS
+>DECOY_NM_000178|351267_2_882_1012
+SRSCRRLGLWSPPLTQASPLMHGRWCYVHKGIRYVTSVLCTAM
+>NM_003046|351352_3_1166_1290
+MGSCQICRRSWFSLRLVNKSSGLYVSFTPNSVCHGPGWLTV
+>DECOY_NM_003046|351352_3_1166_1290
+VTLWGPGHCVSNPTFSVYLGSSKNVLRLSFWSRRCIQCSGM
+>NM_080597|351399_2_952_1025
+MESFHGIGNSLMQFIIFIARDANT
+>DECOY_NM_080597|351399_2_952_1025
+TNADRAIFIIFQMLSNGIGHFSEM
+>NM_003597|351433_2_1447_1568
+MAVIKSLLVRMSCHATAELTQGRRSLCARCVTDVSCAVTT
+>DECOY_NM_003597|351433_2_1447_1568
+TTVACSVDTVCRACLSRRGQTLEATAHCSMRVLLSKIVAM
+>NM_001732|351521_2_489_637
+MEKSVWSAPQWDGTQSPRCSGELPRERSFHLHQSPGILMKKVCSLWLLQ
+>DECOY_NM_001732|351521_2_489_637
+QLLWLSCVKKMLIGPSQHLHFSRERPLEGSCRPSQTGDWQPASWVSKEM
+>NM_001732|351525_2_1221_1297
+MGTGPSLLSGPLSHWQGPHAGLGFS
+>DECOY_NM_001732|351525_2_1221_1297
+SFGLGAHPGQWHSLPGSLLSPGTGM
+>NM_001732|351527_2_1338_1429
+MDLISILSPMSLSLAPSGPSFAYGLAVKSP
+>DECOY_NM_001732|351527_2_1338_1429
+PSKVALGYAFSPGSPALSLSMPSLISILDM
+>NM_001732|351531_3_523_602
+MVPRAPGAVENFQGREVSIYIRVQES
+>DECOY_NM_001732|351531_3_523_602
+SEQVRIYISVERGQFNEVAGPARPVM
+>NM_133329|351570_2_1722_1900
+MEICILSQCLEEFLEEFVLSVELFYWHYLSLLSTIALCSVIMSSSLDLLGIVGASPLNS
+>DECOY_NM_133329|351570_2_1722_1900
+SNLPSAGVIGLLDLSSSMIVSCLAITSLLSLYHWYFLEVSLVFEELFEELCQSLICIEM
+>NM_181773|351579_2_178_371
+MAPRPREATLKTRKSAMQKFALLTRSSPWDWTKRSATKSPVTSRGAPAPPVPGSWLTSSRLTTI
+>DECOY_NM_181773|351579_2_178_371
+ITTLRSSTLWSGPVPPAPAGRSTVPSKTASRKTWDWPSSRTLLAFKQMASKRTKLTAERPRPAM
+>NM_017969|351630_2_649_836
+MGATLRVKRPGNYLVVTLKMRNFLMGMQVTQKTKMLGSIPPVILRLRSSRRVLLVTLKQKML
+>DECOY_NM_017969|351630_2_649_836
+LMKQKLTVLLVRRSSRLRLIVPPISGLMKTKQTVQMGMLFNRMKLTVVLYNGPRKVRLTAGM
+>NM_013275|351680_2_3498_4411
+MAPIRKGRRRQNQKDTKRNPVTRTKVRNQSWKNVRRTKNLINVLKRKKIPRKNIKTHMAKTKKGKRLSTKGKRRRRRLSLGSSQKTSLKKKMTRKAKRKAGTSQTSSQMRVRTTETAAWGAGSRWERPATCRGRTASRRRRKDGRPMPPTDTGSLLTSSTLRGRRTRSPETGERTEGLPTRGETKKRKSLKSTRRRRIKSPQKSTRTGRTEPQWTPRKIRKINRSSPRRLKRSTLPKTRLKANTKRSRTKNIPRRGSPREVPTRKKACLKSWKKRLSMSTEKTPTIKSARSPLTASRTEGRSRG
+>DECOY_NM_013275|351680_2_3498_4411
+GRSRGETRSATLPSRASKITPTKETSMSLRKKWSKLCAKKRTPVERPSGRRPINKTRSRKTNAKLRTKPLTSRKLRRPSSRNIKRIKRPTWQPETRGTRTSKQPSKIRRRRTSKLSKRKKTEGRTPLGETREGTEPSRTRRGRLTSSTLLSGTDTPPMPRGDKRRRRSATRGRCTAPREWRSGAGWAATETTRVRMQSSTQSTGAKRKAKRTMKKKLSTKQSSGLSLRRRRRKGKTSLRKGKKTKAMHTKINKRPIKKRKLVNILNKTRRVNKWSQNRVKTRTVPNRKTDKQNQRRRGKRIPAM
+>NM_013275|351689_2_6024_6346
+MASRRPKSTLCTAHRLPLSLSPRLQRASSQVYKQNLPLPPEPSCWFLPSKGPFPRTWTPPRTSRRRPPSSPRSPATWSRWTRVPSAPSSPRSPLSGPTPPSRRLPLA
+>DECOY_NM_013275|351689_2_6024_6346
+ALPLRRSPPTPGSLPSRPSSPASPVRTWRSWTAPSRPSSPPRRRSTRPPTWTRPFPGKSPLFWCSPEPPLPLNQKYVQSSARQLRPSLSLPLRHATCLTSKPRRSAM
+>NM_013275|351694_3_4864_5117
+MERREGEAPGQACGWAAAASQGRAPAASQGRAEARHQGQGQPAPRAQRQVQGRGPEARRCQTEGEIQGRCRERKGRPSEDEQRE
+>DECOY_NM_013275|351694_3_4864_5117
+ERQEDESPRGKRERCRGQIEGETQCRRAEPGRGQVQRQARPAPQGQGQHRAEARGQSAAPARGQSAAAAWGCAQGPAEGERREM
+>NM_001503|351740_2_922_1034
+MGPVTATCLRTLCSLHVAASKTTPRAQKCRKMIFTEI
+>DECOY_NM_001503|351740_2_922_1034
+IETFIMKRCKQARPTTKSAAVHLSCLTRLCTATVPGM
+>NM_001503|351741_2_1288_1676
+MGTVTSWWAHQATAAPATSTSGACTSSTAMTWACHLLTWTWTRRPTGSLKASSPQVGLARPWLCWTLTWTACLTWPWELPRWAPSSSPTKVPCMSTLVPNKEECLLPLTSPFLARTSTVTWAGLSWLQM
+>DECOY_NM_001503|351741_2_1288_1676
+MQLWSLGAWTVTSTRALFPSTLPLLCEEKNPVLTSMCPVKTPSSSPAWRPLEWPWTLCATWTLTWCLWPRALGVQPSSAKLSGTPRRTWTWTLLHCAWTMATSSTCAGSTSTAPAATAQHAWWSTVTGM
+>NM_002566|351775_2_337_440
+MAWPCTASASGSSAHGTPPWSSLSSWQSATCSAP
+>DECOY_NM_002566|351775_2_337_440
+PASCTASQWSSLSSWPPTGHASSGSASATCPWAM
+>NM_173487|351806_3_702_790
+MGRQSLSPLELFSTKCDKIQFICNSWIKR
+>DECOY_NM_173487|351806_3_702_790
+RKIWSNCIFQIKDCKTSFLELPSLSQRGM
+>NM_001346|351941_2_2014_2156
+MGQLAGFWIALIRPTLQSIHQWLSCLLEQEMTLPVVSAGEEVMKGAA
+>DECOY_NM_001346|351941_2_2014_2156
+AAGKMVEEGASVVPLTMEQELLCSLWQHISQLTPRILAIWFGALQGM
+>NM_173201|351987_3_2562_2761
+MGELGDRRAPSHSPGLQPTRPGHHGPPPPEPQGAPHQWLALLPLHGNRGLCGCSHRGSSCLVVPVR
+>DECOY_NM_173201|351987_3_2562_2761
+RVPVVLCSSGRHSCGCLGRNGHLPLLALWQHPAGQPEPPPPGHHGPRTPQLGPSHSPARRDGLEGM
+>NM_006781|352174_2_330_430
+MVEDPDMHIQHKVTLHMITERDPKEITHHQPTL
+>DECOY_NM_006781|352174_2_330_430
+LTPQHHTIEKPDRETIMHLTVKHQIHMDPDEVM
+>NM_004454|352205_2_1064_1185
+MGSRACQGPQHTGSSHQWESSRSLGITASIQKCLTASHPT
+>DECOY_NM_004454|352205_2_1064_1185
+TPHSATLCKQISATIGLSRSSEWQHSSGTHQPGQCARSGM
+>NM_004036|352309_2_1715_2004
+MASMARPCPMEHQLPQSPAPLPSLRPRSPTGVPTAVGPRRRSPRSRMPRPTTPHSPTHAGGCACRTWLTEWWMPLKMSTSSTSCSTRPCLSESPPK
+>DECOY_NM_004036|352309_2_1715_2004
+KPPSESLCPRTSCSTSSTSMKLPMWWETLWTRCACGGAHTPSHPTTPRPMRSRPSRRRPGVATPVGTPSRPRLSPLPAPSQPLQHEMPCPRAMSAM
+>NM_004036|352321_2_3026_3108
+MVVLSVCVSSMKSSQILTLSWTIPSSG
+>DECOY_NM_004036|352321_2_3026_3108
+GSSPITWSLTLIQSSKMSSVCVSLVVM
+>NM_130773|352347_2_1007_1206
+MGSCSMEKVSVETTSPWNSRRGGSPYTSIWVTAKRGSAAACPLPPWAASWMTSTGTRSSLSGWASR
+>DECOY_NM_130773|352347_2_1007_1206
+RSAWGSLSSRTGTSTMWSAAWPPLPCAAASGRKATVWISTYPSGGRRSNWPSTTEVSVKEMSCSGM
+>NM_130773|352351_2_1703_1872
+MACGTRLASTPGGTASRSLWMMKQHPRLQTALGCRFILEIATILEGAPTISPIPNV
+>DECOY_NM_130773|352351_2_1703_1872
+VNPIPSITPAGELITAIELIFRCGLATQLRPHQKMMWLSRSATGGPTSALRTGCAM
+>NM_130773|352354_2_2033_2280
+MEEAAPSPGLPSIVTAVTQVTLVPPATTPSTSNPARCTGTRGIQPASSTSTQMAAAHWDLSRCTAISLRTRSGHQCSTTIQS
+>DECOY_NM_130773|352354_2_2033_2280
+SQITTSCQHGSRTRLSIATCRSLDWHAAAMQTSTSSAPQIGRTGTCRAPNSTSPTTAPPVLTVQTVATVISPLGPSPAAEEM
+>NM_130773|352357_2_2714_2850
+MVTDASGTPSHFIQKPLTSTFLPSMRNSVPIFPSFLKPQHYPEFS
+>DECOY_NM_130773|352357_2_2714_2850
+SFEPYHQPKLFSPFIPVSNRMSPLFTSTLPKQIFHSPTGSADTVM
+>NM_130773|352361_2_3296_3417
+MATCVIAPIHLMKGPFAKKRFLLFLRLARRLLTCFKNPIL
+>DECOY_NM_130773|352361_2_3296_3417
+LIPNKFCTLLRRALRLFLLFRKKAFPGKMLHIPAIVCTAM
+>NM_020771|352426_2_1295_1377
+MELIWATMGIKLQEARCSSLWNCFGTR
+>DECOY_NM_020771|352426_2_1295_1377
+RTGFCNWLSSCRAEQLKIGMTAWILEM
+>NM_018385|352465_2_1465_1640
+MGNLRSDWWATLMLVRVQQSTPSWATRKYLCLPHLVTQSTFRLSMWSLASACVTVLAW
+>DECOY_NM_018385|352465_2_1465_1640
+WALVTVCASALSWMSLRFTSQTVLHPLCLYKRTAWSPTSQQVRVLMLTAWWDSRLNGM
+>NM_014848|352518_2_419_630
+MGAMLPVMAITAAMSPTQKKMHRVMSPKAMMRKTRSMRASTRVSLTQMMSRPSRPRWRPPEWTAFGARQT
+>DECOY_NM_014848|352518_2_419_630
+TQRAGFATWEPPRWRPRSPRSMMQTLSVRTSARMSRTKRMMAKPSMVRHMKKQTPSMAATIAMVPLMAGM
+>NM_014848|352520_2_440_630
+MAITAAMSPTQKKMHRVMSPKAMMRKTRSMRASTRVSLTQMMSRPSRPRWRPPEWTAFGARQT
+>DECOY_NM_014848|352520_2_440_630
+TQRAGFATWEPPRWRPRSPRSMMQTLSVRTSARMSRTKRMMAKPSMVRHMKKQTPSMAATIAM
+>NM_014848|352529_2_983_1122
+MEPSSSADSSQASVLGVLYRLFLPIFLNSCLGRSEENTSVGWASSG
+>DECOY_NM_014848|352529_2_983_1122
+GSSAWGVSTNEESRGLCSNLFIPLFLRYLVGLVSAQSSDASSSPEM
+>NM_014848|352530_2_1172_1272
+MAGASAWGPITTSIAGECLSSSVLCPAPCPWWP
+>DECOY_NM_014848|352530_2_1172_1272
+PWWPCPAPCLVSSSLCEGAISTTIPGWASAGAM
+>NM_002088|352583_2_1440_1585
+MGCTGRPSPTAPGRAWLASSSTGRQTWLWPPSPSQLSGRRSSTFPSPL
+>DECOY_NM_002088|352583_2_1440_1585
+LPSPFTSSRRGSLQSPSPPWLWTQRGTSSSALWARGPATPSPRGTCGM
+>NM_001853|352684_2_274_386
+MDPLDPRVPLGNGEVWDPRGRPGWGAKASLDPPERQE
+>DECOY_NM_001853|352684_2_274_386
+EQREPPDLSAKAGWGPRGRPDWVEGNGLPVRPDLPDM
+>NM_001853|352685_2_928_1598
+MACQDSMARRERLVATVLRERRAPTGCRASLDERGPKARRENGAELGSWVRPAPLESQASLEMLACLGSAVRLATGAQRGPSAHKALPEPLVSEASRARRAAWETPAFQAPRASEVTWATGVREVPQALRETRVLQVPTVFLGIKENWVPAAWSDPKESLAVEGSWAPKAPRVPTAPAVFRVSPGPPVLWACRASRVFLASRGSREFRGRRPASSASGSCVGG
+>DECOY_NM_001853|352685_2_928_1598
+GGVCSGSASSAPRRGRFERSGRSALFVRSARCAWLVPPGPSVRFVAPATPVRPAKPAWSGEVALSEKPDSWAAPVWNEKIGLFVTPVQLVRTERLAQPVERVGTAWTVESARPAQFAPTEWAARRARSAESVLPEPLAKHASPGRQAGTALRVASGLCALMELSAQSELPAPRVWSGLEAGNERRAKPGREDLSARCGTPARRERLVTAVLRERRAMSDQCAM
+>NM_052956|352728_3_877_959
+MDCGYHLDPGRTMDSGLYSLYPPSATV
+>DECOY_NM_052956|352728_3_877_959
+VTASPPYLSYLGSDMTRGPDLHYGCDM
+>NM_022467|352762_2_1443_1792
+MAALSSAWTPSTARVSCTVSAPTPRCSLSASPSRGWCPPSATSLSTPTATITRSSARPSWPGTAPMPLGRPCGPALGCVFPSSSSTCWTCTGPWGWTFTGTMSAGSAAPASSTTIS
+>DECOY_NM_022467|352762_2_1443_1792
+SITTSSAPAASGASMTGTFTWGWPGTCTWCTSSSSPFVCGLAPGCPRGLPMPATGPWSPRASSRTITATPTSLSTASPPCWGRSPSASLSCRPTPASVTCSVRATSPTWASSLAAM
+>NM_002206|352803_2_1400_1734
+MAFQILQWVPPLMVMGKSSSTMGAAWGLSPNLHRCWRARLWASRASATPCQAAWIWMGTNTLTCWWAPWLTPQCSSGPDPSSMSPMRSLLLHEASTWSSPTVLAATRSVWT
+>DECOY_NM_002206|352803_2_1400_1734
+TWVSRTAALVTPSSWTSAEHLLLSRMPSMSSPDPGSSCQPTLWPAWWCTLTNTGMWIWAAQCPTASARSAWLRARWCRHLNPSLGWAAGMTSSSKGMVMLPPVWQLIQFAM
+>NM_002206|352805_2_1442_1734
+MGKSSSTMGAAWGLSPNLHRCWRARLWASRASATPCQAAWIWMGTNTLTCWWAPWLTPQCSSGPDPSSMSPMRSLLLHEASTWSSPTVLAATRSVWT
+>DECOY_NM_002206|352805_2_1442_1734
+TWVSRTAALVTPSSWTSAEHLLLSRMPSMSSPDPGSSCQPTLWPAWWCTLTNTGMWIWAAQCPTASARSAWLRARWCRHLNPSLGWAAGMTSSSKGM
+>NM_002206|352806_2_1463_1734
+MGAAWGLSPNLHRCWRARLWASRASATPCQAAWIWMGTNTLTCWWAPWLTPQCSSGPDPSSMSPMRSLLLHEASTWSSPTVLAATRSVWT
+>DECOY_NM_002206|352806_2_1463_1734
+TWVSRTAALVTPSSWTSAEHLLLSRMPSMSSPDPGSSCQPTLWPAWWCTLTNTGMWIWAAQCPTASARSAWLRARWCRHLNPSLGWAAGM
+>NM_033272|352852_2_1107_1222
+MVAMSKGLLIISSQASWDPHQIQTSTNTAPLTRFHSSL
+>DECOY_NM_033272|352852_2_1107_1222
+LSSHFRTLPATNTSTQIQHPDWSAQSSIILLGKSMAVM
+>NM_016127|352868_2_902_1002
+MVQLLVLAVLLQDNKDMKIQDQGSGQAWELVEY
+>DECOY_NM_016127|352868_2_902_1002
+YEVLEWAQGSGQDQIKMDKNDQLLVALVLLQVM
+>NM_019020|352949_3_355_530
+MGPQLSHPEAGRGGPALHHTRELPRSQGTPPSGPAHPELRSLPPALPDGAAAYPDPQR
+>DECOY_NM_019020|352949_3_355_530
+RQPDPYAAAGDPLAPPLSRLEPHAPGSPPTGQSRPLERTHHLAPGGRGAEPHSLQPGM
+>NM_018110|352962_2_909_1084
+MAGMPHALPSRLAGCVMLGKDSIPSRHKRGSRFTSASTVPPWPSQSSTSGSCWKWRRT
+>DECOY_NM_018110|352962_2_909_1084
+TRRWKWCSGSTSSQSPWPPVTSASTFRSGRKHRSPISDKGLMVCGALRSPLAHPMGAM
+>NM_003259|352975_2_639_805
+MEPISRVAPSWTCGRTDWDCLKTARPPESSEPSPCLRMPRASLLPGSWKLARKGP
+>DECOY_NM_003259|352975_2_639_805
+PGKRALKWSGPLLSARPMRLCPSPESSEPPRATKLCDWDTRGCTWSPAVRSIPEM
+>NM_003259|352979_2_1776_1882
+MAPGLRSRAAPAIGHGWKDLGACFPVRSMGSHSQA
+>DECOY_NM_003259|352979_2_1776_1882
+AQSHSGMSRVPFCAGLDKWGHGIAPAARSRLGPAM
+>NM_001030002|352990_2_434_519
+MGPAGLWRWQQVPQLATCVKCWCSELTP
+>DECOY_NM_001030002|352990_2_434_519
+PTLESCWCKVCTALQPVQQWRWLGAPGM
+>NM_001030002|352992_2_1034_1197
+MATRGFGSSAVKMSRAAPAGWLPSASSSTGCSCTRITSRHSLAICIHLVWAPHP
+>DECOY_NM_001030002|352992_2_1034_1197
+PHPAWVLHICIALSHRSTIRTCSCGTSSSASPLWGAPAARSMKVASSGFGRTAM
+>NM_001111298|353002_2_613_758
+MESRFMVQHLKMKTFQFLIIKEEYLEWPTKAVTATGHNSISHCKQLLI
+>DECOY_NM_001111298|353002_2_613_758
+ILLQKCHSISNHGTATVAKTPWELYEEKIILFQFTKMKLHQVMFRSEM
+>NM_153221|353072_2_1070_1263
+MGPCWTGELMGTGPTWSCGDCAQTRLASTTARHGMRRVPCARALPGSLYLPQASQPATPGPEST
+>DECOY_NM_153221|353072_2_1070_1263
+TSEPGPTAPQSAQPLYLSGPLARACPVRRMGHRATTSALRTQACDGCSWTPGTGMLEGTWCPGM
+>NM_153221|353079_2_3260_3360
+MASTLSLTRAHAWPRRSPLAAALMVPLTASPER
+>DECOY_NM_153221|353079_2_3260_3360
+REPSATLPVMLAAALPSRRPWAHARTLSLTSAM
+>NM_013377|353180_2_1350_1450
+MESMTCITQAAQLNAIKGSKREWSMSYSCLMKN
+>DECOY_NM_013377|353180_2_1350_1450
+NKMLCSYSMSWERKSGKIANLQAAQTICTMSEM
+>NM_000063|353203_2_151_374
+MAGLLGAFSPTPAPRACTHPQHHGCARAADSGRPQEPPGLCLRRSANLCAVQPLSPLRMAFIPHGWGPIPWVAM
+>DECOY_NM_000063|353203_2_151_374
+MAVWPIPGWGHPIFAMRLPSLPQVACLNASRRLCLGPPEQPRGSDAARACGHHQPHTCARPAPTPSFAGLLGAM
+>NM_000063|353204_2_391_794
+MASYCGARLCVSVAPTACGMEKQLCVIMGLATAPTQAFHWAQCGQASALVMGTRSAIAAPRILCSRGLRSGSARATGSGVERSPSAANPTLMTSLRTWPLPWALPSPTCLGPPIPPRRQRKAWAVKSKSSALVI
+>DECOY_NM_000063|353204_2_391_794
+IVLASSKSKVAWAKRQRRPPIPPGLCTPSPLAWPLPWTRLSTMLTPNAASPSREVGSGTARASGSRLGRSCLIRPAAIASRTGMVLASAQGCQAWHFAQTPATALGMIVCLQKEMGCATPAVSVCLRAGCYSAM
+>NM_000063|353205_2_448_794
+MEKQLCVIMGLATAPTQAFHWAQCGQASALVMGTRSAIAAPRILCSRGLRSGSARATGSGVERSPSAANPTLMTSLRTWPLPWALPSPTCLGPPIPPRRQRKAWAVKSKSSALVI
+>DECOY_NM_000063|353205_2_448_794
+IVLASSKSKVAWAKRQRRPPIPPGLCTPSPLAWPLPWTRLSTMLTPNAASPSREVGSGTARASGSRLGRSCLIRPAAIASRTGMVLASAQGCQAWHFAQTPATALGMIVCLQKEM
+>NM_000063|353206_2_472_794
+MGLATAPTQAFHWAQCGQASALVMGTRSAIAAPRILCSRGLRSGSARATGSGVERSPSAANPTLMTSLRTWPLPWALPSPTCLGPPIPPRRQRKAWAVKSKSSALVI
+>DECOY_NM_000063|353206_2_472_794
+IVLASSKSKVAWAKRQRRPPIPPGLCTPSPLAWPLPWTRLSTMLTPNAASPSREVGSGTARASGSRLGRSCLIRPAAIASRTGMVLASAQGCQAWHFAQTPATALGM
+>NM_000063|353207_2_541_794
+MGTRSAIAAPRILCSRGLRSGSARATGSGVERSPSAANPTLMTSLRTWPLPWALPSPTCLGPPIPPRRQRKAWAVKSKSSALVI
+>DECOY_NM_000063|353207_2_541_794
+IVLASSKSKVAWAKRQRRPPIPPGLCTPSPLAWPLPWTRLSTMLTPNAASPSREVGSGTARASGSRLGRSCLIRPAAIASRTGM
+>NM_000063|353209_2_1327_1544
+MVRGMPSFCRTQRLCTRSLNICWMSPSSQTPSAGWGTCQQTPLTRRGHPGMSLLSPRAKRPAGGPSSPTNGS
+>DECOY_NM_000063|353209_2_1327_1544
+SGNTPSSPGGAPRKARPSLLSMGPHGRRTLPTQQCTGWGASPTQSSPSMWCINLSRTCLRQTRCFSPMGRVM
+>NM_000063|353212_2_1567_1652
+MATTTPCGGSMWETPNPSGAKNSLLRRR
+>DECOY_NM_000063|353212_2_1567_1652
+RRRLLSNKAGSPNPTEWMSGGCPTTTAM
+>NM_003944|353239_2_681_778
+MASTPLMWRLDCTGATYMYGTGSAMRLCRPCL
+>DECOY_NM_003944|353239_2_681_778
+LCPRCLRMASGTGYMYTAGTCDLRWMLPTSAM
+>NM_003944|353241_2_783_916
+MGLFPWRSASCTTQTLPKALWAAHSAPPSSASTRTREVHGQWRR
+>DECOY_NM_003944|353241_2_783_916
+RRWQGHVERTRTSASSPPASHAAWLAKPLTQTTCSASRWPFLGM
+>NM_004423|353311_2_1668_2140
+MAPVAPLTRTHWPLCRTRGPPLGPWLSRTSTRHPRTHTTRTRASRSWATATAGAAPAVSTAKAVGAVAPTVAAAIGGRRRTRRPGTPSPGAAAANRTTPHAAACGGRGSGRPASAQGRRPASTATAATIPWPAAFAATTHTRATVLPECPLSTAPPC
+>DECOY_NM_004423|353311_2_1668_2140
+CPPATSLPCEPLVTARTHTTAAFAAPWPITAATATSAPRRGQASAPRGSGRGGCAAAHPTTRNAAAAGPSPTGPRRTRRRGGIAAAVTPAVAGVAKATSVAPAAGATATAWSRSARTRTTHTRPHRTSTRSLWPGLPPGRTRCLPWHTRTLPAVPAM
+>NM_015278|353373_2_2102_2229
+MGMTKSRLTEARSAGVPGCTPTSPPVPMTQTHSSSRKEISSI
+>DECOY_NM_015278|353373_2_2102_2229
+ISSIEKRSSSHTQTMPVPPSTPTCGPVGASRAETLRSKTMGM
+>NM_015278|353376_2_3824_3924
+MAAVGFLKPWCRDTQRTWISPSGTSPPTWTRSG
+>DECOY_NM_015278|353376_2_3824_3924
+GSRTWTPPSTGSPSIWTRQTDRCWPKLFGVAAM
+>NM_004658|353411_2_678_1048
+MGRAAAFAAMCFTPGTWLPETSLAHLTHLHVCFGAARAWRPQPSRRLASRTGMKCWSCGRCQVPRPHCGWSSGTGTWWARMTSWAWWSSLQRPSSRSHLKAGSASCPFPEPRRILGGTWVPCE
+>DECOY_NM_004658|353411_2_678_1048
+ECPVWTGGLIRRPEPFPCSASGAKLHSRSSPRQLSSWWAWSTMRAWWTGTGSSWGCHPRPVQCRGCSWCKMGTRSALRRSPQPRWARAAGFCVHLHTLHALSTEPLWTGPTFCMAAFAAARGM
+>NM_001370|353559_2_6657_6754
+MALSLRLNYFGSIKILGDFMTETNCFGKKYRM
+>DECOY_NM_001370|353559_2_6657_6754
+MRYKKGFCNTETMFDGLIKISGFYNLRLSLAM
+>NM_001370|353569_3_11188_11279
+METNNIWHLFLPCNYSGEKEVWPPWLEYLL
+>DECOY_NM_001370|353569_3_11188_11279
+LLYELWPPWVEKEGSYNCPLFLHWINNTEM
+>NM_002953|353676_2_227_441
+MDRPQGKKLDFSRPRMRASSRRSPSRTTSRLALRRLIHPISSSSRFWARDPLAKSSWCGKSPGLTVGTCML
+>DECOY_NM_002953|353676_2_227_441
+LMCTGVTLGPSKGCWSSKALPDRAWFRSSSSIPHILRRLALRSTTRSPSRRSSARMRPRSFDLKKGQPRDM
+>NM_032859|353821_3_303_439
+MANSLGFMVLGSLPYFSFTFNSDFSSVWRHYLTFVNIHINSRYSV
+>DECOY_NM_032859|353821_3_303_439
+VSYRSNIHINVFTLYHRWVSSFDSNFTFSFYPLSGLVMFGLSNAM
+>NM_003579|353848_2_2125_2231
+MALWVPWTSSLLVTALRPWSPSCQVRCWSWIIFWR
+>DECOY_NM_003579|353848_2_2125_2231
+RWFIIWSWCRVQCSPSWPRLATVLLSSTWPVWLAM
+>NM_003579|353851_2_2539_2633
+MVKRRLAISTACCLQGPLRRRSSSVRATRRH
+>DECOY_NM_003579|353851_2_2539_2633
+HRRTARVSSSRRRLPGQLCCATSIALRRKVM
+>NM_020987|353947_2_607_695
+MVSRHCIWQPRKITWKLSSFFLTMVQARA
+>DECOY_NM_020987|353947_2_607_695
+ARAQVMTLFFSSLKWTIKRPQWICHRSVM
+>NM_020987|353951_2_1915_1988
+MESLKSPISCYRKVHLQMLLGRAG
+>DECOY_NM_020987|353951_2_1915_1988
+GARGLLMQLHVKRYCSIPSKLSEM
+>NM_020987|353952_2_2149_2261
+MVLMPTQLPGKELLPSISQLRKGTWTWCRCSSVEMRM
+>DECOY_NM_020987|353952_2_2149_2261
+MRMEVSSCRCWTWTGKRLQSISPLLEKGPLQTPMLVM
+>NM_020987|353956_2_2410_2525
+MEISRLLISCSSILQKLMPKQRMGIRHYIKQHSRGIRI
+>DECOY_NM_020987|353956_2_2410_2525
+IRIGRSHQKIYHRIGMRQKPMLKQLISSCSILLRSIEM
+>NM_020987|353964_2_4300_4436
+MEIWPHLPKEDSNLFLTFILSKKIDCHFPSRLETPAKSPVVVCLF
+>DECOY_NM_020987|353964_2_4300_4436
+FLCVVVPSKAPTELRSPFHCDIKKSLIFTLFLNSDEKPLHPWIEM
+>NM_020987|353976_2_8146_8351
+MALMDKASPRPRRRHPVCPAAQRRWFSPNRLRTASPQWKPKEVFHRAKHQMGPSLDSSSNNLNSVPLD
+>DECOY_NM_020987|353976_2_8146_8351
+DLPVSNLNNSSSDLSPGMQHKARHFVEKPKWQPSATRLRNPSFWRRQAAPCVPHRRRPRPSAKDMLAM
+>NM_020987|353983_2_10279_10472
+MDLEKIMNLALALIHLRMKLPRMGTTTSPSQSVPLPPQQSFLMTRMPQRSTLWMAMTCKMKMMA
+>DECOY_NM_020987|353983_2_10279_10472
+AMMKMKCTMAMWLTSRQPMRTMLFSQQPPLPVSQSPSTTTGMRPLKMRLHILALALNMIKELDM
+>NM_020987|353986_2_10345_10472
+MGTTTSPSQSVPLPPQQSFLMTRMPQRSTLWMAMTCKMKMMA
+>DECOY_NM_020987|353986_2_10345_10472
+AMMKMKCTMAMWLTSRQPMRTMLFSQQPPLPVSQSPSTTTGM
+>NM_020987|353991_2_12721_12818
+MEIFQAPEVLQMRTMFSMTLLMVGRMRHQVET
+>DECOY_NM_020987|353991_2_12721_12818
+TEVQHRMRGVMLLTMSFMTRMQLVEPAQFIEM
+>NM_012084|354171_2_1140_1213
+MGPFWASPRQSPMKEASWRSTVTY
+>DECOY_NM_012084|354171_2_1140_1213
+YTVTSRWSAEKMPSQRPSAWFPGM
+>NM_012084|354173_2_1518_1654
+MVELFPLYPRQSSKTVYRVHLRKTLCTLPWHTQWSVLPGKLCTQP
+>DECOY_NM_012084|354173_2_1518_1654
+PQTCLKGPLVSWQTHWPLTCLTKRLHVRYVTKSSQRPYLPFLEVM
+>NM_004841|354211_2_2865_2992
+MGAGPGSSPLPPERALFPKLEQSRDNRHSRFSHLWTLPQCPQ
+>DECOY_NM_004841|354211_2_2865_2992
+QPCQPLTWLHSFRSHRNDRSQELKPFLAREPPLPSSGPGAGM
+>NM_004841|354214_3_1489_1622
+MEAAVPEPWQARHQREAHQCLIISPFSVSSHYVSQSFQPYAGVS
+>DECOY_NM_004841|354214_3_1489_1622
+SVGAYPQFSQSVYHSSVSFPSIILCQHAERQHRAQWPEPVAAEM
+>NM_001173|354254_2_3718_3980
+MGFLIEPQKVMGNGGLQNTNINLKPCLVKPSHTIEEHIQMPVMMRLSPLLKQKEKEDIVEVKKIHFFLLLKLGKVVLIILQSLLTRS
+>DECOY_NM_001173|354254_2_3718_3980
+SRTLLSQLIILVVKGLKLLLFFHIKKVEVIDEKEKQKLLPSLRMMVPMQIHEEITHSPKVLCPKLNINTNQLGGNGMVKQPEILFGM
+>NM_001173|354255_2_3748_3980
+MGNGGLQNTNINLKPCLVKPSHTIEEHIQMPVMMRLSPLLKQKEKEDIVEVKKIHFFLLLKLGKVVLIILQSLLTRS
+>DECOY_NM_001173|354255_2_3748_3980
+SRTLLSQLIILVVKGLKLLLFFHIKKVEVIDEKEKQKLLPSLRMMVPMQIHEEITHSPKVLCPKLNINTNQLGGNGM
+>NM_001001740|354340_2_1679_1752
+MDSQDRGQRSIRSMRRGVGVLTLI
+>DECOY_NM_001001740|354340_2_1679_1752
+ILTLVGVGRRMSRISRQGRDQSDM
+>NM_007214|354399_2_1764_1864
+MGRVKLTRTGQKEDGNRRVKDPRKLLNQKKRNL
+>DECOY_NM_007214|354399_2_1764_1864
+LNRKKQNLLKRPDKVRRNGDEKQGTRTLKVRGM
+>NM_007214|354402_2_2040_2170
+MVVTETLIESKMKNKTKMMKQSGKNYNKAYSEKRELYWKPNQK
+>DECOY_NM_007214|354402_2_2040_2170
+KQNPKWYLERKESYAKNYNKGSQKMMKTKNKMKSEILTETVVM
+>NM_016544|354521_2_208_326
+MESQRYTSETEKSKLTSLIWLDIPSSMRFEMSFTRTHRV
+>DECOY_NM_016544|354521_2_208_326
+VRHTRTFSMEFRMSSPIDLWILSTLKSKETESTYRQSEM
+>NM_016544|354523_2_613_800
+MAGNALPPIAVLVSPKNKQMPFAEFEIVKTVGTCWESNLGPQGMKSIKRIGNLLCFFTLTNV
+>DECOY_NM_016544|354523_2_613_800
+VNTLTFFCLLNGIRKISKMGQPGLNSEWCTGVTKVIEFEAFPMQKNKPSVLVAIPPLANGAM
+>NM_016010|354608_2_202_293
+MDPFARRLQLKNGRLLIQADRELKELIFQQ
+>DECOY_NM_016010|354608_2_202_293
+QQFILEKLERDAQILLRGNKLQLRRAFPDM
+>NM_138477|354667_2_2461_2588
+MAWTMRLWWTSSCSTPAAPTSESSGNCSLRGCQAVVDGVGAS
+>DECOY_NM_138477|354667_2_2461_2588
+SAGVGDVVAQCGRLSCNGSSESTPAAPTSCSSTWWLRMTWAM
+>NM_006159|354690_2_1478_2532
+MVLGLFERIMPTVKTSMSVLKGAITVVKIQCVSTPRVLLCASAKLDTSELMIIHVQNMMSVSQISTTVMKMLYASTLLEDTTVFASRAIQGMERHAKHFAKMAVGMEEPVLPLMCVPAHKASLDPAVKRTLMNALMVLFNVTVVLIALTCLDGTTVSAEMATMTMGCFHQVENRVKILMSVGPGGTAVPMIPFASIWMADMIVDVLMERIAQGTASMMEKLSTMVRFGCWKMTGALCAHVRMDSLCVDGWSVTVRIPQLIFFAALNVTQGLVVSASIKMGKLCITVVTPGSRIVNSAAACKGKLIVGPCLAQMWSVNSAFSQRMSAARAVSQTLARLTPSAMTSPRLAWTK
+>DECOY_NM_006159|354690_2_1478_2532
+KTWALRPSTMASPTLRALTQSVARAASMRQSFASNVSWMQALCPGVILKGKCAAASNVIRSGPTVVTICLKGMKISASVVLGQTVNLAAFFILQPIRVTVSWGDVCLSDMRVHACLAGTMKWCGFRVMTSLKEMMSATGQAIREMLVDVIMDAMWISAFPIMPVATGGPGVSMLIKVRNEVQHFCGMTMTAMEASVTTGDLCTLAILVVTVNFLVMLANMLTRKVAPDLSAKHAPVCMLPLVPEEMGVAMKAFHKAHREMGQIARSAFVTTDELLTSAYLMKMVTTSIQSVSMMNQVHIIMLESTDLKASACLLVRPTSVCQIKVVTIAGKLVSMSTKVTPMIREFLGLVM
+>NM_006159|354698_2_1751_2532
+MERHAKHFAKMAVGMEEPVLPLMCVPAHKASLDPAVKRTLMNALMVLFNVTVVLIALTCLDGTTVSAEMATMTMGCFHQVENRVKILMSVGPGGTAVPMIPFASIWMADMIVDVLMERIAQGTASMMEKLSTMVRFGCWKMTGALCAHVRMDSLCVDGWSVTVRIPQLIFFAALNVTQGLVVSASIKMGKLCITVVTPGSRIVNSAAACKGKLIVGPCLAQMWSVNSAFSQRMSAARAVSQTLARLTPSAMTSPRLAWTK
+>DECOY_NM_006159|354698_2_1751_2532
+KTWALRPSTMASPTLRALTQSVARAASMRQSFASNVSWMQALCPGVILKGKCAAASNVIRSGPTVVTICLKGMKISASVVLGQTVNLAAFFILQPIRVTVSWGDVCLSDMRVHACLAGTMKWCGFRVMTSLKEMMSATGQAIREMLVDVIMDAMWISAFPIMPVATGGPGVSMLIKVRNEVQHFCGMTMTAMEASVTTGDLCTLAILVVTVNFLVMLANMLTRKVAPDLSAKHAPVCMLPLVPEEMGVAMKAFHKAHREM
+>NM_006159|354699_2_1781_2532
+MAVGMEEPVLPLMCVPAHKASLDPAVKRTLMNALMVLFNVTVVLIALTCLDGTTVSAEMATMTMGCFHQVENRVKILMSVGPGGTAVPMIPFASIWMADMIVDVLMERIAQGTASMMEKLSTMVRFGCWKMTGALCAHVRMDSLCVDGWSVTVRIPQLIFFAALNVTQGLVVSASIKMGKLCITVVTPGSRIVNSAAACKGKLIVGPCLAQMWSVNSAFSQRMSAARAVSQTLARLTPSAMTSPRLAWTK
+>DECOY_NM_006159|354699_2_1781_2532
+KTWALRPSTMASPTLRALTQSVARAASMRQSFASNVSWMQALCPGVILKGKCAAASNVIRSGPTVVTICLKGMKISASVVLGQTVNLAAFFILQPIRVTVSWGDVCLSDMRVHACLAGTMKWCGFRVMTSLKEMMSATGQAIREMLVDVIMDAMWISAFPIMPVATGGPGVSMLIKVRNEVQHFCGMTMTAMEASVTTGDLCTLAILVVTVNFLVMLANMLTRKVAPDLSAKHAPVCMLPLVPEEMGVAM
+>NM_006159|354700_2_1793_2532
+MEEPVLPLMCVPAHKASLDPAVKRTLMNALMVLFNVTVVLIALTCLDGTTVSAEMATMTMGCFHQVENRVKILMSVGPGGTAVPMIPFASIWMADMIVDVLMERIAQGTASMMEKLSTMVRFGCWKMTGALCAHVRMDSLCVDGWSVTVRIPQLIFFAALNVTQGLVVSASIKMGKLCITVVTPGSRIVNSAAACKGKLIVGPCLAQMWSVNSAFSQRMSAARAVSQTLARLTPSAMTSPRLAWTK
+>DECOY_NM_006159|354700_2_1793_2532
+KTWALRPSTMASPTLRALTQSVARAASMRQSFASNVSWMQALCPGVILKGKCAAASNVIRSGPTVVTICLKGMKISASVVLGQTVNLAAFFILQPIRVTVSWGDVCLSDMRVHACLAGTMKWCGFRVMTSLKEMMSATGQAIREMLVDVIMDAMWISAFPIMPVATGGPGVSMLIKVRNEVQHFCGMTMTAMEASVTTGDLCTLAILVVTVNFLVMLANMLTRKVAPDLSAKHAPVCMLPLVPEEM
+>NM_006159|354704_2_1955_2532
+MATMTMGCFHQVENRVKILMSVGPGGTAVPMIPFASIWMADMIVDVLMERIAQGTASMMEKLSTMVRFGCWKMTGALCAHVRMDSLCVDGWSVTVRIPQLIFFAALNVTQGLVVSASIKMGKLCITVVTPGSRIVNSAAACKGKLIVGPCLAQMWSVNSAFSQRMSAARAVSQTLARLTPSAMTSPRLAWTK
+>DECOY_NM_006159|354704_2_1955_2532
+KTWALRPSTMASPTLRALTQSVARAASMRQSFASNVSWMQALCPGVILKGKCAAASNVIRSGPTVVTICLKGMKISASVVLGQTVNLAAFFILQPIRVTVSWGDVCLSDMRVHACLAGTMKWCGFRVMTSLKEMMSATGQAIREMLVDVIMDAMWISAFPIMPVATGGPGVSMLIKVRNEVQHFCGMTMTAM
+>NM_006159|354706_2_1970_2532
+MGCFHQVENRVKILMSVGPGGTAVPMIPFASIWMADMIVDVLMERIAQGTASMMEKLSTMVRFGCWKMTGALCAHVRMDSLCVDGWSVTVRIPQLIFFAALNVTQGLVVSASIKMGKLCITVVTPGSRIVNSAAACKGKLIVGPCLAQMWSVNSAFSQRMSAARAVSQTLARLTPSAMTSPRLAWTK
+>DECOY_NM_006159|354706_2_1970_2532
+KTWALRPSTMASPTLRALTQSVARAASMRQSFASNVSWMQALCPGVILKGKCAAASNVIRSGPTVVTICLKGMKISASVVLGQTVNLAAFFILQPIRVTVSWGDVCLSDMRVHACLAGTMKWCGFRVMTSLKEMMSATGQAIREMLVDVIMDAMWISAFPIMPVATGGPGVSMLIKVRNEVQHFCGM
+>NM_006159|354713_2_2129_2532
+MEKLSTMVRFGCWKMTGALCAHVRMDSLCVDGWSVTVRIPQLIFFAALNVTQGLVVSASIKMGKLCITVVTPGSRIVNSAAACKGKLIVGPCLAQMWSVNSAFSQRMSAARAVSQTLARLTPSAMTSPRLAWTK
+>DECOY_NM_006159|354713_2_2129_2532
+KTWALRPSTMASPTLRALTQSVARAASMRQSFASNVSWMQALCPGVILKGKCAAASNVIRSGPTVVTICLKGMKISASVVLGQTVNLAAFFILQPIRVTVSWGDVCLSDMRVHACLAGTMKWCGFRVMTSLKEM
+>NM_006159|354714_2_2147_2532
+MVRFGCWKMTGALCAHVRMDSLCVDGWSVTVRIPQLIFFAALNVTQGLVVSASIKMGKLCITVVTPGSRIVNSAAACKGKLIVGPCLAQMWSVNSAFSQRMSAARAVSQTLARLTPSAMTSPRLAWTK
+>DECOY_NM_006159|354714_2_2147_2532
+KTWALRPSTMASPTLRALTQSVARAASMRQSFASNVSWMQALCPGVILKGKCAAASNVIRSGPTVVTICLKGMKISASVVLGQTVNLAAFFILQPIRVTVSWGDVCLSDMRVHACLAGTMKWCGFRVM
+>NM_006159|354716_2_2201_2532
+MDSLCVDGWSVTVRIPQLIFFAALNVTQGLVVSASIKMGKLCITVVTPGSRIVNSAAACKGKLIVGPCLAQMWSVNSAFSQRMSAARAVSQTLARLTPSAMTSPRLAWTK
+>DECOY_NM_006159|354716_2_2201_2532
+KTWALRPSTMASPTLRALTQSVARAASMRQSFASNVSWMQALCPGVILKGKCAAASNVIRSGPTVVTICLKGMKISASVVLGQTVNLAAFFILQPIRVTVSWGDVCLSDM
+>NM_006159|354717_2_2312_2532
+MGKLCITVVTPGSRIVNSAAACKGKLIVGPCLAQMWSVNSAFSQRMSAARAVSQTLARLTPSAMTSPRLAWTK
+>DECOY_NM_006159|354717_2_2312_2532
+KTWALRPSTMASPTLRALTQSVARAASMRQSFASNVSWMQALCPGVILKGKCAAASNVIRSGPTVVTICLKGM
+>NM_017437|354755_2_1171_1301
+MVFLTWPVYLALKLYLPANLTWNADFQGISLFSGVRTLKTQSF
+>DECOY_NM_017437|354755_2_1171_1301
+FSQTKLTRVGSFLSIGQFDANWTLNAPLYLKLALYVPWTLFVM
+>NM_175566|354793_2_2059_2144
+MAAGYRPQQTVCQMRQNFLLGDPQAHLG
+>DECOY_NM_175566|354793_2_2059_2144
+GLHAQPDGLLFNQRMQCVTQQPRYGAAM
+>NM_175566|354794_2_2692_2825
+MGLLVKLWSSVQLKENPVLLPQMSRRQVCLCQRFLLHGNTLKRV
+>DECOY_NM_175566|354794_2_2692_2825
+VRKLTNGHLLFRQCLCVQRRSMQPLLVPNEKLQVSSWLKVLLGM
+>NM_175566|354796_2_3274_3395
+MEQLVLKLGYHHIQVEKSQVHSRPFTLSPHLRHQSPCSWH
+>DECOY_NM_175566|354796_2_3274_3395
+HWSCPSQHRLHPSLTFPRSHVQSKEVQIHHYGLKLVLQEM
+>NM_004645|354813_2_806_918
+MVPAKSLWRPEIPQRNYQLSYQRKNPLPKIQLQTNWL
+>DECOY_NM_004645|354813_2_806_918
+LWNTQLQIKPLPNKRQYSLQYNRQPIEPRWLSKAPVM
+>NM_001076678|354993_2_1065_1141
+MEKNPINVKNVAKPLVFSQPLLNIR
+>DECOY_NM_001076678|354993_2_1065_1141
+RINLLPQSFVLPKAVNKVNIPNKEM
+>NM_004602|355278_2_1086_1204
+MARGSIRLADWPRSSRQKRRRSQSTRSSQSEASRAAGSL
+>DECOY_NM_004602|355278_2_1086_1204
+LSGAARSAESQSSRTSQSRRRKQRSSRPWDALRISGRAM
+>NM_183360|355370_2_443_525
+MALIHWTIPPRSVCPASKLSSPPSTIS
+>DECOY_NM_183360|355370_2_443_525
+SITSPPSSLKSAPCVSRPPITWHILAM
+>NM_020309|355511_3_870_1045
+MELCFLRLRQLRDLLVPVLAARLLRVPRAAPQHLGGGAQVHRGRHRRERETHEPPHEV
+>DECOY_NM_020309|355511_3_870_1045
+VEHPPEHTERERRHRGRHVQAGGGLHQPAARPVRLLRAALVPVLLDRLQRLRLFCLEM
+>NM_152753|355556_2_301_395
+MDSTWHMTDTTVWMWTSVPRATAAVSRAVST
+>DECOY_NM_152753|355556_2_301_395
+TSVARSVAATARPVSTWMWVTTDTMHWTSDM
+>NM_152753|355559_2_613_839
+MVTAAASTRVMTQSRVPGAAAISSLCSIPTGRHASRPVLSTTGAVTVSAMMQRLVSTAPALWASCCSQTGRRAKI
+>DECOY_NM_152753|355559_2_613_839
+IKARRGTQSCCSAWLAPATSVLRQMMASVTVAGTTSLVPRSAHRGTPISCLSSIAAAGPVRSQTMVRTSAAATVM
+>NM_152753|355566_2_1390_1745
+MGTAPTPTTAMRLQCCPLNNGPPSRSRMPNAVCTCETKAKQRRLAESQGQVVPPALNARSPSSTLSVTPLGRARADGPGPLQAKRSQGSPWNWRQRSEPKKPQPAVGCPASDSEWNGG
+>DECOY_NM_152753|355566_2_1390_1745
+GGNWESDSAPCGVAPQPKKPESRQRWNWPSGQSRKAQLPGPGDARARGLPTVSLTSSPSRANLAPPVVQGQSEALRRQKAKTECTCVANPMRSRSPPGNNLPCCQLRMATTPTPATGM
+>NM_014050|355584_3_175_251
+MGDVKENYLETFISSPKWSFILCLS
+>DECOY_NM_014050|355584_3_175_251
+SLCLIFSWKPSSIFTELYNEKVDGM
+>NM_130831|355800_2_1259_1344
+MDLWMLNAVLLQTWSVKWTLMEGEPYSF
+>DECOY_NM_130831|355800_2_1259_1344
+FSYPEGEMLTWKVSWTQLLVANLMWLDM
+>NM_015630|355856_2_625_731
+MALPTMTLMLPFGEEQRKCKLERIVRMMKPLMKRC
+>DECOY_NM_015630|355856_2_625_731
+CRKMLPKMMRVIRELKCKRQEEGFPLMLTMTPLAM
+>NM_033393|355968_2_2296_2417
+MARLPPMSLEVQLWDLWVAATLRTKILDLCSASRTPPTAH
+>DECOY_NM_033393|355968_2_2296_2417
+HATPPTRSASCLDLIKTRLTAAVWLDWLQVELSMPPLRAM
+>NM_033393|355970_2_2488_2654
+MAPCPLGLEKWGTAKSPPTLHPAPLGRLLPPSLWIVSPAARAACPGTNPPKGKML
+>DECOY_NM_033393|355970_2_2488_2654
+LMKGKPPNTGPCAARAAPSVIWLSPPLLRGLPAPHLTPPSKATGWKELGLPCPAM
+>NM_012199|356062_2_1537_1802
+MGLRSKSGPSPASHPKNSVEKRCSRTSQTSCGRFPRMRGCLSRVNLVSANMHRGQTAWSLCSGISRTPTQGCSSLLSSCQGRRRCMLR
+>DECOY_NM_012199|356062_2_1537_1802
+RLMCRRRGQCSSLLSSCGQTPTRSIGSCLSWATQGRHMNASVLNVRSLCGRMRPFRGCSTQSTRSCRKEVSNKPHSAPSPGSKSRLGM
+>NM_012199|356066_2_2212_2552
+MGCLKASYPRYSTMSYWPFVMPASNWKRTTSLGSLILWCRNAITPAFSVLTRMSELGRVVTSQLGPQWTPTSPTHLSLTSICAATQASRAPADHPITMFFGMTTVSQQMSSRS
+>DECOY_NM_012199|356066_2_2212_2552
+SRSSMQQSVTTMGFFMTIPHDAPARSAQTAACISTLSLHTPSTPTWQPGLQSTVVRGLESMRTLVSFAPTIANRCWLILSGLSTTRKWNSAPMVFPWYSMTSYRPYSAKLCGM
+>NM_033109|356116_2_105_205
+MVLSFCHGGIGHSPSCKCEHYGVAQGLELWPWT
+>DECOY_NM_033109|356116_2_105_205
+TWPWLELGQAVGYHECKCSPSHGIGGHCFSLVM
+>NM_004199|356155_2_1507_1724
+MATGPHSCSLPPSKRRTSGTARTSSGTTMSCLMRKSRGSRRSQNLNLHEPPFVIPRQESSLSPATGFPKAPG
+>DECOY_NM_004199|356155_2_1507_1724
+GPAKPFGTAPSLSSEQRPIVFPPEHLNLNQSRRSGRSKRMLCSMTTGSSTRATGSTRRKSPPLSCSHPGTAM
+>NM_004199|356158_2_1825_1898
+MEWEDSMNRTSTSLGMMSEILSSI
+>DECOY_NM_004199|356158_2_1825_1898
+ISSLIESMMGLSTSTRNMSDEWEM
+>NM_181873|356174_3_1787_1902
+MGSPLTLGSLPFTSRAAAAWVSGTPDQALETLLPEGKA
+>DECOY_NM_181873|356174_3_1787_1902
+AKGEPLLTELAQDPTGSVWAAAARSTFPLSGLTLPSGM
+>NM_014832|356214_2_2730_2863
+MDWTGTSCCHCPPSLQPWRRNRWLYSCLGRMTQKRLKKERNQKN
+>DECOY_NM_014832|356214_2_2730_2863
+NKQNREKKLRKQTMRGLCSYLWRNRRWPQLSPPCHCCSTGTWDM
+>NM_001017973|356244_2_1825_1910
+MEWEDSMNRTSTSLGDLLTAASKQRGIG
+>DECOY_NM_001017973|356244_2_1825_1910
+GIGRQKSAATLLDGLSTSTRNMSDEWEM
+>NM_031917|356310_2_1102_1202
+MVQSTSSLPGSTIRRALGGQTENTGWALNPCIS
+>DECOY_NM_031917|356310_2_1102_1202
+SICPNLAWGTNETQGGLARRITSGPLSSTSQVM
+>NM_031917|356313_2_1276_1595
+MASPWNPRATTTACGLASTMVMLETLFPGTMTSPSAPWIGTETPILVTVPCTSGEAGGTMPVPTPTSTVCGTTAATTEAATRMVSTGLSFVVGHILSGRPPCSFGP
+>DECOY_NM_031917|356313_2_1276_1595
+PGFSCPPRGSLIHGVVFSLGTSVMRTAAETTAATTGCVTSTPTPVPMTGGAEGSTCPVTVLIPTETGIWPASPSTMTGPFLTELMVMTSALGCATTTARPNWPSAM
+>NM_031917|356314_2_1333_1595
+MVMLETLFPGTMTSPSAPWIGTETPILVTVPCTSGEAGGTMPVPTPTSTVCGTTAATTEAATRMVSTGLSFVVGHILSGRPPCSFGP
+>DECOY_NM_031917|356314_2_1333_1595
+PGFSCPPRGSLIHGVVFSLGTSVMRTAAETTAATTGCVTSTPTPVPMTGGAEGSTCPVTVLIPTETGIWPASPSTMTGPFLTELMVM
+>NM_031917|356318_2_1522_1595
+MVSTGLSFVVGHILSGRPPCSFGP
+>DECOY_NM_031917|356318_2_1522_1595
+PGFSCPPRGSLIHGVVFSLGTSVM
+>NM_002728|356371_2_285_361
+MGLLSLSQCQIWWTKTLRVLRKRTQ
+>DECOY_NM_002728|356371_2_285_361
+QTRKRLVRLTKTWWIQCQSLSLLGM
+>NM_003427|356377_2_377_750
+MVSLCSWKMAAWLTYTAHPEKAMTPAPWKPSNWKMAPLPTFTTLWLCHRRAPSWPYRQRWAWRTWQQRMMRASVQTQWWPWSSMPARFFMTARFPVMEKGSKLETEHSAVATRAVGVSTPPLIT
+>DECOY_NM_003427|356377_2_377_750
+TILPPTSVGVARTAVASHETELKSGKEMVPFRATMFFRAPMSSWPWWQTQVSARMMRQQWTRWAWRQRYPWSPARRHCLWLTTFTPLPAMKWNSPKWPAPTMAKEPHATYTLWAAMKWSCLSVM
+>NM_003427|356378_2_401_750
+MAAWLTYTAHPEKAMTPAPWKPSNWKMAPLPTFTTLWLCHRRAPSWPYRQRWAWRTWQQRMMRASVQTQWWPWSSMPARFFMTARFPVMEKGSKLETEHSAVATRAVGVSTPPLIT
+>DECOY_NM_003427|356378_2_401_750
+TILPPTSVGVARTAVASHETELKSGKEMVPFRATMFFRAPMSSWPWWQTQVSARMMRQQWTRWAWRQRYPWSPARRHCLWLTTFTPLPAMKWNSPKWPAPTMAKEPHATYTLWAAM
+>NM_003427|356380_2_479_750
+MAPLPTFTTLWLCHRRAPSWPYRQRWAWRTWQQRMMRASVQTQWWPWSSMPARFFMTARFPVMEKGSKLETEHSAVATRAVGVSTPPLIT
+>DECOY_NM_003427|356380_2_479_750
+TILPPTSVGVARTAVASHETELKSGKEMVPFRATMFFRAPMSSWPWWQTQVSARMMRQQWTRWAWRQRYPWSPARRHCLWLTTFTPLPAM
+>NM_003427|356385_2_665_750
+MEKGSKLETEHSAVATRAVGVSTPPLIT
+>DECOY_NM_003427|356385_2_665_750
+TILPPTSVGVARTAVASHETELKSGKEM
+>NM_003427|356390_2_1520_1746
+MVPSRSACPRKTCRPWGVPSVWSPSTAAPPSPSPVLMPTWPHLAHIQSPWSAPMAPRRSPSQSLPLGLWWLRTQV
+>DECOY_NM_003427|356390_2_1520_1746
+VQTRLWWLGLPLSQSPSRRPAMPASWPSQIHALHPWTPMLVPSPSPPAATSPSWVSPVGWPRCTKRPCASRSPVM
+>NM_015380|356533_2_1376_1554
+MGRAPKLIFVSWLSASAGRTGPGLSSGLATSLGWNLITASPWEYRQATGYVMASSLELG
+>DECOY_NM_015380|356533_2_1376_1554
+GLELSSAMVYGTAQRYEWPSATILNWGLSTALGSSLGPGTRGASASLWSVFILKPARGM
+>NM_182830|356659_2_2314_2525
+MVIFVCSLKMIQIILTGQSKVQQQEIQNILLIQDLMLTVVAPKKVFICTLRHHDPDWKAKRLDFSALFSA
+>DECOY_NM_182830|356659_2_2314_2525
+ASFLASFDLRKAKWDPDHHRLTCIFVKKPAVVTLMLDQILLINQIEQQQVKSQGTLIIQIMKLSCVFIVM
+>NM_001033521|356763_2_1068_1186
+MVFQIDASQLLRKHMTVLKFVLPFFPKILNTFSQVEKTL
+>DECOY_NM_001033521|356763_2_1068_1186
+LTKEVQSFTNLIKPFFPLVFKLVTMHKRLLQSADIQFVM
+>NM_198827|356887_3_1023_1132
+MGGLLQPPRPLLDSCPIYMEIQGGPESLRQRDPEHL
+>DECOY_NM_198827|356887_3_1023_1132
+LHEPDRQRLSEPGGQIEMYIPCSDLLPRPPQLLGGM
+>NM_001858|356922_2_1060_1202
+MVYMVLQDSLVKRESKVLKAAKEKLVKRVNKEKKEIQLWLALMEKMV
+>DECOY_NM_001858|356922_2_1060_1202
+VMKEMLALWLQIEKKEKNVRKVLKEKAAKLVKSERKVLSDQLVMYVM
+>NM_001858|356928_2_2098_2234
+MEFQGEMESQACQAPQVTRLHFLSWETSVLCSRISVATAKPVSQG
+>DECOY_NM_001858|356928_2_2098_2234
+GQSVPKATAVSIRSCLVSTEWSLFHLRTVQPAQCAQSEMEGQFEM
+>NM_001858|356929_2_2116_2234
+MESQACQAPQVTRLHFLSWETSVLCSRISVATAKPVSQG
+>DECOY_NM_001858|356929_2_2116_2234
+GQSVPKATAVSIRSCLVSTEWSLFHLRTVQPAQCAQSEM
+>NM_001858|356932_2_2908_3041
+MEYQVLRASWVSLETEAPKENVVIRGFQETEAHKVNGENQALQA
+>DECOY_NM_001858|356932_2_2908_3041
+AQLAQNEGNVKHAETEQFGRIVVNEKPAETELSVWSARLVQYEM
+>NM_152383|357009_2_805_1286
+MDMASHKMCWLMVLRNSQFVFLRKEERMVMHRLQKMRPPAFHKTQELYRRNPCKDQQRWFTSWRKNILEQQPASSNSWLIRTANCLGNTPCFLPQTTECLEFMCLSRTVPRTLWHGLKIMPTHCSSAALWTGRRTAILPWGSWLRVLGRLVKLSLKQKEY
+>DECOY_NM_152383|357009_2_805_1286
+YEKQKLSLKVLRGLVRLWSGWPLIATRRGTWLAASSCHTPMIKLGHWLTRPVTRSLCMFELCETTQPLFCPTNGLCNATRILWSNSSAPQQELINKRWSTFWRQQDKCPNRRYLEQTKHFAPPRMKQLRHMVMREEKRLFVFQSNRLVMLWCMKHSAMDM
+>NM_152383|357010_2_811_1286
+MASHKMCWLMVLRNSQFVFLRKEERMVMHRLQKMRPPAFHKTQELYRRNPCKDQQRWFTSWRKNILEQQPASSNSWLIRTANCLGNTPCFLPQTTECLEFMCLSRTVPRTLWHGLKIMPTHCSSAALWTGRRTAILPWGSWLRVLGRLVKLSLKQKEY
+>DECOY_NM_152383|357010_2_811_1286
+YEKQKLSLKVLRGLVRLWSGWPLIATRRGTWLAASSCHTPMIKLGHWLTRPVTRSLCMFELCETTQPLFCPTNGLCNATRILWSNSSAPQQELINKRWSTFWRQQDKCPNRRYLEQTKHFAPPRMKQLRHMVMREEKRLFVFQSNRLVMLWCMKHSAM
+>NM_152383|357013_2_886_1286
+MVMHRLQKMRPPAFHKTQELYRRNPCKDQQRWFTSWRKNILEQQPASSNSWLIRTANCLGNTPCFLPQTTECLEFMCLSRTVPRTLWHGLKIMPTHCSSAALWTGRRTAILPWGSWLRVLGRLVKLSLKQKEY
+>DECOY_NM_152383|357013_2_886_1286
+YEKQKLSLKVLRGLVRLWSGWPLIATRRGTWLAASSCHTPMIKLGHWLTRPVTRSLCMFELCETTQPLFCPTNGLCNATRILWSNSSAPQQELINKRWSTFWRQQDKCPNRRYLEQTKHFAPPRMKQLRHMVM
+>NM_017770|357090_2_720_823
+MDFLCFHLCTSIFGGRNISHRLSWCSSCSPSRTP
+>DECOY_NM_017770|357090_2_720_823
+PTRSPSCSSCWSLRHSINRGGFISTCLHFCLFDM
+>NM_006226|357135_2_418_494
+MALLTRSVRTVPFPYSTGKTMSLWT
+>DECOY_NM_006226|357135_2_418_494
+TWLSMTKGTSYPFPVTRVSRTLLAM
+>NM_006226|357138_2_1603_1697
+MVSRSKSDSVGSSLIWCLFVNLFNTGILNYL
+>DECOY_NM_006226|357138_2_1603_1697
+LYNLIGTNFLNVFLCWILSSGVSDSKSRSVM
+>NM_014346|357244_3_1523_1605
+MEEGNTRRKRFSRAAALPPEPAHSPLG
+>DECOY_NM_014346|357244_3_1523_1605
+GLPSHAPEPPLAAARSFRKRRTNGEEM
+>NM_001012509|357313_2_147_259
+MAPLTLWSRLKDPPADSSCTAWPCSEESSATRWRQRM
+>DECOY_NM_001012509|357313_2_147_259
+MRQRWRTASSEESCPWATCSSDAPPDKLRSWLTLPAM
+>NM_001145056|357339_2_543_628
+MVTALLSSSPANPWPGDASPLSTPTRVS
+>DECOY_NM_001145056|357339_2_543_628
+SVRTPTSLPSADGPWPNAPSSSLLATVM
+>NM_004225|357412_2_2349_2605
+MAFRTRTFDGARPIFNTCSTTGCRSSPPCCLLAAGTRATYDAFGTSCCQLLSTERSSPTYTEYCLDPGRCWRNCISSHLRPSDCG
+>DECOY_NM_004225|357412_2_2349_2605
+GCDSPRLHSSICNRWCRGPDLCYETYTPSSRETSLLQCCSTGFADYTARTGAALLCCPPSSRCGTTSCTNFIPRAGDFTRTRFAM
+>NM_015093|357453_2_503_654
+MDNFKVASPIVNYFSRSHRQHQLKFLKALMFLECPVPLVLQIQHHILDFT
+>DECOY_NM_015093|357453_2_503_654
+TFDLIHHQIQLVLPVPCELFMLAKLFKLQHQRHSRSFYNVIPSAVKFNDM
+>NM_014889|357519_2_1180_1262
+MATRGRPTLVSASKGLRRKTLRPSEAS
+>DECOY_NM_014889|357519_2_1180_1262
+SAESPRLTKRRLGKSASVLTPRGRTAM
+>NM_002289|357531_2_231_349
+MDSSRSVISFGARAARSLSQGTSVTSPVTSSWMMTLLMT
+>DECOY_NM_002289|357531_2_231_349
+TMLLTMMWSSTVPSTVSTGQSLSRAARAGFSIVSRSSDM
+>NM_000285|357560_2_382_521
+MVSSMLTLGSRPCLCPGFLPAMPPGWERSIPRSTSRRSMPWTTSST
+>DECOY_NM_000285|357560_2_382_521
+TSSTTWPMSRRSTSRPISREWGPPMAPLFGPCLCPRSGLTLMSSVM
+>NM_000285|357564_2_940_1094
+MGICACSTWAVSITASLPTSPAPFPPTASSLQTRRPSMRQCCGAPVPSWVP
+>DECOY_NM_000285|357564_2_940_1094
+PVWSPVPAGCCQRMSPRRTQLSSATPPFPAPSTPLSATISVAWTSCACIGM
+>NM_032246|357612_2_1075_1289
+MGPAGPAQAASGASPPPASRPPPAASLSLATATTAPARLAVLPQTLISAAGPAAAQRLPSAWRTTAPLAPP
+>DECOY_NM_032246|357612_2_1075_1289
+PPALPATTRWASPLRQAAAPGAASILTQPLVALRAPATTATALSLSAAPPPRSAPPPSAGSAAQAPGAPGM
+>NM_032246|357613_2_1327_1892
+MGTPTQRGEKPQCHPPTAAPSCSPLLTRLPLPHLGHHLSGPSSSGPREADLQLRYLLPALLLHLRLLLPPPWSSPGVAPVRPPTPTWGYWCTAGCTLAPAARACLHPCTWPRGRESTTWLAGCAATRVEEAWPTPLMPTGWGHSCLACSRRTRRAPPLRPAPPPALHPLPPGFGVKAAATAPCASRAK
+>DECOY_NM_032246|357613_2_1327_1892
+KARSACPATAAAKVGFGPPLPHLAPPPAPRLPPARRTRRSCALCSHGWGTPMLPTPWAEEVRTAACGALWTTSERGRPWTCPHLCARAAPALTCGATCWYGWTPTPPRVPAVGPSSWPPPLLLRLHLLLAPLLYRLQLDAERPGSSSPGSLHHGLHPLPLRTLLPSCSPAATPPHCQPKEGRQTPTGM
+>NM_001941|357713_2_381_493
+MGQCTQPGLLRCLIRKDHLPYGFLTKGNRHRKRLLCC
+>DECOY_NM_001941|357713_2_381_493
+CCLLRKRHRNGKTLFGYPLHDKRILCRLLGPQTCQGM
+>NM_001941|357731_3_2224_2309
+MGNPCNITGYSTALFCIANFSMWSFWCN
+>DECOY_NM_001941|357731_3_2224_2309
+NCWFSWMSFNAICFLATSYGTINCPNGM
+>NM_001001662|357789_2_1224_1363
+MEKVSTVIQPSQCIRELMQQINTLIITHVQRHSATSQLSVYIRRFT
+>DECOY_NM_001001662|357789_2_1224_1363
+TFRRIYVSLQSTASHRQVHTIILTNIQQMLERICQSPQIVTSVKEM
+>NM_152745|357846_2_1341_1459
+MAMGHLVFISGIIQLVKGMYLSAWYPLQKSWNLTWHNKP
+>DECOY_NM_152745|357846_2_1341_1459
+PKNHWTLNWSKQLPYWASLYMGKVLQIIGSIFVLHGMAM
+>NM_152745|357847_2_1347_1459
+MGHLVFISGIIQLVKGMYLSAWYPLQKSWNLTWHNKP
+>DECOY_NM_152745|357847_2_1347_1459
+PKNHWTLNWSKQLPYWASLYMGKVLQIIGSIFVLHGM
+>NM_000835|357915_2_1075_1163
+MEPCQPRPGTAVFTLGPSALPGRPSTGTY
+>DECOY_NM_000835|357915_2_1075_1163
+YTGTSPRGPLASPGLTFVATGPRPQCPEM
+>NM_005255|358199_2_1202_1455
+MEATGAPHCPEGHPLPWAPLAVATVEAWRWRSTTSRMAASWTFCGVGQSGSSPTSRTPPPRSSSPSLIMQRVTWTYLTSHPELQ
+>DECOY_NM_005255|358199_2_1202_1455
+QLEPHSTLYTWTVRQMILSPSSSRPPPTRSTPSSGSQGVGCFTWSAAMRSTTSRWRWAEVTAVALPAWPLPHGEPCHPAGTAEM
+>NM_005255|358208_3_2361_2440
+MGELEHEGAEPQNPVFQPGGAARHSV
+>DECOY_NM_005255|358208_3_2361_2440
+VSHRAAGGPQFVPNQPEAGEHELEGM
+>NM_005255|358209_3_3306_3451
+MGCLDRDCSIGSGPHASHRRPPLLSWRSAGPLWLSGQLDQVSEPGPIC
+>DECOY_NM_005255|358209_3_3306_3451
+CIPGPESVQDLQGSLWLPGASRWSLLPPRRHSAHPGSGISCDRDLCGM
+>NM_032888|358251_2_2059_2240
+MGQRVTWACLGSPGIQDLRDERDTRAILDRQGTPENRGSQDLRAAQGPKVTLAGRGYLDR
+>DECOY_NM_032888|358251_2_2059_2240
+RDLYGRGALTVKPGQAARLDQSGRNEPTGQRDLIARTDREDRLDQIGPSGLCAWTVRQGM
+>NM_032888|358255_2_3931_4697
+MDTKALWDPLDLLDQKAKRGSRARTARLRGPLGHLEIGALWVIEETAGNRETLGTLDRRVCKASVESQASRANPGIRDPGGGRDPKDRKAQRDQRESKARQGPQAGGGSRACRGCQGPGAWWGDRASRASLDQMGFLAGTGKQDSRGSRETMGTLAPWALLGREEIQVWPAYLEHRDPQDSRVRVGYPDSWVPLASEEQRAERGSLETRGSLGPKASRATLARWASQEWQVSSDPRARLETLASKASRALGGHLA
+>DECOY_NM_032888|358255_2_3931_4697
+ALHGGLARSAKSALTELRARPDSSVQWEQSAWRALTARSAKPGLSGRTELSGREARQEESALPVWSDPYGVRVRSDQPDRHELYAPWVQIEERGLLAWPALTGMTERSGRSDQKGTGALFGMQDLSARSARDGWWAGPGQCGRCARSGGGAQPGQRAKSERQDRQAKRDKPDRGGGPDRIGPNARSAQSEVSAKCVRRDLTGLTERNGATEEIVWLAGIELHGLPGRLRATRARSGRKAKQDLLDLPDWLAKTDM
+>NM_032888|358257_2_4384_4697
+MGTLAPWALLGREEIQVWPAYLEHRDPQDSRVRVGYPDSWVPLASEEQRAERGSLETRGSLGPKASRATLARWASQEWQVSSDPRARLETLASKASRALGGHLA
+>DECOY_NM_032888|358257_2_4384_4697
+ALHGGLARSAKSALTELRARPDSSVQWEQSAWRALTARSAKPGLSGRTELSGREARQEESALPVWSDPYGVRVRSDQPDRHELYAPWVQIEERGLLAWPALTGM
+>NM_004906|358302_2_1057_1208
+MVAPPARGRLGLDFTGRATQPKMTFLLLQGMVISPPTAQRRELAEEVVVT
+>DECOY_NM_004906|358302_2_1057_1208
+TVVVEEALERRQATPPSIVMGQLLLFTMKPQTARGTFDLGLRGRAPPAVM
+>NM_003285|358357_2_4108_4325
+MAKLIFSGNGLITVLASGTWRMSSGWGWTIYTGSHPRAAMSCAWTCGMAKRPPSPPTTGSLSRTAETCTNSA
+>DECOY_NM_003285|358357_2_4108_4325
+ASNTCTEATRSLSGTTPPSPPRKAMGCTWACSMAARPHSGTYITWGWGSSMRWTGSALVTILGNGSFILKAM
+>NM_003285|358360_2_4249_4325
+MAKRPPSPPTTGSLSRTAETCTNSA
+>DECOY_NM_003285|358360_2_4249_4325
+ASNTCTEATRSLSGTTPPSPPRKAM
+>NM_003285|358365_3_1589_1752
+MGRADGSDGICDLLPADGPGGPPAPAAGAWRLEWCHHHGAGARSHLQHQRLRCH
+>DECOY_NM_003285|358365_3_1589_1752
+HCRLRQHQLHSRAGAGHHHCWELRWAGAAPAPPGGPGDAPLLDCIGDSGDARGM
+>NM_000046|358463_3_2239_2339
+MEPVGRRRPRGGLCGKPLAEAEGREEPGAHPHL
+>DECOY_NM_000046|358463_3_2239_2339
+LHPHAGPEERGEAEALPKGCLGGRPRRRGVPEM
+>NM_152713|358517_2_1596_1732
+MAVGSYLMTSEKHIIGFVIILQRMRRSCPGGIMAIRLQLWQTEQF
+>DECOY_NM_152713|358517_2_1596_1732
+FQETQWLQLRIAMIGGPCSRRMRQLIIVFGIIHKESTMLYSGVAM
+>NM_152713|358525_3_733_995
+MGRLCVPDQLNSSPRPRADAHRPFLSPDLCGLLYCLLPGHYTFYADLLCGFPACPFIRAHGSLWGLWSLPDPCLCGLPAQQVESTTI
+>DECOY_NM_152713|358525_3_733_995
+ITTSEVQQAPLGCLCPDPLSWLGWLSGHARIFPCAPFGCLLDAYFTYHGPLLCYLLGCLDPSLFPRHADARPRPSSNLQDPVCLRGM
+>NM_005646|358599_2_1096_1265
+MDVGSFTHPGICVFIKECLKVKTKSCPKKVLSIFWSCMKQRFFHFHQNFLSLLLDH
+>DECOY_NM_005646|358599_2_1096_1265
+HDLLLSLFNQHFHFFRQKMCSWFISLVKKPCSKTKVKLCEKIFVCIGPHTFSGVDM
+>NM_000842|358699_2_581_675
+MAFREWRPCCIPWKGSIQTPHSCPTSHWAVR
+>DECOY_NM_000842|358699_2_581_675
+RVAWHSTPCSHPTQISGKWPICCPRWERFAM
+>NM_000842|358701_2_1058_1173
+MEKVGWKPSKICQRRKGFASPTLTKSTVMQGSRALISC
+>DECOY_NM_000842|358701_2_1058_1173
+CSILARSGQMVTSKTLTPSAFGKRRQCIKSPKWGVKEM
+>NM_024831|358867_2_1000_1250
+MEEDYCGKVGKKNIRVKHYLLNLGTFLIQRKNGSNIIVNFIGIIWNNFSIGKLRVGLLMPRKAVIQILTHLKQKLMTRTMKNA
+>DECOY_NM_024831|358867_2_1000_1250
+ANKMTRTMLKQKLHTLIQIVAKRPMLLGVRLKGISFNNWIIGIFNVIINSGNKRQILFTGLNLLYHKVRINKKGVKGCYDEEM
+>NM_024831|358869_2_1486_1658
+MEEPMRKATHRGIQTQTHQLRIHRSLQEQTQAKTDHMPVVLMEMKVRKTHLSISQAN
+>DECOY_NM_024831|358869_2_1486_1658
+NAQSISLHTKRVKMEMLVVPMHDTKAQTQEQLSRHIRLQHTQTQIGRHTAKRMPEEM
+>NM_024831|358876_2_2365_2468
+MGLSWTERAGFQLHPRRLLNTLLAVLVSPSSVTL
+>DECOY_NM_024831|358876_2_2365_2468
+LTVSSPSVLVALLTNLLRRPHLQFGARETWSLGM
+>NM_018339|358950_3_554_684
+MEPILQEYEEVYGNTYHAYLQRGLLWGNPQCGHCWLPETRKEL
+>DECOY_NM_018339|358950_3_554_684
+LEKRTEPLWCHGCQPNGWLLGRQLYAHYTNGYVEEYEQLIPEM
+>NM_001149|358979_2_2713_2882
+MEIFQAPEVLQMRTMFSMTLLMVILPFKWNWKPPQGCTTHHLPLSSKMIILVISLA
+>DECOY_NM_001149|358979_2_2713_2882
+ALSIVLIIMKSSLPLHHTTCGQPPKWNWKFPLIVMLLTMSFMTRMQLVEPAQFIEM
+>NM_012231|359057_3_3141_3226
+MDRCRADFQKIQIRKSQRLTSMEFVWER
+>DECOY_NM_012231|359057_3_3141_3226
+REWVFEMSTLRQSKRIQIKQFDARCRDM
+>NM_018240|359110_3_559_674
+MDQGRAGPGHGPGPQSLATVPGCGLRRRWAVQPGDHRC
+>DECOY_NM_018240|359110_3_559_674
+CRHDGPQVAWRRRLGCGPVTALSQPGPGHGPGARGQDM
+>NM_018240|359112_3_1678_2060
+MGLEGELLGGGDPGTLYSGEDQLRQWGAIHAHHQQCHGGRLSDSLQLHRLEQLRARHSHHPAGRARGVTCGHHSWGHHRREHPAHLLLHRLGILPLPAPQRQSQRRDPEEAGYQGGDSEPRATYDAF
+>DECOY_NM_018240|359112_3_1678_2060
+FADYTARPESDGGQYGAEEPDRRQSQRQPAPLPLIGLRHLLLHAPHERRHHGWSHHGCTVGRARGAPHHSHRARLQELRHLQLSDSLRGGHCQQHHAHIAGWQRLQDEGSYLTGPDGGGLLEGELGM
+>NM_005071|359188_2_18_139
+MATACSFGRAASGWAGWAGCSGCRKACSREHCARACACRP
+>DECOY_NM_005071|359188_2_18_139
+PRCACARACHERSCAKRCGSCGAWGAWGSAARGFSCATAM
+>NM_004241|359259_2_7280_7383
+MESEPVLLFSSLVMLLFCQREHFIRFRIFTAVFR
+>DECOY_NM_004241|359259_2_7280_7383
+RFVATFIRFRIFHERQCFLLMVLSSFLLVPESEM
+>NM_000843|359487_2_852_967
+MAKVGLRPSFRSPERLGGSVLPSLSRFPGNQSQESSAR
+>DECOY_NM_000843|359487_2_852_967
+RASSEQSQNGPFRSLSPLVSGGLREPSRFSPRLGVKAM
+>NM_001029991|359582_2_1086_1222
+MEQKLGTAFSWMPGIWSLREKRSHLWTLDLVLSLPRVPMNSLVPS
+>DECOY_NM_001029991|359582_2_1086_1222
+SPVLSNMPVRPLSLVLDLTWLHSRKERLSWIGPMWSFATGLKQEM
+>NM_001029991|359590_3_235_311
+MVPRPWSGSPGPGARRLSTRSDPGR
+>DECOY_NM_001029991|359590_3_235_311
+RGPDSRTSLRRAGPGPSGSWPRPVM
+>NM_152542|359643_2_637_737
+MASQFPKSAWKMWGAPHRLANGKRMKIGLTSLS
+>DECOY_NM_152542|359643_2_637_737
+SLSTLGIKMRKGNALRHPAGWMKWASKPFQSAM
+>NM_152542|359646_2_769_869
+MDTVDLQQLISVIPTWRNVLWICFLRRRTWKLC
+>DECOY_NM_152542|359646_2_769_869
+CLKWTRRRLFCIWLVNRWTPIVSILQQLDVTDM
+>NM_001745|359705_2_637_818
+MEIQQKNLTLFEYLDWWDVLFLLLESELLFANTCPYLLHFLLYNLRTWDYTNIFPRVKRR
+>DECOY_NM_001745|359705_2_637_818
+RRKVRPFINTYDWTRLNYLLFHLLYPCTNAFLLESELLLFLVDWWDLYEFLTLNKQQIEM
+>NM_004063|359811_2_2367_2503
+MGVGHPWKALFLYQLHSAVVWKEVVSGQQVTRLGYPLWAWQLVYC
+>DECOY_NM_004063|359811_2_2367_2503
+CYVLQWAWLPYGLRTVQQGSVVEKWVVASHLQYLFLAKWPHGVGM
+>NM_021794|359868_2_1607_1737
+MEPLASMKAVVSGRGADPDICSAKAFLDLMPWRLLVSAMMQLT
+>DECOY_NM_021794|359868_2_1607_1737
+TLQMMASVLLRWPMLDLFAKASCIDPDAGRGSVVAKMSALPEM
+>NM_021794|359871_2_1976_2229
+MAPPVEKAGYVLKKIASIAQSCSLTVCLRNAIPGVFATTEKTATACMGGHLHSVRKWGMEEALTVGLQDCSEGRFPRQFGLCPS
+>DECOY_NM_021794|359871_2_1976_2229
+SPCLGFQRPFRGESCDQLGVTLAEEMGWKRVSHLHGGMCATATKETTAFVGPIANRLCVTLSCSQAISAIKKLVYGAKEVPPAM
+>NM_021794|359873_2_2150_2229
+MEEALTVGLQDCSEGRFPRQFGLCPS
+>DECOY_NM_021794|359873_2_2150_2229
+SPCLGFQRPFRGESCDQLGVTLAEEM
+>NM_144647|359932_2_575_654
+MGNGVRNKYLGNFWITLIHPMTKMDW
+>DECOY_NM_144647|359932_2_575_654
+WDMKTMPHILTIWFNGLYKNRVGNGM
+>NM_018309|359952_2_1528_1625
+MDMAIGLLVPQAQGAVSILLMVNLLMAQVIEE
+>DECOY_NM_018309|359952_2_1528_1625
+EEIVQAMLLNVMLLISVAGQAQPVLLGIAMDM
+>NM_018309|359953_2_1534_1625
+MAIGLLVPQAQGAVSILLMVNLLMAQVIEE
+>DECOY_NM_018309|359953_2_1534_1625
+EEIVQAMLLNVMLLISVAGQAQPVLLGIAM
+>NM_022480|360035_2_432_658
+MAFGRAGMTLSTSRTTCTRRCWSCCWTLPTPHASPSTRRTLSHCWRQATCCSSTMCGMLPPSSWRRTFSPPTAWA
+>DECOY_NM_022480|360035_2_432_658
+AWATPPSFTRRWSSPPLMGCMTSSCCTAQRWCHSLTRRTSPSAHPTPLTWCCSWCRRTCTTRSTSLTMGARGFAM
+>NM_022480|360039_2_1041_1234
+MAWSPAPVPGHARRATRYSSWGARPSCVTRSTRWTTRPRRSSPRPTCPAPGRSSAPQRSAARSM
+>DECOY_NM_022480|360039_2_1041_1234
+MSRAASRQPASSRGPAPCTPRPSSRRPRTTWRTSRTVCSPRAGWSSYRTARRAHGPVPAPSWAM
+>NM_022480|360040_2_1344_1435
+MAQLSWRTASMWWGDTHPWQGSSRPRLLSP
+>DECOY_NM_022480|360040_2_1344_1435
+PSLLRPRSSGQWPHTDGWWMSATRWSLQAM
+>NM_178862|360169_3_389_531
+MVSTRKNSRWYCLPRVDDNRWPYSLDFKYIEHNCSHKRRMCVPCTNF
+>DECOY_NM_178862|360169_3_389_531
+FNTCPVCMRRKHSCNHEIYKFDLSYPWRNDDVRPLCYWRSNKRTSVM
+>NM_178862|360171_3_1136_1212
+MEWQVLFIVGYWVCKNTHSNYCISV
+>DECOY_NM_178862|360171_3_1136_1212
+VSICYNSHTNKCVWYGVIFLVQWEM
+>NM_015527|360263_2_61_143
+MAPPRPPRRRPGVPGPGPSWWWLRDLQ
+>DECOY_NM_015527|360263_2_61_143
+QLDRLWWWSPGPGPVGPRRRPPRPPAM
+>NM_015527|360264_2_604_701
+MELPQQHQHQQDRLLRTPQALAQAPLGLVRLR
+>DECOY_NM_015527|360264_2_604_701
+RLRVLGLPAQALAQPTRLLRDQQHQHQQPLEM
+>NM_004428|360355_2_400_527
+MARRSCLRSSSASHLSPWARSSKKDTATTTSPNPSTSMKTAA
+>DECOY_NM_004428|360355_2_400_527
+AATKMSTSPNPSTTTATDKKSSRAWPSLHSASSSRLCSRRAM
+>NM_152556|360373_2_452_525
+MVGREKHLRKMKKELYLPLRPLQP
+>DECOY_NM_152556|360373_2_452_525
+PQLPRLPLYLEKKMKRLHKERGVM
+>NM_002399|360466_3_1274_1380
+MALPASHTSVPFRRAEETVSARHRTYNSPSKQLVY
+>DECOY_NM_002399|360466_3_1274_1380
+YVLQKSPSNYTRHRASVTEEARRFPVSTHSAPLAM
+>NM_007204|360493_3_2641_2756
+MARILCCRFSFILLECSETSKLDGSLSHEYHLSTRNDA
+>DECOY_NM_007204|360493_3_2641_2756
+ADNRTSLHYEHSLSGDLKSTESCELLIFSFRCCLIRAM
+>NM_139017|360512_3_910_989
+MEEGKRSPSPRENTWLQHMVLSRKQH
+>DECOY_NM_139017|360512_3_910_989
+HQKRSLVMHQLWTNERPSPSRKGEEM
+>NM_153609|360526_2_193_341
+MARKRSRRGCSRPVRTPREKPGATSAWCPCLCCWPCSCWLRRGCYSGIS
+>DECOY_NM_153609|360526_2_193_341
+SIGSYCGRRLWCSCPWCCLCPCWASTAGPKERPTRVPRSCGRRSRKRAM
+>NM_153609|360530_2_1537_1745
+MGSRTAPTAWMRETAFAEPHSSAKRTAHASHCPRSVMGSLIVSTAATKSSARKGCHVGHSPSSVRTGAA
+>DECOY_NM_153609|360530_2_1537_1745
+AAGTRVSSPSHGVHCGKRASSKTAATSVILSGMVSRPCHSAHATRKASSHPEAFATERMWATPATRSGM
+>NM_153609|360532_2_1645_1745
+MGSLIVSTAATKSSARKGCHVGHSPSSVRTGAA
+>DECOY_NM_153609|360532_2_1645_1745
+AAGTRVSSPSHGVHCGKRASSKTAATSVILSGM
+>NM_153609|360533_2_1768_1949
+MGGPTAGTARMRSTVTVASRAPPAALLVELCPPRVSGHGRPASRFGVDTSVGGPSSLTAG
+>DECOY_NM_153609|360533_2_1768_1949
+GATLSSPGGVSTDVGFRSAPRGHGSVRPPCLEVLLAAPPARSAVTVTSRMRATGATPGGM
+>NM_014693|360574_2_2442_2560
+MGRSSNCQPWGSPTTSSSSWDLPRCGARSAHQRALTRGW
+>DECOY_NM_014693|360574_2_2442_2560
+WGRTLARQHASRAGCRPLDWSSSSTTPSGWPQCNSSRGM
+>NM_014693|360576_3_2428_2618
+MAEKAWGGAATASRGAHQPPALLRGICPGVVLGPHTRELSRGAGDRPPQPCPLPRAGHSLQLP
+>DECOY_NM_014693|360576_3_2428_2618
+PLQLSHGARPLPCPQPPRDGAGRSLERTHPGLVVGPCIGRLLAPPQHAGRSATAAGGWAKEAM
+>NM_021151|360600_2_1926_2020
+MVMDFSTISEMTGLLWPVQPGNPVPRLMRKS
+>DECOY_NM_021151|360600_2_1926_2020
+SKRMLRPVPNGPQVPWLLGTMESITSFDMVM
+>NM_021151|360601_2_1932_2020
+MDFSTISEMTGLLWPVQPGNPVPRLMRKS
+>DECOY_NM_021151|360601_2_1932_2020
+SKRMLRPVPNGPQVPWLLGTMESITSFDM
+>NM_012235|360618_2_754_923
+MDACCCPLGTSGRMTGNASMLILTSLGPSTSTSLKPCRLQPHSKTCYLVFLGSTAG
+>DECOY_NM_012235|360618_2_754_923
+GATSGLFVLYCTKSHPQLRCPKLSTSTSPGLSTLILMSANGTMRGSTGLPCCCADM
+>NM_152431|360755_2_341_432
+MASWEQAGSQPTINMGYLLVMLEVPSWKEV
+>DECOY_NM_152431|360755_2_341_432
+VEKWSPVELMVLLYGMNITPQSGAQEWSAM
+>NM_181708|360828_2_525_718
+METMAYGSSWPIFPPSATTSLWSPNPGSVTGQLQGVSESWDSMILTTSTPLPSEVTCPIRLCRS
+>DECOY_NM_181708|360828_2_525_718
+SRCLRIPCTVESPLPTSTTLIMSDWSESVGQLQGTVSGPNPSWLSTTASPPFIPWSSGYAMTEM
+>NM_181708|360829_2_534_718
+MAYGSSWPIFPPSATTSLWSPNPGSVTGQLQGVSESWDSMILTTSTPLPSEVTCPIRLCRS
+>DECOY_NM_181708|360829_2_534_718
+SRCLRIPCTVESPLPTSTTLIMSDWSESVGQLQGTVSGPNPSWLSTTASPPFIPWSSGYAM
+>NM_015130|360881_2_375_616
+MEAAAADWRACWWVPLMLCWTPAPGSLLTESCTRLQTPWSTGPSPVVVPGKKSLNTGNGLSKISCRHSPSLKMRMISPHL
+>DECOY_NM_015130|360881_2_375_616
+LHPSIMRMKLSPSHRCSIKSLGNGTNLSKKGPVVVPSPGTSWPTQLRTCSETLLSGPAPTWCLMLPVWWCARWDAAAAEM
+>NM_015130|360890_2_3030_3226
+MGTSQRSSNSCTKCTSCLSHPLIKMNQILLLKQLSTSLKILPQNVHMLLDWIAEANRVQMMALLR
+>DECOY_NM_015130|360890_2_3030_3226
+RLLAMMQVRNAEAIWDLLMHVNQPLIKLSTSLQKLLLIQNMKILPHSLCSTCKTCSNSSRQSTGM
+>NM_006885|360985_2_713_831
+MGAVSLSTSNGLNSTAPTSLTNPVAWSSPQARATGPWTA
+>DECOY_NM_006885|360985_2_713_831
+ATWPGTARAQPSSWAVPNTLSTPATSNLGNSTSLSVAGM
+>NM_006885|360993_2_2510_2649
+MGASFPITSTLAPSASLGLGSAPRGVAWSAPNATRSWAPPARWAAT
+>DECOY_NM_006885|360993_2_2510_2649
+TAAWRAPPAWSRTANPASWAVGRPASGLGLSASPALTSTIPFSAGM
+>NM_006885|360994_2_2948_3141
+MEGGSRSSATLPGRRRRRWLRRRRQPISVAPAGPPRRPNQKPNPPGGARCVIMRPTWPGTSAFT
+>DECOY_NM_006885|360994_2_2948_3141
+TFASTGPWTPRMIVCRAGGPPNPKQNPRRPPGAPAVSIPQRRRRLWRRRRRGPLTASSRSGGEM
+>NM_006885|360996_2_4601_4704
+MGIPIWKRQESSLKPQRIWERTSCHPQAQSKAEI
+>DECOY_NM_006885|360996_2_4601_4704
+IEAKSQAQPHCSTREWIRQPKLSSEQRKWIPIGM
+>NM_006885|361002_2_6584_6660
+MGRLTRERTWKSSSVTPAASCFPTS
+>DECOY_NM_006885|361002_2_6584_6660
+STPFCSAAPTVSSSKWTRERTLRGM
+>NM_173808|361037_2_650_741
+MDNIWTFMELQGTRLGNMNAVRKMMCHSQM
+>DECOY_NM_173808|361037_2_650_741
+MQSHCMMKRVANMNGLRTGQLEMFTWINDM
+>NM_021807|361089_3_2188_2318
+MVGKSNKVSFLQSFYIPDAFSCSRQPHEHGSPPSVRADHADSQ
+>DECOY_NM_021807|361089_3_2188_2318
+QSDAHDARVSPPSGHEHPQRSCSFADPIYFSQLFSVKNSKGVM
+>NM_002663|361130_3_1717_2018
+MAGRWGGRPWPTGPGPCPALHPALELHQDHQGQVQDSHIPLPASQVYQHGQSAPLHTSRRAVHHRTGLAISGPLVSRDSGELHPQCLPAHHQGEPALPLH
+>DECOY_NM_002663|361130_3_1717_2018
+HLPLAPEGQHHAPLCQPHLEGSDRSVLPGSIALGTRHHVARRSTHLPASQGHQYVQSAPLPIHSDQVQGQHDQHLELAPHLAPCPGPGTPWPRGGWRGAM
+>NM_002663|361131_3_2284_2390
+MAGLYFHLRASYTRRAGRAPRLGAHLHPQQGAHRR
+>DECOY_NM_002663|361131_3_2284_2390
+RRHAGQQPHLHAGLRPARGARRTYSARLHFYLGAM
+>NM_175038|361207_2_1476_1717
+MVAWKSTTLQGMMEVSIHALQKITEGKLIALEPLLSQILRELYWPQLMPISQLEKTPPCSVLRPLILPWISHLFGPSMAM
+>DECOY_NM_175038|361207_2_1476_1717
+MAMSPGFLHSIWPLILPRLVSCPPTKELQSIPMLQPWYLERLIQSLLPELAILKGETIKQLAHISVEMMGQLTTSKWAVM
+>NM_175038|361209_2_1512_1717
+MEVSIHALQKITEGKLIALEPLLSQILRELYWPQLMPISQLEKTPPCSVLRPLILPWISHLFGPSMAM
+>DECOY_NM_175038|361209_2_1512_1717
+MAMSPGFLHSIWPLILPRLVSCPPTKELQSIPMLQPWYLERLIQSLLPELAILKGETIKQLAHISVEM
+>NM_175920|361454_2_1120_1265
+MGFMASPTQMKVMRKSTLQQLSLNPWQQDLLFLVLMNQHLKPLLSSRS
+>DECOY_NM_175920|361454_2_1120_1265
+SRSSLLPKLHQNMLVLFLLDQQWPNLSLQQLTSKRMVKMQTPSAMFGM
+>NM_003887|361516_2_1037_1137
+MDSKPWKASNLPLKRCLRIFTRSNRPRMKKEGS
+>DECOY_NM_003887|361516_2_1037_1137
+SGEKKMRPRNSRTFIRLCRKLPLNSAKWPKSDM
+>NM_003887|361517_2_1250_1344
+MGPSGTAASTRRVTGSEKCGRKGNVQLKMVF
+>DECOY_NM_003887|361517_2_1250_1344
+FVMKLQVNGKRGCKESGTVRRTSAATGSPGM
+>NM_001714|361810_2_337_428
+MERLGRKRFCRSQHRRRLTIWGRSWRCRTS
+>DECOY_NM_001714|361810_2_337_428
+STRCRWSRGWITLRRRHQSRCFRKRGLREM
+>NM_007180|361869_2_1390_1676
+MGSRPLSRRQASSGISPMPGPPCRTWSSEAWPRHLYVGPRKWLSSWLRIGSEPILMSTRRSQPCMRSMTSATVDSPVGEENMKFRRDLAGRMAWS
+>DECOY_NM_007180|361869_2_1390_1676
+SWAMRGALDRRFKMNEEGVPSDVTASTMSRMCPQSRRTSMLIPESGIRLWSSLWKRPGVYLHRPWAESSWTRCPPGPMPSIGSSAQRRSLPRSGM
+>NM_031232|361882_2_263_375
+MGSSHLRNSRITLPMGFSAWGSCRNCSAALMGISPTI
+>DECOY_NM_031232|361882_2_263_375
+ITPSIGMLAASCNRCSGWASFGMPLTIRSNRLHSSGM
+>NM_003128|362025_2_499_587
+MDGCSSSCWRSSLERGCLNPPRDECASTA
+>DECOY_NM_003128|362025_2_499_587
+ATSACEDRPPNLCGRELSSRWCSSSCGDM
+>NM_003128|362027_2_1915_2000
+MANTYLVWKTCYRSTPWLKQTLASRQSG
+>DECOY_NM_003128|362027_2_1915_2000
+GSQRSALTQKLWPTSRYCTKWVLYTNAM
+>NM_003128|362037_2_3961_4052
+MGTSTQIASRRRWTLLMTDIGRIVRQPVNF
+>DECOY_NM_003128|362037_2_3961_4052
+FNVPQRVIRGIDTMLLTWRRRSAIQTSTGM
+>NM_003128|362046_2_5590_5828
+MASMKPGPTSWSSLTQEHRFLPLPMNCTSFTTMPRRSLGVYRTNTRNSLRSLGEIRTQWRPYRECTLHLSMTSRLWAHR
+>DECOY_NM_003128|362046_2_5590_5828
+RHAWLRSTMSLHLTCERYPRWQTRIEGLSRLSNRTNTRYVGLSRRPMTTFSTCNMPLPLFRHEQTLSSWSTPGPKMSAM
+>NM_003128|362067_3_6281_6435
+MVKTDSGGPSVLKRRQCGRGLAAWTGAVPIQPRDRPERGRGGEAHQAPRGI
+>DECOY_NM_003128|362067_3_6281_6435
+IGRPAQHAEGGRGREPRDRPQIPVAGTWAALGRGCQRRKLVSPGGSDTKVM
+>NM_021082|362100_2_1664_1746
+MVCLLIELCKEENTLQCTVEQKIRTFL
+>DECOY_NM_021082|362100_2_1664_1746
+LFTRIKQEVTCQLTNEEKCLEILLCVM
+>NM_015245|362126_2_815_966
+MATKPWSRSSSMLAWTATTRRRWAVLCMRLLCLARPMWCKSCWLQELTST
+>DECOY_NM_015245|362126_2_815_966
+TSTLEQLWCSKCWMPRALCLLRMCLVAWRRRTTATWALMSSSRSWPKTAM
+>NM_147180|362162_2_279_355
+MEKWTSRNSSWGPPSSASRATRSRS
+>DECOY_NM_147180|362162_2_279_355
+SRSRTARSASSPPGWSSNRSTWKEM
+>NM_014749|362217_2_1810_1937
+MELPCIRLSMLYLPTERCQRKLGSERQWMNGLKLFLQKFRGF
+>DECOY_NM_014749|362217_2_1810_1937
+FGRFKQLFLKLGNMWQRESGLKRQCRETPLYLMSLRICPLEM
+>NM_199242|362361_2_2109_2248
+MESWPWIISTAGSSRPSPPGCRRRTTRPWRGCSALCRWMSWCPWVN
+>DECOY_NM_199242|362361_2_2109_2248
+NVWPCWSMWRCLASCGRWPRTTRRRCGPPSPRSSGATSIIWPWSEM
+>NM_199242|362370_3_2539_2642
+MGGPGAAGRGRAGAGAAAEHAACPAAERAGRAGP
+>DECOY_NM_199242|362370_3_2539_2642
+PGARGAREAAPCAAHEAAAGAGARGRGAAGPGGM
+>NM_013373|362414_2_257_414
+MASSSSLSWPTSAWPLSWTLVFSPERMRMRTRRTTSGLRCTRTWMCEVSRSA
+>DECOY_NM_013373|362414_2_257_414
+ASRSVECMWTRTCRLGSTTRRTRMRMREPSFVLTWSLPWASTPWSLSSSSAM
+>NM_013373|362418_2_1319_1404
+MGQGACMQPTRHPHRSAPLMPSRALCAP
+>DECOY_NM_013373|362418_2_1319_1404
+PACLARSPMLPASRHPHRTPQMCAGQGM
+>NM_013373|362423_2_1916_2010
+MAPETTLWLGPASVAPATLPCRRHCPRCPAP
+>DECOY_NM_013373|362423_2_1916_2010
+PAPCRPCHRRCPLTAPAVSAPGLWLTTEPAM
+>NM_019063|362455_2_1265_1359
+MEGLYNPTSECGILLLYPHCRLLDLALLSVE
+>DECOY_NM_019063|362455_2_1265_1359
+EVSLLALDLLRCHPYLLLIGCESTPNYLGEM
+>NM_019063|362456_2_2381_2469
+MEENIADMEGALDIPATSHTLTGPQTTSI
+>DECOY_NM_019063|362456_2_2381_2469
+ISTTQPGTLTHSTAPIDLAGEMDAINEEM
+>NM_017435|362541_2_1848_1978
+MESHMYQLVLLVVKPPTGVEKILYFTTALVWELQLLNPEIPQA
+>DECOY_NM_017435|362541_2_1848_1978
+AQPIEPNLLQLEWVLATTFYLIKEVGTPPKVVLLVLQYMHSEM
+>NM_018354|362677_2_784_1016
+MVPKPQGDQLPWAQWPQPGRVWRMPASPQRSMRPISRTLGTRDWAAHPVPLGVSPHCPDPSGMICPFIQRRGQPWSP
+>DECOY_NM_018354|362677_2_784_1016
+PSWPQGRRQIFPCIMGSPDPCHPSVGLPVPHAAWDRTGLTRSIPRMSRQPSAPMRWVRGPQPWQAWPLQDGQPKPVM
+>NM_000888|362698_2_1775_1968
+MECSAAGAGTVFVASVFAQTLEPQDQPVNDVLPVVTPVTLNGAALSATCQQLAKPEKNVWTSAN
+>DECOY_NM_000888|362698_2_1775_1968
+NASTWVNKEPKALQQCTASLAAGNLTVPTVVPLVDNVPQDQPELTQAFVSAVFVTGAGAASCEM
+>NM_015261|362763_2_811_902
+MDLWRVSGPSSLRTMFPIVHWWHCSIILFK
+>DECOY_NM_015261|362763_2_811_902
+KFLIISCHWWHVIPFMTRLSSPGSVRWLDM
+>NM_015261|362766_2_1441_1523
+MDCICCALPFMEKEIRSSVVFSIKCSV
+>DECOY_NM_015261|362766_2_1441_1523
+VSCKISFVVSSRIEKEMFPLACCICDM
+>NM_015261|362774_3_3641_3777
+MEGLPVLPICQHSDRFTPRHCQLRGVLPGSPVTEEEPCHVLPTLH
+>DECOY_NM_015261|362774_3_3641_3777
+HLTPLVHCPEEETVPSGPLVGRLQCHRPTFRDSHQCIPLVPLGEM
+>NM_001135086|362778_3_200_414
+MAGQPAPEETPPMWREPAQPPLGALGCALLPKALLSLRVDGPAGRADFQANSLEPAGLQQSLQSAGHHCEP
+>DECOY_NM_001135086|362778_3_200_414
+PECHHGASQLSQQLGAPELSNAQFDARGAPGDVRLSLLAKPLLACGLAGLPPQAPERWMPPTEEPAPQGAM
+>NM_052917|362808_2_1942_2039
+MVWEEIRYFLTLLTKKSEPMTCAWMFLDSMDL
+>DECOY_NM_052917|362808_2_1942_2039
+LDMSDLFMWACTMPESKKTLLTLFYRIEEWVM
+>NM_020546|362927_3_405_586
+MDMPCCHGIPVHVFWRHRLSLGPGIVLPLHHLRGVHHAALQHARRHHCQRPHLLLPHHRA
+>DECOY_NM_020546|362927_3_405_586
+ARHHPLLLHPRQCHHRRAHQLAAHHVGRLHHLPLVIGPGLSLRHRWFVHVPIGHCCPMDM
+>NM_144633|362960_2_2290_2447
+MATSTSDSHPLWKMRKRRRRGRKRRQSPSLPSAQGDLLRATRRLEAIKPTWA
+>DECOY_NM_144633|362960_2_2290_2447
+AWTPKIAELRRTARLLDGQASPLSPSQRRKRGRRRRKRMKWLPHSDSTSTAM
+>NM_144633|362964_2_2650_2795
+METAVKKVRLLILALNESDQSPEFLLLLEIQRLELLFSSSKQRRPSSR
+>DECOY_NM_144633|362964_2_2650_2795
+RSSPRRQKSSSFLLELRQIELLLLFEPSQDSENLALILLRVKKVATEM
+>NM_007311|362975_3_369_457
+MAGVGDGGCQSECPAHQGLQLHQQVPSRL
+>DECOY_NM_007311|362975_3_369_457
+LRSPVQQHLQLGQHAPCESQCGGDGVGAM
+>NM_031937|362990_2_46_260
+MGRARPRPGKACREPGRAWPRAPTPQPPTNSALSGLTRRPTASPSAASTSSASSWARRAPRARWRKYPWRC
+>DECOY_NM_031937|362990_2_46_260
+CRWPYKRWRARPARRAWSSASSTSAASPSATPRRTLGSLASNTPPQPTPARPWARGPERCAKGPRPRARGM
+>NM_031937|362996_3_842_915
+MVHVRLLPNLALELCAACLGHVLL
+>DECOY_NM_031937|362996_3_842_915
+LLVHGLCAACLELALNPLLRVHVM
+>NM_014649|363017_2_519_595
+MAWKTIPETGRRTWKQVWRTCRIWA
+>DECOY_NM_014649|363017_2_519_595
+AWIRCTRWVQKWTRRGTEPITKWAM
+>NM_014649|363019_2_1560_1642
+MDSSPCRHLTRRPSASAISTELSCMDE
+>DECOY_NM_014649|363019_2_1560_1642
+EDMCSLETSIASASPRRTLHRCPSSDM
+>NM_014649|363023_2_2598_2704
+MGSTMEMTAMATEDPQSATAGTPVMAGGATAPTRG
+>DECOY_NM_014649|363023_2_2598_2704
+GRTPATAGGAMVPTGATASQPDETAMATMEMTSGM
+>NM_014649|363024_2_2610_2704
+MEMTAMATEDPQSATAGTPVMAGGATAPTRG
+>DECOY_NM_014649|363024_2_2610_2704
+GRTPATAGGAMVPTGATASQPDETAMATMEM
+>NM_014649|363026_2_2625_2704
+MATEDPQSATAGTPVMAGGATAPTRG
+>DECOY_NM_014649|363026_2_2625_2704
+GRTPATAGGAMVPTGATASQPDETAM
+>NM_004232|363047_2_455_534
+MVKIWPAAISTVKMKKAEKTDQKARA
+>DECOY_NM_004232|363047_2_455_534
+ARAKQDTKEAKKMKVTSIAAPWIKVM
+>NM_004232|363048_2_908_996
+METCIFTWMNMCLSLLDLCLRTTFSILCL
+>DECOY_NM_004232|363048_2_908_996
+LCLISFTTRLCLDLLSLCMNMWTFICTEM
+>NM_004232|363054_3_1464_1552
+MVLGTNHTLGGRREASKRARWFFSCSGQF
+>DECOY_NM_004232|363054_3_1464_1552
+FQGSCSFFWRARKSAERRGGLTHNTGLVM
+>NM_170692|363087_2_200_384
+MVKDHPPTVCLVVSHPTPRQQRGSGSIASSQTASWYCSTRRRRYLWKGDRSSRQIPPKGDA
+>DECOY_NM_170692|363087_2_200_384
+ADGKPPIQRSSRDGKWLYRRRRTSCYWSATQSSAISGSGRQQRPTPHSVVLCVTPPHDKVM
+>NM_012197|363333_2_801_901
+MELLRVTVLLSLKVITMQSSSEYTSSGVKYKKL
+>DECOY_NM_012197|363333_2_801_901
+LKKYKVGSSTYESSSQMTIVKLSLLVTVRLLEM
+>NM_012197|363335_2_1038_1228
+MVKVILVQFPKIRTDSALNYAKELIRRLSSMCSKQLIKNLPLKGVLVFSLVQEKMYEIVTCTY
+>DECOY_NM_012197|363335_2_1038_1228
+YTCTVIEYMKEQVLSFVLVGKLPLNKILQKSCMSSLRRILEKAYNLASDTRIKPFQVLIVKVM
+>NM_012197|363340_2_2010_2281
+MDKIPYIKYARLILCMMKRLVIARASHFLLLCSFSICLKNRHSVFWSRSCLTMGSGNFSSKTSKICIANFTSWSASCRNTFLTCTTTSWI
+>DECOY_NM_012197|363340_2_2010_2281
+IWSTTTCTLFTNRCSASWSTFNAICIKSTKSSFNGSGMTLCSRSWFVSHRNKLCISFSCLLLFHSARAIVLRKMMCLILRAYKIYPIKDM
+>NM_012197|363343_2_2166_2281
+MGSGNFSSKTSKICIANFTSWSASCRNTFLTCTTTSWI
+>DECOY_NM_012197|363343_2_2166_2281
+IWSTTTCTLFTNRCSASWSTFNAICIKSTKSSFNGSGM
+>NM_012197|363351_3_1753_1838
+MGRTVVKMASQLECETEAVVILSKKRCP
+>DECOY_NM_012197|363351_3_1753_1838
+PCRKKSLIVVAETECELQSAMKVVTRGM
+>NM_007269|363441_3_1556_1632
+MAILFPVSSSMEWFRSCKCSPETQS
+>DECOY_NM_007269|363441_3_1556_1632
+SQTEPSCKCSRFWEMSSSVPFLIAM
+>NM_004386|363528_2_700_911
+MALTTVMLAGSLTALFGILSPSPVLVAMATVAAFQGFGAMGGATHRNSTMCIALPGSWGARSSTWARPAA
+>DECOY_NM_004386|363528_2_700_911
+AAPRAWTSSRAGWSGPLAICMTSNRHTAGGMAGFGQFAAVTAMAVLVPSPSLIGFLATLSGALMVTTLAM
+>NM_004386|363530_2_781_911
+MATVAAFQGFGAMGGATHRNSTMCIALPGSWGARSSTWARPAA
+>DECOY_NM_004386|363530_2_781_911
+AAPRAWTSSRAGWSGPLAICMTSNRHTAGGMAGFGQFAAVTAM
+>NM_004386|363531_2_817_911
+MGGATHRNSTMCIALPGSWGARSSTWARPAA
+>DECOY_NM_004386|363531_2_817_911
+AAPRAWTSSRAGWSGPLAICMTSNRHTAGGM
+>NM_004386|363545_2_3268_3467
+MEAPVLMRSMALSAFASPAMGAAFVRKTPRAVTAAGISSRATVTAILPTGGHGKMPRRTAAAAPAT
+>DECOY_NM_004386|363545_2_3268_3467
+TAPAAAATRRPMKGHGGTPLIATVTARSSIGAATVARPTKRVFAAGMAPSAFASLAMSRMLVPAEM
+>NM_004386|363548_2_3325_3467
+MGAAFVRKTPRAVTAAGISSRATVTAILPTGGHGKMPRRTAAAAPAT
+>DECOY_NM_004386|363548_2_3325_3467
+TAPAAAATRRPMKGHGGTPLIATVTARSSIGAATVARPTKRVFAAGM
+>NM_004386|363557_3_3422_3504
+MGRCREGLPPPLRPPDQRPLTGGTQLH
+>DECOY_NM_004386|363557_3_3422_3504
+HLQTGGTLPRQDPPRLPPPLGERCRGM
+>NM_020346|363618_2_1875_2020
+MVELYFMQYLPQERNNPGQTRRKQVKKNVDLFMKMNSMKKQGTLLKII
+>DECOY_NM_020346|363618_2_1875_2020
+IIKLLTGQKKMSNMKMFLDVNKKVQKRRTQGPNNREQPLYQMFYLEVM
+>NM_014433|363792_3_622_803
+MEAAGGGGGGGVPGVHPGHTGPLPAGGCHRGPGQQCGACPEAEAPQRQPEHPQQGRPCAP
+>DECOY_NM_014433|363792_3_622_803
+PACPRGQQPHEPQRQPAEAEPCAGCQQGPGRHCGGAPLPGTHGPHVGPVGGGGGGGAAEM
+>NM_001145129|363797_2_676_779
+MEKPLACAQPSLCMKESTLVRSLILVMSVRKPSV
+>DECOY_NM_001145129|363797_2_676_779
+VSPKRVSMVLILSRVLTSEKMCLSPQACALPKEM
+>NM_033177|363820_2_706_785
+MGSSSHSPRSQSPPWMGLQPELSMRP
+>DECOY_NM_033177|363820_2_706_785
+PRMSLEPQLGMWPPSQSRPSHSSSGM
+>NM_033177|363822_2_1243_1436
+MERQGARKTGLLLPPSSTARTVTPTSKIPTTAHPLLTCCHCRRVLSLPTFHLGCPSPARASNCC
+>DECOY_NM_033177|363822_2_1243_1436
+CCNSARAPSPCGLHFTPLSLVRRCHCCTLLPHATTPIKSTPTVTRATSSPPLLLGTKRAGQREM
+>NM_001144952|363904_2_2158_2246
+MEFSRVTSSGTAWPGCPWGTSLRTSRMLM
+>DECOY_NM_001144952|363904_2_2158_2246
+MLMRSTRLSTGWPCGPWATGSSTVRSFEM
+>NM_001144952|363911_2_5122_5204
+MGLGAPPPKARPSKQPPALPARSSSVS
+>DECOY_NM_001144952|363911_2_5122_5204
+SVSSSRAPLAPPQKSPRAKPPPAGLGM
+>NM_001144952|363914_2_5716_5924
+MVSAPPAAPPSLCQPRKPTPSMRSGGSWWSLPWSASSSSCFWSSCSSSGARARSTPRRQTRGTVPSLEP
+>DECOY_NM_001144952|363914_2_5716_5924
+PELSPVTGRTQRRPTSRARAGSSSCSSWFCSSSSASWPLSWWSGGSRMSPTPKRPQCLSPPAAPPASVM
+>NM_001144952|363924_3_5603_5688
+MGHPHQRHPQGGELLHVQHGHPEAGREL
+>DECOY_NM_001144952|363924_3_5603_5688
+LERGAEPHGHQVHLLEGGQPHRQHPHGM
+>NM_024721|364092_2_427_539
+MGRAHQSYVERHNLIMRCQRKLQGWSLTGKTAPQMTT
+>DECOY_NM_024721|364092_2_427_539
+TTMQPATKGTLSWGQLKRQCRMILNHREVYSQHARGM
+>NM_024721|364096_2_1117_1220
+MAQPKTPVCPKMSLTMWTCPNSMVVLAMGKGNLF
+>DECOY_NM_024721|364096_2_1117_1220
+FLNGKGMALVVMSNPCTWMTLSMKPCVPTKPQAM
+>NM_024721|364098_2_2041_2117
+MASVARTLQTQVPVKTVPQLLIQVK
+>DECOY_NM_024721|364098_2_2041_2117
+KVQILLQPVTKVPVQTQLTRAVSAM
+>NM_024721|364099_2_2158_2282
+MALPRVLLAHQGLEETAHRAVASSVQSATLCWGLRGLLVVI
+>DECOY_NM_024721|364099_2_2158_2282
+IVVLLGRLGWCLTASQVSSAVARHATEELGQHALLVRPLAM
+>NM_024721|364101_2_2581_2744
+MAMVSRCLATLPQPPTPASVAAEHPLRPNPNRNPPGGVKFVIMKPMSPGTSEFI
+>DECOY_NM_024721|364101_2_2581_2744
+IFESTGPSMPKMIVFKVGGPPNRNPNPRLPHEAAVSAPTPPQPLTALCRSVMAM
+>NM_024721|364102_2_2587_2744
+MVSRCLATLPQPPTPASVAAEHPLRPNPNRNPPGGVKFVIMKPMSPGTSEFI
+>DECOY_NM_024721|364102_2_2587_2744
+IFESTGPSMPKMIVFKVGGPPNRNPNPRLPHEAAVSAPTPPQPLTALCRSVM
+>NM_024721|364131_2_8887_8963
+MATTTKAFTSQMTRMTTPTAAKRPA
+>DECOY_NM_024721|364131_2_8887_8963
+APRKAATPTTMRTMQSTFAKTTTAM
+>NM_024721|364141_3_3110_3201
+MEGSNWRYLPVQALQLQHSAQSQLPATLQD
+>DECOY_NM_024721|364141_3_3110_3201
+DQLTAPLQSQASHQLQLAQVPLYRWNSGEM
+>NM_138690|364194_2_2317_2477
+MGSDCPRTRRSPPTCPSSSAATSPPASSTCSTTSGTRWCLAASGSLRLQRPCR
+>DECOY_NM_138690|364194_2_2317_2477
+RCPRQLRLSGSAALCWRTGSTTSCTSSAPPSTAASSSPCTPPSRRTRPCDSGM
+>NM_182766|364392_2_1583_1668
+MGLSNGRSSSMKKTFYPLIREIYLIQFF
+>DECOY_NM_182766|364392_2_1583_1668
+FFQILYIERILPYFTKKMSSSRGNSLGM
+>NM_053279|364561_3_682_890
+MAGQAGGAYLALPEAGCGATGELGGGGAWGAGALAPPERGWAAPPFCQECQPRCQTPVHWQAGRLSEHR
+>DECOY_NM_053279|364561_3_682_890
+RHESLRGAQWHVPTQCRPQCEQCFPPAAWGREPPALAGAGWAGGGGLEGTAGCGAEPLALYAGGAQGAM
+>NM_032438|364615_2_1833_1939
+MVDAQPRDVKGLAISRERDIWALTVLPTVPIQKSI
+>DECOY_NM_032438|364615_2_1833_1939
+ISKQIPVTPLVTLAWIDRERSIALGKVDRPQADVM
+>NM_144778|364735_2_1678_1790
+MVPARSLTPASCTTSRLSPAHSCSNTPRSFQQGQFCA
+>DECOY_NM_144778|364735_2_1678_1790
+ACFQGQQFSRPTNSCSHAPSLRSTTCSAPTLSRAPVM
+>NM_021044|364754_2_782_1314
+MGCWRASQWKPASTGSTTSPATTSTCRSKLITHWRSGRAAAFREMQLCACGAASGKGCGNCTAETGFWRPMRQAGWCPRRCCSSWTGTCSAGLHLWLWRPSGLHANCCSRPGTWCLPLEGRRPRQATLHRCSRAGYALGTRCWRPAGMRFGQRAWPVWRGRKPWACSRRSPRTGRCW
+>DECOY_NM_021044|364754_2_782_1314
+WCRGTRPSRRSCAWPKRGRWVPWARQGFRMGAPRWCRTGLAYGARSCRHLTAQRPRRGELPLCWTGPRSCCNAHLGSPRWLWLHLGASCTGTWSSCCRRPCWGAQRMPRWFGTEATCNGCGKGSAAGCACLQMERFAAARGSRWHTILKSRCTSTTAPSTTSGTSAPKWQSARWCGM
+>NM_207304|364806_2_1678_1787
+MVPARSLTPASCTTSRLSPAHSCSNTPRSFQQIILK
+>DECOY_NM_207304|364806_2_1678_1787
+KLIIQQFSRPTNSCSHAPSLRSTTCSAPTLSRAPVM
+>NM_017679|364930_2_404_705
+MECRSGASLSVAKHKSSSLFDMAQFERLESCLLHSLVLKNVITLLKKDPSLVFVRALDLLAQAHRTVVWICIHFVLGRWSSPFNLRHLFMISIAINGSLS
+>DECOY_NM_017679|364930_2_404_705
+SLSGNIAISIMFLHRLNFPSSWRGLVFHICIWVVTRHAQALLDLARVFVLSPDKKLLTIVNKLVLSHLLCSELREFQAMDFLSSSKHKAVSLSAGSRCEM
+>NM_017679|364931_2_467_705
+MAQFERLESCLLHSLVLKNVITLLKKDPSLVFVRALDLLAQAHRTVVWICIHFVLGRWSSPFNLRHLFMISIAINGSLS
+>DECOY_NM_017679|364931_2_467_705
+SLSGNIAISIMFLHRLNFPSSWRGLVFHICIWVVTRHAQALLDLARVFVLSPDKKLLTIVNKLVLSHLLCSELREFQAM
+>NM_017679|364934_2_1130_1206
+MALWPTSLPMRSQCAAWLLIQVECF
+>DECOY_NM_017679|364934_2_1130_1206
+FCEVQILLWAACQSRMPLSTPWLAM
+>NM_031476|365067_2_867_973
+MAGPALSAHPAMEAAAGTTCVTEKKPTLQNLKRTR
+>DECOY_NM_031476|365067_2_867_973
+RTRKLNQLTPKKETVCTTGAAAEMAPHASLAPGAM
+>NM_031476|365068_2_900_973
+MEAAAGTTCVTEKKPTLQNLKRTR
+>DECOY_NM_031476|365068_2_900_973
+RTRKLNQLTPKKETVCTTGAAAEM
+>NM_022720|365346_2_516_610
+MDSPNSLLRTPSTSTELLFSPKDPSLRAASS
+>DECOY_NM_022720|365346_2_516_610
+SSAARLSPDKPSFLLETSTSPTRLLSNPSDM
+>NM_022720|365351_2_2244_2374
+MGWVTRLSSLKWFLGKTRRVNTSWRVASTQCAGGVRTRELESS
+>DECOY_NM_022720|365351_2_2244_2374
+SSELERTRVGGACQTSAVRWSTNVRRTKGLFWKLSSLRTVWGM
+>NM_052892|365475_2_3634_3722
+MATTPTRAATMPKLTSYQWWLQMSCPRGS
+>DECOY_NM_052892|365475_2_3634_3722
+SGRPCSMQLWWQYSTLKPMTAARTPTTAM
+>NM_052892|365481_2_4591_4694
+MDTSGIRSSAAALAAASPASRGCPAASPCCCAPC
+>DECOY_NM_052892|365481_2_4591_4694
+CPACCCPSAAPCGRSAPSAAALAAASSRIGSTDM
+>NM_052892|365486_2_6199_6392
+MGKAGMPPPSVNGSTRARTNVKGIPSGANSLCTGEEATWSPWGLIAKARQEFSAISLTTPGWTP
+>DECOY_NM_052892|365486_2_6199_6392
+PTWGPTTLSIASFEQRAKAILGWPSWTAEEGTCLSNAGSPIGKVNTRARTSGNVSPPPMGAKGM
+>NM_052892|365489_3_251_375
+MVDWTHVELGTEWNHGGAGDLVGHLQCDLQQLAWRAGRRCP
+>DECOY_NM_052892|365489_3_251_375
+PCRRGARWALQQLDCQLHGVLDGAGGHNWETGLEVHTWDVM
+>NM_033495|365574_2_1206_1342
+MASPSLEIFSMWLADRVIMIQKEKRQLIQSSDLILDTINGCKLHL
+>DECOY_NM_033495|365574_2_1206_1342
+LHLKCGNITDLILDSSQILQRKEKQIMIVRDALWMSFIELSPSAM
+>NM_001483|365586_2_651_838
+MVTKPSEDSSLRLGSCTWCTIFGLTGIFRPGKTYGMQHGTNMAGRNWYITQFHLFRKWNPES
+>DECOY_NM_001483|365586_2_651_838
+SEPNWKRFLHFQTIYWNRGAMNTGHQMGYTKGPRFIGTLGFITCWTCSGLRLSSDESPKTVM
+>NM_021197|365598_2_559_671
+MAGSWMALRRCYKQRRAAPRRMGPNPCSVPRAMSATS
+>DECOY_NM_021197|365598_2_559_671
+STASMARPVSCPNPGMRRPAARRQKYCRRLAMWSGAM
+>NM_080741|365613_2_1511_1674
+MAPGSLAPGLGSVGMWGPGPWHSPCPLLPRPRAPRGCCTPTQWGAGLGYTWVSA
+>DECOY_NM_080741|365613_2_1511_1674
+ASVWTYGLGAGWQTPTCCGRPARPRPLLPCPSHWPGPGWMGVSGLGPALSGPAM
+>NM_014744|365732_2_3832_3950
+MGAVPGAPSPARPSLFAPSEAPLGKARPQSAPHWCSQIH
+>DECOY_NM_014744|365732_2_3832_3950
+HIQSCWHPASQPRAKGLPAESPAFLSPRAPSPAGPVAGM
+>NM_004284|365898_2_256_338
+MAVSWEMRWAWGRPARLLLSSFIWQED
+>DECOY_NM_004284|365898_2_256_338
+DEQWIFSSLLLRAPRGWAWRMEWSVAM
+>NM_004284|365901_2_1702_1850
+MASGSLMPCLQQKEGAEIKRKEKIICTYLKVKIILKSPVRKTENHLNNW
+>DECOY_NM_004284|365901_2_1702_1850
+WNNLHNETKRVPSKLIIKVKLYTCIIKEKRKIEAGEKQQLCPMLSGSAM
+>NM_004284|365903_2_2158_2282
+MGKRALLSWITKTQMLLPSSTLVVMSPTLRLGPRMLSLCTA
+>DECOY_NM_004284|365903_2_2158_2282
+ATCLSLMRPGLRLTPSMVVLTSSPLLMQTKTIWSLLARKGM
+>NM_005153|365955_2_470_630
+MAPSTASTQALPSLWMEVLMWRRKFWKMMVSQVVLDKGSVKRRKSGHLDITAI
+>DECOY_NM_005153|365955_2_470_630
+IATIDLHGSKRRKVSGKDLVVQSVMMKWFKRRWMLVEMWLSPLAQTSATSPAM
+>NM_005153|365959_2_554_630
+MVSQVVLDKGSVKRRKSGHLDITAI
+>DECOY_NM_005153|365959_2_554_630
+IATIDLHGSKRRKVSGKDLVVQSVM
+>NM_005153|365960_2_635_1071
+MVAMIVSPQKPWSMAMPIQQSRTVSVQRMQNLWVTCPRQLRPGLVTAPRTPQTLSVTLCLTVLSPEHSAVTPGLQGSQRGAPGLILVSPASLQRLAETPCQGQLGLSPALVPILLKTLELLMDKYLNPRVRAQLPTGWSCTPRKA
+>DECOY_NM_005153|365960_2_635_1071
+AKRPTCSWGTPLQARVRPNLYKDMLLELTKLLIPVLAPSLGLQGQCPTEALRQLSAPSVLILGPAGRQSGQLGPTVASHEPSLVTLCLTVSLTQPTRPATVLGPRLQRPCTVWLNQMRQVSVTRSQQIPMAMSWPKQPSVIMAVM
+>NM_152688|365984_2_1055_1173
+MVMMMATGVNMMTRPMRLMITAMRPKHKVCLNTMTTVME
+>DECOY_NM_152688|365984_2_1055_1173
+EMVTTMTNLCVKHKPRMATIMLRMPRTMMNVGTAMMMVM
+>NM_152688|365987_2_1067_1173
+MATGVNMMTRPMRLMITAMRPKHKVCLNTMTTVME
+>DECOY_NM_152688|365987_2_1067_1173
+EMVTTMTNLCVKHKPRMATIMLRMPRTMMNVGTAM
+>NM_005186|366029_2_570_667
+MAMPASSISSCGNLGSGWTWSWMTCCPSRTGS
+>DECOY_NM_005186|366029_2_570_667
+SGTRSPCCTMWSWTWGSGLNGCSSISSAPMAM
+>NM_005186|366039_2_1941_2047
+MAMGSWAWWSSTSCGTASGITCPSSGSLTWTSRAA
+>DECOY_NM_005186|366039_2_1941_2047
+AARSTWTLSGSSPCTIGSATGCSTSSWWAWSGMAM
+>NM_005186|366040_2_1947_2047
+MGSWAWWSSTSCGTASGITCPSSGSLTWTSRAA
+>DECOY_NM_005186|366040_2_1947_2047
+AARSTWTLSGSSPCTIGSATGCSTSSWWAWSGM
+>NM_006000|366206_2_140_516
+MGFSLMGRCPVTRPLVEGTTPSPPSSVKLVLENTYPGQFLWIWSLRSLMRSEMAHTDSSSTQSSSSLGKRMLPTTMPVVTIPLARRSLTQCWIGSASCLTSAQDFRASWCSTALVGALALASPHS
+>DECOY_NM_006000|366206_2_140_516
+SHPSALALAGVLATSCWSARFDQASTLCSASGIWCQTLSRRALPITVVPMTTPLMRKGLSSSSQTSSSDTHAMESRMLSRLSWIWLFQGPYTNELVLKVSSPPSPTTGEVLPRTVPCRGMLSFGM
+>NM_006000|366209_2_296_516
+MAHTDSSSTQSSSSLGKRMLPTTMPVVTIPLARRSLTQCWIGSASCLTSAQDFRASWCSTALVGALALASPHS
+>DECOY_NM_006000|366209_2_296_516
+SHPSALALAGVLATSCWSARFDQASTLCSASGIWCQTLSRRALPITVVPMTTPLMRKGLSSSSQTSSSDTHAM
+>NM_006000|366212_2_539_624
+MARNPSWNSPSTQPPRCLQPWSSPTTLS
+>DECOY_NM_006000|366212_2_539_624
+SLTTPSSWPQLCRPPQTSPSNWSPNRAM
+>NM_173074|366349_3_289_368
+MVVHLFWFCNCCQSSTIFSSETKYIL
+>DECOY_NM_173074|366349_3_289_368
+LIYKTESSFITSSQCCNCFWFLHVVM
+>NM_006182|366408_2_713_840
+MASSLPPCTRSITVGMALAGSLGGTVMGNRCWMEIVTPMTFS
+>DECOY_NM_006182|366408_2_713_840
+SFTMPTVIEMWCRNGMVTGGLSGALAMGVTISRTCPPLSSAM
+>NM_006182|366409_2_758_840
+MALAGSLGGTVMGNRCWMEIVTPMTFS
+>DECOY_NM_006182|366409_2_758_840
+SFTMPTVIEMWCRNGMVTGGLSGALAM
+>NM_006182|366417_2_2360_2445
+MEISISFFPATSPLILPPAMYALSVTPI
+>DECOY_NM_006182|366417_2_2360_2445
+IPTVSLAYMAPPLILPSTAPFFSISIEM
+>NM_004973|366458_2_2516_2589
+MGSSTAWPPGTASAASSRRWARPS
+>DECOY_NM_004973|366458_2_2516_2589
+SPRAWRRSSAASATGPPWATSSGM
+>NM_004973|366459_2_2906_2979
+MDGTSPSSPITQGPSCVTSVLCLE
+>DECOY_NM_004973|366459_2_2906_2979
+ELCLVSTVCSPGQTIPSSPSTGDM
+>NM_004973|366461_2_3572_3777
+MAATMAAARWRTGRKSLESGCSWRRQRGGVRSASTCATCPWWYKRTKTSCSVWSVLCATWRNRSPAEG
+>DECOY_NM_004973|366461_2_3572_3777
+GEAPSRNRWTACLVSWVSCSTKTRKYWWPCTACTSASRVGGRQRRWSCGSELSKRGTRWRAAAMTAAM
+>NM_004973|366462_2_3584_3777
+MAAARWRTGRKSLESGCSWRRQRGGVRSASTCATCPWWYKRTKTSCSVWSVLCATWRNRSPAEG
+>DECOY_NM_004973|366462_2_3584_3777
+GEAPSRNRWTACLVSWVSCSTKTRKYWWPCTACTSASRVGGRQRRWSCGSELSKRGTRWRAAAM
+>NM_004973|366466_3_2271_2668
+MEQTSRHAAHPQNCPGPAGQAAGGLLPVPTLLRLPVPRGAPAAGEGGADGEGDPGEAQGAAGRPHRERPPQVPPSAPLRAQEWAHPRRGPQERLPQQAQGGGPGPVEDWPAATLRSGKRSGQGRGGGQRRPQ
+>DECOY_NM_004973|366466_3_2271_2668
+QPRRQGGGRGQGSRKGSRLTAAPWDEVPGPGGGQAQQPLREQPGRRPHAWEQARLPASPPVQPPRERHPRGAAGQAEGPDGEGDAGGEGAAPAGRPVPLRLLTPVPLLGGAAQGAPGPCNQPHAAHRSTQEM
+>NM_002643|366476_3_661_794
+MAWSTSYSTGLGKTMAGMAHLLYAWSDLWLRGWPCYFTTLDILE
+>DECOY_NM_002643|366476_3_661_794
+ELIDLTTFYCPWGRLWLDSWAYLLHAMGAMTKGLGTSYSTSWAM
+>NM_002643|366477_3_703_794
+MAGMAHLLYAWSDLWLRGWPCYFTTLDILE
+>DECOY_NM_002643|366477_3_703_794
+ELIDLTTFYCPWGRLWLDSWAYLLHAMGAM
+>NM_002643|366478_3_712_794
+MAHLLYAWSDLWLRGWPCYFTTLDILE
+>DECOY_NM_002643|366478_3_712_794
+ELIDLTTFYCPWGRLWLDSWAYLLHAM
+>NM_003178|366578_2_672_766
+MAQRLSGPSGQTSCSSGSMHLAWRRMRTSAT
+>DECOY_NM_003178|366578_2_672_766
+TASTRMRRWALHMSGSSCSTQGSPGSLRQAM
+>NM_198868|366633_3_1479_1567
+MAHPLLRVRAWRVHVPHSQDAGTGPEGYP
+>DECOY_NM_198868|366633_3_1479_1567
+PYGEPGTGADQSHPVHVRWARVRLLPHAM
+>NM_007085|366642_2_380_486
+MARPTSTTVNCIEMPASLDPKSRLITMDTAKRRNP
+>DECOY_NM_007085|366642_2_380_486
+PNRRKATDMTILRSKPDLSAPMEICNVTTSTPRAM
+>NM_018051|366834_2_1119_1243
+MEKIKIQDGSMATRKALLCGGSWTRGREARKPWKLKRKKLI
+>DECOY_NM_018051|366834_2_1119_1243
+ILKKRKLKWPKRAERGRTWSGGCLLAKRTAMSGDQIKIKEM
+>NM_018051|366835_2_1149_1243
+MATRKALLCGGSWTRGREARKPWKLKRKKLI
+>DECOY_NM_018051|366835_2_1149_1243
+ILKKRKLKWPKRAERGRTWSGGCLLAKRTAM
+>NM_144712|366898_3_1166_1308
+MVSGSPSPVQHRLEPLGCITALTADTAHLPGWTLRLPTREHDSWHTA
+>DECOY_NM_144712|366898_3_1166_1308
+ATHWSDHERTPLRLTWGPLHATDATLATICGLPELRHQVPSPSGSVM
+>NM_004857|366983_2_1336_1466
+MGSLKRNPNQKKAKEWSQLLLLLQTLKSVNLMLQNLKMSLSNS
+>DECOY_NM_004857|366983_2_1336_1466
+SNSLSMKLNQLMLNVSKLTQLLLLLQSWEKAKKQNPNRKLSGM
+>NM_006005|367108_2_801_1027
+MEGRSQAPCPSPCRSRGGCWSAWSAASPRTTSRWMTLWRSLRSTPRASSPAACSCRTTKMMTSWRGRALRTCHCV
+>DECOY_NM_006005|367108_2_801_1027
+VCHCTRLARGRWSTMMKTTRCSCAAPSSARPTSRLSRWLTMWRSTTRPSAASWASWCGGRSRCPSPCPAQSRGEM
+>NM_006005|367113_2_2175_2296
+MVRCAGHAPGRRPTWRAPRSSAATWRATGSRGPAASSTSA
+>DECOY_NM_006005|367113_2_2175_2296
+ASTSSAAPGRSGTARWTAASSRPARWTPRRGPAHGACRVM
+>NM_016836|367166_3_608_732
+MGSAQQNEPLYPRTASPHHRPGPGEALSTIWENSLHKGNFG
+>DECOY_NM_016836|367166_3_608_732
+GFNGKHLSNEWITSLAEGPGPRHHPSATRPYLPENQQASGM
+>NM_207005|367207_2_540_649
+MGQGVPHRGVQLLLLLPRAQRHCWGRRPLLALVNSL
+>DECOY_NM_207005|367207_2_540_649
+LSNVLALLPRRGWCHRQARPLLLLLQVGRHPVGQGM
+>NM_019851|367313_2_605_756
+METLAAGILWHLTKTELQEMAPGPRGIRNLHISYLDQWIQKEFQNCTRTY
+>DECOY_NM_019851|367313_2_605_756
+YTRTCNQFEKQIWQDLYSIHLNRIGRPGPAMEQLETKTLHWLIGAALTEM
+>NM_019851|367314_2_662_756
+MAPGPRGIRNLHISYLDQWIQKEFQNCTRTY
+>DECOY_NM_019851|367314_2_662_756
+YTRTCNQFEKQIWQDLYSIHLNRIGRPGPAM
+>NM_002674|367320_2_418_494
+MEFRILNQHKKREKLGMKKTQLNFL
+>DECOY_NM_002674|367320_2_418_494
+LFNLQTKKMGLKERKKHQNLIRFEM
+>NM_001080491|367535_2_578_660
+MVLSNNPYSMCLLPILFITRKSGIVRG
+>DECOY_NM_001080491|367535_2_578_660
+GRVIGSKRTIFLIPLLCMSYPNNSLVM
+>NM_006185|367609_2_1130_1203
+METFPLSCGSLPVICSSYRMPSMS
+>DECOY_NM_006185|367609_2_1130_1203
+SMSPMRYSSCIVPLSGCSLPFTEM
+>NM_006185|367620_2_5765_5973
+MATQPCSACLATAPPLAVLLVVPRPGCPVGPLQEGTASTWALARMSLSSWMTGTALQSCSSAIECAPHI
+>DECOY_NM_006185|367620_2_5765_5973
+IHPACEIASSCSQLATGTMWSSLSMRALAWTSATGEQLPGVPCGPRPVVLLVALPPATALCASCPQTAM
+>NM_014701|367742_2_1200_1285
+MEMLRMRIFNKNFLLKYWMIYLKTHQSI
+>DECOY_NM_014701|367742_2_1200_1285
+ISQHTKLYIMWYKLLFNKNFIRMRLMEM
+>NM_014701|367749_3_367_578
+MATTQSKPYWTILCLSHYICSAACFYRVYILSADASTMCPGYGFLSSFSYTLLQHLSGCKYCKCYHHRMH
+>DECOY_NM_014701|367749_3_367_578
+HMRHHYCKCYKCGSLHQLLTYSFSSLFGYGPCMTSADASLIYVRYFCAASCIYHSLCLITWYPKSQTTAM
+>NM_014701|367752_3_2854_2963
+MGLTAEYRDWLFGWQLPRSFEFLHHQHHQHSCTWHA
+>DECOY_NM_014701|367752_3_2854_2963
+AHWTCSHQHHQHHLFEFSRPLQWGFLWDRYEATLGM
+>NM_001142651|367769_2_333_460
+MASRSRSGPSGCTSRCGCAWWPCALAGAARCASASPRTIRRS
+>DECOY_NM_001142651|367769_2_333_460
+SRRITRPSASACRAAGALACPWWACGCRSTCGSPGSRSRSAM
+>NM_001145248|367798_3_959_1065
+MAPPEGARSRPNQLPAEVPEAARSFSFLRAARGSC
+>DECOY_NM_001145248|367798_3_959_1065
+CSGRAARLFSFSRAAEPVEAPLQNPRSRAGEPPAM
+>NM_001080501|367817_3_40_131
+MAHGAASRAAAPAHLPAPARHDAATGCAAL
+>DECOY_NM_001080501|367817_3_40_131
+LAACGTAADHRAPAPLHAPAAARSAAGHAM
+>NM_012260|367891_3_1500_1603
+MDHLCGRRQCIWVFWHGGRNHLQVQLANHTVGSE
+>DECOY_NM_012260|367891_3_1500_1603
+ESGVTHNALQVQLHNRGGHWFVWICQRRGCLHDM
+>NM_015645|367895_2_2917_3185
+MAARACRAAMAATAATARPGLRERKARAGGRDCRDLEGTPGREERRDPRGPPGLPGSARCLRDPPSAPSAPRAGCLRRLTHPCPSTACW
+>DECOY_NM_015645|367895_2_2917_3185
+WCATSPCPHTLRRLCGARPASPASPPDRLCRASGPLGPPGRPDRREERGPTGELDRCDRGGARAKRERLGPRATAATAAMAARCARAAM
+>NM_015645|367896_2_2944_3185
+MAATAATARPGLRERKARAGGRDCRDLEGTPGREERRDPRGPPGLPGSARCLRDPPSAPSAPRAGCLRRLTHPCPSTACW
+>DECOY_NM_015645|367896_2_2944_3185
+WCATSPCPHTLRRLCGARPASPASPPDRLCRASGPLGPPGRPDRREERGPTGELDRCDRGGARAKRERLGPRATAATAAM
+>NM_015645|367897_2_3307_3386
+MANPLPLSSSFSGGGPSQPRSRGGPW
+>DECOY_NM_015645|367897_2_3307_3386
+WPGGRSRPQSPGGGSFSSSLPLPNAM
+>NM_001142641|367917_3_1709_2190
+MGGGGTSPTERFHPVAEAGEVVCRARADRLADLPSPAEDKGDAAGPPQAGGGCKAGPVRQTPCPGRVCRLPLPTGPGPAPLPQHRCRPSCLQPIWTLSPSWQLPAHWPPDRPFQQTEHLWGPGQPEQPRLWGPGQPCTGSRWQHLCPQGGLLRARPAQPP
+>DECOY_NM_001142641|367917_3_1709_2190
+PPQAPRARLLGGQPCLHQWRSGTCPQGPGWLRPQEPQGPGWLHETQQFPRDPPWHAPLQWSPSLTWIPQLCSPRCRHQPLPAPGPGTPLPLRCVRGPCPTQRVPGAKCGGGAQPPGAADGKDEAPSPLDALRDARARCVVEGAEAVPHFRETPSTGGGGM
+>NM_005677|367934_2_1255_1400
+MGSCSLGRSVTTVTAMWVTTASAVTVPTVEMVTGMRVWRTVTALTLAT
+>DECOY_NM_005677|367934_2_1255_1400
+TALTLATVTRWVRMGTVMEVTPVTVASATTVWMATVTTVSRGLSCSGM
+>NM_000521|367954_2_421_575
+MAIFLVSTSGIMNLLNSRLKPRFSNFLSQSPFSQSVMLSPTYLQMSLILYL
+>DECOY_NM_000521|367954_2_421_575
+LYLILSMQLYTPSLMVSQSFPSQSLFNSFRPKLRSNLLNMIGSTSVLFIAM
+>NM_000521|367957_2_1483_1703
+MDKIGGNTIKWNLLILAVLRNRNNFSLVEKLVYGENMWMQLTSLQDYGLGQVLLVRDSGVPKMSEIWMTPMTD
+>DECOY_NM_000521|367957_2_1483_1703
+DTMPTMWIESMKPVGSDRVLLVQGLGYDQLSTLQMWMNEGYVLKEVLSFNNRNRLVALILLNWKITNGGIKDM
+>NM_001363|368006_2_1411_1505
+MGSPQTAHLPPGSRSMLTTVSLPKKRWLLKW
+>DECOY_NM_001363|368006_2_1411_1505
+WKLLWRKKPLSVTTLMSRSGPPLHATQPSGM
+>NM_001145176|368061_2_1174_1607
+MVAVRATSSRCRARAWCRRSTCCCPRPRPCARVASTCCRPRAAPRCPPTPPPGPAWPPRTPTCCWGPGGPQHRCAGCRHRPACTPTTTTPCTARRSRPGCPTPAGAGAHWPAGRPSSARARWSSCSSSRATTCPSTCARPARTR
+>DECOY_NM_001145176|368061_2_1174_1607
+RTRAPRACTSPCTTARSSSCSSWRARASSPRGAPWHAGAGAPTPCGPRSRRATCPTTTTPTCAPRHRCGACRHQPGGPGWCCTPTRPPWAPGPPPTPPCRPAARPRCCTSAVRACPRPRPCCCTSRRCWARARCRSSTARVAVM
+>NM_001145191|368104_2_1123_1208
+MALSNVKNPLKMTDLSVLFVIIFLRMKA
+>DECOY_NM_001145191|368104_2_1123_1208
+AKMRLFIIVFLVSLDTMKLPNKVNSLAM
+>NM_001145249|368131_3_962_1068
+MAPPEGARSRPNQLPAEVPEAARSFNFLRAARGSC
+>DECOY_NM_001145249|368131_3_962_1068
+CSGRAARLFNFSRAAEPVEAPLQNPRSRAGEPPAM
+>NM_001145206|368237_2_3438_3523
+MALVLKAIGRKVRTRCPPAAELPKPPRL
+>DECOY_NM_001145206|368237_2_3438_3523
+LRPPKPLEAAPPCRTRVKRGIAKLVLAM
+>NM_001145206|368242_2_4638_4903
+MGRGQSSARVGRAWPLSPQIAVPHRQGNSPPAAVCLLCPPKRSPPRQGTSMTAPGTSGAPAWTTPALTWNPPMGWRGRLHRTPALKRE
+>DECOY_NM_001145206|368242_2_4638_4903
+ERKLAPTRHLRGRWGMPPNWTLAPTTWAPAGSTGPATMSTGQRPPSRKPPCLLCVAAPPSNGQRHPVAIQPSLPWARGVRASSQGRGM
+>NM_001145210|368267_2_940_1202
+MAALRCTGLPPEDTCLPSSCWSPRGPRWMRGTPWASHPCITPLGKATWRLPAACWTGVPRWMLPAGSERPPYTWLQSEGMGLPWGFC
+>DECOY_NM_001145210|368267_2_940_1202
+CFGWPLGMGESQLWTYPPRESGAPLMWRPVGTWCAAPLRWTAKGLPTICPHSAWPTGRMWRPGRPSWCSSPLCTDEPPLGTCRLAAM
+>NM_006637|368364_2_289_410
+MGVPCSFIFSVLLQIQNPSSWPPWPMIAMSPSVTLYCTQL
+>DECOY_NM_006637|368364_2_289_410
+LQTCYLTVSPSMAIMPWPPWSSPNQIQLLVSFIFSCPVGM
+>NM_001145296|368497_2_1943_2139
+MASKNCAKSRRPGLFQTQSRGTGFARPRRPLSRRPTGPFYRSLAACPSPRTRRSTSSTGWSRWAT
+>DECOY_NM_001145296|368497_2_1943_2139
+TAWRSWGTSSTSRRTRPSPCAALSRYFPGTPRRSLPRRPRAFGTGRSQTQFLGPRRSKACNKSAM
+>NM_001145303|368646_2_334_578
+MEEGAERPSQKSPRQSCRTLTLPGNCPGPCRPDGHTGKEMPAGTRWSMALELRRTDGRGYFGGPRRKQRKACEACSPGRGH
+>DECOY_NM_001145303|368646_2_334_578
+HGRGPSCAECAKRQKRRPGGFYGRGDTRRLELAMSWRTGAPMEKGTHGDPRCPGPCNGPLTLTRCSQRPSKQSPREAGEEM
+>NM_001145303|368652_3_836_1512
+MVPSLLWLLPAPPTPGGHLPVLGLCRWPHLPPAHPASLGVWAEADTAGGVRGSDQLQPPGVLGLGLRSLRGRPRAAAPAHHLVRIKGGAGGDSGAAPGCGADAGPASQGLVGAGAAQPAGGRAPGGSLLWRLLGYGVHRGAAGDAPCPGVATAEAWGELPSVHLHRWGQFCAAARVQAHCSTGGLHSESPDRFYPAQDRVSSPRLPGGPALLSLESDHLWGRLRG
+>DECOY_NM_001145303|368652_3_836_1512
+GRLRGWLHDSELSLLAPGGPLRPSSVRDQAPYFRDPSESHLGGTSCHAQVRAAACFQGWRHLHVSPLEGWAEATAVGPCPADGAAGRHVGYGLLRWLLSGGPARGGAPQAAGAGVLGQSAPGADAGCGPAAGSDGGAGGKIRVLHHAPAAARPRGRLSRLGLGLVGPPQLQDSGRVGGATDAEAWVGLSAPHAPPLHPWRCLGLVPLHGGPTPPAPLLWLLSPVM
+>NM_001145312|368683_2_836_975
+MVLIERLSFQSWRMAQLLTGAGVWIPCPPGMPLVEEGLAIRNASLT
+>DECOY_NM_001145312|368683_2_836_975
+TLSANRIALGEEVLPMGPPCPIWVGAGTLLQAMRWSQFSLREILVM
+>NM_001145312|368684_2_875_975
+MAQLLTGAGVWIPCPPGMPLVEEGLAIRNASLT
+>DECOY_NM_001145312|368684_2_875_975
+TLSANRIALGEEVLPMGPPCPIWVGAGTLLQAM
+>NM_032532|368731_2_1605_1726
+MGNPKNLSLPHLLPELQLPPNTPLCLLLPKGEMPRTFFLT
+>DECOY_NM_032532|368731_2_1605_1726
+TLFFTRPMEGKPLLLCLPTNPPLQLEPLLHPLSLNKPNGM
+>NM_032532|368735_2_2436_2926
+MVRTPQPPTPMRHHGPPCPPPSLLISRPGRRSLRERRLLMVKATVTAIGKTAEGRRRPRPRRCGPGLPLDTSICSDTNPLLPTGGLQAGSALGGDLGCSPPAPHSRLCPPEPTPGFPLTLIPTLSLAQVSMETRRMRSRFLPPLSMTTCLPPPGSPSPGAGRT
+>DECOY_NM_032532|368735_2_2436_2926
+TRGAGPSPSGPPPLCTTMSLPPLFRSRMRRTEMSVQALSLTPILTLPFGPTPEPPCLRSHPAPPSCGLDGGLASGAQLGGTPLLPNTDSCISTDLPLGPGCRRPRPRRRGEATKGIATVTAKVMLLRRERLSRRGPRSILLSPPPCPPGHHRMPTPPQPTRVM
+>NM_032532|368746_2_4215_4291
+MENRMDRELSMALKEQSGLWTLIVG
+>DECOY_NM_032532|368746_2_4215_4291
+GVILTWLGSQEKLAMSLERDMRNEM
+>NM_032531|368769_2_309_391
+MASFCGSRTAWLWVWAGTSQVTHSTWW
+>DECOY_NM_032531|368769_2_309_391
+WWTSHTVQSTGAWVWLWATRSGCFSAM
+>NM_032531|368773_2_747_1033
+MARASCVVPPTKPSPEERRRRSPLTSSTLHWSTSRWSHSQCWRTTSSLSTALQRPTQLSPSTGGPSGARSSRRHLERCTGPQWTTRTSQSPSPVR
+>DECOY_NM_032531|368773_2_747_1033
+RVPSPSQSTRTTWQPGTCRELHRRSSRAGSPGGTSPSLQTPRQLATSLSSTTRWCQSHSWRSTSWHLTSSTLPSRRRREEPSPKTPPVVCSARAM
+>NM_139319|368801_2_923_1173
+MGCGVSGHHLWREADWPQPLFVVPMQGQWLPCPWLGCWCSTLDGPLSFIFMACLGLFGTCFGCCRPMSAQQLIQQYPMRRRPI
+>DECOY_NM_139319|368801_2_923_1173
+IPRRRMPYQQILQQASMPRCCGFCTGFLGLCAMFIFSLPGDLTSCWCGLWPCPLWQGQMPVVFLPQPWDAERWLHHGSVGCGM
+>NM_139319|368812_3_1749_1843
+MAECVPHSCPGALQWCDLLWGLCFWGETGVG
+>DECOY_NM_139319|368812_3_1749_1843
+GVGTEGWFCLGWLLDCWQLAGPCSHPVCEAM
+>NM_203301|368835_3_1696_1787
+MEVHKALSSGNSWLHGVGTQPHCHCSSSGL
+>DECOY_NM_203301|368835_3_1696_1787
+LGSSSCHCHPQTGVGHLWSNGSSLAKHVEM
+>NM_001145197|368897_2_1644_1810
+MAVPPCLYSSMALQIHLCPMNPQYFPLPNLCSCLVPNLYPCLKPCPEVSPYISLR
+>DECOY_NM_001145197|368897_2_1644_1810
+RLSIYPSVEPCPKLCPYLNPVLCSCLNPLPFYQPNMPCLHIQLAMSSYLCPPVAM
+>NM_014449|369045_2_578_687
+MEMTMGAVTTMQRAEFAKFALMLTEPQDQGAGTPPR
+>DECOY_NM_014449|369045_2_578_687
+RPPTGAGQDQPETLMLAFKAFEARQMTTVAGMTMEM
+>NM_014449|369047_2_590_687
+MGAVTTMQRAEFAKFALMLTEPQDQGAGTPPR
+>DECOY_NM_014449|369047_2_590_687
+RPPTGAGQDQPETLMLAFKAFEARQMTTVAGM
+>NM_001135914|369236_2_404_528
+MGPLTVAPKHTCPIAGAAAKMARPTATGRPSPQMPAPPAAV
+>DECOY_NM_001135914|369236_2_404_528
+VAAPPAPMQPSPRGTATPRAMKAAAGAIPCTHKPAVTLPGM
+>NM_001135914|369237_2_647_804
+MAKSGQHLGTPAESAGAWRVTSSAASENVPACVHTQPGPSQAPAALCVMAVS
+>DECOY_NM_001135914|369237_2_647_804
+SVAMVCLAAPAQSPGPQTHVCAPVNESAASSTVRWAGASEAPTGLHQGSKAM
+>NM_001135914|369239_2_965_1407
+MAVSTRDTSIRARRPSDSKSGASVSAAPARLARSPVRSRSAQSPPVPCLPLAASSAQPVSWMERSLLRESSGSLMVGPAPPASVKMGYPSAGLCSAPQPPASTPPSPLVPAAPAVTAAPTTAKCMPMGRTSRMQTALAMPATVRMEL
+>DECOY_NM_001135914|369239_2_965_1407
+LEMRVTAPMALATQMRSTRGMPMCKATTPAATVAPAAPVLPSPPTSAPPQPASCLGASPYGMKVSAPPAPGVMLSGSSERLLSREMWSVPQASSAALPLCPVPPSQASRSRVPSRALRAPAASVSAGSKSDSPRRARISTDRTSVAM
+>NM_001135914|369242_2_1220_1407
+MGYPSAGLCSAPQPPASTPPSPLVPAAPAVTAAPTTAKCMPMGRTSRMQTALAMPATVRMEL
+>DECOY_NM_001135914|369242_2_1220_1407
+LEMRVTAPMALATQMRSTRGMPMCKATTPAATVAPAAPVLPSPPTSAPPQPASCLGASPYGM
+>NM_001135914|369244_2_2384_3111
+MASLLPPERPFLTHLTLPAPSAPARKVPCAARRSHVPQLSAPTPLQAPASALFATAVSLRAGSTRMGRSLRDQQAAVSGVAVRLARSAVCGCSAHPFPASSRSPSGGAAALAAEAAWLMGKSTPKAVDGCPPTVPAPPVCVTRASSPVHASSASALAPSPAKGPMTAVLNALTVSMRAGSTSLGRASSLGQTPVKCASASHSLRGLPAFAVTGGSVPAWWAAPPASSCPLGPSTAVPPVPRP
+>DECOY_NM_001135914|369244_2_2384_3111
+PRPVPPVATSPGLPCSSAPPAAWWAPVSGGTVAFAPLGRLSHSASACKVPTQGLSSARGLSTSGARMSVTLANLVATMPGKAPSPALASASSAHVPSSARTVCVPPAPVTPPCGDVAKPTSKGMLWAAEAALAAAGGSPSRSSAPFPHASCGCVASRALRVAVGSVAAQQDRLSRGMRTSGARLSVATAFLASAPAQLPTPASLQPVHSRRAACPVKRAPASPAPLTLHTLFPREPPLLSAM
+>NM_001135914|369245_2_2579_3111
+MGRSLRDQQAAVSGVAVRLARSAVCGCSAHPFPASSRSPSGGAAALAAEAAWLMGKSTPKAVDGCPPTVPAPPVCVTRASSPVHASSASALAPSPAKGPMTAVLNALTVSMRAGSTSLGRASSLGQTPVKCASASHSLRGLPAFAVTGGSVPAWWAAPPASSCPLGPSTAVPPVPRP
+>DECOY_NM_001135914|369245_2_2579_3111
+PRPVPPVATSPGLPCSSAPPAAWWAPVSGGTVAFAPLGRLSHSASACKVPTQGLSSARGLSTSGARMSVTLANLVATMPGKAPSPALASASSAHVPSSARTVCVPPAPVTPPCGDVAKPTSKGMLWAAEAALAAAGGSPSRSSAPFPHASCGCVASRALRVAVGSVAAQQDRLSRGM
+>NM_199349|369262_2_404_696
+MGPLTVAPKHTCPIAGAAAKMARPTATGRPSPQMPAPPAAVWKVPSLATRSHAQEDPALSQEHAARTVSQAVIMRGSFMRRGSPSCPAPTLVYSAPA
+>DECOY_NM_199349|369262_2_404_696
+APASYVLTPAPCSPSGRRMFSGRMIVAQSVTRAAHEQSLAPDEQAHSRTALSPVKWVAAPPAPMQPSPRGTATPRAMKAAAGAIPCTHKPAVTLPGM
+>NM_199349|369263_2_464_696
+MARPTATGRPSPQMPAPPAAVWKVPSLATRSHAQEDPALSQEHAARTVSQAVIMRGSFMRRGSPSCPAPTLVYSAPA
+>DECOY_NM_199349|369263_2_464_696
+APASYVLTPAPCSPSGRRMFSGRMIVAQSVTRAAHEQSLAPDEQAHSRTALSPVKWVAAPPAPMQPSPRGTATPRAM
+>NM_001040272|369346_2_3776_3927
+MEKKFSSVTGFFYSQMIPYRSWHQWKQMWVSTLAMPPMPWDTTLSPLPSH
+>DECOY_NM_001040272|369346_2_3776_3927
+HSPLPSLTTDWPMPPMALTSVWMQKWQHWSRYPIMQSYFFGTVSSFKKEM
+>NM_001040272|369354_3_1644_1807
+MVQTSSRARRRSCCVRGALVHPRGLVGLHSHLWCGDPGANSQVPGAPVFLSVRG
+>DECOY_NM_001040272|369354_3_1644_1807
+GRVSLFVPAGPVQSNAGPDGCWLHSHLGVLGRPHVLAGRVCCSRRRARSSTQVM
+>NM_005453|369379_2_951_1051
+MVGMGGGQWFLGLGSGDPPTHPLASCHRNTGYT
+>DECOY_NM_005453|369379_2_951_1051
+TYGTNRHCSALPHTPPDGSGLGLFWQGGGMGVM
+>NM_005453|369380_2_960_1051
+MGGGQWFLGLGSGDPPTHPLASCHRNTGYT
+>DECOY_NM_005453|369380_2_960_1051
+TYGTNRHCSALPHTPPDGSGLGLFWQGGGM
+>NM_005897|369465_2_361_476
+MDSISVMCSCKLDRKVLKLIGWFWLPAVLTLQLCSLEE
+>DECOY_NM_005897|369465_2_361_476
+EELSCLQLTLVAPLWFWGILKLVKRDLKCSCMVSISDM
+>NM_005897|369473_3_884_1005
+MDSERFGKKKKTCGGSARPNSIPFITSSETFKVYRRSIRF
+>DECOY_NM_005897|369473_3_884_1005
+FRISRRYVKFTESSTIFPISNPRASGGCTKKKKGFRESDM
+>NM_001080435|369514_2_2343_2527
+MEISLSRFLFQLVIKHIPNPVRNCHCHHLLLLHHHHRRHRRPHPLLSVLCPHPLKLQLIRT
+>DECOY_NM_001080435|369514_2_2343_2527
+TRILQLKLPHPCLVSLLPHPRRHRRHHHHLLLLHHCHCNRVPNPIHKIVLQFLFRSLSIEM
+>NM_001080435|369515_2_2661_2746
+MAELLSGRWKCRRCALPTPQSMSTFWLP
+>DECOY_NM_001080435|369515_2_2661_2746
+PLWFTSMSQPTPLACRRCKWRGSLLEAM
+>NM_017515|369540_3_876_1000
+MVYSSCKIQSDPLHRRGCLSVGCRNHGWCRHTSREGRQFRE
+>DECOY_NM_017515|369540_3_876_1000
+ERFQRGERSTHRCWGHNRCGVSLCGRRHLPDSQIKCSSYVM
+>NM_001145354|369580_2_839_945
+MACSISISVNRNISHDGVKSFPKVPKVMGKITVQE
+>DECOY_NM_001145354|369580_2_839_945
+EQVTIKGMVKPVKPFSKVGDHSINRNVSISISCAM
+>NM_001145354|369582_2_1097_1188
+MVLVPDRVIKCALIFNGGKSTHWGVTWIPL
+>DECOY_NM_001145354|369582_2_1097_1188
+LPIWTVGWHTSKGGNFILACKIVRDPVLVM
+>NM_001145354|369600_3_273_364
+MVFREQLSSPVLDSKARKACYSSEYHIWKI
+>DECOY_NM_001145354|369600_3_273_364
+IKWIHYESSYCAKRAKSDLVPSSLQERFVM
+>NM_021150|369704_2_464_552
+MASQEYLICGKEELLLEVTSWMWVTTSKQ
+>DECOY_NM_021150|369704_2_464_552
+QKSTTVWMWSTVELLLEEKGCILYEQSAM
+>NM_021150|369711_2_2537_2670
+MELKALVFRPQDTISTPMTGGVQNREAACPQSLSLEARLTQMWG
+>DECOY_NM_021150|369711_2_2537_2670
+GWMQTLRAELSLSQPCAAERNQVGGTMPTSITDQPRFVLAKLEM
+>NM_052817|369755_2_2215_2390
+MGQQEIYSLTVAATIGRWSWVPQHGMQLALPTNQLQRMNGLARMPPHGSSLAAIVTSW
+>DECOY_NM_052817|369755_2_2215_2390
+WSTVIAALSSGHPPMRALGNMRQLQNTPLALQMGHQPVWSWRGITAAVTLSYIEQQGM
+>NM_001145260|369793_2_2053_2153
+MASLQFVISLPVCSLKLIKRSGYIELLYRHTSK
+>DECOY_NM_001145260|369793_2_2053_2153
+KSTHRYLLEIYGSRKILKLSCVPLSIVFQLSAM
+>NM_001007248|369929_3_453_631
+MGAPGPGPEDPVPGGDAGDLQAPGLTGASCSQTRADLSTGTWTGTVDSEERPLPKHLRR
+>DECOY_NM_001007248|369929_3_453_631
+RRLHKPLPREESDVTGTWTGTSLDARTQSCSAGTLGPAQLDGADGGPVPDEPGPGPAGM
+>NM_018297|369959_2_1663_1793
+MACGKWNLYSEKLKQTGTWYIWPERKDHLLLIFPGSLSVGQLA
+>DECOY_NM_018297|369959_2_1663_1793
+ALQGVSLSGPFILLLHDKREPWIYWTGTQKLKESYLNWKGCAM
+>NM_031422|370045_3_447_547
+MGTSEVLAACTQNHEYRKNPGTYHQPEPQVSHA
+>DECOY_NM_031422|370045_3_447_547
+AHSVQPEPQHYTGPNKRYEHNQTCAALVESTGM
+>NM_015107|370093_2_2555_2988
+MVVALVAFLICSRPAGRWGDLTMLPSPRPQLLPALRRPSRACCAWPTCSPHRPHRLPLACRPGGLGDRIEAVGAPAVGWAQCLTVLLPSAPQGSGPSSGQHTGEPRARRRRRTPVWMNRTAWERASRMQSISILHWSLMMMTLL
+>DECOY_NM_015107|370093_2_2555_2988
+LLTMMMLSWHLISISQMRSAREWATRNMWVPTRRRRRARPEGTHQGSSPGSGQPASPLLVTLCQAWGVAPAGVAEIRDGLGGPRCALPLRHPRHPSCTPWACCARSPRRLAPLLQPRPSPLMTLDGWRGAPRSCILFAVLAVVM
+>NM_017825|370187_2_504_592
+MEVPCGWLASPWPIAVSRMCRSLPGSRPS
+>DECOY_NM_017825|370187_2_504_592
+SPRSGPLSRCMRSVAIPWPSALWGCPVEM
+>NM_017825|370188_2_618_790
+MAPSCRPWLCTWPCRASLPASTFSSNSWATWRIWRVMPSPSWMPGSWAWRSVHTPAA
+>DECOY_NM_017825|370188_2_618_790
+AAPTHVSRWAWSGPMWSPSPMVRWIRWTAWSNSSFTSAPLSARCPWTCLWPRCSPAM
+>NM_014734|370234_2_587_678
+MASGNQPWRLAAVSTRIKTPTHHLGSPRCL
+>DECOY_NM_014734|370234_2_587_678
+LCRPSGLHHTPTKIRTSVAALRWPQNGSAM
+>NM_001145277|370434_2_379_476
+MEMGDGRLLELASGTEVMPLTSMLHCRTISSG
+>DECOY_NM_001145277|370434_2_379_476
+GSSITRCHLMSTLPMVETGSALELLRGDGMEM
+>NM_001145277|370435_2_385_476
+MGDGRLLELASGTEVMPLTSMLHCRTISSG
+>DECOY_NM_001145277|370435_2_385_476
+GSSITRCHLMSTLPMVETGSALELLRGDGM
+>NM_001145277|370438_3_212_429
+MEWPAEDHCKGTDGLHQAGGQDVRGALCSGPGGSVSWHSCGECDGFQQVLRDPHRRWKWATGVYWNWLRGPR
+>DECOY_NM_001145277|370438_3_212_429
+RPGRLWNWYVGTAWKWRRHPDRLVQQFGDCEGCSHWSVSGGPGSCLAGRVDQGGAQHLGDTGKCHDEAPWEM
+>NM_015909|370552_2_162_289
+MVHPLSSRKQFEIVYYFYANTSGTARHLFCSLMDWFAWLINR
+>DECOY_NM_015909|370552_2_162_289
+RNILWAFWDMLSCFLHRATGSTNAYFYYVIEFQKRSSLPHVM
+>NM_015909|370553_2_315_439
+MESFWLLFKISVWKSGLQKMILHPLLGNVKFRKTRNPSGDG
+>DECOY_NM_015909|370553_2_315_439
+GDGSPNRTKRFKVNGLLPHLIMKQLGSKWVSIKFLLWFSEM
+>NM_015909|370565_2_2268_2383
+MVPTCFLIALQFCPTFQRPLLHMNILFCCPKLVLTVTP
+>DECOY_NM_015909|370565_2_2268_2383
+PTVTLVLKPCCFLINMHLLPRQFTPCFQLAILFCTPVM
+>NM_015909|370572_2_5535_5668
+MDRCFPQALCTPSGYRSCSGLETLISLNKSQALHRSGFMPMMSA
+>DECOY_NM_015909|370572_2_5535_5668
+ASMMPMFGSRHLAQSKNLSILTELGSCSRYGSPTCLAQPFCRDM
+>NM_001145373|370626_3_1310_1449
+MGRVPGVAGHGADAECEYPFNYWREAGESHGVYHDSLFGPRGFPEA
+>DECOY_NM_001145373|370626_3_1310_1449
+AEPFGRPGFLSDHYVGHSEGAERWYNFPYECEADAGHGAVGPVRGM
+>NM_024773|370641_2_1077_1168
+MGRRRKSPSMPGLVPREPSPHYIRIPSKTS
+>DECOY_NM_024773|370641_2_1077_1168
+STKSPIRIYHPSPERPVLGPMSPSKRRRGM
+>NM_144717|370695_2_691_797
+MASTWLLSWRTWGPSLSSLWPTGGGSLVPRNMSKW
+>DECOY_NM_144717|370695_2_691_797
+WKSMNRPVLSGGGTPWLSSLSPGWTRWSLLWTSAM
+>NM_080746|370720_2_336_466
+MAFTCECGSIPSMSSASTRCCPVLGLTGSRQVCEVPLENPRVL
+>DECOY_NM_080746|370720_2_336_466
+LVRPNELPVECVQRSGTLGLVPCCRTSASSMSPISGCECTFAM
+>NM_019055|370742_2_441_718
+MARPCPQTWVSTHVRPATGLARQSAEALGCLWLSSGRISRSSLGTWWLWWVSSLLWNVGRPGATQSPQSHGGKMGNPWPSSPEGTQCPGGPC
+>DECOY_NM_019055|370742_2_441_718
+CPGGPCQTGEPSSPWPNGMKGGHSQPSQTAGPRGVNWLLSSVWWLWWTGLSSRSIRGSSLWLCGLAEASQRALGTAPRVHTSVWTQPCPRAM
+>NM_000172|370768_2_975_1051
+MDPTPTRTPATTSRCSSSSSTCGAT
+>DECOY_NM_000172|370768_2_975_1051
+TAGCTSSSSSCRSTTAPTRTPTPDM
+>NM_030756|370792_3_1211_1416
+MVSTTARSTSVPNHDRRIQTPLPHSSDRQCFHVQVPSPYGPTTSYATHDGHSASGHSHTNSQTGIVPE
+>DECOY_NM_030756|370792_3_1211_1416
+EPVIGTQSNTHSHGSASHGDHTAYSTTPGYPSPVQVHFCQRDSSHPLPTQIRRDHNPVSTSRATTSVM
+>NM_016169|370808_2_1143_1225
+MASPTTGPRAAKTAWKVTAPRPSFPMS
+>DECOY_NM_016169|370808_2_1143_1225
+SMPFSPRPATVKWATKAARPGTTPSAM
+>NM_016169|370815_3_550_650
+MARRVNAGLGTIRVPVREHLLQWGPCVLAQPFG
+>DECOY_NM_016169|370815_3_550_650
+GFPQALVCPGWQLLHERVPVRITGLGANVRRAM
+>NM_145276|370840_2_644_804
+MERSHIHINNVGKPSVTITPFSRVEGLTLERNAMSVRNVEKPSVLVETFEDTW
+>DECOY_NM_145276|370840_2_644_804
+WTDEFTEVLVSPKEVNRVSMANRELTLGEVRSFPTITVSPKGVNNIHIHSREM
+>NM_145276|370849_3_288_394
+MGFAGSITEEFIQICDARNHQEPGLYKNDMGRTEY
+>DECOY_NM_145276|370849_3_288_394
+YETRGMDNKYLGPEQHNRADCIQIFEETISGAFGM
+>NM_001145357|370899_2_1449_1522
+MAARSADILQEPPLQLRRNPNCSI
+>DECOY_NM_001145357|370899_2_1449_1522
+ISCNPNRRLQLPPEQLIDASRAAM
+>NM_001145357|370901_2_1554_1663
+MVVEQNRYFLIRSERLFGVQKPTKISYAVLLFLTRR
+>DECOY_NM_001145357|370901_2_1554_1663
+RRTLFLLVAYSIKTPKQVGFLRESRILFYRNQEVVM
+>NM_144704|371043_2_329_489
+MARPATSTRRSACPPLTPTPALRIAWRLLSATSRTSRMARCGKWSWAGGRCCW
+>DECOY_NM_144704|371043_2_329_489
+WCCRGGAWSWKGCRAMRSTRSTASLLRWAIRLAPTPTLPPCASRRTSTAPRAM
+>NM_144707|371062_3_2490_2587
+MVHLLPDPQHHLCRQDLQILPSYPETPQLHQL
+>DECOY_NM_144707|371062_3_2490_2587
+LQHLQPTEPYSPLIQLDQRCLHHQPDPLLHVM
+>NM_176863|371079_2_455_534
+MDWMVPLIRSEGWMSVKKPSKEPRCL
+>DECOY_NM_176863|371079_2_455_534
+LCRPEKSPKKVSMWGESRILPVMWDM
+>NM_005852|371258_2_433_569
+MEPDRVGNEEGSTEKKRRRRQSGGKRGREMGGKSKWNRSHQQLCF
+>DECOY_NM_005852|371258_2_433_569
+FCLQQHSRNWKSKGGMERGRKGGSQRRRRKKETSGEENGVRDPEM
+>NM_178019|371404_2_678_796
+MVTMITGGTWLRLFSPSSAWPRLMAGQTCRSSWTIGNLL
+>DECOY_NM_178019|371404_2_678_796
+LLNGITWSSRCTQGAMLRPWASSPSFLRLWTGGTIMTVM
+>NM_206923|371623_2_211_290
+MATTTRKCLCCRHKRKWWAIATQTTS
+>DECOY_NM_206923|371623_2_211_290
+STTQTAIAWWKRKHRCCLCKRTTTAM
+>NM_001029939|371688_2_1417_1685
+MGPPSTRRWNCMSGSTPSCTSVPSAARSSSAPSGCAPTSKRCTGLPRRPWSSPVPSTRASASWNLVGTSSKKLWGTSYSWWKRSLPSRA
+>DECOY_NM_001029939|371688_2_1417_1685
+ARSPLSRKWWSYSTGWLKKSSTGVLNWSASARTSPVPSSWPRRPLGTCRKSTPACGSPASSSRAASPVSTCSPTSGSMCNWRRTSPPGM
+>NM_014797|371817_2_901_1094
+MARQARVDTASGGFGDPSNLKITNLLGIKRTMVQPRGSVEGESALEALRPAVKTVARSLSTITF
+>DECOY_NM_014797|371817_2_901_1094
+FTITSLSRAVTKVAPRLAELASEGEVSGRPQVMTRKIGLLNTIKLNSPDGFGGSATDVRAQRAM
+>NM_014797|371818_2_994_1094
+MVQPRGSVEGESALEALRPAVKTVARSLSTITF
+>DECOY_NM_014797|371818_2_994_1094
+FTITSLSRAVTKVAPRLAELASEGEVSGRPQVM
+>NM_000494|371872_2_1853_2214
+MEISEEALALKVTWEVQALKEIEGSLGLQVSLGPWATQVHKDQRVKKAAWEILAWKAPWAREGEKAPWDLVVRQGLLDLERKGKEGLLVNQVLMAHLVSQVLWVPKVPAALLAHRALQVL
+>DECOY_NM_000494|371872_2_1853_2214
+LVQLARHALLAAPVKPVWLVQSVLHAMLVQNVLLGEKGKRELDLLGQRVVLDWPAKEGERAWPAKWALIEWAAKKVRQDKHVQTAWPGLSVQLGLSGEIEKLAQVEWTVKLALAEESIEM
+>NM_000494|371879_2_4208_4302
+MGQQQKAACMLAMADYWELTLLEIWITMSWL
+>DECOY_NM_000494|371879_2_4208_4302
+LWSMTIWIELLTLEWYDAMALMCAAKQQQGM
+>NM_005230|371970_2_367_440
+MVNSSSSKQKKWPSCGDSEKTKQI
+>DECOY_NM_005230|371970_2_367_440
+IQKTKESDGCSPWKKQKSSSSNVM
+>NM_001145353|372086_2_760_932
+MERETQFIFGSFYWHCSRTRLLVLNTSSGPSERKAFLNWWILKQCPGCGGSTKTNLI
+>DECOY_NM_001145353|372086_2_760_932
+ILNTKTSGGCGPCQKLIWWNLFAKRESPGSSTNLVLLRTRSCHWYFSGFIFQTEREM
+>NM_053046|372129_2_547_746
+MVVSCGRCRVKALQRWSPRGASDWQPRAHGLRPPNGNGPRMVGMPLHPANGPGPGKRTRRQSGRVA
+>DECOY_NM_053046|372129_2_547_746
+AVRGSQRRTRKGPGPGNAPHLPMGVMRPGNGNPPRLGHARPQWDSAGRPSWRQLAKVRCRGCSVVM
+>NM_053046|372130_2_667_746
+MVGMPLHPANGPGPGKRTRRQSGRVA
+>DECOY_NM_053046|372130_2_667_746
+AVRGSQRRTRKGPGPGNAPHLPMGVM
+>NM_053046|372136_3_656_774
+MGRGWWGCPFTQQTALGQAREPGGRAGGWHELQLQQWQW
+>DECOY_NM_053046|372136_3_656_774
+WQWQQLQLEHWGGARGGPERAQGLATQQTFPCGWWGRGM
+>NM_144773|372182_2_13_128
+METPVSHPTLIHPKTMPPPSPLTSVMVIMTSLWMRMRT
+>DECOY_NM_144773|372182_2_13_128
+TRMRMWLSTMIVMVSTLPSPPPMTKPHILTPHSVPTEM
+>NM_144773|372183_2_367_482
+MATCSVPPSTTCAPSPSTSPPMPCWPLPLTDISPSFTP
+>DECOY_NM_144773|372183_2_367_482
+PTFSPSIDTLPLPWCPMPPSTSPSPACTTSPPVSCTAM
+>NM_013263|372204_2_839_999
+MEQTPHRVGRTEAAGRERERTLEMPKHTPSRVPAKKIKRKTKICLKISLKAII
+>DECOY_NM_013263|372204_2_839_999
+IIAKLSIKLCIKTKRKIKKAPVRSPTHKPMELTRERERGAAETRGVRHPTQEM
+>NM_013263|372206_2_1277_1350
+MGPTVLMHRIMTPHLQISARMILI
+>DECOY_NM_013263|372206_2_1277_1350
+ILIMRASIQLHPTMIRHMLVTPGM
+>NM_003631|372265_2_1252_1397
+MVVPPKQQINLQGSKQETLTLNLGNGTLLRAVKLDYISNLKEERVALE
+>DECOY_NM_003631|372265_2_1252_1397
+ELAVREEKLNSIYDLKVARLLTGNGLNLTLTEQKSGQLNIQQKPPVVM
+>NM_003631|372268_2_1840_1919
+MVSELRGAGGSSFRLHFSTNLHDPKT
+>DECOY_NM_003631|372268_2_1840_1919
+TKPDHLNTSFHLRFSSGGAGRLESVM
+>NM_003631|372270_2_2680_2783
+MGVKGTTGSGAALRSLPSMLFTSDATSISLCLRK
+>DECOY_NM_003631|372270_2_2680_2783
+KRLCLSISTADSTFLMSPLSRLAAGSGTTGKVGM
+>NM_001359|372283_2_220_365
+MGQKYYIKTLKLCNLNSFHLFKKRCYHLIVFKEKWHSLLGEVLALVKE
+>DECOY_NM_001359|372283_2_220_365
+EKVLALVEGLLSHWKEKFVILHYCRKKFLHFSNLNCLKLTKIYYKQGM
+>NM_153490|372314_2_97_209
+MEVVSGVALASWEEAVVSLPVQLGLCLGDQLGAMEAA
+>DECOY_NM_153490|372314_2_97_209
+AAEMAGLQDGLCLGLQVPLSVVAEEWSALAVGSVVEM
+>NM_153490|372315_2_256_464
+MEVALEVAMEVALEVALVGVLLVALLTLVLVMAASSLAMRRSPCRTSTTAWLPTWRRCAPWRRPTLTWR
+>DECOY_NM_153490|372315_2_256_464
+RWTLTPRRWPACRRWTPLWATTSTRCPSRRMALSSAAMVLVLTLLAVLLVGVLAVELAVEMAVELAVEM
+>NM_153490|372316_2_280_464
+MEVALEVALVGVLLVALLTLVLVMAASSLAMRRSPCRTSTTAWLPTWRRCAPWRRPTLTWR
+>DECOY_NM_153490|372316_2_280_464
+RWTLTPRRWPACRRWTPLWATTSTRCPSRRMALSSAAMVLVLTLLAVLLVGVLAVELAVEM
+>NM_153490|372317_2_349_464
+MAASSLAMRRSPCRTSTTAWLPTWRRCAPWRRPTLTWR
+>DECOY_NM_153490|372317_2_349_464
+RWTLTPRRWPACRRWTPLWATTSTRCPSRRMALSSAAM
+>NM_153490|372324_3_944_1062
+MVPRQECRAEQGGVYQHCHDSDQQDRDHGAQAHAPRPGD
+>DECOY_NM_153490|372324_3_944_1062
+DGPRPAHAQAGHDRDQQDSDHCHQYVGGQEARCEQRPVM
+>NM_001008401|372359_2_702_796
+MEIFATRMLIKIFMTMNFNGKKMKEMAMKHP
+>DECOY_NM_001008401|372359_2_702_796
+PHKMAMEKMKKGNFNMTMFIKILMRTAFIEM
+>NM_021205|372401_3_1046_1140
+MGAGDSMPLSQSPHHPSWNAVGSQRRCQSPH
+>DECOY_NM_021205|372401_3_1046_1140
+HPSQCRRQSGVANWSPHHPSQSLPMSDGAGM
+>NM_003189|372430_3_1167_1255
+MAAAECERGLCRAPQADPHTSPGQEAQQE
+>DECOY_NM_003189|372430_3_1167_1255
+EQQAEQGPSTHPDAQPARCLGRECEAAAM
+>NM_001017979|372465_2_633_727
+MVLVATLSQPRQETLSSCAFRKLLLKSLGSN
+>DECOY_NM_001017979|372465_2_633_727
+NSGLSKLLLKRFACSSLTEQRPQSLTAVLVM
+>NM_005189|372830_2_1129_1415
+MACPGWVSLPATPPPPRVSRPPTQPLGRALGVASLGPAGPPCPPTQAKVRSWLPEQWRHPPLPARGTVSRAVLPPVGRRAAQPPEKPARRPHCQR
+>DECOY_NM_005189|372830_2_1129_1415
+RQCHPRRAPKEPPQAARRGVPPLVARSVTGRAPLPPHRWQEPLWSRVKAQTPPCPPGAPGLSAVGLARGLPQTPPRSVRPPPPTAPLSVWGPCAM
+>NM_015844|372850_2_864_988
+MAPKGSGSKRCAKTVEHRELPSTGNRECLSVWAVGSVQPAR
+>DECOY_NM_015844|372850_2_864_988
+RAPQVSGVAWVSLCERNGTSPLERHEVTKACRKSGSGKPAM
+>NM_015844|372854_2_1452_1531
+MGQDRPHLTVVERGPALPDGTILALP
+>DECOY_NM_015844|372854_2_1452_1531
+PLALITGDPLAPGREVVTLHPRDQGM
+>NM_015847|372974_2_864_1252
+MAPKGSGSKRCAKTVEHRELPSTGNRECLRAEGVEYAGAVRPKRIVAIAPSAFALPALVSGASGNVSSDVAYGVNMPAAREAVTPRWLPGGAPEPSHCLHHPHHSPQSPQSRTPEPWPPRHLPSSSITV
+>DECOY_NM_015847|372974_2_864_1252
+VTISSSPLHRPPWPEPTRSQPSQPSHHPHHLCHSPEPAGGPLWRPTVAERAAPMNVGYAVDSSVNGSAGSVLAPLAFASPAIAVIRKPRVAGAYEVGEARLCERNGTSPLERHEVTKACRKSGSGKPAM
+>NM_006497|373137_2_1124_1458
+MATSWAGSAAPPASAAKSVVGTRPSRPGGPRSAWRRRRATLAAWTGPARAATATTTRAAARRPVAARTPARLAATSRATHARTWPMASPRASVTTCTCAFRAARASPALSS
+>DECOY_NM_006497|373137_2_1124_1458
+SSLAPSARAARFACTCTTVSARPSAMPWTRAHTARSTAALRAPTRAAVPRRAAARTTTATAARAPGTWAALTARRRRWASRPGGPRSPRTGVVSKAASAPPAASGAWSTAM
+>NM_015845|373182_2_2037_2149
+MDVKCGRPRTQWSPRARAGTHEDGLEPMSVSHHLQLR
+>DECOY_NM_015845|373182_2_2037_2149
+RLQLHHSVSMPELGDEHTGARARPSWQTRPRGCKVDM
+>NM_001042412|373260_2_1131_1222
+MEDLMECSFVVLRVLKVQFYCTSMISSQRV
+>DECOY_NM_001042412|373260_2_1131_1222
+VRQSSIMSTCYFQVKLVRLVVFSCEMLDEM
+>NM_001042412|373266_2_2925_3028
+MGRTILPGSSLTAWPIGMVVRMASTFLKSPHAQK
+>DECOY_NM_001042412|373266_2_2925_3028
+KQAHPSKLFTSAMRVVMGIPWATLSSGPLITRGM
+>NM_001008392|373324_2_239_318
+MVGFRRVTRGRSFPYQVHQLSTFFQR
+>DECOY_NM_001008392|373324_2_239_318
+RQFFTSLQHVQYPFSRGRTVRRFGVM
+>NM_002598|373370_2_851_972
+MAEVLPPSGFLVKIFLKKRIFQIAPVVPREYWNSRSCLSS
+>DECOY_NM_002598|373370_2_851_972
+SSLCSRSNWYERPVVPAIQFIRKKLFIKVLFGSPPLVEAM
+>NM_002598|373372_3_186_367
+MAGRGRAAGAPGPGLRAVRPPALLPAAGVCAAAWPPGRLPPLHLPLLLPRAAVLCRPASF
+>DECOY_NM_002598|373372_3_186_367
+FSAPRCLVAARPLLLPLHLPPLRGPPWAAACVGAAPLLAPPRVARLGPGPAGAARGRGAM
+>NM_139048|373397_2_273_364
+MEFMEIFHASHIQLSFHVLNSKMLSLQMTF
+>DECOY_NM_139048|373397_2_273_364
+FTMQLSLMKSNLVHFSLQIHSAHFIEMFEM
+>NM_139048|373407_3_1960_2033
+MGFDRYSNPEFFKGLVVSSFLFKT
+>DECOY_NM_139048|373407_3_1960_2033
+TKFLFSSVVLGKFFEPNSYRDFGM
+>NM_006025|373481_2_995_1155
+MGLGILTPMCWQCSSTGTATIRKWALLSSAAALSLSLHSTPCASSPGQAKCAS
+>DECOY_NM_006025|373481_2_995_1155
+SACKAQGPSSACPTSHLSLSLAAASSLLAWKRITATGTSSCQWCMPTLIGLGM
+>NM_015678|373572_2_1512_1633
+MGNLQVALPLHIMLRPLMLSSAWNHHQKRMHQFLCIPHML
+>DECOY_NM_015678|373572_2_1512_1633
+LMHPICLFQHMRKQHHNWASSLMLPRLMIHLPLAVQLNGM
+>NM_015678|373575_2_3462_3592
+MVPLWRLNLCWIMYIVLLLRNSRTMYMEVLVSLKKMKKRIMVH
+>DECOY_NM_015678|373575_2_3462_3592
+HVMIRKKMKKLSVLVEMYMTRSNRLLLVIYMIWCLNLRWLPVM
+>NM_015678|373578_2_4011_4120
+MAKNQEKKSEKSKQLLRHKLCRVGLSPNKTEISELI
+>DECOY_NM_015678|373578_2_4011_4120
+ILESIETKNPSLGVRCLKHRLLQKSKESKKEQNKAM
+>NM_015678|373584_2_6357_6490
+MVLGEQFLIANCMISGVWITGKMIFVEGDDLFAMHLAPLMLKHC
+>DECOY_NM_015678|373584_2_6357_6490
+CHKLMLPALHMAFLDDGEVFIMKGTIWVGSIMCNAILFQEGLVM
+>NM_015678|373596_2_7416_7498
+MENLIIQIEPSHPLQGLGELVREILLM
+>DECOY_NM_015678|373596_2_7416_7498
+MLLIERVLEGLGQLPHSPEIQIILNEM
+>NM_015678|373604_3_6823_6923
+MDVQRDTSCIFKTLPSTKHCFGSIYGKPNLSYV
+>DECOY_NM_015678|373604_3_6823_6923
+VYSLNPKGYISGFCHKTSPLTKFICSTDRQVDM
+>NM_016540|373656_2_1340_1431
+MARGLPLPITSCPPPNSSLGRQTCHLWNPL
+>DECOY_NM_016540|373656_2_1340_1431
+LPNWLHCTQRGLSSNPPPCSTIPLPLGRAM
+>NM_002941|373721_2_1471_1676
+MESSFQPKTLESNSWRMEYCRSDMLSWVILVGTPALHQPPVVKQHGVLTLKFKNLEFQFSLQDLLTQI
+>DECOY_NM_002941|373721_2_1471_1676
+IQTLLDQLSFQFELNKFKLTLVGHQKVVPPQHLAPTGVLIVWSLMDSRCYEMRWSNSELTKPQFSSEM
+>NM_002941|373722_2_1519_1676
+MEYCRSDMLSWVILVGTPALHQPPVVKQHGVLTLKFKNLEFQFSLQDLLTQI
+>DECOY_NM_002941|373722_2_1519_1676
+IQTLLDQLSFQFELNKFKLTLVGHQKVVPPQHLAPTGVLIVWSLMDSRCYEM
+>NM_002941|373727_2_2419_2585
+MEWSKSIRFGVWAMKLDTTSTKQWMVPPFPWSFPFLFLESDTVWKWQPALGLGLG
+>DECOY_NM_002941|373727_2_2419_2585
+GLGLGLAPQWKWVTDSELFLFPFSWPFPPVMWQKTSTTDLKMAWVGFRISKSWEM
+>NM_002941|373731_2_3178_3260
+MGVLSIHQGSLLLTPPLSSSSQTSATT
+>DECOY_NM_002941|373731_2_3178_3260
+TTASTQSSSSLPPTLLLSGQHISLVGM
+>NM_002941|373732_2_3265_3365
+MAAGTLARSTGNHWDSRNKKWHQFSTTSWSKTS
+>DECOY_NM_002941|373732_2_3265_3365
+STKSWSTTSFQHWKKNRSDWHNGTSRALTGAAM
+>NM_002941|373744_3_5_93
+METCSFFGHDITPQLIPKSPVSGPAYSRP
+>DECOY_NM_002941|373744_3_5_93
+PRSYAPGSVPSKPILQPTIDHGFFSCTEM
+>NM_022450|373759_2_836_1014
+MAPFAGHSVEASLQLAFWRRTQLISPMSWTHPSLPGKVSSMKSCPHTRMKFSSPHRRQR
+>DECOY_NM_022450|373759_2_836_1014
+RQRRHPSSFKMRTHPCSKMSSVKGPLSPHTWSMPSILQTRRWFALQLSAEVSHGAFPAM
+>NM_022450|373770_3_447_655
+MAAQEHPSLQPALREAEAPGPPGAGPAQPGQRVADQHRDATPTLRGAMPAGHAEDHRPPGPWPCLPCGR
+>DECOY_NM_022450|373770_3_447_655
+RGCPLCPWPGPPRHDEAHGAPMAGRLTPTADRHQDAVRQGPQAPGAGPPGPAEAERLAPQLSPHEQAAM
+>NM_003773|373822_2_1355_1431
+MAMGAVCAATPVPVPSCISAPTVSA
+>DECOY_NM_003773|373822_2_1355_1431
+ASVTPASICSPVPVPTAACVAGMAM
+>NM_003773|373824_3_297_424
+MGHGAQAHSTTHLHWPALCGSVGRAHTGLWPTPQGATGPECL
+>DECOY_NM_003773|373824_3_297_424
+LCEPGTAGQPTPWLGTHARGVSGCLAPWHLHTTSHAQAGHGM
+>NM_016087|373863_3_285_466
+MELHDHRRRHYRPDGRQPPLWLRAEQRHQRDSIYLCCDGCRPGAFCDQVMQCRQHDRVFL
+>DECOY_NM_016087|373863_3_285_466
+LFVRDHQRCQMVQDCFAGPRCGDCCLYISDRQHRQEARLWLPPQRGDPRYHRRRHDHLEM
+>NM_000889|373903_2_1073_1149
+MGTATWTAMASTVAAQSLTTLLWVR
+>DECOY_NM_000889|373903_2_1073_1149
+RVWLLTTLSQAAVTSAMATWTATGM
+>NM_000889|373908_2_1997_2175
+MDAANATAASAWTATMVLYATNAQAARHHARDTGTVQSVGPSGLAHWPPTAVQLVPIPM
+>DECOY_NM_000889|373908_2_1997_2175
+MPIPVLQVATPPWHALGSPGVSQVTGTDRAHHRAAQANTAYLVMTATWASAATANAADM
+>NM_000889|373909_2_2042_2175
+MVLYATNAQAARHHARDTGTVQSVGPSGLAHWPPTAVQLVPIPM
+>DECOY_NM_000889|373909_2_2042_2175
+MPIPVLQVATPPWHALGSPGVSQVTGTDRAHHRAAQANTAYLVM
+>NM_000889|373911_2_2204_2292
+MAGAKSGPWTTSCSSSWWRMTPEARSCSE
+>DECOY_NM_000889|373911_2_2204_2292
+ESCSRAEPTMRWWSSSCSTTWPGSKAGAM
+>NM_000889|373913_3_249_592
+MAESSPVHAGVLPASPLLPEVHPLTPQLCMVQATELHRVGRGGGAALRPTRGAAGSRLPAGGAGGAPRPAGGAAGPAAQPGRPRRGCHPAGAAAGPGHAAAWGAPAAPGPLPSC
+>DECOY_NM_000889|373913_3_249_592
+CSPLPGPAAPAGWAAAHGPGAAAGAPHCGRRPRGPQAAPGAAGGAPRPAGGAGGAPLRSGAAGRTPRLAAGGGRGVRHLETAQVMCLQPTLPHVEPLLPSAPLVGAHVPSSEAM
+>NM_002980|374002_2_524_606
+MVPCSETAHRMAGQKPSPGLIWPVALM
+>DECOY_NM_002980|374002_2_524_606
+MLAVPWILGPSPKQGAMRHATESCPVM
+>NM_020135|374034_2_708_1033
+MATGTRTRTARTTRGTGTRTLPKPPPPSGPVAGAARTPGRWLPRRSDRCYRASRWPTRCVLTRCRITSGRARPWARIPCCARSWRPTKSPRLSCGGRRAAARPLWLTS
+>DECOY_NM_020135|374034_2_708_1033
+STLWLPRAAARRGGCSLRPSKTPRWSRACCPIRAWPRARGSTIRCRTLVCRTPWRSARYCRDSRRPLWRGPTRAAGAVPGSPPPPKPLTRTGTGRTTRATRTRTGTAM
+>NM_022468|374047_2_352_566
+MVTCRHPTLPRPSCRALRSCAMPSKSCRGSRGCRRPAAWTQGQWPPCVSPAAPCLTCWGWRGWSGGVAGTL
+>DECOY_NM_022468|374047_2_352_566
+LTGAVGGSWGRWGWCTLCPAAPSVCPPWQGQTWAAPRRCGRSGRCSKSPMACSRLARCSPRPLTPHRCTVM
+>NM_022468|374053_2_1054_1337
+MACSNSMGRRPKPHMTSPQGNPWLLRPSPRPRPHTAHPSPSLIDVRAILTPSPTSEGKLSSSKAPGSGASSPPDSWCPRDPHGCTASGRGCPPR
+>DECOY_NM_022468|374053_2_1054_1337
+RPPCGRGSATCGHPDRPCWSDPPSSAGSGPAKSSSLKGESTPSPTLIARVDILSPSPHATHPRPRPSPRLLWPNGQPSTMHPKPRRGMSNSCAM
+>NM_022468|374059_3_599_711
+MEGTFLPPELPAEPGDRAGPHELCPDGLGHGVRPHIS
+>DECOY_NM_022468|374059_3_599_711
+SIHPRVGHGLGDPCLEHPGARDGPEAPLEPPLFTGEM
+>NM_017662|374139_2_542_615
+MESTPIMPSILELLMIQNWIICYI
+>DECOY_NM_017662|374139_2_542_615
+IYCIIWNQIMLLELISPMIPTSEM
+>NM_017662|374165_3_4944_5053
+MGQSENANQRQETVKEKEEYSRTPGANHNSQCLLSE
+>DECOY_NM_017662|374165_3_4944_5053
+ESLLCQSNHNAGPTRSYEEKEKVTEQRQNANESQGM
+>NM_001045|374234_2_354_514
+MEKIVRKTEFYRRLFPPQGTKWSPGKYPMGTQQFQVLVREMTHGTLSQRPPPP
+>DECOY_NM_001045|374234_2_354_514
+PPPPRQSLTGHTMERVLVQFQQTGMPYKGPSWKTGQPPFLRRYFETKRVIKEM
+>NM_001045|374238_2_639_1087
+MEGGHSSSPTPSWPFLGESRSFTWSSHWDSTTEMDAFQYGGKSARFSKGLVMPSASLPFTLLPTTTPSWPGRYTTSSPPSRTSCPGPAARTPGTLATAPITSPRTTSPGPSIPRPLLKNFTRATSCRSTGLRGSRTWGASAGSWPSASC
+>DECOY_NM_001045|374238_2_639_1087
+CSASPWSGASAGWTRSGRLGTSRCSTARTFNKLLPRPISPGPSTTRPSTIPATALTGPTRAAPGPCSTRSPPSSTTYRGPWSPTTTPLLTFPLSASPMVLGKSFRASKGGYQFADMETTSDWHSSWTFSRSEGLFPWSPTPSSSHGGEM
+>NM_001045|374239_2_738_1087
+MDAFQYGGKSARFSKGLVMPSASLPFTLLPTTTPSWPGRYTTSSPPSRTSCPGPAARTPGTLATAPITSPRTTSPGPSIPRPLLKNFTRATSCRSTGLRGSRTWGASAGSWPSASC
+>DECOY_NM_001045|374239_2_738_1087
+CSASPWSGASAGWTRSGRLGTSRCSTARTFNKLLPRPISPGPSTTRPSTIPATALTGPTRAAPGPCSTRSPPSSTTYRGPWSPTTTPLLTFPLSASPMVLGKSFRASKGGYQFADM
+>NM_001045|374249_3_754_989
+MEENLPDFQRDWLCHLHHCLLHCFLLQHHHGLGAILPHLLLHGPAALDQLQELLEHWQLHQLLLRGQHHLDPPFHVPC
+>DECOY_NM_001045|374249_3_754_989
+CPVHFPPDLHHQGRLLLQHLQWHELLEQLQDLAAPGHLLLHPLIAGLGHHHQLLFCHLLCHHLHCLWDRQFDPLNEEM
+>NM_014437|374278_2_947_1059
+MGQGSHRRVEPQQPPQPCVPVYWCSPWPSTPCSRGWR
+>DECOY_NM_014437|374278_2_947_1059
+RWGRSCPTSPWPSCWYVPVCPQPPQQPEVRRHSGQGM
+>NM_199328|374292_3_578_654
+MDHGTGADCWRSSVLLRFLLQRKEQ
+>DECOY_NM_199328|374292_3_578_654
+QEKRQLLFRLLVSSRWCDAGTGHDM
+>NM_002641|374373_2_125_279
+MATVPQLHSLGLALEVFTHVEPVPIIYAWYLTFSTQIWEAWKATFTSSLSA
+>DECOY_NM_002641|374373_2_125_279
+ASLSSTFTAKWAEWIQTSFTLYWAYIIPVPEVHTFVELALGLSHLQPVTAM
+>NM_001421|374408_2_992_1164
+MAKAAPSICGSSSWLFCKTETPVPSTSSGPSERKASSNWWTPKLCPSCGGSRKTSLT
+>DECOY_NM_001421|374408_2_992_1164
+TLSTKRSGGCSPCLKPTWWNSSAKRESPGSSTSPVPTETKCFLWSSSGCISPAAKAM
+>NM_020403|374502_3_4087_4169
+MGEEGQACEWAHPDQSLERRQQQEPVQ
+>DECOY_NM_020403|374502_3_4087_4169
+QVPEQQQRRELSQDPHAWECAQGEEGM
+>NM_024557|374522_2_433_515
+MGNAILPCLETPTGKLPVLSLLNCKKN
+>DECOY_NM_024557|374522_2_433_515
+NKKCNLLSLVPLKGTPTELCPLIANGM
+>NM_001025201|374579_2_934_1028
+MGCQRKGCIHSEGHTGVNTVPTLCGVSLLRE
+>DECOY_NM_001025201|374579_2_934_1028
+ERLLSVGCLTPVTNVGTHGESHICGKRQCGM
+>NM_001143967|374651_2_2642_2754
+MGMLSWVPWPLIKMTGSSSRGIVKDTSRSGTSRITAH
+>DECOY_NM_001143967|374651_2_2642_2754
+HATIRSTGSRSTDKVIGRSSSGTMKILPWPVWSLMGM
+>NM_001143967|374655_2_3134_3210
+MVTPLAPPRRSYIWSCRSSGIWLRP
+>DECOY_NM_001143967|374655_2_3134_3210
+PRLWIGSSRCSWIYSRRPPALPTVM
+>NM_001143967|374658_3_2460_2599
+MAEEYVGSIQCLGGEDNLPADQASPAAHGCPAEQLHGRLHLRLVPP
+>DECOY_NM_001143967|374658_3_2460_2599
+PPVLRLHLRGHLQEAPCGHAAPSAQDAPLNDEGGLCQISGVYEEAM
+>NM_020825|374685_2_3010_3365
+METPSPPWGAPTHLSASLRGLSRSQWQTVSRAHLFSPYLSCPRPLSRMASPYRCPRQRAPAPGCLHQTSLLCSTSPCPAHLRMRCHRASLPHTLATPSLRSPSAPVSTVKESLFLQQN
+>DECOY_NM_020825|374685_2_3010_3365
+NQQLFLSEKVTSVPASPSRLSPTALTHPLSARHCRMRLHAPCPSTSCLLSTQHLCGPAPARQRPCRYPSAMRSLPRPCSLYPSFLHARSVTQWQSRSLGRLSASLHTPAGWPPSPTEM
+>NM_020825|374686_2_3151_3365
+MASPYRCPRQRAPAPGCLHQTSLLCSTSPCPAHLRMRCHRASLPHTLATPSLRSPSAPVSTVKESLFLQQN
+>DECOY_NM_020825|374686_2_3151_3365
+NQQLFLSEKVTSVPASPSRLSPTALTHPLSARHCRMRLHAPCPSTSCLLSTQHLCGPAPARQRPCRYPSAM
+>NM_003216|374783_2_354_460
+MANLSTWSTWTWMSSCWRMASPPAPPTWPTTCCCL
+>DECOY_NM_003216|374783_2_354_460
+LCCCTTPWTPPAPPSAMRWCSSMWTWTSWTSLNAM
+>NM_000152|374890_2_2948_3024
+MERAWKCWSEGPTHRSSSWPGITRS
+>DECOY_NM_000152|374890_2_2948_3024
+SRTIGPWSSSRHTPGESWCKWAREM
+>NM_000152|374893_3_1806_1879
+MARVHCLPRLHQPHSPGLVGGHGG
+>DECOY_NM_000152|374893_3_1806_1879
+GGHGGVLGPSHPQHLRPLCHVRAM
+>NM_145003|374987_2_226_533
+MESAISPARRQRASCRTAVWGRTGKVIWGQQARLLSQGPGSEGLGLPLKAAGCRSPPHHPPLARGRTRLLGPMAGWRGPALPGPRRGSPTSARRRPRCWCPR
+>DECOY_NM_145003|374987_2_226_533
+RPCWCRPRRRASTPSGRRPGPLAPGRWGAMPGLLRTRGRALPPHHPPSRCGAAKLPLGLGESGPGQSLLRAQQGWIVKGTRGWVATRCSARQRRAPSIASEM
+>NM_145003|374991_2_1171_1421
+MEWCRRKLQKSPERCFPWRRGAVNRVPRPRLPSWLMMRRSLTGVTTCGRARSRRCSRTSLKRTWRPSGCGRRPSCRWRATCWM
+>DECOY_NM_145003|374991_2_1171_1421
+MWCTARWRCSPRRGCGSPRWTRKLSTRSCRRSRARGCTTVGTLSRRMMLWSPLRPRPVRNVAGRRWPFCREPSKQLKRRCWEM
+>NM_173480|375012_2_281_399
+MGLNLKPMGQFLCRICTGKKNLRNRQYQTSQEIIPVPTL
+>DECOY_NM_173480|375012_2_281_399
+LTPVPIIEQSTQYQRNRLNKKGTCIRCLFQGMPKLNLGM
+>NM_173480|375015_2_497_792
+MEKPSIKCQILPCTRKFLLEKNHMNAPSAGQSSRIFLLLKGTSSLTVDEKHLQVRNVSRPAFVPHTYTVTEELTLRRSRISVKHVGKLSNILVTSPTT
+>DECOY_NM_173480|375015_2_497_792
+TTPSTVLINSLKGVHKVSIRSRRLTLEETVTYTHPVFAPRSVNRVQLHKEDVTLSSTGKLLLFIRSSQGASPANMHNKELLFKRTCPLIQCKISPKEM
+>NM_007363|375108_2_885_958
+MERQAKSSFIRIKDLALSAWKPEP
+>DECOY_NM_007363|375108_2_885_958
+PEPKWASLALDKIRIFSSKAQREM
+>NM_001145418|375320_2_353_450
+MEISTQLLFCIMKPWLLTLRTASYTAIDLQPT
+>DECOY_NM_001145418|375320_2_353_450
+TPQLDIATYSATRLTLLWPKMICFLLQTSIEM
+>NM_001145418|375340_2_2915_3051
+MDTGQWGACSKPLCALKRGSWLLMNLERPSIKPRPMESWEVCTAN
+>DECOY_NM_001145418|375340_2_2915_3051
+NATCVEWSEMPRPKISPRELNMLLWSGRKLACLPKSCAGWQGTDM
+>NM_001145418|375343_2_3503_3609
+MALASPFGLVETWRRPNTSFIGHQPCLKQSDMRHS
+>DECOY_NM_001145418|375343_2_3503_3609
+SHRMDSQKLCPQHGIFSTNPRRWTEVLGFPSALAM
+>NM_001145418|375348_2_4913_4995
+MGRASRTARPCRSCCLLPPTSWTCSCL
+>DECOY_NM_001145418|375348_2_4913_4995
+LCSCTWSTPPLLCCSRCPRATRSARGM
+>NM_001145418|375349_2_5414_5847
+MGSAMPCTHPSRVWRTKWAASLAGRPSSPLWASGWTPQPVACQRLSSSQPPTRATGSSSAAAHSSPCWVCPILPSKPFANSSLPPRRASSSSAGLLKIWLECSTRCWFSSRLARRSRTWHQLPFRSPSASSCGGSRDATSSWQL
+>DECOY_NM_001145418|375349_2_5414_5847
+LQWSSTADRSGGCSSASPSRFPLQHWTRSRRALRSSFWCRTSCELWIKLLGASSSSARRPPLSSNAFPKSPLIPCVWCPSSHAAASSSGTARTPPQSSSLRQCAVPQPTWGSAWLPSSPRGALSAAWKTRWVRSPHTCPMASGM
+>NM_006269|375429_2_3455_3537
+MELFKCQVHLQVFPFILQYVIHPLISF
+>DECOY_NM_006269|375429_2_3455_3537
+FSILPHIVYQLIFPFVQLHVQCKFLEM
+>NM_021156|375518_3_549_625
+MAISRASDWLEIPSFSNDVWNGWSF
+>DECOY_NM_021156|375518_3_549_625
+FSWGNWVDNSFSPIELWDSARSIAM
+>NM_021156|375519_3_642_802
+MASSQLFHSDSWNSCLVFLCVFRHSHLGFWPFYGSGLGGNIRMFLCATSKAFI
+>DECOY_NM_021156|375519_3_642_802
+IFAKSTACLFMRINGGLGSGYFPWFGLHSHRFVCLFVLCSNWSDSHFLQSSAM
+>NM_015959|375535_3_105_217
+MARPTLLPSVGPALCCLPTREETAAALPRSAHPTRRR
+>DECOY_NM_015959|375535_3_105_217
+RRRTPHASRPLAAATEERTPLCCLAPGVSPLLTPRAM
+>NM_018368|375583_2_347_561
+MVHLRTGLMLMSADRLRTLYYTVTILYILLYCSVCSSGSLLSTSIMKKRMMMILVNVLKLKRHSSILWDLL
+>DECOY_NM_018368|375583_2_347_561
+LLDWLISSHRKLKLVNVLIMMMRKKMISTSLLSGSSCVSCYLLIYLITVTYYLTRLRDASMLMLGTRLHVM
+>NM_174855|375689_3_74_192
+MERSEYLGRGARCIAEPGRGREGGGLLSRDHASGRRCGA
+>DECOY_NM_174855|375689_3_74_192
+AGCRRGSAHDRSLLGGGERGRGPEAICRAGRGLYESREM
+>NM_032946|375718_3_1001_1128
+MARREPVVQHLLGPVCLCKCHPGLFPQVVTPGRPRVIRTSDC
+>DECOY_NM_032946|375718_3_1001_1128
+CDSTRIVRPRGPTVVQPFLGPHCKCLCVPGLLHQVVPERRAM
+>NM_001145402|375747_3_2198_2835
+MGQGQGTGRRGPLPGAQQALLCGSAHPHQAHDHGQLQRAALEIRFGLTSLLALGDSAGVGHVNDGFSALPPRPAVLTGGEASGGQRAARVAHLGEGGQAAMGRDEGAAMGRDEGATLGPQGATQGALSLQAHLCQSEEGRNLPGAYPPDRLTVGGLTAIAPLGDPHLKDGGHSQGVPAAQEGVAGAHEDASPAPPGHCGVVFRNSFAHALRT
+>DECOY_NM_001145402|375747_3_2198_2835
+TRLAHAFSNRFVVGCHGPPAPSADEHAGAVGEQAAPVGQSHGGDKLHPDGLPAIATLGGVTLRDPPYAGPLNRGEESQCLHAQLSLAGQTAGQPGLTAGEDRGMAAGEDRGMAAQGGEGLHAVRAARQGGSAEGGTLVAPRPPLASFGDNVHGVGASDGLALLSTLGFRIELAARQLQGHDHAQHPHASGCLLAQQAGPLPGRRGTGQGQGM
+>NM_001145402|375748_3_2498_2835
+MGRDEGAAMGRDEGATLGPQGATQGALSLQAHLCQSEEGRNLPGAYPPDRLTVGGLTAIAPLGDPHLKDGGHSQGVPAAQEGVAGAHEDASPAPPGHCGVVFRNSFAHALRT
+>DECOY_NM_001145402|375748_3_2498_2835
+TRLAHAFSNRFVVGCHGPPAPSADEHAGAVGEQAAPVGQSHGGDKLHPDGLPAIATLGGVTLRDPPYAGPLNRGEESQCLHAQLSLAGQTAGQPGLTAGEDRGMAAGEDRGM
+>NM_001145402|375749_3_2522_2835
+MGRDEGATLGPQGATQGALSLQAHLCQSEEGRNLPGAYPPDRLTVGGLTAIAPLGDPHLKDGGHSQGVPAAQEGVAGAHEDASPAPPGHCGVVFRNSFAHALRT
+>DECOY_NM_001145402|375749_3_2522_2835
+TRLAHAFSNRFVVGCHGPPAPSADEHAGAVGEQAAPVGQSHGGDKLHPDGLPAIATLGGVTLRDPPYAGPLNRGEESQCLHAQLSLAGQTAGQPGLTAGEDRGM
+>NM_052870|375768_3_1839_1918
+MECIVQAERGDFDSSTTVGFRIIFYR
+>DECOY_NM_052870|375768_3_1839_1918
+RYFIIRFGVTTSSDFDGREAQVICEM
+>NM_003895|375828_2_1124_1239
+MDFFISMEVKFKDARVVQFEQTAWIVLIEQIVCRHFLA
+>DECOY_NM_003895|375828_2_1124_1239
+ALFHRCVIQEILVIWATQEFQVVRADKFKVEMSIFFDM
+>NM_003895|375830_2_1403_1497
+MVLALLPEQFRITSLTAPSKRPLMFCYWEIL
+>DECOY_NM_003895|375830_2_1403_1497
+LIEWYCFMLPRKSPATLSTIRFQEPLLALVM
+>NM_003895|375843_3_4482_4558
+MGNLRGRRGFWCEREVKVSLFRLTG
+>DECOY_NM_003895|375843_3_4482_4558
+GTLRFLSVKVERECWFGRRGRLNGM
+>NM_001145415|375975_2_2585_2700
+MDYKFGYSYSRHRTRAGVSAAWMTLPKALLFVFMQAKS
+>DECOY_NM_001145415|375975_2_2585_2700
+SKAQMFVFLLAKPLTMWAASVGARTRHRSYSYGFKYDM
+>NM_001145415|375978_2_2858_3084
+MATAVQRTLKSPMMIAQMITSVRMRTSAPVQCGGAMLPGGRPGARKRTDSLRQLPRTPTPQILDPHIFLFLPQSL
+>DECOY_NM_001145415|375978_2_2858_3084
+LSQPLFLFIHPDLIQPTPTRPLQRLSDTRKRAGPRGGPLMAGGCQVPASTRMRVSTIMQAIMMPSKLTRQVATAM
+>NM_005126|376078_2_662_741
+MEFMLAKAVRVSFGEVFNKTSSTRSA
+>DECOY_NM_005126|376078_2_662_741
+ASRTSSTKNFVEGFSVRVAKALMFEM
+>NM_005126|376081_2_1226_1299
+MGLAAIFPVVRASSISMDSSKGGI
+>DECOY_NM_005126|376081_2_1226_1299
+IGGKSSDMSISSARVVPFIAALGM
+>NM_152596|376200_2_528_628
+MANCAGCRWPQIAEFTYLTFSFWEVELSTMDFR
+>DECOY_NM_152596|376200_2_528_628
+RFDMTSLEVEWFSFTLYTFEAIQPWRCGACNAM
+>NM_152596|376203_3_895_986
+MVHPTCFTLFTENFGPGSYLPVTPSLGTPR
+>DECOY_NM_152596|376203_3_895_986
+RPTGLSPTVPLYSGPGFNETFLTFCTPHVM
+>NM_014884|376263_2_2847_3019
+MGERRPPLLEGRASLRAAPLPTAFPARLPRTTWLEHLPCHRPPQVPASLGRGSAASH
+>DECOY_NM_014884|376263_2_2847_3019
+HSAASGRGLSAPVQPPRHCPLHELWTTRPLRAPFATPLPAARLSARGELLPPRREGM
+>NM_014884|376266_2_3228_3358
+MAWAPSERASGSRSAWEPPRKGKGWVLTGRSTKKTHSMCSDRG
+>DECOY_NM_014884|376266_2_3228_3358
+GRDSCMSHTKKTSRGTLVWGKGKRPPEWASRSGSARESPAWAM
+>NM_005626|376377_2_599_732
+MAVTVLDAVDMVIEEVAEINMALLLAQSTDLLWRICQVGAAGKT
+>DECOY_NM_005626|376377_2_599_732
+TKGAAGVQCIRWLLDTSQALLLAMNIEAVEEIVMDVADLVTVAM
+>NM_005626|376378_2_629_732
+MVIEEVAEINMALLLAQSTDLLWRICQVGAAGKT
+>DECOY_NM_005626|376378_2_629_732
+TKGAAGVQCIRWLLDTSQALLLAMNIEAVEEIVM
+>NM_005626|376379_2_659_732
+MALLLAQSTDLLWRICQVGAAGKT
+>DECOY_NM_005626|376379_2_659_732
+TKGAAGVQCIRWLLDTSQALLLAM
+>NM_015305|376472_2_1455_1690
+MESSSTMGCQPGRYLDRKTSPISFTRGSCRPHCGPAPWASLIAVSMSPPVTPRDQRDASMAETSCYVSASAASLVSDQ
+>DECOY_NM_015305|376472_2_1455_1690
+QDSVLSAASASVYCSTEAMSADRQDRPTVPPSMSVAILSAWPAPGCHPRCSGRTFSIPSTKRDLYRGPQCGMTSSSEM
+>NM_015305|376473_2_1473_1690
+MGCQPGRYLDRKTSPISFTRGSCRPHCGPAPWASLIAVSMSPPVTPRDQRDASMAETSCYVSASAASLVSDQ
+>DECOY_NM_015305|376473_2_1473_1690
+QDSVLSAASASVYCSTEAMSADRQDRPTVPPSMSVAILSAWPAPGCHPRCSGRTFSIPSTKRDLYRGPQCGM
+>NM_015305|376475_2_1950_2092
+MGTELITGCIEMELSSSWVVSPFSLKRYSGLPMAYPTPSALQTTSAC
+>DECOY_NM_015305|376475_2_1950_2092
+CASTTQLASPTPYAMPLGSYRKLSFPSVVWSSSLEMEICGTILETGM
+>NM_015305|376476_2_1983_2092
+MELSSSWVVSPFSLKRYSGLPMAYPTPSALQTTSAC
+>DECOY_NM_015305|376476_2_1983_2092
+CASTTQLASPTPYAMPLGSYRKLSFPSVVWSSSLEM
+>NM_015305|376482_3_778_863
+MGGFLHPARCSGPEGRRWPSVPVHSDVL
+>DECOY_NM_015305|376482_3_778_863
+LVDSHVPVSPWRRGEPGSCRAPHLFGGM
+>NM_025249|376638_2_3280_3359
+MAEPGQYLTIAASSPARHTLAASATP
+>DECOY_NM_025249|376638_2_3280_3359
+PTASAALTHRAPSSAAITLYQGPEAM
+>NM_001145374|376851_2_535_659
+MEATQQALAGGTFGLRAWTAVTQSCLAKLRQMRFSKSWRKK
+>DECOY_NM_001145374|376851_2_535_659
+KKRWSKSFRMQRLKALCSQTVATWARLGFTGGALAQQTAEM
+>NM_001145374|376852_2_880_1064
+MAVTTSGSTEMMKENWPLGAPLPLSPSVPAETLSSGIRIPVGKAPPGGWRWSGCRWPTGAY
+>DECOY_NM_001145374|376852_2_880_1064
+YAGTPWRCGSWRWGGPPAKGVPIRIGSSLTEAPVSPSLPLPAGLPWNEKMMETSGSTTVAM
+>NM_001010878|376873_2_160_248
+MASPGFGRRWTSARPRRCWSLSWTPRLCP
+>DECOY_NM_001010878|376873_2_160_248
+PCLRPTWSLSWCRRPRASTWRRGFGPSAM
+>NM_018229|376945_2_569_687
+MVPFLKHCSLNLDYWMMIKTSLRVVIAVHASIKHPFMDS
+>DECOY_NM_018229|376945_2_569_687
+SDMFPHKISAHVAIVVRLSTKIMMWYDLNLSCHKLFPVM
+>NM_001142800|377083_2_2612_2721
+MEPPALTNLVITSASVCLHLKWLMAFPAYAIQAMLG
+>DECOY_NM_001142800|377083_2_2612_2721
+GLMAQIAYAPFAMLWKLHLCVSASTIVLNTLAPPEM
+>NM_001142800|377087_2_3242_3375
+MVTVKIWSTISGVFADLGFLDLCVKLKLMNVPLNLAKIMEHVWI
+>DECOY_NM_001142800|377087_2_3242_3375
+IWVHEMIKALNLPVNMLKLKVCLDLFGLDAFVGSITSWIKVTVM
+>NM_001142800|377091_2_3584_3804
+MEFVSMASIIIPVTARVGFLEHTVKQTPMIAFQILVYMEGAQNLLMNIHVHVMQMGLAHNVRSKLMTAHQSLV
+>DECOY_NM_001142800|377091_2_3584_3804
+VLSQHATMLKSRVNHALGMQMVHVHINMLLNQAGEMYVLIQFAIMPTQKVTHELFGVRATVPIIISAMSVFEM
+>NM_001142800|377106_2_7112_7206
+MGIIVESSFFIYFLWKEGHQLNMGVEILKIF
+>DECOY_NM_001142800|377106_2_7112_7206
+FIKLIEVGMNLQHGEKWLFYIFFSSEVIIGM
+>NM_001142800|377110_2_7517_7875
+MERILRTATSLGVLIICAATMAPASVIMKICFVSVQGCIQASCASLQVVKTTHVEMVPPVFQNPEQILSASAHMGGLDPSALMLLILLSQGSVAQMPLDTPHSWLIHGSQTSASIMNST
+>DECOY_NM_001142800|377110_2_7517_7875
+TSNMISASTQSGHILWSHPTDLPMQAVSGQSLLILLMLASPDLGGMHASASLIQEPNQFVPPVMEVHTTKVVQLSACSAQICGQVSVFCIKMIVSAPAMTAACIILVGLSTATRLIREM
+>NM_001142800|377111_2_7577_7875
+MAPASVIMKICFVSVQGCIQASCASLQVVKTTHVEMVPPVFQNPEQILSASAHMGGLDPSALMLLILLSQGSVAQMPLDTPHSWLIHGSQTSASIMNST
+>DECOY_NM_001142800|377111_2_7577_7875
+TSNMISASTQSGHILWSHPTDLPMQAVSGQSLLILLMLASPDLGGMHASASLIQEPNQFVPPVMEVHTTKVVQLSACSAQICGQVSVFCIKMIVSAPAM
+>NM_001142800|377113_2_7682_7875
+MVPPVFQNPEQILSASAHMGGLDPSALMLLILLSQGSVAQMPLDTPHSWLIHGSQTSASIMNST
+>DECOY_NM_001142800|377113_2_7682_7875
+TSNMISASTQSGHILWSHPTDLPMQAVSGQSLLILLMLASPDLGGMHASASLIQEPNQFVPPVM
+>NM_001142800|377120_2_8249_8385
+MVFKAVFSLFKFALRRMAISEDWEILRATQMLDAVLASVMLLPAV
+>DECOY_NM_001142800|377120_2_8249_8385
+VAPLLMVSALVADLMQTARLIEWDESIAMRRLAFKFLSFVAKFVM
+>NM_001142800|377121_2_8297_8385
+MAISEDWEILRATQMLDAVLASVMLLPAV
+>DECOY_NM_001142800|377121_2_8297_8385
+VAPLLMVSALVADLMQTARLIEWDESIAM
+>NM_020706|377167_2_784_857
+MECSKLKLFNLFWTWQREPVMQPQ
+>DECOY_NM_020706|377167_2_784_857
+QPQMVPERQWTWFLNFLKLKSCEM
+>NM_020706|377173_2_2356_2450
+MEVLKPHTQNQYHPYLNHYLCLSLLFLFLHL
+>DECOY_NM_020706|377173_2_2356_2450
+LHLFLFLLSLCLYHNLYPHYQNQTHPKLVEM
+>NM_020706|377178_2_3472_3674
+MGTVMMIEIIVTVTGESGEGGALTGTGTETWKREIDALVGIETEREILEIESLVERRKKPEERKSLR
+>DECOY_NM_020706|377178_2_3472_3674
+RLSKREEPKKRREVLSEIELIERETEIGVLADIERKWTETGTGTLAGGEGSEGTVTVIIEIMMVTGM
+>NM_001702|377297_2_2812_2978
+MAPPTRPVSCGMRRMYPPPPPPRSSGPGRGAAAARCPSTPSGRAASVTGSPPSPS
+>DECOY_NM_001702|377297_2_2812_2978
+SPSPPSGTVSAARGSPTSPCRAAAAGRGPGSSRPPPPPPYMRRMGCSVPRTPPAM
+>NM_001702|377305_3_1772_1875
+MGQLQRHVWGWQPATGACLLWALLRGSSLPGPPG
+>DECOY_NM_001702|377305_3_1772_1875
+GPPGPLSSGRLLAWLLCAGTAPQWGWVHRQLQGM
+>NM_030929|377317_2_1547_1626
+MAWTSSCQGMTPTSLCSLGVDPRGLR
+>DECOY_NM_030929|377317_2_1547_1626
+RLGRPDVGLSCLSTPTMGQCSSTWAM
+>NM_002523|377331_2_1135_1226
+MACGRHSRTERSWALGRTWPPGTPSSPGAC
+>DECOY_NM_002523|377331_2_1135_1226
+CAGPSSPTGPPWTRGLAWSRETRSHRGCAM
+>NM_173542|377395_2_182_432
+MGRSLQPPAAARCSWTSRRASCLWWTDATLTPWPGPTSPTPSARLGGPSWSWAQVANTMTACRPMQPVWWRLLCRRSSSTCTG
+>DECOY_NM_173542|377395_2_182_432
+GTCTSSSRRCLLRWWVPQMPRCATMTNAVQAWSWSPGGLRASPTPSTPGPWPTLTADTWWLCSARRSTWSCRAAAPPQLSRGM
+>NM_173542|377398_2_1367_1461
+MGTGFLMTGAPGPRSSGGTSHWYKTWTPWSG
+>DECOY_NM_173542|377398_2_1367_1461
+GSWPTWTKYWHSTGGSSRPGPAGTMLFGTGM
+>NM_001145465|377413_2_242_393
+MESRNGEKKEKQAERENEKKKKKTKRSCKMNRKTKGKGKMRNRNSIPRKD
+>DECOY_NM_001145465|377413_2_242_393
+DKRPISNRNRMKGKGKTKRNMKCSRKTKKKKKENEREAQKEKKEGNRSEM
+>NM_001145465|377414_3_255_331
+MERRRRSRQKERTRKRRKKRKGAAR
+>DECOY_NM_001145465|377414_3_255_331
+RAAGKRKKRRKRTREKQRSRRRREM
+>NM_005275|377430_2_1110_1195
+MGCAPVPTAAAGAGSGERNRPTPRTGSL
+>DECOY_NM_005275|377430_2_1110_1195
+LSGTRPTPRNREGSGAGAAATPVPACGM
+>NM_005275|377433_2_1992_2110
+MALGRRRKRRMAQQSWWSSRLIQQWSQLAQPKSATRMGW
+>DECOY_NM_005275|377433_2_1992_2110
+WGMRTASKPQALQSWQQILRSSWWSQQAMRRKRRRGLAM
+>NM_005275|377434_2_2022_2110
+MAQQSWWSSRLIQQWSQLAQPKSATRMGW
+>DECOY_NM_005275|377434_2_2022_2110
+WGMRTASKPQALQSWQQILRSSWWSQQAM
+>NM_001145475|377728_3_2735_2841
+MAPDEGGKARTTEPETVAGRRDVEGRAKTGNSKAG
+>DECOY_NM_001145475|377728_3_2735_2841
+GAKSNGTKARGEVDRRGAVTEPETTRAKGGEDPAM
+>NM_001145475|377730_3_6326_6438
+MVPEIPIPSYRQALDTEFSFRYQETQSNGAPFLSSRT
+>DECOY_NM_001145475|377730_3_6326_6438
+TRSSLFPAGNSQTEQYRFSFETDLAQRYSPIPIEPVM
+>NM_173641|377983_2_252_364
+MGGRRSAAWMNTTVPSARTKCAMCWSPTRTTGCRLAG
+>DECOY_NM_173641|377983_2_252_364
+GALRCGTTRTPSWCMACKTRASPVTTNMWAASRRGGM
+>NM_020660|377998_3_8_141
+MDHLGEAARSRGAAALHYDRKDPVDCGGDLPDPHCGHCGGDGVR
+>DECOY_NM_020660|377998_3_8_141
+RVGDGGCHGCHPDPLDGGCDVPDKRDYHLAAAGRSRAAEGLHDM
+>NM_031992|378007_2_312_436
+MVHCWAIGHFVWTLQKAENKIKVALDSEKVDQMTEASGMTS
+>DECOY_NM_031992|378007_2_312_436
+STMGSAETMQDVKESDLAVKIKNEAKQLTWVFHGIAWCHVM
+>NM_031992|378008_2_504_622
+MALPSVDPTWISENPQKRKEHRDHDSSLNLEQSRRPSIK
+>DECOY_NM_031992|378008_2_504_622
+KISPRRSQELNLSSDHDRHEKRKQPNESIWTPDVSPLAM
+>NM_001130161|378140_2_841_1082
+MGQFQCLRSTTFVRAPNLYHTQEIKKKARSLVLVSYGAAYLERRSPKQNTAVSLLSSHLKNGPSEMKMTWTISLEMLNMR
+>DECOY_NM_001130161|378140_2_841_1082
+RMNLMELSITWTMKMESPGNKLHSSLLSVATNQKPSRRELYAAGYSVLVLSRAKKKIEQTHYLNPARVFTTSRLCQFQGM
+>NM_138962|378217_2_882_1069
+MAISSQASQQRLMDQWQQRRWRQQEDQAPTRRGPEASRGPTAQDLSPISTALPARTPEWGIT
+>DECOY_NM_138962|378217_2_882_1069
+TIGWEPTRAPLATSIPSLDQATPGRSAEPGRRTPAQDEQQRWRRQQWQDMLRQQSAQSSIAM
+>NM_018112|378237_3_245_360
+MEESYFKLVYCYAPLFWWRNFILSTACRASIEVSCKPH
+>DECOY_NM_018112|378237_3_245_360
+HPKCSVEISARCATSLIFNRWWFLPAYCYVLKFYSEEM
+>NM_012320|378299_2_999_1171
+MAGSCGRTQKGWWKPRCHLACSCTASMVLASPHQTPSTMRASLTVTLKSALVTAMVL
+>DECOY_NM_012320|378299_2_999_1171
+LVMATVLASKLTVTLSARMTSPTQHPSALVMSATCSCALHCRPKWWGKQTRGCSGAM
+>NM_001416|378320_2_137_213
+MAPMGWSPKASSRVTGMRLLTALMT
+>DECOY_NM_001416|378320_2_137_213
+TMLATLLRMGTVRSSAKPSWGMPAM
+>NM_020647|378347_2_68_501
+MAAPTAAAGRRARRTGMASARGPRARASTRAPGRTASRWSEATPGPAATPTRATGRRASGTGWGWRRRASGCTGGSGHMVSRGATGSGRACAPPLATRVPGVTGCKTGTAWRPTGTEVPTRASGPEACGMATACARACPTAWPR
+>DECOY_NM_020647|378347_2_68_501
+RPWATPCARACATAMGCAEPGSARTPVETGTPRWATGTKCGTVGPVRTALPPACARGSGTAGRSVMHGSGGTCGSARRRWGWGTGSARRGTARTPTAAPGPTAESWRSATRGPARTSARARPGRASAMGTRRARRGAAATPAAM
+>NM_020647|378348_2_116_501
+MASARGPRARASTRAPGRTASRWSEATPGPAATPTRATGRRASGTGWGWRRRASGCTGGSGHMVSRGATGSGRACAPPLATRVPGVTGCKTGTAWRPTGTEVPTRASGPEACGMATACARACPTAWPR
+>DECOY_NM_020647|378348_2_116_501
+RPWATPCARACATAMGCAEPGSARTPVETGTPRWATGTKCGTVGPVRTALPPACARGSGTAGRSVMHGSGGTCGSARRRWGWGTGSARRGTARTPTAAPGPTAESWRSATRGPARTSARARPGRASAM
+>NM_020647|378350_2_554_648
+MAACSTTPQPPPTARPAPAAVSCSTSTQTLS
+>DECOY_NM_020647|378350_2_554_648
+SLTQTSTSCSVAAPAPRATPPPQPTTSCAAM
+>NM_020647|378352_2_980_1059
+MDMAVPCFLTAPKKRENTKIIFWSVG
+>DECOY_NM_020647|378352_2_980_1059
+GVSWFIIKTNERKKPATLFCPVAMDM
+>NM_020647|378353_2_986_1059
+MAVPCFLTAPKKRENTKIIFWSVG
+>DECOY_NM_020647|378353_2_986_1059
+GVSWFIIKTNERKKPATLFCPVAM
+>NM_020647|378357_2_1751_1824
+MDPASLPQHWCTSHPLTSGVPPNL
+>DECOY_NM_020647|378357_2_1751_1824
+LNPPVGSTLPHSTCWHQPLSAPDM
+>NM_175913|378405_2_901_1094
+MEGRTAGAGRGERPMGMDCAQAPRARANTLAPGTLALRWQVSTPGPAETPLRDTGARANGMGWA
+>DECOY_NM_175913|378405_2_901_1094
+AWGMGNARAGTDRLPTEAPGPTSVQWRLALTGPALTNARARPAQACDMGMPREGRGAGATRGEM
+>NM_175913|378407_2_949_1094
+MDCAQAPRARANTLAPGTLALRWQVSTPGPAETPLRDTGARANGMGWA
+>DECOY_NM_175913|378407_2_949_1094
+AWGMGNARAGTDRLPTEAPGPTSVQWRLALTGPALTNARARPAQACDM
+>NM_020433|378428_2_1207_1787
+MACKTAMAPRPMLMEGRTKASSPTACAMATEYARACPTGWPWWCARRCARRCRPCAASTATARWPRTLPPRRPPTAPRCPRPPSRVAASRSASWPMPRRPRGRPRAAASSSGARCWASCGAQSRARPWVASAAVSASLRATSARAPATPRPPPAWERPPRAPTRPHPSRPISTPPPPRPTWASGRTTNARASA
+>DECOY_NM_020433|378428_2_1207_1787
+ASARANTTRGSAWTPRPPPPTSIPRSPHPRTPARPPREWAPPPRPTAPARASTARLSASVAASAVWPRARSQAGCSAWCRAGSSSAAARPRGRPRRPMPWSASRSAAVRSPPRPCRPATPPRRPPLTRPWRATATSAACPRCRRACRRACWWPWGTPCARAYETAMACATPSSAKTRGEMLMPRPAMATKCAM
+>NM_020433|378429_2_1225_1787
+MAPRPMLMEGRTKASSPTACAMATEYARACPTGWPWWCARRCARRCRPCAASTATARWPRTLPPRRPPTAPRCPRPPSRVAASRSASWPMPRRPRGRPRAAASSSGARCWASCGAQSRARPWVASAAVSASLRATSARAPATPRPPPAWERPPRAPTRPHPSRPISTPPPPRPTWASGRTTNARASA
+>DECOY_NM_020433|378429_2_1225_1787
+ASARANTTRGSAWTPRPPPPTSIPRSPHPRTPARPPREWAPPPRPTAPARASTARLSASVAASAVWPRARSQAGCSAWCRAGSSSAAARPRGRPRRPMPWSASRSAAVRSPPRPCRPATPPRRPPLTRPWRATATSAACPRCRRACRRACWWPWGTPCARAYETAMACATPSSAKTRGEMLMPRPAM
+>NM_020433|378432_2_1288_1787
+MATEYARACPTGWPWWCARRCARRCRPCAASTATARWPRTLPPRRPPTAPRCPRPPSRVAASRSASWPMPRRPRGRPRAAASSSGARCWASCGAQSRARPWVASAAVSASLRATSARAPATPRPPPAWERPPRAPTRPHPSRPISTPPPPRPTWASGRTTNARASA
+>DECOY_NM_020433|378432_2_1288_1787
+ASARANTTRGSAWTPRPPPPTSIPRSPHPRTPARPPREWAPPPRPTAPARASTARLSASVAASAVWPRARSQAGCSAWCRAGSSSAAARPRGRPRRPMPWSASRSAAVRSPPRPCRPATPPRRPPLTRPWRATATSAACPRCRRACRRACWWPWGTPCARAYETAM
+>NM_020433|378434_2_1849_2393
+MAAPRCPTATARRASTATTCWSRTPSAACCSSRATRSARKWSTVWRVPSAPLLSRARRPRLPPPGQATPRPKLRQRNRPPWLPTRSPTLLALWPGSWLRTSTSQVRNIRSAGCCRRSWRTRRACWSPPTGAPAQRASHSRPARARSCTSVRPLGPRVAPRHRPGRPRSPSGPGPGCPRTAC
+>DECOY_NM_020433|378434_2_1849_2393
+CATRPCGPGPGSPSRPRGPRHRPAVRPGLPRVSTCSRARAPRSHSARQAPAGTPPSWCARRTRWSRRCCGASRINRVQSTSTRLWSGPWLALLTPSRTPLWPPRNRQRLKPRPTAQGPPPLRPRRARSLLPASPVRWVTSWKRASRTARSSCCAASPTRSWCTTATSARRATATPCRPAAM
+>NM_001099439|378475_2_1869_2107
+MAKEEGMPMMKRSCISTSKSQHVAHSWTPRAVGTCCRLCICSPRNWMRKASRWRGALEEGGLGSCAVAACSSPVARSCS
+>DECOY_NM_001099439|378475_2_1869_2107
+SCSRAVPSSCAAVACSGLGGEELAGRWRSAKRMWNRPSCICLRCCTGVARPTWSHAVHQSKSTSICSRKMMPMGEEKAM
+>NM_001813|378567_3_629_711
+MDYKGRKEQALWRNKNESKKQSFSYHL
+>DECOY_NM_001813|378567_3_629_711
+LHYSFSQKKSENKNRWLAQEKRGKYDM
+>NM_000718|378645_2_179_444
+MGAPAAESGPGAAGPAGRGARVPGGCSPASGSSTSNRSRSARGPWRCTTPSRSSRTASPSTARSSSSARTTSSANTRSASPSGLHSSI
+>DECOY_NM_000718|378645_2_179_444
+ISSHLGSPSASRTNASSTTRASSSSRATSPSATRSSRSPTTCRWPGRASRSRNSTSSGSAPSCGGPVRAGRGAPGAAGPGSEAAPAGM
+>NM_000718|378658_2_5909_6084
+MAGPYKTKRVASKSLSPGALKGPRMHPMRPGHPWSVATPQRSLWGGQEHWLWTFRCRA
+>DECOY_NM_000718|378658_2_5909_6084
+ARCRFTWLWHEQGGWLSRQPTAVSWPHGPRMPHMRPGKLAGPSLSKSAVRKTKYPGAM
+>NM_012391|378746_2_1208_1314
+MAASLGGSTRRRASSKLRTQPRWPGCGASARTVPP
+>DECOY_NM_012391|378746_2_1208_1314
+PPVTRASAGCGPWRPQTRLKSSARRRTSGGLSAAM
+>NM_031296|378782_3_646_731
+MGHSRTRTIQKEHGSALLQKCTCCCLRV
+>DECOY_NM_031296|378782_3_646_731
+VRLCCCTCKQLLASGHEKQITRTRSHGM
+>NM_012253|378803_2_438_526
+MASPQKALSGRQWPLLPTTVWTILWQPLM
+>DECOY_NM_012253|378803_2_438_526
+MLPQWLITWVTTPLLPWQRGSLAKQPSAM
+>NM_012253|378811_3_343_437
+MARTRTGSCMWNGIYWQVLRQGQLPGVLPHE
+>DECOY_NM_012253|378811_3_343_437
+EHPLVGPLQGQRLVQWYIGNWMCSGTRTRAM
+>NM_015028|378862_2_97_218
+MEHTGKFIRVVMSKRASLQPSRLWMSQGMKRKKSNKKLTC
+>DECOY_NM_015028|378862_2_97_218
+CTLKKNSKKRKMGQSMWLRSPQLSARKSMVVRIFKGTHEM
+>NM_015028|378873_2_2761_3068
+MALLATSTSLTWCSRAILQLEPRLRDWGASQPIPRRWTLGLNMAWGAAPKPPSPPLWTPEYTRRLPLMKMKRMRNHQPQLCLLANFLGKNRPNSMKQERFRW
+>DECOY_NM_015028|378873_2_2761_3068
+WRFREQKMSNPRNKGLFNALLCLQPQHNRMRKMKMLPLRRTYEPTWLPPSPPKPAAGWAMNLGLTWRRPIPQSAGWDRLRPELQLIARSCWTLSTSTALLAM
+>NM_015028|378874_2_2887_3068
+MAWGAAPKPPSPPLWTPEYTRRLPLMKMKRMRNHQPQLCLLANFLGKNRPNSMKQERFRW
+>DECOY_NM_015028|378874_2_2887_3068
+WRFREQKMSNPRNKGLFNALLCLQPQHNRMRKMKMLPLRRTYEPTWLPPSPPKPAAGWAM
+>NM_015028|378883_3_1595_1680
+MGQGGRRTVKAQPAKFPCHASQGCQQDI
+>DECOY_NM_015028|378883_3_1595_1680
+IDQQCGQSAHCPFKAPQAKVTRRGGQGM
+>NM_017609|378911_3_335_480
+MVHAEADSSTRGTGTAGEPAAAERGLCGDPRPAPGPGREGPAPAGHAS
+>DECOY_NM_017609|378911_3_335_480
+SAHGAPAPGERGPGPAPRPDGCLGREAAAPEGATGTGRTSSDAEAHVM
+>NM_017609|378912_3_1922_2208
+MGGTSGKQALSQPGPVGAGPGQLQRFLLLWNGELPVPYISGEIGRHELARVPGGSPAGPGAVLPELEEAHGERGAQEICWPLGSQLEKQCVSFRR
+>DECOY_NM_017609|378912_3_1922_2208
+RRFSVCQKELQSGLPWCIEQAGREGHAEELEPLVAGPGAPSGGPVRALEHRGIEGSIYPVPLEGNWLLLFRQLQGPGAGVPGPQSLAQKGSTGGM
+>NM_006598|378956_2_193_350
+MEIQEKTAHSSTMSRWNKRASLKGRTWHFSRRRWTVTPWCPRCSTSWPTTPT
+>DECOY_NM_006598|378956_2_193_350
+TPTTPWSTSCRPCWPTVTWRRRSFHWTRGKLSARKNWRSMTSSHATKEQIEM
+>NM_005850|379250_2_610_1007
+MAQQPNDFWQLRTRSPRLIALISCLQMHLLHPLLPILWYHHWGLGFLHQACLLLAPSHPQCHLLEPSHLGYPQPCPHHLCLLGLQDMAPHRQEPQGQDILVMDTHILTHSHRVGCPIQGCLRCSLHTMALMA
+>DECOY_NM_005850|379250_2_610_1007
+AMLAMTHLSCRLCGQIPCGVRHSHTLIHTDMVLIDQGQPEQRHPAMDQLGLLCLHHPCPQPYGLHSPELLHCQPHSPALLLCAQHLFGLGWHHYWLIPLLPHLLHMQLCSILAILRPSRTRLQWFDNPQQAM
+>NM_005850|379252_2_868_1007
+MAPHRQEPQGQDILVMDTHILTHSHRVGCPIQGCLRCSLHTMALMA
+>DECOY_NM_005850|379252_2_868_1007
+AMLAMTHLSCRLCGQIPCGVRHSHTLIHTDMVLIDQGQPEQRHPAM
+>NM_005850|379253_2_913_1007
+MDTHILTHSHRVGCPIQGCLRCSLHTMALMA
+>DECOY_NM_005850|379253_2_913_1007
+AMLAMTHLSCRLCGQIPCGVRHSHTLIHTDM
+>NM_001354|379336_2_795_919
+MEKYYLTQWISVPHGRPWRSVKMQDWPSPSGCPTSTTGCWR
+>DECOY_NM_001354|379336_2_795_919
+RWCGTTSTPCGSPSPWDQMKVSRWPRGHPVSIWQTLYYKEM
+>NM_001354|379338_3_1072_1214
+MGGPELPGALGGPSPLCLGKKAQANPSPDCPALPAAAWGCGPGQELQ
+>DECOY_NM_001354|379338_3_1072_1214
+QLEQGPGCGWAAAPLAPCDPSPNAQAKKGLCLPSPGGLAGPLEPGGM
+>NM_004663|379421_3_319_401
+MGHSRARAISSYNISILSWSCRCLIGL
+>DECOY_NM_004663|379421_3_319_401
+LGILCRCSWSLISINYSSIARARSHGM
+>NM_003292|379516_2_6172_6551
+MENMRIMKRMRKMMMMMKMTQGWEMRVKIVMKELVVPMAMMVMKLMMLRVVMGLIQVQKQKKVWVEVKVITELLILKTVVKEIQVLQNLLFLRRFLENNSHHQHLKDRPLEHLSHRDAHHIHFPQD
+>DECOY_NM_003292|379516_2_6172_6551
+DQPFHIHHADRHSLHELPRDKLHQHHSNNELFRRLFLLNQLVQIEKVVTKLILLETIVKVEVWVKKQKQVQILGMVVRLMMLKMVMMAMPVVLEKMVIKVRMEWGQTMKMMMMMKRMRKMIRMNEM
+>NM_003292|379530_2_6292_6551
+MVMKLMMLRVVMGLIQVQKQKKVWVEVKVITELLILKTVVKEIQVLQNLLFLRRFLENNSHHQHLKDRPLEHLSHRDAHHIHFPQD
+>DECOY_NM_003292|379530_2_6292_6551
+DQPFHIHHADRHSLHELPRDKLHQHHSNNELFRRLFLLNQLVQIEKVVTKLILLETIVKVEVWVKKQKQVQILGMVVRLMMLKMVM
+>NM_003292|379534_2_6325_6551
+MGLIQVQKQKKVWVEVKVITELLILKTVVKEIQVLQNLLFLRRFLENNSHHQHLKDRPLEHLSHRDAHHIHFPQD
+>DECOY_NM_003292|379534_2_6325_6551
+DQPFHIHHADRHSLHELPRDKLHQHHSNNELFRRLFLLNQLVQIEKVVTKLILLETIVKVEVWVKKQKQVQILGM
+>NM_001949|379577_2_1432_1580
+MGISLNPLPKTWLQPTQDIAIAQFLWETFLLWPPQPTSYSRLRTKFLPT
+>DECOY_NM_001949|379577_2_1432_1580
+TPLFKTRLRSYSTPQPPWLLFTEWLFQAIAIDQTPQLWTKPLPNLSIGM
+>NM_015716|379624_2_293_414
+MEPTDRCTRVGMSRRGSWLPSRSWMSRRTRRKRSNRRSTC
+>DECOY_NM_015716|379624_2_293_414
+CTSRRNSRKRRTRRSMWSRSPLWSGRRSMGVRTCRDTPEM
+>NM_015716|379629_2_2654_2913
+MGIQTASAPWWSTTSRRSPGPSPHTGAAPWWSSAPLKRSGTCCMLTAMGTQTCLTWSSPATHPPRTAKAKAHPRRMGVVTTSLVGW
+>DECOY_NM_015716|379629_2_2654_2913
+WGVLSTTVVGMRRPHAKAKATRPPHTAPSSWTLCTQTGMATLMCCTGSRKLPASSWWPAAGTHPSPGPSRRSTTSWWPASATQIGM
+>NM_015716|379631_2_2795_2913
+MGTQTCLTWSSPATHPPRTAKAKAHPRRMGVVTTSLVGW
+>DECOY_NM_015716|379631_2_2795_2913
+WGVLSTTVVGMRRPHAKAKATRPPHTAPSSWTLCTQTGM
+>NM_001356|379662_2_1168_1514
+MALAAVVTEVALANLNVVETVAGVTNQMKMIGQNHSHQVNAWNRNSFLEATLGLILRNTMTFQLRQQATTVLHILKVSVMLRWEKLSWETLSLLVILAQLQCKSMLFLLSKRKET
+>DECOY_NM_001356|379662_2_1168_1514
+TEKRKSLLFLMSKCQLQALIVLLSLTEWSLKEWRLMVSVKLIHLVTTAQQRLQFTMTNRLILGLTAELFSNRNWANVQHSHNQGIMKMQNTVGAVTEVVNLNALAVETVVAALAM
+>NM_001607|379827_2_622_710
+MGLMTLAWPVGWSPCPWLTEGTLEILLRA
+>DECOY_NM_001607|379827_2_622_710
+ARLLIELTGETLWPCPSWGVPWALTMLGM
+>NM_001607|379830_2_1045_1118
+MGQLPSCWPGGPRQKSWAFPSLGS
+>DECOY_NM_001607|379830_2_1045_1118
+SGLSPFAWSKQRPGGPWCSPLQGM
+>NM_002954|380042_2_558_664
+MAKLVAFVESALLMNVVLGCLWQVTLTDIIVANVV
+>DECOY_NM_002954|380042_2_558_664
+VVNAVIIDTLTVQWLCGLVVNMLLASEVFAVLKAM
+>NM_007124|380137_2_102_214
+MENMKPVLTMGRTNSVISLSPDLMNTMTYRRKPLPNG
+>DECOY_NM_007124|380137_2_102_214
+GNPLPKRRYTMTNMLDPSLSIVSNTRGMTLVPKMNEM
+>NM_007124|380139_2_129_214
+MGRTNSVISLSPDLMNTMTYRRKPLPNG
+>DECOY_NM_007124|380139_2_129_214
+GNPLPKRRYTMTNMLDPSLSIVSNTRGM
+>NM_007124|380158_2_7254_7330
+MVSSWRSITSCRNSWRNMGVMTQGM
+>DECOY_NM_007124|380158_2_7254_7330
+MGQTMVGMNRWSNRCSTISRWSSVM
+>NM_007124|380164_2_8235_8347
+MAGSPWETYSLTRCRITLKKSWHLEKKLHQSTLKLKR
+>DECOY_NM_007124|380164_2_8235_8347
+RKLKLTSQHLKKELHWSKKLTIRCRTLSYTEWPSGAM
+>NM_007124|380169_2_8838_8959
+MDLSKCIRTWSTFHSVLICVSIGCSMSMTRVELEKLECRV
+>DECOY_NM_007124|380169_2_8838_8959
+VRCELKELEVRTMSMSCGISVCILVSHFTSWTRICKSLDM
+>NM_007124|380178_3_1951_2093
+MGFFGSETRRFLQPGDSGCSKAGDVSDSSEGPFGDCSCKRTSNYKKI
+>DECOY_NM_007124|380178_3_1951_2093
+IKKYNSTRKCSCDGFPGESSDSVDGAKSCGSDGPQLFRRTESGFFGM
+>NM_007124|380179_3_2206_2330
+MENCHSDHRDKRVYEDARHFRNEKEVEGIRKRTERKNPQSR
+>DECOY_NM_007124|380179_3_2206_2330
+RSQPNKRETRKRIGEVEKENRFHRADEYVRKDRHDSHCNEM
+>NM_007124|380180_3_2428_2513
+MEECISTFGRSRKKDSATGRYKCLFQAA
+>DECOY_NM_007124|380180_3_2428_2513
+AAQFLCKYRGTASDKKRSRGFTSICEEM
+>NM_016823|380248_2_836_924
+MGPYMPGLSRSESPMPTTRQPWLWRSVSW
+>DECOY_NM_016823|380248_2_836_924
+WSVSRWLWPQRTTPMPSESRSLGPMYPGM
+>NM_001113490|380402_2_73_185
+MAILVRIAACLPYTSKPQGMALLSPVAVGTRALRVMC
+>DECOY_NM_001113490|380402_2_73_185
+CMVRLARTGVAVPSLLAMGQPKSTYPLCAAIRVLIAM
+>NM_001113490|380407_2_859_941
+MEQPGQRRTSHCHCQPGTRSLTALLLL
+>DECOY_NM_001113490|380407_2_859_941
+LLLLATLSRTGPQCHCHSTRRQGPQEM
+>NM_003812|380719_2_731_912
+MVCCLLIMWRFTTKMGNHSTLRVESTVTTMEASEASKTPRWLCQPAMDFMACLKMIPSCI
+>DECOY_NM_003812|380719_2_731_912
+ICSPIMKLCAMFDMAPQCLWRPTKSAESAEMTTVTSEVRLTSHNGMKTTFRWMILLCCVM
+>NM_003812|380721_2_773_912
+MGNHSTLRVESTVTTMEASEASKTPRWLCQPAMDFMACLKMIPSCI
+>DECOY_NM_003812|380721_2_773_912
+ICSPIMKLCAMFDMAPQCLWRPTKSAESAEMTTVTSEVRLTSHNGM
+>NM_003812|380722_2_818_912
+MEASEASKTPRWLCQPAMDFMACLKMIPSCI
+>DECOY_NM_003812|380722_2_818_912
+ICSPIMKLCAMFDMAPQCLWRPTKSAESAEM
+>NM_003812|380726_2_1478_1914
+MVFQWQWHKYYRRAWLKTLESNGNLLAESQNVTAQNPGVAASWRKQGCPILENFQSAAFWSIETFYREEVEPAFSTGQQSYLSPRNVEMDTWKLGRSVIVVFMWNAMDYAVRNVPSPTGLTAATGPAVTIPHVFFSHEGMNAGML
+>DECOY_NM_003812|380726_2_1478_1914
+LMGANMGEHSFFVHPITVAPGTAATLGTPSPVNRVAYDMANWMFVVIVSRGLKWTDMEVNRPSLYSQQGTSFAPEVEERYFTEISWFAASQFNELIPCGQKRWSAAVGPNQATVNQSEALLNGNSELTKLWARRYYKHWQWQFVM
+>NM_003812|380727_2_1742_1914
+MDTWKLGRSVIVVFMWNAMDYAVRNVPSPTGLTAATGPAVTIPHVFFSHEGMNAGML
+>DECOY_NM_003812|380727_2_1742_1914
+LMGANMGEHSFFVHPITVAPGTAATLGTPSPVNRVAYDMANWMFVVIVSRGLKWTDM
+>NM_003812|380729_2_1796_1914
+MDYAVRNVPSPTGLTAATGPAVTIPHVFFSHEGMNAGML
+>DECOY_NM_003812|380729_2_1796_1914
+LMGANMGEHSFFVHPITVAPGTAATLGTPSPVNRVAYDM
+>NM_003812|380731_2_2024_2112
+MASARPETTSVSTSGEQRLQGLTSSAMKS
+>DECOY_NM_003812|380731_2_2024_2112
+SKMASSTLGQLRQEGSTSVSTTEPRASAM
+>NM_003812|380732_2_2150_2289
+METGGFSAANMMCSVDSYSVPILLELHVLVNFRVRSFQLPSTIKAG
+>DECOY_NM_003812|380732_2_2150_2289
+GAKITSPLQFSRVRFNVLVHLELLIPVSYSDVSCMMNAASFGGTEM
+>NM_003812|380735_2_2465_2604
+MGCVVMKPPAFVISPGQGQIAVSGIQLGTFTPPRMKDPRVLVPPIS
+>DECOY_NM_003812|380735_2_2465_2604
+SIPPVLVRPDKMRPPTFTGLQIGSVAIQGQGPSIVFAPPKMVVCGM
+>NM_016601|380824_2_350_423
+MGTLHLAPMRARPSACSTPCWASR
+>DECOY_NM_016601|380824_2_350_423
+RSAWCPTSCASPRARMPALHLTGM
+>NM_016601|380827_2_983_1089
+MAAARWHRRTPSAPSLPPTTSTPSLTRSRRSHQAH
+>DECOY_NM_016601|380827_2_983_1089
+HAQHSRRSRTLSPTSTTPPLSPASPTRRHWRAAAM
+>NM_017580|380864_2_2154_2281
+MAMATEVLVLISIPMMMSPSHFCLWLTVKGSYSMCTSFLLRS
+>DECOY_NM_017580|380864_2_2154_2281
+SRLLFSTCMSYSGKVTLWLCFHSPSMMMPISILVLVETAMAM
+>NM_017580|380865_2_2160_2281
+MATEVLVLISIPMMMSPSHFCLWLTVKGSYSMCTSFLLRS
+>DECOY_NM_017580|380865_2_2160_2281
+SRLLFSTCMSYSGKVTLWLCFHSPSMMMPISILVLVETAM
+>NM_017580|380878_3_1828_1913
+MVFSELWFTFFLERRTVARRLGIYTLSC
+>DECOY_NM_017580|380878_3_1828_1913
+CSLTYIGLRRAVTRRELFFTFWLESFVM
+>NM_024646|380949_2_310_398
+MEHCVCRNLEYSHRRWLIDCFGPWLFMVY
+>DECOY_NM_024646|380949_2_310_398
+YVMFLWPGFCDILWRRHSYELNRCVCHEM
+>NM_002033|381082_3_732_889
+MDRLCAGGRRLDVYGADHLRLLGAAAAAALGVANPVATGGRAAVVGALRGAR
+>DECOY_NM_002033|381082_3_732_889
+RAGRLAGVVAARGGTAVPNAVGLAAAAAAGLLRLHDAGYVDLRRGGACLRDM
+>NM_002846|381108_2_255_403
+MACLGSARWEWGRPGPFCKSPPQFSNAYKVCSDNSCPKDCPGTMTSPSM
+>DECOY_NM_002846|381108_2_255_403
+MSPSTMTGPCDKPCSNDSCVKYANSFQPPSKCFPGPRGWEWRASGLCAM
+>NM_002846|381109_2_741_1039
+MAPGSQRAPQGWSVSAPCPRLKPLPSSAELPPRAYLGTTLATPTGTFQGLHLPSFFKTLGCSIWPRSCQHPAGPGCQGCQSKGAAAGQRTPQRAMRRKD
+>DECOY_NM_002846|381109_2_741_1039
+DKRRMARQPTRQGAAAGKSQCGQCGPGAPHQCSRPWISCGLTKFFSPLHLGQFTGTPTALTTGLYARPPLEASSPLPKLRPCPASVSWGQPARQSGPAM
+>NM_013235|381177_2_1071_1411
+MGEVRGIGPWIGGSEAAVPTGEDKTAGTDLIMTEGEHHLATAATNGAESENGRDTGIETTEDHHLWKGPTKKSIRDLEGVTVYRLFLNLLDAHQNYLGRLLKIQILGPHPWRL
+>DECOY_NM_013235|381177_2_1071_1411
+LRWPHPGLIQIKLLRGLYNQHADLLNLFLRYVTVGELDRISKKTPGKWLHHDETTEIGTDRGNESEAGNTAATALHHEGETMILDTGATKDEGTPVAAESGGIWPGIGRVEGM
+>NM_013235|381183_2_1953_2050
+MDHSANAAQRQDAQELGTAFILEKRPSSPVVL
+>DECOY_NM_013235|381183_2_1953_2050
+LVVPSSPRKELIFATGLEQADQRQAANASHDM
+>NM_001100412|381235_2_1147_1754
+MGEVRGIGPWIGGSEAAVPTGEDKTAGTDLIMTEGEHHLATAATNGAESENGRDTGIETTEDHHLWKGPTKKSIRDLEVAPQVGRRRELVGRKKKTVGVTTRVLAKTRTIPQSRKKSPRRPCLTRMRRKKKNFLSLCGFDALIQKTTTPVTPWIRWEILQWLERVGFVTYMTNLRRSWGAGKKRPKLLGLRGNLQRRSSMKI
+>DECOY_NM_001100412|381235_2_1147_1754
+IKMSSRRQLNGRLGLLKPRKKGAGWSRRLNTMYTVFGVRELWQLIEWRIWPTVPTTTKQILADFGCLSLFNKKKRRMRTLCPRRPSKKRSQPITRTKALVRTTVGVTKKKRGVLERRRGVQPAVELDRISKKTPGKWLHHDETTEIGTDRGNESEAGNTAATALHHEGETMILDTGATKDEGTPVAAESGGIWPGIGRVEGM
+>NM_015530|381260_2_726_907
+MVICIEYLHAHLRKERKFLFQDKWLVHLLHLLKMGLQRSSCPQLIPRLCHHQELQELNRV
+>DECOY_NM_015530|381260_2_726_907
+VRNLEQLEQHHCLRPILQPCSSRQLGMKLLHLLHVLWKDQFLFKREKRLHAHLYEICIVM
+>NM_015530|381261_2_825_907
+MGLQRSSCPQLIPRLCHHQELQELNRV
+>DECOY_NM_015530|381261_2_825_907
+VRNLEQLEQHHCLRPILQPCSSRQLGM
+>NM_006282|381296_2_355_452
+MAVILRTQTYGSLWSTVGLVLYLISFDYEIKR
+>DECOY_NM_006282|381296_2_355_452
+RKIEYDFSILYLVLGVTSWLSGYTQTRLIVAM
+>NM_006282|381299_2_1378_1451
+METTSFLRVGQWRTFRRGSWPWTP
+>DECOY_NM_006282|381299_2_1378_1451
+PTWPWSGRRFTRWQGVRLFSTTEM
+>NM_052868|381346_3_1488_1768
+MASRRHSVPRGDCLPAVQHLCAGWPPRTAAGRQLVGGATRGRRAQLCPCPAGGWRRPGWCGRAGSPAWRRPCQRRAGGAPKPSAETTQLGARG
+>DECOY_NM_052868|381346_3_1488_1768
+GRAGLQTTEASPKPAGGARRQCPRRWAPSGARGCWGPRRWGGAPCPCLQARRGRTAGGVLQRGAATRPPWGACLHQVAPLCDGRPVSHRRSAM
+>NM_001888|381389_3_582_727
+MEPHQRKCREVCRHSARRGTGLFFGPGGCGRCRCDHHSHPGNRAHFVW
+>DECOY_NM_001888|381389_3_582_727
+WVFHARNGPHSHHDCRCRGCGGPGFFLGTGRRASHRCVERCKRQHPEM
+>NM_031902|381446_3_334_470
+MEECSRQWPFVITGNQRHPSLRQLEPCTADTMLYFFSQSPDEPAV
+>DECOY_NM_031902|381446_3_334_470
+VAPEDPSQSFFYLMTDATCPELQRLSPHRQNGTIVFPWQRSCEEM
+>NM_001064|381478_2_882_1054
+MGSPSPKTWLSRSSRRSTARSRAKRRSWQPLHRRTHPQWTLPTSACPACPATKLGTR
+>DECOY_NM_001064|381478_2_882_1054
+RTGLKTAPCAPCASTPLTWQPHTRRHLPQWSRRKARSRATSRRSSRSLWTKPSPSGM
+>NM_006151|381521_2_1270_1445
+MDYPTCPMTARSQAPVSSSTPLPVCPASWQEILEPQSIFCWPHPTPSFSASITGWPEN
+>DECOY_NM_006151|381521_2_1270_1445
+NEPWGTISASFSPTPHPWCFISQPELIEQWSAPCVPLPTSSSVPAQSRATMPCTPYDM
+>NM_006151|381523_2_1468_1556
+MERSSTRKPGKSWEPSCRLSPLGTTYPFC
+>DECOY_NM_006151|381523_2_1468_1556
+CFPYTTGLPSLRCSPEWSKGPKRTSSREM
+>NM_006151|381527_2_2176_2384
+METGSGGKTLGSSRTSRRTLYRKCPSHALSVTTPASPRSHGTHSGPTATPMTSWIAQPSTSWTCHPGPQ
+>DECOY_NM_006151|381527_2_2176_2384
+QPGPHCTWSTSPQAIWSTMPTATPGSHTGHSRPSAPTTVSLAHSPCKRYLTRRSTRSSGLTKGGSGTEM
+>NM_006151|381529_3_1706_1782
+MGARTRTPPPHPLLQHLEDGQRWWN
+>DECOY_NM_006151|381529_3_1706_1782
+NWWRQGDELHQLLPHPPPTRTRAGM
+>NM_006766|381671_2_899_1137
+MDLFIGSTLKQPTWMGKRVVSLFPVYLQCPFFHMKRISRLLNQSPSVVSVLVQKNKTEKRSQRNSSPVPTVATVAIHPV
+>DECOY_NM_006766|381671_2_899_1137
+VPHIAVTAVTPVPSSNRQSRKETKNKQVLVSVVSPSQNLLRSIRKMHFFPCQLYVPFLSVVRKGMWTPQKLTSGIFLDM
+>NM_006766|381680_2_2384_2505
+MAGFSSISVICYQSVKAKQGLQRNRYLIWVVFPTWHIGKV
+>DECOY_NM_006766|381680_2_2384_2505
+VKGIHWTPFVVWILYRNRQLGQKAKVSQYCIVSISSFGAM
+>NM_006766|381682_2_3107_3285
+MENVGRNQKPPRNNTLKVKNSWWLLRSSQARTGNLTFPREDSVRGLSPGEDSSRKALRL
+>DECOY_NM_006766|381682_2_3107_3285
+LRLAKRSSDEGPSLGRVSDERPFTLNGTRAQSSRLLWWSNKVKLTNNRPPKQNRGVNEM
+>NM_001010855|381925_2_1447_1691
+MGKCCPACPGCTQPGYLCSEMTGCWGAWPRPTTDSGNGRPRSSASLPDSACSSTTSPCWRLRSLQHPGSRSWESWLRSWAA
+>DECOY_NM_001010855|381925_2_1447_1691
+AAWSRLWSEWSRSGPHQLSRLRWCPSTTSSCASDPLSASSRPRGNGSDTTPRPWAGWCGTMESCLYGPQTCGPCAPCCKGM
+>NM_001010855|381927_2_1957_2084
+MAFHPGGEAWLRAQGQSSPYATRRPCLATGPERSPFPCGPLG
+>DECOY_NM_001010855|381927_2_1957_2084
+GLPGCPFPSREPGTALCPRRTAYPSSQGQARLWAEGGPHFAM
+>NM_021012|381974_2_853_1076
+MASATLSSPTWTRSHSATWLTCSPPVWTSAGGTCCSSSRWPSLPPGCCSASSSGSSRWHTVTWSRLRAGAAHPV
+>DECOY_NM_021012|381974_2_853_1076
+VPHAAGARLRSWTVTHWRSSGSSSASCCGPPLSPWRSSSCCTGGASTWVPPSCTLWTASHSRTWTPSSLTASAM
+>NM_024940|382086_2_4239_4324
+MDRAFLLSYGIKSSSIGERSMRGERTSA
+>DECOY_NM_024940|382086_2_4239_4324
+ASTREGRMSREGISSSKIGYSLLFARDM
+>NM_024940|382098_3_427_554
+MGCHLAKALREQQAHPLPPAAADDVQPDRVAVPDPVWDAPQG
+>DECOY_NM_024940|382098_3_427_554
+GQPADWVPDPVAVRDPQVDDAAAPPLPHAQQERLAKALHCGM
+>NM_133647|382470_2_2346_2452
+MVSVGCPSVQPGLLCFDWRKDLHTLKTGGLSCLYY
+>DECOY_NM_133647|382470_2_2346_2452
+YYLCSLGGTKLTHLDKRWDFCLLGPQVSPCGVSVM
+>NM_001005505|382767_2_2700_2782
+MEDSWCCQTRTISGTRWAGSSVRWMPT
+>DECOY_NM_001005505|382767_2_2700_2782
+TPMWRVSSGAWRTGSITRTQCCWSDEM
+>NM_032430|383033_2_899_1005
+MAAGQTCGAVESSSSPCSWGLCPLMTTTSASCWRR
+>DECOY_NM_032430|383033_2_899_1005
+RRWCSASTTTMLPCLGWSCPSSSSEVAGCTQGAAM
+>NM_014016|383057_2_277_422
+MEQMTYLPLTVCPQRLPLQSRKMFLLQLSQDQYLVYWAQSIWWQVIIL
+>DECOY_NM_014016|383057_2_277_422
+LIIVQWWISQAWYVLYQDQSLQLLFMKRSQLPLRQPCVTLPLYTMQEM
+>NM_014016|383061_2_943_1046
+MGAKLRLYRLEDQYLFSGPKDQTSSTNHCHRSAK
+>DECOY_NM_014016|383061_2_943_1046
+KASRHCHNTSSTQDKPGSFLYQDELRYLRLKAGM
+>NM_014309|383109_2_603_781
+MDLLRRQKVEHRQTASSHRHKVVKIQRVNLPRNGCMSLIFLSASGTLTSGRCLGSLAKS
+>DECOY_NM_014309|383109_2_603_781
+SKALSGLCRGSTLTGSASLFILSMCGNRPLNVRQIKVVKHRHSSATQRHEVKQRRLLDM
+>NM_001031695|383148_2_603_778
+MDLLRQKVEHRQTASSHRHKVVKIQRVNLPRNGCMSLIFLSASGTLTSGRCLGSLAKS
+>DECOY_NM_001031695|383148_2_603_778
+SKALSGLCRGSTLTGSASLFILSMCGNRPLNVRQIKVVKHRHSSATQRHEVKQRLLDM
+>NM_001080495|383319_2_7295_7434
+MVARLGRRSQEPRPVGVGGNPAPRPRVTEPLPWRRGTQQTRSPVPP
+>DECOY_NM_001080495|383319_2_7295_7434
+PPVPSRTQQTGRRWPLPETVRPRPAPNGGVGVPRPEQSRRGLRAVM
+>NM_001082578|383390_2_323_498
+MDSQRGTARVTRSRQQLLTQWFSLLLPSHFHHLRRMEFPQSMGCHTLKTMPARPVSIT
+>DECOY_NM_001082578|383390_2_323_498
+TISVPRAPMTKLTHCGMSQPFEMRRLHHFHSPLLLSFWQTLLQQRSRTVRATGRQSDM
+>NM_004029|383429_3_969_1483
+MGGRSSPNQGSWRGTRRASPDWGLCWRRGRGPRVPAPGRAVPVTLPKRLHRGARAQPRGAGRDHHVQGPHGAAEGGGTPELHVPIRPPRPSCPGHRPPAGSIPQPCRAPGPEAAALHGGTAAARGPWVAPGASGATAVGPAHGQVQGVLGGGRTPRLRQPLHPSLPAASEL
+>DECOY_NM_004029|383429_3_969_1483
+LESAAPLSPHLPQRLRPTRGGGLVGQVQGHAPGVATAGSAGPAVWPGRAAATGGHLAAAEPGPARCPQPISGAPPRHGPCSPRPPRIPVHLEPTGGGEAAGHPGQVHHDRGAGRPQARAGRHLRKPLTVPVARGPAPVRPGRGRRWCLGWDPSARRTGRWSGQNPSSRGGM
+>NM_001572|383507_3_969_1570
+MGGRSSPNQGSWRGTRRASPDWGLCWRPRAPCWGAVRVGSRDDPQPRAPARGTNDRRGRGPRVPAPGRAVPVTLPKRLHRGARAQPRGAGRDHHVQGPHGAAEGGGTPELHVPIRPPRPSCPGHRPPAGSIPQPCRAPGPEAAALHGGTAAARGPWVAPGASGATAVGPAHGQVQGVLGGGRTPRLRQPLHPSLPAASEL
+>DECOY_NM_001572|383507_3_969_1570
+LESAAPLSPHLPQRLRPTRGGGLVGQVQGHAPGVATAGSAGPAVWPGRAAATGGHLAAAEPGPARCPQPISGAPPRHGPCSPRPPRIPVHLEPTGGGEAAGHPGQVHHDRGAGRPQARAGRHLRKPLTVPVARGPAPVRPGRGRRDNTGRAPARPQPDDRSGVRVAGWCPARPRWCLGWDPSARRTGRWSGQNPSSRGGM
+>NM_173216|383631_3_1343_1437
+MGHSSRNLPRRDSAKPPILWDAWYHHHDDAV
+>DECOY_NM_173216|383631_3_1343_1437
+VADDHHHYWADWLIPPKASDRRPLNRSSHGM
+>NM_012433|383852_2_889_1106
+MEAQLPVLVKTDGMKPPKQREILLGMEVDGLRLLEQIEVEILLVKHRLLEPVKENHGGMKHQLVRWVEALQF
+>DECOY_NM_012433|383852_2_889_1106
+FQLAEVWRVLQHKMGGHNEKVPELLRHKVLLIEVEIQELLRLGDVEMGLLIERQKPPKMGDTKVLVPLQAEM
+>NM_012433|383854_2_964_1106
+MEVDGLRLLEQIEVEILLVKHRLLEPVKENHGGMKHQLVRWVEALQF
+>DECOY_NM_012433|383854_2_964_1106
+FQLAEVWRVLQHKMGGHNEKVPELLRHKVLLIEVEIQELLRLGDVEM
+>NM_012433|383858_2_2122_2231
+MVLWMSSRKFGPSVLWPLLPWLKQQLLMVSNLLILC
+>DECOY_NM_012433|383858_2_2122_2231
+CLILLNSVMLLQQKLWPLLPWLVSPGFKRSSMWLVM
+>NM_178827|383905_2_745_821
+MELSHRKLYKWKSFLQIQICIQSEE
+>DECOY_NM_178827|383905_2_745_821
+EESQICIQIQLFSKWKYLKRHSLEM
+>NM_178827|383910_3_1286_1362
+MGNTARTKEDKRKRRMDKIGLSPEA
+>DECOY_NM_178827|383910_3_1286_1362
+AEPSLGIKDMRRKRKDEKTRATNGM
+>NM_001145451|383931_2_673_785
+MVKNGVKNTSQKTTQINSRRLARVDTAPWKTFYVKPP
+>DECOY_NM_001145451|383931_2_673_785
+PPKVYFTKWPATDVRALRRSNIQTTKQSTNKVGNKVM
+>NM_198194|383993_3_229_311
+MDVHKGYCSRRRNECIQGSERSLHGHH
+>DECOY_NM_198194|383993_3_229_311
+HHGHLSRESGQICENRRRSCYGKHVDM
+>NM_016138|384024_2_241_341
+MEQTASMPGRWLSWVGPASGQSFRKCGIKKRTI
+>DECOY_NM_016138|384024_2_241_341
+ITRKKIGCKRFSQGSAPGVWSLWRGPMSATQEM
+>NM_002074|384176_2_558_634
+MVNLSSGTATPPTRSTPSLCAPPGS
+>DECOY_NM_002074|384176_2_558_634
+SGPPACLSPTSRTPPTATGSSLNVM
+>NM_022170|384301_2_312_496
+MVHCWAIGHFVWTLQKAENKIKVALDSEKVDQMTEEWVALENLEVDGIPGMTSILASGMTS
+>DECOY_NM_022170|384301_2_312_496
+STMGSALISTMGPIGDVELNELAVWEETMQDVKESDLAVKIKNEAKQLTWVFHGIAWCHVM
+>NM_014983|384353_2_1383_1468
+MGHAPAQGAPLHMSPGTSHLSALPVVTS
+>DECOY_NM_014983|384353_2_1383_1468
+STVVPLASLHSTGPSMHLPAGQAPAHGM
+>NM_014983|384359_2_3579_3748
+MELELYGPHVTSQVVKSTRCAPIRWSAAPSILCEVRVPVTMWTCLPLPATGRLSMW
+>DECOY_NM_014983|384359_2_3579_3748
+WMSLRGTAPLPLCTWMTVPVRVECLISPAASWRIPACRTSKVVQSTVHPGYLELEM
+>NM_020876|384392_2_2256_2566
+MDSTPSATRAGFCGACQTAYPACGCSGASSPTGPWIAGAPLKMLTLLLSDTQPLTSQMRPSAISGEKAGCIISRFSPRRGRKRAAACASGSGCTPRCGRARSR
+>DECOY_NM_020876|384392_2_2256_2566
+RSRARGCRPTCGSGSACAAARKRGRRPSFRSIICGAKEGSIASPRMQSTLPQTDSLLLTLMKLPAGAIWPGTPSSAGSCGCAPYATQCAGCFGARTASPTSDM
+>NM_144612|384444_2_2707_2849
+MASCPGSCCQWMSPMCCHRARRVGEAVTTTPSTTWPWSRKINLPHSQ
+>DECOY_NM_144612|384444_2_2707_2849
+QSHPLNIKRSWPWTTSPTTTVAEGVRRARHCCMPSMWQCCSGPCSAM
+>NM_144612|384455_2_4021_4115
+MGDSRGSFTRRSTLGTAAATAAALLTSGRSP
+>DECOY_NM_144612|384455_2_4021_4115
+PSRGSTLLAAATAAATGLTSRRTFSGRSDGM
+>NM_015910|384549_3_1294_1388
+MGPTGCSLWHQTALSGVHSGALRKCRQRAHG
+>DECOY_NM_015910|384549_3_1294_1388
+GHARQRCKRLAGSHVGSLATQHWLSCGTPGM
+>NM_004593|384573_2_697_782
+MVPLPMCLLYMTSSLGVQEDLPLYILKM
+>DECOY_NM_004593|384573_2_697_782
+MKLIYLPLDEQVGLSSTMYLLCMPLPVM
+>NM_004999|384631_2_286_365
+MESPFGRHTLQMDFRWAILWILAPTA
+>DECOY_NM_004999|384631_2_286_365
+ATPALIWLIAWRFDMQLTHRGFPSEM
+>NM_024850|384726_3_958_1121
+MGRCLIHGSSRDRIRDAPTSSCFSSSSPSLQGPRPKKGKSRRNWTGEESTDRQN
+>DECOY_NM_024850|384726_3_958_1121
+NQRDTSEEGTWNRRSKGKKPRPGQLSPSSSSFCSSTPADRIRDRSSGHILCRGM
+>NM_001143978|384741_2_2310_2440
+MVLGIFVEPGSENTQPAVHIVGRRATQKKPVTMRATRPRFLRI
+>DECOY_NM_001143978|384741_2_2310_2440
+IRLFRPRTARMTVPKKQTARRGVIHVAPQTNESGPEVFIGLVM
+>NM_020475|384782_2_382_500
+MEPTSTPSHRKVLHPCTWQHKRTTWKWLSFYWKMELTRM
+>DECOY_NM_020475|384782_2_382_500
+MRTLEMKWYFSLWKWTTRKHQWTCPHLVKRHSPTSTPEM
+>NM_020475|384784_2_928_1076
+MGTCESQRSCWTTGHQSKPKPRTACPQFTWRLRETTSTVSGSCCNTTQR
+>DECOY_NM_020475|384784_2_928_1076
+RQTTNCCSGSVTSTTERLRWTFQPCATRPKPKSQHGTTWCSRQSECTGM
+>NM_020475|384785_2_1885_1991
+MATPLCTSLPSRTRWRWPVVCCSMGAQQTPSRCKV
+>DECOY_NM_020475|384785_2_1885_1991
+VKCRSPTQQAGMSCCVVPWRWRTRSPLSTCLPTAM
+>NM_014766|385140_2_224_372
+MVWWYLGKIQPGPEMKCKRLCISRLLITNRRARLSALTFQSTKFQGPMP
+>DECOY_NM_014766|385140_2_224_372
+PMPGQFKTSQFTLASLRARRNTILLRSICLRKCKMEPGPQIKGLYWWVM
+>NM_001010862|385512_2_604_722
+MDLTVFMDWNFTEMKECHHLKSFLIELHHLESVIHTWQK
+>DECOY_NM_001010862|385512_2_604_722
+KQWTHIVSELHHLEILFSKLHHCEKMETFNWDMFVTLDM
+>NM_001145529|385697_3_745_821
+MAAPGPGAQSCSPRTRGSGASAKVS
+>DECOY_NM_001145529|385697_3_745_821
+SVKASAGSGRTRPSCSQAGPGPAAM
+>NM_001145529|385698_3_889_1016
+MARSTCRASASASAGPWPWPGAPVPWRHSAEPSCSQLPGPSA
+>DECOY_NM_001145529|385698_3_889_1016
+ASPGPLQSCSPEASHRWPVPAGPWPWPGASASASARCTSRAM
+>NM_138801|385704_2_1132_1226
+MELSIPSTPVSAWRLRTGLMQSISPASLLCC
+>DECOY_NM_138801|385704_2_1132_1226
+CCLLSAPSISQMLGTRLRWASVPTSPISLEM
+>NM_173814|385794_2_271_395
+MEQKCLKINGSRFFLTALYTSVRWKAGEESSPMKDFISAWQ
+>DECOY_NM_173814|385794_2_271_395
+QWASIFDKMPSSEEGAKWRVSTYLATLFFRSGNIKLCKQEM
+>NM_173814|385795_2_403_545
+MEPFLVKKLILPYQLFLHLKSSQFPLRSTKVELLDLHARFHPTLLQS
+>DECOY_NM_173814|385795_2_403_545
+SQLLTPHFRAHLDLLEVKTSRLPFQSSKLHLFLQYPLILKKVLFPEM
+>NM_173814|385797_2_2140_2402
+MAIRQIRLSALQDACLFVIAWSLLHHHPTISMRRLTPHLPSSCTGGGLHSPLHKSLTTPSAVILLACRMLLWFCTFKHQKLTCWFKV
+>DECOY_NM_173814|385797_2_2140_2402
+VKFWCTLKQHKFTCFWLLMRCALLIVASPTTLSKHLPSHLGGGTCSSPLHPTLRRMSITPHHHLLSWAIVFLCADQLASLRIQRIAM
+>NM_173814|385815_3_548_627
+MGVQSDNSTYDYGQDNCPTNRSIADL
+>DECOY_NM_173814|385815_3_548_627
+LDAISRNTPCNDQGYDYTSNDSQVGM
+>NM_001099269|385833_2_680_894
+MGKLLTSLQPVLHIRKLMLERNAINVKNVVKPISSPHTLLHIRKFILERNPTNVKNVAKPISSPVTLLHIR
+>DECOY_NM_001099269|385833_2_680_894
+RIHLLTVPSSIPKAVNKVNTPNRELIFKRIHLLTHPSSIPKVVNKVNIANRELMLKRIHLVPQLSTLLKGM
+>NM_025188|385867_2_1288_1370
+MGTLCGSSSKVLSPTWRPWRKPWLRST
+>DECOY_NM_025188|385867_2_1288_1370
+TSRLWPKRWPRWTPSLVKSSSGCLTGM
+>NM_025188|385872_2_1972_2072
+MGHTTFPTPPRNLASILCGSASKNSMCRARHSL
+>DECOY_NM_025188|385872_2_1972_2072
+LSHRARCMSNKSASGCLISALNRPPTPFTTHGM
+>NM_001145642|385991_2_1082_1212
+MAQREDLLPFHSLALPGNLKKAEGTSHQQRIKPSKPPQFQSLH
+>DECOY_NM_001145642|385991_2_1082_1212
+HLSQFQPPKSPKIRQQHSTGEAKKLNGPLALSHFPLLDERQAM
+>NM_001145647|386070_2_511_752
+MERNHMNVRNVGKDSAFEVHFEYMKELTLERNPINVNSVVRLSVGPVPFKYMKELILERNLMNVRNVGRPSFITQPFEDT
+>DECOY_NM_001145647|386070_2_511_752
+TDEFPQTIFSPRGVNRVNMLNRELILEKMYKFPVPGVSLRVVSNVNIPNRELTLEKMYEFHVEFASDKGVNRVNMHNREM
+>NM_001145647|386079_2_1189_1862
+MDLINARNVGKPLIVLVLFKSMNELTLERNPMNVNSVVKPSVVPVPFECMKELTLERNPMNVNNVVKPSVVPVLFEYMKGLTLERNPMNVNSVVKPSVVPVPFECMKEFTLERNPMNVNSVVKPLVFLVPFGCMKGLTLERNPMNVNNVVKPSVVPVPFECMKGLTLGRNPMNVNSVVRRLVVPVPFEYMKGLTLERNLMSVNNVVRPSVVLVLFECMKGLTLE
+>DECOY_NM_001145647|386079_2_1189_1862
+ELTLGKMCEFLVLVVSPRVVNNVSMLNRELTLGKMYEFPVPVVLRRVVSNVNMPNRGLTLGKMCEFPVPVVSPKVVNNVNMPNRELTLGKMCGFPVLFVLPKVVSNVNMPNRELTFEKMCEFPVPVVSPKVVSNVNMPNRELTLGKMYEFLVPVVSPKVVNNVNMPNRELTLEKMCEFPVPVVSPKVVSNVNMPNRELTLENMSKFLVLVILPKGVNRANILDM
+>NM_153230|386188_3_539_693
+MEEQHQELIHQQLELLLKEDGQTPGLSQPKRGQADRGARLPNSRLPQLHEE
+>DECOY_NM_153230|386188_3_539_693
+EEHLQPLRSNPLRAGRDAQGRKPQSLGPTQGDEKLLLELQQHILEQHQEEM
+>NM_001145440|386208_2_817_944
+MAQRRGRKDLKSRTNCITETPRRKNPSRAPVKKSLVVRTIRA
+>DECOY_NM_001145440|386208_2_817_944
+ARITRVVLSKKVPARSPNKRRPTETICNTRSKLDKRGRRQAM
+>NM_014687|386259_2_334_437
+MVAWSGFAGTCRASSITGLSVTRRAAARRITGSS
+>DECOY_NM_014687|386259_2_334_437
+SSGTIRRAAARRTVSLGTISSARCTGAFGSWAVM
+>NM_173545|386356_2_1383_1480
+MEVYKSWAKMRLMTGLNVPMDHPVIGRIPSTR
+>DECOY_NM_173545|386356_2_1383_1480
+RTSPIRGIVPHDMPVNLGTMLRMKAWSKYVEM
+>NM_017721|386397_2_1914_2071
+MGCLWTSPRCRLPLSTRTTLPWSSGLARVCLRRPPGAMVNSPSSYGSSTRCA
+>DECOY_NM_017721|386397_2_1914_2071
+ACRTSSGYSSPSNVMAGPPRRLCVRALGSSWPLTTRTSLPLRCRPSTWLCGM
+>NM_032504|386483_2_1749_1837
+MEKTPSRKCDLRSPPSQLRPSIPLWRHST
+>DECOY_NM_032504|386483_2_1749_1837
+TSHRWLPISPRLQSPPSRLDCKRSPTKEM
+>NM_032504|386485_2_2295_2428
+MVEEKKEEVEMEEVEEVMEEEVEEVEAALMRRMIRTKRRMKVHL
+>DECOY_NM_032504|386485_2_2295_2428
+LHVKMRRKTRIMRRMLAAEVEEVEEEMVEEVEEMEVEEKKEEVM
+>NM_032504|386486_2_2325_2428
+MEEVEEVMEEEVEEVEAALMRRMIRTKRRMKVHL
+>DECOY_NM_032504|386486_2_2325_2428
+LHVKMRRKTRIMRRMLAAEVEEVEEEMVEEVEEM
+>NM_032504|386487_2_2346_2428
+MEEEVEEVEAALMRRMIRTKRRMKVHL
+>DECOY_NM_032504|386487_2_2346_2428
+LHVKMRRKTRIMRRMLAAEVEEVEEEM
+>NM_032504|386493_2_3501_3604
+MEEMKRRISSSVLVATVLMIISLPTKMVEKAKTW
+>DECOY_NM_032504|386493_2_3501_3604
+WTKAKEVMKTPLSIIMLVTAVLVSSSIRRKMEEM
+>NM_032504|386511_2_9729_9838
+METLSFISVRKMAWRTRYYLVSSPLLPLSWGKRMQY
+>DECOY_NM_032504|386511_2_9729_9838
+YQMRKGWSLPLLPSSVLYYRTRWAMKRVSIFSLTEM
+>NM_032504|386512_2_9762_9838
+MAWRTRYYLVSSPLLPLSWGKRMQY
+>DECOY_NM_032504|386512_2_9762_9838
+YQMRKGWSLPLLPSSVLYYRTRWAM
+>NM_004829|386541_2_195_301
+MGLLNTSCTLKEAFLPWTDQNPLSGLTKSNSTSRT
+>DECOY_NM_004829|386541_2_195_301
+TRSTSNSKTLGSLPNQDTWPLFAEKLTCSTNLLGM
+>NM_016848|386587_2_1166_1386
+MGWPRMSSAPSDKPLSSGLSNIYSVLPRFPLSMIECRVWMSHGRKRREMAQTTHTTTASQARCLLQGAFLILD
+>DECOY_NM_016848|386587_2_1166_1386
+DLILFAGQLLCRAQSATTTHTTQAMERRKRGHSMWVRCEIMSLPFRPLVSYINSLGSSLPKDSPASSMRPWGM
+>NM_016848|386591_2_1310_1386
+MAQTTHTTTASQARCLLQGAFLILD
+>DECOY_NM_016848|386591_2_1310_1386
+DLILFAGQLLCRAQSATTTHTTQAM
+>NM_016848|386592_2_1919_2019
+MARPSTCCSWTQKARSGQRTESLTVSATSSTTT
+>DECOY_NM_016848|386592_2_1919_2019
+TTTSSTASVTLSETRQGSRAKQTWSCCTSPRAM
+>NM_016848|386594_3_1290_1375
+MDGRGGRWLRPPILQQHPKQDASSRGLS
+>DECOY_NM_016848|386594_3_1290_1375
+SLGRSSADQKPHQQLIPPRLWRGGRGDM
+>NM_015177|386683_2_552_658
+MAPGRPTTWKWASPSSMPMRSSTPGSTSLPLALAT
+>DECOY_NM_015177|386683_2_552_658
+TALALPLSTSGPTSSRMPMSSPSAWKWTTPRGPAM
+>NM_015177|386696_3_289_608
+MAERARPLASLQPSGEPPHRGGGPRRPPRGGQRGAGPGGQPSRALHHRPAVHEPVPPRHGNSPPSSPQLLRPLLGPWEGRGVGVGERQWLLDALRHGSGHHHPACL
+>DECOY_NM_015177|386696_3_289_608
+LCAPHHHGSGHRLADLLWQREGVGVGRGEWPGLLPRLLQPSSPPSNGHRPPVPEHVAPRHHLARSPQGGPGAGRQGGRPPRRPGGGRHPPEGSPQLSALPRAREAM
+>NM_152775|386730_2_845_948
+MGKWHLQMNFRLYKLIQMFTGMVNMTCPLFTRIK
+>DECOY_NM_152775|386730_2_845_948
+KIRTFLPCTMNVMGTFMQILKYLRFNMQLHWKGM
+>NM_153815|386834_2_1372_1481
+MVILKHHQLNLQQHPNQSKTKILQSSHSFPITMESS
+>DECOY_NM_153815|386834_2_1372_1481
+SSEMTIPFSHSSQLIKTKSQNPHQQLNLQHHKLIVM
+>NM_002891|386889_2_1918_2003
+MESYPSLTALYWRSQKARRRKPKDPAKT
+>DECOY_NM_002891|386889_2_1918_2003
+TKAPDKPKRRRAKQSRWYLATLSPYSEM
+>NM_002891|386898_3_488_678
+MANQVVRAAAEPALLLRERLELAALGALPAGGLRLRPRALPQAGAVGQGAAGETALLHGELQP
+>DECOY_NM_002891|386898_3_488_678
+PQLEGHLLATEGAAGQGVAGAQPLARPRLRLGGAPLAGLAALELRERLLLAPEAAARVVQNAM
+>NM_138369|387023_3_519_640
+MESYDEQKPVAKWSEAECGSVRDVGSWSRQDYFSGGGSKT
+>DECOY_NM_138369|387023_3_519_640
+TKSGGGSFYDQRSWSGVDRVSGCEAESWKAVPKQEDYSEM
+>NM_001037290|387040_2_1533_1615
+MAFIPQNLFLFQHQEPMKKMVGLFFLW
+>DECOY_NM_001037290|387040_2_1533_1615
+WLFFLGVMKKMPEQHQFLFLNQPIFAM
+>NM_178502|387058_2_983_1173
+MGGCWSLRTPPSYCPAMRSTAPLSSSTSSRPVSRGLNTQTQEFGILAPHGWPTSRTALRATRC
+>DECOY_NM_178502|387058_2_983_1173
+CRTARLATRSTPWGHPALIGFEQTQTNLGRSVPRSSTSSSLPATSRMAPCYSPPTRLSWCGGM
+>NM_014464|387090_2_348_541
+MAVSLSSMRRMRCATVINSVTEKILIAVLTTSPFAVKRKNGLLTHSLGIQKVASKMVNIMKRDQ
+>DECOY_NM_014464|387090_2_348_541
+QDRKMINVMKSAVKQIGLSHTLLGNKRKVAFPSTTLVAILIKETVSNIVTACRMRRMSSLSVAM
+>NM_014464|387095_2_1098_1171
+MDVPWQAGLMGEENGMPRSHVPTT
+>DECOY_NM_014464|387095_2_1098_1171
+TTPVHSRPMGNEEGMLGAQWPVDM
+>NM_139178|387120_2_849_925
+MENFLTLIQESLWNQILTGTLCCAH
+>DECOY_NM_139178|387120_2_849_925
+HACCLTGTLIQNWLSEQILTLFNEM
+>NM_139178|387121_3_844_938
+MVWRTSLHLFKNHYGTKSSLAPCAAHTKEPH
+>DECOY_NM_139178|387121_3_844_938
+HPEKTHAACPALSSKTGYHNKFLHLSTRWVM
+>NM_003604|387144_2_301_473
+MGTGATSCSNSRLLTPQLGWNTTKMPGSSGTVSAPRRLQQRRPPLAPRSPRSFHRGA
+>DECOY_NM_003604|387144_2_301_473
+AGRHFSRPSRPALPPRRQQLRRPASVTGSSGPMKTTNWGLQPTLLRSNSCSTAGTGM
+>NM_003604|387147_2_1387_1502
+MELACLLKCLVLALATLGRKAIPRAKKIRKEAEVTTCL
+>DECOY_NM_003604|387147_2_1387_1502
+LCTTVEAEKRIKKARPIAKRGLTALALVLCKLLCALEM
+>NM_003604|387148_2_1522_1862
+MAGAQEVARAQMAKAPVAIAREETSVQARDRDPEVVRAQMARAQEETSALEMARAPQVGTVQVVARDLEVGMAQVVARDLEMAMAQVVARTLGGAKAQEVGKDPMVMVNVENL
+>DECOY_NM_003604|387148_2_1522_1862
+LNEVNVMVMPDKGVEQAKAGGLTRAVVQAMAMELDRAVVQAMGVELDRAVVQVTGVQPARAMELASTEEQARAMQARVVEPDRDRAQVSTEERAIAVPAKAMQARAVEQAGAM
+>NM_003604|387151_2_1675_1862
+MARAPQVGTVQVVARDLEVGMAQVVARDLEMAMAQVVARTLGGAKAQEVGKDPMVMVNVENL
+>DECOY_NM_003604|387151_2_1675_1862
+LNEVNVMVMPDKGVEQAKAGGLTRAVVQAMAMELDRAVVQAMGVELDRAVVQVTGVQPARAM
+>NM_003604|387152_2_1735_1862
+MAQVVARDLEMAMAQVVARTLGGAKAQEVGKDPMVMVNVENL
+>DECOY_NM_003604|387152_2_1735_1862
+LNEVNVMVMPDKGVEQAKAGGLTRAVVQAMAMELDRAVVQAM
+>NM_003604|387153_2_1765_1862
+MAMAQVVARTLGGAKAQEVGKDPMVMVNVENL
+>DECOY_NM_003604|387153_2_1765_1862
+LNEVNVMVMPDKGVEQAKAGGLTRAVVQAMAM
+>NM_003604|387154_2_1771_1862
+MAQVVARTLGGAKAQEVGKDPMVMVNVENL
+>DECOY_NM_003604|387154_2_1771_1862
+LNEVNVMVMPDKGVEQAKAGGLTRAVVQAM
+>NM_003604|387159_2_2638_2873
+MGDHLQSLQIMSPQRIKLRDLTDFLLLQKDIKSSQNHKSPHMSREKLTALVTTSTWTSLKERAIHQLPLLKDYQIRGA
+>DECOY_NM_003604|387159_2_2638_2873
+AGRIQYDKLLPLQHIAREKLSTWTSTTVLATLKERSMHPSKHNQSSKIDKQLLLFDTLDRLKIRQPSMIQLSQLHDGM
+>NM_017934|387226_2_1382_1479
+MGQHVFGNLNEESGRAFCWIWLLVQQAKTFKE
+>DECOY_NM_017934|387226_2_1382_1479
+EKFTKAQQVLLWIWCFARGSEENLNGFVHQGM
+>NM_017934|387229_2_1766_1842
+MAQYLTANALLMVSILHAQTLMDIF
+>DECOY_NM_017934|387229_2_1766_1842
+FIDMLTQAHLISVMLLANATLYQAM
+>NM_017934|387233_2_2750_2931
+MAVLVTTPVITLTGQQMQELICSHQRKFLRIKPRKQKAVQMKKKNLKNRSKNRLKRKRKK
+>DECOY_NM_017934|387233_2_2750_2931
+KKRKRKLRNKSRNKLNKKKMQVAKQKRPKIRLFKRQHSCILEQMQQGTLTIVPTTVLVAM
+>NM_017934|387253_3_2439_2524
+MENCKGRRRNKDLQVRREKKTLNCSKRE
+>DECOY_NM_017934|387253_3_2439_2524
+ERKSCNLTKKERRVQLDKNRRRGKCNEM
+>NM_001039703|387322_2_1491_1798
+MALMTPTSHIGKPKSHLRKTKSTQLSLAHPLMLNGRMLYTLFQKMKVMMRKRKKKGQCLPGICRSLKRRKSPRSPGMKVIRLSQFLLKCWPRTSLTAAHFTH
+>DECOY_NM_001039703|387322_2_1491_1798
+HTFHAATLSTRPWCKLLFQSLRIVKMGPSRPSKRRKLSRCIGPLCQGKKKRKRMMVKMKQFLTYLMRGNLMLPHALSLQTSKTKRLHSKPKGIHSTPTMLAM
+>NM_207356|387517_2_516_661
+MACPCQNTVPGPEQKNPTAAPLCRSRMSQGYRQRMCRSHHFRWTTASF
+>DECOY_NM_207356|387517_2_516_661
+FSATTWRFHHSRCMRQRYGQSMRSRCLPAATPNKQEPGPVTNQCPCAM
+>NM_018323|387529_2_200_273
+MGSGSRCYRGSPGPTRGEAPQAAP
+>DECOY_NM_018323|387529_2_200_273
+PAAQPAEGRTPGPSGRYCRSGSGM
+>NM_001013693|387594_2_728_888
+MAGASPQASCVTPGAWTTVAMAVTRAPGHQLTAEVPLRCPARQEVQMPIPPDP
+>DECOY_NM_001013693|387594_2_728_888
+PDPPIPMQVEQRAPCRLPVEATLQHGPARTVAMAVTTWAGPTVCSAQPSAGAM
+>NM_001013693|387595_2_788_888
+MAVTRAPGHQLTAEVPLRCPARQEVQMPIPPDP
+>DECOY_NM_001013693|387595_2_788_888
+PDPPIPMQVEQRAPCRLPVEATLQHGPARTVAM
+>NM_017816|387611_2_413_492
+MVAKAMKVKPTKATSNSRRGFRKLVN
+>DECOY_NM_017816|387611_2_413_492
+NVLKRFGRRSNSTAKTPKVKMAKAVM
+>NM_152590|387654_2_451_530
+MEKIISFLCLVIQRNLQHTQTTLRKL
+>DECOY_NM_152590|387654_2_451_530
+LKRLTTQTHQLNRQIVLCLFSIIKEM
+>NM_178499|387699_3_1085_1251
+MGAFHHSAKDQEIQNSHNASHQPQTKPARLHTQSESGQWGVLSPEQHDLCEPWLG
+>DECOY_NM_178499|387699_3_1085_1251
+GLWPECLDHQEPSLVGWQGSESQTHLRAPKTQPQHSANHSNQIEQDKASHHFAGM
+>NM_018677|387771_2_1728_1810
+MAASGTRMAITGSLAGLMTCSMYLDTC
+>DECOY_NM_018677|387771_2_1728_1810
+CTDLYMSCTMLGALSGTIAMRTGSAAM
+>NM_018677|387772_2_1929_2062
+MATPSAPSSPRSSRSRLEKRLAPLPHQTTSRMHLACLKPAQGKS
+>DECOY_NM_018677|387772_2_1929_2062
+SKGQAPKLCALHMRSTTQHPLPALRKELRSRSSRPSSPASPTAM
+>NM_018677|387777_3_1417_1580
+MVPPGGRCPALPHRGHLLANRDRWPHVDSPSWCHTHETRFCYFPILWCSSCNPE
+>DECOY_NM_018677|387777_3_1417_1580
+EPNCSSCWLIPFYCFRTEHTHCWSPSDVHPWRDRNALLHGRHPLAPCRGGPPVM
+>NM_001116|387858_2_4044_4162
+MGPSRPGSSAPPSCCTTSGETPSTSPAGWTPPAWSAASR
+>DECOY_NM_001116|387858_2_4044_4162
+RSAASWAPPTWGAPSTSPTEGSTTCCSPPASSGPRSPGM
+>NM_000263|387886_2_971_1053
+MAPCPPPGTSSSFTCSTGSWTRCAPSA
+>DECOY_NM_000263|387886_2_971_1053
+ASPACRTWSGTSCTFSSSTGPPPCPAM
+>NM_000263|387890_2_1787_1914
+MGSPTRTQGQRGGYCSGVCTTAPGRPAGATIVARWSGGRPYR
+>DECOY_NM_000263|387890_2_1787_1914
+RYPRGGSWRAVITAGAPRGPATTCVGSCYGGRQGQTRTPSGM
+>NM_207510|388033_2_682_800
+MVTWPSSLDTPRPMAGARKWTRPSPRVLYRGSSATQPWP
+>DECOY_NM_207510|388033_2_682_800
+PWPQTASSGRYLVRPSPRTWKRAGAMPRPTDLSSPWTVM
+>NM_001127489|388051_2_127_356
+MVSLGPCAPFLSPQDPFHQAAASPSPRSMLCAPYLPWLPRAAAGRLRRETAAGRDHPSRSSCPLFPETMRPFAMGA
+>DECOY_NM_001127489|388051_2_127_356
+AGMAFPRMTEPFLPCSSRSPHDRGAATERRLRGAAARPLWPLYPACLMSRPSPSAAAQHFPDQPSLFPACPGLSVM
+>NM_006471|388074_2_528_601
+MVSSTRKICMICLLHWGRIQLMSI
+>DECOY_NM_006471|388074_2_528_601
+ISMLQIRGWHLLCIMCIKRTSSVM
+>NM_004465|388085_3_8_276
+MDTDTLCLSLSPPARLLLLLLFVAVLGVFRPCHLPSPWSGHGVTRGHQLFFLLLLLSFQRGKACAELQSPSRRCPLEKAILFHQVLSQD
+>DECOY_NM_004465|388085_3_8_276
+DQSLVQHFLIAKELPCRRSPSQLEACAKGRQFSLLLLLFFLQHGRTVGHGSWPSPLHCPRFVGLVAVFLLLLLLRAPPSLSLCLTDTDM
+>NM_001042573|388107_2_555_706
+MGSACWGLSSRSGMKGEGSVKPSWPGMSARTRQWLTGWSRSLSFFVLMAG
+>DECOY_NM_001042573|388107_2_555_706
+GAMLVFFSLSRSWGTLWQRTRASMGPWSPKVSGEGKMGSRSSLGWCASGM
+>NM_001042573|388112_2_1068_1270
+MASPWLCLPPAGCMSVWRRRISSRTRTSSGADWSVICPHIASAPCLSSRPSAWAWVHGGSAMARKRR
+>DECOY_NM_001042573|388112_2_1068_1270
+RRKRAMASGGHVWAWASPRSSLCPASAIHPCIVSWDAGSSTRTRSSIRRRWVSMCGAPPLCLWPSAM
+>NM_006080|388163_2_1393_1514
+MDPTINGCLIKEESPIHGQELVPAKHLVVLTLQRTFLMML
+>DECOY_NM_006080|388163_2_1393_1514
+LMMLFTRQLTLVVLHKAPVLEQGHIPSEEKILCGNITPDM
+>NM_207417|388209_2_409_503
+MDSTSEGLTEESLKPSDAGTCSSSSPPAPTS
+>DECOY_NM_207417|388209_2_409_503
+STPAPPSSSSCTGADSPKLSEETLGESTSDM
+>NM_207417|388211_3_86_285
+MEEAAAACAPHGQPGLPAPAAAPDRGGHPFRHGERAAGGRAGLHVSEPSHRQGCRPGLGGNLLFCL
+>DECOY_NM_207417|388211_3_86_285
+LCFLLNGGLGPRCGQRHSPESVHLGARGGAAREGHRFPHGGRDPAAAPAPLGPQGHPACAAAAEEM
+>NM_002726|388265_2_261_403
+MVIKFVTLTPGLKTPTVNRLRPLWRPRIRLLCHFLSSVPSEVYTKRE
+>DECOY_NM_002726|388265_2_261_403
+ERKTYVESPVSSLFHCLLRIRPRWLPRLRNVTPTKLGPTLTVFKIVM
+>NM_002726|388270_2_744_955
+MGRECSTTHTLNRMEKVMAQRHLPISTKSSTTMSWEPISQKIFCVLSFLMNLNGWVELSYLMMAAMSCYQ
+>DECOY_NM_002726|388270_2_744_955
+QYCSMAAMMLYSLEVWGNLNMLFSLVCFIKQSIPEWSMTTSSKTSIPLHRQAMVKEMRNLTHTTSCERGM
+>NM_002726|388271_2_783_955
+MEKVMAQRHLPISTKSSTTMSWEPISQKIFCVLSFLMNLNGWVELSYLMMAAMSCYQ
+>DECOY_NM_002726|388271_2_783_955
+QYCSMAAMMLYSLEVWGNLNMLFSLVCFIKQSIPEWSMTTSSKTSIPLHRQAMVKEM
+>NM_002726|388272_2_795_955
+MAQRHLPISTKSSTTMSWEPISQKIFCVLSFLMNLNGWVELSYLMMAAMSCYQ
+>DECOY_NM_002726|388272_2_795_955
+QYCSMAAMMLYSLEVWGNLNMLFSLVCFIKQSIPEWSMTTSSKTSIPLHRQAM
+>NM_002726|388276_2_1731_1813
+MERRGIKVVSWPTNKTALMTFSVLLSI
+>DECOY_NM_002726|388276_2_1731_1813
+ISLLVSFTMLATKNTPWSVVKIGRREM
+>NM_201524|388300_2_2059_2201
+MAPSSWLCIGLQRASSTLPCAGSGTPWSATSPTWASSAWCFCSTWPC
+>DECOY_NM_201524|388300_2_2059_2201
+CPWTSCFCWASSAWTPSTASWPTGSGACPLTSSARQLGICLWSSPAM
+>NM_005246|388528_2_1840_1967
+MGNLVNMSFLYILMDRGDILSYNMLITCIDSRALGFQTFLNL
+>DECOY_NM_005246|388528_2_1840_1967
+LNLFTQFGLARSDICTILMNYSLIDGRDMLIYLFSMNVLNGM
+>NM_000386|388552_2_664_767
+MVANGICLLILLKNMVLSLRNASLNLIQQRQPEG
+>DECOY_NM_000386|388552_2_664_767
+GEPQRQQILNLSANRLSLVMNKLLILLCIGNAVM
+>NM_000386|388554_2_1393_1466
+MVLSQNGEWRIHGVKTMATKVTCA
+>DECOY_NM_000386|388554_2_1393_1466
+ACTVKTAMTKVGHIRWEGNQSLVM
+>NM_014272|388598_2_2690_3078
+MGPGPSAQSPAAEVCRGRMCTAWSGRQGPWTRSTVTPWAGLMTNRGSAASSPALPGGGQVSGSCAPAPAGLGASPAGPCSASAAWGWMSRAPWSHPPVNTFPGPLLKPLATAMYPVRPPGLWGTGLSAQ
+>DECOY_NM_014272|388598_2_2690_3078
+QASLGTGWLGPPRVPYMATALPKLLPGPFTNVPPHSWPARSMWGWAASASCPGAPSAGLGAPAPACSGSVQGGGPLAPSSAASGRNTMLGAWPTVTSRTWPGQRGSWATCMRGRCVEAAPSQASPGPGM
+>NM_006097|388621_2_230_312
+MASLTRRTCTTCWPRWGRTPQTNTWRA
+>DECOY_NM_006097|388621_2_230_312
+ARWTNTQPTRGWRPWCTTCTRRTLSAM
+>NM_004838|388798_2_613_761
+MAGSSPVQPWGSPPTRCPRALSSVPTAPARKNCSAARALMPPAPQSASG
+>DECOY_NM_004838|388798_2_613_761
+GSASQPAPPMLARAASCNKRAPATPVSSLARPCRTPPSGWPQVPSSGAM
+>NM_001025265|388956_2_33_109
+MEIISSFWSIPTVLSSCCCITSAVK
+>DECOY_NM_001025265|388956_2_33_109
+KVASTICCCSSLVTPISWFSSIIEM
+>NM_181783|389017_2_2849_2922
+METKRHPTKQQKTSKKLRRKELLL
+>DECOY_NM_181783|389017_2_2849_2922
+LLLEKRRLKKSTKQQKTPHRKTEM
+>NM_015577|389116_2_281_411
+MEMRRRWPHCSARRGPVPPNTTVRARPLSILLLQKDTWNASGS
+>DECOY_NM_015577|389116_2_281_411
+SGSANWTDKQLLLISLPRARVTTNPPVPGRRASCHPWRRRMEM
+>NM_015577|389120_2_680_807
+MVTVRSVTFSWIMEQMSIPGTKVEELLSCWPVRLAALTLWKP
+>DECOY_NM_015577|389120_2_680_807
+PKWLTLAALRVPWCSLLEEVKTGPISMQEMIWSFTVSRVTVM
+>NM_015577|389121_2_716_807
+MEQMSIPGTKVEELLSCWPVRLAALTLWKP
+>DECOY_NM_015577|389121_2_716_807
+PKWLTLAALRVPWCSLLEEVKTGPISMQEM
+>NM_001145734|389140_3_1317_1414
+MAPRSRCQCTGPTAESHNPVYGSQDLFLNLAT
+>DECOY_NM_001145734|389140_3_1317_1414
+TALNLFLDQSGYVPNHSEATPGTCQCRSRPAM
+>NM_006420|389388_2_3861_3964
+MGTLWSWPSRPLATLSQLFSSTIFLQPSIPFRML
+>DECOY_NM_006420|389388_2_3861_3964
+LMRFPISPQLFITSSFLQSLTALPRSPWSWLTGM
+>NM_006420|389400_3_4615_4700
+METCRNGGRFIRKAFGCGSGPPVFKQHR
+>DECOY_NM_006420|389400_3_4615_4700
+RHQKFVPPGSGCGFAKRIFRGGNRCTEM
+>NM_001024607|389414_2_73_230
+MVFLNSLYLISLIRDFGASVALQCCFRLYSFFLHHKCHAFSSVFMPWTHCIQ
+>DECOY_NM_001024607|389414_2_73_230
+QICHTWPMFVSSFAHCKHHLFFSYLRFCCQLAVSAGFDRILSILYLSNLFVM
+>NM_001640|389479_2_821_972
+MGLFMRMTALAACPGRTRRHTCCMWQRRSAPRPSPSFRPKPWTSVPAMMR
+>DECOY_NM_001640|389479_2_821_972
+RMMAPVSTWPKPRFSPSPRPASRRQWMCCTHRRTRGPCAALATMRMFLGM
+>NM_014844|389529_2_1238_1521
+MVWRCLDAQSVSTCSKRRSCQGPQFLRRGSEALPWPAPWPASQGAGAARSTPPTAAPGSCPLGSRPPLSWARAASPCHRDSTPSAQRTLTRSLS
+>DECOY_NM_014844|389529_2_1238_1521
+SLSRTLTRQASPTSDRHCPSAARAWSLPPRSGLPCSGPAATPPTSRAAGAGQSAPWPAPWPLAESGRRLFQPGQCSRRKSCTSVSQADLCRWVM
+>NM_014844|389539_2_1964_2082
+MDQIAHSYPSKNRTALLGRMMGKTSNPLAPKALFVKSPS
+>DECOY_NM_014844|389539_2_1964_2082
+SPSKVFLAKPALPNSTKGMMRGLLATRNKSPYSHAIQDM
+>NM_014844|389541_2_2579_2844
+MASSAWWSPRSISGAWTTKAACSAARCRAPGCAGRSLKMLSSRWQSRPQEPFSGRLNRNLTGLLLVGKSPSRGSGTGTKPCPRQCLWP
+>DECOY_NM_014844|389541_2_2579_2844
+PWLCQRPCPKTGTGSGRSPSKGVLLLGTLNRNLRGSFPEQPRSQWRSSLMKLSRGACGPARCRAASCAAKTTWAGSISRPSWWASSAM
+>NM_014844|389553_3_3513_3841
+MGLCVGFCSSHEGRKLPVAVPEQQGPVQRQRPERTVAALHGAAASRSRDARLCRLPGCAVGAGQPRPGVHQDALQELPHGHALDQAGPLPARSCKIDKLGMWKSAHLGL
+>DECOY_NM_014844|389553_3_3513_3841
+LGLHASKWMGLKDIKCSRAPLPGAQDLAHGHPLEQLADQHVGPRPQGAGVACGPLRCLRADRSRSAAAGHLAAVTREPRQRQVPGQQEPVAVPLKRGEHSSCFGVCLGM
+>NM_148894|389626_2_2695_2777
+MVSHYREEVKVIRKISVIWTPLTWIVI
+>DECOY_NM_148894|389626_2_2695_2777
+IVIWTLPTWIVSIKRIVKVEERYHSVM
+>NM_152623|389658_3_1317_1540
+MAPRSRCQCTGPTAESHNPVYGSQGHGLVSLAVWGPCHWRRNEGWTLTHLGYKCWEEHPDPKHKLTDLFLNLAT
+>DECOY_NM_152623|389658_3_1317_1540
+TALNLFLDTLKHKPDPHEEWCKYGLHTLTWGENRRWHCPGWVALSVLGHGQSGYVPNHSEATPGTCQCRSRPAM
+>NM_014726|389708_2_1105_1238
+MAFLCMKSRMAPCWRWRRSACSNGSTSSSMSYRRTRSRKNSLER
+>DECOY_NM_014726|389708_2_1105_1238
+RELSNKRSRTRRYSMSSSTSGNSCASRRWRWCPAMRSKMCLFAM
+>NM_014726|389710_2_1132_1238
+MAPCWRWRRSACSNGSTSSSMSYRRTRSRKNSLER
+>DECOY_NM_014726|389710_2_1132_1238
+RELSNKRSRTRRYSMSSSTSGNSCASRRWRWCPAM
+>NM_018054|389753_3_1121_1212
+MDTSCKCAGSRQKTSRLVENMSEVATTKFC
+>DECOY_NM_018054|389753_3_1121_1212
+CFKTTAVESMNEVLRSTKQRSGACKCSTDM
+>NM_018556|389982_2_618_847
+MGMSSQTSRPTWTPQDRVWPTASAAQPGWYWTPGTFALRSSARWPMSPCRGTLFVGLPTCLRPSEFHPPWRLLNSP
+>DECOY_NM_018556|389982_2_618_847
+PSNLLRWPPHFESPRLCTPLGVFLTGRCPSMPWRASSRLAFTGPTWYWGPQAASATPWVRDQPTWTPRSTQSSMGM
+>NM_018556|389985_2_927_1012
+METCAREKQPRPLQRTRMVPTTGQAGSW
+>DECOY_NM_018556|389985_2_927_1012
+WSGAQGTTPVMRTRQLPRPQKERACTEM
+>NM_001039508|389996_2_618_847
+MGMSSQTSRPTWTPQDRVWPTASAAQPGWYWTPGTFALRSSARWPMSPCRGTLFVGLPTCLRPSEARHHPLLRCSS
+>DECOY_NM_001039508|389996_2_618_847
+SSCRLLPHHRAESPRLCTPLGVFLTGRCPSMPWRASSRLAFTGPTWYWGPQAASATPWVRDQPTWTPRSTQSSMGM
+>NM_001098668|390117_2_227_393
+MVSKETLALQAPWVRLEKHHVLLGIMGCLEPLVSLESVERRGRLAREALQGFQLI
+>DECOY_NM_001098668|390117_2_227_393
+ILQFGQLAERALRGRREVSELSVLPELCGMIGLLVHHKELRVWPAQLALTEKSVM
+>NM_001098668|390118_2_302_393
+MGCLEPLVSLESVERRGRLAREALQGFQLI
+>DECOY_NM_001098668|390118_2_302_393
+ILQFGQLAERALRGRREVSELSVLPELCGM
+>NM_001098668|390120_2_509_618
+MGSPSLLMPFRRHVPEQAAALLSQGIQRKMRPLQAS
+>DECOY_NM_001098668|390120_2_509_618
+SAQLPRMKRQIGQSLLAAAQEPVHRRFPMLLSPSGM
+>NM_020843|390160_2_155_252
+MENLNVKLVENLRGPFKALIKLLNRVLQWTVK
+>DECOY_NM_020843|390160_2_155_252
+KVTWQLVRNLLKILAKFPGRLNEVLKVNLNEM
+>NM_020843|390165_2_1601_1689
+MEFTCMKNFLHPLVKEQLQNLRRNMKKNK
+>DECOY_NM_020843|390165_2_1601_1689
+KNKKMNRRLNQLQEKVLPHLFNKMCTFEM
+>NM_020843|390176_3_825_922
+MGDRSERKAYSFSINSSDAKSFIGNRSHKIKG
+>DECOY_NM_020843|390176_3_825_922
+GKIKHSRNGIFSKADSSNISFSYAKRESRDGM
+>NM_032300|390197_3_1557_1657
+MGSRPAGGGGRGGGPAGRAALRCPAAAGGGDYG
+>DECOY_NM_032300|390197_3_1557_1657
+GYDGGGAAAPCRLAARGAPGGGRGGGGAPRSGM
+>NM_198795|390246_2_331_440
+MEIKRTIFCFVSKPNNIWLVRKTIQFLQTRMASTEK
+>DECOY_NM_198795|390246_2_331_440
+KETSAMRTQLFQITKRVLWINNPKSVFCFITRKIEM
+>NM_198795|390256_2_3232_3332
+METLKPCLFAECNQSPLATWRFLSKLLDVHLKD
+>DECOY_NM_198795|390256_2_3232_3332
+DKLHVDLLKSLFRWTALPSQNCEAFLCPKLTEM
+>NM_013347|390265_2_323_450
+MAAFLLLMERVEAVTNCVREMQLLLLRPKDLRSEFRTLYRVM
+>DECOY_NM_013347|390265_2_323_450
+MVRYLTRFESRLDKPRLLLLQMERVCNTVAEVREMLLLFAAM
+>NM_032489|390293_2_1453_1535
+MGISLPRFVTQTISSTQTTVPSKASSV
+>DECOY_NM_032489|390293_2_1453_1535
+VSSAKSPVTTQTSSITQTVFRPLSIGM
+>NM_006422|390319_2_263_477
+MEYAKLMSILLETTKPRTGKWTPPRILSECSAGSAETWRRVQQSSKMFGSNPENHLVGKRPTQETHTKVSL
+>DECOY_NM_006422|390319_2_263_477
+LSVKTHTEQTPRKGVLHNEPNSGFMKSSQQVRRWTEASGASCESLIRPPTWKGTRPKTTELLISMLKAYEM
+>NM_006422|390326_2_977_1086
+MEIMPERVEGSFLGRERGFEGRKGLMTLRLLLVKGS
+>DECOY_NM_006422|390326_2_977_1086
+SGKVLLLRLTMLGKRGEFGRERGLFSGEVREPMIEM
+>NM_003147|390363_2_511_761
+MGKSCAPRENQLPLRRFTRDLEIGRPKKRKRDAEQLIGGAVRTHTTLVDSVCQLLWVQFMVPPKQLHTTGTQKGGTCLDPQTA
+>DECOY_NM_003147|390363_2_511_761
+ATQPDLCTGGKQTGTTHLQKPPVMFQVWLLQCVSDVLTTHTRVAGGILQEADRKRKKPRGIELDRTFRRLPLQNERPACSKGM
+>NM_175698|390374_2_511_632
+MGKSCAPRENQLPLRRFTRDLDPKGGNMPGPTDCVRENSW
+>DECOY_NM_175698|390374_2_511_632
+WSNERVCDTPGPMNGGKPDLDRTFRRLPLQNERPACSKGM
+>NM_003176|390392_2_381_481
+MGKTLIQILLYKKLISCPCLSRLVILTVTIRKD
+>DECOY_NM_003176|390392_2_381_481
+DKRITVTLIVLRSLCPCSILKKYLLIQILTKGM
+>NM_003176|390394_2_2694_2779
+MAYPKIKETICGHLPKILYLHHCQRHIQ
+>DECOY_NM_003176|390394_2_2694_2779
+QIHRQCHHLYLIKPLHGCITEKIKPYAM
+>NM_001010870|390438_2_4741_4970
+MDIIIGHLSLIFVKIILYLSGLWTLETLKTVWTQKHSGPFLLNFCRFPCKPFHVASQGLTFQKDYVLKREMTISMK
+>DECOY_NM_001010870|390438_2_4741_4970
+KMSITMERKLVYDKQFTLGQSAVHFPKCPFRCFNLLFPGSHKQTWVTKLTELTWLGSLYLIIKVFILSLHGIIIDM
+>NM_003886|390579_2_2273_2400
+MGQPLLSWKNKQPRQISPISGAPDAFTVVQCHRTIKTLLDMK
+>DECOY_NM_003886|390579_2_2273_2400
+KMDLLTKITRHCQVVTFADPAGSIPSIQRPQKNKWSLLPQGM
+>NM_012444|390604_2_964_1055
+MDLCLCLLKLIISQFQLLDGLVFSLLILKD
+>DECOY_NM_012444|390604_2_964_1055
+DKLILLSFVLGDLLQFQSIILKLLCLCLDM
+>NM_000091|390654_2_1138_1358
+MALRDRKGTLALQDFVVQQNIMTHTRKREMKALQAHQGPEELVAHKVPVVPPEFLEVLDHQGLASEEPLDGQA
+>DECOY_NM_000091|390654_2_1138_1358
+AQGDLPEESALGQHDLVELFEPPVVPVKHAVLEEPGQHAQLAKMERKRTHTMINQQVVFDQLALTGKRDRLAM
+>NM_000091|390660_2_2461_2591
+MEKLDSLDFQVSLELQEMKGLMDHEEIQGSLDHLENKDPQEGA
+>DECOY_NM_000091|390660_2_2461_2591
+AGEQPDKNELHDLSGQIEEHDMLGKMEQLELSVQFDLSDLKEM
+>NM_000091|390664_2_4348_4445
+MENQELLDQLEKKATKVLKESQDQLDQMDCQV
+>DECOY_NM_000091|390664_2_4348_4445
+VQCDMQDLQDQSEKLVKTAKKELQDLLEQNEM
+>NM_022771|390702_3_247_326
+MDSGPKRKRSSRIRTSEQLRSRMGHG
+>DECOY_NM_022771|390702_3_247_326
+GHGMRSRLQESTRIRSSRKRKPGSDM
+>NM_022771|390704_3_1087_1163
+MEISSGLFSLGQYQGGKNPITKAKN
+>DECOY_NM_022771|390704_3_1087_1163
+NKAKTIPNKGGQYQGLSFLGSSIEM
+>NM_022771|390705_3_1666_1778
+MGGNVDRTTMYKFPSSSLLCYSGIRKAANNGKALWLQ
+>DECOY_NM_022771|390705_3_1666_1778
+QLWLAKGNNAAKRIGSYCLLSSSPFKYMTTRDVNGGM
+>NM_000092|390948_2_1786_1862
+MEKQGMLDHLVPQVSWADQGKPVQA
+>DECOY_NM_000092|390948_2_1786_1862
+AQVPKGQDAWSVQPVLHDLMGQKEM
+>NM_000092|390950_2_2284_2609
+MVPLDHLATKGRRVTWLYQELKGTKEKEVLMGPQDFQGSQDHMVGMDMLEKKGIQDLQGIMKMRPQVVKDFLDLWAPQAKQDLWGPQDWDFLVHQESEATQEFQATQV
+>DECOY_NM_000092|390950_2_2284_2609
+VQTAQFEQTAESEQHVLFDWDQPGWLDQKAQPAWLDLFDKVVQPRMKMIGQLDQIGKKELMDMGVMHDQSGQFDQPGMLVEKEKTGKLEQYLWTVRRGKTALHDLPVM
+>NM_000092|390953_2_2419_2609
+MDMLEKKGIQDLQGIMKMRPQVVKDFLDLWAPQAKQDLWGPQDWDFLVHQESEATQEFQATQV
+>DECOY_NM_000092|390953_2_2419_2609
+VQTAQFEQTAESEQHVLFDWDQPGWLDQKAQPAWLDLFDKVVQPRMKMIGQLDQIGKKELMDM
+>NM_000092|390962_2_3340_3500
+MGYLVLQVQRDPGGCLVSQVFPEKEESLVQRDVLAQRENLERRACLAFLETGD
+>DECOY_NM_000092|390962_2_3340_3500
+DGTELFALCARRELNERQALVDRQVLSEEKEPFVQSVLCGGPDRQVQLVLYGM
+>NM_000092|390965_2_3601_3764
+MDSQEKEVIKELPGCKGEEESREDTDHLDFTEGNLVRKVSQGLLDPQALQAQLV
+>DECOY_NM_000092|390965_2_3601_3764
+VLQAQLAQPDLLGQSVKRVLNGETFDLHDTDERSEEEGKCGPLEKIVEKEQSDM
+>NM_000092|390967_2_4606_4814
+MEKMARKDQWDSRDRRDHMDFLGHLERRVYLDLQGEKGPLVFRVPEVNRGHLQMWMTVPESQAFLGRQA
+>DECOY_NM_000092|390967_2_4606_4814
+AQRGLFAQSEPVTMWMQLHGRNVEPVRFVLPGKEGQLDLYVRRELHGLFDMHDRRDRSDWQDKRAMKEM
+>NM_000092|390968_2_4615_4814
+MARKDQWDSRDRRDHMDFLGHLERRVYLDLQGEKGPLVFRVPEVNRGHLQMWMTVPESQAFLGRQA
+>DECOY_NM_000092|390968_2_4615_4814
+AQRGLFAQSEPVTMWMQLHGRNVEPVRFVLPGKEGQLDLYVRRELHGLFDMHDRRDRSDWQDKRAM
+>NM_013265|391011_2_956_1305
+MEAVASWAASARWRRPTRSCLRPRAQQVPRSWRPSPGSWAAAILRWWSGGWRRSRVVVTTHCWCGRWTASTGACGLPGPCWPLPGSQTLPRRSWNEWPASAWATTCRVSGRPSWAA
+>DECOY_NM_013265|391011_2_956_1305
+AAWSPRGSVRCTTAWASAPWENWSRRPLTQSGPLPWCPGPLGCAGTSATWRGCWCHTTVVVRSRRWGGSWWRLIAAAWSGPSPRWSRPVQQARPRLCSRTPRRWRASAAWSAVAEM
+>NM_130784|391020_2_881_969
+MECKSLPKPRAHKRKRLAQEMWLPDQVDQ
+>DECOY_NM_130784|391020_2_881_969
+QDVQDPLWMEQALRKRKHARPKPLSKCEM
+>NM_001143764|391057_2_958_1040
+MECKSLPKPRAHKRKRLAQEMWPVPSP
+>DECOY_NM_001143764|391057_2_958_1040
+PSPVPWMEQALRKRKHARPKPLSKCEM
+>NM_172105|391220_2_2265_2365
+MAEMRSMPLTSTTCPSGGYPVTQTSWLSTKHWN
+>DECOY_NM_172105|391220_2_2265_2365
+NWHKTSLWSTQTVPYGGSPCTTSTLPMSRMEAM
+>NM_032222|391355_2_1962_2062
+MGTSHFSEALLHAVILASYLSLSITTCARLAAS
+>DECOY_NM_032222|391355_2_1962_2062
+SAALRACTTISLSLYSALIVAHLLAESFHSTGM
+>NM_005364|391418_2_918_1075
+MGGSTVSIGSSGSCSPKSGCRRTTWSTARRPAVILCATSSCGVQGPLLKPAM
+>DECOY_NM_005364|391418_2_918_1075
+MAPKLLPGQVGCSSTACLIVAPRRATSWTTRRCGSKPSCSGSSGISVTSGGM
+>NM_152338|391445_2_94_191
+MEVVVESDSLILATSWTAPSPPSGSESTHTTS
+>DECOY_NM_152338|391445_2_94_191
+STTHTSESGSPPSPATWSTALILSDSEVVVEM
+>NM_152338|391446_2_208_287
+MARCGATMWVVATETWRRSFCTLGNQ
+>DECOY_NM_152338|391446_2_208_287
+QNGLTCFSRRWTETAVVWMTAGCRAM
+>NM_021048|391462_2_1086_1243
+MGWSTSFMGSPGSCSPKIGCRKTTWSTGRCLAVILHGMSFCGVQGLMLKLGR
+>DECOY_NM_021048|391462_2_1086_1243
+RGLKLMLGQVGCFSMGHLIVALCRGTSWTTKRCGIKPSCSGPSGMFSTSWGM
+>NM_002362|391495_2_918_1075
+MGGSTLSMGSPGNCSPKIGCRKTTWSTGRYPAVILRAMSSCGVQGLWLKPAM
+>DECOY_NM_002362|391495_2_918_1075
+MAPKLWLGQVGCSSMARLIVAPYRGTSWTTKRCGIKPSCNGPSGMSLTSGGM
+>NM_005407|391592_2_2230_2612
+MANMEVRGPSNAKCVAEPSPPGVICVHISWATRPVQLPGHRIPAPSARRSSPMLSLCSSMSGCTWGARSPTVVLHSLKVEELLRRMAPSNLQSPGQGVSPSSSPSSHHRKRSCLRRRKRRMRKKRKM
+>DECOY_NM_005407|391592_2_2230_2612
+MKRKKRMRRKRRRLCSRKRHHSSPSSSPSVGQGPSQLNSPAMRRLLEEVKLSHLVVTPSRAGWTCGSMSSCLSLMPSSRRASPAPIRHGPLQVPRTAWSIHVCIVGPPSPEAVCKANSPGRVEMNAM
+>NM_005407|391593_2_2239_2612
+MEVRGPSNAKCVAEPSPPGVICVHISWATRPVQLPGHRIPAPSARRSSPMLSLCSSMSGCTWGARSPTVVLHSLKVEELLRRMAPSNLQSPGQGVSPSSSPSSHHRKRSCLRRRKRRMRKKRKM
+>DECOY_NM_005407|391593_2_2239_2612
+MKRKKRMRRKRRRLCSRKRHHSSPSSSPSVGQGPSQLNSPAMRRLLEEVKLSHLVVTPSRAGWTCGSMSSCLSLMPSSRRASPAPIRHGPLQVPRTAWSIHVCIVGPPSPEAVCKANSPGRVEM
+>NM_005407|391596_2_2485_2612
+MAPSNLQSPGQGVSPSSSPSSHHRKRSCLRRRKRRMRKKRKM
+>DECOY_NM_005407|391596_2_2485_2612
+MKRKKRMRRKRRRLCSRKRHHSSPSSSPSVGQGPSQLNSPAM
+>NM_001012515|391718_3_215_315
+MEVEVRCSCSGRHHRNSPACPGCKTSSSTAEEV
+>DECOY_NM_001012515|391718_3_215_315
+VEEATSSSTKCGPCAPSNRHHRGSCSCRVEVEM
+>NM_000140|391776_3_215_354
+MEVEVRCSCSGRHHRNSPACPGCKTSSSTAEEEAENWNINAKHGRP
+>DECOY_NM_000140|391776_3_215_354
+PRGHKANINWNEAEEEATSSSTKCGPCAPSNRHHRGSCSCRVEVEM
+>NM_014966|391806_2_507_583
+MAARRSMLSGRLQLQPASCSRVGVC
+>DECOY_NM_014966|391806_2_507_583
+CVGVRSCSAPQLQLRGSLMSRRAAM
+>NM_012218|392029_2_2331_2983
+MEAMGTEATRRQQATVSSTATEGILGMPVAVAAGAVVAPPAMAPTTKVTTTTHRCPQNTLGRSSRTGASRSPPTARATSPTRASSSPTTRAPTATMALHRASRKAITMDKAATPTRTPTTLPGAGADPTTTTRANSTTVVVEAEAAGTATAQAGHPTTQGHTGATAEVLGAAPHTKANKEATHSRTTTPRGPARTTVALPAPTSPHKAAMAETQTTA
+>DECOY_NM_012218|392029_2_2331_2983
+ATTQTEAMAAKHPSTPAPLAVTTRAPGRPTTTRSHTAEKNAKTHPAAGLVEATAGTHGQTTPHGAQATATGAAEAEVVVTTSNARTTTTPDAGAGPLTTPTRTPTAAKDMTIAKRSARHLAMTATPARTTPSSSARTPSTARATPPSRSAGTRSSRGLTNQPCRHTTTTVKTTPAMAPPAVVAGAAVAVPMGLIGETATSSVTAQQRRTAETGMAEM
+>NM_012218|392030_2_2340_2983
+MGTEATRRQQATVSSTATEGILGMPVAVAAGAVVAPPAMAPTTKVTTTTHRCPQNTLGRSSRTGASRSPPTARATSPTRASSSPTTRAPTATMALHRASRKAITMDKAATPTRTPTTLPGAGADPTTTTRANSTTVVVEAEAAGTATAQAGHPTTQGHTGATAEVLGAAPHTKANKEATHSRTTTPRGPARTTVALPAPTSPHKAAMAETQTTA
+>DECOY_NM_012218|392030_2_2340_2983
+ATTQTEAMAAKHPSTPAPLAVTTRAPGRPTTTRSHTAEKNAKTHPAAGLVEATAGTHGQTTPHGAQATATGAAEAEVVVTTSNARTTTTPDAGAGPLTTPTRTPTAAKDMTIAKRSARHLAMTATPARTTPSSSARTPSTARATPPSRSAGTRSSRGLTNQPCRHTTTTVKTTPAMAPPAVVAGAAVAVPMGLIGETATSSVTAQQRRTAETGM
+>NM_012218|392032_2_2454_2983
+MAPTTKVTTTTHRCPQNTLGRSSRTGASRSPPTARATSPTRASSSPTTRAPTATMALHRASRKAITMDKAATPTRTPTTLPGAGADPTTTTRANSTTVVVEAEAAGTATAQAGHPTTQGHTGATAEVLGAAPHTKANKEATHSRTTTPRGPARTTVALPAPTSPHKAAMAETQTTA
+>DECOY_NM_012218|392032_2_2454_2983
+ATTQTEAMAAKHPSTPAPLAVTTRAPGRPTTTRSHTAEKNAKTHPAAGLVEATAGTHGQTTPHGAQATATGAAEAEVVVTTSNARTTTTPDAGAGPLTTPTRTPTAAKDMTIAKRSARHLAMTATPARTTPSSSARTPSTARATPPSRSAGTRSSRGLTNQPCRHTTTTVKTTPAM
+>NM_012218|392033_2_2616_2983
+MALHRASRKAITMDKAATPTRTPTTLPGAGADPTTTTRANSTTVVVEAEAAGTATAQAGHPTTQGHTGATAEVLGAAPHTKANKEATHSRTTTPRGPARTTVALPAPTSPHKAAMAETQTTA
+>DECOY_NM_012218|392033_2_2616_2983
+ATTQTEAMAAKHPSTPAPLAVTTRAPGRPTTTRSHTAEKNAKTHPAAGLVEATAGTHGQTTPHGAQATATGAAEAEVVVTTSNARTTTTPDAGAGPLTTPTRTPTAAKDMTIAKRSARHLAM
+>NM_012218|392034_2_2652_2983
+MDKAATPTRTPTTLPGAGADPTTTTRANSTTVVVEAEAAGTATAQAGHPTTQGHTGATAEVLGAAPHTKANKEATHSRTTTPRGPARTTVALPAPTSPHKAAMAETQTTA
+>DECOY_NM_012218|392034_2_2652_2983
+ATTQTEAMAAKHPSTPAPLAVTTRAPGRPTTTRSHTAEKNAKTHPAAGLVEATAGTHGQTTPHGAQATATGAAEAEVVVTTSNARTTTTPDAGAGPLTTPTRTPTAAKDM
+>NM_018212|392138_2_850_980
+MAHPKKNWKFKEDNYKNSNGKRSWSGKGWSEKEWKEKGWRERG
+>DECOY_NM_018212|392138_2_850_980
+GRERWGKEKWEKESWGKGSWSRKGNSNKYNDEKFKWNKKPHAM
+>NM_015665|392358_2_350_441
+MAQELPSSITGSKCGRDASTFGVMWAFLGC
+>DECOY_NM_015665|392358_2_350_441
+CGLFAWMVGFTSADRGCKSGTISSPLEQAM
+>NM_015665|392365_3_975_1126
+MGCLNRDLCPPSLVPRRWGDQPALVPRRQQNPGYHSFSCLSSLGGPDVDL
+>DECOY_NM_015665|392365_3_975_1126
+LDVDPGGLSSLCSFSHYGPNQQRRPVLAPQDGWRRPVLSPPCLDRNLCGM
+>NM_000210|392380_2_312_589
+METPGASSASRWPCTGNCSPRTSGCCSWGPRGQKRFHCREPTEREGCTAATSPPGGHARGSSLITMLTPRQKARKISGWGSPSRAKVQGARS
+>DECOY_NM_000210|392380_2_312_589
+SRAGQVKARSPSGWGSIKRAKQRPTLMTILSSGRAHGGPPSTAATCGERETPERCHFRKQGRPGWSCCGSTRPSCNGTCPWRSASSAGPTEM
+>NM_000210|392382_2_903_976
+MGLMKLVERLSMMKVSFLFLLTVT
+>DECOY_NM_000210|392382_2_903_976
+TVTLLFLFSVKMMSLREVLKMLGM
+>NM_000210|392384_2_1371_1453
+MATQILQLELRMMTWERFLSIMDLQME
+>DECOY_NM_000210|392384_2_1371_1453
+EMQLDMISLFREWTMMRLELQLIQTAM
+>NM_000210|392385_2_2394_2467
+MARKLTVSSEILLKEIQMSLFIWF
+>DECOY_NM_000210|392385_2_2394_2467
+FWIFLSMQIEKLLIESSVTLKRAM
+>NM_015213|392504_2_1600_1697
+MGTLLAPLCIPTSFLRRMKLLPGCKPWSRELG
+>DECOY_NM_015213|392504_2_1600_1697
+GLERSWPKCGPLLKMRRLFSTPICLPALLTGM
+>NM_015213|392515_2_3712_3794
+MDLNRPGSSKMSSFGISWKKHKPIMRH
+>DECOY_NM_015213|392515_2_3712_3794
+HRMIPKHKKWSIGFSSMKSSGPRNLDM
+>NM_015213|392516_2_3898_4013
+MASFRCWCAWEPEITSYTTGLPCWLTAPSLHTCMRMWH
+>DECOY_NM_015213|392516_2_3898_4013
+HWMRMCTHLSPATLWCPLGTTYSTIEPEWACWCRFSAM
+>NM_002337|392552_2_395_483
+MGRRKRDSYATSMSSWPSMVWTERRTLGR
+>DECOY_NM_002337|392552_2_395_483
+RGLTRRETWVMSPWSSMSTAYSDRKRRGM
+>NM_006845|392602_2_1331_1458
+MGSCLTCSTRRPSCACWRTASNRCKWWGCRSIWLTLLMMSSR
+>DECOY_NM_006845|392602_2_1331_1458
+RSSMMLLTLWISRCGWWKCRNSATRWCACSPRRTSCTLCSGM
+>NM_000341|392664_2_601_692
+MVLKISGKLIPFLERWKILRIWLQPYMIKV
+>DECOY_NM_000341|392664_2_601_692
+VKIMYPQLWIRLIKWRELFPILKGSIKLVM
+>NM_017657|392706_2_366_439
+MEQRMMMMMNLGNLVGFQKLALLV
+>DECOY_NM_017657|392706_2_366_439
+VLLALKQFGVLNGLNMMMMMRQEM
+>NM_017657|392713_2_1902_2023
+MGKKVRLDILILCQIFRMTAMVFKTLMILQTSVQLVLAKL
+>DECOY_NM_017657|392713_2_1902_2023
+LKALVLQVSTQLIMLTKFVMATMRFIQCLILIDLRVKKGM
+>NM_006389|392748_2_830_921
+MVSSAGKILTPLPRISCSMTWAQAAPYAPL
+>DECOY_NM_006389|392748_2_830_921
+LPAYPAAQAWTMSCSIRPLPTLIKGASSVM
+>NM_006389|392753_2_1979_2280
+MVLILSRRKRRALQRGARTSLGSRWSSRRKLRPQWRMALSPHPLNLREMQPLREKRPQKKKMGTSLRPRNQVRRQRQGLRASLQPQRERRSRSPPGSGEW
+>DECOY_NM_006389|392753_2_1979_2280
+WEGSGPPSRSRRERQPQLSARLGQRQRRVQNRPRLSTGMKKKQPRKERLPQMERLNLPHPSLAMRWQPRLKRRSSWRSGLSTRAGRQLARRKRRSLILVM
+>NM_006389|392754_2_2087_2280
+MALSPHPLNLREMQPLREKRPQKKKMGTSLRPRNQVRRQRQGLRASLQPQRERRSRSPPGSGEW
+>DECOY_NM_006389|392754_2_2087_2280
+WEGSGPPSRSRRERQPQLSARLGQRQRRVQNRPRLSTGMKKKQPRKERLPQMERLNLPHPSLAM
+>NM_006389|392756_2_2162_2280
+MGTSLRPRNQVRRQRQGLRASLQPQRERRSRSPPGSGEW
+>DECOY_NM_006389|392756_2_2162_2280
+WEGSGPPSRSRRERQPQLSARLGQRQRRVQNRPRLSTGM
+>NM_006389|392759_2_2957_3072
+MGPGQSHPSMPVPVTRGRRSSLQQARLKMQSPFQNLRK
+>DECOY_NM_006389|392759_2_2957_3072
+KRLNQFPSQMKLRAQQLSSRRGRTVPVPMSPHSQGPGM
+>NM_004468|392800_2_575_732
+MEARHGMSTASCAVAVNSHWAPVLLCPTRVLTTACPAMRTSLLLAAPAAARR
+>DECOY_NM_004468|392800_2_575_732
+RRAAAPAALLLSTRMAPCATTLVRTPCLLVPAWHSNVAVACSATSMGHRAEM
+>NM_001148|392954_2_287_537
+MDSTLSIWLPRKATWGWCRSCWEEGPLWILPLRREIPLFTLHLWLDKQKLSKFLLRKEPILMHSLRMALLLYTWLPKRITLML
+>DECOY_NM_001148|392954_2_287_537
+LMLTIRKPLWTYLLLAMRLSHMLIPEKRLLFKSLKQKDLWLHLTFLPIERRLPLIWLPGEEWCSRCWGWTAKRPLWISLTSDM
+>NM_001148|392957_2_1556_1773
+MVPLLMPEPGRNRHLYILPPAWVRQKLSSCFYNIWLIQMRPLQMGTHHCTSLPGRARWMWHQSYWKQEQPTP
+>DECOY_NM_001148|392957_2_1556_1773
+PTPQEQKWYSQHWMWRARGPLSTCHHTGMQLPRMQILWINYFCSSLKQRVWAPPLIYLHRNRGPEPMLLPVM
+>NM_001148|392961_2_1817_2031
+MEAWMWQNFSCNAVLPQILQGRTALPRSMLLLIMTTRRWRCCYWRRVLPLMPLPRMAILRYILLPRRIKCR
+>DECOY_NM_001148|392961_2_1817_2031
+RCKIRRPLLIYRLIAMRPLPMLPLVRRWYCCRWRRTTMILLLMSRPLATRGQLIQPLVANCSFNQWMWAEM
+>NM_001148|392966_2_2447_2538
+MGPSPTPPLRMATLPWRLLSVWATSPWSTP
+>DECOY_NM_001148|392966_2_2447_2538
+PTSWPSTAWVSLLRWPLTAMRLPPTPSPGM
+>NM_001148|392968_2_3059_3189
+MGSESLFHLGNVLLQRESPADWSSATDWQQCLQWWKEKAWPVA
+>DECOY_NM_001148|392968_2_3059_3189
+AVPWAKEKWWQLCQQWDTASSWDAPSERQLLVNGLHFLSESGM
+>NM_001148|392992_2_10760_10860
+MAMTMLKIHRMSRNGSRKGWLILLITLASAGQN
+>DECOY_NM_001148|392992_2_10760_10860
+NQGASALTILLILWGKRSGNRSMRHIKLMTMAM
+>NM_001148|392995_2_10970_11421
+MGNMLQIPTSLNVSPRSTEWILFISWRPTQNLSRSASVIVMQKLNRPLHWIIVKGSRYFKRSYALHSTSRKRSKLFLKKVRPAITLLSSQRKTFLLVIPLFRMASPKLRGTAQQQHSFPKLTRSKFNRISQGKCKTCLKSHLWNISRNIL
+>DECOY_NM_001148|392995_2_10970_11421
+LINRSINWLHSKLCTKCKGQSIRNFKSRTLKPFSHQQQATGRLKPSAMRFLPIVLLFTKRQSSLLTIAPRVKKLFLKSRKRSTSHLAYSRKFYRSGKVIIWHLPRNLKQMVIVSASRSLNQTPRWSIFLIWETSRPSVNLSTPIQLMNGM
+>NM_001148|392998_2_11276_11421
+MASPKLRGTAQQQHSFPKLTRSKFNRISQGKCKTCLKSHLWNISRNIL
+>DECOY_NM_001148|392998_2_11276_11421
+LINRSINWLHSKLCTKCKGQSIRNFKSRTLKPFSHQQQATGRLKPSAM
+>NM_033117|393084_3_246_328
+MDWQPGPQNYRIPPPQAPPEVWQGKAV
+>DECOY_NM_033117|393084_3_246_328
+VAKGQWVEPPAQPPPIRYNQPGPQWDM
+>NM_006158|393167_3_935_1080
+MVQEPLHRADRERRQEHRRRARRQGRGVREPSSAQGQDPGNRSMPGHE
+>DECOY_NM_006158|393167_3_935_1080
+EHGPMSRNGPDQGQASSPERVGRGQRRARRRHEQRRERDARHLPEQVM
+>NM_032559|393195_3_497_672
+MGCDDPPEKPNSLRGQPGCEGPQQTLSDEAEKVSLPLGNPETAGAAGKAQAAAAGDPS
+>DECOY_NM_032559|393195_3_497_672
+SPDGAAAAQAKGAAGATEPNGLPLSVKEAEDSLTQQPGECGPQGRLSNPKEPPDDCGM
+>NM_000182|393219_2_1160_1242
+MVRSCARRINLELHRRMLSIWLFLVQG
+>DECOY_NM_000182|393219_2_1160_1242
+GQVLFLWISLMRRHLELNIRRACSRVM
+>NM_003369|393232_2_410_495
+MAISSLIPTLHFTCVVLKRYIKNFIEVK
+>DECOY_NM_003369|393232_2_410_495
+KVEIFNKIYRKLVVCTFHLTPILSSIAM
+>NM_003369|393234_2_716_873
+MDTMVLHLNIRVIQMLRRLFFCRWIRTVFAILTMSSLCYGFIEPSVQLNRLR
+>DECOY_NM_003369|393234_2_716_873
+RLRNLQVSPEIFGYCLSSMTLIAFVTRIWRCFFLRRLMQIVRINLHLVMTDM
+>NM_003369|393235_2_725_873
+MVLHLNIRVIQMLRRLFFCRWIRTVFAILTMSSLCYGFIEPSVQLNRLR
+>DECOY_NM_003369|393235_2_725_873
+RLRNLQVSPEIFGYCLSSMTLIAFVTRIWRCFFLRRLMQIVRINLHLVM
+>NM_032145|393425_2_1877_1950
+MVVPILSVDFVHQYKEQRLYMTAI
+>DECOY_NM_032145|393425_2_1877_1950
+IATMYLRQEKYQHVFDVSLIPVVM
+>NM_007123|393455_2_1666_1757
+MEIWKNLILSTVFSFPILLHIPVAMSHLAS
+>DECOY_NM_007123|393455_2_1666_1757
+SALHSMAVPIHLLIPFSFVTSLILNKWIEM
+>NM_007123|393458_2_1948_2156
+MVMPITATQQASHIDASAPRRASLKDFIVIAACLFIMTSLSAKVIKFTLSIVNLVNATAIPKAAITTSL
+>DECOY_NM_007123|393458_2_1948_2156
+LSTTIAAKPIATANVLNVISLTFKIVKASLSTMIFLCAAIVIFDKLSARRPASADIHSAQQTATIPMVM
+>NM_007123|393463_2_2335_2651
+MVAFFVIRLEDSVIVRDTCLAGSAISARMDSTIYKSWILMAAVPVTAIPLGQWMEILPVTKIQASASAKQTLLGLGVIIAILDLNFSEALMMLDVSPASVTSMAQ
+>DECOY_NM_007123|393463_2_2335_2651
+QAMSTVSAPSVDLMMLAESFNLDLIAIIVGLGLLTQKASASAQIKTVPLIEMWQGLPIATVPVAAMLIWSKYITSDMRASIASGALCTDRVIVSDELRIVFFAVM
+>NM_007123|393464_2_2419_2651
+MDSTIYKSWILMAAVPVTAIPLGQWMEILPVTKIQASASAKQTLLGLGVIIAILDLNFSEALMMLDVSPASVTSMAQ
+>DECOY_NM_007123|393464_2_2419_2651
+QAMSTVSAPSVDLMMLAESFNLDLIAIIVGLGLLTQKASASAQIKTVPLIEMWQGLPIATVPVAAMLIWSKYITSDM
+>NM_018482|393509_2_2606_2736
+MGVHPLQVRLQTSLRDYPSSRAPVLQRLPLAQEFFLNYLRKWH
+>DECOY_NM_018482|393509_2_2606_2736
+HWKRLYNLFFEQALPLRQLVPARSSPYDRLSTQLRVQLPHVGM
+>NM_018482|393514_3_78_229
+MESDAGPDLCLGVHRRDHRGLQLAHHVQLHHAAAQLQEHRHAAGGGSRPR
+>DECOY_NM_018482|393514_3_78_229
+RPRSGGGAAHRHEQLQAAAHHLQVHHALQLGRHDRRHVGLCLDPGADSEM
+>NM_015221|393573_2_4539_4687
+MGKVKTSSKDVQEQPRLRKTEVQSQMAVRQKATRSILLSTPSRHETQMS
+>DECOY_NM_015221|393573_2_4539_4687
+SMQTEHRSPTSLLISRTAKQRVAMQSQVETKRLRPQEQVDKSSTKVKGM
+>NM_182760|393640_2_356_444
+MGKHLRGELLLMPFTWMPMKSVILNLRSL
+>DECOY_NM_182760|393640_2_356_444
+LSRLNLIVSKMPMWTFPMLLLEGRLHKGM
+>NM_206933|393870_2_4960_5054
+MGIVNFPAPLTQSIQTSLALRPAFEQKCLKV
+>DECOY_NM_206933|393870_2_4960_5054
+VKLCKQEFAPRLALSTQISQTLPAPFNVIGM
+>NM_206933|393882_2_8785_8873
+MGTLEGAQRVYLPMLPLTPPYLRMLAHCL
+>DECOY_NM_206933|393882_2_8785_8873
+LCHALMRLYPPTLPLMPLYVRQAGELTGM
+>NM_206933|393886_2_9436_9557
+MGSLRACFLQRLSSSTVQLYVSSGHLLQTQMVLSLSILSM
+>DECOY_NM_206933|393886_2_9436_9557
+MSLISLSLVMQTQLLHGSSVYLQVTSSSLRQLFCARLSGM
+>NM_206933|393887_2_9682_9863
+MEPKLPLWKTLQVIYQHPQFVASLQDLFKLIGCLHGSQMASFLDMISYGKHGIHALKLKS
+>DECOY_NM_206933|393887_2_9682_9863
+SKLKLAHIGHKGYSIMDLFSAMQSGHLCGILKFLDQLSAVFQPHQYIVQLTKWLPLKPEM
+>NM_206933|393889_2_11545_11633
+METCFSWVAVRSRISLIKTWSPIADTLTS
+>DECOY_NM_206933|393889_2_11545_11633
+STLTDAIPSWTKILSIRSRVAVWSFCTEM
+>NM_206933|393890_2_11932_12035
+MEVVELAVGCLSKHLKQPQWILILLFLRHWGQLA
+>DECOY_NM_206933|393890_2_11932_12035
+ALQGWHRLFLLILIWQPQKLHKSLCGVALEVVEM
+>NM_206933|393900_2_13831_13913
+MEPLYIQAWKHAIVILLSPQVWSIATQ
+>DECOY_NM_206933|393900_2_13831_13913
+QTAISWVQPSLLIVIAHKWAQIYLPEM
+>NM_206933|393912_3_14564_14721
+MVQNRASPTRRSQSPHVPCDLFYPSSGQHQCPWEAQRDRQSLQAVLQQRPWG
+>DECOY_NM_206933|393912_3_14564_14721
+GWPRQQLVAQLSQRDRQAEWPCQHQGSSPYFLDCPVHPSQSRRTPSARNQVM
+>NM_001142730|393963_2_82_212
+MGSGARASAARGAAAAATAVRTTAARARRRRKRRRRTRSRRCR
+>DECOY_NM_001142730|393963_2_82_212
+RCRRSRTRRRRKRRRRARAATTRVATAAAAAGRAASARAGSGM
+>NM_003383|393992_2_638_726
+MASVFPADGSVMEILTAKMVQMKAQNSAI
+>DECOY_NM_003383|393992_2_638_726
+IASNQAKMQVMKATLIEMVSGDAPFVSAM
+>NM_003383|393994_2_917_1392
+MARMTAAMAVMSWTVPRQPVAPMSSSAAPPPASPSAGYATMMQTAPTNLMSPWSSVAVSQSYTPSVQPAKSSAALASASIRSGDVMGTLTARMAVMRSTVPLELADLTNLNVRMAAASMAAGSVMVSETVSMVPMKSTAKMSISAWALENSSAEVENA
+>DECOY_NM_003383|393994_2_917_1392
+ANEVEASSNELAWASISMKATSKMPVMSVTESVMVSGAAMSAAAMRVNLNTLDALELPVTSRMVAMRATLTGMVDGSRISASALAASSKAPQVSPTYSQSVAVSSWPSMLNTPATQMMTAYGASPSAPPPAASSSMPAVPQRPVTWSMVAMAATMRAM
+>NM_003383|393996_2_938_1392
+MAVMSWTVPRQPVAPMSSSAAPPPASPSAGYATMMQTAPTNLMSPWSSVAVSQSYTPSVQPAKSSAALASASIRSGDVMGTLTARMAVMRSTVPLELADLTNLNVRMAAASMAAGSVMVSETVSMVPMKSTAKMSISAWALENSSAEVENA
+>DECOY_NM_003383|393996_2_938_1392
+ANEVEASSNELAWASISMKATSKMPVMSVTESVMVSGAAMSAAAMRVNLNTLDALELPVTSRMVAMRATLTGMVDGSRISASALAASSKAPQVSPTYSQSVAVSSWPSMLNTPATQMMTAYGASPSAPPPAASSSMPAVPQRPVTWSMVAM
+>NM_003383|394002_2_1172_1392
+MGTLTARMAVMRSTVPLELADLTNLNVRMAAASMAAGSVMVSETVSMVPMKSTAKMSISAWALENSSAEVENA
+>DECOY_NM_003383|394002_2_1172_1392
+ANEVEASSNELAWASISMKATSKMPVMSVTESVMVSGAAMSAAAMRVNLNTLDALELPVTSRMVAMRATLTGM
+>NM_003383|394003_2_1193_1392
+MAVMRSTVPLELADLTNLNVRMAAASMAAGSVMVSETVSMVPMKSTAKMSISAWALENSSAEVENA
+>DECOY_NM_003383|394003_2_1193_1392
+ANEVEASSNELAWASISMKATSKMPVMSVTESVMVSGAAMSAAAMRVNLNTLDALELPVTSRMVAM
+>NM_003383|394005_2_1256_1392
+MAAASMAAGSVMVSETVSMVPMKSTAKMSISAWALENSSAEVENA
+>DECOY_NM_003383|394005_2_1256_1392
+ANEVEASSNELAWASISMKATSKMPVMSVTESVMVSGAAMSAAAM
+>NM_003383|394007_2_1289_1392
+MVSETVSMVPMKSTAKMSISAWALENSSAEVENA
+>DECOY_NM_003383|394007_2_1289_1392
+ANEVEASSNELAWASISMKATSKMPVMSVTESVM
+>NM_003383|394014_2_2531_2622
+MEDVNTYACQHHRLMITLQNIPVPVPVGTM
+>DECOY_NM_003383|394014_2_2531_2622
+MTGVPVPVPINQLTIMLRHHQCAYTNVDEM
+>NM_006825|394149_2_1336_1499
+MGCSPCRWLLRARPRAWSPSCPRARSTSSAWPPCRGAWKASGPQRQTRMAWPAR
+>DECOY_NM_006825|394149_2_1336_1499
+RAPWAMRTQRQPGSAKWAGRCPPWASSTSRARPCSPSWARPRARLLWRCPSCGM
+>NM_000183|394192_3_298_464
+MGPQIFHKTSELFLPATSCPSCPDQNEEDVSQTQYKECCGGGWCSHSIFAVWHFI
+>DECOY_NM_000183|394192_3_298_464
+IFHWVAFISHSCWGGGCCEKYQTQSVDEENQDPCSPCSTAPLFLESTKHFIQPGM
+>NM_002417|394265_2_692_1014
+MEGSQLNFQEKYVNRSQHVVSQDLASLLTLMRKLKIPRPIQKSLKEKFQEILRYISRMSKKTVPQMTQKTVLLREQLMFIPQNMLDVMAEMQLIPFLGILKKFPALN
+>DECOY_NM_002417|394265_2_692_1014
+NLAPFKKLIGLFPILQMEAMVDLMNQPIFMLQERLLVTKQTMQPVTKKSMRSIYRLIEQFKEKLSKQIPRPIKLKRMLTLLSALDQSVVHQSRNVYKEQFNLQSGEM
+>NM_002417|394279_2_6485_6600
+MERASKRLRNLQSRCWTQQTMELGWRGGQEHLRKRPNH
+>DECOY_NM_002417|394279_2_6485_6600
+HNPRKRLHEQGGRWGLEMTQQTWCRSQLNRLRKSAREM
+>NM_002417|394281_2_9389_9525
+MEASREPRGCAACQHQRKLWRSCQPARSRGLLPGQEANHPNPWSS
+>DECOY_NM_002417|394281_2_9389_9525
+SSWPNPHNAEQGPLLGRSRAPQCSRWLKRQHQCAACGRPERSAEM
+>NM_004073|394323_2_1571_1731
+MAHIWPCRPTERLCTTIPPAQSTSPSPWVLCPGPCSLSWVSCGTSPPTWSSTS
+>DECOY_NM_004073|394323_2_1571_1731
+STSSWTPPSTGCSVWSLSCPGPCLVWPSPSTSQAPPITTCLRETPRCPWIHAM
+>NM_004630|394359_2_951_1042
+MARCCQEKMSHFMPWLLPIQWRTSKRQWNR
+>DECOY_NM_004630|394359_2_951_1042
+RNWQRKSTRWQIPLLWPMFHSMKEQCCRAM
+>NM_004630|394360_2_1599_1672
+MVDIPCSTTPMDPHPLGCSHHHHR
+>DECOY_NM_004630|394360_2_1599_1672
+RHHHHSCGLPHPDMPTTSCPIDVM
+>NM_004630|394361_2_1701_1783
+MALLQWISTWEVRLWALGSIACIKEKV
+>DECOY_NM_004630|394361_2_1701_1783
+VKEKICAISGLAWLRVEWTSIWQLLAM
+>NM_004630|394364_3_1948_2207
+MAAKYDDYHHERWHRVHPAMATAAGGCRSFSRSPSDARQPHYGAPAPRGPAASAAWGPSPSAASTAWFRRHDVCPAPSSSASHGPF
+>DECOY_NM_004630|394364_3_1948_2207
+FPGHSASSSPAPCVDHRRFWATSAASPSPGWAASAAPGRPAPAGYHPQRADSPSRSFSRCGGAATAMAPHVRHWREHHYDDYKAAM
+>NM_004630|394365_3_2005_2207
+MATAAGGCRSFSRSPSDARQPHYGAPAPRGPAASAAWGPSPSAASTAWFRRHDVCPAPSSSASHGPF
+>DECOY_NM_004630|394365_3_2005_2207
+FPGHSASSSPAPCVDHRRFWATSAASPSPGWAASAAPGRPAPAGYHPQRADSPSRSFSRCGGAATAM
+>NM_201995|394427_3_1948_2198
+MAAKYDDYHHERWHRVHPAMATAAGGCRSFSRSPSDARQPHYGAPAPRGPAASAAWGPSPSAASTAWFRRHDDPSPRRRWPEP
+>DECOY_NM_201995|394427_3_1948_2198
+PEPWRRRPSPDDHRRFWATSAASPSPGWAASAAPGRPAPAGYHPQRADSPSRSFSRCGGAATAMAPHVRHWREHHYDDYKAAM
+>NM_201995|394428_3_2005_2198
+MATAAGGCRSFSRSPSDARQPHYGAPAPRGPAASAAWGPSPSAASTAWFRRHDDPSPRRRWPEP
+>DECOY_NM_201995|394428_3_2005_2198
+PEPWRRRPSPDDHRRFWATSAASPSPGWAASAAPGRPAPAGYHPQRADSPSRSFSRCGGAATAM
+>NM_002616|394455_2_386_567
+MVQVAMSPTGMSLEAHLSGAHTAPPQATARTQPCWRPLRAARAQTLRAHPHPAVPLPTAS
+>DECOY_NM_002616|394455_2_386_567
+SATPLPVAPHPHARLTQARAARLPRWCPQTRATAQPPATHAGSLHAELSMGTPSMAVQVM
+>NM_018728|394639_2_267_460
+MERSWIILSIQNLCLHFGILTSSWARMTSRLSAIFTSPRCSTTSESALQNPNSFTPTVESFWWP
+>DECOY_NM_018728|394639_2_267_460
+PWWFSEVTPTFSNPNQLASESTTSCRPSTFIASLRSTMRAWSSTLIGFHLCLNQISLIIWSREM
+>NM_182762|394685_2_634_749
+MEILLIPPVMNLMCISYLGRLPQEILEDLKVFQNFWTF
+>DECOY_NM_182762|394685_2_634_749
+FTWFNQFVKLDELIEQPLRGLYSICMLNMVPPILLIEM
+>NM_001114|394758_2_359_513
+MGRCCSRSCWWPPLPAWPSSSLPSARGTPPDTRPFWAWRSWCWRCLRPSLC
+>DECOY_NM_001114|394758_2_359_513
+CLSPRLCRWCWSRWAWFPRTDPPTGRASPLSSSPWAPLPPWWCSRSCCRGM
+>NM_001114|394760_2_1034_1179
+MVTVAACLTTTSTASTSRGTRMSASSMRTSWASRSWPATVLPRSWWWC
+>DECOY_NM_001114|394760_2_1034_1179
+CWWWSRPLVTAPWSRSAWSTRMSSASMRTGRSTSATSTTTLCAAVTVM
+>NM_021979|394834_2_452_567
+MARWRSSPTTRAIAPPPATWPSRTPSASSATPPRTRWP
+>DECOY_NM_021979|394834_2_452_567
+PWRTRPPTASSASPTRSPWTAPPPAIARTTPSSRWRAM
+>NM_017740|394903_3_1153_1229
+MGRDEVRLWGAPLTPLDESLCGLPI
+>DECOY_NM_017740|394903_3_1153_1229
+IPLGCLSEDLPTLPAGWLRVEDRGM
+>NM_001008657|394962_2_1777_1892
+MERCPQLWPRLRKSPWGTSSRPNPPPVLPRGPLRRQGL
+>DECOY_NM_001008657|394962_2_1777_1892
+LGQRRLPGRPLVPPPNPRSSTGWPSKRLRPWLQPCREM
+>NM_020937|395007_2_313_539
+MAGSAPPRAPCGFTLPIAQCGTTSCTFPGLLCFAIRWCVCLPDWERPLLPPWSCTISTAGSLQERWSSWPQRNPW
+>DECOY_NM_020937|395007_2_313_539
+WPNRQPWSSWREQLSGATSITCSWPPLLPREWDPLCVCWRIAFCLLGPFTCSTTGCQAIPLTFGCPARPPASGAM
+>NM_001008656|395048_2_3469_3614
+MVKGPRGPSQPTRWVPPPPGQRPWWRRPQQSPARMMWWRHPSLSSQVI
+>DECOY_NM_001008656|395048_2_3469_3614
+IVQSSLSPHRWWMMRAPSQQPRRWWPRQGPPPPVWRTPQSPGRPGKVM
+>NM_015199|395084_2_597_706
+MVRWSNYSCLEVPILMLLTRKIGVLSIGQHIWVTLK
+>DECOY_NM_015199|395084_2_597_706
+KLTVWIHQGISLVGIKRTLLMLIPVELCSYNSWRVM
+>NM_015199|395089_2_2265_2458
+MVLSAYFGIAGAGRLYTCLLPVDTLVFLEPFCSQQHLWMQIQPQQTIMDIRHFTGLATMVTRHV
+>DECOY_NM_015199|395089_2_2265_2458
+VHRTVMTALGTFHRIDMITQQPQIQMWLHQQSCFPELFVLTDVPLLCTYLRGAGAIGFYASLVM
+>NM_015199|395092_2_3015_3091
+MAIPQLWPVLPIRMWLIAWLSFWPP
+>DECOY_NM_015199|395092_2_3015_3091
+PPWFSLWAILWMRIPLVPWLQPIAM
+>NM_198376|395356_2_388_563
+MERAPRSRDSPGASCGSTTCRGPGAGRGRGQQKRTRAARTPRRRGCRTRRWPRWFSST
+>DECOY_NM_198376|395356_2_388_563
+TSSFWRPWRRTRCGRRRPTRAARTRKQQGRGRGAGPGRCTTSGCSAGPSDRSRPAREM
+>NM_198376|395360_2_1897_2060
+MGRSGPPGPARRSRTGMPMGPAGSCCHHPRRLPSPGPPLVAQSLCTASTMPTAT
+>DECOY_NM_198376|395360_2_1897_2060
+TATPMTSATCLSQAVLPPGPSPLRRPHHCCSGAPGMPMGTRSRRAPGPPGSRGM
+>NM_198376|395367_2_3058_3131
+MGTPCQTGRILTPCSGPSSLSFRS
+>DECOY_NM_198376|395367_2_3058_3131
+SRFSLSSPGSCPTLIRGTQCPTGM
+>NM_198376|395370_2_3340_3551
+MGTGRSAWPWCPWESTRSCGRACCRLSSSTRPPHPCRCPRAPARAWARRWALRRAAPAAAGRQSLGRPTR
+>DECOY_NM_198376|395370_2_3340_3551
+RTPRGLSQRGAAAPAARRLAWRRAWARAPARPCRCPHPPRTSSSLRCCARGCSRTSEWPCWPWASRGTGM
+>NM_198376|395372_2_3901_3992
+MASRLQGAWPGPCGLMTPHWMGMTPMTRAT
+>DECOY_NM_198376|395372_2_3901_3992
+TARTMPTMGMWHPTMLGCPGPWAGQLRSAM
+>NM_198376|395374_2_6157_6398
+MGALPRGPWDTGAGGSPKLSQAPSCPFTPSQQIPATSCSFPKMHLICSSPTAPQPGAPSPNCPHQDAPLWLRGHSGARQQ
+>DECOY_NM_198376|395374_2_6157_6398
+QQRAGSHGRLWLPADQHPCNPSPAGPQPATPSSCILHMKPFSCSTAPIQQSPTFPCSPAQSLKPSGGAGTDWPGRPLAGM
+>NM_001541|395378_2_227_333
+MATMSGLGPPQLGRAAGQGPPSLGSVRASSRHFWM
+>DECOY_NM_001541|395378_2_227_333
+MWFHRSSARVSGLSPPGQGAARGLQPPGLGSMTAM
+>NM_003384|395711_2_698_858
+MALLIGTAQKEFIKNTKKTPKDVTMALLNSRASMHTMAWPHQDVVIWKYLVIA
+>DECOY_NM_003384|395711_2_698_858
+AIVLYKWIVVDQHPWAMTHMSARSNLLAMTVDKPTKKTNKIFEKQATGILLAM
+>NM_003384|395712_2_770_858
+MALLNSRASMHTMAWPHQDVVIWKYLVIA
+>DECOY_NM_003384|395712_2_770_858
+AIVLYKWIVVDQHPWAMTHMSARSNLLAM
+>NM_006684|395972_2_160_251
+MEVYIIRVCVDYTFQQLQDNLIPITVIKIL
+>DECOY_NM_006684|395972_2_160_251
+LIKIVTIPILNDQLQQFTYDVCVRIIYVEM
+>NM_006684|395975_2_478_641
+MDGQHNQFALNFVICLFLRIPEPRVMACGLSSMTHWTTNATMDMKSVMETPQVP
+>DECOY_NM_006684|395975_2_478_641
+PVQPTEMVSKMDMTANTTWHTMSSLGCAMVRPEPIRLFLCIVFNLAFQNHQGDM
+>NM_006684|395976_2_553_641
+MACGLSSMTHWTTNATMDMKSVMETPQVP
+>DECOY_NM_006684|395976_2_553_641
+PVQPTEMVSKMDMTANTTWHTMSSLGCAM
+>NM_006684|395977_2_655_749
+MGGPISQHVIILQKSVGLLHLLAMVIPPPFY
+>DECOY_NM_006684|395977_2_655_749
+YFPPPIVMALLHLLGVSKQLIIVHQSIPGGM
+>NM_001555|396100_2_695_804
+MAGCRIWYSCCLKRDMQSLWITKSQLGQWPYSPLTT
+>DECOY_NM_001555|396100_2_695_804
+TTLPSYPWQGLQSKTIWLSQMDRKLCCSYWIRCGAM
+>NM_001555|396103_2_2765_2841
+MEGITAADITTFLSGLSPATLWSSW
+>DECOY_NM_001555|396103_2_2765_2841
+WSSWLTAPSLGSLFTTIDAATIGEM
+>NM_001555|396106_3_2586_2683
+MGQPCGHPWCPSDFQLLHPPPAYELYSLQRWK
+>DECOY_NM_001555|396106_3_2586_2683
+KWRQLSYLEYAPPPHLLQFDSPCWPHGCPQGM
+>NM_020227|396593_2_1251_1402
+MGMNTARNWASSGAASGRKSSWQGENQSQRSIHVPHAVWPFQVRNFSVNM
+>DECOY_NM_020227|396593_2_1251_1402
+MNVSFNRVQFPWVAHPVHISRQSQNEGQWSSKRGSAAGSSAWNRATNMGM
+>NM_144976|396625_2_616_773
+MERNHINVSSVGKPSVLVNPFEDMKELTLVRNPMHVRNVGKPSFLSQVFEDT
+>DECOY_NM_144976|396625_2_616_773
+TDEFVQSLFSPKGVNRVHMPNRVLTLEKMDEFPNVLVSPKGVSSVNIHNREM
+>NM_144976|396627_2_790_941
+MDHINVRNVGKPLIAQVYFRYMKELTLERNPMNVRNVQKLSFLFQVFKDT
+>DECOY_NM_144976|396627_2_790_941
+TDKFVQFLFSLKQVNRVNMPNRELTLEKMYRFYVQAILPKGVNRVNIHDM
+>NM_144976|396629_2_958_1109
+MDLINVRNVEKPLTAPVYFEYMKELILERNPMNVNSVGRPSFLSQIFKVI
+>DECOY_NM_144976|396629_2_958_1109
+IVKFIQSLFSPRGVSNVNMPNRELILEKMYEFYVPATLPKEVNRVNILDM
+>NM_144976|396631_2_1126_1361
+MDLINVKYVGEPLFFPVMFESMKELILGRNPMNVINVVKPSVLPVMFEHMKGLTLERSPMNVRNAGKPSFLSQVSEDT
+>DECOY_NM_144976|396631_2_1126_1361
+TDESVQSLFSPKGANRVNMPSRELTLGKMHEFMVPLVSPKVVNIVNMPNRGLILEKMSEFMVPFFLPEGVYKVNILDM
+>NM_144976|396637_2_1378_1529
+MDLINVRYVVEPLTVPVHFKYTKELTLERNPMNVRYVGKPSFLLKGLENI
+>DECOY_NM_144976|396637_2_1378_1529
+INELGKLLFSPKGVYRVNMPNRELTLEKTYKFHVPVTLPEVVYRVNILDM
+>NM_144976|396638_2_1546_1811
+MDLINVRYVVKPLTVLVLSEHMKELILEKNPMNVKNVGKHSIMPVPLEYMKELIPEKNPMNVSNVEKRSVIPVPFKDMKELIMEINLT
+>DECOY_NM_144976|396638_2_1546_1811
+TLNIEMILEKMDKFPVPIVSRKEVNSVNMPNKEPILEKMYELPVPMISHKGVNKVNMPNKELILEKMHESLVLVTLPKVVYRVNILDM
+>NM_005411|396689_2_263_429
+MVSKETLALQAPWVHLEKCHVLLEMMGCLEPLVSLESVERRGSLARGALQGFQLI
+>DECOY_NM_005411|396689_2_263_429
+ILQFGQLAGRALSGRREVSELSVLPELCGMMELLVHCKELHVWPAQLALTEKSVM
+>NM_005411|396691_2_338_429
+MGCLEPLVSLESVERRGSLARGALQGFQLI
+>DECOY_NM_005411|396691_2_338_429
+ILQFGQLAGRALSGRREVSELSVLPELCGM
+>NM_175737|396746_2_404_528
+MEKDLLYGIISSTHTLKMSAARMVPVTVIFFWKKTYQPWIL
+>DECOY_NM_175737|396746_2_404_528
+LIWPQYTKKWFFIVTVPVMRAASMKLTHTSSIIGYLLDKEM
+>NM_001009571|396946_2_2036_2130
+MVWMSLFLQTPASLIMPSFLEYSRGRLWITD
+>DECOY_NM_001009571|396946_2_2036_2130
+DTIWLRGRSYELFSPMILSAPTQLFLSMWVM
+>NM_001009571|396948_2_2267_2394
+MVLSLTLPCSITALHSVPLMCTATGLMELGLFQWKKKKDLRR
+>DECOY_NM_001009571|396948_2_2267_2394
+RRLDKKKKWQFLGLEMLGTATCMLPVSHLATISCPLTLSLVM
+>NM_001009571|396952_2_2903_3135
+MENFTNTCKKSLYPWLSAMWISWSLPSPSQFTEVLSRRHGSLSTMAQQHQKTFFGSLMHCKCLSLICTGQNRNLPTT
+>DECOY_NM_001009571|396952_2_2903_3135
+TTPLNRNQGTCILSLCKCHMLSGFFTKQHQQAMTSLSGHRRSLVETFQSPSPLSWSIWMASLWPYLSKKCTNTFNEM
+>NM_001009571|396954_2_3035_3135
+MAQQHQKTFFGSLMHCKCLSLICTGQNRNLPTT
+>DECOY_NM_001009571|396954_2_3035_3135
+TTPLNRNQGTCILSLCKCHMLSGFFTKQHQQAM
+>NM_001009571|396961_3_1386_1483
+MGDSRRFHHHPSSACGQSETLHRKHWSSGPGR
+>DECOY_NM_001009571|396961_3_1386_1483
+RGPGSSWHKRHLTESQGCASSPHHHFRRSDGM
+>NM_017954|397012_2_2921_3078
+MENFTNTCKKSLYPWLSAMWISWSLPSPSQFTEVLSRRHGSLSRISPTVFPM
+>DECOY_NM_017954|397012_2_2921_3078
+MPFVTPSIRSLSGHRRSLVETFQSPSPLSWSIWMASLWPYLSKKCTNTFNEM
+>NM_017954|397022_3_3036_3109
+MAACQEYRQQSSQCSSSKSSKSAS
+>DECOY_NM_017954|397022_3_3036_3109
+SASKSSKSSSCQSSQQRYEQCAAM
+>NM_020989|397192_3_241_356
+MDGPQRLHPLLLSHPPNSLPQAAAVREGRPQRPHDGAE
+>DECOY_NM_020989|397192_3_241_356
+EAGDHPRQPRGERVAAAQPLSNPPHSLLLPHLRQPGDM
+>NM_000493|397258_2_673_962
+MVLLVVQVRGVFQALRVPQDHLALLEWEKEVKMGFQDSQASKVIEVFREKWDQLAHQVPKALLGNEGQKALESQELLEPQASQGFQEQKVSLGLQE
+>DECOY_NM_000493|397258_2_673_962
+EQLGLSVKQEQFGQSAQPELLEQSELAKQGENGLLAKPVQHALQDWKERFVEIVKSAQSDQFGMKVEKEWELLALHDQPVRLAQFVGRVQVVLLVM
+>NM_000493|397259_2_769_962
+MGFQDSQASKVIEVFREKWDQLAHQVPKALLGNEGQKALESQELLEPQASQGFQEQKVSLGLQE
+>DECOY_NM_000493|397259_2_769_962
+EQLGLSVKQEQFGQSAQPELLEQSELAKQGENGLLAKPVQHALQDWKERFVEIVKSAQSDQFGM
+>NM_000493|397260_2_1159_1535
+MVSQALKVRQGQLGLQDTLGLRVKGVPLGQMENQGTQENQVSMVLRVTQGYQVQKVILELEDLLVSQALWAQQEQRECPDTMERLAQEVPLEYQVLEALLGHQAFQDSLGLKGIQEVPVLLAQLA
+>DECOY_NM_000493|397260_2_1159_1535
+ALQALLVPVEQIGKLGLSDQFAQHGLLAELVQYELPVEQALREMTDPCERQEQQAWLAQSVLLDELELIVKQVQYGQTVRLVMSVQNEQTGQNEMQGLPVGKVRLGLTDQLGLQGQRVKLAQSVM
+>NM_000493|397263_2_1402_1535
+MERLAQEVPLEYQVLEALLGHQAFQDSLGLKGIQEVPVLLAQLA
+>DECOY_NM_000493|397263_2_1402_1535
+ALQALLVPVEQIGKLGLSDQFAQHGLLAELVQYELPVEQALREM
+>NM_032160|397310_2_2365_2597
+MDRWFLQLPKGGVLFTLNTSGMIPSSHHSHLLIMVLQKYTHSLTGVWLLMGLGCQTHRPTPLCLLNLGSWGDELCMT
+>DECOY_NM_032160|397310_2_2365_2597
+TMCLEDGWSGLNLLCLPTPRHTQCGLGMLLWVGTLSHTYKQLVMILLHSHHSSPIMGSTNLTFLVGGKPLQLFWRDM
+>NM_032160|397312_2_2464_2597
+MVLQKYTHSLTGVWLLMGLGCQTHRPTPLCLLNLGSWGDELCMT
+>DECOY_NM_032160|397312_2_2464_2597
+TMCLEDGWSGLNLLCLPTPRHTQCGLGMLLWVGTLSHTYKQLVM
+>NM_032160|397319_2_3172_3407
+MAIVPWPVYRKQSKLLNLKNDGLNLLMLLFRWNPQSQELHMSFMGHISMSPAADLLIVPILDFRFLSMSIILNMLFLL
+>DECOY_NM_032160|397319_2_3172_3407
+LLFLMNLIISMSLFRFDLIPVILLDAAPSMSIHGMFSMHLEQSQPNWRFLLMLLNLGDNKLNLLKSQKRYVPWPVIAM
+>NM_021229|397366_2_1242_1648
+MATLINAYLFMASDLSRPQEHSTWSMGSVCVSTTQQAATASTVPRYTMTGHGRQLMAKRGLPTSAEPASVMGMLIPVTSTLMCGRHQGIVVVVSVMTVSTTQKDSIARGASQASIVTCGDPSQLQMLANRVPAIQ
+>DECOY_NM_021229|397366_2_1242_1648
+QIAPVRNALMQLQSPDGCTVISAQSAGRAISDKQTTSVTMVSVVVVIGQHRGCMLTSTVPILMGMVSAPEASTPLGRKAMLQRGHGTMTYRPVTSATAAQQTTSVCVSGMSWTSHEQPRSLDSAMFLYANILTAM
+>NM_021229|397371_2_1452_1648
+MGMLIPVTSTLMCGRHQGIVVVVSVMTVSTTQKDSIARGASQASIVTCGDPSQLQMLANRVPAIQ
+>DECOY_NM_021229|397371_2_1452_1648
+QIAPVRNALMQLQSPDGCTVISAQSAGRAISDKQTTSVTMVSVVVVIGQHRGCMLTSTVPILMGM
+>NM_021229|397375_2_1698_1855
+MVTALASLGWQGDVVTGAWWDTGASETMAVDHVTVRGAVTLSPETASAATQT
+>DECOY_NM_021229|397375_2_1698_1855
+TQTAASATEPSLTVAGRVTVHDVAMTESAGTDWWAGTVVDGQWGLSALATVM
+>NM_021229|397376_2_1779_1855
+MAVDHVTVRGAVTLSPETASAATQT
+>DECOY_NM_021229|397376_2_1779_1855
+TQTAASATEPSLTVAGRVTVHDVAM
+>NM_020774|397405_2_241_359
+MEPCVIPAASNQSLAFDGSVQSVQIMICAQCVIMEINII
+>DECOY_NM_020774|397405_2_241_359
+IINIEMIVCQACIMIQVSQVSGDFALSQNSAAPIVCPEM
+>NM_022844|397592_2_2211_2356
+MGCWKAFASAGRASPTGSSSRSSANATRSWRRMPSPKASWTGSRPAFS
+>DECOY_NM_022844|397592_2_2211_2356
+SFAPRSGTWSAKPSPMRRWSRTANASSRSSSGTPSARGASAFAKWCGM
+>NM_022844|397599_2_3915_4477
+MGSGPGRSSMTKSTSCRMKLRASQGCLTRPRGRPLSWPRTWRPSVPSSRTPRSCFKKKPGRSSTCLRSCASWRRSGTACKTSWTRRWRPSRTWSATSPLSTSSSPTRRRSCRTLPAPWKLWKRGRRGSRRRSRTSPSSTRRRRPLMINWKRPRTGFSRSWTTWLLIWTTSGNSCPTWKRSRGNLISC
+>DECOY_NM_022844|397599_2_3915_4477
+CSILNGRSRKWTPCSNGSTTWILLWTTWSRSFGTRPRKWNIMLPRRRRTSSPSTRSRRRSGRRGRKWLKWPAPLTRCSRRRTPSSSTSLPSTASWTRSPRWRRTWSTKCATGSRRWSACSRLCTSSRGPKKKFCSRPTRSSPVSPRWTRPWSLPRGRPRTLCGQSARLKMRCSTSKTMSSRGPGSGM
+>NM_032581|397634_2_1573_1760
+MVWLRPQRLYLVNPLNKSVVSQSHITRHLLLVVGLGQMPIGFPLVVSKKKSLFTFQKELNFQ
+>DECOY_NM_032581|397634_2_1573_1760
+QFNLEKQFTFLSKKKSVVLPFGIPMQGLGVVLLLHRTIHSQSVVSKNLPNVLYLRQPRLWVM
+>NM_006660|397840_2_289_416
+MVLEMEIRNQQVREVVRNQALGILGKVETSCAVLNVATCAHM
+>DECOY_NM_006660|397840_2_289_416
+MHACTAVNLVACSTEVKGLIGLAQNRVVERVQQNRIEMELVM
+>NM_006660|397841_2_301_416
+MEIRNQQVREVVRNQALGILGKVETSCAVLNVATCAHM
+>DECOY_NM_006660|397841_2_301_416
+MHACTAVNLVACSTEVKGLIGLAQNRVVERVQQNRIEM
+>NM_002977|397933_2_5770_5897
+METEMMIYSIKKIWLLIMLMRTQVQKKQMPLHPPPLHLHMIV
+>DECOY_NM_002977|397933_2_5770_5897
+VIMHLHLPPPHLPMQKKQVQTRMLMILLWIKKISYIMMETEM
+>NM_018650|397984_2_2479_2552
+MGHLLHHPMKRVHLHMPEGERQLV
+>DECOY_NM_018650|397984_2_2479_2552
+VLQREGEPMHLHVRKMPHHLLHGM
+>NM_002314|398014_2_364_470
+MGSSSARRTTGPAMASPAMGALSKSPRDWLWWLGS
+>DECOY_NM_002314|398014_2_364_470
+SGLWWLWDRPSKSLAGMAPSAMAPGTTRRASSSGM
+>NM_007240|398029_2_36_154
+MAASSATPAPAESAVPGRCWKCSQDCISVGPRPSRSQIT
+>DECOY_NM_007240|398029_2_36_154
+TIQSRSPRPGVSICDQSCKWCRGPVASEAPAPTASSAAM
+>NM_007351|398081_2_165_265
+MGTLRRLCLLLQFLQIKYKVCKYCQPLGSCRRR
+>DECOY_NM_007351|398081_2_165_265
+RRRCSGLPQCYKCVKYKIQLFQLLLCLRRLTGM
+>NM_007351|398088_2_3447_3556
+MELHIPQELENLEFRILEYMFSSTPSSHLVLIFLDF
+>DECOY_NM_007351|398088_2_3447_3556
+FDLFILVLHSSPTSSFMYELIRFELNELEQPIHLEM
+>NM_020951|398209_2_896_1428
+MGIHVVFIKTLMYTRKFIMRSSINVRNTEGPLKELEKLLHFKEFMMVRNTLNAHSVGNPLECMHNLLDIRKSILMRKLTNVWNVARTSDFIHSLPNIREFILVRNPTNVCTVRRFLELVHSSLNIREFTLVRNLMHVRNVGRLLEYVENLLVIREFILVRNPMNAKHVERSLEIVHP
+>DECOY_NM_020951|398209_2_896_1428
+PHVIELSREVHKANMPNRVLIFERIVLLNEVYELLRGVNRVHMLNRVLTFERINLSSHVLELFRRVTCVNTPNRVLIFERINPLSHIFDSTRAVNWVNTLKRMLISKRIDLLNHMCELPNGVSHANLTNRVMMFEKFHLLKELEKLPGETNRVNISSRMIFKRTYMLTKIFVVHIGM
+>NM_020951|398213_2_1031_1428
+MVRNTLNAHSVGNPLECMHNLLDIRKSILMRKLTNVWNVARTSDFIHSLPNIREFILVRNPTNVCTVRRFLELVHSSLNIREFTLVRNLMHVRNVGRLLEYVENLLVIREFILVRNPMNAKHVERSLEIVHP
+>DECOY_NM_020951|398213_2_1031_1428
+PHVIELSREVHKANMPNRVLIFERIVLLNEVYELLRGVNRVHMLNRVLTFERINLSSHVLELFRRVTCVNTPNRVLIFERINPLSHIFDSTRAVNWVNTLKRMLISKRIDLLNHMCELPNGVSHANLTNRVM
+>NM_020951|398227_3_357_454
+MGISGFCSEELVLGCDDGELQQLTLTGFGVQE
+>DECOY_NM_020951|398227_3_357_454
+EQVGFGTLTLQQLEGDDCGLVLEESCFGSIGM
+>NM_006013|398242_2_435_631
+MASISGCGSTPSTSSASTRCCPVLGLTGSKQACEVPLESPRALWPGFTLAKLSCPSAPSCRTRSM
+>DECOY_NM_006013|398242_2_435_631
+MSRTRCSPASPCSLKALTFGPWLARPSELPVECAQKSGTLGLVPCCRTSASSTSPTSGCGSISAM
+>NM_006460|398588_2_2151_2383
+MGTTRPLAATSRRRQKWNRRPRPSCSPSLVMTPRPVSWGLLPQGAKRSGDSSRDSWGRKNIGDARPRRSGIGNRTTS
+>DECOY_NM_006460|398588_2_2151_2383
+STTRNGIGSRRPRADGINKRGWSDRSSDGSRKAGQPLLGWSVPRPTMVLSPSCSPRPRRNWKQRRRSTAALPRTTGM
+>NM_006460|398592_2_2619_2719
+MGAAMGWEGTAASFCSGTSRRRTSGTTRRACRT
+>DECOY_NM_006460|398592_2_2619_2719
+TRCARRTTGSTRRRSTGSCFSAATGEWGMAAGM
+>NM_006460|398593_2_2631_2719
+MGWEGTAASFCSGTSRRRTSGTTRRACRT
+>DECOY_NM_006460|398593_2_2631_2719
+TRCARRTTGSTRRRSTGSCFSAATGEWGM
+>NM_001142573|398667_2_515_639
+MASLASPSLRRAPWAASWWASSPPETSTFLLRRTTPPSSVR
+>DECOY_NM_001142573|398667_2_515_639
+RVSSPPTTRRLLFTSTEPPSSAWWSAAWPARRLSPSALSAM
+>NM_003582|398839_2_703_782
+MELLVVPIMEGMMMQMGPIFMYLETI
+>DECOY_NM_003582|398839_2_703_782
+ITELYMFIPGMQMMMGEMIPVVLLEM
+>NM_005316|398875_2_548_744
+MELCTSWQKELLGHLKAKIDLQSAICMQILNARKLVQKEKLKFSFSWSYMQGTQLTSIFPMKAQQ
+>DECOY_NM_005316|398875_2_548_744
+QQAKMPFISTLQTGQMYSWSFSFKLKEKQVLKRANLIQMCIASQLDIKAKLHGLLEKQWSTCLEM
+>NM_005316|398882_2_1637_1740
+MVQLQSSHYSMQQVRTLLILFKVLDKKWKLIHPS
+>DECOY_NM_005316|398882_2_1637_1740
+SPHILKWKKDLVKFLILLTRVQQMSYHSSQLQVM
+>NM_005121|399085_2_387_469
+MECGRMDFPMNAVLCFSKQFTIYWNGV
+>DECOY_NM_005121|399085_2_387_469
+VGNWYITFQKSFCLVANMPFDMRGCEM
+>NM_005121|399095_2_2967_3295
+MEVIWIKNMALLIHLKLILLLGCLLAVHLLVTAEQEFFLLHPPLGFQLQGLQGLLGLLVELVDLLVLKVQSNMKIQTCIHQLLPHLHADPLILLNLQLSLPSLKHTVFM
+>DECOY_NM_005121|399095_2_2967_3295
+MFVTHKLSPLSLQLNLLILPDAHLHPLLQHICTQIKMNSQVKLVLLDVLEVLLGLLGQLGQLQFGLPPHLLFFEQEATVLLHVALLCGLLLILKLHILLAMNKIWIVEM
+>NM_005121|399096_2_2991_3295
+MALLIHLKLILLLGCLLAVHLLVTAEQEFFLLHPPLGFQLQGLQGLLGLLVELVDLLVLKVQSNMKIQTCIHQLLPHLHADPLILLNLQLSLPSLKHTVFM
+>DECOY_NM_005121|399096_2_2991_3295
+MFVTHKLSPLSLQLNLLILPDAHLHPLLQHICTQIKMNSQVKLVLLDVLEVLLGLLGQLGQLQFGLPPHLLFFEQEATVLLHVALLCGLLLILKLHILLAM
+>NM_005121|399117_3_5647_5744
+MESCNWSSRKDWSWRIERLELFAESSKLAVSK
+>DECOY_NM_005121|399117_3_5647_5744
+KSVALKSSEAFLELREIRWSWDKRSSWNCSEM
+>NM_005010|399173_2_1375_1475
+MECYPKTGQFIRTLRKPCRSFMFQKQTLEITNV
+>DECOY_NM_005010|399173_2_1375_1475
+VNTIELTQKQFMFSRCPKRLTRIFQGTKPYCEM
+>NM_005010|399180_2_1972_2045
+MELWKFLWPKRTVQELIRVLQGIN
+>DECOY_NM_005010|399180_2_1972_2045
+NIGQLVRILEQVTRKPWLFKWLEM
+>NM_005010|399187_2_2791_2876
+MVMMNGHLWLWQMYPNILSQARQPLFHT
+>DECOY_NM_005010|399187_2_2791_2876
+THFLPQRAQSLINPYMQWLWLHGNMMVM
+>NM_005010|399192_2_3880_4007
+MEKGLMASSMRMAPLLDNTVVRKRKSRLKETKAQRHLLLSTP
+>DECOY_NM_005010|399192_2_3880_4007
+PTSLLLHRQAKTEKLRSKRKRVVTNDLLPAMRMSSAMLGKEM
+>NM_005010|399195_2_3913_4007
+MAPLLDNTVVRKRKSRLKETKAQRHLLLSTP
+>DECOY_NM_005010|399195_2_3913_4007
+PTSLLLHRQAKTEKLRSKRKRVVTNDLLPAM
+>NM_000638|399212_2_843_1069
+MVSWTLITPEISLTASMASRTTWMQPWPSLPIATVAGSGSTSSRGNSTGSTSSSTSPVRRSVKAAPCRLCLNTLP
+>DECOY_NM_000638|399212_2_843_1069
+PLTNLCLRCPAAKVSRRVPSTSSSTSGTSNGRSSTSGSGAVTAIPLSPWPQMWTTRSAMSATLSIEPTILTWSVM
+>NM_001080467|399404_3_2551_2642
+MAAEGEISQAEGGYLNPAEVLPGTPGPQAG
+>DECOY_NM_001080467|399404_3_2551_2642
+GAQPGPTGPLVEAPNLYGGEAQSIEGEAAM
+>NM_006527|399419_2_545_753
+MGRTQLPTIVILKKSQDTFDNLAFIPRPLINLRSIVDVHGTSKSNSGRWLCIFGILQRKKDVICKKYTL
+>DECOY_NM_006527|399419_2_545_753
+LTYKKCIVDKKRQLIGFICLWRGSNSKSTGHVDVISRLNILPRPIFALNDFTDQSKKLIVITPLQTRGM
+>NM_006527|399421_3_192_304
+MEPGTEAQSRRQALEARRRRGGRAPRRRAQTRELYHS
+>DECOY_NM_006527|399421_3_192_304
+SHYLERTQARRRPARGGRRRRAELAQRRSQAETGPEM
+>NM_003367|399522_2_563_705
+MVAVRRPRLSAGRHDLPISQRPVWEILRLCPYRPQTRACRLEASSTS
+>DECOY_NM_003367|399522_2_563_705
+STSSAELRCARTQPRYPCLRLIEWVPRQSIPLDHRGASLRPRRVAVM
+>NM_003939|399552_2_1578_1753
+MEKLKCGILWLLWTPVLLQGHSVYGPLWSIPEEFFDYSLMNSRLSVVHMMTQSSSGTS
+>DECOY_NM_003939|399552_2_1578_1753
+STGSSSQTMMHVVSLRSNMLSYDFFEEPISWLPGYVSHGQLLVPTWLLWLIGCKLKEM
+>NM_001744|399579_2_572_693
+MGLSIVISNQRIFFMQLQPQMHHSKSLILDSLKLWNIKCS
+>DECOY_NM_001744|399579_2_572_693
+SCKINWLKLSDLILSKSHHMQPQLQMFFIRQNSIVISLGM
+>NM_000301|399680_2_382_749
+MERTTEGRCPKQKMASPVKNGVPLLPTDLDSHLLHTPQRDWRRTTAGIQTTIRRGPGAILLIQKRDMTTATFLSVKRNVCIAVEKTMTAKFPRPCLDWNARPGTLRAHTLMDTFLPNFQTRT
+>DECOY_NM_000301|399680_2_382_749
+TRTQFNPLFTDMLTHARLTGPRANWDLCPRPFKATMTKEVAICVNRKVSLFTATTMDRKQILLIAGPGRRITTQIGATTRRWDRQPTHLLHSDLDTPLLPVGNKVPSAMKQKPCRGETTREM
+>NM_000301|399681_2_421_749
+MASPVKNGVPLLPTDLDSHLLHTPQRDWRRTTAGIQTTIRRGPGAILLIQKRDMTTATFLSVKRNVCIAVEKTMTAKFPRPCLDWNARPGTLRAHTLMDTFLPNFQTRT
+>DECOY_NM_000301|399681_2_421_749
+TRTQFNPLFTDMLTHARLTGPRANWDLCPRPFKATMTKEVAICVNRKVSLFTATTMDRKQILLIAGPGRRITTQIGATTRRWDRQPTHLLHSDLDTPLLPVGNKVPSAM
+>NM_000301|399686_2_1198_1274
+MVMDRATEAHPPPPPQERSVSLGHL
+>DECOY_NM_000301|399686_2_1198_1274
+LHGLSVSREQPPPPPHAETARDMVM
+>NM_000301|399687_2_1516_1676
+MGKDTEARGRPLLLGRHARTGLPRSPIDTAFSLQRQIHGRVWKKITAVTLMVM
+>DECOY_NM_000301|399687_2_1516_1676
+MVMLTVATIKKWVRGHIQRQLSFATDIPSRPLGTRAHRGLLLPRGRAETDKGM
+>NM_012335|399732_2_2863_3293
+MGCPRAPSLRGREWPRENLGGRPKPLPGRPLRPPEAWIAMGCPPLPEGAPCPWRSCLEGAPTGLPGALRPHPWEPADDPGHVRPQSTTQNSSTCLTRAWPACRGSAAWGNGQCLVWADPSPSLGHMVPGAGPYTSTWAKMWTS
+>DECOY_NM_012335|399732_2_2863_3293
+STWMKAWTSTYPGAGPVMHGLSPSPDAWVLCQGNGWAASGRCAPWARTLCTSSNQTTSQPRVHGPDDAPEWPHPRLAGPLGTPAGELCSRWPCPAGEPLPPCGMAIWAEPPRLPRGPLPKPRGGLNERPWERGRLSPARPCGM
+>NM_012335|399733_2_2980_3293
+MGCPPLPEGAPCPWRSCLEGAPTGLPGALRPHPWEPADDPGHVRPQSTTQNSSTCLTRAWPACRGSAAWGNGQCLVWADPSPSLGHMVPGAGPYTSTWAKMWTS
+>DECOY_NM_012335|399733_2_2980_3293
+STWMKAWTSTYPGAGPVMHGLSPSPDAWVLCQGNGWAASGRCAPWARTLCTSSNQTTSQPRVHGPDDAPEWPHPRLAGPLGTPAGELCSRWPCPAGEPLPPCGM
+>NM_198846|399740_2_346_479
+MVMATGSWKGLMFQWPQTTQTKKCRRRPGADSTSSGIPEGRTAP
+>DECOY_NM_198846|399740_2_346_479
+PATRGEPIGSSTSDAGPRRRCKKTQTTQPWQFMLGKWSGTAMVM
+>NM_198846|399741_2_352_479
+MATGSWKGLMFQWPQTTQTKKCRRRPGADSTSSGIPEGRTAP
+>DECOY_NM_198846|399741_2_352_479
+PATRGEPIGSSTSDAGPRRRCKKTQTTQPWQFMLGKWSGTAM
+>NM_005120|399847_2_365_486
+MAVPRTSASILPRSVPTSAALLQRNYVVIPFLTLVAGSPK
+>DECOY_NM_005120|399847_2_365_486
+KPSGAVLTLFPIVVYNRQLLAASTPVSRPLISASTRPVAM
+>NM_005120|399852_2_1673_1773
+MGMRSPQMMMLWCHCYVNGLSAASVLVGIVLWW
+>DECOY_NM_005120|399852_2_1673_1773
+WWLVIGVLVSAASLGNVYCHCWLMMMQPSRMGM
+>NM_005120|399863_2_2630_2889
+MGRSGDATGLKPSPLLKISLLSSSTFHIMTNTRSRLRSPGMFWSRSRALPLACHTTCLWCSMCSSSSTSWNIHSASVASSTLPFSC
+>DECOY_NM_005120|399863_2_2630_2889
+CSFPLTSSAVSASHINWSTSSSSCMSCWLCTTHCALPLARSRSWFMGPSRLRSRTNTMIHFTSSSLLSIKLLPSPKLGTADGSRGM
+>NM_005120|399867_2_3512_3636
+MALVVSTISSAMLMSVTYLFMTRWLLLLPSSSLGSVCSWKI
+>DECOY_NM_005120|399867_2_3512_3636
+IKWSCVSGLSSSPLLLLWRTMFLYTVSMLMASSITSVVLAM
+>NM_004134|399960_2_199_620
+MALVMRLLDLFQGGIMHQKQSREQLLVLIWVLPTPAWQLWKVNKQRCWRMPKVPEPPLQLWPLQQMVSDLLECRPSDRLSPTQTIHFMLPSVSLAGDMMILKYRKTLKMFPLKLSVPPMVMPGLRLMGNCILRVRLEHLC
+>DECOY_NM_004134|399960_2_199_620
+CLHELRVRLICNGMLRLGPMVMPPVSLKLPFMKLTKRYKLIMMDGALSVSPLMFHITQTPSLRDSPRCELLDSVMQQLPWLQLPPEPVKPMRWCRQKNVKWLQWAPTPLVWILVLLQERSQKQHMIGGQFLDLLRMVLAM
+>NM_002989|400021_2_157_248
+MEGLRTVASSTAKGRFPPRLSAATGSRNQA
+>DECOY_NM_002989|400021_2_157_248
+AQNRSGTAASLRPPFRGKATSSAVTRLGEM
+>NM_004526|400102_2_259_362
+MERSSLEMAWKGTTAPSQSWTPMRPRDWLWMMRT
+>DECOY_NM_004526|400102_2_259_362
+TRMMWLWDRPRMPTWSQSPATTGKWAMELSSREM
+>NM_004526|400103_2_280_362
+MAWKGTTAPSQSWTPMRPRDWLWMMRT
+>DECOY_NM_004526|400103_2_280_362
+TRMMWLWDRPRMPTWSQSPATTGKWAM
+>NM_024685|400174_2_325_407
+MVQKHLLSFFAICLEDFMQSQTEKRIL
+>DECOY_NM_024685|400174_2_325_407
+LIRKETQSQMFDELCIAFFSLLHKQVM
+>NM_024685|400178_2_1402_1544
+MALQVFLFIRTVEKVIKHQILVMAQYKGLIRTQLQRTKMHWKKLKHI
+>DECOY_NM_024685|400178_2_1402_1544
+IHKLKKWHMKTRQLQTRILGKYQAMVLIQHKIVKEVTRIFLFVQLAM
+>NM_024685|400179_2_1468_1544
+MAQYKGLIRTQLQRTKMHWKKLKHI
+>DECOY_NM_024685|400179_2_1468_1544
+IHKLKKWHMKTRQLQTRILGKYQAM
+>NM_006747|400281_2_2607_2797
+MVAVLQGLGIWPRRGLSSCTARTRCHHAALCRMRPQSCPTPPRTSSWPPQPSHQYPVLTVRHP
+>DECOY_NM_006747|400281_2_2607_2797
+PHRVTLVPYQHSPQPPWSSTRPPTPCSQPRMRCLAAHHCRTRATCSSLGRRPWIGLGQLVAVM
+>NM_001380|400431_2_1682_1767
+MVPPCETESTILSSIRPKQRSWKMLPRT
+>DECOY_NM_001380|400431_2_1682_1767
+TRPLMKWSRQKPRISSLITSETECPPVM
+>NM_000116|400507_2_767_852
+MASTRRGWTSFWRSSTMGTGCISSQKGK
+>DECOY_NM_000116|400507_2_767_852
+KGKQSSICGTGMTSSRWFSTWGRRTSAM
+>NM_001037132|400566_3_3794_3924
+MEKRNCKWFSELLWVKGSNARNSIQSSSWCCGGLWFCEFRGCV
+>DECOY_NM_001037132|400566_3_3794_3924
+VCGRFECFWLGGCCWSSSQISNRANSGKVWLLESFWKCNRKEM
+>NM_181312|400578_2_767_858
+MASTRRGWTSFWRSSTMGTGCISSQKESGA
+>DECOY_NM_181312|400578_2_767_858
+AGSEKQSSICGTGMTSSRWFSTWGRRTSAM
+>NM_018433|400621_2_323_426
+MAATTAGTWSASPSGPGSPGPFELFPTPTLPRRI
+>DECOY_NM_018433|400621_2_323_426
+IRRPLTPTPFLEFPGPSGPGSPSASWTGATTAAM
+>NM_018433|400622_2_1046_1293
+MEPWFPNKQNLALRPLPVCVLCSLYLQQFLRRYCLAVLRQLHLVRTQDSKVLPRLPTLHLTLEQKFLKDVINKVYQRKFLPV
+>DECOY_NM_018433|400622_2_1046_1293
+VPLFKRQYVKNIVDKLFKQELTLHLTPLRPLVKSDQTRVLHLQRLVALCYRRLFQQLYLSCLVCVPLPRLALNQKNPFWPEM
+>NM_018433|400624_2_2936_3033
+MDSRIHQKSLMTSLPLWCKIRRLLIYLRGLKD
+>DECOY_NM_018433|400624_2_2936_3033
+DKLGRLYILLRRIKCWLPLSTMLSKQHIRSDM
+>NM_018433|400629_2_3920_4131
+MEFKAGLLYSFLGMWCLSRQELHIRFITYIAASKWLKILFLQSMLNTASGLLRNSDICHRLIPITKINYR
+>DECOY_NM_018433|400629_2_3920_4131
+RYNIKTIPILRHCIDSNRLLGSATNLMSQLFLIKLWKSAAIYTIFRIHLEQRSLCWMGLFSYLLGAKFEM
+>NM_018433|400634_3_2475_2788
+MGNKGKLPLFKQAIQTLFKASLKGRPKTDFFSWRKTDSWCSAPAESLSVGASSCGWGSSLQASRQHEACLSSQHISSKLAGRPNQRECQQGKQGKTTNNANFKE
+>DECOY_NM_018433|400634_3_2475_2788
+EKFNANNTTKGQKGQQCERQNPRGALKSSIHQSSLCAEHQRSAQLSSGWGCSSAGVSLSEAPASCWSDTKRWSFFDTKPRGKLSAKFLTQIAQKFLPLKGKNGM
+>NM_004238|400698_2_5636_5745
+MDLNQSSHSVIFSTSTRRNWISSFVAVKQTLGMQRH
+>DECOY_NM_004238|400698_2_5636_5745
+HRQMGLTQKVAVFSSIWNRRTSTSFIVSHSSQNLDM
+>NM_003008|400708_2_430_533
+MGHKILLKIRGIAHLERDYPVNVQTQKKGYGFMD
+>DECOY_NM_003008|400708_2_430_533
+DMFGYGKKQTQVNVPYDRELHAIGRIKLLIKHGM
+>NM_003008|400709_2_856_1010
+MAGRHIKYHTRLHVQKKDNFTMEKRVYRKMYPKAAFLSKLKRKYMASLKTR
+>DECOY_NM_003008|400709_2_856_1010
+RTKLSAMYKRKLKSLFAAKPYMKRYVRKEMTFNDKKQVHLRTHYKIHRGAM
+>NM_003008|400710_2_919_1010
+MEKRVYRKMYPKAAFLSKLKRKYMASLKTR
+>DECOY_NM_003008|400710_2_919_1010
+RTKLSAMYKRKLKSLFAAKPYMKRYVRKEM
+>NM_003008|400711_2_1036_1190
+MAIRKIKYHTNLQVQKKDISTVEKRASRKVYPKAVFRSKLKSKYMASLKTR
+>DECOY_NM_003008|400711_2_1036_1190
+RTKLSAMYKSKLKSRFVAKPYVKRSARKEVTSIDKKQVQLNTHYKIKRIAM
+>NM_003008|400712_2_1216_1370
+MAIRKIKYHTNLRVQKKDVSTVEKRMYRKVYPKAVFLSKLKRKYMASLKTR
+>DECOY_NM_003008|400712_2_1216_1370
+RTKLSAMYKRKLKSLFVAKPYVKRYMRKEVTSVDKKQVRLNTHYKIKRIAM
+>NM_003008|400714_2_1396_1523
+MAIRKIKCHTNLQVQKKDDSTMEERARRKMYPKAVFLSKLKS
+>DECOY_NM_003008|400714_2_1396_1523
+SKLKSLFVAKPYMKRRAREEMTSDDKKQVQLNTHCKIKRIAM
+>NM_016579|400751_2_309_463
+MAAMRRSAGLSHVPRKGNAHRPLASPAPAPASVTALGELTRNCATAAAWPA
+>DECOY_NM_016579|400751_2_309_463
+APWAAATACNRTLEGLATVSAPAPAPSALPRHANGKRPVHSLGASRRMAAM
+>NM_002698|400866_2_173_348
+MDQTLIIRTPKIRPPHSPCPQLAPVQRSRLKTPVAIQPQQHPCPLSRPSLICPRPNSC
+>DECOY_NM_002698|400866_2_173_348
+CSNPRPCILSPRSLPCPHQQPQIAVPTKLRSRQVPALQPCPSHPPRIKPTRIILTQDM
+>NM_004441|400949_2_731_849
+MVFTSLFRIMEPVCLFFLSVSSSKSVPALCKILQCFQRL
+>DECOY_NM_004441|400949_2_731_849
+LRQFCQLIKCLAPVSKSSSVSLFFLCVPEMIRFLSTFVM
+>NM_004441|400950_2_758_849
+MEPVCLFFLSVSSSKSVPALCKILQCFQRL
+>DECOY_NM_004441|400950_2_758_849
+LRQFCQLIKCLAPVSKSSSVSLFFLCVPEM
+>NM_004441|400951_2_947_1281
+MGNGWCLLGDAPASLAMSLRTAWHARLALQGHSRPARKLKAAPTAPPTAAPLQRRLPSAPVGPVITERTLTLQKWHALASHQVPAMLSPSSMRRPSFWSGTLQGRQVGGMM
+>DECOY_NM_004441|400951_2_947_1281
+MMGGVQRGQLTGSWFSPRRMSSPSLMAPVQHSALAHWKQLTLTRETIVPGVPASPLRRQLPAATPPATPAAKLKRAPRSHGQLALRAHWATRLSMALSAPADGLLCWGNGM
+>NM_004441|400962_2_2648_2853
+MGSSCGKSCHLERDPIGICPTKMSSMPSSRTTGCPHPWTVQLLYTSSCWTVGRRTGTAGPGLRRLSTP
+>DECOY_NM_004441|400962_2_2648_2853
+PTSLRRLGPGATGTRRGVTWCSSTYLLQVTWPHPCGTTRSSPMSSMKTPCIGIPDRELHCSKGCSSGM
+>NM_004441|400973_3_2586_2857
+MDSSRGHRLPQVHFSQRRLELWDRHVGSHVIWRETLLGYVQPRCHQCHRAGLPAAPTHGLSSCSTPAHAGLLAEGPEQPAPVCGDCQHPR
+>DECOY_NM_004441|400973_3_2586_2857
+RPHQCDGCVPAPQEPGEALLGAHAPTSCSSLGHTPAAPLGARHCQHCRPQVYGLLTERWIVHSGVHRDWLELRRQSFHVQPLRHGRSSDM
+>NM_003051|401008_2_1222_1295
+MGRVSIILVRSLPSFFPFWLLLTW
+>DECOY_NM_003051|401008_2_1222_1295
+WTLLLWFPFFSPLSRVLIISVRGM
+>NM_003257|401183_2_1067_1164
+MVFDWQAIYLLRKFHKIVWQQEMAIFKKVMLY
+>DECOY_NM_003257|401183_2_1067_1164
+YLMVKKFIAMEQQWVIKHFKRLLYIAQWDFVM
+>NM_001526|401294_3_1109_1188
+MEAPAACFTASRARTANEVPDERCGG
+>DECOY_NM_001526|401294_3_1109_1188
+GGCREDPVENATRARSATFCAAPAEM
+>NM_005412|401305_2_326_642
+MGEQRWWMKLSCCASAGPWKPLTWILHSGESMSSPTPGPQPTWPSTQPFCNLTTGSWGWTCPMGAISPTATCLTSSGYQPRPSSSSLCPISSTPKLASLTTTSWH
+>DECOY_NM_005412|401305_2_326_642
+HWSTTTLSALKPTSSIPCLSSSSPRPQYGSSTLCTATPSIAGMPCTWGWSGTTLNCFPQTSPWTPQPGPTPSSMSEGSHLIWTLPKWPGASACCSLKMWWRQEGM
+>NM_005328|401349_3_1095_1171
+MGWKKRSHVHSLQSTGTKCGLCTGL
+>DECOY_NM_005328|401349_3_1095_1171
+LGTCLGCKTGTSQLSHVHSRKKWGM
+>NM_005328|401351_3_1620_1741
+MAVQCNVVSQTSLVDDLRSDYHWILSFLSHCHSNPALLPG
+>DECOY_NM_005328|401351_3_1620_1741
+GPLLAPNSHCHSLFSLIWHYDSRLDDVLSTQSVVNCQVAM
+>NM_018646|401406_2_769_977
+MELTSGPRTPWETQCYTSSSSSPTKPLPARCTTCCCPTTDMGTTCSPWTSCPITRVSPLSSWLEWRVTL
+>DECOY_NM_018646|401406_2_769_977
+LTVRWELWSSLPSVRTIPCSTWPSCTTGMDTTPCCCTTCRAPLPKTPSSSSSTYCQTEWPTRPGSTLEM
+>NM_018646|401407_2_889_977
+MGTTCSPWTSCPITRVSPLSSWLEWRVTL
+>DECOY_NM_018646|401407_2_889_977
+LTVRWELWSSLPSVRTIPCSTWPSCTTGM
+>NM_018646|401411_2_2083_2216
+MAWETAGSCGWKTGKISTGSGSNATHRPSTPGALRIWTKTQWKN
+>DECOY_NM_018646|401411_2_2083_2216
+NKWQTKTWIRLAGPTSPRHTANSGSGTSIKGTKWGCSGATEWAM
+>NM_005204|401428_2_928_1025
+MELWRICLLLQTIYPTLQSIFMDNDHRNLEFY
+>DECOY_NM_005204|401428_2_928_1025
+YFELNRHDNDMFISQLTPYITQLLLCIRWLEM
+>NM_005204|401432_3_908_1119
+MVVISQIWNCGGFACFCKPYIQHCKAFLWTTTTGIWNFIKHGHHSPKWTLPNRFRCSPDPLEADLQEYWF
+>DECOY_NM_005204|401432_3_908_1119
+FWYEQLDAELPDPSCRFRNPLTWKPSHHGHKIFNWIGTTTTWLFAKCHQIYPKCFCAFGGCNWIQSIVVM
+>NM_175852|401453_2_357_448
+MGHRVSRLNPKMQRSPGPMWQGMGSLNQLQ
+>DECOY_NM_175852|401453_2_357_448
+QLQNLSGMGQWMPGPSRQMKPNLRSVRHGM
+>NM_006343|401579_2_1362_1498
+MENWWATGYPTCGRVQGFPKSSWRKLARMAAELGSLFKSTMLRAQ
+>DECOY_NM_006343|401579_2_1362_1498
+QARLMTSKFLSGLEAAMRALKRWSSKPFGQVRGCTPYGTAWWNEM
+>NM_006343|401581_2_3015_3115
+MGSPGPIRACCPWEAHCPMNFCLLTTPQKAQKS
+>DECOY_NM_006343|401581_2_3015_3115
+SKQAKQPTTLLCFNMPCHAEWPCCARIPGPSGM
+>NM_031862|401619_2_2631_2728
+MVPQEWIYQLPYQKFLQSLIRSEESPEAHQDL
+>DECOY_NM_031862|401619_2_2631_2728
+LDQHAEPSEESRILSQLFKQYPLQYIWEQPVM
+>NM_015559|401756_2_1248_1321
+MEEVQRSPQNHLRWAARKSPVKKM
+>DECOY_NM_015559|401756_2_1248_1321
+MKKVPSKRAAWRLHNQPSRQVEEM
+>NM_015559|401764_2_3039_3175
+MATGKSISLWTTFWPTKASRSQSTRGNGKACKTAMTSSFWQTWRS
+>DECOY_NM_015559|401764_2_3039_3175
+SRWTQWFSSTMATKCAKGNGRTSQSRSAKTPWFTTWLSISKGTAM
+>NM_015559|401770_3_532_635
+MGGRRWFGRAGIFYQGGKLHRGKSEAKDSDHKAG
+>DECOY_NM_015559|401770_3_532_635
+GAKHDSDKAESKGRHLKGGQYFIGARGFWRRGGM
+>NM_022064|401820_2_1866_1966
+MARWTTLTCSAWGASSRTCGRPSKMTLLPKPTL
+>DECOY_NM_022064|401820_2_1866_1966
+LTPKPLLTMKSPRGCTRSSAGWASCTLTTWRAM
+>NM_022064|401828_3_487_605
+MALRGPHLLPGAHADRLVHHQLPLQPGGGGWRYTQLLCL
+>DECOY_NM_022064|401828_3_487_605
+LCLLQTYRWGGGGPQLPLQHHVLRDAHAGPLLHPGRLAM
+>NM_024702|401839_2_2085_2320
+MGPATLRPSQAASTVTGPHPQAPARRLQTHARWTAARSRSRRQPWPCASWRPTAPGTSGWAMGMLRPRNLPAGKTHPH
+>DECOY_NM_024702|401839_2_2085_2320
+HPHTKGAPLNRPRLMGMAWGSTGPATPRWSACPWPQRRSRSRAATWRAHTQLRRAPAQPHPGTVTSAAQSPRLTAPGM
+>NM_003098|401906_2_1521_1729
+MGVPAACLCTSTRASHCGRLSQVQPELCSCDSPSRSCRCLQMTVPVSFSWILEVLKARSSWTCTRVPKP
+>DECOY_NM_003098|401906_2_1521_1729
+PKPVRTCTWSSRAKLVELIWSFSVPVTMQLCRCSRSPSDCSCLEPQVQSLRGCHSARTSTCLCAAPVGM
+>NM_003098|401909_3_352_641
+MAAGAAESGGGRADREPRRRRPWSRARRSAGAGARAAQRRRGAGRRAPAAARGATAPAAPRDGAQGRRRWAGHQHQRRPGEQDAYSHFQDLQGIGS
+>DECOY_NM_003098|401909_3_352_641
+SGIGQLDQFHSYADQEGPRRQHQHGAWRRRGQAGDRPAAPATAGRAAAPARRGAGRRRQAARAGAGASRRARSWPRRRRPERDARGGGSEAAGAAM
+>NM_199039|401950_2_550_674
+MALVKKKMNLIPVHADWCSPLSQTILLPCLLMMSERQDKKK
+>DECOY_NM_199039|401950_2_550_674
+KKKDQRESMMLLCPLLITQSLPSCWDAHVPILNMKKKVLAM
+>NM_005452|401993_2_534_616
+MGKTQQRYARLTLWRLWTLQVQPSTLT
+>DECOY_NM_005452|401993_2_534_616
+TLTSPQVQLTWLRWLTLRAYRQQTKGM
+>NM_005452|402000_3_286_389
+MEEPGVPARLVRGPRSIPRPRPRPCGSGPEVLSH
+>DECOY_NM_005452|402000_3_286_389
+HSLVEPGSGCPRPRPRPISRPGRVLRAPVGPEEM
+>NM_012210|402015_2_723_823
+MGMRSAGSRMSWLALGSSSQALWLKLRSPIVKW
+>DECOY_NM_012210|402015_2_723_823
+WKVIPSRLKLWLAQSSSGLALWSMRSGASRMGM
+>NM_012210|402027_3_1576_1655
+MGYHSLAIWPVCSNRCGRWKALVFHS
+>DECOY_NM_012210|402027_3_1576_1655
+SHFVLAKWRGCRNSCVPWIALSHYGM
+>NM_152701|402177_3_11382_11461
+MVFPLYCLILEECGFLGGEKAILSKF
+>DECOY_NM_152701|402177_3_11382_11461
+FKSLIAKEGGLFGCEELILCYLPFVM
+>NM_153700|402351_2_3391_3497
+MVLKIWVQQVLVQLCVSLVSLFPPPGQTACFPCSH
+>DECOY_NM_153700|402351_2_3391_3497
+HSCPFCATQGPPPFLSVLSVCLQVLVQQVWIKLVM
+>NM_014731|402426_2_2164_2627
+MVVVVAAAAVGGRATRTWGPPIVDGPPARVGRRHLWGGQATWALGRAEVEACLSRPAHRPPPVHSSRSWRSGCGRRSRRWQLCGAAWSRARRLWPRYWRSGRRRGSGSWPSCGRAAAGSYSRWPDVPSAPSRAYSCRCCGCSRTRSSCRRRRPG
+>DECOY_NM_014731|402426_2_2164_2627
+GPRRRRCSSRTRSCGCCRCSYARSPASPVDPWRSYSGAAARGCSPWSGSGRRRGSRWYRPWLRRARSWAAGCLQWRRSRRGCGSRWSRSSHVPPPRHAPRSLCAEVEARGLAWTAQGGWLHRRGVRAPPGDVIPPGWTRTARGGVAAAAVVVVM
+>NM_173523|402522_2_1101_1258
+MGSCIQSMGMLGRSLLKIWCKISTWFTGRCATVILHAMSSCGVHEPMLKPPR
+>DECOY_NM_173523|402522_2_1101_1258
+RPPKLMPEHVGCSSMAHLIVTACRGTFWTSIKCWIKLLSRGLMGMSQICSGM
+>NM_002364|402545_2_803_960
+MERSTQSLGNPGSSSPKIWCRKNIWSTSRCPAVIPHAFNSCGVREPMLKPAR
+>DECOY_NM_002364|402545_2_803_960
+RAPKLMPERVGCSNFAHPIVAPCRSTSWINKRCWIKPSSSGPNGLSQTSREM
+>NM_001010892|402560_2_1314_1462
+MEKVKLMKMRKMNYQSPFTRPHRLYQKKKVEQVPTNMSILFAMNQEDHG
+>DECOY_NM_001010892|402560_2_1314_1462
+GHDEQNMAFLISMNTPVQEVKKKQYLRHPRTFPSQYNMKRMKMLKVKEM
+>NM_001010892|402572_3_1456_1574
+MGEVTTSYTCTNCYCKKNQEIFHWAIGCSHHKLPTFPRK
+>DECOY_NM_001010892|402572_3_1456_1574
+KRPFTPLKHHSCGIAWHFIEQNKKCYCNTCTYSTTVEGM
+>NM_002367|402725_2_775_932
+MERGTLSLGNPESSSPKIWCRKNIWNTSRCPTVIPHAINSCGVQELMQKPAR
+>DECOY_NM_002367|402725_2_775_932
+RAPKQMLEQVGCSNIAHPIVTPCRSTNWINKRCWIKPSSSEPNGLSLTGREM
+>NM_031467|402817_2_1071_1342
+MGHTHTARSCSGPAGCLGALSRTCAGRSRGTPAISWTPCISSASRPYSTFTWPLSLMPSLLGVCWEMPLMVPRECWKVSWAQQWLELPSA
+>DECOY_NM_031467|402817_2_1071_1342
+ASPLELWQQAWSVKWCERPVMLPMEWCVGLLSPMLSLPWTFTSYPRSASSICPTWSIAPTGRSRGACTRSLAGLCGAPGSCSRATHTHGM
+>NM_001130847|402841_2_767_876
+MEKREAYISSHLLSMSLLRTCLILRMVVWLSSLGRR
+>DECOY_NM_001130847|402841_2_767_876
+RRGLSSLWVVMRLILCTRLLSMSLLHSSIYAERKEM
+>NM_002266|402859_2_706_794
+MAQCSETWLLSTVQLTHCWLSLQFLICHL
+>DECOY_NM_002266|402859_2_706_794
+LHCILFQLSLWCHTLQVTSLLWTESCQAM
+>NM_001493|402890_2_1516_1643
+MVVRARCSVPAPTMPPHTLRQPATTSKTSTNAWLARPLTLRT
+>DECOY_NM_001493|402890_2_1516_1643
+TRLTLPRALWANTSTKSTTAPQRLTHPPMTPAPVSCRARVVM
+>NM_001136499|402915_2_1172_1245
+MGMIFCNFRYLHKTRKHILGKNLT
+>DECOY_NM_001136499|402915_2_1172_1245
+TLNKGLIHKRTKHLYRFNCFIMGM
+>NM_173507|403007_3_745_980
+MAFSGPPAPAFRRTGQKGAWTIPANSQTGEKRPDICLHSPQSGASSARSPASPRGSRDPWTPHTSSHAPLGACRGCPG
+>DECOY_NM_173507|403007_3_745_980
+GPCGRCAGLPAHSSTHPTWPDRSGRPSAPSRASSAGSQPSHLCIDPRKEGTQSNAPITWAGKQGTRRFAPAPPGSFAM
+>NM_022370|403083_2_1651_1913
+MGSGCRGMTSSSRQWPTVPCTSPMCRRWTWASTAAWPRVPQGKPHGAAGLRCGKTGEYHQTPLQNPVPLRGLPLSQWSLRSPRTALP
+>DECOY_NM_022370|403083_2_1651_1913
+PLATRPSRLSWQSLPLGRLPVPNQLPTQHYEGTKGCRLGAAGHPKGQPVRPWAATSAWTWRRCMPSTCPVTPWQRSSSTMGRCGSGM
+>NM_022370|403087_2_2536_3200
+MATAVSLCPGNLHSPPSKMGSSRNTRSGAWAMRAAFTSIDLQQAGHAPQCSEDWCPVSSIEPWSRRPPAQAWACPVPQCWCSCRPRRTWSPGWRWARGWRCGWRGCCGSPPSSRAAAQPAGRCFSGSAPPSTGAGNSAKSSATTRPLLPTHRQCPSRTQRASLEPVPGHPWALAPPPTHGWQIRGPTHLEAPRPRNPGEAAALAILTRTTDITTKRESPCI
+>DECOY_NM_022370|403087_2_2536_3200
+ICPSERKTTIDTTRTLIALAAAEGPNRPRPAELHTPGRIQWGHTPPPALAWPHGPVPELSARQTRSPCQRHTPLLPRTTASSKASNGAGTSPPASGSFCRGAPQAAARSSPPSGCCGRWGCRWGRAWRWGPSWTRRPRCSCWCQPVPCAWAQAPPRRSWPEISSVPCWDESCQPAHGAQQLDISTFAARMAWAGSRTNRSSGMKSPPSHLNGPCLSVATAM
+>NM_022370|403088_2_2590_3200
+MGSSRNTRSGAWAMRAAFTSIDLQQAGHAPQCSEDWCPVSSIEPWSRRPPAQAWACPVPQCWCSCRPRRTWSPGWRWARGWRCGWRGCCGSPPSSRAAAQPAGRCFSGSAPPSTGAGNSAKSSATTRPLLPTHRQCPSRTQRASLEPVPGHPWALAPPPTHGWQIRGPTHLEAPRPRNPGEAAALAILTRTTDITTKRESPCI
+>DECOY_NM_022370|403088_2_2590_3200
+ICPSERKTTIDTTRTLIALAAAEGPNRPRPAELHTPGRIQWGHTPPPALAWPHGPVPELSARQTRSPCQRHTPLLPRTTASSKASNGAGTSPPASGSFCRGAPQAAARSSPPSGCCGRWGCRWGRAWRWGPSWTRRPRCSCWCQPVPCAWAQAPPRRSWPEISSVPCWDESCQPAHGAQQLDISTFAARMAWAGSRTNRSSGM
+>NM_001763|403124_2_576_679
+MAMQTGSRSLSPSMSPGSHPFTTIPGNKIWSQVG
+>DECOY_NM_001763|403124_2_576_679
+GVQSWIKNGPITTFPHSGPSMSPSLSRSGTQMAM
+>NM_001042424|403165_2_3915_4159
+MAGSWCCVTASSAPRPTTCPAWALASGPSGSGNVLGIIVTCVANLRLHFATSAPIRSVRSTRTGQPSAAPRTGGPTAVSMT
+>DECOY_NM_001042424|403165_2_3915_4159
+TMSVATPGGTRPAASPQGTRTSRVSRIPASTAFHLRLNAVCTVIIGLVNGSGSPGSALAWAPCTTPRPASSATVCCWSGAM
+>NM_001008211|403192_2_2014_2147
+MGREQVTLTSRLTLFKEELRTGTGGNSGIFRFIPAPSVERFCLT
+>DECOY_NM_001008211|403192_2_2014_2147
+TLCFREVSPAPIFRFIGSNGGTGTRLEEKFLTLRSTLTVQERGM
+>NM_001034853|403349_2_2113_2255
+MGLKVEGMEPVRKVVQEQNTGKMRRGRRGRKTRVEEKWRGQERERRN
+>DECOY_NM_001034853|403349_2_2113_2255
+NRREREQGRWKEEVRTKRGRRGRRMKGTNQEQVVKRVPEMGEVKLGM
+>NM_001034853|403350_2_2134_2255
+MEPVRKVVQEQNTGKMRRGRRGRKTRVEEKWRGQERERRN
+>DECOY_NM_001034853|403350_2_2134_2255
+NRREREQGRWKEEVRTKRGRRGRRMKGTNQEQVVKRVPEM
+>NM_001034853|403352_2_2284_2609
+MGKSRSKRRGSRAIRRKETKRWRREGRRSMEKEKKRRETEKRKKRRREKGKRKEKGKKWRENVKRRKERGKRRKERGRRRKERKKETKERGKRRKQRGEGRKKRREGK
+>DECOY_NM_001034853|403352_2_2284_2609
+KGERRKKRGEGRQKRRKGREKTEKKREKRRRGREKRRKGREKRRKVNERWKKGKEKRKGKERRRKKRKETERRKKEKEMSRRGERRWRKTEKRRIARSGRRKSRSKGM
+>NM_001034853|403353_2_2371_2609
+MEKEKKRRETEKRKKRRREKGKRKEKGKKWRENVKRRKERGKRRKERGRRRKERKKETKERGKRRKQRGEGRKKRREGK
+>DECOY_NM_001034853|403353_2_2371_2609
+KGERRKKRGEGRQKRRKGREKTEKKREKRRRGREKRRKGREKRRKVNERWKKGKEKRKGKERRRKKRKETERRKKEKEM
+>NM_001034853|403354_2_2995_3431
+MEKGRGKRRKENGRGKRRKEKGRGKRKEKGKGRKEKGRGKRRKEKGRGKRRKGKKKGRKKERERKKGREKGRKKRKGKWKGRWKGRKERGKERKRKERRKEKKGKRRGKEKKTGGTEKRRRKKRGSIRRQAKKRMKGRMERSTKK
+>DECOY_NM_001034853|403354_2_2995_3431
+KKTSREMRGKMRKKAQRRISGRKKRRRKETGGTKKEKGRRKGKKEKRREKRKREKGREKRGKWRGKWKGKRKKRGKERGKKREREKKRGKKKGKRRKGRGKEKRRKGRGKEKRGKGKEKRKGRGKEKRRKGRGNEKRRKGRGKEM
+>NM_001034853|403355_2_3457_3557
+MANIKHIKKSQLLTHREMGKSRGPKCQSSQNDF
+>DECOY_NM_001034853|403355_2_3457_3557
+FDNQSSQCKPGRSKGMERHTLLQSKKIHKINAM
+>NM_001034853|403356_3_2270_2472
+MEEEGWGRAGAKGEGAGPSEGKKPRDGGGRGGGAWRRRRRGGRQRRGRREGGRRERGRRRGRSGGRT
+>DECOY_NM_001034853|403356_3_2270_2472
+TRGGSRGRRRGRERRGGERRGRRQRGGRRRRRWAGGGRGGGDRPKKGESPGAGEGKAGARGWGEEEM
+>NM_001034853|403357_3_3029_3399
+MGGGRGGRRRGGGRGRRRGRGGRRRGGGRGGRRRGGGRGGRGRRRGGRRRGRGRRGGRRGGRRGRGSGRGGGRGGRRGGRRGRGRRGGRRRKGKGGGRRRKQEEQRRGGGRRGEVSGDRRRRE
+>DECOY_NM_001034853|403357_3_3029_3399
+ERRRRDGSVEGRRGGGRRQEEQKRRRGGGKGKRRRGGRRGRGRRGGRRGGRGGGRGSGRGRRGGRRGGRRGRGRRRGGRRRGRGGRGGGRRRGGRGGGRRRGGRGRRRGRGGGRRRGGRGGGM
+>NM_001567|403395_2_268_497
+MAASWSETARAWRGPSRSASCIRSMCTRIAFCLMEKISWLCRPRRVCLCAASRPWVSSSACTPSPTRALCAPCFFL
+>DECOY_NM_001567|403395_2_268_497
+LFFCPACLARTPSPTCASSSVWPRSAACLCVRRPRCLWSIKEMLCFAIRTCMSRICSASRSPGRWARATESWSAAM
+>NM_001567|403417_2_3631_3749
+MAGPSASLHPASGRASRKTWQRRLRACRAGGPAGWARQA
+>DECOY_NM_001567|403417_2_3631_3749
+AQRAWGAPGGARCARLRRQWTKRSARGSAPHLSASPGAM
+>NM_012450|403460_2_2004_2176
+MERTRSTHWGPSPSSRGRTSRRPCPGRLSFWLGEAMLWLLVARALASLHGLGTRCCP
+>DECOY_NM_012450|403460_2_2004_2176
+PCCRTGLGHLSALARAVLLWLMAEGLWFSLRGPCPRRSTRGRSSPSPGWHTSRTREM
+>NM_001004326|403649_3_937_1043
+MAWPWTCRSLGSAYTWCRPCLESSTPRPCWWPPPP
+>DECOY_NM_001004326|403649_3_937_1043
+PPPPWWCPRPTSSELCPRCWTYASGLSRCTWPWAM
+>NM_020549|403796_2_973_1070
+MGSSPPTGSPAIPRTRWWLRTAASCRSLSTSS
+>DECOY_NM_020549|403796_2_973_1070
+SSTSLSRCSAATRLWWRTRPIAPSGTPPSSGM
+>NM_020549|403797_2_1708_1895
+MGKHSLRSRNAALMPSSRWPSSWPSTGSIEDWCPPTRARPSADSRRDAWTTSDRPLQRHWLL
+>DECOY_NM_020549|403797_2_1708_1895
+LLWHRQLPRDSTTWADRRSDASPRARTPPCWDEISGTSPWSSPWRSSPMLAANRSRLSHKGM
+>NM_020549|403799_2_2161_2306
+MVLWSQMGMVPATTPSQRPSFSASLAFTAAKRLLLASLQKLWKKASLT
+>DECOY_NM_020549|403799_2_2161_2306
+TLSAKKWLKQLSALLLRKAATFALSASFSPRQSPTTAPVMGMQSWLVM
+>NM_020549|403801_2_2185_2306
+MVPATTPSQRPSFSASLAFTAAKRLLLASLQKLWKKASLT
+>DECOY_NM_020549|403801_2_2185_2306
+TLSAKKWLKQLSALLLRKAATFALSASFSPRQSPTTAPVM
+>NM_020549|403802_3_200_390
+MEERGGRRYKRKERSAASLLSPVGWPRGPGRRRRPCREPRLQPPPPRCDTPPTPSGSHPRPHS
+>DECOY_NM_020549|403802_3_200_390
+SHPRPHSGSPTPPTDCRPPPPQLRPERCPRRRRGPGRPWGVPSLLSAASREKRKYRRGGREEM
+>NM_153604|403941_2_478_695
+MGFLRIRLEVKTPKTQRDPRQTLKPQIPLRQVLWGQTRILLLAQKMTEMTQPHSPATSQMRGSRGLAPPAPP
+>DECOY_NM_153604|403941_2_478_695
+PPAPPALGRSGRMQSTAPSHPQTMETMKQALLLIRTQGWLVQRLPIQPKLTQRPDRQTKPTKVELRIRLFGM
+>NM_003744|403977_2_2067_2155
+MAGWPQQTGIQRFLQAPAQWILLKPSGLH
+>DECOY_NM_003744|403977_2_2067_2155
+HLGSPKLLIWQAPAQLFRQIGTQQPWGAM
+>NM_021970|404167_2_331_518
+MEYLLLKWQMTMLQSMLCDLVSYPLLPLQQTKEANLDFPKIKVSSVTITPTRWFNLIVYLWW
+>DECOY_NM_021970|404167_2_331_518
+WWLYVILNFWRTPTITVSSVKIKPFDLNAEKTQQLPLLPYSVLDCLMSQLMTMQWKLLLYEM
+>NM_001099686|404281_2_1933_2072
+MVALFKEERKVGVLSGIILTRGAVIMNMVGMSARLHTARRMMEAWR
+>DECOY_NM_001099686|404281_2_1933_2072
+RWAEMMRRATHLRASMGVMNMIVAGRTLIIGSLVGVKREEKFLAVM
+>NM_001099686|404289_3_2846_2973
+MARREPVVQHLLGPVRLCKCHPGLFPQVVTPGRPRVIRTSDC
+>DECOY_NM_001099686|404289_3_2846_2973
+CDSTRIVRPRGPTVVQPFLGPHCKCLRVPGLLHQVVPERRAM
+>NM_001109997|404300_2_550_878
+MGLQAWQEVNSMCVGDKISTVTPTPWLQLSGGSPVKRTGRRWLLCPRLEAFSPWWHWMENFMPWVEDTMMLPWTLWRPTTLSSMSGGQHLHFQHHVLPTQLRFWRASCT
+>DECOY_NM_001109997|404300_2_550_878
+TCSARWFRLQTPLVHHQFHLHQGGSMSSLTTPRWLTWPLMMTDEVWPMFNEMWHWWPSFAELRPCLLWRRGTRKVPSGGSLQLWPTPTVTSIKDGVCMSNVEQWAQLGM
+>NM_002126|404332_2_808_1139
+MAFPPAHLSMTTALTLLGCSQLPRLPPRSWTSAAGPLHPFTLASHLRTVCRAPSDQVSCCQQTAIHQVPLILTPSRSQWVMSQTQQILPFPASLARKCLTLANASSLRKN
+>DECOY_NM_002126|404332_2_808_1139
+NKRLSSANALTLCKRALSAPFPLIQQTQSMVWQSRSPTLILPVQHIATQQCCSVQDSPARCVTRLHSALTFPHLPGAASTWSRPPLRPLQSCGLLTLATTMSLHAPPFAM
+>NM_001135919|404371_3_1486_1679
+MAFFLLYGRYSYGLHWDFYHDDRNGYDRVCQYNTDDVFSQGAVPFHYCAILCSTVHVVKSGSFD
+>DECOY_NM_001135919|404371_3_1486_1679
+DFSGSKVVHVTSCLIACYHFPVAGQSFVDDTNYQCVRDYGNRDDHYFDWHLGYSYRGYLLFFAM
+>NM_152404|404403_3_1140_1222
+MDMSEFSLAQRCSFGHKCENCGLASSE
+>DECOY_NM_152404|404403_3_1140_1222
+ESSALGCNECKHGFSCRQALSFESMDM
+>NM_001040|404439_2_376_458
+MGDGTRWKSRWRGTLCCWRWMGRRCCA
+>DECOY_NM_001040|404439_2_376_458
+ACCRRGMWRWCCLTGRWRSKWRTGDGM
+>NM_001040|404442_3_80_183
+MGPETCSPHPECPRPSGCPPQQWPRTRAYRCHDL
+>DECOY_NM_001040|404442_3_80_183
+LDHCRYARTRPWQQPPCGSPRPCEPHPSCTEPGM
+>NM_001040|404443_3_383_636
+MAPGGSQDGGGLCAAGGGWGGGAAPETGLWAPDQQTPSHHEDCAWGAALPRFQPSVAAGSCPGWLPAPGFLAGQTGRDLSICPH
+>DECOY_NM_001040|404443_3_383_636
+HPCISLDRGTQGALFGPAPLWGPCSGAAVSPQFRPLAAGWACDEHHSPTQQDPAWLGTEPAAGGGWGGGAACLGGGDQSGGPAM
+>NM_015311|404527_2_1276_1349
+MVSTCARCGAGCAPWPTSQSKGPS
+>DECOY_NM_015311|404527_2_1276_1349
+SPGKSQSTPWPACGAGCRACTSVM
+>NM_015311|404528_2_1447_1586
+MGRSCRSSARAAQATCMPWSFQGSPERMLARSPLAWATPVPLRFSE
+>DECOY_NM_015311|404528_2_1447_1586
+ESFRLPVPTAWALPSRALMREPSGQFSWPMCTAQAARASSRCSRGM
+>NM_015311|404530_2_1849_2072
+MAVVPTWCSTVLLTLCPQLAWWQVWRMCRYTTGKMPSSPSISPPSSRVPGSLMGKSSRVTSRRARWNLGPCGTV
+>DECOY_NM_015311|404530_2_1849_2072
+VTGCPGLNWRARRSTVRSSKGMLSGPVRSSPPSISPSSPMKGTTYRCMRWVQWWALQPCLTLLVTSCWTPVVAM
+>NM_015311|404536_2_2857_3047
+MERRWWRAPRCSCRRKTLSAAWCCPLSSSRTPASTCVKLTMSRPPSLSPSQNPQCGSYTLAMR
+>DECOY_NM_015311|404536_2_2857_3047
+RMALTYSGCQPNQSPSLSPPRSMTLKVCTSAPTRSSSLPCCWAASLTKRRCSCRPARWWRREM
+>NM_015311|404538_2_3130_3470
+MGWKWRRARPWCWRGMGHAAAWCYLLLSPRTGASLYVMLEMTRPSSLSLSQPHQRGLCTRQPAPWICILGLQGAWSCAVRWPQLGLRCAGTRTGWKWRHQMPCSWVPRGPPAP
+>DECOY_NM_015311|404538_2_3130_3470
+PAPPGRPVWSCPMQHRWKWGTRTGACRLGLQPWRVACSWAGQLGLICIWPAPQRTCLGRQHPQSLSLSSPRTMELMVYLSAGTRPSLLLYCWAAAHGMGRWCWPRARRWKWGM
+>NM_015311|404539_2_3175_3470
+MGHAAAWCYLLLSPRTGASLYVMLEMTRPSSLSLSQPHQRGLCTRQPAPWICILGLQGAWSCAVRWPQLGLRCAGTRTGWKWRHQMPCSWVPRGPPAP
+>DECOY_NM_015311|404539_2_3175_3470
+PAPPGRPVWSCPMQHRWKWGTRTGACRLGLQPWRVACSWAGQLGLICIWPAPQRTCLGRQHPQSLSLSSPRTMELMVYLSAGTRPSLLLYCWAAAHGM
+>NM_015311|404552_2_4558_4661
+MGTSTASSSMVSYWPTRAPTAARATTIAPWPGSA
+>DECOY_NM_015311|404552_2_4558_4661
+ASGPWPAITTARAATPARTPWYSVMSSSATSTGM
+>NM_001004689|404600_2_754_854
+MEQLCSCTYGPHLIAPQHRTRWCLYSTPSSLPC
+>DECOY_NM_001004689|404600_2_754_854
+CPLSSPTSYLCWRTRHQPAILHPGYTCSCLQEM
+>NM_001135924|404641_2_2248_2351
+MGCKLIKILTIMLLLLMNGGFYQEKACLTHCQFL
+>DECOY_NM_001135924|404641_2_2248_2351
+LFQCHTLCAKEQYFGGNMLLLLMITLIKILKCGM
+>NM_001135924|404647_2_3199_3338
+MGSAWNGGVRVPQALVPMTAVIPMTKLLKLQSLGMLDSVMFKNIIV
+>DECOY_NM_001135924|404647_2_3199_3338
+VIINKFMVSDLMGLSQLKLLKTMPIVATMPVLAQPVRVGGNWASGM
+>NM_001135924|404656_2_4519_4664
+MENVLSLTFVSVFQDMVEQPVMKNIVTHLVNMVAHAWLGISALVLMVL
+>DECOY_NM_001135924|404656_2_4519_4664
+LVMLVLASIGLWAHAVMNVLHTVINKMVPQEVMDQFVSVFTLSLVNEM
+>NM_001135924|404657_2_4564_4664
+MVEQPVMKNIVTHLVNMVAHAWLGISALVLMVL
+>DECOY_NM_001135924|404657_2_4564_4664
+LVMLVLASIGLWAHAVMNVLHTVINKMVPQEVM
+>NM_001135924|404659_2_4708_4916
+MEASVLHQIFASANLAGMDPPVVQLCATLSASMVVRVISQILASVQMDSLGNTVRMLSVTLPVRMVATA
+>DECOY_NM_001135924|404659_2_4708_4916
+ATAVMRVPLTVSLMRVTNGLSDMQVSALIQSIVRVVMSASLTACLQVVPPDMGALNASAFIQHLVSAEM
+>NM_001135924|404660_2_4759_4916
+MDPPVVQLCATLSASMVVRVISQILASVQMDSLGNTVRMLSVTLPVRMVATA
+>DECOY_NM_001135924|404660_2_4759_4916
+ATAVMRVPLTVSLMRVTNGLSDMQVSALIQSIVRVVMSASLTACLQVVPPDM
+>NM_001135924|404664_3_854_993
+MAVFVQHYKRLLSLSNPSVCKKLWELFCILTTTNSGMYGLLCGSYF
+>DECOY_NM_001135924|404664_3_854_993
+FYSGCLLGYMGSNTTTLICFLEWLKKCVSPNSLSLLRKYHQVFVAM
+>NM_001095|404688_2_875_1335
+MGWKSCWTSSRTSTCLCGGRLTRRPSKQASKCRSIVRMNLLSSTSWALAWPQASRPLWPARSSGSSTCPHPGAPAKLLPWTRIWISSTPTASLPAASTVRRATWWRTATAAWCTCQGMPHTVLQSSTRSVQILLWTSWWRRTRSTACVKCLAT
+>DECOY_NM_001095|404688_2_875_1335
+TALCKVCATSRTRRWWSTWLLIQVSRTSSQLVTHPMGQCTCWAATATRWWTARRVTSAAPLSATPTSSIWIRTWPLLKAPAGPHPCTSSGSSRAPWLPRSAQPWALAWSTSSLLNMRVISRCKSAQKSPRRTLRGGCLCTSTRSSTWCSKWGM
+>NM_001095|404691_2_1343_1431
+MAKSCPWSRSPAKPQPSTWPRSSTNLSNT
+>DECOY_NM_001095|404691_2_1343_1431
+TNSLNTSSRPWTSPQPKAPSRSWPCSKAM
+>NM_006996|404760_2_904_980
+MVALLLTPQLLTTFLAGRTLSQKSL
+>DECOY_NM_006996|404760_2_904_980
+LSKQSLTRGALFTTLLQPTLLLAVM
+>NM_013444|404814_2_245_345
+MARAAAPRAPPAALLRPKARLLPRLSLKSSKSR
+>DECOY_NM_013444|404814_2_245_345
+RSKSSKLSLRPLLRAKPRLLAAPPARPAAARAM
+>NM_057166|404956_2_751_839
+MALLCPQRNLSLLMLTCLQLELRMQMKER
+>DECOY_NM_057166|404956_2_751_839
+REKMQMRLELQLCTLMLLSLNRQPCLLAM
+>NM_057166|404962_2_2494_2570
+MECFSSWCCWSQEGHLTVWMGQQVT
+>DECOY_NM_057166|404962_2_2494_2570
+TVQQGMWVTLHGEQSWCCWSSFCEM
+>NM_057166|404968_2_6034_6404
+METKDCLVLLERKGILEEGVIKDLEERKEKEEMLGFEGTRVTQDKTARREDPKEKPVTSAPWVSQGEMEYLEDLEKLGRMVALAEGDPPELRATRAVLASRALRESRGPEVHRAQLVLLVLQG
+>DECOY_NM_057166|404968_2_6034_6404
+GQLVLLVLQARHVEPGRSERLARSALVARTARLEPPDGEALAVMRGLKELDELYEMEGQSVWPASTVPKEKPDERRATKDQTVRTGEFGLMEEKEKREELDKIVGEELIGKRELLVLCDKTEM
+>NM_057166|404970_2_6235_6404
+MEYLEDLEKLGRMVALAEGDPPELRATRAVLASRALRESRGPEVHRAQLVLLVLQG
+>DECOY_NM_057166|404970_2_6235_6404
+GQLVLLVLQARHVEPGRSERLARSALVARTARLEPPDGEALAVMRGLKELDELYEM
+>NM_057166|404971_2_6271_6404
+MVALAEGDPPELRATRAVLASRALRESRGPEVHRAQLVLLVLQG
+>DECOY_NM_057166|404971_2_6271_6404
+GQLVLLVLQARHVEPGRSERLARSALVARTARLEPPDGEALAVM
+>NM_057166|404979_2_9106_9203
+MEVVVETKTNLDHRKNVKRFALLCSPNPESSV
+>DECOY_NM_057166|404979_2_9106_9203
+VSSEPNPSCLLAFRKVNKRHDLNTKTEVVVEM
+>NM_001077706|405203_3_2019_2125
+MGPSSLCGRNSHEVWKPVKHIYQFLQQLPCHSENY
+>DECOY_NM_001077706|405203_3_2019_2125
+YNESHCPLQQLFQYIHKVPKWVEHSNRGCLSSPGM
+>NM_004641|405221_2_514_587
+MALFKYPLDRGFAGNVNLRREQPE
+>DECOY_NM_004641|405221_2_514_587
+EPQERRLNVNGAFGRDLPYKFLAM
+>NM_004641|405222_2_637_812
+MGVGPMWFVPCIFQRYNLPMFPQWNQLFYSLFRMIVIIRLATFVMNKEEKAKQPLVLA
+>DECOY_NM_004641|405222_2_637_812
+ALVLPQKAKEEKNMVFTALRIIVIMRFLSYFLQNWQPFMPLNYRQFICPVFWMPGVGM
+>NM_004641|405230_2_1774_1859
+MGLADPKETKIKRMFLISQFLLLHQHHL
+>DECOY_NM_004641|405230_2_1774_1859
+LHHQHLLLFQSILFMRKIKTEKPDALGM
+>NM_004641|405231_2_1921_2030
+MEVYRASVLAHLQLVQKFPCSIGMMELAQQLRSQSC
+>DECOY_NM_004641|405231_2_1921_2030
+CSQSRLQQALEMMGISCPFKQVLQLHALVSARYVEM
+>NM_000023|405245_2_832_995
+MGSWSMTRSSAHPLRPQTVTSWWMLWSPSWCPCWWPCFSPCCWPMSCAAGGREG
+>DECOY_NM_000023|405245_2_832_995
+GERGGAACSMPWCCPSFCPWWCPCWSPSWLMWWSTVTQPRLPHASSRTMSWSGM
+>NM_005484|405275_2_101_276
+MATRLQKTLPLPRKLVDARDRSRKRCLWLEEKLIRTGQKTSKMVCQEGHGPAKGSLNL
+>DECOY_NM_005484|405275_2_101_276
+LNLSGKAPGHGEQCVMKSTKQGTRILKEELWLCRKRSRDRADVLKRPLPLTKQLRTAM
+>NM_005484|405277_2_908_987
+MDELSWKHAMNSTPGFRMTLDSVLLH
+>DECOY_NM_005484|405277_2_908_987
+HLLVSDLTMRFGPTSNMAHKWSLEDM
+>NM_005484|405278_2_1349_1464
+MGFELPHLKLPSQVTCLGKESTLLTCLPRVPITALPLA
+>DECOY_NM_005484|405278_2_1349_1464
+ALPLATIPVRPLCTLLTSEKGLCTVQSPLKLHPLEFGM
+>NM_005484|405279_3_477_571
+MGPSWENGTAQPGGLFRQSQQGQGNLSEEIP
+>DECOY_NM_005484|405279_3_477_571
+PIEESLNGQGQQSQRFLGGPQATGNEWSPGM
+>NM_001042618|405311_2_101_237
+MATRLQKTLPLPRKLVDARDRSRKRCLWLEEKLIRTGQKTSKMNL
+>DECOY_NM_001042618|405311_2_101_237
+LNMKSTKQGTRILKEELWLCRKRSRDRADVLKRPLPLTKQLRTAM
+>NM_005477|405380_2_2903_2985
+MAWSACSPRATRRPSWPTAPTLERSAC
+>DECOY_NM_005477|405380_2_2903_2985
+CASRELTPATPWSPRRTARPSCASWAM
+>NM_006532|405481_2_360_640
+MGKFTWTAWAAYRTRSRCVPPTTPTRRRGRAWPRRRRRRGAEVPLSSRLEAATWARRFSFGNQPQVQQTRCPPGSGQPPSTWRVPSGRVVPVP
+>DECOY_NM_006532|405481_2_360_640
+PVPVVRGSPVRWTSPPQGSGPPCRTQQVQPQNGFSFRRAWTAAELRSSLPVEAGRRRRRRPWARGRRRTPTTPPVCRSRTRYAAWATWTFKGM
+>NM_006445|405595_2_6262_6368
+MAMRSSPPPPATMRPRLSHPRLSGGSGPSLLPTCT
+>DECOY_NM_006445|405595_2_6262_6368
+TCTPLLSPGSGGSLRPHSLRPRMTAPPPPSSRMAM
+>NM_006445|405604_3_221_363
+MAAIAGQALCRKAEVWVCGCPEGRHAPRTCQEDHSRPWRHDQQEVPP
+>DECOY_NM_006445|405604_3_221_363
+PPVEQQDHRWPRSHDEQCTRPAHRGEPCGCVWVEAKRCLAQGAIAAM
+>NM_005937|405661_2_89_201
+MGTRAAWPSTKLAMASFRCQRDPGSAGNVNLRSEQPG
+>DECOY_NM_005937|405661_2_89_201
+GPQESRLNVNGASGPDRQCRFSAMALKTSPWAARTGM
+>NM_005937|405662_2_128_201
+MASFRCQRDPGSAGNVNLRSEQPG
+>DECOY_NM_005937|405662_2_128_201
+GPQESRLNVNGASGPDRQCRFSAM
+>NM_005937|405663_2_251_426
+MEAGHTWCVPSTSPRCNLPTCSPWSPSCCSTCLMIASTRPVTSARSRAGRARRPREPA
+>DECOY_NM_005937|405663_2_251_426
+APERPRRARGARSRASTVPRTSAIMLCTSCCSPSWPSCTPLNCRPSTSPVCWTHGAEM
+>NM_005937|405665_2_440_564
+MDVDKLSTSPVPKWQACCVRKKCWRWTTSSTAATANTTSAR
+>DECOY_NM_005937|405665_2_440_564
+RASTTNATAATSSTTWRWCKKRVCCAQWKPVPSTSLKDVDM
+>NM_005937|405666_2_1439_1635
+MGQAVPRAAGTRRALGAQLPHPCPVPSWLALPPLLPHPSLEVPWSAPAWEVCPPEPLGLLGACPA
+>DECOY_NM_005937|405666_2_1439_1635
+APCAGLLGLPEPPCVEWAPASWPVELSPHPLLPPLALWSPVPCPHPLQAGLARRTGAARPVAQGM
+>NM_020039|405710_2_1562_1887
+MGMAWLPIIQKQGAHFCPMRVLHPRGPSPNPVVLVTSGARWGCSSGPASSRCWSSLTTPTRSLSTSCADEENARRRPKGAVRTRAWPSAWTTSKDTTRARAFGATLPG
+>DECOY_NM_020039|405710_2_1562_1887
+GPLTAGFARARTTDKSTTWASPWARTRVAGKPRRRANEEDACSTSLSRTPTTLSSWCRSSAPGSSCGWRAGSTVLVVPNPSPGRPHLVRMPCFHAGQKQIIPLWAMGM
+>NM_020039|405711_2_1568_1887
+MAWLPIIQKQGAHFCPMRVLHPRGPSPNPVVLVTSGARWGCSSGPASSRCWSSLTTPTRSLSTSCADEENARRRPKGAVRTRAWPSAWTTSKDTTRARAFGATLPG
+>DECOY_NM_020039|405711_2_1568_1887
+GPLTAGFARARTTDKSTTWASPWARTRVAGKPRRRANEEDACSTSLSRTPTTLSSWCRSSAPGSSCGWRAGSTVLVVPNPSPGRPHLVRMPCFHAGQKQIIPLWAM
+>NM_004409|405743_2_1238_1338
+MVSGTACPPLHRISKVPPTHATSTWWRTGSLPW
+>DECOY_NM_004409|405743_2_1238_1338
+WPLSGTRWWTSTAHTPPVKSIRHLPPCATGSVM
+>NM_001081560|405768_2_1238_1371
+MVSGTACPPLHRISKVPPTHATSTWWRTGSLPWRHCRTFGKVRR
+>DECOY_NM_001081560|405768_2_1238_1371
+RRVKGFTRCHRWPLSGTRWWTSTAHTPPVKSIRHLPPCATGSVM
+>NM_015059|405983_2_145_296
+MDSFFRMKTRGKGFGWKRAEHWITTCCGMGIFWNIKRNRDLRKSGCWMDL
+>DECOY_NM_015059|405983_2_145_296
+LDMWCGSKRLDRNRKINWFIGMGCCTTIWHEARKWGFGKGRTKMRFFSDM
+>NM_015059|405999_2_5680_5852
+MGTWLSRARWQQPRRNQRRSDSRFALVCRTWATAVSSWCRRQGPSRSAPQTATPRGS
+>DECOY_NM_015059|405999_2_5680_5852
+SGRPTATQPASRSPGQRRCWSSVATAWTRCVLAFRSDSRRQNRRPQQWRARSLWTGM
+>NM_001080450|406154_2_292_449
+MVSRPAGAGAWAMCGLERRSPATMPPPLPTRSLCMASRTRSWRRRILPRGTC
+>DECOY_NM_001080450|406154_2_292_449
+CTGRPLIRRRWSRTRSAMCLSRTPLPPPMTAPSRRELGCMAWAGAGAPRSVM
+>NM_022491|406205_2_914_993
+MANCTMTKDGTTRARPSIWSQRTTRN
+>DECOY_NM_022491|406205_2_914_993
+NRTTRQSWISPRARTTGDKTMTCNAM
+>NM_022491|406212_3_939_1024
+MVPQEPGHLSGVKGQPETELRDQFCRSQ
+>DECOY_NM_022491|406212_3_939_1024
+QSRCFQDRLETEPQGKVGSLHGPEQPVM
+>NM_004752|406234_2_289_374
+MATSSRSRAWVWWCVHRPAPCPTVPACS
+>DECOY_NM_004752|406234_2_289_374
+SCAPVTPCPAPRHVCWWVWARSRSSTAM
+>NM_004752|406240_2_1063_1217
+MAGNQLLENPALWKGLTMGSFRPWPLALIITQSFPAGTSRLHHQVPLPYKP
+>DECOY_NM_004752|406240_2_1063_1217
+PKYPLPVQHHLRSTGAPFSQTIILALPWPRFSGMTLGKWLAPNELLQNGAM
+>NM_004752|406241_2_1114_1217
+MGSFRPWPLALIITQSFPAGTSRLHHQVPLPYKP
+>DECOY_NM_004752|406241_2_1114_1217
+PKYPLPVQHHLRSTGAPFSQTIILALPWPRFSGM
+>NM_019848|406290_3_615_727
+MGGPRDSQHQPQHCWGSHRATDWGPLLEHWRWLCDGV
+>DECOY_NM_019848|406290_3_615_727
+VGDCLWRWHELLPGWDTARHSGWCHQPQHQSDRPGGM
+>NM_001135196|406353_2_2695_2939
+MGTHAWKTAARGKHCKEKGKVCLEEEPGRHQQRKLISEALGLGKIRAQPFHRPKTLLPHHLLLQTGTCCLRLKTTPSELPP
+>DECOY_NM_001135196|406353_2_2695_2939
+PPLESPTTKLRLCCTGTQLLLHHPLLTKPRHFPQARIKGLGLAESILKRQQHRGPEEELCVKGKEKCHKGRAATKWAHTGM
+>NM_001135196|406355_2_3940_4220
+MASHRRESPARRTWSRHSKGRCAPERGPDTISPWSVPCPLPCTATPCPASRSLSGGGPGAPSPSHPCPRTPPPRRSSRIRSPGSTLSSTCHSR
+>DECOY_NM_001135196|406355_2_3940_4220
+RSHCTSSLTSGPSRIRSSRRPPPTRPCPHSPSPAGPGGGSLSRSAPCPTATCPLPCPVSWPSITDPGREPACRGKSHRSWTRRAPSERRHSAM
+>NM_001135196|406359_3_1175_1293
+MECPPTKAAGEKGHSWNRPRKQSSQALWGHDLAKRTLSS
+>DECOY_NM_001135196|406359_3_1175_1293
+SSLTRKALDHGWLAQSSQKRPRNWSHGKEGAAKTPPCEM
+>NM_053002|406423_2_1107_1318
+MVPWFMDLVVCCRLSLSVAQVPWCGIIPQMKIRAQTQAHPWICCRWPRPASPCRVGTRLSISRFGQGFMK
+>DECOY_NM_053002|406423_2_1107_1318
+KMFGQGFRSISLRTGVRCPSAPRPWRCCIWPHAQTQARIKMQPIIGCWPVQAVSLSLRCCVVLDMFWPVM
+>NM_053002|406441_3_724_836
+MGIQRKASISHVPGRNVRKTRIFDMDPGCFRKDQTNG
+>DECOY_NM_053002|406441_3_724_836
+GNTQDKRFCGPDMDFIRTKRVNRGPVHSISAKRQIGM
+>NM_199459|406461_2_2347_2480
+MGSPDLCPKRQNLRGKQDFRTHTHTHTHTHTHTIINTYLAFLDP
+>DECOY_NM_199459|406461_2_2347_2480
+PDLFALYTNIITHTHTHTHTHTHTRFDQKGRLNQRKPCLDPSGM
+>NM_001144950|406500_2_196_527
+MAGAGAPCVMTAGTCAMPPWPAGSWAAEGHWPPREAPSSGRGQGLCGSASWLAGATRGSWASATTGAGRPTSAPTRRTRASSAQVSVWLTPGTTQHLPWMGLPGQGCCWS
+>DECOY_NM_001144950|406500_2_196_527
+SWCCGQGPLGMWPLHQTTGPTLWVSVQASSARTRRTPASTPRGAGTTASAWSGRTAGALWSASGCLGQGRGSSPAERPPWHGEAAWSGAPWPPMACTGATMVCPAGAGAM
+>NM_001144950|406517_2_2881_2960
+MVTSFPGRGTHHQEGAWLRGPLPQAN
+>DECOY_NM_001144950|406517_2_2881_2960
+NAQPLPGRLWAGEQHHTGRGPFSTVM
+>NM_006300|406540_2_675_823
+MGSVNSPSVMLPSLILLSSSTQERSLIHAMSVEKASVTSQLFVFTREFT
+>DECOY_NM_006300|406540_2_675_823
+TFERTFVFLQSTVSAKEVSMAHILSREQTSSSLLILSPLMVSPSNVSGM
+>NM_001134888|406620_3_752_834
+MGQSSTAGKQPPDRRLPSLPGGHVRSV
+>DECOY_NM_001134888|406620_3_752_834
+VSRVHGGPLSPLRRDPPQKGATSSQGM
+>NM_005936|406810_2_566_804
+MDLKSRKKKGLSRTSRELSQRKKRRKKRREKKRHCDRHLIKMIDLSKGRMLKILDWLLRFTKTCRKPALLEPFLILRWL
+>DECOY_NM_005936|406810_2_566_804
+LWRLILFPELLAPKRCTKTFRLLWDLIKLMRGKSLDIMKILHRDCHRKKERRKKRRKKRQSLERSTRSLGKKKRSKLDM
+>NM_005936|406813_2_992_1155
+MVWKKKTLRITASPGLCFLLEPSILMKRVLKKLFLMMMSVLYKSSGNGQVTKGF
+>DECOY_NM_005936|406813_2_992_1155
+FGKTVQGNGSSKYLVSMMMLFLKKLVRKMLISPELLFCLGPSATIRLTKKKWVM
+>NM_005936|406820_2_2843_2961
+MEGKCSWRRILICSCRFFCQKMVILVMLSETFQMVYKNF
+>DECOY_NM_005936|406820_2_2843_2961
+FNKYVMQFTESLMVLIVMKQCFFRCSCILIRRWSCKGEM
+>NM_005936|406821_2_3506_3582
+MGLLRVLSCLGQNIVNQRNCLVMTD
+>DECOY_NM_005936|406821_2_3506_3582
+DTMVLCNRQNVINQGLCSLVRLLGM
+>NM_020822|406990_2_1412_1500
+MGRPASSSAAGTRWTARLQTTRPSCAPGP
+>DECOY_NM_020822|406990_2_1412_1500
+PGPACSPRTTQLRATWRTGAASSSAPRGM
+>NM_020822|406992_2_2921_3018
+MAPTWPSCSACRSPPAASSASACWTHCSTSPS
+>DECOY_NM_020822|406992_2_2921_3018
+SPSTSCHTWCASASSAAPPSRCASCSPWTPAM
+>NM_014800|407040_2_422_513
+MGGLLPTMNILHSSMPIVQTSISQKRTAMR
+>DECOY_NM_014800|407040_2_422_513
+RMATRKQSISTQVIPMSSHLINMTPLLGGM
+>NM_001042705|407146_2_157_254
+MENIHLKITSWPWMQRIILKSIPSIYSPWNQR
+>DECOY_NM_001042705|407146_2_157_254
+RQNWPSYISPISKLIIRQMWPWSTIKLHINEM
+>NM_001042705|407147_3_272_501
+MARVPAAAGAPGEEEPVPTLCLLREAEQLCQHEHLLRQQHTLCQGPCWEDSSLHLLEVAVTWGQVAWWKEGDSSLP
+>DECOY_NM_001042705|407147_3_272_501
+PLSSDGEKWWAVQGWTVAVELLHLSSDEWCPGQCLTHQQRLLHEHQCLQEAERLLCLTPVPEEEGPAGAAAPVRAM
+>NM_001042706|407178_3_272_426
+MARVPAAAGAPGEEEPVPTLCLLREAEQLCQHEHLLRQQHTRECHVSFSMS
+>DECOY_NM_001042706|407178_3_272_426
+SMSFSVHCERTHQQRLLHEHQCLQEAERLLCLTPVPEEEGPAGAAAPVRAM
+>NM_001045476|407198_2_180_253
+MAACMAGRPGVGSCCGGWVATQAP
+>DECOY_NM_001045476|407198_2_180_253
+PAQTAVWGGCCSGVGPRGAMCAAM
+>NM_152722|407305_2_716_828
+MAPCFSATCSWPMRAPMRSRSPSPTTPSLGRRPSTLL
+>DECOY_NM_152722|407305_2_716_828
+LLTSPRRGLSPTTPSPSRSRMPARMPWSCTASFCPAM
+>NM_152722|407308_2_953_1167
+MASPSSMTRECSCPPTKRCSPSPACSWRMTTCTAAWWRTPSARAAACLSRSPYTEEAPFTSSCLQEASSSL
+>DECOY_NM_152722|407308_2_953_1167
+LSSSAEQLCSSTFPAEETYPSRSLCAAARASPTRWWAATCTTMRWSCAPSPSCRKTPPCSCERTMSSPSAM
+>NM_003704|407357_2_1477_1616
+MECWEAGAPGQRRAKQTVHPHPTQHSRLNKLQTLVNVMFVSRKLLD
+>DECOY_NM_003704|407357_2_1477_1616
+DLLKRSVFMVNVLTQLKNLRSHQTPHPHVTQKARRQGPAGAEWCEM
+>NM_003704|407367_2_2602_2792
+MVSTTHSRMMGTRVQMRTAALSTAPAPRPPPTRRRASTATAATANSLGTAGLQLHQQVEIMQK
+>DECOY_NM_003704|407367_2_2602_2792
+KQMIEVQQHLQLGATGLSNATAATATSARRRTPPPRPAPATSLAATRMQVRTGMMRSHTTSVM
+>NM_003704|407369_2_2629_2792
+MGTRVQMRTAALSTAPAPRPPPTRRRASTATAATANSLGTAGLQLHQQVEIMQK
+>DECOY_NM_003704|407369_2_2629_2792
+KQMIEVQQHLQLGATGLSNATAATATSARRRTPPPRPAPATSLAATRMQVRTGM
+>NM_000231|407381_2_381_487
+MDCAWKGNQNFYSHCMPKKYTPEWTHLCFYNQPRM
+>DECOY_NM_000231|407381_2_381_487
+MRPQNYFCLHTWEPTYKKPMCHSYFNQNGKWACDM
+>NM_000231|407383_2_849_991
+MECLCLMLKLCAYPSWCRGRGVPLAAHRASTKSVCVQMGSCTCLWPV
+>DECOY_NM_000231|407383_2_849_991
+VPWLCTCSGMQVCVSKTSARHAALPVGRGRCWSPYACLKLMLCLCEM
+>NM_006390|407466_2_2925_3064
+MEEVKMRRRKMMTGMKKYWKKPRLRGSVLHLTLTIVWMNISFLHKL
+>DECOY_NM_006390|407466_2_2925_3064
+LKHLFSINMWVITLTLHLVSGRLRPKKWYKKMGTMMKRRRMKVEEM
+>NM_003501|407546_3_1150_1286
+MALASISGSCLRLRPFLQVALPGPGGAPARTCIGRPQRQTGRAWT
+>DECOY_NM_003501|407546_3_1150_1286
+TWARGTQRQPRGICTRAPAGGPGPLAVQLFPRLRLCSGSISALAM
+>NM_005244|407614_2_483_964
+MAPASAPHPLDRAHTPTRCTAQQGSIKEEMDWATQPVSGVCTRTILPTPASPRASTPSITAHPTTLPTSRPAASALRPSPRPPTSSRRHLTTSPTRVPSHLLVNTTHTMDLPHQRKRETQTGRTGPPTGSSEAGLRGAVTRPRQGTMRLSVCSCGTWMRQ
+>DECOY_NM_005244|407614_2_483_964
+QRMWTGCSCVSLRMTGQRPRTVAGRLGAESSGTPPGTRGTQTERKRQHPLDMTHTTNVLLHSPVRTPSTTLHRRSSTPPRPSPRLASAAPRSTPLTTPHATISPTSARPSAPTPLITRTCVGSVPQTAWDMEEKISGQQATCRTPTHARDLPHPASAPAM
+>NM_199296|407641_2_1137_1297
+MAALPPRPVPVTCPPVLALRTRTPWASPVRSGSSWPAMLRTCMIKMWTAVRSG
+>DECOY_NM_199296|407641_2_1137_1297
+GSRVATWMKIMCTRLMAPWSSGSRVPSAWPTRTRLALVPPCTVPVPRPPLAAM
+>NM_004654|407730_2_5113_5249
+MAILTYPMLKFFLSVKLIGSKGLGIMLKTQVKQVSKSQYWKATLG
+>DECOY_NM_004654|407730_2_5113_5249
+GLTAKWYQSKSVQKVQTKLMIGLGKSGILKVSLFFKLMPYTLIAM
+>NM_002706|407769_2_903_988
+MDKSAFLPRITNLAIQGKRSESKMQEAA
+>DECOY_NM_002706|407769_2_903_988
+AAEQMKSESRKGQIALNTIRPLFASKDM
+>NM_002706|407773_3_520_605
+MESGNGRCTHSCCRYSSRLGRLVIFCSL
+>DECOY_NM_002706|407773_3_520_605
+LSCFIVLRGLRSSYRCCSHTCRGNGSEM
+>NM_004035|407884_2_717_799
+MELTFEAWKPQPRMTLKPRSSFSTVLL
+>DECOY_NM_004035|407884_2_717_799
+LLVTSFSSRPKLTMRPQPKWAEFTLEM
+>NM_004035|407885_2_888_994
+MDYMPLSYLFVKSGPISLCQELPLVTSAPNLVMMR
+>DECOY_NM_004035|407885_2_888_994
+RMMVLNPASTVLPLEQCLSIPGSKVFLYSLPMYDM
+>NM_004035|407887_2_1485_1570
+MAILIAVVFQIFMSISPQAVPLREKTLS
+>DECOY_NM_004035|407887_2_1485_1570
+SLTKERLPVAQPSISMFIQFVVAILIAM
+>NM_007292|407943_3_655_758
+MAAFIQRTPDNWHLRPDGNGPRNSPSRLGNHSHV
+>DECOY_NM_007292|407943_3_655_758
+VHSHNGLRSPSNRPGNGDPRLHWNDPTRQIFAAM
+>NM_183372|408099_2_1894_2201
+MALMTPTSHIEKPKSHLRKTKSTQLSLAHPLMLNGRMLYTLSQKMKVMMRKRKKKGQCLPGICRSLKRRKSPRSPGMKVIRLSQFLLKGWPRTSLTAAHFTH
+>DECOY_NM_183372|408099_2_1894_2201
+HTFHAATLSTRPWGKLLFQSLRIVKMGPSRPSKRRKLSRCIGPLCQGKKKRKRMMVKMKQSLTYLMRGNLMLPHALSLQTSKTKRLHSKPKEIHSTPTMLAM
+>NM_005550|408136_2_1344_1417
+MGSSGRCAASHCCCRRPSGVSRPR
+>DECOY_NM_005550|408136_2_1344_1417
+RPRSVGSPRRCCCHSAACRGSSGM
+>NM_022841|408182_2_265_347
+MERKVIRMPCHLVGHNKCMPFPGFGIP
+>DECOY_NM_022841|408182_2_265_347
+PIGFGPFPMCKNHGVLHCPMRIVKREM
+>NM_022841|408183_2_598_701
+MGWKELNLLGSFKILMKKLSLLLAVLCVSGPRKC
+>DECOY_NM_022841|408183_2_598_701
+CKRPGSVCLVALLLSLKKMLIKFSGLLNLEKWGM
+>NM_022841|408186_2_1537_1769
+MVLSCRFSLLGPGAAVRGEHLLWKSKWNPKHHQMSILYSAKRTLMRLKLPRHLVPFWGRKVIQTEHCRNLQMKVSLK
+>DECOY_NM_022841|408186_2_1537_1769
+KLSVKMQLNRCHETQIVKRGWFPVLHRPLKLRMLTRKASYLISMQHHKPNWKSKWLLHEGRVAAGPGLLSFRCSLVM
+>NM_022841|408193_2_2821_2903
+MALQSTHPHPHPHPLLLQPQPQPRHLK
+>DECOY_NM_022841|408193_2_2821_2903
+KLHRPQPQPQLLLPHPHPHPHTSQLAM
+>NM_001142348|408240_3_280_464
+MAGHWAFGGRSGQHSDTHHPGCRGRGHRAPHPHPADQETHHLHPEEDSGEEEGVSRELLGE
+>DECOY_NM_001142348|408240_3_280_464
+EGLLERSVGEEEGSDEEPHLHHTEQDAPHPHPARHGRGRCGPHHTDSHQGSRGGFAWHGAM
+>NM_001991|408304_3_321_520
+MEEASCPTCSVNEACEWTPFSQKVYHREHFPGICKPTYVNEVTEHSCIGSHHVFLVPSPTELYGRR
+>DECOY_NM_001991|408304_3_321_520
+RRGYLETPSPVLFVHHSGICSHETVENVYTPKCIGPFHERHYVKQSFPTWECAENVSCTPCSAEEM
+>NM_173560|408378_2_301_404
+MVTFPLKKRTPTTTTAKPKQRINTCLRRKPSRRL
+>DECOY_NM_173560|408378_2_301_404
+LRRSPKRRLCTNIRQKPKATTTTPTRKKLPFTVM
+>NM_173560|408385_2_2233_2378
+MVDAWLGLNSSFQETSSVAAVRGLHITPGHRLAMAHPCKPRIHTICSF
+>DECOY_NM_173560|408385_2_2233_2378
+FSCITHIRPKCPHAMALRHGPTIHLGRVAAVSSTEQFSSNLGLWADVM
+>NM_021118|408417_3_278_351
+MATHLHSCQGTDSIQTSLYFQNPS
+>DECOY_NM_021118|408417_3_278_351
+SPNQFYLSTQISDTGQCSHLHTAM
+>NM_145168|408444_2_531_661
+MGMNLCPTCLFTSTLITTLGQSQLQSRRCWRRMLHPWTEATVS
+>DECOY_NM_145168|408444_2_531_661
+SVTAETWPHLMRRWCRRSQLQSQGLTTILTSTFLCTPCLNMGM
+>NM_001017971|408457_2_386_504
+MGRACGRSLLLISRSKVLPSRGDDLPKPKTAPPPSRQLF
+>DECOY_NM_001017971|408457_2_386_504
+FLQRSPPPATKPKPLDDGRSPLVKSRSILLLSRGCARGM
+>NM_152617|408468_2_2024_2106
+MGTSKGKLTQSIQHQREAQGTKIGKCL
+>DECOY_NM_152617|408468_2_2024_2106
+LCKGIKTGQAERQHQISQTLKGKSTGM
+>NM_006330|408480_2_510_730
+MAFLLTELFWEGFLREELYLYILPLPHSRNWQVSLHSVAGFHFGLPFHRVLSVVLIEIFLFSSATGIVTLWFP
+>DECOY_NM_006330|408480_2_510_730
+PFWLTVIGTASSFLFIEILVVSLVRHFPLGFHFGAVSHLSVQWNRSHPLPLIYLYLEERLFGEWFLETLLFAM
+>NM_016131|408521_3_689_771
+MGYSRPGAISHHHNLLLQRRNGYHASI
+>DECOY_NM_016131|408521_3_689_771
+ISAHYGNRRQLLLNHHHSIAGPRSYGM
+>NM_138570|408914_2_1294_1466
+MAPLQQGATCPLSGLKHLPSLWCLEPWLVASLSPTWRPSWASQERPWEASSASSARR
+>DECOY_NM_138570|408914_2_1294_1466
+RRASSASSAEWPREQSAWSPRWTPSLSAVLWPELCWLSPLHKLGSLPCTAGQQLPAM
+>NM_138570|408915_2_1693_1898
+MAGRSRSCRRRERSWSRPRSRGPWMCLDGKMARRHRRRHSSIALGKGLLCLWARPTATSLLFLTTRWW
+>DECOY_NM_138570|408915_2_1693_1898
+WWRTTLFLLSTATPRAWLCLLGKGLAISSHRRRHRRAMKGDLCMWPGRSRPRSWSRERRRCSRSRGAM
+>NM_138570|408917_2_1783_1898
+MARRHRRRHSSIALGKGLLCLWARPTATSLLFLTTRWW
+>DECOY_NM_138570|408917_2_1783_1898
+WWRTTLFLLSTATPRAWLCLLGKGLAISSHRRRHRRAM
+>NM_001145346|409010_2_607_866
+MATQACSHGAGPAHPTRGLCPGQAWLALAAVECLGRPRPCGGKMATQARGSGSHCPRAATLGILSLRSETTAAAIMATPVSRTTVP
+>DECOY_NM_001145346|409010_2_607_866
+PVTTRSVPTAMIAAATTESRLSLIGLTAARPCHSGSGRAQTAMKGGCPRPRGLCEVAALALWAQGPCLGRTPHAPGAGHSCAQTAM
+>NM_001145346|409011_2_736_866
+MATQARGSGSHCPRAATLGILSLRSETTAAAIMATPVSRTTVP
+>DECOY_NM_001145346|409011_2_736_866
+PVTTRSVPTAMIAAATTESRLSLIGLTAARPCHSGSGRAQTAM
+>NM_001145346|409012_2_886_1559
+MATGVATMSTQIIPAKAPTESPSRATEAHAALPLCGGHRHLMEEDAATRSTRATRPMPAVKAARPRPCQSSCQTPTAGTTRPKPIVGAAAVPVTVTAGVTATEKKAATRSTEAARPTPTAGAATVPATVTARATTMEEKAAMRSTEAAHTRPAAGAAPLMPTAGAGPTTPTVGAMTVPAGATAAEEEAVMRSTKAARWMPTVEAARPRPTVGATTIPAGATATE
+>DECOY_NM_001145346|409012_2_886_1559
+ETATAGAPITTAGVTPRPRAAEVTPMWRAAKTSRMVAEEEAATAGAPVTMAGVTPTTPGAGATPMLPAAGAAPRTHAAETSRMAAKEEMTTARATVTAPVTAAGATPTPRAAETSRTAAKKETATVGATVTVPVAAAGVIPKPRTTGATPTQCSSQCPRPRAAKVAPMPRTARTSRTAADEEMLHRHGGCLPLAAHAETARSPSETPAKAPIIQTSMTAVGTAM
+>NM_001145346|409016_2_1291_1559
+MEEKAAMRSTEAAHTRPAAGAAPLMPTAGAGPTTPTVGAMTVPAGATAAEEEAVMRSTKAARWMPTVEAARPRPTVGATTIPAGATATE
+>DECOY_NM_001145346|409016_2_1291_1559
+ETATAGAPITTAGVTPRPRAAEVTPMWRAAKTSRMVAEEEAATAGAPVTMAGVTPTTPGAGATPMLPAAGAAPRTHAAETSRMAAKEEM
+>NM_001145346|409024_2_1681_2960
+MEEKAAMSTEAARMTPTVGAALPTPTVGATTVPARATATEEEAATRSTEAAPSMPTVEAARPMPTAGATTVPAGATATEEEAATRSTEAAPLMPTVEAARLMPTVGATTVLARATATEEEAATRSTEAACSMPTVEAARLMPTVGATTVPARATAMEEAAATRSTEATRLMPTAEAARLTPTAGATTVPARATTMEEEVAHSMPTAVAACLTPTVGAMTVPAGATATEEEAATRSTEAARSMPTAEAAHPMPTAGATTVPAGTTPAEEEAATRRTEVTLSMPTAEATHPTPTVGAVTVPATVTTGATAMEEEATTKSTEAARTTPTAGADRPMPTAGTTTPKPTAGAATVSATAMAGVTITEEEAATRNTKAARPMPTAGAAASTVPTTVMAGATATEEEAATRSTEAPRLTPTVGAATVPSRVTA
+>DECOY_NM_001145346|409024_2_1681_2960
+ATVRSPVTAAGVTPTLRPAETSRTAAEEETATAGAMVTTPVTSAAAGATPMPRAAKTNRTAAEEETITVGAMATASVTAAGATPKPTTTGATPMPRDAGATPTTRAAETSKTTAEEEMATAGTTVTAPVTVAGVTPTPHTAEATPMSLTVETRRTAAEEEAPTTGAPVTTAGATPMPHAAEATPMSRAAETSRTAAEEETATAGAPVTMAGVTPTLCAAVATPMSHAVEEEMTTARAPVTTAGATPTLRAAEATPMLRTAETSRTAAAEEMATARAPVTTAGVTPMLRAAEVTPMSCAAETSRTAAEEETATARALVTTAGVTPMLRAAEVTPMLPAAETSRTAAEEETATAGAPVTTAGATPMPRAAEVTPMSPAAETSRTAAEEETATARAPVTTAGVTPTPLAAGVTPTMRAAETSMAAKEEM
+>NM_001145346|409033_2_2146_2960
+MEEAAATRSTEATRLMPTAEAARLTPTAGATTVPARATTMEEEVAHSMPTAVAACLTPTVGAMTVPAGATATEEEAATRSTEAARSMPTAEAAHPMPTAGATTVPAGTTPAEEEAATRRTEVTLSMPTAEATHPTPTVGAVTVPATVTTGATAMEEEATTKSTEAARTTPTAGADRPMPTAGTTTPKPTAGAATVSATAMAGVTITEEEAATRNTKAARPMPTAGAAASTVPTTVMAGATATEEEAATRSTEAPRLTPTVGAATVPSRVTA
+>DECOY_NM_001145346|409033_2_2146_2960
+ATVRSPVTAAGVTPTLRPAETSRTAAEEETATAGAMVTTPVTSAAAGATPMPRAAKTNRTAAEEETITVGAMATASVTAAGATPKPTTTGATPMPRDAGATPTTRAAETSKTTAEEEMATAGTTVTAPVTVAGVTPTPHTAEATPMSLTVETRRTAAEEEAPTTGAPVTTAGATPMPHAAEATPMSRAAETSRTAAEEETATAGAPVTMAGVTPTLCAAVATPMSHAVEEEMTTARAPVTTAGATPTLRAAEATPMLRTAETSRTAAAEEM
+>NM_001145346|409035_2_2263_2960
+MEEEVAHSMPTAVAACLTPTVGAMTVPAGATATEEEAATRSTEAARSMPTAEAAHPMPTAGATTVPAGTTPAEEEAATRRTEVTLSMPTAEATHPTPTVGAVTVPATVTTGATAMEEEATTKSTEAARTTPTAGADRPMPTAGTTTPKPTAGAATVSATAMAGVTITEEEAATRNTKAARPMPTAGAAASTVPTTVMAGATATEEEAATRSTEAPRLTPTVGAATVPSRVTA
+>DECOY_NM_001145346|409035_2_2263_2960
+ATVRSPVTAAGVTPTLRPAETSRTAAEEETATAGAMVTTPVTSAAAGATPMPRAAKTNRTAAEEETITVGAMATASVTAAGATPKPTTTGATPMPRDAGATPTTRAAETSKTTAEEEMATAGTTVTAPVTVAGVTPTPHTAEATPMSLTVETRRTAAEEEAPTTGAPVTTAGATPMPHAAEATPMSRAAETSRTAAEEETATAGAPVTMAGVTPTLCAAVATPMSHAVEEEM
+>NM_001145346|409041_2_2605_2960
+MEEEATTKSTEAARTTPTAGADRPMPTAGTTTPKPTAGAATVSATAMAGVTITEEEAATRNTKAARPMPTAGAAASTVPTTVMAGATATEEEAATRSTEAPRLTPTVGAATVPSRVTA
+>DECOY_NM_001145346|409041_2_2605_2960
+ATVRSPVTAAGVTPTLRPAETSRTAAEEETATAGAMVTTPVTSAAAGATPMPRAAKTNRTAAEEETITVGAMATASVTAAGATPKPTTTGATPMPRDAGATPTTRAAETSKTTAEEEM
+>NM_001145346|409043_2_2743_2960
+MAGVTITEEEAATRNTKAARPMPTAGAAASTVPTTVMAGATATEEEAATRSTEAPRLTPTVGAATVPSRVTA
+>DECOY_NM_001145346|409043_2_2743_2960
+ATVRSPVTAAGVTPTLRPAETSRTAAEEETATAGAMVTTPVTSAAAGATPMPRAAKTNRTAAEEETITVGAM
+>NM_001145346|409045_2_2851_2960
+MAGATATEEEAATRSTEAPRLTPTVGAATVPSRVTA
+>DECOY_NM_001145346|409045_2_2851_2960
+ATVRSPVTAAGVTPTLRPAETSRTAAEEETATAGAM
+>NM_024083|409068_2_395_531
+MARGCRTLSVQARPSGSFSAIFHRSGSACSTPAGPPQSACTRGMR
+>DECOY_NM_024083|409068_2_395_531
+RMGRTCASQPPGAPTSCASGSRHFIASFSGSPRAQVSLTRCGRAM
+>NM_020448|409120_3_631_743
+MVAGPVPDASGRAGCVRLLRLRAAVTHRAPQRSFCDS
+>DECOY_NM_020448|409120_3_631_743
+SDCFSRQPARHTVAARLRLLRVCGARGSADPVPGAVM
+>NM_207330|409181_3_407_660
+MALVGRIAVNGSRRGCKFCCLCFCTCHLGHPSGCFECSHKCNIIFLLFKRALEHSWENRLHIKYIGVNCDGYPCPTRRGSHIFA
+>DECOY_NM_207330|409181_3_407_660
+AFIHSGRRTPCPYGDCNVGIYKIHLRNEWSHELARKFLLFIINCKHSCEFCGSPHGLHCTCFCLCCFKCGRRSGNVAIRGVLAM
+>NM_207330|409182_3_863_939
+MEASLQTSAGLCFAGCTCAFSNYTD
+>DECOY_NM_207330|409182_3_863_939
+DTYNSFACTCGAFCLGASTQLSAEM
+>NM_182699|409261_3_843_940
+MANYSTRNRSYSSCTNRNRENIVLSNAWVYSS
+>DECOY_NM_182699|409261_3_843_940
+SSYVWANSLVINERNRNTCSSYSRNRTSYNAM
+>NM_174934|409297_3_280_461
+MAGHWAFGPLPAPRNPVAGGVCGKGHRHLRCQWHGDPAALHLLQLLWLRGPPLPVDLQQQ
+>DECOY_NM_174934|409297_3_280_461
+QQQLDVPLPPGRLWLLQLLHLAAPDGHWQCRLHRHGKGCVGGAVPNRPAPLPGFAWHGAM
+>NM_001004470|409311_2_256_455
+MALSLSLTKRKGIQRTTTFRLSQIYRVVHGNGKQKNMQILEPNLLPAVMLFKTLLFLRITLQLGLI
+>DECOY_NM_001004470|409311_2_256_455
+ILGLQLTIRLFLLTKFLMVAPLLNPELIQMNKQKGNGHVVRYIQSLRFTTTRQIGKRKTLSLSLAM
+>NM_024885|409438_2_670_806
+MALRHHLRMSERKGSGKHKKRSLMSKKWKKAALLSTLNLQTWKMK
+>DECOY_NM_024885|409438_2_670_806
+KMKWTQLNLTSLLAAKKWKKSMLSRKKHKGSGKRESMRLHHRLAM
+>NM_001135154|409540_3_1542_1615
+MVPSQLTATSAAWVEAIILSKPPE
+>DECOY_NM_001135154|409540_3_1542_1615
+EPPKSLIIAEVWAASTATLQSPVM
+>NM_033085|409578_2_361_494
+MGMPISRSTLAISKAYVSIMIATQGQMQWRRLAWKSSMYWRWKS
+>DECOY_NM_033085|409578_2_361_494
+SKWRWYMSSKWALRRWQMQGQTAIMISVYAKSIALTSRSIPMGM
+>NM_015179|409680_2_911_1062
+MEYAQSSRAVNSCLKRPLPIILLPFPLPSSASRRLRSLEAPRRPPPRCTC
+>DECOY_NM_015179|409680_2_911_1062
+CTCRPPPRRPAELSRLRRSASSPLPFPLLIIPLPRKLCSNVARSSQAYEM
+>NM_015179|409686_3_3459_3664
+MAERGRWGRAPQLPGSQGGPTSPGHAARARPGQEEGPRLQGERRWPADHKGGGRRQQDGGRGRCQRRR
+>DECOY_NM_015179|409686_3_3459_3664
+RRRQCRGRGGDQQRRGGGKHDAPWRREGQLRPGEEQGPRARAAHGPSTPGGQSGPLQPARGWRGREAM
+>NM_153612|409741_2_426_547
+MVRALSGIGKRCLFPTLSKSQLKRAQHILSQRRFQKGFTK
+>DECOY_NM_153612|409741_2_426_547
+KTFGKQFRRQSLIHQARKLQSKSLTPFLCRKGIGSLARVM
+>NM_018666|409801_2_522_604
+MANLELTKSCQLLHHSLFIWLQLVFHP
+>DECOY_NM_018666|409801_2_522_604
+PHFVLQLWIFLSHHLLQCSKTLELNAM
+>NM_018666|409802_2_663_745
+MANPNLITSCQLVPQGLLIWQQLLFQP
+>DECOY_NM_018666|409802_2_663_745
+PQFLLQQWILLGQPVLQCSTILNPNAM
+>NM_018666|409812_2_1509_1591
+MANENRITSCQMFYPGLLIWQELVFQQ
+>DECOY_NM_018666|409812_2_1509_1591
+QQFVLEQWILLGPYFMQCSTIRNENAM
+>NM_018666|409820_2_1932_2014
+MAKQHPIMSSRLFHQHLLIWQQLVFHP
+>DECOY_NM_018666|409820_2_1932_2014
+PHFVLQQWILLHQHFLRSSMIPHQKAM
+>NM_003447|409861_2_1511_1782
+MDKKYSRKKCHLLDQHLMSSYSQWRPRPILIHQNPSSYGTVIMRVKTVDPCQSWKFLKKLNHRELYLEESQDTYQKHLVSLKTSVSLQAG
+>DECOY_NM_003447|409861_2_1511_1782
+GAQLSVSTKLSVLHKQYTDQSEELYLERHNLKKLFKWSQCPDVTKVRMIVTGYSSPNQHILIPRPRWQSYSSMLHQDLLHCKKRSYKKDM
+>NM_003447|409866_2_2048_2316
+MENLSRAQNLLNMQQFSVEIKLISVMNVGKLSGTAQNLLGIRESTLERDAMNVMNVGKALQRAQILLDIGEFTLGKDPLVAKNVGEHST
+>DECOY_NM_003447|409866_2_2048_2316
+TSHEGVNKAVLPDKGLTFEGIDLLIQARQLAKGVNMVNMADRELTSERIGLLNQATGSLKGVNMVSILKIEVSFQQMNLLNQARSLNEM
+>NM_152578|409883_3_241_398
+MAGISKDAGQQTLWDAHALHLDPAVRVLLPVLLPVLRVLIFCACKWTYPAQQ
+>DECOY_NM_152578|409883_3_241_398
+QQAPYTWKCACFILVRLVPLLVPLLVRVAPDLHLAHADWLTQQGADKSIGAM
+>NM_020361|409957_2_1421_1530
+MEYLMHLLSNYVTLDILDFYSQRCSSNPPVQKLCWL
+>DECOY_NM_020361|409957_2_1421_1530
+LWCLKQVPPNSSCRQSYFDLIDLTVYNSLLHMLYEM
+>NM_018665|410004_2_1032_1183
+MGRNDLSPILPAHLMTPFNVILRLWKTLKRQVFKSQHLFSHRHGPLCCKE
+>DECOY_NM_018665|410004_2_1032_1183
+EKCCLPGHRHSFLHQSKFVQRKLTKWLRLIVNFPTMLHAPLIPSLDNRGM
+>NM_018665|410006_3_1159_1274
+MAHCVARNRSYRSSPDWNRKDIVLFNAWIYSSGPSTQP
+>DECOY_NM_018665|410006_3_1159_1274
+PQTSPGSSYIWANFLVIDKRNWDPSSRYSRNRAVCHAM
+>NM_018665|410008_3_1762_1859
+MESHANFSTEYVIHRQSHCLRFSKSCCGSLIK
+>DECOY_NM_018665|410008_3_1762_1859
+KILSGCCSKSFRLCHSQRHIVYETSFNAHSEM
+>NM_138702|410043_3_434_555
+MEERHRLHPFPSCRQPWKKVVGGESGELARERALVHLYTG
+>DECOY_NM_138702|410043_3_434_555
+GTYLHVLARERALEGSEGGVVKKWPQRCSPFPHLRHREEM
+>NM_012141|410222_2_1353_1453
+MGSQIYQGLLDLSLGIAVTNSYMSDQILKLGFL
+>DECOY_NM_012141|410222_2_1353_1453
+LFGLKLIQDSMYSNTVAIGLSLDLLGQYIQSGM
+>NM_001012759|410256_2_1177_1316
+MALLLATPAPAASSACVPWTSTPLTVPRLLGLRPPRVSPRCSHPSP
+>DECOY_NM_001012759|410256_2_1177_1316
+PSPHSCRPSVRPPRLGLLRPVTLPTSTWPVCASSAAPAPTALLLAM
+>NM_018193|410368_3_2555_2724
+MEIHFNSYFSGRVGKERERKEHLTAVLGGFTENIQCCATVLSAQDSAVSQSSGCHR
+>DECOY_NM_018193|410368_3_2555_2724
+RHCGSSQSVASDQASLVTACCQINETFGGLVATLHEKREREKGVRGSFYSNFHIEM
+>NM_012082|410407_2_315_427
+MDQESWRCFRKMGNEKFRVDSNFQWEQPGGRFLGRWT
+>DECOY_NM_012082|410407_2_315_427
+TWRGLFRGGPQEWQFNSDVRFKENGMKRFCRWSEQDM
+>NM_012082|410408_2_348_427
+MGNEKFRVDSNFQWEQPGGRFLGRWT
+>DECOY_NM_012082|410408_2_348_427
+TWRGLFRGGPQEWQFNSDVRFKENGM
+>NM_012082|410416_2_2976_3103
+MESSQVIIFLVLLSSITLTSSKAEMQKMNLLKARLPQMGVLR
+>DECOY_NM_012082|410416_2_2976_3103
+RLVGMQPLRAKLLNMKQMEAKSSTLTISSLLVLFIIVQSSEM
+>NM_005993|410454_2_917_1023
+MENVKTVCPMLPLSSGASMAADSLRATRPCCGSWG
+>DECOY_NM_005993|410454_2_917_1023
+GWSGCCPRTARLSDAAMSAGSSLPLMPCVTKVNEM
+>NM_005993|410458_2_1379_1458
+MGDVWRWQSWAGEACCCRLDSWMLSP
+>DECOY_NM_005993|410458_2_1379_1458
+PSLMWSDLRCCCAEGAWSQWRWVDGM
+>NM_005993|410459_2_1856_1956
+MGSSESWLRGRCTTWPSRHPSSAPRKSSRGCCP
+>DECOY_NM_005993|410459_2_1856_1956
+PCCGRSSKRPASSPHRSPWTTCRGRLWSESSGM
+>NM_005993|410460_2_1985_2094
+MGRFSPAQKLLTPCTNLQPKRTGPSRTIWTSRQCRA
+>DECOY_NM_005993|410460_2_1985_2094
+ARCQRSTWITRSPGTRKPQLNTCPTLLKQAPSFRGM
+>NM_001134363|410519_2_749_840
+MAKPALARHMALKQMVSLASCHPRPQPRAV
+>DECOY_NM_001134363|410519_2_749_840
+VARPQPRPHCSALSVMQKLAMHRALAPKAM
+>NM_001134363|410521_2_875_969
+MVKLPFPKIFTDPTPKVHMWPADFQLSRLGA
+>DECOY_NM_001134363|410521_2_875_969
+AGLRSLQFDAPWMHVKPTPDTFIKPFPLKVM
+>NM_001134363|410528_2_2069_2373
+MAGTPGSTLPMPGGRKSETRLPGGTTEMTRGTGWTPGHMIANTTPGNWTRLSWTSDQKEGGPTGRSTRDLGLPTCPTLCPATKAVKTATTGKSPKPSGTSI
+>DECOY_NM_001134363|410528_2_2069_2373
+ISTGSPKPSKGTTATKVAKTAPCLTPCTPLGLDRTSRGTPGGEKQDSTWSLRTWNGPTTNAIMHGPTWGTGRTMETTGGPLRTESKRGGPMPLTSGPTGAM
+>NM_001134363|410534_2_2471_2784
+MGWGQRSLGPLRAPRPSRMRKIKPRELIETKKELMIEKKTQWQRMRLEKRNRRAWKKALNQWADRRKKQSSLIRKTQGQRRNKIGRVKVRQRGRAGIPLTWRSW
+>DECOY_NM_001134363|410534_2_2471_2784
+WSRWTLPIGARGRQRVKVRGIKNRRQGQTKRILSSQKKRRDAWQNLAKKWARRNRKELRMRQWQTKKEIMLEKKTEILERPKIKRMRSPRPARLPGLSRQGWGM
+>NM_001134363|410538_2_2969_3063
+MGLQKSASSHPENCPLLPQAVPVTWTWKCLA
+>DECOY_NM_001134363|410538_2_2969_3063
+ALCKWTWTVPVAQPLLPCNEPHSSASKQLGM
+>NM_001134363|410542_2_3278_3366
+MGLVKAAPWRRKPAPPSKLTSKTKLAKKC
+>DECOY_NM_001134363|410542_2_3278_3366
+CKKALKTKSTLKSPPAPKRRWPAAKVLGM
+>NM_015672|410578_2_2340_2449
+MGGCRPRPTGCGRWRLRIAKCAATWAARVKSAMPPA
+>DECOY_NM_015672|410578_2_2340_2449
+APPMASKVRAAWTAACKAIRLRWRGCGTPRPRCGGM
+>NM_015672|410582_2_3105_3352
+MASMRGSLRMAGGGWCPPTSWSRFRTATSQAACLPNPLILAPVNSQRGRMKLWRKTAYYLGKPREWWTEGCARWSGWAPRQK
+>DECOY_NM_015672|410582_2_3105_3352
+KQRPAWGSWRACGETWWERPKGLYYATKRWLKMRGRQSNVPALILPNPLCAAQSTATRFRSWSTPPCWGGGAMRLSGRMSAM
+>NM_015672|410584_2_3132_3352
+MAGGGWCPPTSWSRFRTATSQAACLPNPLILAPVNSQRGRMKLWRKTAYYLGKPREWWTEGCARWSGWAPRQK
+>DECOY_NM_015672|410584_2_3132_3352
+KQRPAWGSWRACGETWWERPKGLYYATKRWLKMRGRQSNVPALILPNPLCAAQSTATRFRSWSTPPCWGGGAM
+>NM_017755|410615_2_126_298
+MAPRVVESAARRAGKEATPRSSRRTSCSSTTTRSSRSCPRASGASSWTLSGSRSRPL
+>DECOY_NM_017755|410615_2_126_298
+LPRSRSGSLTWSSAGSARPCSRSSRTTTSSCSTRRSSRPTAEKGARRAASEVVRPAM
+>NM_017755|410619_2_1173_1348
+MGSGLQTGTLFLTADTPRSDLPCSLRRTQKSCRPCTWSDALGYYPIIRILEGFLWQYW
+>DECOY_NM_017755|410619_2_1173_1348
+WYQWLFGELIRIIPYYGLADSWTCPRCSKQTRRLSCPLDSRPTDATLFLTGTQLGSGM
+>NM_001375|410667_2_513_667
+MGASGWSTVYLTSLHRPPLLHTAGLIAPVPTGRPCSVCLFPSLSSRRWASS
+>DECOY_NM_001375|410667_2_513_667
+SSAWRRSSLSPFLCVSCPRGTPVPAILGATHLLPPRHLSTLYVTSWGSAGM
+>NM_144568|410701_2_871_953
+MEASMQPGHLSSCWLCCVWAGLFIGPV
+>DECOY_NM_144568|410701_2_871_953
+VPGIFLGAWVCCLWCSSLHGPQMSAEM
+>NM_001135556|410799_2_1016_1131
+MGMFRLEPIFLSIVSSMMNIGPSIEWSLVWTGPSSTLS
+>DECOY_NM_001135556|410799_2_1016_1131
+SLTSSPGTWVLSWEISPGINMMSSVISLFIPELRFMGM
+>NM_020389|410861_2_157_272
+MATSRWSGKCWRSPRPLTSTVWTTWGRTLCSWPWATST
+>DECOY_NM_020389|410861_2_157_272
+TSTAWPWSCLTRGWTTWVTSTLPRPSRWCKGSWRSTAM
+>NM_002824|410886_2_494_567
+MERRKMKGKKKMRKKKKRMMKGPR
+>DECOY_NM_002824|410886_2_494_567
+RPGKMMRKKKKRMKKKGKMKRREM
+>NM_080431|410940_2_251_330
+MARGSAKRACLGSLDPGTWSAPSWGT
+>DECOY_NM_080431|410940_2_251_330
+TGWSPASWTGPDLSGLCARKASGRAM
+>NM_001130709|410995_2_214_311
+MEAPASECARRRSSSAPGGPRRPPAHRCPSCC
+>DECOY_NM_001130709|410995_2_214_311
+CCSPCRHAPPRRPGGPASSSRRRACESAPAEM
+>NM_018349|411015_2_1735_1820
+MEINPQIFLEKLPFPCCPLEMDNRIVMY
+>DECOY_NM_018349|411015_2_1735_1820
+YMVIRNDMELPCCPFPLKELFIQPNIEM
+>NM_138718|411100_3_408_505
+MDVYVSIKGLASGRLTCWYKCWPCASSPRPDT
+>DECOY_NM_138718|411100_3_408_505
+TDPRPSSACPWCKYWCTLRGSALGKISVYVDM
+>NM_172037|411118_2_555_640
+MVRKKFCPTVTCRFLPTPVTWGRGRTST
+>DECOY_NM_172037|411118_2_555_640
+TSTRGRGWTVPTPLFRCTVTPCFKKRVM
+>NM_019052|411150_3_917_1095
+MGTGATEASGNHAALAGGPGQPACHRGAAAGAGAEPHTHPRPAGGGADQEGSTFRFPGA
+>DECOY_NM_019052|411150_3_917_1095
+AGPFRFTSGEQDAGGGAPRPHTHPEAGAGAAAGRHCAPQGPGGALAAHNGSAETAGTGM
+>NM_012447|411230_2_780_874
+MASLWTTSSPCSLASQTHKSAPSVTLAPWLL
+>DECOY_NM_012447|411230_2_780_874
+LLWPALTVSPASKHTQSALSCPSSTTWLSAM
+>NM_012447|411241_2_3540_3664
+MAQSWILPRVSPSQAPRGQGSWVHNISRLHTTLQVLAWATS
+>DECOY_NM_012447|411241_2_3540_3664
+STAWALVQLTTHLRSINHVWSGQGRPAQSPSVRPLIWSQAM
+>NM_001105563|411261_3_302_387
+MERTLEMALKTPALCTSFLTSGQEQQGP
+>DECOY_NM_001105563|411261_3_302_387
+PGQQEQGSTLFSTCLAPTKLAMELTREM
+>NM_004193|411359_2_2206_2318
+MGNQDAVIWRKLLTLGLTKSLPGSHPDFPVSCQIHGN
+>DECOY_NM_004193|411359_2_2206_2318
+NGHIQCSVPFDPHSGPLSKTLGLTLLKRWIVADQNGM
+>NM_004193|411360_2_2677_2786
+MAPHLPIAMPAFPWPMLSSCLILTSTTTMFVNRMHP
+>DECOY_NM_004193|411360_2_2677_2786
+PHMRNVFMTTTSTLILCSSLMPWPFAPMAIPLHPAM
+>NM_004193|411364_2_3142_3380
+MASAMCLTISSSLYANSQLSAVSLLRTCPVYLEATLKPILQPRQYSIWPIVMVTSCGRAGRISWRPCCSSSEPNYCPRL
+>DECOY_NM_004193|411364_2_3142_3380
+LRPCYNPESSSCCPRWSIRGARGCSTVMVIPWISYQRPQLIPKLTAELYVPCTRLLSVASLQSNAYLSSSITLCMASAM
+>NM_004193|411366_2_3295_3380
+MVTSCGRAGRISWRPCCSSSEPNYCPRL
+>DECOY_NM_004193|411366_2_3295_3380
+LRPCYNPESSSCCPRWSIRGARGCSTVM
+>NM_015692|411457_2_2874_2956
+MGGPANTLRRITPTGGSPSGWITSGAV
+>DECOY_NM_015692|411457_2_2874_2956
+VAGSTIWGSPSGGTPTIRRLTNAPGGM
+>NM_015692|411461_2_3324_3565
+MVQSHPMSLSLWPGPSRGHQRSSSLAFPPAGAPWVNSESGGRWRWTRATARPSPWGSHTAPSLGLSEPPPPSSGTSWGQP
+>DECOY_NM_015692|411461_2_3324_3565
+PQGWSTGSSPPPPESLGLSPATHSGWPSPRATARTWRWRGGSESNVWPAGAPPFALSSSRQHGRSPGPWLSLSMPHSQVM
+>NM_206996|411535_2_4614_4786
+MEQLLLQSHREHTRCYLQTQALFILTRIVQLCTAMSQAVIYTILFKSVSSCELAGTS
+>DECOY_NM_206996|411535_2_4614_4786
+STGALECSSVSKFLITYIVAQSMATCLQVIRTLIFLAQTQLYCRTHERHSQLLLQEM
+>NM_206996|411543_3_118_200
+MGTLAHSCTVQSERLAGLHCFCGWEPD
+>DECOY_NM_206996|411543_3_118_200
+DPEWGCFCHLGALRESQVTCSHALTGM
+>NM_206996|411544_3_2884_2957
+MERRATSISRRGALKGRKESREEG
+>DECOY_NM_206996|411544_3_2884_2957
+GEERSEKRGKLAGRRSISTARREM
+>NM_012429|411576_2_1221_1354
+MGPSPAVILASMSCGLTTPTASFMPRRSISLWRSCFQTKPQKRR
+>DECOY_NM_012429|411576_2_1221_1354
+RRKQPKTQFCSRWLSISRRPMFSATPTTLGCSMSALIVAPSPGM
+>NM_004599|411605_2_392_618
+MAGAAAAELWTLQCNGHSPRSHYLPSLPRRPPHRLQLCKSRFLPPQFPPHPGQLLFFSPAPSPSLNLKLSCNNRR
+>DECOY_NM_004599|411605_2_392_618
+RRNNCSLKLNLSPSPAPSFFLLQGPHPPFQPPLFRSKCLQLRHPPRRPLSPLYHSRPSHGNCQLTWLEAAAAGAM
+>NM_152522|411638_2_978_1105
+MVSFPRPRAAEPSLGGGRSRSSLFSARCSSPFFSPSSSPSPT
+>DECOY_NM_152522|411638_2_978_1105
+TPSPSSSPSFFPSSCRASFLSSRSRGGGLSPEAARPRPFSVM
+>NM_172170|411668_2_1331_1488
+MGTLRPTRRFVIQASLPLSLRPLVTSWRGWISISFTLRISCPRTASLSIPPS
+>DECOY_NM_172170|411668_2_1331_1488
+SPPISLSATRPCSIRLTFSISIWGRWSTVLPRLSLPLSAQIVFRRTPRLTGM
+>NM_001130059|411704_2_1366_1445
+MASVFALQLKLWPPRSSLRWPAKGQN
+>DECOY_NM_001130059|411704_2_1366_1445
+NQGKAPWRLSSRPPWLKLQLAFVSAM
+>NM_182739|411752_3_186_268
+MAEGPGAEPSGAGAAPTEDGAYGEILE
+>DECOY_NM_182739|411752_3_186_268
+ELIEGYAGDETPAAGAGSPEAGPGEAM
+>NM_018386|411841_2_142_278
+MEHLVQSWCLLNILMLQTHDFKWPLQRRSVNKSWNPLMMKCLQLI
+>DECOY_NM_018386|411841_2_142_278
+ILQLCKMMLPNWSKNVSRRQLPWKFDHTQLMLINLLCWSQVLHEM
+>NM_024165|411952_2_1248_1342
+MEHSPASLQGRALGEGSHVPWGSAGGRSQSP
+>DECOY_NM_024165|411952_2_1248_1342
+PSQSRGGASGWPVHSGEGLARGQLSAPSHEM
+>NM_002905|412023_3_548_741
+MADPGRFPAGAECEHNGSHRGHPCPAASAAASPGPGDQHHQRPGSPGSQWWGLLCLQIWPGGLL
+>DECOY_NM_002905|412023_3_548_741
+LLGGPWIQLCLLGWWQSGPSGPRQHHQDGPGPSAAASAAPCPHGRHSGNHECEAGAPFRGPDAM
+>NM_015564|412103_3_1167_1246
+MEQNQQLDMWDGVDLGHFRKARPDWK
+>DECOY_NM_015564|412103_3_1167_1246
+KWDPRAKRFHGLDVGDWMDLQQNQEM
+>NM_001003699|412142_2_487_632
+MAGAPRGSSPPRSLQDQIGLAEGTRKRKRRSLPITAPCVRRFALPSTS
+>DECOY_NM_001003699|412142_2_487_632
+STSPLAFRRVCPATIPLSRRKRKRTGEALGIQDQLSRPPSSGRPAGAM
+>NM_001003699|412152_2_4570_4778
+MALRRALGTPTARKRTRRATRAWTWTSPPSSWTSSWRRATARQAPGARPRRSRSSPATPVGRASSSWAP
+>DECOY_NM_001003699|412152_2_4570_4778
+PAWSSSARGVPTAPSSRSRRPRAGPAQRATARRWSSTWSSPPSTWTWARTARRTRKRATPTGLARRLAM
+>NM_001003699|412153_2_4831_5135
+MAPALQRRGPSPPLNRRRSPPRPRQRWWSRPRVPGRPRRKSSRRRRRAPPTGRARPRKGPQRRATMTRNQRQTPPKAWPARQTRGRRSAACATSGSGRCRT
+>DECOY_NM_001003699|412153_2_4831_5135
+TRCRGSGSTACAASRRGRTQRAPWAKPPTQRQNRTMTARRQPGKRPRARGTPPARRRRRSSKRRPRGPVRPRSWWRQRPRPPSRRRNLPPSPGRRQLAPAM
+>NM_016580|412295_2_1866_2002
+MGTPPSQVPAWSRSTSWTPMTIALRLLRVHWHWKSKKMLHLVRFS
+>DECOY_NM_016580|412295_2_1866_2002
+SFRVLHLMKKSKWHWHVRLLRLAITMPTWSTSRSWAPVQSPPTGM
+>NM_017433|412512_2_487_566
+MAKKQQSKFLIQFTILTKRLKQNITS
+>DECOY_NM_017433|412512_2_487_566
+STINQKLRKTLITFQILFKSQQKKAM
+>NM_022895|412564_2_381_601
+MVSAFSSHLSLEAVRRKSLPNPAESDSPPAPVRTVTRSGGGAGRQLCRRPTSYRSQPSTALELWRRRQRRKGS
+>DECOY_NM_022895|412564_2_381_601
+SGKRRQRRRWLELATSPQSRYSTPRRCLQRGAGGGSRTVTRVPAPPSDSEAPNPLSKRRVAELSLHSSFASVM
+>NM_017431|412583_3_195_271
+MDKAEVGGGRGATRSGGRSPVQASC
+>DECOY_NM_017431|412583_3_195_271
+CSAQVPSRGGSRTAGRGGGVEAKDM
+>NM_018103|412732_3_477_733
+MVGCVYGLPSCCYVNGSHLCRNHATYQRSGGLFASIAISCKFKGTYTTRKCRGHHQHPKDGSSHQPRPRWADNKRHFLWDICCDT
+>DECOY_NM_018103|412732_3_477_733
+TDCCIDWLFHRKNDAWRPRPQHSSGDKPHQHHGRCKRTTYTGKFKCSIAISAFLGGSRQYTAHNRCLHSGNVYCCSPLGYVCGVM
+>NM_005470|412776_2_645_808
+MEITSLQELAHCREQILLLRNRQVLPCQAGEHWDGILLIKPWNLLNPQQFLMTI
+>DECOY_NM_005470|412776_2_645_808
+ITMLFQQPNLLNWPKILLIGDWHEGAQCPLVQRNRLLLIQERCHALEQLSTIEM
+>NM_001080412|412834_2_1703_1851
+MAVHLVTQGKKIVKPLQRNRKHAGSQRHSPYHRIRIQPQKIYHPLQYPT
+>DECOY_NM_001080412|412834_2_1703_1851
+TPYQLPHYIKQPQIRIRHYPSHRQSGAHKRNRQLPKVIKKGQTVLHVAM
+>NM_001080412|412839_2_2234_2391
+MEVHSQVQNLYYLKIGLVNFPVPEVLCQTRTTWLNLLMGKCSTVALCANVVM
+>DECOY_NM_001080412|412839_2_2234_2391
+MVVNACLAVTSCKGMLLNLWTTRTQCLVEPVPFNVLGIKLYYLNQVQSHVEM
+>NM_001080412|412844_2_3944_4068
+METKQWGLETKEGPTDILLLGHMPASSAPSSSRALPHSKCT
+>DECOY_NM_001080412|412844_2_3944_4068
+TCKSHPLARSSSPASSAPMHGLLLIDTPGEKTELGWQKTEM
+>NM_001080412|412847_2_4343_4455
+MGRAMPASSAPKFAKQLLPLECTKRNTYSKAQVSRRK
+>DECOY_NM_001080412|412847_2_4343_4455
+KRRSVQAKSYTNRKTCELPLLQKAFKPASSAPMARGM
+>NM_003896|412955_3_272_396
+MVHPSSKQDEKAQLVIKRHPQMYIACVWSVDPLYPQVKLYY
+>DECOY_NM_003896|412955_3_272_396
+YYLKVQPYLPDVSWVCAIYMQPHRKIVLQAKEDQKSSPHVM
+>NM_001211|412983_3_2374_2465
+MVFTVSQTATEVPTRVKCLCRVVYRRQTNA
+>DECOY_NM_001211|412983_3_2374_2465
+ANTQRRYVVRCLCKVRTPVETATQSVTFVM
+>NM_006065|413103_2_655_746
+MGMSSQTSRPTWTPQETVCPTASTAQPGWC
+>DECOY_NM_006065|413103_2_655_746
+CWGPQATSATPCVTEQPTWTPRSTQSSMGM
+>NM_006065|413107_2_1102_1217
+MGSKQSAKAMPWRSQRTRRSTAQISPMKQRWLLLLHSS
+>DECOY_NM_006065|413107_2_1102_1217
+SSHLLLLWRQKMPSIQATSRRTRQSRWPMAKASQKSGM
+>NM_001343|413131_2_1666_1766
+MGYLKENRTASLSNPPRTLLWEALPKDCPYRMA
+>DECOY_NM_001343|413131_2_1666_1766
+AMRYPCDKPLAEWLLTRPPNSLSATRNEKLYGM
+>NM_001343|413137_3_2069_2151
+MEHSIFGLQSVPFNGSGSHDGWSTFRF
+>DECOY_NM_001343|413137_3_2069_2151
+FRFTSWGDHSGSGNFPVSQLGFISHEM
+>NM_015432|413376_2_2554_2795
+MGMSPQTLRAMPPTGDLLCAVSGMPGKRRNLLPRCTLRTQVLQDHQPGPPRMRSYRAAPCPGNSSYPQLQMSRGMPRGAQ
+>DECOY_NM_015432|413376_2_2554_2795
+QAGRPMGRSMQLQPYSSNGPCPAARYSRMRPPGPQHDQLVQTRLTCRPLLNRRKGPMGSVACLLDGTPPMARLTQPSMGM
+>NM_015432|413388_2_5413_5744
+MGPQGLTHLPTSAPSRWQTLVSLSAVGTATCASRSGSAAARPGTPLCCRPPAWLSSRPGQLTSPTCFGGRPSTTRRCAWLRWCPWVWGTRPSETLLPARKPSTTAPSTMS
+>DECOY_NM_015432|413388_2_5413_5744
+SMTSPATTSPKRAPLLTESPRTGWVWPCWRLWACRRTTSPRGGFCTPSTLQGPRSSLWAPPRCCLPTGPRAAASGSRSACTATGVASLSVLTQWRSPASTPLHTLGQPGM
+>NM_015432|413390_3_3698_3807
+MAGMATMPGGPGADMAEARGPRGDPEPRLQDGNGQG
+>DECOY_NM_015432|413390_3_3698_3807
+GQGNGDQLRPEPDGRPGRAEAMDAGPGGPMTAMGAM
+>NM_015432|413391_3_3707_3807
+MATMPGGPGADMAEARGPRGDPEPRLQDGNGQG
+>DECOY_NM_015432|413391_3_3707_3807
+GQGNGDQLRPEPDGRPGRAEAMDAGPGGPMTAM
+>NM_004506|413432_2_281_372
+MAKVFWSWMSNDLQKKFFPNISSTIIWQAL
+>DECOY_NM_004506|413432_2_281_372
+LAQWIITSSINPFFKKQLDNSMWSWFVKAM
+>NM_001012752|413458_2_618_793
+MVSSMEITSLQELAHCREQILLLRNRQVLPCQAGEHWDGILLIKPWNLLNPQQFLMTI
+>DECOY_NM_001012752|413458_2_618_793
+ITMLFQQPNLLNWPKILLIGDWHEGAQCPLVQRNRLLLIQERCHALEQLSTIEMSSVM
+>NM_015082|413637_2_2136_2281
+MAACPRPWHTPTWAATSSSSADRTAPPLLPDSCSLTVSQTLCLAPMVM
+>DECOY_NM_015082|413637_2_2136_2281
+MVMPALCLTQSVTLSCSDPLLPPATRDASSSSTAAWTPTHWPRPCAAM
+>NM_015082|413643_3_283_359
+MDGPRNQQRPGCGCGGVTGRGAQKL
+>DECOY_NM_015082|413643_3_283_359
+LKQAGRGTVGGCGCGPRQQNRPGDM
+>NM_018009|413665_2_452_597
+MAPWRTSPISKGAHWPKMTHLLSLRPQWTWSRFPRPRPCSMLTAVGRR
+>DECOY_NM_018009|413665_2_452_597
+RRGVATLMSCPRPRPFRSWTWQPRLSLLHTMKPWHAGKSIPSTRWPAM
+>NM_001531|413816_2_750_907
+METFFPVGMEPIRRGHQLSLILRAATFTPVMWSTAVSTWFFRSPRNQKLSLL
+>DECOY_NM_001531|413816_2_750_907
+LLSLKQNRPSRFFWTSVATSWMVPTFTAARLILSLQHGRRIPEMGVPFFTEM
+>NM_001531|413817_2_774_907
+MEPIRRGHQLSLILRAATFTPVMWSTAVSTWFFRSPRNQKLSLL
+>DECOY_NM_001531|413817_2_774_907
+LLSLKQNRPSRFFWTSVATSWMVPTFTAARLILSLQHGRRIPEM
+>NM_004179|413916_2_469_662
+METPFQRLNSLKRRLRPGEPYSKSSTNSTQPMLAESISKTYLCFLNIVDIGRIISHNWKMSPTF
+>DECOY_NM_004179|413916_2_469_662
+FTPSMKWNHSIIRGIDVINLFCLYTKSISEALMPQTSNTSSKSYPEGPRLRRKLSNLRQFPTEM
+>NM_182751|413990_2_207_352
+MASPTHLMSSLMPTATVNLIQKRLMMEKQERQETKRKIWPLSLEIWRT
+>DECOY_NM_182751|413990_2_207_352
+TRWIELSLPWIKRKTEQREQKEMMLRKQILNVTATPMLSSMLHTPSAM
+>NM_182751|413997_2_1470_1561
+MAFTTEGFLLPRMQLQLQQLWLLRRRFKPL
+>DECOY_NM_182751|413997_2_1470_1561
+LPKFRRRLLWLQQLQLQMRPLLFGETTFAM
+>NM_002636|414037_2_1086_1405
+MEHSPGQGPGGGVSRPLGKRRRPEPEPLRRRQKGKVEELGPPSAVRNQPEPQEQRERAHLQRALQQPHPDVCFLPPFCQHRRDLWGQWTPRQVTPGTSHWFPHRHP
+>DECOY_NM_002636|414037_2_1086_1405
+PHRHPFWHSTGPTVQRPTWQGWLDRRHQCFPPLFCVDPHPQQLARQLHARERQEQPEPQNRVASPPGLEEVKGKQRRRLPEPEPRRRKGLPRSVGGGPGQGPSHEM
+>NM_030955|414188_2_239_342
+MGDSLSQARFASRTGGKSILSRACQNTTWWVQSE
+>DECOY_NM_030955|414188_2_239_342
+ESQVWWTTNQCARSLISKGGTRSAFRAQSLSDGM
+>NM_030955|414193_2_1370_1452
+MGKKMTVSLWADIRTSCPASSSTIPLR
+>DECOY_NM_030955|414193_2_1370_1452
+RLPITSSSAPCSTRIDAWLSVTMKKGM
+>NM_030955|414207_3_828_940
+MAGEVGEAQLAKQKPLSAFHQQGEMGGDTGGGRHKDD
+>DECOY_NM_030955|414207_3_828_940
+DDKHRGGGTDGGMEGQQHFASLPKQKALQAEGVEGAM
+>NM_176822|414527_2_777_901
+MELQRKIENCWNTCSMWMSKPVHSHRSWCFRELLELGKQPW
+>DECOY_NM_176822|414527_2_777_901
+WPQKGLELLERFCWSRHSHVPKSMWMSCTNWCNEIKRQLEM
+>NM_018217|414734_2_158_327
+MVRQVPTAPRQIPPTTGSESRPCSTTPTTATWRMPFPSMSCDLSPVTGTTPGAVFL
+>DECOY_NM_018217|414734_2_158_327
+LFVAGPTTGTVPSLDCSMSPFPMRWTATTPTTSCPRSESGTTPPIQRPATPVQRVM
+>NM_003866|414769_2_1166_1248
+MGKPTTSPQMYRDKSVPWYVNVQPRKV
+>DECOY_NM_003866|414769_2_1166_1248
+VKRPQVNVYWPVSKDRYMQPSTTPKGM
+>NM_003866|414772_2_1727_1866
+MVVFGSYSIDLKQKEEIPDTSLFTIHLKTQPKQRKFSATSINYNLL
+>DECOY_NM_003866|414772_2_1727_1866
+LLNYNISTASFKRQKPQTKLHITFLSTDPIEEKQKLDISYSGFVVM
+>NM_180991|414819_2_1839_1948
+MESNIFLPALQAVQTQLHTGSQRYITTVPVLKGKQK
+>DECOY_NM_180991|414819_2_1839_1948
+KQKGKLVPVTTIYRQSGTHLQTQVAQLAPLFINSEM
+>NM_180991|414828_3_805_884
+MACICSLYDWTGSTCILIATIFQWRI
+>DECOY_NM_180991|414828_3_805_884
+IRWQFITAILICTSGTWDYLSCICAM
+>NM_180991|414829_3_1213_1355
+MVGSLVDWVSSIMDLCLVFNNTFFLLSKTFTRYSRNSSWKNFPGSSE
+>DECOY_NM_180991|414829_3_1213_1355
+ESSGPFNKWSSNRSYRTFTKSLLFFTNNFVLCLDMISSVWDVLSGVM
+>NM_180991|414830_3_1249_1355
+MDLCLVFNNTFFLLSKTFTRYSRNSSWKNFPGSSE
+>DECOY_NM_180991|414830_3_1249_1355
+ESSGPFNKWSSNRSYRTFTKSLLFFTNNFVLCLDM
+>NM_004411|414864_3_522_598
+MGYLLFSLFSPNPYVSLLEISEHSQ
+>DECOY_NM_004411|414864_3_522_598
+QSHESIELLSVYPNPSFLSFLLYGM
+>NM_006615|414897_2_1053_1165
+MGNSGWHLRTSRPTLIKWRSATSLPMPWRKTRSTNGR
+>DECOY_NM_006615|414897_2_1053_1165
+RGNTSRTKRWPMPLSTASRWKILTPRSTRLHWGSNGM
+>NM_002380|415130_2_532_635
+MAALSRMSSPSRPSRGSPRWSVLSRGCGICPRAP
+>DECOY_NM_002380|415130_2_532_635
+PARPCIGCGRSLVSWRPSGRSPRSPSSMRSLAAM
+>NM_002380|415135_2_1708_1853
+MVVNTPVSTWTDPLPVSVLRDTCSAAMGRRVQNWTLVLWGTTVVNIRV
+>DECOY_NM_002380|415135_2_1708_1853
+VRINVVTTGWLVLTWNQVRRGMAASCTDRLVSVPLPDTWTSVPTNVVM
+>NM_002380|415137_2_2032_2147
+MGNAAEGRMSANQPTMAANTFVLIMGIPTSANAQRDLF
+>DECOY_NM_002380|415137_2_2032_2147
+FLDRQANASTPIGMILVFTNAAMTPQNASMRGEAANGM
+>NM_001141|415170_2_1542_1678
+MGCRSGVQWNALSLKSSVSTTQVMSLSKMTESSRPGSERSSPRAS
+>DECOY_NM_001141|415170_2_1542_1678
+SARPSSRESGPRSSETMKSLSMVQTTSVSSKLSLANWQVGSRCGM
+>NM_181725|415186_2_277_353
+MGFSRIDIGFLPNSLSWHLAKIKII
+>DECOY_NM_181725|415186_2_277_353
+IIKIKALHWSLSNPLFGIDIRSFGM
+>NM_181725|415190_2_970_1073
+MEPEFTSSHKRNWTRFSPLLDWKKFRTWWIADCR
+>DECOY_NM_181725|415190_2_970_1073
+RCDAIWWTRFKKWDLLPSFRTWNRKHSSTFEPEM
+>NM_001039131|415478_2_1455_1528
+MGCRSGVYPPHWRPGKPWCSMSPW
+>DECOY_NM_001039131|415478_2_1455_1528
+WPSMSCWPKGPRWHPPYVGSRCGM
+>NM_014770|415587_2_1191_1357
+MAFYSTTPVLTITSTVPTARRWTCCEQQSKSRASGPRGPSLPLAPQPALTGSSRT
+>DECOY_NM_014770|415587_2_1191_1357
+TRSSGTLAPQPALPLSPGRPGSARSKSQQECCTWRRATPVTSTITLVPTTSYFAM
+>NM_003970|415621_2_763_875
+MAYTHWRSTGQTLTTLRHTQQWPPMPTDKCPPTRRWW
+>DECOY_NM_003970|415621_2_763_875
+WWRRTPPCKDTPMPPWQQTHRLTTLTQGTSRWHTYAM
+>NM_014758|415659_2_1086_1195
+MVQLSLPTSGRLTAGRLPHILLCTAPVLKSPIRVAL
+>DECOY_NM_014758|415659_2_1086_1195
+LAVRIPSKLVPATCLLIHPLRGATLRGSTPLSLQVM
+>NM_014758|415661_2_1791_1870
+MVRHLKEQRLRRVQGQKQRQACRSPH
+>DECOY_NM_014758|415661_2_1791_1870
+HPSRCAQRQKQGQVRRLRQEKLHRVM
+>NM_014758|415669_3_736_824
+MAGLQPRWSGFRSTASGTLHPVGHLSSMP
+>DECOY_NM_014758|415669_3_736_824
+PMSSLHGVPHLTGSATSRFGSWRPQLGAM
+>NM_014758|415670_3_3058_3143
+MAMYRKHAKVSSSYLWDPSSKVARGAGS
+>DECOY_NM_014758|415670_3_3058_3143
+SGAGRAVKSSPDWLYSSSVKAHKRYMAM
+>NM_024085|415861_2_303_427
+MASHVCSSGRSLSSCSSSLWLPSLPSWSAAWTMTSYLPTRW
+>DECOY_NM_024085|415861_2_303_427
+WRTPLYSTMTWAASWSPLSPLWLSSSCSSLSRGSSCVHSAM
+>NM_024085|415862_2_519_742
+MAPLSPSWSLLVSSGSTGLSSSSITFAATGRSTPSTCTLCASLCLPFRIARGKKCRPGSCRRRRSTRSASTNVS
+>DECOY_NM_024085|415862_2_519_742
+SVNTSASRTSRRRRCSGPRCKKGRAIRFPLCLSACLTCTSPTSRGTAAFTISSSSLGTSGSSVLLSWSPSLPAM
+>NM_024085|415864_2_1269_1357
+MEPSSLAPSWLCLLPSPFMTKMCWLWNMC
+>DECOY_NM_024085|415864_2_1269_1357
+CMNWLWCMKTMFPSPLLCLWSPALSSPEM
+>NM_024085|415867_2_1719_1864
+MVIPSGYLLGRQRPQCTSKLRMERQSCHSCTLPSPTLAGSHHVRAQPS
+>DECOY_NM_024085|415867_2_1719_1864
+SPQARVHHSGALTPSPLTCSHCSQREMRLKSTCQPRQRGLLYGSPIVM
+>NM_024085|415868_2_1782_1864
+MERQSCHSCTLPSPTLAGSHHVRAQPS
+>DECOY_NM_024085|415868_2_1782_1864
+SPQARVHHSGALTPSPLTCSHCSQREM
+>NM_024085|415869_2_1893_1987
+MEQLLASPKGVCSLKMPSLRLSSPYNLSLSP
+>DECOY_NM_024085|415869_2_1893_1987
+PSLSLNYPSSLRLSPMKLSCVGKPSALLQEM
+>NM_001849|415985_2_1394_1713
+MAPRGRRGTLALRGPAAWLERLATKEPRETEACLDPEAPRELLGSPESRDLGETPVMQDPVETQDSQAPRETPAGLDSATQDPEEHPEKKASPAHAAPREAEATLA
+>DECOY_NM_001849|415985_2_1394_1713
+ALTAEAERPAAHAPSAKKEPHEEPDQTASDLGAPTERPAQSDQTEVPDQMVPTEGLDRSEPSGLLERPAEPDLCAETERPEKTALRELWAAPGRLALTGRRGRPAM
+>NM_182502|416077_3_177_259
+MDYDLYFSWSGGNLGSNHWSSCSFSGS
+>DECOY_NM_182502|416077_3_177_259
+SGSFSCSSWHNSGLNGGSWSFYLDYDM
+>NM_182502|416078_3_720_820
+MAMAGQHAMERPSLLWSLSDQQQVAIICSSLLC
+>DECOY_NM_182502|416078_3_720_820
+CLLSSCIIAVQQQDSLSWLLSPREMAHQGAMAM
+>NM_182502|416079_3_726_820
+MAGQHAMERPSLLWSLSDQQQVAIICSSLLC
+>DECOY_NM_182502|416079_3_726_820
+CLLSSCIIAVQQQDSLSWLLSPREMAHQGAM
+>NM_182502|416080_3_744_820
+MERPSLLWSLSDQQQVAIICSSLLC
+>DECOY_NM_182502|416080_3_744_820
+CLLSSCIIAVQQQDSLSWLLSPREM
+>NM_005199|416100_2_577_947
+MARPSSGFSLTLRPSQRMGSGPSSTDQPRCSWTQRRQPRKQATRRWCSTCSSSASPSSTSSTSSPPVCSSPLSPSSSTSFLPRLGARSVPSPSTCSWPRLSSSSLWPRRCLKPPRRCHSSAST
+>DECOY_NM_005199|416100_2_577_947
+TSASSHCRRPPKLCRRPWLSSSSLRPWSCTSPSPVSRAGLRPLFSTSSSPSLPSSCVPPSSTSSTSSPSASSSCTSCWRRTAQKRPQRRQTWSCRPQDTSSPGSGMRQSPRLTLSFGSSPRAM
+>NM_005199|416101_2_628_947
+MGSGPSSTDQPRCSWTQRRQPRKQATRRWCSTCSSSASPSSTSSTSSPPVCSSPLSPSSSTSFLPRLGARSVPSPSTCSWPRLSSSSLWPRRCLKPPRRCHSSAST
+>DECOY_NM_005199|416101_2_628_947
+TSASSHCRRPPKLCRRPWLSSSSLRPWSCTSPSPVSRAGLRPLFSTSSSPSLPSSCVPPSSTSSTSSPSASSSCTSCWRRTAQKRPQRRQTWSCRPQDTSSPGSGM
+>NM_005199|416103_2_1147_1268
+MAPRDGRSQLGRRWPSACLAVNSSSSSGSGKGWWRQRWRS
+>DECOY_NM_005199|416103_2_1147_1268
+SRWRQRWWGKGSGSSSSSNVALCASPWRRGLQSRGDRPAM
+>NM_001143981|416231_2_557_669
+MGMCFAAESDVQMFIAFLLCIFLICAALAAQKTPYPQ
+>DECOY_NM_001143981|416231_2_557_669
+QPYPTKQAALAACILFICLLFAIFMQVDSEAAFCMGM
+>NM_001143981|416234_2_893_1293
+MENCHGNILMVISSGNLPTEKQDILTTALTMILHQADRLEVCPAFLGPEVTGELLWIPSKHQEPLCKLSSITNTSMDKCVFPMERPILMASPGTQTSGHLALWSVCYVLVMSPSKSVRKSTAPIDTPASILKK
+>DECOY_NM_001143981|416234_2_893_1293
+KKLISAPTDIPATSKRVSKSPSMVLVYCVSWLALHGSTQTGPSAMLIPREMPFVCKDMSTNTISSLKCLPEQHKSPIWLLEGTVEPGLFAPCVELRDAQHLIMTLATTLIDQKETPLNGSSIVMLINGHCNEM
+>NM_001143981|416237_2_1118_1293
+MDKCVFPMERPILMASPGTQTSGHLALWSVCYVLVMSPSKSVRKSTAPIDTPASILKK
+>DECOY_NM_001143981|416237_2_1118_1293
+KKLISAPTDIPATSKRVSKSPSMVLVYCVSWLALHGSTQTGPSAMLIPREMPFVCKDM
+>NM_001143981|416244_3_495_676
+MASLPGTLWVGLLRELHLLREWECALQPSQMSKCSLPFSCAYSSSVLPSLPRRLLTPSEQ
+>DECOY_NM_001143981|416244_3_495_676
+QESPTLLRRPLSPLVSSSYACSFPLSCKSMQSPQLACEWERLLHLERLLGVWLTGPLSAM
+>NM_016441|416268_2_985_1160
+MGHLESAVMSLNVLMIQSQPAYLTMWNIMMETCFEWTTVGSVDAKGALPSASLPSVVR
+>DECOY_NM_016441|416268_2_985_1160
+RVVSPLSASPLAGKADVSGVTTWEFCTEMMINWMTLYAPQSQIMLVNLSMVASELHGM
+>NM_016441|416273_2_1072_1160
+METCFEWTTVGSVDAKGALPSASLPSVVR
+>DECOY_NM_016441|416273_2_1072_1160
+RVVSPLSASPLAGKADVSGVTTWEFCTEM
+>NM_032667|416311_2_1146_1222
+MVRALKIPQGQRVSCPRRRNQISSP
+>DECOY_NM_032667|416311_2_1146_1222
+PSSIQNRRRPCSVRQGQPIKLARVM
+>NM_001143982|416330_2_557_666
+MGMCFAAESDVQMFIAFLLCIFLICAALAAQTPYPQ
+>DECOY_NM_001143982|416330_2_557_666
+QPYPTQAALAACILFICLLFAIFMQVDSEAAFCMGM
+>NM_001143982|416343_3_495_673
+MASLPGTLWVGLLRELHLLREWECALQPSQMSKCSLPFSCAYSSSVLPSLPRLLTPSEQ
+>DECOY_NM_001143982|416343_3_495_673
+QESPTLLRPLSPLVSSSYACSFPLSCKSMQSPQLACEWERLLHLERLLGVWLTGPLSAM
+>NM_017956|416356_2_1238_1395
+MELPGILGEKCCHQPPSQSGKGGQNLQKLESPLFFSRCMGNHGRHKFCTSNQ
+>DECOY_NM_017956|416356_2_1238_1395
+QNSTCFKHRGHNGMCRSFFLPSELKQLNQGGKGSQSPPQHCCKEGLIGPLEM
+>NM_006559|416423_2_1211_1374
+MDMMIHTQNKVTKATKAITARVKGTQNIMTMDMGRFKILMKLMARTTGMGPGRR
+>DECOY_NM_006559|416423_2_1211_1374
+RRGPGMGTTRAMLKMLIKFRGMDMTMINQTGKVRATIAKTAKTVKNQTHIMMDM
+>NM_006559|416427_2_1301_1374
+MDMGRFKILMKLMARTTGMGPGRR
+>DECOY_NM_006559|416427_2_1301_1374
+RRGPGMGTTRAMLKMLIKFRGMDM
+>NM_012454|416485_2_548_726
+MASTLLATSWQITTSPPETATDTFSTATGGMRALPPPHRAKTARAPECSSKRWGSWMGV
+>DECOY_NM_012454|416485_2_548_726
+VGMWSGWRKSSCEPARATKARHPPPLARMGGTATSFTDTATEPPSTTIQWSTALLTSAM
+>NM_001039715|416508_2_360_532
+MAGLLRTTWTSEITRTFRIACRQPIYCWPGAPSALWWWTPCRTRAASSTQHCLRGST
+>DECOY_NM_001039715|416508_2_360_532
+TSGRLCHQTSSAARTRCPTWWWLASPAGPWCYIPQRCAIRFTRTIESTWTTRLLGAM
+>NM_015062|416572_2_3172_3275
+MELLRQSLPRWRSSQCLHLPIRNTRCLPWCKVPR
+>DECOY_NM_015062|416572_2_3172_3275
+RPVKCWPLCRTNRIPLHLCQSSRWRPLSQRLLEM
+>NM_015062|416579_3_2987_3081
+MGPRASTCSILVYCSPTSFASSLHWESCSPT
+>DECOY_NM_015062|416579_3_2987_3081
+TPSCSEWHLSSAFSTPSCYVLISCTSARPGM
+>NM_001127641|416616_2_1073_1302
+MGTQRHVTLTPLCLPPARGHMEVCVTIAGTTPKARTVSGVSCTISGTGARELPFRRPASPASVIRMGQCQGLPVTQ
+>DECOY_NM_001127641|416616_2_1073_1302
+QTVPLGQCQGMRIVSAPSAPRRFPLERAGTGSITCSVGSVTRAKPTTGAITVCVEMHGRAPPLCLPTLTVHRQTGM
+>NM_006928|416700_2_1469_1566
+MVPFPSPWTLSRVLKVPRSCRLCRPVRGMHLS
+>DECOY_NM_006928|416700_2_1469_1566
+SLHMGRVPRCLRCSRPVKLVRSLTWPSPFPVM
+>NM_004145|416741_2_459_556
+MATTSCCRSATQMEPSSTCICSWWRRPQPPGA
+>DECOY_NM_004145|416741_2_459_556
+AGPPQPRRWWSCICTSSPEMQTASRCCSTTAM
+>NM_004145|416759_3_376_596
+MGAGRQRLACAPGAAMAPAGTGRAPSGGWLLLPAAGAQRRWNHQVRAYAAGGAGHSHPAPSGAWPPATAAGGL
+>DECOY_NM_004145|416759_3_376_596
+LGGAATAPPWAGSPAPHSHGAGGAAYARVQHNWRRQAGAAPLLLWGGSPARGTGAPAMAAGPACALRQRGAGM
+>NM_004145|416760_3_421_596
+MAPAGTGRAPSGGWLLLPAAGAQRRWNHQVRAYAAGGAGHSHPAPSGAWPPATAAGGL
+>DECOY_NM_004145|416760_3_421_596
+LGGAATAPPWAGSPAPHSHGAGGAAYARVQHNWRRQAGAAPLLLWGGSPARGTGAPAM
+>NM_145698|416787_2_1152_1414
+MDHFSITWVVIPVNPWKILDFVKIFKYLLEMATLGICRWLQLKEKVKSSMEEKMAGITAEHHTGRSEAEKLTNSLMLEEEEDIGCNT
+>DECOY_NM_145698|416787_2_1152_1414
+TNCGIDEEEELMLSNTLKEAESRGTHHEATIGAMKEEMSSKVKEKLQLWRCIGLTAMELLYKFIKVFDLIKWPNVPIVVWTISFHDM
+>NM_145698|416788_2_1242_1414
+MATLGICRWLQLKEKVKSSMEEKMAGITAEHHTGRSEAEKLTNSLMLEEEEDIGCNT
+>DECOY_NM_145698|416788_2_1242_1414
+TNCGIDEEEELMLSNTLKEAESRGTHHEATIGAMKEEMSSKVKEKLQLWRCIGLTAM
+>NM_145698|416789_2_1299_1414
+MEEKMAGITAEHHTGRSEAEKLTNSLMLEEEEDIGCNT
+>DECOY_NM_145698|416789_2_1299_1414
+TNCGIDEEEELMLSNTLKEAESRGTHHEATIGAMKEEM
+>NM_145698|416790_2_1311_1414
+MAGITAEHHTGRSEAEKLTNSLMLEEEEDIGCNT
+>DECOY_NM_145698|416790_2_1311_1414
+TNCGIDEEEELMLSNTLKEAESRGTHHEATIGAM
+>NM_001143983|416807_2_557_1053
+MGMCFAAESDVQMFIAFLLCIFLICAALAAQEMENCHGNILMVISSGNLPTEKQDILTTALTMILHQADRLEVCPAFLGPEVTGELLWIPSKHQEPLCKLSSITNTSMDKCVFPMERPILMASPGTQTSGHLALWSVCYVLVMSPSKSVRKSTAPIDTPASILKK
+>DECOY_NM_001143983|416807_2_557_1053
+KKLISAPTDIPATSKRVSKSPSMVLVYCVSWLALHGSTQTGPSAMLIPREMPFVCKDMSTNTISSLKCLPEQHKSPIWLLEGTVEPGLFAPCVELRDAQHLIMTLATTLIDQKETPLNGSSIVMLINGHCNEMEQAALAACILFICLLFAIFMQVDSEAAFCMGM
+>NM_001143983|416820_3_495_679
+MASLPGTLWVGLLRELHLLREWECALQPSQMSKCSLPFSCAYSSSVLPSLPRRWRTVMGTF
+>DECOY_NM_001143983|416820_3_495_679
+FTGMVTRWRRPLSPLVSSSYACSFPLSCKSMQSPQLACEWERLLHLERLLGVWLTGPLSAM
+>NM_021947|416937_2_327_544
+MARLSPMLPNWKEFLLILWCPRQLQTVKNLQYKPTERQLYTVNLVMSPEKMLQKELQKKQKASWYIPTRSLQ
+>DECOY_NM_021947|416937_2_327_544
+QLSRTPIYWSAKQKKQLEKQLMKEPSMVLNVTYLQRETPKYQLNKVTQLQRPCWLILLFEKWNPLMPSLRAM
+>NM_001038|417043_2_1270_1373
+MATAPRMAVMFLLRTFTLQSTHSRCVFTPASRRA
+>DECOY_NM_001038|417043_2_1270_1373
+ARRSAPTFVCRSHTSQLTFTRLLFMVAMRPATAM
+>NM_001038|417044_2_1288_1373
+MAVMFLLRTFTLQSTHSRCVFTPASRRA
+>DECOY_NM_001038|417044_2_1288_1373
+ARRSAPTFVCRSHTSQLTFTRLLFMVAM
+>NM_001038|417051_3_1574_1710
+MALGDIPGMGLPDAIATEQLHRQQQEKWSGQSQHLLQGAELQNQF
+>DECOY_NM_001038|417051_3_1574_1710
+FQNQLEAGQLLHQSQGSWKEQQQRHLQETAIADPLGMGPIDGLAM
+>NM_001038|417052_3_1598_1710
+MGLPDAIATEQLHRQQQEKWSGQSQHLLQGAELQNQF
+>DECOY_NM_001038|417052_3_1598_1710
+FQNQLEAGQLLHQSQGSWKEQQQRHLQETAIADPLGM
+>NM_001521|417217_2_2092_2165
+MAWWFSGTFPLTHPCSGYGSLMAP
+>DECOY_NM_001521|417217_2_2092_2165
+PAMLSGYGSCPHTLPFTGSFWWAM
+>NM_001521|417219_2_2365_2522
+MVSLWLRTTAMPLMDSVGFIILTLVTLVSRPTSLLLEKAPFGVFQDPTGLGQ
+>DECOY_NM_001521|417219_2_2365_2522
+QGLGTPDQFVGFPAKELLLSTPRSVLTVLTLIIFGVSDMLPMATTRLWLSVM
+>NM_001521|417225_3_1802_1962
+MGTSRHPSEGSSPAPVGSLGSGLLRRESTAIQSTPSGGPAGSATPRCSEACHI
+>DECOY_NM_001521|417225_3_1802_1962
+IHCAESCRPTASGAPGGSPTSQIATSERRLLGSGLSGVPAPSSGESPHRSTGM
+>NM_019009|417263_2_388_536
+MAPRIPAGIRSSTARCPQAWTLSISRSSMREPSPWTTALPGPTSPSRSP
+>DECOY_NM_019009|417263_2_388_536
+PSRSPSTPGPLATTWPSPERMSSRSISLTWAQPCRATSSRIGAPIRPAM
+>NM_017706|417285_2_148_446
+MGATRRTQTPWKPQPGSGTLRKTSCWKLRLVGWRSIRPVTYWLQGTWTGTCSSFPTLAKREKPRSSGHQVTISRPAELWPSLKMGRSSLLSPRTKPSMF
+>DECOY_NM_017706|417285_2_148_446
+FMSPKTRPSLLSSRGMKLSPWLEAPRSITVQHGSSRPKERKALTPFSSCTGTWTGQLWYTVPRISRWGVLRLKWCSTKRLTGSGPQPKWPTQTRRTAGM
+>NM_017706|417289_2_679_752
+MAALASSTLRGVGLSCSQNLSLGT
+>DECOY_NM_017706|417289_2_679_752
+TGLSLNQSCSLGVGRLTSSALAAM
+>NM_003044|417522_3_2021_2268
+MAPGEDLLALPDPWTLPGHFPLLLEQVHPPQVQQRLCVPALGILHWLVPGSVLHGLCPTLRRHHPPEDSGSFQEASASAHHP
+>DECOY_NM_003044|417522_3_2021_2268
+PHHASASAEQFSGSDEPPHHRRLTPCLGHLVSGPVLWHLIGLAPVCLRQQVQPPHVQELLLPFHGPLTWPDPLALLDEGPAM
+>NM_018396|417618_2_989_1092
+MEPEFTSSHKRNWTRFSPLLDWKKFRIWWTADCR
+>DECOY_NM_018396|417618_2_989_1092
+RCDATWWIRFKKWDLLPSFRTWNRKHSSTFEPEM
+>NM_002017|417699_3_760_845
+MAGVGHKGVQLDGDRHILFPEHGWQGTV
+>DECOY_NM_002017|417699_3_760_845
+VTGQWGHEPFLIHRDGDLQVGKHGVGAM
+>NM_006772|417750_2_3028_3422
+MEGAVAMAHLPPITTTTTITTTEVESPLGTPLPHSMAIARVRTSLPGSPSPLLPPSFIATATVMSLDPLALTSPVGSFHSRTTCSTCCPLPRSPLVPRGQPPQGLEVGAVGAAVGVAGASRLHCRGASLSS
+>DECOY_NM_006772|417750_2_3028_3422
+SSLSAGRCHLRSAGAVGVAAGVAGVELGQPPQGRPVLPSRPLPCCTSCTTRSHFSGVPSTLALPDLSMVTATAIFSPPLLPSPSGPLSTRVRAIAMSHPLPTGLPSEVETTTITTTTTIPPLHAMAVAGEM
+>NM_006772|417751_2_3046_3422
+MAHLPPITTTTTITTTEVESPLGTPLPHSMAIARVRTSLPGSPSPLLPPSFIATATVMSLDPLALTSPVGSFHSRTTCSTCCPLPRSPLVPRGQPPQGLEVGAVGAAVGVAGASRLHCRGASLSS
+>DECOY_NM_006772|417751_2_3046_3422
+SSLSAGRCHLRSAGAVGVAAGVAGVELGQPPQGRPVLPSRPLPCCTSCTTRSHFSGVPSTLALPDLSMVTATAIFSPPLLPSPSGPLSTRVRAIAMSHPLPTGLPSEVETTTITTTTTIPPLHAM
+>NM_006772|417754_2_3490_3581
+MAPPVHGNRASARRAALGAAGAAVAEGVGG
+>DECOY_NM_006772|417754_2_3490_3581
+GGVGEAVAAGAAGLAARRASARNGHVPPAM
+>NM_014585|417853_3_980_1068
+MELGIHVRGVRSALEGLPENPSSSCESWS
+>DECOY_NM_014585|417853_3_980_1068
+SWSECSSSPNEPLGELASRVGRVHIGLEM
+>NM_001520|417921_2_362_486
+MASRAHAATLRRGKTLPMTSEPSPCSLAVQWWKPLTGGGRN
+>DECOY_NM_001520|417921_2_362_486
+NRGGGTLPKWWQVALSCPSPESTMPLTKGRRLTAAHARSAM
+>NM_001520|417927_2_2627_2841
+MVSPGRLKWSLPRRQCMSTMPRGCATSPQSQSTGTSALAGLSSATSSSAFPSPSSSRLCKSATRWTTWRNF
+>DECOY_NM_001520|417927_2_2627_2841
+FNRWTTWRTASKCLRSSSPSPFASSSTASSLGALASTGTSQSQPSTACGRPMTSMCQRRPLSWKLRGPSVM
+>NM_001520|417931_2_3374_3501
+MGWVPQGSIPASTDTSSATGSGPATSSTRPKRRTLPQRMDSQ
+>DECOY_NM_001520|417931_2_3374_3501
+QSDMRQPLTRRKPRTSSTAPGSGTASSTDTSAPISGQPVWGM
+>NM_001520|417936_2_5747_5868
+MGQKPRPHLHPQLLKTPLQREQHRKTKRVSVSSVPQAKSS
+>DECOY_NM_001520|417936_2_5747_5868
+SSKAQPVSSVSVRKTKRHQERQLPTKLLQPHLHPRPKQGM
+>NM_025083|418037_2_476_552
+MAQASLSRSQPLPAVPLRISLRGQM
+>DECOY_NM_025083|418037_2_476_552
+MQGRLSIRLPVAPLPQSRSLSAQAM
+>NM_145648|418066_2_891_997
+MVKALESFSNLLNKVCLIHVRCLMVGHLQKRKWKM
+>DECOY_NM_145648|418066_2_891_997
+MKWKRKQLHGVMLCRVHILCVKNLLNSFSELAKVM
+>NM_018244|418129_2_737_813
+MGWPLPSGEPSSTGNVKTLDILNCW
+>DECOY_NM_018244|418129_2_737_813
+WCNLIDLTKVNGTSSPEGSPLPWGM
+>NM_016623|418176_3_785_936
+MGCSCSTSRQIKEILRIFSEVRSSIKRSSGSLNKYPIFSHPASRARAGSC
+>DECOY_NM_016623|418176_3_785_936
+CSGARARSAPHSFIPYKNLSGSSRKISSRVESFIRLIEKIQRSTSCSCGM
+>NM_004938|418265_2_1738_1886
+MAMLTWLSYCAASAQIPISRTRKKKPPCTVLLGTAITLWPKPFVKPAVT
+>DECOY_NM_004938|418265_2_1738_1886
+TVAPKVFPKPWLTIATGLLVTCPPKKKRTRSIPIQASAACYSLWTLMAM
+>NM_004938|418268_2_2134_2243
+MATCLSWWPSVKQTAIWTSPTSMGERLCTLRPTTES
+>DECOY_NM_004938|418268_2_2134_2243
+SETTPRLTCLREGMSTPSTWIATQKVSPWWSLCTAM
+>NM_032482|418304_2_661_755
+MEKSMQNTHWREAISSQKSGGSESPTRVLYL
+>DECOY_NM_032482|418304_2_661_755
+LYLVRTPSESGGSKQSSIAERWHTNQMSKEM
+>NM_032482|418311_2_3694_4013
+MVVAWREGSPRPPASQSIAASGSPPSRPSPTSAWPSRRTARCRPAPPSARTPCSRSGPPWRSPLPMPSWPLTPGKAFPAPCRGLTDSARAPTLPTAAPSAGAWPRT
+>DECOY_NM_032482|418311_2_3694_4013
+TRPWAGASPAATPLTPARASDTLGRCPAPFAKGPTLPWSPMPLPSRWPPGSRSCPTRASPPAPRCRATRRSPWASTPSPRSPPSGSAAISQSAPPRPSGERWAVVM
+>NM_032482|418313_2_4300_4586
+MATTSSSLRRPCLPEASSAAPAWPRRRPPQAARRPPPRRTGPSWAPSRRDRSSRSAPCPCRPTSAPWPAPPCCSRCSALCRPPQAWCTCRPLPPD
+>DECOY_NM_032482|418313_2_4300_4586
+DPPLPRCTCWAQPPRCLASCRSCCPPAPWPASTPRCPCPASRSSRDRRSPAWSPGTRRPPPRRAAQPPRRRPWAPAASSAEPLCPRRLSSSTTAM
+>NM_032482|418320_3_656_759
+MVWKKACRIHIGERRFPLRRVEGANRQHECYICE
+>DECOY_NM_032482|418320_3_656_759
+ECIYCEHQRNAGEVRRLPFRREGIHIRCAKKWVM
+>NM_000966|418342_2_789_895
+MGSALVKAARASFAEASRRTWCTRVTATKTVSSTR
+>DECOY_NM_000966|418342_2_789_895
+RTSSVTKTATVRTCWTRRSAEAFSARAAKVLASGM
+>NM_000751|418392_2_1103_1308
+MDPALGPWCGGAAPWDTSPRPRSTSCSSPAVTSCSRSSQSGMGWPGASPLHAGPQQALSRPSRNSSMS
+>DECOY_NM_000751|418392_2_1103_1308
+SMSSNRSPRSLAQQPGAHLPSAGPWGMGSQSSRSCSTVAPSSCSTSRPRPSTDWPAAGGCWPGLAPDM
+>NM_000751|418393_2_1226_1308
+MGWPGASPLHAGPQQALSRPSRNSSMS
+>DECOY_NM_000751|418393_2_1226_1308
+SMSSNRSPRSLAQQPGAHLPSAGPWGM
+>NM_003052|418463_3_1825_1910
+MGLPASLDALPEAPGPPHHPRHPMLCQA
+>DECOY_NM_003052|418463_3_1825_1910
+AQCLMPHRPHHPPGPAEPLADLSAPLGM
+>NM_003901|418533_2_1282_1445
+MAMPQKAHHWCCIVTRSTGTISSSSIQIGRVASMLPQPSQAHGLVALAQPVGLP
+>DECOY_NM_003901|418533_2_1282_1445
+PLGVPQALAVLGHAQSPQPLMSAVRGIQISSSSITGTSRTVICCWHHAKQPMAM
+>NM_138779|418560_2_533_630
+MVMILFGVSFVFLTHCTIQSSSINSEMKTSFV
+>DECOY_NM_138779|418560_2_533_630
+VFSTKMESNISSSQITCHTLFVFSVGFLIMVM
+>NM_001940|418582_2_576_796
+MAAATLGISTRTTEARPPVSTALEVWRMTLTHLLACPRAQPAPTTHLHSFLLPLNRQTAPLDSQRLALNPILL
+>DECOY_NM_001940|418582_2_576_796
+LLIPNLALRQSDLPATQRNLPLLFSHLHTTPAPQARPCALLHTLTMRWVELATSVPPRAETTRTSIGLTAAAM
+>NM_001940|418595_2_3150_3238
+MGAWLCSLAHLACTLSPFIRAWGPWSENV
+>DECOY_NM_001940|418595_2_3150_3238
+VNESWPGWARIFPSLTCALHALSCLWAGM
+>NM_171999|418724_2_2731_2900
+MVRASAPSPRAWAPRRSPRKSRSRPRGRTAQPPPRAAEAPLAARASRRRRPSACCS
+>DECOY_NM_171999|418724_2_2731_2900
+SCCASPRRRRSARAALPAEAARPPPQATRGRPRSRSKRPSRRPAWARPSPASARVM
+>NM_172166|418756_2_2279_2409
+MATILSSSIRFAKVLRRPAMPPTQLPRLGFLTSLWLVARRSQT
+>DECOY_NM_172166|418756_2_2279_2409
+TQSRRAVLWLSTLFGLRPLQTPPMAPRRLVKAFRISSSLITAM
+>NM_001145466|418809_2_466_665
+MEPQSSGAAWPHLLLPVSSMTTRLSCTSGTCEAMTPASTCAEWRCWALVSGQGMGLGWWWRKNILS
+>DECOY_NM_001145466|418809_2_466_665
+SLINKRWWWGLGMGQGSVLAWCRWEACTSAPTMAECTGSTCSLRTTMSSVPLLLHPWAAGSSQPEM
+>NM_015149|419109_2_1176_1285
+MGFPTRSPSAWKRKRNWRVESQQNSRASQKISWQSS
+>DECOY_NM_015149|419109_2_1176_1285
+SSQWSIKQSARSNQQSEVRWNRKRKWASPSRTPFGM
+>NM_014267|419199_2_509_702
+MEKVMWLEMMMMTMMIHLILKVQMILKAIQSQRKKNLLKNSKLLSTLMKWRIPKTKKMQKAIIK
+>DECOY_NM_014267|419199_2_509_702
+KIIAKQMKKTKPIRWKMLTSLLKSNKLLNKKRQSQIAKLIMQVKLILHIMMTMMMMELWMVKEM
+>NM_020702|419340_2_549_739
+MAPCWAARSRPTGCRCTSSSRLCGPRTRSCATACAGRRQRRAGPWSTPCSWATRRPTGMVAPR
+>DECOY_NM_020702|419340_2_549_739
+RPAVMGTPRRTAWSCPTSWPGARRQRRGACATACSRTRPGCLRSSSTCRCGTPRSRAAWCPAM
+>NM_145027|419385_2_363_610
+MVPSLHMGKQAAGRHSLSQGVQSVTVTEALSQGHCHTFLNSYKRTAAKYIQHTFPIWKSTMNVVMIFWIQDMKPPVWKICRK
+>DECOY_NM_145027|419385_2_363_610
+KRCIKWVPPKMDQIWFIMVVNMTSKWIPFTHQIYKAATRKYSNLFTHCHGQSLAETVTVSQVGQSLSHRGAAQKGMHLSPVM
+>NM_032595|419426_2_151_305
+MAPTSTASKVCSCRWARRRGPRARRAAARAWPRPHGRPSAACACRCRGPAA
+>DECOY_NM_032595|419426_2_151_305
+AAPGRCRCACAASPRGHPRPWARAAARRARPGRRRAWRCSCVKSATSTPAM
+>NM_032595|419428_2_994_1079
+MAAPWQLQPAPRPRSQRPKRPRRRRRRR
+>DECOY_NM_032595|419428_2_994_1079
+RRRRRRRPRKPRQSRPRPAPQLQWPAAM
+>NM_032595|419429_2_1261_1595
+MGSPPTSPSRGAWRSRGCRRRRTQPRAGRSISARRPSKCSALTPTRITIVATRMWIPWQPLLSTSWRSVWRGWSCSLWSWRRTPRAWASASSAWAPGQTWAWRSWVSSSRP
+>DECOY_NM_032595|419429_2_1261_1595
+PRSSSVWSRWAWTQGPAWASSASAWARPTRRWSWLSCSWGRWVSRWSTSLLPQWPIWMRTAVITIRTPTLASCKSPRRASISRGARPQTRRRRCGRSRWAGRSPSTPPSGM
+>NM_030948|419461_3_560_678
+MEEEEKRKVQTHVSSPGKENIYEAKQRRADKARSPEGNL
+>DECOY_NM_030948|419461_3_560_678
+LNGEPSRAKDARRQKAEYINEKGPSSVHTQVKRKEEEEM
+>NM_033381|419491_2_440_663
+MEFQGHQDQKESEVLLDFLDFQGHQVFLECQATMGPQDLKVFPDAMEPRENVDFQAVPVFLVYRVLQDPLGSQV
+>DECOY_NM_033381|419491_2_440_663
+VQSGLPDQLVRYVLFVPVAQFDVNERPEMADPFVKLDQPGMTAQCELFVQHGQFDLFDLLVESEKQDQHGQFEM
+>NM_033381|419492_2_539_663
+MGPQDLKVFPDAMEPRENVDFQAVPVFLVYRVLQDPLGSQV
+>DECOY_NM_033381|419492_2_539_663
+VQSGLPDQLVRYVLFVPVAQFDVNERPEMADPFVKLDQPGM
+>NM_033381|419493_2_575_663
+MEPRENVDFQAVPVFLVYRVLQDPLGSQV
+>DECOY_NM_033381|419493_2_575_663
+VQSGLPDQLVRYVLFVPVAQFDVNERPEM
+>NM_033381|419494_2_1109_1236
+MEKMANQEFLVCLVILVTLVNPEGMVKRAKKVTLAHLDLLDL
+>DECOY_NM_033381|419494_2_1109_1236
+LDLLDLHALTVKKARKVMGEPNVLTVLIVLCVLFEQNAMKEM
+>NM_033381|419495_2_1118_1236
+MANQEFLVCLVILVTLVNPEGMVKRAKKVTLAHLDLLDL
+>DECOY_NM_033381|419495_2_1118_1236
+LDLLDLHALTVKKARKVMGEPNVLTVLIVLCVLFEQNAM
+>NM_033381|419498_2_1943_2052
+MDCQGFLARKESLVELLLRVKEVPLGTQVYQASQGI
+>DECOY_NM_033381|419498_2_1943_2052
+IGQSAQYVQTGLPVEKVRLLLEVLSEKRALFGQCDM
+>NM_033381|419502_2_2975_3186
+MACRVSQDFLALQEKKVVKESLAFQALLDQWIQIFWAQKERRGNLAYQVYLEFQGQKVIRVCLETQGNLD
+>DECOY_NM_033381|419502_2_2975_3186
+DLNGQTELCVRIVKQGQFELYVQYALNGRREKQAWFIQIWQDLLAQFALSEKVVKKEQLALFDQSVRCAM
+>NM_033381|419503_2_3716_4104
+MVFLDQLDRRVNQVNQALETQDPLDFQDFLAKRVMEDYLGFQEILAFQVQRANQAFTVSLVCRVPQALLVLRVQLWKDLKATLGPKVLLGDQVFKVYQVQKVLQVSLEMEVLKERREIQANLGYLACLV
+>DECOY_NM_033381|419503_2_3716_4104
+VLCALYGLNAQIERREKLVEMELSVQLVKQVQYVKFVQDGLLVKPGLTAKLDKWLQVRLVLLAQPVRCVLSVTFAQNARQVQFALIEQFGLYDEMVRKALFDQFDLPDQTELAQNVQNVRRDLQDLFVM
+>NM_033381|419504_2_3818_4104
+MEDYLGFQEILAFQVQRANQAFTVSLVCRVPQALLVLRVQLWKDLKATLGPKVLLGDQVFKVYQVQKVLQVSLEMEVLKERREIQANLGYLACLV
+>DECOY_NM_033381|419504_2_3818_4104
+VLCALYGLNAQIERREKLVEMELSVQLVKQVQYVKFVQDGLLVKPGLTAKLDKWLQVRLVLLAQPVRCVLSVTFAQNARQVQFALIEQFGLYDEM
+>NM_033381|419505_2_4442_4851
+MDSLALMVQEGAKETQVCQDSQVPVVWMVPLVQMDCKVPQVPLEPPLLHMDFLLHATARQRMHHNAHREHFRSMKAFLSCMYKEIKEPTVKTWGRLAAAFVALVPCLSCSATSIMFATLLQEMTILTGSLPQSPCQ
+>DECOY_NM_033381|419505_2_4442_4851
+QCPSQPLSGTLITMEQLLTAFMISTASCSLCPVLAVFAAALRGWTKVTPEKIEKYMCSLFAKMSRFHERHANHHMRQRATAHLLFDMHLLPPELPVQPVKCDMQVLPVMWVVPVQSDQCVQTEKAGEQVMLALSDM
+>NM_033381|419519_3_4977_5125
+MGFSVDWLFLHDAYKCRGRRLRSSPSLPWFLLGRVSFSSLHRMSWEGYL
+>DECOY_NM_033381|419519_3_4977_5125
+LYGEWSMRHLSSFSVRGLLFWPLSPSSRLRRGRCKYADHLFLWDVSFGM
+>NM_001122772|419623_3_632_819
+MARKRGQAQGQGVKEQRRDWSFGLCRRHRRRRRGRGLYSFDLWWGRGWGWSPREVVPSERQE
+>DECOY_NM_001122772|419623_3_632_819
+EQRESPVVERPSWGWGRGWWLDFSYLGRGRRRRRHRRCLGFSWDRRQEKVGQGQAQGRKRAM
+>NM_000288|419627_2_367_641
+MARCSSGTLPKLQGHCKSIKNTLRRCIVLIGAKPEVNSLWCLAHGIKLSNCGIQLLESLCAPLEAMKVLFIAQSGLPTSLVVLLQPQVIRL
+>DECOY_NM_000288|419627_2_367_641
+LRIVQPQLLVVLSTPLGSQAIFLVKMAELPACLSELLQIGCNSLKIGHALCWLSNVEPKAGILVICRRLTNKISKCHGQLKPLTGSSCRAM
+>NM_004811|419705_2_1133_1221
+MGVGSPSLAVVSVPWGTSSILSTLCVLSA
+>DECOY_NM_004811|419705_2_1133_1221
+ASLVCLTSLISSTGWPVSVVALSPSGVGM
+>NM_024745|419777_2_1721_1794
+MELKKIKRLKFRQVESQMWLKEWI
+>DECOY_NM_024745|419777_2_1721_1794
+IWEKLWMQSEVQRFKLRKIKKLEM
+>NM_198576|419814_2_4725_4993
+MAGPHARTWRLEGSIASARPAASDQPVPMRRAPASPTPAMGRRPAVCCPRVVLSASAPWGVRAPSARQPRGRTALGPSWLTSTASPTWS
+>DECOY_NM_198576|419814_2_4725_4993
+SWTPSATSTLWSPGLATRGRPQRASPARVGWPASASLVVRPCCVAPRRGMAPTPSAPARRMPVPQDSAAPRASAISGELRWTRAHPGAM
+>NM_198576|419816_2_4842_4993
+MGRRPAVCCPRVVLSASAPWGVRAPSARQPRGRTALGPSWLTSTASPTWS
+>DECOY_NM_198576|419816_2_4842_4993
+SWTPSATSTLWSPGLATRGRPQRASPARVGWPASASLVVRPCCVAPRRGM
+>NM_032226|419872_2_1248_1354
+MDTNVQKEKCMTRLQYLHSSATMMTNMKFRREKRD
+>DECOY_NM_032226|419872_2_1248_1354
+DRKERRFKMNTMMTASSHLYQLRTMCKEKQVNTDM
+>NM_020432|419891_2_667_956
+MVLVPQITHKREQFRTTVQAPLTALALSSEISGMLLSFYQDQRKQRIQLINQLKLTMAMYPLMGRRLLKAVKMEYKTMNLSVKLFDQKRQPGTQEH
+>DECOY_NM_020432|419891_2_667_956
+HEQTGPQRKQDFLKVSLNMTKYEMKVAKLLRRGMLPYMAMTLKLQNILQIRQKRQDQYFSLLMGSIESSLALATLPAQVTTRFQERKHTIQPVLVM
+>NM_020432|419893_2_835_956
+MAMYPLMGRRLLKAVKMEYKTMNLSVKLFDQKRQPGTQEH
+>DECOY_NM_020432|419893_2_835_956
+HEQTGPQRKQDFLKVSLNMTKYEMKVAKLLRRGMLPYMAM
+>NM_020432|419896_2_883_956
+MEYKTMNLSVKLFDQKRQPGTQEH
+>DECOY_NM_020432|419896_2_883_956
+HEQTGPQRKQDFLKVSLNMTKYEM
+>NM_020432|419903_3_530_612
+MADAAPGNCALPDCFHKNTQTSSKYRG
+>DECOY_NM_020432|419903_3_530_612
+GRYKSSTQTNKHFCDPLACNGPAADAM
+>NM_001002901|419949_2_139_320
+MDTTHCSWSSSPSALSGIWATYFCPLTRRALRCRHQGCIDARHGEHPSVTPSTSLYPMIG
+>DECOY_NM_001002901|419949_2_139_320
+GIMPYLSTSPTVSPHEGHRADICGQHRCRLARRTLPCFYTAWIGSLASPSSSWSCHTTDM
+>NM_004512|419955_3_1042_1136
+MGPATHAARGGASGGQPCSSKALPPTTPSAT
+>DECOY_NM_004512|419955_3_1042_1136
+TASPTTPPLAKSSCPQGGSAGGRAAHTAPGM
+>NM_004639|420011_2_1482_1681
+MGLGPPQLPMQRHLPLVLGRPHPWLRLLPMSSPQLRGLPRQVQLPRQPPATRGSSGFPTRVWNPWS
+>DECOY_NM_004639|420011_2_1482_1681
+SWPNWVRTPFGSSGRTAPPQRPLQVQRPLGRLQPSSMPLLRLWPHPRGLVLPLHRQMPLQPPGLGM
+>NM_004639|420020_2_3315_3409
+MELQLRQNLGQLQSPQNGSLLSSRTFRASGR
+>DECOY_NM_004639|420020_2_3315_3409
+RGSARFTRSSLLSGNQPSQLQGLNQRLQLEM
+>NM_006653|420032_2_437_555
+MATTPTSSPLRVAADVRQAREYLHLSVPGLRKSSTSFRI
+>DECOY_NM_006653|420032_2_437_555
+IRFSTSSKRLGPVSLHLYERAQRVDAAVRLPSSTPTTAM
+>NM_006653|420040_2_1172_1413
+MALPTAGPPCCTMRTCPHCPLCGKAKPSSWEGRLGMMGTRGMGSHPLPMASLMVRRTRPHCRSPPAPGPPSAATAAFLCH
+>DECOY_NM_006653|420040_2_1172_1413
+HCLFAATAASPPGPAPPSRCHPRTRRVMLSAMPLPHSGMGRTGMMGLRGEWSSPKAKGCLPCHPCTRMTCCPPGATPLAM
+>NM_006653|420043_2_1280_1413
+MGTRGMGSHPLPMASLMVRRTRPHCRSPPAPGPPSAATAAFLCH
+>DECOY_NM_006653|420043_2_1280_1413
+HCLFAATAASPPGPAPPSRCHPRTRRVMLSAMPLPHSGMGRTGM
+>NM_006653|420044_2_1295_1413
+MGSHPLPMASLMVRRTRPHCRSPPAPGPPSAATAAFLCH
+>DECOY_NM_006653|420044_2_1295_1413
+HCLFAATAASPPGPAPPSRCHPRTRRVMLSAMPLPHSGM
+>NM_018245|420132_2_2420_2505
+MALCCCCPMAWKAWAQSTRQRGPKGSCR
+>DECOY_NM_018245|420132_2_2420_2505
+RCSGKPGRQRTSQAWAKWAMPCCCCLAM
+>NM_018245|420134_2_2762_2838
+MGPQHGPLSRCSGSSSARERCTMTW
+>DECOY_NM_018245|420134_2_2762_2838
+WTMTCRERASSSGSCRSLPGHQPGM
+>NM_032312|420271_2_445_521
+MAGFWKLKMMILKITSHSWKNWTLI
+>DECOY_NM_032312|420271_2_445_521
+ILTWNKWSHSTIKLIMMKLKWFGAM
+>NM_002199|420387_2_330_406
+MGGMWKKMHHSLETGQSIQESINQE
+>DECOY_NM_002199|420387_2_330_406
+EQNISEQISQGTELSHHMKKWMGGM
+>NM_017794|420667_2_5479_5690
+MARLGQYQASLAGVQCTGSLCRRFSLSFPIAWLCCCRKSHGRNRPRSSLTGYSASWKALKKPSQHSPGIF
+>DECOY_NM_017794|420667_2_5479_5690
+FIGPSHQSPKKLAKWSASYGTLSSRPRNRGHSKRCCCLWAIPFSLSFRRCLSGTCQVGALSAQYQGLRAM
+>NM_017794|420671_3_5465_5568
+MASGEWPGWASTKLPWQESNAQGHSAGGSHSPSQ
+>DECOY_NM_017794|420671_3_5465_5568
+QSPSHSGGASHGQANSEQWPLKTSAWGPWEGSAM
+>NM_022757|420813_2_728_1011
+MAYVPPPQSGHFSGHPALQRFILKFKLMATVSLHHKVKQFLQPVLMFYGIHLIPVLEFHVACPKLTYQLFQHCSNWALLMEFCHNKEFIRKQTY
+>DECOY_NM_022757|420813_2_728_1011
+YTQKRIFEKNHCFEMLLAWNSCHQFLQYTLKPCAVHFELVPILHIGYFMLVPQLFQKVKHHLSVTAMLKFKLIFRQLAPHGSFHGSQPPPVYAM
+>NM_006031|420875_2_387_862
+MERRERTWNSCSRSKSMTILQSSVGCSQSVTTHQNSMGCSQSVTTHQNSVGCSQSVTTHQNSMGCSQSVTTHQNSVGCSQSVTTNRNSVGCSQSVTTHQNSVGSSQSVTTQQNSVGCSQRSVNKNVNLPLLTWRAAVKMRLACIRVRPCMALSWRRCA
+>DECOY_NM_006031|420875_2_387_862
+ACRRWSLAMCPRVRICALRMKVAARWTLLPLNVNKNVSRQSCGVSNQQTTVSQSSGVSNQHTTVSQSCGVSNRNTTVSQSCGVSNQHTTVSQSCGMSNQHTTVSQSCGVSNQHTTVSQSCGMSNQHTTVSQSCGVSSQLITMSKSRSCSNWTRERREM
+>NM_006031|420877_2_495_862
+MGCSQSVTTHQNSVGCSQSVTTHQNSMGCSQSVTTHQNSVGCSQSVTTNRNSVGCSQSVTTHQNSVGSSQSVTTQQNSVGCSQRSVNKNVNLPLLTWRAAVKMRLACIRVRPCMALSWRRCA
+>DECOY_NM_006031|420877_2_495_862
+ACRRWSLAMCPRVRICALRMKVAARWTLLPLNVNKNVSRQSCGVSNQQTTVSQSSGVSNQHTTVSQSCGVSNRNTTVSQSCGVSNQHTTVSQSCGMSNQHTTVSQSCGVSNQHTTVSQSCGM
+>NM_006031|420878_2_573_862
+MGCSQSVTTHQNSVGCSQSVTTNRNSVGCSQSVTTHQNSVGSSQSVTTQQNSVGCSQRSVNKNVNLPLLTWRAAVKMRLACIRVRPCMALSWRRCA
+>DECOY_NM_006031|420878_2_573_862
+ACRRWSLAMCPRVRICALRMKVAARWTLLPLNVNKNVSRQSCGVSNQQTTVSQSSGVSNQHTTVSQSCGVSNRNTTVSQSCGVSNQHTTVSQSCGM
+>NM_006031|420895_3_9751_10109
+MARSRSERSSGTRQSPSPRAPSTTAAVSTQNQRVPPNPGCTLWPHQGPCQRPQTGSSSLPTQWGKSHSIPKFKIRKIPDCFSRSRTFLDRVYSPFRSDPAKIGRGTTRFYFKEILPPDD
+>DECOY_NM_006031|420895_3_9751_10109
+DDPPLIEKFYFRTTGRGIKAPDSRFPSYVRDLFTRSRSFCDPIKRIKFKPISHSKGWQTPLSSSGTQPRQCPGQHPWLTCGPNPPVRQNQTSVAATTSPARPSPSQRTGSSRESRSRAM
+>NM_005863|420975_2_349_512
+MELSDVLVKQYSHLPFVVTTDPQPLPRSFLAGQQSQHPPREGAVHCGQRCWTSP
+>DECOY_NM_005863|420975_2_349_512
+PSTWCRQGCHVAGERPPHQSQQGALFSRPLPQPDTTVVFPLHSYQKVLVDSLEM
+>NM_003490|421004_2_715_896
+MEGCLLSTLSTPSTTSAASPGCSLSSLRSSIPWVLRSSRLWSKHFSPTISQWSQPHTSRW
+>DECOY_NM_003490|421004_2_715_896
+WRSTHPQSWQSITPSFHKSWLRSSRLVWPISSRLSSLSCGPSAASTTSPTSLTSLLCGEM
+>NM_012434|421081_2_162_253
+MARRARTARLFYRAPHGPKPLQCAALLVTT
+>DECOY_NM_012434|421081_2_162_253
+TTVLLAACQLPKPGHPARYFLRATRARRAM
+>NM_012434|421089_3_454_707
+MDSRFLFLWLHHHTDSWRICCQQNRGENAARIWDPWHCCPHPVHSHCCRFRSWTTHCTQSTRRTRRGCYISSHACHVVFLGSPS
+>DECOY_NM_012434|421089_3_454_707
+SPSGLFVVHCAHSSIYCGRRTRRTSQTCHTTWSRFRCCHSHVPHPCCHWPDWIRAANEGRNQQCCIRWSDTHHHLWLFLFRSDM
+>NM_006377|421198_2_1469_1659
+MVTPLCLSGSRKGQPEGSMALTACQIYAERSHCHLSVICHWSSLGRQESLLQWLHALLLRTKS
+>DECOY_NM_006377|421198_2_1469_1659
+SKTRLLLAHLWQLLSEQRGLSSWHCIVSLHCHSREAYIQCATLAMSGEPQGKRSGSLCLPTVM
+>NM_006377|421211_2_3572_3705
+MDSSRHQSMHSFPALWWMSSHNSIRALRSSGSWNAQTPASLPTT
+>DECOY_NM_006377|421211_2_3572_3705
+TTPLSAPTQANWSGSSRLARISNHSSMWWLAPFSHMSQHRSSDM
+>NM_006377|421221_3_483_559
+MEQRTDLGHHGGDCVDCAEDYSSVG
+>DECOY_NM_006377|421221_3_483_559
+GVSSYDEACDVCDGGHHGLDTRQEM
+>NM_032447|421331_2_490_593
+MGVAALGPTAAPVCMASWDLNVREITGRDPALAK
+>DECOY_NM_032447|421331_2_490_593
+KALAPDRGTIERVNLDWSAMCVPAATPGLAAVGM
+>NM_032447|421339_2_1669_1769
+MAASPASANPASCWRLAATTAWTLTSARRPASA
+>DECOY_NM_032447|421339_2_1669_1769
+ASAPRRASTLTWATTAALRWCSAPNASAPSAAM
+>NM_032447|421341_2_1882_2348
+MGPSRRAPVPAPSLALSPSPSAAVPIRTTVLGSPASFVLPKTPLSSRHCAAVGLALPRMVETSTSVLWILRFVPMACARTFGAATAVSATWVMRQVPQARTAQTWMSVPSTASCVTTGGARIALAATAAPAPPASTSGRTRRSAKMSTNACPARV
+>DECOY_NM_032447|421341_2_1882_2348
+VRAPCANTSMKASRRTRGSTSAPPAPAATAALAIRAGGTTVCSATSPVSMWTQATRAQPVQRMVWTASVATAAGFTRACAMPVFRLIWLVSTSTEVMRPLALGVAACHRSSLPTKPLVFSAPSGLVTTRIPVAASPSPSLALSPAPVPARRSPGM
+>NM_032447|421350_2_3382_3581
+MACVPMASVSMSSVPSSAPAMPASRAHLTARAAWTSTNAGSRMVGVTCTVLTLRAATGAAVGRATR
+>DECOY_NM_032447|421350_2_3382_3581
+RTARGVAAGTAARLTLVTCTVGVMRSGANTSTWAARATLHARSAPMAPASSPVSSMSVSAMPVCAM
+>NM_032447|421354_2_3508_3581
+MVGVTCTVLTLRAATGAAVGRATR
+>DECOY_NM_032447|421354_2_3508_3581
+RTARGVAAGTAARLTLVTCTVGVM
+>NM_032447|421358_2_3964_4379
+MASNVTTWMNASPRSTGAAQEVTVSMSLAPTAAPAARALPGMASSAKTGMNVPRTWTSVTTGSASMRPAGTAVNVRWALTPPRTTGPARMWTSVRKGTSVHLGAVRTCLECSAASAMVATNWTEGVATAQTSTSVQTQ
+>DECOY_NM_032447|421358_2_3964_4379
+QTQVSTSTQATAVGETWNTAVMASAASCELCTRVAGLHVSTGKRVSTWMRAPGTTRPPTLAWRVNVATGAPRMSASGTTVSTWTRPVNMGTKASSAMGPLARAAPAATPALSMSVTVEQAAGTSRPSANMWTTVNSAM
+>NM_032447|421361_2_4087_4379
+MASSAKTGMNVPRTWTSVTTGSASMRPAGTAVNVRWALTPPRTTGPARMWTSVRKGTSVHLGAVRTCLECSAASAMVATNWTEGVATAQTSTSVQTQ
+>DECOY_NM_032447|421361_2_4087_4379
+QTQVSTSTQATAVGETWNTAVMASAASCELCTRVAGLHVSTGKRVSTWMRAPGTTRPPTLAWRVNVATGAPRMSASGTTVSTWTRPVNMGTKASSAM
+>NM_032447|421371_2_5473_5654
+MVTAWTQKAATCVCVTVDSRPLQTRPCAWTLTSVTGSLVEMGPARTSLAPTTASASLALW
+>DECOY_NM_032447|421371_2_5473_5654
+WLALSASATTPALSTRAPGMEVLSGTVSTLTWACPRTQLPRSDVTVCVCTAAKQTWATVM
+>NM_032447|421372_2_5662_6275
+MGIVWILMSVLPWWGRCADLAIASTQLVPSTASARMALSSQLMGRTVWTPMSASALQEPAYPALARTSRAPSAASVPLASRCRVTTALISTSAQRSPTSASLAPVPTALGASSASAHLALSSLTMGTVALTHGRVSASPVLRLGSARCPKLSTPPRPAAAAVRGLGRAGETPANCVPRRAALPFRSSAPLATGQSQARMTPEKT
+>DECOY_NM_032447|421372_2_5662_6275
+TKEPTMRAQSQGTALPASSRFPLAARRPVCNAPTEGARGLGRVAAAAPRPPTSLKPCRASGLRLVPSASVRGHTLAVTGMTLSSLALHASASSAGLATPVPALSASTPSRQASTSILATTVRCRSALPVSAASPARSTRALAPYAPEQLASASMPTWVTRGMLQSSLAMRASATSPVLQTSAIALDACRGWWPLVSMLIWVIGM
+>NM_032447|421374_2_5767_6275
+MALSSQLMGRTVWTPMSASALQEPAYPALARTSRAPSAASVPLASRCRVTTALISTSAQRSPTSASLAPVPTALGASSASAHLALSSLTMGTVALTHGRVSASPVLRLGSARCPKLSTPPRPAAAAVRGLGRAGETPANCVPRRAALPFRSSAPLATGQSQARMTPEKT
+>DECOY_NM_032447|421374_2_5767_6275
+TKEPTMRAQSQGTALPASSRFPLAARRPVCNAPTEGARGLGRVAAAAPRPPTSLKPCRASGLRLVPSASVRGHTLAVTGMTLSSLALHASASSAGLATPVPALSASTPSRQASTSILATTVRCRSALPVSAASPARSTRALAPYAPEQLASASMPTWVTRGMLQSSLAM
+>NM_032447|421377_2_6034_6275
+MGTVALTHGRVSASPVLRLGSARCPKLSTPPRPAAAAVRGLGRAGETPANCVPRRAALPFRSSAPLATGQSQARMTPEKT
+>DECOY_NM_032447|421377_2_6034_6275
+TKEPTMRAQSQGTALPASSRFPLAARRPVCNAPTEGARGLGRVAAAAPRPPTSLKPCRASGLRLVPSASVRGHTLAVTGM
+>NM_032447|421380_2_6622_7112
+MGPCVEMWTSVQMVSRTATPGAWSARTSSVPSRASVPQACGPCLALGRAAQMTMNATLSLTSVSTAAVSTPRAASGATVMRDSSPAPPLPSATTSGRGPALPRCCRPCAGLCPAAVRLSPGPSAAVGVAGAGGPAASSVPCPAPLPTGSCAPMAQATLLRAEM
+>DECOY_NM_032447|421380_2_6622_7112
+MEARLLTAQAMPACSGTPLPAPCPVSSAAPGGAGAVGVAASPGPSLRVAAPCLGACPRCCRPLAPGRGSTTASPLPPAPSSDRMVTAGSAARPTSVAATSVSTLSLTANMTMQAARGLALCPGCAQPVSARSPVSSTRASWAGPTATRSVMQVSTWMEVCPGM
+>NM_032447|421390_2_7339_7607
+MAGPAKTWTNAPPGSTTVSSSVSTLWAPSPAAVRPASPSTTRPASTMMSAQPSLAHVVPTGTATTPRAASAVNATKASPWSAQAMAVKM
+>DECOY_NM_032447|421390_2_7339_7607
+MKVAMAQASWPSAKTANVASAARPTTATGTPVVHALSPQASMMTSAPRTTSPSAPRVAAPSPAWLTSVSSSVTTSGPPANTWTKAPGAM
+>NM_001725|421449_3_103_260
+MGVPDGAGRHRHRRDSGRQPWRRGQDLPEGPGLRQPAGDGRSAEGAEEDQDS
+>DECOY_NM_001725|421449_3_103_260
+SDQDEEAGEASRGDGAPQRLGPGEPLDQGRRWPQRGSDRRHRHRGAGDPVGM
+>NM_145206|421466_2_695_771
+MGIPQRTRGHICSITQRGWKGHLGD
+>DECOY_NM_145206|421466_2_695_771
+DGLHGKWGRQTISCIHGRTRQPIGM
+>NM_006024|421497_2_444_580
+MENFISSVTLPIRVKFVEQVHLSSFELLLQLKSCLLWKMKEILTC
+>DECOY_NM_006024|421497_2_444_580
+CTLIEKMKWLLCSKLQLLLEFSSLHVQEVFKVRIPLTVSSIFNEM
+>NM_006024|421499_2_2016_2221
+MVMFSHCQMHNQFCNMVILMHLRKQEMEQMVLFTQMKYKGHLSESPLGDWKTMLSAASLLETLVGLMA
+>DECOY_NM_006024|421499_2_2016_2221
+AMLGVLTELLSAASLMTKWDGLPSESLHGKYKMQTFLVMQEMEQKRLHMLIVMNCFQNHMQCHSFMVM
+>NM_006024|421502_2_2061_2221
+MVILMHLRKQEMEQMVLFTQMKYKGHLSESPLGDWKTMLSAASLLETLVGLMA
+>DECOY_NM_006024|421502_2_2061_2221
+AMLGVLTELLSAASLMTKWDGLPSESLHGKYKMQTFLVMQEMEQKRLHMLIVM
+>NM_006024|421504_2_2094_2221
+MEQMVLFTQMKYKGHLSESPLGDWKTMLSAASLLETLVGLMA
+>DECOY_NM_006024|421504_2_2094_2221
+AMLGVLTELLSAASLMTKWDGLPSESLHGKYKMQTFLVMQEM
+>NM_182909|421551_2_641_732
+MATKQKTSQEMTCYFSSAFWRENCRLEMRS
+>DECOY_NM_182909|421551_2_641_732
+SRMELRCNERWFASSFYCTMEQSTKQKTAM
+>NM_024312|421703_2_231_424
+MGSTCASWASLSPSSPPSSSERWFWNGAEINTMFCLIPIETILLESPFRIGFVCPCRLTLFTPG
+>DECOY_NM_024312|421703_2_231_424
+GPTFLTLRCPCVFGIRFPSELLITEIPILCFMTNIEAGNWFWRESSSPPSSPSLSAWSACTSGM
+>NM_024312|421709_2_1524_1675
+MAIVTRLVIIQPAIGMVGIALETVEGVAILQEVEVLGVLELDSPGSLVEE
+>DECOY_NM_024312|421709_2_1524_1675
+EEVLSGPSDLELVGLVEVEQLIAVGEVTELAIGVMGIAPQIIVLRTVIAM
+>NM_024312|421710_2_1569_1675
+MVGIALETVEGVAILQEVEVLGVLELDSPGSLVEE
+>DECOY_NM_024312|421710_2_1569_1675
+EEVLSGPSDLELVGLVEVEQLIAVGEVTELAIGVM
+>NM_024312|421717_3_2857_2933
+MGEKKVFPRSSRRRRVIEDTIGILH
+>DECOY_NM_024312|421717_3_2857_2933
+HLIGITDEIVRRRRSSRPFVKKEGM
+>NM_024312|421718_3_3778_3866
+MEGLSRQIEVLDPLCTSNIDYVYYILIFC
+>DECOY_NM_024312|421718_3_3778_3866
+CFILIYYVYDINSTCLPDLVEIQRSLGEM
+>NM_004843|421733_2_1495_1601
+MGTPWRNSTGSGFPLGTSVLCYQGISLSGSPIESL
+>DECOY_NM_004843|421733_2_1495_1601
+LSEIPSGSLSIGQYCLVSTGLPFGSGTSNRWPTGM
+>NM_024743|421899_2_929_1065
+MVLWCFLWGHCFKMLQKKRLISLLQPLPRSHRRCYGGTKEKNHPH
+>DECOY_NM_024743|421899_2_929_1065
+HPHNKEKTGGYCRRHSRPLPQLLSILRKKQLMKFCHGWLFCWLVM
+>NM_003854|421975_2_1054_1139
+MAFLSCATLECPQHTLYYSSQLRIFELT
+>DECOY_NM_003854|421975_2_1054_1139
+TLEFIRLQSSYYLTHQPCELTACSLFAM
+>NM_003609|422014_2_813_991
+MGWQQKSAQPKRRIQGEPQRQLRRAVMRNGRGTCPHRGERRAVRRRKRGTRGRLGRNLW
+>DECOY_NM_003609|422014_2_813_991
+WLNRGLRGRTGRKRRRVARREGRHPCTGRGNRMVARRLQRQPEGQIRRKPQASKQQWGM
+>NM_003609|422017_2_1251_1447
+MAGESQLGRRGAVSRKARQRGSWETQTARKSRKRQPAVGMTVGEIENPQCRGRVRTGPSLRVGRG
+>DECOY_NM_003609|422017_2_1251_1447
+GRGVRLSPGTRVRGRCQPNEIEGVTMGVAPQRKRSKRATQTEWSGRQRAKRSVAGRRGLQSEGAM
+>NM_198834|422130_2_1723_1850
+MAMLSLCLVVIALYNAGIRRLLKKHLLLLLLQQYLNTWNSVR
+>DECOY_NM_198834|422130_2_1723_1850
+RVSNWTNLYQQLLLLLLHKKLLRRIGANYLAIVVLCLSLMAM
+>NM_198834|422147_2_4588_4766
+MGSGALLSWLHKRISESRSTMRWIGDFIENSLNFLHSEQGISLRRIVSIVIWSLLWLSS
+>DECOY_NM_198834|422147_2_4588_4766
+SSLWLLSWIVISVIRRLSIGQESHLFNLSNEIFDGIWRMTSRSESIRKHLWSLLAGSGM
+>NM_001130413|422207_2_1144_1547
+MAWTARPDSSGPSTTPPTAAATRSMASGQLSAPASPTESAWSSGLSSSLTSLCCPRWPASGSWFTAVTTRPSWGTTASASGQGRRPPSASERTRCTGSGAPTATAPPAGKAWRWSCYTTPPTPGRPAWCPASSS
+>DECOY_NM_001130413|422207_2_1144_1547
+SSSAPCWAPRGPTPPTTYCSWRWAKGAPPATATPAGSGTCRTRESASPPRRGQGSASATTGWSPRTTVATFWSGSAPWRPCCLSTLSSSLGSSWASETPSAPASLQGSAMSRTAAATPPTTSPGSSDPRATWAM
+>NM_001130413|422209_3_254_669
+MAEHRSMDGRMEAATRGGSHLQIAWACGSPEALPPEGMAAQTHSAQRCLQTGPGCSPDAPQAGATISTTTTTQGGAPGGAGGAARLVPGAAHLLLHQCHHPRRHPPGLLPREPPQDDVLGAAVPGSPGRALLAAGAPL
+>DECOY_NM_001130413|422209_3_254_669
+LPAGAALLARGPSGPVAAGLVDDQPPERPLLGPPHRRPHHCQHLLLHAAGPVLRAAGGAGGPAGGQTTTTTSITAGAQPADPSCGPGTQLCRQASHTQAAMGEPPLAEPSGCAWAIQLHSGGRTAAEMRGDMSRHEAM
+>NM_001130413|422210_3_272_669
+MDGRMEAATRGGSHLQIAWACGSPEALPPEGMAAQTHSAQRCLQTGPGCSPDAPQAGATISTTTTTQGGAPGGAGGAARLVPGAAHLLLHQCHHPRRHPPGLLPREPPQDDVLGAAVPGSPGRALLAAGAPL
+>DECOY_NM_001130413|422210_3_272_669
+LPAGAALLARGPSGPVAAGLVDDQPPERPLLGPPHRRPHHCQHLLLHAAGPVLRAAGGAGGPAGGQTTTTTSITAGAQPADPSCGPGTQLCRQASHTQAAMGEPPLAEPSGCAWAIQLHSGGRTAAEMRGDM
+>NM_001130413|422211_3_284_669
+MEAATRGGSHLQIAWACGSPEALPPEGMAAQTHSAQRCLQTGPGCSPDAPQAGATISTTTTTQGGAPGGAGGAARLVPGAAHLLLHQCHHPRRHPPGLLPREPPQDDVLGAAVPGSPGRALLAAGAPL
+>DECOY_NM_001130413|422211_3_284_669
+LPAGAALLARGPSGPVAAGLVDDQPPERPLLGPPHRRPHHCQHLLLHAAGPVLRAAGGAGGPAGGQTTTTTSITAGAQPADPSCGPGTQLCRQASHTQAAMGEPPLAEPSGCAWAIQLHSGGRTAAEM
+>NM_001130413|422212_3_365_669
+MAAQTHSAQRCLQTGPGCSPDAPQAGATISTTTTTQGGAPGGAGGAARLVPGAAHLLLHQCHHPRRHPPGLLPREPPQDDVLGAAVPGSPGRALLAAGAPL
+>DECOY_NM_001130413|422212_3_365_669
+LPAGAALLARGPSGPVAAGLVDDQPPERPLLGPPHRRPHHCQHLLLHAAGPVLRAAGGAGGPAGGQTTTTTSITAGAQPADPSCGPGTQLCRQASHTQAAM
+>NM_001130413|422213_3_1088_1278
+MGGQPREPGRPLRPLLQLRWPGLPGPTVPDLPPPHLRQLLHGRWRLDSSAPRHHPRSRPGPQG
+>DECOY_NM_001130413|422213_3_1088_1278
+GQPGPRSRPHHRPASSDLRWRGHLLQRLHPPPLDPVTPGPLGPWRLQLLPRLPRGPERPQGGM
+>NM_004274|422308_2_1560_1792
+MGTLKTQSNFTLKKFLPAWEGLTTAIKRNLDLKSHTRPQKRCLHAEHLNGGLVQANKLKIQRAQQCQMESFLILPRP
+>DECOY_NM_004274|422308_2_1560_1792
+PRPLILFSEMQCQQARQIKLKNAQVLGGNLHEAHLCRKQPRTHSKLDLNRKIATTLGEWAPLFKKLTFNSQTKLTGM
+>NM_004274|422317_2_3972_4084
+MGDLSMPQILLPPLVHTFTRCTASTMLNSMRTTTCHF
+>DECOY_NM_004274|422317_2_3972_4084
+FHCTTTRMSNLMTSATCRTFTHVLPPLLIQPMSLDGM
+>NM_004274|422337_3_3301_3377
+MGTAWENPRREDPGHNGRAQWVEST
+>DECOY_NM_004274|422337_3_3301_3377
+TSEVWQARGNHGPDERRPNEWATGM
+>NM_004274|422338_3_3445_3518
+MAKRYRAFHLQFLSETRKGRNNEY
+>DECOY_NM_004274|422338_3_3445_3518
+YENNRGKRTESLFQLHFARYRKAM
+>NM_002093|422350_2_422_534
+MDHLVWYIKPNFVIQENWSPSRKYCRTRDLRIESSRS
+>DECOY_NM_002093|422350_2_422_534
+SRSSEIRLDRTRCYKRSPSWNEQIVFNPKIYWVLHDM
+>NM_017581|422427_2_551_648
+MAIRWTYSTPWTAEISLTSLKMWNGRSMACPL
+>DECOY_NM_017581|422427_2_551_648
+LPCAMSRGNWMKLSTLSIEATWPTSYTWRIAM
+>NM_004688|422563_2_886_980
+MEAERWTAWTMTDSPGVQSSRLWRLEWLTRF
+>DECOY_NM_004688|422563_2_886_980
+FRTLWELRWLRSSQVGPSDTMTWATWREAEM
+>NM_012334|422937_2_6325_6566
+MARRCLMWSARKVASLRNSGWVSARTPSPSTSVEREDHWKSSSMNTSSLLGHPWRIRIRSWSMRGSCSLKPVRWWMWPSS
+>DECOY_NM_012334|422937_2_6325_6566
+SSPWMWWRVPKLSCSGRMSWSRIRIRWPHGLLSSTNMSSSKWHDEREVSTSPSPTRASVWGSNRLSAVKRASWMLCRRAM
+>NM_012334|422949_3_4658_4830
+MVAQRGEEQSKDVFTETEETVVCTHPQFPGLLQEFREERAQTGDPGPQQPLLCRPPR
+>DECOY_NM_012334|422949_3_4658_4830
+RPPRCLLPQQPGPDGTQAREERFEQLLGPFQPHTCVVTEETETFVDKSQEEGRQAVM
+>NM_012334|422951_3_5810_5892
+MEILLQTLLLPGHRQRAKRQCGVCIYV
+>DECOY_NM_012334|422951_3_5810_5892
+VYICVGCQRKARQRHGPLLLTQLLIEM
+>NM_014467|423391_2_676_770
+MEKPHATHRREEIITAAWARVVSSPVTGAFD
+>DECOY_NM_014467|423391_2_676_770
+DFAGTVPSSVVRAWAATIIEERRHTAHPKEM
+>NM_014467|423393_2_1330_1445
+MVPPVNTTVMAVMIARGHPPGSVSPAASGQVHHQSVLL
+>DECOY_NM_014467|423393_2_1330_1445
+LLVSQHHVQGSAAPSVSGPPHGRAIMVAMVTTNVPPVM
+>NM_014467|423394_2_1357_1445
+MAVMIARGHPPGSVSPAASGQVHHQSVLL
+>DECOY_NM_014467|423394_2_1357_1445
+LLVSQHHVQGSAAPSVSGPPHGRAIMVAM
+>NM_005510|423407_2_514_716
+MEMPEPCATIAHPPLTVQAPTLTSETDTRIDTSPGTRRSRKGWTTCCAGSWNTEAGWRGVQAGWQRP
+>DECOY_NM_005510|423407_2_514_716
+PRQWGAQVGRWGAETNWSGACCTTWGKRSRRTGPSTDIRTDTESTLTPAQVTLPPHAITACPEPMEM
+>NM_004807|423499_2_668_804
+MGARPRLRSCRPATRARTGRAARYRSSWTARTTWPTTARCACWPT
+>DECOY_NM_004807|423499_2_668_804
+TPWCACRATTPWTTRATWSSRYRAARGTRARTAPRCSRLRPRAGM
+>NM_002862|423542_2_509_639
+MEAWGGWQRVSLTQWLPWAWQHTAMESAMNLGFLTRRLSMAGR
+>DECOY_NM_002862|423542_2_509_639
+RGAMSLRRTLFGLNMASEMATHQWAWPLWQTLSVRQWGGWAEM
+>NM_145725|423572_2_1062_1141
+MAAFFRGQTSRSRPTRPAPPCSTSTC
+>DECOY_NM_145725|423572_2_1062_1141
+CTSTSCPPAPRTPRSRSTQGRFFAAM
+>NM_145725|423573_2_1596_1732
+MECSSGRFATTSGGSRRPSWGRPCPFTASLSTLVTLAIRCVPGST
+>DECOY_NM_145725|423573_2_1596_1732
+TSGPVCRIALTVLTSLSATFPCPRGWSPRRSGGSTTAFRGSSCEM
+>NM_007355|423651_2_103_212
+MERRRWRLLPFRQKLPNSCPSSSIPSIPTRRFSFGS
+>DECOY_NM_007355|423651_2_103_212
+SGFSFRRTPISPISSSPCSNPLKQRFPLLRWRRREM
+>NM_025092|423859_2_254_342
+MGLAGTRTGPCCPAPSTSGWRPLQGWGSS
+>DECOY_NM_025092|423859_2_254_342
+SSGWGQLPRWGSTSPAPCCPGTRTGALGM
+>NM_025092|423862_2_947_1047
+MASVLGASPMGAVRNATGATSSGTRTSGCSRVS
+>DECOY_NM_025092|423862_2_947_1047
+SVRSCGSTRTGSSTAGTANRVAGMPSAGLVSAM
+>NM_152503|423989_2_2201_2286
+MAIFRDYFSLPTHCWKEISSLHRRSCTY
+>DECOY_NM_152503|423989_2_2201_2286
+YTCSRRHLSSIEKWCHTPLSFYDRFIAM
+>NM_152503|423994_3_2178_2299
+MGSPGRKRWPSSGTISPCQRIAGKKSAPCTEGHVLISPSS
+>DECOY_NM_152503|423994_3_2178_2299
+SSPSILVHGETCPASKKGAIRQCPSITGSSPWRKRGPSGM
+>NM_013389|424059_2_687_763
+MVWPHWTSPSTSWSLARPWGVGFSL
+>DECOY_NM_013389|424059_2_687_763
+LSFGVGWPRALSWSTSPSTWHPWVM
+>NM_013389|424069_3_1423_1688
+MVARSTAQHLPAGHLLRPPQSGQYQSLRLLHQQPPAVFPEQPHAPAAHSQPDTDGADLPSRLEGPFSVLCQCPAHLQGWHSPGPELHG
+>DECOY_NM_013389|424069_3_1423_1688
+GHLEPGPSHWGQLHAPCQCLVSFPGELRSPLDAGDTDPQSHAAPAHPQEPFVAPPQQHLLRLSQYQGSQPPRLLHGAPLHQATSRAVM
+>NM_014376|424125_2_2824_3053
+MGPLTVLCGLPFLSPKNHNETNLPTSSLITSMDPSLSTLPTATSTAPTGISWGHLISRLSADSWVIRASLWSWRNC
+>DECOY_NM_014376|424125_2_2824_3053
+CNRWSWLSARIVWSDASLRSILHGWSIGTPATSTATPLTSLSPDMSTILSSTPLNTENHNKPSLFPLGCLVTLPGM
+>NM_006095|424174_2_1550_1659
+MAALLMNGRTHSLEMKKHLVIHHCWKISKIIIQLHL
+>DECOY_NM_006095|424174_2_1550_1659
+LHLQIIIKSIKWCHHIVLHKKMELSHTRGNMLLAAM
+>NM_006095|424178_2_3125_3198
+MVLHLEMGKPRIICYWETLCTLLW
+>DECOY_NM_006095|424178_2_3125_3198
+WLLTCLTEWYCIIRPKGMELHLVM
+>NM_006095|424190_3_3243_3340
+MVQPHSDMGEHRTLGGVFWNLLISVACHSDGP
+>DECOY_NM_006095|424190_3_3243_3340
+PGDSHCAVSILLNWFVGGLTRHEGMDSHPQVM
+>NM_006095|424191_3_3264_3340
+MGEHRTLGGVFWNLLISVACHSDGP
+>DECOY_NM_006095|424191_3_3264_3340
+PGDSHCAVSILLNWFVGGLTRHEGM
+>NM_003126|424257_2_1073_1146
+MAKTLLPLKDCFTVTRDLREILLS
+>DECOY_NM_003126|424257_2_1073_1146
+SLLIERLDRTVTFCDKLPLLTKAM
+>NM_003126|424267_2_2342_2466
+MGKAWPRYRIDSGNTASWSRLWLLVRIRWISLQTWLHILKK
+>DECOY_NM_003126|424267_2_2342_2466
+KKLIHLWTQLSIWRIRVLLWLRSWSATNGSDIRYRPWAKGM
+>NM_003126|424282_2_6065_6171
+MVQTLVTSSLFWQNRTLWMPVCRVSSKRDFPRSLT
+>DECOY_NM_003126|424282_2_6065_6171
+TLSRPFDRKSSVRCVPMWLTRNQWFLSSTVLTQVM
+>NM_001723|424788_2_3454_3527
+MGHIQICFSVRRQQCLRIANLQER
+>DECOY_NM_001723|424788_2_3454_3527
+REQLNAIRLCQQRRVSFCIQIHGM
+>NM_015548|425018_2_4315_4409
+MASQQLEKRMKLMVTCWRLMLMGKLEPLRRI
+>DECOY_NM_015548|425018_2_4315_4409
+IRRLPELKGMLMLRWCTVMLKMRKELQQSAM
+>NM_015548|425026_2_5869_5972
+MAYQVIRLWSLKKQIICQRNSRKWRIPSKKKKKL
+>DECOY_NM_015548|425026_2_5869_5972
+LKKKKKSPIRWKRSNRQCIIQKKLSWLRIVQYAM
+>NM_015548|425049_3_6029_6114
+MDKRNNKKSSHCTAFFWCRGFRKIFGRH
+>DECOY_NM_015548|425049_3_6029_6114
+HRGFIKRFGRCWFFATCHSSKKNNRKDM
+>NM_020388|425163_2_5164_5237
+METWMRLLACYWKAVMQNLMETQP
+>DECOY_NM_020388|425163_2_5164_5237
+PQTEMLNQMVAKWYCALLRMWTEM
+>NM_020388|425182_2_6877_6953
+MGIIYMTVMIRMTMMMMALMKKEEV
+>DECOY_NM_020388|425182_2_6877_6953
+VEEKKMLAMMMMTMRIMVTMYIIGM
+>NM_001031807|425436_2_2389_2549
+MGLPWMSQELIWWRKISRTWPERNCKNCSWNKWSSARSWNGNFRVSKIIFRIK
+>DECOY_NM_001031807|425436_2_2389_2549
+KIRFIIKSVRFNGNWSRASSWKNWSCNKCNREPWTRSIKRWWILEQSMWPLGM
+>NM_000495|425506_2_3716_4095
+MVFLDQLDRRVNQVNQALETQDPLDFQDFLAKRVMEDYLGFQEILAFQVQRANQAFTVSLVCRVPQALLVLRVQLWKDLKATLGPKVLLGDQVYQVQKVLQVSLEMEVLKERREIQANLGYLACLV
+>DECOY_NM_000495|425506_2_3716_4095
+VLCALYGLNAQIERREKLVEMELSVQLVKQVQYVQDGLLVKPGLTAKLDKWLQVRLVLLAQPVRCVLSVTFAQNARQVQFALIEQFGLYDEMVRKALFDQFDLPDQTELAQNVQNVRRDLQDLFVM
+>NM_000495|425507_2_3818_4095
+MEDYLGFQEILAFQVQRANQAFTVSLVCRVPQALLVLRVQLWKDLKATLGPKVLLGDQVYQVQKVLQVSLEMEVLKERREIQANLGYLACLV
+>DECOY_NM_000495|425507_2_3818_4095
+VLCALYGLNAQIERREKLVEMELSVQLVKQVQYVQDGLLVKPGLTAKLDKWLQVRLVLLAQPVRCVLSVTFAQNARQVQFALIEQFGLYDEM
+>NM_024681|425565_3_281_360
+MASWCWTRTWLRRGSWRKPSSTTSAR
+>DECOY_NM_024681|425565_3_281_360
+RASTTSSPKRWSGRRLWTRTWCWSAM
+>NM_005022|425581_2_377_465
+MGNLAWIFVPRAPVGPPPSMSLSPRLTRR
+>DECOY_NM_005022|425581_2_377_465
+RRTLRPSLSMSPPPGVPARPVFIWALNGM
+>NM_015650|425601_2_738_904
+MAETDTEKGREREPKPGPGQTTSDRKTEATGSGTETPSARRRQRERVRGGKRRRD
+>DECOY_NM_015650|425601_2_738_904
+DRRRKGGRVRERQRRRASPTETGSGTAETKRDSTTQGPGPKPERERGKETDTEAM
+>NM_015650|425613_3_1831_1967
+MEEGEGHRFQGDREAPHVHPDPVQERTSPGEDHGLHPGRRGCHAE
+>DECOY_NM_015650|425613_3_1831_1967
+EAHCGRRGPHLGHDEGPSTREQVPDPHVHPAERDGQFRHGEGEEM
+>NM_030820|425698_2_1655_1968
+MVSLDIRELQGHQVFQDLQEYKELEDYQVTKENQGEMVTRVIVDFLVFLGFMACQDQRVKWVPKETKDHLDFMAKRVQKVKRGMLASLASLDLLENQEDMERMD
+>DECOY_NM_030820|425698_2_1655_1968
+DMREMDEQNELLDLSALSALMGRKVKQVRKAMFDLHDKTEKPVWKVRQDQCAMFGLFVLFDVIVRTVMEGQNEKTVQYDELEKYEQLDQFVQHGQLERIDLSVM
+>NM_030820|425699_2_1763_1968
+MVTRVIVDFLVFLGFMACQDQRVKWVPKETKDHLDFMAKRVQKVKRGMLASLASLDLLENQEDMERMD
+>DECOY_NM_030820|425699_2_1763_1968
+DMREMDEQNELLDLSALSALMGRKVKQVRKAMFDLHDKTEKPVWKVRQDQCAMFGLFVLFDVIVRTVM
+>NM_030820|425702_2_2159_2508
+MAHQASLEHRDLREAKVNLEFKGCLGLLGSRENQEQRVPQENQDTWVYPGFKEKRGTKEIKVKKVFRVKREKMEDREFQGNREFKAIMVQKEREVKRENLVSEVPLDQKENLGWMA
+>DECOY_NM_030820|425702_2_2159_2508
+AMWGLNEKQDLPVESVLNERKVEREKQVMIAKFERNGQFERDEMKERKVRFVKKVKIEKTGRKEKFGPYVWTDQNEQPVRQEQNERSGLLGLCGKFELNVKAERLDRHELSAQHAM
+>NM_030820|425703_2_2375_2508
+MEDREFQGNREFKAIMVQKEREVKRENLVSEVPLDQKENLGWMA
+>DECOY_NM_030820|425703_2_2375_2508
+AMWGLNEKQDLPVESVLNERKVEREKQVMIAKFERNGQFERDEM
+>NM_030820|425704_2_2420_2508
+MVQKEREVKRENLVSEVPLDQKENLGWMA
+>DECOY_NM_030820|425704_2_2420_2508
+AMWGLNEKQDLPVESVLNERKVEREKQVM
+>NM_030820|425705_2_2855_2940
+MGKKGAKGLGILENKVLLVPQVQRALLE
+>DECOY_NM_030820|425705_2_2855_2940
+ELLARQVQPVLLVKNELIGLGKAGKKGM
+>NM_030820|425706_2_2984_3063
+METMENLESKGNQAPQASATHHYVLV
+>DECOY_NM_030820|425706_2_2984_3063
+VLVYHHTASAQPAQNGKSELNEMTEM
+>NM_019105|425767_2_1820_1911
+MACACVTQATQGKTAARAAAPGAAEAAASA
+>DECOY_NM_019105|425767_2_1820_1911
+ASAAAEAAGPAAARAATKGQTAQTVCACAM
+>NM_019105|425768_2_2219_2319
+MAVRTAGRKSLQPAPALEAAGPGNCAGQASVCV
+>DECOY_NM_019105|425768_2_2219_2319
+VCVSAQGACNGPGAAELAPAPQLSKRGATRVAM
+>NM_019105|425769_2_2393_2466
+MAAVSAKMGMLAKTAEKRCQPLRA
+>DECOY_NM_019105|425769_2_2393_2466
+ARLPQCRKEATKALMGMKASVAAM
+>NM_019105|425779_2_3617_3702
+MVRWWLKPRSCLRVTQVQGLHPTWETCG
+>DECOY_NM_019105|425779_2_3617_3702
+GCTEWTPHLGQVQTVRLCSRPKLWWRVM
+>NM_019105|425780_2_3791_4002
+MDGPRWYLWKGPSVHLLSPHWTLTTSTDSLCLELRTRSGMAPSRPMAPLLQRGKRSPPALSSWSSPSWGN
+>DECOY_NM_019105|425780_2_3791_4002
+NGWSPSSWSSLAPPSRKGRQLLPAMPRSPAMGSRTRLELCLSDTSTTLTWHPSLLHVSPGKWLYWRPGDM
+>NM_019105|425781_2_3908_4002
+MAPSRPMAPLLQRGKRSPPALSSWSSPSWGN
+>DECOY_NM_019105|425781_2_3908_4002
+NGWSPSSWSSLAPPSRKGRQLLPAMPRSPAM
+>NM_019105|425785_2_5150_5247
+MGNDAAQSLWRQRRLPEVTPAQGPHPALGSCG
+>DECOY_NM_019105|425785_2_5150_5247
+GCSGLAPHPGQAPTVEPLRRQRWLSQAADNGM
+>NM_019105|425789_2_5450_5553
+MALSLPTAPRKPGVLWMILEQSVPQNPVWGRSCR
+>DECOY_NM_019105|425789_2_5450_5553
+RCSRGWVPNQPVSQELIMWLVGPKRPATPLSLAM
+>NM_019105|425791_2_6890_6981
+MGTGSPRRCGCRDTRMGSPSRAWSQTTSTR
+>DECOY_NM_019105|425791_2_6890_6981
+RTSTTQSWARSPSGMRTDRCGCRRPSGTGM
+>NM_019105|425794_2_7217_7308
+MGMGSPRQHGCQDMRTGSPSPAWSQTTSTR
+>DECOY_NM_019105|425794_2_7217_7308
+RTSTTQSWAPSPSGTRMDQCGHQRPSGMGM
+>NM_019105|425795_2_7223_7308
+MGSPRQHGCQDMRTGSPSPAWSQTTSTR
+>DECOY_NM_019105|425795_2_7223_7308
+RTSTTQSWAPSPSGTRMDQCGHQRPSGM
+>NM_019105|425798_2_8192_8283
+MGMGSPRRCGCRGTRTGSPSQAWSQTINTR
+>DECOY_NM_019105|425798_2_8192_8283
+RTNITQSWAQSPSGTRTGRCGCRRPSGMGM
+>NM_019105|425799_2_8198_8283
+MGSPRRCGCRGTRTGSPSQAWSQTINTR
+>DECOY_NM_019105|425799_2_8198_8283
+RTNITQSWAQSPSGTRTGRCGCRRPSGM
+>NM_019105|425802_2_8834_8925
+MGMGSPRWCGCRGTRTGSPSQAWSQTTSTR
+>DECOY_NM_019105|425802_2_8834_8925
+RTSTTQSWAQSPSGTRTGRCGCWRPSGMGM
+>NM_019105|425803_2_8840_8925
+MGSPRWCGCRGTRTGSPSQAWSQTTSTR
+>DECOY_NM_019105|425803_2_8840_8925
+RTSTTQSWAQSPSGTRTGRCGCWRPSGM
+>NM_019105|425807_2_10199_10278
+MGNATAQSLWRPGPPQTPNRLPAWGS
+>DECOY_NM_019105|425807_2_10199_10278
+SGWAPLRNPTQPPGPRWLSQATANGM
+>NM_019105|425809_2_10373_10560
+MVGSRWCRWQPTSGRSQSRAWSPVGNTGSCSMVCQAGNDWAPSLLTAPQLPWRRSYLPTWGN
+>DECOY_NM_019105|425809_2_10373_10560
+NGWTPLYSRRWPLQPATLLSPAWDNGAQCVMSCSGTNGVPSWARSQSRGSTPQWRCWRSGVM
+>NM_152335|425835_2_1293_1600
+MAPAPPRRVPPAAQSPGPRVTAARRWAPPWTAALPARSRPLSPALLPRRCHPSSRWRRPQSRTCCPPCRRTPALPRRPWTQPPSPGPAQRARPKPAPSWNTG
+>DECOY_NM_152335|425835_2_1293_1600
+GTNWSPAPKPRARQAPGPSPPQTWPRRPLAPTRRCPPCCTRSQPRRWRSSPHCRRPLLAPSLPRSRAPLAATWPPAWRRAATVRPGPSQAAPPVRRPPAPAM
+>NM_176819|425960_3_533_690
+MAAGQAPHAGPGAGPGQPAPALPFAATPGSRGQALCRGGRRRQHLHGPLHRP
+>DECOY_NM_176819|425960_3_533_690
+PRHLPGHLHQRRRGGRCLAQGRSGPTAAFPLAPAPQGPGAGPGAHPAQGAAM
+>NM_176819|425961_3_767_846
+MAAAQVPGLLWQIPRQHQHQTAAGIL
+>DECOY_NM_176819|425961_3_767_846
+LIGAATQHQHQRPIQWLLGPVQAAAM
+>NM_022822|426081_2_1706_1836
+MAVAGGETAAAAETWLGVPGLGLSLTSRTWDLQLSGMGMAVAP
+>DECOY_NM_022822|426081_2_1706_1836
+PAVAMGMGSLQLDWTRSTLSLGLGPVGLWTEAAAATEGGAVAM
+>NM_080737|426267_2_1701_1864
+MVVLAETLSLERQRSRWIPGSLIRNWIIASLYMERSVLSPRLACHHTKASWWFH
+>DECOY_NM_080737|426267_2_1701_1864
+HFWWSAKTHHCALRPSLVSREMYLSAIIWNRILSGPIWRSRQRELSLTEALVVM
+>NM_080737|426271_3_1033_1112
+MEEDVCSQISSRKGNSAWRSKCGICG
+>DECOY_NM_080737|426271_3_1033_1112
+GCIGCKSRWASNGKRSSIQSCVDEEM
+>NM_004785|426278_2_600_715
+MGSTCIVTSPGPASTSALWTRAHLPPALASAPRTGSLR
+>DECOY_NM_004785|426278_2_600_715
+RLSGTRPASALAPPLHARTWLASTSAPGPSTVICTSGM
+>NM_014981|426375_2_2179_2267
+MVSWKGLGYAVKVFQTDCSMLILNKGTAF
+>DECOY_NM_014981|426375_2_2179_2267
+FATGKNLILMSCDTQFVKVAYGLGKWSVM
+>NM_001215|426407_2_670_830
+MAHSPRLPALRTSTGLCWQILSSSPGHRFGSWRIPYWITATRPSTTITAGPSP
+>DECOY_NM_001215|426407_2_670_830
+PSPGATITTSPRTATIWYPIRWSGFRHGPSSSLIQWCLGTSTRLAPLRPSHAM
+>NM_014381|426553_2_3010_3107
+MVSSQHQILPHRIIPLIKIVKHILTAIQQRTV
+>DECOY_NM_014381|426553_2_3010_3107
+VTRQQIATLIHKVIKILPIIRHPLIQHQSSVM
+>NM_014381|426555_2_3265_3365
+MEFVFRVRNLKQELVLKLKSQTRVVQIGSGISM
+>DECOY_NM_014381|426555_2_3265_3365
+MSIGSGIQVVRTQSKLKLVLEQKLNRVRFVFEM
+>NM_014381|426557_2_3493_3575
+MGLSTGVNLLEATLFFLSFRELEQRGL
+>DECOY_NM_014381|426557_2_3493_3575
+LGRQELERFSLFFLTAELLNVGTSLGM
+>NM_014381|426559_2_3847_3920
+MARQIPTRSNRHKALVGKNYCLLL
+>DECOY_NM_014381|426559_2_3847_3920
+LLLCYNKGVLAKHRNSRTPIQRAM
+>NM_000098|426586_2_735_901
+MASSGKQNNFARVLKMGLEKNCMSSWLLWTNRINIQATFRDPGLICTYLLETPLF
+>DECOY_NM_000098|426586_2_735_901
+FLPTELLYTCILGPDRFTAQINIRNTWLLWSSMCNKELGMKLVRAFNNQKGSSAM
+>NM_000098|426587_2_780_901
+MGLEKNCMSSWLLWTNRINIQATFRDPGLICTYLLETPLF
+>DECOY_NM_000098|426587_2_780_901
+FLPTELLYTCILGPDRFTAQINIRNTWLLWSSMCNKELGM
+>NM_000098|426589_2_1119_1252
+MGPTWSMRIPWICPSIFGFSTQLVYPNPVGMNSSLMTRPDTSWS
+>DECOY_NM_000098|426589_2_1119_1252
+SWSTDPRTMLSSNMGVPNPYVLQTSFGFISPCIWPIRMSWTPGM
+>NM_000098|426593_2_1551_1771
+MAQTAGLINPLTSLSPRMALLPSTLSTLGVMVWQCSDFLMKYLKTALRPLPSLHRASQLPLTLLSRCRNSTSS
+>DECOY_NM_000098|426593_2_1551_1771
+SSTSNRCRSLLTLPLQSARHLSPLPRLATKLYKMLFDSCQWVMVGLTSLTSPLLAMRPSLSTLPNILGATQAM
+>NM_000098|426594_2_1602_1771
+MALLPSTLSTLGVMVWQCSDFLMKYLKTALRPLPSLHRASQLPLTLLSRCRNSTSS
+>DECOY_NM_000098|426594_2_1602_1771
+SSTSNRCRSLLTLPLQSARHLSPLPRLATKLYKMLFDSCQWVMVGLTSLTSPLLAM
+>NM_000098|426595_2_1641_1771
+MVWQCSDFLMKYLKTALRPLPSLHRASQLPLTLLSRCRNSTSS
+>DECOY_NM_000098|426595_2_1641_1771
+SSTSNRCRSLLTLPLQSARHLSPLPRLATKLYKMLFDSCQWVM
+>NM_001040108|426793_2_3847_3992
+MARQVGTCSCWWISTLPMSVYVWSSLSLIPTRSNRHKALVGKNYCLLL
+>DECOY_NM_001040108|426793_2_3847_3992
+LLLCYNKGVLAKHRNSRTPILSLSSWVYVSMPLTSIWWCSCTGVQRAM
+>NM_015127|426928_2_276_361
+MVFQGKRMSVLTCHVLMKYQNVITNLIL
+>DECOY_NM_015127|426928_2_276_361
+LILNTIVNQYKMLVHCTLVSMRKGQFVM
+>NM_006584|426972_2_1271_1380
+MDFVLSKMPLKMVVWFLELVQLKWQWLKLLLHIRTV
+>DECOY_NM_006584|426972_2_1271_1380
+VTRIHLLLKLWQWKLQVLELFWVVMKLPMKSLVFDM
+>NM_006584|426974_2_1304_1380
+MVVWFLELVQLKWQWLKLLLHIRTV
+>DECOY_NM_006584|426974_2_1304_1380
+VTRIHLLLKLWQWKLQVLELFWVVM
+>NM_014579|427000_2_641_729
+MDIYPHPQRVPSEPLSSCCHSPFTQCLKG
+>DECOY_NM_014579|427000_2_641_729
+GKLCQTFPSHCCSSLPESPVRQPHPYIDM
+>NM_014579|427001_3_606_721
+MGWGSYLRTPQPWTFTLTLKGSPPSPCPLAVTLLSLSV
+>DECOY_NM_014579|427001_3_606_721
+VSLSLLTVALPCPSPPSGKLTLTFTWPQPTRLYSGWGM
+>NM_014579|427002_3_852_937
+MGSVLHTIISSHVPPGPSRRAGCDWRGL
+>DECOY_NM_014579|427002_3_852_937
+LGRWDCGARRSPGPPVHSSIITHLVSGM
+>NM_000826|427061_2_1861_1958
+MASMGPGMQTRKFGMGWLENLYMGKLILQLLH
+>DECOY_NM_000826|427061_2_1861_1958
+HLLQLILKGMYLNELWGMGFKRTQMGPGMSAM
+>NM_000826|427062_2_1870_1958
+MGPGMQTRKFGMGWLENLYMGKLILQLLH
+>DECOY_NM_000826|427062_2_1870_1958
+HLLQLILKGMYLNELWGMGFKRTQMGPGM
+>NM_000826|427064_2_2194_2351
+MEEKHKVVNQLMNLGFLIVSGFPWVPLCGKDAIFRQDPSLGALLEVCGGSLP
+>DECOY_NM_000826|427064_2_2194_2351
+PLSGGCVELLAGLSPDQRFIADKGCLPVWPFGSVILFGLNMLQNVVKHKEEM
+>NM_004502|427078_2_230_588
+MERVRALPSPPRCRACTPAGGAWRARARPASTRPAMGSSRVPSTCTARPLSRTSPGCVPATPPRRRAPRSRGTRTWRPRVTSGSTPGCEAQELTANEAARPTPATRPWSWRKNFTTIAT
+>DECOY_NM_004502|427078_2_230_588
+TAITTFNKRWSWPRTAPTPRAAENATLEQAECGPTSGSTVRPRWTRTGRSRPARRRPPTAPVCGPSTRSLPRATCTSPVRSSGMAPRTSAPRARARWAGGAPTCARCRPPSPLARVREM
+>NM_004502|427079_2_335_588
+MGSSRVPSTCTARPLSRTSPGCVPATPPRRRAPRSRGTRTWRPRVTSGSTPGCEAQELTANEAARPTPATRPWSWRKNFTTIAT
+>DECOY_NM_004502|427079_2_335_588
+TAITTFNKRWSWPRTAPTPRAAENATLEQAECGPTSGSTVRPRWTRTGRSRPARRRPPTAPVCGPSTRSLPRATCTSPVRSSGM
+>NM_016151|427175_2_889_1070
+MAALEPYTLPGMSGIVRWWPSRRCPTVGSSPMRNGKTSSRRCGSYRSSGIPTPFSTGAVT
+>DECOY_NM_016151|427175_2_889_1070
+TVAGTSFPTPIGSSRYSGCRRSSTKGNRMPSSGVTPCRRSPWWRVIGSMGPLTYPELAAM
+>NM_016151|427181_3_989_1122
+MARHHQGGAVLTEAPASQHHSVPGLLPEGAHGLAGNGVLPGLSF
+>DECOY_NM_016151|427181_3_989_1122
+FSLGPLVGNGALGHAGEPLLGPVSHHQSAPAETLVAGGQHHRAM
+>NM_152305|427246_3_680_780
+MEKEKLYSIFPRIKDKSRTRSSHSSVSEKPKTC
+>DECOY_NM_152305|427246_3_680_780
+CTKPKESVSSHSSRTRSKDKIRPFISYLKEKEM
+>NM_152305|427247_3_1004_1077
+MGSLYPSQNRSLQCPRAVTICKSK
+>DECOY_NM_152305|427247_3_1004_1077
+KSKCITVARPCQLSRNQSPYLSGM
+>NM_006704|427276_2_604_677
+MAQNLRCGLISQKSSMTGIKQNLK
+>DECOY_NM_006704|427276_2_604_677
+KLNQKIGTMSSKQSILGCRLNQAM
+>NM_006704|427277_3_887_993
+MGKARGARRCAYAKTIRSRCKEPISIIISLYKKLG
+>DECOY_NM_006704|427277_3_887_993
+GLKKYLSIIISIPEKCRSRITKAYACRRAGRAKGM
+>NM_004783|427310_2_3646_3779
+MALLHQACPLQPGVSRLCWLPQAPQTGWGPPHKVGHPVAEPCCC
+>DECOY_NM_004783|427310_2_3646_3779
+CCCPEAVPHGVKHPPGWGTQPAQPLWCLRSVGPQLPCAQHLLAM
+>NM_002208|427371_2_1555_1796
+MGPCLSSRRRAERPASCQCWRESRWGPILALSCALWTLTWMEARTSCWWLLHFTTFMEKKAESTCTVSASRMVLSPWHAY
+>DECOY_NM_002208|427371_2_1555_1796
+YAHWPSLVMRSASVTCTSEAKKEMFTTFHLLWWCSTRAEMWTLTWLACSLALIPGWRSERWCQCSAPREARRRSSLCPGM
+>NM_002208|427377_2_1921_2144
+MVPASAVCISTMDTGTASPPAPRSGSEPPRWPQDSSTSACPWLVALILVATALPTSPWALWARRLCSAPGLWFA
+>DECOY_NM_002208|427377_2_1921_2144
+AFWLGPASCLRRAWLAWPSTPLATAVLILAVLWPCASTSSDQPWRPPESGSRPAPPSATGTDMTSICVASAPVM
+>NM_002208|427378_2_1954_2144
+MDTGTASPPAPRSGSEPPRWPQDSSTSACPWLVALILVATALPTSPWALWARRLCSAPGLWFA
+>DECOY_NM_002208|427378_2_1954_2144
+AFWLGPASCLRRAWLAWPSTPLATAVLILAVLWPCASTSSDQPWRPPESGSRPAPPSATGTDM
+>NM_052926|427403_2_1641_1744
+MARPRIPRLKTRLQAGRGHRLQERSWETRQGLGP
+>DECOY_NM_052926|427403_2_1641_1744
+PGLGQRTEWSREQLRHGRGAQLRTKLRPIRPRAM
+>NM_018061|427426_3_575_753
+MGERKQENSGPDRDVRRGSRCWNRRNCFYSILPVIQIIYPEVNSKASDGSYNTHRLSIY
+>DECOY_NM_018061|427426_3_575_753
+YISLRHTNYSGDSAKSNVEPYIIQIVPLISYFCNRRNWCRSGRRVDRDPGSNEQKREGM
+>NM_014767|427459_2_1208_1422
+MAGSLLLSGASASGGRSPPAWQSWSASRSRRPPRRSQASSSRAATRMATTGRCSVTRAAVTAGVWTSWAWS
+>DECOY_NM_014767|427459_2_1208_1422
+SWAWSTWVGATVAARTVSCRGTTAMRTAARSSSAQSRRPPRRSRSASWSQWAPPSRGGSASAGSLLLSGAM
+>NM_014767|427460_2_1346_1422
+MATTGRCSVTRAAVTAGVWTSWAWS
+>DECOY_NM_014767|427460_2_1346_1422
+SWAWSTWVGATVAARTVSCRGTTAM
+>NM_014767|427473_3_456_529
+MAVVHLAVQRQDQALEPLPRRSGG
+>DECOY_NM_014767|427473_3_456_529
+GGSRRPLPELAQDQRQVALHVVAM
+>NM_015074|427635_2_3178_3266
+MALEFDSQEQLKYLLIMNTLIRVTFRLLQ
+>DECOY_NM_015074|427635_2_3178_3266
+QLLRFTVRILTNMILLYKLQEQSDFELAM
+>NM_015074|427639_2_3586_3818
+MAEEVPWPFIMCRILQWRSLNHLWITSKPSLLYLKSLGIISSTHFICKDRSLTVRLSRAADSSLHPCHCPSQFQPPS
+>DECOY_NM_015074|427639_2_3586_3818
+SPPQFQSPCHCPHLSSDAARSLRVTLSRDKCIFHTSSIIGLSKLYLLSPKSTIWLHNLSRWQLIRCMIFPWPVEEAM
+>NM_015074|427658_3_2372_2499
+MEVSSVYFITGLTLGQCRVPKGGQCHQCGTEKEGAVSVCSAD
+>DECOY_NM_015074|427658_3_2372_2499
+DASCVSVAGEKETGCQHCQGGKPVRCQGLTLGTIFYVSSVEM
+>NM_014945|427852_2_828_1063
+MVFHTVSPTTMPSLALNVRLVTDTSVAESWRQEGSTTTQPVPGVYAATRCSPKERKCTSQVPRFGTPSANRQPGQRRS
+>DECOY_NM_014945|427852_2_828_1063
+SRRQGPQRNASPTGFRPVQSTCKREKPSCRTAAYVGPVPQTTTSGEQRWSEAVSTDTVLRVNLALSPMTTPSVTHFVM
+>NM_014945|427856_2_1287_1381
+MESRWEHYLPTPRTSTRTWTSGRDGPPARGT
+>DECOY_NM_014945|427856_2_1287_1381
+TGRAPPGDRGSTWTRTSTRPTPLYHEWRSEM
+>NM_014945|427857_2_1599_1825
+MVICLQQPRAKQVKTSARPPSTVPSTRQTPTMLRSLSTGPTMGPPKCPEPEGSRLEERRMILTAACTSSKVELAG
+>DECOY_NM_014945|427857_2_1599_1825
+GALEVKSSTCAATLIMRREELRSGEPEPCKPPGMTPGTSLSRLMTPTQRTSPVTSPPRASTKVQKARPQQLCIVM
+>NM_014945|427859_2_1722_1825
+MGPPKCPEPEGSRLEERRMILTAACTSSKVELAG
+>DECOY_NM_014945|427859_2_1722_1825
+GALEVKSSTCAATLIMRREELRSGEPEPCKPPGM
+>NM_014677|427926_2_2019_2101
+MGGQGILMLKFTFFQTEVIKTREELKQ
+>DECOY_NM_014677|427926_2_2019_2101
+QKLEERTKIVETQFFTFKLMLIGQGGM
+>NM_014677|427932_2_2799_2926
+MAGIVKQQIDSHITDPDQQNNGLSLSGPPPAPDPLNVLIQTS
+>DECOY_NM_014677|427932_2_2799_2926
+STQILVNLPDPAPPPGSLSLGNNQQDPDTIHSDIQQKVIGAM
+>NM_014677|427937_3_2593_2675
+MVTQCPSSTKSECGTGASRDPHYDRTL
+>DECOY_NM_014677|427937_3_2593_2675
+LTRDYHPDRSAGTGCESKTSSPCQTVM
+>NM_004212|427961_2_201_355
+MDWALPLTRGGVGGLSAKQEVSAKHTPDCSRRSCWACCVWPMLPISWQLAS
+>DECOY_NM_004212|427961_2_201_355
+SALQWSIPLMPWVCCAWCSRRSCDPTHKASVEQKASLGGVGGRTLPLAWDM
+>NM_004212|427967_3_502_716
+MGVCRSLLGWPYTVVGFRHSPKARAADPLCRNLHVHPYPLCLLQTPQRSVLEDSVFGPRSSICLWDLGHQN
+>DECOY_NM_004212|427967_3_502_716
+NQHGLDWLCISSRPGFVSDELVSRQPTQLLCLPYPHVHLNRCLPDAARAKPSHRFGVVTYPWGLLSRCVGM
+>NM_004212|427969_3_904_1052
+MGSSEGRLVFTNHYGHHCYRDPGCGRKHLCGYDRGTSAHPSLPWGHDTL
+>DECOY_NM_004212|427969_3_904_1052
+LTDHGWPLSPHASTGRDYGCLHKRGCGPDRYCHHGYHNTFVLRGESSGM
+>NM_080686|428033_2_709_995
+MVEGHQAYCHDSLERNFRPCRRLATRTRLPRKGSLPNSRLGPDQASAPKILQLGGTEVGVALMSWRARTPNFIMVMIPGVGYSLQAHPSSLPTAE
+>DECOY_NM_080686|428033_2_709_995
+EATPLSSPHAQLSYGVGPIMVMIFNPTRARWSMLAVGVETGGLQLIKPASAQDPGLRSNPLSGKRPLRTRTALRRCPRFNRELSDHCYAQHGEVM
+>NM_080686|428038_2_1219_1958
+MVGQGPMKRLTTLKSSSSAMRKMGETLMRRVLRATGIPNQLLVRNGPLKQMAKRATPPTANRPLLRRPGQKPLGLQRQSRDLLPQSLPYPHLTGAPPGTGAPLGTTQIVGVLPASPQHLKMRMRHGGSDESSRHLRFPWQWSGPGDGEKKRSGACKKSAGQPVLRSSSDSMKSLGHLTSGSKQSLLPHLLPLLPQLHHLQSLKNSLHLQLHLQHQPQHQRQNLKSQHRPLLPNLLLLQVWLRLPLW
+>DECOY_NM_080686|428038_2_1219_1958
+WLPLRLWVQLLLLNPLLPRHQSKLNQRQHQPQHQLHLQLHLSNKLSQLHHLQPLLPLLHPLLSQKSGSTLHGLSKMSDSSSRLVPQGASKKCAGSRKKEGDGPGSWQWPFRLHRSSEDSGGHRMRMKLHQPSAPLVGVIQTTGLPAGTGPPAGTLHPYPLSQPLLDRSQRQLGLPKQGPRRLLPRNATPPTARKAMQKLPGNRVLLQNPIGTARLVRRMLTEGMKRMASSSSKLTTLRKMPGQGVM
+>NM_080686|428041_2_1285_1958
+MGETLMRRVLRATGIPNQLLVRNGPLKQMAKRATPPTANRPLLRRPGQKPLGLQRQSRDLLPQSLPYPHLTGAPPGTGAPLGTTQIVGVLPASPQHLKMRMRHGGSDESSRHLRFPWQWSGPGDGEKKRSGACKKSAGQPVLRSSSDSMKSLGHLTSGSKQSLLPHLLPLLPQLHHLQSLKNSLHLQLHLQHQPQHQRQNLKSQHRPLLPNLLLLQVWLRLPLW
+>DECOY_NM_080686|428041_2_1285_1958
+WLPLRLWVQLLLLNPLLPRHQSKLNQRQHQPQHQLHLQLHLSNKLSQLHHLQPLLPLLHPLLSQKSGSTLHGLSKMSDSSSRLVPQGASKKCAGSRKKEGDGPGSWQWPFRLHRSSEDSGGHRMRMKLHQPSAPLVGVIQTTGLPAGTGPPAGTLHPYPLSQPLLDRSQRQLGLPKQGPRRLLPRNATPPTARKAMQKLPGNRVLLQNPIGTARLVRRMLTEGM
+>NM_080686|428047_2_2110_2216
+MGLVPPASPLVRAWATPNIRSRCLLVSSGSSRSSS
+>DECOY_NM_080686|428047_2_2110_2216
+SSSRSSGSSVLLCRSRINPTAWARVLPSAPPVLGM
+>NM_080686|428049_2_2779_2927
+MEPLGPQSLAFLWRNQGPVHSPGPQAVMKWPRYKLHHPRRSPLRRRLHS
+>DECOY_NM_080686|428049_2_2779_2927
+SHLRRRLPSRRPHHLKYRPWKMVAQPGPSHVPGQNRWLFALSQPGLPEM
+>NM_080686|428054_2_3439_3875
+MGVEVGQGDQTTLLLPEAALPARHGARVQSMRKSPSGAGSGAQKQAARPMRVIWLLQTRRLPHPRREHSPRSLSLPHHQEPHLHQPQPASLPGVGESSLPEGCHLAGAEEEGGPLLKFAQAGALQPSLWLPRNLPQALCHQVRSL
+>DECOY_NM_080686|428054_2_3439_3875
+LSRVQHCLAQPLNRPLWLSPQLAGAQAFKLLPGGEEEAGALHCGEPLSSEGVGPLSAPQPQHLHPEQHHPLSLSRPSHERRPHPLRRTQLLWIVRMPRAAQKQAGSGAGSPSKRMSQVRAGHRAPLAAEPLLLTTQDGQGVEVGM
+>NM_080686|428057_2_3928_4028
+MEVAMWAWKMGSDPEGGDMGGLSSRINRLVSGG
+>DECOY_NM_080686|428057_2_3928_4028
+GGSVLRNIRSSLGGMDGGEPDSGMKWAWMAVEM
+>NM_080686|428059_2_3955_4028
+MGSDPEGGDMGGLSSRINRLVSGG
+>DECOY_NM_080686|428059_2_3955_4028
+GGSVLRNIRSSLGGMDGGEPDSGM
+>NM_080686|428062_2_5395_5567
+MGTERSCPGSSLCPLAPLAQNDHSVQTEAQSLAPFGHPIDLVPQSSLALVTRTQTYA
+>DECOY_NM_080686|428062_2_5395_5567
+AYTQTRTVLALSSQPVLDIPHGFPALSQAETQVSHDNQALPALPCLSSGPCSRETGM
+>NM_080686|428065_3_503_894
+MGKQTGAVRPQEFRCLNRSAAGIAATAGFTDACLQPAETTPSSPREHSFGSKRGKVLGTSQRHPWSTWRWWKGIKPTVTILSRGISDPAGGWRPGQGCQGKGVCRTVVWARTKPPPPKFYNLEGRRWAWP
+>DECOY_NM_080686|428065_3_503_894
+PWAWRRGELNYFKPPPPKTRAWVVTRCVGKGQCGQGPRWGGAPDSIGRSLITVTPKIGKWWRWTSWPHRQSTGLVKGRKSGFSHERPSSPTTEAPQLCADTFGATAAIGAASRNLCRFEQPRVAGTQKGM
+>NM_013385|428260_2_780_922
+MVATCPRTSCGTSSTASRVSHSPSLRTTAMTSLTPSSIQTGRVGCSS
+>DECOY_NM_013385|428260_2_780_922
+SSCGVRGTQISSPTLSTMATTRLSPSHSVRSATSSTGCSTRPCTAVM
+>NM_080680|428318_2_1222_1370
+MGRVAQTPLKGPTITPMAMGMIIVRRQSLALPSLRRQPTQEPLPMDPEG
+>DECOY_NM_080680|428318_2_1222_1370
+GEPDMPLPEQTPQRRLSPLALSQRRVIIMGMAMPTITPGKLPTQAVRGM
+>NM_080680|428320_2_1276_1370
+MGMIIVRRQSLALPSLRRQPTQEPLPMDPEG
+>DECOY_NM_080680|428320_2_1276_1370
+GEPDMPLPEQTPQRRLSPLALSQRRVIIMGM
+>NM_080680|428324_2_1990_2369
+MERGEMTGRLGLEGCLESRDLEVSLAPKAHLVFLDPLASEAWMVPRAPKGAWDPRESQDLLDNRAPLGPRVFPGPRVPSALMERRVLKGSQGSPACLAQTDPRVTQGRKVPLEPKETRVPLDLRDL
+>DECOY_NM_080680|428324_2_1990_2369
+LDRLDLPVRTEKPELPVKRGQTVRPDTQALCAPSGQSGKLVRREMLASPVRPGPFVRPGLPARNDLLDQSERPDWAGKPARPVMWAESALPDLFVLHAKPALSVELDRSELCGELGLRGTMEGREM
+>NM_080680|428328_2_2530_2666
+MVLRGQRDALDRLETLGPQGSWARRASWVFLVCLAILDVRDPRGP
+>DECOY_NM_080680|428328_2_2530_2666
+PGRPDRVDLIALCVLFVWSARRAWSGQPGLTELRDLADRQGRLVM
+>NM_080680|428329_2_2827_3176
+MAPMGPLERGASLDLRVPTGFLDRKDPRAPLGRMGCRDTQAKEEKWVSKGRPAPLVLQEWWDLREQQEKPALWGREVTQAPRGPLESRDYLGQLEKKEQRVTLVPLGPQGRMVLLV
+>DECOY_NM_080680|428329_2_2827_3176
+VLLVMRGQPGLPVLTVRQEKKELQGLYDRSELPGRPAQTVERGWLAPKEQQERLDWWEQLVLPAPRGKSVWKEEKAQTDRCGMRGLPARPDKRDLFGTPVRLDLSAGRELPGMPAM
+>NM_080680|428331_2_2926_3176
+MGCRDTQAKEEKWVSKGRPAPLVLQEWWDLREQQEKPALWGREVTQAPRGPLESRDYLGQLEKKEQRVTLVPLGPQGRMVLLV
+>DECOY_NM_080680|428331_2_2926_3176
+VLLVMRGQPGLPVLTVRQEKKELQGLYDRSELPGRPAQTVERGWLAPKEQQERLDWWEQLVLPAPRGKSVWKEEKAQTDRCGM
+>NM_080680|428333_2_3412_4259
+MECRVLWGFLVLLGLQVWLERMETRVRWGTPDRRAPKGTRVNMALLDPLDPLVLWGSLEQREQMGSPELGDPRDTLEPKVMKEQEDSMGPQDPLAYRVCQAPLGRREKQEMWVLWDHLAPQDLEVQLDPMALMAHKVPQEVLGTWVPLERRGNQESQDLQGSRASQVSRVHAGNVERKESRGSQESQGHQGLKAPQAMMAPKGTLVLLVFLVTLAPLEKVALGARMVLRVTEARMVSQDSLDPLVPPGRMDPQGHLESEVLLARLVPRGDKEGREPREILAL
+>DECOY_NM_080680|428333_2_3412_4259
+LALIERPERGEKDGRPVLRALLVESELHGQPDMRGPPVLPDLSDQSVMRAETVRLVMRAGLAVKELPALTVLFVLLVLTGKPAMMAQPAKLGQHGQSEQSGRSEKREVNGAHVRSVQSARSGQLDQSEQNGRRELPVWTGLVEQPVKHAMLAMPDLQVELDQPALHDWLVWMEQKERRGLPAQCVRYALPDQPGMSDEQEKMVKPELTDRPDGLEPSGMQERQELSGWLVLPDLPDLLAMNVRTGKPARRDPTGWRVRTEMRELWVQLGLLVLFGWLVRCEM
+>NM_080680|428334_2_3475_4259
+METRVRWGTPDRRAPKGTRVNMALLDPLDPLVLWGSLEQREQMGSPELGDPRDTLEPKVMKEQEDSMGPQDPLAYRVCQAPLGRREKQEMWVLWDHLAPQDLEVQLDPMALMAHKVPQEVLGTWVPLERRGNQESQDLQGSRASQVSRVHAGNVERKESRGSQESQGHQGLKAPQAMMAPKGTLVLLVFLVTLAPLEKVALGARMVLRVTEARMVSQDSLDPLVPPGRMDPQGHLESEVLLARLVPRGDKEGREPREILAL
+>DECOY_NM_080680|428334_2_3475_4259
+LALIERPERGEKDGRPVLRALLVESELHGQPDMRGPPVLPDLSDQSVMRAETVRLVMRAGLAVKELPALTVLFVLLVLTGKPAMMAQPAKLGQHGQSEQSGRSEKREVNGAHVRSVQSARSGQLDQSEQNGRRELPVWTGLVEQPVKHAMLAMPDLQVELDQPALHDWLVWMEQKERRGLPAQCVRYALPDQPGMSDEQEKMVKPELTDRPDGLEPSGMQERQELSGWLVLPDLPDLLAMNVRTGKPARRDPTGWRVRTEM
+>NM_080680|428335_2_3538_4259
+MALLDPLDPLVLWGSLEQREQMGSPELGDPRDTLEPKVMKEQEDSMGPQDPLAYRVCQAPLGRREKQEMWVLWDHLAPQDLEVQLDPMALMAHKVPQEVLGTWVPLERRGNQESQDLQGSRASQVSRVHAGNVERKESRGSQESQGHQGLKAPQAMMAPKGTLVLLVFLVTLAPLEKVALGARMVLRVTEARMVSQDSLDPLVPPGRMDPQGHLESEVLLARLVPRGDKEGREPREILAL
+>DECOY_NM_080680|428335_2_3538_4259
+LALIERPERGEKDGRPVLRALLVESELHGQPDMRGPPVLPDLSDQSVMRAETVRLVMRAGLAVKELPALTVLFVLLVLTGKPAMMAQPAKLGQHGQSEQSGRSEKREVNGAHVRSVQSARSGQLDQSEQNGRRELPVWTGLVEQPVKHAMLAMPDLQVELDQPALHDWLVWMEQKERRGLPAQCVRYALPDQPGMSDEQEKMVKPELTDRPDGLEPSGMQERQELSGWLVLPDLPDLLAM
+>NM_080680|428343_2_4006_4259
+MAPKGTLVLLVFLVTLAPLEKVALGARMVLRVTEARMVSQDSLDPLVPPGRMDPQGHLESEVLLARLVPRGDKEGREPREILAL
+>DECOY_NM_080680|428343_2_4006_4259
+LALIERPERGEKDGRPVLRALLVESELHGQPDMRGPPVLPDLSDQSVMRAETVRLVMRAGLAVKELPALTVLFVLLVLTGKPAM
+>NM_080680|428344_2_4087_4259
+MVLRVTEARMVSQDSLDPLVPPGRMDPQGHLESEVLLARLVPRGDKEGREPREILAL
+>DECOY_NM_080680|428344_2_4087_4259
+LALIERPERGEKDGRPVLRALLVESELHGQPDMRGPPVLPDLSDQSVMRAETVRLVM
+>NM_080680|428345_2_4114_4259
+MVSQDSLDPLVPPGRMDPQGHLESEVLLARLVPRGDKEGREPREILAL
+>DECOY_NM_080680|428345_2_4114_4259
+LALIERPERGEKDGRPVLRALLVESELHGQPDMRGPPVLPDLSDQSVM
+>NM_080680|428346_2_4159_4259
+MDPQGHLESEVLLARLVPRGDKEGREPREILAL
+>DECOY_NM_080680|428346_2_4159_4259
+LALIERPERGEKDGRPVLRALLVESELHGQPDM
+>NM_002627|428383_2_1378_1547
+MALTASPRARSKKSAGQMSGAGPAKEAPFLGQNAFSRGSTWKRSPHRCARTASTRC
+>DECOY_NM_002627|428383_2_1378_1547
+CRTSATRACRHPSRKWTSGRSFANQGLFPAEKAPGAGSMQGASKKSRARPSATLAM
+>NM_018834|428710_2_789_988
+MVEMADLLHGSHHTEYLGMIGKKKGTLEEIVLMIVVLVSTQCLIMTMEVVLKNLVIMTEWIMKMTD
+>DECOY_NM_018834|428710_2_789_988
+DTMKMIWETMIVLNKLVVEMTMILCQTSVLVVIMLVIEELTGKKKGIMGLYETHHSGHLLDAMEVM
+>NM_018834|428711_2_798_988
+MADLLHGSHHTEYLGMIGKKKGTLEEIVLMIVVLVSTQCLIMTMEVVLKNLVIMTEWIMKMTD
+>DECOY_NM_018834|428711_2_798_988
+DTMKMIWETMIVLNKLVVEMTMILCQTSVLVVIMLVIEELTGKKKGIMGLYETHHSGHLLDAM
+>NM_018834|428714_2_993_1549
+MEKGVGMILFLVRPRITIINLTVSMREWDVVLAPYKRDLSLRKREALLQVAILKTSMDSYRRVIPICALYVICQFILIRSGVNISMEQVTVVDASFFLKSTQNGILTMIQDTQWVIHSCCSSLQIQHQEFWDLHLPHFILGDQQLDQEEIWVLEMETCKDLDTCRKAEWKLAELFTSWIFNEGKT
+>DECOY_NM_018834|428714_2_993_1549
+TKGENFIWSTFLEALKWEAKRCTDLDKCTEMELVWIEEQDLQQDGLIFHPLHLDWFEQHQIQLSSCCSHIVWQTDQIMTLIGNQTSKLFFSADVVTVQEMSINVGSRILIFQCIVYLACIPIVRRYSDMSTKLIAVQLLAERKRLSLDRKYPALVVDWERMSVTLNIITIRPRVLFLIMGVGKEM
+>NM_018834|428720_2_1455_1549
+METCKDLDTCRKAEWKLAELFTSWIFNEGKT
+>DECOY_NM_018834|428720_2_1455_1549
+TKGENFIWSTFLEALKWEAKRCTDLDKCTEM
+>NM_018834|428724_2_2217_2296
+MVRKTQRMTRQSRNLICFLNLKMSYL
+>DECOY_NM_018834|428724_2_2217_2296
+LYSMKLNLFCILNRSQRTMRQTKRVM
+>NM_018834|428725_2_2424_2692
+MEVLQQQQRKSLKRWTRSRNLIKKTKQRWKMELKMRKTQNQVLNLLRTLMIPTKIQVKTQMVKVMRTRTTIQSQMSIELDHISPMFLLV
+>DECOY_NM_018834|428725_2_2424_2692
+VLLFMPSIHDLEISMQSQITTRTRMVKVMQTKVQIKTPIMLTRLLNLVQNQTKRMKLEMKWRQKTKKILNRSRTWRKLSKRQQQQLVEM
+>NM_018834|428726_2_2514_2692
+MELKMRKTQNQVLNLLRTLMIPTKIQVKTQMVKVMRTRTTIQSQMSIELDHISPMFLLV
+>DECOY_NM_018834|428726_2_2514_2692
+VLLFMPSIHDLEISMQSQITTRTRMVKVMQTKVQIKTPIMLTRLLNLVQNQTKRMKLEM
+>NM_003679|428807_3_1432_1517
+MELDSSLPEYNMFPRKGRGLPRTNFQSH
+>DECOY_NM_003679|428807_3_1432_1517
+HSQFNTRPLGRGKRPFMNYEPLSSDLEM
+>NM_005341|428848_2_372_526
+MAQGAVSSSLLASLRSLASCWTFSTLVTSLSPQGTGIRCSWQPGSCECQRP
+>DECOY_NM_005341|428848_2_372_526
+PRQCECSGPQWSCRIGTGQPSLSTVLTSFTWCSALSRLSALLSSSVAGQAM
+>NM_005341|428853_2_1548_1813
+MACRCTSRPSTGMRGHTYVSSAATPSPKRPISTCTCAHTRVRSPSSATSVARPSEPKPAWTSTTAPTPGKGPSVASSVNSASLRRGPS
+>DECOY_NM_005341|428853_2_1548_1813
+SPGRRLSASNVSSAVSPGKGPTPATTSTWAPKPESPRAVSTASSPSRVRTHACTCTSIPRKPSPTAASSVYTHGRMGTSPRSTCRCAM
+>NM_152758|428966_2_680_849
+MDLTFFLVMLISLHGGQVDLRDNQHKVLLIVAVMAIHLVLLGELLLMDRLDLAMIL
+>DECOY_NM_152758|428966_2_680_849
+LIMALDLRDMLLLEGLLVLHIAMVAVILLVKHQNDRLDVQGGHLSILMVLFFTLDM
+>NM_133375|429049_2_1663_1736
+MAEPLFDQHTNCSMKQPKNYWMET
+>DECOY_NM_133375|429049_2_1663_1736
+TEMWYNKPQKMSCNTHQDFLPEAM
+>NM_015323|429073_2_676_848
+MDFRSSFFTLCLRNLLIADAYEALWLVGDRIKLCLSLTSTPGHRVLGWIPFSGRMAI
+>DECOY_NM_015323|429073_2_676_848
+IAMRGSFPIWGLVRHGPTSTLSLCLKIRDGVLWLAEYADAILLNRLCLTFFSSRFDM
+>NM_006866|429086_2_324_436
+MASSPSHPSPGNTQGGITVSTTATITHQSTVTPWSWW
+>DECOY_NM_006866|429086_2_324_436
+WWSWPTVTSQHTITATTSVTIGGQTNGPSPHSPSSAM
+>NM_001251|429126_2_447_778
+METSQFIQQAIALPPARDPQLPLTVLPPLVMEMPRFIQQATALPPAQDSPVLPTQNHLHPLRVLAQPPRRPLETTRGPMVPSPVSTSKPRFRFESCTQPRVEERPGASLY
+>DECOY_NM_001251|429126_2_447_778
+YLSAGPREEVRPQTCSEFRFRPKSTSVPSPVMPGRTTELPRRPPQALVRLPHLHNQTPLVPSDQAPPLATAQQIFRPMEMVLPPLVTLPLQPDRAPPLAIAQQIFQSTEM
+>NM_001251|429127_2_537_778
+MEMPRFIQQATALPPAQDSPVLPTQNHLHPLRVLAQPPRRPLETTRGPMVPSPVSTSKPRFRFESCTQPRVEERPGASLY
+>DECOY_NM_001251|429127_2_537_778
+YLSAGPREEVRPQTCSEFRFRPKSTSVPSPVMPGRTTELPRRPPQALVRLPHLHNQTPLVPSDQAPPLATAQQIFRPMEM
+>NM_001017995|429209_2_799_890
+MGCRMSFLCSLKKRRSTQSSTRTQLGTRMK
+>DECOY_NM_001017995|429209_2_799_890
+KMRTGLQTRTSSQTSRRKKLSCLFSMRCGM
+>NM_001017995|429212_2_2320_2720
+MVSAQKRFPAEPLRGQPRPQILCLRACLFLSKRLPSRDLWSHPADHLPQRKPLRHPGRSQRSEVHSVKATKAGQLPPQAVLSSSLQKPNLFSPTLWGARMTREAKAAWGHGGPARLEKTGRKQLQPLSPMPTA
+>DECOY_NM_001017995|429212_2_2320_2720
+ATPMPSLPQLQKRGTKELRAPGGHGWAAKAERTMRAGWLTPSFLNPKQLSSSLVAQPPLQGAKTAKVSHVESRQSRGPHRLPKRQPLHDAPHSWLDRSPLRKSLFLCARLCLIQPRPQGRLPEAPFRKQASVM
+>NM_001017995|429220_3_2648_2754
+MGDRQDWRKQGESSCSLCPQCRRPEGLFVCGRGRL
+>DECOY_NM_001017995|429220_3_2648_2754
+LRGRGCVFLGEPRRCQPCLSCSSEGQKRWDQRDGM
+>NM_021216|429251_2_320_441
+MVPGVLAQKECGNQAAGQRGRGEMQVQSGSHWEFPRGTNS
+>DECOY_NM_021216|429251_2_320_441
+SNTGRPFEWHSGSQVQMEGRGRQGAAQNGCEKQALVGPVM
+>NM_080652|429414_3_398_504
+MAGASAVLCVDLGGCHMLLPALQYFWQTVGGVLLS
+>DECOY_NM_080652|429414_3_398_504
+SLLVGGVTQWFYQLAPLLMHCGGLDVCLVASAGAM
+>NM_003584|429425_2_784_1076
+MVLSERIGIPVYPGQVILKTQHISCNQSTISLLNKDLGIIYIRSRVTQLLDISTPRPKVCNNQSENFQRIHMFTRDTISLLLVPLERTIHTGGILGM
+>DECOY_NM_003584|429425_2_784_1076
+MGLIGGTHITRELPVLLLSITDRTFMHIRQFNESQNNCVKPRPTSIDLLQTVRSRIYIIGLDKNLLSITSQNCSIHQTKLIVQGPYVPIGIRESLVM
+>NM_139057|429516_2_974_1104
+MVSGPWRASVTGRTRSMEERDTSAITRFPAGRTTRPWWMLPCL
+>DECOY_NM_139057|429516_2_974_1104
+LCPLMWWPRTTRGAPFRTIASTDREEMSRTRGTVSARWPGSVM
+>NM_139057|429517_2_1022_1104
+MEERDTSAITRFPAGRTTRPWWMLPCL
+>DECOY_NM_139057|429517_2_1022_1104
+LCPLMWWPRTTRGAPFRTIASTDREEM
+>NM_014264|429575_2_2169_2332
+MEIRSLFIIQMVVEVFLLLIDHPHLLTTSVGTALTIYQKNTGENINMLPGLYSL
+>DECOY_NM_014264|429575_2_2169_2332
+LSYLGPLMNINEGTNKQYITLATGVSTTLLHPHDILLLFVEVVMQIIFLSRIEM
+>NM_014264|429578_2_2982_3124
+MGPSWLCRQECLLSVIPHQMVKQLGMEKMKNYQTTSNRNYSVCLPSF
+>DECOY_NM_014264|429578_2_2982_3124
+FSPLCVSYNRNSTTQYNKMKEMGLQKVMQHPIVSLLCEQRCLWSPGM
+>NM_014264|429584_3_1981_2087
+MGLSESYIKKHYISVGCSQVKTNQTENQKGCGEHT
+>DECOY_NM_014264|429584_3_1981_2087
+THEGCGKQNETQNTKVQSCGVSIYHKKIYSESLGM
+>NM_003667|429607_2_1927_2045
+MVPGGRMGLVAMSLVFCPFLLQNHLFSCLLWQPWSVGSL
+>DECOY_NM_003667|429607_2_1927_2045
+LSGVSWPQWLLCSFLHNQLLFPCFVLSMAVLGMRGGPVM
+>NM_003667|429608_2_1945_2045
+MGLVAMSLVFCPFLLQNHLFSCLLWQPWSVGSL
+>DECOY_NM_003667|429608_2_1945_2045
+LSGVSWPQWLLCSFLHNQLLFPCFVLSMAVLGM
+>NM_003667|429610_2_2164_2264
+MAPPLSACLCLLGSPAPWATWSLSSCSIPFASS
+>DECOY_NM_003667|429610_2_2164_2264
+SSAFPISCSSLSWTAWPAPSGLLCLCASLPPAM
+>NM_032291|429649_2_308_624
+MEFSPAHTNHPTIAKQSVRVKEEKKFRRKAMGHQMDFMRKLIGKDITHLSWMKKATASDPRNPALPKESTFILQVNRKKKKNHIRNLISRLNHCNLKTFLRMLQL
+>DECOY_NM_032291|429649_2_308_624
+LQLMRLFTKLNCHNLRSILNRIHNKKKKRNVQLIFTSEKPLAPNRPDSATAKKMWSLHTIDKGILKRMFDMQHGMAKRRFKKEEKVRVSQKAITPHNTHAPSFEM
+>NM_032291|429650_2_398_624
+MGHQMDFMRKLIGKDITHLSWMKKATASDPRNPALPKESTFILQVNRKKKKNHIRNLISRLNHCNLKTFLRMLQL
+>DECOY_NM_032291|429650_2_398_624
+LQLMRLFTKLNCHNLRSILNRIHNKKKKRNVQLIFTSEKPLAPNRPDSATAKKMWSLHTIDKGILKRMFDMQHGM
+>NM_030799|429688_2_272_354
+MEEVEDPIANSMLAMTIRSKADLSLQT
+>DECOY_NM_030799|429688_2_272_354
+TQLSLDAKSRITMALMSNAIPDEVEEM
+>NM_005197|429715_2_1107_1546
+MASPAAGCGLRVSHLVAPQWSAETPRRITTTAVPSPPTPGAPRPPATPSPPPPPQPTTTMSLPPRGARRAARAARGASGATRAPATRKRTTGSTARRSPRILWGTAGTHPSTRSASTSPRPGRSPATHCPSKRDAPKSPPRAMMRR
+>DECOY_NM_005197|429715_2_1107_1546
+RRMMARPPSKPADRKSPCHTAPSRGPRPSTSASRTSPHTGATGWLIRPSRRATSGTTRKRTAPARTAGSAGRAARAARRAGRPPLSMTTTPQPPPPPSPTAPPRPAGPTPPSPVATTTIRRPTEASWQPAVLHSVRLGCGAAPSAM
+>NM_001417|429750_2_276_505
+MGVLVEEAPMFPNQSAGLMKRMTWKEMFRPLGTVTMTMCIGRLQLTVPSFPLLHGLLGNPISTGAVFPNRHPTLLF
+>DECOY_NM_001417|429750_2_276_505
+FLLTPHRNPFVAGTSIPNGLLGHLLPFSPVTLQLRGICMTMTVTGLPRFMEKWTMRKMLGASQNPFMPAEEVLVGM
+>NM_001417|429761_2_909_1027
+MGIGMGIGMAHAGIWIDMVAGIAMMTEAAETMIEAMIPG
+>DECOY_NM_001417|429761_2_909_1027
+GPIMAEIMTEAAETMMAIGAVMDIWIGAHAMGIGMGIGM
+>NM_001417|429762_2_921_1027
+MGIGMAHAGIWIDMVAGIAMMTEAAETMIEAMIPG
+>DECOY_NM_001417|429762_2_921_1027
+GPIMAEIMTEAAETMMAIGAVMDIWIGAHAMGIGM
+>NM_001417|429763_2_933_1027
+MAHAGIWIDMVAGIAMMTEAAETMIEAMIPG
+>DECOY_NM_001417|429763_2_933_1027
+GPIMAEIMTEAAETMMAIGAVMDIWIGAHAM
+>NM_012101|429800_2_215_303
+MAPRLTARMPRPPTGTAGRQLRARAWAAP
+>DECOY_NM_012101|429800_2_215_303
+PAAWARARLQRGATGTPPRPMRATLRPAM
+>NM_031205|429843_2_365_465
+MATSTAGIWATACAPWATCPPRWSSSNCPSRST
+>DECOY_NM_031205|429843_2_365_465
+TSRSPCNSSSWRPPCTAWPACATAWIGATSTAM
+>NM_014046|429932_2_359_516
+MVLAPSGLTTAATTRVVYPHSGLGRHVFVGIKLLGIPAPSVEITSCMLTLGT
+>DECOY_NM_014046|429932_2_359_516
+TGLTLMCSTIEVSPAPIGLLKIGVFVHRGLGSHPYVVRTTAATTLGSPALVM
+>NM_014046|429933_2_635_708
+MVSSFTTSPRLNHGTLTSVPLMGL
+>DECOY_NM_014046|429933_2_635_708
+LGMLPVSTLTGHNLRPSTTFSSVM
+>NM_014710|429975_2_2767_2960
+MGLVSDADLWQGLRRPIISLASGQKKNPVCILPVEEVGSLGQRRKRTLSIRGSGPENTQSQRPL
+>DECOY_NM_014710|429975_2_2767_2960
+LPRQSQTNEPGSGRISLTRKRRQGLSGVEEVPLICVPNKKQGSALSIIPRRLGQWLDADSVLGM
+>NM_012469|430022_2_1927_2114
+MALGSPWKHSCRGLWPTAPKQRCCGSWAPSPSGWQGMCLQQGASWPWPSRPTPTVRRSGWQP
+>DECOY_NM_012469|430022_2_1927_2114
+PQWGSRRVTPTPRSPWPWSAGQQLCMGQWGSPSPAWSGCCRQKPATPWLGRCSHKWPSGLAM
+>NM_212464|430050_2_1411_1529
+MESSGCPMRISSTISQSWRSATSRPMLCSLTSFRPGQCL
+>DECOY_NM_212464|430050_2_1411_1529
+LCQGPRFSTLSCLMPRSTASRWSQSITSSIRMPCGSSEM
+>NM_053043|430165_2_3360_3439
+MAFFTQKASPSGFPSLRKWDHSLPAR
+>DECOY_NM_053043|430165_2_3360_3439
+RAPLSHDWKRLSPFGSPSAKQTFFAM
+>NM_207517|430415_2_447_532
+MATGMLGATGVTAPGPVGEEHHILCGDV
+>DECOY_NM_207517|430415_2_447_532
+VDGCLIHHEEGVPGPATVGTAGLMGTAM
+>NM_207517|430426_2_891_964
+MAPPAGLYGDNQSHTFLLKKEKKM
+>DECOY_NM_207517|430426_2_891_964
+MKKEKKLLFTHSQNDGYLGAPPAM
+>NM_207517|430445_3_2524_2624
+MAAVFQDLWRGNSEQKSHLSAAANGWQLFESLR
+>DECOY_NM_207517|430445_3_2524_2624
+RLSEFLQWGNAAASLHSKQESNGRWLDQFVAAM
+>NM_020892|430503_2_908_1101
+MAPGLPMKPASVTIWSSRWPGATSSWTWPPWGTTTLSTTPPTRRPTRLPASAAACGAKQGRLTR
+>DECOY_NM_020892|430503_2_908_1101
+RTLRGQKAGCAAASAPLRTPRRTPPTTSLTTTGWPPWTWSSTAGPWRSSWITVSAPKMPLGPAM
+>NM_020892|430506_2_1946_2079
+MEVCSVPPAKPSMERRRGPSPRERWRYYGSRCRSPATRTAGPSS
+>DECOY_NM_020892|430506_2_1946_2079
+SSPGATRTAPSRCRSGYYRWRERPSPGRRREMSPKAPPVSCVEM
+>NM_020892|430510_3_624_787
+MAGRAGHLAPLQCHRLQLHRAAVCPAEGPTFWAWEPGPQHPLGPGRPLAGPLHY
+>DECOY_NM_020892|430510_3_624_787
+YHLPGALPRGPGLPHQPGPEWAWFTPGEAPCVAARHLQLRHCQLPALHGARGAM
+>NM_020892|430511_3_2286_2377
+MERDPPQDRDGPQHYGPRLSRPQLPAERAG
+>DECOY_NM_020892|430511_3_2286_2377
+GAREAPLQPRSLRPGYHQPGDRDQPPDREM
+>NM_021072|430546_2_71_465
+MATASSPPRRPRRARGRPRPRSAWAPRRGAAGPARRSTATPCASRWTAVAAVAAAAAAARSRRGASKTPRGPGGSTASCRGSSPPCCSPGSTNSPSACLGARRRWKRSRKGLKLQASGLSTLTVISGFTGI
+>DECOY_NM_021072|430546_2_71_465
+IGTFGSIVTLTSLGSAQLKLGKRSRKWRRRAGLCASPSNTSGPSCCPPSSGRCSATSGGPGRPTKSAGRRSRAAAAAAAVAAVATWRSACPTATSRRAPGAAGRRPAWASRPRPRGRARRPRRPPSSATAM
+>NM_014877|430645_2_677_750
+MVGISAHHLGESQAARNILCVKDF
+>DECOY_NM_014877|430645_2_677_750
+FDKVCLINRAAQSEGLHHASIGVM
+>NM_014877|430653_2_3260_3453
+MVFYLTTSFSILPSQEHNPWLLWWVIPLLCALLEDAGNFGNGLLPCVMKTVAYMESLLNRSKPS
+>DECOY_NM_014877|430653_2_3260_3453
+SPKSRNLLSEMYAVTKMVCPLLGNGFNGADELLACLLPIVWWLLWPNHEQSPLISFSTTLYFVM
+>NM_014877|430656_2_3617_3738
+MELFSPILLYLLAILLEHILLHPLLDLTQIWENLQALFKE
+>DECOY_NM_014877|430656_2_3617_3738
+EKFLAQLNEWIQTLDLLPHLLIHELLIALLYLLIPSFLEM
+>NM_014877|430658_2_4022_4212
+MVKVIQIIPDLKLIRFEHQRKSQQNQNRLIWNQIHRTEVLNHVLVLFIPVPNFLAKIISTQDT
+>DECOY_NM_014877|430658_2_4022_4212
+TDQTSIIKALFNPVPIFLVLVHNLVETRHIQNWILRNQNQQSKRQHEFRILKLDPIIQIVKVM
+>NM_004263|430707_2_835_983
+METTKSTSSLRRLPEHLTHTSALKSHGWPVCVRGTSGAGRPSSRDGRRF
+>DECOY_NM_004263|430707_2_835_983
+FRRGDRSSPRGAGSTGRVCVPWGHSKLASTHTLHEPLRRLSSTSKTTEM
+>NM_004263|430708_2_1015_1172
+MAGPPVSCRMLLCFDLSLGQGLPSFMASFLPSGRGLLSLLSVPSDHKTFGQC
+>DECOY_NM_004263|430708_2_1015_1172
+CQGFTKHDSPVSLLSLLGRGSPLFSAMFSPLGQGLSLDFCLLMRCSVPPGAM
+>NM_004263|430714_2_1486_1580
+MDTSTEQCGSELSSAFLKIWPYSQSHSQLRT
+>DECOY_NM_004263|430714_2_1486_1580
+TRLQSHSQSYPWIKLFASSLESGCQETSTDM
+>NM_004263|430721_3_1886_1995
+MGILCVAPAQWSDCTHPPAGWTGGGGDPRGHGRLCL
+>DECOY_NM_004263|430721_3_1886_1995
+LCLRGHGRPDGGGGTWGAPPHTCDSWQAPAVCLIGM
+>NM_004263|430723_3_2045_2193
+MGQPARCSEPGPHSGGGTGWLLLGDSRSIPDSHSDWSASAATATEGTSG
+>DECOY_NM_004263|430723_3_2045_2193
+GSTGETATAASASWDSHSDPISRSDGLLLWGTGGGSHPGPESCRAPQGM
+>NM_006114|430826_2_312_427
+MGPAAACPTRAHSRSATGSARSCFPFRWRVSSSQSTKG
+>DECOY_NM_006114|430826_2_312_427
+GKTSQSSSVRWRFPFCSRASGTASRSHARTPCAAAPGM
+>NM_006363|430931_2_486_577
+MVCVLVGTCGLPAGWRLQEWLYPWLVSLLL
+>DECOY_NM_006363|430931_2_486_577
+LLLSVLWPYLWEQLRWGAPLGCTGVLVCVM
+>NM_005396|430976_3_835_914
+MGRNWWLCVLQSPKKLRVLLKQRPQP
+>DECOY_NM_005396|430976_3_835_914
+PQPRQKLLVRLKKPSQLVCLWWNRGM
+>NM_000122|431017_2_1590_1702
+MATSPKSSVLRSGALCLLNFTGNMWQSKPRNESCCTP
+>DECOY_NM_000122|431017_2_1590_1702
+PTCCSENRPKSQWMNGTFNLLCLAGSRLVSSKPSTAM
+>NM_018402|431033_3_184_371
+MAQSNDSRRPHKKYTIIKKENKKAVYEKLSISRTASVLLHGRRFWSTAIARLQENTLCGGLS
+>DECOY_NM_018402|431033_3_184_371
+SLGGCLTNEQLRAIATSWFRRGHLLVSATRSISLKEYVAKKNEKKIITYKKHPRRSDNSQAM
+>NM_014262|431101_2_845_990
+MGLRARGASMRPLQDTGFRSCSAGNAVWGKQPHALVAASLSQTSFPTS
+>DECOY_NM_014262|431101_2_845_990
+STPFSTQSLSAAVLAHPQKGWVANGASCSRFGTDQLPRMSAGRARLGM
+>NM_016651|431124_2_660_766
+MGLQDPFPIPLTRCSVSVYPVVIPAPAFAAPWRRP
+>DECOY_NM_016651|431124_2_660_766
+PRRWPAAFAPAPIVVPYVSVSCRTLPIPFPDQLGM
+>NM_016651|431126_2_1392_1681
+MGHSPHRSSGRKNQRPNKPKARGCPCQRAAPQALPPTFRVSTCQKRPSQPRKNMLGVPPLGQGSPLRKALSSQGPLQKRVLAEALPRRRRTKLYSP
+>DECOY_NM_016651|431126_2_1392_1681
+PSYLKTRRRRPLAEALVRKQLPGQSSLAKRLPSGQGLPPVGLMNKRPQSPRKQCTSVRFTPPLAQPAARQCPCGRAKPKNPRQNKRGSSRHPSHGM
+>NM_003800|431156_2_500_585
+MVSALPLRILRPLFVCVSGLMKEIHLNL
+>DECOY_NM_003800|431156_2_500_585
+LNLHIEKMLGSVCVFLPRLIRLPLASVM
+>NM_003800|431168_3_1593_1702
+MEASQSEFCGFSSKNNKNGRRRVTSSECWPPVCWRL
+>DECOY_NM_003800|431168_3_1593_1702
+LRWCVPPWCESSTVRRRGNKNNKSSFGCFESQSAEM
+>NM_025008|431188_2_711_790
+MGECPLHCHCTGTAGTLGAHPDLSCP
+>DECOY_NM_025008|431188_2_711_790
+PCSLDPHAGLTGATGTCHCHLPCEGM
+>NM_025008|431191_2_1620_1987
+MGSLALAGVLMAVESVGVMILPVALFRGTSLTEGAPWAIRRSCGFQREPCGSRLPSSGLAPTTWHFVALGAGPSSMGTGLWIPLGPTGPAGPSFDITVLPGRRAKGRVCRLKAPPPSLWMSI
+>DECOY_NM_025008|431191_2_1620_1987
+ISMWLSPPPAKLRCVRGKARRGPLVTIDFSPGAPGTPGLPIWLGTGMSSPGAGLAVFHWTTPALGSSPLRSGCPERQFGCSRRIAWPAGETLSTGRFLAVPLIMVGVSEVAMLVGALALSGM
+>NM_001104587|431221_2_2553_2713
+MGTGMGRQKASLGEQRVAQEFSGSFWITFRPATWIAVASLLSQTNIQEKSSPE
+>DECOY_NM_001104587|431221_2_2553_2713
+EPSSKEQINTQSLLSAVAIWTAPRFTIWFSGSFEQAVRQEGLSAKQRGMGTGM
+>NM_001104587|431222_2_2565_2713
+MGRQKASLGEQRVAQEFSGSFWITFRPATWIAVASLLSQTNIQEKSSPE
+>DECOY_NM_001104587|431222_2_2565_2713
+EPSSKEQINTQSLLSAVAIWTAPRFTIWFSGSFEQAVRQEGLSAKQRGM
+>NM_001819|431275_2_1507_1859
+MVKKVRKRGALSRERDAITEAGEGSHVPISCLTPEKRKGSWVKDTTVSKKTRWTRQGGIHKVRGKSWTEIISTTVRKEPQGSGSSRETCRTLKKTGRKLGFKINNIAPITQLKRGRD
+>DECOY_NM_001819|431275_2_1507_1859
+DRGRKLQTIPAINNIKFGLKRGTKKLTRCTERSSGSGQPEKRVTTSIIETWSKGRVKHIGGQRTWRTKKSVTTDKVWSGKRKEPTLCSIPVHSGEGAETIADRERSLAGRKRVKKVM
+>NM_000026|431364_2_78_253
+MVRPTATAHLLPPAMPARRCASCLATGINSGHGGSCGCGWRRPSRHWVCLSQMNKSRR
+>DECOY_NM_000026|431364_2_78_253
+RRSKNMQSLCVWHRSPRRWGCGCSGGHGSNIGTALCSACRRAPMAPPLLHATATPRVM
+>NM_005089|431436_3_374_474
+MGRTAEERERRGGAETTGEERKRGSFAEDAGSG
+>DECOY_NM_005089|431436_3_374_474
+GSGADEAFSGRKREEGTTEAGGRREREEATRGM
+>NM_001093|431514_2_190_491
+MGRATLCPRHPARPSQPPTKAPKMPVGGETPYHPPTRSPQETPFLPVTQHPPQSFKPTGLGHKVWRPQIPMACPPQPGPRASKLAPPPKKTRSRQTSRGS
+>DECOY_NM_001093|431514_2_190_491
+SGRSTQRSRTKKPPPALKSARPGPQPPCAMPIQPRWVKHGLGTPKFSQPPHQTVPLFPTEQPSRTPPHYPTEGGVPMKPAKTPPQSPRAPHRPCLTARGM
+>NM_001093|431518_2_1537_1691
+MGMLCLCLVATAPSSGGIRRSLRKHRPPSPRWPYSSSWSSVPSAWPRPWAM
+>DECOY_NM_001093|431518_2_1537_1691
+MAWPRPWASPVSSWSSSYPWRPSPPRHKRLSRRIGGSSPATAVLCLCLMGM
+>NM_001093|431528_2_5974_6179
+MVSPTSPCQMTLRGFIPSWSGCPICQRIITALSLSSHPLTPLTEKLNSSHPELPTTPGGCLQEGLTQL
+>DECOY_NM_001093|431528_2_5974_6179
+LQTLGEQLCGGPTTPLEPHSSNLKETLPTLPHSSLSLATIIRQCIPCGSWSPIFGRLTMQCPSTPSVM
+>NM_207352|431636_3_432_538
+MAADAAHPHGGPRLPTGGPRAADEAGRARIFSADH
+>DECOY_NM_207352|431636_3_432_538
+HDASFIRARGAEDAARPGGTPLRPGGHPHAADAAM
+>NM_207352|431637_3_690_805
+MAWPRTSYKYWKQMALQEKDVNTHFPFYHSGRFLRYHE
+>DECOY_NM_207352|431637_3_690_805
+EHYRLFRGSHYFPFHTNVDKEQLAMQKWYKYSTRPWAM
+>NM_017560|431693_2_379_560
+MGAQGLTPSQVAAVGARVRRRKSLRRGTAVHSGQQSRPQWGPVKSLRKPGRDNESCNCSS
+>DECOY_NM_017560|431693_2_379_560
+SSCNCSENDRGPKRLSKVPGWQPRSQQGSHVATGRRLSKRRRVRAGVAAVQSPTLGQAGM
+>NM_019887|431740_3_927_1009
+MAQNCDDWLWSNPVCGSYCTEIRASFP
+>DECOY_NM_019887|431740_3_927_1009
+PFSARIETCYSGCVPNSWLWDDCNQAM
+>NM_001145252|431747_2_448_848
+MGSALERWHLGPWSGSSRPVRTSSAVLRWAAGLAGGPGSLALSPAPKGPGPAGEPVITLLPSVGATAQDRHRNQRPVTPSRSAPHTGPGPPGAPGPPAQPPATVDPTNLRRHEAASVLHLSPPRNLLGSPARG
+>DECOY_NM_001145252|431747_2_448_848
+GRAPSGLLNRPPSLHLVSAAEHRRLNTPDVTAPPQAPPGPAGPPGPGTHPASRSPTVPRQNRHRDQATAGVSPLLTIVPEGAPGPGKPAPSLALSGPGGALGAAWRLVASSTRVPRSSGSWPGLHWRELASGM
+>NM_001145252|431748_2_997_1121
+MGAPSVLAMPPGPTSATQLCPALWMGSGTRGGSGAPVSDGT
+>DECOY_NM_001145252|431748_2_997_1121
+TGDSVPAGSGGRTGSGMWLAPCLQTASTPGPPMALVSPAGM
+>NM_012305|431771_2_2603_2712
+MGAPSRTCLCSCPSLSTNSSSRQKWLLRISFNVGSS
+>DECOY_NM_012305|431771_2_2603_2712
+SSGVNFSIRLLWKQRSSSNTSLSPCSCLCTRSPAGM
+>NM_001042414|431818_2_499_659
+MASPAKSSSTGTVASASSAWNPEPWLKLQKQSWTAPFSRADLYGFASLHMEQP
+>DECOY_NM_001042414|431818_2_499_659
+PQEMHLSAFGYLDARSFPATWSQKQLKLWPEPNWASSASAVTGTSSSKAPSAM
+>NM_001042414|431821_2_1561_1661
+MEQCTMTDFLKDHHLRWVHLWGVEQVLKPLKHQ
+>DECOY_NM_001042414|431821_2_1561_1661
+QHKLPKLVQEVGWLHVWRLHHDKLFDTMTCQEM
+>NM_138929|431893_3_927_1063
+MAQNCDDWLWSNPVCGSYCTGCLYLNFSLPTIYKFTWENEFRGGR
+>DECOY_NM_138929|431893_3_927_1063
+RGGRFENEWTFKYITPLSFNLYLCGTCYSGCVPNSWLWDDCNQAM
+>NM_170710|431990_2_1531_1643
+MVLLFGMFKRAKLYNDLMSMEQMEYSALPGVIKILKE
+>DECOY_NM_170710|431990_2_1531_1643
+EKLIKIVGPLASYEMQEMSMLDNYLKARKFMGFLLVM
+>NM_170710|431992_2_1660_1763
+MVSVLFEQLMVKCYTNINIQLQCLVVIGAKTIKT
+>DECOY_NM_170710|431992_2_1660_1763
+TKITKAGIVVLCQLQININTYCKVMLQEFLVSVM
+>NM_170710|431995_2_1918_1991
+MVPFESGIILRMLASIFLMDTLHL
+>DECOY_NM_170710|431995_2_1918_1991
+LHLTDMLFISALMRLIIGSEFPVM
+>NM_170710|432005_3_275_366
+MEQGCMCCQWRQVCILCDPGYLYLSVGSPL
+>DECOY_NM_170710|432005_3_275_366
+LPSGVSLYLYGPDCLICVQRWQCCMCGQEM
+>NM_004258|432032_2_623_765
+MEEEAKPLRLFLSPKILYWSLGPCIQSGLQPVTYSSTNWDPLHSGCP
+>DECOY_NM_004258|432032_2_623_765
+PCGSHLPDWNTSSYTVPQLGSQICPGLSWYLIKPSLFLRLPKAEEEM
+>NM_004258|432033_2_1454_1629
+MALCSWVPPMGYPVTMATQGWRKWTGPPSSWRSPSLPSQTVAHMSAEYLRSLGTRPEI
+>DECOY_NM_004258|432033_2_1454_1629
+IEPRTGLSRLYEASMHAVTQSPLSPSRWSSPPGTWKRWGQTAMTVPYGMPPVWSCLAM
+>NM_004258|432035_2_1499_1629
+MATQGWRKWTGPPSSWRSPSLPSQTVAHMSAEYLRSLGTRPEI
+>DECOY_NM_004258|432035_2_1499_1629
+IEPRTGLSRLYEASMHAVTQSPLSPSRWSSPPGTWKRWGQTAM
+>NM_004258|432036_2_1850_1935
+MALLNGGISYPGSKRRRKCRSLYFVHNS
+>DECOY_NM_004258|432036_2_1850_1935
+SNHVFYLSRCKRRRKSGPYSIGGNLLAM
+>NM_004258|432040_2_2660_2739
+MACWSMGKRGSGGTCTVTVHPLQTLS
+>DECOY_NM_004258|432040_2_2660_2739
+SLTQLPHVTVTCTGGSGRKGMSWCAM
+>NM_004258|432049_3_2403_2491
+MAPVYKWHLAQAWRKEVRTNRIETQAHRK
+>DECOY_NM_004258|432049_3_2403_2491
+KRHAQTEIRNTRVEKRWAQALHWKYVPAM
+>NM_005713|432094_2_609_691
+MAAEDPSVLARLSSHLTILMNVDLILV
+>DECOY_NM_005713|432094_2_609_691
+VLILDVNMLITLHSSLRALVSPDEAAM
+>NM_005713|432096_2_783_1021
+MDLNPACVDMAQWCPWCLEQVATLQHPPLHSRKATVYVRSWLKWKHLETSYVDKLTRYRSTLMPVLMLSLRMNFKGIKW
+>DECOY_NM_005713|432096_2_783_1021
+WKIGKFNMRLSLMLVPMLTSRYRTLKDVYSTELHKWKLWSRVYVTAKRSHLPPHQLTAVQELCWPCWQAMDVCAPNLDM
+>NM_005713|432097_2_810_1021
+MAQWCPWCLEQVATLQHPPLHSRKATVYVRSWLKWKHLETSYVDKLTRYRSTLMPVLMLSLRMNFKGIKW
+>DECOY_NM_005713|432097_2_810_1021
+WKIGKFNMRLSLMLVPMLTSRYRTLKDVYSTELHKWKLWSRVYVTAKRSHLPPHQLTAVQELCWPCWQAM
+>NM_000699|432132_2_521_594
+MVNVKLEVEISRTTMMLLRSEIVV
+>DECOY_NM_000699|432132_2_521_594
+VVIESRLLMMTTRSIEVELKVNVM
+>NM_000699|432134_2_1022_1122
+MGLEEPLFLPSGMLGCTKWQLDLCLLILTDLHE
+>DECOY_NM_000699|432134_2_1022_1122
+EHLDTLILLCLDLQWKTCGLMGSPLFLPEELGM
+>NM_004504|432325_3_624_697
+MVCPARTSQSRGISSCIYFRVLCQ
+>DECOY_NM_004504|432325_3_624_697
+QCLVRFYICSSIGRSQSTRAPCVM
+>NM_001033553|432365_3_2864_2997
+METTKQTQCGKKRPSGSLGPGIRWFQAQCSTEMVPEEDTRLCEH
+>DECOY_NM_001033553|432365_3_2864_2997
+HECLRTDEEPVMETSCQAQFWRIGPGLSGSPRKKGCQTQKTTEM
+>NM_018248|432767_2_1681_1802
+MGKTRAGSFMPVLYLEKHNVDFLNGQICPSHSATMASVPP
+>DECOY_NM_018248|432767_2_1681_1802
+PPVSAMTASHSPCIQGNLFDVNHKELYLVPMFSGARTKGM
+>NM_018248|432769_2_1831_1913
+MERIFLCVLLGRKNNAIFSSGQKMGQE
+>DECOY_NM_018248|432769_2_1831_1913
+EQGMKQGSSFIANNKRGLLVCLFIREM
+>NM_006252|432803_2_393_490
+MDGLKRWKPGGSFSRFCLLWITVIGIWLFIET
+>DECOY_NM_006252|432803_2_393_490
+TEIFLWIGIVTIWLLCFRSFSGGPKWRKLGDM
+>NM_006859|433006_2_194_270
+MDQTFKILYLVILQTGAPGMNIKET
+>DECOY_NM_006859|433006_2_194_270
+TEKINMGPAGTQLIVLYLIKFTQDM
+>NM_001142571|433023_3_402_550
+MEGALKWEPGRTAAASGPRREIVEWGQECSEEGRTWAWRNRWTFAECFR
+>DECOY_NM_001142571|433023_3_402_550
+RFCEAFTWRNRWAWTRGEESCEQGWEVIERRPGSAAATRGPEWKLAGEM
+>NM_014963|433114_2_1070_1302
+MGPAWAKAGRWPESSWRTTCAAGRKHCGSASPTTSSTMRSATCGTSKPRASRCTRSARSSTVTPLPQRASSSPPTPP
+>DECOY_NM_014963|433114_2_1070_1302
+PPTPPSSSARQPLPTVTSSRASRTCRSARPKSTGCTASRMTSSTTPSASGCHKRGAACTTRWSSEPWRGAKAWAPGM
+>NM_032012|433168_2_813_1057
+MEKSLLGQSYQGRLSPWQLLLWQTWPSLSQGMNQAVKTSPPLSLQKQWTGENPLQRCPPPLHPPPLHPLPLHLLWADEGLK
+>DECOY_NM_032012|433168_2_813_1057
+KLGEDAWLLHLPLPHLPPPHLPPPCRQLPNEGTWQKQLSLPPSTKVAQNMGQSLSPWTQWLLLQWPSLRGQYSQGLLSKEM
+>NM_006434|433260_2_1981_2081
+MEISLMSWKNVTMDGLLVLQEGQSSLVLFQATM
+>DECOY_NM_006434|433260_2_1981_2081
+MTAQFLVLSSQGEQLVLLGDMTVNKWSMLSIEM
+>NM_014819|433573_2_692_810
+MELHWFIQTLMIQMANMEKIMTIFNFLQKSWKVVDTRNH
+>DECOY_NM_014819|433573_2_692_810
+HNRTDVVKWSKQLFNFITMIKEMNAMQIMLTQIFWHLEM
+>NM_014819|433580_2_1322_1401
+MESMTVCSWIHHTQELLHKGKQKITK
+>DECOY_NM_014819|433580_2_1322_1401
+KTIKQKGKHLLEQTHHIWSCVTMSEM
+>NM_014819|433581_2_1457_1845
+MAVEIITNSMTKMKIVLNAVMGNGLLLCLIDFLVQKKINPQVMKAGRLCQEKMRMNLSYKVIAVALKKKTKNYLFRKGNRHPWKREKFLGYSTMKSMKAAVMREMNLPMNLHSQLSCWMVTITWRMTPV
+>DECOY_NM_014819|433581_2_1457_1845
+VPTMRWTITVMWCSLQSHLNMPLNMERMVAAKMSKMTSYGLFKERKWPHRNGKRFLYNKTKKKLAVAIVKYSLNMRMKEQCLRGAKMVQPNIKKQVLFDILCLLLGNGMVANLVIKMKTMSNTIIEVAM
+>NM_014819|433584_2_1517_1845
+MGNGLLLCLIDFLVQKKINPQVMKAGRLCQEKMRMNLSYKVIAVALKKKTKNYLFRKGNRHPWKREKFLGYSTMKSMKAAVMREMNLPMNLHSQLSCWMVTITWRMTPV
+>DECOY_NM_014819|433584_2_1517_1845
+VPTMRWTITVMWCSLQSHLNMPLNMERMVAAKMSKMTSYGLFKERKWPHRNGKRFLYNKTKKKLAVAIVKYSLNMRMKEQCLRGAKMVQPNIKKQVLFDILCLLLGNGM
+>NM_032446|433723_2_606_1366
+MGRRLCIGASLSVVLDFMKAGKCVSPTVLINVSMVAVLLQTPVSVSLAGEGPTAPVPAMVITGVPTAPAGASAKMGLCATPSPGLATVLRASGAGAARTAVSRAPMVTTVIRDASARMEPPATTSRGNAAAHQDTPEPSVRIFVLLVNMVHSVSRDALVKMEECVITSLENALALLAGWAQCVVSLAPRVALERTVPKNASAIMEGRVMLPQANVIAVQDTQGNGARMSVLLGPMAFSVLRPASVSTEGSVTT
+>DECOY_NM_032446|433723_2_606_1366
+TTVSGETSVSAPRLVSFAMPGLLVSMRAGNGQTDQVAIVNAQPLMVRGEMIASANKPVTRELAVRPALSVVCQAWGALLALANELSTIVCEEMKVLADRSVSHVMNVLLVFIRVSPEPTDQHAAANGRSTTAPPEMRASADRIVTTVMPARSVATRAAGAGSARLVTALGPSPTACLGMKASAGAPATPVGTIVMAPVPATPGEGALSVSVPTQLLVAVMSVNILVTPSVCKGAKMFDLVVSLSAGICLRRGM
+>NM_032446|433726_2_780_1366
+MVITGVPTAPAGASAKMGLCATPSPGLATVLRASGAGAARTAVSRAPMVTTVIRDASARMEPPATTSRGNAAAHQDTPEPSVRIFVLLVNMVHSVSRDALVKMEECVITSLENALALLAGWAQCVVSLAPRVALERTVPKNASAIMEGRVMLPQANVIAVQDTQGNGARMSVLLGPMAFSVLRPASVSTEGSVTT
+>DECOY_NM_032446|433726_2_780_1366
+TTVSGETSVSAPRLVSFAMPGLLVSMRAGNGQTDQVAIVNAQPLMVRGEMIASANKPVTRELAVRPALSVVCQAWGALLALANELSTIVCEEMKVLADRSVSHVMNVLLVFIRVSPEPTDQHAAANGRSTTAPPEMRASADRIVTTVMPARSVATRAAGAGSARLVTALGPSPTACLGMKASAGAPATPVGTIVM
+>NM_032446|433727_2_828_1366
+MGLCATPSPGLATVLRASGAGAARTAVSRAPMVTTVIRDASARMEPPATTSRGNAAAHQDTPEPSVRIFVLLVNMVHSVSRDALVKMEECVITSLENALALLAGWAQCVVSLAPRVALERTVPKNASAIMEGRVMLPQANVIAVQDTQGNGARMSVLLGPMAFSVLRPASVSTEGSVTT
+>DECOY_NM_032446|433727_2_828_1366
+TTVSGETSVSAPRLVSFAMPGLLVSMRAGNGQTDQVAIVNAQPLMVRGEMIASANKPVTRELAVRPALSVVCQAWGALLALANELSTIVCEEMKVLADRSVSHVMNVLLVFIRVSPEPTDQHAAANGRSTTAPPEMRASADRIVTTVMPARSVATRAAGAGSARLVTALGPSPTACLGM
+>NM_032446|433729_2_957_1366
+MEPPATTSRGNAAAHQDTPEPSVRIFVLLVNMVHSVSRDALVKMEECVITSLENALALLAGWAQCVVSLAPRVALERTVPKNASAIMEGRVMLPQANVIAVQDTQGNGARMSVLLGPMAFSVLRPASVSTEGSVTT
+>DECOY_NM_032446|433729_2_957_1366
+TTVSGETSVSAPRLVSFAMPGLLVSMRAGNGQTDQVAIVNAQPLMVRGEMIASANKPVTRELAVRPALSVVCQAWGALLALANELSTIVCEEMKVLADRSVSHVMNVLLVFIRVSPEPTDQHAAANGRSTTAPPEM
+>NM_032446|433730_2_1050_1366
+MVHSVSRDALVKMEECVITSLENALALLAGWAQCVVSLAPRVALERTVPKNASAIMEGRVMLPQANVIAVQDTQGNGARMSVLLGPMAFSVLRPASVSTEGSVTT
+>DECOY_NM_032446|433730_2_1050_1366
+TTVSGETSVSAPRLVSFAMPGLLVSMRAGNGQTDQVAIVNAQPLMVRGEMIASANKPVTRELAVRPALSVVCQAWGALLALANELSTIVCEEMKVLADRSVSHVM
+>NM_032446|433731_2_1086_1366
+MEECVITSLENALALLAGWAQCVVSLAPRVALERTVPKNASAIMEGRVMLPQANVIAVQDTQGNGARMSVLLGPMAFSVLRPASVSTEGSVTT
+>DECOY_NM_032446|433731_2_1086_1366
+TTVSGETSVSAPRLVSFAMPGLLVSMRAGNGQTDQVAIVNAQPLMVRGEMIASANKPVTRELAVRPALSVVCQAWGALLALANELSTIVCEEM
+>NM_032446|433732_2_1215_1366
+MEGRVMLPQANVIAVQDTQGNGARMSVLLGPMAFSVLRPASVSTEGSVTT
+>DECOY_NM_032446|433732_2_1215_1366
+TTVSGETSVSAPRLVSFAMPGLLVSMRAGNGQTDQVAIVNAQPLMVRGEM
+>NM_032446|433739_2_2127_2605
+MGLHAPLMMASASVHQASEAPLVRGSAPLVFMGIAAARHAHSAFTAAGPATTSPACVTACLASQAPSAMKCVPVADLGKTVQEFVPAPTTEPVTPLTDLVSVTPVGLAVTALNHVHLPTGAQTASTRATAIMELSAAPTMGNVNALLAGQGSTALRDVL
+>DECOY_NM_032446|433739_2_2127_2605
+LVDRLATSGQGALLANVNGMTPAASLEMIATARTSATQAGTPLHVHNLATVALGVPTVSVLDTLPTVPETTPAPVFEQVTKGLDAVPVCKMASPAQSALCATVCAPSTTAPGAATFASHAHRAAAIGMFVLPASGRVLPAESAQHVSASAMMLPAHLGM
+>NM_032446|433741_2_2151_2605
+MASASVHQASEAPLVRGSAPLVFMGIAAARHAHSAFTAAGPATTSPACVTACLASQAPSAMKCVPVADLGKTVQEFVPAPTTEPVTPLTDLVSVTPVGLAVTALNHVHLPTGAQTASTRATAIMELSAAPTMGNVNALLAGQGSTALRDVL
+>DECOY_NM_032446|433741_2_2151_2605
+LVDRLATSGQGALLANVNGMTPAASLEMIATARTSATQAGTPLHVHNLATVALGVPTVSVLDTLPTVPETTPAPVFEQVTKGLDAVPVCKMASPAQSALCATVCAPSTTAPGAATFASHAHRAAAIGMFVLPASGRVLPAESAQHVSASAM
+>NM_032446|433744_2_2520_2605
+MELSAAPTMGNVNALLAGQGSTALRDVL
+>DECOY_NM_032446|433744_2_2520_2605
+LVDRLATSGQGALLANVNGMTPAASLEM
+>NM_032446|433746_2_3108_3205
+MVETLIATTSPIPVTTRSPSVPHPLTSTTGTG
+>DECOY_NM_032446|433746_2_3108_3205
+GTGTTSTLPHPVSPSRTTVPIPSTTAILTEVM
+>NM_182765|433849_2_1529_1632
+MACLHITRIHTAIGLAALNVITILNSDWLEFLWD
+>DECOY_NM_182765|433849_2_1529_1632
+DWLFELWDSNLITIVNLAALGIATHIRTIHLCAM
+>NM_182765|433857_3_1488_1573
+MVPSSNSPNFSSRLWHVYISQGFTLPLV
+>DECOY_NM_182765|433857_3_1488_1573
+VLPLTFGQSIYVHWLRSSFNPSNSSPVM
+>NM_012236|433889_2_1598_1776
+MVVRLSQPCLTGNSIPSTSQQSTASPTSSASWRNSATTFVVTICLATSPLHRLTCHSLP
+>DECOY_NM_012236|433889_2_1598_1776
+PLSHCTLRHLPSTALCITVVFTTASNRWSASSTPSATSQQSTSPISNGTLCPQSLRVVM
+>NM_003630|434093_2_356_462
+MDRRKSEKYRKGRLQNTLPKHDDNIILKVTRGLAI
+>DECOY_NM_003630|434093_2_356_462
+IALGRTVKLIINDDHKPLTNQLRGKRYKESKRRDM
+>NM_003630|434095_2_710_789
+MALQFLLPQMSNSSIYQVFSTYLEMA
+>DECOY_NM_003630|434095_2_710_789
+AMELYTSFVQYISSNSMQPLLFQLAM
+>NM_003630|434104_3_570_691
+MGGSEDNKFHKKYCGCIQYLYAGCSFAGPVKHNWWIYLPG
+>DECOY_NM_003630|434104_3_570_691
+GPLYIWWNHKVPGAFSCGAYLYQICGCYKKHFKNDESGGM
+>NM_007181|434403_2_340_422
+MGVISGCRNSGSAWNSVGLVLSRTSTK
+>DECOY_NM_007181|434403_2_340_422
+KTSTRSLVLGVSNWASGSNRCGSIVGM
+>NM_001130031|434541_2_658_776
+MEGTLLRVIVMEKTASVCTRKPLLDKNFPNLIHVEKSSP
+>DECOY_NM_001130031|434541_2_658_776
+PSSKEVHILNPFNKDLLPKRTCVSATKEMVIVRLLTGEM
+>NM_001130031|434542_2_688_776
+MEKTASVCTRKPLLDKNFPNLIHVEKSSP
+>DECOY_NM_001130031|434542_2_688_776
+PSSKEVHILNPFNKDLLPKRTCVSATKEM
+>NM_000289|434741_2_978_1132
+MENQSPQKTSRIWWLSVWDMTPGLLSWGMCRGVGRHQPLTEFWAAGWVWKQ
+>DECOY_NM_000289|434741_2_978_1132
+QKWVWGAAWFETLPQHRGVGRCMGWSLLGPTMDWVSLWWIRSTKQPSQNEM
+>NM_000289|434750_2_2259_2347
+MGGSLPILQIRAVFWGCVRGLWSSNQWLS
+>DECOY_NM_000289|434750_2_2259_2347
+SLWQNSSWLGRVCGWFVARIQLIPLSGGM
+>NM_014787|434825_2_909_985
+MDVALTVMYSLEKPKYIRLAQILNE
+>DECOY_NM_014787|434825_2_909_985
+ENLIQALRIYKPKELSYMVTLAVDM
+>NM_014787|434834_3_1294_1487
+MGTLLHKRCQSQHPLLFSPGTSRYAGLRRTGSNRYPSRQPQALRTKWFLCLSLLARSEIGEVIL
+>DECOY_NM_014787|434834_3_1294_1487
+LIVEGIESRALLSLCLFWKTRLAQPQRSPYRNSGTRRLGAYRSTGPSFLLPHQSQCRKHLLTGM
+>NM_014787|434835_3_2695_2801
+MGWGDQVETSWHGRPGNTRAGEEGVQEGCPGGAPR
+>DECOY_NM_014787|434835_3_2695_2801
+RPAGGPCGEQVGEEGARTNGPRGHWSTEVQDGWGM
+>NM_012453|434971_2_435_523
+MANTWLPVQMIAPSASGAPRTSCSESTAA
+>DECOY_NM_012453|434971_2_435_523
+AATSESCSTRPAGSASPAIMQVPLWTNAM
+>NM_012453|434972_2_645_745
+MGATPSQPPQRTSLKSTRRLSSTLALLTQGSLS
+>DECOY_NM_012453|434972_2_645_745
+SLSGQTLLALTSSLRRTSKLSTRQPPQSPTAGM
+>NM_001143942|435015_2_164_252
+MDLSPWLTGLLPKGPARIPIPSLMAERPT
+>DECOY_NM_001143942|435015_2_164_252
+TPREAMLSPIPIRAPGKPLLGTLWPSLDM
+>NM_015971|435037_3_265_344
+MVGPGVGRAAGCLAASRANSGEMEPL
+>DECOY_NM_015971|435037_3_265_344
+LPEMEGSNARSAALCGAARGVGPGVM
+>NM_020826|435056_2_532_656
+MVWWRMSVSWRPGTQRRLPVGTRPPNSTTAWTMTVRRQNCL
+>DECOY_NM_020826|435056_2_532_656
+LCNQRRVTMTWATTSNPPRTGVPLRRQTGPRWSVSMRWWVM
+>NM_024947|435207_2_1142_1215
+MAFLQLPVMPSHSIVHRFRVIPLL
+>DECOY_NM_024947|435207_2_1142_1215
+LLPIVRFRHVISHSPMVPLQLFAM
+>NM_004370|435325_2_6494_6609
+MEELWDSFLLRTYTSLTNGIQDSGCPGILHLLQFLDIK
+>DECOY_NM_004370|435325_2_6494_6609
+KIDLFQLLHLIGPCGSDQIGNTLSTYTRLLFSDWLEEM
+>NM_004370|435327_2_6968_7041
+MVSLFLCRHQISRDQESLLKNIPL
+>DECOY_NM_004370|435327_2_6968_7041
+LPINKLLSEQDRSIQHRCLFLSVM
+>NM_005035|435532_2_348_475
+MVASSHPGRSRWGPRMPPRCPVAAGQRYWRRISGPSRCVCSG
+>DECOY_NM_005035|435532_2_348_475
+GSCVCRSPGSIRRWYRQGAAVPCRPPMRPGWRSRGPHSSAVM
+>NM_003222|435550_2_313_650
+MGIRGSPTSPPPGSTSTAPRHPSPTLESPNISRHPTFPLPTSSWPTPSRPTPTRIWGKRTPPPSTPCTSRRPQAASSRPGPAARARRERGCPRTTGARPAYCPTSPGWRRAR
+>DECOY_NM_003222|435550_2_313_650
+RARRWGPSTPCYAPRAGTTRPCGRERRARAAPGPRSSAAQPRRSTCPTSPPPTRKGWIRTPTPRSPTPWSSTPLPFTPHRSINPSELTPSPHRPATSTSGPPPSTPSGRIGM
+>NM_032556|435624_2_491_672
+MASCWWEILLQTTAVQRRSAYFLTEAWPAPRSPFSWGSREGAAAWHVWRQKRGLPYSWRM
+>DECOY_NM_032556|435624_2_491_672
+MRWSYPLGRKQRWVHWAAAGERSGWSFPSRPAPWAETLFYASRRQVATTQLLIEWWCSAM
+>NM_006663|435644_2_1737_1984
+MGGQGPGGRSQSCPPSLRDLRPGQGPLLLPHQLPFHPRPRPRAAHQSSRRAWRCALCCGRRAPRARPAARASTLWCSSWTRR
+>DECOY_NM_006663|435644_2_1737_1984
+RRTWSSCWLTSARAAPRARPARRGCCLACRWARRSSQHAARPRPRPHFPLQHPLLLPGQGPRLDRLSPPCSQSRGGPGQGGM
+>NM_201563|435672_2_349_467
+MGISFPPTRSPATGSRPTTMTAGSTRARLARPASATLCI
+>DECOY_NM_201563|435672_2_349_467
+ICLTASAPRALRARTSGATMTTPRSGTAPSRTPPFSIGM
+>NM_201563|435673_2_592_689
+MENPRNFPVRIPTSPSHKQTTVTVVITTAQET
+>DECOY_NM_201563|435673_2_592_689
+TEQATTIVVTVTTQKHSPSTPIRVPFNRPNEM
+>NM_022476|435711_2_522_631
+MDFTKMAYLSLQFTSLITIQMVTVHAWCSIFLSFTR
+>DECOY_NM_022476|435711_2_522_631
+RTFSLFISCWAHVTVMQITILSTFQLSLYAMKTFDM
+>NM_022476|435712_2_537_631
+MAYLSLQFTSLITIQMVTVHAWCSIFLSFTR
+>DECOY_NM_022476|435712_2_537_631
+RTFSLFISCWAHVTVMQITILSTFQLSLYAM
+>NM_020205|435780_2_2625_2836
+MDFTGVPCYHPPTEWLIPIAMATESPLSQMDGLEVSGAFPQLRPNANNRTAASMDTLRQTTSVPVVTGKN
+>DECOY_NM_020205|435780_2_2625_2836
+NKGTVVPVSTTQRLTDMSAATRNNANPRLQPFAGSVELGDMQSLPSETAMAIPILWETPPHYCPVGTFDM
+>NM_020205|435781_2_2685_2836
+MATESPLSQMDGLEVSGAFPQLRPNANNRTAASMDTLRQTTSVPVVTGKN
+>DECOY_NM_020205|435781_2_2685_2836
+NKGTVVPVSTTQRLTDMSAATRNNANPRLQPFAGSVELGDMQSLPSETAM
+>NM_144670|435865_2_1956_2137
+MVTTPIKWLSMISVQCLAHGTFLSPSLTQCPKGIRASVPLSGGPRSLKARTFSAFSGTWA
+>DECOY_NM_144670|435865_2_1956_2137
+AWTGSFASFTRAKLSRPGGSLPVSARIGKPCQTLSPSLFTGHALCQVSIMSLWKIPTTVM
+>NM_134424|435970_2_358_446
+MAGHTPSRSRMWILLTSTMASSTWESVHL
+>DECOY_NM_134424|435970_2_358_446
+LHVSEWTSSAMTSTLLIWMRSRSPTHGAM
+>NM_134424|435971_2_463_554
+MVHIMKMLVMVLVRASSPRLYLWRRQGRRR
+>DECOY_NM_134424|435971_2_463_554
+RRRGQRRWLYLRPSSARVLVMVLMKMIHVM
+>NM_145032|436038_2_1388_1515
+MDATSSSIWTSLAAPRFQSKASGTLQTAALELCILPLMTCQL
+>DECOY_NM_145032|436038_2_1388_1515
+LQCTMLPLICLELAATQLTGSAKSQFRPAALSTWISSSTADM
+>NM_001111038|436107_2_1958_2079
+MEFRLLTQQWRCYRQNAITCTFWISLVVSCLLTKSLRTFR
+>DECOY_NM_001111038|436107_2_1958_2079
+RFTRLSKTLLCSVVLSIWFTCTIANQRYCRWQQTLLRFEM
+>NM_018291|436127_2_918_1009
+MGSHQRQQETLAFSLGLRSQLHSLMPMQED
+>DECOY_NM_018291|436127_2_918_1009
+DEQMPMLSHLQSRLGLSFALTEQQRQHSGM
+>NM_032047|436186_3_565_638
+MAVNYSVICYLFFSEPHVFLGTNR
+>DECOY_NM_032047|436186_3_565_638
+RNTGLFVHPESFFLYCIVSYNVAM
+>NM_002453|436222_2_419_522
+MGFHLLTLCGQLNCVPGPGQQMCSLGLLYLSIGF
+>DECOY_NM_002453|436222_2_419_522
+FGISLYLLGLSCMQQGPGPVCNLQGCLTLLHFGM
+>NM_002453|436225_2_1523_1617
+MEKQLMRPIPACQWELQAGETFLLQEKKFLK
+>DECOY_NM_002453|436225_2_1523_1617
+KLFKKEQLLFTEGAQLEWQCAPIPRMLQKEM
+>NM_002944|436363_2_1484_1563
+MAFIEQTFLYHLAGVQKLCVLWRVAR
+>DECOY_NM_002944|436363_2_1484_1563
+RAVRWLVCLKQVGALHYLFTQEIFAM
+>NM_002944|436368_2_2723_2862
+MGSCIGWFKTVNVFTCTQLFFGDRALGIPPSQNLQPGVLLKFPRMH
+>DECOY_NM_002944|436368_2_2723_2862
+HMRPFKLLVGPQLNQSPPIGLARDGFFLQTCTFVNVTKFWGICSGM
+>NM_002944|436374_2_4631_4707
+MASPALLQHTWFIMQKLMTGKTALT
+>DECOY_NM_002944|436374_2_4631_4707
+TLATKGTMLKQMIFWTHQLLAPSAM
+>NM_002944|436375_2_4862_5004
+MEYQRQCSSLIQLCGQTPASLYLGENLTSQMDLKNQSVISWQSHTWP
+>DECOY_NM_002944|436375_2_4862_5004
+PWTHSQWSIVSQNKLDMQSTLNEGLYLSAPTQGCLQILSSCQRQYEM
+>NM_002944|436380_3_552_634
+MEICKLLWSKIHHSVEICTTSGKLDLY
+>DECOY_NM_002944|436380_3_552_634
+YLDLKGSTTCIEVSHHIKSWLLKCIEM
+>NM_002901|436407_2_924_1039
+MGLWIRMSILRICFPMRRMALSQTGFYQNGSSLTNSGI
+>DECOY_NM_002901|436407_2_924_1039
+IGSNTLSSGNQYFGTQSLAMRRMPFCIRLISMRIWLGM
+>NM_030881|436484_2_751_1019
+MVAGETLQAIGIVVKPIELVMLMAVAMEVQILPLEHKQANTPMVKAPMGQLLMAPVAIQLKNMVLALMELVAPPQLGEVHRALASSLVG
+>DECOY_NM_030881|436484_2_751_1019
+GVLSSALARHVEGLQPPAVLEMLALVMNKLQIAVPAMLLQGMPAKVMPTNAQKHELPLIQVEMAVAMLMVLEIPKVVIGIAQLTEGAVM
+>NM_030881|436487_2_829_1019
+MEVQILPLEHKQANTPMVKAPMGQLLMAPVAIQLKNMVLALMELVAPPQLGEVHRALASSLVG
+>DECOY_NM_030881|436487_2_829_1019
+GVLSSALARHVEGLQPPAVLEMLALVMNKLQIAVPAMLLQGMPAKVMPTNAQKHELPLIQVEM
+>NM_030881|436491_2_937_1019
+MVLALMELVAPPQLGEVHRALASSLVG
+>DECOY_NM_030881|436491_2_937_1019
+GVLSSALARHVEGLQPPAVLEMLALVM
+>NM_000895|436748_2_594_673
+MEKHLTQKTQAGKYTNSSKKFQYPAT
+>DECOY_NM_000895|436748_2_594_673
+TAPYQFKKSSNTYKGAQTKQTLHKEM
+>NM_021224|436849_2_308_411
+MAVISEPRLMKISRHTFRMSTRHFCSQLMLLRTM
+>DECOY_NM_021224|436849_2_308_411
+MTRLLMLQSCFHRTSMRFTHRSIKMLRPESIVAM
+>NM_021224|436853_2_545_660
+MATVQDIMVSILPLIPNQQTSFFNASSVYATSGQKTSS
+>DECOY_NM_021224|436853_2_545_660
+SSTKQGSTAYVSSANFFSTQQNPILPLISVMIDQVTAM
+>NM_021224|436854_2_566_660
+MVSILPLIPNQQTSFFNASSVYATSGQKTSS
+>DECOY_NM_021224|436854_2_566_660
+SSTKQGSTAYVSSANFFSTQQNPILPLISVM
+>NM_021224|436863_2_3839_3963
+MALLWRMRCSFASTVIMGTGRSKGYSFIIRRSTETSRPMQM
+>DECOY_NM_021224|436863_2_3839_3963
+MQMPRSTETSRRIIFSYGKSRGTGMIVTSAFSCRMRWLLAM
+>NM_021224|436865_2_3887_3963
+MGTGRSKGYSFIIRRSTETSRPMQM
+>DECOY_NM_021224|436865_2_3887_3963
+MQMPRSTETSRRIIFSYGKSRGTGM
+>NM_021224|436872_2_6929_7095
+MVGRSFSSAKTAPFTQALNLLLLCTWKLGTQQFPRRAPKIFAVLSASITPNTSAT
+>DECOY_NM_021224|436872_2_6929_7095
+TASTNPTISASLVAFIKPARRPFQQTGLKWTCLLLLNLAQTFPATKASSFSRGVM
+>NM_021224|436879_3_4188_4309
+MGISRWLDRSWLPLRVVHLLPYGAQRFAPALPTEASRTLC
+>DECOY_NM_021224|436879_3_4188_4309
+CLTRSAETPLAPAFRQAGYPLLHVVRLPLWSRDLWRSIGM
+>NM_004463|437157_2_2544_2656
+MGPLKTDTSYYSTTASFTACPGCGSLARSLACGHALM
+>DECOY_NM_004463|437157_2_2544_2656
+MLAHGCALSRALSGCGPCATFSATTSYYSTDTKLPGM
+>NM_006232|437169_2_286_359
+MVPWMMVNTTPLMIGLPGLTSLSM
+>DECOY_NM_006232|437169_2_286_359
+MSLSTLGPLGIMLPTTNVMMWPVM
+>NM_022359|437190_2_470_600
+MGRWYPERVTQQLFLVTLKIEALQCSHRSGEVVKRSRLCRHIP
+>DECOY_NM_022359|437190_2_470_600
+PIHRCLRSRKVVEGSRHSCQLAEIKLTVLFLQQTVREPYWRGM
+>NM_005816|437323_2_720_892
+MELRKHLSPKITSSAIPHYLKIESSLVQTTDSTSLQSKSSMMGGSSLATLESVLTKS
+>DECOY_NM_005816|437323_2_720_892
+SKTLVSELTALSSGGMMSSKSQLSTSDTTQVLSSEIKLYHPIASSTIKPSLHKRLEM
+>NM_005816|437334_3_181_287
+MEILCCLLHHPDTFCQGSLGKNSQHRRKCLCYTWL
+>DECOY_NM_005816|437334_3_181_287
+LWTYCLCKRRHQSNKGLSGQCFTDPHHLLCCLIEM
+>NM_005816|437335_3_469_557
+MDSALKEYVLFSQWKVRVYACSVSRGHSD
+>DECOY_NM_005816|437335_3_469_557
+DSHGRSVSCAYVRVKWQSFLVYEKLASDM
+>NM_005816|437336_3_1747_1847
+MVSVPKRNNGKTSTFQATTTSHQVHLHSRAQRK
+>DECOY_NM_005816|437336_3_1747_1847
+KRQARSHLHVQHSTTTAQFTSTKGNNRKPVSVM
+>NM_002223|437428_2_1417_1523
+MESLQLQRKNARQGRRSCILWFQSRMAMTLHPFLN
+>DECOY_NM_002223|437428_2_1417_1523
+NLFPHLTMAMRSQFWLICSRRGQRANKRQLQLSEM
+>NM_002223|437439_2_6682_6833
+MGMMRVEMMVFLQKMLDTISIFWPISWPATINCCSRCSNQDRIQMKEMKP
+>DECOY_NM_002223|437439_2_6682_6833
+PKMEKMQIRDQNSCRSCCNITAPWSIPWFISITDLMKQLFVMMEVRMMGM
+>NM_002223|437443_2_6706_6833
+MVFLQKMLDTISIFWPISWPATINCCSRCSNQDRIQMKEMKP
+>DECOY_NM_002223|437443_2_6706_6833
+PKMEKMQIRDQNSCRSCCNITAPWSIPWFISITDLMKQLFVM
+>NM_001007098|437522_2_440_606
+MDCLLTQLLLRCLGMLEKNIWKNMEQKLNTLQKLDGKIINIQLITRIPSSKMNTV
+>DECOY_NM_001007098|437522_2_440_606
+VTNMKSSPIRTILQINIIKGDLKQLTNLKQEMNKWINKELMGLCRLLLQTLLCDM
+>NM_001007098|437524_2_509_606
+MEQKLNTLQKLDGKIINIQLITRIPSSKMNTV
+>DECOY_NM_001007098|437524_2_509_606
+VTNMKSSPIRTILQINIIKGDLKQLTNLKQEM
+>NM_015065|437576_2_817_935
+MDQEHSSVTFIPVVTDMVISQKGTKNTIMKLPICLSMTS
+>DECOY_NM_015065|437576_2_817_935
+STMSLCIPLKMITNKTGKQSIVMDTVVPIFTVSSHEQDM
+>NM_015065|437582_2_1720_1829
+MEQMFPEAKKSHILGSLIFRDPHWIAWWYHMVMRPS
+>DECOY_NM_015065|437582_2_1720_1829
+SPRMVMHYWWAIWHPDRFILSGLIHSKKAEPFMQEM
+>NM_015065|437594_2_5638_5795
+MGTVEDSDLFLNSPPVMEMKVGLIAAGQKQVPGLQYLYTDLSTMGSLGKNNS
+>DECOY_NM_015065|437594_2_5638_5795
+SNNKGLSGMTSLDTYLYQLGPVQKQGAAILGVKMEMVPPSNLFLDSDEVTGM
+>NM_015065|437595_2_5686_5795
+MEMKVGLIAAGQKQVPGLQYLYTDLSTMGSLGKNNS
+>DECOY_NM_015065|437595_2_5686_5795
+SNNKGLSGMTSLDTYLYQLGPVQKQGAAILGVKMEM
+>NM_001007099|437642_2_309_391
+MAKDQCFLTQIRRLTAQSQWLTQTSWL
+>DECOY_NM_001007099|437642_2_309_391
+LWSTQTLWQSQATLRRIQTLFCQDKAM
+>NM_020770|437692_2_529_620
+MESYSVPTPRPHWQALAQWILVTEATACWS
+>DECOY_NM_020770|437692_2_529_620
+SWCATAETVLIWQALAQWHPRPTPVSYSEM
+>NM_005448|437725_2_664_1106
+MALHPWTLPSCYSISMILIKAFGRLNFFPGAWRSSWKGNLFSGEPDKQMVSQLRLLPLPQNIAGLKITSVPSTLSKSASASWVGITGSLLPLSTPQTTVKELVSEYYAMVSIPPITPLFRTLSISWWTRVSPGPPVSRISMFQLVSL
+>DECOY_NM_005448|437725_2_664_1106
+LSVLQFMSIRSVPPGPSVRTWWSISLTRFLPTIPPISVMAYYESVLEKVTTQPTSLPLLSGTIGVWSASASKSLTSPVSTIKLGAINQPLPLLRLQSVMQKDPEGSFLNGKWSSRWAGPFFNLRGFAKILIMSISYCSPLTWPHLAM
+>NM_005448|437728_2_988_1106
+MVSIPPITPLFRTLSISWWTRVSPGPPVSRISMFQLVSL
+>DECOY_NM_005448|437728_2_988_1106
+LSVLQFMSIRSVPPGPSVRTWWSISLTRFLPTIPPISVM
+>NM_153026|437762_2_1786_1934
+MDWAILLMAATQALQAVEGFRNWNWTMGLQGIIMMKHSGMKIPWSVCQT
+>DECOY_NM_153026|437762_2_1786_1934
+TQCVSWPIKMGSHKMMIIGQLGMTWNWNRFGEVAQLAQTAAMLLIAWDM
+>NM_020402|437786_3_347_429
+MGPQCLWWPGCHPHPQQSCVAARHRTL
+>DECOY_NM_020402|437786_3_347_429
+LTRHRAAVCSQQPHPHCGPWWLCQPGM
+>NM_002373|437834_2_3339_3442
+MELQCLVPLGMPYIQENQPLEKQRSGALAQMTAQ
+>DECOY_NM_002373|437834_2_3339_3442
+QATMQALAGSRQKELPQNEQIYPMGLPVLCQLEM
+>NM_002373|437851_2_7455_7825
+MASCRATWSAQRQPRRSQAPSRFPLRIVQPMAQLKPALTPQALPQPRLKMKRLRLALPGNVGPGLKELRGAPGLTHCSPLSSQCVLQGAPGAHPAVPLLRSKLGPRDVPLSLGPIVGSSPHPS
+>DECOY_NM_002373|437851_2_7455_7825
+SPHPSSGVIPGLSLPVDRPGLKSRLLPVAPHAGPAGQLVCQSSLPSCHTLGPAGRLEKLGPGVNGPLALRLRKMKLRPQPLAQPTLAPKLQAMPQVIRLPFRSPAQSRRPQRQASWTARCSAM
+>NM_002373|437857_2_8073_8242
+METSYLWTKLGVSVVLTTPGLAMTHLLSHSQTPAHPLPALMCAWLTPRGSAQSLGE
+>DECOY_NM_002373|437857_2_8073_8242
+EGLSQASGRPTLWACMLAPLPHAPTQSHSLLHTMALGPTTLVVSVGLKTWLYSTEM
+>NM_001135844|438062_2_655_746
+MGMSSQTSRPTWTPQETVCPTASTAQPRWC
+>DECOY_NM_001135844|438062_2_655_746
+CWRPQATSATPCVTEQPTWTPRSTQSSMGM
+>NM_138495|438253_2_576_712
+MAPKTATSLTGDFQRENLTQINTVEYWIPRQRNLAQDPSPARHIR
+>DECOY_NM_138495|438253_2_576_712
+RIHRAPSPDQALNRQRPIWYEVTNIQTLNERQFDGTLSTATKPAM
+>NM_138495|438265_3_1207_1295
+MGSFSIRTKLHGRKTPEFTDVEEDPSCGR
+>DECOY_NM_138495|438265_3_1207_1295
+RGCSPDEEVDTFEPTKRGHLKTRISFSGM
+>NM_018960|438278_3_146_270
+MAAWAAAPARLPAGARRSLWHWGGLHYAGGRGLQCDECGCQ
+>DECOY_NM_018960|438278_3_146_270
+QCGCEDCQLGRGGAYHLGGWHWLSRRAGAPLRAPAAAWAAM
+>NM_006488|438314_3_624_724
+MAARRQRVQLLHRSLPARSPLCLHGLNGSWPCC
+>DECOY_NM_006488|438314_3_624_724
+CCPWSGNLGHLCLPSRAPLSRHLLQVRQRRAAM
+>NM_016340|438534_2_4545_4666
+MGQLNGEYWRAPQLSHLKAWTPRMPLTQFIKLSLQVQKRA
+>DECOY_NM_016340|438534_2_4545_4666
+ARKQVQLSLKIFQTLPMRPTWAKLHSLQPARWYEGNLQGM
+>NM_000890|438583_2_768_1069
+MASESSQRSVQRGLYSSWSRPSWAPSSMPSWWGACLSRSASPRRERRPSCFPTTQSSPCGTRSCASCSGWATSATPTSWRPPSGPSSSSPGRPKRGSSSP
+>DECOY_NM_000890|438583_2_768_1069
+PSSSGRKPRGPSSSSPGSPPRWSTPTASTAWGSCSACSRTGCPSSQTTPFCSPRRERRPSASRSLCAGWWSPMSSPAWSPRSWSSYLGRQVSRQSSESAM
+>NM_052890|438638_2_455_546
+MGWCWHLMARPWLWSLCWRGWRQGCKGAGS
+>DECOY_NM_052890|438638_2_455_546
+SGAGKCGQRWGRWCLSWLWPRAMLHWCWGM
+>NM_052890|438639_2_656_819
+MALQMSPLQILEPTLQMLQKAVQMSKLPCQMPKPSPHRPWWTASWQSPWLETWA
+>DECOY_NM_052890|438639_2_656_819
+AWTELWPSQWSATWWPRHPSPKPMQCPLKSMQVAKQLMQLTPELIQLPSMQLAM
+>NM_052890|438645_3_351_433
+MEPQCYRVGSLPTKPRAVRPDQGGGPT
+>DECOY_NM_052890|438645_3_351_433
+TPGGGQDPRVARPKTPLSGVRYCQPEM
+>NM_024513|438677_2_462_583
+MGSALSSLSQSSEHPWGKEEHLFATPWCTRGWQTPYSSAS
+>DECOY_NM_024513|438677_2_462_583
+SASSYPTQWGRTCWPTAFLHEEKGWPHESSQSLSSLASGM
+>NM_144975|438730_2_2089_2189
+MGTGMGKQSSSLRQQGMAQEFSGSFWTTFRPIT
+>DECOY_NM_144975|438730_2_2089_2189
+TIPRFTTWFSGSFEQAMGQQRLSSSQKGMGTGM
+>NM_144975|438731_2_2101_2189
+MGKQSSSLRQQGMAQEFSGSFWTTFRPIT
+>DECOY_NM_144975|438731_2_2101_2189
+TIPRFTTWFSGSFEQAMGQQRLSSSQKGM
+>NM_144975|438732_2_2464_2540
+MVILRRILLCFSPKQVKWKNIKTGF
+>DECOY_NM_144975|438732_2_2464_2540
+FGTKINKWKVQKPSFCLLIRRLIVM
+>NM_144975|438738_3_419_558
+MEHRGWCATCYLMLQFVPQRENIHRCHGFSGSSGIPQMQDSDSNEY
+>DECOY_NM_144975|438738_3_419_558
+YENSDSDQMQPIGSSGSFGHCRHINERQPVFQLMLYCTACWGRHEM
+>NM_018897|438915_2_3969_4135
+MDMNIWVIPLGWLLHHSRIDVTEPYLEPFICTLEEHLRVQLALGRLKLPRIWQKL
+>DECOY_NM_018897|438915_2_3969_4135
+LKQWIRPLKLRGLALQVRLHEELTCIFPELYPETVDIRSHHLLWGLPIVWINMDM
+>NM_018897|438925_2_10155_10264
+MDGRKYMIVWNHTMRFSLKNGKIKQMSFKGCLLFVA
+>DECOY_NM_018897|438925_2_10155_10264
+AVFLLCGKFSMQKIKGNKLSFRMTHNWVIMYKRGDM
+>NM_018897|438930_2_11862_11968
+MVFSFTDYFWMELPGIERSRNLQNRIPKFFMIQCL
+>DECOY_NM_018897|438930_2_11862_11968
+LCQIMFFKPIRNQLNRSREIGPLEMWFYDTFSFVM
+>NM_052903|439036_2_1589_1710
+MAPGSSSSRETKMFQLITETSGMQLTRYIAYQKRQKMKKK
+>DECOY_NM_052903|439036_2_1589_1710
+KKKMKQRKQYAIYRTLQMGSTETILQFMKTERSSSSGPAM
+>NM_001814|439172_2_1200_1279
+MGPWQLLLKYMMTSSTTKRGSTTTLV
+>DECOY_NM_001814|439172_2_1200_1279
+VLTTTSGRKTTSSTMMYKLLLQWPGM
+>NM_001814|439174_2_1335_1459
+MALTQPLGWITGLLKTAGAPAGVRMATSGSAEELMSVQLRA
+>DECOY_NM_001814|439174_2_1335_1459
+ARLQVSMLEEASGSTAMRVGAPAGATKLLGTIWGLPQTLAM
+>NM_000640|439200_2_402_607
+MGLILTRALKRRYTRFYHGNAQMDQKFKVPGQKLLIGYHHKEFQKLKFRIWIAYITIGNIYSVLGNLA
+>DECOY_NM_000640|439200_2_402_607
+ALNGLVSYINGITIYAIWIRFKLKQFEKHHYGILLKQGPVKFKQDMQANGHYFRTYRRKLARTLILGM
+>NM_000640|439203_3_454_539
+MAMHKWIRSSKFLGRNYLLDITTRNSRN
+>DECOY_NM_000640|439203_3_454_539
+NRSNRTTIDLLYNRGLFKSSRIWKHMAM
+>NM_175629|439247_2_738_820
+MAAAPPRRAEEPLQKRAKNRRRPTSNP
+>DECOY_NM_175629|439247_2_738_820
+PNSTPRRRNKARKQLPEEARRPPAAAM
+>NM_014364|439303_2_519_763
+MDNWSWTTMRSLSTSAKSPNRSPGGLSGAPTWWSPQACTSPYRQLRTTSLQVLNVWSSPRPHRMHQCSSWVSMKMTITLAP
+>DECOY_NM_014364|439303_2_519_763
+PALTITMKMSVWSSCQHMRHPRPSSWVNLVQLSTTRLQRYPSTCAQPSWWTPAGSLGGPSRNPSKASTSLSRMTTWSWNDM
+>NM_001033677|439358_2_572_891
+MGRNGDCPRRSASGALCEPGAAGTPFQPPRPRRTRSSTGCAPCSAPPLARIDHCDQRKLKSSERPSENSTRTRMATSTAGIWATACAPWATCPPRWSSSNCPSRST
+>DECOY_NM_001033677|439358_2_572_891
+TSRSPCNSSSWRPPCTAWPACATAWIGATSTAMRTRTSNESPRESSKLKRQDCHDIRALPPASCPACGTSSRTRRPRPPQFPTGAAGPECLAGSASRRPCDGNRGM
+>NM_002547|439389_2_703_932
+MVRGFILYWIGTYTCLQKRKNLSYKRQTYRWTRRGTIFSSPLLIMFIKSRKFRSPRSSILWSLSWPFFIVCSFLTA
+>DECOY_NM_002547|439389_2_703_932
+ATLFSCVIFFPWSLSWLISSRPSRFKRSKIFMILLPSSFITGRRTWRYTQRKYSLNKRKQLCTYTGIWYLIFGRVM
+>NM_002547|439395_2_2329_2411
+MEGPRSPQRPPMDPCQALGPPRPPLST
+>DECOY_NM_002547|439395_2_2329_2411
+TSLPPRPPGLAQCPDMPPRQPSRPGEM
+>NM_002547|439410_3_1367_1443
+MDGSHGWERTYLPQPYNKTARNGAK
+>DECOY_NM_002547|439410_3_1367_1443
+KAGNRATKNYPQPLYTREWGHSGDM
+>NM_152633|439507_2_202_347
+MGKSLFSSCLKEILQIKSLQKHPYYMSEEWYLTEEQKYLFRSPLDFLP
+>DECOY_NM_152633|439507_2_202_347
+PLFDLPSRFLYKQEETLYWEESMYYPHKQLSKIQLIEKLCSSFLSKGM
+>NM_152633|439509_2_955_1127
+MELLKMCASFHLEILVQFNLWIQVEETSFSLYPLYPIMLVLYGKRAFRLLLNGKNLA
+>DECOY_NM_152633|439509_2_955_1127
+ALNKGNLLLRFARKGYLVLMIPYLPYLSFSTEEVQIWLNFQVLIELHFSACMKLLEM
+>NM_000082|439538_2_758_876
+MGKSHKLLNQQTLLIMGKLMAYVLQVMDFTSSLLVQIIE
+>DECOY_NM_000082|439538_2_758_876
+EIIQVLLSSTFDMVQLVYAMLKGMILLTQQNLLKHSKGM
+>NM_000082|439539_2_803_876
+MGKLMAYVLQVMDFTSSLLVQIIE
+>DECOY_NM_000082|439539_2_803_876
+EIIQVLLSSTFDMVQLVYAMLKGM
+>NM_000181|439559_2_1271_1362
+MGLWSSMSVPAWAWRCRSSSTTFLCITTCR
+>DECOY_NM_000181|439559_2_1271_1362
+RCTTICLFTTSSSRCRWAWAPVSMSSWLGM
+>NM_000181|439561_3_405_502
+MDPGPAHKSGAEDWQCPFLCHRVGEWGRHARA
+>DECOY_NM_000181|439561_3_405_502
+ARAHRGWEGVRHCLFPCQWDEAGSKHAPGPDM
+>NM_178006|439653_2_1390_1622
+MGLIGGPVASPWAESRSLVPGSPGSWRPATEPAESVSMTMSLAPICMPAQEIFWTWRKMTFSLTWMTFCSMSMGSKR
+>DECOY_NM_178006|439653_2_1390_1622
+RKSGMSMSCFTMWTLSFTMKRWTWFIEQAPMCIPALSMTMSVSEAPETAPRWSGPSGPVLSRSEAWPSAVPGGILGM
+>NM_178006|439666_3_2045_2268
+MVSSKVHEEDESSRLQRQGCLWRSSHSPRPKNGTAPASKYSASTEISTQQLPRSGGSFSQIRSEVSNPCPSPNE
+>DECOY_NM_178006|439666_3_2045_2268
+ENPSPCPNSVESRIQSFSGGSRPLQQTSIETSASYKSAPATGNKPRPSHSSRWLCGQRQLRSSEDEEHVKSSVM
+>NM_178006|439667_3_2906_3186
+MGHVLQHGQYRSCFQKGGRREPAEAVEGFCGGGSTPLSGPEPRAERAPPVGRGLCAVEGCGNSRQANRDLPVCAEQHGSPSFQRLCGSQDLEN
+>DECOY_NM_178006|439667_3_2906_3186
+NELDQSGCLRQFSPSGHQEACVPLDRNAQRSNGCGEVACLGRGVPPAREARPEPGSLPTSGGGCFGEVAEAPERRGGKQFCSRYQGHQLVHGM
+>NM_004105|439833_2_523_635
+MEDTSAFRKQPRLLSIMNSLSRKHNQQKEPQGQPPGL
+>DECOY_NM_004105|439833_2_523_635
+LGPPQGQPEKQQNHKRSLSNMISLLRPQKRFASTDEM
+>NM_152782|439948_2_521_627
+MVWTIITTGTPMETLWRTRTTQRKCQTWSIMYLKS
+>DECOY_NM_152782|439948_2_521_627
+SKLYMISWTQCKRQTTRTRWLTEMPTGTTIITWVM
+>NM_152782|439953_3_231_304
+MEDYSKYNAYTDFSSCRTPKSSVA
+>DECOY_NM_152782|439953_3_231_304
+AVSSKPTRCSSFDTYANYKSYDEM
+>NM_001062|439990_2_801_904
+MVSLETHLAQEKPCRPSLYHQTIIMKMTGIANKL
+>DECOY_NM_001062|439990_2_801_904
+LKNAIGTMKMIITQHYLSPRCPKEQALHTELSVM
+>NM_017843|440003_2_488_636
+MGPSLRPCGGGWDPQGSPPSGTWSAVAQSQLAATSASRVQVILLPPPPK
+>DECOY_NM_017843|440003_2_488_636
+KPPPPLLIVQVRSASTAALQSQAVASWTGSPPSGQPDWGGGCPRLSPGM
+>NM_198799|440056_2_488_576
+MGPSLRPCGGGWDPQGSPPSGTSHLHRCP
+>DECOY_NM_198799|440056_2_488_576
+PCRHLHSTGSPPSGQPDWGGGCPRLSPGM
+>NM_002804|440161_2_273_358
+MELGRRCSRCPRRRSSSAHGCWTVRSRS
+>DECOY_NM_002804|440161_2_273_358
+SRSRVTWCGHASSSRRRPCRSCRRGLEM
+>NM_000429|440561_2_829_920
+MAQSSLCASTPSSSLCSTTKTSRWRRCAGP
+>DECOY_NM_000429|440561_2_829_920
+PGACRRWRSTKTTSCLSSSPTSACLSSQAM
+>NM_020199|440631_2_564_676
+MAIMENQTMTGPRAPGTTTSLMTPWKKTGVTWKLNSQ
+>DECOY_NM_020199|440631_2_564_676
+QSNLKWTVGTKKWPTMLSTTTGPARPGTMTQNEMIAM
+>NM_020199|440632_2_573_676
+MENQTMTGPRAPGTTTSLMTPWKKTGVTWKLNSQ
+>DECOY_NM_020199|440632_2_573_676
+QSNLKWTVGTKKWPTMLSTTTGPARPGTMTQNEM
+>NM_007286|440842_2_2165_2439
+MATCLRTGSCAQSPPSSRHTSCAPRSLSSHLSRSLPRSHQELPRPPSPAPWTWCPTCPRGLSLHLLPCLGPRAPHRASTPPPARTACSPLP
+>DECOY_NM_007286|440842_2_2165_2439
+PLPSCATRAPPPTSARHPARPGLCPLLHLSLGRPCTPCWTWPAPSPPRPLEQHSRPLSRSLHSSLSRPACSTHRSSPPSQACSGTRLCTAM
+>NM_007099|440850_2_295_386
+MAFTQPIKQDRLPKKILPHLIIYYVWMKAI
+>DECOY_NM_007099|440850_2_295_386
+IAKMWVYYIILHPLIKKPLRDQKIPQTFAM
+>NM_172171|440888_2_1293_1423
+MAARCLKDGAPGTEQPPLQACSPSLLSAPQPCENRRSLRLQNS
+>DECOY_NM_172171|440888_2_1293_1423
+SNQLRLSRRNECPQPASLLSPSCAQLPPQETGPAGDKLCRAAM
+>NM_001002811|440933_2_454_986
+MAKPSSLAASVLSCLIESIDSTQLLPGLKRFLLSACKSCYWRRIASSSALPVCIGRITMTLARRSRRGMGRLTCPSYPMRDTLHCSRRTSPIQGLSAGWRMRIRSRSHTAAMVQKALETDPGDAVVVPLCGLLILTMKPFVRYLERWPEVSPAALLAGGRPAFALKNQRCLRLGHPT
+>DECOY_NM_001002811|440933_2_454_986
+TPHGLRLCRQNKLAFAPRGGALLAAPSVEPWRELYRVFPKMTLILLGCLPVVVADGPDTELAKQVMAATHSRSRIRMRWGASLGQIPSTRRSCHLTDRMPYSPCTLRGMGRRSRRALTMTIRGICVPLASSSAIRRWYCSKCASLLFRKLGPLLQTSDISEILCSLVSAALSSPKAM
+>NM_001002811|440935_2_658_986
+MGRLTCPSYPMRDTLHCSRRTSPIQGLSAGWRMRIRSRSHTAAMVQKALETDPGDAVVVPLCGLLILTMKPFVRYLERWPEVSPAALLAGGRPAFALKNQRCLRLGHPT
+>DECOY_NM_001002811|440935_2_658_986
+TPHGLRLCRQNKLAFAPRGGALLAAPSVEPWRELYRVFPKMTLILLGCLPVVVADGPDTELAKQVMAATHSRSRIRMRWGASLGQIPSTRRSCHLTDRMPYSPCTLRGM
+>NM_001002811|440938_2_787_986
+MVQKALETDPGDAVVVPLCGLLILTMKPFVRYLERWPEVSPAALLAGGRPAFALKNQRCLRLGHPT
+>DECOY_NM_001002811|440938_2_787_986
+TPHGLRLCRQNKLAFAPRGGALLAAPSVEPWRELYRVFPKMTLILLGCLPVVVADGPDTELAKQVM
+>NM_002428|441221_2_2066_2334
+MAPTSATGTLTQWPCFAGRCSCSRAAGSGESGTTASWTTIPCPSGTSGVVCPVTSVLPTSAKTVVLSFSKVTATGSFEKRTWSPATHSR
+>DECOY_NM_002428|441221_2_2066_2334
+RSHTAPSWTRKEFSGTATVKSFSLVVTKASTPLVSTVPCVVGSTGSPCPITTWSATTGSEGSGAARSCSCRGAFCPWQTLTGTASTPAM
+>NM_002428|441222_2_2342_2529
+MAWASPMTALTRPSGGSPQATPSSSKRTGTGASTRRHSVETLGTPSPSVSGRGSLPPLKGPS
+>DECOY_NM_002428|441222_2_2342_2529
+SPGKLPPLSGRGSVSPSPTGLTEVSHRRTSAGTGTRKSSSPTAQPSGGSPRTLATMPSAWAM
+>NM_002428|441226_2_2759_2844
+MGMGTLGPGSTRTGAAAWWCRWRRWHGR
+>DECOY_NM_002428|441226_2_2759_2844
+RGHWRRWRCWWAAAGTRTSGPGLTGMGM
+>NM_002428|441227_2_2765_2844
+MGTLGPGSTRTGAAAWWCRWRRWHGR
+>DECOY_NM_002428|441227_2_2765_2844
+RGHWRRWRCWWAAAGTRTSGPGLTGM
+>NM_004557|441256_2_998_1170
+MGALARMGWTPTPASAQKPGQAGTAPKMWMSVRPRVPLTAETGAPARTLLVAFTACV
+>DECOY_NM_004557|441256_2_998_1170
+VCATFAVLLTRAPAGTEATLPVRPRVSMWMKPATGAQGPKQASAPTPTWGMRALAGM
+>NM_004557|441257_2_1016_1170
+MGWTPTPASAQKPGQAGTAPKMWMSVRPRVPLTAETGAPARTLLVAFTACV
+>DECOY_NM_004557|441257_2_1016_1170
+VCATFAVLLTRAPAGTEATLPVRPRVSMWMKPATGAQGPKQASAPTPTWGM
+>NM_004557|441261_2_1343_1449
+MGMPNAAPTPSQAPHSACVSLAIRGPPATRTWTSV
+>DECOY_NM_004557|441261_2_1343_1449
+VSTWTRTAPPGRIALSVCASHPAQSPTPAANPMGM
+>NM_004557|441263_2_1481_1653
+MAVPASTLLAPSTASVHLATQAPVVRLITMSASPSPATQEAPVWTYLPPSTASARQA
+>DECOY_NM_004557|441263_2_1481_1653
+AQRASATSPPLYTWVPAEQTAPSPSASMTILRVVPAQTALHVSATSPALLTSAPVAM
+>NM_004557|441271_2_2846_2931
+MEASVSTAAPPISATAPLDSKAACARIT
+>DECOY_NM_004557|441271_2_2846_2931
+TIRACAAKSDLPATASIPPAATSVSAEM
+>NM_004557|441272_2_3020_3279
+MDRTAQRNSMLVSPNPVTTMEPVLPNLEDSTVPALQALWGYAVRETWTSVWTSPATPQALQPATLWPMPSTASVCLDTQASGVRWR
+>DECOY_NM_004557|441272_2_3020_3279
+RWRVGSAQTDLCVSATSPMPWLTAPQLAQPTAPSTWVSTWTERVAYGWLAQLAPVTSDELNPLVPEMTTVPNPSVLMSNRQATRDM
+>NM_004557|441274_2_3077_3279
+MEPVLPNLEDSTVPALQALWGYAVRETWTSVWTSPATPQALQPATLWPMPSTASVCLDTQASGVRWR
+>DECOY_NM_004557|441274_2_3077_3279
+RWRVGSAQTDLCVSATSPMPWLTAPQLAQPTAPSTWVSTWTERVAYGWLAQLAPVTSDELNPLVPEM
+>NM_004557|441276_2_3566_4047
+MAAAQRPRAWGAQAFDAPALTALQGPGVRNPEPRGVRAEVEMGPAMLAAVAREETGMEGTALWESQTPGRAAPPTLGAGFSSGTGSATHSVTLKSVCLMATTVRPLQPALQPMTSTAMITSTTGTVRKAATLQSVAGMEVTAGLKMGTQSGGPPWPCWWY
+>DECOY_NM_004557|441276_2_3566_4047
+YWWCPWPPGGSQTGMKLGATVEMGAVSQLTAAKRVTGTTSTIMATSTMPQLAPQLPRVTTAMLCVSKLTVSHTASGTGSSFGAGLTPPAARGPTQSEWLATGEMGTEERAVAALMAPGMEVEARVGRPEPNRVGPGQLATLAPADFAQAGWARPRQAAAM
+>NM_004557|441277_2_3689_4047
+MGPAMLAAVAREETGMEGTALWESQTPGRAAPPTLGAGFSSGTGSATHSVTLKSVCLMATTVRPLQPALQPMTSTAMITSTTGTVRKAATLQSVAGMEVTAGLKMGTQSGGPPWPCWWY
+>DECOY_NM_004557|441277_2_3689_4047
+YWWCPWPPGGSQTGMKLGATVEMGAVSQLTAAKRVTGTTSTIMATSTMPQLAPQLPRVTTAMLCVSKLTVSHTASGTGSSFGAGLTPPAARGPTQSEWLATGEMGTEERAVAALMAPGM
+>NM_004557|441279_2_3734_4047
+MEGTALWESQTPGRAAPPTLGAGFSSGTGSATHSVTLKSVCLMATTVRPLQPALQPMTSTAMITSTTGTVRKAATLQSVAGMEVTAGLKMGTQSGGPPWPCWWY
+>DECOY_NM_004557|441279_2_3734_4047
+YWWCPWPPGGSQTGMKLGATVEMGAVSQLTAAKRVTGTTSTIMATSTMPQLAPQLPRVTTAMLCVSKLTVSHTASGTGSSFGAGLTPPAARGPTQSEWLATGEM
+>NM_004557|441283_2_4571_4650
+MELSGCPLVSLDGLGLSQLPTDAGPH
+>DECOY_NM_004557|441283_2_4571_4650
+HPGADTPLQSLGLGDLSVLPCGSLEM
+>NM_004557|441293_3_2757_2941
+MDRASLQPSTVLLPEGCTEPRHRRLFPLPQWRPLCRQRPLLFLPLPPWIPRQPVPGSREPM
+>DECOY_NM_004557|441293_3_2757_2941
+MPERSGPVPQRPIWPPLPLFLLPRQRCLPRWQPLPFLRRHRPETCGEPLLVTSPQLSARDM
+>NM_000310|441432_3_279_394
+MDLRFSGAAASGPAGAAAVGDLAWDGRQLLQSLKHGCY
+>DECOY_NM_000310|441432_3_279_394
+YCGHKLSQLLQRGDWALDGVAAAGAPGSAAAGSFRLDM
+>NM_001039690|441442_3_180_271
+MGADGATGGDRGSLQHWISWKPPGRPTLHH
+>DECOY_NM_001039690|441442_3_180_271
+HHLTPRGPPKWSIWHQLSGRDGGTAGDAGM
+>NM_007101|441483_2_741_838
+MGASSSRPTGSAWTSTRGSCRWARRMVWNPMC
+>DECOY_NM_007101|441483_2_741_838
+CMPNWVMRRAWRCSGRTSTWASGTPRSSSAGM
+>NM_007101|441487_2_1068_1471
+MVPSRHPAWSTVQECGQVLWAGWLESRSRWWPCTMPMSSPSASRGFRTCPMSVIMMPLSTSASKGMPCLWVAMRPTPSFGRRCQTSLPSASLTWTGRCSPSTLKAPSTGSPCWRRQESSPRSAALNPSRPTTSP
+>DECOY_NM_007101|441487_2_1068_1471
+PSTTPRSPNLAASRPSSEQRRWCPSGTSPAKLTSPSCRGTWTLSASPLSTQCRRGFSPTPRMAVWLCPMGKSASTSLPMMIVSMPCTRFGRSASPSSMPMTCPWWRSRSELWGAWLVQGCEQVTSWAPHRSPVM
+>NM_007101|441498_2_1800_2155
+MAGSDRDGFIPEAQLRSSSTTTTGLTGAARTRTTPTAGCWQTSTPSPSRPTTTRSRRSAWPAEGPPLCLTCPTSGSSTWWGWMQGRLPTGSSPQMSADPQAPPCTRACSTTVGAPRVT
+>DECOY_NM_007101|441498_2_1800_2155
+TVRPAGVTTSCARTCPPAQPDASMQPSSGTPLRGQMWGWWTSSGSTPCTLCLPPGEAPWASRRSRTTTPRSPSPTSTQWCGATPTTRTRAAGTLGTTTTSSSRLQAEPIFGDRDSGAM
+>NM_007101|441507_3_1819_2006
+MVSSPRPSSGPRVRLLRGLREPRARGLRLPQAAGRRVHLRLPAPPRHDQEGVPGLQRGRRCV
+>DECOY_NM_007101|441507_3_1819_2006
+VCRRGRQLGPVGEQDHRPPAPLRLHVRRGAAQPLRLGRARPERLGRLLRVRPGSSPRPSSVM
+>NM_001142604|441516_2_347_423
+MGWVFLDSLDAQERALTSVTSSEKH
+>DECOY_NM_001142604|441516_2_347_423
+HKESSTVSTLAREQADLSDLFVWGM
+>NM_001142604|441522_3_279_403
+MDLRFSGAAASGPAGAAAVGDLAWDGCFWTPSMPRRELSHL
+>DECOY_NM_001142604|441522_3_279_403
+LHSLERRPMSPTWFCGDWALDGVAAAGAPGSAAAGSFRLDM
+>NM_006258|441543_2_532_626
+MARTVASSKKETWGHWCMSWKMVRLKLQKKV
+>DECOY_NM_006258|441543_2_532_626
+VKKQLKLRVMKWSMCWHGWTEKKSSAVTRAM
+>NM_001079533|441599_3_758_867
+MARSFCVAILGPPRSSQRPLQHRERGQAAPTSCSCE
+>DECOY_NM_001079533|441599_3_758_867
+ECSCSTPAAQGRERHQLPRQSSRPPGLIAVCFSRAM
+>NM_000938|441690_2_1205_1374
+METRDWILLGRCLHSYLEVCLRICLKKCGSMHRNLLIEERILTWSWQLKHGSYLMA
+>DECOY_NM_000938|441690_2_1205_1374
+AMLYSGHKLQWSWTLIREEILLNRHMSGCKKLCIRLCVELYSHLCRGLLIWDRTEM
+>NM_000938|441694_2_2858_2970
+MVKRVLVVFSIDKRICLSPVKVSPLISSSIPMPSPLV
+>DECOY_NM_000938|441694_2_2858_2970
+VLPSPMPISSSILPSVKVPSLCIRKDISFVVLVRKVM
+>NM_000938|441698_2_3095_3195
+MAIISEEMRSCTMGSLVEKSHHKYLLAPLITSV
+>DECOY_NM_000938|441698_2_3095_3195
+VSTILPALLYKHHSKEVLSGMTCSRMEESIIAM
+>NM_002904|441744_2_682_1016
+MVPLEALTGAMKNAVVPTPQPPLPEAAAGTAAMRGTGTETEIGSGIETGIETETESGTGIGIGIEIETGNGTGIGSGIETETERVLSAGRIHSLNGEPLGKGILSMYMEKT
+>DECOY_NM_002904|441744_2_682_1016
+TKEMYMSLIGKGLPEGNLSHIRGASLVRETETEIGSGIGTGNGTEIEIGIGIGTGSETETEIGTEIGSGIETETGTGRMAATGAAAEPLPPQPTPVVANKMAGTLAELPVM
+>NM_005007|441797_2_408_481
+MGTRHCMLLPARAQMPTPISSSRC
+>DECOY_NM_005007|441797_2_408_481
+CRSSSIPTPMQARAPLLMCHRTGM
+>NM_005007|441798_2_519_925
+MGRPLAKFWAGDPPGILLKRRKKMMPPRSGNGDRSSRVSWRTSGRKSWGGLKVMPPMKPRNLSPSQPGQIAWPGNMPRSASSSSEKQRDPVDPHVLRAPARAGDSRRRSSGSSGSEPGPRRKSCVRAEPGGRRRL
+>DECOY_NM_005007|441798_2_519_925
+LRRRGGPEARVCSKRRPGPESGSSGSSRRRSDGARAPARLVHPDVPDRQKESSSSASRPMNGPWAIQGPQSPSLNRPKMPPMVKLGGWSKRGSTRWSVRSSRDGNGSRPPMMKKRRKLLIGPPDGAWFKALPRGM
+>NM_005476|441893_2_225_364
+MEITESCGFVLLLVTVQIILNLPRSCLALKPNLSSLNLMLWYLALT
+>DECOY_NM_005476|441893_2_225_364
+TLALYWLMLNLSSLNPKLALCSRPLNLIIQVTVLLLVFGCSETIEM
+>NM_005476|441898_2_1764_1882
+MATVLPWRKGNLAKERDWKTLLHLSQAQESVVELSISMN
+>DECOY_NM_005476|441898_2_1764_1882
+NMSISLEVVSEQAQSLHLLTKWDREKALNGKRWPLVTAM
+>NM_005476|441900_2_1965_2140
+MGALKHTPLEWPCRGRQKSSMMRTCSWWKGCQCQKMRLWVRSISSKLRNLAMRRPRAS
+>DECOY_NM_005476|441900_2_1965_2140
+SARPRRMALNRLKSSISRVWLRMKQCQCGKWWSCTRMMSSKQRGRCPWELPTHKLAGM
+>NM_015263|441974_2_388_488
+METSKSAVWSVLTNKEELQLHMVMLFVYLSPWA
+>DECOY_NM_015263|441974_2_388_488
+AWPSLYVFLMVMHLQLEEKNTLVSWVASKSTEM
+>NM_015263|441976_2_1009_1169
+MVCAGSGQKLYYQKTVFWVSRFVRLPLPALPAAFLMLEDTKTEYSMLLRQYTI
+>DECOY_NM_015263|441976_2_1009_1169
+ITYQRLLMSYETKTDELMLFAAPLAPLPLRVFRSVWFVTKQYYLKQGSGACVM
+>NM_015263|441982_2_1624_1721
+MEKEKEVLELTHDLVYQCHCLRFCLIGRLKRC
+>DECOY_NM_015263|441982_2_1624_1721
+CRKLRGILCFRLCHCQYVLDHTLELVEKEKEM
+>NM_015263|441984_2_3502_3581
+MVLFLKNFPCMFVYLNVNLQEDQSGF
+>DECOY_NM_015263|441984_2_3502_3581
+FGSQDEQLNVNLYVFMCPFNKLFLVM
+>NM_015263|441991_2_4726_4829
+MDQLTLAKNMQGYFQVILCTQVYQALPVWSRCSL
+>DECOY_NM_015263|441991_2_4726_4829
+LSCRSWVPLAQYVQTCLIVQFYGQMNKALTLQDM
+>NM_001144961|442028_2_519_880
+MGRPLAKFWAGDPPGILLKRRKKMMPPRSGNGDRSSRVMPPMKPRNLSPSQPGQIAWPGNMPRSASSSSEKQRDPVDPHVLRAPARAGDSRRRSSGSSGSEPGPRRKSCVRAEPGGRRRL
+>DECOY_NM_001144961|442028_2_519_880
+LRRRGGPEARVCSKRRPGPESGSSGSSRRRSDGARAPARLVHPDVPDRQKESSSSASRPMNGPWAIQGPQSPSLNRPKMPPMVRSSRDGNGSRPPMMKKRRKLLIGPPDGAWFKALPRGM
+>NM_024599|442048_2_312_463
+MAGACPLCPAAACRAGSHPTSPSPSRHPRKRPRPLASRTACCLRVFRIGG
+>DECOY_NM_024599|442048_2_312_463
+GGIRFVRLCCATRSALPRPRKRPHRSPSPSTPHSGARCAAAPCLPCAGAM
+>NM_001288|442138_2_313_560
+MGPRLGTAHSPRDCSWYCGSRESPSMLPPLTPKGGPRQCRSCAQGGSSHSCCMALKCTQTPTRLRNFWRQCCALPGTPSWQL
+>DECOY_NM_001288|442138_2_313_560
+LQWSPTGPLACCQRWFNRLRTPTQTCKLAMCCSHSSGGQACSRCQRPGGKPTLPPLMSPSERSGCYWSCDRPSHATGLRPGM
+>NM_005389|442159_2_497_579
+MEEWDMLKKPLMMPFMWELQPLLYPRR
+>DECOY_NM_005389|442159_2_497_579
+RRPYLLPQLEWMFPMMLPKKLMDWEEM
+>NM_006933|442223_3_1783_1910
+MGANHRGDARRPDVPLHSGGSRLPDTPSGSLVPAGNFLEALQ
+>DECOY_NM_006933|442223_3_1783_1910
+QLAELFNGAPVLSGSPTDPLRSGGSHLPVDPRRADGRHNAGM
+>NM_001005498|442259_2_312_463
+MAGACPLCPAAACRAGSHPTSPSPSRHPRKRPRPLASRTACCLRGRTQPT
+>DECOY_NM_001005498|442259_2_312_463
+TPQTRGRLCCATRSALPRPRKRPHRSPSPSTPHSGARCAAAPCLPCAGAM
+>NM_001130145|442314_3_963_1069
+MAGPQEGHAVPDERHSPHQSTSAAEYDELGFRSSS
+>DECOY_NM_001130145|442314_3_963_1069
+SSSRFGLEDYEAASTSQHPSHREDPVAHGEQPGAM
+>NM_033412|442328_2_949_1154
+MELESIIEAWCPFFSGMDSAMSCFSAFEVPLRSICLPQRLTVLIWSMILSVEVYWVPCWDSCFFQLML
+>DECOY_NM_033412|442328_2_949_1154
+LMLQFFCSDWCPVWYVEVSLIMSWILVTLRQPLCISRLPVEFASFCSMASDMGSFFPCWAEIISELEM
+>NM_033412|442329_2_997_1154
+MDSAMSCFSAFEVPLRSICLPQRLTVLIWSMILSVEVYWVPCWDSCFFQLML
+>DECOY_NM_033412|442329_2_997_1154
+LMLQFFCSDWCPVWYVEVSLIMSWILVTLRQPLCISRLPVEFASFCSMASDM
+>NM_012294|442434_2_470_591
+MVSSFYCNLCLSFLPEVASVNCLIRKLKTPKKAVMKFLCV
+>DECOY_NM_012294|442434_2_470_591
+VCLFKMVAKKPTKLKRILCNVSAVEPLFSLCLNCYFSSVM
+>NM_006106|442490_3_963_1267
+MAGPQEGHAVPDERHSPHQSTSAAEYDELGFSHEPENQSECSSETATTPGSPEPTGRRHGWQQLQPAATDATAATADGEGEAAAETARTASAGEATGVSPA
+>DECOY_NM_006106|442490_3_963_1267
+APSVGTAEGASATRATEAAAEGEGDATAATADTAAPQLQQWGHRRGTPEPSGPTTATESSCESQNEPEHSFGLEDYEAASTSQHPSHREDPVAHGEQPGAM
+>NM_000687|442514_2_708_823
+MVMWARAVPRPCGVSEPASSSPRLTPSTHCRLPWRAMR
+>DECOY_NM_000687|442514_2_708_823
+RMARWPLRCHTSPTLRPSSSAPESVGCPRPVARAWMVM
+>NM_000687|442519_2_1041_1117
+MGAASSCWPRVGWSTWVVPWATPAS
+>DECOY_NM_000687|442519_2_1041_1117
+SAPTAWPVVWTSWGVRPWCSSAAGM
+>NM_005202|442599_2_629_714
+MEWASPGCLGPQGRGVPPAPPASLVQLA
+>DECOY_NM_005202|442599_2_629_714
+ALQVLSAPPAPPVGRGQPGLCGPSAWEM
+>NM_005202|442601_2_950_1380
+MGCQDCQAPRGTGAQLGSQDSWGTGVSQGRMGSQGSRAHRVLGVPLDFLGLQGFLADVGPLGLRVRQGLEDPQECLAFEVTRGLVAWLGNQGSQVRGDFLGPMDPLDQLGPRVSRVSRVALEDQGWQEPWGRKVTWGSLGSLA
+>DECOY_NM_005202|442601_2_950_1380
+ALSGLSGWTVKRGWPEQWGQDELAVRSVRSVRPGLQDLPDMPGLFDGRVQSGQNGLWAVLGRTVEFALCEQPDELGQRVRLGLPGVDALFGQLGLFDLPVGLVRHARSGQSGMRGQSVGTGWSDQSGLQAGTGRPAQCDQCGM
+>NM_005202|442602_2_1040_1380
+MGSQGSRAHRVLGVPLDFLGLQGFLADVGPLGLRVRQGLEDPQECLAFEVTRGLVAWLGNQGSQVRGDFLGPMDPLDQLGPRVSRVSRVALEDQGWQEPWGRKVTWGSLGSLA
+>DECOY_NM_005202|442602_2_1040_1380
+ALSGLSGWTVKRGWPEQWGQDELAVRSVRSVRPGLQDLPDMPGLFDGRVQSGQNGLWAVLGRTVEFALCEQPDELGQRVRLGLPGVDALFGQLGLFDLPVGLVRHARSGQSGM
+>NM_020766|442658_2_4701_4918
+MAVRRLALSPPPSTSRALCPPSLPCLTPLPWLPQPVIWSSMSTMSTMALLVPLKLSPVELIARKSCMRSAPF
+>DECOY_NM_020766|442658_2_4701_4918
+FPASRMCSKRAILEVPSLKLPVLLAMTSMTSMSSWIVPQPLWPLPTLCPLSPPCLARSTSPPPSLALRRVAM
+>NM_020766|442661_2_4839_4918
+MALLVPLKLSPVELIARKSCMRSAPF
+>DECOY_NM_020766|442661_2_4839_4918
+FPASRMCSKRAILEVPSLKLPVLLAM
+>NM_002626|442871_2_414_526
+MAASQVPTSSAASGAACWRSWWRKVRSQRLQPGPTRT
+>DECOY_NM_002626|442871_2_414_526
+TRTPGPQLRQSRVKRWWSRWCAAGSAASSTPVQSAAM
+>NM_005313|442894_2_743_828
+MERVSSYFVLHISLTSLRTRLWHIQSKK
+>DECOY_NM_005313|442894_2_743_828
+KKSQIHWLRTRLSTLSIHLVFYSSVREM
+>NM_004107|442950_2_878_954
+MGWPLAPARVTSAPTVTDPSTPRRH
+>DECOY_NM_004107|442950_2_878_954
+HRRPTSPDTVTPASTVRAPALPWGM
+>NM_182983|442959_2_1792_1949
+MALTSMETRSSPRCSVLATPRVALMPARATAVVPLCVRTASLGRHVGGCVAL
+>DECOY_NM_182983|442959_2_1792_1949
+LAVCGGVHRGLSATRVCLPVVATARAPMLAVRPTALVSCRPSSRTEMSTLAM
+>NM_001042517|443007_2_1366_1556
+MAWISNLKSLMSIKKKICLSYPIALKILELNLMKHMMFTTWCGAQLKKLEQRDILFLFFSIFC
+>DECOY_NM_001042517|443007_2_1366_1556
+CFISFFLFLIDRQELKKLQAGCWTTFMMHKMLNLELIKLAIPYSLCIKKKISMLSKLNSIWAM
+>NM_032592|443245_2_522_604
+MGKIWKENAPENWTRSCQSSVEWVILP
+>DECOY_NM_032592|443245_2_522_604
+PLIVWEVSSQCSRTWNEPANEKWIKGM
+>NM_032592|443250_2_1023_1105
+MALSHSTCVSMATSGWPMSTWTVRSLG
+>DECOY_NM_032592|443250_2_1023_1105
+GLSRVTWTSMPWGSTAMSVCTSHSLAM
+>NM_003612|443330_2_629_894
+MGRSLGSAASGARVSCTPVILSCRTHSSSKPPSCTKTRLTMTRSTTSSERTILTRILRLLSMCPVWPSCAGGTRVGKVHCQSPSGTLF
+>DECOY_NM_003612|443330_2_629_894
+FLTGSPSQCHVKGVRTGGACSPWVPCMSLLRLIRTLITRESSTTSRTMTLRTKTCSPPKSSSHTRCSLIVPTCSVRAGSAASGLSRGM
+>NM_001032395|443522_2_718_869
+MERARLNFVEQLPKAYHLTQVRMRCMCPFQVTLASRRKVSMPATSELPCP
+>DECOY_NM_001032395|443522_2_718_869
+PCPLESTAPMSVKRRSALTVQFPCMCRMRVQTLHYAKPLQEVFNLRAREM
+>NM_001032395|443542_3_452_585
+MEAQSSPVLICFIYHVCSSLSVGMCQLPSGFVQPFWDLYFSMLP
+>DECOY_NM_001032395|443542_3_452_585
+PLMSFYLDWFPQVFGSPLQCMGVSLSSCVHYIFCILVPSSQAEM
+>NM_001032395|443543_3_3566_3723
+MGFCILCLGTLKYPLHVPLLHLQFITRLIYIHLPLCYEGECSETVAAASLLW
+>DECOY_NM_001032395|443543_3_3566_3723
+WLLSAAAVTESCEGEYCLPLHIYILRTIFQLHLLPVHLPYKLTGLCLICFGM
+>NM_001145720|443678_2_1066_1256
+MERTQVMCWWSPSSSTSVLSALTLPNRRASSSGTSVHTQASGPTPVRPAARGSLDKSTCGATH
+>DECOY_NM_001145720|443678_2_1066_1256
+HTAGCTSKDLSGRAAPRVPTPGSAQTHVSTGSSSARRNPLTLASLVSTSSSPSWWCMVQTREM
+>NM_182910|443707_2_1206_1294
+MEKTVLKLKKRCMLLRRNSNSYGSKCPKI
+>DECOY_NM_182910|443707_2_1206_1294
+IKPCKSGYSNSNRRLLMCRKKLKLVTKEM
+>NM_015180|443901_2_11319_11410
+MGFIMLKRCCSRKAKILRKLKKFKRKCGTS
+>DECOY_NM_015180|443901_2_11319_11410
+STGCKRKFKKLKRLIKAKRSCCRKLMIFGM
+>NM_015180|443905_2_12612_12739
+MEMRRQSHRLSLGLHFGSMTRTWKKTELPHPLEQLFRKHMGK
+>DECOY_NM_015180|443905_2_12612_12739
+KGMHKRFLQELPHPLETKKWTRTMSGFHLGLSLRHSQRRMEM
+>NM_015180|443939_3_15337_15416
+MDNAHNSTSRYSRKTSPASNGEIAVS
+>DECOY_NM_015180|443939_3_15337_15416
+SVAIEGNSAPSTKRSYRSTSNHANDM
+>NM_138394|444050_2_564_724
+MAAAAAGASLSRRQVEVIIKFLFHPSSMFEDSVNLWWKQTSWKRWKNLGQYAM
+>DECOY_NM_138394|444050_2_564_724
+MAYQGLNKWRKWSTQKWWLNVSDEFMSSPHFLFKIIVEVQRRSLSAGAAAAAM
+>NM_138394|444055_2_1020_1120
+MGYKQWLSLNQSFVPRKLKQHSMELIYMLDVAH
+>DECOY_NM_138394|444055_2_1020_1120
+HAVDLMYILEMSHQKLKRPVFSQNLSLWQKYGM
+>NM_138394|444060_2_1275_1420
+MAMDPMVHYCLYQVVTEWALEIHLNLLLIHYHRLLPLTCMEEIPLVQL
+>DECOY_NM_138394|444060_2_1275_1420
+LQVLPIEEMCTLPLLRHYHILLLNLHIELAWETVVQYLCYHVMPDMAM
+>NM_138394|444061_2_1281_1420
+MDPMVHYCLYQVVTEWALEIHLNLLLIHYHRLLPLTCMEEIPLVQL
+>DECOY_NM_138394|444061_2_1281_1420
+LQVLPIEEMCTLPLLRHYHILLLNLHIELAWETVVQYLCYHVMPDM
+>NM_016291|444121_2_929_1062
+MVMMLQRRRQPTRSENVSRAHLQSLVCVCVACRCTKQAVGSSCS
+>DECOY_NM_016291|444121_2_929_1062
+SCSSGVAQKTCRCAVCVCVLSQLHARSVNESRTPQRRRQLMMVM
+>NM_016291|444124_2_1073_1179
+MDGSYRCRASRRHFSSSSTMGGTCAVNSWALCSRS
+>DECOY_NM_016291|444124_2_1073_1179
+SRSCLAWSNVACTGGMTSSSSFHRRSARCRYSGDM
+>NM_016291|444126_2_1253_1377
+MARSGPKWSWTQMLRIWRTCQRNQLMSLLVPMPTNPSAPAL
+>DECOY_NM_016291|444126_2_1253_1377
+LAPASPNTPMPVLLSMLQNRQCTRWIRLMQTWSWKPGSRAM
+>NM_003934|444217_2_709_794
+MAHCPREQTSLFVSLEMHLKYSKQEKWY
+>DECOY_NM_003934|444217_2_709_794
+YWKEQKSYKLHMELSVFLSTQERPCHAM
+>NM_003934|444219_2_985_1067
+MGLVQKELPRSWALRIGVSMQRISSAS
+>DECOY_NM_003934|444219_2_985_1067
+SASSIRQMSVGIRLAWSRPLEKQVLGM
+>NM_001145088|444251_2_763_935
+MAESWLLEASQIIFICGAWKLGSSLELSRCPLKFEPFAIWNFFLIVLMLVLIRFLEY
+>DECOY_NM_001145088|444251_2_763_935
+YELFRILVLMLVILFFNWIAFPEFKLPCRSLELSSGLKWAGCIFIIQSAELLWSEAM
+>NM_001145088|444253_2_1354_1442
+MVNIQQNTECSFGALCYNCLKIILRLVPS
+>DECOY_NM_001145088|444253_2_1354_1442
+SPVLRLIIKLCNYCLAGFSCETNQQINVM
+>NM_001145088|444260_3_131_240
+MAPQAVPGHAGRNYSEHYSQHFRLPSKSFAIFECGF
+>DECOY_NM_001145088|444260_3_131_240
+FGCEFIAFSKSPLRFHQSYHESYNRGAHGPVAQPAM
+>NM_001145088|444261_3_542_630
+MGLGYLSEKKKAEYSPVCGYTEGFLSTIK
+>DECOY_NM_001145088|444261_3_542_630
+KITSLFGETYGCVPSYEAKKKESLYGLGM
+>NM_173663|444395_2_467_549
+MGQGRQSLRKPQKFTRWRGTQTHWPNF
+>DECOY_NM_173663|444395_2_467_549
+FNPWHTQTGRWRTFKQPKRLSQRGQGM
+>NM_001135240|444403_2_585_742
+MGQSHHLGRPRTPLPLPSLTSQQTLHSQRPPWKEKREAKFCPMERFPCQYLT
+>DECOY_NM_001135240|444403_2_585_742
+TLYQCPFREMPCFKAERKEKWPPRQSHLTQQSTLSPLPLPTRPRGLHHSQGM
+>NM_017757|444583_2_4909_4985
+MELAPQKKGNLHATYVIEVSQRSGP
+>DECOY_NM_017757|444583_2_4909_4985
+PGSRQSVEIVYTAHLNGKKQPALEM
+>NM_001114132|444927_3_2090_2163
+MARVCLFFQCLVLLRPGSVDSWHC
+>DECOY_NM_001114132|444927_3_2090_2163
+CHWSDVSGPRLLVLCQFFLCVRAM
+>NM_001136571|444939_2_72_253
+MGAQCLWASLDSQGTNSPTGGKIWVLPLFWPGQGCWCPRTPLTTALTLTRGRSLRPFSPR
+>DECOY_NM_001136571|444939_2_72_253
+RPSFPRLSRGRTLTLATTLPTRPCWCGQGPWFLPLVWIKGGTPSNTGQSDLSAWLCQAGM
+>NM_001136571|444940_2_471_832
+MGTKRRARRSRALRRPASRSHHHGGQELTGRRSPGSWRNRGRKTPRALRRRRASRCLETPPPSRSGGPTSSFWNQNMAISTVKIVRPGGRVLTCGAFLERTRFISNNSVVNAKRVLTLIE
+>DECOY_NM_001136571|444940_2_471_832
+EILTLVRKANVVSNNSIFRTRELFAGCTLVRGGPRVIKVTSIAMNQNWFSSTPGGSRSPPPTELCRSARRRRLARPTKRGRNRWSGPSRRGTLEQGGHHHSRSAPRRLARSRRARRKTGM
+>NM_001136571|444941_2_699_832
+MAISTVKIVRPGGRVLTCGAFLERTRFISNNSVVNAKRVLTLIE
+>DECOY_NM_001136571|444941_2_699_832
+EILTLVRKANVVSNNSIFRTRELFAGCTLVRGGPRVIKVTSIAM
+>NM_182755|444966_2_1924_2012
+MDSLALRSLGTDVTSATTTSSSNSTFETT
+>DECOY_NM_182755|444966_2_1924_2012
+TTEFTSNSSSTTTASTVDTGLSRLALSDM
+>NM_182755|444969_2_2638_2867
+MAWKCSWKMKDPSQEPTSQGKPARALSVLASTRFSCGPIQALTACFVQRCWDGKRTSSTTGSTSITVRTLPNCGLF
+>DECOY_NM_182755|444969_2_2638_2867
+FLGCNPLTRVTISTSGTTSSTRKGDWCRQVFCATLAQIPGCSFRTSALVSLARAPKGQSTPEQSPDKMKWSCKWAM
+>NM_001145143|445172_3_374_489
+MEKDVQELSNTSQNLIQNKEGVGTAGYPKKNNKGDRGH
+>DECOY_NM_001145143|445172_3_374_489
+HGRDGKNNKKPYGATGVGEKNQILNQSTNSLEQVDKEM
+>NM_153262|445402_2_325_506
+MVHPLKVKMKRWVNIMRPYPEHTIPDYHWQILDKGTMLGKQGRNTVLYRQSMMDTVVKHQ
+>DECOY_NM_153262|445402_2_325_506
+QHKVVTDMMSQRYLVTNRGQKGLMTGKDLIQWHYDPITHEPYPRMINVWRKMKVKLPHVM
+>NM_014428|445480_2_403_626
+MGPSGWRRWTRAGAMTATHPVAPAAPGTSAPAGRGLVAGAGPAAMGVGAQVVALRPTGWPWCPALSGCHGRTCR
+>DECOY_NM_014428|445480_2_403_626
+RCTRGHCGSLAPCWPWGTPRLAVVQAGVGMAAPGAGAVLGRGAPASTGPAAPAVPHTATMAGARTWRRWGSPGM
+>NM_014428|445482_2_535_626
+MGVGAQVVALRPTGWPWCPALSGCHGRTCR
+>DECOY_NM_014428|445482_2_535_626
+RCTRGHCGSLAPCWPWGTPRLAVVQAGVGM
+>NM_000119|445506_2_877_977
+MVSSTWVQLTASRQSPGTLASSRGMSLTSACAC
+>DECOY_NM_000119|445506_2_877_977
+CACASTLSMGRSSALTGPSQRSATLQVWTSSVM
+>NM_000119|445508_2_1183_1256
+MARPGCWLLLLAQCCDAWESLPAW
+>DECOY_NM_000119|445508_2_1183_1256
+WAPLSEWADCCQALLLLWCGPRAM
+>NM_000119|445512_2_1420_1523
+MDGRFCTQVLLMEVESWGPVIWCRSEQSRRGRWG
+>DECOY_NM_000119|445512_2_1420_1523
+GWRGRRSQESRCWIVPGWSEVEMLLVQTCFRGDM
+>NM_182588|445569_2_1376_1455
+MVVFSTLLGLAYSGIHCLLYLESENG
+>DECOY_NM_182588|445569_2_1376_1455
+GNESELYLLCHIGSYALGLLTSFVVM
+>NM_182588|445570_2_1787_1881
+MAFNLLCLYIGQNAFRKWAVVLILFMINENT
+>DECOY_NM_182588|445570_2_1787_1881
+TNENIMFLILVVAWKRFANQGIYLCLLNFAM
+>NM_024837|445618_2_3092_3171
+MGNILLTTSPLQLPWPHLWSLWSVCR
+>DECOY_NM_024837|445618_2_3092_3171
+RCVSWLSWLHPWPLQLPSTTLLINGM
+>NM_024837|445620_2_3554_3684
+MESLSHLEKICELKIHPQHQGWKRHIIIALAGLKIYVRKPQTP
+>DECOY_NM_024837|445620_2_3554_3684
+PTQPKRVYIKLGALAIIIHRKWGQHQPHIKLECIKELHSLSEM
+>NM_024837|445626_3_975_1081
+MDFWVSDMLGNYSCNRKFNLGESNWGPIQNFPLLE
+>DECOY_NM_024837|445626_3_975_1081
+ELLPFNQIPGWNSEGLNFKRNCSYNGLMDSVWFDM
+>NM_001145542|445682_2_1324_1481
+MGTGRASRASLAPATAQGWRPGRALGLTSRACPAGSPMPAASAGRPSRGSRT
+>DECOY_NM_001145542|445682_2_1324_1481
+TRSGRSPRGASAAPMPSGAPCARSTLGLARGPRWGQATAPALSARSARGTGM
+>NM_016018|445734_2_3056_3177
+MECLKRIQLKGIQYLFIMIKRAPKTQETHIFSGSSISLHT
+>DECOY_NM_016018|445734_2_3056_3177
+THLSISSGSFIHTEQTKPARKIMIFLYQIGKLQIRKLCEM
+>NM_013310|445759_3_749_840
+MEAETRVCNLGSSASLERDFSHSAEQQPCC
+>DECOY_NM_013310|445759_3_749_840
+CCPQQEASHSFDRELSASSGLNCVRTEAEM
+>NM_001144013|445805_2_1762_1856
+MAFNLLCLYIGQNAFRKWAAVLILFMINENT
+>DECOY_NM_001144013|445805_2_1762_1856
+TNENIMFLILVAAWKRFANQGIYLCLLNFAM
+>NM_001123363|445856_2_2301_2380
+MVVFSILLGLAYSGIHCLLYLESENG
+>DECOY_NM_001123363|445856_2_2301_2380
+GNESELYLLCHIGSYALGLLISFVVM
+>NM_001123363|445857_2_2712_2797
+MAFNLLCLYIGQNTFRKRAAVLILFMVN
+>DECOY_NM_001123363|445857_2_2712_2797
+NVMFLILVAARKRFTNQGIYLCLLNFAM
+>NM_001024457|446075_2_1769_1863
+MAFNLLCLYIGQNAFRKWAGVLILLMINKNT
+>DECOY_NM_001024457|446075_2_1769_1863
+TNKNIMLLILVGAWKRFANQGIYLCLLNFAM
+>NM_177438|446182_2_512_618
+MEKGRCSWSTLQTRLLNKCQLSELIQISRLGNTQT
+>DECOY_NM_177438|446182_2_512_618
+TQTNGLRSIQILESLQCKNLLRTQLTSWSCRGKEM
+>NM_177438|446189_2_1682_1803
+MALGRISLATNRWKQNSENRKRYLGNFEHMRPTCLLQQVL
+>DECOY_NM_177438|446189_2_1682_1803
+LVQQLLCTPRMHEFNGLYRKRNESNQKWRNTALSIRGLAM
+>NM_177438|446190_2_2096_2184
+MVVHESQSTRPLDTSIDTVLDYQVIRLLI
+>DECOY_NM_177438|446190_2_2096_2184
+ILLRIVQYDLVTDISTDLPRTSQSEHVVM
+>NM_014668|446256_2_733_824
+MATMLFLVSLGIVLAVERKASVTSRNSPII
+>DECOY_NM_014668|446256_2_733_824
+IIPSNRSTVSAKREVALVIGLSVLFLMTAM
+>NM_014668|446264_2_2827_2957
+MEWTYIMKIRSTSGCRSLLNPPFQDTASPCSDTIAPLRPWSLH
+>DECOY_NM_014668|446264_2_2827_2957
+HLSWPRLPAITDSCPSATDQFPPNLLSRCGSTSRIKMIYTWEM
+>NM_014668|446270_2_4966_5225
+MGSLICTTQWTVPAICTCWLSRNTRWQFIRNIGPTTSCWCSPVSSTVLELVLLISSSRSCPTITWSSSGTGRRSWESSRRTSGLSL
+>DECOY_NM_014668|446270_2_4966_5225
+LSLGSTRRSSEWSRRGTGSSSWTITPCSRSSSILLVLELVTSSVPSCWCSTTPGINRIFQWRTNRSLWCTCIAPVTWQTTCILSGM
+>NM_031947|446338_2_670_758
+MAPWASTMDSRVLYFKKYRVISFSLVAMN
+>DECOY_NM_031947|446338_2_670_758
+NMAVLSFSIVRYKKFYLVRSDMTSAWPAM
+>NM_001007471|446406_2_1829_1908
+MGPQIHCTTWSGMSKRGTCPQTTESA
+>DECOY_NM_001007471|446406_2_1829_1908
+ASETTQPCTGRKSMGSWTTCHIQPGM
+>NM_001007471|446413_3_2952_3145
+MDRNLLYFHPGNRKDERDSDVRAREVATESEGMAAGVLECHGPHRHPSVFCRNDPSSPRPALQE
+>DECOY_NM_001007471|446413_3_2952_3145
+EQLAPRPSSPDNRCFVSPHRHPGHCELVGAAMGESETAVERARVDSDREDKRNGPHFYLLNRDM
+>NM_001007471|446414_3_3048_3145
+MAAGVLECHGPHRHPSVFCRNDPSSPRPALQE
+>DECOY_NM_001007471|446414_3_3048_3145
+EQLAPRPSSPDNRCFVSPHRHPGHCELVGAAM
+>NM_001007471|446415_3_3354_3448
+METGQEHLLHALLDDLWGSVCGPDRPSLWTE
+>DECOY_NM_001007471|446415_3_3354_3448
+ETWLSPRDPGCVSGWLDDLLAHLLHEQGTEM
+>NM_000434|446509_2_685_1061
+MVFPGAHPGISPWILALKCLPLDRALVFRNSGSHGRAASSCVAMGRWSGTESSVSSAMIMVPPGATEVGSAASPTVSPSRKMISILMNASPMSSQMAQSSSMPETRTTTTATAELSSAAMMPVIH
+>DECOY_NM_000434|446509_2_685_1061
+HIVPMMAASSLEATATTTTRTEPMSSSQAMQSSMPSANMLISIMKRSPSVTPSAASGVETAGPPVMIMASSVSSETGSWRGMAVCSSAARGHSGSNRFVLARDLPLCKLALIWPSIGPHAGPFVM
+>NM_000434|446510_2_814_1061
+MGRWSGTESSVSSAMIMVPPGATEVGSAASPTVSPSRKMISILMNASPMSSQMAQSSSMPETRTTTTATAELSSAAMMPVIH
+>DECOY_NM_000434|446510_2_814_1061
+HIVPMMAASSLEATATTTTRTEPMSSSQAMQSSMPSANMLISIMKRSPSVTPSAASGVETAGPPVMIMASSVSSETGSWRGM
+>NM_000434|446512_2_862_1061
+MVPPGATEVGSAASPTVSPSRKMISILMNASPMSSQMAQSSSMPETRTTTTATAELSSAAMMPVIH
+>DECOY_NM_000434|446512_2_862_1061
+HIVPMMAASSLEATATTTTRTEPMSSSQAMQSSMPSANMLISIMKRSPSVTPSAASGVETAGPPVM
+>NM_000434|446522_3_1196_1335
+MELQQWYLMAERDSPAMARPQWLFIPGNPGGQHGWRGAGPPALRPV
+>DECOY_NM_000434|446522_3_1196_1335
+VPRLAPPGAGRWGHQGGPNGPIFLWQPRAMAPSDREAMLYWQQLEM
+>NM_000434|446524_3_1244_1335
+MARPQWLFIPGNPGGQHGWRGAGPPALRPV
+>DECOY_NM_000434|446524_3_1244_1335
+VPRLAPPGAGRWGHQGGPNGPIFLWQPRAM
+>NM_003131|446546_2_614_768
+MARGSGPAAWSGRLRQRRQPPRRPPRGPSTAAARATRSRARRRSWAPSGAA
+>DECOY_NM_003131|446546_2_614_768
+AAGSPAWSRRRARSRTARAAATSPGRPPRRPPQRRQRLRGSWAAPGSGRAM
+>NM_024577|446639_2_2238_2401
+MVSRVPKGCLFLFGRSTLSSRTQPSSLAFLPQAGVKFLPWPAQCSDRPWLPVRN
+>DECOY_NM_024577|446639_2_2238_2401
+NRVPLWPRDSCQAPWPLFKVGAQPLFALSSPQTRSSLTSRGFLFLCGKPVRSVM
+>NM_024577|446687_3_3745_3836
+MAAESQGGPVLCQGVLSPGQTHLLPAEGCP
+>DECOY_NM_024577|446687_3_3745_3836
+PCGEAPLLHTQGPSLVGQCLVPGGQSEAAM
+>NM_016196|446829_2_196_464
+MASSASLVLLASSPRKRPRRHRSISTRASSTHPGSQWSSASHSGTRPNPEPGANMPRNQASPSSLQKTLLLQKLRKMRRRKRWQVNWRS
+>DECOY_NM_016196|446829_2_196_464
+SRWNVQWRKRRRMKRLKQLLLTKQLSSPSAQNRPMNAGPEPNPRTGSHSASSWQSGPHTSSARTSISRHRRPRKRPSSALLVLSASSAM
+>NM_206945|446928_2_1481_1596
+MGPQIHCTTWSGMSKSESIQVSVGSILRGTCPQTTESA
+>DECOY_NM_206945|446928_2_1481_1596
+ASETTQPCTGRLISGVSVQISESKSMGSWTTCHIQPGM
+>NM_003062|446976_2_940_1163
+MEPSERCAIWRSLPSTTTTSVASWSPASTTCRRSELCASTPTTCTATATWPGSRIGCDSDGQLASSHSAWLLCI
+>DECOY_NM_003062|446976_2_940_1163
+ICLLWASHSSALQGDSDCGIRSGPWTATATCTTPTSACLESRRCTTSAPSWSAVSTTTTSPLSRWIACRESPEM
+>NM_003062|446983_2_3352_3521
+MGSAAPALWALRGSGVRSTQMTVRTTTAKTMPPAWTGSTTTCVSVRLTTQVSYATR
+>DECOY_NM_003062|446983_2_3352_3521
+RTAYSVQTTLRVSVCTTTSGTWAPPMTKATTTRVTMQTSRVGSGRLAWLAPAASGM
+>NM_003062|446991_2_4555_4778
+MENVWQLGPHTCASVPRAMEGTCVTTRMTLPMPAQPSSVTMGSATSQTKGSPTACASPALAASTANKRIRAWDK
+>DECOY_NM_003062|446991_2_4555_4778
+KDWARIRKNATSAALAPSACATPSGKTQSTASGMTVSSPQAPMPLTMRTTVCTGEMARPVSACTHPGLQWVNEM
+>NM_003062|446992_2_4609_4778
+MEGTCVTTRMTLPMPAQPSSVTMGSATSQTKGSPTACASPALAASTANKRIRAWDK
+>DECOY_NM_003062|446992_2_4609_4778
+KDWARIRKNATSAALAPSACATPSGKTQSTASGMTVSSPQAPMPLTMRTTVCTGEM
+>NM_003062|446995_2_4675_4778
+MGSATSQTKGSPTACASPALAASTANKRIRAWDK
+>DECOY_NM_003062|446995_2_4675_4778
+KDWARIRKNATSAALAPSACATPSGKTQSTASGM
+>NM_001677|447160_2_857_942
+MANSCSPNTCSPCWPYSSPILPWTLKFA
+>DECOY_NM_001677|447160_2_857_942
+AFKLTWPLIPSSYPWCPSCTNPSCSNAM
+>NM_001080534|447322_2_1891_1997
+MAWCVHLETGVITVILSSLYMRIFLHGRNGIKELI
+>DECOY_NM_001080534|447322_2_1891_1997
+ILEKIGNRGHLFIRMYLSSLIVTIVGTELHVCWAM
+>NM_001080534|447350_3_557_633
+MEKESRMCLLRLRVKHHEKILGNKK
+>DECOY_NM_001080534|447350_3_557_633
+KKNGLIKEHHKVRLRLLCMRSEKEM
+>NM_001080534|447351_3_5756_5847
+MEASSQQNRKTNCSSSSDRSNRTPDDFHCS
+>DECOY_NM_001080534|447351_3_5756_5847
+SCHFDDPTRNSRDSSSSCNTKRNQQSSAEM
+>NM_153321|447357_2_272_384
+MDTQLISGRTVAPLPQEMSTTVSHHHQTNGCSLSRPP
+>DECOY_NM_153321|447357_2_272_384
+PPRSLSCGNTQHHHSVTTSMEQPLPAVTRGSILQTDM
+>NM_004791|447398_2_538_620
+MVSVTVASASVTRDGMGMLASTQLTVT
+>DECOY_NM_004791|447398_2_538_620
+TVTLQTSALMGMGDRTVSASAVTVSVM
+>NM_004791|447401_2_808_1436
+MGSVTVETATARLVGMEINVNSSAISPPGKASEDARLQMAKSAVTEGLVYVVNVPVTMLIRLGTGEIFMGTPVNVMRGTVELSMTDILMTSVQVMDSVIAEDVTAKQAGMGRSVSTHSPARCQLRRASGSAREARICLALGGVNVNVANAPAILQEIAGCMARLVSVMIAAVKTSMVWSVEATAHVPVVAVFVREDGLESSANIRGSVT
+>DECOY_NM_004791|447401_2_808_1436
+TVSGRINASSELGDERVFVAVVPVHATAEVSWVMSTKVAAIMVSVLRAMCGAIEQLIAPANAVNVNVGGLALCIRAERASGSARRLQCRAPSHTSVSRGMGAQKATVDEAIVSDMVQVSTMLIDTMSLEVTGRMVNVPTGMFIEGTGLRILMTVPVNVVYVLGETVASKAMQLRADESAKGPPSIASSNVNIEMGVLRATATEVTVSGM
+>NM_004791|447402_2_853_1436
+MEINVNSSAISPPGKASEDARLQMAKSAVTEGLVYVVNVPVTMLIRLGTGEIFMGTPVNVMRGTVELSMTDILMTSVQVMDSVIAEDVTAKQAGMGRSVSTHSPARCQLRRASGSAREARICLALGGVNVNVANAPAILQEIAGCMARLVSVMIAAVKTSMVWSVEATAHVPVVAVFVREDGLESSANIRGSVT
+>DECOY_NM_004791|447402_2_853_1436
+TVSGRINASSELGDERVFVAVVPVHATAEVSWVMSTKVAAIMVSVLRAMCGAIEQLIAPANAVNVNVGGLALCIRAERASGSARRLQCRAPSHTSVSRGMGAQKATVDEAIVSDMVQVSTMLIDTMSLEVTGRMVNVPTGMFIEGTGLRILMTVPVNVVYVLGETVASKAMQLRADESAKGPPSIASSNVNIEM
+>NM_004791|447409_2_1090_1436
+MDSVIAEDVTAKQAGMGRSVSTHSPARCQLRRASGSAREARICLALGGVNVNVANAPAILQEIAGCMARLVSVMIAAVKTSMVWSVEATAHVPVVAVFVREDGLESSANIRGSVT
+>DECOY_NM_004791|447409_2_1090_1436
+TVSGRINASSELGDERVFVAVVPVHATAEVSWVMSTKVAAIMVSVLRAMCGAIEQLIAPANAVNVNVGGLALCIRAERASGSARRLQCRAPSHTSVSRGMGAQKATVDEAIVSDM
+>NM_004791|447410_2_1135_1436
+MGRSVSTHSPARCQLRRASGSAREARICLALGGVNVNVANAPAILQEIAGCMARLVSVMIAAVKTSMVWSVEATAHVPVVAVFVREDGLESSANIRGSVT
+>DECOY_NM_004791|447410_2_1135_1436
+TVSGRINASSELGDERVFVAVVPVHATAEVSWVMSTKVAAIMVSVLRAMCGAIEQLIAPANAVNVNVGGLALCIRAERASGSARRLQCRAPSHTSVSRGM
+>NM_052886|447440_3_299_384
+MGHVCVRDSVFLFAPLSGHVPLWHGGSN
+>DECOY_NM_052886|447440_3_299_384
+NSGGHWLPVHGSLPAFLFVSDRVCVHGM
+>NM_153235|447679_2_247_341
+MAWRRKMARILQPQSNHQRKRQVCTPISLKS
+>DECOY_NM_153235|447679_2_247_341
+SKLSIPTCVQRKRQHNSQPQLIRAMKRRWAM
+>NM_153235|447680_2_265_341
+MARILQPQSNHQRKRQVCTPISLKS
+>DECOY_NM_153235|447680_2_265_341
+SKLSIPTCVQRKRQHNSQPQLIRAM
+>NM_153235|447683_2_460_578
+MGTVRKQLKRLEENPLLLESHPLSKSPSAIRSKNWKRKS
+>DECOY_NM_153235|447683_2_460_578
+SKRKWNKSRIASPSKSLPHSELLLPNEELRKLQKRVTGM
+>NM_003023|447859_2_1705_1784
+MDSTASGTPLPSRGRSWLCGTKPLTK
+>DECOY_NM_003023|447859_2_1705_1784
+KTLPKTGCLWSRGRSPLPTGSATSDM
+>NM_022373|447943_2_1680_1801
+MGKMQTTWNLKKWSVLWMMGLKMRVEKMEVKMPVQFKGLD
+>DECOY_NM_022373|447943_2_1680_1801
+DLGKFQVPMKVEMKEVRMKLGMMWLVSWKKLNWTTQMKGM
+>NM_022373|447945_3_1234_1421
+MVATDVCSSVLYAVSSCSFSSGHIKCQPNPAYYFTASKFGTCSWRRTPTSSKPSGPRKSTHE
+>DECOY_NM_022373|447945_3_1234_1421
+EHTSKRPGSPKSSTPTRRWSCTGFKSATFYYAPNPQCKIHGSSFSCSSVAYLVSSCVDTAVM
+>NM_020197|447964_2_1117_1190
+MDRKSLSPTVSTILCTPSTWPPCG
+>DECOY_NM_020197|447964_2_1117_1190
+GCPPWTSPTCLITSVTPSLSKRDM
+>NM_018986|447990_2_795_925
+MAPRPSGRLRGHPRERRPRKQTLHRRAPACPPRRWQWRPPRSL
+>DECOY_NM_018986|447990_2_795_925
+LSRPPRWQWRRPPCAPARRHLTQKRPRRERPHGRLRGSPRPAM
+>NM_018986|447996_2_3720_3808
+MASWQSTSTSRPCRSATRRWSLTRRPSTT
+>DECOY_NM_018986|447996_2_3720_3808
+TTSPRRTLSWRRTASRCPRSTSTSQWSAM
+>NM_139131|448011_2_2182_2312
+MEMKIPLFHIFILTLLPNLFLKPQKVLEINTATATVWMIPLLH
+>DECOY_NM_139131|448011_2_2182_2312
+HLLPIMWVTATATNIELVKQPKLFLNPLLTLIFIHFLPIKMEM
+>NM_018965|448141_2_337_665
+MGAQPSQTIPWVALSPLRCGIYNPMMRVSTSARASMAVRLTPSGRSWWRCWQTPWITGMLEISGSPGSLRASRMPMWSTASPGASWKEKSPSHPLPSFSSWPASFSSRF
+>DECOY_NM_018965|448141_2_337_665
+FRSSFSAPWSSFSPLPHSPSKEKWSAGPSATSWMPMRSARLSGPSGSIELMGTIWPTQWCRWWSRGSPTLRVAMSARASTSVRMMPNYIGCRLPSLAVWPITQSPQAGM
+>NM_018965|448148_2_697_782
+MDRSQGHIHPVNWTVAMTQGISSKLCQG
+>DECOY_NM_018965|448148_2_697_782
+GQCLKSSIGQTMAVTWNVPHIHGQSRDM
+>NM_002226|448253_2_2343_2686
+MGAHASMRWTPSAASAPAAGRASSATPIPTTAFPIPATAAAAATTWSMTSTVRATTAGRARPATHASSSAMPTPAATVAPATTAATPSAAPAPPAGRAAPAPSPRTAAACPTPV
+>DECOY_NM_002226|448253_2_2343_2686
+VPTPCAAATRPSPAPAARGAPPAPAASPTAATTAPAVTAAPTPMASSSAHTAPRARGATTARVTSTMSWTTAAAAATAPIPFATTPIPTASSARGAAPASAASPTWRMSAHAGM
+>NM_002226|448258_2_2802_2998
+MVASVLTASTGSAASVHLASRGLTAASTSTSASPRPVPTGPRVWMRSTGIAVAAHPAEPAPGARK
+>DECOY_NM_002226|448258_2_2802_2998
+KRAGPAPEAPHAAVAIGTSRMWVRPGTPVPRPSASTSTSAATLGRSALHVSAASGTSATLVSAVM
+>NM_022836|448308_2_1561_1649
+MEVQKPQGIRVPGWAMVLPCPTAARAPLF
+>DECOY_NM_022836|448308_2_1561_1649
+FLPARAATPCPLVMAWGPVRIGQPKQVEM
+>NM_001024630|448336_2_1549_1658
+MALRQDPISFPWCRGETGLLPECFRHAPPPRMAARY
+>DECOY_NM_001024630|448336_2_1549_1658
+YRAAMRPPPAHRFCEPLLGTEGRCWPFSIPDQRLAM
+>NM_001008388|448380_3_217_311
+MASVIAFPWCTRTSWLPCSSSIPPEEETTEG
+>DECOY_NM_001008388|448380_3_217_311
+GETTEEEPPISSSCPLWSTRTCWPFAIVSAM
+>NM_014989|448473_2_1437_1579
+MGRFPQKPRSSKPRSPSGSRAAWTPARRSSCGRPSARRWRPCCGTTL
+>DECOY_NM_014989|448473_2_1437_1579
+LTTGCCPRWRRASPRGCSSRRAPTWAARSGSPSRPKSSRPKQPFRGM
+>NM_014989|448489_2_4956_5032
+MAEWTTNALWVWLRSCWKNSTCPAW
+>DECOY_NM_014989|448489_2_4956_5032
+WAPCTSNKWCSRLWVWLANTTWEAM
+>NM_005392|448559_2_397_629
+MAASSSSRSCGAGPFPVLKTWWPVCQEVSSRWATWRSTASPSPSSSLRKTGWVWLSRPPRSMSVTSRTTWGRNGVWM
+>DECOY_NM_005392|448559_2_397_629
+MWVGNRGWTTRSTVSMSRPPRSLWVWGTKRLSSSPSPSATSRWTAWRSSVEQCVPWWTKLVPFPGAGCSRSSSSAAM
+>NM_005392|448563_2_1720_1814
+MEARRKGRSPGSQPHPPSPTWTCSKPTPRRH
+>DECOY_NM_005392|448563_2_1720_1814
+HRRPTPKSCTWTPSPPHPQSGPSRGKRRAEM
+>NM_178835|448733_2_145_404
+METLQRLRQKHPMGKSRRTISCLWRTGSRSSPRPRTPPWEARLPAATRWSWWHWTVRSCETHCSVKITFPRECLLCVTMTQAPTSP
+>DECOY_NM_178835|448733_2_145_404
+PSTPAQTMTVCLLCERPFTIKVSCHTECSRVTWHWWSWRTAAPLRAEWPPTRPRPSSRSGTRWLCSITRRSKGMPHKQRLRQLTEM
+>NM_178835|448738_2_2461_2627
+MDYHPGNSMTSFFPVTCVGKCLADSRHCPDTSRCTQRKENTNATCAPMLLSAVQI
+>DECOY_NM_178835|448738_2_2461_2627
+IQVASLLMPACTANTNEKRQTCRSTDPCHRSDALCKGVCTVPFFSTMSNGPHYDM
+>NM_178835|448740_2_2713_2879
+MGRNILIITVVTCVDLRPSSMSSLSATCHSTWTRSSGCFRSAALPATSSPWRKQR
+>DECOY_NM_178835|448740_2_2713_2879
+RQKRWPSSTAPLAASRFCGSSRTWTSHCTASLSSMSSPRLDVCTVVTIILINRGM
+>NM_138961|448750_2_1092_1165
+MGPFPLSPPHEPSGHPMALPGLVH
+>DECOY_NM_138961|448750_2_1092_1165
+HVLGPLAMPHGSPEHPPSLPFPGM
+>NM_138961|448751_3_367_584
+MGGALCDVVLQTERKGGSGVVLHQWGHNKQTWSILGLLHALPEPVPAAGGSPGERLWPLQLLRECARQTRQI
+>DECOY_NM_138961|448751_3_367_584
+IQRTQRACERLLQLPWLREGPSGGAAPVPEPLAHLLGLISWTQKNHGWQHLVVGSGGKRETQLVVDCLAGGM
+>NM_032242|448795_2_1769_1848
+MAGSTAAHPPPGRWRPSRGARETSGW
+>DECOY_NM_032242|448795_2_1769_1848
+WGSTERAGRSPRWRGPPPHAATSGAM
+>NM_032242|448798_2_3188_3423
+MEALRGRTAAWCTMTPPWYAAPRLWPTLCAAHQSWGSGRMSWASSWTTCAPCLCSTPPPSSTTLTPYWSHSAPLACWS
+>DECOY_NM_032242|448798_2_3188_3423
+SWCALPASHSWYPTLTTSSPPPTSCLCPACTTWSSAWSMRGSGWSQHAACLTPWLRPAAYWPPTMTCWAATRGRLAEM
+>NM_032506|448838_3_1957_2069
+MGSQNWGAQCFLVIEKLDSTTETTVTVFRRRRIYHWI
+>DECOY_NM_032506|448838_3_1957_2069
+IWHYIRRRRFVTVTTETTSDLKEIVLFCQAGWNQSGM
+>NM_134324|448872_2_763_878
+MAMRWSLMMTTSPLVWAPAWMVFETGAQVAPGILYEIQ
+>DECOY_NM_134324|448872_2_763_878
+QIEYLIGPAVQAGTEFVMWAPAWVLPSTTMMLSWRMAM
+>NM_173557|448885_2_701_810
+MGATCCPCPSPRSVRCCPETWAAACCPGASRSPSPW
+>DECOY_NM_173557|448885_2_701_810
+WPSPSRSAGPCCAAAWTEPCCRVSRPSPCPCCTAGM
+>NM_014255|448994_3_657_799
+MGNCPGGPQEDHSDGIFPDQSRWQPVSGGGALCPLRGPPHRAAGGDM
+>DECOY_NM_014255|448994_3_657_799
+MDGGAARHPPGRLPCLAGGGSVPQWRSQDPFIGDSHDEQPGGPCNGM
+>NM_173064|449008_2_932_1341
+MASASSPTLNHLLSWGKSTRLQGTRRLVGWTQGGPGLLWSQAKAPLLGILQTEAGPALWTPPGTGLGPLAIWLRRGQAKGRVGMGTKNLSHHLNSPRTRVSWKSSQKITSPPGPPGAPYHRSRIWSLGDPQFLFRH
+>DECOY_NM_173064|449008_2_932_1341
+HRFLFQPDGLSWIRSRHYPAGPPGPPSTIKQSSKWSVRTRPSNLHHSLNKTGMGVRGKAQGRRLWIALPGLGTGPPTWLAPGAETQLIGLLPAKAQSWLLGPGGQTWGVLRRTGQLRTSKGWSLLHNLTPSSASAM
+>NM_173064|449009_2_1181_1341
+MGTKNLSHHLNSPRTRVSWKSSQKITSPPGPPGAPYHRSRIWSLGDPQFLFRH
+>DECOY_NM_173064|449009_2_1181_1341
+HRFLFQPDGLSWIRSRHYPAGPPGPPSTIKQSSKWSVRTRPSNLHHSLNKTGM
+>NM_032880|449036_2_714_1132
+MVPMSAMWASTTAPPGRRWSWHQATSSSTSWLLPPPLKWWLLTHQPPSAATKPRTSRWSASCLEENQHPWFISNEMGNQSTQCPYQSHQLRAPAPYRTAGPSAAFCTVTWMTPRCRSHCPSWTPRTGVGDPTRSAPPVA
+>DECOY_NM_032880|449036_2_714_1132
+AVPPASRTPDGVGTRPTWSPCHSRCRPTMWTVTCFAASPGATRYPAPARLQHSQYPCQTSQNGMENSIFWPHQNEELCSASWRSTRPKTAASPPQHTLLWWKLPPPLLWSTSSSTAQHWSWRRGPPATTSAWMASMPVM
+>NM_032880|449039_2_939_1132
+MGNQSTQCPYQSHQLRAPAPYRTAGPSAAFCTVTWMTPRCRSHCPSWTPRTGVGDPTRSAPPVA
+>DECOY_NM_032880|449039_2_939_1132
+AVPPASRTPDGVGTRPTWSPCHSRCRPTMWTVTCFAASPGATRYPAPARLQHSQYPCQTSQNGM
+>NM_033407|449211_2_2549_2685
+MAETAFLHHIFIMFSAYQILTLIHHHQVLGVWEDQCIMPQWLDLR
+>DECOY_NM_033407|449211_2_2549_2685
+RLDLWQPMICQDEWVGLVQHHHILTLIQYASFMIFIHHLFATEAM
+>NM_032528|449250_2_499_707
+MGLNIKSFFHPRWGENLKVLSTRRMTTTFLLLVSQGGTATLRGHWDSLPPGSQAHGRGLFRLHRSRGGG
+>DECOY_NM_032528|449250_2_499_707
+GGGRSRHLRFLGRGHAQSGPPLSDWHGRLTATGGQSVLLLFTTTMRRTSLVKLNEGWRPHFFSKINLGM
+>NM_032528|449265_3_209_297
+METTNAFRNIRLGAPLFADFHLLHRQQPR
+>DECOY_NM_032528|449265_3_209_297
+RPQQRHLLHFDAFLPAGLRINRFANTTEM
+>NM_170741|449348_2_523_629
+MAAVMSTSSTFLENGEAMWLTSSPLLWTPSGAICL
+>DECOY_NM_170741|449348_2_523_629
+LCIAGSPTWLLPSSTLWMAEGNELFTSSTSMVAAM
+>NM_001005|449373_2_388_479
+MVCCGSSWRVGPKAARLWCLGNSEDRGLNP
+>DECOY_NM_001005|449373_2_388_479
+PNLGRDESNGLCWLRAAKPGVRWSSGCCVM
+>NM_014956|449405_2_4090_4382
+MAPWPGSQPYHLLHPRPPNGPGIQGRGPGSPPLWLKRWTTSCWRSGASIFHLASRCSATAPPRWRAGWVTCLPVSSSGSYSTPIRKSLRRAAPPFRA
+>DECOY_NM_014956|449405_2_4090_4382
+ARFPPAARRLSKRIPTSYSGSSSVPLCTVWGARWRPPATASCRSALHFISAGSRWCSTTWRKLWLPPSGPGRGQIGPGNPPRPHLLHYPQSGPWPAM
+>NM_017563|449462_2_717_895
+MARTCRCPSTMHRTTSASVSSIFTTSSSTKDLSSERPVSRSKLQRRPAASFKMFLQGII
+>DECOY_NM_017563|449462_2_717_895
+IIGQLFMKFSAAPRRQLKSRSVPRESSLDKTSSSTTFISSVSASTTRHMTSPCRCTRAM
+>NM_017563|449466_2_1959_2038
+MGAWTKTGRPGLPLTVAPPCNPCCTR
+>DECOY_NM_017563|449466_2_1959_2038
+RTCCPNCPPAVTLPLGPRGTKTWAGM
+>NM_017563|449478_3_1306_1529
+MGHPEDPRVPVHHCGLFQRYEVLCGQEELQTQRRWPRLGERRALPGGGVSHCRKAPPGQAEFVRGAQQVYRRLL
+>DECOY_NM_017563|449478_3_1306_1529
+LLRRYVQQAGRVFEAQGPPAKRCHSVGGGPLARREGLRPWRRQTQLEEQGCLVEYRQFLGCHHVPVRPDEPHGM
+>NM_001045556|449496_2_1076_1158
+MAGCLRAWAETRPRSCCSCQTQRSAPS
+>DECOY_NM_001045556|449496_2_1076_1158
+SPASRQTQCSCCSRPRTEAWARLCGAM
+>NM_001029998|449665_3_517_647
+MAFSSAFINHTHQRMAFKRFADSRLHASACVFCSDFNQGSWWK
+>DECOY_NM_001029998|449665_3_517_647
+KWWSGQNFDSCFVCASAHLRSDAFRKFAMRQHTHNIFASSFAM
+>NM_052910|449706_3_1560_1633
+MAGKHSQECPDRPSGLRSPHQTAG
+>DECOY_NM_052910|449706_3_1560_1633
+GATQHPSRLGSPRDPCEQSHKGAM
+>NM_000806|449723_2_544_617
+MDSRHYKMNLKTIPLSSPGFWTDS
+>DECOY_NM_000806|449723_2_544_617
+SDTWFGPSSLPITKLNMKYHRSDM
+>NM_130759|449741_3_521_651
+MDGHRLHQEGGPGRGLPARLREQHREPGLARAGGRVRGPGLCL
+>DECOY_NM_130759|449741_3_521_651
+LCLGPGRVRGGARALGPERHQERLRAPLGRGPGGEQHLRHGDM
+>NM_130759|449742_3_851_1002
+MGRLAVGPAVEVAEVPQELEAGPGPAAGGRAPVLGAAPQAVVGGRCGGRA
+>DECOY_NM_130759|449742_3_851_1002
+ARGGCRGGVVAQPAAGLVPARGGAAPGPGAELEQPVEAVEVAPGVALRGM
+>NM_001037814|449877_2_1069_1193
+MVEGMPAGLLSPCMRESVLSCQEERLWACQTALLLRAAVCP
+>DECOY_NM_001037814|449877_2_1069_1193
+PCVAARLLLATQCAWLREEQCSLVSERMCPSLLGAPMGEVM
+>NM_018003|450217_2_435_583
+MDMHCAYKNFYSTIVPLSMQTCREELHFTMPQWQIVLLAYSCFVTMGPL
+>DECOY_NM_018003|450217_2_435_583
+LPGMTVFCSYALLVIQWQPMTFHLEERCTQMSLPVITSYFNKYACHMDM
+>NM_007345|450362_2_2353_2459
+MGLSLLVAAYGDTWVSTTTFVPICVPIAKKHLRLH
+>DECOY_NM_007345|450362_2_2353_2459
+HLRLHKKAIPVCIPVFTTTSVWTDGYAAVLLSLGM
+>NM_014875|450420_2_3908_4017
+MVVTGVKMPFVILKMNGNPTLQMHQFLHFLEGGVGV
+>DECOY_NM_014875|450420_2_3908_4017
+VGVGGELFHLFQHMQLTPNGNMKLIVFPMKVGTVVM
+>NM_014875|450422_2_4265_4389
+MGYLPFPRLMKNKMKKVKITCFLLIEQSSHLLFRLHVLLSS
+>DECOY_NM_014875|450422_2_4265_4389
+SSLLVHLRFLLHSSQEILLFCTIKVKKMKNKMLRPFPLYGM
+>NM_001145674|450597_2_1664_1881
+MAYVPRGGFPLSICWRKKIKAAPPLGAGEAASLTPWCPFTLKSPAMSSPEHTWSFPTATRTGLCPTTVTTFT
+>DECOY_NM_001145674|450597_2_1664_1881
+TFTTVTTPCLGTRTATPFSWTHEPSSMAPSKLTFPCWPTLSAAEGAGLPPAAKIKKRWCISLPFGGRPVYAM
+>NM_001004317|450730_2_798_898
+MAVHHHRFLRRLGQRSQNGQAGHLKKLPPRSHL
+>DECOY_NM_001004317|450730_2_798_898
+LHSRPPLKKLHGAQGNQSRQGLRRLFRHHHVAM
+>NM_033092|450795_2_549_676
+MERNFYSSVRRTGRSFAGFVSGLRSTVVTTRSSQRRLPRSTK
+>DECOY_NM_033092|450795_2_549_676
+KTSRPLRRQSSRTTVVTSRLGSVFGAFSRGTRRVSSYFNREM
+>NM_000720|451003_2_1127_1281
+MDASVLPMARNVGVAGLARTEASPTLITLPLPCLLCFSASPWRAGQMCSTG
+>DECOY_NM_000720|451003_2_1127_1281
+GTSCMQGARWPSASFCLLCPLPLTILTPSAETRALGAVGVNRAMPLVSADM
+>NM_000720|451006_2_3413_3495
+MGMLTVLWSVNGSGKTVISTSTTSSLL
+>DECOY_NM_000720|451006_2_3413_3495
+LLSSTTSTSIVTKGSGNVSWLVTLMGM
+>NM_000720|451015_2_5267_5460
+MVPCLETMSIMLIVIGEIPFSRPIPPTVPCMSKGLQFHLQVILRNRCFLQQEIRCVITIITIIP
+>DECOY_NM_000720|451015_2_5267_5460
+PIITIITIVCRIEQQLFCRNRLIVQLHFQLGKSMCPVTPPIPRSFPIEGIVILMISMTELCPVM
+>NM_000720|451026_2_5816_6081
+MATTADTQAETSTLRGPEATIIPKDSWRTMTRPFAMIHGDLQGDAYYLPPQHPTGDPPSTLSACAGRAARKRSRRLPSSPIARPCLCI
+>DECOY_NM_000720|451026_2_5816_6081
+ICLCPRAIPSSPLRRSRKRAARGACASLTSPPDGTPHQPPLYYADGQLDGHIMAFPRTMTRWSDKPIITAEPGRLTSTEAQTDATTAM
+>NM_198461|451089_2_2516_2652
+MAITQRTLNILKMKRWRVQSMKNLPLSTILCINSLFPGSRLSRIA
+>DECOY_NM_198461|451089_2_2516_2652
+AIRSLRSGPFLSNICLITSLPLNKMSQVRWRKMKLINLTRQTIAM
+>NM_015285|451499_2_977_1131
+MDRHGPGGTLSHQIKSSFGQKMGKVIFTNYLPVAFQLVIHSAVMWGRQLKI
+>DECOY_NM_015285|451499_2_977_1131
+IKLQRGWMVASHIVLQFAVPLYNTFIVKGMKQGFSSKIQHSLTGGPGHRDM
+>NM_015285|451500_2_1040_1131
+MGKVIFTNYLPVAFQLVIHSAVMWGRQLKI
+>DECOY_NM_015285|451500_2_1040_1131
+IKLQRGWMVASHIVLQFAVPLYNTFIVKGM
+>NM_015285|451503_2_4331_4482
+MVQWPCTTSGLENVRQSMDTRDQSLQWLLLLMEDILPPTQTLTATFLFGR
+>DECOY_NM_015285|451503_2_4331_4482
+RGFLFTATLTQTPPLIDEMLLLLWQLSQDRTDMSQRVNELGSTTCPWQVM
+>NM_015986|451609_2_1130_1209
+MDMTLCSGIKLCALVQMVQFLSMEKK
+>DECOY_NM_015986|451609_2_1130_1209
+KKEMSLFQVMQVLACLKIGSCLTMDM
+>NM_012415|451683_2_2145_2251
+MDMLIQDLMDKHQSLKGSRLLMALTVNTLLFLFFC
+>DECOY_NM_012415|451683_2_2145_2251
+CFFLFLLTNVTLAMLLRSGKLSQHKDMLDQILMDM
+>NM_012415|451690_3_2359_2450
+MERWSEISCTYLQTPNYRYNRRKDLSKADQ
+>DECOY_NM_012415|451690_3_2359_2450
+QDAKSLDKRRNYRYNPTQLYTCSIESWREM
+>NM_000827|451755_2_1746_1843
+MENTEPETLTRRPGMAWWESWSMEEQMWLWLP
+>DECOY_NM_000827|451755_2_1746_1843
+PLWLWMQEEMSWSEWWAMGPRRTLTEPETNEM
+>NM_032786|451851_2_1108_1262
+MALPRLTLLSAARLYSLVQCPSKNWWPLLELQLLPQLMLHLLLLRHPHPHT
+>DECOY_NM_032786|451851_2_1108_1262
+THPHPHRLLLLHLMLQPLLQLELLPWWNKSPCQVLSYLRAASLLTLRPLAM
+>NM_013266|452010_2_1515_1687
+MELKLSKLQPIIWKPCVHRLLMLHLLWLQDPKVKRSKTPWKCTSVHGRIIYMSSLKP
+>DECOY_NM_013266|452010_2_1515_1687
+PKLSSMYIIRGHVSTCKWPTKSRKVKPDQLWLLHLMLLRHVCPKWIIPQLKSLKLEM
+>NM_000511|452155_2_851_978
+MAWPGVGRTLTPPTVMWCLLAMALRAHLPKILLYSHSVTTPS
+>DECOY_NM_000511|452155_2_851_978
+SPTTVSHSYLLIKPLHARLAMALLCWMVTPPTLTRGVGPWAM
+>NM_014982|452256_2_798_898
+MDRVILVEGLKCLSSSERPHPQLVAVPEILMPV
+>DECOY_NM_014982|452256_2_798_898
+VPMLIEPVAVLQPHPRESSSLCKLGEVLIVRDM
+>NM_014982|452264_2_2898_2995
+MVSKASSPQPRSKSSPAPLPRLQCSVLVPPCW
+>DECOY_NM_014982|452264_2_2898_2995
+WCPPVLVSCQLRPLPAPSSKSRPQPSSAKSVM
+>NM_014982|452265_2_3627_3721
+MVIIVSLPTVDQFISAYVAVLFGSWIMVAET
+>DECOY_NM_014982|452265_2_3627_3721
+TEAVMIWSGFLVAVYASIFQDVTPLSVIIVM
+>NM_014982|452269_2_5256_5344
+MVWSLFSCGDLNSEVPTVNNGKWRPLLKV
+>DECOY_NM_014982|452269_2_5256_5344
+VKLLPRWKGNNVTPVESNLDGCSFLSWVM
+>NM_002242|452313_2_207_280
+MATAHFKWMALKEVLHIFEMLGES
+>DECOY_NM_002242|452313_2_207_280
+SEGLMEFIHLVEKLAMWKFHATAM
+>NM_002242|452316_2_777_859
+MANSTRPVWISTLMASVLTNVHSSSFH
+>DECOY_NM_002242|452316_2_777_859
+HFSSSHVNTLVSAMLTSIWVPRTSNAM
+>NM_019022|452414_2_781_932
+MVICHHGSTGKGFRITLLWMASSCMNLETQESLWLLQLLMRKIHQLNIPD
+>DECOY_NM_019022|452414_2_781_932
+DPINLQHIKRMLLQLLWLSEQTELNMCSSAMWLLTIRFGKGTSGHHCIVM
+>NM_000891|452438_2_534_697
+MATVMFSSSMWVRRGNGTSQTSSPRVWTFAGGGCWLSSAWLSSCHGCFLAVCFG
+>DECOY_NM_000891|452438_2_534_697
+GFCVALFCGHCSSLWASSLWCGGGAFTWVRPSSTQSTGNGRRVWMSSSFMVTAM
+>NM_000891|452443_2_816_982
+MVSDVSRMNAQLLFSWWCSSQSWAASSMLSSLAQSWPRWQSQRRETRLLSSVTMP
+>DECOY_NM_000891|452443_2_816_982
+PMTVSSLLRTERRQSQWRPWSQALSSLMSSAAWSQSSCWWSFLLQANMRSVDSVM
+>NM_000891|452461_3_667_794
+MAVFWLCVLVDSSAPWGPGCIQRGQSLCVRGQQLHGCLPLLH
+>DECOY_NM_000891|452461_3_667_794
+HLLPLCGHLQQGRVCLSQGRQICGPGWPASSDVLVCLWFVAM
+>NM_005215|452643_2_2541_2683
+MDLLPAIKFDTERRPAGVRWKHWSQTTSGTYSQDWRKEVSTVSRCQP
+>DECOY_NM_005215|452643_2_2541_2683
+PQCRSVTSVEKRWDQSYTGSTTQSWHKWRVGAPRRETDFKIAPLLDM
+>NM_005215|452644_2_2871_2944
+MALGALTLRQCVWTASSDIIPLRG
+>DECOY_NM_005215|452644_2_2871_2944
+GRLPIIDSSATWVCQRLTLAGLAM
+>NM_000740|452670_2_1701_2071
+MAAATSGSQPRAGNPAPSRWTKTTAAVTVGTTMMLLPPWRTPPPPTRRTLAPRREPSTPSCSSFRVTAPSSTPPSYPHRTTCRCLRRSWGWWTWRGKPTSCRPRRAWTMEAVFQKASPSFPSS
+>DECOY_NM_000740|452670_2_1701_2071
+SSPFSPSAKQFVAEMTWARRPRCSTPKGRWTWWGWSRRLCRCTTRHPYSPPTSSPATVRFSSCSPTSPERRPALTRRTPPPPTRWPPLLMMTTGVTVAATTKTWRSPAPNGARPQSGSTAAAM
+>NM_001099287|452710_2_462_601
+MASTSAWAWHSCLASSSAAASSSRRKASCDSWPREPLELWMEASAT
+>DECOY_NM_001099287|452710_2_462_601
+TASAEMWLELPERPWSDCSAKRRSSSAAASSSALCSHWAWASTSAM
+>NM_024817|452752_2_578_819
+MAMVRPHISYHCRQTLHTRHRGSGDRSSHPAIPGPREHLLLGMATVHQPTRSPNMGLCTKVTVALALDCRLRRPPSTSYL
+>DECOY_NM_024817|452752_2_578_819
+LYSTSPPRRLRCDLALAVTVKTCLGMNPSRTPQHVTAMGLLLHERPGPIAPHSSRDGSGRHRTHLTQRCHYSIHPRVMAM
+>NM_024817|452753_2_584_819
+MVRPHISYHCRQTLHTRHRGSGDRSSHPAIPGPREHLLLGMATVHQPTRSPNMGLCTKVTVALALDCRLRRPPSTSYL
+>DECOY_NM_024817|452753_2_584_819
+LYSTSPPRRLRCDLALAVTVKTCLGMNPSRTPQHVTAMGLLLHERPGPIAPHSSRDGSGRHRTHLTQRCHYSIHPRVM
+>NM_024817|452754_2_704_819
+MATVHQPTRSPNMGLCTKVTVALALDCRLRRPPSTSYL
+>DECOY_NM_024817|452754_2_704_819
+LYSTSPPRRLRCDLALAVTVKTCLGMNPSRTPQHVTAM
+>NM_024817|452755_2_740_819
+MGLCTKVTVALALDCRLRRPPSTSYL
+>DECOY_NM_024817|452755_2_740_819
+LYSTSPPRRLRCDLALAVTVKTCLGM
+>NM_207396|452834_2_445_806
+MAWRRCAVPTVTWSAASRTWRPRTSATRADSPYARAAATRRTEHACSRATTSWPWVSEAATCPRSARCTQSPTSCSPPTRSCCCASAASATCRRRAGHTAWTWNRLTCRAASGWSRRCWP
+>DECOY_NM_207396|452834_2_445_806
+PWCRRSWGSAARCTLRNWTWATHGARRRCTASAASACCCSRTPPSCSTPSQTCRASRPCTAAESVWPWSTTARSCAHETRRTAAARAYPSDARTASTRPRWTRSAASWTVTPVACRRWAM
+>NM_006332|452861_3_330_478
+MAVGHGDPQCHAGALRKRTGTKCQWQVGVQVPAWRRGVQIQQGGGLRVG
+>DECOY_NM_006332|452861_3_330_478
+GVRLGGGQQIQVGRRWAPVQVGVQWQCKTGTRKRLAGAHCQPDGHGVAM
+>NM_014442|452874_2_227_393
+MAGLTLTQFMATGSGQETDHTKTLQWPQTTQTEKCRQRPRADSNSLGTFGATTAP
+>DECOY_NM_014442|452874_2_227_393
+PATTAGFTGLSNSDARPRQRCKETQTTQPWQLTKTHDTEQGSGTAMFQTLTLGAM
+>NM_014442|452877_2_866_945
+MAHLFQSLRASLCAWSVLSTAIPLPG
+>DECOY_NM_014442|452877_2_866_945
+GPLPIATSLVSWACLSARLSQFLHAM
+>NM_007047|452977_2_724_860
+MEGSIWSAGPPAGTPNPKYSGATPRERTSQLWKHLWLQMEWAYMK
+>DECOY_NM_007047|452977_2_724_860
+KMYAWEMQLWLHKWLQSTRERPTAGSYKPNPTGAPPGASWISGEM
+>NM_172217|453026_2_473_606
+MALALMRNILIPLRFPWPRARREFSTHLCSWQTHRRLGPAVFLI
+>DECOY_NM_172217|453026_2_473_606
+ILFVAPGLRRHTQWSCLHTSFERRARPWPFRLPILINRMLALAM
+>NM_022484|453094_3_556_719
+MGKNSKFLNFGNFYSWSFCSWNRQHTLLLFFNGSSKFKSLQSLVWILAWPPMFS
+>DECOY_NM_022484|453094_3_556_719
+SFMPPWALIWVLSQLSKFKSSGNFFLLLTHQRNWSCFSWSYFNGFNLFKSNKGM
+>NM_022484|453095_3_1972_2069
+MVERKEWFLLFPAYYRIRQRKFNPLGERSEEN
+>DECOY_NM_022484|453095_3_1972_2069
+NEESREGLPNFKRQRIRYYAPFLLFWEKREVM
+>NM_002715|453107_2_807_919
+MEMQMFGNILQIFLTIFLSLPWWMGRSSVYMVVSRHL
+>DECOY_NM_002715|453107_2_807_919
+LHRSVVMYVSSRGMWWPLSLFITLFIQLINGFMQMEM
+>NM_203394|453197_2_1002_1096
+MVIQIPRNNSYWISLNPTVPLHLQTVEKTSL
+>DECOY_NM_203394|453197_2_1002_1096
+LSTKEVTQLHLPVTPNLSIWYSNNRPIQIVM
+>NM_004457|453246_2_896_969
+MDYRCWVRNQRPTSPSSVRPGPSG
+>DECOY_NM_004457|453246_2_896_969
+GSPGPRVSSPSTPRQNRVWCRYDM
+>NM_015570|453374_2_765_1021
+MDSAKSGGRGRSETGRGAPGAGWGPARPAAAGLAGPGRSHSPRRRAPTRKTMGSPRPPPRPGPDPRGGSGESPPRQKRTSLMDLP
+>DECOY_NM_015570|453374_2_765_1021
+PLDMLSTRKQRPPSEGSGGRPDPGPRPPPRPSGMTKRTPARRRPSHSRGPGALGAAAPRAPGWGAGPAGRGTESRGRGGSKASDM
+>NM_015570|453375_2_918_1021
+MGSPRPPPRPGPDPRGGSGESPPRQKRTSLMDLP
+>DECOY_NM_015570|453375_2_918_1021
+PLDMLSTRKQRPPSEGSGGRPDPGPRPPPRPSGM
+>NM_015570|453381_2_3078_3172
+MAPVCRTLATLTNPGTGCTERLRRSRPLRPG
+>DECOY_NM_015570|453381_2_3078_3172
+GPRLPRSRRLRETCGTGPNTLTALTRCVPAM
+>NM_001142763|453534_2_1521_1669
+MVILFLPLPVYTLKYWMKTIKVHILQCPVIKAISWNLPQWEQPFRTVSI
+>DECOY_NM_001142763|453534_2_1521_1669
+ISVTRFPQEWQPLNWSIAKIVPCQLIHVKITKMWYKLTYVPLPLFLIVM
+>NM_133448|453703_2_1070_1170
+MGSGQATVTSMSPGPPCRGSGASSFIRHTGNPP
+>DECOY_NM_133448|453703_2_1070_1170
+PPNGTHRIFSSAGSGRCPPGPSMSTVTAQGSGM
+>NM_133448|453710_2_2852_2943
+MAVLLWDSWRDGAPRQTGPSCRRRKARKAF
+>DECOY_NM_133448|453710_2_2852_2943
+FAKRAKRRRCSPGTQRPAGDRWSDWLLVAM
+>NM_133448|453725_3_2826_2947
+MGESGRTVLWQFFYGTHGGTGHHDRQVHPAEEERPGKPFR
+>DECOY_NM_133448|453725_3_2826_2947
+RFPKGPREEEAPHVQRDHHGTGGHTGYFFQWLVTRGSEGM
+>NM_001332|453748_2_266_339
+MALKQKPPLPSSPQSKNRNYSLKG
+>DECOY_NM_001332|453748_2_266_339
+GKLSYNRNKSQPSSPLPPKQKLAM
+>NM_001332|453749_2_467_1137
+MVKKISKMSLQQVSSWWTPVLGHYRNQEYLTHRIILQVKGPACSPRVHFSSIPNLKGLSSIRPATIATRPWPWGKPPLHSSRPEAHKPELRARASARARPAAPATWRGPSPRRRRRRRRGSRSRPAWAAPSTCPTRRPPPPPPRSTTPAPRCPRRRAGAPRWPRPRAVRPPSCSAAARPPRAPPTPRRAAPRPSSRPAAWPSPTAPARPSTSSCPRPACPRSA
+>DECOY_NM_001332|453749_2_467_1137
+ASRPCAPRPCSSTSPRAPATPSPWAAPRSSPRPAARRPTPPARPPRAAASCSPPRVARPRPWRPAGARRRPCRPAPTTSRPPPPPPRRTPCTSPAAWAPRSRSGRRRRRRRRPSPGRWTAPAAPRARASARARLEPKHAEPRSSHLPPKGWPWPRTAITAPRISSLGKLNPISSFHVRPSCAPGKVQLIIRHTLYEQNRYHGLVPTWWSSVQQLSMKSIKKVM
+>NM_012203|453882_2_444_532
+MVAGPRGSPSGCVAMDSRRALSASSGWGA
+>DECOY_NM_012203|453882_2_444_532
+AGWGSSASLARRSDMAVCGSPSGRPGAVM
+>NM_015585|453980_2_3323_3480
+MGLTSEFILTSIKWWKPSRAFLGSPSPPPTTSACLASTSNSSTTCVLGTMKT
+>DECOY_NM_015585|453980_2_3323_3480
+TKMTGLVCTTSSNSTSALCASTTPPPSPSGLFARSPKWWKISTLIFESTLGM
+>NM_001142769|454187_2_4821_4978
+MGVAVDCYHQLDRRNMVRWLVKLRKNMRRKRKSQRKLKNQRLKLESLVRRKK
+>DECOY_NM_001142769|454187_2_4821_4978
+KKRRVLSELKLRQNKLKRQSKRKRRMNKRLKVLWRVMNRRDLQHYCDVAVGM
+>NM_001142769|454188_2_4866_4978
+MVRWLVKLRKNMRRKRKSQRKLKNQRLKLESLVRRKK
+>DECOY_NM_001142769|454188_2_4866_4978
+KKRRVLSELKLRQNKLKRQSKRKRRMNKRLKVLWRVM
+>NM_003651|454195_2_787_1043
+MEGAVALPGITLGRRRRKGAAAVKDLTPLPLIGSSLGPGISCAAPSIALSTGSGGSRLTTWDRPLTVAHGSYPIPTEYRLVRLER
+>DECOY_NM_003651|454195_2_787_1043
+RELRVLRYETPIPYSGHAVTLPRDWTTLRSGGSGTSLAISPAACSIGPGLSSGILPLPTLDKVAAAGKRRRRGLTIGPLAVAGEM
+>NM_015361|454226_2_3248_3357
+METEEGDKLKKLHPQTLEQEKQLLGRSWKLLNYQME
+>DECOY_NM_015361|454226_2_3248_3357
+EMQYNLLKWSRGLLQKEQELTQPHLKKLKDGEETEM
+>NM_178123|454300_2_1810_1898
+MAGSCYRPQLCYANLCAALLGHLGIHFLD
+>DECOY_NM_178123|454300_2_1810_1898
+DLFHIGLHGLLAACLNAYCLQPRYCSGAM
+>NM_024301|454325_2_841_1010
+MAQPPPRPAATPWTEMLWCSCAPATSSTSRRPWPGRWAPASFCRPPFAAGRCSCWT
+>DECOY_NM_024301|454325_2_841_1010
+TWCSCRGAAFPPRCFSAPAWRGPWPRRSTSSTAPACSCWLMETWPTAAPRPPPQAM
+>NM_001142771|454494_2_4800_4909
+MGVAVDCYHQLDRRNMVRWLVKLRKNMRRKSGQEKE
+>DECOY_NM_001142771|454494_2_4800_4909
+EKEQGSKRRMNKRLKVLWRVMNRRDLQHYCDVAVGM
+>NM_019045|454542_3_2320_2402
+MAHFSKRMPLLFSTYRFCHCHSFSSKR
+>DECOY_NM_019045|454542_3_2320_2402
+RKSSFSHCHCFRYTSFLLPMRKSFHAM
+>NM_006788|454560_2_273_394
+MAAGLPGPPALKRSALLSFLDCTALASPHLPMTSSMSLLM
+>DECOY_NM_006788|454560_2_273_394
+MLLSMSSTMPLHPSALATCDLFSLLASRKLAPPGPLGAAM
+>NM_006788|454563_2_417_523
+MGRKKGNLRKRKRGLKAMQPFRKIALEMRQKVLLK
+>DECOY_NM_006788|454563_2_417_523
+KLLVKQRMELAIKRFPQMAKLGRKRKRLNGKKRGM
+>NM_015162|454799_2_402_505
+MGTSSLWASSARTSGNTSPTPNTTCSPAEPPRAS
+>DECOY_NM_015162|454799_2_402_505
+SARPPEAPSCTTNPTPSTNGSTRASSAWLSSTGM
+>NM_015162|454802_3_1189_1487
+MGEDHGAHPGGGGSVWLHPAKDAAVGHVGDLGAEPHLPRQRPEALHNQTGRLPGASQGSPGTGICQVSKELLWSGPHDGRDTALLPGSQHPLVCGLRPQ
+>DECOY_NM_015162|454802_3_1189_1487
+QPRLGCVLPHQSGPLLATDRGDHPGSWLLEKSVQCIGTGPSGQSAGPLRGTQNHLAEPRQRPLHPEAGLDGVHGVAADKAPHLWVSGGGGPHAGHDEGM
+>NM_019619|454832_2_265_443
+MAPVPVPRVPRAQRYLVVSLAPTMSQPFSLTKQQVKLRSHLQSFEQICLFMFDAVVTQL
+>DECOY_NM_019619|454832_2_265_443
+LQTVVADFMFLCIQEFSQLHSRLKVQQKTLSFPQSMTPALSVVLYRQARPVRPVPVPAM
+>NM_019619|454836_2_721_815
+MGQKRITVVLNLLDMLTRVWSIYPTFLWMIW
+>DECOY_NM_019619|454836_2_721_815
+WIMWLFTPYISWVRTLMDLLNLVVTIRKQGM
+>NM_019619|454840_2_985_1181
+MATFEIEDLNKHNICFAKPCVHPSFGSMWFLQQIKSSMNNYPKVRRTITIQAVLALTASILTTGV
+>DECOY_NM_019619|454840_2_985_1181
+VGTTLISATLALVAQITITRRVKPYNNMSSKIQQLFWMSGFSPHVCPKAFCINHKNLDEIEFTAM
+>NM_019619|454855_2_3817_3893
+MASTPGSCWKLRSSFARNRGGRSSR
+>DECOY_NM_019619|454855_2_3817_3893
+RSSRGGRNRAFSSRLKWCSGPTSAM
+>NM_006354|454888_2_654_799
+MASASRSWTPCSWSWRPCCLLPAGACVCLRPKPRSSPTGRIRKVTDDS
+>DECOY_NM_006354|454888_2_654_799
+SDDTVKRIRGTPSSRPKPRLCVCAGAPLLCCPRWSWSCPTWSRSASAM
+>NM_006354|454890_2_840_1078
+MGSPRSRNWKGRQDMGRALAQDGPNPKTFSPRSRNMNSLMTLSTCHGSPKMMPPTGSGLQWSPTVLTSPARRSAHLRSY
+>DECOY_NM_006354|454890_2_840_1078
+YSRLHASRRAPSTLVTPSWQLGSGTPPMMKPSGHCTSLTMLSNMNRSRPSFTKPNPGDQALARGMDQRGKWNRSRPSGM
+>NM_006354|454891_2_882_1078
+MGRALAQDGPNPKTFSPRSRNMNSLMTLSTCHGSPKMMPPTGSGLQWSPTVLTSPARRSAHLRSY
+>DECOY_NM_006354|454891_2_882_1078
+YSRLHASRRAPSTLVTPSWQLGSGTPPMMKPSGHCTSLTMLSNMNRSRPSFTKPNPGDQALARGM
+>NM_024080|454963_2_2087_2388
+MERFPETPRTGRLSCVCLLYPWWAVALYHLGRNLSTSTRSCFGTMWRSSPPPSWSSPGMWSSTSPSSCCLPTCCSWISIRCHTPPSWSCTRWSLSSSVMK
+>DECOY_NM_024080|454963_2_2087_2388
+KMVSSSLSWRTCSWSPPTHCRISIWSCCTPLCCSSPSTSSWMGPSSWSPPPSSRWMTGFCSRTSTSLNRGLHYLAVAWWPYLLCVCSLRGTRPTEPFREM
+>NM_024080|454978_3_2082_2176
+MVWRDFPRHQELEDYPVSVYYTLGGLWLCII
+>DECOY_NM_024080|454978_3_2082_2176
+IICLWLGGLTYYVSVPYDELEQHRPFDRWVM
+>NM_024080|454979_3_3201_3286
+MGGCHEGKLPCQDQHKSQRHLRGNEASI
+>DECOY_NM_024080|454979_3_3201_3286
+ISAENGRLHRQSKHQDQCPLKGEHCGGM
+>NM_021163|455095_2_979_1160
+MEAMLGQNLMSVMNVGKHIMERKCVNLIKMGIPILTMKKIFFRKLVFWRNPLNIMNAWKP
+>DECOY_NM_021163|455095_2_979_1160
+PKWANMINLPNRWFVLKRFFIKKMTLIPIGMKILNVCKREMIHKGVNMVSMLNQGLMAEM
+>NM_021163|455099_2_1036_1160
+MERKCVNLIKMGIPILTMKKIFFRKLVFWRNPLNIMNAWKP
+>DECOY_NM_021163|455099_2_1036_1160
+PKWANMINLPNRWFVLKRFFIKKMTLIPIGMKILNVCKREM
+>NM_021163|455100_2_1066_1160
+MGIPILTMKKIFFRKLVFWRNPLNIMNAWKP
+>DECOY_NM_021163|455100_2_1066_1160
+PKWANMINLPNRWFVLKRFFIKKMTLIPIGM
+>NM_006372|455173_2_550_653
+MVLKSPWILLLQLSIQKIFRHCLMLVYHRKLLKN
+>DECOY_NM_006372|455173_2_550_653
+NKLLKRHYVLMLCHRFIKQISLQLLLIWPSKLVM
+>NM_006372|455175_2_955_1103
+MEDHLQIPFIQVSSLLLALRYLWERSQEIYLRMNLFHYLRKLDLYGIFV
+>DECOY_NM_006372|455175_2_955_1103
+VFIGYLDLKRLYHFLNMRLYIEQSREWLYRLALLLSSVQIFPIQLHDEM
+>NM_006372|455181_2_1825_2207
+MVHLICPLQQEVEGVEVEVVMDILQIIMDMKIIMIIMVMITITIVVDMKIHTMVMKIFKLELEEGVVEEQGVLLHPEVVGLLLPAVEPVIHREEVLDQQEAFEVREEVPNNKEAAGYVVRGVAAVEM
+>DECOY_NM_006372|455181_2_1825_2207
+MEVAAVGRVVYGAAEKNNPVEERVEFAEQQDLVEERHIVPEVAPLLLGVVEPHLLVGQEEVVGEELELKFIKMVMTHIKMDVVITITIMVMIIMIIKMDMIIQLIDMVVEVEVGEVEQQLPCILHVM
+>NM_006372|455182_2_1885_2207
+MDILQIIMDMKIIMIIMVMITITIVVDMKIHTMVMKIFKLELEEGVVEEQGVLLHPEVVGLLLPAVEPVIHREEVLDQQEAFEVREEVPNNKEAAGYVVRGVAAVEM
+>DECOY_NM_006372|455182_2_1885_2207
+MEVAAVGRVVYGAAEKNNPVEERVEFAEQQDLVEERHIVPEVAPLLLGVVEPHLLVGQEEVVGEELELKFIKMVMTHIKMDVVITITIMVMIIMIIKMDMIIQLIDM
+>NM_006372|455183_2_1906_2207
+MDMKIIMIIMVMITITIVVDMKIHTMVMKIFKLELEEGVVEEQGVLLHPEVVGLLLPAVEPVIHREEVLDQQEAFEVREEVPNNKEAAGYVVRGVAAVEM
+>DECOY_NM_006372|455183_2_1906_2207
+MEVAAVGRVVYGAAEKNNPVEERVEFAEQQDLVEERHIVPEVAPLLLGVVEPHLLVGQEEVVGEELELKFIKMVMTHIKMDVVITITIMVMIIMIIKMDM
+>NM_006372|455186_2_1933_2207
+MVMITITIVVDMKIHTMVMKIFKLELEEGVVEEQGVLLHPEVVGLLLPAVEPVIHREEVLDQQEAFEVREEVPNNKEAAGYVVRGVAAVEM
+>DECOY_NM_006372|455186_2_1933_2207
+MEVAAVGRVVYGAAEKNNPVEERVEFAEQQDLVEERHIVPEVAPLLLGVVEPHLLVGQEEVVGEELELKFIKMVMTHIKMDVVITITIMVM
+>NM_006372|455189_2_1981_2207
+MVMKIFKLELEEGVVEEQGVLLHPEVVGLLLPAVEPVIHREEVLDQQEAFEVREEVPNNKEAAGYVVRGVAAVEM
+>DECOY_NM_006372|455189_2_1981_2207
+MEVAAVGRVVYGAAEKNNPVEERVEFAEQQDLVEERHIVPEVAPLLLGVVEPHLLVGQEEVVGEELELKFIKMVM
+>NM_006264|455250_2_2806_3005
+MEFIFTECTLRRSHKQEYCLESVLKVSLCLKFTMECAHWSFAFHGGKPRKYLFLKRKSHCKIHQME
+>DECOY_NM_006264|455250_2_2806_3005
+EMQHIKCHSKRKLFLYKRPKGGHFAFSWHACEMTFKLCLSVKLVSELCYEQKHSRRLTCETFIFEM
+>NM_006264|455251_2_2905_3005
+MECAHWSFAFHGGKPRKYLFLKRKSHCKIHQME
+>DECOY_NM_006264|455251_2_2905_3005
+EMQHIKCHSKRKLFLYKRPKGGHFAFSWHACEM
+>NM_006264|455252_2_3010_3092
+MASRQTTVRYASTCCTSALTSISSSYR
+>DECOY_NM_006264|455252_2_3010_3092
+RYSSSISTLASTCCTSAYRVTTQRSAM
+>NM_006264|455260_2_6382_6464
+MVPTVWGLAASLPSLLMIHSPRLLGKK
+>DECOY_NM_006264|455260_2_6382_6464
+KKGLLRPSHIMLLSPLSAALGWVTPVM
+>NM_006264|455269_3_3662_3819
+MEHSIFTRKGDHLSEPEKRCKVWLGISNYWWGEDGKTGPRHIYQFSCPWRTS
+>DECOY_NM_006264|455269_3_3662_3819
+STRWPCSFQYIHRPGTKGDEGWWYNSIGLWVKCRKEPESLHDGKRTFISHEM
+>NM_139352|455540_2_779_867
+MEPKRYSPIMHMMKSFHQIQMPISTYTTF
+>DECOY_NM_139352|455540_2_779_867
+FTTYTSIPMQIQHFSKMMHMIPSYRKPEM
+>NM_024812|455550_2_377_498
+MDCPPMVCPDLQPQVEYPTQRRRRTVRPSAQIPRASAQAL
+>DECOY_NM_024812|455550_2_377_498
+LAQASARPIQASPRVTRRRRQTPYEVQPQLDPCVMPPCDM
+>NM_016217|455597_2_358_578
+MEPGPWQRRARRERRPGGPWRRRPVAGRRRRARRAPEARRAPEARGLAPRTLRPPRGLRPRAMPKTKPHVPLP
+>DECOY_NM_016217|455597_2_358_578
+PLPVHPKTKPMARPRLGRPPRLTRPALGRAEPARRAEPARRARRRRGAVPRRRWPGGPRRERRARRQWPGPEM
+>NM_016217|455606_2_1684_1760
+MAAGTSWALCTPTTSWLPLHVVRPA
+>DECOY_NM_016217|455606_2_1684_1760
+APRVVHLPLWSTTPTCLAWSTGAAM
+>NM_015312|455741_2_241_518
+MAISILVPSLSLFFLEKSWFVKSITLQKTCLLGFKMDSSFFGGGKCITQNRSNMIQRQKPGYTSQSMTLNFMSIIARIFMDAFKSCLVWSQQ
+>DECOY_NM_015312|455741_2_241_518
+QQSWVLCSKFADMFIRAIISMFNLTMSQSTYGPKQRQIMNSRNQTICKGGGFFSSDMKFGLLCTKQLTISKVFWSKELFFLSLSPVLISIAM
+>NM_015312|455742_2_346_518
+MDSSFFGGGKCITQNRSNMIQRQKPGYTSQSMTLNFMSIIARIFMDAFKSCLVWSQQ
+>DECOY_NM_015312|455742_2_346_518
+QQSWVLCSKFADMFIRAIISMFNLTMSQSTYGPKQRQIMNSRNQTICKGGGFFSSDM
+>NM_015312|455747_2_1330_1406
+MVTLLLLRDNSYMWMPLPACNIGPF
+>DECOY_NM_015312|455747_2_1330_1406
+FPGINCAPLPMWMYSNDRLLLLTVM
+>NM_015312|455758_2_3865_3938
+MAKQVSLQKFQETALCLLILRISQ
+>DECOY_NM_015312|455758_2_3865_3938
+QSIRLILLCLATEQFKQLSVQKAM
+>NM_015312|455760_2_4375_4451
+MEKAFRIPELCHSKLILLRLHLFLR
+>DECOY_NM_015312|455760_2_4375_4451
+RLFLHLRLLILKSHCLEPIRFAKEM
+>NM_015312|455769_2_6586_6692
+MDLDWTMGVVFKVIPVLMEQNLSSMQPQSVNTQCY
+>DECOY_NM_015312|455769_2_6586_6692
+YCQTNVSQPQMSSLNQEMLVPIVKFVVGMTWDLDM
+>NM_015312|455770_2_6604_6692
+MGVVFKVIPVLMEQNLSSMQPQSVNTQCY
+>DECOY_NM_015312|455770_2_6604_6692
+YCQTNVSQPQMSSLNQEMLVPIVKFVVGM
+>NM_003169|455825_2_457_542
+MEASFWTRLMLTMSMRTRTSGRMEQRTF
+>DECOY_NM_003169|455825_2_457_542
+FTRQEMRGSTRTRMSMTLMLRTWFSAEM
+>NM_003169|455841_2_2626_3101
+MVAAPHTTAHRRPCMMAAALLPRVGPGTPTTPTRRHGLRKNMSMLSMMSPPRPRRPMGEPPIPKHLATQTPRPHRSTHNTTRRRQGRRPCTTQTSSLPMLPPPHKVPTSPAPAPRATTRWRQAQQATRIPTPQPATTLHRRPWPIRLAPARAPLATVL
+>DECOY_NM_003169|455841_2_2626_3101
+LVTALPARAPALRIPWPRRHLTTAPQPTPIRTAQQAQRWRTTARPAPAPSTPVKHPPPLMPLSSTQTTCPRRGQRRRTTNHTSRHPRPTQTALHKPIPPEGMPRRPRPPSMMSLMSMNKRLGHRRTPTTPTGPGVRPLLAAAMMCPRRHATTHPAAVM
+>NM_003169|455843_2_2671_3101
+MAAALLPRVGPGTPTTPTRRHGLRKNMSMLSMMSPPRPRRPMGEPPIPKHLATQTPRPHRSTHNTTRRRQGRRPCTTQTSSLPMLPPPHKVPTSPAPAPRATTRWRQAQQATRIPTPQPATTLHRRPWPIRLAPARAPLATVL
+>DECOY_NM_003169|455843_2_2671_3101
+LVTALPARAPALRIPWPRRHLTTAPQPTPIRTAQQAQRWRTTARPAPAPSTPVKHPPPLMPLSSTQTTCPRRGQRRRTTNHTSRHPRPTQTALHKPIPPEGMPRRPRPPSMMSLMSMNKRLGHRRTPTTPTGPGVRPLLAAAM
+>NM_001033|456012_2_338_441
+MAAKNESCLTKLHLESRSFVMDSIWILLILLRSP
+>DECOY_NM_001033|456012_2_338_441
+PSRLLILLIWISDMVFSRSELHLKTLCSENKAAM
+>NM_001033|456017_2_1928_2097
+MVLWKPAVTLPRSRAHTKPMRALQLAKEFFSMICGMLLLQTYGTGRFSRRRLQSMV
+>DECOY_NM_001033|456017_2_1928_2097
+VMSQLRRRSFRGTGYTQLLLMGCIMSFFEKALQLARMPKTHARSRPLTVAPKWLVM
+>NM_080386|456039_2_189_382
+MEFSPMAKCQVIKPLVAGTTPSTRSSVRLELASTCPEQCLWTWSPLWSMKCAQGPTGSSSTRSS
+>DECOY_NM_080386|456039_2_189_382
+SSRTSSSGTPGQACKMSWLPSWTWLCQEPCTSALELRVSSRTSPTTGAVLPKIVQCKAMPSFEM
+>NM_153697|456077_2_984_1063
+MEVKLTVWIRTATLLSMWLQDTVMSF
+>DECOY_NM_153697|456077_2_984_1063
+FSMVTDQLWMSLLTATRIWVTLKVEM
+>NM_153697|456083_2_1731_1885
+MGTIKPWKSFCSRWWTWTSGMRKAALLWIWLPLKDTQNVWKRLSIRAHPSL
+>DECOY_NM_153697|456083_2_1731_1885
+LSPHARISLRKWVNQTDKLPLWIWLLAAKRMGSTWTWWRSCFSKWPKITGM
+>NM_001255|456140_3_856_980
+MGCAAAETASKYDQSLCPSGLPKLEQLYPVQWFTFWPHPPP
+>DECOY_NM_001255|456140_3_856_980
+PPPHPWFTFWQVPYLQELKPLGSPCLSQDYKSATEAAACGM
+>NM_012219|456164_2_622_713
+MGVWAKVPSPSSFSRRSLCLTMTPPLKTPT
+>DECOY_NM_012219|456164_2_622_713
+TPTKLPPTMTLCLSRRSFSSPSPVKAWVGM
+>NM_012219|456165_2_817_923
+MASSSSTPSLTRPALSTWTASTSLSCASKTGSHSR
+>DECOY_NM_012219|456165_2_817_923
+RSHSGTKSACSLSTSATWTSLAPRTLSPTSSSSAM
+>NM_001113226|456187_2_2015_2298
+MAQDFVSVRLEQQGLSVMSVCREIPGTTAVNRMSATTSSCTARTEGRATTTCAACARPHTRASSARSCGARRLAAAAPTLARARPRTAPQRCCC
+>DECOY_NM_001113226|456187_2_2015_2298
+CCCRQPATRPRARALTPAAAALRRAGCSRASSARTHPRACAACTTTARGETRATCSSTTASMRNVATTGPIERCVSMVSLGQQELRVSVFDQAM
+>NM_033034|456225_2_549_676
+MERNFYSSVRRTGRSFAGFVSGLRSTVVTTRSPQRRLPRSTK
+>DECOY_NM_033034|456225_2_549_676
+KTSRPLRRQPSRTTVVTSRLGSVFGAFSRGTRRVSSYFNREM
+>NM_012288|456300_2_357_439
+MALRTWSQSCSTSSSPSSCMLWFRSTF
+>DECOY_NM_012288|456300_2_357_439
+FTSRFWLMCSSPSSSTSCSQSWTRLAM
+>NM_052896|456369_2_780_961
+MVTTFWKSLGQKAPPSGSPEPASQPPLSAARTGCDCTSHRMATTGSADSVPNTKSRSKLS
+>DECOY_NM_052896|456369_2_780_961
+SLKSRSKTNPVSDASGTTAMRHSTCDCGTRAASLPPQSAPEPSGSPPAKQGLSKWFTTVM
+>NM_052896|456374_2_1821_2122
+MATTSTVSGSSWPGLRAASTWPSTTLTWSLSLISWSSRMGPPPRRPSWAPSQETSFPPPSQAVATWPVSSSRLTTPQGRGASTSLLPPSDTTSARILAFQ
+>DECOY_NM_052896|456374_2_1821_2122
+QFALIRASTTDSPPLLSTSAGRGQPTTLRSSSVPWTAVAQSPPPFSTEQSPAWSPRRPPPGMRSSWSILSLSWTLTTSPWTSAARLGPWSSGSVTSTTAM
+>NM_052896|456375_2_1935_2122
+MGPPPRRPSWAPSQETSFPPPSQAVATWPVSSSRLTTPQGRGASTSLLPPSDTTSARILAFQ
+>DECOY_NM_052896|456375_2_1935_2122
+QFALIRASTTDSPPLLSTSAGRGQPTTLRSSSVPWTAVAQSPPPFSTEQSPAWSPRRPPPGM
+>NM_052896|456385_2_4206_4306
+MGVGVVTVGKPATPQCSSVTLATRCREVQRSAV
+>DECOY_NM_052896|456385_2_4206_4306
+VASRQVERCRTALTVSSCQPTAPKGVTVVGVGM
+>NM_052896|456391_2_5256_5554
+MARGWAVTSRWGPSSASNATPAMPCRGRQRSSASLCLGPWPNGMSQRPRVWCRVEATSQSAGAPSCPLASQSRTSTASTVCGRSWSPKALASRSKLSVL
+>DECOY_NM_052896|456391_2_5256_5554
+LVSLKSRSALAKPSWSRGCVTSATSTRSQSALPCSPAGASQSTAEVRCWVRPRQSMGNPWPGLCLSASSRQRGRCPMAPTANSASSPGWRSTVAWGRAM
+>NM_052896|456398_2_6522_6661
+MAPTGTGTTPCPSVKSLVAGTSLLPTALCTPRGSLARTPAPRTVSG
+>DECOY_NM_052896|456398_2_6522_6661
+GSVTRPAPTRALSGRPTCLATPLLSTGAVLSKVSPCPTTGTGTPAM
+>NM_052896|456399_2_6681_6880
+MASASTSACCRQSPLEISSPSGMGHSKQHHGSASSPGAWPRKQCRVHPTRSCSSSTVMQPQGGSSP
+>DECOY_NM_052896|456399_2_6681_6880
+PSSGGQPQMVTSSSCSRTPHVRCQKRPWAGPSSASGHHQKSHGMGSPSSIELPSQRCCASTSASAM
+>NM_052896|456400_2_6747_6880
+MGHSKQHHGSASSPGAWPRKQCRVHPTRSCSSSTVMQPQGGSSP
+>DECOY_NM_052896|456400_2_6747_6880
+PSSGGQPQMVTSSSCSRTPHVRCQKRPWAGPSSASGHHQKSHGM
+>NM_052896|456401_2_7644_7801
+MEWCLARSTQWEPRPCTAAVKATTSRQALRPLQSVWTQAYGATAMSHHSVSL
+>DECOY_NM_052896|456401_2_7644_7801
+LSVSHHSMATAGYAQTWVSQLPRLAQRSTTAKVAATCPRPEWQTSRALCWEM
+>NM_052896|456408_2_8751_8878
+MAWFSPALSSMSAGKDTTPQACSAVTARSMVPGQAVTLSASS
+>DECOY_NM_052896|456408_2_8751_8878
+SSASLTVAQGPVMSRATVASCAQPTTDKGASMSSLAPSFWAM
+>NM_052896|456410_2_9030_9130
+MEPSPSAKLSCASHLRSSPMGRWWGLTSCGAQV
+>DECOY_NM_052896|456410_2_9030_9130
+VQAGCSTLGWWRGMPSSRLHSACSLKASPSPEM
+>NM_052896|456412_2_9192_9400
+MGPGPESCLSVSLCSAGILVSRPVGGERTEASPTGHLSPSPAIPLWCWWALHAGFASQMGHGVAPSPAA
+>DECOY_NM_052896|456412_2_9192_9400
+AAPSPAVGHGMQSAFGAHLAWWCWLPIAPSPSLHGTPSAETREGGVPRSVLIGASCLSVSLCSEPGPGM
+>NM_052896|456429_3_5896_5999
+MELPSSTLYCTVWGNSGGDGGGDPEPRLPRQLPQ
+>DECOY_NM_052896|456429_3_5896_5999
+QPLQRPLRPEPDGGGDGGSNGWVTCYLTSSPLEM
+>NM_052896|456432_3_9025_9107
+MEWNQARLQSSHVQATSAHPQWEGGGV
+>DECOY_NM_052896|456432_3_9025_9107
+VGGGEWQPHASTAQVHSSQLRAQNWEM
+>NM_052896|456433_3_9373_9578
+MEWHPAQLHRSDPDHVCGPWCATVWDTEQFSGLPGWKHSPLPLSKRLPASGLHHQDLPPKPDLEWNPT
+>DECOY_NM_052896|456433_3_9373_9578
+TPNWELDPKPPLDQHHLGSAPLRKSLPLPSHKWGPLGSFQETDWVTACWPGCVHDPDSRHLQAPHWEM
+>NM_007325|456529_2_1731_1804
+MVQGIQRLKYGTAWLGNLSMGELI
+>DECOY_NM_007325|456529_2_1731_1804
+ILEGMSLNGLWATGYKLRQIGQVM
+>NM_005984|456549_2_347_558
+MASWACTAALAPCSTVPSPRRPSGLECSSSSATTCGMPRDGWTARVGCCAAWALAWPRPWWSCAPWRPSR
+>DECOY_NM_005984|456549_2_347_558
+RSPRWPACSWWPRPWALAWAACCGVRATWGDRPMGCTTASSSSCELGSPRRPSPVTSCPALAATCAWSAM
+>NM_181079|456632_3_1004_1164
+MGGCTLHWLQPGAGTLEPRGALHPGGVQLPPTTEPGQEAAAHGATRTSRAGGV
+>DECOY_NM_181079|456632_3_1004_1164
+VGGARSTRTAGHAAAEQGPETTPPLQVGGPHLAGRPELTGAGPQLWHLTCGGM
+>NM_145235|456650_2_699_778
+MALLGRLETWEAVQLCTGLQMEATAV
+>DECOY_NM_145235|456650_2_699_778
+VATAEMQLGTCLQVAEWTELRGLLAM
+>NM_022648|456692_2_549_757
+MEATTCCSTSLSGDLTSRSSMPRYWNLAGPTSTPQPWRRSAASVRPWTHGSMQTLTMSLFYTTRETEAG
+>DECOY_NM_022648|456692_2_549_757
+GAETERTTYFLSMTLTQMSGHTWPRVSAASRRWPQPTSTPGALNWYRPMSSRSTLDGSLSTSCCTTAEM
+>NM_022648|456699_2_1287_1480
+MAKWSLYFLMGQRKFKAWSTWRTGRACLWTITPPTPSSAGTPTTTSVGIEMTAWRRWWDTRRGH
+>DECOY_NM_022648|456699_2_1287_1480
+HGRRTDWWRRWATMEIGVSTTTPTGASSPTPPTITWLCARGTRWTSWAKFKRQGMLFYLSWKAM
+>NM_022648|456702_2_1923_2017
+MVTVRAAWAHSLLWTGSPTPVRGATQRPCPH
+>DECOY_NM_022648|456702_2_1923_2017
+HPCPRQTAGRVPTPSGTWLLSHAWAARVTVM
+>NM_022648|456707_2_3096_3352
+MDSMRTSLQKPHPLVALGFAPLSSVSPRSWLLPSLSILEGGPKSPICTATRRPSRRWREPPRAAHHPVGCGPPRVWPRHPCLLWA
+>DECOY_NM_022648|456707_2_3096_3352
+AWLLCPHRPWVRPPGCGVPHHAARPPERWRRSPRRTATCIPSKPGGELISLSPLLWSRPSVSSLPAFGLAVLPHPKQLSTRMSDM
+>NM_002272|456753_2_320_423
+MGLCQGPSPEMFQIFPFMPLQPSPAAPGHIRDEG
+>DECOY_NM_002272|456753_2_320_423
+GEDRIHGPAAPSPQLPMFPFIQFMEPSPGQCLGM
+>NM_003247|456776_2_1215_1432
+MAGSLRKMKRGWWTAAPRVPARNLKPFATKSPARLQPAPVHPLWKANAALPASTRWTVRRAGLRGQSGPSAP
+>DECOY_NM_003247|456776_2_1215_1432
+PASPGSQGRLGARRVTWRTSAPLAANAKWLPHVPAPQLRAPSKTAFPKLNRAPVRPAATWWGRKMKRLSGAM
+>NM_003247|456782_2_1998_2494
+MAPTVRTWTSVPWSPTSASPPARCLAVSTLSLASTACPARPDTEGTSPSGSAWKQPRRKSKCVSPKTHARTRHTTATSTRSASTWATSATPCTSASARQATRATGSSAGRTRTWTAGPTSIWSAPPTPPTTASRITAPICQILGRKTLTRTGLAMPVMMTMTMTV
+>DECOY_NM_003247|456782_2_1998_2494
+VTMTMTMMVPMALGTRTLTKRGLIQCIPATIRSATTPPTPPASWISTPGATWTRTRGASSGTARTAQRASASTCPTASTAWTSASRTSTATTHRTRAHTKPSVCKSKRRPQKWASGSPSTGETDPRAPCATSALSLTSVALCRAPPSASTPSWPVSTWTRVTPAM
+>NM_003247|456786_2_2625_2839
+MERVTPAPWTLMGTMSSMNETIVPTSTTLTRGTRMVTVWGITVTTAPWCTTLTRPTWTMTLLGTSVTTTRT
+>DECOY_NM_003247|456786_2_2625_2839
+TRTTTVSTGLLTMTWTPRTLTTCWPATTVTIGWVTVMRTGRTLTTSTPVITENMSSMTGMLTWPAPTVREM
+>NM_003247|456795_2_2955_3247
+MASPMTGTTAGLCSTQTRRTWTVMDGVIFVKMILTMTTSQILMMCVLKTMPSVRQTSGTSRWSPWIPKGPPKLIPTGSFAIKARSWFRQPTRTPASL
+>DECOY_NM_003247|456795_2_2955_3247
+LSAPTRTPQRFWSRAKIAFSGTPILKPPGKPIWPSWRSTGSTQRVSPMTKLVCMMLIQSTTMTLIMKVFIVGDMVTWTRRTQTSCLGATTGTMPSAM
+>NM_003247|456797_2_3024_3247
+MDGVIFVKMILTMTTSQILMMCVLKTMPSVRQTSGTSRWSPWIPKGPPKLIPTGSFAIKARSWFRQPTRTPASL
+>DECOY_NM_003247|456797_2_3024_3247
+LSAPTRTPQRFWSRAKIAFSGTPILKPPGKPIWPSWRSTGSTQRVSPMTKLVCMMLIQSTTMTLIMKVFIVGDM
+>NM_017739|456834_2_987_1159
+MEVYAAARTPHPSSSALTHSQTTRSSMCLWLSLQGTDPITCTGCCALCFQPRGCLLR
+>DECOY_NM_017739|456834_2_987_1159
+RLLCGRPQFCLACCGTCTIPDTGQLSLWLCMSSRTTQSHTLASSSPHPTRAAAYVEM
+>NM_024641|456858_2_873_985
+MEIIRPFTGTRRRLAMLFLCFMSMIPILPSLKNGPIC
+>DECOY_NM_024641|456858_2_873_985
+CIPGNKLSPLIPIMSMFCLFLMALRRRTGTFPRIIEM
+>NM_002285|456944_2_363_454
+MARLILVTLSSVSPTRLTRGMNSPTGSRTL
+>DECOY_NM_002285|456944_2_363_454
+LTRSGTPSNMGRTLRTPSVSSLTVLILRAM
+>NM_002285|456946_2_756_895
+MVLADSSLGPNKCAMWRWAFRPRRGHLPWRPSTAAADTVFRTFLHP
+>DECOY_NM_002285|456946_2_756_895
+PHLFTRFVTDAAATSPRWPLHGRRPRFAWRWMACKNPGLSSDALVM
+>NM_020235|457092_2_1711_1826
+MEMINPHPRRLAKRGSLRNLTLRASYIPLKPSQKETGA
+>DECOY_NM_020235|457092_2_1711_1826
+AGTEKQSPKLPIYSARLTLNRLSGRKALRRPHPNIMEM
+>NM_001080432|457446_2_445_548
+MAAYFGTWLGSKAKICSLRYLASSLVIQAAPTST
+>DECOY_NM_001080432|457446_2_445_548
+TSTPAAQIVLSSALYRLSCIKAKSGLWTGFYAAM
+>NM_001704|457562_2_995_1083
+MGEQNHVGSCIQNAPALSIWESGGSTTSR
+>DECOY_NM_001704|457562_2_995_1083
+RSTTSGGSEWISLAPANQICSGVHNQEGM
+>NM_001704|457565_2_1577_1689
+MEEGRVKDLKHIISLVILLFAQLMDSGKSGVRGASAQ
+>DECOY_NM_001704|457565_2_1577_1689
+QASAGRVGSKGSDMLQAFLLIVLSIIHKLDKVRGEEM
+>NM_001704|457574_2_3467_3561
+MALITTAGSLLKEDYSMLLWDLQPLLSWSTW
+>DECOY_NM_001704|457574_2_3467_3561
+WTSWSLLPQLDWLLMSYDEKLLSGATTILAM
+>NM_001704|457578_3_1488_1594
+MGGMVTMEFMFIYMWSRPKNKNKVMHTSSVWRKAV
+>DECOY_NM_001704|457578_3_1488_1594
+VAKRWVSSTHMVKNKNKPRSWMYIFMFEMTVMGGM
+>NM_001704|457579_3_1497_1594
+MVTMEFMFIYMWSRPKNKNKVMHTSSVWRKAV
+>DECOY_NM_001704|457579_3_1497_1594
+VAKRWVSSTHMVKNKNKPRSWMYIFMFEMTVM
+>NM_001704|457580_3_1506_1594
+MEFMFIYMWSRPKNKNKVMHTSSVWRKAV
+>DECOY_NM_001704|457580_3_1506_1594
+VAKRWVSSTHMVKNKNKPRSWMYIFMFEM
+>NM_017556|457735_2_978_1108
+MGDPSANPATRTHWRGAASVARWSGTTSSGPWARPSTPPASRV
+>DECOY_NM_017556|457735_2_978_1108
+VRSAPPTSPRAWPGSSTTGSWRAVSAAGRWHTRTAPNASPDGM
+>NM_017556|457737_2_1239_1360
+MGKMPSKSNAWEETSMKIATGVRTAGSSCLSSPRTKAATP
+>DECOY_NM_017556|457737_2_1239_1360
+PTAAKTRPSSLCSSGATRVGTAIKMSTEEWANSKSPMKGM
+>NM_022740|457949_3_2330_2457
+MAATDWSGHPHISAACHRDSRDHGRHPAAGGLEKYACSRKPL
+>DECOY_NM_022740|457949_3_2330_2457
+LPKRSCAYKELGGAAPHRGHDRSDRHCAASIHPHGSWDTAAM
+>NM_000855|458101_2_499_680
+MAAGARPGRWSPARPQLPLPPPRPRPRLLLPPPPLPLPGPGGCSAGGGSTWTRWARASAA
+>DECOY_NM_000855|458101_2_499_680
+AASARAWRTWTSGGGASCGGPGPLPLPPPPLLLRPRPRPPPLPLQPRAPSWRGPRAGAAM
+>NM_032865|458285_2_648_1183
+MAPSTAPAPLSPRPSAPFAVVASSFPETSPERHEAAVRASSSLGTRAGGTSALCPPQRVSPLDPQIPPASQSLAWGARPRAPMVWAPRWWLLQDWRSGWEAWPHSGAAGSLCCQPAQCLMSAICLEAASPSCTPATPAISHLPDPWKVQPTLPPASTALAQCPCVQDPVTSRLPETPP
+>DECOY_NM_032865|458285_2_648_1183
+PPTEPLRSTVPDQVCPCQALATSAPPLTPQVKWPDPLHSIAPTAPTCSPSAAELCIASMLCQAPQCCLSGAAGSHPWAEWGSRWDQLLWWRPAWVMPARPRAGWALSQSAPPIQPDLPSVRQPPCLASTGGARTGLSSSARVAAEHREPSTEPFSSAVVAFPASPRPSLPAPATSPAM
+>NM_000772|458314_2_594_712
+MERSFLEEEVFQWLKKLTKDLESFSAMERDGRRSGVSAS
+>DECOY_NM_000772|458314_2_594_712
+SASVGSRRGDREMASFSELDKTLKKLWQFVEEELFSREM
+>NM_000772|458317_3_682_818
+MEGDPAFLPHDSAEFWDGEEEHRGPCSRGSPLPCGGVEKNQCLTL
+>DECOY_NM_000772|458317_3_682_818
+LTLCQNKEVGGCPLPSGRSCPGRHEEEGDWFEASDHPLFAPDGEM
+>NM_012301|458510_2_1644_1840
+MEKWKQVMSLSILMKFVSLDTLMQMLSNFSSLFLLVRVSTWCCVVATLCPLILKTLLTAWCHPLQ
+>DECOY_NM_012301|458510_2_1644_1840
+QLPHCWATLLTKLILPCLTAVVCCWTSVRVLLFLSSFNSLMQMLTDLSVFKMLISLSMVQKWKEM
+>NM_000014|458558_2_1317_1558
+MALYSSLSTPPMLWVPLLLLGSITRIVVPVTATSGCQKNTKRHITLLILCSPQARALSTLSPCLMNYPVAILRQSRHIIF
+>DECOY_NM_000014|458558_2_1317_1558
+FIIHRSQRLIAVPYNMLCPSLTSLARAQPSCLILLTIHRKTNKQCGSTATVPVVIRTISGLLLLPVWLMPPTSLSSYLAM
+>NM_000014|458568_2_3177_3250
+MAPTAPLGSDMAGTRATPGSQPLF
+>DECOY_NM_000014|458568_2_3177_3250
+FLPQSGPTARTGAMDSGLPATPAM
+>NM_000014|458571_2_3531_3637
+MAAMYIPKHCWPMLLPWQVTRTRGRKYSSHLMRKL
+>DECOY_NM_000014|458571_2_3531_3637
+LKRMLHSSYKRGRTRTVQWPLLMPWCHKPIYMAAM
+>NM_000014|458578_3_643_800
+MAEFPVRGWPQAIFFSPLIRALPGLLQGGGTEEIRWKDRAPFHRGGICSSQV
+>DECOY_NM_000014|458578_3_643_800
+VQSSCIGGRHFPARDKWRIEETGGGQLLGPLARILPSFFIAQPWGRVPFEAM
+>NM_152570|458749_2_2043_2143
+MAPWKSALPRIKTAGCMFASLAMLLGMIPSQPP
+>DECOY_NM_152570|458749_2_2043_2143
+PPQSPIMGLLMALSAFMCGATKIRPLASKWPAM
+>NM_003291|458830_2_203_330
+MGGGCSSQSWTRGSTRGLRACRLQLMENQKSLISLIQQEVAM
+>DECOY_NM_003291|458830_2_203_330
+MAVEQQILSILSKQNEMLQLRCARLGRTSGRTWSQSSCGGGM
+>NM_003291|458831_2_359_444
+MVRLLAFQEECLRFLQAGQIPQANIILA
+>DECOY_NM_003291|458831_2_359_444
+ALIINAQPIQGAQLFRLCEEQFALLRVM
+>NM_003291|458832_2_449_609
+MAMTSILRHSRKGYRKNGRKKSGTLFTEWPLQKPVENRKNLMLPTTALLKQIN
+>DECOY_NM_003291|458832_2_449_609
+NIQKLLATTPLMLNKRNEVPKQLPWETFLTGSKKRGNKRYGKRSHRLISTMAM
+>NM_003291|458835_2_1742_1815
+MALALNLYFRRTQKTLKKYPFSFI
+>DECOY_NM_003291|458835_2_1742_1815
+IFSFPYKKLTKQTRRFYLNLALAM
+>NM_015198|459008_2_2964_3376
+MVPSGPVSLLPTTTGKIWQWEPLLGGRSLAHTGSCLLRTDLLLSTEAPVSHWFSLPRGIVFLWDRAVVSVESKAQVARRPAQHLSPDAHLMVQTHPLHTHLTLRPAAGSWSMALCAPQATASLPTLQEGLAQKATFS
+>DECOY_NM_015198|459008_2_2964_3376
+SFTAKQALGEQLTPLSATAQPACLAMSWSGAAPRLTLHTHLPHTQVMLHADPSLHQAPRRAVQAKSEVSVVARDWLFVIGRPLSFWHSVPAETSLLLDTRLLCSGTHALSRGGLLPEWQWIKGTTTPLLSVPGSPVM
+>NM_015198|459018_3_2956_3035
+MEGWCPVALCHSSQQPRGRFGSGSPS
+>DECOY_NM_015198|459018_3_2956_3035
+SPSGSGFRGRPQQSSHCLAVPCWGEM
+>NM_005077|459048_2_1087_1184
+MDLNFPMTSRKGRWMIRTPATMTVMVTKAMTT
+>DECOY_NM_005077|459048_2_1087_1184
+TTMAKTVMVTMTAPTRIMWRGKRSTMPFNLDM
+>NM_005077|459053_2_2320_2498
+MAPSSGRVVWTTQSGPGTCARGGSCSSTTSPPRSSPWGTAPPGSGWQWAWRAAMWRCCT
+>DECOY_NM_005077|459053_2_2320_2498
+TCCRWMAARWAWQWGSGPPATGWPSSRPPSTTSSCSGGRACTGPGSQTTWVVRGSSPAM
+>NM_000084|459145_2_2202_2278
+MGLLALPSFISRSILLHCHHTLHPL
+>DECOY_NM_000084|459145_2_2202_2278
+LPHLTHHCHLLISRSIFSPLALLGM
+>NM_015057|459274_2_1409_1545
+MALLSEYLPQALNLFYSKNCNLNWLENAYMPVVSHYSIWKRTCIL
+>DECOY_NM_015057|459274_2_1409_1545
+LICTRKWISYHSVVPMYANELWNLNCNKSYFLNLAQPLYESLLAM
+>NM_015057|459276_2_1775_1872
+MGAYSLQDLLVKEKMENQLRADGNPNLINLKR
+>DECOY_NM_015057|459276_2_1775_1872
+RKLNILNPNGDARLQNEMKEKVLLDQLSYAGM
+>NM_015057|459277_2_1916_2001
+MEVVLLFLKMENSTCLEKMPFTLIVQVW
+>DECOY_NM_015057|459277_2_1916_2001
+WVQVILTFPMKELCTSNEMKLFLLVVEM
+>NM_015057|459278_2_2309_2430
+MEPAVSVVDGQTESPEGSVVVVPENLVVLCVDVARPVQES
+>DECOY_NM_015057|459278_2_2309_2430
+SEQVPRAVDVCLVVLNEPVVVVSGEPSETQGDVVSVAPEM
+>NM_015057|459279_2_2714_2841
+MEVEKEAKRMQAKSQHTLQALCDLTVSSGQSKSAVDFTIQWF
+>DECOY_NM_015057|459279_2_2714_2841
+FWQITFDVASKSQGSSVTLDCLAQLTHQSKAQMRKAEKEVEM
+>NM_015057|459281_2_2897_2997
+MEMSTPGDVPLLFKHCQALAHKSLQAATIRQYF
+>DECOY_NM_015057|459281_2_2897_2997
+FYQRITAAQLSKHALAQCHKFLLPVDGPTSMEM
+>NM_015057|459292_2_4043_4197
+MGLFSSSRVQRNQIMVQMLMRVRYLSYYTDFQPVMAVLQKANSKPVNLYTF
+>DECOY_NM_015057|459292_2_4043_4197
+FTYLNVPKSNAKQLVAMVPQFDTYYSLYRVRMLMQVMIQNRQVRSSSFLGM
+>NM_015057|459293_2_4085_4197
+MVQMLMRVRYLSYYTDFQPVMAVLQKANSKPVNLYTF
+>DECOY_NM_015057|459293_2_4085_4197
+FTYLNVPKSNAKQLVAMVPQFDTYYSLYRVRMLMQVM
+>NM_015057|459302_2_7199_7296
+MGLIVQIGLQGLLDSTLFMLPLMALKSMLVWK
+>DECOY_NM_015057|459302_2_7199_7296
+KWVLMSKLAMLPLMFLTSDLLGQLGIQVILGM
+>NM_015057|459306_2_8096_8220
+MDSEIAKVIEETSQHLLNQPLHQENQSCPLNTADRLNLMDV
+>DECOY_NM_015057|459306_2_8096_8220
+VDMLNLRDATNLPCSQNEQHLPQNLLHQSTEEIVKAIESDM
+>NM_015057|459317_2_12731_12906
+MDNKNKCLCVITMMMVKLQQSFYAMSVEIYVQTVTDSFTFIEEPKLIKDRSSKKKKKL
+>DECOY_NM_015057|459317_2_12731_12906
+LKKKKKSSRDKILKPEEIFTFSDTVTQVYIEVSMAYFSQQLKVMMMTIVCLCKNKNDM
+>NM_015057|459320_2_12773_12906
+MVKLQQSFYAMSVEIYVQTVTDSFTFIEEPKLIKDRSSKKKKKL
+>DECOY_NM_015057|459320_2_12773_12906
+LKKKKKSSRDKILKPEEIFTFSDTVTQVYIEVSMAYFSQQLKVM
+>NM_015057|459328_2_13745_13857
+MAQTFWNINVATAVQWLFFSVLEQHIFVMLVMMIFKE
+>DECOY_NM_015057|459328_2_13745_13857
+EKFIMMVLMVFIHQELVSFFLWQVATAVNINWFTQAM
+>NM_004438|459372_2_1603_1724
+METSVSPWRLQPTQCLPGSLEMGLTPQSFWSLSRAVWCWW
+>DECOY_NM_004438|459372_2_1603_1724
+WWCWVARSLSWFSQPTLGMELSGPLCQTPQLRWPSVSTEM
+>NM_004438|459374_2_2152_2243
+MAPWMHSSGKMMADLQSFSWWACFVALGLG
+>DECOY_NM_004438|459374_2_2152_2243
+GLGLAVFCAWWSFSQLDAMMKGSSHMWPAM
+>NM_002430|459656_2_1883_1965
+MVCSLRGSVGPERCLWVWSPQWAPGTR
+>DECOY_NM_002430|459656_2_1883_1965
+RTGPAWQPSWVWLCREPGVSGRLSCVM
+>NM_015642|459728_2_1164_1372
+MAAASALFTAAQWSATTRLRSACPATTTWKTPAGSHASMSARSRWSATCPPPPRPRTAASSPGLCVSRP
+>DECOY_NM_015642|459728_2_1164_1372
+PRSVCLGPSSAATRPRPPPPCTASWRSRASMSAHSGAPTKWTTTAPCASRLRTTASWQAATFLASAAAM
+>NM_015642|459732_2_2466_2605
+MGPPLQAHPQVPALAPQAWWPARRGPLTSAPSAQQSLTKSSSSTTT
+>DECOY_NM_015642|459732_2_2466_2605
+TTTSSSSKTLSQQASPASTLPGRRAPWWAQPALAPVQPHAQLPPGM
+>NM_058172|459824_2_1904_1986
+MVVEGLEELKEWRFVGVIKDLLRKVQG
+>DECOY_NM_058172|459824_2_1904_1986
+GQVKRLLDKIVGVFRWEKLEELGEVVM
+>NM_020344|459902_2_1368_1483
+MEISPTTLKVQKPRPLMRRRTSLSALPGLLKPASKSRF
+>DECOY_NM_020344|459902_2_1368_1483
+FRSKSAPKLLGPLASLSTRRRMLPRPKQVKLTTPSIEM
+>NM_020344|459903_2_1863_1945
+MAFSVPSSFSSSCCSSSSSLSPSASGE
+>DECOY_NM_020344|459903_2_1863_1945
+EGSASPSLSSSSSCCSSSFSSPVSFAM
+>NM_001297|460022_2_456_892
+MAALGTQGAQMNPMRPLRPKTLGLGCGCFCGWSRIWKECFLSPPNPLRSGEMSLQLLQVLPQTQRLQDAPRKWGPSCRPGRPPPCPHPSPCSPRRNPRRHQLQSPSPAPRPRPPPCHQPGTLPGWWHGSCTGWRWPCRSQCYMGK
+>DECOY_NM_001297|460022_2_456_892
+KGMYCQSRCPWRWGTCSGHWWGPLTGPQHCPPPRPRPAPSPSQLQHRRPNRRPSCPSPHPCPPPRGPRCSPGWKRPADQLRQTQPLVQLLQLSMEGSRLPNPPSLFCEKWIRSWGCFCGCGLGLTKPRLPRMPNMQAGQTGLAAM
+>NM_001297|460038_3_835_908
+MGPAQAGDGLAAASATWENRGTGA
+>DECOY_NM_001297|460038_3_835_908
+AGTGRNEWTASAAALGDGAQAPGM
+>NM_001518|460204_2_1802_1878
+MESQGWKKSFKWAIELNLLLKDQNF
+>DECOY_NM_001518|460204_2_1802_1878
+FNQDKLLLNLEIAWKFSKKWGQSEM
+>NM_001126129|460227_3_435_523
+MAHCSLDLPGSRDPPTSATYVAGIAGFQA
+>DECOY_NM_001126129|460227_3_435_523
+AQFGAIGAVYTASTPPDRSGPLDLSCHAM
+>NM_170606|460398_2_346_530
+MALPLSREPERNLEVGGKLQWKMRTAWMGWRQQKQKRLWKQKSKNNLQKRMLKQKWITANS
+>DECOY_NM_170606|460398_2_346_530
+SNATIWKQKLMRKQLNNKSKQKWLRKQKQQRWGMWATRMKWQLKGGVELNREPERSLPLAM
+>NM_170606|460402_2_751_833
+MEPMRKCKTQHHENKEDREKNDLLSRI
+>DECOY_NM_170606|460402_2_751_833
+IRSLLDNKERDEKNEHHQTKCKRMPEM
+>NM_170606|460413_2_3907_4124
+MVKWMIVEKENLWIVMENQNLVLSGKLWMMKLREWKEQMVSKREKGNHTDQVLVDLWCGKEVELGKGKPKDL
+>DECOY_NM_170606|460413_2_3907_4124
+LDKPKGKGLEVEKGCWLDVLVQDTHNGKERKSVMQEKWERLKMMWLKGSLVLNQNEMVIWLNEKEVIMWKVM
+>NM_170606|460415_2_3952_4124
+MENQNLVLSGKLWMMKLREWKEQMVSKREKGNHTDQVLVDLWCGKEVELGKGKPKDL
+>DECOY_NM_170606|460415_2_3952_4124
+LDKPKGKGLEVEKGCWLDVLVQDTHNGKERKSVMQEKWERLKMMWLKGSLVLNQNEM
+>NM_170606|460427_2_5665_5762
+MEICLLHSHSIKNCLQNSHPVPLRLHLQMMCL
+>DECOY_NM_170606|460427_2_5665_5762
+LCMMQLHLRLPVPHSNQLCNKISHSHLLCIEM
+>NM_170606|460436_2_7684_7760
+MVPCRVKSASLCLLSKYRDLEFLHS
+>DECOY_NM_170606|460436_2_7684_7760
+SHLFELDRYKSLLCLSASKVRCPVM
+>NM_170606|460437_2_7987_8156
+METSFPGQTFRALDTQTPCDDLPRVYLISYLCTQIWNKCHHLNKSKVILSIHLLWS
+>DECOY_NM_170606|460437_2_7987_8156
+SWLLHISLIVKSKNLHHCKNWIQTCLYSILYVRPLDDCPTQTDLARFTQGPFSTEM
+>NM_170606|460439_2_8809_8933
+MEKRLLCILVIQIYLRKEPIEKLLAPVQMSFRHPLNYLLKM
+>DECOY_NM_170606|460439_2_8809_8933
+MKLLYNLPHRFSMQVPALLKEIPEKRLYIQIVLICLLRKEM
+>NM_170606|460449_2_12742_12869
+MVFLDIRILVMVLQKAQHSDHSGVVIVKWLFLEVVCGNLSKI
+>DECOY_NM_170606|460449_2_12742_12869
+IKSLNGCVVELFLWKVIVVGSHDSHQAKQLVMVLIRIDLFVM
+>NM_170606|460450_2_12772_12869
+MVLQKAQHSDHSGVVIVKWLFLEVVCGNLSKI
+>DECOY_NM_170606|460450_2_12772_12869
+IKSLNGCVVELFLWKVIVVGSHDSHQAKQLVM
+>NM_022479|460768_2_271_482
+MVGGVKGAFRLLFPRLKKKRLRDPMRSMATIHTSVKKFHWTVPFRIIVPPSVRSSSTPRTCPRYPSYSSS
+>DECOY_NM_022479|460768_2_271_482
+SSSYSPYRPCTRPTSSSRVSPPVIIRFPVTWHFKKVSTHITAMSRMPDRLRKKKLRPFLLRFAGKVGGVM
+>NM_022479|460770_2_352_482
+MATIHTSVKKFHWTVPFRIIVPPSVRSSSTPRTCPRYPSYSSS
+>DECOY_NM_022479|460770_2_352_482
+SSSYSPYRPCTRPTSSSRVSPPVIIRFPVTWHFKKVSTHITAM
+>NM_022479|460773_2_1483_1682
+MAGDHSLPATPRKASCTWVPWGPPHSSLTPAAWWTTPRVGCPSSWTATRSRAACTSAGTSSRMEPS
+>DECOY_NM_022479|460773_2_1483_1682
+SPEMRSSTGASTCAARSRTATWSSPCGVRPTTWWAAPTLSSHPPGWPVWTCSAKRPTAPLSHDGAM
+>NM_025154|460844_2_445_701
+MVILKVEIKLPFRETGMWEPPPPPRTTASPAATAACCPSARTCSRRTPRPPGPCREFILGTGIKNGRQPLECSGGWGLDGTSLLL
+>DECOY_NM_025154|460844_2_445_701
+LLLSTGDLGWGGSCELPQRGNKIGTGLIFERCPGPPRPTRRSCTRASPCCAATAAPSATTRPPPPPEWMGTERFPLKIEVKLIVM
+>NM_025154|460850_3_638_864
+MEGSLWSVLVAGDWMVPVCYFDFLAECVSSYQVPSKHLQVFSLAHPTLPFTSRSLLTGPGQFLFVLARVELGKHA
+>DECOY_NM_025154|460850_3_638_864
+AHKGLEVRALVFLFQGPGTLLSRSTFPLTPHALSFVQLHKSPVQYSSVCEALFDFYCVPVMWDGAVLVSWLSGEM
+>NM_001130965|460910_2_542_897
+MVILKVEIKLPFRETGMWEPPPPPRTTASPAATAACCPSARTCSRRTPRPPGPCREFILGTGIKNVTSCCRFCAGSELWARLCPGRRGRPFGWPWLLQGRQPLECSGGWGLDGTSLLL
+>DECOY_NM_001130965|460910_2_542_897
+LLLSTGDLGWGGSCELPQRGQLLWPWGFPRGRRGPCLRAWLESGACFRCCSTVNKIGTGLIFERCPGPPRPTRRSCTRASPCCAATAAPSATTRPPPPPEWMGTERFPLKIEVKLIVM
+>NM_005567|460966_2_240_343
+MVTCGWPMGAPPTRAAWRSSTEASGALCVTTCGT
+>DECOY_NM_005567|460966_2_240_343
+TGCTTVCLAGSAETSSRWAARTPPAGMPWGCTVM
+>NM_014048|461002_2_2547_2785
+MDLTHPTSLVHPRHPSNLSSSTLYLGVQSPRQKIPPAMRRPSSRHAAHRPLCQRFPTLTVSRWMTSLISSLRVERSPSP
+>DECOY_NM_014048|461002_2_2547_2785
+PSPSREVRLSSILSTMWRSVTLTPFRQCLPRHAAHRSSPRRMAPPIKQRPSQVGLYLTSSSLNSPHRPHVLSTPHTLDM
+>NM_020526|461066_2_1881_2170
+MDRHPHLSSCLCITPRESSQSPSSMRNPTPTRSQAGRAAVSLGRSRPLGSTSRKSSALETPGKSATGGCGCQGSGMCPWPSRPSKPATRRDRGGTS
+>DECOY_NM_020526|461066_2_1881_2170
+STGGRDRRTAPKSPRSPWPCMGSGQCGCGGTASKGPTELASSKRSTSGLPRSRGLSVAARGAQSRTPTPNRMSSPSQSSERPTICLCSSLHPHRDM
+>NM_003227|461103_3_1177_1364
+MAGEPPRLPLSPGPRATTAASGQQSQDLHPHQQHLRLHRRPLRARSLRCHRGPEGCMGPRSS
+>DECOY_NM_003227|461103_3_1177_1364
+SSRPGMCGEPGRHCRLSRARLPRRHLRLHQQHPHLDQSQQGSAATTARPGPSLPLRPPEGAM
+>NM_022748|461167_2_380_522
+MGWTSLTSRSASSLCPSLPAALRSPTCTTYRRSRACSSPSTGTTTWY
+>DECOY_NM_022748|461167_2_380_522
+YWTTTGTSPSSCARSRRYTTCTPSRLAAPLSPCLSSASRSTLSTWGM
+>NM_022748|461175_2_3554_3636
+MASCPTTFSRWRLDTAATTVQACRARV
+>DECOY_NM_022748|461175_2_3554_3636
+VRARCAQVTTAATDLRWRSFTTPCSAM
+>NM_022748|461177_2_4544_4680
+MALPQKSLDLWPGSRAVPRIMCATCLQSMTLSSLPVPLSTSYQRS
+>DECOY_NM_022748|461177_2_4544_4680
+SRQYSTSLPVPLSSLTMSQLCTACMIRPVARSGPWLDLSKQPLAM
+>NM_024337|461207_2_656_942
+MERSSAATPRATRRRPRTTRRSTWKASTLTRSTSTMATRATRMTRTRPRLRTRPQPLLLLPGTKARRWQQPTFSSPRTRPWAWQRRPQSRAARAC
+>DECOY_NM_024337|461207_2_656_942
+CARAARSQPRRQWAWPRTRPSSFTPQQWRRAKTGPLLLLPQPRTRLRPRTRTMRTARTAMTSTSRTLTSAKWTSRRTTRPRRRTARPTAASSREM
+>NM_024337|461208_2_761_942
+MATRATRMTRTRPRLRTRPQPLLLLPGTKARRWQQPTFSSPRTRPWAWQRRPQSRAARAC
+>DECOY_NM_024337|461208_2_761_942
+CARAARSQPRRQWAWPRTRPSSFTPQQWRRAKTGPLLLLPQPRTRLRPRTRTMRTARTAM
+>NM_024337|461213_3_627_742
+MGSAQQGPGRWSALRQRHRGRPGEGRGRRGDRPGKHRH
+>DECOY_NM_024337|461213_3_627_742
+HRHKGPRDGRRGRGEGPRGRHRQRLASWRGPGQQASGM
+>NM_002302|461229_2_316_419
+MAVDSTLLKEVRGLTRVWTSCALLDLLCTHHSLE
+>DECOY_NM_002302|461229_2_316_419
+ELSHHTCLLDLLACSTWVRTLGRVEKLLTSDVAM
+>NM_004132|461249_2_584_669
+MGLPAPGISGDPSSPVPVPTSSRGNSVK
+>DECOY_NM_004132|461249_2_584_669
+KVSNGRSSTPVPVPSSPDGSIGPAPLGM
+>NM_213653|461293_2_1070_1179
+MVLSMEVTDLGDPVCRFKLLTLGTMWRSKLPTLAQL
+>DECOY_NM_213653|461293_2_1070_1179
+LQALTPLKSRWMTGLTLLKFRCVPDGLDTVEMSLVM
+>NM_032664|461315_3_580_737
+MGIGQLPHYALVVPADGGDWEVRPMWSRCLFLHHQPDLPPSSHDQSIPLLWY
+>DECOY_NM_032664|461315_3_580_737
+YWLLPISQDHSSPPLDPQHHLFLCRSWMPRVEWDGGDAPVVLAYHPLQGIGM
+>NM_032664|461317_3_1585_1697
+MGGRRYPPELPRAHSVCFLTTPDSTFELFARDVDFQL
+>DECOY_NM_032664|461317_3_1585_1697
+LQFDVDRAFLEFTSDPTTLFCVSHARPLEPPYRRGGM
+>NM_006770|461470_2_688_920
+MEQQAPRDPKAHRESRERRASKDPRVLQGSKEPLAPQDPKERRAAKAMGVSLAQKGKLELRERKETWVSQEAKGTGA
+>DECOY_NM_006770|461470_2_688_920
+AGTGKAEQSVWTEKRERLELKGKQALSVGMAKAARREKPDQPALPEKSGQLVRPDKSARRERSERHAKPDRPAQQEM
+>NM_006770|461471_2_829_920
+MGVSLAQKGKLELRERKETWVSQEAKGTGA
+>DECOY_NM_006770|461471_2_829_920
+AGTGKAEQSVWTEKRERLELKGKQALSVGM
+>NM_018398|461516_2_748_827
+MESLPSTAGTENGTSRQQLLRKTWSF
+>DECOY_NM_018398|461516_2_748_827
+FSWTKRLLQQRSTGNETGATSPLSEM
+>NM_018398|461524_2_3214_3305
+MASILRRMQGSVGVRRVSKPRQSSFCSLCF
+>DECOY_NM_018398|461524_2_3214_3305
+FCLSCFSSQRPKSVRRVGVSGQMRRLISAM
+>NM_005891|461538_3_385_545
+MELPDDLWVRPKSCVPCSPVNRDRRLQHCGCRRHGKYEQGSSLGLLENRSKDR
+>DECOY_NM_005891|461538_3_385_545
+RDKSRNELLGLSSGQEYKGHRRCGCHQLRRDRNVPSCPVCSKPRVWLDDPLEM
+>NM_004437|461612_3_1592_1725
+MESLCRTSHVFQIDIYRHHSQKQISCARIQISIQWPDSSSDQAS
+>DECOY_NM_004437|461612_3_1592_1725
+SAQDSSSDPWQISIQIRACSIQKQSHHRYIDIQFVHSTRCLSEM
+>NM_024803|461631_2_122_285
+MESSQMALFLTLNRISWKMQKWSTQMHLSIPSSVRQELGSMCLEHSSWTWSQLL
+>DECOY_NM_024803|461631_2_122_285
+LLQSWTWSSHELCMSGLEQRVSSPISLHMQTSWKQMKWSIRNLTLFLAMQSSEM
+>NM_005578|461664_2_1105_1433
+MGMPPTRDAIMKATMQQGQAMGAEMTLTLPMVNKVTQIPGNGNQGTLLLEQGTRTLLGCIQSLVPRRPISQILFQPPVRHHCSQRVAIQGNWGLRQLPLHSAQRMSLST
+>DECOY_NM_005578|461664_2_1105_1433
+TSLSMRQASHLPLQRLGWNGQIAVRQSCHHRVPPQFLIQSIPRRPVLSQICGLLTRTGQELLLTGQNGNGPIQTVKNVMPLTLTMEAGMAQGQQMTAKMIADRTPPMGM
+>NM_005578|461668_2_1165_1433
+MGAEMTLTLPMVNKVTQIPGNGNQGTLLLEQGTRTLLGCIQSLVPRRPISQILFQPPVRHHCSQRVAIQGNWGLRQLPLHSAQRMSLST
+>DECOY_NM_005578|461668_2_1165_1433
+TSLSMRQASHLPLQRLGWNGQIAVRQSCHHRVPPQFLIQSIPRRPVLSQICGLLTRTGQELLLTGQNGNGPIQTVKNVMPLTLTMEAGM
+>NM_014943|461852_2_1516_1637
+MASAGPQKRWRRPGRRCSTAPSSQYPRPSLCCPPSWPPQR
+>DECOY_NM_014943|461852_2_1516_1637
+RQPPWSPPCCLSPRPYQSSPATSCRRGPRRWRKQPGASAM
+>NM_001025159|461894_2_741_868
+MGASATAGVSSPTARRSPTPEAAGTITAVSHWNWRTRLLGWV
+>DECOY_NM_001025159|461894_2_741_868
+VWGLLRTRWNWHSVATITGAAEPTPSRRATPSSVGATASAGM
+>NM_172347|461908_2_170_291
+MVPTALGVSSCPAPWRRRPSRAFTTGGCGRWVPWTPPQWT
+>DECOY_NM_172347|461908_2_170_291
+TWQPPTWPVWRGCGGTTFARSPRRRWPAPCSSVGLATPVM
+>NM_004389|461951_3_245_357
+MGPQKFGNPDANSGKAVGATCYTGDYTCQHKQQRPIW
+>DECOY_NM_004389|461951_3_245_357
+WIPRQQKHQCTYDGTYCTAGVAKGSNADPNGFKQPGM
+>NM_001931|461966_2_813_982
+MAGSGHCAAGPPVLGPRRGTAYCCSFWGRPAAAITVFPRIRRFHCLLFPPQCRQAP
+>DECOY_NM_001931|461966_2_813_982
+PAQRCQPPFLLCHFRRIRPFVTIAAAPRGWFSCCYATGRRPGLVPPGAACHGSGAM
+>NM_007249|462005_2_871_965
+MGEAMAKHKWTPEAYLPDKVKVTVMMMTCQM
+>DECOY_NM_007249|462005_2_871_965
+MQCTMMMVTVKVKDPLYAEPTWKHKAMAEGM
+>NM_007249|462006_2_883_965
+MAKHKWTPEAYLPDKVKVTVMMMTCQM
+>DECOY_NM_007249|462006_2_883_965
+MQCTMMMVTVKVKDPLYAEPTWKHKAM
+>NM_003302|462082_2_1035_1387
+MGLGLWPLIASFTWAALYVLHAGPSFAASISTPWRGGHIARAATWPPWRNVPRAPSPSWTGSCGLWGRPTTLAASPAWCVTAASTASPSQWMLRARSTALRTFTGSLPQDAQCAVGP
+>DECOY_NM_003302|462082_2_1035_1387
+PGVACQADQPLSGTFTRLATSRARLMWQSPSATSAATVCWAPSAALTTPRGWLGCSGTWSPSPARPVNRWPPWTAARAIHGGRWPTSISAAFSPGAHLVYLAAWTFSAILPWLGLGM
+>NM_015319|462115_2_2596_2756
+MEEQFPVTAQHMAVCLIAVALQERAEGIPALVPTPHGLAPFPRAARPIHNLGS
+>DECOY_NM_015319|462115_2_2596_2756
+SGLNHIPRAARPFPALGHPTPVLAPIGEAREQLAVAILCVAMHQATVPFQEEM
+>NM_015319|462131_3_4286_4386
+MDQPRRDHLQDLWFRGQEAGKPLGECVSPLCRA
+>DECOY_NM_015319|462131_3_4286_4386
+ARCLPSVCEGLPKGAEQGRFWLDQLHDRRPQDM
+>NM_080657|462242_2_641_756
+MVSIWTFSLSPVTALTRKSMSLLAVAKERRTMWKTFKS
+>DECOY_NM_080657|462242_2_641_756
+SKFTKWMTRREKAVALLSMSKRTLATVPSLSFTWISVM
+>NM_006461|462380_3_2053_2132
+MDSFAESVPTTHRETHSQEPASPAGT
+>DECOY_NM_006461|462380_3_2053_2132
+TGAPSAPEQSHTERHTTPVSEAFSDM
+>NM_018086|462753_2_1020_1210
+MGLLTSPVTAIRLLAILLRLLWGLGTALGGHRLRLQRTCLQEFLLPPPYPPPLFLATPTRAMV
+>DECOY_NM_018086|462753_2_1020_1210
+VMARTPTALFLPPPYPPPLLFEQLCTRQLRLRHGGLATGLGWLLRLLIALLRIATVPSTLLGM
+>NM_018086|462754_2_1308_1432
+MEITAMANRDLHRVLCTECPTTAFQTQIGGMALTEVLKHHP
+>DECOY_NM_018086|462754_2_1308_1432
+PHHKLVETLAMGGIQTQFATTPCETCLVRHLDRNAMATIEM
+>NM_018086|462755_2_1323_1432
+MANRDLHRVLCTECPTTAFQTQIGGMALTEVLKHHP
+>DECOY_NM_018086|462755_2_1323_1432
+PHHKLVETLAMGGIQTQFATTPCETCLVRHLDRNAM
+>NM_018086|462756_2_1599_1693
+MGTSTGSSSLTQCKALDSVQLPHPTTLWTSN
+>DECOY_NM_018086|462756_2_1599_1693
+NSTWLTTPHPLQVSDLAKCQTLSSSGTSTGM
+>NM_138353|462908_2_646_1091
+MASCCTPSTRWSTPSPPSSPPSSSRRTRWCCSTPATPWWPAPSPSTRQVTGVSAKSCMTTAPAPWRLPAPLSPRAQSCPLPSPASALRRPQPVLLGLLSPRPPLPKPRSLWLTSSAGPKRPRAGSLRKPGLPCAQDPLAAAAVRTLSP
+>DECOY_NM_138353|462908_2_646_1091
+PSLTRVAAAALPDQACPLGPKRLSGARPRKPGASSTLWLSRPKPLPPRPSLLGLLVPQPRRLASAPSPLPCSQARPSLPAPLRWPAPATTMCSKASVGTVQRTSPSPAPWWPTAPTSCCWRTRRSSSPPSSPPSPTSWRTSPTCCSAM
+>NM_025194|462958_2_1402_1523
+MAWCCRMARPSTRWKTSWLTLRAPPLWTARWAAGPIWKRS
+>DECOY_NM_025194|462958_2_1402_1523
+SRKWIPGAAWRATWLPPARLTLWSTKWRTSPRAMRCCWAM
+>NM_025194|462959_2_1420_1523
+MARPSTRWKTSWLTLRAPPLWTARWAAGPIWKRS
+>DECOY_NM_025194|462959_2_1420_1523
+SRKWIPGAAWRATWLPPARLTLWSTKWRTSPRAM
+>NM_001080458|462972_2_604_908
+MAKGTQRAARLPAPRRRRRAQASEACMEAAEAAAGARRWVAPALARIKCGATVRRSPASRSRAWRRSSTGRTMCRGPAGASWPRHSTCPKPPSRCGSRTGA
+>DECOY_NM_001080458|462972_2_604_908
+AGTRSGCRSPPKPCTSHRPWSAGAPGRCMTRGTSSRRWARSRSAPSRRVTAGCKIRALAPAVWRRAGAAAEAAEMCAESAQARRRRRPAPLRAARQTGKAM
+>NM_016604|463039_2_1134_1249
+MGAMEVRQAEGPGKEGMPVESQGWIREPSSHRLHLSPR
+>DECOY_NM_016604|463039_2_1134_1249
+RPSLHLRHSSPERIWGQSEVPMGEKGPGEAQRVEMAGM
+>NM_016604|463040_2_1143_1249
+MEVRQAEGPGKEGMPVESQGWIREPSSHRLHLSPR
+>DECOY_NM_016604|463040_2_1143_1249
+RPSLHLRHSSPERIWGQSEVPMGEKGPGEAQRVEM
+>NM_016604|463043_2_2364_2581
+MAAPARPPAASLSPLRCQLSPLAPQRRGQLWGLGSRTIPSSKPLVTSLAGTQAAFCPPRQIFHRRTKLLLKL
+>DECOY_NM_016604|463043_2_2364_2581
+LKLLLKTRRHFIQRPPCFAAQTGALSTVLPKSSPITRSGLGWLQGRRQPALPSLQCRLPSLSAAPPRAPAAM
+>NM_016604|463045_2_2901_3004
+MGHASMWHLICTSVVNAAWSGTGSLRNRSKMILL
+>DECOY_NM_016604|463045_2_2901_3004
+LLIMKSRNRLSGTGSWAANVVSTCILHWMSAHGM
+>NM_016604|463048_2_4359_4528
+MGGFCVSMTPATKTIGRSSGSVGSKVSQCWFRGYIKSSSLSSGSQKPLARNLETRM
+>DECOY_NM_016604|463048_2_4359_4528
+MRTELNRALPKQSGSSLSSSKIYGRFWCQSVKSGVSGSSRGITKTAPTMSVCFGGM
+>NM_016604|463050_2_4590_4690
+MVSRSYANDYGQKMGSQWCSNSRTGLLGKIFET
+>DECOY_NM_016604|463050_2_4590_4690
+TEFIKGLLGTRSNSCWQSGMKQGYDNAYSRSVM
+>NM_016604|463053_2_5013_5230
+MEKRSQVLYGTSMQPRMQRRSGSCSERLEKNKAKRTPLIMTQFMTKVGTWTRPSVSDSMRSMACKAGLLCSS
+>DECOY_NM_016604|463053_2_5013_5230
+SSCLLGAKCAMSRMSDSVSPRTWTGVKTMFQTMILPTRKAKNKELRESCSGSRRQMRPQMSTGYLVQSRKEM
+>NM_019030|463091_2_2068_2171
+MDLEEGIPCVDIRSGWNLELVNLPGYSIVQQGFC
+>DECOY_NM_019030|463091_2_2068_2171
+CFGQQVISYGPLNVLELNWGSRIDVCPIGEELDM
+>NM_019030|463092_2_3079_3188
+MASVSECTQEKDLKALWIILFLKSYVYLWRNYAFIL
+>DECOY_NM_019030|463092_2_3079_3188
+LIFAYNRWLYVYSKLFLIIWLAKLDKEQTCESVSAM
+>NM_024794|463119_2_609_688
+MAPRMHLGMWTATQSTCCWWTSKMSS
+>DECOY_NM_024794|463119_2_609_688
+SSMKSTWWCCTSQTATWMGLHMRPAM
+>NM_015015|463219_2_2540_2652
+MASVPSWSMKAGRVPGARPTPGLRSAACATCEEVRCR
+>DECOY_NM_015015|463219_2_2540_2652
+RCRVEECTACAASRLGPTPRAGPVRGAKMSWSPVSAM
+>NM_015015|463221_2_3086_3273
+MAPTATTCTLRASRVGTVSSWDPLPRGSWWSSGGLTATSTRPSSSPPSPATSTRWSLRTGPS
+>DECOY_NM_015015|463221_2_3086_3273
+SPGTRLSWRTSTAPSPPSSSPRTSTATLGGSSWWSGRPLPDWSSVTGVRSARLTCTTATPAM
+>NM_018039|463249_2_1487_1623
+MASAWNAWPGSSSQAVPGVVGPSCGTRWPSSRLQFSRKMGFPSIA
+>DECOY_NM_018039|463249_2_1487_1623
+AISPFGMKRSFQLRSSPWRTGCSPGVVGPVAQSSSGPWANWASAM
+>NM_018039|463252_2_1685_1782
+MVSTAQRPSILPLRDGLIMAKWPPSVAVGRQG
+>DECOY_NM_018039|463252_2_1685_1782
+GQRGVAVSPPWKAMILGDRLPLISPRQATSVM
+>NM_018039|463255_3_1284_1735
+MESWAPGNNSGPAGKGMWGCHRRRQYTLLVLWHVENHVCLAYRGHGPLQHQLPAPWGAQNLVCGAPRTWPAPGTPGQGALPRQFPGLWGLPAAQGGPHLAYSSQGKWDSLQSHNSGGWRVHGDLSLWLPCWLQPWFQLRRGHQFCHSAMD
+>DECOY_NM_018039|463255_3_1284_1735
+DMASHCFQHGRRLQFWPQLWCPLWLSLDGHVRWGGSNHSQLSDWKGQSSYALHPGGQAAPLGWLGPFQRPLAGQGPTGPAPWTRPAGCVLNQAGWPAPLQHQLPGHGRYALCVHNEVHWLVLLTYQRRRHCGWMGKGAPGSNNGPAWSEM
+>NM_002115|463286_2_2253_2341
+MALWPCSAPALMQVWTRRPSTPASRGLKR
+>DECOY_NM_002115|463286_2_2253_2341
+RKLGRSAPTSPRRTWVQMLAPASCPWLAM
+>NM_172069|463329_2_1608_1753
+MDYFPMTPWTLQIQMTRNTVTQQRRWHTANLQLLPCTVFLLGKAEFML
+>DECOY_NM_172069|463329_2_1608_1753
+LMFEAKGLLFVTCPLLQLNATHWRRQQTVTNRTMQIQLTWPTMPFYDM
+>NM_172069|463338_2_3363_3466
+MVTEKQDPQGWKFFQLFSETLITILCPLVYLCTS
+>DECOY_NM_172069|463338_2_3363_3466
+STCLYVLPCLITILTESFLQFFKWGQPDQKETVM
+>NM_000476|463358_2_247_425
+MATPTSPPGTSCGPRSAQARPGARSCRKSWRRGSWFHWRQCWTCSGMPWWPKSILPKAS
+>DECOY_NM_000476|463358_2_247_425
+SAKPLISKPWWPMGSCTWCQRWHFWSGRRWSKRCSRAGPRAQASRPGCSTGPPSTPTAM
+>NM_001042368|463470_2_612_856
+MVDPRTNLKMPSPPSWAPGWTSTRRISVNLRTFPASSSWWPTCSSTCQAQTWSAVPTFSWPSWSTRNPLRQSLRLCHQCQL
+>DECOY_NM_001042368|463470_2_612_856
+LQCQHCLRLSQRLPNRTSWSPWSFTPVASWTQAQCTSSCTPWWSSSAPFTRLNVSIRRTSTWGPAWSPPSPMKLNTRPDVM
+>NM_031308|463579_2_1775_1884
+MDRPQPRMWAAWPRRRGTCRVRAALLACCSLAPRNA
+>DECOY_NM_031308|463579_2_1775_1884
+ANRPALSCCALLAARVRCTGRRRPWAAWMRPQPRDM
+>NM_031308|463586_2_3431_3540
+MAHPSGTCSAPATSPRSNGGACWRTCRRGGPLCHSC
+>DECOY_NM_031308|463586_2_3431_3540
+CSHCLPGGRRCTRWCAGGNSRPSTAPASCTGSPHAM
+>NM_031308|463588_2_3815_3891
+MASCPQAWARGCWKPRWHLASLLTP
+>DECOY_NM_031308|463588_2_3815_3891
+PTLLSALHWRPKWCGRAWAQPCSAM
+>NM_031308|463590_2_5462_5574
+MEPRVGAWRNCWKSSPRQLKKQRRKTKASKWRPSEGR
+>DECOY_NM_031308|463590_2_5462_5574
+RGESPRWKSAKTKRRQKKLQRPSSKWCNRWAGVRPEM
+>NM_000836|463621_2_1100_1182
+MVSFLSSATTVAPRTAPTAARVCIGTS
+>DECOY_NM_000836|463621_2_1100_1182
+STGICVRAATPATRPAVTTASSLFSVM
+>NM_000836|463622_2_1343_1563
+MVASCSQWTTRSTSRWPRWRKGRLSSWSLQTLSAAPASETPSPAGASSTEPTALHRMPPARKSAAARVSASTF
+>DECOY_NM_000836|463622_2_1343_1563
+FTSASVRAAASKRAPPMRHLATPETSSAGAPSPTESAPAASLTQLSWSSLRGKRWRPWRSTSRTTWQSCSAVM
+>NM_000836|463624_2_2453_2592
+MASPCTRAPAGSGPSTWRCCSSWGMMRSRCWSGCGSLGSATMTKSR
+>DECOY_NM_000836|463624_2_2453_2592
+RSKTMTASGLSGCGSWCRSRMMGWSSCCRWTSPGSGAPARTCPSAM
+>NM_001003931|463642_2_1516_1652
+MAPTWPWWPPSSLVGSASCHILVGVLARASTLPQRTASQLDMLLA
+>DECOY_NM_001003931|463642_2_1516_1652
+ALLMDLQSATRQPLTSARALVGVLIHCSASGVLSSPPWWPWTPAM
+>NM_178831|463701_3_255_391
+MELHILEHRLQVASVAKESIPLFTYGPDQTCLPVLQDQVQVLQSD
+>DECOY_NM_178831|463701_3_255_391
+DSQLVQVQDQLVPLCTQDPGYTFLPISEKAVSAVQLRHELIHLEM
+>NM_005097|463718_3_1712_1791
+MGRLLVPGYSEDAIARIHGVPASSNK
+>DECOY_NM_005097|463718_3_1712_1791
+KNSSAPVGHIRAIADESYGPVLLRGM
+>NM_001109659|463759_3_21_139
+MGAGVGVAGCTRGHRNCTQTQACHGVSPGDRVSALLRQT
+>DECOY_NM_001109659|463759_3_21_139
+TQRLLASVRDGPSVGHCAQTQTCNRHGRTCGAVGVGAGM
+>NM_000436|463803_2_812_897
+MGPWYKKEDRPSNTTKMAVLPLPVSQER
+>DECOY_NM_000436|463803_2_812_897
+REQSVPLPLVAMKTTNSPRDEKKYWPGM
+>NM_000436|463805_2_1364_1494
+MEFWVWVHIHDNMKLMQISSMQARKQLLFFQEPLFSPAMNHLQ
+>DECOY_NM_000436|463805_2_1364_1494
+QLHNMAPSFLPEQFFLLQKRAQMSSIQMLKMNDHIHVWVWFEM
+>NM_015346|463976_2_1358_1605
+MGCGLTWRSWSGAYSRAATPYQREICCIIYTVETATQCSTLSITLQTFQPSGRKMFSSSYRKCQPRTPSKSLMQLMLQSLST
+>DECOY_NM_015346|463976_2_1358_1605
+TSLSQLMLQMLSKSPTRPQCKRYSSSFMKRGSPQFTQLTISLTSCQTATEVTYIICCIERQYPTAARSYAGSWSRWTLGCGM
+>NM_015346|463990_3_222_445
+MGAGTGMCTSATGGTRGYPKEGRRHTSGIGGVSKSAEMWAGHQPSKSSLGLASCTGEMVGPGKEVTPSCFPEKA
+>DECOY_NM_015346|463990_3_222_445
+AKEPFCSPTVEKGPGVMEGTCSALGLSSKSPQHGAWMEASKSVGGIGSTHRRGEKPYGRTGGTASTCMGTGAGM
+>NM_030957|464068_2_1253_1356
+MAMPFQRTVWLTMTQQCSSHAMTSASTRTNPAAH
+>DECOY_NM_030957|464068_2_1253_1356
+HAAPNTRTSASTMAHSSCQQTMTLWVTRQFPMAM
+>NM_022765|464104_2_1456_1571
+MAPACCWDWWGTAWWSPSGPWALEWHGASWQPLMQPGW
+>DECOY_NM_022765|464104_2_1456_1571
+WGPQMLPQWSAGHWELAWPGSPSWWATGWWDWCCAPAM
+>NM_022765|464108_2_2536_3020
+MDISTASSTCPRQTTKRKAAIEALRVRSSPHQVRIACHQASQLPQPRRRGPVLFQIPASPPVGRSASPARSASGCPPLTLPLTRKWSLHPSLPAAAPPWPATPWRAALWAGACQSRALKLLWPWRRRKKRVPSPVKRKKKMCLWTQMWNRPCRPLPRPQAP
+>DECOY_NM_022765|464108_2_2536_3020
+PAQPRPLPRCPRNWMQTWLCMKKKRKVPSPVRKKRRRWPWLLKLARSQCAGAWLAARWPTAPWPPAAAPLSPHLSWKRTLPLTLPPCGSASRAPSASRGVPPSAPIQFLVPGRRRPQPLQSAQHCAIRVQHPSSRVRLAEIAAKRKTTQRPCTSSATSIDM
+>NM_022765|464110_3_3035_3120
+MASDSAAPCEGGGDEEVLQGPDHPTATK
+>DECOY_NM_022765|464110_3_3035_3120
+KTATPHDPGQLVEEDGGGECPAASDSAM
+>NM_022766|464164_3_328_557
+MAENGKALRFYSSLCKESTTAPLEVGAGDFLVSRGAAVSLVAADPAGDAGEADVQTKAFTGIYQPVWRKRTRQADI
+>DECOY_NM_022766|464164_3_328_557
+IDAQRTRKRWVPQYIGTFAKTQVDAEGADGAPDAAVLSVAAGRSVLFDGAGVELPATTSEKCLSSYFRLAKGNEAM
+>NM_001014979|464176_2_1317_1465
+MESGGLLSASTSSKRNSCWPWKTTWSTLTSATSSSINTSSHPRCGWICL
+>DECOY_NM_001014979|464176_2_1317_1465
+LCIWGCRPHSSTNISSSTASTLTSWTTKWPWCSNRKSSTSASLLGGSEM
+>NM_020184|464201_2_978_1120
+MGWLWVPTPSFSPNSLCYSPSPSVFPLASSWTFFWARRFALFTTGRS
+>DECOY_NM_020184|464201_2_978_1120
+SRGTTFLAFRRAWFFTWSSALPFVSPSPSYCLSNPSFSPTPVWLWGM
+>NM_032683|464216_2_173_375
+MACASPGRSAPGPARFSTHGAPRACLRWAAAWVPSCTTGTCRWTAYSLRLASEASQMSSRRSSWISW
+>DECOY_NM_032683|464216_2_173_375
+WSIWSSRRSSMQSAESALRLSYATWRCTGTTCSPVWAAAWRLCARPAGHTSFRAPGPASRGPSACAM
+>NM_005056|464330_3_1868_1941
+MVWCAISCCRATGGGDERAGPRVI
+>DECOY_NM_005056|464330_3_1868_1941
+IVRPGAREDGGGTARCCSIACWVM
+>NM_001042603|464412_2_5248_5330
+MVAVMSGFIKFVWVYLQKWLKMKITSV
+>DECOY_NM_001042603|464412_2_5248_5330
+VSTIKMKLWKQLYVWVFKIFGSMVAVM
+>NM_001033857|464446_2_552_718
+MELSCTQETSDWRKEKLLEWSFCTPGAESKTSKVYIWILRSVIQDFTKFQVGRSV
+>DECOY_NM_001033857|464446_2_552_718
+VSRGVQFKTFDQIVSRLIWIYVKSTKSEAGPTCFSWELLKEKRWDSTEQTCSLEM
+>NM_173207|464598_2_473_549
+MAKIQISSQFPAVGPRFLKRALWSP
+>DECOY_NM_173207|464598_2_473_549
+PSWLARKLFRPGVAPFQSSIQIKAM
+>NM_020655|464663_2_333_733
+MASAPAPRAKANTPARGATASRCWASTPGPAATRTRAPGRRASATASAWRARGSGCTRASGRTDSRGATGCGSARATGPNTKGPGATGCRTATGPRPTRTEGPTRASGSVACARATASGRASRMAWPRSSAHP
+>DECOY_NM_020655|464663_2_333_733
+PHASSRPWAMRSARGSATARACAVSGSARTPGETRTPRPGTATRCGTAGPGKTNPGTARASGCGTAGRSDTRGSARTCGSGRARWASATASARRGPARTRTAAPGPTSAWCRSATAGRAPTNAKARPAPASAM
+>NM_022113|464767_2_2986_3059
+MAAPSGRSILFMLRQEHCMTGGMK
+>DECOY_NM_022113|464767_2_2986_3059
+KMGGTMCHEQRLMFLISRGSPAAM
+>NM_009587|464808_2_320_453
+MEGTWCATRGRTEAGGPRRGRHTCLSRRGCPLTSASWCRAQISR
+>DECOY_NM_009587|464808_2_320_453
+RSIQARCWSASTLPCGRRSLCTHRGRRPGGAETRGRTACWTGEM
+>NM_020919|464855_2_531_607
+MVRSTALGLFPGEVDQWRFVQVAPF
+>DECOY_NM_020919|464855_2_531_607
+FPAVQVFRWQDVEGPFLGLATSRVM
+>NM_020919|464867_2_3843_3976
+MVSLMISLGGKSIWECGKMMCVKGMVWWLPSLDYTTRATFTLIK
+>DECOY_NM_020919|464867_2_3843_3976
+KILTFTARTTYDLSPLWWVMGKVCMMKGCEWISKGGLSIMLSVM
+>NM_020919|464871_2_3984_4066
+MGFCFPKMILSMKENFQMTGLLVEREH
+>DECOY_NM_020919|464871_2_3984_4066
+HEREVLLGTMQFNEKMSLIMKPFCFGM
+>NM_020919|464877_3_874_1085
+MGYRLSVGSHYHCLPSDKAAKGRTSCWASGASSCLWCFPQLSPCTMPPFPGSEASPRTMQPVQPALDYYD
+>DECOY_NM_020919|464877_3_874_1085
+DYYDLAPQVPQMTRPSAESGPFPPMTCPSLQPFCWLCSSAGSAWCSTRGKAAKDSPLCHYHSGVSLRYGM
+>NM_020919|464880_3_4306_4451
+MGQYCCGLDHQSAPAQRQSRNTESFTDSDTREFGIHSTACWCLLCGEI
+>DECOY_NM_020919|464880_3_4306_4451
+IEGCLLCWCATSHIGFERTDSDTFSETNRSQRQAPASQHDLGCCYQGM
+>NM_000195|464977_2_472_614
+MATSCMSFTCLENACSLPSMVTTPRARGTCGGSCMCSSTCLKCTLGW
+>DECOY_NM_000195|464977_2_472_614
+WGLTCKLCTSSCMCSGGCTGRARPTTVMSPLSCANELCTFSMCSTAM
+>NM_006109|465122_3_1314_1393
+MGKPSDRSLIRHEGMGGSRESRHHCQ
+>DECOY_NM_006109|465122_3_1314_1393
+QCHHRSERSGGMGEHRILSRDSPKGM
+>NM_005093|465139_2_811_893
+MVLPPPHHLHSQISNCQPLVVLDNSAS
+>DECOY_NM_005093|465139_2_811_893
+SASNDLVVLPQCNSIQSHLHHPPPLVM
+>NM_005093|465140_2_1231_1370
+MGRGPVQRGEKRIVLIETQLLLSLLPREYVPSALLLGTVLLSLCPS
+>DECOY_NM_005093|465140_2_1231_1370
+SPCLSLLVTGLLLASPVYERPLLSLLLQTEILVIRKEGRQVPGRGM
+>NM_006691|465260_2_545_627
+MAGLEMDSWSSLGLAQTPSVGKMGWVS
+>DECOY_NM_006691|465260_2_545_627
+SVWGMKGVSPTQALGLSSWSDMELGAM
+>NM_012473|465313_2_273_376
+MDLTFKTEWSTVRHQWLWISTHSGVDPARSWGRG
+>DECOY_NM_012473|465313_2_273_376
+GRGWSRAPDVGSHTSIWLWQHRVTSWETKFTLDM
+>NM_015243|465368_2_391_539
+MGYRMTMKAVVLILPTVVLLRAQNHQSNRGECSRLLLQILTYHQVMCRV
+>DECOY_NM_015243|465368_2_391_539
+VRCMVQHYTLIQLLLRSCEGRNSQHNQARLLVVTPLILVVAKMTMRYGM
+>NM_015243|465375_3_200_300
+MGWRRGTQQARVKVGCAGTGTEITIHFFKWTYS
+>DECOY_NM_015243|465375_3_200_300
+SYTWKFFHITIETGTGACGVKVRAQQTGRRWGM
+>NM_004801|465474_2_3191_3480
+MENGIMWTSRETDGQVPFLSTRCVLPTLLLVRVRFWTWMMSCTWGGCQKIKLALSSPPRCGLLCSTMATWAASGICSSMAKAKISGKWLKFKVLLE
+>DECOY_NM_004801|465474_2_3191_3480
+ELLVKFKLWKGSIKAKAMSSCIGSAAWTAMTSCLLGCRPPSSLALKIKQCGGWTCSMMWTWFRVRVLLLTPLVCRTSLFPVQGDTERSTWMIGNEM
+>NM_004801|465478_2_3389_3480
+MATWAASGICSSMAKAKISGKWLKFKVLLE
+>DECOY_NM_004801|465478_2_3389_3480
+ELLVKFKLWKGSIKAKAMSSCIGSAAWTAM
+>NM_004801|465479_2_3539_3633
+MACAGMGGTDMSVIVPEQAILAGPVRERQRF
+>DECOY_NM_004801|465479_2_3539_3633
+FRQRERVPGALIAQEPVIVSMDTGGMGACAM
+>NM_004801|465480_2_3554_3633
+MGGTDMSVIVPEQAILAGPVRERQRF
+>DECOY_NM_004801|465480_2_3554_3633
+FRQRERVPGALIAQEPVIVSMDTGGM
+>NM_004801|465494_3_3198_3283
+MVSCGLPERRTVRYHFCQHVAYSLHCSW
+>DECOY_NM_004801|465494_3_3198_3283
+WSCHLSYAVHQCFHYRVTRREPLGCSVM
+>NM_006587|465561_2_961_1094
+MATTTVTTGVTRLIATAARICFTVTQASALITALCVMDMMTVGI
+>DECOY_NM_006587|465561_2_961_1094
+IGVTMMDMVCLATILASAQTVTFCIRAATAILRTVGTTVTTTAM
+>NM_006587|465563_2_1180_1439
+MVTTTVWISLTRSTAPVTARVWWNAEMDNVSPARFNVMVTRTARMGVMRRTAASFRLHVKKETKDASTIPALIHVVVALSVTRTTV
+>DECOY_NM_006587|465563_2_1180_1439
+VTTRTVSLAVVVHILAPITSADKTEKKVHLRFSAATRRMVGMRATRTVMVNFRAPSVNDMEANWWVRATVPATSRTLSIWVTTTVM
+>NM_006587|465564_2_1258_1439
+MDNVSPARFNVMVTRTARMGVMRRTAASFRLHVKKETKDASTIPALIHVVVALSVTRTTV
+>DECOY_NM_006587|465564_2_1258_1439
+VTTRTVSLAVVVHILAPITSADKTEKKVHLRFSAATRRMVGMRATRTVMVNFRAPSVNDM
+>NM_006587|465565_2_1291_1439
+MVTRTARMGVMRRTAASFRLHVKKETKDASTIPALIHVVVALSVTRTTV
+>DECOY_NM_006587|465565_2_1291_1439
+VTTRTVSLAVVVHILAPITSADKTEKKVHLRFSAATRRMVGMRATRTVM
+>NM_006587|465566_2_1312_1439
+MGVMRRTAASFRLHVKKETKDASTIPALIHVVVALSVTRTTV
+>DECOY_NM_006587|465566_2_1312_1439
+VTTRTVSLAVVVHILAPITSADKTEKKVHLRFSAATRRMVGM
+>NM_006587|465570_2_1891_1985
+MARPTVTMTVMRKTVVVKREIFGNVHPINNV
+>DECOY_NM_006587|465570_2_1891_1985
+VNNIPHVNGFIERKVVVTKRMVTMTVTPRAM
+>NM_006587|465572_2_2005_2162
+MGSQTALITWTRKTAHFAKMMSWNVQTMRVCHVTCGVMVKPTAQTVQMNGTV
+>DECOY_NM_006587|465572_2_2005_2162
+VTGNMQVTQATPKVMVGCTVHCVRMTQVNWSMMKAFHATKRTWTILATQSGM
+>NM_001112800|465652_2_1366_1463
+MAQQMLGLIMNLLKELWCLSLVIPRRKSEWVS
+>DECOY_NM_001112800|465652_2_1366_1463
+SVWESKRRPIVLSLCWLEKLLNMILGLMQQAM
+>NM_001614|465691_2_108_268
+MAPACAKLVLLGTTLPEPCFLPSSGAPDTRASWWAWARRTPTWATRPRASVAS
+>DECOY_NM_001614|465691_2_108_268
+SAVSARPRTAWTPTRRAWAWWSARTDPAGSSPLFCPEPLTTGLLVLKACAPAM
+>NM_001614|465692_2_291_388
+MASSPTGTTWRRSGTTPSTTSCAWPRRSTQCC
+>DECOY_NM_001614|465692_2_291_388
+CCQTSRRPWACSTTSPTTGSRRWTTGTPSSAM
+>NM_015097|465945_2_1570_1700
+MALKPLYLHFLISSPIVQKSWQLLDVQQSDLSFGILMYPDLYL
+>DECOY_NM_015097|465945_2_1570_1700
+LYLDPYMLIGFSLDSQQVDLLQWSKQVIPSSILFHLYLPKLAM
+>NM_015097|465954_2_3595_3671
+MVLPSFFIITFETLAMEPRVPWGVL
+>DECOY_NM_015097|465954_2_3595_3671
+LVGWPVRPEMALTEFTIIFFSPLVM
+>NM_015097|465956_2_3901_4151
+MAIQCVVVLGCLTQEQEVMLLTQVKQLLIIKLHCSIQCLLTPLHALETIIHITIQIASVPSTSLPSRKPCLMMMLTSFLTIFP
+>DECOY_NM_015097|465956_2_3901_4151
+PFITLFSTLMMMLCPKRSPLSTSPVSAIQITIHIITELAHLPTLLCQISCHLKIILLQKVQTLLMVEQEQTLCGLVVVCQIAM
+>NM_016612|465993_2_220_299
+MAAAARTPPGRRTTRTCRLAPPCPPT
+>DECOY_NM_016612|465993_2_220_299
+TPPCPPALRCTRTTRRGPPTRAAAAM
+>NM_003902|466014_2_294_388
+MEINQMLRKLLLKMTLLEHSYHRCISSKADL
+>DECOY_NM_003902|466014_2_294_388
+LDAKSSICRHYSHELLTMKLLLKRLMQNIEM
+>NM_031283|466055_3_529_602
+MAPPRCPLQRHSQGHEVTISSTLV
+>DECOY_NM_031283|466055_3_529_602
+VLTSSITVEHGQSHRQLPCRPPAM
+>NM_037370|466237_2_833_918
+MGRRIRWHSWMTLWIFLMKSALVWMIWL
+>DECOY_NM_037370|466237_2_833_918
+LWIMWVLASKMLFIWLTMWSHWRIRRGM
+>NM_015981|466266_3_721_860
+MVWVCRDSWISLPRSAAEGPVREACGPVGLWGHPVHPAGWVPPVLG
+>DECOY_NM_015981|466266_3_721_860
+GLVPPVWGAPHVPHGWLGVPGCAERVPGEAASRPLSIWSDRCVWVM
+>NM_012142|466431_2_950_1035
+MGRRIRWHRWLTLWIFLMKSALVWMIWL
+>DECOY_NM_012142|466431_2_950_1035
+LWIMWVLASKMLFIWLTLWRHWRIRRGM
+>NM_000032|466492_2_793_1001
+MVLELVAPATSQAPVSFMWSLSRSWLSCTRRTQPCSSPPALLPMTLLSSPWPRSCQGARFTQTQATMLP
+>DECOY_NM_000032|466492_2_793_1001
+PLMTAQTQTFRAGQCSRPWPSSLLTMPLLAPPSSCPQTRRTCSLWSRSLSWMFSVPAQSTAPAVLELVM
+>NM_000032|466496_2_1264_1433
+MELCIRLTSSLELLARPLAVWAATLPAPVTWWTWCAPMLQASSLPLLCPPWCSLEL
+>DECOY_NM_000032|466496_2_1264_1433
+LELSCWPPCLLPLSSAQLMPACWTWWTVPAPLTAAWVALPRALLELSSTLRICLEM
+>NM_000032|466499_2_1627_1721
+MASMCRPSTTQLSPGVKSSCAWHPPPTTALR
+>DECOY_NM_000032|466499_2_1627_1721
+RLATTPPPHWACSSKVGPSLQTTSPRCMSAM
+>NM_080600|466585_2_1308_1450
+MESTGVWLRTSMARGPPPSTCLWSSPLCSSWSPTARQPETRCSACAW
+>DECOY_NM_080600|466585_2_1308_1450
+WACASCRTEPQRATPSWSSCLPSSWLCTSPPPGRAMSTRLWVGTSEM
+>NM_080600|466586_2_1341_1450
+MARGPPPSTCLWSSPLCSSWSPTARQPETRCSACAW
+>DECOY_NM_080600|466586_2_1341_1450
+WACASCRTEPQRATPSWSSCLPSSWLCTSPPPGRAM
+>NM_001109|466667_2_1783_1982
+MALRMNQCPRAPGVDQRRFAGKDVARTYTFTDPATALPSATTMGCATTSRSATATRAGPRPTARSC
+>DECOY_NM_001109|466667_2_1783_1982
+CSRATPRPGARTATASRSTTACGMTTASPLATAPDTFTYTRAVDKGAFRRQDVGPARPCQNMRLAM
+>NM_001109|466669_2_1909_1982
+MGCATTSRSATATRAGPRPTARSC
+>DECOY_NM_001109|466669_2_1909_1982
+CSRATPRPGARTATASRSTTACGM
+>NM_130386|466711_2_738_826
+MAMSRICSKIPACSRAICRTKCILIMWSS
+>DECOY_NM_130386|466711_2_738_826
+SSWMILICKTRCIARSCAPIKSCIRSMAM
+>NM_130386|466715_2_1506_2149
+MVSSSRILQYYKVHRAPGVQEVTEDPRDPLAQLATRDRKERRGSLDHLALRVREAQLDQLVPPESVAAKDLKAPRAPKAPVVPLGSPALRAPVGTQAPRAHQAKRDSPALRALLASRDFRAPLGSLGCLDLGDCQACLGYQACQAPRAPPALLAHQERWCPWPCRMSQPRHRRTMAARLTGRTSQTNATIFQLRKKFLRMQSFSVKTSLHILFS
+>DECOY_NM_130386|466715_2_1506_2149
+SFLIHLSTKVSFSQMRLFKKRLQFITANTQSTRGTLRAAMTRRHRPQSMRCPWPCWREQHALLAPPARPAQCAQYGLCAQCDGLDLCGLSGLPARFDRSALLARLAPSDRKAQHARPAQTGVPARLAPSGLPVVPAKPARPAKLDKAAVSEPPVLQDLQAERVRLALHDLSGRREKRDRTALQALPDRPDETVEQVGPARHVKYYQLIRSSSVM
+>NM_130386|466717_2_2028_2149
+MAARLTGRTSQTNATIFQLRKKFLRMQSFSVKTSLHILFS
+>DECOY_NM_130386|466717_2_2028_2149
+SFLIHLSTKVSFSQMRLFKKRLQFITANTQSTRGTLRAAM
+>NM_006457|466735_2_857_942
+MAHQENTLWSAIQSFIMYPLTVMPARRD
+>DECOY_NM_006457|466735_2_857_942
+DRRAPMVTLPYMIFSQIASWLTNEQHAM
+>NM_006457|466737_2_1712_1785
+MVNPTVRLIIMPSLVLYAMDVNFP
+>DECOY_NM_006457|466737_2_1712_1785
+PFNVDMAYLVLSPMIILRVTPNVM
+>NM_018045|466761_2_393_538
+MAPRLASIACSRTQQPTVMNQMGPRNCLTPGFPSSAWRRRRGRSQSSL
+>DECOY_NM_018045|466761_2_393_538
+LSSQSRGRRRRWASSPFGPTLCNRPGMQNMVTPQQTRSCAISALRPAM
+>NM_016252|466980_2_2112_2188
+MVLLFHRLLKWSWIVRSSCYCRILL
+>DECOY_NM_016252|466980_2_2112_2188
+LLIRCYCSSRVIWSWKLLRHFLLVM
+>NM_016252|466982_2_3333_3412
+MVMLLSILELGNYRPTATAGMNMYLN
+>DECOY_NM_016252|466982_2_3333_3412
+NLYMNMGATATPRYNGLELISLLMVM
+>NM_016252|466993_2_4755_4870
+MERSVVAQLLRVVSHLSLDFWKLNLCTLLVCQLVMEPE
+>DECOY_NM_016252|466993_2_4755_4870
+EPEMVLQCVLLTCLNLKWFDLSLHSVVRLLQAVVSREM
+>NM_016252|467010_2_12231_12415
+METYLLAVQKMRLSLQVMNAWMGYWMNLCLKPVQFSHHYKFLQEWVDWLLLLKDYPCYIQK
+>DECOY_NM_016252|467010_2_12231_12415
+KQIYCPYDKLLLLWDVWEQLFKYHHSFQVPKLCLNMWYGMWANMVQLSLRMKQVALLYTEM
+>NM_016252|467017_2_12714_12811
+MEKEVIFFNLHQPMCFQPFLSTSFVACLALHL
+>DECOY_NM_016252|467017_2_12714_12811
+LHLALCAVFSTSLFPQFCMPQHLNFFIVEKEM
+>NM_016252|467024_2_14154_14233
+MEDQKRSGILRPQAFCKCWCLSSPLY
+>DECOY_NM_016252|467024_2_14154_14233
+YLPSSLCWCKCFAQPRLIGSRKQDEM
+>NM_022455|467158_2_238_380
+MVNPIFLSHLMGVLCSYRLSVEHPKMLMDKILHLVTFHCGDYRIWPP
+>DECOY_NM_022455|467158_2_238_380
+PPWIRYDGCHFTVLHLIKDMLMKPHEVSLRYSCLVGMLHSLFIPNVM
+>NM_022455|467167_2_3046_3254
+MALRTPPILALVGVTLHYLASCLLPYLAYCPTRETSLLLVKVVQTVLLGATVDDQSLHPNCEMLFQPKW
+>DECOY_NM_022455|467167_2_3046_3254
+WKPQFLMECNPHLSQDDVTAGLLVTQVVKVLLLSTERTPCYALYPLLCSALYHLTVGVLALIPPTRLAM
+>NM_022455|467168_2_3439_3557
+MVTIFLMCISIARLSNLILVKFLKKDSLLKTEKAQSWTL
+>DECOY_NM_022455|467168_2_3439_3557
+LTWSQAKETKLLSDKKLFKVLILNSLRAISICMLFITVM
+>NM_004984|467382_2_443_522
+MAPFLLMDRHPQGKHIPWRESCTTLS
+>DECOY_NM_004984|467382_2_443_522
+SLTTCSERWPIHKGQPHRDMLLFPAM
+>NM_004984|467385_2_1322_1404
+MERMCLRQSAWLGRRQPWEPSSVRRPL
+>DECOY_NM_004984|467385_2_1322_1404
+LPRRVSSPEWPQRRGLWASQRLCMREM
+>NM_178130|467515_2_494_594
+MVKMRTWFHQRGPVPWPSLNQMQWPMERLMRLS
+>DECOY_NM_178130|467515_2_494_594
+SLRMLREMPWQMQNLSPWPVPGRQHFWTRMKVM
+>NM_032538|467593_2_2149_2294
+MAVPRRHSPPRLAALPTHPCTRDPALDGESRTPQAHRDRCSPWRPHLR
+>DECOY_NM_032538|467593_2_2149_2294
+RLHPRWPSCRDRHAQPTRSEGDLAPDRTCPHTPLAALRPPSHRRPVAM
+>NM_001389|467653_2_1020_1228
+MDCITTAASRGIDTPERRGRATAPDFLYQTQRTQPHPYWMGLTIAKPWLGSVWSCLAKRSGTLSQITAG
+>DECOY_NM_001389|467653_2_1020_1228
+GATIQSLTGSRKALCSWVSGLWPKAITLGMWYPHPQTRQTQYLFDPATARGRREPTDIGRSAATTICDM
+>NM_001389|467655_2_2118_2224
+MELLNFQMCKRKWTRGSTRATCWFNHNSPPARAST
+>DECOY_NM_001389|467655_2_2118_2224
+TSARAPPSNHNFWCTARTSGRTWKRKCMQFNLLEM
+>NM_213594|467701_2_1858_2042
+MDTREAITMGAMATSILTPCRASIRPSLMTQLSLGHSTMPLTTGALHSTLLIAPLPGWNLV
+>DECOY_NM_213594|467701_2_1858_2042
+VLNWGPLPAILLTSHLAGTTLPMTSHGLSLQTMLSPRISARCPTLISTAMAGMTIAERTDM
+>NM_213594|467702_2_1882_2042
+MGAMATSILTPCRASIRPSLMTQLSLGHSTMPLTTGALHSTLLIAPLPGWNLV
+>DECOY_NM_213594|467702_2_1882_2042
+VLNWGPLPAILLTSHLAGTTLPMTSHGLSLQTMLSPRISARCPTLISTAMAGM
+>NM_213594|467703_2_1891_2042
+MATSILTPCRASIRPSLMTQLSLGHSTMPLTTGALHSTLLIAPLPGWNLV
+>DECOY_NM_213594|467703_2_1891_2042
+VLNWGPLPAILLTSHLAGTTLPMTSHGLSLQTMLSPRISARCPTLISTAM
+>NM_000747|467774_2_399_691
+MGILTWLWTLASWCPPTAPCVGNPRASIAAAAASRSPTSPSTGRIALWCSAPTATTARRSACRQAWVLTGKGIRKSTFMKGLSLRMASGRLSTSPLG
+>DECOY_NM_000747|467774_2_399_691
+GLPSTSLRGSAMRLSLGKMFTSKRIGKGTLVWAQRCASRRATTATPASCWLAIRGTSPSTPSRSAAAAAISARPNGVCPATPPCWSALTWLWTLIGM
+>NM_014849|467823_2_678_856
+MVITEEKGPRMRRKVVHPVMLLRAMTRMMRSMKGNIRAFPGQSLGAKASGWQMGRPWLE
+>DECOY_NM_014849|467823_2_678_856
+ELWPRGMQWGSAKAGLSQGPFARINGKMSRMMRTMARLLMVPHVVKRRMRPGKEETIVM
+>NM_014849|467830_2_873_1018
+MGRVPLGAGGRHNDGKNEKNWPNSMKPSYGSVATAASSGHCILCLVWR
+>DECOY_NM_014849|467830_2_873_1018
+RWVLCLICHGSSAATAVSGYSPKMSNPWNKENKGDNHRGGAGLPVRGM
+>NM_014849|467832_2_1443_1543
+MGGVFRWVLPTSSTAGGSSSSSAPFLLCLPLGL
+>DECOY_NM_014849|467832_2_1443_1543
+LGLPLCLLFPASSSSSGGATSSTPLVWRFVGGM
+>NM_001586|467869_3_1016_1332
+MGDHGDLQEPNIQAGDATASHPTGGSGAPPKPSPADVVQVPESAPLTGNRPLGLCLHLVCLPLVTDQLTPVHLHHADADRAWGPGLAEVARHPCHRLAGMGPLQV
+>DECOY_NM_001586|467869_3_1016_1332
+VQLPGMGALRHCPHRAVEALGPGWARDADAHHLHVPTLQDTVLPLCVLHLCLGLPRNGTLPASEPVQVVDAPSPKPPAGSGGTPHSATADGAQINPEQLDGHDGM
+>NM_152564|467978_2_6373_6461
+MECKRFQLKKTCGELFPAFKKFLFKLLRL
+>DECOY_NM_152564|467978_2_6373_6461
+LRLLKFLFKKFAPFLEGCTKKLQFRKCEM
+>NM_152564|467994_2_11500_11666
+MVFYMELDFLSFPNSAISQVIYMLTRLQTAMSNMSGKCFSLWADQKSTWPWTWFW
+>DECOY_NM_152564|467994_2_11500_11666
+WFWTWPWTSKQDAWLSFCKGSMNSMATQLRTLMYIVQSIASNPFSLFDLEMYFVM
+>NM_001656|468175_2_396_565
+MGLLVSMELQKNPLGYLERASFVVMKMKLTLPLYIALCVQLICALSVLKLLILQRH
+>DECOY_NM_001656|468175_2_396_565
+HRQLILLKLVSLACILQVCLAIYLPLTLKMKMVVFSARELYGLPNKQLEMSVLLGM
+>NM_001656|468176_2_414_565
+MELQKNPLGYLERASFVVMKMKLTLPLYIALCVQLICALSVLKLLILQRH
+>DECOY_NM_001656|468176_2_414_565
+HRQLILLKLVSLACILQVCLAIYLPLTLKMKMVVFSARELYGLPNKQLEM
+>NM_001656|468181_2_885_1006
+MELEWLTQNMYQGLQRMPGHVFELIFMIYMKLCVVKKKWL
+>DECOY_NM_001656|468181_2_885_1006
+LWKKKVVCLKMYIMFILEFVHGPMRQLGQYMNQTLWELEM
+>NM_003615|468261_2_309_478
+MDGNLLLMIHHPREFSLSLVLKMMMKNIFPMISSRKWMNCVTEMEKNMNGKKLLDG
+>DECOY_NM_003615|468261_2_309_478
+GDLLKKGNMNKEMETVCNMWKRSSIMPFINKMMMKLVLSLSFERPHHIMLLLNGDM
+>NM_003615|468268_2_1731_1825
+MDLPPHWVRLLKRPLIMLGLSYRGLDGFLVV
+>DECOY_NM_003615|468268_2_1731_1825
+VVLFGDLGRYSLGLMILPRKLLRVWHPPLDM
+>NM_003615|468270_2_2520_2674
+MDLIFQMCSFGVSSCFSQHFFCLHSSSNLRPSVTFLPRCDRQSVILLYFSQ
+>DECOY_NM_003615|468270_2_2520_2674
+QSFYLLIVSQRDCRPLFTVSPRLNSSSHLCFFHQSFCSSVGFSCMQFILDM
+>NM_005765|468296_2_778_884
+MGKTLNNSEMLLRSLLTLCKSLQMTCTVFMVGMQW
+>DECOY_NM_005765|468296_2_778_884
+WQMGVMFVTCTMQLSKCLTLLSRLLMESNNLTKGM
+>NM_199355|468330_2_807_922
+MVLQRLRNPRCSNASIRDLSEMTAPPLSLCLRVLACQV
+>DECOY_NM_199355|468330_2_807_922
+VQCALVRLCLSLPPATMESLDRISANSCRPNRLRQLVM
+>NM_199355|468334_2_1245_1381
+MGALGDPEDQLENHKRASMWKPSWWQTRKWWKSMAREMSPHTFSQ
+>DECOY_NM_199355|468334_2_1245_1381
+QSFTHPSMERAMSKWWKRTQWWSPKWMSARKHNELQDEPDGLAGM
+>NM_199355|468336_2_1824_1900
+MECFHGLPAAASISRNSSAHLRRGV
+>DECOY_NM_199355|468336_2_1824_1900
+VGRRLHASSNRSISAAAPLGHFCEM
+>NM_199355|468338_2_2286_2521
+MVAYSVQVLAVFISCAILTLAMKIAWIFGLNSVQNITANLSVDGSTSGNPIQKWKRKIDANCTARLRTLNFFLQCPAK
+>DECOY_NM_199355|468338_2_2286_2521
+KAPCQLFFNLTRLRATCNADIKRKWKQIPNGSTSGDVSLNATINQVSNLGFIWAIKMALTLIACSIFVALVQVSYAVM
+>NM_002743|468370_2_514_755
+MALTSQARLPVLMAASTAPTLAISPCISPPTGSTMVFVTAAMEQTSTTAASSVRTPAKRRAVRRESPCSRWPRSPAKGSV
+>DECOY_NM_002743|468370_2_514_755
+VSGKAPSRPWRSCPSERRVARRKAPTRVSSAATTSTQEMAATVFVMTSGTPPSICPSIALTPATSAAMLVPLRAQSTLAM
+>NM_002743|468372_2_616_755
+MVFVTAAMEQTSTTAASSVRTPAKRRAVRRESPCSRWPRSPAKGSV
+>DECOY_NM_002743|468372_2_616_755
+VSGKAPSRPWRSCPSERRVARRKAPTRVSSAATTSTQEMAATVFVM
+>NM_002743|468373_2_637_755
+MEQTSTTAASSVRTPAKRRAVRRESPCSRWPRSPAKGSV
+>DECOY_NM_002743|468373_2_637_755
+VSGKAPSRPWRSCPSERRVARRKAPTRVSSAATTSTQEM
+>NM_002743|468375_2_1069_1226
+MGRCQKRKLRPSSVGTHRQTPPLSTTASGPPSGTSTGPRHCPPTFQHLLPLT
+>DECOY_NM_002743|468375_2_1069_1226
+TLPLLHQFTPPCHRPGTSTGSPPGSATTSLPPTQRHTGVSSPRLKRKQCRGM
+>NM_001007532|468484_2_286_380
+MGLSREDNFPLKAPFRARTVPPTLQLPCLCP
+>DECOY_NM_001007532|468484_2_286_380
+PCLCPLQLTPPVTRARFPAKLPFNDERSLGM
+>NM_003835|468508_2_348_550
+MATFTPCKTPRISFSSLMAASTDFRHRISGPPSSGQLKIPITPSIWPSEISKRKGFWKNMKRKITIS
+>DECOY_NM_003835|468508_2_348_550
+SITIKRKMNKWFGKRKSIESPWISPTIPIKLQGSSPPGSIRHRFDTSAAMLSSFSIRPTKCPTFTAM
+>NM_003835|468511_2_1128_1213
+MEISPKSRRKQRRFTSCSWPRGRGAGST
+>DECOY_NM_003835|468511_2_1128_1213
+TSGAGRGRPWSCSTFRRQKRRSKPSIEM
+>NM_003835|468514_3_280_398
+MDRPAALDLQSGGTELGQLYCQVWLHLPPARPQESHSQA
+>DECOY_NM_003835|468514_3_280_398
+AQSHSEQPRAPPLHLWVQCYLQGLETGGSQLDLAAPRDM
+>NM_003835|468515_3_1003_1349
+MGLQLQRIDPRPQRSTELPVLPQERIQWRESGILGSLRGSEVWRSVQSQGESRGDLQAVPGPGGEALDQHRWQNHGHHSEGAEAPPPLCAGRRTNPHLHAHEEGFLCSLFKISDL
+>DECOY_NM_003835|468515_3_1003_1349
+LDSIKFLSCLFGEEHAHLHPNTRRGACLPPPAEAGESHHGHNQWRHQDLAEGGPGPVAQLDGRSEGQSQVSRWVESGRLSGLIGSERWQIREQPLVPLETSRQPRPDIRQLQLGM
+>NM_021813|468578_2_1221_1405
+MACLCAGRMLRASAHTRTARTLQERRRMKRRRRWIQRRPRWLAPGTRCFQSPSALRPPPSP
+>DECOY_NM_021813|468578_2_1221_1405
+PSPPPRLASPSQFCRTGPALWRPRRQIWRRRRKMRRREQLTRATRTHASARLMRGACLCAM
+>NM_021813|468586_2_1983_2101
+MDSPTWARRRCPTSPWGRPSGGLGWRLSVNRRESWTGGA
+>DECOY_NM_021813|468586_2_1983_2101
+AGGTWSERRNVSLRWGLGGSPRGWPSTPCRRRAWTPSDM
+>NM_021813|468587_2_2514_2647
+MECTTKCGPKLNVSSLMEPTPVTNPDRSRKQTVSRVLCRTGARR
+>DECOY_NM_021813|468587_2_2514_2647
+RRAGTRCLVRSVTQKRSRDPNTVPTPEMLSSVNLKPGCKTTCEM
+>NM_032603|468697_2_1585_2123
+MAPTSPARGQGPASLLESSVLRLHQICCCTQHWCRRPPTSKTGPCICCTVLRKRTAWPAQPAQPTGPMVTGVCSDSPPRSTTWDELTSGPRLGATPGCGTSAMGITTAWTSSLTMISSPQMAPRWLRATKLVSVSKTLSVRRMSPSGMSVPTLESKASLWVAGISTGMTLTVSGLTSRM
+>DECOY_NM_032603|468697_2_1585_2123
+MRSTLGSVTLTMGTSIGAVWLSAKSELTPVSMGSPSMRRVSLTKSVSVLKTARLWRPAMQPSSIMTLSSTWATTIGMASTGCGPTAGLRPGSTLEDWTTSRPPSDSCVGTVMPGTPQAPQAPWATRKRLVTCCICPGTKSTPPRRCWHQTCCCIQHLRLVSSELLSAPGQGRAPSTPAM
+>NM_032603|468699_2_1891_2123
+MGITTAWTSSLTMISSPQMAPRWLRATKLVSVSKTLSVRRMSPSGMSVPTLESKASLWVAGISTGMTLTVSGLTSRM
+>DECOY_NM_032603|468699_2_1891_2123
+MRSTLGSVTLTMGTSIGAVWLSAKSELTPVSMGSPSMRRVSLTKSVSVLKTARLWRPAMQPSSIMTLSSTWATTIGM
+>NM_182905|468718_2_450_559
+MAPSSRAPRTLACRDAPATGSRASTAPWTSGPCRRS
+>DECOY_NM_182905|468718_2_450_559
+SRRCPGSTWPATSARSGTAPADRCALTRPARSSPAM
+>NM_000189|468791_2_2224_2414
+MGSRRWRWRIRSMPSLRTSCEAVAPSCLTTLPNAWLTSWISYKSKTRSSHWVLPSRSPATRLN
+>DECOY_NM_000189|468791_2_2224_2414
+NLRTAPSRSPLVWHSSRTKSKYSIWSTLWANPLTTLCSPAVAECSTRLSPMSRIRWRWRRSGM
+>NM_000189|468797_2_3565_3698
+MGSGVEWRCTTRSTPSRRRSCTAPGTSSLTTLSSASRTSSSTWA
+>DECOY_NM_000189|468797_2_3565_3698
+AWTSSSTRSASSLTTLSSTGPATCSRRRSPTSRTTCRWEVGSGM
+>NM_002661|468898_2_1266_1354
+MAGRGLPRSSLTTSCRPSKTTPLLPRASQ
+>DECOY_NM_002661|468898_2_1266_1354
+QSARPLLPTTKSPRCSTTLSSRPLGRGAM
+>NM_002661|468903_2_1824_1942
+MAPSWFGRARPSPMTTPCPSGGQAGSSTAGSAPPWRAGP
+>DECOY_NM_002661|468903_2_1824_1942
+PGARWPPASGATSSGAQGGSPCPTTMPSPRARGFWSPAM
+>NM_002661|468907_2_2583_2656
+MEPGSSSTSHPTTSRTSQLQTSRS
+>DECOY_NM_002661|468907_2_2583_2656
+SRSTQLQSTRSTTPHSTSSSGPEM
+>NM_002661|468914_3_1744_1865
+MVPQEGGEEDECREVAAGILHGDGGQGWHLPGSGERDLPQ
+>DECOY_NM_002661|468914_3_1744_1865
+QPLDREGSGPLHWGQGGDGHLIGAAVERCEDEEGGEQPVM
+>NM_005349|469224_2_1206_1306
+MALPGQSLAQIRQSIHFMREWALSLPQSLLCLW
+>DECOY_NM_005349|469224_2_1206_1306
+WLCLLSQPLSLAWERMFHISQRIQALSQGPLAM
+>NM_000085|469291_2_1697_1770
+MAPSLSRSCHTCHGFWAATSVPTA
+>DECOY_NM_000085|469291_2_1697_1770
+ATPVSTAAWFGHCTHCSRSLSPAM
+>NM_000085|469292_3_1305_1483
+MVPPAVHHLWDPCLLPGYEVLDADSGHHHPHACRVLHAHLCLWSCYRAPLWGDSLFYLP
+>DECOY_NM_000085|469292_3_1305_1483
+PLYFLSDGWLPARYCSWLCLHAHLVRCAHPHHHGSDADLVEYGPLLCPDWLHHVAPPVM
+>NM_023075|469391_3_925_1004
+MADGESVPDSSVVAAAGSRLHPGGYL
+>DECOY_NM_023075|469391_3_925_1004
+LYGGPHLRSGAAAVVSSDPVSEGDAM
+>NM_003179|469465_3_114_205
+MGLRHLRLCHMRQLQWGAPAERGLCQQDRE
+>DECOY_NM_003179|469465_3_114_205
+ERDQQCLGREAPAGWQLQRMHCLRLHRLGM
+>NM_003179|469466_3_492_685
+MGQGAVRCEDGHRPREHYQGDACLPPDREHMQGAERPCDLGTQHLGGVRLPEPGALGRQPVVRV
+>DECOY_NM_003179|469466_3_492_685
+VRVVPQRGLAGPEPLRVGGLHQTGLDCPREAGQMHERDPPLCADGQYHERPRHGDECRVAGQGM
+>NM_000489|469567_2_3482_3582
+MEHMVERRKGASCLERVQGRDKIVHHLILRNIP
+>DECOY_NM_000489|469567_2_3482_3582
+PINRLILHHVIKDRGQVRELCSAGKRREVMHEM
+>NM_000489|469572_2_4097_4266
+MDLQMMSQKKGKKELENKMKKTQEMRKQKIKSILNQIQILKNLRSQDTDIGFCGTN
+>DECOY_NM_000489|469572_2_4097_4266
+NTGCFGIDTDQSRLNKLIQIQNLISKIKQKRMEQTKKMKNELEKKGKKQSMMQLDM
+>NM_002814|469600_2_411_553
+MAVLPYIMQLRKTGMRSLSCYWKAGLIQMLRTIMRLQQCTGQQPRVT
+>DECOY_NM_002814|469600_2_411_553
+TVRPQQGTCQQLRMITRLMQILGAKWYCSLSRMGTKRLQMIYPLVAM
+>NM_004358|469774_2_1319_1437
+MDLSSRCHGSPHIPAPPMLWQSGPAAGKPLPRDPARPPT
+>DECOY_NM_004358|469774_2_1319_1437
+TPPRAPDRPLPKGAAPGSQWLMPPAPIHPSGHCRSSLDM
+>NM_004358|469785_3_1341_1453
+MEAHTSQLHPCSGRVGQPQGSLCPETQLGPRPDVSQS
+>DECOY_NM_004358|469785_3_1341_1453
+SQSVDPRPGLQTEPCLSGQPQGVRGSCPHLQSTHAEM
+>NM_001142294|470078_2_113_186
+MENLLKLRSSEKHIRRPFYLLTKV
+>DECOY_NM_001142294|470078_2_113_186
+VKTLLYFPRRIHKESSRLKLLNEM
+>NM_001142294|470083_2_1640_1716
+MEANLFQNLLKKTKMGNLLWMVLWL
+>DECOY_NM_001142294|470083_2_1640_1716
+LWLVMWLLNGMKTKKLLNQFLNAEM
+>NM_014619|470167_2_1150_1286
+MVFGRSASGTWQRASAWTATSMPPTSRTLSSTPPWSSPPSWKTHI
+>DECOY_NM_014619|470167_2_1150_1286
+IHTKWSPPSSWPPTSSLTRSTPPMSTATWASARQWTGSASRGFVM
+>NM_014619|470171_2_2245_2336
+MGLACQSARFSGTSLIWPFSSCRRTTAWRS
+>DECOY_NM_014619|470171_2_2245_2336
+SRWATTRRCSSFPWILSTGSFRASQCALGM
+>NM_001011515|470252_2_530_633
+MGKSHLNAHQENTLWSAIQSFIMYPLTVMPARRD
+>DECOY_NM_001011515|470252_2_530_633
+DRRAPMVTLPYMIFSQIASWLTNEQHANLHSKGM
+>NM_014389|470278_2_1307_1416
+MVPCGCCCCPLSTLRPWTCCLHSSSRVEAGSCALGS
+>DECOY_NM_014389|470278_2_1307_1416
+SGLACSGAEVRSSSHLCCTWPRLTSLPCCCCGCPVM
+>NM_001080418|470344_2_13_188
+MATEAAIPAQPALLTNSIWTWALLPGPHTCWAPGRPSPPSPASVPRELAWDTFLLKGP
+>DECOY_NM_001080418|470344_2_13_188
+PGKLLFTDWALERPVSAPSPPSPRGPAWCTHPGPLLAWTWISNTLLAPQAPIAAETAM
+>NM_001080418|470345_2_400_896
+MASTHYHTSEGQQGQGPGQRQGRALPQSPAVRALAASGTWFILCRSSLPSPTLWRRRGSGTIMGPRLREEVALEETATPARALEAPTPPITTITTTITTTTSPGTARGARARTARGMGGTRPSPQAGGVPMTTWTVIAASWRVGGPLGSLVVPSAWRVQMGPTGT
+>DECOY_NM_001080418|470345_2_400_896
+TGTPGMQVRWASPVVLSGLPGGVRWSAAIVTWTTMPVGGAQPSPRTGGMGRATRARAGRATGPSTTTTITTTITTIPPTPAELARAPTATEELAVEERLRPGMITGSGRRRWLTPSPLSSRCLIFWTGSAALARVAPSQPLARGQRQGPGQGQQGESTHYHTSAM
+>NM_001080418|470346_2_586_896
+MGPRLREEVALEETATPARALEAPTPPITTITTTITTTTSPGTARGARARTARGMGGTRPSPQAGGVPMTTWTVIAASWRVGGPLGSLVVPSAWRVQMGPTGT
+>DECOY_NM_001080418|470346_2_586_896
+TGTPGMQVRWASPVVLSGLPGGVRWSAAIVTWTTMPVGGAQPSPRTGGMGRATRARAGRATGPSTTTTITTTITTIPPTPAELARAPTATEELAVEERLRPGM
+>NM_001080418|470347_2_748_896
+MGGTRPSPQAGGVPMTTWTVIAASWRVGGPLGSLVVPSAWRVQMGPTGT
+>DECOY_NM_001080418|470347_2_748_896
+TGTPGMQVRWASPVVLSGLPGGVRWSAAIVTWTTMPVGGAQPSPRTGGM
+>NM_001080418|470349_2_1024_1400
+MDTRGPGQARGSWVRRPRPKPGLITICRCRKMTGGVTPPVARMGRSPAAGCGAAATSKPWGMRRAETQTAAPRHLPKQSPDASPPVAPPAWTRPGSTAVSHPGSTPGAPSLATAVPSPLDSSAMS
+>DECOY_NM_001080418|470349_2_1024_1400
+SMASSDLPSPVATALSPAGPTSGPHSVATSGPRTWAPPAVPPSADPSQKPLHRPAATQTEARRMGWPKSTAAAGCGAAPSRGMRAVPPTVGGTMKRCRCITILGPKPRPRRVWSGRAQGPGRTDM
+>NM_001080418|470351_2_1150_1400
+MGRSPAAGCGAAATSKPWGMRRAETQTAAPRHLPKQSPDASPPVAPPAWTRPGSTAVSHPGSTPGAPSLATAVPSPLDSSAMS
+>DECOY_NM_001080418|470351_2_1150_1400
+SMASSDLPSPVATALSPAGPTSGPHSVATSGPRTWAPPAVPPSADPSQKPLHRPAATQTEARRMGWPKSTAAAGCGAAPSRGM
+>NM_003374|470364_3_320_414
+MEYRQYTRHRDYCGRSACTWTEADLRFILLT
+>DECOY_NM_003374|470364_3_320_414
+TLLIFRLDAETWTCASRGCYDRHRTYQRYEM
+>NM_003174|470436_2_4054_4226
+MEPLTMRTVTSIPWILENAIRLSPEKDRGGPTGRYLGDLLNTMRRFCSKRSFWIGRN
+>DECOY_NM_003174|470436_2_4054_4226
+NRGIWFSRKSCFRRMTNLLDGLYRGTPGGRDKEPSLRIANELIWPISTVTRMTLPEM
+>NM_003174|470438_2_4378_4538
+MAWWKDTTGGSLRSPAFPWMSGTSWNSTIAGSPNKASGSSMRGMPMWSSGSSW
+>DECOY_NM_003174|470438_2_4378_4538
+WSSGSSWMPMGRMSSGSAKNPSGAITSNWSTGSMWPFAPSRLSGGTTDKWWAM
+>NM_000887|470473_2_1856_1935
+MDWWTWLWGPGARCSCSGPDLCSGWG
+>DECOY_NM_000887|470473_2_1856_1935
+GWGSCLDPGSCSCRAGPGWLWTWWDM
+>NM_000887|470484_3_1392_1585
+MEDEGRSHGDSDRLLLRGLPLLRGRRQRRQHRPGPHRGPPLLRADPRGPGVCVSLAQGVEKVVV
+>DECOY_NM_000887|470484_3_1392_1585
+VVVKEVGQALSVCVGPGRPDARLLPPGRHPGPRHQRRQRRGRLLPLGRLLLRDSDGHSRGEDEM
+>NM_153704|470610_2_2868_2947
+MEMKLLFLFLICCSSVLWIWLAKILF
+>DECOY_NM_153704|470610_2_2868_2947
+FLIKALWIWLVSSCCILFLFLLKMEM
+>NM_153704|470614_3_742_866
+MVCKVFAIISSCMLGICQSNILSSSWKYVCDEHEFLRLCHI
+>DECOY_NM_153704|470614_3_742_866
+IHCLRLFEHEDCVYKWSSSLINSQCIGLMCSSIIAFVKCVM
+>NM_007113|470656_2_196_443
+MGVSISTNSSYLFSKWLKLVTMLSARPRDWMRRSEPGVTERRACYKIAGKKKTKGDSSPGTDNWKKNLGNDAGRRGRNRRGS
+>DECOY_NM_007113|470656_2_196_443
+SGRRNRGRRGADNGLNKKWNDTGPSSDGKTKKKGAIKYCARRETVGPESRRMWDRPRASLMTVLKLWKSFLYSSNTSISVGM
+>NM_007113|470663_3_2240_2889
+MAGGGTGSPAAAGRGAAPGLHMAVAGGGKEREGPSEAVGQAPIAGAAGEAAEGRGAPAAGTTVSPGGGGEGAAAPPATREGERAAVPGGRGAAPAAGACPTAPGGGGRPPGGSGEEAKPGAAPRPKMEVATRRRKEETPPHAVRQASPTRAAEEGTAAAAGGGGGATERGAREEKAPRTGETIPRGRAAAAGGRAAAERGTGEKKTPGAGKAISEG
+>DECOY_NM_007113|470663_3_2240_2889
+GESIAKGAGPTKKEGTGREAAARGGAAAARGRPITEGTRPAKEERAGRETAGGGGGAAAATGEEAARTPSAQRVAHPPTEEKRRRTAVEMKPRPAAGPKAEEGSGGPPRGGGGPATPCAGAAPAAGRGGPVAAREGERTAPPAAAGEGGGGPSVTTGAAPAGRGEAAEGAAGAIPAQGVAESPGEREKGGGAVAMHLGPAAGRGAAAPSGTGGGAM
+>NM_007113|470665_3_2618_2889
+MEVATRRRKEETPPHAVRQASPTRAAEEGTAAAAGGGGGATERGAREEKAPRTGETIPRGRAAAAGGRAAAERGTGEKKTPGAGKAISEG
+>DECOY_NM_007113|470665_3_2618_2889
+GESIAKGAGPTKKEGTGREAAARGGAAAARGRPITEGTRPAKEERAGRETAGGGGGAAAATGEEAARTPSAQRVAHPPTEEKRRRTAVEM
+>NM_007113|470667_3_5591_5793
+MARRGAETSPGTGKEITGRTHPPPAEGGTEAPPSRGDKIPRREGPWAASGARHSSVCQCPSALQPSL
+>DECOY_NM_007113|470667_3_5591_5793
+LSPQLASPCQCVSSHRAGSAAWPGERRPIKDGRSPPAETGGEAPPPHTRGTIEKGTGPSTEAGRRAM
+>NM_015409|470800_2_116_291
+MALAPRTSSISCRGPGPALAARVRSSRPTPTHPRPPQLPSLPQQARRHPSLPVIKYSS
+>DECOY_NM_015409|470800_2_116_291
+SSYKIVPLSPHRRAQQPLSPLQPPRPHTPTPRSSRVRAALAPGPGRCSISSTRPALAM
+>NM_015409|470801_2_452_639
+MGLHHTFRSRPPCPSRSRPRVPRSPVRGRGRPCRMCVQVPLALGWASAAAALQGASWMPACW
+>DECOY_NM_015409|470801_2_452_639
+WCAPMWSAGQLAAAASAWGLALPVQVCMRCPRGRGRVPSRPVRPRSRSPCPPRSRFTHHLGM
+>NM_015409|470803_2_689_1047
+MGQGSPRSPREPRFSSSTRVRPSQSESGDPPSPTHSQGAPSTTWDPRALQPRVGPACSPWPAQATSPRLTCHRRSAASSRASWFSSSRCCRGRRCPGPWASRGHPACCSPGLGAQRGLG
+>DECOY_NM_015409|470803_2_689_1047
+GLGRQAGLGPSCCAPHGRSAWPGPCRRGRCCRSSSFWSARSSAASRRHCTLRPSTAQAPWPSCAPGVRPQLARPDWTTSPAGQSHTPSPPDGSESQSPRVRTSSSFRPERPSRPSGQGM
+>NM_015409|470815_2_4403_4806
+MARSPRVAPWLSPALTRPGRQPPPRPLLLHRARFEDGRPSPRSLPIRRQKQQQPRFRPLRLPPVLHDTSPPRPPAQPLARPILRNCGPRPQHRPPPQASPRPSPRPPRTRPGRARCLRGWCSPRRPRPACPVER
+>DECOY_NM_015409|470815_2_4403_4806
+REVPCAPRPRRPSCWGRLCRARGPRTRPPRPSPRPSAQPPPRHQPRPGCNRLIPRALPQAPPRPPSTDHLVPPLRLPRFRPQQQKQRRIPLSRPSPRGDEFRARHLLLPRPPPQRGPRTLAPSLWPAVRPSRAM
+>NM_015409|470816_2_5336_5436
+MEGYSGVGPWMAVVGRRPGQRTVTLHPQKVQVS
+>DECOY_NM_015409|470816_2_5336_5436
+SVQVKQPHLTVTRQGPRRGVVAMWPGVGSYGEM
+>NM_015409|470831_3_6582_6808
+MGVLEPEDPAGEGGPAAAGAGGGGAPDLHARGCLQHGVCLRRCRWADRSHAALDPTHPAAGRQRHLPRLGHVSHV
+>DECOY_NM_015409|470831_3_6582_6808
+VHSVHGLRPLHRQRGAAPHTPDLAAHSRDAWRCRRLCVGHQLCGRAHLDPAGGGGAGAAAPGGEGAPDEPELVGM
+>NM_001457|470884_2_1966_2204
+MDRVMSNTGPRSLANMLFTSCVTTKTSRTARTWPSSTQPREATTLIWFEHTGQVWRNLDALSTTWPSSLWILRMLEKLP
+>DECOY_NM_001457|470884_2_1966_2204
+PLKELMRLIWLSSPWTTSLADLNRWVQGTHEFWILTTAERPQTSSPWTRATRSTKTTVCSTFLMNALSRPGTNSMVRDM
+>NM_001457|470892_2_2749_2843
+MGNRPTSLSTPRGLGKPRSTCSSTALFLAMQ
+>DECOY_NM_001457|470892_2_2749_2843
+QMALFLATSSCTSRPKGLGRPTSLSTPRNGM
+>NM_001457|470894_2_3433_3740
+MVMGPAPSLTFPQNPGSTSSTSSLKKSTYLGLPSKLTLKCPLTPLKSWHRGQVSSTGRWVKLASLASTARKRDRGPWAWKLSRTREQKPKSVFRTTKMAPTR
+>DECOY_NM_001457|470894_2_3433_3740
+RTPAMKTTRFVSKPKQERTRSLKWAWPGRDRKRATSALSALKVWRGTSSVQGRHWSKLPTLPCKLTLKSPLGLYTSKKLSSTSSTSGPNQPFTLSPAPGMVM
+>NM_001457|470895_2_3439_3740
+MGPAPSLTFPQNPGSTSSTSSLKKSTYLGLPSKLTLKCPLTPLKSWHRGQVSSTGRWVKLASLASTARKRDRGPWAWKLSRTREQKPKSVFRTTKMAPTR
+>DECOY_NM_001457|470895_2_3439_3740
+RTPAMKTTRFVSKPKQERTRSLKWAWPGRDRKRATSALSALKVWRGTSSVQGRHWSKLPTLPCKLTLKSPLGLYTSKKLSSTSSTSGPNQPFTLSPAPGM
+>NM_001457|470896_2_3787_3878
+MVANSCHTSPPGSRWSPPWTPAGSKSLDQE
+>DECOY_NM_001457|470896_2_3787_3878
+EQDLSKSGAPTWPPSWRSGPPSTHCSNAVM
+>NM_001457|470899_2_4303_4409
+MAAAVLSTFLSHRGITMLISHMEEPTSPAAPSGFL
+>DECOY_NM_001457|470899_2_4303_4409
+LFGSPAAPSTPEEMHSILMTIGRHSLFTSLVAAAM
+>NM_001457|470907_2_6106_6425
+MATMWPTAPCLSWWSSRRLVTPAEPKSMAAACQKAGLSRCLTSSWTQGMQVMVAYPWRWKAPAKWTSRRRTWKMAPAKSPTSLPCLGFISSPPNSLTSTCLGAHLP
+>DECOY_NM_001457|470907_2_6106_6425
+PLHAGLCTSTLSNPPSSIFGLCPLSTPSKAPAMKWTRRRSTWKAPAKWRWPYAVMVQMGQTWSSTLCRSLGAKQCAAAMSKPEAPTVLRRSSWWSLCPATPWMTAM
+>NM_001457|470911_2_6259_6425
+MVAYPWRWKAPAKWTSRRRTWKMAPAKSPTSLPCLGFISSPPNSLTSTCLGAHLP
+>DECOY_NM_001457|470911_2_6259_6425
+PLHAGLCTSTLSNPPSSIFGLCPLSTPSKAPAMKWTRRRSTWKAPAKWRWPYAVM
+>NM_001457|470912_2_6325_6425
+MAPAKSPTSLPCLGFISSPPNSLTSTCLGAHLP
+>DECOY_NM_001457|470912_2_6325_6425
+PLHAGLCTSTLSNPPSSIFGLCPLSTPSKAPAM
+>NM_001457|470914_2_6898_7043
+MGRAVYLILPKSLVTTRCPSSSMMSTSRKAPTWCRSSHPPTTPAASLL
+>DECOY_NM_001457|470914_2_6898_7043
+LLSAAPTTPPHSSRCWTPAKRSTSMMSSSPCRTTVLSKPLILYVARGM
+>NM_001457|470921_2_7219_7517
+MVSTPSMSSSMGATWLEAPSKCALGSLDKRGTLPWCPPMARDSKGAPQVSSRNSLLTPPEQVQGHYPSPSKAHPRLKWIARKHLKGTKSCTPPWLLVTT
+>DECOY_NM_001457|470921_2_7219_7517
+TTVLLWPPTCSKTGKLHKRAIWKLRPHAKSPSPYHGQVQEPPTLLSNRSSVQPAGKSDRAMPPCWPLTGRKDLSGLACKSPAELWTAGMSSSMSPTSVM
+>NM_022463|471081_2_636_952
+MGSLWRAAAWRGLTWASISPHIGVRPAEASPGSWWNPTGRSRRQARTSRSSSLVQTGRRSPSNSTSVRCPGSPSPTRMRPGGRASTGCTESKASPRSSCWTRRAR
+>DECOY_NM_022463|471081_2_636_952
+RARRTWCSSRPSAKSETCGTSARGGPRMRTPSPSGPCRVSTSNSPSRRGTQVLSSSRSTRAQRRSRGTPNWWSGPSAEAPRVGIHPSISAWTLGRWAAARWLSGM
+>NM_032211|471190_2_1343_1470
+MVANMRMMLLSGAMSLTWAFRIRCAWLVGVSLRRGYWRCRWR
+>DECOY_NM_032211|471190_2_1343_1470
+RWRCRWYGRRLSVGVLWACRIRFAWTLSMAGSLLMMRMNAVM
+>NM_003992|471215_2_305_609
+MDLHVLVIVGDRGRGGHTGPASMPTTATNAAPGLVAAPPREANRAVSAAAGVWKMTRRVTWCAGSAIGSKSDMRLWGTWVKAPLARWWSAWTMPEGSLRLP
+>DECOY_NM_003992|471215_2_305_609
+PLRLSGEPMTWASWWRALPAKVWTGWLRMDSKSGIASGACWTVRRTMKWVGAAASVARNAERPPAAVLGPAANTATTPMSAPGTHGGRGRDGVIVLVHLDM
+>NM_000677|471267_3_1147_1361
+MAGPGPLLAGVIPGGIDPHVWLEHETDLRVPQKCHLPFMPICFRHENGLHGILQLPHLDFHPPGCHVRHLS
+>DECOY_NM_000677|471267_3_1147_1361
+SLHRVHCGPPHFDLHPLQLIGHLGNEHRFCIPMFPLHCKQPVRLDTEHELWVHPDIGGPIVGALLPGPGAM
+>NM_022912|471362_3_152_240
+MDHLQAGGAYIWHPLPCVLFLQGCEIKGH
+>DECOY_NM_022912|471362_3_152_240
+HGKIECGQLFLVCPLPHWIYAGGAQLHDM
+>NM_001040118|471418_2_2017_2378
+MALGTASGQPTCPPVRPCSPAAAPAPGGATWRPSTVRASTAATTRSLATRRSWTRPCVLQSPPQTWLRPRRSWAVGLGSTASRGTLRPPRPWLLQSRRGRRCRWNSFGTTGPQRCLGWTR
+>DECOY_NM_001040118|471418_2_2017_2378
+RTWGLCRQPGTTGFSNWRCRRGRRSQLLWPRPPRLTGRSATSGLGVAWSRRPRLWTQPPSQLVCPRTWSRRTALSRTTAATSARVTSPRWTAGGPAPAAAPSCPRVPPCTPQGSATGLAM
+>NM_052867|471949_2_4273_4505
+MGRILTGMQIFLRLEKLLPYCSELSQVKTGTRLCMTVWFSLRFVLQMNLHTGQQTVEIMLGHLCISVHFMSSLPTSC
+>DECOY_NM_052867|471949_2_4273_4505
+CSTPLSSMFHVSICLHGLMIEVTQQGTHLNMQLVFRLSFWVTMCLRTGTKVQSLESCYPLLKELRLFIQMGTLIRGM
+>NM_052867|471953_3_296_486
+MGSLFAAHLCHHQRHFCLYEYANDLRALSSTSVCDLHFGYIIDVSLHGRDDSKNAHPGHCQGG
+>DECOY_NM_052867|471953_3_296_486
+GGQCHGPHANKSDDRGHLSVDIIYGFHLDCVSTSSLARLDNAYEYLCFHRQHHCLHAAFLSGM
+>NM_052867|471954_3_722_819
+MECFHFSTFLSTSLWNFRSSDVWNIYLSLCCK
+>DECOY_NM_052867|471954_3_722_819
+KCCLSLYINWVDSSRFNWLSTSLFTSFHFCEM
+>NM_052867|471955_3_1853_2001
+MGGRNGPNSKCCGTYVGTRGCHLFHSLSSFCHSDPPEFVCCCYFGQLRT
+>DECOY_NM_052867|471955_3_1853_2001
+TRLQGFYCCCVFEPPDSHCFSSLSHFLHCGRTGVYTGCCKSNPGNRGGM
+>NM_198282|472058_3_730_878
+MVILHRISAADPARAPGPDSNLQSALQQPATGCSEPAAVYSPPIGLWGA
+>DECOY_NM_198282|472058_3_730_878
+AGWLGIPPSYVAAPESCGTAPQQLASQLNSDPGPARAPDAASIRHLIVM
+>NM_005224|472102_2_537_658
+MGPQARRRRTRPGRGHRAHPGEAEKGQERSTLRTWPPTRT
+>DECOY_NM_005224|472102_2_537_658
+TRTPPWTRLTSREQGKEAEGPHARHGRGPRTRRRRAQPGM
+>NM_005224|472105_2_846_922
+MAFPGCWGARSGRGLALPTPEGPPT
+>DECOY_NM_005224|472105_2_846_922
+TPPGEPTPLALGRGSRAGWCGPFAM
+>NM_002900|472165_3_125_225
+MGSAHVRAALWPGWPHTPVPAKPGAGHGQGPLG
+>DECOY_NM_002900|472165_3_125_225
+GLPGQGHGAGPKAPVPTHPWGPWLAARVHASGM
+>NM_002900|472166_3_2417_2517
+MATAGGHGCAGDRPALQPWQLLHGHPAALLLLL
+>DECOY_NM_002900|472166_3_2417_2517
+LLLLLAAPHGHLLQWPQLAPRDGACGHGGATAM
+>NM_000260|472260_2_6678_6853
+MGSASSIPKRRISSPLIPSPRSPTGAAATPTSTSPLGTWCAGANCSARRHWATRWMTS
+>DECOY_NM_000260|472260_2_6678_6853
+STMWRTAWHRRASCNAGACWTGLPSTSTPTAAAGTPSRPSPILPSSIRRKPISSASGM
+>NM_002857|472282_2_523_602
+MGKGTSSPSCRVLCRTYSPRMCCTHH
+>DECOY_NM_002857|472282_2_523_602
+HHTCCMRPSYTRCLVRCSPSSTGKGM
+>NM_001127179|472355_2_3745_3830
+MASCGQHSGQCRKVFWWLSGASVNLARD
+>DECOY_NM_001127179|472355_2_3745_3830
+DRALNVSAGSLWWFVKRCQGSHQGCSAM
+>NM_003993|472482_2_993_1144
+MATCVSPLSFWALAPSISSKTTTTCPTPSTKCATWPSSCARLSSSSMITS
+>DECOY_NM_003993|472482_2_993_1144
+STIMSSSSLRACSSPWTACKTSPTPCTTTTKSSISPALAWFSLPSVCTAM
+>NM_153046|472519_2_3757_3917
+MASTILESFVVWGGIQLQGLPYCPSTTWSLRLTFNSAWRMSSRLIFSGLLLTS
+>DECOY_NM_153046|472519_2_3757_3917
+STLLLGSFILRSSMRWASNFTLRLSWTTSPCYPLGQLQIGGWVVFSELITSAM
+>NM_153046|472520_2_3925_4001
+MDQMDASVLGQRELRSFKTLPVRSF
+>DECOY_NM_153046|472520_2_3925_4001
+FSRVPLTKFSRLERQGLVSADMQDM
+>NM_022776|472553_2_1659_1744
+MELTSPLQLIRVSRWQSQKSSLLQNLDY
+>DECOY_NM_022776|472553_2_1659_1744
+YDLNQLLSSKQSQWRSVRILQLPSTLEM
+>NM_005245|472661_2_252_397
+MAANDLNRLLCSLHTSSTTSPCRRTLQLRLMWGILSRWVFTLHIQRGK
+>DECOY_NM_005245|472661_2_252_397
+KGRQIHLTFVWRSLIGWMLRLQLTRRCPSTTSSTHLSCLLRNLDNAAM
+>NM_005245|472676_2_4077_4288
+MANFSSNRKLEWFRPRGFQQLENMIFFQLRQLTMVALKSHQPPDSILNGSPSPNRPWSPFHLKNHFLPLL
+>DECOY_NM_005245|472676_2_4077_4288
+LLPLFHNKLHFPSWPRNPSPSGNLISDPPQHSKLAVMTLQRLQFFIMNELQQFGRPRFWELKRNSSFNAM
+>NM_005245|472678_2_4176_4288
+MVALKSHQPPDSILNGSPSPNRPWSPFHLKNHFLPLL
+>DECOY_NM_005245|472678_2_4176_4288
+LLPLFHNKLHFPSWPRNPSPSGNLISDPPQHSKLAVM
+>NM_005245|472685_2_5295_5392
+MEIQVMLLILIHILELSSLRKPWTLKLCPFTH
+>DECOY_NM_005245|472685_2_5295_5392
+HTFPCLKLTWPKRLSSLELIHILILLMVQIEM
+>NM_005245|472697_2_8220_8353
+MGLHQKNLLFLSMLKSFHRKCSFQNFQNLSIPLQCQRTCLLEQR
+>DECOY_NM_005245|472697_2_8220_8353
+RQELLCTRQCQLPISLNQFNQFSCKRHFSKLMSLFLLNKQHLGM
+>NM_005245|472704_2_8862_8968
+MVKRSSYPPQPLWMLPSPMSTIVHHDSRPRSIKGL
+>DECOY_NM_005245|472704_2_8862_8968
+LGKISRPRSDHHVITSMPSPLMWLPQPPYSSRKVM
+>NM_005245|472708_2_9891_9994
+MVPPCLRTFLLELKFFKCMQQVGILKQMQKSPTQ
+>DECOY_NM_005245|472708_2_9891_9994
+QTPSKQMQKLIGVQQMCKFFKLELLFTRLCPPVM
+>NM_005245|472714_2_11562_11683
+MAVKMIRALRDPNVCLIPGRRNTPVSVPAAGLVSAQGVHL
+>DECOY_NM_005245|472714_2_11562_11683
+LHVGQASVLGAAPVSVPTNRRGPILCVNPDRLARIMKVAM
+>NM_005245|472718_2_11835_11941
+MEGCSTSLTVEVALELSLFRAFRSMMGSGTQWPWK
+>DECOY_NM_005245|472718_2_11835_11941
+KWPWQTGSGMMSRFARFLSLELAVEVTLSTSCGEM
+>NM_005245|472722_2_12486_12802
+MAEHALTVWMAPFVSVIRVLGEKGVRVISTSALETLACTGPSVRTRTAPITATAATSTGDVTARMLRPTSMCPRRGTLGWRKELESLCLLQGYFYWWWCLFSAVR
+>DECOY_NM_005245|472722_2_12486_12802
+RVASFLCWWWYFYGQLLCLSELEKRWGLTGRRPCMSTPRLMRATVDGTSTAATATIPATRTRVSPGTCALTELASTSIVRVGKEGLVRIVSVFPAMWVTLAHEAM
+>NM_005245|472727_2_13323_13402
+MGITGIHQIGCQAFLCRTYKSSPTMR
+>DECOY_NM_005245|472727_2_13323_13402
+RMTPSSKYTRCLFAQCGIQHIGTIGM
+>NM_000421|472741_2_291_469
+MEVAALVGVMEAALEGAVSEVAALVGAALVEAALVEAALEEALVVDLEEMVAFSLEMKK
+>DECOY_NM_000421|472741_2_291_469
+KKMELSFAVMEELDVVLAEELAAEVLAAEVLAAGVLAAVESVAGELAAEMVGVLAAVEM
+>NM_000421|472742_2_318_469
+MEAALEGAVSEVAALVGAALVEAALVEAALEEALVVDLEEMVAFSLEMKK
+>DECOY_NM_000421|472742_2_318_469
+KKMELSFAVMEELDVVLAEELAAEVLAAEVLAAGVLAAVESVAGELAAEM
+>NM_000421|472746_2_579_664
+MATHIRGSLVTTANTTKPSMTLKIRFST
+>DECOY_NM_000421|472746_2_579_664
+TSFRIKLTMSPKTTNATTVLSGRIHTAM
+>NM_194248|472816_2_515_663
+MGTSWEMSLFKRKRRTAKRRMDCSQAPGPAPGPQERRASGEPGGACSPP
+>DECOY_NM_194248|472816_2_515_663
+PPSCAGGPEGSARREQPGPAPGPAQSCDMRRKATRRKRKFLSMEWSTGM
+>NM_194248|472821_2_2045_2121
+MGTKLMACPGPSGLGPGRSRGMRKK
+>DECOY_NM_194248|472821_2_2045_2121
+KKRMGRSRGPGLGSPGPCAMLKTGM
+>NM_194248|472833_2_4448_4689
+MAPPRRSALWDASRAPSACTKCHSQRTCPGKPATTPPTACSRASRAMTPSMCWSESMWSGPRTCTLLTSTAKLTPTSPSG
+>DECOY_NM_194248|472833_2_4448_4689
+GSPSTPTLKATSTLLTCTRPGSWMSESWCMSPTMARSARSCATPPTTAPKGPCTRQSHCKTCASPARSADWLASRRPPAM
+>NM_021569|472974_2_1432_1523
+MAPTSSLMTGRSSGQAERQRSLEGTRCPPD
+>DECOY_NM_021569|472974_2_1432_1523
+DPPCRTGELSRQREAQGSSRGTMLSSTPAM
+>NM_021569|472975_2_2674_2789
+MASWKTWTRRGFGIRNVTRAATPLRPLLLRTWPGSSCW
+>DECOY_NM_021569|472975_2_2674_2789
+WCSSGPWTRLLLPRLPTAARTVNRIGFGRRTWTKWSAM
+>NM_005964|473023_2_223_332
+MVLRQLVSKKNGEMKLWWSWQRMERKQWSTKMIFRR
+>DECOY_NM_005964|473023_2_223_332
+RRFIMKTSWQKREMRQWSWWLKMEGNKKSVLQRLVM
+>NM_000830|473075_2_2913_3019
+MAAPRKTTKKPVPWEWKILEASSLFWLPDWSFLYL
+>DECOY_NM_000830|473075_2_2913_3019
+LYLFSWDPLWFLSSAELIKWEWPVPKKTTKRPAAM
+>NM_000830|473077_3_1522_1598
+MAPRTQIYEPDQRGPVGWLDWAYHL
+>DECOY_NM_000830|473077_3_1522_1598
+LHYAWDLWGVPGRQDPEYIQTRPAM
+>NM_000830|473078_3_2425_2525
+MVVFHPNHHFILHGQSGCLLDSRENGIPHRFGR
+>DECOY_NM_000830|473078_3_2425_2525
+RGFRHPIGNERSDLLCGSQGHLIFHHNPHFVVM
+>NM_017697|473102_2_1801_1913
+MAYPHRHVSYHACLLPPTHFQLLLQLFLQKLPFTSPL
+>DECOY_NM_017697|473102_2_1801_1913
+LPSTFPLKQLFLQLLLQFHTPPLLCAHYSVHRHPYAM
+>NM_017697|473106_3_881_996
+MAVFRSRYCKILQRTQYCQGRCSTLSECSGSKERRSSG
+>DECOY_NM_017697|473106_3_881_996
+GSSRREKSGSCESLTSCRGQCYQTRQLIKCYRSRFVAM
+>NM_005161|473243_2_466_662
+MGQTTSLSVSTQTGNPRGPSSLPSTCWSSSWAPRATVWCSGPCFGAAGRRGAQLISSLLAWRWLT
+>DECOY_NM_005161|473243_2_466_662
+TLWRWALLSSILQAGRRGAAGFCPGSCWVTARPAWSSSWCTSPLSSPGRPNGTQTSVSLSTTQGM
+>NM_001034915|473280_2_1801_1901
+MAYPHRHACLLPPTHFQLLLQLFLQKLPFTSPL
+>DECOY_NM_001034915|473280_2_1801_1901
+LPSTFPLKQLFLQLLLQFHTPPLLCAHRHPYAM
+>NM_001212|473328_2_607_794
+MARRPLCWTVIIQRMRLDKKTRLRVTSSLSGKLAFSPLASLNGRILIIHSTQIPWTGPYMTT
+>DECOY_NM_001212|473328_2_607_794
+TTMYPGTWPIQTSHIILIRGNLSALPSFALKGSLSSTVRLRTKKDLRMRQIIVTWCLPRRAM
+>NM_031439|473346_2_761_888
+MAIPAASPTCQGTRTHRSTPQALSTVATPWAPWPLASPPASP
+>DECOY_NM_031439|473346_2_761_888
+PSAPPSALPWPAWPTAVTSLAQPTSRHTRTGQCTPSAAPIAM
+>NM_198400|473495_2_775_1034
+MGLTAATVVILGHVQVSQVEVHILTVSSVTVVVILFHQVMILFWVETYLLTAPPIEVCQTGILLLVKFFQEVQVQILLSRMTWNMD
+>DECOY_NM_198400|473495_2_775_1034
+DMNWTMRSLLIQVQVEQFFKVLLLIGTQCVEIPPATLLYTEVWFLIMVQHFLIVVVTVSSVTLIHVEVQSVQVHGLIVVTAATLGM
+>NM_198400|473498_2_1231_1313
+MALVLKDFFQQLSMDFGYLKQFVPPEK
+>DECOY_NM_198400|473498_2_1231_1313
+KEPPVFQKLYGFDMSLQQFFDKLVLAM
+>NM_198400|473500_2_1648_1757
+MVFYEEVFHWEELIQIFPVYPALSTIVLKGDHLSYS
+>DECOY_NM_198400|473500_2_1648_1757
+SYSLHDGKLVITSLAPYVPFIQILEEWHFVEEYFVM
+>NM_198400|473505_2_2038_2147
+MATFNCKHNVHLPPGGRYPRKQKVLTTESLPRTGKL
+>DECOY_NM_198400|473505_2_2038_2147
+LKGTRPLSETTLVKQKRPYRGGPPLHVNHKCNFTAM
+>NM_198400|473518_3_2774_2919
+MGRENSHRWKNLLHKSQYKKNTMGRSSVGECSNNWTSSALLQGLQKKV
+>DECOY_NM_198400|473518_3_2774_2919
+VKKQLGQLLASSTWNNSCEGVSSRGMTNKKYQSKHLLNKWRHSNERGM
+>NM_198400|473519_3_2840_2919
+MGRSSVGECSNNWTSSALLQGLQKKV
+>DECOY_NM_198400|473519_3_2840_2919
+VKKQLGQLLASSTWNNSCEGVSSRGM
+>NM_005761|473690_2_3982_4079
+MALLMDFSLMKLVLSFKWAHDRKNFWTSTVPP
+>DECOY_NM_005761|473690_2_3982_4079
+PPVTSTWFNKRDHAWKFSLVLKMLSFDMLLAM
+>NM_009586|473759_2_105_205
+MASFTSLPSCSRCRRPSLRSWTKRPSSASPRAT
+>DECOY_NM_009586|473759_2_105_205
+TARPSASSPRKTWSRLSPRRCRSCSPLSTFSAM
+>NM_004687|473794_2_786_982
+MGLPSPAAASQRSAGGAGAMLMMSTWSRPLLKPVPWTRGQGPLGAPSAPGIMIPARRVMLTLILL
+>DECOY_NM_004687|473794_2_786_982
+LLILTLMVRRAPIMIGPASPAGLPGQGRTWPVPKLLPRSWTSMMLMAGAGGASRQSAAAPSPLGM
+>NM_004687|473802_2_2427_2506
+MEFVIFLSLPRTLLQVRPNRPSQTPC
+>DECOY_NM_004687|473802_2_2427_2506
+CPTQSPRNPRVQLLTRPLSLFIVFEM
+>NM_004687|473804_2_2721_2818
+MGSYWKILALGKCHWNWSGSQFLRARSVSSLF
+>DECOY_NM_004687|473804_2_2721_2818
+FLSSVSRARLFQSGSWNWHCKGLALIKWYSGM
+>NM_020666|473892_2_802_929
+MVMFVLCLNYWDLVLTISLKKTAFCHFKLTTSGRWRIRSASQ
+>DECOY_NM_020666|473892_2_802_929
+QSASRIRWRGSTTLKFHCFATKKLSITLVLDWYNLCLVFMVM
+>NM_003017|473900_2_229_368
+MATRRNWNGLLATMDHSEVCGLLETHPALLLLNLKIPEMQLMQSES
+>DECOY_NM_003017|473900_2_229_368
+SESQMLQMEPIKLNLLLLAPHTELLGCVESHDMTALLGNWNRRTAM
+>NM_003017|473901_2_268_368
+MDHSEVCGLLETHPALLLLNLKIPEMQLMQSES
+>DECOY_NM_003017|473901_2_268_368
+SESQMLQMEPIKLNLLLLAPHTELLGCVESHDM
+>NM_001105556|473925_2_1826_1902
+MAPVRTARFLPTGRATGPLSPKGRI
+>DECOY_NM_001105556|473925_2_1826_1902
+IRGKPSLPGTARGTPLFRATRVPAM
+>NM_005604|473950_3_670_1103
+MAERGGCSAPPTLHGSVQRRLALLAAQLHGERHAGRRRAAGRAAPPRPAGRARRATPCRPPPAPALAPTPAAAAPAAPAGSAWPPRRAPRPALGRGHADLGRPGAVRQAVQAAADQTGIYPSGRGAGSGHPVWQRVLADHHLQV
+>DECOY_NM_005604|473950_3_670_1103
+VQLHHDALVRQWVPHGSGAGRGSPYIGTQDAAAQVAQRVAGPRGLDAHGRGLAPRPARRPPWASGAPAAPAAAAPTPALAPAPPPRCPTARRARGAPRPPAARGAARRRGAHREGHLQAALLALRRQVSGHLTPPASCGGREAM
+>NM_003504|473996_2_1244_1473
+MAQGQITSSRLWTASPGVTWTSCTMAWNSPRSSCEPPSRPLPAAFAPTSSSPRGLSCTALSWRALQMSCCSLGRHP
+>DECOY_NM_003504|473996_2_1244_1473
+PHRGLSCCSMQLARWSLATCSLGRPSSSTPAFAAPLPRSPPECSSRPSNWAMTCSTWTVGPSATWLRSSTIQGQAM
+>NM_003504|473997_2_1316_1473
+MAWNSPRSSCEPPSRPLPAAFAPTSSSPRGLSCTALSWRALQMSCCSLGRHP
+>DECOY_NM_003504|473997_2_1316_1473
+PHRGLSCCSMQLARWSLATCSLGRPSSSTPAFAAPLPRSPPECSSRPSNWAM
+>NM_004071|474007_2_191_321
+MENGGAAAVIKEGRDHIAVPRRTSAANTITLKCVIAIIWKAGL
+>DECOY_NM_004071|474007_2_191_321
+LGAKWIIAIVCKLTITNAASTRRPVAIHDRGEKIVAAAGGNEM
+>NM_004071|474012_2_836_963
+MVTFALFLNYWDLVLTTSLKKMVFYHFDWIISERWHIRYASL
+>DECOY_NM_004071|474012_2_836_963
+LSAYRIHWRESIIWDFHYFVMKKLSTTLVLDWYNLFLAFTVM
+>NM_000608|474033_2_423_499
+MGPSPDTREAENMLLTCCSLGTPRP
+>DECOY_NM_000608|474033_2_423_499
+PRPTGLSCCTLLMNEAERTDPSPGM
+>NM_000052|474136_3_2106_2209
+METVFSCESVFLYSCNGADDIYDGYGPPLCNSSP
+>DECOY_NM_000052|474136_3_2106_2209
+PSSNCLPPGYGDYIDDAGNCSYLFVSECSFVTEM
+>NM_003488|474215_2_1906_2084
+MDGPWMRKQIIQEVLTGTAWIPWIAVAVSRRLRASKMPRQAPTLRRSTSSSGRSRCQST
+>DECOY_NM_003488|474215_2_1906_2084
+TSQCRSRGSSSTSRRLTPAQRPMKSARLRRSVAVAIWPIWATGTLVEQIIQKRMWPGDM
+>NM_003971|474255_2_846_919
+MDCLHLMLRKEERPLDLSNGNFRN
+>DECOY_NM_003971|474255_2_846_919
+NRFNGNSLDLPREEKRLMLHLCDM
+>NM_003971|474263_2_2271_2368
+MVVLLLEQVYFTRMLLVWIQKAVNSEVPLRVV
+>DECOY_NM_003971|474263_2_2271_2368
+VVRLPVESNVAKQIWVLLMRTFYVQELLLVVM
+>NM_003971|474270_2_3465_3562
+MACGSPFAWILRSVSIMHTLINIYRMWTLSLM
+>DECOY_NM_003971|474270_2_3465_3562
+MLSLTWMRYINILTHMISVSRLIWAFPSGCAM
+>NM_001145657|474315_2_1623_1705
+MAVGAAASLSLSSGSSGAAASPWMPWG
+>DECOY_NM_001145657|474315_2_1623_1705
+GWPMWPSAAAGSSGSSLSLSAAAGVAM
+>NM_001812|474563_2_214_380
+MATEEDFVDLPGHVTLTQSKARMFWKSYKTVLKKKVLPMILVQILQNQCLIQHAK
+>DECOY_NM_001812|474563_2_214_380
+KAHQILCQNQLIQVLIMPLVKKKLVTKYSKWFMRAKSQTLTVHGPLDVFDEETAM
+>NM_020746|474634_2_1526_1734
+MAQRRMSISPRAPLGSTWLRTPASSSWRATLGHLRTRMAAPGHKPTGSSRRGRCHATGPHLGLCGSRWL
+>DECOY_NM_020746|474634_2_1526_1734
+LWRSGCLGLHPGTAHCRGRRSSGTPKHGPAAMRTRLHGLTARWSSSAPTRLWTSGLPARPSISMRRQAM
+>NM_203406|474789_2_516_604
+MVSSGFKNVSTSRATVPTSGWCAAPSRTW
+>DECOY_NM_203406|474789_2_516_604
+WTRSPAACWGSTPVTARSTSVNKFGSSVM
+>NM_015430|474816_2_599_672
+METTAMARSSSVSVATSGQLLSRA
+>DECOY_NM_015430|474816_2_599_672
+ARSLLQGSTAVSVSSSRAMATTEM
+>NM_004651|474965_2_1957_2165
+MGMRKKMTRRIKMTSLGPQLGAASETLSQSRLGPALESRTGARSSWTIALAHLSGPQGDDASSCSPCRR
+>DECOY_NM_004651|474965_2_1957_2165
+RRCPSCSSADDGQPGSLHALAITWSSRAGTRSELAPGLRSQSLTESAAGLQPGLSTMKIRRTMKKRMGM
+>NM_004651|474978_3_1325_1629
+MAKPQTAERFCDRGHFPRPLQVHAGVPRLWQCICDLRPLLLPQCSTAYQPQEGLGGLLYPHGSAPQARAAPARGPQERQDLGSMCGSVQTHGHLAREDDGG
+>DECOY_NM_004651|474978_3_1325_1629
+GGDDERALHGHTQVSGCMSGLDQREQPGRAPAARAQPASGHPYLLGGLGEQPQYATSCQPLLLPRLDCICQWLRPVGAHVQLPRPFHGRDCFREATQPKAM
+>NM_001918|475048_2_622_737
+MAEYLKKISSTIWKSRQELYCLLHPKLKLCHLHQSQKT
+>DECOY_NM_001918|475048_2_622_737
+TKQSQHLHCLKLKPHLLCYLEQRSKWITSSIKKLYEAM
+>NM_003684|475089_2_455_573
+MAKSMPSKSSRNKQGTVGVGCFERWRRCISVRETRTFWS
+>DECOY_NM_003684|475089_2_455_573
+SWFTRTERVSICRRWREFCGVGVTGQKNRSSKSPMSKAM
+>NM_012293|475187_2_2381_2469
+MASTPLGASTPTDCTTGTPFPCRAWCPPP
+>DECOY_NM_012293|475187_2_2381_2469
+PPPCWARCPFPTGTTCDTPTSAGLPTSAM
+>NM_000302|475223_2_1322_1458
+MGGCGRTSGGLSVQMATMPVPRTTWTLCRGGVLVSGMCPIFQTST
+>DECOY_NM_000302|475223_2_1322_1458
+TSTQFIPCMGSVLVGGRCLTWTTRPVPMTAMQVSLGGSTRGCGGM
+>NM_004190|475254_2_329_639
+MVIFLKSIEFLMGRKIQGIQARDLLCFCSMVCLHQPQTGFPTCRTTALPSFWQMLVMMCGWATAEETPGPEETCTIHQIQLNSGLSALMKWLNMTFQPQSTSL
+>DECOY_NM_004190|475254_2_329_639
+LSTSQPQFTMNLWKMLASLGSNLQIQHITCTEEPGPTEEATAWGCMMVLMQWFSPLATTRCTPFGTQPQHLCVMSCFCLLDRAQIGQIKRGMLFEISKLFIVM
+>NM_004190|475256_2_416_639
+MVCLHQPQTGFPTCRTTALPSFWQMLVMMCGWATAEETPGPEETCTIHQIQLNSGLSALMKWLNMTFQPQSTSL
+>DECOY_NM_004190|475256_2_416_639
+LSTSQPQFTMNLWKMLASLGSNLQIQHITCTEEPGPTEEATAWGCMMVLMQWFSPLATTRCTPFGTQPQHLCVM
+>NM_005925|475469_2_1629_1735
+METISGTGLLKWEQWLCSLMELSLEEVGAMEPVPL
+>DECOY_NM_005925|475469_2_1629_1735
+LPVPEMAGVEELSLEMLSCLWQEWKLLGTGSITEM
+>NM_005925|475471_2_1914_2005
+MAKLSAGASQGKTGGTWEKGVKREAPPETP
+>DECOY_NM_005925|475471_2_1914_2005
+PTEPPAERKVGKEWTGGTKGQSAGASLKAM
+>NM_020717|475583_2_1347_1480
+MGTSGLCPLCTAATKGRKVHAPLQEEPMTSPAKKERPDKWMTGL
+>DECOY_NM_020717|475583_2_1347_1480
+LGTMWKDPREKKAPSTMPEEQLPAHVKRGKTAATCLPCLGSTGM
+>NM_020717|475592_2_3732_3886
+MALVGFGGHRDRKPLNPPNKSFSTFRLLQGPQESLPLTQLITIFLWPRQSC
+>DECOY_NM_020717|475592_2_3732_3886
+CSQRPWLFITILQTLPLSEQPGQLLRFTSFSKNPPNLPKRDRHGGFGVLAM
+>NM_002912|475689_2_519_595
+MVMDSSQKAIFLRWHSVSTEHLMWL
+>DECOY_NM_002912|475689_2_519_595
+LWMLHETSVSHWRLFIAKQSSDMVM
+>NM_002912|475692_2_1593_1726
+MDIGGKEIGCHHHVAPLEIINIHKIVMMKKMNHRLKKRKWSLVW
+>DECOY_NM_002912|475692_2_1593_1726
+WVLSWKRKKLRHNMKKMMVIKHINIIELPAVHHHCGIEKGGIDM
+>NM_002912|475697_2_3930_4015
+MEKRNQEQNKKQMRKVHRESIQHLRMKK
+>DECOY_NM_002912|475697_2_3930_4015
+KKMRLHQISERHVKRMQKKNQEQNRKEM
+>NM_002912|475710_2_9474_9571
+MASVVNVGANLSMLQSSSTKKSGSWNVNRSNL
+>DECOY_NM_002912|475710_2_9474_9571
+LNSRNVNWSGSKKTSSSQLMSLNAGVNVVSAM
+>NM_004455|475730_2_1147_1538
+MALRYSCTQRLEPSLRLIAGSWLPLRALASTHSALLGPASSSSSAWTPRLESAAQCLCNGTGAGTIWSSVSTRLPAPGPSSWDRLWWLRPAPRWTPSGPALMWPSLFSLKPTRCEVGLLASCGNTAPSPG
+>DECOY_NM_004455|475730_2_1147_1538
+GPSPATNGCSALLGVECRTPKLSFLSPWMLAPGSPTWRPAPRLWWLRDWSSPGPAPLRTSVSSWITGAGTGNCLCQAASELRPTWASSSSSAPGLLASHTSALARLPLWSGAILRLSPELRQTCSYRLAM
+>NM_004455|475732_2_1612_1823
+MGAVSKTLDLGRPSARRRCPMPPSASSLATVPRLPRASSKPCRPAASQCFSAPAGSCPSPRSSTGPRQPS
+>DECOY_NM_004455|475732_2_1612_1823
+SPQRPGTSSRPSPCSGAPASFCQSAAPRCPKSSARPLRPVTALSSASPPMPCRRRASPRGLDLTKSVAGM
+>NM_004455|475743_3_1322_1410
+MEQGQEPSGPPSPPGSLPQDLPAGTGYGG
+>DECOY_NM_004455|475743_3_1322_1410
+GGYGTGAPLDQPLSGPPSPPGSPEQGQEM
+>NM_001041|475890_2_2562_2635
+MEKLKIQYKMATTYYIHFQFLITH
+>DECOY_NM_001041|475890_2_2562_2635
+HTILFQFHIYYTTAMKYQIKLKEM
+>NM_001041|475898_2_3753_3862
+MDMQILQRFGNYMTLWWLLTSPMMFSTQTLTTWKGS
+>DECOY_NM_001041|475898_2_3753_3862
+SGKWTTLTQTSFMMPSTLLWWLTMYNGFRQLIQMDM
+>NM_001041|475913_3_1900_1979
+MVYNWNAGVQFVWNTFGWSRHLWICG
+>DECOY_NM_001041|475913_3_1900_1979
+GCIWLHRSWGFTNWVFQVGANWNYVM
+>NM_001041|475914_3_4537_4616
+MGRTLAWRQLCTMGQHGQINHWYDGI
+>DECOY_NM_001041|475914_3_4537_4616
+IGDYWHNIQGHQGMTCLQRWALTRGM
+>NM_000028|475964_2_3875_3993
+MVSFLIYWVKEFMPDTIVGMLCGGGCSVSRITVKWFQMV
+>DECOY_NM_000028|475964_2_3875_3993
+VMQFWKVTIRSVSCGGGCLMGVITDPMFEKVWYILFSVM
+>NM_000028|475977_3_3543_3682
+MEADVKLCSEWFNLCETPFIGFSSTVWSRKIPFPANSFTCPNGCTL
+>DECOY_NM_000028|475977_3_3543_3682
+LTCGNPCTFSNAPFPIKRSWVTSSFGIFPTECLNFWESCLKVDAEM
+>NM_005708|476327_2_1944_2038
+MGSPTRSTIPRWMWTSLGLTLSSDSRLWLSV
+>DECOY_NM_005708|476327_2_1944_2038
+VSLWLRSDSSLTLGLSTWMWRPITSRTPSGM
+>NM_144641|476363_3_1069_1202
+MAGAELPPWEPRGPTQVLKAGPDADTQHTGKGRQSHRGRAGVLR
+>DECOY_NM_144641|476363_3_1069_1202
+RLVGARGRHSQRGKGTHQTDADPGAKLVQTPGRPEWPPLEAGAM
+>NM_003678|476400_2_137_282
+MEPQLKESGIDLTPSRKVNTTVRRPRWICGTLAETMSYTSTPARSYRG
+>DECOY_NM_003678|476400_2_137_282
+GRYSRAPTSTYSMTEALTGCIWRPRRVTTNVKRSPTLDIGSEKLQPEM
+>NM_005736|476545_2_337_437
+MASSRIGTTWNAFGNMSILRTSCRLSQRSILCS
+>DECOY_NM_005736|476545_2_337_437
+SCLISRQSLRCSTRLISMNGFANWTTGIRSSAM
+>NM_005736|476547_2_592_758
+MESPMLCPSMRALPCPTPSCASTSRAGTSLASCASTCVRRATTSTHPLSLRLSRP
+>DECOY_NM_005736|476547_2_592_758
+PRSLRLSLPHTSTTARRVCTSACSALSTGARSTSACSPTPCPLARMSPCLMPSEM
+>NM_182691|476569_2_1211_1284
+MVKLRTRKRKKMLRKKTLKKMKMM
+>DECOY_NM_182691|476569_2_1211_1284
+MMKMKKLTKKRLMKKRKRTRLKVM
+>NM_182691|476571_2_1352_1572
+MAHSHWSSNWTMKMMMKKTAQILRNIILMSQMQKVITHIAAPMNNSMVNCQMDDIKFPSHSSQSFPPRCSLDP
+>DECOY_NM_182691|476571_2_1352_1572
+PDLSCRPPFSQSSHSPFKIDDMQCNVMSNNMPAAIHTIVKQMQSMLIINRLIQATKKMMMKMTWNSSWHSHAM
+>NM_207308|476631_2_322_410
+MAPCVPKKLYSLLNLRNRYASAVLFLLEK
+>DECOY_NM_207308|476631_2_322_410
+KELLFLVASAYRNRLNLLSYLKKPVCPAM
+>NM_207308|476635_2_4834_4946
+MVSILKDSVPQTRPWPLQKYFFQRPSCCAMYSSVILC
+>DECOY_NM_207308|476635_2_4834_4946
+CLIVSSYMACCSPRQFFYKQLPWPRTQPVSDKLISVM
+>NM_207308|476637_2_5119_5213
+MECNESSFLSSQPFILTSQNWFLATNKISGR
+>DECOY_NM_207308|476637_2_5119_5213
+RGSIKNTALFWNQSTLIFPQSSLFSSENCEM
+>NM_207308|476640_3_4601_4692
+MDDFCQQYSTDRHCHWSRSGQESGDCNDFS
+>DECOY_NM_207308|476640_3_4601_4692
+SFDNCDGSEQGSRSWHCHRDTSYQQCFDDM
+>NM_013396|476780_3_2322_2458
+MGCTTCPESFAGKAFSVSEIERVRDFCDNSTSSRRPRISRAAIKK
+>DECOY_NM_013396|476780_3_2322_2458
+KKIAARSIRPRRSSTSNDCFDRVREIESVSFAKGAFSEPCTTCGM
+>NM_004582|476794_2_459_595
+MVLLLEIFGEKLTQDSLFVRWQLWLCWGSLMLLMWKRQSNLFYPV
+>DECOY_NM_004582|476794_2_459_595
+VPYFLNSQRKWMLLMLSGWCLWLQWRVFLSDQTLKEGFIELLLVM
+>NM_207401|476997_3_450_586
+MGPGTRLQRPLPVTPRCGLALREPHPPLRQLRPQSSGAEAAPRGP
+>DECOY_NM_207401|476997_3_450_586
+PGRPAAEAGSSQPRLQRLPPHPERLALGCRPTVPLPRQLRTGPGM
+>NM_207405|477013_2_394_530
+MGWGTSCSPSWRRTCSTTWHWLSTRPPSWLRESQRQATTWTSSLR
+>DECOY_NM_207405|477013_2_394_530
+RLSSTWTTAQRQSERLWSPPRTSLWHWTTSCTRRWSPSCSTGWGM
+>NM_021140|477054_2_3091_3182
+MAYLTVAFCWINVHLQDHHLHHTLPCQRTS
+>DECOY_NM_021140|477054_2_3091_3182
+STRQCPLTHHLHHDQLHVNIWCFAVTLYAM
+>NM_021140|477059_2_4333_4439
+MGGQKKNQLITVAFVKWRFLICFLSLMRVIHERPT
+>DECOY_NM_021140|477059_2_4333_4439
+TPREHIVRMLSLFCILFRWKVFAVTILQNKKQGGM
+>NM_001015050|477085_2_468_550
+MAWSLRTQRNWQLCRCFSQTFLILQAS
+>DECOY_NM_001015050|477085_2_468_550
+SAQLILFTQSFCRCLQWNRQTRLSWAM
+>NM_001037666|477097_2_611_735
+MAFPALSMGPAPRCIPSRAHRTASVSSHWTLRRFQPSPPPS
+>DECOY_NM_001037666|477097_2_611_735
+SPPPSPQFRRLTWHSSVSATRHARSPICRPAPGMSLAPFAM
+>NM_001037666|477098_2_632_735
+MGPAPRCIPSRAHRTASVSSHWTLRRFQPSPPPS
+>DECOY_NM_001037666|477098_2_632_735
+SPPPSPQFRRLTWHSSVSATRHARSPICRPAPGM
+>NM_020839|477193_2_671_744
+MARNACQAVLMGQFAFGPLASRDV
+>DECOY_NM_020839|477193_2_671_744
+VDRSALPGFAFQGMLVAQCANRAM
+>NM_001300|477215_2_811_899
+MAMPPPTAGGGCTGATLTAAGKFTPKAPT
+>DECOY_NM_001300|477215_2_811_899
+TPAKPTFKGAATLTAGTCGGGATPPPMAM
+>NM_006517|477294_2_1658_1749
+MASSSPSWPPLHLSWWAQCRPHRPLATSWA
+>DECOY_NM_006517|477294_2_1658_1749
+AWSTALPRHPRCQAWWSLHLPPWSPSSSAM
+>NM_003142|477327_2_117_214
+MVIMKRWLPWRPKSVIKLSIILATSICHGTSF
+>DECOY_NM_003142|477327_2_117_214
+FSTGHCISTALIISLKIVSKPRWPLWRKMIVM
+>NM_000838|477362_2_690_850
+MASRGWRPCSTRWIRSTRTRSSCPTSPWAVRSGTPAGTLPWLWNRALSSLGTL
+>DECOY_NM_000838|477362_2_690_850
+LTGLSSLARNWLWPLTGAPTGSRVAWPSTPCSSRTRTSRIWRTSCPRWGRSAM
+>NM_000838|477364_2_873_946
+MGSTGVCLTASPSPQAGLRSPLRE
+>DECOY_NM_000838|477364_2_873_946
+ERLPSRLGAQPSPSATLCVGTSGM
+>NM_000838|477366_2_1176_1351
+MGRAEWTLSKSWLPRKASVSPILTKSTATLGRRALTDSCANSERGFPRLEWWSASVKA
+>DECOY_NM_000838|477366_2_1176_1351
+AKVSASWWELRPFGRESNACSDTLARRGLTATSKTLIPSVSAKRPLWSKSLTWEARGM
+>NM_000838|477375_2_3054_3220
+MASCPAAPTLSSTSSEERRQGQGMPILMASLCHGLNQVEDRCPRDSICGTASLCT
+>DECOY_NM_000838|477375_2_3054_3220
+TCLSATGCISDRPCRDEVQNLGHCLSAMLIPMGQGQRREESSTSSLTPAAPCSAM
+>NM_022369|477501_2_588_838
+MGKLRLQEGPGRYWDCSIMLPSTTLWLPVPRLATQLHTCSAARCPGPTLGSRSGRGQSVPRCPRSTSTTPCWPPCLSCWASDS
+>DECOY_NM_022369|477501_2_588_838
+SDSAWCSLCPPWCPTTSTSRPCRPVSQGRGSRSGLTPGPCRAASCTHLQTALRPVPLWLTTSPLMISCDWYRGPGEQLRLKGM
+>NM_002514|477544_2_624_805
+MGRLAVCPAVSWMCYCLSLTAQLQEKLRCLESAVKSGSVAQMRRIHWEALPLQLTGQKPP
+>DECOY_NM_002514|477544_2_624_805
+PPKQGTLQLPLAEWHIRRMQAVSGSKVASELCRLKEQLQATLSLCYCMWSVAPCVALRGM
+>NM_033657|477650_2_344_432
+MGISTRVSTTTSPPRIWRLYFPMAFLLAL
+>DECOY_NM_033657|477650_2_344_432
+LALLFAMPFYLRWIRPPSTTTSVRTSIGM
+>NM_004055|477684_3_508_596
+MGPRKAQRLRGHLPLPLLALRGMGGRGHR
+>DECOY_NM_004055|477684_3_508_596
+RHGRGGMGRLALLPLPLHGRLRQAKRPGM
+>NM_080672|477704_3_703_830
+MEEKEKRKTEADNVSAGEEDGRQARPRGAHQEGAAGDDGAGC
+>DECOY_NM_080672|477704_3_703_830
+CGAGDDGAAGEQHAGRPRAQRGDEEGASVNDAETKRKEKEEM
+>NM_012181|477714_2_510_709
+MAHGCRRSRSWCSLWVTVTSSRPWISVSHSWTWGRRPWSLLTPSTATAPKAAGAHTSPRTRPCAWR
+>DECOY_NM_012181|477714_2_510_709
+RWACPRTRPSTHAGAAKPATATSPTLLSWPRRGWTWSHSVSIWPRSSTVTVWLSCWSRSRRCGHAM
+>NM_012064|477768_2_492_580
+MANWAPWPWPLASPLPWGTSLGCIILVQA
+>DECOY_NM_012064|477768_2_492_580
+AQVLIICGLSTGWPLPSALPWPWPAWNAM
+>NM_021913|477835_2_404_480
+MDRSWSSRTAPRPRCPWVRMNRMTG
+>DECOY_NM_021913|477835_2_404_480
+GTMRNMRVWPCRPRPATRSSWSRDM
+>NM_021913|477837_2_989_1077
+MGWASRRENQTPQRSPSPRKHPCPPISFG
+>DECOY_NM_021913|477837_2_989_1077
+GFSIPPCPHKRPSPSRQPTQNERRSAWGM
+>NM_021913|477838_2_1430_1503
+MDPGASQYPWRPGAQGKHSQSTSW
+>DECOY_NM_021913|477838_2_1430_1503
+WSTSQSHKGQAGPRWPYQSAGPDM
+>NM_021913|477839_2_2063_2136
+METYTASSSIPGSGTSQCTCPLRC
+>DECOY_NM_021913|477839_2_2063_2136
+CRLPCTCQSTGSGPISSSATYTEM
+>NM_006121|477884_2_339_586
+MVVVALVVVALVVVALVEVALGVVALVVLAVVVVVLVEVALGVVDMGVVMVLSALLVAYKKSLSTRAFFSPSMWRLTLRSKR
+>DECOY_NM_006121|477884_2_339_586
+RKSRLTLRWMSPSFFARTSLSKKYAVLLASLVMVVGMDVVGLAVEVLVVVVVALVVLAVVGLAVEVLAVVVLAVVVLAVVVM
+>NM_006121|477885_2_474_586
+MGVVMVLSALLVAYKKSLSTRAFFSPSMWRLTLRSKR
+>DECOY_NM_006121|477885_2_474_586
+RKSRLTLRWMSPSFFARTSLSKKYAVLLASLVMVVGM
+>NM_006121|477886_2_486_586
+MVLSALLVAYKKSLSTRAFFSPSMWRLTLRSKR
+>DECOY_NM_006121|477886_2_486_586
+RKSRLTLRWMSPSFFARTSLSKKYAVLLASLVM
+>NM_006121|477889_2_1653_1897
+MAPEVVAMVLEVAAAAAVAAMAPEVAATAPEVAAMALEVAAAAMAATAPEAAVGATEVALEAAAAAALAAGALAAGALEAP
+>DECOY_NM_006121|477889_2_1653_1897
+PAELAGAALAGAALAAAAAAELAVETAGVAAEPATAAMAAAAVELAMAAVEPATAAVEPAMAAVAAAAAVELVMAVVEPAM
+>NM_006121|477890_2_1674_1897
+MVLEVAAAAAVAAMAPEVAATAPEVAAMALEVAAAAMAATAPEAAVGATEVALEAAAAAALAAGALAAGALEAP
+>DECOY_NM_006121|477890_2_1674_1897
+PAELAGAALAGAALAAAAAAELAVETAGVAAEPATAAMAAAAVELAMAAVEPATAAVEPAMAAVAAAAAVELVM
+>NM_006121|477891_2_1713_1897
+MAPEVAATAPEVAAMALEVAAAAMAATAPEAAVGATEVALEAAAAAALAAGALAAGALEAP
+>DECOY_NM_006121|477891_2_1713_1897
+PAELAGAALAGAALAAAAAAELAVETAGVAAEPATAAMAAAAVELAMAAVEPATAAVEPAM
+>NM_006121|477892_2_1755_1897
+MALEVAAAAMAATAPEAAVGATEVALEAAAAAALAAGALAAGALEAP
+>DECOY_NM_006121|477892_2_1755_1897
+PAELAGAALAGAALAAAAAAELAVETAGVAAEPATAAMAAAAVELAM
+>NM_006121|477893_2_1782_1897
+MAATAPEAAVGATEVALEAAAAAALAAGALAAGALEAP
+>DECOY_NM_006121|477893_2_1782_1897
+PAELAGAALAGAALAAAAAAELAVETAGVAAEPATAAM
+>NM_000292|477977_2_1301_1437
+MDAVASFEMVIKLQERTLIDCIMTLLNSSSSKTLNVSGLCFGHIL
+>DECOY_NM_000292|477977_2_1301_1437
+LIHGFCLGSVNLTKSSSSNLLTMICDILTREQLKIVMEFSAVADM
+>NM_000292|477978_2_1325_1437
+MVIKLQERTLIDCIMTLLNSSSSKTLNVSGLCFGHIL
+>DECOY_NM_000292|477978_2_1325_1437
+LIHGFCLGSVNLTKSSSSNLLTMICDILTREQLKIVM
+>NM_004774|478067_2_474_553
+MDWALISVPVALNVTSRQICSMWKCS
+>DECOY_NM_004774|478067_2_474_553
+SCKWMSCIQRSTVNLAVPVSILAWDM
+>NM_004774|478073_2_1983_2149
+MGRTSARCLRTQFLPVCCKSQGTGGLPLARVRPLLITRRHLSLRWPATPRTTRCS
+>DECOY_NM_004774|478073_2_1983_2149
+SCRTTRPTAPWRLSLHRRTILLPRVRALPLGGTGQSKCCVPLFQTRLCRASTRGM
+>NM_004774|478078_2_3216_3427
+MGKAKISLQSGRRQTLRESLHLIVLLTDLLPHLPVQVDLNRQAVQEDLRLPQVLPHHPFPKSLFRFLREQ
+>DECOY_NM_004774|478078_2_3216_3427
+QERLFRFLSKPFPHHPLVQPLRLDEQVAQRNLDVQVPLHPLLDTLLVILHLSERLTQRRGSQLSIKAKGM
+>NM_004774|478080_2_4503_4624
+MALHSSVVPLQSMSVALPAIVSHQHIPPRIWTVKVSQAPP
+>DECOY_NM_004774|478080_2_4503_4624
+PPAQSVKVTWIRPPIHQHSVIAPLAVSMSQLPVVSSHLAM
+>NM_020318|478127_2_1786_1937
+MGRETPVSLHISNLGPSIPLNTGSKRVHRRKATKMVERAPTEKQRPLTPK
+>DECOY_NM_020318|478127_2_1786_1937
+KPTLPRQKETPAREVMKTAKRRHVRKSGTNLPISPGLNSIHLSVPTERGM
+>NM_020318|478128_2_2407_2531
+MGTISVDTWAHWFSGRPPCHKAIFSTVLSIQVGRRKRLTWS
+>DECOY_NM_020318|478128_2_2407_2531
+SWTLRKRRGVQISLVTSFIAKHCPPRGSFWHAWTDVSITGM
+>NM_020318|478132_2_2986_3071
+MGVTAACRAAATPGTAGMGSVTWSVTTC
+>DECOY_NM_020318|478132_2_2986_3071
+CTTVSWTVSGMGATGPTAAARCAATVGM
+>NM_020318|478138_2_3823_3983
+MGPFVSMCTQLPPGGCVTPQVIGPQRRLWGLLMWISPASQAYRPGALRSTCTT
+>DECOY_NM_020318|478138_2_3823_3983
+TTCTSRLAGPRYAQSAPSIWMLLGWLRRQPGIVQPTVCGGPPLQTCMSVFPGM
+>NM_024782|478194_3_181_284
+MGVATACRELPLGQGFYHQAGLCLVGFRSSTGVA
+>DECOY_NM_024782|478194_3_181_284
+AVGTSSRFGVLCLGAQHYFGQGLPLERCATAVGM
+>NM_006804|478206_3_669_775
+MVSCRPGCCCPWTPAVLRCSVRGTVLFTPRILCSV
+>DECOY_NM_006804|478206_3_669_775
+VSCLIRPTFLVTGRVSCRLVAPTWPCCCGPRCSVM
+>NM_001103|478255_2_406_524
+MALSSCCFWKSSQGKGCPNLTGEKCGSTKLLMSTKLWIT
+>DECOY_NM_001103|478255_2_406_524
+TIWLKTSMLLKTSGCKEGTLNPCGKGQSSKWFCCSSLAM
+>NM_001103|478256_2_748_830
+MALDSVPSSTDTGLTSLTTQSLTRMTP
+>DECOY_NM_001103|478256_2_748_830
+PTMRTLSQTTLSTLGTDTSSPVSDLAM
+>NM_001103|478263_3_1088_1347
+MDSSHDPLAGEPDSREDHASHAEEAGGLPGLPPEAQATQGAGEMPAGDQLQHAADQAADQQPSCLHALRGQDGVGYCWCLAEAGAG
+>DECOY_NM_001103|478263_3_1088_1347
+GAGAEALCWCYGVGDQGRLAHLCSPQQDAAQDAAHQLQDGAPMEGAGQTAQAEPPLGPLGGAEEAHSAHDERSDPEGALPDHSSDM
+>NM_000512|478321_2_372_472
+MASTPPTPMPETPTHRRRLWAASQTRSSSCRSF
+>DECOY_NM_000512|478321_2_372_472
+FSRCSSSRTQSAAWLRRRHTPTEPMPTPPTSAM
+>NM_000512|478326_2_849_1042
+METPSGRLMTALGRYWSSSKTCTSRTTPSSSSRRTTALPSFPPPNKVAATAPFCVGSRPRLKEG
+>DECOY_NM_000512|478326_2_849_1042
+GEKLRPRSGVCFPATAAVKNPPPFSPLATTRRSSSSPTTRSTCTKSSSWYRGLATMLRGSPTEM
+>NM_003039|478398_2_386_492
+MGTTWLLSTPQHCSCNNFTMRLTMVGPVNSWKTSP
+>DECOY_NM_003039|478398_2_386_492
+PSTKWSNVPGVMTLRMTFNNCSCHQPTSLLWTTGM
+>NM_001766|478423_3_1278_1474
+MVSPSNPGCGGWGGSWPVLSGEAQQSRGPGHRPLLGWELHLHGLDCLGSPGVLAVPPHCGLYLPV
+>DECOY_NM_001766|478423_3_1278_1474
+VPLYLGCHPPVALVGPSGLCDLGHLHLEWGLLPRHGPGRSQQAEGSLVPWSGGWGGCGPNSPSVM
+>NM_001136009|478454_2_420_505
+MVRARAPFPLPTTPHTAWCQGFPYRVGA
+>DECOY_NM_001136009|478454_2_420_505
+AGVRYPFGQCWATHPTTPLPFPARARVM
+>NM_005622|478513_2_452_555
+MEKRCDGVLRNWDLCPENLPIYFQKPVPYKEEIG
+>DECOY_NM_005622|478513_2_452_555
+GIEEKYPVPKQFYIPLNEPCLDWNRLVGDCRKEM
+>NM_005622|478516_2_1589_1665
+MGISGLLQEQMMSYYPLAIELDHLR
+>DECOY_NM_005622|478516_2_1589_1665
+RLHDLEIALPYYSMMQEQLLGSIGM
+>NM_006074|478527_2_575_675
+MEKNSRSSVRRMEKSFAGFVNCLRNTKVTKHSA
+>DECOY_NM_006074|478527_2_575_675
+ASHKTVKTNRLCNVFGAFSKEMRRVSSRSNKEM
+>NM_001497|478579_2_814_896
+MASMLSTRRETLYSIVLSSSMLAFKKP
+>DECOY_NM_001497|478579_2_814_896
+PKKFALMSSSLVISYLTERRTSLMSAM
+>NM_001098536|478654_2_567_670
+MGKYGRCLSMPSASSSWTTLLESLPVAGSAPSVT
+>DECOY_NM_001098536|478654_2_567_670
+TVSPASGAVPLSELLTTWSSSASPMSLCRGYKGM
+>NM_001098536|478660_2_1203_1489
+MAFSPGSIPSQYRSRAMGSGCQNRRKFKMALPLGCSRPSSARATLNSPPTGSRMPRSSSFTLSTWWRGIAGALKILMKCSASWWRKRSSAWPQRR
+>DECOY_NM_001098536|478660_2_1203_1489
+RRQPWASSRKRWWSASCKMLIKLAGAIGRWWTSLTFSSSRPMRSGTPPSNLTARASSPRSCGLPLAMKFKRRNQCGSGMARSRYQSPISGPSFAM
+>NM_001098536|478661_2_1251_1489
+MGSGCQNRRKFKMALPLGCSRPSSARATLNSPPTGSRMPRSSSFTLSTWWRGIAGALKILMKCSASWWRKRSSAWPQRR
+>DECOY_NM_001098536|478661_2_1251_1489
+RRQPWASSRKRWWSASCKMLIKLAGAIGRWWTSLTFSSSRPMRSGTPPSNLTARASSPRSCGLPLAMKFKRRNQCGSGM
+>NM_001098536|478662_2_1287_1489
+MALPLGCSRPSSARATLNSPPTGSRMPRSSSFTLSTWWRGIAGALKILMKCSASWWRKRSSAWPQRR
+>DECOY_NM_001098536|478662_2_1287_1489
+RRQPWASSRKRWWSASCKMLIKLAGAIGRWWTSLTFSSSRPMRSGTPPSNLTARASSPRSCGLPLAM
+>NM_001098536|478670_2_2445_2548
+MVLESISSLPSLVTWAPLPCVVTTSATSRKKADG
+>DECOY_NM_001098536|478670_2_2445_2548
+GDAKKRSTASTTVVCPLPAWTVLSPLSSISELVM
+>NM_133379|478965_2_4044_4411
+MEKKRWQLTFLNLKLLNQDLIQESRIIEFLRGWVSLFIARCLDIHYQRLLGTKMASASNMEKDTKWTFYKMAELVCVYLLFFQKMKESTLHLPAILKEMQFAQGNCMWSLLHHLELRLTFPH
+>DECOY_NM_133379|478965_2_4044_4411
+HPFTLRLELHHLLSWMCNGQAFQMEKLIAPLHLTSEKMKQFFLLYVCVLEAMKYFTWKTDKEMNSASAMKTGLLRQYHIDLCRAIFLSVWGRLFEIIRSEQILDQNLLKLNLFTLQWRKKEM
+>NM_133379|478966_2_4203_4411
+MASASNMEKDTKWTFYKMAELVCVYLLFFQKMKESTLHLPAILKEMQFAQGNCMWSLLHHLELRLTFPH
+>DECOY_NM_133379|478966_2_4203_4411
+HPFTLRLELHHLLSWMCNGQAFQMEKLIAPLHLTSEKMKQFFLLYVCVLEAMKYFTWKTDKEMNSASAM
+>NM_133379|478967_2_4221_4411
+MEKDTKWTFYKMAELVCVYLLFFQKMKESTLHLPAILKEMQFAQGNCMWSLLHHLELRLTFPH
+>DECOY_NM_133379|478967_2_4221_4411
+HPFTLRLELHHLLSWMCNGQAFQMEKLIAPLHLTSEKMKQFFLLYVCVLEAMKYFTWKTDKEM
+>NM_133379|478968_2_4254_4411
+MAELVCVYLLFFQKMKESTLHLPAILKEMQFAQGNCMWSLLHHLELRLTFPH
+>DECOY_NM_133379|478968_2_4254_4411
+HPFTLRLELHHLLSWMCNGQAFQMEKLIAPLHLTSEKMKQFFLLYVCVLEAM
+>NM_133379|478971_2_5274_5359
+MAKSNGKKVISMTKRNNRNHFSRKNSLP
+>DECOY_NM_133379|478971_2_5274_5359
+PLSNKRSFHNRNNRKTMSIVKKGNSKAM
+>NM_133379|478973_2_5514_5680
+MALHILETVVSLLAEPLTNMEQITHLLPLLLKMRKVLWKNPNCLRGGKAYRELKN
+>DECOY_NM_133379|478973_2_5514_5680
+NKLERYAKGGRLCNPNKWLVKRMKLLLPLLHTIQEMNTLPEALLSVVTELIHLAM
+>NM_133379|478974_2_5571_5680
+MEQITHLLPLLLKMRKVLWKNPNCLRGGKAYRELKN
+>DECOY_NM_133379|478974_2_5571_5680
+NKLERYAKGGRLCNPNKWLVKRMKLLLPLLHTIQEM
+>NM_133379|478980_2_6564_6637
+MVSKLNGLTGSTGTGPKTMFVNWS
+>DECOY_NM_133379|478980_2_6564_6637
+SWNVFMTKPGTGTSGTLGNLKSVM
+>NM_133379|478981_2_6852_6925
+MVWRFMREINTGCTLTERFTSSPY
+>DECOY_NM_133379|478981_2_6852_6925
+YPSSTFRETLTCGTNIERMFRWVM
+>NM_133379|479003_2_10173_10342
+MVLPQHQLHSQWKFQKLCLLIRKCLFIHLPSSPRFRTLSLLKGSQPVFNAGFLEQI
+>DECOY_NM_133379|479003_2_10173_10342
+IQELFGANFVPQSGKLLSLTRFRPSSPLHIFLCKRILLCLKQFKWQSHLQHQPLVM
+>NM_133379|479011_2_14616_14707
+MVGHRNIQMPLWKTTEVMFRKLTHSIDSSP
+>DECOY_NM_133379|479011_2_14616_14707
+PSSDISHTLKRFMVETTKWLPMQINRHGVM
+>NM_133379|479016_3_1348_1430
+MGREIRCPGASDHQWCCGCCRQCVGQC
+>DECOY_NM_133379|479016_3_1348_1430
+CQGVCQRCCGCCWQHDSAGPCRIERGM
+>NM_133379|479018_3_4804_4943
+MDCGCPKQGRQIFNFSDFNCGSCGTSGKTDVCRKTEKCQYKGRFPT
+>DECOY_NM_133379|479018_3_4804_4943
+TPFRGKYQCKETKRCVDTKGSTGCSGCNFDSFNFIQRGQKPCGCDM
+>NM_018209|479078_3_969_1117
+MAGRHHLFFGESRGPLGQPLGGPQLSEQRSGPLPKQQHRPELLGDLWKC
+>DECOY_NM_018209|479078_3_969_1117
+CKWLDGLLEPRHQQKPLPGSRQESLQPGGLPQGLPGRSEGFFLHHRGAM
+>NM_003037|479099_3_1056_1138
+MGSVCWAVRGCHHDSHHGGNTTVEKKR
+>DECOY_NM_003037|479099_3_1056_1138
+RKKEVTTNGGHHSDHHCGRVAWCVSGM
+>NM_001076682|479148_2_1661_1737
+MASCCQAPITAISRSTTPPLPAIWR
+>DECOY_NM_001076682|479148_2_1661_1737
+RWIAPLPPTTSRSIATIPAQCCSAM
+>NM_004308|479170_2_310_392
+MGGRSLCLVPVECPPATSSTTASSWGT
+>DECOY_NM_004308|479170_2_310_392
+TGWSSATTSSTAPPCEVPVLCLSRGGM
+>NM_024895|479196_2_534_622
+MAWASSSAKWRKAAVQSGLACAWGTRSRR
+>DECOY_NM_024895|479196_2_534_622
+RRSRTGWACALGSQVAAKRWKASSSAWAM
+>NM_024895|479197_2_828_976
+MVSGASSTYTQPPTTSAWASTSVGARSLAWASMCPKWTMVGWPRRMASR
+>DECOY_NM_024895|479197_2_828_976
+RSAMRRPWGVMTWKPCMSAWALSRAGVSTSAWASTTPPQTYTSSAGSVM
+>NM_018700|479221_2_1380_1462
+MERGLKKKQLHILKSSLKFWKRGNHLF
+>DECOY_NM_018700|479221_2_1380_1462
+FLHNGRKWFKLSSKLIHLQKKKLGREM
+>NM_012063|479379_2_408_535
+MGWKQKNGVNFFTPKISFTRILMKFDKKLKMKQKEFQEIIRE
+>DECOY_NM_012063|479379_2_408_535
+ERIIEQFEKQKMKLKKDFKMLIRTFSIKPTFFNVGNKQKWGM
+>NM_001973|479428_2_1338_1411
+MGSLSFCRQKRWLVSGGFARTSLT
+>DECOY_NM_001973|479428_2_1338_1411
+TLSTRAFGGSVLWRKQRCFSLSGM
+>NM_001973|479430_2_1614_1714
+MEGKINHLSLVPRPLAAMTTYTLAYILHLLSTL
+>DECOY_NM_001973|479430_2_1614_1714
+LTSLLHLIYALTYTTMAALPRPVLSLHNIKGEM
+>NM_005633|479480_2_1464_1588
+MGSQDFLVLAMQNIVLKKSFLCERYKLMIKMTPMNTSMLLK
+>DECOY_NM_005633|479480_2_1464_1588
+KLLMSTNMPTMKIMLKYRECLFSKKLVINQMALVLFDQSGM
+>NM_005633|479486_2_3771_3874
+MAMPSSQTALPPLHHLLLKHLLLTAQEGICHHHH
+>DECOY_NM_005633|479486_2_3771_3874
+HHHHCIGEQATLLLHKLLLHHLPPLATQSSPMAM
+>NM_000271|479556_2_245_336
+MESVELHMGTRGTIANILAHQNHCQRMDMT
+>DECOY_NM_000271|479556_2_245_336
+TMDMRQCHNQHALINAITGRTGMHLEVSEM
+>NM_000271|479567_2_2579_2652
+MEQASRPQRAVCFASSKTPILHFC
+>DECOY_NM_000271|479567_2_2579_2652
+CFHLIPTKSSAFCVARQPRSAQEM
+>NM_138694|479708_2_898_1010
+MVLGLCSAMWKATTSAPRMLASQYLTKESQWSTRRHG
+>DECOY_NM_138694|479708_2_898_1010
+GHRRTSWQSEKTLYQSALMRPASTTAKWMASCLGLVM
+>NM_138694|479717_2_2926_3005
+MVEFFLDPYLETCWLLPTSILRWLCE
+>DECOY_NM_138694|479717_2_2926_3005
+ECLWRLISTPLLWCTELYPDLFFEVM
+>NM_138694|479725_2_4945_5048
+MGMLVLVMFPDTSTLCPKCFIIFLRISAYMVEAS
+>DECOY_NM_138694|479725_2_4945_5048
+SAEVMYASIRLFIIFCKPCLTSTDPFMVLVLMGM
+>NM_138694|479731_2_6100_6194
+MATTSQWRMANCFCWTLTQASSTYCTLKGAS
+>DECOY_NM_138694|479731_2_6100_6194
+SAGKLTCYTSSAQTLTWCFCNAMRWQSTTAM
+>NM_138694|479736_2_7387_7517
+MVSLYTLNFSHLGIMSLAPLCSRASQFGKVQVVPRFLEVAIFA
+>DECOY_NM_138694|479736_2_7387_7517
+AFIAVELFRPVVQVKGFQSARSCLPALSMIGLHSFNLTYLSVM
+>NM_138694|479766_3_11624_11709
+MDNFSFPGRSIRLSAKRVHPGRNSRWLC
+>DECOY_NM_138694|479766_3_11624_11709
+CLWRSNRGPHVRKASLRISRGPFSFNDM
+>NM_001381|479891_2_647_921
+MAGTRSCSLSRPAAAAPQALEPSPSRRHREMTSSRQLRLPSTGRRPRERPDRGTMFSELTPMKGRWQRGSCLPHLAPKSSSTVPQPCMLSP
+>DECOY_NM_001381|479891_2_647_921
+PSLMCPQPVTSSSKPALHPLCSGRQWRGKMPTLESFMTGRDPRERPRRGTSPLRLQRSSTMERHRRSPSPELAQPAAAAPRSLSCSRTGAM
+>NM_003088|479909_2_1288_1523
+MASSAAARSRAPWTPTAPAMTSSSWSSTMAPTTSKTPQANTGRWAVTPRSPAAATLLWTSSSSSATITRWPSRWAGAT
+>DECOY_NM_003088|479909_2_1288_1523
+TAGAWRSPWRTITASSSSSTWLLTAAAPSRPTVAWRGTNAQPTKSTTPAMTSSWSSSTMAPATPTWPARSRAAASSAM
+>NM_003088|479911_2_1372_1523
+MAPTTSKTPQANTGRWAVTPRSPAAATLLWTSSSSSATITRWPSRWAGAT
+>DECOY_NM_003088|479911_2_1372_1523
+TAGAWRSPWRTITASSSSSTWLLTAAAPSRPTVAWRGTNAQPTKSTTPAM
+>NM_002968|479973_2_104_240
+METQKRVNRVALLRARMPTSVAGAVPSSLNYQIFCSTRRTVLKIN
+>DECOY_NM_002968|479973_2_104_240
+NIKLVTRRTSCFIQYNLSSPVAGAVSTPMRARLLAVRNVRKQTEM
+>NM_001077503|480008_2_61_146
+MVERNRHSALKQRPGKALAPAFSSSCRR
+>DECOY_NM_001077503|480008_2_61_146
+RRCSSSFAPALAKGPRQKLASHRNREVM
+>NM_018179|480091_3_3793_3866
+MEKDWGSQGTSLAHGMYSHPVCIW
+>DECOY_NM_018179|480091_3_3793_3866
+WICVPHSYMGHALSTGQSGWDKEM
+>NM_003749|480132_2_638_789
+MATSASSCCADPARAATRRRRAGGRRRNRRGSSTTRARKSGGARQARRNG
+>DECOY_NM_003749|480132_2_638_789
+GNRRAQRAGGSKRARTTSSGRRNRRRGGARRRRTAARAPDACCSSASTAM
+>NM_003749|480135_2_3719_3828
+MVTTPRWLLVWPPPRRNLSRPPRSQKLPAWPARRRA
+>DECOY_NM_003749|480135_2_3719_3828
+ARRRAPWAPLKQSRPPRSLNRRPPPWVLLWRPTTVM
+>NM_182641|480214_2_2774_2847
+MVVGAGLVKLMFIGLFLNCQAILM
+>DECOY_NM_182641|480214_2_2774_2847
+MLIAQCNLFLGIFMLKVLGAGVVM
+>NM_182641|480221_2_4754_4833
+MVCPSTKMKMSMENLKEKPSSQKSPR
+>DECOY_NM_182641|480221_2_4754_4833
+RPSKQSSPKEKLNEMSMKMKTSPCVM
+>NM_182641|480232_2_8360_8448
+MGAALASCKVRQSSLMSMSVHSASQQRMP
+>DECOY_NM_182641|480232_2_8360_8448
+PMRQQSASHVSMSMLSSQRVKCSALAAGM
+>NM_182641|480235_2_8576_8649
+MVLLRNLWTLPPWKKEYKDDIMKS
+>DECOY_NM_182641|480235_2_8576_8649
+SKMIDDKYEKKWPPLTWLNRLLVM
+>NM_175862|480383_2_663_757
+MVLCRNLKIMSQNCTTFPSACLFHSLMLRAI
+>DECOY_NM_175862|480383_2_663_757
+IARLMLSHFLCASPFTTCNQSMIKLNRCLVM
+>NM_024744|480432_2_2491_2576
+MEIRYITQRLFQQRFNGLQTVGIFSKRP
+>DECOY_NM_024744|480432_2_2491_2576
+PRKSFIGVTQLGNFRQQFLRQTIYRIEM
+>NM_052827|480445_2_907_1001
+MDGACYRKCCTTTLTSGFRPRQPWLTLSSRM
+>DECOY_NM_052827|480445_2_907_1001
+MRSSLTLWPQRPRFGSTLTTTCCKRYCAGDM
+>NM_002458|480592_2_275_423
+MGGCAAPGVTSTTRPSTATSSASLAFATTCSLSTAAPPTRTSTSSYAEA
+>DECOY_NM_002458|480592_2_275_423
+AEAYSSTSTRTPPAATSLSCTTAFALSASSTATSPRTTSTVGPAACGGM
+>NM_002458|480603_2_2765_2958
+MATSSPLMAIATALKAAASTSWPRTTVGTTPPTGPSASSPRTSPVGPPAPPAPRPSSSSWRATS
+>DECOY_NM_002458|480603_2_2765_2958
+STARWSSSSPRPAPPAPPGVPSTRPSSASPGTPPTTGVTTRPWSTSAAAKLATAIAMLPSSTAM
+>NM_002458|480617_2_15839_15945
+MAAGPRLAHPPLPAPQPRCLAHPPPPHAHHSRSVI
+>DECOY_NM_002458|480617_2_15839_15945
+IVSRSHHAHPPPPHALCRPQPAPLPPHALRPGAAM
+>NM_002458|480619_2_16643_16869
+MAPSTGLVQPSQAPFPATCVPASLGTPRTQRCNVRRMPATILPVPRALSTREWPGSAVGSASRPPASRPMASQSS
+>DECOY_NM_002458|480619_2_16643_16869
+SSQSAMPRSAPPRSASGVASGPWERTSLARPVPLITAPMRRVNCRQTRPTGLSAPVCTAPFPAQSPQVLGTSPAM
+>NM_006129|480643_2_1394_1560
+MASGGRRPSEAASAGPNSLSLSSPLTAASGLNSAAAAIGLERASLQSTKPSAGVM
+>DECOY_NM_006129|480643_2_1394_1560
+MVGASPKTSQLSARELGIAAAASNLGSAATLPSSLSLSNPGASAAESPRRGGSAM
+>NM_006129|480644_2_1571_2496
+MATFNRPTTQTITGPAKSASGGSRCLRASTWASHSSPLRLSATTAVPTTIWRCATGTVRAAPSSGATVAMRSLMTSRARPAASGSSSSLTGPLTKRALPSTFSKRWTSALGPTAGAVSSGASTPWAATSAAVTPGTSWPQTSAAVRLLVADSSPSSTAPSPARAGPRSTPPTRTASGSWWPPPSTASPCSLTSLRQRAMMCASTTSWRCAVDSQLTPSCMASSVVLRSPRSSPPSTTTCAWSSSPTTPCPKRASRPTSSQTRTSAPRITAAASRTASTRSAVMSANAAVASSSMTTSTTAKKPAVTTR
+>DECOY_NM_006129|480644_2_1571_2496
+RTTVAPKKATTSTTMSSSAVAANASMVASRTSATRSAAATIRPASTRTQSSTPRSARKPCPTTPSSSWACTTTSPPSSRPSRLVVSSAMCSPTLQSDVACRWSTTSACMMARQRLSTLSCPSATSPPPWWSGSATRTPPTSRPGARAPSPATSSPSSDAVLLRVAASTQPWSTGPTVAASTAAWPTSAGSSVAGATPGLASTWRKSFTSPLARKTLPGTLSSSSGSAAPRARSTMLSRMAVTAGSSPAARVTGTACRWITTPVATTASLRLPSSHSAWTSARLCRSGGSASKAPGTITQTTPRNFTAM
+>NM_005516|480683_2_759_916
+MGRAIPRTRSSWRPGLQGMEPSRSGQLWWCLLERSRDTRAMCSMRGYPSPSP
+>DECOY_NM_005516|480683_2_759_916
+PSPSPYGRMSCMARTDRSRELLCWWLQGSRSPEMGQLGPRWSSRTRPIARGM
+>NM_005516|480684_2_813_916
+MEPSRSGQLWWCLLERSRDTRAMCSMRGYPSPSP
+>DECOY_NM_005516|480684_2_813_916
+PSPSPYGRMSCMARTDRSRELLCWWLQGSRSPEM
+>NM_005516|480687_3_919_1064
+MEAGFPAHHPHRGHHCWPGSPWICGLWSCGCCCDMEEEELRWKRRELL
+>DECOY_NM_005516|480687_3_919_1064
+LLERRKWRLEEEEMDCCCGCSWLGCIWPSGPWCHHGRHPHHAPFGAEM
+>NM_019043|480712_2_655_731
+MEQMLLPLVSANMRMTYHLHQPILC
+>DECOY_NM_019043|480712_2_655_731
+CLIPQHLHYTMRMNASVLPLLMQEM
+>NM_019043|480718_2_1285_1436
+MERNPGKGAIFFYGLLEFIMYPKERLRHLEIWRVLYSLKMSTFTMGLSIK
+>DECOY_NM_019043|480718_2_1285_1436
+KISLGMTFTSMKLSYLVRWIELHRLREKPYMIFELLGYFFIAGKGPNREM
+>NM_019043|480720_2_1576_2285
+MGRLSMITTSGLWQRLDLPLGGQTWGQSMQLHQLSHLQDLKQAPPSPMDRFPRLHILSVLFSKRPRDMLKHRRIRSQPSGTTTTRQCPGPRTPPSPACPRPLRCGGPPTPAAVPPRPSRPRAQAAGACPPHPTTSCRRRHRRRPSMTLSSRRRPRTSWSRPQTSCPRPRRRTQGSRAQSCPRRRRRRPRPRPPPSPTPPGRPPRWPRGLLCPPRGKRTQGTPAEQEAGSKISCQTS
+>DECOY_NM_019043|480720_2_1576_2285
+STQCSIKSGAEQEAPTGQTRKGRPPCLLGRPWRPPRGPPTPSPPPRPRPRRRRRRPCSQARSGQTRRRPRPCSTQPRSWSTRPRRRSSLTMSPRRRHRRRCSTTPHPPCAGAAQARPRSPRPPVAAPTPPGGCRLPRPCAPSPPTRPGPCQRTTTTGSPQSRIRRHKLMDRPRKSFLVSLIHLRPFRDMPSPPAQKLDQLHSLQHLQMSQGWTQGGLPLDLRQWLGSTTIMSLRGM
+>NM_014520|480754_2_3624_3844
+MARQRRMAHLQPPAGASPPAWAGRRGTGQRLRSQPRQTGRQPPRVQPLAPPPGAPAPLPNPQNCRRKTRSRPR
+>DECOY_NM_014520|480754_2_3624_3844
+RPRSRTKRRCNQPNPLPAPAGPPPALPQVRPPQRGTQRPQSRLRQGTGRRGAWAPPSAGAPPQLHAMRRQRAM
+>NM_014520|480755_2_3642_3844
+MAHLQPPAGASPPAWAGRRGTGQRLRSQPRQTGRQPPRVQPLAPPPGAPAPLPNPQNCRRKTRSRPR
+>DECOY_NM_014520|480755_2_3642_3844
+RPRSRTKRRCNQPNPLPAPAGPPPALPQVRPPQRGTQRPQSRLRQGTGRRGAWAPPSAGAPPQLHAM
+>NM_005940|480816_2_512_717
+MGTTCRLMGLGASWPMPSSPRLTEKGMSTSTMMRPGLSGMTRAQTCCRWQPMNLATCWGCSTQQQPRP
+>DECOY_NM_005940|480816_2_512_717
+PRPQQQTSCGWCTALNMPQWRCCTQARTMGSLGPRMMTSTSMGKETLRPSSPMPWSAGLGMLRCTTGM
+>NM_006328|480871_2_1784_1962
+MGQVSRLQPTCPCPRGPLPTPTAPRRPMSVPASPHPGPATTIPTKRLSPCRKGMVPTGV
+>DECOY_NM_006328|480871_2_1784_1962
+VGTPVMGKRCPSLRKTPITTAPGPHPSAPVSMPRRPATPTPLPGRPCPCTPQLRSVQGM
+>NM_002263|480921_2_580_758
+MAWSLRRNGQEAWVQRPKLPHPTQEFHPSLQCHRHKARPQLKKFPRRQDPGVPQLLPQG
+>DECOY_NM_002263|480921_2_580_758
+GQPLLQPVGPDQRRPFKKLQPRAKHRHCQLSPHFEQTPHPLKPRQVWAEQGNRRLSWAM
+>NM_001942|480963_2_318_481
+MAPSNGIQSEGRNVNGSSSQQPVVKVKTTQRGTQSPKFTQIVLQTSKLHTASLE
+>DECOY_NM_001942|480963_2_318_481
+ELSATHLKSTQLVIQTFKPSQTGRQTTKVKVVPQQSSSGNVNRGESQIGNSPAM
+>NM_001942|480964_2_939_1039
+MVGQMACQRNVSATLKSSMSMIISLTWNSLHIP
+>DECOY_NM_001942|480964_2_939_1039
+PIHLSNWTLSIIMSMSSKLTASVNRQCAMQGVM
+>NM_199420|481040_2_1933_2015
+MEQKERCIIQHILVRPLFLLHFLQLIL
+>DECOY_NM_199420|481040_2_1933_2015
+LILQLFHLLFLPRVLIHQIICREKQEM
+>NM_199420|481048_2_5500_5573
+MGSKTTALLVTQAFHFSYHRMDYS
+>DECOY_NM_199420|481048_2_5500_5573
+SYDMRHYSFHFAQTVLLATTKSGM
+>NM_199420|481050_2_5791_5933
+MDFPLKVVMTPWWLDWQYAGVEGMPIIFHCRRNKSILKLVPVWFHLL
+>DECOY_NM_199420|481050_2_5791_5933
+LLHFWVPVLKLISKNRRCHFIIPMGEVGAYQWDLWWPTMVVKLPFDM
+>NM_199420|481055_2_7288_7409
+MGSFMEWELNLWESRWALKKMMLHAILTPSNPDTQGLINS
+>DECOY_NM_199420|481055_2_7288_7409
+SNILGQTDPNSPTLIAHLMMKKLAWRSEWLNLEWEMFSGM
+>NM_021818|481086_2_456_535
+MVQQFQDELISVFQIQALMPFQLLEM
+>DECOY_NM_021818|481086_2_456_535
+MELLQFPMLAQIQFVSILEDQFQQVM
+>NM_021818|481087_2_714_901
+METLVPDIIIQTIFLMVRESGHLEIVHMKTTDIMNTTMISSKECHRIRGGMLQVLGELLLHL
+>DECOY_NM_021818|481087_2_714_901
+LHLLLEGLVQLMGGRIRHCEKSSIMTTNMIDTTKMHVIELHGSERVMLFITQIIIDPVLTEM
+>NM_014141|481126_2_904_998
+MGISGHFPETLTLTVWSGTNYSIRLLPAMCA
+>DECOY_NM_014141|481126_2_904_998
+ACMAPLLRISYNTGSWVTLTLTEPFHGSIGM
+>NM_014141|481127_2_1015_1130
+MEKVALDSELKFMAVLTGLMLSTLMAMLYYHIDSETRR
+>DECOY_NM_014141|481127_2_1015_1130
+RRTESDIHYYLMAMLTSLMLGTLVAMFKLESDLAVKEM
+>NM_014141|481133_2_2209_2330
+MVESARKHGTASNALVMRQDTVGPPATTLSTSLPVKPTNT
+>DECOY_NM_014141|481133_2_2209_2330
+TNTPKVPLSTSLTTAPPGVTDQRMVLANSATGHKRASEVM
+>NM_014141|481140_2_3505_3614
+MEHFATKMLVHFLKKGCGYDITFRHQQQMPETPAAE
+>DECOY_NM_014141|481140_2_3505_3614
+EAAPTEPMQQQHRFTIDYGCGKKLFHVLMKTAFHEM
+>NM_014141|481163_3_2768_2841
+MEEGCWFLIIQRSPASEPSGGWRY
+>DECOY_NM_014141|481163_3_2768_2841
+YRWGGSPESAPSRQIILFWCGEEM
+>NM_006128|481183_2_1571_2577
+MATFNRPTTQTITGPAKSASGGSRCLRASTWASHSSPLRLSATTAVPTTIWRCATGTVRAAPSSGATVAMRSLMTSRARPAASGSSSSLTGPLTKRALPSTFSKRWTSALGPTAGAVSSGASTPWAATSAAVTPGTSWPQTSAAVRLLVADSSPSSTAPSPARAGPRSTPPTRTASGSWWPPPSTASPCSLTSLRQRAMMCASTTSWRCAVDSQLTPSCMASSVVLRSPRSSPPSTTTCAWSSSPTTPCPKRASRPTSSQSWRGQGTDTHIYQAWSYCSAPMPWSTLCPPHPQPCTETHTPTRTHMCTHIAPSHKKPAEDPHWGHRGSALRARGI
+>DECOY_NM_006128|481183_2_1571_2577
+IGRARLASGRHGWHPDEAPKKHSPAIHTCMHTRTPTHTETCPQPHPPCLTSWPMPASCYSWAQYIHTDTGQGRWSQSSTPRSARKPCPTTPSSSWACTTTSPPSSRPSRLVVSSAMCSPTLQSDVACRWSTTSACMMARQRLSTLSCPSATSPPPWWSGSATRTPPTSRPGARAPSPATSSPSSDAVLLRVAASTQPWSTGPTVAASTAAWPTSAGSSVAGATPGLASTWRKSFTSPLARKTLPGTLSSSSGSAAPRARSTMLSRMAVTAGSSPAARVTGTACRWITTPVATTASLRLPSSHSAWTSARLCRSGGSASKAPGTITQTTPRNFTAM
+>NM_000154|481458_2_271_401
+MGWCLSSPPLRVPMSPSGCSFHCPQPSARWSLGLLGGPTMSRE
+>DECOY_NM_000154|481458_2_271_401
+ERSMTPGGLLGLSWRASPQPCHFSCGSPSMPVRLPPSSLCWGM
+>NM_006802|481473_2_317_396
+MDYERRSSMPFQDPMSLLNSIIDSSK
+>DECOY_NM_006802|481473_2_317_396
+KSSDIISNLLSMPDQFPMSSRREYDM
+>NM_006802|481481_3_783_856
+MGEWDLSWMAERDKQCPDPCWSPS
+>DECOY_NM_006802|481481_3_783_856
+SPSWCPDPCQKDREAMWSLDWEGM
+>NM_006283|481506_3_426_550
+MDVVCGTRRGRRRGRGWRARGRPRGGGFASRDQILEFQLGF
+>DECOY_NM_006283|481506_3_426_550
+FGLQFELIQDRSAFGGGRPRGRARWGRGRRRGRRTGCVVDM
+>NM_001122824|481547_3_426_550
+MDVVCGTRRGRRRGRGWRARGRPRGGGFASRDQILEFQEWL
+>DECOY_NM_001122824|481547_3_426_550
+LWEQFELIQDRSAFGGGRPRGRARWGRGRRRGRRTGCVVDM
+>NM_005955|481574_2_931_1070
+MAVEKHLQQATTLKLTFVHILVKDPSSAPVMAVRKHSALNTVSKVT
+>DECOY_NM_005955|481574_2_931_1070
+TVKSVTNLASHKRVAMVPASSPDKVLIHVFTLKLTTAQQLHKEVAM
+>NM_181309|481773_3_438_523
+MAAWEGTYWQQQCLFCAVQNIWTETMEK
+>DECOY_NM_181309|481773_3_438_523
+KEMTETWINQVACFLCQQQWYTGEWAAM
+>NM_181309|481774_3_639_760
+MEHDAAVHSLVGNKNRSSSHEYNPSQWLFVGNSPCSKFTI
+>DECOY_NM_181309|481774_3_639_760
+ITFKSCPSNGVFLWQSPNYEHSSSRNKNGVLSHVAADHEM
+>NM_013236|481899_3_898_1025
+MAVLDYYRPLSEKPGIGTSHVSQTEQSRKSYTVRPYDSQDNE
+>DECOY_NM_013236|481899_3_898_1025
+ENDQSDYPRVTYSKRSQETQSVHSTGIGPKESLPRYYDLVAM
+>NM_052962|482016_2_596_705
+MDRDNGKIKKTVGVLKNSLVTLPVKPQTYRNLITGG
+>DECOY_NM_052962|482016_2_596_705
+GGTILNRYTQPKVPLTVLSNKLVGVTKKIKGNDRDM
+>NM_052962|482021_3_438_565
+MAAWEGTYWQQQCLFCAVQNHVLMQHEKLSPEAKWMLAAHFL
+>DECOY_NM_052962|482021_3_438_565
+LFHAALMWKAEPSLKEHQMLVHNQVACFLCQQQWYTGEWAAM
+>NM_004244|482075_2_1611_1804
+MVTRGAPSVIRTSLWKLPAFYAGNYSVAQLSLSWGELTLEREMDRSGLKNSSVRDMSPIFHSAQ
+>DECOY_NM_004244|482075_2_1611_1804
+QASHFIPSMDRVSSNKLGSRDMERELTLEGWSLSLQAVSYNGAYFAPLKWLSTRIVSPAGRTVM
+>NM_004244|482080_2_2493_2599
+MEKNPAFGSAIHTAGGSKIAGTRRMRELSAQNSCL
+>DECOY_NM_004244|482080_2_2493_2599
+LCSNQASLERMRRTGAIKSGGATHIASGFAPNKEM
+>NM_004244|482081_2_2658_2767
+MELGALLARVACLKPLWVWCAGSWAVQTKGKSTLHL
+>DECOY_NM_004244|482081_2_2658_2767
+LHLTSKGKTQVAWSGACWVWLPKLCAVRALLAGLEM
+>NM_004244|482083_2_2964_3055
+MEVPGGQCVMTLGTWTMLRWCVNNLAVVQL
+>DECOY_NM_004244|482083_2_2964_3055
+LQVVALNNVCWRLMTWTGLTMVCQGGPVEM
+>NM_032808|482200_2_1463_1902
+MGGSQSSLMARWRCATPRYRTTARTCASRPTRAATTPCPPTCMCAATRPTGPISPTRPSLSSPTSRARERPTAPAPLCLSPSTSRPSSSPPPWASSLSWASSSSAWCCCFSGAGARATQSTTSRSSMCPESRTQASAPPTRPASST
+>DECOY_NM_032808|482200_2_1463_1902
+TSSAPRTPPASAQTRSEPCMSSRSTTSQTARAGAGSFCCCWASSSSAWSLSSAWPPPSSSPRSTSPSLCLPAPATPRERARSTPSSLSPRTPSIPGTPRTAACMCTPPCPTTAARTPRSACTRATTRYRPTACRWRAMLSSQSGGM
+>NM_003974|482220_3_158_252
+MAPLRRLTVWRVGLRLGPAGAAGGPGEASSV
+>DECOY_NM_003974|482220_3_158_252
+VSSAEGPGGAAGAPGLRLGVRWVTLRRLPAM
+>NM_003738|482241_2_862_944
+MASPTNSCTGRRNCCWEAWPETPKESC
+>DECOY_NM_003738|482241_2_862_944
+CSEKPTEPWAEWCCNRRGTCSNTPSAM
+>NM_003738|482249_2_2446_2528
+MALRMGPWPTSCSSRLETPRSLWISAS
+>DECOY_NM_003738|482249_2_2446_2528
+SASIWLSRPTELRSSCSTPWPGMRLAM
+>NM_001083112|482284_2_1819_1901
+MDLKARWHSILPPPMVIRPLRWPKWQV
+>DECOY_NM_001083112|482284_2_1819_1901
+VQWKPWRLPRIVMPPPLISHWRAKLDM
+>NM_001083112|482288_3_1550_1626
+MEWNPSSCYRPQICRYSVYLPKSCC
+>DECOY_NM_001083112|482288_3_1550_1626
+CCSKPLYVSYRCIQPRYCSSPNWEM
+>NM_004734|482350_2_1278_1390
+MAAPLRHLRPPKSAARWMRTMALEKKCRRKASRFQLQ
+>DECOY_NM_004734|482350_2_1278_1390
+QLQFRSAKRRCKKELAMTRMWRAASKPPRLHRLPAAM
+>NM_004897|482520_2_796_887
+MAGLVVPAAATWVQRWPTGLCGTRTGWTGS
+>DECOY_NM_004897|482520_2_796_887
+SGTWGTRTGCLGTPWRQVWTAAAPVVLGAM
+>NM_004897|482521_2_1429_1619
+MGILLTVDPAAPCFRISFSTWTKQLNRNKGLSQFLLQSSSSLVMQRLFFHCFLSWATSKTRNP
+>DECOY_NM_004897|482521_2_1429_1619
+PNRTKSTAWSLFCHFFLRQMVLSSSSQLLFQSLGKNRNLQKTWTSFSIRFCPAAPDVTLLIGM
+>NM_207168|482616_3_337_449
+MGHSLLPSFFGAKGGSSNEVCRGSTGSFSGGFVVEQK
+>DECOY_NM_207168|482616_3_337_449
+KQEVVFGGSFSGTSGRCVENSSGGKAGFFSPLLSHGM
+>NM_025215|482645_2_1527_1651
+MGCMSRWTGRRRKERSQPSRRSTSTPPSSAPSGTNAPWPSG
+>DECOY_NM_025215|482645_2_1527_1651
+GSPWPANTGSPASSPPTSTSRRSPQSREKRRRGTWRSMCGM
+>NM_016308|482700_2_324_445
+MATHTFLQESCFVMKGRTQIHSMVNLLKSTLKKERLYQLR
+>DECOY_NM_016308|482700_2_324_445
+RLQYLREKKLTSKLLNVMSHIQTRGKMVFCSEQLFTHTAM
+>NM_016518|482780_2_1035_1126
+MVCPRPFRASCGWACVPTTSTDCPQESTQG
+>DECOY_NM_016518|482780_2_1035_1126
+GQTSEQPCDTSTTPVCAWGCSARFPRPCVM
+>NM_016518|482785_3_547_692
+MGPAGARGWNPIAQADWITAAGNERESRIKDNPGQSVEAEGRTPVSFI
+>DECOY_NM_016518|482785_3_547_692
+IFSVPTRGEAEVSQGPNDKIRSERENGAATIWDAQAIPNWGRAGAPGM
+>NM_006281|482840_2_397_470
+MAVILRIQTSGLLWSTVALALSQT
+>DECOY_NM_006281|482840_2_397_470
+TQSLALAVTSWLLGSTQIRLIVAM
+>NM_001080429|482914_2_3462_3595
+MAAMPPPQRQCPSTQVHGCTKNPAPSPTSSSSTMPYHTAAWRAR
+>DECOY_NM_001080429|482914_2_3462_3595
+RARWAATHYPMTSSSSTPSPAPNKTCGHVQTSPCQRQPPPMAAM
+>NM_001080429|482916_2_3744_3844
+MGPGPSRPPWWKASTSTTRTASASPRSPPRPCP
+>DECOY_NM_001080429|482916_2_3744_3844
+PCPRPPSRPSASATRTTSTSAKWWPPRSPGPGM
+>NM_020153|482989_2_697_806
+MEPLWKGPMTLQTMSICQFLLKLRNSSSTSVGTHLS
+>DECOY_NM_020153|482989_2_697_806
+SLHTGVSTSSSNRLKLLFQCISMTQLTMPGKWLPEM
+>NM_020153|482994_3_545_618
+MVQREPEAQKGEEGDLTVDTSTGL
+>DECOY_NM_020153|482994_3_545_618
+LGTSTDVTLDGEEGKQAEPERQVM
+>NM_014924|483011_2_853_1004
+MGTTLPTTAGWRRRKQPRGLTWSRVTLPTPSVLRCAMQLSWSTFCLIYLM
+>DECOY_NM_014924|483011_2_853_1004
+MLYILCFTSWSLQMACRLVSPTPLTVRSWTLGRPQKRRRWGATTPLTTGM
+>NM_144695|483030_2_1164_1342
+MVRLYWLVINAVKQSGLSKKQKNCMQRQKHCVKNMEKPKDLDQQSNLQDICSLGNLETL
+>DECOY_NM_144695|483030_2_1164_1342
+LTELNGLSCIDQLNSQQDLDKPKEMNKVCHKQRQMCNKQKKSLGSQKVANIVLWYLRVM
+>NM_144695|483032_2_1266_1342
+MEKPKDLDQQSNLQDICSLGNLETL
+>DECOY_NM_144695|483032_2_1266_1342
+LTELNGLSCIDQLNSQQDLDKPKEM
+>NM_144695|483033_2_1374_1447
+MDLFTFKKFQQKPHSWNSKQIMVS
+>DECOY_NM_144695|483033_2_1374_1447
+SVMIQKSNWSHPKQQFKKFTFLDM
+>NM_016231|483064_2_261_466
+MAVHLQQQQVTTTTITTTFHTSLLLTCTTTTTLNTIFIRGRLPLYTLYSSTPLRQLRQPQQRLQLQPC
+>DECOY_NM_016231|483064_2_261_466
+CPQLQLRQQPQRLQRLPTSSYLTYLPLRGRIFITNLTTTTTCTLLLSTHFTTTITTTTVQQQQLHVAM
+>NM_032172|483156_2_373_509
+MASLLHRKFFSHLRRFVLSGNKLIELELGSRIWAIPVLPMQHCSV
+>DECOY_NM_032172|483156_2_373_509
+VSCHQMPLVPIAWIRSGLELEILKNGSLVFRRLHSFFKRHLLSAM
+>NM_032172|483159_2_1372_1502
+MEVNLLIPPIAPASPLPAPSSVSGLSPTNRLRQALSDHSFPLT
+>DECOY_NM_032172|483159_2_1372_1502
+TLPFSHDSLAQRLRNTPSLGSVSSPAPLPSAPAIPPILLNVEM
+>NM_032172|483164_2_3589_3683
+MESPGNGDTTVWRTVTVMLKRKPGGANRRIL
+>DECOY_NM_032172|483164_2_3589_3683
+LIRRNAGGPKRKLMVTVTRWVTTDGNGPSEM
+>NM_033417|483264_2_271_467
+MGHRPEGRCLKVEGNPACSRKAKQIAVGSERVTCSPRCWKGMAQLHLTWISLLLMTKASSKRRHS
+>DECOY_NM_033417|483264_2_271_467
+SHRRKSSAKTMLLLSIWTLHLQAMGKWCRPSCTVRESGVAIQKAKRSCAPNGEVKLCRGEPRHGM
+>NM_033417|483265_2_394_467
+MAQLHLTWISLLLMTKASSKRRHS
+>DECOY_NM_033417|483265_2_394_467
+SHRRKSSAKTMLLLSIWTLHLQAM
+>NM_001011699|483278_2_271_464
+MGHRPEGRCLKVEGNPACSRKAKIAVGSERVTCSPRCWKGMAQLHLTWISLLLMTKASSKRRHS
+>DECOY_NM_001011699|483278_2_271_464
+SHRRKSSAKTMLLLSIWTLHLQAMGKWCRPSCTVRESGVAIKAKRSCAPNGEVKLCRGEPRHGM
+>NM_133493|483433_2_2865_2968
+MGQLFFFPSGQHIWEKFLSQSQLFHPLLLMLSPR
+>DECOY_NM_133493|483433_2_2865_2968
+RPSLMLLLPHFLQSQSLFKEWIHQGSPFFFLQGM
+>NM_002572|483458_3_275_381
+MARAFFPTSCTEFWNWGRYNKTCFVETKEWRTGEY
+>DECOY_NM_002572|483458_3_275_381
+YEGTRWEKTEVFCTKNYRGWNWFETCSTPFFARAM
+>NM_133459|483524_2_440_594
+MGRCVPTSASIPWAATAASAGKATSGKMMGRHVPGETNIPMTLAMRSLRTW
+>DECOY_NM_133459|483524_2_440_594
+WTRLSRMALTMPINTEGPVHRGMMKGSTAKGASAATAAWPISASTPVCRGM
+>NM_133459|483527_2_956_1209
+MVLRGREERLGPEGLQDPLVLSTSCYLCWLTSAMTSLSCRKRCSGTGLTLQQRSSLYLRNFPATQKPWTWALEMTIQEELRQET
+>DECOY_NM_133459|483527_2_956_1209
+TEQRLEEQITMELAWTWPKQTAPFNRLYLSSRQQLTLGTGSCRKRCSLSTMASTLWCLYCSTSLVLPDQLGEPGLREERGRLVM
+>NM_183061|483599_2_3640_3728
+MEHTVPPLPGVPSLAPCWGQSSTVRSPLE
+>DECOY_NM_183061|483599_2_3640_3728
+ELPSRVTSSQGWCPALSPVGPLPPVTHEM
+>NM_018489|483701_2_4681_4868
+MEGILPLFIHLLHLLLSPRHFHLLPICMLVIYFSILPNTIRKSISYFDRRPFLQPAGLPSFP
+>DECOY_NM_018489|483701_2_4681_4868
+PFSPLGAPQLFPRRDFYSISKRITNPLISFYIVLMCIPLLHFHRPSLLLHLLHIFLPLIGEM
+>NM_018489|483719_2_8041_8261
+MGVNPQLGEMFVVYERPITMPGMRHQPRLMRLWERQQVRQTAVRPQSLKRRMGMRRTTMLFAVSVASTRMKVS
+>DECOY_NM_018489|483719_2_8041_8261
+SVKMRTSAVSVAFLMTTRRMGMRRKLSQPRVATQRVQQREWLRMLRPQHRMGPMTIPREYVVFMEGLQPNVGM
+>NM_152232|483778_3_656_747
+MASCLASAWPGATSASPSRRRCPHCSPTRT
+>DECOY_NM_152232|483778_3_656_747
+TRTPSCHPCRRRSPSASTAGPWASALCSAM
+>NM_001136016|483816_2_287_399
+MGSGIQIHQGPKPALIPRKASCSIAKKSTLNCRSPMW
+>DECOY_NM_001136016|483816_2_287_399
+WMPSRCNLTSKKAISCSAKRPILAPKPGQHIQIGSGM
+>NM_001013717|483950_3_175_281
+MGARVCSLLEENTPRESSNSSSPLAPAVLLSPSPV
+>DECOY_NM_001013717|483950_3_175_281
+VPSPSLLVAPALPSSSNSSERPTNEELLSCVRAGM
+>NM_020426|483962_2_364_437
+MASSRSTATTGATIIRVTRKTFAT
+>DECOY_NM_020426|483962_2_364_437
+TAFTKRTVRIITAGTTATSRSSAM
+>NM_152465|484001_2_1038_1159
+MAGGRENCPARILWNHHRPGRERTQSRPKRQGQSPHKPGR
+>DECOY_NM_152465|484001_2_1038_1159
+RGPKHPSQGQRKPRSQTRERGPRHHNWLIRAPCNERGGAM
+>NM_213726|484008_2_458_735
+MESTSSPLPSVPGWSADLHPQGCLPRASDPCPSVMEMSSGRTLIKGPPPLGWRSSTFHPCCSHPLKCFGEERRGGHVWKECSSRALGESPPG
+>DECOY_NM_213726|484008_2_458_735
+GPPSEGLARSSCEKWVHGGRREEGFCKLPHSCCPHFTSSRWGLPPPGKILTRGSSMEMVSPCPDSARPLCGQPHLDASWGPVSPLPSSTSEM
+>NM_213726|484009_2_560_735
+MEMSSGRTLIKGPPPLGWRSSTFHPCCSHPLKCFGEERRGGHVWKECSSRALGESPPG
+>DECOY_NM_213726|484009_2_560_735
+GPPSEGLARSSCEKWVHGGRREEGFCKLPHSCCPHFTSSRWGLPPPGKILTRGSSMEM
+>NM_153613|484051_3_342_493
+MEEDCVPQRGARPEPPAVFPAGLPPDSRSWPASLSPSSPCPCCCPTLHFL
+>DECOY_NM_153613|484051_3_342_493
+LFHLTPCCCPCPSSPSLSAPWSRSDPPLGAPFVAPPEPRAGRQPVCDEEM
+>NM_153613|484052_3_822_922
+MEGSWSTQSPLAHSLSALQHCGCGVPSCVSPQP
+>DECOY_NM_153613|484052_3_822_922
+PQPSVCSPVGCGCHQLASLSHALPSQTSWSGEM
+>NM_138413|484227_2_519_610
+MGNWRRICTNWAPSPSEASWSRAPMASFLS
+>DECOY_NM_138413|484227_2_519_610
+SLFSAMPARSWSAESPSPAWNTCIRRWNGM
+>NM_001134670|484237_2_519_706
+MGNWRRICTNWAPSPSEELWGASAPWPMSWGLRCASWSDCAARGNGKMPRNCSTASLSQTLR
+>DECOY_NM_001134670|484237_2_519_706
+RLTQSLSATSCNRPMKGNGRAACDSWSACRLGWSMPWPASAGWLEESPSPAWNTCIRRWNGM
+>NM_181877|484258_2_653_855
+MGRTVIKTCLRMNHVRYSRKCLKVKVLSTPMGKVTLREMLASRGSRDTAQVRTTGRWFLRTGKLASS
+>DECOY_NM_181877|484258_2_653_855
+SSALKGTRLFWRGTTRVQATDRSGRSALMERLTVKGMPTSLVKVKLCKRSYRVHNMRLCTKIVTRGM
+>NM_181877|484263_2_1007_1107
+MVQILVDTKPLTPGRSPTNAETVGRALAGVPTS
+>DECOY_NM_181877|484263_2_1007_1107
+STPVGALARGVTEANTPSRGPTLPKTDVLIQVM
+>NM_020216|484291_2_381_550
+MARPCACPSRSPAAPPSASRCCSPTASGRDPGFAGWLPSRQQERRSPSCTPRARLS
+>DECOY_NM_020216|484291_2_381_550
+SLRARPTCSPSRREQQRSPLWGAFGPDRGSATPSCCRSASPPAAPSRSPCACPRAM
+>NM_020701|484309_2_506_666
+MEKLALKCWIMKEKKSQETEVTSTLEQQKICLVLESCLKKNLFLLPERHVLSS
+>DECOY_NM_020701|484309_2_506_666
+SSLVHREPLLFLNKKLCSELVLCIKQQELTSTVETEQSKKEKMIWCKLALKEM
+>NM_024672|484390_3_1873_1946
+MALPKLCFPKGHAFSLSSDLQIQS
+>DECOY_NM_024672|484390_3_1873_1946
+SQIQLDSSLSFAHGKPFCLKPLAM
+>NM_012143|484574_2_275_606
+MGKAALMMMMTSGRTLRSLTGISRMSSTPTDSATGRPRKKPPTGCGQSETRMMRGPALEANGPVTTLRQSTSSAQGSRKGQRRRQSWKILMTKRNLLSRTTFLRILDQGS
+>DECOY_NM_012143|484574_2_275_606
+SGQDLIRLFTTRSLLNRKTMLIKWSQRRRQGKRSGQASSTSQRLTTVPGNAELAPGRMMRTESQGCGTPPKKRPRGTASDTPTSSMRSIGTLSRLTRGSTMMMMLAAKGM
+>NM_012143|484585_2_1130_1326
+MGCRYSPNSCHSLAKRPRPPASRCPSWSTTCSCSSTSRSRRSSRMTGSYSMSGTWWSTSSTSWRR
+>DECOY_NM_012143|484585_2_1130_1326
+RRWSTSSTSWWTGSMSYSGTMRSSRRSRSTSSCSCTTSWSPCRSAPPRPRKALSHCSNPSYRCGM
+>NM_003917|484643_2_1787_1932
+MGTSCWQGTARRLSPFRWTKRKCWHCWKRCCSPTCPCQPLEDMPSQPS
+>DECOY_NM_003917|484643_2_1787_1932
+SPQSPMDELPQCPCTPSCCRKWCHWCKRKTWRFPSLRRATGQWCSTGM
+>NM_003917|484644_2_2108_2217
+MALRLMRKQRKAKKQPSFQKQPQCPQSPRPHSSWIC
+>DECOY_NM_003917|484644_2_2108_2217
+CIWSSHPRPSQPCQPQKQFSPQKKAKRQKRMLRLAM
+>NM_014775|484670_2_496_596
+MVQLRNLILQRHCPTRSLLHPLGSGGSYLVPVI
+>DECOY_NM_014775|484670_2_496_596
+IVPVLYSGGSGLPHLLSRTPCHRQLILNRLQVM
+>NM_014775|484677_2_3205_3395
+MAPLRPRGHRLLGLWELWAAWLLRSPTPWSSTLPTQRGSSRDAHTSCWSLRRARGLRSHRNMA
+>DECOY_NM_014775|484677_2_3205_3395
+AMNRHSRLGRARRLSWCSTHADRSSGRQTPLTSSWPTPSRLLWAAWLEWLGLLRHGRPRLPAM
+>NM_014775|484680_3_1793_1959
+MAPPGKCPQCKSNTFPQGDIREASIFSLEAEDVSASRKPPGRENGHPSRRATASV
+>DECOY_NM_014775|484680_3_1793_1959
+VSATARRSPHGNERGPPKRSASVDEAELSFISAERIDGQPFTNSKCQPCKGPPAM
+>NM_014775|484681_3_2270_2400
+MGDLPGQGAKHPPGGGSQGEPAQQAAAARGITSLEREHHGPSG
+>DECOY_NM_014775|484681_3_2270_2400
+GSPGHHERELSTIGRAAAAQQAPEGQSGGGPPHKAGQGPLDGM
+>NM_001007467|484709_2_1630_1742
+MVSRCCTGSGTSGGLRLSRKRKESCSPYCMLPGTTTE
+>DECOY_NM_001007467|484709_2_1630_1742
+ETTTGPLMCYPSCSEKRKRSLRLGGSTGSGTCCRSVM
+>NM_014672|484937_3_1361_1455
+MERTIHHSPKKWPVFGLWKNHRVYSAESRRI
+>DECOY_NM_014672|484937_3_1361_1455
+IRRSEASYVRHNKWLGFVPWKKPSHHITREM
+>NM_144634|484944_2_346_548
+MEAWIILRAIALRTGCAWPTSRASSTPWPSTRTHVRATLALASFRCVAVTGVATMAGTAAICHVPLY
+>DECOY_NM_144634|484944_2_346_548
+YLPVHCIAATGAMTAVGTVAVCRFSALALTARVHTRTSPWPTSSARSTPWACGTRLAIARLIIWAEM
+>NM_024953|484980_2_2172_2341
+MGYPPGLIFFVCSFNSWRQPWRQESDLLRRIFSILSLVLYLPEWVDSLILAVLSAR
+>DECOY_NM_024953|484980_2_2172_2341
+RASLVALILSDVWEPLYLVLSLISFIRRLLDSEQRWPQRWSNFSCVFFILGPPYGM
+>NM_199456|485027_2_236_312
+MAPHMCMPPRPSCFPWSGSATSWRA
+>DECOY_NM_199456|485027_2_236_312
+ARWSTASGSWPFCSPRPPMCMHPAM
+>NM_030647|485096_2_332_414
+MVPNQCKLELELSLRNYALESSQVPMK
+>DECOY_NM_030647|485096_2_332_414
+KMPVQSSELAYNRLSLELELKCQNPVM
+>NM_030647|485100_2_2321_2409
+MAVTMRLGSCIAMINQWNVDTMSRLKIQT
+>DECOY_NM_030647|485100_2_2321_2409
+TQIKLRSMTDVNWQNIMAICSGLRMTVAM
+>NM_001031709|485162_3_883_995
+METFTGYKCCCQLSWPNDSASQTFPCMWRGWIYSVQL
+>DECOY_NM_001031709|485162_3_883_995
+LQVSYIWGRWMCPFTQSASDNPWSLQCCCKYGTFTEM
+>NM_015302|485293_2_844_986
+MGLATQRYPDPRPRTSQTPARPCRPWFISSRRAGGLWVCWSPSGAPS
+>DECOY_NM_015302|485293_2_844_986
+SPAGSPSWCVWLGGARRSSIFWPRCPRAPTQSTRPRPDPYRQTALGM
+>NM_001128429|485340_2_1267_1364
+MALTRNVKKMFLIQRELLKTLNMIQVLMSVVH
+>DECOY_NM_001128429|485340_2_1267_1364
+HVVSMLVQIMNLTKLLERQILFMKKVNRTLAM
+>NM_015102|485536_2_624_796
+MGASRHCPVGLEFFGSSATSRTLLSLLPRTKGCGCTMAPPEPSCTRFSRTPQSKTDT
+>DECOY_NM_015102|485536_2_624_796
+TDTKSQPTRSFRTCSPEPPAMTCGCGKTRPLLSLLTRSTASSGFFELGVPCHRSAGM
+>NM_015102|485543_2_2823_2941
+MEPAASLEAASSRLEAQGENTWCKHRSWRTWTVSWLPCY
+>DECOY_NM_015102|485543_2_2823_2941
+YCPLWSVTWTRWSRHKCWTNEGQAELRSSAAELSAAPEM
+>NM_015102|485547_3_2248_2333
+MAKDCVFHLPVLPLPTRNDATTAAGPAG
+>DECOY_NM_015102|485547_3_2248_2333
+GAPGAATTADNRTPLPLVPLHFVCDKAM
+>NM_017719|485614_2_357_463
+MERLLDYMIWIKPWVEAILPWLNLPGMSLRVKRWQ
+>DECOY_NM_017719|485614_2_357_463
+QWRKVRLSMGPLNLWPLIAEVWPKIWIMYDLLREM
+>NM_017719|485622_2_2067_2281
+MAGARASQAMPVEGWTRPAPVRTMLVGAVPPAARVATPPIHRVPHAAVPAPATPCSWPLAVLGSSLRASNS
+>DECOY_NM_017719|485622_2_2067_2281
+SNSARLSSGLVALPWSCPTAPAPVAAHPVRHIPPTAVRAAPPVAGVLMTRVPAPRTWGEVPMAQSARAGAM
+>NM_172359|485681_2_859_1046
+MENRYQDLEKNFTTKQQLCLNAIRVFTSMAATQLSVTVTVLGIPQFQSVLKCCLHLVQNLQL
+>DECOY_NM_172359|485681_2_859_1046
+LQLNQVLHLCCKLVSQFQPIGLVTVTVSLQTAAMSTFVRIANLCLQQKTTFNKELDQYRNEM
+>NM_001684|485782_2_4068_4174
+MAPPKRRSPRMPRDWMRLTMLRWSCAEARSSGSGA
+>DECOY_NM_001684|485782_2_4068_4174
+AGSGSSRAEACSWRLMTLRMWDRPMRPSRRKPPAM
+>NM_172361|485809_2_859_1070
+MENRYQDLEKNFTTKQQLCLNAIRVFTSMAATQLSVTVTVLGIPQFQSVLKDILNLRKEYLTVWMFGSLL
+>DECOY_NM_172361|485809_2_859_1070
+LLSGFMWVTLYEKRLNLIDKLVSQFQPIGLVTVTVSLQTAAMSTFVRIANLCLQQKTTFNKELDQYRNEM
+>NM_032968|485952_2_4044_4204
+MVDWETMMQAALPAHLMACPLAILRRSTLIVLHPAIALKGMATPILNLLSYLD
+>DECOY_NM_032968|485952_2_4044_4204
+DLYSLLNLIPTAMGKLAIAPHLVILTSRRLIALPCAMLHAPLAAQMMTEWDVM
+>NM_003608|485990_3_1063_1169
+MANQPQLVQDVYRLCNTFGHHPDLQPESLPSCAAQ
+>DECOY_NM_003608|485990_3_1063_1169
+QAACSPLSEPQLDPHHGFTNCLRYVDQVLQPQNAM
+>NM_018180|486014_2_567_658
+MGMRKRFWPVRIWNLTPLMDCHIHHVIINF
+>DECOY_NM_018180|486014_2_567_658
+FNIIVHHIHCDMLPTLNWIRVPWFRKRMGM
+>NM_018180|486018_2_1902_1975
+MEIFLNLESSCQSFLLIHNSRSLS
+>DECOY_NM_018180|486018_2_1902_1975
+SLSRSNHILLFSQCSSELNLFIEM
+>NM_003482|486141_2_4924_5162
+MGTWTPMSCSRVKVVWSTWSAKLNWRAPSALMWSLAKRRPRKAKNASVNHIGLALVVSWCDSGNPTHARKRGLLHRRRC
+>DECOY_NM_003482|486141_2_4924_5162
+CRRRHLLGRKRAHTPNGSDCWSVVLALGIHNVSANKAKRPRRKALSWMLASPARWNLKASWTSWVVKVRSCSMPTWTGM
+>NM_003482|486145_2_5425_5552
+MEAQKGRNSPHRRKEMMVQILQMKNPVASRAKPIHQDLRMGA
+>DECOY_NM_003482|486145_2_5425_5552
+AGMRLDQHIPKARSAVPNKMQLIQVMMEKRRHPSNRGKQAEM
+>NM_003482|486147_2_5473_5552
+MVQILQMKNPVASRAKPIHQDLRMGA
+>DECOY_NM_003482|486147_2_5473_5552
+AGMRLDQHIPKARSAVPNKMQLIQVM
+>NM_003482|486150_2_7813_8069
+MGCPHYALRRFCHHLHPTDPSPTCPMEPHSDQASPLLSKSEKTQGLEWVALWRQLNSQVPRTQACPALAKQSWRSNGSASDYESC
+>DECOY_NM_003482|486150_2_7813_8069
+CSEYDSASGNSRWSQKALAPCAQTRPVQSNLQRWLAVWELGQTKESKSLLPSAQDSHPEMPCTPSPDTPHLHHCFRRLAYHPCGM
+>NM_003482|486159_2_10081_10172
+MEGRQAWYPSRAHSQCYHRSPWAPCHLPCA
+>DECOY_NM_003482|486159_2_10081_10172
+ACPLHCPAWPSRHYCQSHARSPYWAQRGEM
+>NM_003482|486184_3_14942_15015
+MERSALEAASAAADHPEGQWAAGG
+>DECOY_NM_003482|486184_3_14942_15015
+GGAAWQGEPHDAAASAAELASREM
+>NM_006209|486290_2_1038_1216
+MALSALRRVVMAHLLLRLRDLRGKLPLRGDRKDQLLLQRKEEEKYIGWIIMLRKLVRTK
+>DECOY_NM_006209|486290_2_1038_1216
+KTRVLKRLMIIWGIYKEEEKRQLLLQDKRDGRLPLKGRLDRLRLLLHAMVVRRLASLAM
+>NM_006209|486291_2_1068_1216
+MAHLLLRLRDLRGKLPLRGDRKDQLLLQRKEEEKYIGWIIMLRKLVRTK
+>DECOY_NM_006209|486291_2_1068_1216
+KTRVLKRLMIIWGIYKEEEKRQLLLQDKRDGRLPLKGRLDRLRLLLHAM
+>NM_006209|486296_2_1713_1798
+MAQHLSTRLKCLHLKTLNFTMLCVISWD
+>DECOY_NM_006209|486296_2_1713_1798
+DWSIVCLMTFNLTKLHLCKLRTSLHQAM
+>NM_006209|486301_3_199_278
+MGGRSSYSAIRLPLDQHLRILQGQVL
+>DECOY_NM_006209|486301_3_199_278
+LVQGQLIRLHQDLPLRIASYSSRGGM
+>NM_006209|486304_3_865_980
+MDYSHQARGESWNILLVCCHPSRAENINHIAVAHPARS
+>DECOY_NM_006209|486304_3_865_980
+SRAPHAVAIHNINEARSPHCCVLLINWSEGRAQHSYDM
+>NM_199231|486350_3_131_219
+MGCRGCLPGAAPHRVRLPAARRKYARGLS
+>DECOY_NM_199231|486350_3_131_219
+SLGRAYKRRAAPLRVRHPAAGPLCGRCGM
+>NM_003234|486382_2_1601_1683
+MGFSPAEALSLPVGVLETLDRLVPLNG
+>DECOY_NM_003234|486382_2_1601_1683
+GNLPVLRDLTELVGVPLSLAEAPSFGM
+>NM_004402|486423_2_930_1105
+MAATSTEEPRAAAASAHRKAGSPARVPLTWTAAYQDTPSTPTVTGRAGSSSAPGTWIT
+>DECOY_NM_004402|486423_2_930_1105
+TIWTGPASSSGARGTVTPTSPTDQYAATWTLPVRAPSGAKRHASAAAARPEETSTAAM
+>NM_001005731|486538_2_1943_2490
+MGASVMDVATVSVAAATATSSRSTRTPSARSTTRRSTRASARTYAPACSARRGAPARRRGARVRNATSRSRWWTSLREPRRWWCAAPSGTRMTTAPTATPWKVTAPLGPTALSWCTRRRTALRAPSGGSSPCSSSSCRSWPCYCCYAGSTVPAARPAWHFSRAATEVTWWALRKTTTCCGRT
+>DECOY_NM_001005731|486538_2_1943_2490
+TRGCCTTTKRLAWWTVETAARSFHWAPRAAPVTSGAYCCYCPWSRCSSSSCPSSGGSPARLATRRRTCWSLATPGLPATVKWPTATPATTMRTGSPAACWWRRPERLSTWWRSRSTANRVRAGRRRAPAGRRASCAPAYTRASARTSRRTTSRASPTRTSRSSTATAAAVSVTAVDMVSAGM
+>NM_001005731|486539_2_1958_2490
+MDVATVSVAAATATSSRSTRTPSARSTTRRSTRASARTYAPACSARRGAPARRRGARVRNATSRSRWWTSLREPRRWWCAAPSGTRMTTAPTATPWKVTAPLGPTALSWCTRRRTALRAPSGGSSPCSSSSCRSWPCYCCYAGSTVPAARPAWHFSRAATEVTWWALRKTTTCCGRT
+>DECOY_NM_001005731|486539_2_1958_2490
+TRGCCTTTKRLAWWTVETAARSFHWAPRAAPVTSGAYCCYCPWSRCSSSSCPSSGGSPARLATRRRTCWSLATPGLPATVKWPTATPATTMRTGSPAACWWRRPERLSTWWRSRSTANRVRAGRRRAPAGRRASCAPAYTRASARTSRRTTSRASPTRTSRSSTATAAAVSVTAVDM
+>NM_001005731|486543_2_3275_3363
+MAPRRATGTTSPWRVSCCSSLGRPGKSCR
+>DECOY_NM_001005731|486543_2_3275_3363
+RCSKGPRGLSSCCSVRWPSTTGTARRPAM
+>NM_001005731|486546_2_5189_5301
+MEDPSRSWAAVPGSSSTRCKASTAASPPPTPAPPSPS
+>DECOY_NM_001005731|486546_2_5189_5301
+SPSPPAPTPPPSAATSAKCRTSSSGPVAAWSRSPDEM
+>NM_003583|486575_2_851_1002
+MVAMMMTRDHMCRCPTITWLTGMRSSRSLGRGALGRWSRPTITKSTSTWP
+>DECOY_NM_003583|486575_2_851_1002
+PWTSTSKTITPRSWRGLAGRGLSRSSRMGTLWTITPCRCMHDRTMMMAVM
+>NM_002019|486784_2_3025_3155
+MEISPTTSRANVTYFFSTRMQHYTWSLRKKKWSQAWNKARNQD
+>DECOY_NM_002019|486784_2_3025_3155
+DQNRAKNWAQSWKKKRLSWTYHQMRTSFFYTVNARSTTPSIEM
+>NM_033151|486871_2_468_553
+MAPGVSKREILRLQGGQLSHRGGSMMLP
+>DECOY_NM_033151|486871_2_468_553
+PLMMSGGRHSLQGGQLRLIERKSVGPAM
+>NM_033151|486879_2_2880_3073
+MEPWQTWATLQTILNCPSTSWCTGSTPCSSSVWGSAPQGFSPRSRGRHPRPCTTSSSTRFSAAP
+>DECOY_NM_033151|486879_2_2880_3073
+PAASFRTSSSTTCPRPHRGRSRPSFGQPASGWVSSSCPTSGTCWSTSPCNLITQLTAWTQWPEM
+>NM_033151|486884_3_3481_3578
+MDGIEAGDHDQPCDLGCCPVRGFWHFLHPLLL
+>DECOY_NM_033151|486884_3_3481_3578
+LLLPHLFHWFGRVPCCGLDCPQDHDGAEIGDM
+>NM_005099|486907_2_1479_1567
+MGSSQPSLLLMNWVMSSTCSMTTPSHASV
+>DECOY_NM_005099|486907_2_1479_1567
+VSAHSPTTMSCTSSMVWNMLLLSPQSSGM
+>NM_005099|486912_2_2088_2167
+MVASTVRAAVPASAPATLRTAQLAQP
+>DECOY_NM_005099|486912_2_2088_2167
+PQALQATRLTAPASAPVAARVTSAVM
+>NM_178839|486932_2_1279_1775
+MATCSAPARSTHRARTSWTPCTPSTCARMGPSPPAATCSRPSPTAVIWGPLPARPPRSRTAGRGSTTAHSSLPPWLFQAASTPRTPCRSTRWSRAPWPSSSPSSSWSWCSTCPGSVSQPASGSSDSALSRSAGSKSRNRPCIRWLPCLPRNTTLITNRTTLREPW
+>DECOY_NM_178839|486932_2_1279_1775
+WPERLTTRNTILTTNRPLCPLWRICPRNRSKSGASRSLASDSSGSAPQSVSGPCTSCWSWSSSPSSSPWPARSWRTSRCPTRPTSAAQFLWPPLSSHATTSGRGATRSRPPRAPLPGWIVATPSPRSCTAAPPSPGMRACTSPTCPTWSTRARHTSRAPASCTAM
+>NM_178839|486933_2_1363_1775
+MGPSPPAATCSRPSPTAVIWGPLPARPPRSRTAGRGSTTAHSSLPPWLFQAASTPRTPCRSTRWSRAPWPSSSPSSSWSWCSTCPGSVSQPASGSSDSALSRSAGSKSRNRPCIRWLPCLPRNTTLITNRTTLREPW
+>DECOY_NM_178839|486933_2_1363_1775
+WPERLTTRNTILTTNRPLCPLWRICPRNRSKSGASRSLASDSSGSAPQSVSGPCTSCWSWSSSPSSSPWPARSWRTSRCPTRPTSAAQFLWPPLSSHATTSGRGATRSRPPRAPLPGWIVATPSPRSCTAAPPSPGM
+>NM_005823|487024_3_767_903
+MVCLHDGRSAGPAARAGPAHHPQHPAGHRGRVAATLLSGPILAAA
+>DECOY_NM_005823|487024_3_767_903
+AAALIPGSLLTAAVRGRHGAPHQPHHAPGARAAPGASRGDHLCVM
+>NM_001012301|487246_2_782_975
+MVQISRPLRWTGWRPRGSSWRIITSSPSARLRGASSSLAGTRSTQDSSIPSSAHSSPTACPWTR
+>DECOY_NM_001012301|487246_2_782_975
+RTWPCATPSSHASSPISSDQTSRTGALSSSAGRLRASPSSTIIRWSSGRPRWGTWRLPRSIQVM
+>NM_001012301|487249_2_1139_1389
+MAQACAASTCTRVRMWPGGSAASTPLCFMPSAPAISWPATALSVPSSSMWPSRQYTHPCSPLVSTCTATAPWAMWPGGSTRPW
+>DECOY_NM_001012301|487249_2_1139_1389
+WPRTSGGPWMAWPATATCTSVLPSCPHTYQRSPWMSSSPVSLATAPWSIAPASPMFCLPTSAASGGPWMRVRTCTSAACAQAM
+>NM_001012301|487254_2_1481_1566
+MVARLSRGAATGRSEDARALIGKVACGA
+>DECOY_NM_001012301|487254_2_1481_1566
+AGCAVKGILARADESRGTAAGRSLRAVM
+>NM_001012301|487257_2_1790_1869
+MAPWRAALASGTPPCRLPSAWVSGSC
+>DECOY_NM_001012301|487257_2_1790_1869
+CSGSVWASPLRCPPTGSALAARWPAM
+>NM_001012301|487258_2_1886_2280
+MAIGSHRRHWPPSRVAGGTWNEWPVSARPCGSSTSVLTLMNGRTWLASGLMWSAPCWLAWPNITAQPSRYATQLRTPGLILTLMGVLGGPGPVMRKRRKRKGGLEASPGVVARKNARFASFDPFSVNSTPG
+>DECOY_NM_001012301|487258_2_1886_2280
+GPTSNVSFPDFSAFRANKRAVVGPSAELGGKRKRRKRMVPGPGGLVGMLTLILGPTRLQTAYRSPQATINPWALWCPASWMLGSALWTRGNMLTLVSTSSGCPRASVPWENWTGGAVRSPPWHRRHSGIAM
+>NM_001144904|487268_2_176_270
+MAPWCCNSSPSCSWLGSWWPSLSKCPRSPAP
+>DECOY_NM_001144904|487268_2_176_270
+PAPSRPCKSLSPWWSGLWSCSPSSNCCWPAM
+>NM_005911|487317_2_631_776
+MALCLGYALILKLKLLCSICRIEVLCFPSESTQLLYLFSMMKRFVLMK
+>DECOY_NM_005911|487317_2_631_776
+KMLVFRKMMSFLYLLQTSESPFCLVEIRCISCLLKLKLILAYGLCLAM
+>NM_000065|487385_2_685_785
+MEKMTVETIQMKGTVGGQRQYAHGSIIPSLVYS
+>DECOY_NM_000065|487385_2_685_785
+SYVLSPIISGHAYQRQGGVTGKMQITEVTMKEM
+>NM_000065|487387_2_793_959
+MGFIFWQESPEEKSLITLSLEEYVKLSKAVGQVIHTVFRPIWKMSALRYKLQKMT
+>DECOY_NM_000065|487387_2_793_959
+TMKQLKYRLASMKWIPRFVTHIVQGVAKSLKVYEELSLTILSKEEPSEQWFIFGM
+>NM_000065|487391_2_1795_1901
+MADPPSQGLNVCVCVRVAPMVRTVRNSLQIINPMQ
+>DECOY_NM_000065|487391_2_1795_1901
+QMPNIIQLSNRVTRVMPAVRVCVCVNLGQSPPDAM
+>NM_000065|487393_2_2164_2348
+MDLSGMKSNYTWLEKMLKFHALLALKLLDTSTSDAYQTGPGDKGMWNANGRSASSQLCRKS
+>DECOY_NM_000065|487393_2_2164_2348
+SKRCLQSSASRGNANWMGKDGPGTQYADSTSTDLLKLALLAHFKLMKELWTYNSKMGSLDM
+>NM_003143|487425_3_326_429
+MAQNISIPARPQRRGISICEKGVSNLFGRENRLW
+>DECOY_NM_003143|487425_3_326_429
+WLRNERGFLNSVGKECISIGRRQPRAPISINQAM
+>NM_015215|487584_2_898_1373
+MGTAAQASRWNSWCSRSSTATRPSPSRGPTTASAPAAWELAAACITSVTAPNTASSRPRWSHGQGGTGATRRCSTMTCRRASTSTATARAPAVRRGTARWPSPCSCTRAAPRSPPPTRWKSPTPPRAPLCPSAAGSTATRTWWTARWSQVCPVWRWPL
+>DECOY_NM_015215|487584_2_898_1373
+LPWRWVPCVQSWRATWWTRTATSGAASPCLPARPPTPSKWRTPPPSRPAARTCSCPSPWRATGRRVAPARATATSTSARRCTMTSCRRTAGTGGQGHSWRPRSSATNPATVSTICAAALEWAAPASATTPGRSPSPRTATSSRSCWSNWRSAQAATGM
+>NM_015215|487589_2_3253_3341
+MEGARHSVLLGLGPWGAALRAVWSWYARR
+>DECOY_NM_015215|487589_2_3253_3341
+RRAYWSWVARLAAGWPGLGLLVSHRAGEM
+>NM_015215|487598_3_830_1041
+MDERRAHRAAETHVPWHQVDLQQWEQQLRLLGGTAGAADPRQPPDQAPAADPQLPLHRQPGSWRQRASQV
+>DECOY_NM_015215|487598_3_830_1041
+VQSARQRWSGPQRHLPLQPDAAPAQDPPQRPDAAGATGGLLRLQQEWQQLDVQHWPVHTEAARHARREDM
+>NM_194293|487653_2_2580_2800
+MEASSWRPEGQGSSVLPSMCSRAQGRGTLIYERRSWCQVNFPGSSAKSCAGQMWTSRGCWCRKTQLASSNSSR
+>DECOY_NM_194293|487653_2_2580_2800
+RSSNSSALQTKRCWCGRSTWMQGACSKASSGPFNVQCWSRREYILTGRGQARSCMSPLVSSGQGEPRWSSAEM
+>NM_194293|487655_2_4422_4645
+MASAPRPPGPPRIRLQAAMPRALSPPSSMPSTMIPPHHSGAPAPQESSPWKVPTKGPLRALTVCKETRKSSRAS
+>DECOY_NM_194293|487655_2_4422_4645
+SARSSKRTEKCVTLARLPGKTPVKWPSSEQPAPAGSHHPPIMTSPMSSPPSLARPMAAQLRIRPPGPPRPASAM
+>NM_002193|487707_2_935_1011
+MAGPTSVAGNSSSLTSASSAGTTGS
+>DECOY_NM_002193|487707_2_935_1011
+SGTTGASSASTLSSSNGAVSTPGAM
+>NM_005232|487818_2_1354_1445
+MECQGWAALAMPAPQSASAWGMQSHCQACL
+>DECOY_NM_005232|487818_2_1354_1445
+LCAQCHSQMGWASASQPAPMALAAWGQCEM
+>NM_006289|487888_2_1477_1553
+MAITQYRQLKGSRLHSSLPATSISS
+>DECOY_NM_006289|487888_2_1477_1553
+SSISTAPLSSHLRSGKLQRYQTIAM
+>NM_006289|487890_2_1684_1817
+MALWPCLPSCALEPLVLRISRWAACPLPSSRLPAARCTEDTCLL
+>DECOY_NM_006289|487890_2_1684_1817
+LLCTDETCRAAPLRSSPLPCAAWRSIRLVLPELACSPLCPWLAM
+>NM_006289|487896_2_3571_3647
+MASLNPYLGRQWRSVPRTWATAPKP
+>DECOY_NM_006289|487896_2_3571_3647
+PKPATAWTRPVSRWQRGLYPNLSAM
+>NM_006289|487905_2_6127_6206
+MAVPLWSPRQAPCSAAPVMPTPRRSS
+>DECOY_NM_006289|487905_2_6127_6206
+SSRRPTPMVPAASCPAQRPSWLPVAM
+>NM_006289|487909_2_7510_7646
+MGSGPRASFLLPGWWLRPPTICVRQPMQLYKAMPARRSSSHQPSR
+>DECOY_NM_006289|487909_2_7510_7646
+RSPQHSSSRRAPMAKYLQMPQRVCITPPRLWWGPLLFSARPGSGM
+>NM_001543|488023_2_1757_1866
+MAFPQTWGMQWRPTTRACTPCTCSCTRLGSRCGASA
+>DECOY_NM_001543|488023_2_1757_1866
+ASAGCRSGLRTCSCTCPTCARTTPRWQMGWTQPFAM
+>NM_001543|488025_2_1928_2082
+MASWFSHGRPAASSHTPSSTTSTLAAPVSWTRSSTGASSSSPCSSILSASS
+>DECOY_NM_001543|488025_2_1928_2082
+SSASLISSCPSSSSAGTSSRTWSVPAALTSTTSSPTHSSAAPRGHSFWSAM
+>NM_001543|488026_2_2099_2391
+MGMTAWACTPSSTWCASCTPGRTSGCRHCPLCSWRRSTSRSSPRRRTRSGRTPARTNVTKTSGPRRRRVTASQSSSSSAPRKQAPLPSTCSWACTLT
+>DECOY_NM_001543|488026_2_2099_2391
+TLTCAWSCTSPLPAQKRPASSSSSQSATVRRRRPGSTKTVNTRAPTRGSRTRRRPSSRSTSRRWSCLPCHRCGSTRGPTCSACWTSSPTCAWATMGM
+>NM_001136005|488070_2_3033_3148
+MEKCSISTHPCSLLLRVQMPMSKPWKPESQLLGALYTL
+>DECOY_NM_001136005|488070_2_3033_3148
+LTYLAGLLQSEPKWPKSMPMQVRLLLSCPHTSISCKEM
+>NM_017780|488221_2_877_1106
+MGNPLWTAAPCGAPGLFRYQTRYEPPTSSSSHSRSHRSRLRRGPLHRATLSTCSRWAAIWHVGIFPCSSMVSHSRG
+>DECOY_NM_017780|488221_2_877_1106
+GRSHSVMSSCPFIGVHWIAAWRSCTSLTARHLPGRRLRSRHSRSHSSSSTPPEYRTQYRFLGPAGCPAATWLPNGM
+>NM_017780|488236_2_5878_5963
+MAMRSTTPCELTPRCAFWNESVCLMPRP
+>DECOY_NM_017780|488236_2_5878_5963
+PRPMLCVSENWFACRPTLECPTTSRMAM
+>NM_153826|488271_2_859_1157
+MENRYQDLEKNFTTKQQLCLNAIRVFTSMAATQLSVTVTVLGIPQFQSVLKCRLLPLQNLQRPVPQVLGLLTSLQSQIIQDILNLRKEYLTVWMFGSLL
+>DECOY_NM_153826|488271_2_859_1157
+LLSGFMWVTLYEKRLNLIDQIIQSQLSTLLGLVQPVPRQLNQLPLLRCKLVSQFQPIGLVTVTVSLQTAAMSTFVRIANLCLQQKTTFNKELDQYRNEM
+>NM_018081|488354_2_1366_1532
+MAPLSPCWEGTKGASPTSAFIPMATASSQEPARMLSSCAGISGSLVTHCGPWVER
+>DECOY_NM_018081|488354_2_1366_1532
+REVWPGCHTVLSGSIGACSSLMRAPEQSSATAMPIFASTPSAGKTGEWCPSLPAM
+>NM_004169|488385_2_437_636
+MAGLSLLMNWRPSVRSEPCRPISWTHSAGGSTSSPTQAPLQTLLCTLPWWNPMGASWAWTFRMGAT
+>DECOY_NM_004169|488385_2_437_636
+TAGMRFTWAWSAGMPNWWPLTCLLTQLPAQTPSSTSGGASHTWSIPRCPESRVSPRWNMLLSLGAM
+>NM_020211|488555_2_624_856
+MAPPRSHACARSHRPETARSARTAPRSAITRRAFTSTRPPPTTRTVASSGTHTSGLSPTASRPARCRAPGRSSTIIT
+>DECOY_NM_020211|488555_2_624_856
+TIITSSRGPARCRAPRSATPSLGSTHTGSSAVTRTTPPPRTSTFARRTIASRPATRASRATEPRHSRACAHSRPPAM
+>NM_197970|488575_2_470_555
+MVSSLLKHKKMHKKFYKRLKNLIIRIRS
+>DECOY_NM_197970|488575_2_470_555
+SRIRIILNKLRKYFKKHMKKHKLLSSVM
+>NM_020066|488641_2_736_1577
+MDKGPARARTRTSIASIRLRSKRICFQTSSRRSACSSSSSSSSSSSSSNSSSSSSSRAPRSLQRPPLPSPLSPGPSWAWTGSCWGRAAGLGRPRAVRTPSRRCPRSPTCPRAWPPSPGSPSNRRPPAASRSPRRPVSRQRNPRPKTRPPPRLSHFPRPGRGRKRPEPPCEGLGTRMRRVRRMLLRMRPGALRGRSGPRRWERTPRRGWGKSRRRRRKDLTPPRPLPCPAAPRLASAVSSPTRSSPPATSRPPPGSSARPITPRLSPLIRAPGSRGGRNPP
+>DECOY_NM_020066|488641_2_736_1577
+PPNRGGRSGPARILPSLRPTIPRASSGPPPRSTAPPSSRTPSSVASALRPAAPCPLPRPPTLDKRRRRRSKGWGRRPTREWRRPGSRGRLAGPRMRLLMRRVRRMRTGLGECPPEPRKRGRGPRPFHSLRPPPRTKPRPNRQRSVPRRPSRSAAPPRRNSPSGPSPPWARPCTPSRPCRRSPTRVARPRGLGAARGWCSGTWAWSPGPSLPSPLPPRQLSRPARSSSSSSSNSSSSSSSSSSSSSCASRRSSTQFCIRKSRLRISAISTRTRARAPGKDM
+>NM_020066|488651_2_4477_4553
+MADLPKTRKMPSLWTNLNSSFMNCH
+>DECOY_NM_020066|488651_2_4477_4553
+HCNMFSSNLNTWLSPMKRTKPLDAM
+>NM_000715|488663_2_741_865
+MEGTAVKKISTHTAFLSPTAVTPASHSWAMPPFLALWRMKQ
+>DECOY_NM_000715|488663_2_741_865
+QKMRWLALFPPMAWSHSAPTVATPSLFATHTSIKKVATGEM
+>NM_000715|488667_2_1308_1660
+MVKSLNTGKVVLPITVFISMEMRFHFHVMRPVGFQLYAKEMARGVPEHHHVETFAIFLLKLPMGIINNLVHTAFSKKRLYMNVIKATFWSDRRNSPAVIHTGQLQPLNVKLCVGNQN
+>DECOY_NM_000715|488667_2_1308_1660
+NQNGVCLKVNLPQLQGTHIVAPSNRRDSWFTAKIVNMYLRKKSFATHVLNNIIGMPLKLLFIAFTEVHHHEPVGRAMEKAYLQFGVPRMVHFHFRMEMSIFVTIPLVVKGTNLSKVM
+>NM_000715|488671_2_1428_1660
+MARGVPEHHHVETFAIFLLKLPMGIINNLVHTAFSKKRLYMNVIKATFWSDRRNSPAVIHTGQLQPLNVKLCVGNQN
+>DECOY_NM_000715|488671_2_1428_1660
+NQNGVCLKVNLPQLQGTHIVAPSNRRDSWFTAKIVNMYLRKKSFATHVLNNIIGMPLKLLFIAFTEVHHHEPVGRAM
+>NM_000715|488677_2_1737_1888
+MVWLVPKVSLALGTEPGTQRCPSVSGRPPKAVNKCSQAKDSCSVSQTQRM
+>DECOY_NM_000715|488677_2_1737_1888
+MRQTQSVSCSDKAQSCKNVAKPPRGSVSPCRQTGPETGLALSVKPVLWVM
+>NM_001772|488683_2_377_453
+MVHTSFGWREEVPNTVTNLPSSLCM
+>DECOY_NM_001772|488683_2_377_453
+MCLSSPLNTVTNPVEERWGFSTHVM
+>NM_001772|488685_2_776_882
+MAQGNKRPEQEWFMGPLEELVLQPCSLFVSASSSS
+>DECOY_NM_001772|488685_2_776_882
+SSSSASVFLSCPQLVLEELPGMFWEQEPRKNGQAM
+>NM_022105|488713_2_1254_1384
+MGKTISAQTAPFCKCRMRLIQKRQISRKLNGDLEMLMAPIVQV
+>DECOY_NM_022105|488713_2_1254_1384
+VQVIPAMLMELDGNLKRSIQRKQILRMRCKCFPATQASITKGM
+>NM_080797|488831_2_3129_3334
+MAIPSPPRWKTCPPAQPPVGAGWSPPSQCPAGTPGPLQAVHAQPWPPQHPAQTAPTWWKPDRMCRSLS
+>DECOY_NM_080797|488831_2_3129_3334
+SLSRCMRDPKWWTPATQAPHQPPWPQAHVAQLPGPTGAPCQSPPSWGAGVPPQAPPCTKWRPPSPIAM
+>NM_080797|488839_3_2119_2387
+MALRYPIEWCFSCQAGRTGTCSGNGCLQEVPWLRCFGGSRKEASGTFCSNGLASPRTPWGYECCTIAAKFTNSAKYQTLLKRDFVEKSQ
+>DECOY_NM_080797|488839_3_2119_2387
+QSKEVFDRKLLTQYKASNTFKAAITCCEYGWPTRPSALGNSCFTGSAEKRSGGFCRLWPVEQLCGNGSCTGTRGAQCSFCWEIPYRLAM
+>NM_015313|488892_3_2862_2989
+MANRKGEGEESCRSLSSDLKLCKSGCQGGRKQAAPRRLSASP
+>DECOY_NM_015313|488892_3_2862_2989
+PSASLRRPAAQKRGGQCGSKCLKLDSSLSRCSEEGEGKRNAM
+>NM_021801|488926_2_208_329
+MGQTYLTCRCMLCYTSPTVGCLMGPTPPSRQEDASGISTL
+>DECOY_NM_021801|488926_2_208_329
+LTSIGSADEQRSPPTPGMLCGVTPSTYCLMCRCTLYTQGM
+>NM_021801|488928_2_448_530
+MEMQTSRFLSGSGPMKMVGPLMGQVVS
+>DECOY_NM_021801|488928_2_448_530
+SVVQGMLPGVMKMPGSGSLFRSTQMEM
+>NM_012325|488945_2_497_576
+MEKTMTLWLPDKVKKLQWLLPLLLQL
+>DECOY_NM_012325|488945_2_497_576
+LQLLLPLLWQLKKVKDPLWLTMTKEM
+>NM_033081|488997_2_5694_5914
+MGHEGLRQPDSEPRRGPSLPYSRGNMGHLLMGTAEAPHPLTLVDHEEWHHPNLKNARIPMGRRGSSRTPRITR
+>DECOY_NM_033081|488997_2_5694_5914
+RTIRPTRSSGRRGMPIRANKLNPHHWEEHDVLTLPHPAEATGMLLHGMNGRSYPLSPGRRPESDPQRLGEHGM
+>NM_033081|488998_2_5769_5914
+MGHLLMGTAEAPHPLTLVDHEEWHHPNLKNARIPMGRRGSSRTPRITR
+>DECOY_NM_033081|488998_2_5769_5914
+RTIRPTRSSGRRGMPIRANKLNPHHWEEHDVLTLPHPAEATGMLLHGM
+>NM_006391|489058_2_2873_3081
+MGKNIWRFWLSRLVKMEMMKIGKKMMLKRLLWKAIPQSLMMKITLLMSIRYLKLSFKLFKIVILCGIRH
+>DECOY_NM_006391|489058_2_2873_3081
+HRIGCLIVIKFLKFSLKLYRISMLLTIKMMLSQPIAKWLLRKLMMKKGIKMMEMKVLRSLWFRWINKGM
+>NM_006391|489059_2_2918_3081
+MEMMKIGKKMMLKRLLWKAIPQSLMMKITLLMSIRYLKLSFKLFKIVILCGIRH
+>DECOY_NM_006391|489059_2_2918_3081
+HRIGCLIVIKFLKFSLKLYRISMLLTIKMMLSQPIAKWLLRKLMMKKGIKMMEM
+>NM_016546|489084_2_678_913
+MGRRFFSVCLSADGQSPPLPRIRRPSVLPEPSWATSPGKPSPVSTAVGAGPCWGTDGSSLLPTPSTPRTVFLSGRTRV
+>DECOY_NM_016546|489084_2_678_913
+VRTRGSLFVTRPTSPTPLLSSGDTGWCPGAGVATSVPSPKGPSTAWSPEPLVSPRRIRPLPPSQGDASLCVSFFRRGM
+>NM_016546|489095_3_1342_1415
+MGQSCPSLGGHGHCVLGHRVWRRV
+>DECOY_NM_016546|489095_3_1342_1415
+VRRWVRHGLVCHGHGGLSPCSQGM
+>NM_005503|489158_2_754_842
+MVTTVPAKRATRTTTPRRPTGTPAPPPTA
+>DECOY_NM_005503|489158_2_754_842
+ATPPPAPTGTPRRPTTTRTARKAPVTTVM
+>NM_005503|489159_2_1165_1388
+MVWSSQGSSSALISMDLLTITTFQRQRRWHHFQVLWLFQGPANQKTSSTGSSLLPITWGPPSCYQNGTLPKTSE
+>DECOY_NM_005503|489159_2_1165_1388
+ESTKPLTGNQYCSPPGWTIPLLSSGTSSTKQNAPGQFLWLVQFHHWRRQRQFTTITLLDMSILASSSGQSSWVM
+>NM_002470|489250_2_4635_4774
+MAKPSMNWRNQESRLSWKRLISSWLSRKQRLLLSMKKPRSSESSLN
+>DECOY_NM_002470|489250_2_4635_4774
+NLSSESSRPKKMSLLLRQKRSLWSSILRKWSLRSEQNRWNMSPKAM
+>NM_001042583|489265_3_1036_1124
+MVSPSNPGCGGWGGSWPVLSGETQQSRGP
+>DECOY_NM_001042583|489265_3_1036_1124
+PGRSQQTEGSLVPWSGGWGGCGPNSPSVM
+>NM_001854|489296_2_682_818
+MVFSKLVLRLGDHLFFCLKTTLENLPQKTIPSSELLTSLTGSGIG
+>DECOY_NM_001854|489296_2_682_818
+GIGSGTLSTLLESSPITKQPLNELTTKLCFFLHDGLRLVLKSFVM
+>NM_001854|489299_2_1249_1346
+MEQWKVTRQKLLGMFLGQMSQIQLKKYLLKNI
+>DECOY_NM_001854|489299_2_1249_1346
+INKLLYKKLQIQSMQGLFMGLLKQRTVKWQEM
+>NM_001854|489304_2_1573_1796
+MVHMERKDRKENQQWLSLVCLSKDHQDQQDLQVLWVLQVYKAPLDPLVTLAIGAPQDVLAYQGLMVYLVLLVLC
+>DECOY_NM_001854|489304_2_1573_1796
+CLVLLVLYVMLGQYALVDQPAGIALTVLPDLPAKYVQLVWLVQLDQQDQHDKSLCVLSLWQQNEKRDKREMHVM
+>NM_001854|489306_2_1813_1898
+MVVMVPKDQPSLLRKLRLKLFFSRLGLL
+>DECOY_NM_001854|489306_2_1813_1898
+LLGLRSFFLKLRLKRLLSPQDKPVMVVM
+>NM_001854|489307_2_1822_1898
+MVPKDQPSLLRKLRLKLFFSRLGLL
+>DECOY_NM_001854|489307_2_1822_1898
+LLGLRSFFLKLRLKRLLSPQDKPVM
+>NM_001854|489310_2_2224_2330
+MEKLDQEVFQVKLAHEVCWVQGELQELQGSLVWQV
+>DECOY_NM_001854|489310_2_2224_2330
+VQWVLSGQLEQLEGQVWCVEHALKVQFVEQDLKEM
+>NM_001854|489314_2_2746_2933
+MALKDPKVEQAQLETQVLQVKQEKRENLEFQDYQDIQEDKVQRVPLDSLGFQVPMERKVHGE
+>DECOY_NM_001854|489314_2_2746_2933
+EGHVKREMPVQFGLSDLPVRQVKDEQIDQYDQFELNERKEQKVQLVQTELQAQEVKPDKLAM
+>NM_001854|489315_2_3043_3260
+MALLALQVKEVLKDLRVQLDSLDQKALLDHLGRMGCQDTLGNVGRLDFKARPALLGQGEWLDHRDQPVRLVQ
+>DECOY_NM_001854|489315_2_3043_3260
+QVLRVPQDRHDLWEGQGLLAPRAKFDLRGVNGLTDQCGMRGLHDLLAKQDLSDLQVRLDKLVEKVQLALLAM
+>NM_001854|489316_2_3142_3260
+MGCQDTLGNVGRLDFKARPALLGQGEWLDHRDQPVRLVQ
+>DECOY_NM_001854|489316_2_3142_3260
+QVLRVPQDRHDLWEGQGLLAPRAKFDLRGVNGLTDQCGM
+>NM_001854|489317_2_3628_3908
+MEFKVLLVSQGQLVLPAPLGKTETRVKLVSRDKKAARVTREKMALPVPQVFKDQLVPLELLEVMVNQVLEDSRGCLGKKVMRVPEASLDLLVQ
+>DECOY_NM_001854|489317_2_3628_3908
+QVLLDLSAEPVRMVKKGLCGRSDELVQNVMVELLELPVLQDKFVQPVPLAMKERTVRAAKKDRSVLKVRTETKGLPAPLVLQGQSVLLVKFEM
+>NM_001854|489318_2_3754_3908
+MALPVPQVFKDQLVPLELLEVMVNQVLEDSRGCLGKKVMRVPEASLDLLVQ
+>DECOY_NM_001854|489318_2_3754_3908
+QVLLDLSAEPVRMVKKGLCGRSDELVQNVMVELLELPVLQDKFVQPVPLAM
+>NM_001854|489319_2_3817_3908
+MVNQVLEDSRGCLGKKVMRVPEASLDLLVQ
+>DECOY_NM_001854|489319_2_3817_3908
+QVLLDLSAEPVRMVKKGLCGRSDELVQNVM
+>NM_001854|489320_2_3952_4130
+MGMLVPWGHLVLQAQEALKVPMELMDHKDPQGLLVQLVVLEKRVNLEKQGTQGLLGKQV
+>DECOY_NM_001854|489320_2_3952_4130
+VQKGLLGQTGQKELNVRKELVVLQVLLGQPDKHDMLEMPVKLAEQAQLVLHGWPVLMGM
+>NM_001854|489325_2_4222_4688
+MALRVTRVLLVFLEILVLLGNLALQVKMVLVVTRVKMEILVNRVLLAHLVRLAHQVLLENEVLLELQVQREDKVKKVLRGKQVQKVLLEKPAQSVLRDLQESLVQKVFGASLVLWENKVSLELQAKMDHLVLWDLLAYLVSKVTLAPRVKRDILV
+>DECOY_NM_001854|489325_2_4222_4688
+VLIDRKVRPALTVKSVLYALLDWLVLHDMKAQLELSVKNEWLVLSAGFVKQVLSEQLDRLVSQAPKELLVKQVQKGRLVKKVKDERQVQLELLVENELLVQHALRVLHALLVRNVLIEMKVRTVVLVMKVQLALNGLLVLIELFVLLVRTVRLAM
+>NM_001854|489326_2_4303_4688
+MVLVVTRVKMEILVNRVLLAHLVRLAHQVLLENEVLLELQVQREDKVKKVLRGKQVQKVLLEKPAQSVLRDLQESLVQKVFGASLVLWENKVSLELQAKMDHLVLWDLLAYLVSKVTLAPRVKRDILV
+>DECOY_NM_001854|489326_2_4303_4688
+VLIDRKVRPALTVKSVLYALLDWLVLHDMKAQLELSVKNEWLVLSAGFVKQVLSEQLDRLVSQAPKELLVKQVQKGRLVKKVKDERQVQLELLVENELLVQHALRVLHALLVRNVLIEMKVRTVVLVM
+>NM_001854|489327_2_4330_4688
+MEILVNRVLLAHLVRLAHQVLLENEVLLELQVQREDKVKKVLRGKQVQKVLLEKPAQSVLRDLQESLVQKVFGASLVLWENKVSLELQAKMDHLVLWDLLAYLVSKVTLAPRVKRDILV
+>DECOY_NM_001854|489327_2_4330_4688
+VLIDRKVRPALTVKSVLYALLDWLVLHDMKAQLELSVKNEWLVLSAGFVKQVLSEQLDRLVSQAPKELLVKQVQKGRLVKKVKDERQVQLELLVENELLVQHALRVLHALLVRNVLIEM
+>NM_001854|489328_2_4600_4688
+MDHLVLWDLLAYLVSKVTLAPRVKRDILV
+>DECOY_NM_001854|489328_2_4600_4688
+VLIDRKVRPALTVKSVLYALLDWLVLHDM
+>NM_001854|489337_2_5581_5669
+MVVRPEKAMKRLSLKSIHQKLIKYLLLMS
+>DECOY_NM_001854|489337_2_5581_5669
+SMLLLYKILKQHISKLSLRKMAKEPRVVM
+>NM_001351|489456_2_1015_1091
+MAHKRNLWTEAYKRWYLVCLIQRTD
+>DECOY_NM_001351|489456_2_1015_1091
+DTRQILCVLYWRKYAETWLNRKHAM
+>NM_015884|489563_3_298_410
+MANCCFQSCLLQLGTAESKDALPMVQFWNGVWRNCHV
+>DECOY_NM_015884|489563_3_298_410
+VHCNRWVGNWFQVMPLADKSEATGLQLLCSQFCCNAM
+>NM_000252|489823_2_1346_1464
+MVIKTTPMLTVLLFFSSLLIVCGKCQNSSLQLLNSMNNF
+>DECOY_NM_000252|489823_2_1346_1464
+FNNMSNLLQLSSNQCKGCVILLSSFFLLVTLMPTTKIVM
+>NM_015584|489840_2_352_509
+MENMRPGSFSFIAFLATEVSSCFPGRPDCMTGMWLLQLQKKQRTLLAMAPRR
+>DECOY_NM_015584|489840_2_352_509
+RRPAMALLTRQKKQLQLLWMGTMCDPRGPFCSSVETALFAIFSFSGPRMNEM
+>NM_001017424|489895_3_541_629
+MEDGLHDIPGGCPLSDHRSHRVQSIGAAS
+>DECOY_NM_001017424|489895_3_541_629
+SAAGISQVRHSRHDSLPCGGPIDHLGDEM
+>NM_002113|489953_2_202_323
+MEFYMMKKNISHFPRFLQGKFSITPVNIILCLLQNHFGLA
+>DECOY_NM_002113|489953_2_202_323
+ALGFHNQLLCLIINVPTISFKGQLFRPFHSINKKMMYFEM
+>NM_002113|489956_2_394_503
+MVILNLQDKHIWKVILCKLFATQDTDFKTMRTTFHV
+>DECOY_NM_002113|489956_2_394_503
+VHFTTRMTKFDTDQTAFLKCLIVKWIHKDQLNLIVM
+>NM_002113|489958_2_760_860
+MGTLLHSRCQYMLQLHQLSTNARTCINLRVTSE
+>DECOY_NM_002113|489958_2_760_860
+ESTVRLNICTRANTSLQHLQLMYQCRSHLLTGM
+>NM_004578|489970_3_405_487
+MGYSRTRTIQVRDEKLLPRRGRGSPRL
+>DECOY_NM_004578|489970_3_405_487
+LRPSGRGRRPLLKEDRVQITRTRSYGM
+>NM_001135170|489982_2_1043_1119
+MASSQTQVGQTAYSPGFSYTLTQIT
+>DECOY_NM_001135170|489982_2_1043_1119
+TIQTLTYSFGPSYATQGVQTQSSAM
+>NM_012068|490030_2_646_767
+MGNSPRPLPPWLPMRSLGEPWRAGFQWGESPWQVMASLTG
+>DECOY_NM_012068|490030_2_646_767
+GTLSAMVQWPSEGWQFGARWPEGLSRMPLWPPLPRPSNGM
+>NM_003299|490101_2_1306_1379
+MDLKRAITLSSMCAVYSSQTTSMI
+>DECOY_NM_003299|490101_2_1306_1379
+IMSTTQSSYVACMSSLTIARKLDM
+>NM_015225|490226_2_2486_2559
+MVNQQLWRHSQPGVHLVKKIMMKL
+>DECOY_NM_015225|490226_2_2486_2559
+LKMMIKKVLHVGPQSHRWLQQNVM
+>NM_015225|490230_2_3122_3213
+MVTPRQRRLTFLLSHCNSHLEIESVQVLGT
+>DECOY_NM_015225|490230_2_3122_3213
+TGLVQVSEIELHSNCHSLLFTLRRQRPTVM
+>NM_015225|490239_2_4079_4155
+MAMDKVKVRRKPREPLTGGTLMKRR
+>DECOY_NM_015225|490239_2_4079_4155
+RRKMLTGGTLPERPKRRVKVKDMAM
+>NM_015225|490248_2_5819_5901
+MGRTPMSNSGTFNQGSQTQMLTSSASL
+>DECOY_NM_015225|490248_2_5819_5901
+LSASSTLMQTQSGQNFTGSNSMPTRGM
+>NM_015225|490249_2_6230_6339
+MALPQVRTPEVPLCQIFYMATFKRVGSWPLPRLTCG
+>DECOY_NM_015225|490249_2_6230_6339
+GCTLRPLPWSGVRKFTAMYFIQCLPVEPTRVQPLAM
+>NM_004535|490306_2_1706_1794
+MALATLPGCTLTTAAFLAVPTRIGSPQRS
+>DECOY_NM_004535|490306_2_1706_1794
+SRQPSGIRTPVALFAATTLTCGPLTALAM
+>NM_017999|490397_2_2155_2285
+MGQTSRAWSGGFWQSTHSPAGAGQSWHCHCCRRHPGTMSWGMW
+>DECOY_NM_017999|490397_2_2155_2285
+WMGWSMTGPHRRCCHCHWSQGAGAPSHTSQWFGGSWARSTQGM
+>NM_001130438|490470_2_3894_3979
+MDMISPVSRPCNASMRASRGTLRLSVTR
+>DECOY_NM_001130438|490470_2_3894_3979
+RTVSLRLTGRSARMSANCPRSVPSIMDM
+>NM_001130438|490484_2_6138_6313
+MAETCLLCRRSSPNRKLLTLGCRPSSRKALPTSLPSKISFSPPNTFSPRPSRPGTPPS
+>DECOY_NM_001130438|490484_2_6138_6313
+SPPTGPRSPRPSFTNPPSFSIKSPLSTPLAKRSSPRCGLTLLKRNPSSRRCLLCTEAM
+>NM_015461|490522_2_548_645
+MEKVAILERKKVALGFHTRVNSVTSRLAASAT
+>DECOY_NM_015461|490522_2_548_645
+TASAALRSTVSNVRTHFGLAVKKRELIAVKEM
+>NM_015461|490527_2_2864_3003
+MGAKKTLTPLSLCTAATFVGQPTLWKLCCRITSSETTTSDLEKVPS
+>DECOY_NM_015461|490527_2_2864_3003
+SPVKELDSTTTESSTIRCCLKWLTPQGVFTAATCLSLPTLTKKAGM
+>NM_015461|490529_2_3389_3489
+MGLQFRPQGGASTSKNCISAHLASKNSVPSKIW
+>DECOY_NM_015461|490529_2_3389_3489
+WIKSPVSNKSALHASICNKSTSAGGQPRFQLGM
+>NM_152296|490647_2_347_543
+MGLTHSRHRLPPQSGSSFAGSSSGASPSCCGSGLSSASWPTVSRRAPRTTPLVTTCTWASCWRPW
+>DECOY_NM_152296|490647_2_347_543
+WPRWCSAWTCTTVLPTTRPARRSVTPWSASSLGSGCCSPSAGSSSGAFSSGSQPPLRHRSHTLGM
+>NM_021115|490738_2_2209_2294
+MATRSCPTSWGSTLGTVAPRNCTPPRQT
+>DECOY_NM_021115|490738_2_2209_2294
+TQRPPTCNRPAVTGLTSGWSTPCSRTAM
+>NM_021115|490740_2_2413_2609
+MAGKPLLTRSWCGEPESPTSVTPAMTSWGVTPSPASGTSAGAATPHFVRKLCTAPTPERWITRPA
+>DECOY_NM_021115|490740_2_2413_2609
+APRTIWREPTPATCLKRVFHPTAAGASTGSAPSPTVGWSTMAPTVSTPSEPEGCWSRTLLPKGAM
+>NM_021115|490742_2_2797_2888
+MDTKSCTSDSTCQESPSPSCATKALSSWVK
+>DECOY_NM_021115|490742_2_2797_2888
+KVWSSLAKTACSPSPSEQCTSDSTCSKTDM
+>NM_006731|490838_2_230_315
+MELVCQNPKEAELDLIAHSGVQLKNLLC
+>DECOY_NM_006731|490838_2_230_315
+CLLNKLQVGSHAILDLEAEKPNQCVLEM
+>NM_006731|490842_2_1259_1338
+MEALRPKQEKNSNTCFRSLHCAGLSL
+>DECOY_NM_006731|490842_2_1259_1338
+LSLGACHLSRFCTNSNKEQKPRLAEM
+>NM_144622|490974_3_2113_2186
+MVIAATAPRSARQEPLNGVHFRVQ
+>DECOY_NM_144622|490974_3_2113_2186
+QVRFHVGNLPEQRASRPATAAIVM
+>NM_002290|491105_2_562_899
+MAIPTSVWTAQDTVCTASGTQQESTVKSVWMVISEIPSGEHPNSASRAPVPCPTWPILQNPAIGKMELFGAFVTKIMLDLTVKDVLPVTMETPYSLEAPVRNVTAVEIQIPT
+>DECOY_NM_002290|491105_2_562_899
+TPIQIEVATVNRVPAELSYPTEMTVPLVDKVTLDLMIKTVFAGFLEMKGIAPNQLIPWTPCPVPARSASNPHEGSPIESIVMWVSKVTSEQQTGSATCVTDQATWVSTPIAM
+>NM_002290|491107_2_757_899
+MELFGAFVTKIMLDLTVKDVLPVTMETPYSLEAPVRNVTAVEIQIPT
+>DECOY_NM_002290|491107_2_757_899
+TPIQIEVATVNRVPAELSYPTEMTVPLVDKVTLDLMIKTVFAGFLEM
+>NM_002290|491110_2_1501_1649
+MGKSMNLAPRKSLRSWCWPRRCLKRLEAVNHFSPNGSSWMRRQMRLTNY
+>DECOY_NM_002290|491110_2_1501_1649
+YNTLRMQRRMWSSGNPSFHNVAELRKLCRRPWCWSRLSKRPALNMSKGM
+>NM_002290|491119_2_3961_4172
+MDAQKTHLYLAEHISMDRASLLQFRKYLSLMALKEVLISEHYNQMGYYSIMLQGQTCSPSHWIMVLSSWM
+>DECOY_NM_002290|491119_2_3961_4172
+MWSSLVMIWHSPSCTQGQLMISYYGMQNYHESILVEKLAMLSLYKRFQLLSARDMSIHEALYLHTKQADM
+>NM_002290|491127_2_5419_5540
+MASEIFPPQLHPSRVSVMADGTELQLLEILMWFSWMWTLK
+>DECOY_NM_002290|491127_2_5419_5540
+KLTWMWSFWMLIELLQLETGDAMVSVRSPHLQPPFIESAM
+>NM_016179|491237_3_1635_1858
+MGHVASHSGGRGFICYCKHLQFSASDLTVYCKFSPGTSANISGKNAPGHFEVSIHILPCVASICKWPKSIVLLL
+>DECOY_NM_016179|491237_3_1635_1858
+LLLVISKPWKCISAVCPLIHISVEFHGPANKGSINASTGPSFKCYVTLDSASFQLHKCYCIFGRGGSHSAVHGM
+>NM_000066|491252_2_488_603
+MGTMTVETSQMKQTVEGFIKNVSMKWTNTGELAVWPVG
+>DECOY_NM_000066|491252_2_488_603
+GVPWVALEGTNTWKMSVNKIFGEVTQKMQSTEVTMTGM
+>NM_000066|491262_3_84_163
+MGLEGAGGAISSLCCPGLSQFAWLQR
+>DECOY_NM_000066|491262_3_84_163
+RQLWAFQSLGPCCLSSIAGGAGELGM
+>NM_002021|491390_2_1137_1231
+MARPHCTSISSLHICKSQPWPLLASSNPWAP
+>DECOY_NM_002021|491390_2_1137_1231
+PAWPNSSALLPWPQSKCIHLSSISTCHPRAM
+>NM_002021|491397_3_1537_1631
+MGRSQKCHHDPVGPNIQGHQSSSCTRVSISL
+>DECOY_NM_002021|491397_3_1537_1631
+LSISVRTCSSSQHGQINPGVPDHHCKQSRGM
+>NM_017551|491436_2_2427_2500
+MAAPTGTSSPRGSWSCRTQGTWMC
+>DECOY_NM_017551|491436_2_2427_2500
+CMWTGQTRCSWSGRPSSTGTPAAM
+>NM_017551|491448_3_1174_1283
+MEWWEVHAGYHQKGPHHWPHWGDGVSGGQFESLCPV
+>DECOY_NM_017551|491448_3_1174_1283
+VPCLSEFQGGSVGDGWHPWHHPGKQHYGAHVEWWEM
+>NM_017551|491449_3_1342_1418
+MGLREGLEWQLAREAHGQPPPRIDS
+>DECOY_NM_017551|491449_3_1342_1418
+SDIRPPPQGHAERALQWELGERLGM
+>NM_001737|491464_2_447_529
+MVTMTAETFQMRMIVKVSPVPPAETEW
+>DECOY_NM_001737|491464_2_447_529
+WETEAPPVPSVKVIMRMQFTEATMTVM
+>NM_015069|491572_2_575_651
+MVMTTHNSPGWPRLPPARMLRHPRR
+>DECOY_NM_015069|491572_2_575_651
+RRPHRLMRAPPLRPWGPSNHTTMVM
+>NM_015069|491573_2_659_747
+MVVTSASARRKGARACHTLASSATSPSSA
+>DECOY_NM_015069|491573_2_659_747
+ASSPSTASSALTHCARAGKRRASASTVVM
+>NM_015069|491585_2_3047_3213
+MAHARRLSLSRAVTSATFVHGLSSRRTGYGSTCRRTGALPSTTCVPSVVSASLRC
+>DECOY_NM_015069|491585_2_3047_3213
+CRLSASVVSPVCTTSPLAGTRRCTSGYGTRRSSLGHVFTASTVARSLSLRRAHAM
+>NM_018695|491663_2_3954_4054
+MDRWASLSGLRQIIVKYITPLRHLWQGIPLENN
+>DECOY_NM_018695|491663_2_3954_4054
+NNELPIGQWLHRLPTIYKVIIQRLGSLSAWRDM
+>NM_002942|491799_2_842_1002
+MGSEWRLTRTIPGPTGCFCPADPYSSCASCTGAGVNLMKEATFVLRGTILVKQ
+>DECOY_NM_002942|491799_2_842_1002
+QKVLITGRLVFTAEKMLNVGAGTCSACSSYPDAPCFCGTPGPITRTLRWESGM
+>NM_002942|491804_2_3362_3447
+MEAVQVFSMLVIPAIHGLLILGQPRACQ
+>DECOY_NM_002942|491804_2_3362_3447
+QCARPQGLILLGHIAPIVLMSFVQVAEM
+>NM_002942|491808_2_3776_4158
+MVGKVEKRRKIKTLLNHRKTMDPLGPMSLYLPPQSSPFLARSWNTMQWNNKKMAMTVIAGAHHCQYKLTYTKVWKMNWKKMMIGSQHLLFEAWLLLLLSPLDSSPLQLLLHPHGKRCNPCCRLTWMS
+>DECOY_NM_002942|491808_2_3776_4158
+SMWTLRCCPNCRKGHPHLLLQLPSSDLPSLLLLLWAEFLLHQSGIMMKKWNMKWVKTYTLKYQCHHAGAIVTMAMKKNNWQMTNWSRALFPSSQPPLYLSMPGLPDMTKRHNLLTKIKRRKEVKGVM
+>NM_002942|491809_2_3836_4158
+MDPLGPMSLYLPPQSSPFLARSWNTMQWNNKKMAMTVIAGAHHCQYKLTYTKVWKMNWKKMMIGSQHLLFEAWLLLLLSPLDSSPLQLLLHPHGKRCNPCCRLTWMS
+>DECOY_NM_002942|491809_2_3836_4158
+SMWTLRCCPNCRKGHPHLLLQLPSSDLPSLLLLLWAEFLLHQSGIMMKKWNMKWVKTYTLKYQCHHAGAIVTMAMKKNNWQMTNWSRALFPSSQPPLYLSMPGLPDM
+>NM_002942|491812_2_3932_4158
+MAMTVIAGAHHCQYKLTYTKVWKMNWKKMMIGSQHLLFEAWLLLLLSPLDSSPLQLLLHPHGKRCNPCCRLTWMS
+>DECOY_NM_002942|491812_2_3932_4158
+SMWTLRCCPNCRKGHPHLLLQLPSSDLPSLLLLLWAEFLLHQSGIMMKKWNMKWVKTYTLKYQCHHAGAIVTMAM
+>NM_002031|491835_2_715_869
+MAPVSNYKAIFLLTTWLRTEAYRQSRGSLEQSEDQMQRNNYYIQKTRPVPF
+>DECOY_NM_002031|491835_2_715_869
+FPVPRTKQIYYNNRQMQDESQELSGRSQRYAETRLWTTLLFIAKYNSVPAM
+>NM_002031|491838_3_1190_1263
+MGRSVEQYHSSSSENIKTRFNGSK
+>DECOY_NM_002031|491838_3_1190_1263
+KSGNFRTKINESSSSHYQEVSRGM
+>NM_018959|491851_2_877_1190
+MARKECGCRQDRRLVAMDRPLQEEEPPRHPHRSPPTSCPPLLEAFPLPRASLRATVPRHSSVLATGLHLHRQISLPLRGFLLHQPLPGQHLWLSHRLRLRLPRT
+>DECOY_NM_018959|491851_2_877_1190
+TRPLRLRLRHSLWLHQGPLPQHLLFGRLPLSIQRHLHLGTALVSSHRPVTARLSARPLPFAELLPPCSTPPSRHPHRPPEEEQLPRDMAVLRRDQRCGCEKRAM
+>NM_018959|491852_2_925_1190
+MDRPLQEEEPPRHPHRSPPTSCPPLLEAFPLPRASLRATVPRHSSVLATGLHLHRQISLPLRGFLLHQPLPGQHLWLSHRLRLRLPRT
+>DECOY_NM_018959|491852_2_925_1190
+TRPLRLRLRHSLWLHQGPLPQHLLFGRLPLSIQRHLHLGTALVSSHRPVTARLSARPLPFAELLPPCSTPPSRHPHRPPEEEQLPRDM
+>NM_020134|491882_2_738_991
+MGSLWPRVLRRHWIWGSQAQKESRSAVQRSWKLKPLIVLSPLQTGLTVQSTWSTCPVSRLVTLSQLLRCKGRLCWRRPPLHMPR
+>DECOY_NM_020134|491882_2_738_991
+RPMHLPPRRWCLRGKCRLLQSLTVLRSVPCTSWTSQVTLGTQLPSLVILPKLKWSRQVASRSEKQAQSGWIWHRRLVRPWLSGM
+>NM_001128929|491923_2_374_477
+MDKAKDRVFARRTFPRGLWSILPMSSSLRASPRL
+>DECOY_NM_001128929|491923_2_374_477
+LRPSARLSSSMPLISWLGRPFTRRAFVRDKAKDM
+>NM_001128929|491945_3_321_457
+MGSGTVDDDCGVLGSSGEWTRPRIASSPGGLSPADCGASFRCHRL
+>DECOY_NM_001128929|491945_3_321_457
+LRHCRFSAGCDAPSLGGPSSAIRPRTWEGSSGLVGCDDDVTGSGM
+>NM_003821|491963_2_1329_1462
+MVHKRNHVDPLSSMKIVVLLKLQGPCQLLKTMIFYLEKLKTVIL
+>DECOY_NM_003821|491963_2_1329_1462
+LIVTKLKELYFIMTKLLQCPGQLKLLVVIKMSSLPDVHNRKHVM
+>NM_019590|492062_2_974_1047
+MEMKPSSSGCRMKSQVQTQSVLSS
+>DECOY_NM_019590|492062_2_974_1047
+SSLVSQTQVQSKMRCGSSSPKMEM
+>NM_019590|492064_2_1280_1506
+MALGPLAPDLLLIHPMRFQIPHRLLQCPIPCPPPRPEFLMGAPAPWLFLAMPPSPGTESPACQSPDPSLQAQAPF
+>DECOY_NM_019590|492064_2_1280_1506
+FPAQAQLSPDPSQCAPSETGPSPPMALFLWPAPAGMLFEPRPPPCPIPCQLLRHPIQFRMPHILLLDPALPGLAM
+>NM_130440|492215_2_593_768
+MGQGQCFGSSHCGCSEMKPSMSVQLLTAWVRSTLVPSSQCSKRNSCPLGSLPSTWGLS
+>DECOY_NM_130440|492215_2_593_768
+SLGWTSPLSGLPCSNRKSCQSSPVLTSRVWATLLQVSMSPKMESCGCHSSGFCQGQGM
+>NM_130440|492219_2_1388_1515
+MASSTAQRARRAPFRRWMVWPPPATALAASALSRNMPSACWR
+>DECOY_NM_130440|492219_2_1388_1515
+RWCASPMNRSLASAALATAPPPWVMWRRFPARRARQATSSAM
+>NM_130440|492221_2_1826_2016
+MALPAPPSRSRRSRECLPSPRTSRPRWSRTPGSSSRGCCPLRSGSSCMNWCTGRQRTKTNSTR
+>DECOY_NM_130440|492221_2_1826_2016
+RTSNTKTRQRGTCWNMCSSGSRLPCCGRSSSGPTRSWRPRSTRPSPLCERSRRSRSPPAPLAM
+>NM_130440|492225_2_2552_2640
+MARSAATRSPTCGWRMASPVDSPSSKTSC
+>DECOY_NM_130440|492225_2_2552_2640
+CSTKSSPSDVPSAMRWGCTPSRTAASRAM
+>NM_130440|492230_2_4355_4434
+MASSSPRSMSPSTLDSSSRGRIQTWR
+>DECOY_NM_130440|492230_2_4355_4434
+RWTQIRGRSSSDLTSPSMSRPSSSAM
+>NM_130440|492239_2_5678_5868
+MGSQGQSGSSSSQTGQSRACPRQARDSLTSSGRCIRPRSSLDRMGLSRCTAVLAWAAPGCSSL
+>DECOY_NM_130440|492239_2_5678_5868
+LSSCGPAAWALVATCRSLGMRDLSSRPRICRGSSTLSDRAQRPCARSQGTQSSSSGSQGQSGM
+>NM_130440|492244_3_5493_5611
+MGAQFHHHRHADQASGDGQGEMPPVLASRALCSLPVLCC
+>DECOY_NM_130440|492244_3_5493_5611
+CCLVPLSCLARSALVPPMEGQGDGSAQDAHRHHHFQAGM
+>NM_001147|492268_2_441_610
+MGPAATLSSCQRWTTAALPPAPTCPMLCRGTRRSNTMTRCRGCKCWRTSWKTTLSG
+>DECOY_NM_001147|492268_2_441_610
+GSLTTKWSTRWCKCGRCRTMTNSRRTGRCLMPCTPAPPLAATTWRQCSSLTAAPGM
+>NM_001147|492270_2_1317_1417
+MAALIFRGLGKNIKWDLVTLQENIGWEMSLFRN
+>DECOY_NM_001147|492270_2_1317_1417
+NRFLSMEWGINEQLTVLDWKINKGLGRFILAAM
+>NM_003422|492579_3_635_711
+MGHSPGAGPGGPIREDGALQFPAPT
+>DECOY_NM_003422|492579_3_635_711
+TPAPFQLAGDERIPGGPGAGPSHGM
+>NM_015440|492640_2_428_525
+MARPGAAAAPAAERPRRGTPSSEKSFRIQKKF
+>DECOY_NM_015440|492640_2_428_525
+FKKQIRFSKESSPTGRRPREAAPAAAAGPRAM
+>NM_005116|492686_3_1280_1461
+MDCVQVTAVQNVPYHPGHPGILAALLHLHGDRCLPSRQHKVWLLCSHRCQARRASGSPVV
+>DECOY_NM_005116|492686_3_1280_1461
+VVPSGSARRAQCRHSCLLWVKHQRSPLCRDGHLHLLAALIGPHGPHYPVNQVATVQVCDM
+>NM_005116|492687_3_2123_2304
+MEEGCGQREQITRRHGVVQFAIWHEHYKKIQMLQLLTHQPNLCGLHMERPQEERQQPEFR
+>DECOY_NM_005116|492687_3_2123_2304
+RFEPQQREEQPREMHLGCLNPQHTLLQLMQIKKYHEHWIAFQVVGHRRTIQERQGCGEEM
+>NM_031281|492759_2_639_739
+MVHIAVLDIRKVVALFLPIQSKSKSKSHLHVQC
+>DECOY_NM_031281|492759_2_639_739
+CQVHLHSKSKSKSQIPLFLAVVKRIDLVAIHVM
+>NM_005443|492798_2_319_458
+MVFHATLWMVTIFVKVSIKILALVLKTEKRMFDASQKLLNCLQMLA
+>DECOY_NM_005443|492798_2_319_458
+ALMQLCNLLKQSADFMRKETKLVLALIKISVKVFITVMWLTAHFVM
+>NM_002644|492818_2_1354_1505
+MAAAPCWWTARGGLRPSTRAASPCWRSQATAPSLSSSTSSPAGTPASTGV
+>DECOY_NM_002644|492818_2_1354_1505
+VGTSAPTGAPSSTSSSLSPATAQSRWCPSAARTSPRLGGRATWWCPAAAM
+>NM_000368|492869_2_1051_1184
+MAILCLTKSQPAFLIVQPMSPPALMLTHRIAMGVLLLPLTPRLG
+>DECOY_NM_000368|492869_2_1051_1184
+GLRPTLPLLLVGMAIRHTLMLAPPSMPQVILFAPQSKTLCLIAM
+>NM_000368|492886_3_722_882
+MVPEETRPRGGSLSRPSPCQCVRTLSSPLWNVPLQLRLLFAFSLQYERKPGDF
+>DECOY_NM_000368|492886_3_722_882
+FDGPKREYQLSFAFLLRLQLPVNWLPSSLTRVCQCPSPRSLSGGRPRTEEPVM
+>NM_053054|492933_2_502_1139
+MAGGIMMGPNTVGSISRVTPITIGGLTMADPNISVRIYPTIPLACPTTVRLPTMVGPTSPMDPIPTVSPSTTARLPTLAGSNTMSPSITKSPTVAGPTITKSTTMAGPVIMKPTSMESLLITERPFPLIPLWGPTSVGYLTITASTTKVITTPVSTTMATIPTTHSTTTTRPTGTETTISTKTTTARIIPVTSMATTSRALPNSLSHTHPGA
+>DECOY_NM_053054|492933_2_502_1139
+AGPHTHSLSNPLARSTTAMSTVPIIRATTTKTSITTETGTPRTTTTSHTTPITAMTTSVPTTIVKTTSATITLYGVSTPGWLPILPFPRETILLSEMSTPKMIVPGAMTTSKTITPGAVTPSKTISPSMTNSGALTPLRATTSPSVTPIPDMPSTPGVMTPLRVTTPCALPITPYIRVSINPDAMTLGGITIPTVRSISGVTNPGMMIGGAM
+>NM_053054|492935_2_520_1139
+MGPNTVGSISRVTPITIGGLTMADPNISVRIYPTIPLACPTTVRLPTMVGPTSPMDPIPTVSPSTTARLPTLAGSNTMSPSITKSPTVAGPTITKSTTMAGPVIMKPTSMESLLITERPFPLIPLWGPTSVGYLTITASTTKVITTPVSTTMATIPTTHSTTTTRPTGTETTISTKTTTARIIPVTSMATTSRALPNSLSHTHPGA
+>DECOY_NM_053054|492935_2_520_1139
+AGPHTHSLSNPLARSTTAMSTVPIIRATTTKTSITTETGTPRTTTTSHTTPITAMTTSVPTTIVKTTSATITLYGVSTPGWLPILPFPRETILLSEMSTPKMIVPGAMTTSKTITPGAVTPSKTISPSMTNSGALTPLRATTSPSVTPIPDMPSTPGVMTPLRVTTPCALPITPYIRVSINPDAMTLGGITIPTVRSISGVTNPGM
+>NM_053054|492936_2_583_1139
+MADPNISVRIYPTIPLACPTTVRLPTMVGPTSPMDPIPTVSPSTTARLPTLAGSNTMSPSITKSPTVAGPTITKSTTMAGPVIMKPTSMESLLITERPFPLIPLWGPTSVGYLTITASTTKVITTPVSTTMATIPTTHSTTTTRPTGTETTISTKTTTARIIPVTSMATTSRALPNSLSHTHPGA
+>DECOY_NM_053054|492936_2_583_1139
+AGPHTHSLSNPLARSTTAMSTVPIIRATTTKTSITTETGTPRTTTTSHTTPITAMTTSVPTTIVKTTSATITLYGVSTPGWLPILPFPRETILLSEMSTPKMIVPGAMTTSKTITPGAVTPSKTISPSMTNSGALTPLRATTSPSVTPIPDMPSTPGVMTPLRVTTPCALPITPYIRVSINPDAM
+>NM_053054|492937_2_661_1139
+MVGPTSPMDPIPTVSPSTTARLPTLAGSNTMSPSITKSPTVAGPTITKSTTMAGPVIMKPTSMESLLITERPFPLIPLWGPTSVGYLTITASTTKVITTPVSTTMATIPTTHSTTTTRPTGTETTISTKTTTARIIPVTSMATTSRALPNSLSHTHPGA
+>DECOY_NM_053054|492937_2_661_1139
+AGPHTHSLSNPLARSTTAMSTVPIIRATTTKTSITTETGTPRTTTTSHTTPITAMTTSVPTTIVKTTSATITLYGVSTPGWLPILPFPRETILLSEMSTPKMIVPGAMTTSKTITPGAVTPSKTISPSMTNSGALTPLRATTSPSVTPIPDMPSTPGVM
+>NM_053054|492940_2_814_1139
+MAGPVIMKPTSMESLLITERPFPLIPLWGPTSVGYLTITASTTKVITTPVSTTMATIPTTHSTTTTRPTGTETTISTKTTTARIIPVTSMATTSRALPNSLSHTHPGA
+>DECOY_NM_053054|492940_2_814_1139
+AGPHTHSLSNPLARSTTAMSTVPIIRATTTKTSITTETGTPRTTTTSHTTPITAMTTSVPTTIVKTTSATITLYGVSTPGWLPILPFPRETILLSEMSTPKMIVPGAM
+>NM_053054|492942_2_847_1139
+MESLLITERPFPLIPLWGPTSVGYLTITASTTKVITTPVSTTMATIPTTHSTTTTRPTGTETTISTKTTTARIIPVTSMATTSRALPNSLSHTHPGA
+>DECOY_NM_053054|492942_2_847_1139
+AGPHTHSLSNPLARSTTAMSTVPIIRATTTKTSITTETGTPRTTTTSHTTPITAMTTSVPTTIVKTTSATITLYGVSTPGWLPILPFPRETILLSEM
+>NM_053054|492943_2_973_1139
+MATIPTTHSTTTTRPTGTETTISTKTTTARIIPVTSMATTSRALPNSLSHTHPGA
+>DECOY_NM_053054|492943_2_973_1139
+AGPHTHSLSNPLARSTTAMSTVPIIRATTTKTSITTETGTPRTTTTSHTTPITAM
+>NM_012298|492995_2_2942_3030
+MGWTCGRRPLNACIHCLRAAWASWISVSS
+>DECOY_NM_012298|492995_2_2942_3030
+SSVSIWSAWAARLCHICANLPRRGCTWGM
+>NM_012298|492999_3_1005_1105
+MAGGHGGTHPDRQQPPYATWTGAPCGQGPAAAA
+>DECOY_NM_012298|492999_3_1005_1105
+AAAAPGQGCPAGTWTAYPPQQRDPHTGGHGGAM
+>NM_000069|493087_2_1035_1225
+MASPTSTTSASPCSPCTSALPWRDGLTSFTGSMMPSGMSGPGSILSPSFCWDPSSSSTWCWVS
+>DECOY_NM_000069|493087_2_1035_1225
+SVWCWTSSSSPDWCFSPSLISGPGSMGSPMMSGTFSTLGDRWPLASTCPSCPSASTTSTPSAM
+>NM_000069|493093_2_2091_2236
+MGSWPTAGRPTLACLCAFTSSSFSSVATTSCSMSSWPLPWTTWPRRRA
+>DECOY_NM_000069|493093_2_2091_2236
+ARRRPWTTWPLPWSSMSCSTTAVSSFSSSTFACLCALTPRGATPWSGM
+>NM_000069|493097_2_4227_4495
+MGSCVTQSRTMPQGRSTHVAPTLHTTTSSASTCSVPSWSSTSLWLSSWTILTTSPGTGPSWALITWMSSRPSGQSMTQRLRGESNTWTW
+>DECOY_NM_000069|493097_2_4227_4495
+WTWTNSEGRLRQTMSQGSPRSSMWTILAWSPGTGPSTTLITWSSLWLSTSSWSPVSCTSASSTTTHLTPAVHTSRGQPMTRSQTVCSGM
+>NM_000069|493100_2_4857_5125
+MAIGPRRTLYRSRQGCGPLRKRQPPRSVARSQETWLLRRSWREPWWRLRWRREYSGGLEACLARWTTSWKGPTPCPPSWPIRDPSSLLR
+>DECOY_NM_000069|493100_2_4857_5125
+RLLSSPDRIPWSPPCPTPGKWSTTWRALCAELGGSYERRWRLRWWPERWSRRLLWTEQSRAVSRPPQRKRLPGCGQRSRYLTRRPGIAM
+>NM_000069|493110_3_373_458
+MEALRDDHLAHHLCQLCGPGRVPAHAGR
+>DECOY_NM_000069|493110_3_373_458
+RGAHAPVRGPGCLQCLHHALHDDRLAEM
+>NM_000069|493111_3_3271_3449
+MASAAVQGHRLQCGGRGSHLQQPCGDGHLLHHLHHPHCLLHDEHLCGLRHCHLPGAGRD
+>DECOY_NM_000069|493111_3_3271_3449
+DRGAGPLHCHRLGCLHEDHLLCHPHHLHHLLHGDGCPQQLHSGRGGCQLRHGQVAASAM
+>NM_004953|493183_2_2763_2890
+MVAGTQFPSAKVAAPLTPHDSPRSPSLAPSILTTSSLHLEGD
+>DECOY_NM_004953|493183_2_2763_2890
+DGELHLSSTTLISPALSPSRPSDHPTLPAAVKASPFQTGAVM
+>NM_004953|493184_2_3459_3637
+MVSSLRWSAVPLLVSIWGSCCTSCSVLGICLLLSTTKGCMKSWNWLRTWKLTSPTCGST
+>DECOY_NM_004953|493184_2_3459_3637
+TSGCTPSTLKWTRLWNWSKMCGKTTSLLLCIGLVSCSTCCSGWISVLLPVASWRLSSVM
+>NM_003221|493209_2_257_450
+MVSRATARGSPSWARCPKDPTRAPRRCPTPRRRTSSRPTSHPPTSRSPTTRARTPTPTSTTPTP
+>DECOY_NM_003221|493209_2_257_450
+PTPTTSTPTPTRARTTPSRSTPPHSTPRSSTRRRPTPCRRPARTPDKPCRAWSPSGRATARSVM
+>NM_012464|493245_2_1308_1381
+MDLRQSLSARTVINLGLLFMNWVM
+>DECOY_NM_012464|493245_2_1308_1381
+MVWNMFLLGLNIVTRASLSQRLDM
+>NM_012464|493255_2_2859_3088
+MVDVSTNVSTRWGATCVNAVMDLCYMTINMIARKLSVNRRSTVQVASSPVPTGQTSTQAGKNALGKSAPLLATESN
+>DECOY_NM_012464|493255_2_2859_3088
+NSETALLPASKGLANKGAQTSTQGTPVPSSAVQVTSRRNVSLKRAIMNITMYCLDMVANVCTAGWRTSVNTSVDVM
+>NM_012464|493256_2_2919_3088
+MDLCYMTINMIARKLSVNRRSTVQVASSPVPTGQTSTQAGKNALGKSAPLLATESN
+>DECOY_NM_012464|493256_2_2919_3088
+NSETALLPASKGLANKGAQTSTQGTPVPSSAVQVTSRRNVSLKRAIMNITMYCLDM
+>NM_012464|493267_3_1114_1238
+MAWRRYSLCYRRKLHWQPESHVQAGHEALGKAHMCDFHRKK
+>DECOY_NM_012464|493267_3_1114_1238
+KKRHFDCMHAKGLAEHGAQVHSEPQWHLKRRYCLSYRRWAM
+>NM_001115|493395_2_2999_3075
+MDSSWSTQPAWTSFGEYRPKRRSMR
+>DECOY_NM_001115|493395_2_2999_3075
+RMSRRKPRYEGFSTWAPQTSWSSDM
+>NM_004116|493409_2_233_336
+MGRSLIHPETETNLSSSELANRKSSKVLKRVQPR
+>DECOY_NM_004116|493409_2_233_336
+RPQVRKLVKSSKRNALESSSLNTETEPHILSRGM
+>NM_001783|493956_2_483_757
+MGAYTCAGSRRATSHTSSPAAPTSACASRPPGPSWTWGRAPRTESSQPRGSSSCSARWCLGRCCCSGNDGRTRSSGWMPGMNMKMKTFMKA
+>DECOY_NM_001783|493956_2_483_757
+AKMFTKMKMNMGPMWGSSRTRGDNGSCCCRGLCWRASCSSSGRPQSSETRPARGWTWSPGPPRSACASTPAAPSSTHSTARRSGACTYAGM
+>NM_014365|493987_2_869_978
+MDTWRCLANMKRNSKKVALFLRTSQRKSSFLQRWIL
+>DECOY_NM_014365|493987_2_869_978
+LIWRQLFSSKRQSTRLFLAVKKSNRKMNALCRWTDM
+>NM_172350|494000_2_859_1112
+MENRYQDLEKNFTTKQQLCLNAIRVFTSMAATQLSVTVTVLGIPQFQSVLKVLGLLTSLQSQIIQDILNLRKEYLTVWMFGSLL
+>DECOY_NM_172350|494000_2_859_1112
+LLSGFMWVTLYEKRLNLIDQIIQSQLSTLLGLVKLVSQFQPIGLVTVTVSLQTAAMSTFVRIANLCLQQKTTFNKELDQYRNEM
+>NM_170711|494117_2_1234_1307
+MAWVPILQPRRAAAHTLFTVLWSG
+>DECOY_NM_170711|494117_2_1234_1307
+GSWLVTFLTHAAARRPQLIPVWAM
+>NM_000603|494179_2_564_664
+MGPAPQDAAWAPWYFHGNYRAGPPPAPRPLSSC
+>DECOY_NM_000603|494179_2_564_664
+CSSLPRPAPPPGARYNGHFYWPAWAADQPAPGM
+>NM_000603|494182_2_1065_1366
+MALCGGTQPTWRSPSSAFSTAGPQETVASTCCPCCCRPQMIPQNSSFCPPSWSLRCPWSTPRWSGLQPWACAGTPSRQCPTCCWKLGAWSSPQPPSVAGT
+>DECOY_NM_000603|494182_2_1065_1366
+TGAVSPPQPSSWAGLKWCCTPCQRSPTGACAWPQLGSWRPTSWPCRLSWSPPCFSSNQPIMQPRCCCPCCTSAVTEQPGATSFASSPSRWTPQTGGCLAM
+>NM_172355|494197_2_859_1115
+MENRYQDLEKNFTTKQQLCLNAIRVFTSMAATQLSVTVTVLGIPQFQSVLKCRLLPLQNLQRPVPQDILNLRKEYLTVWMFGSLL
+>DECOY_NM_172355|494197_2_859_1115
+LLSGFMWVTLYEKRLNLIDQPVPRQLNQLPLLRCKLVSQFQPIGLVTVTVSLQTAAMSTFVRIANLCLQQKTTFNKELDQYRNEM
+>NM_004765|494248_3_223_410
+MGEAMGDCGRHFPSYLQVGASGGSPGGGAKAGRWRGREIPWPGTSGQGRQSPRGWPSHPAGS
+>DECOY_NM_004765|494248_3_223_410
+SGAPHSPWGRPSQRGQGSTGPWPIERGRWRGAKAGGGPSGGSAGVQLYSPFHRGCDGMAEGM
+>NM_004765|494249_3_235_410
+MGDCGRHFPSYLQVGASGGSPGGGAKAGRWRGREIPWPGTSGQGRQSPRGWPSHPAGS
+>DECOY_NM_004765|494249_3_235_410
+SGAPHSPWGRPSQRGQGSTGPWPIERGRWRGAKAGGGPSGGSAGVQLYSPFHRGCDGM
+>NM_003849|494324_2_299_504
+MEFGIVPTQLLGNISMLIKIQRLFARVSLANRAPFTASRHWNMAPNSLEEPLQGKEARHIWAYLSLIL
+>DECOY_NM_003849|494324_2_299_504
+LILSLYAWIHRAEKGQLPEELSNPAMNWHRSATFPARNALSVRAFLRQIKILMSINGLLQTPVIGFEM
+>NM_003849|494326_2_425_504
+MAPNSLEEPLQGKEARHIWAYLSLIL
+>DECOY_NM_003849|494326_2_425_504
+LILSLYAWIHRAEKGQLPEELSNPAM
+>NM_014481|494340_2_395_525
+MGMLVAMETWMSLPKRNSGLWIVRAGPSSHSIRSAHGKVRRRP
+>DECOY_NM_014481|494340_2_395_525
+PRRRVKGHASRISHSSPGARVIWLGSNRKPLSMWTEMAVLMGM
+>NM_014481|494342_2_413_525
+METWMSLPKRNSGLWIVRAGPSSHSIRSAHGKVRRRP
+>DECOY_NM_014481|494342_2_413_525
+PRRRVKGHASRISHSSPGARVIWLGSNRKPLSMWTEM
+>NM_015284|494409_2_2749_2846
+MASGPHCHPQKRRGTQDYPIWPRPTDWLLRPP
+>DECOY_NM_015284|494409_2_2749_2846
+PPRLLWDTPRPWIPYDQTGRRKQPHCHPGSAM
+>NM_015284|494415_2_3373_3575
+MVAAVAQTVRVPMTPLVRRPPSHCGLHLGQHLHSLHSQASLGPACLTSGSLSGSCRTVWKCMHMHGA
+>DECOY_NM_015284|494415_2_3373_3575
+AGHMHMCKWVTRCSGSLSGSTLCAPGLSAQSHLSHLHQGLHLGCHSPPRRVLPTMPVRVTQAVAAVM
+>NM_015284|494427_2_4813_5063
+MGPHGFDWMCGKRGTLVLCSWRRNSEEQLARPWPMPSSSFSCCQLHYVQRTHPQEVSGTDRWKLRALQAELAPFPLPLSLGSL
+>DECOY_NM_015284|494427_2_4813_5063
+LSGLSLPLPFPALEAQLARLKWRDTGSVEQPHTRQVYHLQCCSFSSSPMPWPRALQEESNRRWSCLVLTGRKGCMWDFGHPGM
+>NM_015284|494432_2_5881_5984
+MASWTSPCEMKRSQTHSCCRPWKWRPSSGVQVPR
+>DECOY_NM_015284|494432_2_5881_5984
+RPVQVGSSPRWKWPRCCSHTQSRKMECPSTWSAM
+>NM_002645|494498_2_2212_2297
+MERIFLNLFNQRRLALTRISSILLNGMN
+>DECOY_NM_002645|494498_2_2212_2297
+NMGNLLISSIRTLALRRQNFLNLFIREM
+>NM_002645|494503_2_4327_4400
+MVESRKSLFLHIIRNTTQINIIFM
+>DECOY_NM_002645|494503_2_4327_4400
+MFIINIQTTNRIIHLFLSKRSEVM
+>NM_002645|494504_2_4828_4976
+MELTQIHMSKHTYFQITTKHPNVKPKFHEKRGIRHSMKCLYTVDIAKKP
+>DECOY_NM_002645|494504_2_4828_4976
+PKKAIDVTYLCKMSHRIGRKEHFKPKVNPHKTTIQFYTHKSMHIQTLEM
+>NM_004716|494539_2_871_1136
+MATTMARDVQERSRLCPTTASVPWAWPTGAASQVSGYWMDLSQTAWRQWRSTSTIRSMTSTAAAGDQMTMGRQWMAPISLERLPYNMG
+>DECOY_NM_004716|494539_2_871_1136
+GMNYPLRELSIPAMWQRGMTMQDGAAATSTMSRITSTSRWQRWATQSLDMWYGSVQSAAGTPWAWPVSATTPCLRSREQVDRAMTTAM
+>NM_004716|494540_2_883_1136
+MARDVQERSRLCPTTASVPWAWPTGAASQVSGYWMDLSQTAWRQWRSTSTIRSMTSTAAAGDQMTMGRQWMAPISLERLPYNMG
+>DECOY_NM_004716|494540_2_883_1136
+GMNYPLRELSIPAMWQRGMTMQDGAAATSTMSRITSTSRWQRWATQSLDMWYGSVQSAAGTPWAWPVSATTPCLRSREQVDRAM
+>NM_004716|494550_3_2021_2121
+MAADPIWLCVECSRHQGQTKAVRECHEWKIPAR
+>DECOY_NM_004716|494550_3_2021_2121
+RAPIKWEHCERVAKTQGQHRSCEVCLWIPDAAM
+>NM_003186|494561_2_431_510
+MGSSRLTCSRLLTSLKAKTWQQCRGP
+>DECOY_NM_003186|494561_2_431_510
+PGRCQQWTKAKLSTLLRSCTLRSSGM
+>NM_003848|494580_2_515_624
+MAPCWWAAPRGASTLKRWLLQTRSSFLRSKLTFLKE
+>DECOY_NM_003848|494580_2_515_624
+EKLFTLKSRLFSSRTQLLWRKLTSAGRPAAWWCPAM
+>NM_033380|494696_2_3716_4113
+MVFLDQLDRRVNQVNQALETQDPLDFQDFLAKRVMEDYLGFQEILAFQVQRANQAFTVSLVCRVPQALLVLRVQLWKDLKATLGPKVLLGDQVLQVFKVYQVQKVLQVSLEMEVLKERREIQANLGYLACLV
+>DECOY_NM_033380|494696_2_3716_4113
+VLCALYGLNAQIERREKLVEMELSVQLVKQVQYVKFVQLVQDGLLVKPGLTAKLDKWLQVRLVLLAQPVRCVLSVTFAQNARQVQFALIEQFGLYDEMVRKALFDQFDLPDQTELAQNVQNVRRDLQDLFVM
+>NM_033380|494697_2_3818_4113
+MEDYLGFQEILAFQVQRANQAFTVSLVCRVPQALLVLRVQLWKDLKATLGPKVLLGDQVLQVFKVYQVQKVLQVSLEMEVLKERREIQANLGYLACLV
+>DECOY_NM_033380|494697_2_3818_4113
+VLCALYGLNAQIERREKLVEMELSVQLVKQVQYVKFVQLVQDGLLVKPGLTAKLDKWLQVRLVLLAQPVRCVLSVTFAQNARQVQFALIEQFGLYDEM
+>NM_020980|494777_3_650_732
+MVQIAILCGSPVLGSLCGGCNRLWHLL
+>DECOY_NM_020980|494777_3_650_732
+LLHWLRNCGGCLSGLVPSGCLIAIQVM
+>NM_002646|494817_2_1356_1612
+MATERMRRLARTAGFLQPRWAPGPTLLPMAMSCLRSQKREMRRLLHFATCWISFDLALTSKTTSSLAMSGVLSPLAQSTSGMRST
+>DECOY_NM_002646|494817_2_1356_1612
+TSRMGSTSQALPSLVGSMALSSTTKSTLALDFSIWCTAFHLLRRMERKQSRLCSMAMPLLTPGPAWRPQLFGATRALRRMRETAM
+>NM_002646|494825_2_2457_2566
+MAARSCAAPCRPEELTSPSTSSTSSSGTSRSASQCR
+>DECOY_NM_002646|494825_2_2457_2566
+RCQSASRSTGSSSTSSTSPSTLEEPRCPAACSRAAM
+>NM_018230|494904_2_2856_2929
+MDSWQIFCKLMNISAGYMKLIAKN
+>DECOY_NM_018230|494904_2_2856_2929
+NKAILKMYGASINMLKCFIQWSDM
+>NM_020865|494946_2_115_251
+MGVPAAPVGAMEGGQQGVMEVTEAPEEAAAAEGVVEAAGAGIPGT
+>DECOY_NM_020865|494946_2_115_251
+TGPIGAGAAEVVGEAAAAEEPAETVEMVGQQGGEMAGVPAAPVGM
+>NM_020865|494947_2_145_251
+MEGGQQGVMEVTEAPEEAAAAEGVVEAAGAGIPGT
+>DECOY_NM_020865|494947_2_145_251
+TGPIGAGAAEVVGEAAAAEEPAETVEMVGQQGGEM
+>NM_020865|494948_2_169_251
+MEVTEAPEEAAAAEGVVEAAGAGIPGT
+>DECOY_NM_020865|494948_2_169_251
+TGPIGAGAAEVVGEAAAAEEPAETVEM
+>NM_020865|494950_2_445_521
+MDTVLKFLLRTHHAQRTNLTSRKRS
+>DECOY_NM_020865|494950_2_445_521
+SRKRSTLNTRQAHHTRLLFKLVTDM
+>NM_020840|495016_2_1021_1121
+MASSQEGQLMRHSAWLKKPVALIQLWLGGRKLP
+>DECOY_NM_020840|495016_2_1021_1121
+PLKRGGLWLQILAVPKKLWASHRMLQGEQSSAM
+>NM_024915|495091_2_862_1130
+MGKSNEWLSLNRLSMTCPRWPPTAPISKTTSAALRTAHTARASRTQPQRNFGVLQLGLRSTCMIRHQVAHFSTPWKPPNLSVRSRGRAP
+>DECOY_NM_024915|495091_2_862_1130
+PARGRSRVSLNPPKWPTSFHAVQHRIMCTSRLGLQLVGFNRQPQTRSARATHATRLAASTTKSIPATPPWRPCTMSLRNLSLWENSKGM
+>NM_001059|495151_3_850_995
+MARRSQTTFHLPYYRHYTGVLFPIAHHGYYIHHCWNYSLGRRNPRRYL
+>DECOY_NM_001059|495151_3_850_995
+LYRRPNRRGLSYNWCHHIYYGHHAIPFLVGTYHRYYPLHFTTQSRRAM
+>NM_001080512|495181_2_907_1067
+MGATSNISCREQVLRSTFLIPVIHKRNLPSTSRAPLSLSVLQGNISWVVFLLC
+>DECOY_NM_001080512|495181_2_907_1067
+CLLFVVWSINGQLVSLSLPARSTSPLNRKHIVPILFTSRLVQERCSINSTAGM
+>NM_001080512|495184_2_2458_2582
+MELDLEVIVNLQLLLAALSVNKTNQRNTISAVAITWTAFPR
+>DECOY_NM_001080512|495184_2_2458_2582
+RPFATWTIAVASITNRQNTKNVSLAALLLQLNVIVELDLEM
+>NM_016945|495197_3_170_282
+MAASQKADACGHDSHQPGHLSLLSTVGINAEQFLLLF
+>DECOY_NM_016945|495197_3_170_282
+FLLLFQEANIGVTSLLSLHGPQHSDHGCADAKQSAAM
+>NM_003089|495265_2_1424_1731
+MGLQGSSGLTALTVQRKRAGIVTGSDGGATGASASGAGTGIVTVTVTASTNGGSGAVSGAGMRPEVGAVARTTGWRVWATTAETCTWSLRAATATWLRRMGI
+>DECOY_NM_003089|495265_2_1424_1731
+IGMRRLWTATAARLSWTCTEATTAWVRWGTTRAVAGVEPRMGAGSVAGSGGNTSATVTVTVIGTGAGSASAGTAGGDSGTVIGARKRQVTLATLGSSGQLGM
+>NM_013245|495289_2_915_1165
+MGLWFLEPQTSHGCWIRPSGGGLKNEFISPCRRKLPAPRCSGCISGALPTTSRMQTSTSWPGRRKATRARTSASSCGTLSCSP
+>DECOY_NM_013245|495289_2_915_1165
+PSCSLTGCSSASTRARTAKRRGPWSTSTQMRSTTPLAGSICGSCRPAPLKRRCPSIFENKLGGGSPRIWCGHSTQPELFWLGM
+>NM_001092|495322_2_1202_1305
+MERVTCSYCPRTTRGQSGEKQFRNYRRRISRPLS
+>DECOY_NM_001092|495322_2_1202_1305
+SLPRSIRRRYNRFQKEGSQGRTTRPCYSCTVREM
+>NM_014169|495428_2_700_878
+MGPRIRELPYRLCGGRKDSNSSWHKLTGHYPPWSFSVRPLRMPLPMQKSFVPWSLLPKA
+>DECOY_NM_014169|495428_2_700_878
+AKPLLSWPVFSKQMPLPMRLPRVSFSWPPYHGTLKHWSSNSDKRGGCLRYPLERIRPGM
+>NM_004869|495584_2_1068_1201
+MEFWFWELQIYPGFWILPLGEDLRNEFIFPCRNPMPEQQCLNCT
+>DECOY_NM_004869|495584_2_1068_1201
+TCNLCQQEPMPNRCPFIFENRLDEGLPLIWFGPYIQLEWFWFEM
+>NM_020366|495811_3_323_531
+MAAAPLHAPAPPDAPTARAFPLRRPCQPPPRPASRPSGTQTAPHSRCTGAGETQEGAKGQAELHSPSIV
+>DECOY_NM_020366|495811_3_323_531
+VISPSHLEAQGKAGEQTEGAGTCRSHPATQTGSPRSAPRPPPQCPRRLPFARATPADPPAPAHLPAAAM
+>NM_053053|495822_2_668_744
+MGWTMSPRRLFQLLSMLWRITLKIY
+>DECOY_NM_053053|495822_2_668_744
+YIKLTIRWLMSLLQFLRRPSMTWGM
+>NM_080413|495874_2_355_677
+MVLYWFMGFMVTSGDTSAWAMKCSRTGFWMPGSFTLSLVPEWPSSQGPTASPSVPMWVTSNSAGCQRCQVCKVHPPAGLCCARTEWHTFFWLWGLTFTSWTMQPAPQ
+>DECOY_NM_080413|495874_2_355_677
+QPAPQMTWSTFTLGWLWFFTHWETRACCLGAPPHVKCVQCRQCGASNSTVWMPVSPSATPGQSSPWEPVLSLTFSGPMWFGTRSCKMAWASTDGSTVMFGMFWYLVM
+>NM_014858|495952_2_493_647
+MELGWKMPLPTCRARTSGLGRPRVLTLLAGQLQTPALPRRPTQVPEASLLI
+>DECOY_NM_014858|495952_2_493_647
+ILLSAEPVQTPRRPLAPTQLQGALLTLVRPRGLGSTRARCTPLPMKWGLEM
+>NM_014858|495956_2_1234_1334
+MVTPTAPSAWTCPMGHRTPSGPRPPLTTCTRRS
+>DECOY_NM_014858|495956_2_1234_1334
+SRRTCTTLPPRPGSPTRHGMPCTWASPATPTVM
+>NM_014858|495957_2_1861_2063
+MAAMMSAPAPAPAQPGQAATLGLGLVGRWGALSPMHCMVLLETWMLCWKSYGRSRRDSLTWRTPWKT
+>DECOY_NM_014858|495957_2_1861_2063
+TKWPTRWTLSDRRSRGYSKWCLMWTELLVMCHMPSLAGWRGVLGLGLTAAQGPQAPAPAPASMMAAM
+>NM_005585|495970_2_1069_1151
+MGAWAAELSRPRGQERAEAAAAPKSAR
+>DECOY_NM_005585|495970_2_1069_1151
+RASKPAAAAEAREQGRPRSLEAAWAGM
+>NM_020233|495981_2_172_344
+MALISKEPGGDTTDIVFFTYRVPLKTGIMKAACPVVSFSLEISSMDIMHSIMHPKSP
+>DECOY_NM_020233|495981_2_172_344
+PSKPHMISHMIDMSSIELSFSVVPCAAKMIGTKLPVRYTFFVIDTTDGGPEKSILAM
+>NM_006204|496087_2_1366_1439
+MENLSMSMMNTLPRLSHNFLDGLF
+>DECOY_NM_006204|496087_2_1366_1439
+FLGDLFNHSLRPLTNMMSMSLNEM
+>NM_000923|496105_2_495_688
+MGSRVGGGPWTLSPALAWAGLCRLQSRTASGASPSCTAQIATMNSRPRPCLGTPLWPATYMERT
+>DECOY_NM_000923|496105_2_495_688
+TREMYTAPWLPTGLCPRPRSNMTAIQATCSPSAGSATRSQLRCLGAWALAPSLTWPGGGVRSGM
+>NM_001145158|496217_2_637_785
+MVIVSTHLKKDRPLKMPLLLPMFLRLLLNLLIHPTRFKHQKSRVQPQHR
+>DECOY_NM_001145158|496217_2_637_785
+RHQPQVRSKQHKFRTPHILLNLLLRLFMPLLLPMKLPRDKKLHTSVIVM
+>NM_153007|496245_2_306_622
+MGDCCPPPSPSVVTGPWASARTLRCPFNGESHTASAGWPRCWPLSSAWLPLSYYWSWPSPRNGWTSLGASSTSAGPWMSATESTHQPTLCPWGSCTFTNPGAVLT
+>DECOY_NM_153007|496245_2_306_622
+TLVAGPNTFTCSGWPCLTPQHTSETASMWPGASTSSAGLSTWGNRPSPWSWYYSLPLWASSLPWCRPWGASATHSEGNFPCRLTRASAWPGTVVSPSPPPCCDGM
+>NM_153007|496247_2_627_718
+MGKSPSSSPPSCYSPLTSGSSSWKGMYPSP
+>DECOY_NM_153007|496247_2_627_718
+PSPYMGKWSSSGSTLPSYCSPPSSSPSKGM
+>NM_002768|496261_2_602_714
+MAWRCWTSSASCPRAPLPWARALCAARRTSCHGGWPP
+>DECOY_NM_002768|496261_2_602_714
+PPWGGHCSTRRAACLARAWPLPARPCSASSTWCRWAM
+>NM_053280|496277_3_308_606
+MDGYLETPSPPGTHHGPLQQPWTQVPDSTNNRLHEAHAHQAACTGLQLPWGPHAPGRELLPRAPLQCKPQDTEDWQGPWPCLLHPGALPNQDHADSWSR
+>DECOY_NM_053280|496277_3_308_606
+RSWSDAHDQNPLAGPHLLCPWPGQWDETDQPKCQLPARPLLERGPAHPGWPLQLGTCAAQHAHAEHLRNNTSDPVQTWPQQLPGHHTGPPSPTELYGDM
+>NM_001111307|496342_2_442_641
+MGRHHLLAAAPWTRRRAQDSCCTPGRPPASAGSPSCTAQTATMTCHPRPCPGTHRSPARRTLKTSS
+>DECOY_NM_001111307|496342_2_442_641
+SSTKLTRRAPSRHTGPCPRPHCTMTATQATCSPSGASAPPRGPTCCSDQARRRTWPAAALLHHRGM
+>NM_000922|496470_2_2646_2779
+MVVEQEMKQILMVELTMGELLIFLRRAALILMRVMAACLQTFLH
+>DECOY_NM_000922|496470_2_2646_2779
+HLFTQLCAAMVRMLILAARRLFILLEGMTLEVMLIQKMEQEVVM
+>NM_000922|496473_2_2694_2779
+MGELLIFLRRAALILMRVMAACLQTFLH
+>DECOY_NM_000922|496473_2_2694_2779
+HLFTQLCAAMVRMLILAARRLFILLEGM
+>NM_005020|496526_3_1735_1844
+MEGQGTQRGEGQEGSRGKGSPGRRGAAKGNGSQKPG
+>DECOY_NM_005020|496526_3_1735_1844
+GPKQSGNGKAAGRRGPSGKGRSGEQGEGRQTGQGEM
+>NM_152387|496601_2_698_864
+MDVYLNTFWITFMEKFRFPQMSKPASPYRKRLITLASLIHTACLTIWPMKWRHIL
+>DECOY_NM_152387|496601_2_698_864
+LIHRWKMPWITLCATHILSALTILRKRYPSAPKSMQPFRFKEMFTIWFTNLYVDM
+>NM_025176|496674_2_399_481
+MAVGAGLSYVTLPQKPDACRSSKPRPA
+>DECOY_NM_025176|496674_2_399_481
+APRPKSSRCADPKQPLTVYSLGAGVAM
+>NM_025176|496677_2_1023_1135
+MVLASLFLIRSWPCGPRRGFRMAGRSCRAWTSAWTRR
+>DECOY_NM_025176|496677_2_1023_1135
+RRTWASTWARCSRGAMRFGRRPGCPWSRILFLSALVM
+>NM_025176|496679_2_2568_2959
+MGWWQEVARRAHVASYHCVRAVGSGHWPGWPQVMAESLRRRQEPGLAAGKPRTQKLRRARPPPLPRHPTAPQRGGHACSPVEWMGILSQRSQSLSARAQRGWSSLEPGSCLCWEQRETPRKPSHGCGSHP
+>DECOY_NM_025176|496679_2_2568_2959
+PHSGCGHSPKRPTERQEWCLCSGPELSSWGRQARASLSQSRQSLIGMWEVPSCAHGGRQPATPHRPLPPPRARRLKQTRPKGAALGPEQRRRLSEAMVQPWGPWHGSGVARVCHYSAVHARRAVEQWWGM
+>NM_025176|496680_2_2667_2959
+MAESLRRRQEPGLAAGKPRTQKLRRARPPPLPRHPTAPQRGGHACSPVEWMGILSQRSQSLSARAQRGWSSLEPGSCLCWEQRETPRKPSHGCGSHP
+>DECOY_NM_025176|496680_2_2667_2959
+PHSGCGHSPKRPTERQEWCLCSGPELSSWGRQARASLSQSRQSLIGMWEVPSCAHGGRQPATPHRPLPPPRARRLKQTRPKGAALGPEQRRRLSEAM
+>NM_021934|496735_2_752_861
+MGWGRCPWSSTRRRSLAGHSQTSASHGKCGRSRCMW
+>DECOY_NM_021934|496735_2_752_861
+WMCRSRGCKGHSASTQSHGALSRRRTSSWPCRGWGM
+>NM_017877|496802_3_153_367
+MGGQFHGRGLWREQGAQLPASLPPGSGHVPGRILLPGCLLPPPMQSCRAIRLQRRPPAALQPSSFPAPSAL
+>DECOY_NM_017877|496802_3_153_367
+LASPAPFSSPQLAAPPRRQLRIARCSQMPPPLLCGPLLIRGPVHGSGPPLSAPLQAGQERWLGRGHFQGGM
+>NM_001083314|496826_2_377_702
+MAQVTKALDKALSTMDLQKVSSVMDRFEQQVQNLDVHTSVMEDSMSSATTLTTPQEQVDSLIMQIAEENGLEVLDQLSQLPEGASAVGESSVRSQEDQLSRRLAALRN
+>DECOY_NM_001083314|496826_2_377_702
+NRLAALRRSLQDEQSRVSSEGVASAGEPLQSLQDLVELGNEEAIQMILSDVQEQPTTLTTASSMSDEMVSTHVDLNQVQQEFRDMVSSVKQLDMTSLAKDLAKTVQAM
+>NM_001083314|496827_2_419_702
+MDLQKVSSVMDRFEQQVQNLDVHTSVMEDSMSSATTLTTPQEQVDSLIMQIAEENGLEVLDQLSQLPEGASAVGESSVRSQEDQLSRRLAALRN
+>DECOY_NM_001083314|496827_2_419_702
+NRLAALRRSLQDEQSRVSSEGVASAGEPLQSLQDLVELGNEEAIQMILSDVQEQPTTLTTASSMSDEMVSTHVDLNQVQQEFRDMVSSVKQLDM
+>NM_001083314|496828_2_446_702
+MDRFEQQVQNLDVHTSVMEDSMSSATTLTTPQEQVDSLIMQIAEENGLEVLDQLSQLPEGASAVGESSVRSQEDQLSRRLAALRN
+>DECOY_NM_001083314|496828_2_446_702
+NRLAALRRSLQDEQSRVSSEGVASAGEPLQSLQDLVELGNEEAIQMILSDVQEQPTTLTTASSMSDEMVSTHVDLNQVQQEFRDM
+>NM_001083314|496829_2_497_702
+MEDSMSSATTLTTPQEQVDSLIMQIAEENGLEVLDQLSQLPEGASAVGESSVRSQEDQLSRRLAALRN
+>DECOY_NM_001083314|496829_2_497_702
+NRLAALRRSLQDEQSRVSSEGVASAGEPLQSLQDLVELGNEEAIQMILSDVQEQPTTLTTASSMSDEM
+>NM_001102612|496844_2_615_694
+MERAAWHSSMSLHYRAGSRPACWEEP
+>DECOY_NM_001102612|496844_2_615_694
+PEEWCAPRSGARYHLSMSSHWAAREM
+>NM_000924|496873_2_809_1074
+MGSTRILTTTRSTQPMLPRQSIASCSAQGWCTACRRLSSWPSSLLQLSMIMSTRALPTASTSRPSQNVPSCTMIVQCWRITTSALFSD
+>DECOY_NM_000924|496873_2_809_1074
+DSFLASTTIRWCQVIMTCSPVNQSPRSTSATPLARTSMIMSLQLLSSPWSSLRRCATCWGQASCSAISQRPLMPQTSRTTTLIRTSGM
+>NM_014729|496914_2_528_631
+MACYHFIHKTWTSLKSQSPICWARMEHCFLIPFL
+>DECOY_NM_014729|496914_2_528_631
+LFPILFCHEMRAWCIPSQSKLSTWTKHIFHYCAM
+>NM_005518|496945_2_607_701
+MVVMPWWSVETLPSIPVVMLVPQVGPELWLC
+>DECOY_NM_005518|496945_2_607_701
+CLWLEPGVQPVLMVVPISPLTEVSWWPMVVM
+>NM_005518|496948_2_1183_1298
+MGTCTPHPCTGAWPRFCPTTLPKNWLAPGLVPSLMALV
+>DECOY_NM_005518|496948_2_1183_1298
+VLAMLSPVLGPALWNKPLTTPCFRPWAGTCPHPTCTGM
+>NM_182894|497077_2_745_992
+MGSTGPWCGTPSPCPSPSSSQPRMASWTPVPRGYWGCTKSRWRQQPSRGGSPRGNARPCPSSTRWSRTSGAPTLRRPSPRRN
+>DECOY_NM_182894|497077_2_745_992
+NRRPSPRRLTPAGSTRSWRTSSPCPRANGRPSGGRSPQQRWRSKTCGWYGRPVPTWSAMRPQSSSPSPCPSPTGCWPGTSGM
+>NM_182894|497078_2_814_992
+MASWTPVPRGYWGCTKSRWRQQPSRGGSPRGNARPCPSSTRWSRTSGAPTLRRPSPRRN
+>DECOY_NM_182894|497078_2_814_992
+NRRPSPRRLTPAGSTRSWRTSSPCPRANGRPSGGRSPQQRWRSKTCGWYGRPVPTWSAM
+>NM_000701|497127_2_521_717
+MVPTPSLPLPLLLNGSSFVGSSLGGSQCYCGLERFFVSWLIASKLLQKRNLKTIICTWVWCYQPL
+>DECOY_NM_000701|497127_2_521_717
+LPQYCWVWTCIITKLNRKQLLKSAILWSVFFRELGCYCQSGGLSSGVFSSGNLLLPLPLSPTPVM
+>NM_014297|497172_2_413_498
+METPSASGASRWRPGPALATPQAVSPSS
+>DECOY_NM_014297|497172_2_413_498
+SSPSVAQPTALAPGPRWRSAGSASPTEM
+>NM_018414|497187_3_542_852
+MEEPRKRENHGEHTVTQRARCRDGLWQDRGTIMEEPGHKDDPRKWGPDQEADGLQDGVREAPGQSGNHSQDAHSQKSAQNAGSHRSSVNKDETERSDHSSHPT
+>DECOY_NM_018414|497187_3_542_852
+TPHSSHDSRETEDKNVSSRHSGANQASKQSHADQSHNGSQGPAERVGDQLGDAEQDPGWKRPDDKHGPEEMITGRDQWLGDRCRARQTVTHEGHNERKRPEEM
+>NM_018414|497188_3_638_852
+MEEPGHKDDPRKWGPDQEADGLQDGVREAPGQSGNHSQDAHSQKSAQNAGSHRSSVNKDETERSDHSSHPT
+>DECOY_NM_018414|497188_3_638_852
+TPHSSHDSRETEDKNVSSRHSGANQASKQSHADQSHNGSQGPAERVGDQLGDAEQDPGWKRPDDKHGPEEM
+>NM_182961|497393_2_777_850
+MVLNCLPFWRSCLGRNCLVNKDAG
+>DECOY_NM_182961|497393_2_777_850
+GADKNVLCNRGLCSRWFPLCNLVM
+>NM_182961|497425_2_16443_16516
+MEPPQPLGKSLRSCRKSPPCKIGA
+>DECOY_NM_182961|497425_2_16443_16516
+AGIKCPPSKRCSRLSKGLPQPPEM
+>NM_182961|497438_2_19755_20005
+MESQPPLLGWMTLKNVYLLPQHFYQKKQRLVSSTKRFLPKTLRKCLKKWIRTKTCFPKLFQRMVIIEMLLKILWVVFWAGYPC
+>DECOY_NM_182961|497438_2_19755_20005
+CPYGAWFVVWLIKLLMEIIVMRQFLKPFCTKTRIWKKLCKRLTKPLFRKTSSVLRQKKQYFHQPLLYVNKLTMWGLLPPQSEM
+>NM_182961|497448_2_26280_26395
+MVCFLCWRTLTEGKMKLSLLILTLMQRYFRTITNSLCK
+>DECOY_NM_182961|497448_2_26280_26395
+KCLSNTITRFYRQMLTLILLSLKMKGETLTRWCLFCVM
+>NM_182961|497452_3_19243_19409
+MAGPSSHHMDPAAAEQSPATKRVRTGISRAEESPSLSSQSWRGDSNSTFGGRDLW
+>DECOY_NM_182961|497452_3_19243_19409
+WLDRGGFTSNSDGRWSQSSLSPSEEARSIGTRVRKTAPSQEAAAPDMHHSSPGAM
+>NM_182961|497454_3_23002_23150
+MDGIPSSDRTKVSSRDFRKLSAPFGTAESTRVVSSRDVQSSADFALNHY
+>DECOY_NM_182961|497454_3_23002_23150
+YHNLAFDASSQVDRSSVVRTSEATGFPASLKRFDRSSVKTRDSSPIGDM
+>NM_182961|497455_3_23560_23636
+MEISQHAAGRTEEKTSLLVERLGKM
+>DECOY_NM_182961|497455_3_23560_23636
+MKGLREVLLSTKEETRGAAHQSIEM
+>NM_001080426|497882_2_856_935
+MAGRGDQLRLRRARALGACSGPECTP
+>DECOY_NM_001080426|497882_2_856_935
+PTCEPGSCAGLARARRLRLQDGRGAM
+>NM_001080426|497883_2_1102_1301
+MEVAGAQPPLARVGRSSRTRTWRGSSRSGRAETRGTKQKGTGGGEGRRRRRRRATLAPRWGGGGAP
+>DECOY_NM_001080426|497883_2_1102_1301
+PAGGGGWRPALTARRRRRRRGEGGGTGKQKTGRTEARGSRSSGRWTRTRSSRGVRALPPQAGAVEM
+>NM_015335|498028_2_2300_2454
+MDLVPRMSLHQVIPRRCLMGKMPCLFSVLLLKQMSGRIMLLAELAPVALHR
+>DECOY_NM_015335|498028_2_2300_2454
+RHLAVPALEALLMIRGSMQKLLLVSFLCPMKGMLCRRPIVQHLSMRPVLDM
+>NM_015335|498033_2_2594_2694
+MEELLFLIHQQLQTCKGCFPLHHLWNSILHFLL
+>DECOY_NM_015335|498033_2_2594_2694
+LLFHLISNWLHHLPFCGKCTQLQQHILFLLEEM
+>NM_015335|498049_2_5771_5934
+MGSLKIGVSSLENVHYRQSAKSSRMCAGCVESLPQTLLLSLVPAWLPWSPRGPL
+>DECOY_NM_015335|498049_2_5771_5934
+LPGRPSWPLWAPVLSLLLTQPLSEVCGACMRSSKASQRYHVNELSSVGIKLSGM
+>NM_015335|498053_2_6101_6180
+MGLAPTMMICLLTFHSQMIWTMILAY
+>DECOY_NM_015335|498053_2_6101_6180
+YALIMTWIMQSHFTLLCIMMTPALGM
+>NM_015335|498057_3_5691_5782
+MGVVHRDCPNDISTLESCNRATWASWPWGA
+>DECOY_NM_015335|498057_3_5691_5782
+AGWPWSAWTARNCSELTSIDNPCDRHVVGM
+>NM_032285|498123_2_739_839
+MAFPSTWLPPALHVTSVWRPARRSLLKSDRARS
+>DECOY_NM_032285|498123_2_739_839
+SRARDSKLLSRRAPRWVSTVHLAPPLWTSPFAM
+>NM_138799|498183_3_1386_1558
+MDSNSSSNKLHSCAICASFYKTITHVLQLLVLLPAHSWYLSIIVVASEKNSKKKEYT
+>DECOY_NM_138799|498183_3_1386_1558
+TYEKKKSNKESAVVIISLYWSHAPLLVLLQLVHTITKYFSACIACSHLKNSSSNSDM
+>NM_001085395|498190_2_235_407
+MESYTSWEEMRESSLAQKDFRNALNSLMSSLPVRSVSMTQWWKICVPENSRPFSLCT
+>DECOY_NM_001085395|498190_2_235_407
+TCLSFPRSNEPVCIKWWQTMSVSRVPLSSMLSNLANRFDKQALSSERMEEWSTYSEM
+>NM_032717|498214_3_491_696
+MESPHKNQCKFPVHQSAAHYGVGAGRHSALLCPTASEGYLGFHWDQFAGYRNYTGWAAARQQPQKLAE
+>DECOY_NM_032717|498214_3_491_696
+EALKQPQQRAAAWGTYNRYGAFQDWHFGLYGESATPCLLASHRGAGVGYHAASQHVPFKCQNKHPSEM
+>NM_015375|498271_2_2051_2166
+MVWYTCVTTGEDTSLVPSNQLSLQMRSTGMIWLWNFTI
+>DECOY_NM_015375|498271_2_2051_2166
+ITFNWLWIMGTSRMQLSLQNSPVLSTDEGTTVCTYWVM
+>NM_015375|498282_3_84_358
+MGQRARLGSRPRRRRNDPRAVPGLRPLPPLPGTAATEPARDPEVLPRHQVLPQPHLSLLPHGRRRGRARPCRRCRRNRAAGGPTELHFLPT
+>DECOY_NM_015375|498282_3_84_358
+TPLFHLETPGGAARNRRCRRCPRARGRRRGHPLLSLHPQPLVQHRPLVEPDRAPETAATGPLPPLPRLGPVARPDNRRRRPRSGLRARQGM
+>NM_015375|498283_3_1686_1783
+MGANQTDHPAHHMGEPTCHHSGMEEEGGPGSH
+>DECOY_NM_015375|498283_3_1686_1783
+HSGPGGEEEMGSHHCTPEGMHHAPHDTQNAGM
+>NM_005210|498357_3_236_324
+MDGPQRLHPLLLPHPPALWRLQNEDLRQR
+>DECOY_NM_005210|498357_3_236_324
+RQRLDENQLRWLAPPHPLLLPHLRQPGDM
+>NM_004265|498390_2_616_764
+MAGFLPSSRPLSLLPLRPKLDGCNMIMATCLSTENPSGTTLSTNSSLAT
+>DECOY_NM_004265|498390_2_616_764
+TALSSNTSLTTGSPNETSLCTAMIMNCGDLKPRLPLLSLPRSSPLFGAM
+>NM_002401|498429_2_1187_1263
+MAEEHFPEYGVIKATCSPWCPPAAP
+>DECOY_NM_002401|498429_2_1187_1263
+PAAPPCWPSCTAKIVGYEPFHEEAM
+>NM_004817|498544_2_375_625
+MEKRQLSFLMCSRVGLLMGCSKKMTEWSWSMAPPWRMCFIRLQFSSSEKVGRSLLLWSRGPGRSRWPHFRPALPWIRMTGLLR
+>DECOY_NM_004817|498544_2_375_625
+RLLGTMRIWPLAPRFHPWRSRGPGRSWLLLSRGVKESSSFQLRIFCMRWPPAMSWSWETMKKSCGMLLGVRSCMLFSLQRKEM
+>NM_004817|498550_2_690_1129
+MGGAAAAGRTARKGGVPMSGPGAGSGTSAGTGAVAGAWSGAWTKTMRAPETAAVAGAWSGAWTTTLGHPGTGTVTAAAAGALTRTTSEPITGPTTQTTSGPTARSTGAGPATMPALGDPEAAAASTRTHGAPAPSLGGGRGPSGSS
+>DECOY_NM_004817|498550_2_690_1129
+SSGSPGRGGGLSPAPAGHTRTSAAAAEPDGLAPMTAPGAGTSRATPGSTTQTTPGTIPESTTRTLAGAAAATVTGTGPHGLTTTWAGSWAGAVAATEPARMTKTWAGSWAGAVAGTGASTGSGAGPGSMPVGGKRATRGAAAAGGM
+>NM_004817|498569_3_283_371
+MGTVHCDPTKGFQKRIWNCSVRRQRQPPL
+>DECOY_NM_004817|498569_3_283_371
+LPPQRQRRVSCNWIRKQFGKTPDCHVTGM
+>NM_031892|498668_2_866_993
+MGVTQAAPSLKVPTGQWQLQQSSPRKLREWALETFSKTSQSN
+>DECOY_NM_031892|498668_2_866_993
+NSQSTKSFTELAWERLKRPSSQQLQWQGTPVKLSPAAQTVGM
+>NM_031892|498680_3_717_796
+MVGRCSQREDWNVSFQLHQGAVRGVG
+>DECOY_NM_031892|498680_3_717_796
+GVGRVAGQHLQFSVNWDERQSCRGVM
+>NM_000817|498709_2_1149_1303
+MVMGYFLLGAPYPTCTASWLLATSTSRKLRQRAWRLCLNWSSSPQNRVTIP
+>DECOY_NM_000817|498709_2_1149_1303
+PITVRNQPSSSWNLCLRWARQRLKRSTSTALLWSATCTPYPAGLLFYGMVM
+>NM_000817|498710_2_1155_1303
+MGYFLLGAPYPTCTASWLLATSTSRKLRQRAWRLCLNWSSSPQNRVTIP
+>DECOY_NM_000817|498710_2_1155_1303
+PITVRNQPSSSWNLCLRWARQRLKRSTSTALLWSATCTPYPAGLLFYGM
+>NM_194356|498759_2_213_304
+METQLLWLRKIISWMISSIRWRRLETVLIK
+>DECOY_NM_194356|498759_2_213_304
+KILVTELRRWRISSIMWSIIKRLWLLQTEM
+>NM_004849|498856_2_891_997
+MDFVISPLEYIRQRLKDLSFRSCFVLWLQMDSCTH
+>DECOY_NM_004849|498856_2_891_997
+HTCSDMQLWLVFCSRFSLDKLRQRIYELPSIVFDM
+>NM_003043|498898_2_515_597
+MVEVRFSYRILFSCLGAACLCFSWRSS
+>DECOY_NM_003043|498898_2_515_597
+SSRWSFCLCAAGLCSFLIRYSFRVEVM
+>NM_003043|498912_3_945_1135
+MGPRSLPSFSLASVFLLHLEGRQVHWEGRLLHSHFSIRHAPGAAGPRADAAGRGRRHQVLSVS
+>DECOY_NM_003043|498912_3_945_1135
+SVSLVQHRRGRGAADARPGAAGPAHRISFHSHLLRGEWHVQRGELHLLFVSALSFSPLSRPGM
+>NM_005688|499008_3_1658_1773
+MGLLPLQYPELAQADPQNEKRQEGFQGQEREGEAAAAH
+>DECOY_NM_005688|499008_3_1658_1773
+HAAAAEGEREQGQFGEQRKENQPDAQALEPYQLPLLGM
+>NM_002247|499100_2_574_653
+MAQARRMALSNQWMKKRRQWPPRSAG
+>DECOY_NM_002247|499100_2_574_653
+GASRPPWQRRKKMWQNSLAMRRAQAM
+>NM_002247|499101_2_1240_1340
+MGMFMQKPHLGASSWSSSSSGDWPCLPATSLKS
+>DECOY_NM_002247|499101_2_1240_1340
+SKLSTAPLCPWDGSSSSSWSSAGLHPKQMFMGM
+>NM_020820|499165_2_1050_1213
+MGQRITIATAIPSPTAGRSTTRPRISGLSAWPRRQRRSRSGWMPSSASGSSARA
+>DECOY_NM_020820|499165_2_1050_1213
+ARASSGSASSPMWGSRSRRQRRPWASLGSIRPRTTSRGATPSPIATAITIRQGM
+>NM_020820|499166_2_1743_1903
+MASCTTCWRRASSGMSPSTSAFMLTRRWRGPAARTNSFATTSSWWRTFWPSAC
+>DECOY_NM_020820|499166_2_1743_1903
+CASPWFTRWWSSTTAFSNTRAAPGRWRRTLMFASTSPSMGSSARRWCTTCSAM
+>NM_020820|499170_2_2307_2521
+MVPLRSWSTSRHSGVGAKRPWACTSGSTTPMRMPRKHEPVRRPPLRTPVASRPRRKTRLIQPSHCCPWVPG
+>DECOY_NM_020820|499170_2_2307_2521
+GPVWPCCHSPQILRTKRRPRSAVPTRLPPRRVPEHKRPMRMPTTSGSTCAWPRKAGVGSHRSTSWSRLPVM
+>NM_020820|499175_2_3162_3292
+MAASGQPVGPLVRKTGASASYSSRRTVRSRMPTCSSSPSWMWP
+>DECOY_NM_020820|499175_2_3162_3292
+PWMWSPSSSCTPMRSRVTRRSSYSASAGTKRVLPGVPQGSAAM
+>NM_020820|499178_2_4080_4189
+MASTRRAAATPAASGWSRWRPRASCCTASPCSRQPQ
+>DECOY_NM_020820|499178_2_4080_4189
+QPQRSCPSATCCSARPRWRSWGSAAPTAAARRTSAM
+>NM_001012302|499216_2_878_1092
+MARWCSPSSWLSGPRCSWRSGSGSAPAWSCTGTCTCGTRNRRKWHFSSLTAPTTSSGHTSTPTYAAPSSSS
+>DECOY_NM_001012302|499216_2_878_1092
+SSSSPAAYTPTSTHGSSTTPATLSSFHWKRRNRTGCTCTGTCSWAPASGSGSRWSCRPGSLWSSPSCWRAM
+>NM_033278|499264_2_1978_2111
+MDISLWSTTSLAASLPSSPMANWLAVLGAVGPLTATLQGPILWL
+>DECOY_NM_033278|499264_2_1978_2111
+LWLIPGQLTATLPGVAGLVALWNAMPSSPLSAALSTTSWLSIDM
+>NM_015175|499539_2_1431_1591
+MVPPPIGCCKSCSTWLWRVTTACAHLHQSATSSRYWCWRSGCRHCPPLSCGSS
+>DECOY_NM_015175|499539_2_1431_1591
+SSGCSLPPCHRCGSRWCWYRSSTASQHLHACATTVRWLWTSCSKCCGIPPPVM
+>NM_015175|499554_2_4794_4981
+MVTRNCGVRSSLKVYAAYLIAWEPGPTWPTAQLISVRWRRLAYGLYLATSCWKTHSCMPRPT
+>DECOY_NM_015175|499554_2_4794_4981
+TPRPMCSHTKWCSTALYLGYALRRWRVSILQATPWTPGPEWAILYAAYVKLSSRVGCNRTVM
+>NM_015175|499559_2_6027_6328
+MAALSAWKPRRASAMISGAHWPSCVRSTCGVSTCAVQHLSSSLSIRPTTSSTSHARWARPQSHLLARLPDPSLAPSHPIPRYGTRCTRGSCAYGPPLKAT
+>DECOY_NM_015175|499559_2_6027_6328
+TAKLPPGYACSGRTCRTGYRPIPHSPALSPDPLRALLHSQPRAWRAHSTSSTTPRISLSSSLHQVACTSVGCTSRVCSPWHAGSIMASARRPKWASLAAM
+>NM_015175|499568_3_1969_2105
+MARAWLHLSCLALSAPYGYSTYPCPHPTTPAKAAVQLLYQQRLRV
+>DECOY_NM_015175|499568_3_1969_2105
+VRLRQQYLLQVAAKAPTTPHPCPYTSYGYPASLALCSLHLWARAM
+>NM_005185|499579_2_192_280
+MGTAASPPASWARSCGPWARTPRRPSCGT
+>DECOY_NM_005185|499579_2_192_280
+TGCSPRRPTRAWPGCSRAWSAPPSAATGM
+>NM_006144|499586_2_662_798
+MEILEALCCARVFSEGSLPLALKINAETLVGLVSIFFSQRNTSTG
+>DECOY_NM_006144|499586_2_662_798
+GTSTNRQSFFISVLGVLTEANIKLALPLSGESFVRACCLAELIEM
+>NM_003245|499650_2_785_975
+MVCLLGIGAALTPVAGTQGAGTAAWRSSKIGKNLASAQSDMASAGSLLGPSTQRCGLWGFLPG
+>DECOY_NM_003245|499650_2_785_975
+GPLFGWLGCRQTSPGLLSGASAMDSQASALNKGIKSSRWAATGAGQTGAVPTLAAGIGLLCVM
+>NM_017935|499679_2_1076_1338
+MESLKLQPKLSTTQQQRQRNAYSEWQIQERVCARIALKNLMVSLHPYSNMRYHIMSSSLFKLKFVLKTNILISKNFQLFSTVQQNLA
+>DECOY_NM_017935|499679_2_1076_1338
+ALNQQVTSFLQFNKSILINTKLVFKLKFLSSSMIHYRMNSYPHLSVMLNKLAIRACVREQIQWESYANRQRQQQTTSLKPQLKLSEM
+>NM_017935|499690_2_2339_2412
+MGKCLWMKLWRNLNTGRWEKVAWK
+>DECOY_NM_017935|499690_2_2339_2412
+KWAVKEWRGTNLNRWLKMWLCKGM
+>NM_017935|499694_3_390_514
+MGSVLDRSIFTCCEKGSHPVISLGEFLFSAFGVAELNVLQM
+>DECOY_NM_017935|499694_3_390_514
+MQLVNLEAVGFASFLFEGLSIVPHSGKECCTFISRDLVSGM
+>NM_022739|499800_2_1748_1854
+MASSSIQEMIFIHCRSILILQLIRNIYPISTLLDE
+>DECOY_NM_022739|499800_2_1748_1854
+EDLLTSIPYINRILQLILISRCHIFIMEQISSSAM
+>NM_021253|499833_3_1610_1704
+MEWGQICSHHHTFYPFAHQGETQAGRHIPRL
+>DECOY_NM_021253|499833_3_1610_1704
+LRPIHRGAQTEGQHAFPYFTHHHSCIQGWEM
+>NM_207360|499846_2_459_703
+MEIKKPSLAGESSWLLTGSGTEDTPTSKFLFHPGGRTHQELTPLSESSTCWRSWSGRRCWCTRRPARCTASAWSATTTATS
+>DECOY_NM_207360|499846_2_459_703
+STATTTASWASATCRAPRRTCWCRRGSWSRWCTSSESLPTLEQHTRGGPHFLFKSTPTDETGSGTLLWSSEGALSPKKIEM
+>NM_015982|499856_2_370_536
+MVTDSSTGMTPRKMSLFTRQLLKETTPGSFCAALEMGRLWNLMSWKERRAQKPLM
+>DECOY_NM_015982|499856_2_370_536
+MLPKQARREKWSMLNWLRGMELAACFSGPTTEKLLQRTFLSMKRPTMGTSSDTVM
+>NM_015982|499864_3_722_807
+MVPPTLLLPTAVCARPPASQPAAAYRGH
+>DECOY_NM_015982|499864_3_722_807
+HGRYAAAPQSAPPRACVATPLLLTPPVM
+>NM_003390|499909_2_1808_1890
+MEINGMKSDRVDYLGYHKCFPKNLQSC
+>DECOY_NM_003390|499909_2_1808_1890
+CSQLNKPFCKHYGLYDVRDSKMGNIEM
+>NM_005115|500086_2_481_656
+METRWWQEMSGFSRDLARTSPGRKWRSWRSFRPPSSGRTRLCGSGPARSAGTGTARRG
+>DECOY_NM_005115|500086_2_481_656
+GRRATGTGASRAPGSGCLRTRGSSPPRFSRWSRWKRGPSTRALDRSFGSMEQWWRTEM
+>NM_001012631|500104_2_510_604
+MDYGAEATDPLSRMLRIPQPRSLGRAFVTRS
+>DECOY_NM_001012631|500104_2_510_604
+SRTVFARGLSRPQPIRLMRSLPDTAEAGYDM
+>NM_001012631|500106_3_607_824
+MVPGHAAAAADLVARGSGLGEGEGGGPGPCSAGPLETVPEFLLLSVRALHVLFPVLRSPTGGQGGADTPEVL
+>DECOY_NM_001012631|500106_3_607_824
+LVEPTDAGGQGGTPSRLVPFLVHLARVSLLLFEPVTELPGASCPGPGGGEGEGLGSGRAVLDAAAAAHGPVM
+>NM_006946|500292_3_4358_4569
+MGDGCEREGGGGNPGPGQSTGPGGPGCRGGGENLEGRGGEVQGLVPAHAGTLPAPAGFSRAAPVPPRCGR
+>DECOY_NM_006946|500292_3_4358_4569
+RGCRPPVPAARSFGAPAPLTGAHAPVLGQVEGGRGELNEGGGRCGPGGPGTSQGPGPNGGGGERECGDGM
+>NM_001012633|500309_2_443_567
+MDYGAEATDPLSRMLRIPQPRSLGRAFVTSPTEPHGGTRRS
+>DECOY_NM_001012633|500309_2_443_567
+SRRTGGHPETPSTVFARGLSRPQPIRLMRSLPDTAEAGYDM
+>NM_001396|500449_2_1303_1424
+MENGSTNHQEPVNFITFLEWKQEDLVGDVLGSQVIRSLTT
+>DECOY_NM_001396|500449_2_1303_1424
+TTLSRIVQSGLVDGVLDEQKWELFTIFNVPEQHNTSGNEM
+>NM_001396|500454_2_1879_2039
+MVTVPITITTTTTITTTMDNKPWVTGPGQGSTILQRIAPLPKILWRLATVTTP
+>DECOY_NM_001396|500454_2_1879_2039
+PTTVTALRWLIKPLPAIRQLITSGQGPGTVWPKNDMTTTITTTTTITIPVTVM
+>NM_001396|500455_2_1930_2039
+MDNKPWVTGPGQGSTILQRIAPLPKILWRLATVTTP
+>DECOY_NM_001396|500455_2_1930_2039
+PTTVTALRWLIKPLPAIRQLITSGQGPGTVWPKNDM
+>NM_012461|500508_2_757_863
+MGNPFWLPWKSCFLSTCVSWRKHCLHRRHSSFRMC
+>DECOY_NM_012461|500508_2_757_863
+CMRFSSHRRHLCHKRWSVCTSLFCSKWPLWFPNGM
+>NM_015092|500687_3_4967_5049
+MERDFRTAETGLQSSAPTELHRSFYFV
+>DECOY_NM_015092|500687_3_4967_5049
+VFYFSRHLETPASSQLGTEATRFDREM
+>NM_015092|500688_3_7022_7110
+MATTGSCLTSTKGPRFLPNSSESWNCTPS
+>DECOY_NM_015092|500688_3_7022_7110
+SPTCNWSESSNPLFRPGKTSTLCSGTTAM
+>NM_015092|500691_3_10745_10821
+MARQHSNSSIYISPGYGSGSKSRTR
+>DECOY_NM_015092|500691_3_10745_10821
+RTRSKSGSGYGPSIYISSNSHQRAM
+>NM_015331|500781_2_551_726
+MGLVFTPIPMGQSLLTAEKYSGIRWAMVWLMKTLVSPSFFLKMKMKPKSSSSAIKITT
+>DECOY_NM_015331|500781_2_551_726
+TTIKIASSSSKPKMKMKLFFSPSVLTKMLWVMAWRIGSYKEATLLSQGMPIPTFVLGM
+>NM_015331|500783_2_629_726
+MVWLMKTLVSPSFFLKMKMKPKSSSSAIKITT
+>DECOY_NM_015331|500783_2_629_726
+TTIKIASSSSKPKMKMKLFFSPSVLTKMLWVM
+>NM_015331|500785_2_734_903
+MAQHQPSHYVPCSSFHTCMLSSALPPACGAAPSKAPSASTQKSSVTPCLITMCGAC
+>DECOY_NM_015331|500785_2_734_903
+CAGCMTILCPTVSSKQTSASPAKSPAAGCAPPLASSLMCTHFSSCPVYHSPQHQAM
+>NM_015338|501215_2_1639_1808
+MGILRNALGQISEPEPEGICTKNRSQNKQGLLRMQNLWPQMFPSTRMGRLRLTQQG
+>DECOY_NM_015338|501215_2_1639_1808
+GQQTLRLRGMRTSPFMQPWLNQMRLLGQKNQSRNKTCIGEPEPESIQGLANRLIGM
+>NM_015338|501217_2_2425_2531
+MVVRPVATLSPGEARAPLESVRQIYSEHNYCRLIL
+>DECOY_NM_015338|501217_2_2425_2531
+LILRCYNHESYIQRVSELPARAEGPSLTAVPRVVM
+>NM_015338|501223_2_3856_3935
+MARYAWDLYMVLEKTVAWLMEAAPVL
+>DECOY_NM_015338|501223_2_3856_3935
+LVPAAEMLWAVTKELVMYLDWAYRAM
+>NM_014888|501257_2_702_847
+MEQPNSMMRHGGSLLIWGAHLLLILVLETTGSSVVGRALRQKALLNST
+>DECOY_NM_014888|501257_2_702_847
+TSNLLAKQRLARGVVSSGTTELVLILLLHAGWILLSGGHRMMSNPQEM
+>NM_030917|501272_2_718_797
+MGLMKIPGKLTVKNKRGYEWDLKLYQ
+>DECOY_NM_030917|501272_2_718_797
+QYLKLDWEYGRKNKVTLKGPIKMLGM
+>NM_030917|501273_2_868_1106
+MADSIFLRYSREELETQRKKLPFHLQKLSLLLLLLCSRLGFHRAETALLLSLRQVLPPEKPIQALGSGRIDMGGPNHLI
+>DECOY_NM_030917|501273_2_868_1106
+ILHNPGGMDIRGSGLAQIPKEPPLVQRLSLLLATEARHFGLRSCLLLLLLSLKQLHFPLKKRQTELEERSYRLFISDAM
+>NM_000839|501330_2_1122_1672
+MVGGPWRVWWQAVRGLLRVLSPSSWPPTPSVTLPPTSRAWTLGTTAGTPGSVNSGSRGSAAASGSETAQPTLSGLCPLSRSPRSCLWSMQCTPWPMRSTTCTVPSAPTPPGSVTRCGQLTGAASTRTLCSTSSLMPPFAQLTPTMRSALTALVMVLAATTSSPICVQAVGAIATRRWATGQKA
+>DECOY_NM_000839|501330_2_1122_1672
+AKQGTAWRRTAIAGVAQVCIPSSTTAALVMVLATLASRMTPTLQAFPPMLSSTSCLTRTSAAGTLQGCRTVSGPPTPASPVTCTTSRMPWPTCQMSWLCSRPSRSLPCLGSLTPQATESGSAAASGRSGSNVSGPTGATTGLTWARSTPPLTVSPTPPWSSPSLVRLLGRVAQWWVRWPGGVM
+>NM_000839|501335_2_1581_1672
+MVLAATTSSPICVQAVGAIATRRWATGQKA
+>DECOY_NM_000839|501335_2_1581_1672
+AKQGTAWRRTAIAGVAQVCIPSSTTAALVM
+>NM_012292|501454_2_337_434
+MGLTRCSPDQAWSRPLGPPASRPQGPSSGPPA
+>DECOY_NM_012292|501454_2_337_434
+APPGSSPGQPRSAPPGLPRSWAQDPSCRTLGM
+>NM_000255|501487_2_1888_1961
+MEISWLLQWMHLGQDVQWEKSQMP
+>DECOY_NM_000255|501487_2_1888_1961
+PMQSKEWQVDQGLHMWQLLWSIEM
+>NM_021078|501583_2_1726_1841
+MGGSSVASASACFPPRASRRLSSVLSPRMSRSRVMGPT
+>DECOY_NM_021078|501583_2_1726_1841
+TPGMVRSRSMRPSLVSSLRRSARPPFCASASAVSSGGM
+>NM_012471|501713_2_3344_3630
+MGHLSSEPCQGPVVPKESQKLSHQANAPSWVLLSRNWVSYSPNLMVICLNPVQSQCTQFLMELFSSTVCGRTSDILRWRKGKQRPVLKVKLTSVR
+>DECOY_NM_012471|501713_2_3344_3630
+RVSTLKVKLVPRQKGKRWRLIDSTRGCVTSSFLEMLFQTCQSQVPNLCIVMLNPSYSVWNRSLLVWSPANAQHSLKQSEKPVVPGQCPESSLHGM
+>NM_012471|501721_3_2322_2464
+MGNVAPDSDCGSTLRNIQHFKFVASHIPVHSQLPLRTSADLFGTHAA
+>DECOY_NM_012471|501721_3_2322_2464
+AAHTGFLDASTRLPLQSHVPIHSAVFKFHQINRLTSGCDSDPAVNGM
+>NM_001793|501746_2_1880_2019
+MGWLLTPSIAKNQRTHTTSCSPFTGAQAPSASSPVAWTGKKSLSTH
+>DECOY_NM_001793|501746_2_1880_2019
+HTSLSKKGTWAVPSSASPAQAGTFPSCSTTHTRQNKAISPTLLWGM
+>NM_001793|501752_2_3053_3162
+MAMSKPALDPGREVSSSLCWGLSWLCCSSCWCCFCW
+>DECOY_NM_001793|501752_2_3053_3162
+WCFCCWCSSCCLWSLGWCLSSSVERGPDLAPKSMAM
+>NM_001793|501755_2_3233_3405
+MAKRGVAKRTRTMTSPSSTEVWRPGRRWFSAMTWHQPSSRHPCTVLGQPTQMKSATL
+>DECOY_NM_001793|501755_2_3233_3405
+LTASKMQTPQGLVTCPHRSSPQHWTMASFWRRGPRWVETSSPSTMTRTRKAVGRKAM
+>NM_001004439|501788_2_1348_1514
+MVHTWGTQSHRSCPPGRGGCTWPEPPGSTTRARSSCSPCTTTGASPSTRLCGASR
+>DECOY_NM_001004439|501788_2_1348_1514
+RSAGCLRTSPSAGTTTCPSCSSRARTTSGPPEPWTCGGRGPPCSRHSQTGWTHVM
+>NM_001004439|501795_2_2362_2516
+MAPCWTTAGPPLSESRCPSGTAAMRMSTVSLTLCWMPGVTCPRPWSTARGC
+>DECOY_NM_001004439|501795_2_2362_2516
+CGRATSWPRPCTVGPMWCLTLSVTSMRMAATGSPCRSESLPPGATTWCPAM
+>NM_000420|501884_3_332_441
+MGSGQAGADSYPDFGPAPLFFCAFVLQLPELWPSPL
+>DECOY_NM_000420|501884_3_332_441
+LPSPWLEPLQLVFACFFLPAPGFDPYSDAGAQGSGM
+>NM_000420|501885_3_1658_1761
+MGPEARAGPTRIQRYTAWIELPAVCPELCPVPPS
+>DECOY_NM_000420|501885_3_1658_1761
+SPPVPCLEPCVAPLEIWATYRQIRTPGARAEPGM
+>NM_205850|502005_3_96_199
+MGEKGSVARHPVGHCTSASLRDLPAPTSPKGHRK
+>DECOY_NM_205850|502005_3_96_199
+KRHGKPSTPAPLDRLSASTCHGVPHRAVSGKEGM
+>NM_205850|502007_3_1101_1276
+MDIRIYIYPGLDGHNNWGNTRNSRYSNGPYFISSRNKHTRHNCKCVGCKKRERRYGYV
+>DECOY_NM_205850|502007_3_1101_1276
+VYGYRRERKKCGVCKCNHRTHKNRSSIFYPGNSYRSNRTNGWNNHGDLGPYIYIRIDM
+>NM_004635|502036_2_434_546
+MASAVSSSSWNAWKVVSCSAGFRSVATRLSLREKLQR
+>DECOY_NM_004635|502036_2_434_546
+RQLKERLSLRTAVSRFGASCSVVKWANWSSSSVASAM
+>NM_080921|502072_2_1225_1313
+MAPMNVTIWKLKLEILWLEMSRIRIAISV
+>DECOY_NM_080921|502072_2_1225_1313
+VSIAIRIRSMELWLIELKLKWITVNMPAM
+>NM_080921|502080_2_2887_2960
+METRKSVLSTGEKESKHMEILKLT
+>DECOY_NM_080921|502080_2_2887_2960
+TLKLIEMHKSEKEGTSLVSKRTEM
+>NM_005073|502162_3_1939_2123
+MAADRGCWQHHCAHRGRGRPVQQTVGRVHSICRVASGRLCNFCHHGSVLYLHQPSGDRSSI
+>DECOY_NM_005073|502162_3_1939_2123
+ISSRDGSPQHLYLVSGHHCFNCLRGSAVRCISHVRGVTQQVPRGRGRHACHHQWCGRDAAM
+>NM_025179|502275_2_1293_2023
+MASSSSARLWMGSRITSRPCPAGSCPETLSPQPCSTMSYTAILSPLSSRSLQTPWPWSPTLTSSTSTALLVGALSTFSLSSPRPLRVWPSTPLETSSTPHASCGSARMTPSSTHTCPCPSAAPGPGWNTASCRLLTWPSLGTHWPRPSISPARTMYSLPSSPKGRSSITTRPMTLPCVPSLSGPSTCRSRSACSPATRARATWSSTGCWGRTSSAPRRLSPSMITSVDWTSTSPWEAQLQWRA
+>DECOY_NM_025179|502275_2_1293_2023
+ARWQLQAEWPSTSTWDVSTIMSPSLRRPASSTRGWCGTSSWTARARTAPSCASRSRCTSPGSLSPVCPLTMPRTTISSRGKPSSPLSYMTRAPSISPRPWHTGLSPWTLLRCSATNWGPGPAASPCPCTHTSSPTMRASGCSAHPTSSTELPTSPWVRLPRPSSLSFTSLAGVLLATSTSSTLTPSWPWPTQLSRSSLPSLIATYSMTSCPQPSLTEPCSGAPCPRSTIRSGMWLRASSSSAM
+>NM_025179|502285_2_3066_3148
+MAWTSAIWPWISLWCGTAISSLTTLRT
+>DECOY_NM_025179|502285_2_3066_3148
+TRLTTLSSIATGCWLSIWPWIASTWAM
+>NM_002972|502352_2_4452_4864
+MAGTSPPRWYPWCSCSQTPSTARWRAFACWWRRSGCPSAIASATVELTPWPGRAAASHPSSCSSWTAYTRSTCSSPWSLSSASSTSSSSATTMCPAVSGPSCSTLTMSALSWGCCMRRRGNAGARCRAGLCGSMWTG
+>DECOY_NM_002972|502352_2_4452_4864
+GTWMSGCLGARCRAGANGRRRMCCGWSLASMTLTSCSPGSVAPCMTTASSSSTSSASSLSWPSSCTSRTYATWSSCSSPHSAAARGPWPTLEVTASAIASPCGSRRWWCAFARWRATSPTQSCSCWPYWRPPSTGAM
+>NM_018451|502419_2_4106_4221
+MAKENYILPSSRDGNTQMALLKPYMQTVIKKRSTDPVG
+>DECOY_NM_018451|502419_2_4106_4221
+GVPDTSRKKIVTQMYPKLLAMQTNGDRSSPLIYNEKAM
+>NM_004960|502600_2_123_634
+MGPTPPSPGRAIPSRAVSPTDSRVTVVIASPRTLQAMARAAILLMARARTQAMELSQLPRDMARLAAMAVARAPNRLTGSSPPTLAMASSQLPAAPREVTVAVLRAAAMGSPRVGATASSLAMVDSSKAMDSSKAIIPLRAMDSRTSTTAAVVVEVEVEVEVTMAKINPP
+>DECOY_NM_004960|502600_2_123_634
+PPNIKAMTVEVEVEVEVVVAATTSTRSDMARLPIIAKSSDMAKSSDVMALSSATAGVRPSGMAAARLVAVTVERPAAPLQSSAMALTPPSSGTLRNPARAVAMAALRAMDRPLQSLEMAQTRARAMLLIAARAMAQLTRPSAIVVTVRSDTPSVARSPIARGPSPPTPGM
+>NM_004960|502601_2_231_634
+MARAAILLMARARTQAMELSQLPRDMARLAAMAVARAPNRLTGSSPPTLAMASSQLPAAPREVTVAVLRAAAMGSPRVGATASSLAMVDSSKAMDSSKAIIPLRAMDSRTSTTAAVVVEVEVEVEVTMAKINPP
+>DECOY_NM_004960|502601_2_231_634
+PPNIKAMTVEVEVEVEVVVAATTSTRSDMARLPIIAKSSDMAKSSDVMALSSATAGVRPSGMAAARLVAVTVERPAAPLQSSAMALTPPSSGTLRNPARAVAMAALRAMDRPLQSLEMAQTRARAMLLIAARAM
+>NM_004960|502603_2_279_634
+MELSQLPRDMARLAAMAVARAPNRLTGSSPPTLAMASSQLPAAPREVTVAVLRAAAMGSPRVGATASSLAMVDSSKAMDSSKAIIPLRAMDSRTSTTAAVVVEVEVEVEVTMAKINPP
+>DECOY_NM_004960|502603_2_279_634
+PPNIKAMTVEVEVEVEVVVAATTSTRSDMARLPIIAKSSDMAKSSDVMALSSATAGVRPSGMAAARLVAVTVERPAAPLQSSAMALTPPSSGTLRNPARAVAMAALRAMDRPLQSLEM
+>NM_004960|502604_2_306_634
+MARLAAMAVARAPNRLTGSSPPTLAMASSQLPAAPREVTVAVLRAAAMGSPRVGATASSLAMVDSSKAMDSSKAIIPLRAMDSRTSTTAAVVVEVEVEVEVTMAKINPP
+>DECOY_NM_004960|502604_2_306_634
+PPNIKAMTVEVEVEVEVVVAATTSTRSDMARLPIIAKSSDMAKSSDVMALSSATAGVRPSGMAAARLVAVTVERPAAPLQSSAMALTPPSSGTLRNPARAVAMAALRAM
+>NM_004960|502605_2_324_634
+MAVARAPNRLTGSSPPTLAMASSQLPAAPREVTVAVLRAAAMGSPRVGATASSLAMVDSSKAMDSSKAIIPLRAMDSRTSTTAAVVVEVEVEVEVTMAKINPP
+>DECOY_NM_004960|502605_2_324_634
+PPNIKAMTVEVEVEVEVVVAATTSTRSDMARLPIIAKSSDMAKSSDVMALSSATAGVRPSGMAAARLVAVTVERPAAPLQSSAMALTPPSSGTLRNPARAVAM
+>NM_004960|502606_2_381_634
+MASSQLPAAPREVTVAVLRAAAMGSPRVGATASSLAMVDSSKAMDSSKAIIPLRAMDSRTSTTAAVVVEVEVEVEVTMAKINPP
+>DECOY_NM_004960|502606_2_381_634
+PPNIKAMTVEVEVEVEVVVAATTSTRSDMARLPIIAKSSDMAKSSDVMALSSATAGVRPSGMAAARLVAVTVERPAAPLQSSAM
+>NM_004960|502607_2_447_634
+MGSPRVGATASSLAMVDSSKAMDSSKAIIPLRAMDSRTSTTAAVVVEVEVEVEVTMAKINPP
+>DECOY_NM_004960|502607_2_447_634
+PPNIKAMTVEVEVEVEVVVAATTSTRSDMARLPIIAKSSDMAKSSDVMALSSATAGVRPSGM
+>NM_004960|502608_2_489_634
+MVDSSKAMDSSKAIIPLRAMDSRTSTTAAVVVEVEVEVEVTMAKINPP
+>DECOY_NM_004960|502608_2_489_634
+PPNIKAMTVEVEVEVEVVVAATTSTRSDMARLPIIAKSSDMAKSSDVM
+>NM_004960|502609_2_510_634
+MDSSKAIIPLRAMDSRTSTTAAVVVEVEVEVEVTMAKINPP
+>DECOY_NM_004960|502609_2_510_634
+PPNIKAMTVEVEVEVEVVVAATTSTRSDMARLPIIAKSSDM
+>NM_004960|502610_2_546_634
+MDSRTSTTAAVVVEVEVEVEVTMAKINPP
+>DECOY_NM_004960|502610_2_546_634
+PPNIKAMTVEVEVEVEVVVAATTSTRSDM
+>NM_004960|502611_2_663_1045
+MAIKTRVVEVAAVAMDSRTVEAAAGVAVVAAAAAAVVVTTAAVVAMNPEVVEVAVEAEVAWAEVTVVASINLVALGTKDHVMTPNRIIQTTTPSLCKAWVRMLQLSLWLITSSRLVLLRQTRKRDSP
+>DECOY_NM_004960|502611_2_663_1045
+PSDRKRTQRLLVLRSSTILWLSLQLMRVWAKCLSPTTTQIIRNPTMVHDKTGLAVLNISAVVTVEAWAVEAEVAVEVVEPNMAVVAATTVVVAAAAAAVVAVGAAAEVTRSDMAVAAVEVVRTKIAM
+>NM_004960|502612_2_705_1045
+MDSRTVEAAAGVAVVAAAAAAVVVTTAAVVAMNPEVVEVAVEAEVAWAEVTVVASINLVALGTKDHVMTPNRIIQTTTPSLCKAWVRMLQLSLWLITSSRLVLLRQTRKRDSP
+>DECOY_NM_004960|502612_2_705_1045
+PSDRKRTQRLLVLRSSTILWLSLQLMRVWAKCLSPTTTQIIRNPTMVHDKTGLAVLNISAVVTVEAWAVEAEVAVEVVEPNMAVVAATTVVVAAAAAAVVAVGAAAEVTRSDM
+>NM_004960|502618_2_1224_1390
+MVVEAEGEEDPWAVEAMEVVAVVVVAEEDFPVEVVAVEDSSELVTGSVLIPPVRI
+>DECOY_NM_004960|502618_2_1224_1390
+IRVPPILVSGTVLESSDEVAVVEVPFDEEAVVVVAVVEMAEVAWPDEEGEAEVVM
+>NM_004960|502619_2_1272_1390
+MEVVAVVVVAEEDFPVEVVAVEDSSELVTGSVLIPPVRI
+>DECOY_NM_004960|502619_2_1272_1390
+IRVPPILVSGTVLESSDEVAVVEVPFDEEAVVVVAVVEM
+>NM_001771|502687_2_1182_1342
+MGKKCREGQRRKSTSQRSSPGTLGLIPVWQKTFLVLDRGARELSWMSSILPRR
+>DECOY_NM_001771|502687_2_1182_1342
+RRPLISSMWSLERAGRDLVLFTKQWVPILGLTGPSSRQSTSKRRQGERCKKGM
+>NM_031889|502738_2_771_1111
+MGYSPINNHHGKFHRGYHHQVMDAHQSAMKKGGILTLDILDIMALGVALLIIQKKCLNKILKNPKKKILLKQKVQAQNPQLIQQSLRRILPNQILKGVREEMTPAPQETVPQD
+>DECOY_NM_031889|502738_2_771_1111
+DQPVTEQPAPTMEERVGKLIQNPLIRRLSQQILQPNQAQVKQKLLIKKKPNKLIKNLCKKQIILLAVGLAMIDLIDLTLIGGKKMASQHADMVQHHYGRHFKGHHNNIPSYGM
+>NM_031889|502739_2_834_1111
+MDAHQSAMKKGGILTLDILDIMALGVALLIIQKKCLNKILKNPKKKILLKQKVQAQNPQLIQQSLRRILPNQILKGVREEMTPAPQETVPQD
+>DECOY_NM_031889|502739_2_834_1111
+DQPVTEQPAPTMEERVGKLIQNPLIRRLSQQILQPNQAQVKQKLLIKKKPNKLIKNLCKKQIILLAVGLAMIDLIDLTLIGGKKMASQHADM
+>NM_031889|502741_2_897_1111
+MALGVALLIIQKKCLNKILKNPKKKILLKQKVQAQNPQLIQQSLRRILPNQILKGVREEMTPAPQETVPQD
+>DECOY_NM_031889|502741_2_897_1111
+DQPVTEQPAPTMEERVGKLIQNPLIRRLSQQILQPNQAQVKQKLLIKKKPNKLIKNLCKKQIILLAVGLAM
+>NM_031889|502742_2_1140_1255
+MGLAHSLQSTLQAREGQEVKSHGDQVSQIFVKIIHILI
+>DECOY_NM_031889|502742_2_1140_1255
+ILIHIIKVFIQSVQDGHSKVEQGERAQLTSQLSHALGM
+>NM_031889|502750_2_3258_3466
+MGTTFWNKFLKTTSSMKELLTLLLSSLLLVHLMKAPIQKASKVKSKKMRVRGNSKDHLTFCICHALAPN
+>DECOY_NM_031889|502750_2_3258_3466
+NPALAHCICFTLHDKSNGRVRMKKSKVKSAKQIPAKMLHVLLLSSLLLTLLEKMSSTTKLFKNWFTTGM
+>NM_173620|502888_3_1726_1964
+MVQHIQPAALSLLAQWSTLVQELEAALQLAFYPDAVEEWLEENVHPSLQRLQALLQDLSEVSAPPLPPTSPGRDVAQDP
+>DECOY_NM_173620|502888_3_1726_1964
+PDQAVDRGPSTPPLPPASVESLDQLLAQLRQLSPHVNEELWEEVADPYFALQLAAELEQVLTSWQALLSLAAPQIHQVM
+>NM_001077481|502927_2_885_970
+MEPVLPILQTDLELPREMRLISCKRRWH
+>DECOY_NM_001077481|502927_2_885_970
+HWRRKCSILRMERPLELDTQLIPLVPEM
+>NM_001917|503047_3_704_804
+MGWGATTRPPAAARPGADHEGGRPLDEALHSHP
+>DECOY_NM_001917|503047_3_704_804
+PHSHLAEDLPRGGEHDAGPRAAAPPRTTAGWGM
+>NM_002301|503297_2_365_438
+MAVFSLVLQRLLLEKITVYLQTPE
+>DECOY_NM_002301|503297_2_365_438
+EPTQLYVTIKELLLRQLVLSFVAM
+>NM_018098|503357_2_1220_1479
+METPQSLVLSLLKAPLQFLQSSQQGGKLQKSFIKLKVIMLIYWQQLFSYFKYHWKRKDNVVDLSLHQRRLRLFLVASQISLMYTLR
+>DECOY_NM_018098|503357_2_1220_1479
+RLTYMLSIQSAVLFLRLRRQHLSLDVVNDKRKWHYKFYSFLQQWYILMIVKLKIFSKQLKGGQQSSQLFQLPAKLLSLVLSQPTEM
+>NM_006505|503472_2_504_622
+MVNLAAWPSSTKRRAPAIRSPNGWNSWQPDWARSCGMPR
+>DECOY_NM_006505|503472_2_504_622
+RPMGCSRAWDPQWSNWGNPSRIAPARRKTSSPWAALNVM
+>NM_016578|503586_2_3457_3563
+MDLKMSLLCLMKTQMKVKKIRHLMMTVTLTFVAVD
+>DECOY_NM_016578|503586_2_3457_3563
+DVAVFTLTVTMMLHRIKKVKMQTKMLCLLSMKLDM
+>NM_018996|503821_2_661_761
+MAPMAHSSKALLIRVPLEQGERTVMEVRPECGV
+>DECOY_NM_018996|503821_2_661_761
+VGCEPRVEMVTREGQELPVRILLAKSSHAMPAM
+>NM_018996|503823_2_838_998
+MGEVRIAGVLPTPMLALILTLILMPTQLPGLYLDMKEPWRQATLPVFAVQSVP
+>DECOY_NM_018996|503823_2_838_998
+PVSQVAFVPLTAQRWPEKMDLYLGPLQTPMLILTLILALMPTPLVGAIRVEGM
+>NM_018996|503828_2_1444_1616
+MDPAVLCKRKEVEEMLGIQDLLLVLEYSPGEGAVATMALVISIQELGATPAEAPLTV
+>DECOY_NM_018996|503828_2_1444_1616
+VTLPAEAPTAGLEQISIVLAMTAVAGEGPSYELVLLLDQIGLMEEVEKRKCLVAPDM
+>NM_018996|503832_2_1801_1880
+MVLATTMKEALGGKEREKAEGEIKGL
+>DECOY_NM_018996|503832_2_1801_1880
+LGKIEGEAKEREKGGLAEKMTTALVM
+>NM_018996|503834_2_2020_2093
+MGQRPGVVQLLRLQTQGGRTMGPS
+>DECOY_NM_018996|503834_2_2020_2093
+SPGMTRGGQTQLRLLQVVGPRQGM
+>NM_018996|503836_2_2350_2576
+MDKDQIQPGVQEGEIGQIHRLSLDTWGMGKKMDLDGMLTVIGQGLVGMTPRDLGTVAGATAQIQRPIQVQTGGRL
+>DECOY_NM_018996|503836_2_2350_2576
+LRGGTQVQIPRQIQATAGAVTGLDRPTMGVLGQGIVTLMGDLDMKKGMGWTDLSLRHIQGIEGEQVGPQIQDKDM
+>NM_018996|503837_2_2431_2576
+MGKKMDLDGMLTVIGQGLVGMTPRDLGTVAGATAQIQRPIQVQTGGRL
+>DECOY_NM_018996|503837_2_2431_2576
+LRGGTQVQIPRQIQATAGAVTGLDRPTMGVLGQGIVTLMGDLDMKKGM
+>NM_018996|503838_2_2443_2576
+MDLDGMLTVIGQGLVGMTPRDLGTVAGATAQIQRPIQVQTGGRL
+>DECOY_NM_018996|503838_2_2443_2576
+LRGGTQVQIPRQIQATAGAVTGLDRPTMGVLGQGIVTLMGDLDM
+>NM_018996|503842_2_3085_3257
+MAQQHGGSHPAVAAGGEITLQSRRWHLEELAHLLLPQPCANQLQNLCKKAGAVVGMK
+>DECOY_NM_018996|503842_2_3085_3257
+KMGVVAGAKKCLNQLQNACPQPLLLHALEELHWRRSQLTIEGGAAVAPHSGGHQQAM
+>NM_018996|503845_2_3577_3716
+MEWPPSPSAAARQSPKSLPWTAPPFLTRMAASWKSPRLHRSCLPQA
+>DECOY_NM_018996|503845_2_3577_3716
+AQPLCSRHLRPSKWSAAMRTLFPPATWPLSKPSQRAAASPSPPWEM
+>NM_018996|503854_3_3098_3252
+MGEATQQWQRVGRSPCRAAGGIWKSWRTCCCLSPVQTSFKIYARRLGQWWG
+>DECOY_NM_018996|503854_3_3098_3252
+GWWQGLRRAYIKFSTQVPSLCCCTRWSKWIGGAARCPSRGVRQWQQTAEGM
+>NM_018996|503855_3_4982_5175
+MEGAQKQYCTHEATSRVNQSQALLHLGCQPPRLDQLLLLGFCLEHRHLRKNQQLARSSKPHSPD
+>DECOY_NM_018996|503855_3_4982_5175
+DPSHPKSSRALQQNKRLHRHELCFGLLLLQDLRPPQCGLHLLAQSQNVRSTAEHTCYQKQAGEM
+>NM_002218|503903_2_127_287
+MASTSTASPWTPGSHPDLPTRSSPAEWSIGPILCRRPPSRWSCPRKPSSPTSP
+>DECOY_NM_002218|503903_2_127_287
+PSTPSSPKRPCSWRSPPRRCLIPGISWEAPSSRTPLDPHSGPTWPSATSTSAM
+>NM_002218|503909_2_1333_1421
+MAAWPGASMRTQTLPCSSRTSTRKWPTHC
+>DECOY_NM_002218|503909_2_1333_1421
+CHTPWKRTSTRSSCPLTQTRMSAGPWAAM
+>NM_002218|503916_2_2587_2726
+MAVGRGSGSFCVTLTASPATLEGPLASFTRRCSGDLQQHQMTADAR
+>DECOY_NM_002218|503916_2_2587_2726
+RADATMQHQQLDGSCRRTFSALPGELTAPSATLTVCFSGSGRGVAM
+>NM_031844|504194_2_975_1231
+MAVDILSTLKRTSIAEPNLLSHLLKKKMNTSMTQWFVLILIIVIYILKYQEIVSVLLPLQWRVLLFFGLEEEHPMVCQKAKCVLR
+>DECOY_NM_031844|504194_2_975_1231
+RLVCKAKQCVMPHEEELGFFLLVRWQLPLLVSVIEQYKLIYIVIILILVFWQTMSTNMKKKLLHSLLNPEAISTRKLTSLIDVAM
+>NM_031844|504198_2_1488_1660
+MDKILALPSKSVRKFLLDGHCSRMFSATTVQLNLILVRRKSHIFQYLKSILSSRTSP
+>DECOY_NM_031844|504198_2_1488_1660
+PSTRSSLISKLYQFIHSKRRVLILNLQVTTASFMRSCHGDLLFKRVSKSPLALIKDM
+>NM_080284|504268_2_3122_3195
+MGYFKCLITHNIFELSQAHFLLAT
+>DECOY_NM_080284|504268_2_3122_3195
+TALLFHAQSLEFINHTILCKFYGM
+>NM_080284|504270_3_243_379
+MEDEKRELIGMGPLNTSRTVYCSVFQFHEKCPVSWNGSSESGKGR
+>DECOY_NM_080284|504270_3_243_379
+RGKGSESSGNWSVPCKEHFQFVSCYVTRSTNLPGMGILERKEDEM
+>NM_080284|504271_3_273_379
+MGPLNTSRTVYCSVFQFHEKCPVSWNGSSESGKGR
+>DECOY_NM_080284|504271_3_273_379
+RGKGSESSGNWSVPCKEHFQFVSCYVTRSTNLPGM
+>NM_080284|504272_3_3315_3625
+MDFRPLHFCLLVWAGTSGRQLLHFNSPFNVFNFLHRKHAVPSYYKPNCVCFGYSYSWLCSFSCLLHIYDIIYFSQKEKKQWPLVILLLFCLHHHVFHHFNQSF
+>DECOY_NM_080284|504272_3_3315_3625
+FSQNFHHFVHHHLCFLLLIVLPWQKKEKQSFYIIDYIHLLCSFSCLWSYSYGFCVCNPKYYSPVAHKRHLFNFVNFPSNFHLLQRGSTGAWVLLCFHLPRFDM
+>NM_004656|504372_2_584_672
+MALVQCGPWRRSTLSAMCLSQAGSLSWMG
+>DECOY_NM_004656|504372_2_584_672
+GMWSLSGAQSLCMASLTSRRWPGCQVLAM
+>NM_004656|504373_2_692_804
+MGPGGRTRSGQTRPGGSSWSVSASPLQGSPTTTSAST
+>DECOY_NM_004656|504373_2_692_804
+TSASTTTPSGQLPSASVSWSSGGPRTQGSRTRGGPGM
+>NM_007169|504393_3_281_537
+MGTQDPQAEQGLRIPLPGLLLSKRHHPAPELPALALLHAGHAEPAQDGEPGHPRGLQPGPRAPGTGRRARALQLLCTGVRWNFPR
+>DECOY_NM_007169|504393_3_281_537
+RPFNWRVGTCLLQLARARRGTGPARPGPQLGRPHGPEGDQAPEAHGAHLLALAPLEPAPHHRKSLLLGPLPIRLGQEAQPDQTGM
+>NM_032463|504415_3_671_870
+MARTPGGHGTHKEGQAVAILPQPGGSSIFQVPELQQRKQTRVGGSLHRPHCHGVLQLGAVLEAPRR
+>DECOY_NM_032463|504415_3_671_870
+RRPAELVAGLQLVGHCHPRHLSGGVRTQKRQQLEPVQFISSGGPQPLIAVAQGEKHTGHGGPTRAM
+>NM_005260|504509_2_1432_1532
+MALQFTPWYRTSSMRSWTPQCQDRHVYLPNTAP
+>DECOY_NM_005260|504509_2_1432_1532
+PATNPLYVHRDQCQPTWSRMSSTRYWPTFQLAM
+>NM_005001|504528_2_210_298
+MAAGNLCPLPSSCRRRRRWCQASQQRALL
+>DECOY_NM_005001|504528_2_210_298
+LLARQQSAQCWRRRRRCSSPLPCLNGAAM
+>NM_000682|504533_2_673_1055
+MVGLWPQPNCQPWPLWLLPERSTDTRSPLGRRRRGRPLKILGPGPCHPVGLPFPTQARARRRVFVGHLQRMKLKRRKRRRRRRKSVNPRQCQCLRPQLAAPRCSSHRAPGCWPPYVARCSWAGAWVL
+>DECOY_NM_000682|504533_2_673_1055
+LVWAGAWSCRAVYPPWCGPARHSSCRPAALQPRLCQCQRPNVSKRRRRRRKRRKLKMRQLHGVFVRRRARAQTPFPLGVPHCPGPGLIKLPRGRRRRGLPSRTDTSREPLLWLPWPQCNPQPWLGVM
+>NM_032119|504658_2_3220_3365
+MGRLLQEREISFLLKKEKRSFLRLEVDSRAYPYLLMKMVSRKQMSPFI
+>DECOY_NM_032119|504658_2_3220_3365
+IFPSMQKRSVMKMLLYPYARSDVELRLFSRKEKKLLFSIEREQLLRGM
+>NM_032119|504693_2_12604_12719
+MVPLLSALFEAQGFWGRSQCSGGYSLLPWGNLLKHQEN
+>DECOY_NM_032119|504693_2_12604_12719
+NEQHKLLNGWPLLSYGGSCQSRGWFGQAEFLASLLPVM
+>NM_032119|504723_3_7499_7575
+MDQPSCQQFRLLDLQEKHDQGSISF
+>DECOY_NM_032119|504723_3_7499_7575
+FSISGQDHKEQLDLLRFQQCSPQDM
+>NM_032119|504725_3_18449_18729
+MALGRTTHGLQTLLDVGSLCHFQQSAGTLCFHGLFHFTQPNVLPYEGQLHCGNEWASWTQHSLFHAREWNASCWRGNQQVHPESHRCYGGGAT
+>DECOY_NM_032119|504725_3_18449_18729
+TAGGGYCRHSEPHVQQNGRWCSANWERAHFLSHQTWSAWENGCHLQGEYPLVNPQTFHFLGHFCLTGASQQFHCLSGVDLLTQLGHTTRGLAM
+>NM_170771|504739_2_1140_1249
+MGWRLPCGPAMWGASTGWLRSCSLAWSGPTAGSSGS
+>DECOY_NM_170771|504739_2_1140_1249
+SGSSGATPGSWALSCSRLWGTSAGWMAPGCPLRWGM
+>NM_000778|504757_2_955_1190
+MGASCQTRTSVLRWTRSCLRATTPQPVGSPGSSMLWPHTPSIRRGAGRRSTASWVMEPPSPGTTWTRCPTPPCALRRH
+>DECOY_NM_000778|504757_2_955_1190
+HRRLACPPTPCRTWTTGPSPPEMVWSATSRRGAGRRISPTHPWLMSSGPSGVPQPTTARLCSRTWRLVSTRTQCSAGM
+>NM_033133|504778_2_370_665
+MAPRWCRLTLTRSPPALEEPSPRSTSGSMRTWLPTAAAGTSEFLCLMTPTTNGNGWSSSLKWPTSTSTRWCWWSPRRRGGWTVPSSRRRTSGSCRLMT
+>DECOY_NM_033133|504778_2_370_665
+TMLRCSGSTRRRSSPVTWGGRRRPSWWCWRTSTSTPWKLSSSWGNGNTTPTMLCLFESTGAAATPLWTRMSGSTSRPSPEELAPPSRTLTLRCWRPAM
+>NM_000587|504826_2_232_524
+MAVPRLRLAGGQLLCMGSMEASLVLEMLLKHSPVNLQEDVQQRRDVESVSGAFQVSASANHWFAMGILTVMKTVLMKTDVRTQKGDLPVISINLLLT
+>DECOY_NM_000587|504826_2_232_524
+TLLLNISIVPLDGKQTRVDTKMLVTKMVTLIGMAFWHNASASVQFAGSVSEVDRRQQVDEQLNVPSHKLLMELVLSAEMSGMCLLQGGALRLRPVAM
+>NM_000587|504828_2_286_524
+MEASLVLEMLLKHSPVNLQEDVQQRRDVESVSGAFQVSASANHWFAMGILTVMKTVLMKTDVRTQKGDLPVISINLLLT
+>DECOY_NM_000587|504828_2_286_524
+TLLLNISIVPLDGKQTRVDTKMLVTKMVTLIGMAFWHNASASVQFAGSVSEVDRRQQVDEQLNVPSHKLLMELVLSAEM
+>NM_000587|504830_2_424_524
+MGILTVMKTVLMKTDVRTQKGDLPVISINLLLT
+>DECOY_NM_000587|504830_2_424_524
+TLLLNISIVPLDGKQTRVDTKMLVTKMVTLIGM
+>NM_000587|504832_2_538_650
+MVTMNSLASLGTESSIPKVLVVNVERCLVGMEKISTG
+>DECOY_NM_000587|504832_2_538_650
+GTSIKEMGVLCREVNVVLVKPISSETGLSALSNMTVM
+>NM_000587|504837_2_1498_1589
+MVVWLLLRGPIVCAIANRTHLVRRVSKESS
+>DECOY_NM_000587|504837_2_1498_1589
+SSEKSVRRVLHTRNAIACVIPGRLLLWVVM
+>NM_033225|504884_2_961_1154
+MVHRGTSQLPFAELREPAEEPYAGPAAPSPARTSLQSTRTTRTAPGPFWLSPGTPLRWSSLTFS
+>DECOY_NM_033225|504884_2_961_1154
+SFTLSSWRLPTGPSLWFPGPATRTTRTSQLSTRAPSPAAPGAYPEEAPERLEAFPLQSTGRHVM
+>NM_033225|504885_2_1459_1790
+MVEEQVPTSVGLVQMYSFHVRTITCSRDLKASPVRELQRRSLLGVTTGPSAEREHVDPICVGPAASLPPLIIRFSMKIMHTVCGSSPPPTRTRSSSLPLKSLSWSEAMTP
+>DECOY_NM_033225|504885_2_1459_1790
+PTMAESWSLSKLPLSSSRTRTPPPSSGCVTHMIKMSFRIILPPLSAAPGVCIPDVHEREASPGTTVGLLSRRQLERVPSAKLDRSCTITRVHFSYMQVLGVSTPVQEEVM
+>NM_033225|504894_2_2566_2678
+MALSRPRDPSPLPAYCKTGTWSGAPPCPAVKLHVVDI
+>DECOY_NM_033225|504894_2_2566_2678
+IDVVHLKVAPCPPAGSWTGTKCYAPLPSPDRPRSLAM
+>NM_033225|504895_2_3358_3593
+MEVFPSPLPGSPGRCCLIRSRQACLETSLPSFGLYQTSQFRTRASISHFQNMTWSHVMILESLPSAEELVFTLVWETL
+>DECOY_NM_033225|504895_2_3358_3593
+LTEWVLTFVLEEASPLSELIMVHSWTMNQFHSISARTRFQSTQYLGFSPLSTELCAQRSRILCCRGPSGPLPSPFVEM
+>NM_033225|504898_2_4588_4694
+MAPAMETAERLETPSHSSVTLAISSKDKPKSPVCS
+>DECOY_NM_033225|504898_2_4588_4694
+SCVPSKPKDKSSIALTVSSHSPTELREATEMAPAM
+>NM_033225|504899_2_4600_4694
+METAERLETPSHSSVTLAISSKDKPKSPVCS
+>DECOY_NM_033225|504899_2_4600_4694
+SCVPSKPKDKSSIALTVSSHSPTELREATEM
+>NM_033225|504913_2_8011_8123
+MGCGVTRGSRPRVSRSLAPALKLSSQNMSSGGWFQDP
+>DECOY_NM_033225|504913_2_8011_8123
+PDQFWGGSSMNQSSLKLAPALSRSVRPRSGRTVGCGM
+>NM_033225|504939_3_10178_10329
+MEWDTDRMYTSCLQTARNPGTRGCESHRSSYFRLHLSVHLPSRLFPRRGI
+>DECOY_NM_033225|504939_3_10178_10329
+IGRRPFLRSPLHVSLHLRFYSSRHSECGRTGPNRATQLCSTYMRDTDWEM
+>NM_000732|504948_2_357_565
+MGQIYTRTKNLPCKFIIECARAVWSWIQPPWLASLSLMSLPLCSLLWESSALLDMRLEGCLGLPTHKLC
+>DECOY_NM_000732|504948_2_357_565
+CLKHTPLGLCGELRMDLLASSEWLLSCLPLSMLSLSALWPPQIWSWVARACEIIFKCPLNKTRTYIQGM
+>NM_182643|505012_2_1284_1372
+MAWRRVVFHNIKTKVHQRSRQKMACSVYN
+>DECOY_NM_182643|505012_2_1284_1372
+NYVSCAMKQRSRQHVKTKINHFVVRRWAM
+>NM_001040651|505030_2_357_433
+MGQIYTRTKNLPCKFIIELPTHKLC
+>DECOY_NM_001040651|505030_2_357_433
+CLKHTPLEIIFKCPLNKTRTYIQGM
+>NM_017651|505108_2_3099_3232
+MEHFHYLEYTKVKMPYVPVQNYPIKALFRLMNLSTLKVLQRRCS
+>DECOY_NM_017651|505108_2_3099_3232
+SCRRQLVKLTSLNMLRFLAKIPYNQVPVYPMKVKTYELYHFHEM
+>NM_001086|505252_3_179_276
+MENDVDKRTSENYTKFGYICGAPGTSPFYGFL
+>DECOY_NM_001086|505252_3_179_276
+LFGYFPSTGPAGCIYGFKTYNESTRKDVDNEM
+>NM_003345|505262_3_192_322
+MEERPPIWFRGCPNKKSRWHDEPHELGVRHSRKERDSVGRRLV
+>DECOY_NM_003345|505262_3_192_322
+VLRRGVSDREKRSHRVGLEHPEDHWRSKKNPCGRFWIPPREEM
+>NM_176877|505801_2_1228_1304
+MGRVLELELLAMLEHLIQGKLQGFM
+>DECOY_NM_176877|505801_2_1228_1304
+MFGQLKGQILHELMALLELELVRGM
+>NM_015317|506033_2_120_253
+MDKKAYFLGMMNGERLHGELLTIQCPSLLWYREDLDRVFMETVK
+>DECOY_NM_015317|506033_2_120_253
+KVTEMFVRDLDERYWLLSPCQITLLEGHLREGNMMGLFYAKKDM
+>NM_015317|506042_2_2562_2683
+METMLYKNVSNVFSHSHYSSSLMLSRDKYLCFQLILMAAE
+>DECOY_NM_015317|506042_2_2562_2683
+EAAMLILQFCLYKDRSLMLSSSYHSHSFVNSVNKYLMTEM
+>NM_015317|506044_2_2778_2866
+MAIMLFSMYWNTVDLKTRAKLFPKSGERF
+>DECOY_NM_015317|506044_2_2778_2866
+FREGSKPFLKARTKLDVTNWYMSFLMIAM
+>NM_001025090|506092_2_170_351
+MASSQGTETRASLTPSGASLAVTGVRPSGALARTHTTRQELLTTAPCPRSHTAGPKMKTP
+>DECOY_NM_001025090|506092_2_170_351
+PTKMKPGATHSRPCPATTLLEQRTTHTRALAGSPRVGTVALSAGSPTLSARTETGQSSAM
+>NM_002385|506135_2_170_279
+MASSQGTETRASLTPSGASLAVTGVRPSGALARYPG
+>DECOY_NM_002385|506135_2_170_279
+GPYRALAGSPRVGTVALSAGSPTLSARTETGQSSAM
+>NM_004117|506206_2_825_1021
+MVLERQGSLNLALNLMLSLYMKLHLRASKRPKNPGRWIPKKNWSRLPLSKRREPYTSREANTCRR
+>DECOY_NM_004117|506206_2_825_1021
+RRCTNAERSTYPERRKSLPLRSWNKKPIWRGPNKPRKSARLHLKMYLSLMLNLALNLSGQRELVM
+>NM_004117|506213_3_424_518
+MGHWGGYHEERRDMPFTVQTRICIWLGWQSP
+>DECOY_NM_004117|506213_3_424_518
+PSQWGLWICIRTQVTFPMDRREEHYGGWHGM
+>NM_002135|506329_2_708_934
+MAAPARPRRPPRPASSRPSSLPGMAPSATSRPARLTKACGHGQSSCPKPLGPHSLQPSFPSVLPPAPAPAWPRAP
+>DECOY_NM_002135|506329_2_708_934
+PARPWAPAPAPPLVSPFSPQLSHPGLPKPCSSQGHGCAKTLRAPRSTASPAMGPLSSPRSSAPRPPRRPRAPAAM
+>NM_002135|506330_2_777_934
+MAPSATSRPARLTKACGHGQSSCPKPLGPHSLQPSFPSVLPPAPAPAWPRAP
+>DECOY_NM_002135|506330_2_777_934
+PARPWAPAPAPPLVSPFSPQLSHPGLPKPCSSQGHGCAKTLRAPRSTASPAM
+>NM_002135|506331_2_1149_1312
+MVSAHVRAARASSSAQCRKTPSTSAWLTRTALWTRGGETAASSAASRSAWRWAW
+>DECOY_NM_002135|506331_2_1149_1312
+WAWRWASRSAASSAATEGGRTWLATRTLWASTSPTKRCQASSSARAARVHASVM
+>NM_002135|506337_3_829_1034
+MDRAAAQSLWAPTASSLLFLQSSHRPQPQPGPEPPEVVPLTGHPPAGGGRELFHAYGLPRFGTHFSTP
+>DECOY_NM_002135|506337_3_829_1034
+PTSFHTGFRPLGYAHFLERGGGAPPHGTLPVVEPPEPGPQPQPRHSSQLFLLSSATPAWLSQAAARDM
+>NM_014587|506701_2_743_846
+MGTTMATTQGRPTGRPPRPPPPRRSCSRRAPSRS
+>DECOY_NM_014587|506701_2_743_846
+SRSPARRSCSRRPPPPRPPRGTPRGQTTAMTTGM
+>NM_014587|506702_2_755_846
+MATTQGRPTGRPPRPPPPRRSCSRRAPSRS
+>DECOY_NM_014587|506702_2_755_846
+SRSPARRSCSRRPPPPRPPRGTPRGQTTAM
+>NM_014587|506705_2_1262_1443
+MATCRPPATMVPTLATHPASTSTPASTRRAGPTPHPCSTAWPCRPPTAPPVTGTSRCTPP
+>DECOY_NM_014587|506705_2_1262_1443
+PPTCRSTGTVPPATPPRCPWATSCPHPTPGARRTSAPTSTSAPHTALTPVMTAPPRCTAM
+>NM_014587|506706_2_1289_1443
+MVPTLATHPASTSTPASTRRAGPTPHPCSTAWPCRPPTAPPVTGTSRCTPP
+>DECOY_NM_014587|506706_2_1289_1443
+PPTCRSTGTVPPATPPRCPWATSCPHPTPGARRTSAPTSTSAPHTALTPVM
+>NM_001025091|506729_2_366_499
+MEKRKSSWSVLRSSQCQPVMRRMKYPPQNPAEGRKPRVVMFLQP
+>DECOY_NM_001025091|506729_2_366_499
+PQLFMVVRPKRGEAPNQPPYKMRRMVPQCQSSRLVSWSSKRKEM
+>NM_002673|506780_2_1315_1484
+MGPRWPTSSMMSILTVHSCQWTPWMLIPVAQTTRPAPWPAGSRWKPHQFWSGQGFS
+>DECOY_NM_002673|506780_2_1315_1484
+SFGQGSWFQHPKWRSGAPWPAPRTTQAVPILMWPTWQCSHVTLISMMSSTPWRPGM
+>NM_002673|506784_2_1510_1628
+MDTPSLSWVIVKGSCTGSTWAQGAMATHTPHRASSRGLQ
+>DECOY_NM_002673|506784_2_1510_1628
+QLGRSSARHPTHTAMAGQAWTSGTCSGKVIVWSLSPTDM
+>NM_002673|506787_2_2566_2660
+MDLEPLSLPPLTSDPQPHLRTSWPPRCHRQR
+>DECOY_NM_002673|506787_2_2566_2660
+RQRHCRPPWSTRLHPQPDSTLPPLSLPELDM
+>NM_002673|506788_2_2884_3041
+METQQSLRALPPPSSSRPASTTSMTPPGSGSWKRRPWGQAPAPVWRAFRAPR
+>DECOY_NM_002673|506788_2_2884_3041
+RPARFARWVPAPAQGWPRRKWSGSGPPTMSTTSAPRSSSPPPLARLSQQTEM
+>NM_002673|506794_2_3346_3425
+METAAAAKLPCPSMAVCGVRGSVHVV
+>DECOY_NM_002673|506794_2_3346_3425
+VVHVSGRVGCVAMSPCPLKAAAATEM
+>NM_003057|506834_2_472_569
+MAGCMTRPALPSSLSSTWCVLTPGSWTSFSPV
+>DECOY_NM_003057|506834_2_472_569
+VPSFSTWSGPTLVCWTSSLSSPLAPRTMCGAM
+>NM_213599|506868_2_1077_1183
+MANIGSHQNLPILPMKDTHFTRIGLDFPISTRSSL
+>DECOY_NM_213599|506868_2_1077_1183
+LSSRTSIPFDLGIRTFHTDKMPLIPLNQHSGINAM
+>NM_213599|506882_3_1720_1793
+MDVSCRHQYGSCNCVPPVSLCYIC
+>DECOY_NM_213599|506882_3_1720_1793
+CIYCLSVPPVCNCSGYQHRCSVDM
+>NM_001014342|506895_2_782_1380
+MVVCHVDWRLVGMNQTLLSQELENKSLGLAVQVQETVGGEVMHVVIAIQVGVEGHKMLQVLVSHIDLEGKEINLAIFSQAVSQELREDKAMAVSQEVSPLDVVNLSLTPVVSPIVREDMELEKMVNHRTVEDNGEQAQVSPLAVDNMGLEVASLVVMVNMNMVPVAAFQTLLVQMNFPNVINMGLVQVSLLALNNMEQA
+>DECOY_NM_001014342|506895_2_782_1380
+AQEMNNLALLSVQVLGMNIVNPFNMQVLLTQFAAVPVMNMNVMVVLSAVELGMNDVALPSVQAQEGNDEVTRHNVMKELEMDERVIPSVVPTLSLNVVDLPSVEQSVAMAKDERLEQSVAQSFIALNIEKGELDIHSVLVQLMKHGEVGVQIAIVVHMVEGGVTEQVQVALGLSKNELEQSLLTQNMGVLRWDVHCVVM
+>NM_001014342|506899_2_1052_1380
+MAVSQEVSPLDVVNLSLTPVVSPIVREDMELEKMVNHRTVEDNGEQAQVSPLAVDNMGLEVASLVVMVNMNMVPVAAFQTLLVQMNFPNVINMGLVQVSLLALNNMEQA
+>DECOY_NM_001014342|506899_2_1052_1380
+AQEMNNLALLSVQVLGMNIVNPFNMQVLLTQFAAVPVMNMNVMVVLSAVELGMNDVALPSVQAQEGNDEVTRHNVMKELEMDERVIPSVVPTLSLNVVDLPSVEQSVAM
+>NM_001014342|506900_2_1136_1380
+MELEKMVNHRTVEDNGEQAQVSPLAVDNMGLEVASLVVMVNMNMVPVAAFQTLLVQMNFPNVINMGLVQVSLLALNNMEQA
+>DECOY_NM_001014342|506900_2_1136_1380
+AQEMNNLALLSVQVLGMNIVNPFNMQVLLTQFAAVPVMNMNVMVVLSAVELGMNDVALPSVQAQEGNDEVTRHNVMKELEM
+>NM_001014342|506901_2_1151_1380
+MVNHRTVEDNGEQAQVSPLAVDNMGLEVASLVVMVNMNMVPVAAFQTLLVQMNFPNVINMGLVQVSLLALNNMEQA
+>DECOY_NM_001014342|506901_2_1151_1380
+AQEMNNLALLSVQVLGMNIVNPFNMQVLLTQFAAVPVMNMNVMVVLSAVELGMNDVALPSVQAQEGNDEVTRHNVM
+>NM_001014342|506902_2_1220_1380
+MGLEVASLVVMVNMNMVPVAAFQTLLVQMNFPNVINMGLVQVSLLALNNMEQA
+>DECOY_NM_001014342|506902_2_1220_1380
+AQEMNNLALLSVQVLGMNIVNPFNMQVLLTQFAAVPVMNMNVMVVLSAVELGM
+>NM_001014342|506903_2_1250_1380
+MVNMNMVPVAAFQTLLVQMNFPNVINMGLVQVSLLALNNMEQA
+>DECOY_NM_001014342|506903_2_1250_1380
+AQEMNNLALLSVQVLGMNIVNPFNMQVLLTQFAAVPVMNMNVM
+>NM_001014342|506905_2_1265_1380
+MVPVAAFQTLLVQMNFPNVINMGLVQVSLLALNNMEQA
+>DECOY_NM_001014342|506905_2_1265_1380
+AQEMNNLALLSVQVLGMNIVNPFNMQVLLTQFAAVPVM
+>NM_001014342|506910_2_1478_2244
+MGLAQVRHLALDNMGLAQVSPLALDNVGQAQVSPLALDSMGLSQDNPLVLDSMGLSQDNPLVLDNMSLDHVSLAMANMVLAQVNHLAMANMGLERHLALDNMGWAQVNPLALANMDRAQVSPLALDNMGLAQDNPLALDNMSLDQVSLVMANTVLAQVSHLAMANMGLDRHLALDNMGQAQVNPLALANMDQAQVSPLALDNMFLAQDNPLVLDNMSLDQVILAMANMVLAQVNHLAMVNMGQVQDRHLDLDNTS
+>DECOY_NM_001014342|506910_2_1478_2244
+STNDLDLHRDQVQGMNVMALHNVQALVMNAMALIVQDLSMNDLVLPNDQALFMNDLALPSVQAQDMNALALPNVQAQGMNDLALHRDLGMNAMALHSVQALVTNAMVLSVQDLSMNDLALPNDQALGMNDLALPSVQARDMNALALPNVQAWGMNDLALHRELGMNAMALHNVQALVMNAMALSVHDLSMNDLVLPNDQSLGMSDLVLPNDQSLGMSDLALPSVQAQGVNDLALPSVQALGMNDLALHRVQALGM
+>NM_001014342|506911_2_1517_2244
+MGLAQVSPLALDNVGQAQVSPLALDSMGLSQDNPLVLDSMGLSQDNPLVLDNMSLDHVSLAMANMVLAQVNHLAMANMGLERHLALDNMGWAQVNPLALANMDRAQVSPLALDNMGLAQDNPLALDNMSLDQVSLVMANTVLAQVSHLAMANMGLDRHLALDNMGQAQVNPLALANMDQAQVSPLALDNMFLAQDNPLVLDNMSLDQVILAMANMVLAQVNHLAMVNMGQVQDRHLDLDNTS
+>DECOY_NM_001014342|506911_2_1517_2244
+STNDLDLHRDQVQGMNVMALHNVQALVMNAMALIVQDLSMNDLVLPNDQALFMNDLALPSVQAQDMNALALPNVQAQGMNDLALHRDLGMNAMALHSVQALVTNAMVLSVQDLSMNDLALPNDQALGMNDLALPSVQARDMNALALPNVQAWGMNDLALHRELGMNAMALHNVQALVMNAMALSVHDLSMNDLVLPNDQSLGMSDLVLPNDQSLGMSDLALPSVQAQGVNDLALPSVQALGM
+>NM_001014342|506912_2_1595_2244
+MGLSQDNPLVLDSMGLSQDNPLVLDNMSLDHVSLAMANMVLAQVNHLAMANMGLERHLALDNMGWAQVNPLALANMDRAQVSPLALDNMGLAQDNPLALDNMSLDQVSLVMANTVLAQVSHLAMANMGLDRHLALDNMGQAQVNPLALANMDQAQVSPLALDNMFLAQDNPLVLDNMSLDQVILAMANMVLAQVNHLAMVNMGQVQDRHLDLDNTS
+>DECOY_NM_001014342|506912_2_1595_2244
+STNDLDLHRDQVQGMNVMALHNVQALVMNAMALIVQDLSMNDLVLPNDQALFMNDLALPSVQAQDMNALALPNVQAQGMNDLALHRDLGMNAMALHSVQALVTNAMVLSVQDLSMNDLALPNDQALGMNDLALPSVQARDMNALALPNVQAWGMNDLALHRELGMNAMALHNVQALVMNAMALSVHDLSMNDLVLPNDQSLGMSDLVLPNDQSLGM
+>NM_001014342|506913_2_1634_2244
+MGLSQDNPLVLDNMSLDHVSLAMANMVLAQVNHLAMANMGLERHLALDNMGWAQVNPLALANMDRAQVSPLALDNMGLAQDNPLALDNMSLDQVSLVMANTVLAQVSHLAMANMGLDRHLALDNMGQAQVNPLALANMDQAQVSPLALDNMFLAQDNPLVLDNMSLDQVILAMANMVLAQVNHLAMVNMGQVQDRHLDLDNTS
+>DECOY_NM_001014342|506913_2_1634_2244
+STNDLDLHRDQVQGMNVMALHNVQALVMNAMALIVQDLSMNDLVLPNDQALFMNDLALPSVQAQDMNALALPNVQAQGMNDLALHRDLGMNAMALHSVQALVTNAMVLSVQDLSMNDLALPNDQALGMNDLALPSVQARDMNALALPNVQAWGMNDLALHRELGMNAMALHNVQALVMNAMALSVHDLSMNDLVLPNDQSLGM
+>NM_001014342|506915_2_1700_2244
+MANMVLAQVNHLAMANMGLERHLALDNMGWAQVNPLALANMDRAQVSPLALDNMGLAQDNPLALDNMSLDQVSLVMANTVLAQVSHLAMANMGLDRHLALDNMGQAQVNPLALANMDQAQVSPLALDNMFLAQDNPLVLDNMSLDQVILAMANMVLAQVNHLAMVNMGQVQDRHLDLDNTS
+>DECOY_NM_001014342|506915_2_1700_2244
+STNDLDLHRDQVQGMNVMALHNVQALVMNAMALIVQDLSMNDLVLPNDQALFMNDLALPSVQAQDMNALALPNVQAQGMNDLALHRDLGMNAMALHSVQALVTNAMVLSVQDLSMNDLALPNDQALGMNDLALPSVQARDMNALALPNVQAWGMNDLALHRELGMNAMALHNVQALVMNAM
+>NM_001014342|506916_2_1709_2244
+MVLAQVNHLAMANMGLERHLALDNMGWAQVNPLALANMDRAQVSPLALDNMGLAQDNPLALDNMSLDQVSLVMANTVLAQVSHLAMANMGLDRHLALDNMGQAQVNPLALANMDQAQVSPLALDNMFLAQDNPLVLDNMSLDQVILAMANMVLAQVNHLAMVNMGQVQDRHLDLDNTS
+>DECOY_NM_001014342|506916_2_1709_2244
+STNDLDLHRDQVQGMNVMALHNVQALVMNAMALIVQDLSMNDLVLPNDQALFMNDLALPSVQAQDMNALALPNVQAQGMNDLALHRDLGMNAMALHSVQALVTNAMVLSVQDLSMNDLALPNDQALGMNDLALPSVQARDMNALALPNVQAWGMNDLALHRELGMNAMALHNVQALVM
+>NM_001014342|506917_2_1739_2244
+MANMGLERHLALDNMGWAQVNPLALANMDRAQVSPLALDNMGLAQDNPLALDNMSLDQVSLVMANTVLAQVSHLAMANMGLDRHLALDNMGQAQVNPLALANMDQAQVSPLALDNMFLAQDNPLVLDNMSLDQVILAMANMVLAQVNHLAMVNMGQVQDRHLDLDNTS
+>DECOY_NM_001014342|506917_2_1739_2244
+STNDLDLHRDQVQGMNVMALHNVQALVMNAMALIVQDLSMNDLVLPNDQALFMNDLALPSVQAQDMNALALPNVQAQGMNDLALHRDLGMNAMALHSVQALVTNAMVLSVQDLSMNDLALPNDQALGMNDLALPSVQARDMNALALPNVQAWGMNDLALHRELGMNAM
+>NM_001014342|506918_2_1748_2244
+MGLERHLALDNMGWAQVNPLALANMDRAQVSPLALDNMGLAQDNPLALDNMSLDQVSLVMANTVLAQVSHLAMANMGLDRHLALDNMGQAQVNPLALANMDQAQVSPLALDNMFLAQDNPLVLDNMSLDQVILAMANMVLAQVNHLAMVNMGQVQDRHLDLDNTS
+>DECOY_NM_001014342|506918_2_1748_2244
+STNDLDLHRDQVQGMNVMALHNVQALVMNAMALIVQDLSMNDLVLPNDQALFMNDLALPSVQAQDMNALALPNVQAQGMNDLALHRDLGMNAMALHSVQALVTNAMVLSVQDLSMNDLALPNDQALGMNDLALPSVQARDMNALALPNVQAWGMNDLALHRELGM
+>NM_001014342|506919_2_1781_2244
+MGWAQVNPLALANMDRAQVSPLALDNMGLAQDNPLALDNMSLDQVSLVMANTVLAQVSHLAMANMGLDRHLALDNMGQAQVNPLALANMDQAQVSPLALDNMFLAQDNPLVLDNMSLDQVILAMANMVLAQVNHLAMVNMGQVQDRHLDLDNTS
+>DECOY_NM_001014342|506919_2_1781_2244
+STNDLDLHRDQVQGMNVMALHNVQALVMNAMALIVQDLSMNDLVLPNDQALFMNDLALPSVQAQDMNALALPNVQAQGMNDLALHRDLGMNAMALHSVQALVTNAMVLSVQDLSMNDLALPNDQALGMNDLALPSVQARDMNALALPNVQAWGM
+>NM_001014342|506920_2_1820_2244
+MDRAQVSPLALDNMGLAQDNPLALDNMSLDQVSLVMANTVLAQVSHLAMANMGLDRHLALDNMGQAQVNPLALANMDQAQVSPLALDNMFLAQDNPLVLDNMSLDQVILAMANMVLAQVNHLAMVNMGQVQDRHLDLDNTS
+>DECOY_NM_001014342|506920_2_1820_2244
+STNDLDLHRDQVQGMNVMALHNVQALVMNAMALIVQDLSMNDLVLPNDQALFMNDLALPSVQAQDMNALALPNVQAQGMNDLALHRDLGMNAMALHSVQALVTNAMVLSVQDLSMNDLALPNDQALGMNDLALPSVQARDM
+>NM_001014342|506921_2_1859_2244
+MGLAQDNPLALDNMSLDQVSLVMANTVLAQVSHLAMANMGLDRHLALDNMGQAQVNPLALANMDQAQVSPLALDNMFLAQDNPLVLDNMSLDQVILAMANMVLAQVNHLAMVNMGQVQDRHLDLDNTS
+>DECOY_NM_001014342|506921_2_1859_2244
+STNDLDLHRDQVQGMNVMALHNVQALVMNAMALIVQDLSMNDLVLPNDQALFMNDLALPSVQAQDMNALALPNVQAQGMNDLALHRDLGMNAMALHSVQALVTNAMVLSVQDLSMNDLALPNDQALGM
+>NM_001014342|506923_2_1925_2244
+MANTVLAQVSHLAMANMGLDRHLALDNMGQAQVNPLALANMDQAQVSPLALDNMFLAQDNPLVLDNMSLDQVILAMANMVLAQVNHLAMVNMGQVQDRHLDLDNTS
+>DECOY_NM_001014342|506923_2_1925_2244
+STNDLDLHRDQVQGMNVMALHNVQALVMNAMALIVQDLSMNDLVLPNDQALFMNDLALPSVQAQDMNALALPNVQAQGMNDLALHRDLGMNAMALHSVQALVTNAM
+>NM_001014342|506924_2_1964_2244
+MANMGLDRHLALDNMGQAQVNPLALANMDQAQVSPLALDNMFLAQDNPLVLDNMSLDQVILAMANMVLAQVNHLAMVNMGQVQDRHLDLDNTS
+>DECOY_NM_001014342|506924_2_1964_2244
+STNDLDLHRDQVQGMNVMALHNVQALVMNAMALIVQDLSMNDLVLPNDQALFMNDLALPSVQAQDMNALALPNVQAQGMNDLALHRDLGMNAM
+>NM_001014342|506925_2_1973_2244
+MGLDRHLALDNMGQAQVNPLALANMDQAQVSPLALDNMFLAQDNPLVLDNMSLDQVILAMANMVLAQVNHLAMVNMGQVQDRHLDLDNTS
+>DECOY_NM_001014342|506925_2_1973_2244
+STNDLDLHRDQVQGMNVMALHNVQALVMNAMALIVQDLSMNDLVLPNDQALFMNDLALPSVQAQDMNALALPNVQAQGMNDLALHRDLGM
+>NM_001014342|506926_2_2006_2244
+MGQAQVNPLALANMDQAQVSPLALDNMFLAQDNPLVLDNMSLDQVILAMANMVLAQVNHLAMVNMGQVQDRHLDLDNTS
+>DECOY_NM_001014342|506926_2_2006_2244
+STNDLDLHRDQVQGMNVMALHNVQALVMNAMALIVQDLSMNDLVLPNDQALFMNDLALPSVQAQDMNALALPNVQAQGM
+>NM_001014342|506927_2_2045_2244
+MDQAQVSPLALDNMFLAQDNPLVLDNMSLDQVILAMANMVLAQVNHLAMVNMGQVQDRHLDLDNTS
+>DECOY_NM_001014342|506927_2_2045_2244
+STNDLDLHRDQVQGMNVMALHNVQALVMNAMALIVQDLSMNDLVLPNDQALFMNDLALPSVQAQDM
+>NM_001014342|506930_2_2150_2244
+MANMVLAQVNHLAMVNMGQVQDRHLDLDNTS
+>DECOY_NM_001014342|506930_2_2150_2244
+STNDLDLHRDQVQGMNVMALHNVQALVMNAM
+>NM_001014342|506931_2_2159_2244
+MVLAQVNHLAMVNMGQVQDRHLDLDNTS
+>DECOY_NM_001014342|506931_2_2159_2244
+STNDLDLHRDQVQGMNVMALHNVQALVM
+>NM_001014342|506932_2_2276_3750
+MDQAQVSPLALDNMGLAQDNPLALDNMSLDQVSLAMANTVLAQVSHLAMANMGLDRHLALDNMGQAQVNPLALANMDQAQVSPLALDNMGLAQDNPLALDSMSLDHISPAMANMVLAQVNHLAMVNMGQVRDRHLALDNTGQAQVNTLALDNMDQAQVSPVALDNMGLAQDNTLVLDNMSLDHISLAMANMVLAQVSHLAMVNMGQVQDRLLDLDNTGQAQVNPLALANMDQAQVSPLALDNMSQAQENPLALDSMSLDQVSLIMANMVLAQVSHLAMVNMGLVQDRQLALDNTGQAQANTQALDNMDQAQISPLALDNMGLVQDNPLVLDNMSLDHVSLAMANMVLAQVNHLAMVNMGQIQDRHLDLDNTGQAQVSPLALANMDRAQVSLLALDNMGQAQVNPLALHSMSTDQVSLAMANMVLAPVNHLAVANMSLAQVQPQVLDSMCLAQTISLVLDNIYLTQVSPLDLANMVQAQVNQLAWARVNLNK
+>DECOY_NM_001014342|506932_2_2276_3750
+KNLNVRAWALQNVQAQVMNALDLPSVQTLYINDLVLSITQALCMSDLVQPQVQALSMNAVALHNVPALVMNAMALSVQDTSMSHLALPNVQAQGMNDLALLSVQARDMNALALPSVQAQGTNDLDLHRDQIQGMNVMALHNVQALVMNAMALSVHDLSMNDLVLPNDQVLGMNDLALPSIQAQDMNDLAQTNAQAQGTNDLALQRDQVLGMNVMALHSVQALVMNAMILSVQDLSMSDLALPNEQAQSMNDLALPSVQAQDMNALALPNVQAQGTNDLDLLRDQVQGMNVMALHSVQALVMNAMALSIHDLSMNDLVLTNDQALGMNDLAVPSVQAQDMNDLALTNVQAQGTNDLALHRDRVQGMNVMALHNVQALVMNAMAPSIHDLSMSDLALPNDQALGMNDLALPSVQAQDMNALALPNVQAQGMNDLALHRDLGMNAMALHSVQALVTNAMALSVQDLSMNDLALPNDQALGMNDLALPSVQAQDM
+>NM_001014342|506933_2_2315_3750
+MGLAQDNPLALDNMSLDQVSLAMANTVLAQVSHLAMANMGLDRHLALDNMGQAQVNPLALANMDQAQVSPLALDNMGLAQDNPLALDSMSLDHISPAMANMVLAQVNHLAMVNMGQVRDRHLALDNTGQAQVNTLALDNMDQAQVSPVALDNMGLAQDNTLVLDNMSLDHISLAMANMVLAQVSHLAMVNMGQVQDRLLDLDNTGQAQVNPLALANMDQAQVSPLALDNMSQAQENPLALDSMSLDQVSLIMANMVLAQVSHLAMVNMGLVQDRQLALDNTGQAQANTQALDNMDQAQISPLALDNMGLVQDNPLVLDNMSLDHVSLAMANMVLAQVNHLAMVNMGQIQDRHLDLDNTGQAQVSPLALANMDRAQVSLLALDNMGQAQVNPLALHSMSTDQVSLAMANMVLAPVNHLAVANMSLAQVQPQVLDSMCLAQTISLVLDNIYLTQVSPLDLANMVQAQVNQLAWARVNLNK
+>DECOY_NM_001014342|506933_2_2315_3750
+KNLNVRAWALQNVQAQVMNALDLPSVQTLYINDLVLSITQALCMSDLVQPQVQALSMNAVALHNVPALVMNAMALSVQDTSMSHLALPNVQAQGMNDLALLSVQARDMNALALPSVQAQGTNDLDLHRDQIQGMNVMALHNVQALVMNAMALSVHDLSMNDLVLPNDQVLGMNDLALPSIQAQDMNDLAQTNAQAQGTNDLALQRDQVLGMNVMALHSVQALVMNAMILSVQDLSMSDLALPNEQAQSMNDLALPSVQAQDMNALALPNVQAQGTNDLDLLRDQVQGMNVMALHSVQALVMNAMALSIHDLSMNDLVLTNDQALGMNDLAVPSVQAQDMNDLALTNVQAQGTNDLALHRDRVQGMNVMALHNVQALVMNAMAPSIHDLSMSDLALPNDQALGMNDLALPSVQAQDMNALALPNVQAQGMNDLALHRDLGMNAMALHSVQALVTNAMALSVQDLSMNDLALPNDQALGM
+>NM_001014342|506935_2_2381_3750
+MANTVLAQVSHLAMANMGLDRHLALDNMGQAQVNPLALANMDQAQVSPLALDNMGLAQDNPLALDSMSLDHISPAMANMVLAQVNHLAMVNMGQVRDRHLALDNTGQAQVNTLALDNMDQAQVSPVALDNMGLAQDNTLVLDNMSLDHISLAMANMVLAQVSHLAMVNMGQVQDRLLDLDNTGQAQVNPLALANMDQAQVSPLALDNMSQAQENPLALDSMSLDQVSLIMANMVLAQVSHLAMVNMGLVQDRQLALDNTGQAQANTQALDNMDQAQISPLALDNMGLVQDNPLVLDNMSLDHVSLAMANMVLAQVNHLAMVNMGQIQDRHLDLDNTGQAQVSPLALANMDRAQVSLLALDNMGQAQVNPLALHSMSTDQVSLAMANMVLAPVNHLAVANMSLAQVQPQVLDSMCLAQTISLVLDNIYLTQVSPLDLANMVQAQVNQLAWARVNLNK
+>DECOY_NM_001014342|506935_2_2381_3750
+KNLNVRAWALQNVQAQVMNALDLPSVQTLYINDLVLSITQALCMSDLVQPQVQALSMNAVALHNVPALVMNAMALSVQDTSMSHLALPNVQAQGMNDLALLSVQARDMNALALPSVQAQGTNDLDLHRDQIQGMNVMALHNVQALVMNAMALSVHDLSMNDLVLPNDQVLGMNDLALPSIQAQDMNDLAQTNAQAQGTNDLALQRDQVLGMNVMALHSVQALVMNAMILSVQDLSMSDLALPNEQAQSMNDLALPSVQAQDMNALALPNVQAQGTNDLDLLRDQVQGMNVMALHSVQALVMNAMALSIHDLSMNDLVLTNDQALGMNDLAVPSVQAQDMNDLALTNVQAQGTNDLALHRDRVQGMNVMALHNVQALVMNAMAPSIHDLSMSDLALPNDQALGMNDLALPSVQAQDMNALALPNVQAQGMNDLALHRDLGMNAMALHSVQALVTNAM
+>NM_001014342|506936_2_2420_3750
+MANMGLDRHLALDNMGQAQVNPLALANMDQAQVSPLALDNMGLAQDNPLALDSMSLDHISPAMANMVLAQVNHLAMVNMGQVRDRHLALDNTGQAQVNTLALDNMDQAQVSPVALDNMGLAQDNTLVLDNMSLDHISLAMANMVLAQVSHLAMVNMGQVQDRLLDLDNTGQAQVNPLALANMDQAQVSPLALDNMSQAQENPLALDSMSLDQVSLIMANMVLAQVSHLAMVNMGLVQDRQLALDNTGQAQANTQALDNMDQAQISPLALDNMGLVQDNPLVLDNMSLDHVSLAMANMVLAQVNHLAMVNMGQIQDRHLDLDNTGQAQVSPLALANMDRAQVSLLALDNMGQAQVNPLALHSMSTDQVSLAMANMVLAPVNHLAVANMSLAQVQPQVLDSMCLAQTISLVLDNIYLTQVSPLDLANMVQAQVNQLAWARVNLNK
+>DECOY_NM_001014342|506936_2_2420_3750
+KNLNVRAWALQNVQAQVMNALDLPSVQTLYINDLVLSITQALCMSDLVQPQVQALSMNAVALHNVPALVMNAMALSVQDTSMSHLALPNVQAQGMNDLALLSVQARDMNALALPSVQAQGTNDLDLHRDQIQGMNVMALHNVQALVMNAMALSVHDLSMNDLVLPNDQVLGMNDLALPSIQAQDMNDLAQTNAQAQGTNDLALQRDQVLGMNVMALHSVQALVMNAMILSVQDLSMSDLALPNEQAQSMNDLALPSVQAQDMNALALPNVQAQGTNDLDLLRDQVQGMNVMALHSVQALVMNAMALSIHDLSMNDLVLTNDQALGMNDLAVPSVQAQDMNDLALTNVQAQGTNDLALHRDRVQGMNVMALHNVQALVMNAMAPSIHDLSMSDLALPNDQALGMNDLALPSVQAQDMNALALPNVQAQGMNDLALHRDLGMNAM
+>NM_001014342|506937_2_2429_3750
+MGLDRHLALDNMGQAQVNPLALANMDQAQVSPLALDNMGLAQDNPLALDSMSLDHISPAMANMVLAQVNHLAMVNMGQVRDRHLALDNTGQAQVNTLALDNMDQAQVSPVALDNMGLAQDNTLVLDNMSLDHISLAMANMVLAQVSHLAMVNMGQVQDRLLDLDNTGQAQVNPLALANMDQAQVSPLALDNMSQAQENPLALDSMSLDQVSLIMANMVLAQVSHLAMVNMGLVQDRQLALDNTGQAQANTQALDNMDQAQISPLALDNMGLVQDNPLVLDNMSLDHVSLAMANMVLAQVNHLAMVNMGQIQDRHLDLDNTGQAQVSPLALANMDRAQVSLLALDNMGQAQVNPLALHSMSTDQVSLAMANMVLAPVNHLAVANMSLAQVQPQVLDSMCLAQTISLVLDNIYLTQVSPLDLANMVQAQVNQLAWARVNLNK
+>DECOY_NM_001014342|506937_2_2429_3750
+KNLNVRAWALQNVQAQVMNALDLPSVQTLYINDLVLSITQALCMSDLVQPQVQALSMNAVALHNVPALVMNAMALSVQDTSMSHLALPNVQAQGMNDLALLSVQARDMNALALPSVQAQGTNDLDLHRDQIQGMNVMALHNVQALVMNAMALSVHDLSMNDLVLPNDQVLGMNDLALPSIQAQDMNDLAQTNAQAQGTNDLALQRDQVLGMNVMALHSVQALVMNAMILSVQDLSMSDLALPNEQAQSMNDLALPSVQAQDMNALALPNVQAQGTNDLDLLRDQVQGMNVMALHSVQALVMNAMALSIHDLSMNDLVLTNDQALGMNDLAVPSVQAQDMNDLALTNVQAQGTNDLALHRDRVQGMNVMALHNVQALVMNAMAPSIHDLSMSDLALPNDQALGMNDLALPSVQAQDMNALALPNVQAQGMNDLALHRDLGM
+>NM_001014342|506938_2_2462_3750
+MGQAQVNPLALANMDQAQVSPLALDNMGLAQDNPLALDSMSLDHISPAMANMVLAQVNHLAMVNMGQVRDRHLALDNTGQAQVNTLALDNMDQAQVSPVALDNMGLAQDNTLVLDNMSLDHISLAMANMVLAQVSHLAMVNMGQVQDRLLDLDNTGQAQVNPLALANMDQAQVSPLALDNMSQAQENPLALDSMSLDQVSLIMANMVLAQVSHLAMVNMGLVQDRQLALDNTGQAQANTQALDNMDQAQISPLALDNMGLVQDNPLVLDNMSLDHVSLAMANMVLAQVNHLAMVNMGQIQDRHLDLDNTGQAQVSPLALANMDRAQVSLLALDNMGQAQVNPLALHSMSTDQVSLAMANMVLAPVNHLAVANMSLAQVQPQVLDSMCLAQTISLVLDNIYLTQVSPLDLANMVQAQVNQLAWARVNLNK
+>DECOY_NM_001014342|506938_2_2462_3750
+KNLNVRAWALQNVQAQVMNALDLPSVQTLYINDLVLSITQALCMSDLVQPQVQALSMNAVALHNVPALVMNAMALSVQDTSMSHLALPNVQAQGMNDLALLSVQARDMNALALPSVQAQGTNDLDLHRDQIQGMNVMALHNVQALVMNAMALSVHDLSMNDLVLPNDQVLGMNDLALPSIQAQDMNDLAQTNAQAQGTNDLALQRDQVLGMNVMALHSVQALVMNAMILSVQDLSMSDLALPNEQAQSMNDLALPSVQAQDMNALALPNVQAQGTNDLDLLRDQVQGMNVMALHSVQALVMNAMALSIHDLSMNDLVLTNDQALGMNDLAVPSVQAQDMNDLALTNVQAQGTNDLALHRDRVQGMNVMALHNVQALVMNAMAPSIHDLSMSDLALPNDQALGMNDLALPSVQAQDMNALALPNVQAQGM
+>NM_001014342|506939_2_2501_3750
+MDQAQVSPLALDNMGLAQDNPLALDSMSLDHISPAMANMVLAQVNHLAMVNMGQVRDRHLALDNTGQAQVNTLALDNMDQAQVSPVALDNMGLAQDNTLVLDNMSLDHISLAMANMVLAQVSHLAMVNMGQVQDRLLDLDNTGQAQVNPLALANMDQAQVSPLALDNMSQAQENPLALDSMSLDQVSLIMANMVLAQVSHLAMVNMGLVQDRQLALDNTGQAQANTQALDNMDQAQISPLALDNMGLVQDNPLVLDNMSLDHVSLAMANMVLAQVNHLAMVNMGQIQDRHLDLDNTGQAQVSPLALANMDRAQVSLLALDNMGQAQVNPLALHSMSTDQVSLAMANMVLAPVNHLAVANMSLAQVQPQVLDSMCLAQTISLVLDNIYLTQVSPLDLANMVQAQVNQLAWARVNLNK
+>DECOY_NM_001014342|506939_2_2501_3750
+KNLNVRAWALQNVQAQVMNALDLPSVQTLYINDLVLSITQALCMSDLVQPQVQALSMNAVALHNVPALVMNAMALSVQDTSMSHLALPNVQAQGMNDLALLSVQARDMNALALPSVQAQGTNDLDLHRDQIQGMNVMALHNVQALVMNAMALSVHDLSMNDLVLPNDQVLGMNDLALPSIQAQDMNDLAQTNAQAQGTNDLALQRDQVLGMNVMALHSVQALVMNAMILSVQDLSMSDLALPNEQAQSMNDLALPSVQAQDMNALALPNVQAQGTNDLDLLRDQVQGMNVMALHSVQALVMNAMALSIHDLSMNDLVLTNDQALGMNDLAVPSVQAQDMNDLALTNVQAQGTNDLALHRDRVQGMNVMALHNVQALVMNAMAPSIHDLSMSDLALPNDQALGMNDLALPSVQAQDM
+>NM_001014342|506940_2_2540_3750
+MGLAQDNPLALDSMSLDHISPAMANMVLAQVNHLAMVNMGQVRDRHLALDNTGQAQVNTLALDNMDQAQVSPVALDNMGLAQDNTLVLDNMSLDHISLAMANMVLAQVSHLAMVNMGQVQDRLLDLDNTGQAQVNPLALANMDQAQVSPLALDNMSQAQENPLALDSMSLDQVSLIMANMVLAQVSHLAMVNMGLVQDRQLALDNTGQAQANTQALDNMDQAQISPLALDNMGLVQDNPLVLDNMSLDHVSLAMANMVLAQVNHLAMVNMGQIQDRHLDLDNTGQAQVSPLALANMDRAQVSLLALDNMGQAQVNPLALHSMSTDQVSLAMANMVLAPVNHLAVANMSLAQVQPQVLDSMCLAQTISLVLDNIYLTQVSPLDLANMVQAQVNQLAWARVNLNK
+>DECOY_NM_001014342|506940_2_2540_3750
+KNLNVRAWALQNVQAQVMNALDLPSVQTLYINDLVLSITQALCMSDLVQPQVQALSMNAVALHNVPALVMNAMALSVQDTSMSHLALPNVQAQGMNDLALLSVQARDMNALALPSVQAQGTNDLDLHRDQIQGMNVMALHNVQALVMNAMALSVHDLSMNDLVLPNDQVLGMNDLALPSIQAQDMNDLAQTNAQAQGTNDLALQRDQVLGMNVMALHSVQALVMNAMILSVQDLSMSDLALPNEQAQSMNDLALPSVQAQDMNALALPNVQAQGTNDLDLLRDQVQGMNVMALHSVQALVMNAMALSIHDLSMNDLVLTNDQALGMNDLAVPSVQAQDMNDLALTNVQAQGTNDLALHRDRVQGMNVMALHNVQALVMNAMAPSIHDLSMSDLALPNDQALGM
+>NM_001014342|506942_2_2606_3750
+MANMVLAQVNHLAMVNMGQVRDRHLALDNTGQAQVNTLALDNMDQAQVSPVALDNMGLAQDNTLVLDNMSLDHISLAMANMVLAQVSHLAMVNMGQVQDRLLDLDNTGQAQVNPLALANMDQAQVSPLALDNMSQAQENPLALDSMSLDQVSLIMANMVLAQVSHLAMVNMGLVQDRQLALDNTGQAQANTQALDNMDQAQISPLALDNMGLVQDNPLVLDNMSLDHVSLAMANMVLAQVNHLAMVNMGQIQDRHLDLDNTGQAQVSPLALANMDRAQVSLLALDNMGQAQVNPLALHSMSTDQVSLAMANMVLAPVNHLAVANMSLAQVQPQVLDSMCLAQTISLVLDNIYLTQVSPLDLANMVQAQVNQLAWARVNLNK
+>DECOY_NM_001014342|506942_2_2606_3750
+KNLNVRAWALQNVQAQVMNALDLPSVQTLYINDLVLSITQALCMSDLVQPQVQALSMNAVALHNVPALVMNAMALSVQDTSMSHLALPNVQAQGMNDLALLSVQARDMNALALPSVQAQGTNDLDLHRDQIQGMNVMALHNVQALVMNAMALSVHDLSMNDLVLPNDQVLGMNDLALPSIQAQDMNDLAQTNAQAQGTNDLALQRDQVLGMNVMALHSVQALVMNAMILSVQDLSMSDLALPNEQAQSMNDLALPSVQAQDMNALALPNVQAQGTNDLDLLRDQVQGMNVMALHSVQALVMNAMALSIHDLSMNDLVLTNDQALGMNDLAVPSVQAQDMNDLALTNVQAQGTNDLALHRDRVQGMNVMALHNVQALVMNAM
+>NM_001014342|506943_2_2615_3750
+MVLAQVNHLAMVNMGQVRDRHLALDNTGQAQVNTLALDNMDQAQVSPVALDNMGLAQDNTLVLDNMSLDHISLAMANMVLAQVSHLAMVNMGQVQDRLLDLDNTGQAQVNPLALANMDQAQVSPLALDNMSQAQENPLALDSMSLDQVSLIMANMVLAQVSHLAMVNMGLVQDRQLALDNTGQAQANTQALDNMDQAQISPLALDNMGLVQDNPLVLDNMSLDHVSLAMANMVLAQVNHLAMVNMGQIQDRHLDLDNTGQAQVSPLALANMDRAQVSLLALDNMGQAQVNPLALHSMSTDQVSLAMANMVLAPVNHLAVANMSLAQVQPQVLDSMCLAQTISLVLDNIYLTQVSPLDLANMVQAQVNQLAWARVNLNK
+>DECOY_NM_001014342|506943_2_2615_3750
+KNLNVRAWALQNVQAQVMNALDLPSVQTLYINDLVLSITQALCMSDLVQPQVQALSMNAVALHNVPALVMNAMALSVQDTSMSHLALPNVQAQGMNDLALLSVQARDMNALALPSVQAQGTNDLDLHRDQIQGMNVMALHNVQALVMNAMALSVHDLSMNDLVLPNDQVLGMNDLALPSIQAQDMNDLAQTNAQAQGTNDLALQRDQVLGMNVMALHSVQALVMNAMILSVQDLSMSDLALPNEQAQSMNDLALPSVQAQDMNALALPNVQAQGTNDLDLLRDQVQGMNVMALHSVQALVMNAMALSIHDLSMNDLVLTNDQALGMNDLAVPSVQAQDMNDLALTNVQAQGTNDLALHRDRVQGMNVMALHNVQALVM
+>NM_001014342|506944_2_2645_3750
+MVNMGQVRDRHLALDNTGQAQVNTLALDNMDQAQVSPVALDNMGLAQDNTLVLDNMSLDHISLAMANMVLAQVSHLAMVNMGQVQDRLLDLDNTGQAQVNPLALANMDQAQVSPLALDNMSQAQENPLALDSMSLDQVSLIMANMVLAQVSHLAMVNMGLVQDRQLALDNTGQAQANTQALDNMDQAQISPLALDNMGLVQDNPLVLDNMSLDHVSLAMANMVLAQVNHLAMVNMGQIQDRHLDLDNTGQAQVSPLALANMDRAQVSLLALDNMGQAQVNPLALHSMSTDQVSLAMANMVLAPVNHLAVANMSLAQVQPQVLDSMCLAQTISLVLDNIYLTQVSPLDLANMVQAQVNQLAWARVNLNK
+>DECOY_NM_001014342|506944_2_2645_3750
+KNLNVRAWALQNVQAQVMNALDLPSVQTLYINDLVLSITQALCMSDLVQPQVQALSMNAVALHNVPALVMNAMALSVQDTSMSHLALPNVQAQGMNDLALLSVQARDMNALALPSVQAQGTNDLDLHRDQIQGMNVMALHNVQALVMNAMALSVHDLSMNDLVLPNDQVLGMNDLALPSIQAQDMNDLAQTNAQAQGTNDLALQRDQVLGMNVMALHSVQALVMNAMILSVQDLSMSDLALPNEQAQSMNDLALPSVQAQDMNALALPNVQAQGTNDLDLLRDQVQGMNVMALHSVQALVMNAMALSIHDLSMNDLVLTNDQALGMNDLAVPSVQAQDMNDLALTNVQAQGTNDLALHRDRVQGMNVM
+>NM_001014342|506945_2_2654_3750
+MGQVRDRHLALDNTGQAQVNTLALDNMDQAQVSPVALDNMGLAQDNTLVLDNMSLDHISLAMANMVLAQVSHLAMVNMGQVQDRLLDLDNTGQAQVNPLALANMDQAQVSPLALDNMSQAQENPLALDSMSLDQVSLIMANMVLAQVSHLAMVNMGLVQDRQLALDNTGQAQANTQALDNMDQAQISPLALDNMGLVQDNPLVLDNMSLDHVSLAMANMVLAQVNHLAMVNMGQIQDRHLDLDNTGQAQVSPLALANMDRAQVSLLALDNMGQAQVNPLALHSMSTDQVSLAMANMVLAPVNHLAVANMSLAQVQPQVLDSMCLAQTISLVLDNIYLTQVSPLDLANMVQAQVNQLAWARVNLNK
+>DECOY_NM_001014342|506945_2_2654_3750
+KNLNVRAWALQNVQAQVMNALDLPSVQTLYINDLVLSITQALCMSDLVQPQVQALSMNAVALHNVPALVMNAMALSVQDTSMSHLALPNVQAQGMNDLALLSVQARDMNALALPSVQAQGTNDLDLHRDQIQGMNVMALHNVQALVMNAMALSVHDLSMNDLVLPNDQVLGMNDLALPSIQAQDMNDLAQTNAQAQGTNDLALQRDQVLGMNVMALHSVQALVMNAMILSVQDLSMSDLALPNEQAQSMNDLALPSVQAQDMNALALPNVQAQGTNDLDLLRDQVQGMNVMALHSVQALVMNAMALSIHDLSMNDLVLTNDQALGMNDLAVPSVQAQDMNDLALTNVQAQGTNDLALHRDRVQGM
+>NM_001014342|506946_2_2732_3750
+MDQAQVSPVALDNMGLAQDNTLVLDNMSLDHISLAMANMVLAQVSHLAMVNMGQVQDRLLDLDNTGQAQVNPLALANMDQAQVSPLALDNMSQAQENPLALDSMSLDQVSLIMANMVLAQVSHLAMVNMGLVQDRQLALDNTGQAQANTQALDNMDQAQISPLALDNMGLVQDNPLVLDNMSLDHVSLAMANMVLAQVNHLAMVNMGQIQDRHLDLDNTGQAQVSPLALANMDRAQVSLLALDNMGQAQVNPLALHSMSTDQVSLAMANMVLAPVNHLAVANMSLAQVQPQVLDSMCLAQTISLVLDNIYLTQVSPLDLANMVQAQVNQLAWARVNLNK
+>DECOY_NM_001014342|506946_2_2732_3750
+KNLNVRAWALQNVQAQVMNALDLPSVQTLYINDLVLSITQALCMSDLVQPQVQALSMNAVALHNVPALVMNAMALSVQDTSMSHLALPNVQAQGMNDLALLSVQARDMNALALPSVQAQGTNDLDLHRDQIQGMNVMALHNVQALVMNAMALSVHDLSMNDLVLPNDQVLGMNDLALPSIQAQDMNDLAQTNAQAQGTNDLALQRDQVLGMNVMALHSVQALVMNAMILSVQDLSMSDLALPNEQAQSMNDLALPSVQAQDMNALALPNVQAQGTNDLDLLRDQVQGMNVMALHSVQALVMNAMALSIHDLSMNDLVLTNDQALGMNDLAVPSVQAQDM
+>NM_001014342|506947_2_2771_3750
+MGLAQDNTLVLDNMSLDHISLAMANMVLAQVSHLAMVNMGQVQDRLLDLDNTGQAQVNPLALANMDQAQVSPLALDNMSQAQENPLALDSMSLDQVSLIMANMVLAQVSHLAMVNMGLVQDRQLALDNTGQAQANTQALDNMDQAQISPLALDNMGLVQDNPLVLDNMSLDHVSLAMANMVLAQVNHLAMVNMGQIQDRHLDLDNTGQAQVSPLALANMDRAQVSLLALDNMGQAQVNPLALHSMSTDQVSLAMANMVLAPVNHLAVANMSLAQVQPQVLDSMCLAQTISLVLDNIYLTQVSPLDLANMVQAQVNQLAWARVNLNK
+>DECOY_NM_001014342|506947_2_2771_3750
+KNLNVRAWALQNVQAQVMNALDLPSVQTLYINDLVLSITQALCMSDLVQPQVQALSMNAVALHNVPALVMNAMALSVQDTSMSHLALPNVQAQGMNDLALLSVQARDMNALALPSVQAQGTNDLDLHRDQIQGMNVMALHNVQALVMNAMALSVHDLSMNDLVLPNDQVLGMNDLALPSIQAQDMNDLAQTNAQAQGTNDLALQRDQVLGMNVMALHSVQALVMNAMILSVQDLSMSDLALPNEQAQSMNDLALPSVQAQDMNALALPNVQAQGTNDLDLLRDQVQGMNVMALHSVQALVMNAMALSIHDLSMNDLVLTNDQALGM
+>NM_001014342|506949_2_2837_3750
+MANMVLAQVSHLAMVNMGQVQDRLLDLDNTGQAQVNPLALANMDQAQVSPLALDNMSQAQENPLALDSMSLDQVSLIMANMVLAQVSHLAMVNMGLVQDRQLALDNTGQAQANTQALDNMDQAQISPLALDNMGLVQDNPLVLDNMSLDHVSLAMANMVLAQVNHLAMVNMGQIQDRHLDLDNTGQAQVSPLALANMDRAQVSLLALDNMGQAQVNPLALHSMSTDQVSLAMANMVLAPVNHLAVANMSLAQVQPQVLDSMCLAQTISLVLDNIYLTQVSPLDLANMVQAQVNQLAWARVNLNK
+>DECOY_NM_001014342|506949_2_2837_3750
+KNLNVRAWALQNVQAQVMNALDLPSVQTLYINDLVLSITQALCMSDLVQPQVQALSMNAVALHNVPALVMNAMALSVQDTSMSHLALPNVQAQGMNDLALLSVQARDMNALALPSVQAQGTNDLDLHRDQIQGMNVMALHNVQALVMNAMALSVHDLSMNDLVLPNDQVLGMNDLALPSIQAQDMNDLAQTNAQAQGTNDLALQRDQVLGMNVMALHSVQALVMNAMILSVQDLSMSDLALPNEQAQSMNDLALPSVQAQDMNALALPNVQAQGTNDLDLLRDQVQGMNVMALHSVQALVMNAM
+>NM_001014342|506950_2_2846_3750
+MVLAQVSHLAMVNMGQVQDRLLDLDNTGQAQVNPLALANMDQAQVSPLALDNMSQAQENPLALDSMSLDQVSLIMANMVLAQVSHLAMVNMGLVQDRQLALDNTGQAQANTQALDNMDQAQISPLALDNMGLVQDNPLVLDNMSLDHVSLAMANMVLAQVNHLAMVNMGQIQDRHLDLDNTGQAQVSPLALANMDRAQVSLLALDNMGQAQVNPLALHSMSTDQVSLAMANMVLAPVNHLAVANMSLAQVQPQVLDSMCLAQTISLVLDNIYLTQVSPLDLANMVQAQVNQLAWARVNLNK
+>DECOY_NM_001014342|506950_2_2846_3750
+KNLNVRAWALQNVQAQVMNALDLPSVQTLYINDLVLSITQALCMSDLVQPQVQALSMNAVALHNVPALVMNAMALSVQDTSMSHLALPNVQAQGMNDLALLSVQARDMNALALPSVQAQGTNDLDLHRDQIQGMNVMALHNVQALVMNAMALSVHDLSMNDLVLPNDQVLGMNDLALPSIQAQDMNDLAQTNAQAQGTNDLALQRDQVLGMNVMALHSVQALVMNAMILSVQDLSMSDLALPNEQAQSMNDLALPSVQAQDMNALALPNVQAQGTNDLDLLRDQVQGMNVMALHSVQALVM
+>NM_001014342|506951_2_2876_3750
+MVNMGQVQDRLLDLDNTGQAQVNPLALANMDQAQVSPLALDNMSQAQENPLALDSMSLDQVSLIMANMVLAQVSHLAMVNMGLVQDRQLALDNTGQAQANTQALDNMDQAQISPLALDNMGLVQDNPLVLDNMSLDHVSLAMANMVLAQVNHLAMVNMGQIQDRHLDLDNTGQAQVSPLALANMDRAQVSLLALDNMGQAQVNPLALHSMSTDQVSLAMANMVLAPVNHLAVANMSLAQVQPQVLDSMCLAQTISLVLDNIYLTQVSPLDLANMVQAQVNQLAWARVNLNK
+>DECOY_NM_001014342|506951_2_2876_3750
+KNLNVRAWALQNVQAQVMNALDLPSVQTLYINDLVLSITQALCMSDLVQPQVQALSMNAVALHNVPALVMNAMALSVQDTSMSHLALPNVQAQGMNDLALLSVQARDMNALALPSVQAQGTNDLDLHRDQIQGMNVMALHNVQALVMNAMALSVHDLSMNDLVLPNDQVLGMNDLALPSIQAQDMNDLAQTNAQAQGTNDLALQRDQVLGMNVMALHSVQALVMNAMILSVQDLSMSDLALPNEQAQSMNDLALPSVQAQDMNALALPNVQAQGTNDLDLLRDQVQGMNVM
+>NM_001014342|506952_2_2885_3750
+MGQVQDRLLDLDNTGQAQVNPLALANMDQAQVSPLALDNMSQAQENPLALDSMSLDQVSLIMANMVLAQVSHLAMVNMGLVQDRQLALDNTGQAQANTQALDNMDQAQISPLALDNMGLVQDNPLVLDNMSLDHVSLAMANMVLAQVNHLAMVNMGQIQDRHLDLDNTGQAQVSPLALANMDRAQVSLLALDNMGQAQVNPLALHSMSTDQVSLAMANMVLAPVNHLAVANMSLAQVQPQVLDSMCLAQTISLVLDNIYLTQVSPLDLANMVQAQVNQLAWARVNLNK
+>DECOY_NM_001014342|506952_2_2885_3750
+KNLNVRAWALQNVQAQVMNALDLPSVQTLYINDLVLSITQALCMSDLVQPQVQALSMNAVALHNVPALVMNAMALSVQDTSMSHLALPNVQAQGMNDLALLSVQARDMNALALPSVQAQGTNDLDLHRDQIQGMNVMALHNVQALVMNAMALSVHDLSMNDLVLPNDQVLGMNDLALPSIQAQDMNDLAQTNAQAQGTNDLALQRDQVLGMNVMALHSVQALVMNAMILSVQDLSMSDLALPNEQAQSMNDLALPSVQAQDMNALALPNVQAQGTNDLDLLRDQVQGM
+>NM_001014342|506953_2_2963_3750
+MDQAQVSPLALDNMSQAQENPLALDSMSLDQVSLIMANMVLAQVSHLAMVNMGLVQDRQLALDNTGQAQANTQALDNMDQAQISPLALDNMGLVQDNPLVLDNMSLDHVSLAMANMVLAQVNHLAMVNMGQIQDRHLDLDNTGQAQVSPLALANMDRAQVSLLALDNMGQAQVNPLALHSMSTDQVSLAMANMVLAPVNHLAVANMSLAQVQPQVLDSMCLAQTISLVLDNIYLTQVSPLDLANMVQAQVNQLAWARVNLNK
+>DECOY_NM_001014342|506953_2_2963_3750
+KNLNVRAWALQNVQAQVMNALDLPSVQTLYINDLVLSITQALCMSDLVQPQVQALSMNAVALHNVPALVMNAMALSVQDTSMSHLALPNVQAQGMNDLALLSVQARDMNALALPSVQAQGTNDLDLHRDQIQGMNVMALHNVQALVMNAMALSVHDLSMNDLVLPNDQVLGMNDLALPSIQAQDMNDLAQTNAQAQGTNDLALQRDQVLGMNVMALHSVQALVMNAMILSVQDLSMSDLALPNEQAQSMNDLALPSVQAQDM
+>NM_001014342|506956_2_3068_3750
+MANMVLAQVSHLAMVNMGLVQDRQLALDNTGQAQANTQALDNMDQAQISPLALDNMGLVQDNPLVLDNMSLDHVSLAMANMVLAQVNHLAMVNMGQIQDRHLDLDNTGQAQVSPLALANMDRAQVSLLALDNMGQAQVNPLALHSMSTDQVSLAMANMVLAPVNHLAVANMSLAQVQPQVLDSMCLAQTISLVLDNIYLTQVSPLDLANMVQAQVNQLAWARVNLNK
+>DECOY_NM_001014342|506956_2_3068_3750
+KNLNVRAWALQNVQAQVMNALDLPSVQTLYINDLVLSITQALCMSDLVQPQVQALSMNAVALHNVPALVMNAMALSVQDTSMSHLALPNVQAQGMNDLALLSVQARDMNALALPSVQAQGTNDLDLHRDQIQGMNVMALHNVQALVMNAMALSVHDLSMNDLVLPNDQVLGMNDLALPSIQAQDMNDLAQTNAQAQGTNDLALQRDQVLGMNVMALHSVQALVMNAM
+>NM_001014342|506957_2_3077_3750
+MVLAQVSHLAMVNMGLVQDRQLALDNTGQAQANTQALDNMDQAQISPLALDNMGLVQDNPLVLDNMSLDHVSLAMANMVLAQVNHLAMVNMGQIQDRHLDLDNTGQAQVSPLALANMDRAQVSLLALDNMGQAQVNPLALHSMSTDQVSLAMANMVLAPVNHLAVANMSLAQVQPQVLDSMCLAQTISLVLDNIYLTQVSPLDLANMVQAQVNQLAWARVNLNK
+>DECOY_NM_001014342|506957_2_3077_3750
+KNLNVRAWALQNVQAQVMNALDLPSVQTLYINDLVLSITQALCMSDLVQPQVQALSMNAVALHNVPALVMNAMALSVQDTSMSHLALPNVQAQGMNDLALLSVQARDMNALALPSVQAQGTNDLDLHRDQIQGMNVMALHNVQALVMNAMALSVHDLSMNDLVLPNDQVLGMNDLALPSIQAQDMNDLAQTNAQAQGTNDLALQRDQVLGMNVMALHSVQALVM
+>NM_001014342|506958_2_3107_3750
+MVNMGLVQDRQLALDNTGQAQANTQALDNMDQAQISPLALDNMGLVQDNPLVLDNMSLDHVSLAMANMVLAQVNHLAMVNMGQIQDRHLDLDNTGQAQVSPLALANMDRAQVSLLALDNMGQAQVNPLALHSMSTDQVSLAMANMVLAPVNHLAVANMSLAQVQPQVLDSMCLAQTISLVLDNIYLTQVSPLDLANMVQAQVNQLAWARVNLNK
+>DECOY_NM_001014342|506958_2_3107_3750
+KNLNVRAWALQNVQAQVMNALDLPSVQTLYINDLVLSITQALCMSDLVQPQVQALSMNAVALHNVPALVMNAMALSVQDTSMSHLALPNVQAQGMNDLALLSVQARDMNALALPSVQAQGTNDLDLHRDQIQGMNVMALHNVQALVMNAMALSVHDLSMNDLVLPNDQVLGMNDLALPSIQAQDMNDLAQTNAQAQGTNDLALQRDQVLGMNVM
+>NM_001014342|506959_2_3116_3750
+MGLVQDRQLALDNTGQAQANTQALDNMDQAQISPLALDNMGLVQDNPLVLDNMSLDHVSLAMANMVLAQVNHLAMVNMGQIQDRHLDLDNTGQAQVSPLALANMDRAQVSLLALDNMGQAQVNPLALHSMSTDQVSLAMANMVLAPVNHLAVANMSLAQVQPQVLDSMCLAQTISLVLDNIYLTQVSPLDLANMVQAQVNQLAWARVNLNK
+>DECOY_NM_001014342|506959_2_3116_3750
+KNLNVRAWALQNVQAQVMNALDLPSVQTLYINDLVLSITQALCMSDLVQPQVQALSMNAVALHNVPALVMNAMALSVQDTSMSHLALPNVQAQGMNDLALLSVQARDMNALALPSVQAQGTNDLDLHRDQIQGMNVMALHNVQALVMNAMALSVHDLSMNDLVLPNDQVLGMNDLALPSIQAQDMNDLAQTNAQAQGTNDLALQRDQVLGM
+>NM_001014342|506960_2_3194_3750
+MDQAQISPLALDNMGLVQDNPLVLDNMSLDHVSLAMANMVLAQVNHLAMVNMGQIQDRHLDLDNTGQAQVSPLALANMDRAQVSLLALDNMGQAQVNPLALHSMSTDQVSLAMANMVLAPVNHLAVANMSLAQVQPQVLDSMCLAQTISLVLDNIYLTQVSPLDLANMVQAQVNQLAWARVNLNK
+>DECOY_NM_001014342|506960_2_3194_3750
+KNLNVRAWALQNVQAQVMNALDLPSVQTLYINDLVLSITQALCMSDLVQPQVQALSMNAVALHNVPALVMNAMALSVQDTSMSHLALPNVQAQGMNDLALLSVQARDMNALALPSVQAQGTNDLDLHRDQIQGMNVMALHNVQALVMNAMALSVHDLSMNDLVLPNDQVLGMNDLALPSIQAQDM
+>NM_001014342|506961_2_3233_3750
+MGLVQDNPLVLDNMSLDHVSLAMANMVLAQVNHLAMVNMGQIQDRHLDLDNTGQAQVSPLALANMDRAQVSLLALDNMGQAQVNPLALHSMSTDQVSLAMANMVLAPVNHLAVANMSLAQVQPQVLDSMCLAQTISLVLDNIYLTQVSPLDLANMVQAQVNQLAWARVNLNK
+>DECOY_NM_001014342|506961_2_3233_3750
+KNLNVRAWALQNVQAQVMNALDLPSVQTLYINDLVLSITQALCMSDLVQPQVQALSMNAVALHNVPALVMNAMALSVQDTSMSHLALPNVQAQGMNDLALLSVQARDMNALALPSVQAQGTNDLDLHRDQIQGMNVMALHNVQALVMNAMALSVHDLSMNDLVLPNDQVLGM
+>NM_001014342|506963_2_3299_3750
+MANMVLAQVNHLAMVNMGQIQDRHLDLDNTGQAQVSPLALANMDRAQVSLLALDNMGQAQVNPLALHSMSTDQVSLAMANMVLAPVNHLAVANMSLAQVQPQVLDSMCLAQTISLVLDNIYLTQVSPLDLANMVQAQVNQLAWARVNLNK
+>DECOY_NM_001014342|506963_2_3299_3750
+KNLNVRAWALQNVQAQVMNALDLPSVQTLYINDLVLSITQALCMSDLVQPQVQALSMNAVALHNVPALVMNAMALSVQDTSMSHLALPNVQAQGMNDLALLSVQARDMNALALPSVQAQGTNDLDLHRDQIQGMNVMALHNVQALVMNAM
+>NM_001014342|506964_2_3308_3750
+MVLAQVNHLAMVNMGQIQDRHLDLDNTGQAQVSPLALANMDRAQVSLLALDNMGQAQVNPLALHSMSTDQVSLAMANMVLAPVNHLAVANMSLAQVQPQVLDSMCLAQTISLVLDNIYLTQVSPLDLANMVQAQVNQLAWARVNLNK
+>DECOY_NM_001014342|506964_2_3308_3750
+KNLNVRAWALQNVQAQVMNALDLPSVQTLYINDLVLSITQALCMSDLVQPQVQALSMNAVALHNVPALVMNAMALSVQDTSMSHLALPNVQAQGMNDLALLSVQARDMNALALPSVQAQGTNDLDLHRDQIQGMNVMALHNVQALVM
+>NM_001014342|506965_2_3338_3750
+MVNMGQIQDRHLDLDNTGQAQVSPLALANMDRAQVSLLALDNMGQAQVNPLALHSMSTDQVSLAMANMVLAPVNHLAVANMSLAQVQPQVLDSMCLAQTISLVLDNIYLTQVSPLDLANMVQAQVNQLAWARVNLNK
+>DECOY_NM_001014342|506965_2_3338_3750
+KNLNVRAWALQNVQAQVMNALDLPSVQTLYINDLVLSITQALCMSDLVQPQVQALSMNAVALHNVPALVMNAMALSVQDTSMSHLALPNVQAQGMNDLALLSVQARDMNALALPSVQAQGTNDLDLHRDQIQGMNVM
+>NM_001014342|506966_2_3347_3750
+MGQIQDRHLDLDNTGQAQVSPLALANMDRAQVSLLALDNMGQAQVNPLALHSMSTDQVSLAMANMVLAPVNHLAVANMSLAQVQPQVLDSMCLAQTISLVLDNIYLTQVSPLDLANMVQAQVNQLAWARVNLNK
+>DECOY_NM_001014342|506966_2_3347_3750
+KNLNVRAWALQNVQAQVMNALDLPSVQTLYINDLVLSITQALCMSDLVQPQVQALSMNAVALHNVPALVMNAMALSVQDTSMSHLALPNVQAQGMNDLALLSVQARDMNALALPSVQAQGTNDLDLHRDQIQGM
+>NM_001014342|506967_2_3425_3750
+MDRAQVSLLALDNMGQAQVNPLALHSMSTDQVSLAMANMVLAPVNHLAVANMSLAQVQPQVLDSMCLAQTISLVLDNIYLTQVSPLDLANMVQAQVNQLAWARVNLNK
+>DECOY_NM_001014342|506967_2_3425_3750
+KNLNVRAWALQNVQAQVMNALDLPSVQTLYINDLVLSITQALCMSDLVQPQVQALSMNAVALHNVPALVMNAMALSVQDTSMSHLALPNVQAQGMNDLALLSVQARDM
+>NM_001014342|506968_2_3464_3750
+MGQAQVNPLALHSMSTDQVSLAMANMVLAPVNHLAVANMSLAQVQPQVLDSMCLAQTISLVLDNIYLTQVSPLDLANMVQAQVNQLAWARVNLNK
+>DECOY_NM_001014342|506968_2_3464_3750
+KNLNVRAWALQNVQAQVMNALDLPSVQTLYINDLVLSITQALCMSDLVQPQVQALSMNAVALHNVPALVMNAMALSVQDTSMSHLALPNVQAQGM
+>NM_001014342|506970_2_3530_3750
+MANMVLAPVNHLAVANMSLAQVQPQVLDSMCLAQTISLVLDNIYLTQVSPLDLANMVQAQVNQLAWARVNLNK
+>DECOY_NM_001014342|506970_2_3530_3750
+KNLNVRAWALQNVQAQVMNALDLPSVQTLYINDLVLSITQALCMSDLVQPQVQALSMNAVALHNVPALVMNAM
+>NM_001014342|506971_2_3539_3750
+MVLAPVNHLAVANMSLAQVQPQVLDSMCLAQTISLVLDNIYLTQVSPLDLANMVQAQVNQLAWARVNLNK
+>DECOY_NM_001014342|506971_2_3539_3750
+KNLNVRAWALQNVQAQVMNALDLPSVQTLYINDLVLSITQALCMSDLVQPQVQALSMNAVALHNVPALVM
+>NM_001014342|506975_2_4043_4677
+MAILVMDSLHRQVPEHLEDRDLATVMPLTVKCTQGSHIDHTHKNKLTAKLDLNMESQNPQFMRDMKLLMDRQERPLDMATLVMDSPHREGPGQLEEGDLAIVSPVTVKCTQGAHTDHNHKNKLMAKPDLNMESQDPQFMGDTELLMDRQEIPLDMPTIIMENPHREGPVQLEEGDLATVSPVTVKCTQGARTHIQDTLTAKVDLNMESQNP
+>DECOY_NM_001014342|506975_2_4043_4677
+PNQSEMNLDVKATLTDQIHTRAGQTCKVTVPSVTALDGEELQVPGERHPNEMIITPMDLPIEQRDMLLETDGMFQPDQSEMNLDPKAMLKNKHNHDTHAGQTCKVTVPSVIALDGEELQGPGERHPSDMVLTAMDLPREQRDMLLKMDRMFQPNQSEMNLDLKATLKNKHTHDIHSGQTCKVTLPMVTALDRDELHEPVQRHLSDMVLIAM
+>NM_001014342|506976_2_4058_4677
+MDSLHRQVPEHLEDRDLATVMPLTVKCTQGSHIDHTHKNKLTAKLDLNMESQNPQFMRDMKLLMDRQERPLDMATLVMDSPHREGPGQLEEGDLAIVSPVTVKCTQGAHTDHNHKNKLMAKPDLNMESQDPQFMGDTELLMDRQEIPLDMPTIIMENPHREGPVQLEEGDLATVSPVTVKCTQGARTHIQDTLTAKVDLNMESQNP
+>DECOY_NM_001014342|506976_2_4058_4677
+PNQSEMNLDVKATLTDQIHTRAGQTCKVTVPSVTALDGEELQVPGERHPNEMIITPMDLPIEQRDMLLETDGMFQPDQSEMNLDPKAMLKNKHNHDTHAGQTCKVTVPSVIALDGEELQGPGERHPSDMVLTAMDLPREQRDMLLKMDRMFQPNQSEMNLDLKATLKNKHTHDIHSGQTCKVTLPMVTALDRDELHEPVQRHLSDM
+>NM_001014342|506978_2_4202_4677
+MESQNPQFMRDMKLLMDRQERPLDMATLVMDSPHREGPGQLEEGDLAIVSPVTVKCTQGAHTDHNHKNKLMAKPDLNMESQDPQFMGDTELLMDRQEIPLDMPTIIMENPHREGPVQLEEGDLATVSPVTVKCTQGARTHIQDTLTAKVDLNMESQNP
+>DECOY_NM_001014342|506978_2_4202_4677
+PNQSEMNLDVKATLTDQIHTRAGQTCKVTVPSVTALDGEELQVPGERHPNEMIITPMDLPIEQRDMLLETDGMFQPDQSEMNLDPKAMLKNKHNHDTHAGQTCKVTVPSVIALDGEELQGPGERHPSDMVLTAMDLPREQRDMLLKMDRMFQPNQSEM
+>NM_001014342|506982_2_4274_4677
+MATLVMDSPHREGPGQLEEGDLAIVSPVTVKCTQGAHTDHNHKNKLMAKPDLNMESQDPQFMGDTELLMDRQEIPLDMPTIIMENPHREGPVQLEEGDLATVSPVTVKCTQGARTHIQDTLTAKVDLNMESQNP
+>DECOY_NM_001014342|506982_2_4274_4677
+PNQSEMNLDVKATLTDQIHTRAGQTCKVTVPSVTALDGEELQVPGERHPNEMIITPMDLPIEQRDMLLETDGMFQPDQSEMNLDPKAMLKNKHNHDTHAGQTCKVTVPSVIALDGEELQGPGERHPSDMVLTAM
+>NM_001014342|506983_2_4289_4677
+MDSPHREGPGQLEEGDLAIVSPVTVKCTQGAHTDHNHKNKLMAKPDLNMESQDPQFMGDTELLMDRQEIPLDMPTIIMENPHREGPVQLEEGDLATVSPVTVKCTQGARTHIQDTLTAKVDLNMESQNP
+>DECOY_NM_001014342|506983_2_4289_4677
+PNQSEMNLDVKATLTDQIHTRAGQTCKVTVPSVTALDGEELQVPGERHPNEMIITPMDLPIEQRDMLLETDGMFQPDQSEMNLDPKAMLKNKHNHDTHAGQTCKVTVPSVIALDGEELQGPGERHPSDM
+>NM_001014342|506985_2_4433_4677
+MESQDPQFMGDTELLMDRQEIPLDMPTIIMENPHREGPVQLEEGDLATVSPVTVKCTQGARTHIQDTLTAKVDLNMESQNP
+>DECOY_NM_001014342|506985_2_4433_4677
+PNQSEMNLDVKATLTDQIHTRAGQTCKVTVPSVTALDGEELQVPGERHPNEMIITPMDLPIEQRDMLLETDGMFQPDQSEM
+>NM_001014342|506989_2_4520_4677
+MENPHREGPVQLEEGDLATVSPVTVKCTQGARTHIQDTLTAKVDLNMESQNP
+>DECOY_NM_001014342|506989_2_4520_4677
+PNQSEMNLDVKATLTDQIHTRAGQTCKVTVPSVTALDGEELQVPGERHPNEM
+>NM_001014342|506993_2_4976_5133
+MDSPHREGPGQLEDRDLATVSPVTVKCTQGSHTHIQDTLMVKLDLNMDSQNP
+>DECOY_NM_001014342|506993_2_4976_5133
+PNQSDMNLDLKVMLTDQIHTHSGQTCKVTVPSVTALDRDELQGPGERHPSDM
+>NM_001014342|506995_2_5372_5589
+MELYMDRQAIPPDMPTLVMDSPHRQGPGPLEEGHLATVSTVTVKGTQGSHKDHTHEDTLTARLDLNMESQNP
+>DECOY_NM_001014342|506995_2_5372_5589
+PNQSEMNLDLRATLTDEHTHDKHSGQTGKVTVTSVTALHGEELPGPGQRHPSDMVLTPMDPPIAQRDMYLEM
+>NM_001014342|506998_2_5426_5589
+MDSPHRQGPGPLEEGHLATVSTVTVKGTQGSHKDHTHEDTLTARLDLNMESQNP
+>DECOY_NM_001014342|506998_2_5426_5589
+PNQSEMNLDLRATLTDEHTHDKHSGQTGKVTVTSVTALHGEELPGPGQRHPSDM
+>NM_006785|507157_2_1501_1595
+MVMKILGTASWSPLMLQIHIGLKIVCVYKIY
+>DECOY_NM_006785|507157_2_1501_1595
+YIKYVCVIKLGIHIQLMLPSWSATGLIKMVM
+>NM_173676|507181_2_844_986
+MEGAAMVRLCPNLCRHLNSHASHLFQHQSLHLSSHLHSHWPLQLHFL
+>DECOY_NM_173676|507181_2_844_986
+LFHLQLPWHSHLHSSLHLSQHQFLHSAHSNLHRCLNPCLRVMAAGEM
+>NM_173676|507182_2_859_986
+MVRLCPNLCRHLNSHASHLFQHQSLHLSSHLHSHWPLQLHFL
+>DECOY_NM_173676|507182_2_859_986
+LFHLQLPWHSHLHSSLHLSQHQFLHSAHSNLHRCLNPCLRVM
+>NM_030787|507285_2_216_337
+MDFCMMKKIITLFPKFLQGKFSITPVNIILCLLQNPFGLA
+>DECOY_NM_030787|507285_2_216_337
+ALGFPNQLLCLIINVPTISFKGQLFKPFLTIIKKMMCFDM
+>NM_030787|507288_2_1425_1549
+MDDGNHYHAVLSLLHIVGPLHLLTMEIPPHSHYQYILQGQQ
+>DECOY_NM_030787|507288_2_1425_1549
+QQGQLIYQYHSHPPIEMTLLHLPGVIHLLSLVAHYHNGDDM
+>NM_001077663|507320_2_214_302
+MEMVQMRLRTMIFQQWREAGFKKCCHFWA
+>DECOY_NM_001077663|507320_2_214_302
+AWFHCCKKFGAERWQQFIMTRLRMQVMEM
+>NM_001077663|507321_2_220_302
+MVQMRLRTMIFQQWREAGFKKCCHFWA
+>DECOY_NM_001077663|507321_2_220_302
+AWFHCCKKFGAERWQQFIMTRLRMQVM
+>NM_001077663|507327_3_770_870
+MVVPAPKGHGELPGRQRGLVQGARLRLRAHGRQ
+>DECOY_NM_001077663|507327_3_770_870
+QRGHARLRLRAGQVLGRQRGPLEGHGKPAPVVM
+>NM_021258|507508_3_716_900
+MDLLLLRSLPVLHGLPRRSTLLPELQICHQAACTSQLPERPASPDFPAAALHPGARPDPCL
+>DECOY_NM_021258|507508_3_716_900
+LCPDPRAGPHLAAAPFDPSAPREPLQSTCAAQHCIQLEPLLTSRRPLGHLVPLSRLLLLDM
+>NM_006806|507810_2_573_736
+MERKTMHSLLPALKIKMRTRMRSPGKLPGPLIRLPLIIIQDPLLQMKKQVRKWK
+>DECOY_NM_006806|507810_2_573_736
+KWKRVQKKMQLLPDQIIILPLRILPGPLKGPSRMRTRMKIKLAPLLSHMTKREM
+>NM_006806|507814_2_855_943
+MAIRITILLLFHLVIQIREEKINHIAQFQ
+>DECOY_NM_006806|507814_2_855_943
+QFQAIHNIKEERIQIVLHFLLLITIRIAM
+>NM_000941|507835_2_716_849
+MGTWRRTSSPGESSSGRPCVNTLGWKPLARSPAFASTSLWSTPT
+>DECOY_NM_000941|507835_2_716_849
+TPTSWLSTSAFAPSRALPKWGLTNVCPRGSSSEGPSSTRRWTGM
+>NM_194071|507864_3_733_893
+MVPVYRLPFNIHQDRASYRRTTPRTRSVCHSDHHSHLHPVGKGGTSSGNEHWG
+>DECOY_NM_194071|507864_3_733_893
+GWHENGSSTGGKGVPHLHSHHDSHCVSRTRPTTRRYSARDQHINFPLRYVPVM
+>NM_000904|507879_2_540_628
+MEWKPTKPTSKGLWLATSLMSRKRFGRLT
+>DECOY_NM_000904|507879_2_540_628
+TLRGFRKRSMLSTALWLGKSTPKTPKWEM
+>NM_000526|507966_2_266_411
+MAVASAAAAAALVVALGEDMVVALVLAWVVALVVALLVVMGFWWAVRR
+>DECOY_NM_000526|507966_2_266_411
+RRVAWWFGMVVLLAVVLAVVWALVLAVVMDEGLAVVLAAAAAASAVAM
+>NM_000526|507967_2_323_411
+MVVALVLAWVVALVVALLVVMGFWWAVRR
+>DECOY_NM_000526|507967_2_323_411
+RRVAWWFGMVVLLAVVLAVVWALVLAVVM
+>NM_000526|507971_3_972_1090
+MVLHQDRGAEPRGGHQQRAGAERQERDLGAPAHHAEPGD
+>DECOY_NM_000526|507971_3_972_1090
+DGPEAHHAPAGLDREQREAGARQQHGGRPEAGRDQHLVM
+>NM_020297|508266_2_69_232
+MVYYKIPALWMPSTWSLMSFCCLSLFQYCLLGGGAKAQKYKFTTTHGFIFRDIT
+>DECOY_NM_020297|508266_2_69_232
+TIDRFIFGHTTTFKYKQAKAGGGLLCYQFLSLCCFSMLSWTSPMWLAPIKYYVM
+>NM_020297|508272_2_1008_1081
+MGQITQLEFQKPSHQRNFLKTLTF
+>DECOY_NM_020297|508272_2_1008_1081
+FTLTKLFNRQHSPKQFELQTIQGM
+>NM_001080413|508367_2_64_227
+MASKPRRGRPWLYLNFLCVDCTGSTESVALSAPSSSSGAAFVLWRPSNHPNMIP
+>DECOY_NM_001080413|508367_2_64_227
+PIMNPHNSPRWLVFAAGSSSSPASLAVSETSGTCDVCLFNLYLWPRGRRPKSAM
+>NM_000359|508555_2_1652_1794
+MAASRLFMWRRRPSAHSLSQRPSAPTCGRTSPTSISTQKAQTQSGRQ
+>DECOY_NM_000359|508555_2_1652_1794
+QRGSQTQAKQTSISTPSTRGCTPASPRQSLSHASPRRRWMFLRSAAM
+>NM_004486|508641_2_167_480
+MAVTLRQPLLVVATHLRIHPRTMLLLYNHLMTPCYLAVSLPLVPVSLAWRHLRIMMLTMSLISWMKPRLSHQPRACDNSPNSSMVLFVSLRHVSMGRALHRLLT
+>DECOY_NM_004486|508641_2_167_480
+TLLRHLARGMSVHRLSVFLVMSSNPSNDCARPQHSLRPKMWSILSMTLMMIRLHRWALSVPVLPLSVALYCPTMLHNYLLLMTRPHIRLHTAVVLLPQRLTVAM
+>NM_004486|508651_2_2108_2244
+MDWTGRRRRMRRRRRRRRWQYLSPCQASRRTWRAGKPWWHFSTQL
+>DECOY_NM_004486|508651_2_2108_2244
+LQTSFHWWPKGARWTRRSAQCPSLYQWRRRRRRRRMRRRRGTWDM
+>NM_004486|508653_2_2711_2820
+MADSWQLPRTLLMSPLQGPQPPRNLGLPTSRVIFAR
+>DECOY_NM_004486|508653_2_2711_2820
+RAFIVRSTPLGLNRPPQPGQLPSMLLTRPLQWSDAM
+>NM_002070|508743_2_296_591
+MATPRRNAGSTGRLSTATPSSPSWPLSKPWATCRSTLPTPPERTTPGSYLHCPAPPRSKACSLMTCPASSGGSGLTMVCRPALAAQGNTSSTTQLPTT
+>DECOY_NM_002070|508743_2_296_591
+TTPLQTTSSTNGQAALAPRCVMTLGSGGSSAPCTMLSCAKSRPPAPCHLYSGPTTREPPTPLTSRCTAWPKSLPWSPSSPTATSLRGTSGANRRPTAM
+>NM_031418|508792_2_620_720
+MAKRELITSWFIERQIYNMIKETHLKRTSEQKA
+>DECOY_NM_031418|508792_2_620_720
+AKQESTRKLHTEKIMNYIQREIFWSTILERKAM
+>NM_031418|508795_2_1550_1635
+MEGQSSLLFLWQYGPQSSWSFGKGEGVY
+>DECOY_NM_031418|508795_2_1550_1635
+YVGEGKGFSWSSQPGYQWLFLLSSQGEM
+>NM_031418|508807_3_1344_1420
+MVYWNVDSCSNCWFVRFLLWIIYNE
+>DECOY_NM_031418|508807_3_1344_1420
+ENYIIWLLFRVFWCNSCSDVNWYVM
+>NM_031418|508808_3_1587_1696
+MGHSLPGVLEKEKEYTDLYLGPYRMGRRGGNTSSPV
+>DECOY_NM_031418|508808_3_1587_1696
+VPSSTNGGRRGMRYPGLYLDTYEKEKELVGPLSHGM
+>NM_004636|508822_2_366_583
+MVSRLSAWSEPAATRPCWWMRSVDACLWVPRTMWPPSTWTTSASGPRSWPGRPLWNGERSATGQGRTLVLSA
+>DECOY_NM_004636|508822_2_366_583
+ASLVLTRGQGTASREGNWLPRGPWSRPGSASTTWTSPPWMTRPVWLCADVSRMWWCPRTAAPESWASLRSVM
+>NM_004636|508826_2_729_853
+MARGRVLMTPGIGLPPCWWGRSYTQGWQQTSWDETLPSFAA
+>DECOY_NM_004636|508826_2_729_853
+AAFSPLTEDWSTQQWGQTYSRGWWCPPLGIGPTMLVRGRAM
+>NM_004636|508831_2_1917_2071
+MATPARCAPETRLVPRCWNTRCSAWRAAAPFWSVSPARCRRAWSGLSSAQG
+>DECOY_NM_004636|508831_2_1917_2071
+GQASSLGSWARRCRAPSVSWFPAAARWASCRTNWCRPVLRTEPACRAPTAM
+>NM_175744|508898_2_439_710
+MVPVGRPASSSSSARISFRRSTSLLSLRTILRTLRWTASRWSWLCGTQQGRKTMIDCGLSPTRTLMSSSCASPSTALTAWKTFLRSGPQR
+>DECOY_NM_175744|508898_2_439_710
+RQPGSRLFTKWATLATSPSACSSSMLTRTPSLGCDIMTKRGQQTGCLWSWRSATWRLTRLITRLSLLSTSRRFSIRASSSSSAPRGVPVM
+>NM_001982|509066_2_728_807
+MAEAVPPVMRFARGDAGVLDQKTARH
+>DECOY_NM_001982|509066_2_728_807
+HRATKQDLVGADGRAFRMVPPVAEAM
+>NM_001982|509067_2_836_996
+MVTALGPTPTSAAMMSVPGAAQALRTQTALPAGTSMTVEPVYLAVHSLLSTTS
+>DECOY_NM_001982|509067_2_836_996
+STTSLLSHVALYVPEVTMSTGAPLATQTRLAQAAGPVSMMAASTPTPGLATVM
+>NM_001982|509070_2_1136_1248
+MGSRCVSLVGDYVPKPVREQALGAASRLWTRATLMDL
+>DECOY_NM_001982|509070_2_1136_1248
+LDMLTARTWLRSAAGLAQERVPKPVYDGVLSVCRSGM
+>NM_001982|509075_2_2675_2889
+MVWCIETWLPETCYSSHPVRFRWQILVWLTCCLLMISSCYTVRPRLQLSGWPLRVSTLGNTHTRVMSGAMV
+>DECOY_NM_001982|509075_2_2675_2889
+VMAGSMVRTHTNGLTSVRLPWGSLQLRPRVTYCSSIMLLCCTLWVLIQWRFRVPHSSYCTEPLWTEICWVM
+>NM_001982|509081_2_3995_4068
+MEVVLGVIMQPWGPAQHLSKGMKR
+>DECOY_NM_001982|509081_2_3995_4068
+RKMGKSLHQAPGWPQMIVGLVVEM
+>NM_014330|509113_2_612_709
+MACMVSERQPVSLEGREVNLQMASVLPCLPAF
+>DECOY_NM_014330|509113_2_612_709
+FAPLCPLVSAMQLNVERGELSVPQRESVMCAM
+>NM_002306|509140_3_213_505
+MAWRMGEPACWGRGLPRGFLSWGLPRAGTPRGLSWTGTSRRLPWSTWSLSRSTCTWSLPRATQRPWGLPIFWTAKCHRSLPCHWPLWRPCWATDCAL
+>DECOY_NM_002306|509140_3_213_505
+LACDTAWCPRWLPWHCPLSRHCKATWFIPLGWPRQTARPLSWTCTSRSLSWTSWPLRRSTGTWSLGRPTGARPLGWSLFGRPLGRGWCAPEGMRWAM
+>NM_003074|509179_2_221_576
+MGARPPSFGRARRRCPSWIRCGSGWASTTRSMFMRMLLPIKHWLGWWCSFFSSRKMPLGSMSPTRPSPNSLQSVSWISKLEAPYVTFLGLLTSIKMNRDGGGLTYRTHLEWIVMWKCL
+>DECOY_NM_003074|509179_2_221_576
+LCKWMVIWELHTRYTLGGGDRNMKISTLLGLFTVYPAELKSIWSVSQLSNPSPRTPSMSGLPMKRSSFFSCWWGLWHKIPLLMRMFMSRTTSAWGSGCRIWSPCRRRARGFSPPRAGM
+>NM_003074|509197_2_3005_3087
+MARTLNRHTSTQEDLAWPHLEQQGTLA
+>DECOY_NM_003074|509197_2_3005_3087
+ALTGQQELHPWALDEQTSTHRNLTRAM
+>NM_003829|509281_2_346_455
+MGIWKHLQDLVFHTLMGNLLVMNLISLSKIWPRVAM
+>DECOY_NM_003829|509281_2_346_455
+MAVRPWIKSLSILNMVLLNGMLTHFVLDQLHKWIGM
+>NM_003829|509287_2_2668_2813
+MVLPFHHLLLRMLLKILVIQYLICICLWRNYIPRISCKDRMRIHLRWT
+>DECOY_NM_003829|509287_2_2668_2813
+TWRLHIRMRDKCSIRPIYNRWLCICILYQIVLIKLLMRLLLHHFPLVM
+>NM_003829|509294_2_5977_6056
+MAALMETYPFMLKQCLQREQPLKTDV
+>DECOY_NM_003829|509294_2_5977_6056
+VDTKLPQERQLCQKLMFPYTEMLAAM
+>NM_006894|509316_2_755_846
+MVILGTCCSSLDLEPSSRTIYRQPSLTGCT
+>DECOY_NM_006894|509316_2_755_846
+TCGTLSPQRYITRSSPELDLSSCCTGLIVM
+>NM_018094|509354_2_314_579
+MESPLRWPRPSASPSARLSAVSSTSTPSPSCLTYTPRSSCRPSCGARLSRPPSRPAPAATMKPAPARDTLKVKGWDGGHLWNLPERNR
+>DECOY_NM_018094|509354_2_314_579
+RNREPLNWLHGGDWGKVKLTDRAPAPKMTAAPAPRSPPRSLRAGCSPRCSSRPTYTLCSPSPTSTSSVASLRASPSASPRPWRLPSEM
+>NM_000841|509426_2_558_727
+MAQRSAVAVAAHPSSPSLNVWWVSSVLQGARSPSWWPTSFASSRYPRSATPPQRQT
+>DECOY_NM_000841|509426_2_558_727
+TQRQPPTASRPYRSSAFSTPWWSPSRAGQLVSSVWWVNLSPSSPHAAVAVASRQAM
+>NM_000841|509428_2_858_931
+MVRAVWRPSSRSPVRTGACASPSR
+>DECOY_NM_000841|509428_2_858_931
+RSPSACAGTRVPSRSSPRWVARVM
+>NM_000841|509432_2_1572_1678
+MEMRLGAMTSTNTSCATILPSTRSLAPGLTTCTLE
+>DECOY_NM_000841|509432_2_1572_1678
+ELTCTTLGPALSRTSPLITACSTNTSTMAGLRMEM
+>NM_003141|509561_2_429_541
+MGRPFAGYVPSLGNTVTTPWSLLRRLHRSTRRSSRWH
+>DECOY_NM_003141|509561_2_429_541
+HWRSSRRTSRHLRRLLSWPTTVTNGLSPVYGAFPRGM
+>NM_003141|509565_2_1389_1492
+MAPSSTPSLNVPLQDLCGPSSVLVSMMEEKTQPL
+>DECOY_NM_003141|509565_2_1389_1492
+LPQTKEEMMSVLVSSPGCLDQLPVNLSPTSSPAM
+>NM_012072|509628_2_932_1041
+MAATSTMGAATRTALKGGMAPSSAAADQDSGCWMTW
+>DECOY_NM_012072|509628_2_932_1041
+WTMWCGSDQDAAASSPAMGGKLATRTAAGMTSTAAM
+>NM_012072|509629_2_950_1041
+MGAATRTALKGGMAPSSAAADQDSGCWMTW
+>DECOY_NM_012072|509629_2_950_1041
+WTMWCGSDQDAAASSPAMGGKLATRTAAGM
+>NM_016316|509738_2_249_412
+MAGKHGVGIWLPRSRNWRNSFDQMLLCRRMGLHLQFLVELPSMLMDTQILPLRN
+>DECOY_NM_016316|509738_2_249_412
+NRLPLIQTDMLMSPLEVLFQLHLGMRRCLLMQDFSNRWNRSRPLWIGVGHKGAM
+>NM_016316|509740_2_336_412
+MGLHLQFLVELPSMLMDTQILPLRN
+>DECOY_NM_016316|509740_2_336_412
+NRLPLIQTDMLMSPLEVLFQLHLGM
+>NM_016316|509759_3_262_359
+MGWVYGCQGPEIGGTVSIRCCYAEGWDFIYNF
+>DECOY_NM_016316|509759_3_262_359
+FNYIFDWGEAYCCRISVTGGIEPGQCGYVWGM
+>NM_016316|509760_3_547_644
+MDCGKHQSWTTPLLHSISAVHQAVQCAERSQL
+>DECOY_NM_016316|509760_3_547_644
+LQSREACQVAQHVASISHLLPTTWSQHKGCDM
+>NM_004205|509773_2_374_537
+MVPTPRPPMGPIWLPPYWRRRNLVSSRSPPAASSPVPVPMAPPPSWTMTGAAPC
+>DECOY_NM_004205|509773_2_374_537
+CPAAGTMTWSPPPAMPVPVPSSAAPPSRSSVLNRRRWYPPLWIPGMPPRPTPVM
+>NM_004205|509775_2_899_1068
+MVARAVHLRCPPRPLPHESLKSSAQPTDPLAATRCGRRERVRPLGPAAPAPREETA
+>DECOY_NM_004205|509775_2_899_1068
+ATEERPAPAAPGLPRVRERRGCRTAALPDTPQASSKLSEHPLPRPPCRLHVARAVM
+>NM_000844|509816_2_837_910
+MERKVWSPSRRFPKRQVDSALPSP
+>DECOY_NM_000844|509816_2_837_910
+PSPLASDVQRKPFRRSPSWVKREM
+>NM_000844|509826_3_2548_2705
+MACLHSNFFWHRSISGKALHTNYHAYNLHEPKCISGAGDAIHAESVHHHFPP
+>DECOY_NM_000844|509826_3_2548_2705
+PPFHHHVSEAHIADGAGSICKPEHLNYAHYNTHLAKGSISRHWFFNSHLCAM
+>NM_004267|509846_2_1343_1548
+MALGAPGASGTSGSWCTCSPRGALARRSSASYSTRIPRCSFSTSQCGMYGKNCIRGTPFPCRGQRGTC
+>DECOY_NM_004267|509846_2_1343_1548
+CTGRQGRCPFPTGRICNKGYMGCQSTSFSCRPIRTSYSASSRRALAGRPSCTCWSGSTGSAGPAGLAM
+>NM_002525|509952_2_1301_1419
+MGVVIMPQLIVNALSFSLMSRGSTSRKLLIDGRSSSSTH
+>DECOY_NM_002525|509952_2_1301_1419
+HTSSSSRGDILLKRSTSGRSMLSFSLANVILQPMIVVGM
+>NM_005842|510036_3_1136_1209
+MVSHGCHVPLFALFMVLPSSQGLP
+>DECOY_NM_005842|510036_3_1136_1209
+PLGQSSPLVMFLAFLPVHCGHSVM
+>NM_000112|510066_2_1490_1644
+MVTQSKQTRKCMPLAFVISSLPSSTVLLLVQLLQRHWLKNQQAAILSFLVW
+>DECOY_NM_000112|510066_2_1490_1644
+WVLFSLIAAQQNKLWHRQLLQVLLLVTSSPLSSIVFALPMCKRTQKSQTVM
+>NM_004299|510108_2_2099_2259
+MVCLLTLIVSIQKCGIHRAAVCRTMITPNGKQRKKIYPKRRKERNYKKKLSIV
+>DECOY_NM_004299|510108_2_2099_2259
+VISLKKKYNREKRRKPYIKKRQKGNPTIMTRCVAARHIGCKQISVILTLLCVM
+>NM_004299|510111_3_285_373
+MATDRKEDMLAWSCRRRTPHRPKRRVKRC
+>DECOY_NM_004299|510111_3_285_373
+CRKVRRKPRHPTRRRCSWALMDEKRDTAM
+>NM_006668|510151_2_211_290
+MDLLCGSTSSTKPQSSSRVLSRLRSS
+>DECOY_NM_006668|510151_2_211_290
+SSRLRSLVRSSSQPKTSSTSGCLLDM
+>NM_001167|510281_2_351_514
+METQQLEDTGKYPQIADLSTAFILKIVPRSLQILVSRMVSTKLKTIWEAEIILP
+>DECOY_NM_001167|510281_2_351_514
+PLIIEAEWITKLKTSVMRSVLIQLSRPVIKLIFATSLDAIQPYKGTDELQQTEM
+>NM_030648|510319_2_1022_1251
+MEFELHTKRLTAGTGPLMGTPSPLMKKRSLMCLSPITTYPSTVPPWDTRQITPSLQTASTICLSTPVLGPSNASAP
+>DECOY_NM_030648|510319_2_1022_1251
+PASANSPGLVPTSLCITSATQLSPTIQRTDWPPVTSPYTTIPSLCMLSRKKMLPSPTGMLPGTGATLRKTHLEFEM
+>NM_031966|510510_2_1249_1337
+MVNGHQLYNITCHILKNLFFQLCSTWLRM
+>DECOY_NM_031966|510510_2_1249_1337
+MRLWTSCLQFFLNKLIHCTINYLQHGNVM
+>NM_014646|510531_2_1677_1753
+MEKFQKKNSWSISLLITNLQKTLDL
+>DECOY_NM_014646|510531_2_1677_1753
+LDLTKQLNTILLSISWSNKKQFKEM
+>NM_014646|510533_2_2196_2320
+MAQMMLCLVLQPSIKAPVAVQGPFTCGTGMTRSSFLILMGQ
+>DECOY_NM_014646|510533_2_2196_2320
+QGMLILFSSRTMGTGCTFPGQVAVPAKISPQLVLCLMMQAM
+>NM_016335|510670_2_522_706
+MAVARISGTSNTRPTGPSGTAGMVSSVPAPTSTPMRPSATATWRHSCAASKPQVESAMTAS
+>DECOY_NM_016335|510670_2_522_706
+SATMASEVQPKSAACSHRWTATASPRMPTSTPAPVSSVMGATGSPGTPRTNSTGSIRAVAM
+>NM_016335|510671_2_588_706
+MVSSVPAPTSTPMRPSATATWRHSCAASKPQVESAMTAS
+>DECOY_NM_016335|510671_2_588_706
+SATMASEVQPKSAACSHRWTATASPRMPTSTPAPVSSVM
+>NM_030884|510700_2_421_515
+MESQVKKDPRVPLLLCPLQAQEELLYLLQQP
+>DECOY_NM_030884|510700_2_421_515
+PQQLLYLLEEQAQLPCLLLPVRPDKKVQSEM
+>NM_002340|510755_2_1892_2046
+MGLPVQRSPGPVTSCCPGRWQTEAGGRTLSPARSGVICRVPSPRSITHAGP
+>DECOY_NM_002340|510755_2_1892_2046
+PGAHTISRPSPVRCIVGSRAPSLTRGGAETQWRGPCCSTVPGPSRQVPLGM
+>NM_057095|510793_3_477_802
+MEENTNIAISSFHQCKIQGNGPHHFPMWRYVGEKPEAGSREQQVHQLERFLWGLHHGCNHWHIIWSELGFSQQSTRSLSEKYEEAFKIGFFGSLFTLNITLSISYPSF
+>DECOY_NM_057095|510793_3_477_802
+FSPYSISLTINLTFLSGFFGIKFAEEYKESLSRTSQQSFGLESWIIHWHNCGHHLGWLFRELQHVQQERSGAEPKEGVYRWMPFHHPGNGQIKCQHFSSIAINTNEEM
+>NM_005235|510829_2_1050_1123
+MGLKCVNLALTFAQKLVMALAQDH
+>DECOY_NM_005235|510829_2_1050_1123
+HDQALAMVLKQAFTLALNVCKLGM
+>NM_005235|510831_2_1716_2053
+MVNFGSLRMAPSVWSVTPSVRRWKMASSHAMDRVLTTVQSALILKMAQTVWKNVQMAYRGQTVSFSSMLIQIGSATHAIQTAPKGVTVPLVMTAFTTHGRAIPLYHNMLELP
+>DECOY_NM_005235|510831_2_1716_2053
+PLELMNHYLPIARGHTTFATMVLPVTVGKPATQIAHTASGIQILMSSFSVTQGRYAMQVNKWVTQAMKLILASQVTTLVRDMAHSSAMKWRRVSPTVSWVSPAMRLSGFNVM
+>NM_005235|510832_2_1740_2053
+MAPSVWSVTPSVRRWKMASSHAMDRVLTTVQSALILKMAQTVWKNVQMAYRGQTVSFSSMLIQIGSATHAIQTAPKGVTVPLVMTAFTTHGRAIPLYHNMLELP
+>DECOY_NM_005235|510832_2_1740_2053
+PLELMNHYLPIARGHTTFATMVLPVTVGKPATQIAHTASGIQILMSSFSVTQGRYAMQVNKWVTQAMKLILASQVTTLVRDMAHSSAMKWRRVSPTVSWVSPAM
+>NM_005235|510833_2_1788_2053
+MASSHAMDRVLTTVQSALILKMAQTVWKNVQMAYRGQTVSFSSMLIQIGSATHAIQTAPKGVTVPLVMTAFTTHGRAIPLYHNMLELP
+>DECOY_NM_005235|510833_2_1788_2053
+PLELMNHYLPIARGHTTFATMVLPVTVGKPATQIAHTASGIQILMSSFSVTQGRYAMQVNKWVTQAMKLILASQVTTLVRDMAHSSAM
+>NM_005235|510834_2_1806_2053
+MDRVLTTVQSALILKMAQTVWKNVQMAYRGQTVSFSSMLIQIGSATHAIQTAPKGVTVPLVMTAFTTHGRAIPLYHNMLELP
+>DECOY_NM_005235|510834_2_1806_2053
+PLELMNHYLPIARGHTTFATMVLPVTVGKPATQIAHTASGIQILMSSFSVTQGRYAMQVNKWVTQAMKLILASQVTTLVRDM
+>NM_005235|510835_2_1851_2053
+MAQTVWKNVQMAYRGQTVSFSSMLIQIGSATHAIQTAPKGVTVPLVMTAFTTHGRAIPLYHNMLELP
+>DECOY_NM_005235|510835_2_1851_2053
+PLELMNHYLPIARGHTTFATMVLPVTVGKPATQIAHTASGIQILMSSFSVTQGRYAMQVNKWVTQAM
+>NM_005235|510844_2_3300_3550
+MEVLLLNKECLCPTEPQLAQFQKLLWHRVLLLRFLMTPAVMAPYASQWHPMSKRTVAPRGTVLTPPCLPQNGAHEESWMRKVT
+>DECOY_NM_005235|510844_2_3300_3550
+TVKRMWSEEHAGNQPLCPPTLVTGRPAVTRKSMPHWQSAYPAMVAPTMLFRLLLVRHWLLKQFQALQPETPCLCEKNLLLVEM
+>NM_005235|510846_2_3420_3550
+MAPYASQWHPMSKRTVAPRGTVLTPPCLPQNGAHEESWMRKVT
+>DECOY_NM_005235|510846_2_3420_3550
+TVKRMWSEEHAGNQPLCPPTLVTGRPAVTRKSMPHWQSAYPAM
+>NM_005235|510848_2_3627_3706
+METFKHWIIPNITMHPMVHPRPRMSM
+>DECOY_NM_005235|510848_2_3627_3706
+MSMRPRPHVMPHMTINPIIWHKFTEM
+>NM_003396|510937_2_674_750
+MAYQAPVPCAPAGSSSPRSVRRARC
+>DECOY_NM_003396|510937_2_674_750
+CRARRVSRPSSSGAPACPVPAQYAM
+>NM_012265|511176_3_1322_1470
+MAVQVLCLLSAAAAAGAHGLPYGAGGGGTGSHRPCGGCRVTVGWRTSGH
+>DECOY_NM_012265|511176_3_1322_1470
+HGSTRWGVTVRCGGCPRHSGTGGGGAGYPLGHAGAAAAASLLCLVQVAM
+>NM_024046|511224_2_782_990
+MDALWTAGPLESSCTSCFQAIHLSMRRWKKMIMRTMIRISSARSWLVTMSLTLHIGMIFRRQPKTWSQG
+>DECOY_NM_024046|511224_2_782_990
+GQSWTKPQRRFIMGIHLTLSMTVLWSRASSIRIMTRMIMKKWRRMSLHIAQFCSTCSSELPGATWLADM
+>NM_019894|511280_2_1294_1400
+MEGRCLTYCCRRQSRSLTAHGAMQTMRTRGKSPRR
+>DECOY_NM_019894|511280_2_1294_1400
+RRPSKGRTRMTQMAGHATLSRSQRRCCYTLCRGEM
+>NM_030810|511317_2_114_448
+MAAAGAGAPGPRRRRRRRRTGPPRQTARTDRTRTASTCTRPTCSRTGSRAPRTSSCSSRPGVDTASGCSRLGMTWETNTTAWKMPKSMWLKWTARPTPTCAPPRGCEDTPP
+>DECOY_NM_030810|511317_2_114_448
+PPTDECGRPPACTPTPRATWKLWMSKPMKWATTNTEWTMGLRSCGSATDVGPRSSCSSTRPARSGTRSCTPRTCTSATRTRDTRATQRPPGTRRRRRRRRPGPAGAGAAAM
+>NM_004964|511371_2_664_806
+MESTSQELGTYGISGLAKASIMLLTTRSETGLMTSPMRPFSSRSCPK
+>DECOY_NM_004964|511371_2_664_806
+KPCSRSSFPRMPSTMLGTESRTTLLMISAKALGSIGYTGLEQSTSEM
+>NM_006137|511383_3_522_595
+MAQMLGRPTKGLCPPCPTDRLRPP
+>DECOY_NM_006137|511383_3_522_595
+PPRLRDTPCPPCLGKTPRGLMQAM
+>NM_016354|511398_2_404_558
+MAPGGPMRCGTSRPGRAWRAAGGPSHRRACRSSTRPRASCSSCVRPHSCRG
+>DECOY_NM_016354|511398_2_404_558
+GRCSHPRVCSSCSARPRTSSRCARRHSPGGAARWARGPRSTGCRMPGGPAM
+>NM_006574|511425_2_1239_1354
+MALSAAVALCGITAPAGQCATSSQVTVTMAASATWWRT
+>DECOY_NM_006574|511425_2_1239_1354
+TRWWTASAAMTVTVQSSTACQGAPATIGCLAVAASLAM
+>NM_001767|511435_3_202_281
+MGKNFRQEKDCTIQKRERDFQGKRYI
+>DECOY_NM_001767|511435_3_202_281
+IYRKGQFDRERKQITCDKEQRFNKGM
+>NM_006256|511467_2_1720_1871
+MACVSIWNHRVLYLQRLPFLIQLLKEDQNFKDKRKFFQSNKAKHFSELLK
+>DECOY_NM_006256|511467_2_1720_1871
+KLLESFHKAKNSQFFKRKDKFNQDEKLLQILFPLRQLYLVRHNWISVCAM
+>NM_000224|511517_2_1271_1353
+MARTLILVMPWTAATPCKPSKRPPPAG
+>DECOY_NM_000224|511517_2_1271_1353
+GAPPPRKSPKCPTAATWPMVLILTRAM
+>NM_000820|511583_2_448_746
+MGLRTPKTQASPPACKTCLTSARPTPAIGRGPKPARTSWATSSACVKLAGGAGSATKMSTNAARRTGAASRSATTSRVASTVPATAASSSPLMAGPAKT
+>DECOY_NM_000820|511583_2_448_746
+TKAPGAMLPSSSAATAPVTSAVRSTTASRSAAGTRRAANTSMKTASGAGGALKVCASSTAWSTRAPKPGRGIAPTPRASTLCTKCAPPSAQTKPTRLGM
+>NM_000820|511585_2_1279_1355
+MACGRQSLLRSWRGIWSSRSTGMLS
+>DECOY_NM_000820|511585_2_1279_1355
+SLMGTSRSSWIGRWSRLLSQRGCAM
+>NM_019610|511597_2_769_896
+MDRPHLQEVEVLQEVLELEEEEVEEPGDLLHEEDTWMMVDIP
+>DECOY_NM_019610|511597_2_769_896
+PIDVMMWTDEEHLLDGPEEVEEEELELVEQLVEVEQLHPRDM
+>NM_019610|511598_2_1057_1544
+MEVHLEGNRSPLVEMFICPQEMMGILLKTAIQAEITQVLVIQEIMHHHHEIILTVIMVIPVHVMTIHQEAMAIEMDMVVIVTIQIIQVEVPTEIHMRVMVTHVVLHLHEGPRHLMVEAVAMMIIAAHVMDMVEVETVTQAAEVISTQVVTGLADKKEGFPLL
+>DECOY_NM_019610|511598_2_1057_1544
+LLPFGEKKDALGTVVQTSIVEAAQTVTEVEVMDMVHAAIIMMAVAEVMLHRPGEHLHLVVHTVMVRMHIETPVEVQIIQITVIVVMDMEIAMAEQHITMVHVPIVMIVTLIIEHHHHMIEQIVLVQTIEAQIATKLLIGMMEQPCIFMEVLPSRNGELHVEM
+>NM_019610|511601_2_1123_1544
+MGILLKTAIQAEITQVLVIQEIMHHHHEIILTVIMVIPVHVMTIHQEAMAIEMDMVVIVTIQIIQVEVPTEIHMRVMVTHVVLHLHEGPRHLMVEAVAMMIIAAHVMDMVEVETVTQAAEVISTQVVTGLADKKEGFPLL
+>DECOY_NM_019610|511601_2_1123_1544
+LLPFGEKKDALGTVVQTSIVEAAQTVTEVEVMDMVHAAIIMMAVAEVMLHRPGEHLHLVVHTVMVRMHIETPVEVQIIQITVIVVMDMEIAMAEQHITMVHVPIVMIVTLIIEHHHHMIEQIVLVQTIEAQIATKLLIGM
+>NM_019610|511603_2_1225_1544
+MVIPVHVMTIHQEAMAIEMDMVVIVTIQIIQVEVPTEIHMRVMVTHVVLHLHEGPRHLMVEAVAMMIIAAHVMDMVEVETVTQAAEVISTQVVTGLADKKEGFPLL
+>DECOY_NM_019610|511603_2_1225_1544
+LLPFGEKKDALGTVVQTSIVEAAQTVTEVEVMDMVHAAIIMMAVAEVMLHRPGEHLHLVVHTVMVRMHIETPVEVQIIQITVIVVMDMEIAMAEQHITMVHVPIVM
+>NM_019610|511605_2_1267_1544
+MAIEMDMVVIVTIQIIQVEVPTEIHMRVMVTHVVLHLHEGPRHLMVEAVAMMIIAAHVMDMVEVETVTQAAEVISTQVVTGLADKKEGFPLL
+>DECOY_NM_019610|511605_2_1267_1544
+LLPFGEKKDALGTVVQTSIVEAAQTVTEVEVMDMVHAAIIMMAVAEVMLHRPGEHLHLVVHTVMVRMHIETPVEVQIIQITVIVVMDMEIAM
+>NM_019610|511606_2_1279_1544
+MDMVVIVTIQIIQVEVPTEIHMRVMVTHVVLHLHEGPRHLMVEAVAMMIIAAHVMDMVEVETVTQAAEVISTQVVTGLADKKEGFPLL
+>DECOY_NM_019610|511606_2_1279_1544
+LLPFGEKKDALGTVVQTSIVEAAQTVTEVEVMDMVHAAIIMMAVAEVMLHRPGEHLHLVVHTVMVRMHIETPVEVQIIQITVIVVMDM
+>NM_019610|511607_2_1285_1544
+MVVIVTIQIIQVEVPTEIHMRVMVTHVVLHLHEGPRHLMVEAVAMMIIAAHVMDMVEVETVTQAAEVISTQVVTGLADKKEGFPLL
+>DECOY_NM_019610|511607_2_1285_1544
+LLPFGEKKDALGTVVQTSIVEAAQTVTEVEVMDMVHAAIIMMAVAEVMLHRPGEHLHLVVHTVMVRMHIETPVEVQIIQITVIVVM
+>NM_019610|511609_2_1351_1544
+MVTHVVLHLHEGPRHLMVEAVAMMIIAAHVMDMVEVETVTQAAEVISTQVVTGLADKKEGFPLL
+>DECOY_NM_019610|511609_2_1351_1544
+LLPFGEKKDALGTVVQTSIVEAAQTVTEVEVMDMVHAAIIMMAVAEVMLHRPGEHLHLVVHTVM
+>NM_019610|511613_2_1441_1544
+MDMVEVETVTQAAEVISTQVVTGLADKKEGFPLL
+>DECOY_NM_019610|511613_2_1441_1544
+LLPFGEKKDALGTVVQTSIVEAAQTVTEVEVMDM
+>NM_019610|511614_2_1447_1544
+MVEVETVTQAAEVISTQVVTGLADKKEGFPLL
+>DECOY_NM_019610|511614_2_1447_1544
+LLPFGEKKDALGTVVQTSIVEAAQTVTEVEVM
+>NM_001056|511632_2_646_770
+MGTWRSASEPSSNTAILSLSGLGHPNLLVWKKPKQCPLHGY
+>DECOY_NM_001056|511632_2_646_770
+YGHLPCQKPKKWVLLNPHGLGSLSLIATNSSPESASRWTGM
+>NM_004982|511691_3_541_704
+MAPHAGHLYHVLPLQLAALRYHVVAGGLCPWGHLCLHGEKWNGEKWFGVHCVCD
+>DECOY_NM_004982|511691_3_541_704
+DCVCHVGFWKEGNWKEGHLCLHGWPCLGGAVVHYRLAALQLPLVHYLHGAHPAM
+>NM_176825|511708_2_646_773
+MGTWRSASEPSSNTAILSLSGLGHPNLLRQDFTMLPRLVSNS
+>DECOY_NM_176825|511708_2_646_773
+SNSVLRPLMTFDQRLLNPHGLGSLSLIATNSSPESASRWTGM
+>NM_003715|511811_2_764_855
+MVQSRKLLLLKMLSRDYWTLFQRRGTVMEV
+>DECOY_NM_003715|511811_2_764_855
+VEMVTGRRQFLTWYDRSLMKLLLLKRSQVM
+>NM_001025356|511941_2_393_553
+MGISCWKTLDRQLSPIWDHWKVSMIFEPRSLKNLMENLTPSFLMMASEELTLF
+>DECOY_NM_001025356|511941_2_393_553
+FLTLEESAMMLFSPTLNEMLNKLSRPEFIMSVKWHDWIPSLQRDLTKWCSIGM
+>NM_001025356|511947_2_1206_1279
+MERRLESTLLGWAITLRCFSWPQL
+>DECOY_NM_001025356|511947_2_1206_1279
+LQPWSFCRLTIAWGLLTSELRREM
+>NM_001025356|511953_3_1147_1310
+MGSSSKHIQKAALGSYQEILWREDWNLLCLAGLLHSDASPGRSCRSGLLSLWIS
+>DECOY_NM_001025356|511953_3_1147_1310
+SIWLSLLGSRCSRGPSADSHLLGALCLLNWDERWLIEQYSGLAAKQIHKSSSGM
+>NM_001025356|511955_3_2503_2729
+MAAHHARNSNSGCGDQCHDHSFHVGHDPPPSVLLVLLRPSLRGPHFLHHGRVHQQHSLHLQSRRLQKQKQGKPVL
+>DECOY_NM_001025356|511955_3_2503_2729
+LVPKGQKQKQLRRSQLHLSHQQHVRGHHLFHPGRLSPRLLVLLVSPPPDHGVHFSHDHCQDGCGSNSNRAHHAAM
+>NM_004643|511969_2_1823_1935
+MVQQQKSWKLTFMAVVQSTVLPYCVTNLVAIPKGLRI
+>DECOY_NM_004643|511969_2_1823_1935
+IRLGKPIAVLNTVCYPLVTSQVVAMFTLKWSKQQQVM
+>NM_003670|512504_2_1040_1224
+MEENRRRATCAVSSRASKVTTDAGSRWEKGSAQLSKSPKNPPQKRTGCSFRMMKAISLAVT
+>DECOY_NM_003670|512504_2_1040_1224
+TVALSIAKMMRFSCGTRKQPPNKPSKSLQASGKEWRSGADTTVKSARSSVACTARRRNEEM
+>NM_002940|512654_2_1584_1663
+MERVKRHLSECLLEDLNLMKEEKYQF
+>DECOY_NM_002940|512654_2_1584_1663
+FQYKEEKMLNLDELLCESLHRKVREM
+>NM_007295|512723_2_3308_3393
+MDFYKTHIVYHHFFPSSHLLKLNVRKIC
+>DECOY_NM_007295|512723_2_3308_3393
+CIKRVNLKLLHSSPFFHHYVIHTKYFDM
+>NM_007295|512734_3_5739_5881
+MDGTAVWCFCGEGAFIIHPWHRCPPNCGCAARCLDRGQWLPCNWADV
+>DECOY_NM_007295|512734_3_5739_5881
+VDAWNCPLWQGRDLCRAACGCNPPCRHWPHIIFAGEGCFCWVATGDM
+>NM_006979|512899_2_586_851
+MATAMPMAMATLTRASGMDIPTITTMDIHMRIYTMAIAMATPMRASTTEDMDMTMSIAMEAMGSLGLQASSRTWMLSLSGLMHWGPQC
+>DECOY_NM_006979|512899_2_586_851
+CQPGWHMLGSLSLMWTRSSAQLGLSGMAEMAISMTMDMDETTSARMPTAMAIAMTYIRMHIDMTTITPIDMGSARTLTAMAMPMATAM
+>NM_006979|512902_2_610_851
+MATLTRASGMDIPTITTMDIHMRIYTMAIAMATPMRASTTEDMDMTMSIAMEAMGSLGLQASSRTWMLSLSGLMHWGPQC
+>DECOY_NM_006979|512902_2_610_851
+CQPGWHMLGSLSLMWTRSSAQLGLSGMAEMAISMTMDMDETTSARMPTAMAIAMTYIRMHIDMTTITPIDMGSARTLTAM
+>NM_006979|512903_2_637_851
+MDIPTITTMDIHMRIYTMAIAMATPMRASTTEDMDMTMSIAMEAMGSLGLQASSRTWMLSLSGLMHWGPQC
+>DECOY_NM_006979|512903_2_637_851
+CQPGWHMLGSLSLMWTRSSAQLGLSGMAEMAISMTMDMDETTSARMPTAMAIAMTYIRMHIDMTTITPIDM
+>NM_006979|512904_2_661_851
+MDIHMRIYTMAIAMATPMRASTTEDMDMTMSIAMEAMGSLGLQASSRTWMLSLSGLMHWGPQC
+>DECOY_NM_006979|512904_2_661_851
+CQPGWHMLGSLSLMWTRSSAQLGLSGMAEMAISMTMDMDETTSARMPTAMAIAMTYIRMHIDM
+>NM_006979|512906_2_688_851
+MAIAMATPMRASTTEDMDMTMSIAMEAMGSLGLQASSRTWMLSLSGLMHWGPQC
+>DECOY_NM_006979|512906_2_688_851
+CQPGWHMLGSLSLMWTRSSAQLGLSGMAEMAISMTMDMDETTSARMPTAMAIAM
+>NM_006979|512907_2_700_851
+MATPMRASTTEDMDMTMSIAMEAMGSLGLQASSRTWMLSLSGLMHWGPQC
+>DECOY_NM_006979|512907_2_700_851
+CQPGWHMLGSLSLMWTRSSAQLGLSGMAEMAISMTMDMDETTSARMPTAM
+>NM_006979|512909_2_736_851
+MDMTMSIAMEAMGSLGLQASSRTWMLSLSGLMHWGPQC
+>DECOY_NM_006979|512909_2_736_851
+CQPGWHMLGSLSLMWTRSSAQLGLSGMAEMAISMTMDM
+>NM_006979|512912_2_760_851
+MEAMGSLGLQASSRTWMLSLSGLMHWGPQC
+>DECOY_NM_006979|512912_2_760_851
+CQPGWHMLGSLSLMWTRSSAQLGLSGMAEM
+>NM_006979|512913_2_769_851
+MGSLGLQASSRTWMLSLSGLMHWGPQC
+>DECOY_NM_006979|512913_2_769_851
+CQPGWHMLGSLSLMWTRSSAQLGLSGM
+>NM_006979|512916_2_1036_1130
+MDTPTVARAPFCLWDCGFSVELLPFLSWRNL
+>DECOY_NM_006979|512916_2_1036_1130
+LNRWSLFPLLEVSFGCDWLCFPARAVTPTDM
+>NM_006979|512917_2_1150_1322
+MVTVMDMDTLTVIHVEVMDMEDKSVLPRRSRAQRKKKRKQEGFRRGEEGAQYPKMGQ
+>DECOY_NM_006979|512917_2_1150_1322
+QGMKPYQAGEEGRRFGEQKRKKKRQARSRRPLVSKDEMDMVEVHIVTLTDMDMVTVM
+>NM_006979|512918_2_1162_1322
+MDMDTLTVIHVEVMDMEDKSVLPRRSRAQRKKKRKQEGFRRGEEGAQYPKMGQ
+>DECOY_NM_006979|512918_2_1162_1322
+QGMKPYQAGEEGRRFGEQKRKKKRQARSRRPLVSKDEMDMVEVHIVTLTDMDM
+>NM_006979|512919_2_1168_1322
+MDTLTVIHVEVMDMEDKSVLPRRSRAQRKKKRKQEGFRRGEEGAQYPKMGQ
+>DECOY_NM_006979|512919_2_1168_1322
+QGMKPYQAGEEGRRFGEQKRKKKRQARSRRPLVSKDEMDMVEVHIVTLTDM
+>NM_006979|512920_2_1201_1322
+MDMEDKSVLPRRSRAQRKKKRKQEGFRRGEEGAQYPKMGQ
+>DECOY_NM_006979|512920_2_1201_1322
+QGMKPYQAGEEGRRFGEQKRKKKRQARSRRPLVSKDEMDM
+>NM_006979|512921_2_1207_1322
+MEDKSVLPRRSRAQRKKKRKQEGFRRGEEGAQYPKMGQ
+>DECOY_NM_006979|512921_2_1207_1322
+QGMKPYQAGEEGRRFGEQKRKKKRQARSRRPLVSKDEM
+>NM_000517|512983_2_139_212
+MVRRPWRGCSCPSPPPRPTSRTST
+>DECOY_NM_000517|512983_2_139_212
+TSTRSTPRPPPSPCSCGRWPRRVM
+>NM_022124|513137_2_2805_2932
+MAPWCTASSHPTSSTASTAPRARSAPPTPCWTGRTPTPMRPS
+>DECOY_NM_022124|513137_2_2805_2932
+SPRMPTPTRGTWCPTPPASRARPATSATSSTPHSSATCWPAM
+>NM_022124|513148_2_4728_4921
+MGRWSSPWPLATSRGPLRSSPPMTPLAKCLWPGPWTEKSWITTSSRLWLLTEAPLHGRRTTSCR
+>DECOY_NM_022124|513148_2_4728_4921
+RCSTTRRGHLPAETLLWLRSSTTIWSKETWPGPWLCKALPTMPPSSRLPGRSTALPWPSSWRGM
+>NM_022124|513167_2_7704_7795
+MERASLPSTPPRVTSMCCLLWTGRRRTTIS
+>DECOY_NM_022124|513167_2_7704_7795
+SITTRRRGTWLLCCMSTVRPPTSPLSAREM
+>NM_003884|513367_2_2097_2173
+MAVLLVVSVSVCSHLKDSQRLSSVL
+>DECOY_NM_003884|513367_2_2097_2173
+LVSSLRQSDKLHSCVSVSVVLLVAM
+>NM_017724|513419_2_1264_1391
+MAQWVTWQDCRMAQTCSSSKCREMPIDKLANTNLSFQKQNRI
+>DECOY_NM_017724|513419_2_1264_1391
+IRNQKQFSLNTNALKDIPMERCKSSSCTQAMRCDQWTVWQAM
+>NM_017724|513420_2_1297_1391
+MAQTCSSSKCREMPIDKLANTNLSFQKQNRI
+>DECOY_NM_017724|513420_2_1297_1391
+IRNQKQFSLNTNALKDIPMERCKSSSCTQAM
+>NM_033450|513567_2_876_1102
+MGRVGCHAFPMPGWHPCWPVGPVESSGSLRTFAASPTDCSQPTWLVSSRHTGRRGHGCGGPCMGPLDGAIWHLDC
+>DECOY_NM_033450|513567_2_876_1102
+CDLHWIAGDLPGMCPGGCGHGRRGTHRSSVLWTPQSCDTPSAAFTRLSGSSEVPGVPWCPHWGPMPFAHCGVRGM
+>NM_033450|513575_2_2748_2932
+MDKSLTQPQPSQYRTQRKQRRGWRRSRAHLVACCRKKARRRAPWPCTCTKLTGRPWARAWP
+>DECOY_NM_033450|513575_2_2748_2932
+PWARAWPRGTLKTCTCPWPARRRAKKRCCAVLHARSRRWGRRQKRQTRYQSPQPQTLSKDM
+>NM_002970|513629_2_295_452
+MVLESTPFTTAWLQKCRKSTGLRKDTALLVLPCTILPMTRGLASYCILRTSS
+>DECOY_NM_002970|513629_2_295_452
+SSTRLICYSALGRTMPLITCPLVLLATDKRLGTSKRCKQLWATTFPTSELVM
+>NM_003632|513689_2_2551_2684
+MAIEIPGTPFPSTPGLHYASPQSVPTTAWMSPSTSGPLLPRGSS
+>DECOY_NM_003632|513689_2_2551_2684
+SSGRPLLPGSTSPSMWATTPVSQPSAYHLGPTSPFPTGPIEIAM
+>NM_003632|513693_2_2782_2867
+MGMRTSQYTQTTLSSMMTSGTWSGLKST
+>DECOY_NM_003632|513693_2_2782_2867
+TSKLGSWTGSTMMSSLTTQTYQSTRMGM
+>NM_003632|513701_2_3373_3614
+MAPGTACPTTPGLVGLCPVTVGLSTTLRERRSPSASAPAPPLLSCSTSVPLFVTTWLCSSRMMGPFSCDISWAPVPTCTS
+>DECOY_NM_003632|513701_2_3373_3614
+STCTPVPAWSIDCSFPGMMRSSCLWTTVFLPVSTSCSLLPPAPASASPSRRERLTTSLGVTVPCLGVLGPTTPCATGPAM
+>NM_003632|513708_3_4055_4149
+MGCHTFRLFGGLSAAGAGGNVGALLSAKSSL
+>DECOY_NM_003632|513708_3_4055_4149
+LSSKASLLAGVNGGAGAASLGGFLRFTHCGM
+>NM_001410|513918_2_1953_2185
+MGFRAQGSEPSTQPVFWAITWWSMGAMCTPITRRKSATKMASSSTTLAAINGCQELSLPRQEPLRAEQRLPVVGTHM
+>DECOY_NM_001410|513918_2_1953_2185
+MHTGVVPLRQEARLPEQRPLSLEQCGNIAALTTSSSAMKTASKRRTIPTCMAGMSWWTIAWFVPQTSPESGQARFGM
+>NM_001410|513921_2_2070_2185
+MASSSTTLAAINGCQELSLPRQEPLRAEQRLPVVGTHM
+>DECOY_NM_001410|513921_2_2070_2185
+MHTGVVPLRQEARLPEQRPLSLEQCGNIAALTTSSSAM
+>NM_001410|513926_2_3759_3850
+MASHTATARAWRTVAMVCAVAPRTLPACVT
+>DECOY_NM_001410|513926_2_3759_3850
+TVCAPLTRPAVACVMAVTRWARATATHSAM
+>NM_001410|513927_2_4182_4447
+MGIPGPVVPAFGSVGVAPSSPTCPQWHWAHAGSGGCCLQVAGLQEPGLACPTVCGLSRPLRSYSPVLPGPSVPHSPSPSPPTAAPPAR
+>DECOY_NM_001410|513927_2_4182_4447
+RAPPAATPPSPSPSHPVSPGPLVPSYSRLPRSLGCVTPCALGPEQLGAVQLCCGGSGAHAWHWQPCTPSSPAVGVSGFAPVVPGPIGM
+>NM_001410|513936_2_5361_5620
+MASTSSCWSTSWQPAPGCQEPRVGHPPQVSMVTLLSTTRPPTPSTCLGGSDSMWSWRPHPPSSTPCTVLTAPGVCWPLLRGQSEIV
+>DECOY_NM_001410|513936_2_5361_5620
+VIESQGRLLPWCVGPATLVTCPTSSPPHPRWSWMSDSGGLCTSPTPPRTTSLLTVMSVQPPHGVRPEQCGPAPQWSTSWCSSTSAM
+>NM_001410|513942_2_6120_6559
+MGPACPGIRPTGWAAGAPPAPQCLAPRRNVDVSGPAVSAWPAILGPCNLEMERRPPPAVSGVPTAPKVLALDAMGPAPLRMTVGSTSERSSGQGTAPRLRAGLLTASSARGRASACGRGSSRGQGRPAASSPCSPPMTGRASATLC
+>DECOY_NM_001410|513942_2_6120_6559
+CLTASARGTMPPSCPSSAAPRGQGRSSGRGCASARGRASSATLLGARLRPATGQGSSRESTSGVTMRLPAPGMADLALVKPATPVGSVAPPPRREMELNCPGLIAPWASVAPGSVDVNRRPALCQPAPPAGAAWGTPRIGPCAPGM
+>NM_001410|513943_2_6270_6559
+MERRPPPAVSGVPTAPKVLALDAMGPAPLRMTVGSTSERSSGQGTAPRLRAGLLTASSARGRASACGRGSSRGQGRPAASSPCSPPMTGRASATLC
+>DECOY_NM_001410|513943_2_6270_6559
+CLTASARGTMPPSCPSSAAPRGQGRSSGRGCASARGRASSATLLGARLRPATGQGSSRESTSGVTMRLPAPGMADLALVKPATPVGSVAPPPRREM
+>NM_001410|513944_2_6339_6559
+MGPAPLRMTVGSTSERSSGQGTAPRLRAGLLTASSARGRASACGRGSSRGQGRPAASSPCSPPMTGRASATLC
+>DECOY_NM_001410|513944_2_6339_6559
+CLTASARGTMPPSCPSSAAPRGQGRSSGRGCASARGRASSATLLGARLRPATGQGSSRESTSGVTMRLPAPGM
+>NM_001410|513947_2_7509_7843
+MGRNARAACRATSSWTGSAPNASVMATRTHVTSRMGRAVHVRITQRRAHARAAPPVTVETATSTSAPSAGNHFTGVRWAASSATASSRWSRSAAWTPRPRPTASMSPNAGR
+>DECOY_NM_001410|513947_2_7509_7843
+RGANPSMSATPRPRPTWAASRSWRSSATASSAAWRVGTFHNGASPASTSTATEVTVPPAARAHARRQTIRVHVARGMRSTVHTRTAMVSANPASGTWSSTARCAARANRGM
+>NM_001410|513948_2_7581_7843
+MATRTHVTSRMGRAVHVRITQRRAHARAAPPVTVETATSTSAPSAGNHFTGVRWAASSATASSRWSRSAAWTPRPRPTASMSPNAGR
+>DECOY_NM_001410|513948_2_7581_7843
+RGANPSMSATPRPRPTWAASRSWRSSATASSAAWRVGTFHNGASPASTSTATEVTVPPAARAHARRQTIRVHVARGMRSTVHTRTAM
+>NM_001410|513949_2_7611_7843
+MGRAVHVRITQRRAHARAAPPVTVETATSTSAPSAGNHFTGVRWAASSATASSRWSRSAAWTPRPRPTASMSPNAGR
+>DECOY_NM_001410|513949_2_7611_7843
+RGANPSMSATPRPRPTWAASRSWRSSATASSAAWRVGTFHNGASPASTSTATEVTVPPAARAHARRQTIRVHVARGM
+>NM_001410|513954_2_8757_8938
+MAWLAWPHCCSSCLAGPMHPTAPAWGQPSSHCGTGCTSTVGVVGVLGAVGMGLVRAGRDC
+>DECOY_NM_001410|513954_2_8757_8938
+CDRGARVLGMGVAGLVGVVGVTSTCGTGCHSSPQGWAPATPHMPGALCSSCCHPWALWAM
+>NM_001410|513961_3_5248_5567
+MAAGEGPPDRGAASRCWSHPYCPPRPVSAPGGRLLPGKWLQPAAAGVPAGNRHLGVRSPEWDTPHRSLWSLCCLPRGHRLPLRVWGVPIPCGAGGPIPRALLPALS
+>DECOY_NM_001410|513961_3_5248_5567
+SLAPLLARPIPGGAGCPIPVGWVRLPLRHGRPLCCLSWLSRHPTDWEPSRVGLHRNGAPVGAAAPQLWKGPLLRGGPASVPRPPCYPHSWCRSAAGRDPPGEGAAM
+>NM_001410|513962_3_5680_5852
+MGVPGSQEEDGSVGCSCWYRRFPGGNLTSPEGAPPPAFPRLSPVRGHHGGSWGALGP
+>DECOY_NM_001410|513962_3_5680_5852
+PGLAGWSGGHHGRVPSLRPFAPPPAGEPSTLNGGPFRRYWCSCGVSGDEEQSGPVGM
+>NM_001410|513964_3_8140_8372
+MAAGPDYLRDGDGAVGSAGGPRRAGPAGHHLPTRAPCPQVEPLLPAAAGRGRPKWARRQRLSRLAGPALLPAGPGPH
+>DECOY_NM_001410|513964_3_8140_8372
+HPGPGAPLLAPGALRSLRQRRAWKPRGRGAAAPLLPEVQPCPARTPLHHGAPGARRPGGASGVAGDGDRLYDPGAAM
+>NM_014567|514013_2_907_1058
+MARRCMTHPPWLSRVPMAETRCWRCMTCPPVWRRACHRPTTTQSTTFLHR
+>DECOY_NM_014567|514013_2_907_1058
+RHLFTTSQTTTPRHCARRWVPPCTMCRWCRTEAMPVRSLWPPHTMCRRAM
+>NM_030782|514069_2_347_435
+MGRCMPTSSSITLGSCRGTTGSRCTWSVL
+>DECOY_NM_030782|514069_2_347_435
+LVSWTCRSGTTGRCSGLTISSSTPMCRGM
+>NM_000550|514094_2_422_561
+MAEMIGRSGPCASSIGHVTATAISQDTTVGRAVLAGEELPVTRGFS
+>DECOY_NM_000550|514094_2_422_561
+SFGRTVPLEEGALVARGVTTDQSIATATVHGISSACPGSRGIMEAM
+>NM_000550|514099_2_1100_1329
+MGQLGEIQLEMWPDQWCNVFLNHRMSLSAWKLVYLTRLLFIPTLQTVSETQWKVTVTPRESMTLLFEVFTIWLIYS
+>DECOY_NM_000550|514099_2_1100_1329
+SYILWITFVEFLLTMSERPTVTVKWQTESVTQLTPIFLLRTLYVLKWASLSMRHNLFVNCWQDPWMELQIEGLQGM
+>NM_019112|514188_2_3427_3590
+MAARAAESALLSCWPWYSTGCPGHGWWRSCHTSWCWCCPTRVPMTAASPHSSES
+>DECOY_NM_019112|514188_2_3427_3590
+SESSHPSAATMPVRTPCCWCWSTHCSRWWGHGPCGTSYWPWCSLLASEAARAAM
+>NM_019112|514196_3_2522_2910
+MEFSFSEELLVRTSAPQESSPLPHPAGPKGAGRRGTARPESWRLRSQPGEALSWKPAASPAGAQPGLLPGPHHRLPGPQRGRQDHHPVHLEWPLPTQWWLCLHPGPRRPLQHGRHPAPPGRLSSVQRAV
+>DECOY_NM_019112|514196_3_2522_2910
+VARQVSSLRGPPAPHRGHQLPRRPGPHLCLWWQTPLPWELHVPHHDQRGRQPGPLRHHPGPLLGPQAGAPSAAPKWSLAEGPQSRLRWSEPRATGRRGAGKPGAPHPLPSSEQPASTRVLLEESFSFEM
+>NM_004528|514279_2_236_312
+MGTSSTAFSEPTRTRWKCILPSYFF
+>DECOY_NM_004528|514279_2_236_312
+FFYSPLICKWRTRTPESFATSSTGM
+>NM_019111|514292_2_526_752
+MENLSPQECQRQSSCPGKTTFSASSTISPSCPQLRTFTTAGWSTGAWMSLFSSTGSLMLQALSQRLQRTWCVPWA
+>DECOY_NM_019111|514292_2_526_752
+AWPVCWTRQLRQSLAQLMLSGTSSFLSMWAGTSWGATTFTRLQPCSPSITSSASFTTKGPCSSQRQCEQPSLNEM
+>NM_018981|514323_2_710_810
+MEKRDLRIIKVASMKAGTIIVMILVFMMMILKS
+>DECOY_NM_018981|514323_2_710_810
+SKLIMMMFVLIMVIITGAKMSAVKIIRLDRKEM
+>NM_018981|514331_3_2205_2311
+MEKNGPDINWTDQRGQYRLPTVSFFLCPGKRSKIP
+>DECOY_NM_018981|514331_3_2205_2311
+PIKSRKGPCLFFSVTPLRYQGRQDTWNIDPGNKEM
+>NM_003869|514352_2_1451_1527
+MEPPIRPCVYRTSPQWSQSFLASST
+>DECOY_NM_003869|514352_2_1451_1527
+TSSALFSQSWQPSTRYVCPRIPPEM
+>NM_003869|514354_2_1670_2118
+MVPCWLPWRTWWWSSSSTAWVSWASSALETSTQPATGATWTKWLHYAGSSRISPTLEATLTVSPFLASLRVARVCLRLLCPPYPKDSSTEPSWRVAWPSCPASLPAQLMSSPRWWPTCLPVTKLTLRPWWAACGARVKRRFLQLTSLSR
+>DECOY_NM_003869|514354_2_1670_2118
+RSLSTLQLFRRKVRAGCAAWWPRLTLKTVPLCTPWWRPSSMLQAPLSAPCSPWAVRWSPETSSDKPYPPCLLRLCVRAVRLSALFPSVTLTAELTPSIRSSGAYHLWKTWTAGTAPQTSTELASSAWSVWATSSSSWWWTRWPLWCPVM
+>NM_003869|514357_2_2561_2640
+MVMSFLLFSEVSLGATTLNSLRKRSS
+>DECOY_NM_003869|514357_2_2561_2640
+SSRKRLSNLTTAGLSVESFLLFSMVM
+>NM_173488|514410_2_1085_1158
+MVVQNGYGLGGLIFFLPLSLHGVH
+>DECOY_NM_173488|514410_2_1085_1158
+HVGHLSLPLFFILGGLGYGNQVVM
+>NM_173488|514413_2_1640_1833
+MEQGSWETSRLLAMKNVDAHLQFILLYVEEMILNIFLPALQGVHILKHKTKKRCTTIVLALKKD
+>DECOY_NM_173488|514413_2_1640_1833
+DKKLALVITTCRKKTKHKLIHVGQLAPLFINLIMEEVYLLIFQLHADVNKMALLRSTEWSGQEM
+>NM_003596|514440_3_1254_1330
+MGWEDTARCFTRHGSDCSYACQAWI
+>DECOY_NM_003596|514440_3_1254_1330
+IWAQCAYSCDSGHRTFCRATDEWGM
+>NM_000073|514447_2_498_616
+MEFASRELQTSRLCCPMTSSTSPSRIEKMTSTATFKETS
+>DECOY_NM_000073|514447_2_498_616
+STEKFTATSTMKEIRSPSTSSTMPCCLRSTQLERSAFEM
+>NM_016357|514478_2_2107_2213
+MGMWEKQPGKTKNLKERQGREVRKVIVWRWRMRIL
+>DECOY_NM_016357|514478_2_2107_2213
+LIRMRWRWVIVKRVERGQREKLNKTKGPQKEWMGM
+>NM_016357|514483_3_203_309
+MDLTIIEGNSQRTFSCQQEQVIGYCGNILQVPESS
+>DECOY_NM_016357|514483_3_203_309
+SSEPVQLINGCYGIVQEQQCSFTRQSNGEIITLDM
+>NM_014441|514744_2_833_921
+MAHLCHSQRASLCAWSVQLMQLTAIPLPG
+>DECOY_NM_014441|514744_2_833_921
+GPLPIATLQMLQVSWACLSARQSHCLHAM
+>NM_024757|514777_2_317_417
+MGFQKETQKRRSKTTSLPTTLCRLLSSAATDTS
+>DECOY_NM_024757|514777_2_317_417
+STDTAASSLLRCLTTPLSTTKSRRKQTEKQFGM
+>NM_024757|514782_2_1121_1284
+MVQSRRPRSPQRTAGLPRRACRRLIAPRRWTGSPRRSRSPWTPGRRRKAVTSLT
+>DECOY_NM_024757|514782_2_1121_1284
+TLSTVAKRRRGPTWPSRSRRPSGTWRRPAILRRCARRPLGATRQPSRPRRSQVM
+>NM_024757|514784_2_3401_3513
+MVSGQGCSSTGRGTWAGACGPCRTSHQAPLSASMLGS
+>DECOY_NM_024757|514784_2_3401_3513
+SGLMSASLPAQHSTRCPGCAGAWTGRGTSSCGQGSVM
+>NM_032025|514806_2_1169_1293
+MDTKFGIILALSCTSMMCHQMQNYGRFLGSHFWMEYFQQKQ
+>DECOY_NM_032025|514806_2_1169_1293
+QKQQFYEMWFHSGLFRGYNQMQHCMMSTCSLALIIGFKTDM
+>NM_032025|514810_3_1239_1315
+MAGFLAAIFGWNISSKNNNLPSSSK
+>DECOY_NM_032025|514810_3_1239_1315
+KSSSPLNNNKSSINWGFIAALFGAM
+>NM_001001891|514844_2_2609_2751
+MDIIPRPTGIFLPSAWPSSLCLSMWFSPLAASWTSWCLTSQSLWRSK
+>DECOY_NM_001001891|514844_2_2609_2751
+KSRWLSQSTLCWSTWSAALPSFWMSLCLSSPWASPLFIGTPRPIIDM
+>NM_001001891|514849_3_726_832
+MAGHPQRPAGGCARRTPRVLLLPVQSEQAATLPRE
+>DECOY_NM_001001891|514849_3_726_832
+ERPLTAAQESQVPLLLVRPTRRACGGAPRQPHGAM
+>NM_001001891|514850_3_1821_1987
+MGNAPHPDQVRGRLHPQGVHLPVRQLLLLTRLHCLLQGQVCGIPRQLPHLVWSPQ
+>DECOY_NM_001001891|514850_3_1821_1987
+QPSWVLHPLQRPIGCVQGQLLCHLRTLLLLQRVPLHVGQPHLRGRVQDPHPANGM
+>NM_001137550|514876_2_272_405
+MGWIQNGVTSSSGWKTVSATLVDPEETHRLLMKTSACQWVVVEA
+>DECOY_NM_001137550|514876_2_272_405
+AEVVVWQCASTKMLLRHTEEPDVLTASVTKWGSSSTVGNQIWGM
+>NM_000135|514955_2_1429_1616
+MAAARRPWSSCLRSCQNSCLLSLPGTCRCTFSTHPWFPASTAPSSQTTSHWPRHGWPTSRFL
+>DECOY_NM_000135|514955_2_1429_1616
+LFRSTPWGHRPWHSTTQSSPATSAPFWPHTSFTCRCTGPLSLLCSNQCSRLCSSWPRRAAAM
+>NM_133170|515118_2_4127_4233
+MGPSRWSSSPQTSTRTSSTEYSASVTWPGHRMVIV
+>DECOY_NM_133170|515118_2_4127_4233
+VIVMRHGPWTVSASYETSSTRTSTQPSSSWRSPGM
+>NM_133170|515150_3_870_997
+MEWQGHGPDGHPCGQPQALLSHSQCGRHCPAERQQVPLCDPL
+>DECOY_NM_133170|515150_3_870_997
+LPDCLPVQQREAPCHRGCQSHSLLAQPQGCPHGDPGHGQWEM
+>NM_021174|515403_2_2271_2383
+MGFCPNHSLLGERKKKNPGARLLRTCVRWPWTQNCCF
+>DECOY_NM_021174|515403_2_2271_2383
+FCCNQTWPWRVCTRLLRAGPNKKKREGLLSHNPCFGM
+>NM_021174|515405_2_2391_2527
+MERRSLQEQSWRIRRSGPLPQTSQRWSSLHFRTCPRSWIPLLCSP
+>DECOY_NM_021174|515405_2_2391_2527
+PSCLLPIWSRPCTRFHLSSWRQSTQPLPGSRRIRWSQEQLSRREM
+>NM_014674|515487_3_137_660
+MASARPGAGAPPAWPPWSIVARLRAGAQHGLLPALSAQLRLPASEEPRRPRVAHLGARGPAWGGIRAVVAAAAGDRGSAEPAQGSAASWAGDVRPSQLGLRAGRPGPRPGRVREALQRRLPSAAACPDARPGTGHVRLWLRQLHGSRLPPGRAQPHPLPRPWARPRGPFKSEHQ
+>DECOY_NM_014674|515487_3_137_660
+QHESKFPGRPRAWPRPLPHPQARGPPLRSGHLQRLWLRVHGTGPRADPCAAASPLRRQLAERVRGPRPGPRGARLGLQSPRVDGAWSAASGQAPEASGRDGAAAAVVARIGGWAPGRAGLHAVRPRRPEESAPLRLQASLAPLLGHQAGARLRAVISWPPWAPPAGAGPRASAM
+>NM_004947|515601_2_1623_1852
+MAPPSQMIFTSFMCTSVMRIARLITMLCTWACPAAKRTTMAALIFLLASSSSAAPKSLSSSPLSSPLPNSPRMWTS
+>DECOY_NM_004947|515601_2_1623_1852
+STWMRPSNPLPSSLPSSSLSKPAASSSSALLFILAAMTTRKAAPCAWTCLMTILRAIRMVSTCMFSTFIMQSPPAM
+>NM_004947|515606_2_1740_1852
+MAALIFLLASSSSAAPKSLSSSPLSSPLPNSPRMWTS
+>DECOY_NM_004947|515606_2_1740_1852
+STWMRPSNPLPSSLPSSSLSKPAASSSSALLFILAAM
+>NM_004947|515627_3_307_383
+MGKFVETVVCETQSRSFLQTTPCDE
+>DECOY_NM_004947|515627_3_307_383
+EDCPTTQLFSRSQTECVVTEVFKGM
+>NM_003105|515679_2_1461_1762
+MERKSIVSFPRAVPFIWLSASVSSSTSSSGECPSCPRSRLQASSSPLAQWERTWLARQTCTSLAVLEPGGERHFLDLTTTHGETTAESSRPLPRAWKPTS
+>DECOY_NM_003105|515679_2_1461_1762
+STPKWARPLPRSSEATTEGHTTTLDLFHREGGPELVALSTCTQRALWTREWQALPSSSAQLRSRPCSPCEGSSSTSSSVSASLWIFPVARPFSVISKREM
+>NM_003105|515700_2_3756_4099
+MVPMRIQSTVRRSAMDSAAQTALASHPANIVMVCVIALMAPMNSTASPSVRTSWTLCVRTASSACSTPWSVTESSSAATGPMRMRRLQDAPKILSSTRYVMSSVSSVRMECASV
+>DECOY_NM_003105|515700_2_3756_4099
+VSACEMRVSSVSSMVYRTSSLIKPADQLRRMRMPGTAASSSETVSWPTSCASSATRVCLTWSTRVSPSATSNMPAMLAIVCVMVINAPHSALATQAASDMASRRVTSQIRMPVM
+>NM_003105|515702_2_3798_4099
+MDSAAQTALASHPANIVMVCVIALMAPMNSTASPSVRTSWTLCVRTASSACSTPWSVTESSSAATGPMRMRRLQDAPKILSSTRYVMSSVSSVRMECASV
+>DECOY_NM_003105|515702_2_3798_4099
+VSACEMRVSSVSSMVYRTSSLIKPADQLRRMRMPGTAASSSETVSWPTSCASSATRVCLTWSTRVSPSATSNMPAMLAIVCVMVINAPHSALATQAASDM
+>NM_003105|515703_2_3849_4099
+MVCVIALMAPMNSTASPSVRTSWTLCVRTASSACSTPWSVTESSSAATGPMRMRRLQDAPKILSSTRYVMSSVSSVRMECASV
+>DECOY_NM_003105|515703_2_3849_4099
+VSACEMRVSSVSSMVYRTSSLIKPADQLRRMRMPGTAASSSETVSWPTSCASSATRVCLTWSTRVSPSATSNMPAMLAIVCVM
+>NM_003105|515710_2_4212_4375
+MATASPTDGNVTGRTTVGTGLMRRIVEIHIFFPSRLLGPPRVCPITTAAAVGPA
+>DECOY_NM_003105|515710_2_4212_4375
+APGVAAATTIPCVRPPGLLRSPFFIHIEVIRRMLGTGVTTRGTVNGDTPSATAM
+>NM_003688|515833_3_2082_2176
+MASSLHCHGEDQTGAAGQLYLVWQEKEAVQR
+>DECOY_NM_003688|515833_3_2082_2176
+RQVAEKEQWVLYLQGAAGTQDEGHCHLSSAM
+>NM_001818|515876_3_710_852
+MGGPKLPSSFGGPSSLCLSKETQTNPSPDCPALPAAAWGCGPGQELQ
+>DECOY_NM_001818|515876_3_710_852
+QLEQGPGCGWAAAPLAPCDPSPNTQTEKSLCLSSPGGFSSPLKPGGM
+>NM_005164|515948_2_1549_1673
+MAKSKLWLVNGQKPLPLLEIYWPLELMLLKGLCLHTKRSLN
+>DECOY_NM_005164|515948_2_1549_1673
+NLSRKTHLCLGKLLMLELPWYIELLPLPKQGNVLWLKSKAM
+>NM_005164|515960_3_2219_2301
+MGCCYGLERCPVRRGKAKNGHGSYVLS
+>DECOY_NM_005164|515960_3_2219_2301
+SLVYSGHGNKAKGRRVPCRELGYCCGM
+>NM_005802|516063_2_2253_2527
+MEADTNGSILITVETRTGMGTNHLTGGGLCPELIILDSLQVQNLEFSPFLKEQMLGKKIITVRGSITTMKGTDQGACLVTDQGLHLPGLTG
+>DECOY_NM_005802|516063_2_2253_2527
+GTLGPLHLGQDTVLCAGQDTGKMTTISGRVTIIKKGLMQEKLFPSFELNQVQLSDLIILEPCLGGGTLHNTGMGTRTEVTILISGNTDAEM
+>NM_005802|516064_2_2307_2527
+MGTNHLTGGGLCPELIILDSLQVQNLEFSPFLKEQMLGKKIITVRGSITTMKGTDQGACLVTDQGLHLPGLTG
+>DECOY_NM_005802|516064_2_2307_2527
+GTLGPLHLGQDTVLCAGQDTGKMTTISGRVTIIKKGLMQEKLFPSFELNQVQLSDLIILEPCLGGGTLHNTGM
+>NM_080669|516169_2_268_362
+MAPAKGGAAATAARTPPCRKWRPLPPTGPST
+>DECOY_NM_080669|516169_2_268_362
+TSPGTPPLPRWKRCPPTRAATAAAGGKAPAM
+>NM_144497|516271_2_1801_1886
+MGKLKKELLPMERKKEKVSLPGHHSKRW
+>DECOY_NM_144497|516271_2_1801_1886
+WRKSHHGPLSVKEKKREMPLLEKKLKGM
+>NM_144497|516282_2_4645_4751
+MGFWNLRPKAVNLSKTSSRQPLTSLYVQKKQPPKC
+>DECOY_NM_144497|516282_2_4645_4751
+CKPPQKKQVYLSTLPQRSSTKSLNVAKPRLNWFGM
+>NM_005635|516433_2_494_615
+MGNNCTPQEKQIFLRRLIRDLDPKGGNMPGPTDCVRESSW
+>DECOY_NM_005635|516433_2_494_615
+WSSERVCDTPGPMNGGKPDLDRILRRLFIQKEQPTCNNGM
+>NM_001402|516452_2_652_929
+MVTTCWSQVLTCLGSRDGKSPVRMAMPVEPRCLRLWTASYHQLVQLTSPCACLSRMSTKLVVLVLFLLAEWRLVFSNPVWWSPLLQSTLQRK
+>DECOY_NM_001402|516452_2_652_929
+KRQLTSQLLPSWWVPNSFVLRWEALLFLVLVVLKTSMRSLCACPSTLQVLQHYSATWLRLCRPEVPMAMRVPSKGDRSGLCTLVQSWCTTVM
+>NM_001402|516453_2_721_929
+MAMPVEPRCLRLWTASYHQLVQLTSPCACLSRMSTKLVVLVLFLLAEWRLVFSNPVWWSPLLQSTLQRK
+>DECOY_NM_001402|516453_2_721_929
+KRQLTSQLLPSWWVPNSFVLRWEALLFLVLVVLKTSMRSLCACPSTLQVLQHYSATWLRLCRPEVPMAM
+>NM_080548|516478_2_489_808
+MATCLAGRQRRCCRPRASPGRFLCVRASASLETSCFLCSVTSPRLAQAPRSGSPTSRSCARVDATQWVVWRPSTASRTWWSISRRRGLRRPQAPLSTCGSRTMPRG
+>DECOY_NM_080548|516478_2_489_808
+GRPMTRSGCTSLPAQPRRLGRRRSISWWTRSATSPRWVVWQTADVRACSRSTPSGSRPAQALRPSTVSCLFCSTELSASARVCLFRGPSARPRCCRRQRGALCTAM
+>NM_080548|516480_2_1413_1789
+MGSPVSLGVSSASWTRSTSGRKVCLTQGPSSCTAAPASAAQAPSLSSTCSWRTSPPRAWTVTLTSRRPSRWCGRSARAWCRRRRSTSSSTWPSPSSLKPLRRSWRSCSRRRARSRSTGTSPIPQP
+>DECOY_NM_080548|516480_2_1413_1789
+PQPIPSTGTSRSRARRRSCSRWSRRLPKLSSPSPWTSSSTSRRRRCWARASRGCWRSPRRSTLTVTWARPPSTRWSCTSSLSPAQAASAPAATCSSPGQTLCVKRGSTSRTWSASSVGLSVPSGM
+>NM_004075|516501_2_2387_2508
+MEASWDILQKISQVVAAVEVALKGVVFYTMLMATVSKLTC
+>DECOY_NM_004075|516501_2_2387_2508
+CTLKSVTAMLMTYFVVGKLAVEVAAVVQSIKQLIDWSAEM
+>NM_004672|516534_2_2725_3221
+MGKQLTSGHWAALSLRWPQVAPPSTSSGAHRLPCFRWVCTRSIRQCPALCRPRPKPFSSELLSQTPASEPAPRHCWGTPSCSLGKGAAAPAPHDMLHGPQMPLLPVPLLQPTQPPSLRHSRALRHPLSTHPAPRSAASVMGAPASSGCPRSLRPRSLRLRRRVRG
+>DECOY_NM_004672|516534_2_2725_3221
+GRVRRRLRLSRPRLSRPCGSSAPAGMVSAASRPAPHTSLPHRLARSHRLSPPQTPQLLPVPLLPMQPGHLMDHPAPAAAGKGLSCSPTGWCHRPAPESAPTQSLLESSFPKPRPRCLAPCQRISRTCVWRFCPLRHAGSSTSPPAVQPWRLSLAAWHGSTLQKGM
+>NM_004672|516537_2_3142_3221
+MGAPASSGCPRSLRPRSLRLRRRVRG
+>DECOY_NM_004672|516537_2_3142_3221
+GRVRRRLRLSRPRLSRPCGSSAPAGM
+>NM_014222|516583_2_236_312
+MELNVISPTRSLCSAAGKRKIRGGV
+>DECOY_NM_014222|516583_2_236_312
+VGGRIKRKGAASCLSRTPSIVNLEM
+>NM_018644|516623_3_494_996
+MVRHAAHHPRGDAHLQPPGAEGRADAHGQHAAARAQPPLAGGGGCAAPDAADRAPAARHRPQLHAPARGDAPQLQAARRRPRPTHPAGHHAAQPGPALAARDLPAQLQPAWRGLLRRRRQHLQPGALRRDAQHQEGVRVARRLRGWPAVRGPTGERGREGGRLEDGV
+>DECOY_NM_018644|516623_3_494_996
+VGDELRGGERGREGTPGRVAPWGRLRRAVRVGEQHQADRRLAGPQLHQRRRRLLGRWAPQLQAPLDRAALAPGPQAAHHGAPHTPRPRRRAAQLQPADGRAPAHLQPRHRAAPARDAADPAACGGGGALPPQARAAAHQGHADARGEAGPPQLHADGRPHHAAHRVM
+>NM_017451|516663_2_1339_1442
+MAGTTERVRRPRCGAGFPSPTPGSWTAMAVTGCT
+>DECOY_NM_017451|516663_2_1339_1442
+TCGTVAMATWSGPTPSPFGAGCRPRRVRETTGAM
+>NM_016356|516701_2_909_991
+MGSFMWLLAEISLRNCLTVSYFLTSQR
+>DECOY_NM_016356|516701_2_909_991
+RQSTLFYSVTLCNRLSIEALLWMFSGM
+>NM_001127605|516727_2_367_677
+MDIFCALTEFLMGGRTILTKVPNQLSSCNMACWQILVTGSQTLPTAAWASFLLMLVLTCGWATAEEIPGLGNIRHSQFLRMNSGLSVMMRWQNMTYQLPLTSF
+>DECOY_NM_001127605|516727_2_367_677
+FSTLPLQYTMNQWRMMVSLGSNMRLFQSHRINGLGPIEEATAWGCTLVLMLLFSAWAATPLTQSGTVLIQWCAMNCSSLQNPVKTLITRGGMLFETLACFIDM
+>NM_001127605|516729_2_454_677
+MACWQILVTGSQTLPTAAWASFLLMLVLTCGWATAEEIPGLGNIRHSQFLRMNSGLSVMMRWQNMTYQLPLTSF
+>DECOY_NM_001127605|516729_2_454_677
+FSTLPLQYTMNQWRMMVSLGSNMRLFQSHRINGLGPIEEATAWGCTLVLMLLFSAWAATPLTQSGTVLIQWCAM
+>NM_004544|516772_2_378_532
+MGSPSPPTIMATVVWRNFTMIREAMMATVTACSPGCTAVACCSTQMPWSTC
+>DECOY_NM_004544|516772_2_378_532
+CTSWPMQTSCCAVATCGPSCATVTAMMAERIMTFNRWVVTAMITPPSPSGM
+>NM_004544|516773_2_405_532
+MATVVWRNFTMIREAMMATVTACSPGCTAVACCSTQMPWSTC
+>DECOY_NM_004544|516773_2_405_532
+CTSWPMQTSCCAVATCGPSCATVTAMMAERIMTFNRWVVTAM
+>NM_004544|516776_2_453_532
+MATVTACSPGCTAVACCSTQMPWSTC
+>DECOY_NM_004544|516776_2_453_532
+CTSWPMQTSCCAVATCGPSCATVTAM
+>NM_006654|516942_2_703_839
+MAMTRISFLLKVVEGVKLDKESLPLSVPVQKNYLTCCKRLCKIIV
+>DECOY_NM_006654|516942_2_703_839
+VIIKCLRKCCTLYNKQVPVSLPLSEKDLKVGEVVKLLFSIRTMAM
+>NM_003024|517039_2_2342_2487
+MARKKANRKHKTSWVGFSINTKNQLSQLSRHPGPLQKKVHLPFLHRKM
+>DECOY_NM_003024|517039_2_2342_2487
+MKRHLFPLHVKKQLPGPHRSLQSLQNKTNISFGVWSTKHKRNAKKRAM
+>NM_178826|517283_2_807_931
+MESVELTTSLCTENPTPRLKREKYLKETLEQKDCKWRKSPL
+>DECOY_NM_178826|517283_2_807_931
+LPSKRWKCDKQELTEKLYKERKLRPTPNETCLSTTLEVSEM
+>NM_178826|517290_2_1737_1819
+MEPLSSLLFSWQSGQQFSWSFGKDGEQ
+>DECOY_NM_178826|517290_2_1737_1819
+QEGDKGFSWSFQQGSQWSFLLSSLPEM
+>NM_005233|517356_2_1774_1856
+MGRTAASLSLKLVQTLSPSLVKVAKWS
+>DECOY_NM_005233|517356_2_1774_1856
+SWKAVKVLSPSLTQVLKLSLSAATRGM
+>NM_181642|517500_2_1234_1559
+MAAASTVSWSVTTPPTAPTPPTRLPVKNTRVALTSSSASISPVTKGTAWTCQTQDSARRASRAGTTTPSANTAPALPMVVVTATRTTLRKSSSASSLVAASPRRMCLA
+>DECOY_NM_181642|517500_2_1234_1559
+ALCMRRPSAAVLSSASSSKRLTTRTATVVVMPLAPATNASPTTTGARSARRASDQTQCTWATGKTVPSISASSSTLAVRTNKVPLRTPPTPATPPTTVSWSVTSAAAM
+>NM_001522|517581_2_349_440
+MALHLPSSCGACAFCLSCPFRSRCGHSPTR
+>DECOY_NM_001522|517581_2_349_440
+RTPSHGCRSRFPCSLCFACAGCSSPLHLAM
+>NM_001522|517587_2_3511_3587
+MGKWAMACNQWRLQPSKEEKQKGSW
+>DECOY_NM_001522|517587_2_3511_3587
+WSGKQKEEKSPQLRWQNCAMAWKGM
+>NM_000908|517799_2_1113_1192
+MAHGREETNTTLKLSKHTRPSRQSLY
+>DECOY_NM_000908|517799_2_1113_1192
+YLSQRSPRTHKSLKLTTNTEERGHAM
+>NM_005569|517894_2_464_690
+MGMHMHWCSMPPSTVGSATMRWCWHPCLRDSPQSLFRSSCPTLSRSSPCRPPLKAGGASPCPWRVPAPTTPPLCK
+>DECOY_NM_005569|517894_2_464_690
+KCLPPTTPAPVRWPCPSAGGAKLPPRCPSSRSLTPCSSRFLSQPSDRLCPHWCWRMTASGVTSPPMSCWHMHMGM
+>NM_005569|517905_3_216_301
+MVQDCQRNLARLLLPVFRMPGFPHQLVL
+>DECOY_NM_005569|517905_3_216_301
+LVLQHPFGPMRFVPLLLRALNRQCDQVM
+>NM_003822|517933_2_360_436
+MGSSPVKAARDFLSEQSKIIKGTHV
+>DECOY_NM_003822|517933_2_360_436
+VHTGKIIKSQESLFDRAAKVPSSGM
+>NM_004836|517972_2_569_657
+MVKSSGIWMWDPVPWCHPALANQRYLGIR
+>DECOY_NM_004836|517972_2_569_657
+RIGLYRQNALAPHCWPVPDWMWIGSSKVM
+>NM_004836|517978_2_1598_1776
+MVHFQSCSIHMIMVIIYHTTRGRGTNEAHRLQSDSSTTHITTRISAKRILFFFYTGGKK
+>DECOY_NM_004836|517978_2_1598_1776
+KKGGTYFFFLIRKASIRTTIHTTSSDSQLRHAENTGRGRTTHYIIVMIMHISCSQFHVM
+>NM_004836|517980_2_1634_1776
+MVIIYHTTRGRGTNEAHRLQSDSSTTHITTRISAKRILFFFYTGGKK
+>DECOY_NM_004836|517980_2_1634_1776
+KKGGTYFFFLIRKASIRTTIHTTSSDSQLRHAENTGRGRTTHYIIVM
+>NM_018014|518053_2_2023_2114
+MALLMAAAAPRASRPRGACPKSCCWAAPAR
+>DECOY_NM_018014|518053_2_2023_2114
+RAPAAWCCSKPCAGRPRSARPAAAAMLLAM
+>NM_007210|518165_3_2060_2160
+MGIGPGSAHQELRIWYLPDIPGQKASHGPLQSQ
+>DECOY_NM_007210|518165_3_2060_2160
+QSQLPGHSAKQGPIDPLYWIRLEQHASGPGIGM
+>NM_012449|518250_3_937_1025
+MERISLYSEQARNCFPSTGHNTRIDFCLE
+>DECOY_NM_012449|518250_3_937_1025
+ELCFDIRTNHGTSPFCNRAQESYLSIREM
+>NM_012239|518470_2_194_417
+MGPAVSPWTRRAPCRGLPDPRCPGHSGGSRGQQLPVSSFRVLKVEEGPYLFLWVLQVLLEVEAAVTRGSFPCRM
+>DECOY_NM_012239|518470_2_194_417
+MRCPFSGRTVAAEVELLVQLVWLFLYPGEEVKLVRFSSVPLQQGRSGGSHGPCRPDPLGRCPARRTWPSVAPGM
+>NM_139321|518528_2_721_803
+MAMRLSLRLLPHQVMPCCIFLVMLLII
+>DECOY_NM_139321|518528_2_721_803
+IILLMVLFICCPMVQHPLLRLSLRMAM
+>NM_139321|518532_2_1267_1340
+MVILWHYTRIKFTCMEEKLIQLGM
+>DECOY_NM_139321|518532_2_1267_1340
+MGLQILKEEMCTFKIRTYHWLIVM
+>NM_139321|518537_2_1831_2063
+MAPNASLQISWPMTLPVTAGQCFPDLISTMMSTDLAIQQSYTTAPCMCSVVSIVSSSATSWYSPRNSVMRIGVKPLV
+>DECOY_NM_139321|518537_2_1831_2063
+VLPKVGIRMVSNRPSYWSTASSSVISVVSCMCPATTYSQQIALDTSMMTSILDPFCQGATVPLTMPWSIQLSANPAM
+>NM_139321|518548_2_3424_3515
+MGTRLCATPTRASASAPPRASRGTSASYVR
+>DECOY_NM_139321|518548_2_3424_3515
+RVYSASTGRSARPPASASARTPTACLRTGM
+>NM_004408|518608_2_2011_2117
+MAPTASCIPWTHSWNGKWRPSGILWTHTWPLSTRP
+>DECOY_NM_004408|518608_2_2011_2117
+PRTSLPWTHTWLIGSPRWKGNWSHTWPICSATPAM
+>NM_018557|518680_2_1264_1340
+MVSWTAQMGMTKEYIVRNCYPIANS
+>DECOY_NM_018557|518680_2_1264_1340
+SNAIPYCNRVIYEKTMGMQATWSVM
+>NM_018557|518681_2_1417_1526
+MGEAVKIKMNVLFMVHAARPAETHMDPTLAVVWKAT
+>DECOY_NM_018557|518681_2_1417_1526
+TAKWVVALTPDMHTEAPRAAHVMFLVNMKIKVAEGM
+>NM_018557|518688_2_2506_2591
+MAGHAKDQRMSCSSFMGKDAQELLEEWT
+>DECOY_NM_018557|518688_2_2506_2591
+TWEELLEQADKGMFSSCSMRQDKAHGAM
+>NM_018557|518690_2_3376_3584
+MGAVVHFAWLSQEAGCVLVPIINFWMKMGQLAHLILEKHYLTYVKLESFAAKTDTVSKLGGNVMATMTA
+>DECOY_NM_018557|518690_2_3376_3584
+ATMTAMVNGGLKSVTDTKAAFSELKVYTLYHKELILHALQGMKMWFNIIPVLVCGAEQSLWAFHVVAGM
+>NM_018557|518692_2_3457_3584
+MGQLAHLILEKHYLTYVKLESFAAKTDTVSKLGGNVMATMTA
+>DECOY_NM_018557|518692_2_3457_3584
+ATMTAMVNGGLKSVTDTKAAFSELKVYTLYHKELILHALQGM
+>NM_018557|518694_2_3778_3881
+MGVAFPEHGCVTGKTTVVTRQMKWHLVNSQLVSH
+>DECOY_NM_018557|518694_2_3778_3881
+HSVLQSNVLHWKMQRTVVTTKGTVCGHEPFAVGM
+>NM_018557|518697_2_4048_4505
+MVTMTVGTSVMKPRSIVLKKRFILLLVVTEMNFSATLMVIAFLICGAVMEKKTVKMVVMKKVAMVPYDCVTTKPSFPVGVQGDASTKHGCVMEILIAKISQMKMTVTVSCVDHPSILVLMTPQSACSQRNSAMGKRIVLMALMKAISVMSVR
+>DECOY_NM_018557|518697_2_4048_4505
+RVSMVSIAKMLAMLVIRKGMASNRQSCASQPTMLVLISPHDVCSVTVTMKMQSIKAILIEMVCGHKTSADGQVGVPFSPKTTVCDYPVMAVKKMVVMKVTKKEMVAGCILFAIVMLTASFNMETVVLLLIFRKKLVISRPKMVSTGVTMTVM
+>NM_018557|518702_2_4192_4505
+MEKKTVKMVVMKKVAMVPYDCVTTKPSFPVGVQGDASTKHGCVMEILIAKISQMKMTVTVSCVDHPSILVLMTPQSACSQRNSAMGKRIVLMALMKAISVMSVR
+>DECOY_NM_018557|518702_2_4192_4505
+RVSMVSIAKMLAMLVIRKGMASNRQSCASQPTMLVLISPHDVCSVTVTMKMQSIKAILIEMVCGHKTSADGQVGVPFSPKTTVCDYPVMAVKKMVVMKVTKKEM
+>NM_018557|518703_2_4213_4505
+MVVMKKVAMVPYDCVTTKPSFPVGVQGDASTKHGCVMEILIAKISQMKMTVTVSCVDHPSILVLMTPQSACSQRNSAMGKRIVLMALMKAISVMSVR
+>DECOY_NM_018557|518703_2_4213_4505
+RVSMVSIAKMLAMLVIRKGMASNRQSCASQPTMLVLISPHDVCSVTVTMKMQSIKAILIEMVCGHKTSADGQVGVPFSPKTTVCDYPVMAVKKMVVM
+>NM_018557|518705_2_4237_4505
+MVPYDCVTTKPSFPVGVQGDASTKHGCVMEILIAKISQMKMTVTVSCVDHPSILVLMTPQSACSQRNSAMGKRIVLMALMKAISVMSVR
+>DECOY_NM_018557|518705_2_4237_4505
+RVSMVSIAKMLAMLVIRKGMASNRQSCASQPTMLVLISPHDVCSVTVTMKMQSIKAILIEMVCGHKTSADGQVGVPFSPKTTVCDYPVM
+>NM_018557|518706_2_4321_4505
+MEILIAKISQMKMTVTVSCVDHPSILVLMTPQSACSQRNSAMGKRIVLMALMKAISVMSVR
+>DECOY_NM_018557|518706_2_4321_4505
+RVSMVSIAKMLAMLVIRKGMASNRQSCASQPTMLVLISPHDVCSVTVTMKMQSIKAILIEM
+>NM_018557|518710_2_4510_4637
+MEAVATTVLLFLEEELSVPALKDFNSTKTIKHVKLWIIVAII
+>DECOY_NM_018557|518710_2_4510_4637
+IIAVIIWLKVHKITKTSNFDKLAPVSLEEELFLLVTTAVAEM
+>NM_018557|518717_2_6496_6602
+MVDALNFVYQHLKLQGLVCVQWDIISKRTVCHVKV
+>DECOY_NM_018557|518717_2_6496_6602
+VKVHCVTRKSIIDWQVCVLGQLKLHQYVFNLADVM
+>NM_018557|518722_2_7423_7520
+MVAVSNSVFIEEIPGELVLVPMDIWQKMELLA
+>DECOY_NM_018557|518722_2_7423_7520
+ALLEMKQWIDMPVLVLEGPIEEIFVSNSVAVM
+>NM_018557|518726_2_8530_8723
+MVSALTTSSPVMAFLTVKINQMKNCSTVKTEAVEEASSHAIIAAAFLMASYVMEKMTAETTLMN
+>DECOY_NM_018557|518726_2_8530_8723
+NMLTTEATMKEMVYSAMLFAAAIIAHSSAEEVAETKVTSCNKMQNIKVTLFAMVPSSTTLASVM
+>NM_018557|518727_2_8563_8723
+MAFLTVKINQMKNCSTVKTEAVEEASSHAIIAAAFLMASYVMEKMTAETTLMN
+>DECOY_NM_018557|518727_2_8563_8723
+NMLTTEATMKEMVYSAMLFAAAIIAHSSAEEVAETKVTSCNKMQNIKVTLFAM
+>NM_018557|518729_2_9076_9218
+MVRKIVRMDVMNSTVILLALGTNLLVPHKNVFLSIGFVMEKMTVGMG
+>DECOY_NM_018557|518729_2_9076_9218
+GMGVTMKEMVFGISLFVNKHPVLLNTGLALLIVTSNMVDMRVIKRVM
+>NM_018557|518730_2_9097_9218
+MDVMNSTVILLALGTNLLVPHKNVFLSIGFVMEKMTVGMG
+>DECOY_NM_018557|518730_2_9097_9218
+GMGVTMKEMVFGISLFVNKHPVLLNTGLALLIVTSNMVDM
+>NM_018557|518732_2_9316_9548
+MVKGTVQMEAMSFPQQAALPIIHVMKMLSCAIIKYAFPSNLFVTMMTTVEMALMSHRSVDIDSVVQKNLVVLMGGVF
+>DECOY_NM_018557|518732_2_9316_9548
+FVGGMLVVLNKQVVSDIDVSRHSMLAMEVTTMMTVFLNSPFAYKIIACSLMKMVHIIPLAAQQPFSMAEMQVTGKVM
+>NM_018557|518739_2_9466_9548
+MALMSHRSVDIDSVVQKNLVVLMGGVF
+>DECOY_NM_018557|518739_2_9466_9548
+FVGGMLVVLNKQVVSDIDVSRHSMLAM
+>NM_018557|518741_2_9670_9752
+MAGAFPVEVFATIRMTVAMVQMRETAI
+>DECOY_NM_018557|518741_2_9670_9752
+IATERMQVMAVTMRITAFVEVPFAGAM
+>NM_018557|518747_2_11002_11207
+MDLMNLMTVLNLDVSQADFSVGLDSVLYQLSSVMERMIVETILMNSTVTHMSACQVNSNVPRTRNVSQ
+>DECOY_NM_018557|518747_2_11002_11207
+QSVNRTRPVNSNVQCASMHTVTSNMLITEVIMREMVSSLQYLVSDLGVSFDAQSVDLNLVTMLNMLDM
+>NM_018557|518750_2_11101_11207
+MERMIVETILMNSTVTHMSACQVNSNVPRTRNVSQ
+>DECOY_NM_018557|518750_2_11101_11207
+QSVNRTRPVNSNVQCASMHTVTSNMLITEVIMREM
+>NM_018557|518753_2_11221_11522
+MGKMTVVMRKMKETVLKTAVLQTISSVRLRSIAFPSCGFVTRIQTVQMHQTRPTAIKRLVDLMNSSVKTTTVFPITGGVIAKMTAVIIQMKKTVSHRHVH
+>DECOY_NM_018557|518753_2_11221_11522
+HVHRHSVTKKMQIIVATMKAIVGGTIPFVTTTKVSSNMLDVLRKIATPRTQHMQVTQIRTVFGCSPFAISRLRVSSITQLVATKLVTEKMKRMVVTMKGM
+>NM_018557|518760_2_11575_11804
+MEILTVQMALMREIVRQVVPKISSGVPMVSVYQQNGNVMAMKTANMGKMRKAVSQLLLLAHHVNIYVPVMDVFQHL
+>DECOY_NM_018557|518760_2_11575_11804
+LHQFVDMVPVYINVHHALLLLQSVAKRMKGMNATKMAMVNGNQQYVSVMPVGSSIKPVVQRVIERMLAMQVTLIEM
+>NM_018557|518764_2_11689_11804
+MAMKTANMGKMRKAVSQLLLLAHHVNIYVPVMDVFQHL
+>DECOY_NM_018557|518764_2_11689_11804
+LHQFVDMVPVYINVHHALLLLQSVAKRMKGMNATKMAM
+>NM_018557|518766_2_11710_11804
+MGKMRKAVSQLLLLAHHVNIYVPVMDVFQHL
+>DECOY_NM_018557|518766_2_11710_11804
+LHQFVDMVPVYINVHHALLLLQSVAKRMKGM
+>NM_018557|518768_2_11929_12236
+MEFMTVWMAVMKRTVKEEEIYVELMSSFAIILSANYISGCVMERTTVETTLMKPLICVSNFFVHPRDLTDAEITEYAYSRSKCAMGLMNAVTIQMKITVVVS
+>DECOY_NM_018557|518768_2_11929_12236
+SVVVTIKMQITVANMLGMACKSRSYAYETIEADTLDRPHVFFNSVCILPKMLTTEVTTREMVCGSIYNASLIIAFSSMLEVYIEEEKVTRKMVAMWVTMFEM
+>NM_018557|518773_2_12052_12236
+MERTTVETTLMKPLICVSNFFVHPRDLTDAEITEYAYSRSKCAMGLMNAVTIQMKITVVVS
+>DECOY_NM_018557|518773_2_12052_12236
+SVVVTIKMQITVANMLGMACKSRSYAYETIEADTLDRPHVFFNSVCILPKMLTTEVTTREM
+>NM_018557|518778_2_14155_14333
+MATVTMVAHASWTPRQMYLCVYAPPTGQAHSVKGQPQRAASLIISAQEALPSLCLSSSW
+>DECOY_NM_018557|518778_2_14155_14333
+WSSSLCLSPLAEQASIILSAARQPQGKVSHAQGTPPAYVCLYMQRPTWSAHAVMTVTAM
+>NM_018557|518779_2_14170_14333
+MVAHASWTPRQMYLCVYAPPTGQAHSVKGQPQRAASLIISAQEALPSLCLSSSW
+>DECOY_NM_018557|518779_2_14170_14333
+WSSSLCLSPLAEQASIILSAARQPQGKVSHAQGTPPAYVCLYMQRPTWSAHAVM
+>NM_004328|518798_3_678_772
+MDSGRTKSRDADDRLADGDSLGICHLHGPGH
+>DECOY_NM_004328|518798_3_678_772
+HGPGHLHCIGLSDGDALRDDADRSKTRGSDM
+>NM_018235|518861_3_233_318
+MGGYPECVCVAGEERRNQEDDGSCCCRC
+>DECOY_NM_018235|518861_3_233_318
+CRCCCSGDDEQNRREEGAVCVCEPYGGM
+>NM_015136|518973_2_435_820
+MATGPAWMAWTGMGPVCARKTSAAQPARSAKTPTGSGLTANRCAAVCTECATMGHVGMEAACALLDTLAPTVIKSCPSARSCAVPRTPSAPQRLPAAGACPATHSRAVNAEPPTPAGHHPAHCWPSAR
+>DECOY_NM_015136|518973_2_435_820
+RASPWCHAPHHGAPTPPEANVARSHTAPCAGAAPLRQPASPTRPVACSRASPCSKIVTPALTDLLACAAEMGVHGMTACETCVAACRNATLGSGTPTKASRAPQAASTKRACVPGMGTWAMWAPGTAM
+>NM_015136|518975_2_471_820
+MGPVCARKTSAAQPARSAKTPTGSGLTANRCAAVCTECATMGHVGMEAACALLDTLAPTVIKSCPSARSCAVPRTPSAPQRLPAAGACPATHSRAVNAEPPTPAGHHPAHCWPSAR
+>DECOY_NM_015136|518975_2_471_820
+RASPWCHAPHHGAPTPPEANVARSHTAPCAGAAPLRQPASPTRPVACSRASPCSKIVTPALTDLLACAAEMGVHGMTACETCVAACRNATLGSGTPTKASRAPQAASTKRACVPGM
+>NM_015136|518976_2_591_820
+MGHVGMEAACALLDTLAPTVIKSCPSARSCAVPRTPSAPQRLPAAGACPATHSRAVNAEPPTPAGHHPAHCWPSAR
+>DECOY_NM_015136|518976_2_591_820
+RASPWCHAPHHGAPTPPEANVARSHTAPCAGAAPLRQPASPTRPVACSRASPCSKIVTPALTDLLACAAEMGVHGM
+>NM_015136|518977_2_606_820
+MEAACALLDTLAPTVIKSCPSARSCAVPRTPSAPQRLPAAGACPATHSRAVNAEPPTPAGHHPAHCWPSAR
+>DECOY_NM_015136|518977_2_606_820
+RASPWCHAPHHGAPTPPEANVARSHTAPCAGAAPLRQPASPTRPVACSRASPCSKIVTPALTDLLACAAEM
+>NM_015136|518978_2_864_1075
+MAMGWCVCPRTHALTTLVAAPATLLCVCTRSRARPSAPAGQAWSASTATLLRAASPSAPPSPATGLPLAR
+>DECOY_NM_015136|518978_2_864_1075
+RALPLGTAPSPPASPSAARLLTATSASWAQGAPASPRARSRTCVCLLTAPAAVLTTLAHTRPCVCWGMAM
+>NM_015136|518979_2_870_1075
+MGWCVCPRTHALTTLVAAPATLLCVCTRSRARPSAPAGQAWSASTATLLRAASPSAPPSPATGLPLAR
+>DECOY_NM_015136|518979_2_870_1075
+RALPLGTAPSPPASPSAARLLTATSASWAQGAPASPRARSRTCVCLLTAPAAVLTTLAHTRPCVCWGM
+>NM_015136|518981_2_1125_1201
+MGVPATDTCSTRCRRPRRQAGCSCS
+>DECOY_NM_015136|518981_2_1125_1201
+SCSCGAQRRPRRCRTSCTDTAPVGM
+>NM_015136|518984_2_2295_2809
+MAKAIAVMGSRAMGPASASQTTRASPATSARTQTSMESNARKTAAVSMVSATTAQAVGGCASRARVPLASVAGSATSPWGTVGPQGWPSTATCMPAVLARRVLPDVAVLMALRVMASPAHLATPAPTRTVEAAQRMLSVSLGPWAPTTAHATKAGVGMAASVWLLTSVSWT
+>DECOY_NM_015136|518984_2_2295_2809
+TWSVSTLLWVSAAMGVGAKTAHATTPAWPGLSVSLMRQAAEVTRTPAPTALHAPSAMVRLAMLVAVDPLVRRALVAPMCTATSPWGQPGVTGWPSTASGAVSALPVRARSACGGVAQATTASVMSVAATKRANSEMSTQTRASTAPSARTTQSASAPGMARSGMVAIAKAM
+>NM_015136|518985_2_2316_2809
+MGSRAMGPASASQTTRASPATSARTQTSMESNARKTAAVSMVSATTAQAVGGCASRARVPLASVAGSATSPWGTVGPQGWPSTATCMPAVLARRVLPDVAVLMALRVMASPAHLATPAPTRTVEAAQRMLSVSLGPWAPTTAHATKAGVGMAASVWLLTSVSWT
+>DECOY_NM_015136|518985_2_2316_2809
+TWSVSTLLWVSAAMGVGAKTAHATTPAWPGLSVSLMRQAAEVTRTPAPTALHAPSAMVRLAMLVAVDPLVRRALVAPMCTATSPWGQPGVTGWPSTASGAVSALPVRARSACGGVAQATTASVMSVAATKRANSEMSTQTRASTAPSARTTQSASAPGMARSGM
+>NM_015136|518986_2_2331_2809
+MGPASASQTTRASPATSARTQTSMESNARKTAAVSMVSATTAQAVGGCASRARVPLASVAGSATSPWGTVGPQGWPSTATCMPAVLARRVLPDVAVLMALRVMASPAHLATPAPTRTVEAAQRMLSVSLGPWAPTTAHATKAGVGMAASVWLLTSVSWT
+>DECOY_NM_015136|518986_2_2331_2809
+TWSVSTLLWVSAAMGVGAKTAHATTPAWPGLSVSLMRQAAEVTRTPAPTALHAPSAMVRLAMLVAVDPLVRRALVAPMCTATSPWGQPGVTGWPSTASGAVSALPVRARSACGGVAQATTASVMSVAATKRANSEMSTQTRASTAPSARTTQSASAPGM
+>NM_015136|518987_2_2400_2809
+MESNARKTAAVSMVSATTAQAVGGCASRARVPLASVAGSATSPWGTVGPQGWPSTATCMPAVLARRVLPDVAVLMALRVMASPAHLATPAPTRTVEAAQRMLSVSLGPWAPTTAHATKAGVGMAASVWLLTSVSWT
+>DECOY_NM_015136|518987_2_2400_2809
+TWSVSTLLWVSAAMGVGAKTAHATTPAWPGLSVSLMRQAAEVTRTPAPTALHAPSAMVRLAMLVAVDPLVRRALVAPMCTATSPWGQPGVTGWPSTASGAVSALPVRARSACGGVAQATTASVMSVAATKRANSEM
+>NM_015136|518991_2_2637_2809
+MASPAHLATPAPTRTVEAAQRMLSVSLGPWAPTTAHATKAGVGMAASVWLLTSVSWT
+>DECOY_NM_015136|518991_2_2637_2809
+TWSVSTLLWVSAAMGVGAKTAHATTPAWPGLSVSLMRQAAEVTRTPAPTALHAPSAM
+>NM_015136|518995_2_2895_3175
+MATSAAPSTPAGQAMAAATAWPPAGQWGEVSGSARAPLALGVMASAVMETSSGSWRQMPTSPSSTNGLRVPASRFLPTAESQPWCPPRLQSVS
+>DECOY_NM_015136|518995_2_2895_3175
+SVSQLRPPCWPQSEATPLFRSAPVRLGNTSSPSTPMQRWSGSSTEMVASAMVGLALPARASGSVEGWQGAPPWATAAAMAQGAPTSPAASTAM
+>NM_015136|518996_2_2937_3175
+MAAATAWPPAGQWGEVSGSARAPLALGVMASAVMETSSGSWRQMPTSPSSTNGLRVPASRFLPTAESQPWCPPRLQSVS
+>DECOY_NM_015136|518996_2_2937_3175
+SVSQLRPPCWPQSEATPLFRSAPVRLGNTSSPSTPMQRWSGSSTEMVASAMVGLALPARASGSVEGWQGAPPWATAAAM
+>NM_015136|518997_2_3021_3175
+MASAVMETSSGSWRQMPTSPSSTNGLRVPASRFLPTAESQPWCPPRLQSVS
+>DECOY_NM_015136|518997_2_3021_3175
+SVSQLRPPCWPQSEATPLFRSAPVRLGNTSSPSTPMQRWSGSSTEMVASAM
+>NM_015136|518998_2_3036_3175
+METSSGSWRQMPTSPSSTNGLRVPASRFLPTAESQPWCPPRLQSVS
+>DECOY_NM_015136|518998_2_3036_3175
+SVSQLRPPCWPQSEATPLFRSAPVRLGNTSSPSTPMQRWSGSSTEM
+>NM_015136|519003_2_3525_3760
+MGWCPRLRLPLPTPSLCPPTAPWRPRATAVTWTQTQCGTMWSWGRPSPWKPCGRVDTATPSWALPTGSSSTTTVASLR
+>DECOY_NM_015136|519003_2_3525_3760
+RLSAVTTTSSSGTPLAWSPTATDVRGCPKWPSPRGWSWMTGCQTQTWTVATARPRWPATPPCLSPTPLPLRLRPCWGM
+>NM_015136|519005_2_4101_4843
+MGSARTGSWAAGSATATRASMERPVRCVSWAATGPTAPECVTVPMGCARRGCKGTEAVSVTWAGRASAVTRKSPALSALGSATPMPTACRTRPEPPPAPVLRDTPAMASSVQRWTPAPTAMGAAPLMPTVPRWHLGSGHAPARMATWATGSCARKLTAVSSTTGAATFTPSASPLAPSRSPAAAVRVTAGMASGPASSWTPALRTMEDAAHMPPAKAQGMARGHVPATQPTPWGTASPAVPESAWSS
+>DECOY_NM_015136|519005_2_4101_4843
+SSWASEPVAPSATGWPTPQTAPVHGRAMGQAKAPPMHAADEMTRLAPTWSSAPGSAMGATVRVAAAPSRSPALPSASPTFTAAGTTSSVATLKRACSGTAWTAMRAPAHGSGLHWRPVTPMLPAAGMATPAPTWRQVSSAMAPTDRLVPAPPPEPRTRCATPMPTASGLASLAPSKRTVASARGAWTVSVAETGKCGRRACGMPVTVCEPATPGTAAWSVCRVPREMSARTATASGAAWSGTRASGM
+>NM_015136|519009_2_4419_4843
+MASSVQRWTPAPTAMGAAPLMPTVPRWHLGSGHAPARMATWATGSCARKLTAVSSTTGAATFTPSASPLAPSRSPAAAVRVTAGMASGPASSWTPALRTMEDAAHMPPAKAQGMARGHVPATQPTPWGTASPAVPESAWSS
+>DECOY_NM_015136|519009_2_4419_4843
+SSWASEPVAPSATGWPTPQTAPVHGRAMGQAKAPPMHAADEMTRLAPTWSSAPGSAMGATVRVAAAPSRSPALPSASPTFTAAGTTSSVATLKRACSGTAWTAMRAPAHGSGLHWRPVTPMLPAAGMATPAPTWRQVSSAM
+>NM_015136|519010_2_4461_4843
+MGAAPLMPTVPRWHLGSGHAPARMATWATGSCARKLTAVSSTTGAATFTPSASPLAPSRSPAAAVRVTAGMASGPASSWTPALRTMEDAAHMPPAKAQGMARGHVPATQPTPWGTASPAVPESAWSS
+>DECOY_NM_015136|519010_2_4461_4843
+SSWASEPVAPSATGWPTPQTAPVHGRAMGQAKAPPMHAADEMTRLAPTWSSAPGSAMGATVRVAAAPSRSPALPSASPTFTAAGTTSSVATLKRACSGTAWTAMRAPAHGSGLHWRPVTPMLPAAGM
+>NM_015136|519012_2_4530_4843
+MATWATGSCARKLTAVSSTTGAATFTPSASPLAPSRSPAAAVRVTAGMASGPASSWTPALRTMEDAAHMPPAKAQGMARGHVPATQPTPWGTASPAVPESAWSS
+>DECOY_NM_015136|519012_2_4530_4843
+SSWASEPVAPSATGWPTPQTAPVHGRAMGQAKAPPMHAADEMTRLAPTWSSAPGSAMGATVRVAAAPSRSPALPSASPTFTAAGTTSSVATLKRACSGTAWTAM
+>NM_015136|519013_2_4671_4843
+MASGPASSWTPALRTMEDAAHMPPAKAQGMARGHVPATQPTPWGTASPAVPESAWSS
+>DECOY_NM_015136|519013_2_4671_4843
+SSWASEPVAPSATGWPTPQTAPVHGRAMGQAKAPPMHAADEMTRLAPTWSSAPGSAM
+>NM_015136|519014_2_4716_4843
+MEDAAHMPPAKAQGMARGHVPATQPTPWGTASPAVPESAWSS
+>DECOY_NM_015136|519014_2_4716_4843
+SSWASEPVAPSATGWPTPQTAPVHGRAMGQAKAPPMHAADEM
+>NM_015136|519016_2_4758_4843
+MARGHVPATQPTPWGTASPAVPESAWSS
+>DECOY_NM_015136|519016_2_4758_4843
+SSWASEPVAPSATGWPTPQTAPVHGRAM
+>NM_015136|519026_2_5964_6040
+MAVSAKLALAAPAALVVTVACAWTA
+>DECOY_NM_015136|519026_2_5964_6040
+ATWACAVTVVLAAPAALALKASVAM
+>NM_015136|519031_2_6327_6406
+MAVCVQWQTCARTGMVAAVSTPTVAR
+>DECOY_NM_015136|519031_2_6327_6406
+RAVTPTSVAAVMGTRACTQWQVCVAM
+>NM_015136|519032_2_6450_6526
+MAGAAGPATPAQMATAGAAASTPTA
+>DECOY_NM_015136|519032_2_6450_6526
+ATPTSAAAGATAMQAPTAPGAAGAM
+>NM_015136|519033_2_6579_6736
+MDCSVWRSRNHLWTAAWASHRPATQMPCALTCTSRRNGLAFSTSRPPAALMV
+>DECOY_NM_015136|519033_2_6579_6736
+VMLAAPPRSTSFALGNRRSTCTLACPMQTAPRHSAWAATWLHNRSRWVSCDM
+>NM_015136|519037_2_7005_7213
+MASWVTGSARAMGSCWMCWLPLPTSPPSMGCYWAMPMPPSGVSTSWTSWMMSSRIRHSSSLSMKALWTT
+>DECOY_NM_015136|519037_2_7005_7213
+TTWLAKMSLSSSHRIRSSMMWSTWSTSVGSPPMPMAWYCGMSPPSTPLPLWCMWCSGMARASGTVWSAM
+>NM_015136|519038_2_7038_7213
+MGSCWMCWLPLPTSPPSMGCYWAMPMPPSGVSTSWTSWMMSSRIRHSSSLSMKALWTT
+>DECOY_NM_015136|519038_2_7038_7213
+TTWLAKMSLSSSHRIRSSMMWSTWSTSVGSPPMPMAWYCGMSPPSTPLPLWCMWCSGM
+>NM_152424|519109_2_2608_2693
+MGTSFPACPAALTLTHLSLKTSLSCLPW
+>DECOY_NM_152424|519109_2_2608_2693
+WPLCSLSTKLSLHTLTLAAPCAPFSTGM
+>NM_152424|519111_2_2722_2870
+MGKASVKRILSSTMMKILQPPWKPLSWATITNMPSTTTIVDSTKACPGV
+>DECOY_NM_152424|519111_2_2722_2870
+VGPCAKTSDVITTTSPMNTITAWSLPKWPPQLIKMMTSSLIRKVSAKGM
+>NM_152424|519119_2_3577_3659
+MGLPALTCQRRGLSKVPLLPPATPPLP
+>DECOY_NM_152424|519119_2_3577_3659
+PLPPTAPPLLPVKSLGRRQCTLAPLGM
+>NM_199443|519167_2_740_876
+MAHGPGRPCSQMDLAFLLRIIVRSHHPLIYNLGSVDLETWETPAS
+>DECOY_NM_199443|519167_2_740_876
+SAPTEWTELDVSGLNYILPHHSRVIIRLLFALDMQSCPRGPGHAM
+>NM_199443|519173_2_1886_2073
+MAPGTAVKEKMRKKWSIRKKAKSSFQKQKAVGKMSQEMTPVRPPKRRSKASPAQKGFLPSVL
+>DECOY_NM_199443|519173_2_1886_2073
+LVSPLFGKQAPSAKSRRKPPRVPTMEQSMKGVAKQKQFSSKAKKRISWKKRMKEKVATGPAM
+>NM_003363|519308_2_740_1017
+MAHGPGRPCSQNQALRLAEILLPLQNHQQVPIPQCLPLSLQMVIALAPVGCTVPVSAGVDLAFLLRIIVRSHHPLIYNLGSVDLETWETPAS
+>DECOY_NM_003363|519308_2_740_1017
+SAPTEWTELDVSGLNYILPHHSRVIIRLLFALDVGASVPVTCGVPALAIVMQLSLPLCQPIPVQQHNQLPLLIEALRLAQNQSCPRGPGHAM
+>NM_004559|519524_2_484_821
+MERLWSLMLLKEKRVRRQQMLQVLVVFQFKAVNMQQTVTIIDAIHVVGVLHAITSKITRIVRVGKRTRDRRVLPKARPNNAGPTAGEGSHLTTCGDPMGVDHSIPTLLCREK
+>DECOY_NM_004559|519524_2_484_821
+KERCLLTPISHDVGMPDGCTTLHSGEGATPGANNPRAKPLVRRDRTRKGVRVIRTIKSTIAHLVGVVHIADIITVTQQMNVAKFQFVVLVQLMQQRRVRKEKLLMLSWLREM
+>NM_021911|519580_2_1320_1435
+MGPNIDPCGTLLETSPQLDGLPITISLCIRWTPMRTSY
+>DECOY_NM_021911|519580_2_1320_1435
+YSTRMPTWRICLSITIPLGDLQPSTELLTGCPDINPGM
+>NM_003113|519685_2_910_1028
+MGMLEGRCPARCPVMKKAQRQSYTTMESKLIPVLCDWWI
+>DECOY_NM_003113|519685_2_910_1028
+IWWDCLVPILKSEMTTYSQRQAKKMVPCRAPCRGELMGM
+>NM_004406|519962_2_428_807
+MAGVRAEWRSYTEAPGAPCVMTAGTPMMPTWSVGSWVVAGPCQLQEMPGLARAQDPLPWMMCAAQDTNPTCGAAPTMAGSPITVAMVKMLVLSAQLPSLSQHSGQKVGLSGYHHLYPQKDLNPVWP
+>DECOY_NM_004406|519962_2_428_807
+PWVPNLDKQPYLHHYGSLGVKQGSHQSLSPLQASLVLMKVMAVTIPSGAMTPAAGCTPNTDQAACMMWPLPDQARALGPMEQLQCPGAVVWSGVSWTPMMPTGATMVCPAGPAETYSRWEARVGAM
+>NM_004406|519969_2_656_807
+MAGSPITVAMVKMLVLSAQLPSLSQHSGQKVGLSGYHHLYPQKDLNPVWP
+>DECOY_NM_004406|519969_2_656_807
+PWVPNLDKQPYLHHYGSLGVKQGSHQSLSPLQASLVLMKVMAVTIPSGAM
+>NM_004406|519970_2_683_807
+MVKMLVLSAQLPSLSQHSGQKVGLSGYHHLYPQKDLNPVWP
+>DECOY_NM_004406|519970_2_683_807
+PWVPNLDKQPYLHHYGSLGVKQGSHQSLSPLQASLVLMKVM
+>NM_004406|519981_2_1052_1194
+MAGSPTTVAIVKTLVSSAQLPSPGRHPAQILGRPHMHQQQDLNPVWP
+>DECOY_NM_004406|519981_2_1052_1194
+PWVPNLDQQQHMHPRGLIQAPHRGPSPLQASSVLTKVIAVTTPSGAM
+>NM_004406|519988_2_1439_1563
+MAGSPITVSTVKTLVSSAQLPTPGRRPVQTHCRPSPCLHRQ
+>DECOY_NM_004406|519988_2_1439_1563
+QRHLCPSPRCHTQVPRRGPTPLQASSVLTKVTSVTIPSGAM
+>NM_004406|519997_2_1832_1974
+MAGSPTTVAIVKTLVSSAQLPSPGQHLVQTLGQPHMHQQQDLNPVWP
+>DECOY_NM_004406|519997_2_1832_1974
+PWVPNLDQQQHMHPQGLTQVLHQGPSPLQASSVLTKVIAVTTPSGAM
+>NM_004406|520007_2_2219_2361
+MAGSPTTVAIMKTLVSSAQLPSPSRHPAQTLGQPHMHQQQDLNPVWP
+>DECOY_NM_004406|520007_2_2219_2361
+PWVPNLDQQQHMHPQGLTQAPHRSPSPLQASSVLTKMIAVTTPSGAM
+>NM_004406|520018_2_2606_2748
+MAGSPTTVAIMKTLVSSAQLPSPSRHPAQTLGQPLVHQQQDLNPLWP
+>DECOY_NM_004406|520018_2_2606_2748
+PWLPNLDQQQHVLPQGLTQAPHRSPSPLQASSVLTKMIAVTTPSGAM
+>NM_004406|520027_2_2993_3090
+MAGSPTTVAIMKMLVSSAQLLSPSQRPGQILG
+>DECOY_NM_004406|520027_2_2993_3090
+GLIQGPRQSPSLLQASSVLMKMIAVTTPSGAM
+>NM_004406|520038_2_3929_4002
+MVAPGGQFVMTPGPFRKLRWSADS
+>DECOY_NM_004406|520038_2_3929_4002
+SDASWRLKRFPGPTMVFQGGPAVM
+>NM_004406|520043_2_4466_4629
+MGPEAPSLLPPTSCPFASSVTTASQGEGSGLSTTPVPPMTAPTCSVCQITCKPV
+>DECOY_NM_004406|520043_2_4466_4629
+VPKCTIQCVSCTPATMPPVPTTSLGSGEGQSATTVSSAFPCSTPPLLSPAEPGM
+>NM_005368|520051_2_153_229
+MGRKSSSGSLRVTQRLWRSLTSSST
+>DECOY_NM_005368|520051_2_153_229
+TSSSTLSRWLRQTVRLSGSSSKRGM
+>NM_005368|520052_2_273_385
+MVPPCSPPWVASLRRRGIMRQRLSPWHSRMPPSTRSP
+>DECOY_NM_005368|520052_2_273_385
+PSRTSPPMRSHWPSLRQRMIGRRRLSAVWPPSCPPVM
+>NM_007329|520105_2_1439_1563
+MAGSPITVSTVKTLVSSAQLPTPGRRPVQTRCRPSPYLHRQ
+>DECOY_NM_007329|520105_2_1439_1563
+QRHLYPSPRCRTQVPRRGPTPLQASSVLTKVTSVTIPSGAM
+>NM_007329|520114_2_1832_1911
+MAGSPITVAIVKTLVSSAQDLNPVWP
+>DECOY_NM_007329|520114_2_1832_1911
+PWVPNLDQASSVLTKVIAVTIPSGAM
+>NM_007329|520124_2_2156_2280
+MAGSPTTVAIMKMLVSSAQLPSPGRRPGQTRCRPSRYLHRQ
+>DECOY_NM_007329|520124_2_2156_2280
+QRHLYRSPRCRTQGPRRGPSPLQASSVLMKMIAVTTPSGAM
+>NM_007329|520134_2_2549_2691
+MAGSPTTVAIMKMLVSSAQFPSPGRHPVQILGRPHMHQQQDLNPVWP
+>DECOY_NM_007329|520134_2_2549_2691
+PWVPNLDQQQHMHPRGLIQVPHRGPSPFQASSVLMKMIAVTTPSGAM
+>NM_017579|520280_2_1439_1533
+MAGSPITVSTVKTLVSSAQTRCRPSPYLHRQ
+>DECOY_NM_017579|520280_2_1439_1533
+QRHLYPSPRCRTQASSVLTKVTSVTIPSGAM
+>NM_004696|520482_2_1548_1639
+MALSTSLAYAISSLQFPFFLYHWPKDGKTV
+>DECOY_NM_004696|520482_2_1548_1639
+VTKGDKPWHYLFFPFQLSSIAYALSTSLAM
+>NM_004696|520483_3_235_338
+MGMDDCDSFFPGECVCDGDDQDFCNFLCGLSRRV
+>DECOY_NM_004696|520483_3_235_338
+VRRSLGCLFNCFDQDDGDCVCEGPFFSDCDDMGM
+>NM_004696|520484_3_241_338
+MDDCDSFFPGECVCDGDDQDFCNFLCGLSRRV
+>DECOY_NM_004696|520484_3_241_338
+VRRSLGCLFNCFDQDDGDCVCEGPFFSDCDDM
+>NM_002224|520591_2_1120_1742
+MACTASSTWLQATTWLLRRTPVTKVMPQIPRQQEWGHRAAQAAGMLGRRSSTAWWLCLMAMTSPLSLSWTPPPCRKPTLSCPGTRTSGCGTSAPTRGFRAPMCPLTSRRSGPSGSCWAPAPPRRTRRPLPSCQCPCLRSETWTLPMTPAPCWPVPWRNSTRASSARMTAGLSSSCWKTWCSLSAMSPTMGRMSWTSWSLSPTGNGRS
+>DECOY_NM_002224|520591_2_1120_1742
+SRGNGTPSLSWSTWSMRGMTPSMASLSCWTKWCSSSLGATMRASSARTSNRWPVPWCPAPTMPLTWTESRLCPCQCSPLPRRTRRPPAPAWCSGSPGSRRSTLPCMPARFGRTPASTGCGSTRTGPCSLTPKRCPPPTWSLSLPSTMAMLCLWWATSSRRGLMGAAQAARHGWEQQRPIQPMVKTVPTRRLLWTTAQLWTSSATCAM
+>NM_002224|520616_2_7621_7745
+MGYATVVAWATFSASPPKMSLSSQPEWSMTSCSSSSSSSLC
+>DECOY_NM_002224|520616_2_7621_7745
+CLSSSSSSSCSTMSWEPQSSLSMKPPSASFTAWAVVTAYGM
+>NM_007168|520668_2_606_745
+MECQQRRSTRTIQLIVMKQMKMFTVKFQYFGRKVLWLFKLPLMLLL
+>DECOY_NM_007168|520668_2_606_745
+LLLMLPLKFLWLVKRGFYQFKVTFMKMQKMVILQITRTSRRQQCEM
+>NM_007168|520677_2_2901_2974
+MAQMTHLIMEPSQCVVMKRITAFR
+>DECOY_NM_007168|520677_2_2901_2974
+RFATIRKMVVCQSPEMILHTMQAM
+>NM_007168|520678_2_3030_3151
+MGYLEWLNHQYISELKEVHFWRMDRTIQSDSWHISCSGWF
+>DECOY_NM_007168|520678_2_3030_3151
+FWGSCSIHWSDSQITRDMRWFHVEKLESIYQHNLWELYGM
+>NM_007168|520684_3_247_326
+MENEKRVLNGMAEFIAPTTLFVYISS
+>DECOY_NM_007168|520684_3_247_326
+SSIYVFLTTPAIFEAMGNLVRKENEM
+>NM_175768|520847_2_2653_2828
+MVAQKRRAKRPVPWGFRILVASSLFWQPAWCFQFLWQWENFYTNPKKTLNWKRNLLFG
+>DECOY_NM_175768|520847_2_2653_2828
+GFLLNRKWNLTKKPNTYFNEWQWLFQFCWAPQWFLSSAVLIRFGWPVPRKARRKQAVM
+>NM_001025100|520987_2_742_842
+MASSQGTETRASLTPSGASLAVTGVRPSGALAR
+>DECOY_NM_001025100|520987_2_742_842
+RALAGSPRVGTVALSAGSPTLSARTETGQSSAM
+>NM_021956|521052_2_2653_2834
+MVAQKRRAKRPVPWGFRILVASSLFWQPAWCFQFLWQWENFYTNPKKTLNWKRGPSVVPW
+>DECOY_NM_021956|521052_2_2653_2834
+WPVVSPGRKWNLTKKPNTYFNEWQWLFQFCWAPQWFLSSAVLIRFGWPVPRKARRKQAVM
+>NM_021956|521054_3_2639_2823
+MVEGQWLPRRGEQRGQCPGGSEYWWHLHCSGSRLGAFSFCGSGRIFIQIQKKRSIGKEVLL
+>DECOY_NM_021956|521054_3_2639_2823
+LLVEKGISRKKQIQIFIRGSGCFSFAGLRSGSCHLHWWYESGGPCQGRQEGRRPLWQGEVM
+>NM_183050|521071_2_407_753
+MEKIEFLIPHCVNKELLDLESELRSLELLPLRKFSLQIIFSLHLIRLLMKLPSIAIALGIFLTVEASLSGPLGAVLVMGLSIILRVLKHFLPIAQESRWLYPEALSRPKDFFCHA
+>DECOY_NM_183050|521071_2_407_753
+AHCFFDKPRSLAEPYLWRSEQAIPLFHKLVRLIISLGMVLVAGLPGSLSAEVTLFIGLAIAISPLKMLLRILHLSFIIQLSFKRLPLLELSRLESELDLLEKNVCHPILFEIKEM
+>NM_183050|521073_2_638_753
+MGLSIILRVLKHFLPIAQESRWLYPEALSRPKDFFCHA
+>DECOY_NM_183050|521073_2_638_753
+AHCFFDKPRSLAEPYLWRSEQAIPLFHKLVRLIISLGM
+>NM_006810|521106_2_1134_1288
+MERNTQCLCSGQRRSFSSGCKTLRPPRPQSPRGKSSRQACCTWWGTTSGRP
+>DECOY_NM_006810|521106_2_1134_1288
+PRGSTTGWWTCCAQRSSKGRPSQPRPPRLTKCGSSFSRRQGSCLCQTNREM
+>NM_006810|521109_2_1497_1591
+MGSSQKSMTATAQNWDLPIIFEPSGRETMKD
+>DECOY_NM_006810|521109_2_1497_1591
+DKMTERGSPEFIIPLDWNQATATMSKQSSGM
+>NM_004911|521130_2_1186_1271
+METMSSSSGSLRGRVTQPTSNTRMPLTT
+>DECOY_NM_004911|521130_2_1186_1271
+TTLPMRTNSTPQTVRGRLSGSSSSMTEM
+>NM_004911|521136_3_1940_2067
+MVRALQAARARVQQPGQEVQGPKGPGHRQDGRHCQRRPQRPL
+>DECOY_NM_004911|521136_3_1940_2067
+LPRQPRRQCHRGDQRHGPGKPGQVEQGPQQVRARAAQLARVM
+>NM_005742|521143_2_415_509
+MVFRDFLPLRFLDPTKTDQKITKVAELVKPL
+>DECOY_NM_005742|521143_2_415_509
+LPKVLEAVKTIKQDTKTPDLFRLPLFDRFVM
+>NM_199186|521153_2_572_684
+MERVLGIRRTVFVAGWIRNSTAKEWRKLGTVGSNSKR
+>DECOY_NM_199186|521153_2_572_684
+RKSNSGVTGLKRWEKATSNRIWGAVFVTRRIGLVREM
+>NM_002662|521249_2_2049_2236
+MERTTAISSSKTGFNLINLLLISLTGTPRPGCPGMTLPLQSTGRRLVMWHVTSSSAGTSQKL
+>DECOY_NM_002662|521249_2_2049_2236
+LKQSTGASSSTVHWMVLRRGTSQLPLTMGPCGPRPTGTLSILLLNILNFGTKSSSIATTREM
+>NM_002662|521257_3_874_968
+MVNSERFLFIVYETRQRCHCLRPAGRQRIQN
+>DECOY_NM_002662|521257_3_874_968
+NQIRQRGAPRLCHCRQRTEYVIFLFRESNVM
+>NM_006591|521338_2_604_728
+MAHLHPSRFPSSPKELWECLPPKLLLKPKKPTRKRKQRLKK
+>DECOY_NM_006591|521338_2_604_728
+KKLRQKRKRTPKKPKLLLKPPLCEWLEKPSSPFRSPHLHAM
+>NM_006120|521354_2_240_409
+MGVPVWDSLRPTTRTSFSSSTFPRTLGCLACPNLLTGLRNREMLLPFYLTKSSASG
+>DECOY_NM_006120|521354_2_240_409
+GSASSKTLYFPLLMERNRLGTLLNPCALCGLTRPFTSSSFSTRTTPRLSDWVPVGM
+>NM_018139|521374_2_1063_1400
+MAAARHNSTRPGGSWWLRCQWCCRPRAGSPLSPSPPPRRKSPRTGPELTARPALPLARGRRDPRGVARRTEATIPAWLGLRAPGSPPWATRRWRLRRPQLERSVSPSRGSRT
+>DECOY_NM_018139|521374_2_1063_1400
+TRSGRSPSVSRELQPRRLRWRRTAWPPSGPARLGLWAPITAETRRAVGRPDRRGRALPLAPRATLEPGTRPSKRRPPPSPSLPSGARPRCCWQCRLWWSGGPRTSNHRAAAM
+>NM_007352|521404_2_167_321
+MAHLPLALPAALSMVRMRSPTAGPGRFPCSMRKAEASTTPVAVASSPPTGL
+>DECOY_NM_007352|521404_2_167_321
+LGTPPSSAVAVPTTSAEAKRMSCPFRGPGATPSRMRVMSLAAPLALPLHAM
+>NM_024572|521427_3_2001_2110
+MGLHIHPADPPGGAVPVSHHLVPWRPSGSCPLQEWR
+>DECOY_NM_024572|521427_3_2001_2110
+RWEQLPCSGSPRWPVLHHSVPVAGGPPDAPHIHLGM
+>NM_014568|521508_2_496_665
+MGKGHGAKRMLEKLRRVCSRLRWTWTKPRGKEKCRMPWEGARLCRCGILHICRPSL
+>DECOY_NM_014568|521508_2_496_665
+LSPRCIHLIGCRCLRAGEWPMRCKEKGRPKTWTWRLRSCVRRLKELMRKAGHGKGM
+>NM_003940|521607_2_2203_2279
+MEGQLLLEPLFLVLLDWITNLQRKS
+>DECOY_NM_003940|521607_2_2203_2279
+SKRQLNTIWDLLVLFLPELLLQGEM
+>NM_002513|521633_3_456_679
+MAGAGRGAHLAGAHRSHEPGRRPARHHPRGFLHRGWQEPDSRQRLGGECPPRDRSLVPRRRAPLLGGQRWALAV
+>DECOY_NM_002513|521633_3_456_679
+VALAWRQGGLLPARRRPVLSRDRPPCEGGLRQRSDPEQWGRHLFGRPHHRAPRRGPEHSRHAGALHAGRGAGAM
+>NM_007215|521642_2_1308_1387
+MGFLCGLVIWKLCSPHWNNFIRSMMK
+>DECOY_NM_007215|521642_2_1308_1387
+KMMSRIFNNWHPSCLKWIVLGCLFGM
+>NM_007215|521643_3_421_572
+MVDLGGGVQGAGIPGGRPPPQTRPFATRGQCLQVSFCRNSTRNLARQRAE
+>DECOY_NM_007215|521643_3_421_572
+EARQRALNRTSNRCFSVQLCQGRTAFPRTQPPPRGGPIGAGQVGGGLDVM
+>NM_015276|521681_2_1147_1226
+MESPPPSTPSGTSAWISPALPPHSGP
+>DECOY_NM_015276|521681_2_1147_1226
+PGSHPPLAPSIWASTGSPTSPPPSEM
+>NM_006231|521768_2_111_202
+MAPLPQFRHSSAWNGVSGRIRWICGLVLSG
+>DECOY_NM_006231|521768_2_111_202
+GSLVLGCIWRIRGSVGNWASSHRFQPLPAM
+>NM_006231|521779_2_3969_4123
+MVLPRGWGASCEELPAASWTFRGRLCRSARPARPACSGCGRSLAVTCTASG
+>DECOY_NM_006231|521779_2_3969_4123
+GSATCTVALSRGCGSCAPRAPRASRCLRGRFTWSAAPLEECSAGWGRPLVM
+>NM_006231|521784_2_5808_6037
+MAESKEKFHLVFTVDCKTPRKQGEQRMSRKMRTMRRKEMGRRRKRRRNPTWRIYWKTTGTFCSFCHRQPPARTTSS
+>DECOY_NM_006231|521784_2_5808_6037
+SSTTRAPPQRHCFSCFTGTTKWYIRWTPNRRRKRRRGMEKRRMTRMKRSMRQEGQKRPTKCDVTFVLHFKEKSEAM
+>NM_006231|521788_2_5922_6037
+MGRRRKRRRNPTWRIYWKTTGTFCSFCHRQPPARTTSS
+>DECOY_NM_006231|521788_2_5922_6037
+SSTTRAPPQRHCFSCFTGTTKWYIRWTPNRRRKRRRGM
+>NM_006231|521794_3_3844_4103
+MACLAPVPQEEVAAAGPAAPRPQEEAASGVGRGCAQARGHPGWSCHGAGELLAKNCPQHPGPSVADCADQRDQPGRPVQAVGARWQ
+>DECOY_NM_006231|521794_3_3844_4103
+QWRAGVAQVPRGPQDRQDACDAVSPGPHQPCNKALLEGAGHCSWGPHGRAQACGRGVGSAAEEQPRPAAPGAAAVEEQPVPALCAM
+>NM_001098479|521810_3_1194_1288
+MVVKLISPGGALPRIFGLPPESQCLGPPEGG
+>DECOY_NM_001098479|521810_3_1194_1288
+GGEPPGLCQSEPPLGFIRPLAGGPSILKVVM
+>NM_016937|521858_2_270_391
+MVLAMWKMAERFLMMTLKMMPLMLMRKEKMVKHAIKTRGM
+>DECOY_NM_016937|521858_2_270_391
+MGRTKIAHKVMKEKRMLMLPMMKLTMMLFREAMKWMALVM
+>NM_016937|521860_2_291_391
+MAERFLMMTLKMMPLMLMRKEKMVKHAIKTRGM
+>DECOY_NM_016937|521860_2_291_391
+MGRTKIAHKVMKEKRMLMLPMMKLTMMLFREAM
+>NM_016937|521865_2_774_871
+MVTLMSPWKLKRWTWSLWLPRLGTKRVSQQRK
+>DECOY_NM_016937|521865_2_774_871
+KRQQSVRKTGLRPLWLSWTWRKLKWPSMLTVM
+>NM_002691|521947_2_2283_2716
+MATAPVPRWCMVTLTPSCADSACPRWLRRWPWGGRPRTGCQVTSRRPSGWSLRRSTSHTCLSARSATRACSSPPGPTPTTAWTARAWRPCAGTTAPSWPTWSLPHCAACSSTETLRARWLTHRTSSRTCCATASISPSWSSPRS
+>DECOY_NM_002691|521947_2_2283_2716
+SRPSSWSPSISATACCTRSSTRHTLWRARLTETSSCAACHPLSWTPWSPATTGACPRWARATWATTPTPGPPSSCARTASRASLCTHSTSRRLSWGSPRRSTVQCGTRPRGGWPWRRLWRPCASDACSPTLTVMCWRPVPATAM
+>NM_002691|521950_3_964_1037
+MAAHCALARAQLRYRVRRPQRHLP
+>DECOY_NM_002691|521950_3_964_1037
+PLHRQPRRVRYRLQARALACHAAM
+>NM_181808|521968_2_775_854
+MAVQMPRPVVLFWRALCQMIHASTFK
+>DECOY_NM_181808|521968_2_775_854
+KFTSAHIMQCLARWFLVVPRPMQVAM
+>NM_005269|522025_2_115_308
+MASPAVSGPSPVRGPPVWGQKDCLARPSATKLTSCPAPTVMGQPERPTAAPRAHSFLLPGVQSS
+>DECOY_NM_005269|522025_2_115_308
+SSQVGPLLFSHARPAATPREPQGMVTPAPCSTLKTASPRALCDKQGWVPPGRVPSPGSVAPSAM
+>NM_005269|522026_2_235_308
+MGQPERPTAAPRAHSFLLPGVQSS
+>DECOY_NM_005269|522026_2_235_308
+SSQVGPLLFSHARPAATPREPQGM
+>NM_005269|522029_2_796_914
+MAAARNLTPKSSWCTTSTASTSTGSGRSSCATGGAAPGS
+>DECOY_NM_005269|522029_2_796_914
+SGPAAGGTACSSRGSGTSTSATSTTCWSSKPTLNRAAAM
+>NM_005269|522033_2_1276_1364
+MAPCLGHHPFLQWSPRGSGKEVPSGRKAD
+>DECOY_NM_005269|522033_2_1276_1364
+DAKRGSPVEKGSGRPSWQLFPHHGLCPAM
+>NM_005269|522035_2_1795_1913
+MEHPPCLALCLPSTTCFGQDMLQPEGVVLRPLQHPAWIG
+>DECOY_NM_005269|522035_2_1795_1913
+GIWAPHQLPRLVVGEPQLMDQGFCTTSPLCLALCPPHEM
+>NM_005269|522037_2_2272_2465
+MGDLKGQQLSLMERGVQALCLLGLVHPPTMAPTPVPSRPHILTPPKKHGVSSLPTLGCTQAPRL
+>DECOY_NM_005269|522037_2_2272_2465
+LRPAQTCGLTPLSSVGHKKPPTLIHPRSPVPTPAMTPPHVLGLLCLAQVGREMLSLQQGKLDGM
+>NM_005269|522039_2_2359_2465
+MAPTPVPSRPHILTPPKKHGVSSLPTLGCTQAPRL
+>DECOY_NM_005269|522039_2_2359_2465
+LRPAQTCGLTPLSSVGHKKPPTLIHPRSPVPTPAM
+>NM_005269|522040_2_2503_2912
+MDKCKSSQNRGAQWGLTPQDWHPASMPTPVRGPHIHSLSFPITPSPLLPNISSQAPIPSHPLIIFLQNPGLAWTLIPPPIPQGSSRLSLCVIMFNLNRSYCGRVGAGKMPPPRNLPTRVPSFWGVPRLAQAVLKLQ
+>DECOY_NM_005269|522040_2_2503_2912
+QLKLVAQALRPVGWFSPVRTPLNRPPPMKGAGVRGCYSRNLNFMIVCLSLRSSGQPIPPPILTWALGPNQLFIILPHSPIPAQSSINPLLPSPTIPFSLSHIHPGRVPTPMSAPHWDQPTLGWQAGRNQSSKCKDM
+>NM_017751|522079_2_2501_2805
+MEKSNSPTASWVRTDSSLRPWGGTRSSMGCEGLKLSTRGTRSCSPSGAMRSPAWSAHSLGCRLPSTTDLQDRWRLCVPGMTSSAASVATTSQNLGWPAGTC
+>DECOY_NM_017751|522079_2_2501_2805
+CTGAPWGLNQSTTAVSAASSTMGPVCLRWRDQLDTTSPLRCGLSHASWAPSRMAGSPSCSRTGRTSLKLGECGMSSRTGGWPRLSSDTRVWSATPSNSKEM
+>NM_017751|522083_3_1215_1339
+MVPAHRRQCAPTTLLQPRGDQPLTTSQDTSHTLCFLWPPPH
+>DECOY_NM_017751|522083_3_1215_1339
+HPPPWLFCLTHSTDQSTTLPQDGRPQLLTTPACQRRHAPVM
+>NM_017751|522084_3_1836_1987
+MGTLCPGEPADVHQVVCGLSEPRAPHRPGQPQARAHGVPSGQSLCPAQPG
+>DECOY_NM_017751|522084_3_1836_1987
+GPQAPCLSQGSPVGHARAQPQGPRHPARPESLGCVVQHVDAPEGPCLTGM
+>NM_013284|522137_3_499_599
+MDACLCLPAPYAPHTPQHWPLRGSGDTGRGSRL
+>DECOY_NM_013284|522137_3_499_599
+LRSGRGTDGSGRLPWHQPTHPAYPAPLCLCADM
+>NM_012214|522190_3_1012_1091
+MENKAKPRLLFSNDVCSRKGHILHSA
+>DECOY_NM_012214|522190_3_1012_1091
+ASHLIHGKRSCVDNSFLLRPKAKNEM
+>NM_018290|522230_2_587_672
+MVIRSIGIMELRSFLLTIKGFLKLLKKI
+>DECOY_NM_018290|522230_2_587_672
+IKKLLKLFGKITLLFSRLEMIGISRIVM
+>NM_018290|522235_2_1487_1704
+MATILLKLPILSAMIKKPLRNYLKTSETTMEKIIIQKLVANLKFLPLGTLQLAMMIANLIKKLFFPLVKAAK
+>DECOY_NM_018290|522235_2_1487_1704
+KAAKVLPFFLKKILNAIMMALQLTGLPLFKLNAVLKQIIIKEMTTESTKLYNRLPKKIMASLIPLKLLITAM
+>NM_018290|522237_2_1574_1704
+MEKIIIQKLVANLKFLPLGTLQLAMMIANLIKKLFFPLVKAAK
+>DECOY_NM_018290|522237_2_1574_1704
+KAAKVLPFFLKKILNAIMMALQLTGLPLFKLNAVLKQIIIKEM
+>NM_032213|522308_2_388_482
+MDRVKDCLLDILHHMTRTRVFWLSEESLSQS
+>DECOY_NM_032213|522308_2_388_482
+SQSLSEESLWFVRTRTMHHLIDLLCDKVRDM
+>NM_001135021|522328_2_1719_1804
+MGQKPLPSRISPSQVRVTCSLTHPKAYG
+>DECOY_NM_001135021|522328_2_1719_1804
+GYAKPHTLSCTVRVQSPSIRSPLPKQGM
+>NM_005023|522379_2_493_599
+MGVFVQYLKAVKMTCDLCTVLPVFAICSTTGQAWI
+>DECOY_NM_005023|522379_2_493_599
+IWAQGTTSCIAFVPLVTCLDCTMKVAKLYQVFVGM
+>NM_005023|522381_2_643_716
+MDWHRELDLNLMEDQLFVALPHYV
+>DECOY_NM_005023|522381_2_643_716
+VYHPLAVFLQDEMLNLDLERHWDM
+>NM_003115|522398_2_996_1096
+MVVFIGHLQPRILWRIWSKEAFGAFMSIVLTTY
+>DECOY_NM_003115|522398_2_996_1096
+YTTLVISMFAGFAEKSWIRWLIRPQLHGIFVVM
+>NM_017821|522444_2_325_728
+MGEVKIGPRVKRSTGLSQNGCCPKSPEEHTWRELTASRLPCSSSPSAWPSWQCLFTMLCGSLRNSGSRWTQASWRVPLSTVLRRGRKPGGLSHTCWYMLEFSTSWGIFVCSLFWVFPWKWSTKASVWGWCTWQE
+>DECOY_NM_017821|522444_2_325_728
+EQWTCWGWVSAKTSWKWPFVWFLSCVFIGWSTSFELMYWCTHSLGGPKRGRRLVTSLPVRWSAQTWRSGSNRLSGCLMTFLCQWSPWASPSSSCPLRSATLERWTHEEPSKPCCGNQSLGTSRKVRPGIKVEGM
+>NM_017821|522447_2_949_1046
+MGLRCLLQLTLQVDLLECPLATRCLAALIKHC
+>DECOY_NM_017821|522447_2_949_1046
+CHKILAALCRTALPCELLDVQLTLQLLCRLGM
+>NM_020918|522471_2_2155_2315
+MAFLQWQSTMTRKISVLVLLSSSGTRSFQNLCLGEVMKKMKTVTLGRNSEIAT
+>DECOY_NM_020918|522471_2_2155_2315
+TAIESNRGLTVTKMKKMVEGLCLNQFSRTGSSSLLVLVSIKRTMTSQWQLFAM
+>NM_020918|522478_3_347_483
+MERKPNESEKAICWKMLLLLHSPELGQIFQPQYPVFGFAECYLYQ
+>DECOY_NM_020918|522478_3_347_483
+QYLYCEAFGFVPYQPQFIQGLEPSHLLLLMKWCIAKESENPKREM
+>NM_175866|522517_2_1196_1302
+MDQWYLYLFQRKILAEDKSLLSMQMLVIPKLRRNY
+>DECOY_NM_175866|522517_2_1196_1302
+YNRRLKPIVLMQMSLLSKDEALIKRQFLYLYWQDM
+>NM_138790|522573_2_361_851
+MAPAQLGSPWKQRPGSRGTPASLSLWKASPRTCHLQPAAPLPSLWARPGCSCWTLPRRASTWLHTTGPSQGLTSGSTTRLPSWERLFCRSCSSCWAGTFPWLWPPAARHWPGHPPTCRFWLPEVPMYDRCPWGGSPGVFCTPNSGLWMDGTYTWAVPTWTGGL
+>DECOY_NM_138790|522573_2_361_851
+LGGTWTPVAWTYTGDMWLGSNPTCFVGPSGGWPCRDYMPVEPLWFRCTPPHGPWHRAAPPWLWPFTGAWCSSCSRCFLREWSPLRTTSGSTLGQSPGTTHLWTSARRPLTWCSCGPRAWLSPLPAAPQLHCTRPSAKWLSLSAPTGRSGPRQKWPSGLQAPAM
+>NM_021624|522647_3_612_712
+MVHPCHHIILGIRDPSHLSRLFQHEYLLEPVEA
+>DECOY_NM_021624|522647_3_612_712
+AEVPELLYEHQFLRSLHSPDRIGLIIHHCPHVM
+>NM_018082|522712_2_1238_1320
+MVTSDWNWQDSFYLFFLKTCSKNLILK
+>DECOY_NM_018082|522712_2_1238_1320
+KLILNKSCTKLFFLYFSDQWNWDSTVM
+>NM_018082|522717_2_3104_3204
+MVITTWGKTMLHPASQVSPSKHTSILAPCTIRS
+>DECOY_NM_018082|522717_2_3104_3204
+SRITCPALISTHKSPSVQSAPHLMTKGWTTIVM
+>NM_002161|522836_2_412_521
+MAYLWNMKLIRHWESEDQRMWPKWGLQSITISAEQL
+>DECOY_NM_002161|522836_2_412_521
+LQEASITISQLGWKPWMRQDESEWHRILKMNWLYAM
+>NM_002161|522852_3_1847_1938
+MVLYPAGAGHGPLWTTAFQERNCEWACPGK
+>DECOY_NM_002161|522852_3_1847_1938
+KGPCAWECNREQFATTWLPGHGAGAPYLVM
+>NM_000284|522871_2_428_681
+MVRKLAVWAWRPASTPQTISSQPTGLTALLSPGAFPSEKFSQSLQDEKEVVLKGKEDRCTCMPRTSTGAMASWERRCPWALGLL
+>DECOY_NM_000284|522871_2_428_681
+LLGLAWPCRREWSAMAGTSTRPMCTCRDEKGKLVVEKEDQLSQSFKESPFAGPSLLATLGTPQSSITQPTSAPRWAWVALKRVM
+>NM_000284|522873_2_731_900
+MVLLTRARYSKLTTWQLCGNYLVFSSVRIIAMEWERLLRERQPALITTREAISFLG
+>DECOY_NM_000284|522873_2_731_900
+GLFSIAERTTILAPQRERLLREWEMAIIRVSSFVLYNGCLQWTTLKSYRARTLLVM
+>NM_000284|522874_2_824_900
+MEWERLLRERQPALITTREAISFLG
+>DECOY_NM_000284|522874_2_824_900
+GLFSIAERTTILAPQRERLLREWEM
+>NM_005390|522991_2_547_629
+MASSVHRAPWALALLWPVNIKETMRSV
+>DECOY_NM_005390|522991_2_547_629
+VSRMTEKINVPWLLALAWPARHVSSAM
+>NM_001112|523109_2_498_613
+MAAHLGLARALSSPMGVVVAPAESGPWRRAAMATPSTA
+>DECOY_NM_001112|523109_2_498_613
+ATSPTAMAARRWPGSEAPAVVVGMPSSLARALGLHAAM
+>NM_032147|523530_2_2168_2256
+MGKDLAQGTTLPTAIILKEGSGYTAMIPN
+>DECOY_NM_032147|523530_2_2168_2256
+NPIMATYGSGEKLIIATPLTTGQALDKGM
+>NM_024419|523609_3_161_303
+MAVIGSLAVPSCSPGHLPTLLPVSRRRAPVPVDQKPGSRIWSLQFSR
+>DECOY_NM_024419|523609_3_161_303
+RSFQLSWIRSGPKQDVPVPARRRSVPLLTPLHGPSCSPVALSGIVAM
+>NM_015425|523662_2_2639_2712
+MGAPPTAWSTAAMRSMEARPAARF
+>DECOY_NM_015425|523662_2_2639_2712
+FRAAPRAEMSRMAATSWATPPAGM
+>NM_015425|523666_2_3443_3516
+MAWTSPRHSSCSPSSSPSWPATTR
+>DECOY_NM_015425|523666_2_3443_3516
+RTTAPWSPSSSPSCSSHRPSTWAM
+>NM_015425|523682_3_3600_3694
+MAKQAPQHPAEKRRLLELFPENSGSCESPET
+>DECOY_NM_015425|523682_3_3600_3694
+TEPSECSGSNEPFLELLRRKEAPHQPAQKAM
+>NM_182488|523694_2_701_792
+MVVYLMVILIMKIITAHQTQRGLMRFFREH
+>DECOY_NM_182488|523694_2_701_792
+HERFFRMLGRQTQHATIIKMILIVMLYVVM
+>NM_024047|523720_2_705_847
+MGMLRERARMACMRLKMEDREILQDGLDWWAGGFWGDGAQITLQIPL
+>DECOY_NM_024047|523720_2_705_847
+LPIQLTIQAGDGWFGGAWWDLGDQLIERDEMKLRMCAMRARERLMGM
+>NM_024047|523722_2_732_847
+MACMRLKMEDREILQDGLDWWAGGFWGDGAQITLQIPL
+>DECOY_NM_024047|523722_2_732_847
+LPIQLTIQAGDGWFGGAWWDLGDQLIERDEMKLRMCAM
+>NM_024047|523724_2_753_847
+MEDREILQDGLDWWAGGFWGDGAQITLQIPL
+>DECOY_NM_024047|523724_2_753_847
+LPIQLTIQAGDGWFGGAWWDLGDQLIERDEM
+>NM_006537|523755_2_1516_1598
+MVPGLVLDITQHTQLTKAAGSTSMTVL
+>DECOY_NM_006537|523755_2_1516_1598
+LVTMSTSGAAKTLQTHQTIDLVLGPVM
+>NM_001440|523795_2_618_820
+MGARGTEVRPACCAGPTASASRGSASRSLSSWSSSRSSPTITSPLWMRLMRQASGFLVPGWGTSCAR
+>DECOY_NM_001440|523795_2_618_820
+RACSTGWGPVLFGSAQRMLRMWLPSTITPSSRSSSWSSLSRSASGRSASATPGACCAPRVETGRAGM
+>NM_022750|523822_2_1361_1518
+MDPTALVPFKSSASSSISASIFYRGNASLALAVRDPMISLILRIWKNWRSWV
+>DECOY_NM_022750|523822_2_1361_1518
+VWSRWNKWIRLILSIMPDRVALALSANGRYFISASISSSASSKFPVLATPDM
+>NM_022750|523825_2_2528_2829
+MEGRPWTSGSCSTAPAPFLWTPSASRTLTGGSVVFMALPTARGATLPEMLHIPTTTANPTRRPTRCSWPGCWWASSSGAMRPLSVRRPRRAGATPSMIAA
+>DECOY_NM_022750|523825_2_2528_2829
+AAIMSPTAGARRPRRVSLPRMAGSSSAWWCGPWSCRTPRRTPNATTTPIHLMEPLTAGRATPLAMFVVSGGTLTRSASPTWLFPAPATSCSGSTWPRGEM
+>NM_002863|523854_2_513_643
+MVVLGDLLPASWIPWQPWDLQPMDTAFGMNMGFSIRRSEMDGR
+>DECOY_NM_002863|523854_2_513_643
+RGDMESRRISFGMNMGFATDMPQLDWPQWPIWSAPLLDGLVVM
+>NM_002863|523858_2_2355_2476
+MAFFLPSSLTSSKISSTCYFIMTGLKSLQTTKPMSSVKIK
+>DECOY_NM_002863|523858_2_2355_2476
+KIKVSSMPKTTQLSKLGTMIFYCTSSIKSSTLSSPLFFAM
+>NM_002863|523859_3_1207_1328
+MGAHPEDLRLHQPHSAPGSPGALARGPGGEAAPSTFGNHL
+>DECOY_NM_002863|523859_3_1207_1328
+LHNGFTSPAAEGGPGRALAGPSGPASHPQHLRLDEPHAGM
+>NM_013274|523869_2_580_707
+MAASYALPRAQVSLTLWWMKAWTMSEPSAFSDYPSCPRVLSW
+>DECOY_NM_013274|523869_2_580_707
+WSLVRPCSPYDSFASPESMTWAKMWWLTLSVQARPLAYSAAM
+>NM_013274|523875_2_976_1319
+MGKKPRLVQLIWKPSSVATTPPPLREIVSLAQPLLSWISGSVHSPQARRRPITTSISQRSWKFWPKPTVFRETSGGPWAMPRPSMPSRASISLSPRTRRPAVSLGLGSGWLRKS
+>DECOY_NM_013274|523875_2_976_1319
+SKRLWGSGLGLSVAPRRTRPSLSISARSPMSPRPMAWPGGSTERFVTPKPWFKWSRQSISTTIPRRRAQPSHVSGSIWSLLPQALSVIERLPPPTTAVSSPKWILQVLRPKKGM
+>NM_013274|523880_2_1777_1952
+MVSNRSTWGCAGSQGQGGGTGAWTSSWCPIASLPVPCSTSPALHTSTAPCEPWPKPRA
+>DECOY_NM_013274|523880_2_1777_1952
+ARPKPWPECPATSTHLAPSTSCPVPLSAIPCWSSTWAGTGGGQGQSGACGWTSRNSVM
+>NM_032271|523917_2_1414_1514
+MASCWLSASRGANSTAALQTAPSLCGTSRTCRR
+>DECOY_NM_032271|523917_2_1414_1514
+RRCTRSTGCLSPATQLAATSNAGRSASLWCSAM
+>NM_014918|524057_2_2554_2678
+MGLASRVFIREILSEWVALMFPSKAGGWRMWTFSTRLSRQV
+>DECOY_NM_014918|524057_2_2554_2678
+VQRSLRTSFTWMRWGGAKSPFMLAVWESLIERIFVRSALGM
+>NM_001439|524107_3_666_754
+MEFSRAPPYPCDLQTTDSKQDEKSTPGLS
+>DECOY_NM_001439|524107_3_666_754
+SLGPTSKEDQKSDTTQLDCPYPPARSFEM
+>NM_002651|524162_2_2125_2234
+MGISFWTQKATSSTSTLASSSPAHPEIWALRRQPLS
+>DECOY_NM_002651|524162_2_2125_2234
+SLPQRRLAWIEPHAPSSSALTSTSSTAKQTWFSIGM
+>NM_001002257|524251_3_126_421
+MERDLLYTDSVLGKLFWKHFHAESLFTFDVCKPILVSLDQQPPCGNMAHPTCGIIGDHVWCKSDYNWGCICSWRKKCHYHEPSDKNGLDVPVELPDAI
+>DECOY_NM_001002257|524251_3_126_421
+IADPLEVPVDLGNKDSPEHYHCKKRWSCICGWNYDSKCWVHDGIIGCTPHAMNGCPPQQDLSVLIPKCVDFTFLSEAHFHKWFLKGLVSDTYLLDREM
+>NM_001002257|524252_3_264_421
+MAHPTCGIIGDHVWCKSDYNWGCICSWRKKCHYHEPSDKNGLDVPVELPDAI
+>DECOY_NM_001002257|524252_3_264_421
+IADPLEVPVDLGNKDSPEHYHCKKRWSCICGWNYDSKCWVHDGIIGCTPHAM
+>NM_020210|524298_2_515_1266
+MAGPCTWVLERPSLHSVATSASCQAGSTRSCFGVQTQRRNSSAASRARTHSATVKTTSRSSCRSAAVTCSPVAQQPSAPCVPTSTWRTSPWQGTRRGMSSWKMARAVVPSTRISSPLPWWLMASSTLEQSAASKGMTRPSRGAKAFAPPRPRAPSTGCKTQLLWPQPTFLRAWAACKAMMTRSTFSSARLARNLSSLRTPLCPALPASARAMRVESGCYSSAGPPSSRPSCCAHGPTMASPSTCCRMSSR
+>DECOY_NM_020210|524298_2_515_1266
+RSSMRCCTSPSAMTPGHACCSPRSSPPGASSYCGSEVRMARASAPLAPCLPTRLSSLNRALRASSFTSRTMMAKCAAWARLFTPQPWLLQTKCGTSPARPRPPAFAKAGRSPRTMGKSAASQELTSSAMLWWPLPSSIRTSPVVARAMKWSSMGRRTGQWPSTRWTSTPVCPASPQQAVPSCTVAASRCSSRSTTKVTASHTRARSAASSNRRQTQVGFCSRTSGAQCSASTAVSHLSPRELVWTCPGAM
+>NM_020210|524300_2_821_1266
+MARAVVPSTRISSPLPWWLMASSTLEQSAASKGMTRPSRGAKAFAPPRPRAPSTGCKTQLLWPQPTFLRAWAACKAMMTRSTFSSARLARNLSSLRTPLCPALPASARAMRVESGCYSSAGPPSSRPSCCAHGPTMASPSTCCRMSSR
+>DECOY_NM_020210|524300_2_821_1266
+RSSMRCCTSPSAMTPGHACCSPRSSPPGASSYCGSEVRMARASAPLAPCLPTRLSSLNRALRASSFTSRTMMAKCAAWARLFTPQPWLLQTKCGTSPARPRPPAFAKAGRSPRTMGKSAASQELTSSAMLWWPLPSSIRTSPVVARAM
+>NM_003508|524410_3_1263_1372
+MGPRGHRGPRQLFPHGCLGPARAQDHRHPDPAQGGG
+>DECOY_NM_003508|524410_3_1263_1372
+GGGQAPDPHRHDQARAPGLCGHPFLQRPGRHGRPGM
+>NM_030924|524460_2_1617_1876
+MALGRSASGVGTSSWAIWKVRLKLQRPSMMKAGYTLGIWASWTVWVSSMSPATSKKSLSLLVVKMCPPFLLRPWLRRRSPSSVTPC
+>DECOY_NM_030924|524460_2_1617_1876
+CPTVSSPSRRRLWPRLLFPPCMKVVLLSLSKKSTAPSMSSVWVTWSAWIGLTYGAKMMSPRQLKLRVKWIAWSSTGVGSASRGLAM
+>NM_022569|524482_2_1904_2040
+MEYQSTWAMLWPHITQGSTRFTFSCMQLGRRSGVFKSPALKNIHI
+>DECOY_NM_022569|524482_2_1904_2040
+IHINKLAPSKFVGSRRGLQMCSFTFRTSGQTIHPWLMAWTSQYEM
+>NM_018441|524488_2_387_463
+MEEASFFPLLNTSVLRDGTLCLRPT
+>DECOY_NM_018441|524488_2_387_463
+TPRLCLTGDRLVSTNLLPFFSAEEM
+>NM_018441|524489_2_705_946
+MVPGDKASLKGLFRKSPLNELVFLRRSPLWSASYCLLQLPSSLDSRWMWMGAGVSILTRMRYQIMTTGPREQGTFLLSKR
+>DECOY_NM_018441|524489_2_705_946
+RKSLLFTGQERPGTTMIQYRMRTLISVGAGMWMWRSDLSSPLQLLCYSASWLPSRRLFVLENLPSKRFLGKLSAKDGPVM
+>NM_018441|524493_3_631_731
+MGLQWNTDQLCCPWSYLFPDCCGELWFLGTKLL
+>DECOY_NM_018441|524493_3_631_731
+LLKTGLFWLEGCCDPFLYSWPCCLQDTNWQLGM
+>NM_032781|524512_2_1470_1576
+MVGRRRCTSPLRDPSSARSPTSGAWCGRSTRPSLS
+>DECOY_NM_032781|524512_2_1470_1576
+SLSPRTSRGCWAGSTPSRASSPDRLPSTCRRRGVM
+>NM_004845|524710_3_1024_1226
+MEADVPGEEQPDAAGLIPEAEPCEQPNPEPVPFPLPIAHLLMASTQNLTPFLTQSSLSLYQQHERGG
+>DECOY_NM_004845|524710_3_1024_1226
+GGREHQQYLSLSSQTLFPTLNQTSAMLLHAIPLPFPVPEPNPQECPEAEPILGAADPQEEGPVDAEM
+>NM_175839|524743_2_553_647
+MAWPATLPTTAAGSPRTWLRNSAIYTTRSIT
+>DECOY_NM_175839|524743_2_553_647
+TISRTTYIASNRLWTRPSGAATTPLTAPWAM
+>NM_173593|524899_2_496_587
+MASASLATCTPLLMGKSSLPLLQMTTRSSG
+>DECOY_NM_173593|524899_2_496_587
+GSSRTTMQLLPLSSKGMLLPTCTALSASAM
+>NM_173593|524905_2_1303_1613
+MGKWQRRPLPPTTRMPGCLREDRHLPPPWSKMPLTTASEACGNSWLSPGRACWPPSPSGTPQRPSQGGPATFQCSSQRRGSKNPALSPAKIHLIPTSGLLGTL
+>DECOY_NM_173593|524905_2_1303_1613
+LTGLLGSTPILHIKAPSLAPNKSGRRQSSCQFTAPGGQSPRQPTGSPSPPWCARGPSLWSNGCAESATTLPMKSWPPPLHRDERLCGPMRTTPPLPRRQWKGM
+>NM_173593|524908_2_1762_1853
+MGRRKWWRPQARKDKWRERKRGKKRRRKRI
+>DECOY_NM_173593|524908_2_1762_1853
+IRKRRRKKGRKRERWKDKRAQPRWWKRRGM
+>NM_170601|524950_2_669_751
+MDISSTCQQCAGSLDVTFMTLCSIPSG
+>DECOY_NM_170601|524950_2_669_751
+GSPISCLTMFTVDLSGACQQCTSSIDM
+>NM_170601|524951_2_1113_1198
+MDFPRSVGIKQQTSAMSPTQRCPILSWL
+>DECOY_NM_170601|524951_2_1113_1198
+LWSLIPCRQTPSMASTQQKIGVSRPFDM
+>NM_170601|524954_3_253_350
+MGLRYTWSHSDRDPAPRSGNHHEESDQCESSL
+>DECOY_NM_170601|524954_3_253_350
+LSSECQDSEEHHNGSRPAPDRDSHSWTYRLGM
+>NM_012166|525064_2_1634_1752
+MAFALALSSLAMGKASSGTIKSFPIRRLAFTSCTTETPL
+>DECOY_NM_012166|525064_2_1634_1752
+LPTETTCSTFALRRIPFSKITGSSAKGMALSSLALAFAM
+>NM_012166|525065_2_1667_1752
+MGKASSGTIKSFPIRRLAFTSCTTETPL
+>DECOY_NM_012166|525065_2_1667_1752
+LPTETTCSTFALRRIPFSKITGSSAKGM
+>NM_012166|525069_2_2051_2211
+MACMEWQYLARRMAPASYLEATGLKRTSARMGTPSSGRQSWRRRTTHCAGPSP
+>DECOY_NM_012166|525069_2_2051_2211
+PSPGACHTTRRRWSQRGSSPTGMRASTRKLGTAELYSAPAMRRALYQWEMCAM
+>NM_012166|525071_2_2087_2211
+MAPASYLEATGLKRTSARMGTPSSGRQSWRRRTTHCAGPSP
+>DECOY_NM_012166|525071_2_2087_2211
+PSPGACHTTRRRWSQRGSSPTGMRASTRKLGTAELYSAPAM
+>NM_012166|525073_2_2438_2565
+MVSMTTEATALSPRATAPSSLKTISLATGAAGCSCCPGPTLK
+>DECOY_NM_012166|525073_2_2438_2565
+KLTPGPCCSCGAAGTALSITKLSSPATARPSLATAETTMSVM
+>NM_012166|525077_3_300_397
+MDQECLGLGVFHLLFSIPPEEGTTYPECWARP
+>DECOY_NM_012166|525077_3_300_397
+PRAWCEPYTTGEEPPISFLLHFVGLGLCEQDM
+>NM_032693|525091_2_240_370
+MAFPGPSFLTSLRMRTGRLWAMFWPKWRRNQMMSRMAISPHWP
+>DECOY_NM_032693|525091_2_240_370
+PWHPSIAMRSMMQNRRWKPWFMAWLRGTRMRLSTLFSPGPFAM
+>NM_020893|525168_2_942_1021
+MAIMYSLVLPIVQTRAWKCCKGWALG
+>DECOY_NM_020893|525168_2_942_1021
+GLAWGKCCKWARTQVIPLVLSYMIAM
+>NM_001144965|525212_2_655_806
+MEVKMKKTVTRGMTWSMDGKLLTQMTRLLSTKRNFLLLLCLPGGKKKWTI
+>DECOY_NM_001144965|525212_2_655_806
+ITWKKKGGPLCLLLLFNRKTSLLRTMQTLLKGDMSWTMGRTVTKKMKVEM
+>NM_001144965|525215_2_703_806
+MDGKLLTQMTRLLSTKRNFLLLLCLPGGKKKWTI
+>DECOY_NM_001144965|525215_2_703_806
+ITWKKKGGPLCLLLLFNRKTSLLRTMQTLLKGDM
+>NM_001144965|525222_2_2167_2369
+MGVWPENGSSYCPKRCSTPTTASLSTLPRTTTPFRSTLIQASVMRIICPTSLLLEELLVWPYFMGSS
+>DECOY_NM_001144965|525222_2_2167_2369
+SSGMFYPWVLLEELLLSTPCIIRMVSAQILTSRFPTTTRPLTSLSATTPTSCRKPCYSSGNEPWVGM
+>NM_153335|525254_2_715_914
+MGSGSEWSTIFPSTVSRFCRGSAPRSSSRISRVMMPSLTSTVWESQPVNWPTAMSPLRICLPPRCC
+>DECOY_NM_153335|525254_2_715_914
+CCRPPLCIRLPSMATPWNVPQSEWVTSTLSPMMVRSIRSSSRPASGRCFRSVTSPFITSWESGSGM
+>NM_032579|525342_2_336_427
+MAVVRGMFSWKPPATASAVWWTGPLPAAAT
+>DECOY_NM_032579|525342_2_336_427
+TAAAPLPGTWWVASATAPPKWSFMGRVVAM
+>NM_032801|525727_2_991_1085
+MEKVTRTQGNQMELTTSALTRRATSDTSHRL
+>DECOY_NM_032801|525727_2_991_1085
+LRHSTDSTARRTLASTTLEMQNGQTRTVKEM
+>NM_001102416|525752_2_879_1057
+MVIPVNVQIMHTSIFSYELLPSHRTVTFIQGRILYNHLPRFAWAAPEIYPPTAQSWRRH
+>DECOY_NM_001102416|525752_2_879_1057
+HRRWSQATPPYIEPAAWAFRPLHNYLIRGQIFTVTRHSPLLEYSFISTHMIQVNVPIVM
+>NM_001102416|525757_2_1539_1864
+MAINMNVTKGMGTKEDMALAMDTNNSMVLVMDISSNLMMILNTKGAMSLTMDISISMVMATENIKIKAKRMESTMVGKQSIWQALLKTVLHLLHRHKRRQKGQHPSLP
+>DECOY_NM_001102416|525757_2_1539_1864
+PLSPHQGKQRRKHRHLLHLVTKLLAQWISQKGVMTSEMRKAKIKINETAMVMSISIDMTLSMAGKTNLIMMLNSSIDMVLVMSNNTDMALAMDEKTGMGKTVNMNIAM
+>NM_001102416|525759_2_1569_1864
+MGTKEDMALAMDTNNSMVLVMDISSNLMMILNTKGAMSLTMDISISMVMATENIKIKAKRMESTMVGKQSIWQALLKTVLHLLHRHKRRQKGQHPSLP
+>DECOY_NM_001102416|525759_2_1569_1864
+PLSPHQGKQRRKHRHLLHLVTKLLAQWISQKGVMTSEMRKAKIKINETAMVMSISIDMTLSMAGKTNLIMMLNSSIDMVLVMSNNTDMALAMDEKTGM
+>NM_001102416|525760_2_1587_1864
+MALAMDTNNSMVLVMDISSNLMMILNTKGAMSLTMDISISMVMATENIKIKAKRMESTMVGKQSIWQALLKTVLHLLHRHKRRQKGQHPSLP
+>DECOY_NM_001102416|525760_2_1587_1864
+PLSPHQGKQRRKHRHLLHLVTKLLAQWISQKGVMTSEMRKAKIKINETAMVMSISIDMTLSMAGKTNLIMMLNSSIDMVLVMSNNTDMALAM
+>NM_001102416|525761_2_1599_1864
+MDTNNSMVLVMDISSNLMMILNTKGAMSLTMDISISMVMATENIKIKAKRMESTMVGKQSIWQALLKTVLHLLHRHKRRQKGQHPSLP
+>DECOY_NM_001102416|525761_2_1599_1864
+PLSPHQGKQRRKHRHLLHLVTKLLAQWISQKGVMTSEMRKAKIKINETAMVMSISIDMTLSMAGKTNLIMMLNSSIDMVLVMSNNTDM
+>NM_001102416|525762_2_1617_1864
+MVLVMDISSNLMMILNTKGAMSLTMDISISMVMATENIKIKAKRMESTMVGKQSIWQALLKTVLHLLHRHKRRQKGQHPSLP
+>DECOY_NM_001102416|525762_2_1617_1864
+PLSPHQGKQRRKHRHLLHLVTKLLAQWISQKGVMTSEMRKAKIKINETAMVMSISIDMTLSMAGKTNLIMMLNSSIDMVLVM
+>NM_001102416|525763_2_1629_1864
+MDISSNLMMILNTKGAMSLTMDISISMVMATENIKIKAKRMESTMVGKQSIWQALLKTVLHLLHRHKRRQKGQHPSLP
+>DECOY_NM_001102416|525763_2_1629_1864
+PLSPHQGKQRRKHRHLLHLVTKLLAQWISQKGVMTSEMRKAKIKINETAMVMSISIDMTLSMAGKTNLIMMLNSSIDM
+>NM_001102416|525767_2_1689_1864
+MDISISMVMATENIKIKAKRMESTMVGKQSIWQALLKTVLHLLHRHKRRQKGQHPSLP
+>DECOY_NM_001102416|525767_2_1689_1864
+PLSPHQGKQRRKHRHLLHLVTKLLAQWISQKGVMTSEMRKAKIKINETAMVMSISIDM
+>NM_001102416|525768_2_1707_1864
+MVMATENIKIKAKRMESTMVGKQSIWQALLKTVLHLLHRHKRRQKGQHPSLP
+>DECOY_NM_001102416|525768_2_1707_1864
+PLSPHQGKQRRKHRHLLHLVTKLLAQWISQKGVMTSEMRKAKIKINETAMVM
+>NM_001102416|525769_2_1713_1864
+MATENIKIKAKRMESTMVGKQSIWQALLKTVLHLLHRHKRRQKGQHPSLP
+>DECOY_NM_001102416|525769_2_1713_1864
+PLSPHQGKQRRKHRHLLHLVTKLLAQWISQKGVMTSEMRKAKIKINETAM
+>NM_001102416|525770_2_1749_1864
+MESTMVGKQSIWQALLKTVLHLLHRHKRRQKGQHPSLP
+>DECOY_NM_001102416|525770_2_1749_1864
+PLSPHQGKQRRKHRHLLHLVTKLLAQWISQKGVMTSEM
+>NM_001102416|525771_2_1761_1864
+MVGKQSIWQALLKTVLHLLHRHKRRQKGQHPSLP
+>DECOY_NM_001102416|525771_2_1761_1864
+PLSPHQGKQRRKHRHLLHLVTKLLAQWISQKGVM
+>NM_022918|525811_2_729_823
+MDQGIDVANIMKIIASLIALKVSSECLAWGT
+>DECOY_NM_022918|525811_2_729_823
+TGWALCESSVKLAILSAIIKMINAVDIGQDM
+>NM_001144893|525876_3_642_736
+MGGRLTSVAQLQAVLEQRRAQQRWGGRLRGI
+>DECOY_NM_001144893|525876_3_642_736
+IGRLRGGWRQQARRQELVAQLQAVSTLRGGM
+>NM_001144895|525914_2_185_267
+MVPWCCNSSPSRSWLGSLSKCPRSPAP
+>DECOY_NM_001144895|525914_2_185_267
+PAPSRPCKSLSGLWSRSPSSNCCWPVM
+>NM_003120|525991_2_347_777
+MGRAIATITGTSTPTTCTASSRASPRTTSRSSRACSPRSCSSSTATWSWSRCTSSIPPWCHPIPVLATRSPTCPGCASSTHPCPQPSPAQMRRRASGRAPHWRCLTARRMAWSPGLGSCLGRQAARRRSACTSSCWTCSAAAT
+>DECOY_NM_003120|525991_2_347_777
+TAAASCTWCSSTCASRRRAAQRGLCSGLGPSWAMRRATLCRWHPARGSARRRMQAPSPQPCPHTSSACGPCTPSRTALVPIPHCWPPISSTCRSWSWTATSSSCSRPSCARSSRSTTRPSARSSATCTTPTSTGTITAIARGM
+>NM_001040151|526038_2_642_742
+MATRRWRAPFRGACSGMAARTCRTCPSLCSTSL
+>DECOY_NM_001040151|526038_2_642_742
+LSTSCLSPCTRCTRAAMGSCAGRFPARWRRTAM
+>NM_138565|526065_2_424_584
+MEGNLVWNKTEWISQLSATNISRNFPSTARRWTRSVASEASLVSRWTELISLL
+>DECOY_NM_138565|526065_2_424_584
+LLSILETWRSVLSAESAVSRTWRRATSPFNRSINTASLQSIWETKNWVLNGEM
+>NM_138565|526067_2_991_1091
+MGCRRIGWIRMRQPLRMSPRCPLPTRRQYLSKL
+>DECOY_NM_138565|526067_2_991_1091
+LKSLYQRRTPLPCRPSMRLPQRMRIWGIRRCGM
+>NM_138565|526073_3_287_381
+MGCQDGAGLRAPGAYQHTQAEGECLSRASDP
+>DECOY_NM_138565|526073_3_287_381
+PDSARSLCEGEAQTHQYAGPARLGAGDQCGM
+>NM_001080855|526145_2_744_841
+MGAGAWRTCGPVWRVSWMNWRAPCPAPSLPSL
+>DECOY_NM_001080855|526145_2_744_841
+LSPLSPAPCPARWNMWSVRWVPGCTRWAGAGM
+>NM_001080855|526147_2_1329_1417
+MDSPTVKRTTTTSSPRAATTATAPSWIKW
+>DECOY_NM_001080855|526147_2_1329_1417
+WKIWSPATATTAARPSSTTTTRKVTPSDM
+>NM_021098|526228_2_1845_1993
+MAAPAGPAPSQAPATPGWSELARPPRHLPQAADPPTQSLCTASTMPTAT
+>DECOY_NM_021098|526228_2_1845_1993
+TATPMTSATCLSQTPPDAAQPLHRPPRALESWGPTAPAQSPAPGAPAAM
+>NM_021098|526230_2_2247_2326
+MDWARPLAICRASVCPAPCPAPQRAH
+>DECOY_NM_021098|526230_2_2247_2326
+HARQPAPCPAPCVSARCIALPRAWDM
+>NM_000342|526461_2_762_838
+MGAQKGTHHLEFWKRFPRIQRPRWC
+>DECOY_NM_000342|526461_2_762_838
+CWRPRQIRPFRKWFELHHTGKQAGM
+>NM_000342|526475_3_370_482
+MDGGGALGATGGEPGGEWGLGPPAPLSPHLLEPPRAA
+>DECOY_NM_000342|526475_3_370_482
+AARPPELLHPSLPAPPGLGWEGGPEGGTAGLAGGGDM
+>NM_004006|526575_2_6059_6189
+MGPQWQWSQLRSSSASAGGKLRANLLSFEDSTLHKFTLSVKKR
+>DECOY_NM_004006|526575_2_6059_6189
+RKKVSLTFKHLTSDEFSLLNARLKGGASASSSRLQSWQWQPGM
+>NM_004006|526592_3_8058_8167
+MEGGSLYSRCNPKENHRNQAVGQRPPPVADKCRCGK
+>DECOY_NM_004006|526592_3_8058_8167
+KGCRCKDAVPPPRQGVAQNRHNEKPNCRSYLSGGEM
+>NM_005223|526730_2_2468_2595
+MAASPAGTTPSTESQPLSGSSPGSQRSGSLPLFPCMRPRGTQ
+>DECOY_NM_005223|526730_2_2468_2595
+QTGRPRMCPFLPLSGSRQSGPSSGSLPQSETSPTTGAPSAAM
+>NM_005223|526732_3_2643_2773
+MGLGGRHVDGRLQCGLQLCETLPVVIHPPVDKPHLPVADPRQR
+>DECOY_NM_005223|526732_3_2643_2773
+RQRPDAVPLHPKDVPPHIVVPLTECLQLGCQLRGDVHRGGLGM
+>NM_145200|526904_2_744_865
+MDELRWRSCGRRYRLCSGSRWRVLSWTRCSEKWTSMGMAP
+>DECOY_NM_145200|526904_2_744_865
+PAMGMSTWKESCRTWSLVRWRSGSCLRYRRGCSRWRLEDM
+>NM_003820|527489_3_904_995
+MVVSLREPRHRHCLLHSWPNHMCEKKKAKG
+>DECOY_NM_003820|527489_3_904_995
+GKAKKKECMHNPWSHLLCHRHRPERLSVVM
+>NM_000426|527536_2_973_1112
+MVMPGLVHLIQRQINLAVSVSITHVAIAVISAVQDSIRNPGELELF
+>DECOY_NM_000426|527536_2_973_1112
+FLELEGPNRISDQVASIVAIAVHTISVSVALNIQRQILHVLGPMVM
+>NM_000426|527546_2_2602_2765
+MDALSGTQDHAVRGVQKAILDNPLYLEDHVSHANAMTTLTSPSLAAVTACLAPV
+>DECOY_NM_000426|527546_2_2602_2765
+VPALCATVAALSPSTLTTMANAHSVHDELYLPNDLIAKQVGRVAHDQTGSLADM
+>NM_000426|527557_2_4372_4523
+MDTAACVTLKHRYARIVNITLLVTSVNDVLLDTMELSRDCQMTVSNVPAL
+>DECOY_NM_000426|527557_2_4372_4523
+LAPVNSVTMQCDRSLEMTDLLVDNVSTVLLTINVIRAYRHKLTVCAATDM
+>NM_000426|527580_2_9112_9338
+MVRADSLLSMMLGFQGICVMDNGIKSLPTRSNTALSSQSMGTRWKPKAQTQHLHQLTQMTLCLLEASQMTSSSLA
+>DECOY_NM_000426|527580_2_9112_9338
+ALSSSTMQSAELLCLTMQTLQHLHQTQAKPKWRTGMSQSSLATNSRTPLSKIGNDMVCIGQFGLMMSLLSDARVM
+>NM_000426|527583_2_9169_9338
+MDNGIKSLPTRSNTALSSQSMGTRWKPKAQTQHLHQLTQMTLCLLEASQMTSSSLA
+>DECOY_NM_000426|527583_2_9169_9338
+ALSSSTMQSAELLCLTMQTLQHLHQTQAKPKWRTGMSQSSLATNSRTPLSKIGNDM
+>NM_000422|527698_2_326_462
+MAAALGVLMGCWLEVRRPPCRTSMTAWPPTWTRCVPWRRPTLSWR
+>DECOY_NM_000422|527698_2_326_462
+RWSLTPRRWPVCRTWTPPWATMSTRCPPRRVELWCGMLVGLAAAM
+>NM_014564|527751_2_1023_1207
+MEAWQAQSSTESCVPAAPTVSPHPPPPRRASLAPSPSSPAWCTQTPAWALCPREPPAGPHP
+>DECOY_NM_014564|527751_2_1023_1207
+PHPGAPPERPCLAWAPTQTCWAPSSPSPALSARRPPPPHPSVTPAAPVCSETSSQAQWAEM
+>NM_014220|527837_2_241_395
+MGSVHDASDILWWGSPSCASRLIFCFTFPMGKQSMPPKTTSAASCGSFLAS
+>DECOY_NM_014220|527837_2_241_395
+SALFSGCSAASTTKPPMSQKGMPFTFCFILRSACSPSGWWLIDSADHVSGM
+>NM_006990|527918_2_640_731
+MEKRHSNSTQTLHTSLIFGRRRCCRTPRIS
+>DECOY_NM_006990|527918_2_640_731
+SIRPTRCCRRRGFILSTHLTQTSNSHRKEM
+>NM_006990|527919_2_910_1133
+MAALAVLKTWMQVAIRHHHSQTLLLHLLLPSPRTTCLLHQQNSVTQWTTKEDLVWLDPKDPVWSAQAIHHQLLL
+>DECOY_NM_006990|527919_2_910_1133
+LLLQHHIAQASWVPDKPDLWVLDEKTTWQTVSNQQHLLCTTRPSPLLLHLLLTQSHHHRIAVQMWTKLVALAAM
+>NM_000338|528076_2_2845_2981
+MAALTQASRCMWESSTRNWWKPALNLKRNKKKAQLMFGGCLMMEG
+>DECOY_NM_000338|528076_2_2845_2981
+GEMMLCGGFMLQAKKKNRKLNLAPKWWNRTSSEWMCRSAQTLAAM
+>NM_000338|528080_3_1178_1269
+MGGKGPSHSSGHSSNCYCKLLHWNCHSIQQ
+>DECOY_NM_000338|528080_3_1178_1269
+QQISHCNWHLLKCYCNSSHGSSHSPGKGGM
+>NM_000338|528082_3_2003_2118
+METCVWNLQHVGISFWSCFVLCSHVCHQLVGSCHHLCH
+>DECOY_NM_000338|528082_3_2003_2118
+HCLHHCSGVLQHCVHSCLVFCSWFSIGVHQLNWVCTEM
+>NM_002292|528104_2_1422_1786
+MDVSITQLGATVSSVGPSSTVTQPRTCGIRLCAAPVIVTPWVLKTVVAVIPMMTLHWDWSPASVAAKNMWWALAASNAVMASLGSASVTVWAAGDVNVMHGAQCLGALLVTPTVDPVTANV
+>DECOY_NM_002292|528104_2_1422_1786
+VNATVPDVTPTVLLAGLCQAGHMVNVDGAAWVTVSASGLSAMVANSAALAWWMNKAAVSAPSWDWHLTMMPIVAVVTKLVWPTVIVPAACLRIGCTRPQTVTSSPGVSSVTAGLQTISVDM
+>NM_002292|528108_2_1659_1786
+MASLGSASVTVWAAGDVNVMHGAQCLGALLVTPTVDPVTANV
+>DECOY_NM_002292|528108_2_1659_1786
+VNATVPDVTPTVLLAGLCQAGHMVNVDGAAWVTVSASGLSAM
+>NM_002292|528113_2_2760_3748
+MALAPQAVKPASAATRGHSAVSVKRPVGNVSVELVPLGFAVTAASVASGDSLAAGHVSAMGMQMSATPTQALAWAAVITQGVSTVKGALLVSTGTHGCHMGASAGPVPVLKALGANGTLLLLATRMNIPSRLCATAGQAIRGCDVKLVPLGTLGTHQGQVAGANCVSAVGTLTQWILMPVTPTRGNACAVYTTQRVHTVPTASLASMGRLPDRAVTAAHATCWAQIRSSAHLLTSATVIQAVGSAHASPMSRALAVTAVPPTSGTSPVAMVASLVPATQAGPEAPPATSSQGSATAVPALEGGLVLSAKSSTGETLGCSAMPVIVTLVE
+>DECOY_NM_002292|528113_2_2760_3748
+EVLTVIVPMASCGLTEGTSSKASLVLGGELAPVATASGQSSTAPPAEPGAQTAPVLSAVMAVPSTGSTPPVATVALARSMPSAHASGVAQIVTASTLLHASSRIQAWCTAHAATVARDPLRGMSALSATPVTHVRQTTYVACANGRTPTVPMLIWQTLTGVASVCNAGAVQGQHTGLTGLPVLKVDCGRIAQGATACLRSPINMRTALLLLTGNAGLAKLVPVPGASAGMHCGHTGTSVLLAGKVTSVGQTIVAAWALAQTPTASMQMGMASVHGAALSDGSAVSAATVAFGLPVLEVSVNGVPRKVSVASHGRTAASAPKVAQPALAM
+>NM_002292|528114_2_2937_3748
+MGMQMSATPTQALAWAAVITQGVSTVKGALLVSTGTHGCHMGASAGPVPVLKALGANGTLLLLATRMNIPSRLCATAGQAIRGCDVKLVPLGTLGTHQGQVAGANCVSAVGTLTQWILMPVTPTRGNACAVYTTQRVHTVPTASLASMGRLPDRAVTAAHATCWAQIRSSAHLLTSATVIQAVGSAHASPMSRALAVTAVPPTSGTSPVAMVASLVPATQAGPEAPPATSSQGSATAVPALEGGLVLSAKSSTGETLGCSAMPVIVTLVE
+>DECOY_NM_002292|528114_2_2937_3748
+EVLTVIVPMASCGLTEGTSSKASLVLGGELAPVATASGQSSTAPPAEPGAQTAPVLSAVMAVPSTGSTPPVATVALARSMPSAHASGVAQIVTASTLLHASSRIQAWCTAHAATVARDPLRGMSALSATPVTHVRQTTYVACANGRTPTVPMLIWQTLTGVASVCNAGAVQGQHTGLTGLPVLKVDCGRIAQGATACLRSPINMRTALLLLTGNAGLAKLVPVPGASAGMHCGHTGTSVLLAGKVTSVGQTIVAAWALAQTPTASMQMGM
+>NM_002292|528122_2_3567_3748
+MVASLVPATQAGPEAPPATSSQGSATAVPALEGGLVLSAKSSTGETLGCSAMPVIVTLVE
+>DECOY_NM_002292|528122_2_3567_3748
+EVLTVIVPMASCGLTEGTSSKASLVLGGELAPVATASGQSSTAPPAEPGAQTAPVLSAVM
+>NM_002292|528133_3_2200_2282
+MGRVGTDCAASRACACPQPVWAFGAQG
+>DECOY_NM_002292|528133_3_2200_2282
+GQAGFAWVPQPCACARSAACDTGVRGM
+>NM_001876|528184_2_1671_1759
+MGTAKATSIRTFRTPPGCSGTSRGNVKRL
+>DECOY_NM_001876|528184_2_1671_1759
+LRKVNGRSTGSCGPPTRFTRISTAKATGM
+>NM_001876|528186_2_2328_2431
+MVCRTSLWERTSSISTFLPSSLALRRILIALEGT
+>DECOY_NM_001876|528186_2_2328_2431
+TGELAILIRRLALSSPLFTSISSTREWLSTRCVM
+>NM_153831|528236_2_477_550
+MDSASVTCGQRRFTGFTWIWASPV
+>DECOY_NM_153831|528236_2_477_550
+VPSAWIWTFGTFRRQGCTVSASDM
+>NM_153831|528241_2_2772_3013
+MEVFRVRLETNIYISLWVNQILQLHQRNRLALELPVIWEALPASAALLTATTRVSSFSPRKSAPLLLPTWTGRMIRCTRM
+>DECOY_NM_153831|528241_2_2772_3013
+MRTCRIMRGTWTPLLLPASKRPSFSSVRTTATLLAASAPLAEWIVPLELALRNRQHLQLIQNVWLSIYINTELRVRFVEM
+>NM_005458|528284_2_1234_1364
+MASGSSPRHCRGPWRHCMPAAGTSGSRTSTTRTTRWAGSSSMP
+>DECOY_NM_005458|528284_2_1234_1364
+PMSSSGAWRTTRTTSTRSGSTGAAPMCHRWPGRCHRPSSGSAM
+>NM_001031847|528330_2_2328_2413
+MVCRTSLWERTSSISTFLPSSLALRRGL
+>DECOY_NM_001031847|528330_2_2328_2413
+LGRRLALSSPLFTSISSTREWLSTRCVM
+>NM_001099285|528357_2_267_340
+MEETPLLTGMLRMRKMGSRRLTMR
+>DECOY_NM_001099285|528357_2_267_340
+RMTLRRSGMKRMRLMGTLLPTEEM
+>NM_001018003|528373_2_623_705
+MERLWPSTPSRGTWRWSCPSARESTSA
+>DECOY_NM_001018003|528373_2_623_705
+ASTSERASPCSWRWTGRSPTSPWLREM
+>NM_005775|528383_2_458_870
+MVAPTPLISSSTTPRPGLCAMGATHQDEMLPSTRTLRGIRPGQALGASPLQAQRSLPPSTPRTGQPRGPRTASVGTSAGSSTRESGPWTRAACPLPPDPALTDPETGTGECSSRFTGKCQTCSWTGPSRSHPETPGI
+>DECOY_NM_005775|528383_2_458_870
+IGPTEPHSRSPGTWSCTQCKGTFRSSCEGTGTEPDTLAPDPPLPCAARTWPGSERTSSGASTGVSATRPGRPQGTRPTSPPLSRQAQLPSAGLAQGPRIGRLTRTSPLMEDQHTAGMACLGPRPTTSSSILPTPAVM
+>NM_005775|528384_2_518_870
+MGATHQDEMLPSTRTLRGIRPGQALGASPLQAQRSLPPSTPRTGQPRGPRTASVGTSAGSSTRESGPWTRAACPLPPDPALTDPETGTGECSSRFTGKCQTCSWTGPSRSHPETPGI
+>DECOY_NM_005775|528384_2_518_870
+IGPTEPHSRSPGTWSCTQCKGTFRSSCEGTGTEPDTLAPDPPLPCAARTWPGSERTSSGASTGVSATRPGRPQGTRPTSPPLSRQAQLPSAGLAQGPRIGRLTRTSPLMEDQHTAGM
+>NM_004693|528399_2_320_414
+MGVELEEASVAPASPCVPLEASKRSLSTRVS
+>DECOY_NM_004693|528399_2_320_414
+SVRTSLSRKSAELPVCPSAPAVSAEELEVGM
+>NM_004693|528404_2_1469_1608
+MEAAAALEVETWASVGAAATPSPPVVGIAWVQAWEVLDSVPPATGA
+>DECOY_NM_004693|528404_2_1469_1608
+AGTAPPVSDLVEWAQVWAIGVVPPSPTAAAGVSAWTEVELAAAAEM
+>NM_153240|528495_3_1984_2084
+MADRSTASECKSNCFCECRNMPSSMEVVAYTSS
+>DECOY_NM_153240|528495_3_1984_2084
+SSTYAVVEMSSPMNRCECFCNSKCESATSRDAM
+>NM_152286|528599_2_3686_3759
+MGMRCLGGGCCGNAGTPWPRKSRC
+>DECOY_NM_152286|528599_2_3686_3759
+CRSKRPWPTGANGCCGGGLCRMGM
+>NM_001533|528793_2_699_799
+MEFRRWWNLTQFKVPSGPRPLSMGLISILAVAL
+>DECOY_NM_001533|528793_2_699_799
+LAVALISILGMSLPRPGSPVKFQTLNWWRRFEM
+>NM_001533|528796_2_960_1192
+MEGPTVGTTAITMMRATGPPHLTTKGEGWVHQWGVTVGAQVATAPSMGTPHPLPHHPSMALTPTALCSWSMAWINLR
+>DECOY_NM_001533|528796_2_960_1192
+RLNIWAMSWSCLATPTLAMSPHHPLPHPTGMSPATAVQAGVTVGWQHVWGEGKTTLHPPGTARMMTIATTGVTPGEM
+>NM_001533|528799_2_1098_1192
+MGTPHPLPHHPSMALTPTALCSWSMAWINLR
+>DECOY_NM_001533|528799_2_1098_1192
+RLNIWAMSWSCLATPTLAMSPHHPLPHPTGM
+>NM_006564|528906_2_109_275
+MGSAVSMTAARRSIKTSCSSARSFCPACTWWCLSVVWWGTLWCWSYPSSTISCRA
+>DECOY_NM_006564|528906_2_109_275
+ARCSITSSPYSWCWLTGWWVVSLCWWTCAPCFSRASSCSTKISRRAATMSVASGM
+>NM_000504|528923_2_313_395
+MATSVRPVLARTRANVKTASGNTPAPV
+>DECOY_NM_000504|528923_2_313_395
+VPAPTNGSATKVNARTRALVPRVSTAM
+>NM_033046|528972_2_1358_1467
+MDSAHMTSPLPVMRRTLPGCPFMVACVAVWQLSLSA
+>DECOY_NM_033046|528972_2_1358_1467
+ASLSLQWVAVCAVMFPCGPLTRRMVPLPSTMHASDM
+>NM_033050|529027_3_116_195
+MECNLQKLAGSRGCPGKVLPFHFLWD
+>DECOY_NM_033050|529027_3_116_195
+DWLFHFPLVKGPCGRSGALKQLNCEM
+>NM_014612|529178_2_554_633
+MVSMAWLRMTLIMHCATSPTISVPMP
+>DECOY_NM_014612|529178_2_554_633
+PMPVSITPSTACHMILTMRLWAMSVM
+>NM_014612|529189_2_3035_3186
+MAEVTKTRQQFRADLLMLLQQKKWPKNLSQNLGNRSPLLCLQTGPWLKTE
+>DECOY_NM_014612|529189_2_3035_3186
+ETKLWPGTQLCLLPSRNGLNQSLNKPWKKQQLLMLLDARFQQRTKTVEAM
+>NM_014576|529271_2_291_442
+MDKENMVALHLVGMLHPLKGAVKFLLENFPETFLRMSLYHYVKKSVKFMK
+>DECOY_NM_014576|529271_2_291_442
+KMFKVSKKVYHYLSMRLFTEPFNELLFKVAGKLPHLMGVLHLAVMNEKDM
+>NM_014576|529272_2_306_442
+MVALHLVGMLHPLKGAVKFLLENFPETFLRMSLYHYVKKSVKFMK
+>DECOY_NM_014576|529272_2_306_442
+KMFKVSKKVYHYLSMRLFTEPFNELLFKVAGKLPHLMGVLHLAVM
+>NM_014576|529283_2_1752_1855
+MAPWLLLLLLLLLSQDMLSLMQLHPCLQPSSSKR
+>DECOY_NM_014576|529283_2_1752_1855
+RKSSSPQLCPHLQMLSLMDQSLLLLLLLLLWPAM
+>NM_001776|529312_2_616_713
+MGLCWMRVLLTQVYTSISGQQKRRMTQAWCIK
+>DECOY_NM_001776|529312_2_616_713
+KICWAQTMRRKQQGSISTYVQTLLVRMWCLGM
+>NM_001776|529319_2_1237_1340
+MGRIRHSGRNWPRTFRLQVMKFSGTHAFILDIRR
+>DECOY_NM_001776|529319_2_1237_1340
+RRIDLIFAHTGSFKMVQLRFTRPWNRGSHRIRGM
+>NM_001273|529567_2_4203_4498
+MAPRRTEIGRTTSPTTSPITQWLQRKVMKTLMNVQKLPVGPVVRACGMIKISHCLLCWPVLVGILKYLVLMLVSEKPFLMQLCDMVCHLRMLLLPSGL
+>DECOY_NM_001273|529567_2_4203_4498
+LGSPLLLMRLHCVMDCLQMLFPKESVLMLVLYKLIGVLVPWCLLCHSIKIMGCARVVPGVPLKQVNMLTKMVKRQLWQTIPSTTPSTTRGIETRRPAM
+>NM_001273|529580_2_5394_5470
+MAMPGGKTSRMTHAMPSSMSLSRVK
+>DECOY_NM_001273|529580_2_5394_5470
+KVRSLSMSSPMAHTMRSTKGGPMAM
+>NM_001136051|529610_2_2489_2676
+MGTCLQKVEATTSLSHLQVLDFSYHNMTVVGPAILTIAVKLMWKLPQICSFVRFWDPQALCI
+>DECOY_NM_001136051|529610_2_2489_2676
+ICLAQPDWFRVFSCIQPLKWMLKVAITLIAPGVVTMNHYSFDLVQLHSLSTTAEVKQLCTGM
+>NM_001136051|529612_3_1242_1342
+MAPTVGGGKQLSELCKCQLCPSSAAKRKKHFCC
+>DECOY_NM_001136051|529612_3_1242_1342
+CCFHKKRKAASSPCLQCKCLESLQKGGGVTPAM
+>NM_030629|529774_2_1413_1576
+MAGSSSTAPEGWPATMTGSCSPAWCTSSWAPVTRPKNSCSPWQKTSWVPACSWH
+>DECOY_NM_030629|529774_2_1413_1576
+HWSCAPVWSTKQWPSCSNKPRTVPAWSSTCWAPSCSGTMTAPWGEPATSSSGAM
+>NM_002233|529842_2_1591_1688
+MVMSVPTRICCLRMRAVAATVQSATVTVVNVW
+>DECOY_NM_002233|529842_2_1591_1688
+WVNVVTVTASQVTAAVARMRLCCIRTPVSMVM
+>NM_002233|529847_2_2443_2594
+MVSSSRPCPLPSSESFVWSEYSGSSNSPGTPKACRSWATPSEPACGNWAF
+>DECOY_NM_002233|529847_2_2443_2594
+FAWNGCAPESPTAWSRCAKPTGPSNSSGSYESWVFSESSPLPCPRSSSVM
+>NM_014030|529897_2_590_774
+MESPPKTSASNYTRACGQATWRHVCACSPWVPRPTSSTQRRAPHLCTWLPRQDRHCRPSCL
+>DECOY_NM_014030|529897_2_590_774
+LCSPRCHRDQRPLWTCLHPARRQTSSTPRPVWPSCACVHRWTAQGCARTYNSASTKPPSEM
+>NM_014030|529904_2_941_1131
+MGITSSHRWLTALTYPNWPKLLRRSCRRSATGFLRNSPWTCMTRWIEEKMMQCGWLPKTTALW
+>DECOY_NM_014030|529904_2_941_1131
+WLATTKPLWGCQMMKEEIWRTMCTWPSNRLFGTASRRCSRRLLKPWNPYTLATLWRHSSTIGM
+>NM_001085454|529963_2_941_1158
+MGITSSHRWLTDLGKSACLRALTYPNWPKLLRRSCRRSATGFLRNSPWTCMTRWIEEKMMQCGWLPKTTALW
+>DECOY_NM_001085454|529963_2_941_1158
+WLATTKPLWGCQMMKEEIWRTMCTWPSNRLFGTASRRCSRRLLKPWNPYTLARLCASKGLDTLWRHSSTIGM
+>NM_002519|529992_2_1102_1175
+MAKQRIIKIYHKVFPVNLWNPIPV
+>DECOY_NM_002519|529992_2_1102_1175
+VPIPNWLNVPFVKHYIKIIRQKAM
+>NM_001127692|530071_2_387_508
+MDSFQKTKNLPDVWQQKMSFSLDLTHMLFKPWATRLKANY
+>DECOY_NM_001127692|530071_2_387_508
+YNAKLRTAWPKFLMHTLDLSFSMKQQWVDPLNKTKQFSDM
+>NM_001127692|530074_2_795_922
+MGMLYGLMKESAQFREEIRRWWRKHQAFFWMRRLEERWENKL
+>DECOY_NM_001127692|530074_2_795_922
+LKNEWREELRRMWFFAQHKRWWRRIEERFQASEKMLGYLMGM
+>NM_003899|530176_3_1666_1982
+MGGAPTEANEGHVCGKPHHKASFSAISYPPLPPGHSVQQARRQQARAADARLPHAAPPLPPRHPAHHHQLGTPGASENTQALEPELPAARASPPALSCSLLQGGS
+>DECOY_NM_003899|530176_3_1666_1982
+SGGQLLSCSLAPPSARAAPLEPELAQTNESAGPTGLQHHHAPHRPPLPPAAHPLRADAARAQQRRAQQVSHGPPLPPYSIASFSAKHHPKGCVHGENAETPAGGM
+>NM_145735|530365_2_359_450
+MGWSSAGCWSACSPGPSRKSTPSPGARASA
+>DECOY_NM_145735|530365_2_359_450
+ASARAGPSPTSKRSPGPSCASWCGASSWGM
+>NM_022059|530390_2_671_753
+MATRAASLEVVIVVKEFLPTPRHRFSS
+>DECOY_NM_022059|530390_2_671_753
+SSFRHRPTPLFEKVVIVVELSAARTAM
+>NM_001113512|530591_2_359_474
+MGWSSAGCWSACSPGPSRKRLMQMICIRGRILTRSSVP
+>DECOY_NM_001113512|530591_2_359_474
+PVSSRTLIRGRICIMQMLRKRSPGPSCASWCGASSWGM
+>NM_031415|530799_2_1219_1316
+MDAPSSFKLLPSHHQTWKTFKKGNCWIQSHHF
+>DECOY_NM_031415|530799_2_1219_1316
+FHHSQIWCNGKKFTKWTQHHSPLLKFSSPADM
+>NM_001795|531065_2_1774_1868
+MGSLTGSIPRSTSYPWSSQTMGCQVARAPAR
+>DECOY_NM_001795|531065_2_1774_1868
+RAPARAVQCGMTQSSWPYSTSRPISGTLSGM
+>NM_000442|531097_2_1063_1175
+MATRLCTQSWPWWSTVATTRAKWSPAAYPRSAASWST
+>DECOY_NM_000442|531097_2_1063_1175
+TSWSAASRPYAAPSWKARTTAVTSWWPWSQTCLRTAM
+>NM_014159|531164_2_2907_2995
+MGYLGNVCKRLKKKGIPYCLKEEEDQKSL
+>DECOY_NM_014159|531164_2_2907_2995
+LSKQDEEEKLCYPIGKKKLRKCVNGLYGM
+>NM_014159|531171_2_3885_4045
+MELVVDTSISKMQNSMVGHVITGKAMVTGIQDQVDLLELGLCMIELKDKYQIP
+>DECOY_NM_014159|531171_2_3885_4045
+PIQYKDKLEIMCLGLELLDVQDQIGTVMAKGTIVHGVMSNQMKSISTDVVLEM
+>NM_014159|531173_2_3930_4045
+MVGHVITGKAMVTGIQDQVDLLELGLCMIELKDKYQIP
+>DECOY_NM_014159|531173_2_3930_4045
+PIQYKDKLEIMCLGLELLDVQDQIGTVMAKGTIVHGVM
+>NM_014159|531174_2_3960_4045
+MVTGIQDQVDLLELGLCMIELKDKYQIP
+>DECOY_NM_014159|531174_2_3960_4045
+PIQYKDKLEIMCLGLELLDVQDQIGTVM
+>NM_014159|531176_2_4086_4168
+MDPIFQTSPINFFYPFRKTRGQCKHLK
+>DECOY_NM_014159|531176_2_4086_4168
+KLHKCQGRTKRFPYFFNIPSTQFIPDM
+>NM_014159|531179_2_5064_5164
+MEKKPRNVSADQPIAGVTWEEKTESASEQQEGK
+>DECOY_NM_014159|531179_2_5064_5164
+KGEQQESASETKEEWTVGAIPQDASVNRPKKEM
+>NM_014159|531192_3_4969_5045
+MDCERTTEGWVFYHQTGSFRLRVNV
+>DECOY_NM_014159|531192_3_4969_5045
+VNVRLRFSGTQHYFVWGETTRECDM
+>NM_015723|531212_2_2154_2302
+MVIFLESTLIIWEAVSIKCGRPLEPHLLLQATLQNMHWEMIFIKMEVCF
+>DECOY_NM_015723|531212_2_2154_2302
+FCVEMKIFIMEWHMNQLTAQLLLHPELPRGCKISVAEWIILTSELFIVM
+>NM_004689|531234_2_746_906
+MAETSPGWRPRCGRRTTHSQTSRSTSSWWWPALWAPSHGPWTAAAPSDSPACT
+>DECOY_NM_004689|531234_2_746_906
+TCAPSDSPAAATWPGHSPAWLAPWWWSSTSRSTQSHTTRRGCRPRWGPSTEAM
+>NM_004532|531352_2_839_1029
+MARSSSQSQTTRFSPTPTHSQQASQAGTLWPWWLRSGTMLTSPLVGGPHFIRNTRRSMVNTAC
+>DECOY_NM_004532|531352_2_839_1029
+CATNVMSRRTNRIFHPGGVLPSTLMTGSRLWWPWLTGAQSAQQSHTPTPSFRTTQSQSSSRAM
+>NM_004532|531366_2_3659_3837
+MATRATTWSTAPRAASPACPRAVGATVTMEASASTCPVGPAAAVCPSPSTRPGASTVST
+>DECOY_NM_004532|531366_2_3659_3837
+TSVTSAGPRTSPSPCVAAAPGVPCTSASAEMTVTAGVARPCAPSAARPATSWTTARTAM
+>NM_004532|531367_2_3743_3837
+MEASASTCPVGPAAAVCPSPSTRPGASTVST
+>DECOY_NM_004532|531367_2_3743_3837
+TSVTSAGPRTSPSPCVAAAPGVPCTSASAEM
+>NM_014191|531443_2_1061_1197
+MAPKALIGKSISTIKQISTQFLACWNLYSVGTVLMLGNAQRDTSV
+>DECOY_NM_014191|531443_2_1061_1197
+VSTDRQANGLMLVTGVSYLNWCALFQTSIQKITSISKGILAKPAM
+>NM_014191|531450_2_3344_3453
+MVTSRRMAMAQPAALAAAWRSTSLMRTTCPSSTTPT
+>DECOY_NM_014191|531450_2_3344_3453
+TPTTSSPCTTRMLSTSRWAAALAAPQAMAMRRSTVM
+>NM_014191|531451_2_3362_3453
+MAMAQPAALAAAWRSTSLMRTTCPSSTTPT
+>DECOY_NM_014191|531451_2_3362_3453
+TPTTSSPCTTRMLSTSRWAAALAAPQAMAM
+>NM_014191|531452_2_3368_3453
+MAQPAALAAAWRSTSLMRTTCPSSTTPT
+>DECOY_NM_014191|531452_2_3368_3453
+TPTTSSPCTTRMLSTSRWAAALAAPQAM
+>NM_052972|531501_2_159_250
+MAAPSPVNHLPKSPATCQPTPCTWPWNSST
+>DECOY_NM_052972|531501_2_159_250
+TSSNWPWTCPTPQCTAPSKPLHNVPSPAAM
+>NM_018406|531543_2_2564_2691
+MVTQPRPRPQHCRQHPAAMMPPWGPQEARHFPKQVPLLWPTL
+>DECOY_NM_018406|531543_2_2564_2691
+LTPWLLPVQKPFHRAEQPGWPPMMAAPHQRCHQPRPRPQTVM
+>NM_018406|531599_3_1920_2002
+MAFLILQQRPHNLVTNRTALNINRCCH
+>DECOY_NM_018406|531599_3_1920_2002
+HCCRNINLATRNTVLNHPRQQLILFAM
+>NM_018406|531600_3_2157_2269
+MDTRNGDHWRGSSQQPKLQCDSDDKNGHIPIFFTYAG
+>DECOY_NM_018406|531600_3_2157_2269
+GAYTFFIPIHGNKDDSDCQLKPQQSSGRWHDGNRTDM
+>NM_004396|531623_2_1841_2010
+MVFTVLQITPMGALEVILCLLVYRPVLGLVIQQGLTRMVMIALSNTEVMFQICTMV
+>DECOY_NM_004396|531623_2_1841_2010
+VMTCIQFMVETNSLAIMVMRTLGQQIVLGLVPRYVLLCLIVELAGMPTIQLVTFVM
+>NM_004396|531627_3_618_811
+MASCSKWIGYGWSGTDWIWENIVLFASCHCPHQSSAIPRERRWAYLFGAGTNSGTGPTGAASSC
+>DECOY_NM_004396|531627_3_618_811
+CSSAAGTPGTGSNTGAGFLYAWRRERPIASSQHPCHCSAFLVINEWIWDTGSWGYGIWKSCSAM
+>NM_017511|531764_2_211_314
+MAPPNPATSTTLPSLLSPGGPAGSRSVGRLSSHL
+>DECOY_NM_017511|531764_2_211_314
+LHSSLRGVSRSGAPGGPSLLSPLTTSTAPNPPAM
+>NM_017511|531780_2_4801_4985
+MATSSPLMAIATALKAAASTSWPRTTVGTTPPTGPSASSPRTSPVGPPAPPAPRPSSSSWR
+>DECOY_NM_017511|531780_2_4801_4985
+RWSSSSPRPAPPAPPGVPSTRPSSASPGTPPTTGVTTRPWSTSAAAKLATAIAMLPSSTAM
+>NM_181715|531966_2_269_606
+MVGLCPMLTRLALAWPSSRAPSTHLWIHLGALGTMGWWNGCSEILEEWCPHFADTPATLTALPIVLPTYLLPQSLAGEGRWPGAISLQRRGSCFDYHLHLTGQALTLPFIQV
+>DECOY_NM_181715|531966_2_269_606
+VQIFPLTLAQGTLHLHYDFCSGRRQLSIAGPWRGEGALSQPLLYTPLVIPLATLTAPTDAFHPCWEELIESCGNWWGMTGLAGLHIWLHTSPARSSPWALALRTLMPCLGVM
+>NM_181715|531968_2_371_606
+MGWWNGCSEILEEWCPHFADTPATLTALPIVLPTYLLPQSLAGEGRWPGAISLQRRGSCFDYHLHLTGQALTLPFIQV
+>DECOY_NM_181715|531968_2_371_606
+VQIFPLTLAQGTLHLHYDFCSGRRQLSIAGPWRGEGALSQPLLYTPLVIPLATLTAPTDAFHPCWEELIESCGNWWGM
+>NM_181715|531971_2_1901_2019
+MAQGLTSSSQGTPLQVSLRRLQQPWPECLALRCQQLDWS
+>DECOY_NM_181715|531971_2_1901_2019
+SWDLQQCRLALCEPWPQQLRRLSVQLPTGQSSSTLGQAM
+>NM_001146|532132_2_580_734
+MGNVPTLSFFQNTMATVVRVRQTSTTQTLCREMLHTWNRISLPRNFNIWNM
+>DECOY_NM_001146|532132_2_580_734
+MNWINFNRPLSIRNWTHLMERCLTQTTSTQRVRVVTAMTNQFFSLTPVNGM
+>NM_001146|532133_2_619_734
+MATVVRVRQTSTTQTLCREMLHTWNRISLPRNFNIWNM
+>DECOY_NM_001146|532133_2_619_734
+MNWINFNRPLSIRNWTHLMERCLTQTTSTQRVRVVTAM
+>NM_005562|532217_2_405_637
+MGSPGSVSLIGNFTDKLVMDSAASTAMTTLMAFTARSARMAFTGTEKGTAVCPAIVTPKVLLVLDVTTPDGAAVNQV
+>DECOY_NM_005562|532217_2_405_637
+VQNVAAGDPTTVDLVLLVKPTVIAPCVATGKETGTFAMRASRATFAMLTTMATSAASDMVLKDTFNGILSVSGPSGM
+>NM_005562|532218_2_459_637
+MDSAASTAMTTLMAFTARSARMAFTGTEKGTAVCPAIVTPKVLLVLDVTTPDGAAVNQV
+>DECOY_NM_005562|532218_2_459_637
+VQNVAAGDPTTVDLVLLVKPTVIAPCVATGKETGTFAMRASRATFAMLTTMATSAASDM
+>NM_005562|532221_2_522_637
+MAFTGTEKGTAVCPAIVTPKVLLVLDVTTPDGAAVNQV
+>DECOY_NM_005562|532221_2_522_637
+VQNVAAGDPTTVDLVLLVKPTVIAPCVATGKETGTFAM
+>NM_005562|532223_2_882_1051
+MGIQPAAAALQNTVSIRSPLPFIKMLMAGRLSNEMGLLQSSNGHSAIKMCLAQPND
+>DECOY_NM_005562|532223_2_882_1051
+DNPQALCMKIASHGNSSQLLGMENSLRGAMLMKIFPLPSRISVTNQLAAAAPQIGM
+>NM_005562|532227_2_2076_2161
+MAPVFASQDLVAPTVSMEHSAVQLAIIK
+>DECOY_NM_005562|532227_2_2076_2161
+KIIALQVASHEMSVTPAVLDQSAFVPAM
+>NM_005562|532230_2_3048_3127
+MEKVGERNQISCFPVPILLKAEHKKH
+>DECOY_NM_005562|532230_2_3048_3127
+HKKHEAKLLIPVPFCSIQNREGVKEM
+>NM_182966|532268_3_292_620
+MVAVLITRSARHCPRQPGEASDWSHAGDCLQSRAACLWTDAADLWPTEALSSAKPTGCSPRHHLPSATFLPKSGNLPSPHWPRHPRTRGISGATISAEKHWGNQWAPRG
+>DECOY_NM_182966|532268_3_292_620
+GRPAWQNGWHKEASITAGSIGRTRPHRPWHPSPLNGSKPLFTASPLHHRPSCGTPKASSLAETPWLDAADTWLCAARSQLCDGAHSWDSAEGPQRPCHRASRTILVAVM
+>NM_006403|532401_2_651_790
+MATYTSTHPDTKRTSMISLLLIPLKGYTTSLPHQQKALCFQFQWER
+>DECOY_NM_006403|532401_2_651_790
+REWQFQFCLAKQQHPLSTTYGKLPILLLSIMSTRKTDPHTSTYTAM
+>NM_006403|532407_2_1191_1447
+MVFMMSLCITRQMLKALGTWWMGSTDCLSPVQAAPGVTCPRLPPPPRSPHCQPPQLRTKGSSWIQTQLLRDFSGSSRPLRWVSPA
+>DECOY_NM_006403|532407_2_1191_1447
+APSVWRLPRSSGSFDRLLQTQIWSSGKTRLQPPQCHPSRPPPPLRPCTVGPAAQVPSLCDTSGMWWTGLAKLMQRTICLSMMFVM
+>NM_006403|532413_2_2022_2128
+MVLRGAGWMTTITSTYRVRRSLRGNRKSYWKKRIS
+>DECOY_NM_006403|532413_2_2022_2128
+SIRKKWYSKRNGRLSRRVRYTSTITTMWGAGRLVM
+>NM_018234|532490_2_635_1284
+MVTGRCPSAVTSQKPSVLSRRWRSPWASCPWTWDPWRQPGRWRPCPCASSRPGRCPPCWPWGSSSASMPTTSSGTFCSPMCRKARTSSSSCPCPWSTPHCRAWPTCCCHSCTCPACWRLPCSCGAAPSTSASPTGWTTGYSTASRSGCSASSAPPCTPSTASACRCAAPTATTWSTWQSSRSWPTRATSGWRRRSGGWRSTSPWECWPSARCPCWP
+>DECOY_NM_018234|532490_2_635_1284
+PWCPCRASPWCEWPSTSRWGGSRRRWGSTARTPWSRSSQWTSWTTATPAACRCASATSPTCPPASSASCGSRSATSYGTTWGTPSASTSPAAGCSCPLRWCAPCTCSHCCCTPWARCHPTSWPCPCSSSSTRAKRCMPSCFTGSSTTPMSASSSGWPWCPPCRGPRSSACPCPRWRGPQRWPDWTWPCSAWPSRWRRSLVSPKQSTVASPCRGTVM
+>NM_002648|532594_2_1085_1248
+MAGRRQSGPWGSCCMIWCVEIFLSSMTKRSSGARFSSGRGSLQNVSISLDGAWP
+>DECOY_NM_002648|532594_2_1085_1248
+PWAGDLSISVNQLSGRGSSFRAGSSRKTMSSLFIEVCWIMCCSGWPGSQRRGAM
+>NM_002164|532626_2_400_542
+MEMSVRSCQEILLFLTANSPRNWNCLLFWFMQTVSWQTGRKRILISP
+>DECOY_NM_002164|532626_2_400_542
+PSILIRKRGTQWSVTQMFWFLLCNWNRPSNATLFLLIEQCSRVSMEM
+>NM_004759|532700_3_1155_1357
+MVRSIRGSEDAHSESAENRAHPENDHHRVYEPPLDHAINKGPSNPTAHQPGPEGGQGAVGGCQGVSS
+>DECOY_NM_004759|532700_3_1155_1357
+SSVGQCGGVAGQGGEPGPQHATPNSPGKNIAHDLPPEYVRHHDNEPHARNEASESHADESGRISRVM
+>NM_002106|532705_2_315_415
+MDVWARLPLCTAQPSWSTSPQRYLNWQEMHQKT
+>DECOY_NM_002106|532705_2_315_415
+TKQHMEQWNLYRQPSTSWSPQATCLPLRAWVDM
+>NM_000313|532739_2_1593_1678
+MDCWKPKYTLQDSLGKWKVNSLNRLTLV
+>DECOY_NM_000313|532739_2_1593_1678
+VLTLRNLSNVKWKGLSDQLTYKPKWCDM
+>NM_004979|532762_2_2927_3027
+MGALRTAAVARNRLFVSGTVLPLNSNITTCCTV
+>DECOY_NM_004979|532762_2_2927_3027
+VTCCTTINSNLPLVTGSVFLRNRAVAATRLAGM
+>NM_000096|532794_2_368_504
+MGKRNLFLLTRNIPISIFKMAQIELGDYIRRPFIFSTQMKPLGQL
+>DECOY_NM_000096|532794_2_368_504
+LQGLPKMQTSFIFPRRIYDGLEIQAMKFISIPINRTLLFLNRKGM
+>NM_000096|532795_2_425_504
+MAQIELGDYIRRPFIFSTQMKPLGQL
+>DECOY_NM_000096|532795_2_425_504
+LQGLPKMQTSFIFPRRIYDGLEIQAM
+>NM_000096|532815_3_1251_1324
+MDAQLSESKPSESRFASLFPGPGV
+>DECOY_NM_000096|532815_3_1251_1324
+VGPGPFLSAFRSESPKSESLQADM
+>NM_000096|532817_3_2478_2560
+MGLFPTKGVGKGAASFTRAECFKCIFR
+>DECOY_NM_000096|532817_3_2478_2560
+RFICKFCEARTFSAAGKGVGKTPFLGM
+>NM_001135|532860_3_2596_2708
+MGTSLYPSGHIPAARDPSYLASHWRSNRGKYRRPFCN
+>DECOY_NM_001135|532860_3_2596_2708
+NCFPRRYKGRNSRWHSALYSPDRAAPIHGSPYLSTGM
+>NM_033312|532917_2_954_1054
+MDFLTLRHLMWMNMNIMSELKMVTSTGLFQENF
+>DECOY_NM_033312|532917_2_954_1054
+FNEQFLGTSTVMKLESMINMNMWMLHRLTLFDM
+>NM_004674|532965_2_968_1092
+MEGPQGPPRRPGVTLCFLLSAFPLMATHWNTRLTKMAIGIF
+>DECOY_NM_004674|532965_2_968_1092
+FIGIAMKTLRTNWHTAMLPFASLLFCLTVGPRRPPGQPGEM
+>NM_004674|532968_2_1496_1590
+MDRETSWDFILIFLKTQRQPSHCQTHTKIRL
+>DECOY_NM_004674|532968_2_1496_1590
+LRIKTHTQCHSPQRQTKLFILIFDWSTERDM
+>NM_004674|532969_2_1694_1839
+MVSIKVWLTKIFLRGFTSQPSHCTRAARFPLTLDHASSILRRISLTAL
+>DECOY_NM_004674|532969_2_1694_1839
+LATLSIRRLISSAHDLTLPFRAARTCHSPQSTFGRLFIKTLWVKISVM
+>NM_004988|533075_2_893_1050
+MGGSTVPMGSPGSCSPKIWCRKSTWSTGRCRTVIPHAMSSCGVQGPSLKPAM
+>DECOY_NM_004988|533075_2_893_1050
+MAPKLSPGQVGCSSMAHPIVTRCRGTSWTSKRCWIKPSCSGPSGMPVTSGGM
+>NM_002009|533109_3_549_628
+MDPANFALQIMLSHYLSSGYYIFSLQ
+>DECOY_NM_002009|533109_3_549_628
+QLSFIYYGSSLYHSLMIQLAFNAPDM
+>NM_023067|533205_2_1060_1301
+MAWEARRPHPRLRRTPTRIRTHTICTRPPHRRLPHRTTGPPRRRRASSALPAQPPPRPRRPRPPVRRACSSLVPGSPSSP
+>DECOY_NM_023067|533205_2_1060_1301
+PSSPSGPVLSSCARRVPPRPRRPRPPPQAPLASSARRRRPPGTTRHPLRRHPPRTCITHTRIRTPTRRLRPHPRRAEWAM
+>NM_022664|533275_2_392_729
+MALPLRDRVKCSPLPLRRPPLSNRKSCYLPNSLLKRKWVPLSLRKLSPSKKSCPLSSTPMNRRKERQLHLGTRAIQNLSPGMQPSTANRTGPKGAGATGWMASPLGGLLQTI
+>DECOY_NM_022664|533275_2_392_729
+ITQLLGGLPSAMWGTAGAGKPGTRNATSPQMGPSLNQIARTGLHLQREKRRNMPTSSLPCSKKSPSLKRLSLPVWKRKLLSNPLYCSKRNSLPPRRLPLPSCKVRDRLPLAM
+>NM_004252|533490_2_713_828
+MASTCTATSPSQASSSGQWTQTPRLRLQGSGPRIALWR
+>DECOY_NM_004252|533490_2_713_828
+RWLAIRPGSGQLRLRPTQTWQGSSSAQSPSTATCTSAM
+>NM_004252|533491_2_857_954
+MGTWCPPSGLAGTRPSCWWWTGKLTSSSRNAE
+>DECOY_NM_004252|533491_2_857_954
+EANRSSSTLKGTWWWCSPRTGALGSPPCWTGM
+>NM_001182|533525_3_485_627
+MENLGRYSCSKTRRNSKTDWRCLAGEDPSTRKLGVFGDGENLSGRCG
+>DECOY_NM_001182|533525_3_485_627
+GCRGSLNEGDGFVGLKRTSPDEGALCRWDTKSNRRTKSCSYRGLNEM
+>NM_001039705|533624_2_2310_2449
+MVLVLASMVHPAPVVASVVDLALPLVLHPAPVPASAIQPALALVVH
+>DECOY_NM_001039705|533624_2_2310_2449
+HVVLALAPQIASAPVPAPHLVLPLALDVVSAVVPAPHVMSALVLVM
+>NM_001039705|533629_2_3966_4099
+MALVVDWAPMLVSAAHLAPVLALVVASAPAMALAVGLMPASTED
+>DECOY_NM_001039705|533629_2_3966_4099
+DETSAPMLGVALAMAPASAVVLALVPALHAASVLMPAWDVVLAM
+>NM_032960|533654_3_1155_1381
+MVRSIRGSEDAHSESAENRAHPENDHHRVYEPPLDHAINKGPSNPTAHQPGPEGGQGAVGGCQGGDDQCLGHNAR
+>DECOY_NM_032960|533654_3_1155_1381
+RANHGLCQDDGGQCGGVAGQGGEPGPQHATPNSPGKNIAHDLPPEYVRHHDNEPHARNEASESHADESGRISRVM
+>NM_001710|533695_2_2223_2335
+MGIRKAAVREMLNMPQAMTKSRTSQRWSPLGSFVLEE
+>DECOY_NM_001710|533695_2_2223_2335
+EELVFSGLPSWRQSTRSKTMAQPMNLMERVAAKRIGM
+>NM_000536|533777_2_600_712
+MVIPLMWCTAEGKVWVFSLEDAHTCLLPTEPQKNGIV
+>DECOY_NM_000536|533777_2_600_712
+VIGNKQPETPLLCTHADELSFVWVKGEATCWMLPIVM
+>NM_000536|533779_2_1158_1231
+MELFFLAYQETINKLFQKDSISIC
+>DECOY_NM_000536|533779_2_1158_1231
+CISISDKQFLKNITEQYALFFLEM
+>NM_000536|533790_2_1536_1639
+MGTGSMLSAWIWQNAHSSICQQEATSITAMSMWR
+>DECOY_NM_000536|533790_2_1536_1639
+RWMSMATISTAEQQCISSHANQWIWASLMSGTGM
+>NM_003685|533885_2_450_544
+MEINRRARSWLPRETQSVLNLDPSILPQGLQ
+>DECOY_NM_003685|533885_2_450_544
+QLGQPLISPDLNLVSQTERPLWSRARRNIEM
+>NM_018667|533917_2_900_1330
+MGPPGPRSKFTSTPPPIPPSAPLASAAWCHHRAAMGWPGPSPGALRGQPLWSTRVTVGGTPVTRLPTAQPLGTLSTAAARRMPASCASVARRAAGHLKLTTLCLGARPGTELAGAQGARRPTIISRTGIQGAWAAPRPPGSPW
+>DECOY_NM_018667|533917_2_900_1330
+WPSGPPRPAAWAGQIGTRSIITPRRAGQAGALETGPRAGLCLTTLKLHGAARRAVSACSAPMRRAAATSLTGLPQATPLRTVPTGGVTVRTSWLPQGRLAGPSPGPWGMAARHHCWAASALPASPPIPPPTSTFKSRPGPPGM
+>NM_018667|533918_2_1002_1330
+MGWPGPSPGALRGQPLWSTRVTVGGTPVTRLPTAQPLGTLSTAAARRMPASCASVARRAAGHLKLTTLCLGARPGTELAGAQGARRPTIISRTGIQGAWAAPRPPGSPW
+>DECOY_NM_018667|533918_2_1002_1330
+WPSGPPRPAAWAGQIGTRSIITPRRAGQAGALETGPRAGLCLTTLKLHGAARRAVSACSAPMRRAAATSLTGLPQATPLRTVPTGGVTVRTSWLPQGRLAGPSPGPWGM
+>NM_003360|534042_2_1370_1470
+MALSWCLLELVSSICQKTLLTNWQELWGDCLKK
+>DECOY_NM_003360|534042_2_1370_1470
+KKLCDGWLEQWNTLLTKQCISSVLELLCWSLAM
+>NM_003360|534043_2_1877_1998
+MEPITYVPLSIRSPFVSIFYWILPLCFCLVLPCYTFSCLG
+>DECOY_NM_003360|534043_2_1877_1998
+GLCSFTYCPLVLCFCLPLIWYFISVFPSRISLPVYTIPEM
+>NM_002789|534086_2_279_394
+MVFCLQQRDATSTSFLMKSFFLKKFINSMRTWLAVWQA
+>DECOY_NM_002789|534086_2_279_394
+AQWVALWTRMSNIFKKLFFSKMLFSTSTADRQQLCFVM
+>NM_002789|534087_2_585_682
+MAFSSIRVTLVEITGDGRPHALEIIALQLCQC
+>DECOY_NM_002789|534087_2_585_682
+CQCLQLAIIELAHPRGDGTIEVLTVRISSFAM
+>NM_014602|534157_2_3768_3850
+MVLSSFLELRLLSCPSLLKSILYKAEF
+>DECOY_NM_014602|534157_2_3768_3850
+FEAKYLISKLLSPCSLLRLELFSSLVM
+>NM_005605|534177_2_1030_1250
+MAMRRPWSTIPTTLSEGALISTVTLQFVNFCRTIIYYQLSEPMKPKMLGIECTGRAKPQAFHHLLQFSLPPIT
+>DECOY_NM_005605|534177_2_1030_1250
+TIPPLSFQLLHHFAQPKARGTCEIGLMKPKMPESLQYYIITRCFNVFQLTVTSILAGESLTTPITSWPRRMAM
+>NM_018706|534211_2_295_416
+MVIKLPKSTPSSPDKPCWRMCLKSKPWCRHCRDPSTRQDY
+>DECOY_NM_018706|534211_2_295_416
+YDQRTSPDRCHRCWPKSKLCMRWCPKDPSSPTSKPLKIVM
+>NM_005017|534375_2_255_358
+MGFLPKCSAVQWAYGNQLLFLMKLKLTLVSPMSG
+>DECOY_NM_005017|534375_2_255_358
+GSMPSVLTLKLKMLFLLQNGYAWQVASCKPLFGM
+>NM_024493|534440_2_952_1058
+MAAWSPWVMKNRLRAGTCLQLRSFQKRSMGRYRAT
+>DECOY_NM_024493|534440_2_952_1058
+TARYRGMSRKQFSRLQLCTGARLRNKMVWPSWAAM
+>NM_004933|534474_2_2226_2323
+MAWRLQIVTPVCRLTTQPSSMTTRVTARWRGR
+>DECOY_NM_004933|534474_2_2226_2323
+RGRWRATVRTTMSSPQTTLRCVPTVIQLRWAM
+>NM_198334|534501_2_622_830
+MGPSLRKHPGMATSQRRLRGRQRKMSQEPGRRHSKLTLTASRMAPCLWVWTSLCQAWSMSMGSLSMQTT
+>DECOY_NM_198334|534501_2_622_830
+TTQMSLSGMSMSWAQCLSTWVWLCPAMRSATLTLKSHRRGPEQSMKRQRGRLRRQSTAMGPHKRLSPGM
+>NM_198334|534502_2_652_830
+MATSQRRLRGRQRKMSQEPGRRHSKLTLTASRMAPCLWVWTSLCQAWSMSMGSLSMQTT
+>DECOY_NM_198334|534502_2_652_830
+TTQMSLSGMSMSWAQCLSTWVWLCPAMRSATLTLKSHRRGPEQSMKRQRGRLRRQSTAM
+>NM_198334|534516_2_1720_1793
+MGAGSTGMCITSMAFMCTWRLLMG
+>DECOY_NM_198334|534516_2_1720_1793
+GMLLRWTCMFAMSTICMGTSGAGM
+>NM_198334|534524_2_2575_2711
+MGTRSTIRLAKSSCCVDSHSLATPLSPAQQTLKDTLRHQSGLSGW
+>DECOY_NM_198334|534524_2_2575_2711
+WGSLGSQHRLTDKLTQQAPSLPTALSHSDVCCSSKALRITSRTGM
+>NM_006677|534585_2_267_541
+MEILGKRQGLDMLPRLVLNLWPQVILLPQPPMQLGSQAHATVPGCSFLHRQGQHPLLKRSRPKRELVKTLMISWLLPLQSCCSIGGRVQKR
+>DECOY_NM_006677|534585_2_267_541
+RKQVRGGISCCSQLPLLWSIMLTKVLERKPRSRKLLPHQGQRHLFSCGPVTAHAQSGLQMPPQPLLIVQPWLNLVLRPLMDLGQRKGLIEM
+>NM_001994|534609_2_390_559
+MVALQGTKPLEGRMKKWFNVSLMDGLLNQPVGKNMKHVWLLNYIMEIIPQHRKHSK
+>DECOY_NM_001994|534609_2_390_559
+KSHKRHQPIIEMIYNLLWVHKMNKGVPQNLLGDMLSVNFWKKMRGELPKTGQLAVM
+>NM_000185|534674_2_1328_1401
+MATWQASQTKGSPSTCSSTKARSQ
+>DECOY_NM_000185|534674_2_1328_1401
+QSRAKTSSCTSPSGKTQSAQWTAM
+>NM_000185|534675_3_1206_1321
+MAKKHDKQNSRSASAEIQAGEELQSSGVPEVDGDQDAV
+>DECOY_NM_000185|534675_3_1206_1321
+VADQDGDVEPVGSSQLEEGAQIEASASRSNQKDHKKAM
+>NM_005506|534736_2_472_734
+MVLRHLTPGRSPLCLCILSSISSMSPIQRRSSEGRPLGWKKWGHTPTGNSETKQIFNLEIMEQQYLLLATRPMFLNETNLLETLKLT
+>DECOY_NM_005506|534736_2_472_734
+TLKLTELLNTENLFMPRTALLLYQQEMIELNFIQKTESNGTPTHGWKKWGLPRGESSRRQIPSMSSISSLICLCLPSRGPTLHRLVM
+>NM_005506|534738_2_652_734
+MEQQYLLLATRPMFLNETNLLETLKLT
+>DECOY_NM_005506|534738_2_652_734
+TLKLTELLNTENLFMPRTALLLYQQEM
+>NM_001308|534769_2_1377_1456
+MVITSGCCFQVSTLLVPQHLGMTQRQ
+>DECOY_NM_001308|534769_2_1377_1456
+QRQTMGLHQPVLLTSVQFCCGSTIVM
+>NM_004336|534908_2_386_615
+MGLEPCHPLCTLPGRGIWKPKESCSMPVLSFREEFKTRLNPESSCNNNTGYFRHASLKPICQLKLEPQNLCIMFRF
+>DECOY_NM_004336|534908_2_386_615
+FRFMICLNQPELKLQCIPKLSAHRFYGTNNNCSSEPNLRTKFEERFSLVPMSCSEKPKWIGRGPLTCLPHCPELGM
+>NM_004336|534914_2_1706_1977
+METKKIMDYHSLKINPQEPGPLENALSADFLQNQRRKCLMLKSFWMTQLYGVFAATKPWHPVLRAQETSHLLHNLRLHHSTSFQWSQCTF
+>DECOY_NM_004336|534914_2_1706_1977
+FTCQSWQFSTSHHLRLNHLLHSTEQARLVPHWPKTAAFVGYLQTMWFSKLMLCKRRQNQLFDASLANELPGPEQPNIKLSHYDMIKKTEM
+>NM_004336|534915_2_1724_1977
+MDYHSLKINPQEPGPLENALSADFLQNQRRKCLMLKSFWMTQLYGVFAATKPWHPVLRAQETSHLLHNLRLHHSTSFQWSQCTF
+>DECOY_NM_004336|534915_2_1724_1977
+FTCQSWQFSTSHHLRLNHLLHSTEQARLVPHWPKTAAFVGYLQTMWFSKLMLCKRRQNQLFDASLANELPGPEQPNIKLSHYDM
+>NM_004336|534918_2_2063_2145
+MENSVQFKRKAQNRPCRLTCIQHPYFV
+>DECOY_NM_004336|534918_2_2063_2145
+VFYPHQICTLRCPRNQAKRKFQVSNEM
+>NM_004336|534923_3_3054_3136
+MELPDRLLWGCCNSILHALWHLHESEK
+>DECOY_NM_004336|534923_3_3054_3136
+KESEHLHWLAHLISNCCGWLLRDPLEM
+>NM_000730|534950_2_952_1067
+MGVTCKRPGPRGSWSSGSCPPAAAAGPTASGVTAPQPT
+>DECOY_NM_000730|534950_2_952_1067
+TPQPATVGSATPGAAAAPPCSGSSWSGRPGPRKCTVGM
+>NM_015913|535048_2_361_449
+MGLERVLEIIFIGGHWKMGRKKQLPVDCP
+>DECOY_NM_015913|535048_2_361_449
+PCDVPLQKKRGMKWHGGIFIIELVRELGM
+>NM_015247|535186_2_1244_1344
+MEDLMECSFVVLRVLKVQFYCTSMISSQLYQRV
+>DECOY_NM_015247|535186_2_1244_1344
+VRQYLQSSIMSTCYFQVKLVRLVVFSCEMLDEM
+>NM_018218|535243_2_1186_1265
+MDHCGSSYSSILRYFYSVQMKVQFVS
+>DECOY_NM_018218|535243_2_1186_1265
+SVFQVKMQVSYFYRLISSYSSGCHDM
+>NM_000562|535318_2_478_608
+METRTALMALMRTTVKMSGPLTKTAASMNQFQDHRRQPWGTIS
+>DECOY_NM_000562|535318_2_478_608
+SITGWPQRRHDQFQNMSAATKTLPGSMKVTTRMLAMLATRTEM
+>NM_000562|535324_2_649_770
+MGASVRRYTMGNGGSFDMTPPVNVSTMEMMRNTFGNPTTF
+>DECOY_NM_000562|535324_2_649_770
+FTTPNGFTNRMMEMTSVNVPPTMDFSGGNGMTYRRVSAGM
+>NM_000562|535325_2_676_770
+MGNGGSFDMTPPVNVSTMEMMRNTFGNPTTF
+>DECOY_NM_000562|535325_2_676_770
+FTTPNGFTNRMMEMTSVNVPPTMDFSGGNGM
+>NM_000562|535326_2_1111_1199
+MACMPSSSMTMAPITSHLDPWVAFMNISW
+>DECOY_NM_000562|535326_2_1111_1199
+WSINMFAVWPDLHSTIPAMTMSSSPMCAM
+>NM_001843|535354_2_195_349
+MVMEFLRKTKDLDQFLKSSQSIPFIQRNHWKEKSHSTVGHEPALSRFTNGE
+>DECOY_NM_001843|535354_2_195_349
+EGNTFRSLAPEHGVTSHSKEKWHNRQIFPISQSSKLFQDLDKTKRLFEMVM
+>NM_001843|535355_2_201_349
+MEFLRKTKDLDQFLKSSQSIPFIQRNHWKEKSHSTVGHEPALSRFTNGE
+>DECOY_NM_001843|535355_2_201_349
+EGNTFRSLAPEHGVTSHSKEKWHNRQIFPISQSSKLFQDLDKTKRLFEM
+>NM_000460|535377_3_427_1190
+MENPDGGDQGTGHSGSSDPSAGGSDGSTGTTGTHLPLIPPGAAFWTGPSPPWGPAEPPWNPASSTGQDHSSQGSQCHLPELPTPAPRKGAFPDACRRVHPLRQAGPTHHSCPQQNLSSPHTERAPKQDFWIVGDKLHCLSQNYWLWASEVAAGIQSQDSWSAEPNLQVPGPNPRIPEQDTRTLEWNSWTLSWTLTQDPRSPGHFLRNIRHRLPATQPPAWIFSFPNPSSYWTVYALPSSTHLAHPCGPAPPPAS
+>DECOY_NM_000460|535377_3_427_1190
+SAPPPAPGCPHALHTSSPLAYVTWYSSPNPFSFIWAPPQTAPLRHRINRLFHGPSRPDQTLTWSLTWSNWELTRTDQEPIRPNPGPVQLNPEASWSDQSQIGAAVESAWLWYNQSLCHLKDGVIWFDQKPARETHPSSLNQQPCSHHTPGAQRLPHVRRCADPFAGKRPAPTPLEPLHCQSGQSSHDQGTSSAPNWPPEAPGWPPSPGTWFAAGPPILPLHTGTTGTSGDSGGASPDSSGSHGTGQDGGDPNEM
+>NM_002539|535395_2_1415_1557
+MASIGLLSAVTCLKCMWVIGCSLKTWALTLLLLPLRSMASRGRRSTM
+>DECOY_NM_002539|535395_2_1415_1557
+MTSRRGRSAMSRLPLLLLTLAWTKLSCGIVWMCKLCTVASLLGISAM
+>NM_002936|535416_2_349_443
+MDKNRRRKPASDSVSHWMEMDMKAQSRMQST
+>DECOY_NM_002936|535416_2_349_443
+TSQMRSQAKMDMEMWHSVSDSAPKRRRNKDM
+>NM_000953|535437_2_961_1043
+MEHLRMSRRKTGPLKKQKTSEPCDFYL
+>DECOY_NM_000953|535437_2_961_1043
+LYFDCPESTKQKKLPGTKRRSMRLHEM
+>NM_013438|535476_2_854_1020
+MEFMMDLLFTLSLKHKTGLRIIQLSKQIQLEAMLLHHQLLIVTLHLVLLLATLLV
+>DECOY_NM_013438|535476_2_854_1020
+VLLTALLLVLHLTVILLQHHLLMAELQIQKSLQIIRLGTKHKLSLTFLLDMMFEM
+>NM_013438|535478_2_866_1020
+MDLLFTLSLKHKTGLRIIQLSKQIQLEAMLLHHQLLIVTLHLVLLLATLLV
+>DECOY_NM_013438|535478_2_866_1020
+VLLTALLLVLHLTVILLQHHLLMAELQIQKSLQIIRLGTKHKLSLTFLLDM
+>NM_006392|535541_2_1095_1315
+MDSFSTPPSLAEQLPRTKAASPDTWQTNAVLPHESIASLRCPRVYSGRSFENKLKSDCPSMRLERYHERIWMS
+>DECOY_NM_006392|535541_2_1095_1315
+SMWIREHYRELRMSPCDSKLKNEFSRGSYVRPCRLSAISEHPLVANTQWTDPSAAKTRPLQEALSPPTSFSDM
+>NM_021939|535589_2_548_798
+MARSLIQAMIATPWWPSWWVWGASSLAWTEASWACVSTSGDASLCLPTWAMGASAWRGSFHRMPPSTSMWFCWMCGTRKTPCR
+>DECOY_NM_021939|535589_2_548_798
+RCPTKRTGCMWCFWMSTSPPMRHFSGRWASAGMAWTPLCLSADGSTSVCAWSAETWALSSAGWVWWSPWWPTAIMAQILSRAM
+>NM_021939|535591_2_698_798
+MGASAWRGSFHRMPPSTSMWFCWMCGTRKTPCR
+>DECOY_NM_021939|535591_2_698_798
+RCPTKRTGCMWCFWMSTSPPMRHFSGRWASAGM
+>NM_021939|535592_2_869_954
+MAPCWTAPPSTPATVRAALMTPTSALVG
+>DECOY_NM_021939|535592_2_869_954
+GVLASTPTMLAARVTAPTSPPATWCPAM
+>NM_021939|535596_2_1784_1914
+MGCPQATCLCGTRTLLPTCLKTWTSTRMARSLRRSSPPSSRLK
+>DECOY_NM_021939|535596_2_1784_1914
+KLRSSPPSSRRLSRAMRTSTWTKLCTPLLTRTGCLCTAQPCGM
+>NM_025164|535647_2_580_689
+MGPKWTSGALELSSTCLCAVPCHLMEAHCRICGPAC
+>DECOY_NM_025164|535647_2_580_689
+CAPGCIRCHAEMLHCPVACLCTSSLELAGSTWKPGM
+>NM_025164|535655_2_3439_3563
+MGSGTQHAPPSMSTTGPGPSRDTTRSRTATMLMYSWITCQE
+>DECOY_NM_025164|535655_2_3439_3563
+EQCTIWSYMLMTATRSRTTDRSPGPGTTSMSPPAHQTGSGM
+>NM_003181|535742_3_816_985
+MGAGGQAGAAGAQLRLHPPRLAQLRGPLDEGSRLLQQSQAHQQAQRRGPDHAELLA
+>DECOY_NM_003181|535742_3_816_985
+ALLEAHDPGRRQAQQHAQSQQLLRSGEDLPGRLQALRPPHLRLQAGAAGAQGGAGM
+>NM_000234|535856_2_1171_1391
+MVSFSRQWPRPQVGSWSPSGLRQPRKATWGWWPRTAAAPRGSCCHHLRSLPPGSSASSATSPGSLAVLPQPRR
+>DECOY_NM_000234|535856_2_1171_1391
+RRPQPLVALSGPSTASSASSGPPLSRLHHCCSGRPAAATRPWWGWTAKRPQRLGSPSWSGVQPRPWQRSFSVM
+>NM_000187|535932_2_755_834
+MGLLSTFSSAIPPWRTDAFTIQMGTS
+>DECOY_NM_000187|535932_2_755_834
+STGMQITFADTRWPPIASSFTSLLGM
+>NM_003035|535982_2_852_1057
+MDILPWMKHANCYFCWNLIPRFILYHWWEFGCLELHISIVLRYGLAVCDTYSILLFKKGFFQNLEISS
+>DECOY_NM_003035|535982_2_852_1057
+SSIELNQFFGKKFLLISYTDCVALGYRLVISIHLELCGFEWWHYLIFRPILNWCFYCNAHKMWPLIDM
+>NM_003035|535985_2_1737_1813
+MGHLMIYLKSSKQFLLEMYKTKSIL
+>DECOY_NM_003035|535985_2_1737_1813
+LISKTKYMELLFQKSSKLYIMLHGM
+>NM_003035|535989_2_3531_3613
+MDSYKAVTIVKMKRNLPTMQIARVNIY
+>DECOY_NM_003035|535989_2_3531_3613
+YINVRAIQMTPLNRKMKVITVAKYSDM
+>NM_003035|535993_3_979_1079
+MGLLFAIHIQFFCSRKGFFRIWKFHHSSLFYDT
+>DECOY_NM_003035|535993_3_979_1079
+TDYFLSSHHFKWIRFFGKRSCFFQIHIAFLLGM
+>NM_022120|536012_2_958_1052
+METLERKRTPGRASSDAQLWNLRTACTPIWA
+>DECOY_NM_022120|536012_2_958_1052
+AWIPTCATRLNWLQADSSARGPTRKRELTEM
+>NM_000892|536126_2_1830_1936
+MECGVWWASPAGVKAVPAGSNLVSTPKSLSTWTGF
+>DECOY_NM_000892|536126_2_1830_1936
+FGTWTSLSKPTSVLNSGAPVAKVGAPSAWWVGCEM
+>NM_014338|536142_3_480_889
+MVALPPAGPEAEAGAAELHVQTRSETALLALDRPLLPPALRRPQTAQPGGMEARKQGGFVQVSANALAVTGLGSPQSGGAATLAAQARLQPVHLDVWGEHERGRCGGPASLPQPQRVLPAQAEAAGPACLWPAQRD
+>DECOY_NM_014338|536142_3_480_889
+DRQAPWLCAPGAAEAQAPLVRQPQPLSAPGGCRGREHEGWVDLHVPQLRAQAALTAAGGSQPSGLGTVALANASVQVFGGQKRAEMGGPQATQPRRLAPPLLPRDLALLATESRTQVHLEAAGAEAEPGAPPLAVM
+>NM_014338|536143_3_630_889
+MEARKQGGFVQVSANALAVTGLGSPQSGGAATLAAQARLQPVHLDVWGEHERGRCGGPASLPQPQRVLPAQAEAAGPACLWPAQRD
+>DECOY_NM_014338|536143_3_630_889
+DRQAPWLCAPGAAEAQAPLVRQPQPLSAPGGCRGREHEGWVDLHVPQLRAQAALTAAGGSQPSGLGTVALANASVQVFGGQKRAEM
+>NM_002711|536312_2_575_753
+MVKLTSSPLRLYWFLLIKKMAVKLSFVYVMKLLLVHFGQIIMAQIIHSFVKRKNKSRSL
+>DECOY_NM_002711|536312_2_575_753
+LSRSKNKRKVFSHIIQAMIIQGFHVLLLKMVYVFSLKVAMKKILLFWYLRLPSSTLKVM
+>NM_002711|536313_2_632_753
+MAVKLSFVYVMKLLLVHFGQIIMAQIIHSFVKRKNKSRSL
+>DECOY_NM_002711|536313_2_632_753
+LSRSKNKRKVFSHIIQAMIIQGFHVLLLKMVYVFSLKVAM
+>NM_000884|536352_2_501_625
+MVSAVSQSQTQAGWGAAWWASSPPGTLIFSKRRNMTVSWKR
+>DECOY_NM_000884|536352_2_501_625
+RKWSVTMNRRKSFILTGPPSSAWWAAGWGAQTQSQSVASVM
+>NM_002545|536382_2_921_1012
+MGTILVWPRTSLGTPMPASHCMGLEQSLMV
+>DECOY_NM_002545|536382_2_921_1012
+VMLSQELGMCHSAPMPTGLSTRPWVLITGM
+>NM_006227|536517_2_414_496
+MGATSTPQLRVCPSALVWSSPGIPLDG
+>DECOY_NM_006227|536517_2_414_496
+GDLPIGPSSWVLASPCVRLQPTSTAGM
+>NM_021154|536531_2_849_1012
+MEVPRPWRSLAPSNLKQFMRLLIILKDSTCLWEASGPLCIMLSQLKTFRSWPPS
+>DECOY_NM_021154|536531_2_849_1012
+SPPWSRFTKLQSLMICLPGSAEWLCTSDKLIILLRMFQKLNSPALSRWPRPVEM
+>NM_005379|536684_2_1546_1649
+MASFVSSLSIISEVSWPCWMRSACGLGWSVTPLS
+>DECOY_NM_005379|536684_2_1546_1649
+SLPTVSWGLGCASRMWCPWSVESIISLSSVFSAM
+>NM_005379|536685_2_1678_1778
+MATTRAKSPRMPSVSMTTPWASAASASATMRAR
+>DECOY_NM_005379|536685_2_1678_1778
+RARMTASASAASAWPTTMSVSPMRPSKARTTAM
+>NM_005379|536689_3_2654_2796
+MASRPLQVPQHSKSGAAAALLPVEVQEVPGSAVPEAGRDPEGKALCQ
+>DECOY_NM_005379|536689_3_2654_2796
+QCLAKGEPDRGAEPVASGPVEQVEVPLLAAAAGSKSHQPVQLPRSAM
+>NM_052963|536726_2_1556_1629
+MASPGVSWRRRGGSWRSCRSSWRS
+>DECOY_NM_052963|536726_2_1556_1629
+SRWSSRCSRWSGGRRRWSVGPSAM
+>NM_004655|536771_2_2033_2352
+MGKARSRAWPCPPGKEGPPAELGPCSFPGRKETGRRMSGSGCWRVSGRASPSPIVPKAQKRPTPWSLPARLQANEPAGTICGGATAGTPAPPPVPTCSPRTLRCLP
+>DECOY_NM_004655|536771_2_2033_2352
+PLCRLTRPSCTPVPPPAPTGATAGGCITGAPENAQLRAPLSWPTPRKQAKPVIPSPSARGSVRWCGSGSMRRGTEKRGPFSCPGLEAPPGEKGPPCPWARSRAKGM
+>NM_013391|536806_2_1300_1592
+MANGQQPSTLRPKQENHMDSTILLVILKKNGLLGGRLNESVGSIKGWSLSVPWGSMLAGSSRTGSTNQARTLSTGQVFAAQTGLSLWARSINRLCKE
+>DECOY_NM_013391|536806_2_1300_1592
+EKCLRNISRAWLSLGTQAAFVQGTSLTRAQNTSGTRSSGALMSGWPVSLSWGKISGVSENLRGGLLGNKKLIVLLITSDMHNEQKPRLTSPQQGNAM
+>NM_013391|536812_2_2383_2471
+MARWLATRHLEAIATASRRVWLSHMSLYN
+>DECOY_NM_013391|536812_2_2383_2471
+NYLSMHSLWVRRSATAIAELHRTALWRAM
+>NM_013391|536813_3_140_336
+MERQSRNSDNWRWLCWCESGLSPGQSRDERCGPAGEIRAHGWIYLARSRFNNLLSSWNKLEENTL
+>DECOY_NM_013391|536813_3_140_336
+LTNEELKNWSSLLNNFRSRALYIWGHARIEGAPGCREDRSQGPSLGSECWCLWRWNDSNRSQREM
+>NM_020341|536863_2_912_1060
+MASSPSPSIPANPILLLTTRPKSTGRRVSMEMIWIRIIEAATQPSKMGT
+>DECOY_NM_020341|536863_2_912_1060
+TGMKSPQTAAEIIRIWIMEMSVRRGTSKPRTTLLLIPNAPISPSPSSAM
+>NM_006364|536933_2_266_357
+MESDLVGMFGHQVDWKLQEWLFLWQPCLHH
+>DECOY_NM_006364|536933_2_266_357
+HHLCPQWLFLWEQLKWDVQHGFMGVLDSEM
+>NM_006364|536945_2_2351_2490
+MEAARPVSSFQKSTLHRLIIICMPGGRSLEHLFLQMMLVYKCLWIT
+>DECOY_NM_006364|536945_2_2351_2490
+TIWLCKYVLMMQLFLHELSRGGPMCIIILRHLTSKQFSSVPRAAEM
+>NM_017429|536964_2_321_439
+MGLGCTQLGSPDTTIGSTALPCSTASPSETVKSITGANT
+>DECOY_NM_017429|536964_2_321_439
+TNAGTISKVTESPSATSCPLATSGITTDPSGLQTCGLGM
+>NM_017429|536971_3_295_413
+MAAGNPAPQWAWDAHSWGVQIQPLVRRPCPAPQLHHQRR
+>DECOY_NM_017429|536971_3_295_413
+RRQHHLQPAPCPRRVLPQIQVGWSHADWAWQPAPNGAAM
+>NM_181435|536983_2_436_758
+METTAFEATKAPLGHRALLAFQETMETMATMEPLVMKEPKVRRATKVTWGLEGSGGSMAPKERRATRGFHQNFRLHSWLLWQPTSAIRTVGLSSAVLRPTLETSLMS
+>DECOY_NM_181435|536983_2_436_758
+SMLSTELTPRLVASSLGVTRIASTPQWLLWSHLRFNQHFGRTARREKPAMSGGSGELGWTVKTARRVKPEKMVLPEMTAMTEMTEQFALLARHGLPAKTAEFATTEM
+>NM_181435|536984_2_508_758
+METMATMEPLVMKEPKVRRATKVTWGLEGSGGSMAPKERRATRGFHQNFRLHSWLLWQPTSAIRTVGLSSAVLRPTLETSLMS
+>DECOY_NM_181435|536984_2_508_758
+SMLSTELTPRLVASSLGVTRIASTPQWLLWSHLRFNQHFGRTARREKPAMSGGSGELGWTVKTARRVKPEKMVLPEMTAMTEM
+>NM_181435|536985_2_517_758
+MATMEPLVMKEPKVRRATKVTWGLEGSGGSMAPKERRATRGFHQNFRLHSWLLWQPTSAIRTVGLSSAVLRPTLETSLMS
+>DECOY_NM_181435|536985_2_517_758
+SMLSTELTPRLVASSLGVTRIASTPQWLLWSHLRFNQHFGRTARREKPAMSGGSGELGWTVKTARRVKPEKMVLPEMTAM
+>NM_181435|536986_2_526_758
+MEPLVMKEPKVRRATKVTWGLEGSGGSMAPKERRATRGFHQNFRLHSWLLWQPTSAIRTVGLSSAVLRPTLETSLMS
+>DECOY_NM_181435|536986_2_526_758
+SMLSTELTPRLVASSLGVTRIASTPQWLLWSHLRFNQHFGRTARREKPAMSGGSGELGWTVKTARRVKPEKMVLPEM
+>NM_181435|536988_2_607_758
+MAPKERRATRGFHQNFRLHSWLLWQPTSAIRTVGLSSAVLRPTLETSLMS
+>DECOY_NM_181435|536988_2_607_758
+SMLSTELTPRLVASSLGVTRIASTPQWLLWSHLRFNQHFGRTARREKPAM
+>NM_018668|537046_2_934_1067
+MELAGAPRWHMNCGGTWRRRRMAKPRAEGQRLDISFSWTEMWTL
+>DECOY_NM_018668|537046_2_934_1067
+LTWMETWSFSIDLRQGEARPKAMRRRRWTGGCNMHWRPAGALEM
+>NM_058179|537059_2_849_970
+MEVPRPWRSLAPSNLKQFMRLLIILKDSTFVQWSPKIEAR
+>DECOY_NM_058179|537059_2_849_970
+RAEIKPSWQVFTSDKLIILLRMFQKLNSPALSRWPRPVEM
+>NM_004670|537089_2_510_706
+MALTEISDSLLGTERKISAGLLRWLSCLLMLVWSALPALFLHSQRIVRMPAKYMNQQGCHSLKYL
+>DECOY_NM_004670|537089_2_510_706
+LYKLSHCGQQNMYKAPMRVIRQSHLFLAPLASWVLMLLCSLWRLLGASIKRETGLLSDSIETLAM
+>NM_012398|537192_2_217_293
+MGRSWAIEVWTHPAKPPTRRPPPPP
+>DECOY_NM_012398|537192_2_217_293
+PPPPPRRTPPKAPHTWVEIAWSRGM
+>NM_012398|537195_2_1732_1886
+MAGRRRSHPRKRICSRLQCRWSLRAAWRLWSPKRRTQGWRLPRPVPLLLLK
+>DECOY_NM_012398|537195_2_1732_1886
+KLLLLPVPRPLRWGQTRRKPSWLRWAARLSWRCQLRSCIRKRPHSRRRGAM
+>NM_005406|537271_3_1459_1544
+MGTILYCRSSSCIGCNPFHGFYSQRCEA
+>DECOY_NM_005406|537271_3_1459_1544
+AECRQSYFGHFPNCGICSSSRCYLITGM
+>NM_002578|537334_3_911_999
+MGTITPNFQHNKIGTEEEPTSCSRCSQIL
+>DECOY_NM_002578|537334_3_911_999
+LIQSCRSCSTPEEETGIKNHQFNPTITGM
+>NM_007196|537495_2_453_541
+MAQSKKYLWFSPSHTPATTAAMWRTTTMI
+>DECOY_NM_007196|537495_2_453_541
+IMTTTRWMAATTAPTHSPSFWLYKKSQAM
+>NM_007196|537498_2_834_946
+MVHSRASHPGAQTPVGGPTNLASIPTSAATWTGSRRS
+>DECOY_NM_007196|537498_2_834_946
+SRRSGTWTAASTPISALNTPGGVPTQAGPHSARSHVM
+>NM_014920|537563_2_1886_1980
+MAYSRIQARNLFHLIHGLVLACLENLQGQCQ
+>DECOY_NM_014920|537563_2_1886_1980
+QCQGQLNELCALVLGHILHFLNRAQIRSYAM
+>NM_024077|537821_2_1767_1840
+MERVVVMTSFPSRQSCQGQRGWTN
+>DECOY_NM_024077|537821_2_1767_1840
+NTWGRQGQCSQRSPFSTMVVVREM
+>NM_024077|537826_3_178_278
+MVRVLRSMCLPQLCSHILSVCSGTTSDRAENIY
+>DECOY_NM_024077|537826_3_178_278
+YINEARDSTTGSCVSLIHSCLQPLCMSRLVRVM
+>NM_014706|537946_2_431_588
+MGMSTPWLPPRRAPPGSTSGNMTKRRRKTSWRLRDWRSSCLSTSMTTTAMWT
+>DECOY_NM_014706|537946_2_431_588
+TWMATTTMSTSLCSSRWDRLRWSTKRRRKTMNGSTSGPPARRPPLWPTSMGM
+>NM_014706|537949_2_800_897
+MASTQLVGLVRKVALRKFAPCLKGLSRLLVYI
+>DECOY_NM_014706|537949_2_800_897
+IYVLLRSLGKLCPAFKRLAVKRVLGVLQTSAM
+>NM_012073|538018_2_205_284
+MGALSSSSRIRTASPVLWDLRPSSLI
+>DECOY_NM_012073|538018_2_205_284
+ILSSPRLDWLVPSATRIRSSSSLAGM
+>NM_000175|538144_3_147_364
+MVPRAPLRAEPAPPLRCQQGPLQPLQLDPQHQPWAYPGGLLQEPGDGGRDADAGGLGQVQGRGGRPGADVQW
+>DECOY_NM_000175|538144_3_147_364
+WQVDAGPRGGRGQVQGLGGADADRGGDGPEQLLGGPYAWPQHQPDLQLPQLPGQQCRLPPAPEARLPARPVM
+>NM_174916|538238_2_2065_2168
+MDCLLLARCFITKMLSAEKKCMIKISSCFRLVHL
+>DECOY_NM_174916|538238_2_2065_2168
+LHVLRFCSSIKIMCKKEASLMKTIFCRALLLCDM
+>NM_174916|538248_3_290_417
+MVLIWRRSRYLLREIEAQWSISALWEGFQKWRDNLFLQGLCN
+>DECOY_NM_174916|538248_3_290_417
+NCLGQLFLNDRWKQFGEWLASISWQAEIERLLYRSRRWILVM
+>NM_001014980|538270_3_253_680
+MAELCPAAGRRRLKEAVRKQGQEAAGSLRSPRTSRCRSDRGDSASRVSGAAERGHGAPVLRASGPAAAPGGGPAAGGRGLSLPAAGSPPGGQADAGGAAWFPGSCCPRCLPARLRSEPGLGSVHGPRVRHLPVLCQSARGPQ
+>DECOY_NM_001014980|538270_3_253_680
+QPGRASQCLVPLHRVRPGHVSGLGPESRLRAPLCRPCCSGPFWAAGGADAQGGPPSGAAPLSLGRGGAAPGGGPAAAPGSARLVPAGHGREAAGSVRSASDGRDSRCRSTRPSRLSGAAEQGQKRVAEKLRRRGAAPCLEAM
+>NM_012113|538328_2_946_1181
+MARSQLPLATRVCSGQFFIEGPRFQWNSWKSFRGHCSPQKRSPLSFWYRTTEPFSLSISAWSLLLSSKQDPRIPQVKC
+>DECOY_NM_012113|538328_2_946_1181
+CKVQPIRPDQKSSLLLSWASISLSFPETTRYWFSLPSRKQPSCHGRFSKWSNWQFRPGEIFFQGSCVRTALPLQSRAM
+>NM_003613|538440_2_1508_1731
+MGSGAVMLCRTAVASPRQRKGRSSAVATRYPPRWPRSAAASGVRKLGASCGAVSVLLTMGSPCALAMCTWGTAV
+>DECOY_NM_003613|538440_2_1508_1731
+VATGWTCMALACPSGMTLLVSVAGCSAGLKRVGSAAASRPWRPPYRTAVASSRGKRQRPSAVATRCLMVAGSGM
+>NM_003613|538450_2_2849_2985
+MGPSMPLRTSGHVKRHHPVQPTSGSTRLRGIDMTTTQSPSTKMTL
+>DECOY_NM_003613|538450_2_2849_2985
+LTMKTSPSQTTTMDIGRLRTSGSTPQVPHHRKVHGSTRLPMSPGM
+>NM_003613|538454_2_3401_3501
+MASTLSLTRTLARPRRSRSAGALMAHPMAPPES
+>DECOY_NM_003613|538454_2_3401_3501
+SEPPAMPHAMLAGASRSRRPRALTRTLSLTSAM
+>NM_003613|538458_3_3009_3226
+MVAKADGIQGLLYQGEDCGATGSECAIPQHGGHSSADSGEAVWNPRCEEHSGQGPAQCLSCLSGVQVQWDAL
+>DECOY_NM_003613|538458_3_3009_3226
+LADWQVQVGSLCSLCQAPGQGSHEECRPNWVAEGSDASSHGGHQPIACESGTAGCDEGQYLLGQIGDAKAVM
+>NM_005445|538492_2_226_458
+MDLEKVTFFMQFSLFSVMSLVIFVQNSGWLYCMKVLVLVLFLLLWRLFLIIQTTGYQSIKRKFHFEELLVPKRISIS
+>DECOY_NM_005445|538492_2_226_458
+SISIRKPVLLEEFHFKRKISQYGTTQIILFLRWLLLFLVLVLVKMCYLWGSNQVFIVLSMVSFLSFQMFFTVKELDM
+>NM_152247|538584_2_2350_2561
+MASSGACTLAAPPAGWSSSWQQWVPPSATWTSPWGWSVASRDASLRGVAPTRPRRPGHFSAWPSSPRASG
+>DECOY_NM_152247|538584_2_2350_2561
+GSARPSSPWASFHGPRRPRTPAVGRLSADRSAVSWGWPSTWTASPPVWQQWSSSWGAPPAALTCAGSSAM
+>NM_018060|538636_2_1359_1435
+MEFSQMLQVLNFKTRLSLKRELMWL
+>DECOY_NM_018060|538636_2_1359_1435
+LWMLERKLSLRTKFNLVQLMQSFEM
+>NM_001083614|538667_2_873_1021
+MAASSPRGKGTFSWSTLLLMASCPIPCWTSSPTVAQVLQRTKWAGPCRS
+>DECOY_NM_001083614|538667_2_873_1021
+SRCPGAWKTRQLVQAVTPSSTWCPIPCSAMLLLTSWSFTGKGRPSSAAM
+>NM_016368|538697_2_1065_1258
+MGRTYRRHCSSALRRCPRATWWTTWCRATQCSIRPAKSLTTAWSSSMCRTWVTASARWMSIPRS
+>DECOY_NM_016368|538697_2_1065_1258
+SRPISMWRASATVWTRCMSSSWATTLSKAPRISCQTARCWTTWWTARPCRRLASSCHRRYTRGM
+>NM_003859|538708_2_193_266
+MEAQMEQGMLLNSWRRSMGQTEFF
+>DECOY_NM_003859|538708_2_193_266
+FFETQGMSRRWSNLLMGQEMQAEM
+>NM_021978|538782_2_1607_1725
+MAGPTAPTTAMSSTAVATPATSSRARTSSASPSSGSATV
+>DECOY_NM_021978|538782_2_1607_1725
+VTASGSSPSASSTRARSSTAPTAVATSSMATTPATPGAM
+>NM_004456|538810_2_731_885
+METILKKEKKSRKIWRITEMIKKAAHLGNFLLIKFLKPFPQCFQIRAQQKN
+>DECOY_NM_004456|538810_2_731_885
+NKQQARIQFCQPFPKLFKILLFNGLHAAKKIMETIRWIKRSKKEKKLITEM
+>NM_002234|538832_2_359_705
+MGPRSRRQRGAARRETRTRECGPCLRCRTRECGPCLRCQRSCHGLDGRLPRTRRKKAIPAWARWRTRLWARRPCTTSASTSTSPGCALRRSWAPWRSSPTHSWGTPPSACATSTP
+>DECOY_NM_002234|538832_2_359_705
+PTSTACASPPTGWSHTPSSRWPAWSRRLACGPSTSTSASTTCPRRAWLRTRWRAWAPIAKKRRTRPLRGDLGHCSRQCRLCPGCERTRCRLCPGCERTRTERRAAGRQRRSRPGM
+>NM_004285|538876_2_1483_1961
+MATWAALPCWSAGTCSGPPCPPAGRKWRDHLGSAFSAALCPITTPTALCGSGTPTPSSYPISSMAGRISSSPQRTCWPPGTSGPLCWRAWPIRPHASTLEELRMAVCWTLSSVAAGCSFPSSSRSSWCQGQGRPQCPVTSRSSGPSTERARWSPPGPRS
+>DECOY_NM_004285|538876_2_1483_1961
+SRPGPPSWRARETSPGSSRSTVPCQPRGQGQCWSSRSSSPFSCGAAVSSLTWCVAMRLEELTSAHPRIPWARWCLPGSTGPPWCTRQPSSSIRGAMSSIPYSSPTPTGSGCLATPTTIPCLAASFASGLHDRWKRGAPPCPPGSCTGASWCPLAAWTAM
+>NM_004285|538878_2_1792_1961
+MAVCWTLSSVAAGCSFPSSSRSSWCQGQGRPQCPVTSRSSGPSTERARWSPPGPRS
+>DECOY_NM_004285|538878_2_1792_1961
+SRPGPPSWRARETSPGSSRSTVPCQPRGQGQCWSSRSSSPFSCGAAVSSLTWCVAM
+>NM_004285|538880_2_2092_2426
+MASPGPTRTCGWLTSAASHSQTRSPTSRACRPTCCSTSGSPTTTSTPCLCTCSSGSAPRRTRAPRSMPGRSQPWWPTAASTWCCWAWVPTGTQPPSSHSHPLAWMASSWSC
+>DECOY_NM_004285|538880_2_2092_2426
+CSWSSAMWALPHSHSSPPQTGTPVWAWCCWTSAATPWWPQSRGPMSRPARTRRPASGSSCTCLCPTSTTTPSGSTSCCTPRCARSTPSRTQSHSAASTLWGCTRTPGPSAM
+>NM_015533|538933_2_1710_1816
+MARLLQGTGLCWILCGQRGRSSKPGRAQELICYKS
+>DECOY_NM_015533|538933_2_1710_1816
+SKYCILEQARGPKSSRGRQGCLIWCLGTGQLLRAM
+>NM_203454|539019_3_775_935
+MEPRSSPEPGQLMAAGCFESNKWWDLAFCSPQLYKWCLRITCFSAHFNWESTG
+>DECOY_NM_203454|539019_3_775_935
+GTSEWNFHASFCTIRLCWKYLQPSCFALDWWKNSEFCGAAMLQGPEPSSRPEM
+>NM_004453|539029_2_1002_1087
+MVHQRQHLREDWNYMLKSQFLQKVAMDI
+>DECOY_NM_004453|539029_2_1002_1087
+IDMAVKQLFQSKLMYNWDERLHQRQHVM
+>NM_004453|539031_2_1992_2074
+MGPSSDSVLQEFMNLYLWNKVMDFGYR
+>DECOY_NM_004453|539031_2_1992_2074
+RYGFDMVKNWLYLNMFEQLVSDSSPGM
+>NM_004453|539034_3_499_614
+MGRSEHGKVCRRSRCCNSWCRPCRALCSCSSKTVGCGT
+>DECOY_NM_004453|539034_3_499_614
+TGCGVTKSSCSCLARCPRCWSNCCRSRRCVKGHESRGM
+>NM_025150|539061_2_482_600
+MAFTMISSWERRGQSGAQSCLFWSGFARNLQLLLDPSGG
+>DECOY_NM_025150|539061_2_482_600
+GGSPDLLLQLNRAFGSWFLCSQAGSQGRREWSSIMTFAM
+>NM_025150|539065_2_1601_1701
+MVPSMDLRLTCTSTMPWAGHISVGQFSLTSNCP
+>DECOY_NM_025150|539065_2_1601_1701
+PCNSTLSFQGVSIHGAWPMTSTCTLRLDMSPVM
+>NM_025150|539068_3_231_322
+MEHNPLPTSPADQFNTGRYCSGCSSEWRTL
+>DECOY_NM_025150|539068_3_231_322
+LTRWESSCGSCYRGTNFQDAPSTPLPNHEM
+>NM_002772|539092_2_1538_1698
+MGVFIQNQLWCQLLHQNFLRTVEDLLSCGSQIQHSVLRTFQTATLIWLSVFGF
+>DECOY_NM_002772|539092_2_1538_1698
+FGFVSLWILTATQFTRLVSHQIQSGCSLLDEVTRLFNQHLLQCWLQNQIFVGM
+>NM_002772|539095_2_2441_2526
+MAADCSAAHLSSAVTGWCPPHTACMGET
+>DECOY_NM_002772|539095_2_2441_2526
+TEGMCATHPPCWGTVASSLHAASCDAAM
+>NM_152245|539316_2_1528_1898
+MASWVSMQSMRGQMLPSLGTSGSLSWAQTASTWATRRPGTAWANRTLRSHLLHGCSGTFQNSARRSSRVPTRWPRRWQTTWSCTASSSCPLAKASSRSAGPALMPLCRSRCSWLTSGTGVSSA
+>DECOY_NM_152245|539316_2_1528_1898
+ASSVGTGSTLWSCRSRCLPMLAPGASRSSAKALPCSSSATCSWTTQWRRPWRTPVRSSRRASNQFTGSCGHLLHSRLTRNAWATGPRRTAWTSATQAWSLSGSTGLSPLMQGRMSQMSVWSAM
+>NM_004990|539463_2_42_121
+MASRVACRCWPPPGEPGAEQRCSSAL
+>DECOY_NM_004990|539463_2_42_121
+LASSCRQEAGPEGPPPWCRCAVRSAM
+>NM_004990|539475_2_1794_1942
+MGNSLRAAVWECLGTWPRTRGSLLTSGASICCTFGLRARTVLSPGRTCC
+>DECOY_NM_004990|539475_2_1794_1942
+CCTRGPSLVTRARLGFTCCISAGSTLLSGRTRPWTGLCEWVAARLSNGM
+>NM_004990|539479_3_280_395
+MGSDRAAASFVCCPVLFSGPRQEGGRCSWFSAESPDSH
+>DECOY_NM_004990|539479_3_280_395
+HSDPSEASFWSCRGGEQRPGSFLVPCCVFSAAARDSGM
+>NM_001006626|539493_2_929_1008
+MGSATFSFFPMLLSPLVRLLQPSICQ
+>DECOY_NM_001006626|539493_2_929_1008
+QCISPQLLRVLPSLLMPFFSFTASGM
+>NM_001137668|539533_2_82_248
+MVMEQVYLMSFLLLLLRTMMKAHWTYTLGWTVLFLTALPNPVYHQEIVWTYMKRS
+>DECOY_NM_001137668|539533_2_82_248
+SRKMYTWVIEQHYVPNPLATLFLVTWGLTYTWHAKMMTRLLLLLFSMLYVQEMVM
+>NM_001137668|539534_2_88_248
+MEQVYLMSFLLLLLRTMMKAHWTYTLGWTVLFLTALPNPVYHQEIVWTYMKRS
+>DECOY_NM_001137668|539534_2_88_248
+SRKMYTWVIEQHYVPNPLATLFLVTWGLTYTWHAKMMTRLLLLLFSMLYVQEM
+>NM_001137668|539543_2_877_1067
+MVNQGYWRLVKGYKDILRNMVKVNQRLKAKVRSLKVTQILTIKVNALTLLGRKRPLEKGHTVE
+>DECOY_NM_001137668|539543_2_877_1067
+EVTHGKELPRKRGLLTLANVKITLIQTVKLSRVKAKLRQNVKVMNRLIDKYGKVLRWYGQNVM
+>NM_001137668|539544_2_934_1067
+MVKVNQRLKAKVRSLKVTQILTIKVNALTLLGRKRPLEKGHTVE
+>DECOY_NM_001137668|539544_2_934_1067
+EVTHGKELPRKRGLLTLANVKITLIQTVKLSRVKAKLRQNVKVM
+>NM_001137668|539545_2_1351_1448
+MEDVHLLFQTVELTKTLTLRKLMPCISGKIHL
+>DECOY_NM_001137668|539545_2_1351_1448
+LHIKGSICPMLKRLTLTKTLEVTQFLLHVDEM
+>NM_001137668|539553_2_4777_4916
+MERKLMKDQRKNIFHVRTQFLNLWRNWKTPTKMLMAASQLMKNRAL
+>DECOY_NM_001137668|539553_2_4777_4916
+LARNKMLQSAAMLMKTPTKWNRWLNLFQTRVHFINKRQDKMLKREM
+>NM_001558|539656_2_357_445
+MATGPECGLWTAAGTPTGPSPTPASLWMK
+>DECOY_NM_001558|539656_2_357_445
+KMWLSAPTPSPGTPTGAATWLGCEPGTAM
+>NM_001558|539657_2_483_631
+MASSSGRFSYPGPRWPPQMTHMKASSVTSESMRLPFARCRETSRSHTRK
+>DECOY_NM_001558|539657_2_483_631
+KRTHSRSTERCRAFPLRMSESTVSSAKMHTMQPPWRPGPYSFRGSSSAM
+>NM_002589|539702_3_1031_1194
+MGARLVLGLLPPPAALAQPGGRQAAPPVPAGRGGPRRRPHRQRGFRPGHRDRIG
+>DECOY_NM_002589|539702_3_1031_1194
+GIRDRHGPRFGRQRHPRRRPGGRGAPVPPAAQRGGPQALAAPPPLLGLVLRAGM
+>NM_003211|539803_2_776_867
+MVLDLPTWWKGPRPAAKISPVKNFVKEDVF
+>DECOY_NM_003211|539803_2_776_867
+FVDEKVFNKVPSIKAAPRPGKWWTPLDLVM
+>NM_003248|539853_2_1239_1348
+MASSVGPAPRATQETGSPVLMLMSANTIPATRACTA
+>DECOY_NM_003248|539853_2_1239_1348
+ATCARTAPITNASMLMLVPSGTEQTARPAPGVSSAM
+>NM_003248|539855_2_1476_1567
+MERAFPTRSALILWDLTAVGLVSRGILVIR
+>DECOY_NM_003248|539855_2_1476_1567
+RIVLIGRSVLGVATLDWLILASRTPFAREM
+>NM_003248|539856_2_1692_1873
+MAISVERMWTSTVTPTKNCHALPGTVKRTTANMCQILAKKMQTEMALATLVTRMLTEMGS
+>DECOY_NM_003248|539856_2_1692_1873
+SGMETLMRTVLTALAMETQMKKALIQCMNATTRKVTGPLAHCNKTPTVTSTWMREVSIAM
+>NM_003248|539859_2_2103_2503
+MVMVWGMPVTVVLMSATLTSLMWIMIWLGTPVTPIRTVMEMGTRTAQTTAPPSLTVPSWTPIRMELVTSVMMMMTMMVSQTWCPLDQTTAGWSPTQPRRIATATEWETSVSLTLTRTRSSIGSTSAQRTQRSP
+>DECOY_NM_003248|539859_2_2103_2503
+PSRQTRQASTSGISSRTRTLTLSVSTEWETATAIRRPQTPSWGATTQDLPCWTQSVMMTMMMMVSTVLEMRIPTWSPVTLSPPATTQATRTGMEMVTRIPTVPTGLWIMIWMLSTLTASMLVVTVPMGWVMVM
+>NM_003248|539860_2_2109_2503
+MVWGMPVTVVLMSATLTSLMWIMIWLGTPVTPIRTVMEMGTRTAQTTAPPSLTVPSWTPIRMELVTSVMMMMTMMVSQTWCPLDQTTAGWSPTQPRRIATATEWETSVSLTLTRTRSSIGSTSAQRTQRSP
+>DECOY_NM_003248|539860_2_2109_2503
+PSRQTRQASTSGISSRTRTLTLSVSTEWETATAIRRPQTPSWGATTQDLPCWTQSVMMTMMMMVSTVLEMRIPTWSPVTLSPPATTQATRTGMEMVTRIPTVPTGLWIMIWMLSTLTASMLVVTVPMGWVM
+>NM_003248|539865_2_2217_2503
+MEMGTRTAQTTAPPSLTVPSWTPIRMELVTSVMMMMTMMVSQTWCPLDQTTAGWSPTQPRRIATATEWETSVSLTLTRTRSSIGSTSAQRTQRSP
+>DECOY_NM_003248|539865_2_2217_2503
+PSRQTRQASTSGISSRTRTLTLSVSTEWETATAIRRPQTPSWGATTQDLPCWTQSVMMTMMMMVSTVLEMRIPTWSPVTLSPPATTQATRTGMEM
+>NM_003248|539866_2_2223_2503
+MGTRTAQTTAPPSLTVPSWTPIRMELVTSVMMMMTMMVSQTWCPLDQTTAGWSPTQPRRIATATEWETSVSLTLTRTRSSIGSTSAQRTQRSP
+>DECOY_NM_003248|539866_2_2223_2503
+PSRQTRQASTSGISSRTRTLTLSVSTEWETATAIRRPQTPSWGATTQDLPCWTQSVMMTMMMMVSTVLEMRIPTWSPVTLSPPATTQATRTGM
+>NM_003248|539867_2_2292_2503
+MELVTSVMMMMTMMVSQTWCPLDQTTAGWSPTQPRRIATATEWETSVSLTLTRTRSSIGSTSAQRTQRSP
+>DECOY_NM_003248|539867_2_2292_2503
+PSRQTRQASTSGISSRTRTLTLSVSTEWETATAIRRPQTPSWGATTQDLPCWTQSVMMTMMMMVSTVLEM
+>NM_003248|539873_2_2331_2503
+MVSQTWCPLDQTTAGWSPTQPRRIATATEWETSVSLTLTRTRSSIGSTSAQRTQRSP
+>DECOY_NM_003248|539873_2_2331_2503
+PSRQTRQASTSGISSRTRTLTLSVSTEWETATAIRRPQTPSWGATTQDLPCWTQSVM
+>NM_004254|539902_3_735_1081
+MGAYPDAGHHVDSTRVLLHLWPVHSARPGLRHPPVALAAVNCVHSLLRLLPIILVDTRVHTLVGLVWKVLEGPEDTPAGGCLQWQEGRGRKAQLGGAQTQPAEGDLLGQGQVHRK
+>DECOY_NM_004254|539902_3_735_1081
+KRHVQGQGLLDGEAPQTQAGGLQAKRGRGEQWQLCGGAPTDEPGELVKWVLGVLTHVRTDVLIIPLLRLLSHVCNVAALAVPPHRLGPRASHVPWLHLLVRTSDVHHGADPYAGM
+>NM_000814|539980_2_686_846
+MATPRMTLSFTGEAGTRLLPEWKGLSSRSSPSWSTVWSRGMLSSPQVPILDCH
+>DECOY_NM_000814|539980_2_686_846
+HCDLIPVQPSSLMGRSWVTSWSPSSRSSLGKWEPLLRTGAEGTFSLTMRPTAM
+>NM_000814|539985_2_1376_1467
+MGDSWGTEASRTRRPIYGGGLHSSKLKYLI
+>DECOY_NM_000814|539985_2_1376_1467
+ILYKLKSSHLGGGYIPRRTRSAETGWSDGM
+>NM_014246|540175_2_208_302
+MGGWQDVGASRARGARCRCKSAWWPAVPRRR
+>DECOY_NM_014246|540175_2_208_302
+RRRPVAPWWASKCRCRAGRARSAGVDQWGGM
+>NM_014246|540176_2_1612_1697
+MGAGPRSSILQGWCLCRCWMSTTTSLSL
+>DECOY_NM_014246|540176_2_1612_1697
+LSLSTTTSMWCRCLCWGQLISSRPGAGM
+>NM_014246|540192_2_4918_5114
+MAPGKAALLGGTSAMGGGVRMEAPVSTGGICICVSVHSDSAGRTVSKPCLTPSSSAVRASCPGVT
+>DECOY_NM_014246|540192_2_4918_5114
+TVGPCSARVASSSPTLCPKSVTRGASDSHVSVCICIGGTSVPAEMRVGGGMASTGGLLAAKGPAM
+>NM_014246|540193_2_4960_5114
+MGGGVRMEAPVSTGGICICVSVHSDSAGRTVSKPCLTPSSSAVRASCPGVT
+>DECOY_NM_014246|540193_2_4960_5114
+TVGPCSARVASSSPTLCPKSVTRGASDSHVSVCICIGGTSVPAEMRVGGGM
+>NM_014246|540194_2_4978_5114
+MEAPVSTGGICICVSVHSDSAGRTVSKPCLTPSSSAVRASCPGVT
+>DECOY_NM_014246|540194_2_4978_5114
+TVGPCSARVASSSPTLCPKSVTRGASDSHVSVCICIGGTSVPAEM
+>NM_014246|540197_2_6157_6344
+MAVPKHLRPASGGHRPSSGSRLRCHALRDPLEMRSDTAAGRRAGCPQSSLTVPPSPSWTSGP
+>DECOY_NM_014246|540197_2_6157_6344
+PGSTWSPSPPVTLSSQPCGARRGAATDSRMELPDRLAHCRLRSGSSPRHGGSAPRLHKPVAM
+>NM_014246|540204_2_8491_8645
+MGWELRKNGTRPGAPSTAPPKGTLWPTTFRPAGPTRAWLRVTVRTPAASPA
+>DECOY_NM_014246|540204_2_8491_8645
+APSAAPTRVTVRLWARTPGAPRFTTPWLTGKPPATSPAGPRTGNKRLEWGM
+>NM_014246|540212_3_8513_8607
+MGPGQGRRPQHPQRGRCGQPRSGRLARPEPG
+>DECOY_NM_014246|540212_3_8513_8607
+GPEPRALRGSRPQGCRGRQPHQPRRGQGPGM
+>NM_000208|540263_2_2780_2940
+MVMRSCISASPASTSLWNGAAGCVGCHRGTTACESGPPPLRATALGRNPPIST
+>DECOY_NM_000208|540263_2_2780_2940
+TSIPPNRGLATARLPPPGSECATTGRHCGVCGAAGNWLSTSAPSASICSRMVM
+>NM_000208|540267_2_3737_3846
+MGSSPLLLTCGPLAWSFGKSPAWQNSLTKACLMNRC
+>DECOY_NM_000208|540267_2_3737_3846
+CRNMLCAKTLSNQWAPSKGFSWALPGCTLLLPSSGM
+>NM_000208|540280_3_1653_1792
+MGAVLAPRLPRPLGVHAVLQRGPLSECDGVRRAGCVWFQQLDGGRH
+>DECOY_NM_000208|540280_3_1653_1792
+HRGGDLQQFWVCGARRVGDCESLPGRQLVAHVGLPRPLRPALVAGM
+>NM_007356|540311_2_529_683
+MDTTGKCSNILQKTVPLPFLTSHLARPREWETLFVTPNTRILNPQQVERLF
+>DECOY_NM_007356|540311_2_529_683
+FLREVQQPNLIRTNPTVFLTEWERPRALHSTLFPLPVTKQLINSCKGTTDM
+>NM_007356|540312_2_886_1118
+MAMLANVALCRRCGEMFSALLEWFTVSVCVSTIQMVRTVRDARTSSRMLLGGQLQTSRTTLADRAAVIATPAAVTLT
+>DECOY_NM_007356|540312_2_886_1118
+TLTVAAPTAIVAARDALTTRSTQLQGGLLMRSSTRADRVTRVMQITSVCVSVTFWELLASFMEGCRRCLAVNALMAM
+>NM_007356|540320_2_1648_1727
+MGSVNAAHMSLAVAALNQPLATSLLL
+>DECOY_NM_007356|540320_2_1648_1727
+LLLSTALPQNLAAVALSMHAANVSGM
+>NM_007356|540325_2_2374_2579
+MGLWPASVTPRAQSDPAAADLEASASVNLLWSGAAVTGAQLEAMIWGITAVTHVTAILKDQRTLYVTK
+>DECOY_NM_007356|540325_2_2374_2579
+KTVYLTRQDKLIATVHTVATIGWIMAELQAGTVAAGSWLLNVSASAELDAAAPDSQARPTVSAPWLGM
+>NM_007356|540327_2_2599_2891
+MERCLAAAVIAAWQATLDFPAATLALVIGLLNFVILRQGHASIVEALQLAETVKGVLMVTMEILLQDSPVVLACVQMIPQAISILPIPVIRICGAQM
+>DECOY_NM_007356|540327_2_2599_2891
+MQAGCIRIVPIPLISIAQPIMQVCALVVPSDQLLIEMTVMLVGKVTEALQLAEVISAHGQRLIVFNLLGIVLALTAAPFDLTAQWAAIVAAALCREM
+>NM_007356|540329_2_2779_2891
+MEILLQDSPVVLACVQMIPQAISILPIPVIRICGAQM
+>DECOY_NM_007356|540329_2_2779_2891
+MQAGCIRIVPIPLISIAQPIMQVCALVVPSDQLLIEM
+>NM_007356|540332_2_3436_3671
+MVIHLGDAFHVIVTGQVPRSPSVIQTQACAAAGRVSAARDVIAVPGDTARNSLLVFNVTCALISGTTPFLPSPKRCKG
+>DECOY_NM_007356|540332_2_3436_3671
+GKCRKPSPLFPTTGSILACTVNFVLLSNRATDGPVAIVDRAASVRGAAACAQTQIVSPSRPVQGTVIVHFADGLHIVM
+>NM_007356|540337_2_4969_5090
+MDFPCCRPSCKGIKTTLSMRKFRLNLPNTRLGVLRRNLLS
+>DECOY_NM_007356|540337_2_4969_5090
+SLLNRRLVGLRTNPLNLRFKRMSLTTKIGKCSPRCCPFDM
+>NM_001100164|540435_2_596_1056
+MALYLKKHHLWRNRQKIRKRTLKTTLKHRQLLLYLLLLLLSLDPNLNPKNHLCLRKGPLLGPATKVMKCLPLKKIPRLLVSRPPSLHPSQQAETRPERLLAPLIQKKQLALKHQLRHPLHPPHLVPKLQRRQFLAKQGQWGPPRAREKLTSSQ
+>DECOY_NM_001100164|540435_2_596_1056
+QSSTLKERARPPGWQGQKALFQRRQLKPVLHPPHLPHRLQHKLALQKKQILPALLREPRTEAQQSPHLSPPRSVLLRPIKKLPLCKMVKTAPGLLPGKRLCLHNKPNLNPDLSLLLLLLYLLLQRHKLTTKLTRKRIKQRNRWLHHKKLYLAM
+>NM_001100164|540442_2_1616_1707
+MAVEKVLWQVKYAGGILLLSNLATDHLRKN
+>DECOY_NM_001100164|540442_2_1616_1707
+NKRLHDTALNSLLLIGGAYKVQWLVKEVAM
+>NM_001100165|540498_2_596_816
+MALYLKKHHLWRNRQKIRKLAPLIQKKQLALKHQLRHPLHPPHLVPKLQRRQFLAKQGQWGPPRAREKLTSSQ
+>DECOY_NM_001100165|540498_2_596_816
+QSSTLKERARPPGWQGQKALFQRRQLKPVLHPPHLPHRLQHKLALQKKQILPALKRIKQRNRWLHHKKLYLAM
+>NM_033150|540616_2_428_567
+MENLGPLEILAPLVLPAPLVPLVLVETLLPRWLEDLMKRLVAPSWE
+>DECOY_NM_033150|540616_2_428_567
+EWSPAVLRKMLDELWRPLLTEVLVLPVLPAPLVLPALIELPGLNEM
+>NM_033150|540618_2_734_894
+MVKLENLEKLVKGVRLVLRVLVVSQEPQAFLVSKVTEVIQAWTVLRERRVLLV
+>DECOY_NM_033150|540618_2_734_894
+VLLVRRERLVTWAQIVETVKSVLFAQPEQSVVLVRLVLRVGKVLKELNELKVM
+>NM_033150|540619_2_1013_1857
+MVSQAPQGLRVLSVLLVVLASLVLLEPRVKPAPLVPVVLKVLKVLAVNLVLLGPLGLLVPPVTLEQMEFLEPKDLLVLLALLVLLASLGHGALLALKVQLVLWARKVRRVNLVLLASKVNKAPRENLALLAPREPLDPLVKKAREVPVESLVALGPSVPLEKEVLPATAVSQVKMVWQVPREPLESEGPVVLLAPREPTVTLAVLENLAFLEPGVSLAALVMLVLKAKLALLEPLVKMVVLDLQVLRGLVGSLVSWVSLAPKVPTVSLAKLVRRDCLVLLV
+>DECOY_NM_033150|540619_2_1013_1857
+VLLVLCDRRVLKALSVTPVKPALSVWSVLSGVLGRLVQLDLVVMKVLPELLALKAKLVLMVLAALSVGPELFALNELVALTVTPERPALLVVPGESELPERPVQWVMKVQSVATAPLVEKELPVSPGLAVLSEVPVERAKKVLPDLPERPALLALNERPAKNVKSALLVLNVRRVKRAWLVLQVKLALLAGHGLSALLVLLALLVLLDKPELFEMQELTVPPVLLGLPGLLVLNVALVKLVKLVVPVLPAPKVRPELLVLSALVVLLVSLVRLGQPAQSVM
+>NM_033150|540621_2_1535_1857
+MVWQVPREPLESEGPVVLLAPREPTVTLAVLENLAFLEPGVSLAALVMLVLKAKLALLEPLVKMVVLDLQVLRGLVGSLVSWVSLAPKVPTVSLAKLVRRDCLVLLV
+>DECOY_NM_033150|540621_2_1535_1857
+VLLVLCDRRVLKALSVTPVKPALSVWSVLSGVLGRLVQLDLVVMKVLPELLALKAKLVLMVLAALSVGPELFALNELVALTVTPERPALLVVPGESELPERPVQWVM
+>NM_033150|540623_2_1724_1857
+MVVLDLQVLRGLVGSLVSWVSLAPKVPTVSLAKLVRRDCLVLLV
+>DECOY_NM_033150|540623_2_1724_1857
+VLLVLCDRRVLKALSVTPVKPALSVWSVLSGVLGRLVQLDLVVM
+>NM_033150|540624_2_1877_2334
+MVRQVLQDPLALLDLLVNEASRVLLGHLGSRDFLALLVPQVKVENQVTRVFPVKLEPLASWVPGVNEVSQVNVALPVPRASRVPVASPALLALMVPKVHLAQQAPLGLRALQVFRECLARGEQLVSLGPKATGVTLVRKALREPLERMVDEA
+>DECOY_NM_033150|540624_2_1877_2334
+AEDVMRELPERLAKRVLTVGTAKPGLSVLQEGRALCERFVQLARLGLPAQQALHVKPVMLALLAPSAVPVRSARPVPLAVNVQSVENVGPVWSALPELKVPFVRTVQNEVKVQPVLLALFDRSGLHGLLVRSAENVLLDLLALPDQLVQRVM
+>NM_033150|540629_2_2750_3054
+MVPKVLEETAAPLAELVNPASKVLLDPLARRESLEMTVPLVPKVHQVPRVWLVREASSVCLGNVVREDSLACLARRVSPASRVLLEHLETEVLLAPWVLLA
+>DECOY_NM_033150|540629_2_2750_3054
+ALLVWPALLVETELHELLVRSAPSVRRALCALSDERVVNGLCVSSAERVLWVRPVQHVKPVLPVTMELSERRALPDLLVKSAPNVLEALPAATEELVKPVM
+>NM_033150|540632_2_3119_3360
+MALLESRVIVVRLVLWELLEPLGPLAPLAPLVQLASKETEEKLVHKAPWDPQDQLEPGESRVLKAPEVTKERLESLAREA
+>DECOY_NM_033150|540632_2_3119_3360
+AERALSELREKTVEPAKLVRSEGPELQDQPDWPAKHVLKEETEKSALQVLPALPALPGLPELLEWLVLRVVIVRSELLAM
+>NM_033150|540633_2_3497_3651
+MVLMESLAPLGLLVPVDDQAKPALLVLLEILDPLVLQVPLALASTCPPLLA
+>DECOY_NM_033150|540633_2_3497_3651
+ALLPPCTSALALPVQLVLPDLIELLVLLAPKAQDDVPVLLGLPALSEMLVM
+>NM_033150|540637_2_4280_4383
+MAARNIPVSGARLLSSTGHRRPHASPSLTLHPWT
+>DECOY_NM_033150|540637_2_4280_4383
+TWPHLTLSPSAHPRRHGTSSLLRAGSVPINRAAM
+>NM_006727|540754_2_465_556
+MAKFSIVKNVVGCGINFSYLKNIQDLIIST
+>DECOY_NM_006727|540754_2_465_556
+TSIILDQINKLYSFNIGCGVVNKVISFKAM
+>NM_006727|540755_2_588_742
+MDHSNISYLEMELVLFLLLMKKQVIFMPQGELIGRKRPFILYAHKLLTEEL
+>DECOY_NM_006727|540755_2_588_742
+LEETLLKHAYLIFPRKRGILEGQPMFIVQKKMLLLFLVLEMELYSINSHDM
+>NM_006727|540756_2_618_742
+MELVLFLLLMKKQVIFMPQGELIGRKRPFILYAHKLLTEEL
+>DECOY_NM_006727|540756_2_618_742
+LEETLLKHAYLIFPRKRGILEGQPMFIVQKKMLLLFLVLEM
+>NM_006727|540767_2_1644_1762
+MDLFIHQNLLTVNYLSGIILLLLLLKSTIPKRQHAWLFL
+>DECOY_NM_006727|540767_2_1644_1762
+LFLWAHQRKPITSKLLLLLLIIGSLYNVTLLNQHIFLDM
+>NM_001844|540789_2_290_381
+MGRGIMIRMCGSRSPAGSVSVTLGLSSATT
+>DECOY_NM_001844|540789_2_290_381
+TTASSLGLTVSVSGAPSRSGCMRIMIGRGM
+>NM_005038|540967_2_383_465
+MGQVEKVFMVKNLKMKISITSMIGRVY
+>DECOY_NM_005038|540967_2_383_465
+YVRGIMSTISIKMKLNKVMFVKEVQGM
+>NM_003872|541015_2_1065_1366
+MGTVNPQTSWANTVGTSPRPPSSPRAPCSTSSSPPTTPGRGQASLCATRSSRQALKIAQKTSQAPTGPSNLLGFLRSIHTTWTAPLPSWPNPRWRSSCSS
+>DECOY_NM_003872|541015_2_1065_1366
+SSCSSRWRPNPWSPLPATWTTHISRLFGLLNSPGTPAQSTKQAIKLAQRSSRTACLSAQGRGPTTPPSSSTSCPARPSSPPRPSTGVTNAWSTQPNVTGM
+>NM_003872|541021_2_1851_1975
+MATMSNPTSWKSALMERTGWCTGMAKTTRYFKPTTMQLRWF
+>DECOY_NM_003872|541021_2_1851_1975
+FWRLQMTTPKFYRTTKAMGTCWGTREMLASKWSTPNSMTAM
+>NM_022131|541153_2_1055_1251
+MEPCLPSRSSQSCRLITLGRVVTGRPTLRNPFKSYVEPPLASLTSCHPLALPPTGLQDCWWTAVR
+>DECOY_NM_022131|541153_2_1055_1251
+RVATWWCDQLGTPPLALPHCSTLSALPPEVYSKFPNRLTPRGTVVRGLTILRCSQSSRSPLCPEM
+>NM_001750|541204_2_1477_1709
+MENHYCQSLKKNPSLGVNQNSLMNFQKILTGLNVKRNHLSQLKRQKNLRPLLQLLCRRLCVGPPCVVYSQHPLSRLP
+>DECOY_NM_001750|541204_2_1477_1709
+PLRSLPHQSYVVCPPGVCLRRCLLQLLPRLNKQRKLQSLHNRKVNLGTLIKQFNMLSNQNVGLSPNKKLSQCYHNEM
+>NM_016341|541477_2_3155_3306
+MGRSSSLGTCCPSKPMCTSSCCRGPRSSTTTRTHTSLPAASSSFSPTIAP
+>DECOY_NM_016341|541477_2_3155_3306
+PAITPSFSSSAAPLSTHTRTTTSSRPGRCCSSTCMPKSPCCTGLSSSRGM
+>NM_016341|541480_2_3734_3876
+MDGMKAQLWLTLWSCLVADGGVLETPAPEHQQRMLRSPICRETIPWA
+>DECOY_NM_016341|541480_2_3734_3876
+AWPITERCIPSRLMRQQHEPAPTELVGGDAVLCSWLTLWLQAKMGDM
+>NM_016341|541487_2_5336_5514
+MVGMPTPDLPIMRKRKMRRTNMIMTMNPFLMTTFWKTDLKINHVMTSFSLNIMKKSQRG
+>DECOY_NM_016341|541487_2_5336_5514
+GRQSKKMINLSFSTMVHNIKLDTKWFTTMLFPNMTMIMNTRRMKRKRMIPLDPTPMGVM
+>NM_016341|541504_3_2460_2632
+MADPHGRLHGGEARSLFIFGACGQMLLEHGQLQRCHGVLGWPQVKKSFKNVAVHGPV
+>DECOY_NM_016341|541504_3_2460_2632
+VPGHVAVNKFSKKVQPWGLVGHCRQLQGHELLMQGCAGFIFLSRAEGGHLRGHPDAM
+>NM_178812|541762_2_875_960
+MERKLMKEPGKLKLVTERNDSSVNVIRC
+>DECOY_NM_178812|541762_2_875_960
+CRIVNVSSDNRETVLKLKGPEKMLKREM
+>NM_014705|541916_2_1809_1948
+MVGLFQMALMSSSCISVKKTQIFRILPATSNFPFPRAFSLGIIIKP
+>DECOY_NM_014705|541916_2_1809_1948
+PKIIIGLSFARPFPFNSTAPLIRFIQTKKVSICSSSMLAMQFLGVM
+>NM_014705|541933_3_3697_3818
+MAGKWRFINCYCNSSNGEVVRLQGLHENGRGRWQKDWLHS
+>DECOY_NM_014705|541933_3_3697_3818
+SHLWDKQWRGRGNEHLGQLRVVEGNSSNCYCNIFRWKGAM
+>NM_001018100|541978_2_297_409
+MENLPGNFLRVLFMVWCEDQIKISRKKWWCMGGPPVS
+>DECOY_NM_001018100|541978_2_297_409
+SVPPGGMCWWKKRSIKIQDECWVMFLVRLFNGPLNEM
+>NM_021724|542100_2_912_1000
+MGAPLGVYKWPWRTAAECPPARAPATSPS
+>DECOY_NM_021724|542100_2_912_1000
+SPSTAPARAPPCEAATRWPWKYVGLPAGM
+>NM_001145026|542180_2_2791_2894
+MGKQEAISLAFKHQREHQAILPKMFIMQTSVLHQ
+>DECOY_NM_001145026|542180_2_2791_2894
+QHLVSTQMIFMKPLIAQHERQHKFALSIAEQKGM
+>NM_001145026|542182_2_3106_3179
+MGIKAVTSLKYTQIKTYLKGLLET
+>DECOY_NM_001145026|542182_2_3106_3179
+TELLGKLYTKIQTYKLSTVAKIGM
+>NM_001145026|542188_2_4564_4640
+MAMLQTGFLQKLCLALQMVLLKMFM
+>DECOY_NM_001145026|542188_2_4564_4640
+MFMKLLVMQLALCLKQLFGTQLMAM
+>NM_004380|542326_2_1894_1967
+MAPTLVTLEPSALYQQQLLLLAPV
+>DECOY_NM_004380|542326_2_1894_1967
+VPALLLLQQQYLASPELTVLTPAM
+>NM_004380|542329_2_3844_3950
+MGSSCVPFLAMLPTTAIRIGIISVRSVSQRSRARM
+>DECOY_NM_004380|542329_2_3844_3950
+MRARSRQSVSRVSIIGIRIATTPLMALFPVCSSGM
+>NM_020859|542385_2_4086_4186
+MGCVSRRAAWPTGAVSSSAMARPAPRSACRGPS
+>DECOY_NM_020859|542385_2_4086_4186
+SPGRCASRPAPRAMASSSVAGTPWAARRSVCGM
+>NM_001353|542720_2_583_707
+MEKYYLTQWISVPHGRPWRSVKMQDWPSPSGCPTSTAGSWR
+>DECOY_NM_001353|542720_2_583_707
+RWSGATSTPCGSPSPWDQMKVSRWPRGHPVSIWQTLYYKEM
+>NM_001353|542722_3_860_1002
+MGGPELPGALGGPSPLCLGKKAQANPSPDCPALPATAWGCGPGQELQ
+>DECOY_NM_001353|542722_3_860_1002
+QLEQGPGCGWATAPLAPCDPSPNAQAKKGLCLPSPGGLAGPLEPGGM
+>NM_014897|542753_2_431_504
+MVPTKNLTCPPKCTKGNQEVLILC
+>DECOY_NM_014897|542753_2_431_504
+CLILVEQNGKTCKPPCTLNKTPVM
+>NM_004524|542893_2_239_381
+MASRTSPAPSATARPCASWPSAPVLEPSSSTEPQAWSSWGCTRRTTL
+>DECOY_NM_004524|542893_2_239_381
+LTTRRTCGWSSWAQPETSSSPELVPASPWSACPRATASPAPSTRSAM
+>NM_004524|542895_2_1112_1242
+MASRRPSTSPPVSSASLSSQRQTLQPPLTTPMPWWCWLRRSWW
+>DECOY_NM_004524|542895_2_1112_1242
+WWSRRLWCWWPMPTTLPPQLTQRQSSLSASSVPPSTSPRRSAM
+>NM_004524|542899_2_1979_2322
+MALASLTTSSGGRSLLSAHCTPVTSWPWRAHSPASSPSRSPCVSHSAGCVGAGCPAGSGTRLAPQERHRRGVPRLSGQASRTWSWRLCSARSRLARQRTPSQASSGPCTLLTPT
+>DECOY_NM_004524|542899_2_1979_2322
+TPTLLTCPGSSAQSPTRQRALRSRASCLRWSWTRSAQGSLRPVGRRHREQPALRTGSGAPCGAGVCGASHSVCPSRSPSSAPSHARWPWSTVPTCHASLLSRGGSSTTLSALAM
+>NM_001361|542946_2_457_650
+MDLTVTGFQWWNTGYGPDSRSRPSSQKMDCLWGSTWGRTRPQWTPRRTTQKGCAYWAPWPTTWW
+>DECOY_NM_001361|542946_2_457_650
+WWTTPWPAWYACGKQTTRRPTWQPRTRGWTSGWLCDMKQSSPRSRSDPGYGTNWWQFGTVTLDM
+>NM_001361|542947_2_538_650
+MDCLWGSTWGRTRPQWTPRRTTQKGCAYWAPWPTTWW
+>DECOY_NM_001361|542947_2_538_650
+WWTTPWPAWYACGKQTTRRPTWQPRTRGWTSGWLCDM
+>NM_013325|542965_3_756_916
+METPGTSHSPAPGAHGHQRGLRGDAEALLHDAPVPGRHRREAQQRPLLHRLRW
+>DECOY_NM_013325|542965_3_756_916
+WRLRHLLPRQQAERRHRGPVPADHLLAEADGRLGRQHGHAGPAPSHSTGPTEM
+>NM_001266|543096_2_1621_1721
+METPMGKGCPTGQSTTRRKGICRLVPTPRRPRS
+>DECOY_NM_001266|543096_2_1621_1721
+SRPRRPTPVLRCIGKRRTTSQGTPCGKGMPTEM
+>NM_001266|543098_3_326_447
+MELCEECHLVPSYVHPRSQGGAVTLRAIYKPKGEHSSQAF
+>DECOY_NM_001266|543098_3_326_447
+FAQSSHEGKPKYIARLTVAGGQSRPHVYSPVLHCEECLEM
+>NM_002027|543252_2_292_404
+MAPIPWSRSFIVTNLEMFMITSELSCSVMKEVNELLS
+>DECOY_NM_002027|543252_2_292_404
+SLLENVEKMVSCSLESTIMFMELNTVIFSRSWPIPAM
+>NM_016279|543303_2_740_816
+MEIVPKWSIAYCKDSHIFQWTQNQA
+>DECOY_NM_016279|543303_2_740_816
+AQNQTWQFIHSDKCYAISWKPVIEM
+>NM_001216|543318_2_469_653
+MEATRPGPGCPQPARAASSPRWISAPSSPPSARPCAPWNSWASSSRRSQNCACATMATVCN
+>DECOY_NM_001216|543318_2_469_653
+NCVTAMTACACNQSRRSSSAWSNWPACPRASPPSSPASIWRPSSAARAPQPCGPGPRTAEM
+>NM_003458|543418_2_1432_1652
+MAEQNIRQHRRLLPSQRPCRRKGPSAHCAKPSSTWAARAQPTITHAPPAGSRCATCVASTQHPTWWRKQSGSV
+>DECOY_NM_003458|543418_2_1432_1652
+VSGSQKRWWTPHQTSAVCTACRSGAPPAHTITPQARAAWTSSPKACHASPGKRRCPRQSPLLRRHQRINQEAM
+>NM_003458|543422_2_2728_3014
+MAPRKVAPDPGLSLAKNQQHCPRGACPTMPPRAMRSCSLREAQQRLPMAVGPCRVGSVASRPLSSTAREVMVMSWTWAKAQTPVWTGSLSWRWRA
+>DECOY_NM_003458|543422_2_2728_3014
+ARWRWSLSGTWVPTQAKAWTWSMVMVERATSSLPRSAVSGVRCPGVAMPLRQQAERLSCSRMARPPMTPCAGRPCHQQNKALSLGPDPAVKRPAM
+>NM_003458|543426_2_2938_3014
+MVMSWTWAKAQTPVWTGSLSWRWRA
+>DECOY_NM_003458|543426_2_2938_3014
+ARWRWSLSGTWVPTQAKAWTWSMVM
+>NM_003458|543429_2_3823_3914
+MARLLSLPQRARQPAWEQPCTKKSFRHHRA
+>DECOY_NM_003458|543429_2_3823_3914
+ARHHRFSKKTCPQEWAPQRARQPLSLLRAM
+>NM_003458|543430_2_4024_4223
+MVAPLPLVPVPPSSLPLCPSLPPPPQTAAGAELFPMSVSLSILQRRLRTPSSCTALLPPPAQPPRR
+>DECOY_NM_003458|543430_2_4024_4223
+RRPPQAPPPLLATCSSPTRLRRQLISLSVSMPFLEAGAATQPPPPLSPCLPLSSPPVPVLPLPAVM
+>NM_003458|543432_2_4369_4475
+MDTAQPLQTMGPKLRIYPRPPVALLQLDELLERSP
+>DECOY_NM_003458|543432_2_4369_4475
+PSRELLEDLQLLAVPPRPYIRLKPGMTQLPQATDM
+>NM_003458|543433_2_4396_4475
+MGPKLRIYPRPPVALLQLDELLERSP
+>DECOY_NM_003458|543433_2_4396_4475
+PSRELLEDLQLLAVPPRPYIRLKPGM
+>NM_003458|543438_2_5200_5309
+MVLPWIQSQDGSRPPCSPWLSTSMPRSIPSLLLPPP
+>DECOY_NM_003458|543438_2_5200_5309
+PPPLLLSPISRPMSTSLWPSCPPRSGDQSQIWPLVM
+>NM_003458|543443_2_6229_6692
+MAQSRTCVILQTFWLTRFPCGAIAQCRTSTQTTGTAHGEMQLASRRPAWPSTVPPQPVKSVACALPSTPWTSMVGGMAVVVVALTLCSTSPSTGPGSVLHRVWFPSDLDSLVTPPFQRATQVLGTWPSMGLQQAKEQQSDSCCRPQPLYVQLMA
+>DECOY_NM_003458|543443_2_6229_6692
+AMLQVYLPQPRCCSDSQQEKAQQLGMSPWTGLVQTARQFPPTVLSDLDSPFWVRHLVSGPGTSPSTSCLTLAVVVVAMGGVMSTWPTSPLACAVSKVPQPPVTSPWAPRRSALQMEGHATGTTQTSTRCQAIAGCPFRTLWFTQLIVCTRSQAM
+>NM_003458|543445_2_6445_6692
+MVGGMAVVVVALTLCSTSPSTGPGSVLHRVWFPSDLDSLVTPPFQRATQVLGTWPSMGLQQAKEQQSDSCCRPQPLYVQLMA
+>DECOY_NM_003458|543445_2_6445_6692
+AMLQVYLPQPRCCSDSQQEKAQQLGMSPWTGLVQTARQFPPTVLSDLDSPFWVRHLVSGPGTSPSTSCLTLAVVVVAMGGVM
+>NM_003458|543446_2_6457_6692
+MAVVVVALTLCSTSPSTGPGSVLHRVWFPSDLDSLVTPPFQRATQVLGTWPSMGLQQAKEQQSDSCCRPQPLYVQLMA
+>DECOY_NM_003458|543446_2_6457_6692
+AMLQVYLPQPRCCSDSQQEKAQQLGMSPWTGLVQTARQFPPTVLSDLDSPFWVRHLVSGPGTSPSTSCLTLAVVVVAM
+>NM_003458|543447_2_6613_6692
+MGLQQAKEQQSDSCCRPQPLYVQLMA
+>DECOY_NM_003458|543447_2_6613_6692
+AMLQVYLPQPRCCSDSQQEKAQQLGM
+>NM_003458|543449_2_7606_7817
+MASIGPPLHMQPSLPWQGLKDLGSLVSLCCTGVSPALPQTCHCKRRSSGRPAVVASRSGTPCHACGMPVS
+>DECOY_NM_003458|543449_2_7606_7817
+SVPMGCAHCPTGSRSAVVAPRGSSRRKCHCTQPLAPSVGTCCLSVLSGLDKLGQWPLSPQMHLPPGISAM
+>NM_003458|543455_2_10114_10256
+MVQGPWGPSIPPRAWLQLPSPQSAASTGSRAWSKRYPSSRLLKRPKT
+>DECOY_NM_003458|543455_2_10114_10256
+TKPRKLLRSSPYRKSWARSGTSAASQPSPLQLWARPPISPGWPGQVM
+>NM_003458|543459_2_10381_10571
+MGCPAGTQWRTTAFMAGAAGPGHLLHTVGRSCPATTSVAGARGTKGNGRLWSDFKKRAPSPHP
+>DECOY_NM_003458|543459_2_10381_10571
+PHPSPARKKFDSWLRGNGKTGRAGAVSTTAPCSRGVTHLLHGPGAAGAMFATTRWQTGAPCGM
+>NM_001770|543637_2_1365_1465
+MAAATRTLRMSPWVLRMKTPSPTLSLMRTRMKS
+>DECOY_NM_001770|543637_2_1365_1465
+SKMRTRMLSLTPSPTKMRLVWPSMRLTRTAAAM
+>NM_001770|543644_3_901_1043
+MALAAEDWWLEGLSCDFGLSDLLPVFPCGHSSSSKSPGPEEEKKAND
+>DECOY_NM_001770|543644_3_901_1043
+DNAKKEEEPGPSKSSSSHGCPFVPLLDSLGFDCSLGELWWDEAALAM
+>NM_178504|543740_2_6089_6186
+MELNHLLNYYDSFLTVDIGTTLRTQVKSRWWT
+>DECOY_NM_178504|543740_2_6089_6186
+TWWRSKVQTRLTTGIDVTLFSDYYNLLHNLEM
+>NM_178504|543751_2_9146_9243
+MVFISTDCISMAHAGTEKVDCLLNNIPNFCLT
+>DECOY_NM_178504|543751_2_9146_9243
+TLCFNPINNLLCDVKETGAHAMSICDTSIFVM
+>NM_001001578|543778_2_169_275
+MVSIDPTMPANSERTPYKVRPVAIKQLSEHSKSMN
+>DECOY_NM_001001578|543778_2_169_275
+NMSKSHESLQKIAVPRVKYPTRESNAPMTPDISVM
+>NM_000948|543820_3_539_660
+MERVPPAAAGVKPAPVPERGPLAHLSRRGCPMPGDPSRPV
+>DECOY_NM_000948|543820_3_539_660
+VPRSPDGPMPCGRRSLHALPGREPVPAPKVGAAAPPVREM
+>NM_005045|543914_2_305_387
+MGSRARCSFPCILRATPPTTFRDKNTM
+>DECOY_NM_005045|543914_2_305_387
+MTNKDRFTTPPTARLICPFSCRARSGM
+>NM_005045|543916_2_1949_2064
+MGAPGPSFTLNAYLRSVLDPTSPTALSTPLKTTVGGTE
+>DECOY_NM_005045|543916_2_1949_2064
+ETGGVTTKLPTSLATPSTPDLVSRLYANLTFSPGPAGM
+>NM_005045|543918_2_2219_2430
+MVASVTLDFLAQLVRWHPRHSQCLFLKALAVPGSPLTITFTLSVVLKSALVVVSWPVVRPWFSTKMGGVS
+>DECOY_NM_005045|543918_2_2219_2430
+SVGGMKTSFWPRVVPWSVVVLASKLVVSLTFTITLPSGPVALAKLFLCQSHRPHWRVLQALFDLTVSAVM
+>NM_005045|543921_2_3278_3435
+MAHAIMAYAGVTRGTKALNATQKLPFRPQLCQILRTRMAGSLTGKKLLGEKL
+>DECOY_NM_005045|543921_2_3278_3435
+LKEGLLKKGTLSGAMRTRLIQCLQPRFPLKQTANLAKTGRTVGAYAMIAHAM
+>NM_005045|543922_2_3293_3435
+MAYAGVTRGTKALNATQKLPFRPQLCQILRTRMAGSLTGKKLLGEKL
+>DECOY_NM_005045|543922_2_3293_3435
+LKEGLLKKGTLSGAMRTRLIQCLQPRFPLKQTANLAKTGRTVGAYAM
+>NM_005045|543926_2_4415_4554
+MGTAFQECVSVTWDILLHKEPVCQMSPITMRCSIGLRGSSALCGTR
+>DECOY_NM_005045|543926_2_4415_4554
+RTGCLASSGRLGISCRMTIPSMQCVPEKHLLIDWTVSVCEQFATGM
+>NM_005045|543927_2_4595_4947
+MANLSTSMALGKGKPGRSLWTPGISDLFNFIYKLEAKLQALPASNQELEMKGLLFSIQMTMGYSGICFESWTSCPSWNHRSFPLTCHRTRRHLQRHFDGGNRNMGSIQPSGLWMMFL
+>DECOY_NM_005045|543927_2_4595_4947
+LFMMWLGSPQISGMNRNGGDFHRQLHRRTRHCTLPFSRHNWSPCSTWSEFCIGSYGMTMQISFLLGKMELEQNSAPLAQLKAELKYIFNFLDSIGPTWLSRGPKGKGLAMSTSLNAM
+>NM_005045|543931_2_4775_4947
+MGYSGICFESWTSCPSWNHRSFPLTCHRTRRHLQRHFDGGNRNMGSIQPSGLWMMFL
+>DECOY_NM_005045|543931_2_4775_4947
+LFMMWLGSPQISGMNRNGGDFHRQLHRRTRHCTLPFSRHNWSPCSTWSEFCIGSYGM
+>NM_005045|543932_2_5228_5643
+MARTGILSPKSVFLQPLAVCITRKVQFTPRKDSRIGSGSLSTFHSPPFLPGPGSDGFRPTTLWGLIPGRLIMLYWPQGALGCAQDEGFVMLDAVCVTGALVDPIVFLLFLCPRFLKTISMGIYILTFGLKCMVQRGGI
+>DECOY_NM_005045|543932_2_5228_5643
+IGGRQVMCKLGFTLIYIGMSITKLFRPCLFLLFVIPDVLAGTVCVADLMVFGEDQACGLAGQPWYLMILRGPILGWLTTPRFGDSGPGPLFPPSHFTSLSGSGIRSDKRPTFQVKRTICVALPQLFVSKPSLIGTRAM
+>NM_005045|543939_2_6569_6642
+MDRGAVSMEPNVYVTLATQVQPVK
+>DECOY_NM_005045|543939_2_6569_6642
+KVPQVQTALTVYVNPEMSVAGRDM
+>NM_005045|543940_2_7055_7275
+MGTSTAPGLSIRFLLEEIFLVIRSWKMISQPLIVGNGCFTQEAPRCPCVALLVMPWSSLKRPAPVTWSAQTLP
+>DECOY_NM_005045|543940_2_7055_7275
+PLTQASWTVPAPRKLSSWPMVLLAVCPCRPAEQTFCGNGVILPQSIMKWSRIVLFIEELLFRISLGPATSTGM
+>NM_005045|543943_2_7622_7755
+MGDASRETASVMNSGVACTVMTPRPLFQPNSKTTSIELHPVRTG
+>DECOY_NM_005045|543943_2_7622_7755
+GTRVPHLEISTTKSNPQFLPRPTMVTCAVGSNMVSATERSADGM
+>NM_005045|543960_3_1449_1612
+MGCLGSCHWYRMWNDRIRLINGLPQRWREEIMHSIHGHYRLWEPEVLLCDGRNL
+>DECOY_NM_005045|543960_3_1449_1612
+LNRGDCLLVEPEWLRYHGHISHMIEERWRQPLGNILRIRDNWMRYWHCSGLCGM
+>NM_005045|543963_3_4260_4447
+MDKNHHCYSKVSCIQQDQIPMDPGEQLTEKRASIWFRWSVHIRALSQLLQWPWGLHFRSVFL
+>DECOY_NM_005045|543963_3_4260_4447
+LFVSRFHLGWPWQLLQSLARIHVSWRFWISARKETLQEGPDMPIQDQQICSVKSYCHHNKDM
+>NM_005045|543966_3_7377_7477
+MAPIGKGLSAYQCGMQSLSSATDPGVRHFQQVD
+>DECOY_NM_005045|543966_3_7377_7477
+DVQQFHRVGPDTASSLSQMGCQYASLGKGIPAM
+>NM_021120|544018_2_479_687
+MGATARRPCPRRRGPPPPLAPRPSSSPPAGMWGRCLLSQSRARAPPNSTAAAPAGGQSAPVPTGTGMSR
+>DECOY_NM_021120|544018_2_479_687
+RSMGTGTPVPASQGGAPAAATSNPPARARSQSLLCRGWMGAPPSSSPRPALPPPPGRRRPCPRRATAGM
+>NM_021120|544021_2_698_864
+MACSNMRKSYLRGATLAWASVSQVASTIPMSLMTLASLLPRLSLVEQLPWMGGWG
+>DECOY_NM_021120|544021_2_698_864
+GWGGMWPLQEVLSLRPLLSALTMLSMPITSAVQSVSAWALTAGRLYSKRMNSCAM
+>NM_031407|544197_2_6523_6596
+MGRKIKKGTGPLRKANRKARAANL
+>DECOY_NM_031407|544197_2_6523_6596
+LNAARAKRNAKRLPGTGKKIKRGM
+>NM_031407|544217_2_9115_9233
+MGQGNLPSHLRTAPHLHPLRALPPEILPWPFLEQIPEES
+>DECOY_NM_031407|544217_2_9115_9233
+SEEPIQELFPWPLIEPPLARLPHLHPATRLHSPLNGQGM
+>NM_031407|544222_2_11083_11156
+MGAAAVQTLRWCPLASLKTSYSSL
+>DECOY_NM_031407|544222_2_11083_11156
+LSSYSTKLSALPCWRLTQVAAAGM
+>NM_031407|544236_3_530_645
+MEHWKVRVISLGGPVGPLRWNTGRCWTDSGEYVMDARM
+>DECOY_NM_031407|544236_3_530_645
+MRADMVYEGSDTWCRGTNWRLPGVPGGLSIVRVKWHEM
+>NM_004924|544322_2_117_370
+MALAAGAAWATTWPRRTTGTGTCCWTRPGRSSSARPSRHGATPTCGRQAHRSRTLMRTSETGSSSCCSWRSYQGSGYLSRSGGR
+>DECOY_NM_004924|544322_2_117_370
+RGGSRSLYGSGQYSRWSCCSSSGTESTRMLTRSRHAQRGCTPTAGHRSPRASSSRGPRTWCCTGTGTTRRPWTTAWAAGAALAM
+>NM_004924|544330_2_2400_2503
+MAGRWGPRSSRPASSAWATTWRTTGRVRPSSTAS
+>DECOY_NM_004924|544330_2_2400_2503
+SATSSPRVRGTTRWTTAWASSAPRSSRPGWRGAM
+>NM_006044|544662_2_706_842
+MAWPSLGLLDITPSTVLWMAIACSTTWLWQPAMLNRNTASGGSLS
+>DECOY_NM_006044|544662_2_706_842
+SLSGGSATNRNLMAPQWLWTTSCAIAMWLVTSPTIDLLGLSPWAM
+>NM_006044|544670_2_2053_2240
+MELSTCLRMTPVCYMCPCTAMIMAPSSPWGMRVPAARSAGLRAQASPSTWHGTGPAWVMLTT
+>DECOY_NM_006044|544670_2_2053_2240
+TTLMVWAPGTGHWTSPSAQARLGASRAAPVRMGWPSSPAMIMATCPCMYCVPTMRLCTSLEM
+>NM_006044|544674_2_2119_2240
+MAPSSPWGMRVPAARSAGLRAQASPSTWHGTGPAWVMLTT
+>DECOY_NM_006044|544674_2_2119_2240
+TTLMVWAPGTGHWTSPSAQARLGASRAAPVRMGWPSSPAM
+>NM_006044|544678_2_3586_3680
+MEILDTRWSSATSTCQPGVTTVRPMSTTRLS
+>DECOY_NM_006044|544678_2_3586_3680
+SLRTTSMPRVTTVGPQCTSTASSWRTDLIEM
+>NM_032523|544732_3_1319_1434
+MENKKCQQRYKNTTAGSFQCYHVTSSLAFLQPQPLCRY
+>DECOY_NM_032523|544732_3_1319_1434
+YRCLPQPQLFALSSTVHYCQFSGATTNKYRQQCKKNEM
+>NM_032523|544734_3_2600_2697
+MDRTLWRSNHQKYQKQCLHLQTHICQGELLEF
+>DECOY_NM_032523|544734_3_2600_2697
+FELLEGQCIHTQLHLCQKQYKQHNSRWLTRDM
+>NM_005428|544756_2_173_504
+MGLRCVNWPRPSGMVSFCVSCLTTCYPMPSTCVRSTCAPRCPSSCALRTLEPSCPPAVRSSASSGASSSKPLTSSMCRILARSSTPCLLCPGPRSPRTGGSCPSPPRRRV
+>DECOY_NM_005428|544756_2_173_504
+VRRRPPSPCSGGTRPSRPGPCLLCPTSSRALIRCMSSTLPKSSSAGSSASSRVAPPCSPELTRLACSSPCRPACTSRVCTSPMPYCTTLCSVCFSVMGSPRPWNVCRLGM
+>NM_005428|544757_2_212_504
+MVSFCVSCLTTCYPMPSTCVRSTCAPRCPSSCALRTLEPSCPPAVRSSASSGASSSKPLTSSMCRILARSSTPCLLCPGPRSPRTGGSCPSPPRRRV
+>DECOY_NM_005428|544757_2_212_504
+VRRRPPSPCSGGTRPSRPGPCLLCPTSSRALIRCMSSTLPKSSSAGSSASSRVAPPCSPELTRLACSSPCRPACTSRVCTSPMPYCTTLCSVCFSVM
+>NM_005428|544766_2_1295_1437
+MAGPRSTGNSRSPRWNGAPRWTGMPSCSTKLYSSVSAGETPMTSRTL
+>DECOY_NM_005428|544766_2_1295_1437
+LTRSTMPTEGASVSSYLKTSCSPMGTWRPAGNWRPSRSNGTSRPGAM
+>NM_006865|544834_2_657_790
+MVMTRALPMCGLYPVISWGSWSQVFLRSHHSQCSRVLSWPLGRS
+>DECOY_NM_006865|544834_2_657_790
+SRGLPWSLVRSCQSHHSRLFVQSWSGWSIVPYLGCMPLARTMVM
+>NM_006988|544935_2_2232_2488
+MEGSTVKANECATDPVTLRTVQTIMEKPLERNNVKHTTSFQKLPLGVGLRWNGFPSTLASHQRTGASSSAKPKALATSSFCSPRL
+>DECOY_NM_006988|544935_2_2232_2488
+LRPSCFSSTALAKPKASSSAGTRQHSALTSPFGNWRLGVGLPLKQFSTTHKVNNRELPKEMITQVTRLTVPDTACENAKVTSGEM
+>NM_006988|544936_2_2304_2488
+MEKPLERNNVKHTTSFQKLPLGVGLRWNGFPSTLASHQRTGASSSAKPKALATSSFCSPRL
+>DECOY_NM_006988|544936_2_2304_2488
+LRPSCFSSTALAKPKASSSAGTRQHSALTSPFGNWRLGVGLPLKQFSTTHKVNNRELPKEM
+>NM_006988|544937_2_2613_2713
+MDLLVKKYQDQLLVQNLDIMISSQFQLEPPTSK
+>DECOY_NM_006988|544937_2_2613_2713
+KSTPPELQFQSSIMIDLNQVLLQDQYKKVLLDM
+>NM_006988|544938_2_2745_2818
+MAAFLPSKLLMAHIFLMVTTLCPP
+>DECOY_NM_006988|544938_2_2745_2818
+PPCLTTVMLFIHAMLLKSPLFAAM
+>NM_006988|544945_3_2386_2504
+MDSQVRWRLTKGQVQAHLPSQRHWLLLRFAAQGCRWYSM
+>DECOY_NM_006988|544945_3_2386_2504
+MSYWRCGQAAFRLLLWHRQSPLHAQVQGKTLRWRVQSDM
+>NM_001145103|545093_3_65_201
+MVDGEVPGWPVAGVPSEGAPSCHLLPPVAMARPAQPPRATGHGAV
+>DECOY_NM_001145103|545093_3_65_201
+VAGHGTARPPQAPRAMAVPPLLHCSPAGESPVGAVPWGPVEGDVM
+>NM_006247|545114_2_253_434
+MATAAWPTCALSAMATRWETPRGPLSWTRSTSRVITAGLPATWHWASSGPRCETTRRWSR
+>DECOY_NM_006247|545114_2_253_434
+RSWRRTTECRPGSSAWHWTAPLGATIVRSTSRTWSLPGRPTEWRTAMASLACTPWAATAM
+>NM_006247|545115_2_292_434
+MATRWETPRGPLSWTRSTSRVITAGLPATWHWASSGPRCETTRRWSR
+>DECOY_NM_006247|545115_2_292_434
+RSWRRTTECRPGSSAWHWTAPLGATIVRSTSRTWSLPGRPTEWRTAM
+>NM_006516|545185_2_679_755
+MGRASCPPRSPRSGPSQWPSFLLGA
+>DECOY_NM_006516|545185_2_679_755
+AGLLFSPWQSPGSRPSRPPCSARGM
+>NM_006516|545193_3_665_786
+MGPPLWGEHPAHHAHHALVPLSGHLFCWGHDWLLLCGPFR
+>DECOY_NM_006516|545193_3_665_786
+RFPGCLLLWDHGWCFLHGSLPVLAHHAHHAPHEGWLPPGM
+>NM_000902|545221_2_1897_2159
+MAETLTKMETSLTGGLNSLQVTLRSNPSAWCISMETFPGTWQVDSTLMELIHWEKTLLIMEVLVKHTEPIRIILKRMAKKNYFLDLT
+>DECOY_NM_000902|545221_2_1897_2159
+TLDLFYNKKAMRKLIIRIPETHKVLVEMILLTKEWHILEMLTSDVQWTGPFTEMSICWASPNSRLTVQLSNLGGTLSTEMKTLTEAM
+>NM_000902|545222_2_1918_2159
+METSLTGGLNSLQVTLRSNPSAWCISMETFPGTWQVDSTLMELIHWEKTLLIMEVLVKHTEPIRIILKRMAKKNYFLDLT
+>DECOY_NM_000902|545222_2_1918_2159
+TLDLFYNKKAMRKLIIRIPETHKVLVEMILLTKEWHILEMLTSDVQWTGPFTEMSICWASPNSRLTVQLSNLGGTLSTEM
+>NM_000902|545223_2_1996_2159
+METFPGTWQVDSTLMELIHWEKTLLIMEVLVKHTEPIRIILKRMAKKNYFLDLT
+>DECOY_NM_000902|545223_2_1996_2159
+TLDLFYNKKAMRKLIIRIPETHKVLVEMILLTKEWHILEMLTSDVQWTGPFTEM
+>NM_000902|545225_2_2074_2159
+MEVLVKHTEPIRIILKRMAKKNYFLDLT
+>DECOY_NM_000902|545225_2_2074_2159
+TLDLFYNKKAMRKLIIRIPETHKVLVEM
+>NM_000902|545232_3_188_279
+MDSTGDQPLGPCPAPHHHSCDNDRTLCNLR
+>DECOY_NM_000902|545232_3_188_279
+RLNCLTRDNDCSHHHPAPCPGLPQDGTSDM
+>NM_005422|545430_2_127_233
+MEAHLRLSWPSQFSSLAFLTALSMSITTELFPSMC
+>DECOY_NM_005422|545430_2_127_233
+CMSPFLETTISMSLATLFALSSFQSPWSLRLHAEM
+>NM_005422|545438_2_1678_1850
+MARSSAKPSRPMLLCAKPLAFQLETGEPRLGVCPQCSARASATTPCAQAAAPTHAPT
+>DECOY_NM_005422|545438_2_1678_1850
+TPAHTPAAAQACPTTASARASCQPCVGLRPEGTELQFALPKACLLMPRSPKASSRAM
+>NM_005422|545457_2_4801_4886
+MVSTSLKSASARGCRTKCAVSVATSTGT
+>DECOY_NM_005422|545457_2_4801_4886
+TGTSTAVSVACKTRCGRASASKLSTSVM
+>NM_005422|545459_2_5113_5411
+MGFSIPSHSTSPATWTAATATRSSSCAAPWPPTGRPAAPSGSLAPSGLRRRIAQEWLKIPVWGRTVPTELASWAMAGSCVAASSHPPMEITHMTLSMQR
+>DECOY_NM_005422|545459_2_5113_5411
+RQMSLTMHTIEMPPHSSAAVCSGAMAWSALETPVTRGWVPIKLWEQAIRRRLGSPALSGSPAAPRGTPPWPAACSSSRTATAATWTAPSTSHSPISFGM
+>NM_005422|545460_2_5335_5411
+MAGSCVAASSHPPMEITHMTLSMQR
+>DECOY_NM_005422|545460_2_5335_5411
+RQMSLTMHTIEMPPHSSAAVCSGAM
+>NM_005422|545465_3_443_633
+MGGSHVLWRQQHHTCEHLPGRPSVRWLLYIHPLQLLRNQLDHGDGEWRRPPDRSWWSDGTGRI
+>DECOY_NM_005422|545465_3_443_633
+IRGTGDSWWSRDPPRRWEGDGHDLQNRLLQLPHIYLLWRVSPRGPLHECTHHQQRWLVHSGGM
+>NM_030582|545536_2_223_365
+MVPQSQRQPLAALSHPQSCWKMARTPPLLPRARTRQRRTLPVSEPRS
+>DECOY_NM_030582|545536_2_223_365
+SRPESVPLTRRQRTRARPLLPPTRAMKWCSQPHSLAALPQRQSQPVM
+>NM_030582|545537_2_286_365
+MARTPPLLPRARTRQRRTLPVSEPRS
+>DECOY_NM_030582|545537_2_286_365
+SRPESVPLTRRQRTRARPLLPPTRAM
+>NM_030582|545539_2_511_929
+MGPLSGPAVAFLALRAPTQPRLAPCLHPPHRLPPWAGPGHHSRGPQCHHHLQSASARRWGCCSSLGTPRPSRSPRRMTPTSGWPTSLGQMPTVAKWPGTTSPASSSVTSHCCSTSGQPQRAQGCCSPSRTRRRPWSCWA
+>DECOY_NM_030582|545539_2_511_929
+AWCSWPRRRTRSPSCCGQARQPQGSTSCCHSTVSSSAPSTTGPWKAVTPMQGLSTPWGSTPTMRRPSRSPRPTGLSSCCGWRRASASQLHHHCQPGRSHHGPGAWPPLRHPPHLCPALRPQTPARLALFAVAPGSLPGM
+>NM_003815|545625_2_1623_1909
+MASPALAGKLCACTGVVPPMPSSASHFGDLEPSPLRHFASRQLILGEMLLGAVGATPVAVMCPAPLEMPFVGSSSARQVGPSLCWAPSGIYSGRQ
+>DECOY_NM_003815|545625_2_1623_1909
+QRGSYIGSPAWCLSPGVQRASSSGVFPMELPAPCMVAVPTAGVAGLLMEGLILQRSAFHRLPSPELDGFHSASSPMPPVVGTCACLKGALAPSAM
+>NM_003815|545630_2_2085_2182
+MDMGSVTATGTATVRRAGHPLTAPLSSKQPAP
+>DECOY_NM_003815|545630_2_2085_2182
+PAPQKSSLPATLPHGARRVTATGTATVSGMDM
+>NM_003815|545631_2_2091_2182
+MGSVTATGTATVRRAGHPLTAPLSSKQPAP
+>DECOY_NM_003815|545631_2_2091_2182
+PAPQKSSLPATLPHGARRVTATGTATVSGM
+>NM_130445|545702_3_72_229
+MAAAAAPPGRARAPGPAARGPRGLRGARAHQRGGGAAAAPWGPPAPAGHPDG
+>DECOY_NM_130445|545702_3_72_229
+GDPHGAPAPPGWPAAAAGGGRQHARAGRLGRPGRAAPGPARARGPPAAAAAM
+>NM_005198|545724_3_1059_1153
+MAFLQSKAHRLPHSRTAVAFYSSLPGRGKER
+>DECOY_NM_005198|545724_3_1059_1153
+REKGRGPLSSYFAVATRSHPLRHAKSQLFAM
+>NM_004618|545927_2_1445_1641
+MGTSLTKLTLPFTPPNTPTTYREMNSDCTSLLFAISWLAAPRMLRGRRPQWRSTSLRNALWPMAS
+>DECOY_NM_004618|545927_2_1445_1641
+SAMPWLANRLSTSRWQPRRGRLMRPAALWSIAFLLSTCDSNMERYTTPTNPPTFPLTLKTLSTGM
+>NM_004618|545932_2_1817_2004
+MALVRMPLMRSTSRPSKPGCTWASPQTSGSSLGTWAWDLWKVMIPWAMKCLSLTSGLNWKLI
+>DECOY_NM_004618|545932_2_1817_2004
+ILKWNLGSTLSLCKMAWPIMVKWLDWAWTGLSSGSTQPSAWTCGPKSPRSTSRMLPMRVLAM
+>NM_004618|545935_2_2138_2376
+MGQSWPSKKISTQPCQSPSGSAHSATRTWSLRPRRMAGSTSAAWVSQSVAQLCGFLTRCWRPAGTAVCVQFVSHTLCTG
+>DECOY_NM_004618|545935_2_2138_2376
+GTCLTHSVFQVCVATGAPRWCRTLFGCLQAVSQSVWAASTSGAMRRPRLSWTRTASHASGSPSQCPQTSIKKSPWSQGM
+>NM_004618|545936_2_2243_2376
+MAGSTSAAWVSQSVAQLCGFLTRCWRPAGTAVCVQFVSHTLCTG
+>DECOY_NM_004618|545936_2_2243_2376
+GTCLTHSVFQVCVATGAPRWCRTLFGCLQAVSQSVWAASTSGAM
+>NM_144993|545971_2_418_626
+MAQNALTTSSGWRGRSSLWSWKEGRSGPGSQGLCLLVRPASQHQAPGHSSAQRCPRSLPKRACPCPRVP
+>DECOY_NM_144993|545971_2_418_626
+PVRPCPCARKPLSRPCRQASSHGPAQHQSAPRVLLCLGQSGPGSRGEKWSWLSSRGRWGSSTTLANQAM
+>NM_144993|545974_2_2182_2276
+MERRGKPSGSRRSSTRGRRERAPAVAPLQSG
+>DECOY_NM_144993|545974_2_2182_2276
+GSQLPAVAPARERRGRTSSRRSGSPKGRREM
+>NM_144993|545975_2_2443_2726
+MGTPPAGDAASTMTGPALAKAKTPTPVVPPSPLVVPGACTSTAASMLGARHLASSASQGTIPKRKKCSGRVSRTWPPKSLPCTSDWPLRPIRTR
+>DECOY_NM_144993|545975_2_2443_2726
+RTRIPRLPWDSTCPLSKPPWTRSVRGSCKKRKPITGQSASSALHRAGLMSAATSTCAGPVVLPSPPVVPTPTKAKALAPGTMTSAADGAPPTGM
+>NM_144993|545983_2_3454_3578
+MAFHPATPSSPLSSWVLVPGGTVAAVAVLRRSQTSTLCTTA
+>DECOY_NM_144993|545983_2_3454_3578
+ATTCLTSTQSRRLVAVAAVTGGPVLVWSSLPSSPTAPHFAM
+>NM_144993|545984_2_3877_4184
+MEDPVTFGDSTQEAQACPPRGLTVWVAAGVCSRLGRVLPSSLTSSVPLGPAAWPLPTSQMASGGCSPVRGSRQLPTLEDGCEANRGAPASLGTAPRPWLGPA
+>DECOY_NM_144993|545984_2_3877_4184
+APGLWPRPATGLSAPAGRNAECGDELTPLQRSGRVPSCGGSAMQSTPLPWAAPGLPVSSTLSSPLVRGLRSCVGAAVWVTLGRPPCAQAEQTSDGFTVPDEM
+>NM_015908|546033_2_1259_1668
+MGTRKRRKKTPRRKPKRVARSGTGSTVVTTALTRAACQSLSRSQRAARLRRRRRRPKKRSRRRRSPRKKNGRSPRTPRGWSASRGRCIRPAPSSCATSRPTSPGPRSSPFVKGTQALCGWRSQSPSQRGGFSVVAG
+>DECOY_NM_015908|546033_2_1259_1668
+GAVVSFGGRQSPSQSRWGCLAQTGKVFPSSRPGPSTPRSTACSSPAPRICRGRSASWGRPTRPSRGNKKRPSRRRRSRKKPRRRRRRLRAARQSRSLSQCAARTLATTVVTSGTGSRAVRKPKRRPTKKRRKRTGM
+>NM_001127662|546075_2_608_687
+MATASSWTWATTSTSGVVPTAIGMKD
+>DECOY_NM_001127662|546075_2_608_687
+DKMGIATPVVGSTSTTAWTWSSATAM
+>NM_001127662|546077_2_989_1440
+MGKSLSGKASRQTRRRGRLPSKQPLTSSPRWTTPSRLRSRSFLRAVRPHCSSSSSRTGGTQTRQMAWACPTFPAISPTWSGCPSTPPPCTPPLPWPPSTAWMTMAQARNRSGESKVPTRCPWTLPHMDSSMEATATSFCTTTAMVAARGR
+>DECOY_NM_001127662|546077_2_989_1440
+RGRAAVMATTTCFSTATAEMSSDMHPLTWPCRTPVKSEGSRNRAQAMTMWATSPPWPLPPTCPPPTSPCGSWTPSIAPFTPCAWAMQRTQTGGTRSSSSSCHPRVARLFSRSRLRSPTTWRPSSTLPQKSPLRGRRRTQRSAKGSLSKGM
+>NM_001127662|546080_2_1298_1440
+MAQARNRSGESKVPTRCPWTLPHMDSSMEATATSFCTTTAMVAARGR
+>DECOY_NM_001127662|546080_2_1298_1440
+RGRAAVMATTTCFSTATAEMSSDMHPLTWPCRTPVKSEGSRNRAQAM
+>NM_001792|546102_2_649_728
+MEKEKYNMRAVSLQILRWMKMAWCMP
+>DECOY_NM_001792|546102_2_649_728
+PMCWAMKMWRLIQLSVARMNYKEKEM
+>NM_001682|546164_2_251_369
+METLELRSQSCGLSWSSGPQMHYEKYRKAMEMSMEFAPN
+>DECOY_NM_001682|546164_2_251_369
+NPAFEMSMEMAKRYKEYHMQPGSSWSLGCSQSRLELTEM
+>NM_001682|546168_2_1208_1317
+MVMKKIKRKQICQKRKNLFYKGNLQNWLFRLAKQVC
+>DECOY_NM_001682|546168_2_1208_1317
+CVQKALRFLWNQLNGKYFLNKRKQCIQKRKIKKMVM
+>NM_001682|546179_3_486_580
+MGSITRCHFNYIRNCSHSIIGPFFLSASRRG
+>DECOY_NM_001682|546179_3_486_580
+GRRSASLFFPGIISHSCNRIYNFHCRTISGM
+>NM_000784|546202_3_829_971
+MEGAPGPARPDLWAVHHGRTPLVPAAPGSEPAVAEASGSSALYGCFQ
+>DECOY_NM_000784|546202_3_829_971
+QFCGYLASSGSAEAVAPESGPAAPVLPTRGHHVAWLDPRAPGPAGEM
+>NM_001128852|546258_2_1259_1665
+MGTRKRRKKTPRRKPKRVARSGTGSTVVTTALTRAACQSLSRSQRAARLRRRRRRPKRSRRRRSPRKKNGRSPRTPRGWSASRGRCIRPAPSSCATSRPTSPGPRSSPFVKGTQALCGWRSQSPSQRGGFSVVAG
+>DECOY_NM_001128852|546258_2_1259_1665
+GAVVSFGGRQSPSQSRWGCLAQTGKVFPSSRPGPSTPRSTACSSPAPRICRGRSASWGRPTRPSRGNKKRPSRRRRSRKPRRRRRRLRAARQSRSLSQCAARTLATTVVTSGTGSRAVRKPKRRPTKKRRKRTGM
+>NM_006941|546311_2_984_1132
+MAHPPLQPPRRQSCSRARQTRSGTGAPWGRAGSLTSTSATWTLVRSATR
+>DECOY_NM_006941|546311_2_984_1132
+RTASRVLTWTASTSTLSGARGWPAGTGSRTQRARSCSQRRPPQLPPHAM
+>NM_006941|546312_2_1230_1345
+MGWAVPWPWPVDTPPGSPSHQAWLCPRSHHLVWMPKPR
+>DECOY_NM_006941|546312_2_1230_1345
+RPKPMWVLHHSRPCLWAQHSPSGPPTDVPWPWPVAWGM
+>NM_000775|546412_3_403_506
+MEGAKKVHSDSTKELWFRKEELRGTHSGGGPTPH
+>DECOY_NM_000775|546412_3_403_506
+HPTPGGGSHTGRLEEKRFWLEKTSDSHVKKAGEM
+>NM_000095|546464_2_778_851
+MARGRACVPLAGPATGSSVVATLT
+>DECOY_NM_000095|546464_2_778_851
+TLTAVVSSGTAPGALPVCARGRAM
+>NM_000095|546466_2_952_1244
+MASETPAIRMPTGTGSPMKRTTARWCGTQTSATRTRTSGAMRATTAGPRRTTTKRTQTRTAGAMRATTTSTATGSATRPTTALGYPTQTRRTVMAMV
+>DECOY_NM_000095|546466_2_952_1244
+VMAMVTRRTQTPYGLATTPRTASGTATSTTTARMAGATRTQTRKTTTRRPGATTARMAGSTRTRTASTQTGCWRATTRKMPSGTGTPMRIAPTESAM
+>NM_000095|546474_2_1345_1577
+METDIRTLGTTVPRCLTVPRRTQTTMARVMPATTTTTMTESLTVGTTAAWCLTPARRTRTGTAWATCARTTLMQTRW
+>DECOY_NM_000095|546474_2_1345_1577
+WRTQMLTTRACTAWATGTRTRRAPTLCWAATTGVTLSETMTTTTTAPMVRAMTTQTRRPVTLCRPVTTGLTRIDTEM
+>NM_000095|546475_2_1420_1577
+MARVMPATTTTTMTESLTVGTTAAWCLTPARRTRTGTAWATCARTTLMQTRW
+>DECOY_NM_000095|546475_2_1420_1577
+WRTQMLTTRACTAWATGTRTRRAPTLCWAATTGVTLSETMTTTTTAPMVRAM
+>NM_003842|546685_2_588_670
+MDRTIALTGMTSFSACAAPGVIQVKWS
+>DECOY_NM_003842|546685_2_588_670
+SWKVQIVGPAACASFSTMGTLAITRDM
+>NM_000765|546749_3_479_660
+MEENTIIAVSNIHQRKTQGDGPYHCPVWRCVGEKSEAGSRDRQACHLETRLWGLQHGCDH
+>DECOY_NM_000765|546749_3_479_660
+HDCGHQLGWLRTELHCAQRDRSGAESKEGVCRWVPCHYPGDGQTKRQHINSVAIITNEEM
+>NM_018190|546785_2_763_1064
+MEMAVTLEKTFCLGHQTENLRLYRLLHPNQYASGKFKMRKREEVFCVLTALTLWVMGLKIYLLGEMTEWWKCIVLIMQMNLFYDLIRCCLKASHLSRVVV
+>DECOY_NM_018190|546785_2_763_1064
+VVVRSLHSAKLCCRILDYFLNMQMILVICKWWETMEGLLYIKLGMVWLTLATLVCFVEERKRMKFKGSAYQNPHLLRYLRLNETQHGLCFTKELTVAMEM
+>NM_018190|546786_2_769_1064
+MAVTLEKTFCLGHQTENLRLYRLLHPNQYASGKFKMRKREEVFCVLTALTLWVMGLKIYLLGEMTEWWKCIVLIMQMNLFYDLIRCCLKASHLSRVVV
+>DECOY_NM_018190|546786_2_769_1064
+VVVRSLHSAKLCCRILDYFLNMQMILVICKWWETMEGLLYIKLGMVWLTLATLVCFVEERKRMKFKGSAYQNPHLLRYLRLNETQHGLCFTKELTVAM
+>NM_018190|546788_2_928_1064
+MGLKIYLLGEMTEWWKCIVLIMQMNLFYDLIRCCLKASHLSRVVV
+>DECOY_NM_018190|546788_2_928_1064
+VVVRSLHSAKLCCRILDYFLNMQMILVICKWWETMEGLLYIKLGM
+>NM_006147|546856_3_1396_1487
+MARWETIGKETHLGSGHSSSGSDDLRDVFW
+>DECOY_NM_006147|546856_3_1396_1487
+WFVDRLDDSGSSSHGSGLHTEKGITEWRAM
+>NM_000447|546873_2_545_663
+MERTLPSGEARRTRRTVRRTLTAMSVVGFPGGRQAWRKS
+>DECOY_NM_000447|546873_2_545_663
+SKRWAQRGGPFGVVSMATLTRRVTRRTRRAEGSPLTREM
+>NM_000447|546874_2_773_846
+MDSSSTRHSLRTHPRWASASSTPC
+>DECOY_NM_000447|546874_2_773_846
+CPTSSASAWRPHTRLSHRTSSSDM
+>NM_002971|546908_2_3464_3852
+MATGRPTLSMFQQSRFSNSSSNSNSSSSSSRHRRLHSHSSSHRQALGSPHGNPRWPLQQSQMRKTDRRPGHEQKFQWKPWESSRVSYKTWACTLTKRPSRLCLPSSTFPSTPSSSSFRTSGTISSTTAN
+>DECOY_NM_002971|546908_2_3464_3852
+NATTSSITGSTRFSSSSPTSPFTSSPLCLRSPRKTLTCAWTKYSVRSSEWPKWQFKQEHGPRRDTKRMQSQQLPWRPNGHPSGLAQRHSSSHSHLRRHRSSSSSSNSNSSSNSFRSQQFMSLTPRGTAM
+>NM_002971|546913_3_2283_2488
+MVAHHSEECSEGLTERYESEFIGQGVPPFTEYDFFHCEQYLLCKCLSSKMSRIWKVVQTFQEDKRYDG
+>DECOY_NM_002971|546913_3_2283_2488
+GDYRKDEQFTQVVKWIRSMKSSLCKCLLYQECHFFDYETFPPVGQGIFESEYRETLGESCEESHHAVM
+>NM_002971|546914_3_3312_3424
+MVVRAVTLERRSFSRKQNPVGEPLHDPKVPQSSSART
+>DECOY_NM_002971|546914_3_3312_3424
+TRASSSQPVKPDHLPEGVPNQKRSFSRRELTVARVVM
+>NM_013314|546975_2_1418_1524
+MVKSTLEVLLKSSGIINIVLWFLLTVRITQKIPPD
+>DECOY_NM_013314|546975_2_1418_1524
+DPPIKQTIRVTLLFWLVINIIGSSKLLVELTSKVM
+>NM_020742|547090_2_1128_1207
+MGSWIRFKHCGGLRRMWEPLAGTPRE
+>DECOY_NM_020742|547090_2_1128_1207
+ERPTGALPEWMRRLGGCHKFRIWSGM
+>NM_020742|547097_3_487_581
+MASFVVHPGLRHVKLQCPPVVNCSCHQVHPH
+>DECOY_NM_020742|547097_3_487_581
+HPHVQHCSCNVVPPCQLKVHRLGPHVVFSAM
+>NM_007023|547134_2_989_1170
+MVFSTTWTRSTISKTNIYSIDFWMMSTRMPLCLLRRRRRSVMRSSRTPCCCCHRWAPTPT
+>DECOY_NM_007023|547134_2_989_1170
+TPTPAWRHCCCCPTRSSRMVSRRRRRLLCLPMRTSMMWFDISYINTKSITSRTWTTSFVM
+>NM_152896|547253_2_1790_1929
+MGLILLYWLVDLRMKSTEVMSSHTLEAVVKILLVTKELVHLQLIKH
+>DECOY_NM_152896|547253_2_1790_1929
+HKILQLHVLEKTVLLIKVVAELTHSSMVETSKMRLDVLWYLLILGM
+>NM_005698|547354_2_836_1128
+MAQALGFLSSGSSFSLPAPLSAGTAPCIRLSGVTVHSISSFSSSFSSSRMCSLSSRPLVSQVGDSVAGSLLWWCRRATQQYPCSCCWSPCSSLALLC
+>DECOY_NM_005698|547354_2_836_1128
+CLLALSSCPSWCCSCPYQQTARRCWWLLSGAVSDGVQSVLPRSSLSCMRSSSFSSSFSSISHVTVGSLRICPATGASLPAPLSFSSGSSLFGLAQAM
+>NM_002956|547394_2_915_1042
+MALLLEQGIFSVNPNMACSLLSTKLPRLASLPLHQPKPRPTQ
+>DECOY_NM_002956|547394_2_915_1042
+QTPRPKPQHLPLSALRPLKTSLLSCAMNPNVSFIGQELLLAM
+>NM_002956|547395_2_960_1042
+MACSLLSTKLPRLASLPLHQPKPRPTQ
+>DECOY_NM_002956|547395_2_960_1042
+QTPRPKPQHLPLSALRPLKTSLLSCAM
+>NM_001104|547446_2_241_419
+MASNSCCSWRSFQVRGCLGQIKARCASTKSPTLTRPWTSLPARGLNWCPLVLKRLLTGT
+>DECOY_NM_001104|547446_2_241_419
+TGTLLRKLVLPCWNLGRAPLSTWPRTLTPSKTSACRAKIQGLCGRVQFSRWSCCSNSAM
+>NM_001104|547447_2_583_674
+MAWPSVPSSTDTALTSSTTPNCERMTPSET
+>DECOY_NM_001104|547447_2_583_674
+TESPTMRECNPTTSSTLATDTSSPVSPWAM
+>NM_032457|547481_2_3595_4055
+MAMKPAKKITKTFLHPNSMTNLKSLKRTRKTKNLSSLSTAALSLWRLLSQMDRGMIVSMRSCQTAQAWGDTGPLMVGPAVLTWQGITNLVPHCLLFSFIPSHQLQEKNTRPYKIYHQPTHLWEQETTFQLDQITALSTAVKPITSTANSHFVE
+>DECOY_NM_032457|547481_2_3595_4055
+EVFHSNATSTIPKVATSLATIQDLQFTTEQEWLHTPQHYIKYPRTNKEQLQHSPIFSFLLCHPVLNTIGQWTLVAPGVMLPGTDGWAQATQCSRMSVIMGRDMQSLLRWLSLAATSLSSLNKTKRTRKLSKLNTMSNPHLFTKTIKKAPKMAM
+>NM_032457|547490_2_4294_4457
+MATQTPAGCRSALLRRGRRASLNCPLSCLLMNEEARKSWPMGRPPSWVTATETS
+>DECOY_NM_032457|547490_2_4294_4457
+STETATVWSPPRGMPWSKRAEENMLLCSLPCNLSARRGRRLLASRCGAPTQTAM
+>NM_003042|547638_3_755_1026
+MEAGSYVQGRGPCGCCAIILAEHLLHRHHLLGHLLPVQLLHHDTAVETVRQPLEHRPLLLQLQHGQHYQHDQRCGGVLGAQHASDDGRAG
+>DECOY_NM_003042|547638_3_755_1026
+GARGDDSAHQAGLVGGCRQDHQYHQGHQLQLLLPRHELPQRVTEVATDHHLLQVPLLHGLLHHRHLLHEALIIACCGCPGRGQVYSGAEM
+>NM_015046|547750_2_460_536
+MERCHCLTSLGKTLKISFEFLFLKY
+>DECOY_NM_015046|547750_2_460_536
+YKLFLFEFSIKLTKGLSTLCHCREM
+>NM_000261|547788_2_821_1056
+MVCGCETPSPPTPTPRRPRGESTQLARMSARFLSMTSSASLCRATLLRFTYCLGHWKARVLWCTRGASISRALSPELS
+>DECOY_NM_000261|547788_2_821_1056
+SLEPSLARSISAGRTCWLVRAKWHGLCYTFRLLTARCLSASSTMSLFRASMRALQTSEGRPRRPTPTPPSPTECGCVM
+>NM_002975|547825_2_236_315
+MGLGEQRGSGREAGEVPRRRSGRGRP
+>DECOY_NM_002975|547825_2_236_315
+PRGRGSRRRPVEGAERGSGRQEGLGM
+>NM_022802|547845_2_690_883
+MDGSSPTPGMGRRCLPTPSARSSATSAKDPLTLPLPDRWPRRAWLWTPVQLLPPKAAQGWPQGP
+>DECOY_NM_022802|547845_2_690_883
+PGQPWGQAAKPPLLQVPTWLWARRPWRDPLPLTLPDKASTASSRASPTPLCRRGMGPTPSSGDM
+>NM_022802|547846_2_717_883
+MGRRCLPTPSARSSATSAKDPLTLPLPDRWPRRAWLWTPVQLLPPKAAQGWPQGP
+>DECOY_NM_022802|547846_2_717_883
+PGQPWGQAAKPPLLQVPTWLWARRPWRDPLPLTLPDKASTASSRASPTPLCRRGM
+>NM_022802|547850_2_2388_2518
+MGSSGPWACRGSTPCRICCIRATASPCTAISTNITTTSSMTLP
+>DECOY_NM_022802|547850_2_2388_2518
+PLTMSSTTTINTSIATCPSATARICCIRCPTSGRCAWPGSSGM
+>NM_001869|547866_2_617_876
+MERTHPSLPFWMPWISSSCQSQTLMDTCSLKPKIVCGGRPGPRYLEASVLVWILTGTGMQVLEDLEPAATLALIHTTDPVPTLKLK
+>DECOY_NM_001869|547866_2_617_876
+KLKLTPVPDTTHILALTAAPELDELVQMGTGTLIWVLVSAELYRPGPRGGCVIKPKLSCTDMLTQSQCSSSIWPMWFPLSPHTREM
+>NM_170672|547980_2_2296_2384
+MGHCLEAPRCPQRRMRCLSSLESLLDTGI
+>DECOY_NM_170672|547980_2_2296_2384
+IGTDLLSELSSLCRMRRQPCRPAELCHGM
+>NM_000766|548069_2_202_413
+MALCSPFTWGPGGSWCCADMMPSRRLWWTRLRSSAGEASRPPSTGSSKAMAWRSATGSAPSSSGASPSPP
+>DECOY_NM_000766|548069_2_202_413
+PPSPSAGSSSPASGTASRWAMAKSSGTSPPRSAEGASSRLRTWWLRRSPMMDACCWSGGPGWTFPSCLAM
+>NM_130444|548133_2_511_824
+MGPLSGPAVAFLALRAPTQPRLAPCLHPPHRLPPWAGPGHHSRGPQCHHHLQVELLSPPCWAGPLPGEACRTQTAKDSRPPQPLPASSSNALTSACARHFCTPW
+>DECOY_NM_130444|548133_2_511_824
+WPTCFHRACASTLANSSSAPLPQPPRSDKATQTRCAEGPLPGAWCPPSLLEVQLHHHCQPGRSHHGPGAWPPLRHPPHLCPALRPQTPARLALFAVAPGSLPGM
+>NM_130444|548135_2_1339_1634
+MGTVCSLGRLQSASARRWGCCSSLGTPRPSRSPRRMTPTSGWPTSLGQMPTVAKWPGTTSPASSSVTSHCCSTSGQPQRAQGCCSPSRTRRRPWSCWA
+>DECOY_NM_130444|548135_2_1339_1634
+AWCSWPRRRTRSPSCCGQARQPQGSTSCCHSTVSSSAPSTTGPWKAVTPMQGLSTPWGSTPTMRRPSRSPRPTGLSSCCGWRRASASQLRGLSCVTGM
+>NM_130806|548279_2_267_376
+MARMTVGTGRTKRTVVTLVDGRPYLAQCMEMLTAWP
+>DECOY_NM_130806|548279_2_267_376
+PWATLMEMCQALYPRGDVLTVVTRKTRGTGVTMRAM
+>NM_130806|548283_2_1779_1855
+MEYVSHFIMTKQKILEAKGILLEFS
+>DECOY_NM_130806|548283_2_1779_1855
+SFELLIGKAELIKQKTMIFHSVYEM
+>NM_021101|548304_3_270_421
+MDRRHRQHCPAPVEDLLLCRRQHRDRPGHVRGAVDVLRVAEHRADPVQSL
+>DECOY_NM_021101|548304_3_270_421
+LSQVPDARHEAVRLVDVAGRVHGPRDRHQRRCLLLDEVPAPCHQRHRRDM
+>NM_021111|548337_2_1854_2173
+MEHPLVLTAMSVLVLLAIWCALPAFASVSTVQKMTVVPSQVCPVTVQISLSLYVGRMGALTPVPALLAVWASKTISLSLDHACQRIHVILIPAKKTKGAYPNHRSA
+>DECOY_NM_021111|548337_2_1854_2173
+ASRHNPYAGKTKKAPILIVHIRQCAHDLSLSITKSAWVALLAPVPTLAGMRGVYLSLSIQVTVPCVQSPVVTMKQVTSVSAFAPLACWIALLVLVSMATLVLPHEM
+>NM_021111|548340_2_2022_2173
+MGALTPVPALLAVWASKTISLSLDHACQRIHVILIPAKKTKGAYPNHRSA
+>DECOY_NM_021111|548340_2_2022_2173
+ASRHNPYAGKTKKAPILIVHIRQCAHDLSLSITKSAWVALLAPVPTLAGM
+>NM_021111|548342_2_2376_2581
+MVRPTAVCVLPTRIAWQSITMGTARPSESSQSTAPSPSVLLSSVLRSWQLDANPSSHRVLVAHYVLGC
+>DECOY_NM_021111|548342_2_2376_2581
+CGLVYHAVLVRHSSPNADLQWSRLVSSLLVSPSPATSQSSESPRATGMTISQWAIRTPLVCVATPRVM
+>NM_021111|548343_2_2436_2581
+MGTARPSESSQSTAPSPSVLLSSVLRSWQLDANPSSHRVLVAHYVLGC
+>DECOY_NM_021111|548343_2_2436_2581
+CGLVYHAVLVRHSSPNADLQWSRLVSSLLVSPSPATSQSSESPRATGM
+>NM_022444|548381_3_327_574
+MEFAQENCSENGDDGWCKSCMADAGVHEQHCLFVYVAQQHLDGCHGDAHCGGCSAADHQCRSRGRGHSDDLLQRINQPRTRN
+>DECOY_NM_022444|548381_3_327_574
+NRTRPQNIRQLLDDSHGRGRSRCQHDAASCGGCHADGHCGDLHQQAVYVFLCHQEHVGADAMCSKCWGDDGNESCNEQAFEM
+>NM_022444|548383_3_873_961
+MVYVFLPSCPYHSTLILDLASVAFPRIQF
+>DECOY_NM_022444|548383_3_873_961
+FQIRPFAVSALDLILTSHYPCSPLFVYVM
+>NM_017694|548416_2_1294_1460
+MGCSACGAPWAGAWRCCLWASGSTTPTSKCSSMERGVSPPSTGITRSSSSSSAFS
+>DECOY_NM_017694|548416_2_1294_1460
+SFASSSSSSRTIGTSPPSVGREMSSCKSTPTTSGSAWLCCRWAGAWPAGCASCGM
+>NM_017694|548418_2_1663_1787
+MAQCCLWLGSWVLDMASCSPFSTGIWKTSMELQPSLGSVQS
+>DECOY_NM_017694|548418_2_1663_1787
+SQVSGLSPQLEMSTKWIGTSFPSCSAMDLVWSGLWLCCQAM
+>NM_017694|548419_2_1705_1787
+MASCSPFSTGIWKTSMELQPSLGSVQS
+>DECOY_NM_017694|548419_2_1705_1787
+SQVSGLSPQLEMSTKWIGTSFPSCSAM
+>NM_145739|548541_3_998_1188
+MENKKCQQRYKNTTAGRATREGPVQHNSAPAEASGSSGYNSSFQCYHVTSSLAFLQPQPLCRY
+>DECOY_NM_145739|548541_3_998_1188
+YRCLPQPQLFALSSTVHYCQFSSNYGSSGSAEAPASNHQVPGERTARGATTNKYRQQCKKNEM
+>NM_000276|548570_2_1939_2093
+MDRFPAIFLSSLNLMTASTASHGFGLNLLRATWSQMRQWTFLLMCMSAKTL
+>DECOY_NM_000276|548570_2_1939_2093
+LTKASMCMLLFTWQRMQSWTARLLNLGFGHSATSATMLNLSSLFIAPFRDM
+>NM_080928|548593_2_766_917
+MGRRCCLRQQEVAIPTAFPSCWNMEEAEMYLTEQDIFLYTELPMRGIILH
+>DECOY_NM_080928|548593_2_766_917
+HLIIGRMPLETYLFIDQETLYMEAEEMNWCSPFATPIAVEQQRLCCRRGM
+>NM_080928|548594_2_835_917
+MEEAEMYLTEQDIFLYTELPMRGIILH
+>DECOY_NM_080928|548594_2_835_917
+HLIIGRMPLETYLFIDQETLYMEAEEM
+>NM_080928|548595_2_1030_1175
+MVLMSTLYLLTTFPRAMTMRGRLRCILAFLIMTFIAQKSFWLQVQTQT
+>DECOY_NM_080928|548595_2_1030_1175
+TQTQVQLWFSKQAIFTMILFALICRLRGRMTMARPFTTLLYLTSMLVM
+>NM_080928|548599_2_1354_1466
+MAIKWRCALTACMVTSLEIHLCGQRYRKRCCQDGHLV
+>DECOY_NM_080928|548599_2_1354_1466
+VLHGDQCCRKRYRQGCLHIELSTVMCATLACRWKIAM
+>NM_080928|548601_3_1610_1767
+MARNPPNTRESLFIEAFVSVKNSKAYGSPETLPASLSGEASSTTSYSKIHII
+>DECOY_NM_080928|548601_3_1610_1767
+IIHIKSYSTTSSAEGSLSAPLTEPSGYAKSNKVSVFAEIFLSERTNPPNRAM
+>NM_000277|548737_2_884_1053
+MERNWMLTTLVLKILCTVQDGSSLLTLPTTTAMGSPSLEWNTWRKKRKHGAQCSRL
+>DECOY_NM_000277|548737_2_884_1053
+LRSCQAGHKRKKRWTNWELSPSGMATTTPLTLLSSGDQVTCLIKLVLTTLMWNREM
+>NM_000277|548739_2_980_1053
+MGSPSLEWNTWRKKRKHGAQCSRL
+>DECOY_NM_000277|548739_2_980_1053
+LRSCQAGHKRKKRWTNWELSPSGM
+>NM_000277|548743_2_1283_1491
+MDPSPCIPPNLTSAMSCWDMCPCFQIAALPSFPRKLALPLWVHLMNTLKSSPQFTGLLWSLGSANKETP
+>DECOY_NM_000277|548743_2_1283_1491
+PTEKNASGLSWLLGTFQPSSKLTNMLHVWLPLALKRPFSPLAAIQFCPCMDWCSMASTLNPPICPSPDM
+>NM_000458|548863_2_861_1012
+MGLGSPMMPALSPPTRRCAATGSNGGPRPSKSCTRPTIGKRTPARKRERP
+>DECOY_NM_000458|548863_2_861_1012
+PRERKRAPTRKGITPRTCSKSPRPGGNSGTAACRRTPPSLAPMMPSGLGM
+>NM_004058|548915_2_364_488
+MAAGRWIWRSSFGRCGPPCPRPGRLSSQLHLPSWTAVGTAS
+>DECOY_NM_004058|548915_2_364_488
+SATGVATWSPLHLQSSLRGPRPCPPGCRGFSSRWIWRGAAM
+>NM_175607|548946_2_3159_3268
+MAAAVNKFEFQRYQMPTREDLGLPLRMHVRCQPSVQ
+>DECOY_NM_175607|548946_2_3159_3268
+QVSPQCRVHMRLPLGLDERTPMQYRQFEFKNVAAAM
+>NM_030943|548964_2_973_1271
+MGPRQAERGGWPGPSWRTSPRTARPSASWRRPCGSRAHTSGAAPRLGWRAAWRLPCCWRCWSCWWRRRCCAARGGSGGGGTRRRPRLERPSASATRCST
+>DECOY_NM_030943|548964_2_973_1271
+TSCRTASASPRELRPRRRTGGGGSGGRAACCRRRWWCSWCRWCCPLRWAARWGLRPAAGSTHARSGCPRRWSASPRATRPSTRWSPGPWGGREAQRPGM
+>NM_007013|549023_2_767_846
+MVMPYMKMESLQQGQLPGWLLKARME
+>DECOY_NM_007013|549023_2_767_846
+EMRAKLLWGPLQGQQLSEMKMYPMVM
+>NM_007013|549033_2_2882_2994
+MGLKSFALKKLAKTLGYQEAIHVLIAWIYHHIRVMNN
+>DECOY_NM_007013|549033_2_2882_2994
+NNMVRIHHYIWAILVHIAEQYGLTKALKKLAFSKLGM
+>NM_003362|549075_2_567_667
+MDLIKLTGSALVFKGLFRLRPVWRTFIKSCLQT
+>DECOY_NM_003362|549075_2_567_667
+TQLCSKIFTRWVPRLRFLGKFVLASGTLKILDM
+>NM_003362|549076_2_690_823
+MEIYLGGPSKVFSFSTLSSRFVPIKPTLIRSEAGSSSLMQLCPG
+>DECOY_NM_003362|549076_2_690_823
+GPCLQMLSSSGAESRILTPKIPVFRSSLTSFSFVKSPGGLYIEM
+>NM_001098540|549096_2_484_608
+MDPSLLMWRRSYGWNGPTRSNCYSENTTRKSSRTAPTQEAL
+>DECOY_NM_001098540|549096_2_484_608
+LAEQTPATRSSKRTTNESYCNSRTPGNWGYSRRWMLLSPDM
+>NM_001098540|549101_3_527_705
+MALPGAIATPRTLPEKVQEQHLLKKLCRCAIHFCKLLRTGLDLWPKCVIKNSRFAVEQF
+>DECOY_NM_001098540|549101_3_527_705
+FQEVAFRSNKIVCKPWLDLGTRLLKCFHIACRCLKKLLHQEQVKEPLTRPTAIAGPLAM
+>NM_004747|549180_2_2276_2391
+MECMLPLCCLEALPLKKGPLLWETGSLRSMALHWTTSL
+>DECOY_NM_004747|549180_2_2276_2391
+LSTTWHLAMSRLSGTEWLLPGKKLPLAELCCLPLMCEM
+>NM_004747|549183_2_3314_3447
+MGTPPTCRPRNPVMRTSPPRRWMSWGRSVAGQNLLPVFGRSLLQ
+>DECOY_NM_004747|549183_2_3314_3447
+QLLSRGFVPLLNQGAVSRGWSMWRRPPSTRMVPNRPRCTPPTGM
+>NM_019555|549212_2_1427_1572
+MDPKVRPTRYKPMTLSTNSSGLTVFVKPKKQFCVLPGKLGCLTPRDRS
+>DECOY_NM_019555|549212_2_1427_1572
+SRDRPTLCGLKGPLVCFQKKPKVFVTLGSSNTSLTMPKYRTPRVKPDM
+>NM_015891|549252_3_1361_1506
+MGYPCGFQVHSRTQYALNACSDFVSKWKMASMPINGQPNLNFWSTEQI
+>DECOY_NM_015891|549252_3_1361_1506
+IQETSWFNLNPQGNIPMSAMKWKSVFDSCANLAYQTRSHVQFGCPYGM
+>NM_005068|549321_2_1546_1700
+MALRLTTRGWWKRGISIPRPVKEADVRQAGTSWERRRPGGSPGGALAQPCP
+>DECOY_NM_005068|549321_2_1546_1700
+PCPQALAGGPSGGPRRREWSTGAQRVDAEKVPRPISIGRKWWGRTTLRLAM
+>NM_005068|549328_3_1121_1302
+MGAELRDHRAQQSLLQATLYRQRQLCPHRHRIQRAAALPGSDLSLQTSLLLYQQLHPHHD
+>DECOY_NM_005068|549328_3_1121_1302
+DHHPHLQQYLLLSTQLSLDSGPLAAARQIRHRHPCLQRQRYLTAQLLSQQARHDRLEAGM
+>NM_032817|549346_2_173_246
+MEFWETLSLDMTSIENRALGTSRF
+>DECOY_NM_032817|549346_2_173_246
+FRSTGLARNEISTMDLSLTEWFEM
+>NM_032817|549351_2_488_630
+MGKCTFTICHPLEAQTSTGPCRGPSGSSTSTWPTVALETGACPSSSS
+>DECOY_NM_032817|549351_2_488_630
+SSSSPCAGTELAVTPWTSTSSGSPGRCPGTSTQAELPHCITFTCKGM
+>NM_032817|549364_3_444_847
+MEGPLDISHSRQHQGWESVHSPYVTHWRHRHQRGPAEGHQAPQQVRGPQWHWRPERVPHRLPDGWEAHGRGDAHPQDPQQHPRGRPRPSLHLHHWHRQRRGLQAAGETVAGELWPHTARARGGGRRLAAHRVLR
+>DECOY_NM_032817|549364_3_444_847
+RLVRHAALRRGGGRARATHPWLEGAVTEGAAQLGRRQRHWHHLHLSPRPRGRPHQQPDQPHADGRGHAEWGDPLRHPVREPRWHWQPGRVQQPAQHGEAPGRQHRHRWHTVYPSHVSEWGQHQRSHSIDLPGEM
+>NM_001080420|549393_2_157_347
+MGFSSRPPGAAPASSWMRSGSCRSTRPTWTRPCPTWSFDTSGEFMPRTSSMISSLQSFTQRRT
+>DECOY_NM_001080420|549393_2_157_347
+TRRQTFSQLSSIMSSTRPMFEGSTDFSWTPCPRTWTPRTSRCSGSRMWSSAPAAGPPRSSFGM
+>NM_001080420|549395_2_511_596
+MVVPTWTSALAMGSLPCTVPHASGMRQH
+>DECOY_NM_001080420|549395_2_511_596
+HQRMGSAHPVTCPLSGMALASTWTPVVM
+>NM_001080420|549397_2_745_1136
+MAGRRSTRPAALGTCSIWSTCCSMGQTWGPRTPRGTQPCTSVPSTTRRAVLVSCSSVELTGMSATTTARQPSRWPSSQGTLSLQRLSRPTKTRMLYHSGKPPAMRSGGDWLAPVAWHPLGLCSAQPAIST
+>DECOY_NM_001080420|549397_2_745_1136
+TSIAPQASCLGLPHWAVPALWDGGSRMAPPKGSHYLMRTKTPRSLRQLSLTGQSSPWRSPQRATTTASMGTLEVSSCSVLVARRTTSPVSTCPQTGRPTRPGWTQGMSCCTSWISCTGLAAPRTSRRGAM
+>NM_001080420|549405_2_3055_3278
+MARGSRSAARARPRTGGWRSGAAPLCSCPWGPSRAAPPARICHPYSPPAPSTSASWGPAPPPAATCCCPPRCLP
+>DECOY_NM_001080420|549405_2_3055_3278
+PLCRPPCCCTAAPPPAPGWSASTSPAPPSYPHCIRAPPAARSPGWPCSCLPAAGSRWGGTRPRARAASRSGRAM
+>NM_003739|549417_2_103_188
+MATSCLYWDLAPMHLQRFREVKLWRSQN
+>DECOY_NM_003739|549417_2_103_188
+NQSRWLKVERFRQLHMPALDWYLCSTAM
+>NM_000057|549457_2_2828_2979
+MGSLLLLTMLASVILPEMKCSRSGLIRMAVRLSVLQLHLEWGLTNRTCDL
+>DECOY_NM_000057|549457_2_2828_2979
+LDCTRNTLGWELHLQLVSLRVAMRILGSRSCKMEPLIVSALMTLLLLSGM
+>NM_000057|549461_2_3239_3327
+MDLILIFVRNTQMFLVIIAVKQRIIKQEM
+>DECOY_NM_000057|549461_2_3239_3327
+MEQKIIRQKVAIIVLFMQTNRVFILILDM
+>NM_001111|549726_2_1515_1588
+MAPQKQGMLTLKMASGPQMTSQMT
+>DECOY_NM_001111|549726_2_1515_1588
+TMQSTMQPGSAMKLTLMGQKQPAM
+>NM_001111|549729_2_3102_3358
+MAPSLTSPAATVLWKAQNPATTLSSRIPNKESSAPRWRTEKAQSLWNPVTLCLRGMAFGSGRDSVPCPVVTKSYAGTCWACKGHC
+>DECOY_NM_001111|549729_2_3102_3358
+CHGKCAWCTGAYSKTVVPCPVSDRGSGFAMGRLCLTVPNWLSQAKETRWRPASSEKNPIRSSLTTAPNQAKWLVTAAPSTLSPAM
+>NM_001111|549730_2_3267_3358
+MAFGSGRDSVPCPVVTKSYAGTCWACKGHC
+>DECOY_NM_001111|549730_2_3267_3358
+CHGKCAWCTGAYSKTVVPCPVSDRGSGFAM
+>NM_001111|549731_2_3459_3733
+MGVHLRMDYDIPLLSTTPRLAESAYMIPKGNPGRLRRQASTGVWLMAMTWRSWTVPEALWMGHGMNCPGSPKRTFFFYLRSSAPSVTAGIY
+>DECOY_NM_001111|549731_2_3459_3733
+YIGATVSPASSRLYFFFTRKPSGPCNMGHGMWLAEPVTWSRWTMAMLWVGTSAQRRLRGPNGKPIMYASEALRPTTSLLPIDYDMRLHVGM
+>NM_001111|549732_2_3477_3733
+MDYDIPLLSTTPRLAESAYMIPKGNPGRLRRQASTGVWLMAMTWRSWTVPEALWMGHGMNCPGSPKRTFFFYLRSSAPSVTAGIY
+>DECOY_NM_001111|549732_2_3477_3733
+YIGATVSPASSRLYFFFTRKPSGPCNMGHGMWLAEPVTWSRWTMAMLWVGTSAQRRLRGPNGKPIMYASEALRPTTSLLPIDYDM
+>NM_005178|549763_2_680_819
+MARRPLTWRASTAARPACEPCWTAQLRARWTWRPAIMTGSPPCTWQ
+>DECOY_NM_005178|549763_2_680_819
+QWTCPPSGTMIAPRWTWRARLQATWCPECAPRAATSARWTLPRRAM
+>NM_000845|549792_2_990_1120
+MVRAVWRPSPRSRGRLVVFALLSHRKSHVNQDLENLKKLSNAC
+>DECOY_NM_000845|549792_2_990_1120
+CANSLKKLNELDQNVHSKRHSLLAFVVLRGRSRPSPRWVARVM
+>NM_003361|549822_2_559_857
+MDGTVSAPRAPAGRGWTACPRATRSCARIRARRTAPWTSTGAAPSTGRATPATRTCAAGTASWARAVRAWPRPACQSCAATRPPPCGSMARIRPATRAS
+>DECOY_NM_003361|549822_2_559_857
+SARTAPRIRAMSGCPPPRTAACSQCAPRPWARVARAWSATGAACTRTAPTARGTSPAAGTSTWPATRRARIRACSRTARPCATWGRGAPARPASVTGDM
+>NM_003361|549823_2_1057_1148
+MADGTASANRTSTSLISPSWSTGWNVGPMT
+>DECOY_NM_003361|549823_2_1057_1148
+TMPGVNWGTSWSPSILSTSTRNASATGDAM
+>NM_000129|550104_2_1057_1205
+MANAGFLLVSLTHFYDALEYQQELLPIISLPMIMMPICKWTSSWKKMGT
+>DECOY_NM_000129|550104_2_1057_1205
+TGMKKWSSTWKCIPMMIMPLSIIPLLEQQYELADYFHTLSVLLFGANAM
+>NM_000129|550105_2_1336_1514
+MACIGVAPPRFKPSSTAMSASNLMHLLFLQRSTATSFTLQLRKMALMWWKMWMPPTLGN
+>DECOY_NM_000129|550105_2_1336_1514
+NGLTPPMWMKWWMLAMKRLQLTFSTATSRQLFLLHMLNSASMATSSPKFRPPAVGICAM
+>NM_145285|550137_2_998_1176
+MGTRPRPPPPPPPPPQQRRPTAAAMAVRTRRAAAAAAAGPPRRPLPCSPPAARPEAAPL
+>DECOY_NM_145285|550137_2_998_1176
+LPAAEPRAAPPSCPLPRRPPGAAAAAAARRTRVAMAAATPRRQQPPPPPPPPPRPRTGM
+>NM_145285|550138_2_1070_1176
+MAVRTRRAAAAAAAGPPRRPLPCSPPAARPEAAPL
+>DECOY_NM_145285|550138_2_1070_1176
+LPAAEPRAAPPSCPLPRRPPGAAAAAAARRTRVAM
+>NM_001243|550202_2_310_476
+METPATTMTRLSGGAVTAAPWGCSRHSSAHRGLLTAGSSVSLTTTWMRPTAVQPA
+>DECOY_NM_001243|550202_2_310_476
+APQVATPRMWTTTLSVSSGATLLGRHASSHRSCGWPAATVAGGSLRTMTTAPTEM
+>NM_001243|550204_2_1243_1394
+MARRLPAPAPLRACWWTPRPVRRCPSQPALPSLSPPRGSPFWMQGQCSSG
+>DECOY_NM_001243|550204_2_1243_1394
+GSSCQGQMWFPSGRPPSLSPLAPQSPCRRVPRPTWWCARLPAPAPLRRAM
+>NM_000350|550420_2_2697_2803
+MEPHFLGTFFYKSRIGLAVKGVQPEKKEPWKRPSP
+>DECOY_NM_000350|550420_2_2697_2803
+PSPRKWPEKKEPQVGKVALGIRSKYFFTGLFHPEM
+>NM_000350|550439_3_2089_2396
+MDLLCLHDCEEHRLGEGVATEGDLEKSGCLQCSDLVYLVPGQLLHHVDEHLPPDDIHHAWKNPTLQRPIHPLPVLVGFLHCHHHAVLSAQHLLLQGQSGSSL
+>DECOY_NM_000350|550439_3_2089_2396
+LSSGSQGQLLLHQASLVAHHHCHLFGVLVPLPHIPRQLTPNKWAHHIDDPPLHEDVHHLLQGPVLYVLDSCQLCGSKELDGETAVGEGLRHEECDHLCLLDM
+>NM_000350|550440_3_4537_4763
+MDTGQPFTILQVQHQGEAHHAARVPRGCRGPPAPPENTAQHGNSTRPDGQEHLRLLGKNVSCSYKKQLKEQILGQ
+>DECOY_NM_000350|550440_3_4537_4763
+QGLIQEKLQKKYSCSVNKGLLRLHEQGDPRTSNGHQATNEPPAPPGRCGRPVRAAHHAEGQHQVQLITFPQGTDM
+>NM_175058|550465_2_75_163
+MAASSSSMTSSAARPGCIRAPGSPSTRAT
+>DECOY_NM_175058|550465_2_75_163
+TARTSPSGPARICGPRAASSTMSSSSAAM
+>NM_175058|550469_2_1191_1525
+MECCLPHMAQENRMGLVGTSGPFLPGPTLKNTAKGRAIWPRWSTGQGPRKGIAGVFPWTRRFLARVLANPCPSQKTTRLFPRAPDTPRGAPRHLPETCQVTTSMRRTEPAT
+>DECOY_NM_175058|550469_2_1191_1525
+TAPETRRMSTTVQCTEPLHRPAGRPTDPARPFLRTTKQSPCPNALVRALFRRTWPFVGAIGKRPGQGTSWRPWIARGKATNKLTPGPLFPGSTGVLGMRNEQAMHPLCCEM
+>NM_175058|550471_2_1230_1525
+MGLVGTSGPFLPGPTLKNTAKGRAIWPRWSTGQGPRKGIAGVFPWTRRFLARVLANPCPSQKTTRLFPRAPDTPRGAPRHLPETCQVTTSMRRTEPAT
+>DECOY_NM_175058|550471_2_1230_1525
+TAPETRRMSTTVQCTEPLHRPAGRPTDPARPFLRTTKQSPCPNALVRALFRRTWPFVGAIGKRPGQGTSWRPWIARGKATNKLTPGPLFPGSTGVLGM
+>NM_175058|550472_2_1560_1684
+MAPCGSSTSGSSASSSGTAAPQRPSALAPQSSPTRAGAGAC
+>DECOY_NM_175058|550472_2_1560_1684
+CAGAGARTPSSQPALASPRQPAATGSSSASSGSTSSGCPAM
+>NM_152520|550493_2_803_909
+MGSPHHPPRPAPAATAAQAVHATLLPFLLLCAHLP
+>DECOY_NM_152520|550493_2_803_909
+PLHACLLLFPLLTAHVAQAATAAPAPRPPHHPSGM
+>NM_152520|550496_2_1610_1746
+MAVRGQDYRTRHFIVKSVMFMLIQKFNSNSTFLAEGIKIELQGNH
+>DECOY_NM_152520|550496_2_1610_1746
+HNGQLEIKIGEALFTSNSNFKQILMFMVSKVIFHRTRYDQGRVAM
+>NM_022168|550722_2_1498_1595
+MEKMLVFNCQTFPSLSLMNVITPTKKQCIITS
+>DECOY_NM_022168|550722_2_1498_1595
+STIICQKKTPTIVNMLSLSPFTQCNFVLMKEM
+>NM_022168|550726_3_1961_2046
+MGHSNGKKSCKRRKSQRTCLCRTFEEVQ
+>DECOY_NM_022168|550726_3_1961_2046
+QVEEFTRCLCTRQSKRRKCSKKGNSHGM
+>NM_177540|550760_2_1441_1616
+MASSPSVPLPAATWVRTCQRTTTRPNVSPSACSSTSCPGSPSSPRPASTTASTCLRPT
+>DECOY_NM_177540|550760_2_1441_1616
+TPRLCTSATTSAPRPSSPSGPCSTSSCASPSVNPRTTTRQCTRVWTAAPLPVSPSSAM
+>NM_014916|550781_2_3474_3553
+MAATAVCLPTRSLSSQMPAMVTEAQK
+>DECOY_NM_014916|550781_2_3474_3553
+KQAETVMAPMQSSLSRTPLCVATAAM
+>NM_002451|550821_2_489_571
+MEVILVPEECAIFQWLSRFAPKRERFL
+>DECOY_NM_002451|550821_2_489_571
+LFRERKPAFRSLWQFIACEEPVLIVEM
+>NM_003719|550892_2_481_587
+MASGGPATELVIDAILLGLQSQPLNAFLISIMKLL
+>DECOY_NM_003719|550892_2_481_587
+LLKMISILFANLPQSQLGLLIADIVLETAPGGSAM
+>NM_032890|551053_2_1318_1457
+MALWGQTAGTWQPEERTSSSAPMCHANVPSTMLCTRSSITWWTKTL
+>DECOY_NM_032890|551053_2_1318_1457
+LTKTWWTISSRTCLMTSPVNAHCMPASSSTREEPQWTGATQGWLAM
+>NM_032890|551063_2_3037_3140
+MVGLSAIWSSMTSRIASPMAPSLPWGCQLLLHLA
+>DECOY_NM_032890|551063_2_3037_3140
+ALHLLLQCGWPLSPAMPSAIRSTMSSWIASLGVM
+>NM_032890|551067_2_3991_4091
+MAHTLASRWGTACATSALLPLAALSRSKTAWHL
+>DECOY_NM_032890|551067_2_3991_4091
+LHWATKSRSLAALPLLASTACATGWRSALTHAM
+>NM_031935|551157_2_3053_3222
+MGASILKEFSFRMVVNILVWPVTLLGPITKLPLWLCMFCQPFSMGSRYSVQLKAFQ
+>DECOY_NM_031935|551157_2_3053_3222
+QFAKLQVSYRSGMSFPQCFMCLWLPLKTIPGLLTVPWVLINVVMRFSFEKLISAGM
+>NM_031935|551158_2_3089_3222
+MVVNILVWPVTLLGPITKLPLWLCMFCQPFSMGSRYSVQLKAFQ
+>DECOY_NM_031935|551158_2_3089_3222
+QFAKLQVSYRSGMSFPQCFMCLWLPLKTIPGLLTVPWVLINVVM
+>NM_031935|551163_2_4184_4308
+MAHCWLLLLLHPMTMGSTSVWQSMKLEPQKENITSKSMFLQ
+>DECOY_NM_031935|551163_2_4184_4308
+QLFMSKSTINEKQPELKMSQWVSTSGMTMPHLLLLLWCHAM
+>NM_031935|551165_2_4226_4308
+MGSTSVWQSMKLEPQKENITSKSMFLQ
+>DECOY_NM_031935|551165_2_4226_4308
+QLFMSKSTINEKQPELKMSQWVSTSGM
+>NM_031935|551180_2_7775_7956
+MGSPSKKMKPITLYLVAVFFKLPMSRCHTLEDIHVWLPVQLATRAGASVLMYLYLLQLLV
+>DECOY_NM_031935|551180_2_7775_7956
+VLLQLLYLYMLVSAGARTALQVPLWVHIDELTHCRSMPLKFFVAVLYLTIPKMKKSPSGM
+>NM_031935|551183_2_7964_8076
+MATLKMSLSSLTALHLWSVKLIHILQLPSPGLRMALL
+>DECOY_NM_031935|551183_2_7964_8076
+LLAMRLGPSPLQLIHILKVSWLHLATLSSLSMKLTAM
+>NM_031935|551194_2_11201_11280
+MENGYRQHLECESYLEGDTCKSTMLT
+>DECOY_NM_031935|551194_2_11201_11280
+TLMTSKCTDGELYSECELHQRYGNEM
+>NM_031935|551196_2_11522_11616
+MDSFIFNQHMSLTLDGICVWPPMLLEQIAGE
+>DECOY_NM_031935|551196_2_11522_11616
+EGAIQELLMPPWVCIGDLTLSMHQNFIFSDM
+>NM_031935|551210_2_14276_14808
+MEQKHRCKFAMKEIVQFMASGRLGPVGVPVLCHVEEVPDREQGAAPTLCPSMEEGNAKGVMSRVIFATVTLAQPMVTGVLGVAGEHAAGRVTEGRCGGTAHVITLLPPMGEELVGDQTPRSRGATLTCVLWMEVGEAGIVGASALPPVEEVKRLGSGCATILCQLKVAVPVPETLLR
+>DECOY_NM_031935|551210_2_14276_14808
+RLLTEPVPVAVKLQCLITACGSGLRKVEEVPPLASAGVIGAEGVEMWLVCTLTAGRSRPTQDGVLEEGMPPLLTIVHATGGCRGETVRGAAHEGAVGLVGTVMPQALTVTAFIVRSMVGKANGEEMSPCLTPAAGQERDPVEEVHCLVPVGVPGLRGSAMFQVIEKMAFKCRHKQEM
+>NM_031935|551213_2_14429_14808
+MEEGNAKGVMSRVIFATVTLAQPMVTGVLGVAGEHAAGRVTEGRCGGTAHVITLLPPMGEELVGDQTPRSRGATLTCVLWMEVGEAGIVGASALPPVEEVKRLGSGCATILCQLKVAVPVPETLLR
+>DECOY_NM_031935|551213_2_14429_14808
+RLLTEPVPVAVKLQCLITACGSGLRKVEEVPPLASAGVIGAEGVEMWLVCTLTAGRSRPTQDGVLEEGMPPLLTIVHATGGCRGETVRGAAHEGAVGLVGTVMPQALTVTAFIVRSMVGKANGEEM
+>NM_031935|551227_2_16028_16272
+MEPVLILMNVKMGPISADITRYVRIQEAAIVVYAQEVIGLKELEDPAWTLMNVNKCLNLVHISAPTPPAASSVSVHQDNIY
+>DECOY_NM_031935|551227_2_16028_16272
+YINDQHVSVSSAAPPTPASIHVLNLCKNVNMLTWAPDELEKLGIVEQAYVVIAAEQIRVYRTIDASIPGMKVNMLILVPEM
+>NM_031935|551229_2_16061_16272
+MGPISADITRYVRIQEAAIVVYAQEVIGLKELEDPAWTLMNVNKCLNLVHISAPTPPAASSVSVHQDNIY
+>DECOY_NM_031935|551229_2_16061_16272
+YINDQHVSVSSAAPPTPASIHVLNLCKNVNMLTWAPDELEKLGIVEQAYVVIAAEQIRVYRTIDASIPGM
+>NM_031935|551234_2_16625_16704
+MERHAKISMNVWSRMCTVDPIACAST
+>DECOY_NM_031935|551234_2_16625_16704
+TSACAIPDVTCMRSWVNMSIKAHREM
+>NM_031935|551236_3_858_949
+MGRRSSTGLQSSPFIHRSFGTGCKYLENSF
+>DECOY_NM_031935|551236_3_858_949
+FSNELYKCGTGFSRHIFPSSQLGTSSRRGM
+>NM_031935|551242_3_11463_11557
+MEKGWSCSSWESCKIFHLGKWIPSYSISTCH
+>DECOY_NM_031935|551242_3_11463_11557
+HCTSISYSPIWKGLHFIKCSEWSSCSWGKEM
+>NM_031935|551245_3_14163_14239
+MERLAALGNMQRKLWERYSDKSKTL
+>DECOY_NM_031935|551245_3_14163_14239
+LTKSKDSYREWLKRQMNGLAALREM
+>NM_001171|551283_3_2787_3145
+MASRKGQHPIRQGEGHSAPGLPACRGHPPLPLRTLPLPLPASGLLLPGLLAEPVGGRPCSRWAADAGSPAWRDLRAPRLSPSHWAVCLHGCGAPRWGPGIQVALPEAPVGCGAISHQLL
+>DECOY_NM_001171|551283_3_2787_3145
+LLQHSIAGCGVPAEPLAVQIGPGWRPAGCGHLCVAWHSPSLRPARLDRWAPSGADAAWRSCPRGGVPEALLGPLLLGSAPLPLPLTRLPLPPHGRCAPLGPASHGEGQRIPHQGKRSAM
+>NM_000444|551416_2_1968_2056
+MVENMIKMETWILGGLLNQKKSLRKKQNA
+>DECOY_NM_000444|551416_2_1968_2056
+ANQKKRLSKKQNLLGGLIWTEMKIMNEVM
+>NM_001482|551458_3_1330_1427
+MDYHYSSNTNHPRRSSTLDVIQMAFHECLNAR
+>DECOY_NM_001482|551458_3_1330_1427
+RANLCEHFAMQIVDLTSSRRPHNTNSSYHYDM
+>NM_014049|551554_2_1661_1791
+MELCTPVLRTVPTSLRRTPTASAGPWRHCCSALARPSWRSSWY
+>DECOY_NM_014049|551554_2_1661_1791
+YWSSRWSPRALASCCHRWPGASATPTRRLSTPVTRLVPTCLEM
+>NM_000818|551695_2_546_847
+MALGIPRIPAQREPGAKWLRSSRAASETNCAPCSTETPRSRRRAAGANPRGPPPGRPPAPATRSPAAAPKWMSTTRFSMQQTCCRRVMEKGPLWRFCKML
+>DECOY_NM_000818|551695_2_546_847
+LMKCFRWLPGKEMVRRCCTQQMSFRTTSMWKPAAAPSRTAPAPPRGPPPGRPNAGAARRRSRPTETSCPACNTESAARSSRLWKAGPERQAPIRPIGLAM
+>NM_000818|551701_2_2121_2224
+MEPQWSATNPWETRSISSAWSSQTQRQLTKTLTS
+>DECOY_NM_000818|551701_2_2121_2224
+STLTKTLQRQTQSSWASSISRTEWPNTASWQPEM
+>NM_181486|551750_2_1378_1673
+MVFPAPPRTSCLHPTHTHCPRSIAKFTIVPRGKRKNVPPQTIPIRSPTWRHHPVKKIPSTALAIHSSRAWVPPTGQSRHSGKLACMPALRPPASLCPA
+>DECOY_NM_181486|551750_2_1378_1673
+APCLSAPPRLAPMCALKGSHRSQGTPPVWARSSHIALATSPIKKVPHHRWTPSRIPITQPPVNKRKGRPVITFKAISRPCHTHTPHLCSTRPPAPFVM
+>NM_015267|551881_2_3556_3641
+MASSAPAQTVSPRPPAQSAPAPACSPRT
+>DECOY_NM_015267|551881_2_3556_3641
+TRPSCAPAPASQAPPRPSVTQAPASSAM
+>NM_015267|551885_2_4168_4286
+MDSQKWLPGPSFQVDPPQTVPHFIPNRRVRPGSDFTRTL
+>DECOY_NM_015267|551885_2_4168_4286
+LTRTFDSGPRVRRNPIFHPVTQPPDVQFSPGPLWKQSDM
+>NM_021629|551945_2_923_1107
+MECVDSLSRDMSQISMLSVFSQMDMPSPLALMMPLAGSLTFVQIKSYYCILMTISSVESLL
+>DECOY_NM_021629|551945_2_923_1107
+LLSEVSSITMLICYYSKIQVFTLSGALPMMLALPSPMDMQSFVSLMSIQSMDRSLSDVCEM
+>NM_012281|552067_2_2313_2692
+MVYSVISCSPQRMSRLLLANPAPALKPSTTTCFTAWKKPRITSLWTNKSLKKAAWKLQLLIVLQVTVLHCLHNKESPAPAVHDDTKKLFASQMPMYQEAIKVVYKNSARFRSDVWREHLCLTADPV
+>DECOY_NM_012281|552067_2_2313_2692
+VPDATLCLHERWVDSRFRASNKYVVKIAEQYMPMQSAFLKKTDDHVAPAPSEKNHLCHLVTVQLVILLQLKWAAKKLSKNTWLSTIRPKKWATFCTTTSPKLAPAPNALLLRSMRQPSCSIVSYVM
+>NM_020911|552190_2_2585_2817
+MGTSTLTTQLRIKFTSTSVEPCVRAAGCASRLTQTSHVAGARAQASAPCASTALPRRASGWSCLVPKASAQTPASQR
+>DECOY_NM_020911|552190_2_2585_2817
+RQSAPTQASAKPVLCSWGSARRPLATSACPASAQARAGAVHSTQTLRSACGAARVCPEVSTSTFKIRLQTTLTSTGM
+>NM_020911|552213_3_3372_3487
+MEHCQWKHTHRRMGDPPGPHTEPPDPCQAWREGAHQYL
+>DECOY_NM_020911|552213_3_3372_3487
+LYQHAGERWAQCPDPPETHPGPPDGMRRHTHKWQCHEM
+>NM_000443|552300_3_714_799
+MEAHPCDNGHQPYSRTLCSRLGKDTLGI
+>DECOY_NM_000443|552300_3_714_799
+IGLTDKGLRSCLTRSYPQHGNDCPHAEM
+>NM_000443|552301_3_2196_2305
+MALLCRGNSMCHCQWGASAGIFSHILRDHSDFWTRR
+>DECOY_NM_000443|552301_3_2196_2305
+RRTWFDSHDRLIHSFIGASAGWQCHCMSNGRCLLAM
+>NM_139281|552614_3_851_945
+MESWSDSSSAGTSRGCCCYWSYVRSSYHSQH
+>DECOY_NM_139281|552614_3_851_945
+HQSHYSSRVYSWYCCCGRSTGASSSDSWSEM
+>NM_000547|552757_2_2332_2474
+MGTLCTVRSLGGACWCIPAGTGMSSKAGSSSLAPRKDGISSLPSAKM
+>DECOY_NM_000547|552757_2_2332_2474
+MKASPLSSIGDKRPALSSSGAKSSMGTGAPICWCAGGLSRVTCLTGM
+>NM_000547|552761_3_779_855
+MGTIHRPRHRVHTTEHQQSCLRGRG
+>DECOY_NM_000547|552761_3_779_855
+GRGRLCSQQHETTHVRHRPRHITGM
+>NM_000547|552764_3_2441_2718
+MGFPASPLQRCERVCRRCPPPLPRLCEVQKHQRRLPVSLRGPLRVRRRWENLRRLREAPSGDLDLHVAGCSADRRLRRSHLDGDLQVDTHWH
+>DECOY_NM_000547|552764_3_2441_2718
+HWHTDVQLDGDLHSRRLRRDASCGAVHLDLDGSPAERLRRLNEWRRRVRLPGRLSVPLRRQHKQVECLRPLPPPCRRCVRECRQLPSAPFGM
+>NM_000743|552803_2_493_626
+MVGQSSCVSLHRRSGSQTLCCITMLLGISRWTTRPKPYSSTLGR
+>DECOY_NM_000743|552803_2_493_626
+RGLTSSYPKPRTTWRSIGLLMTICCLTQSGSRRHLSVCSSQGVM
+>NM_001080471|552850_2_390_1243
+MASMRAGGSVSRSVPRSVSMAVVWHPISANVCQAGGATTVPVSVPQECGGHSVTSPAAAATTARVIPRVGYVLALLVCSPRTAFSPVPLATMALPASSAASAMGHPAIPRLEPASAPQRELGPAVTCPVPRALLASSAPAPILAKMEVSSKPHRAPAAAPLAGWAPSAPCPAQRAFTDPTAPRNVAATTAASVTDSLGSAAALRVTLGIGAGRSARWAALGRTVLRRATAPRTPVASRPTAHVCANTASLGTAARIASAPTASTVSAARPPAPATGSTASAATR
+>DECOY_NM_001080471|552850_2_390_1243
+RTAASATSGTAPAPPRAASVTSATPASAIRAATGLSATNACVHATPRSAVPTRPATARRLVTRGLAAWRASRGAGIGLTVRLAAASGLSDTVSAATTAAVNRPATPDTFARQAPCPASPAWGALPAAAPARHPKSSVEMKALIPAPASSALLARPVPCTVAPGLERQPASAPELRPIAPHGMASAASSAPLAMTALPVPSFATRPSCVLLALVYGVRPIVRATTAAAAPSTVSHGGCEQPVSVPVTTAGGAQCVNASIPHWVVAMSVSRPVSRSVSGGARMSAM
+>NM_001080471|552853_2_663_1243
+MALPASSAASAMGHPAIPRLEPASAPQRELGPAVTCPVPRALLASSAPAPILAKMEVSSKPHRAPAAAPLAGWAPSAPCPAQRAFTDPTAPRNVAATTAASVTDSLGSAAALRVTLGIGAGRSARWAALGRTVLRRATAPRTPVASRPTAHVCANTASLGTAARIASAPTASTVSAARPPAPATGSTASAATR
+>DECOY_NM_001080471|552853_2_663_1243
+RTAASATSGTAPAPPRAASVTSATPASAIRAATGLSATNACVHATPRSAVPTRPATARRLVTRGLAAWRASRGAGIGLTVRLAAASGLSDTVSAATTAAVNRPATPDTFARQAPCPASPAWGALPAAAPARHPKSSVEMKALIPAPASSALLARPVPCTVAPGLERQPASAPELRPIAPHGMASAASSAPLAM
+>NM_001080471|552854_2_696_1243
+MGHPAIPRLEPASAPQRELGPAVTCPVPRALLASSAPAPILAKMEVSSKPHRAPAAAPLAGWAPSAPCPAQRAFTDPTAPRNVAATTAASVTDSLGSAAALRVTLGIGAGRSARWAALGRTVLRRATAPRTPVASRPTAHVCANTASLGTAARIASAPTASTVSAARPPAPATGSTASAATR
+>DECOY_NM_001080471|552854_2_696_1243
+RTAASATSGTAPAPPRAASVTSATPASAIRAATGLSATNACVHATPRSAVPTRPATARRLVTRGLAAWRASRGAGIGLTVRLAAASGLSDTVSAATTAAVNRPATPDTFARQAPCPASPAWGALPAAAPARHPKSSVEMKALIPAPASSALLARPVPCTVAPGLERQPASAPELRPIAPHGM
+>NM_001080471|552855_2_825_1243
+MEVSSKPHRAPAAAPLAGWAPSAPCPAQRAFTDPTAPRNVAATTAASVTDSLGSAAALRVTLGIGAGRSARWAALGRTVLRRATAPRTPVASRPTAHVCANTASLGTAARIASAPTASTVSAARPPAPATGSTASAATR
+>DECOY_NM_001080471|552855_2_825_1243
+RTAASATSGTAPAPPRAASVTSATPASAIRAATGLSATNACVHATPRSAVPTRPATARRLVTRGLAAWRASRGAGIGLTVRLAAASGLSDTVSAATTAAVNRPATPDTFARQAPCPASPAWGALPAAAPARHPKSSVEM
+>NM_001080471|552860_2_1662_2167
+MGPTASCPVRRGSLEKVVPVAVTVTTLMAVTLFMDAVSARLAGWVPAATCPALRAYGESTVATPAPARMGAPVSLRMATACVHPDSGAPPARDPVSLAAMANAVCPASALTTPSATPRTGPATAWLAGQAPTAPSHALQDTGEKTVPRPANVTMVGPAIPRMGAVSAP
+>DECOY_NM_001080471|552860_2_1662_2167
+PASVAGMRPIAPGVMTVNAPRPVTKEGTDQLAHSPATPAQGALWATAPGTRPTASPTTLASAPCVANAMAALSVPDRAPPAGSDPHVCATAMRLSVPAGMRAPAPTAVTSEGYARLAPCTAAPVWGALRASVADMFLTVAMLTTVTVAVPVVKELSGRRVPCSATPGM
+>NM_001080471|552863_2_1866_2167
+MGAPVSLRMATACVHPDSGAPPARDPVSLAAMANAVCPASALTTPSATPRTGPATAWLAGQAPTAPSHALQDTGEKTVPRPANVTMVGPAIPRMGAVSAP
+>DECOY_NM_001080471|552863_2_1866_2167
+PASVAGMRPIAPGVMTVNAPRPVTKEGTDQLAHSPATPAQGALWATAPGTRPTASPTTLASAPCVANAMAALSVPDRAPPAGSDPHVCATAMRLSVPAGM
+>NM_001080471|552864_2_1890_2167
+MATACVHPDSGAPPARDPVSLAAMANAVCPASALTTPSATPRTGPATAWLAGQAPTAPSHALQDTGEKTVPRPANVTMVGPAIPRMGAVSAP
+>DECOY_NM_001080471|552864_2_1890_2167
+PASVAGMRPIAPGVMTVNAPRPVTKEGTDQLAHSPATPAQGALWATAPGTRPTASPTTLASAPCVANAMAALSVPDRAPPAGSDPHVCATAM
+>NM_001080471|552865_2_1959_2167
+MANAVCPASALTTPSATPRTGPATAWLAGQAPTAPSHALQDTGEKTVPRPANVTMVGPAIPRMGAVSAP
+>DECOY_NM_001080471|552865_2_1959_2167
+PASVAGMRPIAPGVMTVNAPRPVTKEGTDQLAHSPATPAQGALWATAPGTRPTASPTTLASAPCVANAM
+>NM_175721|552904_2_2332_2492
+MGTLCTVRSLGGACWCIPAGTGMSSKAGSSSLAPRKDGISSLPSAKTPGGSLG
+>DECOY_NM_175721|552904_2_2332_2492
+GLSGGPTKASPLSSIGDKRPALSSSGAKSSMGTGAPICWCAGGLSRVTCLTGM
+>NM_175721|552911_3_2441_2586
+MGFPASPLQRLREAPSGDLDLHVAGCSADRRLRRSHLDGDLQVDTHWH
+>DECOY_NM_175721|552911_3_2441_2586
+HWHTDVQLDGDLHSRRLRRDASCGAVHLDLDGSPAERLRQLPSAPFGM
+>NM_004778|552949_2_260_585
+MESSSSWWAAACARPWSPPGCCTWRCPTCWPLLPCPSSPTSWPWATRGSWAPPSANCTPPSSFSTCSPAASCSAPSAWTAACRWCGRCGRRTTAPWPRRTKSAWCFGH
+>DECOY_NM_004778|552949_2_260_585
+HGFCWASKTRRPWPATTRRGCRGCWRCAATWASPASCSAAPSCTSFSSPPTCNASPPAWSGRTAWPWSTPSSPCPLLPWCTPCRWTCCGPPSWPRACAAAWWSSSSEM
+>NM_003870|553027_2_150_274
+MALSWIMKDLLQRRWMKGDVRTWLMSTFVIWKKRRGGWKHA
+>DECOY_NM_003870|553027_2_150_274
+AHKWGGRRKKWIVFTSMLWTRVDGKMWRRQLLDKMIWSLAM
+>NM_003870|553032_2_675_820
+MASRCLPLARLGASWLMNCQWMKPHYMLLLLLLMKLLTVEFQPTHLQL
+>DECOY_NM_003870|553032_2_675_820
+LQLHTPQFEVTLLKMLLLLLLMYHPKMWQCNMLWSAGLRALPLCRSAM
+>NM_002973|553162_2_1018_1133
+MEVYMKEFLKLTVRSVIWYLMPHMRKVQNPVRGRNVKK
+>DECOY_NM_002973|553162_2_1018_1133
+KKVNRGRVPNQVKRMHPMLYWIVSRVTLKLFEKMYVEM
+>NM_002973|553177_2_3643_3776
+MVEVILHPVLFSTISTRPPRLSIWPVHSSSQPFTTRGLRQLHPP
+>DECOY_NM_002973|553177_2_3643_3776
+PPHLQRLGRTTFPQSSSHVPWISLRPPRTSITSFLVPHLIVEVM
+>NM_004347|553221_2_990_1219
+MGNSGSETLQHPWHSSLHSHLRTWRQILFARSTRRRTSLLSVLQHHITCPGETAQGAPSSLRNSSHASRNILAAAT
+>DECOY_NM_004347|553221_2_990_1219
+TAAALINRSAHSSNRLSSPAGQATEGPCTIHHQLVSLLSTRRRTSRAFLIQRWTRLHSHLSSHWPHQLTESGSNGM
+>NM_003385|553246_2_607_686
+MGTAPLTSESSSALCPSPPGAALSRS
+>DECOY_NM_003385|553246_2_607_686
+SRSLAAGPPSPCLASSSESTLPATGM
+>NM_012338|553276_2_786_859
+MDYLDIGGLLMLGIFFRESLSAVE
+>DECOY_NM_012338|553276_2_786_859
+EVASLSERFFIGLMLLGGIDLYDM
+>NM_022475|553298_2_1178_1323
+MVGCAFQIFQENKSEDQHLTTWTRWKNMTKWKRSAESTNTTASVFRRL
+>DECOY_NM_022475|553298_2_1178_1323
+LRRFVSATTNTSEASRKWKTMNKWRTWTTLHQDESKNEQFIQFACGVM
+>NM_022475|553299_2_1541_1662
+MESCMCPIPPTKNGGLSGLMTTFLGLWNTQYPEKIHTKLI
+>DECOY_NM_022475|553299_2_1541_1662
+ILKTHIKEPYQTNWLGLFTTMLGSLGGNKTPPIPCMCSEM
+>NM_022475|553302_2_2117_2211
+MVLWLVDLYTGAASQKDCMEATCLEIVMGIS
+>DECOY_NM_022475|553302_2_2117_2211
+SIGMVIELCTAEMCDKQSAAGTYLDVLWLVM
+>NM_022475|553303_2_2588_2682
+MEVSVLDRTSASVKKDILVLNVNKWTETSAE
+>DECOY_NM_022475|553303_2_2588_2682
+EASTETWKNVNLVLIDKKVSASTRDLVSVEM
+>NM_016442|553438_3_2491_2705
+MDRRGLSLRANAAESTTTPRLCAQLSAVRTEGRRLFQKVEGIQWKLEPACRRDLGSVCCGGPEHRRLGFSL
+>DECOY_NM_016442|553438_3_2491_2705
+LSFGLRRHEPGGCCVSGLDRRCAPELKWQIGEVKQFLRRGETRVASLQACLRPTTTSEAANARLSLGRRDM
+>NM_000637|553461_2_755_867
+MDFFSWKNCPAAASLLVQVTLLWRWQGSCQPWVLRHH
+>DECOY_NM_000637|553461_2_755_867
+HHRLVWPQCSGQWRWLLTVQVLLSAAAPCNKWSFFDM
+>NM_032573|553541_2_1457_1554
+MALGFRTKENNPQKHPLYLMRSSGMMSVFTWA
+>DECOY_NM_032573|553541_2_1457_1554
+AWTFVSMMGSSRMLYLPHKQPNNEKTRFGLAM
+>NM_052946|553594_3_563_639
+MGKHTRELLPEHSGAGEGKNSTFMQ
+>DECOY_NM_052946|553594_3_563_639
+QMFTSNKGEGAGSHEPLLERTHKGM
+>NM_017886|553621_2_3106_3197
+MARRRPVLILTAIFWLSFEMSYFPSMSTFF
+>DECOY_NM_017886|553621_2_3106_3197
+FFTSMSPFYSMEFSLWFIATLILVPRRRAM
+>NM_002693|553665_2_2104_2285
+MASLCTTQSVMAGATWCLGGGTTWPSCRQVPPWSQLGWSAPTEPSSPCTGSTVSNRGSSS
+>DECOY_NM_002693|553665_2_2104_2285
+SSSGRNSVTSGTCPSSPETPASWGLQSWPPVQRCSPWTTGGGLCWTAGAMVSQTTCLSAM
+>NM_002693|553666_2_2134_2285
+MAGATWCLGGGTTWPSCRQVPPWSQLGWSAPTEPSSPCTGSTVSNRGSSS
+>DECOY_NM_002693|553666_2_2134_2285
+SSSGRNSVTSGTCPSSPETPASWGLQSWPPVQRCSPWTTGGGLCWTAGAM
+>NM_002693|553667_2_2482_2672
+MAMDLTTTWTSLAAGFSSCLTRMVIAVMWEAPLPRTSCPRWRMAPCRLAQEVPVGPVLWKSTK
+>DECOY_NM_002693|553667_2_2482_2672
+KTSKWLVPGVPVEQALRCPAMRWRPCSTRPLPAEWMVAIVMRTLCSSFGAALSTWTTTLDMAM
+>NM_002693|553668_2_2488_2672
+MDLTTTWTSLAAGFSSCLTRMVIAVMWEAPLPRTSCPRWRMAPCRLAQEVPVGPVLWKSTK
+>DECOY_NM_002693|553668_2_2488_2672
+KTSKWLVPGVPVEQALRCPAMRWRPCSTRPLPAEWMVAIVMRTLCSSFGAALSTWTTTLDM
+>NM_002693|553669_2_2548_2672
+MVIAVMWEAPLPRTSCPRWRMAPCRLAQEVPVGPVLWKSTK
+>DECOY_NM_002693|553669_2_2548_2672
+KTSKWLVPGVPVEQALRCPAMRWRPCSTRPLPAEWMVAIVM
+>NM_001221|553770_2_1946_2022
+MGTLKPTQKSVTQALLLLNLKLWVI
+>DECOY_NM_001221|553770_2_1946_2022
+IVWLKLNLLLLAQTVSKQTPKLTGM
+>NM_003742|553817_2_178_308
+MVLSQINHIIMIRNQGYKMRRKVMALELASFNCFGFLHQLTFG
+>DECOY_NM_003742|553817_2_178_308
+GFTLQHLFGFCNFSALELAMVKRRMKYGQNRIMIIHNIQSLVM
+>NM_003742|553822_2_1942_2084
+MGTQSFQLLIACLRSELQIPSLVLNMALQWKEGPMKNYWKGKVFTSL
+>DECOY_NM_003742|553822_2_1942_2084
+LSTFVKGKWYNKMPGEKWQLAMNLVLSPIQLESRLCAILLQFSQTGM
+>NM_003742|553837_3_2378_2484
+MALHAGRVCGCSCERDSHTLVCLFIQPDSWDFFNS
+>DECOY_NM_003742|553837_3_2378_2484
+SNFFDWSDPQIFLCVLTHSDRECSCGCVRGAHLAM
+>NM_152228|553888_3_1688_2523
+MGRAGCAAAAPAAEPGAGPCAGCFGAVRSPSGQPTGSGLGGAPGLLWPGVPGPGLPQRPPVPWPAQPCPMPGPAALVPPPAHGLPEHTLPAGGRDLRGVRTASELGRPAEWLPAGALGLAGGAAGHAGGGRTVHLVPGGLPAGGGDGLAHAAHGGAGALPHTLLGQLRPSARHQCHAGLSLLPGHFPGAEPAGPLQPCPWPHLCHAGLLHHLGLLCAPPGQCAGGPQARRADGRPPALCPGHPGCLPPAQVLPAHAAARAQHPRVLPGRGPWGCPRPE
+>DECOY_NM_152228|553888_3_1688_2523
+EPRPCGWPGRGPLVRPHQARAAAHAPLVQAPPLCGPHGPCLAPPRGDARRAQPGGACQGPPACLLGLHHLLGAHCLHPWPCPQLPGAPEAGPFHGPLLSLGAHCQHRASPRLQGLLTHPLAGAGGHAAHALGDGGGAPLGGPVLHVTRGGGAHGAAGGALGLAGAPLWEAPRGLESATRVGRLDRGGAPLTHEPLGHAPPPVLAAPGPMPCPQAPWPVPPRQPLGPGPVGPWLLGPAGGLGSGTPQGSPSRVAGFCGACPGAGPEAAPAAAACGARGM
+>NM_017672|553997_3_494_582
+MVCGKAYRTEPNGCLWSHKFSRGFSFLQS
+>DECOY_NM_017672|553997_3_494_582
+SQLFSFGRSFKHSWLCGNPETRYAKGCVM
+>NM_173681|554137_2_692_852
+MALPASCWRMSSSWDNLFSLSPSQPSSFDAWITMFSLPTNQVTIPDLGRSTAK
+>DECOY_NM_173681|554137_2_692_852
+KATSRGLDPITVQNTPLSFMTIWADFSSPQSPSLSFLNDWSSSMRWCSAPLAM
+>NM_024969|554170_2_1295_1569
+MGAAFAAESQILARKAWHLVSQTRRRRKKKRKRRRRMTMMTKEMASWKVWAPMPKLSLFLQFFVILMAPPFTKAMQRMLLFMPTLQLCITK
+>DECOY_NM_024969|554170_2_1295_1569
+KTICLQLTPMFLLMRQMAKTFPPAMLIVFFQLFLSLKPMPAWVKWSAMEKTMMTMRRRRKRKKKRRRRTQSVLHWAKRALIQSEAAFAAGM
+>NM_024969|554174_2_1424_1569
+MASWKVWAPMPKLSLFLQFFVILMAPPFTKAMQRMLLFMPTLQLCITK
+>DECOY_NM_024969|554174_2_1424_1569
+KTICLQLTPMFLLMRQMAKTFPPAMLIVFFQLFLSLKPMPAWVKWSAM
+>NM_014208|554205_2_448_539
+MGTQEKQKHMVMMEYMGKKKTSQQMASRDK
+>DECOY_NM_014208|554205_2_448_539
+KDRSAMQQSTKKKGMYEMMVMHKQKEQTGM
+>NM_014208|554210_2_865_1004
+MEQMRMKTRVLVMMKMKKQGMEKTVVITARARRARTMGKKMIMIVA
+>DECOY_NM_014208|554210_2_865_1004
+AVIMIMKKGMTRARRARATIVVTKEMGQKKMKMMVLVRTKMRMQEM
+>NM_014208|554216_2_925_1004
+MEKTVVITARARRARTMGKKMIMIVA
+>DECOY_NM_014208|554216_2_925_1004
+AVIMIMKKGMTRARRARATIVVTKEM
+>NM_014208|554245_3_158_258
+MGHSSSSKQTTGETCRKIHEFASPSKIKCVSTG
+>DECOY_NM_014208|554245_3_158_258
+GTSVCKIKSPSAFEHIKRCTEGTTQKSSSSHGM
+>NM_001039724|554319_2_71_171
+MERISANRSHLFFSKGQTWKLAMPKDFRNWQAS
+>DECOY_NM_001039724|554319_2_71_171
+SAQWNRFDKPMALKWTQGKSFFLHSRNASIREM
+>NM_007051|554352_2_598_713
+MAFYKVNMEVRPYQDLHLIQQVIQLQLLLPLLLQRFDL
+>DECOY_NM_007051|554352_2_598_713
+LDFRQLLLPLLLQLQIVQQILHLDQYPRVEMNVKYFAM
+>NM_007051|554353_2_619_713
+MEVRPYQDLHLIQQVIQLQLLLPLLLQRFDL
+>DECOY_NM_007051|554353_2_619_713
+LDFRQLLLPLLLQLQIVQQILHLDQYPRVEM
+>NM_007051|554357_2_1327_1403
+MEMTLKMLQNLGWMMEKYLAWRHLP
+>DECOY_NM_007051|554357_2_1327_1403
+PLHRWALYKEMMWGLNQLMKLTMEM
+>NM_005657|554442_2_1763_1851
+MEKTHRLRIRNPCLQFSILNLFLLKMIVS
+>DECOY_NM_005657|554442_2_1763_1851
+SVIMKLLFLNLISFQLCPNRIRLRHTKEM
+>NM_005657|554452_2_4280_4413
+MVMQALASDREGRLQSRLVGVGEGAAHLLGPLEPEKQLCLAPWA
+>DECOY_NM_005657|554452_2_4280_4413
+AWPALCLQKEPELPGLLHAAGEGVGVLRSQLRGERDSALAQMVM
+>NM_021007|554723_2_498_631
+MAQSQTVTWKQENLFHLFMETFLQRWCQCPWRIWTPTISIRKRL
+>DECOY_NM_021007|554723_2_498_631
+LRKRISITPTWIRWPCQCWRQLFTEMFLHFLNEQKWTVTQSQAM
+>NM_021007|554732_2_2307_2395
+MVWSPWSGALLPSHLLGSSYQRAQLLKQK
+>DECOY_NM_021007|554732_2_2307_2395
+KQKLLQARQYSSGLLHSPLLAGSWPSWVM
+>NM_003157|554796_2_415_515
+MVCSTLSWASVKEVICTESSRSRKGSFCLRIRW
+>DECOY_NM_003157|554796_2_415_515
+WRIRLCFSGKRSRSSETCIVEKVSAWSLTSCVM
+>NM_015967|554825_2_1802_1887
+MELFFLLLCCQHPLHPSSLITIHMILYH
+>DECOY_NM_015967|554825_2_1802_1887
+HYLIMHITILSSPHLPHQCCLLLFFLEM
+>NM_015967|554830_3_1317_1396
+MADKGISNSWGASSEASKFGFGLSFV
+>DECOY_NM_015967|554830_3_1317_1396
+VFSLGFGFKSAESSAGWSNSIGKDAM
+>NM_032456|554853_2_3736_4184
+MAMKPAKKITKTFLHPNSMTNLKSLKRTRKTKNLSSLSTAALSLWRLLSQMDRGMIVSMRSCQTAQAWGDTGPLMVGPAVLTWQGITNLVPHCLLFSFIPSHQLQEKNTRPYKIYHQPTHLWEQETTFQLDQITALSTAVKPITSTANR
+>DECOY_NM_032456|554853_2_3736_4184
+RNATSTIPKVATSLATIQDLQFTTEQEWLHTPQHYIKYPRTNKEQLQHSPIFSFLLCHPVLNTIGQWTLVAPGVMLPGTDGWAQATQCSRMSVIMGRDMQSLLRWLSLAATSLSSLNKTKRTRKLSKLNTMSNPHLFTKTIKKAPKMAM
+>NM_133373|555179_2_1303_1478
+MAIPSPPRFSSGTWSKPCATMPSRCPLTLSSYPWRTTAGWSSRLPWPATSAPSWGTCW
+>DECOY_NM_133373|555179_2_1303_1478
+WCTGWSPASTAPWPLRSSWGATTRWPYSSLTLPCRSPMTACPKSWTGSSFRPPSPIAM
+>NM_133373|555181_2_1585_1859
+MAGLCRIGRRRRRMTRRKKRRWRLQRRGGWPSRSPRSCRPWLCTATPPACGPCTLPPTPHNPARSAPSASAKPRNSFGRQGTALSGTMPAS
+>DECOY_NM_133373|555181_2_1585_1859
+SAPMTGSLATGQRGFSNRPKASASPASRAPNHPTPPLTCPGCAPPTATCLWPRCSRPSRSPWGGRRQLRWRRKKRRTMRRRRRGIRCLGAM
+>NM_133373|555185_2_2242_2387
+MASTPAGGRPCSSSCGLRSWHWSGLWWKIMTPPPPMTLWASLHCLLAA
+>DECOY_NM_133373|555185_2_2242_2387
+AALLCHLSAWLTMPPPPTMIKWWLGSWHWSRLGCSSSCPRGGAPTSAM
+>NM_006157|555215_2_547_674
+MGSQGQRHFLTAWQMDNGTRLHCQLAPLISCSMSTVTGFMSV
+>DECOY_NM_006157|555215_2_547_674
+VSMFGTVTSMSCSILPALQCHLRTGNDMQWATLFHRQGQSGM
+>NM_006157|555225_2_2134_2237
+MARYSADGQLVIARIQVLTYSVAQNVTPESQVNV
+>DECOY_NM_006157|555225_2_2134_2237
+VNVQSEPTVNQAVSYTLVQIRAIVLQGDASYRAM
+>NM_006157|555226_2_2245_2321
+MVTSCIEVETIGPIAVSSVGVWKER
+>DECOY_NM_006157|555226_2_2245_2321
+REKWVGVSSVAIPGITEVEICSTVM
+>NM_033340|555283_2_604_713
+MEKKIWNLALSPRLECSGVISEDCNLHLPGLPRDRA
+>DECOY_NM_033340|555283_2_604_713
+ARDRPLGPLHLNCDESIVGSCELRPSLALNWIKKEM
+>NM_000265|555298_2_500_627
+MARVPRQTSPAPSSCRRTAPLPTTRRPRAPRWLCPRGTWWRS
+>DECOY_NM_000265|555298_2_500_627
+SRWWTGRPCLWRPARPRRTTPLPATRRCSSPAPSTQRPVRAM
+>NM_001244|555310_3_480_646
+MGLPPSGKASKQNQVVLEQRWHSPWSQISGWESGDPIPWFVLHHLPTAVSCTMPK
+>DECOY_NM_001244|555310_3_480_646
+KPMTCSVATPLHHLVFWPIPDGSEWGSIQSWPSHWRQELVVQNQKSAKGSPPLGM
+>NM_001227|555342_2_889_1106
+MASRPTRGPSMTQMLILDTRSQWKLTSSSPIPRFQAITRGGAQEEAPGLCKPSAPSWRSTEKTWKSCRSSPG
+>DECOY_NM_001227|555342_2_889_1106
+GPSSRCSKWTKETSRWSPASPKCLGPAEEQAGGRTIAQFRPIPSSSTLKWQSRTDLILMQTMSPGRTPRSAM
+>NM_001145805|555521_2_1243_1319
+MGCPPSSVPFETQDMRVRPHLLLSW
+>DECOY_NM_001145805|555521_2_1243_1319
+WSLLLHPRVRMDQTEFPVSSPPCGM
+>NM_000169|555561_2_210_469
+MDWQGRLPWAGCTGSASCATLTARKSQIPASVRSSSWRWQSSWSQKAGRMQVMSTSALMTVGWLPKEIQKADFRQTLSAFLMGFAS
+>DECOY_NM_000169|555561_2_210_469
+SAFGMLFASLTQRFDAKQIEKPLWGVTMLASTSMVQMRGAKQSWSSQWRWSSSRVSAPIQSKRATLTACSASGTCGAWPLRGQWDM
+>NM_021952|555580_2_332_438
+MDPPATTETVLLPCKQGQPQMTAKPTSSSTIYPRI
+>DECOY_NM_021952|555580_2_332_438
+IRPYITSSSTPKATMQPQGQKCPLLVTETTAPPDM
+>NM_000702|555635_2_349_638
+MGPTPSHHLPQPLSGSSSAVSFSGGSPSCCGLGLSSASWPTASRLPWRMNHPTTIYIWVWCWQLWSLSLAASPTTRRPRAPRSWIPSRTWYLSKPL
+>DECOY_NM_000702|555635_2_349_638
+LPKSLYWTRSPIWSRPARPRRTTPSAALSLSWLQWCWVWIYITTPHNMRWPLRSATPWSASSLGLGCCSPSGGSFSVASSSGSLPQPLHHSPTPGM
+>NM_000702|555641_2_2827_2969
+MDRSGPMSSGRWWSSRATRHSLPASWWCSGLTSSSARPAATQSSSRA
+>DECOY_NM_000702|555641_2_2827_2969
+ARSSSQTAAPRASSSTLGSCWWSAPLSHRTARSSWWRGSSMPGSRDM
+>NM_000534|555715_2_1538_1749
+MDHYLVQILMKIIKQMFPQLTSFLVKQQKQMCFLIKWNHLERIIQMLILQSFHSKMICIMMNLEKTLMIV
+>DECOY_NM_000534|555715_2_1538_1749
+VIMLTKELNMMICIMKSHFSQLILMQIIRELHNWKILFCMQKQQKVLFSTLQPFMQKIIKMLIQVLYHDM
+>NM_021977|556044_2_562_653
+MAGSSFTCYPALVLASLGLWWPLHQTSLCL
+>DECOY_NM_021977|556044_2_562_653
+LCLSTQHLPWWLGLSALVLAPYCTFSSGAM
+>NM_021977|556045_2_970_1043
+MGNTSHQITQRSLLQMRKLVIHPF
+>DECOY_NM_021977|556045_2_970_1043
+FPHIVLKRMQLLSRQTIQHSTNGM
+>NM_015892|556102_2_1417_1529
+MGCETAIPWKIIWTSLTWPHTRSIKDCRPALQRSRAR
+>DECOY_NM_015892|556102_2_1417_1529
+RARSRQLAPRCDKISRTHPWTLSTWIIKWPIATECGM
+>NM_015055|556135_2_610_725
+MAFLHGNLLSLLEMDSLAKAWTGRLCLWQLMKSLMNLY
+>DECOY_NM_015055|556135_2_610_725
+YLNMLSKMLQWLCLRGTWAKALSDMELLSLLNGHLFAM
+>NM_015055|556136_2_649_725
+MDSLAKAWTGRLCLWQLMKSLMNLY
+>DECOY_NM_015055|556136_2_649_725
+YLNMLSKMLQWLCLRGTWAKALSDM
+>NM_020737|556200_2_1486_1757
+MAPWTSSSPHLRTVVPSPALLPMLPERPRPWWRSPSSSCHTSATAPAALHPPSPASQTSLAPARPAGEVEAVGAESLPKAPRNGLCLCLK
+>DECOY_NM_020737|556200_2_1486_1757
+KLCLCLGNRPAKPLSEAGVAEVEGAPRAPALSTQSAPSPPHLAAPATASTHCSSSPSRWWPRPREPLMPLLAPSPVVTRLHPSSSTWPAM
+>NM_019028|556297_2_148_239
+MAPTLQDLVDMASVHMKTKNLPMQEKLFLL
+>DECOY_NM_019028|556297_2_148_239
+LLFLKEQMPLNKTKMHVSAMDVLDQLTPAM
+>NM_019028|556300_2_517_599
+MVQTPLLLMERDSAASTWQYCFNTCLL
+>DECOY_NM_019028|556300_2_517_599
+LLCTNFCYQWTSAASDREMLLLPTQVM
+>NM_019028|556307_3_1622_1764
+MDLPQSDCGLFPLGFIYLDASNFPFLMVNIFIIKSTLSDCLSGPDLP
+>DECOY_NM_019028|556307_3_1622_1764
+PLDPGSLCDSLTSKIIFINVMLFPFNSADLYIFGLPFLGCDSQPLDM
+>NM_152447|556348_2_2672_2784
+MASLPSLPQESWVASSLLRNRIMCVAISCSLSFWEAP
+>DECOY_NM_152447|556348_2_2672_2784
+PAEWFSLSCSIAVCMIRNRLLSSAVWSEQPLSPLSAM
+>NM_152447|556349_2_2870_2946
+MGNTRSPRLAMFIPKLTGLKYKAVV
+>DECOY_NM_152447|556349_2_2870_2946
+VVAKYKLGTLKPIFMALRPSRTNGM
+>NM_024036|556358_2_1976_2208
+MAASPSSSATSSPRPMEAPAPHPRPTRRGAPRPGRSAAALWTWEMPGATVMPGAWEELGPDGATLCMGGCSGQGAGG
+>DECOY_NM_024036|556358_2_1976_2208
+GGAGQGSCGGMCLTAGDPGLEEWAGPMVTAGPMEWTWLAAASRGPRPAGRRTPRPHPAPAEMPRPSSTASSSPSAAM
+>NM_015020|556454_2_2362_2525
+MDWLRWQGREISCVSQHLLWIALQRGWELCMACLMETEMRSSRACCSVRWQMCF
+>DECOY_NM_015020|556454_2_2362_2525
+FCMQWRVSCCARSSRMETEMLCAMCLEWGRQLAIWLLHQSVCSIERGQWRLWDM
+>NM_015020|556472_3_719_801
+MATASIQGGVPANQYRGSLVLQPRGGS
+>DECOY_NM_015020|556472_3_719_801
+SGGRPQLVLSGRYQNAPVGGQISATAM
+>NM_005015|556496_3_269_456
+MAWETADHTAPIPSSPVLLSPTLPLPCGFRPPQPQYLCYLFCRSPGSGPSCCCCNSLTHSST
+>DECOY_NM_005015|556496_3_269_456
+TSSHTLSNCCCCSPGSGPSRCFLYCLYQPQPPRFGCPLPLTPSLLVPSSPIPATHDATEWAM
+>NM_145263|556547_2_483_649
+MVWKQSSHAFCLGWRLPLLLLPWENLLTARSPLCRTRLIGRDIKIPVLGIGICNS
+>DECOY_NM_145263|556547_2_483_649
+SNCIGIGLVPIKIDRGILRTRCLPSRATLLNEWPLLLLPLRWGLCFAHSSQKWVM
+>NM_005760|556827_2_142_230
+MGSPWRKCYGSEAPSKITLCWLLWMRMRK
+>DECOY_NM_005760|556827_2_142_230
+KRMRMWLLWCLTIKSPAESGYCKRWPSGM
+>NM_006546|556860_2_827_1020
+MGAEGALALGVSPARAHLWQRGPQPSSSKWTSPFGSWCPPSMWVPLLARRGPPSATSQNRPSPR
+>DECOY_NM_006546|556860_2_827_1020
+RPSPRNQSTASPPGRRALLPVWMSPPCWSGFPSTWKSSSPQPGRQWLHARAPSVGLALAGEAGM
+>NM_173683|556917_2_1510_1667
+MACCIPQDHELRSLPAPVVPSCSGASLCPPMLSPWRLRSLGTGGPRLRPPEP
+>DECOY_NM_173683|556917_2_1510_1667
+PEPPRLRPGGTGLSRLRWPSLMPPCLSAGSCSPVVPAPLSRLEHDQPICCAM
+>NM_001127464|556958_2_1165_1502
+MGWGAREGPLAPYPRGTFQGRRTEPVGWTPARGLRTPSWPPQGPHPPGCPSCGTPQQPLTPHLLGAPWLPPGVCSLTASPAQASGSASPRVPPCLGPKCSRPPGQVPTEWRC
+>DECOY_NM_001127464|556958_2_1165_1502
+CRWETPVQGPPRSCKPGLCPPVRPSASGSAQAPSATLSCVGPPLWPAGLLHPTLPQQPTGCSPCGPPHPGQPPWSPTRLGRAPTWGVPETRRGQFTGRPYPALPGERAGWGM
+>NM_001127464|556960_2_2284_2396
+MATSGLQAPLGSPRPPLPPESLPTHTRACSATRRPSC
+>DECOY_NM_001127464|556960_2_2284_2396
+CSPRRTASCARTHTPLSEPPLPPRPSGLPAQLGSTAM
+>NM_145167|557008_3_1342_1520
+MVYRAGHVAGSCLCSRVSRKEHLSVYLVSWFVLSSYQLFHPDSNYFPLQRRTPDRENQI
+>DECOY_NM_145167|557008_3_1342_1520
+IQNERDPTRRQLPFYNSDPHFLQYSSLVFWSVLYVSLHEKRSVRSCLCSGAVHGARYVM
+>NM_031433|557024_2_314_567
+MVGVLEGYGQTAASPGSVSSCSPACSSCCLGCWWPSSWPSCRLHPHLGRPIAHCLPEALPRPPPPPPSPPLRQLGPLKGSRSQA
+>DECOY_NM_031433|557024_2_314_567
+AQSRSGKLPGLQRLPPSPPPPPPRPLAEPLCHAIPRGLHPHLRCSPWSSPWWCGLCCSSCAPSCSSVSGPSAATQGYGELVGVM
+>NM_031433|557029_2_998_1074
+MVLPTVLTAVMRPIAVPSSRGVGGI
+>DECOY_NM_031433|557029_2_998_1074
+IGGVGRSSPVAIPRMVATLVTPLVM
+>NM_031433|557032_2_1358_1590
+MASAVEASQPPTWPSMPRRTPVGPVSSPARQEGVRVCSGCVTCGETAPMAAMTTAAAPCSHPQSWPVSLSRWRCASV
+>DECOY_NM_031433|557032_2_1358_1590
+VSACRWRSLSVPWSQPHSCPAAATTMAAMPATEGCTVCGSCVRVGEQRAPSSVPGVPTRRPMSPWTPPQSAEVASAM
+>NM_004882|557333_2_289_428
+MALISCMKPHQELKKKTKRKKKQKERPNTNLNGRKEPHEKNMPKMT
+>DECOY_NM_004882|557333_2_289_428
+TMKPMNKEHPEKRGNLNTNPREKQKKKRKTKKKLEQHPKMCSILAM
+>NM_017952|557426_2_658_815
+MVTRSPQLITIFNKLDSQKHWKRKMMRHLGGKLVISLELHGEQKTTLRESFL
+>DECOY_NM_017952|557426_2_658_815
+LFSERLTTKQEGHLELSIVLKGGLHRMMKRKWHKQSDLKNFITILQPSRTVM
+>NM_001154|557452_2_562_782
+MAQAWKMTWWGTLQGTTSGCWWFSFRLTETLMLELMKLKLNKMLRLYFRLENLNGGQMKKSLSPSLEHEVCLI
+>DECOY_NM_001154|557452_2_562_782
+ILCVEHELSPSLSKKMQGGNLNELRFYLRLMKNLKLKMLELMLTETLRFSFWWCGSTTGQLTGWWTMKWAQAM
+>NM_005824|557551_2_375_475
+MAGRVEAGEAPTRSNATHQASRVTCTHISMRNT
+>DECOY_NM_005824|557551_2_375_475
+TNRMSIHTCTVRSAQHTANSRTPAEGAEVRGAM
+>NM_017901|557614_2_409_503
+MAAAMPSTTPRPPVSALGVRVPPPAPHTTGR
+>DECOY_NM_017901|557614_2_409_503
+RGTTHPAPPPVRVGLASVPPRPTTSPMAAAM
+>NM_015112|557711_2_341_465
+MEFSGQRSCLSLCRRAGERRPGGSGWRSGRAPRGPRARSRM
+>DECOY_NM_015112|557711_2_341_465
+MRSRARPGRPARGSRWGSGGPRREGARRCLSLCSRQGSFEM
+>NM_015112|557716_2_998_1101
+MELTLLAPLSHHHAPHRKSCISCLSSLQLMSCTF
+>DECOY_NM_015112|557716_2_998_1101
+FTCSMLQLSSLCSICSKRHPAHHHSLPALLTLEM
+>NM_015112|557719_2_1772_1902
+MGHLCHLKRHPLKRTSRPLSSSAMAPMGLYFWCGTSPPGSALP
+>DECOY_NM_015112|557719_2_1772_1902
+PLASGPPSTGCWFYLGMPAMASSSLPRSTRKLPHRKLHCLHGM
+>NM_015112|557721_2_2387_2493
+MGSQWTGGPWALSCMSSWWAASLFLEILRRSSLGR
+>DECOY_NM_015112|557721_2_2387_2493
+RGLSSRRLIELFLSAAWWSSMCSLAWPGGTWQSGM
+>NM_015112|557724_2_2783_2907
+MAALRSASSLPALQGSTRCTAAWSGSHCSRSAGHHPRPSAA
+>DECOY_NM_015112|557724_2_2783_2907
+AASPRPHHGASRSCHSGSWAATCRTSGQLAPLSSASRLAAM
+>NM_015112|557727_2_3623_3804
+MASPCGPFASTWVTPMSTPCTIWCGTWRMEVRPVRQGFVKVTSSPMSMGNLCMAWCTRRW
+>DECOY_NM_015112|557727_2_3623_3804
+WRRTCWAMCLNGMSMPSSTVKVFGQRVPRVEMRWTGCWITCPTSMPTVWTSAFPGCPSAM
+>NM_015112|557729_2_3707_3804
+MEVRPVRQGFVKVTSSPMSMGNLCMAWCTRRW
+>DECOY_NM_015112|557729_2_3707_3804
+WRRTCWAMCLNGMSMPSSTVKVFGQRVPRVEM
+>NM_015112|557730_2_3944_4128
+MGKKAEKGAPCSARSPSKHPCSTPAAAFLPLTAPCHQGRVGQALPHTATAFPPDLPLKATG
+>DECOY_NM_015112|557730_2_3944_4128
+GTAKLPLDPPFATATHPLAQGVRGQHCPATLPLFAAAPTSCPHKSPSRASCPAGKEAKKGM
+>NM_003581|557741_2_1010_1113
+MARAPACCMWSRRCTPSAQSPRRSSTSRRGRPWR
+>DECOY_NM_003581|557741_2_1010_1113
+RWPRGRRSTSSRRPSQASPTCRRSWMCCAPARAM
+>NM_152336|557804_2_858_934
+MAMMKWTKTLILKMGKWKMMTWKQT
+>DECOY_NM_152336|557804_2_858_934
+TQKWTMMKWKGMKLILTKTWKMMAM
+>NM_152336|557818_3_2536_2636
+MAFSQCSSAANHLPCQRPPLPPEQHWPKSRGFL
+>DECOY_NM_152336|557818_3_2536_2636
+LFGRSKPWHQEPPLPPRQCPLHNAASSCQSFAM
+>NM_023932|557825_2_516_727
+MEASACMTGAVSTIVCAYQASMGVTASARLDPVNRQAPHAAMAGSARTTRALLSTSRAAAWWALWVPAVR
+>DECOY_NM_023932|557825_2_516_727
+RVAPVWLAWWAAARSTSLLARTTRASGAMAAHPAQRNVPDLRASATVGMSAQYACVITSVAGTMCASAEM
+>NM_023932|557828_2_639_727
+MAGSARTTRALLSTSRAAAWWALWVPAVR
+>DECOY_NM_023932|557828_2_639_727
+RVAPVWLAWWAAARSTSLLARTTRASGAM
+>NM_018411|557965_2_912_1030
+MGRGRSTGWAAKRDCAGRRPCLPIRWHSAGQRAHLAVAP
+>DECOY_NM_018411|557965_2_912_1030
+PAVALHARQGASHWRIPLCPRRGACDRKAAWGTSRGRGM
+>NM_018411|557966_2_1419_1750
+MERWELAGSRILARSSWGSQTLCPGPPGPLVPQALFILLATSGLGQAMGTLGTSWGHQQHQGAPLLSRLSPSGAAVHPTHPLKVGVLALVGSARRAWRGVPVEPANPARK
+>DECOY_NM_018411|557966_2_1419_1750
+KRAPNAPEVPVGRWARRASGVLALVGVKLPHTPHVAAGSPSLRSLLPAGQHQQHGWSTGLTGMAQGLGSTALLIFLAQPVLPGPPGPCLTQSGWSSRALIRSGALEWREM
+>NM_018411|557967_2_1560_1750
+MGTLGTSWGHQQHQGAPLLSRLSPSGAAVHPTHPLKVGVLALVGSARRAWRGVPVEPANPARK
+>DECOY_NM_018411|557967_2_1560_1750
+KRAPNAPEVPVGRWARRASGVLALVGVKLPHTPHVAAGSPSLRSLLPAGQHQQHGWSTGLTGM
+>NM_018411|557971_2_2079_2431
+MARPVSRTRDFRTYHAWLSLQNWLNAKVVPRQLEREEGTPATLSKCGDRLWEGSCSRRKTQPPTPALRKAQGPALTAGSAQASPSTCSVVWGTDCAACCGGSGRPWLGPSGKAKGQP
+>DECOY_NM_018411|557971_2_2079_2431
+PQGKAKGSPGLWPRGSGGCCAACDTGWVVSCTSPSAQASGATLAPGQAKRLAPTPPQTKRRSCSGEWLRDGCKSLTAPTGEERELQRPVVKANLWNQLSLWAHYTRFDRTRSVPRAM
+>NM_018411|557972_2_2478_2641
+MDSSTPTGDVPAAATGCVWPVVVWQALGGPGRKQAFRSSPRRSARRRPGTLPVP
+>DECOY_NM_018411|557972_2_2478_2641
+PVPLTGPRRRASRRPSSRFAQKRGPGGLAQWVVVPWVCGTAAAPVDGTPTSSDM
+>NM_018411|557975_2_2832_3367
+MATPTGPRASKRRPPIPLRPQQRTVLAEGPCLVLLSANCWLLPRSNSAWAMSEYTWPSPPSLRPCPVMTASPTSWTALSHRWWNGRSRRKPWGRGFELARVCARAWACPSLQCGPGCLPQGLCCGCRSPSLALGVASTSSRSTGGRASLCWCQGSKGHCRATCGGQKLLGHLEARCRR
+>DECOY_NM_018411|557975_2_2832_3367
+RRCRAELHGLLKQGGCTARCHGKSGQCWCLSARGGTSRSSTSAVGLALSPSRCGCCLGQPLCGPGCQLSPCAWARACVRALEFGRGWPKRRSRGNWWRHSLATWSTPSATMVPCPRLSPPSPWTYESMAWASNSRPLLWCNASLLVLCPGEALVTRQQPRLPIPPRRKSARPGTPTAM
+>NM_018411|557989_3_2758_2885
+MGPRGCRPAEGINTENAPNSTTFLQWRHPQDQEHQRGDPRFR
+>DECOY_NM_018411|557989_3_2758_2885
+RFRPDGRQHEQDQPHRWQLFTTSNPANETNIGEAPRCGRPGM
+>NM_000692|558076_2_491_735
+MGSLSKSLTPWTWMRSSRCIGTLLAGLTSGMARPSPWMASISASPGMSPLVSVARSSRGTSPWSCRVGNLPRHSPQATLWL
+>DECOY_NM_000692|558076_2_491_735
+LWLTAQPSHRPLNGVRCSWPSTGRSSRAVSVLPSMGPSASISAMWPSPRAMGSTLGALLTGICRSSRMWTWPTLSKSLSGM
+>NM_000692|558078_2_581_735
+MARPSPWMASISASPGMSPLVSVARSSRGTSPWSCRVGNLPRHSPQATLWL
+>DECOY_NM_000692|558078_2_581_735
+LWLTAQPSHRPLNGVRCSWPSTGRSSRAVSVLPSMGPSASISAMWPSPRAM
+>NM_000692|558081_2_833_918
+MAQQQVRPSPSTWMLTKLPSPVPPRWAT
+>DECOY_NM_000692|558081_2_833_918
+TAWRPPVPSPLKTLMWTSPSPRVQQQAM
+>NM_000692|558085_2_1436_1521
+MAWLRLCSPGIWTRPCTSPRHSRPGPCG
+>DECOY_NM_000692|558085_2_1436_1521
+GCPGPRSHRPSTCPRTWIGPSCLRLWAM
+>NM_005589|558119_2_1432_1511
+MELPSSPPMEPLLGNMPTWWMLDRWE
+>DECOY_NM_005589|558119_2_1432_1511
+EWRDLMWWTPMNGLLPEMPPSSPLEM
+>NM_012190|558158_2_296_471
+MERPTPWVWKLRRMECRYSSTPGGVQKDRLCLMWWQNTRLWGPSSTSCPSAANSSPWR
+>DECOY_NM_012190|558158_2_296_471
+RWPSSNAASPCSTSSPGWLRTNQWWMLCLRDKQVGGPTSSYRCEMRRLKWVWPTPREM
+>NM_012190|558159_2_335_471
+MECRYSSTPGGVQKDRLCLMWWQNTRLWGPSSTSCPSAANSSPWR
+>DECOY_NM_012190|558159_2_335_471
+RWPSSNAASPCSTSSPGWLRTNQWWMLCLRDKQVGGPTSSYRCEM
+>NM_012190|558161_2_488_669
+MAPSSITRHCSLGTEGPRPSTGPSFTEIRKGGFPSSGRMMVWTPETCCCRRSVRCSRTTP
+>DECOY_NM_012190|558161_2_488_669
+PTTRSCRVSRRCCCTEPTWVMMRGSSPFGGKRIETFSPGTSPRPGETGLSCHRTISSPAM
+>NM_000696|558425_2_472_635
+MASPSLRPAWTLTFPGSAWSIMRAWLHPWLVNTSSSQVDRLVIPEENHLGYVWE
+>DECOY_NM_000696|558425_2_472_635
+EWVYGLHNEEPIVLRDVQSSSTNVLWPHLWARMISWASGPFTLTWAPRLSPSAM
+>NM_000696|558430_3_368_444
+MESKIWHGALPNPFGGCQDNKGTGG
+>DECOY_NM_000696|558430_3_368_444
+GGTGKNDQCGGFPNPLAGHWIKSEM
+>NM_005989|558438_2_109_191
+METAFPSSDLVPTQNLNRPLREPVQHR
+>DECOY_NM_005989|558438_2_109_191
+RHQVPERLPRNLNQTPVLDSSPFATEM
+>NM_005989|558442_2_478_557
+MANGYITSQICVPLGRRWKLAKTLAW
+>DECOY_NM_005989|558442_2_478_557
+WALTKALKWRRGLPVCIQSTIYGNAM
+>NM_005989|558448_3_332_426
+MGYKSCPRDGPPNPGEDTQGPPARLCGSLHH
+>DECOY_NM_005989|558448_3_332_426
+HHLSGCLRAPPGQTDEGPNPPGDRPCSKYGM
+>NM_020223|558483_2_1666_1793
+MEEGLGSIRTTSSPSWCRYSSAAGSGSPPTCVCSSWPRRSTS
+>DECOY_NM_020223|558483_2_1666_1793
+STSRRPWSSCVCTPPSGSGAASSYRCWSPSSTTRISGLGEEM
+>NM_001085377|558519_2_669_742
+MGRFPFRISQDAACSLFEKLGRRK
+>DECOY_NM_001085377|558519_2_669_742
+KRRGLKEFLSCAADQSIRFPFRGM
+>NM_001085377|558521_2_936_1012
+MAEALCISRLLSTNCSHSPRTLATL
+>DECOY_NM_001085377|558521_2_936_1012
+LTALTRPSHSCNTSLLRSICLAEAM
+>NM_178170|558562_2_1273_1430
+MAASLTSASPPLWRLCWAMKWCRWPVGPLTCWPCPLSENYLPGAVETAADWG
+>DECOY_NM_178170|558562_2_1273_1430
+GWDAATEVAGPLYNESLPCPWCTLPGVPWRCWKMAWCLRWLPPSASTLSAAM
+>NM_153329|558593_2_1443_1618
+MGSRWALSGSTPTASETLRCPQAAARRVGVPGTGAQTGCMSICGPQGPLPGCPASPRT
+>DECOY_NM_153329|558593_2_1443_1618
+TRPSAPCGPLPGQPGCISMCGTQAGTGPVGVRRAAAQPCRLTESATPTSGSLAWRSGM
+>NM_033419|558691_2_704_972
+MATTWWPTWLLAWSTWCGGWPGACGTSGGCLTCASAWWWSCCCRGCPCSSCLTSHRSSGSWMPMPSGTSAPSLSTSSFSAFWKMTACTC
+>DECOY_NM_033419|558691_2_704_972
+CTCATMKWFASFSSTSLSPASTGSPMPMWSGSSRHSTLCSSCPCGRCCCSWWWASACTLCGGSTGCAGPWGGCWTSWALLWTPWWTTAM
+>NM_000694|558713_2_1314_1426
+MASSPSTPSPTIAPASCAARGWRSSTPSATRRNRRAA
+>DECOY_NM_000694|558713_2_1314_1426
+AARRNRRTASPTSSRWGRAACSAPAITPSPTSPSSAM
+>NM_018043|558780_2_456_772
+MACTSGTAGARWTTSWCTITRGPRATGPWSGGCSTATPPLGLAASSRTTPCRARGRRWMQARGSPRWTTTRMTSASAGRSTRATSWRRAWSWSGTRTLKSTESGL
+>DECOY_NM_018043|558780_2_456_772
+LGSETSKLTRTGSWSWARRWSTARTSRGASASTMRTTTWRPSGRAQMWRRGRARCPTTRSSAALGLPPTATSCGGSWPGTARPGRTITCWSTTWRAGATGSTCAM
+>NM_018043|558784_2_1158_1477
+METTTVKTSSSTTENSCTKSGHAMEFSISTSPSTWSGSILGRRSACTSPGWACTPRCSSLPPSWESLSSCTDAPPWMKTSPAWRCVTRDTISPCARFATRPAATGR
+>DECOY_NM_018043|558784_2_1158_1477
+RGTAAPRTAFRACPSITDRTVCRWAPSTKMWPPADTCSSLSEWSPPLSSCRPTCAWGPSTCASRRGLISGSWTSPSTSISFEMAHGSKTCSNETTSSSTKVTTTEM
+>NM_018043|558785_2_1227_1477
+MEFSISTSPSTWSGSILGRRSACTSPGWACTPRCSSLPPSWESLSSCTDAPPWMKTSPAWRCVTRDTISPCARFATRPAATGR
+>DECOY_NM_018043|558785_2_1227_1477
+RGTAAPRTAFRACPSITDRTVCRWAPSTKMWPPADTCSSLSEWSPPLSSCRPTCAWGPSTCASRRGLISGSWTSPSTSISFEM
+>NM_018944|558814_2_445_584
+MVASLRLCAARGAHSILATCTDARPRILITRETCFASVLKPLKVMF
+>DECOY_NM_018944|558814_2_445_584
+FMVKLPKLVSAFCTERTILIRPRADTCTALISHAGRAACLRLSAVM
+>NM_001145472|558866_2_2621_2700
+METGCPSGRARRPWCVKCVPLSMRKK
+>DECOY_NM_001145472|558866_2_2621_2700
+KKRMSLPVCKVCWPRRARGSPCGTEM
+>NM_001099771|558954_2_734_810
+MALIQIFQMSMEIPLCTTLSIMKIN
+>DECOY_NM_001099771|558954_2_734_810
+NIKMISLTTCLPIEMSMQFIQILAM
+>NM_001099771|558961_2_2738_2859
+MAIGSPPWPSGKSCVTSKRSCAMLPWTSSRRWPRWPPAPP
+>DECOY_NM_001099771|558961_2_2738_2859
+PPAPPWRPWRRSSTWPLMACSRKSTVCSKGSPWPPSGIAM
+>NM_005581|558990_2_1257_1393
+MAPCCRSVLSPSIPMAPTYVRPPCPQSRSSAAPRTSRCWSKARQS
+>DECOY_NM_005581|558990_2_1257_1393
+SQRAKSWCRSTRPAASSRSQPCPPRVYTPAMPISPSLVSRCCPAM
+>NM_001033018|559096_2_76_191
+MESKFAPALARKPYVSSGVRQDTGGLRSATIFCLAVKI
+>DECOY_NM_001033018|559096_2_76_191
+IKVALCFITASRLGGTDQRVGSSVYPKRALAPAFKSEM
+>NM_020761|559144_2_1256_1407
+MATGCPGPQSTGRSGSSTRTTRSTSLCPYMTCRRGWAARRSSSTTAPMLA
+>DECOY_NM_020761|559144_2_1256_1407
+ALMPATTSSSRRAAWGRRCTMYPCLSTSRTTRTSSGSRGTSQPGPCGTAM
+>NM_003560|559197_2_626_774
+MGRSWWSWCSTATLRWMSPTTRERPSSIMLSRVTILRCCSSLEGTQWLA
+>DECOY_NM_003560|559197_2_626_774
+ALWQTGELSSCCRLITVRSLMISSPRERTTPSMWRLTATSCWSWWSRGM
+>NM_019023|559338_2_1504_1592
+MAACSPCWPITWGWSRCLQSRVQQLLTNC
+>DECOY_NM_019023|559338_2_1504_1592
+CNTLLQQVRSQLCRSWGWTIPWCPSCAAM
+>NM_016120|559398_2_667_824
+MGAKIQRMKMSHLQDVLVEKMWKTTAKGKWKTHDLNQHLQGHLDQNEIQLKR
+>DECOY_NM_016120|559398_2_667_824
+RKLQIENQDLHGQLHQNLDHTKWKGKATTKWMKEVLVDQLHSMKMRQIKAGM
+>NM_147204|559488_2_219_295
+MAPFRPHRLMPVALLAQAMGDQICA
+>DECOY_NM_147204|559488_2_219_295
+ACIQDGMAQALLAVPMLRHPRFPAM
+>NM_147204|559490_2_699_1000
+MAATTPSLCCWTSRSAPATCGSSLTRPSVTSTIEVRQPCTSPLSVAANTTWNFSWPRELMSTPRPVGASSSPRMRGATSTLGSCPCRWLPAPTSPTLSTT
+>DECOY_NM_147204|559490_2_699_1000
+TTSLTPSTPAPLWRCPCSGLTSTAGRMRPSSSAGVPRPTSMLERPWSFNWTTNAAVSLPSTCPQRVEITSTVSPRTLSSGCTAPASRSTWCCLSPTTAAM
+>NM_147204|559497_2_2331_2404
+MASRIPWAASAGIAGPRWYPAWWN
+>DECOY_NM_147204|559497_2_2331_2404
+NWWAPYWRPGAIGASAAWPIRSAM
+>NM_001031849|559575_2_1386_1465
+MGRGVTRFPPVKKMKSIWRANSSQSK
+>DECOY_NM_001031849|559575_2_1386_1465
+KSQSSNARWISKMKKVPPFRTVGRGM
+>NM_012090|559710_2_4772_4893
+MVLQISFSSFRASWLTRQNKRPCRNNKIPVTSNWRIFAVG
+>DECOY_NM_012090|559710_2_4772_4893
+GVAFIRWNSTVPIKNNRCPRKNQRTLWSARFSSFSIQLVM
+>NM_012090|559717_2_8951_9042
+MESGMRRLGSTRTWMLLQKSCRPKQGHSKK
+>DECOY_NM_012090|559717_2_8951_9042
+KKSHGQKPRCSKQLLMWTRTSGLRRMGSEM
+>NM_012090|559733_2_15605_15831
+MGMVTLIIMNLWLLFIPTRMRIDQQPMQIKSKMRLQDKWLSANVQKGFRWSRSERINTGLGILSSCGWSVFCAAP
+>DECOY_NM_012090|559733_2_15605_15831
+PAACFVSWGCSSLIGLGTNIRESRSWRFGKQVNASLWKDQLRMKSKIQMPQQDIRMRTPIFLLWLNMIILTVMGM
+>NM_012090|559734_2_15611_15831
+MVTLIIMNLWLLFIPTRMRIDQQPMQIKSKMRLQDKWLSANVQKGFRWSRSERINTGLGILSSCGWSVFCAAP
+>DECOY_NM_012090|559734_2_15611_15831
+PAACFVSWGCSSLIGLGTNIRESRSWRFGKQVNASLWKDQLRMKSKIQMPQQDIRMRTPIFLLWLNMIILTVM
+>NM_001128147|559768_3_356_441
+MGPGRGQPLRRAASNKNRTAEHTYQSQY
+>DECOY_NM_001128147|559768_3_356_441
+YQSQYTHEATRNKNSAARRLPQGRGPGM
+>NM_005393|559859_2_2569_2642
+MGPCARRGLWSCCVLRPALMQSSP
+>DECOY_NM_005393|559859_2_2569_2642
+PSSQMLAPRLVCCSWLGRRACPGM
+>NM_005393|559865_2_4147_4343
+MAVARCSPSLRGQGRTATVPLCARASRSSPTCSTASSSSSRSSTPWRSSPAFPRGIAAMWLRCCR
+>DECOY_NM_005393|559865_2_4147_4343
+RCCRLWMAAIGRPFAPSSRWPTSSRSSSSSATSCTPSSRSARACLPVTATRGQGRLSPSCRAVAM
+>NM_152408|559890_2_1158_1309
+MVLVFKEKNILLIWILQLLRCPYQLHHHCCHPHQPPSEEPARLPFPQLLR
+>DECOY_NM_152408|559890_2_1158_1309
+RLLQPFPLRAPEESPPQHPHCCHHHLQYPCRLLQLIWILLINKEKFVLVM
+>NM_014303|559937_2_1482_1606
+MVMKREKMRRRRKMQRLVQKRRKRPGWQPWKSRGWRGRSPG
+>DECOY_NM_014303|559937_2_1482_1606
+GPSRGRWGRSKWPQWGPRKRRKQVLRQMKRRRRMKERKMVM
+>NM_015465|559963_2_1425_1510
+MEKWDCMTPTPTSLHRFLAHIIRRLYIL
+>DECOY_NM_015465|559963_2_1425_1510
+LIYLRRIIHALFRHLSTPTPTMCDWKEM
+>NM_015465|559965_2_2037_2329
+MEGWYLLPMMVQPRCGMLSGKSPCAISEDIEVDCFVWHGLLWIQTASIQGQMTFVCTSGSLPCKIIPGLLKAKKVLNWRKNGSLNLRQSPKRRKSPP
+>DECOY_NM_015465|559965_2_2037_2329
+PPSKRRKPSQRLNLSGNKRWNLVKKAKLLGPIIKCPLSGSTCVFTMQGQISATQIWLLGHWVFCDVEIDESIACPSKGSLMGCRPQVMMPLLYWGEM
+>NM_015465|559967_2_2064_2329
+MVQPRCGMLSGKSPCAISEDIEVDCFVWHGLLWIQTASIQGQMTFVCTSGSLPCKIIPGLLKAKKVLNWRKNGSLNLRQSPKRRKSPP
+>DECOY_NM_015465|559967_2_2064_2329
+PPSKRRKPSQRLNLSGNKRWNLVKKAKLLGPIIKCPLSGSTCVFTMQGQISATQIWLLGHWVFCDVEIDESIACPSKGSLMGCRPQVM
+>NM_015465|559970_2_2406_2563
+MVCQTKKGRSKHGSRNYPVALLQRFLENQLSALQFPQALKSQKSPLITKSFY
+>DECOY_NM_015465|559970_2_2406_2563
+YFSKTILPSKQSKLAQPFQLASLQNELFRQLLAVPYNRSGHKSRGKKTQCVM
+>NM_015465|559972_2_2829_2917
+MATLSYFTSLCFGKEISKVFSRLQQKEGS
+>DECOY_NM_015465|559972_2_2829_2917
+SGEKQQLRSFVKSIEKGFCLSTFYSLTAM
+>NM_015465|559982_3_361_470
+MGCRDKNSCDRTCTPSAYDINITLVSSSKGLNSIWG
+>DECOY_NM_015465|559982_3_361_470
+GWISNLGKSSSVLTINIDYASPTCTRDCSNKDRCGM
+>NM_015465|559983_3_1012_1097
+MGSHSILETEIHPLQCLIRRAKSFKNCV
+>DECOY_NM_015465|559983_3_1012_1097
+VCNKFSKARRILCQLPHIETELISHSGM
+>NM_015465|559985_3_2968_3059
+MGCGSFCQTAVFSGSVCQGCFSPTFHPQSV
+>DECOY_NM_015465|559985_3_2968_3059
+VSQPHFTPSFCGQCVSGSFVATQCFSGCGM
+>NM_016321|560023_2_748_920
+MGTASTEPPSTPTAPWQPACLPRWQYPVPCTRRASWTWCTSRMPRSQEGWPWVPLLR
+>DECOY_NM_016321|560023_2_748_920
+RLLPVWPWGEQSRPMRSTCWTWSARRTCPVPYQWRPLCAPQWPATPTSPPETSATGM
+>NM_014249|560039_2_365_504
+MASMPATAAAASSRGAYGGGSSTGARWGQGCAPWTRPTATSARPAG
+>DECOY_NM_014249|560039_2_365_504
+GAPRASTATPRTWPACGQGWRAGTSSGGGYAGRSSAAAATAPMSAM
+>NM_001627|560145_2_1213_1286
+MDHLARKQFILNRQYLIFTILQSR
+>DECOY_NM_001627|560145_2_1213_1286
+RSQLITFILYQRNLIFQKRALHDM
+>NM_015001|560199_2_289_494
+MAAWKVSKFFPRGDLKEEWLPLWILWTSKVHRKLTTRSTKWVTETYARIIMNQAPSRVLLGDWMIQFP
+>DECOY_NM_015001|560199_2_289_494
+PFQIMWDGLLVRSPAQNMIIRAYTETVWKTSRTTLKRHVKSTWLIWLPLWEEKLDGRPFFKSVKWAAM
+>NM_015001|560207_2_742_1298
+MGSITLLGVEVQIALMLMTPDMNLGLASSLHCPVWYTGISTGMILPGRYEAEGQSGITSTAGVGHHIHPSLEISLLRDWLAKHLDPQGPLAAAALEVDPPVVIQSAAAVVPAVTAVIPAVVQVMILQLDQFSLQQSLHPLPSCFHLWKKMSPVKVLASRSRIFQYALQIQALKMAFSMNLRNLEK
+>DECOY_NM_015001|560207_2_742_1298
+KELNRLNMSFAMKLAQIQLAYQFIRSRSALVKVPSMKKWLHFCSPLPHLSQQLSFQDLQLIMVQVVAPIVATVAPVVAAASQIVVPPDVELAAAALPGQPDLHKALWDRLLSIELSPHIHHGVGATSTIGSQGEAEYRGPLIMGTSIGTYWVPCHLSSALGLNMDPTMLMLAIQVEVGLLTISGM
+>NM_002134|560335_2_940_1061
+MGSLYTMGKETCVNALSTLLNKTKVPWRAAAVPSEQLWLC
+>DECOY_NM_002134|560335_2_940_1061
+CLWLQESPVAAARWPVKTKNLLTSLANVCTEKGMTYLSGM
+>NM_002134|560336_2_958_1061
+MGKETCVNALSTLLNKTKVPWRAAAVPSEQLWLC
+>DECOY_NM_002134|560336_2_958_1061
+CLWLQESPVAAARWPVKTKNLLTSLANVCTEKGM
+>NM_000540|560527_2_1904_2124
+MGGTTRSWTCYAPCVCVMVWLYAPTKILLLRTCCLAVSFCCRQTSSTMSPASAPTSLWAERKAPRSTANGTLR
+>DECOY_NM_000540|560527_2_1904_2124
+RLTGNATSRPAKREAWLSTPASAPSMTSSTQRCCFSVALCCTRLLLIKTPAYLWVMVCVCPAYCTWSRTTGGM
+>NM_000540|560528_2_1955_2124
+MVWLYAPTKILLLRTCCLAVSFCCRQTSSTMSPASAPTSLWAERKAPRSTANGTLR
+>DECOY_NM_000540|560528_2_1955_2124
+RLTGNATSRPAKREAWLSTPASAPSMTSSTQRCCFSVALCCTRLLLIKTPAYLWVM
+>NM_000540|560530_2_2516_2778
+MVNSSSCPHLAMLHAMRLCSLESDSILNPSRSIDGRGPGGLTWWAPVAASHTPTSCPALWTLSRLSCRPIWSAFGRSWRRTSTSSGR
+>DECOY_NM_000540|560530_2_2516_2778
+RGSSTSTRRWSRGFASWIPRCSLRSLTWLAPCSTPTHSAAVPAWWTLGGPGRGDISRSPNLISDSELSCLRMAHLMALHPCSSSNVM
+>NM_000540|560534_2_3098_3453
+MGTTCGPETAWARAGATAQCRTSQRAETLGWCPTACWMKPPSAATGTASARPCAPSWATATTSSLLTRSPVRWRTSLVVTGCASSGQRNPIQCRAAAGTSSLKQSPQARCAWAGRGPS
+>DECOY_NM_000540|560534_2_3098_3453
+SPGRGAWACRAQPSQKLSSTGAAARCQIPNRQGSSACGTVVLSTRWRVPSRTLLSSTTATAWSPACPRASATGTAASPPKMWCATPCWGLTEARQSTRCQATAGARAWATEPGCTTGM
+>NM_000540|560544_2_6170_6267
+MVQMRKTVLSLKRFDRICLTFIKTCWHTVEFS
+>DECOY_NM_000540|560544_2_6170_6267
+SFEVTHWCTKIFTLCIRDFRKLSLVTKRMQVM
+>NM_000540|560548_2_7307_7416
+MAQASAGTGGASTLVRNRLKKTGCTWDTPSCPSMPP
+>DECOY_NM_000540|560548_2_7307_7416
+PPMSPCSPTDWTCGTKKLRNRVLTSAGGTGASAQAM
+>NM_000540|560549_2_7574_7719
+MGLWCSQRCQHPSCRTTRRPWCSSWTVCMASRTRTSCCTCWTWGSCPT
+>DECOY_NM_000540|560549_2_7574_7719
+TPCSGWTWCTCCSTRTRSAMCVTWSSCWPRRTTRCSPHQCRQSCWLGM
+>NM_000540|560566_2_11711_12123
+MALSSIARTERRSWRMMNSHKTCSDSYNCSVRGTIMISRTTYGHRQGTRPLLTSSFALWTTSCGCRNPSATSTGTTRARMSLKSRARGTSPKPCRWLSRCSTASLSTSRVPAPGTSRAWRTVAYGTQWWDSCTCSPT
+>DECOY_NM_000540|560566_2_11711_12123
+TPSCTCSDWWQTGYAVTRWARSTGPAPVRSTSLSATSCRSLWRCPKPSTGRARSKLSMRARTTGTSTASPNRCGCSTTWLAFSSTLLPRTGQRHGYTTRSIMITGRVSCNYSDSCTKHSNMMRWSRRETRAISSLAM
+>NM_000540|560576_2_13652_13779
+MGRRKKFPSPHQSPPRSKHLPHPLQRRRKLEANSGENWRCRG
+>DECOY_NM_000540|560576_2_13652_13779
+GRCRWNEGSNAELKRRRQLPHPLHKSRPPSQHPSPFKKRRGM
+>NM_000540|560583_3_723_811
+MEHEPHLLPLRRGLRDGRSRPPPLSWTYG
+>DECOY_NM_000540|560583_3_723_811
+GYTWSLPPPRSRGDRLGRRLPLLHPEHEM
+>NM_005670|560619_2_661_734
+MDLIMTVAVLTMKTTWWMVCIVSQ
+>DECOY_NM_005670|560619_2_661_734
+QSVICVMWWTTKMTLVAVTMILDM
+>NM_002480|560920_2_385_533
+MAPSSWLLAPAATRTRSSSCCTAAPTSITPMWTDSLPCTRLALMTMLIW
+>DECOY_NM_002480|560920_2_385_533
+WILMTMLALRTCPLSDTWMPTISTPAATCCSSSRTRTAAPALLWSSPAM
+>NM_002480|560921_2_550_641
+MEQILINLIMKAGYHYMQQLPVDILILQSF
+>DECOY_NM_002480|560921_2_550_641
+FSQLILIDVPLQQMYHYGAKMILNILIQEM
+>NM_002480|560928_2_1603_1781
+MVHLLKSQHLKRVRKKKILQVLHVQLQVPDFPPLWIIKKRRKIVKELGLHMLHLQYQDD
+>DECOY_NM_002480|560928_2_1603_1781
+DDQYQLHLMHLGLEKVIKRRKKIIWLPPFDPVQLQVHLVQLIKKKRVRKLHQSKLLHVM
+>NM_015030|561064_2_861_967
+MERKMNLMNIGLGLAQSLRGMNSNVKEIIFLKGGT
+>DECOY_NM_015030|561064_2_861_967
+TGGKLFIIEKVNSNMGRLSQALGLGINMLNMKREM
+>NM_015030|561074_2_4731_4804
+MAMNWPGRRWRMCGPHLQMAGPKT
+>DECOY_NM_015030|561074_2_4731_4804
+TKPGAMQLHPGCMRWRRGPWNMAM
+>NM_015030|561077_2_5844_5938
+MEKSKPSWNSLPQEKEGPFGTMRMFLPRILA
+>DECOY_NM_015030|561077_2_5844_5938
+ALIRPLFMRMTGFPGEKEQPLSNWSPKSKEM
+>NM_015030|561082_2_7530_7690
+MVISTVRLEEMGNQKLLLSLEVLPQLLLVLILMPWFLLVGKGHSYHSEEQEKS
+>DECOY_NM_015030|561082_2_7530_7690
+SKEQEESHYSHGKGVLLFWPMLILVLLLQPLVELSLLLKQNGMEELRVTSIVM
+>NM_015030|561083_2_7560_7690
+MGNQKLLLSLEVLPQLLLVLILMPWFLLVGKGHSYHSEEQEKS
+>DECOY_NM_015030|561083_2_7560_7690
+SKEQEESHYSHGKGVLLFWPMLILVLLLQPLVELSLLLKQNGM
+>NM_003794|561273_2_862_953
+MDCRVLVIIWMCMHLLLMIFWKMKNIMQIS
+>DECOY_NM_003794|561273_2_862_953
+SIQMINKMKWFIMLLLHMCMWIIVLVRCDM
+>NM_005501|561346_2_1608_1711
+MDFRILLWELRLKAWAKCTSITVALRGSLDSPSR
+>DECOY_NM_005501|561346_2_1608_1711
+RSPSDLSGRLAVTISTCKAWAKLRLEWLLIRFDM
+>NM_005501|561349_2_2940_3169
+MASGCCIPRRSPSMAMGPGPADHLETLSTLSTSLFLTLGTGHHPHSAGGDSWIQGEARAPHLSLWLLPKKPSLRLC
+>DECOY_NM_005501|561349_2_2940_3169
+CLRLSPKKPLLWLSLHPARAEGQIWSDGGASHPHHGTGLTLFLSTSLTSLTELHDAPGPGMAMSPSRRPICCGSAM
+>NM_005501|561351_2_2985_3169
+MGPGPADHLETLSTLSTSLFLTLGTGHHPHSAGGDSWIQGEARAPHLSLWLLPKKPSLRLC
+>DECOY_NM_005501|561351_2_2985_3169
+CLRLSPKKPLLWLSLHPARAEGQIWSDGGASHPHHGTGLTLFLSTSLTSLTELHDAPGPGM
+>NM_181357|561374_2_867_1084
+MVVLGIDTTHLWMLPLTPGSWNSMRSRHKWNWPQGSWGLGGPPRSTAFLECCTRENGASAIGEASPLENSLE
+>DECOY_NM_181357|561374_2_867_1084
+ELSNELPSAEGIASAGNERTCCELFATSRPPGGLGWSGQPWNWKHRSRMSNWSGPTLPLMWLHTTDIGLVVM
+>NM_181357|561378_2_1164_1267
+MVKYSCLLAKTRQSDSMTADMAVSVNSRASRPAT
+>DECOY_NM_181357|561378_2_1164_1267
+TAPRSARSNVSVAMDATMSDSQRTKALLCSYKVM
+>NM_181357|561383_2_1473_1546
+MAACMSLTENRTGAPFRLSPMRMM
+>DECOY_NM_181357|561383_2_1473_1546
+MMRMPSLRFPAGTRNETLSMCAAM
+>NM_006312|561565_2_2449_3044
+MGPSPQPPWAPTGHPQGHPPHHRRTSRPPLSPPRPLKPPEPLRPHQHPHRPLHLLLWSPRRRRRRRPQQRPQWRRGRSRSPPRLRSWQWTQGRPRSPSRASARRKPRRGRPRARTRRPLRPRPRGRSRQRRRRAGAAGPPQPRARAPPRTATPVLPAVQTRWMRPRAATRTGCCPQGPASSPRLATPGPMPHPRSHWT
+>DECOY_NM_006312|561565_2_2449_3044
+TWHSRPHPMPGPTALRPSSAPGQPCCGTRTAARPRMWRTQVAPLVPTATRPPARARPQPPGAAGARRRRQRSRGRPRPRLPRRTRARPRGRRPKRRASARSPSRPRGQTWQWSRLRPPSRSRGRRWQPRQQPRRRRRRRPSWLLLHLPRHPHQHPRLPEPPKLPRPPSLPPRSTRRHHPPHGQPHGTPAWPPQPSPGM
+>NM_006312|561575_2_6745_6869
+MVPRPVAPPTAKGARGLQSQTRRRSWVVVRTVLNLCPHRRA
+>DECOY_NM_006312|561575_2_6745_6869
+ARRHPCLNLVTRVVVWSRRRTQSQLGRAGKATPPAVPRPVM
+>NM_006312|561576_2_6919_7001
+MGNRRSPAGWAPSLQATPASRQPSSAS
+>DECOY_NM_006312|561576_2_6919_7001
+SASSPQRSAPTAQLSPAWGAPSRRNGM
+>NM_000333|561863_3_2229_2395
+MEENPTSAQYHLTHLHTYSSPDKLCADITMWSQLSGSSHRLYIPSPALIYLHLPK
+>DECOY_NM_000333|561863_3_2229_2395
+KPLHLYILAPSPIYLRHSSGSLQSWMTIDACLKDPSSYTHLHTLHYQASTPNEEM
+>NM_013282|561951_2_1746_2017
+MGIFSHTRVVVVEIFPATRGPRNSLVIRNSPTPTGRWLSTALLPSMTKKGPRPRTGGRGSRSGWCAMSRVARIASTPPLRATATMASTRL
+>DECOY_NM_013282|561951_2_1746_2017
+LRTSAMTATARLPPTSAIRAVRSMACWGSRSGRGGTRPRPGKKTMSPLLATSLWRGTPTPSNRIVLSNRPGRTAPFIEVVVVRTHSFIGM
+>NM_004606|562025_2_592_734
+MEKASSCPPSLPLPLWPQRKWTSVVPLTQNLRWDLRKQHRQNLKMES
+>DECOY_NM_004606|562025_2_592_734
+SEMKLNQRHQKRLDWRLNQTLPVVSTWKRQPWLPLPLSPPCSSAKEM
+>NM_004606|562028_2_1141_1217
+MGLPDCGMICWVSLKMAVGLTMASN
+>DECOY_NM_004606|562028_2_1141_1217
+NSAMTLGVAMKLSVWCIMGCDPLGM
+>NM_004606|562030_2_1363_1436
+MGRMSNTKGQNLSVQAWQAGFLLA
+>DECOY_NM_004606|562030_2_1363_1436
+ALLFGAQWAQVSLNQGKTNSMRGM
+>NM_004606|562040_2_2269_2402
+MGKLFTAIHLLSWVLSILANCCKHLRTTFFVLQFIFIRCQKLIS
+>DECOY_NM_004606|562040_2_2269_2402
+SILKQCRIFIFQLVFFTTRLHKCCNALISLVWSLLHIATFLKGM
+>NM_004606|562049_2_5185_5342
+MEMVILQMKRKELYNSLKPVSCMRICLCLKEKMMRKMLGVMKKETILSLLSS
+>DECOY_NM_004606|562049_2_5185_5342
+SSLLSLITEKKMVGLMKRMMKEKLCLCIRMCSVPKLSNYLEKRKMQLIVMEM
+>NM_004606|562050_2_5191_5342
+MVILQMKRKELYNSLKPVSCMRICLCLKEKMMRKMLGVMKKETILSLLSS
+>DECOY_NM_004606|562050_2_5191_5342
+SSLLSLITEKKMVGLMKRMMKEKLCLCIRMCSVPKLSNYLEKRKMQLIVM
+>NM_004606|562055_2_5512_5645
+MGAMRSLIPSRTPKTQASAASVGMRYQRRKKMRRRKSSALGRAY
+>DECOY_NM_004606|562055_2_5512_5645
+YARGLASSKRRRMKKRRQYRMGVSAASAQTKPTRSPILSRMAGM
+>NM_000831|562354_2_1333_1427
+MAWRRLGCGVLPTGSTSLRLPKAEALMSPTL
+>DECOY_NM_000831|562354_2_1333_1427
+LTPSMLAEAKPLRLSTSGTPLVGCGLRRWAM
+>NM_000300|562415_2_393_523
+MASTAATVAWVAEDPPRMQRIAAVSLMTVATNVWRNVDVAPNF
+>DECOY_NM_000300|562415_2_393_523
+FNPAVDVNRWVNTAVTMLSVAAIRQMRPPDEAVWAVTAATSAM
+>NM_003561|562419_2_633_859
+MVAFVAWEAMASPAMPLTGAAMATTVVTLELRRPAAAPRQSATPGSASIRASCADRQRTNAKNCCASVTRRLLTA
+>DECOY_NM_003561|562419_2_633_859
+ATLLRRTVSACCNKANTRQRDACSARISASGPTASQRPAAAPRRLELTVVTTAMAAGTLPMAPSAMAEWAVFAVM
+>NM_003561|562420_2_660_859
+MASPAMPLTGAAMATTVVTLELRRPAAAPRQSATPGSASIRASCADRQRTNAKNCCASVTRRLLTA
+>DECOY_NM_003561|562420_2_660_859
+ATLLRRTVSACCNKANTRQRDACSARISASGPTASQRPAAAPRRLELTVVTTAMAAGTLPMAPSAM
+>NM_003561|562422_2_696_859
+MATTVVTLELRRPAAAPRQSATPGSASIRASCADRQRTNAKNCCASVTRRLLTA
+>DECOY_NM_003561|562422_2_696_859
+ATLLRRTVSACCNKANTRQRDACSARISASGPTASQRPAAAPRRLELTVVTTAM
+>NM_005424|562440_2_602_1170
+MGGSCCSSQMCSHHRAASTVPLTWKPAPWAAPSFGSSCGVVGLGAGGQAVPRSAQVAYMEVSATTMTANVYAPLASLAPAVNRPAERAVLGRAARSSAQAYQAAGASPSASQTPMAALVDLAGEEASAKKLVPLVILGLIADSSASVRMVALVTGSVVVSAPLGGMECTVRSQTGSPRSSTWPQNWSST
+>DECOY_NM_005424|562440_2_602_1170
+TSSWNQPWTSSRPSGTQSRVTCEMGGLPASVVVSGTVLAVMRVSASSDAILGLIVLPVLKKASAEEGALDVLAAMPTQSASPSAGAAQYAQASSRAARGLVAREAPRNVAPALSALPAYVNATMTTASVEMYAVQASRPVAQGGAGLGVVGCSSGFSPAAWPAPKWTLPVTSAARHHSCMQSSCCSGGM
+>NM_005424|562445_2_1046_1170
+MVALVTGSVVVSAPLGGMECTVRSQTGSPRSSTWPQNWSST
+>DECOY_NM_005424|562445_2_1046_1170
+TSSWNQPWTSSRPSGTQSRVTCEMGGLPASVVVSGTVLAVM
+>NM_005424|562446_2_1097_1170
+MECTVRSQTGSPRSSTWPQNWSST
+>DECOY_NM_005424|562446_2_1097_1170
+TSSWNQPWTSSRPSGTQSRVTCEM
+>NM_005424|562447_2_1499_1590
+MDPSPLSACTTGPRTVPWTGRPLWWTPVRT
+>DECOY_NM_005424|562447_2_1499_1590
+TRVPTWWLPRGTWPVTRPGTTCASLPSPDM
+>NM_005424|562451_2_2912_2988
+MGQPLPLAPGSCCVSPVMRPMACST
+>DECOY_NM_005424|562451_2_2912_2988
+TSCAMPRMVPSVCCSGPALPLPQGM
+>NM_005424|562453_3_2067_2173
+MEAPGGSAWANIQVRCGGAGGWGCRRPTVDRRGQA
+>DECOY_NM_005424|562453_3_2067_2173
+AQGRRDVTPRRCGWGGAGGCRVQINAWASGGPAEM
+>NM_006415|562462_2_420_505
+MAWGLVDPEDFMAHLMFIWIWKTAWQNL
+>DECOY_NM_006415|562462_2_420_505
+LNQWATKWIWIFMLHAMFDEPDVLGWAM
+>NM_006415|562463_2_891_1009
+MAEESLNTMESILMILILSVPTWRMHLLLLEVSAVAGLL
+>DECOY_NM_006415|562463_2_891_1009
+LLGAVASVELLLLHMRWTPVSLILIMLISEMTNLSEEAM
+>NM_006415|562464_2_915_1009
+MESILMILILSVPTWRMHLLLLEVSAVAGLL
+>DECOY_NM_006415|562464_2_915_1009
+LLGAVASVELLLLHMRWTPVSLILIMLISEM
+>NM_003496|562646_2_3453_3553
+MARKEWILWFSLMQLLFVWHMKKRSFAKSGRWP
+>DECOY_NM_003496|562646_2_3453_3553
+PWRGSKAFSRKKMHWVFLLQMLSFWLIWEKRAM
+>NM_004482|562689_2_1993_2099
+MDLGETSTLNTLLNMKFGTTSRRNYVFMLLKVSFS
+>DECOY_NM_004482|562689_2_1993_2099
+SFSVKLLMFVYNRRSTTGFKMNLLTNLTSTEGLDM
+>NM_004482|562691_3_1580_1656
+MAMWWAVGDYALLCCWTCFSQQKPS
+>DECOY_NM_004482|562691_3_1580_1656
+SPKQQSFCTWCCLLAYDGVAWWMAM
+>NM_004482|562695_3_2150_2274
+MGDPEGSTSIQSILKNVPFSKWRASKFSVMQPIRSTPKMDT
+>DECOY_NM_004482|562695_3_2150_2274
+TDMKPTSRIPQMVSFKSARWKSFPVNKLISQISTSGEPDGM
+>NM_213611|562705_2_424_572
+MVSGTSAAAPQGPRASPAALATWQPPPWKSTVVNLAPRSIMHCVALVGS
+>DECOY_NM_213611|562705_2_424_572
+SGVLAVCHMISRPALNVVTSKWPPPQWTALAAPSARPGQPAAASTGSVM
+>NM_213611|562706_2_691_950
+MVFVVWLKDGLRLSLATPCRDSASLAFMKSLKSCIAICLERRILISGAHHYIWLPLPVLNSLLTLPWLLWKLLRFEFKPSQVMPTL
+>DECOY_NM_213611|562706_2_691_950
+LTPMVQSPKFEFRLLKWLLWPLTLLSNLVPLPLWIYHHAGSILIRRELCIAICSKLSKMFALSASDRCPTALSLRLGDKLWVVFVM
+>NM_013975|562739_2_1636_1772
+MESESRCIRMETTSATSAAVSSPSFLTRWPTLRTTFPRLFLGATA
+>DECOY_NM_013975|562739_2_1636_1772
+ATAGLFLRPFTTRLTPWRTLFSPSSVAASTASTTEMRICRSESEM
+>NM_013975|562740_2_1663_1772
+METTSATSAAVSSPSFLTRWPTLRTTFPRLFLGATA
+>DECOY_NM_013975|562740_2_1663_1772
+ATAGLFLRPFTTRLTPWRTLFSPSSVAASTASTTEM
+>NM_207345|562857_2_1151_1254
+MDTADAGFGKMAPLLLLACCQQRDPSQLTKSVDT
+>DECOY_NM_207345|562857_2_1151_1254
+TDVSKTLQSPDRQQCCALLLLPAMKGFGADATDM
+>NM_207345|562858_2_1181_1254
+MAPLLLLACCQQRDPSQLTKSVDT
+>DECOY_NM_207345|562858_2_1181_1254
+TDVSKTLQSPDRQQCCALLLLPAM
+>NM_207345|562863_3_864_937
+MEEKLCPSDEILPSLHAKLIKFSP
+>DECOY_NM_207345|562863_3_864_937
+PSFKILKAHLSPLIEDSPCLKEEM
+>NM_004176|562883_2_1800_2284
+MALAGPSGCCPQWSGCSMGCWCSSPWCFSLSTVSQSHGPTQAPPCTSGGIASRLTWTWPGETLPRLPSSCGWPCGHWAGPCPPPTWTWLVASSGTSSVTCCSVSGWAAGWQAGQGACSRTVLCEWMLAPAPETQPWSTISCTSCTPWGSTQAGTSLPPTWR
+>DECOY_NM_004176|562883_2_1800_2284
+RWTPPLSTGAQTSGWPTCSTCSITSWPQTEPAPALMWECLVTRSCAGQGAQWGAAWGSVSCCTVSSTGSSAVLWTWTPPPCPGAWHGCPWGCSSPLRPLTEGPWTWTLRSAIGGSTCPPAQTPGHSQSVTSLSFCWPSSCWCGMSCGSWQPCCGSPGALAM
+>NM_001085487|562904_2_159_313
+MALFLGPWITPSVKRTELLLRKCCWKKNIIYLKNHNRKKSGLIKRKMIKNT
+>DECOY_NM_001085487|562904_2_159_313
+TNKIMKRKILGSKKRNHNKLYIINKKWCCKRLLLETRKVSPTIWPGLFLAM
+>NM_001085487|562909_3_439_512
+MDQNFKANWKPHCFTSEELCKTVF
+>DECOY_NM_001085487|562909_3_439_512
+FVTKCLEESTFCHPKWNAKFNQDM
+>NM_005888|562925_2_190_377
+MVSGTSAAAPQGPRASPAALATWQPPPWKSSIAVTMDLADSLSFVDLEELLAVAQHIQHWFL
+>DECOY_NM_005888|562925_2_190_377
+LFWHQIHQAVALLEELDVFSLSDALDMTVAISSKWPPPQWTALAAPSARPGQPAAASTGSVM
+>NM_005888|562926_2_295_377
+MDLADSLSFVDLEELLAVAQHIQHWFL
+>DECOY_NM_005888|562926_2_295_377
+LFWHQIHQAVALLEELDVFSLSDALDM
+>NM_145689|563016_3_1807_2111
+MDPKSCQCGPCYPHHLAPADRGSAGRVSGAFPLLPGRGQRCPHVCIHHGCRPSLLLLPHVLVRAQCCQPLRGCAGCVHASLPEVSGCPFPGLHLLPPSTPC
+>DECOY_NM_145689|563016_3_1807_2111
+CPTSPPLLHLGPFPCGSVEPLSAHVCGACGRLPQCCQARVLVHPLLLLSPRCGHHICVHPCRQGRGPLLPFAGSVRGASGRDAPALHHPYCPGCQCSKPDM
+>NM_000424|563054_2_341_612
+MAAGASTTWGAPRGYPSALVVAASGTGLVLVLEAAMALEVVPVVDLVSAVELVVALGSVAELALEVASVALAFLSALLEVSKRSLSTRVS
+>DECOY_NM_000424|563054_2_341_612
+SVRTSLSRKSVELLASLFALAVSAVELALEAVSGLAVVLEVASVLDVVPVVELAMAAELVLVLGTGSAAVVLASPYGRPAGWTTSAGAAM
+>NM_000424|563055_2_446_612
+MALEVVPVVDLVSAVELVVALGSVAELALEVASVALAFLSALLEVSKRSLSTRVS
+>DECOY_NM_000424|563055_2_446_612
+SVRTSLSRKSVELLASLFALAVSAVELALEAVSGLAVVLEVASVLDVVPVVELAM
+>NM_000424|563063_2_1667_1788
+MAVAVAMAVASVEVLAAASVEVLPEVAVEATTPAAVGVSA
+>DECOY_NM_000424|563063_2_1667_1788
+ASVGVAAPTTAEVAVEPLVEVSAAALVEVSAVAMAVAVAM
+>NM_000424|563064_2_1685_1788
+MAVASVEVLAAASVEVLPEVAVEATTPAAVGVSA
+>DECOY_NM_000424|563064_2_1685_1788
+ASVGVAAPTTAEVAVEPLVEVSAAALVEVSAVAM
+>NM_020373|563146_2_157_557
+MDSSVSRCQVPGPQVCRAVPTEILASPAVERAPAAALSSTTIWMPMSLCPWRPVLAACTSMTVRGRSTMYLPTTTGNAGCTWPKASLATRWLSSPMGRQARSLMLGAQVTLSWDRSMPWRRRGRSSGRNLSTI
+>DECOY_NM_020373|563146_2_157_557
+ITSLNRGSSRGRRRWPMSRDWSLTVQAGLMLSRAQRGMPSSLWRTALSAKPWTCGANGTTTPLYMTSRGRVTMSTCAALVPRWPCLSMPMWITTSSLAAAPAREVAPSALIETPVARCVQPGPVQCRSVSSDM
+>NM_020373|563154_2_1075_1193
+MECSISSNLLTSSESILEKKLDCILPGWDYIHHSSSHLL
+>DECOY_NM_020373|563154_2_1075_1193
+LLHSSSHHIYDWGPLICDLKKELISESSTLLNSSISCEM
+>NM_024332|563206_2_883_1037
+MAQCLPRICAVRCRQSAGLSYSGWRTDWSKTNSICRNYNKKRKSLCKNFLL
+>DECOY_NM_024332|563206_2_883_1037
+LLFNKCLSKRKKNYNRCISNTKSWDTRWGSYSLGASQRCRVACIRPLCQAM
+>NM_015906|563332_2_2713_2816
+MATIKMMTQMKTGVLSAKTEEISCAAKNVQRSFI
+>DECOY_NM_015906|563332_2_2713_2816
+IFSRQVNKAACSIEETKASLVGTKMQTMMKITAM
+>NM_021797|563358_2_766_968
+MEPLRDGMPLRKCLMPIRAMCGLAMTTSRASILRLNGLSTTNLEAPWSGPLIWMTSLALSATRASFP
+>DECOY_NM_021797|563358_2_766_968
+PFSARTASLALSTMWILPGSWPAELNTTSLGNLRLISARSTTMALGCMARIPMLCKRLPMGDRLPEM
+>NM_001386|563421_2_951_1024
+MATSLQRSSRGSWIWASRAPRDMC
+>DECOY_NM_001386|563421_2_951_1024
+CMDRPARSAWIWSGRSSRQLSTAM
+>NM_000324|563457_2_285_406
+MASAVWVSTYSLLLWASSGALLYRESCKARDRNLTLESKT
+>DECOY_NM_000324|563457_2_285_406
+TKSELTLNRDRAKCSERYLLAGSSAWLLLSYTSVWVASAM
+>NM_002708|563471_2_355_614
+MAVSLPRATTSFWGTMWTGASSPWRPSACCWPIRSSTPRTSSCSVGTTSVPASTASMVSTMSARDATTSNCGKPSLTASTACPSRP
+>DECOY_NM_002708|563471_2_355_614
+PRSPCATSATLSPKGCNSTTADRASMTSVMSATSAPVSTTGVSCSSTRPTSSRIPWCCASPRWPSSAGTWMTGWFSTTARPLSVAM
+>NM_152856|563492_2_425_630
+MEPLTARRMMVGRTAAETTTTGTWTTVHILASMAARRASMTMTTHLRSRVRRSVASCSRTACKHGRFG
+>DECOY_NM_152856|563492_2_425_630
+GFRGHKCATRSCSAVSRRVRSRLHTTMTMSARRAAMSALIHVTTWTGTTTTEAATRGVMMRRATLPEM
+>NM_152856|563494_2_452_630
+MVGRTAAETTTTGTWTTVHILASMAARRASMTMTTHLRSRVRRSVASCSRTACKHGRFG
+>DECOY_NM_152856|563494_2_452_630
+GFRGHKCATRSCSAVSRRVRSRLHTTMTMSARRAAMSALIHVTTWTGTTTTEAATRGVM
+>NM_152856|563495_2_521_630
+MAARRASMTMTTHLRSRVRRSVASCSRTACKHGRFG
+>DECOY_NM_152856|563495_2_521_630
+GFRGHKCATRSCSAVSRRVRSRLHTTMTMSARRAAM
+>NM_152856|563502_2_1475_1596
+MATARAQSLPSMPMATSRAPRALASLEPKGIPLEQVPRPP
+>DECOY_NM_152856|563502_2_1475_1596
+PPRPVQELPIGKPELSALARPARSTAMPMSPLSQARATAM
+>NM_152856|563509_2_1970_2253
+MGRGGPMFPPWSSRPTDIRRQGHPRRRAKRRRRSTRPRQLNRLPRTWNAGPAVSTNKKKTSKIASSLSAPCEMTRGGSQPLQMLAMPSSRRREH
+>DECOY_NM_152856|563509_2_1970_2253
+HERRRSSPMALMQLPQSGGRTMECPASLSSAIKSTKKKNTSVAPGANWTRPLRNLQRPRTSRRRRKARRRPHGQRRIDTPRSSWPPFMPGGRGM
+>NM_018145|563530_3_286_632
+METDPASWPQPEPAQLPGLYADFRSRTPRDAPAGCPRWGWRCLSAAQPSTGRTGEGAGPPGLCADQPCGAAAGGGGAEKQPARACGGDCWGGPMPHGREPESGSAAKVSVCPGEE
+>DECOY_NM_018145|563530_3_286_632
+EEGPCVSVKAASGSEPERGHPMPGGWCDGGCARAPQKEAGGGGAAAGCPQDACLGPPGAGEGTRGTSPQAASLCRWGWRPCGAPADRPTRSRFDAYLGPLQAPEPQPWSAPDTEM
+>NM_182763|563539_2_782_933
+MACSATTRRPSKDGFVEFFHVEDLEGGIRNVLLAFAGVAGVGAGLAYLIR
+>DECOY_NM_182763|563539_2_782_933
+RILYALGAGVGAVGAFALLVNRIGGELDEVHFFEVFGDKSPRRTTASCAM
+>NM_017929|563585_2_675_748
+MEPWQNFTCSGCCCLWAAYRRLRS
+>DECOY_NM_017929|563585_2_675_748
+SRLRRYAAWLCCCGSCTFNQWPEM
+>NM_004863|563626_2_339_460
+MEDYIKDRLMKLLKKHQCWLLCSRMWGMAYSPSLDIFEIS
+>DECOY_NM_004863|563626_2_339_460
+SIEFIDLSPSYAMGWMRSCLLWCQHKKLLKMLRDKIYDEM
+>NM_005414|563683_2_881_957
+MEKHQWKLMESMLSEPVLLFLKLCI
+>DECOY_NM_005414|563683_2_881_957
+ICLKLFLLVPESLMSEMLKWQHKEM
+>NM_020407|563707_2_861_937
+MGGLTWSTSKMQRWLEGLWWGPQVK
+>DECOY_NM_020407|563707_2_861_937
+KVQPGWWLGELWRQMKSTSWTLGGM
+>NM_005676|563800_2_425_777
+MEPLTARRMMVGRTAAETTTTGTWTTVHILASMAARRASMTMTTHLRSRVRRIPTRPPRAPRLSVGGGGGTGTAPPARQASPETATIGTRTIGPSKGRRRRRRRMRRRRRRPVTSSC
+>DECOY_NM_005676|563800_2_425_777
+CSSTVPRRRRRRMRRRRRRRGKSPGITRTGITATEPSAQRAPPATGTGGGGGVSLRPARPPRTPIRRVRSRLHTTMTMSARRAAMSALIHVTTWTGTTTTEAATRGVMMRRATLPEM
+>NM_005676|563802_2_452_777
+MVGRTAAETTTTGTWTTVHILASMAARRASMTMTTHLRSRVRRIPTRPPRAPRLSVGGGGGTGTAPPARQASPETATIGTRTIGPSKGRRRRRRRMRRRRRRPVTSSC
+>DECOY_NM_005676|563802_2_452_777
+CSSTVPRRRRRRMRRRRRRRGKSPGITRTGITATEPSAQRAPPATGTGGGGGVSLRPARPPRTPIRRVRSRLHTTMTMSARRAAMSALIHVTTWTGTTTTEAATRGVM
+>NM_005676|563803_2_521_777
+MAARRASMTMTTHLRSRVRRIPTRPPRAPRLSVGGGGGTGTAPPARQASPETATIGTRTIGPSKGRRRRRRRMRRRRRRPVTSSC
+>DECOY_NM_005676|563803_2_521_777
+CSSTVPRRRRRRMRRRRRRRGKSPGITRTGITATEPSAQRAPPATGTGGGGGVSLRPARPPRTPIRRVRSRLHTTMTMSARRAAM
+>NM_005165|563861_2_413_492
+MVFPSSEPSRIRASSWASRLTRVWCL
+>DECOY_NM_005165|563861_2_413_492
+LCWVRTLRSAWSSARIRSPESSPFVM
+>NM_005165|563864_2_686_795
+MALCLLWNLKYCLMETTTSNVVSMLQRRSWLLCTRP
+>DECOY_NM_005165|563864_2_686_795
+PRTCLLWSRRQLMSVVNSTTTEMLCYKLNWLLCLAM
+>NM_004046|563902_2_790_869
+MDLMKRRSCTVFMLLLVKRDPLLPSW
+>DECOY_NM_004046|563902_2_790_869
+WSPLLPDRKVLLLMFVTCSRRKMLDM
+>NM_033091|563972_3_1083_1204
+MELLCWMEESSEDCFCREISALTLCSGKKRFHLRETLLGS
+>DECOY_NM_033091|563972_3_1083_1204
+SGLLTERLHFRKKGSCLTLASIERCFCDESSEEMWCLLEM
+>NM_004186|564007_2_1903_2333
+MARPAPAIQHPPRGGAAGRTSGTETPSGSAVGSTPMPTRMPWSLCSMAWPAAQPSLSASPARPKPLLSGCSSEILVTGAERFVQRTASCAQSRACCSVHCSSAIVASTPAQPLRTTLSTSSHECSCMYWAGTPSMLPSSHHCP
+>DECOY_NM_004186|564007_2_1903_2333
+PCHHSSPLMSPTGAWYMCSCEHSSTSLTTRLPQAPTSAVIASSCHVSCCARSQACSATRQVFREAGTVLIESSCGSLLPKPRAPSASLSPQAAPWAMSCLSWPMRTPMPTSGVASGSPTETGSTRGAAGGRPPHQIAPAPRAM
+>NM_004186|564010_2_2041_2333
+MAWPAAQPSLSASPARPKPLLSGCSSEILVTGAERFVQRTASCAQSRACCSVHCSSAIVASTPAQPLRTTLSTSSHECSCMYWAGTPSMLPSSHHCP
+>DECOY_NM_004186|564010_2_2041_2333
+PCHHSSPLMSPTGAWYMCSCEHSSTSLTTRLPQAPTSAVIASSCHVSCCARSQACSATRQVFREAGTVLIESSCGSLLPKPRAPSASLSPQAAPWAM
+>NM_004186|564016_3_662_771
+MDPDSGGQRPRQQSHGWCPPPDAHSPTPGLHLLPGA
+>DECOY_NM_004186|564016_3_662_771
+AGPLLHLGPTPSHADPPPCWGHSQQRPRQGGSDPDM
+>NM_006388|564025_2_767_885
+MEPPVGQWQPSQDGSENRIVWALMRTPRTALMEYRQHHA
+>DECOY_NM_006388|564025_2_767_885
+AHHQRYEMLATRPTRMLAWVIRNESGDQSPQWQGVPPEM
+>NM_012154|564058_2_1853_2121
+MGRSPPLPPWWAAWTPTPIATAPPCACSSTGRRSYKTWPPWSASSSSSSTSPRASSPPASSSTATVSLKASSSRFSTTSCWPSVRPVSS
+>DECOY_NM_012154|564058_2_1853_2121
+SSVPRVSPWCSTTSFRSSSAKLSVTATSSSAPPSSARPSTSSSSSSASWPPWTKYSRRGTSSCACPPATAIPTPTWAAWWPPLPPSRGM
+>NM_000229|564073_2_474_799
+MATCGTRLCAPPPMTGGWSPASRRSTTASSQGWWRRCTLPMGSLSSSLATASAVYTCSISCCASPRPGRTALLMASSLLGLPGVAPSSPCWSWPQVTTRASPSCPASS
+>DECOY_NM_000229|564073_2_474_799
+SSAPCSPSARTTVQPWSWCPSSPAVGPLGLLSSAMLLATRGPRPSACCSISCTYVASATALSSSLSGMPLTCRRWWGQSSATTSRRSAPSWGGTMPPPACLRTGCTAM
+>NM_000229|564078_2_1110_1237
+MVMTRWRPAAPSSVACGRAASHSLCTCCPCTGYSISTWSSAT
+>DECOY_NM_000229|564078_2_1110_1237
+TASSWTSISYGTCPCCTCLSHSAARGCAVSSPAAPRWRTMVM
+>NM_004104|564137_2_5575_5711
+MGWYGPSSARCSMGPRWRTPSATWPKGSTLAKSSCRCLRRSRRQC
+>DECOY_NM_004104|564137_2_5575_5711
+CQRRSRRLCRCSSKALTSGKPWTASPTRWRPGMSCRASSPGYWGM
+>NM_004939|564188_2_1045_1118
+MALLLFPRHRMVTLSNHSTQVMHR
+>DECOY_NM_004939|564188_2_1045_1118
+RHMVQTSHNSLTVMRHRPFLLLAM
+>NM_001037954|564224_2_1768_2015
+MVFSFQRQEKELLQSATEGPATCSLFEMLSAACATASVATILSTTLLTAWSRAFLASWSACMLWRRRRNKKERFGSSHPELK
+>DECOY_NM_001037954|564224_2_1768_2015
+KLEPHSSGFREKKNRRRRWLMCASWSALFARSWATLLTTSLITAVSATACAASLMEFLSCTAPGETASQLLEKEQRQFSFVM
+>NM_002666|564282_3_1233_1354
+MGTCSCAGHGREGAAPHTSPCCLLNQGEGHVPIRCPEGRY
+>DECOY_NM_002666|564282_3_1233_1354
+YRGEPCRIPVHGEGQNLLCCPSTHPAAGERGHGACSCTGM
+>NM_006901|564414_2_486_607
+MEEDDALKIMNIHYGYILGLFQKGQSTVRFLPEKTPQLLR
+>DECOY_NM_006901|564414_2_486_607
+RLLQPTKEPLFRVTSQGKQFLGLIYGYHINMIKLADDEEM
+>NM_006901|564423_2_2874_3043
+MAELGFARADYQVAPPCLIKMEYLLIQLAANSWREPMEFSRETKISNPSLPFQSTC
+>DECOY_NM_006901|564423_2_2874_3043
+CTSQFPLSPNSIKTERSFEMPERWSNAALQILLYEMKILCPPAVQYDARAFGLEAM
+>NM_006901|564424_2_2934_3043
+MEYLLIQLAANSWREPMEFSRETKISNPSLPFQSTC
+>DECOY_NM_006901|564424_2_2934_3043
+CTSQFPLSPNSIKTERSFEMPERWSNAALQILLYEM
+>NM_006901|564438_3_691_809
+MDSQSNRLSSSANDAVAPNGSGKSLKWRGLPLPSEREKP
+>DECOY_NM_006901|564438_3_691_809
+PKERESPLPLGRWKLSKGSGNPAVADNASSSLRNSQSDM
+>NM_006901|564439_3_841_917
+MATGNRRTSQDDGTGFSSTASTERL
+>DECOY_NM_006901|564439_3_841_917
+LRETSATSSFGTGDDQSTRRNGTAM
+>NM_002210|564562_2_760_989
+MEQRLLSMLHVDHKILMLMDRDFVKEDSALILLKLTEYFLVVLVAFIGKVSLFRIKWQKSYLNTTPMFTASSIITN
+>DECOY_NM_002210|564562_2_760_989
+NTIISSATFMPTTNLYSKQWKIRFLSVKGIFAVLVVLFYETLKLLILASDEKVFDRDMLMLIKHDVHLMSLLRQEM
+>NM_002210|564573_2_1423_1514
+MVSMILQLLLHMGVKIKKELFISSMEDQQA
+>DECOY_NM_002210|564573_2_1423_1514
+AQQDEMSSIFLEKKIKVGMHLLLQLIMSVM
+>NM_002210|564577_2_2719_2825
+MVQVHSARQCSIFSGLTNIIITLCCISFIMILMDQ
+>DECOY_NM_002210|564577_2_2719_2825
+QDMLIMIFSICCLTIIINTLGSFISCQRASHVQVM
+>NM_003045|564620_2_637_737
+MASLVLGSPRRAQLTSTAMSPLESSGPSSPAGT
+>DECOY_NM_003045|564620_2_637_737
+TGAPSSPGSSELPSMATSTLQARRPSGLVLSAM
+>NM_003486|564719_3_839_1341
+MELLEFRHRGNDQPLQKPAPGHHHLPAHRDAGVRADQPGLLHHPVHRADAVVRGRGRGLRELSPGRHVLDHPRLRGPVLLRLRQWVPVHILQALLRGVPGRPPALHPLHDPPTAPHPRAVPRVHVCDDAALRLLQGHLLRHQLLQLLQLALRGPGHHRHDLAAPQKA
+>DECOY_NM_003486|564719_3_839_1341
+AKQPAALDHRHHGPGRLALQLLQLLQHRLLHGQLLRLAADDCVHVRPVARPHPATPPDHLPHLAPPRGPVGRLLAQLIHVPVWQRLRLLVPGRLRPHDLVHRGPSLERLGRGRGRVVADARHVPHHLLGPQDARVGADRHAPLHHHGPAPKQLPQDNGRHRFELLEM
+>NM_001110|564739_2_790_989
+MGLLLMEDLKDSSRLVVAHFMLSQQRDILKTELCHFTLSFIMKMILTIPINTVLRGAVQIIQYLKE
+>DECOY_NM_001110|564739_2_790_989
+EKLYQIIQVAGRLVTNIPITLIMKMIFSLTFHCLETKLIDRQQSLMFHAVVLRSSDKLDEMLLLGM
+>NM_001110|564747_2_1417_1517
+MAYLVWLGLEHLQEALEEYVKKVNSIQMVRRSP
+>DECOY_NM_001110|564747_2_1417_1517
+PSRRVMQISNVKKVYEELAEQLHELGLWVLYAM
+>NM_001110|564748_2_1546_1763
+MGLMYLPKSLTLLLLTKLDITLDPHMILEQSAHQENLRIWVKKKMAITSCMQEQHLGTNLTTINSHSVVLEI
+>DECOY_NM_001110|564748_2_1546_1763
+IELVVSHSNITTLNTGLHQEQMCSTIAMKKKVWIRLNEQHASQELIMHPDLTIDLKTLLLLTLSKPLYMLGM
+>NM_001110|564751_2_1678_1763
+MAITSCMQEQHLGTNLTTINSHSVVLEI
+>DECOY_NM_001110|564751_2_1678_1763
+IELVVSHSNITTLNTGLHQEQMCSTIAM
+>NM_001110|564753_2_2053_2174
+MASQLSAQHLTLNQTSQTVIGIHKCALMGNVQVLSVRNMA
+>DECOY_NM_001110|564753_2_2053_2174
+AMNRVSLVQVNGMLACKHIGIVTQSTQNLTLHQASLQSAM
+>NM_001145000|564791_2_760_881
+MEQRLLSMLHVDHVSLFRIKWQKSYLNTTPMFTASSIITN
+>DECOY_NM_001145000|564791_2_760_881
+NTIISSATFMPTTNLYSKQWKIRFLSVHDVHLMSLLRQEM
+>NM_015033|564897_2_574_893
+MAVKHSSTSRLAGSSLNLVKGDLNAIAKRRTGRSSTLRKWTLTSMSQKRMLKRPDNKLKYVTKWQRTAKQITHPFSRNSTMSSMNITILTSPTSSRKYKRWRKGGL
+>DECOY_NM_015033|564897_2_574_893
+LGGKRWRKYKRSSTPSTLITINMSSMTSNRSFPHTIQKATRQWKTVYKLKNDPRKLMRKQSMSTLTWKRLTSSRGTRRKAIANLDGKVLNLSSGALRSTSSHKVAM
+>NM_016290|564932_2_306_403
+MERNQRRKMGCRKRRQNSRIEQSVWPKEKLHR
+>DECOY_NM_016290|564932_2_306_403
+RHLKEKPWVSQEIRSNQRRKRCGMKRRQNREM
+>NM_016290|564933_2_330_403
+MGCRKRRQNSRIEQSVWPKEKLHR
+>DECOY_NM_016290|564933_2_330_403
+RHLKEKPWVSQEIRSNQRRKRCGM
+>NM_016290|564938_2_1359_1441
+MVSLPKGLLKKLLKRETLYLLHKVLLL
+>DECOY_NM_016290|564938_2_1359_1441
+LLLVKHLLYLTERKLLKKLLGKPLSVM
+>NM_198551|565009_2_5362_5444
+MDHHLSSADLLGLGHFLHPLALVCVHH
+>DECOY_NM_198551|565009_2_5362_5444
+HHVCVLALPHLFHGLGLLDASSLHHDM
+>NM_198551|565012_3_3542_3627
+METCIYHCLLGNCFVCHFLMENCPCCEG
+>DECOY_NM_198551|565012_3_3542_3627
+GECCPCNEMLFHCVFCNGLLCHYICTEM
+>NM_005311|565066_2_275_585
+MASMPAASLGLQALLGPSSHRCPRGRGCSAPSLCTSSLSGAFRRKTSSLEPHLCRPSPILFLNSVALGAPLCSRRVLYLRARPPQSRMLKSLVKMGQAKWWRF
+>DECOY_NM_005311|565066_2_275_585
+FRWWKAQGMKVLSKLMRSQPPRARLYLVRRSCLPAGLAVSNLFLIPSPRCLHPELSSTKRRFAGSLSSTCLSPASCGRGRPCRHSSPGLLAQLGLSAAPMSAM
+>NM_005311|565070_2_1226_1362
+MECSFTRITESLSRGRPCCPRSRRQCAVSPRTPSWQWIFLGKQDA
+>DECOY_NM_005311|565070_2_1226_1362
+ADQKGLFIWQWSPTRPSVACQRRSRPCCPRGRSLSETIRTFSCEM
+>NM_005311|565076_3_948_1144
+MEKAVCVFAEIWPLLLHQGNFKGTQTPAAAGRPGGQQHLLPDRWQEAVQRPYRPRALHKAKQSQE
+>DECOY_NM_005311|565076_3_948_1144
+EQSQKAKHLARPRYPRQVAEQWRDPLLHQQGGPRGAAAPTQTGKFNGQHLLLPWIEAFVCVAKEM
+>NM_000963|565104_2_495_643
+MATKAGKPSLTSPIILEPFLLCLMIARLPWVSKVKSSFLIQMRLWKNCF
+>DECOY_NM_000963|565104_2_495_643
+FCNKWLRMQILFSSKVKSVWPLRAIMLCLLFPELIIPSTLSPKGAKTAM
+>NM_000963|565108_2_1344_1468
+MELPSLLNHSPGKLLAGLLVVGMFHPQYRKYHRLPLTRAGR
+>DECOY_NM_000963|565108_2_1344_1468
+RGARTLPLRHYKRYQPHFMGVVLLGALLKGPSHNLLSPLEM
+>NM_001923|565220_2_947_1131
+MVTNTWLLPLLSSSKARLCATIEWTLMAQDTCWETWKAGSSCCFWRRRNRWMAPSLSRISV
+>DECOY_NM_001923|565220_2_947_1131
+VSIRSLSPAMWRNRRRWFCCSSGAKWTEWCTDQAMLTWEITACLRAKSSSLLPLLWTNTVM
+>NM_001923|565222_2_1400_1539
+MELESTSMPALTYQASKDYGHCGLTLIVRLMTLWCSLLWARQEFSC
+>DECOY_NM_001923|565222_2_1400_1539
+CSFEQRAWLLSCWLTMLRVILTLGCHGYDKSAQYTLAPMSTSELEM
+>NM_014780|565407_2_400_644
+MGILSTRSVGSSCGVAMRGTGALAKWTARLSTSCCGCPRMRSMPTATRCWARMARSSGPPRSLQGRLGPWTNLCWRRWKPT
+>DECOY_NM_014780|565407_2_400_644
+TPKWRRWCLNTWPGLRGQLSRPPGSSRAMRAWCRTATPMSRMRPCGCCSTSLRATWKALAGTGRMAVGCSSGVSRTSLIGM
+>NM_014780|565411_2_556_644
+MARSSGPPRSLQGRLGPWTNLCWRRWKPT
+>DECOY_NM_014780|565411_2_556_644
+TPKWRRWCLNTWPGLRGQLSRPPGSSRAM
+>NM_014780|565421_2_3481_3722
+MALAPWVGCWTSTWSVRKLSSTPRAAAQLSSRGCAVSLTCWCMSSPVRHPLLWWPLLGPKAETEATTGAPWLPGAFQAAS
+>DECOY_NM_014780|565421_2_3481_3722
+SAAQFAGPLWPAGTTAETEAKPGLLPWWLLPHRVPSSMCWCTLSVACGRSSLQAAARPTSSLKRVSWTSTWCGVWPALAM
+>NM_014780|565428_2_4888_5003
+MAARNPGRDGTLCGSSHLRRTCKLRVKTARTWRRDGIF
+>DECOY_NM_014780|565428_2_4888_5003
+FIGDRRWTRATKVRLKCTRRLHSSGCLTGDRGPNRAAM
+>NM_025090|565454_2_386_492
+MENWGSFLPPLPRRSFYRKSSSSQPARASPTSWRP
+>DECOY_NM_025090|565454_2_386_492
+PRWSTPSARAPQSSSSKRYFSRRPLPPLFSGWNEM
+>NM_025090|565460_2_1400_1485
+MVILSCMDSMLSWCTRATAAMPGTITAT
+>DECOY_NM_025090|565460_2_1400_1485
+TATITGPMAATARTCWSLMSDMCSLIVM
+>NM_025090|565462_2_3152_3231
+MVIQRPWKSLQGKRKRKKESRRHSGQ
+>DECOY_NM_025090|565462_2_3152_3231
+QGSHRRSEKKRKRKGQLSKWPRQIVM
+>NM_025090|565463_2_3239_3351
+MGISNAQGVPSPKMLLSPSPAAAHHPRMAGVLGTAWG
+>DECOY_NM_025090|565463_2_3239_3351
+GWATGLVGAMRPHHAAAPSPSLLMKPSPVGQANSIGM
+>NM_025090|565465_2_3377_3453
+MESGSLMWSRNCSNTHLIKLTGEKF
+>DECOY_NM_025090|565465_2_3377_3453
+FKEGTLKILHTNSCNRSWMLSGSEM
+>NM_025090|565466_2_3461_3654
+MARCRRSVRMLLKTADRPGLRPWLMTGTKSLTEGRKRKLKNLRERRGETSTPSRNFRLDGTSGL
+>DECOY_NM_025090|565466_2_3461_3654
+LGSTGDLRFNRSPTSTEGRRERLNKLKRKRGETLSKTGTMLWPRLGPRDATKLLMRVSRRCRAM
+>NM_021960|565485_2_782_858
+MACSATTRRPSKACFGNWTSKTKTM
+>DECOY_NM_021960|565485_2_782_858
+MTKTKSTWNGFCAKSPRRTTASCAM
+>NM_000374|565507_2_898_1004
+MGILPWRSWPKLAMRWLGLTGQWPQRKPGSVWGRR
+>DECOY_NM_000374|565507_2_898_1004
+RRGWVSGPKRQPWQGTLGLWRMALKPWSRWPLIGM
+>NM_014494|565586_2_1639_1751
+MESQKVEALMVLHGVPMVLITLETNVQALMAKLMVTL
+>DECOY_NM_014494|565586_2_1639_1751
+LTVMLKAMLAQVNTELTILVMPVGHLVMLAEVKQSEM
+>NM_014494|565589_2_1882_2237
+MAQILEEVEEDGEPLHKTLALIYPALSGTNCLAISIPMIVQMAMVRRLQMDGNLLRKRIRVLPHLRQMSKAVCGPKQEVQWRAMVVQKALDALRKKELGKVRVETEEKLISTHYSKAL
+>DECOY_NM_014494|565589_2_1882_2237
+LAKSYHTSILKEETEVRVKGLEKKRLADLAKQVVMARWQVEQKPGCVAKSMQRLHPLVRIRKRLLNGDMQLRRVMAMQVIMPISIALCNTGSLAPYILALTKHLPEGDEEVEELIQAM
+>NM_014494|565592_2_2011_2237
+MVRRLQMDGNLLRKRIRVLPHLRQMSKAVCGPKQEVQWRAMVVQKALDALRKKELGKVRVETEEKLISTHYSKAL
+>DECOY_NM_014494|565592_2_2011_2237
+LAKSYHTSILKEETEVRVKGLEKKRLADLAKQVVMARWQVEQKPGCVAKSMQRLHPLVRIRKRLLNGDMQLRRVM
+>NM_014494|565595_2_2131_2237
+MVVQKALDALRKKELGKVRVETEEKLISTHYSKAL
+>DECOY_NM_014494|565595_2_2131_2237
+LAKSYHTSILKEETEVRVKGLEKKRLADLAKQVVM
+>NM_014494|565598_2_2635_2819
+MDKNQAKGGLFLPVITGEKLQGITIGVRPIRNPAQEVVTVTGPFPVGTNLVKLVLSLGETT
+>DECOY_NM_014494|565598_2_2635_2819
+TTEGLSLVLKVLNTGVPFPGTVTVVEQAPNRIPRVGITIGQLKEGTIVPLFLGGKAQNKDM
+>NM_014494|565599_2_3484_3605
+MAGVVMICHCLEIAPLAGKRKRMWRLECGIVIHLKSLTHL
+>DECOY_NM_014494|565599_2_3484_3605
+LHTLSKLHIVIGCELRWMRKRKGALPAIELCHCIMVVGAM
+>NM_014494|565601_2_4099_4253
+MAIPVCLVLETQQHNPGACSSLQHNLLVHLSLISVLKCLLHYSPLRFQFHC
+>DECOY_NM_014494|565601_2_4099_4253
+CHFQFRLPSYHLLCKLVSILSLHVLLNHQLSSCAGPNHQQTELVLCVPIAM
+>NM_014494|565602_2_4888_5027
+MAGHVPNRLTALAVLIGHQNFVLVSHGKVIQTLTLKLTLTSLLAVS
+>DECOY_NM_014494|565602_2_4888_5027
+SVALLSTLTLKLTLTQIVKGHSVLVFNQHGILVALATLRNPVHGAM
+>NM_014494|565612_3_1868_1944
+MGKWKWRKFWRKSKRMGNPCTKHWH
+>DECOY_NM_014494|565612_3_1868_1944
+HWHKTCPNGMRKSKRWFKRWKWKGM
+>NM_016950|565651_2_1171_1262
+MVTTSQHNVMAVLDSAGVLTDMEMKSWDPE
+>DECOY_NM_016950|565651_2_1171_1262
+EPDWSKMEMDTLVGASDLVAMVNHQSTTVM
+>NM_006009|565671_2_1024_1232
+MVNTWLAACCTVVTWFPKMSMLPLPPSRPSVPSSLWIGAPLASRLASTTSLPLWCLVETWPRYRELCAC
+>DECOY_NM_006009|565671_2_1024_1232
+CACLERYRPWTEVLCWLPLSTTSALRSALPAGIWLSSPVSPRSPPLPLMSMKPFWTVVTCCAALWTNVM
+>NM_000286|565720_3_1131_1264
+MVSCTTTSIHPRKSSASLTTAEAGWSSARSTDSSGYTSSGAQTS
+>DECOY_NM_000286|565720_3_1131_1264
+STQAGSSTYGSSDTSRASSWGAEATTLSASSKRPHISTTTCSVM
+>NM_002591|565886_2_719_810
+MGSLSNASILWGALCLYKSLWSTTGPATRS
+>DECOY_NM_002591|565886_2_719_810
+SRTAPGTTSWLSKYLCLAGWLISANSLSGM
+>NM_002591|565888_2_1346_1491
+MGNLVPTPTRGSAPLPASAPSLMLPGSLRKVFPLKALSLEAVDLLVSL
+>DECOY_NM_002591|565888_2_1346_1491
+LSVLLDVAELSLAKLPFVKRLSGPLMLSPASAPLPASGRTPTPVLNGM
+>NM_020353|565919_3_534_625
+MDARANSYGKLPSWSGILSSVGQHTCSSAF
+>DECOY_NM_020353|565919_3_534_625
+FASSCTHQGVSSLIGSWSPLKGYSNARADM
+>NM_001010898|566124_2_1017_1141
+MGAWQWWRQSVKRAQPLPTSGTERPWTSLTPSRRVGASTGR
+>DECOY_NM_001010898|566124_2_1017_1141
+RGTSAGVRRSPTLSTWPRETGSTPLPQARKVSQRWWQWAGM
+>NM_014697|566196_2_421_497
+MGTTCGSPCTTRTPSSTASALRPST
+>DECOY_NM_014697|566196_2_421_497
+TSPRLASATSSPTRTTCPSGCTTGM
+>NM_014697|566199_2_940_1097
+MERARGTATAQETQAASSLEPRGPPRPLQRRLTSMRWRSHFQGMMSWNSAEV
+>DECOY_NM_014697|566199_2_940_1097
+VEASNWSMMGQFHSRWRMSTLRRQLPRPPGRPELSSAAQTEQATATGRAREM
+>NM_001128306|566228_3_534_682
+MDARANSYGKLPSWSGILSSAGGAVSSWCHHWLCCGTLEPVQGGVQHPK
+>DECOY_NM_001128306|566228_3_534_682
+KPHQVGGQVPELTGCCLWHHCWSSVAGGASSLIGSWSPLKGYSNARADM
+>NM_001035235|566252_2_778_935
+MDPPESPHQRLLLGLPQWGLHLLQVRLPGPHLWGVVLPLAWSPQVSQSSLRL
+>DECOY_NM_001035235|566252_2_778_935
+LRLSSQSVQPSWALPLVVGWLHPGPLRVQLLHLGWQPLGLLLRQHPSEPPDM
+>NM_001875|566648_2_439_578
+MVELLILLLWMNWDLANIWSLMESRFQVCWCWIIVKTTTTGWLPRV
+>DECOY_NM_001875|566648_2_439_578
+VRPLWGTTTTKVIIWCWCVQFRSEMLSWINALDWNMWLLLILLEVM
+>NM_001875|566662_2_3343_3428
+MVSRSWAQAPCRSTGLRIAPSSQLSWMS
+>DECOY_NM_001875|566662_2_3343_3428
+SMWSLQSSPAIRLGTSRCPAQAWSRSVM
+>NM_001875|566663_2_3682_3755
+MEGLSLMPSLNMLKMQVSTREMPL
+>DECOY_NM_001875|566663_2_3682_3755
+LPMERTSVQMKLMNLSPMLSLGEM
+>NM_001875|566668_3_215_294
+MEIFKTWHQAPFCQGTDSTHCPGRWN
+>DECOY_NM_001875|566668_3_215_294
+NWRGPCHTSDTGQCFPAQHWTKFIEM
+>NM_014663|566809_2_809_891
+MESGWNASPKAFSQEVLKAVRHFSATR
+>DECOY_NM_014663|566809_2_809_891
+RTASFHRVAKLVEQSFAKPSANWGSEM
+>NM_014663|566812_2_1007_1104
+MVLTVRSLPILLPVGGLSTASKLCCAPVERTW
+>DECOY_NM_014663|566812_2_1007_1104
+WTREVPACCLKSATSLGGVPLLIPLSRVTLVM
+>NM_014663|566814_2_1817_1926
+MAGSLWESHARGRKEAPLEVSVSGSWQRLQMNTCFP
+>DECOY_NM_014663|566814_2_1817_1926
+PFCTNMQLRQWSGSVSVELPAEKRGRAHSEWLSGAM
+>NM_014663|566815_2_2354_2478
+MAPAYSFPARSAASGSMPVAMGSPLQRLLKTGCVLGVQPMP
+>DECOY_NM_014663|566815_2_2354_2478
+PMPQVGLVCGTKLLRQLPSGMAVPMSGSAASRAPFSYAPAM
+>NM_014663|566820_2_3140_3225
+MAHNLWLREMMYTHWMKSFPRESNLDCQ
+>DECOY_NM_014663|566820_2_3140_3225
+QCDLNSERPFSKMWHTYMMERLWLNHAM
+>NM_014663|566828_3_3063_3136
+MDRRPSLWSQVCGLPPYPNVPGGV
+>DECOY_NM_014663|566828_3_3063_3136
+VGGPVNPYPPLGCVQSWLSPRRDM
+>NM_016946|566847_2_625_722
+MGRSRSSSSCLCLHPSLQLTSPPLPPLGTGQC
+>DECOY_NM_016946|566847_2_625_722
+CQGTGLPPLPPSTLQLSPHLCLCSSSSRSRGM
+>NM_021105|566921_3_639_730
+MDASATASIKLSTWIRIFKSDRSDTDSSAN
+>DECOY_NM_021105|566921_3_639_730
+NASSDTDSRDSKFIRIWTSLKISATASADM
+>NM_001944|566965_2_1931_2025
+MAGRTQGGWGLPPSACCSLVSCCCCWPPFCC
+>DECOY_NM_001944|566965_2_1931_2025
+CCFPPWCCCCSVLSCCASPPLGWGGQTRGAM
+>NM_001003818|567081_2_1680_1759
+MASPSTLSLNITFPLLFVHILILATV
+>DECOY_NM_001003818|567081_2_1680_1759
+VTALILIHVFLLPFTINLSLTSPSAM
+>NM_006893|567182_2_885_958
+MGRFTRHVKTSLSQKPQKTPAPGA
+>DECOY_NM_006893|567182_2_885_958
+AGPAPTKQPKQSLSTKVHRTFRGM
+>NM_006893|567184_3_502_671
+MASGARETGRGSRFDAAWTGDAPCWSASGTEGRPLCHFFGGEQSPCSHWSCSHVHS
+>DECOY_NM_006893|567184_3_502_671
+SHVHSCSWHSCPSQEGGFFHCLPRGETGSASWCPADGTWAADFRSGRGTERAGSAM
+>NM_005334|567224_2_835_1037
+MGNTAMTSTNSRRAGGSGRDSKQRRPKTGPLRVLDSGTASPLWATNATCLGVWPMIARTQRTTFQGT
+>DECOY_NM_005334|567224_2_835_1037
+TGQFTTRQTRAIMPWVGLCTANTAWLPSATGSDLVRLPGTKPRRQKSDRGSGGARRSNTSTMATNGM
+>NM_005334|567231_2_3730_3965
+MVAWSESVRTRPARPTRRAPPTPPPPPPPTWPGSMAAQTHPARPTRRAPPTLPLQPCRASAPTTSEMPVGPVQLAPLP
+>DECOY_NM_005334|567231_2_3730_3965
+PLPALQVPGVPMESTTPASARCPQLPLTPPARRTPRAPHTQAAMSGPWTPPPPPPPTPPARRTPRAPRTRVSESWAVM
+>NM_005334|567232_2_3832_3965
+MAAQTHPARPTRRAPPTLPLQPCRASAPTTSEMPVGPVQLAPLP
+>DECOY_NM_005334|567232_2_3832_3965
+PLPALQVPGVPMESTTPASARCPQLPLTPPARRTPRAPHTQAAM
+>NM_005778|567381_2_368_498
+MATIQMVTMVSTTIGMTSVTRGRARPSCCAAFPSPSQRAIFEK
+>DECOY_NM_005778|567381_2_368_498
+KEFIARQSPSPFAACCSPRARGRTVSTMGITTSVMTVMQITAM
+>NM_005778|567383_2_392_498
+MVSTTIGMTSVTRGRARPSCCAAFPSPSQRAIFEK
+>DECOY_NM_005778|567383_2_392_498
+KEFIARQSPSPFAACCSPRARGRTVSTMGITTSVM
+>NM_005778|567386_2_1244_1350
+MAMPNMLSIHRIISSFINNKLEDWNLMHHLHQAQQ
+>DECOY_NM_005778|567386_2_1244_1350
+QQAQHLHHMLNWDELKNNIFSSIIRHISLMNPMAM
+>NM_005778|567392_2_1643_1800
+MGKKRPTCQLQSLAPTSSRACLLQKRGKRRRRNPRAKQPSRLPKTWNAGLRV
+>DECOY_NM_005778|567392_2_1643_1800
+VRLGANWTKPLRSPQKARPNRRRRKGRKQLLCARSSTPALSQLQCTPRKKGM
+>NM_005778|567393_2_1961_2070
+MEMRRIPSKGVWLLLTVVTVTMRRSWWRDLRVRKRS
+>DECOY_NM_005778|567393_2_1961_2070
+SRKRVRLDRWWSRRMTVTVVTLLLWVGKSPIRRMEM
+>NM_005778|567395_2_2363_2484
+MALTTVTLATRCCRPWAGGKALAWDESVKALRLPLRLKFG
+>DECOY_NM_005778|567395_2_2363_2484
+GFKLRLPLRLAKVSEDWALAKGGAWPRCCRTALTVTTLAM
+>NM_172060|567581_2_655_878
+MAQASVPLNLDRHHTATRCKVAVLQHHQEYIQEIIHSQIPLDLIVHSRTIRLIPVLARVSTHSIITAHRIQHII
+>DECOY_NM_172060|567581_2_655_878
+IIHQIRHATIISHTSVRALVPILRITRSHVILDLPIQSHIIEQIYEQHHQLVAVKCRTATHHRDLNLPVSAQAM
+>NM_033044|567863_2_17037_17281
+MGMVTLIIMNLWLLFIPTRMRIDQQPMQIKSKMRLQDKWLSANVQKGFRWSRSERINTGSSSAISLGILSSCGWSVFCAAP
+>DECOY_NM_033044|567863_2_17037_17281
+PAACFVSWGCSSLIGLSIASSSGTNIRESRSWRFGKQVNASLWKDQLRMKSKIQMPQQDIRMRTPIFLLWLNMIILTVMGM
+>NM_033044|567864_2_17043_17281
+MVTLIIMNLWLLFIPTRMRIDQQPMQIKSKMRLQDKWLSANVQKGFRWSRSERINTGSSSAISLGILSSCGWSVFCAAP
+>DECOY_NM_033044|567864_2_17043_17281
+PAACFVSWGCSSLIGLSIASSSGTNIRESRSWRFGKQVNASLWKDQLRMKSKIQMPQQDIRMRTPIFLLWLNMIILTVM
+>NM_015322|567918_2_1471_1604
+MVITFSKKRFFHQSMLMGIELNVEILRNWSPFGKTEMLFIWKAL
+>DECOY_NM_015322|567918_2_1471_1604
+LAKWIFLMETKGFPSWNRLIEVNLEIGMLMSQHFFRKKSFTIVM
+>NM_001114382|567988_2_551_648
+MGDTSPTWRKSWLTLSCSGWMLACPRNSFWCW
+>DECOY_NM_001114382|567988_2_551_648
+WCWFSNRPCALMWGSCSLTLWSKRWTPSTDGM
+>NM_001114382|567991_2_2009_2196
+MESCGSAPTASATTWSQREALRRRPAAPFLLPQGLLARRLQAPPCGWGPCPTPCSSASCCSA
+>DECOY_NM_001114382|567991_2_2009_2196
+ASCCSASSCPTPCPGWGCPPAQLRRALLGQPLLFPAAPRRRLAERQSWTTASATPASGCSEM
+>NM_001114382|567995_2_3509_3675
+MVFELAPWTCRPPSSWAVPLLQDHGLHQPRNLRRPQLAPGFLCRRRRTWRPMCPC
+>DECOY_NM_001114382|567995_2_3509_3675
+CPCMPRWTRRRRCLFGPALQPRRLNRPQHLGHDQLLPVAWSSPPRCTWPALEFVM
+>NM_002850|568318_2_775_989
+MDASNSCDQKPLKALRFEEPCRLKAVRKPTRANMSVWPPTAPACATPHLPTSTCESFEKSAAWPRASPSCP
+>DECOY_NM_002850|568318_2_775_989
+PCSPSARPWAASKEFSECTSTPLHPTACAPATPPWVSMNARTPKRVAKLRCPEEFRLAKLPKQDCSNSADM
+>NM_002850|568325_2_3445_3530
+MGSHWMWMAVPPRSSSRTSSPTPSTTLC
+>DECOY_NM_002850|568325_2_3445_3530
+CLTTSPTPSSTRSSSRPPVAMWMWHSGM
+>NM_002850|568327_2_3916_4091
+MAASITGAWSPATAMSSSCLPCFRRASLPLQPVPSQTPSSWITRTPSPSWMARRGLSG
+>DECOY_NM_002850|568327_2_3916_4091
+GSLGRRAMWSPSPTRTIWSSPTQSPVPQLPLSARRFCPLCSSSMATAPSWAGTISAAM
+>NM_002850|568332_2_4849_5096
+MAPVRNARSASSSLRRGRTMACPNTQRPSWLSCGESRPATRQMPAPSWFTAVPVWAAQAALSSSTPCLSGSSQRRQSMSMAT
+>DECOY_NM_002850|568332_2_4849_5096
+TAMSMSQRRQSSGSLCPTSSSLAAQAAWVPVATFWSPAPMQRTAPRSEGCSLWSPRQTNPCAMTRGRRLSSSASRANRVPAM
+>NM_002850|568333_2_4906_5096
+MACPNTQRPSWLSCGESRPATRQMPAPSWFTAVPVWAAQAALSSSTPCLSGSSQRRQSMSMAT
+>DECOY_NM_002850|568333_2_4906_5096
+TAMSMSQRRQSSGSLCPTSSSLAAQAAWVPVATFWSPAPMQRTAPRSEGCSLWSPRQTNPCAM
+>NM_002850|568339_2_5722_5969
+MASPGLSGSSSSQTGRNRVCQSRGRASSTSLAKCIRLRSSLARTAPSLSTAVPAWAGRASSSRLASCWSGCGMKAWWTSFRR
+>DECOY_NM_002850|568339_2_5722_5969
+RRFSTWWAKMGCGSWCSALRSSSARGAWAPVATSLSPATRALSSRLRICKALSTSSARGRSQCVRNRGTQSSSSGSLGPSAM
+>NM_001975|568357_2_982_1154
+MANMTWTSSLPLILPDTSLGTSWGHSTRTLSGTILWSPLRTHLTRMIGLPGPSSQPM
+>DECOY_NM_001975|568357_2_982_1154
+MPQSSPGPLGIMRTLHTRLPSWLITGSLTRTSHGWSTGLSTDPLILPLSSTWTMNAM
+>NM_130853|568413_2_775_950
+MDASNSCDQEPCRLKAVRKPTRANMSVWPPTAPACATPHLPTSTCESAAWPRASPSCP
+>DECOY_NM_130853|568413_2_775_950
+PCSPSARPWAASECTSTPLHPTACAPATPPWVSMNARTPKRVAKLRCPEQDCSNSADM
+>NM_002950|568558_2_674_750
+MGLSEMCLPIVRILLKYIMRTTALS
+>DECOY_NM_002950|568558_2_674_750
+SLATTRMIYKLLIRVIPLCMESLGM
+>NM_130855|568605_2_775_962
+MDASNSCDQEPCRLKAVRKPTRANMSVWPPTAPACATPHLPTSTCESFEKSAAWPRASPSCP
+>DECOY_NM_130855|568605_2_775_962
+PCSPSARPWAASKEFSECTSTPLHPTACAPATPPWVSMNARTPKRVAKLRCPEQDCSNSADM
+>NM_006919|568863_3_947_1023
+MDKFAEYERDTCRFTLTSVQSGREL
+>DECOY_NM_006919|568863_3_947_1023
+LERGSQVSTLTFRCTDREYEAFKDM
+>NM_001984|568869_2_403_578
+MVLLSLLQIPALVAAILKVKMRAGTLALVLDFMLMPLKILGKPTTECTLMSQRSFPNS
+>DECOY_NM_001984|568869_2_403_578
+SNPFSRQSMLTCETTPKGLIKLPMLMFDLVLALTGARMKVKLIAAVLAPIQLLSLLVM
+>NM_139159|568965_2_1423_1535
+MANTPGPCSWTGPSSGSSSSSSPRPCSSRAQRMRSSG
+>DECOY_NM_139159|568965_2_1423_1535
+GSSRMRQARSSCPRPSSSSSSGSSPGTWSCPGPTNAM
+>NM_002848|569019_2_3397_3485
+MVCPQQMLQKVSCSLYTWSDSKLPRAKVP
+>DECOY_NM_002848|569019_2_3397_3485
+PVKARPLKSDSWTYLSCSVKQLMQQPCVM
+>NM_004522|569058_2_1482_1564
+MEKLCLRMNRSVPRTRRTWSLVITPPS
+>DECOY_NM_004522|569058_2_1482_1564
+SPPTIVLSWTRRTRPVSRNMRLCLKEM
+>NM_004522|569061_2_2835_3016
+MEGAVLPRSRKFPSWRITWSSSPKFTSSWSGTTQTCAVNCPSWRSGCVPRRSASRLWRAR
+>DECOY_NM_004522|569061_2_2835_3016
+RARWLRSASRRPVCGSRWSPCNVACTQTTGSWSSTFKPSSSWTIRWSPFKRSRPLVAGEM
+>NM_003099|569157_2_244_362
+MAPKKMGSMKNKTKSHRISLQMPQWSYPWTAHKIIRRRC
+>DECOY_NM_003099|569157_2_244_362
+CRRRIIKHATWPYSWQPMQLSIRHSKTKNKMSGMKKPAM
+>NM_003099|569158_2_259_362
+MGSMKNKTKSHRISLQMPQWSYPWTAHKIIRRRC
+>DECOY_NM_003099|569158_2_259_362
+CRRRIIKHATWPYSWQPMQLSIRHSKTKNKMSGM
+>NM_003099|569168_3_1283_1365
+MAALAGCPSHTAEEAGGRGSAAVGQQA
+>DECOY_NM_003099|569168_3_1283_1365
+AQQGVAASGRGGAEEATHSPCGALAAM
+>NM_022356|569206_2_870_1120
+MATTTLSTTLTSSRPSQIITSRSSTVSRTVSRSLLPTQVERSPLKTSSHRIIIICSLPTITLGIIHRLLNVPRPIFSSSPMTR
+>DECOY_NM_022356|569206_2_870_1120
+RTMPSSSFIPRPVNLLRHIIGLTITPLSCIIIIRHSSTKLPSREVQTPLLSRSVTRSVTSSRSTIIQSPRSSTLTTSLTTTAM
+>NM_022356|569209_2_1605_1735
+MATGVRPPHILPMKSSMVSLSSKPSSWGKKAKFLCRVPTCTTT
+>DECOY_NM_022356|569209_2_1605_1735
+TTTCTPVRCLFKAKKGWSSPKSSLSVMSSKMPLIHPPRVGTAM
+>NM_002841|569252_2_1185_1306
+MAQRALNTASMAGGFLLRCRFSFTIQMTLTAFKPQFLRTE
+>DECOY_NM_002841|569252_2_1185_1306
+ETRLFQPKFATLTMQITFSFRCRLLFGGAMSATNLARQAM
+>NM_002841|569268_2_4749_4873
+MVPPLFMMSMEQFQQECYVPLPPCPSNWRMKMLWMFSRLQK
+>DECOY_NM_002841|569268_2_4749_4873
+KQLRSFMWLMKMRWNSPCPPLPVYCEQQFQEMSMMFLPPVM
+>NM_002841|569271_2_4776_4873
+MEQFQQECYVPLPPCPSNWRMKMLWMFSRLQK
+>DECOY_NM_002841|569271_2_4776_4873
+KQLRSFMWLMKMRWNSPCPPLPVYCEQQFQEM
+>NM_002906|569295_2_710_798
+MEITIKRFISQATWLMIDSYPSVYWNNTN
+>DECOY_NM_002906|569295_2_710_798
+NTNNWYVSPYSDIMLWTAQSIFRKITIEM
+>NM_148955|569343_2_244_317
+MAPKKMGSMKNKTKSHRISLQGMV
+>DECOY_NM_148955|569343_2_244_317
+VMGQLSIRHSKTKNKMSGMKKPAM
+>NM_004987|569368_2_198_376
+MGSCTMSSVSCALSASSSSQKDSSMSLKEESTVNMTFRCSLPLAVISVVNSSLAELSKP
+>DECOY_NM_004987|569368_2_198_376
+PKSLEALSSNVVSIVALPLSCRFTMNVTSEEKLSMSSDKQSSSSASLACSVSSMTCSGM
+>NM_001990|569391_2_1774_1847
+MDEMKKLQPNSTTCLSGGSQTMET
+>DECOY_NM_001990|569391_2_1774_1847
+TEMTQSGGSLCTTSNPQLKKMEDM
+>NM_002833|569414_2_947_1023
+MDWCLSMTCVVLIMPTLSWILARKS
+>DECOY_NM_002833|569414_2_947_1023
+SKRALIWSLTPMILVVCTMSLCWDM
+>NM_004945|569452_2_2058_2200
+MGPRRTPSPWTPNWSGRWRPFATWWTHTWPSSTSPSATSCQRPSCTS
+>DECOY_NM_004945|569452_2_2058_2200
+STCSPRQCSTASPSTSSPWTHTWWTAFPRWRGSWNPTWPSPTRRPGM
+>NM_015659|569559_2_1100_1185
+MGRKNVAEEKPKLKQQMNPKTKSHSWYQ
+>DECOY_NM_015659|569559_2_1100_1185
+QYWSHSKTKPNMQQKLKPKEEAVNKRGM
+>NM_015681|569614_2_471_637
+MGPCTCPSHLAGTKGPSPCLSQNLRLNCRSLQAGSWGGGPSTQTPRWWLRVKAGK
+>DECOY_NM_015681|569614_2_471_637
+KGAKVRLWWRPTQTSPGGGWSGAQLSRCNLRLNQSLCPSPGKTGALHSPCTCPGM
+>NM_000655|569686_2_544_647
+MVSPTTRRTRRTAWRSISRETKMQANGTMTPATN
+>DECOY_NM_000655|569686_2_544_647
+NTAPTMTGNAQMKTERSISRWATRRTRRTTPSVM
+>NM_003640|569731_2_716_843
+MEVAALLVFRTCWIRSLCVWPQPLETSYSAVSAHNSWSVLGV
+>DECOY_NM_003640|569731_2_716_843
+VGLVSWSNHASVASYSTELPQPWVCLSRIWCTRFVLLAAVEM
+>NM_003640|569750_3_2295_2395
+MEELWWISCSVSLSMHPDRIGHDWRRGMCPWSD
+>DECOY_NM_003640|569750_3_2295_2395
+DSWPCMGRRWDHGIRDPHMSLSVSCSIWWLEEM
+>NM_001080424|569773_2_1415_1833
+MAACLPPVPPEVTLERAEFRGRGWTPAFHQQQPPPACLTPLPGPLASPAPPPAAAVAAAATLVSGAWSRTQAFPALTITKLPRWRSLTMAAWGPRHTAVGNRSWGLPLPLPTYPCHLDLPHPLHPPVPASYAPHHPLPG
+>DECOY_NM_001080424|569773_2_1415_1833
+GPLPHHPAYSAPVPPHLPHPLDLHCPYTPLPLPLGWSRNGVATHRPGWAAMTLSRWRPLKTITLAPFAQTRSWAGSVLTAAAAVAAAPPPAPSALPGPLPTLCAPPPQQQHFAPTWGRGRFEARELTVEPPVPPLCAAM
+>NM_001080424|569774_2_1679_1833
+MAAWGPRHTAVGNRSWGLPLPLPTYPCHLDLPHPLHPPVPASYAPHHPLPG
+>DECOY_NM_001080424|569774_2_1679_1833
+GPLPHHPAYSAPVPPHLPHPLDLHCPYTPLPLPLGWSRNGVATHRPGWAAM
+>NM_001080424|569779_2_5150_5286
+MAAATRTWYTARAVPGAAAQACRAWWCWSSTALRSWLRPTTPSRW
+>DECOY_NM_001080424|569779_2_5150_5286
+WRSPTTPRLWSRLATSSWCWWARCAQAAAGPVARATYWTRTAAAM
+>NM_001080424|569783_3_4929_5125
+MERGSHGQNQRPRLVQDDQVLPAAVHEALPGATREPGAGREENRLPGPCQGRASLLLQRVRCGGV
+>DECOY_NM_001080424|569783_3_4929_5125
+VGGCRVRQLLLSARGQCPGPLRNEERGAGPERTAGPLAEHVAAPLVQDDQVLRPRQNQGHSGREM
+>NM_182767|569825_3_2340_2431
+MGTGCLCLSGCLCNTPSPCSFHCSSLQPYR
+>DECOY_NM_182767|569825_3_2340_2431
+RYPQLSSCHFSCPSPTNCLCGSLCLCGTGM
+>NM_014173|569921_2_296_402
+MGASTLQEPALSPGRCPRQPLRSKFGHQGSTVQRK
+>DECOY_NM_014173|569921_2_296_402
+KRQVTSGQHGFKSRLPQRPCRGPSLAPEQLTSAGM
+>NM_001665|569956_2_189_310
+MGLWARRACSSATQLTLSPKSTSPPCSTITARRAQLTGAQ
+>DECOY_NM_001665|569956_2_189_310
+QAGTLQARRATITSCPPSTSKPSLTLQTASSCARRAWLGM
+>NM_002710|570008_2_762_886
+MEVYHQIFNLWSRFGELCDQLMYQIKVFFVIFCGLTPIKMS
+>DECOY_NM_002710|570008_2_762_886
+SMKIPTLGCFIVFFVKIQYMLQDCLEGFRSWLNFIQHYVEM
+>NM_002710|570011_2_1002_1090
+MDMNFLQRGSWSLCFLRPIIAESLTMQVP
+>DECOY_NM_002710|570011_2_1002_1090
+PVQMTLSEAIIPRLFCLSWSGRQLFNMDM
+>NM_006709|570134_2_305_411
+MGTSVGAGSCWAMPQSHSPLPPARGVPVLAGPRCQ
+>DECOY_NM_006709|570134_2_305_411
+QCRPGALVPVGRAPPLPSHSQPMAWCSGAGVSTGM
+>NM_006709|570143_2_2558_2679
+MGTPPCTSQLGRATMTACCYSCHVGPTLSCGTKRGTQHGT
+>DECOY_NM_006709|570143_2_2558_2679
+TGHQTGRKTGCSLTPGVHCSYCCATMTARGLQSTCPPTGM
+>NM_006709|570147_2_3320_3459
+MATSAASSTTCVTPTSFPSGSSCCTKTCDFHASPSSVPETSGLGRS
+>DECOY_NM_006709|570147_2_3320_3459
+SRGLGSTEPVSSPSAHFDCTKTCCSSGSPFSTPTVCTTSSAASTAM
+>NM_006709|570150_3_1044_1156
+MAKRQPMGEAVSETAQAGASAGQGATRSEWCGLLRPQ
+>DECOY_NM_006709|570150_3_1044_1156
+QPRLLGCWESRTAGQGASAGAQATESVAEGMPQRKAM
+>NM_006709|570152_3_2670_2800
+MGPDSRALRRVVCASTQPQAPTWGGKSGHPHREDHLPGRGSGL
+>DECOY_NM_006709|570152_3_2670_2800
+LGSGRGPLHDERHPHGSKGGWTPAQPQTSACVVRRLARSDPGM
+>NM_025256|570216_3_1044_1135
+MAKRQPMGEAVSETAQAGASAGQGATRGVQ
+>DECOY_NM_025256|570216_3_1044_1135
+QVGRTAGQGASAGAQATESVAEGMPQRKAM
+>NM_000256|570252_2_3452_3618
+MATTSASSARIWLALVTERPPPRSPSLSPDQASPMSHPTIRPWTSPRPQASPSPW
+>DECOY_NM_000256|570252_2_3452_3618
+WPSPSAQPRPSTWPRITPHSMPSAQDPSLSPSRPPPRETVLALWIRASSASTTAM
+>NM_002837|570316_2_5503_5669
+MGTSSCRCSQSPSCLSGPSGSLRYAVRNSLMHTDSSATFTIRCGQTMESQKPPSL
+>DECOY_NM_002837|570316_2_5503_5669
+LSPPKQSEMTQGCRITFTASSDTHMLSNRVAYRLSGSPGSLCSPSQSCRCSSTGM
+>NM_003756|570346_2_399_490
+MAHSLPGHSWTLSLVTSMPLKNLSFSFMIP
+>DECOY_NM_003756|570346_2_399_490
+PIMFSFSLNKLPMSTVLSLTWSHGPLSHAM
+>NM_006086|570501_2_147_469
+MASTPAATTWATRTCSWSGSASTTTRPLLTSTCLEPFWWTWNPEPWTVSAQGPLDISSGLTISSLVRVGPATTGPRVTTRRGRSWWIRSWMWCGRSVKTATACRASS
+>DECOY_NM_006086|570501_2_147_469
+SSARCATATKVSRGCWMWSRIWWSRGRRTTVRPGTTAPGVRVLSSITLGSSIDLPGQASVTWPEPNWTWWFPELCTSTLLPRTTTSASGSWSCTRTAWTTAAPTSAM
+>NM_001369|570723_3_4871_5010
+MGAVPFQLNRHHRELDDGAKPVDLFRSCLCGRRHCQAAAQGSQAVF
+>DECOY_NM_001369|570723_3_4871_5010
+FVAQSGQAAAQCHRRGCLCSRFLDVPKAGDDLERHHRNLQFPVAGM
+>NM_152565|570742_2_667_764
+MVMSQQKLCVPFLSLRPTDVLLSSLLTPLALN
+>DECOY_NM_152565|570742_2_667_764
+NLALPTLLSSLLVDTPRLSLFPVCLKQQSMVM
+>NM_003474|570826_2_777_874
+MDMYGDILIQQSVSARVLVSGDLLCLKMKAMS
+>DECOY_NM_003474|570826_2_777_874
+SMAKMKLCLLDGSVLVRASVSQQILIDGYMDM
+>NM_003474|570829_2_1941_2137
+MGTHVRMWTATATMASARLTSSSVSRSGDQVLNLPLGSALRESILQVILMATVAKSRRVPLPNAR
+>DECOY_NM_003474|570829_2_1941_2137
+RANPLPVRRSKAVTAMLIVQLISERLASGLPLNLVQDGSRSVSSSTLRASAMTATATWMRVHTGM
+>NM_003474|570831_2_1980_2137
+MASARLTSSSVSRSGDQVLNLPLGSALRESILQVILMATVAKSRRVPLPNAR
+>DECOY_NM_003474|570831_2_1980_2137
+RANPLPVRRSKAVTAMLIVQLISERLASGLPLNLVQDGSRSVSSSTLRASAM
+>NM_003474|570842_3_3049_3137
+MGDWAPPGTPQTCSTISTPSAQIHPHRLY
+>DECOY_NM_003474|570842_3_3049_3137
+YLRHPHIQASPTSITSCTQPTGPPAWDGM
+>NM_052918|570907_2_408_481
+MEGSRSLGLGSGTRTKPPASGWRS
+>DECOY_NM_052918|570907_2_408_481
+SRWGSAPPKTRTGSGLGLSRSGEM
+>NM_052918|570916_2_2202_2350
+MVMSDTAMASACRHFGSIHPLCQRIAAWDRVTSIVLGTGRWFPIIALMA
+>DECOY_NM_052918|570916_2_2202_2350
+AMLAIIPFWRGTGLVISTVRDWAAIRQCLPHISGFHRCASAMATDSMVM
+>NM_052918|570918_2_2223_2350
+MASACRHFGSIHPLCQRIAAWDRVTSIVLGTGRWFPIIALMA
+>DECOY_NM_052918|570918_2_2223_2350
+AMLAIIPFWRGTGLVISTVRDWAAIRQCLPHISGFHRCASAM
+>NM_052918|570920_2_2523_2608
+MVSRCLTSISAPWKMGSNTSIRTWAFSV
+>DECOY_NM_052918|570920_2_2523_2608
+VSFAWTRISTNSGMKWPASISTLCRSVM
+>NM_030625|571077_2_2777_2886
+MALNMYTVYQLKQMFHLKNSILKNSARHWKTILINS
+>DECOY_NM_030625|571077_2_2777_2886
+SNILITKWHRASNKLISNKLHFMQKLQYVTYMNLAM
+>NM_030625|571088_2_5138_5373
+MGTLPTEDAPSMKIVPVHVKELIQRLVELHSLLAVHGVCTLMAVSLVEAQAPEDLELIQALPYMKKTLKITYRVWLHD
+>DECOY_NM_030625|571088_2_5138_5373
+DHLWVRYTIKLTKKMYPLAQILELDEPAQAEVLSVAMLTCVGHVALLSHLEVLRQILEKVHVPVIKMSPADETPLTGM
+>NM_030625|571113_3_4161_4249
+METTGSNEVHYATQNSISTTHSDKITEIS
+>DECOY_NM_030625|571113_3_4161_4249
+SIETIKDSHTTSISNQTAYHVENSGTTEM
+>NM_001897|571198_2_3697_3776
+MAASAPATPWPSPWKQGQCTRMPPYK
+>DECOY_NM_001897|571198_2_3697_3776
+KYPPMRTCQGQKWPSPWPTAPASAAM
+>NM_001897|571202_2_4300_4379
+MEPCRRRTDLKPGPSAPSPGEWWKSS
+>DECOY_NM_001897|571202_2_4300_4379
+SSKWWEGPSPASPGPKLDTRRRCPEM
+>NM_001897|571209_2_5479_5567
+MASTFVPTSRGQQGPPWLDPKPQRPLPSR
+>DECOY_NM_001897|571209_2_5479_5567
+RSPLPRQPKPDLWPPGQQGRSTPVFTSAM
+>NM_001897|571213_2_6364_6737
+MAAWSACPEPGRSPGAASWWSSSLSRTLRTGGWGWRWAGQRGGPPAPQVTVSLWSCGHRASRLLWPPWTLPLSLTMLPGPTAWPCSVSPRPPGRKQGSQRAAPPQASQAPWHPALSPLWPREAS
+>DECOY_NM_001897|571213_2_6364_6737
+SAERPWLPSLAPHWPAQSAQPPAARQSGQKRGPPRPSVSCPWATPGPLMTLSLPLTWPPWLLRSARHGCSWLSVTVQPAPPGGRQGAWRWGWGGTRLTRSLSSSWWSAAGPSRGPEPCASWAAM
+>NM_001897|571216_3_6254_6447
+MAPGCHPAPGPHRPRCWRAGQPHRQCAALPPPGGTPAWPRGPRAPSQDGARGQPAGGAVHSAGP
+>DECOY_NM_001897|571216_3_6254_6447
+PGASHVAGGAPQGRAGDQSPARPGRPWAPTGGPPPLAACQRHPQGARWCRPRHPGPAPHCGPAM
+>NM_005762|571299_2_893_1023
+MVNVLSIATYTSMNPLCCFVRAVILSPAETASSMPTRTTSTSS
+>DECOY_NM_005762|571299_2_893_1023
+SSTSTTRTPMSSATEAPSLIVARVFCCLPNMSTYTAISLVNVM
+>NM_005762|571304_2_2324_2508
+MAASAWMVQTALAWWPSSHQPTSGNVSVYCWPYSVTNPAAPCISWLPTPPSPWTSPVAPWI
+>DECOY_NM_005762|571304_2_2324_2508
+IWPAVPSTWPSPPTPLWSICPAAPNTVSYPWCYVSVNGSTPQHSSPWWALATQVMWASAAM
+>NM_019108|571363_3_474_700
+MGKREKGCQRRDKHFRHAENPHHPLKTSSRAVKTATTSNSPCCPACSSPSGEAHRSHEATGGGEGACGRDRCLYP
+>DECOY_NM_019108|571363_3_474_700
+PYLCRDRGCAGEGGGTAEHSRHAEGSPSSCAPCCPSNSTTATKVARSSTKLPHHPNEAHRFHKDRRQCGKERKGM
+>NM_002046|571390_2_694_773
+MAAGLSRTSSLPLLALPRLWARSSLS
+>DECOY_NM_002046|571390_2_694_773
+SLSSRAWLRPLALLPLSSTRSLGAAM
+>NM_004899|571430_2_628_701
+MERTWKFMLGKKTTGLVNFQLVSF
+>DECOY_NM_004899|571430_2_628_701
+FSVLQFNVLGTTKKGLMFKWTREM
+>NM_024662|571470_2_2162_2262
+MAAVLCSCCRCTMKAGFLVWRKRSLRHHRKFTP
+>DECOY_NM_024662|571470_2_2162_2262
+PTFKRHHRLSRKRWVLFGAKMTCRCCSCLVAAM
+>NM_004322|571514_2_517_686
+MEALGLWRSGVATAPTPRGRRTTKGWGRSPAPFGAARARRPPTSGQHSAMAASSGG
+>DECOY_NM_004322|571514_2_517_686
+GGSSAAMASHQGSTPPRRARAAGFPAPSRGWGKTTRRGRPTPATAVGSRWLGLAEM
+>NM_001010938|571671_2_1733_1824
+MATVTPATAGASRTGLTNCIWETPWTPPTS
+>DECOY_NM_001010938|571671_2_1733_1824
+STPPTWPTEWICNTLGTRSAGATAPTVTAM
+>NM_012112|571721_2_2253_2362
+MGCLLSPKSQRQELWKYALSRLILETKNVSYRRRRK
+>DECOY_NM_012112|571721_2_2253_2362
+KRRRRYSVNKTELILRSLAYKWLEQRQSKPSLLCGM
+>NM_030751|571752_2_541_617
+MEHQMHFHNYSPVHIVIEAINALPL
+>DECOY_NM_030751|571752_2_541_617
+LPLANIAEIVIHVPSYNHFHMQHEM
+>NM_030751|571755_2_1177_1277
+MGFSLVVAHYRQPVLLRAWCKLLFCQQLVWCLP
+>DECOY_NM_030751|571755_2_1177_1277
+PLCWVLQQCFLLKCWARLLVPQRYHAVVLSFGM
+>NM_030751|571757_2_1465_1538
+MEQPKLSSTTVLSSLANFKLFLKI
+>DECOY_NM_030751|571757_2_1465_1538
+IKLFLKFNALSSLVTTSSLKPQEM
+>NM_002291|571995_2_1155_1255
+MVMPANVPLWMDSMKKWKEWFTDTACAGITPRA
+>DECOY_NM_002291|571995_2_1155_1255
+ARPTIGACATDTFWEKWKKMSDMWLPVNAPMVM
+>NM_002291|572005_2_3042_3514
+MATPSLGQEITAALALAQMVPTVDASLPGAATKILLLYSLPVFVILDTLVPDVTTVPQDTLAIHQKLGGRVSLASVTTTLTRQTQKPVTRRLGGVSSACTTRKGNTVSSAGLDTMVMPSSRTVESVSVITWAPCKSTVTALTASATKPLVSACVFLM
+>DECOY_NM_002291|572005_2_3042_3514
+MLFVCASVLPKTASATLATVTSKCPAWTIVSVSEVTRSSPMVMTDLGASSVTNGKRTTCASSVGGLRRTVPKQTQRTLTTTVSALSVRGGLKQHIALTDQPVTTVDPVLTDLIVFVPLSYLLLIKTAAGPLSADVTPVMQALALAATIEQGLSPTAM
+>NM_002291|572007_2_3384_3514
+MVMPSSRTVESVSVITWAPCKSTVTALTASATKPLVSACVFLM
+>DECOY_NM_002291|572007_2_3384_3514
+MLFVCASVLPKTASATLATVTSKCPAWTIVSVSEVTRSSPMVM
+>NM_000267|572149_3_2840_2922
+MDQHDWLPLCPWGSVPPAEKQFWPGNL
+>DECOY_NM_000267|572149_3_2840_2922
+LNGPWFQKEAPPVSGWPCLPLWDHQDM
+>NM_000051|572344_2_1727_1800
+MGNVHHMCYDALRKLHCVKTRGQT
+>DECOY_NM_000051|572344_2_1727_1800
+TQGRTKVCHLKRLADYCMHHVNGM
+>NM_000051|572375_3_5955_6091
+MEKSAFYTCSGIFHQLSSTLLANEPIHNPCKLGFRVRALFPMLFG
+>DECOY_NM_000051|572375_3_5955_6091
+GFLMPFLARVRFGLKCPNHIPENALLTSSLQHFIGSCTYFASKEM
+>NM_003218|572622_2_584_672
+MATLKKQKKSLKEYLVIQILICLSKANCL
+>DECOY_NM_003218|572622_2_584_672
+LCNAKSLCILIQIVLYEKLSKKQKKLTAM
+>NM_006924|572687_2_447_619
+MGTVCGWSFLEAAVEQAEAAAGVEVAELPEVAMAPHPGGLKTEWLSLDCLQVEVGRI
+>DECOY_NM_006924|572687_2_447_619
+IRGVEVQLCDLSLWETKLGGPHPAMAVEPLEAVEVGAAAEAQEVAAELFSWGCVTGM
+>NM_006924|572688_2_543_619
+MAPHPGGLKTEWLSLDCLQVEVGRI
+>DECOY_NM_006924|572688_2_543_619
+IRGVEVQLCDLSLWETKLGGPHPAM
+>NM_002444|572860_2_598_773
+MATSIRKCISLATWPETSCSRRESWNSTNSTRTSGRSGSRCGMRNTVACSGRMLSWNI
+>DECOY_NM_002444|572860_2_598_773
+INWSLMRGSCAVTNRMGCRSGSRGSTRTSNTSNWSERRSCSTEPWTALSICKRISTAM
+>NM_002444|572867_2_1654_1763
+MGQRLVLTYGLMLWPRTAVRRNVPLRQRRMSVCRST
+>DECOY_NM_002444|572867_2_1654_1763
+TSRCVSMRRQRLPVNRRVATRPWLMLGYTLVLRQGM
+>NM_024539|572974_2_1084_1259
+MDQCLYKSLYPMKYLIVPPPMKRIIAARPHHLDMLQYREQMNRLWRNTCSQQMKVYSW
+>DECOY_NM_024539|572974_2_1084_1259
+WSYVKMQQSCTNRWLRNMQERYQLMDLHHPRAAIIRKMPPPVILYKMPYLSKYLCQDM
+>NM_018327|573083_2_410_537
+MGSHIFMISSLLNRLRKHPFMLWFSLTWDMELEPCLAISETF
+>DECOY_NM_018327|573083_2_410_537
+FTESIALCPELEMDWTLSFWLMFPHKRLRNLLSSIMFIHSGM
+>NM_000459|573165_2_1130_1485
+MVSAMKILENAFALLGLWEGRVRRLVNCTRLAELVKKGAVDKRDASLMCSVSLTPMGVPVPQAGRVCSAMKHATLVFTGQIVSLGAAATMGRCVIASKDVSALQDGRGSSVREKAYRG
+>DECOY_NM_000459|573165_2_1130_1485
+GRYAKERVSSGRGDQLASVDKSAIVCRGMTAAAGLSVIQGTFVLTAHKMASCVRGAQPVPVGMPTLSVSCMLSADRKDVAGKKVLEALRTCNVLRRVRGEWLGLLAFANELIKMASVM
+>NM_000459|573170_2_1397_1485
+MGRCVIASKDVSALQDGRGSSVREKAYRG
+>DECOY_NM_000459|573170_2_1397_1485
+GRYAKERVSSGRGDQLASVDKSAIVCRGM
+>NM_000459|573171_2_1859_1932
+MDQSNPRSFYTNPLITMRLGNIFK
+>DECOY_NM_000459|573171_2_1859_1932
+KFINGLRMTILPNTYFSRPNSQDM
+>NM_006006|573336_2_1153_1301
+MGERRVPSRCHPQLRLARPPLADLSTQHPRLRSIWASTPCCPTTRLTLY
+>DECOY_NM_006006|573336_2_1153_1301
+YLTLRTTPCCPTSAWISRLRPHQTSLDALPPRALRLQPHCRSPVRREGM
+>NM_006006|573340_2_1993_2114
+MAVARSSASSISWRRTIGCTQVRSPLSVSSATSAPGTTRP
+>DECOY_NM_006006|573340_2_1993_2114
+PRTTGPASTASSVSLPSRVQTCGITRRWSISSASSRAVAM
+>NM_002293|573420_2_1290_1474
+MVDPRNATSTLNSIVPLAMGATVPTARITQMAPTVRGAERTSSALATMKPALHATVVLWAL
+>DECOY_NM_002293|573420_2_1290_1474
+LAWLVVTAHLAPKMTALASSTREAGRVTPAMQTIRATPVTAGMALPVISNLTSTANRPDVM
+>NM_002293|573421_2_1344_1474
+MGATVPTARITQMAPTVRGAERTSSALATMKPALHATVVLWAL
+>DECOY_NM_002293|573421_2_1344_1474
+LAWLVVTAHLAPKMTALASSTREAGRVTPAMQTIRATPVTAGM
+>NM_002293|573426_2_1827_1903
+MGGVRNREMALKHLSSGPLRGKISP
+>DECOY_NM_002293|573426_2_1827_1903
+PSIKGRLPGSSLHKLAMERNRVGGM
+>NM_002293|573427_2_1974_2062
+MVRTSPSPFEWTGEILASLQKTLCLRELA
+>DECOY_NM_002293|573427_2_1974_2062
+ALERLCLTKQLSALIEGTWEFPSPSTRVM
+>NM_002293|573431_2_2343_2722
+MEGSFVRCASQVTEEKLLILDHTVHVCFAPAMDTARPVILRQVFVTAETIRLARTVRSAVMGTMEIQLQAPPPIANPVRVLEVQVVLLFPRQRRWCAPTVLLAPLVRDVSSVMMATLETPWVETAL
+>DECOY_NM_002293|573431_2_2343_2722
+LATEVWPTELTAMMVSSVDRVLPALLVTPACWRRQRPFLLVVQVELVRVPNAIPPPAQLQIEMTGMVASRVTRALRITEATVFVQRLIVPRATDMAPAFCVHVTHDLILLKEETVQSACRVFSGEM
+>NM_002293|573432_2_2436_2722
+MDTARPVILRQVFVTAETIRLARTVRSAVMGTMEIQLQAPPPIANPVRVLEVQVVLLFPRQRRWCAPTVLLAPLVRDVSSVMMATLETPWVETAL
+>DECOY_NM_002293|573432_2_2436_2722
+LATEVWPTELTAMMVSSVDRVLPALLVTPACWRRQRPFLLVVQVELVRVPNAIPPPAQLQIEMTGMVASRVTRALRITEATVFVQRLIVPRATDM
+>NM_002293|573433_2_2523_2722
+MGTMEIQLQAPPPIANPVRVLEVQVVLLFPRQRRWCAPTVLLAPLVRDVSSVMMATLETPWVETAL
+>DECOY_NM_002293|573433_2_2523_2722
+LATEVWPTELTAMMVSSVDRVLPALLVTPACWRRQRPFLLVVQVELVRVPNAIPPPAQLQIEMTGM
+>NM_002293|573434_2_2532_2722
+MEIQLQAPPPIANPVRVLEVQVVLLFPRQRRWCAPTVLLAPLVRDVSSVMMATLETPWVETAL
+>DECOY_NM_002293|573434_2_2532_2722
+LATEVWPTELTAMMVSSVDRVLPALLVTPACWRRQRPFLLVVQVELVRVPNAIPPPAQLQIEM
+>NM_002293|573438_2_3249_3370
+MVAVNAEKALWEIAVTSVKKTISTIGLGLAARNVQLVTGW
+>DECOY_NM_002293|573438_2_3249_3370
+WGTVLQVNRAALGLGITSITKKVSTVAIEWLAKEANVAVM
+>NM_022169|573484_2_1324_1508
+MGCALWLRRRAALRRTRSLPHALLVLRKWIPLKATPLPPAPSHSSASSSRGPSCPSSGTRS
+>DECOY_NM_022169|573484_2_1324_1508
+SRTGSSPCSPGRSSSASSHSPAPPLPTAKLPIWKRLVLLAHPLSRTRRLAARRRLWLACGM
+>NM_014452|573556_3_1650_1756
+MDLLLQWPWYRYPEACSSPSGKPVERYLSVSLQCQ
+>DECOY_NM_014452|573556_3_1650_1756
+QCQLSVSLYREVPKGSPSSCAEPYRYWPWQLLLDM
+>NM_031311|573643_2_180_298
+MGCFAPYTEVFPCHLRETQDSHYFSPLTLKLGRSKKEEN
+>DECOY_NM_031311|573643_2_180_298
+NEEKKSRGLKLTLPSFYHSDQTERLHCPFVETYPAFCGM
+>NM_001357|573767_2_854_990
+MDQIRNWQHSPVPCHLSDNCTILEWLKLTPDLQRRRKERQWSLTK
+>DECOY_NM_001357|573767_2_854_990
+KTLSWQREKRRRQLDPTLKLWELITCNDSLHCPVPSHQWNRIQDM
+>NM_003966|573886_2_3164_3300
+MGECLALAHLWNTRNATFCPAQWMACGLAGPPGQNVQQHAAVDTI
+>DECOY_NM_003966|573886_2_3164_3300
+ITDVAAHQQVNQGPPGALGCAMWQAPCFTANRTNWLHALALCEGM
+>NM_003966|573900_3_735_832
+MAVLKPGAVETRPPRGPGYDSVPENRASSHLL
+>DECOY_NM_003966|573900_3_735_832
+LLHSSARNEPVSDYGPGRPPRTEVAGPKLVAM
+>NM_022089|574025_2_569_654
+MAGARRQLGRYQRVPGRIRPSSTRARRR
+>DECOY_NM_022089|574025_2_569_654
+RRRARTSSPRIRGPVRQYRGLQRRAGAM
+>NM_022089|574026_2_911_1044
+MGSRPSASRCGWLTTTTGTPCASSSFPPSPSACRCTRPESKARL
+>DECOY_NM_022089|574026_2_911_1044
+LRAKSEPRTCRCASPSPPFSSSACPTGTTTTLWGCRSASPRSGM
+>NM_022089|574030_3_3270_3526
+MVRASEQDSGRTRQPAQLREHRGLLSVQLPVPHPGCSRVQGGALPPAALHQCALPGGPGAPELRPGGPCPGPRPPAGAAGAEEHH
+>DECOY_NM_022089|574030_3_3270_3526
+HHEEAGAAGAPPRPGPCPGGPRLEPAGPGGPLACQHLAAPPLAGGQVRSCGPHPVPLQVSLLGRHERLQAPQRTRGSDQESARVM
+>NM_001141973|574096_2_569_807
+MAGARRQLGRYQRVPGRIRPSSTRARRRSGCCGITSSRASAISGSRPSKPSTRSASWTMAALVTTSTAPAMASASRTKW
+>DECOY_NM_001141973|574096_2_569_807
+WKTRSASAMAPATSTTVLAAMTWSASRTSPKSPRSGSIASARSSTIGCCGSRRRARTSSPRIRGPVRQYRGLQRRAGAM
+>NM_001141974|574152_3_3138_3292
+MVRASEQDSGRTRQPAQLREHRGLLSVQLPVPHPGCSRVQGGALPPAALHQ
+>DECOY_NM_001141974|574152_3_3138_3292
+QHLAAPPLAGGQVRSCGPHPVPLQVSLLGRHERLQAPQRTRGSDQESARVM
+>NM_002851|574204_2_2838_3001
+MVHLCFHFPLLPSVVNCFAICIQFLKSFHKLLQLPRVIRCPCMLLCQWLGVICY
+>DECOY_NM_002851|574204_2_2838_3001
+YCIVGLWQCLLMCPCRIVRPLQLLKHFSKLFQICIAFCNVVSPLLPFHFCLHVM
+>NM_002851|574218_2_4647_4759
+MVTLMMMVMMMMMTEVVMAYPFISVCHAHPIENHRKR
+>DECOY_NM_002851|574218_2_4647_4759
+RKRHNEIPHAHCVSIFPYAMVVETMMMMMVMMMLTVM
+>NM_002851|574221_2_4665_4759
+MVMMMMMTEVVMAYPFISVCHAHPIENHRKR
+>DECOY_NM_002851|574221_2_4665_4759
+RKRHNEIPHAHCVSIFPYAMVVETMMMMMVM
+>NM_002851|574230_2_4944_5023
+MEKRKMTFRLVVLCFLSALNLKHGQF
+>DECOY_NM_002851|574230_2_4944_5023
+FQGHKLNLASLFCLVVLRFTMKRKEM
+>NM_207346|574249_2_616_785
+MEMARERGAAPALGPLIRRPRPWTTPCNPRVWQPPAHLPAASPANAQRRNPRSQAP
+>DECOY_NM_207346|574249_2_616_785
+PAQSRPNRRQANAPSAAPLHAPPQWVRPNCPTTWPRPRRILPGLAPAAGRERAMEM
+>NM_207346|574250_2_622_785
+MARERGAAPALGPLIRRPRPWTTPCNPRVWQPPAHLPAASPANAQRRNPRSQAP
+>DECOY_NM_207346|574250_2_622_785
+PAQSRPNRRQANAPSAAPLHAPPQWVRPNCPTTWPRPRRILPGLAPAAGRERAM
+>NM_005781|574340_2_3091_3452
+MARRSAAPTITCCPSDHPTWSATSASCVRPRAPRSLPPCLCLCCCPHPAPQPPPPPRPPCGRCPRLPWTPRPTSPPTTATQGPGHHPRGPLLGCHRGAALAMGQRRAGQQTRSRWPWCMG
+>DECOY_NM_005781|574340_2_3091_3452
+GMCWPWRSRTQQGARRQGMALAAGRHCGLLPGRPHHGPGQTATTPPSTPRPTWPLRPCRGCPPRPPPPPQPAPHPCCCLCLCPPLSRPARPRVCSASTASWTPHDSPCCTITPAASRRAM
+>NM_006951|574361_2_201_451
+MAGPPSPRWLSPPLPRRGRPRCPPLLRTPALRMTDRLYWPCCSSYGRANSARPKRRCAVRPGCWRRQWRAPEPRERWTAPALR
+>DECOY_NM_006951|574361_2_201_451
+RLAPATWRERPEPARWQRRWCGPRVACRRKPRASNARGYSSCCPWYLRDTMRLAPTRLLPPCRPRGRRPLPPSLWRPSPPGAM
+>NM_006951|574371_2_2187_2263
+MVKFWHQVQWIIQFDYGMLSKPLKI
+>DECOY_NM_006951|574371_2_2187_2263
+IKLPKSLMGYDFQIIWQVQHWFKVM
+>NM_020436|574398_2_2709_2839
+MAAHGVGRTSRLLALFRSTSGLTLERSLLCATFVGELLPPKAT
+>DECOY_NM_020436|574398_2_2709_2839
+TAKPPLLEGVFTACLLSRELTLGSTSRFLALLRSTRGVGHAAM
+>NM_182931|574457_2_1801_1946
+MEPYIFIFILYTVFQRELKLLLPLILTMEIVSTRWTVHASKKTQSALF
+>DECOY_NM_182931|574457_2_1801_1946
+FLASQTKKSAHVTWRTSVIEMTLILPLLLKLERQFVTYLIFIFIYPEM
+>NM_182931|574463_2_4117_4595
+MVMAVPAVMTMGSRWTTLLAYLYQHQLQFIMPLLKKLAITALLRMLLLVRRMNQKFNGLPQLQWNKSEKGVIRELYFSVITEKIKIVGENHHVSHVHRVMFSLHLHLIQITYPSCKLRAQSLLSVNLWKTLILKIQNPQLRMNVHPQILLKILVKVLQK
+>DECOY_NM_182931|574463_2_4117_4595
+KQLVKVLIKLLIQPHVNMRLQPNQIKLILTKWLNVSLLSQARLKCSPYTIQILHLHLSFMVRHVHSVHHNEGVIKIKETIVSFYLERIVGKESKNWQLQPLGNFKQNMRRVLLLMRLLATIALKKLLPMIFQLQHQYLYALLTTWRSGMTMVAPVAMVM
+>NM_182931|574464_2_4123_4595
+MAVPAVMTMGSRWTTLLAYLYQHQLQFIMPLLKKLAITALLRMLLLVRRMNQKFNGLPQLQWNKSEKGVIRELYFSVITEKIKIVGENHHVSHVHRVMFSLHLHLIQITYPSCKLRAQSLLSVNLWKTLILKIQNPQLRMNVHPQILLKILVKVLQK
+>DECOY_NM_182931|574464_2_4123_4595
+KQLVKVLIKLLIQPHVNMRLQPNQIKLILTKWLNVSLLSQARLKCSPYTIQILHLHLSFMVRHVHSVHHNEGVIKIKETIVSFYLERIVGKESKNWQLQPLGNFKQNMRRVLLLMRLLATIALKKLLPMIFQLQHQYLYALLTTWRSGMTMVAPVAM
+>NM_182931|574466_2_4147_4595
+MGSRWTTLLAYLYQHQLQFIMPLLKKLAITALLRMLLLVRRMNQKFNGLPQLQWNKSEKGVIRELYFSVITEKIKIVGENHHVSHVHRVMFSLHLHLIQITYPSCKLRAQSLLSVNLWKTLILKIQNPQLRMNVHPQILLKILVKVLQK
+>DECOY_NM_182931|574466_2_4147_4595
+KQLVKVLIKLLIQPHVNMRLQPNQIKLILTKWLNVSLLSQARLKCSPYTIQILHLHLSFMVRHVHSVHHNEGVIKIKETIVSFYLERIVGKESKNWQLQPLGNFKQNMRRVLLLMRLLATIALKKLLPMIFQLQHQYLYALLTTWRSGM
+>NM_014712|574510_2_708_964
+MGRRPRASSGGTTSSSWILPWTLPCAGLLRRCTAMMESTSVSTTQSIYQSKTSKTPVAMSGPKTETFPSQSLSLSWTSSILDRFH
+>DECOY_NM_014712|574510_2_708_964
+HFRDLISSTWSLSLSQSPFTETKPGSMAVPTKSTKSQYISQTTSVSTSEMMATCRRLLGACPLTWPLIWSSSTTGGSSARPRRGM
+>NM_014712|574512_2_813_964
+MESTSVSTTQSIYQSKTSKTPVAMSGPKTETFPSQSLSLSWTSSILDRFH
+>DECOY_NM_014712|574512_2_813_964
+HFRDLISSTWSLSLSQSPFTETKPGSMAVPTKSTKSQYISQTTSVSTSEM
+>NM_014712|574517_2_2292_2665
+MGPAHPLRPQLILRMWHLQGAGSQGLPGSLPRQMDRTRLLHALLETTWRSPTTTGVAHPLRPRRPLSSLRHLPLPRRLLLPTWRPFLLVILPTNLPTSSHPDLMGRRPLSTPHLLHHPRTSMTL
+>DECOY_NM_014712|574517_2_2292_2665
+LTMSTRPHHLLHPTSLPRRGMLDPHSSTPLNTPLIVLLFPRWTPLLLRRPLPLHRLSSLPRRPRLPHAVGTTTPSRWTTELLAHLLRTRDMQRPLSGPLGQSGAGQLHWMRLILQPRLPHAPGM
+>NM_014712|574535_2_4836_4957
+MGRAPSGGAASAPTPGAAALRPHPRRHRPAPTSHAVSLNR
+>DECOY_NM_014712|574535_2_4836_4957
+RNLSVAHSTPAPRHRRPHPRLAAAGPTPASAAGGSPARGM
+>NM_014712|574536_2_5136_5317
+MGPGSTRQAQPAAKATTPSARRRRTSTWTCAQSRPGSWRAWTLRGRTACCPSAGPSSGGC
+>DECOY_NM_014712|574536_2_5136_5317
+CGGSSPGASPCCATRGRLTWARWSGPRSQACTWTSTRRRRASPTTAKAAPQAQRTSGPGM
+>NM_198488|574565_2_1849_2084
+MGATTAYRRPWKRRLTKTTCWLPGAGHLPATCSPRPSASQQPSPPRSRCQARAAAATAQSARARRSLAWPSRTHSARA
+>DECOY_NM_198488|574565_2_1849_2084
+ARASHTRSPWALSRRARASQATAAAARAQCRSRPPSPQQSASPRPSCTAPLHGAGPLWCTTKTLRRKWPRRYATTAGM
+>NM_133436|574640_2_729_805
+MVKSTTIRRCNSILNLNTRPKWMVR
+>DECOY_NM_133436|574640_2_729_805
+RVMWKPRTNLNLISNCRRITTSKVM
+>NM_007127|574673_2_1476_2020
+MVNQSRSGSQWARSHLILCPSSRDAWWSTREAPPELTTWRPGPPHGCSRSRELAPTTPRPLRSQRGPISSIPMMSLSSRPSLAAIYGVGRVVAGTSGRWPRWLLTPSPGRRSKWWWKGRSQPTSGWPWVGRPPMPTPRDYRKKTWSSPPGSLSVPTRLGASWPQRSLTSIRMTWKRMMCSY
+>DECOY_NM_007127|574673_2_1476_2020
+YSCMMRKWTMRISTLSRQPWSAGLRTPVSLSGPPSSWTKKRYDRPTPMPPRGVWPWGSTPQSRGKWWWKSRRGPSPTLLWRPWRGSTGAVVRGVGYIAALSPRSSLSMMPISSIPGRQSRLPRPTTPALERSRSCGHPPGPRWTTLEPPAERTSWWADRSSPCLILHSRAWQSGSRSQNVM
+>NM_002014|574908_2_637_926
+MAESFAEYRLAVKAMLSPMRVLSWRLHWKGTTRTSSLTSGSSALRLARGRTWICLMVWRGPFSAWRKENIPSCTSSPAMLLAVLGRKSSKSHQMLS
+>DECOY_NM_002014|574908_2_637_926
+SLMQHSKSSKRGLVALLMAPSSTCSPINEKRWASFPGRWVMLCIWTRGRALRLASSGSTLSSTRTTGKWHLRWSLVRMPSLMAKVALRYEAFSEAM
+>NM_033004|574953_2_1714_1790
+MGQPLRLPLDRSCLGQSGCSSSSMV
+>DECOY_NM_033004|574953_2_1714_1790
+VMSSSSCGSQGLCSRDLPLRLPQGM
+>NM_033004|574963_3_2888_3042
+MEPHHGSPVQVGPSHRCLLADSLLRPQGHQKPEGAGPKWKLAEPLCSEESL
+>DECOY_NM_033004|574963_3_2888_3042
+LSEESCLPEALKWKPGAGEPKQHGQPRLLSDALLCRHSPGVQVPSGHHPEM
+>NM_000094|574994_3_3653_3792
+MGQAWTRQSVVWPQPCVRHPSLLSPGQSPAQCIVQRARRGNLERWA
+>DECOY_NM_000094|574994_3_3653_3792
+AWRELNGRRARQVICQAPSQGPSLLSPHRVCPQPWVVSQRTWAQGM
+>NM_000094|574995_3_4355_5127
+MELQASQDNLGLRVSRAHGDLLELLAPKVTGAFQGPWVRLERRANVDPQAQRDPGGCQGLLDVLEPRVLKGHQDPLAAKERRGSLVALGTLQWWDLLLLDPKEKREMWGPLGPEELPESKGNGAHPAWFFLETLAPRETLETGVPLALLAEQDPQVTQGLLERRETLGGLAPQDLLAPEDEMVKLERKVTRVLRVTRVCLEKQASVAFGGHLEFGGLWVKRETREILERMDEMAALDHLDPRVTVGSRVPQDPRDGW
+>DECOY_NM_000094|574995_3_4355_5127
+WGDRPDQPVRSGVTVRPDLHDLAAMEDMRELIERTERKVWLGGFELHGGFAVSAQKELCVRTVRLVRTVKRELKVMEDEPALLDQPALGGLTERRELLGQTVQPDQEALLALPVGTELTERPALTELFFWAPHAGNGKSEPLEEPGLPGWMERKEKPDLLLLDWWQLTGLAVLSGRREKAALPDQHGKLVRPELVDLLGQCGGPDRQAQPDVNARRELRVWPGQFAGTVKPALLELLDGHARSVRLGLNDQSAQLEM
+>NM_000094|574997_3_4898_5127
+MVKLERKVTRVLRVTRVCLEKQASVAFGGHLEFGGLWVKRETREILERMDEMAALDHLDPRVTVGSRVPQDPRDGW
+>DECOY_NM_000094|574997_3_4898_5127
+WGDRPDQPVRSGVTVRPDLHDLAAMEDMRELIERTERKVWLGGFELHGGFAVSAQKELCVRTVRLVRTVKRELKVM
+>NM_000094|574998_3_5042_5127
+MDEMAALDHLDPRVTVGSRVPQDPRDGW
+>DECOY_NM_000094|574998_3_5042_5127
+WGDRPDQPVRSGVTVRPDLHDLAAMEDM
+>NM_000094|574999_3_5051_5127
+MAALDHLDPRVTVGSRVPQDPRDGW
+>DECOY_NM_000094|574999_3_5051_5127
+WGDRPDQPVRSGVTVRPDLHDLAAM
+>NM_000094|575004_3_5612_6012
+MAPRVSVELLVSLDPRGLQASQGQWALLARVFLVSQEARAPRVTVGRLDPKGSRASLESVACEESLEVCRMWIGCWKLLASRHLPCGRSWRPGMRALVASCLCPNGVEAPRGTQANRAPQARRAPSAFLENAG
+>DECOY_NM_000094|575004_3_5612_6012
+GANELFASPARRAQPARNAQTGRPAEVGNPCLCSAVLARMGPRWSRGCPLHRSALLKWCGIWMRCVELSEECAVSELSARSGKPDLRGVTVRPARAEQSVLFVRALLAWQGQSAQLGRPDLSVLLEVSVRPAM
+>NM_000094|575007_3_6224_6615
+MALLDSLEPLGPPDPLAPRCLWMSQVLDSLENRDPLDSRVLRGSRAAMVTKVPKETGVCQASKETGESLDRGVRTATRVYQESVVWLGLKGSRVCRVQEAPLAQWVVMETLDHLVPRVLLALQDPKDLLA
+>DECOY_NM_000094|575007_3_6224_6615
+ALLDKPDQLALLVRPVLHDLTEMVVWQALPAEQVRCVRSGKLGLWVVSEQYVRTATRVGRDLSEGTEKSAQCVGTEKPVKTVMAARSGRLVRSDLPDRNELSDLVQSMWLCRPALPDPPGLPELSDLLAM
+>NM_000094|575009_3_6365_6615
+MVTKVPKETGVCQASKETGESLDRGVRTATRVYQESVVWLGLKGSRVCRVQEAPLAQWVVMETLDHLVPRVLLALQDPKDLLA
+>DECOY_NM_000094|575009_3_6365_6615
+ALLDKPDQLALLVRPVLHDLTEMVVWQALPAEQVRCVRSGKLGLWVVSEQYVRTATRVGRDLSEGTEKSAQCVGTEKPVKTVM
+>NM_000094|575010_3_6785_7161
+MVPVEKMETEGALVCQGHQVCLALSDLKENLAPRGPLDRLWSGSLEQRERREPLEALLETWWVSREPKVTEDCQGREARRVKLAVQGSPETLGKMVRKGLQDPKVSRVTQESGSRAPLGLLALQV
+>DECOY_NM_000094|575010_3_6785_7161
+VQLALLGLPARSGSEQTVRSVKPDQLGKRVMKGLTEPSGQVALKVRRAERGQCDETVKPERSVWWTELLAELPERRERQELSGSWLRDLPGRPALNEKLDSLALCVQHGQCVLAGETEMKEVPVM
+>NM_000094|575011_3_6803_7161
+METEGALVCQGHQVCLALSDLKENLAPRGPLDRLWSGSLEQRERREPLEALLETWWVSREPKVTEDCQGREARRVKLAVQGSPETLGKMVRKGLQDPKVSRVTQESGSRAPLGLLALQV
+>DECOY_NM_000094|575011_3_6803_7161
+VQLALLGLPARSGSEQTVRSVKPDQLGKRVMKGLTEPSGQVALKVRRAERGQCDETVKPERSVWWTELLAELPERRERQELSGSWLRDLPGRPALNEKLDSLALCVQHGQCVLAGETEM
+>NM_000094|575012_3_7067_7161
+MVRKGLQDPKVSRVTQESGSRAPLGLLALQV
+>DECOY_NM_000094|575012_3_7067_7161
+VQLALLGLPARSGSEQTVRSVKPDQLGKRVM
+>NM_000094|575013_3_7448_7545
+MAAPARRDPEDSRGPLAAGESVGRRVMLGVQD
+>DECOY_NM_000094|575013_3_7448_7545
+DQVGLMVRRGVSEGAALPGRSDEPDRRAPAAM
+>NM_000094|575015_3_7667_7890
+MGTLVTRAARESLVTRAQPGCQDCVDSWDPRVNLVQQGSLVTRDPQERMECLVSEEKKEMLASWVPGASRVNGE
+>DECOY_NM_000094|575015_3_7667_7890
+EGNVRSAGPVWSALMEKKEESVLCEMREQPDRTVLSGQQVLNVRPDWSDVCDQCGPQARTVLSERAARTVLTGM
+>NM_000094|575016_3_7811_7890
+MECLVSEEKKEMLASWVPGASRVNGE
+>DECOY_NM_000094|575016_3_7811_7890
+EGNVRSAGPVWSALMEKKEESVLCEM
+>NM_000094|575019_3_8153_8355
+MALLVPQGHLAVLVPEAPKDFRARRVSEVPPEREWWGLLGSLELLAREGSRGGQGLPVLEARREKLH
+>DECOY_NM_000094|575019_3_8153_8355
+HLKERRAELVPLGQGGRSGERALLELSGLLGWWEREPPVESVRRARFDKPAEPVLVALHGQPVLLAM
+>NM_004972|575115_2_894_991
+MEYLEVLKLLFLMTLSCLTSLLSGGMILCTDG
+>DECOY_NM_004972|575115_2_894_991
+GDTCLIMGGSLLSTLCSLTMLFLLKLVELYEM
+>NM_004972|575126_3_2467_2585
+MGHAFSRRKHPYSWECMCQKYSAYQRRRQEDRKSSFHQT
+>DECOY_NM_004972|575126_3_2467_2585
+TQHFSSKRDEQRRRQYASYKQCMCEWSYPHKRRSFAHGM
+>NM_201624|575268_2_2560_2753
+MVEDQLSTICTFVILAKLRRRKLKKEEKLNWKFLFGLTERSKKRTLQLLFIASVCSGLENGKVL
+>DECOY_NM_201624|575268_2_2560_2753
+LVKGNELGSCVSAIFLLQLTRKKSRETLGFLFKWNLKEEKKLKRRRLKALIVFTCITSLQDEVM
+>NM_005398|575306_2_880_989
+MVRIIELFMFNGSLMGCRHRWHPRTVHSTRRLLRQS
+>DECOY_NM_005398|575306_2_880_989
+SQRLLRRTSHVTRPHWRHRCGMLSGNFMFLEIIRVM
+>NM_002079|575319_2_581_732
+MEQTTRTHLSMCPHQPGRITMLCFPLLVLKTFGPIATGMQRREDWTSRAS
+>DECOY_NM_002079|575319_2_581_732
+SARSTWDERRQMGTAIPGFTKLVLLPFCLMTIRGPQHPCMSLHTRTTQEM
+>NM_201626|575438_2_2715_2824
+MVEDQLSTICTFVILAKLRRRKLKKEEKLNWKFLFG
+>DECOY_NM_201626|575438_2_2715_2824
+GFLFKWNLKEEKKLKRRRLKALIVFTCITSLQDEVM
+>NM_178014|575585_2_209_330
+MASTPPAPTTGTATCSWTASLCTTMKPQVANMFLVPSWWI
+>DECOY_NM_178014|575585_2_209_330
+IWWSPVLFMNAVQPKMTTCLSATWSCTATGTTPAPPTSAM
+>NM_004523|575613_2_756_889
+MVLNFQSKCLCWRSIMKSFLIFLIHHLMFLRDYRCLMIPVTREE
+>DECOY_NM_004523|575613_2_756_889
+EERTVPIMLCRYDRLFMLHHILFILFSKMISRWCLCKSQFNLVM
+>NM_001253|575645_2_335_489
+MGKISGLGLPHCCIENQQSSAKPDGMNGWIQALRRQNGPEKKRKNSCTWPS
+>DECOY_NM_001253|575645_2_335_489
+SPWTCSNKRKKEPGNQRRLAQIWGNMGDPKASSQQNEICCHPLGLGSIKGM
+>NM_001253|575652_2_2204_2352
+MESSQVKLITRCGKNATVKFYIFLGRAATHGPIWLVKRTELNHLKRGSR
+>DECOY_NM_001253|575652_2_2204_2352
+RSGRKLHNLETRKVLWIPGHTAARGLFIYFKVTANKGCRTILKVQSSEM
+>NM_001253|575654_3_444_559
+MVQRRRGKTLALGQVDANSVEDHCSNHWKNSGPVLRTL
+>DECOY_NM_001253|575654_3_444_559
+LTRLVPGSNKWHNSCHDEVSNADVQGLALTKGRRRQVM
+>NM_000937|575749_2_1501_1640
+MVIALTCVSTPSPVTFTCRPAIRWNGTCVMGTLLSSTGSQLCTKCP
+>DECOY_NM_000937|575749_2_1501_1640
+PCKTCLQSGTSSLLTGMVCTGNWRIAPRCTFTVPSPTSVCTLAIVM
+>NM_000937|575760_2_4678_4784
+MAWRSPPISPAWGLLDPPACSLVQHPVPWVESLLP
+>DECOY_NM_000937|575760_2_4678_4784
+PLLSEVWPVPHQVLSCAPPDLLGWAPSIPPSRWAM
+>NM_001098|575860_2_503_585
+MAWASGSLDLESFTRLFWKTMRTLVFF
+>DECOY_NM_001098|575860_2_503_585
+FFVLTRMTKWFLRTFSELDLSGSAWAM
+>NM_001098|575870_2_1745_1998
+MARTWRTCRSSSRSKGSVPLTTSQLLAPGSSSVGTWITSPTTCSLVPSTLKTARPTPCAMPSLRSLAPSLTLPATTRNMASGGW
+>DECOY_NM_001098|575870_2_1745_1998
+WGGSAMNRTTAPLTLSPALSRLSPMACPTPRATKLTSPVLSCTTPSTIWTGVSSSGPALLQSTTLPVSGKSRSSSRCTRWTRAM
+>NM_004467|575891_2_374_474
+MGISSVDFTKSNLSRAQQNFLFIVTCPMEEDGL
+>DECOY_NM_004467|575891_2_374_474
+LGDEEMPCTVIFLFNQQARSLNSKTFDVSSIGM
+>XM_002344290|576113_2_892_974
+MGWPILNKEFISEKSPIHVMNVEKTSD
+>DECOY_XM_002344290|576113_2_892_974
+DSTKEVNMVHIPSKESIFEKNLIPWGM
+>XM_002344264|576180_2_97_179
+MGALLEQKRSGHPAGSGEPQTPLRARG
+>DECOY_XM_002344264|576180_2_97_179
+GRARLPTQPEGSGAPHGSRKQELLAGM
+>XM_002344295|576196_3_371_477
+MGIPPTHLFPLPALQTPLSSKNRAKGSRQRAENSG
+>DECOY_XM_002344295|576196_3_371_477
+GSNEARQRSGKARNKSSLPTQLAPLPFLHTPPIGM
+>XM_002344297|576219_3_632_723
+MGRGRAGRPRPPHGSSLFAGQEQPKQTPAG
+>DECOY_XM_002344297|576219_3_632_723
+GAPTQKPQEQGAFLSSGHPPRPRGARGRGM
+>XM_002344297|576220_3_1829_1947
+MEGQSPAMGHHLHPLPPLHLGWADAAAVPAGGERRAGAR
+>DECOY_XM_002344297|576220_3_1829_1947
+RAGARREGGAPVAAADAWGLHLPPLPHLHHGMAPSQGEM
+>XM_002344297|576221_3_1850_1947
+MGHHLHPLPPLHLGWADAAAVPAGGERRAGAR
+>DECOY_XM_002344297|576221_3_1850_1947
+RAGARREGGAPVAAADAWGLHLPPLPHLHHGM
+>XM_002344298|576225_3_214_320
+MEFCHLPRLDSQSWTQPPWPPKVLGLQPFYREGEP
+>DECOY_XM_002344298|576225_3_214_320
+PEGERYFPQLGLVKPPWPPQTWSQSDLRPLHCFEM
+>XM_002344274|576295_2_1312_1391
+MGLCPGPWRLLLRPQTEGPICPRDRN
+>DECOY_XM_002344274|576295_2_1312_1391
+NRDRPCIPGETQPRLLLRWPGPCLGM
+>XM_002344274|576298_2_3151_3239
+MDPGQHLEVQGRSPMRLLLPALRSARPGS
+>DECOY_XM_002344274|576298_2_3151_3239
+SGPRASRLAPLLLRMPSRGQVELHQGPDM
+>XM_002344308|576337_2_157_302
+MAGLKRNATLLFLNFTLIPLQFCQTIIYMILIPHHMGHIIHYFHFGMM
+>DECOY_XM_002344308|576337_2_157_302
+MMGFHFYHIIHGMHHPILIMYIITQCFQLPILTFNLFLLTANRKLGAM
+>XM_002344308|576343_3_503_597
+MEFQGSTRHFYQSKTWRLDKPSESYSLTTSS
+>DECOY_XM_002344308|576343_3_503_597
+SSTTLSYSESPKDLRWTKSQYFHRTSGQFEM
+>XM_002344278|576370_2_577_1067
+MVQEPASSEASRGVSMFMWRNVEPRSVAVFPWYSVPFLTPPCSHTRPGNLPVTQWPPTRANNLPSWQLLLMSVHQVGQGVLTLGGPLEPPALKAQGPEPHTLWGWWNLVKAHLPPWRRSPGPLRGVPAGQPSPSVHCARNRTHLLRRMDTAPTNGTRTTSGGP
+>DECOY_XM_002344278|576370_2_577_1067
+PGGSTTRTGNTPATDMRRLLHTRNRACHVSPSPQGAPVGRLPGPSRRWPPLHAKVLNWWGWLTHPEPGQAKLAPPELPGGLTLVGQGVQHVSMLLLQWSPLNNARTPPWQTVPLNGPRTHSCPPTLFPVSYWPFVAVSRPEVNRWMFMSVGRSAESSAPEQVM
+>XM_002344315|576433_2_292_365
+MGDTHTPTQTHTRTRTHTNTQKKR
+>DECOY_XM_002344315|576433_2_292_365
+RKKQTNTHTRTRTHTQTPTHTDGM
+>XM_002344331|576457_2_331_464
+MAPKTPATSGSMGLLGLSPRYQLCREVRRRSRRPGSSPALAWVP
+>DECOY_XM_002344331|576457_2_331_464
+PVWALAPSSGPRRSRRRVERCLQYRPSLGLLGMSGSTAPTKPAM
+>XM_001715174|576563_2_923_1122
+MAFINVCQLPIAKPVNVINVAEVFSCAQSSLNIKTFLAERNATNVKNVAKTVGCSQILLDIRKFIL
+>DECOY_XM_001715174|576563_2_923_1122
+LIFKRIDLLIQSCGVTKAVNKVNTANREALFTKINLSSQACSFVEAVNIVNVPKAIPLQCVNIFAM
+>XM_001714484|576572_2_803_1008
+MESAVAAFLSGSAGLGVNGTLPSRCPPGAGPLTPKTLPKTRPPGQGQKTDPGRPAPAGWWRRWRAGRC
+>DECOY_XM_001714484|576572_2_803_1008
+CRGARWRRWWGAPAPRGPDTKQGQGPPRTKPLTKPTLPGAGPPCRSPLTGNVGLGASGSLFAAVASEM
+>XM_001714484|576574_3_1326_1465
+MGQGEPWGRTPCSEEEEQESNADTCPQRSPGPPRGRNLSPGNGGIH
+>DECOY_XM_001714484|576574_3_1326_1465
+HIGGNGPSLNRGRPPGPSRQPCTDANSEQEEEESCPTRGWPEGQGM
+>XM_001716644|576880_2_1606_1778
+MVAPGNRDSVLAFRGFLGTAVSSRPDARMGVSGMASNASAPAPSMVPVVSLLWNRWI
+>DECOY_XM_001716644|576880_2_1606_1778
+IWRNWLLSVVPVMSPAPASANSAMGSVGMRADPRSSVATGLFGRFALVSDRNGPAVM
+>XM_001716644|576881_2_1690_1778
+MGVSGMASNASAPAPSMVPVVSLLWNRWI
+>DECOY_XM_001716644|576881_2_1690_1778
+IWRNWLLSVVPVMSPAPASANSAMGSVGM
+>XM_001716644|576882_2_1705_1778
+MASNASAPAPSMVPVVSLLWNRWI
+>DECOY_XM_001716644|576882_2_1705_1778
+IWRNWLLSVVPVMSPAPASANSAM
+>XM_002344387|577111_2_802_1085
+MELQATLQPLLLAKSMVPPCPPPTHTRMLPPQPWHPPPSYWAQEPSMPRSLVKAAERAPYGPYPWGWGPRDAFQEGTPRTWIPHWRTPPRPNAR
+>DECOY_XM_002344387|577111_2_802_1085
+RANPRPPTRWHPIWTRPTGEQFADRPGWGWPYPGYPAREAAKVLSRPMSPEQAWYSPPPHWPQPPLMRTHTPPPCPPVMSKALLLPQLTAQLEM
+>XM_002344387|577115_2_1141_1304
+MGTASPLPVQVEKPRTGSGNRRPRRRCPLHCTPQPPSRPTMHTSSPPRFVLPLP
+>DECOY_XM_002344387|577115_2_1141_1304
+PLPLVFRPPSSTHMTPRSPPQPTCHLPCRRRPRRNGSGTRPKEVQVPLPSATGM
+>XM_002344387|577116_2_1474_1559
+MEGRMVIFSQLCRGKAGQFPSSEPLYIP
+>DECOY_XM_002344387|577116_2_1474_1559
+PIYLPESSPFQGAKGRCLQSFIVMRGEM
+>XM_002344387|577117_2_1486_1559
+MVIFSQLCRGKAGQFPSSEPLYIP
+>DECOY_XM_002344387|577117_2_1486_1559
+PIYLPESSPFQGAKGRCLQSFIVM
+>XM_002344422|577135_2_937_1190
+MASAAASAAAAAAEEGEGRERARTRRTARLPRPAAAMMRRSARLCCGQAAERARGEGSSLPRRRVACTERFRGLEGAGTSKATG
+>DECOY_XM_002344422|577135_2_937_1190
+GTAKSTGAGELGRFRETCAVRRRPLSSGEGRAREAAQGCCLRASRRMMAAAPRPLRATRRTRARERGEGEEAAAAAASAAASAM
+>XM_002344422|577140_3_179_477
+MECTTFNDKPEKVWGYGKIPCTRPSQSPCLSSGKRFCSFGGACHSPSPSPRGPPPQAQEEPRPLRGHISAGEENTMSLLISAYKRTLRPPPRTAAPATS
+>DECOY_XM_002344422|577140_3_179_477
+STAPAATRPPPRLTRKYASILLSMTNEEGASIHGRLPRPEEQAQPPPGRPSPSPSHCAGGFSCFRKGSSLCPSQSPRTCPIKGYGWVKEPKDNFTTCEM
+>XM_001719449|577350_2_507_583
+MAGAPSWEAPDTGVTWTQQGKHSCC
+>DECOY_XM_001719449|577350_2_507_583
+CCSHKGQQTWTVGTDPAEWSPAGAM
+>NM_144672|577546_3_53_129
+MECRVIQCQIPGRICIHCCKTWRKK
+>DECOY_NM_144672|577546_3_53_129
+KKRWTKCCHICIRGPIQCQIVRCEM
+>NM_001130172|577578_2_278_351
+MGCFPSLESVTWGKQGGPGKRMKN
+>DECOY_NM_001130172|577578_2_278_351
+NKMRKGPGGQKGWTVSELSPFCGM
+>NM_001130172|577579_2_371_456
+MEQMTGKLLPIISRIEQMCSASTDGRKY
+>DECOY_NM_001130172|577579_2_371_456
+YKRGDTSASCMQEIRSIIPLLKGTMQEM
+>NM_033045|577632_2_313_467
+MGEVLVWGLGLTAVLVWALELAVALAMALVALALVTELEGLESQQPHLSQL
+>DECOY_NM_033045|577632_2_313_467
+LQSLHPQQSELGELETVLALAVLAMALAVALELAWVLVATLGLGWVLVEGM
+>NM_033045|577633_2_391_467
+MALVALALVTELEGLESQQPHLSQL
+>DECOY_NM_033045|577633_2_391_467
+LQSLHPQQSELGELETVLALAVLAM
+>NM_002275|577676_2_480_595
+MVVSSLAMRKLPCRTSMTAWPPTWTRYVPWRRPMLTWR
+>DECOY_NM_002275|577676_2_480_595
+RWTLMPRRWPVYRTWTPPWATMSTRCPLKRMALSSVVM
+>NM_002277|577699_3_787_962
+MVHHADRGAEQAGGIQLRAAAVLPGGDHRAETHSQRPGDRAAGPAQPARLSGKHADRE
+>DECOY_NM_002277|577699_3_787_962
+ERDAHKGSLRAPQAPGAARDGPRQSHTEARHDGGPLVAAARLQIGGAQEAGRDAHHVM
+>NM_002279|577708_3_779_1035
+MVRHADRGAEQAGGIQLGAAAVLPGGDHRAETHSQCPGDRAAGPAQPAILSGKHADRERGPLQLPAVPGAEPDHQRGVPAGGDPQ
+>DECOY_NM_002279|577708_3_779_1035
+QPDGGAPVGRQHDPEAGPVAPLQLPGRERDAHKGSLIAPQAPGAARDGPCQSHTEARHDGGPLVAAAGLQIGGAQEAGRDAHRVM
+>NM_021013|577715_3_872_1128
+MVRHADRGAEQAGGIQLRAAAVLPGGDHRAETHSQRPGDRAAGPAQPARLSGKHADGERGPLQLPAVPGAEPDHQRGVSAGRDPL
+>DECOY_NM_021013|577715_3_872_1128
+LPDRGASVGRQHDPEAGPVAPLQLPGREGDAHKGSLRAPQAPGAARDGPRQSHTEARHDGGPLVAAARLQIGGAQEAGRDAHRVM
+>NM_004138|577779_3_771_1027
+MVRHADRGAEQAGGIQLGAAAVLPGGDHRAETHGQCPGDRAAGPAQPARLSGKHADRERGPLQLPAVPGAETDHQRGVPAGGDPQ
+>DECOY_NM_004138|577779_3_771_1027
+QPDGGAPVGRQHDTEAGPVAPLQLPGRERDAHKGSLRAPQAPGAARDGPCQGHTEARHDGGPLVAAAGLQIGGAQEAGRDAHRVM
+>XM_002344067|578889_2_124_317
+MENVLASQKRRLRKWMCTSVMIVNGHKRTAVRNCTVSAEHLQSQVRQSSLMSMSVHSASQQRMS
+>DECOY_XM_002344067|578889_2_124_317
+SMRQQSASHVSMSMLSSQRVQSQLHEASVTCNRVATRKHGNVIMVSTCMWKRLRRKQSALVNEM
+>XM_001133863|579071_2_710_1236
+MASLDPAPASQPSLQALNFLKLTSPGPAHASLQPLQAQLLHLGGPSRPSLCLPSASTVPTSASQQILHAQLLPHCGPPKPSSQPFSSFYTPSSCHPVASLGQAHASQGPFQAQLLSHGNLPWPDSCLSPSSLDRPRSCLTLASLHPAYASRWPLHGQLLSQDIISGPKTYSSQTL
+>DECOY_XM_001133863|579071_2_710_1236
+LTQSSYTKPGSIIDQSLLQGHLPWRSAYAPHLSALTLCSRPRDLSSPSLCSDPWPLNGHSLLQAQFPGQSAHAQGLSAVPHCSSPTYFSSFPQSSPKPPGCHPLLQAHLIQQSASTPVTSASPLCLSPRSPGGLHLLQAQLPQLSAHAPGPSTLKLFNLAQLSPQSAPAPDLSAM
+>XM_002344252|579110_2_871_1370
+MELQATLQPLVRESMVTPCPPPTRTRMLPPQPWHPPPSYWAQEPSKPRSLVNAAERAPYGPNPWGWGPRDAFQEGTPRTWIPHWRTPPRPNARREDAPAAVQSPTPPSVPCVMGTASPLPVQVEKPRTGSGNRRPRRRCPLHCTPQPPSRPTMHTSSPPRFVLPLP
+>DECOY_XM_002344252|579110_2_871_1370
+PLPLVFRPPSSTHMTPRSPPQPTCHLPCRRRPRRNGSGTRPKEVQVPLPSATGMVCPVSPPTPSQVAAPADERRANPRPPTRWHPIWTRPTGEQFADRPGWGWPNPGYPAREAANVLSRPKSPEQAWYSPPPHWPQPPLMRTRTPPPCPTVMSERVLPQLTAQLEM
+>XM_002342017|579153_2_851_1002
+MVCLNWKSLVILCSWAFTQWSYCSSWGYRGQAQGCRRVMPSLHVSPYMNL
+>DECOY_XM_002342017|579153_2_851_1002
+LNMYPSVHLSPMVRRCGQAQGRYGWSSCYSWQTFAWSCLIVLSKWNLCVM
+>XM_002342015|579196_2_636_748
+MGSWARRRRPCSTSTQCCGLSRGTCRHCVDGRWCTWP
+>DECOY_XM_002342015|579196_2_636_748
+PWTCWRGDVCHRCTGRSLGCCQTSTSCPRRRRAWSGM
+>XM_002342031|579252_2_1645_1946
+MAGHRGVTPVSLEGWEAGGPTPVPQPSPTQPGESHPSRKERRGPRGSGSTGRRRGQLSICQTQSQRAVRRRTRHKTSQPQSLRQSAGSCCPDVFDPGGTW
+>DECOY_XM_002342031|579252_2_1645_1946
+WTGGPDFVDPCCSGASQRLSQPQSTKHRTRRRVARQSQTQCISLQGRRRGTSGSGRPGRREKRSPHSEGPQTPSPQPVPTPGGAEWGELSVPTVGRHGAM
+>XM_002342031|579258_3_2054_2307
+MGAVHKGSAGPELPRVEKPGFTAETSTAPHAGWARVPALQESPGQRPLLGKKHSGGPRPEKQAGTHQSRKSEGGGDCSAASVTS
+>DECOY_XM_002342031|579258_3_2054_2307
+STVSAASCDGGGESKRSQHTGAQKEPRPGGSHKKGLLPRQGPSEQLAPVRAWGAHPATSTEATFGPKEVRPLEPGASGKHVAGM
+>XM_209234|579271_2_1042_1256
+MGSRRRPGPHRPSQHGQWPRACTMRPSSRQERALGPRQPSAGLGAGLCGCMSPVGARSAEPMLPGSREPGS
+>DECOY_XM_209234|579271_2_1042_1256
+SGPERSGPLMPEASRAGVPSMCGCLGAGLGASPQRPGLAREQRSSPRMTCARPWQGHQSPRHPGPRRRSGM
+>XM_209234|579273_3_131_462
+MVALEGTGPRCHIKLHQDPLGSGATEQQHTPRLSGEGSQGPHPPGHSPGEPSVGSWAAAAGAVPSALAGTGPAVPGHSQVVPAYPPEAQQPLGVCTSGGFSSSCLPAPSY
+>DECOY_XM_209234|579273_3_131_462
+YSPAPLCSSSFGGSTCVGLPQQAEPPYAPVVQSHGPVAPGTGALASPVAGAAAAWSGVSPEGPSHGPPHPGQSGEGSLRPTHQQETAGSGLPDQHLKIHCRPGTGELAVM
+>XM_209234|579274_3_716_849
+MGAGPPPGPAEGGVPELAAGSSSSEMHSDPARAAATAELLPGLV
+>DECOY_XM_209234|579274_3_716_849
+VLGPLLEATAAARAPDSHMESSSSGAALEPVGGEAPGPPPGAGM
+>XM_209234|579276_3_1757_2079
+MAALGGCPRGRAAGADPAQGVAPEMGLADVVAADPATASGSAVTAAGRWAFKKWHQRLAARSPRRGAASSPRPWSKPGPKGPESGQEAARAPRGWGLGAEHGAQLQL
+>DECOY_XM_209234|579276_3_1757_2079
+LQLQAGHEAGLGWGRPARAAEQGSEPGKPGPKSWPRPSSAAGRRPSRAALRQHWKKFAWRGAATVASGSATAPDAAVVDALGMEPAVGQAPDAGAARGRPCGGLAAM
+>XM_209234|579277_3_1829_2079
+MGLADVVAADPATASGSAVTAAGRWAFKKWHQRLAARSPRRGAASSPRPWSKPGPKGPESGQEAARAPRGWGLGAEHGAQLQL
+>DECOY_XM_209234|579277_3_1829_2079
+LQLQAGHEAGLGWGRPARAAEQGSEPGKPGPKSWPRPSSAAGRRPSRAALRQHWKKFAWRGAATVASGSATAPDAAVVDALGM
+>XM_002342033|579422_2_214_353
+MAFLEHWKQGVPPWPTTGTAVTSRTRRQCPVQPPTTGTAATSRTRR
+>DECOY_XM_002342033|579422_2_214_353
+RRTRSTAATGTTPPQVPCQRRTRSTVATGTTPWPPVGQKWHELFAM
+>XM_002342041|579453_3_1047_1192
+MVPQSNPTTSTLYQALAHAPPFPFSTPKRGSEEPRVHCKLRGEREPGR
+>DECOY_XM_002342041|579453_3_1047_1192
+RGPEREGRLKCHVRPEESGRKPTSFPFPPAHALAQYLTSTTPNSQPVM
+>XM_002342035|579487_2_181_305
+MAQTRLLGPPMSTPCTGSPASPRSFLSSCCTVCGRRASWPP
+>DECOY_XM_002342035|579487_2_181_305
+PPWSARRGCVTCCSSLFSRPSAPSGTCPTSMPPGLLRTQAM
+>XM_001131535|579496_2_82_155
+MGRGCPRPGLSWAFLSQARKTVLC
+>DECOY_XM_001131535|579496_2_82_155
+CLVTKRAQSLFAWSLGPRPCGRGM
+>XM_002342076|579621_3_216_412
+MACCRRLLPGTCSAAPPRAPTWLPREPGQRPRQAAPASPRCAACAPCAPSTDPASRGRRAPASCL
+>DECOY_XM_002342076|579621_3_216_412
+LCSAPARRGRSAPDTSPACPACAACRPSAPAAQRPRQGPERPLWTPARPPAASCTGPLLRRCCAM
+>XM_002342111|579764_2_295_419
+MEGAPVLVWEISQSPHGGPQSGSGKAVGVEVTKKGTPGSPS
+>DECOY_XM_002342111|579764_2_295_419
+SPSGPTGKKTVEVGVAKGSGSQPGGHPSQSIEWVLVPAGEM
+>XM_173015|579768_3_83_165
+MVSPLPECEGKGEPLGKAVLRQSIAGS
+>DECOY_XM_173015|579768_3_83_165
+SGAISQRLVAKGLPEGKGECEPLPSVM
+>XM_001726861|579806_3_614_795
+MAAQVLAAQEQLLLQLLLQFLGGPVHDVPLLGELQHRVNHELTLPRPHQAMPAGGDRLLH
+>DECOY_XM_001726861|579806_3_614_795
+HLLRDGGAPMAQHPRPLTLEHNVRHQLEGLLPVDHVPGGLFQLLLQLLLQEQAALVQAAM
+>XM_002342095|579817_3_116_252
+MERMCTWNWWYLGRERVQNRIRLFHRVYTLAENDEMCEYHQEAVE
+>DECOY_XM_002342095|579817_3_116_252
+EVAEQHYECMEDNEALTYVRHFLRIRNQVRERGLYWWNWTCMREM
+>XM_002342104|579889_2_763_836
+MVTTLTAPQRFSNSQLHLKLHQNC
+>DECOY_XM_002342104|579889_2_763_836
+CNQHLKLHLQSNSFRQPATLTTVM
+>XM_001720964|579905_2_716_912
+MGGRTKIFQIIKVGILAYQVPAYFLNLKLNRTMLVPNLVSRHHQVFFPNHQATGSLFPLILQIRK
+>DECOY_XM_001720964|579905_2_716_912
+KRIQLILPFLSGTAQHNPFFVQHHRSVLNPVLMTRNLKLNLFYAPVQYALIGVKIIQFIKTRGGM
+>XM_002344443|579968_2_5646_5974
+MDERRPPRQGRWVPWESEESLLFASRRGLDGAEGAGDGPSAAPRGSSAPGAAYERRAAGSIPGRRRSAHYANLAGPTNPALPPLLEPRRRACRLRALRGAGNTTHCPFA
+>DECOY_XM_002344443|579968_2_5646_5974
+AFPCHTTNGAGRLARLRCARRRPELLPPLAPNTPGALNAYHASRRRGPISGAARREYAAGPASSGRPAASPGDGAGEAGDLGRRSAFLLSEESEWPVWRGQRPPRREDM
+>XM_002344443|579972_3_5545_5732
+MATAGISRSGRPEAAPAGLAAAKAKSDLSPGGAKWTNGDPPGRGAGCLGNPRNPFSLPVGGD
+>DECOY_XM_002344443|579972_3_5545_5732
+DGGVPLSFPNRPNGLCGAGRGPPDGNTWKAGGPSLDSKAKAAALGAPAAEPRGSRSIGATAM
+>XM_002344443|579974_3_5755_6014
+MGRALPPGGPRRRAQLTSAGQQAPFPAAAAQPITQTWRVQPTPLCRRCWNPGGVLAGFGHYAGLEIPRTAPSPRPPLGPLGFCLQS
+>DECOY_XM_002344443|579974_3_5755_6014
+SQLCFGLPGLPPRPSPATRPIELGAYHGFGALVGGPNWCRRCLPTPQVRWTQTIPQAAAAPFPAQQGASTLQARRRPGGPPLARGM
+>XM_001726946|579996_2_842_918
+MALMTPTSHIGKPKSHLRKTKSTQL
+>DECOY_XM_001726946|579996_2_842_918
+LQTSKTKRLHSKPKGIHSTPTMLAM
+>XM_001134398|580086_2_5659_5987
+MDERRPPRQGRWVPWESEESLLFASRRGLDGAEGAGDGPSAAPRGSSAPDAAYERNAAGSIPGRRRSAHYANLAGPTNPALPPLLEPMRRACRLRALRGAGNTTHCPFA
+>DECOY_XM_001134398|580086_2_5659_5987
+AFPCHTTNGAGRLARLRCARRMPELLPPLAPNTPGALNAYHASRRRGPISGAANREYAADPASSGRPAASPGDGAGEAGDLGRRSAFLLSEESEWPVWRGQRPPRREDM
+>XM_001134398|580090_3_5558_5745
+MATAGISQSGRPEAAPAGLAAAKAKSDLSPGGAKWTKGDPPGRGAGCLGNPRNPFSLPVGGD
+>DECOY_XM_001134398|580090_3_5558_5745
+DGGVPLSFPNRPNGLCGAGRGPPDGKTWKAGGPSLDSKAKAAALGAPAAEPRGSQSIGATAM
+>XM_001134398|580092_3_5768_5922
+MGRALPPGGPRRRTQLTSATQQAPFPAAAAQPITQTWRVQPTPLCRRCWNP
+>DECOY_XM_001134398|580092_3_5768_5922
+PNWCRRCLPTPQVRWTQTIPQAAAAPFPAQQTASTLQTRRRPGGPPLARGM
+>XM_001722799|580174_2_197_525
+MDERRPPRQGRWVPWESEESLLFASRRGLDGAEGAGDGPSAAPRGSSAPGAAYERSAAGSIPGRRRSAHYANLAGPTNPALPPLLEPRRRACRLRALRGAGNTTHCPFA
+>DECOY_XM_001722799|580174_2_197_525
+AFPCHTTNGAGRLARLRCARRRPELLPPLAPNTPGALNAYHASRRRGPISGAASREYAAGPASSGRPAASPGDGAGEAGDLGRRSAFLLSEESEWPVWRGQRPPRREDM
+>XM_001722799|580180_3_306_568
+MGRALPPGGPRRRAQLTSAAQQAPFPAAAAQPITQTWRVQPTPLCRRCWNPGGVLAGFGHYAGLEIPRTAPSPRPPLGPRGFCPQSW
+>DECOY_XM_001722799|580180_3_306_568
+WSQPCFGRPGLPPRPSPATRPIELGAYHGFGALVGGPNWCRRCLPTPQVRWTQTIPQAAAAPFPAQQAASTLQARRRPGGPPLARGM
+>XM_930678|580364_2_197_525
+MDERRPPRQGRWVPWESEESLLFASRRGLDGAEGAGDGPSAAPRGSSAPGAAYERSAAGSIPGRRRSAHYANLVGPTNPALPPLLEPRRRACRLWALRGAGNTTHCPFA
+>DECOY_XM_930678|580364_2_197_525
+AFPCHTTNGAGRLAWLRCARRRPELLPPLAPNTPGVLNAYHASRRRGPISGAASREYAAGPASSGRPAASPGDGAGEAGDLGRRSAFLLSEESEWPVWRGQRPPRREDM
+>XM_930678|580368_3_96_283
+MATAGISRSGRPEAAPARLAAAKAKSDLSPGGAKWTKGDPPGRGAGCLGNPRNPFSLPVGGD
+>DECOY_XM_930678|580368_3_96_283
+DGGVPLSFPNRPNGLCGAGRGPPDGKTWKAGGPSLDSKAKAAALRAPAAEPRGSRSIGATAM
+>XM_930678|580370_3_306_568
+MGRALPPGGPRRRAQLTSAAQQAPFPAAAAQPITQTWWVQPTPLCRRCWNPGGVLAGFGHYVGLEIPRTAPSPRPPLGPRGFCPQSW
+>DECOY_XM_930678|580370_3_306_568
+WSQPCFGRPGLPPRPSPATRPIELGVYHGFGALVGGPNWCRRCLPTPQVWWTQTIPQAAAAPFPAQQAASTLQARRRPGGPPLARGM
+>XM_001718255|580417_2_316_422
+MGKQPPPLVSWRLRETSLPPGTIPGPWREMSTSRA
+>DECOY_XM_001718255|580417_2_316_422
+ARSTSMERWPGPITGPPLSTERLRWSVLPPPQKGM
+>XM_002342161|580437_2_1597_1727
+MALSTSAIRVSWEPPPPPAGTSLATCCTSGLWESHLARSSKRP
+>DECOY_XM_002342161|580437_2_1597_1727
+PRKSSRALHSEWLGSTCCTALSTGAPPPPPEWSVRIASTSLAM
+>XM_002342161|580445_3_785_1146
+MECGQYPPQPGCPADPECGTPEAAAGARDPVWASEPDPHSAPDGSAGVHCHQSPLAACLLEPPGWPLHRRRGHPSSGHSGHRESHDLRRLSPALRCLCLRRQSARHPCPAHSAGRPARAG
+>DECOY_XM_002342161|580445_3_785_1146
+GARAPRGASHAPCPHRASQRRLCLCRLAPSLRRLDHSERHGSHGSSPHGRRRHLPWGPPELLCAALPSQHCHVGASGDPASHPDPESAWVPDRAGAAAEPTGCEPDAPCGPQPPYQGCEM
+>XM_001718420|580459_2_268_362
+MEMPKQTRNRKLKVLEMPEEVCAFLITVYFW
+>DECOY_XM_001718420|580459_2_268_362
+WFYVTILFACVEEPMELVKLKRNRTQKPMEM
+>XM_002342157|580596_3_749_966
+MVLGARGNKGHFGEGRLWPRESSHLRWRRQSCQGRGLLRHSCRGGRGWLADRRPAAPGQRGCPGLGRGGPWV
+>DECOY_XM_002342157|580596_3_749_966
+VWPGGRGLGPCGRQGPAAPRRDALWGRGGRCSHRLLGRGQCSQRRWRLHSSERPWLRGEGFHGKNGRAGLVM
+>XM_001715816|580766_3_554_681
+MGTATAPGVSSELLSVAAQTLQSSDTKEQLLWGRTAAHTHGQ
+>DECOY_XM_001715816|580766_3_554_681
+QGHTHAATRGWLLQEKTDSSQLTQAAVSLLESSVGPATATGM
+>XM_001722031|580775_2_349_440
+MESGRSAHQQAVSPSPGWNGETSGARLGLL
+>DECOY_XM_001722031|580775_2_349_440
+LLGLRAGSTEGNWGPSPSVAQQHASRGSEM
+>XM_497740|580842_2_208_317
+MAVCPRAMPTSSPCWGSLAPCTCSVASRRQLVTFLT
+>DECOY_XM_497740|580842_2_208_317
+TLFTVLQRRSAVSCTCPALSGWCPSSTPMARPCVAM
+>XM_497740|580848_2_1006_1151
+MGGRMFSSITSMTARWWPSWTACSSSRKRLRRVSWASLCPTGSRWRQA
+>DECOY_XM_497740|580848_2_1006_1151
+AQRWRSGTPCLSAWSVRRLRKRSSSCATWSPWWRATMSTISSFMRGGM
+>XM_001714359|580921_2_796_1664
+MAPGPLAQHSLKSRPDSCCCSPTTQTPVPSPPTWGRSLLQHRQGAPTRGCSQFQHRHGDAHYSSTDTGMLTIPAPTWGRSLFQHRQGAPTRGRSLFQHRQGAPTRGHSLFQHRHGDVHYFSTDTGTITIPAPTRGRSLFQHRHGDDHYSSTDTGTLTIPAPTRGTDMGMLTIPALTRGTDTGTPTLPAPTRGRSLLQHRQGAPTWGRSLFQHRHGAPTWGRSLFQHRHGVAHYSSTDTGTLTIPAPTRGTDTGTLTIPAPTRGRSLFQHRHGAPTRGRSLFQHRYGAPT
+>DECOY_XM_001714359|580921_2_796_1664
+TPAGYRHQFLSRGRTPAGHRHQFLSRGRTPAPITLTGTDTGRTPAPITLTGTDTSSYHAVGHRHQFLSRGWTPAGHRHQFLSRGWTPAGQRHQLLSRGRTPAPLTPTGTDTGRTLAPITLMGMDTGRTPAPITLTGTDTSSYHDDGHRHQFLSRGRTPAPITITGTDTSFYHVDGHRHQFLSHGRTPAGQRHQFLSRGRTPAGQRHQFLSRGWTPAPITLMGTDTSSYHADGHRHQFQSCGRTPAGQRHQLLSRGWTPPSPVPTQTTPSCCCSDPRSKLSHQALPGPAM
+>XM_001714359|580923_2_1294_1664
+MGMLTIPALTRGTDTGTPTLPAPTRGRSLLQHRQGAPTWGRSLFQHRHGAPTWGRSLFQHRHGVAHYSSTDTGTLTIPAPTRGTDTGTLTIPAPTRGRSLFQHRHGAPTRGRSLFQHRYGAPT
+>DECOY_XM_001714359|580923_2_1294_1664
+TPAGYRHQFLSRGRTPAGHRHQFLSRGRTPAPITLTGTDTGRTPAPITLTGTDTSSYHAVGHRHQFLSRGWTPAGHRHQFLSRGWTPAGQRHQLLSRGRTPAPLTPTGTDTGRTLAPITLMGM
+>XM_001714359|580925_3_269_525
+MEVQTSHISRKSRRQAPSARAGGRRDGTPRAVSSRAYGRPRITWPARVCSAVCTLPGCSSQCTDLHGPCSAAACWRQWWQRNRLR
+>DECOY_XM_001714359|580925_3_269_525
+RLRNRQWWQRWCAAASCPGHLDTCQSSCGPLTCVASCVRAPWTIRPRGYARSSVARPTGDRRGGARASPAQRRSKRSIHSTQVEM
+>XM_001714359|580926_3_965_1320
+MGTLTIPAPTRGCSLFQHRRGDARYFSTDKGHRHGDAHYSSTDKGHRHGDTHYSSTDTGTFTISAPTRGRSLFQHRHGDVHYFSTDTGTITIPAPTRGRSLFQHRQGAPTWGCSLFQH
+>DECOY_XM_001714359|580926_3_965_1320
+HQFLSCGWTPAGQRHQFLSRGRTPAPITITGTDTSFYHVDGHRHQFLSRGRTPASITFTGTDTSSYHTDGHRHGKDTSSYHADGHRHGKDTSFYRADGRRHQFLSCGRTPAPITLTGM
+>XM_001127882|580935_2_1225_1301
+MAYPLKHRILFIMPKKKRRKHWQNL
+>DECOY_XM_001127882|580935_2_1225_1301
+LNQWHKRRKKKPMIFLIRHKLPYAM
+>XM_001129774|580942_2_445_557
+MARPARRSPLLIVDNSGFWLTPPSMTCFCWLCPGWPP
+>DECOY_XM_001129774|580942_2_445_557
+PPWGPCLWCFCTMSPPTLWFGSNDVILLPSRRAPRAM
+>XM_001717344|581001_3_4841_4917
+MESMFKTAEVSIADASGSLSKGEAR
+>DECOY_XM_001717344|581001_3_4841_4917
+RAEGKSLSGSADAISVEATKFMSEM
+>XM_001717454|581026_2_2184_2353
+MGRFTPSGDLAWQATWTTWRGTTPSPTNGRRWPLCPRQYTLLQPQCVAARSTCLVG
+>DECOY_XM_001717454|581026_2_2184_2353
+GVLCTSRAAVCQPQLLTYQRPCLPWRRGNTPSPTTGRWTTWTAQWALDGSPTFRGM
+>XM_001717454|581036_3_577_1052
+MGDHGGRREPAHPDCTLLWSGPASHLQPGCERPGPGHWGDPLTASPRGAPAPADARPLLAPSAALSATEQRGGQHACPGPVCQPSAPGRVHTARCGAGGPPRTHRCGQRPHGRAPAASTAASPAVRHSPQWCPCHQWAPHNRLGPRAADAADHWRGEV
+>DECOY_XM_001717454|581036_3_577_1052
+VEGRWHDAADAARPGLRNHPAWQHCPCWQPSHRVAPSAATSAAPARGHPRQGCRHTRPPGGAGCRATHVRGPASPQCVPGPCAHQGGRQETASLAASPALLPRADAPAPAGRPSATLPDGWHGPGPRECGPQLHSAPGSWLLTCDPHAPERRGGHDGM
+>XM_293026|581087_2_112_200
+MVNLCYAREIQETGLEHFGVIKVLFGVQH
+>DECOY_XM_293026|581087_2_112_200
+HQVGFLVKIVGFHELGTEQIERAYCLNVM
+>XM_376049|581110_2_655_836
+MVPTSGEGAPAAMERTSFCSWRQPSVWRVIMLTRLTQTPIWMQSKRQMCPRLVSVWGMFP
+>DECOY_XM_376049|581110_2_655_836
+PFMGWVSVLRPCMQRKSQMWIPTQTLRTLMIVRWVSPQRWSCFSTREMAAPAGEGSTPVM
+>XM_376049|581111_2_691_836
+MERTSFCSWRQPSVWRVIMLTRLTQTPIWMQSKRQMCPRLVSVWGMFP
+>DECOY_XM_376049|581111_2_691_836
+PFMGWVSVLRPCMQRKSQMWIPTQTLRTLMIVRWVSPQRWSCFSTREM
+>XM_001725646|581191_2_421_503
+MEFQLPSSRVFLCRPIQSREPGPIRGL
+>DECOY_XM_001725646|581191_2_421_503
+LGRIPGPERSQIPRCLFVRSSPLQFEM
+>XM_001725738|581213_2_661_923
+MESPLRTCAEMTPPTLPSSKKKVLPWQLTPILVLKSNFCPLWDSSSAILAFQLGLVSFQKCQVQGLLPSRCASSHLAVIGWAVIAPS
+>DECOY_XM_001725738|581213_2_661_923
+SPAIVAWGIVALHSSACRSPLLGQVQCKQFSVLGLQFALIASSSDWLPCFNSKLVLIPTLQWPLVKKKSSPLTPPTMEACTRLPSEM
+>XM_001719152|581298_3_116_189
+MGDVCLTHLVSHLPGVPVVFLVWI
+>DECOY_XM_001719152|581298_3_116_189
+IWVLFVVPVGPLHSVLHTLCVDGM
+>XM_001717763|581416_2_1119_1231
+MEPCLKTKMLIRKEKHYQQLDKKQMCLLSNRLYSRIR
+>DECOY_XM_001717763|581416_2_1119_1231
+RIRSYLRNSLLCMQKKDLQQYHKEKRILMKTKLCPEM
+>XM_001717763|581418_2_2601_2686
+MDNNLEQCLLRNNWPGRLQVSRKILFRI
+>DECOY_XM_001717763|581418_2_2601_2686
+IRFLIKRSVQLRGPWNNRLLCQELNNDM
+>XM_002342231|581460_2_1119_1231
+METCLKTKMLIRKEKHYQQLDKKQMCLLSNRLYSRIR
+>DECOY_XM_002342231|581460_2_1119_1231
+RIRSYLRNSLLCMQKKDLQQYHKEKRILMKTKLCTEM
+>XM_002342231|581462_2_2397_2482
+MEKKLRECLLGKNHPWRPQVMRRILFRI
+>DECOY_XM_002342231|581462_2_2397_2482
+IRFLIRRMVQPRWPHNKGLLCERLKKEM
+>XM_002342264|581556_3_404_489
+MGDVCLTHLVSHLLDVPVVLLVWILQKI
+>DECOY_XM_002342264|581556_3_404_489
+IKQLIWVLLVVPVDLLHSVLHTLCVDGM
+>XM_002342255|581571_2_1413_1516
+MVSTQVPDRGWMALKLVALIYLILQLGCIALTNF
+>DECOY_XM_002342255|581571_2_1413_1516
+FNTLAICGLQLILYILAVLKLAMWGRDPVQTSVM
+>XM_001716541|581680_3_581_714
+MEVAPSPGHRFCTAKAVVDALWGSGILPLTEGSEALPNYQCGCG
+>DECOY_XM_001716541|581680_3_581_714
+GCGCQYNPLAESGETLPLIGSGWLADVVAKATCFRHGPSPAVEM
+>XM_295058|581762_2_442_632
+MVVCGEWQVSPAPQREEQTSQASHMSCIMASVGQPLRATQTLTARGARRADLAKRRWPKDVLL
+>DECOY_XM_295058|581762_2_442_632
+LLVDKPWRRKALDARRAGRATLTQTARLPQGVSAMICSMHSAQSTQEERQPAPSVQWEGCVVM
+>XM_295058|581764_2_526_632
+MASVGQPLRATQTLTARGARRADLAKRRWPKDVLL
+>DECOY_XM_295058|581764_2_526_632
+LLVDKPWRRKALDARRAGRATLTQTARLPQGVSAM
+>XM_002342254|581770_2_634_779
+MAGASGGRRCLSLIQGTHAEAALVVSGGESQTACASLRLGCLVDTKWR
+>DECOY_XM_002342254|581770_2_634_779
+RWKTDVLCGLRLSACATQSEGGSVVLAAEAHTGQILSLCRRGGSAGAM
+>XM_928585|581865_2_734_810
+MALIQTFQMSMEIPLYTTLSTMKIN
+>DECOY_XM_928585|581865_2_734_810
+NIKMTSLTTYLPIEMSMQFTQILAM
+>XM_001717835|582024_3_29_165
+MEADEKGNHLEQRIGIFAELPVGILSFRAGGCWILCLHQNKTRHV
+>DECOY_XM_001717835|582024_3_29_165
+VHRTKNQHLCLIWCGGARFSLIGVPLEAFIGIRQELHNGKEDAEM
+>XM_930713|582029_2_361_446
+MAAATAAVVAPTLAVSRQRKLLPSASKR
+>DECOY_XM_930713|582029_2_361_446
+RKSASPLLKRQRSVALTPAVVAATAAAM
+>XM_209489|582050_2_640_713
+MVKMIPMMKMMMKIVTLKRILRPH
+>DECOY_XM_209489|582050_2_640_713
+HPRLIRKLTVIKMMMKMMPIMKVM
+>XM_292963|582068_2_187_269
+MVVTSHTIMALVASQSTGRKLMMTTSS
+>DECOY_XM_292963|582068_2_187_269
+SSTTMMLKRGTSQSAVLAMITHSTVVM
+>XM_002342297|582074_2_187_308
+MVVTSHTIMALVASQSTGRNFSSALPSLSGWIASMWSLAR
+>DECOY_XM_002342297|582074_2_187_308
+RALSWMSAIWGSLSPLASSFNRGTSQSAVLAMITHSTVVM
+>XM_002342297|582075_2_211_308
+MALVASQSTGRNFSSALPSLSGWIASMWSLAR
+>DECOY_XM_002342297|582075_2_211_308
+RALSWMSAIWGSLSPLASSFNRGTSQSAVLAM
+>XM_001717729|582165_2_64_167
+MEGLGEMFITVDFILKVDYMGPPMPQRLSPTTSQ
+>DECOY_XM_001717729|582165_2_64_167
+QSTTPSLRQPMPPGMYDVKLIFDVTIFMEGLGEM
+>XM_291007|582334_3_2978_3111
+MGAGKGREPPSLSHVDLCPQHCCLYPPKAGAVWHNGRTHCPVHL
+>DECOY_XM_291007|582334_3_2978_3111
+LHVPCHTRGNHWVAGAKPPYLCCHQPCLDVHSLSPPERGKGAGM
+>XM_001721212|582476_2_1867_1985
+MDPCKMEWRRVPQPLMWWSCRRRRRRRRSLWMTCWPTPM
+>DECOY_XM_001721212|582476_2_1867_1985
+MPTPWCTMWLSRRRRRRRRCSWWMLPQPVRRWEMKCPDM
+>XM_001721212|582477_2_1882_1985
+MEWRRVPQPLMWWSCRRRRRRRRSLWMTCWPTPM
+>DECOY_XM_001721212|582477_2_1882_1985
+MPTPWCTMWLSRRRRRRRRCSWWMLPQPVRRWEM
+>XM_001130480|582594_2_19_200
+MELLQGFGLLPLSFFLWRSQLLPVFRLKDPSISAYVPPPSSTTPCPRERLKGMLKEIKPR
+>DECOY_XM_001130480|582594_2_19_200
+RPKIEKLMGKLRERPCPTTSSPPPVYASISPDKLRFVPLLQSRWLFFSLPLLGFGQLLEM
+>XM_001717544|582627_2_877_1346
+MGRNPINVMNVQKLLIRAPNSSTTREPILGRNLMNVRSVGRPLVGVKILFDISFCTLVRNLISVMNVGEHSVPIEISLTIREPTLGRSLINVMNVAKPSVGVNVLFDIRASTLGKSHTNVVNVGKPSIRSLNLLNMSEFILEKNHLSVVSVVRHSV
+>DECOY_XM_001717544|582627_2_877_1346
+VSHRVVSVVSLHNKELIFESMNLLNLSRISPKGVNVVNTHSKGLTSARIDFLVNVGVSPKAVNMVNILSRGLTPERITLSIEIPVSHEGVNMVSILNRVLTCFSIDFLIKVGVLPRGVSRVNMLNRGLIPERTTSSNPARILLKQVNMVNIPNRGM
+>XM_002342331|582672_3_199_353
+MAGEHPFPGHVHLQWLPHPPPVGPHGCALLAEIQGPQPVLRDGGSPPAPRK
+>DECOY_XM_002342331|582672_3_199_353
+KRPAPPSGGDRLVPQPGQIEALLACGHPGVPPPHPLWQLHVHGPFPHEGAM
+>XM_001131213|582686_3_59_528
+MARRGPGRQGGCAGRRSTALPLRAPLRARRPGPRSERMGAATCRGSRIPSGPPVQGERSAPRFGVTSLSLWPADFKDNWRIAGSRQEVALAGEPADQQQTHLRRLPYRQTLGTHCRSLHLWCRPWRIFLQPSGIHSEAGRHKCASSLQNSTCGPSS
+>DECOY_XM_001131213|582686_3_59_528
+SSPGCTSNQLSSACKHRGAESHIGSPQLFIRWPRCWLHLSRCHTGLTQRYPLRRLHTQQQDAPEGALAVEQRSGAIRWNDKFDAPWLSLSTVGFRPASREGQVPPGSPIRSGRCTAAGMRESRPGPRRARLPARLPLATSRRGACGGQRGPGRRAM
+>XM_001128525|582849_2_466_833
+MGPEKGALARAAEANAEPARREALRTHCARAGRLAAPTRRSSQSCRQPGEPRNALLLPAAEKRKENSAGAPLASPRVTTMFSQIEDRSPAPLRSAEGCREHPHPFGHPCPGRARPSLSGLDP
+>DECOY_XM_001128525|582849_2_466_833
+PDLGSLSPRARGPCPHGFPHPHERCGEASRLPAPSRDEIQSFMTTVRPSALPAGASNEKRKEAAPLLLANRPEGPQRCSQSSRRTPAALRGARACHTRLAERRAPEANAEAARALAGKEPGM
+>XM_002342396|582899_2_526_695
+MAGPGGRRTTSLPKRRGCGCCWRGEARSPRTARTGRTRRGQAGRRPAPRQVATAEE
+>DECOY_XM_002342396|582899_2_526_695
+EEATAVQRPAPRRGAQGRRTRGTRATRPSRAEGRWCCGCGRRKPLSTTRRGGPGAM
+>XM_002342398|582966_2_316_392
+MVQSLAQLLQTSMPWWPSGWNSKSN
+>DECOY_XM_002342398|582966_2_316_392
+NSKSNWGSPWWPMSTQLLQALSQVM
+>XM_001132464|583080_3_116_204
+MGHSWHPAHFSICFLSLPTRMQAAEELYP
+>DECOY_XM_001132464|583080_3_116_204
+PYLEEAAQMRTPLSLFCISFHAPHWSHGM
+>XM_002342383|583132_2_183_277
+MELWPSQLVCFYCWLTESGPRGTWGKLLSPL
+>DECOY_XM_002342383|583132_2_183_277
+LPSLLKGWTGRPGSETLWCYFCVLQSPWLEM
+>XM_002342383|583134_3_151_380
+MECSNCLSYLEWSFGHHNWCASTVGLQRVDPEVPGGSYFHLCDSEHYGMSTSFCNSLGICSPGPILLLFIFRDCRD
+>DECOY_XM_002342383|583134_3_151_380
+DRCDRFIFLLLIPGPSCIGLSNCFSTSMGYHESDCLHFYSGGPVEPDVRQLGVTSACWNHHGFSWELYSLCNSCEM
+>XM_002342451|583761_2_1647_1735
+MGCTKGFHLNGLGDVDLGILYLPSPDTSP
+>DECOY_XM_002342451|583761_2_1647_1735
+PSTDPSPLYLIGLDVDGLGNLHFGKTCGM
+>XM_002342451|583766_3_2206_2297
+MGLCAFNCSFLLIHLCFNLCSCLSQISQIP
+>DECOY_XM_002342451|583766_3_2206_2297
+PIQSIQSLCSCLNFCLHILLFSCNFACLGM
+>XM_927694|583784_2_619_773
+MVQGYRCIVWKKHCLKSVLSVKLNITSMQKIRKETTFFEERGVNKIPCLFS
+>DECOY_XM_927694|583784_2_619_773
+SFLCPIKNVGREEFFTTEKRIKQMSTINLKVSLVSKLCHKKWVICRYGQVM
+>XM_001125684|583840_2_603_691
+MEKIEETERRKIHVMQNFKISAFTENANI
+>DECOY_XM_001125684|583840_2_603_691
+INANETFASIKFNQMVHIKRRETEEIKEM
+>XM_373030|583940_2_1123_1223
+MEQSAGTLLPSPRAAGTPPKSKRLTTSPPMRSS
+>DECOY_XM_373030|583940_2_1123_1223
+SSRMPPSTTLRKSKPPTGAARPSPLLTGASQEM
+>XM_373030|583962_3_2936_3021
+MEGERGREEGGTSPYREAEAAQRRRLAG
+>DECOY_XM_373030|583962_3_2936_3021
+GALRRRQAAEAERYPSTGGEERGREGEM
+>XM_002342481|583976_3_65_156
+MVRTLPGSHLPAHLCAGHHHTHSQVPRGGG
+>DECOY_XM_002342481|583976_3_65_156
+GGGRPVQSHTHHHGACLHAPLHSGPLTRVM
+>XM_094074|584133_2_1432_1700
+MGSWWCLGHLLGASISHQRTWQQGEWCISMMAATPTVTISSSGWRTGTTKWTSSFPSPSYLWMMNHQWSILTQDSHSLKGRWSRSLPLY
+>DECOY_XM_094074|584133_2_1432_1700
+YLPLSRSWRGKLSHSDQTLISWQHNMMWLYSPSPFSSTWKTTGTRWGSSSITVTPTAAMMSICWEGQQWTRQHSISAGLLHGLCWWSGM
+>XM_094074|584135_2_1522_1700
+MAATPTVTISSSGWRTGTTKWTSSFPSPSYLWMMNHQWSILTQDSHSLKGRWSRSLPLY
+>DECOY_XM_094074|584135_2_1522_1700
+YLPLSRSWRGKLSHSDQTLISWQHNMMWLYSPSPFSSTWKTTGTRWGSSSITVTPTAAM
+>XM_094074|584142_2_2620_2756
+MDTCSTLKDVWSQGNLSCKLMLLTGVSLTSMAETRRLPVTLSIWK
+>DECOY_XM_094074|584142_2_2620_2756
+KWISLTVPLRRTEAMSTLSVGTLLMLKCSLNGQSWVDKLTSCTDM
+>XM_094074|584144_2_2761_2870
+MGCTIYPSPSQFLCIPMWLTEVLGSLSEVVHYWMFL
+>DECOY_XM_094074|584144_2_2761_2870
+LFMWYHVVESLSGLVETLWMPICLFQSPSPYITCGM
+>XM_094074|584149_2_3712_3851
+MDESYSSWLQAASPSTASPSRRSRRPPPLCMSMMTQRQKRTVLRSG
+>DECOY_XM_094074|584149_2_3712_3851
+GSRLVTRKQRQTMMSMCLPPPRRSRRSPSATSPSAAQLWSSYSEDM
+>XM_094074|584153_2_5128_5201
+MASLSKLALETRALECLHKLTLMR
+>DECOY_XM_094074|584153_2_5128_5201
+RMLTLKHLCELARTELALKSLSAM
+>XM_001722873|584538_2_1412_1584
+MEASLPLCPGPGLCRQTSMPRAQKSDITRHPRHPGRARAPNEMLSPAPTAPREACRG
+>DECOY_XM_001722873|584538_2_1412_1584
+GRCAERPATPAPSLMENPARARGPHRPHRTIDSKQARPMSTQRCLGPGPCLPLSAEM
+>XM_001715431|584627_2_134_234
+MAYHACPSPQEGGRGAGEQTPDSWTLAACVMEA
+>DECOY_XM_001715431|584627_2_134_234
+AEMVCAALTWSDPTQEGAGRGGEQPSPCAHYAM
+>XM_001715431|584630_3_120_238
+MEMEKWLTMHVLLPRREVVVQASRRQTPGHLPPALWRLS
+>DECOY_XM_001715431|584630_3_120_238
+SLRWLAPPLHGPTQRRSAQVVVERRPLLVHMTLWKEMEM
+>XM_001715431|584631_3_126_238
+MEKWLTMHVLLPRREVVVQASRRQTPGHLPPALWRLS
+>DECOY_XM_001715431|584631_3_126_238
+SLRWLAPPLHGPTQRRSAQVVVERRPLLVHMTLWKEM
+>XM_002342506|584654_2_703_863
+MGPNSYGKYFKLLKNMHHPSCLLMKLKPLGQKDMTPILVVREKFSKQCWNWNC
+>DECOY_XM_002342506|584654_2_703_863
+CNWNWCQKSFKERVVLIPTMDKQGLPKLKMLLCSPHHMNKLLKFYKGYSNPGM
+>XM_002342513|584742_2_1411_1583
+MEAPLPLCPGPGLCRQTSTPRAQKSDITTHPRHPGRARAPNEMPSPAPTAPREACRG
+>DECOY_XM_002342513|584742_2_1411_1583
+GRCAERPATPAPSPMENPARARGPHRPHTTIDSKQARPTSTQRCLGPGPCLPLPAEM
+>XM_001715645|584775_3_44_183
+MAAPEPQALQFCAFGPLQLCTWSYGLINLLVLLSCKLRNGSPSGGR
+>DECOY_XM_001715645|584775_3_44_183
+RGGSPSGNRLKCSLLVLLNILGYSWTCLQLPGFACFQLAQPEPAAM
+>XM_001715645|584776_3_398_726
+MVAPRRRPAHLGAPHALRDPRRPPPAGREPRVQAARTGLRQAQPAGAAHSRWPASPLGVRGSGAGGGAGPGAAVSASPSGPRRGEGAHSPQTRPGSRWKSPGKEAEPRE
+>DECOY_XM_001715645|584776_3_398_726
+ERPEAEKGPSKWRSGPRTQPSHAGEGRRPGSPSASVAAGPGAGGGAGSGRVGLPSAPWRSHAAGAPQAQRLGTRAAQVRPERGAPPPRRPDRLAHPAGLHAPRRRPAVM
+>XM_927671|584825_2_4111_4208
+MAKLLTHLPFHTKKDQEKAFLCIRPSVPFYKI
+>DECOY_XM_927671|584825_2_4111_4208
+IKYFPVSPRICLFAKEQDKKTHFPLHTLLKAM
+>XM_927671|584826_2_4756_4934
+MAVIVPWMVFQNPDIQMAQRRINYHPNLLLLLVKQNIHRKRMILQKLQTKTMNSMFLPQ
+>DECOY_XM_927671|584826_2_4756_4934
+QPLFMSNMTKTQLKQLIMRKRHINQKVLLLLLNPHYNIRRQAMQIDPNQFVMWPVIVAM
+>XM_001128459|584849_2_1838_1917
+MELWKGLVSCQQLLLWGMTQSILVTH
+>DECOY_XM_001128459|584849_2_1838_1917
+HTVLISQTMGWLLLQQCSVLGKWLEM
+>XM_001720121|584963_2_195_298
+MAKRVTGTSWSWPLRVLLPSIVTSQLVAVEMIVL
+>DECOY_XM_001720121|584963_2_195_298
+LVIMEVAVLQSTVISPLLVRLPWSWSTGTVRKAM
+>XM_374142|585063_3_1562_1803
+MGSHGAGLIDSIYSGSSEWVNSGGVGTAYTVRTRHSRTNRDAESIHAGNFRSCLWRESAVPRGSSTRAARQPPCGHPGRG
+>DECOY_XM_374142|585063_3_1562_1803
+GRGPHGCPPQRAARTSSGRPVASERWLCSRFNGAHISEADRNTRSHRTRVTYATGVGGSNVWESSGSYISDILGAGHSGM
+>XM_001722683|585352_2_135_211
+MAGVLTCQDLETEKGLDLQTWTALD
+>DECOY_XM_001722683|585352_2_135_211
+DLATWTQLDLGKETELDQCTLVGAM
+>XM_001725253|585508_2_1577_1707
+MVNSLTTSKLRIQCSSLNWRRKIKTRKDWKLKLSHSMLDWLLL
+>DECOY_XM_001725253|585508_2_1577_1707
+LLLWDLMSHSLKLKWDKRTKIKRRWNLSSCQIRLKSTTLSNVM
+>XM_930012|585533_2_397_671
+MARIYSSPHLPQAQPQGPLRTFQNLQGGRHCHLHLPQHPHHLPCCWNPHPRPAWPHLHPQYWRPYPHHTLFPPHPYPPLLTSFPLPHPWPF
+>DECOY_XM_930012|585533_2_397_671
+FPWPHPLPFSTLLPPYPHPPFLTHHPYPRWYQPHLHPWAPRPHPNWCCPLHHPHQPLHLHCHRGGQLNQFTRLPGQPQAQPLHPSSYIRAM
+>XM_001725735|585553_2_550_635
+MEAKMKEFARSSIHWVKSALVAARQLAG
+>DECOY_XM_001725735|585553_2_550_635
+GALQRAAVLASKVWHISSRAFEKMKAEM
+>XM_001718599|585560_2_625_1016
+MVRPSSSRKTRLSCACRLTTRQRCHKSPTCTRHSSPGEAEALPSAHWPRVAAASWISRLSRRYSPRRSPTSPHSCTGITSGCTSTKDTRRASEAPGAGIPGVEHILTARRGPHFRKLRAAPQGLEILRAA
+>DECOY_XM_001718599|585560_2_625_1016
+AARLIELGQPAARLKRFHPGRRATLIHEVGPIGAGPAESARRTDKTSTCGSTIGTCSHPSTPSRRPSYRRSLRSIWSAAAVRPWHASPLAEAEGPSSHRTCTPSKHCRQRTTLRCACSLRTKRSSSPRVM
+>XM_002342601|585568_2_360_433
+MEPGPAWLLVWAGPQLILQQKQAI
+>DECOY_XM_002342601|585568_2_360_433
+IAQKQQLILQPGAWVLLWAPGPEM
+>XM_001725956|585574_2_466_581
+MAVVMAAARMHSPVRVAQPGPARAPASGSRPRASRGWL
+>DECOY_XM_001725956|585574_2_466_581
+LWGRSARPRSGSAPARAPGPQAVRVPSHMRAAAMVVAM
+>XM_001725956|585575_2_478_581
+MAAARMHSPVRVAQPGPARAPASGSRPRASRGWL
+>DECOY_XM_001725956|585575_2_478_581
+LWGRSARPRSGSAPARAPGPQAVRVPSHMRAAAM
+>XM_001714294|585642_2_316_464
+MGKQPSPLGPWRLRETSLPPGTIPGPWREMSTSTAWQKRTRLTRPRCMQ
+>DECOY_XM_001714294|585642_2_316_464
+QMCRPRTLRTRKQWATSTSMERWPGPITGPPLSTERLRWPGLPSPQKGM
+>XM_001714294|585643_2_484_608
+MESPVHTALLEASFPLGSLTHFQQWSLPQFRAAPCGQRRRP
+>DECOY_XM_001714294|585643_2_484_608
+PRRRQGCPAARFQPLSWQQFHTLSGLPFSAELLATHVPSEM
+>XM_001723020|585814_2_622_833
+MGRVTPQHSLRPSGLKRESLRETRSRSRHPRRKEHGQTDSAEASAGKRVLRPLLRVQGIVCSHLMARISP
+>DECOY_XM_001723020|585814_2_622_833
+PSIRAMLHSCVIGQVRLLPRLVRKGASAEASDTQGHEKRRPHRSRSRTERLSERKLGSPRLSHQPTVRGM
+>XM_002342665|585817_3_3590_3777
+MAGCRSRALPRGKAAKAPREIEHSSCWPRCYAPHCPGRWGPAGRSYCFLSSDNEEKKTAEAN
+>DECOY_XM_002342665|585817_3_3590_3777
+NAEATKKEENDSSLFCYSRGAPGWRGPCHPAYCRPWCSSHEIERPAKAAKGRPLARSRCGAM
+>XM_001717693|585849_2_160_281
+MAAAATGDISLNCLDCHFLTASVLTTVVTPEDQELDVTAA
+>DECOY_XM_001717693|585849_2_160_281
+AATVDLEQDEPTVVTTLVSATLFHCDLCNLSIDGTAAAAM
+>XM_001717693|585854_2_1279_1403
+MVGTAGGAEGAVAASGERRGLERWRWSEVFPISSCLFHGQK
+>DECOY_XM_001717693|585854_2_1279_1403
+KQGHFLCSSIPFVESWRWRELGRREGSAAVAGEAGGATGVM
+>XM_001719102|585993_2_805_884
+MAWAPALALTWAPAPSAAPAPPGPWL
+>DECOY_XM_001719102|585993_2_805_884
+LWPGPPAPAASPAPAWTLALAPAWAM
+>XM_002342683|586053_3_1421_1500
+METRRATCRGESKEVRWRQQREKALA
+>DECOY_XM_002342683|586053_3_1421_1500
+ALAKERQQRWRVEKSEGRCTARRTEM
+>XM_002342707|586096_3_1121_1599
+MGGRTGGCPVETPPDPRAPQLHRLDRHGHSAEPRGEGDPVRHLRLHHAQIPLLPRQPARLAELHPPQPVPQQLLRQGAAVRGPREGQRQLLDVRGRLRVAAGPLRERQLPAAAAAARPQARGAEGSARGGRPGAVGSVRAARRSGAPGPGQRWRGRPGP
+>DECOY_XM_002342707|586096_3_1121_1599
+PGPRGRWRQGPGPAGSRRAARVSGVAGPRGGRASGEAGRAQPRAAAAAAPLQRERLPGAAVRLRGRVDLLQRQGERPGRVAAGQRLLQQPVPQPPHLEALRAPQRPLLPIQAHHLRLHRVPDGEGRPEASHGHRDLRHLQPARPDPPTEVPCGGTRGGM
+>XM_001723244|586215_3_1178_1251
+MERISLYSGWGVQLYGHLPGESTE
+>DECOY_XM_001723244|586215_3_1178_1251
+ETSEGPLHGYLQVGWGSYLSIREM
+>XM_002342732|586594_2_994_1697
+MAFINVCQLPVAKPVNIINVAKLLGCAQSSLNIRKFLAERNATNVKNVAKTVGCQILPYRREFILQIEVTNVKNVAKPVKSSQTLLNIIEFILERNPTNVKNVAKHLPVPQPLLNTREIILETDPTNAKNVTKPLGVAQTLLNIREFILERNPTNVKNVTKPLGVAQTLLNIREFILERNPTNVMNVEKLLCGSRPLVNITEFILERNPTFVKNVAKPLPTPQPLLATREFIWN
+>DECOY_XM_002342732|586594_2_994_1697
+NWIFERTALLPQPTPLPKAVNKVFTPNRELIFETINVLPRSGCLLKEVNMVNTPNRELIFERINLLTQAVGLPKTVNKVNTPNRELIFERINLLTQAVGLPKTVNKANTPDTELIIERTNLLPQPVPLHKAVNKVNTPNRELIFEIINLLTQSSKVPKAVNKVNTVEIQLIFERRYPLIQCGVTKAVNKVNTANREALFKRINLSSQACGLLKAVNIINVPKAVPLQCVNIFAM
+>XM_001725354|586915_2_3724_3803
+MAASWWTTWSCWRCPSAPSWRASMSR
+>DECOY_XM_001725354|586915_2_3724_3803
+RSMSARWSPASPCRWCSWTTWWSAAM
+>XM_379904|586957_2_364_458
+MAEPRALAWGSHLVSRQGLQEPERRSVRRQS
+>DECOY_XM_379904|586957_2_364_458
+SQRRVSRREPEQLGQRSVLHSGWALARPEAM
+>XM_379904|586958_2_583_827
+MGCQSHLSRAQLQQNTSLTAPQTQATVRNQQYPTAAQVQLEQHSSLPTLQPQFLLENLKPHPSLQPQWKQQRYLAVPQQQA
+>DECOY_XM_379904|586958_2_583_827
+AQQQPVALYRQQKWQPQLSPHPKLNELLFQPQLTPLSSHQELQVQAATPYQQNRVTAQTQPATLSTNQQLQARSLHSQCGM
+>XM_379904|586972_2_15412_15485
+MENNASVPKATLVTSACPLWNPSL
+>DECOY_XM_379904|586972_2_15412_15485
+LSPNWLPCASTVLTAKPVSANNEM
+>XM_002342814|587440_2_103_257
+MGDPETSDPTEGTLIPQTPRGDPEASDPHRVTPRSQTPAGVPPDLKSPVRE
+>DECOY_XM_002342814|587440_2_103_257
+ERVPSKLDPPVGAPTQSRPTVRHPDSAEPDGRPTQPILTGETPDSTEPDGM
+>XM_001720517|587572_2_979_1109
+MGKSLFNPLTFKDMRELTLEKSVMNVIKVGKPLVKALALEETK
+>DECOY_XM_001720517|587572_2_979_1109
+KTEELALAKVLPKGVKIVNMVSKELTLERMDKFTLPNFLSKGM
+>XM_001715550|587590_2_556_653
+MACSPLCRSSTSYLPLMTSAWSSMLKMETSQT
+>DECOY_XM_001715550|587590_2_556_653
+TQSTEMKLMSSWASTMLPLYSTSSRCLPSCAM
+>XM_001716686|587933_3_23_141
+MVYVLAGGEKRGSIKPLPLGQPAEHLPGLGPWPYHTSVQ
+>DECOY_XM_001716686|587933_3_23_141
+QVSTHYPWPGLGPLHEAPQGLPLPKISGRKEGGALVYVM
+>XM_001723397|588005_2_541_647
+MGKQPPPLGPWRLRETSLPPGTIPGPWREMSTSRA
+>DECOY_XM_001723397|588005_2_541_647
+ARSTSMERWPGPITGPPLSTERLRWPGLPPPQKGM
+>XM_001723397|588006_2_709_833
+MESPVHTALLVASFPLGSLTHFQQCSLPQFRAAPCGQRRRP
+>DECOY_XM_001723397|588006_2_709_833
+PRRRQGCPAARFQPLSCQQFHTLSGLPFSAVLLATHVPSEM
+>XM_001723713|588034_3_778_869
+MVLVPKQRYRPMEQNRALRNNTAYLQLSDL
+>DECOY_XM_001723713|588034_3_778_869
+LDSLQLYATNNRLARNQEMPRYRQKPVLVM
+>XM_002342830|588047_3_98_264
+MDRTGEASRLLCNGCKQQLSWWCTWPVSCMGCSKGPWRQAFQMYVHVSDLALPPM
+>DECOY_XM_002342830|588047_3_98_264
+MPPLALDSVHVYMQFAQRWPGKSCGMCSVPWTCWWSLQQKCGNCLLRSAEGTRDM
+>XM_002342842|588064_2_763_932
+MASASVTVTVHPPPVLGPRRTPKRGSAQARRATQGLTTGCSWVLTCFSRSRVRGRI
+>DECOY_XM_002342842|588064_2_763_932
+IRGRVRSRSFCTLVWSCGTTLGQTARRAQASGRKPTRRPGLVPPPHVTVTVSASAM
+>XM_002342853|588144_2_345_442
+MDLAQEMVGFLMMLLSRIPQQITNMPSSVTDG
+>DECOY_XM_002342853|588144_2_345_442
+GDTVSSPMNTIQQPIRSLLMMLFGVMEQALDM
+>XM_002342853|588145_2_363_442
+MVGFLMMLLSRIPQQITNMPSSVTDG
+>DECOY_XM_002342853|588145_2_363_442
+GDTVSSPMNTIQQPIRSLLMMLFGVM
+>XM_002342853|588153_2_858_994
+MALSLEWLVVKPPLSCGCFISLTAVHFLSRHWFLVTQLFLIVMAK
+>DECOY_XM_002342853|588153_2_858_994
+KAMVILFLQTVLFWHRSLFHVATLSIFCGCSLPPKVVLWELSLAM
+>XM_002342853|588163_3_166_245
+MECWNSSKRLHFYPWGKGRYRIQTTI
+>DECOY_XM_002342853|588163_3_166_245
+ITTQIRYRGKGWPYFHLRKSSNWCEM
+>XM_001715081|588169_2_97_182
+MAGSATGATRAISVTTIAVHRARRCRGA
+>DECOY_XM_001715081|588169_2_97_182
+AGRCRRARHVAITTVSIARTAGTASGAM
+>XM_001715561|588189_2_367_440
+MATCTRSRNGPCDQDCTSVLLVSF
+>DECOY_XM_001715561|588189_2_367_440
+FSVLLVSTCDQDCPGNRSRTCTAM
+>XM_001715561|588190_3_392_474
+MAHAIKIVHRCYWSVSEAFCFWRKNKS
+>DECOY_XM_001715561|588190_3_392_474
+SKNKRWFCFAESVSWYCRHVIKIAHAM
+>XM_001716081|588213_2_106_203
+MDRSLTAALSPIKADASYVCVGMTQHKGPCQM
+>DECOY_XM_001716081|588213_2_106_203
+MQCPGKHQTMGVCVYSADAKIPSLAATLSRDM
+>XM_374276|588271_2_210_352
+MEGHYLIHRNLSMALQLQRRQFGEQQTQLLWKEYLPPEDGLCLWRQQ
+>DECOY_XM_374276|588271_2_210_352
+QQRWLCLGDEPPLYEKWLLQTQQEGFQRRQLQLAMSLNRHILYHGEM
+>XM_374276|588272_2_246_352
+MALQLQRRQFGEQQTQLLWKEYLPPEDGLCLWRQQ
+>DECOY_XM_374276|588272_2_246_352
+QQRWLCLGDEPPLYEKWLLQTQQEGFQRRQLQLAM
+>XM_002342882|588448_2_253_455
+MELHRLTFRVRRVTDAPRSSSSSATSKWPQLRASWRGVMPSQPWPPGSSTAAPWSSRKRTISADQET
+>DECOY_XM_002342882|588448_2_253_455
+TEQDASITRKRSSWPAATSSGPPWPQSPMVGRWSARLQPWKSTASSSSSRPADTVRRVRFTLRHLEM
+>XM_002342898|588485_2_738_856
+MAPSSRAPRTLACRDAPATGSRASTAPWTSGPCSPLRRS
+>DECOY_XM_002342898|588485_2_738_856
+SRRLPSCPGSTWPATSARSGTAPADRCALTRPARSSPAM
+>XM_002342894|588643_2_25_152
+MDSFSTPPSLAKQLPGIKVASPNTWQTNAVLPRKSTASLRCS
+>DECOY_XM_002342894|588643_2_25_152
+SCRLSATSKRPLVANTQWTNPSAVKIGPLQKALSPPTSFSDM
+>XM_002342894|588644_2_391_818
+MESKTHLTLSPNLIKGNLFPRRNWLAAIEKRPLAASVFPTGRRLHPRRKQLMIPKRQATGVSLRKKQEIPLQRGRSWSAVDLKRLLAARAAPRRRKSYIKYPRKMRVQTDVPRQAGHILPQGDISHSVSYTPIKNSHTRSIL
+>DECOY_XM_002342894|588644_2_391_818
+LISRTHSNKIPTYSVSHSIDGQPLIHGAQRPVDTQVRMKRPYKIYSKRRRPAARAALLRKLDVASWSRGRQLPIEQKKRLSVGTAQRKPIMLQKRRPHLRRGTPFVSAALPRKEIAALWNRRPFLNGKILNPSLTLHTKSEM
+>XM_929965|588764_2_232_398
+MDQLREARRRILMPPKGHHLDSSCSVQNSAPRSNPQTPASLLETWQKSWVRCGIT
+>DECOY_XM_929965|588764_2_232_398
+TIGCRVWSKQWTELLSAPTQPNSRPASNQVSCSSDLHHGKPPMLIRRRAERLQDM
+>XM_001714924|588855_3_124_209
+MGVGYLPHFGVCPGVLLRITPLLILLPL
+>DECOY_XM_001714924|588855_3_124_209
+LPLLILLPTIRLLVGPCVGFHPLYGVGM
+>XM_001714048|588931_2_373_509
+MAFSYPGLALPWPFLPWPCPSLVLPCPGLALPWPWLCLILVLVLP
+>DECOY_XM_001714048|588931_2_373_509
+PLVLVLILCLWPWPLALGPCPLVLSPCPWPLFPWPLALGPYSFAM
+>XM_001714048|588932_3_197_342
+MALDLPCHPLSWPYIVPTMLWSSACPSPVASPATAMALLCFWPCPVLP
+>DECOY_XM_001714048|588932_3_197_342
+PLVPCPWFCLLAMATAPSAVPSPCASSWLMTPVIYPWSLPHCPLDLAM
+>XM_002342916|589063_2_285_364
+MGDGNSPCHWNAGLSGQATLLEPHPL
+>DECOY_XM_002342916|589063_2_285_364
+LPHPELLTAQGSLGANWHCPSNGDGM
+>XM_001127111|589079_2_34_188
+MGRCQGSQGGKRAISKLSSRVSMCILLTQNLSGIILGSYLSLEMGLVSGVR
+>DECOY_XM_001127111|589079_2_34_188
+RVGSVLGMELSLYSGLIIGSLNQTLLICMSVRSSLKSIARKGGQSGQCRGM
+>XM_001714537|589169_2_751_950
+MGASVPSRGTPSPVIVWAQAIRARPAIPLSTSSLVKPTSTEGTHPGFTILMQMEVAPWDHFLCTAI
+>DECOY_XM_001714537|589169_2_751_950
+IATCLFHDWPAVEMQMLITFGPHTGETSTPKVLSSTSLPIAPRARIAQAWVIVPSPTGRSPVSAGM
+>XM_001714537|589174_2_2110_2228
+MEGDAERNAGGSPVTVPSQPMMDRSAPMRFPHISQLAPQ
+>DECOY_XM_001714537|589174_2_2110_2228
+QPALQSIHPFRMPASRDMMPQSPVTVPSGGANREADGEM
+>XM_002342919|589201_2_331_425
+MVTLSGGRNLSNRHLYRGQRNVESRITLQLA
+>DECOY_XM_002342919|589201_2_331_425
+ALQLTIRSEVNRQGRYLHRNSLNRGGSLTVM
+>XM_002342941|589393_2_550_647
+MDLQLCALHVPKVHIFWLRPVFPPVPKAHGLP
+>DECOY_XM_002342941|589393_2_550_647
+PLGHAKPVPPFVPRLWFIHVKPVHLACLQLDM
+>XM_002342941|589400_2_1171_1256
+MDCAWRSVQQEPIMKRRLRSAEIATSPA
+>DECOY_XM_002342941|589400_2_1171_1256
+APSTAIEASRLRRKMIPEQQVSRWACDM
+>XM_002342943|589435_3_23_126
+MGPSPGRRRLASSPRAAKAGPCGARGRERPLAGT
+>DECOY_XM_002342943|589435_3_23_126
+TGALPRERGRAGCPGAKAARPSSALRRRGPSPGM
+>XM_001724464|589542_3_326_408
+MGTEGCFKVGRQRLLWYCQQKEKVFEF
+>DECOY_XM_001724464|589542_3_326_408
+FEFVKEKQQCYWLLRQRGVKFCGETGM
+>XM_002342934|589668_3_2555_2715
+MGHGEARAGPAARPRPDLAGRHPGAPEPRLGLRCRGPQLHPGAVHLPGLCCLQ
+>DECOY_XM_002342934|589668_3_2555_2715
+QLCCLGPLHVAGPHLQPGRCRLGLRPEPAGPHRGALDPRPRAAPGARAEGHGM
+>XM_001719944|589825_2_400_476
+MAMPSHLLGIQVRCTGEKSEQNFYL
+>DECOY_XM_001719944|589825_2_400_476
+LYFNQESKEGTCRVQIGLLHSPMAM
+>XM_295865|589936_2_475_638
+MDRLFIWRHSAPPGWPSLEVLFLQNCSLPLPHVRCSFGIICLNIQISQFLQERL
+>DECOY_XM_295865|589936_2_475_638
+LREQLFQSIQINLCIIGFSCRVHPLPLSCNQLFLVELSPWGPPASHRWIFLRDM
+>XM_295865|589939_2_1321_1433
+MGSQLLMTSDLKIVLSLFLLRAVKGWIISGVATPGLA
+>DECOY_XM_295865|589939_2_1321_1433
+ALGPTAVGSIIWGKVARLLFLSLVIKLDSTMLLQSGM
+>XM_295865|589944_2_1936_2048
+MASLEILRLMICHLWTAPSTLVICQQTSQLHQKRQFL
+>DECOY_XM_295865|589944_2_1936_2048
+LFQRKQHLQSTQQCIVLTSPATWLHCIMLRLIELSAM
+>XM_295865|589950_2_2299_2468
+MGKKTTGHQWIIHKIPLMAGTCMLTVLMGNLVTRLTFSLLSFHSRDQNVPWCSGHI
+>DECOY_XM_295865|589950_2_2299_2468
+IHGSCWPVNQDRSHFSLLSFTLRTVLNGMLVTLMCTGAMLPIKHIIWQHGTTKKGM
+>XM_295865|589968_2_5029_5228
+MECPTATLMKMSSSAPTKAVLMELWCVPPPTAVSQPTSAVMVLPTAWISSLMSPAAPIHGLSWVLD
+>DECOY_XM_295865|589968_2_5029_5228
+DLVWSLGHIPAAPSMLSSIWATPLVMVASTPQSVATPPPVCWLEMLVAKTPASSSMKMLTATPCEM
+>XM_295865|589972_2_5149_5228
+MVLPTAWISSLMSPAAPIHGLSWVLD
+>DECOY_XM_295865|589972_2_5149_5228
+DLVWSLGHIPAAPSMLSSIWATPLVM
+>XM_291767|589994_2_55_191
+MGAASITFKGDLSSLGRADSIQQMHWSPNLVLEMLRSLKKQLSLC
+>DECOY_XM_291767|589994_2_55_191
+CLSLQKKLSRLMELVLNPSWHMQQISDARGLSSLDGKFTISAAGM
+>XM_002342976|590012_2_454_593
+MVTARFPREARRAPLGDFSASAKERRSRHLWPPSLRSDLSPGVGGR
+>DECOY_XM_002342976|590012_2_454_593
+RGGVGPSLDSRLSPPWLHRSRREKASASFDGLPARRAERPFRATVM
+>XM_002343025|590504_2_55_185
+MGSGRSRGKPWHLQSRSQMTGQGQGVRLQLSRMMRRQAQRKMQ
+>DECOY_XM_002343025|590504_2_55_185
+QMKRQAQRRMMRSLQLRVGQGQGTMQSRSQLHWPKGRSRGSGM
+>XM_002343007|590550_3_749_927
+MVWVESPKRLLSTRQWWCAPWQTFLGFWGGRKIYTRLQKSGPPGDCGISSCRHWEICET
+>DECOY_XM_002343007|590550_3_749_927
+TECIEWHRCSSIGCDGPPGSKQLRTYIKRGGWFGLFTQWPACWWQRTSLLRKPSEVWVM
+>XM_002343033|590600_2_49_167
+MAATNPAVLPQGVSLGWILATPQQTPIIGSQSSKAPRKT
+>DECOY_XM_002343033|590600_2_49_167
+TKRPAKSSQSGIIPTQQPTALIWGLSVGQPLVAPNTAAM
+>XM_002343033|590601_2_220_560
+MATVLRPRGQSPLISSLSRSLSNSAGCSSTKIGRRTGAVLLPLSQRKCRSKVPVATRALPRKGRLLLPLRARSPKPRGQRVMLPQKKPQATETSTPLGQRVALYQAVLSRMRS
+>DECOY_XM_002343033|590601_2_220_560
+SRMRSLVAQYLAVRQGLPTSTETAQPKKQPLMVRQGRPKPSRARLPLLLRGKRPLARTAVPVKSRCKRQSLPLLVAGTRRGIKTSSCGASNSLSRSLSSILPSQGRPRLVTAM
+>XM_370577|590648_2_2089_2219
+MEHWVSKKNTLFFTLMRKLKRLRRHLRLLRLGSRWCPPSPHKV
+>DECOY_XM_370577|590648_2_2089_2219
+VKHPSPPCWRSGLRLLRLHRRLRKLKRMLTFFLTNKKSVWHEM
+>XM_002343017|590719_3_40_302
+MAGRRGQGGSLPPQDFFLCPGHPGPTEIHPRSAPCRAPGSPGSQEKFGGGRSGERCQLQGPCPTAGDPWAGICRIPAHPPSSPAPQF
+>DECOY_XM_002343017|590719_3_40_302
+FQPAPSSPPHAPIRCIGAWPDGATPCPGQLQCREGSRGGGFKEQSGPSGPARCPASRPHIETPGPHGPCLFFDQPPLSGGQGRRGAM
+>XM_002343039|590775_2_88_173
+MAGKSVLTYRTGGHAGQTLKPRFFLTLS
+>DECOY_XM_002343039|590775_2_88_173
+SLTLFFRPKLTQGAHGGTRYTLVSKGAM
+>XM_002343065|590823_3_32_138
+MGTGHQEAAPREHLQRGEERRGSLRRPVFYLRSPA
+>DECOY_XM_002343065|590823_3_32_138
+APSRLYFVPRRLSGRREEGRQLHERPAAEQHGTGM
+>XM_002343047|590865_2_463_590
+MAFLLCLSPYFLLDSDTVQDTSSRTASVLTCLCLNSLVMTSP
+>DECOY_XM_002343047|590865_2_463_590
+PSTMVLSNLCLCTLVSATRSSTDQVTDSDLLFYPSLCLLFAM
+>XM_001716326|590896_2_238_326
+METAWQTPISPGAISGAESGAPRWRPRPH
+>DECOY_XM_001716326|590896_2_238_326
+HPRPRWRPAGSEAGSIAGPSIPTQWATEM
+>XM_002343051|590950_2_160_689
+MGPRRLVFPPAAATRRRPLPWGTRLQSWEARLKPQTPWPCLPGKGGSIGPSVHHPTCSPASMEASVCTQPSVTADASMPLDRAARWCTMPALRGTAFAGRGGSTTWRHLMGSTTTSPERAATPWWVAMSPRDRASPSRYTMTRSVALHPTPAPGLSASSLWVSRRSIWPRRSPMEA
+>DECOY_XM_002343051|590950_2_160_689
+AEMPSRRPWISRRSVWLSSASLGPAPTPHLAVSRTMTYRSPSARDRPSMAVWWPTAAREPSTTTSGMLHRWTTSGGRGAFATGRLAPMTCWRAARDLPMSADATVSPQTCVSAEMSAPSCTPHHVSPGISGGKGPLCPWPTQPKLRAEWSQLRTGWPLPRRRTAAAPPFVLRRPGM
+>XM_002343051|590961_2_1390_1463
+MGAAWHQLSVPVSFTGLCTHLALW
+>DECOY_XM_002343051|590961_2_1390_1463
+WLALHTCLGTFSVPVSLQHWAAGM
+>XM_002343051|590968_2_2284_2438
+MGSPLISAPACQPVHCPVRPPRSIAPAWPRVDVPARTWPALRPVGLMVAMT
+>DECOY_XM_002343051|590968_2_2284_2438
+TMAVMLGVPRLAPWTRAPVDVRPWAPAISRPPRVPCHVPQCAPASILPSGM
+>XM_208043|591356_2_1452_1555
+MDSFFLGVLRMTFNAVSLPPPHFCCNISQDLPAE
+>DECOY_XM_208043|591356_2_1452_1555
+EAPLDQSINCCFHPPPLSVANFTMRLVGLFFSDM
+>XM_931886|591495_3_17_117
+MVSERRQKLCKTHLFCCHDNHARNGTDHSRGWP
+>DECOY_XM_931886|591495_3_17_117
+PWGRSHDTGNRAHNDHCCFLHTKCLKQRRESVM
+>XM_001715696|591502_3_590_828
+MGCKGPQSLCGEQQHQLQHHQRTLRLCRDLHYHYRSEPLLCDDIFKGGFWRSSPLCPPGVHPHLCGLTFWVPGYLLQTI
+>DECOY_XM_001715696|591502_3_590_828
+ITQLLYGPVWFTLGCLHPHVGPPCLPSSRWFGGKFIDDCLLPESRYHYHLDRCLRLTRQHHQLQHQQEGCLSQPGKCGM
+>XM_001129638|591617_2_648_955
+MGTARRRRRRPRGPGLAPSPLDSAAGPARTNRRRGETDRRSTPSKAEWSLGNPSAKHRGSKIQLKPCGPLARAPAREQGQGLLATRPRSRSSQLSAADPGRV
+>DECOY_XM_001129638|591617_2_648_955
+VRGPDAASLQSSRSRPRTALLGQGQERAPARALPGCPKLQIKSGRHKASPNGLSWEAKSPTSRRDTEGRRRNTRAPGAASDLPSPALGPGRPRRRRRRATGM
+>XM_001716356|591627_2_739_899
+MAGPGERRTTSLPKRRGCGCCWRREAHSPMTGRTHRGQAGRRPAPRQVATAEE
+>DECOY_XM_001716356|591627_2_739_899
+EEATAVQRPAPRRGAQGRHTRGTMPSHAERRWCCGCGRRKPLSTTRREGPGAM
+>XM_001716356|591629_3_416_573
+MAAGRGQRLLGPGQASSAQARARRVRGGRERPAEGAREQRAARGGAHARPDA
+>DECOY_XM_001716356|591629_3_416_573
+ADPRAHAGGRAARQERAGEAPRERGGRVRRARAQASSAQGPGLLRQGRGAAM
+>XM_001723302|591683_3_476_576
+MGSTSPDLLPTLHASSVLWPGLSTGVFFSGLLR
+>DECOY_XM_001723302|591683_3_476_576
+RLLGSFFVGTSLGPWLVSSAHLTPLLDPSTSGM
+>XM_002343083|591725_2_800_873
+MAFFSALESSTSFSCRASIRGCTW
+>DECOY_XM_002343083|591725_2_800_873
+WTCGRISARCSFSTSSELASFFAM
+>XM_002343086|591862_2_946_1082
+MVSTWNAWPGSSSQTFLGAVRPSCGTKWPSSRLQFSRKMGFPSIA
+>DECOY_XM_002343086|591862_2_946_1082
+AISPFGMKRSFQLRSSPWKTGCSPRVAGLFTQSSSGPWANWTSVM
+>XM_002343086|591870_3_743_1005
+MEPRTPGNNSGPVGAGMWGCHRGCQHTLPVLWHVEDHVCLAHRGHGPLQHQLPALWGAQNLVRGAPRTWSAPGTPGQGALPRHFSGL
+>DECOY_XM_002343086|591870_3_743_1005
+LGSFHRPLAGQGPTGPASWTRPAGRVLNQAGWLAPLQHQLPGHGRHALCVHDEVHWLVPLTHQCGRHCGWMGAGVPGSNNGPTRPEM
+>XM_001717996|591887_2_646_782
+MVSAWNAWPGSSSQAIPGAVRASCGTRWPSSRLQFSKRMGSPSIA
+>DECOY_XM_001717996|591887_2_646_782
+AISPSGMRKSFQLRSSPWRTGCSARVAGPIAQSSSGPWANWASVM
+>XM_001717996|591893_3_443_705
+MEPRTPGNNSGPVGAGMWGCHRGCQHTLPVLWHVEDHVCLAHGGHGPLQHQLPALWGAQNLVRGAPRTWSAPGMPGQGALPRQFPGL
+>DECOY_XM_001717996|591893_3_443_705
+LGPFQRPLAGQGPMGPASWTRPAGRVLNQAGWLAPLQHQLPGHGGHALCVHDEVHWLVPLTHQCGRHCGWMGAGVPGSNNGPTRPEM
+>XM_001718800|591917_2_259_389
+MVVLLMHSHLDQVSEEMFILIMMKQGPRISEAVSTGSFRRINC
+>DECOY_XM_001718800|591917_2_259_389
+CNIRRFSGTSVAESIRPGQKMMILIFMEESVQDLHSHMLLVVM
+>XM_001718800|591925_3_65_138
+MARRSKLHAKSASRGCGPCHWESL
+>DECOY_XM_001718800|591925_3_65_138
+LSEWHCPGCGRSASKAHLKSRRAM
+>XM_062025|591937_2_652_812
+MEETSVVVVALVAAMVAVQTAIMDLVMMERILEVVAATMILAIATISLQILDP
+>DECOY_XM_062025|591937_2_652_812
+PDLIQLSITAIALIMTAAVVELIREMMVLDMIATQVAVMAAVLAVVVVSTEEM
+>XM_062025|591938_2_694_812
+MVAVQTAIMDLVMMERILEVVAATMILAIATISLQILDP
+>DECOY_XM_062025|591938_2_694_812
+PDLIQLSITAIALIMTAAVVELIREMMVLDMIATQVAVM
+>XM_062025|591939_2_718_812
+MDLVMMERILEVVAATMILAIATISLQILDP
+>DECOY_XM_062025|591939_2_718_812
+PDLIQLSITAIALIMTAAVVELIREMMVLDM
+>XM_062025|591941_2_733_812
+MERILEVVAATMILAIATISLQILDP
+>DECOY_XM_062025|591941_2_733_812
+PDLIQLSITAIALIMTAAVVELIREM
+>XM_002343141|592059_2_1041_1207
+MAEPKVALTSTRAQIQANSLSRTGCRVYHWSRSTMLAESLWCLMALPSWEQRLRL
+>DECOY_XM_002343141|592059_2_1041_1207
+LRLRQEWSPLAMLCWLSEALMTSRSWHYVRCGTRSLSNAQIQARTSTLAVKPEAM
+>XM_002343155|592138_2_1204_1373
+MAGPGGRRTTSLPKRRGCGSCWRGEAHSPRTARTGRTRRGRAGWRPAPRQVATAEE
+>DECOY_XM_002343155|592138_2_1204_1373
+EEATAVQRPAPRWGARGRRTRGTRATRPSHAEGRWCSGCGRRKPLSTTRRGGPGAM
+>XM_001128260|592161_2_333_451
+MVLVEQTVSLFLLSRATLCSTFSQPQPWSTITMKKKNMP
+>DECOY_XM_001128260|592161_2_333_451
+PMNKKKMTITSWPQPQSFTSCLTARSLLFLSVTQEVLVM
+>XM_002343151|592290_2_142_377
+MVRSRPKYLKKMLLEKISSNASALRFLRPDLTHWHLLHFLLKEPLSTWKRGDLWQSDPERMWSLYRLINPPTSLDRKM
+>DECOY_XM_002343151|592290_2_142_377
+MKRDLSTPPNILRYLSWMREPDSQWLDGRKWTSLPEKLLFHLLHWHTLDPRLFRLASANSSIKELLMKKLYKPRSRVM
+>XM_002343151|592296_2_1501_1649
+METSRSHSASVLIWLLQPSCLSTPFTPVGKLWLTVSDSRLTSALNTRLT
+>DECOY_XM_002343151|592296_2_1501_1649
+TLRTNLASTLRSDSVTLWLKGVPTFPTSLCSPQLLWILVSASHSRSTEM
+>XM_002343151|592299_2_1837_1922
+MASILMMARKTLAFLRGICSTMVYITHL
+>DECOY_XM_002343151|592299_2_1837_1922
+LHTIYVMTSCIGRLFALTKRAMMLISAM
+>XM_002343151|592300_2_1930_2018
+MGMEISIILSGTWVSKSLPISITENQKYV
+>DECOY_XM_002343151|592300_2_1930_2018
+VYKQNETISIPLSKSVWTGSLIISIEMGM
+>XM_002343151|592301_2_1936_2018
+MEISIILSGTWVSKSLPISITENQKYV
+>DECOY_XM_002343151|592301_2_1936_2018
+VYKQNETISIPLSKSVWTGSLIISIEM
+>XM_002343151|592307_2_3202_3389
+MASFSTTPGRVEMKRTFHSLHMLLGCSLKLGSIPLFLLYETHSFALKRHWTVVSLMATIMQF
+>DECOY_XM_002343151|592307_2_3202_3389
+FQMITAMLSVVTWHRKLAFSHTEYLLFLPISGLKLSCGLLMHLSHFTRKMEVRGPTTSFSAM
+>XM_002343193|592399_3_220_329
+MEDIFGIKLGTKEDTNFILWFGSSNPHKSVVSPVTS
+>DECOY_XM_002343193|592399_3_220_329
+STVPSVVSKHPNSSGFWLIFNTDEKTGLKIGFIDEM
+>XM_001127575|592434_2_553_797
+MAVRGFRTVASAGPAHSRDWAAPQGRPHGPGTGKPTCAVSPELGWNRVPTPRCRAESRRPLRRHSPCGQHKHSSVLYSPPN
+>DECOY_XM_001127575|592434_2_553_797
+NPPSYLVSSHKHQGCPSHRRLPRRSEARCRPTPVRNWGLEPSVACTPKGTGPGHPRGQPAAWDRSHAPGASAVTRFGRVAM
+>XM_001718622|592556_3_260_501
+MGPLCTRAVCPELGQCGRNMHIRPGAPHPFPGSPGVRHGQSLRPPLQVAADRGLGGGQDLSDHSLCRGQLQQHLHLHHRN
+>DECOY_XM_001718622|592556_3_260_501
+NRHHLHLHQQLQGRCLSHDSLDQGGGLGRDAAVQLPPRLSQGHRVGPSGPFPHPAGPRIHMNRGCQGLEPCVARTCLPGM
+>XM_002343174|592598_2_115_479
+MGYGCGIWIWDVGYGYGIWDVDVGYGYGIWAMPYGIWMWDMGYGCGIWIWAMGYGCGIWIWAMGYGCGIWDMEYGIWMWDMGYGIWAMGYGCGIWIWDMDMGYGCGIWDMEYGTWDMGCGI
+>DECOY_XM_002343174|592598_2_115_479
+IGCGMDWTGYEMDWIGCGYGMDMDWIWIGCGYGMAWIGYGMDWMWIGYEMDWIGCGYGMAWIWIGCGYGMAWIWIGCGYGMDWMWIGYPMAWIGYGYGVDVDWIGYGYGVDWIWIGCGYGM
+>XM_002343174|592601_2_235_479
+MGYGCGIWIWAMGYGCGIWIWAMGYGCGIWDMEYGIWMWDMGYGIWAMGYGCGIWIWDMDMGYGCGIWDMEYGTWDMGCGI
+>DECOY_XM_002343174|592601_2_235_479
+IGCGMDWTGYEMDWIGCGYGMDMDWIWIGCGYGMAWIGYGMDWMWIGYEMDWIGCGYGMAWIWIGCGYGMAWIWIGCGYGM
+>XM_002343174|592602_2_268_479
+MGYGCGIWIWAMGYGCGIWDMEYGIWMWDMGYGIWAMGYGCGIWIWDMDMGYGCGIWDMEYGTWDMGCGI
+>DECOY_XM_002343174|592602_2_268_479
+IGCGMDWTGYEMDWIGCGYGMDMDWIWIGCGYGMAWIGYGMDWMWIGYEMDWIGCGYGMAWIWIGCGYGM
+>XM_002343174|592603_2_301_479
+MGYGCGIWDMEYGIWMWDMGYGIWAMGYGCGIWIWDMDMGYGCGIWDMEYGTWDMGCGI
+>DECOY_XM_002343174|592603_2_301_479
+IGCGMDWTGYEMDWIGCGYGMDMDWIWIGCGYGMAWIGYGMDWMWIGYEMDWIGCGYGM
+>XM_002343174|592604_2_328_479
+MEYGIWMWDMGYGIWAMGYGCGIWIWDMDMGYGCGIWDMEYGTWDMGCGI
+>DECOY_XM_002343174|592604_2_328_479
+IGCGMDWTGYEMDWIGCGYGMDMDWIWIGCGYGMAWIGYGMDWMWIGYEM
+>XM_002343174|592606_2_355_479
+MGYGIWAMGYGCGIWIWDMDMGYGCGIWDMEYGTWDMGCGI
+>DECOY_XM_002343174|592606_2_355_479
+IGCGMDWTGYEMDWIGCGYGMDMDWIWIGCGYGMAWIGYGM
+>XM_002343174|592607_2_376_479
+MGYGCGIWIWDMDMGYGCGIWDMEYGTWDMGCGI
+>DECOY_XM_002343174|592607_2_376_479
+IGCGMDWTGYEMDWIGCGYGMDMDWIWIGCGYGM
+>XM_002343174|592608_3_38_525
+MGYGIQDMGYGIWNMGHGTWDMGYRLWDMDVGYGYGMWDMDMGYGMWMWDMDMGYGLCHMGYGCGIWDMDVEYGYGLWAMDVGYGYGLWATDVGYGIWNMGYGCGIWDMGYGLWAMDVGYGYGIWIWAMDVGYGIWNMGHGIWAVGYEIWALSQAPPAFYSS
+>DECOY_XM_002343174|592608_3_38_525
+SSYFAPPAQSLAWIEYGVAWIGHGMNWIGYGVDMAWIWIGYGYGVDMAWLGYGMDWIGCGYGMNWIGYGVDTAWLGYGYGVDMAWLGYGYEVDMDWIGCGYGMHCLGYGMDMDWMWMGYGMDMDWMGYGYGVDMDWLRYGMDWTGHGMNWIGYGMDQIGYGM
+>XM_002343174|592609_3_59_525
+MGYGIWNMGHGTWDMGYRLWDMDVGYGYGMWDMDMGYGMWMWDMDMGYGLCHMGYGCGIWDMDVEYGYGLWAMDVGYGYGLWATDVGYGIWNMGYGCGIWDMGYGLWAMDVGYGYGIWIWAMDVGYGIWNMGHGIWAVGYEIWALSQAPPAFYSS
+>DECOY_XM_002343174|592609_3_59_525
+SSYFAPPAQSLAWIEYGVAWIGHGMNWIGYGVDMAWIWIGYGYGVDMAWLGYGMDWIGCGYGMNWIGYGVDTAWLGYGYGVDMAWLGYGYEVDMDWIGCGYGMHCLGYGMDMDWMWMGYGMDMDWMGYGYGVDMDWLRYGMDWTGHGMNWIGYGM
+>XM_002343174|592610_3_80_525
+MGHGTWDMGYRLWDMDVGYGYGMWDMDMGYGMWMWDMDMGYGLCHMGYGCGIWDMDVEYGYGLWAMDVGYGYGLWATDVGYGIWNMGYGCGIWDMGYGLWAMDVGYGYGIWIWAMDVGYGIWNMGHGIWAVGYEIWALSQAPPAFYSS
+>DECOY_XM_002343174|592610_3_80_525
+SSYFAPPAQSLAWIEYGVAWIGHGMNWIGYGVDMAWIWIGYGYGVDMAWLGYGMDWIGCGYGMNWIGYGVDTAWLGYGYGVDMAWLGYGYEVDMDWIGCGYGMHCLGYGMDMDWMWMGYGMDMDWMGYGYGVDMDWLRYGMDWTGHGM
+>XM_002343174|592611_3_101_525
+MGYRLWDMDVGYGYGMWDMDMGYGMWMWDMDMGYGLCHMGYGCGIWDMDVEYGYGLWAMDVGYGYGLWATDVGYGIWNMGYGCGIWDMGYGLWAMDVGYGYGIWIWAMDVGYGIWNMGHGIWAVGYEIWALSQAPPAFYSS
+>DECOY_XM_002343174|592611_3_101_525
+SSYFAPPAQSLAWIEYGVAWIGHGMNWIGYGVDMAWIWIGYGYGVDMAWLGYGMDWIGCGYGMNWIGYGVDTAWLGYGYGVDMAWLGYGYEVDMDWIGCGYGMHCLGYGMDMDWMWMGYGMDMDWMGYGYGVDMDWLRYGM
+>XM_002343174|592612_3_122_525
+MDVGYGYGMWDMDMGYGMWMWDMDMGYGLCHMGYGCGIWDMDVEYGYGLWAMDVGYGYGLWATDVGYGIWNMGYGCGIWDMGYGLWAMDVGYGYGIWIWAMDVGYGIWNMGHGIWAVGYEIWALSQAPPAFYSS
+>DECOY_XM_002343174|592612_3_122_525
+SSYFAPPAQSLAWIEYGVAWIGHGMNWIGYGVDMAWIWIGYGYGVDMAWLGYGMDWIGCGYGMNWIGYGVDTAWLGYGYGVDMAWLGYGYEVDMDWIGCGYGMHCLGYGMDMDWMWMGYGMDMDWMGYGYGVDM
+>XM_002343174|592614_3_155_525
+MDMGYGMWMWDMDMGYGLCHMGYGCGIWDMDVEYGYGLWAMDVGYGYGLWATDVGYGIWNMGYGCGIWDMGYGLWAMDVGYGYGIWIWAMDVGYGIWNMGHGIWAVGYEIWALSQAPPAFYSS
+>DECOY_XM_002343174|592614_3_155_525
+SSYFAPPAQSLAWIEYGVAWIGHGMNWIGYGVDMAWIWIGYGYGVDMAWLGYGMDWIGCGYGMNWIGYGVDTAWLGYGYGVDMAWLGYGYEVDMDWIGCGYGMHCLGYGMDMDWMWMGYGMDM
+>XM_002343174|592615_3_161_525
+MGYGMWMWDMDMGYGLCHMGYGCGIWDMDVEYGYGLWAMDVGYGYGLWATDVGYGIWNMGYGCGIWDMGYGLWAMDVGYGYGIWIWAMDVGYGIWNMGHGIWAVGYEIWALSQAPPAFYSS
+>DECOY_XM_002343174|592615_3_161_525
+SSYFAPPAQSLAWIEYGVAWIGHGMNWIGYGVDMAWIWIGYGYGVDMAWLGYGMDWIGCGYGMNWIGYGVDTAWLGYGYGVDMAWLGYGYEVDMDWIGCGYGMHCLGYGMDMDWMWMGYGM
+>XM_002343174|592618_3_188_525
+MDMGYGLCHMGYGCGIWDMDVEYGYGLWAMDVGYGYGLWATDVGYGIWNMGYGCGIWDMGYGLWAMDVGYGYGIWIWAMDVGYGIWNMGHGIWAVGYEIWALSQAPPAFYSS
+>DECOY_XM_002343174|592618_3_188_525
+SSYFAPPAQSLAWIEYGVAWIGHGMNWIGYGVDMAWIWIGYGYGVDMAWLGYGMDWIGCGYGMNWIGYGVDTAWLGYGYGVDMAWLGYGYEVDMDWIGCGYGMHCLGYGMDM
+>XM_002343174|592619_3_194_525
+MGYGLCHMGYGCGIWDMDVEYGYGLWAMDVGYGYGLWATDVGYGIWNMGYGCGIWDMGYGLWAMDVGYGYGIWIWAMDVGYGIWNMGHGIWAVGYEIWALSQAPPAFYSS
+>DECOY_XM_002343174|592619_3_194_525
+SSYFAPPAQSLAWIEYGVAWIGHGMNWIGYGVDMAWIWIGYGYGVDMAWLGYGMDWIGCGYGMNWIGYGVDTAWLGYGYGVDMAWLGYGYEVDMDWIGCGYGMHCLGYGM
+>XM_002343174|592621_3_242_525
+MDVEYGYGLWAMDVGYGYGLWATDVGYGIWNMGYGCGIWDMGYGLWAMDVGYGYGIWIWAMDVGYGIWNMGHGIWAVGYEIWALSQAPPAFYSS
+>DECOY_XM_002343174|592621_3_242_525
+SSYFAPPAQSLAWIEYGVAWIGHGMNWIGYGVDMAWIWIGYGYGVDMAWLGYGMDWIGCGYGMNWIGYGVDTAWLGYGYGVDMAWLGYGYEVDM
+>XM_002343174|592622_3_275_525
+MDVGYGYGLWATDVGYGIWNMGYGCGIWDMGYGLWAMDVGYGYGIWIWAMDVGYGIWNMGHGIWAVGYEIWALSQAPPAFYSS
+>DECOY_XM_002343174|592622_3_275_525
+SSYFAPPAQSLAWIEYGVAWIGHGMNWIGYGVDMAWIWIGYGYGVDMAWLGYGMDWIGCGYGMNWIGYGVDTAWLGYGYGVDM
+>XM_002343174|592623_3_335_525
+MGYGCGIWDMGYGLWAMDVGYGYGIWIWAMDVGYGIWNMGHGIWAVGYEIWALSQAPPAFYSS
+>DECOY_XM_002343174|592623_3_335_525
+SSYFAPPAQSLAWIEYGVAWIGHGMNWIGYGVDMAWIWIGYGYGVDMAWLGYGMDWIGCGYGM
+>XM_002343174|592624_3_362_525
+MGYGLWAMDVGYGYGIWIWAMDVGYGIWNMGHGIWAVGYEIWALSQAPPAFYSS
+>DECOY_XM_002343174|592624_3_362_525
+SSYFAPPAQSLAWIEYGVAWIGHGMNWIGYGVDMAWIWIGYGYGVDMAWLGYGM
+>XM_002343174|592625_3_383_525
+MDVGYGYGIWIWAMDVGYGIWNMGHGIWAVGYEIWALSQAPPAFYSS
+>DECOY_XM_002343174|592625_3_383_525
+SSYFAPPAQSLAWIEYGVAWIGHGMNWIGYGVDMAWIWIGYGYGVDM
+>XM_002343174|592626_3_422_525
+MDVGYGIWNMGHGIWAVGYEIWALSQAPPAFYSS
+>DECOY_XM_002343174|592626_3_422_525
+SSYFAPPAQSLAWIEYGVAWIGHGMNWIGYGVDM
+>XM_002343174|592627_3_449_525
+MGHGIWAVGYEIWALSQAPPAFYSS
+>DECOY_XM_002343174|592627_3_449_525
+SSYFAPPAQSLAWIEYGVAWIGHGM
+>XM_037557|592668_2_510_598
+MVFSSGTMLSRQLPGYPQEYQRNGGERFG
+>DECOY_XM_037557|592668_2_510_598
+GFREGGNRQYEQPYGPLQRSLMTGSSFVM
+>XM_002343200|592754_3_455_561
+MGTQHSQIRVIRCKEREYKWAYEIIGKNTQFFRKY
+>DECOY_XM_002343200|592754_3_455_561
+YKRFFQTNKGIIEYAWKYEREKCRIVRIQSHQTGM
+>XM_002343203|592805_2_181_416
+MGFVKPGDSIILKHSMASTITSQETVLTFLQRTVVIWSLGTLYGFITALNALVRCILVIGQSACSFQTKRKFEFMVMK
+>DECOY_XM_002343203|592805_2_181_416
+KMVMFEFKRKTQFSCASQGIVLICRVLANLATIFGYLTGLSWIVVTRQLFTLVTEQSTITSAMSHKLIISDGPKVFGM
+>XM_002343203|592841_2_6232_6308
+MVVVVPAKMYPANFTWKMEHQLYTR
+>DECOY_XM_002343203|592841_2_6232_6308
+RTYLQHEMKWTFNAPYMKAPVVVVM
+>XM_002343203|592842_2_6649_6728
+MEYETCLCLCIAQEMALKLCTLSRNP
+>DECOY_XM_002343203|592842_2_6649_6728
+PNRSLTCLKLAMEQAICLCLCTEYEM
+>XM_931359|592863_3_446_951
+MGAPSSARPQPARRARGATRRCSCTSGMGRPRSREARRPPAGAPYSRCTARRRRRQRRRRRRRPAVPAQSPAATEWPPASPQDPPPRLRRAAHRARRARSARAAQPASRRRPQQQPRAGCSHLRERRSPPHSGRGPRAPESGRPSTRGLRAAPGRDPVCTGWPARLPG
+>DECOY_XM_931359|592863_3_446_951
+GPLRAPWGTCVPDRGPAARLGRTSPRGSEPARPGRGSHPPSRRERLHSCGARPQQQPRRRSAPQAARASRARRARHAARRLRPPPDQPSAPPWETAAPSQAPVAPRRRRRRRQRRRRRATCRSYPAGAPPRRAERSRPRGMGSTCSCRRTAGRARRAPQPRASSPAGM
+>XM_931359|592864_3_527_951
+MGRPRSREARRPPAGAPYSRCTARRRRRQRRRRRRRPAVPAQSPAATEWPPASPQDPPPRLRRAAHRARRARSARAAQPASRRRPQQQPRAGCSHLRERRSPPHSGRGPRAPESGRPSTRGLRAAPGRDPVCTGWPARLPG
+>DECOY_XM_931359|592864_3_527_951
+GPLRAPWGTCVPDRGPAARLGRTSPRGSEPARPGRGSHPPSRRERLHSCGARPQQQPRRRSAPQAARASRARRARHAARRLRPPPDQPSAPPWETAAPSQAPVAPRRRRRRRQRRRRRATCRSYPAGAPPRRAERSRPRGM
+>XM_001714973|592885_2_166_281
+MATTLSRRWEWTVEDKVLLEQAFGFHDKCGSNRRCPTS
+>DECOY_XM_001714973|592885_2_166_281
+STPCRRNSGCKDHFGFAQELLVKDEVTWEWRRSLTTAM
+>XM_208658|592900_2_823_932
+MAPCWWAAPRRASTLKRWLLQTQSSFLRSKLTFLKE
+>DECOY_XM_208658|592900_2_823_932
+EKLFTLKSRLFSSQTQLLWRKLTSARRPAAWWCPAM
+>XM_001715090|592944_2_3196_3299
+MGKKCWTSHQDASLCLMVVMNKKKCQRRILLRSL
+>DECOY_XM_001715090|592944_2_3196_3299
+LSRLLIRRQCKKKNMVVMLCLSADQHSTWCKKGM
+>XM_001723671|593066_3_176_258
+MVASCLSTHIKPAFKKALPAERGTSYL
+>DECOY_XM_001723671|593066_3_176_258
+LYSTGREAPLAKKFAPKIHTSLCSAVM
+>XM_002343222|593129_2_337_428
+MVLPVRVFTKICIPPLRRSTRCRVDSFWML
+>DECOY_XM_002343222|593129_2_337_428
+LMWFSDVRCRTSRRLPPICIKTFVRVPLVM
+>XM_002343222|593138_2_1021_1112
+MVLPVRVFTKICIPPLRRSTRCKVDSFWML
+>DECOY_XM_002343222|593138_2_1021_1112
+LMWFSDVKCRTSRRLPPICIKTFVRVPLVM
+>XM_002343222|593143_2_1477_1568
+MVLPVSVFTKICIPPLRRSTRCKVDSFWML
+>DECOY_XM_002343222|593143_2_1477_1568
+LMWFSDVKCRTSRRLPPICIKTFVSVPLVM
+>XM_002343222|593149_2_1933_2024
+MVLPVSVFTKICIPPLRRSTRCRVDSFWML
+>DECOY_XM_002343222|593149_2_1933_2024
+LMWFSDVRCRTSRRLPPICIKTFVSVPLVM
+>XM_001720013|593228_3_92_171
+MGRNPASGRNRALGETAFVQVAGRAF
+>DECOY_XM_001720013|593228_3_92_171
+FARGAVQVFATEGLARNRGSAPNRGM
+>XM_001721200|593245_2_148_260
+MGPVTTRRHVSSCTTCSWPVAIMKMSTSCWVKSLSHR
+>DECOY_XM_001721200|593245_2_148_260
+RHSLSKVWCSTSMKMIAVPWSCTTCSSVHRRTTVPGM
+>XM_001716811|593308_3_443_1023
+MGRVGRGRPRLPFRSQGGDSGRREKQSGWGQKENPSLPLPTQTAKGLQPAVPGAGEQLGRERNSAPSPRPVEPPRRRGGRSRSGPRLREASVPRFHPAPQLSACWLRGHQSQGARRRAATALLCSILPQHLRGSAHGREKQPGAPPRPQQGGPRLRSPYRPGGARQSSVFGCGAVEARGRKKKAHSVERGGTD
+>DECOY_XM_001716811|593308_3_443_1023
+DTGGREVSHAKKKRGRAEVAGCGFVSSQRAGGPRYPSRLRPGGQQPRPPAGPQKERGHASGRLHQPLISCLLATAARRRAGQSQHGRLWCASLQPAPHFRPVSAERLRPGSRSRGGRRRPPEVPRPSPASNRERGLQEGAGPVAPQLGKATQTPLPLSPNEKQGWGSQKERRGSDGGQSRFPLRPRGRGVRGM
+>XM_930192|593319_2_301_425
+MGCYPVTAVRSITAGRGSSRTLRCLLRAQLIGGICELLSTP
+>DECOY_XM_930192|593319_2_301_425
+PTSLLECIGGILQARLLCRLTRSSGRGATISRVATVPYCGM
+>XM_001717566|593395_2_484_608
+MESPVHTALLEASFPLGGLTHFQQCSLPQFRAAPCGQRRRP
+>DECOY_XM_001717566|593395_2_484_608
+PRRRQGCPAARFQPLSCQQFHTLGGLPFSAELLATHVPSEM
+>XM_002344076|593463_3_131_306
+MAADSPGLPALGRSATSNLSRDWTPGAFHARCVFPGGPSKLATPRAVDCSGIWSLRCG
+>DECOY_XM_002344076|593463_3_131_306
+GCRLSWIGSCDVARPTALKSPGGPFVCRAHFAGPTWDRSLNSTASRGLAPLGPSDAAM
+>XM_002344085|593635_2_3703_3830
+MEVIQEKWVTVLQKKRQKYQKIYQQLRQRLSIIALLFYLVLK
+>DECOY_XM_002344085|593635_2_3703_3830
+KLVLYFLLAIISLRQRLQQYIKQYKQRKKQLVTVWKEQIVEM
+>XM_002344085|593645_2_7735_7841
+MADLKWLTGQVPKVDLCRQSNQQFHNHLILQVMLL
+>DECOY_XM_002344085|593645_2_7735_7841
+LLMVQLILHNHFQQNSQRCLDVKPVQGTLWKLDAM
+>XM_002344085|593653_2_14284_14402
+MERTTLCTLKSKGKEYRKAKRNLEWFRGNLLHSLLLHFT
+>DECOY_XM_002344085|593653_2_14284_14402
+TFHLLLSHLLNGRFWELNRKAKRYEKGKSKLTCLTTREM
+>XM_002344085|593656_2_17068_17213
+MGTRPGRTLSFLSFPFKVESRRHHRKQMWTRKQQRTSAYRCYQEYTWI
+>DECOY_XM_002344085|593656_2_17068_17213
+IWTYEQYCRYASTRQQKRTWMQKRHHRRSEVKFPFSLFSLTRGPRTGM
+>XM_002344085|593670_3_10157_10230
+MDCALDFSKADEKTRFNAAFRIIP
+>DECOY_XM_002344085|593670_3_10157_10230
+PIIRFAANFRTKEDAKSFDLACDM
+>XM_002344085|593673_3_16571_16662
+MALYREKGSACKIQNRNFNCVSFLSHNGCN
+>DECOY_XM_002344085|593673_3_16571_16662
+NCGNHSLFSVCNFNRNQIKCASGKERYLAM
+>XM_002344085|593674_3_18440_18513
+MGHDHTKGSAAKSFPRNCAHTASS
+>DECOY_XM_002344085|593674_3_18440_18513
+SSATHACNRPFSKAASGKTHDHGM
+>XM_001720095|593863_2_517_782
+MALTQSKALALLTKFCPMIPQHHLRLPVRKGMELSGATSWLQIHPEIPKMAPWGTAGATTWRSSGSAFCAAWVSASPRPLWITHSLMG
+>DECOY_XM_001720095|593863_2_517_782
+GMLSHTIWLPRPSASVWAACFASGSSRWTTAGATGWPAMKPIEPHIQLWSTAGSLEMGKRVPLRLHHQPIMPCFKTLLALAKSQTLAM
+>XM_001720095|593865_2_610_782
+MELSGATSWLQIHPEIPKMAPWGTAGATTWRSSGSAFCAAWVSASPRPLWITHSLMG
+>DECOY_XM_001720095|593865_2_610_782
+GMLSHTIWLPRPSASVWAACFASGSSRWTTAGATGWPAMKPIEPHIQLWSTAGSLEM
+>XM_001720095|593866_2_664_782
+MAPWGTAGATTWRSSGSAFCAAWVSASPRPLWITHSLMG
+>DECOY_XM_001720095|593866_2_664_782
+GMLSHTIWLPRPSASVWAACFASGSSRWTTAGATGWPAM
+>XM_001720095|593868_2_967_1094
+MAPLRQKSRPLSSWMKKLWPSAHPLHPQPPGTPAQPKPKNRQ
+>DECOY_XM_001720095|593868_2_967_1094
+QRNKPKPQAPTGPPQPHLPHASPWLKKMWSSLPRSKQRLPAM
+>XM_001720095|593870_2_1144_1262
+MEGSAPHSTKAHPPPRRGALSLPQWAPPKTPVTHPSPIA
+>DECOY_XM_001720095|593870_2_1144_1262
+AIPSPHTVPTKPPAWQPLSLAGRRPPPHAKTSHPASGEM
+>XM_001720095|593874_2_2293_2561
+MAPSSRPTSNSTSRLTNMLRSTSWQPTCGRGVEPWAPLPQHPWEMGLLMGLSPHYTCAATSVTLSPTARRRCSCMPGVQPTKKTAKSIR
+>DECOY_XM_001720095|593874_2_2293_2561
+RISKATKKTPQVGPMCSCRRRATPSLTVSTAACTYHPSLGMLLGMEWPHQPLPAWPEVGRGCTPQWSTSRLMNTLRSTSNSTPRSSPAM
+>XM_001720095|593876_2_2425_2561
+MGLLMGLSPHYTCAATSVTLSPTARRRCSCMPGVQPTKKTAKSIR
+>DECOY_XM_001720095|593876_2_2425_2561
+RISKATKKTPQVGPMCSCRRRATPSLTVSTAACTYHPSLGMLLGM
+>XM_001720095|593892_2_6256_6491
+MALGGKPQRGKHLLFPTPLPRLLLGPSLSYHLGKRPPPQHQSHLYLSYLPLHPVRKRAQRNHLKLLQRVRLAVSLQEI
+>DECOY_XM_001720095|593892_2_6256_6491
+IEQLSVALRVRQLLKLHNRQARKRVPHLPLYSLYLHSQHQPPPRKGLHYSLSPGLLLRPLPTPFLLHKGRQPKGGLAM
+>XM_001720095|593900_3_3473_3573
+MAKNPPLMGQSLHRAETRQQERGLHGPTRSEDD
+>DECOY_XM_001720095|593900_3_3473_3573
+DDESRTPGHLGREQQRTEARHLSQGMLPPNKAM
+>XM_002343284|594149_2_589_779
+MAAAIPEAVTLAHRGNEVPAGSPVCARAMGTGQQAPHGDASTRVCPLCRPAPGWCHSSLHPQS
+>DECOY_XM_002343284|594149_2_589_779
+SQPHLSSHCWGPAPRCLPCVRTSADGHPAQQGTGMARACVPSGAPVENGRHALTVAEPIAAAM
+>XM_002343284|594150_2_673_779
+MGTGQQAPHGDASTRVCPLCRPAPGWCHSSLHPQS
+>DECOY_XM_002343284|594150_2_673_779
+SQPHLSSHCWGPAPRCLPCVRTSADGHPAQQGTGM
+>XM_002343250|594174_3_913_1046
+MGLEPGLPVTDVQPAPSVASSSVLGSCISGAASHPAPTFPGRVR
+>DECOY_XM_002343250|594174_3_913_1046
+RVRGPFTPAPHSAAGSICSGLVSSSAVSPAPQVDTVPLGPELGM
+>XM_002343312|594307_2_623_699
+MALMEIFKMSMEIPLYTMLSTMKIN
+>DECOY_XM_002343312|594307_2_623_699
+NIKMTSLMTYLPIEMSMKFIEMLAM
+>XM_001726976|594370_2_430_719
+MGAAAFRATTTTTTRSSRRRCRSPAAAGGTACTAGSRSCRRLSAWSSYAACWTCACRSRSASSARAWRTWPARTTTRCATRRSRPTTRPTWAASPT
+>DECOY_XM_001726976|594370_2_430_719
+TPSAAWTPRTTPRSRRTACRTTTRAPWTRWARASSASRSRCACTWCAAYSSWASLRRCSRSGATCATGGAAAPSRCRRRSSRTTTTTTARFAAAGM
+>XM_496041|594427_3_1613_1869
+MEAKGEDTRAGGEDTEAGGEDVEAGGEDARPGGEDTGAGGEGVEAGGEDTGAGGEDTGAGGEDTRAGGDDAGTGREDGGAGGEDV
+>DECOY_XM_496041|594427_3_1613_1869
+VDEGGAGGDERGTGADDGGARTDEGGAGTDEGGAGTDEGGAEVGEGGAGTDEGGPRADEGGAEVDEGGAETDEGGARTDEGKAEM
+>XM_002343324|594445_2_1612_1727
+MGTENSWPLPTTLLMSPVQEPQPPRSLGLQTSMVIFVR
+>DECOY_XM_002343324|594445_2_1612_1727
+RVFIVMSTQLGLSRPPQPEQVPSMLLTTPLPWSNETGM
+>XM_002343322|594562_2_160_248
+MALTLRQPLRRVAIHLRMKRRQATNIRKP
+>DECOY_XM_002343322|594562_2_160_248
+PKRINTAQRRKMRLHIAVRRLPQRLTLAM
+>XM_002343322|594564_2_358_491
+MGTWESPRISPAACIIPGTLQESYSGLSLLCPHGTRRQTGTSRS
+>DECOY_XM_002343322|594564_2_358_491
+SRSTGTQRRTGHPCLLSLGSYSEQLTGPIICAAPSIRPSEWTGM
+>XM_002343322|594566_3_1364_1875
+MGAGGEDTGPGGDVGAGEEDVAAGEDAGAGRSDVGAGGEDAGSGAEDVGPGGEDVGAGREAAGEGGENAGAEEDVAAGGEDAGGEEDAGAGEEDMGPGGEDARGGEDAGAGEEDAGGGGDDAGAGGEDAGAGREDAGAGGEDVGAGREDAGAGGEDVGAGGEDVGAGRRR
+>DECOY_XM_002343322|594566_3_1364_1875
+RRRGAGVDEGGAGVDEGGAGADERGAGVDEGGAGADERGAGADEGGAGADDGGGGADEEGAGADEGGRADEGGPGMDEEGAGADEEGGADEGGAAVDEEAGANEGGEGAAERGAGVDEGGPGVDEAGSGADEGGAGVDSRGAGADEGAAVDEEGAGVDGGPGTDEGGAGM
+>XM_002343322|594567_3_1646_1875
+MGPGGEDARGGEDAGAGEEDAGGGGDDAGAGGEDAGAGREDAGAGGEDVGAGREDAGAGGEDVGAGGEDVGAGRRR
+>DECOY_XM_002343322|594567_3_1646_1875
+RRRGAGVDEGGAGVDEGGAGADERGAGVDEGGAGADERGAGADEGGAGADDGGGGADEEGAGADEGGRADEGGPGM
+>XM_001724258|594635_2_1621_1736
+MGTENSWPLPTTLLMSPVQEPQLPRSLGLQTSMVIFVR
+>DECOY_XM_001724258|594635_2_1621_1736
+RVFIVMSTQLGLSRPLQPEQVPSMLLTTPLPWSNETGM
+>XM_001718646|594688_2_373_494
+MAVTFVGNSAATQKLSMASQGSSLLYCAGRLSSTGMQGRA
+>DECOY_XM_001718646|594688_2_373_494
+ARGQMGTSSLRGACYLLSSGQSAMSLKQTAASNGVFTVAM
+>XM_001718810|595060_3_197_534
+MEKLPGAIWIQNCSSSSQVRRWLLSPSPQGNVSPWAGMGSPKLWQNLPPILGPPAVCGERPVPCLLGPAGLTLIQRTGKSKHVLCLAGLCCAPFWGRVAAAPYGQESSPAPT
+>DECOY_XM_001718810|595060_3_197_534
+TPAPSSEQGYPAAAVRGWFPACCLGALCLVHKSKGTRQILTLGAPGLLCPVPREGCVAPPGLIPPLNQWLKPSGMGAWPSVNGQPSPSLLWRRVQSSSSCNQIWIAGPLKEM
+>XM_001718810|595061_3_308_534
+MGSPKLWQNLPPILGPPAVCGERPVPCLLGPAGLTLIQRTGKSKHVLCLAGLCCAPFWGRVAAAPYGQESSPAPT
+>DECOY_XM_001718810|595061_3_308_534
+TPAPSSEQGYPAAAVRGWFPACCLGALCLVHKSKGTRQILTLGAPGLLCPVPREGCVAPPGLIPPLNQWLKPSGM
+>XM_002343335|595104_2_103_185
+MARRLPCSRPTGPAGSRPRGSAARAGS
+>DECOY_XM_002343335|595104_2_103_185
+SGARAASGRPRSGAPGTPRSCPLRRAM
+>XM_497450|595169_2_793_869
+MAMAVAVAVAVAQAAAADGSVTGGG
+>DECOY_XM_497450|595169_2_793_869
+GGGTVSGDAAAAQAVAVAVAVAMAM
+>XM_001129482|595284_2_922_1022
+MVVTVGSLALLLGPAVEGHPPEGSLISHTETLC
+>DECOY_XM_001129482|595284_2_922_1022
+CLTETHSILSGEPPHGEVAPGLLLALSGVTVVM
+>XM_001129482|595291_2_2554_2627
+MGSPAQPEQPWPGREPQLQTLASP
+>DECOY_XM_001129482|595291_2_2554_2627
+PSALTQLQPERGPWPQEPQAPSGM
+>XM_001129482|595305_2_7075_7163
+MERTSESPCWVSVPVKILLLKPRWLYKKK
+>DECOY_XM_001129482|595305_2_7075_7163
+KKKYLWRPKLLLIKVPVSVWCPSESTREM
+>XM_001129482|595319_2_11656_11729
+MGDPSLSCTPHTAHSRVQNSNLVS
+>DECOY_XM_001129482|595319_2_11656_11729
+SVLNSNQVRSHATHPTCSLSPDGM
+>XM_001129482|595320_2_11914_12020
+MEVRVQHLQGNHNALWTDLLHGEASSTSAPALSLS
+>DECOY_XM_001129482|595320_2_11914_12020
+SLSLAPASTSSAEGHLLDTWLANHNGQLHQVRVEM
+>XM_001129482|595322_2_12397_12476
+MALGRPMPCSRCCRVGQGRRLLLMNL
+>DECOY_XM_001129482|595322_2_12397_12476
+LNMLLLRRGQGVRCCRSCPMPRGLAM
+>XM_001129482|595324_2_13360_13484
+MASWGQVWCPSRCLVCGRLSVTPLCGPCITSPSRQQGCISE
+>DECOY_XM_001129482|595324_2_13360_13484
+ESICGQQRSPSTICPGCLPTVSLRGCVLCRSPCWVQGWSAM
+>XM_001129482|595331_3_7718_7809
+MGSCAGFLPCCSCSRQETSYWRTEAVRGSK
+>DECOY_XM_001129482|595331_3_7718_7809
+KSGRVAETRWYSTEQRSCSCCPLFGACSGM
+>XM_001726572|595447_2_481_662
+MENKGSGEQAGPLPRARRQLAQVRQVRPGGVGTRDRGLRGGKQEGPAGLPREDAPLGAAG
+>DECOY_XM_001726572|595447_2_481_662
+GAAGLPADERPLGAPGEQKGGRLGRDRTGVGGPRVQRVQALQRRARPLPGAQEGSGKNEM
+>XM_001726572|595451_3_938_1128
+MAAPPAARSSPEVAASRAAQTNRRRARAAGRGARRGSRDWLGALRMLAAAGRKALPARCGGTW
+>DECOY_XM_001726572|595451_3_938_1128
+WTGGCRAPLAKRGAAALMRLAGLWDRSGRRAGRGAARARRRNTQAARSAAVEPSSRAAPPAAM
+>XM_372574|595465_2_471_769
+MGWRLRPPQSETRRPDTRRGRRRRVRTRQKPPLAARKSRPPAASNRAPRRAPAPAVACARWTCYAACGWSWQGRGGGSPRGSWSPGPAPSCMASAATAR
+>DECOY_XM_372574|595465_2_471_769
+RATAASAMCSPAPGPSWSGRPSGGGRGQWSWGCAAYCTWRACAVAPAPARRPARNSAAPPRSKRAALPPKQRTRVRRRRGRRTDPRRTESQPPRLRWGM
+>XM_929612|595493_2_523_710
+MAPVLAWNSETLELEATSGRVDQHGLPTARAGTMLAAPDLTPRLPSPLSTSILHITYTTRCP
+>DECOY_XM_929612|595493_2_523_710
+PCRTTYTIHLISTSLPSPLRPTLDPAALMTGARATPLGHQDVRGSTAELELTESNWALVPAM
+>XM_001719538|595568_2_103_257
+MAPTASCTPWTHSWCGKWRPLRAWWTPMWPLSTRPCGTSWLVSRPRPPCTS
+>DECOY_XM_001719538|595568_2_103_257
+STCPPRPRSVLWSTGCPRTSLPWMPTWWARLPRWKGCWSHTWPTCSATPAM
+>XM_001719614|595625_2_88_170
+MGRLGQRLGFENMSWTTANQMTGKLRA
+>DECOY_XM_001719614|595625_2_88_170
+ARLKGTMQNATTWSMNEFGLRQGLRGM
+>XM_002343378|595786_2_2880_3070
+MEEDIWTVSRRRLGPCRTSQGTWRAGRPWWHFSTPLEPMPRRSKGCAASPWLTQWPRPRKSQR
+>DECOY_XM_002343378|595786_2_2880_3070
+RQSKRPRPWQTLWPSAACGKSRRPMPELPTSFHWWPRGARWTGQSTRCPGLRRRSVTWIDEEM
+>XM_002343396|595920_2_2716_2885
+MAPSLPRKPFLTPSLRASNITCSRWQAARDTAWLSTPRMETTRRVPMPFSSGSNSI
+>DECOY_XM_002343396|595920_2_2716_2885
+ISNSGSSFPMPVRRTTEMRPTSLWATDRAAQWRSCTINSARLSPTLFPKRPLSPAM
+>XM_002343396|595923_3_2249_2352
+MEKSRTECPREEDQLPQGAQPQQAHGGPGPPSHH
+>DECOY_XM_002343396|595923_3_2249_2352
+HHSPPGPGGHAQQPQAGQPLQDEERPCETRSKEM
+>XM_002343397|595931_2_154_257
+MDSSVQNTVLGPTRSSVKGVMEWLQYLQMERRVR
+>DECOY_XM_002343397|595931_2_154_257
+RVRREMQLYQLWEMVGKVSSRTPGLVTNQVSSDM
+>XM_001715648|596143_2_7_182
+MALMEFSSCICRGTKQHHPTIPGEVLNTTVRLSSGPWWSPTLTQTATRQSGGRTSIPS
+>DECOY_XM_001715648|596143_2_7_182
+SPISTRGGSQRTATQTLTPSWWPGSSLRVTTNLVEGPITPHHQKTGRCICSSFEMLAM
+>XM_370934|596189_3_587_705
+MGPQHPVRQHPPGPGLCSQHILADAESDRLGAHSPVRGT
+>DECOY_XM_370934|596189_3_587_705
+TGRVPSHAGLRDSEADALIHQSCLGPGPPHQRVPHQPGM
+>XM_001723016|596197_2_716_798
+MDMMYPQMYSREPGGPTAVDRKEIKLA
+>DECOY_XM_001723016|596197_2_716_798
+ALKIEKRDVATPGGPERSYMQPYMMDM
+>XM_002343452|596312_2_835_935
+MGRASTSLSGWPHCLRSWGTASPGSRCLLPGFT
+>DECOY_XM_002343452|596312_2_835_935
+TFGPLLCRSGPSATGWSRLCHPWGSLSTSARGM
+>XM_002343459|596361_2_97_179
+MDMMDPWMYSREPGGPTAVDRKEIKLA
+>DECOY_XM_002343459|596361_2_97_179
+ALKIEKRDVATPGGPERSYMWPDMMDM
+>XM_002343459|596363_2_106_179
+MDPWMYSREPGGPTAVDRKEIKLA
+>DECOY_XM_002343459|596363_2_106_179
+ALKIEKRDVATPGGPERSYMWPDM
+>XM_001718037|596618_3_437_513
+MGCGCEIYPVLCKVPQTNSSPLRQG
+>DECOY_XM_001718037|596618_3_437_513
+GQRLPSSNTQPVKCLVPYIECGCGM
+>XM_001718246|596667_3_167_285
+MGTKGTTNNCPSFSSWYWTQSQQLPPILPNLLTPSGSQA
+>DECOY_XM_001718246|596667_3_167_285
+AQSGSPTLLNPLIPPLQQSQTWYWSSFSPCNNTTGKTGM
+>XM_001719300|596721_2_376_449
+MGPASMTQAWRLGLAHRRSRGDPI
+>DECOY_XM_001719300|596721_2_376_449
+IPDGRSRRHALGLRWAQTMSAPGM
+>XM_001129515|596740_2_629_735
+MGKRPPPLGPWRLRETSLPPGTIPGPWREMSTSRA
+>DECOY_XM_001129515|596740_2_629_735
+ARSTSMERWPGPITGPPLSTERLRWPGLPPPRKGM
+>XM_001129515|596741_2_797_921
+MESPVHTALLEASFPLGSLTHFQQCSLPQFRAAPCGQRRGP
+>DECOY_XM_001129515|596741_2_797_921
+PGRRQGCPAARFQPLSCQQFHTLSGLPFSAELLATHVPSEM
+>XM_001726636|596755_2_292_419
+MGRRTAWLVATGSMGMLLWPPQQRLKQRSQPPGVLPRDVKLR
+>DECOY_XM_001726636|596755_2_292_419
+RLKVDRPLVGPPQSRQKLRQQPPWLLMGMSGTAVLWATRRGM
+>XM_002344099|596830_2_310_392
+MDMMDPRMYSREPGGPTAVDRKEIKLA
+>DECOY_XM_002344099|596830_2_310_392
+ALKIEKRDVATPGGPERSYMRPDMMDM
+>XM_002344099|596832_2_319_392
+MDPRMYSREPGGPTAVDRKEIKLA
+>DECOY_XM_002344099|596832_2_319_392
+ALKIEKRDVATPGGPERSYMRPDM
+>XM_002344103|596877_2_330_466
+MATSSASVKAIRPACRCGSGSAAPSARAPGFASEPVSAAEAGPSR
+>DECOY_XM_002344103|596877_2_330_466
+RSPGAEAASVPESAFGPARASPAASGSGCRCAPRIAKVSASSTAM
+>XM_001715649|596953_2_337_656
+MAHGRRQAPGQGPPVFVSSPRPPPASGPACQTGALAQGLLREQLVPAEQAPRAPATGTPASGQVWNGHSPQTKTRASSPRGPLPWCRVSPKLPGRHPSALHAPHPG
+>DECOY_XM_001715649|596953_2_337_656
+GPHPAHLASPHRGPLKPSVRCWPLPGRPSSARTKTQPSHGNWVQGSAPTGTAPARPAQEAPVLQERLLGQALAGTQCAPGSAPPPRPSSVFVPPGQGPAQRRGHAM
+>XM_001715649|596955_3_533_678
+MDTAPRPRPGPPHPGVPSLGVGCHPNSLDVTLLPSTPHTLGRSRLHSC
+>DECOY_XM_001715649|596955_3_533_678
+CSHLRSRGLTHPTSPLLTVDLSNPHCGVGLSPVGPHPPGPRPRPATDM
+>XM_002343498|597069_2_3724_3881
+MEKKRRRHCLSSQKAKNRRSSLIQPARWSAGCLPSSAPQWPLATWPGTCSAC
+>DECOY_XM_002343498|597069_2_3724_3881
+CASCTGPWTALPWQPASSPLCGASWRAPQILSSRRNKAKQSSLCHRRRKKEM
+>XM_002343500|597092_3_196_311
+MAVHPGAHVHWGSAGGAGGELKALYPQSGSLARGKNRF
+>DECOY_XM_002343500|597092_3_196_311
+FRNKGRALSGSQPYLAKLEGGAGGASGWHVHAGPHVAM
+>XM_001128221|597157_2_184_338
+MAGWTTIARLSCTFGSWVMTSSFSGGSMWGSGSPARRASTVKMKSVGVSGV
+>DECOY_XM_001128221|597157_2_184_338
+VGSVGVSKMKVTSARRAPSGSGWMSGGSFSSTMVWSGFTCSLRAITTWGAM
+>XM_002343550|597479_3_134_543
+MGATGAEAVVGVVTLVLVCIQRAAGFPGWPRSPPAPCTRLARASVCAGASPPLGAGSSAGPSRNGGCTPRRASPSPGRKWRNSRSPALAPAPRSPRGGGSAPASPRSRAGSGWLRRPGSARARRPAAGRPRGRGAA
+>DECOY_XM_002343550|597479_3_134_543
+AAGRGRPRGAAPRRARASGPRRLWGSGARSRPSAPASGGGRPSRPAPALAPSRSNRWKRGPSPSARRPTCGGNRSPGASSGAGLPPSAGACVSARALRTCPAPPSRPWGPFGAARQICVLVLTVVGVVAEAGTAGM
+>XM_002343558|597543_2_685_1079
+MASPPPPSWAVWSYRRARPLLASSWTSCRLSAYLHPSWPGSAQASPVSAWGVWAHSSGPLAHCWQSCIVSLAGQILSPRPTWVNMRAYWMPYSASMVALVPTWSLSSGQHWTLQLLTWQGLGQCPGPPCCL
+>DECOY_XM_002343558|597543_2_685_1079
+LCCPPGPCQGLGQWTLLQLTWHQGSSLSWTPVLAVMSASYPMWYARMNVWTPRPSLIQGALSVICSQWCHALPGSSHAWVGWASVPSAQASGPWSPHLYASLRCSTWSSALLPRARRYSWVAWSPPPPSAM
+>XM_497547|597570_2_232_398
+MDQLKEARRRILMPPKGHRLDSSCSVQNSAPRANPQTPASLLETWQKSWVRCGRT
+>DECOY_XM_497547|597570_2_232_398
+TRGCRVWSKQWTELLSAPTQPNARPASNQVSCSSDLRHGKPPMLIRRRAEKLQDM
+>XM_292596|597816_2_10_125
+MVNPPCSLTSPSTASPWATSPSSCLQTSFQRRQKTFLL
+>DECOY_XM_292596|597816_2_10_125
+LLFTKQRRQFSTQLCSSPSTAWPSATSPSTLSCPPNVM
+>XM_001714652|597969_2_739_821
+MACLVSCPIPSSRFKCWSHRPPVLRTL
+>DECOY_XM_001714652|597969_2_739_821
+LTRLVPPRHSWCKFRSSPIPCSVLCAM
+>XM_001714652|597972_3_296_411
+MASVAAGFCPGGKFQALRQHWACRGPDTQGSTGPDSSR
+>DECOY_XM_001714652|597972_3_296_411
+RSSDPGTSGQTDPGRCAWHQRLAQFKGGPCFGAAVSAM
+>XM_002343543|597976_2_133_371
+MVRGGAQDPHARARASAGSQWVPLSKAGEGGRMEGFPGRSNPTGRADGGKGGGHVLETSPQPGTGFSSASPPVGVPLLW
+>DECOY_XM_002343543|597976_2_133_371
+WLLPVGVPPSASSFGTGPQPSTELVHGGGKGGDARGTPNSRGPFGEMRGGEGAKSLPVWQSGASARARAHPDQAGGRVM
+>XM_002343543|597977_2_229_371
+MEGFPGRSNPTGRADGGKGGGHVLETSPQPGTGFSSASPPVGVPLLW
+>DECOY_XM_002343543|597977_2_229_371
+WLLPVGVPPSASSFGTGPQPSTELVHGGGKGGDARGTPNSRGPFGEM
+>XM_002343545|598017_2_460_770
+MGRSTCSRDHATGSLPTSPSCRARHRTGSGARLQTTPMSSTAPSQWCPQVRGPLDFQRGSWRFCAGRVLVSKTQVDGKWDSSTRPLLRAERAQEATMPTLAPA
+>DECOY_XM_002343545|598017_2_460_770
+APALTPMTAEQAREARLLPRTSSDWKGDVQTKSVLVRGACFRWSGRQFDLPGRVQPCWQSPATSSMPTTQLRAGSGTRHRARCSPSTPLSGTAHDRSCTSRGM
+>XM_002343546|598178_2_2403_2491
+MAKVCFSTFKRCEKFCTTCRTGCKRQNKI
+>DECOY_XM_002343546|598178_2_2403_2491
+IKNQRKCGTRCTTCFKECRKFTSFCVKAM
+>XM_002343546|598184_2_6621_6703
+MACSPPSCETWPTSPMTAPSGSSLTET
+>DECOY_XM_002343546|598184_2_6621_6703
+TETLSSGSPATMPSTPWTECSPPSCAM
+>XM_002343546|598186_2_7677_7750
+MGRWPRTPSSGSTWTTGTGMTDIS
+>DECOY_XM_002343546|598186_2_7677_7750
+SIDTMGTGTTWTSGSSPTRPWRGM
+>XM_002343546|598198_2_11787_12598
+MENSIMCPWGRDKRWWLRTPWTWLQRKDTGSFCRYEGASTAGISTWWPGGWEHWTRSWSTTARAAMRTTGCSSARSLPPAPRPTSSPRAFWRTPSRSPTSPPRACTPTCTRPWTCSPRTPWRCAPRRWSSSACSSPCATSTLWWQRGASSAPRAGTGRTPSTTGTSPSPSTCSTTTWRPTPRCPGTISATFLVKSCMAATSQMTGTVGCAGPTWLNTSGRRCWRETSCWPPAFRSPPTWTTRVTTNTSMRTCPLRVPICMACTPTQRLAF
+>DECOY_XM_002343546|598198_2_11787_12598
+FALRQTPTCAMCIPVRLPCTRMSTNTTVRTTWTPPSRFAPPWCSTERWCRRGSTNLWTPGACGVTGTMQSTAAMCSKVLFTASITGPCRPTPRWTTTSCTSPSPSTGTTSPTRGTGARPASSAGRQWWLTSTACPSSCASSSWRRPACRWPTRPSCTWPRTCTPTCARPPSTPSRSPTRWFARPSSTPRPAPPLSRASSCGTTRMAARATTSWSRTWHEWGGPWWTSIGATSAGEYRCFSGTDKRQLWTWPTRLWWRKDRGWPCMISNEM
+>XM_002343546|598209_3_6892_6968
+MEPGGEQLDREAQGAVGEGQPDDPL
+>DECOY_XM_002343546|598209_3_6892_6968
+LPDDPQGEGVAGQAERDLQEGGPEM
+>XM_001722554|598374_3_135_217
+MGSKYPWINTDSCFSHRSSGLWQLQVP
+>DECOY_XM_001722554|598374_3_135_217
+PVQLQWLGSSRHSFCSDTNIWPYKSGM
+>XM_292717|598445_2_379_536
+MAPTQMLEICMATLLCTMPLIMRIYQWQENCLHMVQILKQEARMDIHHFYSL
+>DECOY_XM_292717|598445_2_379_536
+LSYFHHIDMRAEQKLIQVMHLCNEQWQYIRMILPMTCLLTAMCIELMQTPAM
+>XM_292717|598451_2_1048_1139
+MASLIGLQGKPLMKRARLKAKYISRMTLMT
+>DECOY_XM_292717|598451_2_1048_1139
+TMLTMRSIYKAKLRARKMLPKGQLGILSAM
+>XM_292717|598458_2_2320_2411
+MDYFNYKAKICCINSSVMMLARKLTIRRKQ
+>DECOY_XM_292717|598458_2_2320_2411
+QKRRITLKRALMMVSSNICCIKAKYNFYDM
+>XM_929288|598497_2_517_605
+MATLKKQKKSLKDYLVIQILICLSKANCL
+>DECOY_XM_929288|598497_2_517_605
+LCNAKSLCILIQIVLYDKLSKKQKKLTAM
+>XM_001714438|598731_2_700_926
+MDLRMPARQSTMSARRRMDLRMPARQSTVSTSRRMDLRMPARQSTVSTSRRMDLRMPGRQSTVSTRRRMDLRMPA
+>DECOY_XM_001714438|598731_2_700_926
+APMRLDMRRRTSVTSQRGPMRLDMRRSTSVTSQRAPMRLDMRRSTSVTSQRAPMRLDMRRRASMTSQRAPMRLDM
+>XM_001714438|598734_2_751_926
+MDLRMPARQSTVSTSRRMDLRMPARQSTVSTSRRMDLRMPGRQSTVSTRRRMDLRMPA
+>DECOY_XM_001714438|598734_2_751_926
+APMRLDMRRRTSVTSQRGPMRLDMRRSTSVTSQRAPMRLDMRRSTSVTSQRAPMRLDM
+>XM_001714438|598736_2_802_926
+MDLRMPARQSTVSTSRRMDLRMPGRQSTVSTRRRMDLRMPA
+>DECOY_XM_001714438|598736_2_802_926
+APMRLDMRRRTSVTSQRGPMRLDMRRSTSVTSQRAPMRLDM
+>XM_001714438|598738_2_853_926
+MDLRMPGRQSTVSTRRRMDLRMPA
+>DECOY_XM_001714438|598738_2_853_926
+APMRLDMRRRTSVTSQRGPMRLDM
+>XM_001714438|598739_2_955_1427
+MDLRMPARQSTVSTRRRMDLRMPARQSTVSTRRRMDLRMPARQSTSTVSTRRRMDLRMPARQSTVSTRRMDLRMPGRQSTVSTRRMDLRMPGQQSTVSTRRMDLRMPAAQSTVLATEQDGPALLSCHHAGIIFTSHLKRPIVPMSQGLSAAALTVNS
+>DECOY_XM_001714438|598739_2_955_1427
+SNVTLAAASLGQSMPVIPRKLHSTFIIGAHHCSLLAPGDQETALVTSQAAPMRLDMRRTSVTSQQGPMRLDMRRTSVTSQRGPMRLDMRRTSVTSQRAPMRLDMRRRTSVTSTSQRAPMRLDMRRRTSVTSQRAPMRLDMRRRTSVTSQRAPMRLDM
+>XM_001714438|598741_2_1006_1427
+MDLRMPARQSTVSTRRRMDLRMPARQSTSTVSTRRRMDLRMPARQSTVSTRRMDLRMPGRQSTVSTRRMDLRMPGQQSTVSTRRMDLRMPAAQSTVLATEQDGPALLSCHHAGIIFTSHLKRPIVPMSQGLSAAALTVNS
+>DECOY_XM_001714438|598741_2_1006_1427
+SNVTLAAASLGQSMPVIPRKLHSTFIIGAHHCSLLAPGDQETALVTSQAAPMRLDMRRTSVTSQQGPMRLDMRRTSVTSQRGPMRLDMRRTSVTSQRAPMRLDMRRRTSVTSTSQRAPMRLDMRRRTSVTSQRAPMRLDM
+>XM_001714438|598743_2_1057_1427
+MDLRMPARQSTSTVSTRRRMDLRMPARQSTVSTRRMDLRMPGRQSTVSTRRMDLRMPGQQSTVSTRRMDLRMPAAQSTVLATEQDGPALLSCHHAGIIFTSHLKRPIVPMSQGLSAAALTVNS
+>DECOY_XM_001714438|598743_2_1057_1427
+SNVTLAAASLGQSMPVIPRKLHSTFIIGAHHCSLLAPGDQETALVTSQAAPMRLDMRRTSVTSQQGPMRLDMRRTSVTSQRGPMRLDMRRTSVTSQRAPMRLDMRRRTSVTSTSQRAPMRLDM
+>XM_001714438|598745_2_1114_1427
+MDLRMPARQSTVSTRRMDLRMPGRQSTVSTRRMDLRMPGQQSTVSTRRMDLRMPAAQSTVLATEQDGPALLSCHHAGIIFTSHLKRPIVPMSQGLSAAALTVNS
+>DECOY_XM_001714438|598745_2_1114_1427
+SNVTLAAASLGQSMPVIPRKLHSTFIIGAHHCSLLAPGDQETALVTSQAAPMRLDMRRTSVTSQQGPMRLDMRRTSVTSQRGPMRLDMRRTSVTSQRAPMRLDM
+>XM_001714438|598747_2_1162_1427
+MDLRMPGRQSTVSTRRMDLRMPGQQSTVSTRRMDLRMPAAQSTVLATEQDGPALLSCHHAGIIFTSHLKRPIVPMSQGLSAAALTVNS
+>DECOY_XM_001714438|598747_2_1162_1427
+SNVTLAAASLGQSMPVIPRKLHSTFIIGAHHCSLLAPGDQETALVTSQAAPMRLDMRRTSVTSQQGPMRLDMRRTSVTSQRGPMRLDM
+>XM_001714438|598749_2_1210_1427
+MDLRMPGQQSTVSTRRMDLRMPAAQSTVLATEQDGPALLSCHHAGIIFTSHLKRPIVPMSQGLSAAALTVNS
+>DECOY_XM_001714438|598749_2_1210_1427
+SNVTLAAASLGQSMPVIPRKLHSTFIIGAHHCSLLAPGDQETALVTSQAAPMRLDMRRTSVTSQQGPMRLDM
+>XM_001714438|598751_2_1258_1427
+MDLRMPAAQSTVLATEQDGPALLSCHHAGIIFTSHLKRPIVPMSQGLSAAALTVNS
+>DECOY_XM_001714438|598751_2_1258_1427
+SNVTLAAASLGQSMPVIPRKLHSTFIIGAHHCSLLAPGDQETALVTSQAAPMRLDM
+>XM_001714438|598756_2_2206_2546
+MEPMAEIDVSMGPRPFFLGTSKGHPQIILVVIQSRLPVLLRPVGFPLSSPVLLRPALQDVPSGARHPQKAFPTPQRWPCWQTLAKVWWQCQSPRDRRACHKLHTRVLPDTAAP
+>DECOY_XM_001714438|598756_2_2206_2546
+PAATDPLVRTHLKHCARRDRPSQCQWWVKALTQWCPWRQPTPFAKQPHRAGSPVDQLAPRLLVPSSLPFGVPRLLVPLRSQIVVLIIQPHGKSTGLFFPRPGMSVDIEAMPEM
+>XM_001717044|598771_2_37_116
+MEAVTSKGTQAWISAVTMEAVTSKGT
+>DECOY_XM_001717044|598771_2_37_116
+TGKSTVAEMTVASIWAQTGKSTVAEM
+>XM_001717044|598772_2_139_218
+MEAVTSKGTRARSSAVTMEAVTSRGT
+>DECOY_XM_001717044|598772_2_139_218
+TGRSTVAEMTVASSRARTGKSTVAEM
+>XM_001717044|598773_2_241_380
+MEAVTSKGTRARSSAVTMEAVTSKGTRARISVVTGKGGAPETKAWR
+>DECOY_XM_001717044|598773_2_241_380
+RWAKTEPAGGKGTVVSIRARTGKSTVAEMTVASSRARTGKSTVAEM
+>XM_001717044|598774_2_292_380
+MEAVTSKGTRARISVVTGKGGAPETKAWR
+>DECOY_XM_001717044|598774_2_292_380
+RWAKTEPAGGKGTVVSIRARTGKSTVAEM
+>XM_001717762|598798_3_185_306
+MEPCGNCVRTLGAAVLGQELPGNHRSLGWGSRELESRGRD
+>DECOY_XM_001717762|598798_3_185_306
+DRGRSELERSGWGLSRHNGPLEQGLVAAGLTRVCNGCPEM
+>XM_001726949|598980_2_106_224
+MEGTSLRVIVMEKTSSVCTRKPLLDRNFPNLIHVEKSSP
+>DECOY_XM_001726949|598980_2_106_224
+PSSKEVHILNPFNRDLLPKRTCVSSTKEMVIVRLSTGEM
+>XM_001726949|598981_2_136_224
+MEKTSSVCTRKPLLDRNFPNLIHVEKSSP
+>DECOY_XM_001726949|598981_2_136_224
+PSSKEVHILNPFNRDLLPKRTCVSSTKEM
+>XM_002343641|599006_2_436_530
+MERSHINVRNVGNPSVICSLLKNIKEHTVQG
+>DECOY_XM_002343641|599006_2_436_530
+GQVTHEKINKLLSCIVSPNGVNRVNIHSREM
+>XM_002343641|599019_3_80_186
+MDFAGSFTEKTLQRRDVGNLQEPGHYRNKTKRMEH
+>DECOY_XM_002343641|599019_3_80_186
+HEMRKTKNRYHGPEQLNGVDRRQLTKETFSGAFDM
+>XM_001719779|599164_2_235_467
+MGVPLQADLRGNSPTPALDPPAPLNPLTWEPRNLGLRSWIQSRALLLPSSSSRTQQHPFPLRAAVGPIRLSPRILCR
+>DECOY_XM_001719779|599164_2_235_467
+RCLIRPSLRIPGVAARLPFPHQQTRSSSSPLLLARSQIWSRLGLNRPEWTLPNLPAPPDLAPTPSNGRLDAQLPVGM
+>XM_001726961|599185_2_773_900
+MAKPLTGPQPLLIREFILERNPANVKNVAKPLVSSQSLLSIR
+>DECOY_XM_001726961|599185_2_773_900
+RISLLSQSSVLPKAVNKVNAPNRELIFERILLPQPGTLPKAM
+>XM_001719792|599196_2_742_1205
+MAKPLMSPQTVLHIKELLRKNLTNVKNVAKPLTGFHILLHIREFILEKNPTNVRNVANFLTNPQTLLHIKEFILERNPINVKNVAKPLTSPQTLLNIRKFILKSNHTNAKNVAKLLSGPQPLQNIKEFIMEKNPTNVKNVAKLLTDPQPLIDIR
+>DECOY_XM_001719792|599196_2_742_1205
+RIDILPQPDTLLKAVNKVNTPNKEMIFEKINQLPQPGSLLKAVNKANTHNSKLIFKRINLLTQPSTLPKAVNKVNIPNRELIFEKIHLLTQPNTLFNAVNRVNTPNKELIFERIHLLIHFGTLPKAVNKVNTLNKRLLEKIHLVTQPSMLPKAM
+>XM_001719792|599198_2_1129_1205
+MEKNPTNVKNVAKLLTDPQPLIDIR
+>DECOY_XM_001719792|599198_2_1129_1205
+RIDILPQPDTLLKAVNKVNTPNKEM
+>XM_001719802|599204_2_94_248
+MVGCTKENVAKLLNSPQILLNIREFLLERNTTNVKNLAKILSGLQIFLKMR
+>DECOY_XM_001719802|599204_2_94_248
+RMKLFIQLGSLIKALNKVNTTNRELLFERINLLIQPSNLLKAVNEKTCGVM
+>XM_002343672|599233_2_190_275
+MGKSPGWWRKNCQKCIFYPFLLSLLLLL
+>DECOY_XM_002343672|599233_2_190_275
+LLLLLSLLFPYFICKQCNKRWWGPSKGM
+>XM_001128360|599278_2_478_551
+MGPEVVRLRSGWGRRRGVDQEPAT
+>DECOY_XM_001128360|599278_2_478_551
+TAPEQDVGRRRGWGSRLRVVEPGM
+>XM_933621|599327_2_604_863
+MEGTSRSGWQRRPVTKRRPSSRQRRRGCGCWAGTAACGRGPREAEERGARTCPTTTTTTTSQRLSPGRRRRRLRRGRKRRWSSWST
+>DECOY_XM_933621|599327_2_604_863
+TSWSSWRRKRGRRLRRRRRGPSLRQSTTTTTTTPCTRAGREEAERPGRGCAATGAWCGCGRRRQRSSPRRKTVPRRQWGSRSTGEM
+>XM_371176|599407_2_381_520
+MAGSSPSPQSHAMTPAPTTVRPGTTWDPGSVKPSWLAWLMARIPPS
+>DECOY_XM_371176|599407_2_381_520
+SPPIRAMLWALWSPKVSGPDWTTGPRVTTPAPTMAHSQPSPSSGAM
+>XM_373809|599458_3_11_96
+MVAQRKTEESEEPKQAPVPKQEAEEKPH
+>DECOY_XM_373809|599458_3_11_96
+HPKEEAEQKPVPAQKPEESEETKRQAVM
+>XM_085831|599589_2_1897_2060
+MGGNSTSATSAWIGTWEITPCCAVGRWVLAVTRSPSLDPPYPRGGFREPEMQPC
+>DECOY_XM_085831|599589_2_1897_2060
+CPQMEPERFGGRPYPPDLSPSRTVALVWRGVACCPTIEWTGIWASTASTSNGGM
+>XM_085831|599592_3_2111_2430
+MARWACSGQDFHLQGLGLPAHPGASGAVSRGAPSTSEPRDLDLSDPAHPGGPARDSITKPGLPGRPHVEPWGHRWHRPGLPAGPGAASRTSPPLHLLPVPLSWKDS
+>DECOY_XM_085831|599592_3_2111_2430
+SDKWSLPVPLLHLPPSTRSAAGPGAPLGPRHWRHGWPEVHPRGPLGPKTISDRAPGGPHAPDSLDLDRPESTSPAGRSVAGSAGPHAPLGLGQLHFDQGSCAWRAM
+>XM_001134195|599598_3_133_359
+MAPCASPSPSTLAPELHRPHPPGAPGERGLCHRAHTPGHPLLPPAQRPAGGLHLPTGLALLPLLLPGRPLPAGGS
+>DECOY_XM_001134195|599598_3_133_359
+SGGAPLPRGPLLLPLLALGTPLHLGGAPRQAPPLLPHGPTHARHCLGREGPAGPPHPRHLEPALTSPSPSACPAM
+>XM_496322|599635_2_517_647
+MGIISSILHYSHRNRMYTGKKNLSNLMRVANPLIVAHSLKNIR
+>DECOY_XM_496322|599635_2_517_647
+RINKLSHAVILPNAVRMLNSLNKKGTYMRNRHSYHLISSIIGM
+>XM_929435|599661_3_92_177
+MGCSRLCGGSSAISSEARRGSDRPLCLK
+>DECOY_XM_929435|599661_3_92_177
+KLCLPRDSGRRAESSIASSGGCLRSCGM
+>XM_001718400|599703_2_1039_1178
+MARSPAHSAGRFSRSPVTSTSTRSSTRARSPSPAPCAAKASTAGRV
+>DECOY_XM_001718400|599703_2_1039_1178
+VRGATSAKAACPAPSPSRARTSSRTSTSTVPSRSFRGASHAPSRAM
+>XM_001718473|599757_2_199_392
+MVQHHRQPPRLAGQFPPASQAPSPFRPPRTPGLQTGEPRGAYAKPLALGRLSQGTSNITYVLIS
+>DECOY_XM_001718473|599757_2_199_392
+SILVYTINSTGQSLRGLALPKAYAGRPEGTQLGPTRPPRFPSPAQSAPPFQGALRPPQRHHQVM
+>XM_001130238|599929_2_264_490
+MGSPTPPRLSSPLTQDKRARARAGTGPSRIFVDCTPRPGATRSSSPLPAPSTRPHCRRLEATSWQRQESSQKFRL
+>DECOY_XM_001130238|599929_2_264_490
+LRFKQSSEQRQWSTAELRRCHPRTSPAPLPSSSRTAGPRPTCDVFIRSPGTGARARARKDQTLPSSLRPPTPSGM
+>XM_002343723|600042_2_744_847
+MAPDLPQRMRTRSGPTGWPSRVPYYHPSSSRPFG
+>DECOY_XM_002343723|600042_2_744_847
+GFPRSSSPHYYPVRSPWGTPGSRTRMRQPLDPAM
+>XM_001721816|600144_2_2402_2520
+MGKRELGPAGGGRALTRCRPAGQVQGGGGPLPWDSPGCP
+>DECOY_XM_001721816|600144_2_2402_2520
+PCGPSDWPLPGGGGQVQGAPRCRTLARGGGAPGLERKGM
+>XM_001722110|600184_2_1463_1575
+MENTEHIVLLSSIMNVMTVAWLLGMCHNLLDIRKSIR
+>DECOY_XM_001722110|600184_2_1463_1575
+RISKRIDLLNHCMGLLWAVTMVNMISSLLVIHETNEM
+>XM_001722110|600186_2_1592_1746
+MVKIQGALGIAHHLQCSREYVHYINTLNVTNVVKLLTDLQRLFNIRACTVD
+>DECOY_XM_001722110|600186_2_1592_1746
+DVTCARINFLRQLDTLLKVVNTVNLTNIYHVYERSCQLHHAIGLAGQIKVM
+>XM_002343721|600202_2_556_650
+MALPSTFTCSGSLSRSWRISLAWSPWPGQQR
+>DECOY_XM_002343721|600202_2_556_650
+RQQGPWPSWALSIRWSRSLSGSCTFTSPLAM
+>XM_002343714|600346_2_514_611
+MAKEKKRAIWFCMEMPMDRPAFIYIALNYHKN
+>DECOY_XM_002343714|600346_2_514_611
+NKHYNLAIYIFAPRDMPMEMCFWIARKKEKAM
+>XM_002343741|600453_2_52_137
+MVVGMAVYTAPTVAVTVIMVVMKINILL
+>DECOY_XM_002343741|600453_2_52_137
+LLINIKMVVMIVTVAVTPATYVAMGVVM
+>XM_002343741|600454_2_64_137
+MAVYTAPTVAVTVIMVVMKINILL
+>DECOY_XM_002343741|600454_2_64_137
+LLINIKMVVMIVTVAVTPATYVAM
+>XM_001721321|600473_3_386_462
+MDPADARSPSASVLLRGGHVPSPYP
+>DECOY_XM_001721321|600473_3_386_462
+PYPSPVHGGRLLVSASPSRADAPDM
+>XM_932905|600518_3_41_228
+MGSGDRRRGRSGRSHWQPLPTRGRFGQSLLGKRGGGDWAGPERVLCLAAHRLSDLDLAVAGP
+>DECOY_XM_932905|600518_3_41_228
+PGAVALDLDSLRHAALCLVREPGAWDGGGRKGLLSQGFRGRTPLPQWHSRGSRGRRRDGSGM
+>XM_002343754|600538_2_706_809
+MVPCRPPSCPQSATWGRSCPASPFQNKASRESVP
+>DECOY_XM_002343754|600538_2_706_809
+PVSERSAKNQFPSAPCSRGWTASQPCSPPRCPVM
+>XM_001722818|600552_2_187_410
+MGSCSRSGRAPPPPGSVPARGSAGAAHFLPPAEAACGAAPTWRNQNQGKSLAASLESPDGVPAPSRRFSGPCLG
+>DECOY_XM_001722818|600552_2_187_410
+GLCPGSFRRSPAPVGDPSELSAALSKGQNQNRWTPAAGCAAEAPPLFHAAGASGRAPVSGPPPPARGSRSCSGM
+>XM_001722818|600554_2_1021_1241
+MAVNPTPSRATATTTLCRVSCAVHPTPRNSSQAWGALGDRAGLGMEVSPGRVQAAVRAFVSVPYAGQVQHPGG
+>DECOY_XM_001722818|600554_2_1021_1241
+GGPHQVQGAYPVSVFARVAAQVRGPSVEMGLGARDGLAGWAQSSNRPTPHVACSVRCLTTTATARSPTPNVAM
+>XM_001722818|600555_2_1153_1241
+MEVSPGRVQAAVRAFVSVPYAGQVQHPGG
+>DECOY_XM_001722818|600555_2_1153_1241
+GGPHQVQGAYPVSVFARVAAQVRGPSVEM
+>XM_001726296|600614_2_793_956
+MGQHHWPCSVQPQPPWDPRHLRHPHHFLAATGCWNRFQFPGKFLVFASPSPSME
+>DECOY_XM_001726296|600614_2_793_956
+EMSPSPSAFVLFKGPFQFRNWCGTAALFHHPHRLHRPDWPPQPQVSCPWHHQGM
+>XM_001726296|600615_3_74_291
+MGSSSGETGGCLPASPDPCQVQLWRCDNPLSTSPASQMQGKRDVASATGVCGQSRILGSETFPVIYLGFKCT
+>DECOY_XM_001726296|600615_3_74_291
+TCKFGLYIVPFTESGLIRSQGCVGTASAVDRKGQMQSAPSTSLPNDCRWLQVQCPDPSAPLCGGTEGSSSGM
+>XM_002344189|600658_2_1018_1151
+MVMGWLGLAGSLLSFSEGRSSVGPNTVPRLCRDILLTASFSAIL
+>DECOY_XM_002344189|600658_2_1018_1151
+LIASFSATLLIDRCLRPVTNPGVSSRGESFSLLSGALGLWGMVM
+>XM_002344189|600659_2_1024_1151
+MGWLGLAGSLLSFSEGRSSVGPNTVPRLCRDILLTASFSAIL
+>DECOY_XM_002344189|600659_2_1024_1151
+LIASFSATLLIDRCLRPVTNPGVSSRGESFSLLSGALGLWGM
+>XM_002344189|600662_3_902_993
+MGRTGGGAGRGHLCQAGVAWAGGCLPIPGT
+>DECOY_XM_002344189|600662_3_902_993
+TGPIPLCGGAWAVGAQCLHGRGAGGGTRGM
+>XM_001719325|600676_2_127_221
+MGSSAGPAAGEPLALGAPPRSRTVATPTSAP
+>DECOY_XM_001719325|600676_2_127_221
+PASTPTAVTRSRPPAGLALPEGAAPGASSGM
+>XM_002343784|600872_2_25_287
+MERGPGARGRGPGWPSHGTTWRPVVARLPGAAWTAMGLGPGLLMGLPSGALTPARDSEHPHPSPARPGRAALLAGAPVEARPGRRLP
+>DECOY_XM_002343784|600872_2_25_287
+PLRRGPRAEVPAGALLAARGPRAPSPHPHESDRAPTLAGSPLGMLLGPGLGMATWAAGPLRAVVPRWTTGHSPWGPGRGRAGPGREM
+>XM_002343784|600873_2_130_287
+MGLGPGLLMGLPSGALTPARDSEHPHPSPARPGRAALLAGAPVEARPGRRLP
+>DECOY_XM_002343784|600873_2_130_287
+PLRRGPRAEVPAGALLAARGPRAPSPHPHESDRAPTLAGSPLGMLLGPGLGM
+>XM_001129377|600903_2_442_638
+MGGCPGLASSSPASSWPARASLWARAWRQSWKTSGLSSSSSLSCAPSAPVRCSAGIERCFGRGRD
+>DECOY_XM_001129377|600903_2_442_638
+DRGRGFCREIGASCRVPASPACSLSSSSSLGSTKWSQRWARAWLSARAPWSSAPSSSALGPCGGM
+>XM_001129425|600923_2_442_623
+MGGCPGLASSSPASSWPARASLWARAWRQSWKTSGLSSSSSLSCGMCSAGIERCFGRGRD
+>DECOY_XM_001129425|600923_2_442_623
+DRGRGFCREIGASCMGCSLSSSSSLGSTKWSQRWARAWLSARAPWSSAPSSSALGPCGGM
+>XM_002343788|600958_3_353_531
+MAKEGKGNGRQEMARKGKSTATLLPAGLSRDNYSAGWDVATPLWHPCCSLRFLRPVFLV
+>DECOY_XM_002343788|600958_3_353_531
+VLFVPRLFRLSCCPHWLPTAVDWGASYNDRSLGAPLLTATSKGKRAMEQRGNGKGEKAM
+>XM_002343788|600959_3_389_531
+MARKGKSTATLLPAGLSRDNYSAGWDVATPLWHPCCSLRFLRPVFLV
+>DECOY_XM_002343788|600959_3_389_531
+VLFVPRLFRLSCCPHWLPTAVDWGASYNDRSLGAPLLTATSKGKRAM
+>XM_002343807|601271_3_62_165
+MDPLLYVHGPRGVANMVHERHLALPPWNRLRGNI
+>DECOY_XM_002343807|601271_3_62_165
+INGRLRNWPPLALHREHVMNAVGRPGHVYLLPDM
+>XM_001130425|601286_2_22_113
+MGKEPFSAKFAEEKLLKRLQGFGISQEALN
+>DECOY_XM_001130425|601286_2_22_113
+NLAEQSIGFGQLRKLLKEEAFKASFPEKGM
+>XM_002343801|601359_3_143_378
+MASAPKLPPRLRRRRQQPRQPGAPRLLCVPVHGLLLRPGRRDPGAFQPLLPEPVAREEGARPEADNAAEPARWPHLPS
+>DECOY_XM_002343801|601359_3_143_378
+SPLHPWRAPEAANDAEPRAGEERAVPEPLLPQFAGPDRRGPRLLLGHVPVCLLRPAGPQRPQQRRRRLRPPLKPASAM
+>XM_927978|601447_2_1984_2153
+MAPSSPRIQSWTLKPLCQTHTVPLRKASSSPPSFQSSSSANKSPCTTQVCRHPGRL
+>DECOY_XM_927978|601447_2_1984_2153
+LRGPHRCVQTTCPSKNASSSSQFSPPSSSAKRLPVTHTQCLPKLTWSQIRPSSPAM
+>XM_001721427|601465_2_733_809
+MANLSIDLQNLLNIKKSYWRETVHM
+>DECOY_XM_001721427|601465_2_733_809
+MHVTERWYSKKINLLNQLDISLNAM
+>XM_001723116|601521_2_24_187
+METHRFAASKWAASGTYVLESGRRVGETTEAPQYPRRSRPYALKHPDFMESSRY
+>DECOY_XM_001723116|601521_2_24_187
+YRSSEMFDPHKLAYPRSRRPYQPAETTEGVRRGSELVYTGSAAWKSAAFRHTEM
+>XM_001717980|601531_2_493_653
+MDTAVQTPFQCPHSLPLTSRCPGLRYTSLLRTAKARSSGLIMKVRWCPLVTEA
+>DECOY_XM_001717980|601531_2_493_653
+AETVLPCWRVKMILGSSRAKATRLLSTYRLGPCRSTLPLSHPCQFPTQVATDM
+>XM_042978|601647_2_1258_1409
+MASAMLLTSKPTSPLCCPSSARSARSSCSGRTRAWPGWRPASWMPSLWCC
+>DECOY_XM_042978|601647_2_1258_1409
+CCWLSPMWSAPRWGPWARTRGSCSSRASRASSPCCLPSTPKSTLLMASAM
+>XM_001723892|601700_2_232_443
+MDQLREARRRTLMPSKGHCLDSSCSVQNSTPRSNPQILASLLETWQKSWVRCGLTIVTGKSSLTSLRQQT
+>DECOY_XM_001723892|601700_2_232_443
+TQQRLSTLSSKGTVITLGCRVWSKQWTELLSALIQPNSRPTSNQVSCSSDLCHGKSPMLTRRRAERLQDM
+>XM_001714830|601791_3_134_261
+MAKILEYLDFSQEALHFLSGPGITADRSPESAEAHRFINSRD
+>DECOY_XM_001714830|601791_3_134_261
+DRSNIFRHAEASEPSRDATIGPGSLFHLAEQSFDLYELIKAM
+>XM_001714994|601813_2_511_629
+MGLRHVPWLQSSRAIWWPWGHMLMPRCQPRQMFFMCQDL
+>DECOY_XM_001714994|601813_2_511_629
+LDQCMFFMQRPQCRPMLMHGWPWWIARSSQLWPVHRLGM
+>XM_001719011|601870_2_877_977
+MARMDILRISREYITQEITEAATKRKVLSVPKE
+>DECOY_XM_001719011|601870_2_877_977
+EKPVSLVKRKTAAETIEQTIYERSIRLIDMRAM
+>XM_001719011|601871_2_886_977
+MDILRISREYITQEITEAATKRKVLSVPKE
+>DECOY_XM_001719011|601871_2_886_977
+EKPVSLVKRKTAAETIEQTIYERSIRLIDM
+>XM_002343850|601960_2_868_995
+MVLLSLTMPMKRRWKMANKQLIIYCQLFHRGLLIHQKLVFQP
+>DECOY_XM_002343850|601960_2_868_995
+PQFVLKQHILLGRHFLQCYIILQKNAMKWRRKMPMTLSLLVM
+>XM_002343850|601963_2_913_995
+MANKQLIIYCQLFHRGLLIHQKLVFQP
+>DECOY_XM_002343850|601963_2_913_995
+PQFVLKQHILLGRHFLQCYIILQKNAM
+>XM_002343850|601974_2_2644_2726
+MANQHPIMSSQLFHQHLLIWQQLVFHP
+>DECOY_XM_002343850|601974_2_2644_2726
+PHFVLQQWILLHQHFLQSSMIPHQNAM
+>XM_002343851|601980_2_169_353
+MEASLGGPEPNGVINEEAKAGATGKRRGRAPANGGRASGENRAFPVLQLPGNWHCRRRQGC
+>DECOY_XM_002343851|601980_2_169_353
+CGQRRRCHWNGPLQLVPFARNEGSARGGNAPARGRRKGTAGAKAEENIVGNPEPGGLSAEM
+>XM_001713713|602067_2_634_746
+MAWKGCLSLPSCSQRPTFTQQILPLPGTTRGPLGALT
+>DECOY_XM_001713713|602067_2_634_746
+TLAGLPGRTTGPLPLIQQTFTPRQSCSPLSLCGKWAM
+>XM_001127139|602149_2_772_869
+MVAGHGHAGPLPPNQAPCAGTGHRRAGPLTLP
+>DECOY_XM_001127139|602149_2_772_869
+PLTLPGARRHGTGACPAQNPPLPGAHGHGAVM
+>XM_001127139|602162_2_4834_5039
+MGSPPALLFHRSHIPSKGERWSGSNFKIIRFGQQWSRVSATQTRPRGCSCLRPTCTMESGAFKFLFEG
+>DECOY_XM_001127139|602162_2_4834_5039
+GEFLFKFAGSEMTCTPRLCSCGRPRTQTASVRSWQQGFRIIKFNSGSWREGKSPIHSRHFLLAPPSGM
+>XM_001127139|602164_2_5266_5345
+MATWKTGRRRPPWAGRGLARKSSRTG
+>DECOY_XM_001127139|602164_2_5266_5345
+GTRSSKRALGRGAWPPRRRGTKWTAM
+>XM_001127139|602168_3_785_858
+MGMQVHCPQTRLPVRGQVTGEQGH
+>DECOY_XM_001127139|602168_3_785_858
+HGQEGTVQGRVPLRTQPCHVQMGM
+>XM_001127139|602169_3_1055_1458
+MGVQGHCPYSRCPARRQVSSTHGHCPYSRCPWQGQVTGTQRHCFYSRDPAGKQVICVQGHCPCSRCPAWRQVSSAHGLCPYSRRPSQGQVTGPQRHCFYSRDPAGKHVICVQGHCPYSRCPARLQVMGAQGHCT
+>DECOY_XM_001127139|602169_3_1055_1458
+TCHGQAGMVQLRAPCRSYPCHGQVCIVHKGAPDRSYFCHRQPGTVQGQSPRRSYPCLGHASSVQRWAPCRSCPCHGQVCIVQKGAPDRSYFCHRQTGTVQGQWPCRSYPCHGHTSSVQRRAPCRSYPCHGQVGM
+>XM_001127139|602173_3_2405_2559
+MGGYGHCTYSRRPAWWQIKEMQGHCYYSRRPAWWQVTETQGRCSYSRGPAR
+>DECOY_XM_001127139|602173_3_2405_2559
+RAPGRSYSCRGQTETVQWWAPRRSYYCHGQMEKIQWWAPRRSYTCHGYGGM
+>XM_001127165|602218_3_1595_1695
+MDAQGHCPYSRHSEQLQVTVPQDHCLYSSHPAW
+>DECOY_XM_001127165|602218_3_1595_1695
+WAPHSSYLCHDQPVTVQLQESHRSYPCHGQADM
+>XM_001717013|602260_2_664_758
+MASRHLRRPGATSAMRTSLGHLGLHLRDTWG
+>DECOY_XM_001717013|602260_2_664_758
+GWTDRLHLGLHGLSTRMASTAGPRRLHRSAM
+>XM_001716169|602267_3_11_105
+MALFHIVCVLAKLQGVTRLAHPILWRVLAQR
+>DECOY_XM_001716169|602267_3_11_105
+RQALVRWLIPHALRTVGQLKALVCVIHFLAM
+>XM_002344203|602407_2_610_758
+MGTSSMWTYLRATCGSWSRRARVLYCSSTVCLWLTCRPSRSASTSTLTS
+>DECOY_XM_002344203|602407_2_610_758
+STLTSTSASRSPRCTLWLCVTSSCYLVRARRSWSGCTARLYTWMSSTGM
+>XM_002344215|602426_2_1519_1643
+MDSNGMECHGMASNGMASNGMESNAMECYGMEWNALEWNVL
+>DECOY_XM_002344215|602426_2_1519_1643
+LVNWELANWEMGYCEMANSEMGNSAMGNSAMGHCEMGNSDM
+>XM_002344215|602427_2_1534_1643
+MECHGMASNGMASNGMESNAMECYGMEWNALEWNVL
+>DECOY_XM_002344215|602427_2_1534_1643
+LVNWELANWEMGYCEMANSEMGNSAMGNSAMGHCEM
+>XM_002344215|602428_2_1549_1643
+MASNGMASNGMESNAMECYGMEWNALEWNVL
+>DECOY_XM_002344215|602428_2_1549_1643
+LVNWELANWEMGYCEMANSEMGNSAMGNSAM
+>XM_002344215|602429_2_1564_1643
+MASNGMESNAMECYGMEWNALEWNVL
+>DECOY_XM_002344215|602429_2_1564_1643
+LVNWELANWEMGYCEMANSEMGNSAM
+>XM_002344216|602455_2_1414_1538
+MDSNGMECHGMASNGMTSNGMESNAMECYGMEWNALEWNVL
+>DECOY_XM_002344216|602455_2_1414_1538
+LVNWELANWEMGYCEMANSEMGNSTMGNSAMGHCEMGNSDM
+>XM_002344216|602456_2_1429_1538
+MECHGMASNGMTSNGMESNAMECYGMEWNALEWNVL
+>DECOY_XM_002344216|602456_2_1429_1538
+LVNWELANWEMGYCEMANSEMGNSTMGNSAMGHCEM
+>XM_002344216|602457_2_1444_1538
+MASNGMTSNGMESNAMECYGMEWNALEWNVL
+>DECOY_XM_002344216|602457_2_1444_1538
+LVNWELANWEMGYCEMANSEMGNSTMGNSAM
+>XM_002344219|602560_2_1534_1658
+MDSNGMECHGMASNGMTSNGMESNAMECYGMEWNALEWNVR
+>DECOY_XM_002344219|602560_2_1534_1658
+RVNWELANWEMGYCEMANSEMGNSTMGNSAMGHCEMGNSDM
+>XM_002344219|602561_2_1549_1658
+MECHGMASNGMTSNGMESNAMECYGMEWNALEWNVR
+>DECOY_XM_002344219|602561_2_1549_1658
+RVNWELANWEMGYCEMANSEMGNSTMGNSAMGHCEM
+>XM_002344219|602562_2_1564_1658
+MASNGMTSNGMESNAMECYGMEWNALEWNVR
+>DECOY_XM_002344219|602562_2_1564_1658
+RVNWELANWEMGYCEMANSEMGNSTMGNSAM
+>XM_002344225|602780_2_552_649
+MECKKWNPKSLTGKAGCRKEMTPMEWNRMEWK
+>DECOY_XM_002344225|602780_2_552_649
+KWEMRNWEMPTMEKRCGAKGTLSKPNWKKCEM
+>XM_002344228|602869_2_1534_1658
+MDSNGMECHGMASNGMASNGMESNAMECYGMEWNALEWKVL
+>DECOY_XM_002344228|602869_2_1534_1658
+LVKWELANWEMGYCEMANSEMGNSAMGNSAMGHCEMGNSDM
+>XM_002344228|602870_2_1549_1658
+MECHGMASNGMASNGMESNAMECYGMEWNALEWKVL
+>DECOY_XM_002344228|602870_2_1549_1658
+LVKWELANWEMGYCEMANSEMGNSAMGNSAMGHCEM
+>XM_002344228|602871_2_1564_1658
+MASNGMASNGMESNAMECYGMEWNALEWKVL
+>DECOY_XM_002344228|602871_2_1564_1658
+LVKWELANWEMGYCEMANSEMGNSAMGNSAM
+>XM_002344228|602872_2_1579_1658
+MASNGMESNAMECYGMEWNALEWKVL
+>DECOY_XM_002344228|602872_2_1579_1658
+LVKWELANWEMGYCEMANSEMGNSAM
+>XM_002344229|602902_2_1534_1658
+MDSNGMECHGMASNVMASNGMESNAVECYGMEWNALEWNVL
+>DECOY_XM_002344229|602902_2_1534_1658
+LVNWELANWEMGYCEVANSEMGNSAMVNSAMGHCEMGNSDM
+>XM_002344229|602903_2_1549_1658
+MECHGMASNVMASNGMESNAVECYGMEWNALEWNVL
+>DECOY_XM_002344229|602903_2_1549_1658
+LVNWELANWEMGYCEVANSEMGNSAMVNSAMGHCEM
+>XM_002344229|602904_2_1564_1658
+MASNVMASNGMESNAVECYGMEWNALEWNVL
+>DECOY_XM_002344229|602904_2_1564_1658
+LVNWELANWEMGYCEVANSEMGNSAMVNSAM
+>XM_002344229|602905_2_1579_1658
+MASNGMESNAVECYGMEWNALEWNVL
+>DECOY_XM_002344229|602905_2_1579_1658
+LVNWELANWEMGYCEVANSEMGNSAM
+>XM_002344452|603228_2_292_497
+MGSNPTLREVSARRLQRAVGSGPERKRLPREKKCGQGTSGTDRGRCRHSEARALPAGRQELALKAAGC
+>DECOY_XM_002344452|603228_2_292_497
+CGAAKLALEQRGAPLARAESHRCRGRDTGSTGQGCKKERPLRKREPGSGVARQLRRASVERLTPNSGM
+>XM_002343873|603287_2_1137_1213
+MALIEIFQMSMEIPLYTMLSTMKIN
+>DECOY_XM_002343873|603287_2_1137_1213
+NIKMTSLMTYLPIEMSMQFIEILAM
+>XM_002343875|603323_2_1069_1199
+MGTTWTMVSAGAGLRCLGKKGLHASTSWATIPLSVFLEEPLIT
+>DECOY_XM_002343875|603323_2_1069_1199
+TILPEELFVSLPITAWSTSAHLGKKGLCRLGAGASVMTWTTGM
+>XM_002343875|603324_2_1087_1199
+MVSAGAGLRCLGKKGLHASTSWATIPLSVFLEEPLIT
+>DECOY_XM_002343875|603324_2_1087_1199
+TILPEELFVSLPITAWSTSAHLGKKGLCRLGAGASVM
+>XM_002343892|603631_3_4544_4683
+MAGEDCTGVLVAGHCCECGCWSIWTAQGPDGVEGSRQLSRNLVSPD
+>DECOY_XM_002343892|603631_3_4544_4683
+DPSVLNRSLQRSGEVGDPGQATWISWCGCECCHGAVLVGTCDEGAM
+>XM_002346360|603659_2_865_1166
+MAPQGPPSSGPSSRSSWNWQVSACIGIGFRVSGAAWQENAGQEGLGLSSRLPGAAPHSTAGPRGAKNSHNNNKSQTGNGIVEKTLIGFWELTKKCPHPFY
+>DECOY_XM_002346360|603659_2_865_1166
+YFPHPCKKTLEWFGILTKEVIGNGTQSKNNNHSNKAGRPGATSHPAAGPLRSSLGLGEQGANEQWAAGSVRFGIGICASVQWNWSSRSSPGSSPPGQPAM
+>XM_001714233|603719_3_86_363
+MEPGPRQPHPPQPHLRGRQPQLLHSPRPSTVRPHRAPPVSVAHQVRPHKRGQPSRLRAGGAAPRASEAAPGLFAGVWHCAQGRDAGGPSALL
+>DECOY_XM_001714233|603719_3_86_363
+LLASPGGADRGQACHWVGAFLGPAAESARPAAGGARLRSPQGRKHPRVQHAVSVPPARHPRVTSPRPSHLLQPQRGRLHPQPPHPQRPGPEM
+>XM_938203|603842_3_131_462
+MVALEGTGPRCHIKLHQDPLGSGATEQHHTPRLSGEGSQGPHPPGHSPGEPSVGSWAAAAGAVPSALAGTGPAVPGHSQVVPAYPPEAQQPLGVCTSGGFSSSCLPAPSY
+>DECOY_XM_938203|603842_3_131_462
+YSPAPLCSSSFGGSTCVGLPQQAEPPYAPVVQSHGPVAPGTGALASPVAGAAAAWSGVSPEGPSHGPPHPGQSGEGSLRPTHHQETAGSGLPDQHLKIHCRPGTGELAVM
+>XM_938203|603845_3_1757_2079
+MAALGGCPRGRAAGADPAQGVAPEMGLADVVAADPATASGSAVTAAGRWAFKKWHQRLAARSPRRGAASSPRPWSKPGPKGPESGQEAAGAPRGWGLGAEHGAQLQL
+>DECOY_XM_938203|603845_3_1757_2079
+LQLQAGHEAGLGWGRPAGAAEQGSEPGKPGPKSWPRPSSAAGRRPSRAALRQHWKKFAWRGAATVASGSATAPDAAVVDALGMEPAVGQAPDAGAARGRPCGGLAAM
+>XM_938203|603846_3_1829_2079
+MGLADVVAADPATASGSAVTAAGRWAFKKWHQRLAARSPRRGAASSPRPWSKPGPKGPESGQEAAGAPRGWGLGAEHGAQLQL
+>DECOY_XM_938203|603846_3_1829_2079
+LQLQAGHEAGLGWGRPAGAAEQGSEPGKPGPKSWPRPSSAAGRRPSRAALRQHWKKFAWRGAATVASGSATAPDAAVVDALGM
+>XM_002346656|604375_2_64_179
+MGMFLGRLLSLSMNSPVTVFSRSVTRQLYFPLSSWRRW
+>DECOY_XM_002346656|604375_2_64_179
+WRRWSSLPFYLQRTVSRSFVTVPSNMSLSLLRGLFMGM
+>XM_002346656|604379_2_577_662
+MVRRKVVLPSLSSRTSPRLCLWAYSLLE
+>DECOY_XM_002346656|604379_2_577_662
+ELLSYAWLCLRPSTRSSLSPLVVKRRVM
+>XM_001723141|604388_2_716_912
+MGGRTKIFQIIKVGILAYQVPAYFLNLKLIRTMLVPNLVSRHHQVFFPNHQATGSLFPLILQIRK
+>DECOY_XM_001723141|604388_2_716_912
+KRIQLILPFLSGTAQHNPFFVQHHRSVLNPVLMTRILKLNLFYAPVQYALIGVKIIQFIKTRGGM
+>XM_001719791|604998_3_1421_1554
+MDRVGGCIRVGQAWRTCASVAGCLAPLCAWPVLPAGQALGSASG
+>DECOY_XM_001719791|604998_3_1421_1554
+GSASGLAQGAPLVPWACLPALCGAVSACTRWAQGVRICGGVRDM
+>XM_940375|605091_3_578_1053
+MGDHGGRREPAHPDRTLLWSGPASHLQPGCERPGPGHWGDPLTASPRGAPAPADARPLLAPSAALSATEQRGGQHACPGPVCQPSAPGRVHTARCGAGGPPRTHRCGQRPHGRAPAASTAASPAVRHSPQWCPCHQWAPHNRLGPRAADAADHWRGEV
+>DECOY_XM_940375|605091_3_578_1053
+VEGRWHDAADAARPGLRNHPAWQHCPCWQPSHRVAPSAATSAAPARGHPRQGCRHTRPPGGAGCRATHVRGPASPQCVPGPCAHQGGRQETASLAASPALLPRADAPAPAGRPSATLPDGWHGPGPRECGPQLHSAPGSWLLTRDPHAPERRGGHDGM
+>XM_001131995|605143_2_412_494
+MGTEKGASLAEPRCPWGPGKVEVSSQR
+>DECOY_XM_001131995|605143_2_412_494
+RQSSVEVKGPGWPCRPEALSAGKETGM
+>XM_001720331|605267_2_715_797
+MGEEMGLAQLRSCPEPQAGRTQHPLPV
+>DECOY_XM_001720331|605267_2_715_797
+VPLPHQTRGAQPEPCSRLQALGMEEGM
+>XM_001717577|605384_2_602_681
+MAPIQILRMSLEGLLCTTLCIMKIHP
+>DECOY_XM_001717577|605384_2_602_681
+PHIKMICLTTCLLGELSMRLIQIPAM
+>XM_002348281|605407_2_418_776
+MGFRRGCRRRAPSGRMGAHSGPRRPSHGSPASPRRSPSCSAAYKWCAGCSCGNPGSRPPASHPSARTPGATQWRSGAVGPAVAPGGEAPLNPIPGLQRAQRGGLRASGACGREERAGDS
+>DECOY_XM_002348281|605407_2_418_776
+SDGAREERGCAGSARLGGRQARQLGPIPNLPAEGGPAVAPGVAGSRWQTAGPTRASPHSAPPRSGPNGCSCGACWKYAASCSPSRRPSAPSGHSPRRPGSHAGMRGSPARRRCGRRFGM
+>XM_002348281|605410_2_1321_1400
+MAPIQILRISLEGLLCTTLCIMKIHP
+>DECOY_XM_002348281|605410_2_1321_1400
+PHIKMICLTTCLLGELSIRLIQIPAM
+>XM_937204|605719_3_656_744
+MAKPDTGSYSRILEESQHNDRAPEISGKI
+>DECOY_XM_937204|605719_3_656_744
+IKGSIEPARDNHQSEELIRSYSGTDPKAM
+>XM_939706|606021_3_2978_3111
+MGAGKGREPPSLSHADLCPQHCCLYPPKAGAVWHNGRTHCPVHL
+>DECOY_XM_939706|606021_3_2978_3111
+LHVPCHTRGNHWVAGAKPPYLCCHQPCLDAHSLSPPERGKGAGM
+>XM_002346487|606298_2_1924_1997
+MGWSHYWGQATQRPHPQEATSSAL
+>DECOY_XM_002346487|606298_2_1924_1997
+LASSTAEQPHPRQTAQGWYHSWGM
+>XM_002346487|606307_2_4099_4316
+MASSAPSSTLGRPTATPLCPTAMALGGTAGAQPWASSSSPPTSSSPSSSWSTCTLPSSWRTSAWPRRRAPSP
+>DECOY_XM_002346487|606307_2_4099_4316
+PSPARRRPWASTRWSSPLTCTSWSSSPSSSTPPSSSSAWPQAGATGGLAMATPCLPTATPRGLTSSPASSAM
+>XM_002346487|606308_2_4165_4316
+MALGGTAGAQPWASSSSPPTSSSPSSSWSTCTLPSSWRTSAWPRRRAPSP
+>DECOY_XM_002346487|606308_2_4165_4316
+PSPARRRPWASTRWSSPLTCTSWSSSPSSSTPPSSSSAWPQAGATGGLAM
+>XM_002346488|606339_3_422_786
+MARGRAAAPATVPAVGPRAGEPERSLSVPESLERPDDPRVSEPRTPSPGAPERQAGSRRRRRQSGAPASAPVPPGRLQRREPKPPGGRGRAENDAEEAPTEAPRHRACCRVALRAPLADSL
+>DECOY_XM_002346488|606339_3_422_786
+LSDALPARLAVRCCARHRPAETPAEEADNEARGRGGPPKPERRQLRGPPVPASAPAGSQRRRRRSGAQREPAGPSPTRPESVRPDDPRELSEPVSLSREPEGARPGVAPVTAPAAARGRAM
+>XM_936279|606572_3_1938_2011
+MATKFEFRSNSNILYYRSLQPCIW
+>DECOY_XM_936279|606572_3_1938_2011
+WICPQLSRYYLINSNSRFEFKTAM
+>XM_002346526|606612_2_3194_3300
+METATATSLPTVAQLIGSLLLTPPLSSSSQTSATT
+>DECOY_XM_002346526|606612_2_3194_3300
+TTASTQSSSSLPPTLLLSGILQAVTPLSTATATEM
+>XM_002346592|607029_2_661_1124
+MGWRQHQRKTQLCGACGRGRGWTRRCRTPPLRLLSCGSSRDHLPHPHLPAPPERCQSHRRPRSWQQSGPGHLWCPTAWTCTTGARSSPQPGRLSSLTPSTASGSPARWRRKWSMPTSPRCSGAATSLLPGSLSLCSTGAVPRGQTAGSVSAKTG
+>DECOY_XM_002346592|607029_2_661_1124
+GTKASVSGATQGRPVAGTSCLSLSGPLLSTAAGSCRPSTPMSWKRRWRAPSGSATSPTLSSLRGPQPSSRAGTTCTWATPCWLHGPGSQQWSRPRRHSQCREPPAPLHPHPLHDRSSGCSLLRLPPTRCRRTWGRGRGCAGCLQTKRQHQRWGM
+>XM_001721575|607122_2_124_296
+MEEQSKGQGIQPCREPRRQPSLTVRAALVLGRQMGPARSLGLALLASPASSSLPPSC
+>DECOY_XM_001721575|607122_2_124_296
+CSPPLSSSAPSALLALGLSRAPGMQRGLVLAARVTLSPQRRPERCPQIGQGKSQEEM
+>XM_940961|607696_2_1525_1862
+MVLLAGTRAGGRRGRSCMTPPHSRPHPQGRSRSRRTPLTTKSLRTFSSLPDTRKHRTRTTLSTLSRTRRSTASRARRASRRASRKASRRRRPFCAPPAPTTWGCCGRWCGAG
+>DECOY_XM_940961|607696_2_1525_1862
+GAGCWRGCCGWTTPAPPACFPRRRRSAKRSARRSARRARSATSRRTRSLTSLTTRTRHKRTDPLSSFTRLSKTTLPTRRSRSRGQPHPRSHPPTMCSRGRRGGARTGALLVM
+>XM_002346732|608355_3_794_885
+MAAGTDGAEIPAGGPSGRAEHSRAGPGSPL
+>DECOY_XM_002346732|608355_3_794_885
+LPSGPGARSHEARGSPGGAPIEAGDTGAAM
+>XM_001723580|608915_2_550_752
+MEAKMKEFARSSIHWVKSALVAARQLAGWMLCSGLLLQREAPALQGLWKKATSPAADRPFCKSLQRG
+>DECOY_XM_001723580|608915_2_550_752
+GRQLSKCFPRDAAPSTAKKWLGQLAPAERQLLLGSCLMWGALQRAAVLASKVWHISSRAFEKMKAEM
+>XM_002346839|609440_3_245_501
+MGSFCPPIGNMLVSCTKCGPVTLAPGQPCRRPSASERRLSPLRASPLCSHSASSFLSGWKRETHPDAGAPSSSEPHRSPEPFLSA
+>DECOY_XM_002346839|609440_3_245_501
+ASLFPEPSRHPESSSPAGADPHTERKWGSLFSSASHSCLPSARLPSLRRESASPRRCPQGPALTVPGCKTCSVLMNGIPPCFSGM
+>XM_941284|609489_2_61_200
+MDPSSGRGRPLRAPPSWPPHPLGRTRVSGSPASIASASRTHTASKR
+>DECOY_XM_941284|609489_2_61_200
+RKSATHTRSASAISAPSGSVRTRGLPHPPWSPPARLPRGRGSSPDM
+>XM_001723913|610736_2_709_833
+MESPVHTALLEASFPLGSLTHFQQCSLPQFRAAPCGQRRRP
+>DECOY_XM_001723913|610736_2_709_833
+PRRRQGCPAARFQPLSCQQFHTLSGLPFSAELLATHVPSEM
+>XM_001125699|611030_2_721_905
+MEMMGMIQPANLIWLERILCLSLMPTFILPTQVSLLMQMPCFLKTLAILVTLLFVVHHFPG
+>DECOY_XM_001125699|611030_2_721_905
+GPFHHVVFLLTVLIALTKLFCPMQMLLSVQTPLIFTPMLSLCLIRELWILNAPQIMGMMEM
+>XM_001125699|611032_2_730_905
+MGMIQPANLIWLERILCLSLMPTFILPTQVSLLMQMPCFLKTLAILVTLLFVVHHFPG
+>DECOY_XM_001125699|611032_2_730_905
+GPFHHVVFLLTVLIALTKLFCPMQMLLSVQTPLIFTPMLSLCLIRELWILNAPQIMGM
+>XM_001125699|611038_2_1132_1286
+MEQNSSVWLCILNFWLSAVKENFISGNGVNLSLTEMPRILHYIIHEQHFWG
+>DECOY_XM_001125699|611038_2_1132_1286
+GWFHQEHIIYHLIRPMETLSLNVGNGSIFNEKVASLWFNLICLWVSSNQEM
+>XM_939359|612209_2_5029_5228
+MECPTATLMKMSSSAPTKAVLMELWCVPPPTAVSQPTSAVMVLPTAWISSLMSPAAQIHGLSWVLD
+>DECOY_XM_939359|612209_2_5029_5228
+DLVWSLGHIQAAPSMLSSIWATPLVMVASTPQSVATPPPVCWLEMLVAKTPASSSMKMLTATPCEM
+>XM_939359|612213_2_5149_5228
+MVLPTAWISSLMSPAAQIHGLSWVLD
+>DECOY_XM_939359|612213_2_5149_5228
+DLVWSLGHIQAAPSMLSSIWATPLVM
+>XM_002347169|612640_2_220_560
+MATVLRPRGQSPLISSLSRSLSNSAACSSTKIGRRTGAVLLPLSQRKCRSKVPVATRALPRKGRLLLPLRARSPKPRGQRVMLPQKKPQATETSTPLGQRVALYQAVLSRMRS
+>DECOY_XM_002347169|612640_2_220_560
+SRMRSLVAQYLAVRQGLPTSTETAQPKKQPLMVRQGRPKPSRARLPLLLRGKRPLARTAVPVKSRCKRQSLPLLVAGTRRGIKTSSCAASNSLSRSLSSILPSQGRPRLVTAM
+>XM_001726237|612710_2_40_305
+MAMMHYSTPSICSKRLKAFSSWQMAGILIPTCMRSHTRMPADICMQRRCLWSCLQKRMQLQASREEIYIIVVEESEKTKWTRGIVPAT
+>DECOY_XM_001726237|612710_2_40_305
+TAPVIGRTWKTKESEEVVIIYIEERSAQLQMRKQLCSWLCRRQMCIDAPMRTHSRMCTPILIGAMQWSSFAKLRKSCISPTSYHMMAM
+>XM_001725141|613462_2_1452_1555
+MDSFFLGVLRMTFNAVSLPPPHLCCNISQDLPAE
+>DECOY_XM_001725141|613462_2_1452_1555
+EAPLDQSINCCLHPPPLSVANFTMRLVGLFFSDM
+>XM_937598|613521_2_1279_1463
+MAATATHLSNLAGYSPCSSRQRAAQAGNQKSGKARKRHVPRTPPSCRAAPPWLPLGDRGLP
+>DECOY_XM_937598|613521_2_1279_1463
+PLGRDGLPLWPPAARCSPPTRPVHRKRAKGSKQNGAQAARQRSSCPSYGALNSLHTATAAM
+>XM_001722313|613742_3_809_1077
+MDRPLHPAPAPCTLRPRSQAQQRPEHGGGGDRGGLGGMWARPTVTVLQLSAGWLAGRCAALRPPGPHGCQWLLGPLRAPFPASKCREEI
+>DECOY_XM_001722313|613742_3_809_1077
+IEERCKSAPFPARLPGLLWQCGHPGPPRLAACRGALWGASLQLVTVTPRAWMGGLGGRDGGGGHEPRQQAQSRPRLTCPAPAPHLPRDM
+>XM_937967|613966_3_107_336
+MGNAHRMCGNERSKHQSLQGLWVCPLCHCGGGGCSHECKATQDGWKSCGTKDSCLKRRFSKTRCLLNSEKDICWWH
+>DECOY_XM_937967|613966_3_107_336
+HWWCIDKESNLLCRTKSFRRKLCSDKTGCSKWGDQTAKCEHSCGGGGCHCLPCVWLGQLSQHKSRENGCMRHANGM
+>XM_002347283|614094_2_98_213
+MGFSSQNTFMQKYTQKKPCFYWRPVLTAQERPIKHIDS
+>DECOY_XM_002347283|614094_2_98_213
+SDIHKIPREQATLVPRWYFCPKKQTYKQMFTNQSSFGM
+>XM_001723398|614186_2_217_335
+MVLVEQTVSLFLLSRATLCSTFSQPQPWSTIITKKKNMP
+>DECOY_XM_001723398|614186_2_217_335
+PMNKKKTIITSWPQPQSFTSCLTARSLLFLSVTQEVLVM
+>XM_001726514|614990_3_176_258
+MVASCVSTHIKPAFKKALPAERGTSYL
+>DECOY_XM_001726514|614990_3_176_258
+LYSTGREAPLAKKFAPKIHTSVCSAVM
+>XM_936222|615211_3_938_1023
+MDVNWRNTQKHGQIHCILHLQGTFWYIF
+>DECOY_XM_936222|615211_3_938_1023
+FIYWFTGQLHLICHIQGHKQTNRWNVDM
+>XM_001724063|615318_3_92_171
+MGRNPALGRNRALGETAFVQVAGRAF
+>DECOY_XM_001724063|615318_3_92_171
+FARGAVQVFATEGLARNRGLAPNRGM
+>XM_001714707|615464_2_316_422
+MGKQPPPLGPWRLRGTSLPPGTIPGPWREMSTSRA
+>DECOY_XM_001714707|615464_2_316_422
+ARSTSMERWPGPITGPPLSTGRLRWPGLPPPQKGM
+>XM_002347413|615826_2_1255_1469
+MAGRARSQWRSWVCPWERKEMEAEALQGHLGTQPTLFPGLLPPSTVPPGSWDSLRAAGSHARPAGGLLVWG
+>DECOY_XM_002347413|615826_2_1255_1469
+GWVLLGGAPRAHSGAARLSDWSGPPVTSPPLLGPFLTPQTGLHGQLAEAEMEKREWPCVWSRWQSRARGAM
+>XM_002347413|615827_2_1315_1469
+MEAEALQGHLGTQPTLFPGLLPPSTVPPGSWDSLRAAGSHARPAGGLLVWG
+>DECOY_XM_002347413|615827_2_1315_1469
+GWVLLGGAPRAHSGAARLSDWSGPPVTSPPLLGPFLTPQTGLHGQLAEAEM
+>XM_002347511|616427_2_222_298
+MALTLRQPLRGAATRLRIHNRTERS
+>DECOY_XM_002347511|616427_2_222_298
+SRETRNHIRLRTAAGRLPQRLTLAM
+>XM_002347511|616429_2_450_667
+MGTWAPHHPSTWHFHRPLGGVLWAVSQPLSFQESPRISPAACIIPGTLQESYSGLSLLCPHGTRRQTGTSRS
+>DECOY_XM_002347511|616429_2_450_667
+SRSTGTQRRTGHPCLLSLGSYSEQLTGPIICAAPSIRPSEQFSLPQSVAWLVGGLPRHFHWTSPHHPAWTGM
+>XM_938233|616497_2_793_869
+MAMAVAVAVAAAQAAAADGSVTGGG
+>DECOY_XM_938233|616497_2_793_869
+GGGTVSGDAAAAQAAAVAVAVAMAM
+>XM_001714306|616808_2_43_197
+MAPTASCTPWTHSWCGKWRPLRAWWTPMWPLSTRPCGTSWLVSHPRPPCTS
+>DECOY_XM_001714306|616808_2_43_197
+STCPPRPHSVLWSTGCPRTSLPWMPTWWARLPRWKGCWSHTWPTCSATPAM
+>XM_001714997|617484_3_518_609
+MGGGTVGRSPSCLPLLTCVLGGVRTTPPPA
+>DECOY_XM_001714997|617484_3_518_609
+APPPTTRVGGLVCTLLPLCSPSRGVTGGGM
+>XM_001130731|617554_2_797_921
+MESPVHTALLEASFPLGSLTHFQQCSLPQFRFAPCGQTRGP
+>DECOY_XM_001130731|617554_2_797_921
+PGRTQGCPAFRFQPLSCQQFHTLSGLPFSAELLATHVPSEM
+>XM_002347727|618076_2_518_741
+MASATLSSPTWTRSHSATWLTYSPPVWTSAGGTCCSYSHWPSLPPGCCLVSSSGSLRWHMVTWSRLRAAAAHPV
+>DECOY_XM_002347727|618076_2_518_741
+VPHAAAARLRSWTVMHWRLSGSSSVLCCGPPLSPWHSYSCCTGGASTWVPPSYTLWTASHSRTWTPSSLTASAM
+>XM_002347727|618078_2_986_1107
+MASSASCGVWATCVRATLWRPMCARSSSSRGSPRRASTSR
+>DECOY_XM_002347727|618078_2_986_1107
+RSTSARRPSGRSSSSRACMPRWLTARVCTAWVGCSASSAM
+>XM_002347800|618490_2_871_1046
+MASCCSTWWPSPWRKALTPKAASAQAAPGRSASPLYDPSSVPSLASITVTSATKTMPQ
+>DECOY_XM_002347800|618490_2_871_1046
+QPMTKTASTVTISALSPVSSPDYLPSASRGPAAQASAAKPTLAKRWPSPWWTSCCSAM
+>XM_001726269|618532_2_124_317
+MENVLASQKRRLRKWMCTSVMIVNRHKRAAVRNCTVSAEHLQSQVRQSSLMSMSVHSASQQRMP
+>DECOY_XM_001726269|618532_2_124_317
+PMRQQSASHVSMSMLSSQRVQSQLHEASVTCNRVAARKHRNVIMVSTCMWKRLRRKQSALVNEM
+>XM_002347813|618808_2_11787_12598
+MENSIMCPWGRDKRWWLRTPWTWLQRKDTGSFCRYEGASTAGISTWWPGGWEHWTRSWSATARAAMRTTGCSSARSLPPAPRPTSSPRAFWRTPSRSPTSPPRACTPTCTRPWTCSPRTPWRCAPRRWSSSACSSPCATSTLWWQRGASSAPRAGTGRTPSTTGTSPSPSTCSTTTWRPTPRCPGTISATFLVKSCMAATSQMTGTVGCAGPTWLNTSGRRCWRETSCWPPAFRSPPTWTTRVTTNTSMRTCPLRVPICMACTPTQRLAF
+>DECOY_XM_002347813|618808_2_11787_12598
+FALRQTPTCAMCIPVRLPCTRMSTNTTVRTTWTPPSRFAPPWCSTERWCRRGSTNLWTPGACGVTGTMQSTAAMCSKVLFTASITGPCRPTPRWTTTSCTSPSPSTGTTSPTRGTGARPASSAGRQWWLTSTACPSSCASSSWRRPACRWPTRPSCTWPRTCTPTCARPPSTPSRSPTRWFARPSSTPRPAPPLSRASSCGTTRMAARATASWSRTWHEWGGPWWTSIGATSAGEYRCFSGTDKRQLWTWPTRLWWRKDRGWPCMISNEM
+>XM_001716049|619286_2_874_1097
+MDLRMPARQSTVSTSRRMDLRMPARQSTVSTRRMDLRMPARQSTVSTSRRMDLRMPGRQSTVSTRRRMDLRMPA
+>DECOY_XM_001716049|619286_2_874_1097
+APMRLDMRRRTSVTSQRGPMRLDMRRSTSVTSQRAPMRLDMRRTSVTSQRAPMRLDMRRSTSVTSQRAPMRLDM
+>XM_001716049|619288_2_925_1097
+MDLRMPARQSTVSTRRMDLRMPARQSTVSTSRRMDLRMPGRQSTVSTRRRMDLRMPA
+>DECOY_XM_001716049|619288_2_925_1097
+APMRLDMRRRTSVTSQRGPMRLDMRRSTSVTSQRAPMRLDMRRTSVTSQRAPMRLDM
+>XM_001716049|619293_2_1126_1592
+MDLRMPARQSTVSTRRRMDLRMPARQSTVSTRRRMDLRMPARQSTVSTRRRMDLRMPARQSTVSTRRMDLRMPGRQSTVSTRRMDLRMPGQQSTVSTRRMDLRMPAAQSTVLATEQDGPALLSCHHAGIIFTSHLKRPIVPMSQGLSAAALTVNS
+>DECOY_XM_001716049|619293_2_1126_1592
+SNVTLAAASLGQSMPVIPRKLHSTFIIGAHHCSLLAPGDQETALVTSQAAPMRLDMRRTSVTSQQGPMRLDMRRTSVTSQRGPMRLDMRRTSVTSQRAPMRLDMRRRTSVTSQRAPMRLDMRRRTSVTSQRAPMRLDMRRRTSVTSQRAPMRLDM
+>XM_001716049|619295_2_1177_1592
+MDLRMPARQSTVSTRRRMDLRMPARQSTVSTRRRMDLRMPARQSTVSTRRMDLRMPGRQSTVSTRRMDLRMPGQQSTVSTRRMDLRMPAAQSTVLATEQDGPALLSCHHAGIIFTSHLKRPIVPMSQGLSAAALTVNS
+>DECOY_XM_001716049|619295_2_1177_1592
+SNVTLAAASLGQSMPVIPRKLHSTFIIGAHHCSLLAPGDQETALVTSQAAPMRLDMRRTSVTSQQGPMRLDMRRTSVTSQRGPMRLDMRRTSVTSQRAPMRLDMRRRTSVTSQRAPMRLDMRRRTSVTSQRAPMRLDM
+>XM_001716049|619297_2_1228_1592
+MDLRMPARQSTVSTRRRMDLRMPARQSTVSTRRMDLRMPGRQSTVSTRRMDLRMPGQQSTVSTRRMDLRMPAAQSTVLATEQDGPALLSCHHAGIIFTSHLKRPIVPMSQGLSAAALTVNS
+>DECOY_XM_001716049|619297_2_1228_1592
+SNVTLAAASLGQSMPVIPRKLHSTFIIGAHHCSLLAPGDQETALVTSQAAPMRLDMRRTSVTSQQGPMRLDMRRTSVTSQRGPMRLDMRRTSVTSQRAPMRLDMRRRTSVTSQRAPMRLDM
+>XM_002347919|619602_3_383_492
+MVRVLAGSGAMGEGLPGTGGTRASLWATPGSGTESS
+>DECOY_XM_002347919|619602_3_383_492
+SSETGSGPTAWLSARTGGTGPLGEGMAGSGALVRVM
+>XM_002347919|619603_3_413_492
+MGEGLPGTGGTRASLWATPGSGTESS
+>DECOY_XM_002347919|619603_3_413_492
+SSETGSGPTAWLSARTGGTGPLGEGM
+>XM_001718929|619811_2_235_467
+MGVPLQADLRGNSPTPALDPPAPLNPLTWEPRNLGLRSWIQSRALLLPSSSSRTQQHPFPLRAAVGRIRLSPRILCR
+>DECOY_XM_001718929|619811_2_235_467
+RCLIRPSLRIRGVAARLPFPHQQTRSSSSPLLLARSQIWSRLGLNRPEWTLPNLPAPPDLAPTPSNGRLDAQLPVGM
+>XM_001726059|619832_2_773_900
+MAKPLTGPQPLLVREFILERNPANVKNVAKPLVSSQSLLSIR
+>DECOY_XM_001726059|619832_2_773_900
+RISLLSQSSVLPKAVNKVNAPNRELIFERVLLPQPGTLPKAM
+>XM_945736|619853_2_261_352
+MALEQWESAILHRKRWEMLFTVVCLKLGQN
+>DECOY_XM_945736|619853_2_261_352
+NQGLKLCVVTFLMEWRKRHLIASEWQELAM
+>XM_002347958|620028_3_74_267
+MALPDQPTAQGLVSHHLAGGEGQLVDQAAKASVHQEAAATPGLGDALGSPAHTPTPPAPTSGSR
+>DECOY_XM_002347958|620028_3_74_267
+RSGSTPAPPTPTHAPSGLADGLGPTAAAEQHVSAKAAQDVLQGEGGALHHSVLGQATPQDPLAM
+>XM_002347971|620102_2_4678_4910
+MGVLRAASVTPASSTMAKPACPSSNAAATTMVSTMSRSRQSSLTTVGSSVRAMRVKAWCARNTAASRGRCASPPEAS
+>DECOY_XM_002347971|620102_2_4678_4910
+SAEPPSACRGRSAATNRACWAKVRMARVSSGVTTLSSQRSRSMTSVMTTAAANSSPCAPKAMTSSAPTVSAARLVGM
+>XM_002347971|620103_2_4723_4910
+MAKPACPSSNAAATTMVSTMSRSRQSSLTTVGSSVRAMRVKAWCARNTAASRGRCASPPEAS
+>DECOY_XM_002347971|620103_2_4723_4910
+SAEPPSACRGRSAATNRACWAKVRMARVSSGVTTLSSQRSRSMTSVMTTAAANSSPCAPKAM
+>XM_002347971|620104_2_4768_4910
+MVSTMSRSRQSSLTTVGSSVRAMRVKAWCARNTAASRGRCASPPEAS
+>DECOY_XM_002347971|620104_2_4768_4910
+SAEPPSACRGRSAATNRACWAKVRMARVSSGVTTLSSQRSRSMTSVM
+>XM_002347971|620109_2_5428_5990
+MAQCAGSAVTWTATPTMTRSSLMAHWLPPYPSGAAAGEPQAGTHCVGTNVGGPAQRALRTGWSSTRALASADPWHLAQGAPSPPAMLMCHLRASSRAVFWTSAWVVGTVTFFARLWLPTWPPARPLGLSSKTGGHRLAVRSPAQKTATMRSVAHPARPAVRPLHPLRRQPYVRAPVWRAASATRVSC
+>DECOY_XM_002347971|620109_2_5428_5990
+CSVRTASAARWVPARVYPQRRLPHLPRVAPRAPHAVSRMTATKQAPSRVALRHGGTKSSLGLPRAPPWTPLWLRAFFTVTGVVWASTWFVARSSARLHCMLMAPPSPAGQALHWPDASALARTSSWGTRLARQAPGGVNTGVCHTGAQPEGAAAGSPYPPLWHAMLSSRTMTPTATWTVASGACQAM
+>XM_943910|620686_2_196_581
+MATAGSQHRRRENEDRSRVTALAFEQPRESLCVLQPPLPFMSEVLSPQRSPQRAFPDAYETGSAWAQVEEGPAWPPPRSPWGPCPFRRFSRVLHHGNSGVGSVGCEPSGWGSRRALSASPREVTKEHH
+>DECOY_XM_943910|620686_2_196_581
+HHEKTVERPSASLARRSGWGSPECGVSGVGSNGHHLVRSFRRFPCPGWPSRPPPWAPGEEVQAWASGTEYADPFARQPSRQPSLVESMFPLPPQLVCLSERPQEFALATVRSRDENERRRHQSGATAM
+>XM_943910|620688_3_479_564
+MATRASGAWAASRVGGGAAGLYPPAHEK
+>DECOY_XM_943910|620688_3_479_564
+KEHAPPYLGAAGGGVRSAAWAGSARTAM
+>XM_002348123|621665_2_730_1172
+MGTPFPCRVLMPFGQGALAPLPQPPVTQQPGALWTRSSHPLHPTHLWPCSLVPSGQGLWLPSHPRLPAKLLGPRRKVSHAVMRLDHLTSKDIRVSLWNLPRPPCLPVGQVCACPGPQLPLNKPPLGTAAPSAPPPGLSPQTSLWSTC
+>DECOY_XM_002348123|621665_2_730_1172
+CTSWLSTQPSLGPPPASPAATGLPPKNLPLQPGPCACVQGVPLCPPRPLNWLSVRIDKSTLHDLRMVAHSVKRRPGLLKAPLRPHSPLWLGQGSPVLSCPWLHTPHLPHSSRTWLAGPQQTVPPQPLPALAGQGFPMLVRCPFPTGM
+>XM_002348123|621668_2_1216_1355
+MAAPQRSCGDQEVASGQGSGSGPGTSLWISEPLSVQLCSWELSAPA
+>DECOY_XM_002348123|621668_2_1216_1355
+APASLEWSCLQVSLPESIWLSTGPGSGSGQGSAVEQDGCSRQPAAM
+>XM_001714847|622677_2_664_758
+MASRHLRTPGATSAMRTSLGHLGLHLRDTWG
+>DECOY_XM_001714847|622677_2_664_758
+GWTDRLHLGLHGLSTRMASTAGPTRLHRSAM
+>XM_002347256|622816_2_466_596
+MGKPLFNPLTFKDMRELTLEKSVMNVIKVGKPLVKALALEETK
+>DECOY_XM_002347256|622816_2_466_596
+KTEELALAKVLPKGVKIVNMVSKELTLERMDKFTLPNFLPKGM
+>XM_001719766|623015_2_1012_1154
+MGPLTQKLSPHFQPAPVHSTPQLNPPQHTLPPPHSQLPQLWNHLHPV
+>DECOY_XM_001719766|623015_2_1012_1154
+VPHLHNWLQPLQSHPPPLTHQPPNLQPTSHVPAPQFHPSLKQTLPGM
+>XM_001719676|623067_2_1278_1387
+MELTLPQRNLAVAFLSEMGKSNSHLRKRSILIVAGI
+>DECOY_XM_001719676|623067_2_1278_1387
+IGAVILISRKRLHSNSKGMESLFAVALNRQPLTLEM
+>XM_001719676|623071_3_457_530
+MDQNCYQDGVCSWQSSGLTYQRAL
+>DECOY_XM_001719676|623071_3_457_530
+LARQYTLGSSQWSCVGDQYCNQDM
+>XM_942149|623080_2_823_926
+MEPSRSGQPWWCLLERSRDTRAVCSMRGFRSPSP
+>DECOY_XM_942149|623080_2_823_926
+PSPSRFGRMSCVARTDRSRELLCWWPQGSRSPEM
+>XM_942149|623081_3_929_1041
+MGTSSDLLPHHGHHCWPGSPRSSSGGWSCDLEEEALR
+>DECOY_XM_942149|623081_3_929_1041
+RLAEEELDCSWGGSSSRPSGPWCHHGHHPLLDSSTGM
+>XM_001719471|623099_2_337_686
+MGAAAFRATTTTTTRSSRRRCRSPAAAGHGHGLGKAPPPWWRSAARCRGTACTAGSRSCRRLSAWSSYAACWTCACRSRSASSARAWRTWPARTTTRCATRRSRPTTRPTWAASPT
+>DECOY_XM_001719471|623099_2_337_686
+TPSAAWTPRTTPRSRRTACRTTTRAPWTRWARASSASRSRCACTWCAAYSSWASLRRCSRSGATCATGRCRAASRWWPPPAKGLGHGHGAAAPSRCRRRSSRTTTTTTARFAAAGM
+>XM_001719471|623101_3_428_810
+MGSGRRLPRGGEALPAAEGRRVPLVLGAAVASARGVPMRPAGPVHAARGPLLRLVPGGPGPQGLPLAARLGDQGQQPGRPGQPHQPDGRGGAQQAAGVAGAAGLGAERGGGRALPQAHAPQRGPHGR
+>DECOY_XM_001719471|623101_3_428_810
+RGHPGRQPAHAQPLARGGGREAGLGAAGAVGAAQQAGGRGDPQHPQGPRGPQQGQDGLRAALPLGQPGPGGPVLRLLPGRAAHVPGAPRMPVGRASAVAAGLVLPVRRGEAAPLAEGGRPLRRGSGM
+>XM_001719463|623164_2_922_998
+MANRGFPCLNPSSAFRLRMARGRLC
+>DECOY_XM_001719463|623164_2_922_998
+CLRGRAMRLRFASSPNLCPFGRNAM
+>XM_001719463|623167_2_3889_3968
+MALPRPPSWCSKPWLNTKRTPLTTRN
+>DECOY_XM_001719463|623167_2_3889_3968
+NRTTLPTRKTNLWPKSCWSPPRPLAM
+>XM_002346407|623320_2_34_188
+MGRCQGSQGGKRALFKLSSRVSMCILLTQNLSQVILGSYLSLEMGLVSGVR
+>DECOY_XM_002346407|623320_2_34_188
+RVGSVLGMELSLYSGLIVQSLNQTLLICMSVRSSLKFLARKGGQSGQCRGM
+>XM_001732811|623369_2_1558_1670
+MDLEKKKMINIKRLDMWWKGLALQKISTKKKTKILKE
+>DECOY_XM_001732811|623369_2_1558_1670
+EKLIKTKKKTSIKQLALGKWWMDLRKINIMKKKELDM
+>XM_001129369|623487_2_501_655
+MGTQSQKVFLRPASSPRVIIPSSRSVTSPSSLLMMRFMTARWSTGAWMSLF
+>DECOY_XM_001129369|623487_2_501_655
+FLSMWAGTSWRATMFRMMLLSSPSTVSRSSPIIVRPSSAPRLFVKQSQTGM
+>XM_001129414|623639_2_9362_9453
+MAFRVLCRIILVLMSSYTKVDSPNCSLYRL
+>DECOY_XM_001129414|623639_2_9362_9453
+LRYLSCNPSDVKTYSSMLVLIIRCLVRFAM
+>XM_942053|623733_3_811_902
+MVFPGGVPDAASGDCGQPEAMPRGLLKKVL
+>DECOY_XM_942053|623733_3_811_902
+LVKKLLGRPMAEPQGCDGSAADPVGGPFVM
+>XM_001714143|624002_2_790_977
+MGWEPCSSASSCPSGAMAFLEHWKQGVPPWPTTGTAATSRTRRQCPVQPPTTGTAATSRTRR
+>DECOY_XM_001714143|624002_2_790_977
+RRTRSTAATGTTPPQVPCQRRTRSTAATGTTPWPPVGQKWHELFAMAGSPCSSASSCPEWGM
+>XM_001714143|624003_2_838_977
+MAFLEHWKQGVPPWPTTGTAATSRTRRQCPVQPPTTGTAATSRTRR
+>DECOY_XM_001714143|624003_2_838_977
+RRTRSTAATGTTPPQVPCQRRTRSTAATGTTPWPPVGQKWHELFAM
+>XM_001715078|624066_2_82_155
+MGRGCPRPGLSWAFLSLAGKTVLC
+>DECOY_XM_001715078|624066_2_82_155
+CLVTKGALSLFAWSLGPRPCGRGM
+>XM_002345330|624124_2_58_149
+MAAKGRSSKSGGSSQTIRTNPRQRRRTKDM
+>DECOY_XM_002345330|624124_2_58_149
+MDKTRRRQRPNTRITQSSGGSKSSRGKAAM
+>XM_002345330|624126_3_269_627
+MADFFQVGSGDAAQLCPSSLREARPPVLTCPDASSPLPPRLGPWRPAAETAPPGTPPAGLPGACKSRSLPPTLHEASLPSSTEPGGTMGCKAASASCGRGPRLRATKPAALEYLAPAAA
+>DECOY_XM_002345330|624126_3_269_627
+AAAPALYELAAPKTARLRPGRGCSASAAKCGMTGGPETSSPLSAEHLTPPLSRSKCAGPLGAPPTGPPATEAAPRWPGLRPPLPSSADPCTLVPPRAERLSSPCLQAADGSGVQFFDAM
+>XM_002345330|624127_3_530_627
+MGCKAASASCGRGPRLRATKPAALEYLAPAAA
+>DECOY_XM_002345330|624127_3_530_627
+AAAPALYELAAPKTARLRPGRGCSASAAKCGM
+>XM_001716411|624476_3_323_477
+MGGVHKMRLCGRQRGAVGPRRLVGDSEHRVGPEAWWPAVARVHRVGPTDPD
+>DECOY_XM_001716411|624476_3_323_477
+DPDTPGVRHVRAVAPWWAEPGVRHESDGVLRRPGVAGRQRGCLRMKHVGGM
+>XM_001718570|624818_2_100_263
+MAVESPFLCSSPSAPELSLPASAQLPPPLPVLTSPCCLHSANPCPPLPSPCCIP
+>DECOY_XM_001718570|624818_2_100_263
+PICCPSPLPPCPNASHLCCPSTLVPLPPPLQASAPLSLEPASPSSCLFPSEVAM
+>XM_001715825|624964_2_16_464
+MGTAARWTSKVQPRVCGSQLGTSGTLSVAQGLTPPFFSPSLHQGREQWKPLTRWDGGEAQCCPQVLGPPTSRELSLTSLSRSMSPPVHCAGDWDGTSLLARTQTHIPTLGTGRWPLSTFLVCPSAPSPPLLALFSSGTVGITSSALISP
+>DECOY_XM_001715825|624964_2_16_464
+PSILASSTIGVTGSSFLALLPPSPASPCVLFTSLPWRGTGLTPIHTQTRALLSTGDWDGACHVPPSMSRSLSTLSLERSTPPGLVQPCCQAEGGDWRTLPKWQERGQHLSPSFFPPTLGQAVSLTGSTGLQSGCVRPQVKSTWRAATGM
+>XM_001715825|624967_2_1450_1733
+MELQATLQPLLLAKSMVPPCPPPTHTRMLPPQPWHPPPSYWAQEPSKPRSLVKTAERAPYGPYPWGWGPRDAFQEGTPRTWIPHWRTPPRPDAR
+>DECOY_XM_001715825|624967_2_1450_1733
+RADPRPPTRWHPIWTRPTGEQFADRPGWGWPYPGYPAREATKVLSRPKSPEQAWYSPPPHWPQPPLMRTHTPPPCPPVMSKALLLPQLTAQLEM
+>XM_001715825|624970_2_1789_1952
+MGTASPLPVQVEKLRTGSGNRRPRRRCPLHCTPQLLSRPTMHTSSPPRFVLPLP
+>DECOY_XM_001715825|624970_2_1789_1952
+PLPLVFRPPSSTHMTPRSLLQPTCHLPCRRRPRRNGSGTRLKEVQVPLPSATGM
+>XM_001715825|624974_3_296_453
+MAPPCWPGHRPTFQHLERGGGPSLHSLSVPPPRLHLCWHCSAQALWELPPPR
+>DECOY_XM_001715825|624974_3_296_453
+RPPPLEWLAQASCHWCLHLRPPPVSLSHLSPGGGRELHQFTPRHGPWCPPAM
+>XM_001726700|625427_3_236_318
+MGEPHGMWPLAAPSQHISEASPKEIPL
+>DECOY_XM_001726700|625427_3_236_318
+LPIEKPSAESIHQSPAALPWMGHPEGM
+>XM_002345553|625507_2_2091_2176
+MEKNLGQCLLGKNQPRRLQVMRRILFRI
+>DECOY_XM_002345553|625507_2_2091_2176
+IRFLIRRMVQLRRPQNKGLLCQGLNKEM
+>XM_002345553|625509_2_3213_3292
+MDNNLEQCLLRNNRPRRLYLKRKFLF
+>DECOY_XM_002345553|625509_2_3213_3292
+FLFKRKLYLRRPRNNRLLCQELNNDM
+>XM_001715832|625632_2_988_1211
+MGFGRGCRRRAPSGRMAAHSGLRRPSHGSPASPRRSPSCSAACKWCAGCSCGNPGYRPPASHPSARTPGATQWR
+>DECOY_XM_001715832|625632_2_988_1211
+RWQTAGPTRASPHSAPPRYGPNGCSCGACWKCAASCSPSRRPSAPSGHSPRRLGSHAAMRGSPARRRCGRGFGM
+>XM_002345578|625780_2_462_856
+MGGEPLPQLLENRRQDTSGTARPAWSESLCWQGFPDTQGAAYRGPQTAVVPSQYRGWLFKTSEGSPVFLFRNPGLRAIWASQWSGPSFSGAVNWVGVGHISTTGPGHRCYLAEAHWTTQPLWGPGQRRKVL
+>DECOY_XM_002345578|625780_2_462_856
+LVKRRQGPGWLPQTTWHAEALYCRHGPGTTSIHGVGVWNVAGSFSPGSWQSAWIARLGPNRFLFVPSGESTKFLWGRYQSPVVATQPGRYAAGQTDPFGQWCLSESWAPRATGSTDQRRNELLQPLPEGGM
+>XM_002345578|625785_2_1923_2212
+MGLFSGWPRPQSLGECWSPKLLRKRRRAQRSPRERDCVGRAGAPGQGSVARRRPPKAVLQQPGASAPASPPWSRPSRHAGSARARRSLGSARRFPR
+>DECOY_XM_002345578|625785_2_1923_2212
+RPFRRASGLSRRARASGAHRSPRSWPPSAPASAGPQQLVAKPPRRRAVSGQGPAGARGVCDRERPSRQARRRKRLLKPSWCEGLSQPRPWGSFLGM
+>XM_002345602|626060_3_2332_2465
+MGWNTRATCGHQSTRKMLCEPHLVSGKPQFRFGPGEELWRWPRP
+>DECOY_XM_002345602|626060_3_2332_2465
+PRPWRWLEEGPGFRFQPKGSVLHPECLMKRTSQHGCTARTNWGM
+>XM_002345642|626563_2_587_723
+MGAHCLQPGCPALGGKSSAWRQGFAVDPVYFAEAIRCCGATGSSK
+>DECOY_XM_002345642|626563_2_587_723
+KSSGTAGCCRIAEAFYVPDVAFGQRWASSKGGLAPCGPQLCHAGM
+>XM_001717423|627237_2_1123_1223
+MEQSAGTLLPSPRAAGTPRKSKRLTTSPPMRSS
+>DECOY_XM_001717423|627237_2_1123_1223
+SSRMPPSTTLRKSKRPTGAARPSPLLTGASQEM
+>XM_001718997|628577_2_135_211
+MAGVLTCQDLETEKGLDLQTRTALD
+>DECOY_XM_001718997|628577_2_135_211
+DLATRTQLDLGKETELDQCTLVGAM
+>XM_001715341|629374_2_892_974
+MGWPILNKEFISEKSPIHVMNVQKTSD
+>DECOY_XM_001715341|629374_2_892_974
+DSTKQVNMVHIPSKESIFEKNLIPWGM
+>XM_001716195|629473_2_397_518
+MERAPAQRDVKRPGRASRPQMASGGGRGSCGNEVLSPPSA
+>DECOY_XM_001716195|629473_2_397_518
+ASPPSLVENGCSGRGGGSAMQPRSARGPRKVDRQAPAREM
+>XM_001718922|629646_2_577_1067
+MVQEPASSEASRGVSMFMWRNVEPRSVAVFPWYSVPFLTPPCSHTRPGNLPVTQWPPTRANNLPSWQLLLMSVHQVGQGVLTLGGPLEPPALKAQGPEPHTLWGWWNLVKAHLPPWRRSPGPLRGAPAGQPSPSVHCARNRTHLLRRMDTAPTNGTRTTSGGP
+>DECOY_XM_001718922|629646_2_577_1067
+PGGSTTRTGNTPATDMRRLLHTRNRACHVSPSPQGAPAGRLPGPSRRWPPLHAKVLNWWGWLTHPEPGQAKLAPPELPGGLTLVGQGVQHVSMLLLQWSPLNNARTPPWQTVPLNGPRTHSCPPTLFPVSYWPFVAVSRPEVNRWMFMSVGRSAESSAPEQVM
+>XM_001719625|629766_2_994_1697
+MAFINVCQLPIAKPVNIINVAKLLGCAQSSLNIRKFLAERNATNVKNVAKTVGCQILPYRREFILQIEVTNVKNVAKPVKSSQTLLNIIEFILERNPTNVKNVAKHLPVPQPLLNTREIILETDPTNAKNVTKPLGVAQTLLNIREFILERNPTNVKNVTKPLGVAQTLLNIREFILERNPTNVMNVEKLLCGSRPLVNITEFILERNPTFVKNVAKPLPTPQPLLATREFIWN
+>DECOY_XM_001719625|629766_2_994_1697
+NWIFERTALLPQPTPLPKAVNKVFTPNRELIFETINVLPRSGCLLKEVNMVNTPNRELIFERINLLTQAVGLPKTVNKVNTPNRELIFERINLLTQAVGLPKTVNKANTPDTELIIERTNLLPQPVPLHKAVNKVNTPNRELIFEIINLLTQSSKVPKAVNKVNTVEIQLIFERRYPLIQCGVTKAVNKVNTANREALFKRINLSSQACGLLKAVNIINVPKAIPLQCVNIFAM
+>XM_001720943|630041_2_1141_1304
+MGTASPQPVQVEKPRTGSGNRRPRRRCPLHCTPQPPSRPTMHTSSPPRFVLPLP
+>DECOY_XM_001720943|630041_2_1141_1304
+PLPLVFRPPSSTHMTPRSPPQPTCHLPCRRRPRRNGSGTRPKEVQVPQPSATGM
+>XM_001714957|630325_2_507_583
+MAGAPSREAPDTGVTWTQQGKHSCC
+>DECOY_XM_001714957|630325_2_507_583
+CCSHKGQQTWTVGTDPAERSPAGAM
+>XM_002346075|630354_2_172_593
+MVSAHRRSRWSPPPQDGQCTPEISMVTPSPGWSVHTGDLDGHPLPRMVSAHRRSRWSPPPQDGQCTPEISMVTPSPGWSVHTGDLDGHPLPRMVSAHRRSRWSPPPQDGQCTPEISMVTPSPGWSVHTGDLDGWALLFLW
+>DECOY_XM_002346075|630354_2_172_593
+WLFLLAWGDLDGTHVSWGPSPTVMSIEPTCQGDQPPPSWRSRRHASVMRPLPHGDLDGTHVSWGPSPTVMSIEPTCQGDQPPPSWRSRRHASVMRPLPHGDLDGTHVSWGPSPTVMSIEPTCQGDQPPPSWRSRRHASVM
+>XM_002346075|630356_2_310_593
+MVSAHRRSRWSPPPQDGQCTPEISMVTPSPGWSVHTGDLDGHPLPRMVSAHRRSRWSPPPQDGQCTPEISMVTPSPGWSVHTGDLDGWALLFLW
+>DECOY_XM_002346075|630356_2_310_593
+WLFLLAWGDLDGTHVSWGPSPTVMSIEPTCQGDQPPPSWRSRRHASVMRPLPHGDLDGTHVSWGPSPTVMSIEPTCQGDQPPPSWRSRRHASVM
+>XM_002346075|630358_2_448_593
+MVSAHRRSRWSPPPQDGQCTPEISMVTPSPGWSVHTGDLDGWALLFLW
+>DECOY_XM_002346075|630358_2_448_593
+WLFLLAWGDLDGTHVSWGPSPTVMSIEPTCQGDQPPPSWRSRRHASVM
+>XM_002346075|630363_3_218_603
+MVSAHRRSRWSPPPQDGQCTPEISMVTPSPGWSVHTGDLDGHPLPRMVSAHRRSRWSPPPQDGQCTPEISMVTPSPGWSVHTGDLDGHPLPRMVSAHRRSRWSPPPQDGQCTPEISMAGPSCSCGEGG
+>DECOY_XM_002346075|630363_3_218_603
+GGEGCSCSPGAMSIEPTCQGDQPPPSWRSRRHASVMRPLPHGDLDGTHVSWGPSPTVMSIEPTCQGDQPPPSWRSRRHASVMRPLPHGDLDGTHVSWGPSPTVMSIEPTCQGDQPPPSWRSRRHASVM
+>XM_002346075|630365_3_356_603
+MVSAHRRSRWSPPPQDGQCTPEISMVTPSPGWSVHTGDLDGHPLPRMVSAHRRSRWSPPPQDGQCTPEISMAGPSCSCGEGG
+>DECOY_XM_002346075|630365_3_356_603
+GGEGCSCSPGAMSIEPTCQGDQPPPSWRSRRHASVMRPLPHGDLDGTHVSWGPSPTVMSIEPTCQGDQPPPSWRSRRHASVM
+>XM_002346075|630367_3_494_603
+MVSAHRRSRWSPPPQDGQCTPEISMAGPSCSCGEGG
+>DECOY_XM_002346075|630367_3_494_603
+GGEGCSCSPGAMSIEPTCQGDQPPPSWRSRRHASVM
+>XM_001715395|630472_2_622_698
+MGRRGQSRTRPKSALWSRRARGLAV
+>DECOY_XM_001715395|630472_2_622_698
+VALGRARRSWLASKPRTRSQGRRGM
+>XM_002346094|630616_3_143_240
+MGRRRRPERARGAGGCRLGLGGCRNQWCLLGF
+>DECOY_XM_002346094|630616_3_143_240
+FGLLCWQNRCGGLGLRCGGAGRAREPRRRRGM
+>XM_001717047|630802_2_210_352
+MEGHYLIHRNLSMALKLQRRQFGEQQTQLLWKEYLPPEDGLCLWRQQ
+>DECOY_XM_001717047|630802_2_210_352
+QQRWLCLGDEPPLYEKWLLQTQQEGFQRRQLKLAMSLNRHILYHGEM
+>XM_001717047|630803_2_246_352
+MALKLQRRQFGEQQTQLLWKEYLPPEDGLCLWRQQ
+>DECOY_XM_001717047|630803_2_246_352
+QQRWLCLGDEPPLYEKWLLQTQQEGFQRRQLKLAM
+>XM_002346167|631358_2_1171_1256
+MDCAWRSVQQEPIMKSRLRSAEIATSPA
+>DECOY_XM_002346167|631358_2_1171_1256
+APSTAIEASRLRSKMIPEQQVSRWACDM
+>XM_001716722|631987_2_55_191
+MGAASITFKGDLSSLGRADSIQQMHWSPNLVLEMLRTLKKQLSLC
+>DECOY_XM_001716722|631987_2_55_191
+CLSLQKKLTRLMELVLNPSWHMQQISDARGLSSLDGKFTISAAGM
+>XM_002344510|632522_2_1812_1963
+MAGSPITVAIVKTLVSSAQHCSGSLLLFDLVPGPPAMHCRPARDLNPVWP
+>DECOY_XM_002344510|632522_2_1812_1963
+PWVPNLDRAPRCHMAPPGPVLDFLLLSGSCHQASSVLTKVIAVTIPSGAM
+>XM_002344510|632532_2_2208_2350
+MAGSPTTVAIMKMLVSSAQLPSPGRRPGQILGRPHMHQQQDLNPVWP
+>DECOY_XM_002344510|632532_2_2208_2350
+PWVPNLDQQQHMHPRGLIQGPRRGPSPLQASSVLMKMIAVTTPSGAM
+>XM_002344510|632543_2_2595_2737
+MAGSPTTVAIVKTLVSSAQLPSPGQHLVQTLGQPLVHQQQDLNPLWP
+>DECOY_XM_002344510|632543_2_2595_2737
+PWLPNLDQQQHVLPQGLTQVLHQGPSPLQASSVLTKVIAVTTPSGAM
+>XM_002344509|632612_2_1419_1561
+MAGSPITVSTVKTLVSSAQLPTPGRRPVQVRGIELTRCRPSPYLHRQ
+>DECOY_XM_002344509|632612_2_1419_1561
+QRHLYPSPRCRTLEIGRVQVPRRGPTPLQASSVLTKVTSVTIPSGAM
+>XM_002344509|632621_2_1830_1972
+MAGSPITVAIVKTLVSSAQLPSPGRRPGQILGRPHMHQQQDLNPVWP
+>DECOY_XM_002344509|632621_2_1830_1972
+PWVPNLDQQQHMHPRGLIQGPRRGPSPLQASSVLTKVIAVTIPSGAM
+>XM_001717880|632928_2_3864_4039
+MDSASTQGTSSTTRRMARVAASPPAAGPTAPLRGGSTPAAPPPLSPQPPSPSPHPRLS
+>DECOY_XM_001717880|632928_2_3864_4039
+SLRPHPSPSPPQPSLPPPAAPTSGGRLPATPGAAPPSAAVRAMRRTTSSTGQTSASDM
+>XM_001717880|632930_2_4062_4387
+MAQAARTQALRAAPGPPQQALLPGRGCPQPLPHCRRSVGKSACGRHGWMSAALDGARTAVTSTHWRTSAPMGTGCANHPGRWSAELRTPPECRSEPWGSVCSAARMWG
+>DECOY_XM_001717880|632930_2_4062_4387
+GWMRAASCVSGWPESRCEPPTRLEASWRGPHNACGTGMPASTRWHTSTVATRAGDLAASMWGHRGCASKGVSRRCHPLPQPCGRGPLLAQQPPGPAARLAQTRAAQAM
+>XM_002344539|632966_2_376_473
+MALAAIPSFSSALPRLSGWMASMRSLARRKKA
+>DECOY_XM_002344539|632966_2_376_473
+AKKRRALSRMSAMWGSLRPLASSFSPIAALAM
+>XM_001721374|633626_2_671_855
+MGTARRRRRRPRGPGLAPSPLDSAAGPARTNRRRGETDRRSTSAAMPTRNRLVEGQTPYKA
+>DECOY_XM_001721374|633626_2_671_855
+AKYPTQGEVLRNRTPMAASTSRRDTEGRRRNTRAPGAASDLPSPALGPGRPRRRRRRATGM
+>XM_002344589|633676_2_100_197
+MGPPGQAQCRPFPSAGTQEEVVGSGSGGGWRS
+>DECOY_XM_002344589|633676_2_100_197
+SRWGGGSGSGVVEEQTGASPFPRCQAQGPPGM
+>XM_001718830|633959_3_65_198
+MARSKTKFCSENWEYACTFRKGTSDFRFAEIERDADNRLGVTNK
+>DECOY_XM_001718830|633959_3_65_198
+KNTVGLRNDADREIEAFRFDSTGKRFTCAYEWNESCFKTKSRAM
+>XM_002344629|634111_3_602_690
+MARNQLSISGQSFPGLRLPGPSFLQLAQF
+>DECOY_XM_002344629|634111_3_602_690
+FQALQLFSPGPLRLGPFSQGSISLQNRAM
+>XM_001722912|634209_2_157_284
+MGILRARGGRGAGSQSPPRAVKDPVRFSRLTVITAYWSNVQK
+>DECOY_XM_001722912|634209_2_157_284
+KQVNSWYATIVTLRSFRVPDKVARPPSQSGAGRGGRARLIGM
+>XM_001715979|634537_3_260_387
+MGPLCTRAVCPELGQCGRNMHIRPGAPHPFPGSPGVRHGQSL
+>DECOY_XM_001715979|634537_3_260_387
+LSQGHRVGPSGPFPHPAGPRIHMNRGCQGLEPCVARTCLPGM
+>XM_001715676|635042_2_274_383
+MGRRWMHEPPLPLCFLHLLLHPQHRTWTEASRYYPL
+>DECOY_XM_001715676|635042_2_274_383
+LPYYRSAETWTRHQPHLLLHLFCLPLPPEHMWRRGM
+>XM_001714782|635175_2_163_251
+MVLRARGGRGAGSQSLPRWGCLPPRRLVS
+>DECOY_XM_001714782|635175_2_163_251
+SVLRRPPLCGWRPLSQSGAGRGGRARLVM
+>XM_001714983|635185_3_443_984
+MGRVGRGRPRLPFRSQGGDSGRREKQSGWGQKENPSLPLPTQTAKGLQPAVPGAGEQLGRERNSAPSPRPVEPPRRRGGRSRSGPRLREASVPRFHPAPQLSACWLRGHQSQGARRRAATALLCSILPQHRGPNAANPVTCPPGKTSSPGLRAARQASWRRRRQRPHPARGLGPRLRRHA
+>DECOY_XM_001714983|635185_3_443_984
+AHRRLRPGLGRAPHPRQRRRRWSAQRAARLGPSSTKGPPCTVPNAANPGRHQPLISCLLATAARRRAGQSQHGRLWCASLQPAPHFRPVSAERLRPGSRSRGGRRRPPEVPRPSPASNRERGLQEGAGPVAPQLGKATQTPLPLSPNEKQGWGSQKERRGSDGGQSRFPLRPRGRGVRGM
+>XM_001723012|636114_3_247_374
+MAQKRFLQTHTLSWLRHSDKDSASGIKMAPKGAREINTRNRK
+>DECOY_XM_001723012|636114_3_247_374
+KRNRTNIERAGKPAMKIGSASDKDSHRLWSLTHTQLFRKQAM
+>XM_001716204|636207_2_430_719
+MGAAAFRATTTTTTRSSRRRCRSPAAAGGTACTAGSRSCRRLSAWSSYAACWTCACRSRSASSARPWRTWPARTTTRCATRRSRPTTRPTWAASPT
+>DECOY_XM_001716204|636207_2_430_719
+TPSAAWTPRTTPRSRRTACRTTTRAPWTRWPRASSASRSRCACTWCAAYSSWASLRRCSRSGATCATGGAAAPSRCRRRSSRTTTTTTARFAAAGM
+>XM_002344823|636329_3_32_219
+MDAGHPWPSLPPNPGRTGQAGHWAHTRCRGCALLQAPGSTSAAHAGSPHTTPWATPGPLGTS
+>DECOY_XM_002344823|636329_3_32_219
+STGLPGPTAWPTTHPSGAHAASTSGPAQLLACGRCRTHAWHGAQGTRGPNPPLSPWPHGADM
+>XM_002345043|638408_3_203_348
+MAPRRGRCFCTSICGGRGARPQLPRTCGDGTQHCGRGKPALAAAEEWP
+>DECOY_XM_002345043|638408_3_203_348
+PWEEAAALAPKGRGCHQTGDGCTRPLQPRAGRGGCISTCFCRGRRPAM
+>XM_001717576|638576_3_363_463
+MGHLQKQQKAHRSSVPGNSHEHPGPDVVSPPEH
+>DECOY_XM_001717576|638576_3_363_463
+HEPPSVVDPGPHEHSNGPVSSRHAKQQKQLHGM
+>XM_002345082|638850_2_11853_12640
+MENSIMCPWGRDKRWWLRTPWTWLQRKDTGSFCRISTWWPGGWEHWTRSWSATARAAMRTTGCSSARSLPPAPRPTSSPRAFWRTPSRSPTSPPRACTPTCTRPWTCSPRTPWRCAPRRWSSSACSSPCATSTLWWQRGASSAPRAGTGRTPSTTGTSPSPSTCSTTTWRPTPRCPGTISATFLVKSCMAATSQMTGTVGCAGPTWLNTSGRRCWRETSCWPPAFRSPPTWTTRVTTNTSMRTCPLRVPICMACTPTQRLAF
+>DECOY_XM_002345082|638850_2_11853_12640
+FALRQTPTCAMCIPVRLPCTRMSTNTTVRTTWTPPSRFAPPWCSTERWCRRGSTNLWTPGACGVTGTMQSTAAMCSKVLFTASITGPCRPTPRWTTTSCTSPSPSTGTTSPTRGTGARPASSAGRQWWLTSTACPSSCASSSWRRPACRWPTRPSCTWPRTCTPTCARPPSTPSRSPTRWFARPSSTPRPAPPLSRASSCGTTRMAARATASWSRTWHEWGGPWWTSIRCFSGTDKRQLWTWPTRLWWRKDRGWPCMISNEM
+>XM_001716066|639364_2_463_935
+MDLRMPARQSTVSTRRRMDLRMPARQSTSTVSTRRRMDLRMPARQSTVSTRRRMDLRMPARQSTVSTRRMDLRMPGRQSTVSTRRMDLRMPGQQSTVSTRRMDLRMPAAQSTVLATEQDGPALLSCHHAGIIFTSHLKRPIVPMSQGLSAAALTVNS
+>DECOY_XM_001716066|639364_2_463_935
+SNVTLAAASLGQSMPVIPRKLHSTFIIGAHHCSLLAPGDQETALVTSQAAPMRLDMRRTSVTSQQGPMRLDMRRTSVTSQRGPMRLDMRRTSVTSQRAPMRLDMRRRTSVTSQRAPMRLDMRRRTSVTSTSQRAPMRLDMRRRTSVTSQRAPMRLDM
+>XM_001716066|639366_2_514_935
+MDLRMPARQSTSTVSTRRRMDLRMPARQSTVSTRRRMDLRMPARQSTVSTRRMDLRMPGRQSTVSTRRMDLRMPGQQSTVSTRRMDLRMPAAQSTVLATEQDGPALLSCHHAGIIFTSHLKRPIVPMSQGLSAAALTVNS
+>DECOY_XM_001716066|639366_2_514_935
+SNVTLAAASLGQSMPVIPRKLHSTFIIGAHHCSLLAPGDQETALVTSQAAPMRLDMRRTSVTSQQGPMRLDMRRTSVTSQRGPMRLDMRRTSVTSQRAPMRLDMRRRTSVTSQRAPMRLDMRRRTSVTSTSQRAPMRLDM
+>XM_001717543|639981_2_628_1190
+MAQCAGSAVTWTATPTMTRSSLMAHWLPPYPSGAAAGEPQAGTHCVGTNVGGPAQRALRTGWSSTRALASADPWPPAQGALSPPAMLMCHLRASSRAVFWTSAWVVGTMTFFARLWLPTWPPARPLGLSSKTGGHRLAVRSPAQKTATMRSVAHPARPAVRPLHPLRRQPYVRAPVWRAASATRVSC
+>DECOY_XM_001717543|639981_2_628_1190
+CSVRTASAARWVPARVYPQRRLPHLPRVAPRAPHAVSRMTATKQAPSRVALRHGGTKSSLGLPRAPPWTPLWLRAFFTMTGVVWASTWFVARSSARLHCMLMAPPSLAGQAPPWPDASALARTSSWGTRLARQAPGGVNTGVCHTGAQPEGAAAGSPYPPLWHAMLSSRTMTPTATWTVASGACQAM
+>XM_001718923|640387_2_94_188
+MALQTLPLRVLYYQPRSTPPCSLTSPLMESP
+>DECOY_XM_001718923|640387_2_94_188
+PSEMLPSTLSCPPTSRPQYYLVRLPLTQLAM
+>XM_001717071|641065_2_295_455
+MGTSSHPIASNSCPGQPLSSPASTAWWKREWAFFPCLTHQWLIGRSLKASGTS
+>DECOY_XM_001717071|641065_2_295_455
+STGSAKLSRGILWQHTLCPFFAWERKWWATSAPSSLPQGPCSNSAIPHSSTGM
+>XM_001725517|641315_2_793_956
+MGQHHWPCSVQPQPPWDPRHLRHPHHFLAATGCWNRFQFPGKFLFFASPSPSME
+>DECOY_XM_001725517|641315_2_793_956
+EMSPSPSAFFLFKGPFQFRNWCGTAALFHHPHRLHRPDWPPQPQVSCPWHHQGM
+>XM_001718679|641460_2_127_221
+MGSSAGPAAGEPLALGAPPRSRTAATPTSAP
+>DECOY_XM_001718679|641460_2_127_221
+PASTPTAATRSRPPAGLALPEGAAPGASSGM
+>XM_002345479|641592_2_232_404
+MEASLPLCPGPGLCRQTSMPRGQKSDITRHPRPPGRAPAPTEMPSPAPTAPREACRG
+>DECOY_XM_002345479|641592_2_232_404
+GRCAERPATPAPSPMETPAPARGPPRPHRTIDSKQGRPMSTQRCLGPGPCLPLSAEM
+>XM_002346320|642115_2_1666_1799
+MGQTCCPVHSLSLWPVFRLIPCLMAKLRSGAMSIPCLRKELGWI
+>DECOY_XM_002346320|642115_2_1666_1799
+IWGLEKRLCPISMAGSRLKAMLCPILRFVPWLSLSHVPCCTQGM
+>XM_002346320|642128_2_3568_4133
+MGLVLKTGLLLSGGSNLGFRPWMETGKIACPGLMMRMKPVLGPGVGLVIRLGLFGLGLWLVMRPVLSPGLGPGLRMWLVLGLGLELGSRPVEGSGLGVRLVRGPGLGTRPVEEPGLGLRTRPVGGLGLSLGIRPLESFGLRVRPVMGPGLGDRPVGCPGLGKRPLEGPGLGLRTKPVKGLGLELGLGI
+>DECOY_XM_002346320|642128_2_3568_4133
+IGLGLELGLGKVPKTRLGLGPGELPRKGLGPCGVPRDGLGPGMVPRVRLGFSELPRIGLSLGLGGVPRTRLGLGPEEVPRTGLGPGRVLRVGLGSGEVPRSGLELGLGLVLWMRLGPGLGPSLVPRMVLWLGLGFLGLRIVLGVGPGLVPKMRMMLGPCAIKGTEMWPRFGLNSGGSLLLGTKLVLGM
+>XM_002346320|642135_2_4003_4133
+MGPGLGDRPVGCPGLGKRPLEGPGLGLRTKPVKGLGLELGLGI
+>DECOY_XM_002346320|642135_2_4003_4133
+IGLGLELGLGKVPKTRLGLGPGELPRKGLGPCGVPRDGLGPGM
+>XM_001714271|642538_3_1055_1458
+MGVQGHCPYSRCPARRQVSSTHGRCPYSRCPWQGQVTGTQRHCFYSRDPAGKQVICVQGHCPYSRCPAWRQVSSAHGLCPYSRRPSQGQVTGPQRHCFYSRDPAGKHVICVQGHCPYSRCPARLQVMGAQGHCT
+>DECOY_XM_001714271|642538_3_1055_1458
+TCHGQAGMVQLRAPCRSYPCHGQVCIVHKGAPDRSYFCHRQPGTVQGQSPRRSYPCLGHASSVQRWAPCRSYPCHGQVCIVQKGAPDRSYFCHRQTGTVQGQWPCRSYPCRGHTSSVQRRAPCRSYPCHGQVGM
+>XM_002346221|642721_2_454_677
+MASATLSSPTWTRSHSATWLTYSPPVWTSAGGTCCSSSHWPSLPPGCCLVSSSGSLRWHMVTWSRLRAAAAHPV
+>DECOY_XM_002346221|642721_2_454_677
+VPHAAAARLRSWTVMHWRLSGSSSVLCCGPPLSPWHSSSCCTGGASTWVPPSYTLWTASHSRTWTPSSLTASAM
+>XM_001718943|642800_2_25_257
+MVPLLSLPFSKPATLQTPHVAAHGNPSSGHHAGEASSLWGLALVSYVELNESHTPACCTGRLRCTEARGPLSAHVRP
+>DECOY_XM_001718943|642800_2_25_257
+PRVHASLPGRAETCRLRGTCCAPTHSENLEVYSVLALGWLSSAEGAHHGSSPNGHAAVHPTQLTAPKSFPLSLLPVM
+>XM_001719844|642945_2_25_257
+MVPLLSLPFSKPATLQTPHVAAHGNPSSGHHAGEASSLWGLALGFYVEMNESHTPACCTGRLRCTGARRPLSARVRP
+>DECOY_XM_001719844|642945_2_25_257
+PRVRASLPRRAGTCRLRGTCCAPTHSENMEVYFGLALGWLSSAEGAHHGSSPNGHAAVHPTQLTAPKSFPLSLLPVM
+>XM_001720057|643038_2_518_741
+MASATLRSPTWTRSHSATWLTCSPPVWTSAGATCCSSSRWPSLPPGCCSASSSGSSRWHTVTWSRLRATAAHPV
+>DECOY_XM_001720057|643038_2_518_741
+VPHAATARLRSWTVTHWRSSGSSSASCCGPPLSPWRSSSCCTAGASTWVPPSCTLWTASHSRTWTPSRLTASAM
+>XM_001722105|643082_2_498_652
+MGTQSQKVFLRPASSPRVIIPSSRSVTSPSSLLLMRFMTARWSTGAWMSLF
+>DECOY_XM_001722105|643082_2_498_652
+FLSMWAGTSWRATMFRMLLLSSPSTVSRSSPIIVRPSSAPRLFVKQSQTGM
+>XM_002346249|643096_2_575_651
+MARRRQLALCPPPLLGMVTGPSRSW
+>DECOY_XM_002346249|643096_2_575_651
+WSRSPGTVMGLLPPPCLALQRRRAM
+>XM_002346272|643269_2_46_119
+MDSEFCSTSPRREPLGTQRYRCCS
+>DECOY_XM_002346272|643269_2_46_119
+SCCRYRQTGLPERRPSTSCFESDM
+>XM_002346274|643299_3_437_621
+MGCGCEIYPVLCKVPQRAAAGVSPGPEEPALPLCITCTVSGHSITTSPYYWAWICRLPGRG
+>DECOY_XM_002346274|643299_3_437_621
+GRGPLRCIWAWYYPSTTISHGSVTCTICLPLAPEEPGPSVGAAARQPVKCLVPYIECGCGM
+>NM_016938|643379_2_903_1237
+MASPAVILMSVATPATSVSTAASTSQAVSPATAHRVTSCWPHASAKTLMSVSLVRTSAPRPKPVSTSMGATAAWTPTAAWSPTSRSLRTAVSARPPTLYVESSLHPLCTAT
+>DECOY_NM_016938|643379_2_903_1237
+TATCLPHLSSEVYLTPPRASVATRLSRSTPSWAATPTWAATAGMSTSVPKPRPASTRVLSVSMLTKASAHPWCSTVRHATAPSVAQSTSAATSVSTAPTAVSMLIVAPSAM
+>NM_018078|643406_2_732_817
+MVQVYRCILWKKHCLKSILSVKLNITSV
+>DECOY_NM_018078|643406_2_732_817
+VSTINLKVSLISKLCHKKWLICRYVQVM
+>NM_018078|643407_2_2475_2566
+MDWKKNSGEKFFRISKKKPKKTTNLVSCMD
+>DECOY_NM_018078|643407_2_2475_2566
+DMCSVLNTTKKPKKKSIRFFKEGSNKKWDM
+>NM_030786|643571_2_1040_1152
+MGTFSRKAGDSLPSLKISWQRAARTWRRSMSLSSCGS
+>DECOY_NM_030786|643571_2_1040_1152
+SGCSSLSMSRRWTRAARQWSIKLSPLSDGAKRSFTGM
+>NM_170589|643657_2_1296_1369
+MELKLQEIKQFLRVNKILLFKTFP
+>DECOY_NM_170589|643657_2_1296_1369
+PFTKFLLIKNVRLFQKIEQLKLEM
+>NM_005159|643781_2_466_578
+MVSSPTGTTWRRSGTTPSTMSSVWLPRSTPPCSQRPR
+>DECOY_NM_005159|643781_2_466_578
+RPRQSCPPTSRPLWVSSMTSPTTGSRRWTTGTPSSVM
+>NM_001081492|643822_2_960_1060
+MGAASRAAAARSRISMCASRSCGPRSSLSRAIA
+>DECOY_NM_001081492|643822_2_960_1060
+AIARSLSSRPGCSRSACMSIRSRAAAARSAAGM
+>NM_001081492|643830_3_187_371
+MGQLQGPRAGLQLPQPHRLLVGWHYLQGDCEPRPAGAPGCQVGPRCSAAEEPGEGGDEGPQ
+>DECOY_NM_001081492|643830_3_187_371
+QPGEDGGEGPEEAASCRPGVQCGPAGAPRPECDGQLYHWGVLLRHPQPLQLGARPGQLQGM
+>NM_005929|643918_2_888_1168
+MVAGPMSPSGGSAIWPGCLLTPWWSGPTQMGASSSGCSTKASVCSATRAAASRCSALRPMARRIYSSKTLPRSLCPSPHRPMRRGWAMSTCTP
+>DECOY_NM_005929|643918_2_888_1168
+PTCTSMAWGRRMPRHPSPCLSRPLTKSSYIRRAMPRLASCRSAAARTASCVSAKTSCGSSSAGMQTPGSWWPTLLCGPWIASGGSPSMPGAVM
+>NM_001031738|643946_2_782_978
+MGQPCVSGCVSSISSFSMAPSATSLGQSPQTHWWLHCSLPLAGPASPPGAAAPPPTSTVPPRASL
+>DECOY_NM_001031738|643946_2_782_978
+LSARPPVTSTPPPAAAGPPSAPGALPLSCHLWWHTQPSQGLSTASPAMSFSSISSVCGSVCPQGM
+>NM_004044|644289_2_1249_1331
+METIVSFRWTNLTNQMKMKFELSLVFI
+>DECOY_NM_004044|644289_2_1249_1331
+IFVLSLEFKMKMQNTLNTWRFSVITEM
+>NM_004044|644290_2_1348_1433
+MVSSTSHYLAMLLPKIKICQSLPSETSS
+>DECOY_NM_004044|644290_2_1348_1433
+SSTESPLSQCIKIKPLLMALYHSTSSVM
+>NM_020686|644310_2_1121_1221
+MAAPSWWTRSRPEEAARASSGPMSTGAWMTQQT
+>DECOY_NM_020686|644310_2_1121_1221
+TQQTMWAGTSMPGSSARAAEEPRSRTWWSPAAM
+>NM_153342|644405_2_753_949
+MGQPCVSGCVSSISPFSMAPSATSLGQSPQTHWWLHCSLPLAGPASPPGAAAPPPTSTVPPRASL
+>DECOY_NM_153342|644405_2_753_949
+LSARPPVTSTPPPAAAGPPSAPGALPLSCHLWWHTQPSQGLSTASPAMSFPSISSVCGSVCPQGM
+>NM_003399|644454_2_1796_1968
+MVMGQATALATSCVCMSGQWDSSPTTSLWPRACSLPLNLVTIRMENLGSVSKMWLSW
+>DECOY_NM_003399|644454_2_1796_1968
+WSLWMKSVSGLNEMRITVLNLPLSCARPWLSTTPSSDWQGSMCVCSTALATAQGMVM
+>NM_003399|644455_2_1802_1968
+MGQATALATSCVCMSGQWDSSPTTSLWPRACSLPLNLVTIRMENLGSVSKMWLSW
+>DECOY_NM_003399|644455_2_1802_1968
+WSLWMKSVSGLNEMRITVLNLPLSCARPWLSTTPSSDWQGSMCVCSTALATAQGM
+>NM_003399|644460_3_816_970
+MGIREATGSKSTHLCPAGGIHREHLAGESIWRPKPDAEASKGPDCRPSVGA
+>DECOY_NM_003399|644460_3_816_970
+AGVSPRCDPGKSAEADPKPRWISEGALHERHIGGAPCLHTSKSGTAERIGM
+>NM_005370|644538_3_404_540
+MGHSRSGTVSDDHNGLLQGCNGHHAGLRHHQREVLRQHPELDSQH
+>DECOY_NM_005370|644538_3_404_540
+HQSDLEPHQRLVERQHHRLGAHHGNCGQLLGNHDDSVTGSRSHGM
+>NM_005312|644574_2_1673_2169
+MEVPQPLSNLWVILLLLSQPVTQKNHLLYQRRKTNTCWPTCSCWRTTRSRSPLCSTRRHRTSTSTSRRTSSSWRYTASATPSVGWTPCRSWPRRPPYPPSSGSWSHRLGKTDIPEIPQRSAASLGRTAETAVRGPQSHQMLWSRLSRRRKWTSCPSLTTTKLCPG
+>DECOY_NM_005312|644574_2_1673_2169
+GPCLKTTTLSPCSTWKRRRSLRSWLMQHSQPGRVATEATRGLSAASRQPIEPIDTKGLRHSWSGSSPPYPPRRPWSRCPTWGVSPTASATYRWSSSTRRSTSTSTRHRRTSCLPSRSRTTRWCSCTPWCTNTKRRQYLLHNKQTVPQSLLLLIVWLNSLPQPVEM
+>NM_005207|644634_2_1077_1282
+MEIGIPTVMGSQNLLMHTLNLRPQLLYLQFPVLLGQQSPLCHPHRMDLSLRKQSRKEYPVLMTRLPWH
+>DECOY_NM_005207|644634_2_1077_1282
+HWPLRTMLVPYEKRSQKRLSLDMRHPHCLPSQQGLLVPFQLYLLQPRLNLTHMLLNQSGMVTPIGIEM
+>NM_005207|644635_2_1101_1282
+MGSQNLLMHTLNLRPQLLYLQFPVLLGQQSPLCHPHRMDLSLRKQSRKEYPVLMTRLPWH
+>DECOY_NM_005207|644635_2_1101_1282
+HWPLRTMLVPYEKRSQKRLSLDMRHPHCLPSQQGLLVPFQLYLLQPRLNLTHMLLNQSGM
+>NM_005442|644676_2_1471_1592
+MAREPCHRPTASFHPNRAKRWPTLPSGGLSRLSSNLGPTN
+>DECOY_NM_005442|644676_2_1471_1592
+NTPGLNSSLRSLGGSPLTPWRKARNPHFSATPRHCPERAM
+>NM_057176|644805_2_676_773
+MEEKVALATFRPGWRLPWSSTRAQTRVKGKDA
+>DECOY_NM_057176|644805_2_676_773
+ADKGKVRTQARTSSWPLRWGPRFTALAVKEEM
+>NM_000124|644887_2_266_402
+MGRWRSTSPFVLWVTGCPPLLWGAHQQLRGEGQPCCTSTDIRSRQ
+>DECOY_NM_000124|644887_2_266_402
+QRSRIDTSTCCPQGEGRLQQHAGWLLPPCGTVWLVFPSTSRWRGM
+>NM_000124|644898_2_3530_3606
+MGNVQILQEQAKLLCHLVMKALMKS
+>DECOY_NM_000124|644898_2_3530_3606
+SKMLAKMVLHCLLKAQEQLIQVNGM
+>NM_005158|644958_2_2076_2191
+MGGALHRGTSVMTTVVGVGAVALLGVGGLASQASLHHA
+>DECOY_NM_005158|644958_2_2076_2191
+AHHLSAQSALGGVGLLAVAGVGVVTTMVSTGRHLAGGM
+>NM_005158|644963_2_2604_2740
+MVGHDLGWLEFQRMESSRAGLLQPRLPPSSQPLTTTKCQSLSHPL
+>DECOY_NM_005158|644963_2_2604_2740
+LPHSLSQCKTTTLPQSSPPLRPQLLGARSSEMRQFELWGLDHGVM
+>NM_005158|644964_2_2643_2740
+MESSRAGLLQPRLPPSSQPLTTTKCQSLSHPL
+>DECOY_NM_005158|644964_2_2643_2740
+LPHSLSQCKTTTLPQSSPPLRPQLLGARSSEM
+>NM_000906|645013_2_1307_1398
+MGRMSVPARPFRLPKSLHIKTQIIPSTWNS
+>DECOY_NM_000906|645013_2_1307_1398
+SNWTSPIIQTKIHLSKPLRFPRAPVSMRGM
+>NM_000906|645018_2_2456_2622
+MGWRASGTWTQSKDTPFMPKSCGRPLSSCEWLHPLCGAPRLVTYTALGSSFRRLP
+>DECOY_NM_000906|645018_2_2456_2622
+PLRRFSSGLATYTVLRPAGCLPHLWECSSLPRGCSKPMFPTDKSQTWTGSARWGM
+>NM_000964|645041_2_753_859
+MGSAPVRAARASSAAASRRTWCTRVTGTRTASSTR
+>DECOY_NM_000964|645041_2_753_859
+RTSSATRTGTVRTCWTRRSAAASSARAARVPASGM
+>NM_001098268|645081_2_1085_1230
+MEMYINTSLEMDITTLISLVLLLLKVLLPHSFIMHSKQIYKSVFLMVR
+>DECOY_NM_001098268|645081_2_1085_1230
+RVMLFVSKYIQKSHMIFSHPLLVKLLLLVLSILTTIDMELSTNIYMEM
+>NM_001098268|645083_2_1115_1230
+MDITTLISLVLLLLKVLLPHSFIMHSKQIYKSVFLMVR
+>DECOY_NM_001098268|645083_2_1115_1230
+RVMLFVSKYIQKSHMIFSHPLLVKLLLLVLSILTTIDM
+>NM_172375|645151_2_1081_1205
+MEQQSSCSWCVCLDWWPTGWPAYGIASETTRSLMKSLTPSK
+>DECOY_NM_172375|645151_2_1081_1205
+KSPTLSKMLSRTTESAIGYAPWGTPWWDLCVCWSCSSQQEM
+>NM_172375|645160_3_1274_1497
+MGRRTQQGFIVRVLSLLYHDKPYNHRIWKHSSYHRCGEDVFGGYDDGWLSSLCNYFWKCYNNFPANVCQHQPIP
+>DECOY_NM_172375|645160_3_1274_1497
+PIPQHQCVNAPFNNYCKWFYNCLSSLWGDDYGGFVDEGCRHYSSHKWIRHNYPKDHYLLSLVRVIFGQQTRRGM
+>NM_139318|645290_2_2464_2609
+MEMEKGGCDSRIIWEPMRRKRKTGIMSLKLSQWGYCLRTPRAVIQRTV
+>DECOY_NM_139318|645290_2_2464_2609
+VTRQIVARPTRLCYGWQSLKLSMIGTKRKRRMPEWIIRSDCGGKEMEM
+>NM_139318|645291_2_2470_2609
+MEKGGCDSRIIWEPMRRKRKTGIMSLKLSQWGYCLRTPRAVIQRTV
+>DECOY_NM_139318|645291_2_2470_2609
+VTRQIVARPTRLCYGWQSLKLSMIGTKRKRRMPEWIIRSDCGGKEM
+>NM_001269|645330_2_1052_1164
+MGGWALERVLRRRAYPPSSPGCLLSPRWLVGPLWGML
+>DECOY_NM_001269|645330_2_1052_1164
+LMGWLPGVLWRPSLLCGPSSPPYARRRLVRELAWGGM
+>NM_001269|645331_2_1172_1257
+MVVFSPGAWAPTTSWAQGRMRTPGALWR
+>DECOY_NM_001269|645331_2_1172_1257
+RWLAGPTRMRGQAWSTTPAWAGPSFVVM
+>NM_002880|645446_2_548_642
+MANSQILLRQATLSVFSCRTSKEQWSMCEME
+>DECOY_NM_002880|645446_2_548_642
+EMECMSWQEKSTRCSFVSLTAQRLLIQSNAM
+>NM_014286|645473_2_423_550
+MATSPGMRCWTLWMPFTRWWGIPWSSQRRRTLLRRGWTGSLP
+>DECOY_NM_014286|645473_2_423_550
+PLSGTWGRRLLTRRRQSSWPIGWWRTFPMWLTWCRMGPSTAM
+>NM_004444|645547_2_1215_1492
+MASGPNSRSRAAAVLRGSRQLRGTPSAEPVPRAPSSPCQEKGPASHAQPIATLTPLDQPSASAASGTSGHAQTPGVHPAPPLLRLRGAWFPA
+>DECOY_NM_004444|645547_2_1215_1492
+APFWAGRLRLLPPAPHVGPTQAHGSTGSAASASPQDLPTLTAIPQAHSAPGKEQCPSSPARPVPEASPTGRLQRSGRLVAAARSRSNPGSAM
+>NM_004444|645548_2_2193_2299
+MGEKQNIRTNTDSISSDMVLRSTSTPSLMKTLMRL
+>DECOY_NM_004444|645548_2_2193_2299
+LRMLTKMLSPTSTSRLVMDSSISDTNTRINQKEGM
+>NM_004444|645550_2_3162_3394
+MAGPHTLSWTSGSLTTQLLALWASGFGPSKWEDTKKVSQPLALAPSSWSARSLLRTCSESESLWRDTRRKSWPVSST
+>DECOY_NM_004444|645550_2_3162_3394
+TSSVPWSKRRTDRWLSESESCTRLLSRASWSSPALALPQSVKKTDEWKSPGFGSAWLALLQTTLSGSTWSLTHPGAM
+>NM_004444|645552_3_1516_1628
+MECPPGVWWPRGPHLRPPLPGVPTRRLLCALRGRPDF
+>DECOY_NM_004444|645552_3_1516_1628
+FDPRGRLACLLRRTPVGPLPPRLHPGRPWWVGPPCEM
+>NM_001032297|645680_2_668_888
+MGNAENPFTGKHTSFSMRGPTQERKLTNMRNVQNPFVQVHILFSILELMWDSNFMNVMNVGKLSVRIQTSVNI
+>DECOY_NM_001032297|645680_2_668_888
+INVSTQIRVSLKGVNMVNMFNSDWMLELISFLIHVQVFPNQVNRMNTLKREQTPGRMSFSTHKGTFPNEANGM
+>NM_001884|645740_2_421_566
+MAPIYLWKQSKPRCFHTEVAMLHCHVNFIETLQHLAQESIKSELSGPS
+>DECOY_NM_001884|645740_2_421_566
+SPGSLESKISEQALHQLTEIFNVHCHLMAVETHFCRPKSQKWLYIPAM
+>NM_001884|645743_2_949_1106
+MALCNIPSQSPESPVGGRTQCPESGTTDFGIKIKADMMFSVLHPISMAVFTI
+>DECOY_NM_001884|645743_2_949_1106
+ITFVAMSIPHLVSFMMDAKIKIGFDTTGSEPCQTRGGVPSEPSQSPINCLAM
+>NM_014270|645768_2_882_991
+MDSGPMMDGINSITSQKNLETLTETCLWPLSSGSPW
+>DECOY_NM_014270|645768_2_882_991
+WPSGSSLPWLCTETLTELNKQSTISNIGDMMPGSDM
+>NM_014270|645770_2_900_991
+MDGINSITSQKNLETLTETCLWPLSSGSPW
+>DECOY_NM_014270|645770_2_900_991
+WPSGSSLPWLCTETLTELNKQSTISNIGDM
+>NM_004572|645849_2_782_900
+MALLATPFLTASLPTRPCSRTPGQGPAAAWATSWRRRTT
+>DECOY_NM_004572|645849_2_782_900
+TTRRRWSTAWAAAPGQGPTRSCPRTPLSATLFPTALLAM
+>NM_004572|645850_2_1520_1671
+MAGRARWLTPVIPALWEAKAGGSRGQEFETSLTNMVCCGICHLMTNSRIS
+>DECOY_NM_004572|645850_2_1520_1671
+SIRSNTMLHCIGCCVMNTLSTEFEQGRSGGAKAEWLAPIVPTLWRARGAM
+>NM_001136000|645974_2_168_253
+MDLRETRLEAVVQKLCIVPMVVMLNPRH
+>DECOY_NM_001136000|645974_2_168_253
+HRPNLMVVMPVICLKQVVAELRTERLDM
+>NM_007054|646065_2_431_519
+MGLFLHMDKPEQAKLLPWKVFELFLNLEE
+>DECOY_NM_007054|646065_2_431_519
+EELNLFLEFVKWPLLKAQEPKDMHLFLGM
+>NM_152621|646096_2_748_860
+MAMVNPRAYPVGCEKAPKSTRTISKLLCPLNQGTNFH
+>DECOY_NM_152621|646096_2_748_860
+HFNTGQNLPCLLKSITRTSKPAKECGVPYARPNVMAM
+>NM_152621|646097_2_754_860
+MVNPRAYPVGCEKAPKSTRTISKLLCPLNQGTNFH
+>DECOY_NM_152621|646097_2_754_860
+HFNTGQNLPCLLKSITRTSKPAKECGVPYARPNVM
+>NM_152621|646108_3_1013_1527
+MGIFCIRNKWDYISWIMDHPVAVSEIQVNSGTQILFYYWNFIPVSLHYNVCYYSTCAWNAFPVCSKAQWRLSGKSSTDSTIDFWWWIVHNWITYLMWRLPLQRSHGYADTDLFVHQRIFASSLLVVSFNLLAAECCRDHLHSCSTRTLHYRCDHCLLYHNTTVLVVPFNGQ
+>DECOY_NM_152621|646108_3_1013_1527
+QGNFPVVLVTTNHYLLCHDCRYHLTRTSCSHLHDRCCEAALLNFSVVLLSSAFIRQHVFLDTDAYGHSRQLPLRWMLYTIWNHVIWWWFDITSDTSSKGSLRWQAKSCVPFANWACTSYYCVNYHLSVPIFNWYYFLIQTGSNVQIESVAVPHDMIWSIYDWKNRICFIGM
+>NM_152621|646109_3_1061_1527
+MDHPVAVSEIQVNSGTQILFYYWNFIPVSLHYNVCYYSTCAWNAFPVCSKAQWRLSGKSSTDSTIDFWWWIVHNWITYLMWRLPLQRSHGYADTDLFVHQRIFASSLLVVSFNLLAAECCRDHLHSCSTRTLHYRCDHCLLYHNTTVLVVPFNGQ
+>DECOY_NM_152621|646109_3_1061_1527
+QGNFPVVLVTTNHYLLCHDCRYHLTRTSCSHLHDRCCEAALLNFSVVLLSSAFIRQHVFLDTDAYGHSRQLPLRWMLYTIWNHVIWWWFDITSDTSSKGSLRWQAKSCVPFANWACTSYYCVNYHLSVPIFNWYYFLIQTGSNVQIESVAVPHDM
+>NM_003722|646225_2_1080_1249
+MGKSWADAALRPGSVLAQEETGRRMKIASESSKFRTVQRTVMVRSARFVRTHMVSR
+>DECOY_NM_003722|646225_2_1080_1249
+RSVMHTRVFRASRVMVTRQVTRFKSSESAIKMRRGTEEQALVSGPRLAADAWSKGM
+>NM_001018054|646385_2_788_1152
+MAAAPASRSAGSATASLTARTARTRQPSSAAVRAPGPRPRPPPAPPPPSSPAAAASACTWAGAATATATAKTNRTRPTAHWAPAVGTSSSVGMGHVSLQSSTATRSRTVQMGVMKLAAYRG
+>DECOY_NM_001018054|646385_2_788_1152
+GRYAALKMVGMQVTRSRTATSSQLSVHGMGVSSSTGVAPAWHATPRTRNTKATATATAAGAWTCASAAAAPSSPPPPAPPPRPRPGPARVAASSPQRTRATRATLSATASGASRSAPAAAM
+>NM_001018054|646386_2_1064_1152
+MGHVSLQSSTATRSRTVQMGVMKLAAYRG
+>DECOY_NM_001018054|646386_2_1064_1152
+GRYAALKMVGMQVTRSRTATSSQLSVHGM
+>NM_001018054|646387_2_1172_1371
+MAAAHTSALTSRLALNARAQQASSSWTRRPVATLMSARTQMPAARSVSITRAILSVSATLATRWTY
+>DECOY_NM_001018054|646387_2_1172_1371
+YTWRTALTASVSLIARTISVSRAAPMQTRASMLTAVPRRTWSSSAQQARANLALRSTLASTHAAAM
+>NM_022167|646569_2_575_732
+MASPPSARSWARTHCLHWPGPAPSSASRRSPMWCACTRLGASCPRLCPGTVS
+>DECOY_NM_022167|646569_2_575_732
+SVTGPCLRPCSAGLRTCACWMPSRRSASSPAPGPWHLCHTRAWSRASPPSAM
+>NM_000788|646597_3_560_666
+MVFYLPNICLSQSNKSSACLSEWQAQRCRETCIIF
+>DECOY_NM_000788|646597_3_560_666
+FIICTERCRQAQWESLCASSKNSQSLCINPLYFVM
+>NM_004415|646704_2_7972_8048
+MVSAPAAAWAVVSAMMFLAAPDMNQ
+>DECOY_NM_004415|646704_2_7972_8048
+QNMDPAALFMMASVVAWAAAPASVM
+>NM_004415|646708_3_2276_2397
+MDADGAAEDSQADRALRGQDDSQKPPSSRPGVFSPHHSEN
+>DECOY_NM_004415|646708_3_2276_2397
+NESHHPSFVGPRSSPPKQSDDQGRLARDAQSDEAAGDADM
+>NM_024675|646923_2_531_727
+MAQEDYLYKEQMTPKNIFPTGSVTLVVSKSRSCQAEERSSRRGHLFHRRETVSLALIHSDCLGKD
+>DECOY_NM_024675|646923_2_531_727
+DKGLCDSHILALSVTERRHFLHGRRSSREEAQCSRSKSVVLTVSGTPFINKPTMQEKYLYDEQAM
+>NM_001229|646960_2_975_1063
+MGLRWPPLPLKTSPLAVTPSQMPPRSRKV
+>DECOY_NM_001229|646960_2_975_1063
+VKRSRPPMQSPTVALPSTKLPLPPWRLGM
+>NM_004331|646994_2_257_498
+MAMIMAMGKMGGWNTYHPHPPSTMETWRRFFWMHNMNQDRVVPEAVLTVTALRHKKMGRSCLMWKCTPAGTIALSQKKKL
+>DECOY_NM_004331|646994_2_257_498
+LKKKQSLAITGAPTCKWMLCSRGMKKHRLATVTLVAEPVVRDQNMNHMWFFRRWTEMTSPPHPHYTNWGGMKGMAMIMAM
+>NM_004331|646996_2_269_498
+MAMGKMGGWNTYHPHPPSTMETWRRFFWMHNMNQDRVVPEAVLTVTALRHKKMGRSCLMWKCTPAGTIALSQKKKL
+>DECOY_NM_004331|646996_2_269_498
+LKKKQSLAITGAPTCKWMLCSRGMKKHRLATVTLVAEPVVRDQNMNHMWFFRRWTEMTSPPHPHYTNWGGMKGMAM
+>NM_004331|646997_2_275_498
+MGKMGGWNTYHPHPPSTMETWRRFFWMHNMNQDRVVPEAVLTVTALRHKKMGRSCLMWKCTPAGTIALSQKKKL
+>DECOY_NM_004331|646997_2_275_498
+LKKKQSLAITGAPTCKWMLCSRGMKKHRLATVTLVAEPVVRDQNMNHMWFFRRWTEMTSPPHPHYTNWGGMKGM
+>NM_004331|646998_2_284_498
+MGGWNTYHPHPPSTMETWRRFFWMHNMNQDRVVPEAVLTVTALRHKKMGRSCLMWKCTPAGTIALSQKKKL
+>DECOY_NM_004331|646998_2_284_498
+LKKKQSLAITGAPTCKWMLCSRGMKKHRLATVTLVAEPVVRDQNMNHMWFFRRWTEMTSPPHPHYTNWGGM
+>NM_004331|646999_2_326_498
+METWRRFFWMHNMNQDRVVPEAVLTVTALRHKKMGRSCLMWKCTPAGTIALSQKKKL
+>DECOY_NM_004331|646999_2_326_498
+LKKKQSLAITGAPTCKWMLCSRGMKKHRLATVTLVAEPVVRDQNMNHMWFFRRWTEM
+>NM_004331|647002_2_425_498
+MGRSCLMWKCTPAGTIALSQKKKL
+>DECOY_NM_004331|647002_2_425_498
+LKKKQSLAITGAPTCKWMLCSRGM
+>NM_004235|647092_2_1480_1568
+MATGRLHTTSPWGGSSPAGLPRPWVLRKC
+>DECOY_NM_004235|647092_2_1480_1568
+CKRLVWPRPLGAPSSGGWPSTTHLRGTAM
+>NM_019077|647127_3_620_696
+MEPHHALGGTFILPLFFQKCLRNSL
+>DECOY_NM_019077|647127_3_620_696
+LSNRLCKQFFLPLIFTGGLAHHPEM
+>NM_001441|647145_2_1229_1305
+MVATPSYRTSKVISWTPAWGTWSQF
+>DECOY_NM_001441|647145_2_1229_1305
+FQSWTGWAPTWSIVKSTRYSPTAVM
+>NM_000332|647183_3_1102_1556
+MAPGQPWWPGPRGREAWAGRDLGGAWFTTGNRFTQSIVHRAGLLPAQRSQVCPRGHHAACRVRHPAARDPGVPRAVRSPAAHLPVHWVLPIQWNLCQLHPITADPPNRQPRHQCSGLGRRGHHSIPALPAGGLFHSAGQHGQSEPDAGTQG
+>DECOY_NM_000332|647183_3_1102_1556
+GQTGADPESQGHQGASHFLGGAPLAPISHHGRRGLGSCQHRPQRNPPDATIPHLQCLNWQIPLVWHVPLHAAPSRVARPVGPDRAAPHRVRCAAHHGRPCVQSRQAPLLGARHVISQTFRNGTTFWAGGLDRGAWAERGRPGPWWPQGPAM
+>NM_006812|647250_2_429_718
+MDATSSNTTWKIQRSKVKSSISATTNQPSTGMMKQPRPPSSIVLNATTARPMAMGPSATLMGGPGRPRFGSSVTRVQVSLGTTSIAWTSPCPALMC
+>DECOY_NM_006812|647250_2_429_718
+CMLAPCPSTWAISTTGLSVQVRTVSSGFRPRGPGGMLTASPGMAMPRATTANLVISSPPRPQKMMGTSPQNTTASISSKVKSRQIKWTTNSSTADM
+>NM_006812|647254_2_588_718
+MGPSATLMGGPGRPRFGSSVTRVQVSLGTTSIAWTSPCPALMC
+>DECOY_NM_006812|647254_2_588_718
+CMLAPCPSTWAISTTGLSVQVRTVSSGFRPRGPGGMLTASPGM
+>NM_000149|647279_3_667_773
+MAMAPLSGRTAISAAGGCVFLLLPACVPRRCHWIP
+>DECOY_NM_000149|647279_3_667_773
+PIWHCRRPVCAPLLLFVCGGAASIATRGSLPAMAM
+>NM_000149|647280_3_673_773
+MAPLSGRTAISAAGGCVFLLLPACVPRRCHWIP
+>DECOY_NM_000149|647280_3_673_773
+PIWHCRRPVCAPLLLFVCGGAASIATRGSLPAM
+>NM_000149|647281_3_838_989
+MDMAFPHPCGSVPLFRDGARHSRLPHHCRPQGVPTGRHGHRAPLGYHVQP
+>DECOY_NM_000149|647281_3_838_989
+PQVHYGLPARHGHRGTPVGQPRCHHPLRSHRAGDRFLPVSGCPHPFAMDM
+>NM_000149|647282_3_844_989
+MAFPHPCGSVPLFRDGARHSRLPHHCRPQGVPTGRHGHRAPLGYHVQP
+>DECOY_NM_000149|647282_3_844_989
+PQVHYGLPARHGHRGTPVGQPRCHHPLRSHRAGDRFLPVSGCPHPFAM
+>NM_002457|647491_2_2596_2813
+MAPAPFTGVATTSPLMGSTTTLTDTAPTWLFRTTAARTPHWAHSASSPRTSPVALRASPAPRPSRSSWGGRS
+>DECOY_NM_002457|647491_2_2596_2813
+SRGGWSSRSPRPAPSARLAVPSTRPSSASHAWHPTRAATTRFLWTPATDTLTTTSGMLPSTTAVGTFPAPAM
+>NM_002457|647497_2_3727_3821
+MAPSATGRSVAPTGRWRSTSTSVPLRHARPP
+>DECOY_NM_002457|647497_2_3727_3821
+PPRAHRLPVSTSTSRWRGTPAVSRGTASPAM
+>NM_002457|647502_2_4144_4352
+MDHLDCVTTTRYVSIVAGPWISVSPLPALQLPLPALHQPARPPFHQPPPPALQPPPQPPLHQPPPPALQ
+>DECOY_NM_002457|647502_2_4144_4352
+QLAPPPPQHLPPQPPPQLAPPPPQHFPPRAPQHLAPLPLQLAPLPSVSIWPGAVISVYRTTTVCDLHDM
+>NM_002457|647505_2_13828_13985
+MACPSPSGCPTTGLATTPRASVAPAPTPPPTTAFCPAGRSSPTVRLRLTSGW
+>DECOY_NM_002457|647505_2_13828_13985
+WGSTLRLRVTPSSRGAPCFATTPPPTPAPAVSARPTTALGTTPCGSPSPCAM
+>NM_006292|647620_3_842_978
+MADEGGNGSCPGRAQCLETNRRRPEKGSPETGRDGYPFRSRSSRG
+>DECOY_NM_006292|647620_3_842_978
+GRSSRSRFPYGDRGTEPSGKEPRRRNTELCQARGPCSGNGGEDAM
+>NM_007067|647647_2_1582_1676
+MARCLLISVICFPKSKKKLAPQNVHSQIWGL
+>DECOY_NM_007067|647647_2_1582_1676
+LGWIQSHVNQPALKKKSKPFCIVSILLCRAM
+>NM_013229|647689_2_3986_4083
+MEKSGYGMSQTVSFFICVLRFQKKELLPMEAG
+>DECOY_NM_013229|647689_2_3986_4083
+GAEMPLLEKKQFRLVCIFFSVTQSMGYGSKEM
+>NM_013229|647693_3_2331_2416
+MDKQKKHHESFPLSCPPPHRCCLPCLLF
+>DECOY_NM_013229|647693_3_2331_2416
+FLLCPLCCRHPPPCSLPFSEHHKKQKDM
+>NM_003072|647770_2_2436_2602
+MACPRPLHVACSPTMPWPMLSLREWTSSQRLWSMVSSNSTRSKVWSGWCPCTTTT
+>DECOY_NM_003072|647770_2_2436_2602
+TTTTCPCWGSWVKSRTSNSSVMSWLRQSSTWERLSLMPWPMTPSCAVHLPRPCAM
+>NM_016297|647967_2_739_815
+MAKARTSMPLWGRCHCPVLILAFGQ
+>DECOY_NM_016297|647967_2_739_815
+QGFALILVPCHCRGWLPMSTRAKAM
+>NM_012291|648231_2_1229_1341
+MVCMGAPPSNSSLFFRCTFRDFTSTLWWFMTLPKAVR
+>DECOY_NM_012291|648231_2_1229_1341
+RVAKPLTMFWWLTSTFDRFTCRFFLSSNSPPAGMCVM
+>NM_012291|648245_3_5415_5521
+MVDRAAGTGPQDGGSHRFPREVCAGLLEGAAAAVQ
+>DECOY_NM_012291|648245_3_5415_5521
+QVAAAAGELLGACVERPFRHSGGDQPGTGAARDVM
+>NM_080425|648424_2_475_2351
+MARPVDPQRSPDPTFRSSTRHSGKLEPMEATAHLLRKQCPSRLNSPAWEASGLHWSSLDSPVGSMQALRPSAQHSWSPEPSVVPDQAWEDTALHQKKLCPLSLTSLPREAAVNFSYRSQTLLQEAQVLQGSPELLPRSPKPSGLQRLAPEEATALPLRRLCHLSLMEKDLGTTAHPRGFPELSHKSTAAASSRQSRPRVRSASLPPRTRLPSGSQAPSAAHPKRLSDLLLTSRAAAPGWRSPDPRSRLAAPPLGSTTLPSTWTAPQSRLTARPSRSPEPQIRESEQRDPQLRRKQQRWKEPLMPRREEKYPLRGTDPLPPGQPQRIPLPGQPLQPQPILTPGQPQKIPTPGQHQPILTPGHSQPIPTPGQPLPPQPIPTPGRPLTPQPIPTPGRPLTPQPIQMPGRPLRLPPPLRLLRPGQPMSPQLRQTQGLPLPQPLLPPGQPKSAGRPLQPLPPGPDARSISDPPAPRSRLPIRLLRGLLARLPGGASPRAAAAAACTTMKGWPAATMTPAETSPTMGPPDASAGFSIGEIAAAESPSATYSATFSCKPSGAASVDLRVPSPKPRALSRSRRYPWRRSADRCAKKPWRSGPRSAQRRNAVSSSTNNSRTKRWATCVRTACCF
+>DECOY_NM_080425|648424_2_475_2351
+FCCATRVCTAWRKTRSNNTSSSVANRRQASRPGSRWPKKACRDASRRWPYRRSRSLARPKPSPVRLDVSAAGSPKCSFTASYTASPSEAAAIEGISFGASADPPGMTPSTEAPTMTAAPWGKMTTCAAAAAARPSAGGPLRALLGRLLRIPLRSRPAPPDSISRADPGPPLPQLPRGASKPQGPPLLPQPLPLGQTQRLQPSMPQGPRLLRLPPPLRLPRGPMQIPQPTLPRGPTPIPQPTLPRGPTPIPQPPLPQGPTPIPQSHGPTLIPQHQGPTPIKQPQGPTLIPQPQLPQGPLPIRQPQGPPLPDTGRLPYKEERRPMLPEKWRQQKRRLQPDRQESERIQPEPSRSPRATLRSQPATWTSPLTTSGLPPAALRSRPDPSRWGPAAARSTLLLDSLRKPHAASPAQSGSPLRTRPPLSASRVRPRSQRSSAAATSKHSLEPFGRPHATTGLDKEMLSLHCLRRLPLATAEEPALRQLGSPKPSRPLLEPSGQLVQAEQLLTQSRYSFNVAAERPLSTLSLPCLKKQHLATDEWAQDPVVSPEPSWSHQASPRLAQMSGVPSDLSSWHLGSAEWAPSNLRSPCQKRLLHATAEMPELKGSHRTSSRFTPDPSRQPDVPRAM
+>NM_080425|648433_2_2032_2351
+MGPPDASAGFSIGEIAAAESPSATYSATFSCKPSGAASVDLRVPSPKPRALSRSRRYPWRRSADRCAKKPWRSGPRSAQRRNAVSSSTNNSRTKRWATCVRTACCF
+>DECOY_NM_080425|648433_2_2032_2351
+FCCATRVCTAWRKTRSNNTSSSVANRRQASRPGSRWPKKACRDASRRWPYRRSRSLARPKPSPVRLDVSAAGSPKCSFTASYTASPSEAAAIEGISFGASADPPGM
+>NM_176071|648538_2_448_548
+MGMSWATGAASTRTSSTCCCLCPTAWCACLGCV
+>DECOY_NM_176071|648538_2_448_548
+VCGLCACWATPCLCCCTSSTRTSAAGTAWSMGM
+>NM_002127|648558_2_908_1065
+MGRTRPRTWSSWRPGLQGMEPSRSGQLWWCLLERSRDTRAMCSMRGCRSPSC
+>DECOY_NM_002127|648558_2_908_1065
+CSPSRCGRMSCMARTDRSRELLCWWLQGSRSPEMGQLGPRWSSWTRPRTRGM
+>NM_002127|648559_2_962_1065
+MEPSRSGQLWWCLLERSRDTRAMCSMRGCRSPSC
+>DECOY_NM_002127|648559_2_962_1065
+CSPSRCGRMSCMARTDRSRELLCWWLQGSRSPEM
+>NM_172362|648723_2_1290_1435
+MELLCWSCWCVCLGWLHTGWPASGTALGTMRSLTRTPRQSATTAGCTN
+>DECOY_NM_172362|648723_2_1290_1435
+NTCGATTASQRPTRTLSRMTGLATGSAPWGTHLWGLCVCWCSWCLLEM
+>NM_172362|648725_2_1929_2053
+MAASGHWPWSSRRCTVPQGTSSTMQERALTASALWFLAPWR
+>DECOY_NM_172362|648725_2_1929_2053
+RWPALFWLASATLAREQMTSSTGQPVTCRRSSWPWHGSAAM
+>NM_004052|648893_2_202_455
+MGTGAAFQPRFLFIMETWKKYCWTHSMSLDGVAPRALTVTAHLARRHHKIPTGLLKQIPIALERKTAHSLRKMILKEGKKLKAS
+>DECOY_NM_004052|648893_2_202_455
+SAKLKKGEKLIMKRLSHATKRELAIPIQKLLGTPIKHHRRALHATVTLARPAVGDLSMSHTWCYKKWTEMIFLFRPQFAAGTGM
+>NM_004052|648894_2_244_455
+METWKKYCWTHSMSLDGVAPRALTVTAHLARRHHKIPTGLLKQIPIALERKTAHSLRKMILKEGKKLKAS
+>DECOY_NM_004052|648894_2_244_455
+SAKLKKGEKLIMKRLSHATKRELAIPIQKLLGTPIKHHRRALHATVTLARPAVGDLSMSHTWCYKKWTEM
+>NM_005701|648962_3_798_976
+MDCGRVPCWKKSPYRGLQGFYQCLHQEWLLCQQVFFTSARRQQAKLNSKRLHHSRLHLQ
+>DECOY_NM_005701|648962_3_798_976
+QLHLRSHHLRKSNLKAQQRRASTFFVQQCLLWEQHLCQYFGQLGRYPSKKWCPVRGCDM
+>NM_002207|648993_2_1122_1270
+MVPTMRTLERALPAWTIWTMMGSQMWPLVHPRRMTSQGRSISIMVMPVG
+>DECOY_NM_002207|648993_2_1122_1270
+GVPMVMISISRGQSTMRRPHVLPWMQSGMMTWITWAPLARELTRMTPVM
+>NM_002207|648996_2_1182_1270
+MGSQMWPLVHPRRMTSQGRSISIMVMPVG
+>DECOY_NM_002207|648996_2_1182_1270
+GVPMVMISISRGQSTMRRPHVLPWMQSGM
+>NM_002207|648999_2_1368_1519
+MAILMSLLEPSCPTAWFFSEQGLSLRWMSPSSSRAPSTSQRLSVTTDSSL
+>DECOY_NM_002207|648999_2_1368_1519
+LSSDTTVSLRQSTSPARSSSPSMWRLSLGQESFFWATPCSPELLSMLIAM
+>NM_005603|649077_2_1123_1229
+MEKTIHPPTVDSSFSGAISLFSTPWYPSLSMSAWK
+>DECOY_NM_005603|649077_2_1123_1229
+KWASMSLSPYWPTSFLSIAGSFSSDVTPPHITKEM
+>NM_005603|649084_2_2134_2225
+MEFQKPFQNLQKLTLRSGCLLETKRKLLKI
+>DECOY_NM_005603|649084_2_2134_2225
+IKLLKRKTELLCGSRLTLKQLNQFPKQFEM
+>NM_005603|649085_2_2269_2396
+MGRILILFFMQGWKTRGIEVASTQSLHLLCRNLFFHPVETVP
+>DECOY_NM_005603|649085_2_2269_2396
+PVTEVPHFFLNRCLLHLSQTSAVEIGRTKWGQMFFLILIRGM
+>NM_005923|649129_2_1601_1731
+MELLGSKRHLNLSQHYSQELIMRSSSWQLDTSLNLPLSSGKLG
+>DECOY_NM_005923|649129_2_1601_1731
+GLKGSSLPLNLSTDLQWSSSRMILEQSYHQSLNLHRKSGLLEM
+>NM_005923|649132_2_2600_2679
+MVSLKSSWSRSLEEVFLLSFVPNGVH
+>DECOY_NM_005923|649132_2_2600_2679
+HVGNPVFSLLFVEELSRSWSSKLSVM
+>NM_005923|649136_2_3725_3825
+MALAKSRWYSLVFKMLSIKFFGIITSSRTGCLP
+>DECOY_NM_005923|649136_2_3725_3825
+PLCGTRSSTIIGFFKISLMKFVLSYWRSKALAM
+>NM_176096|649266_3_170_396
+MAESGADDPREDQCCHPGHARERRDRPAAVWVLHSLLSLPKNPGPSQRHRGLHEEYFWPILFTADEGLAGDYSSV
+>DECOY_NM_176096|649266_3_170_396
+VSSYDGALGEDATFLIPWFYEEHLGRHRQSPGPNKPLSLLSHLVWVAAPRDRRERAHGPHCCQDERPDDAGSEAM
+>NM_003919|649348_3_1253_1329
+MAPVNASCVPPCDWGNHTSFTHRQL
+>DECOY_NM_003919|649348_3_1253_1329
+LQRHTFSTHNGWDCPPVCSANVPAM
+>NM_000574|649351_2_805_998
+MVRLMYQVAYYLVQPSPSHVTQGTNYLARLLVFVLFQAALSSGVTRCQSAEKFIVQHHHKLTME
+>DECOY_NM_000574|649351_2_805_998
+EMTLKHHHQVIFKEASQCRTVGSSLAAQFLVFVLLRALYNTGQTVHSPSPQVLYYAVQYMLRVM
+>NM_001401|649398_3_479_615
+MEHSQQAGDGTWNHCLYLHHVGQPIGHGGNLCQPPLPFSYLLPNG
+>DECOY_NM_001401|649398_3_479_615
+GNPLLYSFPLPPQCLNGGHGIPQGVHHLYLCHNWTGDGAQQSHEM
+>NM_001099401|649417_3_1364_1485
+MEFRSCCPGWSAMERSWLTAASASQELATSDSDSPTADYR
+>DECOY_NM_001099401|649417_3_1364_1485
+RYDATPSDSDSTALEQSASAATLWSREMASWGPCCSRFEM
+>NM_001099401|649418_3_1400_1485
+MERSWLTAASASQELATSDSDSPTADYR
+>DECOY_NM_001099401|649418_3_1400_1485
+RYDATPSDSDSTALEQSASAATLWSREM
+>NM_173042|649420_2_1229_1338
+MVPSLSTSQADCGRGAPAGNVGAQVRSCARPWCWSS
+>DECOY_NM_173042|649420_2_1229_1338
+SSWCWPRACSRVQAGVNGAPAGRGCDAQSTSLSPVM
+>NM_004491|649493_2_3193_3284
+MDRGSLCLLAPGCLRMGLILLTMLNPWMLW
+>DECOY_NM_004491|649493_2_3193_3284
+WLMWPNLMTLLILGMRLCGPALLCLSGRDM
+>NM_001005337|649568_2_465_838
+MAWLTITTMGPPAGAATTPSSRQGMAHGDIRSTMEPSSGSLTTGASAPTARWRTGAGTTPGAAVTPPAQAATSASCRKSRRAAVSPTSTVTHGAPCARARWAARARRPPRTATAFTAPAVVRRP
+>DECOY_NM_001005337|649568_2_465_838
+PRRVVAPATFATATRPPRRARAAWRARACPAGHTVTSTPSVAARRSKRCSASTAAQAPPTVAAGPTTGAGTRWRATPASAGTTLSGSSPEMTSRIDGHAMGQRSSPTTAAGAPPGMTTITLWAM
+>NM_001005337|649569_2_489_838
+MGPPAGAATTPSSRQGMAHGDIRSTMEPSSGSLTTGASAPTARWRTGAGTTPGAAVTPPAQAATSASCRKSRRAAVSPTSTVTHGAPCARARWAARARRPPRTATAFTAPAVVRRP
+>DECOY_NM_001005337|649569_2_489_838
+PRRVVAPATFATATRPPRRARAAWRARACPAGHTVTSTPSVAARRSKRCSASTAAQAPPTVAAGPTTGAGTRWRATPASAGTTLSGSSPEMTSRIDGHAMGQRSSPTTAAGAPPGM
+>NM_001005337|649570_2_537_838
+MAHGDIRSTMEPSSGSLTTGASAPTARWRTGAGTTPGAAVTPPAQAATSASCRKSRRAAVSPTSTVTHGAPCARARWAARARRPPRTATAFTAPAVVRRP
+>DECOY_NM_001005337|649570_2_537_838
+PRRVVAPATFATATRPPRRARAAWRARACPAGHTVTSTPSVAARRSKRCSASTAAQAPPTVAAGPTTGAGTRWRATPASAGTTLSGSSPEMTSRIDGHAM
+>NM_001005337|649571_2_564_838
+MEPSSGSLTTGASAPTARWRTGAGTTPGAAVTPPAQAATSASCRKSRRAAVSPTSTVTHGAPCARARWAARARRPPRTATAFTAPAVVRRP
+>DECOY_NM_001005337|649571_2_564_838
+PRRVVAPATFATATRPPRRARAAWRARACPAGHTVTSTPSVAARRSKRCSASTAAQAPPTVAAGPTTGAGTRWRATPASAGTTLSGSSPEM
+>NM_004442|649747_2_686_1137
+MAAACPSSPCVSSTASAPASSRMAPSSRKPCRGLRAHRWWLPGAAASPMRKRWMYPSSSTVTGTASGWCPSGAACAKQASRPLRMAPSAEVVHLGLSRPTKGMRPVPTVPSTAGPLLKGPPTVSAAMATTEQTWTPWTCPAQPSPPRPRL
+>DECOY_NM_004442|649747_2_686_1137
+LRPRPPSPQAPCTWPTWTQETTAMAASVTPPGKLLPGATSPVTPVPRMGKTPRSLGLHVVEASPAMRLPRSAQKACAAGSPCWGSATGTVTSSSPYMWRKRMPSAAAGPLWWRHARLGRCPKRSSPAMRSSAPASATSSVCPSSPCAAAM
+>NM_004442|649748_2_752_1137
+MAPSSRKPCRGLRAHRWWLPGAAASPMRKRWMYPSSSTVTGTASGWCPSGAACAKQASRPLRMAPSAEVVHLGLSRPTKGMRPVPTVPSTAGPLLKGPPTVSAAMATTEQTWTPWTCPAQPSPPRPRL
+>DECOY_NM_004442|649748_2_752_1137
+LRPRPPSPQAPCTWPTWTQETTAMAASVTPPGKLLPGATSPVTPVPRMGKTPRSLGLHVVEASPAMRLPRSAQKACAAGSPCWGSATGTVTSSSPYMWRKRMPSAAAGPLWWRHARLGRCPKRSSPAM
+>NM_004442|649751_2_938_1137
+MAPSAEVVHLGLSRPTKGMRPVPTVPSTAGPLLKGPPTVSAAMATTEQTWTPWTCPAQPSPPRPRL
+>DECOY_NM_004442|649751_2_938_1137
+LRPRPPSPQAPCTWPTWTQETTAMAASVTPPGKLLPGATSPVTPVPRMGKTPRSLGLHVVEASPAM
+>NM_004442|649753_2_1064_1137
+MATTEQTWTPWTCPAQPSPPRPRL
+>DECOY_NM_004442|649753_2_1064_1137
+LRPRPPSPQAPCTWPTWTQETTAM
+>NM_004442|649756_2_2258_2349
+MAPWTPFSGKTMGSSQSSSWWACFGASQLA
+>DECOY_NM_004442|649756_2_2258_2349
+ALQSAGFCAWWSSSQSSGMTKGSFPTWPAM
+>NM_001001925|649909_2_2548_2630
+MVLCFWALLQKQRPPQVGIYPSLTPAV
+>DECOY_NM_001001925|649909_2_2548_2630
+VAPTLSPYIGVQPPRQKQLLAWFCLVM
+>NM_002160|649993_2_1176_1525
+MALQAMTATSLCVSTIATTVDDAWRMSACVMRVSRAKTAVSSSAPMTASTGAAASMAPATAKKASQVKTAGNPPAHMPATPRAGVRRGSVYVMRALPVWTAARRGVLLTVTIVAAV
+>DECOY_NM_002160|649993_2_1176_1525
+VAAVITVTLLVGRRAATWVPLARMVYVSGRRVGARPTAPMHAPPNGATKVQSAKKATAPAMSAAAGTSATMPASSSVATKARSVRMVCASMRWADDVTTAITSVCLSTATMAQLAM
+>NM_002160|650002_2_1548_1765
+MVSLELTVGSSSVPMAAVAMAAVSMGSVCVMRAILGRTAASYGAPMTVTVGAAVSRANVYVSKASRAMTAVT
+>DECOY_NM_002160|650002_2_1548_1765
+TVATMARSAKSVYVNARSVAAGVTVTMPAGYSAATRGLIARMVCVSGMSVAAMAVAAMPVSSSGVTLELSVM
+>NM_002160|650004_2_1605_1765
+MAAVSMGSVCVMRAILGRTAASYGAPMTVTVGAAVSRANVYVSKASRAMTAVT
+>DECOY_NM_002160|650004_2_1605_1765
+TVATMARSAKSVYVNARSVAAGVTVTMPAGYSAATRGLIARMVCVSGMSVAAM
+>NM_002160|650012_2_2064_2164
+MARAAAWTASASATRASQAWTVASTPAPVTATT
+>DECOY_NM_002160|650012_2_2064_2164
+TTATVPAPTSAVTWAQSARTASASATWAAARAM
+>NM_002160|650015_2_3105_3226
+MARQLLTVTELSMPPSLEGTTLRLMFQRANKPQPKPHSQV
+>DECOY_NM_002160|650015_2_3105_3226
+VQSHPKPQPKNARQFMLRLTTGELSPPMSLETVTLLQRAM
+>NM_002160|650017_2_3624_3802
+MASDSTGPQLTRPMSTLSFRCRRPTRWRQLGTSPCLAAFGLWTYRASRLLRLIQSPSMG
+>DECOY_NM_002160|650017_2_3624_3802
+GMSPSQILRLLRSARYTWLGFAALCPSTGLQRWRTPRRCRFSLTSMPRTLQPGTSDSAM
+>NM_002160|650022_2_4443_4621
+MASDSTGPQLTMPMSTLSFRCRRSTKWRQPRTSRCLAASGLWTSRASRLPRLIESPSMG
+>DECOY_NM_002160|650022_2_4443_4621
+GMSPSEILRPLRSARSTWLGSAALCRSTRPQRWKTSRRCRFSLTSMPMTLQPGTSDSAM
+>NM_002160|650029_2_6714_6823
+MADPSPPLTRTQIQPSPTVLCPTKGLSGTGTVTVST
+>DECOY_NM_002160|650029_2_6714_6823
+TSVTVTGTGSLGKTPCLVTPSPQIQTRTLPPSPDAM
+>NM_002160|650030_2_6834_6913
+MGTITTVRALTGSTGRATNTQSSLLR
+>DECOY_NM_002160|650030_2_6834_6913
+RLLSSQTNTARGTSGTLARVTTITGM
+>NM_006037|650180_2_3166_3278
+MALLWSAPLDTMRRRARPWAFATSTPWPWQPSFCSRG
+>DECOY_NM_006037|650180_2_3166_3278
+GRSCFSPQWPWPTSTAFAWPRARRRMTDLPASWLLAM
+>NM_006037|650182_2_3319_3659
+METGPSRLSTATPASCTCPSTATTMGTSSQAAGLLMRWAQGPAWVSTSTWLSPAAWTPPWETLSTWRPSERWSCRSPASLPRMWCWCHQASMPWRATPPLLGATTSPPDASGT
+>DECOY_NM_006037|650182_2_3319_3659
+TGSADPPSTTAGLLPPTARWPMSAQHCWCWMRPLSAPSRCSWRESPRWTSLTEWPPTWAAPSLWTSTSVWAPGQAWRMLLGAAQSSTGMTTATSPCTCSAPTATSLRSPGTEM
+>NM_006037|650183_2_3391_3659
+MGTSSQAAGLLMRWAQGPAWVSTSTWLSPAAWTPPWETLSTWRPSERWSCRSPASLPRMWCWCHQASMPWRATPPLLGATTSPPDASGT
+>DECOY_NM_006037|650183_2_3391_3659
+TGSADPPSTTAGLLPPTARWPMSAQHCWCWMRPLSAPSRCSWRESPRWTSLTEWPPTWAAPSLWTSTSVWAPGQAWRMLLGAAQSSTGM
+>NM_025137|650254_2_395_492
+MEDVMQPFCIAVVGRHCKSSLTIKISVFPYCL
+>DECOY_NM_025137|650254_2_395_492
+LCYPFVSIKITLSSKCHRGVVAICFPQMVDEM
+>NM_025137|650263_2_5693_5880
+MAVCMKQVEYAGIFIFIIQMSPWYCTAEHWPQGKLVWRICTQRSMLSYKVLSCLRKKHPTFP
+>DECOY_NM_025137|650263_2_5693_5880
+PFTPHKKRLCSLVKYSLMSRQTCIRWVLKGQPWHEATCYWPSMQIIFIFIGAYEVQKMCVAM
+>NM_025137|650269_2_6074_6201
+MVKPCSGKSWPLSSLTDANEPRPSSAHRALSQILWLNSWQKR
+>DECOY_NM_025137|650269_2_6074_6201
+RKQWSNLWLIQSLARHASSPRPENADTLSSLPWSKGSCPKVM
+>NM_153202|650360_2_1633_1721
+MAHVPRWSSSASSSGGLAPTQLPRPVSRW
+>DECOY_NM_153202|650360_2_1633_1721
+WRSVPRPLQTPALGGSSSASSSWRPVHAM
+>NM_022127|650399_3_1675_1748
+MDPLEERRWTQICKRCAAIYINSF
+>DECOY_NM_022127|650399_3_1675_1748
+FSNIYIAACRKCIQTWRREELPDM
+>NM_002257|650472_2_700_791
+MVCSKVSHHGATSLVAPPISLLSPSECCLM
+>DECOY_NM_002257|650472_2_700_791
+MLCCESPSLLSIPPAVLSTAGHHSVKSCVM
+>NM_004859|650562_3_4198_4286
+MERGLLRLCRWERIPSCSDVWTSYCCTCR
+>DECOY_NM_004859|650562_3_4198_4286
+RCTCCYSTWVDSCSPIREWRCLRLLGREM
+>NM_153633|650579_3_675_775
+MEEGPPTPQHQSQVSTPGRRCAQHPFGSYPGYF
+>DECOY_NM_153633|650579_3_675_775
+FYGPYSGFPHQACRRGPTSVQSQHQPTPPGEEM
+>NM_015340|650609_2_852_979
+MAVHGVLEQRWNRSTSDNGLLRQPLMQRPCRTRWQTFQNGME
+>DECOY_NM_015340|650609_2_852_979
+EMGNQFTQWRTRCPRQMLPQRLLGNDSTSRNWRQELVGHVAM
+>NM_015340|650618_3_967_1094
+MVWNKRHASPLDWGLCGLPPGLHIKGSWASHGRKADCLYGHP
+>DECOY_NM_015340|650618_3_967_1094
+PHGYLCDAKRGHSAWSGKIHLGPPLGCLGWDLPSAHRKNWVM
+>NM_015401|650643_2_1576_1877
+MAWSTGSWAMGSLRPEAPLLSSSTLRCCSGNSSDWLGGSPGAAPGTLCCFLWPRVGTGLCPGLSLPQPHLPHCQPQSLPARPESSPAQRPLPGPCPSPQG
+>DECOY_NM_015401|650643_2_1576_1877
+GQPSPCPGPLPRQAPSSEPRAPLSQPQCHPLHPQPLSLGPCLGTGVRPWLFCCLTGPAAGPSGGLWDSSNGSCCRLTSSSLLPAEPRLSGMAWSGTSWAM
+>NM_015401|650644_2_1603_1877
+MGSLRPEAPLLSSSTLRCCSGNSSDWLGGSPGAAPGTLCCFLWPRVGTGLCPGLSLPQPHLPHCQPQSLPARPESSPAQRPLPGPCPSPQG
+>DECOY_NM_015401|650644_2_1603_1877
+GQPSPCPGPLPRQAPSSEPRAPLSQPQCHPLHPQPLSLGPCLGTGVRPWLFCCLTGPAAGPSGGLWDSSNGSCCRLTSSSLLPAEPRLSGM
+>NM_015401|650646_2_2287_2423
+MVSLWCGPQDTMQIIQQPWASASSTQWPSPAGSCNSRARPARSSL
+>DECOY_NM_015401|650646_2_2287_2423
+LSSRAPRARSNCSGAPSPWQTSSASAWPQQIIQMTDQPGCWLSVM
+>NM_015401|650648_2_2440_2552
+MATAPSKPSTKTPVCSTSPCIAMTTATSSRGVGLWMR
+>DECOY_NM_015401|650648_2_2440_2552
+RMWLGVGRSSTATTMAICPSTSCVPTKTSPKSPATAM
+>NM_004349|650780_2_625_707
+MGLPLLPPPLWLINSCPQPVVPGNSAS
+>DECOY_NM_004349|650780_2_625_707
+SASNGPVVPQPCSNILWLPPPLLPLGM
+>NM_004349|650781_2_1081_1349
+MALTESLCTQNIQASDHALLAQASGTVQITAYPTSPMACLTLPHLHLSITVWMIWPLPTTTGTPIDTPATGTSGTETDLWGCMAHVKKK
+>DECOY_NM_004349|650781_2_1081_1349
+KKKVHAMCGWLDTETGSTGTAPTDIPTGTTTPLPWIMWVTISLHLHPLTLCAMPSTPYATIQVTGSAQALLAHDSAQINQTCLSETLAM
+>NM_006251|650909_2_361_458
+MEGWMKKKVGVCSNRSFLVWIIVTGIWWSIEI
+>DECOY_NM_006251|650909_2_361_458
+IEISWWIGTVIIWVLFSRNSCVGVKKKMWGEM
+>NM_182606|650937_3_171_253
+MDDCRSHCVVPDSGGSDHRSPGSLPSI
+>DECOY_NM_182606|650937_3_171_253
+ISPLSGPSRHDSGGSDPVVCHSRCDDM
+>NM_003665|651097_2_418_548
+MVLWISSALGPPTEQVLGTKSLNSGWEMRICTSLLSRVTGSCG
+>DECOY_NM_003665|651097_2_418_548
+GCSGTVRSLLSTCIRMEWGSNLSKTGLVQETPPGLASSIWLVM
+>NM_000164|651194_2_415_560
+MANGDFGETIHNVRTQRRMRPFWTKGSSWSGCRSCTLSATPCLSPHCC
+>DECOY_NM_000164|651194_2_415_560
+CCHPSLCPTASLTCSRCGSWSSGKTWFPRMRRQTRVNHITEGFDGNAM
+>NM_006751|651488_2_644_783
+MEEVLKMICHWELKPTTSMKVMLKLKTAIISWPKREDYSFIRKGEV
+>DECOY_NM_006751|651488_2_644_783
+VEGKRIFSYDERKPWSIIATKLKLMVKMSTTPKLEWHCIMKLVEEM
+>NM_006751|651496_2_2795_2916
+MEGLLPVHGLLHHQCLSLPVPFIPSTLSGKKGPCVSTQEL
+>DECOY_NM_006751|651496_2_2795_2916
+LEQTSVCPGKKGSLTSPIFPVPLSLCQHHLLGHVPLLGEM
+>NM_006751|651497_2_3914_4023
+MENSHQCQLLRKCIKMWSKMSCSKSYGRLAWILLAV
+>DECOY_NM_006751|651497_2_3914_4023
+VALLIWALRGYSKSCSMKSWMKICKRLLQCQHSNEM
+>NM_001130445|651581_2_3914_4038
+MENSHQCQLLRKCIKMWSKMSCSKSYGRLKSLLLGKSDGKL
+>DECOY_NM_001130445|651581_2_3914_4038
+LKGDSKGLLLSKLRGYSKSCSMKSWMKICKRLLQCQHSNEM
+>NM_022787|651874_2_685_782
+MGSYVLLGLEMMLRSLSMNRMCCGNTGATFTW
+>DECOY_NM_022787|651874_2_685_782
+WTFTAGTNGCCMRNMSLSRLMMELGLLVYSGM
+>NM_000053|652015_2_3956_4143
+MGSMTPRPWPRQTWVWPLAPARMWPSRQPTSSLSEMICWMWWLAFTFPRGLSEGYASTWSWH
+>DECOY_NM_000053|652015_2_3956_4143
+HWSWTSAYGESLGRPFTFALWWMWCIMESLSSTPQRSPWMRAPALPWVWTQRPWPRPTMSGM
+>NM_000053|652026_3_4410_4498
+MGPGQLCQPGVAVLPDVRQAISAQRCSRR
+>DECOY_NM_000053|652026_3_4410_4498
+RRSCRQASIAQRVDPLVAVGPQCLQGPGM
+>NM_000353|652131_2_418_497
+MAMPHPSASYPVGRRLLLITTVLRHP
+>DECOY_NM_000353|652131_2_418_497
+PHRLVTTILLLRRGVPYSASPHPMAM
+>NM_000353|652139_2_1159_1286
+MGRWLPSLDSGQSALLGLCTSWLELRWNISQNLRTMWSSRSG
+>DECOY_NM_000353|652139_2_1159_1286
+GSRSSWMTRLNQSINWRLELWSTCLGLLASQGSDLSPLWRGM
+>NM_020390|652231_2_281_402
+MVMPRFTLLELIFSRAKNMKIFVLLLTTWMFQILREMIIN
+>DECOY_NM_020390|652231_2_281_402
+NIIMERLIQFMWTTLLLVFIKMNKARSFILELLTFRPMVM
+>NM_080701|652336_2_896_1140
+MALIMISPCCVPSCGAWVPACPGTLSAWTRCRPCGAWTAPTATAPGPGAARVTASAASSTATSGQSQAQPTQPRATCTPCS
+>DECOY_NM_080701|652336_2_896_1140
+SCPTCTARPQTPQAQSQGSTATSSAASATVRAAGPGPATATPATWAGCPRCRTWASLTGPCAPVWAGCSPVCCPSIMILAM
+>NM_000487|652490_2_503_636
+MGTWAAMGTPALPLPTWTSWRREGCGSQTSTCLCLCAHPLGPPS
+>DECOY_NM_000487|652490_2_503_636
+SPPGLPHACLCLCTSTQSGCGERRWSTWTPLPLAPTGMAAWTGM
+>NM_000487|652491_2_521_636
+MGTPALPLPTWTSWRREGCGSQTSTCLCLCAHPLGPPS
+>DECOY_NM_000487|652491_2_521_636
+SPPGLPHACLCLCTSTQSGCGERRWSTWTPLPLAPTGM
+>NM_000487|652494_2_1250_1383
+MDLRPCVCPEAAAPVSCGVERERPTRAVSESLPWPSGQVISLPA
+>DECOY_NM_000487|652494_2_1250_1383
+APLSIVQGSPWPLSESVARTPREREVGCSVPAAAEPCVCPRLDM
+>NM_000042|652545_2_333_406
+MEPYAIRLLNIPTRSVFLVTLGFI
+>DECOY_NM_000042|652545_2_333_406
+IFGLTVLFVSRTPINLLRIAYPEM
+>NM_000042|652548_2_921_1006
+MECYMVIKFLSSAKIRKRSVAIQRMLSV
+>DECOY_NM_000042|652548_2_921_1006
+VSLMRQIAVSRKRIKASSLFKIVMYCEM
+>NM_000042|652551_3_445_527
+MEPGASCLCSHHLPSTIHTYVCNTSCL
+>DECOY_NM_000042|652551_3_445_527
+LCSTNCVYTHITSPLHHSCLCSAGPEM
+>NM_005243|652669_2_430_797
+MEPMDSPLMSAIPRLRPLQPMGRPPMQLLMDSLPLVILLQLPPRHTASLSRGMALVLMIPPLLQSPPPRPPMQLSLHMALSLLIQPMGSSQQPLHLQDRRMETSPLRLVNLNLAQGVTTSPA
+>DECOY_NM_005243|652669_2_430_797
+APSTTVGQALNLNVLRLPSTEMRRDQLHLPQQSSGMPQILLSLAMHLSLQMPPRPPPSQLLPPIMLVLAMGRSLSATHRPPLQLLIVLPLSDMLLQMPPRGMPQLPRLRPIASMLPSDMPEM
+>NM_005243|652675_2_586_797
+MALVLMIPPLLQSPPPRPPMQLSLHMALSLLIQPMGSSQQPLHLQDRRMETSPLRLVNLNLAQGVTTSPA
+>DECOY_NM_005243|652675_2_586_797
+APSTTVGQALNLNVLRLPSTEMRRDQLHLPQQSSGMPQILLSLAMHLSLQMPPRPPPSQLLPPIMLVLAM
+>NM_005243|652680_2_802_1190
+MDRVTTVIPRYLGATPCSQSLHLHPTLLPAIPLHSRLVMIRAVTLSRTPMGNRAAMDSRVAMVNKAAMGSSLPLVTHPKLDPTAKLQVNIANRAAATGSRVHSDRTTPVAWVFMGRSLEDFPDQERTGA
+>DECOY_NM_005243|652680_2_802_1190
+AGTREQDPFDELSRGMFVWAVPTTRDSHVRSGTAAARNAINVQLKATPDLKPHTVLPLSSGMAAKNVMAVRSDMAARNGMPTRSLTVARIMVLRSHLPIAPLLTPHLHLSQSCPTAGLYRPIVTTVRDM
+>NM_005243|652683_2_967_1190
+MDSRVAMVNKAAMGSSLPLVTHPKLDPTAKLQVNIANRAAATGSRVHSDRTTPVAWVFMGRSLEDFPDQERTGA
+>DECOY_NM_005243|652683_2_967_1190
+AGTREQDPFDELSRGMFVWAVPTTRDSHVRSGTAAARNAINVQLKATPDLKPHTVLPLSSGMAAKNVMAVRSDM
+>NM_005243|652684_2_985_1190
+MVNKAAMGSSLPLVTHPKLDPTAKLQVNIANRAAATGSRVHSDRTTPVAWVFMGRSLEDFPDQERTGA
+>DECOY_NM_005243|652684_2_985_1190
+AGTREQDPFDELSRGMFVWAVPTTRDSHVRSGTAAARNAINVQLKATPDLKPHTVLPLSSGMAAKNVM
+>NM_005243|652685_2_1003_1190
+MGSSLPLVTHPKLDPTAKLQVNIANRAAATGSRVHSDRTTPVAWVFMGRSLEDFPDQERTGA
+>DECOY_NM_005243|652685_2_1003_1190
+AGTREQDPFDELSRGMFVWAVPTTRDSHVRSGTAAARNAINVQLKATPDLKPHTVLPLSSGM
+>NM_013986|652723_2_802_1133
+MDRVTTVIPRYLGATPCSQSLHLHPTLLPAIPLHSRLVMIRAVTLSRTPMGNRAAMDSRVAMVNKAAMGSSLPLVTHPKLDPTAKLQVNIANRAAATGSRDPWMKDQILI
+>DECOY_NM_013986|652723_2_802_1133
+ILIQDKMWPDRSGTAAARNAINVQLKATPDLKPHTVLPLSSGMAAKNVMAVRSDMAARNGMPTRSLTVARIMVLRSHLPIAPLLTPHLHLSQSCPTAGLYRPIVTTVRDM
+>NM_013986|652726_2_967_1133
+MDSRVAMVNKAAMGSSLPLVTHPKLDPTAKLQVNIANRAAATGSRDPWMKDQILI
+>DECOY_NM_013986|652726_2_967_1133
+ILIQDKMWPDRSGTAAARNAINVQLKATPDLKPHTVLPLSSGMAAKNVMAVRSDM
+>NM_013986|652727_2_985_1133
+MVNKAAMGSSLPLVTHPKLDPTAKLQVNIANRAAATGSRDPWMKDQILI
+>DECOY_NM_013986|652727_2_985_1133
+ILIQDKMWPDRSGTAAARNAINVQLKATPDLKPHTVLPLSSGMAAKNVM
+>NM_013986|652728_2_1003_1133
+MGSSLPLVTHPKLDPTAKLQVNIANRAAATGSRDPWMKDQILI
+>DECOY_NM_013986|652728_2_1003_1133
+ILIQDKMWPDRSGTAAARNAINVQLKATPDLKPHTVLPLSSGM
+>NM_080491|652873_2_406_596
+MAPALLQLSSAALASTFSESASPQPHHTPASQLCSRLNPLCQTTCSPPCPPAHLRSISTCTSA
+>DECOY_NM_080491|652873_2_406_596
+ASTCTSISRLHAPPCPPSCTTQCLPNLRSCLQSAPTHHPQPSASESFTSALAASSLQLLAPAM
+>NM_080491|652874_2_700_932
+MDTVSTGSVVKSMASIAFPSRAGTIQNSETVPTTSPAAWPPMATPRAASQAPRQIMRMCTPSRRPATPCAGSSGTSW
+>DECOY_NM_080491|652874_2_700_932
+WSTGSSGACPTAPRRSPTCMRMIQRPAQSAARPTAMPPWAAPSTTPVTESNQITGARSPFAISAMSKVVSGTSVTDM
+>NM_001129|653136_2_1887_2026
+MAACACAWRCWGALWPLSTATTHRMRWWPPMTWISGTTATRTCASS
+>DECOY_NM_001129|653136_2_1887_2026
+SSACTRTATTGSIWTMPPWWRMRHTTATSLPWLAGWCRWACACAAM
+>NM_002581|653233_2_1285_1490
+MAAAPKWNSAMPTAFCWTRVWSLLCADRHCVTTQRSLPATISSQVSASPRWCATAWSTSMKMIIRTRR
+>DECOY_NM_002581|653233_2_1285_1490
+RRTRIIMKMSTSWATACWRPSASVQSSITAPLSRQTTVCHRDACLLSWVRTWCFATPMASNWKPAAAM
+>NM_002581|653246_2_3286_3545
+MAAPFSADKKSPSIVLMNPAGAISMMVMGYVRSLNKKPALRTVVSTRPRDSWISGHPMLQYLIKTSNAQAGSSSDSQQHPRCVEPR
+>DECOY_NM_002581|653246_2_3286_3545
+RPEVCRPHQQSDSSSGAQANSTKILYQLMPHGSIWSDRPRTSVVTRLAPKKNLSRVYGMVMMSIAGAPNMLVISPSKKDASFPAAM
+>NM_002581|653249_2_3361_3545
+MVMGYVRSLNKKPALRTVVSTRPRDSWISGHPMLQYLIKTSNAQAGSSSDSQQHPRCVEPR
+>DECOY_NM_002581|653249_2_3361_3545
+RPEVCRPHQQSDSSSGAQANSTKILYQLMPHGSIWSDRPRTSVVTRLAPKKNLSRVYGMVM
+>NM_002581|653250_2_3367_3545
+MGYVRSLNKKPALRTVVSTRPRDSWISGHPMLQYLIKTSNAQAGSSSDSQQHPRCVEPR
+>DECOY_NM_002581|653250_2_3367_3545
+RPEVCRPHQQSDSSSGAQANSTKILYQLMPHGSIWSDRPRTSVVTRLAPKKNLSRVYGM
+>NM_002581|653255_2_4375_4619
+MGCGPSQRPCVSSCASLHPLCPMQTSRPPGAERISTRWAPSANTNASLDTMCLDPLGSQRNGPSRLSVPRMAAGRRELVFL
+>DECOY_NM_002581|653255_2_4375_4619
+LFVLERRGAAMRPVSLRSPGNRQSGLPDLCMTDLSANTNASPAWRTSIREAGPPRSTQMPCLPHLSACSSVCPRQSPGCGM
+>NM_002581|653262_2_4762_4874
+MAPGTAPSMSARRCKASARFQTSSTATSNCSALMAMP
+>DECOY_NM_002581|653262_2_4762_4874
+PMAMLASCNSTATSSTQFRASAKCRRASMSPATGPAM
+>NM_002581|653275_3_1976_2067
+MGQGGPDALRWHCLEPIFLWHAWAHPHHDP
+>DECOY_NM_002581|653275_3_1976_2067
+PDHHPHAWAHWLFIPELCHWRLADPGGQGM
+>NM_025128|653607_2_1464_1549
+MVPSTTSAFLRAHCCRLSPTLRSLMAFL
+>DECOY_NM_025128|653607_2_1464_1549
+LFAMLSRLTPSLRCCHARLFASTTSPVM
+>NM_002136|653618_2_813_931
+MVAVGMAIMDLVMMEAILEVVEATMILGITTISLQILDP
+>DECOY_NM_002136|653618_2_813_931
+PDLIQLSITTIGLIMTAEVVELIAEMMVLDMIAMGVAVM
+>NM_002136|653619_2_828_931
+MAIMDLVMMEAILEVVEATMILGITTISLQILDP
+>DECOY_NM_002136|653619_2_828_931
+PDLIQLSITTIGLIMTAEVVELIAEMMVLDMIAM
+>NM_002136|653620_2_837_931
+MDLVMMEAILEVVEATMILGITTISLQILDP
+>DECOY_NM_002136|653620_2_837_931
+PDLIQLSITTIGLIMTAEVVELIAEMMVLDM
+>NM_002136|653622_2_852_931
+MEAILEVVEATMILGITTISLQILDP
+>DECOY_NM_002136|653622_2_852_931
+PDLIQLSITTIGLIMTAEVVELIAEM
+>NM_002136|653625_3_211_440
+MGNAHGLCGNERSKHQALQGLWVCHICHCGGGGCSYECKATQGGWKSCGTKESCLQRRFSKTRCPLNCEKDICWWH
+>DECOY_NM_002136|653625_3_211_440
+HWWCIDKECNLPCRTKSFRRQLCSEKTGCSKWGGQTAKCEYSCGGGGCHCIHCVWLGQLAQHKSRENGCLGHANGM
+>NM_012229|653646_2_1153_1259
+MVSSTQEVLLIRSVTCWEPREKTFCILEITFLGTF
+>DECOY_NM_012229|653646_2_1153_1259
+FTGLFTIELICFTKERPEWCTVSRILLVEQTSSVM
+>NM_004318|653688_2_1404_1525
+MGRRSVRMIWLRRGEVMRCYVEPSRPTKRWPAYLMSLQTC
+>DECOY_NM_004318|653688_2_1404_1525
+CTQLSMLYAPWRKTPRSPEVYCRMVEGRRLWIMRVSRRGM
+>NM_004318|653692_2_1839_1969
+MGDFISTWGMPCRGLGTKRHISGMSLGTREDTLHLSGNAHSTM
+>DECOY_NM_004318|653692_2_1839_1969
+MTSHANGSLHLTDERTGLSMGSIHRKTGLGRCPMGWTSIFDGM
+>NM_001126128|653705_2_389_531
+MEGRKEERGREAKGKRRFHFLGGGCITLAHVCQAWPVYGLHLTDLFV
+>DECOY_NM_001126128|653705_2_389_531
+VFLDTLHLGYVPWAQCVHALTICGGGLFHFRRKGKAERGREEKRGEM
+>NM_031157|653780_2_813_1087
+MVAVGMAIMDLVMMVVMEEAALVTLEEAEAMEVVDRVMETRAVAMAGVAAMTAITTEAEAALAVVVEAILEVVEATMILGITTISLQILDP
+>DECOY_NM_031157|653780_2_813_1087
+PDLIQLSITTIGLIMTAEVVELIAEVVVALAAEAETTIATMAAVGAMAVARTEMVRDVVEMAEAEELTVLAAEEMVVMMVLDMIAMGVAVM
+>NM_031157|653781_2_828_1087
+MAIMDLVMMVVMEEAALVTLEEAEAMEVVDRVMETRAVAMAGVAAMTAITTEAEAALAVVVEAILEVVEATMILGITTISLQILDP
+>DECOY_NM_031157|653781_2_828_1087
+PDLIQLSITTIGLIMTAEVVELIAEVVVALAAEAETTIATMAAVGAMAVARTEMVRDVVEMAEAEELTVLAAEEMVVMMVLDMIAM
+>NM_031157|653782_2_837_1087
+MDLVMMVVMEEAALVTLEEAEAMEVVDRVMETRAVAMAGVAAMTAITTEAEAALAVVVEAILEVVEATMILGITTISLQILDP
+>DECOY_NM_031157|653782_2_837_1087
+PDLIQLSITTIGLIMTAEVVELIAEVVVALAAEAETTIATMAAVGAMAVARTEMVRDVVEMAEAEELTVLAAEEMVVMMVLDM
+>NM_031157|653784_2_852_1087
+MVVMEEAALVTLEEAEAMEVVDRVMETRAVAMAGVAAMTAITTEAEAALAVVVEAILEVVEATMILGITTISLQILDP
+>DECOY_NM_031157|653784_2_852_1087
+PDLIQLSITTIGLIMTAEVVELIAEVVVALAAEAETTIATMAAVGAMAVARTEMVRDVVEMAEAEELTVLAAEEMVVM
+>NM_031157|653785_2_861_1087
+MEEAALVTLEEAEAMEVVDRVMETRAVAMAGVAAMTAITTEAEAALAVVVEAILEVVEATMILGITTISLQILDP
+>DECOY_NM_031157|653785_2_861_1087
+PDLIQLSITTIGLIMTAEVVELIAEVVVALAAEAETTIATMAAVGAMAVARTEMVRDVVEMAEAEELTVLAAEEM
+>NM_031157|653786_2_903_1087
+MEVVDRVMETRAVAMAGVAAMTAITTEAEAALAVVVEAILEVVEATMILGITTISLQILDP
+>DECOY_NM_031157|653786_2_903_1087
+PDLIQLSITTIGLIMTAEVVELIAEVVVALAAEAETTIATMAAVGAMAVARTEMVRDVVEM
+>NM_031157|653787_2_924_1087
+METRAVAMAGVAAMTAITTEAEAALAVVVEAILEVVEATMILGITTISLQILDP
+>DECOY_NM_031157|653787_2_924_1087
+PDLIQLSITTIGLIMTAEVVELIAEVVVALAAEAETTIATMAAVGAMAVARTEM
+>NM_031157|653788_2_945_1087
+MAGVAAMTAITTEAEAALAVVVEAILEVVEATMILGITTISLQILDP
+>DECOY_NM_031157|653788_2_945_1087
+PDLIQLSITTIGLIMTAEVVELIAEVVVALAAEAETTIATMAAVGAM
+>NM_016147|653847_2_442_554
+MVKQRSRILKICLQKQWQKTLAMWLKPCMGTFLLQLC
+>DECOY_NM_016147|653847_2_442_554
+CLQLLFTGMCPKLWMALTKQWQKQLCIKLIRSRQKVM
+>NM_001986|653864_2_486_616
+MASSAFTPVPMTPPDKSPSSPLPLVPLDSRPYSPFPGQSNGIS
+>DECOY_NM_001986|653864_2_486_616
+SIGNSQGPFPSYPRSDLPVLPLPSSPSKDPPTMPVPTFASSAM
+>NM_001986|653866_2_651_901
+MGTSGNIAPSSSSPWTFATPSHLREGAGNPSQPPTNTSCRSPAHPIPSRALSKNTMIPCMNRRASQPWTRVGSMGTGTQGRGW
+>DECOY_NM_001986|653866_2_651_901
+WGRGQTGTGMSGVRTWPQSARRNMCPIMTNKSLARSPIPHAPSRCSTNTPPQSPNGAGERLHSPTAFTWPSSSSPAINGSTGM
+>NM_001986|653870_2_1017_1297
+MAMRNLCDHSQMMSALSLRNLKETSSRKGSVHFERGRPTSAGVPCSCGNFWWPCWMTQQMPISLPGRAGEWSSSSLSLRRSPGSGASRRTGQP
+>DECOY_NM_001986|653870_2_1017_1297
+PQGTRRSAGSGPSRRLSLSSSSWEGARGPLSIPMQQTMWCPWWFNGCSCPVGASTPRGREFHVSGKRSSTEKLNRLSLASMMQSHDCLNRMAM
+>NM_022166|653955_2_488_663
+MATFLIGRKRKCEQTATTRTLSPKTLRMSTTATSHPGLKSRSTSLSWRRSHRVDRRSF
+>DECOY_NM_022166|653955_2_488_663
+FSRRDVRHSRRWSLSTSRSKLGPHSTATTSMRLTKPSLTRTTATQECKRKRGILFTAM
+>NM_022166|653980_3_2796_2914
+MAGLVGGRDVDCHGHLCHGPHSLPGHADLQPDGLELLQP
+>DECOY_NM_022166|653980_3_2796_2914
+PQLLELGDPQLDAHGPLSHPGHCLHGHCDVDRGGVLGAM
+>NM_001165|654010_2_3668_3747
+MVDSGVGNLEMIHGFNMPSGFQGVST
+>DECOY_NM_001165|654010_2_3668_3747
+TSVGQFGSPMNFGHIMELNGVGSDVM
+>NM_001165|654013_3_3315_3439
+MAIDFSVANRSGKSRLLLHRTWRQSGLLCLWWKIEQLGTEG
+>DECOY_NM_001165|654013_3_3315_3439
+GETGLQEIKWWLCLLGSQRWTRHLLLRSKGSRNAVSFDIAM
+>NM_031314|654123_2_362_444
+MAKLWAALFIRALPSFSMLMREMPGLL
+>DECOY_NM_031314|654123_2_362_444
+LLGPMERMLMSFSPLARIFLAAWLKAM
+>NM_000834|654308_2_810_919
+MEILRSRISSRNFKAPSFFFTVPRKKPPTSLKWPTQ
+>DECOY_NM_000834|654308_2_810_919
+QTPWKLSTPPKKRPVTFFFSPAKFNRSSIRSRLIEM
+>NM_000834|654309_2_933_1057
+MATRGSCPVWWQGIQTQCLRSSPLGSSLYHMMNGTMASPPE
+>DECOY_NM_000834|654309_2_933_1057
+EPPSAMTGNMMHYLSSGLPSSRLCQTQIGQWWVPCSGRTAM
+>NM_000834|654312_2_2463_2605
+MALPSKKILGGSARWTLLSCSSLEMGRWKNWKLSGSLAFVTMRRMRS
+>DECOY_NM_000834|654312_2_2463_2605
+SRMRRMTVFALSGSLKWNKWRGMELSSCSLLTWRASGGLIKKSPLAM
+>NM_000505|654472_2_704_798
+MAAGSATAAWPGPRSRVRPVSRGPRRPPTGT
+>DECOY_NM_000505|654472_2_704_798
+TGTPPRRPGRSVPRVRSRPGPWAATASGAAM
+>NM_000505|654478_3_300_457
+MGILFGAQESERPLQQTQPLPERRDLCEHAKRPPLSLSTTPHWKPLPEREVL
+>DECOY_NM_000505|654478_3_300_457
+LVEREPLPKWHPTTSLSLPPRKAHECLDRREPLPQTQQLPRESEQAGFLIGM
+>NM_001143760|654486_2_220_410
+MALWCSKAGHVRSSRCLLRRLASTATPRSIWLVLTSLLGRNMKISARQLIIWMSPTSKGMTSS
+>DECOY_NM_001143760|654486_2_220_410
+SSTMGKSTPSMWIILQRASIKMNRGLLSTLVLWISRPTATSALRRLLCRSSRVHGAKSCWLAM
+>NM_001143760|654487_2_424_539
+MGTYHCSRTAGRYERTFVSLRETLARRLSRSTTVEKRS
+>DECOY_NM_001143760|654487_2_424_539
+SRKEVTTSRSLRRALTERLSVFTREYRGATRSCHYTGM
+>NM_000083|654564_2_202_314
+MGASSTGSGRMQAPATTSTPHRFMAITKNNSQTGSRT
+>DECOY_NM_000083|654564_2_202_314
+TRSGTQSNNKTIAMFRHPTSTTAPAQMRGSGTSSAGM
+>NM_007312|654611_2_1713_1810
+MAAVSAAPATPKPSSSLTLPVSPSSSRLVVGP
+>DECOY_NM_007312|654611_2_1713_1810
+PGVVLRSSSPSVPLTLSSSPKPTAPAASVAAM
+>NM_007312|654613_3_1012_1097
+MAPTLGLQLGHQGHLPAALTGTGTGTAP
+>DECOY_NM_007312|654613_3_1012_1097
+PATGTGTGTLAAPLHGQHGLQLGLTPAM
+>NM_020165|654798_2_864_976
+MDYLFKEINNSSLKGTKNLYTCTMPNAMLCILNQLLK
+>DECOY_NM_020165|654798_2_864_976
+KLLQNLICLMANPMTCTYLNKTGKLSSNNIEKFLYDM
+>NM_015214|654868_2_511_608
+MGELFLLMGADMMFIWGRGCGMLYTGMNWHRK
+>DECOY_NM_015214|654868_2_511_608
+KRHWNMGTYLMGCGRGWIFMMDAGMLLFLEGM
+>NM_015023|654902_2_1490_1599
+MARCPPTVCPTVCPMACTFIAMASGCRRVGDMSAPK
+>DECOY_NM_015023|654902_2_1490_1599
+KPASMDGVRRCGSAMAIFTCAMPCVTPCVTPPCRAM
+>NM_015023|654907_2_1994_2436
+MVRRRRDLVAAPQSASAARAARTPSQRMKWCCGSEATTISSATAATATPPRISKRPISLAATLSISSVALTMAPSSSGKRRPPTWSVCSKGMSPLSTACSHTPATASWPPVASILLCGSGTPDQRVKTSQAESWKIWRVLHRPTSGA
+>DECOY_NM_015023|654907_2_1994_2436
+AGSTPRHLVRWIKWSEAQSTKVRQDPTGSGCLLISAVPPWSATAPTHSCATSLPSMGKSCVSWTPPRRKGSSSPAMTLAVSSISLTAALSIPRKSIRPPTATAATASSITTAESGCCWKMRQSPTRAARAASASQPAAVLDRRRRVM
+>NM_015023|654909_2_2207_2436
+MAPSSSGKRRPPTWSVCSKGMSPLSTACSHTPATASWPPVASILLCGSGTPDQRVKTSQAESWKIWRVLHRPTSGA
+>DECOY_NM_015023|654909_2_2207_2436
+AGSTPRHLVRWIKWSEAQSTKVRQDPTGSGCLLISAVPPWSATAPTHSCATSLPSMGKSCVSWTPPRRKGSSSPAM
+>NM_002759|654934_2_1527_1714
+MAVGMDLIMILRPVMILLRAVIMILRTAKIVQGQRLSAFSSKWNSVIKGPWNNGLKKEEARN
+>DECOY_NM_002759|654934_2_1527_1714
+NRAEEKKLGNNWPGKIVSNWKSSFASLRQGQVIKATRLIMIVARLLIMVPRLIMILDMGVAM
+>NM_002759|654935_2_1539_1714
+MDLIMILRPVMILLRAVIMILRTAKIVQGQRLSAFSSKWNSVIKGPWNNGLKKEEARN
+>DECOY_NM_002759|654935_2_1539_1714
+NRAEEKKLGNNWPGKIVSNWKSSFASLRQGQVIKATRLIMIVARLLIMVPRLIMILDM
+>NM_002759|654940_2_2055_2155
+MASSQIYLIKKKKLFYRNYSQRNLRIDLTHLKY
+>DECOY_NM_002759|654940_2_2055_2155
+YKLHTLDIRLNRQSYNRYFLKKKKILYIQSSAM
+>NM_000610|654978_2_549_643
+MVATASLGRRPLTSARLSIAPCPQWPRWRKL
+>DECOY_NM_000610|654978_2_549_643
+LKRWRPWQPCPAISLRASTLPRRGLSATAVM
+>NM_000610|654981_2_885_967
+MAPAMSRKENTERILKTSTPATLLMMT
+>DECOY_NM_000610|654981_2_885_967
+TMMLLTAPTSTKLIRETNEKRSMAPAM
+>NM_000610|654988_2_1482_1870
+MAPLLMKETGTQKHTLPSFTMSIMRKKRPHILQAQSRQLLVVQRKKQLPRRNSGLATDGMRDIAKHPKKTPIRQQGQLQPQLIPAIQCKEGQHQAQRTVPGLISSTQSHTPWDEVIKQEEGWIWTPVIV
+>DECOY_NM_000610|654988_2_1482_1870
+VIVPTWIWGEEQKIVEDWPTHSQTSSILGPVTRQAQHQGEKCQIAPILQPQLQGQQRIPTKKPHKAIDRMGDTALGSNRRPLQKKRQVVLLQRSQAQLIHPRKKRMISMTFSPLTHKQTGTEKMLLPAM
+>NM_000610|654996_2_2496_2572
+MELWRTESQVDSTERPASLRKWCIW
+>DECOY_NM_000610|654996_2_2496_2572
+WICWKRLSAPRETSDVQSETRWLEM
+>NM_000610|654998_3_2380_2615
+MADHLGIPLGLGFDSCSLHCSQQSKKVWAEEKASDQQWQWSCGGQKAKWTQRRGQQVSGNGAFGEQGVVRNSRPVYDS
+>DECOY_NM_000610|654998_3_2380_2615
+SDYVPRSNRVVGQEGFAGNGSVQQGRRQTWKAKQGGCSWQWQQDSAKEEAWVKKSQQSCHLSCSDFGLGLPIGLHDAM
+>NM_005265|655101_2_979_1160
+MGGCPGLASSSPASSWPARASPWARAWRQPWKTSGPSSSSSLSCVRCSAGIERCFGRGRD
+>DECOY_NM_005265|655101_2_979_1160
+DRGRGFCREIGASCRVCSLSSSSSPGSTKWPQRWARAWPSARAPWSSAPSSSALGPCGGM
+>NM_014641|655665_2_2502_2611
+MVILKIWTYKLPSAFWRIRAWKQSRAWRMNLPRPSC
+>DECOY_NM_014641|655665_2_2502_2611
+CSPRPLNMRWARSQKWARIRWFASPLKYTWIKLIVM
+>NM_014641|655668_2_3690_3784
+MGVRKLQRLPCPQSWSLSTQSLKLELGSPPE
+>DECOY_NM_014641|655668_2_3690_3784
+EPPSGLELKLSQTSLSWSQPCPLRQLKRVGM
+>NM_015318|655801_2_1941_2020
+MAVSRRKSAALTPGPETGEAPQTART
+>DECOY_NM_015318|655801_2_1941_2020
+TRATQPAEGTEPGPTLAASKRRSVAM
+>NM_014010|655877_2_1923_2002
+MGAALATSSVCLTGRWIPRDVCALRS
+>DECOY_NM_014010|655877_2_1923_2002
+SRLACVDRPIWRGTLCVSSTALAAGM
+>NM_014010|655878_2_2016_2200
+MALAATTTPKALTALMALMAAVSSCACSRRCPCPTMPLRAPSSCSAVAWRSTNWLLMENPA
+>DECOY_NM_014010|655878_2_2016_2200
+APNEMLLWNTSRWAVASCSSPARLPMTPCPCRRSCACSSVAAMLAMLATLAKPTTTAALAM
+>NM_014010|655883_2_2646_2824
+MAPTTSQRPSMAQSSPASSTFPARRSSSSCGSSIRKRPQSWAARRSSSPCPSSPTSQVC
+>DECOY_NM_014010|655883_2_2646_2824
+CVQSTPSSPCPSSSRRAAWSQPRKRISSGCSSSSRRAPFTSSAPSSQAMSPRQSTTPAM
+>NM_014010|655890_2_3375_3490
+MERSLKSVSTQSSSSVWSPTVSTSSLCMLWIHEGGTQS
+>DECOY_NM_014010|655890_2_3375_3490
+SQTGGEHIWLMCLSSTSVTPSWVSSSSQTSVSKLSREM
+>NM_024528|656135_2_327_559
+MDSPISWVASAKAPETSPTAHARGRVLESGPLRPGASPSLLPPRQSITAATRAPTGATSLGLASSTRRGRRACGRRD
+>DECOY_NM_024528|656135_2_327_559
+DRRGCARRGRRTSSALGLSTAGTPARTAATISQRPPLLSPSAGPRLPGSELVRGRAHATPSTEPAKASAVWSIPSDM
+>NM_175834|656153_2_1084_1298
+MGTTCGTPRTRLLSSPALSRGCRGRLMQPRSSVSSCRRPLRKRSSVGSWHSRMLRRSLGIWMWPCTRPRRT
+>DECOY_NM_175834|656153_2_1084_1298
+TRRPRTCPWMWIGLSRRLMRSHWSGVSSRKRLPRRCSSVSSRPQMLRGRCGRSLAPSSLLRTRPTGCTTGM
+>NM_020786|656223_3_275_375
+MEALFPGATHPKQFPMWWLYSVQSLQTHINRGR
+>DECOY_NM_020786|656223_3_275_375
+RGRNIHTQLSQVSYLWWMPFQKPHTAGPFLAEM
+>NM_173799|656243_3_597_703
+MEPQCSLTPRKLCPGRSCTCWALWRAAGRGLCRAA
+>DECOY_NM_173799|656243_3_597_703
+AARCLGRGAARWLAWCTCSRGPCLKRPTLSCQPEM
+>NM_032727|656279_2_110_279
+MALACPPASLGPAARAASARSRCPAAMWPPRPPAPRPRRSASAWPIAGRRRPTGWT
+>DECOY_NM_032727|656279_2_110_279
+TWGTPRRRGAIPWASASRRPRPAPPRPPWMAAPCRSRASAARAAPGLSAPPCALAM
+>NM_032727|656282_3_903_1117
+MVQVQVCQPERAGGAQHRGHPGQPRGDPRVSAPAAGAHHRDRGPARGQRVLGEADPGAGGAAQCRGSWLPG
+>DECOY_NM_032727|656282_3_903_1117
+GPLWSGRCQAAGGAGPDAEGLVRQGRAPGRDRHHAGAAPASVRPDGRPQGPHGRHQAGGAREPQCVQVQVM
+>NM_015670|656351_2_943_1214
+MDSGGLQSLLWTLTRASFHVLCPTVLGDNLGQKGSAAWHPLMPASSSAMCAASGTMWPRSFFRAQIWAWQKRQRGLGRKPASTAPCERSM
+>DECOY_NM_015670|656351_2_943_1214
+MSRECPATSAPKRGLGRQRKQWAWIQARFFSRPWMTGSAACMASSSAPMLPHWAASGKQGLNDGLVTPCLVHFSARTLTWLLSQLGGSDM
+>NM_015670|656359_3_575_831
+MESAGNLPAAPPFPPHSSKNLLTAPPPSHESLPDAALLKKHLADIPLEALGAPPGPAAGPRTPQEPSFTPARGCDATGAIPLLSF
+>DECOY_NM_015670|656359_3_575_831
+FSLLPIAGTADCGRAPTFSPEQPTRPGAAPGPPAGLAELPIDALHKKLLAADPLSEHSPPPATLLNKSSHPPFPPAAPLNGASEM
+>NM_152386|656370_2_478_581
+MECHPPTPWRPLPLPSPSLSLLWTDTSIHLCWDW
+>DECOY_NM_152386|656370_2_478_581
+WDWCLHISTDTWLLSLSPSPLPLPRWPTPPHCEM
+>NM_175078|656385_2_174_250
+MGSMEGGLALGASTIWVAVEASPLI
+>DECOY_NM_175078|656385_2_174_250
+ILPSAEVAVWITSAGLALGGEMSGM
+>NM_175078|656394_3_613_689
+MGVAAAGEHLNWNQQPGAPLGELHR
+>DECOY_NM_175078|656394_3_613_689
+RHLEGLPAGPQQNWNLHEGAAAVGM
+>NM_013403|656411_2_787_908
+MAKSAWAAQCWGRSPSCRTARTKTATRTMSWTACSTRSSV
+>DECOY_NM_013403|656411_2_787_908
+VSSRTSCATWSMTRTATKTRATRCSPSRGWCQAAWASKAM
+>NM_013403|656415_2_1012_1142
+MGKGLQTLGGALWMGAPMSWKAVGSNSKAFWLTCGMWMGCPQK
+>DECOY_NM_013403|656415_2_1012_1142
+KQPCGMWMGCTLWFAKSNSGVAKWSMPAGMWLAGGLTQLGKGM
+>NM_001031712|656470_2_356_450
+MDNLLRSCTVLLKTTLWRRWFHFYIRTLHIK
+>DECOY_NM_001031712|656470_2_356_450
+KIHLTRIYFHFWRRWLTTKLLVTCSRLLNDM
+>NM_002735|656486_2_938_1098
+MERKLWSRESLGTTFTSSRRAPRPCCSAGPPMRSTWRWGAWDPLTTSGRLHCC
+>DECOY_NM_002735|656486_2_938_1098
+CCHLRGSTTLPDWAGWRWTSRMPPGASCCPRPARRSSTFTTGLSERSWLKREM
+>NM_016245|656492_2_723_829
+MAILSLWLRQLDMSRSPSYWLTVQASLLLLDFIKL
+>DECOY_NM_016245|656492_2_723_829
+LKIFDLLLLSAQVTLWYSPSRSMDLQRLWLSLIAM
+>NM_015571|656552_2_698_828
+MEVLKIIGALIMKKKVKEIQIKMGQICSVWMKMRILKPQKEKS
+>DECOY_NM_015571|656552_2_698_828
+SKEKQPKLIRMKMWVSCIQGMKIQIEKVKKKMILAGIIKLVEM
+>NM_207108|656622_2_555_658
+MALCFFTFITKMVPQAFLDKTPLKNPNMSRQKRS
+>DECOY_NM_207108|656622_2_555_658
+SRKQRSMNPNKLPTKDLFAQPVMKTIFTFFCLAM
+>NM_024116|656767_2_806_909
+MDPFLLLRSQQQRMRMQHILKITNVISNWQGIVS
+>DECOY_NM_024116|656767_2_806_909
+SVIGQWNSIVNTIKLIHQMRMRQQQSRLLLFPDM
+>NM_152744|656813_2_3134_3207
+MASLLAIRSLGKCTAGTTLVSRTP
+>DECOY_NM_152744|656813_2_3134_3207
+PTRSVLTTGATCKGLSRIALLSAM
+>NM_152744|656816_2_4055_4284
+MGSYWATRSCSGPKTWIPSPGATSCEGTTRSRPCWQACASSCSTSSRCWRSPASGTGSPARPSSWSAPKTMPQAHQ
+>DECOY_NM_152744|656816_2_4055_4284
+QHAQPMTKPASWSSPRAPSGTGSAPSRWCRSSTSCSSACAQWCPRSRTTGECSTAGPSPIWTKPGSCSRTAWYSGM
+>NM_152744|656829_3_2865_3421
+MAGRCPRGCHCGHYCPRFPRSPPWTHNEPEEVYRLLHFRSVLHHPWGRASQHTSAGLDSGRQTRSCGTSEFHRDLGHISQGQLAGAPGEKWHHYWLSDLLGSVRQERLSSHAHPEQHDARVQDPRPLISHHLHHRRGRCDCRGHWPGDFIHHFFWSAPRPSWCPIQPGHFQHQPSLRHPSVPARL
+>DECOY_NM_152744|656829_3_2865_3421
+LRAPVSPHRLSPQHQFHGPQIPCWSPRPASWFFHHIFDGPWHGRCDCRGRRHHLHHSILPRPDQVRADHQEPHAHSSLREQRVSGLLDSLWYHHWKEGPAGALQGQSIHGLDRHFESTGCSRTQRGSDLGASTHQSARGWPHHLVSRFHLLRYVEEPENHTWPPSRPFRPCYHGCHCGRPCRGAM
+>NM_152744|656830_3_4026_4705
+MDIRAGTGPEWAHTGLQDPVPGQRPGSRAQEPHRAREPHAVGPAGRPAQVRALRAPGAGVHPHRERGPQHAPHPGAHQRRCPRPTSEARVPRSETHLRADSVATSGGAQRHHPGVPDCLPPGQQQPPHLHHRGGRRHSEAVHSHRPGPGVRIHLQAVRQDEAGLGGATGGHRHHHREERAAGTPQRAPGAPGRSDRTQPPAPVGPGQRRGLPHPVLHHAGARAASG
+>DECOY_NM_152744|656830_3_4026_4705
+GSAARAGAHHLVPHPLGRRQGPGVPAPPQTRDSRGPAGPARQPTGAAREERHHHRHGGTAGGLGAEDQRVAQLHIRVGPGPRHSHVAESHRRGGRHHLHPPQQQGPPLCDPVGPHHRQAGGSTAVSDARLHTESRPVRAESTPRPCRRQHAGPHPAHQPGRERHPHVGAGPARLARVQAPRGAPGVAHPERARHPEQARSGPRQGPVPDQLGTHAWEPGTGARIDM
+>NM_033127|656872_2_178_434
+MDIIGLSLTLGTMERGFTNGKTTVGAPSHSRSPGQTISSSPIMHPGQGTGISLCLELTITKVVIAISCIQGQVMRIHIRAISLPQ
+>DECOY_NM_033127|656872_2_178_434
+QPLSIARIHIRMVQGQICSIAIVVKTITLELCLSIGTGQGPHMIPSSSITQGPSRSHSPAGVTTKGNTFGREMTGLTLSLGIIDM
+>NM_033127|656873_2_214_434
+MERGFTNGKTTVGAPSHSRSPGQTISSSPIMHPGQGTGISLCLELTITKVVIAISCIQGQVMRIHIRAISLPQ
+>DECOY_NM_033127|656873_2_214_434
+QPLSIARIHIRMVQGQICSIAIVVKTITLELCLSIGTGQGPHMIPSSSITQGPSRSHSPAGVTTKGNTFGREM
+>NM_033127|656877_2_469_908
+MDTHSGCRKKECQGNGVLISGTKITESKSTLMNIIMKTSTVHLEQIVRPTSNLTVGTLVKTALLPTLDRSGRGSCFQGACLLRPRKISHHWLVSPTFSSSVSLVSAPAAMSSVSTSEMPRSGMIPQLQQLGVQFRLMSPQLVPKHP
+>DECOY_NM_033127|656877_2_469_908
+PHKPVLQPSMLRFQVGLQQLQPIMGSRPMESTSVSSMAAPASVLSVSSSFTPSVLWHHSIKRPRLLCAGQFCSGRGSRDLTPLLATKVLTGVTLNSTPRVIQELHVTSTKMIINMLTSKSETIKTGSILVGNGQCEKKRCGSHTDM
+>NM_033127|656888_2_2794_2963
+MGRSIQRAQGLAGSAGFDRSPPRTHPPLETRTPQTALTLRRPPEHLLPTRLAWASH
+>DECOY_NM_033127|656888_2_2794_2963
+HSAWALRTPLLHEPPRRLTLATQPTRTELPPHTRPPSRDFGASGALGQARQISRGM
+>NM_130768|657119_2_295_407
+MDGLPLCMLLVLPMQSWFGSFWTEVLMQALRRISKVF
+>DECOY_NM_130768|657119_2_295_407
+FVKSIRRLAQMLVETWFSGFWSQMPLVLLMCLPLGDM
+>NM_130768|657123_2_538_620
+MVTPRLLLSLLLMEQKLIPRMRMVTLL
+>DECOY_NM_130768|657123_2_538_620
+LLTVMRMRPILKQEMLLLSLLLRPTVM
+>NM_130768|657124_2_703_776
+MERCQVRLQKETNIMRSSTYFLLL
+>DECOY_NM_130768|657124_2_703_776
+LLLFYTSSRMINTEKQLRVQCREM
+>NM_152630|657247_2_465_553
+MESAIRIWTLFLVLSFQVTKNFRLLKMQF
+>DECOY_NM_152630|657247_2_465_553
+FQMKLLRFNKTVQFSLVLFLTWIRIASEM
+>NM_014996|657284_2_315_397
+MATTWSPWTSSPPTPRRPAPGSQASST
+>DECOY_NM_014996|657284_2_315_397
+TSSAQSGPAPRRPTPPSSTWPSWTTAM
+>NM_014996|657303_2_4944_5032
+MATLTSFVQIILFCRLSQAVMINQKFIFF
+>DECOY_NM_014996|657303_2_4944_5032
+FFIFKQNIMVAQSLRCFLIIQVFSTLTAM
+>NM_001080483|657446_2_151_227
+MDPACLCCASCVTTSWSISVSTGQP
+>DECOY_NM_001080483|657446_2_151_227
+PQGTSVSISWSTTVCSACCLCAPDM
+>NM_033222|657469_2_1786_1898
+MVISHNITGRAMKTAKTTMKPARRKSHPVKRERLKYL
+>DECOY_NM_033222|657469_2_1786_1898
+LYKLRERKVPHSKRRAPKMTTKATKMARGTINHSIVM
+>NM_004753|657491_2_966_1072
+MATSCASTPCWHCLPSPVPSTTAHPKRQPSPSWRA
+>DECOY_NM_004753|657491_2_966_1072
+ARWSPSPQRKPHATTSPVPSPLCHWCPTSACSTAM
+>NM_178540|657498_2_170_600
+MVCLEEMDETERRVTKAMQENQDVLAARGRMGRVERRENEEQMEKLKQKASKVIKAQEDPQENMAPRGLQGPWERRASEERLGLRGRRGIRVTWVPLVLRGQGATLGLWAQLVYRAPWALLESLVPKEKLDPRGPRVSQESGE
+>DECOY_NM_178540|657498_2_170_600
+EGSEQSVRPGRPDLKEKPVLSELLAWPARYVLQAWLGLTAGQGRLVLPVWTVRIGRRGRLGLREESARREWPGQLGRPAMNEQPDEQAKIVKSAKQKLKEMQEENERREVRGMRGRAALVDQNEQMAKTVRRETEDMEELCVM
+>NM_178540|657499_2_188_600
+MDETERRVTKAMQENQDVLAARGRMGRVERRENEEQMEKLKQKASKVIKAQEDPQENMAPRGLQGPWERRASEERLGLRGRRGIRVTWVPLVLRGQGATLGLWAQLVYRAPWALLESLVPKEKLDPRGPRVSQESGE
+>DECOY_NM_178540|657499_2_188_600
+EGSEQSVRPGRPDLKEKPVLSELLAWPARYVLQAWLGLTAGQGRLVLPVWTVRIGRRGRLGLREESARREWPGQLGRPAMNEQPDEQAKIVKSAKQKLKEMQEENERREVRGMRGRAALVDQNEQMAKTVRRETEDM
+>NM_178540|657501_2_260_600
+MGRVERRENEEQMEKLKQKASKVIKAQEDPQENMAPRGLQGPWERRASEERLGLRGRRGIRVTWVPLVLRGQGATLGLWAQLVYRAPWALLESLVPKEKLDPRGPRVSQESGE
+>DECOY_NM_178540|657501_2_260_600
+EGSEQSVRPGRPDLKEKPVLSELLAWPARYVLQAWLGLTAGQGRLVLPVWTVRIGRRGRLGLREESARREWPGQLGRPAMNEQPDEQAKIVKSAKQKLKEMQEENERREVRGM
+>NM_178540|657503_2_359_600
+MAPRGLQGPWERRASEERLGLRGRRGIRVTWVPLVLRGQGATLGLWAQLVYRAPWALLESLVPKEKLDPRGPRVSQESGE
+>DECOY_NM_178540|657503_2_359_600
+EGSEQSVRPGRPDLKEKPVLSELLAWPARYVLQAWLGLTAGQGRLVLPVWTVRIGRRGRLGLREESARREWPGQLGRPAM
+>NM_152699|657568_2_1582_1682
+MDLSSRAFLVLSCWTTLTVKVHWRLPWCAVDSN
+>DECOY_NM_152699|657568_2_1582_1682
+NSDVACWPLRWHVKVTLTTWCSLVLFARSSLDM
+>NM_014866|657620_2_1774_2021
+MGPFLGQLCPGMVLCATPEPLMPHCIQCTLTACHPAIAAEATEGSQAQPGPRSWLAHSFSKKLENPRMKLQVVFLSKSILLP
+>DECOY_NM_014866|657620_2_1774_2021
+PLLISKSLFVVQLKMRPNELKKSFSHALWSRPGPQAQSGETAEAAIAPHCATLTCQICHPMLPEPTACLVMGPCLQGLFPGM
+>NM_014866|657621_2_1807_2021
+MVLCATPEPLMPHCIQCTLTACHPAIAAEATEGSQAQPGPRSWLAHSFSKKLENPRMKLQVVFLSKSILLP
+>DECOY_NM_014866|657621_2_1807_2021
+PLLISKSLFVVQLKMRPNELKKSFSHALWSRPGPQAQSGETAEAAIAPHCATLTCQICHPMLPEPTACLVM
+>NM_014866|657631_2_3916_4697
+MVLRLLTPRTTAIPSPSGPAPEPATPRNGHLPGKDILKDTIVPKVDGAVRAITMQAITPASTIMEIQVTGIVTTTVLESGTPAPMTGGIGVMQSMTHTGESTLPSGTGPRNVTTTGGTILASRGVLTMTPIRTETLMGKRWTGAASTASTRHGACTAHTAWPAAAAASAPTRTRVRFTEATMWLPVPTRPRFLQAPFTAILPTAPTAAISAVAPASQSMATLPTPSGLPWSKFHQDQLLLKNFQCLMSVPGLALAVSLSK
+>DECOY_NM_014866|657631_2_3916_4697
+KSLSVALALGPVSMLCQFNKLLLQDQHFKSWPLGSPTPLTAMSQSAPAVASIAATPATPLIATFPAQLFRPRTPVPLWMTAETFRVRTRTPASAAAAAPWATHATCAGHRTSATSAAGTWRKGMLTETRIPTMTLVGRSALITGGTTTVNRPGTGSPLTSEGTHTMSQMVGIGGTMPAPTGSELVTTTVIGTVQIEMITSAPTIAQMTIARVAGDVKPVITDKLIDKGPLHGNRPTAPEPAPGSPSPIATTRPTLLRLVM
+>NM_014866|657633_2_4105_4697
+MEIQVTGIVTTTVLESGTPAPMTGGIGVMQSMTHTGESTLPSGTGPRNVTTTGGTILASRGVLTMTPIRTETLMGKRWTGAASTASTRHGACTAHTAWPAAAAASAPTRTRVRFTEATMWLPVPTRPRFLQAPFTAILPTAPTAAISAVAPASQSMATLPTPSGLPWSKFHQDQLLLKNFQCLMSVPGLALAVSLSK
+>DECOY_NM_014866|657633_2_4105_4697
+KSLSVALALGPVSMLCQFNKLLLQDQHFKSWPLGSPTPLTAMSQSAPAVASIAATPATPLIATFPAQLFRPRTPVPLWMTAETFRVRTRTPASAAAAAPWATHATCAGHRTSATSAAGTWRKGMLTETRIPTMTLVGRSALITGGTTTVNRPGTGSPLTSEGTHTMSQMVGIGGTMPAPTGSELVTTTVIGTVQIEM
+>NM_014866|657640_2_4570_4697
+MATLPTPSGLPWSKFHQDQLLLKNFQCLMSVPGLALAVSLSK
+>DECOY_NM_014866|657640_2_4570_4697
+KSLSVALALGPVSMLCQFNKLLLQDQHFKSWPLGSPTPLTAM
+>NM_014866|657644_2_5998_6269
+MEPSRSSVLALRVPRWSSWTGQDSVSQEPWGSPTLCWRCLHRALSTRARACGCCPQLRRRSLTAHWPVLPECRCSQCHCPRGPWSRVLAV
+>DECOY_NM_014866|657644_2_5998_6269
+VALVRSWPGRPCHCQSCRCEPLVPWHATLSRRRLQPCCGCARARTSLARHLCRWCLTPSGWPEQSVSDQGTWSSWRPVRLALVSSRSPEM
+>NM_014866|657651_3_5399_5667
+MGRLEAAPRHGLVQLEQQHGRRVQDDGYHGRHSGFKGPLGCGPLLLPHGPGGIWCLHEENYKACLNRIQSQFAILKVRNQRSNPEDGSL
+>DECOY_NM_014866|657651_3_5399_5667
+LSGDEPNSRQNRVKLIAFQSQIRNLCAKYNEEHLCWIGGPGHPLLLPGCGLPGKFGSHRGHYGDDQVRRGHQQELQVLGHRPAAELRGM
+>NM_014866|657652_3_5987_6129
+MASGWSPPAAVSWHSEFRDGAVGQARTQSARSPGDRQPSAGGACTEP
+>DECOY_NM_014866|657652_3_5987_6129
+PETCAGGASPQRDGPSRASQTRAQGVAGDRFESHWSVAAPPSWGSAM
+>NM_175068|657664_2_246_385
+MDLAGAGPVALLAACLAVWPWGPCVRRCARPGVSIRSPSTRASWHP
+>DECOY_NM_175068|657664_2_246_385
+PHWSARTSPSRISVGPRACRRVCPGWPWVALCAALLAVPGAGALDM
+>NM_015256|657721_2_491_771
+MGPVLVSGSLSSLTSGCPTRRWPTGLNFWGPDFSSTIVKHALISLLVFLHKIGQSGSLWSWPATHIPWWWSRSMTPWALGLSATSSIQRTSAP
+>DECOY_NM_015256|657721_2_491_771
+PASTRQISSTASLGLAWPTMSRSWWWPIHTAPWSWLSGSQGIKHLFVLLSILAHKVITSSFDPGWFNLGTPWRRTPCGSTLSSLSGSVLVPGM
+>NM_015256|657724_2_1775_1956
+MAGFTLETSENGCRQELLKLLIGKSIYLNLLRENMLHPRRLRTSTSGANLWRKSMSMGTA
+>DECOY_NM_015256|657724_2_1775_1956
+ATGMSMSKRWLNAGSTSTRLRRPHLMNERLLNLYISKGILLKLLEQRCGNESTELTFGAM
+>NM_175053|657834_2_289_488
+MEGAGPVALLAVCLAVWPWGLHVCLCAHLGASTRSLSTRASWPPSTWSWTLRSRRCAPRSGNRSRC
+>DECOY_NM_175053|657834_2_289_488
+CRSRNGSRPACRRSRLTWSWTSPPWSARTSLSRTSAGLHACLCVHLGWPWVALCVALLAVPGAGEM
+>NM_000180|657881_2_2895_3004
+MGSDTRQRSPTCHWTSSVPWALSACAICLRFPCASA
+>DECOY_NM_000180|657881_2_2895_3004
+ASACPFRLCIACASLAWPVSSTWHCTPSRQRTDSGM
+>NM_001137671|658004_2_1136_1212
+MALIKIFQMSMEIPLYTMLSTMKIN
+>DECOY_NM_001137671|658004_2_1136_1212
+NIKMTSLMTYLPIEMSMQFIKILAM
+>NM_007003|658168_2_119_255
+MVRRLPMWLHSWLPVNLSKRNHQLTIRILNLDKREKEHLRSKNVK
+>DECOY_NM_007003|658168_2_119_255
+KVNKSRLHEKERKDLNLIRITLQHNRKSLNVPLWSHLWMPLRRVM
+>NM_020994|658266_2_270_421
+MEGAPAGPGGRTAACFSCTSRCLSRRPWKRSWSAGSCPGMPHLSPDQGRF
+>DECOY_NM_020994|658266_2_270_421
+FRGQDPSLHPMGPCSGASWSRKWPRRSLCRSTCSFCAATRGGPGAPAGEM
+>NM_014680|658416_2_2383_2495
+MEVPCRHTVQSWLLALMAIVSSTSRRWRCSCYLSWKR
+>DECOY_NM_014680|658416_2_2383_2495
+RKWSLYCSCRWRRSTSSVIAMLALLWSQVTHRCPVEM
+>NM_014680|658419_2_2863_3002
+MGSCCLPAKLRSSMPLWNAKTLKSTSSVPVVSMATHPCAGHCLLGA
+>DECOY_NM_014680|658419_2_2863_3002
+AGLLCHGACPHTAMSVVPVSSTSKLTKANWLPMSSRLKAPLCCSGM
+>NM_014680|658422_2_3751_3887
+MGTPMITIVSLCGPQSSCLRCPWASFMTPTGPFARRTSISPSRWI
+>DECOY_NM_014680|658422_2_3751_3887
+IWRSPSISTRRAFPGTPTMFSAWPCRLCSSQPGCLSVITIMPTGM
+>NM_014680|658426_3_6566_6666
+MARLCHGCQKGQPQSPGCPGNQREAKAEVCNRL
+>DECOY_NM_014680|658426_3_6566_6666
+LRNCVEAKAERQNGPCGPSQPQGKQCGHCLRAM
+>NM_003007|658434_2_856_1010
+MAERQIKYHTNLQVQKKDDSTMEKMVCRKMYPKAVFIAKLKRKHRASLKNR
+>DECOY_NM_003007|658434_2_856_1010
+RNKLSARHKRKLKAIFVAKPYMKRCVMKEMTSDDKKQVQLNTHYKIQREAM
+>NM_003007|658435_2_919_1010
+MEKMVCRKMYPKAVFIAKLKRKHRASLKNR
+>DECOY_NM_003007|658435_2_919_1010
+RNKLSARHKRKLKAIFVAKPYMKRCVMKEM
+>NM_003007|658436_2_928_1010
+MVCRKMYPKAVFIAKLKRKHRASLKNR
+>DECOY_NM_003007|658436_2_928_1010
+RNKLSARHKRKLKAIFVAKPYMKRCVM
+>NM_003007|658437_2_1216_1331
+MVKMQKESLANLQIENKTYSVMNKKADTNMDLMGDWIL
+>DECOY_NM_003007|658437_2_1216_1331
+LIWDGMLDMNTDAKKNMVSYTKNEIQLNALSEKQMKVM
+>NM_198139|658442_2_856_1151
+MAERQIKYHTNLQVQKKDDSTMEKMVCRKMYPKAVFIAKLKRKHRASLKSRHQILSKSHGMVKMQKESLANLQIENKTYSVMNKKADTNMDLMGDWIL
+>DECOY_NM_198139|658442_2_856_1151
+LIWDGMLDMNTDAKKNMVSYTKNEIQLNALSEKQMKVMGHSKSLIQHRSKLSARHKRKLKAIFVAKPYMKRCVMKEMTSDDKKQVQLNTHYKIQREAM
+>NM_198139|658443_2_919_1151
+MEKMVCRKMYPKAVFIAKLKRKHRASLKSRHQILSKSHGMVKMQKESLANLQIENKTYSVMNKKADTNMDLMGDWIL
+>DECOY_NM_198139|658443_2_919_1151
+LIWDGMLDMNTDAKKNMVSYTKNEIQLNALSEKQMKVMGHSKSLIQHRSKLSARHKRKLKAIFVAKPYMKRCVMKEM
+>NM_198139|658444_2_928_1151
+MVCRKMYPKAVFIAKLKRKHRASLKSRHQILSKSHGMVKMQKESLANLQIENKTYSVMNKKADTNMDLMGDWIL
+>DECOY_NM_198139|658444_2_928_1151
+LIWDGMLDMNTDAKKNMVSYTKNEIQLNALSEKQMKVMGHSKSLIQHRSKLSARHKRKLKAIFVAKPYMKRCVM
+>NM_205864|658698_2_1031_1227
+MGRFLRCQSVMKRKSQQRVWRGQKLSQLGLRQAFPGGVKHVGRTVRCLTGSKVLKAYNLFKRTWL
+>DECOY_NM_205864|658698_2_1031_1227
+LWTRKFLNYAKLVKSGTLCRVTRGVHKVGGPFAQRLGLQSLKQGRWVRQQSKRKMVSQCRLFRGM
+>NM_001005365|658734_2_503_579
+MALIQIFQICMGILLYTMQSTMKIN
+>DECOY_NM_001005365|658734_2_503_579
+NIKMTSQMTYLLIGMCIQFIQILAM
+>NM_145046|658747_2_274_353
+MADSMPSLHASNRSAIKGKLWLFSTQ
+>DECOY_NM_145046|658747_2_274_353
+QTSFLWLKGKIASRNSAHLSPMSDAM
+>NM_173081|658786_2_1071_1165
+MELKLLLPKLFQQCVRIQAAKIFSIIRGFHS
+>DECOY_NM_173081|658786_2_1071_1165
+SHFGRIISFIKAAQIRVCQQFLKPLLLKLEM
+>NM_173081|658790_3_2122_2204
+MEEKQRKKRRGKSERGGRGYGGTKICW
+>DECOY_NM_173081|658790_3_2122_2204
+WCIKTGGYGRGGRESKGRRKKRQKEEM
+>NM_178173|658960_2_682_1004
+MEVYFLLLCQLENQKASWNSLRRKRKGQKTNVTVRLYTTLFLMLEKAFSGCRRLWKSLRTISVQEANLFWILWRLWPRHYKRLYRPRMTWCLRQSRTKATWSRPSLR
+>DECOY_NM_178173|658960_2_682_1004
+RLSPRSWTAKTRSQRLCWTMRPRYLRKYHRPWLRWLIWFLNAEQVSITRLSKWLRRCGSFAKELMLFLTTYLRVTVNTKQGKRKRRLSNWSAKQNELQCLLLFYVEM
+>NM_178173|658963_2_1495_1580
+MVPASQAIRFPVTGTWFPKEPHSSHHWR
+>DECOY_NM_178173|658963_2_1495_1580
+RWHHSSHPEKPFWTGTVPFRIAQSAPVM
+>NM_031273|659127_3_533_639
+MEALPCRAGTSPGTGPGYSVSRPGHCRRGLDRRSR
+>DECOY_NM_031273|659127_3_533_639
+RSRRDLGRRCHGPRSVSYGPGTGPSTGARCPLAEM
+>NM_015397|659188_2_867_961
+MDTRTGSFPSHGSATLWQCLAHVMVLWDSGR
+>DECOY_NM_015397|659188_2_867_961
+RGSDWLVMVHALCQWLTASGHSPFSGTRTDM
+>NM_014269|659225_2_977_1056
+MVMWKGTQNPWFPSVPVLGVFKEYYR
+>DECOY_NM_014269|659225_2_977_1056
+RYYEKFVGLVPVSPFWPNQTGKWMVM
+>NM_014269|659229_2_2075_2241
+MVLPISAQMTFMWKMEFPVRRGATAMKRAVMTAMNSVGGFLVQAQILQVRLATKN
+>DECOY_NM_014269|659229_2_2075_2241
+NKTALRVQLIQAQVLFGGVSNMATMVARKMATAGRRVPFEMKWMFTMQASIPLVM
+>NM_014269|659232_2_2117_2241
+MEFPVRRGATAMKRAVMTAMNSVGGFLVQAQILQVRLATKN
+>DECOY_NM_014269|659232_2_2117_2241
+NKTALRVQLIQAQVLFGGVSNMATMVARKMATAGRRVPFEM
+>NM_014269|659235_2_2642_2781
+MEVVLTVAHPLRERRKRSSVICVYCCLLFCLFYYVVFIDFVKKVNQ
+>DECOY_NM_014269|659235_2_2642_2781
+QNVKKVFDIFVVYYFLCFLLCCYVCIVSSRKRRERLPHAVTLVVEM
+>NM_017578|659347_2_789_892
+MVGRPGSRSAPSSFSTRILPKWMGRSLHHMSAGC
+>DECOY_NM_017578|659347_2_789_892
+CGASMHHLSRGMWKPLIRTSFSSPASRSGPRGVM
+>NM_003995|659392_2_1987_2150
+MAWPASDQLLNLMTAMPSMPRSCGLPQNCSVGTPCQPQACRRLTSIALGSSCRR
+>DECOY_NM_003995|659392_2_1987_2150
+RRCSSGLAISTLRRCAQPQCPTGVSCNQPLGCSRPMSPMATMLNLLQDSAPWAM
+>NM_016381|659497_2_1199_1302
+MVTATTSPCSKQSWLCWASPVLWMVPSVWIASLR
+>DECOY_NM_016381|659497_2_1199_1302
+RLSAIWVSPVMWLVPSAWCLWSQKSCPSTTATVM
+>NM_003703|659579_2_460_551
+MASLWQTSRSIMTLWTVTAMLRIEERCLLS
+>DECOY_NM_003703|659579_2_460_551
+SLLCREEIRLMATVTWLTMISRSTQWLSAM
+>NM_018846|659603_3_1968_2068
+MEGCNSEMCSSWLYSLCLGWFSGCWSIRTHSRI
+>DECOY_NM_018846|659603_3_1968_2068
+IRSHTRISWCGSFWGLCLSYLWSSCMESNCGEM
+>NM_001143962|659709_2_572_738
+MDSCSSYTRNKAMNSGVPCWRKPMPSLMVVMRLSLEVPQWRGLRISQVASLSFMT
+>DECOY_NM_001143962|659709_2_572_738
+TMFSLSAVQSIRLGRWQPVELSLRMVVMLSPMPKRWCPVGSNMAKNRTYSSCSDM
+>NM_005944|659740_3_332_414
+MAEKESCKPRKHGHLQREPWGGDPACL
+>DECOY_NM_005944|659740_3_332_414
+LCAPDGGWPERQLHGHKRPKCSEKEAM
+>NM_001129832|659826_2_1322_1440
+MVPSTASPTLTTLPSPCSRCSSASPWRAGRTCCTGSMMP
+>DECOY_NM_001129832|659826_2_1322_1440
+PMMSGTCCTRGARWPSASSCRSCPSPLTTLTPSATSPVM
+>NM_178443|659893_3_193_584
+MGAAGVCGRGGPRGRVGHPAGHWGVAHRRGAPEDCGADQSQAGLVRPCYLVGTEEAVAAADPLDTGQVRDPGRRTPLLWAPAPARHPSVAQPPRTAPPCQLLPAPLPGCGCHLPPPQHPAPRGAVPAPGS
+>DECOY_NM_178443|659893_3_193_584
+SGPAPVAGRPAPHQPPPLHCGCGPLPAPLLQCPPATRPPQAVSPHRAPAPAWLLPTRRGPDRVQGTDLPDAAAVAEETGVLYCPRVLGAQSQDAGCDEPAGRRHAVGWHGAPHGVRGRPGGRGCVGAAGM
+>NM_000280|659911_2_1056_1150
+MAASNRKEGERIPTPSVPTEKIQMRLKCDFS
+>DECOY_NM_000280|659911_2_1056_1150
+SFDCKLRMQIKETPVSPTPIREGEKRNSAAM
+>NM_053026|660466_2_2050_2264
+MGMRSKSQRTSTLNREELSTAFVSRKCSRRTRARTPARPGTALERSAPRPCSRYKSLTMAPSPGSSVSLAQ
+>DECOY_NM_053026|660466_2_2050_2264
+QALSVSSGPSPAMTLSKYRSCPRPASRELATGPRAPTRARTRRSCKRSVFATSLEERNLTSTRQSKSRMGM
+>NM_053026|660468_2_2344_2417
+MAKPSAKTLATSRCFRMRTCSPWF
+>DECOY_NM_053026|660468_2_2344_2417
+FWPSCTRMRFCRSTALTKASPKAM
+>NM_053026|660474_2_3946_4118
+MAASSPSWPRARSTAAATHCWWRTSWAAGRPRSTSLSWISQTPQLAHLVPLTFGAPH
+>DECOY_NM_053026|660474_2_3946_4118
+HPAGFTLPVLHALQPTQSIWSLSTSRPRGAAWSTRWWCHTAAATSRARPWSPSSAAM
+>NM_053026|660475_2_4132_4217
+MAPHMMGAVLYSPTASRSGTQPTRRGRN
+>DECOY_NM_053026|660475_2_4132_4217
+NRGRRTPQTGSRSATPSYLVAGMMHPAM
+>NM_053026|660487_3_476_573
+MAQKRATHHQRGPLPAGLRHPGDFQPCDSCCP
+>DECOY_NM_053026|660487_3_476_573
+PCCSDCPQFDGPHRLGAPLPGRQHHTARKQAM
+>NM_053026|660491_3_5306_5439
+MAENGQCCESHWKTVLYGNDLRAQWQEILNRVTNQPAQCRKTRI
+>DECOY_NM_053026|660491_3_5306_5439
+IRTKRCQAPQNTVRNLIEQWQARLDNGYLVTKWHSECCQGNEAM
+>NM_005520|660508_2_229_329
+MGLKVFVSSTPEKADQVARLLLNLNQKMKSNWP
+>DECOY_NM_005520|660508_2_229_329
+PWNSKMKQNLNLLLRAVQDAKEPTSSVFVKLGM
+>NM_005520|660510_2_445_539
+MALYGLEDFPLDVARKKLFSSSQGWKSCQMG
+>DECOY_NM_005520|660510_2_445_539
+GMQCSKWGQSSSFLKKRAVDLPFDELGYLAM
+>NM_005520|660513_2_835_1061
+MEAMMITMAIMMAMDLGQIDLEETSITVFQECLITDTGMVALLSRAQQDTVYTCGDYLTELLRMTFIIFFHRSTL
+>DECOY_NM_005520|660513_2_835_1061
+LTSRHFFIIFTMRLLETLYDGCTYVTDQQARSLLAVMGTDTILCEQFVTISTEELDIQGLDMAMMIAMTIMMAEM
+>NM_005520|660516_2_856_1061
+MAIMMAMDLGQIDLEETSITVFQECLITDTGMVALLSRAQQDTVYTCGDYLTELLRMTFIIFFHRSTL
+>DECOY_NM_005520|660516_2_856_1061
+LTSRHFFIIFTMRLLETLYDGCTYVTDQQARSLLAVMGTDTILCEQFVTISTEELDIQGLDMAMMIAM
+>NM_005520|660518_2_868_1061
+MAMDLGQIDLEETSITVFQECLITDTGMVALLSRAQQDTVYTCGDYLTELLRMTFIIFFHRSTL
+>DECOY_NM_005520|660518_2_868_1061
+LTSRHFFIIFTMRLLETLYDGCTYVTDQQARSLLAVMGTDTILCEQFVTISTEELDIQGLDMAM
+>NM_005520|660519_2_874_1061
+MDLGQIDLEETSITVFQECLITDTGMVALLSRAQQDTVYTCGDYLTELLRMTFIIFFHRSTL
+>DECOY_NM_005520|660519_2_874_1061
+LTSRHFFIIFTMRLLETLYDGCTYVTDQQARSLLAVMGTDTILCEQFVTISTEELDIQGLDM
+>NM_005520|660520_2_949_1061
+MVALLSRAQQDTVYTCGDYLTELLRMTFIIFFHRSTL
+>DECOY_NM_005520|660520_2_949_1061
+LTSRHFFIIFTMRLLETLYDGCTYVTDQQARSLLAVM
+>NM_003489|660681_2_788_915
+MVQFSIHIHIRGLACCTSKKPDCCSLLRTGMQQSGRGCLILS
+>DECOY_NM_003489|660681_2_788_915
+SLILCGRGSQQMGTRLLSCCDPKKSTCCALGRIHIHISFQVM
+>NM_003489|660683_2_1517_1605
+MARRMLAVTSSQKECQAILMVRQEHHQAN
+>DECOY_NM_003489|660683_2_1517_1605
+NAQHHEQRVMLIAQCEKQSSTVALMRRAM
+>NM_001014986|660909_2_661_782
+MEMRFSTHHYLNHLLQDMKMFRILYHLSVLSLLKECQRAI
+>DECOY_NM_001014986|660909_2_661_782
+IARQCEKLLSLVSLHYLIRFMKMDQLLHNLYHHTSFRMEM
+>NM_001014986|660911_2_874_977
+MGKFSEEIRLKMPSWQGPKESFSTPTLLTTLLLG
+>DECOY_NM_001014986|660911_2_874_977
+GLLLTTLLTPTSFSEKPGQWSPMKLRIEESFKGM
+>NM_004404|661369_2_447_541
+MVTLSTAEIVLRQLSPILMSNLRGTCMTRAA
+>DECOY_NM_004404|661369_2_447_541
+AARTMCTGRLNSMLIPSLQRLVIEATSLTVM
+>NM_000477|661392_2_884_969
+MEICLNVLMTGRTLPSISVKIKIRSPVN
+>DECOY_NM_000477|661392_2_884_969
+NVPSRIKIKVSISPLTRGTMLVNLCIEM
+>NM_004327|661429_2_1845_2089
+MARAPSMETQMARSEHHLDTAALQTGQRSSAGTKMGCPTLMTRPPHRPTSAARAGAAGMRWSREPWSPLKRVSWTWKRAWR
+>DECOY_NM_004327|661429_2_1845_2089
+RWARKWTWSVRKLPSWPERSWRMGAAGARAASTPRHPPRTMLTPCGMKTGASSRQGTQLAATDLHHESRAMQTEMSPARAM
+>NM_004327|661432_2_1947_2089
+MGCPTLMTRPPHRPTSAARAGAAGMRWSREPWSPLKRVSWTWKRAWR
+>DECOY_NM_004327|661432_2_1947_2089
+RWARKWTWSVRKLPSWPERSWRMGAAGARAASTPRHPPRTMLTPCGM
+>NM_004327|661435_2_2280_2461
+MGSSPACSSGATSSGWATSSRSWPASWVCTGPSWTTTELPWKWLRSAVRPMLSLQKSPRT
+>DECOY_NM_004327|661435_2_2280_2461
+TRPSKQLSLMPRVASRLWKWPLETTTWSPGTCVWSAPWSRSSTAWGSSTAGSSCAPSSGM
+>NM_004327|661444_3_817_968
+MGLPARGAGPRRRLRAPSVRVAPAASARRRSRPAARRGARGPARRRGFSG
+>DECOY_NM_004327|661444_3_817_968
+GSFGRRRAPGRAGRRAAPRSRRRASAAPAVRVSPARLRRRPGAGRAPLGM
+>NM_014351|661463_2_483_571
+METPRSSIWLATPRIWWCLIISSTALCGP
+>DECOY_NM_014351|661463_2_483_571
+PGCLATSSIILCWWIRPTALWISSRPTEM
+>NM_001129840|661554_2_1322_1503
+MVPSTASPTLTTLPSPCSRCSSASPWRAGRTCCTGCRTLWAMSYPGCILSVWSSLDPFSF
+>DECOY_NM_001129840|661554_2_1322_1503
+FSFPDLSSWVSLICGPYSMAWLTRCGTCCTRGARWPSASSCRSCPSPLTTLTPSATSPVM
+>NM_001067|661835_2_487_563
+MEKVFLLLNTKLKRCMSQLSYLDSS
+>DECOY_NM_001067|661835_2_487_563
+SSDLYSLQSMCRKLKTNLLLFVKEM
+>NM_001067|661836_2_613_839
+MAMEPNCVTYSVPNLLWKQPVENTRKCSNRHGWIIWEELVRWNSSPSMEKIIHVSPFSLICLSLKCKAWTKILLH
+>DECOY_NM_001067|661836_2_613_839
+HLLIKTWAKCKLSLCILSFPSVHIIKEMSPSSNWRVLEEWIIWGHRNSCKRTNEVPQKWLLNPVSYTVCNPEMAM
+>NM_001067|661837_2_619_839
+MEPNCVTYSVPNLLWKQPVENTRKCSNRHGWIIWEELVRWNSSPSMEKIIHVSPFSLICLSLKCKAWTKILLH
+>DECOY_NM_001067|661837_2_619_839
+HLLIKTWAKCKLSLCILSFPSVHIIKEMSPSSNWRVLEEWIIWGHRNSCKRTNEVPQKWLLNPVSYTVCNPEM
+>NM_001067|661839_2_1567_1709
+MGFSLLEEKYSMFEKLLISRSWKMLRLTISSRLWVFSTRKTMKMKIH
+>DECOY_NM_001067|661839_2_1567_1709
+HIKMKMTKRTSFVWLRSSITLRLMKWSRSILLKEFMSYKEELLSFGM
+>NM_001067|661854_3_2915_2997
+MDPDIQRTSSRTHVEWHREDTSSHNRL
+>DECOY_NM_001067|661854_3_2915_2997
+LRNHSSTDERHWEVHTRSSTRQIDPDM
+>NM_025135|661910_2_293_396
+MAPTWIWRPPWQSSGMSWKASRMTPGGARSTASS
+>DECOY_NM_025135|661910_2_293_396
+SSATSRAGGPTMRSAKWSMGSSQWPPRWIWTPAM
+>NM_025135|661912_2_1073_1515
+MAMRPRSHPPVGAGTGGGPACVPVAEASTGAWTAEGAAGTRCRASRAPCRPPPVPAPSQLPASSPTKCEICVKNTAILAITLITPQDPHLDPVCPPPPHHPSHPHRRPGWKGHHRVVFSHHPSGSTKSHWQQRERGGGRREKKGCRE
+>DECOY_NM_025135|661912_2_1073_1515
+ERCGKKERRGGGRERQQWHSKTSGSPHHSFVVRHHGKWGPRRHPHSPHHPPPPCVPDLHPDQPTILTIALIATNKVCIECKTPSSAPLQSPAPVPPPRCPARSARCRTGAAGEATWAGTSAEAVPVCAPGGGTGAGVPPHSRPRMAM
+>NM_172374|662344_2_1301_1416
+MASSISASPRPSGPTAASATDSSTAASWVAGTCCRARC
+>DECOY_NM_172374|662344_2_1301_1416
+CRARCCTGAVWSAATSSDTASAATPGSPRPSASISSAM
+>NM_000435|662501_2_584_780
+MVAPASTHLAPSAASVQLATQGHYVRTPRCPVHPHHAVTGAPAGRVATSLTTVPVFLGLRVRIVK
+>DECOY_NM_000435|662501_2_584_780
+KVIRVRLGLFVPVTTLSTAVRGAPAGTVAHHPHVPCRPTRVYHGQTALQVSAASPALHTSAPAVM
+>NM_000435|662505_2_935_1188
+MGVPASTRWVATAACVSMAGQARAAVRISMTVPQPCASMGPPAMTAWLLSTVPAPWARLASCVTWMTPVSATPATRMLSVTQIR
+>DECOY_NM_000435|662505_2_935_1188
+RIQTVSLMRTAPTASVPTMWTVCSALRAWPAPVTSLLWATMAPPGMSACPQPVTMSIRVAARAQGAMSVCAATAVWRTSAPVGM
+>NM_000435|662511_2_1628_1869
+MAPNAWTSPMATSAAVPRALRARCVIATWTTAPLTHATMVAAWMASPASHVPVLLATRAHAARARWTNAAASPAAMAANA
+>DECOY_NM_000435|662511_2_1628_1869
+ANAAMAAPSAAANTWRARAAHARTALLVPVHSAPSAMWAAVMTAHTLPATTWTAIVCRARLARPVAASTAMPSTWANPAM
+>NM_000435|662513_2_1742_1869
+MVAAWMASPASHVPVLLATRAHAARARWTNAAASPAAMAANA
+>DECOY_NM_000435|662513_2_1742_1869
+ANAAMAAPSAAANTWRARAAHARTALLVPVHSAPSAMWAAVM
+>NM_000435|662515_2_1982_2652
+MASTATTVSANLASQGPFVTWRSMSVLPAHAAREVPVWMGKMASAASARLAPCPHSASPRAIPVPMSPAVTASAMMHLAGSAVCVSLAGVAPAAARAWPETPVSPSRAGPVGHAAAMEWVSTAPARLVSRDVSVNSSPPAPRTPVSMGAAASLPLASCLSAPAPRAGKAHDASRMWTSVLAPHPVALMVSAPTWQGVSAAPAMEGTLALPAIRTSMTVTPTHA
+>DECOY_NM_000435|662515_2_1982_2652
+AHTPTVTMSTRIAPLALTGEMAPAASVGQWTPASVMLAVPHPALVSTWMRSADHAKGARPAPASLCSALPLSAAAGMSVPTRPAPPSSNVSVDRSVLRAPATSVWEMAAAHGVPGARSPSVPTEPWARAAAPAVGALSVCVASGALHMMASATVAPSMPVPIARPSASHPCPALRASAASAMKGMWVPVERAAHAPLVSMSRWTVFPGQSALNASVTTATSAM
+>NM_000435|662518_2_2105_2652
+MASAASARLAPCPHSASPRAIPVPMSPAVTASAMMHLAGSAVCVSLAGVAPAAARAWPETPVSPSRAGPVGHAAAMEWVSTAPARLVSRDVSVNSSPPAPRTPVSMGAAASLPLASCLSAPAPRAGKAHDASRMWTSVLAPHPVALMVSAPTWQGVSAAPAMEGTLALPAIRTSMTVTPTHA
+>DECOY_NM_000435|662518_2_2105_2652
+AHTPTVTMSTRIAPLALTGEMAPAASVGQWTPASVMLAVPHPALVSTWMRSADHAKGARPAPASLCSALPLSAAAGMSVPTRPAPPSSNVSVDRSVLRAPATSVWEMAAAHGVPGARSPSVPTEPWARAAAPAVGALSVCVASGALHMMASATVAPSMPVPIARPSASHPCPALRASAASAM
+>NM_000435|662522_2_2330_2652
+MEWVSTAPARLVSRDVSVNSSPPAPRTPVSMGAAASLPLASCLSAPAPRAGKAHDASRMWTSVLAPHPVALMVSAPTWQGVSAAPAMEGTLALPAIRTSMTVTPTHA
+>DECOY_NM_000435|662522_2_2330_2652
+AHTPTVTMSTRIAPLALTGEMAPAASVGQWTPASVMLAVPHPALVSTWMRSADHAKGARPAPASLCSALPLSAAAGMSVPTRPAPPSSNVSVDRSVLRAPATSVWEM
+>NM_000435|662523_2_2420_2652
+MGAAASLPLASCLSAPAPRAGKAHDASRMWTSVLAPHPVALMVSAPTWQGVSAAPAMEGTLALPAIRTSMTVTPTHA
+>DECOY_NM_000435|662523_2_2420_2652
+AHTPTVTMSTRIAPLALTGEMAPAASVGQWTPASVMLAVPHPALVSTWMRSADHAKGARPAPASLCSALPLSAAAGM
+>NM_000435|662528_2_3359_3888
+MGGPAVAIWGATCVSVFLATMVITVRTTWTSVPPSPASTGVHALTSWPAISAPVPQERWGCSARLMRMTAAQAHRWTQGPGAYTMAPAWTWWVVSAAPVPQDTLVCAARQTSMSVAQVPATRHTPGTACRTQAEVSVAFVMLASQVLAVRLSCLPASPSHASMEASAVLARVLGVG
+>DECOY_NM_000435|662528_2_3359_3888
+GVGLVRALVASAEMSAHSPSAPLCSLRVALVQSALMVFAVSVEAQTRCATGPTHRTAPVQAVSMSTQRAACVLTDQPVPAASVVWWTWAPAMTYAGPGQTWRHAQAATMRMLRASCGWREQPVPASIAPWSTLAHVGTSAPSPPVSTWTTRVTIVMTALFVSVCTAGWIAVAPGGM
+>NM_000435|662529_2_3419_3888
+MVITVRTTWTSVPPSPASTGVHALTSWPAISAPVPQERWGCSARLMRMTAAQAHRWTQGPGAYTMAPAWTWWVVSAAPVPQDTLVCAARQTSMSVAQVPATRHTPGTACRTQAEVSVAFVMLASQVLAVRLSCLPASPSHASMEASAVLARVLGVG
+>DECOY_NM_000435|662529_2_3419_3888
+GVGLVRALVASAEMSAHSPSAPLCSLRVALVQSALMVFAVSVEAQTRCATGPTHRTAPVQAVSMSTQRAACVLTDQPVPAASVVWWTWAPAMTYAGPGQTWRHAQAATMRMLRASCGWREQPVPASIAPWSTLAHVGTSAPSPPVSTWTTRVTIVM
+>NM_000435|662532_2_3611_3888
+MAPAWTWWVVSAAPVPQDTLVCAARQTSMSVAQVPATRHTPGTACRTQAEVSVAFVMLASQVLAVRLSCLPASPSHASMEASAVLARVLGVG
+>DECOY_NM_000435|662532_2_3611_3888
+GVGLVRALVASAEMSAHSPSAPLCSLRVALVQSALMVFAVSVEAQTRCATGPTHRTAPVQAVSMSTQRAACVLTDQPVPAASVVWWTWAPAM
+>NM_000435|662535_2_4562_4806
+MGWIVPARCRPCWPAACWCSQCCCRQRSYCVPAPTFCSGSAPSCAPRCASAWTRTARPWSSLTTGLVLAPNPGPVGSWPPR
+>DECOY_NM_000435|662535_2_4562_4806
+RPPWSGVPGPNPALVLGTTLSSWPRATRTWASACRPACSPASGSCFTPAPVCYSRQRCCCQSCWCAAPWCPRCRAPVIWGM
+>NM_000435|662543_2_5957_6108
+MEPIRTCRIARRRPPYSWPPARAAMRLPSCCWTTLPTVRSPTTWTGCRGT
+>DECOY_NM_000435|662543_2_5957_6108
+TGRCGTWTTPSRVTPLTTWCCSPLRMAARAPPWSYPPRRRAIRCTRIPEM
+>NM_000435|662545_2_6725_6810
+MARSTRRLGHTAAPQRPASCGFPVSTLT
+>DECOY_NM_000435|662545_2_6725_6810
+TLTSVPFGCSAPRQPAATHGLRRTSRAM
+>NM_004665|662602_2_1041_1117
+MGSTSQNFLKMQETLQSVKRSFAVI
+>DECOY_NM_004665|662602_2_1041_1117
+IVAFSRKVSQLTEQMKLFNQSTSGM
+>NM_005570|662622_2_543_667
+MDKSIMTIKMTGLVKLWQVARGTSATNPILSEQRLPITRTH
+>DECOY_NM_005570|662622_2_543_667
+HTRTIPLRQESLIPNTASTGRAVQWLKVLGTMKITMISKDM
+>NM_024011|662665_3_542_972
+MGKTEEKGNGKGAFQERKGPLGAVRKEAGAGAQDAGAAEGAAGAEGARAAGGGAAQGAGGPQGSVCTSPNDERGLQRQSESQPLESQPASAAAGAVRVGRRPEASKRRENGRKGPAVRLTGHQRQREEDQLGRVLVSGIRLRF
+>DECOY_NM_024011|662665_3_542_972
+FRLRIGSVLVRGLQDEERQRQHGTLRVAPGKRGNERRKSAEPRRGVRVAGAAASAPQSELPQSESQRQLGREDNPSTCVSGQPGGAGQAAGGGAARAGEAGAAGEAAGADQAGAGAEKRVAGLPGKREQFAGKGNGKEETKGM
+>NM_007375|662751_2_201_286
+MGRCCSPRLQPSFQGRVGFATGIQCLSV
+>DECOY_NM_007375|662751_2_201_286
+VSLCQIGTAFGVRGQFSPQLRPSCCRGM
+>NM_006680|662831_2_1534_1646
+MARPSFLGRETMLTCSPGWHWESSPAGSGTSQMRSSS
+>DECOY_NM_006680|662831_2_1534_1646
+SSSRMQSTGSGAPSSEWHWGPSCTLMTERGLFSPRAM
+>NM_005188|662970_2_2452_2732
+MGMMSQSHLCRPCWPAELSQISLMPAPPLAGCLWMVILQQMSLKVPKFPRGLQNHSRGESTLNGKLAAVSKVVVLPPLLPPPHLSSPVRSRTS
+>DECOY_NM_005188|662970_2_2452_2732
+STRSRVPSSLHPPPLLPPLVVVKSVAALKGNLTSEGRSHNQLGRPFKPVKLSMQQLIVMWLCGALPPAPMLSIQSLEAPWCPRCLHSQSMMGM
+>NM_002222|663077_2_1897_1982
+MAQCFGWKSSGTSGTLLSDTSAGSATGC
+>DECOY_NM_002222|663077_2_1897_1982
+CGTASGASTDSLLTGSTGSSKWGFCQAM
+>NM_002222|663094_2_5368_5537
+MEKRVRRSGKFWSTVTMETSDLRDEERALPALAMAHCQQEDPASPGEEGEVPDPAL
+>DECOY_NM_002222|663094_2_5368_5537
+LAPDPVEGEEGPSAPDEQQCHAMALAPLAREEDRLDSTEMTVTSWFKGSRRVRKEM
+>NM_002222|663095_2_5416_5537
+METSDLRDEERALPALAMAHCQQEDPASPGEEGEVPDPAL
+>DECOY_NM_002222|663095_2_5416_5537
+LAPDPVEGEEGPSAPDEQQCHAMALAPLAREEDRLDSTEM
+>NM_005381|663174_2_547_665
+MARMPRRKTVMKRRMMTVRRMRRMTRTRMRMKMKLNQQR
+>DECOY_NM_005381|663174_2_547_665
+RQQNLKMKMRMRTRTMRRMRRVTMMRRKMVTKRRPMRAM
+>NM_005381|663200_2_1414_1610
+MGKVKGLLILNLRQKLMQRKPLKKSREQRSMGDLFPCTILERKVKIKTIEVERIALGVVNQKLWF
+>DECOY_NM_005381|663200_2_1414_1610
+FWLKQNVVGLAIREVEITKIKVKRELITCPFLDGMSRQERSKKLPKRQMLKQRLNLILLGKVKGM
+>NM_014314|663341_2_1107_1195
+MGIELQAFLEQQLRMSQWNRLLRTMTSSF
+>DECOY_NM_014314|663341_2_1107_1195
+FSSTMTRLLRNWQSMRLQQELFAQLEIGM
+>NM_012223|663393_2_2626_2735
+MGPRYVENTGNSSEPMLERKSMSLRFRELCKNTSWK
+>DECOY_NM_012223|663393_2_2626_2735
+KWSTNKCLERFRLSMSKRELMPESSNGTNEVYRPGM
+>NM_012223|663395_2_3211_3290
+MASSPSTSKRAQKQLVKETFSSAVIT
+>DECOY_NM_012223|663395_2_3211_3290
+TIVASSFTEKVLQKQARKSTSPSSAM
+>NM_003370|663416_2_394_671
+MATSDGSLLARVPRPSAASRSTTTPRPIPFASWAGRCSPTSRWSSTVPSSGVSSITRPPPTSISGATLARSGASTSAARRMRPSLPPAWPVP
+>DECOY_NM_003370|663416_2_394_671
+PVPWAPPLSPRMRRAASTSAGSRALTAGSISTPPPRTISSVGSSPVTSSWRSTPSCRGAWSAFPIPRPTTTSRSAASPRPVRALLSGDSTAM
+>NM_003370|663420_3_407_558
+MAPCWHGSPGLQPRPDLPQPHGQFLSRRGPEDAARPAGGHQLCHRPGCQV
+>DECOY_NM_003370|663420_3_407_558
+VQCGPRHCLQHGGAPRAADEPGRRSLFQGHPQPLDPRPQLGPSGHWCPAM
+>NM_005795|663488_2_756_952
+MDGSAGTMLQQELNQCSSALITFRTLIHQKKLQRSVTKMETGLDIQQATEHGQIIPSVMLTPTRK
+>DECOY_NM_005795|663488_2_756_952
+KRTPTLMVSPIIQGHETAQQIDLGTEMKTVSRQLKKQHILTRFTILASSCQNLEQQLMTGASGDM
+>NM_005795|663490_2_870_952
+METGLDIQQATEHGQIIPSVMLTPTRK
+>DECOY_NM_005795|663490_2_870_952
+KRTPTLMVSPIIQGHETAQQIDLGTEM
+>NM_002409|663536_2_1239_1594
+MAGPSPSPSTCASRSTASSGSSRAPWRWCQAARWTCCRQCMGWTASACAAASTTPCPTSDSMRTAPATSWCSGRWAAPCTSPAGTAPGASRPRASTSSSCPPRMATSHAGVTTRTSGT
+>DECOY_NM_002409|663536_2_1239_1594
+TGSTRTTVGAHSTAMRPPCSSSTSARPRSAGPATGAPSTCPAAWRGSCWSTAPATRMSDSTPCPTTSAAACASATWGMCQRCCTWRAAQCWRWPARSSGSSATSRSACTSPSPSPGAM
+>NM_001039|663636_3_1548_1711
+MAICGFGEVVAACSHLGPRPASKQKAQQDRLGQTLDILQRPEPEIHHGEPSQQY
+>DECOY_NM_001039|663636_3_1548_1711
+YQQSPEGHHIEPEPRQLIDLTQGLRDQQAKQKSAPRPGLHSCAAVVEGFGCIAM
+>NM_003900|663769_3_685_839
+MGNGSTRKLEPTSSSCRGGPPWPHGRISFWSIGGSECEFPEERWGECGSCP
+>DECOY_NM_003900|663769_3_685_839
+PCSGCEGWREEPFECESGGISWFSIRGHPWPPGGRCSSSTPELKRTSGNGM
+>NM_015460|663808_2_1213_1355
+MALHPPPDYWPNLRAGRFRPWRWPPVWHLPTMRWAPIARKTLTGVRP
+>DECOY_NM_015460|663808_2_1213_1355
+PRVGTLTKRAIPAWRMTPLHWVPPWRWPRFRGARLNPWYDPPPHLAM
+>NM_000821|663845_2_1355_1437
+MAALANWATLTLGYLHRVGDGRIMQTC
+>DECOY_NM_000821|663845_2_1355_1437
+CTQMIRGDGVRHLYGLTLTAWNALAAM
+>NM_000821|663850_3_573_673
+MEQPLLSVWVVGLSANIHGCKPLLVCGRSAECP
+>DECOY_NM_000821|663850_3_573_673
+PCEASRGCVLLPKCGHINASLGVVWVSLLPQEM
+>NM_004067|663936_2_603_745
+MEESFMGSSLGSRRMSFLEAWRVPTSLEKASGNQDATRWLSGLETRP
+>DECOY_NM_004067|663936_2_603_745
+PRTELGSLWRTADQNGSAKELSTPVRWAELFSMRRSGLSSGMFSEEM
+>NM_015474|663965_2_1046_1158
+MALMWTNGIILPGTAIILESKIILITSALLSLPVSVK
+>DECOY_NM_015474|663965_2_1046_1158
+KVSVPLSLLASTILIIKSELIIATGPLIIGNTWMLAM
+>NM_015474|663970_2_1649_1776
+MECKKRIQLIMLASIVRLPPTEQSGLLKTRFHNFCQRNLQSS
+>DECOY_NM_015474|663970_2_1649_1776
+SSQLNRQCFNHFRTKLLGSQETPPLRVISALMILQIRKKCEM
+>NM_015474|663973_3_1920_1993
+MERQYFSPKSNSPPRSIQKQSPAF
+>DECOY_NM_015474|663973_3_1920_1993
+FAPSQKQISRPPSNSKPSFYQREM
+>NM_001001331|664025_2_497_756
+MGASSGAQWRSSAPSWSCGALRLWSRSRRLMGTPKPSAGASKPHLLKVCRAPLQTWKRESKFLGKTLYLQRSQKPSCSSCGRRCRT
+>DECOY_NM_001001331|664025_2_497_756
+TRCRRGCSSCSPKQSRQLYLTKGLFKSERKWTQLPARCVKLLHPKSAGASPKPTGMLRRSRSWLRLAGCSWSPASSRWQAGSSAGM
+>NM_001001331|664030_2_1451_1647
+MAMWTPARAKPNNRTGQPPWRCSPSRVPRAATLTTGRRPACTRRRSPCCRASSPSWLCRSGRRAW
+>DECOY_NM_001001331|664030_2_1451_1647
+WARRGSRCLWSPSSARCCPSRRRTCAPRRGTTLTAARPVRSPSCRWPPQGTRNNPKARAPTWMAM
+>NM_001001331|664033_2_2438_2889
+MGSALSAWPTATSPAARSRTGTMRMTSSTNSPASAWWASRTRCGQRSQKPSASASGQASRSAWSLATISTRLGPSPSSVASSILGRTFCASRARSSTGGSATRRGRLSRSELTRSGQSCGCWLAPPQRTSIPWLKASSTAHTLSSGRWWP
+>DECOY_NM_001001331|664033_2_2438_2889
+PWWRGSSLTHATSSAKLWPISTRQPPALWCGCSQGSRTLESRSLRGRRTASGGTSSRARSACFTRGLISSAVSSPSPGLRTSITALSWASRSAQGSASASPKQSRQGCRTRSAWWASAPSNTSSTMRMTGTRSRAAPSTATPWASLASGM
+>NM_152595|664083_2_1690_1853
+MERKLKGHVSLWIITRIWEQWTRLIKCLLLIHLSAKDTRFGIRNSFTIFYTLQC
+>DECOY_NM_152595|664083_2_1690_1853
+CQLTYFITFSNRIGFRTDKASLHILLLCKILRTWQEWIRTIIWLSVHGKLKREM
+>NM_005903|664198_2_1450_1601
+MAFIPPLSVRFPAAAASKFLTIRSLLSFWLNLSTMGLRQYMSSPKCVPFG
+>DECOY_NM_005903|664198_2_1450_1601
+GFPVCKPSSMYQRLGMTSLNLWFSLLSRITLFKSAAAAPFRVSLPPIFAM
+>NM_000334|664341_2_996_1285
+MAMRCGTAMTHGMPTTRGTAMQAGPPTIPLIGTPTSVMKGTSTSWRAPTMPCSVGTAVMLGTALRVMSASRPGGTPTMATPAMTPSAGPSWLSSAS
+>DECOY_NM_000334|664341_2_996_1285
+SASSLWSPGASPTMAPTAMTPTGGPRSASMVRLATGLMVATGVSCPMTPARWSTSTGKMVSTPTGILPITPPGAQMATGRTTPMGHTMATGCRMAM
+>NM_000334|664354_2_1596_1771
+MAAWTHRKGRREPRGRAAAETAASPTPWKNWKRPTKSAHHGGTSAPTKCSYGTAAPRG
+>DECOY_NM_000334|664354_2_1596_1771
+GRPAATGYSCKTPASTGGHHASKTPRKWNKWPTPSAATEAAARGRPERRGKRHTWAAM
+>NM_000334|664357_2_2952_3196
+MGTRPSAAQLTTSPPRRTLRSRQRRTPRGSSLRSASLRPACSAGPASTWTSPRAVGRSGGLCAGPASRLSSTTGSRPSLSS
+>DECOY_NM_000334|664357_2_2952_3196
+SSLSPRSGTTSSLRSAPGACLGGSRGVARPSTWTSAPGASCAPRLSASRLSSGRPTRRQRSRLTRRPPSTTLQAASPRTGM
+>NM_000334|664368_3_1714_1895
+MVVQVRPQSAHMELLRPVAEVQEHHPPDRHGPVRGPGHHHLHRAQHPLHGHGTLPHDGAL
+>DECOY_NM_000334|664368_3_1714_1895
+LAGDHPLTGHGHLPHQARHLHHHGPGRVPGHRDPPHHEQVEAVPRLLEMHASQPRVQVVM
+>NM_000334|664370_3_4945_5144
+MGEVRPRRHPVHRLQPPLRLRGHPAGTAEDCQAQQDQAHHTGLAHGARGQDPLPGHPLCPDQRGPG
+>DECOY_NM_000334|664370_3_4945_5144
+GPGRQDPCLPHGPLPDQGRAGHALGTHHAQDQQAQCDEATGAPHGRLRLPPQLRHVPHRRPRVEGM
+>NM_005433|664438_2_315_397
+MEQNPLQCHHVRHLQQREQQLISAVFP
+>DECOY_NM_005433|664438_2_315_397
+PFVASILQQERQQLHRVHHCQLPNQEM
+>NM_005433|664449_3_1105_1208
+MEWNHESSNQNTKTRYNDARSFPSRSSDNEKIKT
+>DECOY_NM_005433|664449_3_1105_1208
+TKIKENDSSRSPFSRADNYRTKTNQNSSEHNWEM
+>NM_017671|664589_2_1027_1100
+MGSRQMQSFSSPLSIKCCAFVCRI
+>DECOY_NM_017671|664589_2_1027_1100
+IRCVFACCKISLPSSFSQMQRSGM
+>NM_007188|664630_2_1111_1259
+MGQSWKPAAAGQRSWAAASPCSKGFPTSPSTAWSWVPYLLGAPLWPDSS
+>DECOY_NM_007188|664630_2_1111_1259
+SSDPWLPAGLLYPVWSWATSPSTPFGKSCPSAAAWSRQGAAAPKWSQGM
+>NM_004554|664767_2_1372_1577
+MGSCPWEQRSLWLLQEVPGRRWLAWTTWQCPPHSLGPRPGLGDTALSSGPLPYPHWTGLCPANMSSWS
+>DECOY_NM_004554|664767_2_1372_1577
+SWSSMNAPCLGTWHPYPLPGSSLATDGLGPRPGLSHPPCQWTTWALWRRGPVEQLLWLSRQEWPCSGM
+>NM_004554|664770_2_2548_2849
+MACPLCTPRRGPHHPTDRACGCSLRLGVPQVVPNHLQFPSFPAPSLVTRMEGGAPLSPWGCHSLRQPPFGRLLFLHPHRLKAPSLPRVMCIPYLLRDTIR
+>DECOY_NM_004554|664770_2_2548_2849
+RITDRLLYPICMVRPLSPAKLRHPHLFLLRGFPPQRLSHCGWPSLPAGGEMRTVLSPAPFSPFQLHNPVVQPVGLRLSCGCARDTPHHPGRRPTCLPCAM
+>NM_004554|664772_2_2860_2963
+MALGRGLRSRRNPGVATAAASETVSLSRVSRWRK
+>DECOY_NM_004554|664772_2_2860_2963
+KRWRSVRSLSVTESAAATAVGPNRRSRLGRGLAM
+>NM_004554|664781_3_2207_2454
+MGGGGHSEPTAEQRGDADPDCPRVQQQEGFPASPGLLLCLQWAEETQSYPEFQVSACDLQRGAPTGLISAGFPFSIGNPLWH
+>DECOY_NM_004554|664781_3_2207_2454
+HWLPNGISFPFGASILGTPAGRQLDCASVQFEPYSQTEEAWQLCLLLGPSAPFGEQQQVRPCDPDADGRQEATPESHGGGGM
+>NM_012089|664806_3_195_541
+MGRGARAALGRWSRAPLEERLPGRGSGRLAGRPGPRAAPGAVGSRPRQLQVRGFCRARRSSAPARPVPGRSRSRCLGRGRGLAARAGGASRGQGAAAPRSGRTPGGPEAPGAGVP
+>DECOY_NM_012089|664806_3_195_541
+PVGAGPAEPGGPTRGSRPAAAGQGRSAGGARAALGRGRGLCRSRSRGPVPRAPASSRRARCFGRVQLQRPRSGVAGPAARPGPRGALRGSGRGPLREELPARSWRGLAARAGRGM
+>NM_004157|664826_2_1196_1320
+MVGTRRSRLPAAIRGSTLESLPWSPTNPELPQLMQLEMSNA
+>DECOY_NM_004157|664826_2_1196_1320
+ANSMELQMLQPLEPNTPSWPLSELTSGRIAAPLRSRRTGVM
+>NM_000632|664866_2_2523_2674
+MVRTPTGHRSPSSSRLTCPTGRCPRSRTSAHSDPGAWPVSLPPPPKCLGP
+>DECOY_NM_000632|664866_2_2523_2674
+PGLCKPPPPLSVPWAGPDSHASTRSRPCRGTPCTLRSSSPSRHGTPTRVM
+>NM_000632|664868_2_2892_3028
+MGSPLNISTSRPQRIPVGSCSINIRSATWGRGASPSAWCSWCPSG
+>DECOY_NM_000632|664868_2_2892_3028
+GSPCWSCWASPSAGRGWTASRINISCSGVPIRQPRSTSINLPSGM
+>NM_001035|665075_2_874_950
+MVKSSGELFIMKVALCLFMHVPFGD
+>DECOY_NM_001035|665075_2_874_950
+DGFPVHMFLCLAVKMIFLEGSSKVM
+>NM_001035|665076_2_1930_2066
+MEEITRFWMSCAHSVFATGLQSVLTSISSVTISYQEETCYCRHVL
+>DECOY_NM_001035|665076_2_1930_2066
+LVHRCYCTEEQYSITVSSISTLVSQLGTAFVSHACSMWFRTIEEM
+>NM_001035|665078_2_2266_2342
+MVLEMISSPMDLMAFISGQVVLLVL
+>DECOY_NM_001035|665078_2_2266_2342
+LVLLVVQGSIFAMLDMPSSIMELVM
+>NM_001035|665080_2_2542_2615
+MENSNFFLHLGMLLVMKLFCQKKS
+>DECOY_NM_001035|665080_2_2542_2615
+SKKQCFLKMVLLMGLHLFFNSNEM
+>NM_001035|665081_2_2830_2939
+MVRLEMTTRDNTHAWWSSPSCLNRSAITTYKCRLRP
+>DECOY_NM_001035|665081_2_2830_2939
+PRLRCKYTTIASRNLCSPSSWWAHTNDRTTMELRVM
+>NM_001035|665090_2_4627_4700
+MDWRLAVWWMLPAGCSHSLPMARN
+>DECOY_NM_001035|665090_2_4627_4700
+NRAMPLSHSCGAPLMWWVALRWDM
+>NM_001035|665097_2_7141_7286
+MGFLQQWKKPSKSPRILPEMVPHQIADPVKHLTQRRRKMTLSTWGTRS
+>DECOY_NM_001035|665097_2_7141_7286
+SRTGWTSLTMKRRRQTLHKVPDAIQHPVMEPLIRPSKSPKKWQQLFGM
+>NM_001035|665098_2_7198_7286
+MVPHQIADPVKHLTQRRRKMTLSTWGTRS
+>DECOY_NM_001035|665098_2_7198_7286
+SRTGWTSLTMKRRRQTLHKVPDAIQHPVM
+>NM_001035|665099_2_7462_7625
+MGMWWNLTCLRGFAQITRQPWFYSLTGSMGLRFKTSSSIFLRLAFCQISGRLLL
+>DECOY_NM_001035|665099_2_7462_7625
+LLLRGSIQCFALRLFISSSTKFRLGMSGTLSYFWPQRTIQAFGRLCTLNWWMGM
+>NM_001035|665121_2_14089_14213
+MESSTAETESVNYLAWTRQLWTSVMPEKRRSQRKTAPYQLY
+>DECOY_NM_001035|665121_2_14089_14213
+YLQYPATKRQSRRKEPMVSTWLQRTWALYNVSETEATSSEM
+>NM_001035|665130_3_410_495
+MEIHDEDCSRWWSSNTPLRTCHIAAPFL
+>DECOY_NM_001035|665130_3_410_495
+LFPAAIHCTRLPTNSSWWRSCDEDHIEM
+>NM_001035|665131_3_989_1086
+MGTAIPTTPCHNRKILESHGRQKPSTHGQRES
+>DECOY_NM_001035|665131_3_989_1086
+SERQGHTSPKQRGHSELIKRNHCPTTPIATGM
+>NM_030928|665156_2_832_1004
+MAPGGQITSSPSSHCWSRRLTEQPPSSRPRASCSDGRSSARSWWSMSRSTTRPSWPP
+>DECOY_NM_030928|665156_2_832_1004
+PPWSPRTTSRSMSWWSRASSRGDSCSARPRSSPPQETLRRSWCHSSPSSTIQGGPAM
+>NM_018237|665235_3_151_395
+MGYSVYSHCSITASCTGCSTAITPWSISYHLYTANCIGSSRPYHTNSSKLSVNTNCCIAATSRSCSSCITTAIFTTSAGPV
+>DECOY_NM_018237|665235_3_151_395
+VPGASTTFIATTICSSCSRSTAAICCNTNVSLKSSNTHYPRSSGICNATYLHYSISWPTIATSCGTCSATISCHSYVSYGM
+>NM_004393|665288_3_2391_2464
+MDQQHTALGALPQGADRWAEPPDR
+>DECOY_NM_004393|665288_3_2391_2464
+RDPPEAWRDAGQPLAGLATHQQDM
+>NM_006659|665322_2_424_548
+MGLRSTLTCFKRTGPRTSLPLSLLTVPRLKLQSFLVLQKTF
+>DECOY_NM_006659|665322_2_424_548
+FTKQLVLFSQLKLRPVTLLSLPLSTRPGTRKFCTLTSRLGM
+>NM_001007537|665445_2_109_539
+MVCLEEMDETERRVTKAMQENQDVLAARGRMGRVERRENEEQMEKLKQKASKVIKAQEDPQENMAPRGLQGPWERKASEERLGLRGRRGIRVTWVPLVLRGQGATLGLWAQLVYRAPWALLESLVPREKLDPRGPRVSQESGE
+>DECOY_NM_001007537|665445_2_109_539
+EGSEQSVRPGRPDLKERPVLSELLAWPARYVLQAWLGLTAGQGRLVLPVWTVRIGRRGRLGLREESAKREWPGQLGRPAMNEQPDEQAKIVKSAKQKLKEMQEENERREVRGMRGRAALVDQNEQMAKTVRRETEDMEELCVM
+>NM_001007537|665446_2_127_539
+MDETERRVTKAMQENQDVLAARGRMGRVERRENEEQMEKLKQKASKVIKAQEDPQENMAPRGLQGPWERKASEERLGLRGRRGIRVTWVPLVLRGQGATLGLWAQLVYRAPWALLESLVPREKLDPRGPRVSQESGE
+>DECOY_NM_001007537|665446_2_127_539
+EGSEQSVRPGRPDLKERPVLSELLAWPARYVLQAWLGLTAGQGRLVLPVWTVRIGRRGRLGLREESAKREWPGQLGRPAMNEQPDEQAKIVKSAKQKLKEMQEENERREVRGMRGRAALVDQNEQMAKTVRRETEDM
+>NM_001007537|665448_2_199_539
+MGRVERRENEEQMEKLKQKASKVIKAQEDPQENMAPRGLQGPWERKASEERLGLRGRRGIRVTWVPLVLRGQGATLGLWAQLVYRAPWALLESLVPREKLDPRGPRVSQESGE
+>DECOY_NM_001007537|665448_2_199_539
+EGSEQSVRPGRPDLKERPVLSELLAWPARYVLQAWLGLTAGQGRLVLPVWTVRIGRRGRLGLREESAKREWPGQLGRPAMNEQPDEQAKIVKSAKQKLKEMQEENERREVRGM
+>NM_001007537|665450_2_298_539
+MAPRGLQGPWERKASEERLGLRGRRGIRVTWVPLVLRGQGATLGLWAQLVYRAPWALLESLVPREKLDPRGPRVSQESGE
+>DECOY_NM_001007537|665450_2_298_539
+EGSEQSVRPGRPDLKERPVLSELLAWPARYVLQAWLGLTAGQGRLVLPVWTVRIGRRGRLGLREESAKREWPGQLGRPAM
+>NM_000239|665487_2_269_387
+MGYFRSIAATGVMMAKPQEQLMPVIYPAVLCCKITSLML
+>DECOY_NM_000239|665487_2_269_387
+LMLSTIKCCLVAPYIVPMLQEQPKAMMVGTAAISRFYGM
+>NM_000239|665489_2_308_387
+MAKPQEQLMPVIYPAVLCCKITSLML
+>DECOY_NM_000239|665489_2_308_387
+LMLSTIKCCLVAPYIVPMLQEQPKAM
+>NM_170753|665505_2_454_575
+MALMLSLTQMIPHTHLKMTLLMKFHLRLLCSNLHHQGGGK
+>DECOY_NM_170753|665505_2_454_575
+KGGGQHHLNSCLLRLHFKMLLTMKLHTHPIMQTLSLMLAM
+>NM_170753|665513_2_1228_1379
+MVSVRHLSFSLVRHLQRHTLDNTILYSITFSPVLHFLISSVQWDIRQQVQ
+>DECOY_NM_170753|665513_2_1228_1379
+QVQQRIDWQVSSILFHLVPSFTISYLITNDLTHRQLHRVLSFSLHRVSVM
+>NM_002198|665535_2_364_488
+MAGTSTRMPVCSGAGPFTQADTKQGKRSQIPRRGRPTFAVP
+>DECOY_NM_002198|665535_2_364_488
+PVAFTPRGRRPIQSRKGQKTDAQTFPGAGSCVPMRTSTGAM
+>NM_018662|665576_2_996_1078
+MGAAAQGMPTLGTPCSGNGSQCCGTAC
+>DECOY_NM_018662|665576_2_996_1078
+CATGCCQSGNGSCPTGLTPMGQAAAGM
+>NM_018662|665584_3_1048_1148
+MGASAAGLPAEKPEADGGNILKIKTSETSGRCS
+>DECOY_NM_018662|665584_3_1048_1148
+SCRGSTESTKIKLINGGDAEPKEAPLGAASAGM
+>NM_018725|665605_2_1252_1340
+MVPVARARAVPVRTLKTSSPLPLTFSAVI
+>DECOY_NM_018725|665605_2_1252_1340
+IVASFTLPLPSSTKLTRVPVARARAVPVM
+>NM_001012958|665741_3_1048_1145
+MGASAAGLPAEKPEADGAGTNCFGSTMEASTS
+>DECOY_NM_001012958|665741_3_1048_1145
+STSAEMTSGFCNTGAGDAEPKEAPLGAASAGM
+>NM_005546|665793_2_725_873
+MGMKDMYQAVIWWKNLQIIWKPMSGTIRVSAETKLKNFFWTQAKKEPSW
+>DECOY_NM_005546|665793_2_725_873
+WSPEKKAQTWFFNKLKTEASVRITGSMPKWIIQLNKWWIVAQYMDKMGM
+>NM_005546|665804_3_1146_1303
+MGDRPLRAHFCARDWQWAIWVGASGLLAQQGQGGYQNHSGRGYVRRGLHRGG
+>DECOY_NM_005546|665804_3_1146_1303
+GGRHLGRRVYGRGSHNQYGGQGQQALLGSAGVWIAWQWDRACFHARLPRDGM
+>NM_001098623|665916_2_2268_2773
+MVAHCPQAPSMRCRHRPGGGCSLCEMWPGTMQASTSASAAGAASPTSSPCKASRAFCTRTWRAAVWMPWLGARRSLSVRPPKPTSTCTGTRMAWSWATPVSASCRRMWGRGTGWWQPQSPGRMKAPTPAAWARTLWTSGSASLSPRWCLLRSSWHAGSCRQRQEPVPH
+>DECOY_NM_001098623|665916_2_2268_2773
+HPVPEQRQRCSGAHWSSRLLCWRPSLSASGSTWLTRAWAAPTPAKMRGPSQPQWWGTGRGWMRRCSASVPTAWSWAMRTGTCTSTPKPPRVSLSRRAGLWPMWVAARWTRTCFARSAKCPSSTPSAAGAASASTSAQMTGPWMECLSCGGGPRHRCRMSPAQPCHAVM
+>NM_001098623|665921_2_2541_2773
+MAWSWATPVSASCRRMWGRGTGWWQPQSPGRMKAPTPAAWARTLWTSGSASLSPRWCLLRSSWHAGSCRQRQEPVPH
+>DECOY_NM_001098623|665921_2_2541_2773
+HPVPEQRQRCSGAHWSSRLLCWRPSLSASGSTWLTRAWAAPTPAKMRGPSQPQWWGTGRGWMRRCSASVPTAWSWAM
+>NM_001098623|665943_2_8088_8167
+MACSWAPVTSMTSCTRRARGGSWCMT
+>DECOY_NM_001098623|665943_2_8088_8167
+TMCWSGGRARRTCSTMSTVPAWSCAM
+>NM_001098623|665952_2_11595_12049
+MGPDVSCRFMACLWQTLGSTRVCAGRRGPRPHSPSGPHSQCSGSRCRVCRRRRAPRPPCSVSCLSPLLQWSGARVACSCRPMGAGSHGFRAAPRSWCYRTYNVKTLANTLAPVAPRPPVPPSLSQLRLCGSSESCSTRRWMREAPHTYAAS
+>DECOY_NM_001098623|665952_2_11595_12049
+SAAYTHPAERMWRRTSCSESSGCLRLQSLSPPVPPRPAVPALTNALTKVNYTRYCWSRPAARFGHSGAGMPRCSCAVRAGSWQLLPSLCSVSCPPRPARRRRCVRCRSGSCQSHPGSPSHPRPGRRGACVRTSGLTQWLCAMFRCSVDPGM
+>NM_001098623|665955_2_12129_12295
+MVQLQSCWYAEWSRRMRVTTRVTRATRRAWPASLSVSPGPSSRPGFRVWSRRQVT
+>DECOY_NM_001098623|665955_2_12129_12295
+TVQRRSWVRFGPRSSPGPSVSLSAPWARRTARTVRTTVRMRRSWEAYWCSQLQVM
+>NM_001098623|665978_2_16770_16885
+MADHGHPPASRSLPQSQRTAMPEARSLTSTWSPLTTCP
+>DECOY_NM_001098623|665978_2_16770_16885
+PCTTLPSWTSTLSRAEPMATRQSQPLSRSAPPHGHDAM
+>NM_001098623|665985_2_18192_18274
+MGKQCRWTPTTSSLKTLMARVHSSWTA
+>DECOY_NM_001098623|665985_2_18192_18274
+ATWSSHVRAMLTKLSSTTPTWRCQKGM
+>NM_001098623|665995_3_12346_12515
+MAQGGRGAACGPQVRDAEPGGHAGAADPPTGGQGHGRVCLCDRRPENRCLPQGHRA
+>DECOY_NM_001098623|665995_3_12346_12515
+ARHGQPLCRNEPRRDCLCVRGHGQGGTPPDAAGAHGGPEADRVQPGCAAGRGGQAM
+>NM_001098623|665997_3_18964_19184
+MDCAHSDGGCSLARGRHRGAALGRPQPRGQRDHTEDLHIPGHRHAHRTAPIHAGNHRGCAGTDRRNGPIRGYH
+>DECOY_NM_001098623|665997_3_18964_19184
+HYGRIPGNRRDTGACGRHNGAHIPATRHAHRHGPIHLDETHDRQGRPQPRGLAAGRHRGRALSCGGDSHACDM
+>NM_001098623|665998_3_20086_20174
+MEQPHGCPPQRRRQRLHQGYAAESPSGPA
+>DECOY_NM_001098623|665998_3_20086_20174
+APGSPSEAAYGQHLRQRRRQPPCGHPQEM
+>NM_001265|666063_2_406_716
+MATRPEAPRPPPTPWLTASTVAPRPQPWATAAPQTTIRTTTRITTRTTRPPRLPALLGCCKRSTPALLGPPPPLPPSSCLPAASGGTCASGCGSRRSSPSAAK
+>DECOY_NM_001265|666063_2_406_716
+KAASPSSRRSGCGSACTGGSAAPLCSSPPLPPPPGLLAPTSRKCCGLLAPLRPPRTTRTTIRTTTRITTQPAATAWPQPRPAVTSATLWPTPPPRPAEPRTAM
+>NM_153042|666107_2_368_729
+MVAQRRSTGNVKRQAVRQHVLCALQVLLKDVPKMATPPDGIISPVGNISVMNALTITTEAIRMDMTNILHGKKYGLAMAKPNLVPKLSWQTSNSPTGFSVQNLSVENGGSLPRKSSLLHR
+>DECOY_NM_153042|666107_2_368_729
+RHLLSSKRPLSGGNEVSLNQVSFGTPSNSTQWSLKPVLNPKAMALGYKKGHLINTMDMRIAETTITLANMVSINGVPSIIGDPPTAMKPVDKLLVQLACLVHQRVAQRKVNGTSRRQAVM
+>NM_153042|666108_2_467_729
+MATPPDGIISPVGNISVMNALTITTEAIRMDMTNILHGKKYGLAMAKPNLVPKLSWQTSNSPTGFSVQNLSVENGGSLPRKSSLLHR
+>DECOY_NM_153042|666108_2_467_729
+RHLLSSKRPLSGGNEVSLNQVSFGTPSNSTQWSLKPVLNPKAMALGYKKGHLINTMDMRIAETTITLANMVSINGVPSIIGDPPTAM
+>NM_153042|666110_2_554_729
+MDMTNILHGKKYGLAMAKPNLVPKLSWQTSNSPTGFSVQNLSVENGGSLPRKSSLLHR
+>DECOY_NM_153042|666110_2_554_729
+RHLLSSKRPLSGGNEVSLNQVSFGTPSNSTQWSLKPVLNPKAMALGYKKGHLINTMDM
+>NM_153042|666112_2_599_729
+MAKPNLVPKLSWQTSNSPTGFSVQNLSVENGGSLPRKSSLLHR
+>DECOY_NM_153042|666112_2_599_729
+RHLLSSKRPLSGGNEVSLNQVSFGTPSNSTQWSLKPVLNPKAM
+>NM_153042|666118_3_687_772
+MEAAYQGNPAYSTDSQDLSMRYETKYCY
+>DECOY_NM_153042|666118_3_687_772
+YCYKTEYRMSLDQSDTSYAPNGQYAAEM
+>NM_024966|666207_2_905_1071
+MGKKLVAWQDAHLMPDKPMLPSLLMGSCILPQWLTSWPAMPLFIEAWVMDLPFAQ
+>DECOY_NM_024966|666207_2_905_1071
+QAFPLDMVWAEIFLPMAPWSTLWQPLICSGMLLSPLMPKDPMLHADQWAVLKKGM
+>NM_153616|666294_2_2675_2862
+MGISPVPLFFQMLPMTTTRLSQTPMLTKLKRSFKTLITLSQSHPVREITGVLLIPEIPSMIS
+>DECOY_NM_153616|666294_2_2675_2862
+SIMSPIEPILLVGTIERVPHSQSLTILTKFSRKLKTLMPTQSLRTTTMPLMQFFLPVPSIGM
+>NM_153616|666300_3_2154_2308
+MGSPVWRVQPDGPHECPHHLCLCCFCFGGIHCRCGSILLSRHVCSEKQKDP
+>DECOY_NM_153616|666300_3_2154_2308
+PDKQKESCVHRSLLISGCRCHIGGFCFCCLCLHHPCEHPGDPQVRWVPSGM
+>NM_001668|666548_2_1035_1153
+MGNLTSWWSTAQATSRPGPQQVFPSQMMTQRLAREASFA
+>DECOY_NM_001668|666548_2_1035_1153
+AFSAERALRQTMMQSPFVQQPGPRSTAQATSWWSTLNGM
+>NM_005907|666617_3_2139_2227
+MGLGSRRGLGKPLQSEWRLFRPKGCLPSS
+>DECOY_NM_005907|666617_3_2139_2227
+SSPLCGKPRFLRWESQLPKGLGRRSGLGM
+>NM_175940|666653_2_1682_1977
+MVTATGLRTPGMGCSPRRRLKKSEIPPCRTCWSLLSTLTPVLCSPMSLSGIKETPVRSRDSSALKACQRVLPLLFVTILRAVDLASGSPSGPSVASLW
+>DECOY_NM_175940|666653_2_1682_1977
+WLSAVSPGSPSGSALDVARLITVFLLPLVRQCAKLASSDRSRVPTEKIGSLSMPSCLVPTLTSLLSWCTRCPPIESKKLRRRPSCGMGPTRLGTATVM
+>NM_175940|666654_2_1715_1977
+MGCSPRRRLKKSEIPPCRTCWSLLSTLTPVLCSPMSLSGIKETPVRSRDSSALKACQRVLPLLFVTILRAVDLASGSPSGPSVASLW
+>DECOY_NM_175940|666654_2_1715_1977
+WLSAVSPGSPSGSALDVARLITVFLLPLVRQCAKLASSDRSRVPTEKIGSLSMPSCLVPTLTSLLSWCTRCPPIESKKLRRRPSCGM
+>NM_175940|666660_2_3674_3753
+MGLSSPRSITGGSSRPYQASRGLCCS
+>DECOY_NM_175940|666660_2_3674_3753
+SCCLGRSAQYPRSSGGTISRPSSLGM
+>NM_175940|666669_3_177_250
+MDTSGWGMDPSGSSEPHFVGGAAI
+>DECOY_NM_175940|666669_3_177_250
+IAAGGVFHPESSGSPDMGWGSTDM
+>NM_175940|666671_3_2097_2284
+MARPQGALPARACVPAARADPCGRWQAHRAPHHPAAASTEGQLRPVQQPWTPHSAAQDPQGV
+>DECOY_NM_175940|666671_3_2097_2284
+VGQPDQAASHPTWPQQVPRLQGETSAAAPHHPARHAQWRGCPDARAAPVCARAPLAGQPRAM
+>NM_002332|666747_2_743_1050
+MGSRTAWTAQMRGPTAESSKATALAWAASTIVSPHSMGPPATATAAFSFRQMARPAKILMSAQCTAPAASYAPTQTAPSYVAVLKDTSCSRITAPARPRTSQ
+>DECOY_NM_002332|666747_2_743_1050
+QSTRPRAPATIRSCSTDKLVAVYSPATQTPAYSAAPATCQASMLIKAPRAMQRFSFAATATAPPGMSHPSVITSAAWALATAKSSEATPGRMQATWATRSGM
+>NM_002332|666753_2_1490_1692
+MGRSQRWNAVTWMGRTAPSSSTARLCFLMASRWTWSAALSTGQMPIWTILKWWTMRARAARPSSRAS
+>DECOY_NM_002332|666753_2_1490_1692
+SARSSPRAARARMTWWKLITWIPMQGTSLAASWTWRSAMLFCLRATSSSPATRGMWTVANWRQSRGM
+>NM_002332|666758_2_1913_2121
+MGSRVAALTSACWPTATRRGPAAAVPASAWAVTGSHARSRSMSCSSCMARAGQASSGAWIWGPRSRMST
+>DECOY_NM_002332|666758_2_1913_2121
+TSMRSRPGWIWAGSSAQGARAMCSSCSMSRSRAHSGTVAWASAPVAAAPGRRTATPWCASTLAAVRSGM
+>NM_002332|666763_2_2897_3402
+MAAAAACAWPPLGAASAPVLRTRCWTQTASLAWRTHPTCLHPSASQASLPVPTAAASRSAGSVTETTIAWTTVMRPQPSAISTPAPRTDSSARTTGASPTAGSATGTMTVGTVKMSPMPLVQPAPAPPTSSPVPVAAASPSPGRVIWMTTVGTALMSLLRVPIPPASP
+>DECOY_NM_002332|666763_2_2897_3402
+PSAPPIPVRLLSMLATGVTTMWIVRGPSPSAAAVPVPSSTPPAPAPQVLPMPSMKVTGVTMTGTASGATPSAGTTRASSDTRPAPTSIASPQPRMVTTWAITTETVSGASRSAAATPVPLSAQSASPHLCTPHTRWALSATQTWCRTRLVPASAAGLPPWACAAAAAM
+>NM_002332|666768_2_3422_3765
+MADVSTSTGDATMTMTVGTTVTKPAAATPVLAPSSSATAGVASPSTGPAMGTMTAETTVMRHTPTAPTRPRGPLVAATLMSSSAGWMDYASPCGGAAMGTLTAWTPAMRRAVRE
+>DECOY_NM_002332|666768_2_3422_3765
+ERVARRMAPTWATLTGMAAGGCPSAYDMWGASSSMLTAAVLPGRPRTPATPTHRMVTTEATMTGMAPGTSPSAVGATASSSPALVPTAAAPKTVTTGVTMTMTADGTSTSVDAM
+>NM_002332|666771_2_3569_3765
+MGTMTAETTVMRHTPTAPTRPRGPLVAATLMSSSAGWMDYASPCGGAAMGTLTAWTPAMRRAVRE
+>DECOY_NM_002332|666771_2_3569_3765
+ERVARRMAPTWATLTGMAAGGCPSAYDMWGASSSMLTAAVLPGRPRTPATPTHRMVTTEATMTGM
+>NM_002332|666776_2_3842_4026
+MATMTVRITRTRRTASPWPAGHPRTLVPTTPQSACPLTSCVMATTTVATAQMRASSATSAL
+>DECOY_NM_002332|666776_2_3842_4026
+LASTASSARMQATAVTTTAMVCSTLPCASQPTTPVLTRPHGAPWPSATRRTRTIRVTMTAM
+>NM_002332|666800_2_6653_7164
+MGSCTGAMHGQTRLNGSTWRQVRTARWFCPATTWTCFQCLCLRISSTGVTGLMPTALSSAGAKTMPQTPCPCEPASASSLKTSKSSTGTGRKAPTCARWPMAGASSCACTGAVGSGPAPVPTGCWLKTEHRAASMPATCSTQSAPFSRVSTCRMSATSMRPCSPSRTLST
+>DECOY_NM_002332|666800_2_6653_7164
+TSLTRSPSCPRMSTASMRCTSVRSFPASQTSCTAPMSAARHETKLWCGTPVPAPGSGVAGTCACSSAGAMPWRACTPAKRGTGTSSKSTKLSSASAPECPCPTQPMTKAGASSLATPMLGTVGTSSIRLCLCQFCTWTTAPCFWRATRVQRWTSGNLRTQGHMAGTCSGM
+>NM_002332|666806_2_7277_7572
+MAPGGSPLWKTWAPWKAWPITVAGTLSIGQATRHPPSRATQWTRPAQGPSSVRPSSLCLEMTTHGPSFWTSARTSCSGPTGMSSIPASCGRRSREPMS
+>DECOY_NM_002332|666806_2_7277_7572
+SMPERSRRGCSAPISSMGTPGSCSTRASTWFSPGHTTMELCLSSPRVSSPGQAPRTWQTARSPPHRTAQGISLTGAVTIPWAKWPAWTKWLPSGGPAM
+>NM_002332|666811_2_8189_8376
+MGAVCPTCCGATGPTTVGMALTRSLATRQPVVWASSAAGTGPASGTPAAATSLWIVRTPQMR
+>DECOY_NM_002332|666811_2_8189_8376
+RMQPTRVIWLSTAAAPTGSAPGTGAASSAWVVPQRTALSRTLAMGVTTPGTAGCCTPCVAGM
+>NM_002332|666812_2_8243_8376
+MALTRSLATRQPVVWASSAAGTGPASGTPAAATSLWIVRTPQMR
+>DECOY_NM_002332|666812_2_8243_8376
+RMQPTRVIWLSTAAAPTGSAPGTGAASSAWVVPQRTALSRTLAM
+>NM_002332|666814_2_8633_9078
+MARTRPTATSSAQRPSLSARTIAASPSSGCVTAAMTVGMAQTRLLTVKARRAAPPPSPALAPTCASPSAGSVTVTKTVLMVQTRASQLVACTTALVTTVSSCARTASASPSTSCVTTTVTVQMALMSPPSVSTRPAAPVSSAVPMGAV
+>DECOY_NM_002332|666814_2_8633_9078
+VAGMPVASSVPAAPRTSVSPPSMLAMQVTVTTTVCSTSPSASATRACSSVTTVLATTCAVLQSARTQVMLVTKTVTVSGASPSACTPALAPSPPPAARRAKVTLLRTQAMGVTMAATVCGSSPSAAITRASLSPRQASSTATPRTRAM
+>NM_002332|666816_2_8747_9078
+MAQTRLLTVKARRAAPPPSPALAPTCASPSAGSVTVTKTVLMVQTRASQLVACTTALVTTVSSCARTASASPSTSCVTTTVTVQMALMSPPSVSTRPAAPVSSAVPMGAV
+>DECOY_NM_002332|666816_2_8747_9078
+VAGMPVASSVPAAPRTSVSPPSMLAMQVTVTTTVCSTSPSASATRACSSVTTVLATTCAVLQSARTQVMLVTKTVTVSGASPSACTPALAPSPPPAARRAKVTLLRTQAM
+>NM_002332|666819_2_9101_9384
+MARMTATTRVTRLPRTHTAPAKSTSAMPRHSSCAAVGAVWLRHCSATARMTVATARTSVAATSMSVSAASSVAAARTVRTSRSASSAAVALASG
+>DECOY_NM_002332|666819_2_9101_9384
+GSALAVAASSASRSTRVTRAAAVSSAASVSMSTAAVSTRATAVTMRATASCHRLWVAGVAACSSHRPMASTSKAPATHTRPLRTVRTTATMRAM
+>NM_002332|666832_2_10358_10818
+MVAAATCACCPPGEGTNVPAPPTSTWAAMGAPVCPTARLASLYARTTSASPSGGSVTPRTTAGTTQTSPRTALSSSAGPDSSSAPQVSAQTLPSSAMATMTARTTVTRPTVTSTSACPVSSNAPTPTAVFPASSAAMGRTTAEMGRMRGTAPR
+>DECOY_NM_002332|666832_2_10358_10818
+RPATGRMRGMEATTRGMAASSAPFVATPTPANSSVPCASTSTVTPRTVTTRATMTAMASSPLTQASVQPASSSDPGASSSLATRPSTQTTGATTRPTVSGGSPSASTTRAYLSALRATPCVPAGMAAWTSTPPAPVNTGEGPPCCACTAAAVM
+>NM_002332|666833_2_10442_10818
+MGAPVCPTARLASLYARTTSASPSGGSVTPRTTAGTTQTSPRTALSSSAGPDSSSAPQVSAQTLPSSAMATMTARTTVTRPTVTSTSACPVSSNAPTPTAVFPASSAAMGRTTAEMGRMRGTAPR
+>DECOY_NM_002332|666833_2_10442_10818
+RPATGRMRGMEATTRGMAASSAPFVATPTPANSSVPCASTSTVTPRTVTTRATMTAMASSPLTQASVQPASSSDPGASSSLATRPSTQTTGATTRPTVSGGSPSASTTRAYLSALRATPCVPAGM
+>NM_002332|666834_2_10646_10818
+MATMTARTTVTRPTVTSTSACPVSSNAPTPTAVFPASSAAMGRTTAEMGRMRGTAPR
+>DECOY_NM_002332|666834_2_10646_10818
+RPATGRMRGMEATTRGMAASSAPFVATPTPANSSVPCASTSTVTPRTVTTRATMTAM
+>NM_002332|666837_2_11030_11811
+MARMSPRKSVMNAPVSHTSSAARTTAACPAAGSATTTTIAVTTPMKRAAPLGPAPRVSSPVPTAAASRGAGNAMETTTARTARTRKTAPPAVTWTSSSARAATASPCAGAVTQTPTAWTAATRRPAALACGPAPWTSSSATTPCASRWPGSAMARMTVGTTQMRTPRSVPGSCALPTGPSVARMTASVCGSGANAMARTTVGMGLMKRTVSPPQPTPPTAKTRRSFCAGTSAASPPPCAATCSMTAGTALTRRTAASTPS
+>DECOY_NM_002332|666837_2_11030_11811
+SPTSAATRRTLATGATMSCTAACPPPSAASTGACFSRRTKATPPTPQPPSVTRKMLGMGVTTRAMANAGSGCVSATMRAVSPGTPLACSGPVSRPTRMQTTGVTMRAMASGPWRSACPTTASSSTWPAPGCALAAPRRTAATWATPTQTVAGACPSATAARASSSTWTVAPPATKRTRATRATTTEMANGAGRSAAATPVPSSVRPAPGLPAARKMPTTVAITTTTASGAAPCAATTRAASSTHSVPANMVSKRPSMRAM
+>NM_002332|666841_2_11249_11811
+METTTARTARTRKTAPPAVTWTSSSARAATASPCAGAVTQTPTAWTAATRRPAALACGPAPWTSSSATTPCASRWPGSAMARMTVGTTQMRTPRSVPGSCALPTGPSVARMTASVCGSGANAMARTTVGMGLMKRTVSPPQPTPPTAKTRRSFCAGTSAASPPPCAATCSMTAGTALTRRTAASTPS
+>DECOY_NM_002332|666841_2_11249_11811
+SPTSAATRRTLATGATMSCTAACPPPSAASTGACFSRRTKATPPTPQPPSVTRKMLGMGVTTRAMANAGSGCVSATMRAVSPGTPLACSGPVSRPTRMQTTGVTMRAMASGPWRSACPTTASSSTWPAPGCALAAPRRTAATWATPTQTVAGACPSATAARASSSTWTVAPPATKRTRATRATTTEM
+>NM_002332|666842_2_11486_11811
+MARMTVGTTQMRTPRSVPGSCALPTGPSVARMTASVCGSGANAMARTTVGMGLMKRTVSPPQPTPPTAKTRRSFCAGTSAASPPPCAATCSMTAGTALTRRTAASTPS
+>DECOY_NM_002332|666842_2_11486_11811
+SPTSAATRRTLATGATMSCTAACPPPSAASTGACFSRRTKATPPTPQPPSVTRKMLGMGVTTRAMANAGSGCVSATMRAVSPGTPLACSGPVSRPTRMQTTGVTMRAM
+>NM_002332|666846_2_11615_11811
+MARTTVGMGLMKRTVSPPQPTPPTAKTRRSFCAGTSAASPPPCAATCSMTAGTALTRRTAASTPS
+>DECOY_NM_002332|666846_2_11615_11811
+SPTSAATRRTLATGATMSCTAACPPPSAASTGACFSRRTKATPPTPQPPSVTRKMLGMGVTTRAM
+>NM_002332|666847_2_11636_11811
+MGLMKRTVSPPQPTPPTAKTRRSFCAGTSAASPPPCAATCSMTAGTALTRRTAASTPS
+>DECOY_NM_002332|666847_2_11636_11811
+SPTSAATRRTLATGATMSCTAACPPPSAASTGACFSRRTKATPPTPQPPSVTRKMLGM
+>NM_002332|666860_2_13190_13488
+MGAPVLPPPLACPRAGAPRASRAPNAPSRCVRATVPTTAPALSTRATSPSADAYPASWATAASTGSALATVRTLAHARWLLMAPDNAAALPTLRDRGVR
+>DECOY_NM_002332|666860_2_13190_13488
+RVGRDRLTPLAAANDPAMLLWRAHALTRVTALASGTSAATAWSAPYADASPSTARTSLAPATTPVTARVCRSPANPARSARPAGARPCALPPPLVPAGM
+>NM_002332|666864_2_14009_14082
+MAVATPWPARTRSENSWAGALRTR
+>DECOY_NM_002332|666864_2_14009_14082
+RTRLAGAWSNESRTRAPWPTAVAM
+>NM_003590|666963_2_2347_2468
+MVIYLQLMINSHPNYTESRFKQLLPNKVNPTQRGKKQGRK
+>DECOY_NM_003590|666963_2_2347_2468
+KRGQKKGRQTPNVKNPLLQKFRSETYNPHSNIMLQLYIVM
+>NM_005493|667039_2_633_715
+MAKPQKMPRQFEPRIQYQQPVGFIILK
+>DECOY_NM_005493|667039_2_633_715
+KLIIFGVPQQYQIRPEFQRPMKQPKAM
+>NM_005493|667041_2_822_961
+MGMMDIRFVLLELDNLMDQLSLLVMSLAVVLILSTIPAFTPRMDIV
+>DECOY_NM_005493|667041_2_822_961
+VIDMRPTFAPITSLILVVALSMVLLSLQDMLNDLELLVFRIDMMGM
+>NM_005493|667043_2_831_961
+MDIRFVLLELDNLMDQLSLLVMSLAVVLILSTIPAFTPRMDIV
+>DECOY_NM_005493|667043_2_831_961
+VIDMRPTFAPITSLILVVALSMVLLSLQDMLNDLELLVFRIDM
+>NM_005493|667047_3_1150_1271
+MADHDTKNGFILFSPPWVLCHSRGLCQIYRPDRSRRISFH
+>DECOY_NM_005493|667047_3_1150_1271
+HFSIRRSRDPRYIQCLGRSHCLVWPPSFLIFGNKTDHDAM
+>NM_021634|667077_2_1751_1866
+MAPMEYASLFIQKIQKVLEPRFIQWQFFLVLIWPHLSS
+>DECOY_NM_021634|667077_2_1751_1866
+SSLHPWILVLFFQWQIFRPELVKQIKQIFLSAYEMPAM
+>NM_139314|667236_2_889_1382
+MAQWTSTGPGKPTRRGLGIPTASSGWVWRRCIASRGTATAAWPCSCGTGMATPSCCSSPCTWVARTRPIACSSLHPWPASWAPPPSHPAASPYPSPLGTRITTSAGTRTAPRASLEAGGLAPAAIPTSTASTSAPSHSSGRSLRRESSGRPGGAATTRCRPPPC
+>DECOY_NM_139314|667236_2_889_1382
+CPPPRCRTTAAGGPRGSSERRLSRGSSHSPASTSATSTPIAAPALGGAELSARPATRTGASTTIRTGLPSPYPSAAPHSPPPAWSAPWPHLSSCAIPRTRAVWTCPSSCCSPTAMGTGCSCPWAATATGRSAICRRWVWGSSATPIGLGRRTPKGPGTSTWQAM
+>NM_139314|667237_2_1036_1382
+MATPSCCSSPCTWVARTRPIACSSLHPWPASWAPPPSHPAASPYPSPLGTRITTSAGTRTAPRASLEAGGLAPAAIPTSTASTSAPSHSSGRSLRRESSGRPGGAATTRCRPPPC
+>DECOY_NM_139314|667237_2_1036_1382
+CPPPRCRTTAAGGPRGSSERRLSRGSSHSPASTSATSTPIAAPALGGAELSARPATRTGASTTIRTGLPSPYPSAAPHSPPPAWSAPWPHLSSCAIPRTRAVWTCPSSCCSPTAM
+>NM_001136021|667260_2_139_215
+MASSHTAPLLVSLASPPADSESRIG
+>DECOY_NM_001136021|667260_2_139_215
+GIRSESDAPPSALSVLLPATHSSAM
+>NM_001006658|667338_2_3098_3222
+MGICWKAVPRASANRITNGTLPWRFADPVHLLLSFVVLLQV
+>DECOY_NM_001006658|667338_2_3098_3222
+VQLLVVFSLLLHVPDAFRWPLTGNTIRNASARPVAKWCIGM
+>NM_001429|667466_2_2601_2719
+MDSWLNLELSTRLWAMGLVCNSLPTRASSFLRLSSHHRE
+>DECOY_NM_001429|667466_2_2601_2719
+ERHHSSLRLFSSARTPLSNCVLGMAWLRTSLELNLWSDM
+>NM_001429|667467_2_2646_2719
+MGLVCNSLPTRASSFLRLSSHHRE
+>DECOY_NM_001429|667467_2_2646_2719
+ERHHSSLRLFSSARTPLSNCVLGM
+>NM_001429|667475_2_4536_4621
+MALTALHPTRGEYTYLTSIVFISSVLNA
+>DECOY_NM_001429|667475_2_4536_4621
+ANLVSSIFVISTLYTYEGRTPHLATLAM
+>NM_017737|667529_2_1387_1496
+MVTTMSLMMNLRMMIPCLLLDTAKLSTLLMDIMKVL
+>DECOY_NM_017737|667529_2_1387_1496
+LVKMIDMLLTSLKATDLLLCPIMMRLNMMLSMTTVM
+>NM_003047|667584_2_729_874
+MASSSAQLPAPFEAQSHHENARLGMSPPLHRRSPQRAALLIIPSLIMA
+>DECOY_NM_003047|667584_2_729_874
+AMILSPIILLAARQPSRRHLPPSMGLRANEHHSQAEFPAPLQASSSAM
+>NM_001902|667665_2_679_785
+METLFWSWITLLCHHISSALWLWELIFLCILQQNT
+>DECOY_NM_001902|667665_2_679_785
+TNQQLICLFILEWLWLASSIHHCLLTIWSWFLTEM
+>NM_001902|667666_3_236_318
+MDLQGCSAPHLTVHHVQARGAWPALGF
+>DECOY_NM_001902|667666_3_236_318
+FGLAPWAGRAQVHHVTLHPASCGQLDM
+>NM_002186|667678_3_1531_1607
+MAPLSPPRKHTELWAHPSPGLWPFL
+>DECOY_NM_002186|667678_3_1531_1607
+LFPWLGPSPHAWLETHKRPPSLPAM
+>NM_002438|667844_2_2291_2370
+MVLLFHMKTGLMENLIIIKMLNTVVS
+>DECOY_NM_002438|667844_2_2291_2370
+SVVTNLMKIIILNEMLGTKMHFLLVM
+>NM_002438|667846_2_2507_2655
+MGGLFTKTTSIISAKRRKPWTMRERFARGILVILFLFKVKVKRSFYGNM
+>DECOY_NM_002438|667846_2_2507_2655
+MNGYFSRKVKVKFLFLIVLIGRAFRERMTWPKRRKASIISTTKTFLGGM
+>NM_024302|667875_2_833_936
+MGWAMPLMAQGAPWRTPSCPAAAKRTSTKMSAGP
+>DECOY_NM_024302|667875_2_833_936
+PGASMKTSTRKAAAPCSPTRWPAGQAMLPMAWGM
+>NM_003153|667949_2_908_996
+MAHRLRRAWPHSRRGVKAWWTFIPSYSRR
+>DECOY_NM_003153|667949_2_908_996
+RRSYSPIFTWWAKVGRRSHPWARRLRHAM
+>NM_016315|668010_2_1324_1451
+MAHSHLQYLVDLLRLNGTCLEQNLLTHLTVEQQISLQIFNQN
+>DECOY_NM_016315|668010_2_1324_1451
+NQNFIQLSIQQEVTLHTLLNQELCTGNLRLLDVLYQLHSHAM
+>NM_020998|668043_2_340_449
+MVANCCHGLNTRPTRGCGVLGAVTSSRRKTTYGPAS
+>DECOY_NM_020998|668043_2_340_449
+SAPGYTTKRRSSTVAGLVGCGRTPRTNLGHCCNAVM
+>NM_020998|668044_2_454_722
+MGLGTGAPWPRPWVACPARLGATSSQMITSTRPLSGMAWKRTSAVTLMATPEVLGATQQTLLCASRAAASNPAGRPRVSGAMARNTAAR
+>DECOY_NM_020998|668044_2_454_722
+RAATNRAMAGSVRPRGAPNSAAARSACLLTQQTAGLVEPTAMLTVASTRKWAMGSLPRTSTIMQSSTAGLRAPCAVWPRPWPAGTGLGM
+>NM_020998|668046_2_562_722
+MAWKRTSAVTLMATPEVLGATQQTLLCASRAAASNPAGRPRVSGAMARNTAAR
+>DECOY_NM_020998|668046_2_562_722
+RAATNRAMAGSVRPRGAPNSAAARSACLLTQQTAGLVEPTAMLTVASTRKWAM
+>NM_020998|668050_2_1393_1646
+MGPGATRWTQGPHSTTVPCDAALMTSRHQSWTPQTRCSLRSVARGWIGWISGVPSCAWLGAIRATHPGQSACGIGRASISAGGL
+>DECOY_NM_020998|668050_2_1393_1646
+LGGASISARGIGCASQGPHTARIAGLWACSPVGSIWGIWGRAVSRLSCRTQPTWSQHRSTMLAADCPVTTSHPGQTWRTAGPGM
+>NM_020998|668054_3_1721_1887
+MVGHPVPEPTAWRAKPTAGPSSQDGVWALRLPACPAQAGEICDPEPACGPDLPAP
+>DECOY_NM_020998|668054_3_1721_1887
+PAPLDPGCAPEPDCIEGAQAPCAPLRLAWVGDQSSPGATPKARWATPEPVPHGVM
+>NM_003643|668232_2_591_691
+MGASRSPTSGGTTDALYFSSQRESMIIQNQKPS
+>DECOY_NM_003643|668232_2_591_691
+SPKQNQIIMSERQSSFYLADTTGGSTPSRSAGM
+>NM_003643|668234_2_1080_1168
+MAIYKRGVKMLLWGEIILLTTVIPIILFL
+>DECOY_NM_003643|668234_2_1080_1168
+LFLIIPIVTTLLIIEGWLLMKVGRKYIAM
+>NM_014781|668572_2_2125_2198
+MERDYMKQKNQKGNPLGNYLGSLF
+>DECOY_NM_014781|668572_2_2125_2198
+FLSGLYNGLPNGKQNKQKMYDREM
+>NM_022111|668760_2_2181_2377
+MAVVKLARQLASSLFPSLSHQILLYFCLRTALPRWVTFLLKKNQKQMKTQASSLANWMRMIHVHC
+>DECOY_NM_022111|668760_2_2181_2377
+CHVHIMRMWNALSSAQTKMQKQNKKLLFTVWRPLATRLCFYLLIQHSLSPFLSSALQRALKVVAM
+>NM_000298|668922_2_871_998
+MGWTSSLPPLCGKPATWLPSGLLWVRKDTASRSSAKLRTTKA
+>DECOY_NM_000298|668922_2_871_998
+AKTTRLKASSRSATDKRVWLLGSPLWTAPKGCLPPLSSTWGM
+>NM_020920|669062_2_3794_3870
+MARQKNCRIIQVYLSLCLVDAKEKK
+>DECOY_NM_020920|669062_2_3794_3870
+KKEKADVLCLSLYVQIIRCNKQRAM
+>NM_020920|669067_2_5879_6039
+MVTLQSPHHEVVVQLPWQRRKHLQSAQRQPSSPNFAEAWMKRSLQFKSKMRKD
+>DECOY_NM_020920|669067_2_5879_6039
+DKRMKSKFQLSRKMWAEAFNPSSPQRQASQLHKRRQWPLQVVVEHHPSQLTVM
+>NM_020920|669071_2_6428_6543
+MGKRVITLKRCSTGFCQGLLHQRAARSGPVGCDQTFLR
+>DECOY_NM_020920|669071_2_6428_6543
+RLFTQDCGVPGSRAARQHLLGQCFGTSCRKLTIVRKGM
+>NM_032029|669087_3_628_1001
+MENAPLPHTVPATRFFFRPSTKKTPSEMAVGGLSPLQDPSPGHGNTQAFLAPLLAGGELLCSSKFIEGLKAGVRGAWRSCHHPVPLCPLICQQAPEEVLVPSGAPKMDLPDHCVHQPVYSPSLS
+>DECOY_NM_032029|669087_3_628_1001
+SLSPSYVPQHVCHDPLDMKPAGSPVLVEEPAQQCILPCLPVPHHCSRWAGRVGAKLGEIFKSSCLLEGGALLPALFAQTNGHGPSPDQLPSLGGVAMESPTKKTSPRFFFRTAPVTHPLPANEM
+>NM_032029|669088_3_709_1001
+MAVGGLSPLQDPSPGHGNTQAFLAPLLAGGELLCSSKFIEGLKAGVRGAWRSCHHPVPLCPLICQQAPEEVLVPSGAPKMDLPDHCVHQPVYSPSLS
+>DECOY_NM_032029|669088_3_709_1001
+SLSPSYVPQHVCHDPLDMKPAGSPVLVEEPAQQCILPCLPVPHHCSRWAGRVGAKLGEIFKSSCLLEGGALLPALFAQTNGHGPSPDQLPSLGGVAM
+>NM_007115|669099_2_140_288
+MEFFITPYGLNEQPVCTTEKHGLANTSSPTQKLRRCVNLKAAISQLTSS
+>DECOY_NM_007115|669099_2_140_288
+SSTLQSIAAKLNVCRRLKQTPSSTNALGHKETTCVPQENLGYPTIFFEM
+>NM_007115|669100_2_413_600
+MESVSIGVKDGMPIATTHTQRSVVASLQIQSKFLNLQASQMSTKITKSATGTLDSSMVSVFT
+>DECOY_NM_007115|669100_2_413_600
+TFVSVMSSDLTGTASKTIKTSMQSAQLNLFKSQIQLSAVVSRQTHTTAIPMGDKVGISVSEM
+>NM_007115|669109_3_441_514
+MGCLLLQPTRKGVWWRLYRSKANF
+>DECOY_NM_007115|669109_3_441_514
+FNAKSRYLRWWVGKRTPQLLLCGM
+>NM_004048|669259_2_244_365
+MERELKKWSIQTCLSARTGLSISCTTLNSPPLKKMSMPAV
+>DECOY_NM_004048|669259_2_244_365
+VAPMSMKKLPPSNLTTCSISLGTRASLCTQISWKKLEREM
+>NM_031443|669304_2_1125_1204
+MGPLSTSSASTCGSSTGTAASSCCLV
+>DECOY_NM_031443|669304_2_1125_1204
+VLCCSSAATGTSSGCTSASSTSLPGM
+>NM_207581|669333_3_504_604
+MVRGYSEHQHILQSLQRSARYSPCPSARGPGGH
+>DECOY_NM_207581|669333_3_504_604
+HGGPGRASPCPSYRASRQLSQLIHQHESYGRVM
+>NM_207581|669334_3_831_964
+MGGVLLLAPLQRAALHAGPALRRPGTADHRSLRALRGLRLGLHL
+>DECOY_NM_207581|669334_3_831_964
+LHLGLRLGRLARLSRHDATGPRRLAPGAHLAARQLPALLLVGGM
+>NM_003215|669369_2_296_399
+MVSFPVKISIHFRLFMMLTHFTFLHLVHKAGTCG
+>DECOY_NM_003215|669369_2_296_399
+GCTGAKHVLHLFTFHTLMMFLRFHISIKVPFSVM
+>NM_019074|669385_2_858_1411
+METTAPACARSAMTTSATMCASQMATCPACPVGLGNIANSLSVFRAVMNRMATAASQQSASAAQAGRAGCVTNASPTMAVATAPAALPGNVLVMRAGEACFVTKISTTAPTTPHARMGQRAPTVGSEATPAPVAQATLVWTVSWSSASVTATPVAMEAAVRTRRMATTACVLRATMACIVNTAP
+>DECOY_NM_019074|669385_2_858_1411
+PATNVICAMTARLVCATTAMRRTRVAAEMAVPTATVSASSWSVTWVLTAQAVPAPTAESGVTPARQGMRAHPTTPATTSIKTVFCAEGARMVLVNGPLAAPATAVAMTPSANTVCGARGAQAASASQQSAATAMRNMVARFVSLSNAINGLGVPCAPCTAMQSACMTASTTMASRACAPATTEM
+>NM_019074|669390_2_1008_1411
+MATAASQQSASAAQAGRAGCVTNASPTMAVATAPAALPGNVLVMRAGEACFVTKISTTAPTTPHARMGQRAPTVGSEATPAPVAQATLVWTVSWSSASVTATPVAMEAAVRTRRMATTACVLRATMACIVNTAP
+>DECOY_NM_019074|669390_2_1008_1411
+PATNVICAMTARLVCATTAMRRTRVAAEMAVPTATVSASSWSVTWVLTAQAVPAPTAESGVTPARQGMRAHPTTPATTSIKTVFCAEGARMVLVNGPLAAPATAVAMTPSANTVCGARGAQAASASQQSAATAM
+>NM_019074|669391_2_1089_1411
+MAVATAPAALPGNVLVMRAGEACFVTKISTTAPTTPHARMGQRAPTVGSEATPAPVAQATLVWTVSWSSASVTATPVAMEAAVRTRRMATTACVLRATMACIVNTAP
+>DECOY_NM_019074|669391_2_1089_1411
+PATNVICAMTARLVCATTAMRRTRVAAEMAVPTATVSASSWSVTWVLTAQAVPAPTAESGVTPARQGMRAHPTTPATTSIKTVFCAEGARMVLVNGPLAAPATAVAM
+>NM_019074|669393_2_1206_1411
+MGQRAPTVGSEATPAPVAQATLVWTVSWSSASVTATPVAMEAAVRTRRMATTACVLRATMACIVNTAP
+>DECOY_NM_019074|669393_2_1206_1411
+PATNVICAMTARLVCATTAMRRTRVAAEMAVPTATVSASSWSVTWVLTAQAVPAPTAESGVTPARQGM
+>NM_019074|669394_2_1323_1411
+MEAAVRTRRMATTACVLRATMACIVNTAP
+>DECOY_NM_019074|669394_2_1323_1411
+PATNVICAMTARLVCATTAMRRTRVAAEM
+>NM_019074|669398_2_1698_2023
+MGSCAPALPASLADAVRCGHPSMPVPRVPASTGPPATPTSPQTPLCATALMALWAAAASSPWACRPASPGWPSRWVWGWQCCWYCWAWWQWLCGSCGFDGRTTAAGKP
+>DECOY_NM_019074|669398_2_1698_2023
+PKGAATTRGDFGCSGCLWQWWAWCYWCCQWGWVWRSPWGPSAPRCAWPSSAAAAWLAMLATACLPTQPSTPTAPPGTSAPVRPVPMSPHGCRVADALSAPLAPACSGM
+>NM_003109|669571_2_107_516
+MGAMVMVVVPFHRLEVAAQAVAAALEEEGRSPSHPLWLCWQQLAAELSHPMRTATTPRARVSQGEQVSLTSQPHNFHRVPMAGRSSLPPLGLPLPQRNRVAAVPMAAMAVSLPRIAQSLVGSMLWLPLPTYRTSKF
+>DECOY_NM_003109|669571_2_107_516
+FKSTRYTPLPLWLMSGVLSQAIRPLSVAMAAMPVAAVRNRQPLPLGLPPLSSRGAMPVRHFNHPQSTLSVQEGQSVRARPTTATRMPHSLEAALQQWCLWLPHSPSRGEEELAAAVAQAAVELRHFPVVVMVMAGM
+>NM_003109|669572_2_116_516
+MVMVVVPFHRLEVAAQAVAAALEEEGRSPSHPLWLCWQQLAAELSHPMRTATTPRARVSQGEQVSLTSQPHNFHRVPMAGRSSLPPLGLPLPQRNRVAAVPMAAMAVSLPRIAQSLVGSMLWLPLPTYRTSKF
+>DECOY_NM_003109|669572_2_116_516
+FKSTRYTPLPLWLMSGVLSQAIRPLSVAMAAMPVAAVRNRQPLPLGLPPLSSRGAMPVRHFNHPQSTLSVQEGQSVRARPTTATRMPHSLEAALQQWCLWLPHSPSRGEEELAAAVAQAAVELRHFPVVVMVM
+>NM_003109|669573_2_122_516
+MVVVPFHRLEVAAQAVAAALEEEGRSPSHPLWLCWQQLAAELSHPMRTATTPRARVSQGEQVSLTSQPHNFHRVPMAGRSSLPPLGLPLPQRNRVAAVPMAAMAVSLPRIAQSLVGSMLWLPLPTYRTSKF
+>DECOY_NM_003109|669573_2_122_516
+FKSTRYTPLPLWLMSGVLSQAIRPLSVAMAAMPVAAVRNRQPLPLGLPPLSSRGAMPVRHFNHPQSTLSVQEGQSVRARPTTATRMPHSLEAALQQWCLWLPHSPSRGEEELAAAVAQAAVELRHFPVVVM
+>NM_003109|669577_2_428_516
+MAVSLPRIAQSLVGSMLWLPLPTYRTSKF
+>DECOY_NM_003109|669577_2_428_516
+FKSTRYTPLPLWLMSGVLSQAIRPLSVAM
+>NM_003109|669579_2_629_792
+MVLVKYRSYQVQTNRLSQIEEVEATSLLLCQTYSSRLSPSKAWLIMYSQDRLSM
+>DECOY_NM_003109|669579_2_629_792
+MSLRDQSYMILWAKSPSLRSSYTQCLLLSTAEVEEIQSLRNTQVQYSRYKVLVM
+>NM_003109|669583_2_1715_2121
+MELSLVSMGLVVMEYMMTQQVERKEKTAQMPNPKPVGGPGGKHAPAPTVKTVKEGARGILAKRNSIFATSKAVGKCMARPLTCGHTCAGIQARGHLCVPGHTVGNASHVRMSYRGTNVHTQVRRNLPALSVLSAS
+>DECOY_NM_003109|669583_2_1715_2121
+SASLVSLAPLNRRVQTHVNTGRYSMRVHSANGVTHGPVCLHGRAQIGACTHGCTLPRAMCKGVAKSTAFISNRKALIGRAGEKVTKVTPAPAHKGGPGGVPKPNPMQATKEKREVQQTMMYEMVVLGMSVLSLEM
+>NM_003109|669585_2_1751_2121
+MEYMMTQQVERKEKTAQMPNPKPVGGPGGKHAPAPTVKTVKEGARGILAKRNSIFATSKAVGKCMARPLTCGHTCAGIQARGHLCVPGHTVGNASHVRMSYRGTNVHTQVRRNLPALSVLSAS
+>DECOY_NM_003109|669585_2_1751_2121
+SASLVSLAPLNRRVQTHVNTGRYSMRVHSANGVTHGPVCLHGRAQIGACTHGCTLPRAMCKGVAKSTAFISNRKALIGRAGEKVTKVTPAPAHKGGPGGVPKPNPMQATKEKREVQQTMMYEM
+>NM_012319|669729_2_2439_2548
+MDVAAGGISFYRMLGCFWVLELCYLFPYLNIKSCFV
+>DECOY_NM_012319|669729_2_2439_2548
+VFCSKINLYPFLYCLELVWFCGLMRYFSIGGAAVDM
+>NM_006565|669785_2_2049_2179
+MEEKRRRVNVEEKERCALRKKIPLTVKMLNQIWTTMRMRRSLP
+>DECOY_NM_006565|669785_2_2049_2179
+PLSRRMRMTTWIQNLMKVTLPIKKRLACREKEEVNVRRRKEEM
+>NM_004260|669905_3_820_914
+MERGALGEPRTGPAGEQPSWTPIGGGWGCSS
+>DECOY_NM_004260|669905_3_820_914
+SSCGWGGGIPTWSPQEGAPGTRPEGLAGREM
+>NM_004260|669906_3_1165_1295
+MEAEVAEERGVFWGWWCHSHNQGVLFPERAVRSLGSPVSPASK
+>DECOY_NM_004260|669906_3_1165_1295
+KSAPSVPSGLSRVAREPFLVGQNHSHCWWGWFVGREEAVEAEM
+>NM_005065|670153_2_559_671
+MADCGVLQPMTTKQMKSGAFVKLKKRLLRDGRCRKQK
+>DECOY_NM_005065|670153_2_559_671
+KQKRCRGDRLLRKKLKVFAGSKMQKTTMPQLVGCDAM
+>NM_017902|670245_2_334_443
+METSLCTVPAPTSSCTMMRRRWPISRTLSRGPTGKK
+>DECOY_NM_017902|670245_2_334_443
+KKGTPGRSLTRSIPWRRRMMTCSSTPAPVTCLSTEM
+>NM_003588|670380_2_465_613
+MGMMMRLLPLKTVVFLPPVPQLLLLLRRSDLPLMVIPAPLRPPLPRRES
+>DECOY_NM_003588|670380_2_465_613
+SERRPLPPRLPAPIVMLPLDSRRLLLLLQPVPPLFVVTKLPLLRMMMGM
+>NM_001935|670590_2_808_908
+METAQFSWRTVHLMSLDILSMIIQYLLMGSLFS
+>DECOY_NM_001935|670590_2_808_908
+SFLSGMLLYQIIMSLIDLSMLHVTRWSFQATEM
+>NM_001935|670599_3_1472_1545
+MGNTRKNFFAVAQEDSELFGHGYL
+>DECOY_NM_001935|670599_3_1472_1545
+LYGHGFLESDEQAVAFFNKRTNGM
+>NM_015321|670647_2_380_567
+MGWWTGCTGSVAGSAPHTAGPCQWTNTDGRPTAAPMAPCTSHHPRTPAGEGPILTPPCTRAQ
+>DECOY_NM_015321|670647_2_380_567
+QARTCPPTLIPGEGAPTRPHHSTCPAMPAATPRGDTNTWQCPGATHPASGAVSGTCGTWWGM
+>NM_004957|670789_2_1017_1324
+MVLGSQRHPGQGSCGSCPWHLCSSPHPTCGSGFGTRSGRAGRRCCGAGPSPGTWTVRTPPAARRPACAGSARRCRAARGRAVAPRFESCSSMLPGTGTRRPC
+>DECOY_NM_004957|670789_2_1017_1324
+CPRRTGTGPLMSSCSEFRPAVARGRAARCRRASGACAPRRAAPPTRVTWTGPSPGAGCCRRGARGSRTGFGSGCTPHPSSCLHWPCSGCSGQGPHRQSGLVM
+>NM_001550|670806_2_764_984
+MGQLVCRLGKLVQLALVFAVLLPQMTLLNYTQLWNVWKISSLNPISKRKTLLLFAALLIQCFISALFLHGHYC
+>DECOY_NM_001550|670806_2_764_984
+CYHGHLFLASIFCQILLAAFLLLTKRKSIPNLSSIKWVNWLQTYNLLTMQPLLVAFVLALQVLKGLRCVLQGM
+>NM_001141945|670837_2_515_609
+MALGSVRPALLGTMLPGLFSHPLWDVPDIRG
+>DECOY_NM_001141945|670837_2_515_609
+GRIDPVDWLPHSFLGPLMTGLLAPRVSGLAM
+>NM_001141945|670838_2_698_810
+MASSPTGTTWKRSGTTLSTMSFVLPLKSIPPCSRRHP
+>DECOY_NM_001141945|670838_2_698_810
+PHRRSCPPISKLPLVFSMTSLTTGSRKWTTGTPSSAM
+>NM_001141945|670842_2_950_1050
+MVSPTMSPSMRAMPCPMPSCVWIWLAEISLTTS
+>DECOY_NM_001141945|670842_2_950_1050
+STTLSIEALWIWVCSPMPCPMARMSPSMTPSVM
+>NM_005807|671038_2_733_824
+MVTSRSQLLTRLPPNTIKSAHLPRSQQQNQ
+>DECOY_NM_005807|671038_2_733_824
+QNQQQSRPLHASKITNPPLRTLLQSRSTVM
+>NM_005807|671046_3_56_168
+MENTSHLPVVAAVCFRDSASFISRFIKLCREMWGRVF
+>DECOY_NM_005807|671046_3_56_168
+FVRGWMERCLKIFRSIFSASDRFCVAAVVPLHSTNEM
+>NM_003628|671139_2_2667_2740
+MELVLSQDCRSPPKGLRCCGTHRW
+>DECOY_NM_003628|671139_2_2667_2740
+WRHTGCCRLGKPPSRCDQSLVLEM
+>NM_003628|671140_2_3033_3145
+MAPVSCLMRPWQPSAVLCTRSPAKTWRTQKPWPTQEA
+>DECOY_NM_003628|671140_2_3033_3145
+AEQTPWPKQTRWTKAPSRTCLVASPQWPRMLCSVPAM
+>NM_003628|671142_2_3270_3418
+MGGIRTILLHLCRHWSETDSNHILPCLPPTNRCHPSFSQSAAPLPHQHC
+>DECOY_NM_003628|671142_2_3270_3418
+CHQHPLPAASQSFSPHCRNTPPLCPLIHNSDTESWHRCLHLLITRIGGM
+>NM_001005476|671211_2_3270_3616
+MGGIRTILLHLCRHWSETDSNHILPCLPPTNRCHPSFSQAPANLHQFTSVPIPHQQENKIDGYSINSCIIVKMTPTERTLMHTDCICSLLIAMKILILMTEFTFQLLLITQHSMD
+>DECOY_NM_001005476|671211_2_3270_3616
+DMSHQTILLLQFTFETMLILIKMAILLSCICDTHMLTRETPTMKVIICSNISYGDIKNEQQHPIPVSTFQHLNAPAQSFSPHCRNTPPLCPLIHNSDTESWHRCLHLLITRIGGM
+>NM_025184|671236_2_766_914
+MARFCVSSACGMTQSQCLETVENSSCITSCVMILLKSKNCFHTAQAEML
+>DECOY_NM_025184|671236_2_766_914
+LMEAQATHFCNKSKLLIMVCSTICSSNEVTELCQSQTMGCASSVCFRAM
+>NM_002318|671469_2_568_707
+MASGAPCAMTTSPSTLPTSSAGSWATWRPSPGLPAPPTAREKGPSG
+>DECOY_NM_002318|671469_2_568_707
+GSPGKERATPPAPLGPSPRWTAWSGASSTPLTSPSTTMACPAGSAM
+>NM_002318|671472_2_1369_1547
+MENGGPSATTSGTWCRPVWSAESWALGVPKRQSLAPDWGKGSDPSTSTRSSAQAMRSPL
+>DECOY_NM_002318|671472_2_1369_1547
+LPSRMAQASSRTSTSPDSGKGWDPALSQRKPVGLAWSEASWVPRCWTGSTTASPGGNEM
+>NM_002318|671474_2_2155_2261
+MASPTSGPRTAATRGSGTTVTGTTTAWRCSPTMTC
+>DECOY_NM_002318|671474_2_2155_2261
+CTMTPSCRWATTTGTVTTGSGRTAATRPGSTPSAM
+>NM_002318|671479_3_1376_1533
+MGDRLRRQVGPGVGQCGLQRAGLWECQRGSHWLPTGARDRTHPPQRDPVHRQ
+>DECOY_NM_002318|671479_3_1376_1533
+QRHVPDRQPPHTRDRAGTPLWHSGRQCEWLGARQLGCQGVGPGVQRRLRDGM
+>NM_006329|671494_2_660_973
+MAGIYAFPGQTLCIEGPTRTPTRPPTQVRTQQLPHHSQLQTIPRSPGLLYAALDTRWMKATNVWMWTSVQQIPTSATPPRSASILKAGTPAPAPTDIGFWKASA
+>DECOY_NM_006329|671494_2_660_973
+ASAKWFGIDTPAPAPTGAKLISASRPPTASTPIQQVSTWMWVNTAKMWRTDLAAYLLGPSRPITQLQSHHPLQQTRVQTPPRTPTRTPGEICLTQGPFAYIGAM
+>NM_006329|671498_2_993_1096
+MVTASSSVRMFLDPILVHATLVLPSMRMEGLAKM
+>DECOY_NM_006329|671498_2_993_1096
+MKALGEMRMSPLVLTAHVLIPDLFMRVSSSATVM
+>NM_002576|671526_2_639_961
+MVLNLCLQTQRRRKRRTDFTDPFYLEIKQIKRKRKSGQRFLSLQILNTQFMSVLMLSQGSLRECQSSGPACFRHQISLSRSRRKTRRLFWMCWSFTTRRRHPTARNT
+>DECOY_NM_002576|671526_2_639_961
+TNRATPHRRRTTFSWCMWFLRRTKRRSRSLSIQHRFCAPGSSQCERLSGQSLMLVSMFQTNLIQLSLFRQGSKRKRKIQKIELYFPDTFDTRRKRRRQTQLCLNLVM
+>NM_005591|671593_2_526_620
+MATSTFQFQCLVFMAIMTIPQGQMHFVPWTF
+>DECOY_NM_005591|671593_2_526_620
+FTWPVFHMQGQPITMIAMFVLCQFQFTSTAM
+>NM_005591|671594_2_856_941
+MEVLTSFQNNFWMTSLILLSGAMNMSVK
+>DECOY_NM_005591|671594_2_856_941
+KVSMNMAGSLLILSTMWFNNQFSTLVEM
+>NM_005356|671628_2_256_446
+MALRCGTHWLPTKAPIRRLPHCKTTWLSLCTAMSPLTTEIWALRRGNSSASWSRAASGGRRSP
+>DECOY_NM_005356|671628_2_256_446
+PSRRGGSAARSWSASSNGRRLAWIETTLPSMATCLSLWTTKCHPLRRIPAKTPLWHTGCRLAM
+>NM_014795|671705_2_949_1112
+MVMQSASRSTFSAVTQPLFTQKPLRSCLALARQRPMGKKKMTCHLELQMLLPNC
+>DECOY_NM_014795|671705_2_949_1112
+CNPLLMQLELHCTMKKKGMPRQRALALCSRLPKQTFLPQTVASFTSRSASQMVM
+>NM_014795|671708_2_2035_2108
+MVPLKVLLTIRWKKSMKPKLASRA
+>DECOY_NM_014795|671708_2_2035_2108
+ARSALKPKMSKKWRITLLVKLPVM
+>NM_004966|671805_2_477_565
+MGPQVSISSTLERAGRVVRLLLNLDQKMM
+>DECOY_NM_004966|671805_2_477_565
+MMKQDLNLLLRVVRGARELTSSISVQPGM
+>NM_004966|671806_2_693_856
+MASCGFEDSHLDAQRKKLFSSSQGWKLCQTGSHCLWTPKARLQGKRSCSLPRRS
+>DECOY_NM_004966|671806_2_693_856
+SRRPLSCSRKGQLRAKPTWLCHSGTQCLKWGQSSSFLKKRQADLHSDEFGCSAM
+>NM_004966|671807_2_1116_1243
+MATASPPTCSGETSATVSPECMTTDTATVSSQCRAPQATVST
+>DECOY_NM_004966|671807_2_1116_1243
+TSVTAQPARCQSSVTATDTTMCEPSVTASTEGSCTPPSATAM
+>NM_002192|672005_2_1190_1320
+MARSTSAVRNSSLSVSRTSAGMTGSLLPLAIMPTTARVSARAI
+>DECOY_NM_002192|672005_2_1190_1320
+IARASVRATTPMIALPLLSGTMGASTRSVSLSSNRVASTSRAM
+>NM_020815|672015_2_914_1017
+MALSWGISLKIWVWTLQNFRLAGFRRCPTQGPLT
+>DECOY_NM_020815|672015_2_914_1017
+TLPGQTPCRRFGALRFNQLTWVWIKLSIGWSLAM
+>NM_020815|672016_2_1352_1428
+MATDSLSWCWRSHWTESSKRCTATC
+>DECOY_NM_020815|672016_2_1352_1428
+CTATCRKSSETWHSRWCWSLSDTAM
+>NM_020815|672019_2_1994_2118
+MGRCSASYWETCLSASSLPLRITTPSLPKPPWTERRGTPTP
+>DECOY_NM_020815|672019_2_1994_2118
+PTPTGRRETWPPKPLSPTTIRLPLSSASLCTEWYSASCRGM
+>NM_020815|672021_2_2813_2961
+MGSRPFPPPPPWWFSWWMAPWSPRAGAGAEAEGQESTSAPVALAAGKPR
+>DECOY_NM_020815|672021_2_2813_2961
+RPKGAALAVPASTSEQGEAEAGAGARPSWPAMWWSFWWPPPPPFPRSGM
+>NM_006087|672082_2_189_463
+MASTPQAHTMGTVTCNWRGSTCTTTRPQEEIMSPERCWWTWNPAPWTLSVLAPSVRSFGRTTSCLANPEPATTGQRGTTRRAQSWWTLSWT
+>DECOY_NM_006087|672082_2_189_463
+TWSLTWWSQARRTTGRQGTTAPEPNALCSTTRGFSRVSPALVSLTWPAPNWTWWCREPSMIEEQPRTTTCTSGRWNCTVTGMTHAQPTSAM
+>NM_006087|672083_2_216_463
+MGTVTCNWRGSTCTTTRPQEEIMSPERCWWTWNPAPWTLSVLAPSVRSFGRTTSCLANPEPATTGQRGTTRRAQSWWTLSWT
+>DECOY_NM_006087|672083_2_216_463
+TWSLTWWSQARRTTGRQGTTAPEPNALCSTTRGFSRVSPALVSLTWPAPNWTWWCREPSMIEEQPRTTTCTSGRWNCTVTGM
+>NM_001838|672098_2_901_1160
+MGWSWPRRWPTSTSPVAPVSSVSNSTSPTTSPTAWPASAAASTLSCTPSSASSSATISSSSSRTWAASARSSSGSGLPVGTSGAPP
+>DECOY_NM_001838|672098_2_901_1160
+PPAGSTGVPLGSGSSSRASAAWTRSSSSSITASSSASSPTCSLTSAAASAPWATPSTTPSTSNSVSSVPAVPSTSTPWRRPWSWGM
+>NM_032961|672124_2_3563_3891
+METVNREIVIMMPPTVPSQLVWISSPIALRNVKLWATQIGAGCLLLSLLMDARLLIIAAICMFLAWTLFQTLRCLKLQKPSLGQSGPFPPLAKRRPFTALWRGRSWMDC
+>DECOY_NM_032961|672124_2_3563_3891
+CDMWSRGRWLATFPRRKALPPFPGSQGLSPKQLKLCRLTQFLTWALFMCIAAIILLRADMLLSLLLCGAGIQTAWLKVNRLAIPSSIWVLQSPVTPPMMIVIERNVTEM
+>NM_003391|672395_2_1045_1187
+MAQVSLWLTRGLRSQRKMTSCILRILQTTVSGTERQAPWVQQAVCAT
+>DECOY_NM_003391|672395_2_1045_1187
+TACVAQQVWPAQRETGSVTTQLIRLICSTMKRQSRLGRTLWLSVQAM
+>NM_002396|672425_2_623_732
+MDTSLEDLRDYLFRSQTEVMLDQLWITGQKIMLRLL
+>DECOY_NM_002396|672425_2_623_732
+LLRLMIKQGTIWLQDLMVETQSRFLYDRLDELSTDM
+>NM_017884|672450_2_316_413
+MGRKPQIPRTRRKRNLLALRKSPKSPKTVFTI
+>DECOY_NM_017884|672450_2_316_413
+ITFVTKPSKPSKRLALLNRKRRTRPIQPKRGM
+>NM_144565|672555_3_951_1264
+MGGIPLLAAGQCDALHACAGIWWLHAIGHGHLPAVGSALLLHGHITHLTLSPAPGRFCAAYSPWACLLDHIDHRTAVCAAGPGYGGGPQDAASQAEGFLQPECG
+>DECOY_NM_144565|672555_3_951_1264
+GCEPQLFGEAQSAADQPGGGYGPGAACVATRHDIHDLLCAWPSYAACFRGPAPSLTLHTIHGHLLLASGVAPLHGHGIAHLWWIGACAHLADCQGAALLPIGGM
+>NM_017720|672563_2_884_1029
+MARMCGWRPPLRAQVLHPAQVAPSRCHLRLARTSCPHCPHYRTRKRTT
+>DECOY_NM_017720|672563_2_884_1029
+TTRKRTRYHPCHPCSTRALRLHCRSPAVQAPHLVQARLPPRWGCMRAM
+>NM_004555|672577_2_403_509
+MDYRLTLLFCHHRFSSKVTKTMKELVRFLNLNIAH
+>DECOY_NM_004555|672577_2_403_509
+HAINLNLFRVLEKMTKTVKSSFRHHCFLLTLRYDM
+>NM_004555|672579_2_895_974
+MDLDTHYHPGNLLATLLDPVSLMRIG
+>DECOY_NM_004555|672579_2_895_974
+GIRMLSVPDLLTALLNGPHYHTDLDM
+>NM_004555|672582_2_2644_2732
+MDQLVFLLMLPLVKNLIQFCFSRMQLFLV
+>DECOY_NM_004555|672582_2_2644_2732
+VLFLQMRSFCFQILNKVLPLMLLFVLQDM
+>NM_001379|672739_2_3370_3575
+MGRTCPSASRCTPWAAPTASTSSRPIMQRAKALKILPTMPVALETKGRAREKGRASPSPKPVSRASQR
+>DECOY_NM_001379|672739_2_3370_3575
+RQSARSVPKPSPSARGKERARGKTELAVPMTPLIKLAKARQMIPRSSTSATPAAWPTCRSASPCTRGM
+>NM_001379|672743_2_4315_4430
+MEPRHWRSPTTGSLSPGSRGSSGAHSTSPSSGTTSVRT
+>DECOY_NM_001379|672743_2_4315_4430
+TRVSTTGSSPSTSHAGSSGRSGPSLSGTTPSRWHRPEM
+>NM_002350|672919_3_575_726
+MVESKVPFNKKRRLHPQQLCGQTQHLRNRRVVFQGYNQEGRRKAAFGTRK
+>DECOY_NM_002350|672919_3_575_726
+KRTGFAAKRRGEQNYGQFVVRRNRLHQTQGCLQQPHLRRKKNFPVKSEVM
+>NM_001080463|673089_3_9980_10086
+MESSVPISYRSFFPSYRVVKNTFERLTFRSYQSAG
+>DECOY_NM_001080463|673089_3_9980_10086
+GASQYSRFTLREFTNKVVRYSPFFSRYSIPVSSEM
+>NM_005109|673126_2_1339_1427
+MEAGSGVMMNLMKKVRKGKQQFHNSGLPE
+>DECOY_NM_005109|673126_2_1339_1427
+EPLGSNHFQQKGKRVKKMLNMMVGSGAEM
+>NM_002037|673177_2_1207_1304
+MVDTTLPPGPSLKHFSSLYNITQRELQVSAAA
+>DECOY_NM_002037|673177_2_1207_1304
+AAASVQLERQTINYLSSFHKLSPGPPLTTDVM
+>NM_016475|673190_2_211_470
+MAQLKYMENVGYAQEDRERMHRNIVSLAQNLLNFMIGSILDLWQCFLWFYIGSSLNGTRGKRVPAHFSNTSLHYLNAAWQLLSPYL
+>DECOY_NM_016475|673190_2_211_470
+LYPSLLQWAANLYHLSTNSFHAPVRKGRTGNLSSGIYFWLFCQWLDLISGIMFNLLNQALSVINRHMRERDEQAYGVNEMYKLQAM
+>NM_002427|673222_2_542_711
+MATSTHLMGPLACWLMLFLLGQIMEEMPILMMMKPGQVVPKATTCFLLLRMSSATP
+>DECOY_NM_002427|673222_2_542_711
+PTASSMRLLLFCTTAKPVVQGPKMMMLIPMEEMIQGLLFLMLWCALPGMLHTSTAM
+>NM_002427|673225_2_611_711
+MEEMPILMMMKPGQVVPKATTCFLLLRMSSATP
+>DECOY_NM_002427|673225_2_611_711
+PTASSMRLLLFCTTAKPVVQGPKMMMLIPMEEM
+>NM_002967|673281_2_384_550
+MDRRMLRPVWRTCRTSTSWISVCWMKQKLIMEALQIVSKTMMLITSRSPCRIVES
+>DECOY_NM_002967|673281_2_384_550
+SEVIRCPSRSTILMMTKSVIQLAEMILKQKMWCVSIWSTSTRCTRWVPRLMRRDM
+>NM_002967|673284_2_474_550
+MEALQIVSKTMMLITSRSPCRIVES
+>DECOY_NM_002967|673284_2_474_550
+SEVIRCPSRSTILMMTKSVIQLAEM
+>NM_001005845|673409_2_517_596
+MGLNPCRTALILSTSFIEWMMSTKSL
+>DECOY_NM_001005845|673409_2_517_596
+LSKTSMMWEIFSTSLILATRCPNLGM
+>NM_001005845|673415_2_1537_1706
+MVLLSSVSQMFLFRMDILARITKPIATTACASIMMLNVKSSLAQKPRLPPKIVSLK
+>DECOY_NM_001005845|673415_2_1537_1706
+KLSVIKPPLRPKQALSSKVNLMMISACATTAIPKTIRALIDMRFLFMQSVSSLLVM
+>NM_001005845|673417_2_1579_1706
+MDILARITKPIATTACASIMMLNVKSSLAQKPRLPPKIVSLK
+>DECOY_NM_001005845|673417_2_1579_1706
+KLSVIKPPLRPKQALSSKVNLMMISACATTAIPKTIRALIDM
+>NM_002843|673475_2_569_642
+MELEHLRWKQTPVRMVKALEPTIV
+>DECOY_NM_002843|673475_2_569_642
+VITPELAKVMRVPTQKWRLHELEM
+>NM_002843|673485_2_2462_2703
+MGSSHWNLAGSHSVQILRPWPPSTAKWSPKSQPWFSNGPALLAPMQALSWRSAVEPGTMRPTWRAAPLRMALSIERKSRI
+>DECOY_NM_002843|673485_2_2462_2703
+IRSKREISLAMRLPAARWTPRMTGPEVASRWSLAQMPALLAPGNSFWPQSKPSWKATSPPWPRLIQVSHSGALNWHSSGM
+>NM_002843|673489_2_3110_3318
+MGSWNLWAPTGLVWLASPTLPSTLKTRGSLMGLRAMCPSVATQMLFPCPRIQVSSVERFLAVSLVPWLL
+>DECOY_NM_002843|673489_2_3110_3318
+LLWPVLSVALFREVSSVQIRPCPFLMQTAVSPCMARLGMLSGRTKLTSPLTPSALWVLGTPAWLNWSGM
+>NM_001340|673730_3_83_189
+MESATLCPVISQTTTARNQKEIKTFSNTGQHGFYN
+>DECOY_NM_001340|673730_3_83_189
+NYFGHQGTNSFTKIEKQNRATTTQSIVPCLTASEM
+>NM_012307|673906_2_1893_2107
+MDTSLSPTFLKPTSCPRACSITSRSAHRPLCPVSSSSFSFCCLPPSQCHTLSLSPSLWLCASATWSPRRPP
+>DECOY_NM_012307|673906_2_1893_2107
+PPRRPSWTASACLWLSPSLSLTHCQSPPLCCFSFSSSSVPCLPRHASRSTISCARPCSTPKLFTPSLSTDM
+>NM_032427|674011_2_1529_1701
+MARGQGKLANTPRPPPLLPPLQPLHRPLLPLLRPPKQQQQQPHRPHQTITITTSSTC
+>DECOY_NM_032427|674011_2_1529_1701
+CTSSTTITITQHPRHPQQQQQKPPRLLPLLPRHLPQLPPLLPPPRPTNALKGQGRAM
+>NM_003941|674182_2_581_819
+MGNYCGNKSYTITLYIIVLEDIFIPLLEILVKLLLILPMKKKQKNFEKQLQTFWAVDKGNLRKDEIPQMVLIYPWLQLI
+>DECOY_NM_003941|674182_2_581_819
+ILQLWPYILVMQPIEDKRLNGKDVAWFTQLQKEFNKQKKKMPLILLLKVLIELLPIFIDELVIIYLTITYSKNGCYNGM
+>NM_000391|674227_3_363_469
+MALGSRSPEVPFCDHTGLSDLLAEHPTSRAAAPWG
+>DECOY_NM_000391|674227_3_363_469
+GWPAAARSTPHEALLDSLGTHDCFPVEPSRSGLAM
+>NM_000449|674267_2_1827_1915
+MVLFPKEEGAPVPSIPKKQKIKFPWSPQK
+>DECOY_NM_000449|674267_2_1827_1915
+KQPSWPFKIKQKKPISPVPAGEEKPFLVM
+>NM_000449|674269_3_1717_1793
+MGDMGLRRGRQLSWRGREARANGRG
+>DECOY_NM_000449|674269_3_1717_1793
+GRGNARAERGRWSLQRGRRLGMDGM
+>NM_024729|674309_2_3906_3985
+MGRGHERRLLRSCSEPRLNWRMCLGR
+>DECOY_NM_024729|674309_2_3906_3985
+RGLCMRWNLRPESCSRLLRREHGRGM
+>NM_001085398|674401_3_1341_1483
+MGKSALSPFPRGHGAWGGGRAYSLGLLEGRALGSLPEWGPHSSLCPG
+>DECOY_NM_001085398|674401_3_1341_1483
+GPCLSSHPGWEPLSGLARGELLGLSYARGGGWAGHGRPFPSLASKGM
+>NM_004737|674449_2_685_1244
+MESPCLCHRWSPRHTAPGTRPPASGSARAWRCACARWRRRTAPSAGSSAWPRAEPHPIAEATTPRPTPWRRALETARTFGLASWQATAPSVGSSRSWRNARQSTLLLSAPDTMPAGMSSPWSNPSCSIDGTLCTSTLLLTPLRSRSWPRSSRPGWCPLCVWTSTMQTSSSLKFPGSPINITLGFMV
+>DECOY_NM_004737|674449_2_685_1244
+VMFGLTINIPSGPFKLSSSTQMTSTWVCLPCWGPRSSRPWSRSRLPTLLLTSTCLTGDISCSPNSWPSSMGAPMTDPASLLLTSQRANRWSRSSGVSPATAQWSALGFTRATELARRWPTPRPTTAEAIPHPEARPWASSGASPATRRRWRACACRWARASGSAPPRTGPATHRPSWRHCLCPSEM
+>NM_014080|674493_2_1680_1957
+MGTLDPCSVPLSSTSLYGCGMVTATGLRTPGMGCSPRRRLKTSEIPPCGTCWSLLSTLTPVPCSPMSLSGIKVHPALNLSSSQLTACPSVHP
+>DECOY_NM_014080|674493_2_1680_1957
+PHVSPCATLQSSSLNLAPHVKIGSLSMPSCPVPTLTSLLSWCTGCPPIESTKLRRRPSCGMGPTRLGTATVMGCGYLSTSSLPVSCPDLTGM
+>NM_014080|674494_2_1740_1957
+MVTATGLRTPGMGCSPRRRLKTSEIPPCGTCWSLLSTLTPVPCSPMSLSGIKVHPALNLSSSQLTACPSVHP
+>DECOY_NM_014080|674494_2_1740_1957
+PHVSPCATLQSSSLNLAPHVKIGSLSMPSCPVPTLTSLLSWCTGCPPIESTKLRRRPSCGMGPTRLGTATVM
+>NM_014080|674495_2_1773_1957
+MGCSPRRRLKTSEIPPCGTCWSLLSTLTPVPCSPMSLSGIKVHPALNLSSSQLTACPSVHP
+>DECOY_NM_014080|674495_2_1773_1957
+PHVSPCATLQSSSLNLAPHVKIGSLSMPSCPVPTLTSLLSWCTGCPPIESTKLRRRPSCGM
+>NM_014080|674497_2_2136_2227
+MECQRWSGQAPRRGAVPSSSSCCQTGVCRS
+>DECOY_NM_014080|674497_2_2136_2227
+SRCVGTQCCSSSSPVAGRRPAQGSWRQCEM
+>NM_014080|674500_2_3051_3187
+MVLEISLNKTSAVESRSSLGHLGSAPTPRDWGPLPQKPQSWEALD
+>DECOY_NM_014080|674500_2_3051_3187
+DLAEWSQPKQPLPGWDRPTPASGLHGLSSRSEVASTKNLSIELVM
+>NM_014080|674501_2_3390_3520
+MALPRHPRTLHRPPSWASSCHEARRPASPSCSLISCSPCAATS
+>DECOY_NM_014080|674501_2_3390_3520
+STAACPSCSILSCSPSAPRRAEHCSSAWSPPRHLTRPHRPLAM
+>NM_014080|674505_2_4260_4459
+MAVLDTQSCTLMDRLERAIRSGINLRCQCWWEGALGSPPLPPSSKTWSSSHPWAAKCCVRRSTSSG
+>DECOY_NM_014080|674505_2_4260_4459
+GSSTSRRVCCKAAWPHSSSWTKSSPPLPPSGLAGEWWCQCRLNIGSRIARELRDMLTCSQTDLVAM
+>NM_004719|674611_2_3042_3301
+MGRGEREKGEPESGLGPDLILGPPQDVEQKVRVHHLVELTEIVTLPGGREDGQMMVGDVHEEMIGTERMTQRNRMKIQEKKKMTSI
+>DECOY_NM_004719|674611_2_3042_3301
+ISTMKKKEQIKMRNRQTMRETGIMEEHVDGVMMQGDERGGPLTVIETLEVLHHVRVKQEVDQPPGLILDPGLGSEPEGKEREGRGM
+>NM_004719|674613_2_3204_3301
+MVGDVHEEMIGTERMTQRNRMKIQEKKKMTSI
+>DECOY_NM_004719|674613_2_3204_3301
+ISTMKKKEQIKMRNRQTMRETGIMEEHVDGVM
+>NM_153047|674687_2_639_736
+MVDTTLPPGPSLKHFSSLYNITQRKLMVCVLT
+>DECOY_NM_153047|674687_2_639_736
+TLVCVMLKRQTINYLSSFHKLSPGPPLTTDVM
+>NM_153048|674784_2_682_776
+MVDTTLPPGPSLKHFSSLYNITQVPGMETQK
+>DECOY_NM_153048|674784_2_682_776
+KQTEMGPVQTINYLSSFHKLSPGPPLTTDVM
+>NM_012120|674861_2_964_1046
+MVKLMKPRTIQKLFWLGLLHLYLLWEM
+>DECOY_NM_012120|674861_2_964_1046
+MEWLLYLHLLGLWFLKQITRPKMLKVM
+>NM_000222|674903_2_1543_1664
+MARLNVRLTTMWARLLPILTLHLKVTTKSKSIPTPCSLLC
+>DECOY_NM_000222|674903_2_1543_1664
+CLLSCPTPISKSKTTVKLHLTLIPLLRAWMTTLRVNLRAM
+>NM_001130966|674965_3_493_680
+MVLHISILKTGEVRPQTSQAFSFHWKLDIFPPGFLGKPNGAQKAVWTSVWVLSWSSDVYCYF
+>DECOY_NM_001130966|674965_3_493_680
+FYCYVDSSWSLVWVSTWVAKQAGNPKGLFGPPFIDLKWHFSFAQSTQPRVEGTKLISIHLVM
+>NM_001127708|675018_3_56_138
+MENTSHLPVVAAVCFRDSASFISRAFL
+>DECOY_NM_001127708|675018_3_56_138
+LFARSIFSASDRFCVAAVVPLHSTNEM
+>NM_001093772|675098_2_1543_1652
+MARLNVRLTTMWARLLPILTLHLKSKSIPTPCSLLC
+>DECOY_NM_001093772|675098_2_1543_1652
+CLLSCPTPISKSKLHLTLIPLLRAWMTTLRVNLRAM
+>NM_006610|675253_2_1072_1175
+MDLGTGQCPRAALLTVALLMIYPVAEWSTSQVLE
+>DECOY_NM_006610|675253_2_1072_1175
+ELVQSTSWEAVPYIMLLAVTLLAARPCQGTGLDM
+>NM_006610|675255_2_1240_1403
+MVNMCVRLMDSGRAPKEKNHSQSVSLFVDYQPAQQEGVYMEGKRQNLVIFLGKS
+>DECOY_NM_006610|675255_2_1240_1403
+SKGLFIVLNQRKGEMYVGEQQAPQYDVFLSVSQSHNKEKPARGSDMLRVCMNVM
+>NM_080598|675294_2_574_854
+MGLRPLPRRMSRAPMSPSTALAFVTSCSSQSCSGPLSTVALSIRQKSSMSASLRPFWEWMSCARPSRAWERQQCLSWPHCNSWSQLLGRCLYW
+>DECOY_NM_080598|675294_2_574_854
+WYLCRGLLQSWSNCHPWSLCQQREWARSPRACSMWEWFPRLSASMSSKQRISLAVTSLPGSCSQSSCSTVFALATSPSMPARSMRRPLPRLGM
+>NM_145061|675381_2_392_495
+MDIVHVSRKIQYTSKKPLTLTQSCLIVKIFRRLM
+>DECOY_NM_145061|675381_2_392_495
+MLRRFIKVILCSQTLTLPKKSTYQIKRSVHVIDM
+>NM_001009944|675440_2_2619_2899
+MACPGTTSPAALTWSPQWLGCGSSTLPPATAASTCPPTAQPWCSRWTLVPTPRPRLAGLGAVSAPALRMSALPWWPPSCPAAPGRPTIPCSQW
+>DECOY_NM_001009944|675440_2_2619_2899
+WQSCPITPRGPAAPCSPPWWPLASMRLAPASVAGLGALRPRPTPVLTWRSCWPQATPPCTSAATAPPLTSSGCGLWQPSWTLAAPSTTGPCAM
+>NM_001009944|675442_2_3384_3517
+MGSRPSTSSSLRTTSPSRFQTPRWPRCWWSTMSCTPTLPQVSTS
+>DECOY_NM_001009944|675442_2_3384_3517
+STSVQPLTPTCSMTSWWCRPWRPTQFRSPSTTRLSSSTSPRSGM
+>NM_001009944|675446_2_5181_5371
+MAPTSPTAGLPGGTGARPWPAAAKASRSPCSRPAPTMCSCGPPTCWAAPGPTAPWTSWSLWGG
+>DECOY_NM_001009944|675446_2_5181_5371
+GGWLSWSTWPATPGPAAWCTPPGCSCMTPAPRSCPSRSAKAAAPWPRAGTGGPLGATPSTPAM
+>NM_001009944|675457_2_8595_8830
+MAAPQGLAATSPSPRLSAGPWPTSVTWCSSSFWWTPIPFPLAISATTPSPPRWPRWHSRHRPAPRSPSSGWPQSAPSP
+>DECOY_NM_001009944|675457_2_8595_8830
+PSPASQPWGSSPSRPAPRHRSHWRPWRPPSPTTASIALPFPIPTWWFSSSCWTVSTPWPGASLRPSPSTAALGQPAAM
+>NM_001009944|675463_2_10539_10624
+MGWAQRRTASPWPAPTRLPNPSQHQMKT
+>DECOY_NM_001009944|675463_2_10539_10624
+TKMQHQSPNPLRTPAPWPSATRRQAWGM
+>NM_001009944|675464_2_11274_11683
+MGMPHAMGTPTVCKAPSSRSCTAGPSWPSRGLRSSGHGWPTCCCPTSTGTSPAQSWGPHGCGRCGCRKHSTQTLPAPGSTRARPQEASAPAITTLAGRVLTMARGRGPIQRRICWGHGPGAPVPCMTAGATCRSWA
+>DECOY_NM_001009944|675464_2_11274_11683
+AWSRCTAGATMCPVPAGPGHGWCIRRQIPGRGRAMTLVRGALTTIAPASAEQPRARTSGPAPLTQTSHKRCGCRGCGHPGWSQAPSTGTSTPCCCTPWGHGSSRLGRSPWSPGATCSRSSPAKCVTPTGMAHPMGM
+>NM_001009944|675466_2_11292_11683
+MGTPTVCKAPSSRSCTAGPSWPSRGLRSSGHGWPTCCCPTSTGTSPAQSWGPHGCGRCGCRKHSTQTLPAPGSTRARPQEASAPAITTLAGRVLTMARGRGPIQRRICWGHGPGAPVPCMTAGATCRSWA
+>DECOY_NM_001009944|675466_2_11292_11683
+AWSRCTAGATMCPVPAGPGHGWCIRRQIPGRGRAMTLVRGALTTIAPASAEQPRARTSGPAPLTQTSHKRCGCRGCGHPGWSQAPSTGTSTPCCCTPWGHGSSRLGRSPWSPGATCSRSSPAKCVTPTGM
+>NM_001009944|675467_2_11577_11683
+MARGRGPIQRRICWGHGPGAPVPCMTAGATCRSWA
+>DECOY_NM_001009944|675467_2_11577_11683
+AWSRCTAGATMCPVPAGPGHGWCIRRQIPGRGRAM
+>NM_001009944|675473_3_622_740
+MGGGAAGAGGAARGSHVCWAWLPGWPASAWHPLAGQWLW
+>DECOY_NM_001009944|675473_3_622_740
+WLWQGALPHWASAPWGPLWAWCVHSGRAAGGAGAAGGGM
+>NM_001009944|675474_3_2254_2345
+MERVPLLRSRGAPRAVLGHPPRPGCPHAPW
+>DECOY_NM_001009944|675474_3_2254_2345
+WPAHPCGPRPPHGLVARPAGRSRLLPVREM
+>NM_001009944|675475_3_2455_2597
+MAAPLASPAGGHLGLPCLCPAAACSHGTAHRAAGLEAQPWTAAAWAL
+>DECOY_NM_001009944|675475_3_2455_2597
+LAWAAATWPQAELGAARHATGHSCAAAPCLCPLGLHGGAPSALPAAM
+>NM_001009944|675480_3_11383_11651
+MDGPRAAALRPREPVQPRAGAPTAAAGAAAGSTLPRPSRPQGPHVLGRRRLQHQRLRRWLGESSQWLGDVGLFSAGSAGGMVLGLLCRV
+>DECOY_NM_001009944|675480_3_11383_11651
+VRCLLGLVMGGASGASFLGVDGLWQSSEGLWRRLRQHQLRRRGLVHPGQPRSPRPLTSGAAAGAAATPAGARPQVPERPRLAAARPGDM
+>NM_024735|675499_2_387_523
+MVFAKTCGSWRSQACLVGTSMRSCFTDIDTFWDCGSQISGHTEDC
+>DECOY_NM_024735|675499_2_387_523
+CDETHGSIQSGCDWFTDIDTFCSRMSTGVLCAQSRWSGCTKAFVM
+>NM_024735|675510_3_802_1136
+MGAHAGGHLPRAHAGAHPDEVHLHQSVRQLPDLPPHLPAAQPPRRPHQAWPLQRYLWQPRPGDCDAQLPRPACQGHQDHGRPQHPRWAADSGDRPEASDPAARPREPAQLQ
+>DECOY_NM_024735|675510_3_802_1136
+QLQAPERPRAAPDSAEPRDGSDAAWRPHQPRGHDQHGQCAPRPLQADCDGPRPQWLYRQLPWAQHPRRPPQAAPLHPPLDPLQRVSQHLHVEDPHAGAHARPLHGGAHAGM
+>NM_000425|675581_2_1027_1274
+MASTAAWPRTHWAVPGMRTMSPWRLPRTGCTSPRAIYMGQERLPAWTAKSRAGPNQRSPGESTGSLWRSWPKTRSTGFSVAP
+>DECOY_NM_000425|675581_2_1027_1274
+PAVSFGTSRTKPWSRWLSGTSEGPSRQNPGARSKATWAPLREQGMYIARPSTCGTRPLRWPSMTRMGPVAWHTRPWAATSAM
+>NM_000425|675585_2_1498_1643
+MGQQCFRTNASSPMPMGPWAFETSRPMTPDATSAWLPMTKTMLPSWLT
+>DECOY_NM_000425|675585_2_1498_1643
+TLWSPLMTKTMPLWASTADPTMPRSTEFAWPGMPMPSSANTRFCQQGM
+>NM_000425|675588_2_1825_1985
+MGAWSSTAWTTATRATTAAWPVPNWMWWRVGHSSWWWGALGRCHGWCCPTCTC
+>DECOY_NM_000425|675588_2_1825_1985
+CTCTPCCWGHCRGLAGWWWSSHGVRWWMWNPVPWAATTARTATTWATSSWAGM
+>NM_000425|675592_2_2191_2270
+MAPGSPARSLRLWSHLRQPQRRTLWM
+>DECOY_NM_000425|675592_2_2191_2270
+MWLTRRQPQRLHSWLRLSRAPSGPAM
+>NM_000425|675598_2_3739_3875
+MGAAWMFSSTRMVRSLASTVARRRRRRQGAMTAQGPLPPSTLPWP
+>DECOY_NM_000425|675598_2_3739_3875
+PWPLTSPPLPGQATMAGQRRRRRRAVTSALSRVMRTSSFMWAAGM
+>NM_000425|675600_2_3772_3875
+MVRSLASTVARRRRRRQGAMTAQGPLPPSTLPWP
+>DECOY_NM_000425|675600_2_3772_3875
+PWPLTSPPLPGQATMAGQRRRRRRAVTSALSRVM
+>NM_000425|675604_3_2090_2169
+MVQSGQGSREPDLYHPQAVALCPLHL
+>DECOY_NM_000425|675604_3_2090_2169
+LHLPCLAVAQPHYLDPERSGQGSQVM
+>NM_006203|675687_2_1942_2081
+MVSQTRKRTVAVKWKKTLAAVTPRLFVLKTQSLLKFPLMNRLKRRQ
+>DECOY_NM_006203|675687_2_1942_2081
+QRRKLRNMLPFKLLSQTKLVFLRPTVAALTKKWKVAVTRKRTQSVM
+>NM_006492|675990_2_728_1077
+MGRSRRGGTPSRLPMTSLCCPVLTATLSCRTPCGPVQDLGALEAPALCLQRASPPHACLHIPTPMGVWLASWGCQPLLRLTLASTPSMAFPPPWGATALSLPQMVTISLQASSRSG
+>DECOY_NM_006492|675990_2_728_1077
+GSRSSAQLSITVMQPLSLATAGWPPPFAMSPTSALTLRLLPQCGWSALWVGMPTPIHLCAHPPSARQLCLAPAELAGLDQVPGCPTRCSLTATLVPCCLSTMPLRSPTGGRRSRGM
+>NM_018979|676080_2_1156_1277
+MAAFSSLTSKSAEAPLRRSTKVWTLKPPWKSPGVNCRIEN
+>DECOY_NM_018979|676080_2_1156_1277
+NEIRCNVGPSKWPPKLTWVKTSRRLPAEASKSTLSSFAAM
+>NM_018979|676089_2_3748_3824
+MAMRTSHLPVEGMKEELQNGITENL
+>DECOY_NM_018979|676089_2_3748_3824
+LNETIGNQLEEKMGEVPLHSTRMAM
+>NM_018979|676093_2_4150_4226
+MAFQVLRNWKESSNNQFLRLPCHSK
+>DECOY_NM_018979|676093_2_4150_4226
+KSHCPLRLFQNNSSEKWNRLVQFAM
+>NM_018979|676097_2_7108_7184
+MVPFQYQAFLLQVKEPAAQTLLGQQ
+>DECOY_NM_018979|676097_2_7108_7184
+QQGLLTQAAPEKVQLLFAQYQFPVM
+>NM_005933|676264_2_7722_7816
+MVQWPNQAPIIPHARILKVTTIRIFQYRTET
+>DECOY_NM_005933|676264_2_7722_7816
+TETRYQFIRITTVKLIRAHPIIPAQNPWQVM
+>NM_005933|676266_2_7848_7933
+MALLKGGIPVAVPVHVLTCFLGLPHSME
+>DECOY_NM_005933|676266_2_7848_7933
+EMSHPLGLFCTLVHVPVAVPIGGKLLAM
+>NM_005933|676270_2_8187_8281
+MGQRVILVSQPQQGKAARFQKEMVKKMEQRT
+>DECOY_NM_005933|676270_2_8187_8281
+TRQEMKKVMEKQFRAAKGQQPQSVLIVRQGM
+>NM_005933|676273_2_10674_10753
+MARSTKFPICGPVLLKHTFQTKKRHP
+>DECOY_NM_005933|676273_2_10674_10753
+PHRKKTQFTHKLLVPGCIPFKTSRAM
+>NM_018410|676309_2_2077_2285
+MARGTISSLQKDPGYQNPRAPDARAIPWVPQMGWTTPSDRETRAALHSPTQKREERTRLTGWKRKVISC
+>DECOY_NM_018410|676309_2_2077_2285
+CSIVKRKWGTLRTREERKQTPSHLAARTERDSPTTWGMQPVWPIARADPARPNQYGPDKQLSSITGRAM
+>NM_018410|676311_3_1289_1368
+MVNFSCKNSFQTNNTTGPWREPSEGD
+>DECOY_NM_018410|676311_3_1289_1368
+DGESPERWPGTTNNTQFSNKCSFNVM
+>NM_007313|676518_2_782_918
+MGNGVKPKPKMAKAGSQATTSRQSTVWRNTPGTMGLCPAMPLSIC
+>DECOY_NM_007313|676518_2_782_918
+CISLPMAPCLGMTGPTNRWVTSQRSTTAQSGAKAMKPKPKVGNGM
+>NM_007313|676519_2_812_918
+MAKAGSQATTSRQSTVWRNTPGTMGLCPAMPLSIC
+>DECOY_NM_007313|676519_2_812_918
+CISLPMAPCLGMTGPTNRWVTSQRSTTAQSGAKAM
+>NM_007313|676523_2_2486_2574
+MGLGSPMEPSGSPGAQASGLPTCGRSPAR
+>DECOY_NM_007313|676523_2_2486_2574
+RAPSRGCTPLGSAQAGPSGSPEMPSGLGM
+>NM_014666|676771_2_464_540
+MDQSVLLQVPENTFMIYDPWKITTL
+>DECOY_NM_014666|676771_2_464_540
+LTTIKWPDYIMFTNEPVQLLVSQDM
+>NM_014666|676778_2_1295_1392
+METLVTGVPSTKPHQALLLPVASSLAVPHSQR
+>DECOY_NM_014666|676778_2_1295_1392
+RQSHPVALSSAVPLLLAQHPKTSPVGTVLTEM
+>NM_021830|676797_2_1056_1312
+MGPGRGFCLARHQNLRTARRSGGSGTEQYLSGSCLIRRRFSWLIQCLALPRLQMTHSSVSVCDICDLLAVLSSLGSPLGAQDYEA
+>DECOY_NM_021830|676797_2_1056_1312
+AEYDQAGLPSGLSSLVALLDCIDCVSVSSHTMQLRPLALCQILWSFRRRILCSGSLYQETGSGGSRRATRLNQHRALCFGRGPGM
+>NM_024608|677269_2_1329_1420
+MAVPSGSRGILDRWHPKGASPAKRNPRPHS
+>DECOY_NM_024608|677269_2_1329_1420
+SHPRPNRKAPSAGKPHWRDLIGRSGSPVAM
+>NM_014489|677406_2_157_497
+MGPWYGSASPWWPWSRSAVHLSPSSSASSGPCSSTSRRQRPHTVGPRPAGCSLRPPSLWTPMGPCSGFASQPWSGGPSLFLCSASSSASSGPWCSTLSTRWPLTVGCPITCPR
+>DECOY_NM_014489|677406_2_157_497
+RPCTIPCGVTLPWRTSLTSCWPGSSASSSASCLFLSPGGSWPQSAFGSCPGMPTWLSPPRLSCGAPRPGVTHPRQRRSTSSCPGSSASSSPSLHVASRSWPWWPSASGYWPGM
+>NM_001145438|677420_2_161_384
+MVDGTQLRGLTRCTRSHYHWIGMGPWYGSASPWWPWSRSAVHLSPSSSASSGPCSSTSRRQRPHTVGCPITCPR
+>DECOY_NM_001145438|677420_2_161_384
+RPCTIPCGVTHPRQRRSTSSCPGSSASSSPSLHVASRSWPWWPSASGYWPGMGIWHYHSRTCRTLGRLQTGDVM
+>NM_001145438|677421_2_227_384
+MGPWYGSASPWWPWSRSAVHLSPSSSASSGPCSSTSRRQRPHTVGCPITCPR
+>DECOY_NM_001145438|677421_2_227_384
+RPCTIPCGVTHPRQRRSTSSCPGSSASSSPSLHVASRSWPWWPSASGYWPGM
+>NM_014237|677455_2_1183_1274
+MGFWNPMKNVTVVIKMNVNLRSAVIITHVN
+>DECOY_NM_014237|677455_2_1183_1274
+NVHTIIVASRLNVNMKIVVTVNKMPNWFGM
+>NM_014237|677461_2_1996_2072
+MEIFRNLVTFILKKATIHTGTTGLF
+>DECOY_NM_014237|677461_2_1996_2072
+FLGTTGTHITAKKLIFTVLNRFIEM
+>NM_004168|677477_2_581_789
+MACRLAELKMGRFISVHLVDRASSLERAGRPIGAAVWLIGLATRYCTPYMEGLCDMIPAILWSILPWIS
+>DECOY_NM_004168|677477_2_581_789
+SIWPLISWLIAPIMDCLGEMYPTCYRTALGILWVAAGIPRGARELSSARDVLHVSIFRGMKLEALRCAM
+>NM_004168|677478_2_608_789
+MGRFISVHLVDRASSLERAGRPIGAAVWLIGLATRYCTPYMEGLCDMIPAILWSILPWIS
+>DECOY_NM_004168|677478_2_608_789
+SIWPLISWLIAPIMDCLGEMYPTCYRTALGILWVAAGIPRGARELSSARDVLHVSIFRGM
+>NM_001098484|677538_2_1381_1454
+MEVTEEEDMGIVKNCSELDGSVVD
+>DECOY_NM_001098484|677538_2_1381_1454
+DVVSGDLESCNKVIGMDEEETVEM
+>NM_005137|677737_2_1143_1393
+MEGSLRCVWLLSVRGPRAANSTARTPKSAASSCVWTQMATVCLTPWPAGCAWSSAASPPSSSCHCCSSWSTGCASGAGSASSP
+>DECOY_NM_005137|677737_2_1143_1393
+PSSASGAGSACGTSWSSCCHCSSSPPSAASSWACGAPWPTLCVTAMQTWVCSSAASKPTRATSNAARPGRVSLLWVCRLSGEM
+>NM_005137|677752_3_751_926
+MGPARAELWLEGPAQVVGWLSVCYHWPEPLLGRSLGGGIQRLFRGVPAPRPHLCLGHV
+>DECOY_NM_005137|677752_3_751_926
+VHGLCLHPRPAPVGRFLRQIGGGLSRGLLPEPWHYCVSLWGVVQAPGELWLEARAPGM
+>NM_031468|677813_2_668_810
+MGTASSPSRSWAWPCALWGTCQARWSWPSSCSAWTWTGMARWILMNS
+>DECOY_NM_031468|677813_2_668_810
+SNMLIWRAMGTWTWASCSSPWSWRAQCTGWLACPWAWSRSPSSATGM
+>NM_004830|678050_2_1141_1253
+MGEQANSCGSISQVSSFSLCFSSLQVFHIWCFLFIRS
+>DECOY_NM_004830|678050_2_1141_1253
+SRIFLFCWIHFVQLSSFCLSFSSVQSISGCSNAQEGM
+>NM_182527|678071_2_218_354
+MASSPSRSWAQPCAHWVTCPTRWSWRSSSSGWTWMVMVKWTLRSL
+>DECOY_NM_182527|678071_2_218_354
+LSRLTWKVMVMWTWGSSSSRWSWRTPCTVWHACPQAWSRSPSSAM
+>NM_005238|678096_2_800_1080
+MVLSMPSVFHHRSSQSPASSQSPIRRSIPSARKSSSPSSMRMTTPRSFSETLSRQTPCRMTTLLSNKKSSPQTTCAWGGPVVVNSGARTLLKA
+>DECOY_NM_005238|678096_2_800_1080
+AKLLTRAGSNVVVPGGWACTTQPSSKKNSLLTTMRCPTQRSLTESFSRPTTMRMSSPSSSKRASPISRRIPSQSSAPSQSSRHHFVSPMSLVM
+>NM_005238|678102_2_1391_1467
+MAGNSNFLTQMRWPGDGERGKTNLR
+>DECOY_NM_005238|678102_2_1391_1467
+RLNTKGREGDGPWRMQTLFNSNGAM
+>NM_144689|678195_2_1419_1936
+MAHNLLNIREYTLVRNPINVRNVEKRLIVAHSLHDTRGFILVRNPMNVKNVEKPLVVAQNLLNMSEFTQVRNPMNVRNVGNLLFVVPSLLNIRESILVRNLMNVKNVEWPLLRVHIFPNIKDFTLVRNPMCVMNVERPLRVAYYLYNIREFILVRNHINVRNVGKPLFVVHS
+>DECOY_NM_144689|678195_2_1419_1936
+SHVVFLPKGVNRVNIHNRVLIFERINYLYYAVRLPREVNMVCMPNRVLTFDKINPFIHVRLLPWEVNKVNMLNRVLISERINLLSPVVFLLNGVNRVNMPNRVQTFESMNLLNQAVVLPKEVNKVNMPNRVLIFGRTDHLSHAVILRKEVNRVNIPNRVLTYERINLLNHAM
+>NM_144689|678203_2_2007_2188
+MALSLLYIRESILVRSPMNAENVERPLLRVHIFLGIREFILVRNHINVRNVGRPLLVVHS
+>DECOY_NM_144689|678203_2_2007_2188
+SHVVLLPRGVNRVNIHNRVLIFERIGLFIHVRLLPREVNEANMPSRVLISERIYLLSLAM
+>NM_181453|678330_2_114_208
+MGWLHQLPLGPGNLSWKHCPKKTSSSLPRNR
+>DECOY_NM_181453|678330_2_114_208
+RNRPLSSSTKKPCHKWSLNGPGLPLQHLWGM
+>NM_207303|678408_2_522_682
+MASSTWRSTRRCPSPSRARGPAPASRAAVSTPPASATRAGWGTSASTARAGSS
+>DECOY_NM_207303|678408_2_522_682
+SSGARATSASTGWGARTASAPPTSVAARSAPAPGRARSPSPCRRTSRWTSSAM
+>NM_207303|678410_2_1038_1174
+MGSVQLVSLFQVKYIVNVINTGRVKLVIFLTVKPIAAVQITVTVT
+>DECOY_NM_207303|678410_2_1038_1174
+TVTVTIQVAAIPKVTLFIVLKVRGTNIVNVIYKVQFLSVLQVSGM
+>NM_207303|678411_2_1452_1669
+MDTLLLYIRKTSLCMEAELKQMMAMSQMNYGFLTYIVSHGVQKLLLFLDMVSSMLWRDIQHILWSWIVEMLS
+>DECOY_NM_207303|678411_2_1452_1669
+SLMEVIWSWLIHQIDRWLMSSVMDLFLLLKQVGHSVIYTLFGYNMQSMAMMQKLEAEMCLSTKRIYLLLTDM
+>NM_207303|678414_2_1518_1669
+MAMSQMNYGFLTYIVSHGVQKLLLFLDMVSSMLWRDIQHILWSWIVEMLS
+>DECOY_NM_207303|678414_2_1518_1669
+SLMEVIWSWLIHQIDRWLMSSVMDLFLLLKQVGHSVIYTLFGYNMQSMAM
+>NM_207303|678426_2_3090_3178
+MAWSVCGAAVRNDVLTLMPISSLFHMDNV
+>DECOY_NM_207303|678426_2_3090_3178
+VNDMHFLSSIPMLTLVDNRVAAGCVSWAM
+>NM_207303|678428_2_3435_3634
+MDIALASIIMCANSVKISPQESSVKIVCQVIMEIQPMVDSAQLVHAVAMQISVICTQENVSAQLKE
+>DECOY_NM_207303|678428_2_3435_3634
+EKLQASVNEQTCIVSIQMAVAHVLQASDVMPQIEMIVQCVIKVSSEQPSIKVSNACMIISALAIDM
+>NM_207303|678430_2_3528_3634
+MEIQPMVDSAQLVHAVAMQISVICTQENVSAQLKE
+>DECOY_NM_207303|678430_2_3528_3634
+EKLQASVNEQTCIVSIQMAVAHVLQASDVMPQIEM
+>NM_207303|678446_3_1567_1652
+MEYKNSYCSWTWSAVCCGGTFSTYYGVG
+>DECOY_NM_207303|678446_3_1567_1652
+GVGYYTSFTGGCCVASWTWSCYSNKYEM
+>NM_207303|678449_3_4138_4232
+MEDQTNLLGFSTERATASRTTADGQPSLCFC
+>DECOY_NM_207303|678449_3_4138_4232
+CFCLSPQGDATTRSATARETSFGLLNTQDEM
+>NM_004027|678486_2_421_530
+MVPGPVQCSGLPPSTWRPTCWASLWQEIYKTQMSPF
+>DECOY_NM_004027|678486_2_421_530
+FPSMQTKYIEQWLSAWCTPRWTSPPLGSCQVPGPVM
+>NM_004027|678491_2_1435_1595
+MEDQIRTTTSSPLGRQQHTAKVLSQEVSAKSCTNLKRPRNILRSVVHHLAASP
+>DECOY_NM_004027|678491_2_1435_1595
+PSAALHHVVSRLINRPRKLNTCSKASVEQSLVKATHQQRGLPSSTTTRIQDEM
+>NM_004027|678497_2_3028_3104
+MAWPRRSSPRPWSACAVRVVEEKIQ
+>DECOY_NM_004027|678497_2_3028_3104
+QIKEEVVRVACASWPRPSSRRPWAM
+>NM_001134225|678623_2_1435_1580
+MEDQIRTTTSSPLGRQQHTAKVLSQEVSAKSCTNLKRPRNIHHLAASP
+>DECOY_NM_001134225|678623_2_1435_1580
+PSAALHHINRPRKLNTCSKASVEQSLVKATHQQRGLPSSTTTRIQDEM
+>NM_003002|678728_3_186_262
+MVWSAAHTLVTEPPFWLQGCISPLD
+>DECOY_NM_003002|678728_3_186_262
+DLPSICGQLWFPPETVLTHAASWVM
+>NM_194277|678766_2_764_870
+MVKGCRFTWLLLTWEYWCYGEIQRSILLTGLKSAS
+>DECOY_NM_194277|678766_2_764_870
+SASKLGTLLISRQIEGYCWYEWTLLLWTFRCGKVM
+>NM_032726|678797_2_406_506
+MAMIPSCCVAWQRSSPWSRASPLSSMAAAPTWT
+>DECOY_NM_032726|678797_2_406_506
+TWTPAAAMSSLPSARSWPSSRQWAVCCSPIMAM
+>NM_032726|678805_2_2224_2303
+MVLIHTGGRHYVSGCWCLNLPCCVLW
+>DECOY_NM_032726|678805_2_2224_2303
+WLVCCPLNLCWCGSVYHRGGTHILVM
+>NM_032726|678809_3_536_651
+MDARAPAVGGSCHQHGPSGAPGPMAERLVSTWRQKSGW
+>DECOY_NM_032726|678809_3_536_651
+WGSKQRWTSVLREAMPGPAGSPGHQHCSGGVAPARADM
+>NM_007186|678880_3_3253_3638
+MGEGALLAPAGAGKGSGELRKGKNGAGNEAKGAADRNGGHPGPEGRRTDPGRECPMPDAAGNREGESIPPGDTAADAEGASRCQPTTGTTEAGHESPEIKGAGDHWDTTDPAPGGSTGAEGGSPAAQR
+>DECOY_NM_007186|678880_3_3253_3638
+RQAAPSGGEAGTSGGPAPDTTDWHDGAGKIEPSEHGAETTGTTPQCRSAGEADAATDGPPISEGERNGAADPMPCERGPDTRRGEPGPHGGNRDAAGKAENGAGNKGKRLEGSGKGAGAPALLAGEGM
+>NM_144988|678891_3_118_203
+MGSASFHGRYAPGVSQYLGSGWVRWAYH
+>DECOY_NM_144988|678891_3_118_203
+HYAWRVWGSGLYQSVGPAYRGHFSASGM
+>NM_015325|678968_2_958_1040
+MVRYLQHRAALSGPQMCRHASQNCPWR
+>DECOY_NM_015325|678968_2_958_1040
+RWPCNQSAHRCMQPGSLAARHQLYRVM
+>NM_015325|678977_2_3160_3245
+MGRSKGSLRPQIWTPVGHMAVRCFQPQK
+>DECOY_NM_015325|678977_2_3160_3245
+KQPQFCRVAMHGVPTWIQPRLSGKSRGM
+>NM_015325|678978_2_3457_3548
+MERHRIPPKVACLVPYIVTQAFERGETTLR
+>DECOY_NM_015325|678978_2_3457_3548
+RLTTEGREFAQTVIYPVLCAVKPPIRHREM
+>NM_014363|679080_2_2606_2727
+MAILSPSPHLYQTKMSFILPQQNIQGPFSQVLKEDLFWIT
+>DECOY_NM_014363|679080_2_2606_2727
+TIWFLDEKLVQSFPGQINQQPLIFSMKTQYLHPSPSLIAM
+>NM_014363|679092_2_7931_8010
+MARYYCQILILCFSLLNRYATMIALG
+>DECOY_NM_014363|679092_2_7931_8010
+GLAIMTAYRNLLSFCLILIQCYYRAM
+>NM_014363|679121_3_6309_6388
+MEYHVHETCYCESLLTGTECLTGPGH
+>DECOY_NM_014363|679121_3_6309_6388
+HGPGTLCETGTLLSECYCTEHVHYEM
+>NM_016631|679165_3_2001_2110
+MAFKILHILQRCLHWPLFAKIIQPPHTTSAPHLDSS
+>DECOY_NM_016631|679165_3_2001_2110
+SSDLHPASTTHPPQIIKAFLPWHLCRQLIHLIKFAM
+>NM_016631|679166_3_2694_2782
+MVHESERRKDYFSVRKLLPIPCTLSGYNL
+>DECOY_NM_016631|679166_3_2694_2782
+LNYGSLTCPIPLLKRVSFYDKRRESEHVM
+>NM_025193|679242_2_782_858
+MAGSMWAMLPGCTCWQPGSWSSGQP
+>DECOY_NM_025193|679242_2_782_858
+PQGSSWSGPQWCTCGPLMAWMSGAM
+>NM_025193|679243_2_887_1062
+MDHPTGATRISTWSSWAPADCGWWAPAHCCPTGCWCSWLPSMPCCSGCCGHWCSTHPC
+>DECOY_NM_025193|679243_2_887_1062
+CPHTSCWHGCCGSCCPMSPLWSCWCGTPCCHAPAWWGCDAPAWSSWTSIRTAGTPHDM
+>NM_015327|679269_2_369_601
+MGERLRSCCGERYTMKLSSLSRLTKSTSTAGALWNVPTGRTWLLVLASTSISFSISSPTTSWNCSAASTGPMSLTPS
+>DECOY_NM_015327|679269_2_369_601
+SPTLSMPGTSAASCNWSTTPSSISFSISTSALVLLWTRGTPVNWLAGATSTSKTLRSLSSLKMTYREGCCSRLREGM
+>NM_015327|679276_2_1395_1468
+MAQMNQSPRNLWRKRRSQILSLLL
+>DECOY_NM_015327|679276_2_1395_1468
+LLLSLIQSRRKRWLNRPSQNMQAM
+>NM_015327|679279_2_2859_2953
+MGFGTWRQSLKKETGTFAARKRWERALSGIS
+>DECOY_NM_015327|679279_2_2859_2953
+SIGSLAREWRKRAAFTGTEKKLSQRWTGFGM
+>NM_173688|679387_3_446_552
+MVERTWAWLCQKSAASLSPWHDGRLHVRLCHRLHR
+>DECOY_NM_173688|679387_3_446_552
+RHLRHCLRVHLRGDHWPSLSAASKQCLWAWTREVM
+>NM_015292|679435_2_3051_3148
+MDVILLIPMCHCCYCQTRTEAPRGGPHRRRGP
+>DECOY_NM_015292|679435_2_3051_3148
+PGRRRHPGGRPAETRTQCYCCHCMPILLIVDM
+>NM_015292|679442_3_1390_1691
+MAVTFVRCRETGAGSTVELGSLLSTRSPVSCHLSCLPGSGPGSSSEEGEQGTQPYGTTVNSGCDSGEQGCLQYQLPSVGGSVPVLPTRPSKPGARCASEG
+>DECOY_NM_015292|679442_3_1390_1691
+GESACRAGPKSPRTPLVPVSGGVSPLQYQLCGQEGSDCGSNVTTGYPQTGQEGEESSSGPGSGPLCSLHCSVPSRTSLLSGLEVTSGAGTERCRVFTVAM
+>NM_004858|679494_2_2237_2313
+MDPTLLMSSFGPVFSFSPPSSSQAP
+>DECOY_NM_004858|679494_2_2237_2313
+PAQSSSPPSFSFVPGFSSMLLTPDM
+>NM_031913|679643_2_1538_1617
+MVAFPLPFSWSSWRVPATCRETLLTT
+>DECOY_NM_031913|679643_2_1538_1617
+TTLLTERCTAPVRWSSWSFPLPFAVM
+>NM_003816|679772_2_2029_2150
+MDMGYVIAIRIVTVKMAGLPQIVRLKDTEEVWTVDLHTMK
+>DECOY_NM_003816|679772_2_2029_2150
+KMTHLDVTWVEETDKLRVIQPLGAMKVTVIRIAIVYGMDM
+>NM_003816|679773_2_2035_2150
+MGYVIAIRIVTVKMAGLPQIVRLKDTEEVWTVDLHTMK
+>DECOY_NM_003816|679773_2_2035_2150
+KMTHLDVTWVEETDKLRVIQPLGAMKVTVIRIAIVYGM
+>NM_003816|679774_2_2074_2150
+MAGLPQIVRLKDTEEVWTVDLHTMK
+>DECOY_NM_003816|679774_2_2074_2150
+KMTHLDVTWVEETDKLRVIQPLGAM
+>NM_001740|680123_2_572_648
+MANWASQRCPDSCLSRKTSCLNFRA
+>DECOY_NM_001740|680123_2_572_648
+ARFNLCSTKRSLCSDPCRQSAWNAM
+>NM_000669|680135_2_461_849
+MAPGGSPAAGSPSTTSSASAPSPSTQWWMRMQWPKLMQPRPWRKSASLAVDFRLVMGLQSKLPRSPQGLPVLCLAWEGSAYLLLWAVKQLEQPESLLWTSTRTNLQRLKSWVPLNASTLKTTRNPFRKC
+>DECOY_NM_000669|680135_2_461_849
+CKRFPNRTTKLTSANLPVWSKLRQLNTRTSTWLLSEPQELQKVAWLLLYASGEWALCLVPLGQPSRPLKSQLGMVLRFDVALSASKRWPRPQMLKPWQMRMWWQTSPSPASASSTTSPSGAAPSGGPAM
+>NM_000669|680139_2_626_849
+MGLQSKLPRSPQGLPVLCLAWEGSAYLLLWAVKQLEQPESLLWTSTRTNLQRLKSWVPLNASTLKTTRNPFRKC
+>DECOY_NM_000669|680139_2_626_849
+CKRFPNRTTKLTSANLPVWSKLRQLNTRTSTWLLSEPQELQKVAWLLLYASGEWALCLVPLGQPSRPLKSQLGM
+>NM_000673|680214_2_673_839
+MALLLKLARSNLVPLASSLAWEELACQSSWAVSQLVHLGSLGLTSTKTNLRRPWL
+>DECOY_NM_000673|680214_2_673_839
+LWPRRLNTKTSTLGLSGLHVLQSVAWSSQCALEEWALSSALPVLNSRALKLLLAM
+>NM_004059|680408_2_486_577
+MGPCSQPSRPWWTKETRSSSSNPFLTATSP
+>DECOY_NM_004059|680408_2_486_577
+PSTATLFPNSSSSRTEKTWWPRSPQSCPGM
+>NM_004059|680409_2_636_904
+MENWVPAATGSWTPWSWPANSHHAPKPWSSTPPTTPWARCSPGKSWSWWPAFASSMTWCVSLMKSTSGWSTTGTSTSALPASLACGNGP
+>DECOY_NM_004059|680409_2_636_904
+PGNGCALSAPLASTSTGTTSWGSTSKMLSVCWTMSSAFAPWWSWSKGPSCRAWPTTPPTSSWPKPAHHSNAPWSWPTWSGTAAPVWNEM
+>NM_002354|680487_2_632_714
+MGFMILTAMRAGSLRPSSATAPPCAGV
+>DECOY_NM_002354|680487_2_632_714
+VGACPPATASSPRLSGARMATLIMFGM
+>NM_000672|680710_2_953_1038
+MGSVWLLGCCLPVFNSKSVASCSSQDVL
+>DECOY_NM_000672|680710_2_953_1038
+LVDQSSCSAVSKSNFVPLCCGLLWVSGM
+>NM_016166|680897_2_394_503
+MVTLHHRHYSLFLFWDLNMNWNSHILHQLFTQSIRI
+>DECOY_NM_016166|680897_2_394_503
+IRISQTFLQHLIHSNWNMNLDWFLFLSYHRHHLTVM
+>NM_016166|680898_2_811_950
+MAWNQSDPADQLISPHLSDCPQQYQTRLLFLGLQKLEETIPWQYIL
+>DECOY_NM_016166|680898_2_811_950
+LIYQWPITEELKQLGLFLLRTQYQQPCDSLHPSILQDAPDSQNWAM
+>NM_013955|680962_3_1213_1343
+MASFYFDLCSRGRFLLHSYPSSRGLDRKSHKGFRTTIFTNSQD
+>DECOY_NM_013955|680962_3_1213_1343
+DQSNTFITTRFGKHSKRDLGRSSPYSHLLFRGRSCLDFYFSAM
+>NM_001202|681007_2_1431_1552
+MGTAPFHWLTTSTQPTMPLCRPWSILSIPVSPKPVVCPLN
+>DECOY_NM_001202|681007_2_1431_1552
+NLPCVVPKPSVPISLISWPRCLPMTPQTSTTLWHFPATGM
+>NM_002180|681047_2_1999_2297
+MGKYARPLSILTILSQKTIPMRTPRVPATLPPSPRDLLRPPGPEASGRREARRLQHLPDRAGRSRLGSLWPLKLHLSPASTEAAQREWRAKMAWTTSGP
+>DECOY_NM_002180|681047_2_1999_2297
+PGSTTWAMKARWERQAAETSAPSLHLKLPWLSGLRSRGARDPLHQLRRAERRGSAEPGPPRLLDRPSPPLTAPVRPTRMPITKQSLITLISLPRAYKGM
+>NM_000927|681095_2_1886_1959
+MAVKMSPWMRLRKLSRKPMPMTLS
+>DECOY_NM_000927|681095_2_1886_1959
+SLTMPMPKRSLKRLRMWPSMKVAM
+>NM_015515|681118_2_800_882
+MGRPPCRISTTAWPPTWRRFAPWRRPT
+>DECOY_NM_015515|681118_2_800_882
+TPRRWPAFRRWTPPWATTSIRCPPRGM
+>NM_001079911|681144_2_651_751
+MGRAAMETAPSWTMRECRVMTQRASGVKGETPE
+>DECOY_NM_001079911|681144_2_651_751
+EPTEGKVGSARQTMVRCERMTWSPATEMAARGM
+>NM_001079911|681145_2_666_751
+METAPSWTMRECRVMTQRASGVKGETPE
+>DECOY_NM_001079911|681145_2_666_751
+EPTEGKVGSARQTMVRCERMTWSPATEM
+>NM_004481|681176_2_250_494
+MEKRKHKAWRPSLQGKYGGQTLTRKLMLEGRWSAPGRTLTPATSSTRWRVISFEWTEPSLTPGMTSVSGSSGGWICRPPAW
+>DECOY_NM_004481|681176_2_250_494
+WAPPRCIWGGSSGSVSTMGPTLSPETWEFSIVRWRTSSTAPTLTRGPASWRGELMLKRTLTQGGYKGQLSPRWAKHKRKEM
+>NM_004481|681190_3_1514_1620
+MGLDEGEVGEAHGFVPYCGGPGTGLSYKAAGLPRK
+>DECOY_NM_004481|681190_3_1514_1620
+KRPLGAAKYSLGTGPGGCYPVFGHAEGVEGEDLGM
+>NM_001130976|681264_2_3776_3906
+MGTATIYAATCTRPGTWLRWTRTLFLIPMPSSPSCTRARRRWW
+>DECOY_NM_001130976|681264_2_3776_3906
+WWRRRARTCSPSSPMPILFLTRTWRLWTGPRTCTAAYITATGM
+>NM_001130976|681277_3_4347_4474
+MGPAEHEELPAGQHLLPQPRGRVWGPDGAVLCHQEPPEEPQL
+>DECOY_NM_001130976|681277_3_4347_4474
+LQPEEPPEQHCLVAGDPGWVRGRPQPLLHQGAPLEEHEAPGM
+>NM_001125|681357_2_359_435
+MGSGSSSRMGRRYTGSWPSWAAWMP
+>DECOY_NM_001125|681357_2_359_435
+PMWAAWSPWSGTYRRGMRSSSGSGM
+>NM_002412|681555_3_415_632
+MEAAEGCEIRRSDFLPAISSPGRQPQSRASSGRSNERQSCPHPHPVPQSGLQQRSRGQLLRRTGREGMASGP
+>DECOY_NM_002412|681555_3_415_632
+PGSAMGERGTRRLLQGRSRQQLGSQPVPHPHPCSQRENSRGSSARSQPQRGPSSIAPLFDSRRIECGEAAEM
+>NM_000089|681579_2_628_755
+MVKMVPQALLVHLVLLAPLVSVGTLLLSMMEKELDLALDQWA
+>DECOY_NM_000089|681579_2_628_755
+AWQDLALDLEKEMMSLLLTGVSVLPALLVLHVLLAQPVMKVM
+>NM_000089|681580_2_637_755
+MVPQALLVHLVLLAPLVSVGTLLLSMMEKELDLALDQWA
+>DECOY_NM_000089|681580_2_637_755
+AWQDLALDLEKEMMSLLLTGVSVLPALLVLHVLLAQPVM
+>NM_000089|681581_2_901_1034
+MVTLENPDDLVREELLDHRVLVVSLELLDFLASKALGDTMVWMD
+>DECOY_NM_000089|681581_2_901_1034
+DMWVMTDGLAKSALFDLLELSVVLVRHDLLEERVLDDPNELTVM
+>NM_000089|681582_2_1090_1664
+MELQVKQEPVGFLVREDVLVPLAQLVPVAVMEVWVPWVLLVPLGLLALQASQVPLAPRVKLELLVTLVLLVPPVPVVKWVFQASPAPLDLLVILEQTALLVPRVLLAFPALLGLPASLDPAVFLALLVLPVLLVPEDLLVSLVQLAPKERAVTRVSPALLGPKVLLVPVVKKEREALMGKLDLPALQDLLG
+>DECOY_NM_000089|681582_2_1090_1664
+GLLDQLAPLDLKGMLAEREKKVVPVLLVKPGLLAPSVRTVAREKPALQVLSVLLDEPVLLVPLVLLALFVAPDLSAPLGLLAPFALLVRPVLLATQELIVLLDLPAPSAQFVWKVVPVPPVLLVLTVLLELKVRPALPVQSAQLALLGLPVLLVWPVWVEMVAVPVLQALPVLVDERVLFGVPEQKVQLEM
+>NM_000089|681583_2_1180_1664
+MEVWVPWVLLVPLGLLALQASQVPLAPRVKLELLVTLVLLVPPVPVVKWVFQASPAPLDLLVILEQTALLVPRVLLAFPALLGLPASLDPAVFLALLVLPVLLVPEDLLVSLVQLAPKERAVTRVSPALLGPKVLLVPVVKKEREALMGKLDLPALQDLLG
+>DECOY_NM_000089|681583_2_1180_1664
+GLLDQLAPLDLKGMLAEREKKVVPVLLVKPGLLAPSVRTVAREKPALQVLSVLLDEPVLLVPLVLLALFVAPDLSAPLGLLAPFALLVRPVLLATQELIVLLDLPAPSAQFVWKVVPVPPVLLVLTVLLELKVRPALPVQSAQLALLGLPVLLVWPVWVEM
+>NM_000089|681589_2_2053_2513
+MVLRDLLDHRVFKVEKVNRVPLVLQASRVCLAPQVPLVKLANQEKGVSMVSLVSLVLLVQEGNAVPQVRVVLPVLLVLLEAEVLLDPQGLMETRVNLVWLVLWALLVHLVLVDSQERGVLLAYLEAREKRVNLVSEVKLVTLAEMVLVVLLVL
+>DECOY_NM_000089|681589_2_2053_2513
+LVLLVVLVMEALTVLKVESVLNVRKERAELYALLVGREQSDVLVLHVLLAWLVLWVLNVRTEMLGQPDLLVEAELLVLLVPLVVRVQPVANGEQVLLVLSVLSVMSVGKEQNALKVLPVQPALCVRSAQLVLPVRNVKEVKFVRHDLLDRLVM
+>NM_000089|681593_2_3250_3536
+MATLGTMVPQVAMVNPDTRESAVTLAILVPLVLQVHLVLMAPWVLLANMETVVKLVLLVLLVLLVLLAQEVLVAHKAFVAIRESPVKRGPEVFLA
+>DECOY_NM_000089|681593_2_3250_3536
+ALFVEPGRKVPSERIAVFAKHAVLVEQALLVLLVLLVLLVLKVVTEMNALLVWPAMLVLHVQLVLPVLIALTVASERTDPNVMAVQPVMTGLTAM
+>NM_000089|681594_2_3268_3536
+MVPQVAMVNPDTRESAVTLAILVPLVLQVHLVLMAPWVLLANMETVVKLVLLVLLVLLVLLAQEVLVAHKAFVAIRESPVKRGPEVFLA
+>DECOY_NM_000089|681594_2_3268_3536
+ALFVEPGRKVPSERIAVFAKHAVLVEQALLVLLVLLVLLVLKVVTEMNALLVWPAMLVLHVQLVLPVLIALTVASERTDPNVMAVQPVM
+>NM_000089|681595_2_3286_3536
+MVNPDTRESAVTLAILVPLVLQVHLVLMAPWVLLANMETVVKLVLLVLLVLLVLLAQEVLVAHKAFVAIRESPVKRGPEVFLA
+>DECOY_NM_000089|681595_2_3286_3536
+ALFVEPGRKVPSERIAVFAKHAVLVEQALLVLLVLLVLLVLKVVTEMNALLVWPAMLVLHVQLVLPVLIALTVASERTDPNVM
+>NM_000089|681597_2_3394_3536
+METVVKLVLLVLLVLLVLLAQEVLVAHKAFVAIRESPVKRGPEVFLA
+>DECOY_NM_000089|681597_2_3394_3536
+ALFVEPGRKVPSERIAVFAKHAVLVEQALLVLLVLLVLLVLKVVTEM
+>NM_000089|681598_2_3547_3779
+MDCKVCLVSLVTMVIKVLLAPWVLLVLGALLVLLALLEKMVALDILVQLDLLAFEALRVTKALLAPLVPLALLDLQV
+>DECOY_NM_000089|681598_2_3547_3779
+VQLDLLALPVLPALLAKTVRLAEFALLDLQVLIDLAVMKELLALLVLLAGLVLLVWPALLVKIVMTVLSVLCVKCDM
+>NM_000089|681599_2_3583_3779
+MVIKVLLAPWVLLVLGALLVLLALLEKMVALDILVQLDLLAFEALRVTKALLAPLVPLALLDLQV
+>DECOY_NM_000089|681599_2_3583_3779
+VQLDLLALPVLPALLAKTVRLAEFALLDLQVLIDLAVMKELLALLVLLAGLVLLVWPALLVKIVM
+>NM_000089|681600_2_3664_3779
+MVALDILVQLDLLAFEALRVTKALLAPLVPLALLDLQV
+>DECOY_NM_000089|681600_2_3664_3779
+VQLDLLALPVLPALLAKTVRLAEFALLDLQVLIDLAVM
+>NM_000089|681602_2_3808_3887
+METSTGLTSLAQHLLSDPRTMKLMLL
+>DECOY_NM_000089|681602_2_3808_3887
+LLMLKMTRPDSLLHQALSTLGTSTEM
+>NM_172197|681768_3_173_261
+METGRRPLGQCGSCPSQRLPLPSGCRDPG
+>DECOY_NM_172197|681768_3_173_261
+GPDRCGSPLPLRQSPCSGCQGLPRRGTEM
+>NM_001136574|681787_2_247_335
+MAPVTLAGQVLLCFTYIFMMYLGTLPTYS
+>DECOY_NM_001136574|681787_2_247_335
+SYTPLTGLYMMFIYTFCLLVQGALTVPAM
+>NM_001136574|681789_2_1147_1235
+MENMDAEHQTPLSLSLKEWLEQYISWLTC
+>DECOY_NM_001136574|681789_2_1147_1235
+CTLWSIYQELWEKLSLSLPTQHEADMNEM
+>NM_001136574|681790_2_1156_1235
+MDAEHQTPLSLSLKEWLEQYISWLTC
+>DECOY_NM_001136574|681790_2_1156_1235
+CTLWSIYQELWEKLSLSLPTQHEADM
+>NM_022002|681905_2_820_992
+MAVSGTTNPQPTVAGKRSSPCCPTWLTCQPTCSKASSALPKSSPTSGTCPSRTRSPC
+>DECOY_NM_022002|681905_2_820_992
+CPSRTRSPCTGSTPSSKPLASSAKSCTPQCTLWTPCCPSSRKGAVTPQPNTTGSVAM
+>NM_057179|681918_2_255_433
+MAARPRASAARRAAPARSPSRSCRASASWPTCASASAPSRSTRPSRRCARSSPRCPLTS
+>DECOY_NM_057179|681918_2_255_433
+STLPCRPSSRACRRSPRTSRSPASASACTPWSASARCSRSPSRAPAARRAASARPRAAM
+>NM_004787|682114_2_706_929
+MGHSGLSGTWKCSLSTITTLLDFLWQVSTICLNLGLFDCIQTTCIVTATWPGSPTGFAKGLGLVCTLSVWAPPT
+>DECOY_NM_004787|682114_2_706_929
+TPPAWVSLTCVLGLGKAFGTPSGPWTATVICTTQICDFLGLNLCITSVQWLFDLLTTITSLSCKWTGSLGSHGM
+>NM_004787|682123_2_2992_3125
+MAHVIVIQLTFTDAPVHMVSRGRTVMSQFMPASVTHVNMEELAT
+>DECOY_NM_004787|682123_2_2992_3125
+TALEEMNVHTVSAPMFQSMVTRGRSVMHVPADTFTLQIVIVHAM
+>NM_004787|682125_2_3142_3335
+MDSGVFVLMDLKEKIVKSTLMIVKIMTVKIILHVSMALITTHAFAHLSIQVSCVRRSWTSVPRT
+>DECOY_NM_004787|682125_2_3142_3335
+TRPVSTWSRRVCSVQISLHAFAHTTILAMSVHLIIKVTMIKVIMLTSKVIKEKLDMLVFVGSDM
+>NM_004787|682132_2_3913_4022
+METSTLWNYLPWIRVSLCPWMVGTPKSSLTCQSSPL
+>DECOY_NM_004787|682132_2_3913_4022
+LPSSQCTLSSKPTGVMWPCLSVRIWPLYNWLTSTEM
+>NM_004787|682137_2_4405_4577
+MEVSSVMKRRICLTHARRSSASTGSAGFQVWGSPTVNAAVDTRGTAVIEKSLVEGKG
+>DECOY_NM_004787|682137_2_4405_4577
+GKGEVLSKEIVATGRTDVAANVTPSGWVQFGASGTSASSRRAHTLCIRRKMVSSVEM
+>NM_002997|682148_2_402_511
+MALGMTLTTSPAQVQVLCKISPCHSRPPPLGRTRSS
+>DECOY_NM_002997|682148_2_402_511
+SSRTRGLPPPRSHCPSIKCLVQVQAPSTTLTMGLAM
+>NM_002997|682151_2_843_964
+MEVLLPPRGLLRMEPPVSSQQQRALGSRTSPLKPRGRIRL
+>DECOY_NM_002997|682151_2_843_964
+LRIRGRPKLPSTRSGLARQQQSSVPPEMRLLGRPPLLVEM
+>NM_002997|682152_2_879_964
+MEPPVSSQQQRALGSRTSPLKPRGRIRL
+>DECOY_NM_002997|682152_2_879_964
+LRIRGRPKLPSTRSGLARQQQSSVPPEM
+>NM_000658|682370_2_1140_1261
+MVRTCCGVLTAPLPSTGAATSQPAPPGPGRACAADPAQET
+>DECOY_NM_000658|682370_2_1140_1261
+TEQAPDAACARGPGPPAPQSTAAGTSPLPATLVGCCTRVM
+>NM_003743|682498_2_1258_1415
+MGQCLAPTPSVNFATLKVQTCNLSSWEFISSTGSTVGFLLKMTLILECQFPE
+>DECOY_NM_003743|682498_2_1258_1415
+EPFQCELILTMKLLFGVTSGTSSIFEWSSLNCTQVKLTAFNVSPTPALCQGM
+>NM_003743|682499_2_2068_2156
+MANLWIQGFCITMTDFQMETVNTLKPVTN
+>DECOY_NM_003743|682499_2_2068_2156
+NTVPKLTNVTEMQFDTMTICFGQIWLNAM
+>NM_000414|682548_2_820_893
+MVACLRLEQDGLENYAGSGLLELL
+>DECOY_NM_000414|682548_2_820_893
+LLELLGSGAYNELGDQELRLCAVM
+>NM_000414|682557_3_524_615
+MGTHEETEVWKDYYDFISFRNIWQLWPGQL
+>DECOY_NM_000414|682557_3_524_615
+LQGPWLQWINRFSIFDYYDKWVETEEHTGM
+>NM_000414|682558_3_848_921
+MDWKITLGADSWSYCKTKESPNDS
+>DECOY_NM_000414|682558_3_848_921
+SDNPSEKTKCYSWSDAGLTIKWDM
+>NM_002181|682615_2_487_668
+MDCWRAWQWRPALTGCITSQRPTCIAPSSPSTRPQPRRAAASLPEPRYAWRVGRVWPCQP
+>DECOY_NM_002181|682615_2_487_668
+PQCPWVRGVRWAYRPEPLSAAARRPQPRTSPSSPAICTPRQSTICGTLAPRWQWARWCDM
+>NM_002181|682616_2_1003_1091
+MGHWWWRMWWHPASRPWLTTTWLSWPSGP
+>DECOY_NM_002181|682616_2_1003_1091
+PGSPWSLWTTTLWPRSAPHWWMRWWWHGM
+>NM_002181|682618_3_74_201
+MGLRAGSGGGQPPATATQTRAARLQAVQPQCAREDPGRQRTL
+>DECOY_NM_002181|682618_3_74_201
+LTRQRGPDERACQPQVAQLRAARTQTATAPPQGGGSGARLGM
+>NM_004422|682716_2_796_878
+MALGATGLVAPQGWSATWPDTRAPLPS
+>DECOY_NM_004422|682716_2_796_878
+SPLPARTDPWTASWGQPAVLGTAGLAM
+>NM_004422|682720_2_1855_2321
+MAPVGLQTRIPWLLCLGPPPGPCCPLSPTNTLPHTPTARSLHPTMSFHLTPMVGAVPAASIVRAAGAVGRHGVMGGQGARGGPRSGPPSPSPAVAVSLSPPAEGAAFGGVGKQVGLAMGALLHPEAQLGVPLISEPTQGSIPMDRPLAWPSPTTP
+>DECOY_NM_004422|682720_2_1855_2321
+PTTPSPWALPRDMPISGQTPESILPVGLQAEPHLLAGMALGVQKGVGGFAAGEAPPSLSVAVAPSPSPPGSRPGGRAGQGGMVGHRGVAGAARVISAAPVAGVMPTLHFSMTPHLSRATPTHPLTNTPSLPCCPGPPPGLCLLWPIRTQLGVPAM
+>NM_004422|682723_2_2074_2321
+MGGQGARGGPRSGPPSPSPAVAVSLSPPAEGAAFGGVGKQVGLAMGALLHPEAQLGVPLISEPTQGSIPMDRPLAWPSPTTP
+>DECOY_NM_004422|682723_2_2074_2321
+PTTPSPWALPRDMPISGQTPESILPVGLQAEPHLLAGMALGVQKGVGGFAAGEAPPSLSVAVAPSPSPPGSRPGGRAGQGGM
+>NM_004422|682724_2_2206_2321
+MGALLHPEAQLGVPLISEPTQGSIPMDRPLAWPSPTTP
+>DECOY_NM_004422|682724_2_2206_2321
+PTTPSPWALPRDMPISGQTPESILPVGLQAEPHLLAGM
+>NM_005085|682765_2_253_350
+MVWSSLVEPVACRFFLLKIFLFKINPEMIPTK
+>DECOY_NM_005085|682765_2_253_350
+KTPIMEPNIKFLFIKLLFFRCAVPEVLSSWVM
+>NM_005085|682766_2_457_545
+MVPLLLFLMFAHSQMRLNSKNAHLPIISF
+>DECOY_NM_005085|682766_2_457_545
+FSIIPLHANKSNLRMQSHAFMLFLLLPVM
+>NM_005085|682767_2_748_878
+MELWSSIFLLCRKKKSFLVLRFMSQIILSEFWMCCGLVPTSSP
+>DECOY_NM_005085|682767_2_748_878
+PSSTPVLGCCMWFESLIIQSMFRLVLFSKKKRCLLFISSWLEM
+>NM_005085|682775_2_3340_3434
+MVHLVLPTPSQPRRQLPQQHSGGRWPVRHQL
+>DECOY_NM_005085|682775_2_3340_3434
+LQHRVPWRGGSHQQPLQRRPQSPTPLVLHVM
+>NM_001035256|682940_2_639_733
+MVPSRARARASAPTPWSTSAGASRWARSGAQ
+>DECOY_NM_001035256|682940_2_639_733
+QAGSRAWRSAGASTSWPTPASARARARSPVM
+>NM_001035256|682941_2_828_985
+MAPTALPMTAQGPRPTWSTACWWRPRRRTRAPTGWSTSAGAARPRTSATAVS
+>DECOY_NM_001035256|682941_2_828_985
+SVATASTRPRAAGASTSWGTPARTRRRPRWWCATSWTPRPGQATMPLATPAM
+>NM_015120|682987_2_7762_7883
+MEEQLTCPRVYRVHGEWDASQKLYVVTLLLRAMKRDVSGL
+>DECOY_NM_015120|682987_2_7762_7883
+LGSVDRKMARLLLTVVYLKQSADWEGHVRYVRPCTLQEEM
+>NM_015120|682994_3_131_489
+MAGRAGGGGGGGGGGGGGGRGGGCSGGGGERGRRSGRGGGGGRGGAGVGLRLSLRAPASGKYRRRGGRGGQGLAAGAPRQDFASAVAPAAPLLGGRADLPGEDCSIDLSCMATDSISRQ
+>DECOY_NM_015120|682994_3_131_489
+QRSISDTAMCSLDISCDEGPLDARGGLLPAAPAVASAFDQRPAGAALGQGGRGGRRRYKGSAPARLSLRLGVGAGGRGGGGGRGSRRGREGGGGSCGGGRGGGGGGGGGGGGGGARGAM
+>NM_004392|683130_2_1450_1526
+MDNHCLQVFHLLFCFLMDCLPSRLF
+>DECOY_NM_004392|683130_2_1450_1526
+FLRSPLCDMLFCFLLHFVQLCHNDM
+>NM_012242|683162_2_557_795
+MEYVCLLIKIISEEKLRKPSLKALVMIIAPWMGIPEEPPCLQKCITPKDKKVLFVSGHQTVPQDCVVLDTSGPRSVNLS
+>DECOY_NM_012242|683162_2_557_795
+SLNVSRPGSTDLVVCDQPVTQHGSVFLVKKDKPTICKQLCPPEEPIGMWPAIIMVLAKLSPKRLKEESIIKILLCVYEM
+>NM_002613|683260_2_634_722
+MENYLNIFAKSVHSMRPVPDFTRLRLCLL
+>DECOY_NM_002613|683260_2_634_722
+LLCLRLRTFDPVPRMSHVSKAFINLYNEM
+>NM_024420|683356_2_1280_1371
+MVLLWLPTYLEANFLWEQSLRSMKKTPCIS
+>DECOY_NM_024420|683356_2_1280_1371
+SICPTKKMSRLSQEWLFNAELYTPLWLLVM
+>NM_000133|683371_2_441_634
+MADASSFVKIVLITRWFAPVLRDIDLQKTRSPVNQQCHFHVEEFLFHKLLSSPVLRLFFLMWTM
+>DECOY_NM_000133|683371_2_441_634
+MTWMLFFLRLVPSSLLKHFLFEEVHFHCQQNVPSRTKQLDIDRLVPAFWRTILVIKVFSSADAM
+>NM_002615|683389_2_1256_1350
+MGREPPPAQGCSLPTSPSRWTITLTSLSSSY
+>DECOY_NM_002615|683389_2_1256_1350
+YSSSLSTLTITWRSPSTPLSCGQAPPPERGM
+>NM_032270|683466_3_307_494
+MVGCVYRLPLSSHAHDRRVWMYFTGHARQDNLPSEKSAACSEPLFPFECLSSSCQYHSTAST
+>DECOY_NM_032270|683466_3_307_494
+TSATSHYQCSSSLCEFPFLPESCAASKESPLNDQRAHGTFYMWVRRDHAHSSLPLRYVCGVM
+>NM_000193|683518_2_542_705
+MATTQRSLCTTRAAQWTSPRLTATAASTACWPAWRWRPASTGCTTSPRHISTAR
+>DECOY_NM_000193|683518_2_542_705
+RATSIHRPSTTCGTSAPRWRWAPWCATSAATATLRPSTWQAARTTCLSRQTTAM
+>NM_000161|683583_2_219_358
+MGSPSGIRRGPGPAGRRRSPRGPRPRARSPRTAGRASGPAARRITS
+>DECOY_NM_000161|683583_2_219_358
+STIRRAAPGSARGATRPSRARPRPGRPSRRRGAPGPGRRIGSPSGM
+>NM_152830|683665_3_681_1006
+MGMGGLARQGGESHPPVLPEIRGTHQPGCPAQWLCRCRGLVEVYVRDTIPGARPGAALPGAAATLPQPACLRAPGPAPSLRGPAHQPGGAHSCSPAGEHVGADLVQHL
+>DECOY_NM_152830|683665_3_681_1006
+LHQVLDAGVHEGAPSCSHAGGPQHAPGRLSPAPGPARLCAPQPLTAAAGPLAAGPRAGPITDRVYVEVLGRCRCLWQAPCGPQHTGRIEPLVPPHSEGGQRALGGMGM
+>NM_152830|683666_3_687_1006
+MGGLARQGGESHPPVLPEIRGTHQPGCPAQWLCRCRGLVEVYVRDTIPGARPGAALPGAAATLPQPACLRAPGPAPSLRGPAHQPGGAHSCSPAGEHVGADLVQHL
+>DECOY_NM_152830|683666_3_687_1006
+LHQVLDAGVHEGAPSCSHAGGPQHAPGRLSPAPGPARLCAPQPLTAAAGPLAAGPRAGPITDRVYVEVLGRCRCLWQAPCGPQHTGRIEPLVPPHSEGGQRALGGM
+>NM_004448|683692_2_1214_1296
+MEHSGVRSAASPVPECAMVWAWSTCER
+>DECOY_NM_004448|683692_2_1214_1296
+RECTSWAWVMACEPVPSAASRVGSHEM
+>NM_004448|683699_2_3008_3096
+MGSQPGRSLTCWKRGSGCPSPPSAPLMST
+>DECOY_NM_004448|683699_2_3008_3096
+TSMLPASPPSPCGSGRKWCTLSRGPQSGM
+>NM_170707|683793_2_940_1685
+MGSSVSLRAGWRMRCRNCGPSMRTRWSSIRRSWRRLILPSWTMPGSLLRGTATWWGLPTRSCSSRASASTASLPSSASSRSSWQPRRRSFETWRTHWPVSGTPAGGCWRKRSGRWPRCGQGCSSSWTSTRSFWTSSWPWTWRSTPTASSWRARRRGYACPPALPRSAAVAVLPLTHPRHRVGAASPKSANWSPLRAAAASHSTHALAGAWPWRRWMRRASLSGCATSPMRTSPWAIGRSSARMEMIPC
+>DECOY_NM_170707|683793_2_940_1685
+CPIMEMRASSRGIAWPSTRMPSTACGSLSARRMWRRWPWAGALAHTSHSAAAARLPSWNASKPSAAGVRHRPHTLPLVAVAASRPLAPPCAYGRRRARWSSATPTSRWTWPWSSTWFSRTSTWSSSCGQGCRPWRGSRKRWCGGAPTGSVPWHTRWTEFSRRRPQWSSRSSASSPLSATSASARSSCSRTPLGWWTATGRLLSGPMTWSPLILRRWSRRISSWRTRMSPGCNRCRMRWGARLSVSSGM
+>NM_012448|683909_2_542_654
+MVALQLEALLMPCPRNTSRSTRRLRSCDWSRRTQRMS
+>DECOY_NM_012448|683909_2_542_654
+SMRQTRRSWDCSRLRRTSRSTNRPCPMLLAELQLAVM
+>NM_032189|684049_2_3416_3537
+MGAHSLGTATQNSPLLPLCRAQATRAPVPRPPGTAPTLSR
+>DECOY_NM_032189|684049_2_3416_3537
+RSLTPATGPPRPVPARTAQARCLPLLPSNQTATGLSHAGM
+>NM_005931|684133_2_228_382
+MDLCSQGFSLRDIWMVSPSCAMTGRNAGQSPRDSGQKMSWELRPGTQRPRT
+>DECOY_NM_005931|684133_2_228_382
+TRPRQTGPRLEWSMKQGSDRPSQGANRGTMACSPSVMWIDRLSFGQSCLDM
+>NM_005931|684137_2_522_604
+MGSSSSPKTWRLKNRQCPSPPELRPWL
+>DECOY_NM_005931|684137_2_522_604
+LWPRLEPPSPCQRNKLRWTKPSSSSGM
+>NM_005931|684140_2_897_1147
+MEPTRPGWPPGFAKERSRGSPATWNTAGITALTLCPLGRRWCFRVNGQTFHMFLLLCHVLLLLLFSVSLVARRKHQRQRVQSL
+>DECOY_NM_005931|684140_2_897_1147
+LSQVRQRQHKRRAVLSVSFLLLLLVHCLLLFMHFTQGNVRFCWRRGLPCLTLATIGATNWTAPSGRSREKAFGPPWGPRTPEM
+>NM_000462|684282_2_2095_2177
+MVIKFQLQMKTGRNLSIFILTTFSINQ
+>DECOY_NM_000462|684282_2_2095_2177
+QNISFTTLIFISLNRGTKMQLQFKIVM
+>NM_000462|684283_2_2488_2588
+MAQTQKGYLHLILALMCFYFRNTQAKKNLKRDC
+>DECOY_NM_000462|684283_2_2488_2588
+CDRKLNKKAQTNRFYFCMLALILHLYGKQTQAM
+>NM_003005|684334_3_1927_2030
+MVSYSTNLQRHSITSYSRGAMSSPHHSWAGNHVL
+>DECOY_NM_003005|684334_3_1927_2030
+LVHNGAWSHHPSSMAGRSYSTISHRQLNTSYSVM
+>NM_004827|684419_2_2219_2337
+MDLRLCSIMNFWDKTSAQDSMQQETILVTMQHVLAKNIW
+>DECOY_NM_004827|684419_2_2219_2337
+WINKALVHQMTVLITEQQMSDQASTKDWFNMISCLRLDM
+>NM_000316|684466_2_654_769
+MAAGSWCLGTTGRGPTTASVSNFSPMRLVNGRCLTAWA
+>DECOY_NM_000316|684466_2_654_769
+AWATLCRGNVLRMPSFNSVSATTPGRGTTGLCWSGAAM
+>NM_000316|684469_2_1590_1717
+MARYKLRSRNLGAAGHWHWTSSERHAAGAAAIATAPWCPTQV
+>DECOY_NM_000316|684469_2_1590_1717
+VQTPCWPATAIAAAGAAHRESSTWHWHGAAGLNRSRLKYRAM
+>NM_000962|684538_2_1381_1547
+MGLRPWWMPSLARLLAGSVGAGTWTTTSCMWLWMSSGSLGRCGCSPSMSTARGLA
+>DECOY_NM_000962|684538_2_1381_1547
+ALGRATSMSPSCGCRGLSGSSMWLWMCSTTTWTGAGVSGALLRALSPMWWPRLGM
+>NM_000390|684594_2_1132_1253
+MATLHFCFLYMAKENSPSVSAGCVLCLVEFIVFAIQYSAL
+>DECOY_NM_000390|684594_2_1132_1253
+LASYQIAFVIFEVLCLVCGASVSPSNEKAMYLFCFHLTAM
+>NM_002116|684647_2_730_887
+MGRTRPRTRSSWRPGLQGMEPSRSGRLWWCLLERSRDTPAMCSMRVCPSPSP
+>DECOY_NM_002116|684647_2_730_887
+PSPSPCVRMSCMAPTDRSRELLCWWLRGSRSPEMGQLGPRWSSRTRPRTRGM
+>NM_002116|684648_2_784_887
+MEPSRSGRLWWCLLERSRDTPAMCSMRVCPSPSP
+>DECOY_NM_002116|684648_2_784_887
+PSPSPCVRMSCMAPTDRSRELLCWWLRGSRSPEM
+>NM_002116|684651_3_890_1014
+MGAVFPAHHPHRGHHCWPGSPWSCDHWSCGRCRDVEEEELR
+>DECOY_NM_002116|684651_3_890_1014
+RLEEEEVDRCRGCSWHDCSWPSGPWCHHGRHPHHAPFVAGM
+>NM_018951|684690_2_706_797
+MAAAAAARSNSGLARSPRSPRGAVSISRPR
+>DECOY_NM_018951|684690_2_706_797
+RPRSISVAGRPSRPSRALGSNSRAAAAAAM
+>NM_021138|684725_2_1108_1244
+MGSSSGRSQTSPGSARKLWLAAYPPSSPQPSTPAGTATRCVCVST
+>DECOY_NM_021138|684725_2_1108_1244
+TSVCVCRTATGAPTSPQPSSPPYAALWLKRASGPSTQSRGSSSGM
+>NM_178526|684864_2_515_594
+MASVEKPCPLGLASSPAHWLERQPLH
+>DECOY_NM_178526|684864_2_515_594
+HLPQRELWHAPSSALGLPCPKEVSAM
+>NM_032973|684955_2_3918_4078
+MVDWETMMQAALPAHPMACPLAILRRSTLIVLHPAIALKGMATPILNLLSYLD
+>DECOY_NM_032973|684955_2_3918_4078
+DLYSLLNLIPTAMGKLAIAPHLVILTSRRLIALPCAMPHAPLAAQMMTEWDVM
+>NM_000410|684993_2_581_789
+MGRTTLNSALTHWIGEQQNPGPGPPSWSGKGTRFGPGRTGPTWRGTALHSCSSCWSWGEVFWTNKCLLW
+>DECOY_NM_000410|684993_2_581_789
+WLLCKNTWFVEGWSWCSSCSHLATGRWTPGTRGPGFRTGKGSWSPPGPGPNQQEGIWHTLASNLTTRGM
+>NM_000138|685065_2_1712_2061
+MDAAFQLLGVAGVSATKGSSWTSVGSVLMLMNVRKTPVLVVSVLTTRVRTPVSAELDIRAHSRGQNAETLMSVYRMAGSAIMDAASTQMAVFIACVMRAFMLHEMGRTVKIWMNAA
+>DECOY_NM_000138|685065_2_1712_2061
+AANMWIKVTRGMEHLMFARMVCAIFVAMQTSAADMIASGAMRYVSMLTEANQGRSHARIDLEASVPTRVRTTLVSVVLVPTKRVNMLMLVSGVSTWSSGKTASVGAVGLLQFAADM
+>NM_000138|685069_2_1937_2061
+MAGSAIMDAASTQMAVFIACVMRAFMLHEMGRTVKIWMNAA
+>DECOY_NM_000138|685069_2_1937_2061
+AANMWIKVTRGMEHLMFARMVCAIFVAMQTSAADMIASGAM
+>NM_000138|685070_2_1955_2061
+MDAASTQMAVFIACVMRAFMLHEMGRTVKIWMNAA
+>DECOY_NM_000138|685070_2_1955_2061
+AANMWIKVTRGMEHLMFARMVCAIFVAMQTSAADM
+>NM_000138|685074_2_2099_2199
+MAVLNVFANLDSSWHQMDVIAKTLTSVKPLGSA
+>DECOY_NM_000138|685074_2_2099_2199
+ASGLPKVSTLTKAIVDMQHWSSDLNAFVNLVAM
+>NM_000138|685078_2_2312_2478
+MVDTREASVSNLCLVLSLNLNAVAPALSMHLGNLASRVLHRIQRNIRHSAAVGQE
+>DECOY_NM_000138|685078_2_2312_2478
+EQGVAASHRINRQIRHLVRSALNGLHMSLAPAVANLNLSLVLCLNSVSAERTDVM
+>NM_000138|685081_2_2660_2733
+MDNVEILLEVLSVPAPRDLSTNLI
+>DECOY_NM_000138|685081_2_2660_2733
+ILNTSLDRPAPVSLVELLIEVNDM
+>NM_000138|685088_2_4076_4152
+MVVSAQISLESTGACVMMDSWHLKT
+>DECOY_NM_000138|685088_2_4076_4152
+TKLHWSDMMVCAGTSELSIQASVVM
+>NM_000138|685091_2_4397_4563
+MALSALIWTNVPMEPICAASMQTARIPWDLTAVCARKDTQVMASLVQTLMSALRT
+>DECOY_NM_000138|685091_2_4397_4563
+TRLASMLTQVLSAMVQTDKRACVATLDWPIRATQMSAACIPEMPVNTWILASLAM
+>NM_000138|685094_2_4577_4749
+MASASMHQEDTAVNATWASCPVLTGKPVKILMSAPFRTSVSLELATTSLACSAVSVR
+>DECOY_NM_000138|685094_2_4577_4749
+RVSVASCALSTTALELSVSTRFPASMLIKVPKGTLVPCSAWTANVATDEQHMSASAM
+>NM_000138|685098_2_4958_5067
+MEIQPAAMKLELVFPKLPAAVLWVKPGVLLVRCVLL
+>DECOY_NM_000138|685098_2_4958_5067
+LLVCRVLLVGPKVWLVAAPLKPFVLELKMAAPQIEM
+>NM_000138|685104_2_5663_6003
+MECVSTWLAASDVNVQWDSSIMTSCWFVKILTSVRTAQCASATPNASTLQAATAVTVSPATASPPQDSAMIVMNVKKSPIYAVMGSALTQLEAFIAFATLVLKQMMTKPCAWT
+>DECOY_NM_000138|685104_2_5663_6003
+TWACPKTMMQKLVLTAFAIFAELQTLASGMVAYIPSKKVNMVIMASDQPPSATAPSVTVATAAQLTSANPTASACQATRVSTLIKVFWCSTMISSDWQVNVDSAALWTSVCEM
+>NM_000138|685108_2_5912_6003
+MGSALTQLEAFIAFATLVLKQMMTKPCAWT
+>DECOY_NM_000138|685108_2_5912_6003
+TWACPKTMMQKLVLTAFAIFAELQTLASGM
+>NM_000138|685111_2_6032_6114
+MELAGTQLVPSTAAAIMVSSFLTTMTV
+>DECOY_NM_000138|685111_2_6032_6114
+VTMTTLFSSVMIAAATSPVLQTGALEM
+>NM_000138|685114_2_6140_6264
+MGIFAEMANALIQWGLSSASAMKAMRWLQMGGPVWISMNVF
+>DECOY_NM_000138|685114_2_6140_6264
+FVNMSIWVPGGMQLWRMAKMASASSLGWQILANAMEAFIGM
+>NM_000138|685115_2_6158_6264
+MANALIQWGLSSASAMKAMRWLQMGGPVWISMNVF
+>DECOY_NM_000138|685115_2_6158_6264
+FVNMSIWVPGGMQLWRMAKMASASSLGWQILANAM
+>NM_000138|685132_2_7238_7518
+MGAASTPVGATPVSVMMGLPPAPTRTSALTIGKGTASQRCYKTCVRSAPATGTPSPNRNAAVTEGEAGVPTVRSALSRGLWLSRNSVPMAEDS
+>DECOY_NM_000138|685132_2_7238_7518
+SDEAMPVSNRSLWLGRSLASRVTPVGAEGETVAANRNPSPTGTAPASRVCTKYCRQSATGKGITLASTRTPAPPLGMMVSVPTAGVPTSAAGM
+>NM_000138|685134_2_7286_7518
+MGLPPAPTRTSALTIGKGTASQRCYKTCVRSAPATGTPSPNRNAAVTEGEAGVPTVRSALSRGLWLSRNSVPMAEDS
+>DECOY_NM_000138|685134_2_7286_7518
+SDEAMPVSNRSLWLGRSLASRVTPVGAEGETVAANRNPSPTGTAPASRVCTKYCRQSATGKGITLASTRTPAPPLGM
+>NM_000138|685138_2_7763_8370
+MEGAAKILMSVQPSNTTASSYVLTPLAASHANVLPDLPNTIRPALITMNAPLTSICAGLRAFARTLLEASPVNASGDSHLIRPAPAVKTWTSVRVTTAASMAARTSLGATGAAAPRATSSTTSGTSVLMKTNASALTSAEEPPVTTPWGATSACVPPASSMNSSVEDAKTSMNVALRRPPAAMAVPIPRAVTCVAVHLVTSA
+>DECOY_NM_000138|685138_2_7763_8370
+ASTVLHVAVCTVARPIPVAMAAPPRRLAVNMSTKADEVSSNMSSAPPVCASTAGWPTTVPPEEASTLASANTKMLVSTGSTTSSTARPAAAGTAGLSTRAAMSAATTVRVSTWTKVAPAPRILHSDGSANVPSAELLTRAFARLGACISTLPANMTILAPRITNPLDPLVNAHSAALPTLVYSSATTNSPQVSMLIKAAGEM
+>NM_000138|685141_2_8063_8370
+MAARTSLGATGAAAPRATSSTTSGTSVLMKTNASALTSAEEPPVTTPWGATSACVPPASSMNSSVEDAKTSMNVALRRPPAAMAVPIPRAVTCVAVHLVTSA
+>DECOY_NM_000138|685141_2_8063_8370
+ASTVLHVAVCTVARPIPVAMAAPPRRLAVNMSTKADEVSSNMSSAPPVCASTAGWPTTVPPEEASTLASANTKMLVSTGSTTSSTARPAAAGTAGLSTRAAM
+>NM_000138|685148_2_8729_8862
+MASLKSTKRKGSATSTSQRRSQWLEPIHYKSVVLHFIKRKNLTN
+>DECOY_NM_000138|685148_2_8729_8862
+NTLNKRKIFHLVVSKYHIPELWQSRRQSTSTASGKRKTSKLSAM
+>NM_006186|685260_2_444_526
+MGPRLKEPAPLLRATVTTLRENTAPIS
+>DECOY_NM_006186|685260_2_444_526
+SIPATNERLTTVTARLLPAPEKLRPGM
+>NM_006186|685261_2_1818_1909
+MGWSCTGCNAFVALGNGLIPLLNSPPTCRI
+>DECOY_NM_006186|685261_2_1818_1909
+IRCTPPSNLLPILGNGLAVFANCGTCSWGM
+>NM_144701|685369_2_290_423
+MASKKDFKSQGLIKQQLGFGIKTFWNHMLLCTALLNVPNIFKRH
+>DECOY_NM_144701|685369_2_290_423
+HRKFINPVNLLATCLLMHNWFTKIGFGLQQKILGQSKFDKKSAM
+>NM_004083|685389_2_280_368
+MGVPMFHLLEMKRKNQKSSPLLTLLLWLG
+>DECOY_NM_004083|685389_2_280_368
+GLWLLLTLLPSSKQNKRKMELLHFMPVGM
+>NM_005028|685408_2_1137_1210
+MGRRRARAMAPTRWEPPQIAPGIH
+>DECOY_NM_005028|685408_2_1137_1210
+HIGPAIQPPEWRTPAMARARRRGM
+>NM_023083|685435_3_735_1018
+MEPEGRSRKRRPAGQARPLGAQDLSAAAPPEGPVSDQLLRAQPQSRCPGAGGVPCLHCLGPAGAPGSGGPVHPAAADPEPLGPAVLAGALERGG
+>DECOY_NM_023083|685435_3_735_1018
+GGRELAGALVAPGLPEPDAAAPHVPGGSGPAGAPGLCHLCPVGGAGPCRSQPQARLLQDSVPGEPPAAASLDQAGLPRAQGAPRRKRSRGEPEM
+>NM_005012|685566_2_898_992
+MDSVSHTEGLHVQDLLATAPSIWSLCTCKGK
+>DECOY_NM_005012|685566_2_898_992
+KGKCTCLSWISPATALLDQVHLGETHSVSDM
+>NM_005012|685577_3_1406_1533
+MEFPVSPHTHFHRPSFPRAEWRPFLLPQPRESKGSSLVLHLG
+>DECOY_NM_005012|685577_3_1406_1533
+GLHLVLSSGKSERPQPLLFPRWEARPFSPRHFHTHPSVPFEM
+>NM_005012|685578_3_1946_2055
+MDGISTRSLPNGRTAPPQYCLPSRCRHSGTTCVHAF
+>DECOY_NM_005012|685578_3_1946_2055
+FAHVCTTGSHRCRSPLCYQPPATRGNPLSRTSIGDM
+>NM_019046|685630_2_1225_1340
+MGLAFQQKTAWVPRLCTGQLSQGRTKPSDSWSLNLASM
+>DECOY_NM_019046|685630_2_1225_1340
+MSALNLSWSDSPKTRGQSLQGTCLRPVWATKQQFALGM
+>NM_172208|685799_3_482_807
+MGQRPDPRAELPAGPGWGLADGQHIQPSPQPLQPLATTARASAGACSHHHGNSGTDCPHPHPCPSSETGTRCSAGLELCLHAPHLRGRLISGSGSPSLWARVATPAPG
+>DECOY_NM_172208|685799_3_482_807
+GPAPTAVRAWLSPSGSGSILRGRLHPAHLCLELGASCRTGTESSPCPHPHPCDTGSNGHHHSCAGASARATTALPQLPQPSPQIHQGDALGWGPGAPLEARPDPRQGM
+>NM_172208|685800_3_908_1041
+MGPMDRKWDLLAAYSSTLSGGHLSGHHTPAIPARTGHPGACCVQ
+>DECOY_NM_172208|685800_3_908_1041
+QVCCAGPHGTRAPIAPTHHGSLHGGSLTSSYAALLDWKRDMPGM
+>NM_003107|685814_2_1290_1672
+MGAAAAAGAATRGEEAAVRVAAAPTPNRRRKRAAAPKWRAARAVGLANRTPSSSWQAAAAAGKQRLPPPPPSPPNRRGPPPCCPWAPPPTTTRCTRRGLPAPRPPPPRQPRPPQRSRPRASTWRRRR
+>DECOY_NM_003107|685814_2_1290_1672
+RRRRWTSARPRSRQPPRPQRPPPPRPAPLGRRTCRTTTPPPAWPCCPPPGRRNPPSPPPPPLRQKGAAAAAQWSSSPTRNALGVARAARWKPAAARKRRRNPTPAAAVRVAAEEGRTAAGAAAAAGM
+>NM_000397|685933_3_810_907
+MGKNKGMPNPSVCWKPSYDLEMDSGSHVSVSL
+>DECOY_NM_000397|685933_3_810_907
+LSVSVHSGSDMELDYSPKWCVSPNPMGKNKGM
+>NM_172209|685982_2_928_1058
+MGPSGCLQFNPFRRAPIWPPYTCHTCKDRSPWSLLCTNPPKCP
+>DECOY_NM_172209|685982_2_928_1058
+PCKPPNTCLLSWPSRDKCTHCTYPPWIPARRFPNFQLCGSPGM
+>NM_172209|685984_2_1297_1376
+MGHAMPVEFTIPACLPRGAALRSPWR
+>DECOY_NM_172209|685984_2_1297_1376
+RWPSRLAAGRPLCAPITFEVPMAHGM
+>NM_172209|685986_3_908_1290
+MGPMDRKWDLLAAYSSTLSGGHLSGHHTPAIPARTGHPGACCVQTPQSVPDASNPCTGRPRGGTPGIALPCVPLLPFWGPGGGVGTPGWPRGPLSEGRGAEVALGPAPPFRWLCQPLWALAAAPSHH
+>DECOY_NM_172209|685986_3_908_1290
+HHSPAAALAWLPQCLWRFPPAPGLAVEAGRGESLPGRPWGPTGVGGGPGWFPLLPVCPLAIGPTGGRPRGTCPNSADPVSQPTQVCCAGPHGTRAPIAPTHHGSLHGGSLTSSYAALLDWKRDMPGM
+>NM_006732|686083_2_1340_1419
+MASAGCCRPRHHRPCPSRPAKTHPPT
+>DECOY_NM_006732|686083_2_1340_1419
+TPPHTKAPRSPCPRHHRPRCCGASAM
+>NM_001122951|686103_2_150_250
+MVPTWKQLPPATPVTCWMTLHCPSSSSPVSWVS
+>DECOY_NM_001122951|686103_2_150_250
+SVWSVPSSSSPCHLTMWCTVPTAPPLQKWTPVM
+>NM_004797|686125_2_232_587
+MGPQAVMAEMAPLVRRVRKEIQVLLVLRETSVKPEYPGLKVPEAFRESKAGKENLEKVPMYTAQHSVWDWRLTLLSPTCPFALPRSSTISKTTMMAPLVNSTATFLGCTTLPTTSQSI
+>DECOY_NM_004797|686125_2_232_587
+ISQSTTPLTTCGLFTATSNVLPAMMTTKSITSSRPLAFPCTPSLLTLRWDWVSHQATYMPVKELNEKGAKSERFAEPVKLGPYEPKVSTERLVLLVQIEKRVRRVLPAMEAMVAQPGM
+>NM_004797|686126_2_250_587
+MAEMAPLVRRVRKEIQVLLVLRETSVKPEYPGLKVPEAFRESKAGKENLEKVPMYTAQHSVWDWRLTLLSPTCPFALPRSSTISKTTMMAPLVNSTATFLGCTTLPTTSQSI
+>DECOY_NM_004797|686126_2_250_587
+ISQSTTPLTTCGLFTATSNVLPAMMTTKSITSSRPLAFPCTPSLLTLRWDWVSHQATYMPVKELNEKGAKSERFAEPVKLGPYEPKVSTERLVLLVQIEKRVRRVLPAMEAM
+>NM_004797|686127_2_259_587
+MAPLVRRVRKEIQVLLVLRETSVKPEYPGLKVPEAFRESKAGKENLEKVPMYTAQHSVWDWRLTLLSPTCPFALPRSSTISKTTMMAPLVNSTATFLGCTTLPTTSQSI
+>DECOY_NM_004797|686127_2_259_587
+ISQSTTPLTTCGLFTATSNVLPAMMTTKSITSSRPLAFPCTPSLLTLRWDWVSHQATYMPVKELNEKGAKSERFAEPVKLGPYEPKVSTERLVLLVQIEKRVRRVLPAM
+>NM_004797|686130_2_514_587
+MAPLVNSTATFLGCTTLPTTSQSI
+>DECOY_NM_004797|686130_2_514_587
+ISQSTTPLTTCGLFTATSNVLPAM
+>NM_017974|686178_2_1164_1345
+MGKSTLCSSVQVPGYWPLEAWTAGLSFGKYLEKNVSSRVPYLAVMQELQALNLIVLDLTS
+>DECOY_NM_017974|686178_2_1164_1345
+STLDLVILNLAQLEQMVALYPVRSSVNKELYKGFSLGATWAELPWYGPVQVSSCLTSKGM
+>NM_002569|686197_2_676_782
+MASRRTTRTWQAIMILGPVLMSMTRTLTPSLGTHR
+>DECOY_NM_002569|686197_2_676_782
+RHTGLSPTLTRTMSMLVPGLIMIAQWTRTTRRSAM
+>NM_139006|686223_2_581_777
+MGRTTLNSALTHWIGEQQNPGPGPPSWSGKGTRFGPGRTGPTWRGTALHSCSSCWSWGEVFWTNK
+>DECOY_NM_139006|686223_2_581_777
+KNTWFVEGWSWCSSCSHLATGRWTPGTRGPGFRTGKGSWSPPGPGPNQQEGIWHTLASNLTTRGM
+>NM_139167|686243_3_863_939
+MDIESYEFHCGWYGKSESHQEGNPT
+>DECOY_NM_139167|686243_3_863_939
+TPNGEQHSESKGYWGCHFEYSEIDM
+>NM_000527|686272_2_307_782
+MAALSARMALMSPRRRACLSPANPGTSAVGAVSTAAFLSSGGAMAKWTATTAQTSKAVPPRRAPRTSFAATMGSASLGSSSVTQTGTAWTAQTRPPARCSPVVPPASSATAPPASPSCGPATTTPTAKMARMSGRSAVGVFTCSKGTVAPARPSSSTA
+>DECOY_NM_000527|686272_2_307_782
+ATSSSPRAPAVTGKSCTFVGVASRGSMRAMKATPTTTAPGCSPSAPPATASSAPPVVPSCRAPPRTQATWATGTQTVSSSGLSASGMTAAFSTRPARRPPVAKSTQATTATWKAMAGGSSLFAATSVAGVASTGPNAPSLCARRRPSMLAMRASLAAM
+>NM_000527|686273_2_328_782
+MALMSPRRRACLSPANPGTSAVGAVSTAAFLSSGGAMAKWTATTAQTSKAVPPRRAPRTSFAATMGSASLGSSSVTQTGTAWTAQTRPPARCSPVVPPASSATAPPASPSCGPATTTPTAKMARMSGRSAVGVFTCSKGTVAPARPSSSTA
+>DECOY_NM_000527|686273_2_328_782
+ATSSSPRAPAVTGKSCTFVGVASRGSMRAMKATPTTTAPGCSPSAPPATASSAPPVVPSCRAPPRTQATWATGTQTVSSSGLSASGMTAAFSTRPARRPPVAKSTQATTATWKAMAGGSSLFAATSVAGVASTGPNAPSLCARRRPSMLAM
+>NM_000527|686275_2_436_782
+MAKWTATTAQTSKAVPPRRAPRTSFAATMGSASLGSSSVTQTGTAWTAQTRPPARCSPVVPPASSATAPPASPSCGPATTTPTAKMARMSGRSAVGVFTCSKGTVAPARPSSSTA
+>DECOY_NM_000527|686275_2_436_782
+ATSSSPRAPAVTGKSCTFVGVASRGSMRAMKATPTTTAPGCSPSAPPATASSAPPVVPSCRAPPRTQATWATGTQTVSSSGLSASGMTAAFSTRPARRPPVAKSTQATTATWKAM
+>NM_000527|686276_2_520_782
+MGSASLGSSSVTQTGTAWTAQTRPPARCSPVVPPASSATAPPASPSCGPATTTPTAKMARMSGRSAVGVFTCSKGTVAPARPSSSTA
+>DECOY_NM_000527|686276_2_520_782
+ATSSSPRAPAVTGKSCTFVGVASRGSMRAMKATPTTTAPGCSPSAPPATASSAPPVVPSCRAPPRTQATWATGTQTVSSSGLSASGM
+>NM_000527|686277_2_691_782
+MARMSGRSAVGVFTCSKGTVAPARPSSSTA
+>DECOY_NM_000527|686277_2_691_782
+ATSSSPRAPAVTGKSCTFVGVASRGSMRAM
+>NM_000527|686279_2_817_959
+MVAPTARTNLTRKTALWPPVALTNSSALMETASMAAGSVTGNMTART
+>DECOY_NM_000527|686279_2_817_959
+TRATMNGTVSGAAMSATEMLASSNTLAVPPWLATKRTLNTRATPAVM
+>NM_000527|686286_2_2188_2291
+MAAASICASLPRRSTPTRPSLPAPARTACCWPGT
+>DECOY_NM_000527|686286_2_2188_2291
+TGPWCCATRAPAPLSPRTPTSRRPLSACISAAAM
+>NM_018484|686361_2_1455_1624
+MGWSSTCRAWAVTSSSSRPSSGPWTSWAGPPLPSCSVSLAAAPSRRVPRPWPASPF
+>DECOY_NM_018484|686361_2_1455_1624
+FPSAPWPRPVRRSPAAALSVSCSPLPPGAWSTWPGSSPRSSSSTVAWARCTSSWGM
+>NM_001001412|686589_2_636_721
+MATGCWPERWPCVTSAASPRRWAGPSCC
+>DECOY_NM_001001412|686589_2_636_721
+CCSPGAWRRPSAASTVCPWREPWCGTAM
+>NM_013962|686720_3_238_1049
+MATRPAPLRASRPPGPAPRLRRPLVAAAAAAATTAAAGDRGPGAGGGGRQRGGSRGGLGVLLVPAQRGIGAGASSARRGGDRGKGAPAAAAAGGTRQEGGGGGGRGRGVGRRSRAASRGPTGAGAARRGAAARRQRDRALLAHRPGAQRRRARGGGALSGEGAPGVGGESRGLEEGLAAHRAPGDLGPPRLPLLREAQGGQQVHLLHGARRQQHQPRAGRLPSLFPPSGDGPEPQEGGQPGAVQAVRLASPIERDEKPGIGCRFQTSPSV
+>DECOY_NM_013962|686720_3_238_1049
+VSPSTQFRCGIGPKEDREIPSALRVAQVAGPQGGEQPEPGDGSPPFLSPLRGARPQHQQRRAGHLLHVQQGGQAERLLPLRPPGLDGPARHAALGEELGRSEGGVGPAGEGSLAGGGRARRRQAGPRHALLARDRQRRAAAGRRAAGAGTPGRSAARSRRGVGRGRGGGGGGEQRTGGAAAAAPAGKGRDGGRRASSAGAGIGRQAPVLLVGLGGRSGGRQRGGGGAGPGRDGAAATTAAAAAAAVLPRRLRPAPGPPRSARLPAPRTAM
+>NM_000168|686805_2_434_564
+MVTWSPTTTLLIFSLPSILLYQLMPDIMRAVTITIHLRFLHCI
+>DECOY_NM_000168|686805_2_434_564
+ICHLFRLHITITVARMIDPMLQYLLISPLSFILLTTTPSWTVM
+>NM_000168|686820_2_3851_3933
+MGTVSTGSQWPLVHSTVPVVPGFKPQS
+>DECOY_NM_000168|686820_2_3851_3933
+SQPKFGPVVPVTSHVLPWQSGTSVTGM
+>NM_000823|686864_2_226_323
+MGCCAGQRQALASGSPSPARISSLTSAQSQGL
+>DECOY_NM_000823|686864_2_226_323
+LGQSQASTLSSIRAPSPSGSALAQRQGACCGM
+>NM_000823|686867_2_1222_1304
+MAMTLSFCQPGGPVLSGPRLPARRQRC
+>DECOY_NM_000823|686867_2_1222_1304
+CRQRRAPLRPGSLVPGGPQCFSLTMAM
+>NM_004954|686889_2_1806_1987
+MAKTAQPPSVSLLPPHPPTTSAAVVEPQTELTSPGVCPAEAPSMLGSSDRCGTSRICPTV
+>DECOY_NM_004954|686889_2_1806_1987
+VTPCIRSTGCRDSSGLMSPAEAPCVGPSTLETQPEVVAASTTPPHPPLLSVSPPQATKAM
+>NM_024899|686960_2_640_719
+MAFYLKYTEKAWVMELEWLIQQQCYQ
+>DECOY_NM_024899|686960_2_640_719
+QYCQQQILWELEMVWAKETYKLYFAM
+>NM_024899|686968_3_797_906
+MAIGFGLRKWSDQSDCGTYGCRHRIKSFCGNFKYKT
+>DECOY_NM_024899|686968_3_797_906
+TKYKFNGCFSKIRHRCGYTGCDSQDSWKRLGFGIAM
+>NM_002374|687131_2_2091_2290
+MVTRSFKQEKNPSPVLQHKKQGTALSHRVIHQIYLKNPVLLKKECSLLIQKCMERKGTSTVRIRMI
+>DECOY_NM_002374|687131_2_2091_2290
+IMRIRVTSTGKREMCKQILLSCEKKLLVPNKLYIQHIVRHSLATGQKKHQLVPSPNKEQKFSRTVM
+>NM_002374|687136_2_4281_4447
+MVPQRLQLPLREKRLHFLNIRQKPMTITKMRPPLTTPSWTLTASGWTLKMMIGAS
+>DECOY_NM_002374|687136_2_4281_4447
+SAGIMMKLTWGSATLTWSPTTLPPRMKTITMPKQRINLFHLRKERLPLQLRQPVM
+>NM_001127208|687242_2_4272_4471
+MDVSLPEARSQGSLSCLGMTQKRKRNWSLICKTCPLLWHQHIRNLHLMHIIIRLNMNTEHQSAVWV
+>DECOY_NM_001127208|687242_2_4272_4471
+VWVASQHETNMNLRIIIHMLHLNRIHQHWLLPCTKCILSWNRKRKQTMGLCSLSGQSRAEPLSVDM
+>NM_001127208|687245_2_4554_4726
+MAAHWYALSLEKTIENLEENLRMSSFTFCLYTKSLTWMSLGVWKLRRRKNGVVPFRY
+>DECOY_NM_001127208|687245_2_4554_4726
+YRFPVVGNKRRRLKWVGLSMWTLSKTYLCFTFSSMRLNEELNEITKELSLAYWHAAM
+>NM_001127208|687248_2_5286_5467
+METYQWTTAPHIWVPILPSLSRWICIGIQAKTLCLSSVYHPSIHFTSQGLEIARVLHLNT
+>DECOY_NM_001127208|687248_2_5286_5467
+TNLHLVRAIELGQSTFHISPHYVSSLCLTKAQIGICIWRSLSPLIPVWIHPATTWQYTEM
+>NM_001127208|687254_2_6120_6232
+MAWLFGKPKWLKKPVRKRKSVKSMAQTMCLRNPMAKK
+>DECOY_NM_001127208|687254_2_6120_6232
+KKAMPNRLCMTQAMSKVSKRKRVPKKLWKPKGFLWAM
+>NM_002335|687298_2_982_1223
+MAAAPTCACCPQASLSTHAPAPRVCSCRTTAGRVRQEPRRCCCWPGGRTYGGSRWTRRTSPTSCCRWTTSGTPLPSTTTR
+>DECOY_NM_002335|687298_2_982_1223
+RTTTSPLPTGSTTWRCCSTPSTRRTWRSGGYTRGGPWCCCRRPEQRVRGATTRCSCVRPAPAHTSLSAQPCCACTPAAAM
+>NM_002335|687306_2_2776_2951
+MASMTVCTTTGSVGSCALPSPAATAAAAPHTTPWTPAAATAARPPPSCCSARNLPSVG
+>DECOY_NM_002335|687306_2_2776_2951
+GVSPLNRASCCSPPPRAATAAAPTWPTTHPAAAATAAPSPLACSGVSGTTTCVTMSAM
+>NM_002335|687310_2_3736_3827
+MVAAPTSVLPRVMGHHGAHAQSTSCSCRTC
+>DECOY_NM_002335|687310_2_3736_3827
+CTRCSCSTSQAHAGHHGMVRPLVSTPAAVM
+>NM_175727|687318_2_1098_1291
+MALGLKNAKNTAKTHWGEISHAGFPGLLSSAKGVTGLRCLLTAPASTLLSGPLISCLPFTPLIK
+>DECOY_NM_175727|687318_2_1098_1291
+KILPTFPLCSILPGSLLTSAPATLLCRLGTVGKASSLLGPFGAHSIEGWHTKATNKANKLGLAM
+>NM_000367|687363_3_238_326
+MARQVGERQDCFSSGTRTSAIKEAFRYFP
+>DECOY_NM_000367|687363_3_238_326
+PFYRFAEKIASTRTGSSFCDQREGVQRAM
+>NM_024690|687786_2_37078_37169
+MGQPLEWMPSAPTALTPKALDSTGSSCTGS
+>DECOY_NM_024690|687786_2_37078_37169
+SGTCSSGTSDLAKPTLATPASPMWELPQGM
+>NM_024690|687790_2_37405_37529
+MGRTWVTLAPGSSTPQRGSCRVCLVPYSRTPVLALCTLAAD
+>DECOY_NM_024690|687790_2_37405_37529
+DAALTCLALVPTRSYPVLCVRCSGRQPTSSGPALTVWTRGM
+>NM_024690|687791_2_37552_37643
+MEQPLEWMPSASIILTPKALDSTESGCTGS
+>DECOY_NM_024690|687791_2_37552_37643
+SGTCGSETSDLAKPTLIISASPMWELPQEM
+>NM_024690|687796_2_38020_38111
+MEQPLEWMPSAPTVLTPKALEWTGSSYTGS
+>DECOY_NM_024690|687796_2_38020_38111
+SGTYSSGTWELAKPTLVTPASPMWELPQEM
+>NM_024690|687804_2_38953_39044
+MGQPLEWMPSAATVLTPKALDSTESSCTGS
+>DECOY_NM_024690|687804_2_38953_39044
+SGTCSSETSDLAKPTLVTAASPMWELPQGM
+>NM_024690|687808_2_39274_39398
+MGRTCVTLAPGSSTPQRGSCRVCLVPCSRTPVSALCTLAAD
+>DECOY_NM_024690|687808_2_39274_39398
+DAALTCLASVPTRSCPVLCVRCSGRQPTSSGPALTVCTRGM
+>NM_024690|687809_2_39421_39512
+MGQPLEWMPSAPTTLTLKALDWTGSSCTGS
+>DECOY_NM_024690|687809_2_39421_39512
+SGTCSSGTWDLAKLTLTTPASPMWELPQGM
+>NM_024690|687816_2_40357_40448
+MGQPPEWMLSAPIVLTPKALDWTESGCTGS
+>DECOY_NM_024690|687816_2_40357_40448
+SGTCGSETWDLAKPTLVIPASLMWEPPQGM
+>NM_024690|687818_2_40825_40916
+MGQPPKWMPSAPTALIPKALDWTESSYTGS
+>DECOY_NM_024690|687818_2_40825_40916
+SGTYSSETWDLAKPILATPASPMWKPPQGM
+>NM_024690|687822_2_41293_41384
+MGQPLEWMPSAPTTLTPKALGWTESSCIGS
+>DECOY_NM_024690|687822_2_41293_41384
+SGICSSETWGLAKPTLTTPASPMWELPQGM
+>NM_024690|687825_2_41758_41849
+MGKPPEWMPSAPTALTPQALGWTESSCIWS
+>DECOY_NM_024690|687825_2_41758_41849
+SWICSSETWGLAQPTLATPASPMWEPPKGM
+>NM_024690|687830_2_42610_42701
+MGQPLVWTPPAPTTLTLWAPGWTYSSFTGS
+>DECOY_NM_024690|687830_2_42610_42701
+SGTFSSYTWGPAWLTLTTPAPPTWVLPQGM
+>NM_024690|687834_2_43453_43535
+MVPSCRTSPWTGAVSLWMGILPTEMSP
+>DECOY_NM_024690|687834_2_43453_43535
+PSMETPLIGMWLSVAGTWPSTRCSPVM
+>NM_024690|687835_3_1367_1524
+MVGKSRYPWWHQHFSADNHISIYHFSLRGDQHPSLHEWKGNRRNFEYIYDST
+>DECOY_NM_024690|687835_3_1367_1524
+TSDYIYEFNRRNGKWEHLSPHQDGRLSFHYISIHNDASFHQHWWPYRSKGVM
+>NM_024690|687836_3_14498_14631
+MAQYLLSCFYVLSSYFFTGKDRRQGGYKLRNSDQFTSKYEQHFG
+>DECOY_NM_024690|687836_3_14498_14631
+GFHQEYKSTFQDSNRLKYGGQRRDKGTFFYSSLVYFCSLLYQAM
+>NM_024690|687837_3_16169_16404
+MDDNSPCGRNQLCVFPDVFTCHDIPFSCFLHITTEHPLLSSSCDCTSYFCSGDNHRCVGHNKPRVCNQFTSKFEQHHS
+>DECOY_NM_024690|687837_3_16169_16404
+SHHQEFKSTFQNCVRPKNHGVCRHNDGSCFYSTCDCSSSLLPHETTIHLFCSFPIDHCTFVDPFVCLQNRGCPSNDDM
+>NM_024690|687838_3_17057_17394
+MDDNSPCGRNQLWVFPDVTFHDIPFSCFLHITREHPLLSSPCDCTSYFCSGDNHKCIGHNKPRARNEFTSKFKQPHTGETDHLQRHCAHRSHACFHAYKHCSGQRGDLHFWT
+>DECOY_NM_024690|687838_3_17057_17394
+TWFHLDGRQGSCHKYAHFCAHSRHACHRQLHDTEGTHPQKFKSTFENRARPKNHGICKHNDGSCFYSTCDCPSSLLPHERTIHLFCSFPIDHFTVDPFVWLQNRGCPSNDDM
+>NM_024690|687839_3_17945_18051
+MAKPSLCGRNQFSFFPSAFTCNNLTFISLFRSIRK
+>DECOY_NM_024690|687839_3_17945_18051
+KRISRFLSIFTLNNCTFASPFFSFQNRGCLSPKAM
+>NM_024690|687840_3_20570_20715
+MDNPSFYRENQLLLFPDAFTSHDFTSCFLNITKDHSHHSFSYDLTAHP
+>DECOY_NM_024690|687840_3_20570_20715
+PHATLDYSFSHHSHDKTINLFCSTFDHSTFADPFLLLQNERYFSPNDM
+>NM_024690|687841_3_21452_21600
+MAKLSLSGKSQLSPFPAVFTCHNLTSSHFLHITSDYLLLSSSCDFTSHL
+>DECOY_NM_024690|687841_3_21452_21600
+LHSTFDCSSSLLLYDSTIHLFHSSTLNHCTFVAPFPSLQSKGSLSLKAM
+>NM_024690|687842_3_25847_26037
+MAEPSLCGRSQLCLFLTVFTCHDLNFFFLHITREHLLLSSSCDCTSHPWPSEDHRHVAHKLRT
+>DECOY_NM_024690|687842_3_25847_26037
+TRLKHAVHRHDESPWPHSTCDCSSSLLLHERTIHLFFFNLDHCTFVTLFLCLQSRGCLSPEAM
+>NM_024690|687843_3_27596_27789
+MAKPSLCGRSQLCLFLTVFTCHDLIFSRFFHITRQHPLFFASCDITSHLRAGEDHRAVGHKLRT
+>DECOY_NM_024690|687843_3_27596_27789
+TRLKHGVARHDEGARLHSTIDCSAFFLPHQRTIHFFRSFILDHCTFVTLFLCLQSRGCLSPKAM
+>NM_024690|687844_3_28463_28569
+MAKPAVCGKKQPSIFPGIFIFSNLTFATLFHTIWE
+>DECOY_NM_024690|687844_3_28463_28569
+EWITHFLTAFTLNSFIFIGPFISPQKKGCVAPKAM
+>NM_001128633|687978_2_3105_3352
+MASMRGSLTMAGGGWCPPTSWSRFRTATSQAACLPNPLILAPVNSQRGRMKLWRKTAYYLGKPREWWTEGCARWSGWAPRQK
+>DECOY_NM_001128633|687978_2_3105_3352
+KQRPAWGSWRACGETWWERPKGLYYATKRWLKMRGRQSNVPALILPNPLCAAQSTATRFRSWSTPPCWGGGAMTLSGRMSAM
+>NM_001128633|687991_3_3667_3821
+MGLAAGVLGNYVLHRHLRHTLGRTSLPTAGCAGGAPCLARCPGGQLAPCDH
+>DECOY_NM_001128633|687991_3_3667_3821
+HDCPALQGGPCRALCPAGGACGATPLSTRGLTHRLHRHLVYNGLVGAALGM
+>NM_021074|688006_2_161_255
+MELEELYLCTEILLRITLILHLISHQKTIRG
+>DECOY_NM_021074|688006_2_161_255
+GRITKQHSILHLILTIRLLIETCLYLEELEM
+>NM_005525|688043_2_581_750
+MEALLSSPLWLGKWLIQWLLPILQASLLWMGSSPPSERNIQCPGSMYQSLSVFLAS
+>DECOY_NM_005525|688043_2_581_750
+SALFVSLSQYMSGPCQINRESPPSSGMWLLSAQLIPLLWQILWKGLWLPSSLLAEM
+>NM_004004|688085_3_442_515
+MGPAADLRVHASAPSGHARGLPET
+>DECOY_NM_004004|688085_3_442_515
+TEPLGRAHGSPASAHVRLDAAPGM
+>NM_000043|688102_2_509_684
+MANSAISPVLQVKGKLGTAQSMGMNQTACPAKKGRSTQTKPIFLPNAEDVDCVMKDMA
+>DECOY_NM_000043|688102_2_509_684
+AMDKMVCDVDEANPLFIPKTQTSRGKKAPCATQNMGMSQATGLKGKVQLVPSIASNAM
+>NM_000043|688105_2_797_903
+MESSRNAHSPATPSAKRKDPDLTWGGFVFFFCQFH
+>DECOY_NM_000043|688105_2_797_903
+HFQCFFFVFGGWTLDPDKRKASPTAPSHANRSSEM
+>NM_000428|688145_2_3748_3917
+MGATGPAPWVTPVKMWMNVKTPRAAAWEASARTLWAPTSASVPRASSWPMAPCVRM
+>DECOY_NM_000428|688145_2_3748_3917
+MRVCPAMPWSSARPVSASTPAWLTRASAEWAAARPTKVNMWMKVPTVWPAPGTAGM
+>NM_000428|688150_2_4183_4298
+METRCVAPGSVKTALAPTAVFWAASLASTWPRTETALT
+>DECOY_NM_000428|688150_2_4183_4298
+TLATETRPWTSALSAAWFVATPALATKVSGPAVCRTEM
+>NM_000428|688158_2_5002_5087
+MASASTRRAPSTASAAPRSPWTSASSAA
+>DECOY_NM_000428|688158_2_5002_5087
+AASSASTWPSRPAASATSPARRTSASAM
+>NM_000428|688163_2_5356_5606
+MAPGPMTCTTASMAQMGPPSTTTWAPRTPSLSLPSPTQPVTQRTAHPSLSLLCSPQNSSPTTWPAIQSPQPASKGFRRRSAAS
+>DECOY_NM_000428|688163_2_5356_5606
+SAASRRRFGKSAPQPSQIAPWTTPSSNQPSCLLSLSPHATRQTVPQTPSPLSLSPTRPAWTTTSPPGMQAMSATTCTMPGPAM
+>NM_000428|688167_2_5620_5705
+MAAVCACGRATPVTVLRASSWMRPTWPA
+>DECOY_NM_000428|688167_2_5620_5705
+APWTPRMWSSARLVTVPTARGCACVAAM
+>NM_000428|688176_3_914_1059
+MDNSKQHQPLYQTRLRAAVPEPGLLQPPAALCLPLWFPWSPLRGGHSR
+>DECOY_NM_000428|688176_3_914_1059
+RSHGGRLPSWPFWLPLCLAAPPQLLGPEPVAARLRTQYLPQHQKSNDM
+>NM_001007156|688218_2_310_416
+MGTSSPSWKGRIQGTAMGTPVSTSRTSQGISLPYT
+>DECOY_NM_001007156|688218_2_310_416
+TYPLSIGQSTRSTSVPTGMATGQIRGKWSPSSTGM
+>NM_001007156|688219_2_1174_1400
+MGSLCGSPRSSMWNTTKRERFPRAACSSTSPPTTTMATIPSLPKTHWAQPTRPSMATSSRSPFQRARITLSCLTK
+>DECOY_NM_001007156|688219_2_1174_1400
+KTLCSLTIRARQFPSRSSTAMSPRTPQAWHTKPLSPITAMTTTPPSTSSCAARPFRERKTTNWMSSRPSGCLSGM
+>NM_001007156|688221_2_1279_1400
+MATIPSLPKTHWAQPTRPSMATSSRSPFQRARITLSCLTK
+>DECOY_NM_001007156|688221_2_1279_1400
+KTLCSLTIRARQFPSRSSTAMSPRTPQAWHTKPLSPITAM
+>NM_003920|688293_2_2587_2726
+MAPWMTGLPVAEHLHGAPKKRLIFGSCTSPIRTWKGRMWWKPSWPT
+>DECOY_NM_003920|688293_2_2587_2726
+TPWSPKWWMRGKWTRIPSTCSGFILRKKPAGHLHEAVPLGTMWPAM
+>NM_003920|688296_2_3274_3368
+MAAPRPFHWCHSQRKMRKPWKTNSFSSCCAS
+>DECOY_NM_003920|688296_2_3274_3368
+SACCSSFSNTKWPKRMKRQSHCWHFPRPAAM
+>NM_001797|688340_2_1743_2149
+MVLLKLQNLWIERKQPGSTSLSLQQKSTIGIRKPKSQWPLGSLMSTIMLPSLLPLMKVSSVRVIRPSHFPTSQLLQLVQMTRMTRPMDQDLSSAYPLKSFTIQISQSETTEITQQACTPGVEGSVGRSRTCTFCP
+>DECOY_NM_001797|688340_2_1743_2149
+PCFTCTRSRGVSGEVGPTCAQQTIETTESQSIQITFSKLPYASSLDQDMPRTMRTMQVLQLLQSTPFHSPRIVRVSSVKMLPLLSPLMITSMLSGLPWQSKPKRIGITSKQQLSLSTSGPQKREIWLNQLKLLVM
+>NM_000555|688476_2_593_675
+MGTATSRGLCTLCPLTVFAALTPCWLT
+>DECOY_NM_000555|688476_2_593_675
+TLWCPTLAAFVTLPCLTCLGRSTATGM
+>NM_000198|688508_2_764_849
+MGSCQVLESSLQSTQSMLATWPGPTFWP
+>DECOY_NM_000198|688508_2_764_849
+PWFTPGPWTALMSQTSQLSSELVQCSGM
+>NM_001912|688602_2_927_1054
+MEAWTLRNPIHMRQQKNPVSTIPSILLLMTPALWTSLSRRRP
+>DECOY_NM_001912|688602_2_927_1054
+PRRRSLSTWLAPTMLLLISPITSVPNKQQRMHIPNRLTWAEM
+>NM_019841|688685_2_817_1025
+MELTSGPRTPWETQYYTSSSSSPTKPLPARCTTCCCPTMDMGTTCSPWTLCPITRVSPPSSWLEWRVTL
+>DECOY_NM_019841|688685_2_817_1025
+LTVRWELWSSPPSVRTIPCLTWPSCTTGMDMTPCCCTTCRAPLPKTPSSSSSTYYQTEWPTRPGSTLEM
+>NM_019841|688686_2_931_1025
+MDMGTTCSPWTLCPITRVSPPSSWLEWRVTL
+>DECOY_NM_019841|688686_2_931_1025
+LTVRWELWSSPPSVRTIPCLTWPSCTTGMDM
+>NM_019841|688687_2_937_1025
+MGTTCSPWTLCPITRVSPPSSWLEWRVTL
+>DECOY_NM_019841|688687_2_937_1025
+LTVRWELWSSPPSVRTIPCLTWPSCTTGM
+>NM_002117|688833_2_737_894
+MGRTRPRTPSLWRPGQQEMEPSRSGQLWWCLLDKSRDTRAICSTRGCKSPSP
+>DECOY_NM_002117|688833_2_737_894
+PSPSKCGRTSCIARTDRSKDLLCWWLQGSRSPEMEQQGPRWLSPTRPRTRGM
+>NM_002117|688834_2_791_894
+MEPSRSGQLWWCLLDKSRDTRAICSTRGCKSPSP
+>DECOY_NM_002117|688834_2_791_894
+PSPSKCGRTSCIARTDRSKDLLCWWLQGSRSPEM
+>NM_005920|688969_2_781_896
+MGQLSRPPTLPCLSRCPCPIRAHCSSAIPAAPWSPLPW
+>DECOY_NM_005920|688969_2_781_896
+WPLPSWPAAPIASSCHARIPCPCRSLCPLTPPRSLQGM
+>NM_005920|688972_2_1792_1919
+MVSAAQPGDPMRRETGMTDGGTSGPHWACCAQPQSLRLRAQL
+>DECOY_NM_005920|688972_2_1792_1919
+LQARLRLSQPQACCAWHPGSTGGDTMGTERRMPDGPQAASVM
+>NM_005544|689027_2_74_498
+MASRTCARWATCANPRACTNASSYCARPARLGARRASSTTRTRRSGGTSRAPPNARSPLRAASTSTSGLTPRTSTWWLSTPGTSTLPSRRTARPSKTAGTRLSYSCTTVLRATTTELRPSGREVVGAAAAAAPALVRLGRT
+>DECOY_NM_005544|689027_2_74_498
+TRGLRVLAPAAAAAAGVVERGSPRLETTTARLVTTCSYSLRTGATKSPRATRRSPLTSTGPTSLWWTSTRPTLGSTSTSAARLPSRANPPARSTGGSRRTRTTSSARRAGLRAPRACYSSANTCARPNACTAWRACTRSAM
+>NM_005544|689029_2_1250_1437
+MAPPRIVSSHGDLVLRCLVPPAMAVSSPRMSMAPVPAISGVPSAVSLRIPWATPHQPAVRRS
+>DECOY_NM_005544|689029_2_1250_1437
+SRRVAPQHPTAWPIRLSVASPVGSIAPVPAMSMRPSSVAMAPPVLCRLVLDGHSSVIRPPAM
+>NM_005544|689030_2_1316_1437
+MAVSSPRMSMAPVPAISGVPSAVSLRIPWATPHQPAVRRS
+>DECOY_NM_005544|689030_2_1316_1437
+SRRVAPQHPTAWPIRLSVASPVGSIAPVPAMSMRPSSVAM
+>NM_005544|689032_2_1343_1437
+MAPVPAISGVPSAVSLRIPWATPHQPAVRRS
+>DECOY_NM_005544|689032_2_1343_1437
+SRRVAPQHPTAWPIRLSVASPVGSIAPVPAM
+>NM_005544|689033_2_1520_1713
+MATAAPQEQAWARVQPWLGMKQPVLQIWIIGSERELTRQAHPLPLPTRRPRPSPQWLPLRSTQR
+>DECOY_NM_005544|689033_2_1520_1713
+RQTSRLPLWQPSPRPRRTPLPLPHAQRTLERESGIIWIQLVPQKMGLWPQVRAWAQEQPAATAM
+>NM_005544|689036_2_1880_1956
+MATCPCPQGWPQCPVAERAVETICP
+>DECOY_NM_005544|689036_2_1880_1956
+PCITEVAREAVPCQPWGQPCPCTAM
+>NM_001128635|689152_3_3290_3444
+MGLAAGVLGNYVLHRHLRHTLGRTSLPTAGGAGGAPCLARCPGGQLAPCDH
+>DECOY_NM_001128635|689152_3_3290_3444
+HDCPALQGGPCRALCPAGGAGGATPLSTRGLTHRLHRHLVYNGLVGAALGM
+>NM_001276|689172_3_464_567
+MELWVSKIFQDSLQHPESPDFHQVSTAISAHPWL
+>DECOY_NM_001276|689172_3_464_567
+LWPHASIATSVQHFDPSEPHQLSDQFIKSVWLEM
+>NM_002005|689200_2_898_989
+MGPHLTSHPVSRSMSHCLRRVNRWSLGSSS
+>DECOY_NM_002005|689200_2_898_989
+SSSGLSWRNVRRLCHSMSRSVPHSTLHPGM
+>NM_002005|689205_2_1588_1688
+MVCPGTSSSSPWITCTDWKGKAFLAFLCSSTTY
+>DECOY_NM_002005|689205_2_1588_1688
+YTTSSCLFALFAKGKWDTCTIWPSSSSTGPCVM
+>NM_054034|689293_2_501_622
+MEEAEVLTARVNLKLKRLALTSTLGTLTEWVTLMSVLKTP
+>DECOY_NM_054034|689293_2_501_622
+PTKLVSMLTVWETLTGLTSTLALRKLKLNVRATLVEAEEM
+>NM_054034|689296_2_1365_1594
+MAGRSTPAPQKGDRTDIFGAAQLRIMSRTRNTLSAQTTLFWFRLEEEIPMVPCATSPSYTTTTITLIALLRAEETT
+>DECOY_NM_054034|689296_2_1365_1594
+TTEEARLLAILTITTTTYSPSTACPVMPIEEELRFWFLTTQASLTNRTRSMIRLQAAGFIDTRDGKQPAPTSRGAM
+>NM_054034|689301_2_1767_1846
+MVVGNGHALPTRSFEISALLMTSLTM
+>DECOY_NM_054034|689301_2_1767_1846
+MTLSTMLLASIEFSRTPLAHGNGVVM
+>NM_054034|689304_2_2034_2209
+MAVALGSGIANLYRPIQAQVVLSKYLSLRLRVSPTPTPSSGMHHSHLTFPSTFSGGDL
+>DECOY_NM_054034|689304_2_2034_2209
+LDGGSFTSPFTLHSHHMGSSPTPTPSVRLRLSLYKSLVVQAQIPRYLNAIGSGLAVAM
+>NM_178009|689359_2_2095_2177
+MAIPKLILSLVQLWQPAKKTSLCSIPE
+>DECOY_NM_178009|689359_2_2095_2177
+EPISCLSTKKAPQWLQVLSLILKPIAM
+>NM_178009|689363_3_3470_3546
+MGHRGSCCLAGSAQFGRVQRYLHPS
+>DECOY_NM_178009|689363_3_3470_3546
+SPHLYRQVRGFQASGALCCSGRHGM
+>NM_181359|689400_3_1237_1406
+MDGQGPPASLCHPRRLERPEARGAASCPGGVRARRVERVEPGGHGHALDRIQESSS
+>DECOY_NM_181359|689400_3_1237_1406
+SSSEQIRDLAHGHGGPEVREVRRARVGGPCSAAGRAEPRELRRPHCLSAPPGQGDM
+>NM_212474|689438_2_2034_2218
+MAVALGSGIANLYRPIQAQVVLSKYLSLRLRVSPTPTPSSGMHHSHLTFPSTFSGGDLKIL
+>DECOY_NM_212474|689438_2_2034_2218
+LIKLDGGSFTSPFTLHSHHMGSSPTPTPSVRLRLSLYKSLVVQAQIPRYLNAIGSGLAVAM
+>NM_212474|689457_3_3313_3446
+MDSTSGPDNRIPTDRGPYPKRTAQAVQCGSLCLQVPTEESAACI
+>DECOY_NM_212474|689457_3_3313_3446
+ICAASEETPVQLCLSGCQVAQATRKPYPGRDTPIRNDPGSTSDM
+>NM_001005735|689493_2_373_479
+MDLPILRQSLAMLPNLILNSCCHLILLPQPPKVLG
+>DECOY_NM_001005735|689493_2_373_479
+GLVKPPQPLLILHCCSNLILNPLMALSQRLIPLDM
+>NM_005847|689518_2_1301_1410
+MVRLSCWSWAPSASSRPSSPRSLTPSWGACSALSLA
+>DECOY_NM_005847|689518_2_1301_1410
+ALSLASCAGWSPTLSRPSSPRSSASPAWSWCSLRVM
+>NM_001629|689554_2_141_256
+MDSLPIKWSTKAGPRMGGASRGPEHLPLSGSTLPTRTV
+>DECOY_NM_001629|689554_2_141_256
+VTRTPLTSGSLPLHEPGRSAGGMRPGAKTSWKIPLSDM
+>NM_002227|689595_2_598_749
+MEPTTMSSQCGVILQRSRKMATRKKRFQMQPLSLMPAHWSICLLRDSMIW
+>DECOY_NM_002227|689595_2_598_749
+WIMSDRLLCISWHAPMLSLPQMQFRKKRTAMKRSRQLIVGCQSSMTTPEM
+>NM_002227|689597_2_655_749
+MATRKKRFQMQPLSLMPAHWSICLLRDSMIW
+>DECOY_NM_002227|689597_2_655_749
+WIMSDRLLCISWHAPMLSLPQMQFRKKRTAM
+>NM_002227|689598_2_1561_1643
+MAVMVQSVQNTPSINCGKKEARRGCTC
+>DECOY_NM_002227|689598_2_1561_1643
+CTCGRRAEKKGCNISPTNQVSQVMVAM
+>NM_002227|689599_2_1570_1643
+MVQSVQNTPSINCGKKEARRGCTC
+>DECOY_NM_002227|689599_2_1570_1643
+CTCGRRAEKKGCNISPTNQVSQVM
+>NM_002227|689603_2_3097_3173
+MVLSSSWNFCLREALRNIFQRIRTK
+>DECOY_NM_002227|689603_2_3097_3173
+KTRIRQFINRLAERLCFNWSSSLVM
+>NM_001203|689624_2_323_441
+MVRVQPPPPVQRSCVVNATTIVQKTQSTIFAAQTDIVSR
+>DECOY_NM_001203|689624_2_323_441
+RSVIDTQAAFITSQTKQVITTANVVCSRQVPPPPQVRVM
+>NM_001203|689625_2_1301_1434
+MELAVLLTWAWLLNLLVIQMKLTYHLTLELAPNAICLQKCWTRA
+>DECOY_NM_001203|689625_2_1301_1434
+ARTWCKQLCIANPALELTLHYTLKMQIVLLNLLWAWTLLVALEM
+>NM_212475|689688_2_5550_5680
+MESMSYSLHLMVKKTLQSCKASDRVLSTQSVWLPCTMIWRASP
+>DECOY_NM_212475|689688_2_5550_5680
+PSARWIMTCPLWVSQTSLVRDSAKCSQLTKKVMLHLSYSMSEM
+>NM_001880|689719_2_1154_1338
+MVADWLGLSQRNLDRSHYNSQPHPLQKLRLLQLTQLHRPKVQVVVGEEQLTKILMKKGESF
+>DECOY_NM_001880|689719_2_1154_1338
+FSEGKKMLIKTLQEEGVVVQVKPRHLQTLQLLRLKQLPHPQSNYHSRDLNRQSLGLWDAVM
+>NM_000439|689755_2_708_919
+MVWSGITRTFMPTMIQRLAMILMIMTMIHFPDMIPQTRTNTGPDVQEKLPCKQIITNAGLELHTIPKLEA
+>DECOY_NM_000439|689755_2_708_919
+AELKPITHLELGANTIIQKCPLKEQVDPGTNTRTQPIMDPFHIMTMIMLIMALRQIMTPMFTRTIGSWVM
+>NM_000439|689764_2_1074_1504
+MVSNRGDRGRGPSSSGLRETGGVREIIVTVMATQTASTPSPSAVPPSKAYPPGTLRSAPPHWPPLTAAEITPTRESRALTCTMTARRRTQAPRPLHLWLLASSLWPWKQTQISPGEICSTWLSGPLSMTRWPITLDGKRMEQA
+>DECOY_NM_000439|689764_2_1074_1504
+AQEMRKGDLTIPWRTMSLPGSLWTSCIEGPSIQTQKWPWLSSALLWLHLPRPAQTRRRATMTCTLARSERTPTIEAATLPPWHPPASRLTGPPYAKSPPVASPSPTSATQTAMVTVIIERVGGTERLGSSSPGRGRDGRNSVM
+>NM_000439|689765_2_1164_1504
+MATQTASTPSPSAVPPSKAYPPGTLRSAPPHWPPLTAAEITPTRESRALTCTMTARRRTQAPRPLHLWLLASSLWPWKQTQISPGEICSTWLSGPLSMTRWPITLDGKRMEQA
+>DECOY_NM_000439|689765_2_1164_1504
+AQEMRKGDLTIPWRTMSLPGSLWTSCIEGPSIQTQKWPWLSSALLWLHLPRPAQTRRRATMTCTLARSERTPTIEAATLPPWHPPASRLTGPPYAKSPPVASPSPTSATQTAM
+>NM_000439|689782_3_592_707
+MVLARYQDDGSPAQAGPSCDTCLAKRHYGQRSCYHRTG
+>DECOY_NM_000439|689782_3_592_707
+GTRHYCSRQGYHRKALCTDCSPGAQAPSGDDQYRALVM
+>NM_021632|689845_2_439_518
+MESTVEPVQTYGKLIMCWSACRVKAW
+>DECOY_NM_021632|689845_2_439_518
+WAKVRCASWCMILKGYTQVPEVTSEM
+>NM_021632|689846_2_706_833
+MGTPFFMLTMNDFILQLNSLQVKNSSALSPNSSVPSIRKHEN
+>DECOY_NM_021632|689846_2_706_833
+NEHKRISPVSSNPSLASSNKVQLSNLQLIFDNMTLMFFPTGM
+>NM_017588|689870_3_635_708
+MGCENREVPQDFASSLGSSLGRSF
+>DECOY_NM_017588|689870_3_635_708
+FSRGLSSGLSSAFDQPVERNECGM
+>NM_000668|689888_2_460_848
+MAPGGSPAGGSPFTTSLAPAPSPSTRWWMRMQWPKLMQPRPWRKSASLAVDSRLVMGLQLTLPRSPQALPVLCLAWEGSAYLLLWAVKQLEQPESLRWTSTRTNLQRPKSWVPLNASTLKTTRNPFRKC
+>DECOY_NM_000668|689888_2_460_848
+CKRFPNRTTKLTSANLPVWSKPRQLNTRTSTWRLSEPQELQKVAWLLLYASGEWALCLVPLAQPSRPLTLQLGMVLRSDVALSASKRWPRPQMLKPWQMRMWWRTSPSPAPALSTTFPSGGAPSGGPAM
+>NM_000668|689892_2_625_848
+MGLQLTLPRSPQALPVLCLAWEGSAYLLLWAVKQLEQPESLRWTSTRTNLQRPKSWVPLNASTLKTTRNPFRKC
+>DECOY_NM_000668|689892_2_625_848
+CKRFPNRTTKLTSANLPVWSKPRQLNTRTSTWRLSEPQELQKVAWLLLYASGEWALCLVPLAQPSRPLTLQLGM
+>NM_007191|689907_2_707_801
+MEAFVMKDASASVLMGSTDLTVRKPFVPHDV
+>DECOY_NM_007191|689907_2_707_801
+VDHPVFPKRVTLDTSGMLVSASADKMVFAEM
+>NM_007191|689909_2_995_1191
+MEVNALVKANVSVPKVTRETSVQSLSASLAVVHMEPAMNPTNANVKKVGMEDTAIKGTKPASYMP
+>DECOY_NM_007191|689909_2_995_1191
+PMYSAPKTGKIATDEMGVKKVNANTPNMAPEMHVVALSASLSQVSTERTVKPVSVNAKVLANVEM
+>NM_139165|689925_3_569_723
+MEERQRAGKVFQEALKGRLRSLAQGILRALGGNARTDSVTSKCFRYPLVFF
+>DECOY_NM_139165|689925_3_569_723
+FFVLPYRFCKSTVSDTRANGGLARLIGQALSRLRGKLAEQFVKGARQREEM
+>NM_004736|689955_2_2140_2249
+MGYETARRIGHGSTTRAYPCAGLASLLNPRLVTLRY
+>DECOY_NM_004736|689955_2_2140_2249
+YRLTVLRPNLLSALGACPYARTTSGHGIRRATEYGM
+>NM_003786|690050_3_856_1556
+MEEAGKADGTTQGFSSTWEKCLRRGRGAAGCPAQAPEALLPEGPAGHLRLQLPHQCLLQAYPGPALLHQSTAAQHPDQVYLQPHGPLLVGLPGGWADVPVLHDAVADLTTLLPLHLCDWGEVSYWDHGCHLQEGSGYHQLSQTCVHCGGNCQPHVSGCPALHGPCPLPQSAVVSTPADHPGDLLPLAEPRSLCPGWSRFHGLADSTQRSCGREDARLPGKANEIEGLAHQADE
+>DECOY_NM_003786|690050_3_856_1556
+EDAQHALGEIENAKGPLRADERGCSRQTSDALGHFRSWGPCLSRPEALPLLDGPHDAPTSVVASQPLPCPGHLAPCGSVHPQCNGGCHVCTQSLQHYGSGEQLHCGHDWYSVEGWDCLHLPLLTTLDAVADHLVPVDAWGGPLGVLLPGHPQLYVQDPHQAATSQHLLAPGPYAQLLCQHPLQLRLHGAPGEPLLAEPAQAPCGAAGRGRRLCKEWTSSFGQTTGDAKGAEEM
+>NM_003786|690051_3_2173_2273
+MDPELHSSGKRAFRQSPEPQALPADSGGLCLAS
+>DECOY_NM_003786|690051_3_2173_2273
+SALCLGGSDAPLAQPEPSQRFARKGSSHLEPDM
+>NM_000020|690116_2_920_1053
+MAKCGGACGTVRVWPSRSSPRGMNSPGSGRLRSITQCCSDTTTS
+>DECOY_NM_000020|690116_2_920_1053
+STTTDSCCQTISRLRGSGPSNMGRPSSRSPWVRVTGCAGGCKAM
+>NM_000029|690147_3_879_973
+MGRGPWGHRPLPNGCLWHPGLSLSGSLGPHS
+>DECOY_NM_000029|690147_3_879_973
+SHPGLSGSLSLGPHWLCGNPLPRHGWPGRGM
+>NM_000029|690148_3_1287_1477
+MEDWLLPDGSQCGQHPGFQHLRPLPREDEGLLPAGRAPGVLGGQQHLSVCSHALWHGHLPALE
+>DECOY_NM_000029|690148_3_1287_1477
+ELAPLHGHWLAHSCVSLHQQGGLVGPARGAPLLGEDERPLPRLHQFGPHQGCQSGDPLLWDEM
+>NM_000416|690323_2_299_528
+MVLRIQNGLMPASIFLIIIVIFLIMLVIHQILFGSELKPGLDKKNLPMQSQKNLLYAEMEKLDHLNWISERRRSKS
+>DECOY_NM_000416|690323_2_299_528
+SKSRRRESIWNLHDLKEMEAYLLNKQSQMPLNKKDLGPKLESGFLIQHIVLMILFIVIIILFISAPMLGNQIRLVM
+>NM_000416|690327_2_1331_1416
+MVLILIPAVWNHIAPYLTQNFPQIIKVK
+>DECOY_NM_000416|690327_2_1331_1416
+KVKIIQPFNQTLYPAIHNWVAPILILVM
+>NM_004521|690381_2_702_802
+MEQYLHMDKHPLGRHTQWRVNFMIQKAWELFQE
+>DECOY_NM_004521|690381_2_702_802
+EQFLEWAKQIMFNVRWQTHRGLPHKDMHLYQEM
+>NM_004521|690388_2_1578_1699
+MGRRCLLMNSLTKRKPTWKLSQWIKILLLPMINQQPQLEL
+>DECOY_NM_004521|690388_2_1578_1699
+LELQPQQNIMPLLLIKIWQSLKWTPKRKTLSNMLLCRRGM
+>NM_003880|690404_2_318_421
+MAVDAVKSVPSNQGKSAMKLTSVTHTKGCIVTTQ
+>DECOY_NM_003880|690404_2_318_421
+QTTVICGKTHTVSTLKMASKGQNSPVSKVADVAM
+>NM_198578|690516_2_2702_2832
+MEIFLKMCCLNLMNGPLFLTLLWTVCLLKVMTWIVKEVKAHFL
+>DECOY_NM_198578|690516_2_2702_2832
+LFHAKVEKVIWTMVKLLCVTWLLTLFLPGNMLNLCCMKLFIEM
+>NM_005514|690596_2_784_941
+MARTKLRTLSLWRPDQQEIEPSRSGQLWWCLLEKSRDTHAMYSMRGCRSPSP
+>DECOY_NM_005514|690596_2_784_941
+PSPSRCGRMSYMAHTDRSKELLCWWLQGSRSPEIEQQDPRWLSLTRLKTRAM
+>NM_005514|690599_3_944_1050
+MGAVFPVHRPHRGHCCWPGCPSSCGHRSCGRCCDV
+>DECOY_NM_005514|690599_3_944_1050
+VDCCRGCSRHGCSSPCGPWCCHGRHPRHVPFVAGM
+>NM_002213|690619_2_1801_2291
+MGRTRACTRTCAGRQRASHCAAGVGTAAATSAPASRASSARSMGLSVSATTSPVPGTRESSAQAMASVTAGNASAMQVTSGTTVTARQTSAHAGAEMARSAASVGTVSVGSANARSRGPLGRCVRSAPPARMHAAPREIASSACCSTLGNLTTRPATAYAGMR
+>DECOY_NM_002213|690619_2_1801_2291
+RMGAYATAPRTTLNGLTSCCASSAIERPAAHMRAPPASRVCRGLPGRSRANASGVSVTGVSAASRAMEAGAHASTQRATVTTGSTVQMASANGATVSAMAQASSERTGPVPSTTASVSLGMSRASSARSAPASTAAATGVGAACHSARQRGACTRTCARTRGM
+>NM_002213|690621_2_1993_2291
+MASVTAGNASAMQVTSGTTVTARQTSAHAGAEMARSAASVGTVSVGSANARSRGPLGRCVRSAPPARMHAAPREIASSACCSTLGNLTTRPATAYAGMR
+>DECOY_NM_002213|690621_2_1993_2291
+RMGAYATAPRTTLNGLTSCCASSAIERPAAHMRAPPASRVCRGLPGRSRANASGVSVTGVSAASRAMEAGAHASTQRATVTTGSTVQMASANGATVSAM
+>NM_002213|690623_2_2089_2291
+MARSAASVGTVSVGSANARSRGPLGRCVRSAPPARMHAAPREIASSACCSTLGNLTTRPATAYAGMR
+>DECOY_NM_002213|690623_2_2089_2291
+RMGAYATAPRTTLNGLTSCCASSAIERPAAHMRAPPASRVCRGLPGRSRANASGVSVTGVSAASRAM
+>NM_002011|690716_3_1543_1649
+MGVPPGQAGAWEAPRRGLLWPGSTCRGLWHGPCPA
+>DECOY_NM_002011|690716_3_1543_1649
+APCPGHWLGRCTSGPWLLGRRPAEWAGAQGPPVGM
+>NM_002011|690717_3_2203_2336
+MGDLHPRGLPVSWHPGGGAVLAAAGGTSDGPTPTLPPRAVRADA
+>DECOY_NM_002011|690717_3_2203_2336
+ADARVARPPLTPTPGDSTGGAAALVAGGGPHWSVPLGRPHLDGM
+>NM_000926|690788_2_2478_2686
+MVSLPVGAVRSSLRGQWKGSTTTYVLEEMTASLIKSAEKTAQHVALESAVRLAWSLEVENLKSSIKSEL
+>DECOY_NM_000926|690788_2_2478_2686
+LESKISSKLNEVELSWALRVASELAVHQATKEASKILSATMEELVYTTTSGKWQGRLSSRVAGVPLSVM
+>NM_017593|690847_2_734_813
+MVGTMYFVTLAVPLINFLILKKMELM
+>DECOY_NM_017593|690847_2_734_813
+MLEMKKLILFNILPVALTVFYMTGVM
+>NM_017593|690849_2_1415_1722
+MALKFYWVRDLLSSRHSSIEYSSNYSREIGDYSNSIYSIVILTSSSSSSSSNSNSSSSNSNSSSSSSSSSTTTTTTTTYFKMLICSSINMQHSSNRCFNNNF
+>DECOY_NM_017593|690849_2_1415_1722
+FNNNFCRNSSHQMNISSCILMKFYTTTTTTTTSSSSSSSSSNSNSSSSNSNSSSSSSSSTLIVISYISNSYDGIERSYNSSYEISSHRSSLLDRVWYFKLAM
+>NM_006965|690863_2_871_1274
+MEKPVSPRAGLKEREIPLERNNIYVMNVENTSVRAQPLFFIKEFTVGRNLMDVLSVGKHSAEVPFLCNTRESTLEKNLTNVLNVGKPLARIRGLLIIRESILGRNLMNAFSVGNRIVKAQIFLDIREDTMQKNF
+>DECOY_NM_006965|690863_2_871_1274
+FNKQMTDERIDLFIQAKVIRNGVSFANMLNRGLISERIILLGRIRALPKGVNLVNTLNKELTSERTNCLFPVEASHKGVSLVDMLNRGVTFEKIFFLPQARVSTNEVNMVYINNRELPIEREKLGARPSVPKEM
+>NM_004626|690955_2_757_863
+MGCLAPAPSAPAGRGCRSCRMWLLTSRPDTCRPPR
+>DECOY_NM_004626|690955_2_757_863
+RPPRCTDPRSTLLWMRCSRCGRGAPASPAPALCGM
+>NM_000379|691038_2_1445_1641
+MVEWPTEPSQPSRPLRGSFPSSGRRSCCRTCVQDWQRSCICLPMPLVAWWTSGAPSPSASSSSST
+>DECOY_NM_000379|691038_2_1445_1641
+TSSSSSASPSPAGSTWWAVLPMPLCICSRQWDQVCTRCCSRRGSSPFSGRLPRSPQSPETPWEVM
+>NM_198892|691179_2_2273_2613
+MALQTLSRTVKQVQHLKISGLERKPQYRVKCKRGMMNLKVILNQIPLLLRAVKRKSKMMKKFFRGNKEILMMMILNQKIWVIGLSSWILKMRKKRRNIALILIMSRLKQSTVT
+>DECOY_NM_198892|691179_2_2273_2613
+TVTSQKLRSMILILAINRRKKRMKLIWSSLGIVWIKQNLIMMMLIEKNGRFFKKMMKSKRKVARLLLPIQNLIVKLNMMGRKCKVRYQPKRELGSIKLHQVQKVTRSLTQLAM
+>NM_014308|691278_2_1760_1980
+MGPALVPVHPLGARRPHPRQTPLGTPALESWAPPHGRRAPMTSPTTSACWTPGMSAMYWASCTCPLKSCASSP
+>DECOY_NM_014308|691278_2_1760_1980
+PSSACSKLPCTCSAWYMASMGPTWCASTTPSTMPARRGHPPAWSELAPTGLPTQRPHPRRAGLPHVPVLAPGM
+>NM_000179|691379_2_1071_1162
+MALLKGKALGRKRPQPPNKQLAFHQKPRIL
+>DECOY_NM_000179|691379_2_1071_1162
+LIRPKQHFALQKNPPQPRKRGLAKGKLLAM
+>NM_000179|691384_2_4044_4129
+MALMQQGLLISQRKLFKRDIEKQENLRR
+>DECOY_NM_000179|691384_2_4044_4129
+RRLNEQKEIDRKFLKRQSILLGQQMLAM
+>NM_002200|691429_2_278_591
+MVPARTEITPSSRPGPRRQGNTPKAWMKPIRPSGRPTCAVPLTRAGTSASSTTGPGTCHLSPTRSTRSAPMALLPQTPSPLRITLLVQERRRKKRKSCRGCCQA
+>DECOY_NM_002200|691429_2_278_591
+AQCCGRCSKRKKRRREQVLLTIRLPSPTQPLLAMPASRTSRTPSLHCTGPGTTSSASTGARTLPVACTPRGSPRIPKMWAKPTNGQRRPGPRSSPTIETRAPVM
+>NM_172219|691468_2_209_312
+MAQRSRRSCVPPTSCATPRSWCCSDTLWASPGLP
+>DECOY_NM_172219|691468_2_209_312
+PLGPSAWLTDSCCWSRPTACSTPPVCSRRSRQAM
+>NM_002952|691505_2_423_574
+MATSVWVLSAPRRWPPPSVGPSSWPSSPSSPCAEATGGTRSASPTLSLAR
+>DECOY_NM_002952|691505_2_423_574
+RALSLTPSASRTGGTAEACPSSPSSPWSSPGVSPPPWRRPASLVWVSTAM
+>NM_022144|691514_2_506_609
+MALMKHWKCTTLKTDTLASTSWVFKNVLSKLRLK
+>DECOY_NM_022144|691514_2_506_609
+KLRLKSLVNKFVWSTSALTDTKLTTCKWHKMLAM
+>NM_004385|691606_2_581_753
+MEISRLVRTTKGECLCPHIPRLWAMPPSLWSSCWQVMRVFTAVTSCTGLKTHKTRCH
+>DECOY_NM_004385|691606_2_581_753
+HCRTKHTKLGTCSTVATFVRMVQWCSSWLSPPMAWLRPIHPCLCEGKTTRVLRSIEM
+>NM_004385|691609_2_890_963
+MDLSSVTQAGWLIRLSDIPSGLPE
+>DECOY_NM_004385|691609_2_890_963
+EPLGSPIDSLRILWGAQTVSSLDM
+>NM_004385|691610_2_971_1242
+MEIRWERQESGLMDSVLPRKLTMCIVMWIIWMVMCSTSLSPVNSPSRRLQKSVKTRMPGWQQWGNSRRHGGTALTSAITGGCRMPACATL
+>DECOY_NM_004385|691610_2_971_1242
+LTACAPMRCGGTIASTLATGGHRRSNGWQQWGPMRTKVSKQLRRSPSNVPSLSTSCMVMWIIWMVICMTLKRPLVSDMLGSEQREWRIEM
+>NM_004385|691632_2_9731_9996
+MEPLVLMVLTHSGASAFQVMLVHFVSKIPRHVTMAGTNSKGSATNTLPIDAHGMQLNGNAVCRVPISQASCLTKNKCLLIVWAMIISG
+>DECOY_NM_004385|691632_2_9731_9996
+GSIIMAWVILLCKNKTLCSAQSIPVRCVANGNLQMGHADIPLTNTASGKSNTGAMTVHRPIKSVFHVLMVQFASAGSHTLVMLVLPEM
+>NM_004385|691635_2_9830_9996
+MAGTNSKGSATNTLPIDAHGMQLNGNAVCRVPISQASCLTKNKCLLIVWAMIISG
+>DECOY_NM_004385|691635_2_9830_9996
+GSIIMAWVILLCKNKTLCSAQSIPVRCVANGNLQMGHADIPLTNTASGKSNTGAM
+>NM_004385|691641_2_10136_10224
+MASGMMFPAITISPIRARKEQSLAASPLL
+>DECOY_NM_004385|691641_2_10136_10224
+LLPSAALSQEKRARIPSITIAPFMMGSAM
+>NM_078483|691687_3_360_547
+MVPDLDPPVKRQHWHRTPGTPSGGEKCRHRDGSHQPADHRHRGRALHGYPGEMCSPLLPQAE
+>DECOY_NM_078483|691687_3_360_547
+EAQPLLPSCMEGPYGHLARGRHRHDAPQHSGDRHRCKEGGSPTGPTRHWHQRKVPPDLDPVM
+>NM_000132|691772_2_1468_1613
+MALSGLVGSTKKSDLWHTQMKPLRLVKLFSMNQESWDLYFMGKLETHC
+>DECOY_NM_000132|691772_2_1468_1613
+CHTELKGMFYLDWSEQNMSFLKVLRLPKMQTHWLDSKKTSGVLGSLAM
+>NM_000132|691783_2_4762_4868
+MGLLAIWISWKGAFFREQRERLSGMKQTDLEKFPF
+>DECOY_NM_000132|691783_2_4762_4868
+FPFKELDTQKMGSLRERQERFFAGKWSIWIALLGM
+>NM_000132|691784_2_4939_5030
+MVLRYQKKSGNPKRSHQKKQLLRKRIPFCP
+>DECOY_NM_000132|691784_2_4939_5030
+PCFPIRKRLLQKKQHSRKPNGSKKQYRLVM
+>NM_000132|691793_2_6355_6464
+MDSGPQSWPDFIIPDQSMPGAPRSPFLGSRWICWHQ
+>DECOY_NM_000132|691793_2_6355_6464
+QHWCIWRSGLFPSRPAGPMSQDPIIFDPWSQPGSDM
+>NM_000218|691821_2_1051_1166
+MGTRCPRRGSGRPSPPASLSLPSPSLRSQRGFLARGLP
+>DECOY_NM_000218|691821_2_1051_1166
+PLGRALFGRQSRLSPSPLSLSAPPSPRGSGRRPCRTGM
+>NM_002996|691891_3_306_475
+MGQGRDAASGPPGCCPNSKWRHLREADRRGEAQDHPCRRGNGRVCGPGARSHRRKQ
+>DECOY_NM_002996|691891_3_306_475
+QKRRHSRAGPGCVRGNGRRCPHDQAEGRRDAERLHRWKSNPCCGPPGSAADRGQGM
+>NM_000383|691902_2_395_564
+MAGCSPSWTASPKMWTSASPGRGGSPRPSPRLWYRHPDSPPRGRPQKRLELPRQQP
+>DECOY_NM_000383|691902_2_395_564
+PQQRPLELRKQPRGRPPSDPHRYWLRPSPRPSGGRGPSASTWMKPSATWSPSCGAM
+>NM_003810|691986_2_606_682
+MVNWSSMKKGFTTSIPKHTFDFRRK
+>DECOY_NM_003810|691986_2_606_682
+KRRFDFTHKPISTTFGKKMSSWNVM
+>NM_000362|692022_2_1514_1590
+MARCTRGCATSWRGGTSSPSPSARG
+>DECOY_NM_000362|692022_2_1514_1590
+GRASPSPSSTGGRWSTACGRTCRAM
+>NM_007360|692049_3_382_464
+MECCIPKLIIQPRSSNSLDRKLLWPMS
+>DECOY_NM_007360|692049_3_382_464
+SMPWLLKRDLSNSSRPQIILKPICCEM
+>NM_004644|692083_2_1618_1745
+MERSSNTWQSLQTTSRCPWPEPASCGSSESTVSMSPGLHLMS
+>DECOY_NM_004644|692083_2_1618_1745
+SMLHLGPSMSVTSESSGCSAPEPWPCRSTTQLSQWTNSSREM
+>NM_004644|692091_2_2398_2501
+MVRGRQRRRCQREKEKRHPLMRAAIPAVAHQSPR
+>DECOY_NM_004644|692091_2_2398_2501
+RPSQHAVAPIAARMLPHRKEKERQCRRRQRGRVM
+>NM_018136|692175_2_951_1033
+MVQLACHSLYVDLLPTHLFMHQKIGNY
+>DECOY_NM_018136|692175_2_951_1033
+YNGIKQHMFLHTPLLDVYLSHCALQVM
+>NM_018136|692180_2_3336_3409
+MEIQFYLRILWIGTEKKLSGCFGK
+>DECOY_NM_018136|692180_2_3336_3409
+KGFCGSLKKETGIWLIRLYFQIEM
+>NM_018136|692192_3_7228_7370
+MDDKEKDARDAQGCYFHPVYFQNAQITYEISGFETGLRCDPTAIPSK
+>DECOY_NM_018136|692192_3_7228_7370
+KSPIATPDCRLGTEFGSIEYTIQANQFYVPHFYCGQADRADKEKDDM
+>NM_001063|692217_2_696_811
+MVLGMWPLSSTRLYLRTWQTRLTGTSMSCFAWTTPGSR
+>DECOY_NM_001063|692217_2_696_811
+RSGPTTWAFCSMSTGTLRTQWTRLYLRTSSLPWMGLVM
+>NM_005896|692242_2_892_1010
+MGVLKTSFRRYMTSSTSPSLKLKRSGMSIGSSTTWWPKL
+>DECOY_NM_005896|692242_2_892_1010
+LKPWWTTSSGISMGSRKLKLSPSTSSTMYRRFSTKLVGM
+>NM_001145412|692354_2_1613_1737
+MVLKHQYILLGIWYNPCHHLRGRALTCMMPNVRTHQRKNCL
+>DECOY_NM_001145412|692354_2_1613_1737
+LCNKRQHTRVNPMMCTLARGRLHHCPNYWIGLLIYQHKLVM
+>NM_001099780|692367_2_234_409
+MESLLQLTRVPPVAAMWRVQPHARSSLCTSTSWVPPLAPLPTVLPGIGYYSGSCGFGN
+>DECOY_NM_001099780|692367_2_234_409
+NGFGCSGSYYGIGPLVTPLPALPPVWSTSTCLSSRAHPQVRWMAAVPPVRTLQLLSEM
+>NM_000430|692448_3_846_964
+MDSPSARKICIEWSQESSHSSHFPSCVQCYGLCFRGCYN
+>DECOY_NM_000430|692448_3_846_964
+NYCGRFCLGYCQVCSPFHSSHSSEQSWEICIKRASPSDM
+>NM_000044|692556_2_1395_1636
+MVLPKPIVEAPQATWSWMRNSNLHSRSRPWSATPREVASQSLEPPWPPARGCRSSCQHLRTRMTQLPHPRCPCWAPLSPA
+>DECOY_NM_000044|692556_2_1395_1636
+APSLPAWCPCRPHPLQTMRTRLHQCSSRCGRAPPWPPELSQSAVERPTASWPRSRSHLNSNRMWSWTAQPAEVIPKPLVM
+>NM_000044|692558_2_2337_2548
+MGTWRACMARVQRDPVLGHPQPPLPHPGTLSSQPKKASCMDRVVVVGVVAAAAAAAAAAAAAAAAARREL
+>DECOY_NM_000044|692558_2_2337_2548
+LERRAAAAAAAAAAAAAAAAAVVGVVVVRDMCSAKKPQSSLTGPHPLPPQPHGLVPDRQVRAMCARWTGM
+>NM_000044|692562_2_2829_2989
+MELSHVEAARSSSKEPLKGNRSTCAPAEMIALLINSEGKIVHLVVFGNVMKQG
+>DECOY_NM_000044|692562_2_2829_2989
+GQKMVNGFVVLHVIKGESNILLAIMEAPACTSRNGKLPEKSSSRAAEVHSLEM
+>NM_001553|692683_2_623_708
+MEFKGQNSCLVTGTTWPFRPGVAQKSMK
+>DECOY_NM_001553|692683_2_623_708
+KMSKQAVGPRFPWTTGTVLCSNQGKFEM
+>NM_002658|692697_2_366_562
+MVTFTEERPALTPWAGPACPGTLPLSFSKRTMPTDLMLFSWAWGNIITAGTQTTGGDPGAMCRWA
+>DECOY_NM_002658|692697_2_366_562
+AWRCMAGPDGGTTQTGATIINGWAWSFLMLDTPMTRKSFSLPLTGPCAPGAWPTLAPREETFTVM
+>NM_139266|692802_3_1290_1456
+MGPHLQSFPAAHSELVCGGKTALHANAPSEAAGLEDRGPVHCEVETVGEIARAEL
+>DECOY_NM_139266|692802_3_1290_1456
+LEARAIEGVTEVECHVPGRDELGAAESPANAHLATKGGCVLESHAAPFSQLHPGM
+>NM_139266|692804_3_1869_1975
+MGSAFRSAELAVFFCHQKRSQCGPAEHVGREASWS
+>DECOY_NM_139266|692804_3_1869_1975
+SWSAERGVHEAPGCQSRKQHCFFVALEASRFASGM
+>NM_000211|692814_2_1611_1816
+MARASWSAASAGVTLATLGKTVSARHRAGAARSWKEAAGRTTTPSSAQGWGTVSAGSACATPATSPAS
+>DECOY_NM_000211|692814_2_1611_1816
+SAPSTAPTACASGASVTGWGQASSPTTTRGAAEKWSRAAGARHRASVTKGLTALTVGASAASWSARAM
+>NM_001128834|692833_3_711_886
+MARTSRQVCGHHLCPDRCVAPGVCLLCCACVHLLQHLDHLPVYCLPQQDLCQYRQSLC
+>DECOY_NM_001128834|692833_3_711_886
+CLSQRYQCLDQQPLCYVPLHDLHQLLHVCACCLLCVGPAVCRDPCLHHGCVQRSTRAM
+>NM_000509|692874_2_1141_1226
+MACSSVPGTMTMISLKATVLNRMDLVGG
+>DECOY_NM_000509|692874_2_1141_1226
+GGVLDMRNLVTAKLSIMTMTGPVSSCAM
+>NM_000785|692887_3_550_1145
+MAKAPQSPGPAPPPASSGRPLRRNPEQRSLRPCAASEAPAGTWHGAARPGSGRGGGILQVRTGRHRRGSARLALGLPGGSSATRHGDLHPRCGLGVCVHAVDHGDAPLAAPPCAWALGPPLPRLGPDVCICSEARGAARGRGSHEERRTAREGPGVWGAPDPLPVPGRVACPVHPGKCDRVAIGGSGHGVQHALLGSV
+>DECOY_NM_000785|692887_3_550_1145
+VSGLLAHQVGHGSGGIAVRDCKGPHVPCAVRGPVPLPDPAGWVGPGERATRREEHSGRGRAAGRAESCICVDPGLRPLPPGLAWACPPAALPADGHDVAHVCVGLGCRPHLDGHRTASSGGPLGLALRASGRRHRGTRVQLIGGGRGSGPRAAGHWTGAPAESAACPRLSRQEPNRRLPRGSSAPPPAPGPSQPAKAM
+>NM_020126|693216_2_871_986
+MGRSPLTCYLGRPGCFYWSIPLGVGAWPGSGVRTTCFP
+>DECOY_NM_020126|693216_2_871_986
+PFCTTRVGSGPWAGVGLPISWYFCGPRGLYCTLPSRGM
+>NM_058004|693346_2_3730_3830
+MAWRRPWPAGSGCWLARMEWKCRSCGRWQGPGT
+>DECOY_NM_058004|693346_2_3730_3830
+TGPGQWRGCSRCKWEMRALWCGSGAPWPRRWAM
+>NM_001752|693508_2_501_610
+MVTGISLEITPPFSSSGIPYCFHLLSTAKREILRHI
+>DECOY_NM_001752|693508_2_501_610
+IHRLIERKATSLLHFCYPIGSSSFPPTIELSIGTVM
+>NM_001752|693510_2_726_934
+MDHILSSWLMQMGRQFIANSIIRLTRASKTFLLKMRRDFPRKILTMASGIFLTPLPQESTPPGLFTSRS
+>DECOY_NM_001752|693510_2_726_934
+SRSTFLGPPTSEQPLPTLFIGSAMTLIKRPFDRRMKLLFTKSARTLRIISNAIFQRGMQMLWSSLIHDM
+>NM_001752|693514_2_861_934
+MASGIFLTPLPQESTPPGLFTSRS
+>DECOY_NM_001752|693514_2_861_934
+SRSTFLGPPTSEQPLPTLFIGSAM
+>NM_005018|693595_2_735_898
+MGSWISSGERRPRSPPCPVSLSRRSMPPLSFLAEWAPHPPPAGAQLTALGVPSH
+>DECOY_NM_005018|693595_2_735_898
+HSPVGLATLQAGAPPPHPAWEALFSLPPMSRRSLSVPCPPSRPRREGSSIWSGM
+>NM_005018|693601_3_133_380
+MVLRLPRQALEPPHLLPSPARGDRRGQRHLHLQLLQHIGELRAKLVPHEPQQPDGQAGRLPRGPQPARPGLPLPCHTTAQRA
+>DECOY_NM_005018|693601_3_133_380
+ARQATTHCPLPLGPRAPQPGRPLRGAQGDPQQPEHPVLKARLEGIHQLLQLHLHRQGRRDGRAPSPLLHPPELAQRPLRLVM
+>NM_001034845|693621_2_995_1089
+MGNLTPLLKRTMMTQLTGKMVLIFSSATILL
+>DECOY_NM_001034845|693621_2_995_1089
+LLITASSFILVMKGTLQTMMTRKLLPTLNGM
+>NM_001034845|693629_2_2192_2343
+MVLKEHGLMNSFLPLDGEKIFDPVSHCIPGNSALMRSHTTAPLHSMTVMA
+>DECOY_NM_001034845|693629_2_2192_2343
+AMVTMSHLPATTHSRMLASNGPICHSVPDFIKEGDLPLFSNMLGHEKLVM
+>NM_003151|693729_2_1842_1933
+MVTSPGPSSARNIYLVNHLPFGHGLKQYWI
+>DECOY_NM_003151|693729_2_1842_1933
+IWYQKLGHGFPLHNVLYINRASSPGPSTVM
+>NM_004447|693753_2_540_646
+MVQKQVQRPFMNKGRIMHGTVSAVCQIYLNTVLNT
+>DECOY_NM_004447|693753_2_540_646
+TNLVTNLYIQCVASVTGHMIRGKNMFPRQVQKQVM
+>NM_004447|693762_2_2274_2398
+MAQDQLILPLLHHLLQHQLLFLFPFPLPLQHLFLCQRSQQI
+>DECOY_NM_004447|693762_2_2274_2398
+IQQSRQCLFLHQLPLPFPFLFLLQHQLLHHLLPLILQDQAM
+>NM_015881|693784_2_849_1006
+MGPSVTTRGTASRGCAVPSREACCSLCAHPCPWRASFAMTPPAGFWTSSPGS
+>DECOY_NM_015881|693784_2_849_1006
+SGPSSTWFGAPPTMAFSARWPCPHACLSCCAERSPVACGRSATGRTTVSPGM
+>NM_015881|693787_2_1122_1222
+MGRSCCPERSPMSMKLAASWRRCARSWRTWRGA
+>DECOY_NM_015881|693787_2_1122_1222
+AGRWTRWSRACRRWSAALKMSMPSREPCCSRGM
+>NM_006058|693815_2_1349_1443
+MATKRVRLGGQAHRRWKGQARRQWLDSSRLV
+>DECOY_NM_006058|693815_2_1349_1443
+VLRSSDLWQRRAQGKWRRHAQGGLRVRKTAM
+>NM_006058|693818_2_2273_2430
+MASRTGPRSATPLPPWPWSTRPHSPTRASSICRNTPGVYPVEGFEIQIRAPK
+>DECOY_NM_006058|693818_2_2273_2430
+KPARIQIEFGEVPYVGPTNRCISSARTPSHPRTSWPWPPLPTASRPGTRSAM
+>NM_001559|693936_2_881_1122
+MATPSILKSQVFPLVQPCLSANWPVSIVMKFKYVEQRSSLVLLQNSLKIYPAYRRENRGLWPAPGKEDETPTYTLSILYS
+>DECOY_NM_001559|693936_2_881_1122
+SYLISLTYTPTEDEKGPAPWLGRNERRYAPYIKLSNQLLVLSSRQEVYKFKMVISVPWNASLCPQVLPFVQSKLISPTAM
+>NM_001006605|694054_3_884_978
+MAKKGQNSHRTSRICGRCFPWPLRKFPHVRY
+>DECOY_NM_001006605|694054_3_884_978
+YRVHPFKRLPWPFCRGCIRSTRHSNQGKKAM
+>NM_000545|694093_2_252_484
+MGKTSRHPSSKSWRTSALRRRPTRKPWWRPFCRRTRGVWRRWSSPTCSSTTSHSGRWSIPLASTSPTCPNTSTRALP
+>DECOY_NM_000545|694093_2_252_484
+PLARTSTNPCTPSTSALPISWRGSHSTTSSCTPSSWRRWVGRTRRCFPRWWPKRTPRRRLASTRWSKSSPHRSTKGM
+>NM_000364|694109_2_268_395
+MAQWRSPNQSPGRSCPTWCLPRSPMEREWTLMTSTGSAWRRT
+>DECOY_NM_000364|694109_2_268_395
+TRRWASGTSTMLTWEREMPSRPLCWTPCSRGPSQNPSRWQAM
+>NM_001010|694136_3_173_276
+MEGLCGPNQWWERQTRFPHEAGCLDPWPCPPATE
+>DECOY_NM_001010|694136_3_173_276
+ETAPPCPWPDLCGAEHPFRTQREWWQNPGCLGEM
+>NM_003173|694196_2_799_1055
+MGVAGASAPWRRFARTASSWSTWERSLPQRRQSGGARSTTVRAPPTSLTWTTWRTCTPWMPPTMATSPTLSTTVVTPTCRCTTSS
+>DECOY_NM_003173|694196_2_799_1055
+SSTTCRCTPTVVTTSLTPSTAMTPPMWPTCTRWTTWTLSTPPARVTTSRAGGSQRRQPLSREWTSWSSATRAFRRWPASAGAVGM
+>NM_003173|694199_3_233_492
+MAWISRLREHLGATAESQVCAYPQAVPQGLRKGAAPAAPPVKDPPAPGPKLGQLPGAEGQAEAGAPSLGAGAQCQAQPSGTHHCRE
+>DECOY_NM_003173|694199_3_233_492
+ERCHHTGSPQAQCQAGAGLSPAGAEAQGEAGPLQGLKPGPAPPDKVPPAAPAAGKRLGQPVAQPYACVQSEATAGLHERLRSIWAM
+>NM_006534|694273_2_1130_1230
+MGSHGPRNVTIKKLILMAMQKPQYIDSRWLMEL
+>DECOY_NM_006534|694273_2_1130_1230
+LEMLWRSDIYQPKQMAMLILKKITVNRPGHSGM
+>NM_006534|694275_2_1286_1398
+MALSQPTSFRENRMDIDQTQILLDKGLDHLWLDATVR
+>DECOY_NM_006534|694275_2_1286_1398
+RVTADLWLHDLGKDLLIQTQDIDMRNERFSTPQSLAM
+>NM_006534|694276_2_1325_1398
+MDIDQTQILLDKGLDHLWLDATVR
+>DECOY_NM_006534|694276_2_1325_1398
+RVTADLWLHDLGKDLLIQTQDIDM
+>NM_005419|694340_3_857_936
+MVHSWSKAVVSPEAAAEGAEGTELPG
+>DECOY_NM_005419|694340_3_857_936
+GPLETGEAGEAAAEPSVVAKSWSHVM
+>NM_001458|694390_2_2076_2218
+MAPAMCGTGPRSLGSTLCTSSVTMRTSETHPSLPTSCPPHLTASQIR
+>DECOY_NM_001458|694390_2_2076_2218
+RIQSATLHPPCSTPLSPHTESTRMTVSSTCLTSGLSRPGTGCMAPAM
+>NM_001458|694395_2_3336_3439
+MVTRCLAARLLWRVSCPLIPPRSVLMARVSRVDW
+>DECOY_NM_001458|694395_2_3336_3439
+WDVRSVRAMLVSRPPILPCSVRWLLRAALCRTVM
+>NM_001458|694396_2_3543_3772
+MVMAHVLSATCPRSLASTPSTSCLLRPTSLARPSKPPFGLCLTRARCGPVDRAWSAARSVRQPPSLWTAQRQARRS
+>DECOY_NM_001458|694396_2_3543_3772
+SRRAQRQATWLSPPQRVSRAASWARDVPGCRARTLCLGFPPKSPRALSTPRLLCSTSPTSALSRPCTASLVHAMVM
+>NM_001458|694397_2_3549_3772
+MAHVLSATCPRSLASTPSTSCLLRPTSLARPSKPPFGLCLTRARCGPVDRAWSAARSVRQPPSLWTAQRQARRS
+>DECOY_NM_001458|694397_2_3549_3772
+SRRAQRQATWLSPPQRVSRAASWARDVPGCRARTLCLGFPPKSPRALSTPRLLCSTSPTSALSRPCTASLVHAM
+>NM_001458|694399_2_3897_4015
+MAGIPCPNSPPVSMCSLRSIPVASRSQGLVLSHTVSCGR
+>DECOY_NM_001458|694399_2_3897_4015
+RGCSVTHSLVLGQSRSAVPISRLSCMSVPPSNPCPIGAM
+>NM_001458|694401_2_4128_4240
+MGTAPTECSTPPTRRACIWWRSCMMRSLCPRAPSEWA
+>DECOY_NM_001458|694401_2_4128_4240
+AWESPARPCLSRMMCSRWWICARRTPPTSCETPATGM
+>NM_001458|694402_2_4413_4519
+MVAAPWSTSPSLLETMTSTSPSGGGPSQGARSACQ
+>DECOY_NM_001458|694402_2_4413_4519
+QCASRAGQSPGGGSPSTSTMTELLSPSTSWPAAVM
+>NM_001458|694406_2_5055_5203
+MAVMRSPTRPSASMLCPLGMPASASSQCPLEAMAWVPAWALESRLGRRR
+>DECOY_NM_001458|694406_2_5055_5203
+RRRGLRSELAWAPVWAMAELPCQSSASAPMGLPCLMSASPRTPSRMVAM
+>NM_001458|694416_2_5820_6064
+MAWSTSQPPSLLSPKMLEKGVCHWPWRAHPRQRSPVRTTRMAPAPCPICRLRLETTASSCASMTSTSRGAPSQPRSQVMTP
+>DECOY_NM_001458|694416_2_5820_6064
+PTMVQSRPQSPAGRSTSTMSACSSATTELRLRCIPCPAPAMRTTRVPSRQRPHARWPWHCVGKELMKPSLLSPPQSTSWAM
+>NM_001458|694418_2_5940_6064
+MAPAPCPICRLRLETTASSCASMTSTSRGAPSQPRSQVMTP
+>DECOY_NM_001458|694418_2_5940_6064
+PTMVQSRPQSPAGRSTSTMSACSSATTELRLRCIPCPAPAM
+>NM_001458|694420_2_7305_7477
+MAPAASPMSSRNQVTMRSPSSSMMSTSQTAPLWCLWPPSRMTLAVSLSPASRRRGSR
+>DECOY_NM_001458|694420_2_7305_7477
+RSGRRRSAPSLSVALTMRSPPWLCWLPATQSTSMMSSSPSRMTVQNRSSMPSAAPAM
+>NM_001458|694426_2_7626_7792
+MASTPSMSSSTVPTSLEVPSRSALGSRARLGTQAWCQPTVLGSREALPVCHQSSS
+>DECOY_NM_001458|694426_2_7626_7792
+SSSQHCVPLAERSGLVTPQCWAQTGLRARSGLASRSPVELSTPVTSSSMSPTSAM
+>NM_005211|694454_2_425_624
+MAAWNGMAPHHLTGPCTLMAPAASSAPTTLPSKTRGPIAALSLETPWEAAPPSTSMSKTLPGPGTC
+>DECOY_NM_005211|694454_2_425_624
+CTGPGPLTKSMSTSPPAAEWPTELSLAAIPGRTKSPLTTPASSAAPAMLTCPGTLHHPAMGNWAAM
+>NM_005211|694455_2_443_624
+MAPHHLTGPCTLMAPAASSAPTTLPSKTRGPIAALSLETPWEAAPPSTSMSKTLPGPGTC
+>DECOY_NM_005211|694455_2_443_624
+CTGPGPLTKSMSTSPPAAEWPTELSLAAIPGRTKSPLTTPASSAAPAMLTCPGTLHHPAM
+>NM_005211|694464_2_2903_3144
+MDTKWPSLHLPQRIYTASCRPAGPWSPPTDPPSSRSAPSFRSRPKRTGESGTIPICRAAAEAVAAAAAAVSWRRRALVST
+>DECOY_NM_005211|694464_2_2903_3144
+TSVLARRRWSVAAAAAAVAEAAARCIPITGSEGTRKPRSRFSPASRSSPPDTPPSWPGAPRCSATYIRQPLHLSPWKTDM
+>NM_002959|694525_2_497_600
+MGRTLRILQISSITPLFGLNLAWLLVLRTLERWC
+>DECOY_NM_002959|694525_2_497_600
+CWRELTRLVLLWALNLGFLPTISSIQLIRLTRGM
+>NM_002959|694526_2_758_951
+MACGCPRILGENGKKSTKQYVWPNGDQTTPSSLQPMQMAPAKLTLGLWNYGELQTWEKASKLLV
+>DECOY_NM_002959|694526_2_758_951
+VLLKSAKEWTQLEGYNWLGLTLKAPAMQMPQLSSPTTQDGNPWVYQKTSKKGNEGLIRPCGCAM
+>NM_002959|694533_2_1577_1686
+MGVTPGQRCWKDPTITPSWILEASLWPLSTAAVLSM
+>DECOY_NM_002959|694533_2_1577_1686
+MSLVAATSLPWLSAELIWSPTITPDKWCRQGPTVGM
+>NM_002959|694535_2_1943_2025
+MAAFWATKNSFCGYASHPCVRMVETML
+>DECOY_NM_002959|694535_2_1943_2025
+LMTEVMRVCPHSAYGCFSNKTAWFAAM
+>NM_002959|694555_3_792_886
+MGRNPQSSMFGQMGIRQHHLLYNLCKWLLQS
+>DECOY_NM_002959|694555_3_792_886
+SQLLWKCLNYLLHHQRIGMQGFMSSQPNRGM
+>NM_002959|694556_3_906_1006
+MENFRLGKKLQNYWCENLLIWSWGTFPFCLCDG
+>DECOY_NM_002959|694556_3_906_1006
+GDCLCFPFTGWSWILLNECWYNQLKKGLRFNEM
+>NM_000393|694634_2_1071_1168
+MVNLAEMEILVKWDLQDLRELVDFLGLLVFQV
+>DECOY_NM_000393|694634_2_1071_1168
+VQFVLLGLFDVLERLDQLDWKVLIEMEALNVM
+>NM_000393|694635_2_1089_1168
+MEILVKWDLQDLRELVDFLGLLVFQV
+>DECOY_NM_000393|694635_2_1089_1168
+VQFVLLGLFDVLERLDQLDWKVLIEM
+>NM_000393|694639_2_2484_2620
+MVLMAQKAVQVHLGPLEIQAHQVFKVCREKEELQELLAPRVTEVA
+>DECOY_NM_000393|694639_2_2484_2620
+AVETVRPALLEQLEEKERCVKFVQHAQIELPGLHVQVAKQAMLVM
+>NM_000393|694642_2_2655_2743
+MVQEVFQVLWALQVRQVLLEKRVNLVLEV
+>DECOY_NM_000393|694642_2_2655_2743
+VELVLNVRKELLVQRVQLAWLVQFVEQVM
+>NM_000393|694644_2_3195_3331
+MGNLVQMAPLVQLERPGREELLACLGNVEREACPAYQAQREHQEK
+>DECOY_NM_000393|694644_2_3195_3331
+KEQHERQAQYAPCAEREVNGLCALLEERGPRELQVLPAMQVLNGM
+>NM_000393|694647_2_3438_3601
+MVPQDGMVLLENVVIVETLGLQVCQALRVPLELLALWVLQEMQDKEEIRVLGVL
+>DECOY_NM_000393|694647_2_3438_3601
+LVGLVRIEEKDQMEQLVWLALLELPVRLAQCVQLGLTEVIVVNELLVMGDQPVM
+>NM_000393|694648_2_3456_3601
+MVLLENVVIVETLGLQVCQALRVPLELLALWVLQEMQDKEEIRVLGVL
+>DECOY_NM_000393|694648_2_3456_3601
+LVGLVRIEEKDQMEQLVWLALLELPVRLAQCVQLGLTEVIVVNELLVM
+>NM_000393|694649_2_3672_3889
+METEATEVRRATEALLVFRVFLALLVQMVNKEVLESLDHLAQEVLQAQLVLQVKKETLGHLGQLDLQVYEAV
+>DECOY_NM_000393|694649_2_3672_3889
+VAEYVQLDLQGLHGLTEKKVQLVLQAQLVEQALHDLSELVEKNVMQVLLALFVRFVLLAETARRVETAETEM
+>NM_004172|694827_2_489_694
+MEKSPRWGAGWRDSSRESVNAHFWPRRKCRTLQRRMLKVTCFGMLLCCSQSPLSLWVQSLDLPSDHTE
+>DECOY_NM_004172|694827_2_489_694
+ETHDSPLDLSQVWLSLPSQSCCLLMGFCTVKLMRRQLTRCKRRPWFHANVSERSSDRWGAGWRPSKEM
+>NM_005041|694937_3_1406_1503
+MGPVGGLVHCHGCLCEALLWWPGAEDEHRVGQ
+>DECOY_NM_005041|694937_3_1406_1503
+QGVRHEDEAGPWWLLAECLCGHCHVLGGVPGM
+>NM_000833|695027_2_2591_2730
+MELPFRKALLGRGRSTWPCFSLWVMVRWRSWRPCGSLGSATTRRTR
+>DECOY_NM_000833|695027_2_2591_2730
+RTRRTTASGLSGCPRWSRWRVMVWLSFCPWTSRGRGLLAKRFPLEM
+>NM_000833|695047_3_1422_1507
+MGKGGQVGEPYAEPEARRVAQVQVLLRL
+>DECOY_NM_000833|695047_3_1422_1507
+LRLLVQVQAVRRAEPEAYPEGVQGGKGM
+>NM_013254|695127_2_822_991
+MDQLTGVETCLFLAVFLGVFRFYLPLFLQTSLKQIRKSVGVLTSFLQKLVIYFTEW
+>DECOY_NM_013254|695127_2_822_991
+WETFYIVLKQLFSTLVGVSKRIQKLSTQLFLPLYFRFVGLFVALFLCTEVGTLQDM
+>NM_000885|695182_2_1904_2043
+MALKMLLSELHKKMTCKVLFIFTMAVQMGSRQPSHRELKDFRSANR
+>DECOY_NM_000885|695182_2_1904_2043
+RNASRFDKLERHSPQRSGMQVAMTFIFLVKCTMKKHLESLLMKLAM
+>NM_006670|695207_2_1249_1343
+MAPWLSCKVYPTLGFSWTTIPGSATATWQTW
+>DECOY_NM_006670|695207_2_1249_1343
+WTQWTATASGPITTWSFGLTPYVKCSLWPAM
+>NM_000627|695254_2_2250_2422
+MDSALMWTSAWNQTSAQMVIVPTLKAPTCVHATKAIPGLRTTSTVEILMNVSKGIYV
+>DECOY_NM_000627|695254_2_2250_2422
+VYIGKSVNMLIEVTSTTRLGPIAKTAHVCTPAKLTPVIVMQASTQNWASTWMLASDM
+>NM_001017963|695410_2_1522_1658
+MVTRRRRRRLRKSTSIKKSSTKQSPSGPEIPTILLMRSTENSIRA
+>DECOY_NM_001017963|695410_2_1522_1658
+ARISNETSRMLLITPIEPGSPSQKTSSKKISTSKRLRRRRRRTVM
+>NM_002504|695500_2_593_1032
+MVEDQKSRGNSNVNGVTEQLQNRRMLDPKVPNLWGFSTLTLQRHPLEKEYWMGMEPDEMSREDTHRKGLPGKWRGPGHDQAEIHQNRRATDIQTQDTETTWAPFQRMTSMKDQQNLPVTVRTWQSSTSLPGGLTKRNALYGGRILK
+>DECOY_NM_002504|695500_2_593_1032
+KLIRGGYLANRKTLGGPLSTSSQWTRVTVPLNQQDKMSTMRQFPAWTTETDQTQIDTARRNQHIEAQDHGPGRWKGPLGKRHTDERSMEDPEMGMWYEKELPHRQLTLTSFGWLNPVKPDLMRRNQLQETVGNVNSNGRSKQDEVM
+>NM_002504|695503_2_752_1032
+MEPDEMSREDTHRKGLPGKWRGPGHDQAEIHQNRRATDIQTQDTETTWAPFQRMTSMKDQQNLPVTVRTWQSSTSLPGGLTKRNALYGGRILK
+>DECOY_NM_002504|695503_2_752_1032
+KLIRGGYLANRKTLGGPLSTSSQWTRVTVPLNQQDKMSTMRQFPAWTTETDQTQIDTARRNQHIEAQDHGPGRWKGPLGKRHTDERSMEDPEM
+>NM_002504|695515_3_1224_1309
+MGKVSSISSRWPEWLEVPCLSECFCTCS
+>DECOY_NM_002504|695515_3_1224_1309
+SCTCFCESLCPVELWEPWRSSISSVKGM
+>NM_000264|695564_2_1053_1156
+MVTWTAPASIRPIQTAPPQPPTKIQPNLLIWPLF
+>DECOY_NM_000264|695564_2_1053_1156
+FLPWILLNPQIKTPPQPPATQIPRISAPATWTVM
+>NM_000264|695572_2_2811_2893
+MDQTMESLPTNSWCKPAAAISPSTSAS
+>DECOY_NM_000264|695572_2_2811_2893
+SASTSPSIAAAPKCWSNTPLSEMTQDM
+>NM_001136018|695655_2_171_349
+MGGGGQARGPQPGRTTASALSRWKRQMRRSTTYTRGSISSVSPHLWRTAASTMASTPTT
+>DECOY_NM_001136018|695655_2_171_349
+TTPTSAMTSAATRWLHPSVSSISGRTYTTSRRMQRKWRSLASATTRGPQPGRAQGGGGM
+>NM_000634|695689_3_709_809
+MADGVADPASHLWLHRAAVCHAVLLWIHPAYTV
+>DECOY_NM_000634|695689_3_709_809
+VTYAPHIWLLVAHCVAARHLWLHSAPDAVGDAM
+>NM_003789|695746_2_96_265
+MGTKSGWAAHTCLWSPRWTRWSCRMPTRTPSRRWQCTGLCRLPWQRAAGARTCCRC
+>DECOY_NM_003789|695746_2_96_265
+CRCCTRAGAARQWPLRCLGTCQWRRSPTRTPMRCSWRTWRPSWLCTHAAWGSKTGM
+>NM_033668|695773_2_1441_1697
+MGHLSVARAGAMKGVLVDIVNAAQMKLTVKTWMLTAGKKTVQKSAVTMESASADSVFVGRGIIQMKFILANSASVIISTVIDPMA
+>DECOY_NM_033668|695773_2_1441_1697
+AMPDIVTSIIVSASNALIFKMQIIGRGVFVSDASASEMTVASKQVTKKGATLMWTKVTLKMQAANVIDVLVGKMAGARAVSLHGM
+>NM_033668|695777_2_1582_1697
+MESASADSVFVGRGIIQMKFILANSASVIISTVIDPMA
+>DECOY_NM_033668|695777_2_1582_1697
+AMPDIVTSIIVSASNALIFKMQIIGRGVFVSDASASEM
+>NM_033668|695778_2_1711_2018
+MVFASVVCVSATPTTLAVHVTVLWILVLVKPATDRSAMAGASASVVSVSVQIRSFKGKRVRCVRPALVSVLSIKNVFSAEPSIKEKRKTHAHRNVPILTLPR
+>DECOY_NM_033668|695778_2_1711_2018
+RPLTLIPVNRHAHTKRKEKISPEASFVNKISLVSVLAPRVCRVRKGKFSRIQVSVSVVSASAGAMASRDTAPKVLVLIWLVTVHVALTTPTASVCVVSAFVM
+>NM_033668|695779_2_1822_2018
+MAGASASVVSVSVQIRSFKGKRVRCVRPALVSVLSIKNVFSAEPSIKEKRKTHAHRNVPILTLPR
+>DECOY_NM_033668|695779_2_1822_2018
+RPLTLIPVNRHAHTKRKEKISPEASFVNKISLVSVLAPRVCRVRKGKFSRIQVSVSVVSASAGAM
+>NM_002336|695959_2_2831_2985
+MGTAPTSAWLCQLGVLFVDALPTTLLMLTTGLVVLLRLSCSSVKRVPSTAW
+>DECOY_NM_002336|695959_2_2831_2985
+WATSPVRKVSSCSLRLLVVLGTTLMLLTTPLADVFLVGLQCLWASTPATGM
+>NM_002336|695962_2_3809_4122
+MVLQGVLAPCTWFYFKMSYHVENLQHVLLSSLLVSRGKLTVSLWLGGAMGLLNVKTTVMNSIVLYAQSPSSSVPVGSVLMVPSDAMEMQTARTNQMRRTVKCFV
+>DECOY_NM_002336|695962_2_3809_4122
+VFCKVTRRMQNTRATQMEMADSPVMLVSGVPVSSSPSQAYLVISNMVTTKVNLLGMAGGLWLSVTLKGRSVLLSSLLVHQLNEVHYSMKFYFWTCPALVGQLVM
+>NM_002336|695964_2_3953_4122
+MGLLNVKTTVMNSIVLYAQSPSSSVPVGSVLMVPSDAMEMQTARTNQMRRTVKCFV
+>DECOY_NM_002336|695964_2_3953_4122
+VFCKVTRRMQNTRATQMEMADSPVMLVSGVPVSSSPSQAYLVISNMVTTKVNLLGM
+>NM_004994|696034_2_173_291
+MVTLGWQRCVESRNLWGLRCCFSRSNCPCPRPVSWIAPR
+>DECOY_NM_004994|696034_2_173_291
+RPAIWSVPRPCPCNSRSFCCRLGWLNRSEVCRQWGLTVM
+>NM_004994|696039_2_1121_1245
+MGASGALPPRTLTATRSGASARTKDTVCSSWRRMSSATRWA
+>DECOY_NM_004994|696039_2_1121_1245
+AWRTASSMRRWSSCVTDKTRASAGSRTATLTRPPLAGSAGM
+>NM_004994|696042_2_1625_1857
+MGSTGDSLRAGGAGRRAPSLSPTSGPRCPASWTRSLRSGSPRSFSSSLGARCGCTQARRCWARGVWTSWAWEPTWPR
+>DECOY_NM_004994|696042_2_1625_1857
+RPWTPEWAWSTWVGRAWCRRAQTCGCRAGLSSSFSRPSGSRLSRTWSAPCRPGSTPSLSPARRGAGGARLSDGTSGM
+>NM_014420|696428_2_433_548
+MAHMQKEQLGTQSRKTNPKGSQVLRNHKAGRDKREKVV
+>DECOY_NM_014420|696428_2_433_548
+VVKERKDRGAKHNRLVQSGKPNTKRSQTGLQEKQMHAM
+>NM_001031696|696450_2_1437_1582
+MGCGGPPTSVASRCACSSAAGDTRSHPCGPSCSLWLPCVTTIPTLTSR
+>DECOY_NM_001031696|696450_2_1437_1582
+RSTLTPITTVCPLWLSCSPGCPHSRTDGAASSCACRSAVSTPPGGCGM
+>NM_000242|696455_2_213_484
+MGVMAPREKRGNQAKGSEAYRAPLESWGLQEIQGLLGHQDQRAKKETLEKVRMVIVAWLPQKEKLCKQKWHVSKSGSPSLWANKLGTSSS
+>DECOY_NM_000242|696455_2_213_484
+SSSTGLKNAWLSPSGSKSVHWKQKCLKEKQPLWAVIVMRVKELTEKKARQDQHGLLGQIEQLGWSELPARYAESGKAQNGRKERPAMVGM
+>NM_000242|696456_2_222_484
+MAPREKRGNQAKGSEAYRAPLESWGLQEIQGLLGHQDQRAKKETLEKVRMVIVAWLPQKEKLCKQKWHVSKSGSPSLWANKLGTSSS
+>DECOY_NM_000242|696456_2_222_484
+SSSTGLKNAWLSPSGSKSVHWKQKCLKEKQPLWAVIVMRVKELTEKKARQDQHGLLGQIEQLGWSELPARYAESGKAQNGRKERPAM
+>NM_000242|696459_2_576_658
+MEPFRISSRRKPSWASLMRRQKGSLWI
+>DECOY_NM_000242|696459_2_576_658
+IWLSGKQRRMLSAWSPKRRSSIRFPEM
+>NM_002614|696573_2_155_342
+MASSCELRRTPRATWSGWLRSVAQQRRLAFKMETEFLGSMVSLWTKKNICRLWIWSERVGIQ
+>DECOY_NM_002614|696573_2_155_342
+QIGVRESWIWLRCINKKTWLSVMSGLFETEMKFALRRQQAVSRLWGSWTARPTRRLECSSAM
+>NM_002614|696574_2_248_342
+METEFLGSMVSLWTKKNICRLWIWSERVGIQ
+>DECOY_NM_002614|696574_2_248_342
+QIGVRESWIWLRCINKKTWLSVMSGLFETEM
+>NM_014618|696791_2_1213_1397
+MGRGSTCARTASVAANVPRSFRSATAPSRTSRSWSTRWPTWPSLGPKLIRTWRIQMSLNHL
+>DECOY_NM_014618|696791_2_1213_1397
+LHNLSMQIRWTRILKPGLSPWTPWRTSWSRSTRSPATASRFSRPVNAAVSATRACTSGRGM
+>NM_014618|696794_2_1654_1742
+MGFGEPSWRASGAACATAAPRCASAPSPA
+>DECOY_NM_014618|696794_2_1654_1742
+APSPASACRPAATACAAGSARWSPEGFGM
+>NM_017617|696846_2_1504_1889
+MAAAWTRSMSSSASAPRASLGICASTMWTSVPAPPARMVPSAWTDPTLTPVCARKGTRGRTARWTSMSATPTPATTAPARTASPPSPASAAQATRATTARPTSTSAPASPAATGAPARTATTPTSASA
+>DECOY_NM_017617|696846_2_1504_1889
+ASASTPTTATRAPAGTAAPSAPASTSTPRATTARTAQAASAPSPPSATRAPATTAPTPTASMSTWRATRGRTGKRACVPTLTPDTWASPVMRAPPAPVSTWMTSACIGLSARPASASSSMSRTWAAAM
+>NM_017617|696849_2_1615_1889
+MVPSAWTDPTLTPVCARKGTRGRTARWTSMSATPTPATTAPARTASPPSPASAAQATRATTARPTSTSAPASPAATGAPARTATTPTSASA
+>DECOY_NM_017617|696849_2_1615_1889
+ASASTPTTATRAPAGTAAPSAPASTSTPRATTARTAQAASAPSPPSATRAPATTAPTPTASMSTWRATRGRTGKRACVPTLTPDTWASPVM
+>NM_017617|696860_2_3352_3533
+MEGSVWTRATRTTAAARRATQAATVRTWWTSAHPAPARTGPPARTTWAATPASAWPATTG
+>DECOY_NM_017617|696860_2_3352_3533
+GTTAPWASAPTAAWTTRAPPGTRAPAPHASTWWTRVTAAQTARRAAATTRTARTWVSGEM
+>NM_017617|696864_2_3952_4331
+MGAPAPWPPTPPAGSSASALRASRAPRVRMTLVPAAACAASTAAHASPARAAPPACAWAPSRAPNASSRPAAPAWAATPATTRGPVSPHPRAPSTVACAPPNSTGSCATSWTTASGVGPGATSPRR
+>DECOY_NM_017617|696864_2_3952_4331
+RRPSTAGPGVGSATTWSTACSGTSNPPACAVTSPARPHPSVPGRTTAPTAAWAPAAPRSSANPARSPAWACAPPAARAPSAHAATSAACAAAPVLTMRVRPARSARLASASSGAPPTPPWPAPAGM
+>NM_017617|696867_2_5290_5390
+MASSGSLRASKCLRPARRSGGSPSARTPWASSP
+>DECOY_NM_017617|696867_2_5290_5390
+PSSAWPTRASPSGGSRRAPRLCKSARLSGSSAM
+>NM_000553|696945_2_4857_4963
+MVLTADFNLHVMSTKGDVFPVLKRSVQVLREARKK
+>DECOY_NM_000553|696945_2_4857_4963
+KKRAERLVQVSRKLVPFVDGKTSMVHLNFDATLVM
+>NM_030964|696963_2_896_1083
+MARACVWCRASSTTARMRTMRAPALTTPAPAPAPTAAPAGPSWVLSPWCCPACSATCLPPAA
+>DECOY_NM_030964|696963_2_896_1083
+AAPPLCTASCAPCCWPSLVWSPGAPAATPAPAPAPTTLAPARMTRMRATTSSARCWVCARAM
+>NM_174936|697147_2_967_1088
+MAPTWQGWSAAGMPAWPRVPACAACACSTAKGRARLAAPS
+>DECOY_NM_174936|697147_2_967_1088
+SPAALRARGKATSCACAACAPVRPWAPMGAASWGQWTPAM
+>NM_002647|697193_2_1937_2052
+MEANIQLYLSMEMIYVKINLFFKSFHSWTSCYGKKIWT
+>DECOY_NM_002647|697193_2_1937_2052
+TWIKKGYCSTWSHFSKFFLNIKVYIMEMSLYLQINAEM
+>NM_002647|697194_2_1967_2052
+MEMIYVKINLFFKSFHSWTSCYGKKIWT
+>DECOY_NM_002647|697194_2_1967_2052
+TWIKKGYCSTWSHFSKFFLNIKVYIMEM
+>NM_002647|697196_2_2093_2259
+MASCSLSSQFLWLKFLIQREAFRTFLENMHQVRMGQMGLVLRSWTLTLKAVLDIA
+>DECOY_NM_002647|697196_2_2093_2259
+AIDLVAKLTLTWSRLVLGMQGMRVQHMNELFTRFAERQILFKLWLFQSSLSCSAM
+>NM_002647|697201_3_1119_1222
+MEADGCRGLLGAVILSLHQPNCEALCCCPVATGR
+>DECOY_NM_002647|697201_3_1119_1222
+RGTAVPCCCLAECNPQHLSLIVAGLLGRCGDAEM
+>NM_206943|697282_2_523_662
+MAGVRPLAPRGAPNLAVFRHVRMEGCVSGHNSVCVNQGPRAKPVKQ
+>DECOY_NM_206943|697282_2_523_662
+QKVPKARPGQNVCVSNHGSVCGEMRVHRFVALNPAGRPALPRVGAM
+>NM_206943|697283_2_589_662
+MEGCVSGHNSVCVNQGPRAKPVKQ
+>DECOY_NM_206943|697283_2_589_662
+QKVPKARPGQNVCVSNHGSVCGEM
+>NM_206943|697284_2_901_995
+MARPRNTCSSPSTFQPRRGFQESSPLKVLSL
+>DECOY_NM_206943|697284_2_901_995
+LSLVKLPSSEQFGRRPQFTSPSSCTNRPRAM
+>NM_002649|697396_2_1475_1596
+MGNKSFAKGEPAPNPSQRRCCGMCGLSSVSKSKTCPKGLY
+>DECOY_NM_002649|697396_2_1475_1596
+YLGKPCTKSKSVSSLGCMGCCRRQSPNPAPEGKAFSKNGM
+>NM_002649|697400_2_2810_2937
+MVMICAKTCLFYRFYESWSLFGRLNLWIYASCHMVAFQLVTK
+>DECOY_NM_002649|697400_2_2810_2937
+KTVLQFAVMHCSAYIWLNLRGFLSWSEYFRYFLCTKACIMVM
+>NM_002649|697407_3_2100_2221
+MGTARNCGQNIPIVGQKGSLGSKCFGCWVNNAAPGLQLLR
+>DECOY_NM_002649|697407_3_2100_2221
+RLLQLGPAANNVWCGFCKSGLSGKQGVIPINQGCNRATGM
+>NM_006290|697449_3_1130_1227
+MAGKQRAGEERGARPESHGTFRAPAFSHGCKM
+>DECOY_NM_006290|697449_3_1130_1227
+MKCGHSFAPARFTGHSEPRAGREEGARQKGAM
+>NM_000243|697507_2_221_393
+MGKSTPCSSPCRSCGPSTSACWPRSSTGQPFRNIPHKKTAQMIPQRPAPWGRTSPGA
+>DECOY_NM_000243|697507_2_221_393
+AGPSTRGWPAPRQPIMQATKKHPINRFPQGTSSRPWCASTSPGCSRCPSSCPTSKGM
+>NM_000243|697510_3_2031_2131
+MDPGSLQDIHKQEREHDSVAREWLLGGDNDEGK
+>DECOY_NM_000243|697510_3_2031_2131
+KGEDNDGGLLWERAVSDHEREQKHIDQLSGPDM
+>NM_003633|697792_2_2185_2288
+MGSQKMSGFMIPCTRSGPRLPPCWWPGLAMALLN
+>DECOY_NM_003633|697792_2_2185_2288
+NLLAMALGPWWCPPLRPGSRTCPIMFGSMKQSGM
+>NM_006502|697993_2_1184_1335
+MGLGYMPCAEGLNMIQLNPGNYPKPLAVVRTSQEKQLLLLGNRYNGGCCN
+>DECOY_NM_006502|697993_2_1184_1335
+NCCGGNYRNGLLLLQKEQSTRVVALPKPYNGPNLQIMNLGEACPMYGLGM
+>NM_006502|698007_3_1545_1642
+MVSSSHNAFPLCYKIFCLCPFIFYRHHQLLEQ
+>DECOY_NM_006502|698007_3_1545_1642
+QELLQHHRYFIFPCLCFIKYCLPFANHSSSVM
+>NM_006502|698008_3_2235_2380
+MGYARTHGLSFCIGVAEILFAAPLFKPPGCFCRISSRQKKSQEPFGLH
+>DECOY_NM_006502|698008_3_2235_2380
+HLGFPEQSKKQRSSIRCFCGPPKFLPAAFLIEAVGICFSLGHTRAYGM
+>NM_006311|698137_2_2167_2540
+MVVTGQQLLKWWERKVKLNVKTSILTIKGDTILTTSYSSINRKLHENLVKSEMCLNVKVSLPLFLLRRMKILKPPMKKKIQKTAKLKLSSPARTVLKMLLLEETQNLRLSLSPPRKLHPVHLPP
+>DECOY_NM_006311|698137_2_2167_2540
+PPLHVPHLKRPPSLSLRLNQTEELLLMKLVTRAPSSLKLKATKQIKKKMPPKLIKMRRLLFLPLSVKVNLCMESKVLNEHLKRNISSYSTTLITDGKITLISTKVNLKVKREWWKLLQQGTVVM
+>NM_006311|698148_2_4168_4319
+MALNIPNKLKGKVLPYEHLKVPLPKENHMMASPPSKKWGVPFMRFQGKIF
+>DECOY_NM_006311|698148_2_4168_4319
+FIKGQFRMFPVGWKKSPPSAMMHNEKPLPVKLHEYPLVKGKLKNPINLAM
+>NM_005241|698211_2_2683_2846
+MGTCPVQQHRRLILNWKVQVRFWMTKKMLTSQKFEISLGTATMAANLPGMWRRE
+>DECOY_NM_005241|698211_2_2683_2846
+ERRWMGPLNAAMTATGLSIEFKQSTLMKKTMWFRVQVKWNLILRRHQQVPCTGM
+>NM_007007|698236_2_237_373
+MEMPQKTEITWILSHQLLVMMWVKEQHQMLSIHILERELHYILEI
+>DECOY_NM_007007|698236_2_237_373
+IELIYHLERELIHISLMQHQEKVWMMVLLQHSLIWTIETKQPMEM
+>NM_018420|698297_3_1212_1375
+MVWSEANIISISVPRRTGLSYCNVSSRKERHRCVCSGEQPFLVLAGEADHQCCL
+>DECOY_NM_018420|698297_3_1212_1375
+LCCQHDAEGALVLFPQEGSCVCRHREKRSSVNCYSLGTRRPVSISIINAESWVM
+>NM_018420|698298_3_1506_1585
+MVFTIHCLRSHGSDLRPPEFVIAGDP
+>DECOY_NM_018420|698298_3_1506_1585
+PDGAIVFEPPRLDSGHSRLCHITFVM
+>NM_003873|698327_2_1166_1281
+MDSLMLALTLGVTVDRKHQVESDPHRAFSPWFFTPTAR
+>DECOY_NM_003873|698327_2_1166_1281
+RATPTFFWPSFARHPDSEVQHKRDVTVGLTLALMLSDM
+>NM_000392|698397_3_504_610
+MVCTEKLLVPVPILDSLDTLWHFPISDSDPDTLTG
+>DECOY_NM_000392|698397_3_504_610
+GTLTDPDSDSIPFHWLTDLSDLIPVPVLLKETCVM
+>NM_001130679|698407_3_1924_2042
+MGSCYVAQVGLKLLASSDPPTSASQSAGITASVPYWRIF
+>DECOY_NM_001130679|698407_3_1924_2042
+FIRWYPVSATIGASQSASTPPDSSALLKLGVQAVYCSGM
+>NM_198999|698430_2_1329_1492
+MATRLTAIRSSLPWDCAIPLAHSSRPFQFHAPCLEALFRREPVGRHSLQVVWPH
+>DECOY_NM_198999|698430_2_1329_1492
+HPWVVQLSHRGVPERRFLAELCPAHFQFPRSSHALPIACDWPLSSRIATLRTAM
+>NM_002168|698516_2_684_829
+MAVVSRSGKCTTSPQAAWAWACTTPTSPSQVLRTAASSMPSRRNGRCT
+>DECOY_NM_002168|698516_2_684_829
+TCRGNRRSPMSSAATRLVQSPSTPTTCAWAWAAQPSTTCKGSRSVVAM
+>NM_002168|698517_2_861_1072
+MGVSRTSSRRSLTSTIRPTSTRIRSGMSTGSLMTWWLRSSSLRVALCGPARTMTEMCSQTSWPRALAPLA
+>DECOY_NM_002168|698517_2_861_1072
+ALPALARPWSTQSCMETMTRAPGCLAVRLSSSRLWWTMLSGTSMGSRIRTSTPRITSTLSRRSSTRSVGM
+>NM_002168|698523_3_814_896
+MAAVHEHQEHHTESLRWAFQGHLPGDL
+>DECOY_NM_002168|698523_3_814_896
+LDGPLHGQFAWRLSETHHEQHEHVAAM
+>NM_032974|698618_2_1136_1209
+MVTEPQMVHQAWSPGGCKEHLLTL
+>DECOY_NM_032974|698618_2_1136_1209
+LTLLHEKCGGPSWAQHVMQPETVM
+>NM_000078|698639_2_277_398
+MGCTTSRSATCPSPAARWSWWKPSPLMSPFRTCLWSSRGP
+>DECOY_NM_000078|698639_2_277_398
+PGRSSWLCTRFPSMLPSPKWWSWRAAPSPCTASRSTTCGM
+>NM_000078|698640_2_403_494
+MATPLPGGWVLISPLTSRSTLPLTSRSTHS
+>DECOY_NM_000078|698640_2_403_494
+SHTSRSTLPLTSRSTLPSILVWGGPLPTAM
+>NM_000110|698693_2_1620_1741
+MESKLLGTFTNTYSHNMELPFLPSLNYPSFTLLLIWWTLV
+>DECOY_NM_000110|698693_2_1620_1741
+VLTWWILLLTFSPYNLSPLFPLEMNHSYTNTFTGLLKSEM
+>NM_000110|698694_2_1668_1741
+MELPFLPSLNYPSFTLLLIWWTLV
+>DECOY_NM_000110|698694_2_1668_1741
+VLTWWILLLTFSPYNLSPLFPLEM
+>NM_020972|698840_2_2732_2907
+MGTLKTPRSGCQTRPVASARRAKHPSPSSAGSTTAAAVGRSSARAAPRTQHRCPATGR
+>DECOY_NM_020972|698840_2_2732_2907
+RGTAPCRHQTRPAARASSRGVAAATTSGASSPSPHKARRASAVPRTQCGSRPTKLTGM
+>NM_172088|699004_2_968_1146
+MGKGIPGRVSRSRVPMPWKPGRMGRDPGKGEQCSPKNRRSSTLSCTWFPLTPPPRMTPM
+>DECOY_NM_172088|699004_2_968_1146
+MPTMRPPPTLPFWTCSLTSSRRNKPSCQEGKGPDRGMRGPKWPMPVRSRSVRGPIGKGM
+>NM_032043|699068_2_496_608
+MALHQLVKTPLKKPLWLQSYLLRNRHPYTEMKMMIFK
+>DECOY_NM_032043|699068_2_496_608
+KFIMMKMETYPHRNRLLYSQLWLPKKLPTKVLQHLAM
+>NM_020041|699200_3_336_493
+MGKKAWTSNRPRHSDFALVCDCVHIRHRWTCGDVNCEDDWKGSWEEAHFAGQ
+>DECOY_NM_020041|699200_3_336_493
+QGAFHAEEWSGKWDDECNVDGCTWRHRIHVCDCVLAFDSHRPRNSTWAKKGM
+>NM_002714|699236_2_2593_3299
+MARATLCGVAQCGGVQDQVLDHTIEAEVAEEETNLLLLLLHSEAPEEVALEEDPQMDEGALVGAWLEVVGIVLTKALVGAWATAVDIVPTKALAVAWEVGIAPMKALVVAWVGVEDIVPTKALAVASVVAVAIVPMKALAEEWVPVVDIAPTKALAEAWVEVVDIVPMKALDTGGPMATGLMMSLVTEAMTIEGRHLMSTVAMMVLATGEGATEGTMEATAMEETCQTALSADIS
+>DECOY_NM_002714|699236_2_2593_3299
+SIDASLATQCTEEMATAEMTGETAGEGTALVMMAVTSMLHRGEITMAETVLSMMLGTAMPGGTDLAKMPVIDVVEVWAEALAKTPAIDVVPVWEEALAKMPVIAVAVVSAVALAKTPVIDEVGVWAVVLAKMPAIGVEWAVALAKTPVIDVATAWAGVLAKTLVIGVVELWAGVLAGEDMQPDEELAVEEPAESHLLLLLLNTEEEAVEAEITHDLVQDQVGGCQAVGCLTARAM
+>NM_002714|699247_2_3202_3299
+MVLATGEGATEGTMEATAMEETCQTALSADIS
+>DECOY_NM_002714|699247_2_3202_3299
+SIDASLATQCTEEMATAEMTGETAGEGTALVM
+>NM_001619|699294_2_1248_1480
+MATCGSRTWAWPVTSPRRSPMPAWAPTGTWLRRSCRRAWPTTAVPTGSLWGACSSSCCGGTAPSGSTRPKTSMRSTA
+>DECOY_NM_001619|699294_2_1248_1480
+ATSRMSTKPRTSGSPATGGCCSSSCAGWLSGTPVATTPWARRCSRRLWTGTPAWAPMPSRRPSTVPWAWTRSGCTAM
+>NM_020974|699317_2_486_559
+MAAASIPVSTSWGAMSAAARRGFS
+>DECOY_NM_020974|699317_2_486_559
+SFGRRAAASMAGWSTSVPISAAAM
+>NM_020974|699318_2_735_865
+MGTVGASTPVTIQPMAQSAAAIHSTRCTQMGGAALSERTLSWR
+>DECOY_NM_020974|699318_2_735_865
+RWSLTRESLAAGGMQTCRTSHIAAASQAMPQITVPTSAGVTGM
+>NM_020974|699320_2_948_1147
+MEAVTAPVRILRQVSTAVVLLDSLSSWMGRHVKILMSARPAMEVVIISAKTSWAVLTAAARKDLNY
+>DECOY_NM_020974|699320_2_948_1147
+YNLDKRAAATLVAWSTKASIIVVEMAPRASMLIKVHRGMWSSLSDLLVVATSVQRLIRVPATVAEM
+>NM_020974|699323_2_1071_1147
+MEVVIISAKTSWAVLTAAARKDLNY
+>DECOY_NM_020974|699323_2_1071_1147
+YNLDKRAAATLVAWSTKASIIVVEM
+>NM_020974|699330_2_2883_3064
+MAGSMHLRTIRKYLRIRNLSRLCLMSWPIPRTISSTQPRSPERCFQDRSSDCYVPKCPGF
+>DECOY_NM_020974|699330_2_2883_3064
+FGPCKPVYCDSSRDQFCREPSRPQTSSITRPIPWSMLCLRSLNRIRLYKRITRLHMSGAM
+>NM_032951|699381_3_695_861
+MVQTALLQCGPRAAGGPRGGAGWAAAPGPQLLFVRHLRHSLHHDSVRPFAPAAAA
+>DECOY_NM_032951|699381_3_695_861
+AAAAPAFPRVSDHHLSHRLHRVFLLQPGPAAAWGAGGRPGGAARPGCQLLATQVM
+>NM_198904|699426_2_989_1092
+MAIHVKKLFINGSEVLLKWATQDPGGFINSHLLV
+>DECOY_NM_198904|699426_2_989_1092
+VLLHSNIFGGPDQTAWKLLVESGNIFLKKVHIAM
+>NM_198904|699428_2_1415_1554
+MAPCIILSATGNQARTKIKRRKTLFFGCFPSRPLPLISAQDQQPFK
+>DECOY_NM_198904|699428_2_1415_1554
+KFPQQDQASILPLPRSPFCGFFLTKRRKIKTRAQNGTASLIICPAM
+>NM_001872|699455_3_618_736
+MDLSCFLLVVHRPYNSILWDNRAIYQSPEACGFLCYASG
+>DECOY_NM_001872|699455_3_618_736
+GSAYCLFGCAEPSQYIARNDWLISNYPRHVVLLFCSLDM
+>NM_172087|699481_2_968_1098
+MGKGIPGRVSRSRVPMPWKPGRMGRDPGKGEQCSPKNRRMTPM
+>DECOY_NM_172087|699481_2_968_1098
+MPTMRRNKPSCQEGKGPDRGMRGPKWPMPVRSRSVRGPIGKGM
+>NM_005938|699541_3_799_899
+MEELDPPQPVPAQQVHQGSQRGHRQKLLVDAEP
+>DECOY_NM_005938|699541_3_799_899
+PEADVLLKQRHGRQSGQHVQQAPVPQPPDLEEM
+>NM_000090|699562_2_475_608
+MVTLVFQDNQGPLVLLAPLESVNHALLVLRTILPSMIHMMSSLE
+>DECOY_NM_000090|699562_2_475_608
+ELSSMMHIMSPLITRLVLLAHNVSELPALLVLPGQNDQFVLTVM
+>NM_000090|699563_2_805_902
+MENQVDPDDLESEDCLDLQVSKVQLGYLDSLV
+>DECOY_NM_000090|699563_2_805_902
+VLSDLYGLQVKSVQLDLCDESELDDPDVQNEM
+>NM_000090|699564_2_976_1343
+MVFQAKMELLDPWVQEGLLVSEDGQDFLGLQVLGVMTVLEAVMVNQALLVLLELPDSLDPLVLRVKLDLQGLLVQMVPLDKEENLDLRDTLVLKVLLALLGLMVVLVVKAKWVPLAFLELLD
+>DECOY_NM_000090|699564_2_976_1343
+DLLELFALPVWKAKVVLVVMLGLLALLVKLVLTDRLDLNEEKDLPVMQVLLGQLDLKVRLVLPDLSDPLELLVLLAQNVMVAELVTMVGLVQLGLFDQGDESVLLGEQVWPDLLEMKAQFVM
+>NM_000090|699565_2_994_1343
+MELLDPWVQEGLLVSEDGQDFLGLQVLGVMTVLEAVMVNQALLVLLELPDSLDPLVLRVKLDLQGLLVQMVPLDKEENLDLRDTLVLKVLLALLGLMVVLVVKAKWVPLAFLELLD
+>DECOY_NM_000090|699565_2_994_1343
+DLLELFALPVWKAKVVLVVMLGLLALLVKLVLTDRLDLNEEKDLPVMQVLLGQLDLKVRLVLPDLSDPLELLVLLAQNVMVAELVTMVGLVQLGLFDQGDESVLLGEQVWPDLLEM
+>NM_000090|699567_2_1102_1343
+MVNQALLVLLELPDSLDPLVLRVKLDLQGLLVQMVPLDKEENLDLRDTLVLKVLLALLGLMVVLVVKAKWVPLAFLELLD
+>DECOY_NM_000090|699567_2_1102_1343
+DLLELFALPVWKAKVVLVVMLGLLALLVKLVLTDRLDLNEEKDLPVMQVLLGQLDLKVRLVLPDLSDPLELLVLLAQNVM
+>NM_000090|699570_2_1426_1721
+MVPKESPDHVVNAVRLVFQVFQELKAKMARMDHLENLVQMGFQELQEKGVPLGSEDLLDQMASQEKRVLLESVVLQALQGPEELLENLAEMASLEVQE
+>DECOY_NM_000090|699570_2_1426_1721
+EQVELSAMEALNELLEEPGQLAQLVVSELLVRKEQSAMQDLLDESGLPVGKEQLEQFGMQVLNELHDMRAMKAKLEQFVQFVLRVANVVHDPSEKPVM
+>NM_000090|699571_2_1507_1721
+MARMDHLENLVQMGFQELQEKGVPLGSEDLLDQMASQEKRVLLESVVLQALQGPEELLENLAEMASLEVQE
+>DECOY_NM_000090|699571_2_1507_1721
+EQVELSAMEALNELLEEPGQLAQLVVSELLVRKEQSAMQDLLDESGLPVGKEQLEQFGMQVLNELHDMRAM
+>NM_000090|699572_2_1516_1721
+MDHLENLVQMGFQELQEKGVPLGSEDLLDQMASQEKRVLLESVVLQALQGPEELLENLAEMASLEVQE
+>DECOY_NM_000090|699572_2_1516_1721
+EQVELSAMEALNELLEEPGQLAQLVVSELLVRKEQSAMQDLLDESGLPVGKEQLEQFGMQVLNELHDM
+>NM_000090|699575_2_1759_2486
+MGNQGLPEVKEKVVDQVLLGHLVPEVSLVSWASPVLKEMMVLLVRMENEVALEDLALRVLLERMVKLDLRDPQGLLGLVVTKETQDPLVHKDYKACLVQVVLQEKMENLGNQVQRVMPVHLELQEARVMLVPLVNVDLLDWQGPQDLEVELVPLVPKEERVLLVLLGHLVLLVLLVCKECLEKEEVLEVLVQRVTRVNQAVQVLMVSQGKMAQGVLLVLLVLLAQLASLEIRVKVVPPDFQV
+>DECOY_NM_000090|699575_2_1759_2486
+VQFDPPVVKVRIELSALQALLVLLVLLVGQAMKGQSVMLVQVAQNVRTVRQVLVELVEEKELCEKCVLLVLLVLHGLLVLLVREEKPVLPVLEVELDQPGQWDLLDVNVLPVLMVRAEQLELHVPMVRQVQNGLNEMKEQLVVQVLCAKYDKHVLPDQTEKTVVLGLLGQPDRLDLKVMRELLVRLALDELAVENEMRVLLVMMEKLVPSAWSVLSVEPVLHGLLVQDVVKEKVEPLGQNGM
+>NM_000090|699577_2_1876_2486
+MVLLVRMENEVALEDLALRVLLERMVKLDLRDPQGLLGLVVTKETQDPLVHKDYKACLVQVVLQEKMENLGNQVQRVMPVHLELQEARVMLVPLVNVDLLDWQGPQDLEVELVPLVPKEERVLLVLLGHLVLLVLLVCKECLEKEEVLEVLVQRVTRVNQAVQVLMVSQGKMAQGVLLVLLVLLAQLASLEIRVKVVPPDFQV
+>DECOY_NM_000090|699577_2_1876_2486
+VQFDPPVVKVRIELSALQALLVLLVLLVGQAMKGQSVMLVQVAQNVRTVRQVLVELVEEKELCEKCVLLVLLVLHGLLVLLVREEKPVLPVLEVELDQPGQWDLLDVNVLPVLMVRAEQLELHVPMVRQVQNGLNEMKEQLVVQVLCAKYDKHVLPDQTEKTVVLGLLGQPDRLDLKVMRELLVRLALDELAVENEMRVLLVM
+>NM_000090|699578_2_1894_2486
+MENEVALEDLALRVLLERMVKLDLRDPQGLLGLVVTKETQDPLVHKDYKACLVQVVLQEKMENLGNQVQRVMPVHLELQEARVMLVPLVNVDLLDWQGPQDLEVELVPLVPKEERVLLVLLGHLVLLVLLVCKECLEKEEVLEVLVQRVTRVNQAVQVLMVSQGKMAQGVLLVLLVLLAQLASLEIRVKVVPPDFQV
+>DECOY_NM_000090|699578_2_1894_2486
+VQFDPPVVKVRIELSALQALLVLLVLLVGQAMKGQSVMLVQVAQNVRTVRQVLVELVEEKELCEKCVLLVLLVLHGLLVLLVREEKPVLPVLEVELDQPGQWDLLDVNVLPVLMVRAEQLELHVPMVRQVQNGLNEMKEQLVVQVLCAKYDKHVLPDQTEKTVVLGLLGQPDRLDLKVMRELLVRLALDELAVENEM
+>NM_000090|699579_2_1948_2486
+MVKLDLRDPQGLLGLVVTKETQDPLVHKDYKACLVQVVLQEKMENLGNQVQRVMPVHLELQEARVMLVPLVNVDLLDWQGPQDLEVELVPLVPKEERVLLVLLGHLVLLVLLVCKECLEKEEVLEVLVQRVTRVNQAVQVLMVSQGKMAQGVLLVLLVLLAQLASLEIRVKVVPPDFQV
+>DECOY_NM_000090|699579_2_1948_2486
+VQFDPPVVKVRIELSALQALLVLLVLLVGQAMKGQSVMLVQVAQNVRTVRQVLVELVEEKELCEKCVLLVLLVLHGLLVLLVREEKPVLPVLEVELDQPGQWDLLDVNVLPVLMVRAEQLELHVPMVRQVQNGLNEMKEQLVVQVLCAKYDKHVLPDQTEKTVVLGLLGQPDRLDLKVM
+>NM_000090|699580_2_2074_2486
+MENLGNQVQRVMPVHLELQEARVMLVPLVNVDLLDWQGPQDLEVELVPLVPKEERVLLVLLGHLVLLVLLVCKECLEKEEVLEVLVQRVTRVNQAVQVLMVSQGKMAQGVLLVLLVLLAQLASLEIRVKVVPPDFQV
+>DECOY_NM_000090|699580_2_2074_2486
+VQFDPPVVKVRIELSALQALLVLLVLLVGQAMKGQSVMLVQVAQNVRTVRQVLVELVEEKELCEKCVLLVLLVLHGLLVLLVREEKPVLPVLEVELDQPGQWDLLDVNVLPVLMVRAEQLELHVPMVRQVQNGLNEM
+>NM_000090|699584_2_2389_2486
+MAQGVLLVLLVLLAQLASLEIRVKVVPPDFQV
+>DECOY_NM_000090|699584_2_2389_2486
+VQFDPPVVKVRIELSALQALLVLLVLLVGQAM
+>NM_000090|699585_2_2569_3821
+MVNLVVKEKEGLRVRKVKEALLELQDPLEVLDLLVLLVPKVSKVNVAVLVDLVLLASLVLVVFLVLLVVMVTQDPQVPAVLQARMGPQVLRVTLVLLAALECLDQKVMLANQERRDRLVPRAHQELQAHLGLLGSLEHGVLQDHQACQVLGEALALRVSRVKVGNQELTVSVENVVPLDPRVFLVWLVQLVNLEEMETLDQMVFQAEMDLLVARVIVVKMALLVPLALLVIQAHLVLSVQLERVVTEEKVALLALLVLPVLLVPEVLLVLKAHVVTKVKQVNVELLASKDIEDSLVIQVPQVLQALLVSRVQSAVQDLQAPEDLLDPVDLLAKMEPVDIQVPLDHQGLEVTEVKEDLRAPQATQGNQALLDLLVPLVLAVVVLEPLPLLGLEVKKLAVLPRIMEMNQWISKSTPMRL
+>DECOY_NM_000090|699585_2_2569_3821
+LRMPTSKSIWQNMEMIRPLVALKKVELGLLPLPELVVVALVLPVLLDLLAQNGQTAQPARLDEKVETVELGQHDLPVQIDVPEMKALLDVPDLLDEPAQLDQVASQVRSVLLAQLVQPVQIVLSDEIDKSALLEVNVQKVKTVVHAKLVLLVEPVLLVPLVLLALLAVKEETVVRELQVSLVLHAQIVLLALPVLLAMKVVIVRAVLLDMEAQFVMQDLTEMEELNVLQVLWVLFVRPDLPVVNEVSVTLEQNGVKVRSVRLALAEGLVQCAQHDQLVGHELSGLLGLHAQLEQHARPVLRDRREQNALMVKQDLCELAALLVLTVRLVQPGMRAQLVAPVQPDQTVMVVLLVLFVVLVLSALLVLDVLVAVNVKSVKPVLLVLLDLVELPDQLELLAEKVKRVRLGEKEKVVLNVM
+>NM_000090|699586_2_2776_3821
+MVTQDPQVPAVLQARMGPQVLRVTLVLLAALECLDQKVMLANQERRDRLVPRAHQELQAHLGLLGSLEHGVLQDHQACQVLGEALALRVSRVKVGNQELTVSVENVVPLDPRVFLVWLVQLVNLEEMETLDQMVFQAEMDLLVARVIVVKMALLVPLALLVIQAHLVLSVQLERVVTEEKVALLALLVLPVLLVPEVLLVLKAHVVTKVKQVNVELLASKDIEDSLVIQVPQVLQALLVSRVQSAVQDLQAPEDLLDPVDLLAKMEPVDIQVPLDHQGLEVTEVKEDLRAPQATQGNQALLDLLVPLVLAVVVLEPLPLLGLEVKKLAVLPRIMEMNQWISKSTPMRL
+>DECOY_NM_000090|699586_2_2776_3821
+LRMPTSKSIWQNMEMIRPLVALKKVELGLLPLPELVVVALVLPVLLDLLAQNGQTAQPARLDEKVETVELGQHDLPVQIDVPEMKALLDVPDLLDEPAQLDQVASQVRSVLLAQLVQPVQIVLSDEIDKSALLEVNVQKVKTVVHAKLVLLVEPVLLVPLVLLALLAVKEETVVRELQVSLVLHAQIVLLALPVLLAMKVVIVRAVLLDMEAQFVMQDLTEMEELNVLQVLWVLFVRPDLPVVNEVSVTLEQNGVKVRSVRLALAEGLVQCAQHDQLVGHELSGLLGLHAQLEQHARPVLRDRREQNALMVKQDLCELAALLVLTVRLVQPGMRAQLVAPVQPDQTVM
+>NM_000090|699587_2_2821_3821
+MGPQVLRVTLVLLAALECLDQKVMLANQERRDRLVPRAHQELQAHLGLLGSLEHGVLQDHQACQVLGEALALRVSRVKVGNQELTVSVENVVPLDPRVFLVWLVQLVNLEEMETLDQMVFQAEMDLLVARVIVVKMALLVPLALLVIQAHLVLSVQLERVVTEEKVALLALLVLPVLLVPEVLLVLKAHVVTKVKQVNVELLASKDIEDSLVIQVPQVLQALLVSRVQSAVQDLQAPEDLLDPVDLLAKMEPVDIQVPLDHQGLEVTEVKEDLRAPQATQGNQALLDLLVPLVLAVVVLEPLPLLGLEVKKLAVLPRIMEMNQWISKSTPMRL
+>DECOY_NM_000090|699587_2_2821_3821
+LRMPTSKSIWQNMEMIRPLVALKKVELGLLPLPELVVVALVLPVLLDLLAQNGQTAQPARLDEKVETVELGQHDLPVQIDVPEMKALLDVPDLLDEPAQLDQVASQVRSVLLAQLVQPVQIVLSDEIDKSALLEVNVQKVKTVVHAKLVLLVEPVLLVPLVLLALLAVKEETVVRELQVSLVLHAQIVLLALPVLLAMKVVIVRAVLLDMEAQFVMQDLTEMEELNVLQVLWVLFVRPDLPVVNEVSVTLEQNGVKVRSVRLALAEGLVQCAQHDQLVGHELSGLLGLHAQLEQHARPVLRDRREQNALMVKQDLCELAALLVLTVRLVQPGM
+>NM_000090|699589_2_3154_3821
+METLDQMVFQAEMDLLVARVIVVKMALLVPLALLVIQAHLVLSVQLERVVTEEKVALLALLVLPVLLVPEVLLVLKAHVVTKVKQVNVELLASKDIEDSLVIQVPQVLQALLVSRVQSAVQDLQAPEDLLDPVDLLAKMEPVDIQVPLDHQGLEVTEVKEDLRAPQATQGNQALLDLLVPLVLAVVVLEPLPLLGLEVKKLAVLPRIMEMNQWISKSTPMRL
+>DECOY_NM_000090|699589_2_3154_3821
+LRMPTSKSIWQNMEMIRPLVALKKVELGLLPLPELVVVALVLPVLLDLLAQNGQTAQPARLDEKVETVELGQHDLPVQIDVPEMKALLDVPDLLDEPAQLDQVASQVRSVLLAQLVQPVQIVLSDEIDKSALLEVNVQKVKTVVHAKLVLLVEPVLLVPLVLLALLAVKEETVVRELQVSLVLHAQIVLLALPVLLAMKVVIVRAVLLDMEAQFVMQDLTEM
+>NM_000090|699591_2_3190_3821
+MDLLVARVIVVKMALLVPLALLVIQAHLVLSVQLERVVTEEKVALLALLVLPVLLVPEVLLVLKAHVVTKVKQVNVELLASKDIEDSLVIQVPQVLQALLVSRVQSAVQDLQAPEDLLDPVDLLAKMEPVDIQVPLDHQGLEVTEVKEDLRAPQATQGNQALLDLLVPLVLAVVVLEPLPLLGLEVKKLAVLPRIMEMNQWISKSTPMRL
+>DECOY_NM_000090|699591_2_3190_3821
+LRMPTSKSIWQNMEMIRPLVALKKVELGLLPLPELVVVALVLPVLLDLLAQNGQTAQPARLDEKVETVELGQHDLPVQIDVPEMKALLDVPDLLDEPAQLDQVASQVRSVLLAQLVQPVQIVLSDEIDKSALLEVNVQKVKTVVHAKLVLLVEPVLLVPLVLLALLAVKEETVVRELQVSLVLHAQIVLLALPVLLAMKVVIVRAVLLDM
+>NM_000090|699592_2_3226_3821
+MALLVPLALLVIQAHLVLSVQLERVVTEEKVALLALLVLPVLLVPEVLLVLKAHVVTKVKQVNVELLASKDIEDSLVIQVPQVLQALLVSRVQSAVQDLQAPEDLLDPVDLLAKMEPVDIQVPLDHQGLEVTEVKEDLRAPQATQGNQALLDLLVPLVLAVVVLEPLPLLGLEVKKLAVLPRIMEMNQWISKSTPMRL
+>DECOY_NM_000090|699592_2_3226_3821
+LRMPTSKSIWQNMEMIRPLVALKKVELGLLPLPELVVVALVLPVLLDLLAQNGQTAQPARLDEKVETVELGQHDLPVQIDVPEMKALLDVPDLLDEPAQLDQVASQVRSVLLAQLVQPVQIVLSDEIDKSALLEVNVQKVKTVVHAKLVLLVEPVLLVPLVLLALLAVKEETVVRELQVSLVLHAQIVLLALPVLLAM
+>NM_000090|699593_2_3568_3821
+MEPVDIQVPLDHQGLEVTEVKEDLRAPQATQGNQALLDLLVPLVLAVVVLEPLPLLGLEVKKLAVLPRIMEMNQWISKSTPMRL
+>DECOY_NM_000090|699593_2_3568_3821
+LRMPTSKSIWQNMEMIRPLVALKKVELGLLPLPELVVVALVLPVLLDLLAQNGQTAQPARLDEKVETVELGQHDLPVQIDVPEM
+>NM_000816|699643_2_1415_1530
+MAPCIILSATGNQARTKIKRRKTLPLPLISAQDQQPFK
+>DECOY_NM_000816|699643_2_1415_1530
+KFPQQDQASILPLPLTKRRKIKTRAQNGTASLIICPAM
+>NM_004154|699675_2_312_427
+MAQARLWACHPPPVSTARTSSNCCCHLCIRRCWRLACR
+>DECOY_NM_004154|699675_2_312_427
+RCALRWCRRICLHCCCNSSTRATSVPPPHCAWLRAQAM
+>NM_004154|699687_3_304_512
+MGQWHRPGSGLATHHLCLPRELQATAAATCVFGGAGGWPAAEHLCHYPDLHVPPGPDPHGRVHPKPCSG
+>DECOY_NM_004154|699687_3_304_512
+GSCPKPHVRGHPDPGPPVHLDPYHCLHEAAPWGGAGGFVCTAAATAQLERPLCLHHTALGSGPRHWQGM
+>NM_002015|699729_2_2138_2214
+MAMAEWAFSTRRSSQVTWMACSLSA
+>DECOY_NM_002015|699729_2_2138_2214
+ASLSCAMWTVQSSRRTSFAWEAMAM
+>NM_005904|699801_2_1167_1255
+MAFASDSSIRTTRVSWCRRCGAKSAAASS
+>DECOY_NM_005904|699801_2_1167_1255
+SSAAASKAGCRRCWSVRTTRISSDSAFAM
+>NM_024009|699816_2_1075_1415
+MASICRAWCSVPTWPPAPTSWTATLPDLPRRKSSPTSWWAPPPSASYSPSVSSATSSATGSCEACTRTSLEGVAAPRPPPAELPPAAATTSWWRLGRWIQTQAITSCRLQHPT
+>DECOY_NM_024009|699816_2_1075_1415
+TPHQLRCSTIAQTQIWRGLRWWSTTAAAPPLEAPPPRPAAVGELSTRTCAECSGTASSTASSVSPSYSASPPPAWWSTPSSKRRPLDPLTATWSTPAPPWTPVSCWARCISAM
+>NM_002410|699912_2_671_828
+MEWMDPPALFLFTSVRLKIGVLIYLGEQKIPTKKLIIIHWRKFVQILIFSTV
+>DECOY_NM_002410|699912_2_671_828
+VTSFILIQVFKRWHIIILKKTPIKQEGLYILVGIKLRVSTFLFLAPPDMWEM
+>NM_002410|699916_2_1625_1749
+MVSSVDGTCSSFFEKPSCLLDLGSLTRAQLPWKLSQMDVLF
+>DECOY_NM_002410|699916_2_1625_1749
+FLVDMQSLKWPLQARTLSGLDLLCSPKEFFSSCTGDVSSVM
+>NM_002410|699918_3_1353_1429
+MESEPSAVLYHVPSYPRQQLSGVCG
+>DECOY_NM_002410|699918_3_1353_1429
+GCVGSLQQRPYSPVHYLVASPESEM
+>NM_016299|700109_2_199_311
+MAGLVWLQMMPVTELLQLLLLTQKMKRLLDWQQNKVE
+>DECOY_NM_016299|700109_2_199_311
+EVKNQQWDLLRKMKQTLLLLQLLETVPMMQLWVLGAM
+>NM_016299|700114_2_1105_1181
+MDLQQMISTRLSFVEGLLESQSYSN
+>DECOY_NM_016299|700114_2_1105_1181
+NSYSQSELLGEVFSLRTSIMQQLDM
+>NM_003839|700223_2_591_679
+MGQRNPMRFAVLLCQLENHQMNPMFTCPV
+>DECOY_NM_003839|700223_2_591_679
+VPCTFMPNMQHNELQCLLVAFRMPNRQGM
+>NM_003839|700227_2_1521_1612
+MGLMGGSQAQRGQVPGLEAPLVASPLHLEM
+>DECOY_NM_003839|700227_2_1521_1612
+MELHLPSAVLPAELGPVQGRQAQSGGMLGM
+>NM_004304|700274_2_1691_1908
+MVWSAALTSPVSWSIPLHCMTSGTRAGPGAASPPRRPPRWTCWMGLGQSVLRRCPEAPFSFSTPQLTPSTPS
+>DECOY_NM_004304|700274_2_1691_1908
+SPTSPTLQPTSFSFPAEPCRRLVSQGLGMWCTWRPPRRPPSAAGPGARTGSTMCHLPISWSVPSTLAASWVM
+>NM_004304|700277_2_2057_2172
+MVGQCSREESGVQTTHFEWPWNTSPVETAACLQWTSLP
+>DECOY_NM_004304|700277_2_2057_2172
+PLSTWQLCAATEVPSTNWPWEFHTTQVGSEERSCQGVM
+>NM_004304|700278_2_2240_2424
+MGQSSSLGRPVTSTRTVPREKMRARCAGNCLWVFTATLKMASVAGPKAHCHPTLLNGRSGP
+>DECOY_NM_004304|700278_2_2240_2424
+PGSRGNLLTPHCHAKPGAVSAMKLTATFVWLCNGACRARMKERPVTRTSTVPRGLSSSQGM
+>NM_004304|700285_2_4277_4353
+MAPLGRCMKARCPECPTTQAPCKWL
+>DECOY_NM_004304|700285_2_4277_4353
+LWKCPAQTTPCEPCRAKMCRGLPAM
+>NM_004304|700294_3_4863_5017
+MGNLFSWIYAIPQQKQPGSSGVCHQWRPDGPTQELPWACIPDNDSVLATSA
+>DECOY_NM_004304|700294_3_4863_5017
+ASTALVSDNDPICAWPLEQTPGDPRWQHCVGSSGPQKQQPIAYIWSFLNGM
+>NM_000400|700391_2_1605_2170
+MGTSCWRCPLWSLMASWPSSPATSTWRAPWPPGMSRGSLRTSRGTSCSLLRPRMVPKPVSPWRSTRRPARMAAGPSCCQWPGAKCPRESTLCTTTGGPSSCLASPTSTHRAAFSRRGWNTCGTSSRFVRMTFLPSMPCATRPSVWVGPSGARRTTASWSLPTSGLPVGTSGGSCPAGSRSTSQMPTST
+>DECOY_NM_000400|700391_2_1605_2170
+TSTPMQSTSRSGAPCSGGSTGVPLGSTPLSWSATTRRAGSPGVWVSPRTACPMSPLFTMRVFRSSTGCTNWGRRSFAARHTSTPSALCSSPGGTTTCLTSERPCKAGPWQCCSPGAAMRAPRRTSRWPSVPKPVMRPRLLSCSTGRSTRLSGRSMGPPWPARWTSTAPSSPWSAMLSWLPCRWCSTGM
+>NM_000400|700394_2_1764_2170
+MVPKPVSPWRSTRRPARMAAGPSCCQWPGAKCPRESTLCTTTGGPSSCLASPTSTHRAAFSRRGWNTCGTSSRFVRMTFLPSMPCATRPSVWVGPSGARRTTASWSLPTSGLPVGTSGGSCPAGSRSTSQMPTST
+>DECOY_NM_000400|700394_2_1764_2170
+TSTPMQSTSRSGAPCSGGSTGVPLGSTPLSWSATTRRAGSPGVWVSPRTACPMSPLFTMRVFRSSTGCTNWGRRSFAARHTSTPSALCSSPGGTTTCLTSERPCKAGPWQCCSPGAAMRAPRRTSRWPSVPKPVM
+>NM_000400|700395_2_1815_2170
+MAAGPSCCQWPGAKCPRESTLCTTTGGPSSCLASPTSTHRAAFSRRGWNTCGTSSRFVRMTFLPSMPCATRPSVWVGPSGARRTTASWSLPTSGLPVGTSGGSCPAGSRSTSQMPTST
+>DECOY_NM_000400|700395_2_1815_2170
+TSTPMQSTSRSGAPCSGGSTGVPLGSTPLSWSATTRRAGSPGVWVSPRTACPMSPLFTMRVFRSSTGCTNWGRRSFAARHTSTPSALCSSPGGTTTCLTSERPCKAGPWQCCSPGAAM
+>NM_152873|700450_2_509_684
+MANSAISPVLQVKGKLGTAQSMGMNQTACPAKKGRSTQTKPIFLPNAEDVDCVMKDMM
+>DECOY_NM_152873|700450_2_509_684
+MMDKMVCDVDEANPLFIPKTQTSRGKKAPCATQNMGMSQATGLKGKVQLVPSIASNAM
+>NM_005454|700504_2_109_236
+MAARIRVLFPPYSCQGIKESFPQATMRKLRRSQICLSQCHTL
+>DECOY_NM_005454|700504_2_109_236
+LTHCQSLCIQSRRLKRMTAQPFSEKIGQCSYPPFLVRIRAAM
+>NM_004431|700709_2_2226_2317
+MGPWTSSFGRRMASSACCSWWACCGASQLA
+>DECOY_NM_004431|700709_2_2226_2317
+ALQSAGCCAWWSCCASSAMRRGFSSTWPGM
+>NM_021976|700724_2_1035_1186
+MGMGRGLGEPPRRCLWTGSWRQSLLWNRRVTRALRVLGEPGVAAAAQMTL
+>DECOY_NM_021976|700724_2_1035_1186
+LTMQAAAAVGPEGLVRLARTVRRNWLLSQRWSGTWLCRRPPEGLGRGMGM
+>NM_021976|700725_2_1041_1186
+MGRGLGEPPRRCLWTGSWRQSLLWNRRVTRALRVLGEPGVAAAAQMTL
+>DECOY_NM_021976|700725_2_1041_1186
+LTMQAAAAVGPEGLVRLARTVRRNWLLSQRWSGTWLCRRPPEGLGRGM
+>NM_002393|700771_2_805_884
+MAQLIYRQIRMWVLPLFQILQMTCGF
+>DECOY_NM_002393|700771_2_805_884
+FGCTMQLIQFLPLVWMRIQRYILQAM
+>NM_000431|700864_2_329_465
+MGKWTSAYPTLVSSGPGMWPGFSHWTQAFWSKVMSQHPPQSKWRS
+>DECOY_NM_000431|700864_2_329_465
+SRWKSQPPHQSMVKSWFAQTWHSFGPWMGPGSSVLTPYASTWKGM
+>NM_000501|700946_2_740_1056
+MDCPTPQGNCPMAMGPEEWLVQRARLVTQQGQGLAPRQQQQRQLKQQQSSVLEQPESSLVLEGLVFLACLGQFLELEASQALGLQLQLQLQQQPLRQPSMELLQA
+>DECOY_NM_000501|700946_2_740_1056
+AQLLEMSPQRLPQQQLQLQLQLGLAQSAELELFQGLCALFVLGELVLSSEPQELVSSQQQKLQRQQQQRPALGQGQQTVLRARQVLWEEPGMAMPCNGQPTPCDM
+>NM_000501|700948_2_779_1056
+MGPEEWLVQRARLVTQQGQGLAPRQQQQRQLKQQQSSVLEQPESSLVLEGLVFLACLGQFLELEASQALGLQLQLQLQQQPLRQPSMELLQA
+>DECOY_NM_000501|700948_2_779_1056
+AQLLEMSPQRLPQQQLQLQLQLGLAQSAELELFQGLCALFVLGELVLSSEPQELVSSQQQKLQRQQQQRPALGQGQQTVLRARQVLWEEPGM
+>NM_005845|701061_2_2130_2278
+MVLWRAKIQRMSQLHYQRRTVLKEKLVFRPIRITSELVLTGLSSFSLFS
+>DECOY_NM_005845|701061_2_2130_2278
+SFLSFSSLGTLVLESTIRIPRFVLKEKLVTRRQYHLQSMRQIKARWLVM
+>NM_001127501|701112_2_1468_1667
+MGLATRWWAVNERMSPWWTMLTTTTRRSLLCPCATRPTAGRTWPSSPRAPWRTCCTASTSRTTSPT
+>DECOY_NM_001127501|701112_2_1468_1667
+TPSTTRSTSATCCTRWPARPSSPWTRGATPRTACPCLLSRRTTTTLMTWWPSMRENVAWWRTALGM
+>NM_144585|701187_3_1598_1698
+MAPHHRQAGLGPAGAVEGGCHQRKGGSAGHPDP
+>DECOY_NM_144585|701187_3_1598_1698
+PDPHGASGGKRQHCGGEVAGAPGLGAQRHHPAM
+>NM_003954|701341_2_1685_1863
+MGATQPSVTLAMLCVFNLMAWESPCSQGTTSLAQRPTWLRRWCWAGAATPRWMSGAAAV
+>DECOY_NM_003954|701341_2_1685_1863
+VAAAGSMWRPTAAGAWCWRRLWTPRQALSTTGQSCPSEWAMLNFVCLMALTVSPQTAGM
+>NM_001024939|701394_3_301_458
+MAGAYWRATARSPSPAYVVPHRVSVSPGRPLWSTACRSLGHHAGKEEVPPGE
+>DECOY_NM_001024939|701394_3_301_458
+EGPPVEEKGAHHGLSRCATSWLPRGPSVSVRHPVVYAPSPSRATARWYAGAM
+>NM_170734|701467_3_281_486
+MVPPGEKSDDHPFPYYGYFILWLHEGCPHERSKHPRTRWLGLPRCADPWDSGEREWAQGRFKRLDIIG
+>DECOY_NM_170734|701467_3_281_486
+GIIDLRKFRGQAWEREGSDWPDACRPLGLWRTRPHKSREHPCGEHLWLIFYGYYPFPHDDSKEGPPVM
+>NM_004159|701530_2_1075_1262
+MGLGSQEICSPRVVGTLMPTGSWTVAIGLILALKRPMTLAAGLLLMPLTETAILEALSICTT
+>DECOY_NM_004159|701530_2_1075_1262
+TTCISLAELIATETLPMLLLGAALTMPRKLALILGIAVTWSGTPMLTGVVRPSCIEQSGLGM
+>NM_080838|701770_3_447_538
+MAQELHSLHKPLEPLLHQLRPGGLHSDLQC
+>DECOY_NM_080838|701770_3_447_538
+CQLDSHLGGPRLQHLLPELPKHLSHLEQAM
+>NM_003877|701799_2_621_748
+MAGKGRGASGGPRGRRRSHPRRRRVWRRPCGSSVRQDGTGEV
+>DECOY_NM_003877|701799_2_621_748
+VEGTGDQRVSSGCPRRWVRRRRPHSRRRGRPGGSAGRGKGAM
+>NM_003601|701918_2_2428_2525
+MEQHMCLLQRKVRSLMKISMVFWKEVQRRLQR
+>DECOY_NM_003601|701918_2_2428_2525
+RQLRRQVEKWFVMSIKMLSRVKRQLLCMHQEM
+>NM_003601|701927_3_1727_1833
+MVYSDINEGYRYTQLSRQDGQNEVIEHPNAVEKML
+>DECOY_NM_003601|701927_3_1727_1833
+LMKEVANPHEIVENQGDQRSLQTYRYGENIDSYVM
+>NM_007212|701945_2_729_847
+MVVEQKIMVTVHTAVMHPHIAIRKQALVTNGPKHLMILG
+>DECOY_NM_007212|701945_2_729_847
+GLIMLHKPGNTVLAQKRIAIHPHMVATHVTVMIKQEVVM
+>NM_007212|701946_2_750_847
+MVTVHTAVMHPHIAIRKQALVTNGPKHLMILG
+>DECOY_NM_007212|701946_2_750_847
+GLIMLHKPGNTVLAQKRIAIHPHMVATHVTVM
+>NM_001437|702174_2_949_1112
+MESGRVKDVRPFLKEAFKDIMIIFVQLQISVQSIKTGARAARPADFGSVTKWEW
+>DECOY_NM_001437|702174_2_949_1112
+WEWKTVSGFDAPRAARAGTKISQVSIQLQVFIIMIDKFAEKLFPRVDKVRGSEM
+>NM_002303|702290_2_1679_1758
+MVFMNAFSSQSSYYLATQCGLGSITL
+>DECOY_NM_002303|702290_2_1679_1758
+LTISGLGCQTALYYSSQSSFANMFVM
+>NM_002303|702294_2_2264_2388
+MEHGQKMWEITRNSLSCGQSKHILLRFWPSIQLVLLLQILI
+>DECOY_NM_002303|702294_2_2264_2388
+ILIQLLLVLQISPWFRLLIHKSQGCSLSNRTIEWMKQGHEM
+>NM_002303|702299_3_2271_2383
+MVRRCGKSHEIHFPVDRASTYCYGSGHQFNWCFCCKF
+>DECOY_NM_002303|702299_3_2271_2383
+FKCCFCWNFQHGSGYCYTSARDVPFHIEHSKGCRRVM
+>NM_016081|702432_2_1790_1971
+MDQQPALPSWLSPQPTLKTVVTSQWENPTMTTSNTFHLPLQSWRQVPWSWLQRNHLRSSR
+>DECOY_NM_016081|702432_2_1790_1971
+RSSRLHNRQLWSWPVQRWSQLPLHFTNSTTMTPNEWQSTVVTKLTPQPSLWSPLAPQQDM
+>NM_016081|702435_2_2648_2739
+MGSRSLQRVITTPFKEISMGPAPSIPQPPP
+>DECOY_NM_016081|702435_2_2648_2739
+PPPQPISPAPGMSIEKFPTTIVRQLSRSGM
+>NM_005427|702848_2_951_1054
+MGRCWAAGPLRAASAPVLAATEKLMRTTTGSSRP
+>DECOY_NM_005427|702848_2_951_1054
+PRSSGTTTRMLKETAALVPASAARLPGAAWCRGM
+>NM_001127221|702944_2_3258_3442
+MALQPRTRGTRGGRTRSGGIGGGKRTRAPGSLCRAPTCQPPGQSSRTWAAKTHPWQRILTT
+>DECOY_NM_001127221|702944_2_3258_3442
+TTLIRQWPHTKAAWTRSSQGPPQCTPARCLSGPARTRKGGGIGGSRTRGGRTGRTRPQLAM
+>NM_001127221|702950_2_6456_6601
+MATPTASTTSPWKARAGLPPCPASLQRTRGEGAGHVGITSVPSQTPAP
+>DECOY_NM_001127221|702950_2_6456_6601
+PAPTQSPVSTIGVHGAGEGRTRQLSAPCPPLGARAKWPSTTSATPTAM
+>NM_002087|703061_2_499_983
+MAITAAHGASTAVQTGDPASKDQVTTPWVPSSALIVSSNARTSPRAVLWSMAPGGAAPCPRLPAVKTGCTAVRTVPSATWFTPAASHPRAPTPWQRSSLPRGLTGQWPCPARSCVRTHGPGALMVLPAVSCPVGSMAAAQCPTPPAAPITCTAAPKTLCVT
+>DECOY_NM_002087|703061_2_499_983
+TVCLTKPAATCTIPAAPPTPCQAAAMSGVPCSVAPLVMLAGPGHTRVCSRAPCPWQGTLGRPLSSRQWPTPARPHSAAPTFWTASPVTRVATCGTKVAPLRPCPAAGGPAMSWLVARPSTRANSSVILASSPVWPTTVQDKSAPDGTQVATSAGHAATIAM
+>NM_002087|703064_2_904_983
+MAAAQCPTPPAAPITCTAAPKTLCVT
+>DECOY_NM_002087|703064_2_904_983
+TVCLTKPAATCTIPAAPPTPCQAAAM
+>NM_001044|703268_2_398_534
+MVAVPSWSPTCSSWSLLGCHFSTWSWPSASSTGKGPLVSGRSAPY
+>DECOY_NM_001044|703268_2_398_534
+YPASRGSVLPGKGTSSASPWSWTSFHCGLLSWSSCTPSWSPVAVM
+>NM_001044|703271_2_803_897
+MASTTWGLRGGSSQPAWCWSSCCSTSASGRA
+>DECOY_NM_001044|703271_2_803_897
+ARGSASTSCCSSWCWAPQSSGGRLGWTTSAM
+>NM_001044|703281_3_918_1021
+MDHSHHAIRGPHCPAPAWGHPPWSHRRHQSIPER
+>DECOY_NM_001044|703281_3_918_1021
+REPISQHRRHSWPPHGWAPAPCHPGRIAHHSHDM
+>NM_002184|703303_2_1168_1262
+MVRDTGVTGVKKQVGSPMKIDHLKHQVSGIK
+>DECOY_NM_002184|703303_2_1168_1262
+KIGSVQHKLHDIKMPSGVQKKVGTVGTDRVM
+>NM_002184|703309_2_2671_2849
+MVFCPGNSTSNRTAVSMNPVQIFHILKGQSKFHQSMRKILLDLNSRFQIIFHNPVDLGK
+>DECOY_NM_002184|703309_2_2671_2849
+KGLDVPNHFIIQFRSNLDLLIKRMSQHFKSQGKLIHFIQVPNMSVATRNSTSNGPCFVM
+>NM_001736|703373_2_80_231
+MGTMMTRIPWTSTPLWIKLLTRCVFQTSWPWSSLQSSSWWECWAMPWWSG
+>DECOY_NM_001736|703373_2_80_231
+GSWWPMAWCEWWSSSQLSSWPWSTQFVCRTLLKIWLPTSTWPIRTMMTGM
+>NM_014109|703406_2_874_1151
+MVKMKMMKMMMMMTMMMMMMMMKMMKMKKMEKKRIRSDIILDREKLLFTIRLHWKNLVTRESPTYFIVAQLLLQDQDTDYLPQDQEVLTVNE
+>DECOY_NM_014109|703406_2_874_1151
+ENVTLVEQDQPLYDTDQDQLLLQAVIFYTPSERTVLNKWHLRITFLLKERDLIIDSRIRKKEMKKMKMMKMMMMMMMMTMMMMMKMMKMKVM
+>NM_014109|703426_2_961_1151
+MEKKRIRSDIILDREKLLFTIRLHWKNLVTRESPTYFIVAQLLLQDQDTDYLPQDQEVLTVNE
+>DECOY_NM_014109|703426_2_961_1151
+ENVTLVEQDQPLYDTDQDQLLLQAVIFYTPSERTVLNKWHLRITFLLKERDLIIDSRIRKKEM
+>NM_000777|703583_3_461_708
+MEENTVIAVSNLHQRKTQGDVPHHCPVWRCIGEKLEAGSRERQACHLERHLWGLQHGCDYWHIIWSEHRLSQQSTRPLCGEH
+>DECOY_NM_000777|703583_3_461_708
+HEGCLPRTSQQSLRHESWIIHWYDCGHQLGWLHRELHCAQRERSGAELKEGICRWVPCHHPVDGQTKRQHLNSVAIVTNEEM
+>NM_002185|703600_2_1275_1366
+MGLMCTRTSCLALGLQTARCPLHFLSNLES
+>DECOY_NM_002185|703600_2_1275_1366
+SELNSLFHLPCRATQLGLALCSTRTCMLGM
+>NM_003183|703739_2_1351_1442
+MVKPSLQRKLTWLQLMNWDIILEQNMIRMV
+>DECOY_NM_003183|703739_2_1351_1442
+VMRIMNQELIIDWNMLQLWTLKRQLSPKVM
+>NM_003183|703743_2_1906_2054
+MGNASLSARGNSSWSPVHVMKLTTPARCAAGTFLAAVCPMSMLNKRTYF
+>DECOY_NM_003183|703743_2_1906_2054
+FYTRKNLMSMPCVAALFTGAACRAPTTLKMVHVPSWSSNGRASLSANGM
+>NM_006849|703755_2_425_552
+MGTARTRRSTQDHGTLRALPSGCDGGWGPVPCGWRTRRPPRR
+>DECOY_NM_006849|703755_2_425_552
+RRPPRRTRWGCPVPGWGGDCGSPLARLTGHDQTSRRTRATGM
+>NM_000745|703776_3_812_993
+MGDCECNREQRKQNRQLLLVSVCHLLICNQAPASLLYLVPYNTLYWALIFNCTCLLSSFK
+>DECOY_NM_000745|703776_3_812_993
+KFSSLLCTCNFILAWYLTNYPVLYLLSAPAQNCILLHCVSVLLLQRNQKRQERNCECDGM
+>NM_002502|703793_2_357_481
+MAVKAPPMEDCPVPPVRRAERPIPLSRSVTTRDQPRSRWTW
+>DECOY_NM_002502|703793_2_357_481
+WTWRSRPQDRTTVSRSLPIPREARRVPPVPCDEMPPAKVAM
+>NM_002502|703801_2_996_1084
+MDGRPLGTSLPQMCINSMPLCSGHPPITR
+>DECOY_NM_002502|703801_2_996_1084
+RTIPPHGSCLPMSNICMQPLSTGLPRGDM
+>NM_002502|703803_2_1869_2113
+METQPCIWRCGQALVLLSCCVHCFRVELLLCPSCCICLTLRDCIQYTWRSEPEALSAWICWWTVGLKWRPQSGRGDEQPCI
+>DECOY_NM_002502|703803_2_1869_2113
+ICPQEDGRGSQPRWKLGVTWWCIWASLAEPESRWTYQICDRLTLCICCSPCLLLEVRFCHVCCSLLVLAQGCRWICPQTEM
+>NM_022173|703884_2_662_834
+MALSPFSTNGMLKTPFNRWVASGLVEDKSELTGQPESLPLQRVHMSQIPNSYHMMRL
+>DECOY_NM_022173|703884_2_662_834
+LRMMHYSNPIQSMHVRQLPLSEPQGTLESKDEVLGSAVWRNFPTKLMGNTSFPSLAM
+>NM_022173|703898_3_1272_1366
+MDGTKLWSATASRAKWQHVAQSAFWVSSGRV
+>DECOY_NM_022173|703898_3_1272_1366
+VRGSSVWFASQAVHQWKARSATASWLKTGDM
+>NM_177551|703916_2_207_301
+MALPCGFSVSTSSPGNPAGFSCSTWQWLTFY
+>DECOY_NM_177551|703916_2_207_301
+YFTLWQWTSCSFGAPNGPSSTSVSFGCPLAM
+>NM_177551|703917_2_585_928
+MAVQICAAASASAIPSSGTKPCSSWSSSCPWASSCSAQPELSGACGRDKWTGMPRSREPSPSSWWWPSSLSSASFPAWLCGSASSGSCTLRARRIVKCTARWTWRSLSLSASPT
+>DECOY_NM_177551|703917_2_585_928
+TPSASLSLSRWTWRATCKVIRRARLTCSGSSASGCLWAPFSASSLSSPWWWSSPSPERSRPMGTWKDRGCAGSLEPQASCSSAWPCSSSWSSCPKTGSSPIASASAAACIQVAM
+>NM_000249|704068_2_250_332
+MAPGSGKKIWILYVKGSLLVNCSPLRI
+>DECOY_NM_000249|704068_2_250_332
+IRLPSCNVLLSGKVYLIWIKKGSGPAM
+>NM_000249|704070_2_577_662
+MGKFWKLLAGIQYTMQALVSQLKNKERQ
+>DECOY_NM_000249|704070_2_577_662
+QREKNKLQSVLAQMTYQIGALLKWFKGM
+>NM_017839|704127_2_1253_1344
+MVFVSIWMNMHLLRVPQKEEELELKNSPSI
+>DECOY_NM_017839|704127_2_1253_1344
+ISPSNKLELEEEKQPVRLLHMNMWISVFVM
+>NM_004313|704224_2_418_545
+MAVKTWMCWACPSAKTCSSPPTRPSPRCPTHPGPPPACRTGC
+>DECOY_NM_004313|704224_2_418_545
+CGTRCAPPPGPHTPCRPSPRTPPSSCTKASPCAWCMWTKVAM
+>NM_000250|704412_2_1489_1592
+MGRGSTRKPGRSWGPWSRSSLTGTTCPWCWGQRP
+>DECOY_NM_000250|704412_2_1489_1592
+PRQGWCWPCTTGTLSSRSWPGWSRGPKRTSGRGM
+>NM_000250|704415_2_2197_2384
+MVIGFGGRTRVCSACSSDRPWPRSHCPGSSATTQASPPCLRTTSSCPTHIPGTLSTAVHFLH
+>DECOY_NM_000250|704415_2_2197_2384
+HLFHVATSLTGPIHTPCSSTTRLCPPSAQTTASSGPCHSRPWPRDSSCASCVRTRGGFGIVM
+>NM_003855|704435_2_1189_1280
+MERSTPLLWRFCPGCWRNILGISYAYLKGM
+>DECOY_NM_003855|704435_2_1189_1280
+MGKLYAYSIGLINRWCGPCFRWLLPTSREM
+>NM_002191|704454_2_1018_1148
+MVVVGCTSHQTCPFQSLGLPLPQPSPTPCCQGPSPAVLLSQGP
+>DECOY_NM_002191|704454_2_1018_1148
+PGQSLLVAPSPGQCCPTPSPQPLPLGLSQFPCTQHSTCGVVVM
+>NM_002250|704711_2_1153_1301
+MVTWCRAPCGARSSACALESWVSAAQPCWWPWWPGSWSLTRQRSTCTTS
+>DECOY_NM_002250|704711_2_1153_1301
+STTCTSRQRTLSWSGPWWPWWCPQAASVWSELACASSRAGCPARCWTVM
+>NM_005502|704789_2_1745_1833
+MVLCTPGEKLSTRLTRQSGPYLASWSVST
+>DECOY_NM_005502|704789_2_1745_1833
+TSVSWSALYPGSQRTLRTSLKEGPTCLVM
+>NM_005502|704793_2_2024_2136
+MGTGTLVLELTPLRTCGTSGGASPTCRMWWSRQSSGC
+>DECOY_NM_005502|704793_2_2024_2136
+CGSSQRSWWMRCTPSAGGSTGCTRLPTLELVLTGTGM
+>NM_005502|704800_2_4022_4206
+MASQRRPWKKYSSRWPKRVGWMLRPQMVPCQQDETGGPSGTSRAVFARSLKMMLLIQMILT
+>DECOY_NM_005502|704800_2_4022_4206
+TLIMQILLMMKLSRAFVARSTGSPGGTEDQQCPVMQPRLMWGVRKPWRSSYKKWPRRQSAM
+>NM_005502|704803_2_4664_4812
+MGTGQCRTLHLHASVAATKSRRCCLCVPQGQGGCLLHKENKTLQISFRT
+>DECOY_NM_005502|704803_2_4664_4812
+TRFSIQLTKNEKHLLCGGQGQPVCLCCRRSKTAAVSAHLHLTRCQGTGM
+>NM_005502|704805_2_4907_4986
+MAAFPWVSVILKHFLRVKKLMMPSNK
+>DECOY_NM_005502|704805_2_4907_4986
+KNSPMMLKKVRLFHKLIVSVWPFAAM
+>NM_080704|704884_3_283_362
+MEQHRLGGSCGPTPKGHLPRPPGWRP
+>DECOY_NM_080704|704884_3_283_362
+PRWGPPRPLHGKPTPGCSGGLRHQEM
+>NM_001024843|705316_2_900_1018
+MVLPLGVSQMKAVLGGARWMIQEHRPQAGGTRPPTLPMP
+>DECOY_NM_001024843|705316_2_900_1018
+PMPLTPPRTGGAQPRHEQIMWRAGGLVAKMQSVGLPLVM
+>NM_001024843|705318_2_1110_1198
+MEESGTPLALRAVLPPTTQQAGDKEERNK
+>DECOY_NM_001024843|705318_2_1110_1198
+KNREEKDGAQQTTPPLVARLALPTGSEEM
+>NM_001024843|705320_2_2001_2095
+MVLASALAAWTMAWLVGRRLEPSLALNSGPP
+>DECOY_NM_001024843|705320_2_2001_2095
+PPGSNLALSPELRRGVLWAMTWAALASALVM
+>NM_001024843|705322_2_2808_2881
+MVAQFVLVTGWFFTISPHRLMGQP
+>DECOY_NM_001024843|705322_2_2808_2881
+PQGMLRHPSITFFWGTVLVFQAVM
+>NM_001024843|705343_3_2593_2807
+MVPRSHRTQPHSSLQQDVEKPYFLQEHYTAAPPTSWSDQPQTIISLEQHSTPISQGVGDTGLTARLGLYLE
+>DECOY_NM_001024843|705343_3_2593_2807
+ELYLGLRATLGTDGVGQSIPTSHQELSIITQPQDSWSTPPAATYHEQLFYPKEVDQQLSSHPQTRHSRPVM
+>NM_001122964|705466_3_428_609
+MGRPRHRARLLHLRGGAQGDVAAGSGRVRRITTLGIKDKSKYCISETTGYINCLVRSREL
+>DECOY_NM_001122964|705466_3_428_609
+LERSRVLCNIYGTTESICYKSKDKIGLTTIRRVRGSGAAVDGQAGGRLHLLRARHRPRGM
+>NM_006509|705722_2_1585_1727
+MALPTTLRPPHSSPCWTCCPRHRHTLALLCAAEVPGPWLGRPPALNH
+>DECOY_NM_006509|705722_2_1585_1727
+HNLAPPRGLWPGPVEAACLLALTHRHRPCCTWCPSSHPPRLTTPLAM
+>NM_004967|705952_2_306_478
+MEMTVQKRRRKKRRLQMKEKTMKNRMKMKTLRLRIPHFLLQHWAMERTPRLAQGIQG
+>DECOY_NM_004967|705952_2_306_478
+GQIGQALRPTREMAWHQLLFHPIRLRLTKMKMRNKMTKEKMQLRRKKRRRKQVTMEM
+>NM_015629|706130_2_463_587
+MGRKKRSQRSRMCRRRHSWIFPGIQSRPSPSYGIVRCLLRL
+>DECOY_NM_015629|706130_2_463_587
+LRLLCRVIGYSPSPRSQIGPFIWSHRRRCMRSRQSRKKRGM
+>NM_058229|706145_2_475_575
+MDIAPWGKLSTDWTSQLPFWIPEDLTTWSGCWS
+>DECOY_NM_058229|706145_2_475_575
+SWCGSWTTLDEPIWFPLQSTWDTSLKGWPAIDM
+>NM_014009|706169_2_1134_1354
+METAHSQSSSTTWTTSSSTTCDPLSPTPRSSAGPSWRLQRSSGHSMRSTTGSHACLPSSETILPPGRTPSATT
+>DECOY_NM_014009|706169_2_1134_1354
+TTASPTRGPPLITESSPLCAHSGTTSRMSHGSSRQLRWSPGASSRPTPSLPDCTTSSSTTWTTSSSQSHATEM
+>NM_014009|706175_3_664_800
+MGVQGAGTALHLPKSQCTQEGQHPFGCAPELLPTAGKWCLQVARM
+>DECOY_NM_014009|706175_3_664_800
+MRAVQLCWKGATPLLEPACGFPHQGEQTCQSKPLHLATGAGQVGM
+>NM_022874|706184_2_329_606
+MVTFVKLRVNQKPHLKENLLRRIKAKRRILQLPYNSGKLGTNVLPFGQKTVAFTQLPLLQLILREKPVLWFTLDMEIERSKICPIYFPQSVK
+>DECOY_NM_022874|706184_2_329_606
+KVSQPFYIPCIKSREIEMDLTFWLVPKERLILQLLPLQTFAVTKQGFPLVNTGLKGSNYPLQLIRRKAKIRRLLNEKLHPKQNVRLKVFTVM
+>NM_005474|706396_2_1188_1453
+MGLLLAPLRRELLRSQVPGLGRRPCVTAHPAPAPALPTAPTAPSLRMALLAQSPTSPLRCSLSTEPSLWTAPPTSSASTRLLLCPTSP
+>DECOY_NM_005474|706396_2_1188_1453
+PSTPCLLLRTSASSTPPATWLSPETSLSCRLPSTPSQALLAMRLSPATPATPLAPAPAPHATVCPRRGLGPVQSRLLERRLPALLLGM
+>NM_005474|706397_2_1326_1453
+MALLAQSPTSPLRCSLSTEPSLWTAPPTSSASTRLLLCPTSP
+>DECOY_NM_005474|706397_2_1326_1453
+PSTPCLLLRTSASSTPPATWLSPETSLSCRLPSTPSQALLAM
+>NM_005474|706400_2_2112_2338
+MGRRRRIASRLRTRRARVVLRRGPTWRSLVLDTKNCSQMPSRCSLCRCTRRPSAWPLCPTRPWAVPSPPLLPLGA
+>DECOY_NM_005474|706400_2_2112_2338
+AGLPLLPPSPVAWPRTPCLPWASPRRTCRCLSCRSPMQSCNKTDLVLSRWTPGRRLVVRARRTRLRSAIRRRRGM
+>NM_005474|706406_2_2796_2875
+MDLPSSGPQDTTPRNPQPWDSASSTL
+>DECOY_NM_005474|706406_2_2796_2875
+LTSSASDWPQPNRPTTDQPGSSPLDM
+>NM_005474|706407_2_2949_3091
+MAMAPSRRSTMTPLCSTSLCIAMTTGTSFQALGLLKRLVEDQAWGTM
+>DECOY_NM_005474|706407_2_2949_3091
+MTGWAQDEVLRKLLGLAQFSTGTTMAICLSTSCLPTMTSRRSPAMAM
+>NM_005474|706408_2_2955_3091
+MAPSRRSTMTPLCSTSLCIAMTTGTSFQALGLLKRLVEDQAWGTM
+>DECOY_NM_005474|706408_2_2955_3091
+MTGWAQDEVLRKLLGLAQFSTGTTMAICLSTSCLPTMTSRRSPAM
+>NM_005474|706414_3_3100_3194
+MDRRCGPPHWRRGVPYSLQDSGDAHCPRVLT
+>DECOY_NM_005474|706414_3_3100_3194
+TLVRPCHADGSDQLSYPVGRRWHPPGCRRDM
+>NM_000544|706454_3_235_617
+MAGGDPAAGRAVGAAKAKRAAGICGDTAAPALSGHPPDCLPESPGRGGLTCSPSQSRFSPLELAAGGVRGCGAQLVTVGCSEPSWSPGEGAGPGEQQSLDVEAAEALQAGPASPRCRLLLPCPCCFG
+>DECOY_NM_000544|706454_3_235_617
+GFCCPCPLLLRCRPSAPGAQLAEAAEVDLSQQEGPGAGEGPSWSPESCGVTVLQAGCGRVGGAALELPSFRSQSPSCTLGGRGPSEPLCDPPHGSLAPAATDGCIGAARKAKAAGVARGAAPDGGAM
+>NM_005612|706601_2_1413_1486
+MGLNLLIAHTVITKQQIEATSKNM
+>DECOY_NM_005612|706601_2_1413_1486
+MNKSTAEIQQKTIVTHAILLNLGM
+>NM_000102|706673_2_581_666
+MAIRSWRRSFVRKSVHCVICWPPTTDSP
+>DECOY_NM_000102|706673_2_581_666
+PSDTTPPWCIVCHVSKRVFSRRWSRIAM
+>NM_014495|706700_2_214_347
+MVLKTLSIRRRAKLMTYFKNSTYLISLFMIYRCKPVKSKKKKRN
+>DECOY_NM_014495|706700_2_214_347
+NRKKKKSKVPKCRYIMFLSILYTSNKFYTMLKARRRISLTKLVM
+>NM_014495|706703_2_769_902
+MAFLLNVPPFITEVNIQVACMPSDPATLKFFMSTVMLYQVVHGH
+>DECOY_NM_014495|706703_2_769_902
+HGHVVQYLMVTSMFFKLTAPDSPMCAVQINVETIFPPVNLLFAM
+>NM_000903|706901_3_292_365
+MGGGGVGPLCHELQSHHFQKGHHR
+>DECOY_NM_000903|706901_3_292_365
+RHHGKQFHHSQLEHCLPGVGGGGM
+>NM_005242|706976_3_768_856
+MAADSAGHHPFVCREADHLHSCPEHHDLS
+>DECOY_NM_005242|706976_3_768_856
+SLDHHEPCSHLHDAERCVFPHHGASDAAM
+>NM_138296|707011_2_280_581
+MAVHWMPSPMALPQQRMAPGPTWPISPCLLRSWHPGSLWSATLGLGLRVTAGVHSPCICQERLLQPGPAPRSLSGGHRVGRCGWGSCGCCSSSCCCLTCS
+>DECOY_NM_138296|707011_2_280_581
+SCTLCCCSSSCCGCSGWGCRGVRHGGSLSRPAPGPQLLREQCICPSHVGATVRLGLGLTASWLSGPHWSRLLCPSIPWTPGPAMRQQPLAMPSPMWHVAM
+>NM_138296|707016_3_779_891
+MGGRVLPQQLPHLPSTGLVLKICPQGSFLQSWSIFCR
+>DECOY_NM_138296|707016_3_779_891
+RCFISWSQLFSGQPCIKLVLGTSPLHPLQQPLVRGGM
+>NM_004451|707024_2_340_758
+MGRGLGLASRAVGSWCSAPCPSASAWSVGTWPPATTMVWHPVRPAKPSSRGPSRGASSTAVRPPTSVRSPSGDARPARPAASPSACGWACSRRECAWTASGVGGRSTSGGRRWTHCPSRAPSLLGPWQSLEAPGRQQPQ
+>DECOY_NM_004451|707024_2_340_758
+QPQQRGPAELSQWPGLLSPARSPCHTWRRGGSTSRGGVGSATWACERRSCAWGCASPSAAPRAPRADGSPSRVSTPPRVATSSAGRSPGRSSPKAPRVPHWVMTTAPPWTGVSWASASPCPASCWSGVARSALGLGRGM
+>NM_004451|707025_2_448_758
+MVWHPVRPAKPSSRGPSRGASSTAVRPPTSVRSPSGDARPARPAASPSACGWACSRRECAWTASGVGGRSTSGGRRWTHCPSRAPSLLGPWQSLEAPGRQQPQ
+>DECOY_NM_004451|707025_2_448_758
+QPQQRGPAELSQWPGLLSPARSPCHTWRRGGSTSRGGVGSATWACERRSCAWGCASPSAAPRAPRADGSPSRVSTPPRVATSSAGRSPGRSSPKAPRVPHWVM
+>NM_005577|707070_2_208_284
+MVMDRVIEARTPPLSQEGPAKLGHL
+>DECOY_NM_005577|707070_2_208_284
+LHGLKAPGEQSLPPTRAEIVRDMVM
+>NM_005577|707071_2_550_626
+MVMDRVIEAHTPPLSQEEPAKLGHL
+>DECOY_NM_005577|707071_2_550_626
+LHGLKAPEEQSLPPTHAEIVRDMVM
+>NM_005577|707076_2_3628_3704
+MVMDRVIEAHSLPLSQEGHVSLGPL
+>DECOY_NM_005577|707076_2_3628_3704
+LPGLSVHGEQSLPLSHAEIVRDMVM
+>NM_005577|707077_2_3946_4022
+MVMDRVIEAHSPPLLQEGHVSLGPL
+>DECOY_NM_005577|707077_2_3946_4022
+LPGLSVHGEQLLPPSHAEIVRDMVM
+>NM_005577|707078_2_4630_4706
+MVMDGVIEAYPPPLSQEGPVNLGHL
+>DECOY_NM_005577|707078_2_4630_4706
+LHGLNVPGEQSLPPPYAEIVGDMVM
+>NM_005577|707079_2_4972_5048
+MVMARVIEAHSPPLSQEGHVNLGHP
+>DECOY_NM_005577|707079_2_4972_5048
+PHGLNVHGEQSLPPSHAEIVRAMVM
+>NM_005577|707080_2_5290_5474
+MGKDTGARRQPLLLGRHARNGLPRSPIDTARSFQGQINGQVWKKITAVTLMVTSMVPGATQ
+>DECOY_NM_005577|707080_2_5290_5474
+QTAGPVMSTVMLTVATIKKWVQGNIQGQFSRATDIPSRPLGNRAHRGLLLPQRRAGTDKGM
+>NM_000461|707123_2_1312_1394
+MGVLGWCQTPSLTWACLCLLSTWMTLK
+>DECOY_NM_000461|707123_2_1312_1394
+KLTMWTSLLCLCAWTLSPTQCWGLVGM
+>NM_000461|707133_3_938_1077
+MGAHQNCHRSPCGDQRPRQPLEAKTEIPARRHWTSTNSQCPRRWKG
+>DECOY_NM_000461|707133_3_938_1077
+GKWRRPCQSNTSTWHRRAPIETKAELPQRPRQDGCPSRHCNQHAGM
+>NM_001074|707175_3_333_406
+MVRPSKRYILVIFFTSTGNHVNIW
+>DECOY_NM_001074|707175_3_333_406
+WINVHNGTSTFFIVLIYRKSPRVM
+>NM_001981|707247_2_621_790
+MECLTEMSLQLPCFWYTVHWRKNLCQCPCLQPWCHHLREKRGLYPLQKKLNMMKSS
+>DECOY_NM_001981|707247_2_621_790
+SSKMMNLKKQLPYLGRKERLHHCWPQLCPCQCLNKRWHVTYWFCPLQLSMETLCEM
+>NM_139215|707403_2_168_586
+MDKHHKAILAMGKRLIPLMDRTTAVTPVMDKVSQVIHSPMVVMRIKSRAHIASNHIITRDSSKTWNHQEAKVEEHLPMTSQTMVNKIHMTSSQAMINIKAHMMSSQIMISSMIPIVKTSSPIIHKGKTTATTHKMTVVM
+>DECOY_NM_139215|707403_2_168_586
+MVVTMKHTTATTKGKHIIPSSTKVIPIMSSIMIQSSMMHAKINIMAQSSTMHIKNVMTQSTMPLHEEVKAEQHNWTKSSDRTIIHNSAIHARSKIRMVVMPSHIVQSVKDMVPTVATTRDMLPILRKGMALIAKHHKDM
+>NM_139215|707404_2_198_586
+MGKRLIPLMDRTTAVTPVMDKVSQVIHSPMVVMRIKSRAHIASNHIITRDSSKTWNHQEAKVEEHLPMTSQTMVNKIHMTSSQAMINIKAHMMSSQIMISSMIPIVKTSSPIIHKGKTTATTHKMTVVM
+>DECOY_NM_139215|707404_2_198_586
+MVVTMKHTTATTKGKHIIPSSTKVIPIMSSIMIQSSMMHAKINIMAQSSTMHIKNVMTQSTMPLHEEVKAEQHNWTKSSDRTIIHNSAIHARSKIRMVVMPSHIVQSVKDMVPTVATTRDMLPILRKGM
+>NM_139215|707406_2_252_586
+MDKVSQVIHSPMVVMRIKSRAHIASNHIITRDSSKTWNHQEAKVEEHLPMTSQTMVNKIHMTSSQAMINIKAHMMSSQIMISSMIPIVKTSSPIIHKGKTTATTHKMTVVM
+>DECOY_NM_139215|707406_2_252_586
+MVVTMKHTTATTKGKHIIPSSTKVIPIMSSIMIQSSMMHAKINIMAQSSTMHIKNVMTQSTMPLHEEVKAEQHNWTKSSDRTIIHNSAIHARSKIRMVVMPSHIVQSVKDM
+>NM_139215|707410_2_414_586
+MVNKIHMTSSQAMINIKAHMMSSQIMISSMIPIVKTSSPIIHKGKTTATTHKMTVVM
+>DECOY_NM_139215|707410_2_414_586
+MVVTMKHTTATTKGKHIIPSSTKVIPIMSSIMIQSSMMHAKINIMAQSSTMHIKNVM
+>NM_139215|707417_2_594_679
+MEKIIEDMAGHREEVEGVGDMTRMEEVL
+>DECOY_NM_139215|707417_2_594_679
+LVEEMRTMDGVGEVEERHGAMDEIIKEM
+>NM_139215|707418_2_738_856
+MDPEQMLIQNLIIQITTQSLCKDLGRVCLQIKLGSSLNK
+>DECOY_NM_139215|707418_2_738_856
+KNLSSGLKIQLCVRGLDKCLSQTTIQIILNQILMQEPDM
+>NM_001012715|707473_3_460_608
+MAPRLGASSSLMGGEPRFPSTSAGPKGTFAWLCPQSTDARGTLPFVCPM
+>DECOY_NM_001012715|707473_3_460_608
+MPCVFPLTGRADTSQPCLWAFTGKPGASTSPFRPEGGMLSSSAGLRPAM
+>NM_016232|707630_2_1388_1461
+MESSMMLMLSTHGTTNPVQMGPVV
+>DECOY_NM_016232|707630_2_1388_1461
+VVPGMQVPNTTGHTSLMLMMSSEM
+>NM_000201|707756_2_857_999
+MEPISRAALNWTCGPKGWSCLRTPRPPTSSRPLSCQRLPHNLSAPGS
+>DECOY_NM_000201|707756_2_857_999
+SGPASLNHPLRQCSLPRSSTPPRPTRLCSWGKPGCTWNLAARSIPEM
+>NM_000131|707776_2_367_497
+MGTSVPQVHARMGAPARTSSSPISASASLPSRAGTVRRTRMTS
+>DECOY_NM_000131|707776_2_367_497
+STMRTRRVTGARSPLSASASIPSSSTRAPAGMRAHVQPVSTGM
+>NM_000131|707777_2_400_497
+MGAPARTSSSPISASASLPSRAGTVRRTRMTS
+>DECOY_NM_000131|707777_2_400_497
+STMRTRRVTGARSPLSASASIPSSSTRAPAGM
+>NM_002422|707889_2_567_769
+METFTLLMDLEMFWPMPMPLGQGLMEMPTLMMMNNGQRIQQGPIYFSLLLMKLATPWVSFTQPTLKL
+>DECOY_NM_002422|707889_2_567_769
+LKLTPQTFSVWPTALKMLLLSFYIPGQQIRQGNNMMMLTPMEMLGQGLPMPMPWFMELDMLLTFTEM
+>NM_002945|707981_2_551_786
+MEAREWVLLFLRLMVLQRHLEKLQVPACHTLLGEHSPKWCPLPASLLTSPSGPFVLVLPTKVRSVPGATPEGKGSFSP
+>DECOY_NM_002945|707981_2_551_786
+PSFSGKGEPTAGPVSRVKTPLVLVFPGSPSTLLSAPLPCWKPSHEGLLTHCAPVQLKELHRQLVMLRLFLLVWERAEM
+>NM_139025|708065_2_2041_2165
+MVGWTPSRYGTGARCVVGTTARAAHGRALSQLAERENMSHF
+>DECOY_NM_139025|708065_2_2041_2165
+FHSMNEREALQSLARGHAARATTGVVCRAGTGYRSPTWGVM
+>NM_139025|708066_2_2311_2534
+MVVSSTEWPSPRTGCPAWRRSASGDPSRKMLTSRFTGGMARSMATSPAQTSPSPTSSLSHGRPGCGPLCVGPAR
+>DECOY_NM_139025|708066_2_2311_2534
+RAPGVCLPGCGPRGHSLSSTPSPSTQAPSTAMSRAMGGTFRSTLMKRSPDGSASRRWAPCGTRPSPWETSSVVM
+>NM_139025|708068_2_2425_2534
+MARSMATSPAQTSPSPTSSLSHGRPGCGPLCVGPAR
+>DECOY_NM_139025|708068_2_2425_2534
+RAPGVCLPGCGPRGHSLSSTPSPSTQAPSTAMSRAM
+>NM_139025|708069_2_2437_2534
+MATSPAQTSPSPTSSLSHGRPGCGPLCVGPAR
+>DECOY_NM_139025|708069_2_2437_2534
+RAPGVCLPGCGPRGHSLSSTPSPSTQAPSTAM
+>NM_139025|708073_2_3397_3803
+MVRRSCWTPSARGCLARNPRRPAAWSPAHLGGKSCPLAHVRPAVALALLDARWPVCSSTKARTWRWTRRPVRRWCGPRPVSPVSLPTAPTAGMLAPGWSALFPVGMASSAGVTPASDPRPRRLCQLISASTCPSR
+>DECOY_NM_139025|708073_2_3397_3803
+RSPCTSASILQCLRRPRPDSAPTVGASSAMGVPFLASWGPALMGATPATPLSVPSVPRPGCWRRVPRRTWRWTRAKTSSCVPWRADLLALAVAPRVHALPCSKGGLHAPSWAAPRRPNRALCGRASPTWCSRRVM
+>NM_139025|708075_2_3712_3803
+MASSAGVTPASDPRPRRLCQLISASTCPSR
+>DECOY_NM_139025|708075_2_3712_3803
+RSPCTSASILQCLRRPRPDSAPTVGASSAM
+>NM_139025|708076_2_4300_4376
+MGASLLLKPSTENVTCSSLGPGVKS
+>DECOY_NM_139025|708076_2_4300_4376
+SKVGPGLSSCTVNETSPKLLLSAGM
+>NM_139025|708081_3_2066_2283
+MGQVPGVWWGQQHVQPTEGLFHSWQSERICHISDSYPQPDQCLHCQPQASLHTLGGEDRRALCRGWEDEHLP
+>DECOY_NM_139025|708081_3_2066_2283
+PLHEDEWGRCLARRDEGGLTHLSAQPQCHLCQDPQPYSDSIHCIRESQWSHFLGETPQVHQQGWWVGPVQGM
+>NM_020203|708378_2_421_500
+MEMMLSANYMTKKNMAQLSSEITCNI
+>DECOY_NM_020203|708378_2_421_500
+INCTIESSLQAMNKKTMYNASLMMEM
+>NM_012410|708416_2_2365_2558
+MATRRCTSTTTRRASLCASSAMRALSLSARSPSPVCPATPPSGPASPHSAKLPMRSSWTTENWK
+>DECOY_NM_012410|708416_2_2365_2558
+KWNETTWSSRMPLKASHPSAPGSPPTAPCVPSPSRASLSLARMASSACLSARRTTTSTCRRTAM
+>NM_002791|708554_2_422_501
+MAMRFLWTCCVKELPIFLRSTHRMLK
+>DECOY_NM_002791|708554_2_422_501
+KLMRHTSRLFIPLEKVCCTWLFRMAM
+>NM_005902|708586_3_384_625
+MVREGGQEPGQETQEDGAAGRAGEGHHHAERQHQVHHHPQVPGWPVAGVPSEGAPSCHLLPPVAMARPAQPPRATGHGAV
+>DECOY_NM_005902|708586_3_384_625
+VAGHGTARPPQAPRAMAVPPLLHCSPAGESPVGAVPWGPVQPHHHVQHQREAHHHGEGARGAAGDEQTEQGPEQGGERVM
+>NM_201575|708677_2_2575_2729
+MATRRCTSTTTRRASLCASSAMRALSLSARSPSPVCPATPPSGPASPHSAK
+>DECOY_NM_201575|708677_2_2575_2729
+KASHPSAPGSPPTAPCVPSPSRASLSLARMASSACLSARRTTTSTCRRTAM
+>NM_000566|708690_2_846_952
+MEMSLSAALSWSFKCLASSYQLLSGFMSFSIWQWE
+>DECOY_NM_000566|708690_2_846_952
+EWQWISFSMFGSLLQYSSALCKFSWSLAASLSMEM
+>NM_012318|708782_2_793_869
+MASACYGSTPRSRHACSGASSTATA
+>DECOY_NM_012318|708782_2_793_869
+ATATSSAGSCAHRSRPTSGYCASAM
+>NM_012318|708786_3_557_645
+MDLYLCGFCGCGTSVPSCAWLALFAPCSR
+>DECOY_NM_012318|708786_3_557_645
+RSCPAFLALWACSPVSTGCGCFGCLYLDM
+>NM_012318|708787_3_809_909
+MDRHQDRGTHALAHPQRPQPDPPGAQAVSPDLR
+>DECOY_NM_012318|708787_3_809_909
+RLDPSVAQAGPPDPQPRQPHALAHTGRDQHRDM
+>NM_002792|708829_2_479_597
+MGAGRLASLPSSWVSTLMALLGSIRLTPRAHTMPGRPMP
+>DECOY_NM_002792|708829_2_479_597
+PMPRGPMTHARPTLRISGLLAMLTSVWSSPLSALRGAGM
+>NM_006483|708845_2_299_543
+MVPSLASQGPRSTRRYCLMCGYCLGGCPWPSGMQPQPRCVSSLWTSSRPTSTSMRYTMRRRSGGPSRRHPRIRATRRRRRS
+>DECOY_NM_006483|708845_2_299_543
+SRRRRRTARIRPHRRSPGGSRRRMTYRMSTSTPRSSTWLSSVCRPQPQMGSPWPCGGLCYGCMLCYRRTSRPGQSALSPVM
+>NM_006483|708850_2_548_657
+MVMMTTTMTTSCAVASAGWSATKLTRSLAKAPLARW
+>DECOY_NM_006483|708850_2_548_657
+WRALPAKALSRTLKTASWGASAVACSTTMTTTMMVM
+>NM_006934|708942_2_280_362
+MVLCPARPPRGTRTSNGATGATRSSLY
+>DECOY_NM_006934|708942_2_280_362
+YLSSRTAGTAGNSTRTGRPPRAPCLVM
+>NM_006540|709044_3_3020_3198
+MGTAEFGCESHLCCYHQCHEPASPRRYDSEPSSQHPHEAQQPAWPKTDASVSGHEYRAI
+>DECOY_NM_006540|709044_3_3020_3198
+IARYEHGSVSADTKPWAPQQAEHPHQSSPESDYRRPSAPEHCQHYCCLHSECGFEATGM
+>NM_004598|709064_2_680_780
+MGPVPVSQSLSHQSTRQKGVPAQTRSCGTLPPG
+>DECOY_NM_004598|709064_2_680_780
+GPPLTGCSRTQAPVGKQRTSQHSLSQSVPVPGM
+>NM_004598|709068_2_1028_1101
+MASFLTMSGATASRSLEVSLARMK
+>DECOY_NM_004598|709068_2_1028_1101
+KMRALSVELSRSATAGSMTLFSAM
+>NM_000254|709185_2_1894_1994
+MELLWWSWLLMKKDRQQKQTQKSECAPGPTICL
+>DECOY_NM_000254|709185_2_1894_1994
+LCITPGPACESKQTQKQQRDKKMLLWSWWLLEM
+>NM_000254|709194_2_3772_4031
+MVTTTAASWSRRWGTGWQRPLQKSSMKEFAENCGPTVAVSSWTSQTCAGCGTRASARLLATPASPTTPRSSPCGDSQTSSSLQALG
+>DECOY_NM_000254|709194_2_3772_4031
+GLAQLSSSTQSDGCPSSRPTTPSAPTALLRASARTGCGACTQSTWSSVAVTPGCNEAFEKMSSKQLPRQWGTGWRRSWSAATTTVM
+>NM_004082|709323_2_519_712
+MELFKAGSTSLVMKGMASLCASPRSRYLKMEQILLPQRHLILLLQKSSKERELIQLQRLANCGD
+>DECOY_NM_004082|709323_2_519_712
+DGCNALRQLQILEREKSSKQLLLILHRQPLLIQEMKLYRSRPSACLSAMGKMVLSTSGAKFLEM
+>NM_004082|709325_2_564_712
+MASLCASPRSRYLKMEQILLPQRHLILLLQKSSKERELIQLQRLANCGD
+>DECOY_NM_004082|709325_2_564_712
+DGCNALRQLQILEREKSSKQLLLILHRQPLLIQEMKLYRSRPSACLSAM
+>NM_004082|709326_2_606_712
+MEQILLPQRHLILLLQKSSKERELIQLQRLANCGD
+>DECOY_NM_004082|709326_2_606_712
+DGCNALRQLQILEREKSSKQLLLILHRQPLLIQEM
+>NM_004082|709340_3_1090_1205
+MEEQNAGAAGRPAAAPQGGEKGSQGGAGGKGTLYGGDG
+>DECOY_NM_004082|709340_3_1090_1205
+GDGGYLTGKGGAGGQSGKEGGQPAAAPRGAAGANQEEM
+>NM_000246|709521_2_902_1047
+MVRCPRPAKYPLPVDSLSTASQHLQTGQAPPAPSLHQPLTCPACLNLP
+>DECOY_NM_000246|709521_2_902_1047
+PLNLCAPCTLPQHLSPAPPAQGTQLHQSATSLSDVPLPYKAPRPCRVM
+>NM_000246|709524_2_1655_1803
+MASCTARADRHRRSPAPSGGCWPAFSRRSCSEVAPSSSQPGPGAAWSRA
+>DECOY_NM_000246|709524_2_1655_1803
+ARSWAAGPGPQSSSPAVESCSRRSFAPWCGGSPAPSRRHRDARATCSAM
+>NM_000798|709618_3_887_1047
+MDLVHPHLLHSGPAQLAQGPGGLLGRAGPAKQPGQLDALGGGLLGARRECREL
+>DECOY_NM_000798|709618_3_887_1047
+LERCERRAGLLGGGLADLQGPQKAPGARGLLGGPGQALQAPGSHLLHPHVLDM
+>NM_006548|709732_2_392_483
+MGQWRMWNKSTQTQKPPLSTSHMQQEKKQK
+>DECOY_NM_006548|709732_2_392_483
+KQKKEQQMHSTSLPPKQTQTSKNWMRWQGM
+>NM_000794|709812_2_1684_1757
+MESLSNVLNRKVLLRCPSKEKLKS
+>DECOY_NM_000794|709812_2_1684_1757
+SKLKEKSPCRLLVKRNLVNSLSEM
+>NM_000794|709813_2_2041_2114
+MGPRCFPAIMSHEAPSPRSAIWFT
+>DECOY_NM_000794|709813_2_2041_2114
+TFWIASRPSPAEHSMIAPFCRPGM
+>NM_000794|709816_3_1385_1461
+MDLVCTHLLHPSAAQLAQGKTHKPL
+>DECOY_NM_000794|709816_3_1385_1461
+LPKHTKGQALQAASPHLLHTCVLDM
+>NM_001078|709845_3_2012_2118
+MDNPEEKSGDRRHSTKIYRWRLYHPKGPVEGCGSI
+>DECOY_NM_001078|709845_3_2012_2118
+ISGCGEVPGKPHYLRWRYIKTSHRRDGSKEEPNDM
+>NM_000038|709977_2_4682_4776
+MGMKQNQSSLKNQMKTKRKRQKKLLILKRTY
+>DECOY_NM_000038|709977_2_4682_4776
+YTRKLILLKKQRKRKTKMQNKLSSQNQKMGM
+>NM_000038|709986_2_7640_7767
+MEDQQSAMILHGLILKVLLDFQSIGQEPGNVSTANIHHPFLE
+>DECOY_NM_000038|709986_2_7640_7767
+ELFPHHINATSVNGPEQGISQFDLLVKLILGHLIMASQQDEM
+>NM_000499|710007_2_471_547
+MVRACPSAQTLDQCGLPAGAWPRMA
+>DECOY_NM_000499|710007_2_471_547
+AMRPWAGAPLGCQDLTQASPCARVM
+>NM_000499|710015_3_250_425
+MGLASDWAHADPGKEPAPGTVKDEPAVWGRAADPNWLHTRGGAERPGHHPAGPGAAGR
+>DECOY_NM_000499|710015_3_250_425
+RGAAGPGAPHHGPREAGGRTHLWNPDAARGWVAPEDKVTGPAPEKGPDAHAWDSALGM
+>NM_001135040|710071_2_519_784
+MELFKAGSTSLVMKGMASLCASPRSRYLKMEQILLPQRHLILLLQKSSKERELIQLQRLANCPRAQPVLGWLGPVAPWAPLAQRQQVS
+>DECOY_NM_001135040|710071_2_519_784
+SVQQRQALPAWPAVPGLWGLVPQARPCNALRQLQILEREKSSKQLLLILHRQPLLIQEMKLYRSRPSACLSAMGKMVLSTSGAKFLEM
+>NM_001135040|710073_2_564_784
+MASLCASPRSRYLKMEQILLPQRHLILLLQKSSKERELIQLQRLANCPRAQPVLGWLGPVAPWAPLAQRQQVS
+>DECOY_NM_001135040|710073_2_564_784
+SVQQRQALPAWPAVPGLWGLVPQARPCNALRQLQILEREKSSKQLLLILHRQPLLIQEMKLYRSRPSACLSAM
+>NM_001135040|710074_2_606_784
+MEQILLPQRHLILLLQKSSKERELIQLQRLANCPRAQPVLGWLGPVAPWAPLAQRQQVS
+>DECOY_NM_001135040|710074_2_606_784
+SVQQRQALPAWPAVPGLWGLVPQARPCNALRQLQILEREKSSKQLLLILHRQPLLIQEM
+>NM_001135254|710106_2_791_939
+MASGPVSSPDSCVSPTAASPRFFAATRRPGPSGLGPSAAASPDRWRLRM
+>DECOY_NM_001135254|710106_2_791_939
+MRLRWRDPSAAASPGLGSPGPRRTAAFFRPSAATPSVCSDPSSVPGSAM
+>NM_001135254|710108_2_1550_1695
+MGAALCTGLSPCHRPPCTRAGWLQRLQPPTPALPTEPATASPATLTAS
+>DECOY_NM_001135254|710108_2_1550_1695
+SATLTAPSATAPETPLAPTPPQLRQLWGARTCPPRHCPSLGTCLAAGM
+>NM_002309|710134_2_167_246
+MGRGAPSPSPLSTPPVPYATHVTTTS
+>DECOY_NM_002309|710134_2_167_246
+STTTVHTAYPVPPTSLPSPSPAGRGM
+>NM_004087|710191_2_1424_1632
+MAMHHLISPTLLLSLLITMLAHLPSWARHQHLQPDTPQFLKQYLEMMKLQGNLEKLFFIVAQRALVSTL
+>DECOY_NM_004087|710191_2_1424_1632
+LTSVLARQAVIFFLKELNGQLKMMELYQKLFQPTDPQLHQHRAWSPLHALMTILLSLLLTPSILHHMAM
+>NM_015575|710242_2_1019_1170
+MERGGDLTVLMALVLQAGGNTWNDVGGLSLIFEIEMMNGVTEGFALAVGA
+>DECOY_NM_015575|710242_2_1019_1170
+AGVALAFGETVGNMMEIEFILSLGGVDNWTNGGAQLVLAMLVTLDGGREM
+>NM_015575|710251_2_3734_3819
+MDLRSGVNRCFMPLIRQITWMFPHLFLS
+>DECOY_NM_015575|710251_2_3734_3819
+SLFLHPFMWTIQRILPMFCRNVGSRLDM
+>NM_015575|710261_3_2883_2968
+MGPGRRRSPASIRGEPAADGRGGSQTPA
+>DECOY_NM_015575|710261_3_2883_2968
+APTQSGGRGDAAPEGRISAPSRRRGPGM
+>NM_016133|710377_2_729_808
+MVFTNIHLQISSMFVLGYHVYFLLEA
+>DECOY_NM_016133|710377_2_729_808
+AELLFYVHYGLVFMSSIQLHINTFVM
+>NM_001114101|710664_2_268_536
+MGTTDCRGPRGSQESQPFPGSEDPKGRRENPAYPAILGKMAPWDPLGCQGCPAPWASLESQVRRADTSRNSSQCSRSLGRPTSPLHPTA
+>DECOY_NM_001114101|710664_2_268_536
+ATPHLPSTPRGLSRSCQSSNRSTDARRVQSELSAWPAPCGQCGLPDWPAMKGLIAPYAPNERRGKPDESGPFPQSEQSGRPGRCDTTGM
+>NM_001114101|710665_2_385_536
+MAPWDPLGCQGCPAPWASLESQVRRADTSRNSSQCSRSLGRPTSPLHPTA
+>DECOY_NM_001114101|710665_2_385_536
+ATPHLPSTPRGLSRSCQSSNRSTDARRVQSELSAWPAPCGQCGLPDWPAM
+>NM_182797|710814_3_507_622
+MGRRPEINHTQLQGQQRQSNDMPQETLDEIGIYDQHKW
+>DECOY_NM_182797|710814_3_507_622
+WKHQDYIGIEDLTEQPMDNSQRQQGQLQTHNIEPRRGM
+>NM_000802|711030_3_447_523
+MVGRLSHLLHLQEQLAQGLELDFRV
+>DECOY_NM_000802|711030_3_447_523
+VRFDLELGQALQEQLHLLHSLRGVM
+>NM_000376|711071_2_641_747
+MVEGAILPGPTPDTLPASLGTPPPPAQITVSPLQT
+>DECOY_NM_000376|711071_2_641_747
+TQLPSVTIQAPPPPTGLSAPLTDPTPGPLIAGEVM
+>NM_024014|711086_2_460_539
+MGAEAARPTRATRHWSWRRSSTSTAT
+>DECOY_NM_024014|711086_2_460_539
+TATSTSSRRWSWHRTARTPRAAEAGM
+>NM_001103147|711150_2_808_902
+MDIIACTHQFFCWVSLYAKGDQILRKVDQHQ
+>DECOY_NM_001103147|711150_2_808_902
+QHQDVKRLIQDGKAYLSVWCFFQHTCAIIDM
+>NM_023110|711235_2_2602_2834
+MVPCMSSWSMPPRATCGSTCRPGGPQGWNTATTPATTQRSSSPPRTWCPAPTRWPEAWSIWPPRSAYTETWQPGMSW
+>DECOY_NM_023110|711235_2_2602_2834
+WSMGPQWTETYASRPPWISWAEPWRTPAPCWTRPPSSSRQTTAPTTATNWGQPGGPRCTSGCTARPPMSWSSMCPVM
+>NM_001103148|711412_2_813_946
+MERGGDLTVLAGGNTWNDVGGLSLIFEIEMMNGVTEGFALAVGA
+>DECOY_NM_001103148|711412_2_813_946
+AGVALAFGETVGNMMEIEFILSLGGVDNWTNGGALVTLDGGREM
+>NM_000757|711479_3_454_530
+MAGLPAVVGLSPGEQEYHRGGVGVL
+>DECOY_NM_000757|711479_3_454_530
+LVGVGGRHYEQEGPSLGVVAPLGAM
+>NM_024411|711492_2_682_935
+MVPWRLAHSISLRRTPRSRSNAMGAFCANTPRGAQRWLGRGTGIAWAMRTCTNAMGASCGAFVPSSSGTTRSAMAVFSGASSRW
+>DECOY_NM_024411|711492_2_682_935
+WRSSAGSFVAMASRTTGSSSPVFAGCSAGMANTCTRMAWAIGTGRGLWRQAGRPTNACFAGMANSRSRPTRRLSISHALRWPVM
+>NM_024411|711493_2_748_935
+MGAFCANTPRGAQRWLGRGTGIAWAMRTCTNAMGASCGAFVPSSSGTTRSAMAVFSGASSRW
+>DECOY_NM_024411|711493_2_748_935
+WRSSAGSFVAMASRTTGSSSPVFAGCSAGMANTCTRMAWAIGTGRGLWRQAGRPTNACFAGM
+>NM_024411|711495_2_844_935
+MGASCGAFVPSSSGTTRSAMAVFSGASSRW
+>DECOY_NM_024411|711495_2_844_935
+WRSSAGSFVAMASRTTGSSSPVFAGCSAGM
+>NM_006297|711546_2_307_551
+MAQLSWRCWWAVQLEALGSKTMRSFWSPHLSCPLPRAAVAQTPTAFACLGLTSWSGQPPRSAGTGSKLFAASPTARTPPLA
+>DECOY_NM_006297|711546_2_307_551
+ALPPTRATPSAAFLKSGTGASRPPQGSWSTLGLCAFATPTQAVAARPLPCSLHPSWFSRMTKSGLAELQVAWWCRWSLQAM
+>NM_006297|711550_2_1648_1850
+MGKTRMQAPRMRTRTVRNTRSLLICQSLSSQISSRASTSFFTGSSLGTSGGNSSDTSQPSMGSSRTI
+>DECOY_NM_006297|711550_2_1648_1850
+ITRSSGMSPQSTDSSNGGSTGLSSGTFFSTSARSSIQSSLSQCILLSRTNRVTRTRMRPAQMRTKGM
+>NM_006297|711555_3_215_306
+MAGSQGRREDHLCGPTVGEGGADTQCGHWE
+>DECOY_NM_006297|711555_3_215_306
+EWHGCQTDAGGEGVTPGCLHDERRGQSGAM
+>NM_014590|711572_3_1657_1763
+MEQLQHRNKHHFRFSRTSCFQSGNNPYLKPHLCKI
+>DECOY_NM_014590|711572_3_1657_1763
+IKCLHPKLYPNNGSQFCSTRSFRFHHKNRHQLQEM
+>NM_153426|711604_2_1042_1181
+MASGRSSMGSCSPTTTCTQAIPTTTGPPRALHPPPYPPRASPSSTL
+>DECOY_NM_153426|711604_2_1042_1181
+LTSSPSARPPYPPPHLARPPGTTTPIAQTCTTTPSCSGMSSRGSAM
+>NM_172389|711634_2_160_392
+MAMHPPTSAPPCRSPRRTPPCRPRATTFRPPHRASSRRRITPRGTEQLWTVGPRATSSPPATPGLMGPLPWRVLASR
+>DECOY_NM_172389|711634_2_160_392
+RSALVRWPLPGMLGPTAPPSSTARPGVTWLQETGRPTIRRRSSARHPPRFTTARPRCPPTRRPSRCPPASTPPHMAM
+>NM_172389|711636_2_1012_1250
+MASLSSPARPPWSSRPQWRSRWSPSGRTWAAPRPRPTSRPKTTPLSSTSGRAASATSTWRCRSTPTSGRSPSPCPLRPT
+>DECOY_NM_172389|711636_2_1012_1250
+TPRLPCPSPSRGSTPTSRCRWTSTASAARGSTSSLPTTKPRSTPRPRPAAWTRGSPSWRSRWQPRSSWPPRAPSSLSAM
+>NM_172389|711641_3_638_768
+MAVSLRVSQDHGPRGGLSPRAGGLHTAGFPAALPLHLAPRQRH
+>DECOY_NM_172389|711641_3_638_768
+HRQRPALHLPLAAPFGATHLGGARPSLGGRPGHDQSVRLSVAM
+>NM_000557|711653_2_1013_1107
+MGCWGPSCGSCGRSPRTRPSQRPPEAGGLPS
+>DECOY_NM_000557|711653_2_1013_1107
+SPLGGAEPPRQSPRTRPSRGCSGCSPGWCGM
+>NM_181457|711758_3_1184_1590
+MEEASWGQSTDGFQPSHSRGVPSHCHADLANVPAVGDLLPAHIYSTSCVRSQQHRSQTSTASSKHCTPKHDSFQPRQQLCLLPPQHQAWIFQLYRQLCASVGALQPHEPHHWQWPLTSGNGTPDQPRWGTSSAPD
+>DECOY_NM_181457|711758_3_1184_1590
+DPASSTGWRPQDPTGNGSTLPWQWHHPEHPQLAGVSACLQRYLQFIWAQHQPPLLCLQQRPQFSDHKPTCHKSSATSTQSRHQQSRVCSTSYIHAPLLDGVAPVNALDAHCHSPVGRSHSPQFGDTSQGWSAEEM
+>NM_000762|711777_2_214_542
+MAPCSPFTWGPGGSWCCVDMMPSGRLWWTRLRSSAGEASKPPSTGSSKAMAWYSATGSAPSSSGASPSPPCGTSGWASEASRSASRRRRASSSTPSGALAAPISIPPSS
+>DECOY_NM_000762|711777_2_214_542
+SSPPISIPAALAGSPTSSSARRRRSASRSAESAWGSTGCPPSPSAGSSSPASGTASYWAMAKSSGTSPPKSAEGASSRLRTWWLRGSPMMDVCCWSGGPGWTFPSCPAM
+>NM_000762|711780_2_361_542
+MAWYSATGSAPSSSGASPSPPCGTSGWASEASRSASRRRRASSSTPSGALAAPISIPPSS
+>DECOY_NM_000762|711780_2_361_542
+SSPPISIPAALAGSPTSSSARRRRSASRSAESAWGSTGCPPSPSAGSSSPASGTASYWAM
+>NM_004198|711811_2_353_441
+MALRLFAFLQIRFGSPTLFSITMLLVTSK
+>DECOY_NM_004198|711811_2_353_441
+KSTVLLMTISFLTPSGFRIQLFAFLRLAM
+>NM_004198|711814_2_1202_1278
+MGNPDILKNASIVTNQMSLPQARED
+>DECOY_NM_004198|711814_2_1202_1278
+DERAQPLSMQNTVISANKLIDPNGM
+>NM_002605|711840_2_469_578
+MDSAGHVKKQGLSVQLPRRLRLSLPVSWTNIMTLSS
+>DECOY_NM_002605|711840_2_469_578
+SSLTMINTWSVPLSLRLRRPLQVSLGQKKVHGASDM
+>NM_002605|711843_2_1168_1268
+MATIRLRKYPNVFSLTLIQIIRQANIKTGEKAH
+>DECOY_NM_002605|711843_2_1168_1268
+HAKEGTKINAQRIIQILTLSFVNPYKRLRITAM
+>NM_002510|711904_2_597_736
+MAPAKAIITSSLMGNLFLTTPDGEDGISSTSSTHLVSISRNWDDVQ
+>DECOY_NM_002510|711904_2_597_736
+QVDDWNRSISVLHTSSTSSIGDEGDPTTLFLNGMLSSTIIAKAPAM
+>NM_002510|711909_3_670_950
+MEFHLRLPHTWSVFPEIGTMFSESFCEHSQCDTWASTHGSDCLQKTWTGICSHRTSERCVRGNRSDSCVCDYVPEERSKFIRRNLPQRSPHYV
+>DECOY_NM_002510|711909_3_670_950
+VYHPSRQPLNRRIFKSREEPVYDCVCSDSRNGRVCRESTRHSCIGTWTKQLCDSGHTSAWTDCQSHECFSESFMTGIEPFVSWTHPLRLHFEM
+>NM_005611|711980_2_3440_3513
+MEVNHLQKEFAQKIILPYYAVSKM
+>DECOY_NM_005611|711980_2_3440_3513
+MKSVAYYPLIIKQAFEKQLHNVEM
+>NM_014809|712069_2_2794_2984
+MARVQQLEMSSMALTTVWLCSLRIWWRGCTLSTCESPTVRGPRTQTLPLWKCSQTLGRVAWWS
+>DECOY_NM_014809|712069_2_2794_2984
+SWWAVRGLTQSCKWLPLTQTRPGRVTPSECTSLTCGRWWIRLSCLWVTTLAMSSMELQQVRAM
+>NM_014809|712073_2_3283_3401
+MVTATPSQSAAFALTYGWRTLYSVISGMERATVSGVYSM
+>DECOY_NM_014809|712073_2_3283_3401
+MSYVGSVTAREMGSIVSYLTRWGYTLAFAASQSPTATVM
+>NM_001083962|712151_2_1705_1781
+MEDRPHRLLIMKDPYTLCKAELKIV
+>DECOY_NM_001083962|712151_2_1705_1781
+VIKLEAKCLTYPDKMILLRHPRDEM
+>NM_001083962|712156_2_1855_1994
+MGTCMESLDLLIMEPWVVWAQGMEPAFFQPTDIHSWWGPIVKMAWP
+>DECOY_NM_001083962|712156_2_1855_1994
+PWAMKVIPGWWSHIDTPQFFAPEMGQAWVVWPEMILLDLSEMCTGM
+>NM_001083962|712158_2_1891_1994
+MEPWVVWAQGMEPAFFQPTDIHSWWGPIVKMAWP
+>DECOY_NM_001083962|712158_2_1891_1994
+PWAMKVIPGWWSHIDTPQFFAPEMGQAWVVWPEM
+>NM_001083962|712159_2_1921_1994
+MEPAFFQPTDIHSWWGPIVKMAWP
+>DECOY_NM_001083962|712159_2_1921_1994
+PWAMKVIPGWWSHIDTPQFFAPEM
+>NM_003286|712201_2_457_551
+MEAQKSIKTNIKTETRKNEKRKRFEPLGMQK
+>DECOY_NM_003286|712201_2_457_551
+KQMGLPEFRKRKENKRTETKINTKISKQAEM
+>NM_003286|712202_2_568_644
+MASLVHHKLKMNLKMMAILFLLKRI
+>DECOY_NM_003286|712202_2_568_644
+IRKLLFLIAMMKLNMKLKHHVLSAM
+>NM_003977|712366_2_213_589
+MGPRPRSTTGRCTVTTRAPCWTTAGLVASPWSSSLARSSSCLCGRPSCAPCEKGRLPSSSVTSSMWSCTRWWPRVSATSRWARTPWRASGTAAVLHRCVNTAPWAMLTWTPCSRTPSPSSSTWRC
+>DECOY_NM_003977|712366_2_213_589
+CRWTSSSPSPTRSCPTWTLMAWPATNVCRHLVAATGSARWPTRAWRSTASVRPWWRTCSWMSSTVSSSPLRGKECPACSPRGCLCSSSRALSSSWPSAVLGATTWCPARTTVTCRGTTSRPRPGM
+>NM_002752|712393_3_1323_1417
+MERANLQRSHGLGRKKQEWCCKRSAFRCSSK
+>DECOY_NM_002752|712393_3_1323_1417
+KSSCRFASRKCCWEQKKRGLGHSRQLNAREM
+>NM_001119|712417_2_1092_1210
+MGSCQLERALRRPSITSITLWLPVRSRFELWPVQEDQTT
+>DECOY_NM_001119|712417_2_1092_1210
+TTQDEQVPWLEFRSRVPLWLTISTISPRRLARELQCSGM
+>NM_001119|712419_2_1572_1663
+MEAVPSRRLSGLKRMDIELPPLLSLTCLFH
+>DECOY_NM_001119|712419_2_1572_1663
+HFLCTLSLLPPLEIDMRKLGSLRRSPVAEM
+>NM_001119|712427_3_1525_1598
+MAELWPGRRSFRGRAEWKQSQVED
+>DECOY_NM_001119|712427_3_1525_1598
+DEVQSQKWEARGRFSRRGPWLEAM
+>NM_005163|712536_2_648_739
+MAPSLATRSGRRMWTNVRLPSTTSLWRSAS
+>DECOY_NM_005163|712536_2_648_739
+SASRWLSTTSPLRVNTWMRRGSRTALSPAM
+>NM_002249|712946_2_1842_1990
+MGTWCPTHTVGKVSVSSLASWVQAALPLWWPWWPESWNSPKRRSTFITS
+>DECOY_NM_002249|712946_2_1842_1990
+STIFTSRRKPSNWSEPWWPWWLPLAAQVWSALSSVSVKGVTHTPCWTGM
+>NM_000876|712999_2_1469_1815
+MGKELLYSQGRLTAPTSSHGTRNTPVLRRRKTSSAVPPTGRSAMTCPRWSAMQNQSRIGKLWMAVRRKQRRSIFSLIFVTECCRKARHEGVPRTRQCVQWIKMEVKIWENLFPLP
+>DECOY_NM_000876|712999_2_1469_1815
+PLPFLNEWIKVEMKIWQVCQRTRPVGEHRAKRCCETVFILSFISRRQKRRVAMWLKGIRSQNQMASWRPCTMASRGTPPVASSTKRRRLVPTNRTGHSSTPATLRGQSYLLEKGM
+>NM_000876|713015_2_3755_3834
+MVVSTCLSGELWKPVPLSEWKGTTVR
+>DECOY_NM_000876|713015_2_3755_3834
+RVTTGKWESLPVPKWLEGSLCTSVVM
+>NM_000876|713016_2_4265_4452
+MGLATPSTSRPCQGTVTTGKPSLGRGTRSTTSSMSASLWPRRLALSRALQKQPRVCWVAPSP
+>DECOY_NM_000876|713016_2_4265_4452
+PSPAVWCVRPQKQLARSLALRRPWLSASMSSTTSRTGRGLSPKGTTVTGQCPRSTSPTALGM
+>NM_000876|713020_2_5114_5241
+MEALLLTCLPLFIALVVMRLMMRVRMMPPIPTLISTSIFVSH
+>DECOY_NM_000876|713020_2_5114_5241
+HSVFISTSILTPIPPMMRVRMMLRMVVLAIFLPLCTLLLAEM
+>NM_033375|713048_2_698_807
+MGSGTSTSSTSCWRGARRRLFAGWAWNGTPRATCTW
+>DECOY_NM_033375|713048_2_698_807
+WTCTARPTGNWAWGAFLRRRAGRWCSTSSTSTGSGM
+>NM_033375|713050_2_2078_2274
+MGWLCWSDTWATSQKSTRWAGPRSSSASPRPCLPQRMPWRSGGRAWPQRSKLPGGAFTGGRNSSG
+>DECOY_NM_033375|713050_2_2078_2274
+GSSNRGGTFAGGPLKSRQPWARGGSRWPMRQPLCPRPSASSSRPGAWRTSKQSTAWTDSWCLWGM
+>NM_033375|713053_2_3098_3183
+MAPLTSHPARSCSSPRPRTGTWLWSPHG
+>DECOY_NM_033375|713053_2_3098_3183
+GHPSWLWTGTRPRPSSCSRAPHSTLPAM
+>NM_006082|713186_2_1146_1354
+MVNTWLAACCTVVTWFPKMSMLPLPPSKPSAASSLWIGAPLASRLASTTSLPLWCLVETWPRYRELCAC
+>DECOY_NM_006082|713186_2_1146_1354
+CACLERYRPWTEVLCWLPLSTTSALRSALPAGIWLSSAASPKSPPLPLMSMKPFWTVVTCCAALWTNVM
+>NM_003238|713354_3_1651_1754
+MDTRTQRVQCQLLCWSMPVFMEFRHSAQQGPELI
+>DECOY_NM_003238|713354_3_1651_1754
+ILEPGQQASHRFEMFVPMSWCLLQCQVRQTRTDM
+>NM_001136154|713398_3_691_911
+MEYRPCAAVAGVGGERIWPSRRQHLVIPEHRWEGTVQDDQGRLPEAHPQLQRRHPSLTSPLPQRDSSSTFDFR
+>DECOY_NM_001136154|713398_3_691_911
+RFDFTSSSDRQPLPSTLSPHRRQLQPHAEPLRGQDDQVTGEWRHEPIVLHQRRSPWIREGGVGAVAACPRYEM
+>NM_006618|713479_2_4632_4726
+MAAAISGFIRSVLVSPQRWQRKKTTSVCAVL
+>DECOY_NM_006618|713479_2_4632_4726
+LVACVSTTKKRQWRQPSVLVSRIFGSIAAAM
+>NM_181461|713495_3_1184_1572
+MEEASWGQSTDGFQPSHSRGVPSHCHADLANVPAVGDLLPAHIYSTSCVRSQQHRSQTSTASSKHCTPKHDSFQPRQQLCLLPPQHQAWIFQLYRQLCASVGALQPHEPHHWQWPLTSGAFHYLKPDIA
+>DECOY_NM_181461|713495_3_1184_1572
+AIDPKLYHFAGSTLPWQWHHPEHPQLAGVSACLQRYLQFIWAQHQPPLLCLQQRPQFSDHKPTCHKSSATSTQSRHQQSRVCSTSYIHAPLLDGVAPVNALDAHCHSPVGRSHSPQFGDTSQGWSAEEM
+>NM_019102|713532_2_209_426
+MAWISASAARAPATLAPESAPAATLPAPARRPPSPGTASRPRPRTLLSPIRCPAPPWPPRPAATATTAGKTP
+>DECOY_NM_019102|713532_2_209_426
+PTKGATTATAAPRPPWPPAPCRIPSLLTRPRPRSATGPSPPRRAPAPLTAAPASEPALTAPARAASASIWAM
+>NM_006180|713614_2_960_1126
+MDPPWRGSGASAGWLWASGGPLSPVPRPANAVPLGSGAATLLLASWHFRDWSLTV
+>DECOY_NM_006180|713614_2_960_1126
+VTLSWDRFHWSALLLTAAGSGLPVANAPRPVPSLPGGSAWLWGASAGSGRWPPDM
+>NM_006180|713618_2_2037_2122
+MGRMRNRFLLTSWAGLELTMVQTQIILM
+>DECOY_NM_006180|713618_2_2037_2122
+MLIIQTQVMTLELGAWSTLLFRNRMRGM
+>NM_006180|713621_2_2136_2251
+MELQRMTSGTPRTEVMKSLPQTSLIKPVGNISRSMLWW
+>DECOY_NM_006180|713621_2_2136_2251
+WWLMSRSINGVPKILSTQPLSKMVETRPTGSTMRQLEM
+>NM_000773|713645_2_439_572
+MGWGNRAMRAGSRGRPTSCWKHSGRPKASLSTPPSSSAARPATS
+>DECOY_NM_000773|713645_2_439_572
+STAPRAASSSPPTSLSAKPRGSHKWCSTPRGRSGARMARNGWGM
+>NM_000773|713651_2_1285_1412
+MESSSTVTISSHFPQENECVLEKAWLAWSCFFCCVPFCSILI
+>DECOY_NM_000773|713651_2_1285_1412
+ILISCFPVCCFFCSWALWAKELVCENEQPFHSSITVTSSSEM
+>NM_016936|713732_2_1311_1420
+MEDFTLTREPCSLDKHQSLKMTSLKKRRKNLQRSGS
+>DECOY_NM_016936|713732_2_1311_1420
+SGSRQLNKRRKKLSTMKLSQHKDLSCPERTLTFDEM
+>NM_016936|713736_2_1842_2020
+MEVIPLGWDWTRNSGSPLLSPKACQHPWRSALRSWLRLPELLRGRADRSSSPRILMESY
+>DECOY_NM_016936|713736_2_1842_2020
+YSEMLIRPSSSRDARGRLLEPLRLWSRLASRWPHQCAKPSLLPSGSNRTWDWGLPIVEM
+>NM_016936|713740_2_3573_3733
+MGFLLAALPREEHQSRVLFLGAWSLAYSLPPWDRPPADPSQVQQGKKCLFPRS
+>DECOY_NM_016936|713740_2_3573_3733
+SRPFLCKKGQQVQSPDAPPRDWPPLSYALSWAGLFLVRSQHEERPLAALLFGM
+>NM_002770|713866_2_658_734
+MAVPRRTGLESTPRSTTMWTGLRTP
+>DECOY_NM_002770|713866_2_658_734
+PTRLGTWMTTSRPTSELGTRRPVAM
+>NM_005259|713898_2_440_516
+MALWKMTIITLQRKQSLPCLQSLIF
+>DECOY_NM_005259|713898_2_440_516
+FILSQLCPLSQKRQLTIITMKWLAM
+>NM_005259|713902_3_600_715
+MDIFETRRDSYNSVCANPETHQTYERRYKVYWNPISET
+>DECOY_NM_005259|713902_3_600_715
+TESIPNWYVKYRREYTQHTEPNACVSNYSDRRTEFIDM
+>NM_000688|714022_2_1625_1716
+MESCQKWTSFLEHLAKPLVVLEGTSPARVL
+>DECOY_NM_000688|714022_2_1625_1716
+LVRAPSTGELVVLPKALHELFSTWKQCSEM
+>NM_006597|714072_2_718_857
+MESLRSSLQLETPTWVEKILTTEWSTILLLSLSASIRRTSVRTREL
+>DECOY_NM_006597|714072_2_718_857
+LERTRVSTRRISASLSLLLITSWETTLIKEVWTPTELQLSSRLSEM
+>NM_005610|714093_2_700_809
+MGFLGTQISVGTYLVLQMTIPSACGTSVPFQRREKW
+>DECOY_NM_005610|714093_2_700_809
+WKERRQFPVSTGCASPITMQLVLYTGVSIQTGLFGM
+>NM_001135937|714153_3_448_521
+MEEVSWWVWRSRRRRAEWAGRKVV
+>DECOY_NM_001135937|714153_3_448_521
+VVKRGAWEARRRRSRWVWWSVEEM
+>NM_130393|714248_2_937_1031
+MGLDQFSEYNPYGLRGMRPFMNVWPQIMWEK
+>DECOY_NM_130393|714248_2_937_1031
+KEWMIQPWVNMFPRMGRLGYPNYESFQDLGM
+>NM_001099404|714595_3_2656_3044
+MAHPEHTHQDHRELSGGTGEPDTGASHHRVHLCCGGHAALWQELLGAEGQRLRPAASLAHDGLLSCLPHHLPHPLWRVDRDHVGLHGGVGAVIMPAGLLACYGHWQPCGPESLPGLAAQLLQCRQPHSP
+>DECOY_NM_001099404|714595_3_2656_3044
+PSHPQRCQLLQAALGPLSEPGCPQWHGYCALLGAPMIVAGVGGHLGVHDRDVRWLPHPLHHPLCSLLGDHALSAAPRLRQGEAGLLEQWLAAHGGCCLHVRHHSAGTDPEGTGGSLERHDQHTHEPHAM
+>NM_130392|714685_2_2626_2771
+MALSLNTPSSTLQWMGKMTSLTRFWEFLRTLPNTFWNSWKNGLNTGSL
+>DECOY_NM_130392|714685_2_2626_2771
+LSGTNLGNKWSNWFTNPLTRLFEWFRTLSTMKGMWQLTSSPTNLSLAM
+>NM_130392|714688_2_3526_3620
+MALSPSIPFFIGISTSPFSRWSSLLFQLTPL
+>DECOY_NM_130392|714688_2_3526_3620
+LPTLQFLLSSWRSFPSTSIGIFFPISPSLAM
+>NM_181446|714731_3_1288_1454
+MAYHHACHAAGLQGAAPPCCQCHGDGLDFCFCSCPLSHLWHQQLHEGEHLPAHGY
+>DECOY_NM_181446|714731_3_1288_1454
+YGHAPLHEGEHLQQHWLHSLPCSCFCFDLGDGHCQCCPPAAGQLGAAHCAHHYAM
+>NM_013261|714756_2_1696_1979
+MARNPIHCSMCLLLVLLLTLHVEILCHHPNPYFLKDPKGCALVQGPFLDTGRVPDHHIPGQDQGLQAVDPLQDPAITMSQATTDTARTEILPCM
+>DECOY_NM_013261|714756_2_1696_1979
+MCPLIETRATDTTAQSMTIAPDQLPDVAQLGQDQGPIHHDPVRGTDLFPGQVLACGKPDKLFYPNPHHCLIEVHLTLLLVLLLCMSCHIPNRAM
+>NM_013261|714760_2_2257_2411
+METAMVSLPTVIPVMLLLLLKMDTLCAGQTKLTLSCTFVDASNFSSLTMQT
+>DECOY_NM_013261|714760_2_2257_2411
+TQMTLSSFNSADVFTCSLTLKTQGACLTDMKLLLLLMVPIVTPLSVMATEM
+>NM_013261|714761_2_2269_2411
+MVSLPTVIPVMLLLLLKMDTLCAGQTKLTLSCTFVDASNFSSLTMQT
+>DECOY_NM_013261|714761_2_2269_2411
+TQMTLSSFNSADVFTCSLTLKTQGACLTDMKLLLLLMVPIVTPLSVM
+>NM_013261|714763_2_2320_2411
+MDTLCAGQTKLTLSCTFVDASNFSSLTMQT
+>DECOY_NM_013261|714763_2_2320_2411
+TQMTLSSFNSADVFTCSLTLKTQGACLTDM
+>NM_000537|714857_2_303_553
+MARLASAPHPRPSKSSLTLVRPMFGCPPPSAAVSTLPVCITSSSMLRIPPATSTMEQNSPSAIQQGQSVAFSARTSSPWVESR
+>DECOY_NM_000537|714857_2_303_553
+RSEVWPSSTRASFAVSQGQQIASPSNQEMTSTAPPIRLMSSSTICVPLTSVAASPPPCGFMPRVLTLSSKSPRPHPASALRAM
+>NM_000537|714860_2_465_553
+MEQNSPSAIQQGQSVAFSARTSSPWVESR
+>DECOY_NM_000537|714860_2_465_553
+RSEVWPSSTRASFAVSQGQQIASPSNQEM
+>NM_000749|715135_3_727_908
+MGNTERKGDEGEQKGRRVLLSLYHVFLRPETPAFILYPLSHHPLPGAVFPNSSCVLFTFG
+>DECOY_NM_000749|715135_3_727_908
+GFTFLVCSSNPFVAGPLPHHSLPYLIFAPTEPRLFVHYLSLLVRRGKQEGEDGKRETNGM
+>NM_130391|715195_2_1225_1472
+MVVLSSYDQEPFRLSRVKSLTKENMSVLPPTARALAIPLLPIYMSEFAVSHQDSLSHPLIMKSCQAEALISPVWPWGHQCLM
+>DECOY_NM_130391|715195_2_1225_1472
+MLCQHGWPWVPSILAEAQCSKMILPHSLSDQHSVAFESMYIPLLPIALARATPPLVSMNEKTLSKVRSLRFPEQDYSSLVVM
+>NM_030963|715228_2_697_812
+MVNMHGIMKEEMGGGSTMSALVESWKMLFPKVKRTLKC
+>DECOY_NM_030963|715228_2_697_812
+CKLTRKVKPFLMKWSEVLASMTSGGGMEEKMIGHMNVM
+>NM_030963|715231_2_730_812
+MGGGSTMSALVESWKMLFPKVKRTLKC
+>DECOY_NM_030963|715231_2_730_812
+CKLTRKVKPFLMKWSEVLASMTSGGGM
+>NM_001135602|715333_2_247_326
+MASHFATSQEAFTTPVCPASTGRTGC
+>DECOY_NM_001135602|715333_2_247_326
+CGTRGTSAPCVPTTFAEQSTAFHSAM
+>NM_001135602|715335_2_961_1103
+MGLCCTGQHFLKIAATQHLSLHPSMESTIEHMLLWMGSPRESLSETM
+>DECOY_NM_001135602|715335_2_961_1103
+MTESLSERPSGMWLLMHEITSEMSPHLSLHQTAAIKLFHQGTCCLGM
+>NM_003807|715350_3_720_1045
+MGDSAGPGLPEGPQLPRWGPCGHQSWLLLHLLQGAAGRCGLPAGPGQHHHPRPLQAHTPLPRGAGAVGQPAVTLRTGHQQLPGLVGQQLPGWCGTPGGWGEGGRPCAG
+>DECOY_NM_003807|715350_3_720_1045
+GACPRGGEGWGGPTGCWGPLQQGVLGPLQQHGTRLTVAPQGVAGAGRPLPTHAQLPRPHHHQGPGAPLGCRGAAGQLLHLLLWSQHGCPGWRPLQPGEPLGPGASDGM
+>NM_002600|715427_2_441_637
+MALPQVGVHWIPRPALPLGWYFTPPFLGTASAESHFSTDQTATMTCHQRRCRETLLFQASNTAMT
+>DECOY_NM_002600|715427_2_441_637
+TMATNSAQFLLTERCRRQHCTMTATQDTSFHSEASATGLFPPTFYWGLPLAPRPIWHVGVQPLAM
+>NM_005297|715453_2_791_876
+MGCGTLGRPCAPSSRPWMPIVSSPAPTS
+>DECOY_NM_005297|715453_2_791_876
+STPAPSSVIPMWPRSSPACPRGLTGCGM
+>NM_000956|715565_2_774_1057
+MGSTSSTAPGPGASSGTGGPLTCSCTPPCCCFSLSRCSPATSVSFSTSSACTAEAGEAAADLPWAVAGAAPGPAGEGKGCPWRRRRTTSFSWLS
+>DECOY_NM_000956|715565_2_774_1057
+SLWSFSTTRRRRWPCGKGEGAPGPAAGAVAWPLDAAAEGAEATCASSTSFSVSTAPSCRSLSFCCCPPTCSCTLPGGTGSSAGPGPATSSTSGM
+>NM_172014|715619_3_612_937
+MGDSAGPGLPEGPQLPRWGPCGHQSWLLLHLLQGAAGRCGLPAGPGQHHHPRPLQAHTPLPRGAGAVGQPAVTLRTGHQQLPGLVGQQLPGWCGTPGGWGGGGRPCAG
+>DECOY_NM_172014|715619_3_612_937
+GACPRGGGGWGGPTGCWGPLQQGVLGPLQQHGTRLTVAPQGVAGAGRPLPTHAQLPRPHHHQGPGAPLGCRGAAGQLLHLLLWSQHGCPGWRPLQPGEPLGPGASDGM
+>NM_021642|715629_2_525_622
+MENPRNSPIWIPPSPSHKQTTVTVVITTAQET
+>DECOY_NM_021642|715629_2_525_622
+TEQATTIVVTVTTQKHSPSPPIWIPSNRPNEM
+>NM_006923|715724_2_472_626
+MVRCGSNTLPLRYCCLSQENNMVDLSVGKKRCMAWPSQVRTTTGKPWKASS
+>DECOY_NM_006923|715724_2_472_626
+SSAKWPKGTTTRVQSPWAMCRKKGVSLDVMNNEQSLCCYRLPLTNSGCRVM
+>NM_006923|715725_2_535_626
+MVDLSVGKKRCMAWPSQVRTTTGKPWKASS
+>DECOY_NM_006923|715725_2_535_626
+SSAKWPKGTTTRVQSPWAMCRKKGVSLDVM
+>NM_002052|715877_3_817_1178
+MEPGGSRRSRLHPAAGVAALLLPGDHRVPGGRRRRCRGPGSCGLQQWRRSGGCGPGGPRAVRARRLRGLLLQPLPGLHGRRGRVLGRSRRRLRRPLRQPGPAQPARPGQPGRPTPQSRYV
+>DECOY_NM_002052|715877_3_817_1178
+VYRSQPTPRGPQGPRAPQAPGPQRLPRRLRRRSRGLVRGRRGHLGPLPQLLLGRLRRARVARPGGPGCGGSRRWQQLGCSGPGRCRRRRGGPVRHDGPLLLAAVGAAPHLRSRRSGGPEM
+>NM_002585|715905_2_1414_1499
+MDSQPVRCTVRRASVLMEVGRMLLPLHQ
+>DECOY_NM_002585|715905_2_1414_1499
+QHLPLLMRGVEMLVSARRVTCRVPQSDM
+>NM_139248|716298_2_612_688
+MDGWGELQASTLQALYSTGNLTKTD
+>DECOY_NM_139248|716298_2_612_688
+DTKTLNGTSYLAQLTSAQLEGWGDM
+>NM_139248|716300_2_942_1027
+MASVSAAARHKKSPVPFWAIMLIIGKTI
+>DECOY_NM_139248|716300_2_942_1027
+ITKGIILMIAWFPVPSKKHRAAASVSAM
+>NM_139248|716305_3_1120_1205
+MEQECKKRGHYHQIERQSWKHHRIQNQS
+>DECOY_NM_139248|716305_3_1120_1205
+SQNQIRHHKWSQREIQHYHGRKKCEQEM
+>NM_004700|716450_2_938_1035
+MVTRHRTHGWAGSWLLASPYWASLSLPCLPAS
+>DECOY_NM_004700|716450_2_938_1035
+SAPLCPLSLSAWYPSALLWSGAWGHTRHRTVM
+>NM_004700|716452_2_1253_1566
+MGAYGPWRCGGRRYPTEHPPVTRPLPPATGRAAPPSALGKAAGWASKTASAWAAPSGGRVLPSSIWHLQQCPPPQAASRWVRPPAPPRCKRAGASMTAPASGHL
+>DECOY_NM_004700|716452_2_1253_1566
+LHGSAPATMSAGARKCRPPAPPRVWRSAAQPPPCQQLHWISSPLVRGGSPAAWASATKSAWGAAKGLASPPAARGTAPPLPRTVPPHETPYRRGGCRWPGYAGM
+>NM_004700|716454_3_567_784
+MAGSLPLCQKALLCHRLHRVRGLGGRHRRGYPGQHLRHVRAAQHALPADPAHGAHGPPRRHLEAAGLSGLRA
+>DECOY_NM_004700|716454_3_567_784
+ARLGSLGAAELHRRPPGHAGHAPDAPLAHQAARVHRLHQGPYGRRHRGGLGRVRHLRHCLLAKQCLPLSGAM
+>NM_016831|716581_2_3053_3165
+MAVRAVLLLPVHCPRGHLPGRIHPILLPALCPQDRLP
+>DECOY_NM_016831|716581_2_3053_3165
+PLRDQPCLAPLLIPHIRGPLHGRPCHVPLLLVARVAM
+>NM_016831|716582_2_3422_3495
+MGSNLRTYRKKKHFLMSPKSPSGE
+>DECOY_NM_016831|716582_2_3422_3495
+EGSPSKPSMLFHKKKRYTRLNSGM
+>NM_024408|716664_2_392_603
+MAQDTANVQKASWGNIVNIETPVRRTAARMVGLVWPRPCWGKPRADVPQGLQERTASTQHLIHALCLDPA
+>DECOY_NM_024408|716664_2_392_603
+APDLCLAHILHQTSATREQLGQPVDARPKGWCPRPWVLGVMRAATRRVPTEINVINGWSAKQVNATDQAM
+>NM_024408|716665_2_479_603
+MVGLVWPRPCWGKPRADVPQGLQERTASTQHLIHALCLDPA
+>DECOY_NM_024408|716665_2_479_603
+APDLCLAHILHQTSATREQLGQPVDARPKGWCPRPWVLGVM
+>NM_024408|716672_2_836_1440
+MVAPASTCLVPTSASALRASQASTVTACMCPVHPHLVSMEAPVGRLVTSLLSATAFQVLKGAPVRGILMTALTTGVRMEGFVWMGSTLTTAAVPHNGQDSSAQRMWMNACCSPMPVKMGAPVPTAMEAMAVYVSTAGVEMTAVRTLMIVPSPPVLQAPPASTVWPPSLACAQRGRQVSCVIWMMHASAILATRGHCVTPTP
+>DECOY_NM_024408|716672_2_836_1440
+PTPTVCHGRTALIASAHMMWIVCSVQRGRQACALSPPWVTSAPPAQLVPPSPVIMLTRVATMEVGATSVYVAMAEMATPVPAGMKVPMPSCCANMWMRQASSDQGNHPVAATTLTSGMWVFGEMRVGTTLATMLIGRVPAGKLVQFATASLLSTVLRGVPAEMSVLHPHVPCMCATVTSAQSARLASASTPVLCTSAPAVM
+>NM_024408|716676_2_1067_1440
+MEGFVWMGSTLTTAAVPHNGQDSSAQRMWMNACCSPMPVKMGAPVPTAMEAMAVYVSTAGVEMTAVRTLMIVPSPPVLQAPPASTVWPPSLACAQRGRQVSCVIWMMHASAILATRGHCVTPTP
+>DECOY_NM_024408|716676_2_1067_1440
+PTPTVCHGRTALIASAHMMWIVCSVQRGRQACALSPPWVTSAPPAQLVPPSPVIMLTRVATMEVGATSVYVAMAEMATPVPAGMKVPMPSCCANMWMRQASSDQGNHPVAATTLTSGMWVFGEM
+>NM_024408|716681_2_1187_1440
+MGAPVPTAMEAMAVYVSTAGVEMTAVRTLMIVPSPPVLQAPPASTVWPPSLACAQRGRQVSCVIWMMHASAILATRGHCVTPTP
+>DECOY_NM_024408|716681_2_1187_1440
+PTPTVCHGRTALIASAHMMWIVCSVQRGRQACALSPPWVTSAPPAQLVPPSPVIMLTRVATMEVGATSVYVAMAEMATPVPAGM
+>NM_024408|716682_2_1211_1440
+MEAMAVYVSTAGVEMTAVRTLMIVPSPPVLQAPPASTVWPPSLACAQRGRQVSCVIWMMHASAILATRGHCVTPTP
+>DECOY_NM_024408|716682_2_1211_1440
+PTPTVCHGRTALIASAHMMWIVCSVQRGRQACALSPPWVTSAPPAQLVPPSPVIMLTRVATMEVGATSVYVAMAEM
+>NM_024408|716683_2_1220_1440
+MAVYVSTAGVEMTAVRTLMIVPSPPVLQAPPASTVWPPSLACAQRGRQVSCVIWMMHASAILATRGHCVTPTP
+>DECOY_NM_024408|716683_2_1220_1440
+PTPTVCHGRTALIASAHMMWIVCSVQRGRQACALSPPWVTSAPPAQLVPPSPVIMLTRVATMEVGATSVYVAM
+>NM_024408|716691_2_1772_1881
+MGSVWIKSIVSSACVLLVSLGQFARLILMTVPVLRV
+>DECOY_NM_024408|716691_2_1772_1881
+VRLVPVTMLILRAFQGLSVLLVCASSVISKIWVSGM
+>NM_024408|716695_2_1997_2106
+MVSVRMVLIPTPASAIPGTWAPSAVTRLMNVTAALA
+>DECOY_NM_024408|716695_2_1997_2106
+ALAATVNMLRTVASPAWTGPIASAPTPILVMRVSVM
+>NM_024408|716696_2_2012_2106
+MVLIPTPASAIPGTWAPSAVTRLMNVTAALA
+>DECOY_NM_024408|716696_2_2012_2106
+ALAATVNMLRTVASPAWTGPIASAPTPILVM
+>NM_024408|716697_2_2111_2358
+MVAALTWSMATSATASQARQGLIVKLILMTVQVTLVSMESVWMALIATVVSAHQDSQGRDVTLTLMSVPPIPVARVQHVSTV
+>DECOY_NM_024408|716697_2_2111_2358
+VTSVHQVRAVPIPPVSMLTLTVDRGQSDQHASVVTAILAMWVSEMSVLTVQVTMLILKVILGQRAQSATASTAMSWTLAAVM
+>NM_024408|716705_2_2909_3045
+MVSAITPRAATCVNVHQASVVWTVRRTLMTALPILARMEVPVWME
+>DECOY_NM_024408|716705_2_2909_3045
+EMWVPVEMRALIPLATMLTRRVTWVVSAQHVNVCTAARPTIASVM
+>NM_024408|716706_2_3134_3360
+MEGPALTTSTVTLASARQDLMESIVRTTSMSALRAPVSMVAHVLMGLTPSLACALWVSLDPSASMRSMNAALIHA
+>DECOY_NM_024408|716706_2_3134_3360
+AHILAANMSRMSASPDLSVWLACALSPTLGMLVHAVMSVPARLASMSTTRVISEMLDQRASALTVTSTTLAPGEM
+>NM_024408|716718_2_4562_4911
+MASVMRPATAMPASGMGVTVLSPWRTPGPTAPPHFPAGIISTTSVMSCATRSSACLTTLNARGTARHASMTNTVQTTSKTTTVTRGATVRSVVGMGWTVLLTNLRTWQKVPWLLWY
+>DECOY_NM_024408|716718_2_4562_4911
+YWLLWPVKQWTRLNTLLVTWGMGVVSRVTAGRTVTTTKSTTQVTNTMSAHRATGRANLTTLCASSRTACSMVSTTSIIGAPFHPPATPGPTRWPSLVTVGMGSAPMATAPRMVSAM
+>NM_024408|716721_2_4607_4911
+MGVTVLSPWRTPGPTAPPHFPAGIISTTSVMSCATRSSACLTTLNARGTARHASMTNTVQTTSKTTTVTRGATVRSVVGMGWTVLLTNLRTWQKVPWLLWY
+>DECOY_NM_024408|716721_2_4607_4911
+YWLLWPVKQWTRLNTLLVTWGMGVVSRVTAGRTVTTTKSTTQVTNTMSAHRATGRANLTTLCASSRTACSMVSTTSIIGAPFHPPATPGPTRWPSLVTVGM
+>NM_024408|716726_2_5372_5466
+MALSGCLKVSLFAEMQAITSVVSQWDRMLWG
+>DECOY_NM_024408|716726_2_5372_5466
+GWLMRDWQSVVSTIAQMEAFLSVKLCGSLAM
+>NM_024408|716737_2_6251_6345
+MGPTETCRTTRKRHLCFLLPGRGAMKQPRSC
+>DECOY_NM_024408|716737_2_6251_6345
+CSRPQKMAGRGPLLFCLHRKRTTRCTETPGM
+>NM_005347|716829_2_971_1131
+MVSSKLWPLMEILIWVEKTLTSVSWNTSSNCTKRRRAKMSGKTIELCRNSGAR
+>DECOY_NM_005347|716829_2_971_1131
+RAGSNRCLEITKGSMKARRRKTCNSSTNWSVSTLTKEVWILIEMLPWLKSSVM
+>NM_001085458|716976_2_1124_1272
+MAMGDLVPMWGKLALLPFLGTSTTLLMVIVATMKMVIQVAVITMAVCPG
+>DECOY_NM_001085458|716976_2_1124_1272
+GPCVAMTIVAVQIVMKMTAVIVMLLTTSTGLFPLLALKGWMPVLDGMAM
+>NM_001085458|716977_2_1130_1272
+MGDLVPMWGKLALLPFLGTSTTLLMVIVATMKMVIQVAVITMAVCPG
+>DECOY_NM_001085458|716977_2_1130_1272
+GPCVAMTIVAVQIVMKMTAVIVMLLTTSTGLFPLLALKGWMPVLDGM
+>NM_001085458|716979_2_1922_2061
+MVCLPLCDCFERLVIWTLLKLLPEPCGIFHPMTQSKWRLWTMHCMP
+>DECOY_NM_001085458|716979_2_1922_2061
+PMCHMTWLRWKSQTMPHFIGCPEPLLKLLTWIVLREFCDCLPLCVM
+>NM_013993|717069_2_467_678
+MGPGAPQGRCFPRRRSTCRWIYNDCTWWLWWAPRDGMPGAWARSSPGATGCVTPGMVAAGWAGRTAGVRR
+>DECOY_NM_013993|717069_2_467_678
+RRVGATRGAWGAAVMGPTVCGTAGPSSRAWAGPMGDRPAWWLWWTCDNYIWRCTSRRRPFCRGQPAGPGM
+>NM_013993|717072_2_824_1089
+MDSCLTPPLWGRQCIYLRPCTSTTPPMTDIPWADCSMGVWASWQMVWWGWMTLGRVRSCGSGQAMTMWDGATTASPVAMWRWSLSLTG
+>DECOY_NM_013993|717072_2_824_1089
+GTLSLSWRWMAVPSATTAGDWMTMAQGSGCSRVRGLTMWGWWVMQWSAWVGMSCDAWPIDTMPPTTSTCPRLYICQRGWLPPTLCSDM
+>NM_013993|717074_2_932_1089
+MGVWASWQMVWWGWMTLGRVRSCGSGQAMTMWDGATTASPVAMWRWSLSLTG
+>DECOY_NM_013993|717074_2_932_1089
+GTLSLSWRWMAVPSATTAGDWMTMAQGSGCSRVRGLTMWGWWVMQWSAWVGM
+>NM_013993|717081_2_2051_2214
+MGPPEWISLDLDSASRRSLARASLGRCTCVRSTALKIWLVLISPLMCVRDTLCW
+>DECOY_NM_013993|717081_2_2051_2214
+WCLTDRVCMLPSILVLWIKLATSRVCTCRGLSARALSRRSASDLDLSIWEPPGM
+>NM_000215|717174_2_1685_1764
+MGPSPRFTGAVAMRWWMGRPERQRCC
+>DECOY_NM_000215|717174_2_1685_1764
+CCRQREPRGMWWRMAVAGTFRPSPGM
+>NM_000215|717178_2_2498_2631
+MGCGMVPSSMPAKTPRSSRRDTSSTSHSWARATLAAWSCAAMTR
+>DECOY_NM_000215|717178_2_2498_2631
+RTMAACSWAALTARAWSHSTSSTDRRSSRPTKAPMSSPVMGCGM
+>NM_000215|717179_2_2510_2631
+MVPSSMPAKTPRSSRRDTSSTSHSWARATLAAWSCAAMTR
+>DECOY_NM_000215|717179_2_2510_2631
+RTMAACSWAALTARAWSHSTSSTDRRSSRPTKAPMSSPVM
+>NM_000215|717181_2_2771_3009
+MARAARACGWSWSTCPAAACATSCSGTARASMPAASFSIPRRSARAWSTWAPAAACTATWPPETSSWRARHTSRSLTSA
+>DECOY_NM_000215|717181_2_2771_3009
+ASTLSRSTHRARWSSTEPPWTATCAAAPAWTSWARASRRPISFSAAPMSARATGSCSTACAAAPCTSWSWGCARAARAM
+>NM_014323|717325_2_1259_1401
+MASPAACSQRRRQLGRLVQPLQAKPLCLCYLGWTACPWWLDPYPPNC
+>DECOY_NM_014323|717325_2_1259_1401
+CNPPYPDLWWPCATWGLYCLCLPKAQLPQVLRGLQRRRQSCAAPSAM
+>NM_014323|717327_2_1661_1944
+MGYPSLKTPTAPERGAGPGSRWLVRSAARSSVMCIILTGTSCPTLGRSPTPALCVGCGSREKTACPTMCGPMMGPWASLTSARAVGKASPGLIT
+>DECOY_NM_014323|717327_2_1661_1944
+TILGPSAKGVARASTLSAWPGMMPGCMTPCATKERSGCGVCLAPTPSRGLTPCSTGTLIICMVSSRAASRVLWRSGPGAGREPATPTKLSPYGM
+>NM_005359|717381_2_1289_1542
+MDLLVSQLLTIITALPPGLEVGLHHTHLICLTTKTAIFSTTRLCRPIPDITGLFTMSLHSSLPFPIILLLSIGVPLLTLKWMFR
+>DECOY_NM_005359|717381_2_1289_1542
+RFMWKLTLLPVGISLLLIIPFPLSSHLSMTFLGTIDPIPRCLRTTSFIATKTTLCILHTHHLGVELGPPLATIITLLQSVLLDM
+>NM_144587|717454_3_1300_1376
+MARPGYSQPLPRTGEWGRHGPPERS
+>DECOY_NM_144587|717454_3_1300_1376
+SREPPGHRGWEGTRPLPQSYGPRAM
+>NM_134261|717527_2_338_432
+MVSLHVKAARAFSGEVSKAMPPTPVLVRRTV
+>DECOY_NM_134261|717527_2_338_432
+VTRRVLVPTPPMAKSVEGSFARAAKVHLSVM
+>NM_000524|717574_2_577_761
+MATLSIPPLELSTSRCCSCWFSMGAYSELRASASARRSKRWRRPERTPAMEHLPPRSPRRV
+>DECOY_NM_000524|717574_2_577_761
+VRRPSRPPLHEMAPTREPRRWRKSRRASASARLESYAGMSFWCSCCRSTSLELPPISLTAM
+>NM_015158|717615_2_775_866
+MDTKVMGIMVAMPQLLPPLPPWGAPSATAP
+>DECOY_NM_015158|717615_2_775_866
+PATASPAGWPPLPPLLQPMAVMIGMVKTDM
+>NM_015158|717616_2_790_866
+MGIMVAMPQLLPPLPPWGAPSATAP
+>DECOY_NM_015158|717616_2_790_866
+PATASPAGWPPLPPLLQPMAVMIGM
+>NM_015158|717617_2_3079_3308
+MVTKIQMAQKRIFSLLALMEGMKQLQVMIPAQMKALLPSQMTSVMSLSILLKKRRRRRMKTLGEWQKGTMQLILKV
+>DECOY_NM_015158|717617_2_3079_3308
+VKLILQMTGKQWEGLTKMRRRRRKKLLISLSMVSTMQSPLLAKMQAPIMVQLQKMGEMLALLSFIRKQAMQIKTVM
+>NM_018344|717654_3_257_339
+MELLYHCQGVLDVQTPQLLQPSHRGGP
+>DECOY_NM_018344|717654_3_257_339
+PGGRHSPQLLQPTQVDLVGQCHYLLEM
+>NM_006267|717722_2_1828_1922
+MAFNLLCLYIGQNAFRKRAAVLILFMINENT
+>DECOY_NM_006267|717722_2_1828_1922
+TNENIMFLILVAARKRFANQGIYLCLLNFAM
+>NM_006267|717727_2_3361_3566
+MAIVEPNQFLVVKPLGLEIHSILEAKMCLEFHLQKTWGRVSKRILVFGEVMICLLSMVQGNQYLEHPL
+>DECOY_NM_006267|717727_2_3361_3566
+LPHELYQNGQVMSLLCIMVEGFVLIRKSVRGWTKQLHFELCMKAELISHIELGLPKVVLFQNPEVIAM
+>NM_006267|717753_2_8365_8438
+MEMGKTFNQSFKKFRKLKNLRQKK
+>DECOY_NM_006267|717753_2_8365_8438
+KKQRLNKLKRFKKFSQNFTKGMEM
+>NM_181050|717780_2_946_1415
+MAETLLPPEDSLRSCSWRQLPRGSPPVDGTAKAESSGMDPGGSQSTPIMSMPAMPWPQPPVPTTASSRACPAMQTPCPSRTAAWMGSPHTGSVSSTAGRCRRACRSMGGCPYLTFPARTGCRRRSAWSLRSSRRSSSTAWRLCSARGRPRRSWRSG
+>DECOY_NM_181050|717780_2_946_1415
+GSRWSRRPRGRASCLRWATSSSRRSSRLSWASRRRCGTRAPFTLYPCGGMSRCARRCRGATSSVSGTHPSGMWAATRSPCPTQMAPCARSSATTPVPPQPWPMAPMSMIPTSQSGGPDMGSSEAKATGDVPPSGRPLQRWSCSRLSDEPPLLTEAM
+>NM_181050|717781_2_1057_1415
+MDPGGSQSTPIMSMPAMPWPQPPVPTTASSRACPAMQTPCPSRTAAWMGSPHTGSVSSTAGRCRRACRSMGGCPYLTFPARTGCRRRSAWSLRSSRRSSSTAWRLCSARGRPRRSWRSG
+>DECOY_NM_181050|717781_2_1057_1415
+GSRWSRRPRGRASCLRWATSSSRRSSRLSWASRRRCGTRAPFTLYPCGGMSRCARRCRGATSSVSGTHPSGMWAATRSPCPTQMAPCARSSATTPVPPQPWPMAPMSMIPTSQSGGPDM
+>NM_181050|717788_2_1888_2288
+MGQGPEATQRVLALPPTPVMASPTVGRWAWRAKEMPRRLSRGRAPAPRCQVPRRMRRRTRKSCSGSLRGKRRSAGTAGPATGLRGRGSHSPMRTPDPCPLSTPGPALSSGPPCSPPTSSSKTPPCHPTQLPTP
+>DECOY_NM_181050|717788_2_1888_2288
+PTPLQTPHCPPTKSSSTPPSCPPGSSLAPGPTSLPCPDPTRMPSHSGRGRLGTAPGATGASRRKGRLSGSCSKRTRRRMRRPVQCRPAPARGRSLRRPMEKARWAWRGVTPSAMVPTPPLALVRQTAEPGQGM
+>NM_181050|717789_2_1945_2288
+MASPTVGRWAWRAKEMPRRLSRGRAPAPRCQVPRRMRRRTRKSCSGSLRGKRRSAGTAGPATGLRGRGSHSPMRTPDPCPLSTPGPALSSGPPCSPPTSSSKTPPCHPTQLPTP
+>DECOY_NM_181050|717789_2_1945_2288
+PTPLQTPHCPPTKSSSTPPSCPPGSSLAPGPTSLPCPDPTRMPSHSGRGRLGTAPGATGASRRKGRLSGSCSKRTRRRMRRPVQCRPAPARGRSLRRPMEKARWAWRGVTPSAM
+>NM_002203|717867_2_876_973
+MVGTSQTHSEQFNMQENMLIQQLLVGDEVLRK
+>DECOY_NM_002203|717867_2_876_973
+KRLVEDGVLLQQILMNEQMNFQESHTQSTGVM
+>NM_002203|717869_2_1560_1675
+MAISRLFRLTEVTRLAPILVVCCVQLMWIKTPLQTCSW
+>DECOY_NM_002203|717869_2_1560_1675
+WSCTQLPTKIWMLQVCCVVLIPALRTVETLRFLRSIAM
+>NM_002203|717870_2_1911_2026
+MVIRALSAQSIPRKSWDPMEPLGAISSTLGGPWMAMEI
+>DECOY_NM_002203|717870_2_1911_2026
+IEMAMWPGGLTSSIAGLPEMPDWSKRPISQASLARIVM
+>NM_017640|718059_3_2190_2287
+MGQEQHHCTRLSGYSCCYGKELHIKIYANSYV
+>DECOY_NM_017640|718059_3_2190_2287
+VYSNAYIKIHLEKGYCCSYGSLRTCHHQEQGM
+>NM_000275|718102_3_2143_2327
+MGNPSVFCSALCSDGGIGTSPLNRICWRTNCFANKDGPRGAAPHSRHCPGGVGLSPGVVPD
+>DECOY_NM_000275|718102_3_2143_2327
+DPVVGPSLGVGGPCHRSHPAAGRPGDKNAFCNTRWCIRNLPSTGIGGDSCLASCFVSPNGM
+>NM_000552|718166_2_1832_1977
+MATRATTSLPPLGWRSPGWRTSGTPGSCTGTARTCRSSTAIPAPSTRA
+>DECOY_NM_000552|718166_2_1832_1977
+ARTSPAPIATSSRCTRATGTCSGPTGSTRWGPSRWGLPPLSTTARTAM
+>NM_000552|718192_2_6548_6777
+MAQSPQTGKHLFRNGLCSGQGRRASPSWRSSVLSPTAPTARSSSYHCLLNATRSWLQPHSMPSASRTVATRSKCVR
+>DECOY_NM_000552|718192_2_6548_6777
+RVCKSRTAVTRSASPMSHPQLWSRTANLLCHYSSSRATPATPSLVSSRWSPSARRGQGSCLGNRFLHKGTQPSQAM
+>NM_000552|718194_2_7031_7176
+MESSTSSWKPGSRTTSPVRSAHASAGGRSTAQRSPAPRPKLPRVACVK
+>DECOY_NM_000552|718194_2_7031_7176
+KVCAVRPLKPRPAPSRQATSRGGASAHASRVPSTTRSGPKWSSTSSEM
+>NM_000552|718198_2_8255_8376
+MAVILTSARSMREESTSGRRGSQAAHPLMNTSVWLREVKL
+>DECOY_NM_000552|718198_2_8255_8376
+LKVERLWVSTNMLPHAAQSGRRGSTSEERMSRASTLIVAM
+>NM_000552|718206_3_5481_5686
+MERGPGESPFAEPCGRHAAGGRPQPNRGCLGLCCAILDFRNAWCQAGSLKGGGHPGHGRLCGFSGCSS
+>DECOY_NM_000552|718206_3_5481_5686
+SSCGSFGCLRGHGPHGGGKLSGAQCWANRFDLIACCLGLCGRNPQPRGGAAHRGCPEAFPSEGPGREM
+>NM_000552|718207_3_6588_6694
+MDCAAARADVPAHPGGAVSCPRQLPLPGPPLTTVC
+>DECOY_NM_000552|718207_3_6588_6694
+CVTTLPPGPLPLQRPCSVAGGPHAPVDARAAACDM
+>NM_005011|718573_2_934_1007
+MGGKTFCMPLKISKRKHRPQPHIV
+>DECOY_NM_005011|718573_2_934_1007
+VIHPQPRHKRKSIKLPMCFTKGGM
+>NM_001048171|718658_2_370_443
+MAWPGSRKRWYCRPLSPHTIYSET
+>DECOY_NM_001048171|718658_2_370_443
+TESYITHPSLPRCYWRKRSGPWAM
+>NM_000450|718684_2_719_891
+MEAWFAVTHWETSATILPALSAVIGVTCQAAWRPCSVCPLENGVLLFQPAMWLSVML
+>DECOY_NM_000450|718684_2_719_891
+LMVSLWMAPQFLLVGNELPCVSCPRWAAQCTVGIVASLAPLITASTEWHTVAFWAEM
+>NM_000450|718690_3_1596_1720
+MDRRGSFLPSGKMFKPGSSGKDQHELQWGARVWHCVQVRLS
+>DECOY_NM_000450|718690_3_1596_1720
+SLRVQVCHWVRAGWQLEHQDKGSSGPKFMKGSPLFSGRRDM
+>NM_000450|718692_3_1725_1798
+MDAQWLCSSDMWSHRTLVWPATYL
+>DECOY_NM_000450|718692_3_1725_1798
+LYTAPWVLTRHSWMDSSCLWQADM
+>NM_003177|718897_2_311_414
+MGFICCARAATTWVASPCPWPTGGRHTTTPSSGS
+>DECOY_NM_003177|718897_2_311_414
+SGSSPTTTHRGGTPWPCPSAVWTTAARACCIFGM
+>NM_003177|718911_3_609_694
+MEPAGSGSGAGHHQSEASAGEADRYHSP
+>DECOY_NM_003177|718911_3_609_694
+PSHYRDAEGASAESQHHGAGSGSGAPEM
+>NM_000441|718932_2_966_1087
+MEFSLLSIRWLRFFKILVIPILLISLLDCSPLSSVWQLRN
+>DECOY_NM_000441|718932_2_966_1087
+NRLQWVSSLPSCDLLSILLIPIVLIKFFRLWRISLLSFEM
+>NM_000441|718937_2_1779_1858
+MALEASLAQISTKVPRITKTLKNLKE
+>DECOY_NM_000441|718937_2_1779_1858
+EKLNKLTKTIRPVKTSIQALSAELAM
+>NM_020831|718992_2_2813_3072
+MVPQASRWTTCLTFSFRAEKFQQISRSRHPCQGRRSHPRRQSVGPPWQHSHHLLLSSPRLPHLLQAHPPSLDAWRTSWRAARGCPC
+>DECOY_NM_020831|718992_2_2813_3072
+CPCGRAARWSTRWADLSPPHAQLLHPLRPSSLLLHHSHQWPPGVSQRRPHSRRGQCPHRSRSIQQFKEARFSFTLCTTWRSAQPVM
+>NM_002343|719081_2_1962_2116
+MDLTARTSFAYSSLKPKTFCSMTTLSVWPDSMAKQHMKNIWDHSMSQALLI
+>DECOY_NM_002343|719081_2_1962_2116
+ILLAQSMSHDWINKMHQKAMSDPWVSLTTMSCFTKPKLSSYAFSTRATLDM
+>NM_000465|719190_2_811_896
+MVNLTPKRNLSKSWYPSVANHLLSPVLR
+>DECOY_NM_000465|719190_2_811_896
+RLVPSLLHNAVSPYWSKSLNRKPTLNVM
+>NM_000465|719191_2_1483_1556
+MEVIQMLKTMLDGHHCMKLAIMGT
+>DECOY_NM_000465|719191_2_1483_1556
+TGMIALKMCHHGDLMTKLMQIVEM
+>NM_182920|719326_2_570_793
+MGIILLNHYSLWMNKKMKRNKTNPTSFIGAAPPRESPQQEGMHVTPQNTKIGTVKTRRKPEQENGEKGLTWLVT
+>DECOY_NM_182920|719326_2_570_793
+TVLWTLGKEGNEQEPKRRTKVTGIKTNQPTVHMGEQQPSERPPAAGIFSTPNTKNRKMKKNMWLSYHNLLIIGM
+>NM_182920|719333_2_1503_1582
+MASVCLTNLNPDPTLCLSNCQASFTT
+>DECOY_NM_182920|719333_2_1503_1582
+TTFSAQCNSLCLTPDPNLNTLCVSAM
+>NM_182920|719339_2_2322_2419
+MVTILWSEFQLVLPILMCGSTVSQGKQTMTTT
+>DECOY_NM_182920|719339_2_2322_2419
+TTTMTQKGQSVTSGCMLIPLVLQFESWLITVM
+>NM_182920|719341_2_2682_2842
+MGHGKHAVNPAKGNGNENLFAPGNLISLLFLIKDAIGCPSLDTLLNPVVQTVT
+>DECOY_NM_182920|719341_2_2682_2842
+TVTQVVPNLLTDLSPCGIADKILFLLSILNGPAFLNENGNGKAPNVAHKGHGM
+>NM_182920|719345_2_2961_3289
+MVFAAAIPNQATVKNAQGNVTRVAGAILPGLNVQKAVTVGPRGEGLFVSIPEMMYWMTANAHIKRKLPFRGAVSSLVHSGNLETGQSAWSPVEKGISTARSGVSLVKID
+>DECOY_NM_182920|719345_2_2961_3289
+DIKVLSVGSRATSIGKEVPSWASQGTELNGSHVLSSVAGRFPLKRKIHANATMWYMMEPISVFLGEGRPGVTVAKQVNLGPLIAGAVRTVNGQANKVTAQNPIAAAFVM
+>NM_182920|719373_3_4891_4979
+MVRVLSDLWKRLQTKACLVQRDLHREGEL
+>DECOY_NM_182920|719373_3_4891_4979
+LEGERHLDRQVLCAKTQLRKWLDSLVRVM
+>NM_004562|719389_2_165_262
+MVSQWRSILTPASSSSRRWLLSDRGFRLTSCV
+>DECOY_NM_004562|719389_2_165_262
+VCSTLRFGRDSLLWRRSSSSAPTLISRWQSVM
+>NM_004562|719393_2_1086_1435
+MVQRSVSCRWGACYAPALAVERGCCRSLTRGKSPAKGAMAWAVGLPSAGNVKKRTMKGSAVPYLKPQEQLLRPTESMKEPPSRLVGKQPPKKPSRKPPSPVPAAMYQWKKMEAACT
+>DECOY_NM_004562|719393_2_1086_1435
+TCAAEMKKWQYMAAPVPSPPKRSPKKPPQKGVLRSPPEKMSETPRLLQEQPKLYPVASGKMTRKKVNGASPLGVAWAMAGKAPSKGRTLSRCCGREVALAPAYCAGWRCSVSRQVM
+>NM_004562|719394_2_1200_1435
+MAWAVGLPSAGNVKKRTMKGSAVPYLKPQEQLLRPTESMKEPPSRLVGKQPPKKPSRKPPSPVPAAMYQWKKMEAACT
+>DECOY_NM_004562|719394_2_1200_1435
+TCAAEMKKWQYMAAPVPSPPKRSPKKPPQKGVLRSPPEKMSETPRLLQEQPKLYPVASGKMTRKKVNGASPLGVAWAM
+>NM_203486|719411_2_1148_1698
+MADSAWTWATPCAAAAAPASRVLAASTTWTTARAAPALTAARVWRAAARTAAPARWASAAATAASARTRAPRAPVLTAAAATPTSPASSALALPATWERGVSSQCTPTAQAPCPRPRRASGPGTLSATFCLRLWDCSWPRAWPALRSCWSTCAAVATPRMLGLACWLGPRSRQSTHSRMHSTT
+>DECOY_NM_203486|719411_2_1148_1698
+TTSHMRSHTSQRSRPGLWCALGLMRPTAVAACTSWCSRLAPWARPWSCDWLRLCFTASLTGPGSARRPRPCPAQATPTCQSSVGREWTAPLALASSAPSTPTAAAATLVPARPARTRASAATAAASAWRAPAATRAAARWVRAATLAPAARATTWTTSAALVRSAPAAAAACPTAWTWASDAM
+>NM_016363|719449_2_440_537
+MALTNLLCTRKGTLRPTRIPRDGTGLVFPSSR
+>DECOY_NM_016363|719449_2_440_537
+RSSPFVLGTGDRPIRTPRLTGKRTCLLNTLAM
+>NM_182811|719552_2_1259_1557
+MGTPLPPRSSSQMSCTPSRSMPLWPQSTQSSCPLRTTAALPSRETWPNTSRRCWGTHSSPSPWRSLPTGSPHPTSLRGRSSSSTRSWLRAVPTRRCLHP
+>DECOY_NM_182811|719552_2_1259_1557
+PHLCRRTPVARLWSRTSSSSRGRLSTPHPSGTPLSRWPSPSSHTGWCRRSTNPWTERSPLAATTRLPCSSQTSQPWLPMSRSPTCSMQSSSRPPLPTGM
+>NM_182811|719558_2_2183_2292
+MGPSWCGSGMNPTHMPSLSGLRARSSIAVSSKRARQ
+>DECOY_NM_182811|719558_2_2183_2292
+QRARKSSVAISSRARLGSLSPMHTPNMGSGCWSPGM
+>NM_182811|719564_2_3428_3660
+MAEALCVLLWRLRWLELSMTAPSRRQSLWWTMDSTLYGQPSPSTSRSVTLNLPFCASWCMRKTCLVTRISWLRLLSQ
+>DECOY_NM_182811|719564_2_3428_3660
+QSLLRLWSIRTVLCTKRMCWSACFPLNLTVSRSTSPSPQGYLTSDMTWWLSQRRSPATMSLELWRLRWLLVCLAEAM
+>NM_182811|719566_2_3521_3660
+MDSTLYGQPSPSTSRSVTLNLPFCASWCMRKTCLVTRISWLRLLSQ
+>DECOY_NM_182811|719566_2_3521_3660
+QSLLRLWSIRTVLCTKRMCWSACFPLNLTVSRSTSPSPQGYLTSDM
+>NM_001083899|719769_2_1097_1248
+MGGDSKTGVCGAWKQEGRGYSCGNEAMLPPPGVPSGSRSASVCLSVCLSV
+>DECOY_NM_001083899|719769_2_1097_1248
+VSLCVSLCVSASRSGSPVGPPPLMAENGCSYGRGEQKWAGCVGTKSDGGM
+>NM_001083899|719773_3_1092_1414
+MAWEATQRLACVERGSRRAEATAVETRPCCLLLVFHQGAVRPVSVCLSASLSEGTLHLGWKESVETPSSSLHTVDDMVPWLDHILASFFNLSNMGSRRISKVPSSQG
+>DECOY_NM_001083899|719773_3_1092_1414
+GQSSPVKSIRRSGMNSLNFFSALIHDLWPVMDDVTHLSSSPTEVSEKWGLHLTGESLSASLCVSVPRVAGQHFVLLLCCPRTEVATAEARRSGREVCALRQTAEWAM
+>NM_001083899|719774_3_1320_1414
+MVPWLDHILASFFNLSNMGSRRISKVPSSQG
+>DECOY_NM_001083899|719774_3_1320_1414
+GQSSPVKSIRRSGMNSLNFFSALIHDLWPVM
+>NM_006617|720019_2_1574_1647
+MENPVGLECSAYAEGKVKGKSGGW
+>DECOY_NM_006617|720019_2_1574_1647
+WGGSKGKVKGEAYASCELGVPNEM
+>NM_006617|720028_2_4349_4479
+MGSPMGLQMRKKVGRRERRIRRRGGSQGLGGGGQGLLLAASRP
+>DECOY_NM_006617|720028_2_4349_4479
+PRSAALLLGQGGGGLGQSGGRRRIRRERRGVKKRMQLGMPSGM
+>NM_006617|720034_3_1701_1828
+MGRRGSKQEGNPGLPGSFGKRNPEVSGRGDSRVTEDSGKPEP
+>DECOY_NM_006617|720034_3_1701_1828
+PEPKGSDETVRSDGRGSVEPNRKGFSGPLGPNGEQKSGRRGM
+>NM_006617|720035_3_2823_3055
+MEPGEFEISRGGRQGKSKESGRGREPGKGRVPRVTEVSGGGGTGAAAVCRCAEVGRYGGEGPRTGSGKPSWDGWSGK
+>DECOY_NM_006617|720035_3_2823_3055
+KGSWGDWSPKGSGTRPGEGGYRGVEACRCVAAAGTGGGGSVETVRPVRGKGPERGRGSEKSKGQRGGRSIEFEGPEM
+>NM_000212|720124_2_1446_2023
+MAMGPLSVGYAVVGLAGWDPSVSAQRRTIALPSRTNAAPGRVSPSAASGASASVVNVSATAVTLARSRASTASVTTSPVSATRGRCAQAMASAAVGTACVTPTGPATTATVPRVLTPACPAMGCCAAAAASVNVAAVSVSSRAPMGTPVRSAPPAQMPAPLRKNVWSVRSLTGEPYMTKIPATVTAVTRLSQ
+>DECOY_NM_000212|720124_2_1446_2023
+QSLRTVATVTAPIKTMYPEGTLSRVSWVNKRLPAPMQAPPASRVPTGMPARSSVSVAAVNVSAAAAACCGMAPCAPTLVRPVTATTAPGTPTVCATGVAASAMAQACRGRTASVPSTTVSATSARSRALTVATASVNVVSASAGSAASPSVRGPAANTRSPLAITRRQASVSPDWGALGVVAYGVSLPGMAM
+>NM_000212|720125_2_1452_2023
+MGPLSVGYAVVGLAGWDPSVSAQRRTIALPSRTNAAPGRVSPSAASGASASVVNVSATAVTLARSRASTASVTTSPVSATRGRCAQAMASAAVGTACVTPTGPATTATVPRVLTPACPAMGCCAAAAASVNVAAVSVSSRAPMGTPVRSAPPAQMPAPLRKNVWSVRSLTGEPYMTKIPATVTAVTRLSQ
+>DECOY_NM_000212|720125_2_1452_2023
+QSLRTVATVTAPIKTMYPEGTLSRVSWVNKRLPAPMQAPPASRVPTGMPARSSVSVAAVNVSAAAAACCGMAPCAPTLVRPVTATTAPGTPTVCATGVAASAMAQACRGRTASVPSTTVSATSARSRALTVATASVNVVSASAGSAASPSVRGPAANTRSPLAITRRQASVSPDWGALGVVAYGVSLPGM
+>NM_000212|720126_2_1713_2023
+MASAAVGTACVTPTGPATTATVPRVLTPACPAMGCCAAAAASVNVAAVSVSSRAPMGTPVRSAPPAQMPAPLRKNVWSVRSLTGEPYMTKIPATVTAVTRLSQ
+>DECOY_NM_000212|720126_2_1713_2023
+QSLRTVATVTAPIKTMYPEGTLSRVSWVNKRLPAPMQAPPASRVPTGMPARSSVSVAAVNVSAAAAACCGMAPCAPTLVRPVTATTAPGTPTVCATGVAASAM
+>NM_000212|720127_2_1809_2023
+MGCCAAAAASVNVAAVSVSSRAPMGTPVRSAPPAQMPAPLRKNVWSVRSLTGEPYMTKIPATVTAVTRLSQ
+>DECOY_NM_000212|720127_2_1809_2023
+QSLRTVATVTAPIKTMYPEGTLSRVSWVNKRLPAPMQAPPASRVPTGMPARSSVSVAAVNVSAAAAACCGM
+>NM_016102|720164_2_1691_1797
+MGPTCTPTPRPPSQAPCSLSSAWGLRSLVRWSSPQ
+>DECOY_NM_016102|720164_2_1691_1797
+QPSSWRVLSRLGWASSLSCPAQSPPRPTPTCTPGM
+>NM_002834|720311_2_522_643
+MELSPTSRFRTLVITMTCMEGRNLPLWLSWSSITWNITGN
+>DECOY_NM_002834|720311_2_522_643
+NGTINWTISSWSLWLPLNRGEMCTMTIVLTRFRSTPSLEM
+>NM_002834|720313_2_774_880
+MVVFLYERARATLEILFFLCALVMTKGRAMTASLK
+>DECOY_NM_002834|720313_2_774_880
+KLSATMARGKTMVLACLFFLIELTARAREYLFVVM
+>NM_002834|720314_2_1260_1393
+MVIPMSLFQITSMQISSCLNLKPSATIQSPKRVTLPHKAACKTR
+>DECOY_NM_002834|720314_2_1260_1393
+RTKCAAKHPLTVRKPSQITASPKLNLCSSIQMSTIQFLSMPIVM
+>NM_002852|720453_2_249_346
+MDSIPLRTPRRAPAVRSTRNGTSSSSCWRTRR
+>DECOY_NM_002852|720453_2_249_346
+RRTRWCSSSSTGNRTSRVAPARRPTRLPISDM
+>NM_002852|720457_3_307_680
+MGQALHHAGELADERAHAAASHGRRPAGRAAEAAGGAGPARGKPGEAVRAGGSRRGQADQCSGRAAAGDPRRGPQAGAYGGRGGAAPRGGGARPGRGARGAAADASRPARGAGLGCPELAAGRL
+>DECOY_NM_002852|720457_3_307_680
+LRGAALEPCGLGAGRAPRSADAAAGRAGRGPRAGGGRPAAGGRGGYAGAQPGRRPDGAAARGSCQDAQGRRSGGARVAEGPKGRAPGAGGAAEAARGAPRRGHSAAAHAREDALEGAHHLAQGM
+>NM_001204|720694_2_1476_1600
+MEHTVSAVVAQIYVMSTLLRIFHLLTQHHSVHLIHLTEMRQ
+>DECOY_NM_001204|720694_2_1476_1600
+QRMETLHILHVSHHQTLLHFIRLLTSMVYIQAVVASVTHEM
+>NM_001204|720701_2_4155_4246
+MAVTGQFIPNPALLFTLQKEALLQPWCLKI
+>DECOY_NM_001204|720701_2_4155_4246
+IKLCWPQLLAEKQLTFLLAPNPIFQGTVAM
+>NM_004329|720741_2_1665_1741
+MGVAALLTWALLLNSTVTQMKLMCP
+>DECOY_NM_004329|720741_2_1665_1741
+PCMLKMQTVTSNLLLAWTLLAAVGM
+>NM_005921|720929_2_4135_4232
+MEKISSLFNRIHQRLYQDIPKQNNRIEKTLNG
+>DECOY_NM_005921|720929_2_4135_4232
+GNLTKEIRNNQKPIDQYLRQHIRNFLSSIKEM
+>NM_001901|720966_2_501_787
+MVLPASSVVRCTAAESPSRAAASTSARAWTGRWAACPCAAWTFVCPALTAPSRGGSSCPGNAARSGCVTSPRTKPWLGLPSRLTDWKTRLAQTQL
+>DECOY_NM_001901|720966_2_501_787
+LQTQALRTKWDTLRSPLGLWPKTRPSTVCGSRAANGPCSSGGRSPATLAPCVFTWAACPCAAWRGTWARASTSAAARSPSEAATCRVVSSAPLVM
+>NM_000186|720987_2_700_887
+MEKLSVVQWNQIGNTILDKQYGLYVTQATRLKEMKKCIVQTMVFGVKRNQSVWKFHANPQML
+>DECOY_NM_000186|720987_2_700_887
+LMQPNAHFKWVSQNRKVGFVMTQVICKKMEKLRTAQTVYLGYQKDLITNGIQNWQVVSLKEM
+>NM_000186|720994_2_1126_1205
+MVFILQPGEIQQNAQVLAGYLLRDVP
+>DECOY_NM_000186|720994_2_1126_1205
+PVDRLLYGALVQANQQIEGPQLIFVM
+>NM_000186|720996_2_1369_1475
+MDGRQQYHASENVIFLIWKMDIIKIMEESLYRVNL
+>DECOY_NM_000186|720996_2_1369_1475
+LNVRYLSEEMIKIIDMKWILFIVNESAHYQQRGDM
+>NM_000186|721001_2_2335_2414
+MAGPSFLPLLITMEIQWNSIAQNHLQ
+>DECOY_NM_000186|721001_2_2335_2414
+QLHNQAISNWQIEMTILLPLFSPGAM
+>NM_000186|721008_3_2597_2835
+MDTHSLHKWKMGSRSELLNGTNTIMPTSTSDSQFSQYDNHTELSGWRKSICSLPRKLSNSGRRRNYMQRWKMAVNTTLC
+>DECOY_NM_000186|721008_3_2597_2835
+CLTTNVAMKWRQMYNRRRGSNSLKRPLSCISKRWGSLETHNDYQSFQSDSTSTPMITNTGNLLESRSGMKWKHLSHTDM
+>NM_000186|721009_3_2627_2835
+MGSRSELLNGTNTIMPTSTSDSQFSQYDNHTELSGWRKSICSLPRKLSNSGRRRNYMQRWKMAVNTTLC
+>DECOY_NM_000186|721009_3_2627_2835
+CLTTNVAMKWRQMYNRRRGSNSLKRPLSCISKRWGSLETHNDYQSFQSDSTSTPMITNTGNLLESRSGM
+>NM_001426|721015_2_1146_1348
+METACRCPRSLRPPRRPRRLACRPWPTTRTSPHTPRPRRLSISRRLLTSRSQRPSCTAPPTFSSTTS
+>DECOY_NM_001426|721015_2_1146_1348
+STTSSFTPPATCSPRQSRSTLLRRSISLRRPRPTHPSTRTTPWPRCALRRPRRPPRLSRPCRCATEM
+>NM_005565|721030_2_511_911
+MGAGRPLKKTIMKVPMMTRMGRMMETMSPPMRRKRHPWKMTRIMSRHPPMTRKLCRTPSCLPSLSPTPTPCTSTGPPLGKPPSSLLCPPRDRWPPSRPHQPAGITRHCPHPRPTTKNPAEAETTKRQSSLLLQ
+>DECOY_NM_005565|721030_2_511_911
+QLLLSSQRKTTEAEAPNKTTPRPHPCHRTIGAPQHPRSPPWRDRPPCLLSSPPKGLPPGTSTCPTPTPSLSPLCSPTRCLKRTMPPHRSMIRTMKWPHRKRRMPPSMTEMMRGMRTMMPVKMITKKLPRGAGM
+>NM_005565|721034_2_568_911
+MGRMMETMSPPMRRKRHPWKMTRIMSRHPPMTRKLCRTPSCLPSLSPTPTPCTSTGPPLGKPPSSLLCPPRDRWPPSRPHQPAGITRHCPHPRPTTKNPAEAETTKRQSSLLLQ
+>DECOY_NM_005565|721034_2_568_911
+QLLLSSQRKTTEAEAPNKTTPRPHPCHRTIGAPQHPRSPPWRDRPPCLLSSPPKGLPPGTSTCPTPTPSLSPLCSPTRCLKRTMPPHRSMIRTMKWPHRKRRMPPSMTEMMRGM
+>NM_005565|721036_2_580_911
+METMSPPMRRKRHPWKMTRIMSRHPPMTRKLCRTPSCLPSLSPTPTPCTSTGPPLGKPPSSLLCPPRDRWPPSRPHQPAGITRHCPHPRPTTKNPAEAETTKRQSSLLLQ
+>DECOY_NM_005565|721036_2_580_911
+QLLLSSQRKTTEAEAPNKTTPRPHPCHRTIGAPQHPRSPPWRDRPPCLLSSPPKGLPPGTSTCPTPTPSLSPLCSPTRCLKRTMPPHRSMIRTMKWPHRKRRMPPSMTEM
+>NM_005565|721043_2_1135_1217
+MDGDQTEERMMKMMCIRDLCPSQHYFL
+>DECOY_NM_005565|721043_2_1135_1217
+LFYHQSPCLDRICMMKMMREETQDGDM
+>NM_005565|721044_2_1531_1784
+MAHFWSETALKKQQPIHMSSWCCTKIKFTTSRSVIRRKVKFTCWELDSEGKRTFCLCQILLTTSGKCHFCSLMGKTEVPDTSAH
+>DECOY_NM_005565|721044_2_1531_1784
+HASTDPVETKGMLSCFHCKGSTTLLIQCLCFTRKGESDLEWCTFKVKRRIVSRSTTFKIKTCCWSSMHIPQQKKLATESWFHAM
+>NM_002299|721089_2_1530_1612
+MVDGRMRAWWMPSWTMRPSASPHLGTV
+>DECOY_NM_002299|721089_2_1530_1612
+VTGLHPSASPRMTWSPMWWARMRGDVM
+>NM_002299|721090_2_1662_1813
+MAPASTLPASLTQEWPLLRWLTWSSRLMPELGTTTTAIIAHSSRGTWALC
+>DECOY_NM_002299|721090_2_1662_1813
+CLAWTGRSSHAIIATTTTGLEPMLRSSWTLWRLLPWEQTLSAPLTSAPAM
+>NM_002299|721101_2_4650_4723
+MATEQQLQESPIGLALPPTLLATI
+>DECOY_NM_002299|721101_2_4650_4723
+ITALLTPPLALGIPSEQLQQETAM
+>NM_002299|721107_2_5259_5449
+MECPSGKKQTSMTLQGSTTFGLTSMRPSKLCRTRWTFEDTQFGVRWTILSGPQAFQRDLVCIL
+>DECOY_NM_002299|721107_2_5259_5449
+LICVLDRQFAQPGSLITWRVGFQTDEFTWRTRCLKSPRMSTLGFTTSGQLTMSTQKKGSPCEM
+>NM_002299|721110_2_5523_5599
+MASLTPLQGLTLVSTSQMLDPPSAP
+>DECOY_NM_002299|721110_2_5523_5599
+PASPPDLMQSTSVLTLGQLPTLSAM
+>NM_002299|721111_3_286_455
+MGTAPPSRKHPESRRENSAVLPATPQGPQDCTASAHGHPAPPDPPCQHPPENRSLC
+>DECOY_NM_002299|721111_3_286_455
+CLSRNEPPHQCPPDPPAPHGHASATCDQPGQPTAPLVASNERRSEPHKRSPPATGM
+>NM_000781|721271_2_408_565
+MARFTGRSSATWSRFMSSTLKMWPFSLSPRAPTQNDSSSRPGSPITSITRDP
+>DECOY_NM_000781|721271_2_408_565
+PDRTISTIPSGPRSSSDNQTPARPSLSFPWMKLTSSMFRSWTASSRGTFRAM
+>NM_005400|721527_2_1371_1504
+MAKSGKARPSAWAWMSSTSSRCWAKAALARSCWQNSRAKMKYML
+>DECOY_NM_005400|721527_2_1371_1504
+LMYKMKARSNQWCSRALAAKAWCRSSTSSMWAWASPRAKGSKAM
+>NM_005400|721531_2_2166_2347
+MARTPSSSTHSSKRLTGCSWSRRRSSHPSNHALKPKETSIILTKTLPGKSRYSPLWTKQL
+>DECOY_NM_005400|721531_2_2166_2347
+LQKTWLPSYRSKGPLTKTLIISTEKPKLAHNSPHSSRRRSWSCGTLRKSSHTSSSPTRAM
+>NM_002153|721554_2_521_606
+MAQELRNCEEPALRASRCSKWTSRSQCR
+>DECOY_NM_002153|721554_2_521_606
+RCQSRSTWKSCRSARLAPEECNRLEQAM
+>NM_002153|721556_3_198_442
+MDLPGCPHSTMWDSILQIQEELRAAVELDGLPGRPLCSLPAHPVPFLGLDPLLGVMLPHVYLLIWPRIVTCGSEGSPGDRW
+>DECOY_NM_002153|721556_3_198_442
+WRDGPSGESGCTVIRPWILLYVHPLMVGLLPDLGLFPVPHAPLSCLPRGPLGDLEVAARLEEQIQLISDWMTSHPCGPLDM
+>NM_000372|721697_2_563_756
+MDQHPCLTTSIFMTSLSGCIIMCQWMHCLGDLKSGETLILPMKHQLFCLGIDSSCCGGNKKSRS
+>DECOY_NM_000372|721697_2_563_756
+SRSKKNGGCCSSDIGLCFLQHKMPLILTEGSKLDGLCHMWQCMIICGSLSTMFISTTLCPHQDM
+>NM_000372|721704_2_950_1035
+MERPRDLYGVILETMTNPEPQGSPLQLM
+>DECOY_NM_000372|721704_2_950_1035
+MLQLPSGQPEPNTMTELIVGYLDRPREM
+>NM_000372|721709_2_1385_1533
+MVISLFHPKIWAMTIAIYKIQTQTLFKTTLSPIWNKRVGSGHGSLGRRW
+>DECOY_NM_000372|721709_2_1385_1533
+WRRGLSGHGSGVRKNWIPSLTTKFLTQTQIKYIAITMAWIKPHFLSIVM
+>NM_000372|721713_3_1509_1606
+MAPWGGDGRGRPHCPAGRACELAVSSQEKAAS
+>DECOY_NM_000372|721713_3_1509_1606
+SAAKEQSSVALECARGAPCHPRGRGDGGWPAM
+>NM_000875|721972_2_1665_1762
+MGRMPAAPTAGTWWTWTSRPTRTWSPASYYMG
+>DECOY_NM_000875|721972_2_1665_1762
+GMYYSAPSWTRTPRSTWTWWTGATPAAPMRGM
+>NM_000875|721977_2_3606_3781
+MESSPLTRTSGPSGSSSGRSPHWPSSPTRACPTSKSFASSWRAAFWTSQTTVLTCCLN
+>DECOY_NM_000875|721977_2_3606_3781
+NLCCTLVTTQSTWFAARWSSAFSKSTPCARTPSSPWHPSRGSSSGSPGSTRTLPSSEM
+>NM_003687|722010_2_459_553
+MADQAWDLHMDNPLAFQSLTMAAARPPCQPR
+>DECOY_NM_003687|722010_2_459_553
+RPQCPPRAAAMTLSQFALPNDMHLDWAQDAM
+>NM_001098844|722055_3_298_371
+METCSDDVCGPHHTALPHLFHSSD
+>DECOY_NM_001098844|722055_3_298_371
+DSSHFLHPLATHHPGCVDDSCTEM
+>NM_000770|722088_2_363_562
+MERSFLEEAIPQYLKELLKDLESFPAMERDGRRSGVSPSQPCGILGWGRGALRTVFKRKLTALWRS
+>DECOY_NM_000770|722088_2_363_562
+SRWLATLKRKFVTRLAGRGWGLIGCPQSPSVGSRRGDREMAPFSELDKLLEKLYQPIAEELFSREM
+>NM_000770|722089_2_441_562
+MERDGRRSGVSPSQPCGILGWGRGALRTVFKRKLTALWRS
+>DECOY_NM_000770|722089_2_441_562
+SRWLATLKRKFVTRLAGRGWGLIGCPQSPSVGSRRGDREM
+>NM_000770|722090_2_1341_1441
+MATLRKVTTSCLSQQENEFVQEKDLPAWSYFYF
+>DECOY_NM_000770|722090_2_1341_1441
+FYFYSWAPLDKEQVFENEQQSLCSTTVKRLTAM
+>NM_005552|722114_2_1632_1708
+MGHLLESMAAGTKPAKLIVQLLQPL
+>DECOY_NM_005552|722114_2_1632_1708
+LPQLLQVILKAPKTGAAMSELLHGM
+>NM_000339|722292_2_774_931
+MGHPSWTPLTTSASLAWSRSLCCWPSPWLAWSGSPRPRCCSSLSSWSPLPTI
+>DECOY_NM_000339|722292_2_774_931
+ITPLPSWSSLSSCCRPRPSGSWALWPSPWCCLSRSWALSASTTLPTWSPHGM
+>NM_000339|722294_2_1296_1369
+MAGTSPSAPSSTAATTASSTITRP
+>DECOY_NM_000339|722294_2_1296_1369
+PRTITSSATTAATSSPASPSTGAM
+>NM_000339|722295_2_1524_1612
+MARTRSPCVATCWPTPSLWPSSSSLSSTP
+>DECOY_NM_000339|722295_2_1524_1612
+PTSSLSSSSPWLSPTPWCTAVCPSRTRAM
+>NM_000339|722298_2_2571_2650
+MEASPSSFPISLAARGGGANARSVCS
+>DECOY_NM_000339|722298_2_2571_2650
+SCVSRANAGGGRAALSIPFSSPSAEM
+>NM_000339|722300_2_2820_2926
+MASRMRPLSTRCGGTAPGRSQMRRLRRTESSPFGR
+>DECOY_NM_000339|722300_2_2820_2926
+RGFPSSETRRLRRMQSRGPATGGCRTSLPRMRSAM
+>NM_000347|722384_2_304_470
+MGACSSSCWRCSLERCCQSPPRGRCASTAWRMWTRLSSSSRSSVYTWRTWAPTTL
+>DECOY_NM_000347|722384_2_304_470
+LTTPAWTRWTYVSSRSSSSLRTWMRWATSACRGRPPSQCCRELSCRWCSSSCAGM
+>NM_000347|722414_3_239_564
+MGELAPGSSVLPHHRSLQGPAGWAHAHQAAGGALWRDAAKAHQGEDAHPLPGECGQGSPVPQGAACTPGEHGLPRHCRWQPPPGPGPHLDHHPPLPDSGHCGPNSGRS
+>DECOY_NM_000347|722414_3_239_564
+SRGSNPGCHGSDPLPPHHDLHPGPGPPPQWRCHRPLGHEGPTCAAGQPVPSGQGCEGPLPHADEGQHAKAADRWLAGGAAQHAHAWGAPGQLSRHHPLVSSGPALEGM
+>NM_001976|722447_2_734_816
+MGRMPPMWVMKVASHPTSWRTMRPWSC
+>DECOY_NM_001976|722447_2_734_816
+CSWPRMTRWSTPHSAVKMVWMPPMRGM
+>NM_001976|722450_2_896_1068
+MGSTILTSSRLMIPHGTSLGRSSESCIRALSRTILWSPSKTPLTRMTGPLGPPSSRG
+>DECOY_NM_001976|722450_2_896_1068
+GRSSPPGLPGTMRTLPTKSPSWLITRSLARICSESSRGLSTGHPIMLRSSTLITSGM
+>NM_001200|722458_2_1593_1795
+MEKGILSTKEKNVKPNTNSGNALSPAVRDTLCTWTSVTWGGMTGLWLPRGITPFTATENALFLWLII
+>DECOY_NM_001200|722458_2_1593_1795
+IILWLFLANETATFPTIGRPLWLGTMGGWTVSTWTCLTDRVAPSLANGSNTNPKVNKEKTSLIGKEM
+>NM_138796|722608_3_217_341
+MVEKFLRQKAISTNCAGSILYYDDESLQCNGCQDSETMARL
+>DECOY_NM_138796|722608_3_217_341
+LRAMTESDQCGNCQLSEDDYYLISGACNTSIAKQRLFKEVM
+>NM_001024858|722693_2_6577_6698
+MGRVCRWKATWAASMTWRGPTRRLPTGPGTTCTVCSGTVS
+>DECOY_NM_001024858|722693_2_6577_6698
+SVTGSCVTCTTGPGTPLRRTPGRWTMSAAWTAKWRCVRGM
+>NM_001081|722882_2_479_597
+MVEPASICMIPFFVSVPHSGRVLSAQLMLTNVRFTQEHP
+>DECOY_NM_001081|722882_2_479_597
+PHEQTFRVNTLMLQASLVRGSHPVSVFFPIMCISAPEVM
+>NM_001081|722884_2_608_750
+MEAHVLIQWEVTVVTAHLRRTDPSVHPNMTTVKGVLWHAVSMASVRI
+>DECOY_NM_001081|722884_2_608_750
+IRVSAMSVAHWLVGKVTTMNPHVSPDTRRLHATVVTVEWQILVHAEM
+>NM_001081|722895_2_1658_1770
+MEIPLLLFNLEDFVAPASLMNSSAVTMLSIFISILNI
+>DECOY_NM_001081|722895_2_1658_1770
+INLISIFISLMTVASSNMLSAPAVFDELNFLLLPIEM
+>NM_001081|722898_2_2006_2151
+MVLCIRTPFLGSSAPLSLSHRSRLLAPLPEFTSIQTPRLVTKASISPT
+>DECOY_NM_001081|722898_2_2006_2151
+TPSISAKTVLRPTQISTFEPLPALLRSRHSLSLPASSGLFPTRICLVM
+>NM_001081|722899_2_2360_2451
+MVKPYLEKSVATEPSLTLNPLLIVSGSGLK
+>DECOY_NM_001081|722899_2_2360_2451
+KLGSGSVILLPNLTLSPETAVSKELYPKVM
+>NM_001081|722901_2_2807_2940
+MVSWLSSVLRIWHVEKFLQNQQGPFKVLAIQMSTPTVSTVLGIY
+>DECOY_NM_001081|722901_2_2807_2940
+YIGLVTSVTPTSMQIALVKFPGQQNQLFKEVHWIRLVSSLWSVM
+>NM_001081|722905_2_3383_3474
+MEAMKNHHCWEYSMAQIYPQQSSLIVTNYG
+>DECOY_NM_001081|722905_2_3383_3474
+GYNTVILSSQQPYIQAMSYEWCHHNKMAEM
+>NM_001081|722907_2_3527_3636
+MGHQQVAGVISPLQAARSYLPTTRCPITTALNATGG
+>DECOY_NM_001081|722907_2_3527_3636
+GGTANLATTIPCRTTPLYSRAAQLPSIVGAVQQHGM
+>NM_001081|722920_2_6521_6696
+MVLISVLHPWDPLEEMVIFVAVMLHQLCSPRIIKCLFSLFLITVMKGKDLKSNMRQRV
+>DECOY_NM_001081|722920_2_6521_6696
+VRQRMNSKLDKGKMVTILFLSFLCKIIRPSCLQHLMVAVFIVMEELPDWPHLVSILVM
+>NM_001081|722921_2_6566_6696
+MVIFVAVMLHQLCSPRIIKCLFSLFLITVMKGKDLKSNMRQRV
+>DECOY_NM_001081|722921_2_6566_6696
+VRQRMNSKLDKGKMVTILFLSFLCKIIRPSCLQHLMVAVFIVM
+>NM_001081|722923_2_6902_6993
+MEWIRMHQYFPNFVGHLCPAVSGPQERLCI
+>DECOY_NM_001081|722923_2_6902_6993
+ICLREQPGSVAPCLHGVFNPFYQHMRIWEM
+>NM_001081|722928_2_8489_8607
+MVQSDPLTGLRIFPKTADVPGRPLLTKVNTWRSALTTTS
+>DECOY_NM_001081|722928_2_8489_8607
+STTTLASRWTNVKTLLPRGPVDATKPFIRLGTLPDSQVM
+>NM_001081|722929_2_8990_9153
+MACTLSEVTASCPPHLLLCVGMRCQLPSPSLGRFCLTSTPTSKSQTSDSSFPIG
+>DECOY_NM_001081|722929_2_8990_9153
+GIPFSSDSTQSKSTPTSTLCFRGLSPSPLQCRMGVCLLLHPPCSATVESLTCAM
+>NM_001081|722934_2_9722_9831
+MGIVKMRTWLERFVVPQYLLLLSLLVTSLRFNSSVT
+>DECOY_NM_001081|722934_2_9722_9831
+TVSSNFRLSTVLLSLLLLYQPVVFRELWTRMKVIGM
+>NM_001081|722939_2_10421_10533
+MEVTAIHHYWASTVELCCQTLSSLKIMNYTYDLRVIV
+>DECOY_NM_001081|722939_2_10421_10533
+VIVRLDYTYNMIKLSSLTQCCLEVTSAWYHHIATVEM
+>NM_001081|722948_3_4557_4642
+MASSHWRLWWDFPGSQWRDSFSKLPQSL
+>DECOY_NM_001081|722948_3_4557_4642
+LSQPLKSFSDRWQSGPFDWWLRWHSSAM
+>NM_001081|722950_3_8046_8137
+MDSLCHQRTCRTHWIPCKVFLYRLWRNTDR
+>DECOY_NM_001081|722950_3_8046_8137
+RDTNRWLRYLFVKCPIWHTRCTRQHCLSDM
+>NM_004490|722974_2_560_867
+MGRAPRAGRLPGIRRWPPRCVALPRGGATPTTWRRPPGCTRERSCPFRTGPAAVLQTGEKRKILMFRKCHLFQTLFLSYAVLHLHLCCQQTYFPKQIQGKNR
+>DECOY_NM_004490|722974_2_560_867
+RNKGQIQKPFYTQQCCLHLHLVAYSLFLTQFLHCKRFMLIKRKEGTQLVAAPGTRFPCSRERTCGPPRRWTTPTAGGRPLAVCRPPWRRIGPLRGARPARGM
+>NM_004490|722979_2_1565_1644
+MACSCTRIICIHIKVEVAAVHRAYHL
+>DECOY_NM_004490|722979_2_1565_1644
+LHYARHVAAVEVKIHICIIRTCSCAM
+>NM_003246|723013_2_1317_1525
+MAGLHGPSGPPVLRAVAMEFSSAAAPAIASTTDVRAPRSRHGPATFRSVTRDLNRMVAGATGPRGHLVL
+>DECOY_NM_003246|723013_2_1317_1525
+LVLHGRPGTAGAVMRNLDRTVSRFTAPGHRSRPARVDTTSAIAPAAASSFEMAVARLVPPGSPGHLGAM
+>NM_003246|723014_2_1368_1525
+MEFSSAAAPAIASTTDVRAPRSRHGPATFRSVTRDLNRMVAGATGPRGHLVL
+>DECOY_NM_003246|723014_2_1368_1525
+LVLHGRPGTAGAVMRNLDRTVSRFTAPGHRSRPARVDTTSAIAPAAASSFEM
+>NM_003246|723017_2_1923_3064
+MASSAQMLMSAKKCLMPASTTMESTGVRTRTPATTACPAPHASPAHSPSARVSNMPRPTNRCASPVTPARMGPTTATRTPSATTWATIATPCTAASASLATLAMASSAGRTQTWMAGPMRTWCAWPMRLTTAKRIIAPTFPTQGRKTMTRMELVMPVMMTMTMIKFQMTGTTVHSITTQLSMTMTEMMWETAVTTVPTTTTQIRQTQTTMGKETPVLQTLMETVSSMNGTTASTSTMWTRETLIWMGLEISVTIAPWNTIRISWTLTQTALEIPVTTIRILMKMATRTIWTTVPMCPMPTRLTMTKMAREMPVTTMMTTMAFLMTRTTADSCPIPTRRTLTAMVEVMPAKMILTMTVCQTSMTSVLRMLTSVRPISADSR
+>DECOY_NM_003246|723017_2_1923_3064
+RSDASIPRVSTLMRLVSTMSTQCVTMTLIMKAPMVEVMATLTRRTPIPCSDATTRTMLFAMTTMMTTVPMERAMKTMTLRTPMPCMPVTTWITRTAMKMLIRITTVPIELATQTLTWSIRITNWPAITVSIELGMWILTERTWMTSTSATTGNMSSVTEMLTQLVPTEKGMTTQTQRIQTTTTPVTTVATEWMMETMTMSLQTTISHVTTGTMQFKIMTMTMMVPMVLEMRTMTKRGQTPFTPAIIRKATTLRMPWACWTRMPGAMWTQTRGASSAMALTALSASAATCPTAITAWTTASPTRTATTPGMRAPTVPSACRNTPRPMNSVRASPSHAPSAHPAPCATTAPTRTRVGTSEMTTSAPMLCKKASMLMQASSAM
+>NM_003246|723021_2_1986_3064
+MESTGVRTRTPATTACPAPHASPAHSPSARVSNMPRPTNRCASPVTPARMGPTTATRTPSATTWATIATPCTAASASLATLAMASSAGRTQTWMAGPMRTWCAWPMRLTTAKRIIAPTFPTQGRKTMTRMELVMPVMMTMTMIKFQMTGTTVHSITTQLSMTMTEMMWETAVTTVPTTTTQIRQTQTTMGKETPVLQTLMETVSSMNGTTASTSTMWTRETLIWMGLEISVTIAPWNTIRISWTLTQTALEIPVTTIRILMKMATRTIWTTVPMCPMPTRLTMTKMAREMPVTTMMTTMAFLMTRTTADSCPIPTRRTLTAMVEVMPAKMILTMTVCQTSMTSVLRMLTSVRPISADSR
+>DECOY_NM_003246|723021_2_1986_3064
+RSDASIPRVSTLMRLVSTMSTQCVTMTLIMKAPMVEVMATLTRRTPIPCSDATTRTMLFAMTTMMTTVPMERAMKTMTLRTPMPCMPVTTWITRTAMKMLIRITTVPIELATQTLTWSIRITNWPAITVSIELGMWILTERTWMTSTSATTGNMSSVTEMLTQLVPTEKGMTTQTQRIQTTTTPVTTVATEWMMETMTMSLQTTISHVTTGTMQFKIMTMTMMVPMVLEMRTMTKRGQTPFTPAIIRKATTLRMPWACWTRMPGAMWTQTRGASSAMALTALSASAATCPTAITAWTTASPTRTATTPGMRAPTVPSACRNTPRPMNSVRASPSHAPSAHPAPCATTAPTRTRVGTSEM
+>NM_003246|723024_2_2232_3064
+MASSAGRTQTWMAGPMRTWCAWPMRLTTAKRIIAPTFPTQGRKTMTRMELVMPVMMTMTMIKFQMTGTTVHSITTQLSMTMTEMMWETAVTTVPTTTTQIRQTQTTMGKETPVLQTLMETVSSMNGTTASTSTMWTRETLIWMGLEISVTIAPWNTIRISWTLTQTALEIPVTTIRILMKMATRTIWTTVPMCPMPTRLTMTKMAREMPVTTMMTTMAFLMTRTTADSCPIPTRRTLTAMVEVMPAKMILTMTVCQTSMTSVLRMLTSVRPISADSR
+>DECOY_NM_003246|723024_2_2232_3064
+RSDASIPRVSTLMRLVSTMSTQCVTMTLIMKAPMVEVMATLTRRTPIPCSDATTRTMLFAMTTMMTTVPMERAMKTMTLRTPMPCMPVTTWITRTAMKMLIRITTVPIELATQTLTWSIRITNWPAITVSIELGMWILTERTWMTSTSATTGNMSSVTEMLTQLVPTEKGMTTQTQRIQTTTTPVTTVATEWMMETMTMSLQTTISHVTTGTMQFKIMTMTMMVPMVLEMRTMTKRGQTPFTPAIIRKATTLRMPWACWTRMPGAMWTQTRGASSAM
+>NM_003246|723029_2_2373_3064
+MELVMPVMMTMTMIKFQMTGTTVHSITTQLSMTMTEMMWETAVTTVPTTTTQIRQTQTTMGKETPVLQTLMETVSSMNGTTASTSTMWTRETLIWMGLEISVTIAPWNTIRISWTLTQTALEIPVTTIRILMKMATRTIWTTVPMCPMPTRLTMTKMAREMPVTTMMTTMAFLMTRTTADSCPIPTRRTLTAMVEVMPAKMILTMTVCQTSMTSVLRMLTSVRPISADSR
+>DECOY_NM_003246|723029_2_2373_3064
+RSDASIPRVSTLMRLVSTMSTQCVTMTLIMKAPMVEVMATLTRRTPIPCSDATTRTMLFAMTTMMTTVPMERAMKTMTLRTPMPCMPVTTWITRTAMKMLIRITTVPIELATQTLTWSIRITNWPAITVSIELGMWILTERTWMTSTSATTGNMSSVTEMLTQLVPTEKGMTTQTQRIQTTTTPVTTVATEWMMETMTMSLQTTISHVTTGTMQFKIMTMTMMVPMVLEM
+>NM_003246|723040_2_2550_3064
+MGKETPVLQTLMETVSSMNGTTASTSTMWTRETLIWMGLEISVTIAPWNTIRISWTLTQTALEIPVTTIRILMKMATRTIWTTVPMCPMPTRLTMTKMAREMPVTTMMTTMAFLMTRTTADSCPIPTRRTLTAMVEVMPAKMILTMTVCQTSMTSVLRMLTSVRPISADSR
+>DECOY_NM_003246|723040_2_2550_3064
+RSDASIPRVSTLMRLVSTMSTQCVTMTLIMKAPMVEVMATLTRRTPIPCSDATTRTMLFAMTTMMTTVPMERAMKTMTLRTPMPCMPVTTWITRTAMKMLIRITTVPIELATQTLTWSIRITNWPAITVSIELGMWILTERTWMTSTSATTGNMSSVTEMLTQLVPTEKGM
+>NM_003246|723046_2_2772_3064
+MATRTIWTTVPMCPMPTRLTMTKMAREMPVTTMMTTMAFLMTRTTADSCPIPTRRTLTAMVEVMPAKMILTMTVCQTSMTSVLRMLTSVRPISADSR
+>DECOY_NM_003246|723046_2_2772_3064
+RSDASIPRVSTLMRLVSTMSTQCVTMTLIMKAPMVEVMATLTRRTPIPCSDATTRTMLFAMTTMMTTVPMERAMKTMTLRTPMPCMPVTTWITRTAM
+>NM_003246|723050_2_2841_3064
+MAREMPVTTMMTTMAFLMTRTTADSCPIPTRRTLTAMVEVMPAKMILTMTVCQTSMTSVLRMLTSVRPISADSR
+>DECOY_NM_003246|723050_2_2841_3064
+RSDASIPRVSTLMRLVSTMSTQCVTMTLIMKAPMVEVMATLTRRTPIPCSDATTRTMLFAMTTMMTTVPMERAM
+>NM_003246|723054_2_2880_3064
+MAFLMTRTTADSCPIPTRRTLTAMVEVMPAKMILTMTVCQTSMTSVLRMLTSVRPISADSR
+>DECOY_NM_003246|723054_2_2880_3064
+RSDASIPRVSTLMRLVSTMSTQCVTMTLIMKAPMVEVMATLTRRTPIPCSDATTRTMLFAM
+>NM_003246|723056_2_2949_3064
+MVEVMPAKMILTMTVCQTSMTSVLRMLTSVRPISADSR
+>DECOY_NM_003246|723056_2_2949_3064
+RSDASIPRVSTLMRLVSTMSTQCVTMTLIMKAPMVEVM
+>NM_020731|723108_2_1338_1639
+MVPGRGCSPARMTRPPCAPCPAAPACPARVSRALSGTRPSLTRRARPPVPTPAGPADPCGMSVRTRCTLPSATFPRGACSTSSLSLELSVLPRGAIPWRT
+>DECOY_NM_020731|723108_2_1338_1639
+TRWPIAGRPLVSLELSLSSTSCAGRPFTASPLTCRTRVSMGCPDAPGAPTPVPPRARRTLSPRTGSLARSVRAPCAPAAPCPACPPRTMRAPSCGRGPVM
+>NM_006526|723206_2_806_963
+MANRGPEANCSKAWRVVQQRSTRSSRCTRPRASPLLTKSAWFVASYFQIKKV
+>DECOY_NM_006526|723206_2_806_963
+VKKIQFYSAVFWASKTLLPSARPRTCRSSRTSRQQVVRWAKSCNAEPGRNAM
+>NM_006526|723208_2_1562_1662
+MEPWIEGKVVLKTDLRMGFPKESIWIKMMMEEK
+>DECOY_NM_006526|723208_2_1562_1662
+KEEMMMKIWISEKPFGMRLDTKLVVKGEIWPEM
+>NM_003118|723307_2_274_584
+MVQRKPKRRWWRKIPARTTTANTARCASWMRTTPPCACARTPPAAQPPLASLRRCAAMTTRPSTLPATSLPQSAPWRAPRRATSSTWTTSGLANTSPLAWTLS
+>DECOY_NM_003118|723307_2_274_584
+SLTWALPSTNALGSTTWTSSTARRPARWPASQPLSTAPLTSPRTTMAACRRLSALPPQAAPPTRACACPPTTRMWSACRATNATTTRAPIKRWWRRKPKRQVM
+>NM_002447|723356_3_1880_1971
+MAFHGLWLVWEHVRPAEGVSWLLATGPLPT
+>DECOY_NM_002447|723356_3_1880_1971
+TPLPGTALLWSVGEAPRVHEWVLWLGHFAM
+>NM_002447|723357_3_2771_2889
+MGGRESECPRGWSCWLYTAWLSLPTPTPSTQCQPSSTEA
+>DECOY_NM_002447|723357_3_2771_2889
+AETSSPQCQTSPTPTPLSLWATYLWCSWGRPCESERGGM
+>NM_000384|723602_2_8643_8746
+MGVKCCFLEMLLRENQTQWQVYTQKKIHWSLVME
+>DECOY_NM_000384|723602_2_8643_8746
+EMVLSWHIKKQTYVQWQTQNERLLMELFCCKVGM
+>NM_000384|723613_2_12009_12145
+MANMKDFRNGKEKRTSISKAQRSPISICATRKTRKASPPQQPPQP
+>DECOY_NM_000384|723613_2_12009_12145
+PQPPQQPPSAKRTKRTACISIPSRQAKSISTRKEKGNRFDKMNAM
+>NM_000384|723621_3_12034_12170
+MGRKSAPQYQKPSVHRSPSALPERQERHLHLSSLPSRRHRGHGYG
+>DECOY_NM_000384|723621_3_12034_12170
+GYGHGRHRRSPLSSLHLHREQREPLASPSRHVSPKQYQPASKRGM
+>NM_003243|723743_2_1658_1848
+MEAFRFLSQIFPGESGMKREKMGSLGQRTLSFPAYNCFLVSESQKRCKGAWILPCLSNVTMRR
+>DECOY_NM_003243|723743_2_1658_1848
+RRMTVNSLCPLIWAGKCRKQSESVLFCNYAPFSLTRQGLSGMKERKMGSEGPFIQSLFRFAEM
+>NM_003243|723745_2_1721_1848
+MGSLGQRTLSFPAYNCFLVSESQKRCKGAWILPCLSNVTMRR
+>DECOY_NM_003243|723745_2_1721_1848
+RRMTVNSLCPLIWAGKCRKQSESVLFCNYAPFSLTRQGLSGM
+>NM_003243|723748_2_2108_2196
+MDFREIWMKEMLPCSPDLKSWCLIAAFSR
+>DECOY_NM_003243|723748_2_2108_2196
+RSFAAILCWSKLDPSCPLMEKMWIERFDM
+>NM_003243|723749_2_2312_2463
+MDTFMLRYLLLRLNKNWDLPSKRALSLHIRTLIGCLITPLLRIFVLKMNL
+>DECOY_NM_003243|723749_2_2312_2463
+LNMKLVFIRLLPTILCGILTRIHLSLARKSPLDWNKNLRLLLYRLMFTDM
+>NM_001731|723770_2_714_790
+MAPSVCCMKPHQQEVALKTAPTCKW
+>DECOY_NM_001731|723770_2_714_790
+WKCTPATKLAVEQQHPKMCCVSPAM
+>NM_033282|723939_2_1059_1207
+MASPCGSGSGCRASARWPRSCCWSSSSSCSPGLPIPLWPWWPLLGTHTS
+>DECOY_NM_033282|723939_2_1059_1207
+STHTGLLPWWPWLPIPLGPSCSSSSSWCCSRPWRASARCGSGSGCPSAM
+>NM_005529|724014_2_1040_1266
+MDIVPSSCGAAMVTLTVRTELMKPTAPPSVLRKCAGPHSSDASLPTCASQPASTVTRRATVLTGATSLAACPPRW
+>DECOY_NM_005529|724014_2_1040_1266
+WRPPCAALSTAGTLVTARRTVTSAPQSACTPLSADSSHPGACKRLVSPPATPKMLETRVTLTVMAAGCSSPVIDM
+>NM_005529|724015_2_1073_1266
+MVTLTVRTELMKPTAPPSVLRKCAGPHSSDASLPTCASQPASTVTRRATVLTGATSLAACPPRW
+>DECOY_NM_005529|724015_2_1073_1266
+WRPPCAALSTAGTLVTARRTVTSAPQSACTPLSADSSHPGACKRLVSPPATPKMLETRVTLTVM
+>NM_005529|724020_2_2204_2382
+MAVPTVWRSADAPLAILACPARAVMPTSLGCLVGPTWAPALVAIAMAMPAPVTLCMATA
+>DECOY_NM_005529|724020_2_2204_2382
+ATAMCLTVPAPMAMAIAVLAPAWTPGVLCGLSTPMVARAPCALIALPADASRWVTPVAM
+>NM_005529|724026_2_3524_3858
+MATQRPASQKQVPARAASITRRALGVSSASQDTTGTPSGGHHRTASCAPATETLLPARLPTLVFWTQTATPPVMRAPQATVGVTVRGAPLATMATPARASHARETARCQGP
+>DECOY_NM_005529|724026_2_3524_3858
+PGQCRATERAHSARAPTAMTALPAGRVTVGVTAQPARMVPPTATQTWFVLTPLRAPLLTETAPACSATRHHGGSPTGTTDQSASSVGLARRTISAARAPVQKQSAPRQTAM
+>NM_005529|724030_2_4736_4890
+MATQTCATQRLGPARNASTTPQGSSASFVPLATTEMPQPGRLRTASPVPAH
+>DECOY_NM_005529|724030_2_4736_4890
+HAPVPSATRLRGPQPMETTALPVFSASSGQPTTSANRAPGLRQTACTQTAM
+>NM_005529|724031_2_5180_5358
+MGGLCPAAPSSDIKAPSSTSPASSPRMLGSTFAPAVISTNPIPAGQSCWSLRLQASPSQ
+>DECOY_NM_005529|724031_2_5180_5358
+QSPSAQLRLSWCSQGAPIPNTSIVAPAFTSGLMRPSSAPSTSSPAKIDSSPAAPCLGGM
+>NM_005529|724037_2_6401_6552
+MDRAPRRPPLLCLCSTAPILAPATPQCPAAPGPSASSPPPHTWRKGRPWI
+>DECOY_NM_005529|724037_2_6401_6552
+IWPRGKRWTHPPPSSASPGPAAPCQPTAPALIPATSCLCLLPPRRPARDM
+>NM_005529|724053_2_10382_10599
+MGCSESRTWTRAAKGRIYARPMDLGGRPRPVPSWLSKPCPRCSSTSGPLCRPWWLATPWSSNAWHWVTPSLR
+>DECOY_NM_005529|724053_2_10382_10599
+RLSPTVWHWANSSWPTALWWPRCLPGSTSSCRPCPKSLWSPVPRPRGGLDMPRAYIRGKAARTWTRSESCGM
+>NM_005529|724058_2_11150_11319
+MGRSESQGAPPTWPTGSPTSSPSASWGEGPSSGSMQAQAWPPSAIPHHWPWAISTP
+>DECOY_NM_005529|724058_2_11150_11319
+PTSIAWPWHHPIASPPWAQAQMSGSSPGEGWSASPSSTPSGTPWTPPAGQSESRGM
+>NM_005529|724060_2_11603_11739
+MAVSAMTLRAAATCASAQLASPGAAVSTRRPCTAIQRPVGPTPPV
+>DECOY_NM_005529|724060_2_11603_11739
+VPPTPGVPRQIATCPRRTSVAAGPSALQASACTAAARLTMASVAM
+>NM_005529|724065_2_12383_12588
+MVPRACPLASMSSSACVEMDSKETCVSTRRTPASSVNPVCMGAPARAPAASASLASLAHAANKALDMA
+>DECOY_NM_005529|724065_2_12383_12588
+AMDLAKNAAHALSALSASAAPARAPAGMCVPNVSSAPTRRTSVCTEKSDMEVCASSSMSALPCARPVM
+>NM_005529|724067_2_12437_12588
+MDSKETCVSTRRTPASSVNPVCMGAPARAPAASASLASLAHAANKALDMA
+>DECOY_NM_005529|724067_2_12437_12588
+AMDLAKNAAHALSALSASAAPARAPAGMCVPNVSSAPTRRTSVCTEKSDM
+>NM_005529|724072_2_12665_12927
+MASSPSLAMSSPGACPRCPRPSSWRFGPAQPVASCSGRVWRWERPAKARTSSASGFKTGTLSSGTSWVVGRPAWSLRTPSMTASGTG
+>DECOY_NM_005529|724072_2_12665_12927
+GTGSATMSPTRLSWAPRGVVWSTGSSLTGTKFGSASSTRAKAPREWRWVRGSCSAVPQAPGFRWSSPRPCRPCAGPSSMALSPSSAM
+>NM_005529|724077_3_3228_3334
+MAAARWAASHTGAPADGTGRHRHPPDPSILRPAAR
+>DECOY_NM_005529|724077_3_3228_3334
+RAAPRLISPDPPHRHRGTGDAPAGTHSAAWRAAAM
+>NM_005529|724083_3_10602_10684
+MEQSWRAPAARHCAERRCRQDRPRRAG
+>DECOY_NM_005529|724083_3_10602_10684
+GARRPRDQRCRREACHRAAPARWSQEM
+>NM_206818|724111_3_175_734
+MAGSSAGYSCDPWGQRDLEMPGTPTRLEIWTFQAWRDRSPSLPGCVLRAGRILSGGGDSSPRGNLPLLLPKARLGAGCLVPAQRCPGAAGDRGAAAAVAGGAARAGGGSWRQREPALRGPPAEHELRAVPRGRGGPAAVPPLRAALGRLHAAGRPRPRHLQLLLSHALRALRAVAAQRGAGHQLGR
+>DECOY_NM_206818|724111_3_175_734
+RGLQHGAGRQAAVARLARLAHSLLLQLHRPRPRGAAHLRGLAARLPPVAAPGGRGRPVARLEHEAPPGRLAPERQRWSGGGARAAGGAVAAAAGRDGAAGPCRQAPVLCGAGLRAKPLLLPLNGRPSSDGGGSLIRGARLVCGPLSPSRDRWAQFTWIELRTPTGPMELDRQGWPDCSYGASSGAM
+>NM_000419|724134_2_213_361
+MGEWPSWWAPRGPWAPARRRRAACSCAPGGPRAASAPRCSLTSVMRPEM
+>DECOY_NM_000419|724134_2_213_361
+MEPRMVSTLSCRPASAARPGGPACSCAARRRRAPAWPGRPAWWSPWEGM
+>NM_000419|724137_2_1026_1165
+MGGMICWWALHCIWRAGQTENWPKWGVCICSCSREAPTRWVPPASC
+>DECOY_NM_000419|724137_2_1026_1165
+CSAPPVWRTPAERSCSCICVGWKPWNETQGARWICHLAWWCIMGGM
+>NM_000419|724140_2_1230_1321
+MATMTLQWLPPTGVPVAGAKCWCSWVRVRG
+>DECOY_NM_000419|724140_2_1230_1321
+GRVRVWSCWCKAGAVPVGTPPLWQLTMTAM
+>NM_000130|724214_2_1391_1494
+MGFWVLLSEPRSETHSKSCSKIWPAAPIAFTLME
+>DECOY_NM_000130|724214_2_1391_1494
+EMLTFAIPAAPWIKSCSKSHTESRPESLLVWFGM
+>NM_000130|724223_2_4697_4998
+MVQITLRSFQRKRSRAVKMTMLKLIMCPMMTPTKLMLGQTSTPPEILTTLQHGTSAATMETEEIITLLLKKYPGIIQNLYKGKQILKTLMIFQKIPHIRK
+>DECOY_NM_000130|724223_2_4697_4998
+KRIHPIKQFIMLTKLIQKGKYLNQIIGPYKKLLLTIIEETEMTAASTGHQLTTLIEPPTSTQGLMLKTPTMMPCMILKLMTMKVARSRKRQFSRLTIQVM
+>NM_000130|724230_2_4871_4998
+METEEIITLLLKKYPGIIQNLYKGKQILKTLMIFQKIPHIRK
+>DECOY_NM_000130|724230_2_4871_4998
+KRIHPIKQFIMLTKLIQKGKYLNQIIGPYKKLLLTIIEETEM
+>NM_006254|724332_2_1803_1921
+MATSRLPTLGCAKRTYSGRAGPAPSAAPLTISPLRSYRA
+>DECOY_NM_006254|724332_2_1803_1921
+ARYSRLPSITLPAASPAPGARGSYTRKACGLTPLRSTAM
+>NM_130771|724450_3_175_824
+MAGSSAGYSCDPWGQRDLEMPGTPTRLEIWTFQAWRDRSPSLPGCVLRAGRILSGGGDSSPRGNLPLLLPKARLGAGCLVPAQRCPGAAGDRGAAAAVAGGAARAGGGSWRQREPALRGPPAEHELRAVPRGRGGPAAVPPLRAALGRLHAAGRPRPRHLQLLLSHALRALRAVAAQRGAGHQLGRLWLLRLHPGEPSPPGAGRAGPHLPGRAGHF
+>DECOY_NM_130771|724450_3_175_824
+FHGARGPLHPGARGAGPPSPEGPHLRLLWLRGLQHGAGRQAAVARLARLAHSLLLQLHRPRPRGAAHLRGLAARLPPVAAPGGRGRPVARLEHEAPPGRLAPERQRWSGGGARAAGGAVAAAAGRDGAAGPCRQAPVLCGAGLRAKPLLLPLNGRPSSDGGGSLIRGARLVCGPLSPSRDRWAQFTWIELRTPTGPMELDRQGWPDCSYGASSGAM
+>NM_017460|724481_3_478_659
+MEEITIIAVSNLHQWKTQGDGPYHCPVWRCVGEKSEAGSRDRQACHLERRLWGLQHGCDH
+>DECOY_NM_017460|724481_3_478_659
+HDCGHQLGWLRRELHCAQRDRSGAESKEGVCRWVPCHYPGDGQTKWQHLNSVAIITIEEM
+>NM_003392|724593_2_880_971
+MATALPRSSWTPASGSASTPRAPTRVLASS
+>DECOY_NM_003392|724593_2_880_971
+SSALVRTPARPTSASGSAPTWSSRPLATAM
+>NM_005587|724685_2_1773_1867
+MAVIGRIHGATSILQLCLADPQTLRTEKALL
+>DECOY_NM_005587|724685_2_1773_1867
+LLAKETRLTQPDALCLQLISTAGHIRGIVAM
+>NM_000121|724758_2_1070_1317
+MAACGGAPAPPSRRTHLLPWKSSQSAAGGRCRQWSRGQMMRAPCWSQWAVSMPRIPIWCWTNGCCPGTRPVRTSQGLVAVWT
+>DECOY_NM_000121|724758_2_1070_1317
+TWVAVLGQSTRVPRTGPCCGNTWCWIPIRPMSVAWQSWCPARMMQGRSWQRCRGGAASQSSKWPLLHTRRSPPAPAGGCAAM
+>NM_000121|724766_3_396_556
+MEAVSPAPGSHGSWCGALLVFAAYSRHVELRAPRVARHSSLRRSAISPCHPHQ
+>DECOY_NM_000121|724766_3_396_556
+QHPHCPSIASRRLSSHRAVRPARLEVHRSYAAFVLLAGCWSGHSGPAPSVAEM
+>NM_002178|724826_2_211_461
+MGGRQPRAARKLRAVSGGRGRSAGSTPLTAPQDCSAIRPRTTRRLCGRCCSAEAAAFRPARLLLQRRILRRVNPKQALPAHRM
+>DECOY_NM_002178|724826_2_211_461
+MRHAPLAQKPNVRRLIRRQLLLRAPRFAAAEASCCRGCLRRTTRPRIASCDQPATLPTSGASRGRGGSVARLKRAARPQRGGM
+>NM_003491|724928_2_211_341
+MAFPGPSSLTLLRTRMGRLWGMSWPKWKRTQMMCPMDISPHWL
+>DECOY_NM_003491|724928_2_211_341
+LWHPSIDMPCMMQTRKWKPWSMGWLRGMRTRLLTLSSPGPFAM
+>NM_003491|724929_2_256_341
+MGRLWGMSWPKWKRTQMMCPMDISPHWL
+>DECOY_NM_003491|724929_2_256_341
+LWHPSIDMPCMMQTRKWKPWSMGWLRGM
+>NM_000257|724995_2_2192_2280
+MVCWRASASAGKASPTASSTGTSGRGIAS
+>DECOY_NM_000257|724995_2_2192_2280
+SAIGRGSTGTSSATPSAKGASASARWCVM
+>NM_001002029|725043_2_1696_1811
+METTQWPTPCEWMSRLGPARASWSSAWTVPSSTGTGSP
+>DECOY_NM_001002029|725043_2_1696_1811
+PSGTGTSSPVTWASSWSARAPGLRSMWECPTPWQTTEM
+>NM_001002029|725054_3_395_564
+MAKGLSVQNDKHPGYQPALLLSPGAPLFADGPAHLQPWPAGSVPGLCSGSEDAPEH
+>DECOY_NM_001002029|725054_3_395_564
+HEPADESGSCLGPVSGAPWPQLHAPGDAFLPAGPSLLLAPQYGPHKDNQVSLGKAM
+>NM_002001|725128_2_253_332
+MGTISLKSVPPNGSTMAAFQKRQIQV
+>DECOY_NM_002001|725128_2_253_332
+VQIQRKQFAAMTSGNPPVSKLSITGM
+>NM_002001|725130_2_541_680
+MVKLSSTGMRTTTSPLQMPQLKTVEPTTVRAKCGSWTMSLSPSTLL
+>DECOY_NM_002001|725130_2_541_680
+LLTSPSLSMTWSGCKARVTTPEVTKLQPMQLPSTTTRMGTSSLKVM
+>NM_133478|725203_2_1746_2056
+MEVVVVVAVAAGLAVAGPAEQAAGMMERCQPCMKSGRNLSGQEGSSVACVWISRGSCPGSQVTSMMASTFSPSLPSYSSTSAVSPTRSPLVGFWGMPPTIIRE
+>DECOY_NM_133478|725203_2_1746_2056
+ERIITPPMGWFGVLPSRTPSVASTSSYSPLSPSFTSAMMSTVQSGPCSGRSIWVCAVSSGEQGSLNRGSKMCPQCREMMGAAQEAPGAVALGAAVAVVVVVEM
+>NM_133478|725205_2_1821_2056
+MERCQPCMKSGRNLSGQEGSSVACVWISRGSCPGSQVTSMMASTFSPSLPSYSSTSAVSPTRSPLVGFWGMPPTIIRE
+>DECOY_NM_133478|725205_2_1821_2056
+ERIITPPMGWFGVLPSRTPSVASTSSYSPLSPSFTSAMMSTVQSGPCSGRSIWVCAVSSGEQGSLNRGSKMCPQCREM
+>NM_133478|725208_2_1941_2056
+MASTFSPSLPSYSSTSAVSPTRSPLVGFWGMPPTIIRE
+>DECOY_NM_133478|725208_2_1941_2056
+ERIITPPMGWFGVLPSRTPSVASTSSYSPLSPSFTSAM
+>NM_001098531|725298_2_1625_1713
+MAKWCWCWREPLRAPALPDPQPQAGTGIQ
+>DECOY_NM_001098531|725298_2_1625_1713
+QIGTGAQPQPDPLAPARLPERWCWCWKAM
+>NM_000418|725576_2_1497_1687
+MGAFASRTWGSHAFFHLREVRVLTCPGMSSQVQGPRRHLPGARSSLSTWSQVLLPARPRVQTT
+>DECOY_NM_000418|725576_2_1497_1687
+TTQVRPRAPLLVQSWTSLSSRAGPLHRRPGQVQSSMGPCTLVRVERLHFFAHSGWTRSAFAGM
+>NM_000418|725579_2_1923_2299
+MGQLQPPSRPPPVAIRSLYMRWSRVAPRPVRWWAWVPQERLVTRPSQACLPAVLCPQRNVGLGLAVGKRGISLSKTSFLAALGTLPQSLSPCSPLDWTGSHLAVRRAHISQAAPQSTWVWSRGKR
+>DECOY_NM_000418|725579_2_1923_2299
+RKGRSWVWTSQPAAQSIHARRVALHSGTWDLPSCPSLSQPLTGLAALFSTKSLSIGRKGVALGLGVNRQPCLVAPLCAQSPRTVLREQPVWAWWRVPRPAVRSWRMYLSRIAVPPPRSPPQLQGM
+>NM_000418|725581_2_2424_2518
+MARRMVARPLSWPVLAVAAAVETGPRPLQPP
+>DECOY_NM_000418|725581_2_2424_2518
+PPQLPRPGTEVAAAVALVPWSLPRAVMRRAM
+>NM_000418|725582_2_2436_2518
+MVARPLSWPVLAVAAAVETGPRPLQPP
+>DECOY_NM_000418|725582_2_2436_2518
+PPQLPRPGTEVAAAVALVPWSLPRAVM
+>NM_000418|725585_3_1024_1205
+MVGSDSQPSPQPPRGYNNPGCSGVTVGEAVPRPGTSQVPTLEELSYQALALFSGAQHEKG
+>DECOY_NM_000418|725585_3_1024_1205
+GKEHQAGSFLALAQYSLEELTPVQSTGPRPVAEGVTVGSCGPNNYGRPPQPSPQSDSGVM
+>NM_000418|725586_3_1261_1337
+MVPSGDQQDSPLAREHQRGAMCGVV
+>DECOY_NM_000418|725586_3_1261_1337
+VVGCMAGRQHERALPSDQQDGSPVM
+>NM_022162|725618_2_826_1232
+MEQRRSAWRTYTQRMSWRSGQMWAWLDPRRRAQPPWAWRSSSAPLATSMTMRTLCWWWVRRAVARARSCSGCTCCGLQGKTSRNFSLSSHSAAGSCSAWPNHSLCGLYSLSTAVGLMLVKKTSSSYSLTTLTVSC
+>DECOY_NM_022162|725618_2_826_1232
+CSVTLTTLSYSSSTKKVLMLGVATSLSYLGCLSHNPWASCSGAASHSSLSFNRSTKGQLGCCTCGSCSRARAVARRVWWWCLTRMTMSTALPASSSRWAWPPQARRRPDLWAWMQGSRWSMRQTYTRWASRRQEM
+>NM_002112|725657_2_1244_1344
+MVLKWLNILNLWSETTLPLKFLPRGTLAWWFFV
+>DECOY_NM_002112|725657_2_1244_1344
+VFFWWALTGRPLFKLPLTTESWLNLINLWKLVM
+>NM_002112|725658_2_1718_1881
+MASILKPCWTQLMTAFQKRPQMPPSTSCPPSCSVTCLCRLRRRRCAPSVATVCQ
+>DECOY_NM_002112|725658_2_1718_1881
+QCVTAVSPACRRRRLRCLCTVSCSPPCSTSPPMQPRKQFATMLQTWCPKLISAM
+>NM_001430|725718_2_1425_1519
+MGATCGWRPRGRSSTTLATCSPSASCVSTTS
+>DECOY_NM_001430|725718_2_1425_1519
+STTSVCSASPSCTALTTSSRGRPRWGCTAGM
+>NM_001430|725722_3_1798_1937
+MGHGVEEPQHPERGWEPACLHRAPGSCPGQHHPQCHQQQQQLLHAQ
+>DECOY_NM_001430|725722_3_1798_1937
+QAHLLQQQQQHCQPHHQGPCSGPARHLCAPEWGREPHQPEEVGHGM
+>NM_003664|725816_2_930_1024
+MERISTNLMMIRRKRLTKRRSRILWIQIIDS
+>DECOY_NM_003664|725816_2_930_1024
+SDIIQIWLIRSRRKTLRKRRIMMLNTSIREM
+>NM_003664|725817_2_1551_1630
+MVKLLNIWPNSWTVSLFLLLEQVFFG
+>DECOY_NM_003664|725817_2_1551_1630
+GFFVQELLLFLSVTWSNPWINLLKVM
+>NM_000351|725846_2_1326_1405
+MGSIKEEKQTTGKEVSGFQASFVGPG
+>DECOY_NM_000351|725846_2_1326_1405
+GPGVFSAQFGSVEKGTTQKEEKISGM
+>NM_025216|725923_2_1031_1188
+MGSRNTQPCPQPAQACRTPGSGAAAAPTWASGSAFLRTFWTPGSLTETSTRE
+>DECOY_NM_025216|725923_2_1031_1188
+ERTSTETLSGPTWFTRLFASGSAWTPAAAAGSGPTRCAQAPQPCPQTNRSGM
+>NM_001713|725958_2_1087_1175
+MAAGEVVWTCTPNPGLEQGPGRNTGRIFG
+>DECOY_NM_001713|725958_2_1087_1175
+GFIRGTNRGPGQELGPNPTCTWVVEGAAM
+>NM_005653|726018_2_1378_1532
+MVHQTTSQSHPLQSQITSYQQPHLRKLSSGCIEIVFLHSQGFSQTSQGQIY
+>DECOY_NM_005653|726018_2_1378_1532
+YIQGQSTQSFGQSHLFVIEICGSSLKRLHPQQYSTIQSQLPHSQSTTQHVM
+>NM_004715|726085_2_1762_2063
+MASAAWATAVPTGRRRRPSHRTASCRGSLRVSPWTRAWRRRRRRTRMRMTTSSTWRRSWSVYTLTTMPSMTATSTRRSRRRRTSARSCRSSRARCWQTWP
+>DECOY_NM_004715|726085_2_1762_2063
+PWTQWCRARSSRCSRASTRRRRSRRTSTATMSPMTTLTYVSWSRRWTSSTTMRMRTRRRRRRWARTWPSVRLSGRCSATRHSPRRRRGTPVATAWAASAM
+>NM_001011722|726133_2_320_429
+MGMQRTQPSPGPGTPAGSGRVPVALTGSLSPPWKRM
+>DECOY_NM_001011722|726133_2_320_429
+MRKWPPSLSGTLAVPVRGSGAPTGPGPSPQTRQMGM
+>NM_001011722|726140_2_2753_2826
+MGPLLLTLGPAEVSCGTWRALPCA
+>DECOY_NM_001011722|726140_2_2753_2826
+ACPLARWTGCSVEAPGLTLLLPGM
+>NM_002872|726240_2_148_248
+MGPWARPAFSSATPPTPFPESTSPPCLTTIQPM
+>DECOY_NM_002872|726240_2_148_248
+MPQITTLCPPSTSEPFPTPPTASSFAPRAWPGM
+>NM_000597|726255_2_544_629
+MAPARSRLQTMAMTTQKEAWWRTTWTAP
+>DECOY_NM_000597|726255_2_544_629
+PATWTTRWWAEKQTTMAMTQLRSRAPAM
+>NM_001127698|726341_2_389_507
+MGILSVLIIMKLFVAQMGKHMTTDVHCVLRMRKPGPKLV
+>DECOY_NM_001127698|726341_2_389_507
+VLKPGPKRMRLVCHVDTTMHKGMQAVFLKMIILVSLIGM
+>NM_001127698|726344_2_581_678
+MEDLDAQGKMILFLVLMGRRMAISVQCVLSCF
+>DECOY_NM_001127698|726344_2_581_678
+FCSLVCQVSIAMRRGMLVLFLIMKGQADLDEM
+>NM_001127698|726346_2_773_954
+MEGFFVHGRVIQSVALTAGCMATNVPCVLKFSSSVFQRKTVKQIKIWEKLKKKLKLKEKL
+>DECOY_NM_001127698|726346_2_773_954
+LKEKLKLKKKLKEWIKIQKVTKRQFVSSSFKLVCPVNTAMCGATLAVSQIVRGHVFFGEM
+>NM_001127698|726348_2_989_1194
+MEYFSVPEKMTLFVVQMGKCMATCVPCVKPTSKQKMKKRKRLKHELETKENLEKQPHMQSFAVNIESL
+>DECOY_NM_001127698|726348_2_989_1194
+LSEINVAFSQMHPQKELNEKTELEHKLRKRKKMKQKSTPKVCPVCTAMCKGMQVVFLTMKEPVSFYEM
+>NM_001127698|726358_2_1586_1794
+MEHLYAPGSIILSVAQMAKCMETSVPCVPVCSNLKKKRRKMIKKKKGKSRLKKLREKQFRSCAVNIVIM
+>DECOY_NM_001127698|726358_2_1586_1794
+MIVINVACSRFQKERLKKLRSKGKKKKIMKRRKKKLNSCVPVCPVSTEMCKAMQAVSLIISGPAYLHEM
+>NM_001127698|726364_2_1994_2214
+MENFSAQEKMILCVAQMARPMATSVPCVRQSSRKKMRKERGKKRKIREMLQDMVPVVVEEETLRTNVLSIGNK
+>DECOY_NM_001127698|726364_2_1994_2214
+KNGISLVNTRLTEEEVVVPVMDQLMERIKRKKGREKRMKKRSSQRVCPVSTAMPRAMQAVCLIMKEQASFNEM
+>NM_001127698|726370_2_2219_2415
+MEDSAVLGRVILYVMLMANRTTISVPCVKQNWKEKQREKMSILAPDQMGLDQNQGRIHVMSLEAK
+>DECOY_NM_001127698|726370_2_2219_2415
+KAELSMVHIRGQNQDLGMQDPALISMKERQKEKWNQKVCPVSITTRNAMLMVYLIVRGLVASDEM
+>NM_001127698|726374_2_2420_2895
+MENSSALEKVTLSGVQMARHMAISVLCVRKNWKGKQLKKKRKRMKTGAIQEKGAIQEKGAMTKRICVVNFEACREMESLSAPEKITLFEAHMARCTSINVLCVRASLIEKLMKEKRKMKRNQVASPQIMQRTSADRFRMKRRMQNLDNLGVPWPLLPG
+>DECOY_NM_001127698|726374_2_2420_2895
+GPLLPWPVGLNDLNQMRRKMRFRDASTRQMIQPSAVQNRKMKRKEKMLKEILSARVCLVNISTCRAMHAEFLTIKEPASLSEMERCAEFNVVCIRKTMAGKEQIAGKEQIAGTKMRKRKKKLQKGKWNKRVCLVSIAMHRAMQVGSLTVKELASSNEM
+>NM_001127698|726379_2_2645_2895
+MESLSAPEKITLFEAHMARCTSINVLCVRASLIEKLMKEKRKMKRNQVASPQIMQRTSADRFRMKRRMQNLDNLGVPWPLLPG
+>DECOY_NM_001127698|726379_2_2645_2895
+GPLLPWPVGLNDLNQMRRKMRFRDASTRQMIQPSAVQNRKMKRKEKMLKEILSARVCLVNISTCRAMHAEFLTIKEPASLSEM
+>NM_005270|726452_2_1867_2111
+MGTVRPARSLAAQRAPRPAAPARPWRTACTSEPSRPRAPGCVSPAPGPSRPAAASPLLWAVPPTMTVAWRCRGRGPGAWET
+>DECOY_NM_005270|726452_2_1867_2111
+TEWAGPGRGRCRWAVTMTPPVAWLLPSAAAPRSPGPAPSVCGPARPRSPESTCATRWPRAPAAPRPARQAALSRAPRVTGM
+>NM_005270|726456_2_4399_4616
+MEAWRTSGAARSCGPSHHSHRPVRTASSPSPCPHQGSTRCPALWTPSSWRPPRLTSMPSWMMAITRVCSRVL
+>DECOY_NM_005270|726456_2_4399_4616
+LVRSCVRTIAMMWSPMSTLRPPRWSSPTWLAPCRTSGQHPCPSPSSATRVPRHSHHSPGCSRAAGSTRWAEM
+>NM_000596|726511_3_805_944
+MEGALPNRTLQSRREFSQGTGDIRRRNFQILPAKLQQEWILSQQTV
+>DECOY_NM_000596|726511_3_805_944
+VTQQSLIWEQQLKAPLIQFNRRRIDGTGQSFERRSQLTRNPLAGEM
+>NM_001908|726565_2_613_692
+MVAILLKLGTSGQEKAWFLVASMNPM
+>DECOY_NM_001908|726565_2_613_692
+MPNMSAVLFWAKEQGSTGLKLLIAVM
+>NM_001908|726575_3_428_516
+MATVSHHQRDQRPGLLWLLLGLRGCGSHL
+>DECOY_NM_001908|726575_3_428_516
+LHSGCGRLGLLLWLLGPRQDRQHHSVTAM
+>NM_003998|726606_2_1338_1759
+MVESGKDLEIFPPQMFIDNLPLSSKLQSIKILILQNQPLCLSSFGGNLTWKLVNQNLSSTILKSKIKKKCRGNVRSSCPIFRIVSAVVVVPELEAEACLVVAVEEGALEVQVQGIASHTMDFLLMVGLLSILELLNLMLG
+>DECOY_NM_003998|726606_2_1338_1759
+GLMLNLLELISLLGVMLLFDMTHSAIGQVQVELAGEEVAVVLCAEAELEPVVVVASVIRFIPCSSRVNGRCKKKIKSKLITSSLNQNVLKWTLNGGFSSLCLPQNQLILIKISQLKSSLPLNDIFMQPPFIELDKGSEVM
+>NM_000448|726652_2_2981_3054
+MAPLGHGQVREMSLVTNCLGASGK
+>DECOY_NM_000448|726652_2_2981_3054
+KGSAGLCNTVLSMERVQGHGLPAM
+>NM_002296|726679_2_540_628
+MGSLSILREMTHLIKIHRKNSVCHKKAVT
+>DECOY_NM_002296|726679_2_540_628
+TVAKKHCVSNKRHIKILHTMERLISLSGM
+>NM_002296|726680_2_1491_1567
+MDLDSCWLLETWCGFPLFTASKPFI
+>DECOY_NM_002296|726680_2_1491_1567
+IFPKSATFLPFGCWTELLWCSDLDM
+>NM_002296|726683_3_901_1004
+MGNQSIWGLPPVVFDSSPVLPTANWKGCRRNASY
+>DECOY_NM_002296|726683_3_901_1004
+YSANRRCGKWNATPLVPSSDFVVPPLGWISQNGM
+>NM_006846|726746_2_2420_2841
+MENSSALEKVTLSGVQMARHMAISVLCVRKNWKGKQLKKKRKRMKTGAIQEKGAIQEKGAMTKRICVVNFEACREMESLSAPEKITLFEAHMARCTSINVLCVRASLIEKLMKEKRKMKRNQVASPQIMQRMSAVNFETI
+>DECOY_NM_006846|726746_2_2420_2841
+ITEFNVASMRQMIQPSAVQNRKMKRKEKMLKEILSARVCLVNISTCRAMHAEFLTIKEPASLSEMERCAEFNVVCIRKTMAGKEQIAGKEQIAGTKMRKRKKKLQKGKWNKRVCLVSIAMHRAMQVGSLTVKELASSNEM
+>NM_006846|726751_2_2645_2841
+MESLSAPEKITLFEAHMARCTSINVLCVRASLIEKLMKEKRKMKRNQVASPQIMQRMSAVNFETI
+>DECOY_NM_006846|726751_2_2645_2841
+ITEFNVASMRQMIQPSAVQNRKMKRKEKMLKEILSARVCLVNISTCRAMHAEFLTIKEPASLSEM
+>NM_006514|726947_2_934_1058
+MDLTQATALMVISALKLLTTRILTTPALIPLLGLSSHCSAS
+>DECOY_NM_006514|726947_2_934_1058
+SASCHSSLGLLPILAPTTLIRTTLLKLASIVMLATAQTLDM
+>NM_006514|726950_2_1501_1802
+MAVCSISGPLAEISHSLRESQMMESFLETTKAIGALCCWVGVLASKAPSLEALFLNPATLTPGMEKMNTNRRPLVSLPLELSMSRHSMQDKRRLSCQQNT
+>DECOY_NM_006514|726950_2_1501_1802
+TNQQCSLRRKDQMSHRSMSLELPLSVLPRRNTNMKEMGPTLTAPNLFLAELSPAKSALVGVWCCLAGIAKTTELFSEMMQSERLSHSIEALPGSISCVAM
+>NM_006514|726952_2_1567_1802
+MESFLETTKAIGALCCWVGVLASKAPSLEALFLNPATLTPGMEKMNTNRRPLVSLPLELSMSRHSMQDKRRLSCQQNT
+>DECOY_NM_006514|726952_2_1567_1802
+TNQQCSLRRKDQMSHRSMSLELPLSVLPRRNTNMKEMGPTLTAPNLFLAELSPAKSALVGVWCCLAGIAKTTELFSEM
+>NM_006514|726953_2_1690_1802
+MEKMNTNRRPLVSLPLELSMSRHSMQDKRRLSCQQNT
+>DECOY_NM_006514|726953_2_1690_1802
+TNQQCSLRRKDQMSHRSMSLELPLSVLPRRNTNMKEM
+>NM_006514|726964_2_4990_5090
+MASSAPSSTQGPPTVTPICPTAMAPEGTVGAQP
+>DECOY_NM_006514|726964_2_4990_5090
+PQAGVTGEPAMATPCIPTVTPPGQTSSPASSAM
+>NM_000539|726995_2_762_880
+MGSSSSPSRRPLPSSRSQPPHRRQRRRSPAWSSSWSSLS
+>DECOY_NM_000539|726995_2_762_880
+SLSSWSSSWAPSRRRQRRHPPQSRSSPLPRRSPSSSSGM
+>NM_000539|727001_3_196_341
+MAVLHAGRLHVSADRAGLPHQLPHALRHRPAQEAAHASQLHPAQPSRG
+>DECOY_NM_000539|727001_3_196_341
+GRSPQAPHLQSAHAAEQAPRHRLAHPLQHPLGARDASVHLRGAHLVAM
+>NM_002049|727034_2_989_1149
+MVFRLETARHLEKGKRNGAPVWEAQEQPKDQLVALWWWLGAAVAGIVGRWLQA
+>DECOY_NM_002049|727034_2_989_1149
+AQLWRGVIGAVAAGLWWWLAVLQDKPQEQAEWVPAGNRKGKELHRATELRFVM
+>NM_000546|727052_2_753_934
+MVWPLLSILSEWKEICVWSIWMTETLFDIVWWCPMSRLRLALTVPPSTTTTCVTVPAWAA
+>DECOY_NM_000546|727052_2_753_934
+AAWAPVTVCTTTTSPPVTLALRLRSMPCWWVIDFLTETMWISWVCIEKWESLISLLPWVM
+>NM_003359|727254_2_1532_1614
+MVPMLLLFALSGTCLRNWIMNAFIKKC
+>DECOY_NM_003359|727254_2_1532_1614
+CKKIFANMIWNRLCTGSLAFLLLMPVM
+>NM_002769|727358_2_636_724
+MDSSKELSPGVMAVPRRTSLESTPRSTTM
+>DECOY_NM_002769|727358_2_636_724
+MTTSRPTSELSTRRPVAMVGPSLEKSSDM
+>NM_003394|727388_2_1094_1170
+MAHQAAASSRHAGGRPQSSGQWGRR
+>DECOY_NM_003394|727388_2_1094_1170
+RRGWQGSSQPRGGAHRSSAAAQHAM
+>NM_003883|727610_2_892_1010
+MGNALNMSRASISLYSCWVVVVILSEMLPAAGHMRHRCW
+>DECOY_NM_003883|727610_2_892_1010
+WCRHRMHGAAPLMESLIVVVVWCSYLSISARSMNLANGM
+>NM_000551|727625_2_589_686
+MGFWLTKLNYLCHLSMLTDSLFLPISHCQCIL
+>DECOY_NM_000551|727625_2_589_686
+LICQCHSIPLFLSDTLMSLHCLYNLKTLWFGM
+>NM_022817|727705_2_1792_2045
+MVTRPKIEVIILMNLENKRKNPLQKCKLIPQLRRKLSLPWKRTAWGSASPRSWPARTSPPAPTSRSAAWTASSGTWRAAMRLPP
+>DECOY_NM_022817|727705_2_1792_2045
+PPLRMAARWTGSSATWAASRSTPAPPSTRAPWSRPSASGWATRKWPLSLKRRLQPILKCKQLPNKRKNELNMLIIVEIKPRTVM
+>NM_004667|727806_2_194_333
+MGSVVCGMKWLKMEKLYTLEQNQPRTESSLLEKMIVSNQVEQRKKI
+>DECOY_NM_004667|727806_2_194_333
+IKKRQEVQNSVIMKELLSSETRPQNQELTYLKEMKLWKMGCVVSGM
+>NM_004667|727808_2_230_333
+MEKLYTLEQNQPRTESSLLEKMIVSNQVEQRKKI
+>DECOY_NM_004667|727808_2_230_333
+IKKRQEVQNSVIMKELLSSETRPQNQELTYLKEM
+>NM_004667|727815_2_1511_1593
+MAACTHRPIIVTRWPHSWSKALPPETL
+>DECOY_NM_004667|727815_2_1511_1593
+LTEPPLAKSWSHPWRTVIIPRHTCAAM
+>NM_004667|727818_2_2129_2214
+MAKFIHGEKVTTRDLDMEQRNMFVIQNS
+>DECOY_NM_004667|727818_2_2129_2214
+SNQIVFMNRQEMDLDRTTVKEGHIFKAM
+>NM_004667|727828_2_6584_6669
+MGSSTSTSTPSSAPSPTALWEGLPKGPS
+>DECOY_NM_004667|727828_2_6584_6669
+SPGKPLGEWLATPSPASSPTSTSTSSGM
+>NM_004667|727833_2_7904_7989
+MDCMISMCSVTGSRKGAPTGLGTFMWNL
+>DECOY_NM_004667|727833_2_7904_7989
+LNWMFTGLGTPAGKRSGTVSCMSIMCDM
+>NM_004667|727836_2_8231_8355
+MDVRCFLSMDPDSNAETVMTLIFVKRVSRPKNTIPGIHLAE
+>DECOY_NM_004667|727836_2_8231_8355
+EALHIGPITNKPRSVRKVFILTMVTEANSDPDMSLFCRVDM
+>NM_004667|727854_2_12797_12990
+MDQMTMFEGLGRSKGCRGRKSSPSPLAPCTVCAAQRMVRFIHGATMMRDNWETEPPMPSRGLGW
+>DECOY_NM_004667|727854_2_12797_12990
+WGLGRSPMPPETEWNDRMMTAGHIFRVMRQAACVTCPALPSPSSKRGRCGKSRGLGEFMTMQDM
+>NM_004667|727857_2_12905_12990
+MVRFIHGATMMRDNWETEPPMPSRGLGW
+>DECOY_NM_004667|727857_2_12905_12990
+WGLGRSPMPPETEWNDRMMTAGHIFRVM
+>NM_004667|727862_3_1401_1537
+MEILCQCDWSNPVRRPGQPGSHTDCLCREAFPDSVTQWPRVHTGL
+>DECOY_NM_004667|727862_3_1401_1537
+LGTHVRPWQTVSDPFAERCLCDTHSGPQGPRRVPNSWDCQCLIEM
+>NM_003925|727881_2_567_664
+MERLLLSQKILILLYFLKGVSSQDIKTAAWQP
+>DECOY_NM_003925|727881_2_567_664
+PQWAATKIDQSSVGKLFYLLILIKQSLLLREM
+>NM_004646|727934_2_199_380
+MGCSWAPTPGSQASRGTAWKGTLLEVNSTCTSRPVTSAMTRSMSARSAALRWGPSSCLPE
+>DECOY_NM_004646|727934_2_199_380
+EPLCSSPGWRLAASRASMSRTMASTVPRSTCTSNVELLTGKWATGRSAQSGPTPAWSCGM
+>NM_004646|727936_2_931_1070
+MERSSAARPTTACLQGPRSTASHCRSPFPLVPLLSWDLHPRLRTRT
+>DECOY_NM_004646|727936_2_931_1070
+TRTRLRPHLDWSLLPVLPFPSRCHSATSRPGQLCATTPRAASSREM
+>NM_004646|727941_2_2458_2537
+MGWRLQHDGCSVLLSDLPPRWSTPLP
+>DECOY_NM_004646|727941_2_2458_2537
+PLPTSWRPPLDSLLVSCGDHQLRWGM
+>NM_004646|727948_3_188_270
+MGQRWAAPGPRPQDPRLPEVPPGRGPC
+>DECOY_NM_004646|727948_3_188_270
+CPGRGPPVEPLRPDQPRPGPAAWRQGM
+>NM_004646|727949_3_1118_1251
+MVAGLAAAAAHGGDSHGWTAWRSHLHVQPDIPGAAGGQRSDPHM
+>DECOY_NM_004646|727949_3_1118_1251
+MHPDSRQGGAAGPIDPQVHLHSRWATWGHSDGGHAAAAALGAVM
+>NM_025225|728095_3_1294_1436
+MASRYARRCPVVAVGDLTGVHSSADVSAPRLQVPNASEQPTGLPMHT
+>DECOY_NM_025225|728095_3_1294_1436
+THMPLGTPQESANPVQLRPASVDASSHVGTLDGVAVVPCRRAYRSAM
+>NM_006178|728128_2_1662_1759
+MGSCWCSRLRTVTAHHWSACFWKALLTVGRLL
+>DECOY_NM_006178|728128_2_1662_1759
+LLRGVTLLAKWFCASWHHATVTRLRSCWCSGM
+>NM_005228|728231_2_3403_3476
+MGCKAVPSRKTASCSDTAQTPQAP
+>DECOY_NM_005228|728231_2_3403_3476
+PAQPTQATDSCSATKRSPVAKCGM
+>NM_005046|728282_2_706_836
+MVTQGDRWCAEVPCKVWCPGELSLAANPMTQESTLKCASSPSG
+>DECOY_NM_005046|728282_2_706_836
+GSPSSACKLTSEQTMPNAALSLEGPCWVKCPVEACWRDGQTVM
+>NM_003019|728335_2_194_429
+MDGMGERALGARRGTQVCQELQGKQGCLDKLAQLGPKGTMALLENLDQRETLGQVDLQDLPVCLVQLEEKVPWGSRGT
+>DECOY_NM_003019|728335_2_194_429
+TGRSGWPVKEELQVLCVPLDQLDVQGLTERQDLNELLAMTGKPGLQALKDLCGQKGQLEQCVQTGRRAGLAREGMGDM
+>NM_003019|728336_2_203_429
+MGERALGARRGTQVCQELQGKQGCLDKLAQLGPKGTMALLENLDQRETLGQVDLQDLPVCLVQLEEKVPWGSRGT
+>DECOY_NM_003019|728336_2_203_429
+TGRSGWPVKEELQVLCVPLDQLDVQGLTERQDLNELLAMTGKPGLQALKDLCGQKGQLEQCVQTGRRAGLAREGM
+>NM_003019|728337_2_311_429
+MALLENLDQRETLGQVDLQDLPVCLVQLEEKVPWGSRGT
+>DECOY_NM_003019|728337_2_311_429
+TGRSGWPVKEELQVLCVPLDQLDVQGLTERQDLNELLAM
+>NM_002016|728570_2_1000_1370
+MDLRGSSQEMAPDTPGPMMKTEPVMGTLQTAPDNQALVTQRLPLVDRLHHPMNRQDQVQEKDMDPATSSQQTAPDTQPLGAGKLHLQSAIVDTGGLAVVRPVTVRDIQKTQTHNQCQATERLG
+>DECOY_NM_002016|728570_2_1000_1370
+GLRETAQCQNHTQTKQIDRVTVPRVVALGGTDVIASQLHLKGAGLPQTDPATQQSSTAPDMDKEQVQDQRNMPHHLRDVLPLRQTVLAQNDPATQLTGMVPETKMMPGPTDPAMEQSSGRLDM
+>NM_002016|728571_2_1027_1370
+MAPDTPGPMMKTEPVMGTLQTAPDNQALVTQRLPLVDRLHHPMNRQDQVQEKDMDPATSSQQTAPDTQPLGAGKLHLQSAIVDTGGLAVVRPVTVRDIQKTQTHNQCQATERLG
+>DECOY_NM_002016|728571_2_1027_1370
+GLRETAQCQNHTQTKQIDRVTVPRVVALGGTDVIASQLHLKGAGLPQTDPATQQSSTAPDMDKEQVQDQRNMPHHLRDVLPLRQTVLAQNDPATQLTGMVPETKMMPGPTDPAM
+>NM_002016|728574_2_1072_1370
+MGTLQTAPDNQALVTQRLPLVDRLHHPMNRQDQVQEKDMDPATSSQQTAPDTQPLGAGKLHLQSAIVDTGGLAVVRPVTVRDIQKTQTHNQCQATERLG
+>DECOY_NM_002016|728574_2_1072_1370
+GLRETAQCQNHTQTKQIDRVTVPRVVALGGTDVIASQLHLKGAGLPQTDPATQQSSTAPDMDKEQVQDQRNMPHHLRDVLPLRQTVLAQNDPATQLTGM
+>NM_002016|728576_2_1186_1370
+MDPATSSQQTAPDTQPLGAGKLHLQSAIVDTGGLAVVRPVTVRDIQKTQTHNQCQATERLG
+>DECOY_NM_002016|728576_2_1186_1370
+GLRETAQCQNHTQTKQIDRVTVPRVVALGGTDVIASQLHLKGAGLPQTDPATQQSSTAPDM
+>NM_002016|728583_2_1972_2426
+MDLLRSSQEMAPDTPGPITKTELVMGTLQTAPENQALVTHRIPLVDRLRHPMNRQDQVQEKDMDPATSSSQQTAPDTQALGTDKLHLQSETVDTEGPVVVRPLTVRDIQKTQTHSQCQAMDRLVTISRATKSPHVTGQGKGLDVQGLSSTR
+>DECOY_NM_002016|728583_2_1972_2426
+RTSSLGQVDLGKGQGTVHPSKTARSITVLRDMAQCQSHTQTKQIDRVTLPRVVVPGETDVTESQLHLKDTGLAQTDPATQQSSSTAPDMDKEQVQDQRNMPHRLRDVLPIRHTVLAQNEPATQLTGMVLETKTIPGPTDPAMEQSSRLLDM
+>NM_002016|728584_2_1999_2426
+MAPDTPGPITKTELVMGTLQTAPENQALVTHRIPLVDRLRHPMNRQDQVQEKDMDPATSSSQQTAPDTQALGTDKLHLQSETVDTEGPVVVRPLTVRDIQKTQTHSQCQAMDRLVTISRATKSPHVTGQGKGLDVQGLSSTR
+>DECOY_NM_002016|728584_2_1999_2426
+RTSSLGQVDLGKGQGTVHPSKTARSITVLRDMAQCQSHTQTKQIDRVTLPRVVVPGETDVTESQLHLKDTGLAQTDPATQQSSSTAPDMDKEQVQDQRNMPHRLRDVLPIRHTVLAQNEPATQLTGMVLETKTIPGPTDPAM
+>NM_002016|728585_2_2044_2426
+MGTLQTAPENQALVTHRIPLVDRLRHPMNRQDQVQEKDMDPATSSSQQTAPDTQALGTDKLHLQSETVDTEGPVVVRPLTVRDIQKTQTHSQCQAMDRLVTISRATKSPHVTGQGKGLDVQGLSSTR
+>DECOY_NM_002016|728585_2_2044_2426
+RTSSLGQVDLGKGQGTVHPSKTARSITVLRDMAQCQSHTQTKQIDRVTLPRVVVPGETDVTESQLHLKDTGLAQTDPATQQSSSTAPDMDKEQVQDQRNMPHRLRDVLPIRHTVLAQNEPATQLTGM
+>NM_002016|728587_2_2158_2426
+MDPATSSSQQTAPDTQALGTDKLHLQSETVDTEGPVVVRPLTVRDIQKTQTHSQCQAMDRLVTISRATKSPHVTGQGKGLDVQGLSSTR
+>DECOY_NM_002016|728587_2_2158_2426
+RTSSLGQVDLGKGQGTVHPSKTARSITVLRDMAQCQSHTQTKQIDRVTLPRVVVPGETDVTESQLHLKDTGLAQTDPATQQSSSTAPDM
+>NM_002016|728588_2_2329_2426
+MDRLVTISRATKSPHVTGQGKGLDVQGLSSTR
+>DECOY_NM_002016|728588_2_2329_2426
+RTSSLGQVDLGKGQGTVHPSKTARSITVLRDM
+>NM_002016|728590_2_2542_2618
+MVRTPFVDTRGQAEEEGRGPTTSNR
+>DECOY_NM_002016|728590_2_2542_2618
+RNSTTPGRGEEEAQGRTDVFPTRVM
+>NM_002016|728595_2_2974_3398
+MAPDTPGPITKTEPVTGTLQTAPDNQELLTQRLPLVDRLRHPMNRQDQVQEKDTDPATSSQQTAPDTQAFRADKLHLQSETVDTGGPVVVRPVIVRDIQRSQTHSQCQAMDRMGPISRATKSPHVTGQGEGLDVQGLSSTR
+>DECOY_NM_002016|728595_2_2974_3398
+RTSSLGQVDLGEGQGTVHPSKTARSIPGMRDMAQCQSHTQSRQIDRVIVPRVVVPGGTDVTESQLHLKDARFAQTDPATQQSSTAPDTDKEQVQDQRNMPHRLRDVLPLRQTLLEQNDPATQLTGTVPETKTIPGPTDPAM
+>NM_002016|728597_2_3301_3398
+MDRMGPISRATKSPHVTGQGEGLDVQGLSSTR
+>DECOY_NM_002016|728597_2_3301_3398
+RTSSLGQVDLGEGQGTVHPSKTARSIPGMRDM
+>NM_002016|728598_2_3310_3398
+MGPISRATKSPHVTGQGEGLDVQGLSSTR
+>DECOY_NM_002016|728598_2_3310_3398
+RTSSLGQVDLGEGQGTVHPSKTARSIPGM
+>NM_002016|728604_2_3919_4370
+MDLLGSSQEMAPDTLGSIKKTEPVTGTLQTAPDNQALITQSLPLMDRLCHPMNRQDQVQEKDMDPATSSQQTAPDTQALGTDKLHLQSETVDTEGPVVVRSLTVRDIQKTQTHSQCQPTDKLGPISRATKSPHVASQGKALDVQGLSSTR
+>DECOY_NM_002016|728604_2_3919_4370
+RTSSLGQVDLAKGQSAVHPSKTARSIPGLKDTPQCQSHTQTKQIDRVTLSRVVVPGETDVTESQLHLKDTGLAQTDPATQQSSTAPDMDKEQVQDQRNMPHCLRDMLPLSQTILAQNDPATQLTGTVPETKKISGLTDPAMEQSSGLLDM
+>NM_002016|728605_2_3946_4370
+MAPDTLGSIKKTEPVTGTLQTAPDNQALITQSLPLMDRLCHPMNRQDQVQEKDMDPATSSQQTAPDTQALGTDKLHLQSETVDTEGPVVVRSLTVRDIQKTQTHSQCQPTDKLGPISRATKSPHVASQGKALDVQGLSSTR
+>DECOY_NM_002016|728605_2_3946_4370
+RTSSLGQVDLAKGQSAVHPSKTARSIPGLKDTPQCQSHTQTKQIDRVTLSRVVVPGETDVTESQLHLKDTGLAQTDPATQQSSTAPDMDKEQVQDQRNMPHCLRDMLPLSQTILAQNDPATQLTGTVPETKKISGLTDPAM
+>NM_002016|728608_2_4105_4370
+MDPATSSQQTAPDTQALGTDKLHLQSETVDTEGPVVVRSLTVRDIQKTQTHSQCQPTDKLGPISRATKSPHVASQGKALDVQGLSSTR
+>DECOY_NM_002016|728608_2_4105_4370
+RTSSLGQVDLAKGQSAVHPSKTARSIPGLKDTPQCQSHTQTKQIDRVTLSRVVVPGETDVTESQLHLKDTGLAQTDPATQQSSTAPDM
+>NM_002016|728615_2_4891_5150
+MDLLGSSQDMAPGTPGPIKKIEPVMGTLQRAPDNQALVMQRLPLVDRLHHPRNRQGQVQEKDMDPATSSQQTAPQTQALGADKIHL
+>DECOY_NM_002016|728615_2_4891_5150
+LHIKDAGLAQTQPATQQSSTAPDMDKEQVQGQRNRPHHLRDVLPLRQMVLAQNDPARQLTGMVPEIKKIPGPTGPAMDQSSGLLDM
+>NM_002016|728616_2_4918_5150
+MAPGTPGPIKKIEPVMGTLQRAPDNQALVMQRLPLVDRLHHPRNRQGQVQEKDMDPATSSQQTAPQTQALGADKIHL
+>DECOY_NM_002016|728616_2_4918_5150
+LHIKDAGLAQTQPATQQSSTAPDMDKEQVQGQRNRPHHLRDVLPLRQMVLAQNDPARQLTGMVPEIKKIPGPTGPAM
+>NM_002016|728617_2_4963_5150
+MGTLQRAPDNQALVMQRLPLVDRLHHPRNRQGQVQEKDMDPATSSQQTAPQTQALGADKIHL
+>DECOY_NM_002016|728617_2_4963_5150
+LHIKDAGLAQTQPATQQSSTAPDMDKEQVQGQRNRPHHLRDVLPLRQMVLAQNDPARQLTGM
+>NM_002016|728619_2_5077_5150
+MDPATSSQQTAPQTQALGADKIHL
+>DECOY_NM_002016|728619_2_5077_5150
+LHIKDAGLAQTQPATQQSSTAPDM
+>NM_002016|728625_2_5890_6317
+MAPDTLGPITKTEPVTGTLQTAPDNQALVTQSLPLVDRLRHPMNRQDQVQEKDMDPTTSSSQQTAPDTQALGMDKLHLQSETVDTEGTVVVRPVTVRDIQKTQTHSQCQHREKLGPISRATKSPHVASQGKALDVQGLSSTR
+>DECOY_NM_002016|728625_2_5890_6317
+RTSSLGQVDLAKGQSAVHPSKTARSIPGLKERHQCQSHTQTKQIDRVTVPRVVVTGETDVTESQLHLKDMGLAQTDPATQQSSSTTPDMDKEQVQDQRNMPHRLRDVLPLSQTVLAQNDPATQLTGTVPETKTIPGLTDPAM
+>NM_002016|728627_2_6049_6317
+MDPTTSSSQQTAPDTQALGMDKLHLQSETVDTEGTVVVRPVTVRDIQKTQTHSQCQHREKLGPISRATKSPHVASQGKALDVQGLSSTR
+>DECOY_NM_002016|728627_2_6049_6317
+RTSSLGQVDLAKGQSAVHPSKTARSIPGLKERHQCQSHTQTKQIDRVTVPRVVVTGETDVTESQLHLKDMGLAQTDPATQQSSSTTPDM
+>NM_002016|728628_2_6106_6317
+MDKLHLQSETVDTEGTVVVRPVTVRDIQKTQTHSQCQHREKLGPISRATKSPHVASQGKALDVQGLSSTR
+>DECOY_NM_002016|728628_2_6106_6317
+RTSSLGQVDLAKGQSAVHPSKTARSIPGLKERHQCQSHTQTKQIDRVTVPRVVVTGETDVTESQLHLKDM
+>NM_002016|728634_2_6637_7289
+MALGTQGRIIMKLPLGPTALDTHWWARDNHQGPGQAGPGDPVLARTVTVRDTQKILRGGLGLRPETIMDLLRSSQEMAPDTPGPITKTEPVMGTLQRAPDNQALIMQRIPLVDRLHHPMNRQDQVQERDTDPTTSSQQTAPDTQALGTDKLHLQSETVDTEGPVVVRPVTVRDIQKTQTHSQCQPTDRLGPISRATKSPHVAGQQEGLDVQGLSSTR
+>DECOY_NM_002016|728634_2_6637_7289
+RTSSLGQVDLGEQQGAVHPSKTARSIPGLRDTPQCQSHTQTKQIDRVTVPRVVVPGETDVTESQLHLKDTGLAQTDPATQQSSTTPDTDREQVQDQRNMPHHLRDVLPIRQMILAQNDPARQLTGMVPETKTIPGPTDPAMEQSSRLLDMITEPRLGLGGRLIKQTDRVTVTRALVPDGPGAQGPGQHNDRAWWHTDLATPGLPLKMIIRGQTGLAM
+>NM_002016|728636_2_6838_7289
+MDLLRSSQEMAPDTPGPITKTEPVMGTLQRAPDNQALIMQRIPLVDRLHHPMNRQDQVQERDTDPTTSSQQTAPDTQALGTDKLHLQSETVDTEGPVVVRPVTVRDIQKTQTHSQCQPTDRLGPISRATKSPHVAGQQEGLDVQGLSSTR
+>DECOY_NM_002016|728636_2_6838_7289
+RTSSLGQVDLGEQQGAVHPSKTARSIPGLRDTPQCQSHTQTKQIDRVTVPRVVVPGETDVTESQLHLKDTGLAQTDPATQQSSTTPDTDREQVQDQRNMPHHLRDVLPIRQMILAQNDPARQLTGMVPETKTIPGPTDPAMEQSSRLLDM
+>NM_002016|728637_2_6865_7289
+MAPDTPGPITKTEPVMGTLQRAPDNQALIMQRIPLVDRLHHPMNRQDQVQERDTDPTTSSQQTAPDTQALGTDKLHLQSETVDTEGPVVVRPVTVRDIQKTQTHSQCQPTDRLGPISRATKSPHVAGQQEGLDVQGLSSTR
+>DECOY_NM_002016|728637_2_6865_7289
+RTSSLGQVDLGEQQGAVHPSKTARSIPGLRDTPQCQSHTQTKQIDRVTVPRVVVPGETDVTESQLHLKDTGLAQTDPATQQSSTTPDTDREQVQDQRNMPHHLRDVLPIRQMILAQNDPARQLTGMVPETKTIPGPTDPAM
+>NM_002016|728638_2_6910_7289
+MGTLQRAPDNQALIMQRIPLVDRLHHPMNRQDQVQERDTDPTTSSQQTAPDTQALGTDKLHLQSETVDTEGPVVVRPVTVRDIQKTQTHSQCQPTDRLGPISRATKSPHVAGQQEGLDVQGLSSTR
+>DECOY_NM_002016|728638_2_6910_7289
+RTSSLGQVDLGEQQGAVHPSKTARSIPGLRDTPQCQSHTQTKQIDRVTVPRVVVPGETDVTESQLHLKDTGLAQTDPATQQSSTTPDTDREQVQDQRNMPHHLRDVLPIRQMILAQNDPARQLTGM
+>NM_002016|728647_2_7837_8261
+MAPDTPGPIKKTELVMGTLQTAPDNQALVTHRLPLVDRLHHPMNRQDQVQEKDMDPTTSSQQTAPDTQALGTDKLHLQSETVDTEGTVVVRPVTMRDIQKTQTHSQCQPTDRLGPISRATKSPHVAGQGKRLDIQDLSSTR
+>DECOY_NM_002016|728647_2_7837_8261
+RTSSLDQIDLRKGQGAVHPSKTARSIPGLRDTPQCQSHTQTKQIDRMTVPRVVVTGETDVTESQLHLKDTGLAQTDPATQQSSTTPDMDKEQVQDQRNMPHHLRDVLPLRHTVLAQNDPATQLTGMVLETKKIPGPTDPAM
+>NM_002016|728648_2_7882_8261
+MGTLQTAPDNQALVTHRLPLVDRLHHPMNRQDQVQEKDMDPTTSSQQTAPDTQALGTDKLHLQSETVDTEGTVVVRPVTMRDIQKTQTHSQCQPTDRLGPISRATKSPHVAGQGKRLDIQDLSSTR
+>DECOY_NM_002016|728648_2_7882_8261
+RTSSLDQIDLRKGQGAVHPSKTARSIPGLRDTPQCQSHTQTKQIDRMTVPRVVVTGETDVTESQLHLKDTGLAQTDPATQQSSTTPDMDKEQVQDQRNMPHHLRDVLPLRHTVLAQNDPATQLTGM
+>NM_002016|728650_2_7996_8261
+MDPTTSSQQTAPDTQALGTDKLHLQSETVDTEGTVVVRPVTMRDIQKTQTHSQCQPTDRLGPISRATKSPHVAGQGKRLDIQDLSSTR
+>DECOY_NM_002016|728650_2_7996_8261
+RTSSLDQIDLRKGQGAVHPSKTARSIPGLRDTPQCQSHTQTKQIDRMTVPRVVVTGETDVTESQLHLKDTGLAQTDPATQQSSTTPDM
+>NM_002016|728672_2_10108_10205
+MDRLGPISRATKSPHVTGQGEGLDVQGLSSTR
+>DECOY_NM_002016|728672_2_10108_10205
+RTSSLGQVDLGEGQGTVHPSKTARSIPGLRDM
+>NM_002016|728677_2_10525_11177
+MAPGTHGRITMKLPLRRTALDTHSPARDNQRGPGQAGTRDPVLARTVTVRDTQKTLRGGLGLLPETIVDLLRSSQEMAPDTPRPITKTEPVTGTLQRAPDNQALIMQRIPLVDRLHHPMNRQDQVQERDMDPTTSSQQTAPDTQALGTDKLHLQSETVDTEGPVVVRPVTVRDIQKTQTHSQCQPTDRLGPISRATKSPHVAGQQEGLDVQGLSSTR
+>DECOY_NM_002016|728677_2_10525_11177
+RTSSLGQVDLGEQQGAVHPSKTARSIPGLRDTPQCQSHTQTKQIDRVTVPRVVVPGETDVTESQLHLKDTGLAQTDPATQQSSTTPDMDREQVQDQRNMPHHLRDVLPIRQMILAQNDPARQLTGTVPETKTIPRPTDPAMEQSSRLLDVITEPLLGLGGRLTKQTDRVTVTRALVPDRTGAQGPGRQNDRAPSHTDLATRRLPLKMTIRGHTGPAM
+>NM_002016|728679_2_10753_11177
+MAPDTPRPITKTEPVTGTLQRAPDNQALIMQRIPLVDRLHHPMNRQDQVQERDMDPTTSSQQTAPDTQALGTDKLHLQSETVDTEGPVVVRPVTVRDIQKTQTHSQCQPTDRLGPISRATKSPHVAGQQEGLDVQGLSSTR
+>DECOY_NM_002016|728679_2_10753_11177
+RTSSLGQVDLGEQQGAVHPSKTARSIPGLRDTPQCQSHTQTKQIDRVTVPRVVVPGETDVTESQLHLKDTGLAQTDPATQQSSTTPDMDREQVQDQRNMPHHLRDVLPIRQMILAQNDPARQLTGTVPETKTIPRPTDPAM
+>NM_002016|728682_2_10912_11177
+MDPTTSSQQTAPDTQALGTDKLHLQSETVDTEGPVVVRPVTVRDIQKTQTHSQCQPTDRLGPISRATKSPHVAGQQEGLDVQGLSSTR
+>DECOY_NM_002016|728682_2_10912_11177
+RTSSLGQVDLGEQQGAVHPSKTARSIPGLRDTPQCQSHTQTKQIDRVTVPRVVVPGETDVTESQLHLKDTGLAQTDPATQQSSTTPDM
+>NM_002016|728689_2_11698_11951
+MDLLGSSQEMAPDTPDPLTAIQPVMYSLHLYSQTLVPLRNMVTLVVFHKILRITQEYSHVAVLTVLVLIIINLRALKGKKVNQV
+>DECOY_NM_002016|728689_2_11698_11951
+VQNVKKGKLARLNIIILVLVTLVAVHSYEQTIRLIKHFVVLTVMNRLPVLTQSYLHLSYMVPQIATLPDPTDPAMEQSSGLLDM
+>NM_002016|728690_2_11725_11951
+MAPDTPDPLTAIQPVMYSLHLYSQTLVPLRNMVTLVVFHKILRITQEYSHVAVLTVLVLIIINLRALKGKKVNQV
+>DECOY_NM_002016|728690_2_11725_11951
+VQNVKKGKLARLNIIILVLVTLVAVHSYEQTIRLIKHFVVLTVMNRLPVLTQSYLHLSYMVPQIATLPDPTDPAM
+>NM_002016|728692_2_11818_11951
+MVTLVVFHKILRITQEYSHVAVLTVLVLIIINLRALKGKKVNQV
+>DECOY_NM_002016|728692_2_11818_11951
+VQNVKKGKLARLNIIILVLVTLVAVHSYEQTIRLIKHFVVLTVM
+>NM_002847|728800_2_284_432
+MECLEGARRFRQWTFTATRCRPWPCSACAWRCRSFPAQVSRGRMTILSM
+>DECOY_NM_002847|728800_2_284_432
+MSLITMRGRSVQAPFSRCRWACASCPWPRCRTATFTWQRFRRAGELCEM
+>NM_002542|728818_2_878_966
+MASPACRPWLGQRWRLISGSWAWAIVPVT
+>DECOY_NM_002542|728818_2_878_966
+TVPVIAWAWSGSILRWRQGLWPRCAPSAM
+>NM_001428|728854_2_749_831
+MGKMPPMWGMKAGLLPTSWRIKKAWSC
+>DECOY_NM_001428|728854_2_749_831
+CSWAKKIRWSTPLLGAKMGWMPPMKGM
+>NM_002913|728902_2_2049_2155
+MALVLKQRCCQALLVLAKPPQLPWCVRSWDTATWN
+>DECOY_NM_002913|728902_2_2049_2155
+NWTATDWSRVCWPLQPPKALVLLAQCCRQKLVLAM
+>NM_001570|728942_2_1754_1890
+MGKEGCGSSWEGRLTPPLRPVLAWSLPRMLQKLRGKLRSMRPKGN
+>DECOY_NM_001570|728942_2_1754_1890
+NGKPRMSRLKGRLKQLMRPLSWALVPRLPPTLRGEWSSGCGEKGM
+>NM_001046|729037_2_933_1012
+MALQMGKKVLQPEMLWSRILQKVKES
+>DECOY_NM_001046|729037_2_933_1012
+SEKVKQLIRSWLMEPQLVKKGMQLAM
+>NM_001046|729043_3_1090_1193
+MDCGSSWNRSISPCNNDGHCCDNYHRIVYFSNSN
+>DECOY_NM_001046|729043_3_1090_1193
+NSNSFYVIRHYNDCCHGDNNCPSISRNWSSGCDM
+>NM_001046|729044_3_2272_2354
+MASCIQILQHVDITSWSNSLLHSNVRH
+>DECOY_NM_001046|729044_3_2272_2354
+HRVNSHLLSNSWSTIDVHQLIQICSAM
+>NM_002763|729262_2_582_718
+MVARSPVSKPAVSLVQAPKYIRRIYAATLQETAPQSVFPLLAGLL
+>DECOY_NM_002763|729262_2_582_718
+LLGALLPFVSQPATEQLTAAYIRRIYKPAQVLSVAPKSVPSRAVM
+>NM_002763|729266_2_1083_1177
+MVTCLKTACARRSWMPGPRTLSEGQIMRCAS
+>DECOY_NM_002763|729266_2_1083_1177
+SACRMIQGESLTRPGPMWSRRACATKLCTVM
+>NM_000055|729357_2_1673_1746
+MGIQMRLRTIAQAGLSSKALNKNI
+>DECOY_NM_000055|729357_2_1673_1746
+INKNLAKSSLGAQAITRLRMQIGM
+>NM_002520|729373_2_783_886
+MEKTQNHHQHQDQKDKNPSRNRKKLLKHQKDLVL
+>DECOY_NM_002520|729373_2_783_886
+LVLDKQHKLLKKRNRSPNKDKQDQHQHHNQTKEM
+>NM_182926|729483_2_816_970
+MEAMTRIKRWKLSWYHQKGKKHCPSTKRLNKKVDQGRRKLHQRNKRQKMSS
+>DECOY_NM_182926|729483_2_816_970
+SSMKQRKNRQHLKRRGQDVKKNLRKTSPCHKKGKQHYWSLKWRKIRTMAEM
+>NM_182926|729490_2_3573_3655
+MVNGCMDLKKRQKNVWLELQGQRRLRF
+>DECOY_NM_182926|729490_2_3573_3655
+FRLRRQGQLELWVNKQRKKLDMCGNVM
+>NM_003470|729552_2_347_441
+MDTTPRRRTWRMTPVGAPRQPFSSLWSASAD
+>DECOY_NM_003470|729552_2_347_441
+DASASWLSSFPQRPAGVPTMRWTRRRPTTDM
+>NM_003470|729560_2_1619_1746
+MANGVNLMTTWCQGVLKRKQLSTIMGVTMTTCLFDTALMLTC
+>DECOY_NM_003470|729560_2_1619_1746
+CTLMLATDFLCTTMTVGMITSLQKRKLVGQCWTTMLNVGNAM
+>NM_003470|729567_3_486_568
+MEDYGDATLLSRQTTPKKRRILSPVQC
+>DECOY_NM_003470|729567_3_486_568
+CQVPSLIRRKKPTTQRSLLTADGYDEM
+>NM_005460|729589_2_576_718
+MEWAAHQVRALSPAHRWVNWSTTTSTWMRFWMCLILNPVSSLPLLPR
+>DECOY_NM_005460|729589_2_576_718
+RPLLPLSSVPNLILCMWFRMWTSTTTSWNVWRHAPSLARVQHAAWEM
+>NM_005460|729592_2_1605_1774
+MATLDAYRPWLNMEQMSPCRTTLGKSPPRAPSGRGTPCAPGTWWWWRPACRWPLKW
+>DECOY_NM_005460|729592_2_1605_1774
+WKLPWRCAPRWWWWTGPACPTGRGSPARPPSKGLTTRCPSMQEMNLWPRYADLTAM
+>NM_005460|729593_2_1641_1774
+MEQMSPCRTTLGKSPPRAPSGRGTPCAPGTWWWWRPACRWPLKW
+>DECOY_NM_005460|729593_2_1641_1774
+WKLPWRCAPRWWWWTGPACPTGRGSPARPPSKGLTTRCPSMQEM
+>NM_003040|729628_2_452_987
+MGRKTLSTTASPPTTSITHCPPTCLRMHAAARHPRAQDGSLEGAREPPRLEKPRPLRRGRKMRMRPARLRGPGLSLSRPLSPHPPRCSSFSKRMTVLTGRQRGPVHLPLHHCPTRRRLLGPPKGPRLEPRWRRRRRRRWRWPVALQGVTTGVPRGAPCPKPSLGTAATTFRRGGASGA
+>DECOY_NM_003040|729628_2_452_987
+AGSAGGRRFTTAATGLSPKPCPAGRPVGTTVGQLAVPWRWRRRRRRRWRPELRPGKPPGLLRRRTPCHHLPLHVPGRQRGTLVTMRKSFSSCRPPHPSLPRSLSLGPGRLRAPRMRMKRGRRLPRPKELRPPERAGELSGDQARPHRAAAHMRLCTPPCHTISTTPPSATTSLTKRGM
+>NM_003040|729637_2_1619_1767
+MVRGLRVTPTSPSLSWEVFLRPGWRWSESVSCRLQHHQLASPAPSPSTS
+>DECOY_NM_003040|729637_2_1619_1767
+STSPSPAPSALQHHQLRCSVSESWRWGPRLFVEWSLSPSTPTVRLGRVM
+>NM_003040|729646_3_2865_3118
+MGRGKTHAGAGQQELGWAVWAGEAPGPAQHGPAVAGAHGRHLLHRLLPAQIQEQPVLSWPDPAGDWGLWGAHRHPHHGACGLQY
+>DECOY_NM_003040|729646_3_2865_3118
+YQLGCAGHHPHRHAGWLGWDGAPDPWSLVPQEQIQAPLLRHLLHRGHAGAVAPGHQAPGPAEGAWVAWGLEQQGAGAHTKGRGM
+>NM_001873|729775_2_1496_1569
+MVITGDCLYLETINLQPQLQAIWQ
+>DECOY_NM_001873|729775_2_1496_1569
+QWIAQLQPQLNITELYLCDGTIVM
+>NM_000569|729853_2_722_849
+MAKAGSIFIIILTSTFQKPHSKTAAPTSAGGFLGVKMCLQRL
+>DECOY_NM_000569|729853_2_722_849
+LRQLCMKVGLFGGASTPAATKSHPKQFTSTLIIIFISGAKAM
+>NM_153273|729874_2_1009_1250
+MAMTRQLRRQPGRCGNASRAHQPRWASGSAACRCTSWTQGITSAGTSTMAVGSPLKASAMPSINICTMAWTCDVTCLSLS
+>DECOY_NM_153273|729874_2_1009_1250
+SLSLCTVDCTWAMTCINISPMASAKLPSGVAMTSTGASTIGQTWSTCRCAASGSAWRPQHARSANGCRGPQRRLQRTMAM
+>NM_153273|729876_2_1153_1250
+MAVGSPLKASAMPSINICTMAWTCDVTCLSLS
+>DECOY_NM_153273|729876_2_1153_1250
+SLSLCTVDCTWAMTCINISPMASAKLPSGVAM
+>NM_153273|729878_2_1333_1505
+MARSAGLSPAWTAGLRCVSSTWTWCSLRWRHPVAPAPAPATPAPRRVPPLSPRWMSA
+>DECOY_NM_153273|729878_2_1333_1505
+ASMWRPSLPPVRRPAPTAPAPAPAVPHRWRLSCWTWTSSVCRLGATWAPSLGASRAM
+>NM_181552|729931_2_1986_2062
+MGLKVTSPPGSEPRRLALMKPSSPS
+>DECOY_NM_181552|729931_2_1986_2062
+SPSSPKMLALRRPESGPPSTVKLGM
+>NM_181552|729936_3_2998_3209
+MEQADAERPRTLHPDAALAERRARPGCSTRPGPAARASPPLRDIAPGPAAAGLCELRKHSKDLRQLQPCP
+>DECOY_NM_181552|729936_3_2998_3209
+PCPQLQRLDKSHKRLECLGAAAPGPAIDRLPPSARAAPGPRTSCGPRARREALAADPHLTRPREADAQEM
+>NM_002010|730161_2_1342_1451
+MGPREKGLGLNGTRNSHIFYLDQWTPTKYLNCIRIF
+>DECOY_NM_002010|730161_2_1342_1451
+FIRICNLYKTPTWQDLYFIHSNRTGNLGLGKERPGM
+>NM_000921|730216_2_2366_2448
+MVQPVIQILTVDLHMDIWDMYSQKRIM
+>DECOY_NM_000921|730216_2_2366_2448
+MIRKQSYMDWIDMHLDVTLIQIVPQVM
+>NM_000921|730224_3_999_1228
+MGPQTRAKRITVFRNQYYCGHRRHGRGPRPHYRPPGRPFSSTKRVHILESREQLAQHTAHLPGHSQAQSESRHFAQ
+>DECOY_NM_000921|730224_3_999_1228
+QAFHRSESQAQSHGPLHATHQALQERSELIHVRKTSSFPRGPPRYHPRPGRGHRRHGCYYQNRFVTIRKARTQPGM
+>NM_005027|730325_2_816_898
+MGPLSQASHSPTCPSSSPHLMWLPLFW
+>DECOY_NM_005027|730325_2_816_898
+WFLPLWMLHPSSSPCTPSHSAQSLPGM
+>NM_005027|730326_2_1662_1939
+MGTMASQSHSPSAPLWTSSITTATSLWPSTMPSWTHGSSTLCPNTSRTRLSRRTAWRQWAPSLRSITSSTRTRAASMTSFMKSTHGPPRSCR
+>DECOY_NM_005027|730326_2_1662_1939
+RCSRPPGHTSKMFSTMSAARTRTSSTISRLSPAWQRWATRRSLRTRSTNPCLTSSGHTWSPMTSPWLSTATTISSTWLPASPSHSQSAMTGM
+>NM_005027|730327_2_1671_1939
+MASQSHSPSAPLWTSSITTATSLWPSTMPSWTHGSSTLCPNTSRTRLSRRTAWRQWAPSLRSITSSTRTRAASMTSFMKSTHGPPRSCR
+>DECOY_NM_005027|730327_2_1671_1939
+RCSRPPGHTSKMFSTMSAARTRTSSTISRLSPAWQRWATRRSLRTRSTNPCLTSSGHTWSPMTSPWLSTATTISSTWLPASPSHSQSAM
+>NM_000601|730511_2_808_1043
+MGRVIEVSWIIQNQARFVSAGIIRHHTGTNSCLKDIPTRALMIIIAAIPMASRGHGAILLTLTPAGSTVQLKHALTIL
+>DECOY_NM_000601|730511_2_808_1043
+LITLAHKLQVTSGAPTLTLLIAGHGRSAMPIAAIIIMLARTPIDKLCSNTGTHHRIIGASVFRAQNQIIWSVEIVRGM
+>NM_006098|730542_2_260_432
+MEFHSVLCGVTPTLLVMWLSPQMASLPSQAPGMEPCASGISQRAPPRGDLWAIPRMC
+>DECOY_NM_006098|730542_2_260_432
+CMRPIAWLDGRPPARQSIGSACPEMGPAQSPLSAMQPSLWMVLLTPTVGCLVSHFEM
+>NM_006098|730545_2_356_432
+MEPCASGISQRAPPRGDLWAIPRMC
+>DECOY_NM_006098|730545_2_356_432
+CMRPIAWLDGRPPARQSIGSACPEM
+>NM_006098|730549_3_759_835
+MGSQRRQTPLHARWWGHHQRPVLQP
+>DECOY_NM_006098|730549_3_759_835
+PQLVPRQHHGWWRAHLPTQRRQSGM
+>NM_000506|730593_2_944_1179
+MGWMRTQTGPSKGVPPPVSTRLSSIRGPLARERQTVGCDLCSRRSRWRTKPKESSWNPTSTGALWRARMQRSACHLGR
+>DECOY_NM_000506|730593_2_944_1179
+RGLHCASRQMRARWLAGTSTPNWSSEKPKTRWRSRRSCLDCGVTQRERALPGRISSLRTSVPPPVGKSPGTQTRMWGM
+>NM_001718|730700_2_1181_1272
+MESTSTPEPQAWWAETALTTSSPSWWLSSK
+>DECOY_NM_001718|730700_2_1181_1272
+KSSLWWSPSSTTLATEAWWAQPEPTSTSEM
+>NM_000625|730738_2_973_1295
+MATSGRPSPCSPSGVMASTTSGCGMLSSSAMLATRCQMAASEGTLPTWNSLSCASTWAGSPSTAASMWSPWSCRPMAVTLSSSKSHLTLCLRWPWNIPNTSGFGNWS
+>DECOY_NM_000625|730738_2_973_1295
+SWNGFGSTNPINWPWRLCLTLHSKSSSLTVAMPRCSWPSWMSAATSPSGAWTSACSLSNWTPLTGESAAMQCRTALMASSSLMGCGSTTSAMVGSPSCPSPRGSTAM
+>NM_000625|730739_2_1018_1295
+MASTTSGCGMLSSSAMLATRCQMAASEGTLPTWNSLSCASTWAGSPSTAASMWSPWSCRPMAVTLSSSKSHLTLCLRWPWNIPNTSGFGNWS
+>DECOY_NM_000625|730739_2_1018_1295
+SWNGFGSTNPINWPWRLCLTLHSKSSSLTVAMPRCSWPSWMSAATSPSGAWTSACSLSNWTPLTGESAAMQCRTALMASSSLMGCGSTTSAM
+>NM_003256|730761_2_674_750
+MEKSSSICATTSSPGRTCPWCRGKV
+>DECOY_NM_003256|730761_2_674_750
+VKGRCWPCTRGPSSTTACISSSKEM
+>NM_018487|730852_2_502_629
+MATLITTVPAASPARVTGTLQPPLRVQKKSEGYTYVPPSWTC
+>DECOY_NM_018487|730852_2_502_629
+CTWSPPVYTYGESKKQVRLPPQLTGTVRAPSAAPVTTILTAM
+>NM_031200|731011_2_206_393
+MALNPHLPWKTTLTSTSLTSTVRKTMSGSLRAISSHPCTGSCSSWVPWATVLLSLSTGTAQE
+>DECOY_NM_031200|731011_2_206_393
+EQATGTSLSLLVTAWPVWSSCSGTCPHSSIARLSGSMTKRVTSTLSTSTLTTKWPLHPNLAM
+>NM_002241|731029_2_541_740
+MASATSVRNVHWPLCFLLPSWCSPPSWKSSSQVPSWRRLPGPRSGLRPFVSASMQLWPPTMASPAS
+>DECOY_NM_002241|731029_2_541_740
+SAPSAMTPPWLQMSASVFPRLGSRPGPLRRWSPVQSSSKWSPPSCWSPLLFCLPWHVNRVSTASAM
+>NM_001963|731074_2_1152_1249
+MEVLSTLVNIQHSIICLQCPFLVTVSSIQHGK
+>DECOY_NM_001963|731074_2_1152_1249
+KGHQISSVTVLFPCQLCIISHQINVLTSLVEM
+>NM_001963|731077_2_1746_2002
+MGKHVAVVPHPIMVDVASSAFLLAQYPGNVIAFLGMTYNWMKKAVQLQDHNHFCCLPILKIFDTCILMEQTMELCSASRWEWFMP
+>DECOY_NM_001963|731077_2_1746_2002
+PMFWEWRSASCLEMTQEMLICTDFIKLIPLCCFHNHDQLQVAKKMWNYTMGLFAIVNGPYQALLFASSAVDVMIPHPVVAVHKGM
+>NM_001963|731078_2_1782_2002
+MVDVASSAFLLAQYPGNVIAFLGMTYNWMKKAVQLQDHNHFCCLPILKIFDTCILMEQTMELCSASRWEWFMP
+>DECOY_NM_001963|731078_2_1782_2002
+PMFWEWRSASCLEMTQEMLICTDFIKLIPLCCFHNHDQLQVAKKMWNYTMGLFAIVNGPYQALLFASSAVDVM
+>NM_001963|731084_2_3168_3298
+MGFTVLILMSANWGSTAVERMPAAQIQREAIPACVLDACLNQD
+>DECOY_NM_001963|731084_2_3168_3298
+DQNLCADLVCAPIAERQIQAAPMREVATSGWNASMLILVTFGM
+>NM_001963|731086_2_3393_3502
+MGTASMMVCACILKHWTSMHATVLLATSGSDVSTET
+>DECOY_NM_001963|731086_2_3393_3502
+TETSVDSGSTALLVTAHMSTWHKLICACVMMSATGM
+>NM_001963|731088_2_3411_3502
+MVCACILKHWTSMHATVLLATSGSDVSTET
+>DECOY_NM_001963|731088_2_3411_3502
+TETSVDSGSTALLVTAHMSTWHKLICACVM
+>NM_001963|731089_2_3777_3931
+MGVNQWLVRMARQQMGQCNQLHGGRSPSYVEWAQSKAAGFQYPVIRAPVPR
+>DECOY_NM_001963|731089_2_3777_3931
+RPVPARIVPYQFGAAKSQAWEVYSPSRGGHLQNCQGMQQRAMRVLWQNVGM
+>NM_001963|731090_2_3804_3931
+MARQQMGQCNQLHGGRSPSYVEWAQSKAAGFQYPVIRAPVPR
+>DECOY_NM_001963|731090_2_3804_3931
+RPVPARIVPYQFGAAKSQAWEVYSPSRGGHLQNCQGMQQRAM
+>NM_000226|731133_2_223_323
+MVGEALVSVGGEAVAVLATATAEDLGVVLVPVV
+>DECOY_NM_000226|731133_2_223_323
+VVPVLVVGLDEATATALVAVAEGGVSVLAEGVM
+>NM_002205|731173_3_3028_3209
+MDQGRRQLWRPTVDHHPSHPVWPPAPRSTHLHPLQAWILQTLPPIWHRHGKSSAQASSHL
+>DECOY_NM_002205|731173_3_3028_3209
+LHSSAQASSKGHRHWIPPLTQLIWAQLPHLHTSRPAPPWVPHSPHHDVTPRWLQRRGQDM
+>NM_018315|731314_2_508_701
+MVLRSALFLWERNHAKSQNIQVPLGLYHVQQHQQLLGTSEQPMAKGNNDAELHLSSHLQASRNG
+>DECOY_NM_018315|731314_2_508_701
+GNRSAQLHSSLHLEADNNGKAMPQESTGLLQQHQQVHYLGLPVQINQSKAHNREWLFLASRLVM
+>NM_000570|731329_2_704_831
+MAKTGSIFIIILTSTFQKPHSKIAAPTSAGGLLGVKMCLQRL
+>DECOY_NM_000570|731329_2_704_831
+LRQLCMKVGLLGGASTPAAIKSHPKQFTSTLIIIFISGTKAM
+>NM_005229|731388_2_274_380
+MATSSPGLHGMVVNSSWWMQRRWPGCGGYARTRPT
+>DECOY_NM_005229|731388_2_274_380
+TPRTRAYGGCGPWRRQMWWSSNVVMGHLGPSSTAM
+>NM_005229|731389_2_304_380
+MVVNSSWWMQRRWPGCGGYARTRPT
+>DECOY_NM_005229|731389_2_304_380
+TPRTRAYGGCGPWRRQMWWSSNVVM
+>NM_018356|731429_3_417_535
+MVSSHMGSADQRGQTPLFSRQRHFYHNNQGYKYRSLFPK
+>DECOY_NM_018356|731429_3_417_535
+KPFLSRYKYGQNNHYFHRQRSFLPTQGRQDASGMHSSVM
+>NM_018356|731432_3_1101_1180
+MGFKPWNGITSSPCTEFEKTDGSTRL
+>DECOY_NM_018356|731432_3_1101_1180
+LRTSGDTKEFETCPSSTIGNWPKFGM
+>NM_012206|731514_2_708_802
+MALSGPMEPTSPIGRTHAISYWGTFQEGMSL
+>DECOY_NM_012206|731514_2_708_802
+LSMGEQFTGWYSIAHTRGIPSTPEMPGSLAM
+>NM_000930|731619_3_373_566
+MAAPCAQKQPGGILLVQQWQGTVPLSACQKLQRAKVFQRGHLPAGPVLLRFRVPVPRRICWEVL
+>DECOY_NM_000930|731619_3_373_566
+LVEWCIRRPVPVRFRLLVPGAPLHGRQFVKARQLKQCASLPVTGQWQQVLLIGGPQKQACPAAM
+>NM_012238|731636_2_171_598
+MVPASSGARASPVGRPQSVRCRRRPGAARVRRRRRCGGRRRQRRRRQAGSKRPRRLRRLGKETMGRACRAHLGSHRWPTTCTTKTTTTRARRRKRRRRRRLGTEITFCSVMKLSLMVFIPVKVMRRIEPHMQALVTGLQGHG
+>DECOY_NM_012238|731636_2_171_598
+GHGQLGTVLAQMHPEIRRMVKVPIFVMLSLKMVSCFTIETGLRRRRRRKRRRARTTTTKTTCTTPWRHSGLHARCARGMTEKGLRRLRRPRKSGAQRRRRQRRRGGCRRRRRVRAAGPRRRCRVSQPRGVPSARAGSSAPVM
+>NM_012238|731637_2_360_598
+MGRACRAHLGSHRWPTTCTTKTTTTRARRRKRRRRRRLGTEITFCSVMKLSLMVFIPVKVMRRIEPHMQALVTGLQGHG
+>DECOY_NM_012238|731637_2_360_598
+GHGQLGTVLAQMHPEIRRMVKVPIFVMLSLKMVSCFTIETGLRRRRRRKRRRARTTTTKTTCTTPWRHSGLHARCARGM
+>NM_006917|731667_2_1267_1352
+MASFWPRVYMSTGAVPTVLGSAPSLTES
+>DECOY_NM_006917|731667_2_1267_1352
+SETLSPASGLVTPVAGTSMYVRPWFSAM
+>NM_000698|731860_2_439_560
+MDAQSWPEMTKFTFSSNTDVKNWKHGKNNIDGWSGTLASP
+>DECOY_NM_000698|731860_2_439_560
+PSALTGSWGDINNKGHKWNKVDTNSSFTFKTMEPWSQADM
+>NM_001830|731912_3_639_757
+MGGDAAHRPAGGHLGWGHRSRRGLDDGPEGGGLPVCLLV
+>DECOY_NM_001830|731912_3_639_757
+VLLCVPLGGGEPGDDLGRRSRHGWGLHGGAPRHAADGGM
+>NM_001830|731913_3_831_1174
+MVGAAGESVRGCQCLHSELLNVHPMGAAVCIFGCLPGACICTICLWLWHTRDKDHFERLYHQGLLGEVDPANQDSHAGAGSVLRSEPWEGRAASARGLLLWQLLQQPFLQVQQE
+>DECOY_NM_001830|731913_3_831_1174
+EQQVQLFPQQLLQWLLLGRASAARGEWPESRLVSGAGAHSDQNAPDVEGLLGQHYLREFHDKDRTHWLWLCITCICAGPLCGFICVAAGMPHVNLLESHLCQCGRVSEGAAGVM
+>NM_015991|731947_3_102_283
+MAGALCAGHIAGLYGDRGLVPSTRREERGGRKTWQTGAARPQGGARGAGGPWHPDRHPRP
+>DECOY_NM_015991|731947_3_102_283
+PRPHRDPHWPGGAGRAGGQPRAAGTQWTKRGGREERRTSPVLGRDGYLGAIHGACLAGAM
+>NM_000795|731996_2_1172_1308
+MVSTALPTAPPNQRRMGMPKTTPRLPRSLRSRPCPMAKPGPPSRP
+>DECOY_NM_000795|731996_2_1172_1308
+PRSPPGPKAMPCPRSRLSRPLRPTTKPMGMRRQNPPATPLATSVM
+>NM_000795|731997_2_1217_1308
+MGMPKTTPRLPRSLRSRPCPMAKPGPPSRP
+>DECOY_NM_000795|731997_2_1217_1308
+PRSPPGPKAMPCPRSRLSRPLRPTTKPMGM
+>NM_000233|732045_2_713_795
+MEPSVGPQGRKPWIFLPPNCRPCRAMA
+>DECOY_NM_000233|732045_2_713_795
+AMARCPRCNPPLFIWPKRGQPGVSPEM
+>NM_000233|732047_2_1067_1164
+MVSAYPRHPDVLLNQMLLIPVKILWAMTSLGS
+>DECOY_NM_000233|732047_2_1067_1164
+SGLSTMAWLIKVPILLMQNLLVDPHRPYASVM
+>NM_000233|732048_3_1461_1711
+MAHHHLCYSPGPKAAIKTCHSDYAWRMALFFSNCYVAPCRCQQLHEGQYLLPHGCGNHSLTSLYINHPDSQCGGLLHNLCLLH
+>DECOY_NM_000233|732048_3_1461_1711
+HLLCLNHLLGGCQSDPHNIYLSTLSHNGCGHPLLYQGEHLQQCRCPAVYCNSFFLAMRWAYDSHCTKIAAKPGPSYCLHHHAM
+>NM_000233|732049_3_1539_1711
+MALFFSNCYVAPCRCQQLHEGQYLLPHGCGNHSLTSLYINHPDSQCGGLLHNLCLLH
+>DECOY_NM_000233|732049_3_1539_1711
+HLLCLNHLLGGCQSDPHNIYLSTLSHNGCGHPLLYQGEHLQQCRCPAVYCNSFFLAM
+>NM_003268|732114_2_2934_3040
+MAGALKPSVMPRAGAYLTLTVLSSWWWLGPCPSTS
+>DECOY_NM_003268|732114_2_2934_3040
+STSPCPGLWWWSSLVTLTLYAGARPMVSPKLAGAM
+>NM_001742|732138_2_405_601
+MDGCAGMTHRLEYCPISSAQIIFRILIHQKRLQNTVMKKVFGLNILKTIEPGPTILCAMLSLLRN
+>DECOY_NM_001742|732138_2_405_601
+NRLLSLMACLITPGPEITKLINLGFVKKMVTNQLRKQHILIRFIIQASSIPCYELRHTMGACGDM
+>NM_000629|732502_3_200_273
+MGVVRSRRWKKSKISSKSRGRHHR
+>DECOY_NM_000629|732502_3_200_273
+RHHRGRSKSSIKSKKWRRSRVVGM
+>NM_000878|732966_2_282_367
+MGLCRTLPAKSMPGRTDGGGTKPVSCSP
+>DECOY_NM_000878|732966_2_282_367
+PSCSVPKTGGGDTRGPMSKAPLTRCLGM
+>NM_000878|732968_2_1017_1105
+MEETSRSGSLRPSPHRPSALAAWHLRSRH
+>DECOY_NM_000878|732968_2_1017_1105
+HRSRLHWAALASPRHPSPRLSGSRSTEEM
+>NM_001141970|733063_2_1609_1718
+METRAPCPHYRSPMKRTWNLANRSADLQGSSKTKDA
+>DECOY_NM_001141970|733063_2_1609_1718
+ADKTKSSGQLDASRNALNWTRKMPSRYHPCPARTEM
+>NM_001141970|733064_2_1945_2069
+MEQAWSLLLPSMEASLLTTGEILVPPAKNLGRRRSKQDQGH
+>DECOY_NM_001141970|733064_2_1945_2069
+HGQDQKSRRRGLNKAPPVLIEGTTLLSAEMSPLLLSWAQEM
+>NM_001141970|733068_2_2113_2219
+MGKRYVPCPAHLPPWLPWPQLLIPPRGWTLPAMAW
+>DECOY_NM_001141970|733068_2_2113_2219
+WAMAPLTWGRPPILLQPWPLWPPLHAPCPVYRKGM
+>NM_004586|733135_2_70_167
+MDSKLWMNLWERRRLTHKLKKSVSKKLQSHIM
+>DECOY_NM_004586|733135_2_70_167
+MIHSQLKKSVSKKLKHTLRRREWLNMWLKSDM
+>NM_002423|733234_3_355_503
+MDFQSGHLQDRIIYSRLTAYYSGSISVKGFKHVGQRDPPAFQESCMGNC
+>DECOY_NM_002423|733234_3_355_503
+CNGMCSEQFAPPDRQGVHKFGKVSISGSYYATLRSYIIRDQLHGSQFDM
+>NM_006708|733283_2_471_571
+MAIQTLEDSVILELLFLMYTVLVKGLKNWESNL
+>DECOY_NM_006708|733283_2_471_571
+LNSEWNKLGKVLVTYMLFLLELIVSDELTQIAM
+>NM_007078|733309_2_2031_2128
+MAAISPWRLATSLSKPWATLGTTPASFAQSAM
+>DECOY_NM_007078|733309_2_2031_2128
+MASQAFSAPTTGLTAWPKSLSTALRWPSIAAM
+>NM_001136|733319_3_173_303
+METEHRPDRSLEGPVSPGRRPLGQCGSCPSQRLPLPSGCRDPG
+>DECOY_NM_001136|733319_3_173_303
+GPDRCGSPLPLRQSPCSGCQGLPRRGPSVPGELSRDPRHETEM
+>NM_000067|733393_2_364_449
+MVMLSTWSLMTLRTKQCSREDPWMALTD
+>DECOY_NM_000067|733393_2_364_449
+DTLAMWPDERSCQKTRLTMLSWTSLMVM
+>NM_004560|733491_2_545_621
+MVHDCESRTWTRQTLATTSAWPPTG
+>DECOY_NM_004560|733491_2_545_621
+GTPPWASTTALTQRTWTRSECDHVM
+>NM_004560|733493_2_710_834
+MGSASLTGELPVHASLATGPFMWTRFRCRGRLKTESQRPSP
+>DECOY_NM_004560|733493_2_710_834
+PSPRQSETKLRGRCRFRTWMFPGTALSAHVPLEGTLSASGM
+>NM_000377|733702_2_1279_1388
+MDQPLPHSLLLWCLPGAWPLVGVGERFWIKSGREFS
+>DECOY_NM_000377|733702_2_1279_1388
+SFERGSKIWFREGVGVLPWAGPLCWLLLSHPLPQDM
+>NM_006892|733747_2_538_758
+MATGKMGMALTPQSCQSSSGKPGLVQKAQLSELEITTVSPAGRGTGLPHVPPEAGRAATMWTSPPWSSRLPGP
+>DECOY_NM_006892|733747_2_538_758
+PGPLRSSWPPSTWMTAARGAEPPVHPLGTGRGAPSVTTIELESLQAKQVLGPKGSSSQCSQPTLAMGMKGTAM
+>NM_006892|733748_2_553_758
+MGMALTPQSCQSSSGKPGLVQKAQLSELEITTVSPAGRGTGLPHVPPEAGRAATMWTSPPWSSRLPGP
+>DECOY_NM_006892|733748_2_553_758
+PGPLRSSWPPSTWMTAARGAEPPVHPLGTGRGAPSVTTIELESLQAKQVLGPKGSSSQCSQPTLAMGM
+>NM_006892|733749_2_559_758
+MALTPQSCQSSSGKPGLVQKAQLSELEITTVSPAGRGTGLPHVPPEAGRAATMWTSPPWSSRLPGP
+>DECOY_NM_006892|733749_2_559_758
+PGPLRSSWPPSTWMTAARGAEPPVHPLGTGRGAPSVTTIELESLQAKQVLGPKGSSSQCSQPTLAM
+>NM_006892|733750_2_1117_1307
+MASSPRSLQTNWWHWGCSASTLIWPPSISSSPIEKPCTMLWRKLGCELARPSPAALETHWRTS
+>DECOY_NM_006892|733750_2_1117_1307
+STRWHTELAAPSPRALECGLKRWLMTCPKEIPSSSISPPWILTSASCGWHWWNTQLSRPSSAM
+>NM_006892|733754_2_1618_2087
+MAVCLVAGKTPCPSTLSLRGGSVRHAGIASLSCFTCMMTMAISLTALCAARAESCCFAATRAAAGVSVWSAWRCWWAQAQRPRPSFRSPGAVTCVSRSAVMASCGAGRTGTCACRPSSPVTRGLNMKPPSCTLPFPQPEGGPFESCHCLMASRQAT
+>DECOY_NM_006892|733754_2_1618_2087
+TAQRSAMLCHCSEFPGGEPQPFPLTCSPPKMNLGRTVPSSPRCACTGTRGAGCSAMVASRSVCTVAGPSRFSPRPRQAQAWWCRWASWVSVGAAARTAAFCCSEARAACLATLSIAMTMMCTFCSLSAIGAHRVSGGRLSLTSPCPTKGAVLCVAM
+>NM_006892|733757_2_1735_2087
+MAISLTALCAARAESCCFAATRAAAGVSVWSAWRCWWAQAQRPRPSFRSPGAVTCVSRSAVMASCGAGRTGTCACRPSSPVTRGLNMKPPSCTLPFPQPEGGPFESCHCLMASRQAT
+>DECOY_NM_006892|733757_2_1735_2087
+TAQRSAMLCHCSEFPGGEPQPFPLTCSPPKMNLGRTVPSSPRCACTGTRGAGCSAMVASRSVCTVAGPSRFSPRPRQAQAWWCRWASWVSVGAAARTAAFCCSEARAACLATLSIAM
+>NM_006892|733758_2_1918_2087
+MASCGAGRTGTCACRPSSPVTRGLNMKPPSCTLPFPQPEGGPFESCHCLMASRQAT
+>DECOY_NM_006892|733758_2_1918_2087
+TAQRSAMLCHCSEFPGGEPQPFPLTCSPPKMNLGRTVPSSPRCACTGTRGAGCSAM
+>NM_006892|733762_3_791_1182
+MAVPSQLLPYHRPHRRHRGHTWDAPEQQYPLRPPSPGQPAGGHGVPAGGGRQWRWRQFRVSGWEGVWNRGPRVGKDQGLLLVARHGGVLEGHLQATGYVWHAVGPVVWRWQVLRGLCRQTGGTGAVQPAL
+>DECOY_NM_006892|733762_3_791_1182
+LAPQVAGTGGTQRCLGRLVQWRWVVPGVAHWVYGTAQLHGELVGGHRAVLLLGQDKGVRPGRNWVGEWGSVRFQRWRWQRGGGAPVGHGGAPQGPSPPRLPYQQEPADWTHGRHRRHPRHYPLLQSPVAM
+>NM_021640|734005_2_203_399
+MAPSTATRHWHAHCFASCRSTGMQRLCGPGIPKNSLPVTSWWTWGASTTLGDTDMTITRGLSQRP
+>DECOY_NM_021640|734005_2_203_399
+PRQSLGRTITMDTDGLTTSAGWTWWSTVPLSNKPIGPGCLRQMGTSRCSAFCHAHWHRTATSPAM
+>NM_006898|734336_2_270_403
+MATAKLRTLTATAPPTSPTHPLLLPAPWTLTIQVLPAPSRALPL
+>DECOY_NM_006898|734336_2_270_403
+LPLARSPAPLVQITLTWPAPLLLPHTPSTPPATATLTRLKATAM
+>NM_006898|734337_2_1377_1462
+MDLATLIPPTQISRPTTRLRDDCRRLPN
+>DECOY_NM_006898|734337_2_1377_1462
+NPLRRCDDRLRTTPRSIQTPPILTALDM
+>NM_016239|734482_2_1326_1666
+MGTRARRTLMATTWIPMRRTTRHTHPMTSHTTLPTMYPTLIPTESTTPSPMPKASMAVGTRPSTPPRCPIFTRRSRLRPLCTPGYHRPSRRPTTRMPTPWMTSPSWRNQRTRA
+>DECOY_NM_016239|734482_2_1326_1666
+ARTRQNRWSPSTMWPTPMRTTPRRSPRHYGPTCLPRLRSRRTFIPCRPPTSPRTGVAMSAKPMPSPTTSETPILTPYMTPLTTHSTMPHTHRTTRRMPIWTTAMLTRRARTGM
+>NM_016239|734500_2_5385_5725
+MAPTRSIPNPRCRCLSSPSSTMQARSPTRCTSSWTRTTTKCARMCWTCSYGAGHGWWHTSSPAMPHRLPLSAWARAAPSLGSTRRTLWPPSSSSHSWIWWKRWRGATPCSCVA
+>DECOY_NM_016239|734500_2_5385_5725
+AVCSCPTAGRWRKWWIWSHSSSSPPWLTRRTSGLSPAARAWASLPLRHPMAPSSTHWWGHGAGYSCTWCMRACKTTTRTWSSTCRTPSRAQMTSSPSSLCRCRPNPISRTPAM
+>NM_016239|734508_2_6861_7093
+MGGMASRLCVSTASCRPWAGPNSRARGLPAPYPRPSSSGQRPMRRPAWRWTWAASMVTSSPARCTPGVRGKRWLETF
+>DECOY_NM_016239|734508_2_6861_7093
+FTELWRKGRVGPTCRAPSSTVMSAAWTWRWAPRRMPRQGSSSPRPYPAPLGRARSNPGAWPRCSATSVCLRSAMGGM
+>NM_016239|734509_2_6870_7093
+MASRLCVSTASCRPWAGPNSRARGLPAPYPRPSSSGQRPMRRPAWRWTWAASMVTSSPARCTPGVRGKRWLETF
+>DECOY_NM_016239|734509_2_6870_7093
+FTELWRKGRVGPTCRAPSSTVMSAAWTWRWAPRRMPRQGSSSPRPYPAPLGRARSNPGAWPRCSATSVCLRSAM
+>NM_016239|734514_2_9336_9436
+MGRTPWRSHPTQCSSLPRSISETLRGDPRMASG
+>DECOY_NM_016239|734514_2_9336_9436
+GSAMRPDGRLTESISRPLSSCQTPHSRWPTRGM
+>NM_016239|734524_3_3568_3650
+MAPLGNTAPSRSPLGAHQGPRAPAQGG
+>DECOY_NM_016239|734524_3_3568_3650
+GGQAPARPGQHAGLPSRSPATNGLPAM
+>NM_000388|734544_2_1024_1139
+MGGRGLRNSERKLRKGISASTSVNSSPSTLMRKRSSMW
+>DECOY_NM_000388|734544_2_1024_1139
+WMSSRKRMLTSPSSNVSTSASIGKRLKRESNRLGRGGM
+>NM_000388|734545_2_1405_1493
+MVLPRSFGKKHLTATSKKVQKDLYLWTPF
+>DECOY_NM_000388|734545_2_1405_1493
+FPTWLYLDKQVKKSTATLHKKGFSRPLVM
+>NM_000388|734547_2_1870_2249
+MAPSCLRKSGITTSMPRREKDSSSTRRKSCGVGSPGRCPSPTAAETAWQGPGKGSLRGSPPAALSVWSVLMGSIVMRQMPVPVTSAQMTSGPMRTTPPALPRRSSFCRGRSPLGSHSPSLPCWAFS
+>DECOY_NM_000388|734547_2_1870_2249
+SFAWCPLSPSHSGLPSRGRCFSSRRPLAPPTTRMPGSTMQASTVPVPMQRMVISGMLVSWVSLAAPPSGRLSGKGPGQWATEAATPSPCRGPSGVGCSKRRTSSSDKERRPMSTTIGSKRLCSPAM
+>NM_002825|734563_3_509_585
+MAVECVCAHQWRLWAGHTGGHSDWS
+>DECOY_NM_002825|734563_3_509_585
+SWDSHGGTHGAWLRWQHACVCEVAM
+>NM_000125|734738_2_823_983
+MESGPVRAARPSSREVFKDITTICVQPPTSAPLIKTGGRAARPAGSANATKWE
+>DECOY_NM_000125|734738_2_823_983
+EWKTANASGAPRAARGGTKILPASTPPQVCITTIDKFVERSSPRAARVPGSEM
+>NM_001007272|734831_2_503_630
+MASRRTTTPSSTSVSTFCLLLDTSELPSVFPKAACWYTVPWG
+>DECOY_NM_001007272|734831_2_503_630
+GWPVTYWCAAKPFVSPLESTDLLLCFTSVSTSSPTTTRRSAM
+>NM_003938|734975_2_2945_3021
+MARQRRSSSRLSPATPSSLKIPMLK
+>DECOY_NM_003938|734975_2_2945_3021
+KLMPIKLSSPTAPSLRSSSRRQRAM
+>NM_003938|734977_2_3179_3366
+MASPCLSSCPQASPTKPSMCSPSRASSWRRSSRGPCPSLPRMTRVRPTRSWTSGCTSAAAPT
+>DECOY_NM_003938|734977_2_3179_3366
+TPAAASTCGSTWSRTPRVRTMRPLSPCPGRSSRRWSSARSPSCMSPKTPSAQPCSSLCPSAM
+>NM_004530|734996_2_843_979
+MAMDTPLTVRTDSWLMPSPQALVLGETPILMTMSYGPWEKAKWSV
+>DECOY_NM_004530|734996_2_843_979
+VSWKAKEWPGYSMTMLIPTEGLVLAQPSPMLWSDTRVTLPTDMAM
+>NM_004530|734997_2_849_979
+MDTPLTVRTDSWLMPSPQALVLGETPILMTMSYGPWEKAKWSV
+>DECOY_NM_004530|734997_2_849_979
+VSWKAKEWPGYSMTMLIPTEGLVLAQPSPMLWSDTRVTLPTDM
+>NM_004530|734999_2_984_1570
+MGTPMGSTASSPSCSMARSTTAALIPAAAMASSGAPPPTTLRRMASTASVPMKPCSPWAATLKDSPASFHSASRAHPMTAAPLRAARMATAGAAPLRTTTATRSMASALRPPCPLLVGTQKVPPVSSPSLSWATNMRAAPAPAAVTERCGVRPQPTTMMTASGASALTKGTACSSWQPTSLATPWGWSTPKTLGP
+>DECOY_NM_004530|734999_2_984_1570
+PGLTKPTSWGWPTALSTPQWSSCATGKTLASAGSATMMTTPQPRVGCRETVAAPAPAARMNTAWSLSPSSVPPVKQTGVLLPCPPRLASAMSRTATTTRLPAAGATAMRAARLPAATMPHARSASHFSAPSDKLTAAWPSCPKMPVSATSAMRRLTTPPPAGSSAMAAAPILAATTSRAMSCSPSSATSGMPTGM
+>NM_004530|735002_2_1071_1570
+MASSGAPPPTTLRRMASTASVPMKPCSPWAATLKDSPASFHSASRAHPMTAAPLRAARMATAGAAPLRTTTATRSMASALRPPCPLLVGTQKVPPVSSPSLSWATNMRAAPAPAAVTERCGVRPQPTTMMTASGASALTKGTACSSWQPTSLATPWGWSTPKTLGP
+>DECOY_NM_004530|735002_2_1071_1570
+PGLTKPTSWGWPTALSTPQWSSCATGKTLASAGSATMMTTPQPRVGCRETVAAPAPAARMNTAWSLSPSSVPPVKQTGVLLPCPPRLASAMSRTATTTRLPAAGATAMRAARLPAATMPHARSASHFSAPSDKLTAAWPSCPKMPVSATSAMRRLTTPPPAGSSAM
+>NM_004530|735003_2_1113_1570
+MASTASVPMKPCSPWAATLKDSPASFHSASRAHPMTAAPLRAARMATAGAAPLRTTTATRSMASALRPPCPLLVGTQKVPPVSSPSLSWATNMRAAPAPAAVTERCGVRPQPTTMMTASGASALTKGTACSSWQPTSLATPWGWSTPKTLGP
+>DECOY_NM_004530|735003_2_1113_1570
+PGLTKPTSWGWPTALSTPQWSSCATGKTLASAGSATMMTTPQPRVGCRETVAAPAPAARMNTAWSLSPSSVPPVKQTGVLLPCPPRLASAMSRTATTTRLPAAGATAMRAARLPAATMPHARSASHFSAPSDKLTAAWPSCPKMPVSATSAM
+>NM_004530|735007_2_1296_1570
+MASALRPPCPLLVGTQKVPPVSSPSLSWATNMRAAPAPAAVTERCGVRPQPTTMMTASGASALTKGTACSSWQPTSLATPWGWSTPKTLGP
+>DECOY_NM_004530|735007_2_1296_1570
+PGLTKPTSWGWPTALSTPQWSSCATGKTLASAGSATMMTTPQPRVGCRETVAAPAPAARMNTAWSLSPSSVPPVKQTGVLLPCPPRLASAM
+>NM_004530|735021_3_946_1055
+MDLGRRPSGPCEVWERRWGVLQVPLLVQWQGVQQLH
+>DECOY_NM_004530|735021_3_946_1055
+HLQQVGQWQVLLPVQLVGWRREWVECPGSPRRGLDM
+>NM_001031683|735031_2_1534_1607
+MVVRKWARAQSAPVPESSSLTQSN
+>DECOY_NM_001031683|735031_2_1534_1607
+NSQTLSSSEPVPASQARAWKRVVM
+>NM_000894|735056_3_62_249
+MGIQGAASAMVPPHQCHPGCREGGLPSVHHRQHHHLCRLLPHHDARAAGGPAAPASGGVHLP
+>DECOY_NM_000894|735056_3_62_249
+PLHVGGSAPAAPGGAARADHHPLLRCLHHHQRHHVSPLGGERCGPHCQHPPVMASAAGQIGM
+>NM_000894|735057_3_89_249
+MVPPHQCHPGCREGGLPSVHHRQHHHLCRLLPHHDARAAGGPAAPASGGVHLP
+>DECOY_NM_000894|735057_3_89_249
+PLHVGGSAPAAPGGAARADHHPLLRCLHHHQRHHVSPLGGERCGPHCQHPPVM
+>NM_001618|735092_2_469_566
+MELVARQRRLWVTLQQSMPSPTEVRARGVWRR
+>DECOY_NM_001618|735092_2_469_566
+RRWVGRARVETPSPMSQQLTVWLRRQRAVLEM
+>NM_002024|735149_2_1582_1733
+MVKEWVEVVDLTEIGGTADAVLDILQELILKHQMLLKQNLTTETNSVIGH
+>DECOY_NM_002024|735149_2_1582_1733
+HGIVSNTETTLNQKLLMQHKLILEQLIDLVADATGGIETLDVVEVWEKVM
+>NM_024529|735266_3_859_965
+MEDTNNYLTKHRKEFFQEHFCNSSICKSQRRRACT
+>DECOY_NM_024529|735266_3_859_965
+TCARRRQSKCISSNCFHEQFFEKRHKTLYNNTDEM
+>NM_002946|735314_2_307_563
+MAAPHTGEPAATRSPRGALDRPHLLKPKRNQEPEPSTLCPVLYLSCFLPLWLMKCSELGMLRFHRSLLWGSSDMQRRLQPTLFTK
+>DECOY_NM_002946|735314_2_307_563
+KTFLTPQLRRQMDSSGWLLSRHFRLMGLESCKMLWLPLFCSLYLVPCLTSPEPEQNRKPKLLHPRDLAGRPSRTAAPEGTHPAAM
+>NM_003836|735383_2_253_326
+MDSARMTMFAGASLAGRVPFVTSA
+>DECOY_NM_003836|735383_2_253_326
+ASTVFPVRGALSAGAFMTMRASDM
+>NM_003836|735384_2_475_548
+MASMNAPVPPGTRERTARKRTGPV
+>DECOY_NM_003836|735384_2_475_548
+VPGTRKRATRERTGPPVPANMSAM
+>NM_000491|735407_2_343_566
+MVSSERRETQGFLGIQEKSAPRAPWALKVAQGPLEPQAPKVNRETTRPPRKSPSLPQEPSTSPCAGTRPSASTT
+>DECOY_NM_000491|735407_2_343_566
+TTSASPRTGACPSTSPEQPLSPSKRPPRTTERNVKPAQPELPGQAVKLAWPARPASKEQIGLFGQTERRESSVM
+>NM_001037|735610_2_468_628
+MAAGAPKTCRICLSSSPMSPTTTRATTSATSTACSSSKTTSTTPASSRRSTLR
+>DECOY_NM_001037|735610_2_468_628
+RLTSRRSSAPTTSTTKSSSCATSTASTTARTTTPSMPSSSLCIRCTKPAGAAM
+>NM_003235|735786_2_204_355
+MAASRLSSARTTAAPAGVWVPTAVKCWAAGSQDGLWLVCHFVSYRNSRSY
+>DECOY_NM_003235|735786_2_204_355
+YSRSNRYSVFHCVLWLGDQSGAAWCKVATPVWVGAPAATTRASSLRSAAM
+>NM_003235|735791_2_1005_1243
+MATIRRCSARRKGPAGVWTPRGRKCMEPGSKGSRHLVLKANLVPPKGSRPCPDSTLGPQATSASTTCSLPQRKDGPLQE
+>DECOY_NM_003235|735791_2_1005_1243
+EQLPGDKRQPLSCTTSASTAQPGLTSDPCPRSGKPPVLNAKLVLHRSGKSGPEMCKRGRPTWVGAPGKRRASCRRITAM
+>NM_003235|735802_2_3558_3649
+MGAFPQCNVTRPRAAAGVSWTAEKRCLGRA
+>DECOY_NM_003235|735802_2_3558_3649
+ARGLCRKEATWSVGAAARPRTVNCQPFAGM
+>NM_003235|735805_2_4617_4738
+MASIEPARRTGAVGRPSVWTARGGGCHGGKQRPLLRTHSV
+>DECOY_NM_003235|735805_2_4617_4738
+VSHTRLLPRQKGGHCGGGRATWVSPRGVAGTRRAPEISAM
+>NM_003235|735808_2_6156_6496
+MEEPGAFWTVALLTLKSTPIPSDGTRSPLLKIMLPVFALWLFCLPSQRKCLWTRGSPWPSLQWLLIHPLGTLMLPMSALLPPAISLLSETSVCRNVPNMRPVSSPLCKPNLGL
+>DECOY_NM_003235|735808_2_6156_6496
+LGLNPKCLPSSVPRMNPVNRCVSTESLLSIAPPLLASMPLMLTGLPHILLWQLSPWPSGRTWLCKRQSPLCFLWLAFVPLMIKLLPSRTGDSPIPTSKLTLLAVTWFAGPEEM
+>NM_003235|735821_2_7896_7999
+MAAWSCWRMFSLPWGFPSTQPTRGSFLWRRRACR
+>DECOY_NM_003235|735821_2_7896_7999
+RCARRRWLFSGRTPQTSPFGWPLSFMRWCSWAAM
+>NM_003235|735824_3_1225_1490
+MGLSKSSQICHILPTHDQGALCGLWASPPNGGGTEPTVFCLRKSSQRSHPSNFSLPRAGSSCPSVYHQPKETPAKPFWREIFGECWPV
+>DECOY_NM_003235|735824_3_1225_1490
+VPWCEGFIERWFPKAPTEKPQHYVSPCSSGARPLSFNSPHSRQSSKRLCFVTPETGGGNPPSAWLGCLAGQDHTPLIHCIQSSKSLGM
+>NM_003235|735826_3_2401_2516
+MGGSEQGPGSDACQAASEDHELQRSSFRKLQSLYSKSV
+>DECOY_NM_003235|735826_3_2401_2516
+VSKSYLSQLKRFSSRQLEHDESAAQCADSGPGQESGGM
+>NM_003235|735831_3_6979_7208
+MAGYRRLLLGCCWQPHRGHCQLPSGCLRLPEFWVRRGEWQLGAAGPGGGSDLGADPHPRIWRGPSARVPGSRPWRG
+>DECOY_NM_003235|735831_3_6979_7208
+GRWPRSGPVRASPGRWIRPHPDAGLDSGGGPGAAGLQWEGRRVWFEPLRLCGSPLQCHGRHPQWCCGLLLRRYGAM
+>NM_178510|735916_2_1373_1632
+MAGPHCTLQPRMGMTALRACSWTTGPVWMPRNVKGGPLFTWLHRITLRMWHGFWSPVRLTPTCMRLRARPPSMWPPTLAMLAWSSC
+>DECOY_NM_178510|735916_2_1373_1632
+CSSWALMALTPPWMSPPRARLRMCTPTLRVPSWFGHWMRLTIRHLWTFLPGGKVNRPMWVPGTTWSCARLATMGMRPQLTCHPGAM
+>NM_178510|735917_2_1406_1632
+MGMTALRACSWTTGPVWMPRNVKGGPLFTWLHRITLRMWHGFWSPVRLTPTCMRLRARPPSMWPPTLAMLAWSSC
+>DECOY_NM_178510|735917_2_1406_1632
+CSSWALMALTPPWMSPPRARLRMCTPTLRVPSWFGHWMRLTIRHLWTFLPGGKVNRPMWVPGTTWSCARLATMGM
+>NM_033014|735942_2_614_705
+MEQIILKNPYLAKIMRINTWMEKILRKKKL
+>DECOY_NM_033014|735942_2_614_705
+LKKKRLIKEMWTNIRMIKALYPNKLIIQEM
+>NM_004556|735966_2_1001_1314
+MVTQPFMWPASASTWPVPAACWKGGQSQAEEHLTLWTSSCKTGKVWLVSTLPPFRRTNHSWNCCFGMELTLMCRRAPVVRQRCTWLWKPKSGAWYSSCSRLVPR
+>DECOY_NM_004556|735966_2_1001_1314
+RPVLRSCSSYWAGSKPKWLWTCRQRVVPARRCMLTLEMGFCCNWSHNTRRFPPLTSVLWVKGTKCSSTWLTLHEEAQSQGGKWCAAPVPWTSASAPWMFPQTVM
+>NM_004556|735968_2_1199_1314
+MELTLMCRRAPVVRQRCTWLWKPKSGAWYSSCSRLVPR
+>DECOY_NM_004556|735968_2_1199_1314
+RPVLRSCSSYWAGSKPKWLWTCRQRVVPARRCMLTLEM
+>NM_001748|736064_2_1422_1543
+MGRAAAPSWWGSFRSTDGGRGRWARTCTPSALASMRFQRS
+>DECOY_NM_001748|736064_2_1422_1543
+SRQFRMSALASPTCTRAWRGRGGDTSRFSGWWSPAAARGM
+>NM_001748|736065_2_1704_1894
+MGISASGSFLKRKLTTKLSMMKSRPILKSSTSARMTLMMDSGDCLPSWQERMRRSLPLSCRPS
+>DECOY_NM_001748|736065_2_1704_1894
+SPRCSLPLSRRMREQWSPLCDGSDMMLTMRASTSSKLIPRSKMMSLKTTLKRKLFSGSASIGM
+>NM_001748|736070_2_1818_1894
+MDSGDCLPSWQERMRRSLPLSCRPS
+>DECOY_NM_001748|736070_2_1818_1894
+SPRCSLPLSRRMREQWSPLCDGSDM
+>NM_001290|736123_2_533_606
+MDQSDTLSAGPSSPVTLALCLKEG
+>DECOY_NM_001290|736123_2_533_606
+GEKLCLALTVPSSPGASLTDSQDM
+>NM_000127|736190_2_903_1177
+MACTTPVRIISGPASRTLCAPSFLGINWKTRIPACTFPPGRSEMPTPASTKARSAAWSPASISPFARKTASKSTYTHSKKGRKSPKVTKTF
+>DECOY_NM_000127|736190_2_903_1177
+FTKTVKPSKRGKKSHTYTSKSATKRAFPSISAPSWAASRAKTSAPTPMESRGPPFTCAPIRTKWNIGLFSPACLTRSAPGSIIRVPTTCAM
+>NM_000127|736193_2_1671_1846
+MAKTGKSTRILAVTETTPSMRSMIIGKCCTMPLSVWFLVVAGLGPSDSWRLCRLPASL
+>DECOY_NM_000127|736193_2_1671_1846
+LSAPLRCLRWSDSPGLGAVVLFWVSLPMTCCKGIIMSRMSPTTETVALIRTSKGTKAM
+>NM_000127|736198_3_2104_2183
+MEQTSWRIVRTTTVFILSGRFSLLLC
+>DECOY_NM_000127|736198_3_2104_2183
+CLLLSFRGSLIFVTTTRVIRWSTQEM
+>NM_005996|736228_2_1901_1983
+MGPLMSPPVNKQLSTASPRLLLQPPPL
+>DECOY_NM_005996|736228_2_1901_1983
+LPPPQLLLRPSATSLQKNVPPSMLPGM
+>NM_005996|736229_2_2057_2796
+MAPRPATRPRSPPPRRRSPAVTRAAPRSRLTFSLLSGPGTAGGWTKRRPTHAIAPPPSRPALAAWARRSAGARFARAQRRPRWKRRARSRARRPSRRSRCRRTRPPRTWPRAPCLASASPRAWRANSSSTGTRSSCTPASLPWGAPSPAWRPLAWVPSWPRFLGPPPVSRAWIPRPWPLPLRRRDCPGRPRPPCPSTSSSTSWPLRAWPCPLSEACSLTPTRTWPQRRPPPLRQPPARCTATPSSI
+>DECOY_NM_005996|736229_2_2057_2796
+ISSPTATCRAPPQRLPPPRRQPWTRTPTLSCAESLPCPWARLPWSTSSSTSPCPPRPRGPCDRRRLPLPWPRPIWARSVPPPGLFRPWSPVWALPRWAPSPAGWPLSAPTCSSRTGTSSSNARWARPSASALCPARPWTRPPRTRRCRSRRSPRRARSRARRKWRPRRQARAFRAGASRRAWAALAPRSPPPAIAHTPRRKTWGGATGPGSLLSFTLRSRPAARTVAPSRRRPPPSRPRTAPRPAM
+>NM_003728|736250_2_1193_1305
+MEARTATASSCNPRTALMGFACRLLLIQMMLLSMLGL
+>DECOY_NM_003728|736250_2_1193_1305
+LGLMSLLMMQILLLRCAFGMLATRPNCSSATATRAEM
+>NM_002122|736271_2_501_655
+MGSQSQKVFLRPASSPRVIIPSSRSVTSPSSLLLMRFMTARWSTGAWTSLF
+>DECOY_NM_002122|736271_2_501_655
+FLSTWAGTSWRATMFRMLLLSSPSTVSRSSPIIVRPSSAPRLFVKQSQSGM
+>NM_016835|736426_2_1044_1186
+MGGLPRQPPEKPPASQASQRRVPSPSLWISSPKFPQRSQPQSPTGPV
+>DECOY_NM_016835|736426_2_1044_1186
+VPGTPSQPQSRQPFKPSSIWLSPSPVRRQSAQSAPPKEPPQRPLGGM
+>NM_016569|736514_2_1613_1689
+MDLLWPSQVITLRGRGIIVLVLRLY
+>DECOY_NM_016569|736514_2_1613_1689
+YLRLVLVIIGRGRLTIVQSPWLLDM
+>NM_153767|736576_3_545_687
+MGRHSLFWAFSAKSKASLQRWKVQHRIWQCGGTVKVYILCGHLDNGT
+>DECOY_NM_153767|736576_3_545_687
+TGNDLHGCLIYVKVTGGCQWIRHQVKWRQLSAKSKASFAWFLSHRGM
+>NM_000404|736728_2_682_800
+MAATLPVILTTCASCRSAFATIWGMMWFCLPLMEHIKHS
+>DECOY_NM_000404|736728_2_682_800
+SHKIHEMLPLCFWMMGWITAFASRCSACTTLIVPLTAAM
+>NM_019602|737002_2_589_737
+MACSMRKPPWWSGTPLQSLCPAWSTTPSSLRRRGRSSASQRNSRLSWLL
+>DECOY_NM_019602|737002_2_589_737
+LLWSLRSNRQSASSRGRRRLSSPTTSWAPCLSQLPTGSWWPPKRMSCAM
+>NM_019602|737004_2_1120_1226
+MEKCSRCALQMGGSHSPTCHGGTWKERRYHHLPRP
+>DECOY_NM_019602|737004_2_1120_1226
+PRPLHHYRREKWTGGHCTPSHSGGMQLACRSCKEM
+>NM_000620|737203_2_1155_1312
+MGLSMPTMMGRRLAHSPMPTAWPPGPQARTPRRKQPESASKAEGRTMNCSRR
+>DECOY_NM_000620|737203_2_1155_1312
+RRSCNMTRGEAKSASEPQKRRPTRAQPGPPWATPMPSHALRRGMMTPMSLGM
+>NM_000620|737206_2_1179_1312
+MGRRLAHSPMPTAWPPGPQARTPRRKQPESASKAEGRTMNCSRR
+>DECOY_NM_000620|737206_2_1179_1312
+RRSCNMTRGEAKSASEPQKRRPTRAQPGPPWATPMPSHALRRGM
+>NM_000620|737210_2_1572_1852
+MAAPPSVHASSRSRTGRLRWFSLTPSTLRAHWKRDALSTSAWAPSCILLSMQGGLKTSAQKDSSSLSPKSLLINTIHQLKDLAPKPTWKGWKR
+>DECOY_NM_000620|737210_2_1572_1852
+RKWGKWTPKPALDKLQHITNILLSKPSLSSSDKQASTKLGGQMSLLICSPAWASTSLADRKWHARLTSPTLSFWRLRGTRSRSSAHVSPPAAM
+>NM_000620|737231_2_4302_4384
+MEKDQFTTAYAPPGSTGYRLTNWSPVS
+>DECOY_NM_000620|737231_2_4302_4384
+SVPSWNTLRYGTSGPPAYATTFQDKEM
+>NM_000620|737239_3_4111_4256
+MEMGQEPHHRGGAGGVPIYPDAGHPAPDPAVPAAAPLLFHQLLPRHVP
+>DECOY_NM_000620|737239_3_4111_4256
+PVHRPLLQHFLLPAAAPVAPDPAPHGADPYIPVGGAGGRHHPEQGMEM
+>NM_000620|737240_3_4117_4256
+MGQEPHHRGGAGGVPIYPDAGHPAPDPAVPAAAPLLFHQLLPRHVP
+>DECOY_NM_000620|737240_3_4117_4256
+PVHRPLLQHFLLPAAAPVAPDPAPHGADPYIPVGGAGGRHHPEQGM
+>NM_003331|737373_2_970_1064
+MASPWRRWPRRPASRTASRAPSAGISGSTAP
+>DECOY_NM_003331|737373_2_970_1064
+PATSGSIGASPARSATRSAPRRPWRRWPSAM
+>NM_003331|737374_2_1723_1826
+MGSTDPCWSHLCRPSCGPRTACTSFTGAPATPTA
+>DECOY_NM_003331|737374_2_1723_1826
+ATPTAPAGTFSTCATRPGCSPRCLHSWCPDTSGM
+>NM_000059|737474_2_7545_7630
+MALMIVKIRLMTMRFISLTKTTPIKQQL
+>DECOY_NM_000059|737474_2_7545_7630
+LQQKIPTTKTLSIFRMTMLRIKVIMLAM
+>NM_000059|737480_2_8001_8167
+MERLEKKNFIGLCVTLQVWIQSLFLEFGFIITIDGSYGNWQLWNVPFLRNLLIDA
+>DECOY_NM_000059|737480_2_8001_8167
+ADILLNRLFPVNWLQWNGYSGDITIIFGFELFLSQIWVQLTVCLGIFNKKELREM
+>NM_001102608|737696_2_4211_4389
+MAQWEILDHQGKGDLQVLKAVKATWERRESLEKEEPLDQWESKVLRDAMAPKVLRETED
+>DECOY_NM_001102608|737696_2_4211_4389
+DETERLVKPAMADRLVKSEWQDLPEEKELSERREWTAKVAKLVQLDGKGQHDLIEWQAM
+>NM_001102608|737697_2_4454_4590
+MVFLEEKEKREMRDLREAQGREGLLVTVEQRACEGIPELLELTVA
+>DECOY_NM_001102608|737697_2_4454_4590
+AVTLELLEPIGECARQEVTVLLGERGQAERLDRMERKEKEELFVM
+>NM_001102608|737701_2_4979_5100
+MAVQVMVVSDAREQRDKKDSLEKVDLRVRLGTLVVQERLG
+>DECOY_NM_001102608|737701_2_4979_5100
+GLREQVVLTGLRVRLDVKELSDKKDRQERADSVVMVQVAM
+>NM_001102608|737702_2_4994_5100
+MVVSDAREQRDKKDSLEKVDLRVRLGTLVVQERLG
+>DECOY_NM_001102608|737702_2_4994_5100
+GLREQVVLTGLRVRLDVKELSDKKDRQERADSVVM
+>NM_001102608|737704_2_5267_5358
+MENRNAQCTQPSWCLPWTTPGMSLSRNLSG
+>DECOY_NM_001102608|737704_2_5267_5358
+GSLNRSLSMGPTTWPLCWSPQTCQANRNEM
+>NM_001042351|737734_2_285_487
+MAFCPKTPSSWAMPVPASQWLTSANRVSPSSRPPQRRSSSWRTSLPATPMWLASTMMQPPTSASTAT
+>DECOY_NM_001042351|737734_2_285_487
+TATSASTPPQMMTSALWMPTAPLSTRWSSSRRQPPRSSPSVRNASTLWQSAPVPMAWSSPTKPCFAM
+>NM_020682|737747_2_669_997
+MVGSYISVTSIRALNCQKKSGHTKFYGVSVWVVLYTGRNLLSLLKKLGSALHVWSLPISLQFKTRNWKELSVTVVLFLQHFASSNTLRQDQPRDAKLFTMEELQDMKKN
+>DECOY_NM_020682|737747_2_669_997
+NKKMDQLEEMTFLKADRPQDQRLTNSSAFHQLFLVVTVSLEKWNRTKFQLSIPLSWVHLASGLKKLLSLLNRGTYLVVWVSVGYFKTHGSKKQCNLARISTVSIYSGVM
+>NM_000535|737787_2_505_686
+MGKLSRKPPTPAPEGPQSACSSYFPHYLCAIRNFKGILRRSMPKWSRSYMHTVSFQQASV
+>DECOY_NM_000535|737787_2_505_686
+VSAQQFSVTHMYSRSWKPMSRRLIGKFNRIACLYHPFYSSCASQPGEPAPTPPKRSLKGM
+>NM_004333|737952_2_665_795
+MERRNQLVGTLIFPGLLEKNCMWKCWRMFHLQHTTLYEKRFSP
+>DECOY_NM_004333|737952_2_665_795
+PSFRKEYLTTHQLHFMRWCKWMCNKELLGPFILTGVLQNRREM
+>NM_014912|738045_2_1152_1231
+MVTICCHFRTGVGPMILLTCTRWRTP
+>DECOY_NM_014912|738045_2_1152_1231
+PTRWRTCTLLIMPGVGTRFHCCITVM
+>NM_014912|738046_2_1695_1768
+MGNSTCVCQAPPSRTSQCKFDHGT
+>DECOY_NM_014912|738046_2_1695_1768
+TGHDFKCQSTRSPPAQCVCTSNGM
+>NM_003487|738064_2_168_577
+MDKHHKAILAMGKRLIPLMDRTTAVTPVMDKVIHSPMVVMRIKSRAHIASNHIITRDSSKTWNHQEAKVEEHLPMTSQTMVNKIHMTSSQAMINIKAHMMSSQIMISSMIPIVKTSSPIIHKGKTTATTHKMTVVM
+>DECOY_NM_003487|738064_2_168_577
+MVVTMKHTTATTKGKHIIPSSTKVIPIMSSIMIQSSMMHAKINIMAQSSTMHIKNVMTQSTMPLHEEVKAEQHNWTKSSDRTIIHNSAIHARSKIRMVVMPSHIVKDMVPTVATTRDMLPILRKGMALIAKHHKDM
+>NM_003487|738065_2_198_577
+MGKRLIPLMDRTTAVTPVMDKVIHSPMVVMRIKSRAHIASNHIITRDSSKTWNHQEAKVEEHLPMTSQTMVNKIHMTSSQAMINIKAHMMSSQIMISSMIPIVKTSSPIIHKGKTTATTHKMTVVM
+>DECOY_NM_003487|738065_2_198_577
+MVVTMKHTTATTKGKHIIPSSTKVIPIMSSIMIQSSMMHAKINIMAQSSTMHIKNVMTQSTMPLHEEVKAEQHNWTKSSDRTIIHNSAIHARSKIRMVVMPSHIVKDMVPTVATTRDMLPILRKGM
+>NM_003487|738067_2_252_577
+MDKVIHSPMVVMRIKSRAHIASNHIITRDSSKTWNHQEAKVEEHLPMTSQTMVNKIHMTSSQAMINIKAHMMSSQIMISSMIPIVKTSSPIIHKGKTTATTHKMTVVM
+>DECOY_NM_003487|738067_2_252_577
+MVVTMKHTTATTKGKHIIPSSTKVIPIMSSIMIQSSMMHAKINIMAQSSTMHIKNVMTQSTMPLHEEVKAEQHNWTKSSDRTIIHNSAIHARSKIRMVVMPSHIVKDM
+>NM_001845|738130_2_498_586
+MAQRGREGRSGLLACLVSLEIPDHQAYQG
+>DECOY_NM_001845|738130_2_498_586
+GQYAQHDPIELSVLCALLGSRGERGRQAM
+>NM_001845|738131_2_1350_1483
+MGSRVLLVPLGPLGSLATQMELWNVSPDLQVTRVLLEFQGSQDL
+>DECOY_NM_001845|738131_2_1350_1483
+LDQSGQFELLVRTVQLDPSVNWLEMQTALSGLPGLPVLLVRSGM
+>NM_001845|738133_2_1944_2524
+MVLLVPLVTKDKQAFLEALDPQACQVQRVNQEKLFLYQAPLEQKDCRGPQASQVPKETEAFPEPQEGQACQERRALWASQALDFQGPPAPKVLTAYLETWGHRGLQVARDLMAYLGTQVCRARRESLELVYRDSKVCQVFPAFLAHPGRRGALGYQAFLENMERSDPLGFRGSEVNRDLLDCQAPWGLQEFQE
+>DECOY_NM_001845|738133_2_1944_2524
+EQFEQLGWPAQCDLLDRNVESGRFGLPDSREMNELFAQYGLAGRRGPHALFAPFVQCVKSDRYVLELSERRARCVQTGLYAMLDRAVQLGRHGWTELYATLVKPAPPGQFDLAQSAWLARREQCAQGEQPEPFAETEKPVQSAQPGRCDKQELPAQYLFLKEQNVRQVQCAQPDLAELFAQKDKTVLPVLLVM
+>NM_001845|738135_2_2427_2524
+MERSDPLGFRGSEVNRDLLDCQAPWGLQEFQE
+>DECOY_NM_001845|738135_2_2427_2524
+EQFEQLGWPAQCDLLDRNVESGRFGLPDSREM
+>NM_001845|738137_2_3588_3709
+MESRGQQERRVNQVYQEEDSQGFQGPKETKVQRVRWVSQD
+>DECOY_NM_001845|738137_2_3588_3709
+DQSVWRVRQVKTEKPGQFGQSDEEQYVQNVRREQQGRSEM
+>NM_000352|738282_2_2836_2918
+MAPSRGRVPSRTSRGLNASSLSTGRPS
+>DECOY_NM_000352|738282_2_2836_2918
+SPRGTSLSSANLGRSTRSPVRGRSPAM
+>NM_000352|738283_2_3013_3248
+MAFCRMRKRRKRRQLRARRMTTCRPCCTSVLRSHGEPAPSTCPPPASCSCRCWSSHSCSSTWSWWPSTTGWPSGPTAP
+>DECOY_NM_000352|738283_2_3013_3248
+PATPGSPWGTTSPWWSWTSSCSHSSWCRCSCSAPPPCTSPAPEGHSRLVSTCCPRCTTMRRARLQRRKRRKRMRCFAM
+>NM_033294|738319_3_169_248
+METKVGRDLSNNGQVKPHTSCSHYLQ
+>DECOY_NM_033294|738319_3_169_248
+QLYHSCSTHPKVQGNNSLDRGVKTEM
+>NM_031483|738345_2_910_983
+MGLVQALCRRQIQIQIHLKEQHLD
+>DECOY_NM_031483|738345_2_910_983
+DLHQEKLHIQIQIQRRCLAQVLGM
+>NM_031483|738350_2_1420_1493
+MAEYISSTTTHELHNGKTPEVKVN
+>DECOY_NM_031483|738350_2_1420_1493
+NVKVEPTKGNHLEHTTTSSIYEAM
+>NM_031483|738354_2_2614_2726
+MDHRNSALKKLGKKIGYPEVIPVLIAWTCHHTRAMSN
+>DECOY_NM_031483|738354_2_2614_2726
+NSMARTHHCTWAILVPIVEPYGIKKGLKKLASNRHDM
+>NM_002659|738426_2_864_952
+MAASVTAARGTAPMDAPLKRLSSLTAEAP
+>DECOY_NM_002659|738426_2_864_952
+PAEATLSSLRKLPADMPATGRAATVSAAM
+>NM_001159|738472_2_627_748
+MGFAVWIKESMDCQNLRKEVRQVQNSSQKRSFCHWIQPRN
+>DECOY_NM_001159|738472_2_627_748
+NRPQIWHCFSRKQSSNQVQRVEKRLNQCDMSEKIWVAFGM
+>NM_001159|738474_2_1458_1576
+MALLESYASHMEALVQPPSVPRIPARNSLEGTGTNRCWI
+>DECOY_NM_001159|738474_2_1458_1576
+IWCRNTGTGELSNRAPIRPVSPPQVLAEMHSAYSELLAM
+>NM_001159|738477_2_2274_2467
+MEMLTKHLKWLIKFLKVKYIWEVKNIFIWKPKACLSFPRERIKKWMSTCPHSFPNIYRTLLPQP
+>DECOY_NM_001159|738477_2_2274_2467
+PQPLLTRYINPFSHPCTSMWKKIRERPFSLCAKPKWIFINKVEWIYKVKLFKILWKLHKTLMEM
+>NM_001159|738479_2_2685_2758
+MAESWPWTWSITAMQAPPWMNHYS
+>DECOY_NM_001159|738479_2_2685_2758
+SYHNMWPPAQMATISWTWPWSEAM
+>NM_000573|738554_2_1569_1651
+MGFGAALPLAVEFWVTVKPQIIFCLPS
+>DECOY_NM_000573|738554_2_1569_1651
+SPLCFIIQPKVTVWFEVALPLAAGFGM
+>NM_000573|738568_2_4269_4405
+MGFGAALPLAVNFLFVLVTVKPQSSFHLPVLRSQLMTLSFQSGHL
+>DECOY_NM_000573|738568_2_4269_4405
+LHGSQFSLTMLQSRLVPLHFSSQPKVTVLVFLFNVALPLAAGFGM
+>NM_000573|738579_2_5628_5752
+MGFGAALPLAVNFLFLLPAHIHPRSKTGITLEDTYLYIFLG
+>DECOY_NM_000573|738579_2_5628_5752
+GLFIYLYTDELTIGTKSRPHIHAPLLFLFNVALPLAAGFGM
+>NM_000573|738580_2_5958_6061
+MGILWKAVPGASARRMTDGTLLWPNVPLVHMMLS
+>DECOY_NM_000573|738580_2_5958_6061
+SLMMHVLPVNPWLLTGDTMRRASAGPVAKWLIGM
+>NM_004613|738778_2_1649_1986
+MGSWGPSVAPSTCSTSTWSLSLRRAFLFASSMRNTVTALRSPTSSRCGPSSWSQLSTATCWLRGTSTWRIQKSRSGSLGSPSRNASWWLRCPCRTRSLWPWKAAPSLWRGPA
+>DECOY_NM_004613|738778_2_1649_1986
+APGRWLSPAAKWPWLSRTRCPCRLWWSANRSPSGLSGSRSKQIRWTSTGRLWCTATSLQSWSSPGCRSSTPSRLATVTNRMSSAFLFARRLSLSWTSTSCTSPAVSPGWSGM
+>NM_020975|738880_2_1829_2100
+MAKGSPGTSPPALPAPRPAPTATAMLWRPKTSTFALRTASGAALLGDTSLGSPGGLKLAMAPATASLRRRSASASPKTSRIHCATSCAAR
+>DECOY_NM_020975|738880_2_1829_2100
+RAACSTACHIRSTKPSASASRRRLSATAPAMALKLGGPSGLSTDGLLAAGSATRLAFTSTKPRWLMATATPAPRPAPLAPPSTGPSGKAM
+>NM_020975|738882_2_2006_2100
+MAPATASLRRRSASASPKTSRIHCATSCAAR
+>DECOY_NM_020975|738882_2_2006_2100
+RAACSTACHIRSTKPSASASRRRLSATAPAM
+>NM_020975|738885_2_2579_2793
+MARSSSSWSTPNTAPCGASSARAAKWGLATWAVEAAATPAPWTTRMSGPSPWATSSHLPGRSHRGCSIWPR
+>DECOY_NM_020975|738885_2_2579_2793
+RPWISCGRHSRGPLHSSTAWPSPGSMRTTWPAPTAAAEVAWTALGWKAARASSAGCPATNPTSWSSSSRAM
+>NM_000916|738922_2_1373_1449
+MGGAWPWRVSAASSSSPRPRSARSR
+>DECOY_NM_000916|738922_2_1373_1449
+RSRASRPRPSSSSAASVRWPWAGGM
+>NM_003741|738945_2_937_1022
+MAWSVGCGGQCLGCLCGSLGQNSCMWHL
+>DECOY_NM_003741|738945_2_937_1022
+LHWMCSNQGLSGCLCGLCQGGCGVSWAM
+>NM_014703|738999_2_1900_2138
+MAQRSYIGNQLKFSSNFLVCNSCCSLFLLPAIGRPIMQGMTLCALLWMSWLFLLWCQKSSSSWQNQWTCWMRLDLQSLL
+>DECOY_NM_014703|738999_2_1900_2138
+LLSQLDLRMWCTWQNQWSSSSKQCWLLFLWSMWLLACLTMGQMIPRGIAPLLFLSCCSNCVLFNSSFKLQNGIYSRQAM
+>NM_014703|739010_2_3355_3491
+MEGWMADALIGTLSLADSVLFQCSGKPMKMRVASPAVHSQHGSGS
+>DECOY_NM_014703|739010_2_3355_3491
+SGSGHQSHVAPSAVRMKMPKGSCQFLVSDALSLTGILADAMWGEM
+>NM_000093|739084_2_2225_2790
+MEPEECLDKLAPRVTGVSTAWLGCQARRATGVTLVLPAHQDLRETMEKGVTTEKLGPGGCLGSPGHVVCLGRRGPQVLPDLPVSRVWTASRGQKEMWVPRESLAPQDSRVIQAPRVFQAPRVQLVLQEKRVPWGNQAFQECPVLTDPRDTLAKKALQERKEVRVHLAPRVRLATQVLEESRGPMASVV
+>DECOY_NM_000093|739084_2_2225_2790
+VVSAMPGRSEELVQTALRVRPALHVRVEKREQLAKKALTDRPDTLVPCEQFAQNGWPVRKEQLVLQVRPAQFVRPAQIVRSDQPALSERPVWMEKQGRSATWVRSVPLDPLVQPGRRGLCVVHGPSGLCGGPGLKETTVGKEMTERLDQHAPLVLTVGTARRAQCGLWATSVGTVRPALKDLCEEPEM
+>NM_000093|739085_2_2360_2790
+MEKGVTTEKLGPGGCLGSPGHVVCLGRRGPQVLPDLPVSRVWTASRGQKEMWVPRESLAPQDSRVIQAPRVFQAPRVQLVLQEKRVPWGNQAFQECPVLTDPRDTLAKKALQERKEVRVHLAPRVRLATQVLEESRGPMASVV
+>DECOY_NM_000093|739085_2_2360_2790
+VVSAMPGRSEELVQTALRVRPALHVRVEKREQLAKKALTDRPDTLVPCEQFAQNGWPVRKEQLVLQVRPAQFVRPAQIVRSDQPALSERPVWMEKQGRSATWVRSVPLDPLVQPGRRGLCVVHGPSGLCGGPGLKETTVGKEM
+>NM_000093|739087_2_2900_3600
+MALKAQRVAEVPMVTPVLWDPLGRRENSESQGYQGIQEDKDQRALLDSLDFLAPMERRAAGGPLESQDRGGSEAQRVRGVKEAPGASLGSLAPRATPEVTAQLALLVNGDPMDPKDPQDFLDQRAPLALQARMDSQDTLDREARLVSKARPALQAPPAWSALRVPREKRAQWVSVATLGPLDPPVNRGFRALLEKKGRRVTQALQASLGKMALQDYVVSLGTEGFLVQWELLD
+>DECOY_NM_000093|739087_2_2900_3600
+DLLEWQVLFGETGLSVVYDQLAMKGLSAQLAQTVRRGKKELLARFGRNVPPDLPGLTAVSVWQARKERPVRLASWAPPAQLAPRAKSVLRAERDLTDQSDMRAQLALPARQDLFDQPDKPDMPDGNVLLALQATVEPTARPALSGLSAGPAEKVGRVRQAESGGRDQSELPGGAARREMPALFDLSDLLARQDKDEQIGQYGQSESNERRGLPDWLVPTVMPVEAVRQAKLAM
+>NM_000093|739091_2_3296_3600
+MDSQDTLDREARLVSKARPALQAPPAWSALRVPREKRAQWVSVATLGPLDPPVNRGFRALLEKKGRRVTQALQASLGKMALQDYVVSLGTEGFLVQWELLD
+>DECOY_NM_000093|739091_2_3296_3600
+DLLEWQVLFGETGLSVVYDQLAMKGLSAQLAQTVRRGKKELLARFGRNVPPDLPGLTAVSVWQARKERPVRLASWAPPAQLAPRAKSVLRAERDLTDQSDM
+>NM_000093|739095_2_4376_4842
+MVPKAALAQWVFLEILAPPESLAPRVKMVPLVTKEMMVNPGRRDPPALLVNQVHRGLQEKGVPQAPQAPKADRERKGPREKPAWKALLGRLAPSAPRGPLGSPDRMAFEGSLALWENKVSQDPQARTVPPAPWVPQDFPASKEILVPKVKRVIQA
+>DECOY_NM_000093|739095_2_4376_4842
+AQIVRKVKPVLIEKSAPFDQPVWPAPPVTRAQPDQSVKNEWLALSGEFAMRDPSGLPGRPASPALRGLLAKWAPKERPGKRERDAKPAQPAQPVGKEQLGRHVQNVLLAPPDRRGPNVMMEKTVLPVMKVRPALSEPPALIELFVWQALAAKPVM
+>NM_000093|739096_2_4457_4842
+MVPLVTKEMMVNPGRRDPPALLVNQVHRGLQEKGVPQAPQAPKADRERKGPREKPAWKALLGRLAPSAPRGPLGSPDRMAFEGSLALWENKVSQDPQARTVPPAPWVPQDFPASKEILVPKVKRVIQA
+>DECOY_NM_000093|739096_2_4457_4842
+AQIVRKVKPVLIEKSAPFDQPVWPAPPVTRAQPDQSVKNEWLALSGEFAMRDPSGLPGRPASPALRGLLAKWAPKERPGKRERDAKPAQPAQPVGKEQLGRHVQNVLLAPPDRRGPNVMMEKTVLPVM
+>NM_000093|739098_2_4484_4842
+MVNPGRRDPPALLVNQVHRGLQEKGVPQAPQAPKADRERKGPREKPAWKALLGRLAPSAPRGPLGSPDRMAFEGSLALWENKVSQDPQARTVPPAPWVPQDFPASKEILVPKVKRVIQA
+>DECOY_NM_000093|739098_2_4484_4842
+AQIVRKVKPVLIEKSAPFDQPVWPAPPVTRAQPDQSVKNEWLALSGEFAMRDPSGLPGRPASPALRGLLAKWAPKERPGKRERDAKPAQPAQPVGKEQLGRHVQNVLLAPPDRRGPNVM
+>NM_000214|739136_2_1090_1748
+MALAAISSAAPEMTSLDTMPVTRMATKLAWKAGWAPNVTELFADKAAVLSMGLANSQVTAGASTAGKACTVISASHTRDASTASVMSPGSASVRPTGAASSVTKISITVGLISRVSTGELVATQALTNISVPALRGIQDPTVKLLSTPASLIPVTTEAAVRRPPWALSVSVPQAGPAPHALQTLMTVLLITVPTGAPARTWLTDLSVCAPHSGLGKRAS
+>DECOY_NM_000214|739136_2_1090_1748
+SARKGLGSHPACVSLDTLWTRAPAGTPVTILLVTMLTQLAHPAPGAQPVSVSLAWPPRRVAAETTVPILSAPTSLLKVTPDQIGRLAPVSINTLAQTAVLEGTSVRSILGVTISIKTVSSAAGTPRVSASGPSMVSATSADRTHSASIVTCAKGATSAGATVQSNALGMSLVAAKDAFLETVNPAWGAKWALKTAMRTVPMTDLSTMEPAASSIAALAM
+>NM_000214|739139_2_1159_1748
+MATKLAWKAGWAPNVTELFADKAAVLSMGLANSQVTAGASTAGKACTVISASHTRDASTASVMSPGSASVRPTGAASSVTKISITVGLISRVSTGELVATQALTNISVPALRGIQDPTVKLLSTPASLIPVTTEAAVRRPPWALSVSVPQAGPAPHALQTLMTVLLITVPTGAPARTWLTDLSVCAPHSGLGKRAS
+>DECOY_NM_000214|739139_2_1159_1748
+SARKGLGSHPACVSLDTLWTRAPAGTPVTILLVTMLTQLAHPAPGAQPVSVSLAWPPRRVAAETTVPILSAPTSLLKVTPDQIGRLAPVSINTLAQTAVLEGTSVRSILGVTISIKTVSSAAGTPRVSASGPSMVSATSADRTHSASIVTCAKGATSAGATVQSNALGMSLVAAKDAFLETVNPAWGAKWALKTAM
+>NM_000214|739140_2_1240_1748
+MGLANSQVTAGASTAGKACTVISASHTRDASTASVMSPGSASVRPTGAASSVTKISITVGLISRVSTGELVATQALTNISVPALRGIQDPTVKLLSTPASLIPVTTEAAVRRPPWALSVSVPQAGPAPHALQTLMTVLLITVPTGAPARTWLTDLSVCAPHSGLGKRAS
+>DECOY_NM_000214|739140_2_1240_1748
+SARKGLGSHPACVSLDTLWTRAPAGTPVTILLVTMLTQLAHPAPGAQPVSVSLAWPPRRVAAETTVPILSAPTSLLKVTPDQIGRLAPVSINTLAQTAVLEGTSVRSILGVTISIKTVSSAAGTPRVSASGPSMVSATSADRTHSASIVTCAKGATSAGATVQSNALGM
+>NM_000214|739152_2_2548_2741
+MGARVATWSMTSTVTVKMGGKERPATHVTVSVMRPRATTVAPAMMRGMLLSACVLAAGKEQPVT
+>DECOY_NM_000214|739152_2_2548_2741
+TVPQEKGAALVCASLLMGRMMAPAVTTARPRMVSVTVHTAPREKGGMKVTVTSTMSWTAVRAGM
+>NM_000214|739154_2_2599_2741
+MGGKERPATHVTVSVMRPRATTVAPAMMRGMLLSACVLAAGKEQPVT
+>DECOY_NM_000214|739154_2_2599_2741
+TVPQEKGAALVCASLLMGRMMAPAVTTARPRMVSVTVHTAPREKGGM
+>NM_000214|739156_2_2779_2972
+MGAHVWSTASPLRASARKAGRGPSVLRIPMTAALIPVTTAAPVWMETTGTGANVPRVLLGPTAE
+>DECOY_NM_000214|739156_2_2779_2972
+EATPGLLVRPVNAGTGTTEMWVPAATTVPILAATMPIRLVSPGRGAKRASARLPSATSWVHAGM
+>NM_005656|739248_2_186_304
+MDTNRKTPIPHSPLWSPLSTRCIRLSTTRPPCPSTPRGS
+>DECOY_NM_005656|739248_2_186_304
+SGRPTSPCPPRTTSLRICRTSLPSWLPSHPIPTKRNTDM
+>NM_005656|739249_2_534_733
+MACHTAPAGRTRIGVFASTDQTSSFRCTHLRGSPGTLCAKTTGTRTTGGRPAGTWAIRIIFTLAKE
+>DECOY_NM_005656|739249_2_534_733
+EKALTFIIRIAWTGAPRGGTTRTGTTKACLTGPSGRLHTCRFSSTQDTSAFVGIRTRGAPATHCAM
+>NM_016192|739320_2_827_1155
+MESMKALEKLVKRRHPPVIFASLVQNVTKMPRMSGVCVILTVLKPTSIPSALLMGNLMIMHAKSKKHRVRNRRKLKSCLWVDVKITQLQLLSLKMGIMQEQIMQRMLTN
+>DECOY_NM_016192|739320_2_827_1155
+NTLMRQMIQEQMIGMKLSLLQLQTIKVDVWLCSKLKRRNRVRHKKSKAHMIMLNGMLLASPISTPKLVTLIVCVGSMRPMKTVNQVLSAFIVPPHRRKVLKELAKMSEM
+>NM_016192|739327_2_1202_1356
+MASACMGSVSILSICRSHLAGVMLVILDNTVKKRTTVFYTLFPVLYDFSMS
+>DECOY_NM_016192|739327_2_1202_1356
+SMSFDYLVPFLTYFVTTRKKVTNDLIVLMVGALHSRCISLISVSGMCASAM
+>NM_021192|739338_2_511_872
+MASCHRASTSSTRQRPGPRSPGRSPRRHPRRHSPRAQPTRATPGPGLVAAGAVPAPRRPLARSPRGQQKAAVATARAPRERRGPRRAAAQLPPSGPGKSAVPIPSTRSANWNASFSLTCT
+>DECOY_NM_021192|739338_2_511_872
+TCTLSFSANWNASRTSPIPVASKGPGSPPLQAAARRPGRRERPARATAVAAKQQGRPSRALPRRPAPVAGAAVLGPGPTARTPQARPSHRRPHRRPSRGPSRPGPRQRTSSTSARHCSAM
+>NM_001735|739479_2_616_779
+MVCGRSRLNIKRTFQQLEPHILKLKNMSCHIFLSQSSQNIISLVTRTLRILKLL
+>DECOY_NM_001735|739479_2_616_779
+LLKLIRLTRTVLSIINQSSQSLFIHCSMNKLKLIHPELQQFTRKINLRSRGCVM
+>NM_001999|739575_2_800_891
+MDFVPVLTCVLVPVGKYHQPVDQNQFSSAV
+>DECOY_NM_001999|739575_2_800_891
+VASSFQNQDVPQHYKGVPVLVCTLVPVFDM
+>NM_001999|739578_2_983_1125
+MDVRMVDVASDPTAVLVFMGSLVHSVKEITGQARVSLRSTTRCAKGS
+>DECOY_NM_001999|739578_2_983_1125
+SGKACRTTSRLSVRAQGTIEKVSHVLSGMFVLVATPDSAVDVMRVDM
+>NM_001999|739579_2_995_1125
+MVDVASDPTAVLVFMGSLVHSVKEITGQARVSLRSTTRCAKGS
+>DECOY_NM_001999|739579_2_995_1125
+SGKACRTTSRLSVRAQGTIEKVSHVLSGMFVLVATPDSAVDVM
+>NM_001999|739585_2_1757_1902
+MALPQVAMAMAMAQEGQASSPSLEAMAFLLALGEPVWGPGDRDLSSLD
+>DECOY_NM_001999|739585_2_1757_1902
+DLSSLDRDGPGWVPEGLALLFAMAELSPSSAQGEQAMAMAMAVQPLAM
+>NM_001999|739586_2_1778_1902
+MAMAMAQEGQASSPSLEAMAFLLALGEPVWGPGDRDLSSLD
+>DECOY_NM_001999|739586_2_1778_1902
+DLSSLDRDGPGWVPEGLALLFAMAELSPSSAQGEQAMAMAM
+>NM_001999|739587_2_1784_1902
+MAMAQEGQASSPSLEAMAFLLALGEPVWGPGDRDLSSLD
+>DECOY_NM_001999|739587_2_1784_1902
+DLSSLDRDGPGWVPEGLALLFAMAELSPSSAQGEQAMAM
+>NM_001999|739588_2_1790_1902
+MAQEGQASSPSLEAMAFLLALGEPVWGPGDRDLSSLD
+>DECOY_NM_001999|739588_2_1790_1902
+DLSSLDRDGPGWVPEGLALLFAMAELSPSSAQGEQAM
+>NM_001999|739596_2_2345_2445
+MAASSASANQDLSWLQMGVTVLMLMNARPQESA
+>DECOY_NM_001999|739596_2_2345_2445
+ASEQPRANMLMLVTVGMQLWSLDQNASASSAAM
+>NM_001999|739599_2_2642_2718
+MVLENPASHALQKIQLNSTAFVVVE
+>DECOY_NM_001999|739599_2_2642_2718
+EVVVFATSNLQIKQLAHSAPNELVM
+>NM_001999|739615_2_4322_4398
+MAASVPTFLESIAASAMMASWLPWT
+>DECOY_NM_001999|739615_2_4322_4398
+TWPLWSAMMASAAISELFTPVSAAM
+>NM_001999|739618_2_5201_5382
+MGVCLATPRSGWASVALHAAALWERPGETPVRHAPLSIALNITPCVPEVKASDLTPSQSF
+>DECOY_NM_001999|739618_2_5201_5382
+FSQSPTLDSAKVEPVCPTINLAISLPAHRVPTEGPREWLAAAHLAVSAWGSRPTALCVGM
+>NM_001999|739624_2_6005_6111
+MVIISASGMQTASIVLVVTAVNVPRVSNFHPMGPV
+>DECOY_NM_001999|739624_2_6005_6111
+VPGMPHFNSVRPVNVATVVLVISATQMGSASIIVM
+>NM_001999|739626_2_6152_6360
+MACVLICKEVTSASATMALRLLRTRPCAWMLMSASGIHVEMELVKTPLDPITVCATQGLNSLIIMIAWT
+>DECOY_NM_001999|739626_2_6152_6360
+TWAIMIILSNLGQTACVTIPDLPTKVLEMEVHIGSASMLMWACPRTRLLRLAMTASASTVEKCILVCAM
+>NM_001999|739627_2_6200_6360
+MALRLLRTRPCAWMLMSASGIHVEMELVKTPLDPITVCATQGLNSLIIMIAWT
+>DECOY_NM_001999|739627_2_6200_6360
+TWAIMIILSNLGQTACVTIPDLPTKVLEMEVHIGSASMLMWACPRTRLLRLAM
+>NM_001999|739630_2_6272_6360
+MELVKTPLDPITVCATQGLNSLIIMIAWT
+>DECOY_NM_001999|739630_2_6272_6360
+TWAIMIILSNLGQTACVTIPDLPTKVLEM
+>NM_001999|739632_2_6398_6483
+MDVVLMKLVLSSVYVTKVMNLPQMAKTV
+>DECOY_NM_001999|739632_2_6398_6483
+VTKAMQPLNMVKTVYVSSLVLKMLVVDM
+>NM_001999|739636_2_6713_7182
+MDGDALILARASASQILKMESVLYPKLSTPQKQNAAVVRCQERAGGTPVSCAPKTMKLHFRICVHMAMELSLVFMIHVKMSMSVLRAQAFVQMVNVSTPTDLFAVNVQWATTLTTLEYAVWILMSVQSAIRVEMVHAPMLLGVLNAIAMKALSQGP
+>DECOY_NM_001999|739636_2_6713_7182
+PGQSLAKMAIANLVGLLMPAHVMEVRIASQVSMLIWVAYELTTLTTAWQVNVAFLDTPTSVNVMQVFAQARLVSMSMKVHIMFVLSLEMAMHVCIRFHLKMTKPACSVPTGGAREQCRVVAANQKQPTSLKPYLVSEMKLIQSASARALILADGDM
+>NM_001999|739637_2_6767_7182
+MESVLYPKLSTPQKQNAAVVRCQERAGGTPVSCAPKTMKLHFRICVHMAMELSLVFMIHVKMSMSVLRAQAFVQMVNVSTPTDLFAVNVQWATTLTTLEYAVWILMSVQSAIRVEMVHAPMLLGVLNAIAMKALSQGP
+>DECOY_NM_001999|739637_2_6767_7182
+PGQSLAKMAIANLVGLLMPAHVMEVRIASQVSMLIWVAYELTTLTTAWQVNVAFLDTPTSVNVMQVFAQARLVSMSMKVHIMFVLSLEMAMHVCIRFHLKMTKPACSVPTGGAREQCRVVAANQKQPTSLKPYLVSEM
+>NM_001999|739640_2_6914_7182
+MELSLVFMIHVKMSMSVLRAQAFVQMVNVSTPTDLFAVNVQWATTLTTLEYAVWILMSVQSAIRVEMVHAPMLLGVLNAIAMKALSQGP
+>DECOY_NM_001999|739640_2_6914_7182
+PGQSLAKMAIANLVGLLMPAHVMEVRIASQVSMLIWVAYELTTLTTAWQVNVAFLDTPTSVNVMQVFAQARLVSMSMKVHIMFVLSLEM
+>NM_001999|739650_2_7490_7803
+MDVVLTLLEAIDVSVMKDSSQVLQALNALTIDRVSALQRYCRQYVKWHPVVAISSLSQNAAVMVGEAGATSASFAHFLELPSTKRYVLMAQDIQLMEEILMNVR
+>DECOY_NM_001999|739650_2_7490_7803
+RVNMLIEEMLQIDQAMLVYRKTSPLELFHAFSASTAGAEGVMVAANQSLSSIAVVPHWKVYQRCYRQLASVRDITLANLAQLVQSSDKMVSVDIAELLTLVVDM
+>NM_001999|739652_2_7676_7803
+MVGEAGATSASFAHFLELPSTKRYVLMAQDIQLMEEILMNVR
+>DECOY_NM_001999|739652_2_7676_7803
+RVNMLIEEMLQIDQAMLVYRKTSPLELFHAFSASTAGAEGVM
+>NM_001999|739656_2_8015_8268
+MERHAKTLMNVKQSSITASSSVSTPWGGLPVNVHLVSHSITLLVSTTTNVGLNLRFVEQRESVKTLQAVSAVNAKEGSLLMPPD
+>DECOY_NM_001999|739656_2_8015_8268
+DPPMLLSGEKANVASVAQLTKVSERQEVFRLNLGVNTTTSVLLTISHSVLHVNVPLGGWPTSVSSSATISSQKVNMLTKAHREM
+>NM_001999|739660_2_8294_8526
+MGTTGANTAARTSWVATDVAAPKATSSTTSGISVSMRMNAPIPMPVALLPATTPWGVTSAPAPRGSPSTSSPVPATT
+>DECOY_NM_001999|739660_2_8294_8526
+TTAPVPSSTSPSGRPAPASTVGWPTTAPLLAVPMPIPANMRMSVSIGSTTSSTAKPAAVDTAVWSTRAATNAGTTGM
+>NM_001999|739669_2_8990_9126
+MGSATCTRPRRSSCPAHTHWKSLASLSTRRRSLRNWKRAMRMTTS
+>DECOY_NM_001999|739669_2_8990_9126
+STTMRMARKWNRLSRRRTSLSALSKWHTHAPCSSRRPRTCTASGM
+>NM_021975|739963_2_429_502
+MASMRLSSARTAASTVSRTWESSV
+>DECOY_NM_021975|739963_2_429_502
+VSSEWTRSVTSAATRASSLRMSAM
+>NM_021804|740027_2_1397_1470
+MELMKDSMKLLGKSCHFLQPHLSI
+>DECOY_NM_021804|740027_2_1397_1470
+ISLHPQLFHCSKGLLKMSDKMLEM
+>NM_021804|740039_3_2031_2107
+MEYRLESICRPKHQSEDKPKISSWR
+>DECOY_NM_021804|740039_3_2031_2107
+RWSSIKPKDESQHKPRCISELRYEM
+>NM_002469|740066_2_165_286
+MVPCPPARTKCPRKRGATAAERNMSWRPRACSLHTAPASV
+>DECOY_NM_002469|740066_2_165_286
+VSAPATHLSCARPRWSMNREAATAGRKRPCKTRAPPCPVM
+>NM_001883|740157_2_500_693
+MGRGPQRSTTHSVSPFWMTSRGSMTCTTASPLSSTTWATAYLWQPWWPPSCFSWPCGAFAVCGM
+>DECOY_NM_001883|740157_2_500_693
+MGCVAFAGCPWSFCSPPWWPQWLYATAWTTSSLPSATTCTMSGRSTMWFPSVSHTTSRQPGRGM
+>NM_000667|740221_2_447_544
+MAPAGSPAGGSPSTTSLASAPSHSTQWWMKMQ
+>DECOY_NM_000667|740221_2_447_544
+QMKMWWQTSHSPASALSTTSPSGGAPSGAPAM
+>NM_000667|740222_2_612_835
+MGLQSMLPRSPQALPVLCLAWEGSAYLLLWAVKQLGQPESLRWTSTRTNLQRPKSWVPLNASTLKTTRNPSRRC
+>DECOY_NM_000667|740222_2_612_835
+CRRSPNRTTKLTSANLPVWSKPRQLNTRTSTWRLSEPQGLQKVAWLLLYASGEWALCLVPLAQPSRPLMSQLGM
+>NM_032408|740369_3_1352_1431
+MVSRTLEEVIEWLATQSEELKEFQIS
+>DECOY_NM_032408|740369_3_1352_1431
+SIQFEKLEESQTALWEIVEELTRSVM
+>NM_000862|740483_2_563_666
+MAMKKSLWKTHGPLHTHTAKSLLRRLYWRLTGGI
+>DECOY_NM_000862|740483_2_563_666
+IGGTLRWYLRRLLSKATHTHLPGHTKWLSKKMAM
+>NM_000862|740485_2_770_855
+MGSCQVLESSPLLTQSMLAMWPGPTFWP
+>DECOY_NM_000862|740485_2_770_855
+PWFTPGPWMALMSQTLLPSSELVQCSGM
+>NM_002844|740600_2_434_549
+MVQGPVITTRICMMTLNGCMLVLKSLIIYHPRCPKVPI
+>DECOY_NM_002844|740600_2_434_549
+IPVKPCRPHYIILSKLVLMCGNLTMMCIRTTIVPGQVM
+>NM_002844|740613_2_3470_3600
+MECPTMLQGCFPLSGESSYQTLPVLAPSLYIAVLVLDELAATL
+>DECOY_NM_002844|740613_2_3470_3600
+LTAALEDLVLVAIYLSPALVPLTQYSSEGSLPFCGQLMTPCEM
+>NM_002844|740621_3_4329_4498
+MGFSSRSAWIQKVILETDTSGGKVAGGMRGRGRPDDYPLPKWWRAKWHVLCYRHRC
+>DECOY_NM_002844|740621_3_4329_4498
+CRHRYCLVHWKARWWKPLPYDDPRGRGRMGGAVKGGSTDTELIVKQIWASRSSFGM
+>NM_003750|740746_3_271_368
+MAKDTRTNYVEILGTLRGSSQEPLGKGGVIPV
+>DECOY_NM_003750|740746_3_271_368
+VPIVGGKGLPEQSSGRLTGLIEVYNTRTDKAM
+>NM_173353|740848_2_748_860
+MVSPFPGWSILKKKLKLGVLYSGSSPNSIPLMLAESI
+>DECOY_NM_173353|740848_2_748_860
+ISEALMLPISNPSSGSYLVGLKLKKKLISWGPFPSVM
+>NM_173353|740849_2_1051_1157
+MAQIPSTPQNQTHAMNSWDMFHYLRILSLLSFHKK
+>DECOY_NM_173353|740849_2_1051_1157
+KKHFSLLSLIRLYHFMDWSNMAHTQNQPTSPIQAM
+>NM_001081491|740875_2_233_318
+MVKETVGLEEAVLVFGLPALRKMMEMWQ
+>DECOY_NM_001081491|740875_2_233_318
+QWMEMMKRLAPLGFVLVAEELGVTEKVM
+>NM_001081491|740877_2_332_543
+MVPEYDTTPIPPDLTVGVILGMIEIAFMLLCGETELLQREEGLAPARMGPQRTGSRLQFLMAESMTRHGS
+>DECOY_NM_001081491|740877_2_332_543
+SGHRTMSEAMLFQLRSGTRQPGMRAPALGEERQLLETEGCLLMFAIEIMGLIVGVTLDPPIPTTDYEPVM
+>NM_001081491|740880_2_797_876
+MAPNKPLTSKASVQTQIWWPRTLTLS
+>DECOY_NM_001081491|740880_2_797_876
+SLTLTRPWWIQTQVSAKSTLPKNPAM
+>NM_001135648|740962_2_3227_3303
+MATRDQVITLQPKVPFMKQCMISGG
+>DECOY_NM_001135648|740962_2_3227_3303
+GGSIMCQKMFPVKPQLTIVQDRTAM
+>NM_004625|741146_2_540_688
+MAAGTALHWESAPSSGRSSKWGAGRLRSPTPSLPPAWPTPSQLPVPRAT
+>DECOY_NM_004625|741146_2_540_688
+TARPVPLQSPTPWAPPLSPTPSRLRGAGWKSSRGSSPASEWHLATGAAM
+>NM_002625|741161_2_846_967
+MARVNSTSEAASEVTLASQFAASSMPMPWPTSFSPRASAP
+>DECOY_NM_002625|741161_2_846_967
+PASARPSFSTPWPMPMSSAAFQSALTVESAAESTSNVRAM
+>NM_152625|741223_2_1852_1931
+MESWSGAFIPKVWEGGESPWHRQPVS
+>DECOY_NM_152625|741223_2_1852_1931
+SVPQRHWPSEGGEWVKPIFAGSWSEM
+>NM_004795|741251_2_1251_1333
+MAGLSQGPPREMMPNICITSKSSSWKP
+>DECOY_NM_004795|741251_2_1251_1333
+PKWSSSKSTICINPMMERPPGQSLGAM
+>NM_004795|741255_2_2859_2965
+MVSRAQKLWKDFVQKNSPCVLSAVFFTPESLYWLS
+>DECOY_NM_004795|741255_2_2859_2965
+SLWYLSEPTFFVASLVCPSNKQVFDKWLKQARSVM
+>NM_003804|741328_2_1333_1532
+MVMQCTSPQGSPANLKYCIRTMDYIAHMALEQDHWIQEQQVPEFGTGQFQVICLVCIISQCLRPTI
+>DECOY_NM_003804|741328_2_1333_1532
+ITPRLCQSIICVLCIVQFQGTGFEPVQQEQIWHDQELAMHAIYDMTRICYKLNAPSGQPSTCQMVM
+>NM_003804|741330_2_1396_1532
+MDYIAHMALEQDHWIQEQQVPEFGTGQFQVICLVCIISQCLRPTI
+>DECOY_NM_003804|741330_2_1396_1532
+ITPRLCQSIICVLCIVQFQGTGFEPVQQEQIWHDQELAMHAIYDM
+>NM_001142805|741358_2_1293_1432
+MGPASLLASWSSPSWASWLQSRACTSPRWQSQGRAWPSSPTRGLSR
+>DECOY_NM_001142805|741358_2_1293_1432
+RSLGRTPSSPWARGQSQWRPSTCARSQLWSAWSPSSWSALLSAPGM
+>NM_001142805|741360_3_1807_1919
+MVLVLLHPAGLHGHLHLQRCVLRAAGLQQHLRVPVVG
+>DECOY_NM_001142805|741360_3_1807_1919
+GVVPVRLHQQLGAARLVCRQLHLHGHLGAPHLLVLVM
+>NM_130797|741404_2_1453_1589
+MAESFSSSEPSPREDEGNSITSRCPRPSPTAATTTSSPSPPGTGT
+>DECOY_NM_130797|741404_2_1453_1589
+TGTGPPSPSSTTTAATPSPRPCRSTISNGEDERPSPESSSFSEAM
+>NM_153264|741508_2_4764_4942
+METLEYEETQDPKETKGLQDVQGRGVRRDSKDFLDLREVMETMGLMDLMGKRAVMDFLE
+>DECOY_NM_153264|741508_2_4764_4942
+ELFDMVARKGMLDMLGMTEMVERLDLFDKSDRRVGRGQVDQLGKTEKPDQTEEYELTEM
+>NM_153264|741509_2_5007_5332
+MERRASQGIRVIQDKTITSKDKRAPKENKEDKVEVDRKGCKAVLVPEAAGEEKVKGDSEVSQENQEILDLQAHWELKDYKAHRGHREILAEKEKKEARGRKDLRVLLG
+>DECOY_NM_153264|741509_2_5007_5332
+GLLVRLDKRGRAEKKEKEALIERHGRHAKYDKLEWHAQLDLIEQNEQSVESDGKVKEEGAAEPVLVAKCGKRDVEVKDEKNEKPARKDKSTITKDQIVRIGQSARREM
+>NM_153264|741513_2_6111_6253
+MVKQPVGHPSSRPPWSLVPWISVQQSLLLMREFSLKLLGLTTLEHFR
+>DECOY_NM_153264|741513_2_6111_6253
+RFHELTTLGLLKLSFERMLLLSQQVSIWPVLSWPPRSSPHGVPQKVM
+>NM_153264|741517_2_7296_7381
+MAEKKNQIILMNLEMSLFKNITWMWLSS
+>DECOY_NM_153264|741517_2_7296_7381
+SSLWMWTINKFLSMELNMLIIQNKKEAM
+>NM_001979|741604_2_874_947
+MDFPRVGILGGTRSLLWPRQVTGS
+>DECOY_NM_001979|741604_2_874_947
+SGTVQRPWLLSRTGGLIGVRPFDM
+>NM_004975|741753_2_186_481
+MAPAPPARCRPSPWRSCAARRALGGSASTSGGWRTRYSGVPWTACPARGWASSATATRTTRCSRCAMTTASTTTSTSLTATRAPSPPSSTSTALGDCT
+>DECOY_NM_004975|741753_2_186_481
+TCDGLATSTSSPPSPARTATLSTSTTTSATTMACRSCRTTRTATASSAWGRAPCATWPVGSYRTRWGGSTSASGGLARRAACSRWPSPRCRAPPAPAM
+>NM_004975|741759_2_1302_1375
+METSTPRLSWGKLLGDSAALQESW
+>DECOY_NM_004975|741759_2_1302_1375
+WSEQLAASDGLLKGWSLRPTSTEM
+>NM_004975|741760_2_1566_1735
+MGRIWVRKTKYKITTCLLTNGNGQRGHCLKPAQVSPLKPRNRDPLKKPDRLLVLST
+>DECOY_NM_004975|741760_2_1566_1735
+TSLVLLRDPKKLPDRNRPKLPSVQAPKLCHGRQGNGNTLLCTTIKYKTKRVWIRGM
+>NM_003505|741786_2_1980_2200
+MAPRPRSWRSSWCALASSACCTLCQPPSSSPATSTSRPSGTSGNAAGWPRAARATLSPALTSRRAEAPRRTRP
+>DECOY_NM_003505|741786_2_1980_2200
+PRTRRPAEARRSTLAPSLTARAARPWGAANGSTGSPRSTSTAPSSSPPQCLTCCASSALACWSSRWSRPRPAM
+>NM_004995|741809_2_358_461
+MATCLPGTYVPTHSAHPSHSQRPSLPCRSFTACK
+>DECOY_NM_004995|741809_2_358_461
+KCATFSRCPLSPRQSHSPHASHTPVYTGPLCTAM
+>NM_004995|741824_2_1360_1706
+MANSSSSKETSIGCLMRRPWNLATPSTLRSWAEGCLPTRLMLLSSGCPMERPTSSVETSTTVSTKSSGQWIASTPRTSKSGKGSLSLPEGHSWAAMKSSLTSTRGTNTGNSTTRS
+>DECOY_NM_004995|741824_2_1360_1706
+SRTTSNGTNTGRTSTLSSKMAAWSHGEPLSLSGKGSKSTRPTSAIWQGSSKTSVTTSTEVSSTPREMPCGSSLLMLRTPLCGEAWSRLTSPTALNWPRRMLCGISTEKSSSSNAM
+>NM_006023|741897_2_405_631
+MELWWFQEGMIHQHILSQTVMMKQKKYSGLMMRTQPRLRHQNFLSLPLKSRKLSIPSGAVSFLSLIGVPQGMRIG
+>DECOY_NM_006023|741897_2_405_631
+GIRMGQPVGILSLFSVAGSPISLKRSKLPLSLFNQHRLRPQTRMMLGSYKKQKMMVTQSLIHQHIMGEQFWWLEM
+>NM_001397|742050_3_1958_2148
+MVEELIRGGLQASDRVHGRAVQQLQRERGAGERAAHPGGEHRRQRGSQGGLSGLPELGEEERG
+>DECOY_NM_001397|742050_3_1958_2148
+GREEEGLEPLGSLGGQSGRQRRHEGGPHAAREGAGRERQLQQVARGHVRDSAQLGGRILEEVM
+>NM_002858|742075_2_949_1094
+MGIKEKSRQSTQSSENWWNTYIISFCFGFQWASLIVLLPNTLPLLLVT
+>DECOY_NM_002858|742075_2_949_1094
+TVLLLPLTNPLLVILSAWQFGFCFSIIYTNWWNESSQTSQRSKEKIGM
+>NM_000306|742087_2_387_490
+MDFLLYTSLFWQRTPQLLISSRNSGGKVNWWKSQ
+>DECOY_NM_000306|742087_2_387_490
+QSKWWNVKGGSNRSSILLQPTRQWFLSTYLLFDM
+>NM_000355|742155_2_627_775
+MAWAFWPCVSTRSGSMTAWWTNFCMLWNLSTRATILWTQQPWQAWHSPV
+>DECOY_NM_000355|742155_2_627_775
+VPSHWAQWPQQTWLITARTSLNWLMCFNTWWATMSGSRTSVCPWFAWAM
+>NM_002594|742339_2_822_1006
+MGLTISTRTWPPTIMPKQVTTSAATTPILTLGTQMTGLTATGPDVQEKFLLPPTTISVELE
+>DECOY_NM_002594|742339_2_822_1006
+ELEVSITTPPLLFKEQVDPGTATLGTMQTGLTLIPTTAASTTVQKPMITPPWTRTSITLGM
+>NM_006255|742374_2_1323_1411
+MGLGLILPTDLVSTTLSSSECWGRGVLGR
+>DECOY_NM_006255|742374_2_1323_1411
+RGLVGRGWCESSSLTTSVLDTPLILGLGM
+>NM_006255|742377_2_1824_1909
+MVSPRPHSVARQTISLQRSSRKCCTGLQ
+>DECOY_NM_006255|742377_2_1824_1909
+QLGTCCKRSSRQLSITQRAVSHPRPSVM
+>NM_001540|742396_2_498_643
+MAWWRSPASTRSGRTSMATSPGASRGNTRCPPVWTPPKFPPPCPLRAH
+>DECOY_NM_001540|742396_2_498_643
+HARLPCPPPFKPPTWVPPCRTNGRSAGPSTAMSTRGSRTSAPSRWWAM
+>NM_001540|742397_2_546_643
+MATSPGASRGNTRCPPVWTPPKFPPPCPLRAH
+>DECOY_NM_001540|742397_2_546_643
+HARLPCPPPFKPPTWVPPCRTNGRSAGPSTAM
+>NM_003507|742418_2_1025_1161
+MATARWRRAPRRRAAPSSSWCSTSSAWPAPSGGSFCLSLGSWRPA
+>DECOY_NM_003507|742418_2_1025_1161
+APRWSGLSLCFSGGSPAPWASSTSCWSSSPAARRRPARRWRATAM
+>NM_001456|742490_2_1852_1952
+MACMASSITPWSLEPISSPSRGVVRTSGAVPSK
+>DECOY_NM_001456|742490_2_1852_1952
+KSPVAGSTRVVGRSPSSIPELSWPTISSAMCAM
+>NM_001456|742502_2_3598_3827
+MGMAHVPCPTCPPSPGTTTSTSSSLTPTSLAPHSRPTWFPALTHPKSSAQAPGWSGPPLGRWANSKWTARARAARS
+>DECOY_NM_001456|742502_2_3598_3827
+SRAARARATWKSNAWRGLPPGSWGPAQASSKPHTLAPFWTPRSHPALSTPTLSSSTSTTTGPSPPCTPCPVHAMGM
+>NM_001456|742503_2_3604_3827
+MAHVPCPTCPPSPGTTTSTSSSLTPTSLAPHSRPTWFPALTHPKSSAQAPGWSGPPLGRWANSKWTARARAARS
+>DECOY_NM_001456|742503_2_3604_3827
+SRAARARATWKSNAWRGLPPGSWGPAQASSKPHTLAPFWTPRSHPALSTPTLSSSTSTTTGPSPPCTPCPVHAM
+>NM_001456|742504_2_3889_4103
+MARTPLPTFPSAPGPTPSPSSTAASPCPTSPASCRWNLRWTLPVSSAMGLVLRARVSSVRPPLSSVWTPGL
+>DECOY_NM_001456|742504_2_3889_4103
+LGPTWVSSLPPRVSSVRARLVLGMASSVPLTWRLNWRCSAPSTPCPSAATSSPSPTPGPASPFTPLPTRAM
+>NM_001456|742505_2_4030_4103
+MGLVLRARVSSVRPPLSSVWTPGL
+>DECOY_NM_001456|742505_2_4030_4103
+LGPTWVSSLPPRVSSVRARLVLGM
+>NM_001456|742519_2_6454_6620
+MVGSACPLRAPARWTSTQRTWRTGRAGSPTAPQSQATTSSTSSLPTSTCLAAPSL
+>DECOY_NM_001456|742519_2_6454_6620
+LSPAALCTSTPLSSTSSTTAQSQPATPSGARGTRWTRQTSTWRAPARLPCASGVM
+>NM_001456|742523_2_7498_7580
+MEGTQAWCLLTEQVWKAVSQGTQLSSS
+>DECOY_NM_001456|742523_2_7498_7580
+SSSLQTGQSVAKWVQETLLCWAQTGEM
+>NM_000898|742583_2_1404_1495
+MEGFYASQWTGFTLQAPRLPHTGAATWRGL
+>DECOY_NM_000898|742583_2_1404_1495
+LGRWTAAGTHPLRPAQLTFGTWQSAYFGEM
+>NM_014773|742854_2_987_1147
+MAEAPPGTLARRSFIISWLPARATAWLSTAMPGAYYETQPLRGTLSGRGQCPC
+>DECOY_NM_014773|742854_2_987_1147
+CPCQGRGSLTGRLPQTEYYAGPMATSLWATARAPLWSIIFSRRALTGPPAEAM
+>NM_014773|742855_2_1266_1348
+MGTHRAGTTLEFAMRKALVCRGIWERP
+>DECOY_NM_014773|742855_2_1266_1348
+PREWIGRCVLAKRMAFELTTGARHTGM
+>NM_018723|742944_2_1892_2058
+MDFMVQTFMVVMLHTATPSLPLPLPLPTVTVTDEFMLPTPTTTHLLQPPPTALVP
+>DECOY_NM_018723|742944_2_1892_2058
+PVLATPPPQLLHTTTPTPLMFEDTVTVTPLPLPLPLSPTATHLMVVMFTQVMFDM
+>NM_000204|743044_2_416_510
+MALQCVQLTGEASQHTVNKRVWNVFIQGQSF
+>DECOY_NM_000204|743044_2_416_510
+FSQGQIFVNWVRKNVTHQSAEGTLQVCQLAM
+>NM_000204|743045_2_932_1128
+MVSMIVETKVMNCVVKHAKAKASIANRVFAFQASINAMVRWTALQGKMKLAVQALHLWLKKKQKF
+>DECOY_NM_000204|743045_2_932_1128
+FKQKKKLWLHLAQVALKMKGQLATWRVMANISAQFAFVRNAISAKAKAHKVVCNMVKTEVIMSVM
+>NM_000204|743048_2_1043_1128
+MVRWTALQGKMKLAVQALHLWLKKKQKF
+>DECOY_NM_000204|743048_2_1043_1128
+FKQKKKLWLHLAQVALKMKGQLATWRVM
+>NM_002479|743056_2_340_413
+MGKTTCLSTSRASNHQATSGRSSP
+>DECOY_NM_002479|743056_2_340_413
+PSSRGSTAQHNSARSTSLCTTKGM
+>NM_003058|743148_2_201_346
+MEGSFTFSRSKCFSSWLCSRLPSRPSTWASSSWASPLTTAAGAPEWPS
+>DECOY_NM_003058|743148_2_201_346
+SPWEPAGAATTLPSAWSSSAWTSPRSPLRSCLWSSFCKSRSFTFSGEM
+>NM_014970|743212_2_1751_1833
+MDQLKICLLIMLGTLQPRSLMMKKRSL
+>DECOY_NM_014970|743212_2_1751_1833
+LSRKKMMLSRPQLTGLMILLCIKLQDM
+>NM_052967|743236_2_415_617
+MESCFLSLISWPYCLPSPLRCVSVSWWPSAQSGVCVSSSPSGTDATAQNTHLMLSAPSSGACLFAST
+>DECOY_NM_052967|743236_2_415_617
+TSAFLCAGSSPASLMLHTNQATADTGSPSSSVCVGSQASPWWSVSVCRLPSPLCYPWSILSLFCSEM
+>NM_000088|743255_2_520_917
+MASLDSLDFPDPPDPPDLPDPLASEETLLPSCLMAMMRNQPEEFPCLAPWVPLVLVVSLAPLVHLVPKASKVPLVSLASLELQVPWVPEVPQVPLERMEMMGKLENLVVLVSVGLLGLRVLEDCPEQLASLE
+>DECOY_NM_000088|743255_2_520_917
+ELSALQEPCDELVRLGLLGVSVLVVLNELKGMMEMRELPVQPVEPVWPVQLELSALSVLPVKSAKPVLHVLPALSVVLVLPVWPALCPFEEPQNRMMAMLCSPLLTEESALPDPLDPPDPPDPFDLSDLSAM
+>NM_000088|743259_2_811_917
+MEMMGKLENLVVLVSVGLLGLRVLEDCPEQLASLE
+>DECOY_NM_000088|743259_2_811_917
+ELSALQEPCDELVRLGLLGVSVLVVLNELKGMMEM
+>NM_000088|743261_2_820_917
+MGKLENLVVLVSVGLLGLRVLEDCPEQLASLE
+>DECOY_NM_000088|743261_2_820_917
+ELSALQEPCDELVRLGLLGVSVLVVLNELKGM
+>NM_000088|743264_2_1009_1745
+MELLVRWAPVACLVREVALEPLALLVLVEMMVLLVLPGPLVPPAPLVLLASLVLLVLRVKLVPKGPEALKVPRVCVVSLAPLALLVLLALLETLVLMDSLVLKVPMVLLVLLVLLASLVPEAPLDPRAPAALLVPRVTAVNLVLLAAKETLVLRESLALLVFKDPLALLERKESEELEVNPDPLACPDPLASVVDLVAVVSLAQMVLLVPRVPLVNVVLLALLAPKDLLVKLVVPVKLVCLVPRV
+>DECOY_NM_000088|743264_2_1009_1745
+VRPVLCVLKVPVVLKVLLDKPALLALLVVNVLPVRPVLLVMQALSVVAVLDVVSALPDPCALPDPNVELEESEKRELLALPDKFVLLALSERLVLTEKAALLVLNVATVRPVLLAAPARPDLPAEPVLSALLVLLVLLVMPVKLVLSDMLVLTELLALLVLLALPALSVVCVRPVKLAEPGKPVLKVRLVLLVLSALLVLPAPPVLPGPLVLLVMMEVLVLLALPELAVERVLCAVPAWRVLLEM
+>NM_000088|743266_2_1099_1745
+MVLLVLPGPLVPPAPLVLLASLVLLVLRVKLVPKGPEALKVPRVCVVSLAPLALLVLLALLETLVLMDSLVLKVPMVLLVLLVLLASLVPEAPLDPRAPAALLVPRVTAVNLVLLAAKETLVLRESLALLVFKDPLALLERKESEELEVNPDPLACPDPLASVVDLVAVVSLAQMVLLVPRVPLVNVVLLALLAPKDLLVKLVVPVKLVCLVPRV
+>DECOY_NM_000088|743266_2_1099_1745
+VRPVLCVLKVPVVLKVLLDKPALLALLVVNVLPVRPVLLVMQALSVVAVLDVVSALPDPCALPDPNVELEESEKRELLALPDKFVLLALSERLVLTEKAALLVLNVATVRPVLLAAPARPDLPAEPVLSALLVLLVLLVMPVKLVLSDMLVLTELLALLVLLALPALSVVCVRPVKLAEPGKPVLKVRLVLLVLSALLVLPAPPVLPGPLVLLVM
+>NM_000088|743271_2_1963_2420
+MERLELRDPLALLVPLAREVNKALLAPPDSRVSLVLLVLQVKQANLVNRVFLETLAPLAPLEQEAREVSLASVVCKVPLVLLVPEGPTVLPATMVLRVMLVPLELPVARAPLAFRECLVNVVQLVFQGLRVTEVMLVPKVLMALLAKMASVV
+>DECOY_NM_000088|743271_2_1963_2420
+VVSAMKALLAMLVKPVLMVETVRLGQFVLQVVNVLCERFALPARAVPLELPVLMVRLVMTAPLVTPGEPVLLVLPVKCVVSALSVERAEQELPALPALTELFVRNVLNAQKVQLVLLVLSVRSDPPALLAKNVERALPVLLALPDRLELREM
+>NM_000088|743272_2_2242_2420
+MVLRVMLVPLELPVARAPLAFRECLVNVVQLVFQGLRVTEVMLVPKVLMALLAKMASVV
+>DECOY_NM_000088|743272_2_2242_2420
+VVSAMKALLAMLVKPVLMVETVRLGQFVLQVVNVLCERFALPARAVPLELPVLMVRLVM
+>NM_000088|743279_2_3583_3839
+MDSTVSLAPLGPLVLAVALVMLVLLVPPALLDLLVPLVLPALVSTSASCPSHLKRRLTMVAATTGLMMPMWFVTVTSRWTPPSRA
+>DECOY_NM_000088|743279_2_3583_3839
+ARSPPTWRSTVTVFWMPMMLGTTAAVMTLRRKLHSPCSASTSVLAPLVLPVLLDLLAPPVLLVLMVLAVALVLPGLPALSVTSDM
+>NM_000088|743281_2_3757_3839
+MVAATTGLMMPMWFVTVTSRWTPPSRA
+>DECOY_NM_000088|743281_2_3757_3839
+ARSPPTWRSTVTVFWMPMMLGTTAAVM
+>NM_004421|743370_2_636_766
+MAARAGSAAPRSRAPHPDSSGSTNAGGGSSAFGRRTGPPPSAA
+>DECOY_NM_004421|743370_2_636_766
+AASPPPGTRRGFASSGGGANTSGSSDPHPARSRPAASGARAAM
+>NM_004421|743374_2_1650_1990
+MAAAAPGVSRVKGAKAVGPPGAAAGPRAVRRSVGRRELGAVAVNRITRHRVGWGAAGESVRPASSAVAAAHAVRPRLPPRGSPRPTPRPRPIQWWGGHPGDPLSGSWLPSPRN
+>DECOY_NM_004421|743374_2_1650_1990
+NRPSPLWSGSLPDGPHGGWWQIPRPRPTPRPSGRPPLRPRVAHAAAVASSAPRVSEGAAGWGVRHRTIRNVAVAGLERRGVSRRVARPGAAAGPPGVAKAGKVRSVGPAAAAM
+>NM_052876|743460_2_760_926
+MAAARWPSSPRRTWLPTGLTSPRHPNRLRWWQQPSPPWLREQGSQPVGWQQQGVW
+>DECOY_NM_052876|743460_2_760_926
+WVGQQQWGVPQSGQERLWPPSPQQWWRLRNPHRPSTLGTPLWTRRPSSPWRAAAM
+>NM_021070|743487_2_2389_2600
+MASAATRQDLSSVSASLATICPGTGATARTLMSVTSLQPALGVTASIPMAPTDVFAPRGIGWWVAGNAKT
+>DECOY_NM_021070|743487_2_2389_2600
+TKANGAVWWGIGRPAFVDTPAMPISATVGLAPQLSTVSMLTRATAGTGPCITALSASVSSLDQRTAASAM
+>NM_007365|743643_2_245_324
+MGRLRRWPPMASSAGFSRPAPPCGSP
+>DECOY_NM_007365|743643_2_245_324
+PSGCPPAPRSFGASSAMPPWRRLRGM
+>NM_007365|743646_2_461_540
+MVWWRRTTQRRHPGPGAPRARGPSCW
+>DECOY_NM_007365|743646_2_461_540
+WCSPGRARPAGPGPHRRQTTRRWWVM
+>NM_207325|743721_3_337_422
+MEDFVIDNWWNHCPLHWTSYICLPCHVT
+>DECOY_NM_207325|743721_3_337_422
+TVHCPLCIYSTWHLPCHNWWNDIVFDEM
+>NM_005994|743744_2_1227_1381
+MARSQTPRRATLPPRGNHPPPRAQRPVRCACTGPELRRSRAPRTATRSLSG
+>DECOY_NM_005994|743744_2_1227_1381
+GSLSRTATRPARSRRLEPGTCACRVPRQARPPPHNGRPPLTARRPTQSRAM
+>NM_015044|743839_2_1142_1368
+MDLRRWGLWCHLCFIRTWQPWESVMLLLQAWFLVRIAVRKRGIPPPARCQAVVFRTLLQTGICWTSSQHSQLRAL
+>DECOY_NM_015044|743839_2_1142_1368
+LARLQSHQSSTWCIGTQLLTRFVVAQCRAPPPIGRKRVAIRVLFWAQLLLMVSEWPQWTRIFCLHCWLGWRRLDM
+>NM_001408|743915_2_2636_2781
+METVTLLLSPRQASCERYGGWIERTWPSMSCGHMQWTRGCPQPAHLWK
+>DECOY_NM_001408|743915_2_2636_2781
+KWLHAPQPCGRTWQMHGCSMSPWTREIWGGYRECSAQRPSLLLTVTEM
+>NM_001408|743921_2_4076_4161
+MGAPVSTCWWAVSSAIAHLETSRSPTAR
+>DECOY_NM_001408|743921_2_4076_4161
+RATPSRSTELHAIASSVAWWCTSVPAGM
+>NM_001408|743923_2_4754_4830
+MAPCLAALPRRTCVTATLATMGALA
+>DECOY_NM_001408|743923_2_4754_4830
+ALAGMTALTATVCTRRPLAALCPAM
+>NM_001408|743924_2_4943_5079
+MASRCPSPNPGTSASCSARARPTVSCCRPSPGGAAPSPYSYERAT
+>DECOY_NM_001408|743924_2_4943_5079
+TAREYSYPSPAAGGPSPRCCSVTPRARASCSASTGPNPSPCRSAM
+>NM_001408|743928_2_5402_5562
+MGRASTWSKAVACLTLVTQTRVLLTAIAATTGTAIPAAVIQVTMVTTVLMCVT
+>DECOY_NM_001408|743928_2_5402_5562
+TVCMLVTTVMTVQIVAAPIATGTTAAIATLLVRTQTVLTLCAVAKSWTSARGM
+>NM_001408|743931_2_5879_5973
+MASVHASQVSSGVSVTAVTTLLLRSPPMAVK
+>DECOY_NM_001408|743931_2_5879_5973
+KVAMPPSRLLLTTVATVSVGSSVQSAHVSAM
+>NM_001408|743939_2_8399_8532
+MGAQGLARPPGQETLGPQQKRVVATGPLRSGCGRMEMPCLERGP
+>DECOY_NM_001408|743939_2_8399_8532
+PGRELCPMEMRGCGSRLPGTAVVRKQQPGLTEQGPPRALGQAGM
+>NM_002111|744018_2_680_771
+MVPLGVCVLPCGGLLSWLTWFGLRNAGLTW
+>DECOY_NM_002111|744018_2_680_771
+WTLGANRLGFWTLWSLLGGCPLVCVGLPVM
+>NM_002111|744022_2_1799_1899
+MGPRPRRPSATAPRPPPKGLIQLLPLQTVLKLC
+>DECOY_NM_002111|744022_2_1799_1899
+CLKLVTQLPLLQILGKPPPRPATASPRRPRPGM
+>NM_002111|744026_2_2426_2595
+METHRFEEPLPFSVGPSSAPSSAGPASTWEIGWAPLEPSQEIHFLWRIAFLCCGKH
+>DECOY_NM_002111|744026_2_2426_2595
+HKGCCLFAIRWLFHIEQSPELPAWGIEWTSAPGASSPASSPGVSFPLPEEFRHTEM
+>NM_002111|744039_3_7878_8149
+MGSCPFSVSGYYRCPHQPREAAATDQPRAGAGEHELQTRPGVHTLRVAGEQHHTPEGGGMGRGRGGGGRRPCTFVTTHVSSQLQETPGWS
+>DECOY_NM_002111|744039_3_7878_8149
+SWGPTEQLQSSVHTTVFTCPRRGGGGRGRGMGGGEPTHHQEGAVRLTHVGPRTQLEHEGAGARPQDTAAAERPQHPCRYYGSVSFPCSGM
+>NM_002111|744040_3_8055_8149
+MGRGRGGGGRRPCTFVTTHVSSQLQETPGWS
+>DECOY_NM_002111|744040_3_8055_8149
+SWGPTEQLQSSVHTTVFTCPRRGGGGRGRGM
+>NM_000163|744106_2_1184_1293
+MATLDVPAVVNLTFWRLISMPMTYMRVPQRLLSHRG
+>DECOY_NM_000163|744106_2_1184_1293
+GRHSLLRQPVRMYTMPMSILRWFTLNVVAPVDLTAM
+>NM_005766|744164_2_2293_2528
+MESRAPGGWRTSAETLSCRRCVTYRSTPSSCGHCTGSCTTSRSWSGCANTTRRATPTSGTAEPLWQRSRRWWHSSTVR
+>DECOY_NM_005766|744164_2_2293_2528
+RVTSSHWWRRSRQWLPEATGSTPTARRTTNACGSWSRSTTCSGTCHGCSSPTSRYTVCRRCSLTEASTRWGGPARSEM
+>NM_006920|744272_2_157_290
+MAQSQIVTWKLERTFHLFMETFLQRWCQSPWRTWTPTISIRKLL
+>DECOY_NM_006920|744272_2_157_290
+LLKRISITPTWTRWPSQCWRQLFTEMFLHFTRELKWTVIQSQAM
+>NM_006920|744281_2_1960_2051
+MVWFPWLVDLQFLHRLLDSFCQREQPLKLK
+>DECOY_NM_006920|744281_2_1960_2051
+KLKLPQERQCFSDLLRHLFQLDVLWPFWVM
+>NM_020396|744306_2_539_624
+MAFVTSSGPPFHWLFGENSWSRLFCHAC
+>DECOY_NM_020396|744306_2_539_624
+CAHCFLRSWSNEGFLWHFPPGSSTVFAM
+>NM_000106|744367_2_460_548
+MGPRGASRGASPSPPCATWAWARSRWSSG
+>DECOY_NM_000106|744367_2_460_548
+GSSWRSRAWAWTACPPSPSAGRSAGRPGM
+>NM_002543|744389_2_565_653
+MEKTVTYFPRAHLTGKRAKRSACLWMPSC
+>DECOY_NM_002543|744389_2_565_653
+CSPMWLCASRKARKGTLHARPFYTVTKEM
+>NM_000690|744529_2_820_1010
+MASPMSSPTWWIWTWSSNVSGIMPAGLISTTGKPSPLTETSSATHAMNLWGCAGRSFRGISRS
+>DECOY_NM_000690|744529_2_820_1010
+SRSIGRFSRGACGWLNMAHTASSTETLPSPKGTTSILGAPMIGSVNSSWTWIWWTPSSMPSAM
+>NM_000761|744570_3_198_391
+MGLALARACADPGEEPAPGTVKDEPALRGRPADPHWLHARAGAEPPGHHPAGPGAAGRRFQGPA
+>DECOY_NM_000761|744570_3_198_391
+APGQFRRGAAGPGAPHHGPPEAGARAHLWHPDAPRGRLAPEDKVTGPAPEEGPDACARALALGM
+>NM_006018|744640_2_288_367
+MALPCGFSVSTSSPGNPAGFSCSTWQ
+>DECOY_NM_006018|744640_2_288_367
+QWTSCSFGAPNGPSSTSVSFGCPLAM
+>NM_006018|744641_2_666_1009
+MALQMCASASASAIPSGGTKLCSSWSSSCPWASSCSAQPELSGACGRDKWTGMPRSREPSPSSWWWPSSLSSASFPAWLCGSTSSGSCTLRARRIVKCTARWTWRSLSLSASPT
+>DECOY_NM_006018|744641_2_666_1009
+TPSASLSLSRWTWRATCKVIRRARLTCSGSSTSGCLWAPFSASSLSSPWWWSSPSPERSRPMGTWKDRGCAGSLEPQASCSSAWPCSSSWSSCLKTGGSPIASASASACMQLAM
+>NM_000578|744661_2_383_456
+MVPSPARPARPAQGHSKHLPERPT
+>DECOY_NM_000578|744661_2_383_456
+TPREPLHKSHGQAPRAPRAPSPVM
+>NM_000578|744669_3_513_730
+MGLHGAWLPHEHCFPGPRKHRVRSSGWRRGGIQTSLGAALGHRVGLALPATGCTSGRGDRQGLGRGLPSLLP
+>DECOY_NM_000578|744669_3_513_730
+PLLSPLGRGLGQRDGRGSTCGTAPLALGVRHGLAAGLSTQIGGRRWGSSRVRHKRPGPFCHEHPLWAGHLGM
+>NM_021245|744690_2_744_1078
+MALISSTIWALGLELGVQVVPRARLAEEELLAQQGLVRQDQETRQAEKENISLCSRPIFPHGSEPWGLTPSKKWNLALTCWPMGPKLNFPNISPSTGRQCPMVDMRRPPNA
+>DECOY_NM_021245|744690_2_744_1078
+ANPPRRMDVMPCQRGTSPSINPFNLKPGMPWCTLALNWKKSPTLGWPESGHPFIPRSCLSINEKEAQRTEQDQRVLGQQALLEEEALRARPVVQVGLELGLAWITSSILAM
+>NM_015088|744804_2_1547_1716
+MGTMEKRERTPGKELLFRNQLGQKMTLGTTITGLRVGPGTLAPRTLMTTNGVKGTK
+>DECOY_NM_015088|744804_2_1547_1716
+KTGKVGNTTMLTRPALTGPGVRLGTITTGLTMKQGLQNRFLLEKGPTRERKEMTGM
+>NM_015088|744805_2_1556_1716
+MEKRERTPGKELLFRNQLGQKMTLGTTITGLRVGPGTLAPRTLMTTNGVKGTK
+>DECOY_NM_015088|744805_2_1556_1716
+KTGKVGNTTMLTRPALTGPGVRLGTITTGLTMKQGLQNRFLLEKGPTRERKEM
+>NM_015088|744811_2_2450_2583
+MVGGRKSIRQKTAIGKVLQVNLCLGGVKEGRMKSGLGVMVAMQA
+>DECOY_NM_015088|744811_2_2450_2583
+AQMAVMVGLGSKMRGEKVGGLCLNVQLVKGIATKQRISKRGGVM
+>NM_015088|744838_3_2433_2509
+MVFWKEWLGGGSRSDKKQQLGKFCK
+>DECOY_NM_015088|744838_3_2433_2509
+KCFKGLQQKKDSRSGGGLWEKWFVM
+>NM_000958|744871_2_1811_1884
+MALEAGICFQVCLAWAWPRKTPPH
+>DECOY_NM_000958|744871_2_1811_1884
+HPPTKRPWAWALCVQFCIGAELAM
+>NM_004958|744986_2_4253_4359
+MALFCWVRELPSAEHMPKHYTTKNWSSRKAPPLPF
+>DECOY_NM_004958|744986_2_4253_4359
+FPLPPAKRSSWNKTTYHKPMHEASPLERVWCFLAM
+>NM_004958|744989_2_4745_4845
+MGHFIELCWHCIRTSSPWHNSALTRPGTCWMLN
+>DECOY_NM_004958|744989_2_4745_4845
+NLMWCTGPRTLASNHWPSSTRICHWCLEIFHGM
+>NM_004958|745002_3_3966_4102
+MAETAEPGAAEGLIIALPALLLGPGTGLQPDGQGSLQCCICVLLV
+>DECOY_NM_004958|745002_3_3966_4102
+VLLVCICCQLSGQGDPQLGTGPGLLLAPLAIILGEAAGPEATEAM
+>NM_006725|745106_2_758_906
+MASGDQCAMTLGTWRTPTWCAGNWAAAGQSRPCPACTSRPAAGLSTGTR
+>DECOY_NM_006725|745106_2_758_906
+RTGTSLGAAPRSTCAPCPRSQGAAAWNGACWTPTRWTGLTMACQDGSAM
+>NM_006725|745108_2_1214_1371
+MGRSSPSPTAPGGSTTPTSAASRWQPGSSAQLPGVCTICPLPKSLQVFRQSL
+>DECOY_NM_006725|745108_2_1214_1371
+LSQRFVQLSKPLPCITCVGPLQASSGPQWRSAASTPTTSGGPATPSPSSRGM
+>NM_001470|745249_3_2930_3024
+MAVGGAGHHEDRVIDQQQRGGEVPAVGEGEP
+>DECOY_NM_001470|745249_3_2930_3024
+PEGEGVAPVEGGRQQQDIVRDEHHGAGGVAM
+>NM_001042594|745506_2_1677_1771
+MVQLKPQMSSYKIHHYMIQKSGPLKIKKDEK
+>DECOY_NM_001042594|745506_2_1677_1771
+KEDKKIKLPGSKQIMYHHIKYSSMQPKLQVM
+>NM_007335|745575_2_2872_3071
+MVPGATFLCMLRYRSPMCTYRAARWRLEISTWVCPRRQPSHLSMARSCLPSSTGASSSDTKQNSAW
+>DECOY_NM_007335|745575_2_2872_3071
+WASNQKTDSSSAGTSSPLCSRAMSLHSPQRRPCVWTSIELRWRAARYTCMPSRYRLMCLFTAGPVM
+>NM_007335|745583_2_4612_4727
+MGRARTTELLALARSRSVRRRQPQRTSSWCSKHRRTCW
+>DECOY_NM_007335|745583_2_4612_4727
+WCTRRHKSCWSSTRQPQRRRVSRSRALALLETTRARGM
+>NM_007337|745641_3_5066_5163
+MVVEGVLGEKSCTLRLRGQGSYDERYMLPHQP
+>DECOY_NM_007337|745641_3_5066_5163
+PQHPLMYREDYSGQGRLRLTCSKEGLVGEVVM
--- /dev/null	Thu Jan 01 00:00:00 1970 +0000
+++ b/test-data/SequenceCoverageCalculator.idXML	Wed May 15 06:41:00 2019 -0400
@@ -0,0 +1,161 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<?xml-stylesheet type="text/xsl" href="https://www.openms.de/xml-stylesheet/IdXML.xsl" ?>
+<IdXML version="1.5" xsi:noNamespaceSchemaLocation="https://www.openms.de/xml-schema/IdXML_1_5.xsd" xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance">
+	<SearchParameters id="SP_0" db="/home/eugen/Development/OpenMS/src/tests/topp/OpenPepXL_input.fasta" db_version="" taxonomy="" mass_type="monoisotopic" charges="3,4,5,6,7" enzyme="trypsin" missed_cleavages="2" precursor_peak_tolerance="10" precursor_peak_tolerance_ppm="true" peak_mass_tolerance="0.2" peak_mass_tolerance_ppm="false" >
+				<UserParam type="string" name="input_consensusXML" value="/home/eugen/Development/OpenMS/src/tests/topp/OpenPepXL_input.consensusXML"/>
+				<UserParam type="string" name="input_mzML" value="/home/eugen/Development/OpenMS/src/tests/topp/OpenPepXL_input.mzML"/>
+				<UserParam type="string" name="input_decoys" value=""/>
+				<UserParam type="int" name="decoy_prefix" value="0"/>
+				<UserParam type="string" name="decoy_string" value="decoy"/>
+				<UserParam type="string" name="out_xquest_specxml" value="OpenPepXL_output.spec.xml.tmp"/>
+				<UserParam type="int" name="precursor:min_charge" value="3"/>
+				<UserParam type="int" name="precursor:max_charge" value="7"/>
+				<UserParam type="float" name="fragment:mass_tolerance_xlinks" value="0.3"/>
+				<UserParam type="int" name="peptide:min_size" value="5"/>
+				<UserParam type="stringList" name="cross_link:residue1" value="[K]"/>
+				<UserParam type="stringList" name="cross_link:residue2" value="[K]"/>
+				<UserParam type="float" name="cross_link:mass" value="138.0680796"/>
+				<UserParam type="float" name="cross_link:mass_isoshift" value="12.075321"/>
+				<UserParam type="floatList" name="cross_link:mass_monolink" value="[156.07864431, 155.094628715]"/>
+				<UserParam type="string" name="cross_link:name" value="DSS"/>
+				<UserParam type="int" name="modifications:variable_max_per_peptide" value="2"/>
+				<UserParam type="int" name="MS:1001029" value="329"/>
+	</SearchParameters>
+	<IdentificationRun date="2018-03-06T13:21:04" search_engine="OpenXQuest" search_engine_version="2.3.0" search_parameters_ref="SP_0" >
+		<ProteinIdentification score_type="" higher_score_better="true" significance_threshold="0" >
+			<ProteinHit id="PH_0" accession="Protein3" score="0" sequence="" >
+				<UserParam type="string" name="target_decoy" value="target"/>
+			</ProteinHit>
+			<ProteinHit id="PH_1" accession="Protein2" score="0" sequence="" >
+				<UserParam type="string" name="target_decoy" value="target"/>
+			</ProteinHit>
+			<ProteinHit id="PH_2" accession="Protein1" score="0" sequence="" >
+				<UserParam type="string" name="target_decoy" value="target"/>
+			</ProteinHit>
+			<UserParam type="string" name="SpectrumIdentificationProtocol" value="MS:1002494"/>
+		</ProteinIdentification>
+		<PeptideIdentification score_type="OpenXQuest:combined score" higher_score_better="true" significance_threshold="0" MZ="728.411010742188" RT="2120.05249023438" spectrum_reference="spectrum=99,spectrum=48" >
+			<PeptideHit score="0.936605209529642" sequence="LAAPQLVQMFIGDGAK(Xlink:DSS)LVR" charge="3" aa_before="K" aa_after="D" start="249" end="267" protein_refs="PH_1" >
+				<UserParam type="string" name="fragment_annotation" value="1004.99096679688,0.334201395511627,2,&quot;[alpha|xi$b18]&quot;"/>
+				<UserParam type="string" name="spectrum_reference" value="spectrum=99"/>
+				<UserParam type="string" name="target_decoy" value="target"/>
+				<UserParam type="int" name="spectrum_index" value="81"/>
+				<UserParam type="float" name="xl_mass" value="156.07864431"/>
+				<UserParam type="string" name="xl_chain" value="MS:1002509"/>
+				<UserParam type="int" name="xl_pos" value="15"/>
+				<UserParam type="string" name="xl_type" value="mono-link"/>
+				<UserParam type="int" name="xl_rank" value="2"/>
+				<UserParam type="string" name="xl_term_spec" value="ANYWHERE"/>
+				<UserParam type="float" name="spec_heavy_RT" value="2099.09545898438"/>
+				<UserParam type="float" name="spec_heavy_MZ" value="732.436096191406"/>
+				<UserParam type="string" name="spectrum_reference_heavy" value="spectrum=48"/>
+				<UserParam type="int" name="spectrum_index_heavy" value="39"/>
+				<UserParam type="float" name="OMS:precursor_mz_error_ppm" value="-3.29631082786707"/>
+				<UserParam type="float" name="OpenXQuest:xcorr xlink" value="0.000333623265276995"/>
+				<UserParam type="float" name="OpenXQuest:xcorr common" value="-0.0259976562688046"/>
+				<UserParam type="float" name="OpenXQuest:match-odds" value="0.00212489435959964"/>
+				<UserParam type="float" name="OpenXQuest:intsum" value="0.334201395511627"/>
+				<UserParam type="float" name="OpenXQuest:wTIC" value="0.0688459236181392"/>
+				<UserParam type="float" name="OpenXQuest:TIC" value="0.0688459236181392"/>
+				<UserParam type="float" name="OpenXQuest:prescore" value="0.00694444449618459"/>
+				<UserParam type="float" name="OpenXQuest:log_occupancy" value="0.0564614974779924"/>
+				<UserParam type="float" name="OpenXQuest:log_occupancy_alpha" value="0.0564614974779924"/>
+				<UserParam type="float" name="OpenXQuest:log_occupancy_beta" value="0"/>
+				<UserParam type="float" name="OpenXQuest:log_occupancy_full_spec" value="0.0231329371940407"/>
+				<UserParam type="float" name="HyperCommon" value="0"/>
+				<UserParam type="float" name="HyperXlink" value="0.288332907246581"/>
+				<UserParam type="float" name="HyperAlpha" value="2.58943363761124"/>
+				<UserParam type="float" name="HyperBeta" value="0"/>
+				<UserParam type="float" name="HyperBoth" value="2.58943363761124"/>
+				<UserParam type="int" name="matched_xlink_alpha" value="1"/>
+				<UserParam type="int" name="matched_xlink_beta" value="0"/>
+				<UserParam type="int" name="matched_common_alpha" value="0"/>
+				<UserParam type="int" name="matched_common_beta" value="0"/>
+				<UserParam type="string" name="selected" value="false"/>
+				<UserParam type="string" name="protein_references" value="unique"/>
+			</PeptideHit>
+		</PeptideIdentification>
+		<PeptideIdentification score_type="OpenXQuest:combined score" higher_score_better="true" significance_threshold="0" MZ="467.945617675781" RT="2129.23608398438" spectrum_reference="spectrum=123,spectrum=77" >
+			<PeptideHit score="28.2731402412177" sequence="GGVHVK(Xlink:DSS)LAHLSK" charge="3" aa_before="R" aa_after="H" start="292" end="303" protein_refs="PH_0" >
+				<UserParam type="string" name="fragment_annotation" value="396.987884521484,0.384458035230637,3,&quot;[alpha|xi$y9]&quot;|449.323913574219,0.387931138277054,3,&quot;[alpha|xi$y11]&quot;|450.284820556641,0.393777251243591,1,&quot;[alpha|ci$b5]&quot;|484.207611083984,0.215591758489609,1,&quot;[alpha|ci$y4]&quot;|555.274353027344,0.297201305627823,1,&quot;[alpha|ci$y5]&quot;|595.053527832031,1,2,&quot;[alpha|xi$y9]&quot;|668.345275878906,0.243629142642021,1,&quot;[alpha|ci$y6]&quot;"/>
+				<UserParam type="string" name="spectrum_reference" value="spectrum=123"/>
+				<UserParam type="string" name="target_decoy" value="target"/>
+				<UserParam type="int" name="spectrum_index" value="101"/>
+				<UserParam type="float" name="xl_mass" value="156.07864431"/>
+				<UserParam type="string" name="xl_chain" value="MS:1002509"/>
+				<UserParam type="int" name="xl_pos" value="5"/>
+				<UserParam type="string" name="xl_type" value="mono-link"/>
+				<UserParam type="int" name="xl_rank" value="1"/>
+				<UserParam type="string" name="xl_term_spec" value="ANYWHERE"/>
+				<UserParam type="float" name="spec_heavy_RT" value="2110.98901367188"/>
+				<UserParam type="float" name="spec_heavy_MZ" value="471.971282958984"/>
+				<UserParam type="string" name="spectrum_reference_heavy" value="spectrum=77"/>
+				<UserParam type="int" name="spectrum_index_heavy" value="63"/>
+				<UserParam type="float" name="OMS:precursor_mz_error_ppm" value="0.735970556846563"/>
+				<UserParam type="float" name="OpenXQuest:xcorr xlink" value="0.237457299396362"/>
+				<UserParam type="float" name="OpenXQuest:xcorr common" value="0.529048564861274"/>
+				<UserParam type="float" name="OpenXQuest:match-odds" value="1.3649637673649"/>
+				<UserParam type="float" name="OpenXQuest:intsum" value="2.92258863151073"/>
+				<UserParam type="float" name="OpenXQuest:wTIC" value="0.660300024858228"/>
+				<UserParam type="float" name="OpenXQuest:TIC" value="0.660300024858228"/>
+				<UserParam type="float" name="OpenXQuest:prescore" value="0.0795454531908035"/>
+				<UserParam type="float" name="OpenXQuest:log_occupancy" value="3.16483711801473"/>
+				<UserParam type="float" name="OpenXQuest:log_occupancy_alpha" value="3.16483711801473"/>
+				<UserParam type="float" name="OpenXQuest:log_occupancy_beta" value="0"/>
+				<UserParam type="float" name="OpenXQuest:log_occupancy_full_spec" value="2.33666522248801"/>
+				<UserParam type="float" name="HyperCommon" value="5.59770114368979"/>
+				<UserParam type="float" name="HyperXlink" value="4.32762764114041"/>
+				<UserParam type="float" name="HyperAlpha" value="11.9960758128656"/>
+				<UserParam type="float" name="HyperBeta" value="0"/>
+				<UserParam type="float" name="HyperBoth" value="11.9960758128656"/>
+				<UserParam type="int" name="matched_xlink_alpha" value="3"/>
+				<UserParam type="int" name="matched_xlink_beta" value="0"/>
+				<UserParam type="int" name="matched_common_alpha" value="4"/>
+				<UserParam type="int" name="matched_common_beta" value="0"/>
+				<UserParam type="string" name="selected" value="false"/>
+				<UserParam type="string" name="protein_references" value="unique"/>
+			</PeptideHit>
+		</PeptideIdentification>
+		<PeptideIdentification score_type="OpenXQuest:combined score" higher_score_better="true" significance_threshold="0" MZ="656.050903320313" RT="2228.50292963107" spectrum_reference="spectrum=238,spectrum=219" >
+			<PeptideHit score="30.0056519661123" sequence="EVIELPLK(Xlink:DSS)NPELFLR" charge="3" aa_before="R" aa_after="V" start="145" end="159" protein_refs="PH_2" >
+				<UserParam type="string" name="fragment_annotation" value="387.815673828125,0.215708956122398,2,&quot;[alpha|ci$y6]&quot;|435.218322753906,0.0836383178830147,1,&quot;[alpha|ci$y3]&quot;|444.946411132812,0.119465403258801,2,&quot;[alpha|ci$y7]&quot;|471.207763671875,0.061412189155817,1,&quot;[alpha|ci$b4]&quot;|584.244018554688,0.203754380345345,1,&quot;[alpha|ci$b5]&quot;|692.068786621094,1,2,&quot;[alpha|xi$y10]&quot;|748.578674316406,0.292433172464371,2,&quot;[alpha|xi$y11]&quot;|774.424987792969,0.361789405345917,1,&quot;[alpha|ci$y6]&quot;|812.867004394531,0.152033895254135,2,&quot;[alpha|xi$y12]&quot;|839.981567382812,0.125570505857468,2,&quot;[alpha|xi$b13]&quot;|888.39013671875,0.356793075799942,1,&quot;[alpha|ci$y7]&quot;|896.316162109375,0.0581442341208458,2,&quot;[alpha|xi$b14]&quot;"/>
+				<UserParam type="string" name="spectrum_reference" value="spectrum=238"/>
+				<UserParam type="string" name="target_decoy" value="target"/>
+				<UserParam type="int" name="spectrum_index" value="195"/>
+				<UserParam type="float" name="xl_mass" value="156.07864431"/>
+				<UserParam type="string" name="xl_chain" value="MS:1002509"/>
+				<UserParam type="int" name="xl_pos" value="7"/>
+				<UserParam type="string" name="xl_type" value="mono-link"/>
+				<UserParam type="int" name="xl_rank" value="1"/>
+				<UserParam type="string" name="xl_term_spec" value="ANYWHERE"/>
+				<UserParam type="float" name="spec_heavy_RT" value="2221.23388666232"/>
+				<UserParam type="float" name="spec_heavy_MZ" value="660.072448730469"/>
+				<UserParam type="string" name="spectrum_reference_heavy" value="spectrum=219"/>
+				<UserParam type="int" name="spectrum_index_heavy" value="180"/>
+				<UserParam type="float" name="OMS:precursor_mz_error_ppm" value="6.2016465793789"/>
+				<UserParam type="float" name="OpenXQuest:xcorr xlink" value="0.389891893192958"/>
+				<UserParam type="float" name="OpenXQuest:xcorr common" value="0.462351176037813"/>
+				<UserParam type="float" name="OpenXQuest:match-odds" value="2.59304858228082"/>
+				<UserParam type="float" name="OpenXQuest:intsum" value="3.03074353560805"/>
+				<UserParam type="float" name="OpenXQuest:wTIC" value="0.672367841921044"/>
+				<UserParam type="float" name="OpenXQuest:TIC" value="0.672367841921044"/>
+				<UserParam type="float" name="OpenXQuest:prescore" value="0.107142858207226"/>
+				<UserParam type="float" name="OpenXQuest:log_occupancy" value="5.62904796479063"/>
+				<UserParam type="float" name="OpenXQuest:log_occupancy_alpha" value="5.62904796479063"/>
+				<UserParam type="float" name="OpenXQuest:log_occupancy_beta" value="0"/>
+				<UserParam type="float" name="OpenXQuest:log_occupancy_full_spec" value="5.33167976719043"/>
+				<UserParam type="float" name="HyperCommon" value="8.15707933298946"/>
+				<UserParam type="float" name="HyperXlink" value="4.59543196178458"/>
+				<UserParam type="float" name="HyperAlpha" value="17.3945020752073"/>
+				<UserParam type="float" name="HyperBeta" value="0"/>
+				<UserParam type="float" name="HyperBoth" value="17.3945020752073"/>
+				<UserParam type="int" name="matched_xlink_alpha" value="5"/>
+				<UserParam type="int" name="matched_xlink_beta" value="0"/>
+				<UserParam type="int" name="matched_common_alpha" value="7"/>
+				<UserParam type="int" name="matched_common_beta" value="0"/>
+				<UserParam type="string" name="selected" value="false"/>
+				<UserParam type="string" name="protein_references" value="unique"/>
+			</PeptideHit>
+		</PeptideIdentification>
+	</IdentificationRun>
+</IdXML>
--- /dev/null	Thu Jan 01 00:00:00 1970 +0000
+++ b/test-data/SequenceCoverageCalculator.tsv	Wed May 15 06:41:00 2019 -0400
@@ -0,0 +1,4 @@
+#protein	coverage%	#unique hits
+Protein1	3.86598	1
+Protein2	4.3379	1
+Protein3	3.14961	1
--- /dev/null	Thu Jan 01 00:00:00 1970 +0000
+++ b/tools_blacklist.txt	Wed May 15 06:41:00 2019 -0400
@@ -0,0 +1,9 @@
+OMSSAAdapter
+MyriMatchAdapter
+PepNovoAdapter
+SeedListGenerator
+SpecLibSearcher
+MapAlignerIdentification
+MapAlignerPoseClustering
+MapAlignerSpectrum
+MapAlignerRTTransformer